>ENSMUSP00000141764.1 pep:known chromosome:GRCm38:6:41533201:41533212:1 gene:ENSMUSG00000095668.1 transcript:ENSMUST00000178537.1 gene_biotype:TR_D_gene transcript_biotype:TR_D_gene gene_symbol:Trbd1 description:T cell receptor beta, D region 1 [Source:MGI Symbol;Acc:MGI:4439571] GTGG >ENSMUSP00000141312.1 pep:known chromosome:GRCm38:6:41542163:41542176:1 gene:ENSMUSG00000094569.1 transcript:ENSMUST00000178862.1 gene_biotype:TR_D_gene transcript_biotype:TR_D_gene gene_symbol:Trbd2 description:T cell receptor beta, D region 2 [Source:MGI Symbol;Acc:MGI:4439727] GTGGA >ENSMUSP00000142955.1 pep:known chromosome:GRCm38:14:54122226:54122241:1 gene:ENSMUSG00000096176.1 transcript:ENSMUST00000177564.1 gene_biotype:TR_D_gene transcript_biotype:TR_D_gene gene_symbol:Trdd2 description:T cell receptor delta diversity 2 [Source:MGI Symbol;Acc:MGI:4439546] IGGIR >ENSMUSP00000142546.1 pep:known chromosome:GRCm38:14:54113468:54113476:1 gene:ENSMUSG00000096749.2 transcript:ENSMUST00000196221.1 gene_biotype:TR_D_gene transcript_biotype:TR_D_gene gene_symbol:Trdd1 description:T cell receptor delta diversity 1 [Source:MGI Symbol;Acc:MGI:4439547] MAY >ENSMUSP00000142153.1 pep:known chromosome:GRCm38:12:113430528:113430538:-1 gene:ENSMUSG00000094028.1 transcript:ENSMUST00000179520.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd4-1 description:immunoglobulin heavy diversity 4-1 [Source:MGI Symbol;Acc:MGI:4439801] LTGT >ENSMUSP00000141970.1 pep:known chromosome:GRCm38:12:113448214:113448229:-1 gene:ENSMUSG00000094552.1 transcript:ENSMUST00000179883.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd3-2 description:immunoglobulin heavy diversity 3-2 [Source:MGI Symbol;Acc:MGI:4439707] RQLRL >ENSMUSP00000142162.1 pep:known chromosome:GRCm38:12:113449588:113449597:-1 gene:ENSMUSG00000096420.2 transcript:ENSMUST00000195858.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd5-6 description:immunoglobulin heavy diversity 5-6 [Source:MGI Symbol;Acc:MGI:4937234] EYL >ENSMUSP00000141399.1 pep:known chromosome:GRCm38:12:113450851:113450867:-1 gene:ENSMUSG00000095656.1 transcript:ENSMUST00000180001.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd2-8 description:immunoglobulin heavy diversity 2-8 [Source:MGI Symbol;Acc:MGI:4439706] STMVTT >ENSMUSP00000141414.1 pep:known chromosome:GRCm38:12:113454942:113454951:-1 gene:ENSMUSG00000094957.1 transcript:ENSMUST00000178815.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd5-5 description:immunoglobulin heavy diversity 5-5 [Source:MGI Symbol;Acc:MGI:4937334] DYL >ENSMUSP00000141374.1 pep:known chromosome:GRCm38:12:113456720:113456736:-1 gene:ENSMUSG00000094057.1 transcript:ENSMUST00000177965.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd2-7 description:immunoglobulin heavy diversity 2-7 [Source:MGI Symbol;Acc:MGI:4439866] STMVTT >ENSMUSP00000141376.1 pep:known chromosome:GRCm38:12:113459864:113459892:-1 gene:ENSMUSG00000094268.1 transcript:ENSMUST00000178909.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd5-8 description:immunoglobulin heavy diversity 5-8 [Source:MGI Symbol;Acc:MGI:4937171] RQLASAVPQP >ENSMUSP00000141852.1 pep:known chromosome:GRCm38:12:113460101:113460110:-1 gene:ENSMUSG00000096884.1 transcript:ENSMUST00000177646.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd5-4 description:immunoglobulin heavy diversity 5-4 [Source:MGI Symbol;Acc:MGI:4937058] EYL >ENSMUSP00000141615.1 pep:known chromosome:GRCm38:12:113461369:113461385:-1 gene:ENSMUSG00000096250.1 transcript:ENSMUST00000178230.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd2-6 description:immunoglobulin heavy diversity 2-6 [Source:MGI Symbol;Acc:MGI:4439865] PTIVTT >ENSMUSP00000141202.1 pep:known chromosome:GRCm38:12:113464524:113464552:-1 gene:ENSMUSG00000095592.1 transcript:ENSMUST00000178483.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd5-7 description:immunoglobulin heavy diversity 5-7 [Source:MGI Symbol;Acc:MGI:4936973] RQLASAVPQP >ENSMUSP00000141703.1 pep:known chromosome:GRCm38:12:113464761:113464770:-1 gene:ENSMUSG00000093876.1 transcript:ENSMUST00000179262.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd5-3 description:immunoglobulin heavy diversity 5-3 [Source:MGI Symbol;Acc:MGI:4937297] EYL >ENSMUSP00000141697.1 pep:known chromosome:GRCm38:12:113466027:113466043:-1 gene:ENSMUSG00000095897.1 transcript:ENSMUST00000178549.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd2-5 description:immunoglobulin heavy diversity 2-5 [Source:MGI Symbol;Acc:MGI:4439705] PTIVTT >ENSMUSP00000142226.1 pep:known chromosome:GRCm38:12:113469189:113469217:-1 gene:ENSMUSG00000103203.1 transcript:ENSMUST00000193012.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Gm37327 description:predicted gene, 37327 [Source:MGI Symbol;Acc:MGI:5610555] RQLASAVPQP >ENSMUSP00000142199.1 pep:known chromosome:GRCm38:12:113469426:113469435:-1 gene:ENSMUSG00000096396.1 transcript:ENSMUST00000179166.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd5-2 description:immunoglobulin heavy diversity 5-2 [Source:MGI Symbol;Acc:MGI:4936898] EYL >ENSMUSP00000141415.1 pep:known chromosome:GRCm38:12:113470694:113470710:-1 gene:ENSMUSG00000095444.1 transcript:ENSMUST00000179560.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd2-4 description:immunoglobulin heavy diversity 2-4 [Source:MGI Symbol;Acc:MGI:4439709] STMITT >ENSMUSP00000142229.1 pep:known chromosome:GRCm38:12:113475400:113475416:-1 gene:ENSMUSG00000096568.1 transcript:ENSMUST00000177839.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd2-3 description:immunoglobulin heavy diversity 2-3 [Source:MGI Symbol;Acc:MGI:4439708] SMMVTT >ENSMUSP00000141687.1 pep:known chromosome:GRCm38:12:113482170:113482192:-1 gene:ENSMUSG00000076630.1 transcript:ENSMUST00000103439.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd1-1 description:immunoglobulin heavy diversity 1-1 [Source:MGI Symbol;Acc:MGI:4439871] FITTVVAT >ENSMUSP00000141755.1 pep:known chromosome:GRCm38:12:113525313:113525329:-1 gene:ENSMUSG00000093818.1 transcript:ENSMUST00000180266.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Ighd3-1 description:immunoglobulin heavy diversity 3-1 [Source:MGI Symbol;Acc:MGI:4439891] GTARAT >ENSMUSP00000141206.1 pep:known chromosome:GRCm38:12:113528032:113528054:-1 gene:ENSMUSG00000076632.1 transcript:ENSMUST00000103441.1 gene_biotype:IG_D_gene transcript_biotype:IG_D_gene gene_symbol:Gm16968 description:predicted gene, 16968 [Source:MGI Symbol;Acc:MGI:4439892] YITKVVA >ENSMUSP00000137912.1 pep:known chromosome:GRCm38:14:53461099:53461738:1 gene:ENSMUSG00000094766.3 transcript:ENSMUST00000181728.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7-4 description:T cell receptor alpha variable 7-4 [Source:MGI Symbol;Acc:MGI:3649611] MKSLSVSLVVLWLQLNCVRSQQKVQQSPESLSVPEGGMASLNCTSSDRNFQYFWWYRQHS GEGPKALMSIFSDGDKKEGRFTAHLNKASLHVSLHIRDSQPSDSALYFCAASE >ENSMUSP00000100420.2 pep:known chromosome:GRCm38:14:53469756:53470232:1 gene:ENSMUSG00000076831.3 transcript:ENSMUST00000103643.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav8-1 description:T cell receptor alpha variable 8-1 [Source:MGI Symbol;Acc:MGI:3649608] MHSLLGLLLWLQLTRVNSQLAEENSWALSVHEGESVTVNCSYKTSITALQWYRQKSGKGP AQLILIRSNEREKRNGRLRATLDTSSQSSSLSITATRCEDTAVYFCATD >ENSMUSP00000100358.4 pep:known chromosome:GRCm38:14:53488045:53488567:1 gene:ENSMUSG00000096900.3 transcript:ENSMUST00000103581.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9-1 description:T cell receptor alpha variable 9-1 [Source:MGI Symbol;Acc:MGI:3650356] MLLVLISFLGIHFFLDVQTQTVSQSDAHVTVFEGDSVELRCNYSYGGSIYLSWYIQHHGH GLQFLLKYYSGNPVVQGVNGFEAEFSKSDSSFHLRKASVHWSDSAVYFCAVS >ENSMUSP00000137814.1 pep:known chromosome:GRCm38:14:53491115:53491622:1 gene:ENSMUSG00000096149.5 transcript:ENSMUST00000181210.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-5 description:T cell receptor alpha variable 6-5 [Source:MGI Symbol;Acc:MGI:3649609] MNLCPELGILLFLMLGESNGDSVTQTEGPVTLSEGTSLTVNCSYETKQYPTLFWYVQYPG EGPQLLFKVPKANEKGSNRGFEATYNKEATSFHLQKASVQESDSAVYYCALS >ENSMUSP00000139176.1 pep:known chromosome:GRCm38:14:53491152:53491622:1 gene:ENSMUSG00000096149.5 transcript:ENSMUST00000183488.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-5 description:T cell receptor alpha variable 6-5 [Source:MGI Symbol;Acc:MGI:3649609] MNLCPELGILLFLMLESNGDSVTQTEGPVTLSEGTSLTVNCSYETKQYPTLFWYVQYPGE GPQLLFKVPKANEKGSNRGFEATYNKEATSFHLQKASVQESDSAVYYCALS >ENSMUSP00000100360.3 pep:known chromosome:GRCm38:14:53505727:53506286:1 gene:ENSMUSG00000096551.2 transcript:ENSMUST00000103583.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav10 description:T cell receptor alpha variable 10 [Source:MGI Symbol;Acc:MGI:3704132] MKTSLHTVFLFLWLWMDWESHGEKVEQHESTLSVREGDSAVINCTYTDTASSYFPWYKQE AGKSLHFVIDIRSNVDRKQSQRLIVLLDKKAKRFSLHITATQPEDSAIYFCAAS >ENSMUSP00000100361.3 pep:known chromosome:GRCm38:14:53516929:53517366:1 gene:ENSMUSG00000095862.1 transcript:ENSMUST00000103584.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-6 description:T cell receptor alpha variable 6-6 [Source:MGI Symbol;Acc:MGI:4439905] MDSSPGFVAVILLILGRTHGDSVTQTEGPVTVSESESLIINCTYSATSIAYPNLFWYVRY PGEGLQLLLKVITAGQKGSSRGFEATYNKETTSFHLQKASVQESDSAVYYCALG >ENSMUSP00000100362.3 pep:known chromosome:GRCm38:14:53519303:53519859:1 gene:ENSMUSG00000096615.1 transcript:ENSMUST00000103585.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav11 description:T cell receptor alpha variable 11 [Source:MGI Symbol;Acc:MGI:3642163] MKKRLSACWVVLWLHYQWVAGKTQVEQSPQSLVVRQGENCVLQCNYSVTPDNHLRWFKQD TGKGLVSLTVLVDQKDKTSNGRYSATLDKDAKHSTLHITATLLDDTATYICVVG >ENSMUSP00000143345.1 pep:known chromosome:GRCm38:14:53530786:53531313:1 gene:ENSMUSG00000106620.1 transcript:ENSMUST00000200609.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7-5 description:T cell receptor alpha variable 7-5 [Source:MGI Symbol;Acc:MGI:3648929] MKSLSVSLVVLWLQFNWVSSQQKVQQSPESLTVSEGAMASLNCTFSDGTSNNFRWYRQHS AKGLEVLVSIFSDGEKEEGRFTAHLNRANLHVSLHIREPQPSDSAVYLCAVS >ENSMUSP00000143545.1 pep:known chromosome:GRCm38:14:53538191:53538738:1 gene:ENSMUSG00000096825.2 transcript:ENSMUST00000200115.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12-1 description:T cell receptor alpha variable 12-1 [Source:MGI Symbol;Acc:MGI:4440525] MNMRPVTSSVLVLLLMLRRSNGDSVTQTEGLVTVTEGLPVKLNCTYQTTYLTIAFFWYVQ YLNEAPQVLLKSSTDNKRTEHQGFHATLHKSSSSFHLQKSSAQLSDSALYYCALS >ENSMUSP00000100427.2 pep:known chromosome:GRCm38:14:53538260:53538738:1 gene:ENSMUSG00000096825.2 transcript:ENSMUST00000103650.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12-1 description:T cell receptor alpha variable 12-1 [Source:MGI Symbol;Acc:MGI:4440525] MNMRPVTSSVLVLLLMLRSNGDSVTQTEGLVTVTEGLPVKLNCTYQTTYLTIAFFWYVQY LNEAPQVLLKSSTDNKRTEHQGFHATLHKSSSSFHLQKSSAQLSDSALYYCALS >ENSMUSP00000100428.3 pep:known chromosome:GRCm38:14:53545014:53545525:1 gene:ENSMUSG00000076839.3 transcript:ENSMUST00000103651.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13-1 description:T cell receptor alpha variable 13-1 [Source:MGI Symbol;Acc:MGI:4439904] MKRLLSSLLGLLCTQVCWVKGQQVQQSPASLVLQEGENAELQCNFSTSLNSMQWFYQRPG GSLVSLFYNPSGTKHSGRLTSTTVIKERRSSLHISSSQTTDSGTYLCALE >ENSMUSP00000143077.1 pep:known chromosome:GRCm38:14:53554022:53554558:1 gene:ENSMUSG00000076840.4 transcript:ENSMUST00000198297.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14-1 description:T cell receptor alpha variable 14-1 [Source:MGI Symbol;Acc:MGI:3646773] MDKILTASFLLLGLHLAGVNGQQKEKHDQQQVRQSPQSLTVWEGGTTVLTCSYEDSTFNY FPWYQQFPGEGPALLISILSVSDKKEDGRFTTFFNKREKKLSLHIIDSQPGDSATYFCAA S >ENSMUSP00000143712.1 pep:known chromosome:GRCm38:14:53559632:53560247:1 gene:ENSMUSG00000094016.2 transcript:ENSMUST00000200101.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav15-1-dv6-1 description:T cell receptor alpha variable 15-1-DV6-1 [Source:MGI Symbol;Acc:MGI:4439369] MPPHSLLCVLVALAFSGSNVAQKVIQVWSTTSRQEGEKLTLDCSYKTSQVLYHLFWYKHL LSGEMVLLIRQMPSTIAIERSGRYSVVFQKSRKSISLVISTLQPDDSGKYFCALWEL >ENSMUSP00000100430.2 pep:known chromosome:GRCm38:14:53559676:53560247:1 gene:ENSMUSG00000094016.2 transcript:ENSMUST00000103653.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav15-1-dv6-1 description:T cell receptor alpha variable 15-1-DV6-1 [Source:MGI Symbol;Acc:MGI:4439369] MPPHSLLCVLVALAFSANVAQKVIQVWSTTSRQEGEKLTLDCSYKTSQVLYHLFWYKHLL SGEMVLLIRQMPSTIAIERSGRYSVVFQKSRKSISLVISTLQPDDSGKYFCALWEL >ENSMUSP00000100431.1 pep:known chromosome:GRCm38:14:53590857:53591514:1 gene:ENSMUSG00000094966.2 transcript:ENSMUST00000103654.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9-2 description:T cell receptor alpha variable 9-2 [Source:MGI Symbol;Acc:MGI:4439903] MLLALLPVLGIHFVLRDAQAQSVTQPDARVTVSEGASLQLRCKYSYSGTPYLFWYVQYPR QGLQLLLKYYSGDPVVQGVNGFEAEFSKSNSSFHLRKASVHWSDSAVYFCVLS >ENSMUSP00000100432.1 pep:known chromosome:GRCm38:14:53598828:53599410:1 gene:ENSMUSG00000093966.2 transcript:ENSMUST00000103655.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav4-3 description:T cell receptor alpha variable 4-3 [Source:MGI Symbol;Acc:MGI:4440478] MQRNLGAVLGILWVQICWVSGDKVKQSPSALSLQEGTNSALRCNFSIAATTVQWFLQNPR GSLINLFYLVPGTKENGRLKSAFDSKESYSTLHIRDAQLEDSGTYFCAAE >ENSMUSP00000137851.1 pep:known chromosome:GRCm38:14:53616315:53616914:1 gene:ENSMUSG00000096656.6 transcript:ENSMUST00000180972.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12-2 description:T cell receptor alpha variable 12-2 [Source:MGI Symbol;Acc:MGI:5293447] MNMRPDTCSVLVLLLMLRRNNGDSVTQTEGLVTLTEGLPVMLNCTYQSTYSPFLFWYVQH LNEAPKLLLKSFTDNKRPEHQGFHATLHKSSSSFHLQKSSAQLSDSALYYCALS >ENSMUSP00000100434.4 pep:known chromosome:GRCm38:14:53621657:53622245:1 gene:ENSMUSG00000095958.3 transcript:ENSMUST00000103657.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12-3 description:T cell receptor alpha variable 12-3 [Source:MGI Symbol;Acc:MGI:3648633] MRPGTCSVLVLLLMLRRSNGDGDSVTQKEGLVTLTEGLPVMLNCTYQTIYSNAFLFWYVH YLNESPRLLLKSSTDNKRTEHQGFHATLHKSSSSFHLQKSSAQLSDSALYYCALS >ENSMUSP00000100435.2 pep:known chromosome:GRCm38:14:53634829:53635399:1 gene:ENSMUSG00000076846.3 transcript:ENSMUST00000103658.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13-2 description:T cell receptor alpha variable 13-2 [Source:MGI Symbol;Acc:MGI:3651611] MKRLLCSLLGLLCTQVCWVKGQQVQQSPASLVLQEGENAELQCNFSSTATRLQWFYQHPG GRLVSLFYNPSGTKHTGRLTSTTVTNERRSSLHISSSQTTDSGTYFCAID >ENSMUSP00000136351.2 pep:known chromosome:GRCm38:14:53640701:53641225:1 gene:ENSMUSG00000095711.5 transcript:ENSMUST00000179267.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14-2 description:T cell receptor alpha variable 14-2 [Source:MGI Symbol;Acc:MGI:3649206] MDKILTASFLLLGLHLAGVSGQQQEKHDQQQVRQSPQSLTVWEGETAILNCSYEDSTFDY FPWYHQFPGESPALLIAIRPVSNKKEDGRFTIFFNKREKKFSLHIADSQPGDSATYFCAA S >ENSMUSP00000139225.1 pep:known chromosome:GRCm38:14:53640946:53641221:1 gene:ENSMUSG00000095711.5 transcript:ENSMUST00000184874.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14-2 description:T cell receptor alpha variable 14-2 [Source:MGI Symbol;Acc:MGI:3649206] QQQVRQSPQSLTVWEGETAILNCSYEDSTFDYFPWYHQFPGESPALLIAIRPVSNKKEDG RFTIFFNKREKKFSLHIADSQPGDSATYFCAA >ENSMUSP00000100437.2 pep:known chromosome:GRCm38:14:53649404:53649994:1 gene:ENSMUSG00000076848.3 transcript:ENSMUST00000103660.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav15-2-dv6-2 description:T cell receptor alpha variable 15-2-DV6-2 [Source:MGI Symbol;Acc:MGI:3702147] MQRDMCHMPPQSLLCVLVALAFSGCNVAQRVTQVQSTGSSQWGEVTLDCSYETSEYSYLI LWYRQLFSGEMVFLIYQPSFDTQNQRSGHYSVVFQKSFKSISLVISASQPEDSGTYFCAL SEL >ENSMUSP00000137883.1 pep:known chromosome:GRCm38:14:53665929:53666506:1 gene:ENSMUSG00000094828.5 transcript:ENSMUST00000181768.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav3-3 description:T cell receptor alpha variable 3-3 [Source:MGI Symbol;Acc:MGI:3702145] MKTVTGPLFLCFWLQLNCVSRGEQVEQRPPHLSVREGDSAVITCTYTDPNSYYFFWYKQE PGASLQLLMKVFSSTEINEGQGFTVLLNKKDKRLSLNLTAAHPGDSAAYFCAVS >ENSMUSP00000139346.1 pep:known chromosome:GRCm38:14:53666227:53666502:1 gene:ENSMUSG00000094828.5 transcript:ENSMUST00000183835.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav3-3 description:T cell receptor alpha variable 3-3 [Source:MGI Symbol;Acc:MGI:3702145] GEQVEQRPPHLSVREGDSAVITCTYTDPNSYYFFWYKQEPGASLQLLMKVFSSTEINEGQ GFTVLLNKKDKRLSLNLTAAHPGDSAAYFCAV >ENSMUSP00000100439.3 pep:known chromosome:GRCm38:14:53676141:53676630:1 gene:ENSMUSG00000096678.3 transcript:ENSMUST00000103662.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9-4 description:T cell receptor alpha variable 9-4 [Source:MGI Symbol;Acc:MGI:3702135] MLLALLPVLGIHFVLRDAQAQSVTQPDARVTVSEGASLQLRCKYSYSGTPYLFWYVQYPR QGLQLLLKYYSGDPVVQGVNGFEAEFIKSNSSFHLRKASVHWSDSAVYFCAVS >ENSMUSP00000100440.3 pep:known chromosome:GRCm38:14:53683647:53684177:1 gene:ENSMUSG00000096096.3 transcript:ENSMUST00000103663.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav4-4-dv10 description:T cell receptor alpha variable 4-4-DV10 [Source:MGI Symbol;Acc:MGI:3702138] MQRNLGAVLGILWVQICWVRGDQVEQSPSALSLHEGTGSALRCNFTTTMRAVQWFQQNSR GSLINLFYLASGTKENGRLKSTFNSKESYSTLHIRDAQLEDSGTYFCAAE >ENSMUSP00000100441.3 pep:known chromosome:GRCm38:14:53704007:53704514:1 gene:ENSMUSG00000095607.3 transcript:ENSMUST00000103664.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav5-4 description:T cell receptor alpha variable 5-4 [Source:MGI Symbol;Acc:MGI:1196221] MKTYAPTLFMFLWLQLDGMSQGEQVEQLPSILRVQEGSSASINCSYEDSASNYFPWYKQE PGENPKLIIDIRSNMERKQIQELIVLLDKKAKRFSLHITDTQPGDSAMYFCAAS >ENSMUSP00000100415.4 pep:known chromosome:GRCm38:14:53709902:53710419:1 gene:ENSMUSG00000095126.3 transcript:ENSMUST00000103638.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-7-dv9 description:T cell receptor alpha variable 6-7-DV9 [Source:MGI Symbol;Acc:MGI:3702143] MLRIMNTVEMGLKMNSSPGFMTVMLLIFTRAHGDSVTQTEGQVALSEEDFLTIHCNYSAS GYPTLFWYVQYPGEGPQLLFRASRDKEKGSSRGFEATYDKGTTSFHLRKASVQESDSAVY YCALS >ENSMUSP00000100418.4 pep:known chromosome:GRCm38:14:53716716:53717291:1 gene:ENSMUSG00000096138.5 transcript:ENSMUST00000103641.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7-6 description:T cell receptor alpha variable 7-6 [Source:MGI Symbol;Acc:MGI:3702133] MHSLHVSLVFLWLQLGGVSSQEKVQQSPESLIVPEGAMVSLNCSFSDSASQSIWWYQQHP GKGPKALISIFSNGNKKEGRLTVYLNRASLHVSLHIKDSQPSDSAVYLCAVS >ENSMUSP00000139015.1 pep:known chromosome:GRCm38:14:53717015:53717289:1 gene:ENSMUSG00000096138.5 transcript:ENSMUST00000184687.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7-6 description:T cell receptor alpha variable 7-6 [Source:MGI Symbol;Acc:MGI:3702133] QEKVQQSPESLIVPEGAMVSLNCSFSDSASQSIWWYQQHPGKGPKALISIFSNGNKKEGR LTVYLNRASLHVSLHIKDSQPSDSAVYLCAV >ENSMUSP00000100444.3 pep:known chromosome:GRCm38:14:53743104:53743705:1 gene:ENSMUSG00000076855.5 transcript:ENSMUST00000103667.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav16 description:T cell receptor alpha variable 16 [Source:MGI Symbol;Acc:MGI:3702130] MLILSLLGAAFGSICFATSMAQKVTQTQTSISVMEKTTVTMDCVYETRDSSYFLFWYKQT ASGEIVFLIRQDSYKKENATEGHYSLNFQKPKSSIGLIITATQIEDSAVYFCAMREG >ENSMUSP00000137919.1 pep:known chromosome:GRCm38:14:53757356:53757921:1 gene:ENSMUSG00000094562.5 transcript:ENSMUST00000180380.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13-4-dv7 description:T cell receptor alpha variable 13-4-DV7 [Source:MGI Symbol;Acc:MGI:3702151] MKRLLCSLLGLLCTQVCWVKGQQVQQSPASLVLQEGENAELQCNFSSTATRLQWFYQRPG GSLVSLLSNPSGTKHTGRLTSTTVTKERRGSLHISSSQITDSGTYLCAME >ENSMUSP00000138894.1 pep:known chromosome:GRCm38:14:53757651:53757914:1 gene:ENSMUSG00000094562.5 transcript:ENSMUST00000184905.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13-4-dv7 description:T cell receptor alpha variable 13-4-DV7 [Source:MGI Symbol;Acc:MGI:3702151] GQQVQQSPASLVLQEGENAELQCNFSSTATRLQWFYQRPGGSLVSLLSNPSGTKHTGRLT STTVTKERRGSLHISSSQITDSGTYLCA >ENSMUSP00000100366.4 pep:known chromosome:GRCm38:14:53763173:53763694:1 gene:ENSMUSG00000094212.3 transcript:ENSMUST00000103589.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14-3 description:T cell receptor alpha variable 14-3 [Source:MGI Symbol;Acc:MGI:3702149] MDKILTASFLLLGLHLSGVSGQQEKRDQQQVRQSPQSLTVWEGETAILNCSYENSAFDYF PWYQQFPGEGPALLIAIRSVSDKKEDGRFTIFFNKREKKLSLHITDSQPGDSATYFCAAS >ENSMUSP00000100447.2 pep:known chromosome:GRCm38:14:53776956:53777576:1 gene:ENSMUSG00000076858.3 transcript:ENSMUST00000103670.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav3-4 description:T cell receptor alpha variable 3-4 [Source:MGI Symbol;Acc:MGI:2684925] MKTVAGPLFLCFWLQLNCVSRGEQVEQRPPHLSVREGDSAFIICTYTDSATAYFYWYKQE PGAGLQLLMSVFSNVDRKEEQGLTVLLNKKDKRLSLNLTAAHPGDSAVYFCAVS >ENSMUSP00000100448.3 pep:known chromosome:GRCm38:14:53795357:53795963:1 gene:ENSMUSG00000087666.3 transcript:ENSMUST00000103671.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:B230359F08Rik description:RIKEN cDNA B230359F08 gene [Source:MGI Symbol;Acc:MGI:3026946] MKRLMCSLLGLLCTQVCWVKGQQVQQSPASLVLQEGENAELQCSFSISTNQVQWFYQRPG GRLIGLSYIPGMKPTGKQTSSTVTKGRHSSLTISSSQTTDSGTYFCVLS >ENSMUSP00000100449.5 pep:known chromosome:GRCm38:14:53806639:53807115:1 gene:ENSMUSG00000096397.7 transcript:ENSMUST00000103672.8 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav17 description:T cell receptor alpha variable 17 [Source:MGI Symbol;Acc:MGI:3702128] MFPVTILLLSAFFSLRGNSAQSVDQPDAHVTLSEGASLELRCSYSYSAAPYLFWYVQYPG QSLQFLLKYITGDTVVKGTKGFEAEFRKSNSSFNLKKSPAHWSDSAKYFCALEG >ENSMUSP00000140087.1 pep:known chromosome:GRCm38:14:53806639:53807115:1 gene:ENSMUSG00000096397.7 transcript:ENSMUST00000186545.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav17 description:T cell receptor alpha variable 17 [Source:MGI Symbol;Acc:MGI:3702128] MFPVTILLLSAFFSLRNSAQSVDQPDAHVTLSEGASLELRCSYSYSAAPYLFWYVQYPGQ SLQFLLKYITGDTVVKGTKGFEAEFRKSNSSFNLKKSPAHWSDSAKYFCALEG >ENSMUSP00000100450.4 pep:known chromosome:GRCm38:14:53831105:53831827:1 gene:ENSMUSG00000076861.10 transcript:ENSMUST00000103673.10 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav18 description:T cell receptor alpha variable 18 [Source:MGI Symbol;Acc:MGI:3649382] MLLKLSVLLLWNQVARVATQRLEQSPRFLSIQEGQDFTARCSSSTTFPQLYWYRQVPREG PVILVTLVKSGEVKEQRRMTAKFGEARKNSSLFIARAQPGDAGIYFCTG >ENSMUSP00000140810.1 pep:known chromosome:GRCm38:14:53831105:53831827:1 gene:ENSMUSG00000076861.10 transcript:ENSMUST00000186573.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav18 description:T cell receptor alpha variable 18 [Source:MGI Symbol;Acc:MGI:3649382] MLLKLSVLLLWNQVALATQRLEQSPRFLSIQEGQDFTARCSSSTTFPQLYWYRQVPREGP VILVTLVKSGEVKEQRRMTAKFGEARKNSSLFIARAQPGDAGIYFCTG >ENSMUSP00000100451.3 pep:known chromosome:GRCm38:14:53845234:53845827:1 gene:ENSMUSG00000076862.5 transcript:ENSMUST00000103674.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav19 description:T cell receptor alpha variable 19 [Source:MGI Symbol;Acc:MGI:3649383] MTGFLKALLLVLCLRPEWVKSQQKTSGQQVKQSSPSLTVQEGGISILNCDYENDMFDYFA WYKKYPDNSPTLLISVRSNVDKREDGRLTVFLNKSGKHFSLHITASQPEDTAVYLCAAG >ENSMUSP00000137998.1 pep:known chromosome:GRCm38:14:53875984:53876752:1 gene:ENSMUSG00000076863.8 transcript:ENSMUST00000180938.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav21-dv12 description:T cell receptor alpha variable 21-DV12 [Source:MGI Symbol;Acc:MGI:3652097] MGCVSGIAILLALGIAGDAKTTQPDSMESTEGETVHLPCSHATISGNEYIYWYRQVPLQG PEYVTHGLQQNTTNSMAFLAIASDRKSSTLILPHVSLRDAAVYHCILRV >ENSMUSP00000100453.4 pep:known chromosome:GRCm38:14:53881612:53882217:1 gene:ENSMUSG00000076864.9 transcript:ENSMUST00000103676.8 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trdv1 description:T cell receptor delta variable 1 [Source:MGI Symbol;Acc:MGI:3649381] MGMRLQVLAWLLTFQAAWVNTQMLHQSPQSLTIQEGDEVTMSCNLSTSLYALLWYRQGDD GSLVSLVTLQKGGDEKSKDKITANLDKKMQQSSLWIQASQPSHSGTYLCGGK >ENSMUSP00000139783.1 pep:known chromosome:GRCm38:14:53881612:53882217:1 gene:ENSMUSG00000076864.9 transcript:ENSMUST00000187163.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trdv1 description:T cell receptor delta variable 1 [Source:MGI Symbol;Acc:MGI:3649381] MGMRLQVLAWLLTFQAAFNTQMLHQSPQSLTIQEGDEVTMSCNLSTSLYALLWYRQGDDG SLVSLVTLQKGGDEKSKDKITANLDKKMQQSSLWIQASQPSHSGTYLCGGK >ENSMUSP00000100455.3 pep:known chromosome:GRCm38:14:53960944:53961602:1 gene:ENSMUSG00000076866.3 transcript:ENSMUST00000103678.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trdv2-2 description:T cell receptor delta variable 2-2 [Source:MGI Symbol;Acc:MGI:4819748] MVRPFFLWVLFLSTSLEASMAQTVSQPQKKKSVQVAESATLDCTYDTSDTNYLLFWYKQQ GGQVTLVILQEAYKQYNATLNRFSVNFQKAAKSFSLEISDSQLGDAATYFCALMER >ENSMUSP00000143506.1 pep:known chromosome:GRCm38:14:53946073:53946660:1 gene:ENSMUSG00000076865.6 transcript:ENSMUST00000197130.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trdv2-1 description:T cell receptor delta variable 2-1 [Source:MGI Symbol;Acc:MGI:3642826] MAVLSSLWAVVITTCLGASMAQTVSQPQKKKSVQVAESATLDCTYDTSDTNYLLFWYKQQ GGQVTLVILQEAYKQYNATLNRFSVNFQKAAKSFSLEISDSQLGDAATYFCALRGS >ENSMUSP00000100454.2 pep:known chromosome:GRCm38:14:53946073:53946660:1 gene:ENSMUSG00000076865.6 transcript:ENSMUST00000103677.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trdv2-1 description:T cell receptor delta variable 2-1 [Source:MGI Symbol;Acc:MGI:3642826] MAVLSSLWAVVITTCLASMAQTVSQPQKKKSVQVAESATLDCTYDTSDTNYLLFWYKQQG GQVTLVILQEAYKQYNATLNRFSVNFQKAAKSFSLEISDSQLGDAATYFCALRGS >ENSMUSP00000100078.1 pep:known chromosome:GRCm38:6:40891229:40891885:1 gene:ENSMUSG00000076461.2 transcript:ENSMUST00000103262.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv1 description:T cell receptor beta, variable 1 [Source:MGI Symbol;Acc:MGI:98594] MWQFCILCLCVLMASVATDPTVTLLEQNPRWRLVPRGQAVNLRCILKNSQYPWMSWYQQD LQKQLQWLFTLRSPGDKEVKSLPGADYLATRVTDTELRLQVANMSQGRTLYCTCSA >ENSMUSP00000100079.1 pep:known chromosome:GRCm38:6:41047340:41047995:1 gene:ENSMUSG00000076462.2 transcript:ENSMUST00000103263.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv2 description:T cell receptor beta, variable 2 [Source:MGI Symbol;Acc:MGI:98599] MGSIFLSCLAVCLLVAGPVDPKIIQKPKYLVAVTGSEKILICEQYLGHNAMYWYRQSAKK PLEFMFSYSYQKLMDNQTASSRFQPQSSKKNHLDLQITALKPDDSATYFCASSQ >ENSMUSP00000100080.2 pep:known chromosome:GRCm38:6:41048290:41048828:1 gene:ENSMUSG00000076463.2 transcript:ENSMUST00000103264.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv3 description:T cell receptor beta, variable 3 [Source:MGI Symbol;Acc:MGI:98590] MDIWLLGWIIFSFLEAGHTGPKVLQIPSHQIIDMGQMVTLNCDPVSNHLYFYWYKQILGQ QMEFLVNFYNGKVMEKSKLFKDQFSVERPDGSYFTLKIQPTALEDSAVYFCASSLAT >ENSMUSP00000100082.1 pep:known chromosome:GRCm38:6:41062332:41062803:1 gene:ENSMUSG00000076465.2 transcript:ENSMUST00000103266.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv5 description:T cell receptor beta, variable 5 [Source:MGI Symbol;Acc:MGI:98583] MSCRLLLYVSLCLVETALMNTKITQSPRYLILGRANKSLECEQHLGHNAMYWYKQSAEKP PELMFLYNLKQLIRNETVPSRFIPECPDSSKLLLHISAVDPEDSAVYFCASSQ >ENSMUSP00000144909.1 pep:known chromosome:GRCm38:6:41059394:41059886:1 gene:ENSMUSG00000107486.1 transcript:ENSMUST00000103265.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv4 description:T cell receptor beta, variable 10 [Source:MGI Symbol;Acc:MGI:98584] MGCRLLSCVAFCLLGIGPLETAVFQTPNYHVTQVGNEVSFNCKQTLGHDTMYWYKQDSKK LLKIMFSYNNKQLIVNETVPRRFSPQSSDKAHLNLRIKSVEPEDSAVYLCASS* >ENSMUSP00000100083.1 pep:known chromosome:GRCm38:6:41113550:41114067:1 gene:ENSMUSG00000095574.2 transcript:ENSMUST00000103267.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv12-1 description:T cell receptor beta, variable 12-1 [Source:MGI Symbol;Acc:MGI:98602] MSNTVLADSAWGITLLSWVTVFLLGTSSADSGVVQSPRHIIKEKGGRSVLTCIPISGHSN VVWYQQTLGKELKFLIQHYEKVERDKGFLPSRFSVQQFDDYHSEMNMSALELEDSAMYFC ASSL >ENSMUSP00000142225.1 pep:known chromosome:GRCm38:6:41116007:41116468:1 gene:ENSMUSG00000076467.3 transcript:ENSMUST00000194399.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv13-1 description:T cell receptor beta, variable 13-1 [Source:MGI Symbol;Acc:MGI:98609] MGSRLFLVLSLLCTKHMEAAVTQSPRNKVTVTGGNVTLSCRQTNSHNYMYWYRQDTGHGL RLIHYSYGAGNLQIGDVPDGYKATRTTQEDFFLLLELASPSQTSLYFCASSD >ENSMUSP00000100084.2 pep:known chromosome:GRCm38:6:41116036:41116468:1 gene:ENSMUSG00000076467.3 transcript:ENSMUST00000103268.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv13-1 description:T cell receptor beta, variable 13-1 [Source:MGI Symbol;Acc:MGI:98609] MGSRLFLVLSLLCTNMEAAVTQSPRNKVTVTGGNVTLSCRQTNSHNYMYWYRQDTGHGLR LIHYSYGAGNLQIGDVPDGYKATRTTQEDFFLLLELASPSQTSLYFCASSD >ENSMUSP00000100085.1 pep:known chromosome:GRCm38:6:41118851:41119364:1 gene:ENSMUSG00000094525.2 transcript:ENSMUST00000103269.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv12-2 description:T cell receptor beta, variable 12-2 [Source:MGI Symbol;Acc:MGI:98601] MSNTAFPDPAWNTTLLSWVALFLLGTSSANSGVVQSPRYIIKGKGERSILKCIPISGHLS VAWYQQTQGQELKFFIQHYDKMERDKGNLPSRFSVQQFDDYHSEMNMSALELEDSAVYFC ASSL >ENSMUSP00000100086.3 pep:known chromosome:GRCm38:6:41121396:41121832:1 gene:ENSMUSG00000076469.3 transcript:ENSMUST00000103270.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv13-2 description:T cell receptor beta, variable 13-2 [Source:MGI Symbol;Acc:MGI:98608] MGSRLFFVLSSLLCSKHMEAAVTQSPRNKVAVTGGKVTLSCNQTNNHNNMYWYRQDTGHG LRLIHYSYGAGSTEKGDIPDGYKASRPSQENFSLILELATPSQTSVYFCASGD >ENSMUSP00000100087.1 pep:known chromosome:GRCm38:6:41130147:41130585:1 gene:ENSMUSG00000076470.1 transcript:ENSMUST00000103271.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv13-3 description:T cell receptor beta, variable 13-3 [Source:MGI Symbol;Acc:MGI:98607] MGSRLFFVVLILLCAKHMEAAVTQSPRSKVAVTGGKVTLSCHQTNNHDYMYWYRQDTGHG LRLIHYSYVADSTEKGDIPDGYKASRPSQENFSLILELASLSQTAVYFCASSD >ENSMUSP00000100088.3 pep:known chromosome:GRCm38:6:41135145:41135616:1 gene:ENSMUSG00000076471.3 transcript:ENSMUST00000103272.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv14 description:T cell receptor beta, variable 14 [Source:MGI Symbol;Acc:MGI:98587] MGTRLLGWAVFCLLDTVLSEAGVTQSPRYAVLQEGQAVSFWCDPISGHDTLYWYQQPRDQ GPQLLVYFRDEAVIDNSQLPSDRFSAVRPKGTNSTLKIQSAKQGDTATYLCASSF >ENSMUSP00000100089.1 pep:known chromosome:GRCm38:6:41141188:41141658:1 gene:ENSMUSG00000076472.2 transcript:ENSMUST00000103273.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv15 description:T cell receptor beta, variable 15 [Source:MGI Symbol;Acc:MGI:98586] MGIQTLCCVIFYVLIANHTDAGVTQTPRHEVAEKGQTIILKCEPVSGHNDLFWYRQTKIQ GLELLSYFRSKSLMEDGGAFKDRFKAEMLNSSFSTLKIQPTEPKDSAVYLCASSLA >ENSMUSP00000100090.3 pep:known chromosome:GRCm38:6:41151740:41152230:1 gene:ENSMUSG00000076473.3 transcript:ENSMUST00000103274.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv16 description:T cell receptor beta, variable 16 [Source:MGI Symbol;Acc:MGI:98585] MAPRLLFCLVLCFLRAEPTNAGVIQTPRHKVTGKGQEATLWCEPISGHSAVFWYRQTIVQ GLEFLTYFRNQAPIDDSGMPKERFSAQMPNQSHSTLKIQSTQPQDSAVYLCASSL >ENSMUSP00000100091.3 pep:known chromosome:GRCm38:6:41163062:41163556:1 gene:ENSMUSG00000076474.3 transcript:ENSMUST00000103275.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv17 description:T cell receptor beta, variable 17 [Source:MGI Symbol;Acc:MGI:98610] MDPRLLCCVIFCLLAATFVDTTVKQNPRYKLARVGKPVNLICSQTMNHDTMYWYQKKPNQ APKLLLFYYDKILNREADTFEKFQSSRPNNSFCSLYIGSAGLEYSAMYLCASSR >ENSMUSP00000100092.1 pep:known chromosome:GRCm38:6:41178375:41179048:1 gene:ENSMUSG00000076475.2 transcript:ENSMUST00000103276.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv19 description:T cell receptor beta, variable 19 [Source:MGI Symbol;Acc:MGI:98604] MNKWVFCWVTLCLLTVETTHGDGGIITQTPKFLIGQEGQKLTLKCQQNFNHDTMYWYRQD SGKGLRLIYYSITENDLQKGDLSEGYDASREKKSSFSLTVTSAQKNEMAVFLCASSI >ENSMUSP00000100093.1 pep:known chromosome:GRCm38:6:41188273:41188977:1 gene:ENSMUSG00000076476.1 transcript:ENSMUST00000103277.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv20 description:T cell receptor beta, variable 20 [Source:MGI Symbol;Acc:MGI:98589] MLLLLLLLGPGCGLGALVYQYPRRTICKSGTSMRMECQAVGFQATSVAWYRQSPQKTFEL IALSTVNSAIKYEQNFTQEKFPISHPNLSFSSMTVLNAYLEDRGLYLCGAR >ENSMUSP00000141778.1 pep:known chromosome:GRCm38:6:41202550:41203042:1 gene:ENSMUSG00000076477.3 transcript:ENSMUST00000195087.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv21 description:T cell receptor beta, variable 21 [Source:MGI Symbol;Acc:MGI:98593] MDSGVVQNPRHLVKGKEQKAKMDCTPINGHSYVYWYYKKPGEELKFLVYFQNEDIIDKID MIGKNISAKCPAKKPCTIEIQSSKLTDSAVYFCASSQ >ENSMUSP00000100094.2 pep:known chromosome:GRCm38:6:41202755:41203044:1 gene:ENSMUSG00000076477.3 transcript:ENSMUST00000103278.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv21 description:T cell receptor beta, variable 21 [Source:MGI Symbol;Acc:MGI:98593] DSGVVQNPRHLVKGKEQKAKMDCTPINGHSYVYWYYKKPGEELKFLVYFQNEDIIDKIDM IGKNISAKCPAKKPCTIEIQSSKLTDSAVYFCASSQS >ENSMUSP00000141642.1 pep:known chromosome:GRCm38:6:41216073:41216526:1 gene:ENSMUSG00000076478.7 transcript:ENSMUST00000193997.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv23 description:T cell receptor beta, variable V23 [Source:MGI Symbol;Acc:MGI:98595] MGARLICYVALCLLGAGSFDAAVTQKPRYLIKMKGQEAEMKCIPEKGHTAVFWYQQKQSK ELKFLIYFQNQQPLDQIDMVKERFSAVCPSSSLCSLGIRTCEAEDSALYLCSSSQS >ENSMUSP00000100095.2 pep:known chromosome:GRCm38:6:41216073:41216526:1 gene:ENSMUSG00000076478.7 transcript:ENSMUST00000103279.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv23 description:T cell receptor beta, variable V23 [Source:MGI Symbol;Acc:MGI:98595] MGARLICYVALCLLGAAFDAAVTQKPRYLIKMKGQEAEMKCIPEKGHTAVFWYQQKQSKE LKFLIYFQNQQPLDQIDMVKERFSAVCPSSSLCSLGIRTCEAEDSALYLCSSSQS >ENSMUSP00000141897.1 pep:known chromosome:GRCm38:6:41227505:41227993:1 gene:ENSMUSG00000076479.3 transcript:ENSMUST00000193064.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv26 description:T cell receptor beta, variable 26 [Source:MGI Symbol;Acc:MGI:98596] MATRLLCYTVLCLLGARILNSKVIQTPRYLVKGQGQKAKMRCIPEKGHPVVFWYQQNKNN EFKFLINFQNQEVLQQIDMTEKRFSAECPSNSPCSLEIQSSEAGDSALYLCASSLS >ENSMUSP00000100096.2 pep:known chromosome:GRCm38:6:41227525:41227993:1 gene:ENSMUSG00000076479.3 transcript:ENSMUST00000103280.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv26 description:T cell receptor beta, variable 26 [Source:MGI Symbol;Acc:MGI:98596] MATRLLCYTVLCLLGAILNSKVIQTPRYLVKGQGQKAKMRCIPEKGHPVVFWYQQNKNNE FKFLINFQNQEVLQQIDMTEKRFSAECPSNSPCSLEIQSSEAGDSALYLCASSLS >ENSMUSP00000100097.1 pep:known chromosome:GRCm38:6:41271394:41271881:1 gene:ENSMUSG00000076480.2 transcript:ENSMUST00000103281.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv29 description:T cell receptor beta, variable 29 [Source:MGI Symbol;Acc:MGI:98605] MRVRLISAVVLCFLGTGLVDMKVTQMPRYLIKRMGENVLLECGQDMSHETMYWYRQDPGL GLQLIYISYDVDSNSEGDIPKGYRVSRKKREHFSLILDSAKTNQTSVYFCASSLS >ENSMUSP00000141290.1 pep:known chromosome:GRCm38:6:41281376:41281990:1 gene:ENSMUSG00000076481.7 transcript:ENSMUST00000191646.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv30 description:T cell receptor beta, variable 30 [Source:MGI Symbol;Acc:MGI:98592] MWTFLLLLWSQGSVFSVLLYQKPNRDICQSGTSLKIQCVADSQVVSMFWYQQFQEQSLML MATANEGSEATYESGFTKDKFPISRPNLTFSTLTVNNARPGDSSIYFCSSR >ENSMUSP00000100098.2 pep:known chromosome:GRCm38:6:41281376:41281990:1 gene:ENSMUSG00000076481.7 transcript:ENSMUST00000103282.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv30 description:T cell receptor beta, variable 30 [Source:MGI Symbol;Acc:MGI:98592] MWTFLLLLWSQAVFSVLLYQKPNRDICQSGTSLKIQCVADSQVVSMFWYQQFQEQSLMLM ATANEGSEATYESGFTKDKFPISRPNLTFSTLTVNNARPGDSSIYFCSSR >ENSMUSP00000141434.1 pep:known chromosome:GRCm38:6:41557693:41558452:-1 gene:ENSMUSG00000076499.3 transcript:ENSMUST00000193003.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv31 description:T cell receptor beta, variable 31 [Source:MGI Symbol;Acc:MGI:98588] MLYSLLAFLLGMFLGVSAQTIHQWPVAEIKAVGSPLSLGCTIKGKSSPNLYWYWQATGGT LQQLFYSITVGQVESVVQLNLSASRPKDDQFILSTEKLLLSHSGFYLCAWSL >ENSMUSP00000100101.2 pep:known chromosome:GRCm38:6:41557691:41558371:-1 gene:ENSMUSG00000076499.3 transcript:ENSMUST00000103300.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trbv31 description:T cell receptor beta, variable 31 [Source:MGI Symbol;Acc:MGI:98588] MLYSLLAFLLGMFLVSAQTIHQWPVAEIKAVGSPLSLGCTIKGKSSPNLYWYWQATGGTL QQLFYSITVGQVESVVQLNLSASRPKDDQFILSTEKLLLSHSGFYLCAWSL >ENSMUSP00000143388.1 pep:known chromosome:GRCm38:14:53977153:53977678:1 gene:ENSMUSG00000106289.1 transcript:ENSMUST00000199137.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav23 description:T cell receptor alpha variable 23 [Source:MGI Symbol;Acc:MGI:5009959] MKTALAMILRLQLDWLCGEKTVQQTPPFLGSWEGRNSTILCSYSRSATDSLLWYRQDLGE SLEFLFALLSNGAVKRDRRLTASLDQKARLSALHFSPALLRTPAQPLCHLLLCPG >ENSMUSP00000100456.1 pep:known chromosome:GRCm38:14:54074905:54075515:1 gene:ENSMUSG00000076867.2 transcript:ENSMUST00000103679.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trdv4 description:T cell receptor delta variable 4 [Source:MGI Symbol;Acc:MGI:4887419] MLWRCPVLCIFIFSTGTSLDVYLEPVAKTFTVVAGDPASFYCTVTGGDMKNYHMSWYKKN GTNALFLVYKLNSNSTDGGKSNLKGKINISKNQFILDIQKATMKDAGTYYCGSDI >ENSMUSP00000100458.1 pep:known chromosome:GRCm38:14:54148662:54149294:-1 gene:ENSMUSG00000076873.2 transcript:ENSMUST00000103685.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trdv5 description:T cell receptor delta variable 5 [Source:MGI Symbol;Acc:MGI:3704133] MILAATLTLLFAYKDVLCITLTQSSTDQTVASGTEVTLLCTYNADSPNPDLFWYRKRPDR SFQFILYRDDTSSHDADFVQGRFSVKHSKANRTFHLVISPVSLEDSATYYCASGY >ENSMUSP00000100334.1 pep:known chromosome:GRCm38:13:19178024:19178492:1 gene:ENSMUSG00000076744.2 transcript:ENSMUST00000103553.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Tcrg-V7 description:T cell receptor gamma, variable 7 [Source:MGI Symbol;Acc:MGI:98637] MLWALALLLAFLPAGRQTSSNLEERIMSITKLEGSSAIMTCDTHRTGTYIHWYRFQKGRA PEHLLYYNFVSSTTVVDSRFNLEKYHVYEGPDKRYKFVLRNVEESDSALYYCASWAG >ENSMUSP00000100335.4 pep:known chromosome:GRCm38:13:19184974:19185506:1 gene:ENSMUSG00000076745.4 transcript:ENSMUST00000103554.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Tcrg-V4 description:T cell receptor gamma, variable 4 [Source:MGI Symbol;Acc:MGI:98634] MKNPGSQALLPLYLPWEANLADENPLLKVVIFLCLLTFGHGKLEQPEISISRPRDETAQI SCKVFIESFRSVTIHWYRQKPNQGLEFLLYVLATPTHIFLDKEYKKMEASKNPSASTSIL TIYSLEEEDEAIYYCSYG* >ENSMUSP00000143714.1 pep:known chromosome:GRCm38:13:19192278:19192725:1 gene:ENSMUSG00000076747.3 transcript:ENSMUST00000199017.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Tcrg-V5 description:T cell receptor gamma, variable 5 [Source:MGI Symbol;Acc:MGI:98635] MSTSWLFLLSLTCVYGDSWISQDQLSFTRRPNKTVHISCKLSGVPLHNTIVHWYQLKEGE PLRRIFYGSVKTYKQDKSHSRLEIDEKDDGTFYLIINNVVTSDEATYYCACWDL >ENSMUSP00000100337.2 pep:known chromosome:GRCm38:13:19192433:19192725:1 gene:ENSMUSG00000076747.3 transcript:ENSMUST00000103556.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Tcrg-V5 description:T cell receptor gamma, variable 5 [Source:MGI Symbol;Acc:MGI:98635] DSWISQDQLSFTRRPNKTVHISCKLSGVPLHNTIVHWYQLKEGEPLRRIFYGSVKTYKQD KSHSRLEIDEKDDGTFYLIINNVVTSDEATYYCACWDL >ENSMUSP00000142811.1 pep:known chromosome:GRCm38:13:19190356:19190929:1 gene:ENSMUSG00000076746.3 transcript:ENSMUST00000198330.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Tcrg-V6 description:T cell receptor gamma, variable 6 [Source:MGI Symbol;Acc:MGI:98636] MGLLLQVFTLASLRIYSEGSSLTSPLGSYVIKRKGNTAFLKCQIKTSVQKPDAYIHWYQE KPGQRLQRMLCSSSKENIVYEKDFSDERYEARTWQSDLSSVLTIHQVTEEDTGTYYCACW D >ENSMUSP00000100336.2 pep:known chromosome:GRCm38:13:19190368:19190929:1 gene:ENSMUSG00000076746.3 transcript:ENSMUST00000103555.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Tcrg-V6 description:T cell receptor gamma, variable 6 [Source:MGI Symbol;Acc:MGI:98636] MGLLLQVFTLASLRICKCMAFRGIEDTGKRARSSLTSPLGSYVIKRKGNTAFLKCQIKTS VQKPDAYIHWYQEKPGQRLQRMLCSSSKENIVYEKDFSDERYEARTWQSDLSSVLTIHQV TEEDTGTYYCACWD >ENSMUSP00000100339.2 pep:known chromosome:GRCm38:13:19242845:19243301:1 gene:ENSMUSG00000076750.6 transcript:ENSMUST00000103559.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Tcrg-V3 description:T cell receptor gamma, variable 3 [Source:MGI Symbol;Acc:MGI:98633] MLLLRMFTSCCCLWVWLGQLEQTELSVTRATDESAQISCIVSLPCFSNTAIHWYRQKPNQ QFEYLIYVETNYNQQPLGGKNKKIEASKDFQTSTSTLKINYLKKEDEATYYCAVWI >ENSMUSP00000142927.1 pep:known chromosome:GRCm38:13:19242845:19243301:1 gene:ENSMUSG00000076750.6 transcript:ENSMUST00000198663.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Tcrg-V3 description:T cell receptor gamma, variable 3 [Source:MGI Symbol;Acc:MGI:98633] MLLLRMFTSCCCLWVFGLGQLEQTELSVTRATDESAQISCIVSLPCFSNTAIHWYRQKPN QQFEYLIYVETNYNQQPLGGKNKKIEASKDFQTSTSTLKINYLKKEDEATYYCAVWI >ENSMUSP00000100341.1 pep:known chromosome:GRCm38:13:19336573:19337167:-1 gene:ENSMUSG00000076754.2 transcript:ENSMUST00000103563.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trgv2 description:T cell receptor gamma variable 2 [Source:MGI Symbol;Acc:MGI:98632] MLLLRWFTSCCLWVFGLGQLEQTELSVTRETDENVQISCIVYLPYFSNTAIHWYRQKTNQ QFEYLIYVATNYNQRPLGGKHKKIEASKDFKSSTSTLEINYLKKEDEATYYCAVWM >ENSMUSP00000100342.1 pep:known chromosome:GRCm38:13:19339989:19340454:1 gene:ENSMUSG00000076755.2 transcript:ENSMUST00000103564.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Gm16602 description:T cell receptor gamma, variable 1 [Source:MGI Symbol;Acc:MGI:98631] MLLLRWPTFCCLWVFGLGQLEQTELSVTRETDESAQISCIVSLPYFSNTAIHWYRQKAKK FEYLIYVSTNYNQRPLGGKNKKIEASKDFQTSTSTLKINYLKKEDEATYYCAVWI >ENSMUSP00000137708.2 pep:known chromosome:GRCm38:14:53132679:53133137:1 gene:ENSMUSG00000076802.3 transcript:ENSMUST00000181793.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6n-6 description:T cell receptor alpha variable 6N-6 [Source:MGI Symbol;Acc:MGI:3642618] MDSSPGFVAVILLILGRTHGDSVTQTEGQVTVSESKSLIINCTYSATSIGYPNLFWYVRY PGEGLQLLLKVITAGQKGSSRGFEATYNKEATSFHLQKASVQESDSAVYYCALS >ENSMUSP00000100390.2 pep:known chromosome:GRCm38:14:53132851:53133137:1 gene:ENSMUSG00000076802.3 transcript:ENSMUST00000103613.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6n-6 description:T cell receptor alpha variable 6N-6 [Source:MGI Symbol;Acc:MGI:3642618] GDSVTQTEGQVTVSESKSLIINCTYSATSIGYPNLFWYVRYPGEGLQLLLKVITAGQKGS SRGFEATYNKEATSFHLQKASVQESDSAVYYCALS >ENSMUSP00000143681.1 pep:known chromosome:GRCm38:14:53146919:53147448:1 gene:ENSMUSG00000105629.1 transcript:ENSMUST00000199753.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7n-5 description:T cell receptor alpha variable 7N-5 [Source:MGI Symbol;Acc:MGI:3644782] MKSLSVSLVVLWLQFNWVRSQQKVQQSPESLTVSEGAMASLNCTFSDRSSDNFRWYRQHS GKGLEVLVSIFSDGEKEEGSFTAHLNRASLHVFLHIREPQPSDSALYLCAVS >ENSMUSP00000143191.1 pep:known chromosome:GRCm38:14:53155512:53156088:1 gene:ENSMUSG00000096055.2 transcript:ENSMUST00000198682.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12n-1 description:T cell receptor alpha variable 12N-1 [Source:MGI Symbol;Acc:MGI:3782477] MNMRPVTCSVLVLLLMLRRSNGDSVTQTEGLVTVTEGLPVMLNCTYQTAYSDVAFFWYVQ YLNEAPKLLLRSSTDNKRTEHQGFHATLHKSSSSFHLQKSSVQLSDSALYYCALS >ENSMUSP00000100364.3 pep:known chromosome:GRCm38:14:53155601:53156088:1 gene:ENSMUSG00000096055.2 transcript:ENSMUST00000103587.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12n-1 description:T cell receptor alpha variable 12N-1 [Source:MGI Symbol;Acc:MGI:3782477] MNMRPVTCSVLVLLLMLRSNGDSVTQTEGLVTVTEGLPVMLNCTYQTAYSDVAFFWYVQY LNEAPKLLLRSSTDNKRTEHQGFHATLHKSSSSFHLQKSSVQLSDSALYYCALS >ENSMUSP00000142913.1 pep:known chromosome:GRCm38:14:53162399:53162910:1 gene:ENSMUSG00000104629.1 transcript:ENSMUST00000198359.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13n-1 description:T cell receptor alpha variable 13N-1 [Source:MGI Symbol;Acc:MGI:5009926] MKRLLCSLLGLLCTQVCWVKGQQVQQSPTSLVLQEGENAELQCNFSTSLNSMQWFYQRPG GSLISVFYNPSGTKQSGRLTSTTVIKERRSSLHISSSQITDSGTYLCAME >ENSMUSP00000143569.1 pep:known chromosome:GRCm38:14:53166626:53167178:1 gene:ENSMUSG00000096827.2 transcript:ENSMUST00000196639.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14n-1 description:T cell receptor alpha variable 14N-1 [Source:MGI Symbol;Acc:MGI:3642439] MDKILTATFLLLGLHLAGVNGQQQEKRDQQQVRQSPQSLTVWEGETAILNCSYEDSTFNY FPWYQQFPGEGPALLISIRSVSDKKEDGRFTIFFNKREKKLSLHITDSQPGDSATYFCAA S >ENSMUSP00000137036.1 pep:known chromosome:GRCm38:14:53166677:53167178:1 gene:ENSMUSG00000096827.2 transcript:ENSMUST00000177578.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14n-1 description:T cell receptor alpha variable 14N-1 [Source:MGI Symbol;Acc:MGI:3642439] MDKILTATFLLLGLHLEKRDQQQVRQSPQSLTVWEGETAILNCSYEDSTFNYFPWYQQFP GEGPALLISIRSVSDKKEDGRFTIFFNKREKKLSLHITDSQPGDSATYFCAAS >ENSMUSP00000143704.1 pep:known chromosome:GRCm38:14:53174325:53174896:1 gene:ENSMUSG00000094413.5 transcript:ENSMUST00000197433.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav15n-1 description:T cell receptor alpha variable 15N-1 [Source:MGI Symbol;Acc:MGI:4439864] MPPHSLFCVLVALAFSGSNVAEKVIQVWSTASRQEGEELTLDCSYETSQVLYHLFWYKHL LSGEMVFLIRQTSSSTAKERSGRYSVVFQKSLKSISLIISALQPDDSGKYFCALWEL >ENSMUSP00000100367.3 pep:known chromosome:GRCm38:14:53174325:53174896:1 gene:ENSMUSG00000094413.5 transcript:ENSMUST00000103590.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav15n-1 description:T cell receptor alpha variable 15N-1 [Source:MGI Symbol;Acc:MGI:4439864] MPPHSLFCVLVALAFSANVAEKVIQVWSTASRQEGEELTLDCSYETSQVLYHLFWYKHLL SGEMVFLIRQTSSSTAKERSGRYSVVFQKSLKSISLIISALQPDDSGKYFCALWEL >ENSMUSP00000142448.1 pep:known chromosome:GRCm38:14:53203122:53203554:1 gene:ENSMUSG00000093813.5 transcript:ENSMUST00000198913.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9n-2 description:T cell receptor alpha variable 9N-2 [Source:MGI Symbol;Acc:MGI:3704438] MLLALLPVLGIHFVLRDAQAQSVTQPDARVTVSEGASLQLRCKYSSSGTPYLFWYVQYPR QGLQLLLKYYSGDPVVQGVNGFEAEFSKSNSSFHLRKASVHWSDSAVYFCVLS >ENSMUSP00000137598.1 pep:known chromosome:GRCm38:14:53203122:53203554:1 gene:ENSMUSG00000093813.5 transcript:ENSMUST00000180097.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9n-2 description:T cell receptor alpha variable 9N-2 [Source:MGI Symbol;Acc:MGI:3704438] MLLALLPVLGIHFVLNAQAQSVTQPDARVTVSEGASLQLRCKYSSSGTPYLFWYVQYPRQ GLQLLLKYYSGDPVVQGVNGFEAEFSKSNSSFHLRKASVHWSDSAVYFCVLS >ENSMUSP00000100395.1 pep:known chromosome:GRCm38:14:53210906:53211407:1 gene:ENSMUSG00000095572.1 transcript:ENSMUST00000103618.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav4n-3 description:T cell receptor alpha variable 4N-3 [Source:MGI Symbol;Acc:MGI:3782086] MERNLGAVLGILWVQICWVSGDKVKQSPSALSLQEGTNSALRCNFSIAATTVQWFLQNPR GSLMNLFYLVPGTKENGRLKSAFDSKESYSTLHIRDAQLEDSGTYFCAAE >ENSMUSP00000143168.1 pep:known chromosome:GRCm38:14:53226773:53227400:1 gene:ENSMUSG00000096531.2 transcript:ENSMUST00000196674.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12n-2 description:T cell receptor alpha variable 12N-2 [Source:MGI Symbol;Acc:MGI:3644334] MRPDTCSVLVLLLMLRRSNGDSVTQTEGLVTLTKGLPVMLNCTYQTTYSPFLFWYVQHLN EAPKLLLKSSTDNKRTEHQGFYATLHKSSSSFHLQKSSVQLSDSALYFCALS >ENSMUSP00000100396.2 pep:known chromosome:GRCm38:14:53226883:53227400:1 gene:ENSMUSG00000096531.2 transcript:ENSMUST00000103619.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12n-2 description:T cell receptor alpha variable 12N-2 [Source:MGI Symbol;Acc:MGI:3644334] MNMRPDTCSVLVLLLMLRSNGDSVTQTEGLVTLTKGLPVMLNCTYQTTYSPFLFWYVQHL NEAPKLLLKSSTDNKRTEHQGFYATLHKSSSSFHLQKSSVQLSDSALYFCALS >ENSMUSP00000136185.1 pep:known chromosome:GRCm38:14:53231433:53232102:1 gene:ENSMUSG00000096541.2 transcript:ENSMUST00000177705.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9n-3 description:T cell receptor alpha variable 9N-3 [Source:MGI Symbol;Acc:MGI:3782511] MLLALLPVLGIHFLLRDAQAQSVTQPDARVTVSEGASLQLRCKYSYFGTPYLFWYVQYPR QGLQLLLKYYPGDPVVQGVNGFEAEFSKSNSSFHLRKASVHWSDWAVYFCAVS >ENSMUSP00000137319.2 pep:known chromosome:GRCm38:14:53240745:53241244:1 gene:ENSMUSG00000093915.2 transcript:ENSMUST00000179583.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12n-3 description:T cell receptor alpha variable 12N-3 [Source:MGI Symbol;Acc:MGI:3704131] MRPVTCSVLVLLLMLRRSNGDGDSVTQTEGLVTLTEGLPVMLNCTYQTIYSNPFLFWYVQ HLNESPRLLLKSFTDNKRTEHQGFHATLHKSSSSFHLQKSSAQLSDSALYYCALS >ENSMUSP00000143076.1 pep:known chromosome:GRCm38:14:53253792:53254317:1 gene:ENSMUSG00000094779.2 transcript:ENSMUST00000196941.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13n-2 description:T cell receptor alpha variable 13N-2 [Source:MGI Symbol;Acc:MGI:3642345] MKRLLCSLLGLLCTQVCWVKGQQVQQSPASLVLQEGENAELQCNFSSTATQLQWFYQSPG GSLVSLLSNPSGTKHTGRLTSTTVTKERRSSLHISSSQTTDSGTYLCAID >ENSMUSP00000100399.2 pep:known chromosome:GRCm38:14:53254047:53254310:1 gene:ENSMUSG00000094779.2 transcript:ENSMUST00000103622.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13n-2 description:T cell receptor alpha variable 13N-2 [Source:MGI Symbol;Acc:MGI:3642345] GQQVQQSPASLVLQEGENAELQCNFSSTATQLQWFYQSPGGSLVSLLSNPSGTKHTGRLT STTVTKERRSSLHISSSQTTDSGTYLCA >ENSMUSP00000143134.1 pep:known chromosome:GRCm38:14:53259578:53260179:1 gene:ENSMUSG00000093801.2 transcript:ENSMUST00000197614.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14n-2 description:T cell receptor alpha variable 14N-2 [Source:MGI Symbol;Acc:MGI:3645258] MDKILTASFLLLGLHLAGVSGQQQEKRDQQQVRQSPQSLTVWEGETAILNCSYEDSTFDY FPWYWQFPRESPALLIAIRPVSNKKEDGRFTIFFNKREKKLSLHITDSQPGDSATYFCAA S >ENSMUSP00000100400.2 pep:known chromosome:GRCm38:14:53259900:53260175:1 gene:ENSMUSG00000093801.2 transcript:ENSMUST00000103623.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14n-2 description:T cell receptor alpha variable 14N-2 [Source:MGI Symbol;Acc:MGI:3645258] QQQVRQSPQSLTVWEGETAILNCSYEDSTFDYFPWYWQFPRESPALLIAIRPVSNKKEDG RFTIFFNKREKKLSLHITDSQPGDSATYFCAA >ENSMUSP00000143056.1 pep:known chromosome:GRCm38:14:53267917:53268535:1 gene:ENSMUSG00000104915.1 transcript:ENSMUST00000199112.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav15n-2 description:T cell receptor alpha variable 15N-2 [Source:MGI Symbol;Acc:MGI:3649172] MPPQSLLCVLVALAFSGCNVAQRVTQVQPTGSSQWGEEVTLDCSYETSEYFYRIFWYRQL FSGEMVFLIYQPSFDTQNQRSGRYSVVFQKSFKSISLVISASQPEDSGTYFCALSEL >ENSMUSP00000142822.1 pep:known chromosome:GRCm38:14:53283585:53284175:1 gene:ENSMUSG00000094877.2 transcript:ENSMUST00000197557.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav3n-3 description:T cell receptor alpha variable 3N-3 [Source:MGI Symbol;Acc:MGI:3782518] MKTVTGPLFLCFWLQLNCVSRGEQVEQRPPHLSVREGDSAVIICTYTDPNSYYFFWYKQE PGAGLQLLMKVFSSTEINEGQGFTVLLNKKDKQLSLNLTAAHPGDSAVYFCAVS >ENSMUSP00000100402.2 pep:known chromosome:GRCm38:14:53283896:53284171:1 gene:ENSMUSG00000094877.2 transcript:ENSMUST00000103625.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav3n-3 description:T cell receptor alpha variable 3N-3 [Source:MGI Symbol;Acc:MGI:3782518] GEQVEQRPPHLSVREGDSAVIICTYTDPNSYYFFWYKQEPGAGLQLLMKVFSSTEINEGQ GFTVLLNKKDKQLSLNLTAAHPGDSAVYFCAV >ENSMUSP00000100403.1 pep:known chromosome:GRCm38:14:53294341:53295030:1 gene:ENSMUSG00000094231.2 transcript:ENSMUST00000103626.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9n-4 description:T cell receptor alpha variable 9N-4 [Source:MGI Symbol;Acc:MGI:3583949] MLLALLPVLGIHFVLRDAQAQSVTQPDARVTVSEGASLQLRCKYSYSATPYLFWYVQYPR QGLQLLLKYYSGDPVVQGVNSFEAEFSKSNSSFHLQKASVHWSDSAVYFCALS >ENSMUSP00000100404.1 pep:known chromosome:GRCm38:14:53302036:53302559:1 gene:ENSMUSG00000093969.2 transcript:ENSMUST00000103627.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav4n-4 description:T cell receptor alpha variable 4N-4 [Source:MGI Symbol;Acc:MGI:3704439] MQRNLGAVLGILWVQICWVRGDQVEQSPSALSLHEGTGSALRCNFTTTMRAVQWFRKNSR GSLINLFYLASGTKENGRLKSAFDSKERYSTLHIRDAQLEDSGTYFCAAE >ENSMUSP00000137359.1 pep:known chromosome:GRCm38:14:53312904:53313422:1 gene:ENSMUSG00000096168.1 transcript:ENSMUST00000179997.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav5n-4 description:T cell receptor alpha variable 5N-4 [Source:MGI Symbol;Acc:MGI:3704440] MKTYAPTLFMFLWLQLDGMSQGEQVEQLPSILRVQEGSSASINCTYENSASNYFPWYKQE PGENPKLIIDIRSNMERKQTQGLIVLLDKKAKRFSLHITDTQPGDSAMYFCAAS >ENSMUSP00000137407.2 pep:known chromosome:GRCm38:14:53318798:53319284:1 gene:ENSMUSG00000095370.2 transcript:ENSMUST00000179607.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6n-7 description:T cell receptor alpha variable 6N-7 [Source:MGI Symbol;Acc:MGI:3704441] MGLKMNSSPGFMTVMLLIFTRAHGDSVTQTEGQVALSEEDFLTIHCNYSASGYPALFWYV QYPGEGPQFLFRASRDKEKGSSRGFEATYDKGTTSFHLRKASVQESDSAVYYCALG >ENSMUSP00000136007.2 pep:known chromosome:GRCm38:14:53324632:53325208:1 gene:ENSMUSG00000105080.1 transcript:ENSMUST00000178100.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7n-6 description:T cell receptor alpha variable 7N-6 [Source:MGI Symbol;Acc:MGI:3704442] MHSLHVSLVFLWLQLGGVSSQEKVQQSPESLIVPEGAMSSLNCTFSNSASQSIWWYQQHP GKGPEALISIFSNGNKKEGRLTVYLNRASLHVSLHIRDSQPSDSAVYLCAVS >ENSMUSP00000136279.1 pep:known chromosome:GRCm38:14:53337122:53337639:1 gene:ENSMUSG00000096038.2 transcript:ENSMUST00000179580.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13n-3 description:T cell receptor alpha variable 13N-3 [Source:MGI Symbol;Acc:MGI:3645598] MKRLLCSLLGLLCTQVCWVKGQQVQQSPPASLVLQEGENAELQCTYSTTLNSMQWFYQRP GGRLVSLLYSPSWAEQRGGRLTSSAASNESRSSLHISSSQITDSGTYLCAME >ENSMUSP00000100409.3 pep:known chromosome:GRCm38:14:53345890:53346424:1 gene:ENSMUSG00000076821.3 transcript:ENSMUST00000103632.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav8n-2 description:T cell receptor alpha variable 8n-2 [Source:MGI Symbol;Acc:MGI:3641705] MNRFLGISLVTLWFQVAWAKSQWGEENLQALSIQEGEDVTMNCSYKTYTTVVQWYRQKSG KGPAQLILIRSNEREKRSGRLRATLDTSSQSSSLSITGTLATDTAVYFCATD >ENSMUSP00000143456.1 pep:known chromosome:GRCm38:14:53351028:53351621:1 gene:ENSMUSG00000076822.2 transcript:ENSMUST00000199280.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav16n description:T cell receptor alpha variable 16n [Source:MGI Symbol;Acc:MGI:4439761] MLILSLLGAAFGSICFATSMAQKVTQTQTSISVVEKTTVTMDCVYETRDSSYFLFWYKQT ASGEIVFLIRQDSYKKENATVGHYSLNFQKPKSSIGLIITATQIEDSAVYFCAMREG >ENSMUSP00000100410.1 pep:known chromosome:GRCm38:14:53351090:53351621:1 gene:ENSMUSG00000076822.2 transcript:ENSMUST00000103633.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav16n description:T cell receptor alpha variable 16n [Source:MGI Symbol;Acc:MGI:4439761] MLILSLLGAAFGSICFAATSMAQKVTQTQTSISVVEKTTVTMDCVYETRDSSYFLFWYKQ TASGEIVFLIRQDSYKKENATVGHYSLNFQKPKSSIGLIITATQIEDSAVYFCAMREG >ENSMUSP00000143372.1 pep:known chromosome:GRCm38:14:53362267:53364106:1 gene:ENSMUSG00000076823.3 transcript:ENSMUST00000196105.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13n-4 description:T cell receptor alpha variable 13N-4 [Source:MGI Symbol;Acc:MGI:3642678] MKRLLCSLLGLLCTQVCWLKEQQVQQSPASLVLQEAENAELQCSFSIFTNQVQWFYQRPG GRLVSLLYNPSGTKQSGRLTSTTVIKERRSSLHISSSQITDSGTYLCAME >ENSMUSP00000100411.2 pep:known chromosome:GRCm38:14:53363836:53364102:1 gene:ENSMUSG00000076823.3 transcript:ENSMUST00000103634.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13n-4 description:T cell receptor alpha variable 13N-4 [Source:MGI Symbol;Acc:MGI:3642678] EQQVQQSPASLVLQEAENAELQCSFSIFTNQVQWFYQRPGGRLVSLLYNPSGTKQSGRLT STTVIKERRSSLHISSSQITDSGTYLCAM >ENSMUSP00000100429.4 pep:known chromosome:GRCm38:14:53370077:53370575:1 gene:ENSMUSG00000076824.3 transcript:ENSMUST00000103652.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14n-3 description:T cell receptor alpha variable 14N-3 [Source:MGI Symbol;Acc:MGI:3584039] MDKILTALFLLLGLHLAGVSGQQEKHDQQQVRQSSQSLTVWEGETAILNCSYENSAFDYF PWYQQFPGEGPALLIAIRSVSDKKEDGRFTIFFNKREKNLSLHIKDSQPGDSATYFCAAS >ENSMUSP00000100412.2 pep:known chromosome:GRCm38:14:53370296:53370575:1 gene:ENSMUSG00000076824.3 transcript:ENSMUST00000103635.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14n-3 description:T cell receptor alpha variable 14N-3 [Source:MGI Symbol;Acc:MGI:3584039] QQQVRQSSQSLTVWEGETAILNCSYENSAFDYFPWYQQFPGEGPALLIAIRSVSDKKEDG RFTIFFNKREKNLSLHIKDSQPGDSATYFCAAS >ENSMUSP00000100413.2 pep:known chromosome:GRCm38:14:53390635:53391142:1 gene:ENSMUSG00000096417.2 transcript:ENSMUST00000103636.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7-2 description:T cell receptor alpha variable 7-2 [Source:MGI Symbol;Acc:MGI:3649607] MKSFSISLVVLWLQLNWVNSQQKVQQSPESLIVPEGGMASLNCTSSDRNVDYFWWYRQHS GKSPKMLMSIFSNGEKEEGRFTVHLNKASLHTSLHIRDSQPSDSALYLCAAS >ENSMUSP00000100414.3 pep:known chromosome:GRCm38:14:53418349:53418873:1 gene:ENSMUSG00000076826.5 transcript:ENSMUST00000103637.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav4-2 description:T cell receptor alpha variable 4-2 [Source:MGI Symbol;Acc:MGI:3649605] MERSPGTVLGLLLVPLCWVRGMPVEQNPPALSLYEGAESGLRCNFSTTMKGVQWFQQNHR GRLITLFYLAQGTKENGRLKSTFNSKERYSTLHIKDAQLEDSGTYFCAVE >ENSMUSP00000137964.1 pep:known chromosome:GRCm38:14:53428711:53430377:1 gene:ENSMUSG00000094220.5 transcript:ENSMUST00000180549.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-3 description:T cell receptor alpha variable 6-3 [Source:MGI Symbol;Acc:MGI:3649610] MNNSPALVTVMLFILGRTHGDSVIQMQGQVTLSENDFLFINCTYSTTGYPTLFWYVQYSG EGPQLLLQVTTANNKGSSRGFEATYDKGTTSFHLQKTSVQEIDSAVYYCAMR >ENSMUSP00000138968.1 pep:known chromosome:GRCm38:14:53430073:53430377:1 gene:ENSMUSG00000094220.5 transcript:ENSMUST00000183604.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-3 description:T cell receptor alpha variable 6-3 [Source:MGI Symbol;Acc:MGI:3649610] LFLSGRTHGDSVIQMQGQVTLSENDFLFINCTYSTTGYPTLFWYVQYSGEGPQLLLQVTT ANNKGSSRGFEATYDKGTTSFHLQKTSVQEIDSAVYYCAMR >ENSMUSP00000137590.1 pep:known chromosome:GRCm38:14:53443249:53443839:1 gene:ENSMUSG00000096908.3 transcript:ENSMUST00000177622.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7-3 description:T cell receptor alpha variable 7-3 [Source:MGI Symbol;Acc:MGI:3649556] MKSLSVSLVVLWLQLNWVNSQQKVQQSPESLIVPEGAMTSLNCTFSDSASQYFAWYRQHS GKAPKALMSIFSNGEKEEGRFTIHLNKASLHFSLHIRDSQPSDSALYLCAVS >ENSMUSP00000137807.1 pep:known chromosome:GRCm38:14:53454296:53454784:1 gene:ENSMUSG00000094468.5 transcript:ENSMUST00000180711.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-4 description:T cell receptor alpha variable 6-4 [Source:MGI Symbol;Acc:MGI:3702153] MNTSPVLVTVMLLFMLGMRKTHGDSVTQKQGQVTLSEDDFLFINCTYSTTTYPTLLWYVQ YLGQGPQLLLKVTTANNKGISRGFEATYDKGTTSFHLQKASVQESDSAVYFCALV >ENSMUSP00000139283.1 pep:known chromosome:GRCm38:14:53454327:53454784:1 gene:ENSMUSG00000094468.5 transcript:ENSMUST00000184650.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-4 description:T cell receptor alpha variable 6-4 [Source:MGI Symbol;Acc:MGI:3702153] MNTSPVLVTVMLLFMLETHGDSVTQKQGQVTLSEDDFLFINCTYSTTTYPTLLWYVQYLG QGPQLLLKVTTANNKGISRGFEATYDKGTTSFHLQKASVQESDSAVYFCALV >ENSMUSP00000100389.1 pep:known chromosome:GRCm38:14:53122114:53122610:1 gene:ENSMUSG00000095646.1 transcript:ENSMUST00000103612.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav10n description:T cell receptor alpha variable 10N [Source:MGI Symbol;Acc:MGI:3647936] MKTSLHTVFLFLWLWMDWESHGEKVEQHESTLSVREGDSAVINCTYTDTASSYFPWYKQE AGKSLHFVIDIRSNVDRKQSQRLTVLLDKKAKRFSLHITATQPEDSAIYFCAAS >ENSMUSP00000100388.1 pep:known chromosome:GRCm38:14:53104871:53105344:1 gene:ENSMUSG00000076800.1 transcript:ENSMUST00000103611.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6n-5 description:T cell receptor alpha variable 6N-5 [Source:MGI Symbol;Acc:MGI:3704437] MNLCPELGILLFLMLFESNGDSVTQTEGPVTLSEGTSLTVNCSYETKQYPTLFWYVQYPG EGPQLLFKVPKANEKGSNRGFEATYNKEATSFHLQKASVQESDSAVYYCALG >ENSMUSP00000142721.1 pep:known chromosome:GRCm38:14:52942764:52943289:1 gene:ENSMUSG00000094758.2 transcript:ENSMUST00000197954.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13d-2 description:T cell receptor alpha variable 13D-2 [Source:MGI Symbol;Acc:MGI:3643151] MKRLLCSLLGLLCTQVCWVKGQQVQQSPASLVLQEGENAELQCNFSSTATQLQWFYQSPG GSLVSLLSNPSGTKHTGRLTSTTVTKERRSSLHISSSQTTDSGTYLCAID >ENSMUSP00000100373.2 pep:known chromosome:GRCm38:14:52943019:52943282:1 gene:ENSMUSG00000094758.2 transcript:ENSMUST00000103596.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13d-2 description:T cell receptor alpha variable 13D-2 [Source:MGI Symbol;Acc:MGI:3643151] GQQVQQSPASLVLQEGENAELQCNFSSTATQLQWFYQSPGGSLVSLLSNPSGTKHTGRLT STTVTKERRSSLHISSSQTTDSGTYLCA >ENSMUSP00000142348.1 pep:known chromosome:GRCm38:14:52948568:52949169:1 gene:ENSMUSG00000095560.2 transcript:ENSMUST00000196802.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14d-2 description:T cell receptor alpha variable 14D-2 [Source:MGI Symbol;Acc:MGI:3646138] MDKILTASFLLLGLHLAGVSGQQQEKRDQQQVRQSPQSLTVWEGETAILNCSYEDSTFDY FPWYWQFPRESPALLIAIRPVSNKKEDGRFTIFFNKREKKLSLHITDSQPGDSATYFCAA S >ENSMUSP00000100374.2 pep:known chromosome:GRCm38:14:52948890:52949165:1 gene:ENSMUSG00000095560.2 transcript:ENSMUST00000103597.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14d-2 description:T cell receptor alpha variable 14D-2 [Source:MGI Symbol;Acc:MGI:3646138] QQQVRQSPQSLTVWEGETAILNCSYEDSTFDYFPWYWQFPRESPALLIAIRPVSNKKEDG RFTIFFNKREKKLSLHITDSQPGDSATYFCAA >ENSMUSP00000143660.1 pep:known chromosome:GRCm38:14:52956782:52957400:1 gene:ENSMUSG00000105953.1 transcript:ENSMUST00000199800.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav15d-2-dv6d-2 description:T cell receptor alpha variable 15D-2-DV6D-2 [Source:MGI Symbol;Acc:MGI:3800299] MPPQSLLCVLVALAFSGCNVAQRVTQVQPTGSSQWGEEVTLDCSYETSEYFYRIFWYRQL FSGEMVFLIYQPSFDTQNQRSGRYSVVFQKSFKSISLVISASQPEDSGTYFCALSEL >ENSMUSP00000143158.1 pep:known chromosome:GRCm38:14:52972448:52973038:1 gene:ENSMUSG00000093953.2 transcript:ENSMUST00000196023.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav3d-3 description:T cell receptor alpha variable 3D-3 [Source:MGI Symbol;Acc:MGI:3782469] MKTVTGPLFLCFWLQLNCVSRGEQVEQRPPHLSVREGDSAVIICTYTDPNSYYFFWYKQE PGAGLQLLMKVFSSTEINEGQGFTVLLNKKDKQLSLNLTAAHPGDSAVYFCAVS >ENSMUSP00000100376.2 pep:known chromosome:GRCm38:14:52972759:52973034:1 gene:ENSMUSG00000093953.2 transcript:ENSMUST00000103599.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav3d-3 description:T cell receptor alpha variable 3D-3 [Source:MGI Symbol;Acc:MGI:3782469] GEQVEQRPPHLSVREGDSAVIICTYTDPNSYYFFWYKQEPGAGLQLLMKVFSSTEINEGQ GFTVLLNKKDKQLSLNLTAAHPGDSAVYFCAV >ENSMUSP00000143411.1 pep:known chromosome:GRCm38:14:52983201:52983860:1 gene:ENSMUSG00000090868.2 transcript:ENSMUST00000200548.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9d-4 description:T cell receptor alpha variable 9D-4 [Source:MGI Symbol;Acc:MGI:4889087] MLLALLPVLGIHFVLRDAQAQSVTQPDARVTVSEGASLQLRCKYSYSATPYLFWYVQYPR QGLQLLLKYYSGDPEFSKSNSSFHLQKASVHWSDSAVYFCALS >ENSMUSP00000132136.1 pep:known chromosome:GRCm38:14:52983456:52983860:1 gene:ENSMUSG00000090868.2 transcript:ENSMUST00000167409.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9d-4 description:T cell receptor alpha variable 9D-4 [Source:MGI Symbol;Acc:MGI:4889087] MLLALLPVLGIHFVLNAQAQSVTQPDARVTVSEGASLQLRCKYSYSATPYLFWYVQYPRQ GLQLLLKYYSGDPEFSKSNSSFHLQKASVHWSDSAVYFCALS >ENSMUSP00000100377.1 pep:known chromosome:GRCm38:14:52990866:52991389:1 gene:ENSMUSG00000096505.2 transcript:ENSMUST00000103600.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav4d-4 description:T cell receptor alpha variable 4D-4 [Source:MGI Symbol;Acc:MGI:2684872] MQRNLGAVLGILWVQICWVRGDQVEQSPSALSLHEGTGSALRCNFTTTMRAVQWFRKNSR GSLINLFYLASGTKENGRLKSAFDSKERYSTLHIRDAQLEDSGTYFCAAE >ENSMUSP00000136664.1 pep:known chromosome:GRCm38:14:53001713:53002232:1 gene:ENSMUSG00000096106.1 transcript:ENSMUST00000179701.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav5d-4 description:T cell receptor alpha variable 5D-4 [Source:MGI Symbol;Acc:MGI:3704130] MKTYAPTLFMFLWLQLDGMSQGEQVEQLPSILRVQEGSSASINCTYENSASNYFPWYKQE PGENPKLIIDIRSNMERKQTQGLIVLLDKKAKRFSLHITDTQPGDSAMYFCAAS >ENSMUSP00000137604.2 pep:known chromosome:GRCm38:14:53007612:53008098:1 gene:ENSMUSG00000095756.2 transcript:ENSMUST00000178650.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6d-7 description:T cell receptor alpha variable 6D-7 [Source:MGI Symbol;Acc:MGI:2686335] MNSSPGFMTVMLLIFTRAHGDSVTQTEGQVALSEEDFLTIHCNYSASGYPALFWYVQYPG EGPQFLFRASRDKEKGSSRGFEATYDKGTTSFHLRKASVQESDSAVYYCALG >ENSMUSP00000143226.1 pep:known chromosome:GRCm38:14:53020416:53020991:1 gene:ENSMUSG00000105721.1 transcript:ENSMUST00000196756.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:C920008G01Rik description:RIKEN cDNA C920008G01 gene [Source:MGI Symbol;Acc:MGI:2444626] MHSLHVSLVFLWLQLGGVSSQEKVQQSPESLIVPEGAMSSLNCTFSNSASQSIWWYQQHP GKGPEALISIFSNGNKKEGRLTVYLNRASLHVSLHIRDSQPSDSAVYLCAVS >ENSMUSP00000136474.1 pep:known chromosome:GRCm38:14:53032848:53033419:1 gene:ENSMUSG00000095643.2 transcript:ENSMUST00000179512.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13d-3 description:T cell receptor alpha variable 13D-3 [Source:MGI Symbol;Acc:MGI:5293419] MKRLLCSLLGLLCTQVCWVKGQQVQQSPPASLVLQEGENAELQCTYSTTLNSMQWFYQRP GGRLVSLLYSPSWAEQRGGRLTSSAASNESRSSLHISSSQITDSGTYLCAME >ENSMUSP00000142447.1 pep:known chromosome:GRCm38:14:53042428:53042891:1 gene:ENSMUSG00000076795.6 transcript:ENSMUST00000198439.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav8d-2 description:T cell receptor alpha variable 8D-2 [Source:MGI Symbol;Acc:MGI:3647760] MNRFLGISLVTLWFRVAWAKSQWGEENLQALSIQEGEDVTMNCSYKTYTTVVHWYRQDSG RGPALIILIRSNEREKRSGRLRATLDTSSQSSSLSITAAQCEDTAVYFCATD >ENSMUSP00000100382.2 pep:known chromosome:GRCm38:14:53042428:53042891:1 gene:ENSMUSG00000076795.6 transcript:ENSMUST00000103605.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav8d-2 description:T cell receptor alpha variable 8D-2 [Source:MGI Symbol;Acc:MGI:3647760] MNRFLGISLVTLWFRVASKSQWGEENLQALSIQEGEDVTMNCSYKTYTTVVHWYRQDSGR GPALIILIRSNEREKRSGRLRATLDTSSQSSSLSITAAQCEDTAVYFCATD >ENSMUSP00000100383.1 pep:known chromosome:GRCm38:14:53047287:53047821:1 gene:ENSMUSG00000076796.1 transcript:ENSMUST00000103606.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav16d-dv11 description:T cell receptor alpha variable 16D-DV11 [Source:MGI Symbol;Acc:MGI:3704436] MLILSLLGAPFFGSICFATSMAQKVTQTQTSISVMEKTTVTMDCVYETQDSSYFLFWYKQ TASGEIVFLIRQDSYKKENATVGHYSLNFQKPKSSIGLIITATQIEDSAVYFCAMREG >ENSMUSP00000143340.1 pep:known chromosome:GRCm38:14:53072763:53073274:1 gene:ENSMUSG00000096329.2 transcript:ENSMUST00000196079.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13d-4 description:T cell receptor alpha variable 13D-4 [Source:MGI Symbol;Acc:MGI:4440515] MKRLLCSLLGLLCTQVCWVKGQQVQQSPASLVLQEGENAELQCNFSSTATRLQWFYQRPG GSLVSLLYNPSGTKHTGRLTSTTVTKERRSSLHISSSQTTDSGTYFCAME >ENSMUSP00000100384.2 pep:known chromosome:GRCm38:14:53073004:53073267:1 gene:ENSMUSG00000096329.2 transcript:ENSMUST00000103607.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13d-4 description:T cell receptor alpha variable 13D-4 [Source:MGI Symbol;Acc:MGI:4440515] GQQVQQSPASLVLQEGENAELQCNFSSTATRLQWFYQRPGGSLVSLLYNPSGTKHTGRLT STTVTKERRSSLHISSSQTTDSGTYFCA >ENSMUSP00000100385.3 pep:known chromosome:GRCm38:14:53078452:53079045:1 gene:ENSMUSG00000094619.2 transcript:ENSMUST00000103608.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14d-3-dv8 description:T cell receptor alpha variable 14D-3-DV8 [Source:MGI Symbol;Acc:MGI:3822547] MDKILTASFLLLGLHLAGVSGQQEKRDQQQVRQSPQSLTVWEGETAILNCSYENSAFDYF PWYQQFPGEGPALLISILSVSDKKEDGRFTIFFNKREKKLSLHIADSQPGDSATYFCAAS >ENSMUSP00000100386.1 pep:known chromosome:GRCm38:14:53091346:53091875:1 gene:ENSMUSG00000095736.1 transcript:ENSMUST00000103609.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7n-4 description:T cell receptor alpha variable 7N-4 [Source:MGI Symbol;Acc:MGI:3644878] MKSLSVSLVVLWLQLNWVKSQQKVQQSPESLSVPEGGMASLNCTSSDRNFQYFWWYRQHS GEGPKALMSIFSDGDKKEGRFTAHLNKASLHVSLHIRDSQPSDSALYFCAVSE >ENSMUSP00000137153.2 pep:known chromosome:GRCm38:14:52929789:52930282:1 gene:ENSMUSG00000094607.2 transcript:ENSMUST00000177703.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12d-3 description:T cell receptor alpha variable 12D-3 [Source:MGI Symbol;Acc:MGI:3704434] MRPVTCSVLVLLLMLRRSNGDGDSVTQTEGLVTLTEGLPVMLNCTYQTIYSNPFLFWYVQ HLNESPRLLLKSFTDNKRTEHQGFHATLHKSSSSFHLQKSSAQLSDSALYYCALS >ENSMUSP00000100344.4 pep:known chromosome:GRCm38:14:52427928:52428876:1 gene:ENSMUSG00000076758.6 transcript:ENSMUST00000103567.5 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav1 description:T cell receptor alpha variable 1 [Source:MGI Symbol;Acc:MGI:3651476] MLQMWGFVLYLFLTVGGAAGQGVEQPAKLMSVEGTFARVNCTYSTSGFNGLSWYQQREGQ APVFLSYVVLDGLKDSGHFSTFLSRSNGYSYLLLTELQIKDSASYLCAVR >ENSMUSP00000140728.1 pep:known chromosome:GRCm38:14:52427967:52428876:1 gene:ENSMUSG00000076758.6 transcript:ENSMUST00000185651.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav1 description:T cell receptor alpha variable 1 [Source:MGI Symbol;Acc:MGI:3651476] MLQMWGFVLYLFLTVGAAGQGVEQPAKLMSVEGTFARVNCTYSTSGFNGLSWYQQREGQA PVFLSYVVLDGLKDSGHFSTFLSRSNGYSYLLLTELQIKDSASYLCAVR >ENSMUSP00000142736.1 pep:known chromosome:GRCm38:14:52567198:52568051:1 gene:ENSMUSG00000076759.3 transcript:ENSMUST00000196939.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav2 description:T cell receptor alpha variable 2 [Source:MGI Symbol;Acc:MGI:3642679] MKQVAKVTVLLILVSWSLAKTTQPPSMEAYEGQEVNVSCSHTNIATSEYIYWYRQVPHQG PQFIIQGYKDYVVNEVASLFISADRKLSTLSLPWVSLRDAAVYYCIVTD >ENSMUSP00000100345.2 pep:known chromosome:GRCm38:14:52567293:52568051:1 gene:ENSMUSG00000076759.3 transcript:ENSMUST00000103568.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav2 description:T cell receptor alpha variable 2 [Source:MGI Symbol;Acc:MGI:3642679] MKQVAKVTVLLILAWSLAKTTQPPSMEAYEGQEVNVSCSHTNIATSEYIYWYRQVPHQGP QFIIQGYKDYVVNEVASLFISADRKLSTLSLPWVSLRDAAVYYCIVTD >ENSMUSP00000100346.1 pep:known chromosome:GRCm38:14:52580665:52581213:1 gene:ENSMUSG00000076760.2 transcript:ENSMUST00000103569.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav3-1 description:T cell receptor alpha variable 3-1 [Source:MGI Symbol;Acc:MGI:3644489] MKTVTGPLLLCFWLQLNCVSRGEQVEQRPPHLSVREGDSAIIICTYTDSATAYFSWYKQE AGAGLQLLMSVLSNVDRKEEQGLTVLLNKKDKRLSLNLTAAHPGDSAVYFCAVS >ENSMUSP00000100347.1 pep:known chromosome:GRCm38:14:52622566:52623082:1 gene:ENSMUSG00000076761.1 transcript:ENSMUST00000103570.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav5-1 description:T cell receptor alpha variable 5-1 [Source:MGI Symbol;Acc:MGI:3815062] MKTAIHALFIFFWLQMDWKSQGEQVEQLPSSLIVQEGASVLINCSYTDSASVYFPWYKQE PGKRLQFIIDIRSNMERKQTQRLTLLFDKKTKHLSLHITATQPGDSAIYFCSAS >ENSMUSP00000100348.1 pep:known chromosome:GRCm38:14:52638515:52638962:1 gene:ENSMUSG00000076762.1 transcript:ENSMUST00000103571.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-1 description:T cell receptor alpha variable 6-1 [Source:MGI Symbol;Acc:MGI:3644497] MNYSPALVTVMLFVFGRTHGDSVTQMQGQVTLSEDDFLFINCTYSTTWYPTLFWYVQYPG EGPQLLLKVTTANNKGISRGFEATYDKGTTSFHLQKASVQESDSAVYYCVLG >ENSMUSP00000142920.1 pep:known chromosome:GRCm38:14:52654817:52655328:1 gene:ENSMUSG00000104620.1 transcript:ENSMUST00000198019.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7-1 description:T cell receptor alpha variable 7-1 [Source:MGI Symbol;Acc:MGI:3647460] MKSLCVSLVVLWLQLHWVNSQQKVQQSPESLIVPEGGMASLNCTFSDRNSQYFWWYRQHS GEGPKALMSIFSNGDKKEGRFTAHLNKASLHVSLHIKDSQPSDSALYFCAVS >ENSMUSP00000142452.1 pep:known chromosome:GRCm38:14:52667411:52667864:1 gene:ENSMUSG00000076764.3 transcript:ENSMUST00000198058.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-2 description:T cell receptor alpha variable 6-2 [Source:MGI Symbol;Acc:MGI:3642602] MNTSPALVTVMLLFMLERTHGNSVTQMQGQVTLSEEEFLFINCTYSTTGYPTLFWYVQYP GEGPQLLLKVTTANNKGSSRGFEATYDKGTTSFHLQKASVQESDSAVYYCVLG >ENSMUSP00000100350.2 pep:known chromosome:GRCm38:14:52667411:52667864:1 gene:ENSMUSG00000076764.3 transcript:ENSMUST00000103573.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6-2 description:T cell receptor alpha variable 6-2 [Source:MGI Symbol;Acc:MGI:3642602] MNTSPALVTVMLLFMLRTHGNSVTQMQGQVTLSEEEFLFINCTYSTTGYPTLFWYVQYPG EGPQLLLKVTTANNKGSSRGFEATYDKGTTSFHLQKASVQESDSAVYYCVLG >ENSMUSP00000143514.1 pep:known chromosome:GRCm38:14:52683964:52684472:1 gene:ENSMUSG00000105533.1 transcript:ENSMUST00000200127.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7d-2 description:T cell receptor alpha variable 7D-2 [Source:MGI Symbol;Acc:MGI:3711992] MKSFSISLVVLWLQLNWVNSQQKVQQSPESLIVPEGGMASLNCTSSDRNVDYFWWYRQHS GKSPKMLMSIFSNGEKEEGRFTVHLNKASLHTSLHIRDSQPSDSALYLCAAS >ENSMUSP00000137760.1 pep:known chromosome:GRCm38:14:52725299:52726919:1 gene:ENSMUSG00000096600.5 transcript:ENSMUST00000181483.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6d-3 description:T cell receptor alpha variable 6D-3 [Source:MGI Symbol;Acc:MGI:3650097] MNNSPALVTVMLFILGRTHGDSVIQMQGQVTLSENDFLFINCTYSTTGYPTLFWYVQYSG EGPQLLLQVTTANNKGSSRGFEATYDKGTTSFHLQKTSVQEIDSAVYYCAMS >ENSMUSP00000139137.1 pep:known chromosome:GRCm38:14:52726612:52726919:1 gene:ENSMUSG00000096600.5 transcript:ENSMUST00000184883.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6d-3 description:T cell receptor alpha variable 6D-3 [Source:MGI Symbol;Acc:MGI:3650097] IFFLSGRTHGDSVIQMQGQVTLSENDFLFINCTYSTTGYPTLFWYVQYSGEGPQLLLQVT TANNKGSSRGFEATYDKGTTSFHLQKTSVQEIDSAVYYCAMS >ENSMUSP00000136195.1 pep:known chromosome:GRCm38:14:52744249:52744840:1 gene:ENSMUSG00000096746.3 transcript:ENSMUST00000179789.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7d-3 description:T cell receptor alpha variable 7D-3 [Source:MGI Symbol;Acc:MGI:3649861] MKSLSVSLVVLWLQLNWVNSQQKVQQSPESLIVPEGAMTSLNCTFSDSASQYFAWYRQHS GKAPKALMSIFSNGEKEEGRFTIHLNKASLHFSLHIRDSQPSDSALYLCAVS >ENSMUSP00000137863.1 pep:known chromosome:GRCm38:14:52753367:52753846:1 gene:ENSMUSG00000095387.5 transcript:ENSMUST00000180717.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6d-4 description:T cell receptor alpha variable 6D-4 [Source:MGI Symbol;Acc:MGI:2685547] MNTSPVLVTAMLLFMLGMRKTHGDSVTQKQGQVTLSEDDFLFINCTYSTTTYPTLFWYVQ YPGQGPQLLLKVTTANNKGISRGFEATYDKGTTSFHLQKASVQESDSAVYFCALV >ENSMUSP00000139057.1 pep:known chromosome:GRCm38:14:52753398:52753846:1 gene:ENSMUSG00000095387.5 transcript:ENSMUST00000183820.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6d-4 description:T cell receptor alpha variable 6D-4 [Source:MGI Symbol;Acc:MGI:2685547] MNTSPVLVTAMLLFMLETHGDSVTQKQGQVTLSEDDFLFINCTYSTTTYPTLFWYVQYPG QGPQLLLKVTTANNKGISRGFEATYDKGTTSFHLQKASVQESDSAVYFCALV >ENSMUSP00000136110.2 pep:known chromosome:GRCm38:14:52769753:52770392:1 gene:ENSMUSG00000094023.3 transcript:ENSMUST00000178768.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7d-4 description:T cell receptor alpha variable 7D-4 [Source:MGI Symbol;Acc:MGI:3651273] MKSLSVLLVVLWLQLNCVRSQQKVQQSPESLSVPEGGMASLNCTSSDRNFQYFWWYRQHS GEGPKALMSIFSDGDKKEGRFTAHLNKASLHVSLHIRDSQPSDSALYFCAASE >ENSMUSP00000100357.2 pep:known chromosome:GRCm38:14:52778447:52778995:1 gene:ENSMUSG00000076770.3 transcript:ENSMUST00000103580.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav8d-1 description:T cell receptor alpha variable 8D-1 [Source:MGI Symbol;Acc:MGI:3650121] MHSLLGLLMVSLWLQLTRVNSQLAEENLWALSVHEGESVTVNCSYKTSITALQWYRQKSG EGPAQLILIRSNEREKRNGRLRATLDTSSQSSSLSITATRCEDTAVYFCATD >ENSMUSP00000136741.2 pep:known chromosome:GRCm38:14:52792316:52792777:1 gene:ENSMUSG00000096478.3 transcript:ENSMUST00000178426.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9d-1 description:T cell receptor alpha variable 9D-1 [Source:MGI Symbol;Acc:MGI:3650631] MLLVLISFLGIHFFLDVQTQTVSQSDAHVTVFEGDSVELRCNYSYGGSIYLSWYIQHHGR GLQFLLKYYSGNPVVQGVNGFKAEFSKSDSSFHLRKASVHWSDSAVYFCAVS >ENSMUSP00000137877.1 pep:known chromosome:GRCm38:14:52795165:52795633:1 gene:ENSMUSG00000095426.6 transcript:ENSMUST00000180687.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6d-5 description:T cell receptor alpha variable 6D-5 [Source:MGI Symbol;Acc:MGI:3649416] MNLCPELGILLFLMLGESNGDSVTQTKGPVTLSEGTSLTVNCSYETKQYPTLFWYVQYPG EGPQLLFKVPKANEKGSNRGFEATYDKGTTSFHLQKASVQESDSAVYYCVLG >ENSMUSP00000100423.4 pep:known chromosome:GRCm38:14:52810934:52811496:1 gene:ENSMUSG00000094792.3 transcript:ENSMUST00000103646.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav10d description:T cell receptor alpha variable 10D [Source:MGI Symbol;Acc:MGI:5293417] MKTSLHTVFLFLWLWMDWESHGEKVEQHESTLSVREGDSAVINCTYTDTASSYFPWYKQE AGKSLHFVIDIRSNVDRKQSQRLIVLLDKKAKRFSLHITATQPEDSAIYFCAAS >ENSMUSP00000143439.1 pep:known chromosome:GRCm38:14:52821922:52822359:1 gene:ENSMUSG00000094176.6 transcript:ENSMUST00000197754.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav6d-6 description:T cell receptor alpha variable 6D-6 [Source:MGI Symbol;Acc:MGI:2686066] MDSSPGFVAVILLILGRTHGDSVTQTEGPVTVSESESLIINCTYSATSIAYPNLFWYVRY PGEGLQLLLKVITAGQKGSSRGFEATYNKETTSFHLQKASVQESDSAVYYCALG >ENSMUSP00000100425.1 pep:known chromosome:GRCm38:14:52824357:52824912:1 gene:ENSMUSG00000095088.3 transcript:ENSMUST00000103648.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav11d description:T cell receptor alpha variable 11D [Source:MGI Symbol;Acc:MGI:3649423] MKKRLSACWVVLWLHYQWVAGKTQVEQSPQSLVVRQGENCVLQCNYSVTPDNHLRWFKQD TGKGLVSLTVLVDQKDKTSNGRYSATLDKDAKHSTLHITATLLDDTATYICVVG >ENSMUSP00000143500.1 pep:known chromosome:GRCm38:14:52835858:52836387:1 gene:ENSMUSG00000105393.1 transcript:ENSMUST00000197128.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav7d-5 description:T cell receptor alpha variable 7D-5 [Source:MGI Symbol;Acc:MGI:3649422] MKSLSVSLVVLWLQFNWVRSQQKVQQSPESLTVSEGAMASLNCTFSDRSSDNFRWYRQHS GKGLEVLVSIFSDGEKEEGSFTAHLNRASLHVFLHIREPQPSDSALYLCAVS >ENSMUSP00000137870.1 pep:known chromosome:GRCm38:14:52844465:52845041:1 gene:ENSMUSG00000095689.5 transcript:ENSMUST00000181360.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12d-1 description:T cell receptor alpha variable 12D-1 [Source:MGI Symbol;Acc:MGI:3649417] MNMRPVTCSVLVLLLMLRRSNGDSVTQTEGLVTVTEGLPVMLNCTYQTAYSDVAFFWYVQ YLNEAPKLLLRSSTDNKRTEHQGFHATLHKSSSSFHLQKSSVQLSDSALYYCALS >ENSMUSP00000138881.1 pep:known chromosome:GRCm38:14:52844554:52845041:1 gene:ENSMUSG00000095689.5 transcript:ENSMUST00000183652.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12d-1 description:T cell receptor alpha variable 12D-1 [Source:MGI Symbol;Acc:MGI:3649417] MNMRPVTCSVLVLLLMLRSNGDSVTQTEGLVTVTEGLPVMLNCTYQTAYSDVAFFWYVQY LNEAPKLLLRSSTDNKRTEHQGFHATLHKSSSSFHLQKSSVQLSDSALYYCALS >ENSMUSP00000100365.2 pep:known chromosome:GRCm38:14:52851290:52851866:1 gene:ENSMUSG00000076778.3 transcript:ENSMUST00000103588.3 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav13d-1 description:T cell receptor alpha variable 13D-1 [Source:MGI Symbol;Acc:MGI:3652171] MKRLLCSLLGLLCTQVCWVKGQQVQQSPTSLVLQEGENAELQCNFSTSLNSMQWFYQRPG GSLVSVFYNPSGTKQSGRLTSTTVIKERRSSLHISSSQITDSGTYLCAME >ENSMUSP00000137691.1 pep:known chromosome:GRCm38:14:52855614:52856166:1 gene:ENSMUSG00000095854.4 transcript:ENSMUST00000181038.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14d-1 description:T cell receptor alpha variable 14D-1 [Source:MGI Symbol;Acc:MGI:3651279] MDKILTATFLLLGLHLAGVNGQQQEKRDQQQVRQSPQSLTVWEGETAILNCSYEDSTFNY FPWYQQFPGEGPALLISIRSVSDKKEDGRFTIFFNKREKKLSLHITDSQPGDSATYFCAA S >ENSMUSP00000141168.1 pep:known chromosome:GRCm38:14:52855665:52856166:1 gene:ENSMUSG00000095854.4 transcript:ENSMUST00000187138.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav14d-1 description:T cell receptor alpha variable 14D-1 [Source:MGI Symbol;Acc:MGI:3651279] MDKILTATFLLLGLHLEKRDQQQVRQSPQSLTVWEGETAILNCSYEDSTFNYFPWYQQFP GEGPALLISIRSVSDKKEDGRFTIFFNKREKKLSLHITDSQPGDSATYFCAAS >ENSMUSP00000100393.3 pep:known chromosome:GRCm38:14:52863299:52863936:1 gene:ENSMUSG00000096653.4 transcript:ENSMUST00000103616.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav15d-1-dv6d-1 description:T cell receptor alpha variable 15D-1-DV6D-1 [Source:MGI Symbol;Acc:MGI:3651288] MQRTVCHMPPHSLFCVLVALAFSGSNVAEKVIQVWSTASRQEGEELTLDCSYETSQVLYH LFWYKHLLSGEMVFLIRQTSSSTAKERSGRYSVVFQKSLKSISLIISALQPDDSGKYFCA LWEL >ENSMUSP00000141165.1 pep:known chromosome:GRCm38:14:52863365:52863936:1 gene:ENSMUSG00000096653.4 transcript:ENSMUST00000186370.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav15d-1-dv6d-1 description:T cell receptor alpha variable 15D-1-DV6D-1 [Source:MGI Symbol;Acc:MGI:3651288] MPPHSLFCVLVALAFSANVAEKVIQVWSTASRQEGEELTLDCSYETSQVLYHLFWYKHLL SGEMVFLIRQTSSSTAKERSGRYSVVFQKSLKSISLIISALQPDDSGKYFCALWEL >ENSMUSP00000142463.1 pep:known chromosome:GRCm38:14:52892186:52892618:1 gene:ENSMUSG00000094914.5 transcript:ENSMUST00000199746.4 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9d-2 description:T cell receptor alpha variable 9D-2 [Source:MGI Symbol;Acc:MGI:3704129] MLLALLPVLGIHFVLRDAQAQSVTQPDARVTVSEGASLQLRCKYSSSGTPYLFWYVQYPR QGLQLLLKYYSGDPVVQGVNGFEAEFSKSNSSFHLRKASVHWSDSAVYFCVLS >ENSMUSP00000136004.1 pep:known chromosome:GRCm38:14:52892186:52892618:1 gene:ENSMUSG00000094914.5 transcript:ENSMUST00000178824.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9d-2 description:T cell receptor alpha variable 9D-2 [Source:MGI Symbol;Acc:MGI:3704129] MLLALLPVLGIHFVLNAQAQSVTQPDARVTVSEGASLQLRCKYSSSGTPYLFWYVQYPRQ GLQLLLKYYSGDPVVQGVNGFEAEFSKSNSSFHLRKASVHWSDSAVYFCVLS >ENSMUSP00000100369.1 pep:known chromosome:GRCm38:14:52899969:52900470:1 gene:ENSMUSG00000093939.1 transcript:ENSMUST00000103592.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav4d-3 description:T cell receptor alpha variable 4D-3 [Source:MGI Symbol;Acc:MGI:3782037] MERNLGAVLGILWVQICWVSGDKVKQSPSALSLQEGTNSALRCNFSIAATTVQWFLQNPR GSLMNLFYLVPGTKENGRLKSAFDSKESYSTLHIRDAQLEDSGTYFCAAE >ENSMUSP00000142977.1 pep:known chromosome:GRCm38:14:52915799:52916426:1 gene:ENSMUSG00000096129.2 transcript:ENSMUST00000197007.1 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12d-2 description:T cell receptor alpha variable 12D-2 [Source:MGI Symbol;Acc:MGI:3642520] MRPDTCSVLVLLLMLRRSNGDSVTQTEGLVTLTKGLPVMLNCTYQTTYSPFLFWYVQHLN EAPKLLLKSSTDNKRTEHQGFYATLHKSSSSFHLQKSSVQLSDSALYFCALS >ENSMUSP00000100370.2 pep:known chromosome:GRCm38:14:52915909:52916426:1 gene:ENSMUSG00000096129.2 transcript:ENSMUST00000103593.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav12d-2 description:T cell receptor alpha variable 12D-2 [Source:MGI Symbol;Acc:MGI:3642520] MNMRPDTCSVLVLLLMLRSNGDSVTQTEGLVTLTKGLPVMLNCTYQTTYSPFLFWYVQHL NEAPKLLLKSSTDNKRTEHQGFYATLHKSSSSFHLQKSSVQLSDSALYFCALS >ENSMUSP00000136492.1 pep:known chromosome:GRCm38:14:52920443:52921112:1 gene:ENSMUSG00000095495.2 transcript:ENSMUST00000178252.2 gene_biotype:TR_V_gene transcript_biotype:TR_V_gene gene_symbol:Trav9d-3 description:T cell receptor alpha variable 9D-3 [Source:MGI Symbol;Acc:MGI:3780142] MLLALLPVLGIHFLLRDAQAQSVTQPDARVTVSEGASLQLRCKYSYFGTPYLFWYVQYPR QGLQLLLKYYPGDPVVQGVNGFEAEFSKSNSSFHLRKASVHWSDWAVYFCAVS >ENSMUSP00000141234.1 pep:known chromosome:GRCm38:6:129461591:129472772:-1 gene:ENSMUSG00000079293.11 transcript:ENSMUST00000195589.5 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Clec7a description:C-type lectin domain family 7, member a [Source:MGI Symbol;Acc:MGI:1861431] MKYHSHIENLDEDGYTQLDFSTQDIHKRPRGSEKGSQAPSSPWRPIAVGLGILCFVVVVV AAVLGALAFW*HNSGRNPEEKDNFLSRNKENHKPTESSLDEKVAPSKASQTTGGFSQPCL PNWIMHGKSCYLFSFSGNSWYGSKRHCSQLGAHLLKIDNSKEFEFIESQTSSHRINAFWI GLSRNQSEGPWFWEDGSAFFPNSFQVRNTAPQESLLHNCVWIHGSEVYNQICNTSSYSIC EKEL >ENSMUSP00000139167.1 pep:known chromosome:GRCm38:6:129463026:129472777:-1 gene:ENSMUSG00000079293.11 transcript:ENSMUST00000184581.2 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Clec7a description:C-type lectin domain family 7, member a [Source:MGI Symbol;Acc:MGI:1861431] MKYHSHIENLDEDGYTQLDFSTQDIHKRPRGSEKGSQAPSSPWRPIAVGLGILCFVVVVV AAVLGALGGFSQPCLPNWIMHGKSCYLFSFSGNSWYGSKRHCSQLGAHLLKIDNSKEFEF IESQTSSHRINAFWIGLSRNQSEGPWFWEDGSAFFPNSFQVRNTAPQESLLHNCVWIHGS EVYNQICNTSSYSICEKEL >ENSMUSP00000107707.2 pep:known chromosome:GRCm38:6:129461591:129472777:-1 gene:ENSMUSG00000079293.11 transcript:ENSMUST00000112076.8 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Clec7a description:C-type lectin domain family 7, member a [Source:MGI Symbol;Acc:MGI:1861431] MKYHSHIENLDEDGYTQLDFSTQDIHKRPRGSEKGSQAPSSPWRPIAVGLGILCFVVVVV AAVLGALGEYGHNSGRNPEEKDNFLSRNKENHKPTESSLDEKVAPSKASQTTGGFSQPCL PNWIMHGKSCYLFSFSGNSWYGSKRHCSQLGAHLLKIDNSKEFEFIESQTSSHRINAFWI GLSRNQSEGPWFWEDGSAFFPNSFQVRNTAPQESLLHNCVWIHGSEVYNQICNTSSYSIC EKEL >ENSMUSP00000136689.1 pep:known chromosome:GRCm38:1:174151481:174152530:1 gene:ENSMUSG00000091950.3 transcript:ENSMUST00000179386.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr421-ps1 description:olfactory receptor 421, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030255] MENGNLSTVTVFVFTGFPQLKNGGLLYFFPLLFIYIFIVTGNLMIFFAVRLDTRLHNPMY NFISIFSFLEMWYTTATIPKMLSNLISEQKTISFIGCLLQMYFFHSLGNTEGTLLTVMAI DRYVAICNPLRYPNIMTPRLCAQLTAGSCVFGFLILLPEIVWISTLPFCGPNQITQIFCD FTPLLKLACTDASVILVQDVIHALAILITSLIISLSYIRIIVVILSISSTEGRKKAFSTC AAHIAVFLLFFGSVALMYLRFSATYTPF*DNTIALTFSVFAPLFNPIIYSLRNKDMKDAI NKLICTQKVSNESHR >ENSMUSP00000134667.1 pep:known chromosome:GRCm38:9:119220488:119231692:-1 gene:ENSMUSG00000092212.3 transcript:ENSMUST00000173185.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Slc22a13b-ps description:solute carrier family 22 (organic cation transporter), member 13b, pseudogene [Source:MGI Symbol;Acc:MGI:1924762] MAQFAQVMAEVGDFGRFQVRLTILMGIPNFLTAFFIFGQVFMVLDEAHHCSVSWVKNHTF NLSAAEQLAISIPNDTAGRPESCLMFRPPPDSASLEDILSHRFNETQACDSGWDYPENRP QSLKNEFDLVCD*KNLKRTSQSVFMAGLLVGALVFGPVCDWFVDSLVYYSLSFQVGDFGL DIYVTQLIFGAVEMPGRFLSVLMMEKLGRKWSQLCTLTLAGIMYIIIIFIPGDLPTVVTV LAVVGKFASAAAFTISYVYTAELFPTIIRQTGMGLVSMFSRVGGIITPLVMLLEQYHQAI PMVIFGSLPIGAGLLCALLPESRGQTLKDTLQDLEQGLPAGSHKTAPQRQDMEASGRTSS VRVAVVKSSYF >ENSMUSP00000140391.1 pep:known chromosome:GRCm38:3:92373915:92375229:1 gene:ENSMUSG00000046203.11 transcript:ENSMUST00000189634.6 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Sprr2g description:small proline-rich protein 2G [Source:MGI Symbol;Acc:MGI:1330348] MSFQEQQCKQPCQPPPVMPTTKVPRALSSAKVP*ALSSSKVS*TLSRAMSSFSMPAEMPS CANSSTMPAEVPTQEQM >ENSMUSP00000131798.1 pep:known chromosome:GRCm38:3:92373915:92375229:1 gene:ENSMUSG00000046203.11 transcript:ENSMUST00000056590.5 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Sprr2g description:small proline-rich protein 2G [Source:MGI Symbol;Acc:MGI:1330348] MSFQEQQCKQPCQPPPVCPPPKCPEPCPLPKCPEPCPPPKCPEPCPEPCLPSPCQQKCPP VQTPPPCQQKCPPKSK >ENSMUSP00000145713.1 pep:known chromosome:GRCm38:7:3731630:3739889:-1 gene:ENSMUSG00000081665.2 transcript:ENSMUST00000118068.2 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Gm15922 description:predicted gene 15922 [Source:MGI Symbol;Acc:MGI:3802148] MSCTFTALLCLGLTLSLWIPVLTGSLPKPILRVQPDSVVSRRTKVTFFCEETIGANEYHL YKDGKLYKTVTKNKQKPANKAEFSFSNVDLRNAGQYRCSYSTQDESSGYSDLLELVVTED YWTPSLSAQASPVVTSGGYVTLQCESWPSYDRFILTVAGPQKFSWTQDSQYNYSTRKNHA LFSVGPVTPNQRWIFRCYSYDRNRPYVFSAPSESVELLVSGNLQKPTIKAEPGSVITSKR AMTIWCQGNLDAEVYFLHNEKSQKTQSTQTLQEPGNKGKFFIPSVTQQHAGQYRCYCYSS AG*SQPSDTLELVVTGIYEYYEPRLSVLPSPVVTAGGNMTLHCASDFHYDKFILTKEDKK FTSSLDTEHISSSRQYRALFIIGPTTPTHTGTFRCYGYYKNAPQLWSVPSALQQILISGL SKKPSLLTHQGHTMDPGMTLTLQCFSDINYDRYALHKVGGADIMQHSSQQTDTGFSVANF TLGYVSSSTGGQYRCYGAHNLSSEWSASSEPLDILITGQLPLTPSLSVKPNHTVHSGETV SLLCWSMDPVDTFILSKEGSAQQPLRLKSKSHDQQSQAEFSMSAVTSHLSGTYRCYGAQD SSFYLLSSASAPVELTVSETIESSTWSPKRPIPPIPTENKDHTMENLIRMGMAVLVLIVL SILATEAWRSHRQTHPAAGN >ENSMUSP00000140229.1 pep:known chromosome:GRCm38:16:97535308:97560339:1 gene:ENSMUSG00000023341.14 transcript:ENSMUST00000190447.6 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Mx2 description:MX dynamin-like GTPase 2 [Source:MGI Symbol;Acc:MGI:97244] MVLSTEENTGVDSVNLPSGETGLGEKDQESVNNLCSQYEEKVRPCIDLIDSLRALGVEQD LALPAIAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLVLKLRKLNEGEEWRGKVSYD DIEVELSDPSEVEEAINKGQNFIAGVGLGISDKLISLDVSSPNVPDLTLIDLPGITRVAV GNQPADIGRQIKRLIKTYIQKQETINLVVVPSNVDIATTEALSMAQEVDPEGDRTIGILT KPDLVDRGTEDKVVDVVRNLVYHLKKGYMIVKCRGQQDIQEQLSLTEALQNEQIFFKEHP HFRVLLEDGKATVPCLAERLTAELISHICKSLPLLENQIKESHQSASEELQKYGMDIPED DSEKTFFLIEKINAFNQDITALVQGEENVAEGECRLFTRLRKEFLSWSKEIEKNFAKRLC CFI**SLGI*KAVSWTRIARVCELQNI*EHYKKTNQNPGRASYRNAAHGH*NCTSCLYKC F*EKFF*VL*PPQNYQVQT*RHQIRTRKGSRDVDPTPLQDGTNYLLPGPDLQRSLAEGQR GGS*GGEEDKAWHFQFLSVPRFTDLIHG*DLPASECLPPG >ENSMUSP00000141038.1 pep:known chromosome:GRCm38:16:97536081:97560900:1 gene:ENSMUSG00000023341.14 transcript:ENSMUST00000188251.6 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Mx2 description:MX dynamin-like GTPase 2 [Source:MGI Symbol;Acc:MGI:97244] MVLSTEENTGVDSVNLPSGETGLGEKDQESVNNLCSQYEEKVRPCIDLIDSLRALGVEQD LALPAIAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLVLKLRKLNEGEEWRGKVSYD DIEVELSDPSEVEEAINKGQNFIAGVGLGISDKLISLDVSSPNVPDLTLIDLPGITRVAV GNQPADIGRQIKRLIKTYIQKQETINLVVVPSNVDIATTEALSMAQEVDPEGDRTIGILT KPDLVDRGTEDKVVDVVRNLVYHLKKGYMIVKCRGQQDIQEQLSLTEALQNEQIFFKEHP HFRVLLEDGKATVPCLAERLTAELISHICKSLPLLENQIKESHQSASEELQKYGMDIPED DSEKTFFLIEKINAFNQDITALVQGEENVAEGECRLFTRLRKEFLSWSKEIEKNFAKRLC CFI**SLGI*KAVSWTRIARVCELQNI*EHYKKTNQNPGRASYRNAAHGH*NCTSCLYKC F*EKFF*VL*PPQNYQVQT*RHQIRTRKGSRDVDPTPLQDGTNYLLPGPDLQRSLAEGQR GGS*GGEEDKAWHFQFLSVPRFTDLIHG*DLPASECLPPGGSQPHLQPRSLDHPVFHPEN VC*AAAEGHAPAPAGQGFLQLAPEGAE*HKREEEVPEGEAGKAGPGSAPASQIPWL >ENSMUSP00000024112.7 pep:known chromosome:GRCm38:16:97536083:97560899:1 gene:ENSMUSG00000023341.14 transcript:ENSMUST00000024112.7 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Mx2 description:MX dynamin-like GTPase 2 [Source:MGI Symbol;Acc:MGI:97244] MVLSTEENTGVDSVNLPSGETGLGEKDQESVNNLCSQYEEKVRPCIDLIDSLRALGVEQD LALPAIAVIGDQSSGKSSVLEALSGVALPRGSGIVTRCPLVLKLRKLNEGEEWRGKVSYD DIEVELSDPSEVEEAINKGQNFIAGVGLGISDKLISLDVSSPNVPDLTLIDLPGITRVAV GNQPADIGRQIKRLIKTYIQKQETINLVVVPSNVDIATTEALSMAQEVDPEGDRTIGILT KPDLVDRGTEDKVVDVVRNLVYHLKKGYMIVKCRGQQDIQEQLSLTEALQNEQIFFKEHP HFRVLLEDGKATVPCLAERLTAELISHICKSLPLLENQIKESHQSASEELQKYGMDIPED DSEKTFFLIEKINAFNQDITALVQGEENVAEGECRLFTRLRKEFLSWSKEIEKNFAKGYA VLYNEVWAFEKQYRGRELPGFVNYKTFENIIRRQIKTLEEPAIEMLHTVTEIVRAAFTSV SEKNFSEFYNLHRTTKSKLEDIRLEQEKEAEMSIRLHFKMEQIIYCQDQIYRGALQKVRE EEAEEEKKTKHGTSSSSQSQDLQTSSMAEIFQHLNAYRQEAHNRISSHVPLIIQYFILKM FAERLQKGMLQLLQDKDSCSWLLKEQSDTSEKRKFLKERLARLAQARRRLAKFPG >ENSMUSP00000146877.1 pep:known chromosome:GRCm38:19:13016225:13017149:1 gene:ENSMUSG00000109148.1 transcript:ENSMUST00000208396.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr1452-ps1 MENKTEVTQFFLQGLASDPGLQLPLFIIFLLIYTITLVGNLGMILLIVLDAHLHSPMYIF LGNLSLVDFCYSSGVTPKVMAGFLVGDKVMSYNDCAAQMFFVTGFATVENYLLASMAYDR YAAVCKPLHYASTMTTSMCIWMIAGCYACGFLNACIYTGDAFSLSFCKADVVHHFFCDIP AVMVLSCSNKHINELVLVCVASFNIFFCSHNHLNILPNDFYHNYKDALSFRTSKGHVHLC FSFHCCFYFLWDSNFHVLAA*FQSCYGH*QNCVCVLYHGDPPAEPSGVQLEEQGSQECIQ KNYFQAKM >ENSMUSP00000138283.2 pep:known chromosome:GRCm38:17:23550965:23553566:-1 gene:ENSMUSG00000067882.13 transcript:ENSMUST00000182769.8 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Casp16-ps description:caspase 16, apoptosis-related cysteine peptidase, pseudogene [Source:MGI Symbol;Acc:MGI:3646305] MAHREPQGQLLGGDGQEVQAEVLVQELSCCQALHGHPKIFLLQVCCGGNRDPGVGSRALP WYRHWLRAPRAIPTQADVLQIHADAPDNLISTPGSSGQADILTVSAAVEGCVAYRDEKGS DFVQTLVEVIRANPGRDLLELLTEGQQAGV*AGRPGARQ**AVQSLPGDPQLAQAPALFI >ENSMUSP00000086048.4 pep:known chromosome:GRCm38:17:23551073:23553488:-1 gene:ENSMUSG00000067882.13 transcript:ENSMUST00000088673.4 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Casp16-ps description:caspase 16, apoptosis-related cysteine peptidase, pseudogene [Source:MGI Symbol;Acc:MGI:3646305] MAHREPQGQLLGGDGQEVQAEVLVQELSCCQALHGHPKIFLLQVCCGGNRDPGVGSRALP WYRHWLRAPRAIPTQADVLQIHADAPGGSAFLLEPGLGTQVLGTIHSCVAYRDEKGSDFV QTLVEVIRANPGRDLLELLTEVNRRVCELDVLGPDSDELCKACLEIRSLLRRRLCL >ENSMUSP00000146502.1 pep:known chromosome:GRCm38:19:13282134:13283056:-1 gene:ENSMUSG00000109100.1 transcript:ENSMUST00000208760.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr1464-ps1 description:olfactory receptor 1464, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031298] MGNNTDVTHFLLLGLTDDPELQLPLFMIFLIIYTITLVGNLGIILLIFLDSSLHSPMYFF LGNLSLVDFCYSSDVTPRVMTGLLIGDKVISYNGCAAQMFFFVAFATVENFLLASMAYDR YAAVCKPLHYATTMTSGVCVCLSIGAYTCGFLIASIHIGDTFSLSFCGSNVVHHFFCDIP AVMILSCSDRHVSELVLFYVGSFSIFLLC*LFVYPTYSFLSQSLKCIQMLDMERLYPLVP HTSLQCLFSMGLLYSCICSPAPVTPWTLTNLHLCSTP*SSLC*ILWSTA*GTKKSSMHSQ TFFT**N >ENSMUSP00000146788.1 pep:known chromosome:GRCm38:19:13374964:13375888:1 gene:ENSMUSG00000109544.1 transcript:ENSMUST00000207287.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr1468-ps1 description:olfactory receptor 1468, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031302] MKNSTEVTHFLLLGLTDDPGLQLPLFLIFLFIYTITLVGNLGMILLIVLDSRLHTPMYFF LCNLSLVDFCYSSAVTPTVMTGLLIGNKIISYNGCAAQMFFFCWLCYCGKLSACLNGL*S LCSSV*ATTLFLYHDYQCMYKSFYSFLCLWFSECLYPYWGHFHSFLL*V*CSASLFL*CS SCHGSLLL**TC**AGSCLYSELQYLCCSLSYLDILYIHFYHNL*NEIKCWISKGYIYLC LSLHCSVHFLRDDHIHVFAAQLQSLYGH*QNRICVLHHGHPYAEPSGLWPEEQGS*ECIH KNFSSGKI >ENSMUSP00000141952.1 pep:known chromosome:GRCm38:4:118359990:118362744:1 gene:ENSMUSG00000006395.16 transcript:ENSMUST00000194248.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Hyi description:hydroxypyruvate isomerase (putative) [Source:MGI Symbol;Acc:MGI:1915430] MAPLRFSANVSWLFPELPGLPERLHAAGRAGFEAAEVAWPYTESPQALASAAQTAGLRLV LINTPRGDHEKGEMGLGERGWSRLCCMPRLWAAPGST*WLAEYPKGLTGLQSRGRWRRFL WRI*SMQLAFWLRRTSWDCWSPSTPESQTPSISWTRPGKRQPFCRRLGDPICSCRWTYST GRSWMGISQETSGSFCPLSGMCKWPRSQTAGSQAALES*TLLTCFSC*KMRATKDLWVAS IGLEETQWRV*VGYVHTGTGGATHGLAS >ENSMUSP00000102001.1 pep:known chromosome:GRCm38:4:118359999:118362744:1 gene:ENSMUSG00000006395.16 transcript:ENSMUST00000106393.7 gene_biotype:polymorphic_pseudogene transcript_biotype:nonsense_mediated_decay gene_symbol:Hyi description:hydroxypyruvate isomerase (putative) [Source:MGI Symbol;Acc:MGI:1915430] MAPLRFSANVSWLFPELPGLPERLHAAGRAGFEAAEVAWPYTESPQALASAAQTAGLRLV LINTPRGST >ENSMUSP00000006562.5 pep:known chromosome:GRCm38:4:118360014:118362744:1 gene:ENSMUSG00000006395.16 transcript:ENSMUST00000006562.5 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Hyi description:hydroxypyruvate isomerase (putative) [Source:MGI Symbol;Acc:MGI:1915430] MAPLRFSANVSWLFPELPGLPERLHAAGRAGFEAAEVAWPYTESPQALASAAQTAGLRLV LINTPRGDHEKGEMGLGEGLEQAVLYAKALGCPRIHLMAGRVPQGADRAAVKGEMETVFV ENLKHAAGVLAQENLVGLLEPINTRITDPQYFLDTPRQAAAILQKVGRPNLQLQMDIFHW QIMDGNLTGNIREFLPTVGHVQVAQVPDRGEPGSSGELDFTYLFQLLEDEGYQGFVGCEY RPRGDTVEGLSWLRSYWDRRGHPRTGQ >ENSMUSP00000145158.1 pep:known chromosome:GRCm38:10:129311165:129312108:1 gene:ENSMUSG00000044537.6 transcript:ENSMUST00000204762.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr779 description:olfactory receptor 779 [Source:MGI Symbol;Acc:MGI:3030613] MKNQSMEFDLFFWD*QMTHNYKL*FFCFSFSIM**AWWGT*SLCFSPCWTLASKLQCISF SVISPFWKSCSQQCVFPDS*RPL*LETKLLLIIIVQLSCFSFFCWESQNFISWLPCPMTA MLPSADHCTTLSS*TAKCATNSSSAPG*LDS*SSFPHWPWV*SWISVIPG*LIISCVKLL LFYRSPAQTLMF*K*CPLS*QW*HL*SHWY**VSLTLSSLRLL*VSLLHSKEPKPSPPAH PTCLLSP*HMEVVSSCILNHLQGRECLCLKV*LCCILQSPLS*TPSFIH*ETSR*KKSSG MYYKRLWAFQNTNF >ENSMUSP00000089616.2 pep:known chromosome:GRCm38:10:129311509:129311898:1 gene:ENSMUSG00000044537.6 transcript:ENSMUST00000069063.4 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Olfr779 description:olfactory receptor 779 [Source:MGI Symbol;Acc:MGI:3030613] MSYDRYVAICRPLHYPIIMNSKVCHQLVLSSWVTGFLIIFPPLAMGLKLDFCDSRIIDHF MCETSPILQISCTDTHVLEMMSFVLAVVTLVVTLVLVSLSYSFIIKTIMSFPSAQQRTKA FSTCTSHMFV >ENSMUSP00000145656.1 pep:known chromosome:GRCm38:16:59098066:59101853:-1 gene:ENSMUSG00000108464.1 transcript:ENSMUST00000205727.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr192 MKNSTVLTEFVLTGLTGSSELQVPLFLFFSGDLSYHYCREPWSNCSYLE*PSLTYPYVLL SWPSGFCGCFPIIHSGTKDVTRFLTDE*DDFLL*MHDTILYLCNLCYYRMFLVVSYGI*S LCSHMQTFTLSNDYD*ETMYMSLSPVFCRWNSSFFNT*GISITTKFL*FQYSTSLFL*HR SIAKDFLC*HYS*FSTDICFCWNNSSLHGCDCSCVLYTSAVYNSAKEVCPRYEEGFLYLW CPSLICVSVLWASSHHVCFPCVPRSRWSRYHRLSVLHDHNSCVKSNYLQPEKQASHGFSE KYVKEKGL >ENSMUSP00000146916.1 pep:known_by_projection chromosome:GRCm38:16:59185556:59186481:-1 gene:ENSMUSG00000109020.1 transcript:ENSMUST00000207772.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:OR5AC1 description:olfactory receptor 197 (Olfr197), mRNA [Source:RefSeq mRNA;Acc:NM_146484] MGTENTTLLTEFVLTGLSHQPQWKIPLFLLFLVIYLITIVGNLGLIILIWNDPRLQIPMY LFLGCLALVDTWLSSTVTPKMLLTFTAKSKLISLNA*YSSFHL*CVQLWNVFSWQQWPTI AMQPYANPYCTQ*S*QIDCVFVC*S*PL*LEFFMLQFMKACCSD*PSVIPM*YITFTVML CHC*RFPVPTLLLIT*LFLFSLAQFKFSLSQLF*ALTHLFSLQS*KRNLPKA*RKPSPPV ELISFLCAYIMVLFSSCICFLHPKKHRMKT*WTLYSTLS*SL**IQSSIV*ETSKSKTHW KNS*REMF >ENSMUSP00000138550.1 pep:known chromosome:GRCm38:4:138831860:138835186:1 gene:ENSMUSG00000058908.14 transcript:ENSMUST00000135748.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Pla2g2a description:phospholipase A2, group IIA (platelets, synovial fluid) [Source:MGI Symbol;Acc:MGI:104642] MKVLLLLAASIMAFGSIQVQGNIAQFGEMIWLKTGKRAELSYAFYGCHCGLGGKGFPQGC HRPVLCYS*LLLQAPGEKWMWY*VTEIQVLPPRGPNHLFCKPELLSETAVSVR*SRR*MF RPEQENLQFKVPVLPQHVLQREEAQML >ENSMUSP00000138200.1 pep:known chromosome:GRCm38:4:138831857:138835183:1 gene:ENSMUSG00000058908.14 transcript:ENSMUST00000077582.13 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Pla2g2a description:phospholipase A2, group IIA (platelets, synovial fluid) [Source:MGI Symbol;Acc:MGI:104642] MKVLLLLAASIMAFGSIQVQGNIAQFGEMIWLKTGKRAELSYAFYGCHCGLGGKGPKDAT DRCCVTHDCCYKRLEKSGCGTKLLKYKYSHQGGQITCSANQNSCQKRLCQCDKAAAECFA RNKKTYSLKYQFYPNMFCKGKKPKC >ENSMUSP00000144800.1 pep:known chromosome:GRCm38:6:42800743:42801678:1 gene:ENSMUSG00000108058.1 transcript:ENSMUST00000205127.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr451-ps1 description:olfactory receptor 451, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030285] MGKENQTWVSEFLLLGLSSDWWTQVSLFVLFLAMYLLTVLGNLLIILLITLDSKLHTPMY FFLSILSFVDICYTNSTVPQMLVHFLSARKSIPFHSCVMQLYISLAMGSTEFFLLGAMAY DRYVAVCYPLHYMVIMHGGLCLGLASACLTAGLTNSLMQTLMIFHLPFCRKVINHFACEM LAVLRLSCVDISLNKVMVAISGFLVIMLPCILVLFSYAHIVAAILRIRSSQG*RKAFGTC ASHLTVVSMCFGTAIFTYMRPVGRSSAGQEKMVALFYAVVTPMLNPLIYSLRNNDVIGAL KRTLEKLKEKK >ENSMUSP00000146801.1 pep:known chromosome:GRCm38:2:111527307:111528242:1 gene:ENSMUSG00000108908.1 transcript:ENSMUST00000120021.2 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr1293-ps description:olfactory receptor 1293, pseudogene [Source:MGI Symbol;Acc:MGI:3031127] REANDSVVKEFIFIGLSDSQGLQIFLFAFFLIFYVGIVFGNLLIVMTVTYDSHLHSPMYF LLANLSFIDLCLSSVTAPKMIADFFRKHKVISVHGCFAQIFLLHFFGGSELVTLIAMAFD RYVAICKPLRYTIIMRGNVCAGIVATAWATGFLHSVSQLAFAVNLPFCGPNKVDSFYCDL PRVIKLACADTYRLDIMVIANSGVLTVCSFVLLIISYSIILTTIQRRPSDRSSKALSTLT AHITVVLLFFGPCIFIYAWPFPIKSLDKFLAVFYSVVTPLLNPIIYTLRNTEMKTAMRRL RQWNLNFWVNS >ENSMUSP00000099611.3 pep:known chromosome:GRCm38:2:111527316:111528203:1 gene:ENSMUSG00000108908.1 transcript:ENSMUST00000102551.4 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Olfr1293-ps description:olfactory receptor 1293, pseudogene [Source:MGI Symbol;Acc:MGI:3031127] NDSVVKEFIFIGLSDSQGLQIFLFAFFLIFYVGIVFGNLLIVMTVTYDSHLHSPMYFLLA NLSFIDLCLSSVTAPKMIADFFRKHKVISVHGCFAQIFLLHFFGGSELVTLIAMAFDRYV AICKPLRYTIIMRGNVCAGIVATAWATGFLHSVSQLAFAVNLPFCGPNKVDSFYCDLPRV IKLACADTYRLDIMVIANSGVLTVCSFVLLIISYSIILTTIQRRPSDRSSKALSTLTAHI TVVLLFFGPCIFIYAWPFPIKSLDKFLAVFYSVVTPLLNPIIYTLRNTEMKTAMRR >ENSMUSP00000098520.4 pep:known chromosome:GRCm38:5:105323042:105346472:-1 gene:ENSMUSG00000092021.8 transcript:ENSMUST00000100960.10 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Gbp11 description:guanylate binding protein 11 [Source:MGI Symbol;Acc:MGI:3646307] MTQPQMAPICLVENHNEQLLVNQEAIEILDKISQPVVVVAIVGLYRTGKSYLMNRLAGQN HGFPLGSTVQSQTKGIWMWCVPHPTKPEHTLVLLDTEGLGDVEKGDPKNDLWIFALSVLL SSTFIYNSMNTISHDSLEKLHYVTELTELIRAKSSPNPHGIKNSTEFVSFFPDFVWTVRD FTLKLKLNGEDITSDGYLENALKLIPDNNPRMQASNLARECIRRFFPNRKCFVFDRPTYD IELLQKLETISEDQLDPMFQERTKAFVSYIFNYAKIKTLKEGIKVTGNGLGILVTTYVDA INSGAVPCLHDAVTTLAQRENSVAVQKAADHYSEQMAQRLRLPTETLQELLDVHAACEKE AMAVFMEHSFKDENQQFLKKLVELISEKNGLFLLKNEEASDKYCQEELDRLSKDLMDNIS TFSVPGGHRLYMDMREKIEHDY*QVPRKGVKAIEVFQSFLQSQAIIESSILQADTALIAG QKAIAEKCTNKEAAEKEQDLLRQKQKEQQKYMEAQEKRNKENLEQLRRKLMQEREQLIKD HNMMLEKLMKEQKALLEEGYKKKAEEMRREIYRLRHNIKDMKQNSDFFVDSAVRDLALFL SSLLIEKAIKGLISFFRSK >ENSMUSP00000132552.1 pep:known chromosome:GRCm38:5:105326480:105343929:-1 gene:ENSMUSG00000092021.8 transcript:ENSMUST00000171587.1 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Gbp11 description:guanylate binding protein 11 [Source:MGI Symbol;Acc:MGI:3646307] MTQPQMAPICLVENHNEQLLVNQEAIEILDKISQPVVVVAIVGLYRTGKSYLMNRLAGQN HGFPLGSTVQSQTKGIWMWCVPHPTKPEHTLVLLDTEGLGDVEKGDPKNDLWIFALSVLL SSTFIYNSMNTISHDSLEKLHYVTELTELIRAKSSPNPHGIKNSTEFVSFFPDFVWTVRD FTLKLKLNGEDITSDGYLENALKLIPDNNPRMQASNLARECIRRFFPNRKCFVFDRPTYD IELLQKLETISEDQLDPMFQERTKAFVSYIFNYAKIKTLKEGIKVTGNGLGILVTTYVDA INSGAVPCLHDAVTTLAQRENSVAVQKAADHYSEQMAQRLRLPTETLQELLDVHAACEKE AMAVFMEHSFKDENQQFLKKLVELISEKNGLFLLKNEEASDKYCQEELDRLSKDLMDNIS TFSVPGGHRLYMDMREKIEHDY >ENSMUSP00000146447.1 pep:known chromosome:GRCm38:2:111667437:111693319:1 gene:ENSMUSG00000109389.1 transcript:ENSMUST00000208175.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr1300-ps1 description:olfactory receptor 1300, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031134] MNEVNQSMVSEFVLLGLSNSQNLQVLLFVIFLIVYLLILSGNIVIVILITIDRHLHSPMY FLLANLSFVDIWLSCYHSKNGHRFSQGKQDHFL*RMYVPGLL*SLHWCSRDGAIAGYGL* PLCGHLQTSPLFHHYEPEKMHCIGVDFLDNWLCACLESTCTSSATTFLWSIGNRQFFL*H TIGNQPSLHGFPSFGYFSKC*LWGCGCNLLYSVAYILYLYPYHCSSEL*GWGI*GLVHVH CPHHCGVAPFCALYFHLCLAPQYHLV*QISCCVLFCCYTSPKSSHLYTEK*RYKTFPKEI KKLFYESQGKYL >ENSMUSP00000138088.1 pep:known chromosome:GRCm38:5:120812638:120824163:1 gene:ENSMUSG00000029605.16 transcript:ENSMUST00000183291.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Oas1b description:2'-5' oligoadenylate synthetase 1B [Source:MGI Symbol;Acc:MGI:97430] MEQDLRSIPASKLDKFIENHLPDTSFCADLREVIDALCALLKDRSFRGPVRRMRASKGVK GKGTALKGRSDADLVVFLNNLTSFEDQLNQQGVLIKEIKKQLCEVQHERRCGVKFEVHSL RSPNSRALSFKLSAPDLLKEVKFDVLPAYDLLDHLNILKKPNQQFYANLISGRTPPGKEG KLSICFMGLQKYFLNCRPTKLKRLIRLVTHWYQLCKEKLGDPLPPQYALELLTVYAWEYG SRVTKFNTAQGF*TVLELVTKYKQLQIYWTVYYDFRHQEVSEYLHQQLKKDRPVILDPTD PTRNIAGLNPKDWRRLAGEAAAWLQYPCFKYRDGSSVCSWEVPTEVAVPTKYLFCRIFWL LFWSLFHFIFGKTSSG >ENSMUSP00000083564.2 pep:known chromosome:GRCm38:5:120812635:120824158:1 gene:ENSMUSG00000029605.16 transcript:ENSMUST00000086377.8 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Oas1b description:2'-5' oligoadenylate synthetase 1B [Source:MGI Symbol;Acc:MGI:97430] MEQDLRSIPASKLDKFIENHLPDTSFCADLREVIDALCALLKDRSFRGPVRRMRASKGVK GKGTALKGRSDADLVVFLNNLTSFEDQLNQQGVLIKEIKKQLCEVQHERRCGVKFEVHSL RSPNSRALSFKLSAPDLLKEVKFDVLPAYDLLDHLNILKKPNQQFYANLISGVPAGKEGK LSICFMGLQKYFLNCRPTKLKRLIRLVTHWYQLCKEKLGDPLPPQYALELLTVYAWEYGS RVTKFNTAQGF >ENSMUSP00000146407.1 pep:known chromosome:GRCm38:17:37169708:37170646:-1 gene:ENSMUSG00000090556.4 transcript:ENSMUST00000208970.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr753-ps1 description:olfactory receptor 753, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030587] MVNQSSPVVFFLLGFSEHPQLEKVLFVVVLCSYLLTLLGNTLILLLSTLDPRLHTPMYFF LSNLSFLDLCFTTTCVPQMLFNLWGPEKTISFLGCFV*LFIFMSLGTTECILLTVMAFDR YVAVCQPLHYATVINPRLCQQLAGIAWAIGLVQSIVQTPPTLKLPFCSHRQIDNFVCEVP SLIQLSCGDITYNEIQMAVASIFIVVVPLSLILVSYGAIARAVLKISSAKGRRKAFGTCS SHLIVVTLFYSSVIAVYLQPKNPYARERGKFFGLFYAVGTPILNPLVYTLRNKEVKRAFW KLLRKDEDSEES >ENSMUSP00000146480.1 pep:known chromosome:GRCm38:17:37169727:37170645:-1 gene:ENSMUSG00000090556.4 transcript:ENSMUST00000174673.2 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Olfr753-ps1 description:olfactory receptor 753, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030587] MSLGTTECILLTVMAFDRYVAVCQPLHYATVINPRLCQQLAGIAWAIGLVQSIVQTPPTL KLPFCSHRQIDNFVCEVPSLIQLSCGDITYNEIQMAVASIFIVVVPLSLILVSYGAIARA VLKISSAKGRRKAFGTCSSHLIVVTLFYSSVIAVYLQPKNPYAR >ENSMUSP00000134155.5 pep:known chromosome:GRCm38:17:36080189:36084223:-1 gene:ENSMUSG00000073406.10 transcript:ENSMUST00000173080.9 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:H2-Bl description:histocompatibility 2, blastocyst [Source:MGI Symbol;Acc:MGI:892004] MAQRTLFLLLAAALTMIETRAGPHSMRYFETAVFRPGLGEPRFISVGYVDNTQFVSFDSD AENPRSEPRAPWMEQEGPEYWERETQIAKDNEQSFGWSLRNLIHYYNQSKGGFHTFQRLS GCDMGLDGRLLRGYLQFAYDGRDYITLNEDLKTWMAADLVALITRRKWEQAGAAELYKFY LEAECVEWLRRYLQLGKETLLRTDPPKAHVTHHPRPAGDVTLRCWALGFYPADITLTWQL NGEELTQDMELVETRPAGDGTF*KWAAVVVPLGKEQNYTCHVYHEGLPEPLTLRWEPPPS TGSNMVNIAVLVVLGAVIIIEAMVAFVLKSSRKIAILPGPAGTKGSSAS >ENSMUSP00000139373.1 pep:known chromosome:GRCm38:17:36080189:36084223:-1 gene:ENSMUSG00000073406.10 transcript:ENSMUST00000185167.7 gene_biotype:polymorphic_pseudogene transcript_biotype:nonsense_mediated_decay gene_symbol:H2-Bl description:histocompatibility 2, blastocyst [Source:MGI Symbol;Acc:MGI:892004] MAQRTLFLLLAAALTMIETRADRSVPARPRGAPVHLCRLRGQHAVRELRQRCGESEI >ENSMUSP00000141253.1 pep:known chromosome:GRCm38:17:36080189:36084223:-1 gene:ENSMUSG00000073406.10 transcript:ENSMUST00000195838.5 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:H2-Bl description:histocompatibility 2, blastocyst [Source:MGI Symbol;Acc:MGI:892004] MAQRTLFLLLAAALTMIETRAVFRPGLGEPRFISVGYVDNTQFVSFDSDAENPRSEPRAP WMEQEGPEYWERETQIAKDNEQSFGWSLRNLIHYYNQSKGGFHTFQRLSGCDMGLDGRLL RGYLQFAYDGRDYITLNEDLKTWMAADLVALITRRKWEQAGAAELYKFYLEAECVEWLRR YLQLGKETLLRTDPPKAHVTHHPRPAGDVTLRCWALGFYPADITLTWQLNGEELTQDMEL VETRPAGDGTF*KWAAVVVPLGKEQNYTCHVYHEGLPEPLTLRWEPPPSTGSNMVNIAVL VVLGAVIIIEAMVAFVLKSSRKIAILPGPAGTKGSSAS >ENSMUSP00000141809.1 pep:known chromosome:GRCm38:17:36080189:36084223:-1 gene:ENSMUSG00000073406.10 transcript:ENSMUST00000194244.5 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:H2-Bl description:histocompatibility 2, blastocyst [Source:MGI Symbol;Acc:MGI:892004] MAQRTLFLLLAAALTMIETRAGPHSMRYFETAVFRPGLGEPRFISVGYVDNTQFVSFDSD AENPRSEPRAPWMEQEGPEYWERETQIAKDNEQSFGWSLRNLIHYYNQSKGDPPKAHVTH HPRPAGDVTLRCWALGFYPADITLTWQLNGEELTQDMELVETRPAGDGTF*KWAAVVVPL GKEQNYTCHVYHEGLPEPLTLRWEPPPSTGSNMVNIAVLVVLGAVIIIEAMVAFVLKSSR KIAILPGPAGTKGSSAS >ENSMUSP00000139166.1 pep:known chromosome:GRCm38:17:36080189:36084223:-1 gene:ENSMUSG00000073406.10 transcript:ENSMUST00000185087.7 gene_biotype:polymorphic_pseudogene transcript_biotype:nonsense_mediated_decay gene_symbol:H2-Bl description:histocompatibility 2, blastocyst [Source:MGI Symbol;Acc:MGI:892004] MAQRTLFLLLAAALTMIETRAGPHSMRYFETAVFRPGLGEPRFISVGYVDNTQFVSFDSD AENPRSEPRAPWMEQEGPEYWERETQIAKDNEQSFGWSLRNLIHYYNQSKGVRL >ENSMUSP00000141271.1 pep:known chromosome:GRCm38:17:36080189:36084223:-1 gene:ENSMUSG00000073406.10 transcript:ENSMUST00000195833.5 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:H2-Bl description:histocompatibility 2, blastocyst [Source:MGI Symbol;Acc:MGI:892004] MAQRTLFLLLAAALTMIETRAVFRPGLGEPRFISVGYVDNTQFVSFDSDAENPRSEPRAP WMEQEGPEYWERETQIAKDNEQSFGWSLRNLIHYYNQSKGDPPKAHVTHHPRPAGDVTLR CWALGFYPADITLTWQLNGEELTQDMELVETRPAGDGTF*KWAAVVVPLGKEQNYTCHVY HEGLPEPLTLRWEPPPSTGSNMVNIAVLVVLGAVIIIEAMVAFVLKSSRKIAILPGPAGT KGSSAS >ENSMUSP00000139275.1 pep:known chromosome:GRCm38:17:36080189:36084223:-1 gene:ENSMUSG00000073406.10 transcript:ENSMUST00000184502.7 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:H2-Bl description:histocompatibility 2, blastocyst [Source:MGI Symbol;Acc:MGI:892004] MAQRTLFLLLAAALTMIETRAGPHSMRYFETAVFRPGLGEPRFISVGYVDNTQFVSFDSD AENPRSEPRAPWMEQEGPEYWERETQIAKDNEQSFGWSLRNLIHYYNQSKGGFHTFQRLS GCDMGLDGRLLRGYLQFAYDGRDYITLNEDLKTWMAADLVALITRRKWEQAGAAELYKFY LEAECVEWLRRYLQLGKETLLRTEPPPSTGSNMVNIAVLVVLGAVIIIEAMVAFVLKSSR KIAILPGPAGTKGSSAS >ENSMUSP00000138812.1 pep:known chromosome:GRCm38:17:36080189:36084223:-1 gene:ENSMUSG00000073406.10 transcript:ENSMUST00000183560.7 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:H2-Bl description:histocompatibility 2, blastocyst [Source:MGI Symbol;Acc:MGI:892004] MAQRTLFLLLAAALTMIETRAEPPPSTGSNMVNIAVLVVLGAVIIIEAMVAFVLKSSRKI AILPGPAGTKGSSAS >ENSMUSP00000139165.1 pep:known chromosome:GRCm38:17:36080189:36084223:-1 gene:ENSMUSG00000073406.10 transcript:ENSMUST00000183999.7 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:H2-Bl description:histocompatibility 2, blastocyst [Source:MGI Symbol;Acc:MGI:892004] MAQRTLFLLLAAALTMIETRADPPKAHVTHHPRPAEPPPSTGSNMVNIAVLVVLGAVIII EAMVAFVLKSSRKIAILPGPAGTKGSSAS >ENSMUSP00000142113.1 pep:known chromosome:GRCm38:17:36080302:36084193:-1 gene:ENSMUSG00000073406.10 transcript:ENSMUST00000192532.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:H2-Bl description:histocompatibility 2, blastocyst [Source:MGI Symbol;Acc:MGI:892004] AAALTMIETRAGPHSMRYFETAVFRPGLGEPRFISVGYVDNTQFVSFDSDAENPRSEPRA PWMEQEGPEYWERETQIAKDNEQSFGWSLRNLIHYYNQSKGGFHTFQRLSGCDMGLDGRL LRGYLQFAYDGRDYITLNEDLKTWMAADLVALITRRKWEQAGAAELYKFYLEAECVEWLR RYLQLGKETLLRTDPPKAHVTHHPRPAGDVTLRCWALGFYPADITLTWQLNGEELTQDME LVETRPAGDGTF*KWAAVVVPLGKEQNYTCHVYHEGLPEPLTLRWEPPPSTGSNMVNIAV LVVLGAVIIIEAMVAFVLKSSRKIAILPGPAG >ENSMUSP00000051482.5 pep:known chromosome:GRCm38:11:59612966:59614225:1 gene:ENSMUSG00000044061.6 transcript:ENSMUST00000055276.4 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr225 description:olfactory receptor 225 [Source:MGI Symbol;Acc:MGI:3030059] MEKNNESSGEFILLGFSDQPRLEMVLFVVCTVFYFLAVTGNSAIIFLSLMDLRLHTPMYF FLSNLSLLDLCYSTSSIPQFQINLWGPRKTISFVGCAVQLFAFLSVGGIECILLSVMAYD RFVAVCKPLHYLTIMHPQLCLKLAAFAWLGGTASSTLMSPLTMSLGRCGHRRINHFVCEM PAIIHISCVDTSWIEGLIFILAIPIVLVPLIMILVSYGYIAAAVLRIKSTASRRKAFNTC SSHMAVVSLFYSSIIYMYMQPGNLASQDQGKFLTLFYCLVTPTLNPFIYSLRNKDMKAAM LKVLGKDRNLLDPQGT >ENSMUSP00000133893.1 pep:known chromosome:GRCm38:17:36117090:36121465:-1 gene:ENSMUSG00000079491.9 transcript:ENSMUST00000174382.7 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:H2-T10 description:histocompatibility 2, T region locus 10 [Source:MGI Symbol;Acc:MGI:95942] MSWVLRAAVVCALLLQLDARPSWTRIPLGSHSLRYFYTAVSRPGLGEPWFIIVGYVDDMQ VLRFSSKEETPRMAPWLEQEEADDWEQQTRIVTIQGQLSERNLMTLVHFYNKSMDDSHTL QWLQGCDVEPDRHLCLWYNQLAYDSEDLPTLSENPSSCTVGKQWETALYLRSLSTWRATA QICCRNTWKKGRRGCCVQTPQRHM*PVTPDLKVMSP >ENSMUSP00000127602.2 pep:known chromosome:GRCm38:17:36117090:36121149:-1 gene:ENSMUSG00000079491.9 transcript:ENSMUST00000166442.2 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:H2-T10 description:histocompatibility 2, T region locus 10 [Source:MGI Symbol;Acc:MGI:95942] MSWVLRAAVVCALLLQLDARPSWTRIPLGSHSLRYFYTAVSRPGLGEPWFIIVGYVDDMQ VLRFSSKEETPRMAPWLEQEEADDWEQQTRIVTIQGQLSERNLMTLVHFYNKSMDDSHTL QWLQGCDVEPDRHLCLWYNQLAYDSEDLPTLSENPSSCTVGNSTVPQISQHLEGHCSDLL QKYLEKGKERLLRSDPPKAHVTRHPRPEGDVTLRCWALGFYPADITLTWQKDGEELTQEV EFVETRPAGDGTFQKWAAVVVPLGKVQSYTCHVDHEGLPEPLTLRWEPAWYQKPWIWIVA TVFSILLICLCVARRPMKKNAGGRGRRDTQEAGRDSPQDSSKTVVDDEEMGVCFWKIKYC KTCLGHSPGTSVGESLLSP >ENSMUSP00000143594.1 pep:known chromosome:GRCm38:5:72203329:72298775:1 gene:ENSMUSG00000046808.17 transcript:ENSMUST00000126664.7 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Atp10d description:ATPase, class V, type 10D [Source:MGI Symbol;Acc:MGI:2450125] MTNGWLGSVTLPALWISAPFSFGTLGSEVTPCWDERPGRDRSPEPAGSPCVLGMTELLQW ARHHWRRLSHGRAQGEDERPYNYASLLACGGKSSRTPRPAGKHRVVIPHLQCFKDEYERF SGTYVNNRIRTTKYTLLNFVPRNLFEQFHRAANLYFLFLVVLNWVPLVEAFQKEITMLPL VVVLTIIAIKDGLEDYRKYKIDKQINNLITKVYSRKEKKYIDCCWKNVTVGDFIRLSCNE IIPADMVLLFSTDPDGICHIETSGLDGESNLKQRQVVRGYTEQDSEVDPEKFSSRIECES PNNDLSRFRGFLEHANKERVGLSKENLLLRGCTIRNTEAVVGIVVYAGHETKAMLNNSGP RYKRSKLERRANTDVLWCVLLLIVMCLTGALGHGIWLSRYENMLFFNIPEPDGRVISPVL TGFYVFWTMIILLQVLIPISLYVSIEIVKLGQIYFIQSDVDFYNEKMDSTIQCRALNITE DLGQIQYLFSDKTGTLTENKMVFRRCSVAGFDYCHEENAKRLESYQEAVSEEEECTDTLG GSLSNMARPRAQGCRTVPSGPLGKPSAQLSGSTSAVGNGEGSGEVPHSRQAAFSSPMETD VVPDTRLLDKFSQLTPQLLTGLDGTAQSSPLETLYIMDFFIALAICNTVVVSAPNQPRQK IGLSSLGGMPIKSLEEIKNIFQKLSVRRSSSPSLASGKDSSSGTPCAFVSRISFFSRPKL SPPMEDESSQMDEIPQASNSACCTETEAQNRAVGLSVSSAEALSGPPPSASNLCYEAESP DEAALVYAARAYRCTLQSRTPEQVMVDFAALGSLTF*LLHILPFDSVRKRMSVVVRHPLS KQVVVYTKGADSVIMELLSVAASDGTNPEQQMIIRERTQRHLDEYAKRGLRTLCVAKKVM SDTEYAEWLRNHFLAETSIDNREELLVESAMRLENKLTLLGATGIEDRLQEGVPESIEAL HQAGIKIWMLTGDKQETAVNIAYACKLLEPDDKLFILNTQSQDACGMLMSAILEELQKRA QVSPELASSRKNFPQPSDAQGQGRAGLVITGKTLEFALQESLQRQFLELTAWCQAVICCR ATPLQKSEVVKLVRNHLHVMTLAIGDGANDVSMIQVADIGIGVSGQEGMQAVMASDFAIS QFRHLSKLLLVHGHWCYTRLSNMILYFFYKNVAYVNLLFWYQFFCGFSGTSMTDYWVLIF FNLLFTSVPPIIYGVLEKDVSAETLLQLPELYRSGQRSEEYLPLTFWITLLDAFYQSLVC FFVPYFTYQGSDIDIFTFGNPLNTAALFIILLHLVIESKSLTWIHMLVTVGSILSYFFFA LAFGALCVTCNPPSNPYGIMRKHMLDPVFYLVCVLTTFVALLPRFLYRVLQGSVFPSPVL RAKYFDRLPPEERAEALKRWRGTAKVNHVASKHASQSAAMSGRPTPGSSAVLAMKSATVS TVEQSTRETALDRGCSEPGASKMTGSSAS >ENSMUSP00000143464.1 pep:known chromosome:GRCm38:5:72203329:72230475:1 gene:ENSMUSG00000046808.17 transcript:ENSMUST00000169617.2 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Atp10d description:ATPase, class V, type 10D [Source:MGI Symbol;Acc:MGI:2450125] MTNGWLGSVTLPALWISAPFSFGTLGSEVTPCWDERPGRDRSPEPAGSPCVLGMTELLQW ARHHWRRLSHGRAQGEDERPYNYASLLACGGKSSRTPRPAGKHRVVIPHLQCFKDEYERF SGTYVNNRIRTTKYTLLNFVPRNLFEQFHRAANLYFLFLVVLNWVPLVEAFQKEITMLPL VVVLTIIAIKDGLEDYRKYKIDKQINNLITKVYSR >ENSMUSP00000142749.1 pep:known chromosome:GRCm38:5:72280047:72298761:1 gene:ENSMUSG00000046808.17 transcript:ENSMUST00000094710.7 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Atp10d description:ATPase, class V, type 10D [Source:MGI Symbol;Acc:MGI:2450125] MTDYWVLIFFNLLFTSVPPIIYGVLEKDVSAETLLQLPELYRSGQRSEEYLPLTFWITLL DAFYQSLVCFFVPYFTYQGSDIDIFTFGNPLNTAALFIILLHLVIESKSLTWIHMLVTVG SILSYFFFALAFGALCVTCNPPSNPYGIMRKHMLDPVFYLVCVLTTFVALLPRFLYRVLQ GSVFPSPVLRAKYFDRLPPEERAEALKRWRGTAKVNHVASKHASQSAAMSGRPTPGSSAV LAMKSATVSTVEQSTRETALDRGCSEPGASKMTGSSAS >ENSMUSP00000134404.1 pep:known chromosome:GRCm38:7:101552453:101560865:-1 gene:ENSMUSG00000092517.1 transcript:ENSMUST00000173420.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Art2a-ps description:ADP-ribosyltransferase 2a, pseudogene [Source:MGI Symbol;Acc:MGI:107546] MTSKNFKFFLTWWLTQQVTGLTGSFTLDMAPNAFDDQYESCVEDMEKKAPQLLQEDFNMN EELKLEWEKAEIKWKEIKNCMSYPAGFHDFHGTALVAYTGNIHRSLNEATREFKINPGNF HYKAFHYYLTRALQLLSDQGCRSVYRGTNVRFRYTGKGSV*FGHFASSSLNRSVATSSPF FNGQGTLFIIKTCLGAHIKHCSYYTHEEEVLIPGYEVFHKVKTQSVERYIQISLDSPKRK KSNFNCFYSGSTQAANVSSLGSRESCVSLFLVVLLGLLVQQLTLAEL >ENSMUSP00000142272.1 pep:known chromosome:GRCm38:18:37761801:37841870:1 gene:ENSMUSG00000103081.1 transcript:ENSMUST00000195764.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Pcdhgb8 description:protocadherin gamma subfamily B, 8 [Source:MGI Symbol;Acc:MGI:1935200] MERSSVKLGSRTPWRQVLFPFLLPLFCTGLSEQVRYSIPEEMAMGSVVGNLAEDLGLPVQ DLLTRNLRVIAEKPYLSVNPENGNIVVSDRIDREFLCFQSPLCVLPLEIVAENPLNVFHV SVVIEDINDNPPRFLQNSIVLQINELAIPGTRFGLESAIDADVGLNSLQSYQLSLNEHFS LVVKDNTEGKDAPELVLEKPLDREKQSSQLLVLTAVDGGEPVLTGTAQIQIEVTDANDNP PVFSQSTYKVSLREDMAAGTSVLTVIATDQDEGVNAEVTYSFKSLGEDIRDKFILDHQSG EIKSKGPIDFETKRTYTMNIEAKDGGGMASECKVVVEILDENDNAPEVVFTSVSNSITED AEPGTVVALFKTYDKDSEENGRVSCFVKETVPFRIESSASNYYKLVTDGILDREQTPEYN VTIIATDKGKPPLSSSTSVTLHVGDINDNAPVFHQTSYLIQVAENNPPGASIAQVSAFDP DLGSNGFISYSIIASDLEPKSLWSYVSVNQDSGVVFAQRAFDHEQLRSFQLTLQARDQGK PSLSANVSMRVLVGDRNDNAPRVLYPTLEPDGSALFDMVPRAAEPGYLVTKVVAVDADSG HNAWLSYHVLQASDPGLFSLGLRTGEVRTARALGDRDSARQRLLVAVRDGGQPPLSATAT LHLIFADSLQEVLPDLRDEPLLSDSQSELQFYLVVALALVSVLFLFVVILAIVLRLRQSH GPAVSDYFQSGLCCKTRPEVSLN*GEGTLPYSYNLYVASNCQKTISQFLTLTPEMVPPRD LCTEASVAVSVAEENNKIVSDSIASNHQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNN QFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSN ATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000145769.1 pep:known chromosome:GRCm38:11:73388081:73392214:-1 gene:ENSMUSG00000069824.5 transcript:ENSMUST00000134011.3 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr377-ps1 description:olfactory receptor 377, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030211] MTEENQTVVTQFILLGLPIPPEHQHLFYALFLSMYLTTVLGNLIIIILIHLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQDMQSQVPSIPYAGCLAQLYFYLYFADLESFLLVAMAY DRYVAICFPLHYMSIMSPRLCVSLVLLSWVLTTFHAMLHTLLVARLSFCEDNVIPHFFCD ISPLLKLSCSDTHINELVIFVMGGLVIVIPFLLIVVSYARVVTSILKVPSVRGIHRSSPL VVLTCLWCHCSMGQSLVSIYAQQLITPL*RRLSWP*CTQW*LPC*TPSSTV*GTET*KGP WQESFVRRKLPSVC >ENSMUSP00000113524.2 pep:known chromosome:GRCm38:11:73433291:73434224:-1 gene:ENSMUSG00000084387.4 transcript:ENSMUST00000117349.7 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr379-ps1 description:olfactory receptor 379, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030213] MNNKTVFSQFLLLGLPIPPRAPAPVLCPVPGHVPHHRPGEPHHHQPHNTGLPSPHTHVLV SQQLVLL*SLFFLCHNAQVAAEHAKPGHIHHLCRLSDTNVLFVSFWRPGELSSFGHGL*S LCSHLLPSALHQHHESQALCISGADVLGI*LAVFHVALSTLS*IVIL*RQCDPPLFL*HT CPAQVDLF*HSY**INDISLRRTHYGHPILTYCCVLCTNCLLHSKYFFC*GYTKDFLHLW IPPICGLTVLWNNFWSLLMSIS**LYCEGDCHGHDVHSGDSYVEPLHLQTEEQKHERGPD KSPFQEENLFV >ENSMUSP00000145661.1 pep:known chromosome:GRCm38:11:73660090:73665542:1 gene:ENSMUSG00000061246.5 transcript:ENSMUST00000134773.2 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr387-ps1 description:olfactory receptor 387, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030221] MNNQTVISQFLLLGLPIPLEYKHLFFALFLTMYLNIILGNLIIIILILLDTHLHTPMYFF LSNLSFSDLCFSSVTMPKLLQNMQSQDPSIPYASCLTQMYFFMAFGNMEIYLLVVMAYDR YVAICFPLHYTSIMSPKLCVSLVVLSWVFTILYSMLHTLLLARLSFCEDNVIPHFFCDIS ALLKLACSDISINELMIFIVGGLDTVIPFLLIVVSYVQMSAPF*SSHLHGAYTRSSPPVA PTCLWSHCSMGQLLVSTYAHQLITLL*RRLSCP*CTQW*RPC*IPSSTV*ETEI*KRP*S ESFVRSKSRY >ENSMUSP00000137763.1 pep:known chromosome:GRCm38:5:92359544:92365485:-1 gene:ENSMUSG00000060183.6 transcript:ENSMUST00000122808.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Cxcl11 description:chemokine (C-X-C motif) ligand 11 [Source:MGI Symbol;Acc:MGI:1860203] MNRKVTAIALAAISGPQLLKASLCSNRGAVFASAPG*KPSKWQRSRKLL*FTRVTAATKL K*LLL*RLINDKGAWTPDPSKLAS*CRQ*KKRIF*GVKTC >ENSMUSP00000137965.1 pep:known chromosome:GRCm38:5:92359547:92363277:-1 gene:ENSMUSG00000060183.6 transcript:ENSMUST00000077820.5 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Cxcl11 description:chemokine (C-X-C motif) ligand 11 [Source:MGI Symbol;Acc:MGI:1860203] MNRKVTAIALAAIWATAAQGFLMFKQGRCLCIGPGMKAVKMAEIEKASVIYPSNGCDKVE VIVTMKAHKRQRCLDPRSKQARLIMQAIEKKNFLRRQNM >ENSMUSP00000146113.1 pep:known chromosome:GRCm38:11:74317027:74317997:1 gene:ENSMUSG00000083886.2 transcript:ENSMUST00000118809.2 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr409-ps1 description:olfactory receptor 409, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030243] MARGNQTSIFEFLLWGLSEQPQQQHILFLVFLWMYLVTVAGNLLIVLAISTDVRLHTPMY FFLASLSCDDILLVSTIVPKALVNIHTQSRTISYAGCLVQLYFFLTFGDMDIFLLATMAY DRFVAISTLSTTG*S*ASSAAHS**QSVGSLQPLWP*HTPSSYSGSPSTLRRSFQASSVT WNP**RSLALKPGSMSLCFSSWGVQLSSSSFCSSLYLISKLCQPSSGSLLPKEGIRPFLP VGPTFLWWPYSLGL**GLIYVPHPLPLTQW*RTQQLLSCIQW*LPC*TPSFTAYETKT*R EHWSEFSRAKSPFPGPRDFFRKS >ENSMUSP00000134251.1 pep:known chromosome:GRCm38:11:116216003:116219776:1 gene:ENSMUSG00000092300.7 transcript:ENSMUST00000174177.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Cdk3-ps description:cyclin-dependent kinase 3, pseudogene [Source:MGI Symbol;Acc:MGI:1916931] MDVFQKVEKIGEGTYGVVYKARNKVTGQLVALKKIRLDLEAEGVPSTAVREISLLKELKH PNIIKLLDVVHREKKLYMVFEFLTQDLKRHMDSSPTSELPLPVVKSYLAQLLEGVSFCHS HRVIHRDLKPQNLLLDGLGAIKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGSKFY STAVDI*SIGCIFAEMVTGKALFPGDSEIDQLFRIFRTLGTPSEATWPGVSQMPDYQSSF PKWSRKGLEEIVPSLGPEGKDLLLRLLQYDPSQRISAKTALAHPYFSPGHSLAPQQCTAG RSSR >ENSMUSP00000138771.1 pep:known chromosome:GRCm38:1:107529003:107547222:1 gene:ENSMUSG00000092572.7 transcript:ENSMUST00000182198.7 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Serpinb10 description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 10 [Source:MGI Symbol;Acc:MGI:2138648] MEFNSGKFEEIQSDFQTLAAEILKPGNSYVLKTANRIYGEKTYPFHNKYLEDMKTYFGAE PQSVNFVEASGQIRKEINSWVGSQTGGKIPNLLPDDSVDTKTKMVLVNALYFKGTWEHQF SVKSTTERPFRVNKTTSKPVQMMSMKQSLQVFHIEELQTIGLQLHYQNRDLSLLLLLPEA IDGLEQLERAITYEKLDKWTSADMMDTYEVQLYLPKFKMEESYDLKSALRGMGMTDVFSQ SKADFSNMTSERNLFLSNVFHKTFLEINEEGTEAAAGTGSEISVRIKAPSIELNVDHPFL FFIRHNKTKSILFCGRFCSP >ENSMUSP00000141672.1 pep:known chromosome:GRCm38:1:107532371:107547072:1 gene:ENSMUSG00000092572.7 transcript:ENSMUST00000194951.1 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Serpinb10 description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 10 [Source:MGI Symbol;Acc:MGI:2138648] MASLAVSINQFALEFSKKLA*SAEGRNIFFSPWGISTALAMVYLGTKGTTADQMAQVLQF SSVEDFKSCPDSEKKRKMEFNSGKFEEIQSDFQTLAAEILKPGNSYVLKTANRIYGEKTY PFHNKYLEDMKTYFGAEPQSVNFVEASGQIRKEINSWVGSQTGGKIPNLLPDDSVDTKTK MVLVNALYFKGTWEHQFSVKSTTERPFRVNKTTSKPVQMMSMKQSLQVFHIEELQTIGLQ LHYQNRDLSLLLLLPEAIDGLEQLERAITYEKLDKWTSADMMDTYEVQLYLPKFKMEESY DLKSALRGMGMTDVFSQSKADFSNMTSERNLFLSNVFHKTFLEINEEGTEAAAGTGSEIS VRIKAPSIELNVDHPFLFFIRHNKTKSILFCGRFCSP >ENSMUSP00000146326.1 pep:known chromosome:GRCm38:11:74239566:74240537:1 gene:ENSMUSG00000083188.2 transcript:ENSMUST00000121065.2 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr404-ps1 description:olfactory receptor 404, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030238] MGRENQTSTFEFLLWGLSEQLQQQHILFLIFLWMYLVTVVGNLLIVLAISTDVRLHTPMY FLLANLSCDDILFTSTTIPKALVNIHTQSRTISYAGCLVQLYFFLTFGDMDIFLLATMAY DRFVAICHPLHYRMIMSFQRCSFLVTACWILTTFVAMTHTLLIFRLSFCSKKVIPDFFCD LGPLMKIACSETRINELVLLFLGGAVILIPFLLILVSYIRIVSAILRLPSAQGRRKAFST CGSHLSVVALCFGTVIKAYLCPSSSSSNSVVEDTAAVVMYTVVTPLLNPFIYSL*NKDMK GALVRILKGKVSFPWAQRLLQRK >ENSMUSP00000145606.1 pep:known chromosome:GRCm38:11:73723960:73725022:-1 gene:ENSMUSG00000080781.2 transcript:ENSMUST00000118336.2 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr388-ps1 description:olfactory receptor 388, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030222] MVMNNQTVISQLLLLGLHIPPDHQHGFYTLFLAMYLTTILGNLIIITLIILDSHLHTPMY LFLINLSFSDLCFSSVTVPKLLQNMQSQDTSISYAGCLTQMYFLMVFGDMESFLLVVMAY DRYVAICFPLHYTSTMSPKFCVCVWCCCHGYLPLCIPWCTLYSCLDCHSVRTM*SPIFSV TYLPCSSWPALTFL*MN**YLSWEGLSLRFHSYSLLCPMYELSLPS*RFHLLRVYTRSSP LVAPICLLFHCSMGQLLVSTYVHQLITLL*RRLPWP*CIQ**LPC*IPSSIA*GAEI*KR P*SESFARRKSPY >ENSMUSP00000139605.1 pep:known chromosome:GRCm38:17:35394126:35397588:1 gene:ENSMUSG00000055413.12 transcript:ENSMUST00000172979.3 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:H2-Q5 description:histocompatibility 2, Q region locus 5 [Source:MGI Symbol;Acc:MGI:95934] MALTMLLLLVAAALTLIETRAGPHSLRYFHTAVSRPGLGEPRFIIVGYVDDTQFVRFDSD AENPRMEPRARWMEQEGPEYWERETQVAKGHEQSFQGSLRTAQSYYNQSKGGSHTLQWMY GCDMGSDGRLLRGYLQFAYEGRDYIALNEDLKTWTAADMEAQITRRKWEQAGIAERDRAY LEVA*GSANTCSSGRRRCCAQIPQRHM*PITPYLMMLSP*GAGPWASTLLTSP*LGS*MG RS*PRTRSLWRPGLQGMEPSRSGQL*WCLLGRSRITHAMCTMRGCLSPSP*DGGDGSLLH TLSPTWRPLLLWLTLELWPSLELWWLL**IGG >ENSMUSP00000146203.1 pep:known chromosome:GRCm38:11:73925002:73928945:1 gene:ENSMUSG00000108605.1 transcript:ENSMUST00000143750.2 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr396-ps1 MPRNNNQTTISQFLLLGLPIPQEFQHLFYALFLAMYLTTVLGNLIIIILIRLDSHLHTPM YLFLSNLSFTDL*FSSVTMPKLLQNMQSQVPSIPYAGCLTQMYFLLFFGDLESFLLVAMA YDRYVAICFPLHYTSIMSPRLCVSLVLLSWLLTMSHSMLHTLLLTRLSFCENNVIPHFFC DLSALLKLACSDIHINELVILIIGGLVVILPFLLITVSYARIISSILKVPSTQGIHKVFS TCGSHLSVVSLFYGTIIGLYLCPSANNSTLKDTVMSMMYTVVTPMLNPFIYSLRNRDMKE ALKRVLQKKTIF >ENSMUSP00000145542.1 pep:known chromosome:GRCm38:11:73928226:73928858:1 gene:ENSMUSG00000108605.1 transcript:ENSMUST00000205791.1 gene_biotype:polymorphic_pseudogene transcript_biotype:protein_coding gene_symbol:Olfr396-ps1 FSSVTMPKLLQNMQSQVPSIPYAGCLTQMYFLLFFGDLESFLLVAMAYDRYVAICFPLHY TSIMSPRLCVSLVLLSWLLTMSHSMLHTLLLTRLSFCENNVIPHFFCDLSALLKLACSDI HINELVILIIGGLVVILPFLLITVSYARIISSILKVPSTQGIHKVFSTCGSHLSVVSLFY GTIIGLYLCPSANNSTLKDTVMSMMYTVVTP >ENSMUSP00000145605.1 pep:known chromosome:GRCm38:11:73954692:73955628:1 gene:ENSMUSG00000079875.4 transcript:ENSMUST00000115991.2 gene_biotype:polymorphic_pseudogene transcript_biotype:polymorphic_pseudogene gene_symbol:Olfr22-ps1 description:olfactory receptor 22, pseudogene 1 [Source:MGI Symbol;Acc:MGI:109313] MPGKNQTIISEFLLLGLPIPPEQQHLFYALFLAMYLTTVLGNLIIIILIRLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLLNMQSQIPSISYASCLAQMYFFLFFWSP*ELPTCGYGL *PLCGHLFPSSLHQHHEPQALCEYGGDVLGADHLRCHAAHPAHGQIVIL*GQCDPPLFL* HVCSAQAVLL*HPC**GGDIYHRRAWCRTSISTHHSVLCTHNLLHSQGPFNSRHPKGLLH LWFSSVCGVTVLWNNYWPLLRSICLLLYSKGHCHVYDVHCGDSHAEPLHLQPKEQRYEGS PKKIISKENYLL >ENSMUSP00000141715.1 pep:known chromosome:GRCm38:6:41538218:41539881:1 gene:ENSMUSG00000076490.6 transcript:ENSMUST00000192856.5 gene_biotype:TR_C_gene transcript_biotype:TR_C_gene gene_symbol:Trbc1 description:T cell receptor beta, constant region 1 [Source:MGI Symbol;Acc:MGI:4439726] XDLRNVTPPKVSLFEPSKAEIANKQKATLVCLARGFFPDHVELSWWVNGKEVHSGVSTDP QAYKESNYSYCLSSRLRVSATFWHNPRNHFRCQVQFHGLSEEDKWPEGSPKPVTQNISAE AWGRADCGITSASYQQGVLSATILYEILLGKATLYAVLVSTLVVMAMVKRKNS >ENSMUSP00000100099.1 pep:known chromosome:GRCm38:6:41538218:41539347:1 gene:ENSMUSG00000076490.6 transcript:ENSMUST00000103291.1 gene_biotype:TR_C_gene transcript_biotype:TR_C_gene gene_symbol:Trbc1 description:T cell receptor beta, constant region 1 [Source:MGI Symbol;Acc:MGI:4439726] XDLRNVTPPKVSLFEPSKAEIANKQKATLVCLARGFFPDHVELSWWVNGKEVHSGVSTDP QAYKESNYSYCLSSRLRVSATFWHNPRNHFRCQVQFHGLSEEDKWPEGSPKPVTQNISAE AWGRADCGITSASYQQGVLSATILYEILLGKATLYAVLVSTLVVMAMVRNR >ENSMUSP00000100100.1 pep:known chromosome:GRCm38:6:41546730:41548352:1 gene:ENSMUSG00000076498.2 transcript:ENSMUST00000103299.2 gene_biotype:TR_C_gene transcript_biotype:TR_C_gene gene_symbol:Trbc2 description:T cell receptor beta, constant 2 [Source:MGI Symbol;Acc:MGI:4835227] XDLRNVTPPKVSLFEPSKAEIANKQKATLVCLARGFFPDHVELSWWVNGKEVHSGVSTDP QAYKESNYSYCLSSRLRVSATFWHNPRNHFRCQVQFHGLSEEDKWPEGSPKPVTQNISAE AWGRADCGITSASYHQGVLSATILYEILLGKATLYAVLVSGLVLMAMVKKKNS >ENSMUSP00000142908.1 pep:known chromosome:GRCm38:14:54142851:54148868:1 gene:ENSMUSG00000104876.1 transcript:ENSMUST00000196323.1 gene_biotype:TR_C_gene transcript_biotype:TR_C_gene gene_symbol:Trdc description:T cell receptor delta, constant region [Source:MGI Symbol;Acc:MGI:98612] XSQPPAKPSVFIMKNGTNVACLVKDFYPKEVTISLRSSKKIVEFDPAIVISPSGKYSAVK LGQYGDSNSVTCSVQHNSETVHSTDFEPYANSFNNEKLPEPENDTQISEPCYGPRVTVHT EKVNMMSLTVLGLRLLFAKTIAINFLLTVKLFF >ENSMUSP00000143014.1 pep:known chromosome:GRCm38:14:54220521:54224206:1 gene:ENSMUSG00000076928.5 transcript:ENSMUST00000198398.4 gene_biotype:TR_C_gene transcript_biotype:TR_C_gene gene_symbol:Trac description:T cell receptor alpha constant [Source:MGI Symbol;Acc:MGI:4439838] XIQNPEPAVYQLKDPRSQDSTLCLFTDFDSQINVPKTMESGTFITDKTVLDMKAMDSKSN GAIAWSNQTSFTCQDIFKETNATYPSSDVPCDATLTEKSFETDMNLNFQNLSVMGLRILL LKVAGFNLLMTLRLWSS >ENSMUSP00000100459.1 pep:known chromosome:GRCm38:14:54220521:54223097:1 gene:ENSMUSG00000076928.5 transcript:ENSMUST00000103740.1 gene_biotype:TR_C_gene transcript_biotype:TR_C_gene gene_symbol:Trac description:T cell receptor alpha constant [Source:MGI Symbol;Acc:MGI:4439838] XIQNPEPAVYQLKDPRSQDSTLCLFTDFDSQINVPKTMESGTFITDKTVLDMKAMDSKSN GAIAWSNQTSFTCQDIFKETNATYPSSDVPCDATLTEKSFETDMNLNFQNLSVMGLRILL LKVAGFNLLMTLRLWSS >ENSMUSP00000100338.1 pep:known chromosome:GRCm38:13:19214103:19217024:1 gene:ENSMUSG00000076749.2 transcript:ENSMUST00000103558.2 gene_biotype:TR_C_gene transcript_biotype:TR_C_gene gene_symbol:Tcrg-C1 description:T cell receptor gamma, constant 1 [Source:MGI Symbol;Acc:MGI:98625] XKRLDADISPKPTIFLPSVAETNLHKTGTYLCLLEKFFPDVIRVYWKEKDGNTILDSQEG DTLKTNDTYMKFSWLTVPERAMGKEHRCIVKHENNKGGADQEIFFPSIKKVAVSTKPTTC WQDKNDVLQLQFTITSAYYTYLLLLLKSVIYLAIISFSLLRRTSVCGNEKKS >ENSMUSP00000143383.1 pep:known chromosome:GRCm38:13:19260885:19263528:1 gene:ENSMUSG00000091682.2 transcript:ENSMUST00000198163.1 gene_biotype:TR_C_gene transcript_biotype:TR_C_gene gene_symbol:Tcrg-C3 description:T cell receptor gamma, constant 3 [Source:MGI Symbol;Acc:MGI:98627] XKKLDADISPKPTIFLPSVAETNLHKTGTYLCVLEKFFPDVIRVYWKEKKGNTILDSQEG DMLKTNDTYMKFSWLTVPERSMGKEHRCIVKHENNKGGADQEIFFPSIKKVAVSTKPTTC WQDKNMCCSSSSRSPLPTTPTFSCSSRV >ENSMUSP00000132340.1 pep:known chromosome:GRCm38:13:19260884:19263397:1 gene:ENSMUSG00000091682.2 transcript:ENSMUST00000164407.1 gene_biotype:TR_C_gene transcript_biotype:protein_coding gene_symbol:Tcrg-C3 description:T cell receptor gamma, constant 3 [Source:MGI Symbol;Acc:MGI:98627] DKKLDADISPKPTIFLPSVAETNLHKTGTYLCVLEKFFPDVIRVYWKEKKGNTILDSQEG DMLKTNDTYMKFSWLTVPERSMGKEHRCIVKHENNKGGADQEIFFPSIKKVAVSTKPTTC WQDKNDVLQLQFTITSAYYTYLLLLLKSVIYLAIISFSLLRRTSVCCNEKKS >ENSMUSP00000100340.1 pep:known chromosome:GRCm38:13:19304649:19307551:-1 gene:ENSMUSG00000076752.2 transcript:ENSMUST00000103561.2 gene_biotype:TR_C_gene transcript_biotype:TR_C_gene gene_symbol:Tcrg-C2 description:T-cell receptor gamma, constant 2 [Source:MGI Symbol;Acc:MGI:98626] XKRLDADISPKPTIFLPSVAETNLHKTGTYLCLLEKFFPDVIRVYWKEKNGNTILDSQEG DTLKTKGTYMKFSWLTVPERAMGKEHSCIVKHENNKGGADQEIFFPSIKKVATTCWQDKN DVLQFQFTSTSAYYTYLLLLLKSVIYLAIISFSLLRRTSVCGNEKKS >ENSMUSP00000138488.1 pep:known chromosome:GRCm38:13:19344558:19352756:1 gene:ENSMUSG00000076757.9 transcript:ENSMUST00000179181.2 gene_biotype:TR_C_gene transcript_biotype:TR_C_gene gene_symbol:Tcrg-C4 description:T cell receptor gamma, constant 4 [Source:MGI Symbol;Acc:MGI:98628] XKRTDSDFSPKPTIFLPSAAETNLHKAGTYLCLLEKFFPEVIRVYWKEKDGEKILESQEG NTIKTNDRYMKFSWLTVTEDSMAKEHSCIVKHENNKRGVDQEILFPPIGKAFTTINVNPR DSVLRHENVNNATDLEDCMKGRKDMLQLQVTTTYAFYTYLILFFKSMVHLAFVVFCLFRR AAMSCDDQRS >ENSMUSP00000141935.1 pep:known chromosome:GRCm38:12:113428514:113428567:-1 gene:ENSMUSG00000076618.1 transcript:ENSMUST00000103427.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Ighj4 description:immunoglobulin heavy joining 4 [Source:MGI Symbol;Acc:MGI:4439658] XYYAMDYWGQGTSVTVSS >ENSMUSP00000141736.1 pep:known chromosome:GRCm38:12:113429085:113429132:-1 gene:ENSMUSG00000076619.1 transcript:ENSMUST00000103428.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Ighj3 description:immunoglobulin heavy joining 3 [Source:MGI Symbol;Acc:MGI:4439767] XWFAYWGQGTLVTVSA >ENSMUSP00000141579.1 pep:known chromosome:GRCm38:12:113429468:113429515:-1 gene:ENSMUSG00000076620.2 transcript:ENSMUST00000192746.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Ighj2 description:immunoglobulin heavy joining 2 [Source:MGI Symbol;Acc:MGI:4439803] XYFDYWGQGTTLTVSS >ENSMUSP00000141217.1 pep:known chromosome:GRCm38:12:113429781:113429833:-1 gene:ENSMUSG00000076621.1 transcript:ENSMUST00000103430.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Ighj1 description:immunoglobulin heavy joining 1 [Source:MGI Symbol;Acc:MGI:4439802] XYWYFDVWGTGTTVTVSS >ENSMUSP00000142962.1 pep:known chromosome:GRCm38:6:70722562:70722599:1 gene:ENSMUSG00000076604.1 transcript:ENSMUST00000103405.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Igkj1 description:immunoglobulin kappa joining 1 [Source:MGI Symbol;Acc:MGI:1316689] XWTFGGGTKLEIK >ENSMUSP00000142377.1 pep:known chromosome:GRCm38:6:70723886:70723923:1 gene:ENSMUSG00000076608.2 transcript:ENSMUST00000199459.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Igkj5 description:immunoglobulin kappa joining 5 [Source:MGI Symbol;Acc:MGI:1316738] XLTFGAGTKLELK >ENSMUSP00000142356.1 pep:known chromosome:GRCm38:6:70722916:70722954:1 gene:ENSMUSG00000076605.2 transcript:ENSMUST00000198234.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Igkj2 description:immunoglobulin kappa joining 2 [Source:MGI Symbol;Acc:MGI:1316690] XYTFGGGTKLEIK >ENSMUSP00000143592.1 pep:known chromosome:GRCm38:6:70723223:70723260:1 gene:ENSMUSG00000076606.3 transcript:ENSMUST00000199487.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Igkj3 description:immunoglobulin kappa joining 3 [Source:MGI Symbol;Acc:MGI:1316691] XITFSDGTRLEIK >ENSMUSP00000143297.1 pep:known chromosome:GRCm38:6:70723548:70723585:1 gene:ENSMUSG00000076607.1 transcript:ENSMUST00000103408.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Igkj4 description:immunoglobulin kappa joining 4 [Source:MGI Symbol;Acc:MGI:1316692] XFTFGSGTKLEIK >ENSMUSP00000143285.1 pep:known chromosome:GRCm38:16:19066371:19066408:-1 gene:ENSMUSG00000106405.1 transcript:ENSMUST00000200074.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Iglj3p description:immunoglobulin lambda joining 3 pseudogene [Source:MGI Symbol;Acc:MGI:3645901] XGSFSSNGLLYAG >ENSMUSP00000142359.1 pep:known chromosome:GRCm38:16:19067041:19067078:-1 gene:ENSMUSG00000105231.1 transcript:ENSMUST00000200211.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Iglj3 description:immunoglobulin lambda joining 3 [Source:MGI Symbol;Acc:MGI:5293407] XFIFGSGTKVTVL >ENSMUSP00000142644.1 pep:known chromosome:GRCm38:16:19063225:19063262:-1 gene:ENSMUSG00000106668.1 transcript:ENSMUST00000199490.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Iglj1 description:immunoglobulin lambda joining 1 [Source:MGI Symbol;Acc:MGI:5009822] XWVFGGGTKLTVL >ENSMUSP00000142762.1 pep:known chromosome:GRCm38:16:19196495:19196536:-1 gene:ENSMUSG00000105066.1 transcript:ENSMUST00000198313.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Iglj4 description:immunoglobulin lambda joining 4 [Source:MGI Symbol;Acc:MGI:3645510] XWVFGGGTRLTVLD >ENSMUSP00000142566.1 pep:known chromosome:GRCm38:16:19200198:19200235:-1 gene:ENSMUSG00000104975.1 transcript:ENSMUST00000197969.1 gene_biotype:IG_J_gene transcript_biotype:IG_J_gene gene_symbol:Iglj2 description:immunoglobulin lambda joining 2 [Source:MGI Symbol;Acc:MGI:5293409] XYVFGGGTKVTVL >ENSMUSP00000142171.1 pep:known chromosome:GRCm38:12:113254830:113260236:-1 gene:ENSMUSG00000095079.6 transcript:ENSMUST00000194738.5 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Igha description:immunoglobulin heavy constant alpha [Source:MGI Symbol;Acc:MGI:96444] XSARNPTIYPLTLPRALSSDPVIIGCLIHDYFPSGTMNVTWGKSGKDITTVNFPPALASG GGYTMSSQLTLPAVECPEGESVKCSVQHDSNAVQELDVKCSGPPPPCPPCPPSCHPSLSL QRPALEDLLLGSDASLTCTLNGLRNPEGAVFTWEPSTGKDAVQKKAVQNSCGCYSVSSVL PGCAERWNSGASFKCTVTHPESDTLTGTIAKITVNTFPPQVHLLPPPSEELALNELVSLT CLVRAFNPKEVLVRWLHGNEELSPESYLVFEPLKEPGEGATTYLVTSVLRVSAELWKQGD QYSCMVGHEALPMNFTQKTIDRLSERQEPLSYVLLDQSEDILEEEAPGASLWPTTVTFLT LFLLSLFYSTALTVTTVRGPFGSKEVPQY >ENSMUSP00000137226.1 pep:known chromosome:GRCm38:12:113258702:113260236:-1 gene:ENSMUSG00000095079.6 transcript:ENSMUST00000178282.2 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Igha description:immunoglobulin heavy constant alpha [Source:MGI Symbol;Acc:MGI:96444] XSARNPTIYPLTLPRALSSDPVIIGCLIHDYFPSGTMNVTWGKSGKDITTVNFPPALASG GGYTMSSQLTLPAVECPEGESVKCSVQHDSNAVQELDVKCSGPPPPCPPCPPSCHPSLSL QRPALEDLLLGSDASLTCTLNGLRNPEGAVFTWEPSTGKDAVQKKAVQNSCGCYSVSSVL PGCAERWNSGASFKCTVTHPESDTLTGTIAKITVNTFPPQVHLLPPPSEELALNELVSLT CLVRAFNPKEVLVRWLHGNEELSPESYLVFEPLKEPGEGATTYLVTSVLRVSAELWKQGD QYSCMVGHEALPMNFTQKTIDRLSGKPTNVSVSVIMSEGDGICY >ENSMUSP00000118012.2 pep:known chromosome:GRCm38:12:113271174:113273248:-1 gene:ENSMUSG00000087642.2 transcript:ENSMUST00000137336.2 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighe description:Immunoglobulin heavy constant epsilon [Source:MGI Symbol;Acc:MGI:2685746] XSIRNPQLYPLKPCKGTASMTLGCLVKDYFPGPVTVTWYSDSLNMSTVNFPALGSELKVT TSQVTSWGKSAKNFTCHVTHPPSFNESRTILVRPVNITEPTLELLHSSCDPNAFHSTIQL YCFIYGHILNDVSVSWLMDDREITDTLAQTVLIKEEGKLASTCSKLNITEQQWMSESTFT CKVTSQGVDYLAHTRRCPDHEPRGVITYLIPPSPLDLYQNGAPKLTCLVVDLESEKNVNV TWNQEKKTPVSASQWYTKHHHNATTSITSILPVVAKDWIEGYGYQCIVDHPDFPKPIVRS ITKTPGQRSAPEVYVFPPPEEESEDKRTLTCLIQNFFPEDISVQWLEDGKLISNSHHSTT TPLKSNGSNQGFFIFSRLEVAKTLWTQRKQFTCQVIHEALQKPRKLEKTISTSLGNTSLH PS >ENSMUSP00000142282.1 pep:known chromosome:GRCm38:12:113287285:113288932:-1 gene:ENSMUSG00000076612.7 transcript:ENSMUST00000195192.1 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighg2c description:immunoglobulin heavy constant gamma 2C [Source:MGI Symbol;Acc:MGI:2686979] XKTTAPSVYPLAPVCGGTTGSSVTLGCLVKGYFPEPVTLTWNSGSLSSGVHTFPALLQSG LYTLSSSVTVTSNTWPSQTITCNVAHPASSTKVDKKIEPRVPITQNPCPPLKECPPCAAP DLLGGPSVFIFPPKIKDVLMISLSPMVTCVVVDVSEDDPDVQISWFVNNVEVHTAQTQTH REDYNSTLRVVSALPIQHQDWMSGKEFKCKVNNRALPSPIEKTISKPRGPVRAPQVYVLP PPAEEMTKKEFSLTCMITGFLPAEIAVDWTSNGRTEQNYKNTATVLDSDGSYFMYSKLRV QKSTWERGSLFACSVVHEGLHNHLTTKTISRSLGK >ENSMUSP00000100212.2 pep:known chromosome:GRCm38:12:113285325:113288930:-1 gene:ENSMUSG00000076612.7 transcript:ENSMUST00000103416.7 gene_biotype:IG_C_gene transcript_biotype:protein_coding gene_symbol:Ighg2c description:immunoglobulin heavy constant gamma 2C [Source:MGI Symbol;Acc:MGI:2686979] KTTAPSVYPLAPVCGGTTGSSVTLGCLVKGYFPEPVTLTWNSGSLSSGVHTFPALLQSGL YTLSSSVTVTSNTWPSQTITCNVAHPASSTKVDKKIEPRVPITQNPCPPLKECPPCAAPD LLGGPSVFIFPPKIKDVLMISLSPMVTCVVVDVSEDDPDVQISWFVNNVEVHTAQTQTHR EDYNSTLRVVSALPIQHQDWMSGKEFKCKVNNRALPSPIEKTISKPRGPVRAPQVYVLPP PAEEMTKKEFSLTCMITGFLPAEIAVDWTSNGRTEQNYKNTATVLDSDGSYFMYSKLRVQ KSTWERGSLFACSVVHEGLHNHLTTKTISRSLGLDLDDVCTEAQDGELDGLWTTITIFIS LFLLSVCYSASVTLFKVKWIFSSVVELKQKISPDYRNMIGQGA >ENSMUSP00000141198.1 pep:known chromosome:GRCm38:12:113302965:113306711:-1 gene:ENSMUSG00000076613.3 transcript:ENSMUST00000192188.1 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighg2b description:immunoglobulin heavy constant gamma 2B [Source:MGI Symbol;Acc:MGI:96445] XLVRAPQVYILPPPAEQLSRKDVSLTCLVVGFNPGDISVEWTSNGHTEENYKDTAPVLDS DGSYFIYSKLDIKTSKWEKTDSFSCNVRHEGLKNYYLKKTISRSPGLDLDDICAEAKDGE LDGLWTTITIFISLFLLSVCYSASVTLFKVKWIFSSVVELKQKISPDYRNMIGQGA >ENSMUSP00000100214.1 pep:known chromosome:GRCm38:12:113306285:113307933:-1 gene:ENSMUSG00000076613.3 transcript:ENSMUST00000103418.2 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighg2b description:immunoglobulin heavy constant gamma 2B [Source:MGI Symbol;Acc:MGI:96445] XKTTPPSVYPLAPGCGDTTGSSVTLGCLVKGYFPESVTVTWNSGSLSSSVHTFPALLQSG LYTMSSSVTVPSSTWPSQTVTCSVAHPASSTTVDKKLEPSGPISTINPCPPCKECHKCPA PNLEGGPSVFIFPPNIKDVLMISLTPKVTCVVVDVSEDDPDVRISWFVNNVEVHTAQTQT HREDYNSTIRVVSALPIQHQDWMSGKEFKCKVNNKDLPSPIERTISKIKGLVRAPQVYIL PPPAEQLSRKDVSLTCLVVGFNPGDISVEWTSNGHTEENYKDTAPVLDSDGSYFIYSKLD IKTSKWEKTDSFSCNVRHEGLKNYYLKKTISRSPGK >ENSMUSP00000141658.1 pep:known chromosome:GRCm38:12:113325240:113330523:-1 gene:ENSMUSG00000076614.7 transcript:ENSMUST00000194304.5 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighg1 description:immunoglobulin heavy constant gamma 1 (G1m marker) [Source:MGI Symbol;Acc:MGI:96446] XKTTPPSVYPLAPGSAAQTNSMVTLGCLVKGYFPEPVTVTWNSGSLSSGVHTFPAVLQSD LYTLSSSVTVPSSTWPSQTVTCNVAHPASSTKVDKKIVPRDCGCKPCICTVPEVSSVFIF PPKPKDVLTITLTPKVTCVVVDISKDDPEVQFSWFVDDVEVHTAQTKPREEQINSTFRSV SELPIMHQDWLNGKEFKCRVNSAAFPAPIEKTISKTKGRPKAPQVYTIPPPKEQMAKDKV SLTCMITNFFPEDITVEWQWNGQPAENYKNTQPIMDTDGSYFVYSKLNVQKSNWEAGNTF TCSVLHEGLHNHHTEKSLSHSPGLQLDETCAEAQDGELDGLWTTITIFISLFLLSVCYSA AVTLFKVKWIFSSVVELKQTLVPEYKNMIGQAP >ENSMUSP00000100216.1 pep:known chromosome:GRCm38:12:113328874:113330523:-1 gene:ENSMUSG00000076614.7 transcript:ENSMUST00000103420.2 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighg1 description:immunoglobulin heavy constant gamma 1 (G1m marker) [Source:MGI Symbol;Acc:MGI:96446] XKTTPPSVYPLAPGSAAQTNSMVTLGCLVKGYFPEPVTVTWNSGSLSSGVHTFPAVLQSD LYTLSSSVTVPSSTWPSQTVTCNVAHPASSTKVDKKIVPRDCGCKPCICTVPEVSSVFIF PPKPKDVLTITLTPKVTCVVVDISKDDPEVQFSWFVDDVEVHTAQTKPREEQINSTFRSV SELPIMHQDWLNGKEFKCRVNSAAFPAPIEKTISKTKGRPKAPQVYTIPPPKEQMAKDKV SLTCMITNFFPEDITVEWQWNGQPAENYKNTQPIMDTDGSYFVYSKLNVQKSNWEAGNTF TCSVLHEGLHNHHTEKSLSHSPGK >ENSMUSP00000100219.1 pep:known chromosome:GRCm38:12:113359575:113361232:-1 gene:ENSMUSG00000076615.3 transcript:ENSMUST00000103423.2 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighg3 description:Immunoglobulin heavy constant gamma 3 [Source:MGI Symbol;Acc:MGI:2144790] XTTTAPSVYPLVPGCGDTSGSSVTLGCLVKGYFPEPVTVKWNYGALSSGVRTVSSVLQSG FYSLSSLVTVPSSTWPSQTVICNVAHPASKTELIKRIEPRIPKPSTPPGSSCPPGNILGG PSVFIFPPKPKDALMISLTPKVTCVVVDVSEDDPDVHVSWFVDNKEVHTAWTQPREAQYN STFRVVSALPIQHQDWMRGKEFKCKVNNKALPAPIERTISKPKGRAQTPQVYTIPPPREQ MSKKKVSLTCLVTNFFSEAISVEWERNGELEQDYKNTPPILDSDGTYFLYSKLTVDTDSW LQGEIFTCSVVHEALHNHHTQKNLSRSPGK >ENSMUSP00000141353.1 pep:known chromosome:GRCm38:12:113406604:113416324:-1 gene:ENSMUSG00000104213.5 transcript:ENSMUST00000194162.5 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighd description:immunoglobulin heavy constant delta [Source:MGI Symbol;Acc:MGI:96447] XNEKGPDMFLLSECKAPEENEKINLGCLVIGSQPLKISWEPKKSSIVEHVFPSEMRNGNY TMVLQVTVLASELNLNHTCTINKPKRKEKPFKFPESWDSQSSKRVTPTLQAKNHSTEATK AITTKKDIEGAMAPSNLTVNILTTSTHPEMSSWLLCEVSGFFPENIHLMWLSVHSKMKST NFVTANPTPQPGGTFQTWSVLRLPVALSSSLDTYTCVVEHEASKTKLNASKSLAISGIVN TIQHSCIMDEQSDSYMDLEEENGLWPTMCTFVALFLLTLLYSGFVTFIKVK >ENSMUSP00000141946.1 pep:known chromosome:GRCm38:12:113409552:113416324:-1 gene:ENSMUSG00000104213.5 transcript:ENSMUST00000192250.1 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighd description:immunoglobulin heavy constant delta [Source:MGI Symbol;Acc:MGI:96447] XNEKGPDMFLLSECKAPEENEKINLGCLVIGSQPLKISWEPKKSSIVEHVFPSEMRNGNY TMVLQVTVLASELNLNHTCTINKPKRKEKPFKFPESWDSQSSKRVTPTLQAKNHSTEATK AITTKKDIEGAMAPSNLTVNILTTSTHPEMSSWLLCEVSGFFPENIHLMWLSVHSKMKST NFVTANPTPQPGGTFQTWSVLRLPVALSSSLDTYTCVVEHEASKTKLNASKSLAISGCYH LLPESDGPSRRPDGPALA >ENSMUSP00000136807.2 pep:known chromosome:GRCm38:12:113418558:113422730:-1 gene:ENSMUSG00000076617.9 transcript:ENSMUST00000177715.7 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighm description:immunoglobulin heavy constant mu [Source:MGI Symbol;Acc:MGI:96448] XSQSFPNVFPLVSCESPLSDKNLVAMGCLARDFLPSTISFTWNYQNNTEVIQGIRTFPTL RTGGKYLATSQVLLSPKSILEGSDEYLVCKIHYGGKNKDLHVPIPAVAEMNPNVNVFVPP RDGFSGPAPRKSKLICEATNFTPKPITVSWLKDGKLVESGFTTDPVTIENKGSTPQTYKV ISTLTISEIDWLNLNVYTCRVDHRGLTFLKNVSSTCAASPSTDILTFTIPPSFADIFLSK SANLTCLVSNLATYETLNISWASQSGEPLETKIKIMESHPNGTFSAKGVASVCVEDWNNR KEFVCTVTHRDLPSPQKKFISKPNEVHKHPPAVYLLPPAREQLNLRESATVTCLVKGFSP ADISVQWLQRGQLLPQEKYVTSAPMPEPGAPGFYFTHSILTVTEEEWNSGETYTCVVGHE ALPHLVTERTVDKSTEGEVNAEEEGFENLWTTASTFIVLFLLSLFYSTTVTLFKVK >ENSMUSP00000100222.1 pep:known chromosome:GRCm38:12:113420741:113422730:-1 gene:ENSMUSG00000076617.9 transcript:ENSMUST00000103426.2 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Ighm description:immunoglobulin heavy constant mu [Source:MGI Symbol;Acc:MGI:96448] XSQSFPNVFPLVSCESPLSDKNLVAMGCLARDFLPSTISFTWNYQNNTEVIQGIRTFPTL RTGGKYLATSQVLLSPKSILEGSDEYLVCKIHYGGKNKDLHVPIPAVAEMNPNVNVFVPP RDGFSGPAPRKSKLICEATNFTPKPITVSWLKDGKLVESGFTTDPVTIENKGSTPQTYKV ISTLTISEIDWLNLNVYTCRVDHRGLTFLKNVSSTCAASPSTDILTFTIPPSFADIFLSK SANLTCLVSNLATYETLNISWASQSGEPLETKIKIMESHPNGTFSAKGVASVCVEDWNNR KEFVCTVTHRDLPSPQKKFISKPNEVHKHPPAVYLLPPAREQLNLRESATVTCLVKGFSP ADISVQWLQRGQLLPQEKYVTSAPMPEPGAPGFYFTHSILTVTEEEWNSGETYTCVVGHE ALPHLVTERTVDKSTGKPTLYNVSLIMSDTGGTCY >ENSMUSP00000100206.1 pep:known chromosome:GRCm38:6:70726435:70726966:1 gene:ENSMUSG00000076609.2 transcript:ENSMUST00000103410.2 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Igkc description:immunoglobulin kappa constant [Source:MGI Symbol;Acc:MGI:96495] XADAAPTVSIFPPSSEQLTSGGASVVCFLNNFYPKDINVKWKIDGSERQNGVLNSWTDQD SKDSTYSMSSTLTLTKDEYERHNSYTCEATHKTSTSPIVKSFNRNEC >ENSMUSP00000142686.1 pep:known chromosome:GRCm38:16:19061618:19062071:-1 gene:ENSMUSG00000105906.1 transcript:ENSMUST00000200568.1 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Iglc1 description:immunoglobulin lambda constant 1 [Source:MGI Symbol;Acc:MGI:99546] XQPKSSPSVTLFPPSSEELETNKATLVCTITDFYPGVVTVDWKVDGTPVTQGMETTQPSK QSNNKYMASSYLTLTARAWERHSSYSCQVTHEGHTVEKSLSRADCS >ENSMUSP00000143661.1 pep:known chromosome:GRCm38:16:19065230:19065681:-1 gene:ENSMUSG00000105547.1 transcript:ENSMUST00000200235.1 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Iglc3 description:immunoglobulin lambda constant 3 [Source:MGI Symbol;Acc:MGI:99886] XQPKSTPTLTMFPPSPEELQENKATLVCLISNFSPSGVTVAWKANGTPITQGVDTSNPTK EDNKYMASSFLHLTSDQWRSHNSFTCQVTHEGDTVEKSLSPAECL >ENSMUSP00000142806.1 pep:known chromosome:GRCm38:16:19194999:19195312:-1 gene:ENSMUSG00000106039.1 transcript:ENSMUST00000197046.1 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Iglc4 description:immunoglobulin lambda constant 4 [Source:MGI Symbol;Acc:MGI:3642936] XQPKATPSVNLFPPSSEELKTKKATLVCMITEFYAAAVRVAWKADGTPFTQGVETTQPPK QRDNMASSYLLFTAEAWESHSSYSCHVTHEGNTVEKSLSRAECS >ENSMUSP00000143153.1 pep:known chromosome:GRCm38:16:19198396:19198853:-1 gene:ENSMUSG00000076937.3 transcript:ENSMUST00000198182.1 gene_biotype:IG_C_gene transcript_biotype:IG_C_gene gene_symbol:Iglc2 description:immunoglobulin lambda constant 2 [Source:MGI Symbol;Acc:MGI:99547] GQPKSTPTLTVFPPSSEELKENKATLVCLISNFSPSGVTVAWKANGTPITQGVDTSNPTK EGNKFMASSFLHLTSDQWRSHNSFTCQVTHEGDTVEKSLSPAECL >ENSMUSP00000100464.2 pep:known chromosome:GRCm38:16:19198401:19200351:-1 gene:ENSMUSG00000076937.3 transcript:ENSMUST00000103749.2 gene_biotype:IG_C_gene transcript_biotype:protein_coding gene_symbol:Iglc2 description:immunoglobulin lambda constant 2 [Source:MGI Symbol;Acc:MGI:99547] QPKSTPTLTVFPPSSEELKENKATLVCLISNFSPSGVTVAWKANGTPITQGVDTSNPTKE GNKFMASSFLHLTSDQWRSHNSFTCQVTHEGDTVEKSLSPAECL >ENSMUSP00000141310.1 pep:known chromosome:GRCm38:12:113578504:113578990:-1 gene:ENSMUSG00000076633.7 transcript:ENSMUST00000195468.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-2 description:immunoglobulin heavy variable 5-2 [Source:MGI Symbol;Acc:MGI:4439893] MDFGLSLVFLVLILKSVQCEVQLVESGGGLVQPGESLKLSCESNEYEFPSHDMSWVRKTP EKRLELVAAINSDGGSTYYPDTMERRFIISRDNTKKTLYLQMSSLRSEDTALYYCAR >ENSMUSP00000100223.2 pep:known chromosome:GRCm38:12:113578504:113578990:-1 gene:ENSMUSG00000076633.7 transcript:ENSMUST00000103442.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-2 description:immunoglobulin heavy variable 5-2 [Source:MGI Symbol;Acc:MGI:4439893] MDFGLSLVFLVLILKIQCEVQLVESGGGLVQPGESLKLSCESNEYEFPSHDMSWVRKTPE KRLELVAAINSDGGSTYYPDTMERRFIISRDNTKKTLYLQMSSLRSEDTALYYCAR >ENSMUSP00000100224.1 pep:known chromosome:GRCm38:12:113588267:113588702:-1 gene:ENSMUSG00000096464.2 transcript:ENSMUST00000103443.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-2 description:immunoglobulin heavy variable 2-2 [Source:MGI Symbol;Acc:MGI:4439894] MAVLGLLFCLVTFPSCVLSQVQLKQSGPGLVQPSQSLSITCTVSGFSLTSYGVHWVRQSP GKGLEWLGVIWSGGSTDYNAAFISRLSISKDNSKSQVFFKMNSLQADDTAIYYCAR >ENSMUSP00000100225.1 pep:known chromosome:GRCm38:12:113597446:113597977:-1 gene:ENSMUSG00000095612.2 transcript:ENSMUST00000103444.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-4 description:immunoglobulin heavy variable 5-4 [Source:MGI Symbol;Acc:MGI:4439895] MNFGLSLIFLVLVLKGVQCEVQLVESGGGLVKPGGSLKLSCAASGFTFSSYAMSWVRQTP EKRLEWVATISDGGSYTYYPDNVKGRFTISRDNAKNNLYLQMSHLKSEDTAMYYCAR >ENSMUSP00000136577.2 pep:known chromosome:GRCm38:12:113611187:113611617:-1 gene:ENSMUSG00000094164.2 transcript:ENSMUST00000178229.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-3 description:immunoglobulin heavy variable 2-3 [Source:MGI Symbol;Acc:MGI:4439872] MAVLALLLCLVTFPSCVLSQVQLKESGPGLVAPSQSLSITCTVSGFSLTSYGVSWVRQPP GKGLEWLGVIWGDGSTNYHSALISRLSISKDNSKSQVFLKLNSLQTDDTATYYCA >ENSMUSP00000100227.1 pep:known chromosome:GRCm38:12:113625506:113625956:-1 gene:ENSMUSG00000094951.1 transcript:ENSMUST00000103446.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-6 description:immunoglobulin heavy variable 5-6 [Source:MGI Symbol;Acc:MGI:4439815] MNFGLSLIFLALILKGVQCEVQLVESGGDLVKPGGSLKLSCAASGFTFSSYGMSWVRQTP DKRLEWVATISSGGSYTYYPDSVKGRFTISRDNAKNTLYLQMSSLKSEDTAMYYCAR >ENSMUSP00000100228.1 pep:known chromosome:GRCm38:12:113653291:113653724:-1 gene:ENSMUSG00000095866.1 transcript:ENSMUST00000103447.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-4 description:immunoglobulin heavy variable V2-4 [Source:MGI Symbol;Acc:MGI:3643263] MAVLVLLFCLVTFPSCVLSQVQLKQSGPGLVQPSQSLSITCTVSGFSLTSYGVHWVRQPP GKGLEWLGVIWSGGSTDYNAAFISRLSISKDNSKSQVFFKMNSLQADDTAIYYCAK >ENSMUSP00000100229.1 pep:known chromosome:GRCm38:12:113661769:113662293:-1 gene:ENSMUSG00000095285.2 transcript:ENSMUST00000103448.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-9 description:immunoglobulin heavy variable 5-9 [Source:MGI Symbol;Acc:MGI:4439873] MNFGLSLIFLVLILKGVQCEVMLVESGGGLVKPGGSLKLSCAASGFTFSSYTMSWVRQTP EKRLEWVATISGGGGNTYYPDSVKGRFTISRDNAKNTLYLQMSSLRSEDTALYYCAR >ENSMUSP00000142302.1 pep:known chromosome:GRCm38:12:113685482:113686045:-1 gene:ENSMUSG00000096498.3 transcript:ENSMUST00000195707.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-5 description:immunoglobulin heavy variable 2-5 [Source:MGI Symbol;Acc:MGI:4439517] MAVLVLLLCLVTFPSCVLSQVQLKQSGPGLVQPSQSLSITCTVSGFSLTSYGVHWVRQSP GKGLEWLGVIWRGGSTDYNAAFMSRLSITKDNSKSQVFFKMNSLQADDTAIYYCAK >ENSMUSP00000100230.2 pep:known chromosome:GRCm38:12:113685482:113685915:-1 gene:ENSMUSG00000096498.3 transcript:ENSMUST00000103449.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-5 description:immunoglobulin heavy variable 2-5 [Source:MGI Symbol;Acc:MGI:4439517] MAVLVLLLCLVTFPSVLSQVQLKQSGPGLVQPSQSLSITCTVSGFSLTSYGVHWVRQSPG KGLEWLGVIWRGGSTDYNAAFMSRLSITKDNSKSQVFFKMNSLQADDTAIYYCAK >ENSMUSP00000100231.3 pep:known chromosome:GRCm38:12:113702124:113702578:-1 gene:ENSMUSG00000095429.1 transcript:ENSMUST00000103450.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-12 description:immunoglobulin heavy variable 5-12 [Source:MGI Symbol;Acc:MGI:4439516] MNLGLSLIFLVLVLKGVQCEVKLVESGGGLVQPGGSLKLSCAASGFTFSDYYMYWVRQTP EKRLEWVAYISNGGGSTYYPDTVKGRFTISRDNAKNTLYLQMSRLKSEDTAMYYCAR >ENSMUSP00000137454.1 pep:known chromosome:GRCm38:12:113716670:113717103:-1 gene:ENSMUSG00000096670.1 transcript:ENSMUST00000179657.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-6 description:immunoglobulin heavy variable 2-6 [Source:MGI Symbol;Acc:MGI:4439518] MAVLGLLLCLVTFPSCVLSQVQLKESGPGLVAPSQSLSITCTVSGFSLTSYGVHWVRQPP GKGLEWLVVIWSDGSTTYNSALKSRLSISKDNSKSQVFLKMNSLQTDDTAMYYCAR >ENSMUSP00000141243.1 pep:known chromosome:GRCm38:12:113736111:113736630:-1 gene:ENSMUSG00000095210.2 transcript:ENSMUST00000192264.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-9-1 description:immunoglobulin heavy variable 5-9-1 [Source:MGI Symbol;Acc:MGI:4439810] MSTEHRPLTMNFGLRLIFLVLTLKGVQCDVKLVESGEGLVKPGGSLKLSCAASGFTFSSY AMSWVRQTPEKRLEWVAYISSGGDYIYYADTVKGRFTISRDNARNTLYLQMSSLKSEDTA MYYCTR >ENSMUSP00000100233.2 pep:known chromosome:GRCm38:12:113736111:113736570:-1 gene:ENSMUSG00000095210.2 transcript:ENSMUST00000103452.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-9-1 description:immunoglobulin heavy variable 5-9-1 [Source:MGI Symbol;Acc:MGI:4439810] MNFGLRLIFLVLTLKVQCDVKLVESGEGLVKPGGSLKLSCAASGFTFSSYAMSWVRQTPE KRLEWVAYISSGGDYIYYADTVKGRFTISRDNARNTLYLQMSSLKSEDTAMYYCTR >ENSMUSP00000141539.1 pep:known chromosome:GRCm38:12:113762249:113762708:-1 gene:ENSMUSG00000103033.1 transcript:ENSMUST00000195381.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-12-4 description:immunoglobulin heavy variable 5-12-4 [Source:MGI Symbol;Acc:MGI:3645977] MNFGLSLIFLAHILKGVQCEVKLVESGGGLVKPGQSLKLSCAASGFTFSNYYMSWVHQTP EKRLEWVAYISSSGVSTYYPDNVKGRFAISRDNAKNTLYLQMTSLKSEDTALYYCARG >ENSMUSP00000136606.1 pep:known chromosome:GRCm38:12:113769850:113770302:-1 gene:ENSMUSG00000095565.2 transcript:ENSMUST00000180013.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-9-1 description:immunoglobulin heavy variable 2-9-1 [Source:MGI Symbol;Acc:MGI:4439519] MAVLALLLCLVTFPSCALSQVQLKESGPGLVAPSQSLSITCTVSGFSLTSYAISWVRQPP GKGLEWLGVIWTGGGTNYNSALKSRLSISKDNSKSQVFLKMNSLQTDDTARYYCAR >ENSMUSP00000141760.1 pep:known chromosome:GRCm38:12:113796138:113796567:-1 gene:ENSMUSG00000076646.3 transcript:ENSMUST00000192911.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-6-8 description:immunoglobulin heavy variable 2-6-8 [Source:MGI Symbol;Acc:MGI:4439811] MAVLALLLCLVTFPSCILSQVQLKESGPGLVAPSQSLSITCTVSGFSLTSYGVDWVRQSP GKGLEWLGVIWGVGSTNYNSALKSRLSISKDNSKSQVFLKMNSLQTDDTAMYYCAS >ENSMUSP00000100236.2 pep:known chromosome:GRCm38:12:113796143:113796567:-1 gene:ENSMUSG00000076646.3 transcript:ENSMUST00000103455.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-6-8 description:immunoglobulin heavy variable 2-6-8 [Source:MGI Symbol;Acc:MGI:4439811] MAVLALLLCLVTFPSILSQVQLKESGPGLVAPSQSLSITCTVSGFSLTSYGVDWVRQSPG KGLEWLGVIWGVGSTNYNSALKSRLSISKDNSKSQVFLKMNSLQTDDTAMYYCA >ENSMUSP00000100237.2 pep:known chromosome:GRCm38:12:113807314:113807752:-1 gene:ENSMUSG00000096824.2 transcript:ENSMUST00000103456.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-7 description:immunoglobulin heavy variable 2-7 [Source:MGI Symbol;Acc:MGI:4439521] MAVLGLLLCLVTFPSCVLSQVQMKESGPDLVQPSQTLSLTCTVSGFSLSSYGVHWFRKPP RKGLEWLGGIWSGGSIYYTPALSSRLSVSRDTSKSQVFFKMSSLQSEDTAVYHCAR >ENSMUSP00000141493.1 pep:known chromosome:GRCm38:12:113826646:113827129:-1 gene:ENSMUSG00000094134.2 transcript:ENSMUST00000192877.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-15 description:immunoglobulin heavy variable 5-15 [Source:MGI Symbol;Acc:MGI:4439812] MDFRLSLLIFVLILKGVQCEVKLVESGGGLVQPGGSLKLSCAASGFTFSDYGMAWVRQAP RKGPEWVAFISNLAYSIYYADTVTGRFTISRENAKNTLYLEMSSLRSEDTAMYYCAR >ENSMUSP00000100238.2 pep:known chromosome:GRCm38:12:113826646:113827121:-1 gene:ENSMUSG00000094134.2 transcript:ENSMUST00000103457.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-15 description:immunoglobulin heavy variable 5-15 [Source:MGI Symbol;Acc:MGI:4439812] MDFRLSLLIFVLILKVQCEVKLVESGGGLVQPGGSLKLSCAASGFTFSDYGMAWVRQAPR KGPEWVAFISNLAYSIYYADTVTGRFTISRENAKNTLYLEMSSLRSEDTAMYYCAR >ENSMUSP00000141639.1 pep:known chromosome:GRCm38:12:113838526:113839010:-1 gene:ENSMUSG00000094194.2 transcript:ENSMUST00000193652.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-16 description:immunoglobulin heavy variable 5-16 [Source:MGI Symbol;Acc:MGI:4439556] MYFRLSSVFLVLILKGVQCEVKLVESEGGLVQPGSSMKLSCTASGFTFSDYYMAWVRQVP EKGLEWVANINYDGSSTYYLDSLKSRFIISRDNAKNILYLQMSSLKSEDTATYYCAR >ENSMUSP00000100239.2 pep:known chromosome:GRCm38:12:113838526:113838983:-1 gene:ENSMUSG00000094194.2 transcript:ENSMUST00000103458.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-16 description:immunoglobulin heavy variable 5-16 [Source:MGI Symbol;Acc:MGI:4439556] MYFRLSSVFLVLILKVQCEVKLVESEGGLVQPGSSMKLSCTASGFTFSDYYMAWVRQVPE KGLEWVANINYDGSSTYYLDSLKSRFIISRDNAKNILYLQMSSLKSEDTATYYCAR >ENSMUSP00000100240.3 pep:known chromosome:GRCm38:12:113859149:113859670:-1 gene:ENSMUSG00000095571.2 transcript:ENSMUST00000103459.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv5-17 description:immunoglobulin heavy variable 5-17 [Source:MGI Symbol;Acc:MGI:4439533] MDSRLNLVFLVLILKGVQCEVQLVESGGGLVKPGGSLKLSCAASGFTFSDYGMHWVRQAP EKGLEWVAYISSGSSTIYYADTVKGRFTISRDNAKNTLFLQMTSLRSEDTAMYYCAR >ENSMUSP00000100232.3 pep:known chromosome:GRCm38:12:113879096:113879541:-1 gene:ENSMUSG00000096638.2 transcript:ENSMUST00000103451.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv2-9 description:immunoglobulin heavy variable 2-9 [Source:MGI Symbol;Acc:MGI:4439624] MAVLVLFLCLVAFPSCVLSQVQLKESGPGLVAPSQSLSITCTVSGFSLTSYGVDWVRQPP GKGLEWLGVIWGGGSTNYNSALMSRLSISKDNSKSQVFLKMNSLQTDDTAMYYCAK >ENSMUSP00000100255.4 pep:known chromosome:GRCm38:12:113896408:113896946:-1 gene:ENSMUSG00000076665.4 transcript:ENSMUST00000103474.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv7-1 description:immunoglobulin heavy variable 7-1 [Source:MGI Symbol;Acc:MGI:4439622] MKLWLNWVFLLTLLHGIQCEVKLVESGGGLVQSGRSLRLSCATSGFTFSDFYMEWVRQAP GKGLEWIAASRNKANDYTTEYSASVKGRFIVSRDTSQSILYLQMNALRAEDTAIYYCARD A >ENSMUSP00000141892.1 pep:known chromosome:GRCm38:12:113912025:113912483:-1 gene:ENSMUSG00000076653.4 transcript:ENSMUST00000193133.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv7-2 description:immunoglobulin heavy variable 7-2 [Source:MGI Symbol;Acc:MGI:4439623] MFNIIIFTVTPLNGIQCEVKLVESEGGLVQPGGSLRLSCATSGFTFTDFYMNWVCQPPRK ALEWLGFIRNKANGYTTDYSASMKGRFTISRDNSQSILYLQMNTLRTEDSATYYCARDT >ENSMUSP00000100243.2 pep:known chromosome:GRCm38:12:113912025:113912483:-1 gene:ENSMUSG00000076653.4 transcript:ENSMUST00000103462.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv7-2 description:immunoglobulin heavy variable 7-2 [Source:MGI Symbol;Acc:MGI:4439623] MFNIIIFTVTPLSIQCEVKLVESEGGLVQPGGSLRLSCATSGFTFTDFYMNWVCQPPRKA LEWLGFIRNKANGYTTDYSASMKGRFTISRDNSQSILYLQMNTLRTEDSATYYCARDT >ENSMUSP00000100244.2 pep:known chromosome:GRCm38:12:113931953:113932382:-1 gene:ENSMUSG00000094509.1 transcript:ENSMUST00000103463.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv14-1 description:immunoglobulin heavy variable 14-1 [Source:MGI Symbol;Acc:MGI:4439920] MKCSWVIFFLMAVVTGVNSEVQLQQSGAELVRPGASVKLSCTASGFNIKDYYMHWVKQRP EQGLEWIGRIDPEDGDTEYAPKFQGKATMTADTSSNTAYLQLSSLTSEDTAVYYCTT >ENSMUSP00000100245.1 pep:known chromosome:GRCm38:12:113948282:113948796:-1 gene:ENSMUSG00000076655.2 transcript:ENSMUST00000103464.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv4-1 description:immunoglobulin heavy variable 4-1 [Source:MGI Symbol;Acc:MGI:4439536] MDFGLIFFIVALLKGVQCEVKLLQSGGGLVQPGGSLKLSCAASGIDFSRYWMSWVRRAPG KGLEWIGEINPDSSTINYAPSLKDKFIISRDNAKNTLYLQMSKVRSEDTALYYCARP >ENSMUSP00000100259.3 pep:known chromosome:GRCm38:12:113964388:113964818:-1 gene:ENSMUSG00000093838.1 transcript:ENSMUST00000103478.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv3-1 description:immunoglobulin heavy variable 3-1 [Source:MGI Symbol;Acc:MGI:4439534] MSVLILLWLFTAFPGILSDVQLQESGPGMVKPSQSLSLTCTVTGYSITSGYDWHWIRHFP GNKLEWMGYISYSGSTNYNPSLKSRISITHDTSKNHFFLKLNSVTTEDTATYYCAR >ENSMUSP00000100247.1 pep:known chromosome:GRCm38:12:113981879:113982341:-1 gene:ENSMUSG00000094533.1 transcript:ENSMUST00000103466.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv11-1 description:immunoglobulin heavy variable 11-1 [Source:MGI Symbol;Acc:MGI:4439535] MEWELSLIFIFALLKDVQCEVQLLETGEGLVPPGGSRGLSCEGSGFTFSGFWMSWVRQTP GKTLEWIGDINSDGSAINYAPSIKDRFTIFRDNDKSTLYLQMSNVRSEDTATYFCMR >ENSMUSP00000100248.1 pep:known chromosome:GRCm38:12:113994469:113994898:-1 gene:ENSMUSG00000095583.1 transcript:ENSMUST00000103467.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv14-2 description:immunoglobulin heavy variable 14-2 [Source:MGI Symbol;Acc:MGI:4439607] MKCSWIIFFLMAVVTGVNSEVQLQQSGAELVKPGASVKLSCTASGFNIKDYYMHWVKQRT EQGLEWIGRIDPEDGETKYAPKFQGKATITADTSSNTAYLQLSSLTSEDTAVYYCAR >ENSMUSP00000100249.1 pep:known chromosome:GRCm38:12:114048241:114048812:-1 gene:ENSMUSG00000096108.2 transcript:ENSMUST00000103468.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv11-2 description:immunoglobulin heavy variable V11-2 [Source:MGI Symbol;Acc:MGI:4947968] MEWELSLIFIFALLKDVQCEVQLLETGGGLVQPGGSRGLSCEGSGFTFSGFWMSWVRQTP GKTLEWIGDINSDGSAINYAPSIKDRFTIFRDNDKSTLYLQMSNVRSEDTATYFCMR >ENSMUSP00000100250.1 pep:known chromosome:GRCm38:12:114059845:114060321:-1 gene:ENSMUSG00000095642.3 transcript:ENSMUST00000103469.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv14-3 description:immunoglobulin heavy variable V14-3 [Source:MGI Symbol;Acc:MGI:4439764] MKFSWVIFFLMAVVTGVNSEVQLQQSVAELVRPGASVKLSCTASGFNIKNTYMHWVKQRP EQGLEWIGRIDPANGNTKYAPKFQGKATITADTSSNTAYLQLSSLTSEDTAIYYCAR >ENSMUSP00000141383.1 pep:known chromosome:GRCm38:12:114068828:114069281:-1 gene:ENSMUSG00000076661.2 transcript:ENSMUST00000195641.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv16-1 description:immunoglobulin heavy variable 16-1 [Source:MGI Symbol;Acc:MGI:3643819] MEFGLSWAFLVILKGIQGEVQLVESGGSLGQPGGSTKLSCEEASGFTFSDHWMDWFRQAP GMRLEWLANTNHDESGKGYAESVKDRFSISRDNSENLLYLQMNSLRNEDTALYYCAR >ENSMUSP00000100251.1 pep:known chromosome:GRCm38:12:114068828:114069126:-1 gene:ENSMUSG00000076661.2 transcript:ENSMUST00000103470.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv16-1 description:immunoglobulin heavy variable 16-1 [Source:MGI Symbol;Acc:MGI:3643819] EVQLVESGGSLGQPGGSTKLSCEEASGFTFSDHWMDWFRQAPGMRLEWLANTNHDESGKG YAESVKDRFSISRDNSENLLYLQMNSLRNEDTALYYCAR >ENSMUSP00000141346.1 pep:known chromosome:GRCm38:12:114093928:114094358:-1 gene:ENSMUSG00000096805.2 transcript:ENSMUST00000195884.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv9-1 description:immunoglobulin heavy variable 9-1 [Source:MGI Symbol;Acc:MGI:4439911] MDWVWTLLFLIAAAQSAQAQIQLVQSGPELKKPGETVKISCKASGYTFTEYPMHWVKQAP GKGFKWMGMIYTDTGEPTYAEEFKGRFAFSLETSASTAYLQINNLKNEDTATYFCVR >ENSMUSP00000100252.1 pep:known chromosome:GRCm38:12:114093928:114094221:-1 gene:ENSMUSG00000096805.2 transcript:ENSMUST00000103471.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv9-1 description:immunoglobulin heavy variable 9-1 [Source:MGI Symbol;Acc:MGI:4439911] QIQLVQSGPELKKPGETVKISCKASGYTFTEYPMHWVKQAPGKGFKWMGMIYTDTGEPTY AEEFKGRFAFSLETSASTAYLQINNLKNEDTATYFCVR >ENSMUSP00000100253.3 pep:known chromosome:GRCm38:12:114109001:114109430:-1 gene:ENSMUSG00000094102.1 transcript:ENSMUST00000103472.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv9-2 description:immunoglobulin heavy variable V9-2 [Source:MGI Symbol;Acc:MGI:3643816] MDWVWTLLFLMAAAQRAQAQIQFVQSGPELKKPGETVKISCKASVYTFTEYPMHWVKQAP GKGFKWMGWINTYSGEPTYADDFKGRFAFSLETSASTAYLQINNLKNEDTATYFCAR >ENSMUSP00000100254.1 pep:known chromosome:GRCm38:12:114140692:114141122:-1 gene:ENSMUSG00000096459.1 transcript:ENSMUST00000103473.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv9-3 description:immunoglobulin heavy variable V9-3 [Source:MGI Symbol;Acc:MGI:3642720] MGWLWNLLFLMAAAQSAQAQIQLVQSGPELKKPGETVKISCKASGYTFTTYGMSWVKQAP GKGLKWMGWINTYSGVPTYADDFKGRFAFSLETSASTAYLQINNLKNEDTATYFCAR >ENSMUSP00000100242.4 pep:known chromosome:GRCm38:12:114153180:114153644:-1 gene:ENSMUSG00000076652.4 transcript:ENSMUST00000103461.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv7-3 description:immunoglobulin heavy variable 7-3 [Source:MGI Symbol;Acc:MGI:4439766] MKLWLNWIFLVTLLNGIQCEVKLVESGGGLVQPGGSLSLSCAASGFTFTDYYMSWVRQPP GKALEWLGFIRNKANGYTTEYSASVKGRFTISRDNSQSILYLQMNALRAEDSATYYCARY >ENSMUSP00000141226.1 pep:known chromosome:GRCm38:12:114176438:114176867:-1 gene:ENSMUSG00000076666.2 transcript:ENSMUST00000195706.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv14-4 description:immunoglobulin heavy variable 14-4 [Source:MGI Symbol;Acc:MGI:4439765] MKCSWVIFFLMAVVIGVNSEVQLQQSGAELVRPGASVKLSCTASGFNIKDDYMHWVKQRP EQGLEWIGWIDPENGDTEYASKFQGKATITADTSSNTAYLQLSSLTSEDTAVYYCTT >ENSMUSP00000100256.1 pep:known chromosome:GRCm38:12:114176444:114176862:-1 gene:ENSMUSG00000076666.2 transcript:ENSMUST00000103475.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv14-4 description:immunoglobulin heavy variable 14-4 [Source:MGI Symbol;Acc:MGI:4439765] MQLGHLLPDGSGVNSEVQLQQSGAELVRPGASVKLSCTASGFNIKDDYMHWVKQRPEQGL EWIGWIDPENGDTEYASKFQGKATITADTSSNTAYLQLSSLTSEDTAVYYC >ENSMUSP00000141593.1 pep:known chromosome:GRCm38:12:114196439:114196875:-1 gene:ENSMUSG00000094029.2 transcript:ENSMUST00000191693.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv3-3 description:immunoglobulin heavy variable V3-3 [Source:MGI Symbol;Acc:MGI:3643949] MRMLSVLYLLSALPGILSDVQLQESGPSLVRPSQTLSLTCTVTGFSINSDCYWIWIRQFP GNKLEYIGYTFYSGITYYNPSLESRTYITRDTSKNQFSLKLSSVTTEDTATYYCAR >ENSMUSP00000100257.1 pep:known chromosome:GRCm38:12:114196439:114196734:-1 gene:ENSMUSG00000094029.2 transcript:ENSMUST00000103476.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv3-3 description:immunoglobulin heavy variable V3-3 [Source:MGI Symbol;Acc:MGI:3643949] DVQLQESGPSLVRPSQTLSLTCTVTGFSINSDCYWIWIRQFPGNKLEYIGYTFYSGITYY NPSLESRTYITRDTSKNQFSLKLSSVTTEDTATYYCAR >ENSMUSP00000142041.1 pep:known chromosome:GRCm38:12:114222788:114223328:-1 gene:ENSMUSG00000076668.4 transcript:ENSMUST00000192499.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv7-4 description:immunoglobulin heavy variable 7-4 [Source:MGI Symbol;Acc:MGI:4439763] MKLWVNWILLVALLNGIQCEVKLMESGGGLVQPGASLRLSCAASGFTFTDYYMSWVRQPP GKAPEWLALIRNKANGYTTEYTASVKGRFTISRDNSQNILYLQMNTLRAEDSATYYCVKA V >ENSMUSP00000100258.2 pep:known chromosome:GRCm38:12:114222788:114223254:-1 gene:ENSMUSG00000076668.4 transcript:ENSMUST00000103477.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv7-4 description:immunoglobulin heavy variable 7-4 [Source:MGI Symbol;Acc:MGI:4439763] MKLWVNWILLVALLSIQCEVKLMESGGGLVQPGASLRLSCAASGFTFTDYYMSWVRQPPG KAPEWLALIRNKANGYTTEYTASVKGRFTISRDNSQNILYLQMNTLRAEDSATYYCVKAV >ENSMUSP00000141962.1 pep:known chromosome:GRCm38:12:114253617:114254051:-1 gene:ENSMUSG00000103939.1 transcript:ENSMUST00000193408.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv3-4 description:immunoglobulin heavy variable V3-4 [Source:MGI Symbol;Acc:MGI:3644034] MRVLILVYLLTALPGILSDVQLQESGPALVKPSQTVSLTCTVTGYSITNGNHWWNWIRQV SGSKLEWIGYISSSGSTDSNPSLKSRISITRDTSKNQLFLQLNSVTTEDIATYYCAR >ENSMUSP00000141480.1 pep:known chromosome:GRCm38:12:114262652:114263087:-1 gene:ENSMUSG00000076670.4 transcript:ENSMUST00000195619.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv3-5 description:immunoglobulin heavy variable 3-5 [Source:MGI Symbol;Acc:MGI:3648045] MKMFTLLYLLTVVPGILSDVQLQESGPGLVKPSQTVFLTCTVTGISITTGNYRWSWIRQF PGNKLEWIGYIYYSGTITYNPSLTSRTTITRDTPKNQFFLEMNSLTAEDTATYYCAR >ENSMUSP00000100260.3 pep:known chromosome:GRCm38:12:114262652:114262950:-1 gene:ENSMUSG00000076670.4 transcript:ENSMUST00000103479.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv3-5 description:immunoglobulin heavy variable 3-5 [Source:MGI Symbol;Acc:MGI:3648045] DVQLQESGPGLVKPSQTVFLTCTVTGISITTGNYRWSWIRQFPGNKLEWIGYIYYSGTIT YNPSLTSRTTITRDTPKNQFFLEMNSLTAEDTATYYCAR >ENSMUSP00000141343.1 pep:known chromosome:GRCm38:12:114288152:114288582:-1 gene:ENSMUSG00000076672.7 transcript:ENSMUST00000195124.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv3-6 description:immunoglobulin heavy variable 3-6 [Source:MGI Symbol;Acc:MGI:4439856] MKVLSLLYLLTAIPGILSDVQLQESGPGLVKPSQSLSLTCSVTGYSITSGYYWNWIRQFP GNKLEWMGYISYDGSNNYNPSLKNRISITRDTSKNQFFLKLNSVTTEDTATYYCAR >ENSMUSP00000100262.2 pep:known chromosome:GRCm38:12:114288157:114288582:-1 gene:ENSMUSG00000076672.7 transcript:ENSMUST00000103481.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv3-6 description:immunoglobulin heavy variable 3-6 [Source:MGI Symbol;Acc:MGI:4439856] MKVLSLLYLLTAIPVLSDVQLQESGPGLVKPSQSLSLTCSVTGYSITSGYYWNWIRQFPG NKLEWMGYISYDGSNNYNPSLKNRISITRDTSKNQFFLKLNSVTTEDTATYYCA >ENSMUSP00000141555.1 pep:known chromosome:GRCm38:12:114299961:114300389:-1 gene:ENSMUSG00000094322.2 transcript:ENSMUST00000194159.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv9-4 description:immunoglobulin heavy variable 9-4 [Source:MGI Symbol;Acc:MGI:3646379] MEWLWNLLFLMAAAQSIQAQIQLVQSGPELKKPGETVKISCKASGYTFTTAGMQWVQKMP GKGFKWIGWINTHSGEPKYAEDFKGRFAFSLETSASTAYLQISNLKNEDTATYFCAR >ENSMUSP00000100263.1 pep:known chromosome:GRCm38:12:114299961:114300254:-1 gene:ENSMUSG00000094322.2 transcript:ENSMUST00000103482.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv9-4 description:immunoglobulin heavy variable 9-4 [Source:MGI Symbol;Acc:MGI:3646379] QIQLVQSGPELKKPGETVKISCKASGYTFTTAGMQWVQKMPGKGFKWIGWINTHSGEPKY AEDFKGRFAFSLETSASTAYLQISNLKNEDTATYFCAR >ENSMUSP00000142064.1 pep:known chromosome:GRCm38:12:114682632:114683065:-1 gene:ENSMUSG00000076695.7 transcript:ENSMUST00000194350.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-18 description:immunoglobulin heavy variable V1-18 [Source:MGI Symbol;Acc:MGI:4439780] MGWSWIFLLFLSGTAGVLSEVQLQQSGPELVKPGASVKIPCKASGYTFTDYNMDWVKQSH GKSLEWIGDINPNNGGTIYNQKFKGKATLTVDKSSSTAYMELRSLTSEDTAVYYCAR >ENSMUSP00000100285.2 pep:known chromosome:GRCm38:12:114682674:114683065:-1 gene:ENSMUSG00000076695.7 transcript:ENSMUST00000103504.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-18 description:immunoglobulin heavy variable V1-18 [Source:MGI Symbol;Acc:MGI:4439780] MGWSWIFLLFLSGTAVLSEVQLQQSGPELVKPGASVKIPCKASGYTFTDYNMDWVKQSHG KSLEWIGDINPNNGGTIYNQKFKGKATLTVDKSSSTAYMELR >ENSMUSP00000141737.1 pep:known chromosome:GRCm38:12:114708648:114709135:-1 gene:ENSMUSG00000096410.2 transcript:ENSMUST00000193855.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-19 description:immunoglobulin heavy variable V1-19 [Source:MGI Symbol;Acc:MGI:4439779] MGWSWIFLFLLSGTAGVHSEVQLQQSGPVLVKPGASVKMSCKASGYTFTDYYMNWVKQSH GKSLEWIGVINPYNGGTSYNQKFKGKATLTVDKSSSTAYMELNSLTSEDSAVYYCAR >ENSMUSP00000100286.2 pep:known chromosome:GRCm38:12:114708648:114709081:-1 gene:ENSMUSG00000096410.2 transcript:ENSMUST00000103505.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-19 description:immunoglobulin heavy variable V1-19 [Source:MGI Symbol;Acc:MGI:4439779] MGWSWIFLFLLSGTAVHSEVQLQQSGPVLVKPGASVKMSCKASGYTFTDYYMNWVKQSHG KSLEWIGVINPYNGGTSYNQKFKGKATLTVDKSSSTAYMELNSLTSEDSAVYYCAR >ENSMUSP00000100264.2 pep:known chromosome:GRCm38:12:114322327:114322801:-1 gene:ENSMUSG00000076674.2 transcript:ENSMUST00000103483.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv3-8 description:immunoglobulin heavy variable V3-8 [Source:MGI Symbol;Acc:MGI:3645298] MMVLSLLYLLTALPGILSEVQLQESGPGLAKPSQTLSLTCSVTGYSITSDYWNWIRKFPG NKLEYMGYISYSGSTYYNPSLKSRISITRDTSKNQYYLQLNSVTTEDTATYYCARYTVWS LQCRPRHKPPC >ENSMUSP00000141621.1 pep:known chromosome:GRCm38:12:114357759:114358218:-1 gene:ENSMUSG00000076671.5 transcript:ENSMUST00000125484.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv13-2 description:immunoglobulin heavy variable 13-2 [Source:MGI Symbol;Acc:MGI:3705861] MELGLSWVFLVALLNGVQCQVQLVETGGGLVRPGNSLKLSCVTSGFTFSNYRMHWLRQPP GKRLEWIAVITVKSDNYGANYAESVKGRFAISRDDSKSSVYLEMNRLREEDTATYFCSR >ENSMUSP00000139850.2 pep:known chromosome:GRCm38:12:114357759:114358060:-1 gene:ENSMUSG00000076671.5 transcript:ENSMUST00000183954.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv13-2 description:immunoglobulin heavy variable 13-2 [Source:MGI Symbol;Acc:MGI:3705861] QVQLVETGGGLVRPGNSLKLSCVTSGFTFSNYRMHWLRQPPGKRLEWIAVITVKSDNYGA NYAESVKGRFAISRDDSKSSVYLEMNRLREEDTATYFCSR >ENSMUSP00000100266.2 pep:known chromosome:GRCm38:12:114366521:114366954:-1 gene:ENSMUSG00000076676.2 transcript:ENSMUST00000103485.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv12-3 description:immunoglobulin heavy variable V12-3 [Source:MGI Symbol;Acc:MGI:3646760] MRVLGFVCLVTVLPGSLSQMQLQESGPGLVKPSQSLFLTCSITGFPITSGYYWIWIRQSP GKPLEWMGYITHSGETFYNPSLQSPISITRETSKNQFFLQLNSVTTEDTAMYYCAGD >ENSMUSP00000100267.1 pep:known chromosome:GRCm38:12:114391712:114392169:-1 gene:ENSMUSG00000076677.1 transcript:ENSMUST00000103486.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv6-3 description:immunoglobulin heavy variable 6-3 [Source:MGI Symbol;Acc:MGI:4439854] MDLRLSCAFIIVLLKGVQSEVKLEESGGGLVQPGGSMKLSCVASGFTFSNYWMNWVRQSP EKGLEWVAQIRLKSDNYATHYAESVKGRFTISRDDSKSSVYLQMNNLRAEDTGIYYCTG >ENSMUSP00000141798.1 pep:known chromosome:GRCm38:12:114406474:114406961:-1 gene:ENSMUSG00000094174.2 transcript:ENSMUST00000191918.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv6-4 description:immunoglobulin heavy variable V6-4 [Source:MGI Symbol;Acc:MGI:3704120] MYLELSCVFIVVLLKGVQSDVNLEVSGGGLVKPGGSMQLFCVASGFTFVDGWMDWVRQSP EKGLEWVAEIANKANNYATYYPESVKGRFTISRDDFKSSVYLHMNSLRAEDTGIYYCTR >ENSMUSP00000136881.1 pep:known chromosome:GRCm38:12:114406477:114406773:-1 gene:ENSMUSG00000094174.2 transcript:ENSMUST00000177949.1 gene_biotype:IG_V_gene transcript_biotype:protein_coding gene_symbol:Ighv6-4 description:immunoglobulin heavy variable V6-4 [Source:MGI Symbol;Acc:MGI:3704120] DVNLEVSGGGLVKPGGSMQLFCVASGFTFVDGWMDWVRQSPEKGLEWVAEIANKANNYAT YYPESVKGRFTISRDDFKSSVYLHMNSLRAEDTGIYYCT >ENSMUSP00000141859.1 pep:known chromosome:GRCm38:12:114723772:114724205:-1 gene:ENSMUSG00000095761.6 transcript:ENSMUST00000194968.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-20 description:immunoglobulin heavy variable V1-20 [Source:MGI Symbol;Acc:MGI:3644607] MGWSWIFLFLLSVTAGVFSEVQLQQSGPELVKPGDSVKISCKASGYSFTGYFMNWVMQSH GKSLEWIGRINPYNGDTFYNQKFKGKATLTVDKSSSTAHMELRSLTSEDSAVYYCAR >ENSMUSP00000100287.2 pep:known chromosome:GRCm38:12:114723772:114724205:-1 gene:ENSMUSG00000095761.6 transcript:ENSMUST00000103506.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-20 description:immunoglobulin heavy variable V1-20 [Source:MGI Symbol;Acc:MGI:3644607] MGWSWIFLFLLSVTAVFSEVQLQQSGPELVKPGDSVKISCKASGYSFTGYFMNWVMQSHG KSLEWIGRINPYNGDTFYNQKFKGKATLTVDKSSSTAHMELRSLTSEDSAVYYCAR >ENSMUSP00000141679.1 pep:known chromosome:GRCm38:12:114416596:114417053:-1 gene:ENSMUSG00000096407.2 transcript:ENSMUST00000193936.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv6-5 description:immunoglobulin heavy variable V6-5 [Source:MGI Symbol;Acc:MGI:3647134] MDLELNCVFIVVLLKGVQSEVKIEESGGGLVQPGGSMKLSCAASGFTFSDYRMDWVHHST ENGLEWVAEIRNKASNYATYYVESVNGRFTISRDDSKSSVYLQMNSLRAEDTGIYYCTR >ENSMUSP00000136790.1 pep:known chromosome:GRCm38:12:114416599:114416895:-1 gene:ENSMUSG00000096407.2 transcript:ENSMUST00000179796.1 gene_biotype:IG_V_gene transcript_biotype:protein_coding gene_symbol:Ighv6-5 description:immunoglobulin heavy variable V6-5 [Source:MGI Symbol;Acc:MGI:3647134] EVKIEESGGGLVQPGGSMKLSCAASGFTFSDYRMDWVHHSTENGLEWVAEIRNKASNYAT YYVESVNGRFTISRDDSKSSVYLQMNSLRAEDTGIYYCT >ENSMUSP00000100270.1 pep:known chromosome:GRCm38:12:114434788:114435244:-1 gene:ENSMUSG00000076680.1 transcript:ENSMUST00000103489.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv6-6 description:immunoglobulin heavy variable 6-6 [Source:MGI Symbol;Acc:MGI:4439619] MYLGLNCVFIVFLLKGVQSEVKLEESGGGLVQPGGSMKLSCAASGFTFSDAWMDWVRQSP EKGLEWVAEIRNKANNHATYYAESVKGRFTISRDDSKSSVYLQMNSLRAEDTGIYYCTR >ENSMUSP00000141701.1 pep:known chromosome:GRCm38:12:114455626:114456083:-1 gene:ENSMUSG00000087582.6 transcript:ENSMUST00000193397.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv6-7 description:immunoglobulin heavy variable V6-7 [Source:MGI Symbol;Acc:MGI:3644477] MYLGLSCVFIFFLLKGVQCEEKLDESGGGLVQPGRSMKLSCVASGFTFTNSWMNWFCQSP EKGLEWVAQIKSKPYNYETYYSDSVKGRFTISRDDSKSSVYLQMNNLRAEDTGIYYCTW >ENSMUSP00000141405.1 pep:known chromosome:GRCm38:12:114462291:114462730:-1 gene:ENSMUSG00000102301.1 transcript:ENSMUST00000195037.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-2 description:immunoglobulin heavy variable V8-2 [Source:MGI Symbol;Acc:MGI:3647474] MGRLTFSFLLLLPVPAYVLSQVTLKVSGPGILQPSQTLSLACTFSGISLSTSGMGLSWLR KPSGKALEWLASIWNNDNYYNPSLKSRLTISKETSNYQVFLKLTSVDTADSATYYGAWR >ENSMUSP00000100273.1 pep:known chromosome:GRCm38:12:114479005:114479451:-1 gene:ENSMUSG00000095981.1 transcript:ENSMUST00000103492.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv10-1 description:immunoglobulin heavy variable 10-1 [Source:MGI Symbol;Acc:MGI:4439620] MLLGLKWVFFVVFYQGVHCEVQLVESGGGLVQPKGSLKLSCAASGFSFNTYAMNWVRQAP GKGLEWVARIRSKSNNYATYYADSVKDRFTISRDDSESMLYLQMNNLKTEDTAMYYCVR >ENSMUSP00000100288.1 pep:known chromosome:GRCm38:12:114746273:114746706:-1 gene:ENSMUSG00000094561.1 transcript:ENSMUST00000103507.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-22 description:immunoglobulin heavy variable 1-22 [Source:MGI Symbol;Acc:MGI:4439784] MGWSWIFLFLLSETAGVLSEVQLQQSGPELVKPGASVKMSCKASGYTFTDYNMHWVKQSH GKSLEWIGYINPNNGGTSYNQKFKGKATLTVNKSSSTAYMELRSLTSEDSAVYYCAR >ENSMUSP00000141293.1 pep:known chromosome:GRCm38:12:114764450:114764883:-1 gene:ENSMUSG00000103290.1 transcript:ENSMUST00000194625.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-23 description:immunoglobulin heavy variable V1-23 [Source:MGI Symbol;Acc:MGI:3815050] MEWSWIFLFLLSVTAGVQSQVQLQQSGAELVRPGASVKLSCKASGYTFTDYEMHCVKQTP VHGLEWIGAIDPETCGTAYNQKFKGKATLTADKSSSTAYMELRSLTSEDSAVYYCTR >ENSMUSP00000142245.1 pep:known chromosome:GRCm38:12:114772928:114773361:-1 gene:ENSMUSG00000094241.2 transcript:ENSMUST00000191861.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-24 description:immunoglobulin heavy variable V1-24 [Source:MGI Symbol;Acc:MGI:3815052] MEWSWVFILILSVTTGVHSEVRLQQSGPKVVNAGASVKLSCKSSGYSFSRYKMECVKQSH GKSLEWIEHINLFKGVTNYNGKFKSKATLTVDISSSTAYMELSRLTSEDSEVYYCAR >ENSMUSP00000100290.1 pep:known chromosome:GRCm38:12:114772928:114773221:-1 gene:ENSMUSG00000094241.2 transcript:ENSMUST00000103509.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-24 description:immunoglobulin heavy variable V1-24 [Source:MGI Symbol;Acc:MGI:3815052] EVRLQQSGPKVVNAGASVKLSCKSSGYSFSRYKMECVKQSHGKSLEWIEHINLFKGVTNY NGKFKSKATLTVDISSSTAYMELSRLTSEDSEVYYCAR >ENSMUSP00000100274.2 pep:known chromosome:GRCm38:12:114487136:114487569:-1 gene:ENSMUSG00000095442.1 transcript:ENSMUST00000103493.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-4 description:immunoglobulin heavy variable 1-4 [Source:MGI Symbol;Acc:MGI:4439618] MERHWIFLFLLSVTSGVHSQVQLQQSGAELARPGASVKMSCKASGYTFTSYTMHWVKQRP GQGLEWIGYINPSSGYTKYNQKFKDKATLTADKSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000141537.1 pep:known chromosome:GRCm38:12:114513330:114513807:-1 gene:ENSMUSG00000096499.2 transcript:ENSMUST00000191803.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-5 description:immunoglobulin heavy variable V1-5 [Source:MGI Symbol;Acc:MGI:3704121] MECNWILPFILSVTSGVYSEVQLQQSGTVLARPGASVKMSCKTSGYTFTSYWMHWVKQRP GQGLEWIGAIYPGNSDTSYNQKFKGKAKLTAVTSASTAYMELSSLTNEDSAVYYCTR >ENSMUSP00000100275.1 pep:known chromosome:GRCm38:12:114513330:114513623:-1 gene:ENSMUSG00000096499.2 transcript:ENSMUST00000103494.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-5 description:immunoglobulin heavy variable V1-5 [Source:MGI Symbol;Acc:MGI:3704121] EVQLQQSGTVLARPGASVKMSCKTSGYTFTSYWMHWVKQRPGQGLEWIGAIYPGNSDTSY NQKFKGKAKLTAVTSASTAYMELSSLTNEDSAVYYCTR >ENSMUSP00000100276.1 pep:known chromosome:GRCm38:12:114523441:114523905:-1 gene:ENSMUSG00000095700.2 transcript:ENSMUST00000103495.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv10-3 description:immunoglobulin heavy variable V10-3 [Source:MGI Symbol;Acc:MGI:3648785] MVLGLKWVFFVVFYQGVHCEVQLVESGGGLVQPKGSLKLSCAASGFTFNTYAMHWVRQAP GKGLEWVARIRSKSSNYATYYADSVKDRFTISRDDSQSMLYLQMNNLKTEDTAMYYCVR >ENSMUSP00000100291.1 pep:known chromosome:GRCm38:12:114788372:114788805:-1 gene:ENSMUSG00000094546.1 transcript:ENSMUST00000103510.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-26 description:immunoglobulin heavy variable 1-26 [Source:MGI Symbol;Acc:MGI:4439641] MGWSWIFLFLLSGTAGVLSEVQLQQSGPELVKPGASVKISCKASGYTFTDYYMNWVKQSH GKSLEWIGDINPNNGGTSYNQKFKGKATLTVDKSSSTAYMELRSLTSEDSAVYYCAR >ENSMUSP00000141586.1 pep:known chromosome:GRCm38:12:114829264:114829697:-1 gene:ENSMUSG00000096649.2 transcript:ENSMUST00000195735.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-31 description:immunoglobulin heavy variable 1-31 [Source:MGI Symbol;Acc:MGI:4439889] MECIWIFLFLLSVTAGVHSEVQLQQSGPELVKPGASVKISCKASGYSFTGYYMHWVKQSH GNILDWIGYIYPYNGVSSYNQKFKGKATLTVDKSSSTAYMELRSLTSEDSAVYYCAR >ENSMUSP00000100292.1 pep:known chromosome:GRCm38:12:114829264:114829557:-1 gene:ENSMUSG00000096649.2 transcript:ENSMUST00000103511.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-31 description:immunoglobulin heavy variable 1-31 [Source:MGI Symbol;Acc:MGI:4439889] EVQLQQSGPELVKPGASVKISCKASGYSFTGYYMHWVKQSHGNILDWIGYIYPYNGVSSY NQKFKGKATLTVDKSSSTAYMELRSLTSEDSAVYYCAR >ENSMUSP00000100277.2 pep:known chromosome:GRCm38:12:114538495:114538965:-1 gene:ENSMUSG00000095200.2 transcript:ENSMUST00000103496.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-7 description:immunoglobulin heavy variable V1-7 [Source:MGI Symbol;Acc:MGI:3704122] MERHWIFLSLLSVIAGVHSQVQLQQSGAELAKPGASVKLSCKASGYTFTSYWMHWVKQRP GQGLEWIGYINPSSGYTKYNQKFKDKATLTADKSSSTAYMQLSSLTYEDSAVYYCAR >ENSMUSP00000141867.1 pep:known chromosome:GRCm38:12:114564578:114565012:-1 gene:ENSMUSG00000076688.2 transcript:ENSMUST00000195337.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv15-2 description:immunoglobulin heavy variable V15-2 [Source:MGI Symbol;Acc:MGI:4947963] MDWIWITLHLLAAATGIQSQVHLQQSGSELRSPGSSVKLSCKDFDSEVFPIAYMSWVRQK PGHGFEWIGGILPSIGRTIYGEKFEDKATLDADTLSNTAYLELNSLTSEDSAIYYCAR >ENSMUSP00000100278.1 pep:known chromosome:GRCm38:12:114564578:114565012:-1 gene:ENSMUSG00000076688.2 transcript:ENSMUST00000103497.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv15-2 description:immunoglobulin heavy variable V15-2 [Source:MGI Symbol;Acc:MGI:4947963] MDWIWITLHLLAAAGIQSQVHLQQSGSELRSPGSSVKLSCKDFDSEVFPIAYMSWVRQKP GHGFEWIGGILPSIGRTIYGEKFEDKATLDADTLSNTAYLELNSLTSEDSAIYYCAR >ENSMUSP00000141381.1 pep:known chromosome:GRCm38:12:114583569:114584002:-1 gene:ENSMUSG00000094694.6 transcript:ENSMUST00000193893.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-9 description:immunoglobulin heavy variable V1-9 [Source:MGI Symbol;Acc:MGI:4439621] MEWTWVFLFLLSVTAGVHSQVQLQQSGAELMKPGASVKLSCKATGYTFTGYWIEWVKQRP GHGLEWIGEILPGSGSTNYNEKFKGKATFTADTSSNTAYMQLSSLTTEDSAIYYCAR >ENSMUSP00000100279.2 pep:known chromosome:GRCm38:12:114583569:114584002:-1 gene:ENSMUSG00000094694.6 transcript:ENSMUST00000103498.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-9 description:immunoglobulin heavy variable V1-9 [Source:MGI Symbol;Acc:MGI:4439621] MEWTWVFLFLLSVTAVHSQVQLQQSGAELMKPGASVKLSCKATGYTFTGYWIEWVKQRPG HGLEWIGEILPGSGSTNYNEKFKGKATFTADTSSNTAYMQLSSLTTEDSAIYYCAR >ENSMUSP00000141570.1 pep:known chromosome:GRCm38:12:114612243:114612675:-1 gene:ENSMUSG00000102888.1 transcript:ENSMUST00000191801.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-11 description:immunoglobulin heavy variable V1-11 [Source:MGI Symbol;Acc:MGI:5009835] MGWSQITLFLVAAITCAYSQIQLQQSGAELASPGASVTLSCKASGYTFTDHIMNWVKKRP GQGLEWIGRIYPVSGETNYNQKFMGKATFSVDRSSSTVYMVLNSLTSEDPAVYYCGR >ENSMUSP00000100293.1 pep:known chromosome:GRCm38:12:114851190:114851660:-1 gene:ENSMUSG00000093955.2 transcript:ENSMUST00000103512.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-34 description:immunoglobulin heavy variable 1-34 [Source:MGI Symbol;Acc:MGI:4439659] MGWSWIFLFLLSGTAGVLSEVQLQQSGPELVKPGASVKMSCKASGYTFTDYYMHWVKQSH GKSLEWIGYIYPNNGGNGYNQKFKGKATLTVDKSSSTAYMELRSLTSEDSAVYYCAR >ENSMUSP00000142024.1 pep:known chromosome:GRCm38:12:114615850:114616318:-1 gene:ENSMUSG00000095416.6 transcript:ENSMUST00000195469.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-12 description:immunoglobulin heavy variable V1-12 [Source:MGI Symbol;Acc:MGI:3646284] MGFSRIFLFLLSVTTGVHSQAYLQQSGAELVRPGASVKMSCKASGYTFTSYNMHWVKQTP RQGLEWIGAIYPGNGDTSYNQKFKGKATLTVDKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000105333.3 pep:known chromosome:GRCm38:12:114615850:114616318:-1 gene:ENSMUSG00000095416.6 transcript:ENSMUST00000109711.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-12 description:immunoglobulin heavy variable V1-12 [Source:MGI Symbol;Acc:MGI:3646284] MGFSRIFLFLLSVTTGNGDTSYNQKFKGKATLTVDKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000100281.1 pep:known chromosome:GRCm38:12:114615850:114616143:-1 gene:ENSMUSG00000095416.6 transcript:ENSMUST00000103500.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-12 description:immunoglobulin heavy variable V1-12 [Source:MGI Symbol;Acc:MGI:3646284] QAYLQQSGAELVRPGASVKMSCKASGYTFTSYNMHWVKQTPRQGLEWIGAIYPGNGDTSY NQKFKGKATLTVDKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000141908.1 pep:known chromosome:GRCm38:12:114657353:114657786:-1 gene:ENSMUSG00000103254.1 transcript:ENSMUST00000192077.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-15 description:immunoglobulin heavy variable 1-15 [Source:MGI Symbol;Acc:MGI:4439782] MEWSWVFLFLLSVIAGVQSQVQLQQSGAELVRPGASVTLSCKASGYTFTDYEMHWVKQTP VHGLEWIGAIDPETGGTAYNQKFKGKAILTADKSSSTAYMELRSLTSEDSAVYYCTR >ENSMUSP00000142128.1 pep:known chromosome:GRCm38:12:114879888:114880321:-1 gene:ENSMUSG00000094051.6 transcript:ENSMUST00000191862.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-36 description:immunoglobulin heavy variable 1-36 [Source:MGI Symbol;Acc:MGI:4439639] MGWSWIFFFLLSGTAGVLSEVQLQQSGPVLVKPGPSVKISCKASGFTFTDYYMHWVKQSH GKSLEWIGLVYPYNGGTSYNQKFKGKATLTVDTSSSTAYMELNSLTSEDSAVYYCAR >ENSMUSP00000100294.2 pep:known chromosome:GRCm38:12:114879888:114880321:-1 gene:ENSMUSG00000094051.6 transcript:ENSMUST00000103513.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-36 description:immunoglobulin heavy variable 1-36 [Source:MGI Symbol;Acc:MGI:4439639] MGWSWIFFFLLSGTAVLSEVQLQQSGPVLVKPGPSVKISCKASGFTFTDYYMHWVKQSHG KSLEWIGLVYPYNGGTSYNQKFKGKATLTVDTSSSTAYMELNSLTSEDSAVYYCAR >ENSMUSP00000141375.1 pep:known chromosome:GRCm38:12:114896238:114896671:-1 gene:ENSMUSG00000095923.6 transcript:ENSMUST00000193950.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-37 description:immunoglobulin heavy variable 1-37 [Source:MGI Symbol;Acc:MGI:4439640] MGWSWIFLFLLSVTVGVFSEVQLQQSGPELVKPGASVKISCKASGYSFTGYFMNWVKQSH GKSLEWIGRINPYNGDTFYNQKFKGKATLTVDKSSSTAHMELLSLTSEDFAVYYCAR >ENSMUSP00000100295.2 pep:known chromosome:GRCm38:12:114896238:114896671:-1 gene:ENSMUSG00000095923.6 transcript:ENSMUST00000103514.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-37 description:immunoglobulin heavy variable 1-37 [Source:MGI Symbol;Acc:MGI:4439640] MGWSWIFLFLLSVTVVFSEVQLQQSGPELVKPGASVKISCKASGYSFTGYFMNWVKQSHG KSLEWIGRINPYNGDTFYNQKFKGKATLTVDKSSSTAHMELLSLTSEDFAVYYCAR >ENSMUSP00000100282.2 pep:known chromosome:GRCm38:12:114665872:114666165:-1 gene:ENSMUSG00000095554.2 transcript:ENSMUST00000103501.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-16 description:immunoglobulin heavy variable 1-16 [Source:MGI Symbol;Acc:MGI:3647704] EVQLQQSGPKVVNAGASVKLSCKSSGYSFSRYKMECVKQSHVKSLEWIEHINLFNGITNY NGNFKSKATLTVDISSSTAYMELSRLTSEDSEVYYCAR >ENSMUSP00000100296.1 pep:known chromosome:GRCm38:12:114914599:114915032:-1 gene:ENSMUSG00000095130.1 transcript:ENSMUST00000103515.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-39 description:immunoglobulin heavy variable 1-39 [Source:MGI Symbol;Acc:MGI:4439888] MGWSWIFLFLLSGTAGVHSEFQLQQSGPELVKPGASVKISCKASGYSFTDYNMNWVKQSN GKSLEWIGVINPNYGTTSYNQKFKGKATLTVDQSSSTAYMQLNSLTSEDSAVYYCAR >ENSMUSP00000142261.1 pep:known chromosome:GRCm38:12:114937113:114937544:-1 gene:ENSMUSG00000094652.2 transcript:ENSMUST00000191868.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-42 description:immunoglobulin heavy variable V1-42 [Source:MGI Symbol;Acc:MGI:3704123] MGWNWIFILILSVTTGVHSEVQLQQSGPELVKPGASVKISCKASGYSFTGYYMNWVKQSP EKSLEWIGEINPSTGGTTYNQKFKAKATLTVDKSSSTAYMQLKSLTSEDSAVYYCAR >ENSMUSP00000100297.1 pep:known chromosome:GRCm38:12:114937113:114937406:-1 gene:ENSMUSG00000094652.2 transcript:ENSMUST00000103516.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-42 description:immunoglobulin heavy variable V1-42 [Source:MGI Symbol;Acc:MGI:3704123] EVQLQQSGPELVKPGASVKISCKASGYSFTGYYMNWVKQSPEKSLEWIGEINPSTGGTTY NQKFKAKATLTVDKSSSTAYMQLKSLTSEDSAVYYCAR >ENSMUSP00000142065.1 pep:known chromosome:GRCm38:12:114945950:114946383:-1 gene:ENSMUSG00000095859.2 transcript:ENSMUST00000195417.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-43 description:immunoglobulin heavy variable V1-43 [Source:MGI Symbol;Acc:MGI:3704124] MEWSWVFLFLLSVTTGVHSEVKLQQSGPELVKPGASVKISCKASGYSFTGYYMHWVKQSS EKSLEWIGEINPSTGGTSYNQKFKGKATLTVDKSSSTAYMQLKSLTSEDSAVYYCAR >ENSMUSP00000100298.1 pep:known chromosome:GRCm38:12:114945950:114946243:-1 gene:ENSMUSG00000095859.2 transcript:ENSMUST00000103517.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-43 description:immunoglobulin heavy variable V1-43 [Source:MGI Symbol;Acc:MGI:3704124] EVKLQQSGPELVKPGASVKISCKASGYSFTGYYMHWVKQSSEKSLEWIGEINPSTGGTSY NQKFKGKATLTVDKSSSTAYMQLKSLTSEDSAVYYCAR >ENSMUSP00000142265.1 pep:known chromosome:GRCm38:12:114991108:114991580:-1 gene:ENSMUSG00000076709.2 transcript:ENSMUST00000194865.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-47 description:immunoglobulin heavy variable 1-47 [Source:MGI Symbol;Acc:MGI:4439890] MAWISIILFLVATAIGVHSQVQLQQSGAELVKPGASVKMSCKASGYTFTTYPIEWMKQNH GKSLEWIGNFHPYNDDTKYNEKFKGKATLTVEKSSSTVYLELSRLTSDDSAVYYCAR >ENSMUSP00000100299.1 pep:known chromosome:GRCm38:12:114991108:114991401:-1 gene:ENSMUSG00000076709.2 transcript:ENSMUST00000103518.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-47 description:immunoglobulin heavy variable 1-47 [Source:MGI Symbol;Acc:MGI:4439890] QVQLQQSGAELVKPGASVKMSCKASGYTFTTYPIEWMKQNHGKSLEWIGNFHPYNDDTKY NEKFKGKATLTVEKSSSTVYLELSRLTSDDSAVYYCAR >ENSMUSP00000141366.1 pep:known chromosome:GRCm38:12:115024026:115024459:-1 gene:ENSMUSG00000096355.2 transcript:ENSMUST00000192298.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-4 description:immunoglobulin heavy variable V8-4 [Source:MGI Symbol;Acc:MGI:3646040] MDRLMSSFLLLVVPIYVLSQITLKQSGPGIVQPSQPVRLTCTFSGFSLSTSGIGVTWIRQ PSGKGLEWLATIWWDDDNRYNPSLKSRLAVSKDTSNNQAFLNIITVETADTAIYYCA >ENSMUSP00000136226.1 pep:known chromosome:GRCm38:12:115024026:115024319:-1 gene:ENSMUSG00000096355.2 transcript:ENSMUST00000178949.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-4 description:immunoglobulin heavy variable V8-4 [Source:MGI Symbol;Acc:MGI:3646040] QITLKQSGPGIVQPSQPVRLTCTFSGFSLSTSGIGVTWIRQPSGKGLEWLATIWWDDDNR YNPSLKSRLAVSKDTSNNQAFLNIITVETADTAIYYCA >ENSMUSP00000141739.1 pep:known chromosome:GRCm38:12:115055223:115055656:-1 gene:ENSMUSG00000076710.2 transcript:ENSMUST00000192724.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-49 description:immunoglobulin heavy variable 1-49 [Source:MGI Symbol;Acc:MGI:4439754] MEWIWVFLFLLSGTAGVHSQRELQQSGAELVRPGSSVKLSCKDSYFAFMASAMHWVKQRP GHGLEWIGSFTMYSDATEYSENFKGKATLTANTSSSTAYMELSSLTSEDSAVYYCAR >ENSMUSP00000100300.1 pep:known chromosome:GRCm38:12:115055223:115055516:-1 gene:ENSMUSG00000076710.2 transcript:ENSMUST00000103519.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-49 description:immunoglobulin heavy variable 1-49 [Source:MGI Symbol;Acc:MGI:4439754] QRELQQSGAELVRPGSSVKLSCKDSYFAFMASAMHWVKQRPGHGLEWIGSFTMYSDATEY SENFKGKATLTANTSSSTAYMELSSLTSEDSAVYYCAR >ENSMUSP00000142292.1 pep:known chromosome:GRCm38:12:115067560:115068002:-1 gene:ENSMUSG00000102364.1 transcript:ENSMUST00000194257.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-5 description:immunoglobulin heavy variable V8-5 [Source:MGI Symbol;Acc:MGI:3645478] MGRLTSSFLLLIVPAYVLSQVTLKESGPGILQPSQTLSLTCSFSGFSLSTSNMGIGWIRQ PSGKGLEWLAHIWWNDDKYYNPSLKSRLTISKDTSNNQVFLKITSVDTADTATYYCAQI >ENSMUSP00000100302.1 pep:known chromosome:GRCm38:12:115119748:115120219:-1 gene:ENSMUSG00000094198.2 transcript:ENSMUST00000103521.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-50 description:immunoglobulin heavy variable 1-50 [Source:MGI Symbol;Acc:MGI:4439753] MGWSCIILFLVATATGVHSQVQLQQPGAELVKPGASVKLSCKASGYTFTSYWMQWVKQRP GQGLEWIGEIDPSDSYTNYNQKFKGKATLTVDTSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000141930.1 pep:known chromosome:GRCm38:12:115145487:115145919:-1 gene:ENSMUSG00000095204.6 transcript:ENSMUST00000192554.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-52 description:immunoglobulin heavy variable 1-52 [Source:MGI Symbol;Acc:MGI:4439752] MGWSCIILFLVATATGVHSQVQLQQPGAELVRPGSSVKLSCKASGYTFTSYWMHWVKQRP IQGLEWIGNIDPSDSETHYNQKFKDKATLTVDKSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000100303.2 pep:known chromosome:GRCm38:12:115145487:115145919:-1 gene:ENSMUSG00000095204.6 transcript:ENSMUST00000103522.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-52 description:immunoglobulin heavy variable 1-52 [Source:MGI Symbol;Acc:MGI:4439752] MGWSCIILFLVATATVHSQVQLQQPGAELVRPGSSVKLSCKASGYTFTSYWMHWVKQRPI QGLEWIGNIDPSDSETHYNQKFKDKATLTVDKSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000100304.1 pep:known chromosome:GRCm38:12:115158403:115158835:-1 gene:ENSMUSG00000093894.1 transcript:ENSMUST00000103523.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-53 description:immunoglobulin heavy variable 1-53 [Source:MGI Symbol;Acc:MGI:3576502] MGWSCIILFLVAAATGVHSQVQLQQPGTELVKPGASVKLSCKASGYTFTSYWMHWVKQRP GQGLEWIGNINPSNGGTNYNEKFKSKATLTVDKSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000141906.1 pep:known chromosome:GRCm38:12:115165781:115166220:-1 gene:ENSMUSG00000094505.2 transcript:ENSMUST00000193145.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-6 description:immunoglobulin heavy variable V8-6 [Source:MGI Symbol;Acc:MGI:3645823] MDRLTSSFLLLIVPVYVLSQVTLKESGPGILQPSQTLSLTCSFSGFSLSTFGMGVSWIRQ PSGKDLEWLAHIYWDDDKHYNPSLKSQLRISKDTSNNQVFLKITTVDTVDTATYYCAR >ENSMUSP00000100305.1 pep:known chromosome:GRCm38:12:115165777:115166077:-1 gene:ENSMUSG00000094505.2 transcript:ENSMUST00000103524.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-6 description:immunoglobulin heavy variable V8-6 [Source:MGI Symbol;Acc:MGI:3645823] QVTLKESGPGILQPSQTLSLTCSFSGFSLSTFGMGVSWIRQPSGKDLEWLAHIYWDDDKH YNPSLKSQLRISKDTSNNQVFLKITTVDTVDTATYYCARR >ENSMUSP00000100306.1 pep:known chromosome:GRCm38:12:115193675:115194134:-1 gene:ENSMUSG00000094787.2 transcript:ENSMUST00000103525.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-54 description:immunoglobulin heavy variable V1-54 [Source:MGI Symbol;Acc:MGI:3647133] MEWSRVFIFLLSVTAGVHSQVQLQQSGAELVRPGTSVKVSCKASGYAFTNYLIEWVKQRP GQGLEWIGVINPGSGGTNYNEKFKGKATLTADKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000100307.1 pep:known chromosome:GRCm38:12:115208095:115208591:-1 gene:ENSMUSG00000095589.2 transcript:ENSMUST00000103526.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-55 description:immunoglobulin heavy variable 1-55 [Source:MGI Symbol;Acc:MGI:4439716] MGWSCIILILVAAATGVHSQVQLQQPGAELVKPGASVKMSCKASGYTFTSYWITWVKQRP GQGLEWIGDIYPGSGSTNYNEKFKSKATLTVDTSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000141979.1 pep:known chromosome:GRCm38:12:115242802:115243235:-1 gene:ENSMUSG00000094862.2 transcript:ENSMUST00000194071.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-56 description:immunoglobulin heavy variable 1-56 [Source:MGI Symbol;Acc:MGI:4439715] MEWSWVFLFLLSVTAGVHCQVQLQQSGPELVRPGASVKISCKAPGYTFTSHWMQWVRQRP GQGLEWIGEIFPGSGSTYYNEKFKGKATLTVDTSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000100308.1 pep:known chromosome:GRCm38:12:115242802:115243095:-1 gene:ENSMUSG00000094862.2 transcript:ENSMUST00000103527.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-56 description:immunoglobulin heavy variable 1-56 [Source:MGI Symbol;Acc:MGI:4439715] QVQLQQSGPELVRPGASVKISCKAPGYTFTSHWMQWVRQRPGQGLEWIGEIFPGSGSTYY NEKFKGKATLTVDTSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000142082.1 pep:known chromosome:GRCm38:12:115294062:115294504:-1 gene:ENSMUSG00000104452.1 transcript:ENSMUST00000192591.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-8 description:immunoglobulin heavy variable 8-8 [Source:MGI Symbol;Acc:MGI:3815333] MGRLTSSFLLLIVPAYVLSQVTLKESGPGILQPSQTLSLTCSFSGFSLSTFGMGVGWIRQ PSGKGLEWLAHIWWDDDKYYNPALKSRLTISKDTSKNQVFLKIANVDTADTATYYCARI >ENSMUSP00000100310.3 pep:known chromosome:GRCm38:12:115312166:115312599:-1 gene:ENSMUSG00000095889.1 transcript:ENSMUST00000103529.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-58 description:immunoglobulin heavy variable 1-58 [Source:MGI Symbol;Acc:MGI:4439557] MELIWVFLFLLSVTAGVHSEVQLQQSGAELVRPGSSVKMSCKTSGYTFTSYGINWVKQRP GQGLEWIGYIYIGNGYTEYNEKFKGKATLTSDTSSSTAYMQLSSLTSEDSAIYFCAR >ENSMUSP00000141949.1 pep:known chromosome:GRCm38:12:115335082:115335514:-1 gene:ENSMUSG00000095197.6 transcript:ENSMUST00000195359.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-59 description:immunoglobulin heavy variable V1-59 [Source:MGI Symbol;Acc:MGI:3644474] MGWSCIIVLLVSTATGVHSQVQLQQPGAELVRPGTSVKLSCKASGYTFTSYWMHWVKQRP GQGLEWIGVIDPSDSYTNYNQKFKGKATLTVDTSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000100311.2 pep:known chromosome:GRCm38:12:115335082:115335514:-1 gene:ENSMUSG00000095197.6 transcript:ENSMUST00000103530.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-59 description:immunoglobulin heavy variable V1-59 [Source:MGI Symbol;Acc:MGI:3644474] MGWSCIIVLLVSTATVHSQVQLQQPGAELVRPGTSVKLSCKASGYTFTSYWMHWVKQRPG QGLEWIGVIDPSDSYTNYNQKFKGKATLTVDTSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000100312.3 pep:known chromosome:GRCm38:12:115359140:115359572:-1 gene:ENSMUSG00000094087.1 transcript:ENSMUST00000103531.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-61 description:immunoglobulin heavy variable 1-61 [Source:MGI Symbol;Acc:MGI:4439824] MGWSCIILFLVATATGVHSQVQLQQPGAELVRPGSSVKLSCKASGYTFTSYWMDWVKQRP GQGLEWIGNIYPSDSETHYNQKFKDKATLTVDKSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000100284.3 pep:known chromosome:GRCm38:12:115386697:115387128:-1 gene:ENSMUSG00000102313.1 transcript:ENSMUST00000103503.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-62-1 description:immunoglobulin heavy variable 1-62-1 [Source:MGI Symbol;Acc:MGI:3704125] MEQSWVLLFLLSETAGVHCQVQLQQSGAELVRPGASVKLSCKASGYTFTSYWMQWVKQRP GQGLEWIGEIFPGSGSTYYNEKFKGKATLTVDTSSSTAYMQLSSLTAENSAIYLCK >ENSMUSP00000132101.1 pep:known chromosome:GRCm38:12:115386899:115386988:-1 gene:ENSMUSG00000102313.1 transcript:ENSMUST00000171270.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-62-1 description:immunoglobulin heavy variable 1-62-1 [Source:MGI Symbol;Acc:MGI:3704125] QVQLQQSGAELVRPGASVKLSCKASGYTFT >ENSMUSP00000142208.1 pep:known chromosome:GRCm38:12:115446409:115446869:-1 gene:ENSMUSG00000096078.2 transcript:ENSMUST00000194581.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-62-2 description:immunoglobulin heavy variable 1-62-2 [Source:MGI Symbol;Acc:MGI:3644968] MELCWVFLFLLSVTAGVHSQVQLQQSGAELVKPGASVKLSCKASGYTFTEYTIHWVKQRS GQGLEWIGWFYPGSGSIKYNEKFKDKATLTADKSSSTVYMELSRLTSEDSAVYFCARHE >ENSMUSP00000129665.1 pep:known chromosome:GRCm38:12:115446409:115446710:-1 gene:ENSMUSG00000096078.2 transcript:ENSMUST00000163467.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-62-2 description:immunoglobulin heavy variable 1-62-2 [Source:MGI Symbol;Acc:MGI:3644968] QVQLQQSGAELVKPGASVKLSCKASGYTFTEYTIHWVKQRSGQGLEWIGWFYPGSGSIKY NEKFKDKATLTADKSSSTVYMELSRLTSEDSAVYFCARHE >ENSMUSP00000100313.1 pep:known chromosome:GRCm38:12:115460999:115461485:-1 gene:ENSMUSG00000096767.2 transcript:ENSMUST00000103532.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-62-3 description:immunoglobulin heavy variable 1-62-3 [Source:MGI Symbol;Acc:MGI:3648544] MGWSCIMLFLAATATGVHFQVQLQQPGAELVKPGASVKLSSKASGYTFTSYWMHWVKQRP GRGLEWIGRIDPNSGGTKYNEKFKSKATLTVDKPSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000142895.1 pep:known chromosome:GRCm38:12:115468332:115468780:-1 gene:ENSMUSG00000095117.2 transcript:ENSMUST00000197803.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-9 description:immunoglobulin heavy variable V8-9 [Source:MGI Symbol;Acc:MGI:3644475] MDRLTSSFLLLIVPVYVLSQVTLKESGPGILQPSQTLSLTCSFSVFSLSTFGMGVSWIRQ PSGKGLEWLAHIYWDEDKHYKPSLKSRLTISKDTSNNQVFLKITTVDTADTATYYSARR >ENSMUSP00000100314.1 pep:known chromosome:GRCm38:12:115468332:115468632:-1 gene:ENSMUSG00000095117.2 transcript:ENSMUST00000103533.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-9 description:immunoglobulin heavy variable V8-9 [Source:MGI Symbol;Acc:MGI:3644475] QVTLKESGPGILQPSQTLSLTCSFSVFSLSTFGMGVSWIRQPSGKGLEWLAHIYWDEDKH YKPSLKSRLTISKDTSNNQVFLKITTVDTADTATYYSARR >ENSMUSP00000100315.1 pep:known chromosome:GRCm38:12:115495625:115496058:-1 gene:ENSMUSG00000096672.1 transcript:ENSMUST00000103534.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-63 description:immunoglobulin heavy variable V1-63 [Source:MGI Symbol;Acc:MGI:3642755] MEWSGVFIFLLSVTAGVHSQVQLQQSGAELVRPGTSVKMSCKASGYTFTNYWIGWAKQRP GHGLEWIGDIYPGGGYTNYNEKFKGKATLTADKSSSTAYMQFSSLTSEDSAIYYCAR >ENSMUSP00000100316.1 pep:known chromosome:GRCm38:12:115507545:115508031:-1 gene:ENSMUSG00000094088.2 transcript:ENSMUST00000103535.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-64 description:immunoglobulin heavy variable 1-64 [Source:MGI Symbol;Acc:MGI:4439789] MGWSYIILFLVATATGVHSQVQLQQPGAELVKPGASVKLSCKASGYTFTSYWMHWVKQRP GQGLEWIGMIHPNSGSTNYNEKFKSKATLTVDKSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000100317.3 pep:known chromosome:GRCm38:12:115567149:115567591:-1 gene:ENSMUSG00000095170.2 transcript:ENSMUST00000103536.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-11 description:immunoglobulin heavy variable V8-11 [Source:MGI Symbol;Acc:MGI:3644925] MGRLTSSFLLLIVPAYVLSQITQKESGPGILQPSQTLSLTCSFSGFSLSTSGMGVGWIHQ PSGNGLEWLAHIWWNDNKYYNTALKSRLTISKDTSNNQVFLKIASVDTADTATYYCARI >ENSMUSP00000100318.1 pep:known chromosome:GRCm38:12:115593110:115593591:-1 gene:ENSMUSG00000095519.2 transcript:ENSMUST00000103537.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-66 description:immunoglobulin heavy variable 1-66 [Source:MGI Symbol;Acc:MGI:4439825] MGWSWIFLFLLSGTAGVHCQVQLQQSGPELVKPGASVKISCKASGYSFTSYYIHWVKQRP GQGLEWIGWIYPGSGNTKYNEKFKGKATLTADTSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000143248.1 pep:known chromosome:GRCm38:12:115603940:115604376:-1 gene:ENSMUSG00000095863.2 transcript:ENSMUST00000198646.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-67 description:immunoglobulin heavy variable V1-67 [Source:MGI Symbol;Acc:MGI:3645228] IGWSCIIIFLVATATGVHSQVQLQQSGPELVRPGVSVKISCKGSGYTFTDYAMHWVKQSH AKSLEWIGVISTYYGDASYNQKFKDKATMTVDKSSSTAYMELARLTSEDSAVYYCAR >ENSMUSP00000100319.2 pep:known chromosome:GRCm38:12:115603940:115604233:-1 gene:ENSMUSG00000095863.2 transcript:ENSMUST00000103538.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-67 description:immunoglobulin heavy variable V1-67 [Source:MGI Symbol;Acc:MGI:3645228] QVQLQQSGPELVRPGVSVKISCKGSGYTFTDYAMHWVKQSHAKSLEWIGVISTYYGDASY NQKFKDKATMTVDKSSSTAYMELARLTSEDSAVYYCAR >ENSMUSP00000143287.1 pep:known chromosome:GRCm38:12:115623161:115623595:-1 gene:ENSMUSG00000094502.5 transcript:ENSMUST00000199933.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-69 description:immunoglobulin heavy variable 1-69 [Source:MGI Symbol;Acc:MGI:4439632] MGWSCIILFLVSTATGVHSQVQLQQPGAELVMPGASVKLSCKASGYTFTSYWMHWVKQRP GQGLEWIGEIDPSDSYTNYNQKFKGKSTLTVDKSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000100320.2 pep:known chromosome:GRCm38:12:115623161:115623595:-1 gene:ENSMUSG00000094502.5 transcript:ENSMUST00000103539.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-69 description:immunoglobulin heavy variable 1-69 [Source:MGI Symbol;Acc:MGI:4439632] MGWSCIILFLVSTATVHSQVQLQQPGAELVMPGASVKLSCKASGYTFTSYWMHWVKQRPG QGLEWIGEIDPSDSYTNYNQKFKGKSTLTVDKSSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000142333.1 pep:known chromosome:GRCm38:12:115647945:115648387:-1 gene:ENSMUSG00000076731.3 transcript:ENSMUST00000199266.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-12 description:immunoglobulin heavy variable V8-12 [Source:MGI Symbol;Acc:MGI:3642873] MDRLTSSFLLLIVPAYVLSQVTLKESGPGILQSSQTLSLTCSFSGFSLSTSGMGVSWIRQ PSGKGLEWLAHIYWDDDKRYNPSLKSRLTISKDTSRNQVFLKITSVDTADTATYYCARR >ENSMUSP00000100321.2 pep:known chromosome:GRCm38:12:115647961:115648361:-1 gene:ENSMUSG00000076731.3 transcript:ENSMUST00000103540.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-12 description:immunoglobulin heavy variable V8-12 [Source:MGI Symbol;Acc:MGI:3642873] AADCPCIVLSQVTLKESGPGILQSSQTLSLTCSFSGFSLSTSGMGVSWIRQPSGKGLEWL AHIYWDDDKRYNPSLKSRLTISKDTSRNQVFLKITSVDTADTATY >ENSMUSP00000143217.1 pep:known chromosome:GRCm38:12:115742205:115742647:-1 gene:ENSMUSG00000096577.2 transcript:ENSMUST00000196690.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-71 description:immunoglobulin heavy variable 1-71 [Source:MGI Symbol;Acc:MGI:3643033] MEWCWVFLFLLSVTAGVHSQVQLQQSGAELVKPGASVKLSCKASGYTFTEYTIHWVKQRS GQGLEWIGWFYPGSGSIKYNEKFKDKATLTADKSSSTVYMELSRLTSEDSAVYFCARHE >ENSMUSP00000128289.1 pep:known chromosome:GRCm38:12:115742205:115742506:-1 gene:ENSMUSG00000096577.2 transcript:ENSMUST00000166645.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-71 description:immunoglobulin heavy variable 1-71 [Source:MGI Symbol;Acc:MGI:3643033] QVQLQQSGAELVKPGASVKLSCKASGYTFTEYTIHWVKQRSGQGLEWIGWFYPGSGSIKY NEKFKDKATLTADKSSSTVYMELSRLTSEDSAVYFCARHE >ENSMUSP00000100322.1 pep:known chromosome:GRCm38:12:115757984:115758461:-1 gene:ENSMUSG00000096074.2 transcript:ENSMUST00000103541.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-72 description:immunoglobulin heavy variable 1-72 [Source:MGI Symbol;Acc:MGI:4439633] MGWSCIMLFLAATATGVHSQVQLQQPGAELVKPGASVKLSCKASGYTFTSYWMHWVKQRP GRGLEWIGRIDPNSGGTKYNEKFKSKATLTVDKPSSTAYMQLSSLTSEDSAVYYCAR >ENSMUSP00000129008.2 pep:known chromosome:GRCm38:12:115765337:115765635:-1 gene:ENSMUSG00000076733.2 transcript:ENSMUST00000164041.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-13 description:immunoglobulin heavy variable 8-13 [Source:MGI Symbol;Acc:MGI:4439734] QVTLKESGPGILQPSQTLSLTCSFSGFSLSTFGMGVSWIRQPSGKGLEWLAHIYWDDDKH YNPSLKSRLTISKDTSNNQVFLKITTVDTADTATYYCAR >ENSMUSP00000100323.1 pep:known chromosome:GRCm38:12:115765333:115765635:-1 gene:ENSMUSG00000076733.2 transcript:ENSMUST00000103542.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv8-13 description:immunoglobulin heavy variable 8-13 [Source:MGI Symbol;Acc:MGI:4439734] QVTLKESGPGILQPSQTLSLTCSFSGFSLSTFGMGVSWIRQPSGKGLEWLAHIYWDDDKH YNPSLKSRLTISKDTSNNQVFLKITTVDTADTATYYCARRA >ENSMUSP00000100118.1 pep:known chromosome:GRCm38:6:68121046:68121827:1 gene:ENSMUSG00000094335.2 transcript:ENSMUST00000103317.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-117 description:immunoglobulin kappa variable 1-117 [Source:MGI Symbol;Acc:MGI:4439721] MKLPVRLLVLMFWIPASSSDVLMTQTPLSLPVSLGDQASISCRSSQSIVHSNGNTYLEWY LQKPGQSPKLLIYKVSNRFSGVPDRFSGSGSGTDFTLKISRVEAEDLGVYYCFQGSHVPP >ENSMUSP00000143597.1 pep:known chromosome:GRCm38:12:115802648:115803080:-1 gene:ENSMUSG00000094124.5 transcript:ENSMUST00000196587.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-74 description:immunoglobulin heavy variable V1-74 [Source:MGI Symbol;Acc:MGI:4439634] MRWSCIILFLVATATGVHSQVQLQQPGAELVKPGASVKVSCKASGYTFTSYWMHWVKQRP GQGLEWIGRIHPSDSDTNYNQKFKGKATLTVDKSSSTAYMQLSSLTSEDSAVYYCAI >ENSMUSP00000100324.2 pep:known chromosome:GRCm38:12:115802648:115803080:-1 gene:ENSMUSG00000094124.5 transcript:ENSMUST00000103543.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-74 description:immunoglobulin heavy variable V1-74 [Source:MGI Symbol;Acc:MGI:4439634] MRWSCIILFLVATATVHSQVQLQQPGAELVKPGASVKVSCKASGYTFTSYWMHWVKQRPG QGLEWIGRIHPSDSDTNYNQKFKGKATLTVDKSSSTAYMQLSSLTSEDSAVYYCAI >ENSMUSP00000100325.1 pep:known chromosome:GRCm38:12:115833950:115834418:-1 gene:ENSMUSG00000096020.2 transcript:ENSMUST00000103544.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-75 description:immunoglobulin heavy variable 1-75 [Source:MGI Symbol;Acc:MGI:4439735] MGWSWIFLFLLSGTAGVHCQVQLQQSGPELVKPGASVKISCKASGYTFTDYYINWVKQRP GQGLEWIGWIFPGSGSTYYNEKFKGKATLTVDKSSSTAYMLLSSLTSEDSAVYFCAR >ENSMUSP00000143144.1 pep:known chromosome:GRCm38:12:115847881:115848331:-1 gene:ENSMUSG00000093896.2 transcript:ENSMUST00000197537.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-76 description:immunoglobulin heavy variable 1-76 [Source:MGI Symbol;Acc:MGI:4439737] MGWSWIFFFLLSGTAGVHCQVQLKQSGAELVRPGASVKLSCKASGYTFTDYYINWVKQRP GQGLEWIARIYPGSGNTYYNEKFKGKATLTAEKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000133037.1 pep:known chromosome:GRCm38:12:115847881:115848174:-1 gene:ENSMUSG00000093896.2 transcript:ENSMUST00000169155.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-76 description:immunoglobulin heavy variable 1-76 [Source:MGI Symbol;Acc:MGI:4439737] QVQLKQSGAELVRPGASVKLSCKASGYTFTDYYINWVKQRPGQGLEWIARIYPGSGNTYY NEKFKGKATLTAEKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000143684.1 pep:known chromosome:GRCm38:12:115861867:115862355:-1 gene:ENSMUSG00000096452.2 transcript:ENSMUST00000198777.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-77 description:immunoglobulin heavy variable 1-77 [Source:MGI Symbol;Acc:MGI:4439670] MEWSWVFLFLLSVTAGVHCQVQLKQSGAELVKPGASVKISCKASGYTFTDYYINWVKQRP GQGLEWIGKIGPGSGSTYYNEKFKGKATLTADKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000126332.1 pep:known chromosome:GRCm38:12:115861867:115862160:-1 gene:ENSMUSG00000096452.2 transcript:ENSMUST00000170551.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-77 description:immunoglobulin heavy variable 1-77 [Source:MGI Symbol;Acc:MGI:4439670] QVQLKQSGAELVKPGASVKISCKASGYTFTDYYINWVKQRPGQGLEWIGKIGPGSGSTYY NEKFKGKATLTADKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000143632.1 pep:known chromosome:GRCm38:12:115868773:115869227:-1 gene:ENSMUSG00000096326.2 transcript:ENSMUST00000199373.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-78 description:immunoglobulin heavy variable 1-78 [Source:MGI Symbol;Acc:MGI:4439736] MEWSWVSLFFLSVTTGVHSQVQLQQSDAELVKPGASVKISCKVSGYTFTDHTIHWMKQRP EQGLEWIGYIYPRDGSTKYNEKFKGKATLTADKSSSTAYMQLNSLTSEDSAVYFCAR >ENSMUSP00000128082.1 pep:known chromosome:GRCm38:12:115868773:115869066:-1 gene:ENSMUSG00000096326.2 transcript:ENSMUST00000163670.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-78 description:immunoglobulin heavy variable 1-78 [Source:MGI Symbol;Acc:MGI:4439736] QVQLQQSDAELVKPGASVKISCKVSGYTFTDHTIHWMKQRPEQGLEWIGYIYPRDGSTKY NEKFKGKATLTADKSSSTAYMQLNSLTSEDSAVYFCAR >ENSMUSP00000100328.1 pep:known chromosome:GRCm38:12:115912344:115912776:-1 gene:ENSMUSG00000094075.1 transcript:ENSMUST00000103547.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-80 description:immunoglobulin heavy variable 1-80 [Source:MGI Symbol;Acc:MGI:4439738] MEWPLIFLFLLSGTAGVQSQVQLQQSGAELVKPGASVKISCKASGYAFSSYWMNWVKQRP GKGLEWIGQIYPGDGDTNYNGKFKGKATLTADKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000100329.2 pep:known chromosome:GRCm38:12:115920279:115920712:-1 gene:ENSMUSG00000094689.1 transcript:ENSMUST00000103548.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-81 description:immunoglobulin heavy variable 1-81 [Source:MGI Symbol;Acc:MGI:4439635] MEWIWIFLFILSGTAGVQSQVQLQQSGAELARPGASVKLSCKASGYTFTSYGISWVKQRT GQGLEWIGEIYPRSGNTYYNEKFKGKATLTADKSSSTAYMELRSLTSEDSAVYFCAR >ENSMUSP00000142912.1 pep:known chromosome:GRCm38:12:115952538:115953005:-1 gene:ENSMUSG00000095127.2 transcript:ENSMUST00000196991.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-82 description:immunoglobulin heavy variable 1-82 [Source:MGI Symbol;Acc:MGI:4439671] MEWPCIFLFLLSVTEGVHSQVQLQQSGPELVKPGASVKISCKASGYAFSSSWMNWVKQRP GKGLEWIGRIYPGDGDTNYNGKFKGKATLTADKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000100330.1 pep:known chromosome:GRCm38:12:115952538:115952831:-1 gene:ENSMUSG00000095127.2 transcript:ENSMUST00000103549.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-82 description:immunoglobulin heavy variable 1-82 [Source:MGI Symbol;Acc:MGI:4439671] QVQLQQSGPELVKPGASVKISCKASGYAFSSSWMNWVKQRPGKGLEWIGRIYPGDGDTNY NGKFKGKATLTADKSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000100332.1 pep:known chromosome:GRCm38:12:115980702:115981134:-1 gene:ENSMUSG00000094940.1 transcript:ENSMUST00000103551.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-84 description:immunoglobulin heavy variable 1-84 [Source:MGI Symbol;Acc:MGI:3644235] MGWSWIFLFLLSGTAGVHCQIQLQQSGPELVKPGASVKISCKASGYTFTDYYINWVKQRP GQGLEWIGWIYPGSGNTKYNEKFKGKATLTVDTSSSTAYMQLSSLTSEDSAVYFCAR >ENSMUSP00000100333.1 pep:known chromosome:GRCm38:12:116000028:116000461:-1 gene:ENSMUSG00000096150.1 transcript:ENSMUST00000103552.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Ighv1-85 description:immunoglobulin heavy variable 1-85 [Source:MGI Symbol;Acc:MGI:3645723] MGWSWIFLFLLSGTAGVHSQVQLQQSGPELVKPGASVKLSCKASGYTFTSYDINWVKQRP GQGLEWIGWIYPRDGSTKYNEKFKGKATLTVDTSSSTAYMELHSLTSEDSAVYFCAR >ENSMUSP00000100119.2 pep:known chromosome:GRCm38:6:68219981:68220706:1 gene:ENSMUSG00000076518.6 transcript:ENSMUST00000103318.5 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv2-112 description:immunoglobulin kappa variable 2-112 [Source:MGI Symbol;Acc:MGI:3644894] MRCSLQFLGVLMFWISGVSGDIVITQDELSNPVTSGESVSISCRSSKSLLYKDGKTYLNW FLQRPGQSPQLLIYLMSTRASGVSDRFSGSGSGTDFTLEISRVKAEDVGVYYCQQLVEYP >ENSMUSP00000100120.2 pep:known chromosome:GRCm38:6:68219981:68220706:1 gene:ENSMUSG00000076518.6 transcript:ENSMUST00000103319.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv2-112 description:immunoglobulin kappa variable 2-112 [Source:MGI Symbol;Acc:MGI:3644894] MRCSLQFLGVLMFWISVSGDIVITQDELSNPVTSGESVSISCRSSKSLLYKDGKTYLNWF LQRPGQSPQLLIYLMSTRASGVSDRFSGSGSGTDFTLEISRVKAEDVGVYYCQQLVEYP >ENSMUSP00000100121.1 pep:known chromosome:GRCm38:6:68256389:68256869:1 gene:ENSMUSG00000095771.2 transcript:ENSMUST00000103320.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv14-111 description:immunoglobulin kappa variable 14-111 [Source:MGI Symbol;Acc:MGI:4439863] MDMRTPAQFLGILLLWFPGIKCDIKMTQSPSSMYASLGERVTITCKASQDINSYLSWFQQ KPGKSPKTLIYRANRLVDGVPSRFSGSGSGQDYSLTISSLEYEDMGIYYCLQYDEFPP >ENSMUSP00000100122.1 pep:known chromosome:GRCm38:6:68270485:68271267:1 gene:ENSMUSG00000093861.2 transcript:ENSMUST00000103321.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-110 description:immunoglobulin kappa variable 1-110 [Source:MGI Symbol;Acc:MGI:4439558] MKLPVRLLVLMFWIPASSSDVVMTQTPLSLPVSLGDQASISCRSSQSLVHSNGNTYLHWY LQKPGQSPKLLIYKVSNRFSGVPDRFSGSGSGTDFTLKISRVEAEDLGVYFCSQSTHVPP >ENSMUSP00000100123.1 pep:known chromosome:GRCm38:6:68302427:68303158:1 gene:ENSMUSG00000105606.1 transcript:ENSMUST00000103322.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv2-109 description:immunoglobulin kappa variable 2-109 [Source:MGI Symbol;Acc:MGI:3642626] MRFSAQLLGLLVLWIPGSTADIVMTQAAFSNPVTLGTSASISCRSSKSLLHSNGITYLYW YLQKPGQSPQLLIYQMSNLASGVPDRFSSSGSGTDFTLRISRVEAEDVGVYYCAQNLELP P >ENSMUSP00000100124.1 pep:known chromosome:GRCm38:6:68425575:68426072:1 gene:ENSMUSG00000076522.2 transcript:ENSMUST00000103323.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv16-104 description:immunoglobulin kappa variable 16-104 [Source:MGI Symbol;Acc:MGI:2685913] MRFQVQVLGLLLLWISGAQCDVQITQSPSYLAASPGETITINCRASKSISKYLAWYQEKP GKTNKLLIYSGSTLQSGIPSRFSGSGSGTDFTLTISSLEPEDFAMYYCQQHNEYPY >ENSMUSP00000100125.1 pep:known chromosome:GRCm38:6:68437440:68437925:1 gene:ENSMUSG00000076523.2 transcript:ENSMUST00000103324.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv15-103 description:immunoglobulin kappa chain variable 15-103 [Source:MGI Symbol;Acc:MGI:96513] MRVLAELLGLLLFCFLGVRCDIQMNQSPSSLSASLGDTITITCHASQNINVWLSWYQQKP GNIPKLLIYKASNLHTGVPSRFSGSGSGTGFTLTISSLQPEDIATYYCQQGQSYPL >ENSMUSP00000142504.1 pep:known chromosome:GRCm38:6:68474613:68475101:1 gene:ENSMUSG00000106403.1 transcript:ENSMUST00000200406.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv20-101-2 description:immunoglobulin kappa chain variable 20-101-2 [Source:MGI Symbol;Acc:MGI:5009884] MALVQLLGMLMLWLQGMSCNIQVIQSPFLSASVGERVTISCKTHQHINSSIAWYQQKVGK APILLIRDASFSLTDTPSRFTGNGFGTDFTLSISSMQSQDGATYFCQQHFHYY >ENSMUSP00000143410.1 pep:known chromosome:GRCm38:6:68519012:68519477:1 gene:ENSMUSG00000096515.5 transcript:ENSMUST00000199510.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv14-100 description:immunoglobulin kappa chain variable 14-100 [Source:MGI Symbol;Acc:MGI:4439559] MDMMVLAQFLAFLLLWFPGARCDILMTQSPSSMSVSLGDTVSITCHASQGISSNIGWLQQ KPGKSFKGLIYHGTNLEDGVPSRFSGSGSGADYSLTISSLESEDFADYYCVQYAQFPP >ENSMUSP00000100126.2 pep:known chromosome:GRCm38:6:68519012:68519477:1 gene:ENSMUSG00000096515.5 transcript:ENSMUST00000103325.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv14-100 description:immunoglobulin kappa chain variable 14-100 [Source:MGI Symbol;Acc:MGI:4439559] MDMMVLAQFLAFLLLWFPARCDILMTQSPSSMSVSLGDTVSITCHASQGISSNIGWLQQK PGKSFKGLIYHGTNLEDGVPSRFSGSGSGADYSLTISSLESEDFADYYCVQYAQFPP >ENSMUSP00000132003.2 pep:known chromosome:GRCm38:6:68541644:68542422:1 gene:ENSMUSG00000076525.3 transcript:ENSMUST00000168090.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-99 description:immunoglobulin kappa variable 1-99 [Source:MGI Symbol;Acc:MGI:4439724] MKLPVLLVVLLLFTSPASSSDVVLTQTPLSLPVNIGDQASISCKSTKSLLNSDGFTYLDW YLQKPGQSPQLLIYLVSNRFSGVPDRFSGSGSGTDFTLKISRVEAEDLGVYYCFQSNYLP L >ENSMUSP00000100127.2 pep:known chromosome:GRCm38:6:68541658:68542422:1 gene:ENSMUSG00000076525.3 transcript:ENSMUST00000103326.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-99 description:immunoglobulin kappa variable 1-99 [Source:MGI Symbol;Acc:MGI:4439724] MKLPVLLVVLLLFTSPASSDVVLTQTPLSLPVNIGDQASISCKSTKSLLNSDGFTYLDWY LQKPGQSPQLLIYLVSNRFSGVPDRFSGSGSGTDFTLKISRVEAEDLGVYYCFQSNYLPL >ENSMUSP00000143549.1 pep:known chromosome:GRCm38:6:68570763:68571235:1 gene:ENSMUSG00000076526.6 transcript:ENSMUST00000196839.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv12-98 description:immunoglobulin kappa variable 12-98 [Source:MGI Symbol;Acc:MGI:4439560] MNMLTQLLGLLLLWFAGGKCDIQMTQSPASQSASLGESVTITCLASQTIGTWLAWYQQKP GKSPQLLIYAATSLADGVPSRFSGSGSGTKFSFKISSLQAEDFVSYYCQQLYSTPL >ENSMUSP00000100128.2 pep:known chromosome:GRCm38:6:68570763:68571235:1 gene:ENSMUSG00000076526.6 transcript:ENSMUST00000103327.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv12-98 description:immunoglobulin kappa variable 12-98 [Source:MGI Symbol;Acc:MGI:4439560] MNMLTQLLGLLLLWFAGKCDIQMTQSPASQSASLGESVTITCLASQTIGTWLAWYQQKPG KSPQLLIYAATSLADGVPSRFSGSGSGTKFSFKISSLQAEDFVSYYCQQLYSTPL >ENSMUSP00000100129.1 pep:known chromosome:GRCm38:6:68631963:68632442:-1 gene:ENSMUSG00000094420.2 transcript:ENSMUST00000103328.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv10-96 description:immunoglobulin kappa variable 10-96 [Source:MGI Symbol;Acc:MGI:4439561] MMSSAQFLGLLLLCFQGTRCDIQMTQTTSSLSASLGDRVTISCRASQDISNYLNWYQQKP DGTVKLLIYYTSRLHSGVPSRFSGSGSGTDYSLTISNLEQEDIATYFCQQGNTLPP >ENSMUSP00000143352.1 pep:known chromosome:GRCm38:6:68680379:68680848:1 gene:ENSMUSG00000094902.2 transcript:ENSMUST00000198735.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv10-95 description:immunoglobulin kappa variable 10-95 [Source:MGI Symbol;Acc:MGI:3644598] MMSSAQFLGLLLLCFQGTRYDIQMTQTTSSLSASLGDRVTISCRASEDISTYLNWYQQKP DGTVKLLIYYTSGLHSGVPSRFSGSGSGADYSLTISNLEPEDIATYYCQQYSKLPP >ENSMUSP00000100130.2 pep:known chromosome:GRCm38:6:68680562:68680848:1 gene:ENSMUSG00000094902.2 transcript:ENSMUST00000103329.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv10-95 description:immunoglobulin kappa variable 10-95 [Source:MGI Symbol;Acc:MGI:3644598] DIQMTQTTSSLSASLGDRVTISCRASEDISTYLNWYQQKPDGTVKLLIYYTSGLHSGVPS RFSGSGSGADYSLTISNLEPEDIATYYCQQYSKLPP >ENSMUSP00000100131.1 pep:known chromosome:GRCm38:6:68704508:68704978:-1 gene:ENSMUSG00000096490.1 transcript:ENSMUST00000103330.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv10-94 description:immunoglobulin kappa variable 10-94 [Source:MGI Symbol;Acc:MGI:3646140] MMSSAQFLGLLLLCFQGTRCDIQMTQTTSSLSASLGDRVTISCSASQGISNYLNWYQQKP DGTVKLLIYYTSSLHSGVPSRFSGSGSGTDYSLTISNLEPEDIATYYCQQYSKLPP >ENSMUSP00000143363.1 pep:known chromosome:GRCm38:6:68736297:68736780:-1 gene:ENSMUSG00000098814.2 transcript:ENSMUST00000196863.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv19-93 description:immunoglobulin kappa chain variable 19-93 [Source:MGI Symbol;Acc:MGI:107617] MRPSIQFLGLLLFWLHGAQCDIQMTQSPSSLSASLGGKVTITCKASQDINKYIAWYQHKP GKGPRLLIHYTSTLQPGIPSRFSGSGSGRDYSFSISNLEPEDIATYYCLQYDNLLP >ENSMUSP00000139272.1 pep:known chromosome:GRCm38:6:68736297:68736764:-1 gene:ENSMUSG00000098814.2 transcript:ENSMUST00000183936.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv19-93 description:immunoglobulin kappa chain variable 19-93 [Source:MGI Symbol;Acc:MGI:107617] MRPSIQFLGLLLFWLHAQCDIQMTQSPSSLSASLGGKVTITCKASQDINKYIAWYQHKPG KGPRLLIHYTSTLQPGIPSRFSGSGSGRDYSFSISNLEPEDIATYYCLQYDNLLP >ENSMUSP00000100133.1 pep:known chromosome:GRCm38:6:68755038:68755593:-1 gene:ENSMUSG00000076531.1 transcript:ENSMUST00000103332.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-92 description:immunoglobulin kappa variable 4-92 [Source:MGI Symbol;Acc:MGI:2686254] MDMWVQIFSLLLICVTVSKGEMVLTQSPVSITASRGEKVTITCRASSSISSNYLHWYQQK PGSSPKLLIYRTSILASGVLDSFSGSGSESSYTLTISCMQDEVAATYYCQQGSSSPP >ENSMUSP00000100134.3 pep:known chromosome:GRCm38:6:68768555:68769103:-1 gene:ENSMUSG00000076532.3 transcript:ENSMUST00000103333.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-91 description:immunoglobulin kappa chain variable 4-91 [Source:MGI Symbol;Acc:MGI:3642277] MDFQMQIISLLLISVTVIVSNGEIVLTQSPTTMAASPGEKITITCSASSSISSNYLHWYQ QKPGFSPKLLIYRTSNLASGVPARFSGSGSGTSYSLTIGTMEAEDVATYYCQQGSSIPR >ENSMUSP00000100135.3 pep:known chromosome:GRCm38:6:68807179:68807708:-1 gene:ENSMUSG00000076533.3 transcript:ENSMUST00000103334.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-90 description:immunoglobulin kappa chain variable 4-90 [Source:MGI Symbol;Acc:MGI:4439830] MDFQVQIFSFLLISVTVILTNGEILLTQSPAIIAASPGEKVTITCSASSSVSYMNWYQQK PGSSPKIWIYGISNLASGVPARFSGSGSGTSFSFTINSMEAEDVATYYCQQRSSYPP >ENSMUSP00000143728.1 pep:known chromosome:GRCm38:6:68834846:68835307:-1 gene:ENSMUSG00000076534.6 transcript:ENSMUST00000199143.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv12-89 description:immunoglobulin kappa chain variable 12-89 [Source:MGI Symbol;Acc:MGI:4439829] MGVPTQLLLLWLTVVRCDIQMTQSPASLSASVGETVTITCGASENIYGALNWYQRKQGKS PQLLIYGATNLADGMSSRFSGSGSGRQYSLKISSLHPDDVATYYCQNVLSTPP >ENSMUSP00000100136.2 pep:known chromosome:GRCm38:6:68834846:68835307:-1 gene:ENSMUSG00000076534.6 transcript:ENSMUST00000103335.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv12-89 description:immunoglobulin kappa chain variable 12-89 [Source:MGI Symbol;Acc:MGI:4439829] MGVPTQLLLLWLTVRCDIQMTQSPASLSASVGETVTITCGASENIYGALNWYQRKQGKSP QLLIYGATNLADGMSSRFSGSGSGRQYSLKISSLHPDDVATYYCQNVLSTPP >ENSMUSP00000100137.1 pep:known chromosome:GRCm38:6:68862265:68863031:-1 gene:ENSMUSG00000076535.1 transcript:ENSMUST00000103336.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-88 description:immunoglobulin kappa chain variable 1-88 [Source:MGI Symbol;Acc:MGI:4439828] MNLPVHLLVLLLFWIPASRGDVVVTQTPLSLPVSFGDQVSISCRSSQSLANSYGNTYLSW YLHKPGQSPQLLIYGISNRFSGVPDRFSGSGSGTDFTLKISTIKPEDLGMYYCLQGTHQP P >ENSMUSP00000142898.1 pep:known chromosome:GRCm38:6:68880178:68880674:-1 gene:ENSMUSG00000105630.1 transcript:ENSMUST00000198756.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Gm42543 description:predicted gene 42543 [Source:MGI Symbol;Acc:MGI:5662680] MAMRIRGQLLGLLVLWITGVLCDIQMTQSSSFLSASLGDHLTINCRASKDINKYFAWVQQ KPRKAPRMLIHFASTLLPGVPEKFSGSGSGTDFSLTIRNIESEDIAMYYCLQYSEHPP >ENSMUSP00000143537.1 pep:known chromosome:GRCm38:6:68910411:68910973:-1 gene:ENSMUSG00000076536.3 transcript:ENSMUST00000200454.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-86 description:immunoglobulin kappa variable 4-86 [Source:MGI Symbol;Acc:MGI:2685305] MDFRVQIFSFLLISVTVSRGEIVLTQSPAITAASLGQKVTITCSASSSVSYMHWYQQKSG TSPKPWIYEISKLASGVPARFSGSGSGTSYSLTISSMEAEDAAIYYCQQWNYPL >ENSMUSP00000100138.2 pep:known chromosome:GRCm38:6:68910411:68910938:-1 gene:ENSMUSG00000076536.3 transcript:ENSMUST00000103337.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-86 description:immunoglobulin kappa variable 4-86 [Source:MGI Symbol;Acc:MGI:2685305] MDFRVQIFSFLLISVTARGEIVLTQSPAITAASLGQKVTITCSASSSVSYMHWYQQKSGT SPKPWIYEISKLASGVPARFSGSGSGTSYSLTISSMEAEDAAIYYCQQWNYPL >ENSMUSP00000109850.3 pep:known chromosome:GRCm38:6:68930269:68930734:-1 gene:ENSMUSG00000079543.3 transcript:ENSMUST00000114212.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv13-85 description:immunoglobulin kappa chain variable 13-85 [Source:MGI Symbol;Acc:MGI:4439827] MKFPSQLLLFLLFRITGIICDIQMTQSSSYLSVSLGGRVTITCKASDHINNWLAWYQQKP GNAPRLLISGATSLETGVPSRFSGSGSGKDYTLSITSLQTEDVATYYCQQYWSTPP >ENSMUSP00000100140.1 pep:known chromosome:GRCm38:6:68939602:68940067:1 gene:ENSMUSG00000076538.1 transcript:ENSMUST00000103339.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv13-84 description:immunoglobulin kappa chain variable 13-84 [Source:MGI Symbol;Acc:MGI:96514] MKFPSQLLLLLLFGIPGMICDIQMTQSSSSFSVSLGDRVTITCKASEDIYNRLAWYQQKP GNAPRLLISGATSLETGVPSRFSGSGSGKDYTLSITSLQTEDVATYYCQQYWSTPP >ENSMUSP00000142950.1 pep:known chromosome:GRCm38:6:68990758:68991294:-1 gene:ENSMUSG00000076539.3 transcript:ENSMUST00000197966.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-81 description:immunoglobulin kappa variable 4-81 [Source:MGI Symbol;Acc:MGI:2685306] MDFQVQIFSFLLISVSVIMSRGENVLTQSPAIMAASPGEKVTMTCSASSSVSSSNLHWYQ QKSGTSTKFWIYRTSNLASEVPAPFSGSGSGTSYSLTISSVEAEDAATYYCQQWSGYPP >ENSMUSP00000100141.2 pep:known chromosome:GRCm38:6:68990760:68991049:-1 gene:ENSMUSG00000076539.3 transcript:ENSMUST00000103340.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-81 description:immunoglobulin kappa variable 4-81 [Source:MGI Symbol;Acc:MGI:2685306] ENVLTQSPAIMAASPGEKVTMTCSASSSVSSSNLHWYQQKSGTSTKFWIYRTSNLASEVP APFSGSGSGTSYSLTISSVEAEDAATYYCQQWSGYPP >ENSMUSP00000100142.3 pep:known chromosome:GRCm38:6:69016558:69017080:-1 gene:ENSMUSG00000076540.3 transcript:ENSMUST00000103341.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-80 description:immunoglobulin kappa variable 4-80 [Source:MGI Symbol;Acc:MGI:4439653] MDFQVQIFSFLLISASVIMSRGQIVLTQSPAIMSASLGEEITLTCSASSSVSYMHWYQQK SGTSPKLLIYSTSNLASGVPSRFSGSGSGTFYSLTISSVEAEDAADYYCHQWSSYP >ENSMUSP00000100143.3 pep:known chromosome:GRCm38:6:69042972:69043505:-1 gene:ENSMUSG00000076541.3 transcript:ENSMUST00000103342.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-79 description:immunoglobulin kappa variable 4-79 [Source:MGI Symbol;Acc:MGI:2685040] MDFQVQIFSFLLISVSVIMSRGQIVLTQSPAIMSASPGEKVTLTCSASSSVSSSYLYWYQ QKPGSSPKLWIYSTSNLASGVPARFSGSGSGTSYSLTISSMEAEDAASYFCHQWSSYPP >ENSMUSP00000100144.2 pep:known chromosome:GRCm38:6:69059690:69060224:-1 gene:ENSMUSG00000094315.2 transcript:ENSMUST00000103343.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-78 description:immunoglobulin kappa variable 4-78 [Source:MGI Symbol;Acc:MGI:3819775] MDFQVQIFSFLLISASVIMSRGQIVLTQSPAIMSASPGEKVTMTCSARSSVSSSYLYWYQ QKPGSSPKLWIYSTSNLASGVPARFSGSGSGTSYSLTISSMEAEDAATFYCQQYSGYPS >ENSMUSP00000100145.1 pep:known chromosome:GRCm38:6:69184826:69185397:-1 gene:ENSMUSG00000076543.2 transcript:ENSMUST00000103344.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-74 description:immunoglobulin kappa variable 4-74 [Source:MGI Symbol;Acc:MGI:3779447] MDFQVQIFSFLLISASVIMSRGQIVLTQSPAIMSASLGERVTMTCTASSSVSSSYLHWYQ QKPGSSPKLWIYSTSNLASGVPARFSGSGSGTSYSLTISSMEAEDAATYYCHQYHRSPP >ENSMUSP00000100147.1 pep:known chromosome:GRCm38:6:69226854:69227433:-1 gene:ENSMUSG00000076545.2 transcript:ENSMUST00000103346.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-72 description:immunoglobulin kappa chain variable 4-72 [Source:MGI Symbol;Acc:MGI:2686345] MDFQVQIFSFLLISASVIMSRGQIVLSQSPAILSASPGEKVTMTCRASSSVSYMHWYQQK PGSSPKPWIYATSNLASGVPARFSGSGSGTSYSLTISRVEAEDAATYYCQQWSSNPP >ENSMUSP00000142768.1 pep:known chromosome:GRCm38:6:69243160:69243688:-1 gene:ENSMUSG00000073028.4 transcript:ENSMUST00000196201.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-71 description:immunoglobulin kappa chain variable 4-71 [Source:MGI Symbol;Acc:MGI:4439654] MDFQVQIFSFLLISASVILFRGQIVLTQSPAIMSASPGEKVTMTCSASSSVSYMHWYQQK PGSSPRLWIYLTFNLASGVPARFSGSGSGTSYSLSISSMEAEDAATYYCQQWSSNPP >ENSMUSP00000100575.2 pep:known chromosome:GRCm38:6:69243158:69243445:-1 gene:ENSMUSG00000073028.4 transcript:ENSMUST00000101325.3 gene_biotype:IG_V_gene transcript_biotype:protein_coding gene_symbol:Igkv4-71 description:immunoglobulin kappa chain variable 4-71 [Source:MGI Symbol;Acc:MGI:4439654] QIVLTQSPAIMSASPGEKVTMTCSASSSVSYMHWYQQKPGSSPRLWIYLTFNLASGVPAR FSGSGSGTSYSLSISSMEAEDAATYYCQQWSSNPPT >ENSMUSP00000100149.1 pep:known chromosome:GRCm38:6:69267888:69268443:-1 gene:ENSMUSG00000076547.2 transcript:ENSMUST00000103348.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-70 description:immunoglobulin kappa chain variable 4-70 [Source:MGI Symbol;Acc:MGI:2686348] MDFQVQIFSFLLISASVILSRGQIVLTQSPAIMSASPGEKVTMTCSASSSISYMHWYQQK PGTSPKRWIYDTSKLASGVPARFSGSGSGTSYSLTISSMEAEDAATYYCHQRSSYP >ENSMUSP00000100150.1 pep:known chromosome:GRCm38:6:69283794:69284319:-1 gene:ENSMUSG00000076548.1 transcript:ENSMUST00000103349.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-69 description:immunoglobulin kappa variable 4-69 [Source:MGI Symbol;Acc:MGI:3648668] MDFQVQIFSFLLISASVIMSRGQILLTQSPAIMSASPGEKVTMTCSASSSVSYMHWYQQK PGSSPKPWIYDTSNLASGFPARFSGSGSGTSYSLIISSMEAEDAATYYCHQRSSYP >ENSMUSP00000100151.1 pep:known chromosome:GRCm38:6:69304834:69305405:-1 gene:ENSMUSG00000076549.2 transcript:ENSMUST00000103350.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-68 description:immunoglobulin kappa variable 4-68 [Source:MGI Symbol;Acc:MGI:2686265] MDFQVQIFSFLLMSASVIMSRGQIVLTQSPALMSASPGEKVTMTCSASSSVSYMYWYQQK PRSSPKPWIYLTSNLASGVPARFSGSGSGTSYSLTISSMEAEDAATYYCQQWSSNPP >ENSMUSP00000143313.1 pep:known chromosome:GRCm38:6:69377944:69378472:-1 gene:ENSMUSG00000076550.3 transcript:ENSMUST00000196595.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-63 description:immunoglobulin kappa variable 4-63 [Source:MGI Symbol;Acc:MGI:3645235] MDFQVQIFSFLLISASVIMSRGENVLTQSPAIMSASPGEKVTMTCSASSSVSYMHWYQQK SSTSPKLWIYDTSKLASGVPGRFSGSGSGNSYSLTISSMEAEDVATYYCFQGSGYPL >ENSMUSP00000100152.2 pep:known chromosome:GRCm38:6:69377944:69378229:-1 gene:ENSMUSG00000076550.3 transcript:ENSMUST00000103351.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-63 description:immunoglobulin kappa variable 4-63 [Source:MGI Symbol;Acc:MGI:3645235] ENVLTQSPAIMSASPGEKVTMTCSASSSVSYMHWYQQKSSTSPKLWIYDTSKLASGVPGR FSGSGSGNSYSLTISSMEAEDVATYYCFQGSGYPL >ENSMUSP00000142538.1 pep:known chromosome:GRCm38:6:69399812:69400344:-1 gene:ENSMUSG00000094262.2 transcript:ENSMUST00000198345.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-62 description:immunoglobulin kappa variable 4-62 [Source:MGI Symbol;Acc:MGI:3643587] MDFQVQIFSFLLISASAILSRGQIVLTQSPAIMSASPGEKVTMTCSASSSVSYMYWYQQK PGSSPRLWIYDTSNLVSGVPARFSGSRSGTSYSLTISSMEAEDAATYYCQQYSGYPS >ENSMUSP00000137031.1 pep:known chromosome:GRCm38:6:69399810:69400097:-1 gene:ENSMUSG00000094262.2 transcript:ENSMUST00000177795.1 gene_biotype:IG_V_gene transcript_biotype:protein_coding gene_symbol:Igkv4-62 description:immunoglobulin kappa variable 4-62 [Source:MGI Symbol;Acc:MGI:3643587] QIVLTQSPAIMSASPGEKVTMTCSASSSVSYMYWYQQKPGSSPRLWIYDTSNLVSGVPAR FSGSRSGTSYSLTISSMEAEDAATYYCQQYSGYPST >ENSMUSP00000100154.2 pep:known chromosome:GRCm38:6:69416895:69417178:-1 gene:ENSMUSG00000076552.3 transcript:ENSMUST00000103353.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-61 description:immunoglobulin kappa chain variable 4-61 [Source:MGI Symbol;Acc:MGI:4439819] QIVLTQSPAIMSASPGEKVTISCSASSSVSYMYWYQQKPGSSPKPWIYRTSNLASGVPAR FSGSGSGTSYSLTISSMEAEDAATYYCQQYHSYPP >ENSMUSP00000143767.1 pep:known chromosome:GRCm38:6:69416893:69417455:-1 gene:ENSMUSG00000076552.3 transcript:ENSMUST00000199160.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-61 description:immunoglobulin kappa chain variable 4-61 [Source:MGI Symbol;Acc:MGI:4439819] MDFQVQIFSFLLISASVIMSRGQIVLTQSPAIMSASPGEKVTISCSASSSVSYMYWYQQK PGSSPKPWIYRTSNLASGVPARFSGSGSGTSYSLTISSMEAEDAATYYCQQYHSYPP >ENSMUSP00000100155.1 pep:known chromosome:GRCm38:6:69438218:69438757:-1 gene:ENSMUSG00000094006.2 transcript:ENSMUST00000103354.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-59 description:immunoglobulin kappa variable 4-59 [Source:MGI Symbol;Acc:MGI:3646808] MDFQVQIFSFLLISASVIISRGQIVLTQSPAIMSASPGEKVTMTCSASSSVSYMHWYQQK SGTSPKRWIYDTSKLASGVPARFSGSGSGTSYSLTISSMEAEDAATYYCQQWSSNPP >ENSMUSP00000143579.1 pep:known chromosome:GRCm38:6:69500254:69500786:-1 gene:ENSMUSG00000095633.2 transcript:ENSMUST00000197448.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-58 description:immunoglobulin kappa variable 4-58 [Source:MGI Symbol;Acc:MGI:2685923] MDLQVQIISFLLISVTVIMSRGENVLTQSPAIMAASLGQKVTMTCSASSSVSSSYLHWYQ QKSGASPKPLIHRTSNLASGVPARFSGSGSGTSYSLTISSVEAEDDATYYCQQWSGYPF >ENSMUSP00000100156.2 pep:known chromosome:GRCm38:6:69500254:69500545:-1 gene:ENSMUSG00000095633.2 transcript:ENSMUST00000103355.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-58 description:immunoglobulin kappa variable 4-58 [Source:MGI Symbol;Acc:MGI:2685923] ENVLTQSPAIMAASLGQKVTMTCSASSSVSSSYLHWYQQKSGASPKPLIHRTSNLASGVP ARFSGSGSGTSYSLTISSVEAEDDATYYCQQWSGYPF >ENSMUSP00000100157.2 pep:known chromosome:GRCm38:6:69544359:69544907:-1 gene:ENSMUSG00000076555.3 transcript:ENSMUST00000103356.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-57-1 description:immunoglobulin kappa variable 4-57-1 [Source:MGI Symbol;Acc:MGI:2686264] MDFLVQIFSFLLISASVAMSRGENVLTQSPAIMSASPGEKVTMTCRASSSVSSSYLHWYQ QKSGASPKLWIYSTSNLASGVPARFSGSGSGTSYSLTISSVEAEDAATYYCQQYSGYPL >ENSMUSP00000100158.2 pep:known chromosome:GRCm38:6:69575975:69576500:-1 gene:ENSMUSG00000076556.3 transcript:ENSMUST00000103357.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-57 description:immunoglobulin kappa variable 4-57 [Source:MGI Symbol;Acc:MGI:2685035] MHFQVQIFSFLLISASVIMSRGQIVLTQSPAIMSASPGEKVTITCSASSSVSYMHWFQQK PGTSPKLWIYSTSNLASGVPARFSGSGSGTSYSLTISRMEAEDAATYYCQQRSSYPP >ENSMUSP00000100160.1 pep:known chromosome:GRCm38:6:69607275:69607828:-1 gene:ENSMUSG00000096833.2 transcript:ENSMUST00000103359.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-55 description:immunoglobulin kappa variable 4-55 [Source:MGI Symbol;Acc:MGI:2686370] MDFQVQIFSFLLISASVILSRGQIVLTQSPAIMSASPGEKVTMTCSASSSVSYMYWYQQK PGSSPRLLIYDTSNLASGVPVRFSGSGSGTSYSLTISRMEAEDAATYYCQQWSSYPP >ENSMUSP00000142893.1 pep:known chromosome:GRCm38:6:69631648:69632178:-1 gene:ENSMUSG00000094319.2 transcript:ENSMUST00000199437.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-54 description:immunoglobulin kappa chain variable 4-54 [Source:MGI Symbol;Acc:MGI:5009821] MDFQVQIFSFLLISASVIMSRGQIVLTQSPAILSASPGEKVTMTCSASSSVSYMYRYQQK PGSSPKPWIYGTSNLASGVPARFSGSGSGTSYSLTISSMEAEDAATYYCQQYHSYPP >ENSMUSP00000136516.1 pep:known chromosome:GRCm38:6:69631646:69631933:-1 gene:ENSMUSG00000094319.2 transcript:ENSMUST00000177697.1 gene_biotype:IG_V_gene transcript_biotype:protein_coding gene_symbol:Igkv4-54 description:immunoglobulin kappa chain variable 4-54 [Source:MGI Symbol;Acc:MGI:5009821] QIVLTQSPAILSASPGEKVTMTCSASSSVSYMYRYQQKPGSSPKPWIYGTSNLASGVPAR FSGSGSGTSYSLTISSMEAEDAATYYCQQYHSYPPT >ENSMUSP00000143120.1 pep:known chromosome:GRCm38:6:69648824:69649363:-1 gene:ENSMUSG00000095753.2 transcript:ENSMUST00000198328.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-53 description:immunoglobulin kappa variable 4-53 [Source:MGI Symbol;Acc:MGI:2686266] MDFHVQIFSFMLISVTVILSSGEIVLTQSPALMAASPGEKVTITCSVSSSISSSNLHWYQ QKSETSPKPWIYGTSNLASGVPVRFSGSGSGTSYSLTISSMEAEDAATYYCQQWSSYPL >ENSMUSP00000128717.1 pep:known chromosome:GRCm38:6:69648824:69649115:-1 gene:ENSMUSG00000095753.2 transcript:ENSMUST00000166380.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-53 description:immunoglobulin kappa variable 4-53 [Source:MGI Symbol;Acc:MGI:2686266] EIVLTQSPALMAASPGEKVTITCSVSSSISSSNLHWYQQKSETSPKPWIYGTSNLASGVP VRFSGSGSGTSYSLTISSMEAEDAATYYCQQWSSYPL >ENSMUSP00000100146.3 pep:known chromosome:GRCm38:6:69681406:69681975:-1 gene:ENSMUSG00000094993.2 transcript:ENSMUST00000103345.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-51 description:immunoglobulin kappa chain variable 4-51 [Source:MGI Symbol;Acc:MGI:5009829] MDLQVQIISFLLIIVTVIMSRGENVLTQSPAIMAASLGEKVTMTCSASSSVSSSYLHWYQ QKSGTSPKLWIYGTSNLASGVPARFSGSGAGISYSLTISSMEAENDATYYCQQWSGYPF >ENSMUSP00000100164.1 pep:known chromosome:GRCm38:6:69700767:69701287:-1 gene:ENSMUSG00000076562.1 transcript:ENSMUST00000103363.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv4-50 description:immunoglobulin kappa variable 4-50 [Source:MGI Symbol;Acc:MGI:2685915] MDFQVQIFSFLLISISVVMSRGENVLTQSPAIMSASLGEKVTMSCRASSSVNYMYWYQQK SDASPKLWIYYTSNLAPGVPARFSGSGSGNSYSLTISSMEGEDAATYYCQQFTSSPS >ENSMUSP00000100165.1 pep:known chromosome:GRCm38:6:69726573:69727138:-1 gene:ENSMUSG00000076563.2 transcript:ENSMUST00000103364.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv5-48 description:immunoglobulin kappa variable 5-48 [Source:MGI Symbol;Acc:MGI:3642817] MVSTPQFLVFLLFWIPASRGDILLTQSPAILSVSPGERVSFSCRASQSIGTSIHWYQQRT NGSPRLLIKYASESISGIPSRFSGSGSGTDFTLSINSVESEDIADYYCQQSNSWPT >ENSMUSP00000100166.1 pep:known chromosome:GRCm38:6:69764523:69765022:-1 gene:ENSMUSG00000076564.2 transcript:ENSMUST00000103365.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv12-46 description:immunoglobulin kappa variable 12-46 [Source:MGI Symbol;Acc:MGI:4439773] MSVPTQVLGLLLLWLTDARCDIQMTQSPASLSVSVGETVTITCRASENIYSNLAWYQQKQ GKSPQLLVYAATNLADGVPSRFSGSGSGTQYSLKINSLQSEDFGSYYCQHFWGTPP >ENSMUSP00000100167.1 pep:known chromosome:GRCm38:6:69775750:69776344:-1 gene:ENSMUSG00000094094.2 transcript:ENSMUST00000103366.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv5-45 description:immunoglobulin kappa chain variable 5-45 [Source:MGI Symbol;Acc:MGI:4439774] MVFTPQILGLMLFWISASRGDIVLTQSPATLSVTPGDRVSLSCRASQSISNYLHWYQQKS HESPRLLIKYASQSISGIPSRFSGSGSGTDFTLSINSVETEDFGMYFCQQSNSWP >ENSMUSP00000100168.1 pep:known chromosome:GRCm38:6:69814631:69815154:-1 gene:ENSMUSG00000096422.2 transcript:ENSMUST00000103367.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv12-44 description:immunoglobulin kappa variable 12-44 [Source:MGI Symbol;Acc:MGI:4439775] MSVPTQVLGLLLLWLTGARCDIQMTQSPASLSASVGETVTITCRASENIYSYLAWYQQKQ GKSPQLLVYNAKTLAEGVPSRFSGSGSGTQFSLKINSLQPEDFGSYYCQHHYGTPP >ENSMUSP00000100169.1 pep:known chromosome:GRCm38:6:69823355:69823943:-1 gene:ENSMUSG00000094433.2 transcript:ENSMUST00000103368.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv5-43 description:immunoglobulin kappa chain variable 5-43 [Source:MGI Symbol;Acc:MGI:4943320] MVFTPQILGLMLFWISASRGDIVLTQSPATLSVTPGDSVSLSCRASQSISNNLHWYQQKS HESPRLLIKYASQSISGIPSRFSGSGSGTDFTLSINSVETEDFGMYFCQQSNSWP >ENSMUSP00000100170.1 pep:known chromosome:GRCm38:6:69858420:69858884:-1 gene:ENSMUSG00000095007.1 transcript:ENSMUST00000103369.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv12-41 description:immunoglobulin kappa chain variable 12-41 [Source:MGI Symbol;Acc:MGI:4439772] MSVLTQVLALLLLWLTGARCDIQMTQSPASLSASVGETVTITCRASGNIHNYLAWYQQKQ GKSPQLLVYNAKTLADGVPSRFSGSGSGTQYSLKINSLQPEDFGSYYCQHFWSTPP >ENSMUSP00000142521.1 pep:known chromosome:GRCm38:6:69900424:69900977:-1 gene:ENSMUSG00000076569.3 transcript:ENSMUST00000197290.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv5-39 description:immunoglobulin kappa variable 5-39 [Source:MGI Symbol;Acc:MGI:2686255] MVSTSQLLGLLLFWTSASRCDIVMTQSPATLSVTPGDRVSLSCRASQSISDYLHWYQQKS HESPRLLIKYASQSISGIPSRFSGSGSGSDFTLSINSVEPEDVGVYYCQNGHSFPP >ENSMUSP00000100171.2 pep:known chromosome:GRCm38:6:69900424:69900710:-1 gene:ENSMUSG00000076569.3 transcript:ENSMUST00000103370.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv5-39 description:immunoglobulin kappa variable 5-39 [Source:MGI Symbol;Acc:MGI:2686255] DIVMTQSPATLSVTPGDRVSLSCRASQSISDYLHWYQQKSHESPRLLIKYASQSISGIPS RFSGSGSGSDFTLSINSVEPEDVGVYYCQNGHSFPP >ENSMUSP00000142787.1 pep:known chromosome:GRCm38:6:69943186:69943648:-1 gene:ENSMUSG00000076570.6 transcript:ENSMUST00000198880.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv12-38 description:immunoglobulin kappa chain variable 12-38 [Source:MGI Symbol;Acc:MGI:4439614] MSVPTQLLGLLLLWLTDAGCDIQMTQSPASLAASVGETVTITCRASENIYYSLAWYQQKQ GKSPQLLIYNANSLEDGVPSRFSGSGSGTQYSMKINSMQPEDTATYFCKQAYDVPP >ENSMUSP00000100172.2 pep:known chromosome:GRCm38:6:69943186:69943648:-1 gene:ENSMUSG00000076570.6 transcript:ENSMUST00000103371.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv12-38 description:immunoglobulin kappa chain variable 12-38 [Source:MGI Symbol;Acc:MGI:4439614] MSVPTQLLGLLLLWLTAGCDIQMTQSPASLAASVGETVTITCRASENIYYSLAWYQQKQG KSPQLLIYNANSLEDGVPSRFSGSGSGTQYSMKINSMQPEDTATYFCKQAYDVPP >ENSMUSP00000100173.1 pep:known chromosome:GRCm38:6:69963312:69963873:-1 gene:ENSMUSG00000076571.2 transcript:ENSMUST00000103372.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv5-37 description:immunoglobulin kappa variable 5-37 [Source:MGI Symbol;Acc:MGI:2686256] MVFTPHILGLLLFWISASTGDILLTQSPATLSVTPGETVSLSCRASQSIYKNLHWYQQKS HRSPRLLIKYASDSISGIPSRFTGSGSGTDYTLSINSVKPEDEGIYYCLQGYSTPS >ENSMUSP00000100103.1 pep:known chromosome:GRCm38:6:67555497:67556216:1 gene:ENSMUSG00000076501.2 transcript:ENSMUST00000103302.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv2-137 description:immunoglobulin kappa chain variable 2-137 [Source:MGI Symbol;Acc:MGI:4439879] MRCLAEFLGLLVLWIPGAIGDIVMTQAAPSVPVTPGESVSISCRSSKSLLHSNGNTYLYW FLQRPGQSPQLLIYRMSNLASGVPDRFSGSGSGTAFTLRISRVEAEDVGVYYCMQHLEYP >ENSMUSP00000100104.1 pep:known chromosome:GRCm38:6:67609713:67610508:1 gene:ENSMUSG00000096336.2 transcript:ENSMUST00000103303.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-135 description:immunoglobulin kappa variable 1-135 [Source:MGI Symbol;Acc:MGI:3819952] MMSPAQFLFLLVLWIRETNGDVVMTQTPLTLSVTIGQPASISCKSSQSLLDSDGKTYLNW LLQRPGQSPKRLIYLVSKLDSGVPDRFTGSGSGTDFTLKISRVEAEDLGVYYCWQGTHFP >ENSMUSP00000142735.1 pep:known chromosome:GRCm38:6:69992465:69992977:-1 gene:ENSMUSG00000076572.6 transcript:ENSMUST00000200160.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv18-36 description:immunoglobulin kappa chain variable 18-36 [Source:MGI Symbol;Acc:MGI:4439613] METPASFLCLLLLWTTAVTGETTQAPASLSFSLGETATLSCRSSESVGSYLAWYQQKAEQ VPRLLIHSASTRAGGVPVRFSGTGSGTDFTLTISSLEPEDAAVYYCQPFKSWS >ENSMUSP00000100174.2 pep:known chromosome:GRCm38:6:69992465:69992977:-1 gene:ENSMUSG00000076572.6 transcript:ENSMUST00000103373.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv18-36 description:immunoglobulin kappa chain variable 18-36 [Source:MGI Symbol;Acc:MGI:4439613] METPASFLCLLLLWTTAAVTGETTQAPASLSFSLGETATLSCRSSESVGSYLAWYQQKAE QVPRLLIHSASTRAGGVPVRFSGTGSGTDFTLTISSLEPEDAAVYYCQPFKSWS >ENSMUSP00000142338.1 pep:known chromosome:GRCm38:6:70010949:70011673:-1 gene:ENSMUSG00000076573.6 transcript:ENSMUST00000197820.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-35 description:immunoglobulin kappa variable 1-35 [Source:MGI Symbol;Acc:MGI:4439612] MMSPVHSIFILLLWIVGISGDVVMTQTPLTLSATIGQSASVSCRSSQSLLHSNGNTYLNW FVQRPGPSPQLLIYGVFEQESGVPDRFSGSGSGTDFTLKISRVEAEDLGVYYCMQATYEP P >ENSMUSP00000100175.2 pep:known chromosome:GRCm38:6:70010949:70011673:-1 gene:ENSMUSG00000076573.6 transcript:ENSMUST00000103374.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-35 description:immunoglobulin kappa variable 1-35 [Source:MGI Symbol;Acc:MGI:4439612] MMSPVHSIFILLLWIVVSGDVVMTQTPLTLSATIGQSASVSCRSSQSLLHSNGNTYLNWF VQRPGPSPQLLIYGVFEQESGVPDRFSGSGSGTDFTLKISRVEAEDLGVYYCMQATYEPP >ENSMUSP00000142499.1 pep:known chromosome:GRCm38:6:70044112:70044678:-1 gene:ENSMUSG00000104769.1 transcript:ENSMUST00000196959.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-34 description:immunoglobulin kappa variable 8-34 [Source:MGI Symbol;Acc:MGI:4949914] MDSQAQVLMLLLLSVSGTCGDILMTQSPSSLTVSAGEKVTMSCKSSQSLLASGNQNNYLA WHQQKPGRSPKMLIIWASTRVSGVPDRFIGSGSGTDFTLTINSVQAEDLAVYYCQQSYSA PT >ENSMUSP00000142396.1 pep:known chromosome:GRCm38:6:70058632:70059199:-1 gene:ENSMUSG00000076575.6 transcript:ENSMUST00000197429.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv7-33 description:immunoglobulin kappa chain variable 7-33 [Source:MGI Symbol;Acc:MGI:3577282] MEFQTQVLMSLLLCMSGACADIVMTQSPTFLAVTASKKVTISCTASESLYSSKHKVHYLA WYQKKPEQSPKLLIYGASNRYIGVPDRFTGSGSGTDFTLTISSVQVEDLTHYYCAQFYSY PL >ENSMUSP00000100177.2 pep:known chromosome:GRCm38:6:70058632:70059199:-1 gene:ENSMUSG00000076575.6 transcript:ENSMUST00000103376.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv7-33 description:immunoglobulin kappa chain variable 7-33 [Source:MGI Symbol;Acc:MGI:3577282] MEFQTQVLMSLLLCMSACADIVMTQSPTFLAVTASKKVTISCTASESLYSSKHKVHYLAW YQKKPEQSPKLLIYGASNRYIGVPDRFTGSGSGTDFTLTISSVQVEDLTHYYCAQFYSYP L >ENSMUSP00000100178.1 pep:known chromosome:GRCm38:6:70074024:70074603:-1 gene:ENSMUSG00000076576.2 transcript:ENSMUST00000103377.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-32 description:immunoglobulin kappa variable 6-32 [Source:MGI Symbol;Acc:MGI:3641634] MKSQTQVFVFLLLCVSGAHGSIVMTQTPKFLLVSAGDRVTITCKASQSVSNDVAWYQQKP GQSPKLLIYYASNRYTGVPDRFTGSGYGTDFTFTISTVQAEDLAVYFCQQDYSSPP >ENSMUSP00000100179.1 pep:known chromosome:GRCm38:6:70117061:70117620:-1 gene:ENSMUSG00000076577.2 transcript:ENSMUST00000103378.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-30 description:immunoglobulin kappa chain variable 8-30 [Source:MGI Symbol;Acc:MGI:3642250] MDSQAQVLMLLLLWVSGTCGDIVMSQSPSSLAVSVGEKVTMSCKSSQSLLYSSNQKNYLA WYQQKPGQSPKLLIYWASTRESGVPDRFTGSGSGTDFTLTISSVKAEDLAVYYCQQYYSY PP >ENSMUSP00000100105.1 pep:known chromosome:GRCm38:6:67724902:67725661:1 gene:ENSMUSG00000094491.2 transcript:ENSMUST00000103304.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-133 description:immunoglobulin kappa variable 1-133 [Source:MGI Symbol;Acc:MGI:3648380] MMSPAQFLFLLVLWIQETNGDVVMTQTPLTLSVTIGQPASISCKSSQSLLYSNGKTYLNW LLQRPGQSPKRLIYLVSKLDSGVPDRFTGSGSGTDFTLKISRVEAEDLGVYYCVQGTHFP >ENSMUSP00000100106.1 pep:known chromosome:GRCm38:6:67759700:67760413:1 gene:ENSMUSG00000096580.1 transcript:ENSMUST00000103305.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-132 description:immunoglobulin kappa variable 1-132 [Source:MGI Symbol;Acc:MGI:3648800] MMSPVQFLFLLMLWIQETNGDVVMTQTPLSLSVTIGQPASISCKSSQSLLYSNGKTYLNW LQQRPGQAPKHLMYQVSKLDPGIPDRFSGSGSETDFTLKISRVEAEDLGVYYCLQGTYYP >ENSMUSP00000142786.1 pep:known chromosome:GRCm38:6:70138462:70139110:-1 gene:ENSMUSG00000076578.3 transcript:ENSMUST00000197371.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-29 description:immunoglobulin kappa chain variable 6-29 [Source:MGI Symbol;Acc:MGI:4439616] MESQTLVFISILLWLYGADGNIVMTQSPKSMSMSVGERVTLSCKASENVGTYVSWYQQKP EQSPKLLIYGASNRYPGVPDRFTGSGSATDFTLTISSLQAEDLADYHCGQGYSYLP >ENSMUSP00000100180.2 pep:known chromosome:GRCm38:6:70138462:70139082:-1 gene:ENSMUSG00000076578.3 transcript:ENSMUST00000103379.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-29 description:immunoglobulin kappa chain variable 6-29 [Source:MGI Symbol;Acc:MGI:4439616] MESQTLVFISILLWLYADGNIVMTQSPKSMSMSVGERVTLSCKASENVGTYVSWYQQKPE QSPKLLIYGASNRYPGVPDRFTGSGSATDFTLTISSLQAEDLADYHCGQGYSYLP >ENSMUSP00000100107.1 pep:known chromosome:GRCm38:6:67766036:67766772:-1 gene:ENSMUSG00000076505.1 transcript:ENSMUST00000103306.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-131 description:immunoglobulin kappa variable 1-131 [Source:MGI Symbol;Acc:MGI:3645551] MMSPVQFLFLLMLWIQETNGDVVMTQTPLTLSVTIGQPASISCKSSQSLLHSNGKTYLNW LQQRPGQAPKRLFYLVSKLDPGIPDSFSGSGSETDFTLKISRVEAEDLGVYYCLQGTHFP L >ENSMUSP00000142628.1 pep:known chromosome:GRCm38:6:70143593:70144166:-1 gene:ENSMUSG00000094356.2 transcript:ENSMUST00000197525.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-28 description:immunoglobulin kappa variable 8-28 [Source:MGI Symbol;Acc:MGI:3642251] MESQTQVLISLLFWVSGTCGDIVMTQSPSSLSVSAGEKVTMSCKSSQSLLNSGNQKNYLA WYQQKPGQPPKLLIYGASTRESGVPDRFTGSGSGTDFTLTISSVQAEDLAVYYCQNDHSY PP >ENSMUSP00000100181.2 pep:known chromosome:GRCm38:6:70143593:70143897:-1 gene:ENSMUSG00000094356.2 transcript:ENSMUST00000103380.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-28 description:immunoglobulin kappa variable 8-28 [Source:MGI Symbol;Acc:MGI:3642251] DIVMTQSPSSLSVSAGEKVTMSCKSSQSLLNSGNQKNYLAWYQQKPGQPPKLLIYGASTR ESGVPDRFTGSGSGTDFTLTISSVQAEDLAVYYCQNDHSYPP >ENSMUSP00000143018.1 pep:known chromosome:GRCm38:6:67791046:67791511:1 gene:ENSMUSG00000096461.5 transcript:ENSMUST00000196006.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv14-130 description:immunoglobulin kappa variable 14-130 [Source:MGI Symbol;Acc:MGI:3645770] MDMRAPAQFLGILLLWFPGARCEIQMTQSPSSMSASLGDRITITCQATQDIVKNLNWYQQ KPGKPPSFLIYYATELAEGVPSRFSGSGSGSDYSLTISNLESEDFADYYCLQFYEFPP >ENSMUSP00000100108.2 pep:known chromosome:GRCm38:6:67791046:67791511:1 gene:ENSMUSG00000096461.5 transcript:ENSMUST00000103307.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv14-130 description:immunoglobulin kappa variable 14-130 [Source:MGI Symbol;Acc:MGI:3645770] MDMRAPAQFLGILLLWFPARCEIQMTQSPSSMSASLGDRITITCQATQDIVKNLNWYQQK PGKPPSFLIYYATELAEGVPSRFSGSGSGSDYSLTISNLESEDFADYYCLQFYEFPP >ENSMUSP00000142382.1 pep:known chromosome:GRCm38:6:67839793:67840266:1 gene:ENSMUSG00000093906.5 transcript:ENSMUST00000200578.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv9-129 description:immunoglobulin kappa variable 9-129 [Source:MGI Symbol;Acc:MGI:3525017] MDMRAPAQVFGFLLLWFPGARCDIQMTQSPSSLSASLGERVSLTCRASQDIHGYLNLFQQ KPGETIKHLIYETSNLDSGVPKRFSGSRSGSDYSLIIGSLESEDFADYYCLQYASSPP >ENSMUSP00000100109.2 pep:known chromosome:GRCm38:6:67839793:67840266:1 gene:ENSMUSG00000093906.5 transcript:ENSMUST00000103308.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv9-129 description:immunoglobulin kappa variable 9-129 [Source:MGI Symbol;Acc:MGI:3525017] MDMRAPAQVFGFLLLWFPARCDIQMTQSPSSLSASLGERVSLTCRASQDIHGYLNLFQQK PGETIKHLIYETSNLDSGVPKRFSGSRSGSDYSLIIGSLESEDFADYYCLQYASSPP >ENSMUSP00000142437.1 pep:known chromosome:GRCm38:6:67861153:67861659:1 gene:ENSMUSG00000076508.3 transcript:ENSMUST00000200586.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv17-127 description:immunoglobulin kappa variable 17-127 [Source:MGI Symbol;Acc:MGI:3646891] MTMLSLAPLLSLLLLCVSDSRAETTVTQSPASLSVATGEKVTIRCITSTDIDDDMNWYQQ KPGEPPKLLISEGNTLRPGVPSRFSSSGYGTDFVFTIENTLSEDVADYYCLQSDNMPL >ENSMUSP00000100110.2 pep:known chromosome:GRCm38:6:67861159:67861659:1 gene:ENSMUSG00000076508.3 transcript:ENSMUST00000103309.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv17-127 description:immunoglobulin kappa variable 17-127 [Source:MGI Symbol;Acc:MGI:3646891] MTMLSLAPLLSLLLLCVSETTVTQSPASLSVATGEKVTIRCITSTDIDDDMNWYQQKPGE PPKLLISEGNTLRPGVPSRFSSSGYGTDFVFTIENTLSEDVADYYCLQSDNMPL >ENSMUSP00000100111.1 pep:known chromosome:GRCm38:6:67896172:67896642:1 gene:ENSMUSG00000094345.2 transcript:ENSMUST00000103310.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv14-126 description:immunoglobulin kappa variable 14-126 [Source:MGI Symbol;Acc:MGI:3643131] MDMRAPAQFFGILLLWFPGIRCDIKMTQSPSSMYASLGERVTITCKASQDIKSYLSWYQQ KPWKSPKTLIYYATSLADGVPSRFSGSGSGQDYSLTISSLESDDTATYYCLQHGESPP >ENSMUSP00000142805.1 pep:known chromosome:GRCm38:6:67913573:67914052:1 gene:ENSMUSG00000095737.5 transcript:ENSMUST00000197406.4 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv11-125 description:immunoglobulin kappa variable 11-125 [Source:MGI Symbol;Acc:MGI:3642338] MNTRAPAEFLGFLLLWFLGARCDVQMIQSPSSLSASLGDIVTMTCQASQGTSINLNWFQQ KPGKAPKLLIYGASNLEDGVPSRFSGSRYGTDFTLTISSLEDEDMATYFCLQHSYLPP >ENSMUSP00000100112.2 pep:known chromosome:GRCm38:6:67913573:67914052:1 gene:ENSMUSG00000095737.5 transcript:ENSMUST00000103311.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv11-125 description:immunoglobulin kappa variable 11-125 [Source:MGI Symbol;Acc:MGI:3642338] MNTRAPAEFLGFLLLWFLARCDVQMIQSPSSLSASLGDIVTMTCQASQGTSINLNWFQQK PGKAPKLLIYGASNLEDGVPSRFSGSRYGTDFTLTISSLEDEDMATYFCLQHSYLPP >ENSMUSP00000142468.1 pep:known chromosome:GRCm38:6:67942074:67942540:-1 gene:ENSMUSG00000096632.2 transcript:ENSMUST00000196768.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv9-124 description:immunoglobulin kappa chain variable 9-124 [Source:MGI Symbol;Acc:MGI:3646892] MDMRVPAHVFGFLLLWFPGTRCDIQMTQSPSSLSASLGERVSLTCRASQEISGYLSWLQQ KPDGTIKRLIYAASTLDSGVPKRFSGSRSGSDYSLTISSLESEDFADYYCLQYASYPP >ENSMUSP00000100113.2 pep:known chromosome:GRCm38:6:67942074:67942360:-1 gene:ENSMUSG00000096632.2 transcript:ENSMUST00000103312.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv9-124 description:immunoglobulin kappa chain variable 9-124 [Source:MGI Symbol;Acc:MGI:3646892] DIQMTQSPSSLSASLGERVSLTCRASQEISGYLSWLQQKPDGTIKRLIYAASTLDSGVPK RFSGSRSGSDYSLTISSLESEDFADYYCLQYASYPP >ENSMUSP00000100114.1 pep:known chromosome:GRCm38:6:67954228:67954714:-1 gene:ENSMUSG00000076512.2 transcript:ENSMUST00000103313.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv9-123 description:immunoglobulin kappa variable 9-123 [Source:MGI Symbol;Acc:MGI:3643848] MDIRAPAQFLGILLLWFPGARCDIQMIQSPSSMFASLGDRVSLSCRASQGIRGNLDWYQQ KPGGTIKLLIYSTSNLNSGVPSRFSGSGSGSDYSLTISSLESEDFADYYCLQRNAYPL >ENSMUSP00000100115.1 pep:known chromosome:GRCm38:6:68016718:68017488:1 gene:ENSMUSG00000095497.2 transcript:ENSMUST00000103314.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv1-122 description:immunoglobulin kappa chain variable 1-122 [Source:MGI Symbol;Acc:MGI:4439722] MKLPVRLLVLMFWIPASSSDAVMTQTPLSLPVSLGDQASISCRSSQSLENSNGNTYLNWY LQKPGQSPQLLIYRVSNRFSGVLDRFSGSGSGTDFTLKISRVEAEDLGVYFCLQVTHVPP >ENSMUSP00000142998.1 pep:known chromosome:GRCm38:6:70171809:70172270:-1 gene:ENSMUSG00000076580.3 transcript:ENSMUST00000197272.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-27 description:immunoglobulin kappa chain variable 8-27 [Source:MGI Symbol;Acc:MGI:4439868] MESQTQVFLSLLLWVSGTCGNIMMTQSPSSLAVSAGEKVTMSCKSSQSVLYSSNQKNYLA WYQQKPGQSPKLLIYWASTRESGVPDRFTGSGSGTDFTLTISSVQAEDLAVYYCHQYLSS >ENSMUSP00000100182.2 pep:known chromosome:GRCm38:6:70171809:70172108:-1 gene:ENSMUSG00000076580.3 transcript:ENSMUST00000103381.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-27 description:immunoglobulin kappa chain variable 8-27 [Source:MGI Symbol;Acc:MGI:4439868] NIMMTQSPSSLAVSAGEKVTMSCKSSQSVLYSSNQKNYLAWYQQKPGQSPKLLIYWASTR ESGVPDRFTGSGSGTDFTLTISSVQAEDLAVYYCHQYLSS >ENSMUSP00000143096.1 pep:known chromosome:GRCm38:6:68036812:68037318:1 gene:ENSMUSG00000076514.3 transcript:ENSMUST00000197515.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv17-121 description:immunoglobulin kappa variable 17-121 [Source:MGI Symbol;Acc:MGI:3647671] MTMFSLALLLSLLLLCVSDSRAETTVTQSPASLSMAIGEKVTIRCITSTDIDDDMNWYQQ KPGEPPKLLISEGNTLRPGVPSRFSSSGYGTDFVFTIENMLSEDVADYYCLQSDNLPL >ENSMUSP00000100116.2 pep:known chromosome:GRCm38:6:68036818:68037318:1 gene:ENSMUSG00000076514.3 transcript:ENSMUST00000103315.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv17-121 description:immunoglobulin kappa variable 17-121 [Source:MGI Symbol;Acc:MGI:3647671] MTMFSLALLLSLLLLCVSGKAETTVTQSPASLSMAIGEKVTIRCITSTDIDDDMNWYQQK PGEPPKLLISEGNTLRPGVPSRFSSSGYGTDFVFTIENMLSEDVADYYCLQSDNLPL >ENSMUSP00000100117.1 pep:known chromosome:GRCm38:6:68049983:68050456:1 gene:ENSMUSG00000094872.1 transcript:ENSMUST00000103316.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv9-120 description:immunoglobulin kappa chain variable 9-120 [Source:MGI Symbol;Acc:MGI:3647784] MDMRAPAQIFGFLLLLFPGTRCDIQMTQSPSSLSASLGERVSLTCRASQDIGSSLNWLQQ EPDGTIKRLIYATSSLDSGVPKRFSGSRSGSDYSLTISSLESEDFVDYYCLQYASSPP >ENSMUSP00000100183.1 pep:known chromosome:GRCm38:6:70193228:70193797:1 gene:ENSMUSG00000076581.1 transcript:ENSMUST00000103382.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-26 description:immunoglobulin kappa variable 8-26 [Source:MGI Symbol;Acc:MGI:4439869] MESQNHVLMFLLLWVSDTCGDIVMTQSPSSLAVTAGEKVTMSCKSSQSLLWSVNQKNYLS WYQQKQRQPPKLLIYGASIRESWVPDRFTGSGSGTDFTLTISNVHAEDLAVYYCQHNHGS FLPP >ENSMUSP00000100184.1 pep:known chromosome:GRCm38:6:70215400:70215957:1 gene:ENSMUSG00000094930.2 transcript:ENSMUST00000103383.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-25 description:immunoglobulin kappa chain variable 6-25 [Source:MGI Symbol;Acc:MGI:4439867] MESQIQAFVFVFLWLSGVDGDIVMTQSHKFMSTSVGDRVSITCKASQDVSTAVAWYQQKP GQSPKLLIYWASTRHTGVPDRFTGSGSGTDYTLTISSVQAEDLALYYCQQHYSTPP >ENSMUSP00000100185.1 pep:known chromosome:GRCm38:6:70216858:70217421:-1 gene:ENSMUSG00000076583.1 transcript:ENSMUST00000103384.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-24 description:immunoglobulin kappa chain variable 8-24 [Source:MGI Symbol;Acc:MGI:4947958] MESQTQVLMFLLLWVSGACADIVMTQSPSSLAMSVGQKVTMSCKSSQSLLNSSNQKNYLA WYQQKPGQSPKLLVYFASTRESGVPDRFIGSGSGTDFTLTISSVQAEDLADYFCQQHYST PP >ENSMUSP00000143685.1 pep:known chromosome:GRCm38:6:70240427:70240972:-1 gene:ENSMUSG00000105432.1 transcript:ENSMUST00000196275.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Gm43218 description:predicted gene 43218 [Source:MGI Symbol;Acc:MGI:5663355] MVSETHVLIFLLLWVSGACGEIVLTVSAGERVTISCKSNQNLLWSGNQRYCLVWHQWKPG QTPTPLITWTSDRYSGVPDRFIGSGSVTDFTLTISSVQAEDVAVYFCQQHLHIPP >ENSMUSP00000100187.1 pep:known chromosome:GRCm38:6:70260409:70260955:-1 gene:ENSMUSG00000095630.2 transcript:ENSMUST00000103386.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-23 description:immunoglobulin kappa variable 6-23 [Source:MGI Symbol;Acc:MGI:3711980] METHSQVFVYMLLWLSGVEGDIVMTQSHKFMSTSVGDRVSITCKASQDVGTAVAWYQQKP GQSPKLLIYWASTRHTGVPDRFTGSGSGTDFTLTISNVQSEDLADYFCQQYSSYPL >ENSMUSP00000100188.1 pep:known chromosome:GRCm38:6:70314895:70315472:-1 gene:ENSMUSG00000076586.2 transcript:ENSMUST00000103387.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-21 description:immunoglobulin kappa variable 8-21 [Source:MGI Symbol;Acc:MGI:1330840] MDSQAQVLILLLLWVSGTCGDIVMSQSPSSLAVSAGEKVTMSCKSSQSLLNSRTRKNYLA WYQQKPGQSPKLLIYWASTRESGVPDRFTGSGSGTDFTLTISSVQAEDLAVYYCKQSYNL P >ENSMUSP00000100189.2 pep:known chromosome:GRCm38:6:70335841:70336507:-1 gene:ENSMUSG00000076587.3 transcript:ENSMUST00000103388.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-20 description:immunoglobulin kappa variable 6-20 [Source:MGI Symbol;Acc:MGI:1330836] MESQTLVFISILLWLYGADGNIVMTQSPKSMSMSVGERVTLSCKASENVGTYVSWYQQKP EQSPKLLIYGASNRYTGVPDRFTGSGSATDFTLTISSVQAEDLADYHCGQSYSYPP >ENSMUSP00000143247.1 pep:known chromosome:GRCm38:6:70340876:70341453:-1 gene:ENSMUSG00000096594.2 transcript:ENSMUST00000196599.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-19 description:immunoglobulin kappa variable 8-19 [Source:MGI Symbol;Acc:MGI:1330844] MESQTQVLMSLLFWVSGTCGDIVMTQSPSSLTVTAGEKVTMSCKSSQSLLNSGNQKNYLT WYQQKPGQPPKLLIYWASTRESGVPDRFTGSGSGTDFTLTISSVQAEDLAVYYCQNDYSY PP >ENSMUSP00000100190.2 pep:known chromosome:GRCm38:6:70340876:70341180:-1 gene:ENSMUSG00000096594.2 transcript:ENSMUST00000103389.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-19 description:immunoglobulin kappa variable 8-19 [Source:MGI Symbol;Acc:MGI:1330844] DIVMTQSPSSLTVTAGEKVTMSCKSSQSLLNSGNQKNYLTWYQQKPGQPPKLLIYWASTR ESGVPDRFTGSGSGTDFTLTISSVQAEDLAVYYCQNDYSYPP >ENSMUSP00000100191.1 pep:known chromosome:GRCm38:6:70355852:70356445:1 gene:ENSMUSG00000076589.2 transcript:ENSMUST00000103390.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-18 description:immunoglobulin kappa variable 8-18 [Source:MGI Symbol;Acc:MGI:1330845] MESQTHVLMFLLLWVSDTCGDIVMTQSPSSLAVTAGEKVTMRCKSSQSLLWSVNQNNYLS WYQQKQGQPPKLLIYGASIRESWVPDRFTGSGSGTDFTLTISNVHAEDLAVYYCQHNHGS FLP >ENSMUSP00000100192.2 pep:known chromosome:GRCm38:6:70371439:70371993:1 gene:ENSMUSG00000095794.2 transcript:ENSMUST00000103391.3 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-17 description:immunoglobulin kappa variable 6-17 [Source:MGI Symbol;Acc:MGI:1330833] MESQIQVFVFVFLWLSGVDGDIVMTQSHKFMSTSVGDRVSITCKASQDVSTAVAWYQQKP GQSPKLLIYSASYRYTGVPDRFTGSGSGTDFTFTISSVQAEDLAVYYCQQHYSTPP >ENSMUSP00000142364.1 pep:known chromosome:GRCm38:6:70386672:70387252:-1 gene:ENSMUSG00000076591.3 transcript:ENSMUST00000195945.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-16 description:immunoglobulin kappa variable 8-16 [Source:MGI Symbol;Acc:MGI:1330843] MVSETHVLIFLLLWVSGACGEIVLTQSIPSLTVSAGERVTISCKSNQNLLWSGNQRYCLV WHQWKPGQTPTPLITWTSDRYSGVPDRFIGSGSVTDFTLTISSVQAEDVAVYFCQQHLHI PP >ENSMUSP00000100193.2 pep:known chromosome:GRCm38:6:70386672:70387238:-1 gene:ENSMUSG00000076591.3 transcript:ENSMUST00000103392.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv8-16 description:immunoglobulin kappa variable 8-16 [Source:MGI Symbol;Acc:MGI:1330843] MVSETHVLIFLLLWVSACGEIVLTQSIPSLTVSAGERVTISCKSNQNLLWSGNQRYCLVW HQWKPGQTPTPLITWTSDRYSGVPDRFIGSGSVTDFTLTISSVQAEDVAVYFCQQHLHIP P >ENSMUSP00000100194.1 pep:known chromosome:GRCm38:6:70406469:70406992:-1 gene:ENSMUSG00000094797.1 transcript:ENSMUST00000103393.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-15 description:immunoglobulin kappa variable 6-15 [Source:MGI Symbol;Acc:MGI:1330831] MESQTQVFVYMLLWLSGVDGDIVMTQSQKFMSTSVGDRVSVTCKASQNVGTNVAWYQQKP GQSPKALIYSASYRYSGVPDRFTGSGSGTDFTLTISNVQSEDLAEYFCQQYNSYPL >ENSMUSP00000100195.1 pep:known chromosome:GRCm38:6:70434952:70435476:-1 gene:ENSMUSG00000096844.1 transcript:ENSMUST00000103394.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-14 description:immunoglobulin kappa variable 6-14 [Source:MGI Symbol;Acc:MGI:1330830] MEFQTQVFVFVLLWLSGVDGDIVMTQSQKFMSTSVGDRVSITCKASQNVRTAVAWYQQKP GQSPKALIYLASNRHTGVPDRFTGSGSGTDFTLTISNVQSEDLADYFCLQHWNYPL >ENSMUSP00000143746.1 pep:known chromosome:GRCm38:6:70457501:70458036:-1 gene:ENSMUSG00000076594.2 transcript:ENSMUST00000198184.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-13 description:immunoglobulin kappa variable 6-13 [Source:MGI Symbol;Acc:MGI:1330829] MGFKMESHTQAFVFAFLWLSGVDGDIVMTQSQKFMSTSVGDRVSITCKASQNVGTAVAWY QQKPGQSPKLLIYSASNRYTGVPDRFTGSGSGTDFTLTISNMQSEDLADYFCQQYSSYPL >ENSMUSP00000100196.1 pep:known chromosome:GRCm38:6:70457501:70457787:-1 gene:ENSMUSG00000076594.2 transcript:ENSMUST00000103395.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv6-13 description:immunoglobulin kappa variable 6-13 [Source:MGI Symbol;Acc:MGI:1330829] DIVMTQSQKFMSTSVGDRVSITCKASQNVGTAVAWYQQKPGQSPKLLIYSASNRYTGVPD RFTGSGSGTDFTLTISNMQSEDLADYFCQQYSSYPL >ENSMUSP00000100197.1 pep:known chromosome:GRCm38:6:70518250:70518849:1 gene:ENSMUSG00000094117.1 transcript:ENSMUST00000103396.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-12 description:immunoglobulin kappa variable 3-12 [Source:MGI Symbol;Acc:MGI:1330815] METDTLLLWVLLLWVPGSTGDIVLTQSPASLAVSLGQRATISCRASKSVSTSGYSYMHWY QQKPGQPPKLLIYLASNLESGVPARFSGSGSGTDFTLNIHPVEEEDAATYYCQHSRELPP >ENSMUSP00000143628.1 pep:known chromosome:GRCm38:6:70572633:70573230:1 gene:ENSMUSG00000076596.3 transcript:ENSMUST00000196940.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-10 description:immunoglobulin kappa variable 3-10 [Source:MGI Symbol;Acc:MGI:1330821] METDTLLLWVLLLWVPGSTGNIVLTQSPASLAVSLGQRATISCRASESVDSYGNSFMHWY QQKPGQPPKLLIYLASNLESGVPARFSGSGSRTDFTLTIDPVEADDAATYYCQQNNEDPP >ENSMUSP00000100198.2 pep:known chromosome:GRCm38:6:70572633:70573230:1 gene:ENSMUSG00000076596.3 transcript:ENSMUST00000103397.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-10 description:immunoglobulin kappa variable 3-10 [Source:MGI Symbol;Acc:MGI:1330821] METDTLLLWVLLLWVPGESNIVLTQSPASLAVSLGQRATISCRASESVDSYGNSFMHWYQ QKPGQPPKLLIYLASNLESGVPARFSGSGSRTDFTLTIDPVEADDAATYYCQQNNEDPP >ENSMUSP00000100199.1 pep:known chromosome:GRCm38:6:70588189:70588777:1 gene:ENSMUSG00000095338.1 transcript:ENSMUST00000103398.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-9 description:immunoglobulin kappa variable 3-9 [Source:MGI Symbol;Acc:MGI:1330856] METDPLLLWVLLLWVPGSTGDIVLTQSPASLAVSLGQRATISCQASESVSFAGTSLMHWY QQKPGQPPKLLIYRASNLESGVPARFSGSGSESDFTLTIDPVEEDDAAMYYCMQSMEDPP >ENSMUSP00000142610.1 pep:known chromosome:GRCm38:6:70607437:70608036:1 gene:ENSMUSG00000076598.3 transcript:ENSMUST00000197560.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-7 description:immunoglobulin kappa variable 3-7 [Source:MGI Symbol;Acc:MGI:1330852] METDTLLLWVLLLWVPGSTGDIVLTQSPASLAVSLGQRATISCRASQSVSTSSYSYMHWY QQKPGQPPKLLIKYASNLESGVPARFSGSGSGTDFTLNIHPVEEEDTATYYCQHSWEIPP >ENSMUSP00000100200.2 pep:known chromosome:GRCm38:6:70607738:70608036:1 gene:ENSMUSG00000076598.3 transcript:ENSMUST00000103399.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-7 description:immunoglobulin kappa variable 3-7 [Source:MGI Symbol;Acc:MGI:1330852] DIVLTQSPASLAVSLGQRATISCRASQSVSTSSYSYMHWYQQKPGQPPKLLIKYASNLES GVPARFSGSGSGTDFTLNIHPVEEEDTATYYCQHSWEIPP >ENSMUSP00000100201.1 pep:known chromosome:GRCm38:6:70663275:70663895:1 gene:ENSMUSG00000095335.2 transcript:ENSMUST00000103400.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-5 description:immunoglobulin kappa chain variable 3-5 [Source:MGI Symbol;Acc:MGI:1330854] METDTLLLWVLLLWVPGSTGDIVLTQSPASLAVSLGQRATISCRASESVDSYGNSFMHWY QQKPGQPPKLLIYRASNLESGIPARFSGSGSRTDFTLTINPVEADDVATYYCQQSNEDPP >ENSMUSP00000100202.1 pep:known chromosome:GRCm38:6:70671788:70672377:1 gene:ENSMUSG00000096715.2 transcript:ENSMUST00000103401.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-4 description:immunoglobulin kappa variable 3-4 [Source:MGI Symbol;Acc:MGI:1330855] METDTILLWVLLLWVPGSTGDIVLTQSPASLAVSLGQRATISCKASQSVDYDGDSYMNWY QQKPGQPPKLLIYAASNLESGIPARFSGSGSGTDFTLNIHPVEEEDAATYYCQQSNEDPP >ENSMUSP00000100203.1 pep:known chromosome:GRCm38:6:70686946:70687534:1 gene:ENSMUSG00000094478.1 transcript:ENSMUST00000103402.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-3 description:immunoglobulin kappa variable 3-3 [Source:MGI Symbol;Acc:MGI:1330849] MESDTLLLWVLLLWVPGSTGDIVLTQSPASLAVSLGQRATIFCRASQSVDYNGISYMHWF QQKPGQPPKLLIYAASNLESGIPARFSGSGSGTDFTLNIHPVEEEDAATYYCQQSIEDPP >ENSMUSP00000100204.1 pep:known chromosome:GRCm38:6:70698449:70699067:1 gene:ENSMUSG00000095351.2 transcript:ENSMUST00000103403.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-2 description:immunoglobulin kappa variable 3-2 [Source:MGI Symbol;Acc:MGI:1330850] MEKDTLLLWVLLLWVPGSTGDIVLTQSPASLAVSLGQRATISCRASESVDNYGISFMNWF QQKPGQPPKLLIYAASNQGSGVPARFSGSGSGTDFSLNIHPMEEDDTAMYFCQQSKEVPP >ENSMUSP00000100205.1 pep:known chromosome:GRCm38:6:70703578:70704177:1 gene:ENSMUSG00000095682.1 transcript:ENSMUST00000103404.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Igkv3-1 description:immunoglobulin kappa variable 3-1 [Source:MGI Symbol;Acc:MGI:1330851] MESDTLLLWVLLLWVPGSTGDIVLTQSPASLAVSLGQRATISCRASESVEYYGTSLMQWY QQKPGQPPKLLIYAASNVESGVPARFSGSGSGTDFSLNIHPVEEDDIAMYFCQQSRKVPS >ENSMUSP00000100462.1 pep:known chromosome:GRCm38:16:19085017:19085500:-1 gene:ENSMUSG00000076934.2 transcript:ENSMUST00000103746.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Iglv1 description:immunoglobulin lambda variable 1 [Source:MGI Symbol;Acc:MGI:96530] MAWISLILSLLALSSGAISQAVVTQESALTTSPGETVTLTCRSSTGAVTTSNYANWVQEK PDHLFTGLIGGTNNRAPGVPARFSGSLIGDKAALTITGAQTEDEAIYFCALWYSNHF >ENSMUSP00000100465.2 pep:known chromosome:GRCm38:16:19241207:19241690:-1 gene:ENSMUSG00000076939.2 transcript:ENSMUST00000103751.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Iglv3 description:immunoglobulin lambda variable 3 [Source:MGI Symbol;Acc:MGI:3645509] MAWTPLFFFFVLHCSGSFSQLVLTQSSSASFSLGASAKLTCTLSSQHSTYTIEWYQQQPL KPPKYVMELKKDGSHSTGDGIPDRFSGSSSGADRYLSISNIQPEDEAIYICGVGDTIKEQ FV* >ENSMUSP00000142646.1 pep:known chromosome:GRCm38:16:19260401:19260859:-1 gene:ENSMUSG00000076940.3 transcript:ENSMUST00000197518.1 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Iglv2 description:immunoglobulin lambda variable 2 [Source:MGI Symbol;Acc:MGI:99548] MAWTSLILSLLALCSGASSQAVVTQESALTTSPGGTVILTCRSSTGAVTTSNYANWVQEK PDHLFTGLIGGTSNRAPGVPVRFSGSLIGDKAALTITGAQTEDDAMYFCALWYSTHF >ENSMUSP00000100466.2 pep:known chromosome:GRCm38:16:19260401:19260844:-1 gene:ENSMUSG00000076940.3 transcript:ENSMUST00000103752.2 gene_biotype:IG_V_gene transcript_biotype:IG_V_gene gene_symbol:Iglv2 description:immunoglobulin lambda variable 2 [Source:MGI Symbol;Acc:MGI:99548] MAWTSLILSLLALCSASSQAVVTQESALTTSPGGTVILTCRSSTGAVTTSNYANWVQEKP DHLFTGLIGGTSNRAPGVPVRFSGSLIGDKAALTITGAQTEDDAMYFCALWYSTHF >ENSMUSP00000131191.1 pep:known chromosome:GRCm38:6:42980690:42981150:1 gene:ENSMUSG00000091008.1 transcript:ENSMUST00000167638.1 gene_biotype:IG_LV_gene transcript_biotype:IG_LV_gene gene_symbol:Gm17472 description:predicted gene, 17472 [Source:MGI Symbol;Acc:MGI:4937106] MDMRDPPQFLAFLLLWIPALCDIQTTQSPSSMSVSLGETVSITCRASQGISSYLSWLQQK PGKSPKTLISYATNLEDGITSWFSSSGSGADYSLTISSLESEDCKITITVYNMFSFL >ENSMUSP00000100102.2 pep:known chromosome:GRCm38:6:43081518:43082097:-1 gene:ENSMUSG00000076500.2 transcript:ENSMUST00000103301.2 gene_biotype:IG_LV_gene transcript_biotype:IG_LV_gene gene_symbol:Gm20730 description:predicted gene, 20730 [Source:MGI Symbol;Acc:MGI:5313039] MRCLAEFLRLLVLWIPATGDIVMTQAAPSVPANPGESVSISCRSSKSLLHSSGNTYLYWF LQRPGQSPQLLIYYISNLASGVPDRFSGSGSGTDFTLRISRVEAEDVGVYYCMQGLEYPL >ENSMUSP00000093010.2 pep:known chromosome:GRCm38:12:114630680:114630973:1 gene:ENSMUSG00000090765.1 transcript:ENSMUST00000095364.2 gene_biotype:IG_LV_gene transcript_biotype:IG_LV_gene gene_symbol:Ighv1-13 description:immunoglobulin heavy variable V1-13 [Source:MGI Symbol;Acc:MGI:5009907] SLTIIVSSVLRCQASELHVGCVGGCVYSKCGLAFELLIVGNTTIFRMNSSNPFQSLLQTL LHPLNFIVIKIVARSLAGQLHLSPRYYQLWSRLLKLDL >ENSMUSP00000128354.1 pep:known chromosome:GRCm38:12:113654967:113655244:1 gene:ENSMUSG00000090395.1 transcript:ENSMUST00000166255.1 gene_biotype:IG_LV_gene transcript_biotype:IG_LV_gene gene_symbol:Ighv5-8 description:immunoglobulin heavy variable V5-8 [Source:MGI Symbol;Acc:MGI:5009890] HGCVLRPQTVYFQVKCVFVIISGDGESALHCVYIVGATSTTKNYCHPLQPLPWSLKDPGH SVVSELIQRLPRRVSATLQAALSLPQTPPASP >ENSMUSP00000141314.1 pep:known chromosome:GRCm38:6:41533864:41533911:1 gene:ENSMUSG00000076483.1 transcript:ENSMUST00000103284.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj1-1 description:T cell receptor beta joining 1-1 [Source:MGI Symbol;Acc:MGI:4439570] XNTEVFFGKGTRLTVV >ENSMUSP00000141699.1 pep:known chromosome:GRCm38:6:41534001:41534048:1 gene:ENSMUSG00000076484.1 transcript:ENSMUST00000103285.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj1-2 description:T cell receptor beta joining 1-2 [Source:MGI Symbol;Acc:MGI:4439569] XNSDYTFGSGTRLLVI >ENSMUSP00000141903.1 pep:known chromosome:GRCm38:6:41534323:41534372:1 gene:ENSMUSG00000076485.2 transcript:ENSMUST00000192366.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj1-3 description:T cell receptor beta joining 1-3 [Source:MGI Symbol;Acc:MGI:4439568] XSGNTLYFGEGSRLIVV >ENSMUSP00000142193.1 pep:known chromosome:GRCm38:6:41534811:41534861:1 gene:ENSMUSG00000076486.1 transcript:ENSMUST00000103287.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj1-4 description:T cell receptor beta joining 1-4 [Source:MGI Symbol;Acc:MGI:4439567] XSNERLFFGHGTKLSVL >ENSMUSP00000141795.1 pep:known chromosome:GRCm38:6:41535084:41535133:1 gene:ENSMUSG00000076487.1 transcript:ENSMUST00000103288.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj1-5 description:T cell receptor beta joining 1-5 [Source:MGI Symbol;Acc:MGI:4439566] XNNQAPLFGEGTRLSVL >ENSMUSP00000141680.1 pep:known chromosome:GRCm38:6:41535554:41535606:1 gene:ENSMUSG00000076488.1 transcript:ENSMUST00000103289.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj1-6 description:T cell receptor beta joining 1-6 [Source:MGI Symbol;Acc:MGI:4439575] XSYNSPLYFAAGTRLTVT >ENSMUSP00000141199.1 pep:known chromosome:GRCm38:6:41535642:41535687:1 gene:ENSMUSG00000076489.2 transcript:ENSMUST00000193061.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj1-7 description:T cell receptor beta joining 1-7 [Source:MGI Symbol;Acc:MGI:4439574] PVLDDHGLGKELRYK >ENSMUSP00000141722.1 pep:known chromosome:GRCm38:6:41542754:41542803:1 gene:ENSMUSG00000076492.1 transcript:ENSMUST00000103293.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj2-1 description:T cell receptor beta joining 2-1 [Source:MGI Symbol;Acc:MGI:4439728] XNYAEQFFGPGTRLTVL >ENSMUSP00000141782.1 pep:known chromosome:GRCm38:6:41542957:41543007:1 gene:ENSMUSG00000076493.1 transcript:ENSMUST00000103294.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj2-2 description:T cell receptor beta joining 2-2 [Source:MGI Symbol;Acc:MGI:4439729] XNTGQLYFGEGSKLTVL >ENSMUSP00000141968.1 pep:known chromosome:GRCm38:6:41543223:41543271:1 gene:ENSMUSG00000076494.1 transcript:ENSMUST00000103295.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj2-3 description:T cell receptor beta joining 2-3 [Source:MGI Symbol;Acc:MGI:4439898] SAETLYFGSGTRLTVL >ENSMUSP00000142246.1 pep:known chromosome:GRCm38:6:41543362:41543410:1 gene:ENSMUSG00000076495.1 transcript:ENSMUST00000103296.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj2-4 description:T cell receptor beta joining 2-4 [Source:MGI Symbol;Acc:MGI:4439730] SQNTLYFGAGTRLSVL >ENSMUSP00000141297.1 pep:known chromosome:GRCm38:6:41543453:41543501:1 gene:ENSMUSG00000076496.1 transcript:ENSMUST00000103297.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj2-5 description:T cell receptor beta joining 2-5 [Source:MGI Symbol;Acc:MGI:4439899] NQDTQYFGPGTRLLVL >ENSMUSP00000141994.1 pep:known chromosome:GRCm38:6:41543810:41543856:1 gene:ENSMUSG00000076497.1 transcript:ENSMUST00000103298.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trbj2-7 description:T cell receptor beta joining 2-7 [Source:MGI Symbol;Acc:MGI:4439731] XSYEQYFGPGTRLTVL >ENSMUSP00000143430.1 pep:known chromosome:GRCm38:14:54123138:54123188:1 gene:ENSMUSG00000076870.2 transcript:ENSMUST00000103682.2 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trdj1 description:T cell receptor delta joining 1 [Source:MGI Symbol;Acc:MGI:4819753] XTDKLVFGQGTQVTVEP >ENSMUSP00000143329.1 pep:known chromosome:GRCm38:14:54136779:54136837:1 gene:ENSMUSG00000076871.1 transcript:ENSMUST00000103683.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trdj2 description:T cell receptor delta joining 2 [Source:MGI Symbol;Acc:MGI:4887422] XSWDTRQMFFGTGIELFVEP >ENSMUSP00000142997.1 pep:known chromosome:GRCm38:14:54156133:54156194:1 gene:ENSMUSG00000076874.2 transcript:ENSMUST00000197589.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj59 description:T cell receptor alpha joining 59 [Source:MGI Symbol;Acc:MGI:4439694] LLKREDKATFATGGYEAEEDL >ENSMUSP00000143088.1 pep:known chromosome:GRCm38:14:54157280:54157342:1 gene:ENSMUSG00000076875.1 transcript:ENSMUST00000103687.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj58 description:T cell receptor alpha joining 58 [Source:MGI Symbol;Acc:MGI:4439695] XQQGTGSKLSFGKGAKLTVSP >ENSMUSP00000143782.1 pep:known chromosome:GRCm38:14:54158507:54158569:1 gene:ENSMUSG00000076876.3 transcript:ENSMUST00000196977.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj57 description:T cell receptor alpha joining 57 [Source:MGI Symbol;Acc:MGI:4439692] XNQGGSAKLIFGEGTKLTVSS >ENSMUSP00000142565.1 pep:known chromosome:GRCm38:14:54159263:54159325:1 gene:ENSMUSG00000076877.1 transcript:ENSMUST00000103689.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj56 description:T cell receptor alpha joining 56 [Source:MGI Symbol;Acc:MGI:4439693] XATGGNNKLTFGQGTVLSVIP >ENSMUSP00000143627.1 pep:known chromosome:GRCm38:14:54162644:54162709:1 gene:ENSMUSG00000076878.1 transcript:ENSMUST00000103690.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj53 description:T cell receptor alpha joining 53 [Source:MGI Symbol;Acc:MGI:4439696] XNSGGSNYKLTFGKGTLLTVTP >ENSMUSP00000143288.1 pep:known chromosome:GRCm38:14:54165316:54165381:1 gene:ENSMUSG00000076879.1 transcript:ENSMUST00000103691.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj52 description:T cell receptor alpha joining 52 [Source:MGI Symbol;Acc:MGI:4439697] XNTGANTGKLTFGHGTILRVHP >ENSMUSP00000142740.1 pep:known chromosome:GRCm38:14:54167590:54167652:1 gene:ENSMUSG00000076881.1 transcript:ENSMUST00000103693.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj50 description:T cell receptor alpha joining 50 [Source:MGI Symbol;Acc:MGI:4440517] XASSSFSKLVFGQGTSLSVVP >ENSMUSP00000142947.1 pep:known chromosome:GRCm38:14:54168686:54168747:1 gene:ENSMUSG00000076882.3 transcript:ENSMUST00000199452.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj49 description:T cell receptor alpha joining 49 [Source:MGI Symbol;Acc:MGI:4440527] XNTGYQNFYFGKGTSLTVIPS >ENSMUSP00000143469.1 pep:known chromosome:GRCm38:14:54169808:54169868:1 gene:ENSMUSG00000076883.2 transcript:ENSMUST00000200046.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj48 description:T cell receptor alpha joining 48 [Source:MGI Symbol;Acc:MGI:4439847] ANYGNEKITFGAGTKLTIKP >ENSMUSP00000142372.1 pep:known chromosome:GRCm38:14:54171800:54171856:1 gene:ENSMUSG00000105216.1 transcript:ENSMUST00000197949.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj47 description:T cell receptor alpha joining 47 [Source:MGI Symbol;Acc:MGI:5009961] XDYANKMIFGLGTILRVRP >ENSMUSP00000143666.1 pep:known chromosome:GRCm38:14:54172336:54172398:1 gene:ENSMUSG00000076884.1 transcript:ENSMUST00000103696.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj46 description:T cell receptor alpha joining 46 [Source:MGI Symbol;Acc:MGI:4439852] XERQQCRHAGFGDGDELGVST >ENSMUSP00000143118.1 pep:known chromosome:GRCm38:14:54172828:54172890:1 gene:ENSMUSG00000076885.1 transcript:ENSMUST00000103697.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj45 description:T cell receptor alpha joining 45 [Source:MGI Symbol;Acc:MGI:4439851] XNTEGADRLTFGKGTQLIIQP >ENSMUSP00000142599.1 pep:known chromosome:GRCm38:14:54173690:54173750:1 gene:ENSMUSG00000076886.1 transcript:ENSMUST00000103698.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj44 description:T cell receptor alpha joining 44 [Source:MGI Symbol;Acc:MGI:4439850] VTGSGGKLTLGAGTRLQVNL >ENSMUSP00000142598.1 pep:known chromosome:GRCm38:14:54174742:54174798:1 gene:ENSMUSG00000076887.1 transcript:ENSMUST00000103699.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj43 description:T cell receptor alpha joining 43 [Source:MGI Symbol;Acc:MGI:4439849] XNNNNAPRFGAGTKLSVKP >ENSMUSP00000143239.1 pep:known chromosome:GRCm38:14:54175773:54175836:1 gene:ENSMUSG00000076888.1 transcript:ENSMUST00000103700.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj42 description:T cell receptor alpha joining 42 [Source:MGI Symbol;Acc:MGI:4439846] NSGGSNAKLTFGKGTKLSVKS >ENSMUSP00000142973.1 pep:known chromosome:GRCm38:14:54176271:54176325:1 gene:ENSMUSG00000076889.1 transcript:ENSMUST00000103701.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj41 description:T cell receptor alpha joining 41 [Source:MGI Symbol;Acc:MGI:4439845] XVSNTSSMLAEAPHYWSHP >ENSMUSP00000143787.1 pep:known chromosome:GRCm38:14:54177921:54177981:1 gene:ENSMUSG00000076890.1 transcript:ENSMUST00000103702.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj40 description:T cell receptor alpha joining 40 [Source:MGI Symbol;Acc:MGI:4439592] VNTGNYKYVFGAGTRLKVIA >ENSMUSP00000142544.1 pep:known chromosome:GRCm38:14:54179962:54180024:1 gene:ENSMUSG00000076891.1 transcript:ENSMUST00000103703.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj39 description:T cell receptor alpha joining 39 [Source:MGI Symbol;Acc:MGI:4439593] XNNNAGAKLTFGGGTRLTVRP >ENSMUSP00000143224.1 pep:known chromosome:GRCm38:14:54180574:54180635:1 gene:ENSMUSG00000076892.1 transcript:ENSMUST00000103704.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj38 description:T cell receptor alpha joining 38 [Source:MGI Symbol;Acc:MGI:4439594] XNVGDNSKLIWGLGTSLVVNP >ENSMUSP00000143604.1 pep:known chromosome:GRCm38:14:54181518:54181577:1 gene:ENSMUSG00000076893.1 transcript:ENSMUST00000103705.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj37 description:T cell receptor alpha joining 37 [Source:MGI Symbol;Acc:MGI:4439595] XTGNTGKLIFGLGTTLQVQP >ENSMUSP00000143307.1 pep:known chromosome:GRCm38:14:54183774:54183838:1 gene:ENSMUSG00000076894.1 transcript:ENSMUST00000103706.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj35 description:T cell receptor alpha joining 35 [Source:MGI Symbol;Acc:MGI:4439588] XQTGFASALTFGSGTKVIPCLP >ENSMUSP00000143621.1 pep:known chromosome:GRCm38:14:54184699:54184756:1 gene:ENSMUSG00000076895.1 transcript:ENSMUST00000103707.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj34 description:T cell receptor alpha joining 34 [Source:MGI Symbol;Acc:MGI:4439589] SSNTNKVVFGTGTRLQVLP >ENSMUSP00000142562.1 pep:known chromosome:GRCm38:14:54185358:54185414:1 gene:ENSMUSG00000076896.1 transcript:ENSMUST00000103708.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj33 description:T cell receptor alpha joining 33 [Source:MGI Symbol;Acc:MGI:4439590] XDSNYQLIWGSGTKLIIKP >ENSMUSP00000143024.1 pep:known chromosome:GRCm38:14:54186101:54186166:1 gene:ENSMUSG00000076897.1 transcript:ENSMUST00000103709.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj32 description:T cell receptor alpha joining 32 [Source:MGI Symbol;Acc:MGI:4439591] XNYGSSGNKLIFGIGTLLSVKP >ENSMUSP00000142343.1 pep:known chromosome:GRCm38:14:54187895:54187951:1 gene:ENSMUSG00000076898.1 transcript:ENSMUST00000103710.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj31 description:T cell receptor alpha joining 31 [Source:MGI Symbol;Acc:MGI:4440519] XNSNNRIFFGDGTQLVVKP >ENSMUSP00000142450.1 pep:known chromosome:GRCm38:14:54189866:54189924:1 gene:ENSMUSG00000076899.1 transcript:ENSMUST00000103711.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj30 description:T cell receptor alpha joining 30 [Source:MGI Symbol;Acc:MGI:4439596] XDTNAYKVIFGKGTHLHVLP >ENSMUSP00000143317.1 pep:known chromosome:GRCm38:14:54190946:54191005:1 gene:ENSMUSG00000076900.1 transcript:ENSMUST00000103712.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj29 description:T cell receptor alpha joining 29 [Source:MGI Symbol;Acc:MGI:4439743] XNSGSRELVLGREARLSMIE >ENSMUSP00000143330.1 pep:known chromosome:GRCm38:14:54191661:54191725:1 gene:ENSMUSG00000076901.1 transcript:ENSMUST00000103713.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj28 description:T cell receptor alpha joining 28 [Source:MGI Symbol;Acc:MGI:4439744] XLPGTGSNRLTFGKGTKFSLIP >ENSMUSP00000143220.1 pep:known chromosome:GRCm38:14:54192303:54192361:1 gene:ENSMUSG00000076902.1 transcript:ENSMUST00000103714.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj27 description:T cell receptor alpha joining 27 [Source:MGI Symbol;Acc:MGI:4439741] XNTNTGKLTFGDGTVLTVKP >ENSMUSP00000143580.1 pep:known chromosome:GRCm38:14:54194490:54194549:1 gene:ENSMUSG00000076903.1 transcript:ENSMUST00000103715.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj26 description:T cell receptor alpha joining 26 [Source:MGI Symbol;Acc:MGI:4439742] XNNYAQGLTFGLGTRVSVFP >ENSMUSP00000143257.1 pep:known chromosome:GRCm38:14:54194815:54194871:1 gene:ENSMUSG00000076904.2 transcript:ENSMUST00000103716.2 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj25 description:T cell receptor alpha joining 25 [Source:MGI Symbol;Acc:MGI:4439747] XRTKVSSVFGTGRRLLVKP >ENSMUSP00000142923.1 pep:known chromosome:GRCm38:14:54195638:54195700:1 gene:ENSMUSG00000076905.2 transcript:ENSMUST00000103717.2 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj24 description:T cell receptor alpha joining 24 [Source:MGI Symbol;Acc:MGI:4439748] XTTASLGKLQFGTGTQVVVTP >ENSMUSP00000142485.1 pep:known chromosome:GRCm38:14:54196081:54196140:1 gene:ENSMUSG00000076906.1 transcript:ENSMUST00000103718.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj23 description:T cell receptor alpha joining 23 [Source:MGI Symbol;Acc:MGI:4439745] XNYNQGKLIFGQGTKLSIKP >ENSMUSP00000142342.1 pep:known chromosome:GRCm38:14:54197248:54197307:1 gene:ENSMUSG00000076907.1 transcript:ENSMUST00000103719.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj22 description:T cell receptor alpha joining 22 [Source:MGI Symbol;Acc:MGI:4439746] XSSGSWQLIFGSGTQLTVMP >ENSMUSP00000143434.1 pep:known chromosome:GRCm38:14:54198790:54198846:1 gene:ENSMUSG00000076908.1 transcript:ENSMUST00000103720.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj21 description:T cell receptor alpha joining 21 [Source:MGI Symbol;Acc:MGI:4439739] XSNYNVLYFGSGTKLTVEP >ENSMUSP00000143213.1 pep:known chromosome:GRCm38:14:54199441:54199498:1 gene:ENSMUSG00000076909.2 transcript:ENSMUST00000103721.2 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj20 description:T cell receptor alpha joining 20 [Source:MGI Symbol;Acc:MGI:4439740] XSGNYKLGVESVTMMSVRAG >ENSMUSP00000142545.1 pep:known chromosome:GRCm38:14:54200386:54200446:1 gene:ENSMUSG00000076910.1 transcript:ENSMUST00000103722.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj19 description:T cell receptor alpha joining 19 [Source:MGI Symbol;Acc:MGI:4439579] IYRGFHKFSSGIESKHNVSP >ENSMUSP00000143421.1 pep:known chromosome:GRCm38:14:54200777:54200842:1 gene:ENSMUSG00000076911.1 transcript:ENSMUST00000103723.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj18 description:T cell receptor alpha joining 18 [Source:MGI Symbol;Acc:MGI:4440521] XDRGSALGRLHFGAGTQLIVIP >ENSMUSP00000142713.1 pep:known chromosome:GRCm38:14:54201775:54201837:1 gene:ENSMUSG00000076912.1 transcript:ENSMUST00000103724.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj17 description:T cell receptor alpha joining 17 [Source:MGI Symbol;Acc:MGI:4440523] XTNSAGNKLTFGIGTRVLVRP >ENSMUSP00000142804.1 pep:known chromosome:GRCm38:14:54203134:54203194:1 gene:ENSMUSG00000076913.1 transcript:ENSMUST00000103725.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj16 description:T cell receptor alpha joining 16 [Source:MGI Symbol;Acc:MGI:4439580] ATSSGQKLVFGQGTILKVYL >ENSMUSP00000143467.1 pep:known chromosome:GRCm38:14:54204422:54204481:1 gene:ENSMUSG00000076914.1 transcript:ENSMUST00000103726.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj15 description:T cell receptor alpha joining 15 [Source:MGI Symbol;Acc:MGI:4439582] XYQGGRALIFGTGTTVSVSP >ENSMUSP00000142869.1 pep:known chromosome:GRCm38:14:54205741:54205797:1 gene:ENSMUSG00000076916.1 transcript:ENSMUST00000103728.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj13 description:T cell receptor alpha joining 13 [Source:MGI Symbol;Acc:MGI:4439584] XNSGTYQRFGTGTKLQVVP >ENSMUSP00000142357.1 pep:known chromosome:GRCm38:14:54206553:54206610:1 gene:ENSMUSG00000076917.1 transcript:ENSMUST00000103729.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj12 description:T cell receptor alpha joining 12 [Source:MGI Symbol;Acc:MGI:4439583] GTGGYKVVFGSGTRLLVSP >ENSMUSP00000142663.1 pep:known chromosome:GRCm38:14:54207139:54207197:1 gene:ENSMUSG00000076918.1 transcript:ENSMUST00000103730.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj11 description:T cell receptor alpha joining 11 [Source:MGI Symbol;Acc:MGI:4439578] XDSGYNKLTFGKGTVLLVSP >ENSMUSP00000142928.1 pep:known chromosome:GRCm38:14:54209393:54209450:1 gene:ENSMUSG00000076919.1 transcript:ENSMUST00000103731.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj9 description:T cell receptor alpha joining 9 [Source:MGI Symbol;Acc:MGI:4439577] SNMGYKLTFGTGTSLLVDP >ENSMUSP00000143149.1 pep:known chromosome:GRCm38:14:54211470:54211528:1 gene:ENSMUSG00000076921.1 transcript:ENSMUST00000103733.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj7 description:T cell receptor alpha joining 7 [Source:MGI Symbol;Acc:MGI:4439843] XDYSNNRLTLGKGTQVVVLP >ENSMUSP00000142705.1 pep:known chromosome:GRCm38:14:54212688:54212749:1 gene:ENSMUSG00000076922.1 transcript:ENSMUST00000103734.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj6 description:T cell receptor alpha joining 6 [Source:MGI Symbol;Acc:MGI:4439844] XTSGGNYKPTFGKGTSLVVHP >ENSMUSP00000143114.1 pep:known chromosome:GRCm38:14:54213777:54213838:1 gene:ENSMUSG00000076923.1 transcript:ENSMUST00000103735.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj5 description:T cell receptor alpha joining 5 [Source:MGI Symbol;Acc:MGI:4840258] XGTQVVGQLTFGRGTRLQVYA >ENSMUSP00000142969.1 pep:known chromosome:GRCm38:14:54216291:54216353:1 gene:ENSMUSG00000076924.1 transcript:ENSMUST00000103736.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj4 description:T cell receptor alpha joining 4 [Source:MGI Symbol;Acc:MGI:4439839] XLSGSFNKLTFGAGTRLAVCP >ENSMUSP00000143132.1 pep:known chromosome:GRCm38:14:54217292:54217357:1 gene:ENSMUSG00000076925.2 transcript:ENSMUST00000103737.2 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj3 description:T cell receptor alpha joining 3 [Source:MGI Symbol;Acc:MGI:4439840] XEFSYSSKLIFGAETKLRNPPYT >ENSMUSP00000142337.1 pep:known chromosome:GRCm38:14:54217836:54217901:1 gene:ENSMUSG00000076926.1 transcript:ENSMUST00000103738.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Traj2 description:T cell receptor alpha joining 2 [Source:MGI Symbol;Acc:MGI:4840255] XNTGGLSGKLTFGEGTQVTVIS >ENSMUSP00000143192.1 pep:known chromosome:GRCm38:13:19210343:19210402:1 gene:ENSMUSG00000104962.1 transcript:ENSMUST00000200495.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trgj1 description:T cell receptor gamma joining 1 [Source:MGI Symbol;Acc:MGI:4440480] XSSGFHKVFAEGTKLIVIPS >ENSMUSP00000142784.1 pep:known chromosome:GRCm38:13:19257117:19257176:1 gene:ENSMUSG00000104630.1 transcript:ENSMUST00000103562.2 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trgj3 description:T cell receptor gamma joining 3 [Source:MGI Symbol;Acc:MGI:4439528] XSWDFHKVFAEGTKLIVIPS >ENSMUSP00000143727.1 pep:known chromosome:GRCm38:13:19311245:19311304:-1 gene:ENSMUSG00000105830.1 transcript:ENSMUST00000197113.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trgj2 description:T cell receptor gamma joining 2 [Source:MGI Symbol;Acc:MGI:4439598] XSSGFHKVFAEGTKLIVIPS >ENSMUSP00000143068.1 pep:known chromosome:GRCm38:13:19342152:19342212:1 gene:ENSMUSG00000098799.1 transcript:ENSMUST00000184430.1 gene_biotype:TR_J_gene transcript_biotype:TR_J_gene gene_symbol:Trgj4 description:T cell receptor gamma joining 4 [Source:MGI Symbol;Acc:MGI:4439597] SGTSWVKIFAKGTKLVVIPP >ENSMUSP00000081003.1 pep:known chromosome:GRCm38:MT:14145:15288:1 gene:ENSMUSG00000064370.1 transcript:ENSMUST00000082421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Cytb description:mitochondrially encoded cytochrome b [Source:MGI Symbol;Acc:MGI:102501] MTNMRKTHPLFKIINHSFIDLPAPSNISSWWNFGSLLGVCLMVQIITGLFLAMHYTSDTM TAFSSVTHICRDVNYGWLIRYMHANGASMFFICLFLHVGRGLYYGSYTFMETWNIGVLLL FAVMATAFMGYVLPWGQMSFWGATVITNLLSAIPYIGTTLVEWIWGGFSVDKATLTRFFA FHFILPFIIAALAIVHLLFLHETGSNNPTGLNSDADKIPFHPYYTIKDILGILIMFLILM TLVLFFPDMLGDPDNYMPANPLNTPPHIKPEWYFLFAYAILRSIPNKLGGVLALILSILI LALMPFLHTSKQRSLMFRPITQILYWILVANLLILTWIGGQPVEHPFIIIGQLASISYFS IILILMPISGIIEDKMLKLYP >ENSMUSP00000081002.1 pep:known chromosome:GRCm38:MT:13552:14070:-1 gene:ENSMUSG00000064368.1 transcript:ENSMUST00000082419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Nd6 description:mitochondrially encoded NADH dehydrogenase 6 [Source:MGI Symbol;Acc:MGI:102495] MNNYIFVLSSLFLVGCLGLALKPSPIYGGLGLIVSGFVGCLMVLGFGGSFLGLMVFLIYL GGMLVVFGYTTAMATEEYPETWGSNWLILGFLVLGVIMEVFLICVLNYYDEVGVINLDGL GDWLMYEVDDVGVMLEGGIGVAAMYSCATWMMVVAGWSLFAGIFIIIEITRD >ENSMUSP00000081001.2 pep:known chromosome:GRCm38:MT:11742:13565:1 gene:ENSMUSG00000064367.1 transcript:ENSMUST00000082418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Nd5 description:mitochondrially encoded NADH dehydrogenase 5 [Source:MGI Symbol;Acc:MGI:102496] MNIFTTSILLIFILLLSPILISMSNLIKHINFPLYTTTSIKFSFIISLLPLLMFFHNNME YMITTWHWVTMNSMELKMSFKTDFFSILFTSVALFVTWSIMQFSSWYMHSDPNINRFIKY LTLFLITMLILTSANNMFQLFIGWEGVGIMSFLLIGWWYGRTDANTAALQAILYNRIGDI GFILAMVWFSLNMNSWELQQIMFSNNNDNLIPLMGLLIAATGKSAQFGLHPWLPSAMEGP TPVSALLHSSTMVVAGIFLLVRFHPLTTNNNFILTTMLCLGALTTLFTAICALTQNDIKK IIAFSTSSQLGLMMVTLGMNQPHLAFLHICTHAFFKAMLFMCSGSIIHSLADEQDIRKMG NITKIMPFTSSCLVIGSLALTGMPFLTGFYSKDLIIEAINTCNTNAWALLITLIATSMTA MYSMRIIYFVTMTKPRFPPLISINENDPDLMNPIKRLAFGSIFAGFVISYNIPPTSIPVL TMPWFLKTTALIISVLGFLIALELNNLTMKLSMNKANPYSSFSTLLGFFPSIIHRITPMK SLNLSLKTSLTLLDLIWLEKTIPKSTSTLHTNMTTLTTNQKGLIKLYFMSFLINIILIII LYSINLE >ENSMUSP00000081000.1 pep:known chromosome:GRCm38:MT:10167:11544:1 gene:ENSMUSG00000064363.1 transcript:ENSMUST00000082414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Nd4 description:mitochondrially encoded NADH dehydrogenase 4 [Source:MGI Symbol;Acc:MGI:102498] MLKIILPSLMLLPLTWLSSPKKTWTNVTSYSFLISLTSLTLLWQTDENYKNFSNMFSSDP LSTPLIILTAWLLPLMLMASQNHLKKDNNVLQKLYISMLISLQILLIMTFSATELIMFYI LFEATLIPTLIIITRWGNQTERLNAGIYFLFYTLIGSIPLLIALILIQNHVGTLNLMILS FTTHTLDASWSNNLLWLACMMAFLIKMPLYGVHLWLPKAHVEAPIAGSMILAAILLKLGS YGMIRISIILDPLTKYMAYPFILLSLWGMIMTSSICLRQTDLKSLIAYSSVSHMALVIAS IMIQTPWSFMGATMLMIAHGLTSSLLFCLANSNYERIHSRTMIMARGLQMVFPLMATWWL MASLANLALPPSINLMGELFITMSLFSWSNFTIILMGINIIITGMYSMYMIITTQRGKLT NHMINLQPSHTRELTLMALHMIPLILLTTSPKLITGLTM >ENSMUSP00000081021.1 pep:known chromosome:GRCm38:MT:9877:10173:1 gene:ENSMUSG00000065947.3 transcript:ENSMUST00000084013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Nd4l description:mitochondrially encoded NADH dehydrogenase 4L [Source:MGI Symbol;Acc:MGI:102497] MPSTFFNLTMAFSLSLLGTLMFRSHLMSTLLCLEGMVLSLFIMTSVTSLNSNSMSSMPIP ITILVFAACEAAVGLALLVKVSNTYGTDYVQNLNLLQC >ENSMUSP00000080998.2 pep:known chromosome:GRCm38:MT:9459:9806:1 gene:ENSMUSG00000064360.1 transcript:ENSMUST00000082411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Nd3 description:mitochondrially encoded NADH dehydrogenase 3 [Source:MGI Symbol;Acc:MGI:102499] MNLYTVIFINILLSLTLILVAFWLPQMNLYSEKANPYECGFDPTSSARLPFSMKFFLVAI TFLLFDLEIALLLPLPWAIQTIKTSTMMIMAFILVTILSLGLAYEWTQKGLEWTE >ENSMUSP00000080997.1 pep:known chromosome:GRCm38:MT:8607:9390:1 gene:ENSMUSG00000064358.1 transcript:ENSMUST00000082409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Co3 description:mitochondrially encoded cytochrome c oxidase III [Source:MGI Symbol;Acc:MGI:102502] MTHQTHAYHMVNPSPWPLTGAFSALLLTSGLVMWFHYNSITLLTLGLLTNILTMYQWWRD VIREGTYQGHHTPIVQKGLRYGMILFIVSEVFFFAGFFWAFYHSSLVPTHDLGGCWPPTG ISPLNPLEVPLLNTSVLLASGVSITWAHHSLMEGKRNHMNQALLITIMLGLYFTILQASE YFETSFSISDGIYGSTFFMATGFHGLHVIIGSTFLIVCLLRQLKFHFTSKHHFGFEAAAW YWHFVDVVWLFLYVSIYWWGS >ENSMUSP00000080996.1 pep:known chromosome:GRCm38:MT:7927:8607:1 gene:ENSMUSG00000064357.1 transcript:ENSMUST00000082408.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Atp6 description:mitochondrially encoded ATP synthase 6 [Source:MGI Symbol;Acc:MGI:99927] MNENLFASFITPTMMGFPIVVAIIMFPSILFPSSKRLINNRLHSFQHWLVKLIIKQMMLI HTPKGRTWTLMIVSLIMFIGSTNLLGLLPHTFTPTTQLSMNLSMAIPLWAGAVITGFRHK LKSSLAHFLPQGTPISLIPMLIIIETISLFIQPMALAVRLTANITAGHLLMHLIGGATLV LMNISPPTATITFIILLLLTILEFAVALIQAYVFTLLVSLYLHDNT >ENSMUSP00000080995.1 pep:known chromosome:GRCm38:MT:7766:7969:1 gene:ENSMUSG00000064356.3 transcript:ENSMUST00000082407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Atp8 description:mitochondrially encoded ATP synthase 8 [Source:MGI Symbol;Acc:MGI:99926] MPQLDTSTWFITIISSMITLFILFQLKVSSQTFPLAPSPKSLTTMKVKTPWELKWTKIYL PHSLPQQ >ENSMUSP00000080994.1 pep:known chromosome:GRCm38:MT:7013:7696:1 gene:ENSMUSG00000064354.1 transcript:ENSMUST00000082405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Co2 description:mitochondrially encoded cytochrome c oxidase II [Source:MGI Symbol;Acc:MGI:102503] MAYPFQLGLQDATSPIMEELMNFHDHTLMIVFLISSLVLYIISLMLTTKLTHTSTMDAQE VETIWTILPAVILIMIALPSLRILYMMDEINNPVLTVKTMGHQWYWSYEYTDYEDLCFDS YMIPTNDLKPGELRLLEVDNRVVLPMELPIRMLISSEDVLHSWAVPSLGLKTDAIPGRLN QATVTSNRPGLFYGQCSEICGSNHSFMPIVLEMVPLKYFENWSASMI >ENSMUSP00000080993.1 pep:known chromosome:GRCm38:MT:5328:6872:1 gene:ENSMUSG00000064351.1 transcript:ENSMUST00000082402.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Co1 description:mitochondrially encoded cytochrome c oxidase I [Source:MGI Symbol;Acc:MGI:102504] MFINRWLFSTNHKDIGTLYLLFGAWAGMVGTALSILIRAELGQPGALLGDDQIYNVIVTA HAFVMIFFMVMPMMIGGFGNWLVPLMIGAPDMAFPRMNNMSFWLLPPSFLLLLASSMVEA GAGTGWTVYPPLAGNLAHAGASVDLTIFSLHLAGVSSILGAINFITTIINMKPPAMTQYQ TPLFVWSVLITAVLLLLSLPVLAAGITMLLTDRNLNTTFFDPAGGGDPILYQHLFWFFGH PEVYILILPGFGIISHVVTYYSGKKEPFGYMGMVWAMMSIGFLGFIVWAHHMFTVGLDVD TRAYFTSATMIIAIPTGVKVFSWLATLHGGNIKWSPAMLWALGFIFLFTVGGLTGIVLSN SSLDIVLHDTYYVVAHFHYVLSMGAVFAIMAGFVHWFPLFSGFTLDDTWAKAHFAIMFVG VNMTFFPQHFLGLSGMPRRYSDYPDAYTTWNTVSSMGSFISLTAVLIMIFMIWEAFASKR EVMSVSYASTNLEWLHGCPPPYHTFEEPTYVKVK >ENSMUSP00000080992.1 pep:known chromosome:GRCm38:MT:3914:4951:1 gene:ENSMUSG00000064345.1 transcript:ENSMUST00000082396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Nd2 description:mitochondrially encoded NADH dehydrogenase 2 [Source:MGI Symbol;Acc:MGI:102500] MNPITLAIIYFTIFLGPVITMSSTNLMLMWVGLEFSLLAIIPMLINKKNPRSTEAATKYF VTQATASMIILLAIVLNYKQLGTWMFQQQTNGLILNMTLMALSMKLGLAPFHFWLPEVTQ GIPLHMGLILLTWQKIAPLSILIQIYPLLNSTIILMLAITSIFMGAWGGLNQTQMRKIMA YSSIAHMGWMLAILPYNPSLTLLNLMIYIILTAPMFMALMLNNSMTINSISLLWNKTPAM LTMISLMLLSLGGLPPLTGFLPKWIIITELMKNNCLIMATLMAMMALLNLFFYTRLIYST SLTMFPTNNNSKMMTHQTKTKPNLMFSTLAIMSTMTLPLAPQLIT >ENSMUSP00000080991.2 pep:known chromosome:GRCm38:MT:2751:3707:1 gene:ENSMUSG00000064341.1 transcript:ENSMUST00000082392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:mt-Nd1 description:mitochondrially encoded NADH dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:101787] MFFINILTLLVPILIAMAFLTLVERKILGYMQLRKGPNIVGPYGILQPFADAMKLFMKEP MRPLTTSMSLFIIAPTLSLTLALSLWVPLPMPHPLINLNLGILFILATSSLSVYSILWSG WASNSKYSLFGALRAVAQTISYEVTMAIILLSVLLMNGSYSLQTLITTQEHMWLLLPAWP MAMMWFISTLAETNRAPFDLTEGESELVSGFNVEYAAGPFALFFMAEYTNIILMNALTTI IFLGPLYYINLPELYSTNFMMEALLLSSTFLWIRASYPRFRYDQLMHLLWKNFLPLTLAL CMWHISLPIFTAGVPPYM >ENSMUSP00000111218.1 pep:known chromosome:GRCm38:6:5725639:6028039:1 gene:ENSMUSG00000029757.16 transcript:ENSMUST00000115556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i1 description:dynein cytoplasmic 1 intermediate chain 1 [Source:MGI Symbol;Acc:MGI:107743] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKEADMQQKKEPVQDDSDLDRKRRETE ALLQSIGISPEPPLVSTPSDAGSQDSGDLGPLTRTLQWDTDPSVLQLQSDSELGRRLHKL GVSKVTQVDFLPREVVSYSKETQTPLATHQSEEDEEDEEMVEPKIGHDSELENQEKKQET KEAPPRELTEEEKQQILHSEEFLIFFDRTIRVIERALAEDSDIFFDYSGRELEEKDGDVQ AGANLSFNRQFYDEHWSKHRVVTCMDWSLQYPELMVASYSNNEDAPHEPDGVALVWNMKF KKTTPEYVFHCQSSVMSVCFARFHPNLVVGGTYSGQIVLWDNRSHRRTPVQRTPLSAAAH THPVYCVNVVGTQNAHNLITVSTDGKMCSWSLDMLSTPQESMELVYNKSKPVAVTGMAFP TGDVNNFVVGSEEGTVYTACRHGSKAGIGEVFEGHQGPVTGINCHMAVGPIDFSHLFVTS SFDWTVKLWTTKHNKPLYSFEDNADYVYDVMWSPVHPALFACVDGMGRLDLWNLNSDTEV PTASVAIEGASALNRVRWAQGGKEVAVGDSEGRIWIYDVGELAVPHNDEWTRFARTLVEI RANRADSEEEGAVELAA >ENSMUSP00000111217.1 pep:known chromosome:GRCm38:6:5725763:6028030:1 gene:ENSMUSG00000029757.16 transcript:ENSMUST00000115555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i1 description:dynein cytoplasmic 1 intermediate chain 1 [Source:MGI Symbol;Acc:MGI:107743] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKEADMQQKKEPVQDDSDLDRKRRETE ALLQSIGISPEPPLVQPLHFLTWDTCYFHYLVPTPMSPSSKSVSTPSDAGSQDSGDLGPL TRTLQWDTDPSVLQLQSDSELGRRLHKLGVSKVTQVDFLPREVVSYSKETQTPLATHQSE EDEEDEEMVEPKIGHDSELENQEKKQETKEAPPRELTEEEKQQILHSEEFLIFFDRTIRV IERALAEDSDIFFDYSGRELEEKDGDVQAGANLSFNRQFYDEHWSKHRVVTCMDWSLQYP ELMVASYSNNEDAPHEPDGVALVWNMKFKKTTPEYVFHCQSSVMSVCFARFHPNLVVGGT YSGQIVLWDNRSHRRTPVQRTPLSAAAHTHPVYCVNVVGTQNAHNLITVSTDGKMCSWSL DMLSTPQESMELVYNKSKPVAVTGMAFPTGDVNNFVVGSEEGTVYTACRHGSKAGIGEVF EGHQGPVTGINCHMAVGPIDFSHLFVTSSFDWTVKLWTTKHNKPLYSFEDNADYVYDVMW SPVHPALFACVDGMGRLDLWNLNSDTEVPTASVAIEGASALNRVRWAQGGKEVAVGDSEG RIWIYDVGELAVPHNDEWTRFARTLVEIRANRADSEEEGAVELAA >ENSMUSP00000111221.3 pep:known chromosome:GRCm38:6:5725791:6028039:1 gene:ENSMUSG00000029757.16 transcript:ENSMUST00000115559.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i1 description:dynein cytoplasmic 1 intermediate chain 1 [Source:MGI Symbol;Acc:MGI:107743] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKEADMQQKKEPVQDDSDLDRKRRETE ALLQSIGISPEPPLVPTPMSPSSKSVSTPSDAGSQDSGDLGPLTRTLQWDTDPSVLQLQS DSELGRRLHKLGVSKVTQVDFLPREVVSYSKETQTPLATHQSEEDEEDEEMVEPKIGHDS ELENQEKKQETKEAPPRELTEEEKQQILHSEEFLIFFDRTIRVIERALAEDSDIFFDYSG RELEEKDGDVQAGANLSFNRQFYDEHWSKHRVVTCMDWSLQYPELMVASYSNNEDAPHEP DGVALVWNMKFKKTTPEYVFHCQSSVMSVCFARFHPNLVVGGTYSGQIVLWDNRSHRRTP VQRTPLSAAAHTHPVYCVNVVGTQNAHNLITVSTDGKMCSWSLDMLSTPQESMELVYNKS KPVAVTGMAFPTGDVNNFVVGSEEGTVYTACRHGSKAGIGEVFEGHQGPVTGINCHMAVG PIDFSHLFVTSSFDWTVKLWTTKHNKPLYSFEDNADYVYDVMWSPVHPALFACVDGMGRL DLWNLNSDTEVPTASVAIEGASALNRVRWAQGGKEVAVGDSEGRIWIYDVGELAVPHNDE WTRFARTLVEIRANRADSEEEGAVELAA >ENSMUSP00000111216.1 pep:known chromosome:GRCm38:6:5725812:6028039:1 gene:ENSMUSG00000029757.16 transcript:ENSMUST00000115554.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i1 description:dynein cytoplasmic 1 intermediate chain 1 [Source:MGI Symbol;Acc:MGI:107743] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKEADMQQKKEPVQDDSDLDRKRRETE ALLQSIGISPEPPLVPTPMSPSSKSVSTPSDAGSQDSGDLGPLTRRRLHKLGVSKVTQVD FLPREVVSYSKETQTPLATHQSEEDEEDEEMVEPKIGHDSELENQEKKQETKEAPPRELT EEEKQQILHSEEFLIFFDRTIRVIERALAEDSDIFFDYSGRELEEKDGDVQAGANLSFNR QFYDEHWSKHRVVTCMDWSLQYPELMVASYSNNEDAPHEPDGVALVWNMKFKKTTPEYVF HCQSSVMSVCFARFHPNLVVGGTYSGQIVLWDNRSHRRTPVQRTPLSAAAHTHPVYCVNV VGTQNAHNLITVSTDGKMCSWSLDMLSTPQESMELVYNKSKPVAVTGMAFPTGDVNNFVV GSEEGTVYTACRHGSKAGIGEVFEGHQGPVTGINCHMAVGPIDFSHLFVTSSFDWTVKLW TTKHNKPLYSFEDNADYVYDVMWSPVHPALFACVDGMGRLDLWNLNSDTEVPTASVAIEG ASALNRVRWAQGGKEVAVGDSEGRIWIYDVGELAVPHNDEWTRFARTLVEIRANRADSEE EGAVELAA >ENSMUSP00000121787.1 pep:known chromosome:GRCm38:6:5725818:5767050:1 gene:ENSMUSG00000029757.16 transcript:ENSMUST00000153942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i1 description:dynein cytoplasmic 1 intermediate chain 1 [Source:MGI Symbol;Acc:MGI:107743] MEHRLCTKSWEALNSPCVLGLCWQFTAILKIYCRNGEMEGRMLSREANMSDKSDLKAELE RKKQRLAQIREEKKRKEEERKKKEADMQQKK >ENSMUSP00000145221.1 pep:known chromosome:GRCm38:6:5757419:5784898:1 gene:ENSMUSG00000029757.16 transcript:ENSMUST00000204149.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i1 description:dynein cytoplasmic 1 intermediate chain 1 [Source:MGI Symbol;Acc:MGI:107743] XERKKQRLAQIREEKKRKEEERKKKEADMQQKKEPVQDDSDLDRKRRETEALLQSIGISP EPPLVSTPSDAGSQDSGDLGPLTRTLQWDTDPSVLQLQSDSELGYMSALLFLLL >ENSMUSP00000038961.2 pep:known chromosome:GRCm38:13:21501029:21501418:-1 gene:ENSMUSG00000079941.1 transcript:ENSMUST00000044043.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11273 description:predicted gene 11273 [Source:MGI Symbol;Acc:MGI:3649411] MASRLLRGVGALAAQALRAHGPRGAAVTRSMASGGGVPTDEEQATGLEREIMIAAQKGLD PYNMLPPKAASGTKEDPNLVPSISNKRIVGCICEEDNCTVIWFWLHKGESQRCPNCGTHY KLVPHQMAH >ENSMUSP00000113155.1 pep:known chromosome:GRCm38:7:6252710:6281859:1 gene:ENSMUSG00000053367.15 transcript:ENSMUST00000121024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6792 description:predicted gene 6792 [Source:MGI Symbol;Acc:MGI:3643580] MCRLEPFLKRSLVVLLFLGLAEACVPREVAMEEKIKMLKGILGLMGRLSPDGFRQNIISS SKTPPLVTTPDKSEEEMKILKRILGLLSLQVLNEETSNCKEEVKPPPATTTVRGLVRTSG WNFLRCAYMVITFFFVSYNKGDWCYCRYCNPDLDLRDDPCCSFQ >ENSMUSP00000146981.1 pep:known chromosome:GRCm38:7:6258613:6282066:1 gene:ENSMUSG00000053367.15 transcript:ENSMUST00000128903.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm6792 description:predicted gene 6792 [Source:MGI Symbol;Acc:MGI:3643580] XPPPVKMLKGILGLMGRLSPDGFRQNIISSSKTPPLVTTPDKSEEEMKILKRILGLLSLQ VLNEETSNCKEEVLLPLL >ENSMUSP00000116208.1 pep:known chromosome:GRCm38:7:6260536:6281859:1 gene:ENSMUSG00000053367.15 transcript:ENSMUST00000155248.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6792 description:predicted gene 6792 [Source:MGI Symbol;Acc:MGI:3643580] XILGLMGRLSPDDKSEEEMKILKRILGLLSLQVLNEETSNCKEEVKPPPATTTVRGLVRT SGWNFLRCAYMVITFFFVSYNKGDWCYCRYCNPDLDLRDDPCCSFQ >ENSMUSP00000081819.2 pep:known chromosome:GRCm38:7:107166990:107198093:1 gene:ENSMUSG00000016626.10 transcript:ENSMUST00000084763.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp14 description:NLR family, pyrin domain containing 14 [Source:MGI Symbol;Acc:MGI:1924108] MKTEDDEMEYEASKEETVSEDKDFDDGIDYRTVIKENIFTMWYKTSLHGEFATLNCVITP KDQNLLQHIFDEDIQTSEAPQTVVLQGAAGIGKTTLLKKAVLEWADGNLYQQFTHVFYLN GKEISQVKEKSFAQLISKHWPSSEGPIEQVLSKPSSLLFIIDSFDELDFSFEEPQFALCK DWTQISPVSFLISSLLRKVMLPESYLLVATRSTAWKRLVPLLQKPQRVKLSGLSKNARMD YIHHLLKDKAWATSAIYSLRMNWRLFHMCHVCHMCQMICAVLKGQVEKGGRVEETCKTST ALFTYYICSLFPRIPVGCVTLPNETLLRSLCKAAVEGIWTMKHVLYQQNLRKHELTREDI LLFLDAKVLQQDTEYENCYMFTHLHVQEFFAALFYLLRENLEEQDYPSEPFENLYLLLES NHIHDPHLEQMKCFLFGLLNKDRVRQLEETFNLTISMEVREELLACLEGLEKDDSSLSQL RFQDLLHCIYETQDQEFITQALMYFQKIIVRVDEEPQLRIYSFCLKHCHTLKTMRLTARA DLKNMLDTAEMCLEGAAVQVIHYWQDLFSVLHTNESLIEMDLYESRLDESLMKILNEELS HPKCKLQKLIFRAVDFLNGCQDFTFLASNKKVTHLDLKETDLGVNGLKTLCEALKCKGCK LRVLRLASCDLNVARCQKLSNALQTNRSLVFLNLSLNNLSNDGVKSLCEVLENPNSSLER LALASCGLTKAGCKVLSSALTKSKRLTHLCLSDNVLEDEGIKLLSHTLKHPQCTLQSLVL RSCSFTPIGSEHLSTALLHNRSLVHLDLGQNKLADNGVKLLCHSLQQPHCNLQELELMSC VLTSKACGDLASVLVNNSNLWSLDLGHNILDDAGLNILCDALRNPNCHVQRLGLENCGLT PGCCQDLLGILSNNKSVIQMNLMKNALDHESIKNLCKVLRSPTCKMEFLALDKKEILKKK IKKFLVDVRINNPHLVIGPECPNTESGCWWNYF >ENSMUSP00000145427.1 pep:known chromosome:GRCm38:7:107187128:107198102:1 gene:ENSMUSG00000016626.10 transcript:ENSMUST00000142623.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp14 description:NLR family, pyrin domain containing 14 [Source:MGI Symbol;Acc:MGI:1924108] MHEGPRLASCDLNVARCQKLSNALQTNRSLVFLNLSLNNLSNDGVKSLCEVLENPNSSLE RLALASCGLTKAGCKVLSSALTKSKRLTHLCLSDNVLEDEGIKLLSHTLKHPQCTLQSLV LRSCSFTPIGSEHLSTALLHNRSLVHLDLGQNKLADNGVKLLCHSLQQPHCNLQELELMS CVLTSKACGDLASVLVNNSNLWSLDLGHNILDDAGLNILCDALRNPNCHVQRLGLENCGL TPGCCQDLLGILSNNKSVIQMNLMKNALDHESIKNLCKVLRSPTCKMEFLALDKKEILKK KIKKFLVDVRINNPHLVIGPECPNTESGCWWNYF >ENSMUSP00000041750.4 pep:known chromosome:GRCm38:13:50417864:50433769:1 gene:ENSMUSG00000037816.10 transcript:ENSMUST00000046974.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw17 description:F-box and WD-40 domain protein 17 [Source:MGI Symbol;Acc:MGI:1923584] MAPQLGPHELQHIFSFLEARDLLRAAQVNKVWNEVSMTKELWRQLCLRRWASCKAFPVVV GTQTWKKYYFCRSELEFRMESGRPQDFICKAISGHTGMIDQLAYVSPHEYRFDEGARSVV CTVSSDCTVRAWDLQEGSEIWSSAVQPAPLVNVVAYPQLQLVVTVDIQGLIMGWKAKTGS EWAFFSLPASCSSMEACDHPEGPFLLVACDEGTLYTMTVPQLQVVSRVPTFPHSSVSLTC SPDTQWVFVSAQGSDLGPKVFYTQPLLHPSEDRLPVSTTLPIRLSSRACWAPDEAARLMV IHRDDGGVHLVITTFELKATKSRDRLSILAQQLASFHLPDTMTPHLMQGHGSQTILLTSR SELVLFTIHGLQLMAFQDHQRPITSMWVDPDRVITAALDLSLRVYVWNKKNTSPVLKSCY HLLGGSHRWASGFTHVKSDSRSIAGVEARSTGTSILRSYCFKVKLD >ENSMUSP00000135671.1 pep:known chromosome:GRCm38:13:50417881:50425656:1 gene:ENSMUSG00000037816.10 transcript:ENSMUST00000176913.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxw17 description:F-box and WD-40 domain protein 17 [Source:MGI Symbol;Acc:MGI:1923584] MAPQLGPHELQHIFSFLEARDLLRAAQVNKVWNEVSMTKELWR >ENSMUSP00000135527.1 pep:known chromosome:GRCm38:13:50417886:50433780:1 gene:ENSMUSG00000037816.10 transcript:ENSMUST00000176479.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxw17 description:F-box and WD-40 domain protein 17 [Source:MGI Symbol;Acc:MGI:1923584] MAPQLGPHELQHIFSFLEARDLLRAAQVNKVWNEVSMTKELWR >ENSMUSP00000135878.1 pep:known chromosome:GRCm38:13:50431711:50433769:1 gene:ENSMUSG00000037816.10 transcript:ENSMUST00000176772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw17 description:F-box and WD-40 domain protein 17 [Source:MGI Symbol;Acc:MGI:1923584] LKATKSRDRLSILAQQLASFHLPDTMTPHLMQGHGSQTILLTSRIQTVSSLLPWTCPCVS MCGIKRTHHLSSRAVTICSGDLTDGPVDSPT >ENSMUSP00000134899.1 pep:known chromosome:GRCm38:13:50432185:50433763:1 gene:ENSMUSG00000037816.10 transcript:ENSMUST00000176543.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw17 description:F-box and WD-40 domain protein 17 [Source:MGI Symbol;Acc:MGI:1923584] SFHLPDTMTPHLMQGHGSQTILLTSSCSSLDFISRIQTVSSLLPWTCPCVSMCGIKRTHH LSSRAVTICSGDLTDGPVDSPT >ENSMUSP00000046016.7 pep:known chromosome:GRCm38:9:66946086:66949512:1 gene:ENSMUSG00000036781.13 transcript:ENSMUST00000040917.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps27l description:ribosomal protein S27-like [Source:MGI Symbol;Acc:MGI:1915191] MPLARDLLHPSLEEEKKKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCS TVLCQPTGGKARLTEGCSFRRKQH >ENSMUSP00000120518.1 pep:known chromosome:GRCm38:9:66946091:66949514:1 gene:ENSMUSG00000036781.13 transcript:ENSMUST00000127896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps27l description:ribosomal protein S27-like [Source:MGI Symbol;Acc:MGI:1915191] MPLARDLLHPSLEEEKKKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCS TVLCQPTGGKARLTEESCEFHVFTGRVTSELAIIQDQLGIFRLFI >ENSMUSP00000118963.1 pep:known chromosome:GRCm38:9:66946166:66949468:1 gene:ENSMUSG00000036781.13 transcript:ENSMUST00000147394.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps27l description:ribosomal protein S27-like [Source:MGI Symbol;Acc:MGI:1915191] MPLARDLLHPSLEEEKKKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCS TVLCQPTGGKARLTEEL >ENSMUSP00000115242.1 pep:known chromosome:GRCm38:9:66947642:66949468:1 gene:ENSMUSG00000036781.13 transcript:ENSMUST00000146573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps27l description:ribosomal protein S27-like [Source:MGI Symbol;Acc:MGI:1915191] XGCSTVLCQPTGGKARLTEAVNSMFSLEELQVN >ENSMUSP00000087986.3 pep:known chromosome:GRCm38:16:4480216:4523075:-1 gene:ENSMUSG00000022519.13 transcript:ENSMUST00000090500.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srl description:sarcalumenin [Source:MGI Symbol;Acc:MGI:2146620] MKALLLLCCFLASLLLSGQAEVEDASEEAPLRDRSHIDKTLMLNEDKPADDYSAVLQRLR KIYHTSIKPLEQSYKYNELRQHEITDGEITSKPMVLFLGPWSVGKSTMINYLLGLEDTRY QLYTGAEPTTSEFTVLMHGPKLKTIEGIVMAADSARSFSPLEKFGQNFLEKLIGIEVPHK LLERVTFVDTPGIIENRKQQERGYPFNDVCQWFIDRADLIFVVFDPTKLDVGLELEMLFR QLKGRESQIRIILNKADNLATQMLMRVYGALFWSLAPLINVTEPPRVYVSSFWPQDYKPD THRELFLKEEISLLEDLNQVIENRLENKIAFIRQHAIRVRIHALLVDRYLQTYKDKMTFF SDGELVFKDIVEDPDKFYIFKTILAKTNVSKFDLPNREAYKDFFGINPISNFKLLSQQCS YMGGCFLEKIERAITQELPSLLGSIGLGKNPGAPNCDKTGCGETPKNRYKKH >ENSMUSP00000023161.7 pep:known chromosome:GRCm38:16:4480228:4523075:-1 gene:ENSMUSG00000022519.13 transcript:ENSMUST00000023161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srl description:sarcalumenin [Source:MGI Symbol;Acc:MGI:2146620] MKALLLLCCFLASLLLSGQAELQVSASGGTEDVGNLLENHFSAGDASLEEKERALYADAA PGDKNLLLHYPDGREAESLEQTPAGAPSTANGQGSETEASLSNTSAAESAPPGDVEGPGE EEEGPHAAGTLPPGGIEGPEEERPQFSSGKEPGQEEAGVGVPTEGAASMEAEVQEGSSGV PEELQGLLGDGPMNEAAGTAESVVTQSSPPIEGKEAEGEGNTGSDHQSTELDGTQDAIPA GDESEAREGAEDKPQDYSLQSEDTATPGPDHQPTDLHAASDTASSGVEAEEGAEESKAEV DTESGEKVEDQGEPRPSLDAGSAIEANKQSEYTQARETENDSPEGQVSGMSEEGLAEASS EEEGGEEDGSENGEVPFKEESGEDSGDGASSEEEGSTPGEAGEPQKTPGATGHEEEGPQL DVEDLNTGFEGSKTQDIEAEASEERQQERGNPVIAQWEEVEDASEEAPLRDRSHIDKTLM LNEDKPADDYSAVLQRLRKIYHTSIKPLEQSYKYNELRQHEITDGEITSKPMVLFLGPWS VGKSTMINYLLGLEDTRYQLYTGAEPTTSEFTVLMHGPKLKTIEGIVMAADSARSFSPLE KFGQNFLEKLIGIEVPHKLLERVTFVDTPGIIENRKQQERGYPFNDVCQWFIDRADLIFV VFDPTKLDVGLELEMLFRQLKGRESQIRIILNKADNLATQMLMRVYGALFWSLAPLINVT EPPRVYVSSFWPQDYKPDTHRELFLKEEISLLEDLNQVIENRLENKIAFIRQHAIRVRIH ALLVDRYLQTYKDKMTFFSDGELVFKDIVEDPDKFYIFKTILAKTNVSKFDLPNREAYKD FFGINPISNFKLLSQQCSYMGGCFLEKIERAITQELPSLLGSIGLGKNPGAPNCDKTGCG ETPKNRYKKH >ENSMUSP00000110578.1 pep:known chromosome:GRCm38:X:48841466:48877713:-1 gene:ENSMUSG00000079606.1 transcript:ENSMUST00000114928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm595 description:predicted gene 595 [Source:MGI Symbol;Acc:MGI:2685441] MDLYLSNCIKAAEAAASKAASGDITNNKDTCSNKKQKPTIPKIGPANLLDMPLGAKLPVI PGSNSIFYTTNISEKLFQPSFGFNLSDPYCKLMETTYKSLHDPHLKSYFKRKDILKKLKK EGYITGNNKVVCSLKELNKYRQYLTTLKIDFERNYVREQKIIENQVNKLNEERRAYDNAA AAEFQWWLLQEGKKASPQHERLIKLRHLNMINKELDKLEDTVGKRSSLVMKEEDRQHWDD VKRKLNLHQEVEADWQFKEMSLMTKIGDEVKRETKVEEHHRKIREEINRKKQVMLQKRIA YHLQKLQEKESKEGKREESTSESKRPSETASSIQKQPSFTEPKMSQEHLERKTSISSMRT SISERLMQESRESKSISQTTRTSFDEDQSSLYKLLETRFSPIARRRSSLAEDQAFQEVLE PKYRHHSSGKKTSFAEETLFHEHNEQKYDPPNTKRRSFANDKFMEDLLEAKYLYQNTKRT SFTDQKPMEDNLHSILTSPSTRRTSVSDQRMFYEPIQIKSAPPIIKKTSFAEEKSFQQLM EAIMPPQYVKKTSISDQRSSQESLETRRSSHHSQNNMKVFVKTSTTLPPQQRGIQNTSNH KYDKGSSRTSHHSHDRAPDPSHCLQSTALSRQPCHDIPTHEVDPSFRRNTHKHSK >ENSMUSP00000108783.1 pep:known chromosome:GRCm38:2:33406108:33431324:-1 gene:ENSMUSG00000068966.10 transcript:ENSMUST00000113158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb34 description:zinc finger and BTB domain containing 34 [Source:MGI Symbol;Acc:MGI:2685195] MESTLEECNSRLRFVSGEMDNSSFIQFDVPEHSSTVLSQLNELRLQGKLCDIIVHIQGQP FRAHKAVLAASSPYFRDHSALSTMSGLSISVIKNPSVFEQLLSFCYTGRMSLQLKDVVSF LTAASFLQMQCVIDKCTQILESIHSKISVGDVDSVTIGAEENPESRNGVKDGSFFTNPVE ISPPYCPQVRQPPVSSDLRMETTPNKALRSRLQEEGHSDRGSSGSVSEYEIQIEGDHEQG DLLVRESQITEVKVKMEKSDRPSCSDSSSLGDDGYHTEMVDGEQVVAVNVGAYGSVLQHA YPYSQTASQPSSVPEAFGGQTNSSPSRSMLSCFRGRGARQKRALSVHLHSDLQGVVQGSD SEAMMNNPGYESSPRERSARGYWYPYNERLICIYCGKSFNQKGSLDRHMRLHMGITPFVC KFCGKKYTRKDQLEYHIRGHTDDKPFRCEVCGKCFPFQGTLNQHLRKNHPGVTEGRGRME SPERTDMYVEQKLESDASASEMALDSRLEMHTVSDAPD >ENSMUSP00000088560.2 pep:known chromosome:GRCm38:2:33406108:33428222:-1 gene:ENSMUSG00000068966.10 transcript:ENSMUST00000091037.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb34 description:zinc finger and BTB domain containing 34 [Source:MGI Symbol;Acc:MGI:2685195] MESTLEECNSRLRFVSGEMDNSSFIQFDVPEHSSTVLSQLNELRLQGKLCDIIVHIQGQP FRAHKAVLAASSPYFRDHSALSTMSGLSISVIKNPSVFEQLLSFCYTGRMSLQLKDVVSF LTAASFLQMQCVIDKCTQILESIHSKISVGDVDSVTIGAEENPESRNGVKDGSFFTNPVE ISPPYCPQVRQPPVSSDLRMETTPNKALRSRLQEEGHSDRGSSGSVSEYEIQIEGDHEQG DLLVRESQITEVKVKMEKSDRPSCSDSSSLGDDGYHTEMVDGEQVVAVNVGAYGSVLQHA YPYSQTASQPSSVPEAFGGQTNSSPSRSMLSCFRGRGARQKRALSVHLHSDLQGVVQGSD SEAMMNNPGYESSPRERSARGYWYPYNERLICIYCGKSFNQKGSLDRHMRLHMGITPFVC KFCGKKYTRKDQLEYHIRGHTDDKPFRCEVCGKCFPFQGTLNQHLRKNHPGVTEGRGRME SPERTDMYVEQKLESDASASEMALDSRLEMHTVSDAPD >ENSMUSP00000077099.5 pep:known chromosome:GRCm38:1:80501073:80758448:-1 gene:ENSMUSG00000038608.15 transcript:ENSMUST00000077946.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock10 description:dedicator of cytokinesis 10 [Source:MGI Symbol;Acc:MGI:2146320] MAGERTRRFTRSLLRPGQAAELRHSAASAAAVAVSSRQQQRQEKPRLLDPLDYETVIEEL EKTYRDDPLQDLLFFPSDDFSTATVSWDIRTLYSTVPEEAEHRAESLLVKEACKFYSSQW YVVNYKYEQYSGDIRQLPRAEHKPEKLPSHSFEVDHEDADKDEDTTSHSSSKGGGGAGGT GVFKSGWLYKGNFNSTVNNTVTVRSFKKRYFQLTQLPDNSYIMNFYKDEKISKEPKGCIF LDSCTGVVQNNRLRKYAFELKMNDLTYFVLAAETESDMDEWIHTLNRILQISPEGPLQGR KSAELAELGLDPLDNCVTCECTLEETDSSENSLHPDFAKYLTETEDTVKTTRNMGRLNLF SLDPDIDTLKLQKRDSFENELMIKPFEEKAAKRIMIICRALNFNLQGCVTENEYDPVTNI EPFFVSVALYDLRDNRKISADFHVDLNHPAVRQMLSGTPPALENGNIDTGTPRQSEEPHI KGLPEEWLKFPKQAVFSVSDPHSEIVLVAKVEKVLMGNIGSGAEPYIKNPDSNKFAQKIL KSNRQFCSKLGKYRMPFAWAVRSVFKDNQGNVDRDSRFSPLYRQESSKMSSEDLLKLVSD YRRADRISKMQSIPGSLDIAVDNIPLEHPNCVTSSFIPVKPFNVSAQSEPTVEVEEFIYD STKYCRPYRVYKNQIYVYPKHLKYDSQKCFNKARNITVCIEFKNSDDDGAKPMKCIYGKP GGPLFTSSAYTAVLHHSQNPDFSDEVKIELPTQLHGKHHLLFSFYHITCDINAKANAKKK EALETSVGYAWLPLMKHDQIASQEYNIPIATTLPPNYLSIQDPTSAKHGGSDIKWVDGGK PLFKVSTFVVSTVNTQDPHVNAFFRQCQKREKDMSQSPTSSFVRACKNLLNVDKIHSIMS FLPIILNQLFKILVQNEEDEITATVTRVLADIVAKCHEEQLDHSVQSYIKFVFKTKSYKE RTIHEELAKNLSDLLKSNDSTIVKHVLEHSWFFFAIILKSMAQHLIDTNKIQLPRAQRFP ESYQSELDNLVMGLCDHVIWKCKEAPEETKRANHSVARFLKRCFTFMDRGFVFKMVNNYI SMFSSGEFKTLCQYKFDFLQEVCQHEHFIPLCLPIRSANIPDPLTPSESIRELHASDMPE YSVTNEFCRKHFLIGILLREVGFALQEDQDIRHLALAVLKNLMAKHSFDDRYREPRKQAQ IASLYMPLYGMLLDNMPRIYLKDLYPFTVNTSNQGSRDDLSTNGGFQTQTSMKHATSVDT SFSKDVLNSIAAFSSIAISTVNHADSRASLASLDSNPSTTEKSSEKTDNCEKIPRPLSLI GSTLRFDKLDQAETRSLLMCFLHIMKTISDETLIAYWQRAPSPEVSDFFSILDVCLQNFR YLGKRNIIRKIAAAFKFVQSTQNNGTLKGSNPSCQTSGLLSQWMHTTSGHEGHKQHRSQT LPIIRGKNALSNPKLLQMLDNSMNSNSNEIDIVHHVDTEANIATEVCLTILDLLSLFTQV HQRQLQQSDCQNSLMKRVFDTYMLFFQVNQSASALKHVFASLRLFVCKFPSAFFQGPADL CGSFCYEVLKCCNHRSRLTQMEASALLYFFMRKNFEFNKQKSIVRSHLQLIKAVSQLIAD AGIGGSRFQHSLAITNNFANGDKQMKNSNFPAEVKDLTKRIRTVLMATAQMKEHEKDPEM LVDLQYSLANSYASTPELRRTWLESMAKIHARNGDLSEAAMCYIHIAALIAEYLKRKGYW KMEKICTPPLLPEDTQPCDSNLLLTTPGGGSMFSMGWPAFLSITPNIKEEGAMKEDSGMQ DTPYNENILVEQLYMCVEFLWKSERYELIADVNKPIIAVFEKQRDFKKLSDLYYDIHRSY LKVAEVVNSEKRLFGRYYRVAFYGQGFFEEEEGKEYIYKEPKLTGLSEISQRLLKLYADK FGADNVKIIQDSNKVNPKDLDPKYAYIQVTYVTPFFEEKEIEDRKTDFEMHHNINRFVFE TPFTLSGKKHGGVAEQCKRRTVLTTSHLFPYVKKRIQVISQSSTELNPIEVAIDEMSRKV SELNQLCTTEEVDMIRLQLKLQGSVSVKVNAGPMAYARAFLEETNAKKYPDNQVKLLKEI FRQFADACGQALDVNERLIKEDQLEYQEELRSHYKDMLSELSAIMNEQITGRDDPAKCGV ERPYTTRVTSKGTAAVPVVSISSSAEV >ENSMUSP00000139567.1 pep:known chromosome:GRCm38:1:80501188:80592832:-1 gene:ENSMUSG00000038608.15 transcript:ENSMUST00000190595.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock10 description:dedicator of cytokinesis 10 [Source:MGI Symbol;Acc:MGI:2146320] VKTTRNMGRLNLFSLDPDIDTLKLQKRDSFENELMIKPFEEKAAKRIMIICRALNFNLQG CVTENEYDPVTNIEPFFVSVALYDLRDNRKISADFHVDLNHPAVRQMLSGTPPALENGNI DTGTPRQSEEPHIKGLPEEWLKFPKQAVFSVSDPHSEIVLVAKVEKVLMGNIGSGAEPYI KNPDSNKFAQKILKSNRQFCSKLGKYRMPFAWAVRSVFKDNQGNVDRDSRFSPLYRQESS KMSSEDLLKLVSDYRRADRISKMQSIPGSLDIAVDNIPLEHPNCVTSSFIPVKPFNVSAQ SEPTVEVEEFIYDSTKYCRPYRVYKNQIYVYPKHLKYDSQKCFNKARNITVCIEFKNSDD DGAKPMKCIYGKPGGPLFTSSAYTAVLHHSQNPDFSDEVKIELPTQLHGKHHLLFSFYHI TCDINAKANAKKKEALETSVGYAWLPLMKHDQIASQEYNIPIATTLPPNYLSIQDPTSAK HGGSDIKWVDGGKPLFKVSTFVVSTVNTQDPHVNAFFRQCQKREKDMSQSPTSSFVRACK NLLNVDKIHSIMSFLPIILNQLFKILVQNEEDEITATVTRVLADIVAKCHEEQLDHSVQS YIKFVFKTKSYKERTIHEELAKNLSDLLKSNDSTIVKHVLEHSWFFFAIILKSMAQHLID TNKIQLPRAQRFPESYQSELDNLVMGLCDHVIWKCKEAPEETKRANHSVARFLKRCFTFM DRGFVFKMVNNYISMFSSGEFKTLCQYKFDFLQEVCQHEHFIPLCLPIRSANIPDPLTPS ESIRELHASDMPEYSVTNEFCRKHFLIGILLREVGFALQEDQDIRHLALAVLKNLMAKHS FDDRYREPRKQAQIASLYMPLYGMLLDNMPRIYLKDLYPFTVNTSNQGSRDDLSTNGGFQ TQTSMKHATSVDTSFSKDVLNSIAAFSSIAISTVNHADSRASLASLDSNPSTTEKSSEKT DNCEKIPRPLSLIGSTLRFDKLDQAETRSLLMCFLHIMKTISDETLIAYWQRAPSPEVSD FFSILDVCLQNFRYLGKRNIIRKIAAAFKFVQSTQNNGTLKGSNPSCQTSGLLSQWMHTT SGHEGHKQHRSQTLPIIRGKNALSNPKLLQMLDNSMNSNSNEIDIVHHVDTEANIATEVC LTILDLLSLFTQVHQRQLQQSDCQNSLMKRVFDTYMLFFQVNQSASALKHVFASLRLFVC KFPSAFFQGPADLCGSFCYEVLKCCNHRSRLTQMEASALLYFFMRKNFEFNKQKSIVRSH LQLIKAVSQLIADAGIGGSRFQHSLAITNNFANGDKQMKNSNFPAEVKDLTKRIRTVLMA TAQMKEHEKDPEMLVDLQYSLANSYASTPELRRTWLESMAKIHARNGDLSEAAMCYIHIA ALIAEYLKRKGMFSMGWPAFLSITPNIKEEGAMKEDSGMQDTPYNENILVEQLYMCVEFL WKSERYELIADVNKPIIAVFEKQRDFKKLSDLYYDIHRSYLKVAEVVNSEKRLFGRYYRV AFYGQAVGFFEEEEGKEYIYKEPKLTGLSEISQRLLKLYADKFGADNVKIIQDSNKVNPK DLDPKYAYIQVTYVTPFFEEKEIEDRKTDFEMHHNINRFVFETPFTLSGKKHGGVAEQCK RRTVLTTSHLFPYVKKRIQVISQSSTELNPIEVAIDEMSRKVSELNQLCTTEEVDMIRLQ LKLQGSVSVKVNAGPMAYARAFLEETNAKKYPDNQVKLLKEIFRQFADACGQALDVNERL IKEDQLEYQEELRSHYKDMLSELSAIMNEQLCRGPCLYSFCASVSSISLSTVSKSDYGQG RPSKVRSGATLHHTCN >ENSMUSP00000140085.1 pep:known chromosome:GRCm38:1:80501553:80665309:-1 gene:ENSMUSG00000038608.15 transcript:ENSMUST00000187774.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock10 description:dedicator of cytokinesis 10 [Source:MGI Symbol;Acc:MGI:2146320] MMSFRGKEFWKRRRTVKRVNPEGIHKAGAQEKPRLLDPLDYETVIEELEKTYRDDPLQDL LFFPSDDFSTATVSWDIRTLYSTVPEEAEHRAESLLVKEACKFYSSQWYVVNYKYEQYSG DIRQLPRAEHKPEKLPSHSFEVDHEDADKDEDTTSHSSSKGGGGAGGTGVFKSGWLYKGN FNSTVNNTVTVRSFKKRYFQLTQLPDNSYIMNFYKDEKISKEPKGCIFLDSCTGVVQNNR LRKYAFELKMNDLTYFVLAAETESDMDEWIHTLNRILQISPEGPLQGRKSAELAELGLDP LDNCVTCECTLEETDSSENSLHPDFAKYLTETEDTVKTTRNMGRLNLFSLDPDIDTLKLQ KRDSFENELMIKPFEEKAAKRIMIICRALNFNLQGCVTENEYDPVTNIEPFFVSVALYDL RDNRKISADFHVDLNHPAVRQMLSGTPPALENGNIDTGTPRQSEEPHIKGLPEEWLKFPK QAVFSVSDPHSEIVLVAKVEKVLMGNIGSGAEPYIKNPDSNKFAQKILKSNRQFCSKLGK YRMPFAWAVRSVFKDNQGNVDRDSRFSPLYRQESSKMSSEDLLKLVSDYRRADRISKMQS IPGSLDIAVDNIPLEHPNCVTSSFIPVKPFNVSAQSEPTVEVEEFIYDSTKYCRPYRVYK NQIYVYPKHLKYDSQKCFNKARNITVCIEFKNSDDDGAKPMKCIYGKPGGPLFTSSAYTA VLHHSQNPDFSDEVKIELPTQLHGKHHLLFSFYHITCDINAKANAKKKEALETSVGYAWL PLMKHDQIASQEYNIPIATTLPPNYLSIQDPTSAKHGGSDIKWVDGGKPLFKVSTFVVST VNTQDPHVNAFFRQCQKREKDMSQSPTSSFVRACKNLLNVDKIHSIMSFLPIILNQLFKI LVQNEEDEITATVTRVLADIVAKCHEEQLDHSVQSYIKFVFKTKSYKERTIHEELAKNLS DLLKSNDSTIVKHVLEHSWFFFAIILKSMAQHLIDTNKIQLPRAQRFPESYQSELDNLVM GLCDHVIWKCKEAPEETKRANHSVARFLKRCFTFMDRGFVFKMVNNYISMFSSGEFKTLC QYKFDFLQEVCQHEHFIPLCLPIRSANIPDPLTPSESIRELHASDMPEYSVTNEFCRKHF LIGILLREVGFALQEDQDIRHLALAVLKNLMAKHSFDDRYREPRKQAQIASLYMPLYGML LDNMPRIYLKDLYPFTVNTSNQGSRDDLSTNGGFQTQTSMKHATSVDTSFSKDVLNSIAA FSSIAISTVNHADSRASLASLDSNPSTTEKSSEKTDNCEKIPRPLSLIGSTLRFDKLDQA ETRSLLMCFLHIMKTISDETLIAYWQRAPSPEVSDFFSILDVCLQNFRYLGKRNIIRKIA AAFKFVQSTQNNGTLKGSNPSCQTSGLLSQWMHTTSGHEGHKQHRSQTLPIIRGKNALSN PKLLQMLDNSMNSNSNEIDIVHHVDTEANIATEVCLTILDLLSLFTQVHQRQLQQSDCQN SLMKRVFDTYMLFFQVNQSASALKHVFASLRLFVCKFPSAFFQGPADLCGSFCYEVLKCC NHRSRLTQMEASALLYFFMRKNFEFNKQKSIVRSHLQLIKAVSQLIADAGIGGSRFQHSL AITNNFANGDKQMKNSNFPAEVKDLTKRIRTVLMATAQMKEHEKDPEMLVDLQYSLANSY ASTPELRRTWLESMAKIHARNGDLSEAAMCYIHIAALIAEYLKRKGYWKMEKICTPPLLP EDTQPCDSNLLLTTPGGGSMFSMGWPAFLSITPNIKEEGAMKEDSGMQDTPYNENILVEQ LYMCVEFLWKSERYELIADVNKPIIAVFEKQRDFKKLSDLYYDIHRSYLKVAEVVNSEKR LFGRYYRVAFYGQGFFEEEEGKEYIYKEPKLTGLSEISQRLLKLYADKFGADNVKIIQDS NKVNPKDLDPKYAYIQVTYVTPFFEEKEIEDRKTDFEMHHNINRFVFETPFTLSGKKHGG VAEQCKRRTVLTTSHLFPYVKKRIQVISQSSTELNPIEVAIDEMSRKVSELNQLCTTEEV DMIRLQLKLQGSVSVKVNAGPMAYARAFLEETNAKKYPDNQVKLLKEIFRQFADACGQAL DVNERLIKEDQLEYQEELRSHYKDMLSELSAIMNEQITGRDDPAKCGVERPYTTRVTSKG TAAVPVVSISSSAEV >ENSMUSP00000140868.1 pep:known chromosome:GRCm38:1:80501641:80530165:-1 gene:ENSMUSG00000038608.15 transcript:ENSMUST00000189486.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock10 description:dedicator of cytokinesis 10 [Source:MGI Symbol;Acc:MGI:2146320] XNSYASTPELRRTWLESMAKIHARNGDLSEAAMCYIHIAALIAEYLKRKGMFSMGWPAFL SITPNIKEEGAMKEDSGMQDTPYNENILVEQLYMCVEFLWKSERYELIADVNKPIIAVFE KQRDFKKLSDLYYDIHRSYLKVAEVVNSEKRLFGRYYRVAFYGQAVGFFEEEEGKEYIYK EPKLTGLSEISQRLLKLYADKFGADNVKIIQDSNKVNPKDLDPKYAYIQVTYVTPFFEEK EIEDRKTDFEMHHNINRFVFETPFTLSGKKHGGVAEQCKRRTVLTTSHLFPYVKKRIQVI SQSSTELNPIEVAIDEMSRKVSELNQLCTTEEVDMIRLQLKLQGSVSVKVNAGPMAYARA FLEETNAKKYPDNQVKLLKEIFRQFADACGQALDVNERLIKEDQLEYQEELRSHYKDMLS ELSAIMNEQLCRGPCLYSFCASVSSISLSTVSKSGTSFSLYVYPVLQPPVHPPLLITSPV PQSALVAQLLLRLHCHLKTRHVDSLAFKKKTHAPSSPKCIFELFQFFKTKTQNVFMILQN IKEKHRPGVEEERNRKWLFYKGNL >ENSMUSP00000140719.1 pep:known chromosome:GRCm38:1:80501713:80665275:-1 gene:ENSMUSG00000038608.15 transcript:ENSMUST00000190983.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock10 description:dedicator of cytokinesis 10 [Source:MGI Symbol;Acc:MGI:2146320] MMSFRGKEFWKRRRTVKRVNPEGIHKAGAEKPRLLDPLDYETVIEELEKTYRDDPLQDLL FFPSDDFSTATVSWDIRTLYSTVPEEAEHRAESLLVKEACKFYSSQWYVVNYKYEQYSGD IRQLPRAEHKPEKLPSHSFEVDHEDADKDEDTTSHSSSKGGGGAGGTGVFKSGWLYKGNF NSTVNNTVTVRSFKKRYFQLTQLPDNSYIMNFYKDEKISKEPKGCIFLDSCTGVVQNNRL RKYAFELKMNDLTYFVLAAETESDMDEWIHTLNRILQISPEGPLQGRKSAELAELGLDPL DNCVTCECTLEETDSSENSLHPDFAKYLTETEDTVKTTRNMGRLNLFSLDPDIDTLKLQK RDSFENELMIKPFEEKAAKRIMIICRALNFNLQGCVTENEYDPVTNIEPFFVSVALYDLR DNRKISADFHVDLNHPAVRQMLSGTPPALENGNIDTGTPRQSEEPHIKGLPEEWLKFPKQ AVFSVSDPHSEIVLVAKVEKVLMGNIGSGAEPYIKNPDSNKFAQKILKSNRQFCSKLGKY RMPFAWAVRSVFKDNQGNVDRDSRFSPLYRQESSKMSSEDLLKLVSDYRRADRISKMQSI PGSLDIAVDNIPLEHPNCVTSSFIPVKPFNVSAQSEPTVEVEEFIYDSTKYCRPYRVYKN QIYVYPKHLKYDSQKCFNKARNITVCIEFKNSDDDGAKPMKCIYGKPGGPLFTSSAYTAV LHHSQNPDFSDEVKIELPTQLHGKHHLLFSFYHITCDINAKANAKKKEALETSVGYAWLP LMKHDQIASQEYNIPIATTLPPNYLSIQDPTSAKHGGSDIKWVDGGKPLFKVSTFVVSTV NTQDPHVNAFFRQCQKREKDMSQSPTSSFVRACKNLLNVDKIHSIMSFLPIILNQLFKIL VQNEEDEITATVTRVLADIVAKCHEEQLDHSVQSYIKFVFKTKSYKERTIHEELAKNLSD LLKSNDSTIVKHVLEHSWFFFAIILKSMAQHLIDTNKIQLPRAQRFPESYQSELDNLVMG LCDHVIWKCKEAPEETKRANHSVARFLKRCFTFMDRGFVFKMVNNYISMFSSGEFKTLCQ YKFDFLQEVCQHEHFIPLCLPIRSANIPDPLTPSESIRELHASDMPEYSVTNEFCRKHFL IGILLREVGFALQEDQDIRHLALAVLKNLMAKHSFDDRYREPRKQAQIASLYMPLYGMLL DNMPRIYLKDLYPFTVNTSNQGSRDDLSTNGGFQTQTSMKHATSVDTSFSKDVLNSIAAF SSIAISTVNHADSRASLASLDSNPSTTEKSSEKTDNCEKIPRPLSLIGSTLRFDKLDQAE TRSLLMCFLHIMKTISDETLIAYWQRAPSPEVSDFFSILDVCLQNFRYLGKRNIIRKIAA AFKFVQSTQNNGTLKGSNPSCQTSGLLSQWMHTTSGHEGHKQHRSQTLPIIRGKNALSNP KLLQMLDNSMNSNSNEIDIVHHVDTEANIATEVCLTILDLLSLFTQVHQRQLQQSDCQNS LMKRVFDTYMLFFQVNQSASALKHVFASLRLFVCKFPSAFFQGPADLCGSFCYEVLKCCN HRSRLTQMEASALLYFFMRKNFEFNKQKSIVRSHLQLIKAVSQLIADAGIGGSRFQHSLA ITNNFANGDKQMKNSNFPAEVKDLTKRIRTVLMATAQMKEHEKDPEMLVDLQYSLANSYA STPELRRTWLESMAKIHARNGDLSEAAMCYIHIAALIAEYLKRKGYWKMEKICTPPLLPE DTQPCDSNLLLTTPGGGSMFSMGWPAFLSITPNIKEEGAMKEDSGMQDTPYNENILVEQL YMCVEFLWKSERYELIADVNKPIIAVFEKQRDFKKLSDLYYDIHRSYLKVAEVVNSEKRL FGRYYRVAFYGQGFFEEEEGKEYIYKEPKLTGLSEISQRLLKLYADKFGADNVKIIQDSN KVNPKDLDPKYAYIQVTYVTPFFEEKEIEDRKTDFEMHHNINRFVFETPFTLSGKKHGGV AEQCKRRTVLTTSHLFPYVKKRIQVISQSSTELNPIEVAIDEMSRKVSELNQLCTTEEVD MIRLQLKLQGSVSVKVNAGPMAYARAFLEETNAKKYPDNQVKLLKEIFRQFADACGQALD VNERLIKEDQLEYQEELRSHYKDMLSELSAIMNEQPLAQSTETPTEREYEN >ENSMUSP00000140102.1 pep:known chromosome:GRCm38:1:80586330:80709496:-1 gene:ENSMUSG00000038608.15 transcript:ENSMUST00000186087.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock10 description:dedicator of cytokinesis 10 [Source:MGI Symbol;Acc:MGI:2146320] MGCTPSIVLLQAFRSVVQRSCPHICRRRQEEPSHEIVPLESDDEMMSRPRTLLMQEKPRL LDPLDYETVIEELEKTYRDDPLQDLLFFPSDDFSTATVSWDIRTLYSTVPEEAEHRAESL LVKEACKFYSSQWYVVNYKYEQYSGDIRQLPRAEHKPEKLPSHSFEVDHEDADKDEDTTS HSSSKGGGGAGGTGVFKSGWLYKGNFNSTVNNTVTVRSFKKRYFQLTQLPDNSYIMNFYK DEKISKEPKGCIFLDSCTGVVQNNRLRKYAFELKMNDLTYFVLAAETESDMDEWIHTLNR ILQISPEGPLQGRKSAELAELGLDPLDNCVTCECTLEETDSSENSLHPDFAKYLTETEDT VKTTRNMGRLNLFSLDPDIDTLKLQKRDSFENELMIKPFEEKAAKRIMIICRALNFNLQG CVTENEYDPVTNIEPFFVSVALYDLRDNRKISADFHVDLNHPAVRQMLSGTPPALENGNI DTGTPRQSEEPHIKGLPEEWLKFPKQAVFSVSDPHSEIVLVAKVEKVLMGNIGSGAEPYI KNPDSN >ENSMUSP00000140513.1 pep:known chromosome:GRCm38:1:80592601:80665292:-1 gene:ENSMUSG00000038608.15 transcript:ENSMUST00000191449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock10 description:dedicator of cytokinesis 10 [Source:MGI Symbol;Acc:MGI:2146320] MESRLLWASGNPSASASDQGIPNQEKPRLLDPLDYETVIEELEKTYRDDPLQDLLFFPSD DFSTATVSWDIRTLYSTVPEEAEHRAESLLVKEACKFYSSQWYVVNYKYEQYSGDIRQLP RAEHKPEKLPSHSFEVDHEDADKDEDTTSHSSSKGGGGAGGTGVFKSGWLYKGNFNSTVN NTVTVRSFKKRYFQLTQLPDNSYIMNFYKDEKISKEPKGCIFLDSCTGVVQNNRLRKYAF ELKMNDLTYFVLAAETESDMDEWIHTLNRILQISPEGPLQGRKSAELAELGLDPLDNCVT CECTLEETDSSENSLHPDFAKYLTETEDTVKTTRNMGRLNLFSLDPDIDTLKLQKRDSFE NELMIKPFEEKAA >ENSMUSP00000032803.5 pep:known chromosome:GRCm38:7:29783998:29794702:1 gene:ENSMUSG00000047473.14 transcript:ENSMUST00000032803.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp30 description:zinc finger protein 30 [Source:MGI Symbol;Acc:MGI:99178] MILEEEQASSCDSGIMAHSTVMFRDVAVGFSQEEWECLSAYERDLYRDVMLENYSHLVSL AGCSISKPDVITLLEQGKEPWMIVRAEKRRWSRDLESRYSSNGLLPEKNTYEINLSPWEI MGRIQRRGPEDSLLGKDFEYKIYEEQENSHRVYFRHVIKTTSGKRPRYRKRTPVSLYQKT PNGEKPYECGECGKAFKVRQQLTFHQRIHTGEKPYECKECGKAFRQCAHLSRHQRIHASD KLYECKKCAKIFTCSSDLRGHQRSHVGEKPYDCKECGKAFRVRGQLMLHQRIHTGEKPYA CTECGKSFRQVAHLTRHQRLNSGSSRHECKECGRAFLCSTGLRLHHKLHTGEKPYDCKEC GKAFRVRQQLTLHERIHTGEKPFDCKECGKTFSRGYHLTLHQRIHTGEKPYECKECRKFF RRYSELISHQGIHIGEKPYDCKECGKAFRLFSQLTQHQSIHFGEKPYKCMECEKTFRLLS QLTQHQSIHTGEKPYDCKECGKAFRLHSSLIQHQRIHSGEKPYKCTECKKAFRQHSHLTY HQRIHKNL >ENSMUSP00000113426.1 pep:known chromosome:GRCm38:7:29784084:29794702:1 gene:ENSMUSG00000047473.14 transcript:ENSMUST00000122387.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp30 description:zinc finger protein 30 [Source:MGI Symbol;Acc:MGI:99178] MIVRAEKRRWSRDLESRYSSNGLLPEKNTYEINLSPWEIMGRIQRRGPEDSLLGKDFEYK IYEEQENSHRVYFRHVIKTTSGKRPRYRKRTPVSLYQKTPNGEKPYECGECGKAFKVRQQ LTFHQRIHTGEKPYECKECGKAFRQCAHLSRHQRIHASDKLYECKKCAKIFTCSSDLRGH QRSHVGEKPYDCKECGKAFRVRGQLMLHQRIHTGEKPYACTECGKSFRQVAHLTRHQRLN SGSSRHECKECGRAFLCSTGLRLHHKLHTGEKPYDCKECGKAFRVRQQLTLHERIHTGEK PFDCKECGKTFSRGYHLTLHQRIHTGEKPYECKECRKFFRRYSELISHQGIHIGEKPYDC KECGKAFRLFSQLTQHQSIHFGEKPYKCMECEKTFRLLSQLTQHQSIHTGEKPYDCKECG KAFRLHSSLIQHQRIHSGEKPYKCTECKKAFRQHSHLTYHQRIHKNL >ENSMUSP00000128207.1 pep:known chromosome:GRCm38:8:13077189:13105459:-1 gene:ENSMUSG00000038542.14 transcript:ENSMUST00000168164.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcid2 description:PCI domain containing 2 [Source:MGI Symbol;Acc:MGI:2443003] MAHITINQYLQQVYEAIDTRDGASCAELVSFKHPHVANPRLQGSACHVCSST >ENSMUSP00000133204.1 pep:known chromosome:GRCm38:8:13077189:13105459:-1 gene:ENSMUSG00000038542.14 transcript:ENSMUST00000164416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcid2 description:PCI domain containing 2 [Source:MGI Symbol;Acc:MGI:2443003] MAHITINQYLQQVYEAIDTRDGASCAELVSFKHPHVANPRLQMASPEEKCQQVLEPPYDE MFAAHLRCTYAVGNHDFIEAYKCQTVIVQSFLRAFQAHKEENWALPVMYAVALDLRIFAN NADQQLVKKGKSKVGDMLEKAAELLMSCFRVCASDTRAGIEDSKKWGMLFLVNQLFKIYF KINKLHLCKPLIRAIDSSNLKDDYSTAQRITYKYYVGRKAMFDSDFKQAEEYLSFAFEHC HRSSQKNKRMILIYLLPVKMLLGHMPTIELLRKYHLMQFSEVTKAVSEGNLLLLNEALAK HETFFIRCGIFLILEKLKIITYRNLFKKVYLLLKTHQLSLDAFLVALKFMHVEDVDIDEV QCILANLIYMGHIKGYISHQHQKLVVSKQNPFPPLSTVC >ENSMUSP00000130059.1 pep:known chromosome:GRCm38:8:13085325:13098607:-1 gene:ENSMUSG00000038542.14 transcript:ENSMUST00000167198.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcid2 description:PCI domain containing 2 [Source:MGI Symbol;Acc:MGI:2443003] XVLEPPYDEMFAAHLSKGAPLFS >ENSMUSP00000127622.1 pep:known chromosome:GRCm38:8:13085374:13104821:-1 gene:ENSMUSG00000038542.14 transcript:ENSMUST00000172443.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcid2 description:PCI domain containing 2 [Source:MGI Symbol;Acc:MGI:2443003] MAHITINQYLQQVYEAIDTRDGASCAELVSFKHPHVANPRLQMASPEEKCQQVLEPPYDE MFAAHLRCTYAVGNHDFIEAYKCQTVIVQSFLRAFQAHKEENWALPVMYAVALDLRIFAN NPCRHRRL >ENSMUSP00000115105.1 pep:known chromosome:GRCm38:1:173519717:173535957:-1 gene:ENSMUSG00000070501.13 transcript:ENSMUST00000139092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC094916 description:cDNA sequence BC094916 [Source:MGI Symbol;Acc:MGI:3584522] MVNEYKRIVLLTGLMGINDHDFRMVKSLLSKELKLNKMQDEYDRVKIADLMEDKFPKDAG VVQLIKLYKQIPGLGDIANKLKNEKAKAKRKGKGKRKTAAKRQRQEEPSTSQPMSTTNED AEPESGRSTPDTQVAQLSLPTASRRNQAIQISPTIASSSGQTSSRSSETLQSIIQSPETP TRSSSRILDPPVSPGTAYSSAQALGVLLATPAKVIKARN >ENSMUSP00000095071.3 pep:known chromosome:GRCm38:1:173521311:173535802:-1 gene:ENSMUSG00000070501.13 transcript:ENSMUST00000097463.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC094916 description:cDNA sequence BC094916 [Source:MGI Symbol;Acc:MGI:3584522] MVNEYKRIVLLTGLMGINDHDFRMVKSLLSKELKLNKMQDEYDRVKIADLMEDKFPKDAG VVQLIKLYKQIPGLGDIANKLKNEKAKAKRKGKGKRKTAAKRQRQEEPSTSQPMSTTNED AEPESGRSTPDTQVAQLSLPTASRRNQAIQISPTIASSSGQTSSRSSETLQSIIQSPETP TRSSSRILDPPVSPGTAYSSAQALGVLLATPAK >ENSMUSP00000087888.5 pep:known chromosome:GRCm38:1:173521311:173535957:-1 gene:ENSMUSG00000070501.13 transcript:ENSMUST00000090406.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC094916 description:cDNA sequence BC094916 [Source:MGI Symbol;Acc:MGI:3584522] MVNEYKRIVLLTGLMGINDHDFRMVKSLLSKELKLNKMQDEYDRVKIADLMEDKFPKDAG VVQLIKLYKQIPGLGDIANKLKNEKAKAKRKGKGKRKTAAKRQRQEEPSTSQPMSTTNED AEPESGRSTPDTQVAQLSLPTASRRNQAIQISPTIASSSGQTSSRSSETLQSIIQSPETP TRSSSRILDPPVSPGTAYSSAQALGVLLATPAKRQRLKNVPKEPSEENGYQQGSKKVMVL KVTEPFAYDMKGEKMFHATVATETEFFRVKVFDIVLKEKFIPNKVLTISNYVGCNGFINI YSASSVSEVNDGEPMNIPLSLRKSANRTPKINYLCSKRRGIFVNGVFTVCKKEERGYYIC YEIGDDTGMMEVEVYGRLTNIACNPGDKLRLML >ENSMUSP00000026452.2 pep:known chromosome:GRCm38:11:120447626:120453500:-1 gene:ENSMUSG00000025386.2 transcript:ENSMUST00000026452.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6g description:phosphodiesterase 6G, cGMP-specific, rod, gamma [Source:MGI Symbol;Acc:MGI:97526] MNLEPPKGEIRSATRVIGGPVTPRKGPPKFKQRQTRQFKSKPPKKGVQGFGDDIPGMEGL GTDITVICPWEAFNHLELHELAQYGII >ENSMUSP00000062841.7 pep:known chromosome:GRCm38:3:94310089:94332540:1 gene:ENSMUSG00000028145.9 transcript:ENSMUST00000049822.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Them4 description:thioesterase superfamily member 4 [Source:MGI Symbol;Acc:MGI:1923028] MLRNCAMRLRTLGATPARRPGAARRLFSSEKVIRKDYALPNPSWTKDLRLLFDQFMKKCE DGSWKRMPSHRQNPTRAIQEFQTLFVDSKFKKEEQMSKAQQFTRSFEEGLGFEYAMFYNK VEKRTVSLFQGGLHLQGVPGFVHGGAIATIIDVTTGTCAISEGVAMTANLNITYKKPIPL LSVVVVNSQLQKIEGRKLFVSCTIQSIDEKTLYTEATALFIKLDPEKPLT >ENSMUSP00000025728.6 pep:known chromosome:GRCm38:19:5849702:5875274:-1 gene:ENSMUSG00000024816.12 transcript:ENSMUST00000025728.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd8 description:FERM domain containing 8 [Source:MGI Symbol;Acc:MGI:1914707] MEGAEGNAGQPGPAERSHRSSVSSVGARAADVLVYLADDTVVPLAVENLSSISAHELHRA VREVLQLPDVALEAFALWLVSPLLEVQLKPKHQPYKLGRQWPELLLRFTNASDDDVAMDE PSLQFRRNVFFPRRRELQIHDEEVLRLLYEEAKGNVLTARYPCDLEDCEVLGGLVCRVQL GPYQPGQPAACTLREKLDSFLPAHLCKRGHGLFAAFRGRGAKTGPGEQGLLNAYRQVKEV TGNNSEREATLGSHYRAYLLKCHELPFYGCAFFHGEVDKPAQGFLHRGGRKPVTVAISLE GVHVIDNREKHVLLGLRFQELSWDHTSPEEEEPVLWLEFDGDSEGTPVNKLLRIYSKQAE LMSGLIEYCIELSQAAEPTLSQESASGPHEAPSPSPPPTQRPKLRRQGSVVCSRIQHLST IDYVEDGKGIKRVKPKRTTSFFSRQLSSSQGSYTVVQPTDDSLEQS >ENSMUSP00000116453.1 pep:known chromosome:GRCm38:19:5865270:5875239:-1 gene:ENSMUSG00000024816.12 transcript:ENSMUST00000155227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd8 description:FERM domain containing 8 [Source:MGI Symbol;Acc:MGI:1914707] MEGAEGNAGQPGPAERSHRSSVSSVGARAADVLVYLADDTVVPLAVENLSSISAHELHRA VREVLQLPDVALEAFALWLVSPLLEVQLKPKHQPYKLGRQWPELLLRFTNASDDDVAMDE PSLQFRRNVFFPRRRELQIHDEEVLRLLYEEAKGNVLTARYPCDLEDCEVLGGLVCRVQL GPYQPGQPAACTLREKLDSFLP >ENSMUSP00000021362.4 pep:known chromosome:GRCm38:12:69296722:69310687:1 gene:ENSMUSG00000020978.10 transcript:ENSMUST00000021362.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc2 description:kelch domain containing 2 [Source:MGI Symbol;Acc:MGI:1916804] MADGNEDARAEDLPGPAFENYEAMELACPAERSGHVAVSDGRHMFVWGGYKSNQVRGLYD FYLPREELWIYNMETGRWKKINTEGDVPPSMSGSCAVCVDRVLYLFGGHHSRGNTNKFYM LDSRSADRGLQWERIDCQGIPPSSKDKLGVWVYKNKLIFFGGYGYLPEDKVLGTFEFDET SFWNSSHPRGWNDHVHILDTETFAWSQPITTGKAPSPRAAHACATVGNKGFVFGGRYRDA RMNDLHYLNLDTWEWNELIPQGVCPVGRSWHSLTPVSSDHLFLFGGFTTEKQPLSDAWTY CISKNEWIQFNHPYVEKPRLWHTACASDEGEVIVFGGCANNLLVHHRAAHSNEVLIFSVQ PKSLVRLSLEAVICFKEMLANSWSCLPKHLLHSVNQRFGSNNTSGS >ENSMUSP00000081826.4 pep:known chromosome:GRCm38:4:25609332:25800146:-1 gene:ENSMUSG00000055373.8 transcript:ENSMUST00000084770.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut9 description:fucosyltransferase 9 [Source:MGI Symbol;Acc:MGI:1330859] MTSTSKGILRPFLIVCIILGCFMACLLIYIKPTNSWVFSPMESASSVLKMKNFFSTKTDY FNETTILVWVWPFGQTFDLTSCQAMFNIQGCHLTTDRSLYNKSHAVLIHHRDISWDLTNL PQQARPPFQKWIWMNLESPTHTPQKSGIEHLFNLTLTYRRDSDIQVPYGFLTVSTNPFVF EVPSKEKLVCWVVSNWNPEHARVKYYNELSKSIEIHTYGQAFGEYVNDKNLIPTISTCKF YLSFENSIHKDYITEKLYNAFLAGSVPVVLGPSRENYENYIPADSFIHVEDFNSPSELAK YLKEVDKNNKLYLSYFNWRKDFTVNLPRFWESHACLACDHVKRHQEYKSVGNLEKWFWN >ENSMUSP00000103834.1 pep:known chromosome:GRCm38:4:25618898:25800244:-1 gene:ENSMUSG00000055373.8 transcript:ENSMUST00000108199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut9 description:fucosyltransferase 9 [Source:MGI Symbol;Acc:MGI:1330859] MTSTSKGILRPFLIVCIILGCFMACLLIYIKPTNSWVFSPMESASSVLKMKNFFSTKTDY FNETTILVWVWPFGQTFDLTSCQAMFNIQGCHLTTDRSLYNKSHAVLIHHRDISWDLTNL PQQARPPFQKWIWMNLESPTHTPQKSGIEHLFNLTLTYRRDSDIQVPYGFLTVSTNPFVF EVPSKEKLVCWVVSNWNPEHARVKYYNELSKSIEIHTYGQAFGEYVNDKNLIPTISTCKF YLSFENSIHKDYITEKLYNAFLAGSVPVVLGPSRENYENYIPADSFIHVEDFNSPSELAK YLKEVDKNNKLYLSYFNWRKDFTVNLPRFWESHACLACDHVKRHQEYKSVGNLEKWFWN >ENSMUSP00000038931.9 pep:known chromosome:GRCm38:19:4040285:4042236:-1 gene:ENSMUSG00000038155.10 transcript:ENSMUST00000042700.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstp2 description:glutathione S-transferase, pi 2 [Source:MGI Symbol;Acc:MGI:95864] MPPYTIVYFPSPGRCEAMRMLLADQGQSWKEEVVTIDTWMQGLLKPTCLYGQLPKFEDGD LTLYQSNAILRHLGRSLGLYGKNQREAAQVDMVNDGVEDLRGKYGTMIYRNYENGKNDYV KALPGHLKPFETLLSQNQGGKAFIVGDQISFADYNLLDLLLIHQVLAPGCLDNFPLLSAY VARLSARPKIKAFLSSPEHVNRPINGNGKQ >ENSMUSP00000062468.7 pep:known chromosome:GRCm38:8:84001502:84004770:1 gene:ENSMUSG00000046408.13 transcript:ENSMUST00000060357.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700067K01Rik description:RIKEN cDNA 1700067K01 gene [Source:MGI Symbol;Acc:MGI:1920703] MMEAPASTSSREPLAAELGPAPARLPLDSMFSPITDQLRYLLRKADDFQSYLLYSRDRVQ KEQLAKAMPTFLTMCEPYFLYLEAAARSVPPIYGALQELVRKGLLEISQQLTLRLEQLVL MYASFGFVDLEETNPLSISCFFCGRFSISPSHDVSIFRYCTPAAYTASHFPRYLYKKMRW NLETTTEASSQGADSHVDYYFLCYRDTWEDAGQGPANSCPQIQKLWSIGRWMPLGPAEDD LDSWILCPQPPGDYQQLLTIGFEEPSHVLATDLLVQILLGQAGPARPPSAAGPAAWAAPA S >ENSMUSP00000134486.1 pep:known chromosome:GRCm38:8:84001704:84004664:1 gene:ENSMUSG00000046408.13 transcript:ENSMUST00000174570.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700067K01Rik description:RIKEN cDNA 1700067K01 gene [Source:MGI Symbol;Acc:MGI:1920703] MMEAPASTSSREPLAAELGPAPARLPLDSMFSPITDQLRYLLRKADDFQSYLLYRDRVQK EQLAKAMPTFLTMCEPYFLYLEAAARSVPPIYGALQELVRKGLLEISQQLTLRLEQLVLM YASFGFVDLEETNPLSISCFFCGRFSISPSHDVSIFRYCTPAAYTASHFPRYLYKKMRWN LETTTEASSQGADSHVDYYFLCYRDTWEDAGQGPANSCPQIQKLWSIGRWMPLGPAEDDL DSW >ENSMUSP00000134671.1 pep:known chromosome:GRCm38:8:84001704:84004670:1 gene:ENSMUSG00000046408.13 transcript:ENSMUST00000172548.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700067K01Rik description:RIKEN cDNA 1700067K01 gene [Source:MGI Symbol;Acc:MGI:1920703] MMEAPASTSSREPLAAELGPAPARLPLDSMFSPITDQLRYLLRKADDFQSYLLYRDRVQK EQLAKAMPTFLTMCEPYFLYLEAAARSVPPIYGALQELVRKGLLEISQQLTLRLEQLVLM YASFGFVDLEETNPLSISCFFCGRFSISPSHDVSIFRYCTPAAYTASHFPRYLYKKMRWN LETTTEASSQGADSHVDYYFLCYRDTWEDAGQGPANSCPQIQKLWSIGRWMPLGPAEDDL DSWILCPQPPGDYQQLLTIGFEEPSHVLATDLLVQILLGQAGPARPPSAAGPAAWAAPAS >ENSMUSP00000116608.1 pep:known chromosome:GRCm38:6:83149361:83156397:-1 gene:ENSMUSG00000030032.12 transcript:ENSMUST00000153148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr54 description:WD repeat domain 54 [Source:MGI Symbol;Acc:MGI:1922909] MFRRERSIPLRSSAAALSNNLSVLQLPARDLTHFGVVHGPSAQLLSAAPEGVPLAQRQLH VKEGAGVSPPLITQVHWCVLPFRVLLVLTSHRGIQMYESDGSVMVYWHALDSGDASSVQA MFARGIAASVHFICVGTCSGRVLVFDIPAKGPNIVLSEELAGHQTPITDIATERAQGQDG VADMVTADDSGVLCVWRSGPEFTLLTRIPGFGVPCPSVQLWQGIVAAGYGNGQVRLYDAS TGALHVQISAHARTISALDLAPEVGKLLSAAEDTFVHIWKLNRNPESGSIEVEHCHGECI SDTQVCGARFCDPLGSSFAVTGYDLAEILRFGTV >ENSMUSP00000122873.1 pep:known chromosome:GRCm38:6:83154527:83156397:-1 gene:ENSMUSG00000030032.12 transcript:ENSMUST00000125894.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr54 description:WD repeat domain 54 [Source:MGI Symbol;Acc:MGI:1922909] MFRRERSIPLRSSAAALSNNLSVLQLPARDLTHFGVVHGPSAQLLSAAPEGVPLAQRQLH VKEGAGVSPPLITQVHWCVLPFRVLLVLTSHRGIQMYESDGSVMVYWHALDSGDASSVQA MFARGIAASVHFICVGTCSGRVLVFDIPAKGPNIVLSEELAGHQTPITD >ENSMUSP00000070445.4 pep:known chromosome:GRCm38:5:112910482:113015514:-1 gene:ENSMUSG00000042249.11 transcript:ENSMUST00000065167.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrbk2 description:adrenergic receptor kinase, beta 2 [Source:MGI Symbol;Acc:MGI:87941] MADLEAVLADVSYLMAMEKSKTAPAARASKKVVLPEPSIRSVMQRYLAERNEITFDKIFN QKIGFLLFKDFCLNEIGEAVPQVKFYEEIKEYEKLDNEEDRLRRSRQMYDAYIMRELLSS THQFSKQAVEHVQSHLSKKQVTATLFQPYIEEICESLRGDIFQKFMESDKFTRFCQWKNV ELNIHLSMNDFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRVKMKQGETLALNER IMLSLVSTGDCPFIVCMTYAFHTPDKLCFILDLMNGGDMHYHLSQHGVFSEKEMRFYASE IILGLEHMHTCFVVYRDLKPANILLDEYGHVRISDLGLACDFSKKKPHASVGTHGYMAPE VLQKGTCYDSSADWFSLGCMLFKLLRGHSPFRQHKTKDKHEIDRMTLTVNVQLPDAFSPE LRSLLEGLLQRDVSQRLGCGGGGARELKEHIFFKGIDWQHVYLRKYPPPLIPPRGEVNAA DAFDIGSFDEEDTKGIKLLDCDQDLYKNFPLVISERWQQEVVETIYDAVNADTDKIEARR KAKNKQLGQEEDYAMGKDCIMHGYMLKLGNPFLTQWQRRYFYLFPNRLEWRGEGESRQSL LTMEQIMSVEETQIKDRKCILLRIKGGKQFVLQCESDPEFAQWLKELTCTFNEAQRLLRR APKFLNKPRAAILEFSKPPLCHRNSSGL >ENSMUSP00000142926.1 pep:known chromosome:GRCm38:5:112912599:113015514:-1 gene:ENSMUSG00000042249.11 transcript:ENSMUST00000200332.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adrbk2 description:adrenergic receptor kinase, beta 2 [Source:MGI Symbol;Acc:MGI:87941] MADLEAVLADVSYLMAMEKSKTAPAARASKKVVLPEPSIRSVMQRYLAERNEITFDKIFN QKIGFLLFKDFCLNEIGEAVPQVKFYEEEALS >ENSMUSP00000142968.1 pep:known chromosome:GRCm38:5:112917745:113015791:-1 gene:ENSMUSG00000042249.11 transcript:ENSMUST00000197888.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrbk2 description:adrenergic receptor kinase, beta 2 [Source:MGI Symbol;Acc:MGI:87941] MQRYLAERNEITFDKIFNQKIGFLLFKDFCLNEIGEAVPQVKFYEEIKEYEKLDNEEDRL RRSRQMYDAYIMRELLSSTHQFSKQAVEHVQSHLSKKQVTATLFQPYIEEICESLRGDIF QKFMESDKFTRFCQWKNVELNIHLSMNDFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCL DKKRVKMKQGETLALNERIMLSLVSTGDCPFIVCMTYAFHTPDKLCFILDLMNGGDMHYH LSQHGVFSEKEMRFYASEIILGLEHMHTCFVVYRDLKPANILLDEYGHVRISDLGLACDF SKKKPHASVGTHGYMAPEVLQKGTCYDSSADWFSLGCMLFKLLRGHSPFRQHKTKDKHEI DRMTLTVNVQLPDAFSPELRSLLEGLLQRDVSQRLGCGGGGARELKEHIFFKGIDWQHVY LRKYPPPLIPPRGEVNAADAFDIGSFDEEDTKGIKLLDCDQDLYKNFPLVISERWQQEVV ETIYDAVNADTDKIEARRKAKNKQLGQEEDYAMGKDCIMHGYMLKLGNPFLTQWQRRYFY LFPNRLEWRGEGESRD >ENSMUSP00000143427.1 pep:known chromosome:GRCm38:5:112960544:113015503:-1 gene:ENSMUSG00000042249.11 transcript:ENSMUST00000197776.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrbk2 description:adrenergic receptor kinase, beta 2 [Source:MGI Symbol;Acc:MGI:87941] MADLEAVLADVSYLMAMEKSKTAPAARASKKVVLPEPSIRSVMQRYLAERNEITFDKIFN QKIGFLLFKDFCLNEIGEAVPQVKFYEEIKEYEKLDNEEDRLRRSRQMYDAYIMRELLSS THQFSKQAVEHVQSHLSKKQVTATLFQPYIEEICESLRGDIFQKFMERYAAWCVRDTLPW CVLLYPSTDLPFWGPQPSPLSHFLLDALCCISGVCSP >ENSMUSP00000094390.2 pep:known chromosome:GRCm38:13:50467307:50475355:1 gene:ENSMUSG00000071909.2 transcript:ENSMUST00000096633.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nutm2 description:NUT family member 2 [Source:MGI Symbol;Acc:MGI:2685652] MDLSRQTWLLSKPIGIVSEASSLGQNMTINPGASLPTFATLPVLPPAPQPVPQLFWEPPA PLVTAGISPGNPLVLSALPGMPLVAEGGSTALSAAVPLNIVQLGTLGQPVQPVHNTNIVL TQVPLTCNIPGTQGVGMGFMTTPAANNFINTRIASTVQPQEGTWILGPHPPTTQQVVQLV PVKSPVNSAQPPKGAYGESGPANIQTNSPENYLSKPDSVYGNFRRWQHIKTLVQRHLPQT TDVAAFSCFLIPVLRSLARRKPTMNVEEGLWRGLQEWQCTSNYDRMIFYEMAEKFTEFES AEEMENSRLEIIRSIQYQVVATIPRQDPPRLPAPEVAEEPECTSMKTVHQTDPAHLPELR PQKLQKTETPMEIPPEAVREYMEIMDWLEGFPQSFTGEAKEKEEDENNGPEQEGDDLYSD AELLSYIDELCSQKHFVEQVEAIINPQFVAEILSTKPEIDILALTKVLEHEEELTVDQLL EKPCPALKKRGVERAPPNPGAPQIPASTSVPSVCQDAERDEHGVQRGASAQTGSSRMASL DQQCLEVTSAEIWGPKPATVFPSNQSSPSLVDVRYTGIPRGRGTYNQSPGSRCVRSFRVA SAIEDLHRSLARTIEDKEELHSLSFLLASQYRLVPWKVPHQVCPYTDLSDSESIPSPCPK LRGLSPDLCSIAKSKKRALFGSLVPMAKRPNLGPGHGVSEGPLSALELAHLSQPQKRKHE PLGTHKRKRKKRH >ENSMUSP00000036860.2 pep:known chromosome:GRCm38:11:120456606:120458068:-1 gene:ENSMUSG00000039670.2 transcript:ENSMUST00000044007.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxld1 description:oxidoreductase like domain containing 1 [Source:MGI Symbol;Acc:MGI:1913681] MGSPLQTLSRGGRVRAALWCWTQARGLSGRQCPNRVARSLSCSRGFPVRGGRPALGGTMV SVLTESFLQGTQHFSSWDCCQSLHRHRAPVQVTDSRRNLGMDHREEGFQVGTEDDREPKA SLPRGNPTGPPCSLPPELEPPTNCCMSGCPNCVWVDYAEALLRLYQDGGEKALAILEEHV TDENLKAFLRMEIRLRMQSGA >ENSMUSP00000135197.1 pep:known chromosome:GRCm38:11:120456885:120457936:-1 gene:ENSMUSG00000039670.2 transcript:ENSMUST00000137632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxld1 description:oxidoreductase like domain containing 1 [Source:MGI Symbol;Acc:MGI:1913681] XGRQCPNRVARSLSCSRGFPVRGGRPALGGTMGTQHFSSWDCCQSLHRHRAPVQVTDSRR NLGMDHREEGFQVGTEDDREPKASLPRGNPTGPPCSLPPELEPPTNCCMSGCPNCVWVDY AEALL >ENSMUSP00000068834.6 pep:known chromosome:GRCm38:15:59648350:59656550:1 gene:ENSMUSG00000032501.8 transcript:ENSMUST00000067543.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trib1 description:tribbles homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2443397] MRVGPVRFALSGASQPRGPGLLFPAARGTPAKRLLDTDDAGAVAAKCPRLSECSSPPDYL SPPGSPCSPQPPPSTQGTGGSCVSSPGPSRIADYLLLPLAEREHVSRALCIHTGRELRCK EFPIKHYQDKIRPYIQLPSHSNITGIVEVLLGESKAYVFFEKDFGDMHSYVRSRKRLREE EAARLFKQIVSAVAHCHQSAIVLGDLKLRKFVFSTEERTQLRLESLEDTHIIKGEDDALS DKHGCPAYVSPEILNTTGTYSGKAADVWSLGVMLYTLLVGRYPFHDSDPSALFSKIRRGQ FCIPEHVSPKARCLIRSLLRREPSERLTAPQILLHPWFEYVLEPGYVDSEIGTSDQIVPE YQEDSDISSFFC >ENSMUSP00000112828.1 pep:known chromosome:GRCm38:15:59648653:59653689:1 gene:ENSMUSG00000032501.8 transcript:ENSMUST00000118228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trib1 description:tribbles homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2443397] MRVGPVRFALSGASQPRGPGLLFPAARGTPAKRLLDTDDAGAVAAKCPRLSECSSPPDYL SPPGSPCSPQPPPSTQGTGGSCVSSPGPSRIADYLLLPLAEREHVSRALCIHTGRELRCK EFPIKHYQDKIRPYIQLPSHSNITGIVEVLLGESKAYVFFEKDFGDMHSYVRSRKRLREE EAARLFKQIVSAVAHCHQSAIVLGDLKLRKFVFSTEER >ENSMUSP00000144379.1 pep:known chromosome:GRCm38:5:33721674:33729837:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000201437.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] MVVPACVLVFCVAVVAGATSEPPGPEQRVVRRAAEVPGPEPSQQEQVAFGSGDTVELSCH PPGGAPTGPTVWAKDGTGLVASHRILVGPQRLQVLNASHEDAGVYSCQHRLTRRVLCHFS VRVTDAPSSGDDEDGEDVAEDTGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTPSI SWLKNGKEFRGEHR >ENSMUSP00000070998.7 pep:known chromosome:GRCm38:5:33721724:33737067:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000067150.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] MVVPACVLVFCVAVVAGATSEPPGPEQRVVRRAAEVPGPEPSQQEQVAFGSGDTVELSCH PPGGAPTGPTVWAKDGTGLVASHRILVGPQRLQVLNASHEDAGVYSCQHRLTRRVLCHFS VRVTDAPSSGDDEDGEDVAEDTGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTPSI SWLKNGKEFRGEHRIGGIKLRHQQWSLVMESVVPSDRGNYTCVVENKFGSIRQTYTLDVL ERSPHRPILQAGLPANQTAILGSDVEFHCKVYSDAQPHIQWLKHVEVNGSKVGPDGTPYV TVLKTAGANTTDKELEVLSLHNVTFEDAGEYTCLAGNSIGFSHHSAWLVVLPAEEELMET DEAGSVYAGVLSYGVVFFLFILVVAAVILCRLRSPPKKGLGSPTVHKVSRFPLKRQVSLE SNSSMNSNTPLVRIARLSSGEGPVLANVSELELPADPKWELSRTRLTLGKPLGEGCFGQV VMAEAIGIDKDRTAKPVTVAVKMLKDDATDKDLSDLVSEMEMMKMIGKHKNIINLLGACT QGGPLYVLVEYAAKGNLREFLRARRPPGMDYSFDACRLPEEQLTCKDLVSCAYQVARGME YLASQKCIHRDLAARNVLVTEDNVMKIADFGLARDVHNLDYYKKTTNGRLPVKWMAPEAL FDRVYTHQSDVWSFGVLLWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPASCTHDLYMI MRECWHAVPSQRPTFKQLVEDLDRILTVTSTDEYLDLSVPFEQYSPGGQDTPSSSSSGDD SVFTHDLLPPGPPSNGGPRT >ENSMUSP00000133064.3 pep:known chromosome:GRCm38:5:33721791:33737063:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000164207.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] MVVPACVLVFCVAVVAGATSEPPGPEQRVVRRAAEVPGPEPSQQEQVAFGSGDTVELSCH PPGGAPTGPTVWAKDGTGLVASHRILVGPQRLQVLNASHEDAGVYSCQHRLTRRVLCHFS VRVTDAPSSGDDEDGEDVAEDTGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTPSI SWLKNGKEFRGEHRIGGIKLRHQQWSLVMESVVPSDRGNYTCVVENKFGSIRQTYTLDVL ERSPHRPILQAGLPANQTAILGSDVEFHCKVYSDAQPHIQWLKHVEVNGSKVGPDGTPYV TVLKTAGANTTDKELEVLSLHNVTFEDAGEYTCLAGNSIGFSHHSAWLVVLPAEEELMET DEAGSVYAGVLSYGVVFFLFILVVAAVILCRLRSPPKKGLGSPTVHKVSRFPLKRQQVSL ESNSSMNSNTPLVRIARLSSGEGPVLANVSELELPADPKWELSRTRLTLGKPLGEGCFGQ VVMAEAIGIDKDRTAKPVTVAVKMLKDDATDKDLSDLVSEMEMMKMIGKHKNIINLLGAC TQGGPLYVLVEYAAKGNLREFLRARRPPGMDYSFDACRLPEEQLTCKDLVSCAYQVARGM EYLASQKCIHRDLAARNVLVTEDNVMKIADFGLARDVHNLDYYKKTTNGRLPVKWMAPEA LFDRVYTHQSDVWSFGVLLWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPASCTHDLYM IMRECWHAVPSQRPTFKQLVEDLDRILTVTSTDEYLDLSVPFEQYSPGGQDTPSSSSSGD DSVFTHDLLPPGPPSNGGPRT >ENSMUSP00000085122.4 pep:known chromosome:GRCm38:5:33721798:33735607:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000087820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] MVVPACVLVFCVAVVAGATSEPPGPEQRVVRRAAEVPGPEPSQQEQVAFGSGDTVELSCH PPGGAPTGPTVWAKDGTGLVASHRILVGPQRLQVLNASHEDAGVYSCQHRLTRRVLCHFS VRVTGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTPSISWLKNGKEFRGEHRIGGI KLRHQQWSLVMESVVPSDRGNYTCVVENKFGSIRQTYTLDVLERSPHRPILQAGLPANQT AILGSDVEFHCKVYSDAQPHIQWLKHVEVNGSKVGPDGTPYVTVLKTAGANTTDKELEVL SLHNVTFEDAGEYTCLAGNSIGFSHHSAWLVVLPAEEELMETDEAGSVYAGVLSYGVVFF LFILVVAAVILCRLRSPPKKGLGSPTVHKVSRFPLKRQVSLESNSSMNSNTPLVRIARLS SGEGPVLANVSELELPADPKWELSRTRLTLGKPLGEGCFGQVVMAEAIGIDKDRTAKPVT VAVKMLKDDATDKDLSDLVSEMEMMKMIGKHKNIINLLGACTQGGPLYVLVEYAAKGNLR EFLRARRPPGMDYSFDACRLPEEQLTCKDLVSCAYQVARGMEYLASQKCIHRDLAARNVL VTEDNVMKIADFGLARDVHNLDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLL WEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPASCTHDLYMIMRECWHAVPSQRPTFKQL VEDLDRILTVTSTDEYLDLSVPFEQYSPGGQDTPSSSSSGDDSVFTHDLLPPGPPSNGGP RT >ENSMUSP00000143936.1 pep:known chromosome:GRCm38:5:33722444:33730006:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000202182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] XAVVAGATSEPPGPEQRVVRRAAEVPGPEPSQQEQVAFGSGDTVELSCHPPGGAPTGPTV WAKDGTGLVASHRILVGPQRLQVLNASHEDAGVYSCQHRLTRRVLCHFSVRVTDAPSSGD DEDGEDVAEDTGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTPSISWLKNGKEFRG EHRIGGIKTNYLQLRHQQWSLVMESVVPSDRGNYTCV >ENSMUSP00000119941.1 pep:known chromosome:GRCm38:5:33723772:33729850:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000155002.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] MDKKLLAVPAANTVRFRCPAAGNPTPSISWLKNGKEFRGEHRIGGIK >ENSMUSP00000143963.1 pep:known chromosome:GRCm38:5:33729738:33732743:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000181298.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] XANTVRFRCPAAGNPTPSISWLKNGKEFRGEHRIGGIKLRHQQWSLVMESVVPSDRGNYT CVVENKFGSIRQTYTLDVLERSPHRPILQAGLPANQTAILGSDVEFHCKVYSDAQPHIQW LKHVEVNGSKVGPDGTPYVTVLKSWISENVEADARLRLANVSERDGGEYLCRATNFIGVA EKAFWLRVHGPQAAEEELMET >ENSMUSP00000144104.1 pep:known chromosome:GRCm38:5:33730221:33733761:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000201295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] FHCKVYSDAQPHIQWLKHVEVNGSKSWISENVEADARLRLANVSERDGGEYLCRATNFIG VAEKAFWLRVHGPQAAEEELMETDEAGSVYAGVLSYGVVFFLFILVVAAVILCRLRSPPK KGLGSPTVHKVSRFPLKRQQVSLESNSSMNSNTPLVRIARLSSGEGPVLANVSELELPAD PKWELSRTRLTLGKPLGEGCFGQVVMAEAIGIDKDRTAKPVTV >ENSMUSP00000143797.1 pep:known chromosome:GRCm38:5:33733252:33734852:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000202791.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] XQVSLESNSSMNSNTPLVRIARLSSGEGPVLANVSELELPADPKWELSRTRLTLGKPLGE GCFGQVVMAEAIGIDKDRTAKPVTVAVKMLKDDATDKDLSDLVSEMEMMKMIGKHKNIIN LLGACTQGGPLYVLVEYAAKGNLREFLRARRPPGMDYSFDACRLPEEQLTCKDLVSCAYQ VARGMEYLASQKCIHRDLAARNVLVTEDNVMKIADFGLARDVHNLDYYKKTTNGRLPVKW MAPEALFDRVYTHQSDV >ENSMUSP00000143945.1 pep:known chromosome:GRCm38:5:33722306:33737068:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000202138.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] MVVPACVLVFCVAVVAGATSEPPGPEQRVVRRAAEVPGPEPSQQEQVAFGSGDTVELSCH PPGGAPTGPTVWAKDGTGLVASHRILVGPQRLQVLNASHEDAGVYSCQHRLTRRVLCHFS VRVTGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTPSISWLKNGKEFRGEHRIGGI KLRHQQWSLVMESVVPSDRGNYTCVVENKFGSIRQTYTLDVLERSPHRPILQAGLPANQT AILGSDVEFHCKVYSDAQPHIQWLKHVEVNGSKVGPDGTPYVTVLKTAGANTTDKELEVL SLHNVTFEDAGEYTCLAGNSIGFSHHSAWLVVLPAEEELMETDEAGSVYAGVLSYGVVFF LFILVVAAVILCRLRSPPKKGLGSPTVHKVSRFPLKRQVSLESNSSMNSNTPLVRIARLS SGEGPVLANVSELELPADPKWELSRTRLTLGKPLGEGCFGQVVMAEAIGIDKDRTAKPVT VAVKMLKDDATDKDLSDLVSEMEMMKMIGKHKNIINLLGACTQGGPLYVLVEYAAKGNLR EFLRARRPPGMDYSFDACRLPEEQLTCKDLVSCAYQVARGMEYLASQKCIHRDLAARNVL VTEDNVMKIADFGLARDVHNLDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLL WEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPASCTHDLYMIMRECWHAVPSQRPTFKQL VEDLDRILTVTSTDEYLDLSVPFEQYSPGGQDTPSSSSSGDDSVFTHDLLPPGPPSNGGP RT >ENSMUSP00000130856.2 pep:known chromosome:GRCm38:5:33721724:33737068:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000169212.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] MVVPACVLVFCVAVVAGATSEPPGPEQRVVRRAAEVPGPEPSQQEQVAFGSGDTVELSCH PPGGAPTGPTVWAKDGTGLVASHRILVGPQRLQVLNASHEDAGVYSCQHRLTRRVLCHFS VRVTDAPSSGDDEDGEDVAEDTGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTPSI SWLKNGKEFRGEHRIGGIKLRHQQWSLVMESVVPSDRGNYTCVVENKFGSIRQTYTLDVL ERSPHRPILQAGLPANQTAILGSDVEFHCKVYSDAQPHIQWLKHVEVNGSKVGPDGTPYV TVLKTAGANTTDKELEVLSLHNVTFEDAGEYTCLAGNSIGFSHHSAWLVVLPAEEELMET DEAGSVYAGVLSYGVVFFLFILVVAAVILCRLRSPPKKGLGSPTVHKVSRFPLKRQVSLE SNSSMNSNTPLVRIARLSSGEGPVLANVSELELPADPKWELSRTRLTLGKPLGEGCFGQV VMAEAIGIDKDRTAKPVTVAVKMLKDDATDKDLSDLVSEMEMMKMIGKHKNIINLLGACT QGGPLYVLVEYAAKGNLREFLRARRPPGMDYSFDACRLPEEQLTCKDLVSCAYQVARGME YLASQKCIHRDLAARNVLVTEDNVMKIADFGLARDVHNLDYYKKTTNGRLPVKWMAPEAL FDRVYTHQSDVWSFGVLLWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPASCTHDLYMI MRECWHAVPSQRPTFKQLVEDLDRILTVTSTDEYLDLSVPFEQYSPGGQDTPSSSSSGDD SVFTHDLLPPGPPSNGGPRT >ENSMUSP00000110053.2 pep:known chromosome:GRCm38:5:33721724:33737068:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000114411.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] MVVPACVLVFCVAVVAGATSEPPGPEQRVVRRAAEVPGPEPSQQEQVAFGSGDTVELSCH PPGGAPTGPTVWAKDGTGLVASHRILVGPQRLQVLNASHEDAGVYSCQHRLTRRVLCHFS VRVTDAPSSGDDEDGEDVAEDTGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTPSI SWLKNGKEFRGEHRIGGIKLRHQQWSLVMESVVPSDRGNYTCVVENKFGSIRQTYTLDVL ERSPHRPILQAGLPANQTAILGSDVEFHCKVYSDAQPHIQWLKHVEVNGSKVGPDGTPYV TVLKSWISENVEADARLRLANVSERDGGEYLCRATNFIGVAEKAFWLRVHGPQAAEEELM ETDEAGSVYAGVLSYGVVFFLFILVVAAVILCRLRSPPKKGLGSPTVHKVSRFPLKRQVS LESNSSMNSNTPLVRIARLSSGEGPVLANVSELELPADPKWELSRTRLTLGKPLGEGCFG QVVMAEAIGIDKDRTAKPVTVAVKMLKDDATDKDLSDLVSEMEMMKMIGKHKNIINLLGA CTQGGPLYVLVEYAAKGNLREFLRARRPPGMDYSFDACRLPEEQLTCKDLVSCAYQVARG MEYLASQKCIHRDLAARNVLVTEDNVMKIADFGLARDVHNLDYYKKTTNGRLPVKWMAPE ALFDRVYTHQSDVWSFGVLLWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPASCTHDLY MIMRECWHAVPSQRPTFKQLVEDLDRILTVTSTDEYLDLSVPFEQYSPGGQDTPSSSSSG DDSVFTHDLLPPGPPSNGGPRT >ENSMUSP00000131845.2 pep:known chromosome:GRCm38:5:33722306:33737068:1 gene:ENSMUSG00000054252.17 transcript:ENSMUST00000171509.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr3 description:fibroblast growth factor receptor 3 [Source:MGI Symbol;Acc:MGI:95524] MVVPACVLVFCVAVVAGATSEPPGPEQRVVRRAAEVPGPEPSQQEQVAFGSGDTVELSCH PPGGAPTGPTVWAKDGTGLVASHRILVGPQRLQVLNASHEDAGVYSCQHRLTRRVLCHFS VRVTDAPSSGDDEDGEDVAEDTGAPYWTRPERMDKKLLAVPAANTVRFRCPAAGNPTPSI SWLKNGKEFRGEHRIGGIKLRHQQWSLVMESVVPSDRGNYTCVVENKFGSIRQTYTLDVL ERSPHRPILQAGLPANQTAILGSDVEFHCKVYSDAQPHIQWLKHVEVNGSKVGPDGTPYV TVLKSWISENVEADARLRLANVSERDGGEYLCRATNFIGVAEKAFWLRVHGPQAAEEELM ETDEAGSVYAGVLSYGVVFFLFILVVAAVILCRLRSPPKKGLGSPTVHKVSRFPLKRQVS LESNSSMNSNTPLVRIARLSSGEGPVLANVSELELPADPKWELSRTRLTLGKPLGEGCFG QVVMAEAIGIDKDRTAKPVTVAVKMLKDDATDKDLSDLVSEMEMMKMIGKHKNIINLLGA CTQGGPLYVLVEYAAKGNLREFLRARRPPGMDYSFDACRLPEEQLTCKDLVSCAYQVARG MEYLASQKCIHRDLAARNVLVTEDNVMKIADFGLARDVHNLDYYKKTTNGRLPVKWMAPE ALFDRVYTHQSDVWSFGVLLWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPASCTHDLY MIMRECWHAVPSQRPTFKQLVEDLDRILTVTSTDEYLDLSVPFEQYSPGGQDTPSSSSSG DDSVFTHDLLPPGPPSNGGPRT >ENSMUSP00000139779.1 pep:known chromosome:GRCm38:16:89140851:89141339:1 gene:ENSMUSG00000068071.2 transcript:ENSMUST00000187643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6358 description:predicted gene 6358 [Source:MGI Symbol;Acc:MGI:3643237] MVTPRLKKPTACLSQTTSGTPDNMCYYGGYYGGLGYGYGGLGYGYGCGYGGYGGYGYGCC RPLCCRRYWSCGFY >ENSMUSP00000086502.1 pep:known chromosome:GRCm38:16:89140944:89141099:1 gene:ENSMUSG00000068071.2 transcript:ENSMUST00000089101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6358 description:predicted gene 6358 [Source:MGI Symbol;Acc:MGI:3643237] MCYYGGYYGGLGYGYGGLGYGYGCGYGGYGGYGYGCCRPLCCRRYWSCGFY >ENSMUSP00000085263.3 pep:known chromosome:GRCm38:2:172036233:172043466:-1 gene:ENSMUSG00000067578.3 transcript:ENSMUST00000087950.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbln4 description:cerebellin 4 precursor protein [Source:MGI Symbol;Acc:MGI:2154433] MGSARRALSVVPAVLLILVLPVWAQNDTEPIVLEGKCLVVCDSNPATDSKGSSSSPLGIS VRAANSKVAFSAVRSTNHEPSEMSNKTRIIYFDQILVNVGNFFTLESVFVAPRKGIYSFS FHVIKVYQSQTIQVNLMLNGKPVISAFAGDKDVTREAATNGVLLYLDKEDKVYLKLEKGN LLGGWQYSTFSGFLVFPL >ENSMUSP00000109431.2 pep:known chromosome:GRCm38:16:96235801:96319859:1 gene:ENSMUSG00000074892.9 transcript:ENSMUST00000113800.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3galt5 description:UDP-Gal:betaGlcNAc beta 1,3-galactosyltransferase, polypeptide 5 [Source:MGI Symbol;Acc:MGI:2136878] MAHMKTRLVYASILMMGALCLYFSMDSFRELPFVFKKSHGKFLQIPDIDCKQKPPFLVLL VTSSHKQLAARMAIRKTWGRETSVQGQQVRTFFLLGTSDSTEEMDATTLESEQHRDIIQK DFKDAYFNLTLKTMMGMEWVYHFCPQTAYVMKTDSDMFVNVGYLTELLLKKNKTTRFFTG YIKPHDFPIRQKFNKWFVSKFEYPWDRYPPFCSGTGYVFSSDVAIQVYNVSESVPFIKLE DVFVGLCLAKLKIRPEELHTKQTFFPGGLRFSVCRFQKIVACHFMKPQDLLTYWQALENS KEQDCPAV >ENSMUSP00000097096.3 pep:known chromosome:GRCm38:16:96280798:96319857:1 gene:ENSMUSG00000074892.9 transcript:ENSMUST00000099497.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3galt5 description:UDP-Gal:betaGlcNAc beta 1,3-galactosyltransferase, polypeptide 5 [Source:MGI Symbol;Acc:MGI:2136878] MAHMKTRLVYASILMMGALCLYFSMDSFRELPFVFKKSHGKFLQIPDIDCKQKPPFLVLL VTSSHKQLAARMAIRKTWGRETSVQGQQVRTFFLLGTSDSTEEMDATTLESEQHRDIIQK DFKDAYFNLTLKTMMGMEWVYHFCPQTAYVMKTDSDMFVNVGYLTELLLKKNKTTRFFTG YIKPHDFPIRQKFNKWFVSKFEYPWDRYPPFCSGTGYVFSSDVAIQVYNVSESVPFIKLE DVFVGLCLAKLKIRPEELHTKQTFFPGGLRFSVCRFQKIVACHFMKPQDLLTYWQALENS KEQDCPAV >ENSMUSP00000088176.6 pep:known chromosome:GRCm38:3:105678270:105687574:-1 gene:ENSMUSG00000027905.15 transcript:ENSMUST00000090680.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx20 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 20 [Source:MGI Symbol;Acc:MGI:1858415] MAAAAFEVPAALTTSESTMAAERAAAPVQAVEPTPASPWTQRTAHDIGGPRTRTGDVVLA EPADFESLLLSRPVLEGLRAAGFERPSPVQLKAIPLGRCGLDLIVQAKSGTGKTCVFSTI ALDSLILENYSTQILILAPTREIAVQIHSVITAIGIKMEGLECHVFIGGTPLSQDKTRLK KCHIAVGSPGRIKQLIELDYLNPGSIRLFILDEADKLLEEGSFQEQINWIYSSLPASKQM LAVSATYPEVLANALTRYMRDPTFVRLNPSDPSLIGLKQYYQVVNSYPLAHKIFEEKTQH LQELFSKVPFNQALVFSNLHSRAQHLADILSSKGFPTECISGNMNQNQRLDAMAKLKQFH CRVLISTDLTSRGIDAEKVNLVVNLDVPLDWETYMHRIGRAGRFGTLGLTVTYCCRGEEE NMMMKIAQKCNINLLPLPDPIPPGLMEECLNWDVEVKAAMHTYSSPTVATQSPKKQVQKL ERAFQSQRTPGNQTPSPRNTSASALSARPKHSKPKLPVKSHSECGVLEKAAPPQESGCPA QLEEQVKNSVQTSVEDSSSNSQHQAKDSSPGSLPKIPCLSSFKVHQPSTLTFAELVDDYE HYIKEGLEKPVEIIRHYTGPEAQTGNPQNGFVRNRVSEDRAQMLVSSSQSGDSESDSDSC SSRTSSQSKGNKSYLEGSSDTQLKDTECTPVGGPLSLEQVQNGNDTPTQVEYQEAPETQV KARHKEGANQRSKQSRRNPARRSSYRVQSEPQEESWYDCHRETTASFSDTYQDYEEYWRA YYRAWQEYYAAASHSYYWNAQRHPSWMAAYHMNTVYLQEMMRGNQ >ENSMUSP00000142675.1 pep:known chromosome:GRCm38:3:105679620:105687452:-1 gene:ENSMUSG00000027905.15 transcript:ENSMUST00000200078.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddx20 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 20 [Source:MGI Symbol;Acc:MGI:1858415] MAAAAFEVPAALTTSESTMAAERAAAPVQAVEPTPASPWTQRTAHDIGGPRTRTGDVVLA EPADFESLLLSRPVLEGLRAAGFERPSPVQLKAIPLGRCGLDLIVQAKSGTGKTCVFSTI ALDSLILENYSTQVELNSS >ENSMUSP00000140159.1 pep:known chromosome:GRCm38:19:4057477:4059446:-1 gene:ENSMUSG00000058216.12 transcript:ENSMUST00000189808.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC021614 description:cDNA sequence BC021614 [Source:MGI Symbol;Acc:MGI:2385078] MPPYTIVYFPSRGRCEVMRMLLADQGQSWKEEVVTLDVWEQGTFKASCLFGQIPKFQDGE LTLYQSNTILRHLGRSFGLYGKDQQEAALVDMVNDGLEDLFRRIARQYRHILKEGKDQYQ KELPGHLKPFETLLAQNRGGQSFIVGDQISFADYRLLDVLLNLELLFPGYLNDFPLFSAY VARLKSRPKLKAFLESPEHVNRPLAACIKM >ENSMUSP00000075779.6 pep:known chromosome:GRCm38:19:4057478:4059295:-1 gene:ENSMUSG00000058216.12 transcript:ENSMUST00000076451.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC021614 description:cDNA sequence BC021614 [Source:MGI Symbol;Acc:MGI:2385078] VPPYTIVYFPSRGRCEVMRMLLADQGQSWKEEVVTLDVWEQGTFKASCLFGQIPKFQDGE LTLYQSNTILRHLGRSFGLYGKDQQEAALVDMVNDGLEDLFRRIARQYRHILKEGKDQYQ KELPGHLKPFETLLAQNRGGQSFIVGDQISFADYRLLDVLLNLELLFPGYLNDFPLFSAY VARLKSRPKLKAFLESPEHVNRPLAACIKM >ENSMUSP00000103725.2 pep:known chromosome:GRCm38:7:31359038:31362072:-1 gene:ENSMUSG00000078754.2 transcript:ENSMUST00000108090.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b3 description:secretoglobin, family 2B, member 3 [Source:MGI Symbol;Acc:MGI:3782547] MKGTLLLLAFLVIGELGFQTSEKACASFFGVYLSTVSGKRLWLHHELSYFNPTDGETKSF KKIQDCYEEAGLKAKSQDVQFMASMFFSSECLKYYSNDTMTKILSVITKKWM >ENSMUSP00000058300.7 pep:known chromosome:GRCm38:17:55938381:55945259:-1 gene:ENSMUSG00000062101.12 transcript:ENSMUST00000056147.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp119b description:zinc finger protein 119b [Source:MGI Symbol;Acc:MGI:2385323] MDAVTYEDVHVNFSQEEWALLDPSQKSLYKDVMLETYWNLTCIGYKWEDHNIEDQHQSSG RHGRCINCPSAYGKKQCTNLFPRTIRRRYVVTPFVKRGGECDTSLQVLGFPASVGIHQNT DTGEKPSVDKESGKSPVCPGSLCTCSVTHSIGECYECSQCGKALSCSLQRHDQTHMGKGS DKCEPSSKSLTHPRYLQIQKTAYNEEDLYVWNQCGKSSLQPHRRTHLGMKSCEYNHEDKL ISHQNCNQVGRTNTRDTKHDYHQWGKAFTYPSYLQIYERIHTEEKPYECSQCGRAFTCHS TLQIHERIHTGEKPYKCNQCGKTFACKRYLQIHEKIHTGEKPYKCNQCGKAFACNSYLQI HERIHTGEKPYKCSQCGKAFACNSYLQMHERIHTGEKPYKCNQCGKAFACNSNLQKHERT HTGEKPYKCNQCGKAFTCHSTLQIHERIHTGEKPYKCNQCSKAFARKRYLQIHERIHTGE KPYECNQCGKAFAYSSSLNKHERTHTAEKPFECNQCGIAFACLSTLQKHEGIHTEKIPQQ SKK >ENSMUSP00000139791.1 pep:known chromosome:GRCm38:17:55938381:55949500:-1 gene:ENSMUSG00000062101.12 transcript:ENSMUST00000189452.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp119b description:zinc finger protein 119b [Source:MGI Symbol;Acc:MGI:2385323] MLETYWNLTCIGYKWEDHNIEDQHQSSGRHGRCINCPSAYGKKQCTNLFPRTIRRRYVVT PFVKRGGECDTSLQVLGFPASVGIHQNTDTGEKPSVDKESGKSPVCPGSLCTCSVTHSIG ECYECSQCGKALSCSLQRHDQTHMGKGSDKCEPSSKSLTHPRYLQIQKTAYNEEDLYVWN QCGKSSLQPHRRTHLGMKSCEYNHEDKLISHQNCNQVGRTNTRDTKHDYHQWGKAFTYPS YLQIYERIHTEEKPYECSQCGRAFTCHSTLQIHERIHTGEKPYKCNQCGKTFACKRYLQI HEKIHTGEKPYKCNQCGKAFACNSYLQIHERIHTGEKPYKCSQCGKAFACNSYLQMHERI HTGEKPYKCNQCGKAFACNSNLQKHERTHTGEKPYKCNQCGKAFTCHSTLQIHERIHTGE KPYKCNQCSKAFARKRYLQIHERIHTGEKPYECNQCGKAFAYSSSLNKHERTHTAEKPFE CNQCGIAFACLSTLQKHEGIHTEKIPQQSKK >ENSMUSP00000057398.6 pep:known chromosome:GRCm38:4:43659622:43669141:-1 gene:ENSMUSG00000043633.6 transcript:ENSMUST00000056474.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam221b description:family with sequence similarity 221, member B [Source:MGI Symbol;Acc:MGI:2441678] MEANKTTEGPQDTQDAKEQPSLEDTNAEDLYEATTHETPLQPSSSQKHFQPPEIHLETPA SLNPDTKGAEGLQDSSVSGTPPVKSSSSGLLSLPPQLSPQSVTSLEKAIAEIPLEEFGYD SPISKVKEEPLQLSPTANIPEYGSPISEVQKESLEVSPTANIPEYGSPISEVQKEPLEVS STANIPKYESPVSEVQKEPLEVSPTASIPESSHELTSDKVPQTQVPESEHFPKHSFSESP TQAKEDESTKEGEAGEDELAVGTSKIPAAKPGLRAKKKKEKRAGGVTSRPVVPAKRAELV EMAKAVHREQFDDQVNNLFQWEKDSTLKAIQTGIYIGWRCPHYLWDCFRIGDESKCFCGH LLREHQIISDLSVPCSVSQCRCLMFCFIPSRPEEVGEFWLKKRATFDPKAWRAQCRCKHT HEEHAATGAHPCRHRGCFCPSFESNFLCVACDRRWEEHETFFETGDTRRKGKRPYGANNI KNWHRTY >ENSMUSP00000124696.1 pep:known chromosome:GRCm38:14:59402009:59440884:-1 gene:ENSMUSG00000071350.12 transcript:ENSMUST00000161459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setdb2 description:SET domain, bifurcated 2 [Source:MGI Symbol;Acc:MGI:2685139] MEEKNGDAKTFWMELQDDGKVDLMFEKTQNVLHSLKQKIKDGSATNGDYVQAMNLVNEAT LSNTQTLEKDHTPVTQSEQENKSSAVPSASCDNSCPKGCTIPSPGKKVFLPVKNKADNLV KKEAPLHISFHRHICSRTCLMETPLSLKGENPLQLPIRCHFQRRHAKTNSHSSALHVNYK TPCGRNLRNMEEVFHYLLETECNFLFTDNFSFNTYVQLTRNHPKQNEVVSDVDISNGVES VSIPFCNEIDNSKLPRFKYRNTVWPRIYHLNFSNMFSDSCDCSEGCIDIKKCACLQLTAK NAKACPLSSDGECAGYKYKRLQRLIPTGIYECNLLCKCNKQMCQNRVIQHGVRVRLQVFK SEKKGWGVRCLDDIDKGTFVCIYSGRLLRRATPEKTNIGENGREQQHIVKNSFSKKRKLE VVCSDCDAHCDSPKAEDCPPKLSGDLKEPAVEMNHRNISRTQHHSVIRRTKSKTTVFHYS EKNMGFVCSDSAAPEDKNGFKPAQEHVNSEARRAHEDLSSNPAGDSEDTQLTESDVIDIT ASREDSAPAYRCKHATIVDRKDTKQVLEVPGKKSQEEEPAASQSQQALCDEELPSERTKI PSASLMQLSKESLFLLDASKEGNVGRFLNHSCCPNLWVQNVFVETHDRNFPLVAFFTNRY VKARTELTWDYGYEAGATPAKEILCQCGFNKCRKKLI >ENSMUSP00000106884.2 pep:known chromosome:GRCm38:14:59422521:59440875:-1 gene:ENSMUSG00000071350.12 transcript:ENSMUST00000111253.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setdb2 description:SET domain, bifurcated 2 [Source:MGI Symbol;Acc:MGI:2685139] MEEKNGDAKTFWMELQDDGKVDLMFEKTQNVLHSLKQKIKDGSATNGDYVQAMNLVNEAT LSNTQTLEKDHTPVTQSEQENKSSAVPSASCDNSCPKGCTIPYVYVIRVSAPSVCCLLNI PKSLTPFIKFNSRCLCLLVN >ENSMUSP00000093450.3 pep:known chromosome:GRCm38:14:59402011:59440877:-1 gene:ENSMUSG00000071350.12 transcript:ENSMUST00000095775.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setdb2 description:SET domain, bifurcated 2 [Source:MGI Symbol;Acc:MGI:2685139] MEEKNGDAKTFWMELQDDGKVDLMFEKTQNVLHSLKQKIKDGSATNGDYVQAMNLVNEAT LSNTQTLEKGMFITYSNPEVNTHRSNHTPVTQSEQENKSSAVPSASCDNSCPKGCTIPSP GKKVFLPVKNKADNLVKKEAPLHISFHRHICSRTCLMETPLSLKGENPLQLPIRCHFQRR HAKTNSHSSALHVNYKTPCGRNLRNMEEVFHYLLETECNFLFTDNFSFNTYVQLTRNHPK QNEVVSDVDISNGVESVSIPFCNEIDNSKLPRFKYRNTVWPRIYHLNFSNMFSDSCDCSE GCIDIKKCACLQLTAKNAKACPLSSDGECAGYKYKRLQRLIPTGIYECNLLCKCNKQMCQ NRVIQHGVRVRLQVFKSEKKGWGVRCLDDIDKGTFVCIYSGRLLRRATPEKTNIGENGRE QQHIVKNSFSKKRKLEVVCSDCDAHCDSPKAEDCPPKLSGDLKEPAVEMNHRNISRTQHH SVIRRTKSKTTVFHYSEKNMGFVCSDSAAPEDKNGFKPAQEHVNSEARRAHEDLSSNPAG DSEDTQLTESDVIDITASREDSAPAYRCKHATIVDRKDTKQVLEVPGKKSQEEEPAASQS QQALCDEELPSERTKIPSASLMQLSKESLFLLDASKEGNVGRFLNHSCCPNLWVQNVFVE THDRNFPLVAFFTNRYVKARTELTWDYGYEAGATPAKEILCQCGFNKCRKKLI >ENSMUSP00000138108.1 pep:known chromosome:GRCm38:13:100460218:100492338:-1 gene:ENSMUSG00000021639.16 transcript:ENSMUST00000145266.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2h2 description:general transcription factor II H, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1345669] MDEEPERTKRWEGGYERTWEILKEDETGSLKATIEDILFKAKRKRVFEHHGQVRLGMMRH LYVVVDGSRTMEDQDLKPNRLTCTLKLLEYFVEEYFDQNPISQIGIIVTKSKRAEKLTEL SGNPRKHITSLKKAVDMTCHGEPSLYNSLSMAMQTLKHMPGHTSREVLIIFSSLTTCDPS NIYDLIKTLKTAKIRVSVIGLSAEVRVCTVLARETGGTYHVILDETHYKELLAHHVSPPP ASSSSECSLIRMGFPQHTIASLSDQDAKPSFSMAHLDNNSTEPGLTLGGYFCPQCRAKYC ELPVECKICGLTLVSAPHLARSYHHLFPLDAFQEISLEEYKGERFCYGCQGELKDQHVYV CTVCQNVFCVDCDVFVHDSLHCCPGCIHKIPTPSGPSL >ENSMUSP00000065228.7 pep:known chromosome:GRCm38:13:100468580:100492561:-1 gene:ENSMUSG00000021639.16 transcript:ENSMUST00000066984.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h2 description:general transcription factor II H, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1345669] MDEEPERTKRWEGGYERTWEILKEDETGSLKATIEDILFKAKRKRVFEHHGQVRLGMMRH LYVVVDGSRTMEDQDLKPNRLTCTLKLLEYFVEEYFDQNPISQIGIIVTKSKRAEKLTEL SGNPRKHITSLKKAVDMTCHGEPSLYNSLSMAMQTLKHMPGHTSREVLIIFSSLTTCDPS NIYDLIKTLKTAKIRVSVIGLSAEVRVCTVLARETGGTYHVILDETHYKELLAHHVSPPP ASSSSECSLIRMGFPQHTIASLSDQDAKPSFSMAHLDNNSTEPGLTLGGYFCPQCRAKYC ELPVECKICGLTLVSAPHLARSYHHLFPLDAFQEISLEEYKGERFCYGCQGELKDQHVYV CTVCQNVFCVDCDVFVHDSLHCCPGCIHKIPTPSGI >ENSMUSP00000138748.1 pep:known chromosome:GRCm38:13:100468808:100492579:-1 gene:ENSMUSG00000021639.16 transcript:ENSMUST00000134842.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2h2 description:general transcription factor II H, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1345669] MDEEPERTKRWEGGYERTWEILKEDETGSLKATIEDILFKAKRKRVFEHHGQVRLGMMRH LYVVVDGSRTMEDQDLKPNRLTCTLKLLEYFVEEYFDQNPISQIGIIVTKSKRAEKLTEL SDTCLDIQVEKCSSSSAASPPVIHLIFTISSRHIPCYLR >ENSMUSP00000064590.7 pep:known chromosome:GRCm38:13:100481529:100492579:-1 gene:ENSMUSG00000021639.16 transcript:ENSMUST00000066940.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h2 description:general transcription factor II H, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1345669] MDEEPERTKRWEGGYERTWEILKEDETGSLKATIEDILFKAKRKRVFEHHGQVRLGMMRH LYVVVDGSRTMEDQDLKPNRLTCTLKLLEYFVEEYFDQNPISQIGIIVTKSKRAEKLTEL SGNPRKHITSLKKAVDMTCHGEPSLYNSLSMAMQTLKTYSVDEAGLELEICLPLIPKFWE AYSTTAPWCWLSLIYILSVKV >ENSMUSP00000072854.1 pep:known chromosome:GRCm38:16:89151086:89151550:1 gene:ENSMUSG00000059113.2 transcript:ENSMUST00000073111.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10061 description:predicted gene 10061 [Source:MGI Symbol;Acc:MGI:3642175] MCYYSGYYGGLGYGYGGLGYGYGYGCGYGCGYGGYGYGCCHPLCCRRYWSCGFY >ENSMUSP00000070958.7 pep:known chromosome:GRCm38:13:30336441:30382867:1 gene:ENSMUSG00000049115.14 transcript:ENSMUST00000066412.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtr1a description:angiotensin II receptor, type 1a [Source:MGI Symbol;Acc:MGI:87964] MALNSSTEDGIKRIQDDCPRAGRHSYIFVMIPTLYSIIFVVGIFGNSLVVIVIYFYMKLK TVASVFLLNLALADLCFLLTLPLWAVYTAMEYRWPFGNHLCKIASASVSFNLYASVFLLT CLSIDRYLAIVHPMKSRLRRTMLVAKVTCIIIWLMAGLASLPAVIHRNVYFIENTNITVC AFHYESRNSTLPIGLGLTKNILGFLFPFLIILTSYTLIWKALKKAYEIQKNKPRNDDIFR IIMAIVLFFFFSWVPHQIFTFLDVLIQLGVIHDCKIADIVDTAMPITICIAYFNNCLNPL FYGFLGKKFKKYFLQLLKYIPPKAKSHSSLSTKMSTLSYRPSDNMSSAAKKPASCSEVE >ENSMUSP00000070113.4 pep:known chromosome:GRCm38:9:37544492:37552904:-1 gene:ENSMUSG00000053310.11 transcript:ENSMUST00000065668.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrgn description:neurogranin [Source:MGI Symbol;Acc:MGI:1927184] MDCCTESACSKPDDDILDIPLDDPGANAAAAKIQASFRGHMARKKIKSGECGRKGPGPGG PGGAGGARGGAGGGPSGD >ENSMUSP00000112716.1 pep:known chromosome:GRCm38:6:122952515:122969875:1 gene:ENSMUSG00000043832.13 transcript:ENSMUST00000117173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4a3 description:C-type lectin domain family 4, member a3 [Source:MGI Symbol;Acc:MGI:1920399] MFSENIYVNTNFKNKVDSSDIDTDSWPAPQRKNTSQKSCHKFSKVLFTSLIIYFLLLTIL FSGALITLFTKYSQLLEEKMIIKELNYTELECTKWASLLEDKVWSCCPKDWKPFGSYCYF TSTDLVASWNESKENCFHMGAHLVVIHSQEEQDFITGILDTGTAYFIGLSNPGDQQWQWI DQTPYDDNTTFWHKGEPSSDNEQCVIINHRQSTGWGWSDIPCSDKQNSICHVKKIYL >ENSMUSP00000085816.4 pep:known chromosome:GRCm38:6:122952644:122969874:1 gene:ENSMUSG00000043832.13 transcript:ENSMUST00000088468.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4a3 description:C-type lectin domain family 4, member a3 [Source:MGI Symbol;Acc:MGI:1920399] MFSENIYVNTNFKNKVDSSDIDTDSWPAPQRKNTSQKSCHKFSKVLFTSLIIYFLLLTIL FSGALITLFTKYSQLLEEKMIIKELNYTELECTKWASLLEDKVWSCCPKDWKPFGSYCYF TSTDLVASWNESKENCFHMGAHLVVIHSQEEQDFITGILDTGTAYFIGLSNPGDQQWQWI DQTPYDDNTTFWHKGEPSSDNEQCVIINHRQSTGWGWSDIPCSDKQNSICHVKKIYL >ENSMUSP00000144856.1 pep:known chromosome:GRCm38:6:122952778:122966549:1 gene:ENSMUSG00000043832.13 transcript:ENSMUST00000204427.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4a3 description:C-type lectin domain family 4, member a3 [Source:MGI Symbol;Acc:MGI:1920399] MFSENIYVNTNFKNKVDSSDIDTDSWPAPQRKNTSQKSCHKFSKVLFTSLIIYFLLLTIL FSGALINKVWSCCPKDWKPFGSYCYFTSTDLVASWNESKENCFHMGAHL >ENSMUSP00000078268.2 pep:known chromosome:GRCm38:3:90654302:90658669:1 gene:ENSMUSG00000063767.3 transcript:ENSMUST00000079286.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a7a description:S100 calcium binding protein A7A [Source:MGI Symbol;Acc:MGI:2687194] MPDTPVEDSLFQIIHCFHHYAAREGDKETLSLEELKALLLDSVPRFMDTLGRRQPYYITE LFRAADKNKDNQICFDEFLYILGKLVKDYHLQFHRQLCAHYCTEHSLY >ENSMUSP00000028117.3 pep:known chromosome:GRCm38:2:23156369:23199260:1 gene:ENSMUSG00000026775.9 transcript:ENSMUST00000028117.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yme1l1 description:YME1-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1351651] MFSLSSTVQPQVTIPLSHLINAFHSPKNISVSVNTPVSQKQHRDTVPEHEAPSSEPVLNL RDLGLSELKIGQIDKMVENLLPGFYKDKRVSSCWHTSHISAQSFFENKYGHLDMFSTLRS SSLYRQHPKTLRSICSDLQYFPVFIQSRGFKTLKSRTRRLQSTSERLVEAQNIAPSFVKG FLLRDRGTDLESLDKLMKTKNIPEAHQDAFKTGFAEGFLKAQALTQKTNDSLRRTRLILF VLLLFGIYGLLKNPFLSVRFRTTTGLDSAVDPVQMKNVTFEHVKGVEEAKQELQEVVEFL KNPQKFTVLGGKLPKGILLVGPPGTGKTLLARAVAGEADVPFYYASGSEFDEMFVGVGAS RIRNLFREAKANAPCVIFIDELDSVGGKRIESPMHPYSRQTINQLLAEMDGFKPNEGVII IGATNFPEALDNALIRPGRFDMQVTVPRPDVKGRTEILKWYLNKIKFDKSVDPEIIARGT VGFSGAELENLVNQAALKAAVDGKEMVTMKELEFSKDKILMGPERRSVEIDNKNKTITAY HESGHAIIAYYTKDAMPINKATIMPRGPTLGHVSLLPENDRWNETRAQLLAQMDVSMGGR VAEELIFGTDHITTGASSDFDNATKIAKRMVTKFGMSEKLGVMTYSDTGKLSPETQSAIE QEIRILLRESYERAKHILKTHAKEHKNLAEALLTYETLDAKEIQIVLEGKKLEVR >ENSMUSP00000047358.1 pep:known chromosome:GRCm38:2:172248492:172251114:1 gene:ENSMUSG00000038537.1 transcript:ENSMUST00000038532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mc3r description:melanocortin 3 receptor [Source:MGI Symbol;Acc:MGI:96929] MNSSCCLSSVSPMLPNLSEHPAAPPASNRSGSGFCEQVFIKPEVFLALGIVSLMENILVI LAVVRNGNLHSPMYFFLCSLAAADMLVSLSNSLETIMIAVINSDSLTLEDQFIQHMDNIF DSMICISLVASICNLLAIAIDRYVTIFYALRYHSIMTVRKALTLIGVIWVCCGICGVMFI IYSESKMVIVCLITMFFAMVLLMGTLYIHMFLFARLHVQRIAVLPPAGVVAPQQHSCMKG AVTITILLGVFIFCWAPFFLHLVLIITCPTNPYCICYTAHFNTYLVLIMCNSVIDPLIYA FRSLELRNTFKEILCGCNSMNLG >ENSMUSP00000103497.2 pep:known chromosome:GRCm38:4:43668971:43692668:1 gene:ENSMUSG00000078716.9 transcript:ENSMUST00000107865.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem8b description:transmembrane protein 8B [Source:MGI Symbol;Acc:MGI:2441680] MNMPQSLGTQPLPPEPPSLGTPIEGSGAIAPTEHCWPVRPTLRNELDTFSVHFYIFFGPS VALPPERPAVFALRLLPVLDSGGVLSLELQLNASSLRQENVTVFGCLTHEVPLSLGDAAV TCSKESLAGFLLSVSATSRVARLRIPFPQTGTWFLTLRSLCGVGPRFVRCRNATAEVRLR TFLSPCVDDCGPYGQCKLLRTHNYLYAACECKAGWRGWGCTDSADALTYGFQLLSTLLLC LSNLMFLPPVVLAIRSRYVLEAAVYTFTMFFSTFYHACDQPGIVVFCIMDYDVLQFCDFL GSLMSVWVTVIAMARLQPVIKQVLYLLGAMLLSMALQLDRHGLWNLLGPSLFALGILATA WTVRSVRRRHCYPPTWRRWLFYLCPGSLIAGSAVLLYAFVETRDNYFYIHSIWHMLIAGS VGFLLPPRAKTDRRVPSGARARGCGYQLCINEQEELGLVGPGGTTVSSICVS >ENSMUSP00000129760.1 pep:known chromosome:GRCm38:4:43669100:43692392:1 gene:ENSMUSG00000078716.9 transcript:ENSMUST00000167153.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem8b description:transmembrane protein 8B [Source:MGI Symbol;Acc:MGI:2441680] MNMPQSLGTQPLPPEPPSLGTPIEGSGAIAPTEHCWPVRPTLRNELDTFSVHFYIFFGPS VALPPERPAVFALRLLPVLDSGGVLSLELQLNASSLRQENVTVFGCLTHEVPLSLGDAAV TCSKESLAGFLLSVSATSRVARLRIPFPQTGTWFLTLRSLCGVGPRFVRCRNATAEVRLR TFLSPCVDDCGPYGQCKLLRTHNYLYAACECKAGWRGWGCTDSADALTYGFQLLSTLLLC LSNLMFLPPVVLAIRSRYVLEAAVYTFTMFFSTFYHACDQPGIVVFCIMDYDVLQFCDFL GSLMSVWVTVIAMARLQPVIKQVLYLLGAMLLSMALQLDRHGLWNLLGPSLFALGILATA WTVRSVRRRHCYPPTWRRWLFYLCPGSLIAGSAVLLYAFVETRDNYFYIHSIWHMLIAGS VGFLLPPRAKTDRRVPSGARARGCGYQLCINEQEELGLVGPGGTTVSSICVS >ENSMUSP00000103496.1 pep:known chromosome:GRCm38:4:43669287:43692668:1 gene:ENSMUSG00000078716.9 transcript:ENSMUST00000107864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem8b description:transmembrane protein 8B [Source:MGI Symbol;Acc:MGI:2441680] MNMPQSLGTQPLPPEPPSLGTPIEGSGAIAPTEHCWPVRPTLRNELDTFSVHFYIFFGPS VALPPERPAVFALRLLPVLDSGGVLSLELQLNASSLRQENVTVFGCLTHEVPLSLGDAAV TCSKESLAGFLLSVSATSRVARLRIPFPQTGTWFLTLRSLCGVGPRFVRCRNATAEVRLR TFLSPCVDDCGPYGQCKLLRTHNYLYAACECKAGWRGWGCTDSADALTYGFQLLSTLLLC LSNLMFLPPVVLAIRSRYVLEAAVYTFTMFFSTFYHACDQPGIVVFCIMDYDVLQFCDFL GSLMSVWVTVIAMARLQPVIKQVLYLLGAMLLSMALQLDRHGLWNLLGPSLFALGILATA WTVRSVRRRHCYPPTWRRWLFYLCPGSLIAGSAVLLYAFVETRDNYFYIHSIWHMLIAGS VGFLLPPRAKTDRRVPSGARARGCGYQLCINEQEELGLVGPGGTTVSSICVS >ENSMUSP00000103498.1 pep:known chromosome:GRCm38:4:43669610:43692668:1 gene:ENSMUSG00000078716.9 transcript:ENSMUST00000107866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem8b description:transmembrane protein 8B [Source:MGI Symbol;Acc:MGI:2441680] MSRSRSPLQPRSLPPAWPLSPPRPLFHPLSQFPAQHLTLSHSPRLLKPLAQPQPLLQSPS HPLLPSHLLPLFEPRCSVQRHPKKAQSLPPSLCLPKSLPLGPRLSHTLPLSQPRLRSGLQ LPPALLLLLLFSVLGPGAGGLFLTDYSTCSPRKLSPFRSFASTELFHFHVPEDTFLAVWN LIIFKEQGGTFGDHCPDQSVTVYFRSGAPPVINPLHTHFPADTAVPGVFSLTLSWTLPNR TSGIFNVSSPLPGDWFLAAHLPQAHGHISVKGLQDECQYLLQPQLIVRRLLDVAVLVPGR PSEQTLSSHNRSALYKVFVPSFTYRVSAQLVCVGGRGASVCPLTLRLRPKAPPLHNSSSV ACGGASVCQLELALPPWGHWVYVRVETPSRGPGRTISFQLCVRLQECPQPSLSRALVPGA AMNMPQSLGTQPLPPEPPSLGTPIEGSGAIAPTEHCWPVRPTLRNELDTFSVHFYIFFGP SVALPPERPAVFALRLLPVLDSGGVLSLELQLNASSLRQENVTVFGCLTHEVPLSLGDAA VTCSKESLAGFLLSVSATSRVARLRIPFPQTGTWFLTLRSLCGVGPRFVRCRNATAEVRL RTFLSPCVDDCGPYGQCKLLRTHNYLYAACECKAGWRGWGCTDSADALTYGFQLLSTLLL CLSNLMFLPPVVLAIRSRYVLEAAVYTFTMFFSTFYHACDQPGIVVFCIMDYDVLQFCDF LGSLMSVWVTVIAMARLQPVIKQVLYLLGAMLLSMALQLDRHGLWNLLGPSLFALGILAT AWTVRSVRRRHCYPPTWRRWLFYLCPGSLIAGSAVLLYAFVETRDNYFYIHSIWHMLIAG SVGFLLPPRAKTDRRVPSGARARGCGYQLCINEQEELGLVGPGGTTVSSICVS >ENSMUSP00000130133.1 pep:known chromosome:GRCm38:4:43669854:43685616:1 gene:ENSMUSG00000078716.9 transcript:ENSMUST00000143339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem8b description:transmembrane protein 8B [Source:MGI Symbol;Acc:MGI:2441680] MNMPQSLGTQPLPPEPPSLGTPIEGSGAIAPTEHCWPVRPTLRNELDTFSVHFYIFFGPS VALPPERPAVFALRLLPVLDSGGVLSLELQLNASSLRQENVTVFGCLTHEVPLSLGDAAV TCSKVPGRLPSLGQCHLQSGQASNPIPTDGDLVP >ENSMUSP00000141545.1 pep:known chromosome:GRCm38:Y:13161968:13164408:1 gene:ENSMUSG00000096820.2 transcript:ENSMUST00000195626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21425 description:predicted gene, 21425 [Source:MGI Symbol;Acc:MGI:5434780] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000137250.1 pep:known chromosome:GRCm38:Y:13163582:13164280:1 gene:ENSMUSG00000096820.2 transcript:ENSMUST00000180318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21425 description:predicted gene, 21425 [Source:MGI Symbol;Acc:MGI:5434780] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000097369.2 pep:known chromosome:GRCm38:2:89406130:89407077:-1 gene:ENSMUSG00000075089.3 transcript:ENSMUST00000099781.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1238 description:olfactory receptor 1238 [Source:MGI Symbol;Acc:MGI:3031072] MGQRNNVTEFILLGLTQDPAGQKALFVMFLLIYIVTMVGNLLIVATVIASPSLGSPMYFF LAYLSIMDAVYSTSTSPKLIMDLLSDKKTISFSACMGQLFIEHLFGGAEVFLLVVMAYDR YVAICKPLHYLTIMNRQICILLLVIAWVGGFVHSVIQLAFVSTLPFCGPNVIDHFICDMY PLLTLACSDTYFIGLTVVANGGAICMVILILLLISYVFILNSLKNYSQEGRRKALSTCSS HITVVVLFFVPCIFIYVRPVSNFPIDKSISVVFTVITPMLNPLIYTLRNSEMKIAMQKLW CKTATTGRVRVHSPH >ENSMUSP00000113864.1 pep:known chromosome:GRCm38:5:127632262:127709374:1 gene:ENSMUSG00000049971.17 transcript:ENSMUST00000118139.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glt1d1 description:glycosyltransferase 1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2442755] MRLLFLAVLRPHTGNAVTAGRLRDHLEAAGHMCVLRDAFDFESPSEIANLIMDENLEAAL ALHLYRGGRLLQGHSIPFGVIFGGTDLNEDANHKEKKEVMGKVLEEARFAVAFTEAMKDT AQVQWPHATGKIFVQSQGIATIPNANFNWNTFLQQSEIDQSADNLYIFLIICGLRPVKDP LYLVDAFSEWHQETPNVYMVIVGPEVDPVFTREVKERVRRAAGVRLIREMCQEDLHAVVK SCFALVNSSVSEGMSAAILEAMDLEVPVLARNIPGNSAVVEHGVTGLLFSNPQEFIQLAK RLVSDPALEKEIVTNGREYVRTHHSWQVERDTYQRLIRKLETNLED >ENSMUSP00000014447.6 pep:known chromosome:GRCm38:16:4594713:4615957:1 gene:ENSMUSG00000014303.13 transcript:ENSMUST00000014447.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glis2 description:GLIS family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1932535] MHSLDEPLDLKLSITKLRAAREKRERTLGVVRHHALHRELGLVDDSPAPGSPGSPPPGFL LNPKFPEKVDGRFSAAPLVDLSLSPPSGLDSPNGSSSLSPECQGNGDLPPLPTAVDFQPL RYLDGVPSSFQFFLPLGSGGALHLPASSFLPPPKDKCLSPELPLAKQLVCRWAKCNQLFE LLQDLVDHVNDHHVKPEQDARYCCHWEGCARHGRGFNARYKMLIHIRTHTNEKPHRCPTC NKSFSRLENLKIHNRSHTGEKPYVCPYEGCNKRYSNSSDRFKHTRTHYVDKPYYCKMPGC HKRYTDPSSLRKHIKAHGHFVSHEQQELLQLRPPPKPPLPTPDSGSYVSGAQIIIPNPAA LFGGPSLPGLPLPLPPGPLDLSALACGNGGGGGGGIGPGLPGSVLPLNLAKNPLLPSPFG AGGLGLPVVSLLGGSAGSKAEGEKGRGSVPARVLGLEDHKTPLERTERSRSRPSPDGLPL LPGTVLDLSTGNSAASSPEVLTPGWVVIPPGSVLLKPAVVN >ENSMUSP00000115728.1 pep:known chromosome:GRCm38:16:4601163:4610413:1 gene:ENSMUSG00000014303.13 transcript:ENSMUST00000141682.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glis2 description:GLIS family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1932535] MHSLDEPLDLKLSITKLRAAREKRERTLGVVRHHALHRELGLVDDSPAPGSPGSPPPGFL LNPKFPEKVDGRFSAAPLVDLSLSPPSGLDSPNGSSSLSPECQGNGDLP >ENSMUSP00000064385.8 pep:known chromosome:GRCm38:3:90668978:90670035:1 gene:ENSMUSG00000056054.9 transcript:ENSMUST00000069927.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a8 description:S100 calcium binding protein A8 (calgranulin A) [Source:MGI Symbol;Acc:MGI:88244] MPSELEKALSNLIDVYHNYSNIQGNHHALYKNDFKKMVTTECPQFVQNINIENLFRELDI NSDNAINFEEFLAMVIKVGVASHKDSHKE >ENSMUSP00000144896.1 pep:known chromosome:GRCm38:6:129424772:129452000:-1 gene:ENSMUSG00000033082.10 transcript:ENSMUST00000203162.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec1a description:C-type lectin domain family 1, member a [Source:MGI Symbol;Acc:MGI:2444151] MQAKYSSTRDMLDDDDTTISLYSGTSTVTRRAEPRHSENGTPSSVWRPVALTLLTLCLVL LVGLAALGLVFFQFYQLSNIQQDSITEKDEKLGNMSRQLQSLQAQNRKLIETLQQVAVKL CRELYNKSGGHRCSPCPEKWKWYGDKCYQFYKESKNWQSCEYFCLADNATMLKISTQEEL GSPAMAVAKPGCGQMGHLTPLNCLRL >ENSMUSP00000047065.7 pep:known chromosome:GRCm38:6:129426688:129451859:-1 gene:ENSMUSG00000033082.10 transcript:ENSMUST00000037481.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec1a description:C-type lectin domain family 1, member a [Source:MGI Symbol;Acc:MGI:2444151] MQAKYSSTRDMLDDDDTTISLYSGTSTVTRRAEPRHSENGTPSSVWRPVALTLLTLCLVL LVGLAALGLVFFQFYQLSNIQQDSITEKDEKLGNMSRQLQSLQAQNRKLIETLQQVAVKL CRELYNKSGGHRCSPCPEKWKWYGDKCYQFYKESKNWQSCEYFCLADNATMLKISTQEEL DFAMPQSYSEFFYSYWTGLSRNGSGKAWLWTDGTPYSFELFEIIIDPTNLRNRDCMTIFN GKAYSKDCKELRRCACERIAGRVVPGELQ >ENSMUSP00000145071.1 pep:known chromosome:GRCm38:6:129429906:129451851:-1 gene:ENSMUSG00000033082.10 transcript:ENSMUST00000204012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec1a description:C-type lectin domain family 1, member a [Source:MGI Symbol;Acc:MGI:2444151] MQAKYSSTRDMLDDDDTTISLYSGTSTVTRRAEPRHSVFQFYQLSNIQQDSITEKDEKLG NMSRQLQSLQAQNRKLIETLQQVAVKLCRELYNKSGGHRCSPCPEKWKWYGDKCYQFYKE SKNWQSCEYFCLADNATMLKISTQEELGSPAMAVAKPGCGQMGHL >ENSMUSP00000145497.1 pep:known chromosome:GRCm38:6:129429977:129451835:-1 gene:ENSMUSG00000033082.10 transcript:ENSMUST00000204952.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec1a description:C-type lectin domain family 1, member a [Source:MGI Symbol;Acc:MGI:2444151] MQAKYSSTRDMLDDDDTTISLYSGTSTVTRRAEPRHSEWDTLFSLAPSGPDPADLVFGAA RWPGSPGPCVFSVLPAV >ENSMUSP00000021157.8 pep:known chromosome:GRCm38:11:72211724:72215592:-1 gene:ENSMUSG00000020801.8 transcript:ENSMUST00000021157.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med31 description:mediator complex subunit 31 [Source:MGI Symbol;Acc:MGI:1914529] MAAAVAMETDDAGNRLRFQLELEFVQCLANPNYLNFLAQRGYFKDKAFVNYLKYLLYWKE PEYAKYLKYPQCLHMLELLQYEHFRKELVNAQCAKFIDEQQILHWQHYSRKRVRLQQALA EQQQQNNTAGK >ENSMUSP00000131477.1 pep:known chromosome:GRCm38:7:29152510:29156234:-1 gene:ENSMUSG00000030590.15 transcript:ENSMUST00000164589.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam98c description:family with sequence similarity 98, member C [Source:MGI Symbol;Acc:MGI:1921083] MDGAMVAGDLLTLGYDALPEGASRGPACADFRALCARLAAELTALGALERREEGTAVLGA GHGPDTEEEFLRQLAGWLRALHCPDRALCGGDCATVLREPGGGLRLLRFLCSELQAARLL HLRLQRDSSPVPSFGEGTKKANGVVQELTLTLQALGLPRPLRGTLASQLLREFHDKISEL LPSLPPESMKPLLNSQLDASRWEALESLSQSLTEQYCCRRCLLLKRLDLTTSAFHWGDRA EAHGEVMKAVLSPIRGLLTPESDVSIAHVLAARADLSRLVPATSVAARRGTSCAINKVLM GDVPDRGGRPSELEAPMPTWKSRREDGGRGKAGQQRWGRRKKKK >ENSMUSP00000120165.1 pep:known chromosome:GRCm38:7:29152512:29156183:-1 gene:ENSMUSG00000030590.15 transcript:ENSMUST00000134176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam98c description:family with sequence similarity 98, member C [Source:MGI Symbol;Acc:MGI:1921083] MALESLSQSLTEQYCCRRCLLLKRLDLTTSAFHWGDRAEAHGEVMKAVLSPIRGLLTPES DVSIAHVLAARADLSRLVPATSVAARRGTSCAINKVLMGDVPDRGGRPSELEAPMPTWKS RREDGGRGKAGQQRWGRRKKKK >ENSMUSP00000121796.1 pep:known chromosome:GRCm38:7:29152519:29156160:-1 gene:ENSMUSG00000030590.15 transcript:ENSMUST00000144795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam98c description:family with sequence similarity 98, member C [Source:MGI Symbol;Acc:MGI:1921083] XMVAGDLLTLGYDALPEGASRGPACADFRALCARLAAELTALGALERREEGTAVLGAGHG PDTEEEFLRQLAGWLRALHCPDRALCGGDCATVLREPGGGLRLLRFLCSELQAARLLHLR LQRDSSPVPSFGEGTKKANGVVQELTLTLQALGLPRPLRGTLASQLLREFHDKEALESLS QSLTEQYCCRRCLLLKRLDLTTSAFHWGDRAEVLMGDVPDRGGRPSELEAPMPTWKSRRE DGGRGKAGQQRWGRRKKKK >ENSMUSP00000117500.1 pep:known chromosome:GRCm38:7:29152554:29155719:-1 gene:ENSMUSG00000030590.15 transcript:ENSMUST00000153251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam98c description:family with sequence similarity 98, member C [Source:MGI Symbol;Acc:MGI:1921083] XATVLREPGGGLRLLRFLCSELQAARLLHLRLQRDSSPVPSFGEGTKKANGVVQELTLTL QALGLPRPLRGTLASQLLREFHDKISELLPSLPPESMKPLLNSQLDASRWAHGEVMKAVL SPIRGLLTPESDVSIAHVLAARADLSRLVPATSVAARRGTSCAINKVLMGDVPDRGGRPS ELEAPMPTWKSRREDGGRGKAGQQRWGRRKKKK >ENSMUSP00000122992.1 pep:known chromosome:GRCm38:7:29153424:29155603:-1 gene:ENSMUSG00000030590.15 transcript:ENSMUST00000123416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam98c description:family with sequence similarity 98, member C [Source:MGI Symbol;Acc:MGI:1921083] RLDSRGCGQSFAASLKAAGFLCSELQAARLLHLRLQRDSSPVPSFGEGTKKANGVVQELT LTLQALGLPRPLRGTLASQLLREFHDKISELLPSLPPESMKPLLNSQLDASRWAHGEVMK AVLSPIRGLLTPESD >ENSMUSP00000027380.5 pep:known chromosome:GRCm38:1:72284369:72303104:1 gene:ENSMUSG00000026188.11 transcript:ENSMUST00000027380.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem169 description:transmembrane protein 169 [Source:MGI Symbol;Acc:MGI:2442781] MEESAPVESQGQLPSPHHGSLRRAVAAVLALDGESTLGRRKKRRKDSRPESIIIYRSDNE KTDEEPEESEGGDRPKEEEGEDFLDYPGDDGVWNMPLDSRYVTLTGTITRGKKKGQMVDI HVTLTEKELQELTKPKELSREAAPEGRRACQVGADQGPHVVLWTLVCLPVVFVLSFVVSF YYGTITWYNIFLVYNEERTFWHKISCCPCLILFYPVLIMTMASSLGLYAAVAQLSWSWAA WWRAACDMEKGFCGWLCSKLGLEDCSPYSIVELLESDNISGNLSNKDPIQEVETSTV >ENSMUSP00000114990.1 pep:known chromosome:GRCm38:1:72284395:72300773:1 gene:ENSMUSG00000026188.11 transcript:ENSMUST00000141783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem169 description:transmembrane protein 169 [Source:MGI Symbol;Acc:MGI:2442781] MEESAPVESQGQLPSPHHGSLRRAVAAVLALDGESTLGRRKKRRKDSRPESIIIYRSDNE KTDEEPEESEGGDRPKEEEGEDFLDYPGDDGVWNMPLDSRYVTLTGTITRGKKKGQMVDI >ENSMUSP00000119723.1 pep:known chromosome:GRCm38:16:4616464:4624936:-1 gene:ENSMUSG00000014301.12 transcript:ENSMUST00000156889.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pam16 description:presequence translocase-asssociated motor 16 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913699] MAKYLAQIIVMGVQVVGRAFARALRQEFAAEPRGGPEEL >ENSMUSP00000014445.6 pep:known chromosome:GRCm38:16:4616464:4624988:-1 gene:ENSMUSG00000014301.12 transcript:ENSMUST00000014445.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pam16 description:presequence translocase-asssociated motor 16 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913699] MAKYLAQIIVMGVQVVGRAFARALRQEFAASQAAADARGRAGHQSAAASNLSGLSLQEAQ QILNVSKLSPEEVQKNYEHLFKVNDKSVGGSFYLQSKVVRAKERLDEELRIQAQEDREKG QKPKT >ENSMUSP00000117222.1 pep:known chromosome:GRCm38:1:173567073:173599074:-1 gene:ENSMUSG00000073491.10 transcript:ENSMUST00000150649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pydc4 description:pyrin domain containing 4 [Source:MGI Symbol;Acc:MGI:3695276] MTGEMVNYCKQIVLLSGLEYMNDYNFRALKSLLNHDLKLTKNMQDDYDRINIADLMEEKF PEDAGLSKLIEVCEDIPELAARVDILRKEMEKVKNKTKIKSESSPPPLTSSLMEAWEVEP AMVTASSEESKDTIPESPDTMTTPFLKEKPKIPLLSATSTSQAEGEPLTPQRFPTTASSS LQTPLEPTEISSTILVTSQGSSAPYSTCDKSSRVPPVTASSSIQTIQTCLATSTLPCSHQ ATLKSPKTEPSSVQATQMTQAIKASGHNCPQVPTAAVSSSFIKPQVTSARLLSGVQTPLM PQATVPSRAQTFRLTPAKMTSGCNSPQMSAATVYSSYSNPHVTPVPSSVQILQMNLAAMT IGCNSPHVSAATVSSHYNNPWVTPATFPGSAQTLQLYPAAMAHGCNSPQVSAATISSSYN NTPQVSSVTVPRSFPAMSLSPATPLKARIGSHLGATDQLVKDHQFEDNEMQNPQSGLWTG LSDQPHLSRTQSCTEKRRKKKEEQPLATKMKRLSLSPPQTTARRVNPMPSWGQLKKLTRE AEGLVHRTGNKLSSESMFLAMLALMQSSSEICNYDKAGHSGTSSEK >ENSMUSP00000095070.2 pep:known chromosome:GRCm38:1:173591957:173599274:-1 gene:ENSMUSG00000073491.10 transcript:ENSMUST00000097462.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pydc4 description:pyrin domain containing 4 [Source:MGI Symbol;Acc:MGI:3695276] MTGEMVNYCKQIVLLSGLEYMNDYNFRALKSLLNHDLKLTKNMQDDYDRINIADLMEEKF PEDAGLSKLIEVCEDIPELAARVDILRKEMEKVKNKTKIKSESSPPPLTSSLMEAWEVEP AMVTASSEVHRLRSH >ENSMUSP00000136238.1 pep:known chromosome:GRCm38:1:173593777:173599109:-1 gene:ENSMUSG00000073491.10 transcript:ENSMUST00000180215.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pydc4 description:pyrin domain containing 4 [Source:MGI Symbol;Acc:MGI:3695276] MTGEMVNYCKQIVLLSGLEYMNDYNFRALKSLLNHDLKLTKNMQDDYDRINIADLMEEKF PEDAGLSKLIEVCEDIPELAARVDILRKEMEKVKNKTKIKSESSPPPLTSSLMEAWEVEP AMVTASSEVHRLRSH >ENSMUSP00000143241.1 pep:known chromosome:GRCm38:5:3651181:3673117:1 gene:ENSMUSG00000014529.9 transcript:ENSMUST00000199959.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim7 description:transmembrane BAX inhibitor motif containing 7 [Source:MGI Symbol;Acc:MGI:1922305] MKVFVVLSIQLFITAVIIGIFVFCEPVRKWVIAKPWFLYALLPAVMILIFVLACCRDIRR QVPANYILLAFFTILEGLLLGSLSVLWFQLLQSRRDTMGRRSHHYGDTSANFVCATNKMG FYPAK >ENSMUSP00000014673.2 pep:known chromosome:GRCm38:5:3657004:3679416:1 gene:ENSMUSG00000014529.9 transcript:ENSMUST00000014673.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim7 description:transmembrane BAX inhibitor motif containing 7 [Source:MGI Symbol;Acc:MGI:1922305] MKIDLEVSEPVNFSSGDHQHLVHTAAIKGNTGRLDQPFTASSGDRVQEAVQYSHPRNRDG ANTYAVQVSEDVTPSNETVNQSIPFENTSIRNDFIMKVFVVLSIQLFITAVIIGIFVFCE PVRKWVIAKPWFLYALLPAVMILIFVLACCRDIRRQVPANYILLAFFTILEGLLLGSLSV FYRAEEILWAAGATTMVTLVLTLFALQTKWDFTLLNGVMFVFTSVLLIYGIIALVIRSYW LHLVYSALGTLLFSIYLVMDVQMMVGGRYHYEINPEEYIFAALNIYVDIISLFIFILDLI GR >ENSMUSP00000143399.1 pep:known chromosome:GRCm38:5:3657180:3679544:1 gene:ENSMUSG00000014529.9 transcript:ENSMUST00000198739.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim7 description:transmembrane BAX inhibitor motif containing 7 [Source:MGI Symbol;Acc:MGI:1922305] MKIDLEVSEPVNFSSGDHQHLVHTAAIKDFIMKVFVVLSIQLFITAVIIGIFVFCEPVRK WVIAKPWFLYALLDIRRQVPANYILLAFFTILEGLLLGSLSVFYRAEEILWAAGATTMVT LVLTLFALQTKWDFTLLNGVMFVFTSVLLIYGIIALVIRSYWLHLVYSALGTLLFSIYLV MDVQMMVGGRYHYEINPEEYIFAALNIYVDIISLFIFILDLIGR >ENSMUSP00000117435.1 pep:known chromosome:GRCm38:5:3661868:3680325:1 gene:ENSMUSG00000014529.9 transcript:ENSMUST00000156117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim7 description:transmembrane BAX inhibitor motif containing 7 [Source:MGI Symbol;Acc:MGI:1922305] FTASSGDRVQEAVQYSHPRNRDGANTYAVQVSEDVTPSNETVNQSIPFENTSIRNDFIMK VFVVLSIQLFITAVIIGIFVFCEPVRKWVIAKPWFLYALLDIRRQVPANYILLAFFTILE GLLLGSLSVFYRAEEILWAAGATTMVTLVLTLFALQTKWDFTLLNGVMFVFTSVLLIYGI IALVIRSYWLHLVYSALGTLLFSIAD >ENSMUSP00000036029.6 pep:known chromosome:GRCm38:3:145070263:145099104:-1 gene:ENSMUSG00000036960.10 transcript:ENSMUST00000040465.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clca2 description:chloride channel accessory 2 [Source:MGI Symbol;Acc:MGI:2139758] MTHRDSTGPVIGLKLVTLLFTLSPELLFLGAGLKLKENGYDGLLVAINPRVPEDLKLITN IKEMITEASFYLFNATKRRVFFRNVQILVPATWTDHNYSRVRQESYDKANVIVAEQSEEH GDDPYTLQHRGCGQEGRYIHFTPSFLLNDELAAGYGARGRVFVHEWAHLRWGVFDEYNND KPFYVNGRNEIQVTRCSSDITGVFVCEKGLCPHEDCIISKIFREGCTFLYNSTQNATGSI MFMPSLPSVVEFCNESTHNQEAPNLQNQVCSLRSTWDVITASSDLNHSLPVHGVGLPAPP TFSLLQAGDRVVCLVIDVSRKMAEGDRLLRLQQAAELYLMQVVEAHTFVGIVTFDSKGEI RASLQQIYSDDDRKLLVSYLPTAVSTDAETNICAGVKKGFEVVEERNGRADGSVLILVTS GADEHIANCLLTSMNSGSTIHSMALGSSAARKVGELSRLTGGLKFFIPDKFTSNGMTEAF VRISSGTGDIFQQSLQVESVCETVQPQHQLADTMTVDSAVGNDTLFLVTWQTGGPPEIAL LDPSGRKYNTGDFIINLAFRTASLKIPGTAKHGHWTYTLNNTHHSPQALKVTVASRASSL AMSPATLEAFVERDSTYFPQPVIIYANVRKGLHPILNATVVATVEPEAGDPVVLQLLDGG AGADVIRNDGIYSRYFSSFAVSGSYSLTVHVRHSPSTSTLALPVPGNHAMYVPGYITNDN IQMNAPKNLGHRPVKERWGFSRVSSGGSFSVLGVPDGPHPDMFPPCKITDLEAMKVEDDV VLSWTAPGEDFDQGQTTSYEIRMSRSLWNIRDDFDNAILVNSSELVPQHAGTRETFTFSP KLVTHELDHELAEDAQEPYIVYVALRAMDRSSLRSAVSNIALVSMSLPPNSSPVVSRDDL ILKGVLTTVGLIAILCLIMVVAHCIFNRKKRPSRKENETKFL >ENSMUSP00000143161.1 pep:known chromosome:GRCm38:3:145071757:145099106:-1 gene:ENSMUSG00000036960.10 transcript:ENSMUST00000198993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clca2 description:chloride channel accessory 2 [Source:MGI Symbol;Acc:MGI:2139758] MTHRDSTGPVIGLKLVTLLFTLSPELLFLGAGLKLKENGYDGLLVAINPRVPEDLKLITN IKEMITEASFYLFNATKRRVFFRNVQILVPATWTDHNYSRVRQESYDKANVIVAEQSEEH GDDPYTLQHRGCGQEGRYIHFTPSFLLNDELAAGYGARGRVFVHEWAHLRWGVFDEYNND KPFYVNGRNEIQVTRCSSDITGVFVCEKGLCPHEDCIISKIFREGCTFLYNSTQNATGSI MFMPSLPSVVEFCNESTHNQEAPNLQNQVCSLRSTWDVITASSDLNHSLPVHGVGLPAPP TFSLLQAGDRVVCLVIDVSRKMAEGDRLLRLQQAAELYLMQVVEAHTFVGIVTFDSKGEI RASLQQIYSDDDRKLLVSYLPTAVSTDAETNICAGVKKGFEVVEERNGRADGSVLILVTS GADEHIANCLLTSMNSGSTIHSMALGSSAARKVGELSRLTGGLKFFIPDKFTSNGMTEAF VRISSGTGDIFQQSLQVESVCETVQPQHQLADTMTVDSAVGNDTLFLVTWQTGGPPEIAL LDPSGRKYNTGDFIINLAFRTASLKIPGTAKHGHWTYTLNNTHHSPQALKVTVASRASSL AMSPATLEAFVERDSTYFPQPVIIYANVRKGLHPILNATVVATVEPEAGDPVVLQLLDGG AGADVIRNDGIYSR >ENSMUSP00000143842.1 pep:known chromosome:GRCm38:8:4613167:4630231:1 gene:ENSMUSG00000058748.9 transcript:ENSMUST00000202692.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp958 description:zinc finger protein 958 [Source:MGI Symbol;Acc:MGI:2385298] MDAVTFDDVHVDFTSEEWALLDPSQKSLYKDVMLETYWNLTAIGYNLEDQTIEEHFQSSR RHGRPERSHTGEKPYEEIKYGEAFAHHGSLQIHKRIHTGKKFYECNPCGKAFAYHCHLRI HKRIHTAEKLYKCIQCGKAFSEHNTLQKHKRTHSEEKPYECNQCGKAFAHHNILRNHERT HIGEKPYQCNECDKAFSQHYYLRIHKRIHTGEKPYECNQCDKAFACLSYLRVHGRTHTGE KPYKCNQCGKVFASHSNLKRHKRTHTGEKPYECNQCGKAFSDHHTLRIHERAHTGEKPFE CNQCGKTFKLHSQLRIHKRTHTGEKPHECNQCGKTFACPSSFQKHKRIHTGEKPYECNQC LKAFAYHSRLRKHERTHTGEKPFRCNQCGKIFSQSNSLQVHKRTHTGEKPYECDRCGKAF PYDSSLRVHKRTHTGVKPYECNQCGKAFGYNSHLQRHERTHTGEKPY >ENSMUSP00000072937.5 pep:known chromosome:GRCm38:8:4625840:4629380:1 gene:ENSMUSG00000058748.9 transcript:ENSMUST00000073201.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp958 description:zinc finger protein 958 [Source:MGI Symbol;Acc:MGI:2385298] DAVTFDDVHVDFTSEEWALLDPSQKSLYKDVMLETYWNLTAIGYNLEDQTIEEHFQSSRR HGRPERSHTGEKPYEEIKYGEAFAHHGSLQIHKRIHTGKKFYECNPCGKAFAYHCHLRIH KRIHTAEKLYKCIQCGKAFSEHNTLQKHKRTHSEEKPYECNQCGKAFAHHNILRNHERTH IGEKPYQCNECDKAFSQHYYLRIHKRIHTGEKPYECNQCDKAFACLSYLRVHGRTHTGEK PYKCNQCGKVFASHSNLKRHKRTHTGEKPYECNQCGKAFSDHHTLRIHERAHTGEKPFEC NQCGKTFKLHSQLRIHKRTHTGEKPHECNQCGKTFACPSSFQKHKRIHTGEKPYECNQCL KAFAYHSRLRKHERTHTGEKPFRCNQCGKIFSQSNSLQVHKRTHTGEKPYECDRCGKAFP YDSSLRVHKRTHTGVKPYECNQCGKAFGYNSHLQRHERTHTGEKPY >ENSMUSP00000104727.3 pep:known chromosome:GRCm38:8:116943393:116960445:1 gene:ENSMUSG00000047388.10 transcript:ENSMUST00000109099.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atmin description:ATM interactor [Source:MGI Symbol;Acc:MGI:2682328] MAATEAAAADSAGPAPGVPATPASTRGAAAASSPWRPPESRLQGSRPRPARARAAAPVPP ARELIQPTVSELSRAVRTNILCTVRGCGKILPNSPALNMHLVKSHRLQDGIVNPTIRKDL TTAPKFYCCPIKGCPRGPDRPFSQFSLVKQHFMKMHAEKKHKCSKCSNSYGTEWDLKRHE EDCGKTFQCTCGCPYASRTALQSHIYRTGHEIPAEHRDPPSKKRKMESYLQNQKLSSKTT EPLSDQAAPRQDAAEPDAPEVKPAASLEDSCSAHTKKQSVATPPRCPQKLLLPKPKVALV KLPVMQFSPVPVFVPTAESSAQPVVLGVDHSSAAGTVHLVPLSVGALILSLDSEACSLKE SLPLSKIISPVVEPMNTGVQVNLGKSLCSPLQEVGSVCQRTSISSSNVQTDLTYASANLI PSAQWLGPDSSVSSCSQTDLSFDSQVSLPVSVHTQTLVPSSKVTSSIAAQTDAFIDACFQ PGGVSRETQTSRMQNRTNDSVPVGHTGLCGDIFESVHASYSVPTDTIMSSSLVAETGTHG LPPQSDPKILGQVMEKSAPVLNFSTQNGLLPAHTMTDNQTQTIDLLSDLENILSSNLPGQ TLDNRSLLSDTNPGPDAQLPAGSAQNSGIDFDIEEFLSASNIQTQTEESELSSMSTEPVL ESLDIETQTDVLLSDPSTQPYGFRAGSGFLGLEMFDTQTQTDLNFFLDSSPHLPLGSILK HSSFSMSTDSSDTETQTEGACPARHLPALESKVQLSSTETQTMSSGFEPLGNLFLTSNET QTAMDDFLLADLAWNTMESQFSSVETQTCAELHAVSSF >ENSMUSP00000002274.8 pep:known chromosome:GRCm38:7:44572380:44586862:1 gene:ENSMUSG00000002204.9 transcript:ENSMUST00000002274.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Napsa description:napsin A aspartic peptidase [Source:MGI Symbol;Acc:MGI:109365] MSPLLLLLLCLLLGNLEPEEAKLIRVPLQRIHLGHRILNPLNGWEQLAELSRTSTSGGNP SFVPLSKFMNTQYFGTIGLGTPPQNFTVVFDTGSSNLWVPSTRCHFFSLACWFHHRFNPK ASSSFRPNGTKFAIQYGTGRLSGILSQDNLTIGGIHDAFVTFGEALWEPSLIFALAHFDG ILGLGFPTLAVGGVQPPLDAMVEQGLLEKPVFSFYLNRDSEGSDGGELVLGGSDPAHYVP PLTFIPVTIPAYWQVHMESVKVGTGLSLCAQGCSAILDTGTSLITGPSEEIRALNKAIGG YPFLNGQYFIQCSKTPTLPPVSFHLGGVWFNLTGQDYVIKILQSDVGLCLLGFQALDIPK PAGPLWILGDVFLGPYVAVFDRGDKNVGPRVGLARAQSRSTDRAERRTTQAQFFKRRPG >ENSMUSP00000146791.1 pep:known chromosome:GRCm38:7:44582354:44585033:1 gene:ENSMUSG00000002204.9 transcript:ENSMUST00000208514.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Napsa description:napsin A aspartic peptidase [Source:MGI Symbol;Acc:MGI:109365] HDAFVTFGEALWEPSLIFALAHFDGILGLGFPTLAVGGVQPPLDAMVEQGLLEKPVFSFY LNRE >ENSMUSP00000049338.2 pep:known chromosome:GRCm38:11:4873951:4894200:1 gene:ENSMUSG00000034285.15 transcript:ENSMUST00000038570.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipsnap1 description:4-nitrophenylphosphatase domain and non-neuronal SNAP25-like protein homolog 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1278344] MAPRLCIISAAARRLFTKPRPRAGDLAAAGAVRFYSKDSEGSWFRSLFVHKVDPRKDAHS TLLSKKETSNLYKIQFHNVKPECLDAYNSLTEAVLPKLHLDEDYPCSLVGNWNTWYGEQD QAVHLWRFSGGYPALMDCMNKLKNNKEYLEFRKERSKMLLSRRNQLLLEFSFWNEPQPRA GPNIYELRTYKLKPGTMIEWGNNWARAIKYRQENQEAVGGFFSQIGELYVVHHLWAYKDL QSREETRNAAWRKRGWDENVYYTVPLVRHMESRIMIPLKISPLQ >ENSMUSP00000117760.1 pep:known chromosome:GRCm38:11:4873999:4893617:1 gene:ENSMUSG00000034285.15 transcript:ENSMUST00000136552.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nipsnap1 description:4-nitrophenylphosphatase domain and non-neuronal SNAP25-like protein homolog 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1278344] MAPRLCIISAAARRLFTKPRPRAGDLAAAGAVRIEVLGSLFRSSLWIFILATTDVNELLR A >ENSMUSP00000122885.1 pep:known chromosome:GRCm38:11:4883194:4889131:1 gene:ENSMUSG00000034285.15 transcript:ENSMUST00000139737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipsnap1 description:4-nitrophenylphosphatase domain and non-neuronal SNAP25-like protein homolog 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1278344] MVCCLGSSCCEIFYSKDSEGSWFRSLFVHKVDPRKDAHSTLLSKKETSNLYKIQFHNVKP ECLDAYNSLTEAVLPKLHLDEDYPCSLVGNWNTWYGEQDQAVHLWRFSGGYPALMDCMNK LKNNKEYLEFRKERSK >ENSMUSP00000147233.1 pep:known chromosome:GRCm38:7:5015496:5033097:1 gene:ENSMUSG00000109129.1 transcript:ENSMUST00000207050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-414I24.8 MDNPSSDPLPSTLSGEEEKPLALLPPVPRGRRGRPPGGATTSNRTLKSSLPRKRGRPPRS EQETPLTAPVDSGGSSDLLLIDDQGVPYTVPEGSAADGPQGSGSKRAPHFCPVCLRAFPY LSDLERHSISHSELKPHVCKDCGKTFKRSSHLRRHCNIHAGLRPFRCVLCPRRFREAGEL AHHHRIHSG >ENSMUSP00000043142.8 pep:known chromosome:GRCm38:4:101068983:101152370:1 gene:ENSMUSG00000035275.14 transcript:ENSMUST00000038463.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raver2 description:ribonucleoprotein, PTB-binding 2 [Source:MGI Symbol;Acc:MGI:2443623] MAARGGGAGGAGSGSGPSAGTAGEAAEPALRPGEVAALHPQEVAARLQRMRRELSNRRKI LVKNLPQDSSSQEVHELLQDYELKYCYVDRNKRTAFVTLLNGEQAQSAIQRFHQFSFRGR ELTVQLQPTDALLCITNLPISFTLEEFEELVRAYGNIERCFLVYSEVTGHSKGYGFVEYM KKDFAAKARLELLGRQMGASALFAQWMDVNLLASELIHSKCLCIDKLPSDYSDSEELLQL FSGIHKPVFCQLAQDEGSHGGGFAVVEYSTAEHAEEVQQVADGITIKGSQVQLSFCAPGA PGRSTLAVLIAAQRAMHSNQKGLLPEPNPVQIMKSLNNPAMLQVLLQPQLCGRAMKPVLG VAPSLSHLLSPSLPSAILHFSKAQQSSAVGNTSSLILQNLSPLPLIQQQLMKFDNAHTNN KPGLLGEPPAMVLQPALAIGPPLPLKTDLGHHGEAHKTSNLIPPQTTLAAGLGMLPFFSN QLPAGQAGPGRGTTQEKQSASVSISEASFSGSQHYLQTFPGLPAGGPLTGNQKTPQSQPK GTEVASKNQTSLLGEPPKEIRLSKNPYLNLASVLPSVCLSTAGKGMPPKTGIASNILDAI SQGSESQHALEKCIAYSPSIEDYAQASSLRNEKRGSSYLISAPEGGPVELAGQHPQDTGV SYTETYLKKKRVY >ENSMUSP00000102568.1 pep:known chromosome:GRCm38:4:101068983:101135356:1 gene:ENSMUSG00000035275.14 transcript:ENSMUST00000106955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raver2 description:ribonucleoprotein, PTB-binding 2 [Source:MGI Symbol;Acc:MGI:2443623] MAARGGGAGGAGSGSGPSAGTAGEAAEPALRPGEVAALHPQEVAARLQRMRRELSNRRKI LVKNLPQDSSSQEVHELLQDYELKYCYVDRNKRTAFVTLLNGEQAQSAIQRFHQFSFRGR ELTVQLQPTDALLCITNLPISFTLEEFEELVRAYGNIERCFLVYSEVTGHSKGYGFVEYM KKDFAAKARLELLGRQMGASALFAQWMDVNLLASELIHSKCLCIDKLPSDYSDSEELLQL FSGIHKPVFCQLAQDEGSHGGGFAVVEYSTAEHAEEVQQVADGITIKGSQVQLSFCAPGA PGRSTLAVLIAAQRAMHSNQKGLLPEPNPVQIMKSLNNPAMLQVLLQPQLCGRAMKPVLG VAPSLSHLLSPSLPSAILHFSKAQQSSAVGNTSSLILQNLSPLPLIQQQLMKFDNAHTNN KPGLLGEPPAMVLQPALAIGPPLPLKTDLGHHGEAHKSK >ENSMUSP00000146921.1 pep:known chromosome:GRCm38:7:5014415:5018488:1 gene:ENSMUSG00000051184.7 transcript:ENSMUST00000207901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp524 description:zinc finger protein 524 [Source:MGI Symbol;Acc:MGI:1916740] MDNPSSDPLPSTLSGEEEKPLALLPPVPRGRRGRPPGGATTSNRTLKSSLPRKRGRPPRS EQETPLTAPVDSGGSSDLLLIDDQGVPYTVPEGSAADGPQGSGSKRAPHFCPVCLRAFPY LSDLERHSISHSELKPHVCKDCGKTFKRSSHLRRHCNIHAGLRPFRCVLCPRRFREAGEL AHHHRIHSGERPYQCPSCRVRFTEANTLRRHYKRKHPELVGMPVRLCPPNPRTQPLWDDD EGIPVQEGVQEESPEGKEPTWPISSTTSPLSGFTAGGSAGAGRGQEGQDTLVSGGIPTME GDQKQGPKPLGPGAIGHPPVD >ENSMUSP00000083533.3 pep:known chromosome:GRCm38:7:5015470:5018487:1 gene:ENSMUSG00000051184.7 transcript:ENSMUST00000086349.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp524 description:zinc finger protein 524 [Source:MGI Symbol;Acc:MGI:1916740] MDNPSSDPLPSTLSGEEEKPLALLPPVPRGRRGRPPGGATTSNRTLKSSLPRKRGRPPRS EQETPLTAPVDSGGSSDLLLIDDQGVPYTVPEGSAADGPQGSGSKRAPHFCPVCLRAFPY LSDLERHSISHSELKPHVCKDCGKTFKRSSHLRRHCNIHAGLRPFRCVLCPRRFREAGEL AHHHRIHSGERPYQCPSCRVRFTEANTLRRHYKRKHPELVGMPVRLCPPNPRTQPLWDDD EGIPVQEGVQEESPEGKEPTWPISSTTSPLSGFTAGGSAGAGRGQEGQDTLVSGGIPTME GDQKQGPKPLGPGAIGHPPVD >ENSMUSP00000147095.1 pep:known chromosome:GRCm38:7:5015807:5018482:1 gene:ENSMUSG00000051184.7 transcript:ENSMUST00000209030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp524 description:zinc finger protein 524 [Source:MGI Symbol;Acc:MGI:1916740] MDNPSSDPLPSTLSGEEEKPLALLPPVPRGRRGRPPGGATTSNRTLKSSLPRKRGRPPRS EQETPLTAPVDSGGSSDLLLIDDQGVPYTVPEGSAADGPQGSGSKRAPHFCPVCLRAFPY LSDLERHSISHSELKPHVCKDCGKTFKRSSHLRRHCNIHAGLRPFRCVLCPRRFREAGEL AHHHRIHSGERPYQCPSCRVRFTEANTLRRHYKRKHPELVGMPVRLCPPNPRTQPLWDDD EGIPVQEGVQEESPEGKEPTWPISSTTSPLSGFTAGGSAGAGRGQEGQDTLVSGGIPTME GDQKQGPKPLGPGAIGHPPVD >ENSMUSP00000037131.5 pep:known chromosome:GRCm38:8:116981810:116993537:-1 gene:ENSMUSG00000034424.5 transcript:ENSMUST00000040484.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcsh description:glycine cleavage system protein H (aminomethyl carrier) [Source:MGI Symbol;Acc:MGI:1915383] MSLQVSRSLRVVAYSLRTALTFCSPRPCVPSAAAVRSLRTGSALLSVRKFTEKHEWITTE EGIGTVGISNFAQEALGDVVYCSLPEVGTKLKKQEEFGALESVKAASELYSPLSGEVTEV NEALAENPGLVNKSCYEDGWLIKMTLSDPSEMDELMSEEAYEKYVKSIEE >ENSMUSP00000097860.2 pep:known chromosome:GRCm38:11:110025634:110095978:-1 gene:ENSMUSG00000041828.15 transcript:ENSMUST00000100287.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca8a description:ATP-binding cassette, sub-family A (ABC1), member 8a [Source:MGI Symbol;Acc:MGI:2386846] MVKREINVCQQTWALLCKNLLRKKRLKRDTFLEFLYTALILLSLILFLQLHEVYDFSSLP DVDLGRIDSFNDSTFMIVYTPITPTTQRIMDRVSLVSYMTGRKILASPNEENMTELISMR FSDVVGVIFTNAYSYNLKFIKGARIPTIKEHQDHTAHCHSYGEIIYCGLSEFWRDGFVAL QAAINAAIIEVTTNHSVMEEMMSLTGKYIKIDSFVGQEGTTTDCFLFFCIIRFSPLTYYI SAGVTRERKKMKGLMAVMGLRDSAFWLSWGLLYGVIVFVVTLLSTTIVKLVQFVFLTGFM VIFSLFFFYGLSLISLSFLMSVLLKKSFLTDLVVFLLTVSCGSLGFTALYRYLPVSLEWL LSLLSPFAFMLGMVQLLRLDYDVNSNADPMGNPNEVIGTIFMLFFDGVFYLLLTFYFEKV LPSKSFHDKTYWHACKSHFFLIDYSFYIRTALDNETDYEFSDDSFEPVSMEFHGKEAIRI RNLTKDYIQKSKRTEALKDLTLDVYKGQITAILGHSGAGKSTLLNVLSGLCVPTKGWVTI HNNKLSEMTDLENISKLTGVCPQCNVQFDFLTVRENLRLFAKIKGIQAHEVDNEVQRVLL ELDMKNTQNILVQNLSGGQKRKLTFGIAILGDPQIFLLDEPTAGLDPFSRHRVWNFLKER RADRVVLFSTQFMDEADILADRKVFISKGKLKCAGSSLFLKKKWGIGYHLSLQLSETCVH ERITSLVKQHIPDSKLSAESEGKLSYILPLERTNKFPDLYRDLERSPDLGIENYGVSITT LTEVFLKLEGKSSIDQSDIGMTEDVQAGGARSPERFAEVEQLVSLLNGRCKMKGGMALWW QQLCAVTRLRFLKLKHERKSIVILILVLGIGLLHILSANIYRMVRQSDYCWELAPHMYFL TPGQQPQPPLTNLLIVNKTGAKIDDFIHSLEQQNIALEVDAFGTRNGTEDSQYNGAIILS GDEKNYNFTLACNTKRLNCFPVLVDIVSNGLLGLFAPSAHIQTDRSTFPEENDHRKFDYL AYFFLWVLLMACVPPYISMTSIDDYKNRAQFQLWISGLSPSAYWFGQALFEVPVYCALIL SIFIAFYASAPPESKFTVGDLFIQILYVGGYAMSVIFMTYVISFIYRKGRKNSGLWSLCF YIVSFFSMCFMLIDYFRDISLFVLIALVPPATLGGCTLLHFENREFSEIIFEPEREYSYL FFLAPLLHFAIFVVILRCMERKFGMKTMRTDPVFRISPRSDRVFNNPEDPDGEDEDVSQE RVWTANALTSADFQEKPAIIASCLRKEYKGKKKCFVLKSKKKIATRNISFCVRKGEVVGL LGHNGAGKSTSIKMITGETKPSAGQVLLKGSSTGDTPGFLGYCPQENALWLNLTVREHLE IFAAIKGMRKSDANVAIERLADALKLQDQLKSPVKTLSEGVKRKLCFVLSILGNPSVVLL DEPSTGMDPEGQQQMWQAIQATFSNTERGALLTTHYMAEAEAVCDRVAIMVSGRLRCIGS IQHLKSKFGKEYLLEMKVKTPSQVEPLNTEIMRLFPQAARQERYSSLMVYKLPREDVQPL SQAFFKLETVKQSFDLEEYSLSQSTLEQVFLELSKEQELDGFEEELDPSVKWKLLPQEEA >ENSMUSP00000102273.1 pep:known chromosome:GRCm38:11:110084164:110095937:-1 gene:ENSMUSG00000041828.15 transcript:ENSMUST00000106662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca8a description:ATP-binding cassette, sub-family A (ABC1), member 8a [Source:MGI Symbol;Acc:MGI:2386846] MVKREINVCQQTWALLCKNLLRKKRLKRDTFLRIMDRVSLVSYMTGRKILASPNEENMTE LISMRFSDVVGVIFTNAYSYNLKFIKGARIPTIKEHQDHTAHCHSYGEIIYCGLSEFWRD GFVALQAAINAAII >ENSMUSP00000114393.1 pep:known chromosome:GRCm38:11:110070313:110075657:-1 gene:ENSMUSG00000041828.15 transcript:ENSMUST00000146635.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca8a description:ATP-binding cassette, sub-family A (ABC1), member 8a [Source:MGI Symbol;Acc:MGI:2386846] XNSNADPMGNPNEVIGTIFMLFFDGVFYLLLTFYFEKVLPSEYGRRHPPLFFLKSSFWSG QNPANRTALDNETDYEFSDDSFEPVSMEFHGKEAIRIRNLTKDYIQKSKRTEALKDLTLD VYKGQITAILGHSGAGKSTLLNVLSGLCVPTKGWVTIHNNKLSEMTDLENISKLTGVCPQ CNVQFDFLTVRENLRLFAKIKGIQAHEVDNE >ENSMUSP00000045808.7 pep:known chromosome:GRCm38:11:110025636:110095937:-1 gene:ENSMUSG00000041828.15 transcript:ENSMUST00000046223.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca8a description:ATP-binding cassette, sub-family A (ABC1), member 8a [Source:MGI Symbol;Acc:MGI:2386846] MVKREINVCQQTWALLCKNLLRKKRLKRDTFLEFLYTALILLSLILFLQLHEVYDFSSLP DVDLGRIDSFNDSTFMIVYTPITPTTQRIMDRVSLVSYMTGRKILASPNEENMTELISMR FSDVVGVIFTNAYSYNLKFIKGARIPTIKEHQDHTAHCHSYGEIIYCGLSEFWRDGFVAL QAAINAAIIEVTTNHSVMEEMMSLTGKYIKIDSFVGQEGTTTDCFLFFCIIRFSPLTYYI SAGVTRERKKMKGLMAVMGLRDSAFWLSWGLLYGVIVFVVTLLSTTIVKLVQFVFLTGFM VIFSLFFFYGLSLISLSFLMSVLLKKSFLTDLVVFLLTVSCGSLGFTALYRYLPVSLEWL LSLLSPFAFMLGMVQLLRLDYDVNSNADPMGNPNEVIGTIFMLFFDGVFYLLLTFYFEKV LPSEYGRRHPPLFFLKSSFWSGQNPANRTALDNETDYEFSDDSFEPVSMEFHGKEAIRIR NLTKDYIQKSKRTEALKDLTLDVYKGQITAILGHSGAGKSTLLNVLSGLCVPTKGWVTIH NNKLSEMTDLENISKLTGVCPQCNVQFDFLTVRENLRLFAKIKGIQAHEVDNEVQRVLLE LDMKNTQNILVQNLSGGQKRKLTFGIAILGDPQIFLLDEPTAGLDPFSRHRVWNFLKERR ADRVVLFSTQFMDEADILADRKVFISKGKLKCAGSSLFLKKKWGIGYHLSLQLSETCVHE RITSLVKQHIPDSKLSAESEGKLSYILPLERTNKFPDLYRDLERSPDLGIENYGVSITTL TEVFLKLEGKSSIDQSDIGMTEDVQAGGARSPERFAEVEQLVSLLNGRCKMKGGMALWWQ QLCAVTRLRFLKLKHERKSIVILILVLGIGLLHILSANIYRMVRQSDYCWELAPHMYFLT PGQQPQPPLTNLLIVNKTGAKIDDFIHSLEQQNIALEVDAFGTRNGTEDSQYNGAIILSG DEKNYNFTLACNTKRLNCFPVLVDIVSNGLLGLFAPSAHIQTDRSTFPEENDHRKFDYLA YFFLWVLLMACVPPYISMTSIDDYKNRAQFQLWISGLSPSAYWFGQALFEVPVYCALILS IFIAFYASAPPESKFTVGDLFIQILYVGGYAMSVIFMTYVISFIYRKGRKNSGLWSLCFY IVSFFSMCFMLIDYFRDISLFVLIALVPPATLGGCTLLHFENREFSEIIFEPEREYSYLF FLAPLLHFAIFVVILRCMERKFGMKTMRTDPVFRISPRSDRVFNNPEDPDGEDEDVSQER VWTANALTSADFQEKPAIIASCLRKEYKGKKKCFVLKSKKKIATRNISFCVRKGEVVGLL GHNGAGKSTSIKMITGETKPSAGQVLLKGSSTGDTPGFLGYCPQENALWLNLTVREHLEI FAAIKGMRKSDANVAIERLADALKLQDQLKSPVKTLSEGVKRKLCFVLSILGNPSVVLLD EPSTGMDPEGQQQMWQAIQATFSNTERGALLTTHYMAEAEAVCDRVAIMVSGRLRCIGSI QHLKSKFGKEYLLEMKVKTPSQVEPLNTEIMRLFPQAARQERYSSLMVYKLPREDVQPLS QAFFKLETVKQSFDLEEYSLSQSTLEQVFLELSKEQELDGFEEELDPSVKWKLLPQEEA >ENSMUSP00000102275.3 pep:known chromosome:GRCm38:11:110025636:110095937:-1 gene:ENSMUSG00000041828.15 transcript:ENSMUST00000106664.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca8a description:ATP-binding cassette, sub-family A (ABC1), member 8a [Source:MGI Symbol;Acc:MGI:2386846] MVKREINVCQQTWALLCKNLLRKKRLKRDTFLEFLYTALILLSLILFLQLHEVYDFSSLP DVDLGRIDSFNDSTFMIVYTPITPTTQRIMDRVSLVSYMTGRKILASPNEENMTELISMR FSDVVGVIFTNAYSYNLKFIKGARIPTIKEHQDHTAHCHSYGEIIYCGLSEFWRDGFVAL QAAINAAIIEVTTNHSVMEEMMSLTGKYIKIDSFVGQEGTTTDCFLFFCIIRFSPLTYYI SAGVTRERKKMKGLMAVMGLRDSAFWLSWGLLYGVIVFVVTLLSTTIVKLVQFVFLTGFM VIFSLFFFYGLSLISLSFLMSVLLKKSFLTDLVVFLLTVSCGSLGFTALYRYLPVSLEWL LSLLSPFAFMLGMVQLLRLDYDVNSNADPMGNPNEVIGTIFMLFFDGVFYLLLTFYFEKV LPSKSFHDKTYWHACKSHFFLIDYSFYIRTALDNETDYEFSDDSFEPVSMEFHGKEAIRI RNLTKDYIQKSKRTEALKDLTLDVYKGQITAILGHSGAGKSTLLNVLSGLCVPTKGWVTI HNNKLSEMTDLENISKLTGVCPQCNVQFDFLTVRENLRLFAKIKGIQAHEVDNEVQRVLL ELDMKNTQNILVQNLSGGQKRKLTFGIAILGDPQIFLLDEPTAGLDPFSRHRVWNFLKER RADRVVLFSTQFMDEADILADRKVFISKGKLKCAGSSLFLKKKWGIGYHLSLQLSETCVH ERITSLVKQHIPDSKLSAESEGKLSYILPLERTNKFPDLYRDLERSPDLGIENYGVSITT LTEVFLKLEGKSSIDQSDIGMTEDVQAGGARSPERFAEVEQLVSLLNGRCKMKGGMALWW QQLCAVTRLRFLKLKHERKSIVILILVLGIGLLHILSANIYRMVRQSDYCWELAPHMYFL TPGQQPQPPLTNLLIVNKTGAKIDDFIHSLEQQNIALEVDAFGTRNGTEDSQYNGAIILS GDEKNYNFTLACNTKRLNCFPVLVDIVSNGLLGLFAPSAHIQTDRSTFPEENDHRKFDYL AYFFLWVLLMACVPPYISMTSIDDYKNRAQFQLWISGLSPSAYWFGQALFEVPVYCALIL SIFIAFYASAPPESKFTVGDLFIQILYVGGYAMSVIFMTYVISFIYRKGRKNSGLWSLCF YIVSFFSMCFMLIDYFRDISLFVLIALVPPATLGGCTLLHFENREFSEIIFEPEREYSYL FFLAPLLHFAIFVVILRCMERKFGMKTMRTDPVFRISPRSDRVFNNPEDPDGEDEDVSQE RVWTANALTSADFQEKPAIIASCLRKEYKGKKKCFVLKSKKKIATRNISFCVRKGEVVGL LGHNGAGKSTSIKMITGETKPSAGQVLLKGSSTGDTPGFLGYCPQENALWLNLTVREHLE IFAAIKGMRKSDANVAIERLADALKLQDQLKSPVKTLSEGVKRKLCFVLSILGNPSVVLL DEPSTGMDPEGQQQMWQAIQATFSNTERGALLTTHYMAEAEAVCDRVAIMVSGRLRCIGS IQHLKSKFGKEYLLEMKVKTPSQVEPLNTEIMRLFPQAARQERYSSLMVYKLPREDVQPL SQAFFKLETVKQSFDLEEYSLSQSTLEQVFLELSKEQELDGFEEELDPSVKWKLLPQEEA >ENSMUSP00000031367.8 pep:known chromosome:GRCm38:5:127595664:127617416:-1 gene:ENSMUSG00000029416.17 transcript:ENSMUST00000031367.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a4 description:solute carrier family 15, member 4 [Source:MGI Symbol;Acc:MGI:2140796] MEGERAPLLGSRRPAVSAASAVFAGRRAACGAVLLAELLERAAFYGVTANLVLFLNGAPF DWEGAQASQALLLFMGLTYLGSPFGGWLADARLGRARAILLSLALYLLGLLAFPLLAAPR SRSFLCGDPRPELVRNCSAPFPNGSASCPENAARRCAPATFAGLVLVGLGVATVKANITP FGADQVKDRGPEATRRFFNWFYWSINLGAILSLGGIAYIQQNVSFFTGYLIPTVCVAIAF LVFLCGQSVFITKPPDGSAFTDMFRILTYSCCSQRGGQRRSGEGLGVFQQSSKHSLFDSC KMSRGGPFTEDKVEDVKALVKIVPVFLALIPYWTVYFQMQTTYALQSLHLKIPEISSITT THHTLPAAWLTMFDAVLILLLIPLKDKLVDPVLRRHGLLPSSLKRIAVGMFFVMCSAFAA GILESKRLDLVKEKTINQTIGGVVYHAADLPIWWQIPQYVLIGISEIFASIAGLEFAYSA APKSMQSAIMGLFFFFSGIGSFVGSGLLALVSLKAIGWMSSHTDFGNINSCHLHYYFFLL AAIQGATLLLFLIVSVKYDRQRARTDGGPASTRT >ENSMUSP00000121595.1 pep:known chromosome:GRCm38:5:127595667:127617226:-1 gene:ENSMUSG00000029416.17 transcript:ENSMUST00000124569.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc15a4 description:solute carrier family 15, member 4 [Source:MGI Symbol;Acc:MGI:2140796] XANLVLFLNGAPFDWEGAQASQALLLFMGLTYLGSPFGGWLADARLGRARAILLSLALYL LGLLAFPLLAAPRSRSFLCGDPRPELVRNCSAPFPNGSASCPENAARRCAPATFAGLVLV GLGVATVKANITPFGADQVKDRGPEATRRFFNWFYWSINLGAILSLGGIAYIQQNVSFFT GYLIPTVCVAIAFLVFLCGQSVFITKPPDGSAFTDMFRILTYSCCSQRGGQRRSGCRPHM LYRVFI >ENSMUSP00000123116.1 pep:known chromosome:GRCm38:5:127595667:127617418:-1 gene:ENSMUSG00000029416.17 transcript:ENSMUST00000153832.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc15a4 description:solute carrier family 15, member 4 [Source:MGI Symbol;Acc:MGI:2140796] MEGERAPLLGSRRPAVSAASAVFAGRRAACGAVLLAELLERAAFYGVTANLVLFLNGAPF DWEGAQASQALLLFMGLTYLGSPFGGWLADARLGRARAILLSLALYLLGLLAFPLLAAPR SRSFLCGDPRPELVRNCSAPFPNGSASCPENAARRCAPATFAGLVLVGLGVATVKANITP FGADQVKDRGPEATRRFFNWFYWSINLGAILSLGGIAYIQQNVSFFTGYLIPTVCVAIAF LVFLCGQSVFITKPPDGSAFTDMFRILTYSCCSQRGGQRRSGCRVEGRSQRTKWKT >ENSMUSP00000142476.1 pep:known chromosome:GRCm38:5:127596656:127604680:-1 gene:ENSMUSG00000029416.17 transcript:ENSMUST00000198486.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a4 description:solute carrier family 15, member 4 [Source:MGI Symbol;Acc:MGI:2140796] XSKHSLFDSCKMSRGGPFTEDKVEDVKALVKIVPVFLALIPYWTVYFQMQTTYALQSLHL KIPEISSITTTHHTLPAAWLTMFDAVLILLLIPLKDKLVDPVLRRHGLLPSSLKRIAVGM FFVMCSAFAAGNINSCHLHYYFFLLAAIQGATLLLFLIVSVKYDRQRAR >ENSMUSP00000116420.1 pep:known chromosome:GRCm38:5:127601930:127632880:-1 gene:ENSMUSG00000029416.17 transcript:ENSMUST00000144603.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc15a4 description:solute carrier family 15, member 4 [Source:MGI Symbol;Acc:MGI:2140796] XDPPSLPCPGSRLPSQVKDRGPEATRRFFNWFYWSINLGAILSLGGIAYIQQNVSFFTGY LIPTVCVAIAFLVFLCGQSVFITKPPDGSAFTDMFRILTYSCCSQRGGQRRSGCRVEGRS QRTKWKT >ENSMUSP00000116529.1 pep:known chromosome:GRCm38:5:127603807:127609351:-1 gene:ENSMUSG00000029416.17 transcript:ENSMUST00000152727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a4 description:solute carrier family 15, member 4 [Source:MGI Symbol;Acc:MGI:2140796] MSRGGPFTEDKVEDVKALVKIVPVFLALIPYWTVYFQMQTTYALQSLHLKIPEISSITTT HHTLPAAWLTMFDAVLILLLI >ENSMUSP00000117258.1 pep:known chromosome:GRCm38:5:127608793:127632897:-1 gene:ENSMUSG00000029416.17 transcript:ENSMUST00000155321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a4 description:solute carrier family 15, member 4 [Source:MGI Symbol;Acc:MGI:2140796] MDPPSLPCPGSRLPSQVKDRGPEATRRFFNWFYWSINLGAILSLGGIAYIQQNVSFFTGY LIPTVCVAIAFLVFLCGQSVFITKPPDGSAFTDMFRILTYSCCSQ >ENSMUSP00000005592.6 pep:known chromosome:GRCm38:7:43408204:43418358:1 gene:ENSMUSG00000030468.12 transcript:ENSMUST00000005592.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglecg description:sialic acid binding Ig-like lectin G [Source:MGI Symbol;Acc:MGI:2443630] MSLLLFLLSFLLDGPQGQMESYFLQVQRIVKAQEGLCIFVPCSFSSPEGKWLNRSPLYGY WFKGIRKPSLSFPVATNNKDKVLEWEARGRFQLLGDISKKNCSLLIKDVQWGDSTNYFFR MERGFERFSFKEEFRLQVEALTQKPDIFIPEVLEPGEPVTVVCLFSWTFNQCPAPSFSWM GDAVSFQESRPHTSNYSVLSFIPGLQHHDTELTCQLDFSRMSTQRTVRLRVAYAPRSLAI SIFHDNVSVPDLHENPSHLEVQQGQSLRLLCTADSQPPATLSWVLEDQVLSWSSPVGSRT LALELPWVKAGDSGHYTCQAENRLGSQQHTLDLSVLYPPQDLRVTVSQANRTVLEILRNA ISLPVLEGQSLCLVCVTYSNPPANVSWAWVTQTLIPIQSSEPGVLELPLVQREHEGEFTC AAQNPLGAQRISLSLSVHYPPQMSSPSCSWEAKGLHCNCSSRAWPAPSLRWRLGEGLLEG NSSNASFTVTFSSLGPWVNSSLSLLQELGPSLWLSCESWNTHGAQTTSVLLLPDKDSATA FSKGAVLGFGITALLALCLIVVIVKTLQKKGTQEEPSRPKLSRGSTILDYINVVPKTRSL ARNWKAEPDAPSRSSPLDTHFPKPKKKQKDPHFTYPGCPDPTSSSQVPVSENNPEELHYA ALNFSRLRLQETQDPQDTYSDYTEVRVH >ENSMUSP00000110427.2 pep:known chromosome:GRCm38:6:40471352:40484700:1 gene:ENSMUSG00000029911.14 transcript:ENSMUST00000114779.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp1 description:single-stranded DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1920040] MFRRPVLQVFRQFVRHESEVASSLVLERSLNRVQLLGRVGQDPVMRQVEGKNPVTIFSLA TNEMWRSGDSEVYQMGDVSQKTTWHRISVFRPGLRDVAYQYVKKGARIFVEGKVDYGEYM DKNNVRRQATTIIADNIIFLSDQTKEKA >ENSMUSP00000031971.6 pep:known chromosome:GRCm38:6:40471415:40478587:1 gene:ENSMUSG00000029911.14 transcript:ENSMUST00000031971.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp1 description:single-stranded DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1920040] MFRRPVLQVFRQFVRHESEVASSLVLERSLNRVQLLGRVGQDPVMRQVEGKNPVTIFSLA TNEMWRSGDSEVYQMGDVSQKTTWHRISVFRPGLRDVAYQYVKKGARIFVEGKVDYGEYM DKNNVRRQATTIIAGKKLVVHSVSGCSLEGLA >ENSMUSP00000113563.1 pep:known chromosome:GRCm38:6:40471468:40480995:1 gene:ENSMUSG00000029911.14 transcript:ENSMUST00000121360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp1 description:single-stranded DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1920040] MFRRPVLQVFRQFVRHESEVASSLVLERSLNRVQLLGRVGQDPVMRQVEGKNPVTIFSLA TNEMWRSGDSEVYQMGDVSQKTTWHRISVFRPGLRDVAYQYVKKGARIFVEGKVDYGEYM DKNNVRRQATTIIADNIIFLSDQTKEKA >ENSMUSP00000114082.1 pep:known chromosome:GRCm38:6:40471475:40480992:1 gene:ENSMUSG00000029911.14 transcript:ENSMUST00000117411.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp1 description:single-stranded DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1920040] MFRRPVLQVFRQFVRHESEVASSLVLERSLNRVQLLGRVGQDPVMRQVEGKNPVTIFSLA TNEMWRSGDSEVYQMGDVSQKTTWHRISVFRPGLRDVAYQYVKKGARIFVEGKVDYGEYM DKNNVRRQATTIIADNIIFLSDQTKEKA >ENSMUSP00000113815.1 pep:known chromosome:GRCm38:6:40471526:40476511:1 gene:ENSMUSG00000029911.14 transcript:ENSMUST00000117830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp1 description:single-stranded DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1920040] MFRRPVLQVFRQFVRHESEVASSLVLERSLNRVQLLGRVGQDPVMRQVEGKNPVTIFSLA TNEMWRSGDSEVYQMG >ENSMUSP00000065861.5 pep:known chromosome:GRCm38:7:5051538:5053723:1 gene:ENSMUSG00000055633.6 transcript:ENSMUST00000069324.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp580 description:zinc finger protein 580 [Source:MGI Symbol;Acc:MGI:1916242] MLLLPPRPPHPRSSSPEVMDPPPPKTPPFPKAEGPSSTSSSVAGPRPPRLGRHLLIDANG VPYTYTVQLEEEPRGPPQREATPGEPGPRKGYSCPECARVFASPLRLQSHRVSHSDLKPF TCGACGKAFKRSSHLSRHRATHRARAGPPHTCPLCPRRFQDAAELAQHVRLH >ENSMUSP00000147223.1 pep:known chromosome:GRCm38:7:5051989:5053335:1 gene:ENSMUSG00000055633.6 transcript:ENSMUST00000208570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp580 description:zinc finger protein 580 [Source:MGI Symbol;Acc:MGI:1916242] MPAVSREEGPRLGVAVREQETRRSMTASWKMLQGGGGDGERNRRCQDTLWVETVLPLQML LLPPRPPHPRSSSPEVMDPPPPKTPPFPKAEGPSSTSSSVAGPRPPRLGRHLLIDANGVP YTYTVQLEEEPRGPPQREATPGEPGPRKGYSCPECARVFASPLRLQSHRVSHSDLKPFTC GACGKAFKRSSHLSRHRATHRARAGPPHTCPLCPRRFQDAAELAQHVRLH >ENSMUSP00000028995.4 pep:known chromosome:GRCm38:2:172345565:172355749:1 gene:ENSMUSG00000027495.4 transcript:ENSMUST00000028995.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam210b description:family with sequence similarity 210, member B [Source:MGI Symbol;Acc:MGI:1914267] MAGLLTLLGPAGRVSTRLRPLAPWLLGTATSCAPPLWALALSHPVPDARLLRTARGDCLS RQEPNRTPEPGGSVTGTEKKLSRTQQLKKVFQEYGAVGVSMHIGISLVSLGIFYTVVSSG IDMSAILLKLGFKESLVQSKMAAGTSTFVVAYAIHKLFAPVRISITLVSVPFVVRYFRSV GLFKPPATKP >ENSMUSP00000031628.9 pep:known chromosome:GRCm38:5:145147514:145167108:-1 gene:ENSMUSG00000029624.10 transcript:ENSMUST00000031628.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptcd1 description:pentatricopeptide repeat domain 1 [Source:MGI Symbol;Acc:MGI:1919049] MDLLRLSRLFSGPRPIGLSVLQHLDLVGSTRWTGGREGPARLRAAFCGSSSPLPLGSGNQ KEMSSLCSDSSKLSTVAPQEEAEEESFGSLSGKFSSRRIFHKSTAQLYNLQLKEQGGEEE ELEPRPWRGRRNTQYWYFFQCKRLIKEGKLAEALDLFERQMLKEERLQPLECNYTVLIGG CGRVGYLKKAFRLFNDMKKRDLEPSDATYTALFNVCAESPWKDSALQSALKLRQQLQARN FQLNLKTYHALLKVAAKCADLRLCLDVFKEIIQRGHAVTEETFCFLLVGCIQDKKTGFRQ AMQVWRQMLSLGIKPSRHGYNLLLEAARDCGLGDPEVASRLLLTSQEETILLPPPKGRHM AGRKVQAKTVHGVSLRHVEALERQLFLEPSQKLEGPPALPEARVTSRTQPEVETTAEPGH TVALTPLASKPTHLELEVSLLSLGTLSPAVVSFGTVATPADRLALMGGLEGFLGKMTEHG LQPDIKTLTLLAEVVEPGSTAESSLLSVLDRHRVEADVTFFNTLIRKKSKLGDLEGAKAL LPILAKKGIVPNLRTFCNLAIGCHRPRDGMQLLADMKKSQVSPNIHIYSTLINAALKKLD YTYLISILKDMRQNSVPVNEVVVRQLEFAAEYPPTFDRYKGKNTYLEKIDGFRAYYKQWL KAMPAEEAPHPWQEFQNKPVGDQDTTDKAGGLRDG >ENSMUSP00000125276.1 pep:known chromosome:GRCm38:5:145165448:145166956:-1 gene:ENSMUSG00000029624.10 transcript:ENSMUST00000161390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptcd1 description:pentatricopeptide repeat domain 1 [Source:MGI Symbol;Acc:MGI:1919049] MDLLRLSRLFSGPRPIGLSV >ENSMUSP00000053288.8 pep:known chromosome:GRCm38:10:80494835:80517276:1 gene:ENSMUSG00000045518.8 transcript:ENSMUST00000051773.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Onecut3 description:one cut domain, family member 3 [Source:MGI Symbol;Acc:MGI:1891409] MELSLESLGGLHGVTHAQAGELLSPGHARSAAAQHRSLVASGRPGLVAGMASLLDGGGAG GGGAGGAGAAGAAGGGPDFRGELAGPLHPAMGMACEAPGLGGTYTTLTPLQHLPPLAAVA DKFHQHAVAGAHGGHPHAHPHPATAPPPPPPQRLAASVSGSFTLMRDERAALASVGHLYG PYGKELPTMGSPLSPLPSALPPALHSAPQPPPPPPLAAYGAPGHLAGDKLLPPAAFEPHA ALLGRAEDALARGLPGGGGGAGGGGAAGGAAAGLLAPLGGLAAAGAHGPHSGGGGPGGGG GAGGGSGGPGAGAAAEEINTKEVAQRITAELKRYSIPQAIFAQRILCRSQGTLSDLLRNP KPWSKLKSGRETFRRMWKWLQEPEFQRMSALRLAACKRKEQDQQKERALQPKKQRLVFTD LQRRTLIAIFKENKRPSKEMQATISQQLGLELNTVSNFFMNARRRCMNRWAEEPGATPGT GTATATFSKA >ENSMUSP00000116879.1 pep:known chromosome:GRCm38:9:59707637:59718874:1 gene:ENSMUSG00000074259.10 transcript:ENSMUST00000128944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd2 description:GRAM domain containing 2 [Source:MGI Symbol;Acc:MGI:3528937] MQMKMMFFCLSDWQSNQQMHGKMAPLKSHVPCTEKPGKVQEPPDDGSLHWSEGSKGEDIK KYSREGTLRSKYNQQYHKLFKDIPLEEVVLKVCSCALQRDLLLHGRLYISPNWLCFHASL FGKDIKVVIPVVSVQLIKKHKMARLLPNGLAITTNTSQKYVFVSLLSRDSVYDMLRRVCT HLQPSSKKSLSIRKFPEEAECESPEVLIPEMKWRKACSAPASLSLPDSISCISQIPTDST DSCFPSRKPPGSEAVCEKDALEEEPSTDQELRLWDSRLLKVIFVMICFLVLSSSYLAFRI SRLEQQLCSLSWGSPLPRDR >ENSMUSP00000096258.3 pep:known chromosome:GRCm38:9:59707764:59716424:1 gene:ENSMUSG00000074259.10 transcript:ENSMUST00000098661.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd2 description:GRAM domain containing 2 [Source:MGI Symbol;Acc:MGI:3528937] MDTFPSSNQQMHGKMAPLKSHVPCTEKPGKVQEPPDDGSLHWSEGSKGEDIKKYSREGTL RSKYNQQYHKLFKDIPLEEVVLKVCSCALQRDLLLHGRLYISPNWLCFHASLFGKDIKVV IPVVSVQLIKKHKMARLLPNGLAITTNTSQKYVFVSLLSRDSVYDMLRRVCTHLQPSSKK SLSIRKFPEEAECESPEVLIPEMKWRKACSAPASLSLPDSISCISQIPTDSTDSCFPSRK PPGSEAVCEKDALEEEPSTDQELRLWDSRLLKVIFVMICFLVLSSSYLAFRISRLEQQLC SLSWGSPLPRDR >ENSMUSP00000114530.1 pep:known chromosome:GRCm38:9:59680144:59713923:1 gene:ENSMUSG00000074259.10 transcript:ENSMUST00000123914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd2 description:GRAM domain containing 2 [Source:MGI Symbol;Acc:MGI:3528937] MTALSRSEPVEAGSNQQMHGKMAPLKSHVPCTEKPGKVQEPPDDGSLHWSEGSKGEDIKK YSREGTLRSKYNQQYHKLFKDIPLEEVVLKVCSCALQRDLLLHGRLYISPNWLCFHASLF GKDIKVVIPVVSVQLIKKHKMARLLPNGLAITTNTSQKYVFVSLLSRDSVYDMLRRVCTH LQPSSKKSLSIRKFPEEAECESPEVLIPEMKWRKACSAPASLSLPDSISCISQIPTDSTD SCFPS >ENSMUSP00000118901.1 pep:known chromosome:GRCm38:9:59711181:59716314:1 gene:ENSMUSG00000074259.10 transcript:ENSMUST00000129129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd2 description:GRAM domain containing 2 [Source:MGI Symbol;Acc:MGI:3528937] VSVQLIKKHKMARLLPNGLAITTNTSQKYVFVSLLSRDSVYDMLRRVCTHLQPSSKKSLS IRKFPEEAECESPVRAEAGSKGLREVLIPEMKWRKACSAPASLSLPDSISCISQIPTDST DSCFPSRKPPGSEAVCEKDALEEEPSTDQELRLWDSRLLKVIFVMICFLVLSSSYLAFRI SRLEQQLCSLSWGSPLPRDR >ENSMUSP00000146978.1 pep:known chromosome:GRCm38:7:5020553:5059704:1 gene:ENSMUSG00000035228.15 transcript:ENSMUST00000208728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc106 description:coiled-coil domain containing 106 [Source:MGI Symbol;Acc:MGI:2385900] MKPGPRRVDGDSRAGVGEASDPESAASSFSGVSEDGSASERKRQKQKGSTSRKRFGKTKA RERQR >ENSMUSP00000104211.1 pep:known chromosome:GRCm38:7:5056152:5060782:1 gene:ENSMUSG00000035228.15 transcript:ENSMUST00000108571.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc106 description:coiled-coil domain containing 106 [Source:MGI Symbol;Acc:MGI:2385900] MSHNYILSKAFKSNFPLNRLVILPYSGGTGIPPLQGLPTFGDLLLSSAPKGSVAGSAGSL LDMNDRNNRWRTMKDEETFEISIPFEEAPHLDSQILYRLSPSRRNVEEPPEGASPTLALM SSVKAQLHMALERNSWLQKRIEDLEEERDFLRCQLDKFISSARMDAEDYCRMKPGPRRVD GDSRAGVGEASDPESAASSFSGVSEDGSASERKRQKQKGSTSRKRFGKTKARERQRVKDA DGVLCRYKKILGTFQKLKSMSRAFEHHRVDRNTVALTTPIAELLIVAPEKLAEVGEFDPS KERLLEYSRRCFLALDDETLKKVQALKKSKLLLPITYRFKR >ENSMUSP00000146998.1 pep:known chromosome:GRCm38:7:5056725:5059511:1 gene:ENSMUSG00000035228.15 transcript:ENSMUST00000208042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc106 description:coiled-coil domain containing 106 [Source:MGI Symbol;Acc:MGI:2385900] MNDRNNRWRTMKDEETFEISIPFEEAPHLDSQILYRLSPSRRNVEEPPEGASPTLALMSS VKAQLHMALERNSWLQKRIEDLEEERDFLRCQLDKFISSARMDAEDYCR >ENSMUSP00000146775.1 pep:known chromosome:GRCm38:7:5056740:5060785:1 gene:ENSMUSG00000035228.15 transcript:ENSMUST00000207974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc106 description:coiled-coil domain containing 106 [Source:MGI Symbol;Acc:MGI:2385900] MNDRNNRWRTMKDEETFEISIPFEEAPHLDSQILYRLSPSRRNVEEPPEGASPTLALMSS VKAQLHMALERNSWLQKRIEDLEEERDFLRCQLDKFISSARMDAEDYCRMKPGPRRVDGD SRAGVGEASDPESAASSFSGVSEDGSASERKRQKQKGSTSRKRFGKTKARERQRGEWGVG TCDGCVEGLCTQNRSVSSTVMP >ENSMUSP00000146642.1 pep:known chromosome:GRCm38:7:5056856:5058085:1 gene:ENSMUSG00000035228.15 transcript:ENSMUST00000208161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc106 description:coiled-coil domain containing 106 [Source:MGI Symbol;Acc:MGI:2385900] MNDRNNRWRTMKDEETFEISIPFEEAPHLDSQILYRLSPSRRNVEEPPEGASPTLALMSS VKAQLHMALERNSWLQ >ENSMUSP00000147063.1 pep:known chromosome:GRCm38:7:5056916:5057824:1 gene:ENSMUSG00000035228.15 transcript:ENSMUST00000207215.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc106 description:coiled-coil domain containing 106 [Source:MGI Symbol;Acc:MGI:2385900] MNDRNNRWRTMKDEETFEI >ENSMUSP00000041033.5 pep:known chromosome:GRCm38:7:5057197:5060785:1 gene:ENSMUSG00000035228.15 transcript:ENSMUST00000045543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc106 description:coiled-coil domain containing 106 [Source:MGI Symbol;Acc:MGI:2385900] MNDRNNRWRTMKDEETFEISIPFEEAPHLDSQILYRLSPSRRNVEEPPEGASPTLALMSS VKAQLHMALERNSWLQKRIEDLEEERDFLRCQLDKFISSARMDAEDYCRMKPGPRRVDGD SRAGVGEASDPESAASSFSGVSEDGSASERKRQKQKGSTSRKRFGKTKARERQRVKDADG VLCRYKKILGTFQKLKSMSRAFEHHRVDRNTVALTTPIAELLIVAPEKLAEVGEFDPSKE RLLEYSRRCFLALDDETLKKVQALKKSKLLLPITYRFKR >ENSMUSP00000105347.1 pep:known chromosome:GRCm38:2:154436481:154539356:1 gene:ENSMUSG00000038533.15 transcript:ENSMUST00000109725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfa2t2 description:core-binding factor, runt domain, alpha subunit 2, translocated to, 2 (human) [Source:MGI Symbol;Acc:MGI:1333833] MVGVPGAAAFQLGCEKRVPAMPGSPVEVKIQSRSSPPIMPPLPPINPGGPRPVSFTPTAL SNGINHSPPTLNGAPSPPQRFSNGPASSTSSALTNQQLPATCGARQLSKLKRFLTTLQQF GNDISPEIGEKVRTLVLALVNSTVTIEEFHCKLQEATNFPLRPFVIPFLKANLPLLQREL LHCARAAKQTPSQYLAQHEHLLLNTSIASPADSSELLMEVHGNGKRPSPERRDENNFERD TVPPEPPAKRVCTISPAPRHSPALTVPLMNPGGQFHPTPPPLQHYTLEDIATSHLYREPN KMLEHREVRERHHNLSLNGGYQDELVDHRLTEREWADEWKHLDHALNCIMEMVEKTRRSM AVLRRCQESDREELNYWKRRFNENTELRKTGTELVSRQHSPGSTDSLSNDSQREFTSRPA TGYVPVEFWKKTEAVNKVKIQAMSEVQKAVAEAEQKAFEVIATERARMEQTIADVKRQAA EDAFLVINEQEESTENCWNCGRKASETCSGCNIARYCGSFCQHKDWERHHRLCGQSLHGH SPHSQSRPLLPGGRGSARSADCSVPSPALDKTSATTSRSSTPASVTAIDANGL >ENSMUSP00000096782.3 pep:known chromosome:GRCm38:2:154436484:154526413:1 gene:ENSMUSG00000038533.15 transcript:ENSMUST00000099178.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfa2t2 description:core-binding factor, runt domain, alpha subunit 2, translocated to, 2 (human) [Source:MGI Symbol;Acc:MGI:1333833] MVGVPGAAAFQLGCEKRVPAMPGSPVEVKIQSRSSPPIMPPLPPINPGGPRPVSFTPTAL SNGINHSPPTLNGAPSPPQRFSNGPASSTSSALTNQQLPATCGARQLSKLKRFLTTLQQF GNDISPEIGEKVRTLVLALVNSTVTIEEFHCKLQEATNFPLRPFVIPFLKANLPLLQREL LHCARAAKQTPSQYLAQHEHLLLNTSIASPADSSELLMEVHGNGKRPSPERRDENNFERD TVPPEPPAKRVCTISPAPRHSPALTVPLMNPGGQFHPTPPPLQHYTLEDIATSHLYREPN KMLEHREVRERHHNLSLNGGYQDELVDHRLTEREWADEWKHLDHALNCIMEMVEKTRRSM AVLRRCQESDREELNYWKRRFNENTELRKTGTELVSRQHSPGSTDSLSNGLLTLLTGSGK QAYVFKKDTHEEMQNTRSGTQDFSRLATLKNCTFLLTSFLDPPSYGCVLAVEL >ENSMUSP00000043087.8 pep:known chromosome:GRCm38:2:154436495:154539356:1 gene:ENSMUSG00000038533.15 transcript:ENSMUST00000045270.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfa2t2 description:core-binding factor, runt domain, alpha subunit 2, translocated to, 2 (human) [Source:MGI Symbol;Acc:MGI:1333833] MVGVPGAAAFQLGCEKRVPAMPGSPVEVKIQSRSSPPIMPPLPPINPGGPRPVSFTPTAL SNGINHSPPTLNGAPSPPQRFSNGPASSTSSALTNQQLPATCGARQLSKLKRFLTTLQQF GNDISPEIGEKVRTLVLALVNSTVTIEEFHCKLQEATNFPLRPFVIPFLKANLPLLQREL LHCARAAKQTPSQYLAQHEHLLLNTSIASPADSSELLMEVHGNGKRPSPERRDENNFERD TVPPEPPAKRVCTISPAPRHSPALTVPLMNPGGQFHPTPPPLQHYTLEDIATSHLYREPN KMLEHREVRERHHNLSLNGGYQDELVDHRLTEREWADEWKHLDHALNCIMEMVEKTRRSM AVLRRCQESDREELNYWKRRFNENTELRKTGTELVSRQHSPGSTDSLSNDSQREFTSRPA TGYVPVEFWKKTEEAVNKVKIQAMSEVQKAVAEAEQKAFEVIATERARMEQTIADVKRQA AEDAFLVINEQEESTENCWNCGRKASETCSGCNIARYCGSFCQHKDWERHHRLCGQSLHG HSPHSQSRPLLPGGRGSARSADCSVPSPALDKTSATTSRSSTPASVTAIDANGL >ENSMUSP00000105346.1 pep:known chromosome:GRCm38:2:154436535:154517824:1 gene:ENSMUSG00000038533.15 transcript:ENSMUST00000109724.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfa2t2 description:core-binding factor, runt domain, alpha subunit 2, translocated to, 2 (human) [Source:MGI Symbol;Acc:MGI:1333833] MVGVPGAAAFQLSNGINHSPPTLNGAPSPPQRFSNGPASSTSSALTNQQLPATCGARQLS KLKRFLTTLQQFGNDISPEIGEKVRTLVLALVNSTVTIEEFHCKLQEATNFPLRPFVIPF LKANLPLLQRELLHCARAAKQTPSQYLAQHEHLLLNTSIASPADSSELLMEVHGNGKRPS PERRDENNFERDTVPPEPPAKRVCTISPAPRHSPALTVPLM >ENSMUSP00000116220.1 pep:known chromosome:GRCm38:2:154436842:154504777:1 gene:ENSMUSG00000038533.15 transcript:ENSMUST00000155202.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfa2t2 description:core-binding factor, runt domain, alpha subunit 2, translocated to, 2 (human) [Source:MGI Symbol;Acc:MGI:1333833] XLRVLSRTVWSGLGAQAWRAPYGLSAREVLFCFRGIGAESPVPGNTPELERRVVDVGGCR ADIPEGPVEFGCEKRVPAMPGSPVEVKIQSRSSPPIMPPLPPINPGGPRPVSFTPTALSN GINHSPPTLNGAPSPPQRFSNGPASSTSSALTNQQLPATCGARQLSKLKRFLTTLQQFGN DISPEIGEKVRT >ENSMUSP00000118371.1 pep:known chromosome:GRCm38:2:154510536:154539356:1 gene:ENSMUSG00000038533.15 transcript:ENSMUST00000137526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfa2t2 description:core-binding factor, runt domain, alpha subunit 2, translocated to, 2 (human) [Source:MGI Symbol;Acc:MGI:1333833] XLQAPGGHKLSSSSICDSISQGLNGGYQDELVDHRLTEREWADEWKHLDHALNCIMEMVE KTRRSMAVLRRCQESDREELNYWKRRFNENTELRKTGTELVSRQHSPGSTDSLSNDSQRE FTSRPATGYVPVEFWKKTEAVNKVKIQAMSEVQKAVAEAEQKAFEVIATERARMEQTIAD VKRQAAEDAFLVINEQEESTENCWNCGRKASETCSGCNIARYCGSFCQHKDWERHHRLCG QSLHGHSPHSQSRPLLPGGRGSARSADCSVPSPALDKTSATTSRSSTPASVTAIDANGL >ENSMUSP00000032559.9 pep:known chromosome:GRCm38:7:19282624:19296160:1 gene:ENSMUSG00000030401.16 transcript:ENSMUST00000032559.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn2 description:reticulon 2 (Z-band associated protein) [Source:MGI Symbol;Acc:MGI:107612] MGQVLPVFAHCKEAPSTASSTPDSTEGGNDDSDFRELHTAREFSEDEEEETTSQDWGTPR ELTFSYIAFDGVVGSGGRRDSVVRRPRPQGRSVSEPRDPPQQSGLGDSLESIPSLSQSPE PGRRGDPDPVPPAERPLEELRLRLDQLGWVVRSAGSGEDSATSSSTPLENEEPDGLEASE AGEETNLELRLAQSLHLQLEVLTPQLSPSSGTPQAHTPSPQRSQDSNSGPDDEPLLNVVE EHWRLLEQEPITAQCLDSTDQSEFMLEPLLLVADLLYWKDTRTSGAVFTGLMASLLCLLH FSIVSVAAHLALLGLCATISLRVYRKVLQAVHRGDGTNPFQAYLDMDLTLTREQTERLSQ QIASHVVSTATQLRHFFLVEDLVDSLKLALLFYILTFVGAIFNGLTLVILGVVALFTVPL LYRQHQAQIDQYVGLVTNQLSHIKAKIRAKIPGTGTLAPTASVSGSKAKAE >ENSMUSP00000104108.3 pep:known chromosome:GRCm38:7:19291069:19296160:1 gene:ENSMUSG00000030401.16 transcript:ENSMUST00000108468.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn2 description:reticulon 2 (Z-band associated protein) [Source:MGI Symbol;Acc:MGI:107612] MGSKVADLLYWKDTRTSGAVFTGLMASLLCLLHFSIVSVAAHLALLGLCATISLRVYRKV LQAVHRGDGTNPFQAYLDMDLTLTREQTERLSQQIASHVVSTATQLRHFFLVEDLVDSLK LALLFYILTFVGAIFNGLTLVILGVVALFTVPLLYRQHQAQIDQYVGLVTNQLSHIKAKI RAKIPGTGTLAPTASVSGSKAKAE >ENSMUSP00000128432.1 pep:known chromosome:GRCm38:1:93015464:93101822:-1 gene:ENSMUSG00000014602.15 transcript:ENSMUST00000171796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1a description:kinesin family member 1A [Source:MGI Symbol;Acc:MGI:108391] MAGASVKVAVRVRPFNSREMSRDSKCIIQMSGSTTTIVNPKQPKETPKSFSFDYSYWSHT SPEDINYASQKQVYRDIGEEMLQHAFEGYNVCIFAYGQTGAGKSYTMMGKQEKDQQGIIP QLCEDLFSRINDTTNDNMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVED LSKLAVTSYNDIQDLMDSGNKARTVAATNMNETSSRSHAVFNIIFTQKRHDAETNITTEK VSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEMDSGPNKNKKKKK TDFIPYRDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIRCNAII NEDPNNKLIRELKDEVTRLRDLLYAQGLGDITDMTNALVGMSPSSSLSALSSRAASVSSL HERILFAPGSEEAIERLKETEKIIAELNETWEEKLRRTEAIRMEREALLAEMGVAMREDG GTLGVFSPKKTPHLVNLNEDPLMSECLLYYIKDGVTRVGREDAERRQDIVLSGHFIKEEH CIFRSDSRGGGEAVVTLEPCEGADTYVNGKKVTEPSILRSGNRIIMGKSHVFRFNHPEQA RQERERTPCAETPAEPVDWAFAQRELLEKQGIDMKQEMEQRLQELEDQYRREREEATYLL EQQRLDYESKLEALQKQMDSRYYPEVNEEEEEPEDEVQWTERECELALWAFRKWKWYQFT SLRDLLWGNAIFLKEANAISVELKKKVQFQFVLLTDTLYSPLPPDLLPPEAAKDRETRPF PRTIVAVEVQDQKNGATHYWTLEKLRQRLDLMREMYDRAAEVPSSVVEDCDNVVTGGDPF YDRFPWFRLVGRAFVYLSNLLYPVPLVHRVAIVSEKGEVKGFLRVAVQAISADEEAPDYG SGVRQSGTAKISFDDQHFEKFQSESCPVVGMSRSGTSQEELRIVEGQGQGADAGPSADEV NNNTCSAVPPEGLMDSPEKAALDGPLDTALDHLRLGSTFTFRVTVLQASSISAEYADIFC QFNFIHRHDEAFSTEPLKNTGRGPPLGFYHVQNIAVEVTKSFIEYIKSQPIVFEVFGHYQ QHPFPPLCKDVLSPLRPSRRHFPRVMPLSKPVPATKLSTMTRPSPGPCHCKYDLLVYFEI CELEANGDYIPAVVDHRGGMPCMGTFLLHQGIQRRITVTLLHETGSHIRWKEVRELVVGR IRNTPETDEALIDPNILSLNILSSGYVHPAQDDRVSFGNDTRTFYQFEAAWDSSMHNSLL LNRVTPYREKIYMTLSAYIEMENCTQPAVITKDFCMVFYSRDAKLPASRSIRNLFGSGSL RATEGNRVTGVYELSLCHVADAGSPGMQRRRRRVLDTSVAYVRGEENLAGWRPRSDSLIL DHQWELEKLSLLQEVEKTRHYLLLREKLETTQRPGPEVLSPASSEDSESRSSSGASSPLS AEGQPSPLEAPNERQRELAVKCLRLLMHTFNREYTHSHVCISASESKLSEMSVTLMRDPS MSPLGAATLTPSSTCPSLIEGRYGATDVRTPQPCSRPASPEPELLPELDSKKTPSPVRAT ETEKEPQRLLVPDIQEIRVSPIVSKKGYLHFLEPHTAGWAKRFVVVRRPYAYMYNSDKDT VERFVLNLSTAQVEYSEDQQAMLKTPNTFAVCTEHRGILLQANSDKDMHDWLYAFNPLLA GTIRSKLSRRRSAQMRV >ENSMUSP00000108582.2 pep:known chromosome:GRCm38:1:93017751:93101831:-1 gene:ENSMUSG00000014602.15 transcript:ENSMUST00000112958.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1a description:kinesin family member 1A [Source:MGI Symbol;Acc:MGI:108391] MAGASVKVAVRVRPFNSREMSRDSKCIIQMSGSTTTIVNPKQPKETPKSFSFDYSYWSHT SPEDINYASQKQVYRDIGEEMLQHAFEGYNVCIFAYGQTGAGKSYTMMGKQEKDQQGIIP QLCEDLFSRINDTTNDNMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVED LSKLAVTSYNDIQDLMDSGNKARTVAATNMNETSSRSHAVFNIIFTQKRHDAETNITTEK VSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEMDSGPNKNKKKKK TDFIPYRDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIRCNAII NEDPNNKLIRELKDEVTRLRDLLYAQGLGDITDMTNALVGMSPSSSLSALSSRAASVSSL HERILFAPGSEEAIERLKETEKIIAELNETWEEKLRRTEAIRMEREALLAEMGVAMREDG GTLGVFSPKKTPHLVNLNEDPLMSECLLYYIKDGVTRVGREDAERRQDIVLSGHFIKEEH CIFRSDSRGGGEAVVTLEPCEGADTYVNGKKVTEPSILRSGNRIIMGKSHVFRFNHPEQA RQERERTPCAETPAEPVDWAFAQRELLEKQGIDMKQEMEQRLQELEDQYRREREEATYLL EQQRLDYESKLEALQKQMDSRYYPEVNEEEEEPEDEVQWTERECELALWAFRKWKWYQFT SLRDLLWGNAIFLKEANAISVELKKKVQFQFVLLTDTLYSPLPPDLLPPEAAKDRETRPF PRTIVAVEVQDQKNGATHYWTLEKLRQRLDLMREMYDRAAEVPSSVVEDCDNVVTGGDPF YDRFPWFRLVGRAFVYLSNLLYPVPLVHRVAIVSEKGEVKGFLRVAVQAISADEEAPDYG SGVRQSGTAKISFDDQHFEKFQSESCPVVGMSRSGTSQEELRIVEGQGQGADAGPSADEV NNNTCSAVPPEGLMDSPEKAALDGPLDTALDHLRLGSTFTFRVTVLQASSISAEYADIFC QFNFIHRHDEAFSTEPLKNTGRGPPLGFYHVQNIAVEVTKSFIEYIKSQPIVFEVFGHYQ QHPFPPLCKDVLSPLRPSRRHFPRVMPLSKPVPATKLSTMTRPSPGPCHCKYDLLVYFEI CELEANGDYIPAVVDHRGGMPCMGTFLLHQGIQRRITVTLLHETGSHIRWKEVRELVVGR IRNTPETDEALIDPNILSLNILSSGYVHPAQDDRQFLDSDIPRTFYQFEAAWDSSMHNSL LLNRVTPYREKIYMTLSAYIEMENCTQPAVITKDFCMVFYSRDAKLPASRSIRNLFGSGS LRATEGNRVTGVYELSLCHVADAGSPGMQRRRRRVLDTSVAYVRGEENLAGWRPRSDSLI LDHQWELEKLSLLQEVEKTRHYLLLREKLETTQRPGPEVLSPASSEDSESRSSSGASSPL SAEGQPSPLEAPNERQRELAVKCLRLLMHTFNREYTHSHVCISASESKLSEMSVTLMRDP SMSPLGAATLTPSSTCPSLIEGRYGATDVRTPQPCSRPASPEPELLPELDSKKTPSPVRA TETEKEPQRLLVPDIQEIRVSPIVSKKGYLHFLEPHTAGWAKRFVVVRRPYAYMYNSDKD TVERFVLNLSTAQVEYSEDQQAMLKTPNTFAVCTEHRGILLQANSDKDMHDWLYAFNPLL AGTIRSKLSRRRSAQMRV >ENSMUSP00000140163.1 pep:known chromosome:GRCm38:1:93017940:93101951:-1 gene:ENSMUSG00000014602.15 transcript:ENSMUST00000190723.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1a description:kinesin family member 1A [Source:MGI Symbol;Acc:MGI:108391] MAGASVKVAVRVRPFNSREMSRDSKCIIQMSGSTTTIVNPKQPKETPKSFSFDYSYWSHT SPEDINYASQKQVYRDIGEEMLQHAFEGYNVCIFAYGQTGAGKSYTMMGKQEKDQQGIIP QLCEDLFSRINDTTNDNMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVED LSKLAVTSYNDIQDLMDSGNKARTVAATNMNETSSRSHAVFNIIFTQKRHDAETNITTEK VSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEMDSGPNKNKKKKK TDFIPYRDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIRCNAII NEDPNNKLIRELKDEVTRLRDLLYAQGLGDITDTNTVPGGPKLTNALVGMSPSSSLSALS SRAASVSSLHERILFAPGSEEAIERLKETEKIIAELNETWEEKLRRTEAIRMEREALLAE MGVAMREDGGTLGVFSPKKTPHLVNLNEDPLMSECLLYYIKDGVTRVGREDAERRQDIVL SGHFIKEEHCIFRSDSRGGGEAVVTLEPCEGADTYVNGKKVTEPSILRSGNRIIMGKSHV FRFNHPEQARQERERTPCAETPAEPVDWAFAQRELLEKQGIDMKQEMEQRLQELEDQYRR EREEATYLLEQQRLDYESKLEALQKQMDSRYYPEVNEEEEEPEDEVQWTERECELALWAF RKWKWYQFTSLRDLLWGNAIFLKEANAISVELKKKVQFQFVLLTDTLYSPLPPDLLPPEA AKDRETRPFPRTIVAVEVQDQKNGATHYWTLEKLRQRLDLMREMYDRAAEVPSSVVEDCD NVVTGGDPFYDRFPWFRLVGSSVISGCNSYPLLNTCMSERMAALTPSPTFSSPDSDTTEP AEEQSVGEEEEEEEEEEEEEEEEDLEDDVFPEHTLCDGQDPFYDRPPLFSLVGRAFVYLS NLLYPVPLVHRVAIVSEKGEVKGFLRVAVQAISADEEAPDYGSGVRQSGTAKISFDDQHF EKFQSESCPVVGMSRSGTSQEELRIVEGQGQGADAGPSADEVNNNTCSAVPPEGLMDSPE KAALDGPLDTALDHLRLGSTFTFRVTVLQASSISAEYADIFCQFNFIHRHDEAFSTEPLK NTGRGPPLGFYHVQNIAVEVTKSFIEYIKSQPIVFEVFGHYQQHPFPPLCKDVLSPLRPS RRHFPRVMPLSKPVPATKLSTMTRPSPGPCHCKYDLLVYFEICELEANGDYIPAVVDHRG GMPCMGTFLLHQGIQRRITVTLLHETGSHIRWKEVRELVVGRIRNTPETDEALIDPNILS LNILSSGYVHPAQDDRTFYQFEAAWDSSMHNSLLLNRVTPYREKIYMTLSAYIEMENCTQ PAVITKDFCMVFYSRDAKLPASRSIRNLFGSGSLRATEGNRVTGVYELSLCHVADAGSPG MQRRRRRVLDTSVAYVRGEENLAGWRPRSDSLILDHQWELEKLSLLQEVEKTRHYLLLRE KLETTQRPGPEVLSPASSEDSESRSSSGASSPLSAEGQPSPLEAPNERQRELAVKCLRLL MHTFNREYTHSHVCISASESKLSEMSVTLMRDPSMSPLGAATLTPSSTCPSLIEGRYGAT DVRTPQPCSRPASPEPELLPELDSKKTPSPVRATETEKEPQRLLVPDIQEIRVSPIVSKK GYLHFLEPHTAGWAKRFVVVRRPYAYMYNSDKDTVERFVLNLSTAQVEYSEDQQAMLKTP NTFAVCTEHRGILLQANSDKDMHDWLYAFNPLLAGTIRSKLSRRRSAQMRV >ENSMUSP00000130717.1 pep:known chromosome:GRCm38:1:93018280:93101847:-1 gene:ENSMUSG00000014602.15 transcript:ENSMUST00000171556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1a description:kinesin family member 1A [Source:MGI Symbol;Acc:MGI:108391] MAGASVKVAVRVRPFNSREMSRDSKCIIQMSGSTTTIVNPKQPKETPKSFSFDYSYWSHT SPEDINYASQKQVYRDIGEEMLQHAFEGYNVCIFAYGQTGAGKSYTMMGKQEKDQQGIIP QLCEDLFSRINDTTNDNMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVED LSKLAVTSYNDIQDLMDSGNKARTVAATNMNETSSRSHAVFNIIFTQKRHDAETNITTEK VSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEMDSGPNKNKKKKK TDFIPYRDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIRCNAII NEDPNNKLIRELKDEVTRLRDLLYAQGLGDITDMTNALVGMSPSSSLSALSSRAASVSSL HERILFAPGSEEAIERLKETEKIIAELNETWEEKLRRTEAIRMEREALLAEMGVAMREDG GTLGVFSPKKTPHLVNLNEDPLMSECLLYYIKDGVTRVGREDAERRQDIVLSGHFIKEEH CIFRSDSRGGGEAVVTLEPCEGADTYVNGKKVTEPSILRSGNRIIMGKSHVFRFNHPEQA RQERERTPCAETPAEPVDWAFAQRELLEKQGIDMKQEMEQRLQELEDQYRREREEATYLL EQQRLDYESKLEALQKQMDSRYYPEVNEEEEEPEDEVQWTERECELALWAFRKWKWYQFT SLRDLLWGNAIFLKEANAISVELKKKVQFQFVLLTDTLYSPLPPDLLPPEAAKDRETRPF PRTIVAVEVQDQKNGATHYWTLEKLRQRLDLMREMYDRAAEVPSSVVEDCDNVVTGGDPF YDRFPWFRLVGRAFVYLSNLLYPVPLVHRVAIVSEKGEVKGFLRVAVQAISADEEAPDYG SGVRQSGTAKISFDDQHFEKFQSESCPVVGMSRSGTSQEELRIVEGQGQGADAGPSADEV NNNTCSAVPPEGLMDSPEKAALDGPLDTALDHLRLGSTFTFRVTVLQASSISAEYADIFC QFNFIHRHDEAFSTEPLKNTGRGPPLGFYHVQNIAVEVTKSFIEYIKSQPIVFEVFGHYQ QHPFPPLCKDVLSPLRPSRRHFPRVMPLSKPVPATKLSTMTRPSPGPCHCKYDLLVYFEI CELEANGDYIPAVVDHRGGMPCMGTFLLHQGIQRRITVTLLHETGSHIRWKEVRELVVGR IRNTPETDEALIDPNILSLNILSSGYVHPAQDDRTFYQFEAAWDSSMHNSLLLNRVTPYR EKIYMTLSAYIEMENCTQPAVITKDFCMVFYSRDAKLPASRSIRNLFGSGSLRATEGNRV TGVYELSLCHVADAGSPGMQRRRRRVLDTSVAYVRGEENLAGWRPRSDSLILDHQWELEK LSLLQEVEKTRHYLLLREKLETTQRPGPEVLSPASSEDSESRSSSGASSPLSAEGQPSPL EAPNERQRELAVKCLRLLMHTFNREYTHSHVCISASESKLSEMSVTLMRDPSMSPLGAAT LTPSSTCPSLIEGRYGATDVRTPQPCSRPASPEPELLPELDSKKTPSPVRATETEKEPQR LLVPDIQEIRVSPIVSKKGYLHFLEPHTAGWAKRFVVVRRPYAYMYNSDKDTVERFVLNL STAQVEYSEDQQAMLKTPNTFAVCTEHRGILLQANSDKDMHDWLYAFNPLLAGTIRSKLS RRRSAQMRV >ENSMUSP00000084029.5 pep:known chromosome:GRCm38:1:93018457:93082421:-1 gene:ENSMUSG00000014602.15 transcript:ENSMUST00000086819.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1a description:kinesin family member 1A [Source:MGI Symbol;Acc:MGI:108391] MAGASVKVAVRVRPFNSREMSRDSKCIIQMSGSTTTIVNPKQPKETPKSFSFDYSYWSHT SPEDINYASQKQVYRDIGEEMLQHAFEGYNVCIFAYGQTGAGKSYTMMGKQEKDQQGIIP QLCEDLFSRINDTTNDNMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVED LSKLAVTSYNDIQDLMDSGNKARTVAATNMNETSSRSHAVFNIIFTQKRHDAETNITTEK VSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEMDSGPNKNKKKKK TDFIPYRDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIRCNAII NEDPNNKLIRELKDEVTRLRDLLYAQGLGDITDTNTVPGGPKLTNALVGMSPSSSLSALS SRAASVSSLHERILFAPGSEEAIERLKETEKIIAELNETWEEKLRRTEAIRMEREALLAE MGVAMREDGGTLGVFSPKKTPHLVNLNEDPLMSECLLYYIKDGVTRVGREDAERRQDIVL SGHFIKEEHCIFRSDSRGGGEAVVTLEPCEGADTYVNGKKVTEPSILRSGNRIIMGKSHV FRFNHPEQARQERERTPCAETPAEPVDWAFAQRELLEKQGIDMKQEMEQRLQELEDQYRR EREEATYLLEQQRLDYESKLEALQKQMDSRYYPEVNEEEEEPEDEVQWTERECELALWAF RKWKWYQFTSLRDLLWGNAIFLKEANAISVELKKKVQFQFVLLTDTLYSPLPPDLLPPEA AKDRETRPFPRTIVAVEVQDQKNGATHYWTLEKLRQRLDLMREMYDRAAEVPSSVVEDCD NVVTGGDPFYDRFPWFRLVGRAFVYLSNLLYPVPLVHRVAIVSEKGEVKGFLRVAVQAIS ADEEAPDYGSGVRQSGTAKISFDDQHFEKFQSESCPVVGMSRSGTSQEELRIVEGQGQGA DAGPSADEVNNNTCSAVPPEGLMDSPEKAALDGPLDTALDHLRLGSTFTFRVTVLQASSI SAEYADIFCQFNFIHRHDEAFSTEPLKNTGRGPPLGFYHVQNIAVEVTKSFIEYIKSQPI VFEVFGHYQQHPFPPLCKDVLSPLRPSRRHFPRVMPLSKPVPATKLSTMTRPSPGPCHCK YDLLVYFEICELEANGDYIPAVVDHRGGMPCMGTFLLHQGIQRRITVTLLHETGSHIRWK EVRELVVGRIRNTPETDEALIDPNILSLNILSSGYVHPAQDDRTFYQFEAAWDSSMHNSL LLNRVTPYREKIYMTLSAYIEMENCTQPAVITKDFCMVFYSRDAKLPASRSIRNLFGSGS LRATEGNRVTGVYELSLCHVADAGSPGMQRRRRRVLDTSVAYVRGEENLAGWRPRSDSLI LDHQWELEKLSLLQEVEKTRHYLLLREKLETTQRPGPEVLSPASSEDSESRSSSGASSPL SAEGQPSPLEAPNERQRELAVKCLRLLMHTFNREYTHSHVCISASESKLSEMSVTLMRDP SMSPLGAATLTPSSTCPSLIEGRYGATDVRTPQPCSRPASPEPELLPELDSKKTPSPVRA TETEKEPQRLLVPDIQEIRVSPIVSKKGYLHFLEPHTAGWAKRFVVVRRPYAYMYNSDKD TVERFVLNLSTAQVEYSEDQQAMLKTPNTFAVCTEHRGILLQANSDKDMHDWLYAFNPLL AGTIRSKLSRRRSAQMRV >ENSMUSP00000140656.1 pep:known chromosome:GRCm38:1:93022321:93024708:-1 gene:ENSMUSG00000014602.15 transcript:ENSMUST00000188136.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kif1a description:kinesin family member 1A [Source:MGI Symbol;Acc:MGI:108391] XNCTQPAVITKDFCMVFYSRDAKLPASRSIRNLFGSGSLRATEGCA >ENSMUSP00000139403.1 pep:known chromosome:GRCm38:1:93042498:93054302:-1 gene:ENSMUSG00000014602.15 transcript:ENSMUST00000186828.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kif1a description:kinesin family member 1A [Source:MGI Symbol;Acc:MGI:108391] XKNGATHYWTLEKLRQRLDLMREMYDRAAEVPSSVVEDCDNVVTGGDPFYDRFPWFRLVG SFMLCVSRHWCHWYQSTH >ENSMUSP00000141194.1 pep:known chromosome:GRCm38:1:93077724:93101834:-1 gene:ENSMUSG00000014602.15 transcript:ENSMUST00000186861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1a description:kinesin family member 1A [Source:MGI Symbol;Acc:MGI:108391] MAGASVKVAVRVRPFNSREMSRDSKCIIQMSGSTTTIVNPKQPKETPKSFSFDYSYWSHT SPEDINYASQKQVYRDIGEEMLQHAFEGYNVCIFAYGQTGAGKSYTMMG >ENSMUSP00000031540.4 pep:known chromosome:GRCm38:5:114923240:114937912:1 gene:ENSMUSG00000041827.15 transcript:ENSMUST00000031540.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oasl1 description:2'-5' oligoadenylate synthetase-like 1 [Source:MGI Symbol;Acc:MGI:2180849] MAVAQELYGFPASKLDSFVAQWLQPTREWKEEVLETVQTVEQFLRQENFREDRGPARDVR VLKVLKVGCFGNGTVLRSTTDVELVVFLSCFHSFQEEAKHHQAVLRLIQKRMYYCQELMD LGLSNLSVTNRVPSSLIFTIQTRETWETITVTVVPAYRALGPSCPSSEVYANLIKANGYP GNFSPSFSELQRNFVKHRPTKLKSLLRLVKHWYQQYVRDKCPRANLPPLYALELLTVYAW EAGTREDANFRLDEGLATVMELLQDHELLCIYWTKHYTLQHPVIEACVRRQLRGQRPIIL DPADPTNNVAEGYRWDIVAQRANQCLKQDCCYDNRDSPVPSWRVKRAPDIQVTVQEWGHS DLTFWVNPYEPIKKLKEKIQLSQGYLGLQRLSFQEPGGERQLIRSHCTLAYYGIFCDTHI CLLDTISPEIQVFVKNPDGRSHAYAIHPLDYVLNLKQQIEDRQGLRCQEQRLEFQGHILE DWFDFKSYGIQDSVTVILSKTTEGAAPFVPS >ENSMUSP00000107771.3 pep:known chromosome:GRCm38:5:114923264:114937915:1 gene:ENSMUSG00000041827.15 transcript:ENSMUST00000112143.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oasl1 description:2'-5' oligoadenylate synthetase-like 1 [Source:MGI Symbol;Acc:MGI:2180849] MAVAQELYGFPASKLDSFVAQWLQPTREWKEEVLETVQTVEQFLRQENFREDRGPARDVR VLKVLKVGCFGNGTVLRSTTDVELVVFLSCFHSFQEEAKHHQAVLRLIQKRMYYCQELMD LGLSNLSVTNRVPSSLIFTIQTRETWETITVTVVPAYRALGPSCPSSEVYANLIKANGYP GNFSPSFSELQRNFVKHRPTKLKSLLRLVKHWYQQYVRDKCPRANLPPLYALELLTVYAW EAGTREDANFRLDEGLATVMELLQDHELLCIYWTKHYTLQHPVIEACVRRQLRGQRPIIL DPADPTNNVAEGYRWDIVAQRANQCLKQDCCYDNRDSPVPSWRVKRAPDIQVTVQEWGHS DLTFWVNPYEPIKKLKEKIQLSQGYLGLQRLSFQEPGGERQLIRSHCTLAYYGIFCDTHI CLLDTISPEIQVFVKNPDGRSHAYAIHPLDYVLNLKQQIEDRQGLRCQEQRLEFQGHILE DWFDFKSYGIQDSVTVILSKTTEGAAPFVPS >ENSMUSP00000045890.7 pep:known chromosome:GRCm38:17:31207873:31242202:1 gene:ENSMUSG00000042345.14 transcript:ENSMUST00000048656.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubash3a description:ubiquitin associated and SH3 domain containing, A [Source:MGI Symbol;Acc:MGI:1926074] MAAGETQLYAKVSNKLKGRSTPSLLDPLLAMGFPTHTALKALAATGRKTAEAAADWLHGH CNDPSLDDPIPQEYALFLCPTGPLLEKLQEFWRESRRQCAKNRAHEVFPHVTLCDFFTCE DQKVECLYEALRRAGDRILGSFPTLVPLVLHSSISYLGFFINDSPADAIREFAMAFATEA AVLADCTIKPCTKQLHLTLAHKFYPHHQRTLEQLAKAIQPSHSCQWTAALYSRDMRFVHY QTLKALFQYKPQNADELMLSAGDYIFVDPTQQEEASEGWAIGISHRTGCRGFLPENYTER ANEADTWVKHRTYTFNLAMDLNSRKDFEASCRGNGEPHTPSMSKSVSSIQALQATISRRG ILVVRHGERVDQVFGKSWLQQCTTADGKYYRPDLNFPRSLPRRSNGIKDFENDPPLSSCG IFQARLAGEALLDSGVRVTAVFASPALRCVQTAKHILEELKLEKKLKIRVEPGIFEWMKW EASKATLTFLTLEELKEANFNVDLDYRPALPRCSLMPAESYDQYVERCAVSMGQIINTCP QDMGITLIVSHSSALDSCTRPLLGLPPRECGDFAQLVRKIPSLGMCFCEENREDGKWDLV NPPVKTLTHGANSVFNWRNWISSN >ENSMUSP00000119279.1 pep:known chromosome:GRCm38:17:31208080:31216231:1 gene:ENSMUSG00000042345.14 transcript:ENSMUST00000144772.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ubash3a description:ubiquitin associated and SH3 domain containing, A [Source:MGI Symbol;Acc:MGI:1926074] MAAGETQLYAKVSNKLKGRSTPSLLDPLLAMGFPTHTALKALAATGRKTAEAAADWLHGH CNDPSLDDPIPQEYALFLCPTGPLLEKLQEFWRESRRQCAKNRAHEVFPHVTLCDFFTLP RLLHQRQPR >ENSMUSP00000134557.1 pep:known chromosome:GRCm38:17:31208089:31213897:1 gene:ENSMUSG00000042345.14 transcript:ENSMUST00000173776.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubash3a description:ubiquitin associated and SH3 domain containing, A [Source:MGI Symbol;Acc:MGI:1926074] MAAGETQLYAKVSNKLKGRSTPSLLDPLLAMGFPTHTALKALAATGRKTAEAAADWVFCC RLHGHCNDPSLDDPIPQEYALFLCPTGPLLEKLQEFWRESRRQCAKNRAHEVFPHV >ENSMUSP00000099842.3 pep:known chromosome:GRCm38:4:101152367:101265282:-1 gene:ENSMUSG00000028530.14 transcript:ENSMUST00000102781.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jak1 description:Janus kinase 1 [Source:MGI Symbol;Acc:MGI:96628] MQYLNIKEDCNAMAFCAKMRSFKKTEVKQVVPEPGVEVTFYLLDREPLRLGSGEYTAEEL CIRAAQECSISPLCHNLFALYDESTKLWYAPNRIITVDDKTSLRLHYRMRFYFTNWHGTN DNEQSVWRHSPKKQKNGYEKKRVPEATPLLDASSLEYLFAQGQYDLIKCLAPIRDPKTEQ DGHDIENECLGMAVLAISHYAMMKKMQLPELPKDISYKRYIPETLNKSIRQRNLLTRMRI NNVFKDFLKEFNNKTICDSSVSTHDLKVKYLATLETLTKHYGAEIFETSMLLISSENELS RCHSNDSGNVLYEVMVTGNLGIQWRQKPNVVPVEKEKNKLKRKKLEYNKHKKDDERNKLR EEWNNFSYFPEITHIVIKESVVSINKQDNKNMELKLSSREEALSFVSLVDGYFRLTADAH HYLCTDVAPPLIVHNIQNGCHGPICTEYAINKLRQEGSEEGMYVLRWSCTDFDNILMTVT CFEKSEVLGGQKQFKNFQIEVQKGRYSLHGSMDHFPSLRDLMNHLKKQILRTDNISFVLK RCCQPKPREISNLLVATKKAQEWQPVYSMSQLSFDRILKKDIIQGEHLGRGTRTHIYSGT LLDYKDEEGIAEEKKIKVILKVLDPSHRDISLAFFEAASMMRQVSHKHIVYLYGVCVRDV ENIMVEEFVEGGPLDLFMHRKSDALTTPWKFKVAKQLASALSYLEDKDLVHGNVCTKNLL LAREGIDSDIGPFIKLSDPGIPVSVLTRQECIERIPWIAPECVEDSKNLSVAADKWSFGT TLWEICYNGEIPLKDKTLIEKERFYESRCRPVTPSCKELADLMTRCMNYDPNQRPFFRAI MRDINKLEEQNPDIVSEKQPTTEVDPTHFEKRFLKRIRDLGEGHFGKVELCRYDPEGDNT GEQVAVKSLKPESGGNHIADLKKEIEILRNLYHENIVKYKGICMEDGGNGIKLIMEFLPS GSLKEYLPKNKNKINLKQQLKYAIQICKGMDYLGSRQYVHRDLAARNVLVESEHQVKIGD FGLTKAIETDKEYYTVKDDRDSPVFWYAPECLIQCKFYIASDVWSFGVTLHELLTYCDSD FSPMALFLKMIGPTHGQMTVTRLVNTLKEGKRLPCPPNCPDEVYQLMRKCWEFQPSNRTT FQNLIEGFEALLK >ENSMUSP00000122957.1 pep:known chromosome:GRCm38:4:101191626:101265273:-1 gene:ENSMUSG00000028530.14 transcript:ENSMUST00000149297.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jak1 description:Janus kinase 1 [Source:MGI Symbol;Acc:MGI:96628] MQYLNIKEDCNAMAFCAK >ENSMUSP00000125738.1 pep:known chromosome:GRCm38:5:145140362:145148078:1 gene:ENSMUSG00000038722.17 transcript:ENSMUST00000162594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bud31 description:BUD31 homolog [Source:MGI Symbol;Acc:MGI:2141291] MPKVKRSRKAPPDGWELIEPTLDELDQKMREAETEPHEGKRKVESLWPIFRIHHQKTRYI FDLFYKRKAISRELYEYCIKEGYADKNLIAKWKKQGYENLCCLRCIQTRDTNFGTNCICR VPKSKLEVGRIIECTHCGCRGCSG >ENSMUSP00000125590.1 pep:known chromosome:GRCm38:5:145140397:145148074:1 gene:ENSMUSG00000038722.17 transcript:ENSMUST00000162308.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bud31 description:BUD31 homolog [Source:MGI Symbol;Acc:MGI:2141291] MPKVKRSRKAPPDGWELIEPTLDELDQKMREELYEYCIKEGYADKNLIAKWKKQGYENLC CLRCIQTRDTNFGTNCICRVPKSKLEVGRIIECTHCGCRGCSG >ENSMUSP00000124999.1 pep:known chromosome:GRCm38:5:145140408:145148074:1 gene:ENSMUSG00000038722.17 transcript:ENSMUST00000159018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bud31 description:BUD31 homolog [Source:MGI Symbol;Acc:MGI:2141291] MPKVKRSRKAPPDGWELIEPTLDELDQKMREAETEPHEGKRKVESLWPIFRIHHQKTRYI FDLFYKRKAISRELYEYCIKEGYADKNLIAKWKKQGYENLCCLRCIQTRDTNFGTNCICR VPKSKLEVGRIIECTHCGCRGCSG >ENSMUSP00000125564.1 pep:known chromosome:GRCm38:5:145140415:145148071:1 gene:ENSMUSG00000038722.17 transcript:ENSMUST00000160075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bud31 description:BUD31 homolog [Source:MGI Symbol;Acc:MGI:2141291] MPKVKRSRKAPPDGWELIEPTLDELDQKMREAETEPHEGKRKVESLWPIFRIHHQKTRYI FDLFYKRKAISRELYEYCIKEGYADKNLIAKWKKQGYENLCCLRCIQTRDTNFGTNCICR VPKSKLEVGRIIECTHCGCRGCSG >ENSMUSP00000097368.1 pep:known chromosome:GRCm38:2:89417494:89418411:-1 gene:ENSMUSG00000075088.1 transcript:ENSMUST00000099780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1239 description:olfactory receptor 1239 [Source:MGI Symbol;Acc:MGI:3031073] MEQRNNVTEFVLLGLTQSPEGQKILFVVFLVIYVVTMAGNLLIVVTVVVSPSLDAPMYFF LGYLSFMDAVYSTTVTPNMIIDLLYEKKTISFKACMSQLFIGHLFGGAEILLLVVMAYDR YVAICKPLHYLTIMNQRVCVLLLLLAWFGGFLHAVVQLLFVYNLPFCGPNIIDHFICDMY PLLKLACTDTYVIGLTVVANDGAICVVIFMLLVISYGVILHSLKNLSQEGRRKALSTCGS HITVVVLFFVPCIFMYVRPPSTLPIDKSLTVFYTVVTPMLNPLIYTLRNAEMKNAMRKLW ASKGK >ENSMUSP00000119506.2 pep:known chromosome:GRCm38:6:29917012:29959675:1 gene:ENSMUSG00000039629.14 transcript:ENSMUST00000151738.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strip2 description:striatin interacting protein 2 [Source:MGI Symbol;Acc:MGI:2444363] MDDPAAPGPAGSPANDNGNGNGNGNGNGNGGKGKPAVPKGRETFRNQRRESEGSVDCPTL EFEYGDSDGHAAELSELYSYTENLEFTTNRKCFEEDFRTQVQDTKEWLELEEDAQKTYVM GLLDRLEVVSREKRLKVARAVLYLAQGTFGECDSEVDVLHWSRYNCFLLYQMGTFSAFLE LLHMEIDNSQASSSALRKPAVSIADSTELRVLLSVMYLMVENIRLEREIDPCGWRTARET FRTELSFSTHNEEPFALLLFSMVTKFCSGLAPHFPIKKVLLLLWKVVMFTLGGFEHLQAL KIQKRAELGLPPLAEDSIQVVKSMRAASPPSYTLDLGESQLAPPPSKLRGRRGSRRQLLT KQDSLDIYNERDLFKTEEPATEEEEESAADGERTLDGELDLLEQDPLVPPPPSQTPLSTD RVAFPKGLPWAPKVRQKDIEHFLEMSRNKFIGFTLGQDTDTLVGLPRPIHESVKTLKQHK YISIADIQIKNEEELEKCPLSLGEEVVPETPSEILYQGMLYSLPQYMIALLKILLAAAPT SKAKTDSINILADVLPEEMPVTVLQSMKLGIDVNRHKEIIVKSISALLLLLLKHFKLNHI YQFEYVSQHLVFANCIPLILKFFNQNILSYITAKNSISVLDYPCCTIQDLPELTTESLEA GDNSQFCWRNLFSCINLLRLLNKLTKWKHSRTMLLKIQTKYLGRQWRKSNMKTMSAIYQK VRHRMNDDWAYGNDIDARPWDFQAEECTLRANIEAFNSRRYDKPQDSEFSPVDNCLQSVL GQRLDLPEDFHYSYELWLEREVFSQPICWEELLQNH >ENSMUSP00000110879.2 pep:known chromosome:GRCm38:6:29917013:29947076:1 gene:ENSMUSG00000039629.14 transcript:ENSMUST00000115224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strip2 description:striatin interacting protein 2 [Source:MGI Symbol;Acc:MGI:2444363] MDDPAAPGPAGSPANDNGNGNGNGNGNGNGGKGKPAVPKGRETFRNQRRESEGSVDCPTL EFEYGDSDGHAAELSELYSYTENLEFTTNRKCFEEDFRTQVQDTKEWLELEEDAQKTYVM GLLDRLEVVSREKRLKVARAVLYLAQGTFGECDSEVDVLHWSRYNCFLLYQMGTFSAFLE LLHMEIDNSQASSSALRKPAVSIADSTELRVLLSVMYLMVENIRLEREIDPCGWRTARET FRTELSFSTHNEEPFALLLFSMVTKFCSGLAPHFPIKKVLLLLWKVVMFTLGGFEHLQAL KIQKRAELGLPPLAEDSIQVVKSMRAASPPSYTLDLGESQLAPPPSKLRGRRGSRRQLLT KQDSLDIYNERDLFKTEEPATEEEEESAADGERTLDGELDLLEQDPLVPPPPSQTPLSTD RVAFPKGLPWAPKVRQKDIEHFLEMSRNKFIGFTLGQDTDTLVGLPRPIHESVKTLKQHK YISIADIQIKNEEELEKCPLSLGEEVVPETPSEILYQGMLYSLPQYMIALLKILLAAAPT SKAKTDSINILADVLPEEMPVTVLQSMKLGIDVNRHKEIIVKSISALLLLLLKHFKLNHI YQFEYVSQHLVFANCIPLILKFFNQNILSYITAKNSISVLDYPCCTIQDLPELTTESLGL SM >ENSMUSP00000036477.6 pep:known chromosome:GRCm38:6:29917013:29959681:1 gene:ENSMUSG00000039629.14 transcript:ENSMUST00000046028.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strip2 description:striatin interacting protein 2 [Source:MGI Symbol;Acc:MGI:2444363] MDDPAAPGPAGSPANDNGNGNGNGNGNGNGGKGKPAVPKGRETFRNQRRESEGSVDCPTL EFEYGDSDGHAAELSELYSYTENLEFTTNRKCFEEDFRTQVQDTKEWLELEEDAQKTYVM GLLDRLEVVSREKRLKVARAVLYLAQGTFGECDSEVDVLHWSRYNCFLLYQMGTFSAFLE LLHMEIDNSQASSSALRKPAVSIADSTELRVLLSVMYLMVENIRLEREIDPCGWRTARET FRTELSFSTHNEEPFALLLFSMVTKFCSGLAPHFPIKKVLLLLWKVVMFTLGGFEHLQAL KIQKRAELGLPPLAEDSIQVVKSMRAASPPSYTLDLGESQLAPPPSKLRGRRGSRRQLLT KQDSLDIYNERDLFKTEEPATEEEEESAADGERTLDGELDLLEQDPLVPPPPSQTPLSTD RVAFPKGLPWAPKVRQKDIEHFLEMSRNKFIGFTLGQDTDTLVGLPRPIHESVKTLKQHK YISIADIQIKNEEELEKCPLSLGEEVVPETPSEILYQGMLYSLPQYMIALLKILLAAAPT SKAKTDSINILADVLPEEMPVTVLQSMKLGIDVNRHKEIIVKSISALLLLLLKHFKLNHI YQFEYVSQHLVFANCIPLILKFFNQNILSYITAKNSISVLDYPCCTIQDLPELTTESLEA GDNSQFCWRNLFSCINLLRLLNKLTKWKHSRTMMLVVFKSAPILKRALKVKQAMLQLYVL KLLKIQTKYLGRQWRKSNMKTMSAIYQKVRHRMNDDWAYGNDIDARPWDFQAEECTLRAN IEAFNSRRYDKPQDSEFSPVDNCLQSVLGQRLDLPEDFHYSYELWLEREVFSQPICWEEL LQNH >ENSMUSP00000085226.4 pep:known chromosome:GRCm38:X:102284639:102505359:-1 gene:ENSMUSG00000067567.12 transcript:ENSMUST00000087916.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac8 description:histone deacetylase 8 [Source:MGI Symbol;Acc:MGI:1917565] MEMPEEPANSGHSLPPVYIYSPEYVSICDSLVKVPKRASMVHSLIEAYALHKQMRIVKPK VASMEEMATFHTDAYLQHLQKVSQEGDEDHPDSIEYGLGYDCPATEGIFDYAAAIGGGTI TAAQCLIDGKCKVAINWSGGWHHAKKDEASGFCYLNDAVLGILRLRRKFDRILYVDLDLH HGDGVEDAFSFTSKVMTVSLHKFSPGFFPGTGDMSDVGLGKGRYYSVNVPIQDGIQDEKY YHICESVLKEVYQAFNPKAVVLQLGADTIAGDPMCSFNMTPVGIGKCLKYVLQWQLATLI LGGGGYNLANTARCWTYLTGVILGKTLSSEIPDHEFFTAYGPDYVLEITPSCRPDRNEPH RIQQILNYIKGNLKHVV >ENSMUSP00000138805.1 pep:known chromosome:GRCm38:X:102405647:102505081:-1 gene:ENSMUSG00000067567.12 transcript:ENSMUST00000154872.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hdac8 description:histone deacetylase 8 [Source:MGI Symbol;Acc:MGI:1917565] MEMPEEPANSGHSLPPVYIYSPEYVSICDSLVKVPKRASMVHSLIEAYALHKQMRL >ENSMUSP00000076188.6 pep:known chromosome:GRCm38:11:120465438:120467600:-1 gene:ENSMUSG00000057594.12 transcript:ENSMUST00000076921.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl16 description:ADP-ribosylation factor-like 16 [Source:MGI Symbol;Acc:MGI:1917567] MCLLLGAAGVGKTLLVKRLQKLSSGDGKGDLGEPPPTRPTVGTNLTDIVAHRKITIRELG GCMSPIWSSYYGNCHSLLFMMDASNPTQLSASCMQLLGLLSAEELEKASVLILFNKIDLP CYMTMEEMKSLMRLPDIIACAKQNITAVEISARNGTGLATVLLWLQNQHRHSS >ENSMUSP00000006061.6 pep:known chromosome:GRCm38:5:3596066:3637230:1 gene:ENSMUSG00000005907.14 transcript:ENSMUST00000006061.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex1 description:peroxisomal biogenesis factor 1 [Source:MGI Symbol;Acc:MGI:1918632] MWSSDRLAGAGSGGAVVTVAFTNARDCFLHLPRRLVAQLHLLQNQAIEVASDHQPTYLSW VEGRHFNDQSENVAEINRQVGQKLGLSSGDQVFLRPCSHVVSCQQVEVEPLSADDWEILE LHAISLEQHLLDQIRIVFPKAVVPIWVDQQTYIFIQIVTLMPAAPYGRLETNTKLLIQPK TRQAKESTFPKEGDAHGQVHSYGREQKGLSKELQTRQLHTNSEGITASNGRDPKVPGGPL KPSWWAVLGSMLSFGPDSKQESAWGSLELGAFKNMQSQAAPLEGTFRVCQVQPPSARTTT ATSVFHKHCTAHVFPWDQEYFDVEPSFTVTYGKLVKLHSPKQQQDKSKQGVLLPDKEKQL SKSPDHKQISSNRSEEAAEACVLKVVWNGLEELKNATEFTESLELLHRGKVWPKDVNEET IKTVFSSWVQQSATTMLPLVISKEERIKLEIKDGLREFSLSTVHSQEKEKEEGKTVFVLS SILLQKISVQVLLEPMIKEEQSAEIDFLLPSLTLSSLGGVSALGASAMEHITHSLLGRPL SRQLMALVAGLRNGALLITGGKGSGKSTFAKAICKEAQDTLDARVETVDCKALRGKRLES IQKALEVAFSEAAWRQPSVILLDDLDLIAGLPSVPEQEHSPEAVQSQRLAHALNDMIKEF VSTGSLVALIATSQLQQSLHPSLVSAQGIHTFQCVQHLQPPNPEQRCEILHSVVKNKLGC DISNFPDLDLQCIAKDTEAFVARDFTVLVDRAIHSSLSRQHSSSREDLTLTTSDFQKALR GFLPASLRNVNLHKPRDLGWDKIGGLHEVRQILMDTIQLPAKYPELFANLPIRQRTGILL YGPPGTGKTLLAGVVARESGMNFISIKGPELLSKYIGASEQAVRDVFIRAQAAKPCILFF DEFESIAPRRGHDNTGVTDRVVNQLLTQLDGVEGLQGVYVLAATSRPDLIDPALLRPGRL DKCVYCPPPDQVSRLEILTVLSKSLALADDVDLQHVASVTDSFTGADLKALLYNAQLEAL QGRLLPSGLPDGGSSSDSDLSLSSMVFLNHSSGSDDSAGDGECGLEQSLLSLEMSEILPD ESKFNMYRLYFGSSYESELGNGTPSDLSSHCLSAPSSVTQDLPAAPGKDPLFTQHPVFRT PSQEGCQDLTQEQRDQLRAEISIIKGRYRSQSGEDESLNQPGPIKTTFAISQAHLMTALA HTRPSISEDEGKEFAELYENFQNPKKRKNQSGTVFRTGQKVTLA >ENSMUSP00000113304.1 pep:known chromosome:GRCm38:5:3596066:3637232:1 gene:ENSMUSG00000005907.14 transcript:ENSMUST00000121291.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex1 description:peroxisomal biogenesis factor 1 [Source:MGI Symbol;Acc:MGI:1918632] MWSSDRLAGAGSGGAVVTVAFTNARDCFLHLPRRLVAQLHLLQNQAIEVASDHQPTYLSW VEGRHFNDQSENVAEINRQVGQKLGLSSGDQVFLRPCSHVVSCQQVEVEPLSADDWEILE LHAISLEQHLLDQIRIVFPKAVVPIWVDQQTYIFIQIVTLMPAAPYGRLETNTKLLIQPK TRQAKESTFPKEGDAHGQVHSYGREQKGLSKELQTRQLHTNSEGITASNGRDPKVPGGPL KPSWWAVLGSMLSFGPDSKQESAWGSLELGAFKNMQSQAAPLEGTFRVCQVQPPSARTTT ATSVFHKHCTAHVFPWDQEYFDVEPSFTVTYGKLVKLHSPKQQQDKSKQGVLLPDKEKQL SKSPDHKQISSNRSEEAAEACVLKVVWNGLEELKNATEFTESLELLHRGKVWIPDDLRKR LNIEMHAVVRITPLETTPKIPRSLKLQPRENLPKDVNEETIKTVFSSWVQQSATTMLPLV ISKEERIKLEIKDGLREFSLSTVHSQEKEKEEGKTVFVLSSILLQKISVQVLLEPMIKEE QSAEIDFLLPSLTLSSLGGVSALGASAMEHITHSLLGRPLSRQLMALVAGLRNGALLITG GKGSGKSTFAKAICKEAQDTLDARVETVDCKALRGKRLESIQKALEVAFSEAAWRQPSVI LLDDLDLIAGLPSVPEQEHSPEAVQSQRLAHALNDMIKEFVSTGSLVALIATSQLQQSLH PSLVSAQGIHTFQCVQHLQPPNPEQRCEILHSVVKNKLGCDISNFPDLDLQCIAKDTEAF VARDFTVLVDRAIHSSLSRQHSSSREDLTLTTSDFQKALRGFLPASLRNVNLHKPRDLGW DKIGGLHEVRQILMDTIQLPAKYPELFANLPIRQRTGILLYGPPGTGKTLLAGVVARESG MNFISIKGPELLSKYIGASEQAVRDVFIRAQAAKPCILFFDEFESIAPRRGHDNTGVTDR VVNQLLTQLDGVEGLQGVYVLAATSRPDLIDPALLRPGRLDKCVYCPPPDQVSRLEILTV LSKSLALADDVDLQHVASVTDSFTGADLKALLYNAQLEALQGRLLPSGLPDGGSSSDSDL SLSSMVFLNHSSGSDDSAGDGECGLEQSLLSLEMSEILPDESKFNMYRLYFGSSYESELG NGTPSDLSSHCLSAPSSVTQDLPAAPGKDPLFTQHPVFRTPSQEGCQDLTQEQRDQLRAE ISIIKGRYRSQSGEDESLNQPGPIKTTFAISQAHLMTALAHTRPSISEDEGKEFAELYEN FQNPKKRKNQSGTVFRTGQKVTLA >ENSMUSP00000116474.1 pep:known chromosome:GRCm38:5:3596199:3605862:1 gene:ENSMUSG00000005907.14 transcript:ENSMUST00000142516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex1 description:peroxisomal biogenesis factor 1 [Source:MGI Symbol;Acc:MGI:1918632] MPAAPYGRLETNTKLLIQPKTRQAKESTFPKEGDAHGQVHSYGREQKGLSKELQTRQLHT NSEGITASN >ENSMUSP00000142620.1 pep:known chromosome:GRCm38:5:3596371:3624032:1 gene:ENSMUSG00000005907.14 transcript:ENSMUST00000195894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex1 description:peroxisomal biogenesis factor 1 [Source:MGI Symbol;Acc:MGI:1918632] MWSSDRLAGAGSGGAVVTVAFTNARDCFLHLPRRLVAQLHLLQNQAIEVASDHQPTYLSW VEGRHFNDQSENVAEINRQVGQKLGLSSGDQVFLRPCSHVVSCQQVEVEPLSAVSAPFPC VCSRNPHVSMCPAPSASQSGTEM >ENSMUSP00000121813.1 pep:known chromosome:GRCm38:5:3606202:3620711:1 gene:ENSMUSG00000005907.14 transcript:ENSMUST00000126545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex1 description:peroxisomal biogenesis factor 1 [Source:MGI Symbol;Acc:MGI:1918632] XQDKSKQGVLLPDKEKQLSKSPDHKQISSNRSEEAAEACVLKVVWNGLEELKNATEFTES LELLHRGKVWVLLEPMIKEEQSAEIDFLLPSLTLSSLGGVSALGASAMEHITHSLLGRPL SRQLMALVAGLRNGALLITGGKGSGKSTFAKAICKEAQDTLDARVETVDCKALRGKRLES IQKALEVAFSEAAWRQPSVILLDDLDLIAGLPS >ENSMUSP00000116645.1 pep:known chromosome:GRCm38:5:3618898:3624505:1 gene:ENSMUSG00000005907.14 transcript:ENSMUST00000143132.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pex1 description:peroxisomal biogenesis factor 1 [Source:MGI Symbol;Acc:MGI:1918632] CKALRGKRLESIQKALEVAFSEAAWRQPSVILLDDLDLIAGLPSVPEQEHSPEAVQSQRL AHGNGPLLGGRQHFSF >ENSMUSP00000104768.3 pep:known chromosome:GRCm38:2:172356190:172370535:-1 gene:ENSMUSG00000027496.15 transcript:ENSMUST00000109140.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurka description:aurora kinase A [Source:MGI Symbol;Acc:MGI:894678] MDRCKENCVSRPVKTTVPFGPKRVLVTEQIPSQNLGSASSGQAQRVLCPSNSQRVPSQAQ KLGAGQKPAPKQLPAASVPRPVSRLNNPQKNEQPAASGNDSEKEQASLQKTEDTKKRQWT LEDFDIGRPLGKGKFGNVYLARERQSKFILALKVLFKTQLEKANVEHQLRREVEIQSHLR HPNILRLYGYFHDATRVYLILEYAPLGTVYRELQKLSKFDEQRTATYITELANALSYCHS KRVIHRDIKPENLLLGSNGELKIADFGWSVHAPSSRRTTMCGTLDYLPPEMIEGRMHDEK VDLWSLGVLCYEFLVGMPPFEAHTYQETYRRISRVEFTFPDFVTEGARDLISRLLKHNAS QRLTLAEVLEHPWIKANSSKPPTGHTSKEPTSKSS >ENSMUSP00000104767.1 pep:known chromosome:GRCm38:2:172356196:172370506:-1 gene:ENSMUSG00000027496.15 transcript:ENSMUST00000109139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurka description:aurora kinase A [Source:MGI Symbol;Acc:MGI:894678] MDRCKENCVSRPVKTTVPFGPKRVLVTEQIPSQNLGSASSGQAQRVLCPSNSQRVPSQAQ KLGAGQKPAPKQLPAASVPRPVSRLNNPQKNEQPAASGNDSEKEQASLQKTEDTKKRQWT LEDFDIGRPLGKGKFGNVYLARERQSKFILALKVLFKTQLEKANVEHQLRREVEIQSHLR HPNILRLYGYFHDATRVYLILEYAPLGTVYRELQKLSKFDEQRTATYITELANALSYCHS KRVIHRDIKPENLLLGSNGELKIADFGWSVHAPSSRRTTMCGTLDYLPPEMIEGRMHDEK VDLWSLGVLCYEFLVGMPPFEAHTYQETYRRISRVEFTFPDFVTEGARDLISRLLKHNAS QRLTLAEVLEHPWIKANSSKPPTGHTSKEPTSKSS >ENSMUSP00000028997.7 pep:known chromosome:GRCm38:2:172356196:172370506:-1 gene:ENSMUSG00000027496.15 transcript:ENSMUST00000028997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurka description:aurora kinase A [Source:MGI Symbol;Acc:MGI:894678] MAVEGEPGCCKRIGKAVWRRGDMDRCKENCVSRPVKTTVPFGPKRVLVTEQIPSQNLGSA SSGQAQRVLCPSNSQRVPSQAQKLGAGQKPAPKQLPAASVPRPVSRLNNPQKNEQPAASG NDSEKEQASLQKTEDTKKRQWTLEDFDIGRPLGKGKFGNVYLARERQSKFILALKVLFKT QLEKANVEHQLRREVEIQSHLRHPNILRLYGYFHDATRVYLILEYAPLGTVYRELQKLSK FDEQRTATYITELANALSYCHSKRVIHRDIKPENLLLGSNGELKIADFGWSVHAPSSRRT TMCGTLDYLPPEMIEGRMHDEKVDLWSLGVLCYEFLVGMPPFEAHTYQETYRRISRVEFT FPDFVTEGARDLISRLLKHNASQRLTLAEVLEHPWIKANSSKPPTGHTSKEPTSKSS >ENSMUSP00000120358.1 pep:known chromosome:GRCm38:17:6106479:6206914:1 gene:ENSMUSG00000034377.10 transcript:ENSMUST00000142030.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp4 description:tubby like protein 4 [Source:MGI Symbol;Acc:MGI:1916092] MDCHGRMLAHVLLHESDGILSMSWNYPIFLVEDSSESDTDSDDYSPPQDGPAAYPIPVQN TKPLLTVSFTSGDISLMNNYDDLSPTVIRSGLKEVVAQWCT >ENSMUSP00000123218.1 pep:known chromosome:GRCm38:17:6106891:6240628:1 gene:ENSMUSG00000034377.10 transcript:ENSMUST00000149756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp4 description:tubby like protein 4 [Source:MGI Symbol;Acc:MGI:1916092] MDCHGRMLAHVLLHESDGILSMSWNYPIFLVEDSSESDTDSDDYSPPQDGPAAYPIPVQN TKPLLTVSFTSGDISLMNNYDDLSPTVIRSGLKEVVAQWCTQGDLLAVAGMEQQAQLSEL PNGPLLKSAMVKFYNVRGEHIFTLDTLVQRPIISICWGHRDSRLLMASGPALYVVRVEHR VSSLQLLCQQAIASTLREDKDVNKLTLPPRLCSYLSTAFIPTIKPPIPDPNNMRDFVSYP SAGNERLHCTMKRTEDDPEVGGPCYTLYLEYLGGLVPILKGRRISKLRPEFVIMDPRTDS KSDEIYGNSLISTVIDSCNCSDSSDIELSDDWAAKKSPKISRSSKSPKLPRISIEARKSP KLPRAAQEISRSPRLPMRKPSMGSPSLTRREFPFEDITQHNYLAQVTSNIWGTKFKIVGL AAFLPTNLGAVIYKTSLLHLQPRQMTIYLPEVRKISMDYINLPVFNPNVFSEDEDDLPVT GASGVPENNPPCTVNIPIAPIHSSAQALSPTQSIGLVQSLLANQNVQLDVLTNQTTAVGS AEHAGDAATQYPVSSRYSNPGQVIFGGVEMGRIIQNPPQLPLPPPPPPPPQAPMQLSAVD HGDRDHEHLQKSAKALRPVPQLAAEGDAVVFSAPQEVQVAKMNPPPPYPGTIPAAPTTAA PPPPLPPPQPPVDVCLKKGDFSLYPTAAHYQPPLGYERITTFDSSGNVEEVCRPRTRMLC SQNTYTLPGPGSSATLRLTATEKKVPQPCTSATLNRLTVPRYSIPTGDPPPYPEIASQLA QGRSAAQRLDNSLIHATLRRNNREVALKMAQLADSSRAPLQPLAKPKGGAAGAVAQLPAR PPPALYTCSQCSGAGPSSQSGAALAHAISTSPLASQSSYNLLSPPDTSRDRTDYVNSAFT EDEALSQHCQLEKPLRHPPLPEAAVTMKRPPPYQWDPMLGEDVWVPQERTAQPTVPNPLK LSPLMLGQGQHLDVARVPFVPPKSPSSPTATFPTGYGMGMPYPGSYNNPSLPGVQAPCSP KDALSQAQFAQQESAVVLQPAYPPSLSYCTLPPTYPGSSTCSSVQLPPIALHPWNSYSTC PPMQNTQGTLPPKPHLVVEKPLVSPPPAELQSHMGTEVMVETADNFQEVLSLTESPVPQR TEKFGKKNRKRLDSRAEEGSVQAITEGKVKKDARTLSDFNSLISSPRLGREKKKVKSQKD QLKSKKLNKTNEFQDSSESEPELFISGDELMNQSQGSKKGWKSKRSLRTASELEEFKCRK ASEKEDGRLGSQGFVYVMANKQPLWNEATQVYQLDFGGRVTQESAKNFQIELEGRQVMQF GRIDGNAYILDFQYPFSAVQAFAVALANVTQRLK >ENSMUSP00000049248.2 pep:known chromosome:GRCm38:17:6138148:6240628:1 gene:ENSMUSG00000034377.10 transcript:ENSMUST00000039655.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp4 description:tubby like protein 4 [Source:MGI Symbol;Acc:MGI:1916092] MYAAVEHGPVLCSDSNILCLSWKGRVPKSEKEKPVCRRRYYEEGWLATGNGRGVVGVTFT SSHCRRDRSTPQRINFNLRGHNSEVVLVRWNEPYQKLATCDADGGIFVWIQYEGRWSVEL VNDRGAQVSDFTWSHDGTQALISYRDGFVLVGSVSGQRHWSSEINLESQITCGIWTPDDQ QVLFGTADGQVIVMDCHGRMLAHVLLHESDGILSMSWNYPIFLVEDSSESDTDSDDYSPP QDGPAAYPIPVQNTKPLLTVSFTSGDISLMNNYDDLSPTVIRSGLKEVVAQWCTQGDLLA VAGMEQQAQLSELPNGPLLKSAMVKFYNVRGEHIFTLDTLVQRPIISICWGHRDSRLLMA SGPALYVVRVEHRVSSLQLLCQQAIASTLREDKDVNKLTLPPRLCSYLSTAFIPTIKPPI PDPNNMRDFVSYPSAGNERLHCTMKRTEDDPEVGGPCYTLYLEYLGGLVPILKGRRISKL RPEFVIMDPRTDSKSDEIYGNSLISTVIDSCNCSDSSDIELSDDWAAKKSPKISRSSKSP KLPRISIEARKSPKLPRAAQEISRSPRLPMRKPSMGSPSLTRREFPFEDITQHNYLAQVT SNIWGTKFKIVGLAAFLPTNLGAVIYKTSLLHLQPRQMTIYLPEVRKISMDYINLPVFNP NVFSEDEDDLPVTGASGVPENNPPCTVNIPIAPIHSSAQALSPTQSIGLVQSLLANQNVQ LDVLTNQTTAVGSAEHAGDAATQYPVSSRYSNPGQVIFGGVEMGRIIQNPPQLPLPPPPP PPPQAPMQLSAVDHGDRDHEHLQKSAKALRPVPQLAAEGDAVVFSAPQEVQVAKMNPPPP YPGTIPAAPTTAAPPPPLPPPQPPVDVCLKKGDFSLYPTAAHYQPPLGYERITTFDSSGN VEEVCRPRTRMLCSQNTYTLPGPGSSATLRLTATEKKVPQPCTSATLNRLTVPRYSIPTG DPPPYPEIASQLAQGRSAAQRLDNSLIHATLRRNNREVALKMAQLADSSRAPLQPLAKPK GGAAGAVAQLPARPPPALYTCSQCSGAGPSSQSGAALAHAISTSPLASQSSYNLLSPPDT SRDRTDYVNSAFTEDEALSQHCQLEKPLRHPPLPEAAVTMKRPPPYQWDPMLGEDVWVPQ ERTAQPTVPNPLKLSPLMLGQGQHLDVARVPFVPPKSPSSPTATFPTGYGMGMPYPGSYN NPSLPGVQAPCSPKDALSQAQFAQQESAVVLQPAYPPSLSYCTLPPTYPGSSTCSSVQLP PIALHPWNSYSTCPPMQNTQGTLPPKPHLVVEKPLVSPPPAELQSHMGTEVMVETADNFQ EVLSLTESPVPQRTEKFGKKNRKRLDSRAEEGSVQAITEGKVKKDARTLSDFNSLISSPR LGREKKKVKSQKDQLKSKKLNKTNEFQDSSESEPELFISGDELMNQSQGSKKGWKSKRSL RTASELEEFKCRKASEKEDGRLGSQGFVYVMANKQPLWNEATQVYQLDFGGRVTQESAKN FQIELEGRQVMQFGRIDGNAYILDFQYPFSAVQAFAVALANVTQRLK >ENSMUSP00000123119.1 pep:known chromosome:GRCm38:17:6232652:6251128:1 gene:ENSMUSG00000034377.10 transcript:ENSMUST00000137063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp4 description:tubby like protein 4 [Source:MGI Symbol;Acc:MGI:1916092] XLIHATLRRNNREVALKMAQLADSSRAPLQPLAKPKGGAAGAVAQLPARPPPALYTCSQC SGAGPSSQSGAALAHAISTSPLASQSSYNLLSPPDTSRDRTDYVNSAFTEDEALSQHCQL EKPLRHPPLPEAAVTMKRPPPYQWDPMLGEDVWVPQERTAQPTVPNPLKLSPLMLGQGQH LDVARVPFVPPKSPSSPTATFPTGYGMGMPYPGSYNNPSLPGVQAPCSPKDALSQAQFAQ QESAVVLQPAYPPSLSYCTLPPTYPGSSTCSSVQLPPIALHPWNSYSTCPPMQNTQGTLP PKPHLVVEKPLVSPPPAELQSHMGTEVMVETADNFQEVLSLTESPVPQRTEKFGKKNRKR LDSRAEEGSVQAITEGKVKKDARTLSDFNSLISSPRLGREKKKVKSQKDQLKSKKLNKTN EFQDSSESEPELFISGDELMNQSQGSKKGWKSKRSLRTASELEEFKCRKASEKEDGRLGS QGFVYVMANKQPLWNEATQVYQLDFGGRVTQESAKNFQIELEGRQGGVFLHYRGCEKPGH RSRMEPPVECQNFEPGDKKKRGSKAGHWTHRSEKVSAGCPDLKMYPDTTKFLLPLVVAKR KISAAPSLLLRSTSSLSAAPSLLLRSTSSLSAAPSLLLRCISSAPFLLLKGTSPFACVFK PWAWLINFGVLIQL >ENSMUSP00000020630.7 pep:known chromosome:GRCm38:11:53259814:53300457:-1 gene:ENSMUSG00000020361.13 transcript:ENSMUST00000020630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa4 description:heat shock protein 4 [Source:MGI Symbol;Acc:MGI:1342292] MSVVGIDLGFQSCYVAVARAGGIETIANEYSDRCTPACVSFGPKNRSIGAAAKSQVISNA KNTVQGFKRFHGRAFSDPFVEAEKSNLAYDIVQLPTGLTGIKVTYMEEERNFTTEQVTAM LLSKLKETAESVLKKPVVDCVVSVPSFYTDAERRSVMDATQIAGLNCLRLMNETTAVALA YGIYKQDLPALEEKPRNVVFVDMGHSAYQVSVCAFNKGKLKVLATAFDTTLGGRKFDEVL VNHFCEEFGKKYKLDIKSKIRALLRLSQECEKLKKLMSANASDLPLSIECFMNDIDVSGT MNRGKFLEMCDDLLARVEPPLRSVLEQSKLKKEDIYAVEIVGGATRIPAVKEKISKFFGK ELSTTLNADEAVTRGCALQCAILSPAFKVREFSITDVVPYPISLRWNSPAEEGLSDCEVF PKNHAAPFSKVLTFYRKEPFTLEAYYSSPQDLPYPDPAIAQFSVQKVTPQSDGSSSKVKV KVRVNVHGIFSVSSAALVEVHKSEESEEPMETDQNAKEEEKMQVDQEEPHTEEQQQQPQT PAENKAESEEMETSQAGSKDKKTDQPPQAKKAKVKTSTVDLPIESQLLWQLDREMLGLYT ENEGKMIMQDKLEKERNDAKNAVEEYVYEMRDKLSGEYEKFVSEDDRNTFTLKLEDTENW LYEDGEDQPKQVYVDKLAELKSLGQPIKTRFQESEERPKLFEELGKQIQQYMKVISSFKN KEDQYEHLDAADVTKVEKSTNEAMEWMNSKLNLQNKQSLTVDPVVKTKEIEAKIKELTSI CSPIISKPKPKVEPPKEEPKHAEQNGPVDGQGDNPGSQAAEHGADTAVPSDGDKKLPEMD ID >ENSMUSP00000002007.3 pep:known chromosome:GRCm38:9:37613847:37648318:1 gene:ENSMUSG00000001942.7 transcript:ENSMUST00000002007.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siae description:sialic acid acetylesterase [Source:MGI Symbol;Acc:MGI:104803] MVSPGPVFGIVLLIIARVSRSAGIGFRFASYIDNYMVLQKEPSGAVIWGFGTPGATVTVT LCQGQETIMKKVTSVKEPSNTWMVVLDPMKPGGPFEVMAQQTLGTMNFTLRVHDVLFGDV WLCSGQSNMQMTVSQIFNASKELSDTAAYQSVRIFSVSLIQSEEELDDLTEVDLSWSKPT AGNLGHGNFTYMSAVCWLFGRYLYDTLQYPIGLVSSSWGGTYIEVWSSRRTLKACGVPNT RDERVGQPEIKPMRNECNSEESSCPFRVVPSVRVTGPTRHSVLWNAMIHPLQNMTLKGVV WYQGESNADYNRDLYTCMFPELIEDWRQTFHYGSQGQTDRFFPFGFVQLSSYMLKNSSDY GFPEIRWHQTADFGHVPNPKMPNTFMAVAIDLCDRDSPFGSIHPRDKQTVAYRLHLGARA VAYGEKNLTFQGPLPKKIELLASNGLLNLTYDQEIQVQMQDNKTFEISCCSDRHCKWLPA PVNTFSTQTLILDLNACLGTVVAVRYAWTTWPCEYKQCAVYHTSSMLPAPPFIAQISHRG I >ENSMUSP00000080413.4 pep:known chromosome:GRCm38:1:13139105:13372439:-1 gene:ENSMUSG00000005886.14 transcript:ENSMUST00000081713.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa2 description:nuclear receptor coactivator 2 [Source:MGI Symbol;Acc:MGI:1276533] MSGMGENTSDPSRAETRKRKECPDQLGPSPKRSTEKRNREQENKYIEELAELIFANFNDI DNFNFKPDKCAILKETVKQIRQIKEQEKAAAANIDEVQKSDVSSTGQGVIDKDALGPMML EALDGFFFVVNLEGSVVFVSENVTQYLRYNQEELMNKSVYSILHVGDHTEFVKNLLPKSM VNGGSWSGEPPRRSSHTFNCRMLVKPLPDSEEEGHDSQEAHQKYEAMQCFAVSQPKSIKE EGEDLQSCLICVARRVPMKERPTLPSSESFTTRQDLQGKITSLDTSTMRAAMKPGWEDLV RRCIQKFHTQHEGESLSYAKRHHHEVLRQGLAFSQIYRFSLSDGTLVAAQTKSKLIRSQT TNEPQLVISLHMLHREQNVCVMNPDLTGQAMGKPLNPISSSSPAHQALCSGNPGQDMTLG SNINFPMNGPKEQMGMPMGRFGGSGGMNHVSGMQATTPQGSNYALKMNSPSQSSPGMNPG QASSVLSPRQRMSPGVAGSPRIPPSQFSPAGSLHSPVGVCSSTGNSHSYTNSSLNALQAL SEGHGVSLGSSLASPDLKMGNLQNSPVNMNPPPLSKMGSLDSKDCFGLYGEPSEGTTGQA EASCHPEEQKGPNDSSMPQAASGDRAEGHSRLHDSKGQTKLLQLLTTKSDQMEPSPLPSS LSDTNKDSTGSLPGPGSTHGTSLKEKHKILHRLLQDSSSPVDLAKLTAEATGKELSQESS STAPGSEVTVKQEPASPKKKENALLRYLLDKDDTKDIGLPEITPKLERLDSKTDPASNTK LIAMKTVKEEVSFEPSDQPGSELDNLEEILDDLQNSQLPQLFPDTRPGAPTGSVDKQAII NDLMQLTADSSPVPPAGAQKAALRMSQSRMIGSSTSRPSMPSGEWAPQSPAVRVTCAATT GAMNRPVQGGMIRNPTASIPMRANSQPGQRQMLQSQVMNIGPSELEMNMGGPQYNQQQAP PNQTAPWPESILPIDQASFASQNRQPFGSSPDDLLCPHPAAESPSDEGALLDQLYLALRN FDGLEEIDRALGIPELVSQSQAVDAEQFSSQESSIMLEQKPPVFPQQYASQAQMAQGGYN PMQDPNFHTMGQRPNYTTLRMQPRPGLRPTGIVQNQPNQLRLQLQHRLQAQQNRQPLMNQ ISSVSNVNLTLRPGVPTQAPINAQMLAQRQREILNQHLRQRQMQQQVQQRTLMMRGQGLN VTPSMVAPAGLPAAMSNPRIPQANAQQFPFPPNYGISQQPDPGFTGATTPQSPLMSPRMA HTQSPMMQQSQANPAYQPTSDMNGWAQGSMGGNSMFSQQSPPHFGQQANTSMYSNNMNIS VSMATNTGGLSSMNQMTGQMSMTSVTSVPTSGLPSMGPEQVNDPALRGGNLFPNQLPGMD MIKQEGDASRKYC >ENSMUSP00000006037.6 pep:known chromosome:GRCm38:1:13142475:13374083:-1 gene:ENSMUSG00000005886.14 transcript:ENSMUST00000006037.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa2 description:nuclear receptor coactivator 2 [Source:MGI Symbol;Acc:MGI:1276533] MSGMGENTSDPSRAETRKRKECPDQLGPSPKRSTEKRNREQENKYIEELAELIFANFNDI DNFNFKPDKCAILKETVKQIRQIKEQEKAAAANIDEVQKSDVSSTGQGVIDKDALGPMML EALDGFFFVVNLEGSVVFVSENVTQYLRYNQEELMNKSVYSILHVGDHTEFVKNLLPKSM VNGGSWSGEPPRRSSHTFNCRMLVKPLPDSEEEGHDSQEAHQKYEAMQCFAVSQPKSIKE EGEDLQSCLICVARRVPMKERPTLPSSESFTTRQDLQGKITSLDTSTMRAAMKPGWEDLV RRCIQKFHTQHEGESLSYAKRHHHEVLRQGLAFSQIYRFSLSDGTLVAAQTKSKLIRSQT TNEPQLVISLHMLHREQNVCVMNPDLTGQAMGKPLNPISSSSPAHQALCSGNPGQDMTLG SNINFPMNGPKEQMGMPMGRFGGSGGMNHVSGMQATTPQGSNYALKMNSPSQSSPGMNPG QASSVLSPRQRMSPGVAGSPRIPPSQFSPAGSLHSPVGVCSSTGNSHSYTNSSLNALQAL SEGHGVSLGSSLASPDLKMGNLQNSPVNMNPPPLSKMGSLDSKDCFGLYGEPSEGTTGQA EASCHPEEQKGPNDSSMPQAASGDRAEGHSRLHDSKGQTKLLQLLTTKSDQMEPSPLPSS LSDTNKDSTGSLPGPGSTHGTSLKEKHKILHRLLQDSSSPVDLAKLTAEATGKELSQESS STAPGSEVTVKQEPASPKKKENALLRYLLDKDDTKDIGLPEITPKLERLDSKTDPASNTK LIAMKTVKEEVSFEPSDQPGSELDNLEEILDDLQNSQLPQLFPDTRPGAPTGSVDKQAII NDLMQLTADSSPVPPAGAQKAALRMSQSTFNNPRPGQLGRLLPNQNLPLDITLQSPTGAG PFPPIRNSSPYSVIPQPGMMGNQGMLGSQGNLGNNSTGMIGSSTSRPSMPSGEWAPQSPA VRVTCAATTGAMNRPVQGGMIRNPTASIPMRANSQPGQRQMLQSQVMNIGPSELEMNMGG PQYNQQQAPPNQTAPWPESILPIDQASFASQNRQPFGSSPDDLLCPHPAAESPSDEGALL DQLYLALRNFDGLEEIDRALGIPELVSQSQAVDAEQFSSQESSIMLEQKPPVFPQQYASQ AQMAQGGYNPMQDPNFHTMGQRPNYTTLRMQPRPGLRPTGIVQNQPNQLRLQLQHRLQAQ QNRQPLMNQISSVSNVNLTLRPGVPTQAPINAQMLAQRQREILNQHLRQRQMQQQVQQRT LMMRGQGLNVTPSMVAPAGLPAAMSNPRIPQANAQQFPFPPNYGISQQPDPGFTGATTPQ SPLMSPRMAHTQSPMMQQSQANPAYQPTSDMNGWAQGSMGGNSMFSQQSPPHFGQQANTS MYSNNMNISVSMATNTGGLSSMNQMTGQMSMTSVTSVPTSGLPSMGPEQVNDPALRGGNL FPNQLPGMDMIKQEGDASRKYC >ENSMUSP00000116641.1 pep:known chromosome:GRCm38:1:13190106:13299722:-1 gene:ENSMUSG00000005886.14 transcript:ENSMUST00000145280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa2 description:nuclear receptor coactivator 2 [Source:MGI Symbol;Acc:MGI:1276533] MSGMGENTSDPSRAETRKRKECPDQLGPSPKRSTEKRNREQENKYIEELAELIFANFNDI DNFNFKPDKCAILKETVKQIRQIKEQEKAAAANIDEVQKSDVSSTGQGVIDKDAL >ENSMUSP00000069509.6 pep:known chromosome:GRCm38:1:13139159:13374083:-1 gene:ENSMUSG00000005886.14 transcript:ENSMUST00000068304.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa2 description:nuclear receptor coactivator 2 [Source:MGI Symbol;Acc:MGI:1276533] MSGMGENTSDPSRAETRKRKECPDQLGPSPKRSTEKRNREQENKYIEELAELIFANFNDI DNFNFKPDKCAILKETVKQIRQIKEQEKAAAANIDEVQKSDVSSTGQGVIDKDALGPMML EALDGFFFVVNLEGSVVFVSENVTQYLRYNQEELMNKSVYSILHVGDHTEFVKNLLPKSM VNGGSWSGEPPRRSSHTFNCRMLVKPLPDSEEEGHDSQEAHQKYEAMQCFAVSQPKSIKE EGEDLQSCLICVARRVPMKERPTLPSSESFTTRQDLQGKITSLDTSTMRAAMKPGWEDLV RRCIQKFHTQHEGESLSYAKRHHHEVLRQGLAFSQIYRFSLSDGTLVAAQTKSKLIRSQT TNEPQLVISLHMLHREQNVCVMNPDLTGQAMGKPLNPISSSSPAHQALCSGNPGQDMTLG SNINFPMNGPKEQMGMPMGRFGGSGGMNHVSGMQATTPQGSNYALKMNSPSQSSPGMNPG QASSVLSPRQRMSPGVAGSPRIPPSQFSPAGSLHSPVGVCSSTGNSHSYTNSSLNALQAL SEGHGVSLGSSLASPDLKMGNLQNSPVNMNPPPLSKMGSLDSKDCFGLYGEPSEGTTGQA EASCHPEEQKGPNDSSMPQAASGDRAEGHSRLHDSKGQTKLLQLLTTKSDQMEPSPLPSS LSDTNKDSTGSLPGPGSTHGTSLKEKHKILHRLLQDSSSPVDLAKLTAEATGKELSQESS STAPGSEVTVKQEPASPKKKENALLRYLLDKDDTKDIGLPEITPKLERLDSKTDPASNTK LIAMKTVKEEVSFEPSDQPGSELDNLEEILDDLQNSQLPQLFPDTRPGAPTGSVDKQAII NDLMQLTADSSPVPPAGAQKAALRMSQSRMIGSSTSRPSMPSGEWAPQSPAVRVTCAATT GAMNRPVQGGMIRNPTASIPMRANSQPGQRQMLQSQVMNIGPSELEMNMGGPQYNQQQAP PNQTAPWPESILPIDQASFASQNRQPFGSSPDDLLCPHPAAESPSDEGALLDQLYLALRN FDGLEEIDRALGIPELVSQSQAVDAEQFSSQESSIMLEQKPPVFPQQYASQAQMAQGGYN PMQDPNFHTMGQRPNYTTLRMQPRPGLRPTGIVQNQPNQLRLQLQHRLQAQQNRQPLMNQ ISSVSNVNLTLRPGVPTQAPINAQMLAQRQREILNQHLRQRQMQQQVQQRTLMMRGQGLN VTPSMVAPAGLPAAMSNPRIPQANAQQFPFPPNYGISQQPDPGFTGATTPQSPLMSPRMA HTQSPMMQQSQANPAYQPTSDMNGWAQGSMGGNSMFSQQSPPHFGQQANTSMYSNNMNIS VSMATNTGGLSSMNQMTGQMSMTSVTSVPTSGLPSMGPEQVNDPALRGGNLFPNQLPGMD MIKQEGDASRKYC >ENSMUSP00000126765.1 pep:known chromosome:GRCm38:7:5007059:5014253:-1 gene:ENSMUSG00000061374.14 transcript:ENSMUST00000167804.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fiz1 description:Flt3 interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1344336] MEDSSLPVVPAPIAAPGPAPSATAPRVPFHCSECGKSFRYRSDLRRHFARHTALKPHACP RCGKGFKHSFNLANHLRSHTGERPYRCSACPKGFRDSTGLLHHQVVHTGEKPYCCLVCEL RFSSRSSLGRHLKRQHRGTLPSPLQPSPGLPPLSSPCSVCCNVGPCSVCGGGGSSGGEGL EGAGATSWGLAEAAAAAAASLPPFACGACARRFDHGRELAAHWAAHTDVKPFKCPRCERD FNAPALLERHKLTHDLQGSNAPPTQVWASGGGPEVAGEGDASEVGAAPQTWDAGLLLSPT GAGVPKLEALLPGDEGSGNDQAPAAAAEASSEDTLYQCDCGTFFASAPALASHLEAHSGP ATYGCGHCGALYAALAALEEHRRASHGEGSGEAAPDGEGNQAAGGPGPGSSSRSKKIFGC SECEKLFRSPRDLERHVLVHTGEKPFPCLECGKFFRHECYLKRHRLLHGTERPFPCHICG KGFITLSNLSRHLKLHRGMD >ENSMUSP00000076603.7 pep:known chromosome:GRCm38:7:5007059:5014678:-1 gene:ENSMUSG00000061374.14 transcript:ENSMUST00000077385.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fiz1 description:Flt3 interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1344336] MEDSSLPVVPAPIAAPGPAPSATAPRVPFHCSECGKSFRYRSDLRRHFARHTALKPHACP RCGKGFKHSFNLANHLRSHTGERPYRCSACPKGFRDSTGLLHHQVVHTGEKPYCCLVCEL RFSSRSSLGRHLKRQHRGTLPSPLQPSPGLPPLSSPCSVCCNVGPCSVCGGGGSSGGEGL EGAGATSWGLAEAAAAAAASLPPFACGACARRFDHGRELAAHWAAHTDVKPFKCPRCERD FNAPALLERHKLTHDLQGSNAPPTQVWASGGGPEVAGEGDASEVGAAPQTWDAGLLLSPT GAGVPKLEALLPGDEGSGNDQAPAAAAEASSEDTLYQCDCGTFFASAPALASHLEAHSGP ATYGCGHCGALYAALAALEEHRRASHGEGSGEAAPDGEGNQAAGGPGPGSSSRSKKIFGC SECEKLFRSPRDLERHVLVHTGEKPFPCLECGKFFRHECYLKRHRLLHGTERPFPCHICG KGFITLSNLSRHLKLHRGMD >ENSMUSP00000128105.1 pep:known chromosome:GRCm38:7:5007059:5014697:-1 gene:ENSMUSG00000061374.14 transcript:ENSMUST00000165320.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fiz1 description:Flt3 interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1344336] MEDSSLPVVPAPIAAPGPAPSATAPRVPFHCSECGKSFRYRSDLRRHFARHTALKPHACP RCGKGFKHSFNLANHLRSHTGERPYRCSACPKGFRDSTGLLHHQVVHTGEKPYCCLVCEL RFSSRSSLGRHLKRQHRGTLPSPLQPSPGLPPLSSPCSVCCNVGPCSVCGGGGSSGGEGL EGAGATSWGLAEAAAAAAASLPPFACGACARRFDHGRELAAHWAAHTDVKPFKCPRCERD FNAPALLERHKLTHDLQGSNAPPTQVWASGGGPEVAGEGDASEVGAAPQTWDAGLLLSPT GAGVPKLEALLPGDEGSGNDQAPAAAAEASSEDTLYQCDCGTFFASAPALASHLEAHSGP ATYGCGHCGALYAALAALEEHRRASHGEGSGEAAPDGEGNQAAGGPGPGSSSRSKKIFGC SECEKLFRSPRDLERHVLVHTGEKPFPCLECGKFFRHECYLKRHRLLHGTERPFPCHICG KGFITLSNLSRHLKLHRGMD >ENSMUSP00000147011.1 pep:known chromosome:GRCm38:7:5007275:5013238:-1 gene:ENSMUSG00000061374.14 transcript:ENSMUST00000208944.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fiz1 description:Flt3 interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1344336] MEDSSLPVVPAPIAAPGPAPSATAPRVPFHCSECGKSFRYRSDLRRHFARHTALKPHACP RCGKGFKHSFNLANHLRSHTGERPYRCSACPKGFRDSTGLLHHQVVHTGEKPYCCLVCEL RFSSRSSLGRHLKRQHRGTLPSPLQPSPGLPPLSSPCSVCCNVGPCSVCGGGGSSGGEGL EGAGATSWGLAEAAAAAAASLPPFACGACARRFDHGRELAAHWAAHTDVKPFKCPRCERD FNAPALLERHKLTHDLQGSNAPPTQVWASGGGPEVAGEGDASEVGAAPQTWDAGLLLSPT GAGVPKLEALLPGDEGSGNDQAPAAAAEASSEDTLYQCDCGTFFASAPALASHLEAHSGP ATYGCGHCGALYAALAALEEHRRASHGEGSGEAAPDGEGNQAAGGPGPGSSSRSKKIFGC SECEKLFRSPRDLERHVLVHTGEKPFPCLECGKFFRHECYLKRHRLLHGTERPFPCHICG KGFITLSNLSRHLKLHRGMD >ENSMUSP00000147082.1 pep:known chromosome:GRCm38:7:5007559:5014269:-1 gene:ENSMUSG00000061374.14 transcript:ENSMUST00000207030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fiz1 description:Flt3 interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1344336] MEDSSLPVVPAPIAAPGPAPSATAPRVPFHCSECGKSFRYRSDLRRHFARHTALKPHACP RCGKGFKHSFNLANHLRSHTGERPYRCSACPKGFRDSTGLLHHQVVHTGEKPYCCLVCEL RFSSRSSLGRHLKRQHRGTLPSPLQPSPGLPPLSSPCSVCCNVGPCSVCGGGGSSGGEGL EGAGATSWGLAEAAAAAAASLPPFACGACARRFDHGRELAAHWAAHTDVKPFKCPRCERD FNAPALLERHKLTHDLQGSNAPPTQVWASGGGPEVAGEGDASEVGAAPQTWDAGLLLSPT GAGVPKLEALLPGDEGSGNDQAPAAAAEASSEDTLYQCDCGTFFASAPALASHLEAHSGP ATYGCGHCGALYAALAALEEHRRASHGEGSGEAAPDGEGNQAAGGPGPGSSSRSKKIFGC SECEKLFRSPRDLERHVLVHTGEKPFPCLECGKFFRHECYLKRHRLLHGTERPFPCHICG KGFITLSNLSRHLKLHRGMD >ENSMUSP00000147003.1 pep:known chromosome:GRCm38:7:5012704:5013497:-1 gene:ENSMUSG00000061374.14 transcript:ENSMUST00000207946.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fiz1 description:Flt3 interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1344336] MEDSSLPVVPAPIAAPGPAPSATAPRVPFHCSECGKSFRYRSDLRRHFARHTALKPHACP RCGKGFKHSFNLANHLRSHTGERPYRCSACPKGFR >ENSMUSP00000146713.1 pep:known chromosome:GRCm38:7:5012718:5013644:-1 gene:ENSMUSG00000061374.14 transcript:ENSMUST00000209060.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fiz1 description:Flt3 interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1344336] MEDSSLPVVPAPIAAPGPAPSATAPRVPFHCSECGKSFRYRSDLRRHFARHTALKPHACP RCGKGFKHSFNLANHLRSHTGERPYRCSACP >ENSMUSP00000147036.1 pep:known chromosome:GRCm38:7:5012882:5014673:-1 gene:ENSMUSG00000061374.14 transcript:ENSMUST00000207412.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fiz1 description:Flt3 interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1344336] MEDSSLPVVPAPIAAPGPAPSATAPRVPFHCSECGK >ENSMUSP00000083507.5 pep:known chromosome:GRCm38:7:6286579:6307883:1 gene:ENSMUSG00000054893.15 transcript:ENSMUST00000086327.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp667 description:zinc finger protein 667 [Source:MGI Symbol;Acc:MGI:2442757] MPAVRGKSKSKAPVTFGDLAIYFSQEEWEWLSPMQKDLYEDVMLENYHNLVSVGLACRRP NIIALLEKGKAPWVIEPSRRRWGPESGSKYETKKLPPNSCHKSGPSICEKPTSSQQKVPT EKAKHNKSSVPSKSKKEHSGKKSLKCNLCGKTFFRSLSLKLHQDFHTGERSYECSTCRHV FRQILSLILHQRVHNWNKSYECDKCGDIFNKKLTLMIHRRIHNGKESFHHEKASDSCPSL SLHRNNHTTDSVHQCRKCGKVFSRMSSLLLHKRSHNRKKIQKYNKYKRGFKKQPVLVHKR VCIGKKTHESKKALIQSARQKTCQSENPFMCGKCGKSFSRISALMLHQRIHTSGNPYKCD KCQKDFGRLSTLILHLRIHSGEKQFKCSKCEKVCSRLSSFIQHQKIHKRKKKLIACKECG KMFGGMKNLKVHLNIHSEEKPFKCNKCSKVFGRQSFLSEHQRIHTGEKPYQCEECGKAFS HRISLTRHKRIHSEDRPYECDLCGKAFSQSAHLAQHERIHTGEKPYACKICKKSFAQRIS LILHERSHTGERPYECNECGKAFSSGSDLIRHQRSHSSEKPYECSKCGKAYSRSSSLIRH QSIHSEETP >ENSMUSP00000146573.1 pep:known chromosome:GRCm38:7:6286593:6300959:1 gene:ENSMUSG00000054893.15 transcript:ENSMUST00000153840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp667 description:zinc finger protein 667 [Source:MGI Symbol;Acc:MGI:2442757] MPAVRGKSKSKAPVTFGDLAIYFSQEEWEWLSPMQKDLYEDVMLENYHNLVSVGLACRRP NIIALLEKGKAPWVIEPSRRRWGPEYPVP >ENSMUSP00000128658.1 pep:known chromosome:GRCm38:7:6286614:6307862:1 gene:ENSMUSG00000054893.15 transcript:ENSMUST00000170776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp667 description:zinc finger protein 667 [Source:MGI Symbol;Acc:MGI:2442757] MPAVRGKSKSKAPVTFGDLAIYFSQEEWEWLSPMQKDLYEDVMLENYHNLVSVGLACRRP NIIALLEKGKAPWVIEPSRRRWGPESGSKYETKKLPPNSCHKSGPSICEKPTSSQQKVPT EKAKHNKSSVPSKSKKEHSGKKSLKCNLCGKTFFRSLSLKLHQDFHTGERSYECSTCRHV FRQILSLILHQRVHNWNKSYECDKCGDIFNKKLTLMIHRRIHNGKESFHHEKASDSCPSL SLHRNNHTTDSVHQCRKCGKVFSRMSSLLLHKRSHNRKKIQKYNKYKRGFKKQPVLVHKR VCIGKKTHESKKALIQSARQKTCQSENPFMCGKCGKSFSRISALMLHQRIHTSGNPYKCD KCQKDFGRLSTLILHLRIHSGEKQFKCSKCEKVCSRLSSFIQHQKIHKRKKKLIACKECG KMFGGMKNLKVHLNIHSEEKPFKCNKCSKVFGRQSFLSEHQRIHTGEKPYQCEECGKAFS HRISLTRHKRIHSEDRPYECDLCGKAFSQSAHLAQHERIHTGEKPYACKICKKSFAQRIS LILHERSHTGERPYECNECGKAFSSGSDLIRHQRSHSSEKPYECSKCGKAYSRSSSLIRH QSIHSEETP >ENSMUSP00000104202.1 pep:known chromosome:GRCm38:7:6287868:6307682:1 gene:ENSMUSG00000054893.15 transcript:ENSMUST00000108562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp667 description:zinc finger protein 667 [Source:MGI Symbol;Acc:MGI:2442757] MPAVRGKSKSKAPVTFGDLAIYFSQEEWEWLSPMQKDLYEDVMLENYHNLVSVGLACRRP NIIALLEKGKAPWVIEPSRRRWGPESGSKYETKKLPPNSCHKSGPSICEKPTSSQQKVPT EKAKHNKSSVPSKSKKEHSGKKSLKCNLCGKTFFRSLSLKLHQDFHTGERSYECSTCRHV FRQILSLILHQRVHNWNKSYECDKCGDIFNKKLTLMIHRRIHNGKESFHHEKASDSCPSL SLHRNNHTTDSVHQCRKCGKVFSRMSSLLLHKRSHNRKKIQKYNKYKRGFKKQPVLVHKR VCIGKKTHESKKALIQSARQKTCQSENPFMCGKCGKSFSRISALMLHQRIHTSGNPYKCD KCQKDFGRLSTLILHLRIHSGEKQFKCSKCEKVCSRLSSFIQHQKIHKRKKKLIACKECG KMFGGMKNLKVHLNIHSEEKPFKCNKCSKVFGRQSFLSEHQRIHTGEKPYQCEECGKAFS HRISLTRHKRIHSEDRPYECDLCGKAFSQSAHLAQHERIHTGEKPYACKICKKSFAQRIS LILHERSHTGERPYECNECGKAFSSGSDLIRHQRSHSSEKPYECSKCGKAYSRSSSLIRH QSIHSEETP >ENSMUSP00000131301.1 pep:known chromosome:GRCm38:6:28442334:28449251:-1 gene:ENSMUSG00000029706.15 transcript:ENSMUST00000164519.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax4 description:paired box 4 [Source:MGI Symbol;Acc:MGI:97488] MQQDGLSSVNQLGGLFVNGRPLPLDTRQQIVQLAIRGMRPCDISRSLKVSNGCVSKILGR YYRTGVLEPKCIGGSKPRLATPAVVARIAQLKDEYPALFAWEIQHQLCTEGLCTQDKAPS VSSINRVLRALQEDQSLHWTQLRSPAVLAPVLPSPHSNCGAPRGPHPGTSHRNRTIFSPG QAEALEKEFQRGQYPDSVARGKLAAATSLPEDTVRVWFSNRRAKWRRQEKLKWEAQLPGI ISAQQSPGSVPSAALPVLEPLSPSFCQLCCGTAPGRCSSDTSSQAYLQPYWDCQSLLPVA SSSYVEFAWPCLTTHPVHHLIGGPGQVPSTHCSNWP >ENSMUSP00000031718.7 pep:known chromosome:GRCm38:6:28442334:28449353:-1 gene:ENSMUSG00000029706.15 transcript:ENSMUST00000031718.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax4 description:paired box 4 [Source:MGI Symbol;Acc:MGI:97488] MQQDGLSSVNQLGGLFVNGRPLPLDTRQQIVQLAIRGMRPCDISRSLKVSNGCVSKILGR YYRTGVLEPKCIGGSKPRLATPAVVARIAQLKDEYPALFAWEIQHQLCTEGLCTQDKAPS VSSINRVLRALQEDQSLHWTQLRSPAVLAPVLPSPHSNCGAPRGPHPGTSHRNRTIFSPG QAEALEKEFQRGQYPDSVARGKLAAATSLPEDTVRVWFSNRRAKWRRQEKLKWEAQLPGA SQDLTVPKNSPGIISAQQSPGSVPSAALPVLEPLSPSFCQLCCGTAPGRCSSDTSSQAYL QPYWDCQSLLPVASSSYVEFAWPCLTTHPVHHLIGGPGQVPSTHCSNWP >ENSMUSP00000126000.1 pep:known chromosome:GRCm38:6:28442339:28449251:-1 gene:ENSMUSG00000029706.15 transcript:ENSMUST00000171089.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax4 description:paired box 4 [Source:MGI Symbol;Acc:MGI:97488] MQQDGLSSVNQLGGLFVNGRPLPLDTRQQIVQLAIRGMRPCDISRSLKVSNGCVSKILGR YYRTGVLEPKCIGGSKPRLATPAVVARIAQLKDEYPALFAWEIQHQLCTEGLCTQDKAPS VSSINRVLRALQEDQSLHWTQLRSPAVLAPVLPSPHSNCGAPRGPHPGTSHRNRTIFSPG QAEALEKEFQRGQYPDSVARGKLAAATSLPEDTVRVWFSNRRAKWRRQEKLKWEAQLPGI ISAQSPGSVPSAALPVLEPLSPSFCQLCCGTAPGRCSSDTSSQAYLQPYWDCQSLLPVAS SSYVEFAWPCLTTHPVHHLIGGPGQVPSTHCSNWP >ENSMUSP00000134470.1 pep:known chromosome:GRCm38:6:28442375:28447180:-1 gene:ENSMUSG00000029706.15 transcript:ENSMUST00000174194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax4 description:paired box 4 [Source:MGI Symbol;Acc:MGI:97488] MQQDGLSSVNQLGGLFVNGRPLPLDTRQQIVQLAIRGMRPCDISRSLKVSNGCVSKILGR YYRTGVLEPKCIGGSKPRLATPAVVARIAQLKDEYPALFAWEIQHQLCTEGLCTQDKAPS VSSINRVLRALQEDQSLHWTQLRSPEFQRGQYPDSVARGKLAAATSLPEDTVRVWFSNRR AKWRRQEKLKWEAQLPGASQDLTVPKNSPGIISAQQSPGSVPSAALPVLEPLSPSFCQLC CGTAPGRCSSDTSSQAYLQPYWDCQSLLPVASSSYVEFAWPCLTTHPVHHLIGGPGQVPS THCSNWP >ENSMUSP00000108082.1 pep:known chromosome:GRCm38:19:34617049:34629810:-1 gene:ENSMUSG00000067297.4 transcript:ENSMUST00000112463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifit1bl2 description:interferon induced protein with tetratricopeptide repeats 1B like 2 [Source:MGI Symbol;Acc:MGI:2148249] MEQLLSPSNMSEESHKSHIHDSLDELRCHFTWELDIKDKHIHDLEIKISETEFRDPIYSI GMHNLLAYVRHLKGQQDEALQSLKEAEALIQSEQLSKRSLATWGNCAWLHYHRGSLAEAQ VYLDKVEKVCKEFSSPFRYRLECAEMDCEEGWALRKCGSQNYTRAMACFERALKVEPENP EYNAGYADVAYHLDYYDGNSLQPLKKAVSVKPEDPYLKVLLALKLQDLRKTDEAEKHIKE ATLTISSQNNIFGYVAKFYRRKGCVEEALGFLKKALETKPSSPYLHFQIGLCHKTQFFQM KKATSRENRKRADQSCHLAICHFKKTLELKPTYDRAYIDLAEVYAKNHQQKEAEDNFQEV LSMSNLGDYMQQEIHFRYGNFQQYYKKSEEAAITHYLKGLKIEVTSHYRDKLLKALEELA EGRKEDHVLESLSLLGLVCRLRGDTSEAMSCYEKALRLTGAVNPEF >ENSMUSP00000093816.2 pep:known chromosome:GRCm38:19:34617051:34640743:-1 gene:ENSMUSG00000067297.4 transcript:ENSMUST00000087357.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifit1bl2 description:interferon induced protein with tetratricopeptide repeats 1B like 2 [Source:MGI Symbol;Acc:MGI:2148249] MEQLLSPSNMSEESHKSHIHDSLDELRCHFTWELDIKDKHIHDLEIKISETEFRDPIYSI GMHNLLAYVRHLKGQQDEALQSLKEAEALIQSEQLSKRSLATWGNCAWLHYHRGSLAEAQ VYLDKVEKVCKEFSSPFRYRLECAEMDCEEGWALRKCGSQNYTRAMACFERALKVEPENP EYNAGYADVAYHLDYYDGNSLQPLKKAVSVKPEDPYLKVLLALKLQDLRKTDEAEKHIKE ATLTISSQNNIFGYVAKFYRRKGCVEEALGFLKKALETKPSSPYLHFQIGLCHKTQFFQM KKATSRENRKRADQSCHLAICHFKKTLELKPTYDRAYIDLAEVYAKNHQQKEAEDNFQEV LSMSNLGDYMQQEIHFRYGNFQQYYKKSEEAAITHYLKGLKIEVTSHYRDKLLKALEELA EGRKEDHVLESLSLLGLVCRLRGDTSEAMSCYEKALRLTGAVNPEF >ENSMUSP00000093637.3 pep:known chromosome:GRCm38:6:48024205:48048911:-1 gene:ENSMUSG00000071477.10 transcript:ENSMUST00000095944.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp777 description:zinc finger protein 777 [Source:MGI Symbol;Acc:MGI:1919556] MEDDRSSPLSFSSVPHEESVRQAPARLPRETVFPSCILPPKEVPPLSPTASRQGVLPQTD STTKQETSSQMSHVLQKGPSLLYPATSEQDTPHQVSLASQEETQCSPSAAAQGIPFLSHS AHRQEAPLHSPEVPEKDSLTLSPTVPETDMDPLLQSPTLQKDTPFHTSSAAQKEQPLPTA EITRLAVWAAVQAVERKLEAQAMRLLTLEGRTGTNEKKIADCERTAVEFANHLESKWVVL GTLLQEYGLLQRRLENMENLLKNRNFWILRLPPGSNGEVPKVPVTFDDVAVHFSEQEWGN LSEWQKELYKNVMRGNYESLVSMDYAISKPDLMSQMERGERPAMQEQEDSEEGETPTDPS AAHDGIVIKIEVQTNDEGSEGLETPEPLMGQVEEHGFQDSELGDPCGEQPDLDMQEQENA LEESTEGSSEFSELKQMLVQQRNCTEGIVIKTEEQEEEEEEEEEDELPQHLQSLGQLSGR YEASMYQTPLPGEMSPEGEESPPPLQLGNPAVKRLAPSIHGHTHGHGHGHGHSERHLGEN RGNSSQQQRNRRGERPFTCMECGKSFRLKINLIIHQRNHIKEGPYECAECEISFRHKQQL TLHQRIHRVRSGYASPERGSAFNPKHSLKPRPKSPSSGSGGGPKPYKCPECDSSFSHKSS LTKHQITHTGERPYTCPECKKSFRLHISLVIHQRVHAGKHEVSFICSLCGKSFSRPSHLL RHQRTHTGERPFKCPECEKSFSEKSKLTNHCRVHSRERPHACPECGKSFIRKHHLLEHRR IHTGERPYHCAECGKRFTQKHHLLEHQRAHTGERPYPCTHCAKCFRYKQSLKYHLRTHTG E >ENSMUSP00000116303.1 pep:known chromosome:GRCm38:6:48029379:48044243:-1 gene:ENSMUSG00000071477.10 transcript:ENSMUST00000148362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp777 description:zinc finger protein 777 [Source:MGI Symbol;Acc:MGI:1919556] XDMDPLLQSPTLQKDTPFHTSSAAQKEQPLPTAEITRLAVWAAVQAVERKLEAQAMRLLT LEGRTGTNEKKIADCERTAVEFANHLESKWVVLGTLLQEYGLLQRRLENMENLLKNRNFW ILRLPPGSNGEVPKVPVTFDDVAVHFSEQEWGNLSEWQKELYKNVMRGNYESLVSMDYAI SKPDLMSQMERGERPAMQEQEDSEEGETPTDPSAGSLLKKDQLECKC >ENSMUSP00000117227.1 pep:known chromosome:GRCm38:6:48044163:48048114:-1 gene:ENSMUSG00000071477.10 transcript:ENSMUST00000147281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp777 description:zinc finger protein 777 [Source:MGI Symbol;Acc:MGI:1919556] MEDDRSSPLSFSSVPHEESVRQAPARLPRETVFPSCILPPKEVPPLSPTASRQGVLPQTD STTKQETSSQMSHVLQKGPSLLYPATSEQDTPHQVSLASQEETQCSPSAAAQGIPFLSHS AHRQEAPLHSPEVPEKDSLTLSPTVPETDMDPLLQSPTLQKDTPFHTSSAAQKE >ENSMUSP00000123597.1 pep:known chromosome:GRCm38:6:48044445:48047794:-1 gene:ENSMUSG00000071477.10 transcript:ENSMUST00000125385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp777 description:zinc finger protein 777 [Source:MGI Symbol;Acc:MGI:1919556] MEDDRSSPLSFSSVPHEESVRQAPARLPRETVFPSCILPPKEVPPLSPTASRQGVLPQTD STTKQETSSQMSHVLQKGPSL >ENSMUSP00000110230.1 pep:known chromosome:GRCm38:6:48024188:48048114:-1 gene:ENSMUSG00000071477.10 transcript:ENSMUST00000114583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp777 description:zinc finger protein 777 [Source:MGI Symbol;Acc:MGI:1919556] MAEAAPARGRAARARTDARPRGPGDSLRAPGSVARPGVSVQQLDMEDDRSSPLSFSSVPH EESVRQAPARLPRETVFPSCILPPKEVPPLSPTASRQGVLPQTDSTTKQETSSQMSHVLQ KGPSLLYPATSEQDTPHQVSLASQEETQCSPSAAAQGIPFLSHSAHRQEAPLHSPEVPEK DSLTLSPTVPETDMDPLLQSPTLQKDTPFHTSSAAQKEQPLPTAEITRLAVWAAVQAVER KLEAQAMRLLTLEGRTGTNEKKIADCERTAVEFANHLESKWVVLGTLLQEYGLLQRRLEN MENLLKNRNFWILRLPPGSNGEVPKVPVTFDDVAVHFSEQEWGNLSEWQKELYKNVMRGN YESLVSMDYAISKPDLMSQMERGERPAMQEQEDSEEGETPTDPSAAHDGIVIKIEVQTND EGSEGLETPEPLMGQVEEHGFQDSELGDPCGEQPDLDMQEQENALEESTEGSSEFSELKQ MLVQQRNCTEGIVIKTEEQEEEEEEEEEDELPQHLQSLGQLSGRYEASMYQTPLPGEMSP EGEESPPPLQLGNPAVKRLAPSIHGHTHGHGHGHGHSERHLGENRGNSSQQQRNRRGERP FTCMECGKSFRLKINLIIHQRNHIKEGPYECAECEISFRHKQQLTLHQRIHRVRSGYASP ERGSAFNPKHSLKPRPKSPSSGSGGGPKPYKCPECDSSFSHKSSLTKHQITHTGERPYTC PECKKSFRLHISLVIHQRVHAGKHEVSFICSLCGKSFSRPSHLLRHQRTHTGERPFKCPE CEKSFSEKSKLTNHCRVHSRERPHACPECGKSFIRKHHLLEHRRIHTGERPYHCAECGKR FTQKHHLLEHQRAHTGERPYPCTHCAKCFRYKQSLKYHLRTHTGE >ENSMUSP00000142975.1 pep:known chromosome:GRCm38:3:129835884:129850793:1 gene:ENSMUSG00000058952.12 transcript:ENSMUST00000200206.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfi description:complement component factor i [Source:MGI Symbol;Acc:MGI:105937] MKLAHLSLFLLALHLSSSRSPSASDLPQEELVDQKCLLQKYTHRSCNKVFCQPWQRCIEG TCICKLPYQCPRAGTPVCAMNGRSYPTYCHQKSFECLHPEIKFSHNGTCAAEGKFNVSLI YGRTKTEGLVQVKLVDQDERMFICK >ENSMUSP00000077074.5 pep:known chromosome:GRCm38:3:129836737:129875332:1 gene:ENSMUSG00000058952.12 transcript:ENSMUST00000077918.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfi description:complement component factor i [Source:MGI Symbol;Acc:MGI:105937] MKLAHLSLFLLALHLSSSRSPSASDLPQEELVDQKCLLQKYTHRSCNKVFCQPWQRCIEG TCICKLPYQCPRAGTPVCAMNGRSYPTYCHQKSFECLHPEIKFSHNGTCAAEGKFNVSLI YGRTKTEGLVQVKLVDQDERMFICKNSWSMAEANVACVDLGFPLGVRDIQGSFNISGNLH INDTECLHVHCRGVETSLAECAFTKRRTELSNGLAGVVCYKQDADFPTSLSFQCVNGKHI PQEKACNGVNDCGDQSDELCCKGCRGNASLCKSGVCIPDQYKCNGEVDCITGEDESRCEE DRQQNIPKGLARSAQGEAEIETEETEMLTPGMDNERKRIKSLLPKLSCGVKRNTHTRRKR VIGGKPANVGDYPWQVAIKDGQRITCGGIYIGGCWILTAAHCVRPSRAHSYQVWTALLDW LKPNSQLGIQTVKRVIVHEKYNGATFQNDIALIEMKMHTGKKECELPNSVPACVPWSPYL FQPNDRCIISGWGRGKDNQKVYSLRWGEVDLIGNCSQFYPDRYYEKEMQCAGTRDGSIDA CKGDSGGPLVCEDINNVTYVWGIVSWGENCGKPEFPGVYTRVANYFDWISYHVGRSLVSQ HNV >ENSMUSP00000032065.8 pep:known chromosome:GRCm38:6:86386006:86397154:-1 gene:ENSMUSG00000029998.14 transcript:ENSMUST00000032065.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyox1 description:prenylcysteine oxidase 1 [Source:MGI Symbol;Acc:MGI:1914131] MGRFAAALVGSLFWLGLLLCGLGSLASAEPRAPPNRIAIVGAGIGGTSSAYYLRKKFGKD VKIDVFEREEVGGRLATLKVQGHDYEAGGSVIHPLNLHMKRFVKELGLSSVPASGGLVGV YNGKSLVFEESSWFVINVIKLVWRYGFQSLRMHMWVEDLLDKFMRIYRYQSHDYAFSSVE KLMHAIGGDDYVRLLNQTLRENLKKAGFSETFLNEMIAPVMKVNYGQSTDINAFVGAVSL TAADSNLWAVEGGNKIVCSGLLQASSSNLISGSVMSIEEKTRTKQTGNPTKMYEVVYKTG SETHSDFYDIVLVAAPLNRKMSNITFRNFDPPIEEFNDPYQQLVTTFIKGELNSTLFSSR PKDQFGLSAILVTDDSDMFINSLSIVASVRQKEGPPPAVDGMHVWKTFSRDILTKEQISK LFLSYDYAVRKPWLSYPHYEPPQKCPSIILHDRLYYLNGIEFAASCMEMSAIAGYNAALL AYHRWNGNEDMIDQDDLYERLKTEL >ENSMUSP00000145474.1 pep:known chromosome:GRCm38:6:86388671:86397141:-1 gene:ENSMUSG00000029998.14 transcript:ENSMUST00000204116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyox1 description:prenylcysteine oxidase 1 [Source:MGI Symbol;Acc:MGI:1914131] MGRFAAALVGSLFWLGLLLCGLGSLASAEPRAPPNRIAIVGAGIGGTSSAYYLRKKFGKD VKIDVFEREEVGGRLATLKVQGHDYEAGGSVIHPLNLHMKRFVKELGLSSVPASGGLVGV YNGKSLVFEESSWFVINDDLYERLKTEL >ENSMUSP00000122602.1 pep:known chromosome:GRCm38:6:86388989:86392343:-1 gene:ENSMUSG00000029998.14 transcript:ENSMUST00000131500.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyox1 description:prenylcysteine oxidase 1 [Source:MGI Symbol;Acc:MGI:1914131] XRYQSHDYAFSSVEKLMHAIGGDDYVRLLNQTLRENLKKAGFSETFLNEMIAPVMKVNYG QSTDINAFVGNPTKMYEVVYKTGSETHSDFYDIVLVAAPLNRKMSNITFRNFDPPIEEFN DPYQQLVTTFIKGELNSTLFSSRPKDQFGLSAILVTDDSDMFINSLSIVASVRQKEGPPP AVDGMHVWKTFSRDILT >ENSMUSP00000119449.2 pep:known chromosome:GRCm38:6:86391684:86397143:-1 gene:ENSMUSG00000029998.14 transcript:ENSMUST00000153723.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyox1 description:prenylcysteine oxidase 1 [Source:MGI Symbol;Acc:MGI:1914131] MGRFAAALVGSLFWLGLLLCGLGSLASAEPRAPPNRIGLSSVPASGGLVGVYNGKSLVFE ESSWFVINVIKLVWRYGFQSLRMHMWVEDLLDKFMRIYRYQSHDYAFSSVEKLMHAIGGD DYVRLLNQTLRENLKKAGFSETFLNEMIAPVMKVNYGQSTDINAFVGAVSLTAADSNLWA VEGGNKIVCSGLLQASSSNLISGSVMSIEEKTRT >ENSMUSP00000140768.1 pep:known chromosome:GRCm38:19:11375523:11392790:1 gene:ENSMUSG00000101389.1 transcript:ENSMUST00000188995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a4a description:membrane-spanning 4-domains, subfamily A, member 4A [Source:MGI Symbol;Acc:MGI:3643932] MLVIQGTEQSALEAGYGAQQNGQPLYVNSHSWKRMTEKFLKGEPKILGIVQIVIAIMNLS IGIMMIIATVSTGEIPPSSVYIGYPIWGSLMFIISGSFSIVAGRRTTKGLVRSSLGLNIT SSVFAFSGIVISSLSPGIYSFHVYYCTYRGSSEGCHMTLSILMGLDIVVVVLSVLEFCIG VSLSAFGCRVMCCNPGGVMIIMPSNPTKAETANPVTLQSGLMPPEHQERNVPENMH >ENSMUSP00000047220.8 pep:known chromosome:GRCm38:9:80066903:80144953:1 gene:ENSMUSG00000034252.14 transcript:ENSMUST00000037484.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp6 description:SUMO/sentrin specific peptidase 6 [Source:MGI Symbol;Acc:MGI:1922075] MAAGKSGGSAGALFLKALDRSESKRDGGFKNNWSFDHEEESEGDADKDGANLLSVEDEDS EISKGKKLNRRSEIVATSSGDFILKTYVRRSKTDGFKTLKGNPIGLNMLSNNKKLSESTA GTALCSGTVVHGRRFHHAHSQTPGIRTAAQRKEYPPYVHKAENSPVMLSHGQGGDHIMKK TEESESYVESEIKRKVQQKRHCSTYQLSPLSPASKKCLTHLEVSEQREYCPKCGKEKENQ TKCQSCGIVFHNDLQRNCRQAVTLNEPTGPLLRTSIHQNSGGQKSQNTGLTAKKFYGNSV DKIPIDILVTCDDSRHNYIQTNGKVILPGGKIPKLTNPKERKISVSDLNDPIILSSDDDD DDDDRTKRRESTSPKPADSACSSPVPSTGKVEAALNADACRAEQEPRSSPAEPELNTIVI PRKARMKDQLGNSISTPLKRRKVNSHAAFIHPMSLSCQNFESVILNCRSIRVGTLFRLLV EPVIFSLESITIHLDGPESDPVDIILNTSDLTKCEWCNVRKLPVVFLQAIPAVYQKLSMQ LQMSKEDKVWNDCKGINRITSLEEQYIILIFQTGLDHQAEVVFESIITDIGIRNNVPNFF AKILFDEANSRLVACTRSYEESIKGNCAQKENKVKTVSFESKIQLRSKQELQFFDDDEEA GESHTIFIGPVEKLIVYPPPPAKGGISVTNEDLHCLSEGEFLNDVIIDFYLKYLVLEKLK KEDADRIHIFSSFFYKRLNQRERRNPETTNLSIQQKRHGRVKTWTRHVDIFEKDFIFVPL NEAAHWFLAVVCFPGLEKPKYEPNPHYHENAVMQKTPSAEDSCVSSASEMGACSQNSAAK PVIKKMLNRKHCLAVTDSSAAQEESEPCYRRNAYSVKCSMKKKNHAINENEEPSNGESTC QDICDRTQSENGLRDECFSSVHHPDALSKIRLNYGDQSADGGKLLEDELIDFSEDQDDPD DSSDDGLLADENYSSEIGQWHLKPTVCKQPCILLMDSLRGPSRSNVVKILREYLEVEWEV KKGSKRSFSKDVMKGSNPKVPQQNNFSDCGVYVLQYVESFFENPVLNFELPMNLMNWFPP PRMKTKREEIRNIILKLQESQSKDKKLLKDSLAETSLGDGAEQYASASGGSE >ENSMUSP00000135494.1 pep:known chromosome:GRCm38:9:80066936:80113753:1 gene:ENSMUSG00000034252.14 transcript:ENSMUST00000176640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp6 description:SUMO/sentrin specific peptidase 6 [Source:MGI Symbol;Acc:MGI:1922075] MLSNNKKLSESTAGTALCSGTVVHGRRFHHAHSQTPGIRTAAQSNQDRKERKEYPPYVHK AENSPVMLSHGQGGDHIMKKTEESESYVESEIKRKVQQKRHCSTYQLSPLSPASKKCLTH LEVSEQREYCP >ENSMUSP00000128918.1 pep:known chromosome:GRCm38:9:80066973:80144168:1 gene:ENSMUSG00000034252.14 transcript:ENSMUST00000164859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp6 description:SUMO/sentrin specific peptidase 6 [Source:MGI Symbol;Acc:MGI:1922075] MLSHGQGGDHIMKKTEESESYVESEIKRKVQQKRHCSTYQLSPLSPASKKCLTHLEVSEQ REYCPKCGKEKENQTKCQSCGIVFHNDLQRNCRQAVTLNEPTGPLLRTSIHQNSGGQKSQ NTGLTAKKFYGNSVDKIPIDILVTCDDSRHNYIQTNGKVILPGGKIPKLTNPKERKISVS DLNDPIILSSDDDDDDDDRTKRRESTSPKPADSACSSPVPSTGKVEAALNADACRAEQEP RSSPAEPELNTIVIPRKARMKDQLGNSISTPLKRRKVNSHAAFIHPMSLSCQNFESVILN CRSIRVGTLFRLLVEPVIFSLESITIHLDGPESDPVDIILNTSDLTKCEWCNVRKLPVVF LQAIPAVYQKLSMQLQMSKEDKVWNDCKGINRITSLEEQYIILIFQTGLDHQAEVVFESI ITDIGIRNNVPNFFAKILFDEANSRLVACTRSYEESIKGNCAQKENKVKTVSFESKIQLR SKQELQFFDDDEEAGESHTIFIGPVEKLIVYPPPPAKGGISVTNEDLHCLSEGEFLNDVI IDFYLKYLVLEKLKKEDADRIHIFSSFFYKRLNQRERRNPETTNLSIQQKRHGRVKTWTR HVDIFEKDFIFVPLNEAAHWFLAVVCFPGLEKPKYEPNPHYHENAVMQKTPSAEDSCVSS ASEMGACSQNSAAKPVIKKMLNRKHCLAVTDSSAAQEESEPCYRRNAYSVKCSMKKKNHA INENEEPSNGESTCQDICDRTQSENGLRDECFSSVHHPDALSKIRLNYGDQSADGGKLLE DELIDFSEDQDDPDDSSDDGLLADENYSSEIGQWHLKPTVCKQPCILLMDSLRGPSRSNV VKILREYLEVEWEVKKGSKRSFSKDVMKGSNPKVPQQNNFSDCGVYVLQYVESFFENPVL NFELPMNLMNWFPPPRMKTKREEIRNIILKLQESQSKDKKLLKDSLAETSLGDGAEQYAS ASGGSE >ENSMUSP00000135401.1 pep:known chromosome:GRCm38:9:80067099:80144781:1 gene:ENSMUSG00000034252.14 transcript:ENSMUST00000175999.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Senp6 description:SUMO/sentrin specific peptidase 6 [Source:MGI Symbol;Acc:MGI:1922075] MAAGKSGGSAGALFLKALDRSESKRDGGFKNNWSFDHEEESEGDADKD >ENSMUSP00000126777.2 pep:known chromosome:GRCm38:9:80067493:80143906:1 gene:ENSMUSG00000034252.14 transcript:ENSMUST00000165607.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp6 description:SUMO/sentrin specific peptidase 6 [Source:MGI Symbol;Acc:MGI:1922075] MAAGKSGGSAGALFLKALDRSESKRDGGFKNNWSFDHEEESEGDADKDGANLLSVEDEDS EISKGKKLNRRSEIVATSSGDFILKTYVRRSKTDGFKTLKGNPIGLNMLSNNKKLSESTA GTALCSGTVVHGRRFHHAHSQTPGIRTAAQSNQDRKERKEYPPYVHKAENSPVMLSHGQG GDHIMKKTEESESYVESEIKRKVQQKRHCSTYQLSPLSPASKKCLTHLEVSEQREYCPKC GKEKENQTKCQSCGIVFHNDLQRNCRQAVTLNEPTGPLLRTSIHQNSGGQKSQNTGLTAK KFYGNSVDKIPIDILVTCDDSRHNYIQTNGKVILPGGKIPKLTNPKERKISVSDLNDPII LSSDDDDDDDDRTKRRESTSPKPADSACSSPVPSTGKVEAALNADACRAEQEPRSSPAEP ELNTIVIPRKARMKDQLGNSISTPLKRRKVNSHAAFIHPMSLSCQNFESVILNCRSIRVG TLFRLLVEPVIFSLESITIHLDGPESDPVDIILNTSDLTKCEWCNVRKLPVVFLQAIPAV YQKLSMQLQMSKEDKVWNDCKGINRITSLEEQYIILIFQTGLDHQAEVVFESIITDIGIR NNVPNFFAKILFDEANSRLVACTRSYEESIKGNCAQKENKVKTVSFESKIQLRSKQELQF FDDDEEAGESHTIFIGPVEKLIVYPPPPAKGGISVTNEDLHCLSEGEFLNDVIIDFYLKY LVLEKLKKEDADRIHIFSSFFYKRLNQRERRNPETTNLSIQQKRHGRVKTWTRHVDIFEK DFIFVPLNEAAHWFLAVVCFPGLEKPKYEPNPHYHENAVMQKTPSAEDSCVSSASEMGAC SQNSAAKPVIKKMLNRKHCLAVTDSSAAQEESEPCYRRNAYSVKCSMKKKNHAINENEEP SNGESTCQDICDRTQSENGLRDECFSSVHHPDALSKIRLNYGDQSADGGKLLEDELIDFS EDQDDPDDSSDDGLLADENYSSEIGQWHLKPTVCKQPCILLMDSLRGPSRSNVVKILREY LEVEWEVKKGSKRSFSKDVMKGSNPKVPQQNNFSDCGVYVLQYVESFFENPVLNFELPMN LMNWFPPPRMKTKREEIRNIILKLQESQSKDKKLLKDSLAETSLGDGAEQYASASGGSE >ENSMUSP00000135108.1 pep:known chromosome:GRCm38:9:80092303:80121979:1 gene:ENSMUSG00000034252.14 transcript:ENSMUST00000176360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp6 description:SUMO/sentrin specific peptidase 6 [Source:MGI Symbol;Acc:MGI:1922075] GDFILKTYVRRSKTDGFKTLKGNPIGLNMLSNNKKLSESTAGTALCSGTVVHGRRFHHAH SQTPGIRTAAQSNQDRKERKEYPPYVHKAENSPVMLSHGQGGVILSSDDDDDDDDRTKRR ESTSPKPADSACSSPVPSTGKVEAALNADACRAEQEPRSSPAEPELNTIVIPRKARMKDQ LGNSISTPLKRRKVNSHAAFIHPMSLSCQNFESVILNCRSIRVGTLFRLLVEPVIFSLES ITIHLDGPESDPVDIILNTSDLTKCEWCNVRKLPVVFLQAIPAVY >ENSMUSP00000135231.1 pep:known chromosome:GRCm38:9:80103172:80143712:1 gene:ENSMUSG00000034252.14 transcript:ENSMUST00000176607.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp6 description:SUMO/sentrin specific peptidase 6 [Source:MGI Symbol;Acc:MGI:1922075] MLSHGQGGDHIMKKTEESESYVESEIKRKVQQKRHCSTYQLSPLSPASKKCLTHLEVSEQ REYCPKCGKEKENQTKCQSCGIVFHNDLQRNCRQAVTLNEPTGPLLRTSIHQNSGGQKSQ NTGLTAKKFYGNSVDKIPIDILVTCDDSRHNYIQTNGKVILPGGKIPKLTNPKERKISVS DLNDPIILSSDDDDDDDDRTKRRESTSPKPADSACSSPVPSTGKVEAALNADACRAEQEP RSSPAEPELNTIVIPRKARMKDQLGNSISTPLKRRKVNSHAAFIHPMSLSCQNFESVILN CRSIRVGTLFRLLVEPVIFSLESITIHLDGPESDPVDIILNTSDLTKCEWCNVRKLPVVF LQAIPAVYQKLSMQLQMSKEDKVWNDCKGINRITSLEEQYIILIFQTGLDHQAEVVFESI ITDIGIRNNVPNFFAKILFDEANSRLVACTRSYEESIKGNCAQKENKVKTVSFESKIQLR SKQELQFFDDDEEAGESHTIFIGPVEKLIVYPPPPAKGGISVTNEDLHCLSEGEFLNDVI IDFYLKYLVLEKLKKEDADRIHIFSSFFYKRLNQRERRNPETTNLSIQQKRHGRVKTWTR HVDIFEKDFIFVPLNEAAHWFLAVVCFPGLEKPKYEPNPHYHENAVMQKTPSAEDSCVSS ASEMGACSQNSAAKPVIKKMLNRKHCLAVTDSSAAQEESEPCYRRNAYSVKCSMKKKNHA INENEEPSNGESTCQDICDRTQSENGLRDECFSSVHHPDALSKIRLNYGDQSADGGKLLE DELIDFSEDQDDPDDSSDDGLLADENYSSEIGQWHLKPTVCKQPCILLMDSLRGPSRSNV VKILREYLEVEWEVKKGSKRSFSKDVMKGSNPKVPQQNNFSDCGVYVLQYVESFFENPVL NFELPMNLMNWFPPPRM >ENSMUSP00000135219.1 pep:known chromosome:GRCm38:9:80114022:80118747:1 gene:ENSMUSG00000034252.14 transcript:ENSMUST00000176648.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp6 description:SUMO/sentrin specific peptidase 6 [Source:MGI Symbol;Acc:MGI:1922075] XNGKVILPGGKIPKLTNPKERKISVSDLNDPIILSSDDDDDDDDRTKRRESTSPKPADSA CSSPVPSTGKVEAALNADACRAEQEPRSSPAEPELNTIVIPRKARMKDQLGNSISTPLKR RKVNSHAAFIHPMSLSCQNFESVILNCRSIRVGTLFRLLVEPVIVSMFLSCCCIITRIR >ENSMUSP00000135719.1 pep:known chromosome:GRCm38:9:80123797:80136572:1 gene:ENSMUSG00000034252.14 transcript:ENSMUST00000176527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp6 description:SUMO/sentrin specific peptidase 6 [Source:MGI Symbol;Acc:MGI:1922075] ITDIGIRNNVPNFFAKILFDEANSRLVACTRSYEESIKGNCAQKENKVKTVSFESKIQLR SKQELQFFDDDEEAGESHTIFIGPVEKLIVYPPPPAKGGISVTNEDLHCLSEGEFLNDVI IDFYLKYLVLEKLKKEDADRIHIFSSFFYKRLNQRERRNPETTNLSAHWFLAVVCFPGLE KPKYEPNPHYHENAVMQKTPSAED >ENSMUSP00000099888.3 pep:known chromosome:GRCm38:19:34640871:34650009:1 gene:ENSMUSG00000034459.8 transcript:ENSMUST00000102824.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifit1 description:interferon-induced protein with tetratricopeptide repeats 1 [Source:MGI Symbol;Acc:MGI:99450] MGENADGDQVMENLLQLRCHFTWKLLFENNDIPDLEVRISEQVQFLDIKNPLGMHNLLAY VRHLKGQQDEALQSLKEAEALIQSEQLSKRSLATWGNCAWLHYHRGSLAEAQIYLDKVEK VCKEFSSPFRYRLECAEMDCEEGWALLKCGGGNYKQAMACFAKALKVEPENPEYNTGYAV VAYRQDLDDNFISLEPLRKAVRLNPEDPYLKVLLALKLQDLGEHVEAEAHIEEALSSTSC QSYVIRYAAKYFRRKHRVDKALHLLNRALQASPSSGYLHYQKGLCYKQQISQLRTSRNRQ PRRQDNVQELAQQAIHEFQETLKLRPTFEMAYVCMAEVQAEIHQYEEAERNFQKALNNKT LVAHIEQDIHLRYGRFLQFHKQSEDKAITLYLKGLKVEEKSFAWRKLLTALEKVAERRVC QNVHLVESTSLLGLVYKLKGQEKNALFYYEKALRLTGEMNPAF >ENSMUSP00000036900.5 pep:known chromosome:GRCm38:15:89355719:89372826:1 gene:ENSMUSG00000008690.15 transcript:ENSMUST00000036987.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncaph2 description:non-SMC condensin II complex, subunit H2 [Source:MGI Symbol;Acc:MGI:1289164] MEDVELDQICISFDEGKTTMNFIEAALLIQGSACVYSKKVEYLYSLVYQALDFISGKRRA KQLSLVQEDGSKKTVNSETPCETENEFLSLDDFPDSRANVDLKNDQASSELLIIPLLPMA LVAPDEVEKNSSPLYSCQGDILASRKDFRMNTCMPNPRGCFMLDPVGMCPVEPVVPVEPY PMSRSQKDPEDAEEQPMEVSRNGSPVPVPDISQEPDGPALSGGEEDAEDGAEPLEVALEP AEPRTSQQSAILPRRYMLRERQGAPEPASRLQETPDPWQSLDPFDSLESKVFQKGKPYSV PPGVEEAPGQKRKRKGATKLQDFHKWYLDAYAEHPDGRRARRKGPTFADMEVLYWKHVKE QLETLQKLRRRKINERWLPGAKQDLWPTEEDRLEESLEDLGVADDFLEPEEYVEEPAGVM PEEAADLDAEAMPESLRYEELVRRNVELFIATSQKFIQETELSQRIRDWEDTIQPLLQEQ EQHVPFDIHIYGDQLASRFPQLNEWCPFSELVAGQPAFEVCRSMLASLQLANDYTVEITQ QPGLEAAVDTMSLRLLTHQRAHTRFQTYAAPSMAQP >ENSMUSP00000074139.5 pep:known chromosome:GRCm38:15:89355736:89372826:1 gene:ENSMUSG00000008690.15 transcript:ENSMUST00000074552.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncaph2 description:non-SMC condensin II complex, subunit H2 [Source:MGI Symbol;Acc:MGI:1289164] MEDVEVRFAHLLQPIRDLTKNWEVDVAAQLGEYLEELDQICISFDEGKTTMNFIEAALLI QGSACVYSKKVEYLYSLVYQALDFISGKRRAKQLSLVQEDGSKKTVNSETPCETENEFLS LDDFPDSRANVDLKNDQASSELLIIPLLPMALVAPDEVEKNSSPLYSCQGDILASRKDFR MNTCMPNPRGCFMLDPVGMCPVEPVVPVEPYPMSRSQKDPEDAEEQPMEVSRNGSPVPVP DISQEPDGPALSGGEEDAEDGAEPLEVALEPAEPRTSQQSAILPRRYMLRERQGAPEPAS RLQETPDPWQSLDPFDSLESKVFQKGKPYSVPPGVEEAPGQKRKRKGATKLQDFHKWYLD AYAEHPDGRRARRKGPTFADMEVLYWKHVKEQLETLQKLRRRKINERWLPGAKQDLWPTE EDRLEESLEDLGVADDFLEPEEYVEEPAGVMPEEAADLDAEAMPESLRYEELVRRNVELF IATSQKFIQETELSQRIRDWEDTIQPLLQEQEQHVPFDIHIYGDQLASRFPQLNEWCPFS ELVAGQPAFEVCRSMLASLQLANDYTVEITQQPGLEAAVDTMSLRLLTHQRAHTRFQTYA APSMAQP >ENSMUSP00000086095.6 pep:known chromosome:GRCm38:15:89355736:89372826:1 gene:ENSMUSG00000008690.15 transcript:ENSMUST00000088717.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncaph2 description:non-SMC condensin II complex, subunit H2 [Source:MGI Symbol;Acc:MGI:1289164] MEDVEVRFAHLLQPIRDLTKNWEVDVAAQLGEYLEELDQICISFDEGKTTMNFIEAALLI QGSACVYSKKVEYLYSLVYQALDFISGKRRAKQLSLVQEDGSKKTVNSETPCETENEFLS LDDFPDSRANVDLKNDQASSELLIIPLLPMALVAPDEVEKNSSPLYSCQGDILASRKDFR MNTCMPNPRGCFMLDPVGMCPVEPVVPVEPYPMSRSQKDPEDAEEQPMEVSRNGSPVPVP DISQEPDGPALSGGEEDAEDGAEPLEVALEPAEPRTSQQSAILPRRYMLRERQGAPEPAS RLQETPDPWQSLDPFDSLESKVFQKGKPYSVPPGVEEAPGQKRKRKGATKLQDFHKWYLD AYAEHPDGRRARRKGPTFADMEVLYWKHVKEQLETLQKLRRRKINERWLPGAKQDLWPTE EDRLEESLEDLGVAADDFLEPEEYVEEPAGVMPEEAADLDAEAMPESLRYEELVRRNVEL FIATSQKFIQETELSQRIRDWEDTIQPLLQEQEQHVPFDIHIYGDQLASRFPQLNEWCPF SELVAGQPAFEVCRSMLASLQLANDYTVEITQQPGLEAAVDTMSLRLLTHQRAHTRFQTY AAPSMAQP >ENSMUSP00000122118.1 pep:known chromosome:GRCm38:15:89355763:89372826:1 gene:ENSMUSG00000008690.15 transcript:ENSMUST00000145259.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ncaph2 description:non-SMC condensin II complex, subunit H2 [Source:MGI Symbol;Acc:MGI:1289164] MEDVEVRFAHLLQPIRDLTKNWEVDVAAQLAGPDLHFF >ENSMUSP00000024932.5 pep:known chromosome:GRCm38:17:24163866:24169461:-1 gene:ENSMUSG00000024121.13 transcript:ENSMUST00000024932.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0c description:ATPase, H+ transporting, lysosomal V0 subunit C [Source:MGI Symbol;Acc:MGI:88116] MADIKNNPEYSSFFGVMGASSAMVFSAMGAAYGTAKSGTGIAAMSVMRPELIMKSIIPVV MAGIIAIYGLVVAVLIANSLTDGITLYRSFLQLGAGLSVGLSGLAAGFAIGIVGDAGVRG TAQQPRLFVGMILILIFAEVLGLYGLIVALILSTK >ENSMUSP00000111059.1 pep:known chromosome:GRCm38:17:24164153:24169677:-1 gene:ENSMUSG00000024121.13 transcript:ENSMUST00000098862.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0c description:ATPase, H+ transporting, lysosomal V0 subunit C [Source:MGI Symbol;Acc:MGI:88116] MADIKNNPEYSSFFGVMGASSAMVFSAMGAAYGTAKSGTGIAAMSVMRPELIMKSIIPVV MAGIIAIYGLVVAVLIANSLTDGITLYRSFLQLGAGLSVGLSGLAAGFAIGIVGDAGVRG TAQQPRLFVGMILILIFAEVLGLYGLIVALILSTK >ENSMUSP00000121464.1 pep:known chromosome:GRCm38:17:24164420:24169648:-1 gene:ENSMUSG00000024121.13 transcript:ENSMUST00000148541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0c description:ATPase, H+ transporting, lysosomal V0 subunit C [Source:MGI Symbol;Acc:MGI:88116] MADIKNNPEYSSFFGVMGASSAMVFSAMGAAYGTAKSGTGIAAMSVMRPELIMKSIIPVV MAGIIAIYGLVVAVLIANSLTDGITLYRSFLQLGAGLSVGLSGLAAGFAIGIVGDAGVRG TAQQPRLFVGMILILIFAEVLGLYGLIVAL >ENSMUSP00000122843.1 pep:known chromosome:GRCm38:17:24164542:24169702:-1 gene:ENSMUSG00000024121.13 transcript:ENSMUST00000150647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0c description:ATPase, H+ transporting, lysosomal V0 subunit C [Source:MGI Symbol;Acc:MGI:88116] MSATAPPRGTDDPKTRRVREDDCVEPRASEDPAMGAAYGTAKSGTGIAAMSVMRPELIMK SIIPVVMAGIIAIYGLVVAVLIANSLTDGITLYRSFLQLGAGLSVGLSGLAAGFAI >ENSMUSP00000129363.1 pep:known chromosome:GRCm38:5:64803388:64832901:1 gene:ENSMUSG00000029178.14 transcript:ENSMUST00000165536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf3 description:Kruppel-like factor 3 (basic) [Source:MGI Symbol;Acc:MGI:1342773] MLMFDPVPVKQEAMDPVSVSFPSNYIESMKPNKYGVIYSTPLPDKFFQTPEGLTHGIQVE PVDLTVNKRGSPPAAGGSPSSLKFPSHRRASPGLSMPSSSPPIKKYSPPSPGVQPFGVPL SMPPVMAAALSRHGIRSPGILPVIQPVVVQPVPFMYTSHLQQPLMVSLSEEMDNSNSGMP VPVIESYEKPLLQKKIKIEPGIEPQRTDYYPEEMSPPLMNPVSPPQALLQENHPSVIVQP GKRPLPVESPDTQRKRRIHRCDYDGCNKVYTKSSHLKAHRRTHTGEKPYKCTWEGCTWKF ARSDELTRHFRKHTGIKPFQCPDCDRSFSRSDHLALHRKRHMLV >ENSMUSP00000142369.1 pep:known chromosome:GRCm38:5:64812384:64822243:1 gene:ENSMUSG00000029178.14 transcript:ENSMUST00000197879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf3 description:Kruppel-like factor 3 (basic) [Source:MGI Symbol;Acc:MGI:1342773] MLMFDPVPVKQEAMDPVSVSFPSNYIESMKPNKYGVIYSTPLPDKFFQTPEGLTHGIQVE PVDLTVNKRGSPPAAGGSPSSLKFPSHRRASPGLSMPSSSPPIKKYSPPSPGVQPFGVPL SMPPVMAAALSRHGIRSPGILP >ENSMUSP00000142668.1 pep:known chromosome:GRCm38:5:64822348:64827167:1 gene:ENSMUSG00000029178.14 transcript:ENSMUST00000200384.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klf3 description:Kruppel-like factor 3 (basic) [Source:MGI Symbol;Acc:MGI:1342773] GMPVPVIESYEKPLLQKKIKIEPGIEPQRTDYYPEEMSPPLMNPVSPPQALLQEV >ENSMUSP00000142651.1 pep:known chromosome:GRCm38:5:64826809:64829550:1 gene:ENSMUSG00000029178.14 transcript:ENSMUST00000198946.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf3 description:Kruppel-like factor 3 (basic) [Source:MGI Symbol;Acc:MGI:1342773] ELLEGRNPVFIHLCVPVPSTVPGSWNHPSVIVQPGKRPLPVESPDTQRKRRIHRCDYDGC NKVYTKSSHLKAHRRTHTGEKPYKCTWEGCTWKFARSDELTRHFRKHTGIKPFQCPDCDR SFSRSDHLALHRKRHMLV >ENSMUSP00000128429.1 pep:known chromosome:GRCm38:5:64812339:64829482:1 gene:ENSMUSG00000029178.14 transcript:ENSMUST00000166409.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf3 description:Kruppel-like factor 3 (basic) [Source:MGI Symbol;Acc:MGI:1342773] MLMFDPVPVKQEAMDPVSVSFPSNYIESMKPNKYGVIYSTPLPDKFFQTPEGLTHGIQVE PVDLTVNKRGSPPAAGGSPSSLKFPSHRRASPGLSMPSSSPPIKKYSPPSPGVQPFGVPL SMPPVMAAALSRHGIRSPGILPVIQPVVVQPVPFMYTSHLQQPLMVSLSEEMDNSNSGMP VPVIESYEKPLLQKKIKIEPGIEPQRTDYYPEEMSPPLMNPVSPPQALLQENHPSVIVQP GKRPLPVESPDTQRKRRIHRCDYDGCNKVYTKSSHLKAHRRTHTGEKPYKCTWEGCTWKF ARSDELTRHFRKHTGIKPFQCPDCDRSFSRSDHLALHRKRHMLV >ENSMUSP00000139968.1 pep:known chromosome:GRCm38:2:89439333:89440277:-1 gene:ENSMUSG00000099909.1 transcript:ENSMUST00000187363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1240 description:olfactory receptor 1240 [Source:MGI Symbol;Acc:MGI:3031074] MGKSNNVTEFILLGLTQDPAGRKALFVMFLLIYIVTMVGNLLIVETVISSPSLDSPMYFF LASLSLMDAVYSTAFSPKLIMDLLCNRRTISVSACIGQLFVEHLFGGAEVFLLVFMAYDR YVAICKPLHYMTIMNRQVCILLLVAACAGGFGHSLVQVIVVCYLPFCGPNTIDHFICDMY PLLGLACTDTYLIGLTVVANGGAICMTVFILLLVSYGIILNSLKSHSEEGRRKALSTCSS HIIVVVLFFVPCIFMYVRPVSNFPIDKSLTVVYTVITPMLNPLIYTLRNAEMKNSMKKLW CERLTMERLRMFPH >ENSMUSP00000141432.1 pep:known chromosome:GRCm38:Y:13252391:13254834:1 gene:ENSMUSG00000103468.1 transcript:ENSMUST00000192749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21440 description:predicted gene, 21440 [Source:MGI Symbol;Acc:MGI:5434795] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000141810.1 pep:known chromosome:GRCm38:Y:13376362:13378804:1 gene:ENSMUSG00000093903.6 transcript:ENSMUST00000192204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21454 description:predicted gene, 21454 [Source:MGI Symbol;Acc:MGI:5434809] MSSLMKKRRRKSSSNTLRNIVSCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYVLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFERKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000136379.1 pep:known chromosome:GRCm38:Y:13252442:13378797:1 gene:ENSMUSG00000093903.6 transcript:ENSMUST00000178599.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21454 description:predicted gene, 21454 [Source:MGI Symbol;Acc:MGI:5434809] MSSLMKKRRRKSSSNTLRNIVSCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYVLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFERKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000062540.7 pep:known chromosome:GRCm38:11:120458115:120464358:1 gene:ENSMUSG00000049957.14 transcript:ENSMUST00000058370.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc137 description:coiled-coil domain containing 137 [Source:MGI Symbol;Acc:MGI:1914541] MARPGNIALVTARSAGLRGSGQPQGRRQQQAQGQQRSASLPGQRSKEKKKVNCKPKNQDE QEIPFRLREIMRSRQEMKKTLSNKKRKKEAQVAFKKTLEKEAKGEEPDIAVPKFKQRKGE SDVAYVQRMEQEAQHVLFLSKNQAPRQPEVQAAPKKEKSERKKAFQKRRLEKAQRKREAR AVDRLEQELLKDTVKFGEVVLQPPELTVQPRRSTSRDAPGKKSLMLKKMLLGPGGGSPAP ATSLARQRILGEERERAVQAYRALKKLHRQEMTPAQPPGSSFQRQGHACL >ENSMUSP00000134921.2 pep:known chromosome:GRCm38:11:120458142:120460111:1 gene:ENSMUSG00000049957.14 transcript:ENSMUST00000175970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc137 description:coiled-coil domain containing 137 [Source:MGI Symbol;Acc:MGI:1914541] MARPGNIALVTARSAGLRGSGQPQGRRQQQAQGQQRSASLPGQRSKEKKKVNCKPKNQDE QEIPFRLREIMRSRQEMKKTLSNKKRKKEGGFQEDVGKGSEGGGARHRCPQ >ENSMUSP00000135801.1 pep:known chromosome:GRCm38:11:120458147:120462416:1 gene:ENSMUSG00000049957.14 transcript:ENSMUST00000176120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc137 description:coiled-coil domain containing 137 [Source:MGI Symbol;Acc:MGI:1914541] XARPGNIALVTARSAGLRGSGQPQGRRQQQAQGQQRSASLPGQRSKEKKKVNCKPKNQDE QEIPFRLREIMRSRQEMKKTLSNKKRKKEAQVAFKKTLEKEAKGEEPDIAVPKFKQRKGE SDVAYVQRMEQEAQHVLFLSKNQAPRQPEVQAAPKKEKSERKKAFQKRRLEKAQRKREAR AVDRLEQELLKAWQEITNAEEDAVGSWWRVPGSSHLTGPPADPGGRAGTGCAGLQSPEEA A >ENSMUSP00000095739.1 pep:known chromosome:GRCm38:7:107209445:107210916:1 gene:ENSMUSG00000073894.1 transcript:ENSMUST00000098135.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbmxl2 description:RNA binding motif protein, X-linked-like 2 [Source:MGI Symbol;Acc:MGI:1923822] MVEADRPGKLFIGGLNLETDEKGLETAFGKYGRIIEVLLMKDRETSKSRGFAFVTFENPA DAKAAARDMNGKSLDGKAIKVAQATKPVFESGRRGPPPPRSRGRPRGLRGTRGGGPRRPP SRGGSADEGGYTGDFDLRPSRAPMPLKRGPPPRRAGPPPKRAAPSGPARSGAGIRGRAAG SRGRDGYGGPPRRELPPPRRDPYLGPRDEGYSPRESYSSRDYPSARDPRDFAPSPRDYTY RDYGHSSARDECPSRGYCERDGYGGRERDYEHPSGGSYRDPFDSYGDPRGAGPARGPPPS YGGGRYDEYRGCSPDGYGGRDSYRSERYSSGRERVGRPERGLPPSVERNCPAPRDSYSRS GRRAPPRGGGRVGSRLERGGGRSRY >ENSMUSP00000123539.1 pep:known chromosome:GRCm38:12:32173473:32208611:-1 gene:ENSMUSG00000020573.16 transcript:ENSMUST00000156904.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pik3cg description:phosphoinositide-3-kinase, catalytic, gamma polypeptide [Source:MGI Symbol;Acc:MGI:1353576] MELENYEQPVVLREDNLRRRRRMKPRSAAGSLSSMELIPIEFVLPTSQRISKTPETALLH VAGHGNVEQMKAQVWLRALETSVAAEFYHRLGPDQFLLLYQKKGQWYEIYDRYQVVQTLD CLHYWKLMHKSPGQIHVVQRHVPSEETLAFQKQLTSLIGYDVTDISNVHDDELEFTRRRL VTPRMAEVAGRDAKLYAMHPWVTSKPLPDYLSKKIANNCIFIVIHRGTTSQTIKVSADDT PGTILQSFFTKMAKKKSLMNISESQSEQDFVLRVCGRDEYLVGETPLKNFQWVRQCLKNG DEIHLVLDTPPDPALDEVRKEEWPLVDDCTGVTGYHEQLTIHGKDHESVFTVSLWDCDRK FRVKIRGIDIPVLPRNTDLTVFVEANIQHGQQVLCQRRTSPKPFAEEVLWNVWLEFGIKI KDLPKGALLNLQIYCCKTPSLSSKASAETPGSESKGKAQLLYYVNLLLIDHRFLLRHGDY VLHMWQISGKAEEQGSFNADKLTSATNPDKENSMSISILLDNYCHPIALPKHRPTPDPEG DRVRAEMPNQLRKQLEAIIATDPLNPLTAEDKELLWHFRYESLKHPKAYPKLFSSVKWGQ QEIVAKTYQLLARREIWDQSALDVGLTMQLLDCNFSDENVRAIAVQKLESLEDDDVLHYL LQLVQAVKFEPYHDSALARFLLKRGLRNKRIGHFLFWFLRSEIAQSRHYQQRFAVILEAY LRGCGTAMLQDFTQQVHVIEMLQKVTIDIKSLSAEKYDVSSQVISQLKQKLESLQNSNLP ESFRVPYDPGLKAGTLVIEKCKVMASKKKPLWLEFKCADPTVLSNETIGIIFKHGDDLRQ DMLILQILRIMESIWETESLDLCLLPYGCISTGDKIGMIEIVKDATTIAQIQQSTVGNTG AFKDEVLNHWLKEKCPIEEKFQAAVERFVYSCAGYCVATFVLGIGDRHNDNIMISETGNL FHIDFGHILGNYKSFLGINKERVPFVLTPDFLFVMGSSGKKTSPHFQKFQDVCVRAYLAL RHHTNLLIILFSMMLMTGMPQLTSKEDIEYIRDALTVGKSEEDAKKYFLDQIEVCRDKGW TVQFNWFLHLVLGIKQGEKHSA >ENSMUSP00000062864.7 pep:known chromosome:GRCm38:12:32174170:32208659:-1 gene:ENSMUSG00000020573.16 transcript:ENSMUST00000053215.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cg description:phosphoinositide-3-kinase, catalytic, gamma polypeptide [Source:MGI Symbol;Acc:MGI:1353576] MELENYEQPVVLREDNLRRRRRMKPRSAAGSLSSMELIPIEFVLPTSQRISKTPETALLH VAGHGNVEQMKAQVWLRALETSVAAEFYHRLGPDQFLLLYQKKGQWYEIYDRYQVVQTLD CLHYWKLMHKSPGQIHVVQRHVPSEETLAFQKQLTSLIGYDVTDISNVHDDELEFTRRRL VTPRMAEVAGRDAKLYAMHPWVTSKPLPDYLSKKIANNCIFIVIHRGTTSQTIKVSADDT PGTILQSFFTKMAKKKSLMNISESQSEQDFVLRVCGRDEYLVGETPLKNFQWVRQCLKNG DEIHLVLDTPPDPALDEVRKEEWPLVDDCTGVTGYHEQLTIHGKDHESVFTVSLWDCDRK FRVKIRGIDIPVLPRNTDLTVFVEANIQHGQQVLCQRRTSPKPFAEEVLWNVWLEFGIKI KDLPKGALLNLQIYCCKTPSLSSKASAETPGSESKGKAQLLYYVNLLLIDHRFLLRHGDY VLHMWQISGKAEEQGSFNADKLTSATNPDKENSMSISILLDNYCHPIALPKHRPTPDPEG DRVRAEMPNQLRKQLEAIIATDPLNPLTAEDKELLWHFRYESLKHPKAYPKLFSSVKWGQ QEIVAKTYQLLARREIWDQSALDVGLTMQLLDCNFSDENVRAIAVQKLESLEDDDVLHYL LQLVQAVKFEPYHDSALARFLLKRGLRNKRIGHFLFWFLRSEIAQSRHYQQRFAVILEAY LRGCGTAMLQDFTQQVHVIEMLQKVTIDIKSLSAEKYDVSSQVISQLKQKLESLQNSNLP ESFRVPYDPGLKAGTLVIEKCKVMASKKKPLWLEFKCADPTVLSNETIGIIFKHGDDLRQ DMLILQILRIMESIWETESLDLCLLPYGCISTGDKIGMIEIVKDATTIAQIQQSTVGNTG AFKDEVLNHWLKEKCPIEEKFQAAVERFVYSCAGYCVATFVLGIGDRHNDNIMISETGNL FHIDFGHILGNYKSFLGINKERVPFVLTPDFLFVMGSSGKKTSPHFQKFQDVCVRAYLAL RHHTNLLIILFSMMLMTGMPQLTSKEDIEYIRDALTVGKSEEDAKKYFLDQIEVCRDKGW TVQFNWFLHLVLGIKQGEKHSA >ENSMUSP00000082596.5 pep:known chromosome:GRCm38:12:32175624:32208470:-1 gene:ENSMUSG00000020573.16 transcript:ENSMUST00000085469.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cg description:phosphoinositide-3-kinase, catalytic, gamma polypeptide [Source:MGI Symbol;Acc:MGI:1353576] MELENYEQPVVLREDNLRRRRRMKPRSAAGSLSSMELIPIEFVLPTSQRISKTPETALLH VAGHGNVEQMKAQVWLRALETSVAAEFYHRLGPDQFLLLYQKKGQWYEIYDRYQVVQTLD CLHYWKLMHKSPGQIHVVQRHVPSEETLAFQKQLTSLIGYDVTDISNVHDDELEFTRRRL VTPRMAEVAGRDAKLYAMHPWVTSKPLPDYLSKKIANNCIFIVIHRGTTSQTIKVSADDT PGTILQSFFTKMAKKKSLMNISESQSEQDFVLRVCGRDEYLVGETPLKNFQWVRQCLKNG DEIHLVLDTPPDPALDEVRKEEWPLVDDCTGVTGYHEQLTIHGKDHESVFTVSLWDCDRK FRVKIRGIDIPVLPRNTDLTVFVEANIQHGQQVLCQRRTSPKPFAEEVLWNVWLEFGIKI KDLPKGALLNLQIYCCKTPSLSSKASAETPGSESKGKAQLLYYVNLLLIDHRFLLRHGDY VLHMWQISGKAEEQGSFNADKLTSATNPDKENSMSISILLDNYCHPIALPKHRPTPDPEG DRVRAEMPNQLRKQLEAIIATDPLNPLTAEDKELLWHFRYESLKHPKAYPKLFSSVKWGQ QEIVAKTYQLLARREIWDQSALDVGLTMQLLDCNFSDENVRAIAVQKLESLEDDDVLHYL LQLVQAVKFEPYHDSALARFLLKRGLRNKRIGHFLFWFLRSEIAQSRHYQQRFAVILEAY LRGCGTAMLQDFTQQVHVIEMLQKVTIDIKSLSAEKYDVSSQVISQLKQKLESLQNSNLP ESFRVPYDPGLKAGTLVIEKCKVMASKKKPLWLEFKCADPTVLSNETIGIIFKHGDDLRQ DMLILQILRIMESIWETESLDLCLLPYGCISTGDKIGMIEIVKDATTIAQIQQSTVGNTG AFKDEVLNHWLKEKCPIEEKFQAAVERFVYSCAGYCVATFVLGIGDRHNDNIMISETGNL FHIDFGHILGNYKSFLGINKERVPFVLTPDFLFVMGSSGKKTSPHFQKFQDVCVRAYLAL RHHTNLLIILFSMMLMTGMPQLTSKEDIEYIRDALTVGKSEEDAKKYFLDQIEVCRDKGW TVQFNWFLHLVLGIKQGEKHSA >ENSMUSP00000087477.6 pep:known chromosome:GRCm38:16:20535478:20544056:1 gene:ENSMUSG00000022841.14 transcript:ENSMUST00000090023.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2m1 description:adaptor-related protein complex 2, mu 1 subunit [Source:MGI Symbol;Acc:MGI:1298405] MIGGLFIYNHKGEVLISRVYRDDIGRNAVDAFRVNVIHARQQVRSPVTNIARTSFFHVKR SNIWLAAVTKQNVNAAMVFEFLYKMCDVMAAYFGKISEENIKNNFVLIYELLDEILDFGY PQNSETGALKTFITQQGIKSQTKEEQSQITSQVTGQIGWRREGIKYRRNELFLDVLESVN LLMSPQGQVLSAHVSGRVVMKSYLSGMPECKFGMNDKIVIEKQGKGTADETSKSGKQSIA IDDCTFHQCVRLSKFDSERSISFIPPDGEFELMRYRTTKDIILPFRVIPLVREVGRTKLE VKVVIKSNFKPSLLAQKIEVRIPTPLNTSGVQVICMKGKAKYKASENAIVWKIKRMAGMK ESQISAEIELLPTNDKKKWARPPISMNFEVPFAPSGLKVRYLKVFEPKLNYSDHDVIKWV RYIGRSGIYETRC >ENSMUSP00000007216.8 pep:known chromosome:GRCm38:16:20535480:20544909:1 gene:ENSMUSG00000022841.14 transcript:ENSMUST00000007216.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2m1 description:adaptor-related protein complex 2, mu 1 subunit [Source:MGI Symbol;Acc:MGI:1298405] MIGGLFIYNHKGEVLISRVYRDDIGRNAVDAFRVNVIHARQQVRSPVTNIARTSFFHVKR SNIWLAAVTKQNVNAAMVFEFLYKMCDVMAAYFGKISEENIKNNFVLIYELLDEILDFGY PQNSETGALKTFITQQGIKSQHQTKEEQSQITSQVTGQIGWRREGIKYRRNELFLDVLES VNLLMSPQGQVLSAHVSGRVVMKSYLSGMPECKFGMNDKIVIEKQGKGTADETSKSGKQS IAIDDCTFHQCVRLSKFDSERSISFIPPDGEFELMRYRTTKDIILPFRVIPLVREVGRTK LEVKVVIKSNFKPSLLAQKIEVRIPTPLNTSGVQVICMKGKAKYKASENAIVWKIKRMAG MKESQISAEIELLPTNDKKKWARPPISMNFEVPFAPSGLKVRYLKVFEPKLNYSDHDVIK WVRYIGRSGIYETRC >ENSMUSP00000097366.1 pep:known chromosome:GRCm38:2:89482189:89483133:-1 gene:ENSMUSG00000075086.1 transcript:ENSMUST00000099778.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1241 description:olfactory receptor 1241 [Source:MGI Symbol;Acc:MGI:3031075] MGQRSNVTEFILLGLTQDHVGQRALFVMFLLIYIVTIVGNLLIVGTVIASPSLGTPMYFF LAYLSLLDAVYSTAISPKLMVDLLCDRKTISFSACMTQLFLEHLLGGAEVFLLMVMAYDR YVAICKPLHYLIIMNRRVCFLLLVVSWAGGLAHSVAQLLFVYNLPFCGPNVIDHFICDMY PLLGLACTDTHIIGLTVVANGGAICMLVFIILIISYGIILRSLKTHSQEGRQKALSTCSS HIMVVVLFFVPCIFMYVRPVHNFPIDKSITVFYTIVTPILNPLIYALRNSEMKRSMENLL YKVFPRDKITMSFH >ENSMUSP00000061900.7 pep:known chromosome:GRCm38:1:173630917:173647928:1 gene:ENSMUSG00000043263.13 transcript:ENSMUST00000056071.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pyhin1 description:pyrin and HIN domain family, member 1 [Source:MGI Symbol;Acc:MGI:2138243] MVNEYKRIVLLTGLMGINDHDFRMVKSLLSKELKLNRMQDQYDRVKIADLMEDKFPKDAG VDQLIKLYKQIPGLGDIANKLKNEKAKAKRTRTGKRKTAAKRQRQEEPSTSQPMSTTNED AEPESGRSTPDTQVAQLSLPTASRRNQAIQISPTIASSSGQTSSRSSETLQSIIQSPKTP KRPSSSILDPPVSSGTASSSAQALGVPLATIAKRQRLKNVPKEPSEENGHQQGSKKVMVL KVTEPFSYDVTEEKMFHATVATETEFFRVKVFDIVLKEKFIPNKVLTISNYVGCNGFINI YSASSVSEVNDGEPMNIPLSLRKSANRTPKINYLCSKKRGIFVNGVFTVCKKEEKWNYIC YEIGDDTGMMEVEVYGRLTNIACNPGDKLRLICFKLIPDEEKAQLRSTTHSNMQVIKAKN >ENSMUSP00000142161.1 pep:known chromosome:GRCm38:1:173631025:173646083:1 gene:ENSMUSG00000043263.13 transcript:ENSMUST00000193727.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pyhin1 description:pyrin and HIN domain family, member 1 [Source:MGI Symbol;Acc:MGI:2138243] MVNEYKRIVLLTGLMGINDHDFRMVKSLLSKELKLNRMQDQYDRVKIADLMEDKFPKDAG VDQLIKLYKQIPGLGDIANKLKNEKAKAKRTRTGKRKTAAKRQRQEEPSTSQPMSTTNED AEPESGRSTPDTQVAQLSLPTASRRNQAIQISPTIASSSGQTSSRSSETLQSIIQSPKTP KRPSSSILDPPVSSGTASSSAQALGVPLATIAK >ENSMUSP00000122868.1 pep:known chromosome:GRCm38:12:71170589:71243299:1 gene:ENSMUSG00000034601.16 transcript:ENSMUST00000129376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700049A03Rik description:RIKEN cDNA 2700049A03 gene [Source:MGI Symbol;Acc:MGI:1924217] XVTTSIPPASRKGNAGVKKPNVAIVEMKSEKKDPPQLSVQILPSVDIDSVSYSSTDGASS PPSPKEASLPPLHTWIQTPDFMKVDEEEVPLPGTNFDEVIDVIQEEEKRDEIPECSAPML EFNRSVKVVPTKYNGPSFPPVVSAYHPTTDILDKVIERKETLENSLIQWVEQEIMSRIIS GLFPLQQQARLDASVSVSEASEPSASDIVAGTSSGALQRMVDARVPVNSDMVSHFVNEAL TETIAVMLADREAERQRAAATSVPGDLSGTETNLLARVCAPVATPQPTPPCSPSPVREHV RVKTPDSSPCESDPDAASSIKEIRVEKGSDMPAVMLVSTPTRTPVATPPPAAALTPTLSE TSIDKLKLSSPELPKPWDSGDLPLDEENPNSLQELPHPRAVVMSVANEEPESVDFSAQPA PPEPAPSAPLPEGTKAPSLQRVPSSGSSTLENTLSTVTETETLDRHISEGEILFSCGQNL ATKRPGDLFLMNINDSLSSTLQDALEMEDDPPSEGQVIRRPHKKRHEDAIVALLTKQQRE LLVSQQEEDLDNSVGELSEGQRLVLKAAEDISAGPSGQMLPPTSPAEPSYQHADPRLVLQ QSDMASGGPADLLLIAHVSPARMSVTLPSANLEDCSQSLSTSSMHGGTESSGTDTF >ENSMUSP00000114210.1 pep:known chromosome:GRCm38:12:71150434:71154887:1 gene:ENSMUSG00000034601.16 transcript:ENSMUST00000134748.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2700049A03Rik description:RIKEN cDNA 2700049A03 gene [Source:MGI Symbol;Acc:MGI:1924217] XKLQQQQIDIQSFSVSPWLSWNLLFRPGWP >ENSMUSP00000118956.1 pep:known chromosome:GRCm38:12:71136848:71243303:1 gene:ENSMUSG00000034601.16 transcript:ENSMUST00000149564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700049A03Rik description:RIKEN cDNA 2700049A03 gene [Source:MGI Symbol;Acc:MGI:1924217] MKNVEFSLERGQRLKMPARKLREIVSPNQGNKLAVVEDELPRVPPALAANKRLAVETRTS SNGTLCGSLDLTSARLYHQPLLESPPASKKSDFSKDAVVRQLPLNKTEENNAPKANDIFI SQYTMGQKDALRTVLKQKAQSMPVFKAVKVHLFEDTSTEKNTVAQETETPPNRIDSATTV AAATAAAIATAAPLIKVQSDLEAKVNCVGELLTKLQETDKQLQRVTEHQASVQSKQEKVH CHDHDKQMNAFMEQHIRHLEKLQQQQIDIQTHFIDAALKASSLQLGMSTSRAVGKYSGKL GSPSVGSSVFSHNTFVSKRVPLSEDTDFDGQKSPLETPAPRRFAPVPVSRDGKITKRESP TEEKENMEMNSPKGNVRLLEQVLNSNECLTRKTESSDITSLTQPKMGWNLEKRDSTETLH SQIFPSSEERGTAQVPVPKYNDVVHDLGQKKQASDMLQIKQSPVTLRLSDHPHNPALLQT TNTRSVLKDAAKILRGVQNNKKVLEENLEAIVRAKDGAAMYSFINALATNREMSEKIRIR KQVDEWIKIISAEIQDELMRKDYEQKRFDPKNQRNKKALTMSRDIKANNQEKTVNRSVIP RSHYQKQTQEQFTSPPVRNLPASGPQKERSGLLKSATTLQDEDYMLQIYGKPVYQGHRST LKKGPYLRFSSPSPKAKPQRPRVIELVKGTKVKSAKTQTDFHAASRMKMDSKIQHPITAL PHADQQYMVSPSREMPTVSGTLEGHLIPMAILLGQTQSNSDSMPPAGVTVNKPRPVTVTT SIPPASRKGNAGVKKPNVAIVEMKSEKKDPPQLSVQILPSVDIDSVSYSSTDGASSPPSP KEASLPPLHTWIQTPDFMKVDEEEVPLPGTNFDEVIDVIQEEEKRDEIPECSAPMLEFNR SVKVVPTKYNGPSFPPVVSAYHPTTDILDKVIERKETLENSLIQWVEQEIMSRIISGLFP LQQQARLDASVSVSEASEPSASDIVAGTSSGALQRMVDARVPVNSDMVSHFVNEALTETI AVMLADREAERQRAAATSVPGDLSGTETNLLARVCAPVATPQPTPPCSPSPVREHVRVKT PDSSPCESDPDAASSIKEIRVEKGSDMPAVMLVSTPTRTPVATPPPAAALTPTLSETSID KLKLSSPELPKPWDSGDLPLDEENPNSLQELPHPRAVVMSVANEEPESVDFSAQPAPPEP APSAPLPEGTKAPSLQRVPSSGSSTLENTLSTVTETETLDRHISEGEILFSCGQNLATKR PGDLFLMNINDSLSSTLQDALEMEDDPPSEGQVIRRPHKKRHEDAIVALLTKQQRELLVS QQEEDLDNSVGELSEGQRLVLKAAEDISAGPSGQMLPPTSPAEPSYQHADPRLVLQQSDM ASGNICEDLCASHGPMSLRELELQPDSNLILPITHTTTAVSDGNLPEAAEDFSQYQQKQD SDIKQVEHKPIQRHLTSVRNKPDSTLSQHQGGPADLLLIAHVSPARMSVTLPSANLEDCS QSLSTSSMHGGTESSGTDTF >ENSMUSP00000044701.8 pep:known chromosome:GRCm38:12:71136848:71243303:1 gene:ENSMUSG00000034601.16 transcript:ENSMUST00000045907.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700049A03Rik description:RIKEN cDNA 2700049A03 gene [Source:MGI Symbol;Acc:MGI:1924217] MKNVEFSLERGQRLKMPARKLREIVSPNQGNKLAVVEDELPRVPPALAANKRLAVETRTS SNGTLCGSLDLTSARLYHQPLLESPPASKKSDFSKDAVVRQLPLNKTEENNAPKANDIFI SQYTMGQKDALRTVLKQKAQSMPVFKAVKVHLFEDTSTEKNTVAQETETPPNRIDSATTV AAATAAAIATAAPLIKVQSDLEAKVNCVGELLTKLQETDKQLQRVTEHQASVQSKQEKVH CHDHDKQMNAFMEQHIRHLEKLQQQQIDIQTHFIDAALKASSLQLGMSTSRAVGKYSGKL GSPSVGSSVFSHNTFVSKRVPLSEDTDFDGQKSPLETPAPRRFAPVPVSRDGKITKRESP TEEKENMEMNSPKGNVRLLEQVLNSNECLTRKTESSDITSLTQPKMGWNLEKRDSTETLH SQIFPSSEERGTAQVPVPKYNDVVHDLGQKKQASDMLQIKQSPVTLRLSDHPHNPALLQT TNTRSVLKDAAKILRGVQNNKKVLEENLEAIVRAKDGAAMYSFINALATNREMSEKIRIR KQVDEWIKIISAEIQDELMRKDYEQKRFDPKNQRNKKALTMSRDIKANNQEKTVNRSVIP RSHYQKQTQEQFTSPPVRNLPASGPQKERSGLLKSATTLQDEDYMLQIYGKPVYQGHRST LKKGPYLRFSSPSPKAKPQRPRVIELVKGTKVKSAKTQTDFHAASRMKMDSKIQHPITAL PHADQQYMVSPSREMPTVSGTLEGHLIPMAILLGQTQSNSDSMPPAGVTVNKPRPVTVTT SIPPASRKGNAGVKKPNVAIVEMKSEKKDPPQLSVQILPSVDIDSVSYSSTDGASSPPSP KEASLPPLHTWIQTPDFMKVDEEEVPLPGTNFDEVIDVIQEEEKRDEIPECSAPMLEFNR SVKVVPTKYNGPSFPPVVSAYHPTTDILDKVIERKETLENSLIQWVEQEIMSRIISGLFP LQQQARLDASVSVSEASEPSASDIVAGTSSGALQRMVDARVPVNSDMVSHFVNEALTETI AVMLADREAERQRAAATSVPGDLSGTETNLLARVCAPVATPQPTPPCSPSPVREHVRVKT PDSSPCESDPDAASSIKEIRVEKGSDMPAVMLVSTPTRTPVATPPPAAALTPTLSETSID KLKLSSPELPKPWDSGDLPLDEENPNSLQELPHPRAVVMSVANEEPESVDFSAQPAPPEP APSAPLPEGTKAPSLQRVPSSGSSTLENTLSTVTETETLDRHISEGEILFSCGQNLATKR PGDLFLMNINDSLSSTLQDALEMEDDPPSEGQVIRRPHKKRHEDAIVALLTKQQRELLVS QQEEDLDNSVGELSEGQRLVLKAAEDISAGPSGQMLPPTSPAEPSYQHADPRLVLQQSDM ASGGPADLLLIAHVSPARMSVTLPSANLEDCSQSLSTSSMHGGTESSGTDTF >ENSMUSP00000128868.2 pep:known chromosome:GRCm38:17:24175431:24205535:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000168410.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPERFALCQP LLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGGKLGFFG TGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADRLSPFLA ARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPLCSENFL IAAVEAWGFQDPDTE >ENSMUSP00000094989.3 pep:known chromosome:GRCm38:17:24175432:24205562:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000097376.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKSVSLSKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPER FALCQPLLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGG KLGFFGTGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADR LSPFLAARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPL CSENFLIAAVEAWGFQDPDTE >ENSMUSP00000036458.7 pep:known chromosome:GRCm38:17:24176911:24205515:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000040474.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPERFALCQP LLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGGKLGFFG TGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADRLSPFLA ARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPLCSENFL IAAVEAWGFQDPDTE >ENSMUSP00000144250.1 pep:known chromosome:GRCm38:17:24178555:24205519:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000201089.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPERFALCQP LLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGGKLGFFG TGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADRLSPFLA ARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPLCSENFL IAAVEAWGFQDPDTE >ENSMUSP00000127005.2 pep:known chromosome:GRCm38:17:24178728:24205514:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000167791.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKSVSLSKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPER FALCQPLLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGG KLGFFGTGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADR LSPFLAARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPL CSENFLIAAVEAWGFQDPDTE >ENSMUSP00000143883.1 pep:known chromosome:GRCm38:17:24178774:24205531:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000201805.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKSVSLSKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPER FALCQPLLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGG KLGFFGTGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADR LSPFLAARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPL CSENFLIAAVEAWGFQDPDTE >ENSMUSP00000128001.1 pep:known chromosome:GRCm38:17:24178785:24199957:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000171189.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPERFALCQP LLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGGKLGFFG TGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADRLSPFLA ARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPLCSENFL IAAVEAWGFQDPDTE >ENSMUSP00000144575.1 pep:known chromosome:GRCm38:17:24178835:24205496:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000202925.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPERFALCQP LLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGGKLGFFG TGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADRLSPFLA ARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPLCSENFL IAAVEAWGFQDPDTE >ENSMUSP00000143949.1 pep:known chromosome:GRCm38:17:24178835:24205523:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000201301.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKSVSLSKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPER FALCQPLLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGG KLGFFGTGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADR LSPFLAARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPL CSENFLIAAVEAWGFQDPDTE >ENSMUSP00000144208.1 pep:known chromosome:GRCm38:17:24178854:24205514:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000201960.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPERFALCQP LLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGGKLGFFG TGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADRLSPFLA ARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPLCSENFL IAAVEAWGFQDPDTE >ENSMUSP00000126107.1 pep:known chromosome:GRCm38:17:24178855:24199957:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000168378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKRQFVHLAVHAENFHSEIVSVKEMRDIWSWIPERFALCQP LLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLSTDWSERTKFGGKLGFFG TGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSLISHCSSDPADRLSPFLA ARHFNLPSKTESMFMAGGNDCLIIGGGGGQALYVDGDLNRGRTGHCDTFNNQPLCSENFL IAAVEAWGFQDPDTE >ENSMUSP00000144026.1 pep:known chromosome:GRCm38:17:24179002:24205525:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000201359.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKSLCT >ENSMUSP00000144097.1 pep:known chromosome:GRCm38:17:24181530:24205482:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000201583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MRDIWSWIPERFALCQPLLLFSSLQHGYSLSRFYFQCEGHEPTLLLIKTTQKEVCGAYLS TDWSERTKFGGKLGFFGTGECFVFRLQPEVQRYEWVVIKHPELTKATSLKSSEAAGSSSL ISHCSSDPADRLSPFLAARHFNLPSKTESMFMAGGNDCLIIGGHLFPSPQTGSCLYSEGT CG >ENSMUSP00000024931.4 pep:known chromosome:GRCm38:17:24203848:24209387:-1 gene:ENSMUSG00000036473.15 transcript:ENSMUST00000024931.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d24 description:TBC1 domain family, member 24 [Source:MGI Symbol;Acc:MGI:2443456] MPTWLWGLLLTAGTLSAALSPGLPASADPCYDEAREPRSCIPGLVNAALGREVLASSTCG RSANRVCDSSDPQRAHSADLLTSAPGTASPLCWRSDLLQQAPFNVTLTVPLGKAFELVFV SLRFCSAPPTSVALLKSQDHGRSWVPLGFFSSSCTLDYGRLPAPADGPSGPGPEALCFPA PQAQPDGGGLLAFSVQDGSPQGLDLDNSPVLQDWVTATDIRIVLTRPAIQGDTRDGGVTV PYSYSATELQVGGRCKCNGHASRCLLDTHGHLVCDCQHGTEGPDCSRCKPFYCDRPWQRA TGQEAHACLACSCNGHARRCRFNMELYRLSGRRSGGVCLNCRHNTAGRHCHYCREGFYRD PGRVLSDRRACRACDCHPVGAAGKTCNQTTGQCPCKDGVTGLTCNRCAPGFQQSRSPVAP CVKTPVPGPTEESSPVEPQDCESHCRPARGSYRISLKKFCRKDYAVQVAVGARGEARGSW TRFPVAVLAVFRSGEERARRGSSALWVPTLDAACGCPRLLPGRRYLLLGGGPGAAAGSTA GRGQGLSAARGSLVLPWRDAWTRRLRRLQRRERRGRCGTA >ENSMUSP00000049204.4 pep:known chromosome:GRCm38:15:93499114:93595891:-1 gene:ENSMUSG00000036158.12 transcript:ENSMUST00000048982.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle1 description:prickle homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1916034] MPLEMEPKMSKLVFGCQRSSTSDDDSGCALEEYAWVPPGLRPEQIQLYFACLPEEKVPYV NSPGEKHRIKQLLYQLPPHDNEVRYCQSLSEEEKKELQVFSAQRKKEALGRGTIKLLSRA VMHAVCEQCGLQMNGGEVAVFASRAGPGVCWHPSCFVCFTCNELLVDLIYFYQDGKIHCG RHHAELLKPRCSACDEIIFADECTEAEGRHWHMKHFCCLECETVLGGQRYIMKDGRPFCC GCFESLYAEYCETCGEHIGVDHAQMTYDGQHWHATEACFSCAQCKASLLGCPFLPKQGQI YCSKTCSLGEDIHASDSSDSAFQSARSRDSRRSVRMGRSSRSADQCRQSLLLSPALNYKF PGLSGNADDTLSRKLDDVSLASRQGAGFANEEFWKARVEQEASEDPEEWAEHEDYMTQLL LKFGDKNLFQQQSSEVDPRASEHWIPDNMVTNKPEVKPNHQGLASKKYQSDMYWAQSQDG LGDSAYGSHPGPASSRRLQELDLDHGAAGYTHDQSQWYEDSLECLSDLKPEQSIRDSMDS LALSNITGASVDGESKPRPSLYSLQNFEEIEAEDCEKMSNMGTLNSSMLHRSAESLQSLN SGLCPEKILPEEKPAHLPVLRRSKSQSRPQQVKFSDDVIDNGSYDIEIRQPPMSERTRRR AYHFEERGSRPHHHRHRRSRKSRSDNALNLVTERKYSAKDRLRLYTPDNYEKFIQNKSAR ELQAYMQNANLYSQYAHATSDYALQNPGMNRFLGLCGEDDDSWCSSSTSSSDSEEEGYFL GQPIPQPRPQRFTYYTDDLSSPASALPTPQFTQRTTKSKKKKGHKGKNCIIS >ENSMUSP00000104878.2 pep:known chromosome:GRCm38:15:93499114:93519523:-1 gene:ENSMUSG00000036158.12 transcript:ENSMUST00000109255.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle1 description:prickle homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1916034] MPLEMEPKMSKLVFGCQRSSTSDDDSGCALEEYAWVPPGLRPEQIQLYFACLPEEKVPYV NSPGEKHRIKQLLYQLPPHDNEVRYCQSLSEEEKKELQVFSAQRKKEALGRGTIKLLSRA VMHAVCEQCGLQMNGGEVAVFASRAGPGVCWHPSCFVCFTCNELLVDLIYFYQDGKIHCG RHHAELLKPRCSACDEIIFADECTEAEGRHWHMKHFCCLECETVLGGQRYIMKDGRPFCC GCFESLYAEYCETCGEHIGVDHAQMTYDGQHWHATEACFSCAQCKASLLGCPFLPKQGQI YCSKTCSLGEDIHASDSSDSAFQSARSRDSRRSVRMGRSSRSADQCRQSLLLSPALNYKF PGLSGNADDTLSRKLDDVSLASRQGAGFANEEFWKARVEQEASEDPEEWAEHEDYMTQLL LKFGDKNLFQQQSSEVDPRASEHWIPDNMVTNKPEVKPNHQGLASKKYQSDMYWAQSQDG LGDSAYGSHPGPASSRRLQELDLDHGAAGYTHDQSQWYEDSLECLSDLKPEQSIRDSMDS LALSNITGASVDGESKPRPSLYSLQNFEEIEAEDCEKMSNMGTLNSSMLHRSAESLQSLN SGLCPEKILPEEKPAHLPVLRRSKSQSRPQQVKFSDDVIDNGSYDIEIRQPPMSERTRRR AYHFEERGSRPHHHRHRRSRKSRSDNALNLVTERKYSAKDRLRLYTPDNYEKFIQNKSAR ELQAYMQNANLYSQYAHATSDYALQNPGMNRFLGLCGEDDDSWCSSSTSSSDSEEEGYFL GQPIPQPRPQRFTYYTDDLSSPASALPTPQFTQRTTKSKKKKGHKGKNCIIS >ENSMUSP00000001127.4 pep:known chromosome:GRCm38:11:78512193:78522736:1 gene:ENSMUSG00000001100.10 transcript:ENSMUST00000001127.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poldip2 description:polymerase (DNA-directed), delta interacting protein 2 [Source:MGI Symbol;Acc:MGI:1915061] MAGCVARRALAVGSRWWSRSLATTRGSRPLCAVGGAGGLPPVATATTRRHLSSRNRAEGK VLETVGVFEVPKQNGKYETGQLFLHSVFGYRGVVLFPWQARLYDRDVASATPEKAENPAG HGSKEVKGKTHTYYQVLIDARDCPHISQRSQTEAVTFLANHDDSRALYAIPGLDYVSHED ILPYTSTDQVPIQHELFERFLLYDQTKAPPFVARETLRAWQEKNHPWLELSDVHRETTEN IRVTVIPFYMGMREAQNSHVYWWRYCIRLENLDSDVVQLRERHWRIFSLSGTLETVRGRG VVGREPVLSKEQPAFQYSSHVSLQASSGHMWGTFRFERPDGSHFDVRIPPFSLESNKDEK TPPSGLHW >ENSMUSP00000127708.1 pep:known chromosome:GRCm38:11:78512416:78522171:1 gene:ENSMUSG00000001100.10 transcript:ENSMUST00000133601.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Poldip2 description:polymerase (DNA-directed), delta interacting protein 2 [Source:MGI Symbol;Acc:MGI:1915061] XAGGLPPVATATTRRHLSSRNRAEGKVLETVGVFEVPKQNGKYETGQLFLHSVFGYRGVV LFPWQARLYDRDVASATPEKAENPAGHGSKEVKGKTHTYYQVLIDARDCPHISQRSQTEA VTFLANHDDSRALYAIPGLDYVSHEDILPYTSTDQVPIQHELFERFLLYDQTKAPPFVAR ETLRAWQEKNHPWLELSDVHRETTENIRVTVIPFYMGMREAQNSHVYWWRYCIRLENLDS DVVQLRERHWRIFSLSGTLETVRGRGVVGRVSILGPLWPRCWSC >ENSMUSP00000115829.1 pep:known chromosome:GRCm38:X:74393290:74433993:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000144947.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRCLEENQE LRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDLRSQREQ ALKELE >ENSMUSP00000116875.1 pep:known chromosome:GRCm38:X:74393327:74439888:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000130802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRCLEENQE LRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDLRSQREQ ALKELEQLKKCQQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQALEGRIRAVSEQ VRQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLA >ENSMUSP00000116615.1 pep:known chromosome:GRCm38:X:74424534:74439950:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000135165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRCLEENQE LRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDLRSQREQ ALKELEQLKKCQQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQALEGRIRAVSEQ VRQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLAQLQAAYHQLFQD YDSHIKSSK >ENSMUSP00000109763.1 pep:known chromosome:GRCm38:X:74424604:74448747:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000114128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRCLEENQE LRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDLRSQREQ ALKELEQLKKCQQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQALEGRIRAVSEQ VRQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLAQLQAAYHQLFQD YDSHIKSSKGMQLEDLRQQLQQAEEALVAKQELIDKLKEEAEQHKIVMETVPVLKAQADI YKADFQAERHAREKLVEKKEYLQEQLEQLQREFNKLKVGCHESARIEDMRKRHVETPQPP LLPAPAHHSFHLALSNQRRSPPEEPPDFCCPKCQYQAPDMDTLQIHVMECIE >ENSMUSP00000109768.2 pep:known chromosome:GRCm38:X:74424612:74453854:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000114133.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRCLEENQE LRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDLRSQREQ ALKELEQLKKCQQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQALEGRIRAVSEQ VRQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLAQLQAAYHQLFQD YDSHIKSSKGMQLEDLRQQLQQAEEALVAKQELIDKLKEEAEQHKIVMETVPVLKAQADI YKADFQAERHAREKLVEKKEYLQEQLEQLQREFNKLKVGCHESARIEDMRKRHVETPQPP LLPAPAHHSFHLALSNQRRSPPEEPPDFCCPKCQYQAPDMDTLQIHVMECIE >ENSMUSP00000109764.2 pep:known chromosome:GRCm38:X:74424633:74449106:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000114129.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRCLEENQE LRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDLRSQREQ ALKELEQLKKCQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQALEGRIRAVSEQV RQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLAQLQAAYHQLFQDY DSHIKSSKGMQLEDLRQQLQQAEEALVAKQELIDKLKEEAEQHKIVMETVPVLKAQADIY KADFQAERHAREKLVEKKEYLQEQLEQLQREFNKLKVGCHESARIEDMRKRHVETPQPPL LPAPAHHSFHLALSNQRRSPPEEPPDFCCPKCQYQAPDMDTLQIHVMECIE >ENSMUSP00000115706.1 pep:known chromosome:GRCm38:X:74424638:74432868:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000132749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQP >ENSMUSP00000118884.1 pep:known chromosome:GRCm38:X:74427902:74437170:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000138564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MTLSCWMNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRC LEENQELRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDL RSQREQALKELEQLKKCQQQM >ENSMUSP00000120080.1 pep:known chromosome:GRCm38:X:74429281:74443357:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000149525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MRCLENGTLSCWMNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTP ETLQRCLEENQELRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLS LEKLDLRSQREQALKELEQLKKCQQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQ ALEGRIRAVSEQVRQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLA QLQAAYHQLFQDYDSHIKSSKGMQL >ENSMUSP00000109762.1 pep:known chromosome:GRCm38:X:74429671:74450286:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000114127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRCLEENQE LRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDLRSQREQ ALKELEQLKKCQQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQALEGRIRAVSEQ VRQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLAQLQAAYHQLFQD YDSHIKSSKGMQLEDLRQQLQQAEEALVAKQELIDKLKEEAEQHKIVMETVPVLKAQADI YKADFQAERHAREKLVEKKEYLQEQLEQLQREFNKLKVGCHESARIEDMRKRHVETPQPP LLPAPAHHSFHLALSNQRRSPPEEPPDFCCPKCQYQAPDMDTLQIHVMECIE >ENSMUSP00000064017.3 pep:known chromosome:GRCm38:X:74429704:74451520:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000064407.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRCLEENQE LRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDLRSQREQ ALKELEQLKKCQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQALEGRIRAVSEQV RQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLAQLQAAYHQLFQDY DSHIKSSKGMQLEDLRQQLQQAEEALVAKQELIDKLKEEAEQHKIVMETVPVLKAQADIY KADFQAERHAREKLVEKKEYLQEQLEQLQREFNKLKVGCHESARIEDMRKRHVETPQPPL LPAPAHHSFHLALSNQRRSPPEEPPDFCCPKCQYQAPDMDTLQIHVMECIE >ENSMUSP00000116230.1 pep:known chromosome:GRCm38:X:74429763:74443854:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000156707.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MAEDKASVKAQVTSLLGELQESQSRLEAATKDRQALEGRIRAVSEQVRQLESEREVLQQQ HSVQVDQLRMQNQSVEAALRMERQAASEEKRKLAQLQAAYHQLFQDYDSHIKSSKGMQLE DLRQQLQQAEEALVAKQELIDKLKEEAEQHKIVMETVPVLKAQADIYKADFQAERHAREK LVEKKEYLQE >ENSMUSP00000004330.3 pep:known chromosome:GRCm38:X:74424612:74453778:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000004330.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MYIRYCCDDQDTQTLSCWMNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLP SEQGTPETLQRCLEENQELRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARK LVERLSLEKLDLRSQREQALKELEQLKKCQQQMAEDKASVKAQVTSLLGELQESQSRLEA ATKDRQALEGRIRAVSEQVRQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASE EKRKLAQLQAAYHQLFQDYDSHIKSSKGMQLEDLRQQLQQAEEALVAKQELIDKLKEEAE QHKIVMETVPVLKAQADIYKADFQAERHAREKLVEKKEYLQEQLEQLQREFNKLKVGCHE SARIEDMRKRHVETPQPPLLPAPAHHSFHLALSNQRRSPPEEPPDFCCPKCQYQAPDMDT LQIHVMECIE >ENSMUSP00000126770.1 pep:known chromosome:GRCm38:X:74393291:74453778:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000164101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRCLEENQE LRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDLRSQREQ ALKELEQLKKCQQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQALEGRIRAVSEQ VRQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLAQLQAAYHQLFQD YDSHIKSSKGMQLEDLRQQLQQAEEALVAKQELIDKLKEEAEQHKIVMETVPVLKAQADI YKADFQAERHAREKLVEKKEYLQEQLEQLQREFNKLKVGCHESARIEDMRKRHVETPQPP LLPAPAHHSFHLALSNQRRSPPEEPPDFCCPKCQYQAPDMDTLQIHVMECIE >ENSMUSP00000109765.2 pep:known chromosome:GRCm38:X:74429315:74453778:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000114130.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MRCLENGTLSCWMNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTP ETLQRCLEENQELRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLS LEKLDLRSQREQALKELEQLKKCQQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQ ALEGRIRAVSEQVRQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLA QLQAAYHQLFQDYDSHIKSSKGMQLEDLRQQLQQAEEALVAKQELIDKLKEEAEQHKIVM ETVPVLKAQADIYKADFQAERHAREKLVEKKEYLQEQLEQLQREFNKLKVGCHESARIED MRKRHVETPQPPLLPAPAHHSFHLALSNQRRSPPEEPPDFCCPKCQYQAPDMDTLQIHVM ECIE >ENSMUSP00000136573.1 pep:known chromosome:GRCm38:X:74393291:74453778:1 gene:ENSMUSG00000004221.16 transcript:ENSMUST00000180230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkg description:inhibitor of kappaB kinase gamma [Source:MGI Symbol;Acc:MGI:1338074] MNKHPWKNQLSEMVQPSGGPAEDQDMLGEESSLGKPAMLHLPSEQGTPETLQRCLEENQE LRDAIRQSNQMLRERCEELLHFQVSQREEKEFLMCKFQEARKLVERLSLEKLDLRSQREQ ALKELEQLKKCQQMAEDKASVKAQVTSLLGELQESQSRLEAATKDRQALEGRIRAVSEQV RQLESEREVLQQQHSVQVDQLRMQNQSVEAALRMERQAASEEKRKLAQLQAAYHQLFQDY DSHIKSSKGMQLEDLRQQLQQAEEALVAKQELIDKLKEEAEQHKIVMETVPVLKAQADIY KADFQAERHAREKLVEKKEYLQEQLEQLQREFNKLKVGCHESARIEDMRKRHVETPQPPL LPAPAHHSFHLALSNQRRSPPEEPPDFCCPKCQYQAPDMDTLQIHVMECIE >ENSMUSP00000078351.2 pep:known chromosome:GRCm38:6:122990367:123024105:1 gene:ENSMUSG00000059639.2 transcript:ENSMUST00000079379.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4a4 description:C-type lectin domain family 4, member a4 [Source:MGI Symbol;Acc:MGI:3624119] MASEITYAEVRITNESDSLDTYSKCPAAPREKPIRDLRKPGSPSLLLTSLMLLLLLLAIT FLVAFIIYFQKYSQLLEEKEAAKNIMYKELNCIKNGSLMEDKVWSCCPKDWKPFVSHCYF ILNDSKASWNESEEKCSHMGAHLVVIHSQAEQDFITSNLNTSAGYFIGLLDAGQRQWRWI DQTPYNKSATFWHKGEPNQDWERCVIINHKTTGWGWNDIPCKDEHNSVCQVKKIYL >ENSMUSP00000022458.4 pep:known chromosome:GRCm38:14:31251450:31259944:1 gene:ENSMUSG00000021901.10 transcript:ENSMUST00000022458.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bap1 description:Brca1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1206586] MNKGWLELESDPGLFTLLVEDFGVKGVQVEEIYDLQSKCQGPVYGFIFLFKWIEERRSRR KVSTLVDDTSVIDDDIVNNMFFAHQLIPNSCATHALLSVLLNCSNVDLGPTLSRMKDFTK GFSPESKGYAIGNAPELAKAHNSHARPEPRHLPEKQNGLSAVRTMEAFHFVSYVPITGRL FELDGLKVYPIDHGPWGEDEEWTDKARRVIMERIGLATAGEPYHDIRFNLMAVVPDRRIK YETRLHVLKVNRQTVLEALQQLIRVTQPELIQTHKSQESQLPEESKPASSKSPLGLEAGR TPVASECTQTDGAEEVAGSCPQTTTHSPPSKCKLVVKPPGSSLNGVPPNPAPIVQRLPAF LDNHNYAKSPMQEEEDLAAGVGRSRVPVRAPQQYSEDEDDYEDEDEDVQNTNPAIRYKRK GTGKPGSLSNSSDGQLSVLQPNTINVLTEKLQESQKDLSVPLSIKTSSGAGSPAVAVPTH SQPSPTPSNESTDTASEIGSAFNSPLRSPIRSANPTRPSSPVTSHISKVLFGEDDSLLRV DCIRYNRAVRDLGPVISTGLLHLAEDGVLSPLALTEGGKGSSPSTRSSQGSQGSSGLEEK EVVEVTESRDKPGLNRSSEPLSGEKYSPKELLALLKCVEAEIANYEACLKEEVEKRKKFK IDDQRRTHNYDEFICTFISMLAQEGMLANLVEQNISVRRRQGVSIGRLHKQRKPDRRKRS RPYKAKRQ >ENSMUSP00000139824.1 pep:known chromosome:GRCm38:14:31251580:31255137:1 gene:ENSMUSG00000021901.10 transcript:ENSMUST00000188453.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bap1 description:Brca1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1206586] MNKGWLELESDPGLFTLLVEDFGVKGVQVEEIYDLQSKCQGPVYGFIFLFKWIEERRSRR KVSTLVDDTSVIDDDIVNNMFFAHQLIPNSCATHALLSVLLNCSNVDLGPTLSRMKDFTK GFSPEVRCSTLDHAYRWLGTSDPQSY >ENSMUSP00000139903.1 pep:known chromosome:GRCm38:14:31256269:31258524:1 gene:ENSMUSG00000021901.10 transcript:ENSMUST00000187156.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bap1 description:Brca1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1206586] HNYAKSPMQEEEDLAAGVGRSRVPVRAPQQYSEDEDDYEDEDEDVQNTNPAIRCFLEKMT AYFVLTAYATTVLSVTWVLSLARACCTLLKMVY >ENSMUSP00000116056.2 pep:known chromosome:GRCm38:6:53815468:53820830:-1 gene:ENSMUSG00000043496.7 transcript:ENSMUST00000127748.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tril description:TLR4 interactor with leucine-rich repeats [Source:MGI Symbol;Acc:MGI:1914123] MEGVGAVRFWLVVCGCLAFPPRAESVCPERCDCQHPQHLLCTNRGLRAVPKTSSLPSPQD VLTYSLGGNFITNITAFDFHRLGQLRRLDLQYNQIRSLHPKTFEKLSRLEELYLGNNLLQ ALVPGTLAPLRKLRILYANGNEIGRLSRGSFEGLESLVKLRLDGNVLGALPDAVFAPLGN LLYLHLESNRIRFLGKNAFSQLGKLRFLNLSANELQPSLRHAATFVPLRSLSTLILSANS LQHLGPRVFQHLPRLGLLSLSGNQLTHLAPEAFWGLEALRELRLEGNRLNQLPLTLLEPL HSLEALDLSGNELSALHPATFGHQGRLRELSLRDNALSALSGDIFAASPALYRLDLDGNG WTCDCRLRGLKRWMGNWHSQGRLLTVFVQCRHPPALRGKYLDYLDDQLLQNGSCVDPSPS PTAGSRQWPLPTSSEEGMTPPAGLSQELPLQPQPQPQQRGRLLPGVAWGGAAKELVGNRS ALRLSRRGPGPHQGPSAAAPGSAPQSLDLHEKPGRGRHTRANLSQTEPTPTSEPASGTPS ARDSWQRAAKQRLASEQQESAVQSVSGVGLPPLVSDPCDFNKFILCNLTVEAVSANSASV RWAVREHRSPRPQGGARFRLLFDRFGQQPKFQRFVYLPERSDSATLHELRGDTPYLVCVE GVLGGRVCPVAPRDHCAGLVTLPEAGGRGGVDYQLLTLVLLAVNALLVLLALAAWGSRWL RRKLRARRKGGAPVHVRHMYSTRRPLRSMGTGVSADFSGFQSHRPRTTVCALSEADLIEF PCDRFMDSTGGGTSGSLRREDHLLQRFAD >ENSMUSP00000111304.1 pep:known chromosome:GRCm38:16:18248883:18253502:1 gene:ENSMUSG00000022721.18 transcript:ENSMUST00000115640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2a description:TRM2 tRNA methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96270] MSEPAAEVPEPMEDCGQDASAVPSSAAPLCQKEEAGPGPAAGPGTQPGLYSYIRDDLFTS EIFKLELQNVPRHASFSDVRRFLGRFGLQSHKIKLFGQPPCAFVTFRSAAERDKALRVLH GALWKGCPLSVRLARPKADPMARKRRQEGDSEPSVTQIADVVTPLWTVPYTEQLEQKRLE CERVLQKLAKEIGNTNRALLPWLLLQRQQHNKACCPLEGVKPSPQQTEYRNKCEFLVGVG VDGKDNTVGCRLGKYKGGTCAVAAPFDTVHIPEATKQVVKAFQEFIRSTPYSAYDPETYT GHWKQLTVRTSSRGQAMAIAYFHPQKLSSEEVAGLKASLVCHFMEGPGKASGVTSLYFVE EGQRKTPSQEGLPLEHMAGDQCIQEDLLGLTFRISPHAFFQVNTPAAEVLYTVIQEWAQL DGGSTVLDVCCGTGTIGLALAPKVKRVVGIELCQEAVEDARMNALTNELSNVEFHCGRAE DLVPGLVSRLSSHQLVAVLDPPRAGLHSKVILAIRKAENIKRLLYVSCNPRAAMGNFVDL CRAPSNRVKGTPFHPVKAVAVDLFPQTPHCEMLILFERMQQHPNGIEALEHQEFQTPRNL PDITPQETEISLS >ENSMUSP00000121216.1 pep:known chromosome:GRCm38:16:18248883:18254772:1 gene:ENSMUSG00000022721.18 transcript:ENSMUST00000140206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2a description:TRM2 tRNA methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96270] MSEPAAEVPEPMEDCGQDASAVPSSAAPLCQKEEAGPGPAAGPGTQPGLYSYIRDDLFTS EIFKLELQNVPRHASFSDVRRFLGRFGLQSHKIKLFGQPPCAFVTFRSAAERDKALRVLH GALWKGCPLSVRLARPKADPMARKRRQEGDSEPSVTQIADVVTPLWTVPYTEQLEQKRLE CERVLQKLAKEIGNTNRALLPWLLLQRQQHNKACCPLEGVKPSPQQTEYRNKCEFLVGVG VDGKDNTVGCRLGKYKGGTCAVAAPFDTVHIPEATKQVVKAFQEFIRSTPYSAYDPETYT GHWKQLTVRTSSRGQAMAIAYFHPQKLSSEEVAGLKASLVCHFMEGPGKASGVTSLYFVE EGQRKTPSQEGLPLEHMAGDQCIQEDLLGLTFRISPHAFFQVNTPAAEVLYTVIQEWAQL DGGSTVLDVCCGTGTIGLALAPKVKRVVGIELCQEAVEDARMNALTNDSKVILAIRKAEN IKRLLYVSCNPRAAMGNFVDLCRAPSNRVKGTPFHPVKAVAVDLFPQTPHCEMLILFERM QQHPNGIEALEHQEFQTPRNLPDITPQETEISLS >ENSMUSP00000111303.2 pep:known chromosome:GRCm38:16:18249062:18253502:1 gene:ENSMUSG00000022721.18 transcript:ENSMUST00000100099.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2a description:TRM2 tRNA methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96270] MWTGWAEVPEPMEDCGQDASAVPSSAAPLCQKEEAGPGPAAGPGTQPGLYSYIRDDLFTS EIFKLELQNVPRHASFSDVRRFLGRFGLQSHKIKLFGQPPCAFVTFRSAAERDKALRVLH GALWKGCPLSVRLARPKADPMARKRRQEGDSEPSVTQIADVVTPLWTVPYTEQLEQKRLE CERVLQKLAKEIGNTNRALLPWLLLQRQQHNKACCPLEGVKPSPQQTEYRNKCEFLVGVG VDGKDNTVGCRLGKYKGGTCAVAAPFDTVHIPEATKQVVKAFQEFIRSTPYSAYDPETYT GHWKQLTVRTSSRGQAMAIAYFHPQKLSSEEVAGLKASLVCHFMEGPGKASGVTSLYFVE EGQRKTPSQEGLPLEHMAGDQCIQEDLLGLTFRISPHAFFQVNTPAAEVLYTVIQEWAQL DGGSTVLDVCCGTGTIGLALAPKVKRVVGIELCQEAVEDARMNALTNELSNVEFHCGRAE DLVPGLVSRLSSHQLVAVLDPPRAGLHSKVILAIRKAENIKRLLYVSCNPRAAMGNFVDL CRAPSNRVKGTPFHPVKAVAVDLFPQTPHCEMLILFERMQQHPNGIEALEHQEFQTPRNL PDITPQETEISLS >ENSMUSP00000117026.2 pep:known chromosome:GRCm38:16:18250021:18253112:1 gene:ENSMUSG00000022721.18 transcript:ENSMUST00000145112.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2a description:TRM2 tRNA methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96270] XKLAKEIGNTNRALLPWLLLQRQQHNKACCPLEGVKPSPQQTEYRNKCEFLVGVGVDGKD NTVGCRLGKYKGGTCAVAAPFDTVHIPEATKQVVKAFQEFIRKTPSQEGLPLEHMAGDQC IQEDLLGLTFRISPHAFFQVNTPAAEVLYTVIQEWAQLDGGSTVLDVCCGTGTIGLALAP KVKRVVGIELCQEAVEDARMNALTNELSNVEFHCGRAEDLVPGLVSRLSSHQLVAVLDPP RAGLHSKVILAIRKAENIKRLLYVSCNPRAAMGNFVDLCRA >ENSMUSP00000133226.1 pep:known chromosome:GRCm38:16:18251422:18253501:1 gene:ENSMUSG00000022721.18 transcript:ENSMUST00000128045.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2a description:TRM2 tRNA methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96270] PGKASGVTSLYFVEEGQRKTPSQEGLPLEHMAGDQCIQEDLLGLTFRISPHAFFQVNTPA AEACLLQFNE >ENSMUSP00000136975.1 pep:known chromosome:GRCm38:15:36174010:36177007:1 gene:ENSMUSG00000045996.12 transcript:ENSMUST00000180159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2k description:polymerase (RNA) II (DNA directed) polypeptide K [Source:MGI Symbol;Acc:MGI:102725] MDAQKDVQPPKQQPMIYICGECHTENEIKSRDPIRCRECGYRIMYKKRTKRLVVFDAR >ENSMUSP00000051968.5 pep:known chromosome:GRCm38:15:36174033:36177010:1 gene:ENSMUSG00000045996.12 transcript:ENSMUST00000057177.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2k description:polymerase (RNA) II (DNA directed) polypeptide K [Source:MGI Symbol;Acc:MGI:102725] MILEVNLWQNQERHLALKSLWAAGRGSTLLPFERLEAEALTMDAQKDVQPPKQQPMIYIC GECHTENEIKSRDPIRCRECGYRIMYKKRTKRLVVFDAR >ENSMUSP00000075601.4 pep:known chromosome:GRCm38:7:5020376:5036768:1 gene:ENSMUSG00000074405.7 transcript:ENSMUST00000076251.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp865 description:zinc finger protein 865 [Source:MGI Symbol;Acc:MGI:2442656] MEANQAGSGAGGGGSSGIGGEDGVHFQSYPFDFLEFLNHQRFEPMELYGEHAKAVAALPC TPGPPPQPPPQPPPPQYDYPPQSSFKPKAEAPSSSSSSSSSSSSSSSSSSSSSQAKKMDP PLPPTFGAPPPPLFDAAFPAPQWGIVDLSGHQHLFGNLKRGGPTPGPGVASGLGTPTGTP GPLTTPSQTPPGPAVGAACDPTKDDKGYFRRLKYLMERRFPCGVCQKSFKQSSHLVQHML VHSGERPYECGICGRTYNHVSSLIRHRRCHKDVPPTPTGGTPQPGPALPSLGLPVSTASA TASSDPAAVSSGPSATPATPATSTDGNTTPAAPPGVAMPPSATTGGDGPFACSLCWKVFK KPSHLHQHQIIHTGEKPFSCSVCSKSFNRRESLKRHVKTHSADLLRLPCGICGKVFRDAS YLLKHQAAHAAAGTPRPVYPCDLCGKTYSAPQSLLRHKAAHAPPVATEPAKDGAASVPQP PPPFPPGPYLLPADPSTTDEKATAAAAAVVYGAVPVPLLSAHPLLLGGAGNGGAGGPGAG GPSKTFCCGICGRAFGRRETLKRHERIHTGEKPHQCPVCGKRFRESFHLSKHHVVHTRER PYKCELCGKVFGYPQSLTRHRQVHRLQLPCALAGATGLATGQGTTGACGPGAAGTSGGPA DLSYACSDCGEHFPDLFHVMSHKEAHMSEKPYGCDACGKTFGFIENLMWHKLVHQAAPER LLAPTPSGPQSSDGGSSGGGTDASSVLDNGLAGEVGTAVAALAGVSGGSEDAGGATVAGS GGGTSSGAERFSCATCGQSFKHFLGLVTHKYVHLVRRTLGCGLCGQSFAGAYDLLLHRRS HRQKRGFRCPVCGKRFWEAALLMRHQRCHTEQRPYRCGVCGRGFLRSWYLRQHRVVHTGE RAFKCGVCAKHFAQSSSLAEHRRLHAVARPQRCGACGKTFRYRSNLLEHQRLHLGERAYR CEHCGKGFFYLSSVLRHQRAHEPPRPELRCPACLKAFKDPGYFRKHLAAHQGGRPFRCSS CGEGFANTYGLKKHRLMHKAEGLGMPGTGGSALAGKDP >ENSMUSP00000082550.3 pep:known chromosome:GRCm38:7:5020570:5033138:1 gene:ENSMUSG00000074405.7 transcript:ENSMUST00000085427.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp865 description:zinc finger protein 865 [Source:MGI Symbol;Acc:MGI:2442656] MEANQAGSGAGGGGSSGIGGEDGVHFQSYPFDFLEFLNHQRFEPMELYGEHAKAVAALPC TPGPPPQPPPQPPPPQYDYPPQSSFKPKAEAPSSSSSSSSSSSSSSSSSSSSSQAKKMDP PLPPTFGAPPPPLFDAAFPAPQWGIVDLSGHQHLFGNLKRGGPTPGPGVASGLGTPTGTP GPLTTPSQTPPGPAVGAACDPTKDDKGYFRRLKYLMERRFPCGVCQKSFKQSSHLVQHML VHSGERPYECGICGRTYNHVSSLIRHRRCHKDVPPTPTGGTPQPGPALPSLGLPVSTASA TASSDPAAVSSGPSATPATPATSTDGNTTPAAPPGVAMPPSATTGGDGPFACSLCWKVFK KPSHLHQHQIIHTGEKPFSCSVCSKSFNRRESLKRHVKTHSADLLRLPCGICGKVFRDAS YLLKHQAAHAAAGTPRPVYPCDLCGKTYSAPQSLLRHKAAHAPPVATEPAKDGAASVPQP PPPFPPGPYLLPADPSTTDEKATAAAAAVVYGAVPVPLLSAHPLLLGGAGNGGAGGPGAG GPSKTFCCGICGRAFGRRETLKRHERIHTGEKPHQCPVCGKRFRESFHLSKHHVVHTRER PYKCELCGKVFGYPQSLTRHRQVHRLQLPCALAGATGLATGQGTTGACGPGAAGTSGGPA DLSYACSDCGEHFPDLFHVMSHKEAHMSEKPYGCDACGKTFGFIENLMWHKLVHQAAPER LLAPTPSGPQSSDGGSSGGGTDASSVLDNGLAGEVE >ENSMUSP00000076071.3 pep:known chromosome:GRCm38:7:5034118:5035246:1 gene:ENSMUSG00000074405.7 transcript:ENSMUST00000076791.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp865 description:zinc finger protein 865 [Source:MGI Symbol;Acc:MGI:2442656] MRKLGTLLSKYTNLLHHLIAQNFLQHNQIDISKQPKPGHSPFQIMDNYPENWNTRLTEKT YRKSFNRNRLEVPTLTLYANSQVLLAPYHSVISFFTPCTGPPRWSLANPASELPRAMQED AYFRVQNREMGNFFIDRF >ENSMUSP00000043783.4 pep:known chromosome:GRCm38:1:75272199:75278415:-1 gene:ENSMUSG00000033061.15 transcript:ENSMUST00000039534.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Resp18 description:regulated endocrine-specific protein 18 [Source:MGI Symbol;Acc:MGI:1098222] MQSSLKPAGSGHLQLLVCFLLLYSRPGSCSDINAHDGQGQVGSEQLWTFQGLIASVFQYL QLIFHQIVPEGMFWADDIAYELMTKKVEHLSRLHPQYPCRKDMKAVSPTANAGVRSKQEE KLQLLSPQKSPTVKVNRDRCFTTKVIPKATKQEATHPTKGFFGPFPTVGLNLVAD >ENSMUSP00000140605.1 pep:known chromosome:GRCm38:1:75272311:75278316:-1 gene:ENSMUSG00000033061.15 transcript:ENSMUST00000186229.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Resp18 description:regulated endocrine-specific protein 18 [Source:MGI Symbol;Acc:MGI:1098222] MQSSLKPAGSGHLQLLVCFLLLYSRPGSCSDINAHDGQGQVGSEQLWTFQGLIASVFQYL QLIFHQIVPEGMFWADDIAYELMTKKVEHLSRLHPQYPCRKDMKAVSPTANAGVRVQQSR >ENSMUSP00000083039.3 pep:known chromosome:GRCm38:1:173673680:173698392:1 gene:ENSMUSG00000066677.11 transcript:ENSMUST00000085876.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pydc3 description:pyrin domain containing 3 [Source:MGI Symbol;Acc:MGI:2442822] MTGEMVNYYKQIVLLSGLEYMNDYNFRALKSLLNHDLKLTKNMQDDYDRIKIADLMEEKF PEDAGLSKLIEVCEDIPELAARVDILRKEMEKVKNKTKIKSESSPLPLTSSLMEAWEVEP AMVTASSEESKDTIPESPDTMTTQFLEEKPKFPLLSATSTSQAEGEPLTPQRFPTTASSS LQTPLEPTEILSTILATSQGSSAPYSTCDKSSRVPPVTVSSSLQTIQTCQATSTLPCSHH TSLESPKTEPSSVQATQMTQAIKASGHNCPQVPASAVSSSFIKPQVTPAMLLSGVQTPLM PQATVPSRAQTFQLTPAKMTSGCNSPQMSAATVYSSYSNPQVTPVHSSVQILQMNLAAMT IGCNSPHVSAATVSSPYNNPWVTPATFPRNAQTLQLYPAAMAHACNSPQVSAATISSSYN NTPQVSSVTVPRSFPAMSLSPAKPLKAKIGSHLGATDQLVKDHQFEDNEMQNPQSGLGTG LSDQPRLSRTQSRTEKRRRKQEEQPLATKMKRLSLSPPQTTARRVNPMPTWGQLKKLTRE AEGLVQRTGNKLSSETMFLAMLALIAMQSSSEICNYDKGGHSGTSSEK >ENSMUSP00000128958.1 pep:known chromosome:GRCm38:1:173677686:173697467:1 gene:ENSMUSG00000066677.11 transcript:ENSMUST00000169857.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pydc3 description:pyrin domain containing 3 [Source:MGI Symbol;Acc:MGI:2442822] MTGEMVNYYKQIVLLSGLEYMNDYNFRALKSLLNHDLKLTKNMQDDYDRIKIADLMEEKF PEDAGLSKLIEVCEDIPELAARVDILRKEMEKVKNKTKIKSESSPLPLTSSLMEAWEVEP AMVTASSEESKDTIPESPDTMTTQFLEEKPKFPLLSATSTSQAEGEPLTPQRFPTTASSS LQTPLEPTEILSTILATSQGSSAPYSTCDKSSRVPPVTVSSSLQTIQTCQATSTLPCSHH TSLESPKTEPSSVQATQMTQAIKASGHNCPQVPASAVSSSFIKPQVTPAMLLSGVQTPLM PQATVPSRAQTFQLTPAKMTSGCNSPQMSAATVYSSYSNPQVTPVHSSVQILQMNLAAMT IGCNSPHVSAATVSSPYNNPWVTPATFPRNAQTLQLYPAAMAHACNSPQVSAATISSSYN NTPQVSSVTVPRSFPAMSLSPAKPLKAKIGSHLGATDQLVKDHQFEDNEMQNPQSGLGTG LSDQPRLSRTQSRTEKRRRKQEEQPLATKMKRLSLSPPQTTARRVNPMPTWGQLKKLTRE AEGLVQRTGNKLSSETMFLAMLALIAMQSSSEICNYDKGGHSGTSSEK >ENSMUSP00000109423.1 pep:known chromosome:GRCm38:X:99465734:99471273:-1 gene:ENSMUSG00000034403.16 transcript:ENSMUST00000113792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pja1 description:praja ring finger 1, E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1101765] MSHQERIASQRRTTAEVPMHRSTANQSKRSRSPFASTRRRWDDSESSGASLAVESEDYSR YPPREYRASGSRRGLAYGHIDTVVARDSEEEGAGPVDRLPVRGKAGKFKDDPEKGARSSR FTSVNHDAKEECGKVESPPAARCSARRAELSKQNGSSASQISSAEGRAAAKGNNSLERER QNLPARPSRAPVSICGGGENTPKSAEEPVVRPKVRNVATPNCMKPKVFFDTDDDDDVPHS TSRWRDAADAEEAHAEGLARRGRGEAASSSEPRYAEDQDARSEQAKADKVPRRRRTMADP DFWAYTDDYYRYYEEDSDSDKEWMAALRRKYRSREQPQSSSGESWELLPGKEELERQQAG AGSLASAGSNGSGYPEEVQDPSLQEEEQASLEEGEIPWLRYNENESSSEGDNESTHELIQ PGMFMLDGNNNLEDDSSVSEDLEVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLA QAMETALAHLESLAVDVEVANPPASKESIDALPEILVTEDHGAVGQEMCCPICCSEYVKG EVATELPCHHYFHKPCVSIWLQKSGTCPVCRCMFPPPL >ENSMUSP00000109420.1 pep:known chromosome:GRCm38:X:99466114:99470692:-1 gene:ENSMUSG00000034403.16 transcript:ENSMUST00000036354.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pja1 description:praja ring finger 1, E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1101765] MSHQERIASQRRTTAEVPMHRSTANQSKRSRSPFASTRRRWDDSESSGASLAVESEDYSR YPPREYRASGSRRGLAYGHIDTVVARDSEEEGAGPVDRLPVRGKAGKFKDDPEKGARSSR FTSVNHDAKEECGKVESPPAARCSARRAELSKQNGSSASQISSAEGRAAAKGNNSLERER QNLPARPSRAPVSICGGGENTPKSAEEPVVRPKVRNVATPNCMKPKVFFDTDDDDDVPHS TSRWRDAADAEEAHAEGLARRGRGEAASSSEPRYAEDQDARSEQAKADKVPRRRRTMADP DFWAYTDDYYRYYEEDSDSDKEWMAALRRKYRSREQPQSSSGESWELLPGKEELERQQAG AGSLASAGSNGSGYPEEVQDPSLQEEEQASLEEGEIPWLRYNENESSSEGDNESTHELIQ PGMFMLDGNNNLEDDSSVSEDLEVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLA QAMETALAHLESLAVDVEVANPPASKESIDALPEILVTEDHGAVGQEMCCPICCSEYVKG EVATELPCHHYFHKPCVSIWLQKSGTCPVCRCMFPPPL >ENSMUSP00000109428.3 pep:known chromosome:GRCm38:X:99466146:99470672:-1 gene:ENSMUSG00000034403.16 transcript:ENSMUST00000113797.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pja1 description:praja ring finger 1, E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1101765] MSHQERIASQRRTTAEVPMHRSTANQSKRSRSPFASTRRRWDDSESSGASLAVESEDYSR WRDAADAEEAHAEGLARRGRGEAASSSEPRYAEDQDARSEQAKADKVPRRRRTMADPDFW AYTDDYYRYYEEDSDSDKEWMAALRRKYRSREQPQSSSGESWELLPGKEELERQQAGAGS LASAGSNGSGYPEEVQDPSLQEEEQASLEEGEIPWLRYNENESSSEGDNESTHELIQPGM FMLDGNNNLEDDSSVSEDLEVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLAQAM ETALAHLESLAVDVEVANPPASKESIDALPEILVTEDHGAVGQEMCCPICCSEYVKGEVA TELPCHHYFHKPCVSIWLQKSGTCPVCRCMFPPPL >ENSMUSP00000109421.1 pep:known chromosome:GRCm38:X:99465738:99470687:-1 gene:ENSMUSG00000034403.16 transcript:ENSMUST00000113790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pja1 description:praja ring finger 1, E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1101765] MSHQERIASQRRTTAEVPMHRSTANQSKRSRSPFASTRRRWDDSESSGASLAVESEDYSS TSRWRDAADAEEAHAEGLARRGRGEAASSSEPRYAEDQDARSEQAKADKVPRRRRTMADP DFWAYTDDYYRYYEEDSDSDKEWMAALRRKYRSREQPQSSSGESWELLPGKEELERQQAG AGSLASAGSNGSGYPEEVQDPSLQEEEQASLEEGEIPWLRYNENESSSEGDNESTHELIQ PGMFMLDGNNNLEDDSSVSEDLEVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLA QAMETALAHLESLAVDVEVANPPASKESIDALPEILVTEDHGAVGQEMCCPICCSEYVKG EVATELPCHHYFHKPCVSIWLQKSGTCPVCRCMFPPPL >ENSMUSP00000132393.1 pep:known chromosome:GRCm38:X:99465768:99470735:-1 gene:ENSMUSG00000034403.16 transcript:ENSMUST00000167246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pja1 description:praja ring finger 1, E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1101765] MSHQERIASQRRTTAEVPMHRSTANQSKRSRSPFASTRRRWDDSESSGASLAVESEDYSR YPPREYRASGSRRGLAYGHIDTVVARDSEEEGAGPVDRLPVRGKAGKFKDDPEKGARSSR FTSVNHDAKEECGKVESPPAARCSARRAELSKQNGSSASQISSAEGRAAAKGNNSLERER QNLPARPSRAPVSICGGGENTPKSAEEPVVRPKVRNVATPNCMKPKVFFDTDDDDDVPHS TSRWRDAADAEEAHAEGLARRGRGEAASSSEPRYAEDQDARSEQAKADKVPRRRRTMADP DFWAYTDDYYRYYEEDSDSDKEWMAALRRKYRSREQPQSSSGESWELLPGKEELERQQAG AGSLASAGSNGSGYPEEVQDPSLQEEEQASLEEGEIPWLRYNENESSSEGDNESTHELIQ PGMFMLDGNNNLEDDSSVSEDLEVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLA QAMETALAHLESLAVDVEVANPPASKESIDALPEILVTEDHGAVGQEMCCPICCSEYVKG EVATELPCHHYFHKPCVSIWLQKSGTCPVCRCMFPPPL >ENSMUSP00000076466.4 pep:known chromosome:GRCm38:6:123049962:123071544:1 gene:ENSMUSG00000030147.12 transcript:ENSMUST00000077228.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4b1 description:C-type lectin domain family 4, member b1 [Source:MGI Symbol;Acc:MGI:1917060] MVQERQLQGKAVSWSLRLWSAAVISILLLSTCFIASCVDKVWSCCPKDWKLFGSHCYLVP TVFSSASWNKSEENCSRMGAHLVVIHSQEEQDFITGILDIHAAYFIGLWDTGHRQWQWVD QTPYEESVTFWHNGEPSSDNEKCVTVYYRRNIGWGWNDISCNLKQKSVCQMKKINL >ENSMUSP00000077636.4 pep:known chromosome:GRCm38:6:123049998:123071555:1 gene:ENSMUSG00000030147.12 transcript:ENSMUST00000078559.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4b1 description:C-type lectin domain family 4, member b1 [Source:MGI Symbol;Acc:MGI:1917060] MVQERQLQGKAVSWSLRLWSAAVISILLLSTCFIASCVVTYQFSMDKPNRRLSELDRYHS LTCFSEGNMVSDKVWSCCPKDWKLFGSHCYLVPTVFSSASWNKSEENCSRMGAHLVVIHS QEEQDFITGILDIHAAYFIGLWDTGHRQWQWVDQTPYEESVTFWHNGEPSSDNEKCVTVY YRRNIGWGWNDISCNLKQKSVCQMKKINL >ENSMUSP00000107165.1 pep:known chromosome:GRCm38:2:89493390:89498213:-1 gene:ENSMUSG00000075085.4 transcript:ENSMUST00000111540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1242 description:olfactory receptor 1242 [Source:MGI Symbol;Acc:MGI:3031076] MGQNHNVTEFIFVGLSQDPAGQKVLFVLFSLTYIVTMFGNLLIALTVIASPSLNSPMYFF LACLSVLDALYCNTISPNLIIDLLYNKKNISFRACMLQLFVEHLFGGVEVFLLVFMAYDR YVAICKPLHYLTIMNQRVCILLLLIAGVGGILHSLIQVLTVYKLPFCGPNVIDHFMCDMN QLLGLACTDTYFLGITVMANGGVICVGIFTFLLVSYGIILNSLKTHSREGRHKALFTCSS HIMVVVCFFAPCSFIYARPVSNFPVDKYIAVFYTVVSPMLNPLIYTLRNSEMKNSIKKLW CKTLTT >ENSMUSP00000097365.2 pep:known chromosome:GRCm38:2:89493294:89494346:-1 gene:ENSMUSG00000075085.4 transcript:ENSMUST00000099777.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1242 description:olfactory receptor 1242 [Source:MGI Symbol;Acc:MGI:3031076] MGQNHNVTEFIFVGLSQDPAGQKVLFVLFSLTYIVTMFGNLLIALTVIASPSLNSPMYFF LACLSVLDALYCNTISPNLIIDLLYNKKNISFRACMLQLFVEHLFGGVEVFLLVFMAYDR YVAICKPLHYLTIMNQRVCILLLLIAGVGGILHSLIQVLTVYKLPFCGPNVIDHFMCDMN QLLGLACTDTYFLGITVMANGGVICVGIFTFLLVSYGIILNSLKTHSREGRHKALFTCSS HIMVVVCFFAPCSFIYARPVSNFPVDKYIAVFYTVVSPMLNPLIYTLRNSEMKNSIKKLW CKTLTT >ENSMUSP00000051979.4 pep:known chromosome:GRCm38:7:5034439:5038446:-1 gene:ENSMUSG00000043290.6 transcript:ENSMUST00000062428.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp784 description:zinc finger protein 784 [Source:MGI Symbol;Acc:MGI:3606042] MAAARPDPPIPSSPTRESPSPEPPDLVLVPDGRPVTPPGDLIEIQVVKVTDTTSVPEPPE PGSFHCALCPAAFRLVSELLFHEHGHLASMEGLGQDGDPSRCHVCGHSCPGPASLRAHYS LHTGERPYRCSLCPRAFKALAPLLRHQHRHGVEPGTSERLLPTTTTGQPNSRVAQERSEV VMAAAAAGAVVGKPFACRFCAKPFRRSSDMRDHERVHTGERPYHCSICGKGFTQSSVLSG HARIHTGERPFRCMLCDRTFNNSSNFRKHQRTHFHGPGSGVGESRGQLRSSSVSQES >ENSMUSP00000132351.1 pep:known chromosome:GRCm38:7:25267704:25294159:1 gene:ENSMUSG00000005442.13 transcript:ENSMUST00000169266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cic description:capicua transcriptional repressor [Source:MGI Symbol;Acc:MGI:1918972] MKPMKKACPGLAGSASGSKSPPATRAKALRRRGAGEGDKPEEEEEAQPQEQAGPEEAEEG EEEEAERDPGAEGTHPELQPNDPTPGLTEDPKGDGEAGRWEPSLSRKTATFKSRAPKKKY VEEHGTGNVGVVGAPEERERTPEDASALGVPPRPPTSTRSSSTDTASEHSADLEDEPPEA CGPGPWPSTGTSEGYDLRQLRSQRVLARRGDGLFLPAVVRQVRRSQDLGVQFPGDRALTF YEGVPGGGVDVVLDVTPPPGALMVGTAVCTCVEPGVAAYREGVVVEVATKPAAYKVRLSP GPSSHAGPPGTLPQAQQTLHREPEEAVWVTRSSLRLLRPPWEPGALLRKHPAGPEEEQAE PGPALPPCPSSVEPKQPEDAEVSNISFGSNLGTRCEEGEEKHPPSLGTPVLLPLPPPQLL SPPPKSPAFGGPGRPSEQPSPCQEGSQGGSRSSSVASLEKGAAPAARARTPLTAAQQKYK KGDVVCTPNGIRKKFNGKQWRRLCSRDGCMKESQRRGYCSRHLSMRTKEMEGLADSGPGG TGRPAGVAAREGSTEFDWGDETSRDSEASSVAARGDSRPRLVAPADLSRFEFDECEAAVM LVSLGSSRSGTPSFSPVSTQSPFSPAPSPSPSPLFGFRPANFSPINASPVIQRTAVRSRH LSASTPKAGVLTPPDLGPHPPPPAPRERHSSGILPTFQTNLTFTVPISPGRRKTELLPHP GTLGASGAGGGGAAPDFPKSDSLDSGVDSVSHTPTPSTPAGFRAVSPAVPFSRSRQPSPL LLLPPPAGLTSDPGPSVRRVPAVQRDSPVIVRNPDVPLPSKFPGEVGTAGEARAGGPGRS CRETPVPPGVASGKPGLPPPLPAPVPITVPPAAPTAVAQPMPTLGLASSPFQPVAFHPSP AALLPVLVPSSYPSHPAPKKEVIMGRPGTVWTNVEPRSVAVFPWHSLVPFLAPSQPDPSV QPSEAQQPASHPVASNQSKEPAESAAVAHEQPPGGTGGADPGRPPGAVCPESPGPGPPLT LGGVDPGKSLPPTTEEEAPGPPGEPRLDSETESDHDDAFLSIMSPEIQLPLPPGKRRTQS LSALPKERDSSSEKDGRSPNKREKDHIRRPMNAFMIFSKRHRALVHQRHPNQDNRTVSKI LGEWWYALGPKEKQKYHDLAFQVKEAHFKAHPDWKWCNKDRKKSSSEAKPASLGLAGGHK ETRERSMSETGTAAAPGVSSELLSVAAQTLLSSDTKVPGSGPCGAERLHAVGAPGSARPR AFSHSGVHSLDGGEVDSQALQELTQMVSGPASYSGPKPSPQYGAPGSFAAPGEGGTLATS GRPPLLPSRASRSQRAASEDMTSDEERMVICEEEGDDDVIADDSFGTTDIDLKCKERVTD SESGDSSGEDPEGNKGFGRKVFSPVIRSSFTHCRPTLDPEPPGPPDPPAAFSKGYGPTPS SSSSPASTSVSVSTSFSLGSGTFKTQESGQGSTAVPLRPPPPGAGGPATPSKATRFPPTD SATFRRKRPESVGSLEAPGPSVIAAPPSGGGNLLQTLVLPPSKEDREGTRVPSAPAPPLA YGAPAAPLCRPAATMVTNVVRPVSSTPVPIASKPFPTSGRAEASSNDIAGARTEMGTGSR VPGGSPMGVSLVYSDKKSAAAATSPAPHLVAGPLLGTVGKAPATVTNLLVGTPGYGAPAS PAVQFIAQGAPGSATPAGSGASTGSGPNGPVPLGILQPGALGKAGGITQVQYILPTLPQQ LQVAPAPAPAPGTKAAAPSGPAPTTSIRFTLPPGTSTNGKVLAATAPTAGIPILQSVPSA PPPKAQSVSPVQATPSGGSAQLLPGKVLVPLAAPSMSVRGGGAGQPLPLVSSPFSVPVQN GAQQPSKIIQLTPVPVSTPSGLVPPLSPATMPGPTSQPQKVLLPSSTRITYVQSAGGHTL PLGTSSACSQTGTVTSYGPTSSVALGFTSLGPSGPAFVQPLLSGQAPLLAPGQVGVSPVP SPQLPPACTASGGPVITAFYPGSPAPTSAPLGPPSQAPPSLVYTVATSTTPPAATILPKG PPASATATPAPTSPFPSATGSMTYSLVAPKAQRPSPKAPQKVKAAIASIPVGSFESGTTG RPGSTPRQSSDSGVAREPAAPESELEGQPTPPAPPPPTETWPPTARSSPPPPLPAEERPG TKGPETASKFPSSSSDWRVPGLGLESRGEPPTPPSPAPATGPSGSSSGSSEGSSGRAAGD TPERKEVTSSGKKMKVRPPPLKKTFDSVDKVLSEVDFEERFAELPEFRPEEVLPSPTLQS LATSPRAILGSYRKKRKNSTDLDSAPEDPTSPKRKMRRRSSCSSEPNTPKSAKCEGDIFT FDRTGTETEDVLGELEYEKVPYSSLRRTLDQRRALVMQLFQDHGFFPSAQATAAFQARYA DIFPSKVCLQLKIREVRQKIMQAATPTEQPPGAEAPLPGPPPTGMAATPVPTPSPAGGPD PTSPGSDSGTAQVAPPLPPPPEPGPGQPGWEGAPQPSPPPSGPSTAATGR >ENSMUSP00000131680.1 pep:known chromosome:GRCm38:7:25268387:25271272:1 gene:ENSMUSG00000005442.13 transcript:ENSMUST00000169392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cic description:capicua transcriptional repressor [Source:MGI Symbol;Acc:MGI:1918972] MKPMKKACPGLAGSASGSKSPPATRAKALRRRGAGEGDKPEEEEEAQPQEQAGPEEAEEG EEEEAERDPGAEGTHPELQPNDPTPGLTEDPKGDGEAGRWEPSLSRKTATFKSRAPKKKY VEEHGTGNVGVVGAPEERERTP >ENSMUSP00000126659.1 pep:known chromosome:GRCm38:7:25282179:25294145:1 gene:ENSMUSG00000005442.13 transcript:ENSMUST00000163320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cic description:capicua transcriptional repressor [Source:MGI Symbol;Acc:MGI:1918972] MYSAHRPLIPASGAASRGLGMFVWTNVEPRSVAVFPWHSLVPFLAPSQPDPSVQPSEAQQ PASHPVASNQSKEPAESAAVAHEQPPGGTGGADPGRPPGAVCPESPGPGPPLTLGGVDPG KSLPPTTEEEAPGPPGEPRLDSETESDHDDAFLSIMSPEIQLPLPPGKRRTQSLSALPKE RDSSSEKDGRSPNKREKDHIRRPMNAFMIFSKRHRALVHQRHPNQDNRTVSKILGEWWYA LGPKEKQKYHDLAFQVKEAHFKAHPDWKWCNKDRKKSSSEAKPASLGLAGGHKETRERSM SETGTAAAPGVSSELLSVAAQTLLSSDTKVPGSGPCGAERLHAVGAPGSARPRAFSHSGV HSLDGGEVDSQALQELTQMVSGPASYSGPKPSPQYGAPGSFAAPGEGGTLATSGRPPLLP SRASRSQRAASEDMTSDEERMVICEEEGDDDVIADDSFGTTDIDLKCKERVTDSESGDSS GEDPEGNKGFGRKVFSPVIRSSFTHCRPTLDPEPPGPPDPPAAFSKGYGPTPSSSSSPAS TSVSVSTSFSLGSGTFKTQESGQGSTAVPLRPPPPGAGGPATPSKATRFPPTDSATFRRK RPESVGSLEAPGPSVIAAPPSGGGNLLQTLVLPPSKEDREGTRVPSAPAPPLAYGAPAAP LCRPAATMVTNVVRPVSSTPVPIASKPFPTSGRAEASSNDIAGARTEMGTGSRVPGGSPM GVSLVYSDKKSAAAATSPAPHLVAGPLLGTVGKAPATVTNLLVGTPGYGAPASPAVQFIA QGAPGSATPAGSGASTGSGPNGPVPLGILQPGALGKAGGITQVQYILPTLPQQLQVAPAP APAPGTKAAAPSGPAPTTSIRFTLPPGTSTNGKVLAATAPTAGIPILQSVPSAPPPKAQS VSPVQATPSGGSAQLLPGKVLVPLAAPSMSVRGGGAGQPLPLVSSPFSVPVQNGAQQPSK IIQLTPVPVSTPSGLVPPLSPATMPGPTSQPQKVLLPSSTRITYVQSAGGHTLPLGTSSA CSQTGTVTSYGPTSSVALGFTSLGPSGPAFVQPLLSGQAPLLAPGQVGVSPVPSPQLPPA CTASGGPVITAFYPGSPAPTSAPLGPPSQAPPSLVYTVATSTTPPAATILPKGPPASATA TPAPTSPFPSATGSMTYSLVAPKAQRPSPKAPQKVKAAIASIPVGSFESGTTGRPGSTPR QSSDSGVAREPAAPESELEGQPTPPAPPPPTETWPPTARSSPPPPLPAEERPGTKGPETA SKFPSSSSDWRVPGLGLESRGEPPTPPSPAPATGPSGSSSGSSEGSSGRAAGDTPERKEV TSSGKKMKVRPPPLKKTFDSVDNRVLSEVDFEERFAELPEFRPEEVLPSPTLQSLATSPR AILGSYRKKRKNSTDLDSAPEDPTSPKRKMRRRSSCSSEPNTPKSAKCEGDIFTFDRTGT ETEDVLGELEYEKVPYSSLRRTLDQRRALVMQLFQDHGFFPSAQATAAFQARYADIFPSK VCLQLKIREVRQKIMQAATPTEQPPGAEAPLPGPPPTGMAATPVPTPSPAGGPDPTSPGS DSGTAQVAPPLPPPPEPGPGQPGWEGAPQPSPPPSGPSTAATGR >ENSMUSP00000128071.1 pep:known chromosome:GRCm38:7:25282810:25291342:1 gene:ENSMUSG00000005442.13 transcript:ENSMUST00000165239.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cic description:capicua transcriptional repressor [Source:MGI Symbol;Acc:MGI:1918972] MYSAHRPLIPASGAASRGLGMFVWTNVEPRSVAVFPWHSLVPFLAPSQPDPSVQPSEAQQ PASHPVASNQSKEPAESAAVAHEQPPGGTGGADPGRPPGAVCPESPGPGPPLTLGGVDPG KSLPPTTEEEAPGPPGEPRLDSETESDHDDAFLSIMSPEIQLPLPPGKRRTQSLSALPKE RDSSSEKDGRSPNKREKDHIRRPMNAFMIFSKRHRALVHQRHPNQDNRTVSKILGEWWYA LGPKEKQKYHDLAFQVKEAHFKAHPDWKWCNKDRKKSSSEAKPASLGLAGGHKETRERSM SETGTAAAPGVSSELLSVAAQTLLSSDTKVPGSGPCGAERLHAVGAPGSARPRAFSHSGV HSLDGGEVDSQALQELTQMVSGPASYSGPKPSPQYGAPGSFAAPGEGGTLATSGRPPLLP SRASRSQRAASEDMTSDEERMVICEEEGDDDVIADDSFGTTDIDLKCKERVTDSESGDSS GEDPEGNKGFGRKVFSPVIRSSFTHCRPTLDPEPPGPPDPPAAFSKGYGPTPSSSSSPAS TSVSVSTSFSLGSGTFKTQESGQGSTAVPLRPPPPGAGGPATPSKATRFPPTDSATFRRK RPESVGSLEAPGPSVIAAPPSGGGNLLQTLVLPPSKEDREGTRVPSAPAPPLAYGAPAAP LCRPAATMVTNVVRPVSSTPVPIASKPFPTSGRAEASSNDIAGARTEMGTGSRVPGGSPM GVSLVYSDKKSAAAATSPAPHLVAGPLLGTVGKAPATVTNLLVGTPGYGAPASPAVQFIA QGAPGSATPAGSGASTGSGPNGPVPLGILQPGALGKAGGITQVQYILPTLPQQLQVAPAP APAPGTKAAAPSGPAPTTSIRFTLPPGTSTNGKVLAATAPTAGIPILQSVPSAPPPKAQS VSPVQATPSGGSAQLLPGKVLVPLAAPSMSVRGGGAGQPLPLVSSPFSVPVQNGAQQPSK IIQLTPVPVSTPSGLVPPLSPATMPGPTSQPQKVLLPSSTRITYVQSAGGHTLPLGTSSA CSQTGTVTSYGPTSSVALGFTSLGPSGPAFVQPLLSAGQAPLLAPGQVGVSPVPSPQLPP ACTASGGPVITAFYPGSPAPTSAPLGPPSQAPPSLVYTVATSTTPPAATILPKGPPASAT ATPAPTSPFPSAT >ENSMUSP00000130146.1 pep:known chromosome:GRCm38:7:25291171:25294145:1 gene:ENSMUSG00000005442.13 transcript:ENSMUST00000164820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cic description:capicua transcriptional repressor [Source:MGI Symbol;Acc:MGI:1918972] SPAPTSAPLGPPSQAPPSLVYTVATSTTPPAATILPKGPPASATATPAPTSPFPSATGMG RILPTLCPPNLGCTF >ENSMUSP00000127858.1 pep:known chromosome:GRCm38:7:25293020:25294145:1 gene:ENSMUSG00000005442.13 transcript:ENSMUST00000163901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cic description:capicua transcriptional repressor [Source:MGI Symbol;Acc:MGI:1918972] XRTLDQRRALVMQLFQDHGFFPSAQATAAFQARYADIFPSKNGSCEGCKLEGSMSLGPQG EDACGVVGSSCTPLFPQRGWTQVSLGWGGSCTLPQAWGGFSPPSALPTWVVLSKKVIPPT IVPIPRTKHVDHVQYFLLCREAAMTEIKAV >ENSMUSP00000005578.6 pep:known chromosome:GRCm38:7:25282179:25294148:1 gene:ENSMUSG00000005442.13 transcript:ENSMUST00000005578.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cic description:capicua transcriptional repressor [Source:MGI Symbol;Acc:MGI:1918972] MYSAHRPLIPASGAASRGLGMFVWTNVEPRSVAVFPWHSLVPFLAPSQPDPSVQPSEAQQ PASHPVASNQSKEPAESAAVAHEQPPGGTGGADPGRPPGAVCPESPGPGPPLTLGGVDPG KSLPPTTEEEAPGPPGEPRLDSETESDHDDAFLSIMSPEIQLPLPPGKRRTQSLSALPKE RDSSSEKDGRSPNKREKDHIRRPMNAFMIFSKRHRALVHQRHPNQDNRTVSKILGEWWYA LGPKEKQKYHDLAFQVKEAHFKAHPDWKWCNKDRKKSSSEAKPASLGLAGGHKETRERSM SETGTAAAPGVSSELLSVAAQTLLSSDTKVPGSGPCGAERLHAVGAPGSARPRAFSHSGV HSLDGGEVDSQALQELTQMVSGPASYSGPKPSPQYGAPGSFAAPGEGGTLATSGRPPLLP SRASRSQRAASEDMTSDEERMVICEEEGDDDVIADDSFGTTDIDLKCKERVTDSESGDSS GEDPEGNKGFGRKVFSPVIRSSFTHCRPTLDPEPPGPPDPPAAFSKGYGPTPSSSSSPAS TSVSVSTSFSLGSGTFKTQESGQGSTAVPLRPPPPGAGGPATPSKATRFPPTDSATFRRK RPESVGSLEAPGPSVIAAPPSGGGNLLQTLVLPPSKEDREGTRVPSAPAPPLAYGAPAAP LCRPAATMVTNVVRPVSSTPVPIASKPFPTSGRAEASSNDIAGARTEMGTGSRVPGGSPM GVSLVYSDKKSAAAATSPAPHLVAGPLLGTVGKAPATVTNLLVGTPGYGAPASPAVQFIA QGAPGSATPAGSGASTGSGPNGPVPLGILQPGALGKAGGITQVQYILPTLPQQLQVAPAP APAPGTKAAAPSGPAPTTSIRFTLPPGTSTNGKVLAATAPTAGIPILQSVPSAPPPKAQS VSPVQATPSGGSAQLLPGKVLVPLAAPSMSVRGGGAGQPLPLVSSPFSVPVQNGAQQPSK IIQLTPVPVSTPSGLVPPLSPATMPGPTSQPQKVLLPSSTRITYVQSAGGHTLPLGTSSA CSQTGTVTSYGPTSSVALGFTSLGPSGPAFVQPLLSAGQAPLLAPGQVGVSPVPSPQLPP ACTASGGPVITAFYPGSPAPTSAPLGPPSQAPPSLVYTVATSTTPPAATILPKGPPASAT ATPAPTSPFPSATGSMTYSLVAPKAQRPSPKAPQKVKAAIASIPVGSFESGTTGRPGSTP RQSSDSGVAREPAAPESELEGQPTPPAPPPPTETWPPTARSSPPPPLPAEERPGTKGPET ASKFPSSSSDWRVPGLGLESRGEPPTPPSPAPATGPSGSSSGSSEGSSGRAAGDTPERKE VTSSGKKMKVRPPPLKKTFDSVDKVLSEVDFEERFAELPEFRPEEVLPSPTLQSLATSPR AILGSYRKKRKNSTDLDSAPEDPTSPKRKMRRRSSCSSEPNTPKSAKCEGDIFTFDRTGT ETEDVLGELEYEKVPYSSLRRTLDQRRALVMQLFQDHGFFPSAQATAAFQARYADIFPSK VCLQLKIREVRQKIMQAATPTEQPPGAEAPLPGPPPTGMAATPVPTPSPAGGPDPTSPGS DSGTAQVAPPLPPPPEPGPGQPGWEGAPQPSPPPSGPSTAATGR >ENSMUSP00000136932.1 pep:known chromosome:GRCm38:7:29816114:29831005:1 gene:ENSMUSG00000011427.16 transcript:ENSMUST00000178162.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp790 description:zinc finger protein 790 [Source:MGI Symbol;Acc:MGI:1923431] MAHVMTFRDVAVDFSPEEWECLSLDQKSLYRDVMLENYSHLVSVGLCIYQPHGFPVPEKE QDACMDLGNEPSRFCPDTDSRWQMKKALRTNSTCATRLSHWEGMGMEPKHGLAASCPAAD WEGKGQEPPQSENQEETLQQMTLACDKLPLLNLLTALQCPRLKLAEKTKEVKSEKSFSFA PEPAQLQLIHTGEKFEEDKKRGKTFPPGPKLTRYRAVQDGKKAFQCDECGKAFSFRSSLT GHKRIHTGEKPFTCKECGKAFRFHSLLSVHVRTHTGEKSYECKACGKFFNYSSDLTRHHR IHTGEKPYQCRECGKAFSCGSDLTRHERIHTGEKPYECNECGKAFIQQSHLIKHQRIHTG EKPYVCKECGKAFTCGSQLSQHRKIHTGDKLHKCKECKNSFSFALDHTQRQLIYIGEKFF EDKENGETFFPGAEFPQCETVHTGKKLYECKECGKAFSLRSIVSSLKKIHNREKLFKCKD CEKAFRCPSDLSRHQKIHTGEKPYKCKECGKGFICRSDLGRHQRVHTGVKPYVCKECGKA FTRGAHLTQHQKIHSKKSHEFNEGEKAFSSGLENTPHSLIPTGEKLWERKEYGEAVLHDP ERTQLQAAKTTYDCKEKGKDFSWHSHDTDLKAVHIREKRFQCKECPKAFRYSSELTRHQR LHTGEKPYKCQECWKAFASASDLARHQRIHTGERPYECNECGKAFIQQSHLIKHQRVHSA EQPKDVMSAEKPSLGSPMLLNMREFTLVNNLINLRSVGKILLMAQLNPCQKIYTDKKLS >ENSMUSP00000032796.6 pep:known chromosome:GRCm38:7:29816119:29830940:1 gene:ENSMUSG00000011427.16 transcript:ENSMUST00000032796.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp790 description:zinc finger protein 790 [Source:MGI Symbol;Acc:MGI:1923431] MAHVMTFRDVAVDFSPEEWECLSLDQKSLYRDVMLENYSHLVSVGLCIYQPHGFPVPEKE QDACMDLGNEPSRFCPDTDSRWQMKKALRTNSTCATRLSHWEGMGMEPKHGLAASCPAAD WEGKGQEPPQSENQEETLQQMTLACDKLPLLNLLTALQCPRLKLAEKTKEVKSEKSFSFA PEPAQLQLIHTGEKFEEDKKRGKTFPPGPKLTRYRAVQDGKKAFQCDECGKAFSFRSSLT GHKRIHTGEKPFTCKECGKAFRFHSLLSVHVRTHTGEKSYECKACGKFFNYSSDLTRHHR IHTGEKPYQCRECGKAFSCGSDLTRHERIHTGEKPYECNECGKAFIQQSHLIKHQRIHTG EKPYVCKECGKAFTCGSQLSQHRKIHTGDKLHKCKECKNSFSFALDHTQRQLIYIGEKFF EDKENGETFFPGAEFPQCETVHTGKKLYECKECGKAFSLRSIVSSLKKIHNREKLFKCKD CEKAFRCPSDLSRHQKIHTGEKPYKCKECGKGFICRSDLGRHQRVHTGVKPYVCKECGKA FTRGAHLTQHQKIHSKKSHEFNEGEKAFSSGLENTPHSLIPTGEKLWERKEYGEAVLHDP ERTQLQAAKTTYDCKEKGKDFSWHSHDTDLKAVHIREKRFQCKECPKAFRYSSELTRHQR LHTGEKPYKCQECWKAFASASDLARHQRIHTGERPYECNECGKAFIQQSHLIKHQRVHSA EQPKDVMSAEKPSLGSPMLLNMREFTLVNNLINLRSVGKILLMAQLNPCQKIYTDKKLS >ENSMUSP00000146955.1 pep:known chromosome:GRCm38:7:29818991:29823148:1 gene:ENSMUSG00000011427.16 transcript:ENSMUST00000207328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp790 description:zinc finger protein 790 [Source:MGI Symbol;Acc:MGI:1923431] MAHV >ENSMUSP00000121178.1 pep:known chromosome:GRCm38:2:172370681:172376070:1 gene:ENSMUSG00000027498.14 transcript:ENSMUST00000151511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstf1 description:cleavage stimulation factor, 3' pre-RNA, subunit 1 [Source:MGI Symbol;Acc:MGI:1914587] MYRTKVGLKDRQQLYKLIISQLLYDGYISIANGLINEIKPQSVCAPSEQLLHLIKLGMEN DDTAVQYAIGRSDTVAPGTGIDLEFDADVQTMSPEASEYETCYVTSHKGPCRVATYSRDG QLIATGSADASIKILDTERMLAKSAMPIEVMMNET >ENSMUSP00000112076.1 pep:known chromosome:GRCm38:2:172370734:172382448:1 gene:ENSMUSG00000027498.14 transcript:ENSMUST00000116375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstf1 description:cleavage stimulation factor, 3' pre-RNA, subunit 1 [Source:MGI Symbol;Acc:MGI:1914587] MYRTKVGLKDRQQLYKLIISQLLYDGYISIANGLINEIKPQSVCAPSEQLLHLIKLGMEN DDTAVQYAIGRSDTVAPGTGIDLEFDADVQTMSPEASEYETCYVTSHKGPCRVATYSRDG QLIATGSADASIKILDTERMLAKSAMPIEVMMNETAQQNMENHPVIRTLYDHVDEVTCLA FHPTEQILASGSRDYTLKLFDYSKPSAKRAFKYIQEAEMLRSISFHPSGDFILVGTQHPT LRLYDINTFQCFVSCNPQDQHTDAICSVNYNPSANMYVTGSKDGCIKLWDGVSNRCITTF EKAHDGAEVCSAIFSKNSKYILSSGKDSVAKLWEISTGRTLVRYTGAGLSGRQVHRTQAV FNHTEDYILLPDERTISLCCWDSRTAERRNLLSLGHNNIVRCIVHSPTNPGFMTCSDDFR ARFWYRRSTTD >ENSMUSP00000112600.1 pep:known chromosome:GRCm38:9:37649182:37657312:-1 gene:ENSMUSG00000011114.17 transcript:ENSMUST00000117654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbrg1 description:transforming growth factor beta regulated gene 1 [Source:MGI Symbol;Acc:MGI:1100877] MSVLSGLASEPRTPLSSKARMKRLPRKSQNEKYRLKYLRLRRAAKATVFENASICDEIAR LEEKFLKAKEERRYLLKKLLQIHALTEGEPQAAAPSHSSSLPLPYGVTSSVGTMQGAGPS TGAEEPFAKKSKKEKKEKGKENSKLEVLKKTSKKKKMEGGARKLVRPIALDPSGQPVFPI GLGGLTVYSLGEIITNRPGFHDENAIYPVGYCSTRVYASMKCPDQKCLYTCQIKDGGVQP QFEIVPEDDPQNTIVGSSADACYEELLRAISATTGKLMPNPLSCGADFFGFSHPTIHNLI QSCPEAQNCVNYQWVKFDACKPRKGQLSQELPENDATMSLEAFQTQTFDDDHDDSILPGS LDLPELQHEAFVSSYQPEFLTHEPLVDTDLQHLKSPSQCSPIQSSD >ENSMUSP00000041789.7 pep:known chromosome:GRCm38:4:14502430:14621805:-1 gene:ENSMUSG00000040569.13 transcript:ENSMUST00000042221.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a7 description:solute carrier family 26, member 7 [Source:MGI Symbol;Acc:MGI:2384791] MTGAKRKKRSVLWGKMHTPHREDIKQWCKRRLPILEWAPQYNLKENLLPDTVSGIMLAVQ QVAQGLSFAMLSSVHPVFGLYGSLFPAIIYAIFGMGRHVATGTFALTSLISANAVERLVP QSSRNLTTQSNSSVLGLSEFELQRIGVAAAVSFLGGVIQLVMFVLQLGSATFLLTEPVIS AMTTGAATHVVTSQVKYLLGIKMPYISGPLGFFYIYAYVFENIKSVQLEALLFSLLSIIV LVLVKELNEQFKRKIKVVLPVDLVLIIAASFACYCTNMENTYGLEVVGHIPNGIPPPRAP PMNILSAVLTEAFGVALVGYVASLALAQGSAKKFKYSVDDNQEFLAHGLSNVIPSFLFCI PSAAAMGRTAGLYSTGAKTQVACLISCIFVLIVIYAIGPLLYWLPMCVLASIIVVGLKGM LIQFRDLKKYWNVDKIDWGIWISTYIFTICFAANVGLLFGVICTIAIVLGRFPRAKTLSI TDMKEMELKVKTEMHDETSQQIKIISINNPLVFLNAKKFSADLMKIILKESDSNQPLDDV SKCEQNTLLSSLSNGNCNEEASQPCSSEKCSLVLNCSGLTFFDYTGVSTLVELYLDCKSR SVDVFLANCTASLIKAMTYYGDLDTEKPIFFDSVPAAISIIQSNKNLSKASDHSEV >ENSMUSP00000116157.1 pep:known chromosome:GRCm38:4:14565480:14621497:-1 gene:ENSMUSG00000040569.13 transcript:ENSMUST00000149633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a7 description:solute carrier family 26, member 7 [Source:MGI Symbol;Acc:MGI:2384791] MTGAKRKKRSVLWGKMHTPHREDIKQWCKRRLPILEWAPQYNLKENLLPDTVSGIMLAVQ QVAQGLSFAMLSSVHPVFGLYGSLFPAIIYAIFGMGRHVATGTFALTSLISANAVERLVP QSSRNLTTQSNSSVLGLSEFELQRIGVAAAVSFLGGVIQLVMFVLQLGSATFLLTEPVIS AMTTGAATHVVTSQVKYLLG >ENSMUSP00000122870.1 pep:known chromosome:GRCm38:4:14593817:14621669:-1 gene:ENSMUSG00000040569.13 transcript:ENSMUST00000143105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a7 description:solute carrier family 26, member 7 [Source:MGI Symbol;Acc:MGI:2384791] MTGAKRKKRSVLWGKMHTPHREDIKQWCKRRLPILEWAPQYNLKENLLPDTVSGIMLAVQ QVAQGLSFAMLSSVHPVFGLYGSLFPAIIYAIFGMGRHV >ENSMUSP00000070842.5 pep:known chromosome:GRCm38:3:90692632:90695711:-1 gene:ENSMUSG00000056071.12 transcript:ENSMUST00000069960.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a9 description:S100 calcium binding protein A9 (calgranulin B) [Source:MGI Symbol;Acc:MGI:1338947] MANKAPSQMERSITTIIDTFHQYSRKEGHPDTLSKKEFRQMVEAQLATFMKKEKRNEALI NDIMEDLDTNQDNQLSFEECMMLMAKLIFACHEKLHENNPRGHGHSHGKGCGK >ENSMUSP00000112843.1 pep:known chromosome:GRCm38:3:90692632:90695721:-1 gene:ENSMUSG00000056071.12 transcript:ENSMUST00000117167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a9 description:S100 calcium binding protein A9 (calgranulin B) [Source:MGI Symbol;Acc:MGI:1338947] MANKAPSQMERSITTIIDTFHQYSRKEGHPDTLSKKEFRQMVEAQLATFMKKEKRNEALI NDIMEDLDTNQDNQLSFEECMMLMAKLIFACHEKLHENNPRGHGHSHGKGCGK >ENSMUSP00000042825.8 pep:known chromosome:GRCm38:11:80810175:80822033:1 gene:ENSMUSG00000035413.8 transcript:ENSMUST00000040865.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem98 description:transmembrane protein 98 [Source:MGI Symbol;Acc:MGI:1923457] METVVIVAIGVLATIFLASFAALVVVCRQRYCRPRDLLQRYDSKPIVDLIGAMETQSEPS ELELDDVVITNPHIEAILENEDWIEDASGLMSHCIAILKICHTLTEKLVAMTMGSGAKMK TSASVSDIIVVAKRISPRVDDVVKSMYPPLDPKLLDARTTALLLSVSHLVLVTRNACHLT GGLDWIDQSLSAAEEHLEVLREAALASEPDKSLPNPEGFLQEQSAI >ENSMUSP00000103103.2 pep:known chromosome:GRCm38:11:98836785:98853697:1 gene:ENSMUSG00000038020.5 transcript:ENSMUST00000107479.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgefl1 description:Rap guanine nucleotide exchange factor (GEF)-like 1 [Source:MGI Symbol;Acc:MGI:3611446] MKPLEKFLKKQTSQLAGRAVTGGPGGGPGCCGGPGGGGGPGGGGGPAGGLRPLQRRQSVS RLLLPAFLREPPTEPGLEPPVEEEGGEPLGVSEEPGSGGPCWLQLEEVPGPGPIGSGVPL RSPSSYSSDELSPGEPLASPPWAPLGAPERPEHLLNRVLERLAGGTTRDSSASDILLDDI VLTHSLFLPTEKFLQELHQYFVQSRNVEGPEGLGRKQACLALLLHFLDTYQGLLQEEEGA GHIIKELYLLIMKDESLYQDLREDTLRLHQLVETVELKIPEESQPPSKQVKPLFRHFRRI DSCLQTRVAFRGSDEIFCRVYMPDHSYVTIRSRLSASVQDILGSVTEKLQYSEEPAERED ALILVAVASSGEKVLLQPTEDCVFTTLGINSHLFACTRDSYEALVPLPEEVQVSPGDTEI HRVEPEDVANHLTAFHWELFRCVHELEFVDYVFHGERGRRETANLELLLQRCSEVTHWVA TEVLLCEAPGKRVQLLKKFIKIAAICKQNQDLLSFYAVVMGLDNAAVSRLRLTWEKLPGK FKNLFRKFEGLTDPCRNHKSYREVISKMKPPLIPFVPLILKDLTFLHEGSKTLVDGLVNI EKLHSVAEKVRTIRKYRSRPLCLDMEASPHHLQTKAYVRQFQVIDNQNHLFELSYKLEAN SQ >ENSMUSP00000126071.1 pep:known chromosome:GRCm38:14:32159865:32179855:1 gene:ENSMUSG00000056234.14 transcript:ENSMUST00000163336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa4 description:nuclear receptor coactivator 4 [Source:MGI Symbol;Acc:MGI:1350932] MNTSLEQSGCYSNRETLLRCSDARRELELAIGGVLRAEQQIKDNLREVKAQIHSCISRHL ECLRSREVWLNEQVDLIYQLKEETLQQQAQQLYWLMGQFNCLIHQLEYTQNKDLANQVSV CLERLGSLALKPEDSTVLLFEADTSALRQTITTFGSLKTIQIPEHLMAHASSSSIGPFLE KRGYIQVPEQKSASSGTAVSLSEWLLVSKPAIGLQAPYVPSTNPQDWLIPKQTSENSQTS ARACSFFSDAWGNLKGLENWLLNSHQQEIAGKPSSSKCNSHCSTSSFSPEAEKAEDVELL DQDELDLSDWLVTPQEPCELEKPVDGSWETSEKFKLLFQVFREPYNVSDWLVKPDSCTNC QGNQPRGVEIENLGNLKCLNDHLEAKKSVSVPGATISDGWLAQNHQDTWKVEEVCKANEP CTSFAECVCDDNCEKEAMYKWLLKKGGKDKNGMPMEPKSEPEKHRESLTLWLCPSRNELT EQAKAPKAMAPARIADSFHVIKNSSLSEWLMGPTCKGGPKDVPNTEERAGKEMLQSSMAT SWCPFNTADWVLPGKKVGSLSQFPSGEDKWLLRKKAQEAFLNSPLQEERNFRPDCYGLPA VCDLFACMQLKVDKEKWLYRTPLQM >ENSMUSP00000129917.1 pep:known chromosome:GRCm38:14:32159938:32176241:1 gene:ENSMUSG00000056234.14 transcript:ENSMUST00000169722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa4 description:nuclear receptor coactivator 4 [Source:MGI Symbol;Acc:MGI:1350932] MNTSLEQSGCYSNRETLLRCSDARRELELAIGGVLRAEQQIKDNLREVKAQIHSCISRHL ECLRSREVWLNEQVDLIYQLKEETLQQQAQQLYWLMGQFNCLIHQLEYTQNKDLANQVSV CLERLGSLALKPEDSTVLLFEADTSALRQTITTFGSLKTIQIPEHLMAHASSSSIGPFLE KRGYIQVPEQSASSGTAVSLSEWLLVSKPAIGLQAPYVPSTNPQDWLIPKQTSENSQTSA RACSFFSDAWGNLKGLENWLLNSHQQEIAGKPSSSKCNSHCSTSSFSPEAEKAEDVELLD QDELDLSDWLVTPQEPCELEKPVDGSWETSEKFKLLFQ >ENSMUSP00000126222.1 pep:known chromosome:GRCm38:14:32159981:32176126:1 gene:ENSMUSG00000056234.14 transcript:ENSMUST00000168385.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa4 description:nuclear receptor coactivator 4 [Source:MGI Symbol;Acc:MGI:1350932] MGQFNCLIHQLEYTQNKDLANQVSVCLERLGSLALKPEDSTVLLFEADTSALRQTITTFG SLKTIQIPEHLMAHASSSSIGPFLEKRGYIQVPEQKSASSGTAVSLSEWLLVSKPAIGLQ APYVPSTNPQDWLIPKQTSENSQTSARACSFFSDAWGNLKGLENWLLNSHQQEIAGKPSS SKCNSHCSTSSFSPEAEKAEDVELL >ENSMUSP00000128739.1 pep:known chromosome:GRCm38:14:32165589:32179855:1 gene:ENSMUSG00000056234.14 transcript:ENSMUST00000168334.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ncoa4 description:nuclear receptor coactivator 4 [Source:MGI Symbol;Acc:MGI:1350932] MNTSLEQSGCYSNRETLLRCSDARRELELAIGGVLRAEQQIKDNLREVKAQIHSCISRHL ECLRSREVWLNEQVDLIYQLKEETLQQQAQQLYWVS >ENSMUSP00000107625.3 pep:known chromosome:GRCm38:14:32165791:32179247:1 gene:ENSMUSG00000056234.14 transcript:ENSMUST00000111994.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa4 description:nuclear receptor coactivator 4 [Source:MGI Symbol;Acc:MGI:1350932] MNTSLEQSGCYSNRETLLRCSDARRELELAIGGVLRAEQQIKDNLREVKAQIHSCISRHL ECLRSREVWLNEQVDLIYQLKEETLQQQAQQLYWLMGQFNCLIHQLEYTQNKDLANQVSV CLERLGSLALKPEDSTVLLFEADTSALRQTITTFGSLKTIQIPEHLMAHASSSSIGPFLE KRGYIQVPEQKSASSGTAVSLSEWLLVSKPAIGLQAPYVPSTNPQDWLIPKQTSENSQTS ARACSFFSDAWGNLKGLENWLLNSHQQEIAGKPSSSKCNSHCSTSSFSPEAEKAEDVELL DQDELDLSDWLVTPQEPCELEKPVDGSWETSEKFKLLFQVFREPYNVSDWLVKPDSCTNC QGNQPRGVEIENLGNLKCLNDHLEAKKSVSVPGATISDGWLAQNHQDTWKVEEVCKANEP CTSFAECVCDDNCEKEAMYKWLLKKGGKDKNGMPMEPKSEPEKHRESLTLWLCPSRNELT EQAKAPKAMAPARIADSFHVIKNSSLSEWLMGPTCKGGPKDVPNTEERAGKEMLQSSMAT SWCPFNTADWVLPGKKVGSLSQFPSGEDKWLLRKKAQEAFLNSPLQEERNFRPDCYGLPA VCDLFACMQLKVDKEKWLYRTPLQM >ENSMUSP00000131253.1 pep:known chromosome:GRCm38:14:32165792:32172399:1 gene:ENSMUSG00000056234.14 transcript:ENSMUST00000168114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa4 description:nuclear receptor coactivator 4 [Source:MGI Symbol;Acc:MGI:1350932] MITRMLELKGLFLHPFSWTLGLGTVSRMNTSLEQSGCYSNRETLLRCSDARRELELAIGG VLRAEQQIKDNLREVKAQIHSCISRHLECLRSREVWLNEQVDLIYQ >ENSMUSP00000129422.1 pep:known chromosome:GRCm38:14:32165792:32172695:1 gene:ENSMUSG00000056234.14 transcript:ENSMUST00000168034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa4 description:nuclear receptor coactivator 4 [Source:MGI Symbol;Acc:MGI:1350932] MAQGTVSRMNTSLEQSGCYSNRETLLRCSDARRELELAIGGVLRAEQQIKDNLREVKAQI HSCISRHLECLRSREVWLNEQVDLIYQLKEETLQQQAQQLYWLMGQFNCLIHQLEYTQNK DLANQVSVCLE >ENSMUSP00000126780.1 pep:known chromosome:GRCm38:14:32166121:32172622:1 gene:ENSMUSG00000056234.14 transcript:ENSMUST00000164341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa4 description:nuclear receptor coactivator 4 [Source:MGI Symbol;Acc:MGI:1350932] MNTSLEQSGCYSNRETLLRCSDARRELELAIGGVLRAEQQIKDNLREVKAQIHSCISRHL ECLRSREVWLNEQVDLIYQLKEETLQQQAQQLYWLMGQF >ENSMUSP00000068111.4 pep:known chromosome:GRCm38:1:131527903:131539872:1 gene:ENSMUSG00000055184.4 transcript:ENSMUST00000068613.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam72a description:family with sequence similarity 72, member A [Source:MGI Symbol;Acc:MGI:1919669] MSTNNCTFKDRCVSILCCKFCKQVLSSRGMKAVLLADTDIDLFSTDIPPTNTVDFIGRCY FTGICKCKLKDIACLKCGNIVGYHVIVPCSSCLLSCNNGHFWMFHSQAVYGINRLDATGV NLLLWGNLPETEECTDEETLEISAEEYIR >ENSMUSP00000124607.1 pep:known chromosome:GRCm38:19:4081578:4085676:1 gene:ENSMUSG00000024857.16 transcript:ENSMUST00000159593.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp2 description:calcium binding protein 2 [Source:MGI Symbol;Acc:MGI:1352749] MALPEGPTDGSLPEGDSSPSSGTAGPSQDLASPTTRRRALLRELEAQVQAAYGQDRELRP EEIEELQIAFQEFDRDRDGYIGYRELGACMRTLGYMPTEMELI >ENSMUSP00000125740.1 pep:known chromosome:GRCm38:19:4082488:4087336:1 gene:ENSMUSG00000024857.16 transcript:ENSMUST00000159148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp2 description:calcium binding protein 2 [Source:MGI Symbol;Acc:MGI:1352749] MALPEGPTDGSLPEGDSSPSSGTAGPSQDLASPTTRRRALLRELEAQVQAAYGQDRELRP EEIEELQIAFQEFDRDRDGYIGYRELGACMRTLGYMPTEMELIEISQQISGGKVDFEDFV ELMGPKLLAETADMIGVRELRDAFREFDTNGDGCISVGELRAALKALLGERLSQREVDEI LQDIDLNGDGLVDFEEFVRMMSR >ENSMUSP00000124389.1 pep:known chromosome:GRCm38:19:4083490:4087340:1 gene:ENSMUSG00000024857.16 transcript:ENSMUST00000159556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp2 description:calcium binding protein 2 [Source:MGI Symbol;Acc:MGI:1352749] MVQRPMGNCAKTPWHRGSKDRELRPEEIEELQIAFQEFDRDRDGYIGYRELGACMRTLGY MPTEMELIEISQQISGGKVDFEDFVELMGPKLLAETADMIGVRELRDAFREFDTNGDGCI SVGELRAALKALLGERLSQREVDEILQDIDLNGDGLVDFEEFVRMMSR >ENSMUSP00000125255.1 pep:known chromosome:GRCm38:19:4083519:4087340:1 gene:ENSMUSG00000024857.16 transcript:ENSMUST00000162908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp2 description:calcium binding protein 2 [Source:MGI Symbol;Acc:MGI:1352749] MVQRPMGNCAKTPWHRGSKERWQWPGSPLGGSRPSPGPRTEEQEGTQGYSVLGSLVGPAC IFLRPSIAATQLDRELRPEEIEELQIAFQEFDRDRDGYIGYRELGACMRTLGYMPTEMEL IEISQQISGGKVDFEDFVELMGPKLLAETADMIGVRELRDAFREFDTNGDGCISVGELRA ALKALLGERLSQREVDEILQDIDLNGDGLVDFEEFVRMMSR >ENSMUSP00000097363.1 pep:known chromosome:GRCm38:2:89527491:89528408:-1 gene:ENSMUSG00000075084.2 transcript:ENSMUST00000099775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1243 description:olfactory receptor 1243 [Source:MGI Symbol;Acc:MGI:3031077] MGQSYNVTEFIFVDLTQDPAGKKALFVLFSLTYIVTMLGNLLIAVTVIASPSLNSPMYFF LACLSVLDAFYCNTISPNLIIGLLKDKNNISFRACMLQLFVEHLFGGVEVFLLVFMAYDR YVAICKPLHYLTIMNQRVCILLLLVAGVGGILHSLIQVLTVYKLPFCGPNVIDHFMCDMN PLLGLACTDTYFLGITVIANGGVICVGIFTFLLVSYGIILNSLKTHSQEGRRKALSTCSS HIMVVVCFFAPCIFIYARPVSNFSIDKYIAVFYTVVSPMLNPLIYTLRNSEMKNSIKKLW CKTLA >ENSMUSP00000097354.3 pep:known chromosome:GRCm38:2:89574801:89575724:-1 gene:ENSMUSG00000100601.1 transcript:ENSMUST00000099766.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1245 description:olfactory receptor 1245 [Source:MGI Symbol;Acc:MGI:3031079] MGEKSNITEFILLGLTQDPAGRKILFFIFLLIYIVTMVGNLLIVVTVITSPSLGSPMYFF LASLSLLDALFSTAISPKLIVDLFCDQKTISFTACMSQLFIEHLFGGVDIVILVAMAYDR YVAICKPLHYLAIMNRRVCITLLIIAWTGGFTHSLIQIVFVYNLPFCGPNVIDHFICDMS PLLVLACTDTYFIGLTVIANGGAMCIVIFTLLLGSYGIILRSLKTHSQEGRRKALSTCSS HILVVILFFVPCIFMYARPVYNFPIDKCITVFYTIITPMLNPLIYTLRNSEMKTCMKKLW CKILSAD >ENSMUSP00000124087.1 pep:known chromosome:GRCm38:10:59532846:59616675:-1 gene:ENSMUSG00000009647.13 transcript:ENSMUST00000161435.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mcu description:mitochondrial calcium uniporter [Source:MGI Symbol;Acc:MGI:3026965] MAAAAGRSLLLLLCSRGGGGGAGGCGALTAGCFPGLGVSRHRPHQQHRTGFLS >ENSMUSP00000009791.6 pep:known chromosome:GRCm38:10:59448597:59458160:-1 gene:ENSMUSG00000009647.13 transcript:ENSMUST00000009791.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcu description:mitochondrial calcium uniporter [Source:MGI Symbol;Acc:MGI:3026965] MILRPKQLFSAFTKQDLLSHEDAATLNDVKTLVQQLYTTLCIEQHQLNKERELVERLEDL KQQLAPLEKVRIEISRKAEKRTTLVLWGGLAYMATQFGILARLTWWEYSWDIMEPVTYFI TYGSAMAMYAYFVMTRQEYVYPEARDRQYLLFFHKGAKKSRFDLEKYNQLKDAIAQAEMD LKRLRDPLQVHLPLRQIGEKE >ENSMUSP00000020312.6 pep:known chromosome:GRCm38:10:59446984:59616692:-1 gene:ENSMUSG00000009647.13 transcript:ENSMUST00000020312.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcu description:mitochondrial calcium uniporter [Source:MGI Symbol;Acc:MGI:3026965] MAAAAGRSLLLLLCSRGGGGGAGGCGALTAGCFPGLGVSRHRPHQQHRTAHQRPASWQSV GAAYCSTVVPSDDVTVVYQNGLPVISVRLPSRRERCQFTLKPISDSVGVFLRQLQEEDRG IDRVAIYSPDGVRVAASTGIDLLLLDDFKLVINDLTYHVRPPKRDLLSHEDAATLNDVKT LVQQLYTTLCIEQHQLNKERELVERLEDLKQQLAPLEKVRIEISRKAEKRTTLVLWGGLA YMATQFGILARLTWWEYSWDIMEPVTYFITYGSAMAMYAYFVMTRQEYVYPEARDRQYLL FFHKGAKKSRFDLEKYNQLKDAIAQAEMDLKRLRDPLQVHLPLRQIGEKE >ENSMUSP00000140823.1 pep:known chromosome:GRCm38:2:89590169:89591113:-1 gene:ENSMUSG00000100828.1 transcript:ENSMUST00000191053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1246 description:olfactory receptor 1246 [Source:MGI Symbol;Acc:MGI:3031080] MGERNNVTEFVLLGLTQDPAGQKVLFVMFLLIYIVTMVGNLLIVLMVIASPSLGSPMYFF LACLSFLDIVYSTSISPKLIMDLLCDEKSISFTACMSQLFIEHLFGGTEIVILVAMAYDR YVAICKPLHYLTIMNRKVCIILLGFSWVGGFTHSMIQILFVFNLPFCGPNIIDHFMCDMS PLLGLVCTDTYFIGLTLIANGGAMCIVVFILLIVSYGIILKSLKNYSQEGRRKALSTCSS HIMVVTLFFVPCIFMYARPVYNFSSDKYITVFYTVFTPMLNPLIYTLRNSEMKNSMQKLW CTLLTMDRIRLSCY >ENSMUSP00000045888.2 pep:known chromosome:GRCm38:13:23421539:23423866:-1 gene:ENSMUSG00000036376.2 transcript:ENSMUST00000041782.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abt1 description:activator of basal transcription 1 [Source:MGI Symbol;Acc:MGI:1353636] MVKAGELVEQQKAAMEEEANAEAAEDQEEPEDTACSSSSKKKKKVVPGIVYLGHVPPRFR PLHVRNLLSAYGEVGRVFFQAEDHFVKRKKKAAAAAGGKKGAKYSKDYTEGWVEFRDKRV AKRVAASLHNTPMGARKRSPFRYDLWNLKYLHRFTWSHLSEHLAFERQVRRQRLRAEVAQ AKRETDFYLRNVEQGQHFLAADGDATRPNSSWTFTQRPTEQEFRARKAARPGGRERARLA NVEDQARSNRGLLAKIFGAPLPAESKEKP >ENSMUSP00000118797.1 pep:known chromosome:GRCm38:5:3571566:3584341:1 gene:ENSMUSG00000008307.11 transcript:ENSMUST00000147801.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700109H08Rik description:RIKEN cDNA 1700109H08 gene [Source:MGI Symbol;Acc:MGI:1924286] MEQRALKKMVESIRKTVKSFKKFEVECLIRLFYSLVGCPVGKMDNTGLDCNTFRGVLQNI FGMTNDMLMNRVFFVFDKDGDGYVNLEEWIKGLAVFLRGTFEEKMR >ENSMUSP00000008451.5 pep:known chromosome:GRCm38:5:3571673:3584356:1 gene:ENSMUSG00000008307.11 transcript:ENSMUST00000008451.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700109H08Rik description:RIKEN cDNA 1700109H08 gene [Source:MGI Symbol;Acc:MGI:1924286] MEQRALKKMVESIRKTVKSFKKFEVECLIRLFYSLVGCPVGKMDNTGLDCNTFRGVLQNI FGMTNDMLMNRVFFVFDKDGDGYVNLEEWIKGLAVFLRGTFEEKMRFCFEVYYLNGDAYI SQEKIFDMLKSSLFQHSPEEENEEGVKDLVEISLKKMDYDNDGKISFADFEKAVKEDGLL LEAFGPCLPDAKFCFHFEALVFKNNPPASL >ENSMUSP00000117825.1 pep:known chromosome:GRCm38:5:3575688:3584356:1 gene:ENSMUSG00000008307.11 transcript:ENSMUST00000125740.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700109H08Rik description:RIKEN cDNA 1700109H08 gene [Source:MGI Symbol;Acc:MGI:1924286] KMDNTGLDCNTFRGVLQNIFGMTNDMLMNRVFFVFDKDGDGYVNLEEWIKGLAVFLRGTF EEKMRFLLSL >ENSMUSP00000121586.1 pep:known chromosome:GRCm38:5:3580498:3584344:1 gene:ENSMUSG00000008307.11 transcript:ENSMUST00000156973.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700109H08Rik description:RIKEN cDNA 1700109H08 gene [Source:MGI Symbol;Acc:MGI:1924286] XEEENEEGVKDLVEISLKKMTLKKQ >ENSMUSP00000110559.1 pep:known chromosome:GRCm38:2:9914554:9953657:-1 gene:ENSMUSG00000025782.12 transcript:ENSMUST00000114909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf3 description:TAF3 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:2388097] MQVPLEEDDEMEEEEVINDENFLGKRPLDSPEVEEMPSMKRPRLLSTKGDSLDVVLLEAR EPLSSINPQKTPPVLSPVRVQDRADLAPPSPQPPMLAPFAKSQLPIAKPLETKSFTPKTK TKASSPGQKTKSPKAALSPARLGSPIRSPKTIPKEKKSPGRSKSPKSPKSPKIVAHVPQT PVRPETPNRTPSAMVVEKTVKETIPVMKPTQTPPEVVKLNIEMQPKKPVVTDKTIDDSID AVIARACAEREPDPFEFSSGSESEGDTFTSPKRISGSECATPKASTSSNNFTKSLATPLP LSSGTSSSDNSWTMDASIDEVVRKAKLGAPSNMPPTFPYISSPSISPPTPEPLHKGYEEK AKLPSSVDVKKKLKKELKTKLKKKEKQRDRERERERNKERSKEKDKMREREKEKEAGKEL KYPWRELMKDEDSDPYKFKIKEFEDIDAAKVRLKDGIVRREREKHKDKKKDRERSKREKD KRERERLKEKNREDKIKAPPTQLVLPPKEMALPLFSPSAVRVPAMLPAFSPMLPEKLFEE KEKPKEKERKKDKKEKKKKKEKEKEKEKKEREREKERREREKREKEKEKHKHEKIKVEPV IPAPSPVIPRLTLRVGAGQDKIVISKVVPAPEAKPAPSLNRPKTPPPAPVPIPVRVSPTP LQPPLLTQAAVCPALMPSPAPALSGIGSAKAPVRSVVTETVSTYVIRDEWGNQIWICPGC NKPDDGSPMIGCDDCDDWYHWPCVGIMAAPPEEMQWFCPKCANKIKKDKKHKKRKHRAH >ENSMUSP00000026888.4 pep:known chromosome:GRCm38:2:9914552:10048596:-1 gene:ENSMUSG00000025782.12 transcript:ENSMUST00000026888.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf3 description:TAF3 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:2388097] MCESYSRSLLRVSVAQICQALGWDSVQLSACHLLTDVLQRYLQQLGRGCHRYSELYGRTD PILDDVGEAFQLMGVNLHELEDYIHNIEPVTFPHQIPSFPVSKNNVLQFPQPGSKDAEER KDYIPDYLPPIVSSQEEEEEEQVPTDGGTSAEAMQVPLEEDDEMEEEEVINDENFLGKRP LDSPEVEEMPSMKRPRLLSTKGDSLDVVLLEAREPLSSINPQKTPPVLSPVRVQDRADLA PPSPQPPMLAPFAKSQLPIAKPLETKSFTPKTKTKASSPGQKTKSPKAALSPARLGSPIR SPKTIPKEKKSPGRSKSPKSPKSPKIVAHVPQTPVRPETPNRTPSAMVVEKTVKETIPVM KPTQTPPEVVKLNIEMQPKKPVVTDKTIDDSIDAVIARACAEREPDPFEFSSGSESEGDT FTSPKRISGSECATPKASTSSNNFTKSLATPLPLSSGTSSSDNSWTMDASIDEVVRKAKL GAPSNMPPTFPYISSPSISPPTPEPLHKGYEEKAKLPSSVDVKKKLKKELKTKLKKKEKQ RDRERERERNKERSKEKDKMREREKEKEAGKELKYPWRELMKDEDSDPYKFKIKEFEDID AAKVRLKDGIVRREREKHKDKKKDRERSKREKDKRERERLKEKNREDKIKAPPTQLVLPP KEMALPLFSPSAVRVPAMLPAFSPMLPEKLFEEKEKPKEKERKKDKKEKKKKKEKEKEKE KKEREREKERREREKREKEKEKHKHEKIKVEPVIPAPSPVIPRLTLRVGAGQDKIVISKV VPAPEAKPAPSLNRPKTPPPAPVPIPVRVSPTPLQPPLLTQAAVCPALMPSPAPALSGIG SAKAPVRSVVTETVSTYVIRDEWGNQIWICPGCNKPDDGSPMIGCDDCDDWYHWPCVGIM AAPPEEMQWFCPKCANKIKKDKKHKKRKHRAH >ENSMUSP00000110556.1 pep:known chromosome:GRCm38:2:9916076:9918834:-1 gene:ENSMUSG00000025782.12 transcript:ENSMUST00000114906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf3 description:TAF3 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:2388097] MIGCDDCDDWYHWPCVGIMAAPPEEMQWFCPKCANKIKKDKKHKKRKHRAH >ENSMUSP00000110557.1 pep:known chromosome:GRCm38:2:9916078:9918408:-1 gene:ENSMUSG00000025782.12 transcript:ENSMUST00000114907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf3 description:TAF3 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:2388097] MHLACWFTVASIKQHSYLLLSPHTICFCSALYHQIRDEWGNQIWICPGCNKPDDGSPMIG CDDCDDWYHWPCVGIMAAPPEEMQWFCPKCANKIKKDKKHKKRKHRAH >ENSMUSP00000074661.4 pep:known chromosome:GRCm38:1:136131389:136177998:1 gene:ENSMUSG00000041642.18 transcript:ENSMUST00000075164.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif21b description:kinesin family member 21B [Source:MGI Symbol;Acc:MGI:109234] MAGQGDCCVKVAVRIRPQLSKEKIEGCHICTSVTPGEPQVLLGKDKAFTYDFVFDLDTWQ EQIYSTCVSKLIEGCFEGYNATVLAYGQTGAGKTYTMGTGFDTVTSEEEQGIIPRAIAHL FRGIDERKRRAQEKGVTGPEFKVSAQFLELYNEEILDLFDSTRDPDARHRRSNIKIHEDA NGGIYTTGVTSRLINSQEELIQCLKQGALSRTTASTQMNVQSSRSHAIFTIHLCQMRVCA QPDLVNETVTGLPDGAAPTGTEYETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCG LLALGNVISALGDQSKKVVHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLN TLKYANRARNIKNKVVVNQDKTSQQISALRAEIARLQMELMEYKAGKRVIGEDGTEGYSD LFRENAMLQKENGALRLRVKAMQEAIDAINNRVTQLMSQEANLLLAKAGDGNEAIGALIQ NYIREIEELRTKLLESEAMNESLRRSLSRASARNPYSLGASPAGPAFGGSPATSMEDASE VIRKAKQDLERLKKKEVRQRRKSPEKEAFKKRAKLQAENSEETDENEAEEEEEERDESGC EEEEGREDEDEDSGSEESLVDSDSDPEEKEVNFQADLADLTCEIEIKQKLIDELENSQRR LQTLKHQYEEKLILLQNKIRDTQLERDRVLQNLSTMECYTEEKANKIKADYEKRLREMNR DLQKLQAAQKEHARLLKNQSRYERELKKLQAEVAEMKKAKVALMKQMREEQQRRRLVETK RNREIAQLKKEQRRQEFQIRALESQKRQQEIVLRRKTQEVSALRRLAKPMSERVAGRVGL KPPNMDSGAEVSASTTSSEAESGARSVSSIVRQWNRKIDHFLGDRPTATVNGGRPARKKF QKKGASQSFSKAARLKWQSLERRIIDIVMQRMTIVNLEADMERLIKKREELFLLQEALRR KREHLQAESPEEEKGLQELAEEIEVLAANIDYINDSITDCQATIVQLEETKEELDSTDTS VVISSCSLAEARLLLDNFLKASIDKGLQVAQKEAQIRLLEGRLRQTDMTGSSQNHLLLDA LREKAEAHPELQALIYNVQHENGYASTDEEVSEFSEGSFSQSFTMKGSTSHDDFKFKGEP KLSAQMKAVSAECLGPPLDSSTKNITKSLASLVEIKEDGVGFSIRDPYYRDKVSRTVSLP TRGSTFPRQSRGATDTSPLTRRKSYDRGQPIRSTDMGFTPPSSPPTRPRNDRNVFSRLTS NQSQGSALDKSDDSDSSLSEVLRGIITPIGGAKGARTAPLQCISMAEGHTKPILCLDATD ELLFTGSKDRSCKMWNLVTGQEIAALKGHPNNVVSIKYCSHSGLVFSVSSSYIKVWDIRD SAKCIRTLTSSGQVISGDACIATSTRAITSAQGEHQINQMALSPSGSMLYVASGNAVRIW ELNRFQPIGKLTGHIGPVMCLTVTQTSNQHDLVVTGSKDHYVKMFQLGDCVTGTIGPTHN FEPPHYDGIECLAIQGDILFSGSRDNGIKKWDLDQQELIQQIPNAHKDWVCALAFVPGRP MLLSACRAGFIKVWNVDNFTPIGEIKGHDSPINAICTNSKHIFTASSDLTVKFWSIRRLP AAHP >ENSMUSP00000114297.2 pep:known chromosome:GRCm38:1:136131430:136177998:1 gene:ENSMUSG00000041642.18 transcript:ENSMUST00000130864.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kif21b description:kinesin family member 21B [Source:MGI Symbol;Acc:MGI:109234] MAGQGDCCVKVAVRIRPQLSKEKIEGCHICTSVTPGEPQVLLGKDKAFTYDFVFDLDTWQ EQIYSTCVSKLIEGCFEGYNATVLAYGQTGAGKTYTMGTGFDTVTSEEEQGIIPRAIAHL FRGIDERKRRAQEKGVTGPEFKVSAQFLELYNEEILDLFDSTRDPDARHRRSNIKIHEDA NGGIYTTGVTSRLINSQEELIQCLKQGALSRTTASTQMNVQSSRSHAIFTIHLCQMRVCA QPDLVNETVTGLPDGAAPTGTEYETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCG LLALGNVISALGDQSKKVVHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLN TLKYANRARNIKNKVVVNQDKTSQQISALRAEIARLQMELMEYKAGKRVIGEDGTEGYSD LFRENAMLQKENGALRLRVKAMQEAIDAINNRVTQLMSQEANLLLAKAGDGNEAIGALIQ NYIREIEELRTKLLESEAMNESLRRSLSRASARNPYSLGASPAGPAFGGSPATSMEDASE VIRKAKQDLERLKKKEVRQRRKSPEKEAFKKRAKLQAENSEETDENEAEEEEEERDESGC EEEEGREDEDEDSGSEESLVDSDSDPEEKEVNFQADLADLTCEIEIKQKLIDELENSQRR LQTLKHQYEEKLILLQNKIRDTQLERDRVLQNLSTMECYTEEKANKIKADYEKRLREMNR DLQKLQAAQKEHARLLKNQSRYERELKKLQAEVAEMKKAKVALMKQMREEQQRRRLVETK RNREIAQLKKEQRRQEFQIRALESQKRQQEIVLRRKTQEVSALRRLAKPMSERVAGRVGL KPPNMDSGAEVSASTTSSEAESGARSVSSIVRQWNRKIDHFLGDRPTATVNGGRPARKKF QKKGASQSFSKAARLKWQSLERRIIDIVMQRMTIVNLEADMERLIKKREELFLLQEALRR KREHLQAESPEEEKGLQELAEEIEVLAANIDYINDSITDCQATIVQLEETKEELDSTDTS VVISSCSLAEARLLLDNFLKASIDKGLQVAQKEAQIRLLEGRLRQTDMTGSSQNHLLLDA LREKAEAHPELQALIYNVQHENGYASTDEEVSEFSEGSFSQSFTMKGSTSHDDFKFKGEP KLSAQMKAVSAECLGPPLDSSTKNITKSLASLVEIKEDGVGFSIRDPYYRDKVSRTVSLP TRGSTFPRQSRGATDTSPLTRRKSYDRGQPIRSTDMGFTPPSSPPTRPRNDRNVFSRLTS NQSQGSALDKSDDSDSSLSEVLRGIITPIGGAKGARTAPLQCISMAEGHTKPILCLDATD ELLFTGSKDRSCKMWNLVTGQEIAALKGHPNNVVSIKYCSHSGLVFSVSSSYIKVWDIRD SAKCIRTLTSSGQVISGDACIATSTRAITSAQGEHQINQMALSPSGSMLYVASGNAVRIW ELNRFQPIGKLTGHIGPVMCLTVTQTSNQHDLVVTGSKDHYVKMFQLGDCVTGTIGPTHN FEPPHYDGIECLAIQGDILFSGSRDNGIKKWDLDQQELIQQIPNAHKDWVCALAFVPGRP MLLSACRAGFIKVWNVDNFTPIGEIKGHDSPINAICTNSKHIFTASSDCRVKLWNYVPGL TPCLPRRVLAIKGRATTLP >ENSMUSP00000131815.1 pep:known chromosome:GRCm38:1:136160358:136165044:1 gene:ENSMUSG00000041642.18 transcript:ENSMUST00000171381.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kif21b description:kinesin family member 21B [Source:MGI Symbol;Acc:MGI:109234] EARLLLDNFLKASIDKGLQVAQKEAQIRLLEGRLRQTDMTGSSQNHLLLDALREKAEAHP ELQALIYNVQHAFPSHLP >ENSMUSP00000002079.6 pep:known chromosome:GRCm38:X:73757099:73772514:1 gene:ENSMUSG00000031385.14 transcript:ENSMUST00000002079.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxnb3 description:plexin B3 [Source:MGI Symbol;Acc:MGI:2154240] MLTDFLQAPVMAPWSPFSLHLLLLFLPLLPLTRVHRFSVPNTSFNHLVLAPDQGKLYVGA VNHLFQLSPELKMESVAVTGPVIDSPDCVPFRDLAECPQAQLTDNANQLLLVSSRTQELV ACGQVKQGVCEKRRLGDVTQVLYQAEDPGDGQFVAANTLGVTTVGLVVPLPGRDLLLVAR GLAGKLSAGVPPLTVRQLAGPQPFSSEGLGRLVVGDFSDYNNSYVGAFSDAHSAYFVFRR RGARAQTEYRSYVARVCLRDVNLYSYVEMPLTCHGQGLIQAAFLTPDTLLGAFSAGTSQA QAALCAFPLADLDRSMEQARRLCYTTGGQGPSGMEEATVEYGVTSRCVTLPPDSPESYPC GDEHTPSPIAGRQPLEAQPLLQLGQSISAVAALQTDGHTIAFLGDTQGQLHKVFLNSSHG QVYHSQQVGPPGSAISPDLLVDSNGDHLYVLTAQQVDRILVAACPQFPNCTTCLQARDPL CGWCILQGRCTRRGECGRAAQPNHWLWSYEDNHCPYIQSLLPAQHPRQEQGQIILSVPRL PTLAMDEYFHCAFGGYNSLAQVEEPHVVCTTPPQDQMPPNPPGSDHVTLPLALMFEDVVL TATTFSFYDCSAVQALEVAAPCRACVSSLWRCHWCPQSSHCIYGEHCPEGEKAVYSAQEV DILVRGPEACPQVEGLASPQLVPVGWESHVTLHIQNLHYFQGLPALYHCWLELPGKLQKL PASLEETSRDSGLIHCQAQQFYPSMSQWELPVPIYVTRGEIQRLDNAGDLHVTLYDCAMG HPDCSHCQAANGSLSCLWCGDGQPACRYGPLCPPGAVEQLCPIPSIDVIEPLTGPPEGGL AITILGSNLGQAFNDVRNAVTVAGQPCNPDPSLYRISARIVCVTSPAPNGTAGPVQVAIK SRPPGISTQNFTYQDPVLLSLNPQWGPQAGGTQLTIHGQYLQTGGNISVFVGDQPCPIQE PVCPEAIICHTMPQTEPGEAVVLIVFGHVERKLLTTPFRYTANPQLVEAEPSVSFRGGGR VIRVRGTGLDVVWQPLLSVWLEDEPKVKALGVQAQDANPRRSCGAPAADPQACIHLESGL LQCSTLCSVNSSSLLLCHSPAVPDGALPKRVFFALDNMQVDFASASGGQGFLYQPNPRLA PLSHEGITHPYHLKPGHVLDVEGEGLNLGISKEEVQVHIGDGECLVKTLTLTHLYCEPPP QAPQPTNGSGTLPQFVVQMGNLRLALGPVQYEAESMMSTFPVEAQLGLGMGAAVLIAAVL LLTLMYRHKSKKALRDYQKVLVQLENLETGVGDQCRKEFTDLMTEMTDLTSDLEASGIPF LDYRTYAERAFFPGHVGCPLQPGLEGLGEEGRSVTVRQGLTQLSNLLNSKLFLLTLIHTL EEQPSFSQRDRCHVASLLSLALHSKLEYLTDIMRTLLGDLAAHYVHKNPKLMLRRTETMV EKLLTNWLSICLYTFLKEVAGEPLYMLFRAIKYQVDKGPVDAVTGKAKRTLNDSHLLRED VEFQPLTLMALVGPEADRAAGNSGVHRVPARVLDTDTITQVKEKVLDQIYKGTPFSQRPS VHSLDLEWRSGLAGHLTLSDEDLTSVTQNHWKRLNTLQHYKVPDGATVVLIPQVHNGGTV SQSLGQTGCPSGENTPMLEDGEEGGVRLWHLVKATEEAEGAKVRRSSLRDRERERSRAKA IPEIYLTRLLSMKGTLQKFVDDTFQAILSMNRPVPIAVKYLFDFLDELAEKHGIEDPETL HIWKTNSLLLRFWVNVLKNPQLIFDVQVSDNEDAILAVIAQTFIDSCMVSEHKVGRDSPV NKLLYAREIPRYKQMVEKYYADIRQSSPASYQEMNSALAELSGNYSSAPHCLEALRELYN HIHRYYDQIISALEEDPVAQKMQLACRLQQVAALVEYKVTDL >ENSMUSP00000137154.1 pep:known chromosome:GRCm38:7:99624082:99627103:-1 gene:ENSMUSG00000096606.2 transcript:ENSMUST00000178124.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpbgl description:trophoblast glycoprotein-like [Source:MGI Symbol;Acc:MGI:3646425] MAPRAGQRGLWSPLPGLLLLAAALSRPAAPCPFQCYCFGSPRLMLRCASGAELRQPPRDV PPDARNLTIVGANLTVLRAAAFAGGGEGATDGVRLPLLTALRLTHNNIEVVEDGAFDGLP SLAALDLSHNPLRALGYRAFRGLPALRSLQLNHALARGSPGMLDALDAALAPLAELRLLG LVGNALSRLPLAALRLPRLEQLDARVNALAGLGPDELSALERDGDLPQPRLLLADNPLSC GCTSRPLLAWLHNATERVPDARRLRCASPRVLLDRPLIDLDEARLGCSDGDAHESGEGID VAGPELEASYVFFGLVLALIGLIFLMVLYLNRRGIQRWMHNLREACRDQMEGYHYRYEQD ADPRRAPAPAAPAGSRATSPGSGL >ENSMUSP00000117969.1 pep:known chromosome:GRCm38:7:107370728:107436336:1 gene:ENSMUSG00000062542.11 transcript:ENSMUST00000137663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt9 description:synaptotagmin IX [Source:MGI Symbol;Acc:MGI:1926373] MPGARDALCHQALQLLAELCARGALEHDSCQDFIYHLRDRARPRLRDPGITQSEDSSTCR TRTLISSSF >ENSMUSP00000122049.1 pep:known chromosome:GRCm38:7:107370790:107548653:1 gene:ENSMUSG00000062542.11 transcript:ENSMUST00000130414.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syt9 description:synaptotagmin IX [Source:MGI Symbol;Acc:MGI:1926373] MPGARDALCHQALQLLAELCARGALEHDSCQDFIYHLRDRARPRLRDPDISVSLLTLVVT ACGLALFGVSLFVSWKLCWVPWRERGLFSGSKDNNQEPLNYTDTETNEQENSEDFLDPPT PCPDSSMKISHTSPDIPLSTQPGGQENCAHAVRVQRQVTEPTPSARIMWIWASLCFHSAI FQRLAG >ENSMUSP00000073164.5 pep:known chromosome:GRCm38:7:107370790:107548656:1 gene:ENSMUSG00000062542.11 transcript:ENSMUST00000073459.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt9 description:synaptotagmin IX [Source:MGI Symbol;Acc:MGI:1926373] MPGARDALCHQALQLLAELCARGALEHDSCQDFIYHLRDRARPRLRDPDISVSLLTLVVT ACGLALFGVSLFVSWKLCWVPWRERGLFSGSKDNNQEPLNYTDTETNEQENSEDFLDPPT PCPDSSMKISHTSPDIPLSTQPGGQENCAHAVRVQRQVTEPTPSARHNSIRRQLNLSNPD FNIQQLQRQEQLTGIGRIKPELYKQRSLDNDDGRRSNSKACGKLNFILKYDCDLEQLIVK IHKAVNLPAKDFSGTSDPYVKIYLLPDRKTKHQTKVHRKTLNPVFDEVFLFPVHYNDLEA RKLHFSVYDFDRFSRHDLIGQVVVDHFFDLADFPRECILWKDIEYVTNDNVDLGELMFSL CYLPTAGRLTITIIKARNLKAMDITGASDPYVKVSLMCDGRRLKKRKTSTKRNTLNPVYN EAIVFDVPPESIDQIHLSIAVMDYDRVGHNEVIGVCQVGNEAERLGRDHWSEMLSYPRKP IAHWHSLMEKR >ENSMUSP00000138401.1 pep:known chromosome:GRCm38:2:131234063:131248022:1 gene:ENSMUSG00000037523.13 transcript:ENSMUST00000130597.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mavs description:mitochondrial antiviral signaling protein [Source:MGI Symbol;Acc:MGI:2444773] MTFAEDKTYKYIRDNHSKFCCVDVLEILPYLSCLTASDQILLKHRAGQSGERAGRPRPVL DILRDTQSS >ENSMUSP00000038339.5 pep:known chromosome:GRCm38:2:131234067:131248025:1 gene:ENSMUSG00000037523.13 transcript:ENSMUST00000041362.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mavs description:mitochondrial antiviral signaling protein [Source:MGI Symbol;Acc:MGI:2444773] MTFAEDKTYKYIRDNHSKFCCVDVLEILPYLSCLTASDQDRLRASYRQIGNRDTLWGLFN NLQRRPGWVEVFIRALQICELPGLADQVTRVYQSYLPPGTSLRSLEPLQLPDFPAAVSGP SAFAPGHNIPDHGLRETPSCPKPVQDTQPPESPVENSEQLLQTNSGAVARMSGGSLIPSP NQQALSPQPSREHQEQEPELGGAHAANVASVPIATYGPVSPTVSFQPLPRTALRTNLLSG VTVSALSADTSLSSSSTGSAFAKGAGDQAKAATCFSTTLTNSVTTSSVPSPRLVPVKTMS SKLPLSSKSTAAMTSTVLTNTAPSKLPSNSVYAGTVPSRVPASVAKAPANTIPPERNSKQ AKETPEGPATKVTTGGNQTGPNSSIRSLHSGPEMSKPGVLVSQLDEPFSACSVDLAISPS SSLVSEPNHGPEENEYSSFRIQVDESPSADLLGSPEPLATQQPQEEEEHCASSMPWAKWL GATSALLAVFLAVMLYRSRRLAQ >ENSMUSP00000105828.2 pep:known chromosome:GRCm38:2:131234105:131248025:1 gene:ENSMUSG00000037523.13 transcript:ENSMUST00000110199.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mavs description:mitochondrial antiviral signaling protein [Source:MGI Symbol;Acc:MGI:2444773] MTFAEDKTYKYIRDNHSKFCCVDVLEILPYLSCLTASDQDRLRASYRQIGNRDTLWGLFN NLQRRPGWVEVFIRALQICELPGLADQVTRVYQSYLPPGTSLRSLEPLQLPDFPAAVSGP SAFAPGHNIPDHGLRETPSCPKPVQDTQPPESPVENSEQLLQTNSGAVARMSGGSLIPSP NQQALSPQPSREHQEQEPELGGAHAANVASVPIATYGPVSPTVSFQPLPRTALRTNLLSG VTVSALSADTSLSSSSTGSAFAKGAGDQAKAATCFSTTLTNSVTTSSVPSPRLVPVKTMS SKLPLSSKSTAAMTSTVLTNTAPSKLPSNSVYAGTVPSRVPASVAKAPANTIPPERNSKQ AKETPEGPATKVTTGGNQTGPNSSIRSLHSGPEMSKPGVLVSQLDEPFSACSVDLAISPS SSLVSEPNHGPEENEYSSFRIQVDESPSADLLGSPEPLATQQPQEEEEHCASSMPWAKWL GATSALLAVFLAVMLYRSRRLAQ >ENSMUSP00000099544.4 pep:known chromosome:GRCm38:4:141420779:141425311:1 gene:ENSMUSG00000006221.7 transcript:ENSMUST00000102486.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb7 description:heat shock protein family, member 7 (cardiovascular) [Source:MGI Symbol;Acc:MGI:1352494] MSHRTSSAFRAERSFRSSSSSSSSSSSSASRALPAQDPPMEKALSMFSDDFGSFMLPHSE PLAFPARPGGQGNIKTLGDAYEFTVDMRDFSPEDIIVTTFNNHIEVRAEKLAADGTVMNT FAHKCQLPEDVDPTSVTSALREDGSLTIRARRHPHTEHVQQTFRTEIKI >ENSMUSP00000139215.1 pep:known chromosome:GRCm38:1:153779381:153808164:-1 gene:ENSMUSG00000042641.18 transcript:ENSMUST00000141249.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgsl1 description:regulator of G-protein signaling like 1 [Source:MGI Symbol;Acc:MGI:2685048] MMETNEKTYKTSLENITKTYLHGKVPAEEILQCDAPFIKEIANVRHITTTTLLMLQGYVM KSVEEKWFKEYQDLFPPRSVEFEFVEAQAVPRKPSKSTTHLHDSQKRGWIKMIAFIKSFC NYRRFIADANNRQELADFIYLEMFNNKENFSTSPATSARHTPVNLSARNAEQENGDTVLV KRRIFGHRIITINFAVNDMYLFSEMERFNDLVSSAHMLQINRAYNENDIILMRSKLNIIV KLYLVSDLPPKLKVNISESQKDIIISAITEGHLDRTIFHGAIMSIFPVIMYFWKRFCNWK ATRSYVEYLGKKFEDGRNPPKSVYKYPPWSGGEHTVLRFSLLRGVEWFRPQHPRDAVPSS LQPYQKYPTTKKRRSNQAKAKADMDGPLTLSQY >ENSMUSP00000135642.1 pep:known chromosome:GRCm38:1:153779386:153844142:-1 gene:ENSMUSG00000042641.18 transcript:ENSMUST00000124558.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgsl1 description:regulator of G-protein signaling like 1 [Source:MGI Symbol;Acc:MGI:2685048] MSNADIICSTNLIILLEDETFADFFNTFLSLPVFGQTPFYNVEKSQWSLWPEVPYDLIAK YKGLLTWLEKYRLPFFCKTNLCFYYILSQELVSFLKSPEGGEELLDFWILAEKILSIDET DKYLKDYYLSLLLVLKATHLQEGSRVVTLCNMDIKSLLNLSIWHPNQSTTRREILSHMQK VALFKIQSYWLPNFYTHAKMTMANEEACHGLMQEYETRLYSVCCAHAGGLPLNMSIKKSR HSQKKYSSKKAKKKIWHLNNPILWPLETDAKPEVPTKPQEELSLPERVVLQKTSLGEDSS KETIIHSLCKDLQNAKKPIVKKKSKIQLHMEGFLETAFTNHLRSSTPIINHAAPLVVKKS LKRSLSFGYTHWALCADACAGSPFRFHLKKMNLKVEVQLLDLWQDLQHFISVLMNNRQNG NALFRHMLGNRICELYLNEQIGPPLPLKPQTLQGLKKLLPSGEVNPWIPKAQREICKVLS PWYDEFLMEEDYWFLIFTTQTRFAKARWHKRETISKEEHILLYKRLQESLVLSQALASMQ EIDSMQWQKVATENLRQGGSLQVELTTPVFLQDISKMSFKDLSFKNPKLAIEKMSEDYKI YCEKVPPTAFTVEIIRQPKYSYSQRKTSFVKKSVVRKPSMRPRNLTEVLLNNAHLEYFKE FLKDRKSESPLQFLIAVQKIMMETNEKTYKTSLENITKTYLHGKVPAEEILQCDAPFIKE IANVRHITTTTLLMLQGYVMKSVEEKWFKEYQDLFPPRSVEFEFVEAQAVPRKPSKSTTH LHDSQKRGWIKMIAFIKSFCNYRRFIADANNRQELADFIYLEMFNNKENFSTSPATSARH TPVNLSARNAEQENGDTVLVKRRIFGHRIITINFAVNDMYLFSEMERFNDLVSSAHMLQI NRAYNENDIILMRSKLNIIVKLYLVSDLPPKLKVNISESQKDIIISAITEGHLDRTIFHG AIMSIFPVIMYFWKRFCNWKATRSYVEYLGKKFEDGRNPPKSVYKYPPWSGGEHTVLRFS LLRGVEWFRPQHPRDAVPSSLQPYQKYPTTKKRRSNQAKAKADMDGPLTLSQY >ENSMUSP00000139096.2 pep:known chromosome:GRCm38:1:153779392:153825306:-1 gene:ENSMUSG00000042641.18 transcript:ENSMUST00000184095.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgsl1 description:regulator of G-protein signaling like 1 [Source:MGI Symbol;Acc:MGI:2685048] XIPKAQREICKVLSPWYDEFLMEEDYWFLIFTI >ENSMUSP00000139340.1 pep:known chromosome:GRCm38:1:153785143:153844134:-1 gene:ENSMUSG00000042641.18 transcript:ENSMUST00000185164.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgsl1 description:regulator of G-protein signaling like 1 [Source:MGI Symbol;Acc:MGI:2685048] MSNADIICSTNLIILLEDETFADFFNTFLSLPVFGQTPFYNVEKSQWSLWPEVPYDLIAK YKGLLTWLEKYRLPFFCKTNLCFYYILSQELVSFLKSPEGAKMMRWNMADQWLLQKCIAG VRGMWRFCSYLKGSAGEELLDFWILAEKILSIDETDKYLKDYYLSLLLVLKATHLQEGSR VVTLCNMDIKSLLNLSIWHPNQSTTRREILSHMQKVALFKIQSYWLPNFYTHAKMTMANE EACHGLMQEYETRLYSVCCAHAGGLPLNMSIKKSRHSQKKYSSKKAKKKIWHLNNPILWP LETDAKPEVPTKPQEELSLPERVVLQKTSLGEDSSKETIIHSLCKDLQNAKKPIVKKKSK IQLHMEGFLETAFTNHLRSSTPIINHAAPLVVKKSLKRSLSFGYTHWALCADACAGSPFR FHLKKMNLKVEVQLLDLWQDLQHFISVLMNNRQNGNALFRHMLGNRICELYLNEQIGPPL PLKPQTLQGLKKLLPSGEVNPWIPKAQREICKVLSPWYDEFLMEEDYWFLIFTTQTRFAK ARWHKRETISKEEHILLYKRLQESLVLSQALASMQEIDSMQWQKVATENLRQGGSLQVEL TTPVFLQDISKMSFKDLSFKNPKLAIEKMSEDYKIYCEKVPPTAFTVEIIRQPKYSYSQR KTSFVKKSVVRKPSMRPRNLTEVLLNNAHLEYFKEFLKDRKSESPLQFLIAVQKIMMETN EKTYKTSLENITKTYLHGKVPAEEILQCDAPFIKEIANVRHITTTTLLMLQGYVMKSVEE KWFKEYQDLFPPRSVEFEFVEAQAVPRKPSKSTTHLHDSQKRGWIKMIAFIKSFCNYRRF IADANNRQELADFIYLEMFNNKENFSTSPATSARHTPVNLSARNAEQENGDTVLVKRRIF GHRIITINFAVNDMYLFSEMERFNDLVSSAHMLQINRAYNENDIILMRSKLNIIVKLYLV SDLPPKLKVL >ENSMUSP00000147178.1 pep:known chromosome:GRCm38:7:145186524:145283931:-1 gene:ENSMUSG00000048677.9 transcript:ENSMUST00000208328.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpcn2 description:two pore segment channel 2 [Source:MGI Symbol;Acc:MGI:2385297] MAAEEQPLLGRDRGSGQVHSGAAADQELCIDQAVVFIEDAIKYRSIYHRMDAGSLWLYRW YYSNVCQRVLGFIIFLILILAFVEVPSSFTKTADVRYRSQPWQPPCGLTETIEAFCLLAF LVDLSVKGYLVGQAQLQQNLWLLAYFMVLVVSVVDWIVSLSLACEEPLRMRRLLRPFFLL QNSSMMKKTLKCIRWSLPEMASVGLLLAIHLCLFTIIGMLLFTIGEKDEAQDQERLAYFR NLPEALTSLLVLLTTSNNPDVMIPAYTQNRAFALFFIVFTLIGSLFLMNLLTAIIYNQFR GYLMKSLQTSLFRRRLGARAAYEVLASRAGPAGTTPELVGVNPETFLPVLQKTQLNKTHK QAIMQKVQSYEGRPMLADEFQKLFDEVDKGLAKERPLKPQYQSPFLQTAQFIFSHHYFDY LGNLVALGNLLSICVFLVLDSDLLPGERDDFVLGEARAVWPTVALGHDTTDEHTDCVSLP AHHPQHKANG >ENSMUSP00000147224.1 pep:known chromosome:GRCm38:7:145215220:145283922:-1 gene:ENSMUSG00000048677.9 transcript:ENSMUST00000209047.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpcn2 description:two pore segment channel 2 [Source:MGI Symbol;Acc:MGI:2385297] MAAEEQPLLGRDRGSGQVHSGAAADQELCIDQAVVFIEDAIKYRSIYHRMDAGSLWLYRW YYSNVCQRVLGFIIFLILILAFVEVPSSFTKTADVRYRSQPWQPPCGLTETIEAFCLLAF LVDLSVKGYLVGQAQLQQNLWLLAYFMVLVVSVVDWIVSLSLACEEPLRMRRLLRPFFLL QNSSMMKKTLKCIRWSLPEMASVGLLLAIHLCLFTIIGMLLFTIGEKDEAQDQERLAYFR NLPEALTSLLVLLTTSNNPDVMIPAYTQNRAFALFFIVFTLIGSLFLMNLLTAIIYNQFR GYLMKSLQTSLFRRRLGARAAYEVLASRAGPAGTTPELVGVNPETFLPVLQKTQLNKTHK QAIMQKVQSYEGRPMLADEFQKLFDEVDKGLAKERPLKPQYQSPFLQTAQFIFSHHYFDY LGNLVALGNLLSICVFLVLDSDLLPGERDDFVLGALSFASKKKTISSLISTCSVKFLGN >ENSMUSP00000061308.4 pep:known chromosome:GRCm38:7:145253923:145284011:-1 gene:ENSMUSG00000048677.9 transcript:ENSMUST00000058022.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpcn2 description:two pore segment channel 2 [Source:MGI Symbol;Acc:MGI:2385297] MAAEEQPLLGRDRGSGQVHSGAAADQELCIDQAVVFIEDAIKYRSIYHRMDAGSLWLYRW YYSNVCQRVLGFIIFLILILAFVEVPSSFTKTADVRYRSQPWQPPCGLTETIEAFCLLAF LVDLSVKGYLVGQAQLQQNLWLLAYFMVLVVSVVDWIVSLSLACEEPLRMRRLLRPFFLL QNSSMMKKTLKCIRWSLPEMASVGLLLAIHLCLFTIIGMLLFTIGEKDEAQDQERLAYFR NLPEALTSLLVLLTTSNNPDVMIPAYTQNRAFALFFIVFTLIGSLFLMNLLTAIIYNQFR GYLMKSLQTSLFRRRLGARAAYEVLASRAGPAGTTPELVGVNPETFLPVLQKTQLNKTHK QAIMQKVQSYEGRPMLADEFQKLFDEVDKGLAKERPLKPQYQSPFLQTAQFIFSHHYFDY LGNLVALGNLLSICVFLVLDSDLLPGERDDFVLGILDYIFILYYLLELLFKVFALGLPGY LSYHSNVFDGLLTIILLVSEICTLAVYRLPHSGWKPEQYGPLSLWDMTRLMNTLIVFRFL RIIPNIKPMAEVANTILGLIPNLRAFGGILVVAYYVFAMIGINLFRGVIVPPGNSSLVPD NNSAVCGSFEQLGYWPNNFDDFAAALITLWNVMVVNNWQVILEAYKRYAGPWSMVYFVLW WLVSSVIWINLFLALLLENFLHRWDPQGHKQLLVGTKQMSVELMFRDILEEPKEEELMEK LHKHPHLHLCR >ENSMUSP00000146736.1 pep:known chromosome:GRCm38:7:145254021:145280282:-1 gene:ENSMUSG00000048677.9 transcript:ENSMUST00000208148.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpcn2 description:two pore segment channel 2 [Source:MGI Symbol;Acc:MGI:2385297] DQELCIDQAVVFIEDAIKYRSIYHRMDAGSLWLYRWYYSNVCQRVLGFIIFLILILAFVE VPSSFTKTADVRYRSQPWQPPCGLTETIEAFCLLAFLVDLSVKGYLVGQAQLQQNLWLLA YFMVLVVSVVDWIVSLSLACEEPLRMRRLLRPFFLLQNSSMMKKTLKCIRWSLPEMASVG LLLAIHLCLFTIIGMLLFTIGEKDEAQDQERLAYFRNLPEALTSLLVLLTTSNNPDVMIP AYTQNRAFALFFIVFTLIGSLFLMNLLTAIIYNQFRGYLMKSLQTSLFRRRLGARAAYEV LASRAGPAGTTPELVGVNPETFLPVLQKTQLNKTHKQAIMQKVQSYEGRPMLADEFQKLF DEVDKGLAKERPLKPQYQSPFLQTAQFIFSHHYFDYLGNLVALGNLLSICVFLVLDSDLL PGERDDFVLGILDYIFILYYLLELLFKVFALGLPGYLSYHSNVFDGLLTIILLVSEICTL AVYRLPHSGWYVIAENLGTQLGQ >ENSMUSP00000146538.1 pep:known chromosome:GRCm38:7:145254064:145283922:-1 gene:ENSMUSG00000048677.9 transcript:ENSMUST00000208841.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpcn2 description:two pore segment channel 2 [Source:MGI Symbol;Acc:MGI:2385297] MAAEEQPLLGRDRGSGQVHSGAAADQELCIDQAVVFIEDAIKYRSIYHRMDAGSLWLYRW YYSNVCQRVLGFIIFLILILAFVEVPSSFTKTADVRYRSQPWQPPCGLTETIEAFCLLAF LVDLSVKGYLVGQAQLQQNLWLLAYFMVLVVSVVDWIVSLSLACEEPLRMRRLLRPFFLL QNSSMMKKTLKCIRWSLPEMASVGLLLAIHLCLFTIIGMLLFTIGEKDEAQDQERLAYFR NLPEALTSLLVLLTTSNNPDVMIPAYTQNRAFALFFIVFTLIGSLFLMNLLTAIIYNQFR GYLMKSLQTSLFRRRLGARAAYEVLASRAGPAGTTPELVGVNPETFLPVLQKTQLNKTHK QAIMQKVQSYEGRPMLADEFQKLFDEVDKGLAKERPLKPQYQSPFLQTAQFIFSHHYFDY LGNLVALGNLLSICVFLVLDSDLLPGERDDFVLGILDYIFILYYLLELLFKVFALGLPGY LSYHSNVFDGLLTIILLEARAVWPTVALGHDTTDEHTDCVSLPAHHPQHKANG >ENSMUSP00000146952.1 pep:known chromosome:GRCm38:7:145273878:145283922:-1 gene:ENSMUSG00000048677.9 transcript:ENSMUST00000209156.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpcn2 description:two pore segment channel 2 [Source:MGI Symbol;Acc:MGI:2385297] MAAEEQPLLGRDRGSGQVHSGAAADQELCIDQAVVFIEDAIKYRSIYHRMDAGSLWLYRW YYSNVCQRVLGFIIFLILILAFVEVPSSFTKTADVRYRSQPWQPPCGLTETIEAFCLLAF LVDLSVKVKEIK >ENSMUSP00000109425.1 pep:known chromosome:GRCm38:16:96361668:96422121:1 gene:ENSMUSG00000000159.15 transcript:ENSMUST00000113794.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf5 description:immunoglobulin superfamily, member 5 [Source:MGI Symbol;Acc:MGI:1919308] MEGSWRDVLAVLVILAQLTASGSSYQIIEGPQNVTVLKDSEAHFNCTVTHGWKLLMWTLN QMVVLSLTTQGPIITNNRFTYASYNSTDSFISELIIHDVQPSDSGSVQCSLQNSHGFGSA FLSVQVMGTLNIPSNNLIVTEGEPCNVTCYAVGWTSLPDISWELEVPVSHSSYNSFLEPG NFMRVLSVLDLTPLGNGTLTCVAELKDLQASKSLTVNLTVVQPPPDSIGEEGPALPTWAI ILLAVAFSLLLILIIVLIIIFCCCCASRREKEESTYQNEIRKSANMRTNKADPETKLKSG KENYGYSSDEAKAAQTASLPPKSAEVSLPEKRSSSLPYQRSTHGMVDAERSKVHNNLKNQ EGVDDMAAACGA >ENSMUSP00000000163.6 pep:known chromosome:GRCm38:16:96361794:96404028:1 gene:ENSMUSG00000000159.15 transcript:ENSMUST00000000163.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf5 description:immunoglobulin superfamily, member 5 [Source:MGI Symbol;Acc:MGI:1919308] MEGSWRDVLAVLVILAQLTASGSSYQIIEGPQNVTVLKDSEAHFNCTVTHGWKLLMWTLN QMVVLSLTTQGPIITNNRFTYASYNSTDSFISELIIHDVQPSDSGSVQCSLQNSHGFGSA FLSVQVMGTLNIPSNNLIVTEGEPCNVTCYAVGWTSLPDISWELEVPVSHSSYNSFLEPG NFMRVLSVLDLTPLGNGTLTCVAELKDLQASKSLTVNLTVVQPPPDSIGEEGPALPTWAI ILLAVAFSLLLILIIVLIIIFCCCCASRREKEESTYQNEIRKSANMRTNKADPETKLKSG KENYGYSSDEAKAAQTASLPPKSAEVSLPEKRSSSLPYQELNKHQPGPATHPRVSFDIAS PQKVRNVTLV >ENSMUSP00000079874.3 pep:known chromosome:GRCm38:16:96361794:96404031:1 gene:ENSMUSG00000000159.15 transcript:ENSMUST00000081093.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf5 description:immunoglobulin superfamily, member 5 [Source:MGI Symbol;Acc:MGI:1919308] MEGSWRDVLAVLVILAQLTASGSSYQIIEGPQNVTVLKDSEAHFNCTVTHGWKLLMWTLN QMVVLSLTTQGPIITNNRFTYASYNSTDSFISELIIHDVQPSDSGSVQCSLQNSHGFGSA FLSVQDSIGEEGPALPTWAIILLAVAFSLLLILIIVLIIIFCCCCASRREKEESTYQNEI RKSANMRTNKADPETKLKSGKENYGYSSDEAKAAQTASLPPKSAEVSLPEKRSSSLPYQE LNKHQPGPATHPRVSFDIASPQKVRNVTLV >ENSMUSP00000109426.1 pep:known chromosome:GRCm38:16:96361794:96525580:1 gene:ENSMUSG00000000159.15 transcript:ENSMUST00000113795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf5 description:immunoglobulin superfamily, member 5 [Source:MGI Symbol;Acc:MGI:1919308] MEGSWRDVLAVLVILAQLTASGSSYQIIEGPQNVTVLKDSEAHFNCTVTHGWKLLMWTLN QMVVLSLTTQGPIITNNRFTYASYNSTDSFISELIIHDVQPSDSGSVQCSLQNSHGFGSA FLSVQDSIGEEGPALPTWAIILLAVAFSLLLILIIVLIIIFCCCCASRREKEESTYQNEI RKSANMRTNKADPETKLKSGKENYGYSSDEAKAAQTASLPPKSAEVSLPEKRSSSLPYQR QSAGATNGKDKTSGDNDGQKKVQEEFDIDMDAPETERAAVAIQSQFRKFQKKKAGSQS >ENSMUSP00000117904.1 pep:known chromosome:GRCm38:16:96396406:96421954:1 gene:ENSMUSG00000000159.15 transcript:ENSMUST00000136292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf5 description:immunoglobulin superfamily, member 5 [Source:MGI Symbol;Acc:MGI:1919308] ETKLKSGKENYGYSSDEAKAAQTASLPPKSAEVSLPEKRSSSLPYQCSPVNTLHPGSTER STHGMVDAERSKVHNNLKNQEGVDDMAAACGA >ENSMUSP00000063743.4 pep:known chromosome:GRCm38:6:40491233:40492305:1 gene:ENSMUSG00000052850.5 transcript:ENSMUST00000064932.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r137 description:taste receptor, type 2, member 137 [Source:MGI Symbol;Acc:MGI:3606604] MRFMNRTSKDQGGLNSNMFGFIEGVFLVLTITEFILGNLVNGFIVSINSSYWFKSKKISL SNFIITSLALFRIFLLWIIFIDSLIIVFSYQTHDSGIMMQLIDVFWTFTNHFSIWLISCL SVFYCLKIASFSHPSFLWLKWRASRVVVGMLWGALLLSCVSTMSLMNEFKIYSALTRSKD TPNMTEYIRLKRQEYNLMHVLGNLWKIPSLIVSLVAYLLLLLSLGKHTQQMQQYSIDSRD QSAEAHKRAMRIISSFLLFFLFYFLSFMILSSSRFLPETRIARIIGVVISMSYLVGDSFI LIVCNNKLKHTFVAMLPCECGHLKPGSKGPSAS >ENSMUSP00000098659.5 pep:known chromosome:GRCm38:12:102948859:103184001:1 gene:ENSMUSG00000021198.16 transcript:ENSMUST00000101099.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc79 description:unc-79 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2684729] MSTKAEQFASKIRYLQEYHNRVLHNIYPVPSGTDIANTLKYFSQTLLSILSRTGKKENQD ASNLTVPMTMCLFPVPFPLTPSLRPQVSSINPTVTRSLLYSVLRDAPSERGPQSRDAQLS DYPSLDYQGLYVTLVTLLDLVPLLQHGQHDLGQSIFYTTTCLLPFLNDDVLSTLPYTMIS TLATFPPFLHKDIIEYLSTSFLPMAILGSSGREGVPAHVNLSASSMLMIAMQYTSNPVYH CQLLECLMKYKQEVWKDLLYVIAYGPSQVKPPAVQMLFHYWPNLKPPGAISEYRGLQYTA WNPIHCQHIECHNAINKPAVKMCIDPSLSVALGDKPPPLYLCEECSERISGDHSEWLIDV LLPQAEISAICQKKNCSSHVRRAVVTCFSAGCCGRHGNRPVRYCKRCHSNHHSNEVGATA ETHLYQTSPPPINTRECGAEELVCAVEAVISLLKEAEFHAEQREHELNRRRQLGLSSSHH SLDNTDFDNKDDDKHDQRLLSQFGIWFLVSLCTPSENTPTESLARLVAMVFQWFHSTAYM MDDEVGSLVEKLKPQFVTKWLKTVCDVRFDVMVMCLLPKPMEFARVGGYWDKSCSTVTQL KEGLNRILCLIPYNVISQSVWECIMPEWLEAIRTEVPDNQLKEFREVLSKMFDIELCPLP FSMEEMFGFISCRFTGYPSTVQEQALLWLHVLSELDITVPLQLLISMFSDGVNSVKELAN QRKSRANELAGNLASRRVSVASDPGRRGQHNTLSPFHSPFQSPFRSPMRSPFRSPFKNFG HPGGRTIDFDCEDDDMNLNCFILMFDLLLKQMELQDDGITMGLEHSLSKDIISIINNVFQ APWGGSHSCQKDKKATECNLCQSSILCYQLACELLERLAPKEESRLVEPTDSLEDSLLSS RPEFILGPEGEEEENPAAKHGENPGNRTVPSEHAAIKNDTERKFCYQQLPVTLRLIYTIF QEMAKFEEPDILFNMLNCLKILCLHGECLYTARKDHPQFLAYIQDHMLIASLWRVVKSEF SQLSSLAVPLLLHALSLPHGADIFWTIINGNFNSKDWKMRFEAVEKVAVICRFLDIHSVT KNHLLKYSLAHAFCCFLTAVEDVNPAVATRAGLLLDTIKRPALQGLCLCLDFQFDTVVKD RPTILSKLLLLHFLKQDIPALSWEFFVNRFETLSLEAQLHLDCNKEFPFPTTITAVRTNV ANLSDAALWKIKRARFARNRQKSVRSLRDSVKGPAESKRALSLPETLTSKIRQQSPENDN TIKDLLPEDAGIDHQTVHQLITVLMKFMARDESSAESDISSAKAFNTVKRHLYVLLGYDQ QEGCFMIAPQKMRLSTCFNAFIAGIAQVMDYNINLGKHLLPLVVQVLKYCSCPQLRHYFQ QPPRCSLWSLKPHIRQMWLKALLVILYKYPYRDCDVSKTLLHLIHITVNTLNAQYHSCKP HATAGPLYTDNSNISRYSEKEKGEIELAEYRETGALQDSVLHCVREESIQKKKLRSLKQK SLDIGNADSLLFTLDEHRRKSCIDRCDIDKPPAQAAYISQRQNDHHGRSRQNSATRPDNT EIPKNPGTEGFQEIRRPVIPEVRLNCMETFEVRVDSPGKPAPREDLDLIDLSSDSTSGPE KHSILSTSDSDSLVFEPLPPLRIVESDEEEEMMNQGNGGALGNNAASSPSIPSQPSVLSL STTPLVQVSVEDCSKDFSSKDSGNHQSASNEDSTIAALDDLTDSEELSKSEELREFASGS PLTLKQKRDLLQKSSAVPEMSVDYNPEPSPAEEKPGQTPTSGVKTVLLKVPEDGENLIES EKPNTSAESDTEQNPERKVEEDGAEESEFKIQIVPRQRKQRKIAVSAIQREYLDISFNIL DKLGEQKDPDPSAKGLSTLEMPRESSSAPTLEAGAPETSSHSSISKQIQPGKRQCNVPMC LNPDLEGQPLRTRGATKSSLLSAPSIASMFVPAPEEFTEEQPTVMADKCHDCGAILEEYD EETLGLAIVVLSTFIHLSPDLAAPLLLDIMQSVGRLASSTTFSNQAESMMVPGNAAGVAK QFLRCIFHQLAPNGIFPQLFQSAIKDGTFLRTLATSLMDFNELSSIAALSQLLEGLNNKK NLPAGGAMIRCLENIATFMEALPMDSPSSLWTTISNQFQTFFAKLPCVLPLKCSLDSSLR IMICLLKIPSTNATRSLLEPFSKLLSFVIQNAVFTLAYLVELCGLCYRAFTKERDKFYLS RSVVLELLQALKLKSPLPDTNLLLLVQFICADAGTKLAESTILSKQMIASVPGCGTAAME CIRQYVSEVLEFMADMHTLTKLKSHMKTCSQPLHEDTFGGHLKVGLAQIAAMEISRGNHR DNKAVIRYLPWLYHPPSAMQQGPKEFIECVSHIRLLSWLLLGSLTHNAVCPNASSPCLPI PLDAGSHIADHLIVILIGFPEQSKTCVLHMCSLFHAFIFAQLWTVYCEQSAVATNVQNQN EFSFTAILTALEFWSRVTPSILQLMAHNKVMVEMVCLHVISLMEALQECNSTIFVKLIPM WLPMIQSNTKHLSAGLQLRLQAIQNNVNHHSLRTLPGSGQSSAGLAALRKWLQCTQFKMA QVEIQSSEAASQFYPL >ENSMUSP00000136332.1 pep:known chromosome:GRCm38:12:102949554:103183613:1 gene:ENSMUSG00000021198.16 transcript:ENSMUST00000179002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc79 description:unc-79 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2684729] MSTKAEQFASKIRYLQEYHNRVLHNIYPVPSGTDIANTLKYFSQTLLSVLRDAPSERGPQ SRDAQLSDYPSLDYQGLYVTLVTLLDLVPLLQHGQHDLGQSIFYTTTCLLPFLNDDVLST LPYTMISTLATFPPFLHKDIIEYLSTSFLPMAILGSSGREGVPAHVNLSASSMLMIAMQY TSNPVYHCQLLECLMKYKQEVWKDLLYVIAYGPSQVKPPAVQMLFHYWPNLKPPGAISEY RGLQYTAWNPIHCQHIECHNAINKPAVKMCIDPSLSVALGDKPPPLYLCEECSERISGDH SEWLIDVLLPQAEISAICQKKNCSSHVRRAVVTCFSAGCCGRHGNRPVRYCKRCHSNHHS NEVGATAETHLYQTSPPPINTRECGAEELVCAVEAVISLLKEAEFHAEQREHELNRRRQL GLSSSHHSLDNTDFDNKDDDKHDQRLLSQFGIWFLVSLCTPSENTPTESLARLVAMVFQW FHSTAYMMDDEVGSLVEKLKPQFVTKWLKTVCDVRFDVMVMCLLPKPMEFARVGGYWDKS CSTVTQLKEGLNRILCLIPYNVISQSVWECIMPEWLEAIRTEVPDNQLKEFREVLSKMFD IELCPLPFSMEEMFGFISCRFTGYPSTVQEQALLWLHVLSELDITVPLQLLISMFSDGVN SVKELANQRKSRANELAGNLASRRVSVASDPGRRGQHNTLSPFHSPFQSPFRSPMRSPFR SPFKNFGHPGGRTIDFDCEDDDMNLNCFILMFDLLLKQMELQDDGITMGLEHSLSKDIIS IINNVFQAPWGGSHSCQKDKKATECNLCQSSILCYQLACELLERLAPKEESRLVEPTDSL EDSLLSSRPEFILGPEGEEEENPAAKHGENPGNRTVPSEHAAIKNDTERKFCYQQLPVTL RLIYTIFQEMAKFEEPDILFNMLNCLKILCLHGECLYTARKDHPQFLAYIQDHMLIASLW RVVKSEFSQLSSLAVPLLLHALSLPHGADIFWTIINGNFNSKDWKMRFEAVEKVAVICRF LDIHSVTKNHLLKYSLAHAFCCFLTAVEDVNPAVATRAGLLLDTIKRPALQGLCLCLDFQ FDTVVKDRPTILSKLLLLHFLKQDIPALSWEFFVNRFETLSLEAQLHLDCNKEFPFPTTI TAVRTNVANLSDAALWKIKRARFARNRQKSVRSLRDSVKGPAESKRALSLPETLTSKIPV RLTRHEQSAPALGGTPEQTPGQQSPENDNTIKDLLPEDAGIDHQTVHQLITVLMKFMARD ESSAESDISSAKAFNTVKRHLYVLLGYDQQEGCFMIAPQKMRLSTCFNAFIAGIAQVMDY NINLGKHLLPLVVQVLKYCSCPQLRHYFQQPPRCSLWSLKPHIRQMWLKALLVILYKYPY RDCDVSKTLLHLIHITVNTLNAQYHSCKPHATAGPLYTDNSNISRYSEKEKEEDSVFDES DIHDTPTGPCNKESQTFFARLKRIGGSRMVKGQPVGMNVQRSEIELAEYRETGALQDSVL HCVREESIQKKKLRSLKQKSLDIGNADSLLFTLDEHRRKSCIDRCDIDKPPAQAAYISQR QNDHHGRSRQNSATRPDNTEIPKNPGTEGFQEIRRPVIPEVRLNCMETFEVRVDSPGKPA PREDLDLIDLSSDSTSGPEKHSILSTSDSDSLVFEPLPPLRIVESDEEEEMMNQGNGGAL GNNAASSPSIPSQPSVLSLSTTPLVQVSVEDCSKDFSSKDSGNHQSASNEDSTIAALDDL TDSEELSKSEELREFASGSPLTLKQKRDLLQKSSAVPEMSVDYNPEPSPAEEKPGQTPTS GVKTVLLKVPEDGENLIESEKPNTSAESDTEQNPERKVEEDGAEESEFKIQIVPRQRKQR KIAVSAIQREYLDISFNILDKLGEQKDPDPSAKGLSTLEMPRESSSAPTLEAGAPETSSH SSISTQYRQMKRGSLGVLTMSQLMKRQLEHQSSAPHNISSWDTEQIQPGKRQCNVPMCLN PDLEGQPLRTRGATKSSLLSAPSIASMFVPAPEEFTEEQPTVMADKCHDCGAILEEYDEE TLGLAIVVLSTFIHLSPDLAAPLLLDIMQSVGRLASSTTFSNQAESMMVPGNAAGVAKQF LRCIFHQLAPNGIFPQLFQSAIKDGTFLRTLATSLMDFNELSSIAALSQLLEGLNNKKNL PAGGAMIRCLENIATFMEALPMDSPSSLWTTISNQFQTFFAKLPCVLPLKCSLDSSLRIM ICLLKIPSTNATRSLLEPFSKLLSFVIQNAVFTLAYLVELCGLCYRAFTKERDKFYLSRS VVLELLQALKLKSPLPDTNLLLLVQFICADAGTKLAESTILSKQMIASVPGCGTAAMECI RQYVSEVLEFMADMHTLTKLKSHMKTCSQPLHEDTFGGHLKVGLAQIAAMEISRGNHRDN KAVIRYLPWLYHPPSAMQQGPKEFIECVSHIRLLSWLLLGSLTHNAVCPNASSPCLPIPL DAGSHIADHLIVILIGFPEQSKTCVLHMCSLFHAFIFAQLWTVYCEQSAVATNVQNQNEF SFTAILTALEFWSRVTPSILQLMAHNKVMVEMVCLHVISLMEALQECNSTIFVKLIPMWL PMIQSNTKHLSAGLQLRLQAIQNNVNHHSLRTLPGSGQSSAGLAALRKWLQCTQFKMAQV EIQSSEAASQFYPL >ENSMUSP00000137132.1 pep:known chromosome:GRCm38:12:103001838:103059428:1 gene:ENSMUSG00000021198.16 transcript:ENSMUST00000178001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc79 description:unc-79 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2684729] CLLPFLNDDVLSTLPYTMISTLATFPPFLHKDIIEYLSTSFLPMAILGSSGREGVPAHVN LSASSMLMIAMQYTSNPAWNPIHCQHIECHNAINKPAVKMCIDPSLSVALGDKPPPLYLC EECSERISGDHSEWLIDVLLPQAEISAICQKKNCSSHVRRAVVTCFSAGCCGRHGNRPVR YCKRCHSN >ENSMUSP00000136888.1 pep:known chromosome:GRCm38:12:103001946:103184065:1 gene:ENSMUSG00000021198.16 transcript:ENSMUST00000178076.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc79 description:unc-79 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2684729] LSTSFLPMAILGSSGREGVPAHVNLSASSMLMIAMQYTSNPVYHCQLLECLMKYKQEVWK DLLYVIAYGPSQVKPPAVQMLFHYWPNLKPPGAISEYRGLQYTAWNPIHCQHIECHNAIN KPAVKMCIDPSLSVALGDKPPPLYLCEECSERISGDHSEWLIDVLLPQAEISAICQKKNC SSHVRRAVVTCFSAGCCGRHGNRPVRYCKRCHSNHHSNEVGATAETHLYQTSPPPINTRE CGAEELVCAVEAVISLLKEAEFHAEQREHELNRRRQLGLSSSHHSLDNTDFDNKDDDKHD QRLLSQFGIWFLVSLCTPSENTPTESLARLVAMVFQWFHSTAYMMDDEVGSLVEKLKPQF VTKWLKTVCDVRFDVMVMCLLPKPMEFARVGGYWDKSCSTVTQLKEGLNRILCLIPYNVI SQSVWECIMPEWLEAIRTEVPDNQLKEFREVLSKMFDIELCPLPFSMEEMFGFISCRFTG YPSTVQEQALLWLHVLSELDITVPLQLLISMFSDGVNSVKELANQRKSRANELAGNLASR RVSVASDPGRRGQHNTLSPFHSPFQSPFRSPMRSPFRSPFKNFGHPGGRTIDFDCEDDDM NLNCFILMFDLLLKQMELQDDGITMGLEHSLSKDIISIINNVFQAPWGGSHSCQKDKKAT ECNLCQSSILCYQLACELLERLAPKEESRLVEPTDSLEDSLLSSRPEFILGPEGEEEENP AAKHGENPGNRTVPSEHAAIKNDTERKFCYQQLPVTLRLIYTIFQEMAKFEEPDILFNML NCLKILCLHGECLYTARKDHPQFLAYIQDHMLIASLWRVVKSEFSQLSSLAVPLLLHALS LPHGADIFWTIINGNFNSKDWKMRFEAVEKVAVICRFLDIHSVTKNHLLKYSLAHAFCCF LTAVEDVNPAVATRAGLLLDTIKRPALQGLCLCLDFQFDTVVKDRPTILSKLLLLHFLKQ DIPALSWEFFVNRFETLSLEAQLHLDCNKEFPFPTTITAVRTNVANLSDAALWKIKRARF ARNRQKSVRSLRDSVKGPAESKRALSLPETLTSKIPVRLTRHEQSAPALGGTPEQTPGQQ SPENDNTIKDLLPEDAGIDHQTVHQLITVLMKFMARDESSAESDISSAKAFNTVKRHLYV LLGYDQQEGCFMIAPQKMRLSTCFNAFIAGIAQVMDYNINLGKHLLPLVVQVLKYCSCPQ LRHYFQQPPRCSLWSLKPHIRQMWLKALLVILYKYPYRDCDVSKTLLHLIHITVNTLNAQ YHSCKPHATAGPLYTDNSNISRYSEKEKGEIELAEYRETGALQDSVLHCVREESIQKKKL RSLKQKSLDIGNADSLLFTLDEHRRKSCIDRCDIDKPPAQAAYISQRQNDHHGRSRQNSA TRPDNTEIPKNPGTEGFQEIRRPVIPEVRLNCMETFEVRVDSPGKPAPREDLDLIDLSSD STSGPEKHSILSTSDSDSLVFEPLPPLRIVESDEEEEMMNQGNGGALGNNAASSPSIPSQ PSVLSLSTTPLVQVSVEDCSKDFSSKDSGNHQSASNEDSTIAALDDLTDSEELSKSEELR EFASGSPLTLKQKRDLLQKSSAVPEMSVDYNPEPSPAEEKPGQTPTSGVKTVLLKVPEDG ENLIESEKPNTSAESDTEQNPERKVEEDGAEESEFKIQIVPRQRKQRKIAVSAIQREYLD ISFNILDKLGEQKDPDPSAKGLSTLEMPRESSSAPTLEAGAPETSSHSSISTQYRQMKRG SLGVLTMSQLMKRQLEHQSSAPHNISSWDTEQIQPGKRQCNVPMCLNPDLEGQPLRTRGA TKSSLLSAPSIASMFVPAPEEFTEEQPTVMADKCHDCGAILEEYDEETLGLAIVVLSTFI HLSPDLAAPLLLDIMQSVGRLASSTTFSNQAESMMVPGNAAGVAKQFLRCIFHQLAPNGI FPQLFQSAIKDGTFLRTLATSLMDFNELSSIAALSQLLEGLNNKKNLPAGGAMIRCLENI ATFMEALPMDSPSSLWTTISNQFQTFFAKLPCVLPLKCSLDSSLRIMICLLKIPSTNATR SLLEPFSKLLSFVIQNAVFTLAYLVELCGLCYRAFTKERDKFYLSRSVVLELLQALKLKS PLPDTNLLLLVQFICADAGTKLAESTILSKQMIASVPGCGTAAMECIRQYVSEVLEFMAD MHTLTKLKSHMKTCSQPLHEDTFGGHLKVGLAQIAAMEISRGNHRDNKAVIRYLPWLYHP PSAMQQGPKEFIECVSHIRLLSWLLLGSLTHNAVCPNASSPCLPIPLDAGSHIADHLIVI LIGFPEQSKTCVLHMCSLFHAFIFAQLWTVYCEQSAVATNVQNQNEFSFTAILTALEFWS RVTPSILQLMAHNKVMVEMVCLHVISLMEALQECNSTIFVKLIPMWLPMIQSNTKHLSAG LQLRLQAIQNNVNHHSLRTLPGSGQSSAGLAALRKWLQCTQFKMAQVEIQSSEAASQFYP L >ENSMUSP00000137320.1 pep:known chromosome:GRCm38:12:103095094:103111273:1 gene:ENSMUSG00000021198.16 transcript:ENSMUST00000177984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc79 description:unc-79 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2684729] XKRALSLPETLTSKIPVRLTRHEQSAPALGGTPEQTPGQQSPENDNTIKDLLPEDAGIDH QTVHQLITVLMKFMARDESSAESDISSAKAFNTVKRHLYVLLGYDQQEGCFMIAPQKMRL STCFNAFIAGIAQPPRCSLWSLKPHIRQMWLKALLVILYKYPYRDCDVSKTLLHLIHITV NTLNAQYHSCKPHATAGPLYTDNSNISRYSEKEKEEDSVFDESDIHDTPTG >ENSMUSP00000136293.1 pep:known chromosome:GRCm38:12:103134541:103146306:1 gene:ENSMUSG00000021198.16 transcript:ENSMUST00000178467.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unc79 description:unc-79 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2684729] GRLASSTTFSNQAESMMVPGNAAGVAKQFLRCIFHQLAPNGIFPQLFQSAIKDGTFLRTL ATSLMDFNELSSIAALSQLLEQGVL >ENSMUSP00000082156.4 pep:known chromosome:GRCm38:12:103001889:103183998:1 gene:ENSMUSG00000021198.16 transcript:ENSMUST00000085079.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc79 description:unc-79 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2684729] MISTLATFPPFLHKDIIEYLSTSFLPMAILGSSGREGVPAHVNLSASSMLMIAMQYTSNP VYHCQLLECLMKYKQEVWKDLLYVIAYGPSQVKPPAVQMLFHYWPNLKPPGAISEYRGLQ YTAWNPIHCQHIECHNAINKPAVKMCIDPSLSVALGDKPPPLYLCEECSERISGDHSEWL IDVLLPQAEISAICQKKNCSSHVRRAVVTCFSAGCCGRHGNRPVRYCKRCHSNHHSNEVG ATAETHLYQTSPPPINTRECGAEELVCAVEAVISLLKEAEFHAEQREHELNRRRQLGLSS SHHSLDNTDFDNKDDDKHDQRLLSQFGIWFLVSLCTPSENTPTESLARLVAMVFQWFHST AYMMDDEVGSLVEKLKPQFVTKWLKTVCDVRFDVMVMCLLPKPMEFARVGGYWDKSCSTV TQLKEGLNRILCLIPYNVISQSVWECIMPEWLEAIRTEVPDNQLKEFREVLSKMFDIELC PLPFSMEEMFGFISCRFTGYPSTVQEQALLWLHVLSELDITVPLQLLISMFSDGVNSVKE LANQRKSRANELAGNLASRRVSVASDPGRRGQHNTLSPFHSPFQSPFRSPMRSPFRSPFK NFGHPGGRTIDFDCEDDDMNLNCFILMFDLLLKQMELQDDGITMGLEHSLSKDIISIINN VFQAPWGGSHSCQKDKKATECNLCQSSILCYQLACELLERLAPKEESRLVEPTDSLEDSL LSSRPEFILGPEGEEEENPAAKHGENPGNRTVPSEHAAIKNDTERKFCYQQLPVTLRLIY TIFQEMAKFEEPDILFNMLNCLKILCLHGECLYTARKDHPQFLAYIQDHMLIASLWRVVK SEFSQLSSLAVPLLLHALSLPHGADIFWTIINGNFNSKDWKMRFEAVEKVAVICRFLDIH SVTKNHLLKYSLAHAFCCFLTAVEDVNPAVATRAGLLLDTIKRPALQGLCLCLDFQFDTV VKDRPTILSKLLLLHFLKQDIPALSWEFFVNRFETLSLEAQLHLDCNKEFPFPTTITAVR TNVANLSDAALWKIKRARFARNRQKSVRSLRDSVKGPAESKRALSLPETLTSKIRQQSPE NDNTIKDLLPEDAGIDHQTVHQLITVLMKFMARDESSAESDISSAKAFNTVKRHLYVLLG YDQQEGCFMIAPQKMRLSTCFNAFIAGIAQVMDYNINLGKHLLPLVVQVLKYCSCPQLRH YFQQPPRCSLWSLKPHIRQMWLKALLVILYKYPYRDCDVSKTLLHLIHITVNTLNAQYHS CKPHATAGPLYTDNSNISRYSEKEKGEIELAEYRETGALQDSVLHCVREESIQKKKLRSL KQKSLDIGNADSLLFTLDEHRRKSCIDRCDIDKPPAQAAYISQRQNDHHGRSRQNSATRP DNTEIPKNPGTEGFQEIRRPVIPEVRLNCMETFEVRVDSPGKPAPREDLDLIDLSSDSTS GPEKHSILSTSDSDSLVFEPLPPLRIVESDEEEEMMNQGNGGALGNNAASSPSIPSQPSV LSLSTTPLVQVSVEDCSKDFSSKDSGNHQSASNEDSTIAALDDLTDSEELSKSEELREFA SGSPLTLKQKRDLLQKSSAVPEMSVDYNPEPSPAEEKPGQTPTSGVKTVLLKVPEDGENL IESEKPNTSAESDTEQNPERKVEEDGAEESEFKIQIVPRQRKQRKIAVSAIQREYLDISF NILDKLGEQKDPDPSAKGLSTLEMPRESSSAPTLEAGAPETSSHSSISTQYRQMKRGSLG VLTMSQLMKRQLEHQSSAPHNISSWDTEQIQPGKRQCNVPMCLNPDLEGQPLRTRGATKS SLLSAPSIASMFVPAPEEFTEEQPTVMADKCHDCGAILEEYDEETLGLAIVVLSTFIHLS PDLAAPLLLDIMQSVGRLASSTTFSNQAESMMVPGNAAGVAKQFLRCIFHQLAPNGIFPQ LFQSAIKDGTFLRTLATSLMDFNELSSIAALSQLLEGLNNKKNLPAGGAMIRCLENIATF MEALPMDSPSSLWTTISNQFQTFFAKLPCVLPLKCSLDSSLRIMICLLKIPSTNATRSLL EPFSKLLSFVIQNAVFTLAYLVELCGLCYRAFTKERDKFYLSRSVVLELLQALKLKSPLP DTNLLLLVQFICADAGTKLAESTILSKQMIASVPGCGTAAMECIRQYVSEVLEFMADMHT LTKLKSHMKTCSQPLHEDTFGGHLKVGLAQIAAMEISRGNHRDNKAVIRYLPWLYHPPSA MQQGPKEFIECVSHIRLLSWLLLGSLTHNAVCPNASSPCLPIPLDAGSHIADHLIVILIG FPEQSKTCVLHMCSLFHAFIFAQLWTVYCEQSAVATNVQNQNEFSFTAILTALEFWSRVT PSILQLMAHNKVMVEMVCLHVISLMEALQECNSTIFVKLIPMWLPMIQSNTKHLSAGLQL RLQAIQNNVNHHSLRTLPGSGQSSAGLAALRKWLQCTQFKMAQVEIQSSEAASQFYPL >ENSMUSP00000073751.6 pep:known chromosome:GRCm38:5:43993620:44101954:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000074113.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEPEIIVLALKIALYEIGVLICAILGLLF IILMPLVGCFFCMCRCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVA NQQTRTRIKGTQKLAKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGG RIKDQLKPKVTPVLEEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIE NSLSSSDCTSDPASKICDSIRPSLSSLGSSLNSSQLPSVDRELNTVTEVDKTDLESLVKR GYTTIDEIPNTIQNQTVDVIKDVKNTLDSISSNIKDMSQSIPIEDMLLQVSHYLNNSNRY LNQELPKLEEYDSYWWLGGLIVCFLLTLIVTFFFLGLLCGVFGYDKHATPTRRGCVSNTG GIFLMAGVGFGFLFCWILMILVVLTFVVGANVEKLLCEPYENKKLLQVLDTPYLLKEQWQ FYLSGMLFNNPDINMTFEQVYRDCKRGRGIYAAFQLENVVNVSDHFNIDQISENINTELE NLNVNIDSIELLDNTGRKSLEDFAHSGIDTIDYSTYLKETEKSPTEVNLLTFASTLEAKA NQLPEGKLKQAFLLDVQNIRAIHQHLLPPVQQSLNTLRQSVWTLQQTSNKLPEKVKKILA SLDSVQHFLTNNVSLIVIGETKKFGKTILGYFEHYLHWVFYAITEKMTSCKPMATAMDSA VNGILCGYVADPLNLFWFGIGKATVLLLPAVIIAIKLAKYYRRMDSEDVYDDVETVPMKN LEIGSNGYHKDHLYGVHNPVMTSPSRY >ENSMUSP00000142483.1 pep:known chromosome:GRCm38:5:43993622:44004919:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000196378.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] XGILCGYVADPLNLFWFGIGKATVLLLPAVIIAIKLAKYYRRMDSEDVYDDVETVPMKNP SRY >ENSMUSP00000129909.1 pep:known chromosome:GRCm38:5:43993622:44099225:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000165909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEIALYEIGVLICAILGLLFIILMPLVGC FFCMCRCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVANQQTRTRIK GTQKLAKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGGRIKDQLKPK VTPVLEEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIENSLSSSDCT SDPASKICDSIRPSLSSLGSSLNSSQLPSVDRELNTVTEVDKTDLESLVKRGYTTIDEIP NTIQNQTVDVIKDVKNTLDSISSNIKDMSQSIPIEDMLLQVSHYLNNSNRYLNQELPKLE EYDSYWWLGGLIVCFLLTLIVTFFFLGLLCGVFGYDKHATPTRRGCVSNTGGIFLMAGVG FGFLFCWILMILVVLTFVVGANVEKLLCEPYENKKLLQVLDTPYLLKEQWQFYLSGMLFN NPDINMTFEQVYRDCKRGRGIYAAFQLENVVNVSDHFNIDQISENINTELENLNVNIDSI ELLDNTGRKSLEDFAHSGIDTIDYSTYLKETEKSPTEVNLLTFASTLEAKANQLPEGKLK QAFLLDVQNIRAIHQHLLPPVQQSLNTLRQSVWTLQQTSNKLPEKVKKILASLDSVQHFL TNNVSLIVIGETKKFGKTILGYFEHYLHWVFYAITEKMTSCKPMATAMDSAVNGILCGYV ADPLNLFWFGIGKATVLLLPAVIIAIKLAKYYRRMDSEDVYDDPSRY >ENSMUSP00000084707.4 pep:known chromosome:GRCm38:5:43993622:44101681:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000087441.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEIALYEIGVLICAILGLLFIILMPLVGC FFCMCRCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVANQQTRTRIK GTQKLAKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGGRIKDQLKPK VTPVLEEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIENSLSSSDCT SDPASKICDSIRPSLSSLGSSLNSSQLPSVDRELNTVTEVDKTDLESLVKRGYTTIDEIP NTIQNQTVDVIKDVKNTLDSISSNIKDMSQSIPIEDMLLQVSHYLNNSNRYLNQELPKLE EYDSYWWLGGLIVCFLLTLIVTFFFLGLLCGVFGYDKHATPTRRGCVSNTGGIFLMAGVG FGFLFCWILMILVVLTFVVGANVEKLLCEPYENKKLLQVLDTPYLLKEQWQFYLSGMLFN NPDINMTFEQVYRDCKRGRGIYAAFQLENVVNVSDHFNIDQISENINTELENLNVNIDSI ELLDNTGRKSLEDFAHSGIDTIDYSTYLKETEKSPTEVNLLTFASTLEAKANQLPEGKLK QAFLLDVQNIRAIHQHLLPPVQQSLNTLRQSVWTLQQTSNKLPEKVKKILASLDSVQHFL TNNVSLIVIGETKKFGKTILGYFEHYLHWVFYAITEKMTSCKPMATAMDSAVNGILCGYV ADPLNLFWFGIGKATVLLLPAVIIAIKLAKYYRRMDSEDVYDDVETVPMKNLEIGSNGYH KDHLYGVHNPVMTSPSRY >ENSMUSP00000128978.1 pep:known chromosome:GRCm38:5:43993622:44102032:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000171543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEPEIIVLALKIALYEIGVLICAILGLLF IILMPLVGCFFCMCRCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVA NQQTRTRIKGTQKLAKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGG RIKDQLKPKVTPVLEEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIE NSLSSSDCTSDPASKICDSIRPSLSSLGSSLNSSQLPSVDRELNTVTEVDKTDLESLVKR GYTTIDEIPNTIQNQTVDVIKDVKNTLDSISSNIKDMSQSIPIEDMLLQVSHYLNNSNRY LNQELPKLEEYDSYWWLGGLIVCFLLTLIVTFFFLGLLCGVFGYDKHATPTRRGCVSNTG GIFLMAGVGFGFLFCWILMILVVLTFVVGANVEKLLCEPYENKKLLQVLDTPYLLKEQWQ FYLSGMLFNNPDINMTFEQVYRDCKRGRGIYAAFQLENVVNVSDHFNIDQISENINTELE NLNVNIDSIELLDNTGRKSLEDFAHSGIDTIDYSTYLKETEKSPTEVNLLTFASTLEAKA NQLPEGKLKQAFLLDVQNIRAIHQHLLPPVQQSLKFVRVRNTLRQSVWTLQQTSNKLPEK VKKILASLDSVQHFLTNNVSLIVIGETKKFGKTILGYFEHYLHWVFYAITEKMTSCKPMA TAMDSAVNGILCGYVADPLNLFWFGIGKATVLLLPAVIIAIKLAKYYRRMDSEDVYDDPS RY >ENSMUSP00000142375.1 pep:known chromosome:GRCm38:5:44001280:44099192:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000197750.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEIALYEIGVLICAILGLLFIILMPLVGC FFCMCRCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVANQQTRTRIK GTQKLAKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGGRIKDQLKPK VTPVLEEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIENSLSSSDCT SDPASKICDSIRPSLSSLGSSLNSSQLPSVDRELNTVTEVDKTDLESLVKRGYTTIDEIP NTIQNQTVDVIKDVKNTLDSISSNIKDMSQSIPIEDMLLQVSHYLNNSNRYLNQELPKLE EYDSYWWLGGLIVCFLLTLIVTFFFLGLLCGVFGYDKHATPTRRGCVSNTGGIFLMAGVG FGFLFCWILMILVVLTFVVGANVEKLLCEPYENKKLLQVLDTPYLLKEQWQFYLSGMLFN NPDINMTFEQVYRDCKRGRGIYAAFQLENVVNVSDHFNIDQISENINTELENLNVNIDSI ELLDNTGRKSLEDFAHSGIDTIDYSTYLKETEKSPTEVNLLTFASTLEAKANQLPEGKLK QAFLLDVQNIRAIHQHLLPPVQQSLNTLRQSVWTLQQTSNKLPEKVKKILASLDSVQHFL TNNVSLIVIGETKKFGKTILGYFEHYLHWVFYAITEKMTSCKPMATAMDSAVNGILCGYV ADPLNLFWFGIGKATVLLLPAVIIAIKLAKYYRRMDSEDVYDDSSVSGMWHFTL >ENSMUSP00000142632.1 pep:known chromosome:GRCm38:5:44001443:44094713:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000197706.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEIGVLICAILGLLFIILMPLVGCFFCMC RCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVANQQTRTRIKGTQKL AKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGGRIKDQLKPKVTPVL EEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIENSLSSSDCTSDPAS KICDSIRPSLSSLGSSLNSSQGYTTIDEIPNTIQNQTVDVIKDVKNTLDSISSNIKDMSQ SIPIEDMLLQVSHYLNNSNRYLNQELPKLEEYDSYWWLGGLIVCFLLTLIVTFFFLGLLC GVFGYDKHATPTRRGCVSNTGGIFLMAGVGFGFLFCWILMILVVLTFVVGANVEKLLCEP YENKKLLQVLDTPYLLKEQWQFYLSGMLFNNPDINMTFEQVYRDCKRGRGIYAAFQLENV VNVSDHFNIDQISENINTELENLNVNIDSIELLDNTGRKSLEDFAHSGIDTIDYSTYLKE TEKSPTEVNLLTFASTLEAKANQLPEGKLKQAFLLDVQNIRAIHQHLLPPVQQSLNTLRQ SVWTLQQTSNKLPEKVKKILASLDSVQHFLTNNVSLIVIGETKKFGKTILGYFEHYLHWV FYAITEKMTSCKPMATAMDSAVNGILCGYVADPLNLFWFGIGKATVLLLPAVIIAIKLAK YYRRMDSEDVYDDSSVSGMWHFTL >ENSMUSP00000030973.7 pep:known chromosome:GRCm38:5:44001443:44094713:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000030973.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEIALYEIGVLICAILGLLFIILMPLVGC FFCMCRCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVANQQTRTRIK GTQKLAKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGGRIKDQLKPK VTPVLEEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIENSLSSSDCT SDPASKICDSIRPSLSSLGSSLNSSQGYTTIDEIPNTIQNQTVDVIKDVKNTLDSISSNI KDMSQSIPIEDMLLQVSHYLNNSNRYLNQELPKLEEYDSYWWLGGLIVCFLLTLIVTFFF LGLLCGVFGYDKHATPTRRGCVSNTGGIFLMAGVGFGFLFCWILMILVVLTFVVGANVEK LLCEPYENKKLLQVLDTPYLLKEQWQFYLSGMLFNNPDINMTFEQVYRDCKRGRGIYAAF QLENVVNVSDHFNIDQISENINTELENLNVNIDSIELLDNTGRKSLEDFAHSGIDTIDYS TYLKETEKSPTEVNLLTFASTLEAKANQLPEGKLKQAFLLDVQNIRAIHQHLLPPVQQSL NTLRQSVWTLQQTSNKLPEKVKKILASLDSVQHFLTNNVSLIVIGETKKFGKTILGYFEH YLHWVFYAITEKMTSCKPMATAMDSAVNGILCGYVADPLNLFWFGIGKATVLLLPAVIIA IKLAKYYRRMDSEDVYDDSSVSGMWHFTL >ENSMUSP00000142677.1 pep:known chromosome:GRCm38:5:44026795:44045573:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000198347.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] XVVEQYTNTKNKAFSDLDGIGSVLGGRIKDQLKPKVTPVLEEIKAMATAIKQTKDALQNM SSSLKSLQDAATQLNTNLSSVRNSIENSLSSSDCTSDPASKICDSIRPSLSSLGSSLNSS QLPSVDRELNTVTEVDKTDLESLVKRVCSLEQLRGIRQLMKYPIQYKTKLWMSSKTSKIP WTPLAPTLRT >ENSMUSP00000143403.1 pep:known chromosome:GRCm38:5:44056069:44099186:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000196178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEIALYE >ENSMUSP00000137557.1 pep:known chromosome:GRCm38:5:43993625:44094768:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000179059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEPEIIVLALKIALYEIGVLICAILGLLF IILMPLVGCFFCMCRCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVA NQQTRTRIKGTQKLAKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGG RIKDQLKPKVTPVLEEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIE NSLSSSDCTSDPASKICDSIRPSLSSLGSSLNSSQLPSVDRELNTVTEVDKTDLESLVKR GYTTIDEIPNTIQNQTVDVIKDVKNTLDSISSNIKDMSQSIPIEDMLLQVSHYLNNSNRY LNQELPKLEEYDSYWWLGGLIVCFLLTLIVTFFFLGLLCGVFGYDKHATPTRRGCVSNTG GIFLMAGVGFGFLFCWILMILVVLTFVVGANVEKLLCEPYENKKLLQVLDTPYLLKEQWQ FYLSGMLFNNPDINMTFEQVYRDCKRGRGIYAAFQLENVVNVSDHFNIDQISENINTELE NLNVNIDSIELLDNTGRKSLEDFAHSGIDTIDYSTYLKETEKSPTEVNLLTFASTLEAKA NQLPEGKLKQAFLLDVQNIRAIHQHLLPPVQQSLKFVRVRNTLRQSVWTLQQTSNKLPEK VKKILASLDSVQHFLTNNVSLIVIGETKKFGKTILGYFEHYLHWVFYAITEKMTSCKPMA TAMDSAVNGILCGYVADPLNLFWFGIGKATVLLLPAVIIAIKLAKYYRRMDSEDVYDDPS RY >ENSMUSP00000136483.1 pep:known chromosome:GRCm38:5:43993625:44094768:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000177946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEIALYEIGVLICAILGLLFIILMPLVGC FFCMCRCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVANQQTRTRIK GTQKLAKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGGRIKDQLKPK VTPVLEEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIENSLSSSDCT SDPASKICDSIRPSLSSLGSSLNSSQLPSVDRELNTVTEVDKTDLESLVKRGYTTIDEIP NTIQNQTVDVIKDVKNTLDSISSNIKDMSQSIPIEDMLLQVSHYLNNSNRYLNQELPKLE EYDSYWWLGGLIVCFLLTLIVTFFFLGLLCGVFGYDKHATPTRRGCVSNTGGIFLMAGVG FGFLFCWILMILVVLTFVVGANVEKLLCEPYENKKLLQVLDTPYLLKEQWQFYLSGMLFN NPDINMTFEQVYRDCKRGRGIYAAFQLENVVNVSDHFNIDQISENINTELENLNVNIDSI ELLDNTGRKSLEDFAHSGIDTIDYSTYLKETEKSPTEVNLLTFASTLEAKANQLPEGKLK QAFLLDVQNIRAIHQHLLPPVQQSLNTLRQSVWTLQQTSNKLPEKVKKILASLDSVQHFL TNNVSLIVIGETKKFGKTILGYFEHYLHWVFYAITEKMTSCKPMATAMDSAVNGILCGYV ADPLNLFWFGIGKATVLLLPAVIIAIKLAKYYRRMDSEDVYDDPSRY >ENSMUSP00000084709.4 pep:known chromosome:GRCm38:5:44001443:44094768:-1 gene:ENSMUSG00000029086.15 transcript:ENSMUST00000087442.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom1 description:prominin 1 [Source:MGI Symbol;Acc:MGI:1100886] MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVH IFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEIALYEIGVLICAILGLLFIILMPLVGC FFCMCRCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVANQQTRTRIK GTQKLAKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGGRIKDQLKPK VTPVLEEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIENSLSSSDCT SDPASKICDSIRPSLSSLGSSLNSSQLPSVDRELNTVTEVDKTDLESLVKRGYTTIDEIP NTIQNQTVDVIKDVKNTLDSISSNIKDMSQSIPIEDMLLQVSHYLNNSNRYLNQELPKLE EYDSYWWLGGLIVCFLLTLIVTFFFLGLLCGVFGYDKHATPTRRGCVSNTGGIFLMAGVG FGFLFCWILMILVVLTFVVGANVEKLLCEPYENKKLLQVLDTPYLLKEQWQFYLSGMLFN NPDINMTFEQVYRDCKRGRGIYAAFQLENVVNVSDHFNIDQISENINTELENLNVNIDSI ELLDNTGRKSLEDFAHSGIDTIDYSTYLKETEKSPTEVNLLTFASTLEAKANQLPEGKLK QAFLLDVQNIRAIHQHLLPPVQQSLNTLRQSVWTLQQTSNKLPEKVKKILASLDSVQHFL TNNVSLIVIGETKKFGKTILGYFEHYLHWVFYAITEKMTSCKPMATAMDSAVNGILCGYV ADPLNLFWFGIGKATVLLLPAVIIAIKLAKYYRRMDSEDVYDDSSVSGMWHFTL >ENSMUSP00000047573.2 pep:known chromosome:GRCm38:17:48932379:49097588:1 gene:ENSMUSG00000040490.4 transcript:ENSMUST00000046254.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrfn2 description:leucine rich repeat and fibronectin type III domain containing 2 [Source:MGI Symbol;Acc:MGI:1917780] METLLGGLLAFGMAFAVVDACPKYCVCQNLSESLGTLCPSKGLLFVPPDIDRRTVELRLG GNFIIHIGRQDFANMTGLVDLTLSRNTISHIQPFSFLDLESLRSLHLDSNRLPSLGEDTL RGLVNLQHLIVNNNQLGGIADDAFEDFLLTLEDLDLSYNNLHGLPWDSVRRMVNLHQLSL DHNLLDHIAEGTFADLQKLARLDLTSNRLQKLPPDPIFARSQASLLTATPFAPPLSFSFG GNPLHCNCELLWLRRLERDDDLETCGSPGSLKGRYFWHIREEEFVCEPPLITQHTHKLLV LEGQAATLKCKAIGDPSPLIHWVAPDDRLVGNSSRTAVYDNGTLDILITTSQDSGPFTCI AANAAGEATATVEVSIVQLPHLSNSTSRMAPPKSRLSDITGSSKTSRGGGGSGAGEPPKS TPERAVLVSDVTTTSALVKWSVSKSAPRVKMYQLQYNCSDDEVLIYRMIPASNKAFVVNN LVSGTGYDLCVLAMWDDTATTLTATNIVGCAQFFTKADYPQCQSMHSQILGGTMILVIGG IIVATLLVFIVILMVRYKVCNHDTPGKMAAATVSNVYSQTNGSQPPPLGGIPVGQLPQAP PKVVVRNELMDFSTSLARACDSSSSSSLGSGEAAGLGRGPWRLPPPAPRPKPSLDRLMGA FASLDLKSQRKEELLDSRTPAGRGAGTSSRGHHSDREPLLGPPATRARSLLPLPLEGKAK RSHSFDMGDFAAAAAAVPGGYSPPRRVSNIWTKRSLSVNGMLLPFEESDLVGARGTFGSS EWVMESTV >ENSMUSP00000128792.2 pep:known chromosome:GRCm38:10:130417772:130429612:-1 gene:ENSMUSG00000092048.2 transcript:ENSMUST00000171811.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r85 description:vomeronasal 2, receptor 85 [Source:MGI Symbol;Acc:MGI:3646965] MKKMCSLTMSFLFLKFSLILCCLTEPICFWRIKSSEYNDENLQSECGYFLWTMKKLMKDD FYNVRLDFRMPAREYEFVLVMFFATDEINKNPDLLPNTSLVFSVMADKCQDSLGILDLKY MLVNDTLNYVNYVCEADDSCAIGLTGPSWKASLKLAIDTWAPKVFFGPFNPNLSDHDRFP NVHQIATKDTHLSHGMVSLMLHFRWTWIGLVISDDDQGVQLHSDLREESQRHGICLAFVN VIPETMKIYMTRGNMYDKQIMTSSAKVVIIYGEMNSTLEISFRRWVYLGAQRIWITTSQW DVITNKKDFSLDLFHGTVTFAHHKGWIAKFKNFMQTMNTSKYPISISESILRWNYLNCSV SKNSIKMDHFTCKNPLELTALHNYDMALSDEGYNLYNAVYAVAHTYHEHILQQVESQKMV EDKGKYTECQQLAPLLKTRVFTNPVGELVDMNHREYQCAEYDIFIIWNFPQGLGLKVKIG SYFPCFPQSQQLHISEDLEWATGGTSVPSSMCSVTCTAGFRKIHQKETADCCFDCVQCPE NEVSNETADMEQCVRCPDDKYANIEQTHCLQRAVSFLAYEDPLGMALGCMALSFTAITIL VLVTFVKYKDTPIVKANNCILSYILLISLVFCFLCSLLFIGHPNQVTCILQQTTFGLFFT VAVSTVLAKTITVVMAFKLTTPGRRMRGMMMMTGAPKLVIPICTLIQLVLCGIWLLTSPP FIERDIQSEHGKTVIFCNTGSVLSFHVVLGYLGSLALGSFTVAFLARNLPDRFNEAKFLT FSMLVFCSVWITFLPVYHSTRGKVMVVVEVFSILASSAGLLGCIFVPKCYVILVRPDSHF IQKYKGKLHY >ENSMUSP00000044089.5 pep:known chromosome:GRCm38:6:40493529:40494541:1 gene:ENSMUSG00000037140.6 transcript:ENSMUST00000038750.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r108 description:taste receptor, type 2, member 108 [Source:MGI Symbol;Acc:MGI:2681210] MLWELYVFVFAASVFLNFVGIIANLFIIVIIIKTWVNSRRIASPDRILFSLAITRFLTLG LFLLNSVYIATNTGRSVYFSTFFLLCWKFLDANSLWLVTILNSLYCVKITNFQHPVFLLL KRTISMKTTSLLLACLLISALTTLLYYMLSQISRFPEHIIGRNDTSFDLSDGILTLVASL VLNSLLQFMLNVTFASLLIHSLRRHIQKMQRNRTSFWNPQTEAHMGAMRLMICFLVLYIP YSIATLLYLPSYMRKNLRAQAICMIITAAYPPGHSVLLIITHHKLKAKAKKIFCFYK >ENSMUSP00000142366.1 pep:known chromosome:GRCm38:3:136053363:136326046:-1 gene:ENSMUSG00000037922.13 transcript:ENSMUST00000196159.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bank1 description:B cell scaffold protein with ankyrin repeats 1 [Source:MGI Symbol;Acc:MGI:2442120] MLPVASGTRGSTQDLFQVGLAPPGPEDYLEVSIPTDSRAKYPEDTSGQKGTDVLASLRPS VPRVLVLPGEIPCEKPGEIFILLKDELIGEILEVEFISTNKRLRARPARWNKSVWHMKAA DFPAGSVTVNIHCDGIIKATTEIKYCSAAKATESPFRVSDPGKSLCQKSIEELDNVLASI FKREIPYYEFKHLQAETYPQKERTHTTELPTLLHCAAKFGLKNLALHLLQCSGATRAARM KATDGSDLLHIAERHGHEELKEVFEDFLSQNTGRNSKQENDYEEDVISFSTYSPSMPSPA SLHELRKTHRRNTDRSEEPERSVEMKEEEAGAEARRSLSEGERESSENQYDDLYVFIPGF DTEGNSEEPLPHCRPPLLPPRPGTAASQLERPHFTSQGKVLEDQMERSQNWNDLNARPET REESSREEKKEEAQEEEEEEENPYAFAETEDNEYDLILASKSVKKRTGNRSFIINRPPAP TPRPTHIPPKEETTPYIAQVFQQKAARRQSDGDKFYSLPKKPDKTRMEGPTFPSTRDYLT TGQEELILLQERVKNGKMSVDEALEKFKHWQMGKSGLEMIQQEKLRQLRDNIIGKRPEDE NAYDKLTIVHHPSGNTAHNENMLYNSPFNSKFPARIQVEKEFGFCCKKDH >ENSMUSP00000035484.8 pep:known chromosome:GRCm38:3:136053363:136326066:-1 gene:ENSMUSG00000037922.13 transcript:ENSMUST00000041577.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bank1 description:B cell scaffold protein with ankyrin repeats 1 [Source:MGI Symbol;Acc:MGI:2442120] MLPVASGTRGSTQDLFQVGLAPPGNAKDILLLYEEDAEEWALYLREIFMRVVEREAILLY PLHSFSSSHLEMLNFYAYKCKLLIISNSLLKDLTPKKCQFLEKILHSTGNVVTLLCGMES SDPFYQLLSIPRKRWEISTEQDPDGYISVIRQILDQGPEDYLEVSIPTDSRAKYPEDTSG QKGTDVLASLRPSVPRVLVLPGEIPCEKPGEIFILLKDELIGEILEVEFISTNKRLRARP ARWNKSVWHMKAADFPAGSVTVNIHCDGIIKATTEIKYCSAAKATESPFRVSDPGKSLCQ KSIEELDNVLASIFKREIPYYEFKHLQAETYPQKERTHTTELPTLLHCAAKFGLKNLALH LLQCSGATRAARMKATDGSDLLHIAERHGHEELKEVFEDFLSQNTGRNSKQENDYEEDVI SFSTYSPSMPSPASLHELRKTHRRNTDRSEEPERSVEMKEEEAGAEARRSLSEGERESSE NQYDDLYVFIPGFDTEGNSEEPLPHCRPPLLPPRPGTAASQLERPHFTSQGKVLEDQMER SQNWNDLNARPETREESSREEKKEEAQEEEEEEENPYAFAETEDNEYDLILASKSVKKRT GNRSFIINRPPAPTPRPTHIPPKEETTPYIAQVFQQKAARRQSDGDKFYSLPKKPDKTRM EGPTFPSTRDYLTTGQEELILLQERVKNGKMSVDEALEKFKHWQMGKSGLEMIQQEKLRQ LRDNIIGKRPEDENAYDKLTIVHHPSGNTAHNENMLYNSPFNSKFPARIQVEKEFGFCCK KDH >ENSMUSP00000142996.1 pep:known chromosome:GRCm38:3:136053449:136326025:-1 gene:ENSMUSG00000037922.13 transcript:ENSMUST00000198206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bank1 description:B cell scaffold protein with ankyrin repeats 1 [Source:MGI Symbol;Acc:MGI:2442120] MLPVASGTRGSTQDLFQVGLAPPGPEDYLEVSIPTDSRAKYPEDTSGQKGTDVLASLRPS VPRVLVLPGEIPCEKPGEIFILLKDELIGEILEVEFISTNKRLRARPARWNKSVWHMKAA DFPAGSVTVNIHCDGIIKATTEIKYCSAAKATESPFRVSDPGKSLCQKSIEELDNVLASI FKREIPYYEFKHLQAETYPQKERTHTTELPTLLHCAAKFGLKNLALHLLQCSGATRAARM KATDGSDLLHIAERHGHEELKEVFEDFLSQNTGRNSKQENDYEEDVISFSTYSPCNSEEP LPHCRPPLLPPRPGTAASQLERPHFTSQAGKVLEDQMERSQNWNDLNARPETREESSREE KKEEAQEEEEEEENPYAFAETEDNEYDLILASKSVKKRTGNRSFIINRPPAPTPRPTHIP PKEETTPYIAQVFQQKAARRQSDGDKFYSLPKKPDKTRMEGPTFPSTRDYLTTGQEELIL LQERVKNGKMSVDEALEKFKHWQMGKSGLEMIQQEKLRQLRDNIIGKRPEDENAYDKLTI VHHPSGNTAHNENMLYNSPFNSKFPARIQVEKEFGFCCKKDH >ENSMUSP00000126596.2 pep:known chromosome:GRCm38:10:130445707:130455894:-1 gene:ENSMUSG00000092162.2 transcript:ENSMUST00000170257.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r86 description:vomeronasal 2, receptor 86 [Source:MGI Symbol;Acc:MGI:3649066] MKKMCALTISFLLLKFSLLLCCLKEPICFWRIKSSEYNDGDLQSECGYFLWTMKKLMKDD FYNVRLDFRIPASEYEFVLVMFFATDEINKNPDLLPNTSLVFSVMAGKCQDSLGNLDIQY MLINDSLNYVNYVCDIDDSCAIGLTGPSWKTSLKLAIDSWTPTVFFGPFNPNLSDHDRFP NVHQIATKDTHLSHGMVSLMLHFRWTWIGLVISDDDQGIQLHSDLREESQRHGICLAFVN VIPETMKIYMTRGNMYDKQIMTSSAKVVIIYGEMNSTLEISFRRWVYLGARRIWITTSQW DVITNKKDFSLDLFHGTVTFAHHKGWIAKFKNFMQTMNTSKYPINISQSILRWNYFNCSV SKNSIKMDHFTCKNPLELTALHNYDMALSDEGYNLYNAVYAVAHTYHEHILHQVESQKMV EDKGKYTECQQLALLLKTRVFTNPVGEMVNMNHREYQCAEYDIFIIWNFPQGLGLKVKIG SYFPCFPWSQQLHISEDLEWATGGTSVPSSICSVTCTPGFRKIHQKETADCCFDCVQCPE NEVSNETVDMEQCVRCPDDKYANLEQMHCLQRAVSFLAYEDPLGMALGCMALSFTAITIL VLVTFVKYKDTPIVKANNCILSYILLISLVFCFLCSLLFIGHPNQVTCILQQTTFGLFFT VAVSTVLAKTITVVMAFKLTTPGKRMRGMMTGAPKLVIPICTLIQLVLCGIWLLTSPPFI ERDIQSEHGKTVIFCNKGSVLSFHVVLGYLGSLALGSFIVAFLARNLPDRFNEAKFLTFS MLVFCSVWITFLPVYHSTRGKVMVVVEVFSILASSAGLLGCIFVPKCYVILVRPDSNYIQ KFKGKLLY >ENSMUSP00000096660.2 pep:known chromosome:GRCm38:2:172393794:172429554:1 gene:ENSMUSG00000074570.13 transcript:ENSMUST00000099061.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cass4 description:Cas scaffolding protein family member 4 [Source:MGI Symbol;Acc:MGI:2444482] MRGTSIREGAPKTLLARALYDNHADCSDELAFSRGDILTIVEQNVPESEGWWRCLLHGRQ GLAPANRLQVLRETPADRPCPLLPRGPDTDLTSSGAPYQVQDLISPPPQGPVYEPMRSWV EGPSPATAQVYELPESPSSARIICEKTLSFPKQALSVLPRPTRASLPTLPSQVYDVPVQR QGFSTLERLEKQQFYDIPTSSQKALLHSSTSQGRDVTLAPTMAFRQGGGYNPLSSPQKSE RIHDTPVLLEKADVRNVSMTSFTKDSGSRAIPGSSAVHTGAVALSPQLGNTVQRKNSLPE EPTYAFPTSRDPLPSDAGGSYKVPSRFLIPRVEQQNTMPNIYDTPKAMQGVSHNAPKAMQ GVSLAGKELERGREAPENSPWISGQTSFLSPDSDRLSVASSDSRASVVSSCSSISMDSSS GSSSEDSVKELWMDVDFAKETAVSLQHKVASSAAGLLLFVSRTWRFKDSLETNIHRIRRA ADHVEESVREFLDFAQGVGGTACNLTDSYLQARIRDQLQTISSSYQTLLDAKGSLDRCNW SLEVLVTDKVQNSLDDLERFVATARIVPEDVKRFTSIVIANGKLLFKQNCEKGEMDLKCE RCIRPPQRETESYQESSPFDRQPTTEHSFELARKNRVNVCWQVSQGDKQYTGDFICYLNW SSLRQMLASCACRNVHLHVHGEAIG >ENSMUSP00000099362.2 pep:known chromosome:GRCm38:2:172393821:172433757:1 gene:ENSMUSG00000074570.13 transcript:ENSMUST00000103073.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cass4 description:Cas scaffolding protein family member 4 [Source:MGI Symbol;Acc:MGI:2444482] MRGTSIREGAPKTLLARALYDNHADCSDELAFSRGDILTIVEQNVPESEGWWRCLLHGRQ GLAPANRLQVLRETPADRPCPLLPRGPDTDLTSSGAPYQVQDLISPPPQGPVYEPMRSWV EGPSPATAQVYELPESPSSARIICEKTLSFPKQALSVLPRPTRASLPTLPSQVYDVPVQR QGFSTLERLEKQQFYDIPTSSQKALLHSSTSQGRDVTLAPTMAFRQGGGYNPLSSPQKSE RIHDTPVLLEKADVRNVSMTSFTKDSGSRAIPGSSAVHTGAVALSPQLGNTVQRKNSLPE EPTYAFPTSRDPLPSDAGGSYKVPSRFLIPRVEQQNTMPNIYDTPKAMQGVSHNAPKAMQ GVSLAGKELERGREAPENSPWISGQTSFLSPDSDRLSVASSDSRASVVSSCSSISMDSSS GSSSEDSVKELWMDVDFAKETAVSLQHKVASSAAGLLLFVSRTWRFKDSLETNIHRIRRA ADHVEESVREFLDFAQGVGGTACNLTDSYLQARIRDQLQTISSSYQTLLDAKGSLDRCNW SLEVLVTDKVQNSLDDLERFVATARIVPEDVKRFTSIVIANGKLLFKQNCEKGEMDLKCE RCIRPPQRETESYQESSPFDRQPTTEHSFELARKNRVNVCWQSPPPLTSPSPSGQNTERK IHLSKHSRLYFGALFKAISVFASSLSNGQPPEVFITQSKLVITVGQKLVDTLCSETQEKD ERNEILCGSSHLCGLLKDLALATKSAVIQYPSPSALSLLQSEVERLEHHSRKFRDTLE >ENSMUSP00000104764.2 pep:known chromosome:GRCm38:2:172393900:172432860:1 gene:ENSMUSG00000074570.13 transcript:ENSMUST00000109136.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cass4 description:Cas scaffolding protein family member 4 [Source:MGI Symbol;Acc:MGI:2444482] MRGTSIREGAPKTLLARALYDNHADCSDELAFSRGDILTIVEQNVPESEGWWRCLLHGRQ GLAPANRLQVLRETPADRPCPLLPRGPDTDLTSSGAPYQVQDLISPPPQGPVYEPMRSWV EGPSPATAQVYELPESPSSARIICEKTLSFPKQALSVLPRPTRASLPTLPSQVYDVPVQR QGFSTLERLEKQQFYDIPTSSQKALLHSSTSQGRDVTLAPTMAFRQGGGYNPLSSPQKSE RIHDTPVLLEKADVRNVSMTSFTKDSGSRAIPGSSAVHTGAVALSPQLGNTVQRKNSLPE EPTYAFPTSRDPLPSDAGGSYKVPSRFLIPRVEQQNTMPNIYDTPKAMQGVSHNAPKAMQ GVSLAGKELERGREAPENSPWISGQTSFLSPDSDRLSVASSDSRASVVSSCSSISMDSSS GSSSEDSVKELWMDVDFAKETAVSLQHKVASSAAGLLLFVSRTWRFKDSLETNIHRIRRA ADHVEESVREFLDFAQGVGGTACNLTDSYLQARIRDQLQTISSSYQTLLDAKGSLDRCNW SLEVLVTDKVQNSLDDLERFVATARIVPEDVKRFTSIVIANGKLLFKQNCEKGEMDLKCE RCIRPPQRETESYQESSPFDRQPTTEHSFELARKNRVNVCWQQSPNLQEKGKPTMEGKSN RNPDFHGMSPPPLTSPSPSGQNTERKIHLSKHSRLYFGALFKAISVFASSLSNGQPPEVF ITQSKLVITVGQKLVDTLCSETQEKDERNEILCGSSHLCGLLKDLALATKSAVIQYPSPS ALSLLQSEVERLEHHSRKFRDTLE >ENSMUSP00000040952.7 pep:known chromosome:GRCm38:5:3583978:3596585:-1 gene:ENSMUSG00000040302.17 transcript:ENSMUST00000042753.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm48 description:RNA binding motif protein 48 [Source:MGI Symbol;Acc:MGI:2442653] MIVDGVDPSANPSTPGPSCSLIGLRGARLADVVLARGTRAGKMASSDGKPGGVFDHHVQT AVCDSRAKYREGRRPRAVKVYTINLESQYLLIQGVPAVGAMKELVERFALYGAIEQYNAL DEYPAEDFTEVYLIKFVKLQSARVAKKKMDEQSFFGGLLHVCYAPEFETVEETRKKLQER KAYITRVTKNQDCYMAKKKPVPEQKGTKDSRQGFHPPMPGFGTAALNTSPESPPENSSSC LPYSCEFPLSCFASKSTCSRGEHVDRVSDSCNSARNHGELSRHRDHSAFSPKLQMNTYKN SVPCSSVQEAIATSQAVGRFMPRTTQLQERKRRRDCDRELGTLLETHTSSNEVLIGPKLP GIPTVDLQDDSLNTTATLIRRKLKEVISSVPKPPEDNIKDVCTSHPGKQRRRI >ENSMUSP00000135709.1 pep:known chromosome:GRCm38:5:3584776:3596059:-1 gene:ENSMUSG00000040302.17 transcript:ENSMUST00000176000.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm48 description:RNA binding motif protein 48 [Source:MGI Symbol;Acc:MGI:2442653] XKPGGVFDHHVQTAVCDSRAKYREGRRPRAVKGS >ENSMUSP00000112547.2 pep:known chromosome:GRCm38:5:3592257:3596202:-1 gene:ENSMUSG00000040302.17 transcript:ENSMUST00000121877.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm48 description:RNA binding motif protein 48 [Source:MGI Symbol;Acc:MGI:2442653] MIVDGVDPSANPSTPGPSCSLIGLRGARLADVVLARGTRAGKMASSDGKPGGVFDHHVQT AVCDSRAKYREGRRPRAVKVYTINLESQYLLIQGVPAVGAMKELVERFALYGAIEQYNAL DEYPAEDFTEVYLIKFVKLQSAR >ENSMUSP00000012664.7 pep:known chromosome:GRCm38:5:67094399:67099301:-1 gene:ENSMUSG00000012520.10 transcript:ENSMUST00000012664.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phox2b description:paired-like homeobox 2b [Source:MGI Symbol;Acc:MGI:1100882] MYKMEYSYLNSSAYESCMAGMDTSSLASAYADFSSCSQASGFQYNPIRTTFGATSGCPSL TPGSCSLGTLRDHQSSPYAAVPYKLFTDHGGLNEKRKQRRIRTTFTSAQLKELERVFAET HYPDIYTREELALKIDLTEARVQVWFQNRRAKFRKQERAAAAAAAAAKNGSSGKKSDSSR DDESKEAKSTDPDSTGGPGPNPNPTPSCGANGGGGGGPSPAGAPGAAGPGGPGGEPGKGG AAAAAAAAAAAAAAAAAAAAGGLAAAGGPGQGWAPGPGPITSIPDSLGGPFASVLSSLQR PNGAKAALVKSSMF >ENSMUSP00000134216.1 pep:known chromosome:GRCm38:5:67097044:67099057:-1 gene:ENSMUSG00000012520.10 transcript:ENSMUST00000174251.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phox2b description:paired-like homeobox 2b [Source:MGI Symbol;Acc:MGI:1100882] MYKMEYSYLNSSAYESCMAGMDTSSLASAYADFSSCSQASGFQYNPIRTTFGATSGCPSL TPGSCSLGTLRDHQSSPYAAVPYKLFTDHGGLNEKRKQRRIRTTFTSAQLKELERVFAET HYPDIYTREELALKIDLTEARVQVRETECRSSSEGVGADAYGSKGTQARHRNGIWSVMRT SGDGGWGLGHRL >ENSMUSP00000011262.2 pep:known chromosome:GRCm38:9:37659902:37669222:-1 gene:ENSMUSG00000011118.3 transcript:ENSMUST00000011262.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Panx3 description:pannexin 3 [Source:MGI Symbol;Acc:MGI:1918881] MSLAHTAAEYMLSDALLPDRRGSRLKGLRLELPLDKMVKFITVGFPLLLMSLAFAQEFSS GSPISCFSPSNFSVRQAAYVDSSCWDSLAHHTQDKAGQYKVKSLWPHKALPYSLLALAVA MYLPVLLWQYVAVPSLSSDLLFIISELDKSYNRSIRLVQHMLQIRQSSSDPHVFWDELEK ARKERYFEFPLLERYLECKQRSHWLVATYLLRNALLLLFTSATYLYLGQFHLDVFFQDEF NCFIKTGLLHDETHVPELITCRLTSLSVFQIVSVSSAAIYTILVPVIIYNLTRLCRWDKG LLSIYEMLPAFDLLSRKMLGCPINDLNVILLFLRANISELISFSWLSVLSVLKDTTTQKH NIDTVVDFMTFVAGLEPSKPKHLTQHTYDEHA >ENSMUSP00000114539.1 pep:known chromosome:GRCm38:9:37664221:37669173:-1 gene:ENSMUSG00000011118.3 transcript:ENSMUST00000142228.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Panx3 description:pannexin 3 [Source:MGI Symbol;Acc:MGI:1918881] MSLAHTAAEYMLSDALLPDRRGSRLKGLRLELPLDKMVKFITVGFPLLLMSLAFAQEFSS EIQSLVLCALQNKRAFFQSGYGSHICNRSIPEPEAEG >ENSMUSP00000029629.8 pep:known chromosome:GRCm38:3:129878606:129895825:1 gene:ENSMUSG00000027999.15 transcript:ENSMUST00000029629.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g12a description:phospholipase A2, group XIIA [Source:MGI Symbol;Acc:MGI:1913600] MVTPRPAPARSPALLLLLLLATARGQEQDQTTDWRATLKTIRNGIHKIDTYLNAALDLLG GEDGLCQYKCSDGSKPVPRYGYKPSPPNGCGSPLFGVHLNIGIPSLTKCCNQHDRCYETC GKSKNDCDEEFQYCLSKICRDVQKTLGLSQNVQACETTVELLFDSVIHLGCKPYLDSQRA ACWCRYEEKTDL >ENSMUSP00000143771.1 pep:known chromosome:GRCm38:3:129878814:129894939:1 gene:ENSMUSG00000027999.15 transcript:ENSMUST00000196838.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pla2g12a description:phospholipase A2, group XIIA [Source:MGI Symbol;Acc:MGI:1913600] XDWRATLKTIRNGIHKIDTYLNAALDLLGGEDGLCQYKCSDGSKPVPRYGYKPSPPNGCG SPLFGVHLNIGIPSLTKCCNQHDRCYETCGKSKNDCDEEFQYCLSKICRDVQKTLGLSQN VQDGLMLQL >ENSMUSP00000053651.6 pep:known chromosome:GRCm38:3:129881184:129894957:1 gene:ENSMUSG00000027999.15 transcript:ENSMUST00000061165.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g12a description:phospholipase A2, group XIIA [Source:MGI Symbol;Acc:MGI:1913600] MKDYHSGPGKYWEPFAFPVGCSGTEEEEGLRIGRSKPVPRYGYKPSPPNGCGSPLFGVHL NIGIPSLTKCCNQHDRCYETCGKSKNDCDEEFQYCLSKICRDVQKTLGLSQNVQACETTV ELLFDSVIHLGCKPYLDSQRAACWCRYEEKTDL >ENSMUSP00000081943.4 pep:known chromosome:GRCm38:12:113140236:113145506:1 gene:ENSMUSG00000006356.10 transcript:ENSMUST00000084882.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crip2 description:cysteine rich protein 2 [Source:MGI Symbol;Acc:MGI:1915587] MASKCPKCDKTVYFAEKVSSLGKDWHKFCLKCERCNKTLTPGGHAEHDGKPFCHKPCYAT LFGPKGVNIGGAGSYIYEKPQTEAPQVTGPIEVPVVRTEERKTSGPPKGPSKASSVTTFT GEPNMCPRCNKRVYFAEKVTSLGKDWHRPCLRCERCSKTLTPGGHAEHDGQPYCHKPCYG ILFGPKGVNTGAVGSYIYDKDPEGTVQP >ENSMUSP00000143334.1 pep:known chromosome:GRCm38:12:113140572:113145103:1 gene:ENSMUSG00000006356.10 transcript:ENSMUST00000200380.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crip2 description:cysteine rich protein 2 [Source:MGI Symbol;Acc:MGI:1915587] MCPRCNKRVYFAEKVTSLGKDWHRPCLRCERCSKTLTPGGHAEHDGQPYCHKPCYGILFG PKGVNTGAVGSYIYDKDPEGTVQP >ENSMUSP00000143009.1 pep:known chromosome:GRCm38:12:113142808:113145130:1 gene:ENSMUSG00000006356.10 transcript:ENSMUST00000196015.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crip2 description:cysteine rich protein 2 [Source:MGI Symbol;Acc:MGI:1915587] MCPRCNKRVYFAEKVTSLGKDWHRPCLRCERCSKTLTPGGHAEHDGQPYCHKPCYGILFG PKGVNTGAVGSYIYDKDPEGTVQP >ENSMUSP00000126352.2 pep:known chromosome:GRCm38:7:73426638:73541746:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000169922.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] MMRNKDKSQEEDSSLHSNASSRSASEEVSGSDSGSQSESEQGSEPGSGHGSESNSSSESS ESQSESESESAGSKSQPVLPEAKEKPASKKERIADVKKMWEEYPDVYGVRRSNRSRQEPS RFNVKEEASSGSESGSPKRRGQRQLKKQEKWKQDPSEDEQEQGTSAESEAEQKKGKARRP VPRRTVPKPQVKKQPKIQRGKRKKQESSDDDDDDDEAPKRQTRRRAAKNVSYKEDDDFET DSDDLIEMTGEGGDEQQDNSETIEKVLDSRLGKKGATGASTTVYAVEANGDPSDDFDTER EEGEVQYLIKWKGWSYIHSTWESEDSLQQQKVKGLKKLENFKKKEDEVKQWLGKVSPEDV EYFSCQQELASELNKQYQIVERVIAVKTSKSTLGQTDFPAHSRKPAPSNEPEYLCKWMGL PYSECSWEDEALIGKKFQNCIDSFHSRNNSKTIPTRECKALKQRPRFVALKKQPAYLGGE SLELRDYQLEGLNWLAHSWCKSNSVILADEMGLGKTIQTISFLSYLFHQHQLYGPFLIVV PLSTLTSWQREFEIWAPEINVVVYIGDLMSRNTIREYEWIHSQTKRLKFNALITTYEILL KDKTVLGSINWAFLGVDEAHRLKNDDSLLYKTLIDFKSNHRLLITGTPLQNSLKELWSLL HFIMPEKFEFWEDFEEDHGKGRENGYQSLHKVLEPFLLRRVKKDVEKSLPAKVEQILRVE MSALQKQYYKWILTRNYKALAKGTRGSTSGFLNIVMELKKCCNHCYLIKAPEDSERESGQ EVLQSLIRSSGKLILLDKLLTRLRERGNRVLIFSQMVRMLDILAEYLTIKHYPFQRLDGS IKGEIRKQALDHFNADGSEDFCFLLSTRAGGLGINLASADTVVIFDSDWNPQNDLQAQAR AHRIGQKKQVNIYRLVTKGTVEEEIIERAKKKMVLDHLVIQRMDTTGRTVLENNSGRSNS NPFNKEELTAILKFGAEDLFKEIEGEESEPQEMDIDEILRLAETRENEVSTSATDELLSQ FKVANFATMEDEEELEERPHKDWDEIIPEEQRKKVEEEERQKELEEIYMLPRIRSSTKKA QTNDSDSDTESKRQAQRSSASESETDDSDDDKKPKRRGRPRSVRKDLVEGFTDAEIRRFI KAYKKFGLPLERLECIARDAELVDKSVADLKRLGELIHNSCVSAMQEYEEQLKESTSEGK GPGKRRGPTIKISGVQVNVKSIIQHEEEFEMLHKSIPVDPEEKKKYCLTCRVKAAHFDVE WGVEDDSRLLLGIYEHGYGNWELIKTDPELKLTDKILPVETDKKPQGKQLQTRVDYLLKL LRKGLEKKGTVASGEEAKLKKRKPRVKKENKAPRLKDEHGLEPASPRHSDNPSEEGEVKD DGLEKSPTKKKQKKKENKENKEKPVSSRKDREGDKERKKSKDKKEKVKGGDGKSSSKSKR SQGPVHITAGSEPVPIGEDEDDDLDQETFSICKERMRPVKKALKQLDKPDKGLSVQEQLE HTRNCLLKIGDRIAECLKAYSDQEHIKLWRRNLWIFVSKFTEFDARKLHKLYKMAHKKRS QEEEEQKKKDDSLGGKKPFRPEASGSSRDSLISQSHTSHNLHPQKPHLPASHGPQMHGHP RDNYSHPNKRHFSNADRGDWQRERKFNYGGGNSAPWGGDRHHQYEQHWYKDHHYGDRRHM DAHRSGSYRPNNMSRKRPYEQYNSDRDHRGHRDYYDRHHHDSKRRRSDDFRPQNYHQQDF RRMSDHRPTMGYHGQGPSDHYRSFHTDKLGEYKQPMPSLHTALSDPRSPPSQKSPHDSKS PLDHRSPLERSLEQKNNPDYNWNVRKT >ENSMUSP00000142959.1 pep:known chromosome:GRCm38:7:73426694:73468587:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000199809.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] XAQRSSASESETDDSDDDKKPKRRGRPRSVRKDLVEGFTDAEIRRFIKAYKKFGLPLERL ECIARDAELVDKSVADLKRLGELIHNSCVSAMQEYEEQLKESTSEGKGPGKRRGPTIKIS GVQVNVKSIIQHEEEFEMLHKSIPVDPEEKKKYCLTCRVKAAHFDVEWGVEDDSRLLLGI YEHGYGNWELIKTDPELKLTDKLQGFPAPGRRKSTSAGML >ENSMUSP00000147180.1 pep:known chromosome:GRCm38:7:73429642:73444251:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000208458.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] XSQEEEEQKKKDDSLGEASFACLPWPTNAWTSKR >ENSMUSP00000133714.1 pep:known chromosome:GRCm38:7:73429988:73447233:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000173785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] XLEHTRNCLLKIGDRIAECLKAYSDQEHIKLWRRNLWIFVSKFTEFDARKLHKLYKMAHK KRSQEEEEQKKKDDSLGDRGDWQRERKFNYGGGNSAPWGGDRHHQYEQHWYKDHHYGDRR HMDAHRSGSYRPNNMSRKRPYEQYNSDRDHRGHRDYYDRHHHD >ENSMUSP00000137985.1 pep:known chromosome:GRCm38:7:73449244:73455592:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000181971.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] GVEDDSRLLLGIYEHGYGNWELIKTDPELKLTDKL >ENSMUSP00000142885.1 pep:known chromosome:GRCm38:7:73474582:73481004:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000200423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] XPEDSERESGQEVLQRLDGSIKGEIRKQALDHFNADGSEDFCFLLSTRAGGLGINLASAD TVVIFDSDWNPQNDLQAQARAHRIGQKKQVNIYRLVTKGTVEEEIIERAKKKM >ENSMUSP00000143203.1 pep:known chromosome:GRCm38:7:73497707:73502117:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000199601.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] TVYAVEANGDPSDDFDTEREEGEVQYLIKWKGWSYIHSTWESEDSLQQQKVKGLKKLENF KKKEDEVKQCCEDK >ENSMUSP00000142848.1 pep:known chromosome:GRCm38:7:73499642:73502036:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000199641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] IKWKGWSYIHSTWESEDSLQQQKVKGLKKLENFKKKEDEVKQWLGKVSPEDVEYFSCQQE LASELNKQYQIVERVIAHSRKPAPSNEPEYLCKWMGLPYSECSWEDEALIGKKFQNCIDS >ENSMUSP00000026895.10 pep:known chromosome:GRCm38:7:73500980:73541745:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000026895.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] MMRNKDKSQEEDSSLHSNASSRSASEEVSGSDSGSQSESEQGSEPGSGHGSESNSSSESS ESQSESESESAGSKSQPVLPEAKEKPASKKERIADVKKMWEEYPDVYGVRRSNRSRQEPS RFNVKEEASSGSESGSPKRRGQRQLKKQTVPKPQVKKQPKIQRGKRKKQESSDDDDDDDE APKRQTRRRAAKNVSYKEDDDFETDSDDLIEMTGEGGDEQQDNSETIEKVLDSRLGKKGA TGASTTVYAVEANGDPSDDFDTEREEGEVQYLIKWKGWSYIHSTWESEDSLQQQKVKGLK KLENFKKKEDEVKQWLGKVSPEDVEYFSCQQEL >ENSMUSP00000134484.2 pep:known chromosome:GRCm38:7:73507860:73537621:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000172704.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] MAHKPREEHLSLSPCIFTWYQTFSQLTFEEEKLSRSASEEVSGSDSGSQSESEQGSEPGS GHGSESNSSSESSESQSESESESAGSKSQPVLPEAKEKPASKKERIADVKKMWEEYPDVY GVRRSNRSRQEPSRFNVKEEASSGSESGSPKRRGQRQLKKQEKWKQDPSEDEQEQGTSA >ENSMUSP00000142408.1 pep:known chromosome:GRCm38:7:73507890:73541736:-1 gene:ENSMUSG00000078671.11 transcript:ENSMUST00000197642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd2 description:chromodomain helicase DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2448567] MWEEYPDVYGVRRSNRSRQEPSRFNVKEEASSGSESGSPKRRGQRQLKKQEKWKQDPS >ENSMUSP00000034079.7 pep:known chromosome:GRCm38:8:88137855:88172027:1 gene:ENSMUSG00000031657.16 transcript:ENSMUST00000034079.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heatr3 description:HEAT repeat containing 3 [Source:MGI Symbol;Acc:MGI:2444491] MGKSRTKRFKRPQFSPIESCQAEAAAASNGTGDEEDDGPAAELLEKLQHPSAEVRECACA GLARLVQQRPALPDLARRDAVRRLGPLLLDSSLAVRETAAGALRNLSACGGFDVCDDMVA KDIMTPLVALLRECLSGLDSNEMSPQEKADKRNPVESIANEAVNVLWNVCECSGRAVSIF NKEGCLEIVLQYLRRFPTSIDLAVSVAYCLQTVTEDNPELLKSFDGTALRVLESALLCPV ASMEYILLKTLVAGTIWNLKDIIPSKSQAEIINAILGALSEVLGMNTGNMVIQMKEAETQ RLKTAAESEDILANANGDDLVEDDEMEEIPHKRKLRRKTFISDLLPPTDKELREAMALLT AQQTALEVIVNMCCSEDPSDDEWEELSSSDESEAFMENSFSECSGHLMSPLCLSHEIHSA LTNCLIPEKVFEKTSSPNSVAVDICSKNPTWKPLIRKMNTIQCRALMCLQSLVSLLDIDH LGGAPALQTLAQHLSQILFSQPDFAKHDDFLEAISSALRALLQTMASKNIPQCMTPEQLM TLCREGIHSSSIGVRVNVVSILGITGSVLAKDDGTLDTLKTIGCFLLEVATKDPSLVVTG EALDALFDVFADGEAAEKASVQIKLLAALKEFQPVFKMKIRKEGRGKYSPDQLCVLDNVK MNLRRFIAYQETVEKRLTS >ENSMUSP00000112812.1 pep:known chromosome:GRCm38:8:88137856:88171997:1 gene:ENSMUSG00000031657.16 transcript:ENSMUST00000121949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heatr3 description:HEAT repeat containing 3 [Source:MGI Symbol;Acc:MGI:2444491] MVAKDIMTPLVALLRECLSGLDSNEMSPQEKADKRNPVESIANEAVNVLWNVCECSGRAV SIFNKEGCLEIVLQYLRRFPTSIDLAVSVAYCLQTVTEDNPELLKSFDGTALRVLESALL CPVASMEYILLKTLVAGTIWNLKDIIPSKSQAEIINAILGALSEVLGMNTGNMVIQMKEA ETQRLKTAAESEDILANANGDDLVEDDEMEEIPHKRKLRRKTFISDLLPPTDKELREAMA LLTAQQTALEVIVNMCCSEDPSDDEWEELSSSDESEAFMENSFSECSGHLMSPLCLSHEI HSALTNCLIPEKVFEKTSSPNSVAVDICSKNPTWKPLIRKMNTIQCRALMCLQSLVSLLD IDHLGGAPALQTLAQHLSQILFSQPDFAKHDDFLEAISSALRALLQTMASKNIPQCMTPE QLMTLCREGIHSSSIGVRVNVVSILGITGSVLAKDDGTLDTLKTIGCFLLEVATKDPSLV VTGEALDALFDVFADGEAAEKASVQIKLLAALKEFQPVFKMKIRKEGRGKYSPDQLCVLD NVKMNLRRFIAYQETVEKRLTS >ENSMUSP00000122815.1 pep:known chromosome:GRCm38:16:13358421:13417529:1 gene:ENSMUSG00000009569.14 transcript:ENSMUST00000149359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkl2 description:MKL/myocardin-like 2 [Source:MGI Symbol;Acc:MGI:3050795] MIDSSKKQPQGFPEILTAEDFEPFKEKECLEGSNQKSLKEVLQLRLQQRRTREQLVDQGI MPPLKSPAAFHEQIKSLERARTENFLKHKIRSRPDRSELVRMHILEETFAEPSLQATQMK LKRARLADDLNEKIAQRPGPMELVEKNILPVDSSVKEAIIGVVKEDYPHTHGEFSFDEDS SDALSPDQPASQESQGSAASPSEPKVSASPPPVTASTPAQFTSVSPAVPEFLKTPLTADQ PPTRSTAPVLPTNTVSSAKSGPMLVKQSHPKNPNDKHRSKKCKDPKPRVKKLKYHQYIPP NQKGEKSEPQMDSNYARLLQQQQLFLQLQILSQQQQQQQQQHYNYQTILPAPIKTDKNSS SGSNSGSSSSMPARRPGPLPSSLDDLKVSELKTELKLRGLPVSGTKPDLIERLKPYQEVT SSNLATGSIVAVSSATIVTSNPEVTVALPVTTLHNAVTSSVSTFKADLALPATSSVPHVE NAHSPLPISPSPSEQSSLSTDDTNMTDTFTEIMTMMSPSQLLCSSPLRVVSHDDSLSPSS STLSTLELDAAEKDRKLQEKEKQIEELKRKLEQEQKLVEVLKMQLEVEKRGQQRPPDPQP SDPPHPFNTSDPKHGSVGSSIKDEASLPDCSSPQQPITVPGHSVGQPISTGSQTLVAKKT VVVKQEVPMAQAEQQNVVSQFYLSSQGQPPALVAQPQALLTTQTTQLLLPVSIQGSNVTS VQLPVGSLQLQTPAQGRVQAQPHVAAATQVPAAALPSALTSALPQKQEAFPQHVLGQPQP VRKVFTNSAPNTVLQYQRQPGPTNQQPFVSKTSNPALQSRTAPLAPLQNGPSLASKPSSP PPPQQFVVQHSLFATPITKTKDPPRYEEAIKQARSTQPALPEVSSVHSQQMDDLFDILIK SGEISFPIKEEPSPISKMKPVTASITTMPVNTVVSRPPPQVQIAPPVSLEPVNSLSASLE NQLEAFLDGTLPSATDTGPLQNSSEDRESFSLIEDLQNDLLSHSSMLYQSHSPMETSEAQ LVSGTPCLSLDLSDSNLDNMEWLDITMPTTSSGLTPLSTTAPSMFSADFLDPQDLPLPWD >ENSMUSP00000009713.7 pep:known chromosome:GRCm38:16:13256481:13415063:1 gene:ENSMUSG00000009569.14 transcript:ENSMUST00000009713.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkl2 description:MKL/myocardin-like 2 [Source:MGI Symbol;Acc:MGI:3050795] MDHTGAIDTEEELGPLAHLAPSPQSESVAHEFQELSLQSSQHLPTLNERKNVLQLRLQQR RTREQLVDQGIMPPLKSPAAFHEQIKSLERARTENFLKHKIRSRPDRSELVRMHILEETF AEPSLQATQMKLKRARLADDLNEKIAQRPGPMELVEKNILPVDSSVKEAIIGVVKEDYPH THGEFSFDEDSSDALSPDQPASQESQGSAASPSEPKVSASPPPVTASTPAQFTSVSPAVP EFLKTPLTADQPPTRSTAPVLPTNTVSSAKSGPMLVKQSHPKNPNDKHRSKKCKDPKPRV KKLKYHQYIPPNQKGEKSEPQMDSNYARLLQQQQLFLQLQILSQQQQQQQQQHYNYQTIL PAPIKTDKNSSSGSNSGSSSSMPARRPGPLPSSLDDLKVSELKTELKLRGLPVSGTKPDL IERLKPYQEVTSSNLATGSIVAVSSATIVTSNPEVTVALPVTTLHNAVTSSVSTFKADLA LPATSSVPHVENAHSPLPISPSPSEQSSLSTDDTNMTDTFTEIMTMMSPSQLLCSSPLRV VSHDDSLSPSSSTLSTLELDAAEKDRKLQEKEKQIEELKRKLEQEQKLVEVLKMQLEVEK RGQQRPPDPQPSDPPHPFNTSDPKHGSVGSSIKDEASLPDCSSPQQPITVPGHSVGQPIS TGSQTLVAKKTVVVKQEVPMAQAEQQNVVSQFYLSSQGQPPALVAQPQALLTTQTTQLLL PVSIQGSNVTSVQLPVGSLQLQTPAQGRVQAQPHVAAATQVPAAALPSALTSALPQKQEA FPQHVLGQPQPVRKVFTNSAPNTVLQYQRQPGPTNQQPFVSKTSNPALQSRTAPLAPLQN GPSLASKPSSPPPPQQFVVQHSLFATPITKTKDPPRYEEAIKQARSTQPALPEVSSVHSQ QMDDLFDILIKSGEISFPIKEEPSPISKMKPVTASITTMPVNTVVSRPPPQVQIAPPVSL EPVNSLSASLENQLEAFLDGTLPSATDTGPLQNSSEDRESFSLIEDLQNDLLSHSSMLYQ SHSPMETSEAQLVSGTPCLSLDLSDSNLDNMEWLDITMPTTSSGLTPLSTTAPSMFSADF LDPQDLPLPWD >ENSMUSP00000111476.1 pep:known chromosome:GRCm38:16:13256506:13332922:1 gene:ENSMUSG00000009569.14 transcript:ENSMUST00000115809.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkl2 description:MKL/myocardin-like 2 [Source:MGI Symbol;Acc:MGI:3050795] MDHTGAIDTEEELGPLAHLAPSPQSESVAHEFQELSLQSSQHLPTLNERKNGSIVFGESG LQLPLPAQSVSTGHNKKSKKPHLPLVLGSCRLHLEPARCLDG >ENSMUSP00000054993.6 pep:known chromosome:GRCm38:16:13265076:13332919:1 gene:ENSMUSG00000009569.14 transcript:ENSMUST00000056715.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkl2 description:MKL/myocardin-like 2 [Source:MGI Symbol;Acc:MGI:3050795] MDHTGAIDTEEELGPLAHLAPSPQSESVAHEFQELSLQSSQHLPTLNERKNGSIVFGESG LQLPLPAQSVSTGHNKKSKKPHLPLVLGSCRLHLEPARCLDG >ENSMUSP00000116360.1 pep:known chromosome:GRCm38:15:75894796:75909355:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000154584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQENGASVILRDIARARENIQKS LAGSSGPGASSGPGGDHSELIVRITSLEVENQNLRGVVQDLQQAISKLEARLSSLEKSSP TPRATAPQTQHVSPMRQVEPPTKKGATPAEDDEDKDIDLFGSDEEEEDKEAARLREERLR QYAEKKAKKPTLVAKSSILLDVKPWDDETDMAQLETCVRSIQLDGLVWGASKLVPVGYGI RKLQIQCVVEDDKVGTDLLEEEITKFEEHVQSVDIAAFNKI >ENSMUSP00000105599.2 pep:known chromosome:GRCm38:15:75894800:75909334:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000109972.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQSSGPGASSGPGGDHSELIVRI TSLEVENQNLRGVVQDLQQAISKLEARLSSLEKSSPTPRATAPQTQHVSPMRQVEPPTKK GATPAEDDEDKDIDLFGSDEEEEDKEAARLREERLRQYAEKKAKKPTLVAKSSILLDVKP WDDETDMAQLETCVRSIQLDGLVWGASKLVPVGYGIRKLQIQCVVEDDKVGTDLLEEEIT KFEEHVQSVDIAAFNKI >ENSMUSP00000114753.1 pep:known chromosome:GRCm38:15:75895488:75903556:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000137426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] PGKSHECKKPIQKKRKRSPKSWLGQADLALVGLSADHVWLDKPLFDQAESSYRQRLADVA AQAAQPPALAPRGPCTHGSHVACHHVTWGIWVNKSCFDQAERAFVEWSQSLLLAAEGSHR QGTPDTGQQAVTPDLALACQPCPPANGQPPLGSLQALVREVWLEKPRYDAAERGFYEALF DGHPPGKVRLQERASQAEGTRRGRRDHRSCNNVGNKRAGSKRANGEAPPAFPYWYFLHKD AEAPWLSKPTYDSAECRHHAVEALRIAWRLEAASLAHRPTPRSGPSMSSLRPNRKMATNF LAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQSSGPGASSGPGGDHSELIVRITSLEV ENQNLRGVVQDLQQAISKLEARLSSLEKSSPTPRATAPQTQHVSPMRQVEPPTKKGATPA EDDEDKDIDLFGSDEEEEDKEAARLREERLRQYAEKKAKKPTLVAKSSILLDVKPWDDET DMAQLETCVRSIQLDGLVWGASKLVPVGYGIRKLQIQCVVEDDKVGTDLLEEEITKFEEH VQSVDIAAFNKI >ENSMUSP00000112141.2 pep:known chromosome:GRCm38:15:75895488:75909297:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000116440.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQSSGPGASSGPGGDHSELIVRI TSLEVENQNLRGVVQDLQQAISKLEARLSSLEKSSPTPRATAPQTQHVSPMRQVEPPTKK GATPAEDDEDKDIDLFGSDEEEEDKEAARLREERLRQYAEKKAKKPTLVAKSSILLDVKP WDDETDMAQLETCVRSIQLDGLVWGASKLVPVGYGIRKLQIQCVVEDDKVGTDLLEEEIT KFEEHVQSVDIAAFNKI >ENSMUSP00000118889.1 pep:known chromosome:GRCm38:15:75895488:75909306:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000151066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQHVSPMRQVEPPTKKGATPAED DEDKDIDLFGSDEEEEDKEAARLREERLRQYAEKKAKKPTLVAKSSILLDVKPWDDETDM AQLETCVRSIQLDGLVWGASKLVPVGYGIRKLQIQCVVEDDKVGTDLLEEEITKFEEHVQ SVDIAAFNKI >ENSMUSP00000087109.3 pep:known chromosome:GRCm38:15:75895490:75909319:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000089680.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQLKVMLPNSPEALGQATPGTSS GPGASSGPGGDHSELIVRITSLEVENQNLRGVVQDLQQAISKLEARLSSLEKSSPTPRAT APQTQHVSPMRQVEPPTKKGATPAEDDEDKDIDLFGSDEEEEDKEAARLREERLRQYAEK KAKKPTLVAKSSILLDVKPWDDETDMAQLETCVRSIQLDGLVWGASKLVPVGYGIRKLQI QCVVEDDKVGTDLLEEEITKFEEHVQSVDIAAFNKI >ENSMUSP00000087110.5 pep:known chromosome:GRCm38:15:75895490:75909335:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000089681.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MRSGKASCALETVWEDRHKYEGAERRFHEQEATQVVAAAATAAATASASVQQLLDEVPAV NGPSQEDTEDTEEAEAPNTSSRSDPGKSHECKKPIQKKRKRSPKSWLGQADLALVGLSAD HVWLDKPLFDQAESSYRQRLADVAAQAAQPPALAPRGPCTHGSHVACHHVTWGIWVNKSC FDQAERAFVEWSQSLLLAAEGSHRQGTPDTGQQAVTPDLALACQPCPPANGQPPLGSLQA LVREVWLEKPRYDAAERGFYEALFDGHPPGKVRLQERASQAEGTRRGRRDHRSCNNVGNK RAGSKRANGEAPPAFPYWYFLHKDAEAPWLSKPTYDSAECRHHAVEALRIAWRLEAASLA HRPTPRSGPSMSSLRPNRKMATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQEN GASVILRDIARARENIQKSLAGSSGPGASSGPGGDHSELIVRITSLEVENQNLRGVVQDL QQAISKLEARLSSLEKSSPTPRATAPQTQHVSPMRQVEPPTKKGATPAEDDEDKDIDLFG SDEEEEDKEAARLREERLRQYAEKKAKKPTLVAKSSILLDVKPWDDETDMAQLETCVRSI QLDGLVWGASKLVPVGYGIRKLQIQCVVEDDKVGTDLLEEEITKFEEHVQSVDIAAFNKI >ENSMUSP00000023235.6 pep:known chromosome:GRCm38:15:75895804:75909329:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000023235.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQENGASVILRDIARARENIQKS LAGSSGPGASSGPGGDHSELIVRITSLEVENQNLRGVVQDLQQAISKLEARLSSLEKSSP TPRATAPQTQHVSPMRQVEPPTKKGATPAEDDEDKDIDLFGSDEEEEDKEAARLREERLR QYAEKKAKKPTLVAKSSILLDVKPWDDETDMAQLETCVRSIQLDGLVWGASKLVPVGYGI RKLQI >ENSMUSP00000123005.1 pep:known chromosome:GRCm38:15:75896274:75909556:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000144614.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQENGASVILRDIARARENIQKS LAGSSGPGASSGPGGDHSELIVRITSLEVENQNLRGVVQDLQQAISKLEARLSSLEKSSP TPRATAPQTQHVSPMRQVEPPTKKGATPAEDDEDKDIDLFGSDEEEEDKEAARLREERLR QYAEKKAKKPTLVA >ENSMUSP00000115553.1 pep:known chromosome:GRCm38:15:75896281:75909319:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000141268.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQENGASVILRDIARARENIQKS LAGLKVMLPNSPEALGQATPGTSSGPGASSGPGGDHSELIVRITSLEVENQNLRGVVQDL QQAISKLEARLSSLEKSSPTPRATAPQTQHVSPMRQVEPPTKKGATPAEDDEDKDIDLFG SDEEEEDKEAARLREERLRQYAEKKAKKPT >ENSMUSP00000122155.1 pep:known chromosome:GRCm38:15:75896394:75909547:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000123712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQSSGPGASSGPGGDHSELIVRI TSLEVENQNLRGVVQDLQQAISKLEARLSSLEKSSPTPRATAPQTQHVSPMRQVEPPTKK GATPAEDDE >ENSMUSP00000123388.1 pep:known chromosome:GRCm38:15:75903123:75909547:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000141475.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MRSGKASCALETVWEDRHKYEGAERRFHEQEATQVVAAAATAAATASASVQQLLDEVPAV NGPSQEDTEDTEEAEAPNTSSRSDPGKSHECKKPIQKKRKRSPKSWLGQADLALVGLSAD HVWLDKPLFDQAESSYRQRLADVAAQAAQPPALAPRGPCTHGSHVACHHVTWGIWVNKSC FDQAERAFVEWSQSLLLAAEGSHRQGTPDTGQQAVTPDLALACQPCPPA >ENSMUSP00000120735.1 pep:known chromosome:GRCm38:15:75903262:75905363:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000127550.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MRSGKASCALETVWEDRHKYEGAERRFHEQEATQVVAAAATAAATASASVQQLLDEVPAV NGPSQEDTEDTEEAEAPNTSSRSDPGKSHECKKPIQKKRKRSPKSWLGQADLALVGLSAD HVWLDKPLFDQAESSYRQRLADVAAQAAQPPALAPRGPCTHGSHVACHHVTWGIWVNKSC FD >ENSMUSP00000117782.1 pep:known chromosome:GRCm38:15:75903506:75909291:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000145764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MRSGKASCALETVWEDRHKYEGAERRFHEQEATQVVAAAATAAATASASVQQLLDEVPAV NGPSQEDTEDTEEAEAPNTSSRSDPGKSHECKKPIQKKRKR >ENSMUSP00000105602.3 pep:known chromosome:GRCm38:15:75894800:75909340:-1 gene:ENSMUSG00000055762.15 transcript:ENSMUST00000109975.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1d description:eukaryotic translation elongation factor 1 delta (guanine nucleotide exchange protein) [Source:MGI Symbol;Acc:MGI:1913906] MRSGKASCALETVWEDRHKYEGAERRFHEQEATQVVAAAATAAATASASVQQLLDEVPAV NGPSQEDTEDTEEAEAPNTSSRSDPGKSHECKKPIQKKRKRSPKSWLGQADLALVGLSAD HVWLDKPLFDQAESSYRQRLADVAAQAAQPPALAPRGPCTHGSHVACHHVTWGIWVNKSC FDQAERAFVEWSQSLLLAAEGSHRQGTPDTGQQAVTPDLALACQPCPPANGQPPLGSLQA LVREVWLEKPRYDAAERGFYEALFDGHPPGKVRLQERASQAEGTRRGRRDHRSCNNVGNK RAGSKRANGEAPPAFPYWYFLHKDAEAPWLSKPTYDSAECRHHAVEALRIAWRLEAASLA HRPTPRSGPSMSSLRPNRKMATNFLAHEKIWFDKFKYDDAERRFYEQMNGPVTSGSRQEN GASVILRDIARARENIQKSLAGSSGPGASSGPGGDHSELIVRITSLEVENQNLRGVVQDL QQAISKLEARLSSLEKSSPTPRATAPQTQHVSPMRQVEPPTKKGATPAEDDEDKDIDLFG SDEEEEDKEAARLREERLRQYAEKKAKKPTLVAKSSILLDVKPWDDETDMAQLETCVRSI QLDGLVWGASKLVPVGYGIRKLQIQCVVEDDKVGTDLLEEEITKFEEHVQSVDIAAFNKI >ENSMUSP00000107157.1 pep:known chromosome:GRCm38:2:89609156:89614022:-1 gene:ENSMUSG00000075081.4 transcript:ENSMUST00000111532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1247 description:olfactory receptor 1247 [Source:MGI Symbol;Acc:MGI:3031081] MGETNNVTEFVLLGLTQDPTGQKALFVMFLLMYIVTIVGNLLIVGTVIASPSLNSPMYFF LAFLSLMDAVYSTAILPKLLKDLVCDKKTISFTACLVQLFVEHLFGGAEVFLLVVMAYDR YVAICKPLHYLTVMNQQVCISLLVVAWVGGFAHALVQVLSVYKLPFCGPNVIDHFGCDMY PLLALVCTDTYFIGLTVVANNGAMCMVVFVLLLFSYGIILSSLKTHSQEGRRKALSTCSS HIMVVVLFFVPCIFMYVRPVSNFPIDKSISVFYTAITPMLNPLIYTLRNSEIKNSMGKLW SKMISIDRVRIFAY >ENSMUSP00000120099.1 pep:known chromosome:GRCm38:2:89609857:89611645:-1 gene:ENSMUSG00000075081.4 transcript:ENSMUST00000129804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1247 description:olfactory receptor 1247 [Source:MGI Symbol;Acc:MGI:3031081] MGETNNVTEFVLLGLTQDPTGQKALFVMFLLMYIVTIVGNLLIVGTVIASPSLNSPMYFF LAFLSLMDAVYSTAILPKLLK >ENSMUSP00000097359.1 pep:known chromosome:GRCm38:2:89609156:89610100:-1 gene:ENSMUSG00000075081.4 transcript:ENSMUST00000099771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1247 description:olfactory receptor 1247 [Source:MGI Symbol;Acc:MGI:3031081] MGETNNVTEFVLLGLTQDPTGQKALFVMFLLMYIVTIVGNLLIVGTVIASPSLNSPMYFF LAFLSLMDAVYSTAILPKLLKDLVCDKKTISFTACLVQLFVEHLFGGAEVFLLVVMAYDR YVAICKPLHYLTVMNQQVCISLLVVAWVGGFAHALVQVLSVYKLPFCGPNVIDHFGCDMY PLLALVCTDTYFIGLTVVANNGAMCMVVFVLLLFSYGIILSSLKTHSQEGRRKALSTCSS HIMVVVLFFVPCIFMYVRPVSNFPIDKSISVFYTAITPMLNPLIYTLRNSEIKNSMGKLW SKMISIDRVRIFAY >ENSMUSP00000123410.1 pep:known chromosome:GRCm38:7:109519147:109522367:1 gene:ENSMUSG00000046364.14 transcript:ENSMUST00000143107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl27a description:ribosomal protein L27A [Source:MGI Symbol;Acc:MGI:1347076] MPSRLRKTRKLRGHVSHGHGRIGKHRKHPGGRGNAGGMHHHRINFDKYHPGYFGKVGMRH YHLKRNQSFCPTVNLDKLWTLVSEQTRVNAAKNKTGVAPIIDVVRSGYYKVLGKGKLPKQ PVIVKAKFFSRRAEEKIKGVGGACVLVA >ENSMUSP00000134650.1 pep:known chromosome:GRCm38:7:109519220:109520597:1 gene:ENSMUSG00000046364.14 transcript:ENSMUST00000156921.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpl27a description:ribosomal protein L27A [Source:MGI Symbol;Acc:MGI:1347076] MVGLLLASAPSRRVGALLPSLSAACYGPSGSPWLGVACGAG >ENSMUSP00000040755.5 pep:known chromosome:GRCm38:3:90726911:90740514:1 gene:ENSMUSG00000042250.13 transcript:ENSMUST00000047745.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pglyrp4 description:peptidoglycan recognition protein 4 [Source:MGI Symbol;Acc:MGI:2686324] MLSWLLVFSILVLLAQGVSSWENPQTDQVSEGLQQLFGNISQLFEKGILGRDDVFTMVSR EEWGAEAIGCSSKLSRPVDVLVIHHIPGLECHNKTVCSQKLRELQAYHIHNSWCDVAYNF LVGDDGRVYEGVGWNVQGSHDQGYKNISLGVAFFGTQEGHSPSPVALSAMKGLISYAVKK GHLSSKYIQPLLAKSEDCLVPPQKGKQKKACPHIVPRSVWGARDSHCSRMTLPAKYAIIL HTAGRTCSQPDECRLLVRDLQSFFMNRLNACDIGYNFLVGQDGGVYEGVGWNNQGSKTDS YNDISLSITFMGTFTGSPPNAAALEAAQDLIRCAVVKGYLTPNYLLMGHSDVSNTLSPGQ ALYNIIKTWPHFKH >ENSMUSP00000128113.1 pep:known chromosome:GRCm38:3:90726906:90741517:1 gene:ENSMUSG00000042250.13 transcript:ENSMUST00000169698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pglyrp4 description:peptidoglycan recognition protein 4 [Source:MGI Symbol;Acc:MGI:2686324] MLSWLLVFSILVLLAQGVSSWENPQTDQVSEGLQQLFGNISQLFEKGILGRDDVFTMVSR EEWGAEAIGCSSKLSRPVDVLVIHHIPGLECHNKTVCSQKLRELQAYHIHNSWCDVAYNF LVGDDGRVYEGVGWNVQGSHDQGYKNISLGVAFFGTQEGHSPSPVALSAMKGLISYAVKK GHLSSKYIQPLLAKSEDCLVPPQKGKQKKAACPHIVPRSVWGARDSHCSRMTLPAKYAII LHTAGRTCSQPDECRLLVRDLQSFFMNRLNACDIGYNFLVGQDGGVYEGVGWNNQGSKTD SYNDISLSITFMGTFTGSPPNAAALEAAQDLIRCAVVKGYLTPNYLLMGHSDVSNTLSPG QALYNIIKTWPHFKH >ENSMUSP00000022189.8 pep:known chromosome:GRCm38:13:95350683:95375352:-1 gene:ENSMUSG00000021681.8 transcript:ENSMUST00000022189.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aggf1 description:angiogenic factor with G patch and FHA domains 1 [Source:MGI Symbol;Acc:MGI:1913799] MASEAPSPPSPSPPPPASPEPELAQLRRKVEKLERELRSCRRQVREVEKLLQHTERLYRN AESDNQELRTQVEELSKILHCGKNEDNPKSDVEVQTESQAPWAISDYYYQTCYNDDSLPS KETELCVQQSQCAQASALDPQDESHIDSGSYAGADATEGVSHRQEDAVTSDSQESVSALA EGPALEGSSLAESLRAAAEAAVSQTGFTYDESTGLYFDHSTGFYYDSENQLYYDPSTGIY YYCDVESGRYQFHSRVDLQPYQTSSTKPNRERRLKKRRKEPGFYTANEEKDLSSEDQKVC SVEYINCSEDEHSGNVKKKARTDTSHKSSPLQLTVAVSGDTVESPGDDNSASSKDERIGE SESEPEEGEITDSQSEKSYDGDSSSGDRETSEESDDEDEERIWPPCIRVIVIRSPVLQMG SLFIITAVSPATIGREKDMEHTVRIPEVAVSKFHAEVYFDHDLQSYVLVDQGSQNGTIVN GKQILQPKTKCDPYVLEHGDEVKIGETVLSFHIHPGSETCDGCEPGQVRAHLRLDRKDEP LVGPALSKEEKELERRKALKKIRVKYGLQNTDYEAEKALKNPKYKDRAGKRREQVGSEGT FQRDDAPASVHSEITDSNKGRKMLEKMGWKRGEGLGKDGGGMKTPIQLQLRRTHAGLGTG KLSSIDDVHLIQNKSKKHWDKARERFAETFTENKPRKETPGAVPWVTGTAE >ENSMUSP00000122092.1 pep:known chromosome:GRCm38:5:3632932:3641539:-1 gene:ENSMUSG00000007415.15 transcript:ENSMUST00000140871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad1 description:GATA zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1914460] FVCHAPSEYFKSRSSPFPTVPTRPEKGYIWTHVGPTPAITIKETVANHL >ENSMUSP00000007559.8 pep:known chromosome:GRCm38:5:3639961:3647934:-1 gene:ENSMUSG00000007415.15 transcript:ENSMUST00000007559.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad1 description:GATA zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1914460] MPLGLKPTCSMCKTTSSSMWKKSPQGEILCHHCTGRGGGGAGVAGGTGGGGGGGGGFGTT TFATTSAGPSQSNGGGGGKQSKQEIHRRSARLRNTKYKSAPAAEKKVSTKGKGRRHIFKL KNPIKAPESVSTIVTAESIFYKGVYYQIGDVVSVIDEQDGKPYYAQIRGFIQDQYCEKSA ALTWLIPTLASPRDQFDPASYIIGPEEDLPRKMEYLEFVCHAPSEYFKSRSSPFPTVPTR PEKGYIWTHVGPTPAITIKETVANHL >ENSMUSP00000142480.1 pep:known chromosome:GRCm38:5:3641290:3657185:-1 gene:ENSMUSG00000007415.15 transcript:ENSMUST00000196304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad1 description:GATA zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1914460] MEYLEFVCHAPSEYFKSRSSPFPTVPTRPEKGYIWTHVGPTPAITIKETVANHL >ENSMUSP00000113271.1 pep:known chromosome:GRCm38:5:3643077:3647806:-1 gene:ENSMUSG00000007415.15 transcript:ENSMUST00000119783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad1 description:GATA zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1914460] MPLGLKPTCSMCKTTSSSMWKKSPQGEILCHHCTGRGGGGAGVAGGTGGGGGGGGGFGTT TFATTSAGPSQSNGGGGGKQSKQEIHRRSARLRNTKYKSAPAAEKKVSTKGKGRRHIFKL KNPIKAPESVSTIVTAESIFYKGVYYQIGDVVSVIDEQDGKPYYAQIRGFIQDQYCEKSA ALTWLIPTLASPRDQFDPASYIIGEAQHLRFTSFFSAL >ENSMUSP00000092041.4 pep:known chromosome:GRCm38:11:3514867:3517351:1 gene:ENSMUSG00000075702.9 transcript:ENSMUST00000094469.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selm description:selenoprotein M [Source:MGI Symbol;Acc:MGI:2149786] MSILLSPPSLLLLLAALVAPATSTTNYRPDWNRLRGLARGRVETCGGUQLNRLKEVKAFV TEDIQLYHNLVMKHLPGADPELVLLSRNYQELERIPLSQMTRDEINALVQELGFYRKSAP EAQVPPEYLWAPAKPPEEASEHDDL >ENSMUSP00000145288.1 pep:known chromosome:GRCm38:6:53873279:53978671:-1 gene:ENSMUSG00000052955.5 transcript:ENSMUST00000203101.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpvl description:carboxypeptidase, vitellogenic-like [Source:MGI Symbol;Acc:MGI:1918537] MVRAKWKMVVSLILFMVSPGDGLFHAVYRSILVSQSFKGDAGQPLFLSPYIKNGKIKEGQ RKSMVSPFPGMNDKSYAGYITVNQTYNSNLFFWFFPARMQPEDAPVVLWLQGGPGGSSMF GLFVEHGPYIITSNMTVVARDFPWTFTLSMLYIDNPVGTGFSFTDHFQGYATSEDDVAQD LYSALIQFFTLFPEYAKNDFYVTGESYAGKYVPALAHYIHSLNPVRKFKIRLKGIAIGDA YTDPESILDKLLDGDVTTGSSFFQNVTGCTNYYNILQCTEPKEQSYFAKFLTLPQVRQAI HVGNQNFSDGAEVEKHLREDTVKSVKPWLSEIMNYYKVLIYNGQLDIIVAAALTERSLMA MDWKGSRAYRRARRKVWKIFKSDNEVAGYVRRVGKFHQVIVRGGGHILPYDQPMRSFDMI NRFIYDRGWEPYNS >ENSMUSP00000144942.1 pep:known chromosome:GRCm38:6:53873379:53978662:-1 gene:ENSMUSG00000052955.5 transcript:ENSMUST00000204674.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpvl description:carboxypeptidase, vitellogenic-like [Source:MGI Symbol;Acc:MGI:1918537] MVRAKWKMVVSLILFMVSPGDGLFHAVYRSILVSQSFKGDAGQPLFLSPYIKNGKIKEGQ RKSMVSPFPGMNDKSYAGYITVNQTYNSNLFFWFFPARMQPEDAPVVLWLQGGPGGSSMF GLFVEHGPYIITSNMTVVARDFPWTFTLSMLYIDNPVGTGFSFTDHFQGYATSEDDVAQD LYSALIQFFTLFPEYAKNDFYVTGESYAGKYVPALAHYIHSLNPVRKFKIRLKGIAIGDA YTDPESIIGGYAAFLYEIGLLDEQQQKYFQKQCSKCVKYIKEQEWMKAFEILDKLLDGDV TTGSSFFQNVTGCTNYYNILQCTEPKEQSYFAKFLTLPQVRQAIHVGNQNFSDGAEVEKH LREDTVKSVKPWLSEIMNYYKVLIYNGQLDIIVAAALTERSLMAMDWKGSRAYRRARRKV WKIFKSDNEVAGYVRRVGKFHQVIVRGGGHILPYDQPMRSFDMINRFIYDRGWEPYNS >ENSMUSP00000131462.1 pep:known chromosome:GRCm38:6:53873379:53978662:-1 gene:ENSMUSG00000052955.5 transcript:ENSMUST00000166545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpvl description:carboxypeptidase, vitellogenic-like [Source:MGI Symbol;Acc:MGI:1918537] MVRAKWKMVVSLILFMVSPGDGLFHAVYRSILVSQSFKGDAGQPLFLSPYIKNGKIKEGQ RKSMVSPFPGMNDKSYAGYITVNQTYNSNLFFWFFPARMQPEDAPVVLWLQGGPGGSSMF GLFVEHGPYIITSNMTVVARDFPWTFTLSMLYIDNPVGTGFSFTDHFQGYATSEDDVAQD LYSALIQFFTLFPEYAKNDFYVTGESYAGKYVPALAHYIHSLNPVRKFKIRLKGIAIGDA YTDPESIIGGYAAFLYEIGLLDEQQQKYFQKQCSKCVKYIKEQEWMKAFEILDKLLDGDV TTGSSFFQNVTGCTNYYNILQCTEPKEQSYFAKFLTLPQVRQAIHVGNQNFSDGAEVEKH LREDTVKSVKPWLSEIMNYYKVLIYNGQLDIIVAAALTERSLMAMDWKGSRAYRRARRKV WKIFKSDNEVAGYVRRVGKFHQVIVRGGGHILPYDQPMRSFDMINRFIYDRGWEPYNS >ENSMUSP00000129215.2 pep:known chromosome:GRCm38:10:130471332:130497379:-1 gene:ENSMUSG00000091511.2 transcript:ENSMUST00000164227.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r87 description:vomeronasal 2, receptor 87 [Source:MGI Symbol;Acc:MGI:3645796] MKKMCVLTTSFLFLKFSLILCCLTEPICFWRIKDSEYNDGELQSECGFFLWTMEKPMEDD FYNVRFDFRIPASEYEFVLVMFFATDEINKNPDLLPNTSLVFSVIGGKCQDSLGLLGEEY ILINDSLNYVNYVCKADDTCVIDLTGPSWKTSLKLAIDSWTPKVFFGPFNPNLSDHDRFP YVHQVATKDTHLSHGMVSLMLHFRWTWIGLVISDDDQGIQLHSDLREESQRHGICLAFVN VIPETMKIYMTRGNMYDKQIMTSSAKVVIIYGEMNSTLEISFRRWVYLGARRIWITTSQW DVITNKKDFSLDLFHGTVTFAHHKGWIAKFKNFMQTMNTSKYPINISQSVLRWNYFNCSV SKNSIKMDHFTCKNPLEWTALHNYDMALSDEGYNLYNAVYAVAHAYHEHILHQVESQKMA EDKGKYTECQQLAPLLKTRVFTNPVGELVNMNQREYQCAEYDIFIIWNFPQGLGLKVKIG NYFPCFPRSQQLHISEDLEWVTGGTLVPSSMCSVTCTPGFRKIHQKETADCCFDCVQCPE NEVSNETADMEQCVRCPDDKYANLEQSHCLQRTVSFLAYEDPLGMVLGSMALSSSAITIL VLVTFMKYKDTPIVKANNCILSYILLISLVFCFLCSLLFIEHPNQVTCILQQTTFGLFFT VAVSTVLAKTITVVMAFKLTTPGKRMRGMMTGAPKLVIPICTLIQLVLCGIWLLTSPPFI DRDIQSEHGKTVIFCNKGSVIAFHVVLGYLGSLALGSFTVAFLARNLPDRFNEAKFLTFS MLVFCSVWITFLPVYHSTRGKIMVVVEVFSILASSAGLLGCIFVPKCYVILVRPDSNFIQ KYKGKLLY >ENSMUSP00000127441.1 pep:known chromosome:GRCm38:8:72565188:72571088:-1 gene:ENSMUSG00000044600.14 transcript:ENSMUST00000167290.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim7 description:small integral membrane protein 7 [Source:MGI Symbol;Acc:MGI:1914068] MIGDILLFGTLLMNAGAVLNFKLKKKDTQGFGEESKEPSTGDNIREFLLSLRYFRIFIAL WNVFMMLCMIVLFGS >ENSMUSP00000059943.8 pep:known chromosome:GRCm38:8:72567487:72571049:-1 gene:ENSMUSG00000044600.14 transcript:ENSMUST00000058733.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim7 description:small integral membrane protein 7 [Source:MGI Symbol;Acc:MGI:1914068] MNAGAVLNFKLKKKDTQGFGEESKEPSTGDNIREFLLSLRYFRIFIALWNVFMMLCMIVL FGS >ENSMUSP00000092122.2 pep:known chromosome:GRCm38:4:141432672:141436105:-1 gene:ENSMUSG00000070637.2 transcript:ENSMUST00000094544.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm694 description:predicted gene 694 [Source:MGI Symbol;Acc:MGI:2685540] MAFSKDPRRTSLRDSSVEMSSGTQPSCAPKAMPTAHVTFLIDCATGKQVSLAASTAPPHA SRANQGCVAPPMKTFVMFRGKTTMLGTQNISLSRGALDGAKDTLPPYRGLGAPHSLPASL PGPQNDPKAQGSSLKPGATEKHSTREKVKHSLKALTCLCGQVE >ENSMUSP00000135408.1 pep:known chromosome:GRCm38:5:4192367:4197651:1 gene:ENSMUSG00000053178.5 transcript:ENSMUST00000177258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf1b description:mitochondrial transcription termination factor 1b [Source:MGI Symbol;Acc:MGI:3704243] MIMASRNIWCVRRNFLFDLRGWMLQYSAEVFLKSISFRTFSVECDSKDKESLEEEREDLL SNLVTMGVDIDMARRRQPGVFNKAVTNEQELKIFLLSKGASDKVIGSIISRYPRAITRTP ESLSKRWDLWRKIMASDLEIVNILERSPESFFRSNNNLNLENNIKFLCSVGLTHKCLCRL LTNAPRTFSNSLNLNKQMVEFLQETGMSLGHNDPRDFVRKIISKNPSILIQSTKRVKTNI EFLQSTFNLNKQDLLLLICGPGARILDLSNDCTKKNYTNIRERLLSLGCSEEEVQRFVLS YLNMVFLSEKKFNDKIDCLIEEKISASQIIENPRILDSSINTLKTRIRELSHAGYDLSTS SIALLSWSQRRYEAKLKRLCG >ENSMUSP00000096659.1 pep:known chromosome:GRCm38:2:172450313:172458596:-1 gene:ENSMUSG00000074569.4 transcript:ENSMUST00000099060.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcnt7 description:glucosaminyl (N-acetyl) transferase family member 7 [Source:MGI Symbol;Acc:MGI:3606143] MSQLRTTKAGLVACGMICAFIFLYLRNPGPEEAEAEAEAEPTNPAVVECGFYPDELCSAL FDGKKAAPQIAQFCKPPQNSEVPARLRTPGNCSRLARGLHFITRPLSAEEGNFSLAYVIH APRELVMFVRLLRAIYAPQNVYCIHSDENAPKKFKSAMQTFVDCFGNIFLSSKTQKVAHD NLRRLQAEIDCMRDLVHSPFQWHYVMNLCGQEFPIKTNKEIIYDIRTRWKGKNITPGVTP PANSKPKTGQGPPKPSPDENSYTAPNTIFKQSPPHNLTISSGSAHYALTRKFVEFVLTDP RAKDMLQWSKDIQSPEKHYWVTLNRLKDAPGATPDAGWEGHIRATKWRTEAGDGRKGCTD HDAQDTCVYGLGDLPGLIRLPAFFATLEPSSDPLVGVCLERRHRLRALQQAEVPPEPHWQ FPQQSHFNSQPHH >ENSMUSP00000125368.1 pep:known chromosome:GRCm38:2:172450314:172454742:-1 gene:ENSMUSG00000074569.4 transcript:ENSMUST00000161334.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcnt7 description:glucosaminyl (N-acetyl) transferase family member 7 [Source:MGI Symbol;Acc:MGI:3606143] XELCSALFDGKKAAPQIAQFCKPPQNSEVPARLRTPGNCSRLARGLHFITRPLSAEEGNF SLAYVIHAPRELVMFVRLLRAIYAPQNVYCIHSDENAPKKFKSAMQTFVDCFGNIFLSSK TQKVAHDNLRRLQAEIDCMRDLVHSPFQWHYVMNLCGQEFPIKTNKEIIYDIRTRWKGKN ITPGVTPPANSKPKTGQGPPKPSPDENSYTAPNTIFKQSPPHNLTISSGSAHYALTRKFV EFVLTDPRAKDMLQWSKDIQSPEKHYWVTLNRLKDHDAQDTCVYGLGDLPGLIRLPAFFA TLEPSSDPLVGVCLERRHRLRALQQAEVPPEPHWQFPQQSHFNSQPHH >ENSMUSP00000102774.2 pep:known chromosome:GRCm38:11:102162497:102185229:-1 gene:ENSMUSG00000020922.11 transcript:ENSMUST00000107156.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm12 description:LSM12 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919592] MAAPPGEYFSVGSQVSCRTCQEQRLQGELASKARTEKEEKLSQAYAISAGVSLEGQQLFQ TIHKTIKDCKWQEKNIVVMEEVVITPPYQVENCKGKEGSALSHVRKIVEKHFRDVESQKI LQRSQAQQPQKEAALSS >ENSMUSP00000021297.5 pep:known chromosome:GRCm38:11:102162497:102185296:-1 gene:ENSMUSG00000020922.11 transcript:ENSMUST00000021297.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm12 description:LSM12 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919592] MAAPPGEYFSVGSQVSCRTCQEQRLQGEVVAFDYQSKMLALKCPSSSGKPNHADILLINL QYVSEVEIINDRTETPPPLASLNVSKLASKARTEKEEKLSQAYAISAGVSLEGQQLFQTI HKTIKDCKWQEKNIVVMEEVVITPPYQVENCKGKEGSALSHVRKIVEKHFRDVESQKILQ RSQAQQPQKEAALSS >ENSMUSP00000083375.5 pep:known chromosome:GRCm38:1:153899944:153909723:1 gene:ENSMUSG00000026473.15 transcript:ENSMUST00000086199.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glul description:glutamate-ammonia ligase (glutamine synthetase) [Source:MGI Symbol;Acc:MGI:95739] MATSASSHLNKGIKQMYMSLPQGEKVQAMYIWVDGTGEGLRCKTRTLDCEPKCVEELPEW NFDGSSTFQSEGSNSDMYLHPVAMFRDPFRKDPNKLVLCEVFKYNRKPAETNLRHICKRI MDMVSNQHPWFGMEQEYTLMGTDGHPFGWPSNGFPGPQGPYYCGVGADKAYGRDIVEAHY RACLYAGVKITGTNAEVMPAQWEFQIGPCEGIRMGDHLWIARFILHRVCEDFGVIATFDP KPIPGNWNGAGCHTNFSTKAMREENGLKCIEEAIDKLSKRHQYHIRAYDPKGGLDNARRL TGFHETSNINDFSAGVANRGASIRIPRTVGQEKKGYFEDRRPSANCDPYAVTEAIVRTCL LNETGDEPFQYKN >ENSMUSP00000114377.1 pep:known chromosome:GRCm38:1:153900606:153907140:1 gene:ENSMUSG00000026473.15 transcript:ENSMUST00000139476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glul description:glutamate-ammonia ligase (glutamine synthetase) [Source:MGI Symbol;Acc:MGI:95739] MATSASSHLNKGIKQMYMSLPQGEKVQAMYIWVDGTGEGLRCKTRTLDCEPKCVEELPEW NFDGSSTFQSEGSNSDMYLHPVAMFRDPFRKDPNKLVLCEVFKYNRKPAETNLRHICKRI MDMVSNQHPWFGMEQEYTLMGTDGHPFGWPSNGFPGPQGPYYCGVGADKAYGRDIVEAHY RACLYAGVKITGTNAEVMP >ENSMUSP00000123157.2 pep:known chromosome:GRCm38:1:153901116:153906356:1 gene:ENSMUSG00000026473.15 transcript:ENSMUST00000140685.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glul description:glutamate-ammonia ligase (glutamine synthetase) [Source:MGI Symbol;Acc:MGI:95739] MATSASSHLNKGIKQMYMSLPQGEKVQAMYIWVDGTGEGLRCKTRTLDCEPKCVEELPEW NFDGSSTFQSEGSNSDMYLHPVAMFRDPFRKDPNKLVLCEVFKYNRKPAETN >ENSMUSP00000000161.7 pep:known chromosome:GRCm38:16:96422288:96443619:-1 gene:ENSMUSG00000000157.15 transcript:ENSMUST00000000161.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb2l description:integrin beta 2-like [Source:MGI Symbol;Acc:MGI:1277979] MLGQCTLLPVLAGLLSLESALSQLCTKDNVSTCQDCIRSGPSCAWCQKLNFTGRGEPDSV RCDTPEQLLLKGCTSEYLVDPKSLAESQEDKERDQRQLSPRNVTVFLRPGQAATFKVDFQ RTQDNSVDLYFLMGLSGSAQGHLSNVQTLGSDLLKALNEISRSGRIGFGSIVNMTFQHIL KLTADSSQFQRELRKQLVSGKLATPKGQLDAVVQVAICLGEIGWRNGTRFLVLVTDNDFH LAKDKTLGTRQNTSDGRCHLDDGMYRSRGEPDYQSVVQLASKLAENNIQPIFVVPSRMVK TYEKLTTFIPKLTIGELSDDSSNVAQLIRNAYSKLSSIVVLNHSTIPSILKVTYDSYCSN GTSNPGKPSGDCSGVQINDQVTFQVNITASECFREQFFFIQALGFMDSVTVRVLPLCECQ CQEQSQHHSLCGGKGAMECGICRCNSGYAGKNCECQTQGPSSQDLEGSCRKDNSSIMCSG LGDCICGQCECHTSDIPNKEIYGQYCECNNVNCERYDGQVCGGPERGHCSCGRCFCRYGF VGSACQCRMSTSGCLNNRMVECSGHGRCYCNRCLCDPGYQPPLCEKRPGYFHRCSEYYSC ARCLKDNSAIKCRECWNLLFSNTPFSNKTCMTERDSEGCWTTYTLYQPDQSDINSIYIKE SLVCAEISNTTILLGVIVGVLLAVIFLLVYCMVYLKGTQKAAKLPRKGGAQSTLAQQPHF QEPHHVEPVWNQERQGTQ >ENSMUSP00000114497.1 pep:known chromosome:GRCm38:16:96422292:96443614:-1 gene:ENSMUSG00000000157.15 transcript:ENSMUST00000131567.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itgb2l description:integrin beta 2-like [Source:MGI Symbol;Acc:MGI:1277979] MLGQCTLLPVLAGLLSLESALSQLCTKDNVSTCQDCIRSGPSCAWCQKLNFTGRGEPDSV RCDTPEQLLLKGCTSEYLVDPKSLAESQEDKERDQRQLSPRNVTVFLRPGQAATFKVDFQ RTQDNSVDLYFLMGLSGSAQGHLSNVQTLGSDLLKALNEISRSGRIGFGSIVNMTFQHIL KLTADSSQFQRELRKQLVSGKLATPKGQLDAVVQVAICLGEIGWRNGTRFLVLVTDNDFH LAKDKTLGTRQNTSDGRCHLDDGMYRSRGEPDYQSVVQLASKLAENNIQPIFVVPSRMVK TYEKLTTFIPKLTIGELSDDSSNVAQLIRNAYSKLSSIVVLNHSTIPSILKVTYDSYCSN GTSNPGKPSGDCSGVQINDQVTFQVNITASECFREQFFFIQALGFMDSVTVRVLPLCECQ CQEQSQHHSLCGGKGAMECGICRCNSGYAGKNCECQTQGPSSQDLEGSCRKDNSSIMCSG LGDCICGQCECHTSDIPNKEIYGQYCECNNVNCERYDGQVCGGPASWAQPASAGCPLQAV >ENSMUSP00000109403.1 pep:known chromosome:GRCm38:16:96422376:96443612:-1 gene:ENSMUSG00000000157.15 transcript:ENSMUST00000113773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb2l description:integrin beta 2-like [Source:MGI Symbol;Acc:MGI:1277979] MLGQCTLLPVLAGLLSLESALSQLCTKDNVSTCQDCIRSGPSCAWCQKLNFTGRGEPDSV RCDTPEQLLLKGCTSEYLVDPKSLAESQEDKERDQRQLSPRNVTVFLRPGQAATFKVDFQ RTQDNSVDLYFLMGLSGSAQGHLSNVQTLGSDLLKALNEISRSGRIGFGSIVNMTFQHIL KLTADSSQFQRELRKQLVSGKLATPKGQLDAVVQVAICLGEIGWRNGTRFLVLVTDNDFH LAKDKTLGTRQNTSDGRCHLDDGMYRSRGEPDYQSVVQLASKLAENNIQPIFVVPSRMVK TYEKLTTFIPKLTIGELSDDSSNVAQLIRNAYSKLSSIVVLNHSTIPSILKVTYDSYCSN GTSNPGKPSGDCSGVQINDQVTFQVNITASECFREQFFFIQALGFMDSVTVRVLPLCECQ CQEQSQHHSLCGGKGAMECGICRCNSGYAGKNCECQTQGPSSQDLEGSCRKDNSSIMCSG LGDCICGQCECHTSDIPNKEIYGQYCECNNVNCERYDGQVCGGPERGHCSCGRCFCRYGF VGSACQCRMSTSGCLNNRMVECSGHGRCYCNRCLCDPGYQPPLCEKRPGYFHRCSEYYSC ARCLKDNSAIKCRECWNLLFSNTPFSNKTCMTERDSEGCWTTYTLYQPDQSDINSIYIKE SLVCAEISNTTILLGVIVGVLLAVIFLLVYCMVYLKGTQKAAKLPRKGGAQSTLAQRKWL GCVSLHLPALCDFSHCGLWLFLKFCIHPAPAAQLSVHTMKSLPLISNTFHFCLGPQSNVF KLDIPRRQPQKPSLY >ENSMUSP00000101359.2 pep:known chromosome:GRCm38:4:146449023:146470292:1 gene:ENSMUSG00000070605.4 transcript:ENSMUST00000105733.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13251 description:predicted gene 13251 [Source:MGI Symbol;Acc:MGI:3700963] MSVCLVNTPQGLLTFKDVALDFSLEEWESLSFAQRTLYMDVMLENYNNLLFVENHCICGK YEKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILEAPQCTPYKTNHTSDALQFSNQKRLK PRNTKEVCKYNDSVNSLTLFSTISLNQGIHMQKKKHNGNAESEKAFISKHKVMVKRNNTG VNPYKCSKFDKYLTQRDKLQSQQRIYHEKKHFRSSKSDKCFTHQIDLSIHQGIHAEEKIY KCSECDKCFTHKFHLNIHQRIHTGEKPYKCSECDKCFTQKSHLNIHQRIHTGEKPYKCIV CEKCFTEKGNLRIHQRIHTGDKPYKCSECDKCFTQKSHLNIHQRIHTGEKPYKCSKCDKS FTENGHLRIHQRNHTGEKPYKCSQCDKSFTENGHLRIHQRIHTGEKPYKCSECDKCFTKK FSLRIHQRIHRGEKPYKCSECDKCFTFKGSLRIHQRIHTGEKPYKCSECDKSFTENGCLR IHQRIHTGEKPYKCTECDKCFTFKGSLRIHQRIHTGEKPYKCTECDKSFTEKGKLRIHQR IHTGEKPYKCSECDKCFTEKGHQRIHTGEIPYK >ENSMUSP00000146535.1 pep:known chromosome:GRCm38:7:44590664:44601080:1 gene:ENSMUSG00000062785.14 transcript:ENSMUST00000209177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnc3 description:potassium voltage gated channel, Shaw-related subfamily, member 3 [Source:MGI Symbol;Acc:MGI:96669] MLSSVCVWSFRGRQGTGKQQPQPVPTPQPPESSPPPLPPPQQQQCSQPGTAASPAGAPLS CGPGGRRAEPCPGLPAVAMGRHGGGGGDSGKIVINVGGVRHETYRSTLRTLPGTRLAGLT EPEAAARFDYDPGTDEFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELGFWGIDE TDVEACCWMTYRQHRDAEEALDSFEAPDSSANANANAGGAHDAGLDDEAGAGGGGLDGAG GELKRLCFQDAGGGAGGPAGGAGGAGGTWWRRWQPRVWALFEDPYSSRAARYVAFASLFF ILISITTFCLETHEGFIHISNKTVTQASPIPGAPPENITNVEVETEPFLTYVEGVCVVWF TFEFLMRVTFCPDKVEFLKSSLNIIDCVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFV RILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGADPDDI LGSNHTYFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVLTIAMPVPVIV NNFGMYYSLAMAKQKLPKKKNKHIPRPPQPGSPNYCKPDPPPPPPPHPHHGSGGISPPPP ITPPSMGVNVAGAYPPGPHTHPGLLRGGAGGLGIMGLPPLPAPGEPCPLAQEEVIETNRA GSDLGVLEEGDPRPNGDPAAAALAHEDCPAIDQPAMSPEDKSPITPGSRGRYSRDRACFL VTDYAPSPDGSIRKATGAPPLPPPCWHKPGPPSFLPDLNANAAAWISP >ENSMUSP00000146425.1 pep:known chromosome:GRCm38:7:44590664:44602141:1 gene:ENSMUSG00000062785.14 transcript:ENSMUST00000207493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnc3 description:potassium voltage gated channel, Shaw-related subfamily, member 3 [Source:MGI Symbol;Acc:MGI:96669] MLSSVCVWSFRGRQGTGKQQPQPVPTPQPPESSPPPLPPPQQQQCSQPGTAASPAGAPLS CGPGGRRAEPCPGLPAVAMGRHGGGGGDSGKIVINVGGVRHETYRSTLRTLPGTRLAGLT EPEAAARFDYDPGTDEFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELGFWGIDE TDVEACCWMTYRQHRDAEEALDSFEAPDSSANANANAGGAHDAGLDDEAGAGGGGLDGAG GELKRLCFQDAGGGAGGPAGGAGGAGGTWWRRWQPRVWALFEDPYSSRAARYVAFASLFF ILISITTFCLETHEGFIHISNKTVTQASPIPGAPPENITNVEVETEPFLTYVEGVCVVWF TFEFLMRVTFCPDKVEFLKSSLNIIDCVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFV RILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGADPDDI LGSNHTYFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVLTIAMPVPVIV NNFGMYYSLAMAKQKLPKKKNKHIPRPPQPGSPNYCKPDPPPPPPPHPHHGSGGISPPPP ITPPSMGVNVAGAYPPGPHTHPGLLRGGAGGLGIMGLPPLPAPGEPCPLAQEEVIETNRA VDPRPNGDPAAAALAHEDCPAIDQPAMSPEDKSPITPGSRGRYSRDRACFLVTDYAPSPD GSIRKALVTA >ENSMUSP00000146988.1 pep:known chromosome:GRCm38:7:44590664:44602141:1 gene:ENSMUSG00000062785.14 transcript:ENSMUST00000208651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnc3 description:potassium voltage gated channel, Shaw-related subfamily, member 3 [Source:MGI Symbol;Acc:MGI:96669] MLSSVCVWSFRGRQGTGKQQPQPVPTPQPPESSPPPLPPPQQQQCSQPGTAASPAGAPLS CGPGGRRAEPCPGLPAVAMGRHGGGGGDSGKIVINVGGVRHETYRSTLRTLPGTRLAGLT EPEAAARFDYDPGTDEFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELGFWGIDE TDVEACCWMTYRQHRDAEEALDSFEAPDSSANANANAGGAHDAGLDDEAGAGGGGLDGAG GELKRLCFQDAGGGAGGPAGGAGGAGGTWWRRWQPRVWALFEDPYSSRAARYVAFASLFF ILISITTFCLETHEGFIHISNKTVTQASPIPGAPPENITNVEVETEPFLTYVEGVCVVWF TFEFLMRVTFCPDKVEFLKSSLNIIDCVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFV RILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGADPDDI LGSNHTYFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVLTIAMPVPVIV NNFGMYYSLAMAKQKLPKKKNKHIPRPPQPGSPNYCKPDPPPPPPPHPHHGSGGISPPPP ITPPSMGVNVAGAYPPGPHTHPGLLRGGAGGLGIMGLPPLPAPGEPCPLAQEEVIETNRA DPRPNGDPAAAALAHEDCPAIDQPAMSPEDKSPITPGSRGRYSRDRACFLVTDYAPSPDG SIRKALVTA >ENSMUSP00000103540.2 pep:known chromosome:GRCm38:7:44590664:44604754:1 gene:ENSMUSG00000062785.14 transcript:ENSMUST00000107907.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnc3 description:potassium voltage gated channel, Shaw-related subfamily, member 3 [Source:MGI Symbol;Acc:MGI:96669] MLSSVCVWSFRGRQGTGKQQPQPVPTPQPPESSPPPLPPPQQQQCSQPGTAASPAGAPLS CGPGGRRAEPCPGLPAVAMGRHGGGGGDSGKIVINVGGVRHETYRSTLRTLPGTRLAGLT EPEAAARFDYDPGTDEFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELGFWGIDE TDVEACCWMTYRQHRDAEEALDSFEAPDSSANANANAGGAHDAGLDDEAGAGGGGLDGAG GELKRLCFQDAGGGAGGPAGGAGGAGGTWWRRWQPRVWALFEDPYSSRAARYVAFASLFF ILISITTFCLETHEGFIHISNKTVTQASPIPGAPPENITNVEVETEPFLTYVEGVCVVWF TFEFLMRVTFCPDKVEFLKSSLNIIDCVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFV RILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGADPDDI LGSNHTYFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVLTIAMPVPVIV NNFGMYYSLAMAKQKLPKKKNKHIPRPPQPGSPNYCKPDPPPPPPPHPHHGSGGISPPPP ITPPSMGVNVAGAYPPGPHTHPGLLRGGAGGLGIMGLPPLPAPGEPCPLAQEEVIETNRA DPRPNGDPAAAALAHEDCPAIDQPAMSPEDKSPITPGSRGRYSRDRACFLVTDYAPSPDG SIRKGYEKSRSLSSIVGLSGVSLRLAPLATPPGSPRATRRAPPTLPSIL >ENSMUSP00000103539.3 pep:known chromosome:GRCm38:7:44590886:44604754:1 gene:ENSMUSG00000062785.14 transcript:ENSMUST00000107906.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnc3 description:potassium voltage gated channel, Shaw-related subfamily, member 3 [Source:MGI Symbol;Acc:MGI:96669] MLSSVCVWSFRGRQGTGKQQPQPVPTPQPPESSPPPLPPPQQQQCSQPGTAASPAGAPLS CGPGGRRAEPCPGLPAVAMGRHGGGGGDSGKIVINVGGVRHETYRSTLRTLPGTRLAGLT EPEAAARFDYDPGTDEFFFDRHPGVFAYVLNYYRTGKLHCPADVCGPLFEEELGFWGIDE TDVEACCWMTYRQHRDAEEALDSFEAPDSSANANANAGGAHDAGLDDEAGAGGGGLDGAG GELKRLCFQDAGGGAGGPAGGAGGAGGTWWRRWQPRVWALFEDPYSSRAARYVAFASLFF ILISITTFCLETHEGFIHISNKTVTQASPIPGAPPENITNVEVETEPFLTYVEGVCVVWF TFEFLMRVTFCPDKVEFLKSSLNIIDCVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFV RILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGADPDDI LGSNHTYFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVLTIAMPVPVIV NNFGMYYSLAMAKQKLPKKKNKHIPRPPQPGSPNYCKPDPPPPPPPHPHHGSGGISPPPP ITPPSMGVNVAGAYPPGPHTHPGLLRGGAGGLGIMGLPPLPAPGEPCPLAQEEVIETNRA VDPRPNGDPAAAALAHEDCPAIDQPAMSPEDKSPITPGSRGRYSRDRACFLVTDYAPSPD GSIRKGYEKSRSLSSIVGLSGVSLRLAPLATPPGSPRATRRAPPTLPSIL >ENSMUSP00000146479.1 pep:known chromosome:GRCm38:7:44596093:44602104:1 gene:ENSMUSG00000062785.14 transcript:ENSMUST00000207497.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcnc3 description:potassium voltage gated channel, Shaw-related subfamily, member 3 [Source:MGI Symbol;Acc:MGI:96669] XPPSMGVNVAGAYPPGPHTHPGLLRGGAGGLGIMGLPPLPAPGEPCPLAQEEVIETNRAD PRPNGDPAAAALAHEDCPAIDQPAMSPEDKSPITPGSRGRYSRDRACFLVTDYAPSPDGS IRKATGAPPLPPPCWHKPGPPSFLPDLNANAAAWISP >ENSMUSP00000029005.3 pep:known chromosome:GRCm38:2:172440556:172469908:1 gene:ENSMUSG00000027502.11 transcript:ENSMUST00000029005.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtfdc1 description:replication termination factor 2 domain containing 1 [Source:MGI Symbol;Acc:MGI:1913654] MGCDGGTIPKRHELVKGPKKVEKVDKDAELVAQWNYCTLSQEILRRPIVACELGRLYNKD AVIEFLLDKSAEKALGKAASHIRSIKNVTELRLSDNPAWEGDKGNTKGDKHDDLQRARFI CPVVGLEMNGRHRFCFLRCCGCVFSERALKEIKAEVCHTCGAAFQEEDIIVLNGTKEDVE MLKKRMEERRLRAKLEKKTKKPKTATECASKPGTTQDSAGPSKVKSGKPEEADPDPREKK STPAPRGAATNGSASGKVGKPPCGALKRSIADSEESETYKSIFTSHSSAKRSKEESAHWV THTSYCF >ENSMUSP00000032265.6 pep:known chromosome:GRCm38:6:129485244:129507165:-1 gene:ENSMUSG00000030162.14 transcript:ENSMUST00000032265.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olr1 description:oxidized low density lipoprotein (lectin-like) receptor 1 [Source:MGI Symbol;Acc:MGI:1261434] MTFDDKMKPANDEPDQKSCGKKPKGLHLLSSPWWFPAAMTLVILCLVLSVTLIVQWTQLR QVSDLLKQYQANLTQQDRILEGQMLAQQKAENTSQESKKELKGKIDTLTQKLNEKSKEQE ELLQKNQNLQEALQRAANSSEESQRELKGKIDTITRKLDEKSKEQEELLQMIQNLQEALQ RAANSSEESQRELKGKIDTLTLKLNEKSKEQEELLQKNQNLQEALQRAANFSGPCPQDWL WHKENCYLFHGPFSWEKNRQTCQSLGGQLLQINGADDLTFILQAISHTTSPFWIGLHRKK PGQPWLWENGTPLNFQFFKTRGVSLQLYSSGNCAYLQDGAVFAENCILIAFSICQKKTNH LQI >ENSMUSP00000138228.1 pep:known chromosome:GRCm38:6:129487666:129507107:-1 gene:ENSMUSG00000030162.14 transcript:ENSMUST00000183258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olr1 description:oxidized low density lipoprotein (lectin-like) receptor 1 [Source:MGI Symbol;Acc:MGI:1261434] MTFDDKMKPANDEPDQKSCGKKPKGPCPQDWLWHKENCYLFHGPFSWEKNRQTCQSLGGQ LLQINGADDLTFILQAISHTTSPFWIGLHRKKPGQPWLWENGTPLNFQFFKTRGVSLQLY SSGNCAYLQDGAVFAENCILIAFSICQKKTNHLQI >ENSMUSP00000138588.1 pep:known chromosome:GRCm38:6:129487666:129507107:-1 gene:ENSMUSG00000030162.14 transcript:ENSMUST00000182784.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olr1 description:oxidized low density lipoprotein (lectin-like) receptor 1 [Source:MGI Symbol;Acc:MGI:1261434] MTFDDKMKPANDEPDQKSCGKKPKGLHLLSSPWWFPAAMTLVILCLVLSVTLIVQWTQCP CPQDWLWHKENCYLFHGPFSWEKNRQTCQSLGGQLLQINGADDLTFILQAISHTTSPFWI GLHRKKPGQPWLWENGTPLNFQFFKTRGVSLQLYSSGNCAYLQDGAVFAENCILIAFSIC QKKTNHLQI >ENSMUSP00000144833.1 pep:known chromosome:GRCm38:6:129487705:129496873:-1 gene:ENSMUSG00000030162.14 transcript:ENSMUST00000203564.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olr1 description:oxidized low density lipoprotein (lectin-like) receptor 1 [Source:MGI Symbol;Acc:MGI:1261434] XELLQMIQNLQEALQRAANSSGPCPQDWLWHKENCYLFHGPFSWEKNRQTCQSLGGQLLQ INGADDLTFILQAISHTTSPFWIGLHRKKPGQPWLWENGTPLNFQFFKTRGVSLQLYSSG NCAYLQDGAVFAENCILIAF >ENSMUSP00000124660.1 pep:known chromosome:GRCm38:6:129499907:129507165:-1 gene:ENSMUSG00000030162.14 transcript:ENSMUST00000162815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olr1 description:oxidized low density lipoprotein (lectin-like) receptor 1 [Source:MGI Symbol;Acc:MGI:1261434] MTFDDKMKPANDEPDQKSCGKKPKVRQVSDLLKQYQANLTQQDRILEGQMLAQQKAENTS QESKKELKGKIDTLTQKLNEKSKEQEELLQKNQNLQE >ENSMUSP00000030265.3 pep:known chromosome:GRCm38:4:117888643:117892032:-1 gene:ENSMUSG00000028540.6 transcript:ENSMUST00000030265.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph2 description:DPH2 homolog [Source:MGI Symbol;Acc:MGI:1914978] MESTFSSPAEAALQREAGVPGQFTPPEDLDRVYELERVTKFVCDLGCQRVTLQFPDQLLG DAGAVAARLEEVTGAKMFILGDTAYGSCCVDVLGAEQAGAQALVHFGPACLSPPASQLPI TFVLGQRPVALELCAKAFEAQNPDPTAPVVLLSEPACAHALEPLAMLLLPKYQDLLISRP ALPLPVGSPSSQPESLERFGRCFPLNPGRRLEEYGAFYVGASQASSDSSLDPDLSRLLLG WTPGRPFFSCCPDTGQTQDQGAKAGRLRARRLYLIERARDARVVGLLAGTLGVARHREAL AHLRKLTEAAGKRSYVLAVGKPTPAKLANFPEMDVFVLLACPLGALAPQPSGGFFRPVLT PCELEAACNPAWPPPGLAPHLTHYAELLPGSPFHVPLPPPESELWDTPDVSLISGELRPP PPWKSSDDTRCSALIPRPQLELAESSPAASFLSSRNWQGLEPRLGQTPVKEAVRGRRGIA IAYEDEGSS >ENSMUSP00000138948.1 pep:known chromosome:GRCm38:4:117888916:117892023:-1 gene:ENSMUSG00000028540.6 transcript:ENSMUST00000183773.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dph2 description:DPH2 homolog [Source:MGI Symbol;Acc:MGI:1914978] MESTFSSPAEAALQREAGVPGQFTPPEDLDRVYELERVTKFVCDLAAVWMCWAPSRLELK PLCTSVLPA >ENSMUSP00000037966.3 pep:known chromosome:GRCm38:4:6686353:6990799:-1 gene:ENSMUSG00000041272.11 transcript:ENSMUST00000039987.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tox description:thymocyte selection-associated high mobility group box [Source:MGI Symbol;Acc:MGI:2181659] MDVRFYPPPAQPAAAPAAPCLGPSPCLDPYYCNKFDGENMYMSMTEPSQDYVPASQSYPG PSLESEDFNIPPITPPSLPDHSLVHLNEVESGYHSLCHPMNHNGLLPFHPQTMDLPEITV SNMLGQDGALLSNSISVMQEIGNAEGAQYSSHPQMAAMRPRGQPTDIRQQASMMQPGQLT TINQSQLSAQLGLNMGGTNVAHNSPSPPGSKSATPSPSSSVHEDECEDASKINGGEKRPA SDMGKKPKTPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWD GLGEEQKQVYKKKTEAAKKEYLKQLAAYRASLVSKSYTDPVDVKTSQPPQLVNSKPSVFH GPSQAHSALYLSSHYHQQPGMTPQLTAMHPSLPRNIAPKPNNQMPVTVSIANMAVSPPPP LQISPPLHQHLSMQQHQSLAMQQPLGSQLPMQVQTALHSPTMQQGFTLQPDYQTIINPTS TAAQVVTQAMEYVRSGCRNPPPQPVDWSTDYCSSGGMQRDKALYLT >ENSMUSP00000141083.1 pep:known chromosome:GRCm38:13:6395716:6398258:1 gene:ENSMUSG00000101540.1 transcript:ENSMUST00000190368.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28465 description:predicted gene 28465 [Source:MGI Symbol;Acc:MGI:5579171] XCVWNKALSAALQQCLPLELLFPSPPTPWHLSPFIFFSLQNKNEHFPFDGPQQKHQTIRH TIFFYNNTSQQVQLFFELISSGCQTLLPGKTDTAGMSLHWHLPSWVLTQHEESANAAVEI SGLGNSSSVLSTAFFDATACGRLSNRSTYHTCAYLG >ENSMUSP00000071246.4 pep:known chromosome:GRCm38:7:126865678:126884703:-1 gene:ENSMUSG00000059981.11 transcript:ENSMUST00000071268.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok2 description:TAO kinase 2 [Source:MGI Symbol;Acc:MGI:1915919] MPAGGRAGSLKDPDVAELFFKDDPEKLFSDLREIGHGSFGAVYFARDVRNSEVVAIKKMS YSGKQSNEKWQDIIKEVRFLQKLRHPNTIQYRGCYLREHTAWLVMEYCLGSASDLLEVHK KPLQEVEIAAVTHGALQGLAYLHSHNMIHRDVKAGNILLSEPGLVKLGDFGSASIMAPAN SFVGTPYWMAPEVILAMDEGQYDGKVDVWSLGITCIELAERKPPLFNMNAMSALYHIAQN ESPALQSGHWSEYFRNFVDSCLQKIPQDRPTSEVLLKHRFVLRERPPTVIMDLIQRTKDA VRELDNLQYRKMKKILFQEAPNGPGAEAPEEEELTPCSQEAEPYTHRAGTLTSLESSHSV PSMSISASSQSSSVNSLADASDNEEEEEEEEEEEEEEEEEGPESREMAMMQEGEHTVTSH SSIIHRLPGSDNLYDDPYQPEMTPGPLQPPAAPPTSTSSSARRRAYCRNRDHFATIRTAS LVSRQIQEHEQDSALREQLSGYKRMRRQHQKQLLALESRLRGEREEHSGRLQRELEAQRA GFGTEAEKLARRHQAIGEKEARAAQAEERKFQQHILGQQKKELAALLEAQKRTYKLRKEQ LKEELQENPSTPKREKAEWLLRQKEQLQQCQAEEEAGLLRRQRQYFELQCRQYKRKMLLA RHSLDQDLLREDLNKKQTQKDLECALLLRQHEATRELELRQLQAVQRTRAELTRLQHQTE LGNQLEYNKRREQELRQKHAAQVRQQPKSLKSKELQIKKQFQETCKIQTRQYKALRAHLL ETTPKAQHKSLLKRLKEEQTRKLAILAEQYDQSISEMLSSQALRLDETQEAEFQALRQQL QQELELLNAYQSKIKIRTESQHERELRELEQRVALRRALLEQRVEEELLALQTGRSERIR SLLERQAREIEAFDAESMRLGFSSMALGGIPAEAAAQGYPAPPPAPAWPSRPVPRSGAHW SHGPPPPGMPPPAWRQPALLAPPGPPNWLGPPTQSGTPRGGALLLLRNSPQPLRRAASGG SSGENVGPPAAVPGPLSRSTSVASHILNGSSHFYS >ENSMUSP00000112963.1 pep:known chromosome:GRCm38:7:126869503:126884703:-1 gene:ENSMUSG00000059981.11 transcript:ENSMUST00000117394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok2 description:TAO kinase 2 [Source:MGI Symbol;Acc:MGI:1915919] MPAGGRAGSLKDPDVAELFFKDDPEKLFSDLREIGHGSFGAVYFARDVRNSEVVAIKKMS YSGKQSNEKWQDIIKEVRFLQKLRHPNTIQYRGCYLREHTAWLVMEYCLGSASDLLEVHK KPLQEVEIAAVTHGALQGLAYLHSHNMIHRDVKAGNILLSEPGLVKLGDFGSASIMAPAN SFVGTPYWMAPEVILAMDEGQYDGKVDVWSLGITCIELAERKPPLFNMNAMSALYHIAQN ESPALQSGHWSEYFRNFVDSCLQKIPQDRPTSEVLLKHRFVLRERPPTVIMDLIQRTKDA VRELDNLQYRKMKKILFQEAPNGPGAEAPEEEELTPCSQEAEPYTHRAGTLTSLESSHSV PSMSISASSQSSSVNSLADASDNEEEEEEEEEEEEEEEEEGPESREMAMMQEGEHTVTSH SSIIHRLPGSDNLYDDPYQPEMTPGPLQPPAAPPTSTSSSARRRAYCRNRDHFATIRTAS LVSRQIQEHEQDSALREQLSGYKRMRRQHQKQLLALESRLRGEREEHSGRLQRELEAQRA GFGTEAEKLARRHQAIGEKEARAAQAEERKFQQHILGQQKKELAALLEAQKRTYKLRKEQ LKEELQENPSTPKREKAEWLLRQKEQLQQCQAEEEAGLLRRQRQYFELQCRQYKRKMLLA RHSLDQDLLREDLNKKQTQKDLECALLLRQHEATRELELRQLQAVQRTRAELTRLQHQTE LGNQLEYNKRREQELRQKHAAQVRQQPKSLKVRAGQLPMGLPATGALGPLSTGTPSEEQP CSSGQEAILDQRMLGEEEEAVPERRILGKEGTTLEPEEQRILGEEMGTFSSSPQKHRSLA NEEDWDISEEMKEIRVPSLASQERNIIGQEEAAAWSLWEKEGGNLVDVEFKLGWVQGPVL TPVPEEEEEEEEEGGAPIGTHRDPGDGCPSPDIPPEPPPSHLRQYPTSQLPGLLSHGLLA GLSFAVGSSSGLLPLLLLLLLPLLAAQGGGGLQAALLALEVGLVGLGASYLFLCTALHLP PGLFLLLAQGTALLAVLSLSWRRGLMGVPLGLGAAWLLAWPSLALPLAAMAAGGKWVRQQ GPQMRRGISRLWLRILLRLSPMVFRALQGCGAVGDRGLFALYPKTNKNGFRSRLPVPWPR QGNPRTTQHPLAQLTRVWAVCKGWNWRLARASHRLASCLPPWAVHILASWGLLKGERPSR IPRLLPRSQRRLGLSASRQLPPGTVAGRRSQTRRTLPPWR >ENSMUSP00000027690.6 pep:known chromosome:GRCm38:1:131599239:131612000:1 gene:ENSMUSG00000026432.7 transcript:ENSMUST00000027690.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avpr1b description:arginine vasopressin receptor 1B [Source:MGI Symbol;Acc:MGI:1347010] MDSEPSWTATPSPGGTLFVPNTTTPWLGRDEELAKVEIGILATVLVLATGGNLAVLLILG LQGHKRSRMHLFVLHLALTDLGVALFQVLPQLLWDITYRFQGSDLLCRAVKYLQVLSMFA STYMLLAMTLDRYLAVCHPLRSLQQPSQSTYPLIAAPWLLAAILSLPQVFIFSLREVIQG SGVLDCWADFYFSWGPRAYITWTTMAIFVLPVVVLTACYGLICHEIYKNLKVKTQAGREE RRGWPKSSSSAAAAATRGLPSRVSSISTISRAKIRTVKMTFVIVLAYIACWAPFFSVQMW SVWDENAPNEDSTNVAFTISMLLGNLSSCCNPWIYMGFNSHLLPRSLSHRACCRGSKPRV HRQLSNSSLASRRTTLLTHTCGPSTLRLSLNLSLHAKPKPAGSLKDLEQVDGEATMETSI S >ENSMUSP00000140527.1 pep:known chromosome:GRCm38:1:131599723:131609754:1 gene:ENSMUSG00000026432.7 transcript:ENSMUST00000190410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avpr1b description:arginine vasopressin receptor 1B [Source:MGI Symbol;Acc:MGI:1347010] MDSEPSWTATPSPGGTLFVPNTTTPWLGRDEELAKVEIGILATVLVLATGGNLAVLLILG LQGHKRSRMHLFVLHLALTDLGVALFQILPMWLSPSRCFWATSAAAATPGSIWASTATCC HVP >ENSMUSP00000101361.2 pep:known chromosome:GRCm38:4:146502027:146539392:1 gene:ENSMUSG00000056300.14 transcript:ENSMUST00000105735.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13247 description:predicted gene 13247 [Source:MGI Symbol;Acc:MGI:3700965] MSASLVNPPQDLLTFNDVALNFSLEEWECLNFAQRTLYMEVMLENYNNVLFVENQCICGK NESFLDQDIQHIVNEHGHIRKKSSKCNELSNVIHESSESTPYNTNQRDATFQFSNLKRHK TGTTKEVCTYKDCVNCLKGSSIISLNQGTHIEKKEHNRNKNLDEVLVSKHKPIVRQNNSE MNTYTCGEFDKCFTQSDSLQSQQRKPGKKSYKYSESDKCFTQPSHLSIHHTIHSGEKPYK CSECDKCFTDKCTLRKHQRIHTGEKPYKCSECDKCFTDKGYLRVHQRIHTGEKPYKCSEC DKCFTDKGYLRVHQRIHTGEKPYKCSECDKCFTQPSHLSFHRRIHSGEKPYKCSECDKCF TDKFILGKHQRIHTGKKPYKCSECDKCFTDKGYLRVHQRIHTGEKPYKCSECDKCFTQQC HLSIHQKIHSGEKPYKCNECDKCFT >ENSMUSP00000115886.1 pep:known chromosome:GRCm38:4:146514920:146537020:1 gene:ENSMUSG00000056300.14 transcript:ENSMUST00000140089.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13247 description:predicted gene 13247 [Source:MGI Symbol;Acc:MGI:3700965] MSASLVNPPQDLLTFNDVALNFSLEEWECLNFAQRTLYMEVMLENYNNVLFVENQCICGK NESFLDQDIQHIVNEHGHIRKKSSKCNELSNVIHESSESTPYNTNQRDATFQFSNLKRHK TGTTKEVCTYKDCV >ENSMUSP00000136739.1 pep:known chromosome:GRCm38:4:146514920:146539395:1 gene:ENSMUSG00000056300.14 transcript:ENSMUST00000179175.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13247 description:predicted gene 13247 [Source:MGI Symbol;Acc:MGI:3700965] MSASLVNPPQDLLTFNDVALNFSLEEWECLNFAQRTLYMEVMLENYNNVLFVENQCICGK NESFLDQDIQHIVNEHGHIRKKSSKCNELSNVIHESSESTPYNTNQRDATFQFSNLKRHK TGTTKEVCTYKDCVNCLKGSSIISLNQGTHIEKKEHNRNKNLDEVLVSKHKPIVRQNNSE MNTYTCGEFDKCFTQSDSLQSQQRKPGKKSYKYSESDKCFTQPSHLSIHHTIHSGEKPYK CSECDKCFTDKCTLRKHQRIHTGEKPYKCSECDKCFTDKGYLRVHQRIHTGEKPYKCSEC DKCFTDKGYLRVHQRIHTGEKPYKCSECDKCFTQPSHLSFHRRIHSGEKPYKCSECDKCF TDKFILGKHQRIHTGKKPYKCSECDKCFTDKGYLRVHQRIHTGEKPYKCSECDKCFTQQC HLSIHQKIHSGEKPYKCNECDKCFT >ENSMUSP00000014694.8 pep:known chromosome:GRCm38:6:92186712:92214925:-1 gene:ENSMUSG00000014550.14 transcript:ENSMUST00000014694.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbsn description:rabenosyn, RAB effector [Source:MGI Symbol;Acc:MGI:1925537] MASLDDPGEVREGFLCPLCLKDLQSFYQLQSHYEEEHLEDRDVKGQIKNLVQKARKAKNK LLKREGDDRVEPGTQGYESFSYGGVDPYMWEPQELGAMRSHLSDFKKHRAARIDHYVVEV NKLIIRLEKLTAFDRTNTETSKIRAIEKSVVPWVNDQDVPFCPDCGNKFSIRNRRHHCRL CGSIMCKKCMELIGLPLAHKLTSASKDSLSTHTSPSQSPNSVHGSRRGSISSMSSVSSVL DEKDDDRIRCCTHCKDKLLKREQQMDEKEHTPDIVKLYEKLRLCMEKVDQKAPEYIRMAA SLNAGETTYNLEHANDLRVEVQKVYELIDALSKKILTLGLNQDPSPHPNTLRLQRMIRYS ATLFVQEKLLGLMSLPTKEQFEELKKKRKQDLEQKRTVERQAALESRRKLEERQSGLASH TANGDVRSLRGIPPPLRKAEGWLPLSEGQGQSEDPDPLLQQIYNITSFIRQAKAAGRTDE VRTLQENLRQLQDEYDQQQTEKAIELSRKQAEEEELQREQLQMLRKRELEREQEQFLAAS LQTRTRVLELREVIPFQLEASRGPHIDLSYSLDQDSSPVQSSTAPDILTPGSALAPMHLW SGPPALGQETLPQSTMSQQSDKASLNPFDEDDLSSPTEGAISPAAVEAFLGPPAAVTKEY NPFEEDAEEEEVAELGAGNPFTDPDSPAPNPFDEDDGPRPASPAAPGNPFEECPSTNPFE VDSDSGMEAEEHIEEELLLQQIDNIKAYIFDAKQCGRMDEVEVLTENLRELKCTLAKQKG APN >ENSMUSP00000144749.1 pep:known chromosome:GRCm38:6:92189841:92199725:-1 gene:ENSMUSG00000014550.14 transcript:ENSMUST00000124635.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbsn description:rabenosyn, RAB effector [Source:MGI Symbol;Acc:MGI:1925537] XHTPDIVKLYEKLRLCMEKVDQKAPEYIRMAASLNAGETTYNLEHANDLRVEVQKVYELI DALSKKILTLGLNQDPSPHPNTLRLQRMIRYSATLFVQEKLLGLMSLPTKEQFEELKKKR KQDLEQKRTVERQAGQGCRPHR >ENSMUSP00000144945.1 pep:known chromosome:GRCm38:6:92207153:92214849:-1 gene:ENSMUSG00000014550.14 transcript:ENSMUST00000203516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbsn description:rabenosyn, RAB effector [Source:MGI Symbol;Acc:MGI:1925537] MASLDDPGEILFRRLGKQRT >ENSMUSP00000120883.1 pep:known chromosome:GRCm38:6:92211596:92214569:-1 gene:ENSMUSG00000014550.14 transcript:ENSMUST00000138041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbsn description:rabenosyn, RAB effector [Source:MGI Symbol;Acc:MGI:1925537] MASLDDPGEVREGFLCPLCLKDLQSFYQLQSHYEEEHLEDRDVKG >ENSMUSP00000056792.7 pep:known chromosome:GRCm38:10:79977118:79984330:-1 gene:ENSMUSG00000013858.14 transcript:ENSMUST00000052885.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem259 description:transmembrane protein 259 [Source:MGI Symbol;Acc:MGI:2177957] MSEHAAAPGPGPNGGGGGGAAPVRGPRGPNLNPNPLINVRDRLFHALFFKMAVTYSRLFP PAFRRLFEFFVLLKALFVLFVLAYIHIVFSRSPINCLEHVRDRWPREGVLRVEVRHNSSR APVILQFCDGGLGGLELEPGGLELEEEELTVEMFTNSSIKFELDIEPKVFKPQSGADALN DSQDFPFPETPAKVWPQDEYIVEYSLEYGFLRLSQATRQRLSIPVMVVTLDPTRDQCFGD RFSRLLLDEFLGYDDILMSSVKGLAENEENKGFLRNVVSGEHYRFVSMWMARTSYLAAFV IMVIFTLSVSMLLRYSHHQIFVFIVDLLQMLEMNMAIAFPAAPLLTVILALVGMEAIMSE FFNDTTTAFYIILTVWLADQYDAICCHTNTSKRHWLRFFYLYHFAFYAYHYRFNGQYSSL ALVTSWLFIQHSMIYFFHHYELPAILQQIRIQEMLLQTPPLGPGTPTALPDDLNNNSGSP ATPDPSPPLALGPSSSPAPTGGASGPGSLGAGASVSGSDLGWVAETAAIISDASFLSGLS ASLLERRPTAPSTPDSSRPDPGVPLEDAPAPAGS >ENSMUSP00000119572.1 pep:known chromosome:GRCm38:10:79977358:79984040:-1 gene:ENSMUSG00000013858.14 transcript:ENSMUST00000124536.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem259 description:transmembrane protein 259 [Source:MGI Symbol;Acc:MGI:2177957] XNPNPLINVRDRLFHALFFKMAVTYSRLFPPAFRRLFEFFVLLKALFVLFVLAYIHIVFS RSPINCLEHVRDRWPREGVLRVEVRHNSSRAPVILQFCDGGLGGLELEPGGLELEEEELT VEMFTNSSIKFELDIEPKVFKPQSGADALNDSQDFPFPETPAKVWPQDEYIVEYSLEYGF LRLSQATRQRLSIPVMVVTLDPTRDQCFGDRFSRLLLDEFLGYDDILMSSVKGLAENEEN KGFLRNVVSGEHYRFVSMWMARTSYLAAFVIMVIFTLSVSMLLRYSHHQIFVFIGESQPA GGGVGSPQALMGGRPVPAVDLLQMLEMNMAIAFPAAPLLTVILALVGMEAIMSEFFNDTT TAFYIILTVWLADQYDAICCHTNTSKRHWLRFFYLYHFAFYAYHYRFNGQYSSLALVTSW LFIQHSMIYFFHHYELPAILQQIRIQEMLLQTPPLGPGTPTALPDDLNNNSGSPATPDPS PPLALGPSSSPAPTGGASGPGSLGAGASVSGSDLGWVAETAAIISDASFLSGLSASLLER RPTAPSTPDSSRPDPGVPLEDAPAPAGS >ENSMUSP00000004094.8 pep:known chromosome:GRCm38:13:91461050:91703429:1 gene:ENSMUSG00000003992.14 transcript:ENSMUST00000004094.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp2 description:single-stranded DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:1914220] MYGKGKSNSSAVPSDSQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGF LHSWWCVFWDLYCAAPERRETCEHSSEAKAFHDYSAAAAPSPVLGNMPPGDGMPVGPVPP GFFQPFMSPRYPGGPRPPLRIPNQALGGVPGSQPLLPSGMDPTRQQGHPNMGGPMQRMTP PRGMVPLGPQNYGGAMRPPLNALGGPGMPGMNMGPGGGRPWPNPTNANSIPYSSASPGNY VGPPGGGGPPGTPIMPSPADSTNSGDNMYTLMNAVPPGPNRPNFPMGPGSDGPMGGLGGM ESHHMNGSLGSGDMDSISKNSPNNMSLSNQPGTPRDDGEMGGNFLNPFQSESYSPSMTMS V >ENSMUSP00000037115.8 pep:known chromosome:GRCm38:13:91461091:91701236:1 gene:ENSMUSG00000003992.14 transcript:ENSMUST00000042122.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp2 description:single-stranded DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:1914220] MYGKGKSNSSAVPSDSQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGF LHSWWCVFWDLYCAAPERRETCEHSSEAKAFHDYPFMSPRYPGGPRPPLRIPNQALGGVP GSQPLLPSGMDPTRQQGHPNMGGPMQRMTPPRGMVPLGPQNYGGAMRPPLNALGGPGMPG MNMGPGGGRPWPNPTNANSIPYSSASPGNYVGPPGGGGPPGTPIMPSPADSTNSGDNMYT LMNAVPPGPNRPNFPMGPGSDGPMGGLGGMESHHMNGSLGSGDMDSISKNSPNNMSLSNQ PGTPRDDGEMGGNFLNPFQSESYSPSMTMSV >ENSMUSP00000115548.1 pep:known chromosome:GRCm38:13:91461127:91539399:1 gene:ENSMUSG00000003992.14 transcript:ENSMUST00000138488.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ssbp2 description:single-stranded DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:1914220] MYGKGKSNSSAVPSDSQAREKLALYVYEYLLHVGAQKSAQTFLSEGFSV >ENSMUSP00000104721.2 pep:known chromosome:GRCm38:8:116995679:117082449:-1 gene:ENSMUSG00000034416.18 transcript:ENSMUST00000109093.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd1l2 description:polycystic kidney disease 1 like 2 [Source:MGI Symbol;Acc:MGI:2664668] MAGLVFLGLALSSGATVAKSEGGSLCSRSQVFFRDACYEFVPLEHTFPGAQGWCEGHGGH LAFIPDEDTQQFLQRHITQDREWWIGLTGGSGHNGTVGGSGTWLDTSNVNYSNWQEGQAT PAPGSCGYIGSGPSSQWAALEDCTQTFAFVCEFGVGRSLACEGHNATMHCDSGEVILVQD AFYGHQTPYLCTRGIWPPSDLEGECGWVSVKDEVAGQCQGLQACQVAVDGTYFGDPCPTR GSYLWVQYQCLEGLRLVVPNGSFIFDNVTISLMWLLSPYTGNLSCVLSMGDGYTFDPYNP PSVSSNVTHQFSSPGEFTVFAECTTSEWHVTAQKQVILCEKVETPRITGCTGLAGAGVGL LCQAVFGEPLWVQVDLDGGAGATYAVLSHNRTLAEFTAQRGSQLYNLTLDRDIQEMLGPG RHHLKIQAVSNEGTGTASAPSGNFTVYFVEPLSGLRASWASDRVELGWDLVVNVSVARGT LEELTFEVAGLNANFSQEEESVGQSSGNYHVAVPAEGTFLVTVHVRNAFSELSLDIGNIT VTASSSLQELSGINAEAKSGHKQDMKVFTEPELYVDPFTEVTLGWPDDDPGLNFHWSCGR CWAQWNACVGRQLLHTDQRLLVLHTFCLPPLNSAVTLHLAILRGQELEKETEQCLYVSAP LNLGPQISCEKNCRPVKADQDVLLTVTVGDETSVAVFSWYLDDTVPEEAEPLPAACRLRG FWPRSLTLLHSNSSVLLLNSSFLQTWGPVIPIRVTALTSHAYGEDTYMISMLPRPEVPAC TIDPEEGSVLTSFTVSCSTPATLGPVEYCFCLPSGFCLHCGPEPALPAVYLPLGEEKDGF VLPVVISVTNRAGDIEQTQVAVKVGHSYTGVEDVTFQEMVSERIATALHQESGREQLLLF AKAVSSELNSEVQSPGSGQLGMDIKRKVRELMLRSLSVVTTGLQNMQRVQALAEVLREVT QRAEELTPAAQWEASCALQRATEALLVASTKVRPEDQRRQEATRAMFEAVGSVLEASLSH RSEEPMEANSSQVAYIVAQLLRVIDHFQSALLLGTLPGGLPAILVTPSISVYTDRIQPRS WQGSSVHTAAADSVTFTLPAATFLCPMEDSQEPVDIRMMSFSQNPFPSRSQFDVSGTVGG LRLTSSSGHPIPVKNLSQNIEILLPRISAHIEPKMLSLASREALSVNVTAGDTALGIQLH WGPGVPLILSLGYGYHPNETSYDAQTHLPPVAATGDLPTWILHPEDLPFGEGVYYLRVVP EADLESSSGRNLTVGITTFLAHCVFWDETQETWDDSGCQVGPRTTPSQTHCLCNHLTFFG SSFLVMPNAIDVRQTAELFATFEDNPVVVTTVGCLCMLYVLVLIWARRKDIQDQAKVKVV VLEDNDPFAQYHYLVTVYTGHRRGAATSSKVTLTLYGSDGESEPHHLSDPDAAVFERGGV DVFLLSTLFPLGELQSLRLWHDNSGDRPSWYVSRVLVYDSVVDRKWYFLCNSWLSVDVGD CVLDKVFPVATEQDRKQFSHLFFTKTSTGFQDGHIWYSVFCSATRSSFTRVQRVSCCFSM LLCTMLTSIMFWGVPKDPAEQKMDLGKIEFTWQEVMIGLESSILMFPINLLIVQIFRNTR PRLPMGKDGRQKQGPPNLTPSAQPTEEGLLTPETGIQSLISSLFKALKVQPPASGWDSMN PVDINYLLTLMEDIICPESTEGPGFWEEAKGREDPITSTRGSVKPKENTWHPKPELAVRG LWKDSVYRRCLYLQLEHVERELQLLGPQGFLHHHSHAQALRQLHVLKGHLWGQPGTPALA YPSTSRVSKSPRGLPWWCVLVGWLLVATTSGVAAFFTMLYGLHYGRVSSLKWLISMAVSF VESVFITQPLKVLGFAAFFALVLKREDDEETLPLFPGHLSSPGPGVLFRSRRHSSERAYQ PPPMAAIEKMKTTRLKEQKAFALIREILAYLAFLWMLLLVAYGQRDPNAYHFHRHLERSF SQGFSPVLGFRGFFEWANTTLVKNLYGHHPGFVTDGNSKLVGSAHIRQVRVRESSCAVAQ QLQDSLDGCHGPYSLGIEDLVDYGEGWNASAYNNSNGFPQAWRYQSQSQRRGYPMWGKLT LYGGGGYVVPLGTDHQSASRILQYLFDNSWLDALTRAVFVEFTVYNANVNLFCTVTLTLE TSGLGTFFSHVTLQSLRLYPFTDGWHPFVVAAELTYFLFLFYYMVVQGKLMRKQKWGYFC SKWNLLEVAIILASWSALVVFVKRTILADRDLQRYREHRDEGISFSETAAADAALGYIIA FLVLLSTVKLWHLLRLNPKMNMITSALRRAWGDISGFVAVILIMLLAYSFASNLVFGWKL RSYKTLFDAAETMVSLQLGIFNYEEVLDYSPILGSLLIGSCIVFMTFVVLNLFISVILVA FSEEQKSDQLWEEGEIADLLLVKILSFLGIRCKREETWSSSEQPELPPQALAPQPAQALS RV >ENSMUSP00000095977.4 pep:known chromosome:GRCm38:8:116995679:117082449:-1 gene:ENSMUSG00000034416.18 transcript:ENSMUST00000098375.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd1l2 description:polycystic kidney disease 1 like 2 [Source:MGI Symbol;Acc:MGI:2664668] MAGLVFLGLALSSGATVAKSEGGSLCSRSQVFFRDACYEFVPLEHTFPGAQGWCEGHGGH LAFIPDEDTQQFLQRHITQDREWWIGLTGGSGHNGTVGGSGTWLDTSNVNYSNWQEGQAT PAPGSCGYIGSGPSSQWAALEDCTQTFAFVCEFGVGRSLACEGHNATMHCDSGEVILVQD AFYGHQTPYLCTRGIWPPSDLEGECGWVSVKDEVAGQCQGLQACQVAVDGTYFGDPCPTR GSYLWVQYQCLEGLRLVVPNGSFIFDNVTISLMWLLSPYTGNLSCVLSMGDGYTFDPYNP PSVSSNVTHQFSSPGEFTVFAECTTSEWHVTAQKQVILCEKVETPRITGCTGLAGAGVGL LCQAVFGEPLWVQVDLDGGAGATYAVLSHNRTLAEFTAQRGSQLYNLTLDRDIQEMLGPG RHHLKIQAVSNEGTGTASAPSGNFTVYFVEPLSGLRASWASDRVELGWDLVVNVSVARGT LEELTFEVAGLNANFSQEEESVGQSSGNYHVAVPAEGTFLVTVHVRNAFSELSLDIGNIT VTASSSLQELSGINAEAKSGHKQDMKVFTEPELYVDPFTEVTLGWPDDDPGLNFHWSCGR CWAQWNACVGRQLLHTDQRLLVLHTFCLPPLNSAVTLHLAILRGQELEKETEQCLYVSAP LNLGPQISCEKNCRPVKADQDVLLTVTVGDETSVAVFSWYLDDTVPEEAEPLPAACRLRG FWPRSLTLLHSNSSVLLLNSSFLQTWGPVIPIRVTALTSHAYGEDTYMISMLPRPEVPAC TIDPEEGSVLTSFTVSCSTPATLGPVEYCFCLPSGFCLHCGPEPALPAVYLPLGEEKDGF VLPVVISVTNRAGDIEQTQVAVKVGHSYTGVEDVTFQEMVSERIATALHQESGREQLLLF AKAVSSELNSEVQSPGSGQLGMDIKRKVRELMLRSLSVVTTGLQNMQRVQALAEVLREVT QRAEELTPAAQWEASCALQRATEALLVASTKVRPEDQRRQEATRAMFEAVGSVLEASLSH RSEEPMEANSSQAYIVAQLLRVIDHFQSALLLGTLPGGLPAILVTPSISVYTDRIQPRSW QGSSVHTAAADSVTFTLPAATFLCPMEDSQEPVDIRMMSFSQNPFPSRSQFDVSGTVGGL RLTSSSGHPIPVKNLSQNIEILLPRISAHIEPKMLSLASREALSVNVTAGDTALGIQLHW GPGVPLILSLGYGYHPNETSYDAQTHLPPVAATGDLPTWILHPEDLPFGEGVYYLRVVPE ADLESSSGRNLTVGITTFLAHCVFWDETQETWDDSGCQVGPRTTPSQTHCLCNHLTFFGS SFLVMPNAIDVRQTAELFATFEDNPVVVTTVGCLCMLYVLVLIWARRKDIQDQAKVKVVV LEDNDPFAQYHYLVTVYTGHRRGAATSSKVTLTLYGSDGESEPHHLSDPDAAVFERGGVD VFLLSTLFPLGELQSLRLWHDNSGDRPSWYVSRVLVYDSVVDRKWYFLCNSWLSVDVGDC VLDKVFPVATEQDRKQFSHLFFTKTSTGFQDGHIWYSVFCSATRSSFTRVQRVSCCFSML LCTMLTSIMFWGVPKDPAEQKMDLGKIEFTWQEVMIGLESSILMFPINLLIVQIFRNTRP RLPMGKDGRQKQGPPNLTPSAQPTEEGLLTPETGIQSLISSLFKALKVQPPASGWDSMNP VDINYLLTLMEDIICPESTEGPGFWEEAKGREDPITSTRGSVKPKENTWHPKPELAVRGL WKDSVYRRCLYLQLEHVERELQLLGPQGFLHHHSHAQALRQLHVLKGHLWGQPGTPALAY PSTSRVSKSPRGLPWWCVLVGWLLVATTSGVAAFFTMLYGLHYGRVSSLKWLISMAVSFV ESVFITQPLKVLGFAAFFALVLKREDDEETLPLFPGHLSSPGPGVLFRSRRHSSERAYQP PPMAAIEKMKTTRLKEQKAFALIREILAYLAFLWMLLLVAYGQRDPNAYHFHRHLERSFS QGFSPVLGFRGFFEWANTTLVKNLYGHHPGFVTDGNSKLVGSAHIRQVRVRESSCAVAQQ LQDSLDGCHGPYSLGIEDLVDYGEGWNASAYNNSNGFPQAWRYQSQSQRRGYPMWGKLTL YGGGGYVVPLGTDHQSASRILQYLFDNSWLDALTRAVFVEFTVYNANVNLFCTVTLTLET SGLGTFFSHVTLQSLRLYPFTDGWHPFVVAAELTYFLFLFYYMVVQGKLMRKQKWGYFCS KWNLLEVAIILASWSALVVFVKRTILADRDLQRYREHRDEGISFSETAAADAALGYIIAF LVLLSTVKLWHLLRLNPKMNMITSALRRAWGDISGFVAVILIMLLAYSFASNLVFGWKLR SYKTLFDAAETMVSLQLGIFNYEEVLDYSPILGSLLIGSCIVFMTFVVLNLFISVILVAF SEEQKSDQLWEEGEIADLLLVKILSFLGIRCKREETWSSSEQPELPPQALAPQPAQALSR V >ENSMUSP00000054774.5 pep:known chromosome:GRCm38:14:88464743:88471396:-1 gene:ENSMUSG00000050505.7 transcript:ENSMUST00000061628.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh20 description:protocadherin 20 [Source:MGI Symbol;Acc:MGI:2443376] MRGRGNARSLLVQAVSLRPATWHPCLDMGHLHRPSSRTSHRNLPHVFLLFLFVGPFNCLA SYSRATELLYSLNEGLPAGVLIGSLAEDLRLLPRASGRQNQQLLHPERTASEGNPPLSFS LASGGLSGQYVTLNNRSGELHTSAQEIDREALCLDGGGGAAWAGSTSIASSPSSDSCLLL LDVLVLPQEYFRFVKVKIAIRDINDNAPQFPISEISVWVPENSPVNTRLAIEHPAVDPDV GINGVQTYRLLDYHGMFTLDVEENENGERTPYLIVMGALDRETQDQYVSIIIAEDGGSPP LLGSATLTIGISDINDNCPLFIDSQINVTVYGNATVGTPVATVQAVDRDLGTNAQITYSY SQKVPQETKDLFHLDEVTGVIKLSSKIGGSVLQTHKLTILANGPGCIPAVITALVSIIKV VFRPPEIVPRYIANEVDGVVYLKELEPVNTPIAFFTIRDPEGKYKINCFLDGEGPFRLAP YKPYNNEYLLETTKPMDYEVQSFYEIAVVAWNSEGFHVKRIIKVQLLDDNDNAPVFLQPL IELTIEENNAPNAFLTKLYATDADSGEMGRVSYFLGPDAPSYFSLDSVTGILTVSTQLDR EEKEKYRYTVRAVDCGTPPRESVATVALTVLDKNDNSPRFINKDFSFFVPENFPGYGEIG VISVTDADAGRNGWVALSVMNQSDIFVIDTGKGMLRAKVSLDREQQSSYTLWVEAVDGGE PALSSTTKITILLLDINDNPPLVLFPQSNMSYLLVLPSTLPGSPVTEVYAVDKDTGMNAV IAYSIIGRRGPRPESFRIDPKTGNITLEEALLQTDYGLHRLLVKVSDHGYPEPLHSTVMV NLFVNDTVSNESYIESLLRKEPEINIEEKEPQISIEPTHRKVESMSCMPTLVALSVISLG SITLVTGMGIYICLRKGKKHHREDDNLEVQIPLKGKIDLCMRERKPVDISNI >ENSMUSP00000141860.1 pep:known chromosome:GRCm38:14:88466857:88471346:-1 gene:ENSMUSG00000050505.7 transcript:ENSMUST00000192557.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh20 description:protocadherin 20 [Source:MGI Symbol;Acc:MGI:2443376] MRGRGNARSLLVQAVSLRPATWHPCLDMGHLHRPSSRTSHRNLPHVFLLFLFVGPFNCLA SYSRATELLYSLNEGLPAGVLIGSLAEDLRLLPRASGRQNQQLLHPERTASEGNPPLSFS LASGGLSGQYVTLNNRSGELHTSAQEIDREALCLDGGGGAAWAGSTSIASSPSSDSCLLL LDVLVLPQEYFRFVKVKIAIRDINDNAPQFPISEISVWVPENSPVNTRLAIEHPAVDPDV GINGVQTYRLLDYHGMFTLDVEENENGERTPYLIVMGALDRETQDQYVSIIIAEDGGSPP LLGSATLTIGISDINDNCPLFIDSQINVTVYGNATVGTPVATVQAVDRDLGTNAQITYSY SQKVPQETKDLFHLDEVTGVIKLSSKIGGSVLQTHKLTILANGPGCIPAVITALVSIIKV VFRPPEIVPRYIANEVDGVVYLKELEPVNTPIAFFTIRDPEGKYKINCFLDGEGPFRLAP YKPYNNEYLLETTKPMDYEVQSFYEIAVVAWNSEGFHVKRIIKVQLLDDNDNAPVFLQPL IELTIEENNAPNAFLTKLYATDADSGEMGRVSYFLGPDAPSYFSLDSVTGILTVSTQLDR EEKEKYRYTVRAVDCGTPPRESVATVALTVLDKNDNSPRFINKDFSFFVPENFPGYGEIG VISVTDADAGRNGWVALSVMNQSDIFVIDTGKGMLRAKVSLDREQQSSYTLWVEAVDGGE PALSSTTKITILLLDINDNPPLVLFPQSNMSYLLVLPSTLPGSPVTEVYAVDKDTGMNAV IAYSIIGRRGPRPESFRIDPKTGNITLEEALLQTDYGLHRLLVKVSDHGYPEPLHSTVMV NLFVNDTVSNESYIESLLRKEPEINIEEKEPQISIEPTHRKVESMSCMPTLVALSVISLG SITLVTGMGIYICLRKGKKHHREDDNLEVQIPLKGKIDLCMRERKPVDISNI >ENSMUSP00000140045.1 pep:known chromosome:GRCm38:2:89617267:89618190:-1 gene:ENSMUSG00000099356.1 transcript:ENSMUST00000186710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1248 description:olfactory receptor 1248 [Source:MGI Symbol;Acc:MGI:3031082] MGQNNNVTEFILLGLTQDPAGQKVLFVMFLLIYIVKIVGNLLIVGTVIASPSLGSPMYFF LAFLSLMDAVYSTAILPKLLTDLLCDKKTISFTACLVQLFVEHLFGGSEVFILVVMAYDH YVAICKPLHYLTIMKRQVCILLVVVSWAGGFAHALLQVISVYLLPFCGPNVIDHFACDIY PLLGLACTDTYFLGLTVVSSYGAMSIVAFILLLVSYGIILNSLKTDSQEGRRKALSTCSS HIMVVVLFFVPCIFMYVRPISNFPIDKYITVFYTIFTPMLNPLIYTLRNMEIKNCMAKLW SKMFTKA >ENSMUSP00000129116.1 pep:known chromosome:GRCm38:3:72888557:72967863:-1 gene:ENSMUSG00000027790.14 transcript:ENSMUST00000167334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sis description:sucrase isomaltase (alpha-glucosidase) [Source:MGI Symbol;Acc:MGI:1917233] MAKKKFSGLEISLIVLFIIVTIIAIALVVVLATKVPAVEEVKSPTSTPSPGRCPPEQGEP LNERINCIPEQHPTKAKCEERGCCWRPWNNTIIPWCFFADNHGYTAASVTNDNSGLKATL SRIPSPTLFGEDIKSVLLTTQSQTRNRFRFKLTDPNNKRYEVPHQFVKDGNGIPAADTLY DVKVSENPFSIKVIRKSNNKVLFDTSIGPLVYSNQYLQISTRLPSEYIYGFGEHIHKRFR HDLYWKTWPIFTRDEIPGDNNHNLYGHQTFFMGIEDNSGKSYGVFLMNSNAMEVFIQPTP IITYRVTGGVLDFYIFLGDTPEQVVQQYQELIGRPAMPAYWNLGFQLSRWNYVSLDKVKE VVRRNREAGIPYDAQVTDIDYMEDKKDFTYDEVAFKGLPEFAQDLHNHGQKYIIILDPAI SINKRANGAEYQTYVRGNEQNVWVKESDGTTSLIGEVWPGLTVYPDFTNPRTWEWWANEC NLFHQQVEYDGLWIDMNEVSSFIHGSQKGCAPNLLNYPPFTPGILDKIMYSKTLCMDAVQ HWGNQYDVHSLYGYSMAIATEKAVEKVFPNKRSFILTRSTFAGSGHHAAHWLGDNTASWE QMEWSITGMLEFGMFGMPLVGADICGFLANTTEELCRRWMQLGAFYPFSRNHNAEGYAEQ DPAFWGADSLLVNTSRHYLTIRYTLLPFLYTLFYRAHAFGETVARPFLHEFYEDPNSWIE DTQFLWGPALLITPVLRPETKYVSAYIPDATWYDYETGEKRPWRKQRVDMYLPEDKIGLH LRGGYIIPTQQPDVTTTASRKNPLGLIVALDENQAAKGELFWDDGESKDTIEKKIYILYE FSVSNNNLIVNCTHSSYPEGNTLVFKTIKVLGLSATVTAVTVGENDQQMNPHLAFTFDAF NKILSITDLTFNLGKTFIVRWTTQSFSDNEKFTCYPDVGTATEKTCVERGCIWEPVSGLA NVPPCYFPSNHNPYLLTSTQKLATGITAELQLNPASARIKLPSNPISTLRVEVKYHKNDM LQFKIYDAHHKRYEVPVPLNIPDTPTSSEENRLYDVEIKENPFGIQVRRRSTGKLIWDSC LPGFAFNDQFIQISTRLPSQYLYGFGEAEHTAFKRNLNWHTWGMFTRDQPPGYKLNSYGF HPYYMALEDEGNAHGVLLQNSNGMDVTFQPTPALTYRTIGGILDFYMFLGPTPEGATKQY HEVIGFPVMPPYWALGFQLCRYGYRNTSEIEQLYNDMKAAQIPYDVQYTDINYMERQLDF TIGERFKTLPQFVEKIRKEGMKYIVILDPAISGNETQPYPAFERGIQKDVFVKWPNTNDI CWAKVWPDLPNITIDETITEDEAVNASRAHVAFPDFFRNSTSEWWTREIYDFYNEKMKFD GLWIDMNEPSSFVNGTVTNKCRNDTLNYPPYFPELTKRNEGLHFRTMCMETEHILSDGSS VLHYDVHNLYGWSQVKPTLDALRNTTGLRGIVISRSTYPTAGRWGGHWLGDNYANWENLE KSLIGMLEFNLFGIPYVGADICGFFNDSEYHLCARWMQVGAFYPYSRNHNIQFTRRQDPV SWNETFAQMSKKVLEIRYTLLPYFYTQMHEAHIHGGTVIRPLMHEFFDDKETWEIYKQFL WGPAFMVTPVIGPFQTAVNGYVPKARWFDYHTGEDIKVRGKLQTFSAPFDTINLHVRGGY ILPCQEPAQNTYYSRQKYMKLIVAADDNQTAKGTLFWDDGESIDTYEKNQYTLIEFNLNQ KNLTSTVLKDGYRNKSEMKLGSIYVWGKGTTSISQVNLTYGGNEQQLSFTQDEAKEILTI DLKNVTVTLDEPIQISWS >ENSMUSP00000091742.3 pep:known chromosome:GRCm38:3:72888560:72966866:-1 gene:ENSMUSG00000027790.14 transcript:ENSMUST00000094190.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sis description:sucrase isomaltase (alpha-glucosidase) [Source:MGI Symbol;Acc:MGI:1917233] MAKKKFSGLEISLIVLFIIVTIIAIALVVVLATKVPAVEEVKSPTSTPSPGRCPPEQGEP LNERINCIPEQHPTKAKCEERGCCWRPWNNTIIPWCFFADNHGYTAASVTNDNSGLKATL SRIPSPTLFGEDIKSVLLTTQSQTRNRFRFKLTDPNNKRYEVPHQFVKDGNGIPAADTLY DVKVSENPFSIKVIRKSNNKVLFDTSIGPLVYSNQYLQISTRLPSEYIYGFGEHIHKRFR HDLYWKTWPIFTRDEIPGDNNHNLYGHQTFFMGIEDNSGKSYGVFLMNSNAMEVFIQPTP IITYRVTGGVLDFYIFLGDTPEQVVQQYQELIGRPAMPAYWNLGFQLSRWNYVSLDKVKE VVRRNREAGIPYDAQVTDIDYMEDKKDFTYDEVAFKGLPEFAQDLHNHGQKYIIILDPAI SINKRANGAEYQTYVRGNEQNVWVKESDGTTSLIGEVWPGLTVYPDFTNPRTWEWWANEC NLFHQQVEYDGLWIDMNEVSSFIHGSQKGCAPNLLNYPPFTPGILDKIMYSKTLCMDAVQ HWGNQYDVHSLYGYSMAIATEKAVEKVFPNKRSFILTRSTFAGSGHHAAHWLGDNTASWE QMEWSITGMLEFGMFGMPLVGADICGFLANTTEELCRRWMQLGAFYPFSRNHNAEGYAEQ DPAFWGADSLLVNTSRHYLTIRYTLLPFLYTLFYRAHAFGETVARPFLHEFYEDPNSWIE DTQFLWGPALLITPVLRPETKYVSAYIPDATWYDYETGEKRPWRKQRVDMYLPEDKIGLH LRGGYIIPTQQPDVTTTASRKNPLGLIVALDENQAAKGELFWDDGESKDTIEKKIYILYE FSVSNNNLIVNCTHSSYPEGNTLVFKTIKVLGLSATVTAVTVGENDQQMNPHLAFTFDAF NKILSITDLTFNLGKTFIVRWTTQSFSDNEKFTCYPDVGTATEKTCVERGCIWEPVSGLA NVPPCYFPSNHNPYLLTSTQKLATGITAELQLNPASARIKLPSNPISTLRVEVKYHKNDM LQFKIYDAHHKRYEVPVPLNIPDTPTSSEENRLYDVEIKENPFGIQVRRRSTGKLIWDSC LPGFAFNDQFIQISTRLPSQYLYGFGEAEHTAFKRNLNWHTWGMFTRDQPPGYKLNSYGF HPYYMALEDEGNAHGVLLQNSNGMDVTFQPTPALTYRTIGGILDFYMFLGPTPEGATKQY HEVIGFPVMPPYWALGFQLCRYGYRNTSEIEQLYNDMKAAQIPYDVQYTDINYMERQLDF TIGERFKTLPQFVEKIRKEGMKYIVILDPAISGNETQPYPAFERGIQKDVFVKWPNTNDI CWAKVWPDLPNITIDETITEDEAVNASRAHVAFPDFFRNSTSEWWTREIYDFYNEKMKFD GLWIDMNEPSSFVNGTVTNKCRNDTLNYPPYFPELTKRNEGLHFRTMCMETEHILSDGSS VLHYDVHNLYGWSQVKPTLDALRNTTGLRGIVISRSTYPTAGRWGGHWLGDNYANWENLE KSLIGMLEFNLFGIPYVGADICGFFNDSEYHLCARWMQVGAFYPYSRNHNIQFTRRQDPV SWNETFAQMSKKVLEIRYTLLPYFYTQMHEAHIHGGTVIRPLMHEFFDDKETWEIYKQFL WGPAFMVTPVIGPFQTAVNGYVPKARWFDYHTGEDIKVRGKLQTFSAPFDTINLHVRGGY ILPCQEPAQNTYYSRQKYMKLIVAADDNQTAKGTLFWDDGESIDTYEKNQYTLIEFNLNQ KNLTSTVLKDGYRNKSEMKLGSIYVWGKGTTSISQVNLTYGGNEQQLSFTQDEAKEILTI DLKNVTVTLDEPIQISWS >ENSMUSP00000034868.7 pep:known chromosome:GRCm38:1:58412000:58424066:-1 gene:ENSMUSG00000026034.17 transcript:ENSMUST00000034868.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk1 description:CDC-like kinase 1 [Source:MGI Symbol;Acc:MGI:107403] MRHSKRTYCPDWDERDWDYGTWRSSSSHKRKKRSHSSAREQKRCRYDHSKTTDSYYLESR SINEKAYHSRRYVDEYRNDYMGYEPGHPYGEPGSRYQMHSSKSSGRSGRSSYKSKHRSRH HTSQHHSHGKSHRRKRSRSVEDDEEGHLICQSGDVLSARYEIVDTLGEGAFGKVVECIDH KVGGRRVAVKIVKNVDRYCEAAQSEIQVLEHLNTTDPHSTFRCVQMLEWFEHRGHICIVF ELLGLSTYDFIKENSFLPFRMDHIRKMAYQICKSVNFLHSNKLTHTDLKPENILFVKSDY TEAYNPKMKRDERTIVNPDIKVVDFGSATYDDEHHSTLVSTRHYRAPEVILALGWSQPCD VWSIGCILIEYYLGFTVFPTHDSREHLAMMERILGPLPKHMIQKTRKRRYFHHDRLDWDE HSSAGRYVSRRCKPLKEFMLSQDAEHELLFDLIGKMLEYDPAKRITLKEALKHPFFYPLK KHT >ENSMUSP00000137649.1 pep:known chromosome:GRCm38:1:58412000:58424066:-1 gene:ENSMUSG00000026034.17 transcript:ENSMUST00000148330.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clk1 description:CDC-like kinase 1 [Source:MGI Symbol;Acc:MGI:107403] MRHSKRTYCPDWDERDWDYGTWRSSSSHKRKKRSHSSAREQKRCRYDHSKTTDSYYLESR SINEKAYHSRRYVDEYRNDYMGYEPGHPYGEPGSRYQMHSSKSSGRSGRSSYKSKHRSRH HTSQHHSHGMKLLIL >ENSMUSP00000137815.1 pep:known chromosome:GRCm38:1:58412000:58424066:-1 gene:ENSMUSG00000026034.17 transcript:ENSMUST00000151338.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clk1 description:CDC-like kinase 1 [Source:MGI Symbol;Acc:MGI:107403] MRHSKRTYCPDWDERDWDYGTWRSSSSHKRKKRSHSSAREQKRCRYDHSKTTDSYYLESR SINEKAYHSRRYVDEYRNDYMGYEPGHPYGEPGSRYQMHSSKSSGRSGRSSYKSKHRSRH HTSQHHSHGMKLLIL >ENSMUSP00000097357.1 pep:known chromosome:GRCm38:2:89629940:89630896:-1 gene:ENSMUSG00000075079.1 transcript:ENSMUST00000099769.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1249 description:olfactory receptor 1249 [Source:MGI Symbol;Acc:MGI:3031083] MGQSNNVTEFVLLGFTQDPAGQKALSVMFSLIYIVTMVGNLLIVGTVIASPSLGSPMYFF LASLSLMDAIYSTAITPKLIVDLLCEKKTISFRACISQLFIDHLFGGVDIVILLAMAYDR YVAICKPLHYLIIMNRRVCILFLVMAWAGGLAHALFQVLAVYNFPFCGPNIIDHFGCDIY PLLLLACTDTYFLGLSIIGNNGAMCIVIFTLLLLSYGIILRFLKNHSQEGRRKALSTCGS HITVVFLFFVPCIFMYVRPVSSFPIDKFITVIYTIVTPMLNPLIYTLRNSEMKNSMEKLL QKTLSPSRIRLSSCCLCY >ENSMUSP00000055557.1 pep:known chromosome:GRCm38:3:108148321:108154882:-1 gene:ENSMUSG00000046793.8 transcript:ENSMUST00000062028.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr61 description:G protein-coupled receptor 61 [Source:MGI Symbol;Acc:MGI:2441719] MESSPIPQSSGNSSTLGRALQTPGPSTASGVPELGLRDVASESVALFFMLLLDLTAVAGN AAVMAVIAKTPALRKFVFVFHLCLVDLLAALTLMPLAMLSSSALFDHALFGEVACRLYLF LSVCFVSLAILSVSAINVERYYYVVHPMRYEVRMTLGLVASVLVGVWVKALAMASVPVLG RVYWEEGAPSVNPGCSLQWSHSAYCQLFVVVFAVLYFLLPLILIFVVYCSMFRVARVAAM QHGPLPTWMETPRQRSESLSSRSTMVTSSGAHQTTPHRTFGGGKAAVVLLAVGGQFLLCW LPYFSFHLYVALSAQPISAGQVENVVTWIGYFCFTSNPFFYGCLNRQIRGELSKQFVCFF KAAPEEELRLPSREGSIEENFLQFLQGTSENWVSRPLPSPKREPPPVVDFRIPGQIAEET SEFLEQQLTSDIIMSDSYLRPAPSPRLES >ENSMUSP00000111988.1 pep:known chromosome:GRCm38:3:108148657:108154882:-1 gene:ENSMUSG00000046793.8 transcript:ENSMUST00000116284.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpr61 description:G protein-coupled receptor 61 [Source:MGI Symbol;Acc:MGI:2441719] MESSPIPQSSGNSSTLGRALQTPGPSTASGVPELGLRDVASESVALFFMLLLDLTAVAGN AAVMAVIAKTPALRKFVFVFHLCLVDLLAALTLMPLAMLSSSALFDHALFGEVACRLYLF LSVCFVSLAILSVSAINVERYYYVVHPMRYEVRMTLGLVASVLVGVWVKALAMASVPVLG RVYWEEGAPSVNPGCSLQWSHSAYCQLFVVVFAVLYFLLPLILIFVVYCSMFRVARVAAM QHGPLPTWMETPRQRSESLSSRSTMVTSSGAHQTTPHRTFGGGKAAVVLLAVGGQFLLCW LPYFSFHLYVALSAQPISAGQVENVVTWIGYFCFTSNPFFYGCLNRQIRGELSKQFVCFF KAAPEEELRLPSREGSIEENFLQFLQGTSENWVSRPLPSPKREPPPVVDFRIPGQIAEET SEFLEQQLTSDIIMSDSYLRPAPSPRLES >ENSMUSP00000023849.8 pep:known chromosome:GRCm38:3:51224447:51251644:1 gene:ENSMUSG00000023087.15 transcript:ENSMUST00000023849.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noct description:nocturnin [Source:MGI Symbol;Acc:MGI:109382] MYQSPRRLCSALLLRDAPGLRRTLVPGPRRTLAPPVLGSRPKSPQLQAAAASGAARSRPR TVSSMGNGTSRLYSALAKTVNSSAAAQHPEYLVSTDPEHLEPIDPKELLEECRAVLHTRP PRYQRDFVDLRTDCSSSHSPIRVMQWNILAQALGEGKDNFVQCPVEALKWEERKCLILEE ILAYQPDILCLQEVDHYFDTFQPLLSRLGYQGTFFPKPWSPCLDVEHNNGPDGCALFFLQ NRFKLISSTNIRLTAMTLKTNQVAIAQTLECKESGRQFCIAVTHLKARTGWERFRSAQGC DLLQNLQNITQGAKIPLIVCGDFNAEPTEEVYKHFASSSLNLNSAYKLLSPDGQSEPPYT TWKIRTSGECRHTLDYIWYSRHALSVTSALDLLTEEQIGPNRLPSFHYPSDHLSLVCDFS FNEEPHELF >ENSMUSP00000141416.1 pep:known chromosome:GRCm38:3:51240206:51251624:1 gene:ENSMUSG00000023087.15 transcript:ENSMUST00000144826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noct description:nocturnin [Source:MGI Symbol;Acc:MGI:109382] MGNGTSRLYSALAKTVNSSAAAQHPEYLVSTDPEHLEPIDPKELLEECRAVLHTRPPRYQ RDFVDLRTDCSSSHSPIRVMQWNILAQALGEGKDNFVQCPVEALKWEERKCLILEEILAY QPDILCLQEVDHYFDTFQPLLSRLGYQGTFFPKPWSPCLDVEHNNGPDGCALFFLQNRFK LISSTNIRLTAMTLKTNQVAIAQTLECKESGRQFCIAVTHLKARTGWERFRSAQGCDLLQ NLQNITQGAKIPLIVCGDFNAEPTEEVYKHFASSSLNLNSAYKLLSPDGQSEPPYTTWKI RTSGECRHTLDYIWYSRHALSVTSALDLLTEEQIGPNRLPSFHYPSDHLSLVCDFSFNEE PHELF >ENSMUSP00000142216.1 pep:known chromosome:GRCm38:3:51243408:51249786:1 gene:ENSMUSG00000023087.15 transcript:ENSMUST00000193018.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noct description:nocturnin [Source:MGI Symbol;Acc:MGI:109382] MGNGTSRLYSALAKTVNSSAAAQHPEYLVSTDPEHLEPIDPKELLEECRAVLHTRPPRYQ RDFVDLRTDCSSSHSPIRVMQWNILAQALGEGKDNFVQCPVEALKWEERKCLILEEI >ENSMUSP00000130347.1 pep:known chromosome:GRCm38:3:51224470:51251631:1 gene:ENSMUSG00000023087.15 transcript:ENSMUST00000167780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noct description:nocturnin [Source:MGI Symbol;Acc:MGI:109382] MYQSPRRLCSALLLRDAPGLRRTLVPGPRRTLAPPVLGSRPKSPQLQAAAASGAARSRPR TVSSMGNGTSRLYSALAKTVNSSAAAQHPEYLVSTDPEHLEPIDPKELLEECRAVLHTRP PRYQRDFVDLRTDCSSSHSPIRVMQWNILAQALGEGKDNFVQCPVEALKWEERKCLILEE ILAYQPDILCLQEVDHYFDTFQPLLSRLGYQGTFFPKPWSPCLDVEHNNGPDGCALFFLQ NRFKLISSTNIRLTAMTLKTNQVAIAQTLECKESGRQFCIAVTHLKARTGWERFRSAQGC DLLQNLQNITQGAKIPLIVCGDFNAEPTEEVYKHFASSSLNLNSAYKLLSPDGQSEPPYT TWKIRTSGECRHTLDYIWYSRHALSVTSALDLLTEEQIGPNRLPSFHYPSDHLSLVCDFS FNEEPHELF >ENSMUSP00000058437.3 pep:known chromosome:GRCm38:1:153874345:153876871:1 gene:ENSMUSG00000043282.3 transcript:ENSMUST00000055314.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Teddm1b description:transmembrane epididymal protein 1B [Source:MGI Symbol;Acc:MGI:3646829] MGNGKFGGHFYPGLYIFFYGLYQATLISKAVIVSDSSGYVTYSPKNKKRCAKLKISYGGW LKIVTGSLLAFYVVFCLDDGMVLINKGMPPRFMYPKEWQHLTMFILLALDGCADVISKNV LRQRCVLLERGATVLSFYVLLLLLVSHVKESSGVELQVHSLLILVVFLLMLVLTAELWAP EMFHLRMIETFLFLMMGSWLIQAAFILFRPVSGFLWEDGDISDIMFVTTFFCWHVMINAL CMLGIYGVSSFWHRCYSPSLRLMGSKEALYQESSSGTFYKLLQEAEQQDKDNQAPLLSKI SPCDRA >ENSMUSP00000005053.7 pep:known chromosome:GRCm38:17:5410870:5440243:-1 gene:ENSMUSG00000004945.15 transcript:ENSMUST00000005053.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem242 description:transmembrane protein 242 [Source:MGI Symbol;Acc:MGI:1917794] METSGPGPGESSELEAPGSPDDRLFLVKGGIFLGSAAAAGMLAGFVTTLSLAKKKSPEWF NKGTMATAALPESGSSLALRALGWGSLYAWCGVGVISFAVWKALGVHSMKDFRSKMQSIF PPIPKNHESAEEWEEVLKWK >ENSMUSP00000139629.1 pep:known chromosome:GRCm38:17:5432295:5440259:-1 gene:ENSMUSG00000004945.15 transcript:ENSMUST00000188282.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem242 description:transmembrane protein 242 [Source:MGI Symbol;Acc:MGI:1917794] METSGPGPGESSELEAPGSPDDRLFLVKGGIFLGSAAAAGMLAGFVTTLSLAKKKSPEWF NKGTMATAALPESGSSLALRALGWGSLYAWCGVGVISFAVWKALGVHSALFDCREETR >ENSMUSP00000139712.1 pep:known chromosome:GRCm38:17:5433025:5440256:-1 gene:ENSMUSG00000004945.15 transcript:ENSMUST00000185896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem242 description:transmembrane protein 242 [Source:MGI Symbol;Acc:MGI:1917794] METSGPGPGESSELEAPGSPDDRLFLVKGGIFLGSAAAAGMLAGFVTTLSLAKKKSPEWF NKGTMATAALPESGSSLALRALGWGSLYAWCGVGVISFAVWKALGVHSVSTSPCESCLST LCCLKFLVFKFAYILVPVF >ENSMUSP00000009522.3 pep:known chromosome:GRCm38:19:34668403:34747289:-1 gene:ENSMUSG00000009378.3 transcript:ENSMUST00000009522.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a12 description:solute carrier family 16 (monocarboxylic acid transporters), member 12 [Source:MGI Symbol;Acc:MGI:2147716] MTKITRVSLASPPDGGWGWMIVAGCFLVTICTRAVTRCISIFFVEFQTYFAQDYSQTAWI HSIVDCMTMLCAPLGSVVSNQLSCQAGIMLGGLLASTGFILGSFATSLKHLYLSLGVLTG LGFALCYSPAIAMVGKYFSRRKALAYGIAMSGSGIGTFILAPVVQLLIEQFSWRGALLIL GGFVLNLCVCGALMRPITLKEDRSVPEKNHNRESQREDCKQASPYSPLTKECTETRLCCS LQQEYGFLLMSDFVVLAVSVLFMAYGCSPLFVYLVPYALSVGVSHHQAAFLMSILGVIDI VGNITFGWLTDRRCLKNYRYVCYLFAVALDGLCYLCLPMLQTFPLLVPFSCTFGYFDGAY VTLIPVVTAEIVGTTSLSSALGVVYFLHAVPYLVSPPIAGWLVDTTGSYTAAFLLCGFAM IFSSILLGFVRIVKRMKRTQVPFPVKDSDPKLQLWTNGSVAYSVARELDQKDEEPLPKAR SGCNLT >ENSMUSP00000138035.1 pep:known chromosome:GRCm38:9:38046581:38048153:1 gene:ENSMUSG00000095893.2 transcript:ENSMUST00000181088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr884 description:olfactory receptor 884 [Source:MGI Symbol;Acc:MGI:3030718] MALRNASSVKEFILLGLTQQPGLQLPLFFLFLGIYVVSMLGNLGLIVLIVLNPHLHTPMY YFLFNLSFIDLCYSSVITPRMLVGFVKQNIISHAECLTQLFFFAFFVIDECYILTAMAYD RYAAICKPLLYQVTMSHQVCLLMTMGVYVMGFAGALSHIVCMLRLTFCDGNIINHYVCDV LPLLKLSCTSTSINEMVVFIVVGVNVIVPSLTLFVSYTLILSNILSIHSAEGRSKAFSTC GSHVMAVSFFFGAAAFMYLKPSSASVDEEKLSTIFYTILGPMLNPFIYSIRNKDVHLALR KTLMKLRFS >ENSMUSP00000076912.1 pep:known chromosome:GRCm38:9:38047224:38048153:1 gene:ENSMUSG00000095893.2 transcript:ENSMUST00000077732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr884 description:olfactory receptor 884 [Source:MGI Symbol;Acc:MGI:3030718] MALRNASSVKEFILLGLTQQPGLQLPLFFLFLGIYVVSMLGNLGLIVLIVLNPHLHTPMY YFLFNLSFIDLCYSSVITPRMLVGFVKQNIISHAECLTQLFFFAFFVIDECYILTAMAYD RYAAICKPLLYQVTMSHQVCLLMTMGVYVMGFAGALSHIVCMLRLTFCDGNIINHYVCDV LPLLKLSCTSTSINEMVVFIVVGVNVIVPSLTLFVSYTLILSNILSIHSAEGRSKAFSTC GSHVMAVSFFFGAAAFMYLKPSSASVDEEKLSTIFYTILGPMLNPFIYSIRNKDVHLALR KTLMKLRFS >ENSMUSP00000050017.6 pep:known chromosome:GRCm38:1:153849542:153851195:-1 gene:ENSMUSG00000045968.7 transcript:ENSMUST00000059607.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Teddm2 description:transmembrane epididymal family member 2 [Source:MGI Symbol;Acc:MGI:1923273] MGGFEGHLFPGLSLFLYGLYHAAFLSRAVICNSPVQYPPSRPWSKGRWTRLRQMYYIGFL KILSSCILVAQELNSINWQLVLITKRHHLRNFMYRKQWQHLTLYMSFFLSGCADVISQNL LPKRCVVLEQCAQALSMYLFLPLMVSHMQDTEGVELQLHMLLIQAMFLLALVETIELWAP NVLLIWMLKAFLYVVTGSWLMQIGFMLYKPISGYKWLDEDKNDVAFATTFFCWHVVSGAF LMISAYGVSILWYRYLGADA >ENSMUSP00000115023.1 pep:known chromosome:GRCm38:1:153849542:153900208:-1 gene:ENSMUSG00000045968.7 transcript:ENSMUST00000123490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Teddm2 description:transmembrane epididymal family member 2 [Source:MGI Symbol;Acc:MGI:1923273] MYYIGFLKILSSCILVAQELNSINWQLVLITKRHHLRNFMYRKQWQHLTLYMSFFLSGCA DVISQNLLPKRCVVLEQCAQALSMYLFLPLMVSHMQDTEGVELQLHMLLIQAMFLLALVE TIELWAPNVLLIWMLKAFLYVVTGSWLMQIGFMLYKPISGYKWLDEDKNDVAFATTFFCW HVVSGAFLMISAYGVSILWYRYLGADA >ENSMUSP00000024930.7 pep:known chromosome:GRCm38:17:24215054:24220790:-1 gene:ENSMUSG00000024118.13 transcript:ENSMUST00000024930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600002H07Rik description:RIKEN cDNA 1600002H07 gene [Source:MGI Symbol;Acc:MGI:1919266] MLGVKGNYLLPADCAHRLVAELQGALDSCADRQRQLERSLRVSRRLLQVWEPARTPSPVP ETKEEDPSPACAPSSQDLEELELLTQALEKAVRVRKGVSNAGQRDRTPTLTSKAATSGAA AASHPRAPSRGGSRVLGTRSTKGIQRATAPPKDYPEHRLRSKGDKTHVRTQDQTTGYGPD LRDQQMTPSSAHHTTELFALKEKGTLLQLPEDFRKAVSRNSCLWAQLNSARTNDSTDATR AAKTQFLHKLQMASGCSSHRPSATEVEAHARILRKACMLLRLRMQKELAIAPTDWMQEYR CLLTLEGLQTVVGQCLHRIQVLQAAVTEQLPGECLAETRTQASSVCGGEVDSACSPELLL YASTEELQTLATLKLQVALLHQQIHLEKVLMAELLPLINTQDPGGPPWLALCRAAYSLLC EGGERFLTVLRDDPAD >ENSMUSP00000119405.1 pep:known chromosome:GRCm38:17:24215546:24220692:-1 gene:ENSMUSG00000024118.13 transcript:ENSMUST00000124557.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1600002H07Rik description:RIKEN cDNA 1600002H07 gene [Source:MGI Symbol;Acc:MGI:1919266] RLVAELQGALDSCADRQRQLERSLRVSRRLLQGTSQDPISSSRNQRRGPKSSMCTEFSRS RGAGTFDPGSGEGCTCEERRVQCWTKRQNPHSDI >ENSMUSP00000004327.4 pep:known chromosome:GRCm38:X:74409483:74429194:-1 gene:ENSMUSG00000031400.10 transcript:ENSMUST00000004327.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6pdx description:glucose-6-phosphate dehydrogenase X-linked [Source:MGI Symbol;Acc:MGI:105979] MAEQVALSRTQVCGILREELYQGDAFHQADTHIFIIMGASGDLAKKKIYPTIWWLFRDGL LPEDTFIVGYARSRLTVDDIRKQSEPFFKATPEERPKLEEFFARNSYVAGQYDDAASYKH LNSHMNALHQGMQANRLFYLALPPTVYEAVTKNIQETCMSQTGWNRIIVEKPFGRDLQSS NQLSNHISSLFREDQIYRIDHYLGKEMVQNLMVLRFANRIFGPIWNRDNIACVILTFKEP FGTEGRGGYFDEFGIIRDVMQNHLLQMLCLVAMEKPATTGSDDVRDEKVKVLKCISEVET DNVVLGQYVGNPNGEGEAANGYLDDPTVPHGSTTATFAAAVLYVENERWDGVPFILRCGK ALNERKAEVRLQFRDVAGDIFHQQCKRNELVIRVQPNEAVYTKMMTKKPGMFFNPEESEL DLTYGNRYKNVKLPDAYERLILDVFCGSQMHFVRSDELREAWRIFTPLLHKIDREKPQPI PYVYGSRGPTEADELMKRVGFQYEGTYKWVNPHKL >ENSMUSP00000115915.1 pep:known chromosome:GRCm38:X:74410859:74428876:-1 gene:ENSMUSG00000031400.10 transcript:ENSMUST00000143521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6pdx description:glucose-6-phosphate dehydrogenase X-linked [Source:MGI Symbol;Acc:MGI:105979] MAEQVALSRTQVCGILREELYQGDAFHQADTHIFIIMGASGDLAKKKIYPTIWWLFRDGL LPEDTFIVGYARSRLTVDDIRKQSEPFFKATPEERPKLEEFFARNSYVAGQYDDAASYKH LNSHMNALHQGMQANRLFYLALPPTVYEAVTKNIQETCMSQTRGWNRIIVEKPFGRDLQS SNQLSNHISSLFREDQIYRIDHYLGKEMVQNLMVLRFANRIFGPIWNRDNIACVILTFKE PFGTEGRGGYFDEFGIIRDVMQNHLLQMLCLVAMEKPATTGSDDVRDEKVKVLKCISEVE TDNVVLGQYVGNPNGEGEAANGYLDDPTVPHGSTTATFAAAVLYVENERWDGVPFILRCG KALNERKAEVRLQFRDVAGDIFHQQCKRNELVIRVQ >ENSMUSP00000107152.1 pep:known chromosome:GRCm38:2:89656495:89661216:-1 gene:ENSMUSG00000075078.3 transcript:ENSMUST00000111527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1250 description:olfactory receptor 1250 [Source:MGI Symbol;Acc:MGI:3031084] MGQNNNVTEFILLGLTQDPAGQKVLFIMFLLIYIVTIVGNLLIVGTVIASPSLGSPMYFF LAFLSLMDAVYSTAILPKLLTDLLCDKKTISFTACLVQLFVEHLFGGSEVFILVVMAYDR YVAICKPLHYLTIMNRQVCILLLVVSWAGGFAHALLQVISVYLLPFCGPNVIDHFACDMY PLLGLACTDTYFLGLTVVGNNGAMSIVVFILLLVSYGIILNSLKTHSQEGRRKALSTCSS HIMVVVLFFVPCIFMYVRPVSNFPIDKYITVFYTVFTPMLNPLIYTLRNMEIKNCMAKLW CKMFTKDIKRDSHH >ENSMUSP00000097356.2 pep:known chromosome:GRCm38:2:89656395:89657533:-1 gene:ENSMUSG00000075078.3 transcript:ENSMUST00000099768.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1250 description:olfactory receptor 1250 [Source:MGI Symbol;Acc:MGI:3031084] MGQNNNVTEFILLGLTQDPAGQKVLFIMFLLIYIVTIVGNLLIVGTVIASPSLGSPMYFF LAFLSLMDAVYSTAILPKLLTDLLCDKKTISFTACLVQLFVEHLFGGSEVFILVVMAYDR YVAICKPLHYLTIMNRQVCILLLVVSWAGGFAHALLQVISVYLLPFCGPNVIDHFACDMY PLLGLACTDTYFLGLTVVGNNGAMSIVVFILLLVSYGIILNSLKTHSQEGRRKALSTCSS HIMVVVLFFVPCIFMYVRPVSNFPIDKYITVFYTVFTPMLNPLIYTLRNMEIKNCMAKLW CKMFTKDIKRDSHH >ENSMUSP00000119350.1 pep:known chromosome:GRCm38:1:173723683:173741747:-1 gene:ENSMUSG00000073490.10 transcript:ENSMUST00000127730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI607873 description:expressed sequence AI607873 [Source:MGI Symbol;Acc:MGI:2138302] MVKEYKRIVLLKGLECINKHHFSLFKSLLARDLSLERDNQEKYSTIQIANMMEEKFKPDA GLGELIEFCEKVPALRKRAEILKKERSEVTGETSLEKNGQEAGPATPTSTTSHMLTSERG ETSATQEETSTAQGETSTAQAGTSTAQAGTSTAQTKRKQITKSEGEKKKKLTQEQAQLSE PLGTDRKKDEDCLQTPLMAPPTPPSSSSNKKQKNTTIPKHGIIKTRGPQEIHQLVEFSSS SNFPAVSELPTFEGLSAIPSSRLQSSQKPLEAHLDLKMSPSSSRSPCHNLSVSLTSDSNV HLNSNAHSIQSSGAQVPYVPSATGFSNVRVPLMPSKTVSCSSIAPHMSLIKVPSSIQDLH LPTQEAFSSTEDPHNQAIASKNLQTTKMPPTTLTSKAQLLKMPSSATSSSSVQVSHTLAT VSRSTSVKQILQTTRSSSIQILNSATVKASKNVQASQVSLPTEPNYFQASVAPPSATPSS STSLLVPLSKATSRAQSTQIHPERESICVQAHRAPSSTVSRNKCTTQLTQGAASGTGKAF SLPEVKASMKVQAPQVSSPTASMSILNPNATPPTTSSNLLAPHATSSTTYSILLAPYATL STASSNLLAPQATVSTASSNLLAPHATLSTTSSNLLAPHATLSTTSSNLLAPHATSSTAS SNLLAPQATLSTPSNSLLAPHATLSTTSSNLLAPHATSSTASSNLLAPHATSSTASSNLL APHATLPTASSNLLAPQLCPVTASRALSAIPVPSATVHSSPSWTPRRGTVPKEPSREEGH HQGPKQVMVLKVTEPFTYDLEEDKRMFHATVATETEFFRVKVFDTALISKFIPRNIIAIS DYFGCNGFLEIYRASCVSDVNVNPTMVISNTLRQRANATPKISYLFSQAKGTFVSGEYLV IKKTERNKAIYYGVKDNTGKMEVMVYGRLTNITCEPGNKLRLVCFELNLIEDGLQLKSVR HSYMQVINARR >ENSMUSP00000048129.7 pep:known chromosome:GRCm38:1:173723430:173741809:-1 gene:ENSMUSG00000073490.10 transcript:ENSMUST00000042610.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI607873 description:expressed sequence AI607873 [Source:MGI Symbol;Acc:MGI:2138302] MVKEYKRIVLLKGLECINKHHFSLFKSLLARDLSLERDNQEKYSTIQIANMMEEKFKPDA GLGELIEFCEKVPALRKRAEILKKERSEVTGETSLEKNGQEAGPATPTSTTSHMLTSERG ETSATQEETSTAQGETSTAQAGTSTAQAGTSTAQAGTSTAQTKRKQITKSEGEKKKKLTQ EQAQLSEPLGTDRKKDEDCLQTPLMAPPTPPSSSSNKKQKNTTIPKHGIIKTRGPQEIHQ LVEFSSSSNFPAVSELPTFEGLSAIPSSRLQSSQKPLEAHLDLKMSPSSSRSPCHNLSVS LTSDSNVHLNSNAHSIQSSGAQVPYVPSATGFSNVRVPLMPSKTVSCSSIAPHMSLIKVP SSIQDLHLPTQEAFSSTEDPHNQAIASKNLQTTKMPPTTLTSKAQLLKMPSSATSSSSVQ VSHTLATVSRSTSVKQILQTTRSSSIQILNSATVKASKNVQASQVSLPTEPNYFQASVAP PSATPSSSTSLLVPLSKATSRAQSTQIHPERESICVQAHRAPSSTVSRNKCTTQLTQGAA SGTGKAFSLPEVKASMKVQAPQVSSPTASMSILNPNATPPTTSSNLLAPHATSSTTYSIL LAPYATLSTASSNLLAPQATVSTASSNLLAPHATLSTTSSNLLAPHATLSTTSSNLLAPH ATSSTASSNLLAPQATLSTPSNSLLAPHATLSTTSSNLLAPHATSSTASSNLLAPHATSS TASSNLLAPHATLPTASSNLLAPQLCPVTASRALSAIPVPSATVHSSPSWTPRRGTVPKE PSREEGHHQGPKQVMVLKVTEPFTYDLEEDKRMFHATVATETEFFRVKVFDTALISKFIP RNIIAISDYFGCNGFLEIYRASCVSDVNVNPTMVISNTLRQRANATPKISYLFSQAKGTF VSGEYLVIKKTERNKAIYYGVKDNTGKMEVMVYGRLTNITCEPGNKLRLVCFELNLIEDG LQLKSVRHSYMQVINARR >ENSMUSP00000028125.5 pep:known chromosome:GRCm38:2:33450287:33468532:-1 gene:ENSMUSG00000026788.13 transcript:ENSMUST00000028125.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb43 description:zinc finger and BTB domain containing 43 [Source:MGI Symbol;Acc:MGI:1919084] MEPGTNSFQVEFPDFSSTILQKLNQQRQQGQLCDVSIVVQGHIFQAHKAVLAASSPYFCD QVLLKNSRRIVLPDVMNPRVFENILLFSYTGRLVMPAPEIVSYLTAASFLQMWHVVDKCT EVLEGNPTVLCQKLNHGSDHQSPSSSNYNGLVESFELGSGGHTDFPKAQELRDGENEEES TKDELSSQVTEHEYLPSNSSTEHDRLSTEMASQDGEEGTNDSTEFHYTRPLYSKPSIMAH RRWIHVKPERLEQAWDGMDVHAAYDEHQVTESVNTMQTDHSAQPSGAEEEFQIVEKKVEV EFDEQAEGSSYDEQVDFYGSSMEEFSGEKLGGNLIGHKQEAALAAGYSENIEMAMGIKEE ASHLGFSATDKLYPCQCGKSFTHKSQRDRHMSMHLGLRPYGCSVCGKKFKMKHHLVGHMK IHTGIKPYECNICAKRFMWRDSFHRHVTSCTKSYEAAKAEQNTTEAN >ENSMUSP00000108781.2 pep:known chromosome:GRCm38:2:33453522:33468505:-1 gene:ENSMUSG00000026788.13 transcript:ENSMUST00000113156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb43 description:zinc finger and BTB domain containing 43 [Source:MGI Symbol;Acc:MGI:1919084] MRKNVYSSQIGHQGQSKVRFHHQSPTCRTTLNKIGDEMEPGTNSFQVEFPDFSSTILQKL NQQRQQGQLCDVSIVVQGHIFQAHKAVLAASSPYFCDQVLLKNSRRIVLPDVMNPRVFEN ILLFSYTGRLVMPAPEIVSYLTAASFLQMWHVVDKCTEVLEGNPTVLCQKLNHGSDHQSP SSSNYNGLVESFELGSGGHTDFPKAQELRDGENEEESTKDELSSQVTEHEYLPSNSSTEH DRLSTEMASQDGEEGTNDSTEFHYTRPLYSKPSIMAHRRWIHVKPERLEQAWDGMDVHAA YDEHQVTESVNTMQTDHSAQPSGAEEEFQIVEKKVEVEFDEQAEGSSYDEQVDFYGSSME EFSGEKLGGNLIGHKQEAALAAGYSENIEMAMGIKEEASHLGFSATDKLYPCQCGKSFTH KSQRDRHMSMHLGLRPYGCSVCGKKFKMKHHLVGHMKIHTGIKPYECNICAKRFMWRDSF HRHVTSCTKSYEAAKAEQNTTEAN >ENSMUSP00000120989.1 pep:known chromosome:GRCm38:2:33454619:33465082:-1 gene:ENSMUSG00000026788.13 transcript:ENSMUST00000155198.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb43 description:zinc finger and BTB domain containing 43 [Source:MGI Symbol;Acc:MGI:1919084] MEPGTNSFQVEFPDFSSTILQKLNQQRQQGQLCDVSIVVQGHIFQAHKAVLAASSPYFCD QVLLKNSRRIVLPDVMNPRVFENILLFSYTGRLVMPAPEIVSYLTAASFLQMWHVVDKCT EVLEGNPTVLCQKLNHGSDHQSPSSSNYNGLVESFELGSGG >ENSMUSP00000122729.1 pep:known chromosome:GRCm38:2:33454888:33468559:-1 gene:ENSMUSG00000026788.13 transcript:ENSMUST00000126442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb43 description:zinc finger and BTB domain containing 43 [Source:MGI Symbol;Acc:MGI:1919084] MEPGTNSFQVEFPDFSSTILQKLNQQRQQGQLCDVSIVVQGHIFQAHKAVLAASSPYFCD QVLLKNSRRIV >ENSMUSP00000092645.2 pep:known chromosome:GRCm38:2:33450287:33462353:-1 gene:ENSMUSG00000026788.13 transcript:ENSMUST00000095035.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb43 description:zinc finger and BTB domain containing 43 [Source:MGI Symbol;Acc:MGI:1919084] MRKNVYSSQIGHQGQSKVRFHHQSPTCRTTLNKIGDEMEPGTNSFQVEFPDFSSTILQKL NQQRQQGQLCDVSIVVQGHIFQAHKAVLAASSPYFCDQVLLKNSRRIVLPDVMNPRVFEN ILLFSYTGRLVMPAPEIVSYLTAASFLQMWHVVDKCTEVLEGNPTVLCQKLNHGSDHQSP SSSNYNGLVESFELGSGGHTDFPKAQELRDGENEEESTKDELSSQVTEHEYLPSNSSTEH DRLSTEMASQDGEEGTNDSTEFHYTRPLYSKPSIMAHRRWIHVKPERLEQAWDGMDVHAA YDEHQVTESVNTMQTDHSAQPSGAEEEFQIVEKKVEVEFDEQAEGSSYDEQVDFYGSSME EFSGEKLGGNLIGHKQEAALAAGYSENIEMAMGIKEEASHLGFSATDKLYPCQCGKSFTH KSQRDRHMSMHLGLRPYGCSVCGKKFKMKHHLVGHMKIHTGIKPYECNICAKRFMWRDSF HRHVTSCTKSYEAAKAEQNTTEAN >ENSMUSP00000062098.4 pep:known chromosome:GRCm38:1:153891742:153893058:1 gene:ENSMUSG00000047053.5 transcript:ENSMUST00000050660.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Teddm1a description:transmembrane epididymal protein 1A [Source:MGI Symbol;Acc:MGI:2668439] MGDFIGHISPGLFLVFYGLYQAVIVSRAVIFNDSLLYPSYLSKNKGKWARLWKIAHAGWL KVVIGSLLIVYEISCVKEGLTLMTKGVPPRFMYPKEWQHLTMFFLLTLDGCVEMVSKNML RQRCVLLERGATVLGVYVLLLLLVSHVKESSGVELQVHSLLILVVFLLMLVLTAELWAPE MFHLWMIETFLILIMGSWLIQAAFILFRPVSGFPWEDDDISDIMFVTTFFCWHVMINALC MLGIYGVSSFWHRCYSPSLRLMGSKEALYQESSSGTFYKLLQEAEQQDKDDQAPLLSKIS PCDRA >ENSMUSP00000117847.2 pep:known chromosome:GRCm38:14:67676331:67715841:-1 gene:ENSMUSG00000048922.18 transcript:ENSMUST00000150006.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca2 description:cell division cycle associated 2 [Source:MGI Symbol;Acc:MGI:1919787] MDASSHDKPLSESKECVLNNSENDVFRLGTEPFVTPQKHVADATPNLCTPDTFKSPLDFT TVTVEQLGITPESFVKTSSGKSTSSLQKARRRSTVGVRGSPETNCLIRFIAQQRNLKKAV LSPLAREPHFEGSPRLYRNASVLRERMSAFRSAFHSIQETKMASSPSAAEADGESRISDL TRKEDLLEYQQSGFPVNSSSKRRRISSQDSPDNYLSGTKALADEACAGGASTDLAEKSPD IGSAQPGCMAAPLPELRETSQGLAVTDCVEGPVTPLSSGTATATRSPETPMCGSSSPSAK TTATRSPATPVCGSSTPSAKTFVLRSVLKKPGKLFSENGKESNLCDDGAHLISYPSNSCK EGRAGRENCKTPGCLNPRKRKRVTFGEDLSPEVFDESLPANTPLCKGGTPVRPRTVKTTS PLQSPVHEQFLQPNFDDKEENLENIEPPQGSFANLSLSKSSLSETPPGTNTCSSLNKDEE IICSIVRPTRTSQRRKQTLSSTGVCSSYTTQAEPRKEKMSRRKSREKKHTSAALPKKKQV LKSYRKKKKGKKDVEKCFYGPRDIASKKPLLSPIPELPEVSEATPLADCTQGTSSDDFNK CGQLEEVNSFEIPTQRKRRLPQKADSPELDPAHHQSQVSDKCCYLLPLTTASERGPNAST RDTGSEGNTRAESKCQSAKEPKPGTKMESGLVPRASVTQDHIVSKNPKPLGSPQSQDLFK AGQNLENPCEILIVSESMNLKCEKESECLAPQGSLQGSPVSTDSKRDLNCSEDVLIQNIK EPASHSENVGRKCAGNGSPGSGRERKWRRRTVCCGGQSSYLEQNGNPASSCSGENFVEIS LESVQLIEELSNTIEQSFQRTSSKTKVRRSTRLQRDLENTGLVWLSPSPSTLQKPRRRMT ICTLDSRGFECPSSKEETISSGQNPGPLPAVSGSESQGVGSSALPRKRRSLCGSTLTDAN SATQPPDCKRKPSLKGESAQLP >ENSMUSP00000123664.1 pep:known chromosome:GRCm38:14:67677796:67696734:-1 gene:ENSMUSG00000048922.18 transcript:ENSMUST00000131179.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca2 description:cell division cycle associated 2 [Source:MGI Symbol;Acc:MGI:1919787] XEPPQGSFANLSLSKSSLSETPPGTNTCSSLNKDEEIICSIVRPTRTSQRRKTLSSTGVC SSYTTQAEPRKEKMSRRKSREKKHTSAALPKKKQVLKSYRKKKKGKKDVEKCFYGPRDIA SKKPLLSPIPELPEVSEATPLADCTQGTSSDDFNKCGQLEEVNSFEIPTQRKRRLPQKAD SPELDPAHHQSQVSDKCCYLLPLTTASERGPNASTRDTGSEGNTRA >ENSMUSP00000115633.1 pep:known chromosome:GRCm38:14:67680301:67715610:-1 gene:ENSMUSG00000048922.18 transcript:ENSMUST00000132705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca2 description:cell division cycle associated 2 [Source:MGI Symbol;Acc:MGI:1919787] MDASSHDKPLSESKECVLNNSENDVFRLGTEPFVTPQKHVADATPNLCTPDTFKSPLDFT TVTVEQLGITPESFVKTSSGKSTSSLQKARRRSTVGVRGSPETNCLIRFIAQQRNLKKAV LSPLAREPHFEGSPRLYRNASVLRERMSAFRSAFHSIQETKMASSPSAAEADGESRISDL TRKEDLLEYQQSGFPVNSSSKRRRISSQDSPDNYLSGTKALADEACAGGASTDLAEKSPD IGSAQPGCMAAPLPELRETSQGLAVTDCVEGPVTPLSSGTATATRSPETPMCGSSSPSAK TTATRSPATPVCGSSTPSAKTFVLRSVLKKPGKLFSENGKESNLCDDGAHLISYPSNSCK EGRAGRENCKTPGCLNPRKRKRVTFGEDLSPEVFDESLPANTPLCKGGTPVRPRTVKTTS PLQSPVHEQFLQPNFDDKEENLENIEPPQGSFANLSLSKSSLSETPPGTNTCSSLNKDEE IICSIVRPTRTSQRRKQTLSSTGVCSSYTTQAEPRKEKMSRRKSREKKHTSAALPKKKQV LKSYRKKKK >ENSMUSP00000119525.1 pep:known chromosome:GRCm38:14:67694133:67697981:-1 gene:ENSMUSG00000048922.18 transcript:ENSMUST00000130922.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdca2 description:cell division cycle associated 2 [Source:MGI Symbol;Acc:MGI:1919787] XQFLQPNFDDKEENLENIEPPQGSFANLSLSKSSLSETPPGTNTCSSLNKDEEIICSIVR PTRTSQRRKVNTYIKSSWLPGSGGARL >ENSMUSP00000116384.1 pep:known chromosome:GRCm38:14:67700272:67715681:-1 gene:ENSMUSG00000048922.18 transcript:ENSMUST00000124045.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca2 description:cell division cycle associated 2 [Source:MGI Symbol;Acc:MGI:1919787] MDASSHDKPLSESKECVLNNSENDVFRLGTEPFVTPQKHVADATPNLCTPDTFKSPLDFT TVTVEQLGITPESFVKTSSGKSTSSLQKARRRSTVGVRGSPETNCLIRFIAQQRNLKKAV LSPLAREPHFEGSPRLYRNASVLRERMSAFRSAFHSIQETKMASSPSAAEADGESRISDL TRKEDLLEYQQSGFPVNSSSKRRRISSQDSPDNYLSGTKALADEACAGGASTDLAEKSPD IGSAQPGCMAAPLPELRETSQGLAVTDCVEGPVTPLSSGTATATRSPETPMCGSSSPSAK TTATRSPATP >ENSMUSP00000127571.1 pep:known chromosome:GRCm38:14:67676355:67715610:-1 gene:ENSMUSG00000048922.18 transcript:ENSMUST00000163100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca2 description:cell division cycle associated 2 [Source:MGI Symbol;Acc:MGI:1919787] MDASSHDKPLSESKECVLNNSENDVFRLGTEPFVTPQKHVADATPNLCTPDTFKSPLDFT TVTVEQLGITPESFVKTSSGKSTSSLQKARRRSTVGVRGSPETNCLIRFIAQQRNLKKAV LSPLAREPHFEGSPRLYRNASVLRERMSAFRSAFHSIQETKMASSPSAAEADGESRISDL TRKEDLLEYQQSGFPVNSSSKRRRISSQDSPDNYLSGTKALADEACAGGASTDLAEKSPD IGSAQPGCMAAPLPELRETSQGLAVTDCVEGPVTPLSSGTATATRSPETPMCGSSSPSAK TTATRSPATPVCGSSTPSAKTFVLRSVLKKPGKLFSENGKESNLCDDGAHLISYPSNSCK EGRAGRENCKTPGCLNPRKRKRVTFGEDLSPEVFDESLPANTPLCKGGTPVRPRTVKTTS PLQSPVHEQFLQPNFDDKEENLENIEPPQGSFANLSLSKSSLSETPPGTNTCSSLNKDEE IICSIVRPTRTSQRRKQTLSSTGVCSSYTTQAEPRKEKMSRRKSREKKHTSAALPKKKQV LKSYRKKKKGKKDVEKCFYGPRDIASKKPLLSPIPELPEVSEATPLADCTQGTSSDDFNK CGQLEEVNSFEIPTQRKRRLPQKADSPELDPAHHQSQVSDKCCYLLPLTTASERGPNAST RDTGSEGNTRAESKCQSAKEPKPGTKMESGLVPRASVTQDHIVSKNPKPLGSPQSQDLFK AGQNLENPCEILIVSESMNLKCEKESECLAPQGSLQGSPVSTDSKRDLNCSEDVLIQNIK EPASHSENVGRKCAGNGSPGSGRERKWRRRTVCCGGQSSYLEQNGNPASSCSGENFVEIS LESVQLIEELSNTIEQSFQRTSSKTKVRRSTRLQRDLENTGLVWLSPSPSTLQKPRRRMT ICTLDSRGFECPSSKEETISSGQNPGPLPAVSGSESQGVGSSALPRKRRSLCGSTLTDAN SATQPPDCKRKPSLKGESAQLP >ENSMUSP00000058629.4 pep:known chromosome:GRCm38:5:4753839:4758035:-1 gene:ENSMUSG00000044674.6 transcript:ENSMUST00000054294.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd1 description:frizzled class receptor 1 [Source:MGI Symbol;Acc:MGI:1196625] MAEEAAPSESRAAGRLSLELCAEALPGRREEVGHEDTASHRRPRADPRRWASGLLLLLWL LEAPLLLGVRAQAAGQVSGPGQQAPPPPQPQQSGQQYNGERGISIPDHGYCQPISIPLCT DIAYNQTIMPNLLGHTNQEDAGLEVHQFYPLVKVQCSAELKFFLCSMYAPVCTVLEQALP PCRSLCERARQGCEALMNKFGFQWPDTLKCEKFPVHGAGELCVGQNTSDKGTPTPSLLPE FWTSNPQHGGGGYRGGYPGGAGTVERGKFSCPRALRVPSYLNYHFLGEKDCGAPCEPTKV YGLMYFGPEELRFSRTWIGIWSVLCCASTLFTVLTYLVDMRRFSYPERPIIFLSGCYTAV AVAYIAGFLLEDRVVCNDKFAEDGARTVAQGTKKEGCTILFMMLYFFSMASSIWWVILSL TWFLAAGMKWGHEAIEANSQYFHLAAWAVPAIKTITILALGQVDGDVLSGVCFVGLNNVD ALRGFVLAPLFVYLFIGTSFLLAGFVSLFRIRTIMKHDGTKTEKLEKLMVRIGVFSVLYT VPATIVIACYFYEQAFRDQWERSWVAQSCKSYAIPCPHLQGGGGVPPHPPMSPDFTVFMI KYLMTLIVGITSGFWIWSGKTLNSWRKFYTRLTNSKQGETTV >ENSMUSP00000111309.3 pep:known chromosome:GRCm38:16:18239784:18248732:-1 gene:ENSMUSG00000005732.14 transcript:ENSMUST00000115645.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp1 description:RAN binding protein 1 [Source:MGI Symbol;Acc:MGI:96269] MAAAKDSHEDHDTSTENADESNHDPQFEPIVSLPEQEIKTLEEDEEELFKMRAKLFRFAS ENDLPEWKERGTGDVKLLKHKEKGTIRLLMRRDKTLKICANHYITPMMELKPNAGSDRAW VWNTHADFADECPKPELLAIRFLNAENAQKFKTKFEECRKEIEEREKKGPGKNDNAEKVA EKLEALSVREAREEAEEKSEEKQ >ENSMUSP00000055288.6 pep:known chromosome:GRCm38:16:18239802:18248067:-1 gene:ENSMUSG00000005732.14 transcript:ENSMUST00000052325.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp1 description:RAN binding protein 1 [Source:MGI Symbol;Acc:MGI:96269] MRAKLFRFASENDLPEWKERGTGDVKLLKHKEKGTIRLLMRRDKTLKICANHYITPMMEL KPNAGSDRAWVWNTHADFADECPKPELLAIRFLNAENAQKFKTKFEECRKEIEEREKKGP GKNDNAEKVAEKLEALSVREAREEAEEKSEEKQ >ENSMUSP00000062539.8 pep:known chromosome:GRCm38:16:96467606:96525793:1 gene:ENSMUSG00000090223.1 transcript:ENSMUST00000061739.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcp4 description:Purkinje cell protein 4 [Source:MGI Symbol;Acc:MGI:97509] MSERQSAGATNGKDKTSGDNDGQKKVQEEFDIDMDAPETERAAVAIQSQFRKFQKKKAGS QS >ENSMUSP00000124532.1 pep:known chromosome:GRCm38:6:123106428:123123669:1 gene:ENSMUSG00000030148.15 transcript:ENSMUST00000159891.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4a2 description:C-type lectin domain family 4, member a2 [Source:MGI Symbol;Acc:MGI:1349412] MASEITYAEVKFKNESNSLHTYSESPA >ENSMUSP00000123973.1 pep:known chromosome:GRCm38:6:123122688:123127976:1 gene:ENSMUSG00000030148.15 transcript:ENSMUST00000161636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4a2 description:C-type lectin domain family 4, member a2 [Source:MGI Symbol;Acc:MGI:1349412] MASEITYAEVKFKNESNSLHTYSESPAAPREKPIRDLRKPGSPSLLLTSLMLLLLLLAIT FLVAFIIYFQKYSQL >ENSMUSP00000124615.1 pep:known chromosome:GRCm38:6:123122690:123142516:1 gene:ENSMUSG00000030148.15 transcript:ENSMUST00000161365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4a2 description:C-type lectin domain family 4, member a2 [Source:MGI Symbol;Acc:MGI:1349412] MASEITYAEVKFKNESNSLHTYSESPAAPREKPIRDLRKPGSPSLLLTSLMLLLLLLAIT FLVAFIIYFQKYSQLLEEKKAAKNIMHNELNCTKSVSPMEDKVWSCCPKDWRLFGSHCYL VPTVSSSASWNKSEENCSRMGAHLVVIQSQEEQDFITGILDTHAAYFIGLWDTGHRQWQW VDQTPYEESITFWHNGEPSSGNEKCATIIYRWKTGWGWNDISCSLKQKSVCQMKKINL >ENSMUSP00000045781.6 pep:known chromosome:GRCm38:6:123123316:123143999:1 gene:ENSMUSG00000030148.15 transcript:ENSMUST00000041779.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4a2 description:C-type lectin domain family 4, member a2 [Source:MGI Symbol;Acc:MGI:1349412] MASEITYAEVKFKNESNSLHTYSESPAAPREKPIRDLRKPGSPSLLLTSLMLLLLLLAIT FLVAFIIYFQKYSQLLEEKKAAKNIMHNELNCTKSVSPMEDKVWSCCPKDWRLFGSHCYL VPTVSSSASWNKSEENCSRMGAHLVVIQSQEEQDFITGILDTHAAYFIGLWDTGHRQWQW VDQTPYEESITFWHNGEPSSGNEKCATIIYRWKTGWGWNDISCSLKQKSVCQMKKINL >ENSMUSP00000032248.7 pep:known chromosome:GRCm38:6:123123467:123142858:1 gene:ENSMUSG00000030148.15 transcript:ENSMUST00000032248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4a2 description:C-type lectin domain family 4, member a2 [Source:MGI Symbol;Acc:MGI:1349412] MASEITYAEVKFKNESNSLHTYSESPAAPREKPIRDLRKPGSPSLLLTSLMLLLLLLAIT FLVAFIIYFQKYSQLLEEKKAAKNIMHNELNCTKSVSPMEAPPIGQRALTLESIEIDLGI LAPEDKVWSCCPKDWRLFGSHCYLVPTVSSSASWNKSEENCSRMGAHLVVIQSQEEQDFI TGILDTHAAYFIGLWDTGHRQWQWVDQTPYEESITFWHNGEPSSGNEKCATIIYRWKTGW GWNDISCSLKQKSVCQMKKINL >ENSMUSP00000053935.7 pep:known chromosome:GRCm38:7:6315660:6331285:-1 gene:ENSMUSG00000030443.16 transcript:ENSMUST00000062765.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp583 description:zinc finger protein 583 [Source:MGI Symbol;Acc:MGI:2682297] MSKNLLTFEDVSVNFTQEEWQWLSDTQRDLYRKVTLENYKSLVSLGIPVYKPAVISLLEQ GKDPWMVQKKGARDTCPDWQYVFKGTEFISKQDIYKESAKVLTMGRSHFSSSLDCPDLKE DHENEDWFKNRLGRQEVHSHQLFITHKEVPESEIRGCNPSCQAVHQNAILDVPQCSSTKE RIDQSEPQKRSYRKKSVEMKHKKVQVEKRILKCSECEKVFNQTSSLTLHQRIHTGEKPYA CVECGKAFSQSANLAQHKRIHTGEKPYECKECRKAFSQNAHLAQHQRVHTGEKPYQCKEC KKAFSQIAHLTQHQRIHTGERPFECIECGKAFSNGSFLAQHQRIHTGEKPYVCHVCGKAF SHRGYLIVHQRIHTGERPYECKECRKSFSQYAHLSQHQRVHTGEKPYECKVCRKAFSQVA YLDQHQRVHTGEKPYECAECRKAFSNSSSLAQHQRSHTGEKPYICKECRKTFSQNAGLAQ HQRIHTGEKPYECNICGKAFSYSGSLTLHQRIHTGERPYECKDCRKSFRQRAHLAHHEKV HTMESFLSLSSPSPSMSSQLPRTLGLIS >ENSMUSP00000104200.1 pep:known chromosome:GRCm38:7:6316276:6330434:-1 gene:ENSMUSG00000030443.16 transcript:ENSMUST00000108560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp583 description:zinc finger protein 583 [Source:MGI Symbol;Acc:MGI:2682297] MSKNLLTFEDVSVNFTQEEWQWLSDTQRDLYRKVTLENYKSLVSLGIPVYKPAVISLLEQ GKDPWMVQKKGARDTCPDWQYVFKGTEFISKQDIYKESAKVLTMGRSHFSSSLDCPDLKE DHENEDWFKNRLGRQEVHSHQLFITHKEVPESEIRGCNPSCQAVHQNAILDVPQCSSTKE RIDQSEPQKRSYRKKSVEMKHKKVQVEKRILKCSECEKVFNQTSSLTLHQRIHTGEKPYA CVECGKAFSQSANLAQHKRIHTGEKPYECKECRKAFSQNAHLAQHQRVHTGEKPYQCKEC KKAFSQIAHLTQHQRIHTGERPFECIECGKAFSNGSFLAQHQRIHTGEKPYVCHVCGKAF SHRGYLIVHQRIHTGERPYECKECRKSFSQYAHLSQHQRVHTGEKPYECKVCRKAFSQVA YLDQHQRVHTGEKPYECAECRKAFSNSSSLAQHQRSHTGEKPYICKECRKTFSQNAGLAQ HQRIHTGEKPYECNICGKAFSYSGSLTLHQRIHTGERPYECKDCRKSFRQRAHLAHHEKV HTMESFLSLSSPSPSMSSQLPRTLGLIS >ENSMUSP00000118249.1 pep:known chromosome:GRCm38:7:6323650:6331278:-1 gene:ENSMUSG00000030443.16 transcript:ENSMUST00000127658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp583 description:zinc finger protein 583 [Source:MGI Symbol;Acc:MGI:2682297] MSKNLLTFEDVSVNFTQEEWQWLSDTQRDLYRKVTLENYKSLVSLGIPVYKPAVISLLEQ GKDPWMVQKKGARDTC >ENSMUSP00000129551.1 pep:known chromosome:GRCm38:7:6316305:6329263:-1 gene:ENSMUSG00000030443.16 transcript:ENSMUST00000165705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp583 description:zinc finger protein 583 [Source:MGI Symbol;Acc:MGI:2682297] MSKNLLTFEDVSVNFTQEEWQWLSDTQRDLYRKVTLENYKSLVSLGIPVYKPAVISLLEQ GKDPWMVQKKGARDTCPDWQYVFKGTEFISKQDIYKESAKVLTMGRSHFSSSLDCPDLKE DHENEDWFKNRLGRQEVHSHQLFITHKEVPESEIRGCNPSCQAVHQNAILDVPQCSSTKE RIDQSEPQKRSYRKKSVEMKHKKVQVEKRILKCSECEKVFNQTSSLTLHQRIHTGEKPYA CVECGKAFSQSANLAQHKRIHTGEKPYECKECRKAFSQNAHLAQHQRVHTGEKPYQCKEC KKAFSQIAHLTQHQRIHTGERPFECIECGKAFSNGSFLAQHQRIHTGEKPYVCHVCGKAF SHRGYLIVHQRIHTGERPYECKECRKSFSQYAHLSQHQRVHTGEKPYECKVCRKAFSQVA YLDQHQRVHTGEKPYECAECRKAFSNSSSLAQHQRSHTGEKPYICKECRKTFSQNAGLAQ HQRIHTGEKPYECNICGKAFSYSGSLTLHQRIHTGERPYECKDCRKSFRQRAHLAHHEKV HTMESFLSLSSPSPSMSSQLPRTLGLIS >ENSMUSP00000140591.1 pep:known chromosome:GRCm38:2:89666928:89667884:-1 gene:ENSMUSG00000100323.1 transcript:ENSMUST00000188085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1251 description:olfactory receptor 1251 [Source:MGI Symbol;Acc:MGI:3031085] MGQSNNVTEFVLLGFTQDPAGQKALFVMFSLMYIATMVGNLLIVGTVIASPSLGSPMYFF LASLSLMDAVYSTAISPKLIVDLLREKKTISFRACISQLFIEHLFGGVDIVILVAMAYDR YVAICKPLHYLIIMNRRVCILFLVMAWAGGFAHALFQVLAVYNLPFCGPNIIDHFGCDIY PLLLLACTDTYFIGLSVIGNNGAMCIVIFILLLLSYGIILRSLKNHSQEGRRKALSTCGS HITVVFLFFVPCIFMYVRPVSSFPIDKSITVIYTIVTPMLNPLIYTLRNSEMKISMEKLL QKTLSPCRIRLSSCCLCY >ENSMUSP00000089388.5 pep:known chromosome:GRCm38:18:84011627:84086404:-1 gene:ENSMUSG00000046982.10 transcript:ENSMUST00000060303.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshz1 description:teashirt zinc finger family member 1 [Source:MGI Symbol;Acc:MGI:1346031] MPRRKQQAPRRSAAYVPEEELKAAEIDEEHAEDGGLSLDIQESEFACNEETEIKEAQSYQ NSPVSTATNQDAGYGSPFSEGSDQLAHFKSSSSREEKEESQCPDSVSYPQDSLAQIKAVY ANLFSESCWSSLALDLKKSNSATSNNDASQKESSTPTPTPPTSTASTACTTATTAITSCS TSTSHSSTTSNSSSSGYDWHQAALAKTLQQTSSYGLLPEPSLFSTVQLYRQNNKLYGSVF TGASKFRCKDCSAAYDTLVELTVHMNETGHYRDDNRDKDSEKTKRWSKPRKRSLMEMEGK EDAQKVLKCMYCGHSFESLQDLSVHMIKTKHYQKVPLKEPVPAITKLVPSTKKRALQDLA SPCSPEPTGVATEVALSESAKDQKTANPYVTPNNRYGYQNGASYTWQFEARKAQILKCME CGSSHDTLQQLTAHMMVTGHFLKVTTSASKKGKQLVLDPVVEEKIQSIPLPPTTHTRLPA SSIKKQPDSPAGSVASEEKKEPEKEKEKEKAPPAAGDAERKIKEETEDATEKFEPTALYQ YLREEDLDDSPKGGVDILKSLENTVSTAISKAQNGAPSWGGYPSIHAAYQLPGTVKPLQS AVQSVQIQPSYASSVKSLSSTEHNALLHSPGSLTPPPHKSNVSAMEELVEKVTGKVSIKK EERPTEKEKSSPVKAISPVAKENKDLPKTEETGSKPQKKGSDSETGKAKKESTLDAHTPN GTEPLKAKVTNGCGHLGIITDHSPEPSFINPLSALQSIMNTHLGKVSKPVSPSLDPLAML YKISNSMLDKPVYPTTPAKQADAIDRYYYENSDQPIDLTKSKNKPLVSGVADAVSSPLRE SALMDISDMVKNLTGRLTPKSSTPSTVSEKSDADGSSFEEALDELSPVHKRKGRQSNWNP QHLLILQAQFASSLRETAEGKYIMSDLGPQERVHISKFTGLSMTTISHWLANVKYQLRRT GGTKFLKNLDTGHPVFFCNDCASQFRTASTYVSHLETHLGFSLKDLSKLPLSQIQEQQSV VSKALTNKTLGPLGSSEEDLGSTFQCKLCNRTFASKHAVKLHLSKTHGKSPEDHLIYVTE LEKQ >ENSMUSP00000083193.3 pep:known chromosome:GRCm38:5:130369455:130840174:1 gene:ENSMUSG00000060371.12 transcript:ENSMUST00000086029.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caln1 description:calneuron 1 [Source:MGI Symbol;Acc:MGI:2155987] MRLPEQPGDGKPENETKGDQETPERGEEPRRSPAPDFPTWEKMPFHHVTAGLLYKGNYLN RSLSAGSDSEQLANISVEELDEIREAFRVLDRDGNGFISKQELGMAMRSLGYMPSEVELA IIMQRLDMDGDGQVDFDEFMTILGPKLVSSEGRDGFLGNTIDSIFWQFDMQRVTLEELKH ILYHAFRDHLTMKDIENIIINEEESLNETSGNCQTEFEGVHSQKQNRQTCVRKSLICAFA MAFIISVMLIAANQILRSGME >ENSMUSP00000143823.1 pep:known chromosome:GRCm38:5:130384697:130506173:1 gene:ENSMUSG00000060371.12 transcript:ENSMUST00000202728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caln1 description:calneuron 1 [Source:MGI Symbol;Acc:MGI:2155987] MRLPEQPGDGKPENETKGDQETPERGEEPRRSPAPDFPTWEKMPFHHVTAGLLYKGNYLN RSLSAGSDSEQLANIS >ENSMUSP00000144225.1 pep:known chromosome:GRCm38:5:130447449:130617935:1 gene:ENSMUSG00000060371.12 transcript:ENSMUST00000141131.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caln1 description:calneuron 1 [Source:MGI Symbol;Acc:MGI:2155987] MPFHHVTAGLLYKGNYLNRSLSAGSDSEQLANISVEELDEIREAFRVLDRDGNGFISKQE LGMAMRSLGY >ENSMUSP00000106918.1 pep:known chromosome:GRCm38:5:130448012:130840636:1 gene:ENSMUSG00000060371.12 transcript:ENSMUST00000111287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caln1 description:calneuron 1 [Source:MGI Symbol;Acc:MGI:2155987] MPFHHVTAGLLYKGNYLNRSLSAGSDSEQLANISVEELDEIREAFRVLDRDGNGFISKQE LGMAMRSLGYMPSEVELAIIMQRLDMDGDGQVDFDEFMTILGPKLVSSEGRDGFLGNTID SIFWQFDMQRVTLEELKHILYHAFRDHLTMKDIENIIINEEESLNETSGNCQTEFEGVHS QKQNRQTCVRKSLICAFAMAFIISVMLIAANQILRSGME >ENSMUSP00000106919.2 pep:known chromosome:GRCm38:5:130448801:130847412:1 gene:ENSMUSG00000060371.12 transcript:ENSMUST00000111288.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caln1 description:calneuron 1 [Source:MGI Symbol;Acc:MGI:2155987] MPFHHVTAGLLYKGNYLNRSLSAGSDSEQLANISVEELDEIREAFRVLDRDGNGFISKQE LGMAMRSLGYMPSEVELAIIMQRLDMDGDGQVDFDEFMTILGPKLVSSEGRDGFLGNTID SIFWQFDMQRVTLEELKHILYHAFRDHLTMKDIENIIINEEESLNETSGNCQTEFEGVHS QKQNRQTCVRKSLICAFAMAFIISVMLIAANQILRSGME >ENSMUSP00000139572.1 pep:known chromosome:GRCm38:17:5417323:5418767:-1 gene:ENSMUSG00000101959.1 transcript:ENSMUST00000189788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldhal6b description:lactate dehydrogenase A-like 6B [Source:MGI Symbol;Acc:MGI:2146830] MAWATSILRASRRVSTTRVDFKGFGIALWPSQVQRVPPNRAWWSLTPTSSMATVKGELIQ HISSEEPVLHNKVSIVGTGSVGMACAIGIIAKGLTDELALVDNNEEKMKGETMDLQHGSV FMKMPNIVCSKDFRVTANSEVVIITAGARQEKNETRLNLVQRNVTIFKAMVAEIIKHSPR CKIIVVSNPVDILTFVTWKLSGFPKNRIIGSGCNLDTARFRYMLGQRLGIHSESCHGWVL GEHGDSSVPVWSGVNIAGVPLRELNSAIGTSKDPEKWGDVHKEVIASAYNIIKMKGYTSW AIGLSVTDIAESILKNLRKTHPVTTKIQGLYGIKEEVFLSVPCILGESGISDIIKVKLSP TEEAQMVKSAETIWKIQKDIKF >ENSMUSP00000114029.1 pep:known chromosome:GRCm38:7:107567446:107591094:1 gene:ENSMUSG00000051041.7 transcript:ENSMUST00000120990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfml1 description:olfactomedin-like 1 [Source:MGI Symbol;Acc:MGI:2679264] MVVALQEASASLVLFLAAFLPPPQHAQDPAMVHYIYQRFQVLEQGLEKCAQTTRAYIQDF QEFSKNISIMLGRCQTHTSEYKSAVENLALRVERAQQEIDYLQYLREADFCIESEEKTLA EKLLQEEAEEKKIRTLLNTSCDNMLMAIKSLKIVKKTVDPDGSWMKDAGSNSAKVYLLAG SRNNTVWEFANLRAFMEDSIKPGPRKLILPLSWQGSGQVVYQSFLFFSQSRNF >ENSMUSP00000106845.2 pep:known chromosome:GRCm38:1:173747293:173766876:-1 gene:ENSMUSG00000073489.5 transcript:ENSMUST00000111214.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi204 description:interferon activated gene 204 [Source:MGI Symbol;Acc:MGI:96429] MVNEYKRIVLLRGLECINKHYFSLFKSLLARDLNLERDNQEQYTTIQIANMMEEKFPADS GLGKLIAFCEEVPALRKRAEILKKERSEVTGETSLEKNGQEAGPATPTSTTSHMLASERG ETSATQEETSTAQAGTSTAQARTSTAQAGTSTAQKRKIMREEETGVKKSKAAKEPDQPPC CEEPTARCQSPILHSSSSASSNIPSAKNQKSQPQNQNIPRGAVLHSEPLTVMVLTATDPF EYESPEHEVKNMLHATVATVSQYFHVKVFNINLKEKFTKKNFIIISNYFESKGILEINET SSVLEAAPDQMIEVPNSIIRNANASPKICDIQKGTSGAVFYGVFTLHKKTVNRKNTIYEI KDGSGSIEVVGSGKWHNINCKEGDKLHLFCFHLKTIDRQPKLVCGEHSFIKISKRGNVPK EPAKEEDHHHGPKQVMVLKVTEPFTYDLKEDKRMFHATVATETEFFRVKVFDTALKSKFI PRNIIAISDYFGCNGFLEIYRASCVSDVNVNPTMVISNTLRQRANATPKISYLFSQARGT FVSGEYLVNKKTERNKFIYYGIGDDTGKMEVVVYGRLTNVRCEPGSKLRLVCFELTSTED GWQLRSVRHSYMQVINARK >ENSMUSP00000144462.1 pep:known chromosome:GRCm38:17:24163865:24186287:-1 gene:ENSMUSG00000107169.1 transcript:ENSMUST00000202853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm43796 description:predicted gene 43796 [Source:MGI Symbol;Acc:MGI:5663933] MDPPGYNCFVDKDKMDASIQDLGPKELNCTELQELKQLARQGYWAQSHTLRGKVYQRLIR DIPCRTVTPDASVYSDIVGKIVGKHSSSSLPLPEFVDNTQVPTYCLNTRGEGAVRKILLC IANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILSCNDPTKKLIDQSFLAFESSC MTFGDLVNKYCQAAHKLMVAVSEDVLQVYSDWQRWLFGELPLNYFARVFDVFLVEGYKVL YRVALAILKFFHKVRAGQPLESDNVKQDIRMFVKDIAKTVSPEKLLEKAFAIRLFSRKEI QLLQMANEKALRQKGITVKQKSHGSRLWHSQEWHWHRSHVSHEARADHEVHHPSGYGWDH RHLRPGGGSTYR >ENSMUSP00000054158.3 pep:known chromosome:GRCm38:X:5466898:5469265:-1 gene:ENSMUSG00000044597.4 transcript:ENSMUST00000058404.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycs description:myc-like oncogene, s-myc protein [Source:MGI Symbol;Acc:MGI:1332242] MLSCTTSTMPGMICKNSDLEFDLLKPCFYPEEDDIYFGGHNSTPPGEDIWKKFELLPTPR LSPDSALAEHSLEPVNWATEMLLPEADLWSNPGEEEVFGQGGLRGCTSNPIILQDCMWSG FSNPGKPESVVSEKLPGGYRSLAVGAGTLAPGAAAAASSAGHERSGTAGVGRGKAAWLTE LSHLDLECVDPAVVFFPANKREPMPVPTIPTSTGSAISLGDHQGLSSSLEDFLSNSGSVE EGGEEIYVVMLEETQFSKTVSRLPTAAHQENAALSPGCAQSSELILKRYDLIQEQHNYAA PPLPYVDREDARPQKKPRSHTSLALKCVFRPKAPRLGSRNNSDWENIERRRNHNRMERQR RDIMRSSFLNLRDLVPELVHNEKAAKVVILKKATEYIHTLQADESKLLVERKKLYERQQQ LLQKIKQYALC >ENSMUSP00000092305.4 pep:known chromosome:GRCm38:5:68031835:68166398:1 gene:ENSMUSG00000068082.12 transcript:ENSMUST00000094715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grxcr1 description:glutaredoxin, cysteine rich 1 [Source:MGI Symbol;Acc:MGI:3577767] MWVEVTMLRRETKPESDRPRKVRFRIASSHSGRVLKEVYEDGQAPGSLDSECASICAIDG LSDSEGQQNGHIGSEDNEQEKDQDNLLVLARTASEKAFGTRRVNILSKNGTVRGVKYKVS AGQALFNNLTKVLQQPSADLEFDRVVIYTTCLRVVRTTFERCELVRKIFQNHRVKFEEKN IALNGDYGKELDERCRRVSEAPSLPVVFIDGHYLGGAEKILSMNESGELQDLLTKIERVQ HPHECPSCGGFGFLPCSVCHGSKMSVFRNCFTDAFKALKCTACNENGLQRCKNCTC >ENSMUSP00000019962.8 pep:known chromosome:GRCm38:10:41519414:41531048:1 gene:ENSMUSG00000019818.15 transcript:ENSMUST00000019962.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd164 description:CD164 antigen [Source:MGI Symbol;Acc:MGI:1859568] MSGSSRRLLWAATCLAVLCVSAAQPNITTLAPNVTEVPTTTTKVVPTTQMPTVLPETCAS FNSCVSCVNATFTNNITCFWLHCQEANKTYCANEPLSNCSQVNRTDLCSVIPPTTPVPTN STAKPTTRPSSPTPTPSVVTSAGTTNTTLTPTSQPERKSTFDAASFIGGIVLVLGVQAVI FFLYKFCKSKERNYHTL >ENSMUSP00000139583.1 pep:known chromosome:GRCm38:10:41519892:41529046:1 gene:ENSMUSG00000019818.15 transcript:ENSMUST00000189300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd164 description:CD164 antigen [Source:MGI Symbol;Acc:MGI:1859568] XLPRWQLGVIGRGDAPRGRRETCASFNSCVSCVNATFTNNITCFWLHCQEANKTYCANEP LSNCSQVNRTDLCSVIPPTTPVPTNSTAKPTTRPSSPTPTPSVVTSAGTTNTTLTPTSQP ERKSTFDAASFIGGIVLVLGVQAVIFFLYKFCKSKERNYHTL >ENSMUSP00000139220.1 pep:known chromosome:GRCm38:2:4717831:4800563:1 gene:ENSMUSG00000048186.14 transcript:ENSMUST00000184139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend7 description:BEN domain containing 7 [Source:MGI Symbol;Acc:MGI:2443100] MEFSERKRSRKSQSFKLVSRDYHHEVYKISEFSNDVNGETKETQPIYLGDESMEIKKQIT GMRRLLNDSTGRIYQRVGKEGEKLKQEPQVVDLVWPQRSNSSTEASQGLHSNSRGAWNEL PTQSGQFSGQSGPRSRTFQTQPHISASSNGELPGVNSIVGSNCCTCNCQSTLQAILQELK TMRKLMQFQAVGTQNRQQPPISLMCSQRTAVSRKRNKKKKVLPKTVQPVTAVEPKPSPLE TEKKPAASATRPPGLQAAERTSTEENHVLGFGIVLESPSSDPEVQLAEGFDVFMPKSQLD SILSNYTRSGSLLFRKLVCAFFDDKTLANSLPNGKRKRGFNDNRKGLDQNIVGAIKVFTE NYCTANHVDKLPGPRDWVQILQDQIKLARRRLKRGSEVADGDERLDRISLPPTGHTFVIK RETPEDPEPGSVA >ENSMUSP00000110674.1 pep:known chromosome:GRCm38:2:4717834:4788933:1 gene:ENSMUSG00000048186.14 transcript:ENSMUST00000115022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend7 description:BEN domain containing 7 [Source:MGI Symbol;Acc:MGI:2443100] MEFSERKRSRKSQSFKLVSRDYHHEVYKISEFSNDVNGETKETQPIYLGDESMEIKKQIT GMRRLLNDSTGRIYQRVGKEGEKLKQEPQVVDLVWPQRSNSSTEASQGLHSNSRGAWNEL PTQSGQFSGQSGPRSRTFQTQPHISASSNGELPGVNSIVGSNCCTCNCQSTLQAILQELK TMRKLMQFQAVGTQNRQQPPISLMCSQRTAVSRKRNKKKKVLPKTVQPVTAVEPKPSPLE TEKKPAASATRPPGLQAAERTSTEENHVLGFGIVLESPSSDPEVQLAEGFDVFMPKSQLD SILSNYTRSGSLLFRKLVCAFFDDKTLANSLPNGKRKRGFNDNRKGLDQNIVGAIKVFTE NYCTANHVDKLPGPRDWVQILQDQIKLARRRLKRGSGMNKL >ENSMUSP00000052458.6 pep:known chromosome:GRCm38:2:4718145:4800925:1 gene:ENSMUSG00000048186.14 transcript:ENSMUST00000056914.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend7 description:BEN domain containing 7 [Source:MGI Symbol;Acc:MGI:2443100] MEFSERKRSRKSQSFKLVSRDYHHEVYKISEFSNDVNGETKETQPIYLGDESMEIKKQIT GMRRLLNDSTGRIYQRVGKEGEKLKQEPQVVDLVWPQRSNSSTEASQGLHSNSRGAWNEL PTQSGQFSGQSGPRSRTFQTQPHISASSNGELPGVNSIVGSNCCTCNCQSTLQAILQELK TMRKLMQFQAVGTQNRQQPPISLMCSQRTAVSRKRNKKKKVLPKTVQPVTAVEPKPSPLE TEKKPAASATRPPGLQAAERTSTEENHVLGFGIVLESPSSDPEVQLAEGFDVFMPKSQLD SILSNYTRSGSLLFRKLVCAFFDDKTLANSLPNGKRKRGFNDNRKGLDQNIVGAIKVFTE NYCTANHVDKLPGPRDWVQILQDQIKLARRRLKRGSAEVADGDERLDRISLPPTGHTFVI KRETPEDPEPGSVA >ENSMUSP00000009321.4 pep:known chromosome:GRCm38:16:18253948:18289246:-1 gene:ENSMUSG00000022718.11 transcript:ENSMUST00000009321.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr8 description:DiGeorge syndrome critical region gene 8 [Source:MGI Symbol;Acc:MGI:2151114] METYESPSPLPREPAGEAMMENRACPFQVLPHEQSPPPPLQTSSDAEVMDVGSGGDGQSE PPADDPFNFYGASLLSKGSFSKGRLLIDPNCSGHSPRTARHAPAVRKFSPDLKLLKDVKI SVSFTESCRSKDRKVLYTGVERSTRPECGQLLSPVSGDVHACPFGGSVGNGVGLGGESAD KKDEENELDQEKRVEYAVLDELEDFTDNLELDEEGTGGFTAKAIVQRDRVDEEALNFSYE DDFDNDVDALLEEGLCAPKKRRMEEKYGGDSDHPSDGETSVQPMMTKIKTVLKSRGRPPT EPLPDGWIMTFHNSGVPVYLHRESRVVTWSRPYFLGTGSIRKHDPPLSSIPCLHYKKMKD NEEREQNCDLAPSGEVSPVKPLGRSAELDFPLEEPDSMGGDSGSMDEKDPLGAEAAAGAL GQVKAKVEVCKDESVDLEEFRNYLEKRFDFEQVTVKKFRTWAERRQFNREMKRKQAESER PILPANQKLITLSVQDAPTKKEFVINPNGKSEVCILHEYMQRVLKVRPVYNFFECENPSE PFGASVTIDGVTYGSGTASSKKLAKNKAARATLEILIPDFVKQTSEEKPKDSEELEYFNH ISIEDSRVYELTSKAGLLSPYQILHECLKRNHGMGDTSIKFEVVPGKNQKSEYVMACGKH TVRGWCKNKRVGKQLASQKILQLLHPHVKNWGSLLRMYGRESSKMVKQETSDKSVIELQQ YAKKNRPNLHILSKLQEEMKRLAAEREETRKKPKMSIVASAQPGGEPLCTVDV >ENSMUSP00000111296.1 pep:known chromosome:GRCm38:16:18253957:18289249:-1 gene:ENSMUSG00000022718.11 transcript:ENSMUST00000115633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr8 description:DiGeorge syndrome critical region gene 8 [Source:MGI Symbol;Acc:MGI:2151114] METYESPSPLPREPAGEAMMENRACPFQVLPHEQSPPPPLQTSSDAEVMDVGSGGDGQSE PPADDPFNFYGASLLSKGSFSKGRLLIDPNCSGHSPRTARHAPAVRKFSPDLKLLKDVKI SVSFTESCRSKDRKVLYTGVERSTRPECGQLLSPVSGDVHACPFGGSVGNGVGLGGESAD KKDEENELDQEKRVEYAVLDELEDFTDNLELDEEGTGGFTAKAIVQRDRVDEEALNFSYE DDFDNDVDALLEEGLCAPKKRRMEEKYGGDSDHPSDGETSVQPMMTKIKTVLKSRGRPPT EPLPDGWIMTFHNSGVPVYLHRESRVVTWSRPYFLGTGSIRKHDPPLSSIPCLHYKKMKD NEEREQNCDLAPSGEVSPVKPLGRSAELDFPLEEPDSMGGDSGSMDEKDPLGAEAAAGAL GQVKAKVEVCKDESVDLEEFRNYLEKRFDFEQVTVKKFRTWAERRQFNREMKRKQAESER PILPANQKLITLSVQDAPTKKEFVINPNGKSEVCILHEYMQRVLKVRPVYNFFECENPSE PFGASVTIDGVTYGSGTASSKKLAKNKAARATLEILIPDFVKQTSEEKPKDSEELEYFNH ISIEDSRVYELTSKAGLLSPYQILHECLKRNHGMGDTSIKFEVVPGKNQKSEYVMACGKH TVRGWCKNKRVGKQLASQKILQLLHPHVKNWGSLLRMYGRESSKMVKQETSDKSVIELQQ YAKKNRPNLHILSKLQEEMKRLAAEREETRKKPKMSIVASAQPGGEPLCTVDV >ENSMUSP00000107939.1 pep:known chromosome:GRCm38:2:69193895:69206135:-1 gene:ENSMUSG00000005233.16 transcript:ENSMUST00000112320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spc25 description:SPC25, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913692] MGEDELALLNQSINEFGDKFRNRLDDNHSQVLGLRDAFKDSMKAFSEKMSLKLKEEERMT EMILEYKNQLCKQNKLIQEKKENVLKMIAEVKGKEQESEELTAKIQELKEEYARKRETIS TANKANEERLKGLQKSADLYRDYLGLEIRKIHGNKLQFIFTSIDPKNPESPYMFSMSINE AKEYEVYDSSPHLECLAEFQEKVRKTNNFSAFLANIRKAFIAKVHN >ENSMUSP00000120142.1 pep:known chromosome:GRCm38:2:69193895:69206157:-1 gene:ENSMUSG00000005233.16 transcript:ENSMUST00000127243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spc25 description:SPC25, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913692] SRPGSSRRKSGGLVPAGQVQSGGDRGVPGRSEKMSLKLKEEERMTEMILEYKNQLCKQNK LIQEKKENVLKMIAEVKGKEQESEELTAKIQELKEEYARKRETISTANKANEERLKGLQK SADLYRDYLGLEIRKIHGNKLQFIFTSIDPKNPESPYMFSMSINEAKEYEVYDSSPHLEC LAEFQEKVRKTNNFSAFLANIRKAFIAKVHN >ENSMUSP00000005365.8 pep:known chromosome:GRCm38:2:69193895:69206194:-1 gene:ENSMUSG00000005233.16 transcript:ENSMUST00000005365.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spc25 description:SPC25, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913692] MGEDELALLNQSINEFGDKFRNRLDDNHSQVLGLRDAFKDSMKAFSEKMSLKLKEEERMT EMILEYKNQLCKQNKLIQEKKENVLKMIAEVKGKEQESEELTAKIQELKEEYARKRETIS TANKANEERLKGLQKSADLYRDYLGLEIRKIHGNKLQFIFTSIDPKNPESPYMFSMSINE AKEYEVYDSSPHLECLAEFQEKVRKTNNFSAFLANIRKAFIAKVHN >ENSMUSP00000120999.1 pep:known chromosome:GRCm38:2:69197147:69205130:-1 gene:ENSMUSG00000005233.16 transcript:ENSMUST00000149045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spc25 description:SPC25, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913692] MSLKLKEEERMTEMILEYKNQLCKQNKLIQEKKENVLKMIAEVKGKEQESEELTAKIQEL KEEYARKRETISTANKANEERLKGLQKSADLYRDYLGLEIRKIHGNKLQFIFTSIDPKNP ESPYMFSMSINEA >ENSMUSP00000117415.1 pep:known chromosome:GRCm38:2:69197190:69206180:-1 gene:ENSMUSG00000005233.16 transcript:ENSMUST00000149643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spc25 description:SPC25, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913692] MGEDELALLNQSINEFGDKFRNRLDDNHSQVLGLRDAFKDSMKAFSEKMSLKLKEEERMT EMILEYKNQLCKQNKLIQEKKENVLKMIAEVKGKEQESEELTAKIQELKEEYARKRETIS TANKANEERLKGLQKSADLYRDYLGLEIRKIHGNKLQFIFTSIDPKN >ENSMUSP00000128039.2 pep:known chromosome:GRCm38:2:69193896:69206190:-1 gene:ENSMUSG00000005233.16 transcript:ENSMUST00000167875.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spc25 description:SPC25, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913692] MSLKLKEEERMTEMILEYKNQLCKQNKLIQEKKENVLKMIAEVKGKEQESEELTAKIQEL KEEYARKRETISTANKANEERLKGLQKSADLYRDYLGLEIRKIHGNKLQFIFTSIDPKNP ESPYMFSMSINEAKEYEVYDSSPHLECLAEFQEKVRKTNNFSAFLANIRKAFIAKVHN >ENSMUSP00000046636.8 pep:known chromosome:GRCm38:5:89417522:89457898:-1 gene:ENSMUSG00000035540.12 transcript:ENSMUST00000049209.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gc description:group specific component [Source:MGI Symbol;Acc:MGI:95669] MKRVLVLLLALAFGHALERGRDYEKDKVCNELAMLGKEDFRSLSLILYSRKFSSSTFEQV NQLVKEVVSLTEECCAEGADPTCYDTRTSELSVKSCESDAPFPVHPGTPECCTKEGLERK LCMAALSHQPQEFPTYVEPTNDEICEAFRRDPKGFADQFLYEYSSNYGQAPLPLLVAYTK NYLSMVGSCCTSANPTVCFVKERLQMKHLSLLTTMSNRVCSQYAAYGKEKSRLSHLIKLA QKVPTANLENVLPLAEDFTEILSRCCESTSEDCMASELPEHTIKICQNLSKKNSKFEECC QENTPMNIFMCTYFMPAAEPLQLPAIKLPTGKDLCGQSTTQAMDQYTFELSRRTQVPEVF LSKVLEPTLKTLRECCDTQDSVACFSTQSPLLKRQLTSFIEKGQEMCADYSENTFTEYKK KLAERLRTKTPNTSPAELKDMVEKHSDFASKCCSINSPPLYCSSQIDAEMIDTLQS >ENSMUSP00000143624.1 pep:known chromosome:GRCm38:5:89421885:89435628:-1 gene:ENSMUSG00000035540.12 transcript:ENSMUST00000200534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gc description:group specific component [Source:MGI Symbol;Acc:MGI:95669] XRTQVPEVFLSKVLEPTLKTLRECCDTQDSVACFSTQSPLLKRQLTSFIEKGQEMCADYS ENTFTEYKKKLAERLRTKTPNTSPAELKDMVEKHSDFASKCCSINSPPLYCSSQIDAEMI DTLQS >ENSMUSP00000094084.5 pep:known chromosome:GRCm38:15:78244801:78262580:1 gene:ENSMUSG00000071715.11 transcript:ENSMUST00000096357.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncf4 description:neutrophil cytosolic factor 4 [Source:MGI Symbol;Acc:MGI:109186] MALAQQLRSESDFEQLPDDVAVSANIADIEEKRGFTSHFVFVIEVKTKGGSKYLIYRRYR QFYALQSKLEERFGPESKNSPFTCSLPTLPAKVYMGAKQEIAETRIPALNAYMKNLLSLP VCVLMDPDVRIFFYQSAYDAEQVPQALRRLRPRTRKIKGVSPQGAIMDRMEAPRAEALFD FTGNSKLELSFKAGDVIFLLSKINKDWLEGTSQGATGIFPGSFVKILKDFPEDEDTTNWL RCYFYEDTGKTIKDIAVEEDLSSTPLFKDLLALMRREFQREDIALSYQDAEGDLVRLLSD EDVGLMVKQARGLPSQKRLFPWKLHVTQKDNYSVYNTVP >ENSMUSP00000121191.1 pep:known chromosome:GRCm38:15:78244844:78260994:1 gene:ENSMUSG00000071715.11 transcript:ENSMUST00000133618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncf4 description:neutrophil cytosolic factor 4 [Source:MGI Symbol;Acc:MGI:109186] MALAQQLRSESDFEQLPDDVAVSANIADIEEKRGFTSHFVFVIEVKTKGGSKYLIYRRYR QFYALQSKLEERFGPESKNSPFTCSLPTLPAKVYMGAKQEIAETRIPALNAYMKNLLSLP VCVLMDPDVRIFFYQSAYDAEQVPQALRRLRPRTRKIKGVSPQGAIMDRMEAPRAEALFD FTGNSKLELSFKAGDVIFLLSKINKDWLEGTSQGATGIFPGSFVKILKDFPEDEDTTNWL RCYFYEDTGKTIKTLRWRRT >ENSMUSP00000072868.6 pep:known chromosome:GRCm38:6:48062395:48086593:-1 gene:ENSMUSG00000057691.8 transcript:ENSMUST00000073124.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp746 description:zinc finger protein 746 [Source:MGI Symbol;Acc:MGI:1916478] MAEAAAAPISPWTMAATIQAMERKIESQAARLLSLEGRTGMAEKKLADCEKTAVEFSNQL EGKWAVLGTLLQEYGLLQRRLENVENLLRNRNFWILRLPPGSKGEVPKEWGKLEDWQKEL YKHVMRGNYETLVSLDYAISKPEVLSQIEQGKEPCTWRRTGPKVPEVPVDPSPGSGAPVP APDLLMQIKQEGELQLQEQQALGVEAWAAGQPDIGEEPWGLSQLDSGAGDISTDATSGVH SNFSTTIPPTSWQADLPPHHPSSACSDGTLKLNTAASTEADVKIVIKTEVQEEEVVATPV HPTDLEAHGTLFAPGQATRFFPSPVQEGAWESQGSSFPSQDPVLGLREPTRPERDIGELS PAIAQEEAPAGDWLFGGVRWGWNFRCKPPVGLNPRTVPEGLPFSSPDNGEAILDPSQAPR PFNDPCKYPGRTKGFGHKPGLKKHPAAPPGGRPFTCATCGKSFQLQVSLSAHQRSCGLSD GAATGAASTTTGGGGGGSGGGGGSSGGGSSARDSSALRCGECGRCFTRPAHLIRHRMLHT GERPFPCTECEKRFTERSKLIDHYRTHTGVRPFTCTVCGKSFIRKDHLRKHQRNHPAVAK APAHGQPLPPLPAPPDPFKSPAAKGPMASTDLVTDWTCGLSVLGPSDGGGDL >ENSMUSP00000145506.1 pep:known chromosome:GRCm38:6:48062395:48086593:-1 gene:ENSMUSG00000057691.8 transcript:ENSMUST00000203609.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp746 description:zinc finger protein 746 [Source:MGI Symbol;Acc:MGI:1916478] MAEAAAAPISPWTMAATIQAMERKIESQAARLLSLEGRTGMAEKKLADCEKTAVEFSNQL EGKWAVLGTLLQEYGLLQRRLENVENLLRNRNFWILRLPPGSKGEVPKEWGKLEDWQKEL YKHVMRGNYETLVSLDYAISKPEVLSQIEQGKEPCTWRRTGPKVPEVPVDPSPGSGAPVP APDLLMQIKQEGELQLQEQQALGVEAWAAGQPDIGEEPWGLSQLDSGAGDISTDATSGVH SNFSTTIPPTSWQADLPPHHPSSACSDGTLKLNTAASTEDVKIVIKTEVQEEEVVATPVH PTDLEAHGTLFAPGQATRFFPSPVQEGAWESQGSSFPSQDPVLGLREPTRPERDIGELSP AIAQEEAPAGDWLFGGVRWGWNFRCKPPVGLNPRTVPEGLPFSSPDNGEAILDPSQAPRP FNDPCKYPGRTKGFGHKPGLKKHPAAPPGGRPFTCATCGKSFQLQVSLSAHQRSCGLSDG AATGAASTTTGGGGGGSGGGGGSSGGGSSARDSSALRCGECGRCFTRPAHLIRHRMLHTG ERPFPCTECEKRFTERSKLIDHYRTHTGVRPFTCTVCGKSFIRKDHLRKHQRNHPAVAKA PAHGQPLPPLPAPPDPFKSPAAKGPMASTDLVTDWTCGLSVLGPSDGGGDL >ENSMUSP00000144746.1 pep:known chromosome:GRCm38:6:48064751:48067360:-1 gene:ENSMUSG00000057691.8 transcript:ENSMUST00000203033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp746 description:zinc finger protein 746 [Source:MGI Symbol;Acc:MGI:1916478] XSGAPVPAPDLLMQIKQEGELQLQEQQALGVEAWAAGQPDIGEEPWGLSQLDSGAGDIST DATSDVKIVIKTEVQEEEVVATPVHPTDLEAHGTLFAPGQATRFFPSPVQEGAWESQGSS FPSQDPVLGLR >ENSMUSP00000021926.5 pep:known chromosome:GRCm38:13:63815240:63853810:1 gene:ENSMUSG00000021470.17 transcript:ENSMUST00000021926.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc6l2 description:excision repair cross-complementing rodent repair deficiency, complementation group 6 like 2 [Source:MGI Symbol;Acc:MGI:1923501] MPGSRPTCRALLAAESGGAAGCLPLARWTSPPRSPAQTPAKVISFLAAVLHKKGTREDIE NNMPEFLLKSMKKKPPSTAKKMFLIVAPLSVLYNWKDELDTWGYFRVTVLHGSKKDNELL RLKQRKCEIALTTYETLRLCLEELNSLEWSAIIVDEAHRIKNPKARVTEVMKAVKCKVRI GLTGTVLQNNMKELWCVMDWAVPGLLGSRIHFKKQFSDPVEHGQRHTATKRELATGRKAM HRLAKKMSGWFLRRTKTLIKGQLPKKEDRMVYCSLTDFQKAVYQTVLETEDVALILTSSQ PCTCGSGQKRRKCCYKTNSRGDTVRTLCLSYLTVLQKVANHVALLQAASTSKHQETVIKR ICDRVFSRFPDFVQKSKDAAFETLSDPKYSGKMKVLQQLLNHFRKQRDKVLLFSFSTKLL DVLQQYCMASGLDYRRLDGSTKSEERLKIVKEFNSSQDVNICLVSTM >ENSMUSP00000093392.3 pep:known chromosome:GRCm38:13:63815302:63872590:1 gene:ENSMUSG00000021470.17 transcript:ENSMUST00000095724.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc6l2 description:excision repair cross-complementing rodent repair deficiency, complementation group 6 like 2 [Source:MGI Symbol;Acc:MGI:1923501] MGLGKTIQVISFLAAVLHKKGTREDIENNMPEFLLKSMKKKPPSTAKKMFLIVAPLSVLY NWKDELDTWGYFRVTVLHGSKKDNELLRLKQRKCEIALTTYETLRLCLEELNSLEWSAII VDEAHRIKNPKARVTEVMKAVKCKVRIGLTGTVLQNNMKELWCVMDWAVPGLLGSRIHFK KQFSDPVEHGQRHTATKRELATGRKAMHRLAKKMSGWFLRRTKTLIKGQLPKKEDRMVYC SLTDFQKAVYQTVLETEDVALILTSSQPCTCGSGQKRRKCCYKTNSRGDTVRTLCLSYLT VLQKVANHVALLQAASTSKHQETVIKRICDRVFSRFPDFVQKSKDAAFETLSDPKYSGKM KVLQQLLNHFRKQRDKVLLFSFSTKLLDVLQQYCMASGLDYRRLDGSTKSEERLKIVKEF NSSQDVNICLVSTMAGGLGLNFVGANVVILFDPTWNPANDLQAVDRAYRIGQCRDVKVLR LISLGTVEEIMYLRQVYKQQLHCVVVGSENAKRYFEAVQGSKEHRGELFGVHNLFKLRSQ GSCLTRDILEREGQVEAGIMTATTWLKGEPSAQELETPRDPDCQEPTDVCELYSDISDEE SVGHSLGKTDKHKFSDTSRTPGFPAQLTLLQCGFSKLFEAKYKSDQDGDGNPVPSDGSSD EQPMCLSAEARQAARQKTWDSVCTSEHQKSDNIQTPDEKCVSDKSEKTLEQNVSSESDDE TKDHRTAGHHCMGQGDTESEDSDVIFPTQYPTQRIPKNHIRFKLLLGESEDSEAENPVKV NHGDDRQNSGRGNGPVPNLLCLENMTSKSVRKRKGTDDISDESDDIDMFPKSRIRKQRAT TS >ENSMUSP00000123573.1 pep:known chromosome:GRCm38:13:63815334:63853775:1 gene:ENSMUSG00000021470.17 transcript:ENSMUST00000143449.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc6l2 description:excision repair cross-complementing rodent repair deficiency, complementation group 6 like 2 [Source:MGI Symbol;Acc:MGI:1923501] MDISAPQSRADSRKDRWCPGERCLAPSLDNKKLCEASIKSITVDGNGKPFAVVLYPDFQE KTIPLQRLQEVKSTKDYSRSLIFDDKDLEKPYFPDRKIPSLASAFQLSEDGDSIPYTINR YLRDYQREGAQFLYRHYIEGRGCILGDDMGLGKTIQVISFLAAVLHKKGTREDIENNMPE FLLKSMKKKPPSTAKKMFLIVAPLSVLYNWKDELDTWGYFRVTVLHGSKKDNELLRLKQR KCEIALTTYETLRLCLEELNSLEWSAIIVDEAHRIKNPKARVTEVMKAVKCKVRIGLTGT VLQNNMKELWCVMDWAVPGLLGSRIHFKKQFSDPVEHGQRHTATKRELATGRKAMHRLAK KMSGWFLRRTKTLIKGQLPKKEDRMVYCSLTDFQKAVYQTVLETEDVALILTSSQPCTCG SGQKRRKCCYKTNSRGDTVRTLCLSYLTVLQKVANHVALLQAASTSKHQETVIKRICDRV FSRFPDFVQKSKDAAFETLSDPKYSGKMKVLQQLLNHFRKQRDKVLLFSFSTKLLDVLQQ YCMASGLDYRRLDGSTKSEERLKIVKEFNSSQDVNICLVSTM >ENSMUSP00000124912.1 pep:known chromosome:GRCm38:13:63819629:63869280:1 gene:ENSMUSG00000021470.17 transcript:ENSMUST00000159957.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc6l2 description:excision repair cross-complementing rodent repair deficiency, complementation group 6 like 2 [Source:MGI Symbol;Acc:MGI:1923501] XDRWCPGERCLAPSLDNKKLCEASIKSITVDGNGKPFAVVLYPDFQEKTIPLQRLQEVKS TKDYSRSLIFDDKDLEKPYFPDRKIPSLASAFQLSEDGDSIPYTINRYLRDYQREGAQFL YRHYIEGRGCILGDDMGLGKTIQVISFLAAVLHKKGTREDIENNMPEFLLKSMKKKPPST AKKFGMVSYYCR >ENSMUSP00000021925.6 pep:known chromosome:GRCm38:13:63819631:63858326:1 gene:ENSMUSG00000021470.17 transcript:ENSMUST00000021925.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc6l2 description:excision repair cross-complementing rodent repair deficiency, complementation group 6 like 2 [Source:MGI Symbol;Acc:MGI:1923501] XRWCPGERCLAPSLDNKKLCEASIKSITVDGNGKPFAVVLYPDFQEKTIPLQRLQEVKST KDYSRSLIFDDKDLEKPYFPDRKIPSLASAFQLSEDGDSIPYTINRYLRDYQREGAQFLY RHYIEGRGCILGDDMGLGKTIQVISFLAAVLHKKGTREDIENNMPEFLLKSMKKKPPSTA KKMFLIVAPLSVLYNWKDELDTWGYFRVTVLHGSKKDNELLRLKQRKCEIALTTYETLRL CLEELNSLEWSAIIVDEAHRIKNPKARVTEVMKAVKCKVRIGLTGTVLQNNMKELWCVMD WAVPGLLGSRIHFKKQFSDPVEHGQRHTATKRELATGRKAMHRLAKKMSGWFLRRTKTLI KGQLPKKEDRMVYCSLTDFQKAVYQTVLETEDVALILTSSQPCTCGSGQKRRKCCYKTNS RGDTVRTLCLSYLTVLQKVANHVALLQAASTSKHQETVIKRICDRVFSRFPDFVQKSKDA AFETLSDPKYSGKMKLLDVLQQYCMASGLDYRRLDGSTKSEERLKIVKEFNSSQDVNICL VSTMAGGLGLNFVGANVVILFDPTWNPANDLQAVD >ENSMUSP00000122742.1 pep:known chromosome:GRCm38:13:63819631:63869655:1 gene:ENSMUSG00000021470.17 transcript:ENSMUST00000146190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc6l2 description:excision repair cross-complementing rodent repair deficiency, complementation group 6 like 2 [Source:MGI Symbol;Acc:MGI:1923501] XRWCPGERCLAPSLDNKKLCEASIKSITVDGNGKPFAVVLYPDFQEKTIPLQRLQEVKST KDYSRSLIFDDKDLEKPYFPDRKIPSLASAFQLSEDGDSIPYTINRYLRDYQREGAQFLY RHYIEGRGCILGDDMGLGKTIQVISFLAAVLHKKGTREDIENNMPEFLLKSMKKKPPSTA KKMFLIVAPLSVLYNWKDELDTWGYFRVTVLHGSKKDNELLRLKQRKCEIALTTYETLRL CLEELNSLEWSAIIVDEAHRIKNPKARVTEVMKAVKCKVRIGLTGTVLQNNMKELWCVMD WAVPGLLGSRIHFKKQFSDPVEHGQRHTATKRELATGRKAMHRLAKKMSGWFLRRTKTLI KGQLPKKEDRMVYCSLTDFQKAVYQTVLETEDVALILTSSQPCTCGSGQKRRKCCYKTNS RGDTVRTLCLSYLTVLQKVANHVALLQAASTSKHQETVIKRICDRVFSRFPDFVQKSKDA AFETLSDPKYSGKMKVLQQLLNHFRKQRDKVLLFSFSTKLLDVLQQYCMASGLDYRRLDG STKSEERLKIVKEFNSSQDVNICLVSTMAGGLGLNFVGANVVILFDPTWNPANDLQAVDR AYRIGQCRDVKVLRLISLGTVEEIMYLRQVYKQQLHCVVVGSENAKRYFEAVQGSKEHRG ELFGVHNLFKLRSQGSCLTRDILEV >ENSMUSP00000115796.1 pep:known chromosome:GRCm38:13:63819954:63841995:1 gene:ENSMUSG00000021470.17 transcript:ENSMUST00000142827.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc6l2 description:excision repair cross-complementing rodent repair deficiency, complementation group 6 like 2 [Source:MGI Symbol;Acc:MGI:1923501] RDYQREGAQFLYRHYIEGRGCILGDDMGLGKTIQVISFLAAVLHKKGTREDIENNMPEFL LKSMKKKPPSTAKKMFLIVAPLSVLYNWKDELDTWGYFRVTVLHGSKKDNELLRLKQRKC EIALTTYETLRLCLEELNRLAPVLDIYPGFGS >ENSMUSP00000125036.1 pep:known chromosome:GRCm38:13:63872455:63900302:1 gene:ENSMUSG00000021470.17 transcript:ENSMUST00000162086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc6l2 description:excision repair cross-complementing rodent repair deficiency, complementation group 6 like 2 [Source:MGI Symbol;Acc:MGI:1923501] XNLLCLENMTSKSVRKRKGTDDISDESDDIDMFPKSRIRKQRATTSLKFKRKKENKRKLD NSPVTAKEANQVCAADGDRSSQVIEDFSSSDDNLSLSHLSFTKLSHRAETVKDKISLSPK LPGPDKKNNTFISRKPPSFLNEGVISQEQICNSMDKILDGVQEVAYIHSNQNVIGSSRAE NHMSRWATRDVFELKQFSQLPANVAVCSSKTYKTQVKANIVSPTEKDQPPSDGGISSPLY VSHPVVQKKKDVYRTNHTTFIIGETPRGIRRKQFEEMASYYKLPVKEFAEQVTRATSEER QKMLRDFYSLQHPEVKEFFVNSASELIKSVHKKEERVRNKSKEKESLLKENPSNDSTLSC YDSTNKMSQVYNRKICEGKSVRSQNHVFHREDTFSSDAEINKSPVSFTEELHSERKDHTP KDTTTVFCPNSNSEALEAELGNSPGRQWDLTGACGSRNRPLFKLRNKRVENPGSENTPED GLLGDTSILNDLFKSHGEGPTQLPKNVLSGPVAKAKQKPKDFWDILNEQNDDSLSKLTDL AVIETLCTKAPSTSASKRKDELEASLWKANEKFLWKTLSSDVDDESISNTERE >ENSMUSP00000069488.5 pep:known chromosome:GRCm38:13:63815334:63869634:1 gene:ENSMUSG00000021470.17 transcript:ENSMUST00000067821.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc6l2 description:excision repair cross-complementing rodent repair deficiency, complementation group 6 like 2 [Source:MGI Symbol;Acc:MGI:1923501] MDISAPQSRADSRKDRWCPGERCLAPSLDNKKLCEASIKSITVDGNGKPFAVVLYPDFQE KTIPLQRLQEVKSTKDYSRSLIFDDKDLEKPYFPDRKIPSLASAFQLSEDGDSIPYTINR YLRDYQREGAQFLYRHYIEGRGCILGDDMGLGKTIQVISFLAAVLHKKGTREDIENNMPE FLLKSMKKKPPSTAKKMFLIVAPLSVLYNWKDELDTWGYFRVTVLHGSKKDNELLRLKQR KCEIALTTYETLRLCLEELNSLEWSAIIVDEAHRIKNPKARVTEVMKAVKCKVRIGLTGT VLQNNMKELWCVMDWAVPGLLGSRIHFKKQFSDPVEHGQRHTATKRELATGRKAMHRLAK KMSGWFLRRTKTLIKGQLPKKEDRMVYCSLTDFQKAVYQTVLETEDVALILTSSQPCTCG SGQKRRKCCYKTNSRGDTVRTLCLSYLTVLQKVANHVALLQAASTSKHQETVIKRICDRV FSRFPDFVQKSKDAAFETLSDPKYSGKMKVLQQLLNHFRKQRDKVLLFSFSTKLLDVLQQ YCMASGLDYRRLDGSTKSEERLKIVKEFNSSQDVNICLVSTMAGGLGLNFVGANVVILFD PTWNPANDLQAVDRAYRIGQCRDVKVLRLISLGTVEEIMYLRQVYKQQLHCVVVGSENAK RYFEAVQGSKEHRGELFGVHNLFKLRSQGSCLTRDILEV >ENSMUSP00000094997.3 pep:known chromosome:GRCm38:17:13948373:13950678:1 gene:ENSMUSG00000067941.5 transcript:ENSMUST00000088809.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7168 description:predicted gene 7168 [Source:MGI Symbol;Acc:MGI:3643198] MPAHIEEELPPPSPQPSNPEDGELYSQYKVVRTLGHGTYAKVLLAKHWLTGTPVAVKVLL KNKPCFQPAMKEANIMKKIKHPNIVSLLQVFETKTRGYLIMELVEGQELYEYIKSSGHIE EDEARQIFLQILSAVSYCHGLGIVHRDLKPDNIMIDDKGSIKIIDFGLSTQVKPGDLLDE HCGAYAFGAPELFLWKSYDGTKSDLWALGVILYYMVVGKVPFDSYIIPELQRQILAGVYP APCGVSNELKDLLSLLMTVNPKYRPTVTEVMKHPWLRGHCKGLTNIHEEPVPVRPDPDIV DAMQYIGFQAKDIRESLTKEKFNEMSAAYYLLEEQALQREVRSTQAPTVSQVKAPFPSMD AGEASCLKIKRSGSASILGRSVWPPSIDQEPAYVQKVRQRAGRRSSGHGLLFEPNQMTPT QDQHHIRAMSVPCMLSTSSISEESVSEKREENLSHIALAEDKPIRSRGWCRGIMRWTRRV GNAIRTLCCCIPSRKTPQLGQSRVSPQK >ENSMUSP00000141418.1 pep:known chromosome:GRCm38:Y:22835086:22861256:-1 gene:ENSMUSG00000103919.1 transcript:ENSMUST00000192892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21366 description:predicted gene, 21366 [Source:MGI Symbol;Acc:MGI:5434721] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000140965.1 pep:known chromosome:GRCm38:17:14000239:14001765:-1 gene:ENSMUSG00000101361.1 transcript:ENSMUST00000186636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7356 description:predicted gene 7356 [Source:MGI Symbol;Acc:MGI:3646178] MPAHIEEELPPPSPQPSNPEDRELYSQYKVVRTLGHGTYAKVLLAQHRLTGTPVAVKVLL KNKPCFQPAMKEANIMKKIKHPNIVSLLQVIETKTRGYLIMELVEGQELYEYIKNSGHIE EDEARQIFLQILSAVGYCHGSGIVHRDLKPDNIMIDSKGSIKIIDFGLSTQVKPGQLLHE HCGAYAFGAPELFLWKSYDGTKSDLWALGVILYYMVVGNVPFDSFIIPELQMQILAGVYP APCGVSNELKDLLSLLMTVNPKYRPTVTEVMKHPWLKGYCKGLTNIHEEPVPVRPDPDIV DAMQYIGFQAKDIRESLSKEKFNEMSAAYYLLEEQALQREVRSTQAPTVSQVKAPFPSMD AGATSCLKIKRSGSAPILGRSVWPSSIGQVPAYGQKVRQRAGRRSTGHGLVFRPNQMTPT QDQHHIRAMSVPCMLSTSSISEESVSEKREENLSNSAPAEDKPIRSRGWRRGIMRWTRRV GNAIRTLCCCIPSRKTPRLGQRRVSPQK >ENSMUSP00000048576.1 pep:known chromosome:GRCm38:10:79970715:79977190:1 gene:ENSMUSG00000035745.8 transcript:ENSMUST00000045085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin3b description:glutamate receptor, ionotropic, NMDA3B [Source:MGI Symbol;Acc:MGI:2150393] MECVQTLWLSLALALARGSWVVRGHPQPCGVPTRAGASVRLAALLPRAPAARARVLAALA TPSPRLPHNLSLELVAVASPTRDPASLARGLCQVLAPPGVVASITFPEARPELRLLQFLA AATETPVLSVLRREVRAPLGAPTPFHLQLDWASPLETILDVLVSLVRAHAWEDIALVLCR VRDPSGLVTLWTSRASQAPKFVLDLSQLDSGNDSLRATLALLGTLEGGGTPVSAAVLLGC STAHAHEVLEAAPPGPQWLLGTPLPAEALPKTGLPPGVLVLGETGQPSLEAAVHDMVELV ARALSSMALMHPERALLPAAVNCEDLKTGGSESTARTLARFLSNTSFQGRTGAVWVAGSS QVHVSRHFKVWSLRRDPLGAPAWATVGSWQDGQLDFQPGAAALRVPSPSGTQARPKLRVV TLVEHPFVFTRESDEDGQCPAGQLCLDPGTNDSARLDALFTALENGSVPRTLRRCCYGYC IDLLERLAEDLAFDFELYIVGDGKYGALRDGRWTGLVGDLLAGRAHMAVTSFSINSARSQ VVDFTSPFFSTSLGIMVRTRDTASPIGAFMWPLHWSMWVGVFAALHLTALFLTLYEWRSP YGLTPRGRNRGTVFSYSSALNLCYAILFGRTVSSKTPKCPTGRFLMNLWAIFCLLVLSSY TANLAAVMVGDKTFEELSGIHDPKLHHPSQGFRFGTVWESSAEAYIKASFPEMHAHMRRH SAPTTPHGVAMLTSDPPKLNAFIMDKSLLDYEVSIDADCKLLTVGKPFAIEGYGIGLPQN SPLTSNLSEFISRYKSSGFIDLLHDKWYKMVPCGKRVFAVTETLQMGVYHLSGLFVLLCL GLGSALLTSLGEHVFYRLVLPRIRRGNKLQYWLHTSQKIHRALNTGPPEGQQERAEQECS GPKEEQPAADGAGRWRRVRRAVVERERRVRFLLEPGEAGGDHPWLCSNGPGVQAELRELE LRIEAARERLRSALLRRGELRAQLGDGTRLRPLRLLHAAPAES >ENSMUSP00000116887.1 pep:known chromosome:GRCm38:10:79973737:79976715:1 gene:ENSMUSG00000035745.8 transcript:ENSMUST00000149148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin3b description:glutamate receptor, ionotropic, NMDA3B [Source:MGI Symbol;Acc:MGI:2150393] XSQVHVSRHFKVWSLRRDPLGAPAWATVGSWQDGQLDFQPGAAALRVPSPSGTQARPKLR VVTLVEHPFVFTRESDEDGQCPAGQLCLDPGTNDSARLDALFTALENGSVPRTLRRCCYG YCIDLLERLAEDLAFDFELYIVGDGKYGALRDGRWTGLVGDLLAGRAHMAVTSFSINSAR SQVVDFTSPFFSTSLGIMVRTRDTASPIGAFMWPLHWSMWVGVFAALHLTALFLTLYEWR SPYGLTPRGRNRGTVFSYSSALNLCYAILFGRTVSSKTPKCPTGRFLMNLWAIFCLLVLS SYTANLAAVMVGDKTFEELSGIHDPKLHHPSQGFRFGTVWESSAEAYIKASFPEMHAHMR RHSAPTTPHGVAMLTSDPPKLNAFIMDKSLLDYEVSIDADCKLLTVGKPFAIEGYGIGLP QNSPLTSNLSEFISRYKSSGFIDLLHDKWYKMVPCGKRVFAVTETLQMGVYHLSGLFVLL CLGLGSALLTSLGEHVFYRLVLPRIRRGNKLQYWLHTSQKIHRALNTGPPEGQQERAEQE CR >ENSMUSP00000122984.1 pep:known chromosome:GRCm38:10:79974409:79977124:1 gene:ENSMUSG00000035745.8 transcript:ENSMUST00000131816.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin3b description:glutamate receptor, ionotropic, NMDA3B [Source:MGI Symbol;Acc:MGI:2150393] XALHLTALFLTLYEWRSPYGLTPRGRNRGTVFSYSSALNLCYAILFGRTVSSKTPKCPTG RFLMNLWAIFCLLVLSSYTANLAAVMVGDKTFEELSGIHDPKLHHPSQGFRFGTVWESSA EAYIKASFPEMHAHMRRHSAPTTPHGVAMLTSDPPKLNAFIMDKSLLDYEVSIDADCKLL TVGKPFAIEGYGIGLPQNSPLTSNLSEFISRRCRWGSTTCQGCLSCCASGWAVHFSPRWV STSSTAWCCRASAGAISCSIGFTRARRSTEPSTQGHQRGNRRGQSRSAAAPRRSNLQPTV RGAGGGCAGPWWNGNGACVSCWNLGRLAGTIRGSAPMGPGCKQNCGSWSCALRLHGSGCV VRCCGEGNCGPSLGMAPGSGHCACCMRRPPRA >ENSMUSP00000110373.2 pep:known chromosome:GRCm38:X:57409154:57488767:1 gene:ENSMUSG00000079584.2 transcript:ENSMUST00000114725.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm364 description:predicted gene 364 [Source:MGI Symbol;Acc:MGI:2685210] MVVPEAGFKMGLSGRRVETKLCPGLHGLKWWLLLCFLATTCDAFFFPGLVPVTYCEEGHP NSYCKSSIQVYADKLYSVDSVMFYDYDSFDFCQDSLKRTPSETLGQILFGEQVTSCPYKF SFNKDETCRKVCVKSYAPDNEDDMNKLAFLKKGIKQDYRHHWIVDNTGIIWCYDTENEEH HCMSGFPIGCFNAPSDQVKGSCLINPEFNKNNSLYLFNHLDITITYHIESDTTVKVAKLI SSRVDPKSYKHLDEDHLTCNEPPMEIPEEDTENLNVIYTYSVKFEESKATEWSSEGDYDL DSTAETSLQWIRLVNSFFVVLFLCGLVVILLLRSIWRDIAKFNRIKISVYDRRLFGWRLI HGNLFRLPEHGMLLSILLGQGTQVFIMTFLSLFLAGLGFLTPADQNVLVNYGVVLWLALE IPAGYMSAKMYKTFKGINWKMHFLLTTVLFPGIVFADIFIMNLILWMDGSPAAISFCTLA SLFALYFGVSTPLTFLGVYFGKREKFEFPVYAPKHEHGSPQRTFFPKSTITIILGSLLPF GCIFLQLSYILNRIWSPHMYYLFAFLLLLFLIFMISCSEVTVLLCYFRLCAEDRGWWWRA FLTSSFTSAYIFIYVIHYFFTKLQVTSIGSTFMYFGYAFILVLAFFLFTGTIGFFSCFFF VTTIYGVIKED >ENSMUSP00000105338.2 pep:known chromosome:GRCm38:2:154544399:154558851:-1 gene:ENSMUSG00000027489.15 transcript:ENSMUST00000109716.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Necab3 description:N-terminal EF-hand calcium binding protein 3 [Source:MGI Symbol;Acc:MGI:1861721] MACAGLLTVCLLGPPAPQQPRHSAPAAGHALFQDVFRRADKNDDGKLSFEEFQNYFADGV LSSAELRELFSGIDDHLTDNLETEKLCDYFSTHLGVYRPVLAALESLNRAVLTAMDTTKL EYEQASKVDQFVTRFLLRETVNQLQALQTSLEGASDTLEAQAHGQRLDEETIKAQSRPCG SRRAGRRALRSISWSPSWSPGSSDTGRSSEAEQQWRLQVNRLQELIDQLECKRQVQVAED ALQDFHRALCCYMNFTGAQSHCLHVSAQKMLDNAAFTLYEFWQDEASWRRHQQSPCSKAF QRTLIDHLQAPDTLTTVFFPASWWIMNNN >ENSMUSP00000000895.6 pep:known chromosome:GRCm38:2:154544399:154558866:-1 gene:ENSMUSG00000027489.15 transcript:ENSMUST00000000895.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Necab3 description:N-terminal EF-hand calcium binding protein 3 [Source:MGI Symbol;Acc:MGI:1861721] MACAGLLTVCLLGPPAPQQPRHSAPAAGHALFQDVFRRADKNDDGKLSFEEFQNYFADGV LSSAELRELFSGIDDHLTDNLETEKLCDYFSTHLGVYRPVLAALESLNRAVLTAMDTTKL EYEQASKVDQFVTRFLLRETVNQLQALQTSLEGASDTLEAQAHGQRLDEETIKAQSRPCG SRRAGRRALRSISWSPSWSPGSSDTGRSSEAEQQWRLQVNRLQELIDQLECKAPRLEPTH EEDLTKGFDSHILVAQRQVQVAEDALQDFHRALCCYMNFTGAQSHCLHVSAQKMLDNAAF TLYEFWQDEASWRRHQQSPCSKAFQRTLIDHLQAPDTLTTVFFPASWWIMNNN >ENSMUSP00000117090.1 pep:known chromosome:GRCm38:2:154547046:154558890:-1 gene:ENSMUSG00000027489.15 transcript:ENSMUST00000125793.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Necab3 description:N-terminal EF-hand calcium binding protein 3 [Source:MGI Symbol;Acc:MGI:1861721] MACAGLLTVCLLGPPAPQQPRHSAPAAGHALFQDVFRRADKNGECNLETEKLCDYFSTHL GVYRPVLAALESLNRAVLTAMDTTKLEYEQASKVDQFVTRFLLRETVNQLQALQTSLEGA SDTLEAQAHGQRLDEETIKAQSRPCGSRRAGRRALRSISWSPSWSPGS >ENSMUSP00000075692.6 pep:known chromosome:GRCm38:X:10485158:10596605:1 gene:ENSMUSG00000058254.12 transcript:ENSMUST00000076354.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan7 description:tetraspanin 7 [Source:MGI Symbol;Acc:MGI:1298407] MASRRMETKPVITCLKTLLIIYSFVFWITGVILLAVGVWGKLTLGTYISLIAENSTNAPY VLIGTGTTIVVFGLFGCFATCRGSPWMLKLYAMFLSLVFLAELVAGISGFVFRHEIKDTF LRTYTDAMQNYNGNDERSRAVDHVQRSLSCCGVQNYTNWSSSPYFLDHGIPPSCCMNETD CNPLDLHNLTVAATKVNQKGCYDLVTSFMETNMGIIAGVAFGIAFSQLIGMLLACCLSRF ITANQYEMV >ENSMUSP00000111188.1 pep:known chromosome:GRCm38:X:10485158:10596605:1 gene:ENSMUSG00000058254.12 transcript:ENSMUST00000115526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan7 description:tetraspanin 7 [Source:MGI Symbol;Acc:MGI:1298407] MASRRMETKPVITCLKTLLIIYSFVFWITGVILLAVGVWGKLTLGTYISLIAENSTNAPY VLIGTGTTIVVFGLFGCFATCRGSPWMLKLYAMFLSLVFLAELVAGISGFVFRHEIKDTF LRTYTDAMQNYNGNDERSRAVDHVQRSLSCCGVQNYTNWSSSPYFLDHGIPPSCCMNETD CNPLDLHNLTVAATKVNQKGCYDLVTSFMETNMGIIAGVAFGIAFSQVLK >ENSMUSP00000143873.1 pep:known chromosome:GRCm38:5:117976730:118010201:1 gene:ENSMUSG00000032898.9 transcript:ENSMUST00000202447.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo21 description:F-box protein 21 [Source:MGI Symbol;Acc:MGI:1924223] MASVAGDSAMEVVPALAEEAAAEATGPSCLVQLPGEVLEYILCSGSLTALDIGRVSSTCR RLREVCQSSGQVWKEQFRVRWPSLMKHYSPTDYVNWLEEYKVRQKAGLEARKIVASFSKR FFSEHVPCNGFSDIENLEGPEIFFEDELVCILNMEGRKALTWKYYAKKILYYLRQQKILN NLKAFLQQPDDYESYLEGAVYIDQYCNPLSDISFRDIQAQIHSIVELVCKTLRGINSRHP SLTFRAGESSMIMEIELQSQVLDAINYVLYDQLKFKGNRMDYYNALNLYMHQVLTRRTGI PISMSLLYLTVARQLGVPLEPVNFPSHFLLRWCQGAEGATLDIFDYIYIDAFGKGKQLTV KECEYLIGQHVTAALYGVVNVKKVLQRMVGNLLSLGKREGIDQSYQLLRDSLDLYLAMYP DQVQLLLLQARLYFHLGIWPEKSFCLVLKVLDILQHIQTLDPGQHGAVGYLVQHTLEHIE RKKEEVGVEVKLRSEEKHRDVCYSIGLVMKHKRYGYNCVIYGWDPTCMMGHEWIRNMNVH SLPHGHHQPFYNVLVEDGSCRYAAQENLEYNVEPQEISHPDVGRYFSEFTGTHYIPNAEL EIRYPEDLEFVYETVQNIYSAKEDTAE >ENSMUSP00000035506.7 pep:known chromosome:GRCm38:5:117976784:118010200:1 gene:ENSMUSG00000032898.9 transcript:ENSMUST00000035579.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo21 description:F-box protein 21 [Source:MGI Symbol;Acc:MGI:1924223] MASVAGDSAMEVVPALAEEAAAEATGPSCLVQLPGEVLEYILCSGSLTALDIGRVSSTCR RLREVCQSSGQVWKEQFRVRWPSLMKHYSPTDYVNWLEEYKVRQKAGLEARKIVASFSKR FFSEHVPCNGFSDIENLEGPEIFFEDELVCILNMEGRKALTWKYYAKKILYYLRQQKILN NLKAFLQQPDDYESYLEGAVYIDQYCNPLSDISFRDIQAQIHSIVELVCKTLRGINSRHP SLTFRAGESSMIMEIELQSQVLDAINYVLYDQLKFKGNRMDYYNALNLYMHQVLTRRTGI PISMSLLYLTVARQLGVPLEPVNFPSHFLLRWCQGAEGATLDIFDYIYIDAFGKGKQLTV KECEYLIGQHVTAALYGVVNVKKVLQRMVGNLLSLGKREGIDQSYQLLRDSLDLYLAMYP DQVQLLLLQARLYFHLGIWPEKVLDILQHIQTLDPGQHGAVGYLVQHTLEHIERKKEEVG VEVKLRSEEKHRDVCYSIGLVMKHKRYGYNCVIYGWDPTCMMGHEWIRNMNVHSLPHGHH QPFYNVLVEDGSCRYAAQENLEYNVEPQEISHPDVGRYFSEFTGTHYIPNAELEIRYPED LEFVYETVQNIYSAKEDTAE >ENSMUSP00000144071.1 pep:known chromosome:GRCm38:5:117976971:118008562:1 gene:ENSMUSG00000032898.9 transcript:ENSMUST00000201611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo21 description:F-box protein 21 [Source:MGI Symbol;Acc:MGI:1924223] XTCRRLREVCQSSGQVWKEQFRVRWPSLMKHYSPTDYVNWLEEYKVRQKAGLEARKIVAS FSKRFFSEHVPCNGFSDIENLEGPEIFFEDELVCILNMEGRKALTWKYYAKKILYYLRQQ KILNNLKAFLQQPDDYESYLEGAVYIDQYCNPLSDISFRDIQAQIHSIVELVCKTLRGIN SRHPSLTFRAGESSMIMEIELQSQVLDAINYVLYDQLKFKGNRMDYYNALNLYMHQVLTR RTGIPISMSLLYLTVARQLGVPLEPVNFPSHFLLRWCQGAEGEGIDQSYQLLRDSLDLYL AMYPDQVQLLLLQARLYFHLGIWPEKVLDILQHIQTLDPGQHGAVGYLVQHTLEHIERKK EEVGVEVKLRSEEKHRDVCYSIGLVMKHKRYGYNCVIYGWDPTCMMGHEWIRNMNVHSLP HGHHQPFYNVLVEDGSCRYAAQENLEYNVEPQEISHPDVGRYFSEFTGTHYIPNAELEIR YPEDLEFVYETVQNIYSAKEDTAE >ENSMUSP00000123244.1 pep:known chromosome:GRCm38:11:98863638:98892299:1 gene:ENSMUSG00000038013.14 transcript:ENSMUST00000142414.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf2 description:WAS/WASL interacting protein family, member 2 [Source:MGI Symbol;Acc:MGI:1924462] MPIPPPPPPPPGPPPPPTFNQANTEQPKLSRDEQRNRGALLQDICKGTKLKKVTNVNDRS APVIEKPRGSSGGYGPGAAALQPKGGLFQGGVPKLRPVGAKDASEAPAGKPALQVPSSRA AAPRPPGSAASGRPHDDTDSNRASLPELPRMQRPSLPDLSRPNTASGTGMKHSSSAPPPP PPG >ENSMUSP00000046991.8 pep:known chromosome:GRCm38:11:98863638:98905040:1 gene:ENSMUSG00000038013.14 transcript:ENSMUST00000037480.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf2 description:WAS/WASL interacting protein family, member 2 [Source:MGI Symbol;Acc:MGI:1924462] MPIPPPPPPPPGPPPPPTFNQANTEQPKLSRDEQRNRGALLQDICKGTKLKKVTNVNDRS APVIEKPRGSSGGYGPGAAALQPKGGLFQGGVPKLRPVGAKDASEAPAGKPALQVPSSRA AAPRPPGSAASGRPHDDTDSNRASLPELPRMQRPSLPDLSRPNTASGTGMKHSSSAPPPP PPGRRANAPPTPLPLHSNKAQAYNREKPLPPTPGQRLHPGREGHPAPPPVKPPPSPVNIR TGPSGQSLAPPPPPYRQPPGVPNGPSSPTNESAPELPQRHNSLHRKTPGPVRGLAPPPPT SATPSLLSNRPPPPAREPPSRGAAPPPPPPMIRNGARDAPPPPPPYRMHGSEPPSRGKPP PPPSRTPAGPPPPPPPPLRNGHRDSITTVRSFLDDFESKYSFHPVEDFPAPEEYKHLQRV YPSKTNRAARGAPPLPPILR >ENSMUSP00000042852.5 pep:known chromosome:GRCm38:5:67260565:67291461:1 gene:ENSMUSG00000037720.16 transcript:ENSMUST00000037918.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem33 description:transmembrane protein 33 [Source:MGI Symbol;Acc:MGI:1915128] MADTTPNGPQGAGAVQFMMTNKLDTAMWLSRLFTVYCSALFVLPLLGLHEAASFYQRALL ANALTSALRLHQRLPHFQLSRAFLAQALLEDSCHYLLYSLIFVNSYPVTMSIFPVLLFSL LHAATYTKKVLDAKGSNSLPLLRSFLDKLSTNQQNILKFIACNEIFLMPATVFMLFSGQG SLLQPFIYYRFLTLRYSSRRNPYCRNLFNELRIVVEHIIMKPSCPLFVRRLCLQSIAFIS RLAPTVA >ENSMUSP00000124765.1 pep:known chromosome:GRCm38:5:67260651:67264612:1 gene:ENSMUSG00000037720.16 transcript:ENSMUST00000162543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem33 description:transmembrane protein 33 [Source:MGI Symbol;Acc:MGI:1915128] MADTTPNGPQGAGAVQFMMTNKLDTAMWLSRLFTVYCSALFVLPLLGLHEAASFYQRALL ANALTSALRLHQRLPHFQLSRAFLAQALLEDSCHYLLYSLIFVNSYPVTSILSLLGQWSF PVGRIQ >ENSMUSP00000124623.1 pep:known chromosome:GRCm38:5:67260696:67268568:1 gene:ENSMUSG00000037720.16 transcript:ENSMUST00000161233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem33 description:transmembrane protein 33 [Source:MGI Symbol;Acc:MGI:1915128] MSIFPVLLFSLLHAATYTKKVLDAKGSNSLPLLRSFLDKLST >ENSMUSP00000144531.1 pep:known chromosome:GRCm38:5:67260696:67286659:1 gene:ENSMUSG00000037720.16 transcript:ENSMUST00000201979.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem33 description:transmembrane protein 33 [Source:MGI Symbol;Acc:MGI:1915128] MADTTPNGPQGAGAVQFMMTNKLDTAMWLSRLFTVYCSALFVLPLLGEYFSSLVIFFAPC CHIH >ENSMUSP00000124766.1 pep:known chromosome:GRCm38:5:67260697:67291461:1 gene:ENSMUSG00000037720.16 transcript:ENSMUST00000160352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem33 description:transmembrane protein 33 [Source:MGI Symbol;Acc:MGI:1915128] MADTTPNGPQGAGAVQFMMTNKLDTAMWLSRLFTVYCSALFVLPLLGLHEAASFYQRALL ANALTSALRLHQRLPHFQLSRAFLAQALLEDSCHYLLYSLIFVNSYPVTMSIFPVLLFSL LHAATYTKKVLDAKGSNSLPLLRSFLDKLSTNQQNILKFIACNEIFLMPATVFMLFSGQG SLLQPFIYYRFLTLRYSSRRNPYCRNLFNELRIVVEHIIMKPSCPLFVRRLCLQSIAFIS RLAPTA >ENSMUSP00000123834.1 pep:known chromosome:GRCm38:5:67260704:67264279:1 gene:ENSMUSG00000037720.16 transcript:ENSMUST00000162074.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem33 description:transmembrane protein 33 [Source:MGI Symbol;Acc:MGI:1915128] MADTTPNGPQGAGAVGVSCVEVGGQRILISSLHLRWSQGSHLGHQPWEHVPLLLGRLDGP TNGL >ENSMUSP00000124390.1 pep:known chromosome:GRCm38:5:67260805:67291461:1 gene:ENSMUSG00000037720.16 transcript:ENSMUST00000161369.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem33 description:transmembrane protein 33 [Source:MGI Symbol;Acc:MGI:1915128] MADTTPNGPQGAGAVQFMMTNKLDTAMWLSRLFTVYCSALFVLPLLGLHEAASFYQRALL ANALTSALRLHQRLPHFQLSRAFLAQALLEDSCHYLLYSLIFVNSYPVTMSIFPVLLFSL LHAATYTKKVLDAKGSNSLPLLRSFLDKLSTNQQNILKFIACNEIFLMPATVFMLFSGQG SLLQPFIYYRFLTLRYSSRRNPYCRNLFNELRIVVEHIIMKPSCPLFVRRLCLQSIAFIS RLAPTVA >ENSMUSP00000067580.5 pep:known chromosome:GRCm38:5:130378851:130384631:-1 gene:ENSMUSG00000052014.6 transcript:ENSMUST00000063656.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A330070K13Rik description:RIKEN cDNA A330070K13 gene [Source:MGI Symbol;Acc:MGI:2685897] MEPGGQEMGLCLCRVSWDLRLGNWTTVPSTAHAKRKRAGLPHVRENCTSEPLRVECQPLK DPWVGTLLRGLTTPPSPSWASSCHHLGTTDSSTRKPPDLQPQTRAASLVQPIGSW >ENSMUSP00000104481.1 pep:known chromosome:GRCm38:11:55514238:55607733:-1 gene:ENSMUSG00000000263.15 transcript:ENSMUST00000108853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glra1 description:glycine receptor, alpha 1 subunit [Source:MGI Symbol;Acc:MGI:95747] MDYRVNIFLRQQWNDPRLAYNEYPDDSLDLDPSMLDSIWKPDLFFANEKGAHFHEITTDN KLLRISRNGNVLYSIRITLTLACPMDLKNFPMDVQTCIMQLESFGYTMNDLIFEWQEQGA VQVADGLTLPQFILKEEKDLRYCTKHYNTGKFTCIEARFHLERQMGYYLIQMYIPSLLIV ILSWISFWINMDAAPARVGLGITTVLTMTTQSSGSRASLPKVSYVKAIDIWMAVCLLFVF SALLEYAAVNFVSRQHKELLRFRRKRRHHKDDEGGEGRFNFSAYGMGPACLQAKDGISVK GANNNNTTNPPPAPSKSPEEMRKLFIQRAKKIDKISRIGFPMAFLIFNMFYWIIYKIVRR EDVHNK >ENSMUSP00000099777.3 pep:known chromosome:GRCm38:11:55514238:55608198:-1 gene:ENSMUSG00000000263.15 transcript:ENSMUST00000102716.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glra1 description:glycine receptor, alpha 1 subunit [Source:MGI Symbol;Acc:MGI:95747] MYSFNTLRFYLWETIVFFSLAASKEAEAARSAPKPMSPSDFLDKLMGRTSGYDARIRPNF KGPPVNVSCNIFINSFGSIAETTMDYRVNIFLRQQWNDPRLAYNEYPDDSLDLDPSMLDS IWKPDLFFANEKGAHFHEITTDNKLLRISRNGNVLYSIRITLTLACPMDLKNFPMDVQTC IMQLESFGYTMNDLIFEWQEQGAVQVADGLTLPQFILKEEKDLRYCTKHYNTGKFTCIEA RFHLERQMGYYLIQMYIPSLLIVILSWISFWINMDAAPARVGLGITTVLTMTTQSSGSRA SLPKVSYVKAIDIWMAVCLLFVFSALLEYAAVNFVSRQHKELLRFRRKRRHHKDDEGGEG RFNFSAYGMGPACLQAKDGISVKGANNNNTTNPPPAPSKSPEEMRKLFIQRAKKIDKISR IGFPMAFLIFNMFYWIIYKIVRREDVHNK >ENSMUSP00000075032.4 pep:known chromosome:GRCm38:11:55514238:55607821:-1 gene:ENSMUSG00000000263.15 transcript:ENSMUST00000075603.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glra1 description:glycine receptor, alpha 1 subunit [Source:MGI Symbol;Acc:MGI:95747] MYSFNTLRFYLWETIVFFSLAASKEAEAARSAPKPMSPSDFLDKLMGRTSGYDARIRPNF KGPPVNVSCNIFINSFGSIAETTMDYRVNIFLRQQWNDPRLAYNEYPDDSLDLDPSMLDS IWKPDLFFANEKGAHFHEITTDNKLLRISRNGNVLYSIRITLTLACPMDLKNFPMDVQTC IMQLESFGYTMNDLIFEWQEQGAVQVADGLTLPQFILKEEKDLRYCTKHYNTGKFTCIEA RFHLERQMGYYLIQMYIPSLLIVILSWISFWINMDAAPARVGLGITTVLTMTTQSSGSRA SLPKVSYVKAIDIWMAVCLLFVFSALLEYAAVNFVSRQHKELLRFRRKRRHHKSPMLNLF QDDEGGEGRFNFSAYGMGPACLQAKDGISVKGANNNNTTNPPPAPSKSPEEMRKLFIQRA KKIDKISRIGFPMAFLIFNMFYWIIYKIVRREDVHNK >ENSMUSP00000042803.5 pep:known chromosome:GRCm38:1:72427112:72536930:-1 gene:ENSMUSG00000039372.5 transcript:ENSMUST00000047786.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March4 description:membrane-associated ring finger (C3HC4) 4 [Source:MGI Symbol;Acc:MGI:2683550] MLMPLGGLLWWWCCCCGWYSCGLCTPAPQMLRHQGLLKCRCRMLFNDLKVFLLRRPPPAP LPMHGDPQLPGVAANNNTLPALGAGGWAGWRGPREAVGRETPPLPPPPPLPPSGDDDWDG PATGPPASLLSSASSDEFCKEKTEDCYSLGSSLDSGMRTPLCRICFQGPEQGELLSPCRC DGSVKCTHQPCLIKWISERGCWSCELCYYKYHVIAISTKNPLQWQAISLTVIEKVQIAAA ILGSLFLIASISWLIWSTFSPSAKWQRQDLLFQICYGMYGFMDVVCIGLIIHEGPSVYRI FKRWQAVNQQWKVLNYDKTKDLEDQKSGGRTNLQTSSSAQANLPSAEEEAASPPAREEGP TRAASHPSGPVSQHHCAYTILHILSHLRPHDQRSTQGSGRELVMRVTTV >ENSMUSP00000129581.2 pep:known chromosome:GRCm38:12:32378704:32382943:1 gene:ENSMUSG00000090946.3 transcript:ENSMUST00000172332.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc71l description:coiled-coil domain containing 71 like [Source:MGI Symbol;Acc:MGI:1919373] MRRGVKRRRRRPRAAWGGGYGAEGGAGLEALEEKVVYSRSQLSLAGSTEALGDAFKLFMP SSTEFMSSEAELWSFLCSLKHQFSPHILRSKDVYGYSSCRALVPDPPAPDSRPARRPRPR ATPRRRRRGARAAADTRRPRPAAAAAEPGPPASSFGGRTLEEIWRAATPTLTSFPTIRVG DDVWGERSLAVARRRASQVLRVDLDPVVRLRRFPVHRL >ENSMUSP00000029794.5 pep:known chromosome:GRCm38:3:94342099:94347352:1 gene:ENSMUSG00000028148.11 transcript:ENSMUST00000029794.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Them5 description:thioesterase superfamily member 5 [Source:MGI Symbol;Acc:MGI:1913448] MLRTSFQGVARLVRHKALYRSPCLLPRVHLASAFGSSTESLVARFCPEKTDLKDYALPNA SWCSDMLSLYQEFLEKTKSGGWIKLPSFKSNRDHIQGLKLPFGLETASDKQDWRLFTRSI QLEGQGYEYVIFFHPSEKKSVCLFQPGPYLEGAPGFAHGGSLAALMDETYSKTAYLAGEG LFTLSLNIKFKNLIPVGSLAVLDIQVEKIEDQKLYMSCIAQSRDKQTVYAKSSGVFLQLQ LEEQSQEQ >ENSMUSP00000142808.1 pep:known chromosome:GRCm38:3:94342259:94347350:1 gene:ENSMUSG00000028148.11 transcript:ENSMUST00000198083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Them5 description:thioesterase superfamily member 5 [Source:MGI Symbol;Acc:MGI:1913448] MLRTSFQGVARLVRHKALYRSPCLLPRVHLASAFGSSTESLVARFCPEKTDLKDYALPNA SWCSDMLSLYQEFLEKTKSGGWIKLPSFKSNRDHIQGLKLPFGLETASDKQDWRLFTRSI QLEGQGYEYVIFFHPSEKKSVCLFQPGPYLEGAPGFAHGGSLAALMDETYSKTAYLAGEG LFTLSLNIKFKNLIPVGSLAVLDIQVEKIEDQKLYMSCIAQSRDKQTVYAKSSGKEICSL SLGCLPLPHPQQRVGKMRAKSQILSWSLGLLGVEMLLARDMDEEKGNKVRITQPAPQDSL K >ENSMUSP00000041013.7 pep:known chromosome:GRCm38:13:23456992:23465875:-1 gene:ENSMUSG00000000706.15 transcript:ENSMUST00000041674.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btn1a1 description:butyrophilin, subfamily 1, member A1 [Source:MGI Symbol;Acc:MGI:103118] MAVPTNSCLLVCLLTLTVLQLPTLDSAAPFDVTAPQEPVLALVGSDAELTCGFSPNASSE YMELLWFRQTRSTAVLLYRDGQEQEGQQMTEYRGRATLATAGLLDGRATLLIRDVRVSDQ GEYRCLFKDNDDFEEAAVYLKVAAVGSDPQISMTVQENGEMELECTSSGWYPEPQVQWRT GNREMLPSTSESKKHNEEGLFTVAVSMMIRDSSIKNMSCCIQNILLGQGKEVEISLPAPF VPRLTPWIVAVAIILLALGFLTIGSIFFTWKLYKERSSLRKKEFGSKERLLEELRCKKTV LHEVDVTLDPDTAHPHLFLYEDSKSVRLEDSRQILPDRPERFDSWPCVLGRETFTSGRHY WEVEVGDRTDWAIGVCRENVVKKGFDPMTPDNGFWAVELYGNGYWALTPLRTSLRLAGPP RRVGVFLDYDAGDISFYNMSNGSLIYTFPSISFSGPLRPFFCLWSCGKKPLTICSTANGP EKVTVIANVQDDIPLSPLGEGCTSGDKDTLHSKLIPFSPSQAAP >ENSMUSP00000106064.1 pep:known chromosome:GRCm38:13:23463748:23465901:-1 gene:ENSMUSG00000000706.15 transcript:ENSMUST00000110434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btn1a1 description:butyrophilin, subfamily 1, member A1 [Source:MGI Symbol;Acc:MGI:103118] MAVPTNSCLLVCLLTLTVLQLPTLDSAAPFDVTAPQEPVLALVGSDAELTCGFSPNASSE YMELLWFRQTRSTAVLLYRDGQEQEGQQMTEYRGRATLATAGLLDGRATLLIRDVRVSDQ GEYRCLFKDNDDFEEAAVYLKVAGGYRRDVSPRHSARRLSLWGESSCSFSKSKRIFTFT >ENSMUSP00000107690.5 pep:known chromosome:GRCm38:9:109038565:109057777:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000112059.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MAAPAPSLWTLLLLLLLLPPPPGAHGELCRPFGEDNSIPVFCPDFCCGSCSNQYCCSDVL RKIQWNEEMCPEPESSRFSTPAEETPEHLGSALKFRSSFDSDPMSGFGATVAIGVTIFVV FIATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQPQPQPVAPSYPGPTYQGY HPMPPQPGMPAAPYPTQYPPPYLAQPTGPPPYHESLAGASQPPYNPTYMDSLKTIP >ENSMUSP00000026737.7 pep:known chromosome:GRCm38:9:109038585:109057724:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000026737.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MAAPAPSLWTLLLLLLLLPPPPGAHGELCRPFGEDNSIPVFCPDFCCGSCSNQYCCSDVL RKIQWNEEMCPEPESRFSTPAEETPEHLGSALKFRSSFDSDPMSGFGATVAIGVTIFVVF IATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQPQPQPVAPSYPGPTYQGYH PMPPQPGMPAAPYPTQYPPPYLAQPTGPPPYHESLAGASQPPYNPTYMDSLKTIP >ENSMUSP00000143648.1 pep:known chromosome:GRCm38:9:109040416:109056743:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000197099.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MCPEPESRFSTPAEETPEHLGSALKFRSSFDSDPMSGFGATVAIGVTIFVVFIATIIICF TCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQPQPQPVAPSYPGPTYQGYHPMPPQPGM PAAPYPTQYPPPYLAQPTGPPPYHESLAGASQPPYNPTYMDSLKTIP >ENSMUSP00000143581.1 pep:known chromosome:GRCm38:9:109040606:109051390:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000198281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MGQRRTSLSLYASAHGELCRPFGEDNSIPVFCPDFCCGSCSNQYCCSDVLRKIQWNEEMC PEPESRFSTPAEET >ENSMUSP00000142978.1 pep:known chromosome:GRCm38:9:109051096:109056955:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000198708.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MSGFGATVAIGVTIFVVFIATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQP QPQPVAPSYPGPTYQGYHPMPPQPGMPAAPYPTQYPPPYLAQPTGPPPYHESLAGASQPP YNPTYMDSLKTIP >ENSMUSP00000142404.1 pep:known chromosome:GRCm38:9:109051155:109056378:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000200629.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MSGFGATVAIGVTIFVVFIATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQP QPQPVAPSYPGP >ENSMUSP00000142835.1 pep:known chromosome:GRCm38:9:109051159:109057024:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000200515.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MFLMRFLFSVSRFSTPAEETPEHLGSALKFRSSFDSDPMSGFGATVAIGVTIFVVFIATI IICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQPQPQPVAPSYPGPTYQGYHPMPP QPGMPAAPYPTQYPPPYLAQPTGPPPYHESLAGASQPPYNPTYMDSLKTIP >ENSMUSP00000142874.1 pep:known chromosome:GRCm38:9:109051180:109056352:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000197689.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MSGFGATVAIGVTIFVVFIATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQP QPQ >ENSMUSP00000143599.1 pep:known chromosome:GRCm38:9:109051198:109057013:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000196954.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MSGFGATVAIGVTIFVVFIATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQP QPQPVAPSYPGPTYQGYHPMPPQPGMPAAPYPTQYPPPYLAQPTGPPPYHESLAGASQPP YNPTYMDSLKTIP >ENSMUSP00000143374.1 pep:known chromosome:GRCm38:9:109051200:109056411:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000198376.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MSGFGATVAIGVTIFVVFIATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQP QPQPVAPSYPGPTYQGYHPMPPQ >ENSMUSP00000143613.1 pep:known chromosome:GRCm38:9:109051202:109056731:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000197483.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MSGFGATVAIGVTIFVVFIATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQP QPQPVAPSYPGPTYQGYHPMPPQPGMPAAPYPTQYPPPYLAQPTGPPPYHESLAGASQPP YNPTYMDSLKTIP >ENSMUSP00000143721.1 pep:known chromosome:GRCm38:9:109051211:109056374:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000198295.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MSGFGATVAIGVTIFVVFIATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQP QPQPVAPSYPG >ENSMUSP00000142867.1 pep:known chromosome:GRCm38:9:109051435:109056858:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000199868.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] XSDPMSGFGATVAIGVTIFVVFIATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAP YPQPQPQPVAPSYPGPTYQGYHPMPPQPGMPAAPYPTQYPPPYLAQPTGPPPYHESLAGA SQPPYNPTYMDSLKTIP >ENSMUSP00000128901.1 pep:known chromosome:GRCm38:9:109054863:109057207:1 gene:ENSMUSG00000025647.16 transcript:ENSMUST00000154184.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa5 description:shisa family member 5 [Source:MGI Symbol;Acc:MGI:1915044] MGFGATVAIGVTIFVVFIATIIICFTCSCCCLYKMCCPQRPVVTNTTTTTVVHAPYPQPQ PQPVAPSYPGPTYQGYHPMPPQPGMPAAPYPTQYPPPYLAQPTGPPPYHESLAGASQPPY NPTYMDSLKTIP >ENSMUSP00000022945.7 pep:known chromosome:GRCm38:8:4735976:4779554:-1 gene:ENSMUSG00000022322.8 transcript:ENSMUST00000022945.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shcbp1 description:Shc SH2-domain binding protein 1 [Source:MGI Symbol;Acc:MGI:1338802] MADDLRAGGVLEPIAMVPPRPDLAAEKEPASWKEGLFLDADPCSDQGYHANPGATVKTLI PEGKTPFPRIIQTNELLFYERFRAYQDYILADCKASEVKEFTVSFLEKVLEPSGWWAVWH TNVFEVLVEVTNVDFPSLKAVVRLAEPCIYESKLSTFTLANVKELLDLKEFHLPLQELWV VSDDSHEFHQMALAIEHVRFFYKHIWRSWDEEEEDEYDYFVRCVEPRLRLYYDILEDRVP SGLIVDYHNLLSQCEESYRKFLNLRSSLSNCNSDSEQENISMVEGLNLYSEIEQLKQKLK LIENPLLRYVFGYQKNSNIQGKGTRQNGQKVIHVVSSTMKTGLLRSLFKDRFCEESCKEE TEIKFHSDLLSGINACYDGDTVIICPGHYVVHGTCSIADSIELEGYGLPDDIVIEKRGKG DTFVDCTGMDVKISGIKFIQHDSVEGILIIHHGKTTLENCVLQCETTGVTVRTSAELFMK NSDVYGAKGAGIEIYPGSKCTLTDNGIHHCKEGILIKDFLDEHYDIPKISMINNVIHNNE GYGVVLVKPTIFCDLQENTQDEINDNMVQKNKEADVTEGLDLEEMLQCVASKMEPYATAD FNEQAKGNCEIINELLAISMQKGRMKKRLSELGITQADDNIMSQEMFIEIMGNQFKWNGK GSFGTFLY >ENSMUSP00000146454.1 pep:known chromosome:GRCm38:8:4739595:4749675:-1 gene:ENSMUSG00000022322.8 transcript:ENSMUST00000207876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shcbp1 description:Shc SH2-domain binding protein 1 [Source:MGI Symbol;Acc:MGI:1338802] XLSNCNSDSEQENISMVEGLNLYSEIEQLKQKLKLIENPLLRYVFGYQKNSNIQGKGTRQ NGQKVIHVVSSTMKTGLLRSLFKDRFCEESCKEETEIKFHSDLLSGINACYDGDTVIICP GHYVVHGTCSIADSIELEVIHHGKTTLENCVLQCETTGVTVRTSAELFMKNSDVYGAKGA GIEIYPGSKCTLTDNGIHHCKEGILIK >ENSMUSP00000146339.1 pep:known chromosome:GRCm38:8:4749752:4779476:-1 gene:ENSMUSG00000022322.8 transcript:ENSMUST00000207262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shcbp1 description:Shc SH2-domain binding protein 1 [Source:MGI Symbol;Acc:MGI:1338802] XVPPRPDLAAEKEPASWKEADCKASEVKEFTVSFLEKVLEPSGWWAVWHTNVFEVLVEVT NVDFPSLKAVVRLAEPCIYESKLSTFTLANVKELLDLKEFHLPLQELWVVSDDSHEFHQM ALAIEHVRFFYKHIWRSWDEEEEDEYDYFVRCVEPRLRLYYDILEDRVPS >ENSMUSP00000037431.6 pep:known chromosome:GRCm38:5:134489383:134552434:-1 gene:ENSMUSG00000063146.11 transcript:ENSMUST00000036999.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip2 description:CAP-GLY domain containing linker protein 2 [Source:MGI Symbol;Acc:MGI:1313136] MQKPSGLKPPGRGGKHSSPVGRPSVGSASSSVVASTSGSKEGSPLHKQASGPSSSGAATT VSEKPGPKAAEVGDDFLGDFVVGERVWVNGVKPGVVQYLGETQFAPGQWAGVVLDDPVGK NDGAVGGVRYFECPALQGIFTRPSKLTRQPTAEGSGSDTHSVESLTAQNLSLHSGTATPP LTGRVIPLRESVLNSSVKTGNESGSNLSDSGSVKRGDKDLHLGDRVLVGGTKTGVVRYVG ETDFAKGEWCGVELDEPLGKNDGAVAGTRYFQCPPKFGLFAPIHKVIRIGFPSTSPAKAK KTKRMAMGVSALTHSPSSSSISSVSSVASSVGGRPSRSGLLTETSSRYARKISGTTALQE ALKEKQQHIEQLLAERDLERAEVAKATSHICEVEKEIALLKAQHEQYVAEAEEKLQRARL LVENVRKEKVDLSNQLEEERRKVEDLQFRVEEESITKGDLELTTVAEKSRVLQLEEELSL RRGEIEELQHCLLQSGPPPADHPEAAETLRLRERLLSASKEHQRDSTLLQDKYEHMLKTY QTEVDKLRAANEKYAQEVADLKAKVQQATTENMGLMDNWKSKLDSLASDHQKSLEDLKAT LNSGPGAQQKEIGELKALVEGIKMEHQLELGNLQAKHDLETAMHGKEKEGLRQKLQEVQE ELAGLQQHWREQLEEQASQHRLELQEAQDQCRDAQLRAQELEGLDVEYRGQAQAIEFLKE QISLAEKKMLDYEMLQRAEAQSRQEAERLREKLLVAENRLQAAESLCSAQHSHVIESSDL SEETIRMKETVEGLQDKLNKRDKEVTALTSQMDMLRAQVSALENKCKSGEKKIDSLLKEK RRLEAELEAVSRKTHDASGQLVHISQELLRKERSLNELRVLLLEANRHSPGPERDLSREV HKAEWRIKEQKLKDDIRGLREKLTGLDKEKSLSEQRRYSLIDPASPPELLKLQHQLVSTE DALRDALNQAQQVERLVEALRGCSDRTQTISNSGSANGIHQPDKAHKQEDKH >ENSMUSP00000098212.2 pep:known chromosome:GRCm38:5:134489387:134552434:-1 gene:ENSMUSG00000063146.11 transcript:ENSMUST00000100647.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip2 description:CAP-GLY domain containing linker protein 2 [Source:MGI Symbol;Acc:MGI:1313136] MQKPSGLKPPGRGGKHSSPVGRPSVGSASSSVVASTSGSKEGSPLHKQASGPSSSGAATT VSEKPGPKAAEVGDDFLGDFVVGERVWVNGVKPGVVQYLGETQFAPGQWAGVVLDDPVGK NDGAVGGVRYFECPALQGIFTRPSKLTRQPTAEGSGSDTHSVESLTAQNLSLHSGTATPP LTGRVIPLRESVLNSSVKTGNESGSNLSDSGSVKRGDKDLHLGDRVLVGGTKTGVVRYVG ETDFAKGEWCGVELDEPLGKNDGAVAGTRYFQCPPKFGLFAPIHKVIRIGFPSTSPAKAK KTKRMAMGVSALTHSPSSSSISSVSSVASSVGGRPSRSGLLTETSSRYARKISGTTALQE ALKEKQQHIEQLLAERDLERAEVAKATSHICEVEKEIALLKAQHEQYVAEAEEKLQRARL LVENVRKEKVDLSNQLEEERRKVEDLQFRVEEESITKGDLETQTQLEHARIGELEQSLLL EKAQAERLLRELADNRLTTVAEKSRVLQLEEELSLRRGEIEELQHCLLQSGPPPADHPEA AETLRLRERLLSASKEHQRDSTLLQDKYEHMLKTYQTEVDKLRAANEKYAQEVADLKAKV QQATTENMGLMDNWKSKLDSLASDHQKSLEDLKATLNSGPGAQQKEIGELKALVEGIKME HQLELGNLQAKHDLETAMHGKEKEGLRQKLQEVQEELAGLQQHWREQLEEQASQHRLELQ EAQDQCRDAQLRAQELEGLDVEYRGQAQAIEFLKEQISLAEKKMLDYEMLQRAEAQSRQE AERLREKLLVAENRLQAAESLCSAQHSHVIESSDLSEETIRMKETVEGLQDKLNKRDKEV TALTSQMDMLRAQVSALENKCKSGEKKIDSLLKEKRRLEAELEAVSRKTHDASGQLVHIS QELLRKERSLNELRVLLLEANRHSPGPERDLSREVHKAEWRIKEQKLKDDIRGLREKLTG LDKEKSLSEQRRYSLIDPASPPELLKLQHQLVSTEDALRDALNQAQQVERLVEALRGCSD RTQTISNSGSANGIHQPDKAHKQEDKH >ENSMUSP00000107920.1 pep:known chromosome:GRCm38:X:162901870:163032325:1 gene:ENSMUSG00000031360.14 transcript:ENSMUST00000112301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctps2 description:cytidine 5'-triphosphate synthase 2 [Source:MGI Symbol;Acc:MGI:1933185] MKYILVTGGVISGIGKGIIASSIGTILKSCGLRVTAIKIDPYINIDAGTFSPYEHGEVFV LNDGGEVDLDLGNYERFLDINLYKDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDA IQEWVMNQAKVSVDGNKEDPQICVIELGGTIGDIEGMAFVEAFRQFQFKAKKENFYNIHV SLVPQPSATGEQKTKPTQNSVRALRGLGLSPDLIVCRSSTPIEMAVKEKISMFCHVNPEQ VICIHDVSSIYRVPLLLEEQGVVKYFQERLGLPINDCSSNLLFKWKAMADRYERLQKICS IALVGKYTKLRDCYASVFKALEHSALAINHKLNLMYIDSIDLEPVTKAEDPVKFHEAWQK LCLADGILVPGGFGIRGTLGKLQAISWARTKKIPFLGICLGMQLAVIEFARNCLNLKDAN STEFEPNTPVPLVIDMPEHNPGDLGGTMRLGLRRTVFTTENSILKKLYGDVPYIEERHRH RYEVNPNLINQFENKDLCFVGEDVDGKRMEIVELTSHPYFIGVQFHPEFSSRPMKPSPPY LGLLLAATGNLNAHLQQMNKLPYSDGYSDASDDSFPEAKLAELDLN >ENSMUSP00000107922.1 pep:known chromosome:GRCm38:X:162901605:163032325:1 gene:ENSMUSG00000031360.14 transcript:ENSMUST00000112303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctps2 description:cytidine 5'-triphosphate synthase 2 [Source:MGI Symbol;Acc:MGI:1933185] MKYILVTGGVISGIGKGIIASSIGTILKSCGLRVTAIKIDPYINIDAGTFSPYEHGEVFV LNDGGEVDLDLGNYERFLDINLYKDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDA IQEWVMNQAKVSVDGNKEDPQICVIELGGTIGDIEGMAFVEAFRQFQFKAKKENFYNIHV SLVPQPSATGEQKTKPTQNSVRALRGLGLSPDLIVCRSSTPIEMAVKEKISMFCHVNPEQ VICIHDVSSIYRVPLLLEEQGVVKYFQERLGLPINDCSSNLLFKWKAMADRYERLQKICS IALVGKYTKLRDCYASVFKALEHSALAINHKLNLMYIDSIDLEPVTKAEDPVKFHEAWQK LCLADGILVPGGFGIRGTLGKLQAISWARTKKIPFLGICLGMQLAVIEFARNCLNLKDAN STEFEPNTPVPLVIDMPEHNPGDLGGTMRLGLRRTVFTTENSILKKLYGDVPYIEERHRH RYEVNPNLINQFENKDLCFVGEDVDGKRMEIVELTSHPYFIGVQFHPEFSSRPMKPSPPY LGLLLAATGNLNAHLQQMNKLPYSDGYSDASDDSFPEAKLAELDLN >ENSMUSP00000098656.2 pep:known chromosome:GRCm38:X:162901238:162978529:1 gene:ENSMUSG00000031360.14 transcript:ENSMUST00000101095.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctps2 description:cytidine 5'-triphosphate synthase 2 [Source:MGI Symbol;Acc:MGI:1933185] MGSELLGKNSALFSSFPTFCGGGPLGCTVADGQTFMIASGYWQSPIRPPMKYILVTGGVI SGIGKGIIASSIGTILKSCGLRVTAIKIDPYINIDAGTFSPYEHGEVFVLNDGGEVDLDL GNYERFLDINLYKDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDAIQEWVMNQAKV SVDGNKEDPQICVIELGGTIGDIEGMAFVEAFRQFQFKAKKENFYNIHVSLVPQPSATGE QKTKPTQNSVRALRGLGLSPDLIVCRSSTPIEMAVKEKISMFCHVNPEQVICIHDVSSIY RVPLLLEEQGVVKYFQERLGLPINDCSSNLLFKWKAMADRYERLQKICSIALVGKYTKLR DCYASVFKALEHSALAINHKLNLMYIDSIDLEPVTKAEDPVKFHEAWQKLCLADGILVPG GFGIRGTLGKLQAISWARTKKIPFLGICLGMQLAVIEFARNCLNLKDANSTEFEPNTPVP LVIDMPEHNPGDLGGTMRLGLRRTVFTTENSILSLYLQCSG >ENSMUSP00000033727.7 pep:known chromosome:GRCm38:X:162901632:163032508:1 gene:ENSMUSG00000031360.14 transcript:ENSMUST00000033727.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctps2 description:cytidine 5'-triphosphate synthase 2 [Source:MGI Symbol;Acc:MGI:1933185] MKYILVTGGVISGIGKGIIASSIGTILKSCGLRVTAIKIDPYINIDAGTFSPYEHGEVFV LNDGGEVDLDLGNYERFLDINLYKDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDA IQEWVMNQAKVSVDGNKEDPQICVIELGGTIGDIEGMAFVEAFRQFQFKAKKENFYNIHV SLVPQPSATGEQKTKPTQNSVRALRGLGLSPDLIVCRSSTPIEMAVKEKISMFCHVNPEQ VICIHDVSSIYRVPLLLEEQGVVKYFQERLGLPINDCSSNLLFKWKAMADRYERLQKICS IALVGKYTKLRDCYASVFKALEHSALAINHKLNLMYIDSIDLEPVTKAEDPVKFHEAWQK LCLADGILVPGGFGIRGTLGKLQAISWARTKKIPFLGICLGMQLAVIEFARNCLNLKDAN STEFEPNTPVPLVIDMPEHNPGDLGGTMRLGLRRTVFTTENSILKKLYGDVPYIEERHRH RYEVNPNLINQFENKDLCFVGEDVDGKRMEIVELTSHPYFIGVQFHPEFSSRPMKPSPPY LGLLLAATGNLNAHLQQMNKLPYSDGYSDASDDSFPEAKLAELDLN >ENSMUSP00000107921.1 pep:known chromosome:GRCm38:X:162901769:163032501:1 gene:ENSMUSG00000031360.14 transcript:ENSMUST00000112302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctps2 description:cytidine 5'-triphosphate synthase 2 [Source:MGI Symbol;Acc:MGI:1933185] MKYILVTGGVISGIGKGIIASSIGTILKSCGLRVTAIKIDPYINIDAGTFSPYEHGEVFV LNDGGEVDLDLGNYERFLDINLYKDNNITTGKIYQHVINKERRGDYLGKTVQVVPHITDA IQEWVMNQAKVSVDGNKEDPQICVIELGGTIGDIEGMAFVEAFRQFQFKAKKENFYNIHV SLVPQPSATGEQKTKPTQNSVRALRGLGLSPDLIVCRSSTPIEMAVKEKISMFCHVNPEQ VICIHDVSSIYRVPLLLEEQGVVKYFQERLGLPINDCSSNLLFKWKAMADRYERLQKICS IALVGKYTKLRDCYASVFKALEHSALAINHKLNLMYIDSIDLEPVTKAEDPVKFHEAWQK LCLADGILVPGGFGIRGTLGKLQAISWARTKKIPFLGICLGMQLAVIEFARNCLNLKDAN STEFEPNTPVPLVIDMPEHNPGDLGGTMRLGLRRTVFTTENSILSHPYFIGVQFHPEFSS RPMKPSPPYLGLLLAATGNLNAHLQQMNKLPYSDGYSDASDDSFPEAKLAELDLN >ENSMUSP00000107919.1 pep:known chromosome:GRCm38:X:162969105:163031319:1 gene:ENSMUSG00000031360.14 transcript:ENSMUST00000112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctps2 description:cytidine 5'-triphosphate synthase 2 [Source:MGI Symbol;Acc:MGI:1933185] MPEHNPGDLGGTMRLGLRRTVFTTENSILKKLYGDVPYIEERHRHRYEVNPNLINQFENK DLCFVGEDVDGKRMEIVELTSHPYFIGVQFHPEFSSRPMKPSPPYLGLLLAATGNLNAHL QQMNKLPYSDGYSDASDDSFPEAKLAELDLN >ENSMUSP00000025856.10 pep:known chromosome:GRCm38:19:3584828:3686556:-1 gene:ENSMUSG00000024913.16 transcript:ENSMUST00000025856.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp5 description:low density lipoprotein receptor-related protein 5 [Source:MGI Symbol;Acc:MGI:1278315] METAPTRAPPPPPPPLLLLVLYCSLVPAAASPLLLFANRRDVRLVDAGGVKLESTIVASG LEDAAAVDFQFSKGAVYWTDVSEEAIKQTYLNQTGAAAQNIVISGLVSPDGLACDWVGKK LYWTDSETNRIEVANLNGTSRKVLFWQDLDQPRAIALDPAHGYMYWTDWGEAPRIERAGM DGSTRKIIVDSDIYWPNGLTIDLEEQKLYWADAKLSFIHRANLDGSFRQKVVEGSLTHPF ALTLSGDTLYWTDWQTRSIHACNKWTGEQRKEILSALYSPMDIQVLSQERQPPFHTPCEE DNGGCSHLCLLSPREPFYSCACPTGVQLQDNGKTCKTGAEEVLLLARRTDLRRISLDTPD FTDIVLQVGDIRHAIAIDYDPLEGYVYWTDDEVRAIRRAYLDGSGAQTLVNTEINDPDGI AVDWVARNLYWTDTGTDRIEVTRLNGTSRKILVSEDLDEPRAIVLHPVMGLMYWTDWGEN PKIECANLDGRDRHVLVNTSLGWPNGLALDLQEGKLYWGDAKTDKIEVINIDGTKRKTLL EDKLPHIFGFTLLGDFIYWTDWQRRSIERVHKVKASRDVIIDQLPDLMGLKAVNVAKVVG TNPCADGNGGCSHLCFFTPRATKCGCPIGLELLSDMKTCIIPEAFLVFTSRATIHRISLE TNNNDVAIPLTGVKEASALDFDVSNNHIYWTDVSLKTISRAFMNGSSVEHVIEFGLDYPE GMAVDWMGKNLYWADTGTNRIEVARLDGQFRQVLVWRDLDNPRSLALDPTKGYIYWTEWG GKPRIVRAFMDGTNCMTLVDKVGRANDLTIDYADQRLYWTDLDTNMIESSNMLGQERMVI ADDLPYPFGLTQYSDYIYWTDWNLHSIERADKTSGRNRTLIQGHLDFVMDILVFHSSRQD GLNDCVHSNGQCGQLCLAIPGGHRCGCASHYTLDPSSRNCSPPSTFLLFSQKFAISRMIP DDQLSPDLVLPLHGLRNVKAINYDPLDKFIYWVDGRQNIKRAKDDGTQPSMLTSPSQSLS PDRQPHDLSIDIYSRTLFWTCEATNTINVHRLDGDAMGVVLRGDRDKPRAIAVNAERGYM YFTNMQDHAAKIERASLDGTEREVLFTTGLIRPVALVVDNALGKLFWVDADLKRIESCDL SGANRLTLEDANIVQPVGLTVLGRHLYWIDRQQQMIERVEKTTGDKRTRVQGRVTHLTGI HAVEEVSLEEFSAHPCARDNGGCSHICIAKGDGTPRCSCPVHLVLLQNLLTCGEPPTCSP DQFACTTGEIDCIPGAWRCDGFPECADQSDEEGCPVCSASQFPCARGQCVDLRLRCDGEA DCQDRSDEANCDAVCLPNQFRCTSGQCVLIKQQCDSFPDCADGSDELMCEINKPPSDDIP AHSSAIGPVIGIILSLFVMGGVYFVCQRVMCQRYTGASGPFPHEYVGGAPHVPLNFIAPG GSQHGPFPGIPCSKSVMSSMSLVGGRGSVPLYDRNHVTGASSSSSSSTKATLYPPILNPP PSPATDPSLYNVDVFYSSGIPATARPYRPYVIRGMAPPTTPCSTDVCDSDYSTSRWKSSK YYLDLNSDSDPYPPPPTPHSQYLSAEDSCPPSPGTERSYCHLFPPPPSPCTDSS >ENSMUSP00000134983.1 pep:known chromosome:GRCm38:19:3584831:3686561:-1 gene:ENSMUSG00000024913.16 transcript:ENSMUST00000177330.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrp5 description:low density lipoprotein receptor-related protein 5 [Source:MGI Symbol;Acc:MGI:1278315] METAPTRAPPPPPPPLLLLVLYCSLVPAAASPLLLFANRRDVRLVDAGGVKLESTIVASG LEDAAAVDFQFSKGAVYWTDVSEEAIKQTYLNQTGAAAQNIVISGLVSPDGLACDWVGKK LYWTDSETNRIEVANLNGTSRKVLFWQDLDQPRAIALDPAHGYMYWTDWGEAPRIERAGM DGSTRKIIVDSDIYWPNGLTIDLEEQKLYWADAKLSFIHRANLDGSFRNMLNVPSKLCER NIHSLRDGSCARRALSSIPAGHTIRGRRWWRAASLTLLP >ENSMUSP00000134771.1 pep:known chromosome:GRCm38:19:3584836:3615792:-1 gene:ENSMUSG00000024913.16 transcript:ENSMUST00000177294.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrp5 description:low density lipoprotein receptor-related protein 5 [Source:MGI Symbol;Acc:MGI:1278315] WMGKNLYWADTGTNRIEVARLDGQFRQVLVWRDLDNPRSLALDPTKGYIYWTEWGGKPRI VRAFMDGTNCMTLVDKVGRANDLTIDYADQRLYWTDLDTNMIESSNMLGQERMVIADDLP YPFGLTQYSDYIYWTDWNLHSIERADKTSGRNRTLIQGHLDFVMDILVFHSSRQDGLNDC VHSNGQCGQLCLAIPGGHRCGCASHYTLDPSSRNCSQICHQPDDPR >ENSMUSP00000135654.1 pep:known chromosome:GRCm38:19:3621671:3686564:-1 gene:ENSMUSG00000024913.16 transcript:ENSMUST00000176867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp5 description:low density lipoprotein receptor-related protein 5 [Source:MGI Symbol;Acc:MGI:1278315] METAPTRAPPPPPPPLLLLVLYCSLVPAAASPLLLFANRRDVRLVDAGGVKLESTIVASG LEDAAAVDFQFSKGAVYWTDVSEEAIKQTYLNQTGAAAQNIVISGLVSPDGLACDWVGKK LYWTDSETNRIEVANLNGTSRKVLFWQDLDQPRAIALDPAHGYMYWTDWGEAPRIERAGM DGSTRKIIVDSDIYWPNGLTIDLEEQKLYWADAKLSFIHRANLDGSFRQKVVEGSLTHPF ALTLSGDTLYWTDWQTRSIHACNKWTGEQRKEILSALYSPMDIQVLSQERQPPFHTPCEE DNGGCSHLCLLSPREPFYSCACPTGVQLQDNGKTCKTGAEEVLLLARRTDLRRISLDTPD FTDIVLQVGDIRHAIAIDYDPLEGYVYWTDDEVRAIRRAYLDGSGAQTLVNTEINDPDGI AVDWVARNLYWTDTGTDRIEVTRLNGTSRKILVSEDLDEPRAIVLHPVMGLMYWTDWGEN PKIECANLDGRDRHVLVNTSLGWPNGLALDLQEGKLYWGDAKTDKIEVINIDGTKRKTLL EDKLPHIFGFTLLGDFIYWTDWQRRSIERVHKVKASRDVIIDQLPDLMGLKAVNVAKVVG ESWSSEPWLHLASWPQER >ENSMUSP00000104182.1 pep:known chromosome:GRCm38:11:70688361:70700155:-1 gene:ENSMUSG00000057054.11 transcript:ENSMUST00000108542.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inca1 description:inhibitor of CDK, cyclin A1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2144284] MQGQEDGDSILPFAKCSRVVSRFSPCSLPPQNRRPMPQPYGDAFWENLSQRSSSNWMVEQ YIPPILRATDCSRPSLHPLEGLPPPEKLWRRKRKKLHLERMQKGPGSIPARVRAVTYHLE DLRRRQGIINELKRAQWGSSDATPELPALEEGFELLSTTKYFDVEEERATYPQKETYSVT PRDQLLWTPWTPVGQQGTYASGQLSSLTYSTATARKNPVYDPQAMELESEE >ENSMUSP00000104181.2 pep:known chromosome:GRCm38:11:70688361:70700155:-1 gene:ENSMUSG00000057054.11 transcript:ENSMUST00000108541.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inca1 description:inhibitor of CDK, cyclin A1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2144284] MQGQEDGDSILPFAKCSRVVSRFSPCSLPPQNRRPMPQPYGDAFWENLSQRSSSNWMVEQ YIPPILRATDCSRPSLHPLEGLPPPEKLWRRKRKKLHLERMQKGPGSIPARVRAVTYHLE DLRRRQGIINELKRAQWGSSDATPELPALEEGFELLSTTKYFDVEEERATYPQKETYSVT PRDQLLWTPWTPVGQQGTYASGQLSSLTYSTATARKNPVYDPQAMELESEE >ENSMUSP00000118761.2 pep:known chromosome:GRCm38:11:70688361:70700155:-1 gene:ENSMUSG00000057054.11 transcript:ENSMUST00000126114.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inca1 description:inhibitor of CDK, cyclin A1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2144284] MQGQEDGDSILPFAKCSRVVSRFSPCSLPPQNRRPMPQPYGDAFWENLSQRSSSNWMVEQ YIPPILRATDCSRPSLHPLEGLPPPEKLWRRKRKKLHLERMQKGPGSIPARVRAVTYHLE DLRRRQGIINELKRAQWGSSDATPELPALEEGFELLSTTKYFDVEEERATYPQKETYSVT PRDQLLWTPWTPVGQQGTYASGQLSSLTYSTATARKNPVYDPQAMELESEE >ENSMUSP00000073311.1 pep:known chromosome:GRCm38:11:70688361:70700155:-1 gene:ENSMUSG00000057054.11 transcript:ENSMUST00000073625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inca1 description:inhibitor of CDK, cyclin A1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2144284] MQGQEDGDSILPFAKCSRVVSRFSPCSLPPQNRRPMPQPYGDAFWENLSQRSSSNWMVEQ YIPPILRATDCSRPSLHPLEGLPPPEKLWRRKRKKLHLERMQKGPGSIPARVRAVTYHLE DLRRRQGIINELKRAQWGSSDATPELPALEEGFELLSTTKYFDVEEERATYPQKETYSVT PRDQLLWTPWTPVGQQGTYASGQLSSLTYSTATARKNPVYDPQAMELESEE >ENSMUSP00000104183.3 pep:known chromosome:GRCm38:11:70688369:70700109:-1 gene:ENSMUSG00000057054.11 transcript:ENSMUST00000108543.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inca1 description:inhibitor of CDK, cyclin A1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2144284] MPQPYGDAFWENLSQRSSSNWMVEQYIPPILRATDCSRPSLHPLEGLPPPEKLWRRKRKK LHLERMQKGPGSIPARVRAVTYHLEDLRRRQGIINELKRAQWGSSDATPELPALEEGFEL LSTTKYFDVEEERATYPQKETYSVTPRDQLLWTPWTPVGQQGTYASGQLSSLTYSTATAR KNPVYDPQAMELESEE >ENSMUSP00000115229.2 pep:known chromosome:GRCm38:5:92555069:92590760:1 gene:ENSMUSG00000057068.15 transcript:ENSMUST00000146417.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam47e description:family with sequence similarity 47, member E [Source:MGI Symbol;Acc:MGI:2686227] MAECGQRLQPTTLAPRLLRERQNCGWYLGNLPPKRFIRRNRLTCQGFLNSRHWVFVKEDN FRKDCPPHQSPKDAFLPLIHRGAPSATPEKRQSTLLKGATLLSKLSKAGKAFLEDVEAEA AQHPLTLYPQLTEALPAELLLQVLEVLDPERKLEDVWAYCQDTRKPMKEPTELVGKRSSQ ERPPKKTLISRSGQWLCEEKSSKVDSLYKDRLLHDDVHRGVTDFCHWAEDLGSSAIEEEF VLQQFDIGYQTRRSCDALLRLRLNQDKLFINRPPSLREHCGHWSEPRSSGRANPQKPKRV KMRYGAWYLNTSLWKRQRADEPLVDPMVSHKAQDSTFKEQLQEQGELLAGLRGTAAFKDF ILSRGYRMPRFLEKIYAEEKNKSENIKAPKKLTQTEKNPGSR >ENSMUSP00000121392.1 pep:known chromosome:GRCm38:5:92555102:92590660:1 gene:ENSMUSG00000057068.15 transcript:ENSMUST00000149617.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam47e description:family with sequence similarity 47, member E [Source:MGI Symbol;Acc:MGI:2686227] XTLAPRLLRERQNCGCSYCRCWRYWTLRGSWRMCGLIARIPGSQ >ENSMUSP00000135365.1 pep:known chromosome:GRCm38:5:92571477:92590882:1 gene:ENSMUSG00000057068.15 transcript:ENSMUST00000176621.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam47e description:family with sequence similarity 47, member E [Source:MGI Symbol;Acc:MGI:2686227] MLSCIQPLPTLHFLCIIREHLACDCLPRCLSPSCIAEATQRPKKTLISRSGQWLCEEKSS KVDSLYKDRLLHDDVHRGVTDFCHWAEDLFLEKIYAEEKNKSENIKAPKKLTQTEKNPGS R >ENSMUSP00000135200.1 pep:known chromosome:GRCm38:5:92571536:92591278:1 gene:ENSMUSG00000057068.15 transcript:ENSMUST00000175974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam47e description:family with sequence similarity 47, member E [Source:MGI Symbol;Acc:MGI:2686227] MLSCIQPLPTLHFLCIIREHLACDCLPRCLSPSCIAEATQRGSCLQACEGQQPLRTSF >ENSMUSP00000118033.2 pep:known chromosome:GRCm38:5:92571537:92591279:1 gene:ENSMUSG00000057068.15 transcript:ENSMUST00000131166.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam47e description:family with sequence similarity 47, member E [Source:MGI Symbol;Acc:MGI:2686227] MLSCIQPLPTLHFLCIIREHLACDCLPRCLSPSCIAEATQRPKKTLISRSGQWLCEEKSS KVDSLYKDRLLHDDVHRGVTDFCHWAEDLGSSAIEEEFVLQQFDIGYQTRRSCDALLRLR LNQDKLFINRPPSLREHCGHWSEPRSSGRANPQKPKRVKMRYGAWYLNTSLWKRQRADEP LVDPMVSHKAQDSTFKEQLQEQGELLAGLRGTAAFKDFILSRGYRMPRFLEKIYAEEKNK SENIKAPKKLTQTEKNPGSR >ENSMUSP00000135051.1 pep:known chromosome:GRCm38:5:92571543:92585384:1 gene:ENSMUSG00000057068.15 transcript:ENSMUST00000176448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam47e description:family with sequence similarity 47, member E [Source:MGI Symbol;Acc:MGI:2686227] MLSCIQPLPTLHFLCIIREHLACDCLPRCLSPSCIAEATQRPKKTLISRSGQWLCEEKSS KVDSLYKDRLLHDDVHRGVTDFCHWAEDLDKLFINRPPSLREHCGHWSEPRSSGRANPQK PKRVKMRYGAWYLNTSLWKRQRADEPLVDPMVSHKAQDS >ENSMUSP00000080987.7 pep:known chromosome:GRCm38:5:92571556:92590731:1 gene:ENSMUSG00000057068.15 transcript:ENSMUST00000082382.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam47e description:family with sequence similarity 47, member E [Source:MGI Symbol;Acc:MGI:2686227] MLSCIQPLPTLHFLCIIREHLACDCLPRCLSPSCIAEATQRPKKTLISRSGQWLCEEKSS KVDSLYKDRLLHDDVHRGVTDFCHWAEDLNPQKPKRVKMRYGAWYLNTSLWKRQRADEPL VDPMVSHKAQDSTFKEQLQEQGELLAGLRGTAAFKDFILSRGYRMPRFLEKIYAEEKNKS ENIKAPKKLTQTEKNPGSR >ENSMUSP00000033157.3 pep:known chromosome:GRCm38:7:122085403:122101886:-1 gene:ENSMUSG00000030869.11 transcript:ENSMUST00000033157.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufab1 description:NADH dehydrogenase (ubiquinone) 1, alpha/beta subcomplex, 1 [Source:MGI Symbol;Acc:MGI:1917566] MASRVLCACVRRLPAAFAPLPRLPTLALARPLSTTLCPEGIRRRPGALQSALALAQVPGT VTHLCRQYSDAPPLTLDGIKDRVLYVLKLYDKIDPEKLSVNSHFMKDLGLDSLDQVEIIM AMEDEFGFEIPDIDAEKLMCPQEIVDYIADKKDVYE >ENSMUSP00000116177.1 pep:known chromosome:GRCm38:7:122090056:122101851:-1 gene:ENSMUSG00000030869.11 transcript:ENSMUST00000123296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufab1 description:NADH dehydrogenase (ubiquinone) 1, alpha/beta subcomplex, 1 [Source:MGI Symbol;Acc:MGI:1917566] MASRVLCACVRRLPAAFAPLPRLPTLALARPLSTTLCPEGIRRRPGALQSALALAQVPGT VTHLCRQYSDAPPLTLDGIKDRVLYVLKLYDKIDPEKLSVNSHFMKDLGLDSLDQVEIIM AMEDEFGFEIPDIDAEKLMCPQEIVDYIADKKDVYE >ENSMUSP00000102079.2 pep:known chromosome:GRCm38:7:122090831:122101736:-1 gene:ENSMUSG00000030869.11 transcript:ENSMUST00000106471.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufab1 description:NADH dehydrogenase (ubiquinone) 1, alpha/beta subcomplex, 1 [Source:MGI Symbol;Acc:MGI:1917566] XRPLSTTLCPEGIRRRPGALQSALALAQVPGTVTHLCRQYSDAPPLTLDGIKDRVLYVLK LYDKIDPEKLSVNSHFMKDLGLDSLDQVEIIMAMEDEFGFEIPDIDAEKLMCPQEIVDYI ADKKDVYE >ENSMUSP00000114756.1 pep:known chromosome:GRCm38:7:122091526:122101735:-1 gene:ENSMUSG00000030869.11 transcript:ENSMUST00000139456.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufab1 description:NADH dehydrogenase (ubiquinone) 1, alpha/beta subcomplex, 1 [Source:MGI Symbol;Acc:MGI:1917566] RPLSTTLCPEGIRRRPGALQSALALAQVPGTVTHLCRQYSDAPPLTLDGIKDRVLYVLKL YDKIDPEKLSVNSHFMKDLGLDSLDQVEIIMAMEDEFGFEIPDIDAEKLMCPQEIVDYIA DKKDVYE >ENSMUSP00000075990.5 pep:known chromosome:GRCm38:12:32953891:32979050:1 gene:ENSMUSG00000020570.14 transcript:ENSMUST00000076698.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sypl description:synaptophysin-like protein [Source:MGI Symbol;Acc:MGI:108081] MASKANMVRQRFSRLSQRMSAFQINLNPLKEPLGFIKILEWFASIFAFATCGGFKGKTEI QVNCPKVGVNKNQTVTATFGYPFRLNQASFHTPPNVSVCDVNWEKHVLIGDYSSSAQFYV TFAVFVFLYCIAALLLYVGYTNLYRDSRKLPMIDFIVTLVATFLWLVSSSAWAKALTDIK VATGHRIVEELEICNPESGVSCYFVSVTSMGSLNVSVIFGFLNMILWGGNAWFVYKETSL HSPSNTSASHSQGGGPPTSGM >ENSMUSP00000020885.6 pep:known chromosome:GRCm38:12:32954184:32976904:1 gene:ENSMUSG00000020570.14 transcript:ENSMUST00000020885.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sypl description:synaptophysin-like protein [Source:MGI Symbol;Acc:MGI:108081] MSAFQINLNPLKEPLGFIKILEWFASIFAFATCGGFKGKTEIQVNCPKVGVNKNQTVTAT FGYPFRLNQASFHTPPNVSVCDVNWEKHVLIGDYSSSAQFYVTFAVFVFLYCIAALLLYV GYTNLYRDSRKLPMIDFIVTLVATFLWLVSSSAWAKALTDIKVATGHRIVEELEICNPES GVSCYFVSVTSMGSLNVSVIFGFLNMILWGGNAWFVYKETSLHSPSNTSASHSQGGGPPT SGM >ENSMUSP00000122695.1 pep:known chromosome:GRCm38:12:32954247:32974152:1 gene:ENSMUSG00000020570.14 transcript:ENSMUST00000122861.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sypl description:synaptophysin-like protein [Source:MGI Symbol;Acc:MGI:108081] MSAFQINLNPLKEPLGFIKILEWFASIFAFATCGGFKGKTEIQVNCPKVGVNKNQTVTAT FGYPFREINRNKNLTSEFH >ENSMUSP00000134936.1 pep:known chromosome:GRCm38:9:50892801:51008936:-1 gene:ENSMUSG00000037112.16 transcript:ENSMUST00000176824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sik2 description:salt inducible kinase 2 [Source:MGI Symbol;Acc:MGI:2445031] MVMADGPRHLQRGPVRVGFYDIEGTLGKGNFAVVKLGRHRITKTEVAIKIIDKSQLDAVN LEKIYREVQIMKMLDHPHIIKLYQVMETKSMLYLVTEYAKNGEIFDYLANHGRLNESEAR RKFWQILSAVDYCHGRKVVHRDLKAENLLLDNNMNIKIADFGFGNFFKTGELLATWCGSP PYAAPEVFEGQQYEGPQLDIWSMGVVLYVLVCGALPFDGPTLPILRQRVLEGRFRIPYFM SEDCEHLIRRMLVLDPSKRLSIAQIKEHKWMLIEVPVQRPILYPQEQENEPSIGEFNEQV LRLMHSLGIDQQKTVESLQNKSYNHFAAIYFLLVERLKSHRSSFPVEQRLDGRQRRPSTI AEQTVAKAQTVGLPVTLHPPNVRLMRSTLLPQASNVEAFSFPTSSCQAEAAFMEEECVDT PKVNGCLLDPVPPVLVRKGCQSLPSSMMETSIDEGLETEGEAEEDPSQAFEAFQATRSGQ RRHTLSEVTNQLVVMPGAGKMFSMSDNPSLESVDSEYDMGSAQRDLNFLEDSPSLKDIML ANQPSPRMTSPFISLRPANPAMQALSSQKREAHNRSPVSFREGRRASDTSLTQGIVAFRQ HLQNLARTKGILELNKVQLLYEQMGSNADPTLTSTAPQLQDLSSSCPQEEISQQQESVSS LSASMHPQLSPQQSLETQYLQHRLQKPNLLPKAQSPCPVYCKEPPRSLEQQLQEHRLQQK RLFLQKQSQLQAYFNQMQIAESSYPGPSQQLALPHQETPLTSQQPPSFSLTQALSPVLEP SSEQMQFSSFLSQYPEMQLQPLPSTPGPQAPPPLPSQLQQHQQPPPPPPPPPPQQPGAAP TSLQFSYQTCELPSTTSSVPNYPASCHYPVDGAQQSNLTGADCPRSSGLQDTASSYDPLA LSELPGLFDCEMVEAVDPQHNGYVLVN >ENSMUSP00000135376.1 pep:known chromosome:GRCm38:9:50892801:51008936:-1 gene:ENSMUSG00000037112.16 transcript:ENSMUST00000176663.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sik2 description:salt inducible kinase 2 [Source:MGI Symbol;Acc:MGI:2445031] MVMADGPRHLQRGPVRVGFYDIEGTLGKGNFAVVKLGRHRITKTEVAIKIIDKSQLDAVN LEKIYREVQIMKMLDHPHIIKLYQVMETKSMLYLVTEYAKNGEIFDYLANHGRLNESEAR RKFWQILSAVDYCHGRKVVHRDLKAENLLLDNNMNIKIADFGFGNFFKTGELLATWCGSP PYAAPEVFEGQQYEGPQLDIWSMGVVLYVLVCGALPFDGPTLPILRQRVLEGRFRIPYFM SEDCEHLIRRMLVLDPSKRLSIAQIKEHKWMLIEVPVQRPILYPQEQENEPSIGEFNEQV LRLMHSLGIDQQKTVESLQNKSYNHFAAIYFLLVERLKSHRSSFPVEQRLDGRQRRPSTI AEQTVAKAQTVGLPVTLHPPNVRLMRSTLLPQASNVEAFSFPTSSCQAEAAFMEEECVDT PKVNGCLLDPVPPVLVRKGCQSLPSSMMETSIDEGLETEGEAEEDPSQAFEAFQATRSGQ RRHTLSEVTNQLVVMPGAGKMFSMSDNPSLESVDSEYDMGSAQRDLNFLEDSPSLKDIML ANQPSPRMTSPFISLRPANPAMQALSSQKREAHNRSPVSFREGRRASDTSLTQGIVAFRQ HLQNLARTKGILELNKVQLLYEQMGSNADPTLTSTAPQLQDLSSSCPQEEISQQQESVSS LSASMHPQLSPQQSLETQYLQHRLQKPNLLPKAQSPCPVYCKEPPRSLEQQLQEHRLQQK RLFLQKQSQLQAYFNQMQIAESSYPGPSQQLALPHQETPLTSQQPPSFSLTQALSPVLEP SSEQMQFSSFLSQYPEMQLQPLPSTPGPQAPPPLPSQLQQHQQPPPPPPPPPPQQPGAAP TSLQFSYQTCELPSTTSSVPNYPASCHYPVDGAQQSNLTGADCPRSSGLQDTASSYDPLA LSELPGLFDCEMVEAVDPQHNGVACPISR >ENSMUSP00000134954.1 pep:known chromosome:GRCm38:9:50892801:51008973:-1 gene:ENSMUSG00000037112.16 transcript:ENSMUST00000176491.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sik2 description:salt inducible kinase 2 [Source:MGI Symbol;Acc:MGI:2445031] MVMADGPRHLQRGPVRVGFYDIEGTLGKGNFAVVKLGRHRITKTEVAIKIIDKSQLDAVN LEKIYREVQIMKMLDHPHIIKLYQVMETKSMLYLVTEYAKNGEIFDYLANHGRLNESEAR RKFWQILSAVDYCHGRKVVHRDLKAENLLLDNNMNIKIADFGFGNFFKTGELLATWCGSP PYAAPEVFEGQQYEGPQLDIWSMGVVLYVLVCGALPFDGPTLPILRQRVLEGRFRIPYFM SEDCEHLIRRMLVLDPSKRLSIAQIKEHKWMLIEVPVQRPILYPQEQENEPSIGEFNEQV LRLMHSLGIDQQKTVESLQNKSYNHFAAIYFLLVERLKSHRSSFPVEQRLDGRQRRPSTI AEQTVAKAQTVGLPVTLHPPNVRLMRSTLLPQASNVEAFSFPTSSCQAEAAFMEEECVDT PKVNGCLLDPVPPVLVRKGCQSLPSSMMETSIDEGLETEGEAEEDPSQAFEAFQATRSGQ RRHTLSEVTNQLVVMPGAGKMFSMSDNPSLESVDSEYDMGSAQRDLNFLEDSPSLKDIML ANQPSPRMTSPFISLRPANPAMQALSSQKREAHNRSPVSFREGRRASDTSLTQGIVAFRQ HLQNLARTKGILELNKVQLLYEQMGSNADPTLTSTAPQLQDLSSSCPQEEISQQQESVSS LSASMHPQLSPQQSLETQYLQHRLQKPNLLPKAQSPCPVYCKEPPRSLEQQLQEHRLQQK RLFLQKQSQLQAYFNQMQIAESSYPGPSQQLALPHQETPLTSQQPPSFSLTQALSPVLEP SSEQMQFSSFLSQYPEMQLQPLPSTPGPQAPPPLPSQLQQHQQPPPPPPPPPPQQPGAAP TSLQFSYQTCELPSTTSSVPNYPASCHYPVDGAQQSNLTGADCPRSSGLQDTASSYDPLA LSELPGLFDCEMVEAVDPQHNGVACPISR >ENSMUSP00000038761.10 pep:known chromosome:GRCm38:9:50892801:51009073:-1 gene:ENSMUSG00000037112.16 transcript:ENSMUST00000041375.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sik2 description:salt inducible kinase 2 [Source:MGI Symbol;Acc:MGI:2445031] MVMADGPRHLQRGPVRVGFYDIEGTLGKGNFAVVKLGRHRITKTEVAIKIIDKSQLDAVN LEKIYREVQIMKMLDHPHIIKLYQVMETKSMLYLVTEYAKNGEIFDYLANHGRLNESEAR RKFWQILSAVDYCHGRKVVHRDLKAENLLLDNNMNIKIADFGFGNFFKTGELLATWCGSP PYAAPEVFEGQQYEGPQLDIWSMGVVLYVLVCGALPFDGPTLPILRQRVLEGRFRIPYFM SEDCEHLIRRMLVLDPSKRLSIAQIKEHKWMLIEVPVQRPILYPQEQENEPSIGEFNEQV LRLMHSLGIDQQKTVESLQNKSYNHFAAIYFLLVERLKSHRSSFPVEQRLDGRQRRPSTI AEQTVAKAQTVGLPVTLHPPNVRLMRSTLLPQASNVEAFSFPTSSCQAEAAFMEEECVDT PKVNGCLLDPVPPVLVRKGCQSLPSSMMETSIDEGLETEGEAEEDPSQAFEAFQATRSGQ RRHTLSEVTNQLVVMPGAGKMFSMSDNPSLESVDSEYDMGSAQRDLNFLEDSPSLKDIML ANQPSPRMTSPFISLRPANPAMQALSSQKREAHNRSPVSFREGRRASDTSLTQGIVAFRQ HLQNLARTKGILELNKVQLLYEQMGSNADPTLTSTAPQLQDLSSSCPQEEISQQQESVSS LSASMHPQLSPQQSLETQYLQHRLQKPNLLPKAQSPCPVYCKEPPRSLEQQLQEHRLQQK RLFLQKQSQLQAYFNQMQIAESSYPGPSQQLALPHQETPLTSQQPPSFSLTQALSPVLEP SSEQMQFSSFLSQYPEMQLQPLPSTPGPQAPPPLPSQLQQHQQPPPPPPPPPPQQPGAAP TSLQFSYQTCELPSTTSSVPNYPASCHYPVDGAQQSNLTGADCPRSSGLQDTASSYDPLA LSELPGLFDCEMVEAVDPQHNGVVSCLARET >ENSMUSP00000104068.3 pep:known chromosome:GRCm38:7:24884371:24889806:1 gene:ENSMUSG00000040952.16 transcript:ENSMUST00000108430.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps19 description:ribosomal protein S19 [Source:MGI Symbol;Acc:MGI:1333780] MPGVTVKDVNQQEFVRALAAFLKKSGKLKVPEWVDTVKLAKHKELAPYDENWFYTRAAST ARHLYLRGGAGVGSMTKIYGGRQRNGVRPSHFSRGSKSVARRVLQALEGLKMVEKDQDGG RKLTPQGQRDLDRIAGQVAAANKKH >ENSMUSP00000138466.1 pep:known chromosome:GRCm38:7:24884633:24889806:1 gene:ENSMUSG00000040952.16 transcript:ENSMUST00000129847.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps19 description:ribosomal protein S19 [Source:MGI Symbol;Acc:MGI:1333780] MPGVTVKDVNQQEFVRALAAFLKKSGKLKVPEWVDTVKLAKHKELAPYDENWFYTRAGFG MGTGTTMTQHSL >ENSMUSP00000104066.1 pep:known chromosome:GRCm38:7:24884636:24889802:1 gene:ENSMUSG00000040952.16 transcript:ENSMUST00000108428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps19 description:ribosomal protein S19 [Source:MGI Symbol;Acc:MGI:1333780] MPGVTVKDVNQQEFVRALAAFLKKSGKLKVPEWVDTVKLAKHKELAPYDENWFYTRAAST ARHLYLRGGAGVGSMTKIYGGRQRNGVRPSHFSRGSKSVARRVLQALEGLKMVEKDQDGG RKLTPQGQRDLDRIAGQVRGARYASVETERGGGGAGGHTGKGLKIRYTSQAVVAHAFNPS TQEAEAGGFLEVSLVCRVSARTIQRNRVLGKN >ENSMUSP00000114949.2 pep:known chromosome:GRCm38:7:24884651:24888950:1 gene:ENSMUSG00000040952.16 transcript:ENSMUST00000153451.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps19 description:ribosomal protein S19 [Source:MGI Symbol;Acc:MGI:1333780] MPGVTVKDVNQQEFVRALAAFLKKSGKLKVPEWVDTVKLAKHKELAPYDENWFYTRAAST ARHLYLRGGAGVGSMTKIYGGRQRNGVRPSHFSRGSKSVARRVLQALEGLKMVEKDQDGG RKLTPQGQRDLDR >ENSMUSP00000104067.1 pep:known chromosome:GRCm38:7:24884714:24889806:1 gene:ENSMUSG00000040952.16 transcript:ENSMUST00000108429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps19 description:ribosomal protein S19 [Source:MGI Symbol;Acc:MGI:1333780] MPGVTVKDVNQQEFVRALAAFLKKSGKLKVPEWVDTVKLAKHKELAPYDENWFYTRAAST ARHLYLRGGAGVGSMTKIYGGRQRNGVRPSHFSRGSKSVARRVLQALEGLKMVEKDQDGG RKLTPQGQRDLDRIAGQVAAANKKH >ENSMUSP00000120774.1 pep:known chromosome:GRCm38:7:24884813:24888930:1 gene:ENSMUSG00000040952.16 transcript:ENSMUST00000156372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps19 description:ribosomal protein S19 [Source:MGI Symbol;Acc:MGI:1333780] MPDRRNPGARRMPGVTVKDVNQQEFVRALAAFLKKSGKLKVPEWVDTVKLAKHKELAPYD ENWFYTRAASTARHLYLRGGAGVGSMTKIYGGRQRNGVRPSHFSRGSKSVARRVLQALEG LKMVEKDQDGGRKLTPQG >ENSMUSP00000116311.1 pep:known chromosome:GRCm38:7:24884917:24888972:1 gene:ENSMUSG00000040952.16 transcript:ENSMUST00000124035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps19 description:ribosomal protein S19 [Source:MGI Symbol;Acc:MGI:1333780] MLRLWGGLATSRVGVDLDPRQGFAPSLTSACLSLPQMPGVTVKDVNQQEFVRALAAFLKK SGKLKVPEWVDTVKLAKHKELAPYDENWFYTRAASTARHLYLRGGAGVGSMTKIYGGRQR NGVRPSHFSRGSKSVARRVLQALEGLKMVEKDQDGGRKLTPQGQRDLDRIAGQVRGA >ENSMUSP00000047278.1 pep:known chromosome:GRCm38:19:47131823:47138294:-1 gene:ENSMUSG00000033033.10 transcript:ENSMUST00000035822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calhm2 description:calcium homeostasis modulator 2 [Source:MGI Symbol;Acc:MGI:1919941] MAALIAENFRFLSLFFKSKDVMIFNGLVALGTVGSQELFSVVAFHCPCSPARNYLYGLTA IGVPALALFLIGVILNNHTWNLVAECQYRRAKNCSAAPNFLLLSSILGRAAVAPVTWSVI SLLRGEAYVCALSEFVDPSSLTAGDKGFPPAHATEVLARFPCGEGPANLSSFREEVSRRL KYESQLFGWLLIGVVAILVFLTKCLKHYCSPLSYRQEAYWAQYRTNEDQLFQRTAEVHSR VLAANNVRRFFGFVALNKDDEELVAKFPVEGTQPRPQWNAITGVYLYRENQGLPLYSRLH KWAQGLTGNGTAPDNVEMALLTA >ENSMUSP00000121661.1 pep:known chromosome:GRCm38:19:47105353:47138294:-1 gene:ENSMUSG00000033033.10 transcript:ENSMUST00000140512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calhm2 description:calcium homeostasis modulator 2 [Source:MGI Symbol;Acc:MGI:1919941] MAALIAENFRFLSLFFKSKDVMIFNGLVALGTVGSQELFSVVAFHCPCSPARNYLYGLTA IGVPALALFLIGVILNNHTWNLVAECQYRRAKNCSAAPNFLLLSSILGRAAVAPVTWSVI SLLRGEAYVCALSEFVDPSSLTAGDKGFPPAHATEVLARFPCGEGPANLSSFREEVSRRL KYESQLFGWLLIGVVAILVFLTKCLKHYCSPLSYRQEAYWAQYRTNEDQLFQRTAEVHSR VLAANNVRRFFGFVALNKDDEELVAKFPVEGTQPRPQWNAITGVYLYRENQGLPLYSRLH KWAQGLTGLQVRTTTPSLGGAR >ENSMUSP00000070345.7 pep:known chromosome:GRCm38:7:127904082:127910221:1 gene:ENSMUSG00000030802.14 transcript:ENSMUST00000071056.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdk description:branched chain ketoacid dehydrogenase kinase [Source:MGI Symbol;Acc:MGI:1276121] MILTSVLGSGPRSWSSLWPLLGSSLSLRARSTSATDTHHVELARERSKTVTSFYNQSAID VAAEKPSVRLTPTMMLYSGRSQDGSHLLKSGRYLQQELPVRIAHRIKGFRSLPFIIGCNP TILHVHELYIRAFQKLTDFPPIKDQADEAQYCQLVRQLLDDHKDVVTLLAEGLRESRKHI QDEKLVRYFLDKTLTSRLGIRMLATHHLALHEDKPDFVGIICTRLSPKKIIEKWVDFARR LCEHKYGNAPRVRINGHVAARFPFIPMPLDYILPELLKNAMRATMESHLDTPYNVPDVVI TIANNDIDLIIRISDRGGGIAHKDLDRVMDYHFTTAEASTQDPRINPLFGHLDMHSGGQS GPMHGFGFGLPTSRAYAEYLGGSLQLQSLQGIGTDVYLRLRHIDGREESFRI >ENSMUSP00000116990.1 pep:known chromosome:GRCm38:7:127904640:127906171:1 gene:ENSMUSG00000030802.14 transcript:ENSMUST00000151451.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdk description:branched chain ketoacid dehydrogenase kinase [Source:MGI Symbol;Acc:MGI:1276121] MILTSVLGSGPRSWSSLWPLLGSSLSLRARSTSATDTHHVELARERSKTVTSFYNQSAID VAAEKPSVRLTPTMMLYSGRSQDGSHLLKSGRYLQQELPVRIAHRIKGFRSLPFIIGCNP TILHVHELYIRAFQKLTDFPPIKDQADEAQYCQLVRQLLDDHKDVVTLLAEGLRESRKHI QDEKLVRYFLDKTLTSRLGIRMLATHHLALHEDK >ENSMUSP00000146115.1 pep:known chromosome:GRCm38:7:127904762:127908608:1 gene:ENSMUSG00000030802.14 transcript:ENSMUST00000124533.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdk description:branched chain ketoacid dehydrogenase kinase [Source:MGI Symbol;Acc:MGI:1276121] MILTSVLGSGPRSWSSLWPLLGSSLSLRARSTSATDTHHVELARERSKTVTSFYNQSAID VAAEKPSVRLTPTMMLYSGRSQDGSHLLKSGRYLQQELPVRIAHRIKGFRSLPFIIGCNP TILHVHELYIRAFQKLTDFPPIKDQADEAQYCQLVRQLLDDHKDVVTLLAEGLRESRKHI QDEKLVRYFLDKTLTSRLGIRMLATHHLALHEDKPDFVGIICTRLSPKKIIEKWVDFARR LCEHKYGNAPRVRINGHVAARFPFIPMPLDYILPELLKNAMRATMESHLDTPYNVPDVVI TIANNDIDLIIRISDRGGGIAHKDLDRVMDYHFTTAEASTQDPRINPLFGHLDMHSGGQS GPMHG >ENSMUSP00000145617.1 pep:known chromosome:GRCm38:7:127904762:127908608:1 gene:ENSMUSG00000030802.14 transcript:ENSMUST00000206745.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdk description:branched chain ketoacid dehydrogenase kinase [Source:MGI Symbol;Acc:MGI:1276121] MILTSVLGSGPRSWSSLWPLLGSSLSLRARSTSATDTHHVELARERSKTVTSFYNQSAID VAAEKPSVRLTPTMMLYSGRSQDGSHLLKSGRYLQQELPVRIAHRIKGFRSLPFIIGCNP TILHVHELYIRAFQKLTDFPPIKDQADEAQYCQLVRQLLDDHKDVVTLLAEGLRESRKHI QDEKLVRYFLDKTLTSRLGIRMLATHHLALHEDKPDFVGIICTRLSPKKIIEKWVDFARR LCEHKYGNAPRVRINGHVAARFPFIPMPLDYILPELLKNAMRISDRGGGIAHKDLDRVMD YHFTTAEASTQDPRINPLFGHLDMHSGGQSGPMHG >ENSMUSP00000146303.1 pep:known chromosome:GRCm38:7:127904917:127906383:1 gene:ENSMUSG00000030802.14 transcript:ENSMUST00000206140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdk description:branched chain ketoacid dehydrogenase kinase [Source:MGI Symbol;Acc:MGI:1276121] MILTSVLGSGPRSWSSLWPLLGSSLSLRARSTSATDTHHVELARERSKTVTSFYNQSAID VAAEKPSVRLTPTMMLYSGRSQDGSHLLKSGRYLQQELPVRIAHRIKGFRSLPFIIGCNP TILHVKLTDFPPIKDQADEAQYCQLVRQLLDDHKDVVTLLAEGLRESRKHIQDEKLVRYF LDKTLTSRLGIRMLATHHLALHEDKPDFVGIICTRLS >ENSMUSP00000146249.1 pep:known chromosome:GRCm38:7:127907240:127908600:1 gene:ENSMUSG00000030802.14 transcript:ENSMUST00000206068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdk description:branched chain ketoacid dehydrogenase kinase [Source:MGI Symbol;Acc:MGI:1276121] XGNAPRVRINGHVAARFPFIPMPLDYILPELLKNAMRATMESHLDTPYNVPDVVITIANN DIDLIIRISDRGGGIAHKDLDRVMDYHFTTAEASTQDPRINPLFGHLDMHSGGQSGPMHG PCRALARMSTYGSATLMVGRKASESNGGFWPASLPHSSEDLLGLAGGRWAPQTATSLYGA >ENSMUSP00000098200.3 pep:known chromosome:GRCm38:15:60818994:60825080:-1 gene:ENSMUSG00000072568.4 transcript:ENSMUST00000100635.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam84b description:family with sequence similarity 84, member B [Source:MGI Symbol;Acc:MGI:3026924] MGNQVEKLTHLSYKEVPTADPTGVDRDDGPRIGVSYIFSNDDEDVEPQPPPQGLDGGALS DSGDRPPLPPPQPYDPRQHEVECSVFYRDECIYQKSFAPGSAALSTYTPENLLNKCSPGD LVEFVSQAQYPHWAVYVGNFQVVHLHRLEVSNSFLTDASQGRRGRVVNDLYRYKPLSPSA VVRNALAHVGAKERELSWRNSESFAAWCRYGKREFKIGGELRIGKQPYRLQIQLSAQRSH TLEFQSLEDLIMEKRRNDQIGRAAVLQELATHLHPAEPDEGDSDATRTTPSPLRPPASGS EEENGDSVVH >ENSMUSP00000137289.1 pep:known chromosome:GRCm38:9:102739648:102756685:1 gene:ENSMUSG00000093812.1 transcript:ENSMUST00000178539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5627 description:predicted gene 5627 [Source:MGI Symbol;Acc:MGI:3645308] MCWQRSVCMRAGPTDLEEHAGFRVPCEQPHQSCVRWILTTSLWIGAAQEAVQLDTELGKH TPIDGACFANIPDCCGLYNPLNDEQLHGLVLGHTPVNITAQGRTKAPEPR >ENSMUSP00000020702.4 pep:known chromosome:GRCm38:11:7206086:7213897:-1 gene:ENSMUSG00000020427.11 transcript:ENSMUST00000020702.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp3 description:insulin-like growth factor binding protein 3 [Source:MGI Symbol;Acc:MGI:96438] MHPARPALWAAALTALTLLRGPPVARAGAGAVGAGPVVRCEPCDARALSQCAPPPTAPAC TELVREPGCGCCLTCALREGDACGVYTERCGTGLRCQPRPAEQYPLRALLNGRGFCANAS AAGSLSTYLPSQPAPGNISESEEEHNAGSVESQVVPSTHRVTDSKFHPLHAKMDVIKKGH ARDSQRYKVDYESQSTDTQNFSSESKRETEYGPCRREMEDTLNHLKFLNVLSPRGVHIPN CDKKGFYKKKQCRPSKGRKRGFCWCVDKYGQPLPGYDTKGKDDVHCLSVQSQ >ENSMUSP00000131670.1 pep:known chromosome:GRCm38:11:7207686:7213923:-1 gene:ENSMUSG00000020427.11 transcript:ENSMUST00000135887.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp3 description:insulin-like growth factor binding protein 3 [Source:MGI Symbol;Acc:MGI:96438] MHPARPALWAAALTALTLLRGPPVARAGAGAVGAGPVVRCEPCDARALSQCAPPPTAPAC TELVREPGCGCCLTCALREGDACGVYTERCGTGLRCQPRPAEQYPLRALLNGRGFCANAS AAGSLSTYLPSQPAPGNISESEEEHNAGSVESQVVPSTHRVTDSKFHPLHAKMDVIKKGH ARDSQRYKVDYESQSTDTQNFSSESKRETEYGPCRREMEDTLNHLKFLNVLSPRGVHIPN CDKKGFYKKKQCRPSKGRKRGFCWCVDKYGQPLPGYDTKGKDDVHCLSVQSQ >ENSMUSP00000073072.6 pep:known chromosome:GRCm38:1:131638306:131675505:1 gene:ENSMUSG00000004552.16 transcript:ENSMUST00000073350.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctse description:cathepsin E [Source:MGI Symbol;Acc:MGI:107361] MKPLLVLLLLLLLDLAQAQGALHRVPLRRHQSLRKKLRAQGQLSEFWRSHNLDMTRLSES CNVYSSVNEPLINYLDMEYFGTISIGTPPQNFTVIFDTGSSNLWVPSVYCTSPACKAHPV FHPSQSDTYTEVGNHFSIQYGTGSLTGIIGADQVSVEGLTVDGQQFGESVKEPGQTFVNA EFDGILGLGYPSLAAGGVTPVFDNMMAQNLVALPMFSVYLSSDPQGGSGSELTFGGYDPS HFSGSLNWIPVTKQAYWQIALDGIQVGDTVMFCSEGCQAIVDTGTSLITGPPDKIKQLQE AIGATPIDGEYAVDCATLDTMPNVTFLINEVSYTLNPTDYILPDLVEGMQFCGSGFQGLD IPPPAGPLWILGDVFIRQFYSVFDRGNNQVGLAPAVP >ENSMUSP00000108030.1 pep:known chromosome:GRCm38:1:131638494:131675503:1 gene:ENSMUSG00000004552.16 transcript:ENSMUST00000112411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctse description:cathepsin E [Source:MGI Symbol;Acc:MGI:107361] MKPLLVLLLLLLLDLAQAQGALHRVPLRRHQSLRKKLRAQGQLSEFWRSHNLDMTRLSES CNVYSSVNEPLINYLDMEYFGTISIGTPPQNFTVIFDTGSSNLWVPSVYCTSPACKAHPV FHPSQSDTYTEVGNHFSIQYGTGSLTGIIGADQVSVEGLTVDGQQFGESVKEPGQTFVNA EFDGILGLGYPSLAAGGVTPVFDNMMAQNLVALPMFSVYLSSDPQGGSGSELTFGGYDPS HFSGSLNWIPVTKQAYWQIALDGIQVGDTVMFCSEGCQAIVDTGTSLITGPPDKIKQLQE AIGATPIDGEDLVEGMQFCGSGFQGLDIPPPAGPLWILGDVFIRQFYSVFDRGNNQVGLA PAVP >ENSMUSP00000135834.1 pep:known chromosome:GRCm38:7:43427670:43435991:1 gene:ENSMUSG00000093639.2 transcript:ENSMUST00000177375.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm42419 description:predicted gene, 42419 [Source:MGI Symbol;Acc:MGI:5649026] MDELEDGALSNGDNLSLPSAGTESWPTSATPGLPPSLLSTLDPTHLGLPEQLASVTVPIR LDTLSYLLHSALLGTYNLQQSLPPCSCTAQPSHIWPDTVRRPPRRSGQARGGWEVRRRPS RGWGRGRGRGRVWAQSQRGPERAEERERNMAGEPGAGPSTPPVTPPSQDGQKEAGGLSED WEADY >ENSMUSP00000135513.1 pep:known chromosome:GRCm38:7:43427622:43428873:1 gene:ENSMUSG00000097437.2 transcript:ENSMUST00000177164.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm38999 description:predicted gene, 38999 [Source:MGI Symbol;Acc:MGI:5621884] MDELEDGALSNGDNLSLPSAGTESWPTSATPGLPPSLLSTLDPTHLGLPEQLASVTVPIR LDTLSYLLHSALLGTYNLQQSLPPCSCTAQPSHIWPDTVRRPPRRSGQARGGWEVRRRPS RGWGRGRGRGRVWAQSQRGPERAEERERNMAGEPGAGPSTPPVTPPSQDGQKEAGGLSED WEADY >ENSMUSP00000004732.5 pep:known chromosome:GRCm38:7:43430088:43435996:1 gene:ENSMUSG00000004613.7 transcript:ENSMUST00000004732.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lim2 description:lens intrinsic membrane protein 2 [Source:MGI Symbol;Acc:MGI:104698] MYSFMGGGLFCAWVGTILLVVATATDHWMQYRLSGSFAHQGLWRYCLGNKCFLQTESIAY WNATRAFMILSALCATSGIIMGVLAFAQQSTFTRLSRPFSAGIMFFASTLFVLLALAIYT GVTVSFLGRRFGDWRFSWSYILGWVALLMTFFAGIFYMCAYRMHECRRLATPR >ENSMUSP00000022120.4 pep:known chromosome:GRCm38:13:91741512:91786148:1 gene:ENSMUSG00000021620.4 transcript:ENSMUST00000022120.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot12 description:acyl-CoA thioesterase 12 [Source:MGI Symbol;Acc:MGI:1921406] MESMVAPGEVLMSQAIQPAHADSRGELSAGQLLKWMDTTACLAAEKHAGISCVTASMDDI LFEDTARIGQIITIRAKVTRAFSTSMEISIKVIVQDKFTGIQKLLCVAFSTFVAKPVGKE KVHLKPVLLQTEQEQVEHNLASERRKVRLQHENTFNNIMKESSRFSDSICNEEEGTATTM GTSVQSIELVLPPHANHHGNTFGGQIMAWMETVATISASRLCHGHPFLKSVDMFKFRGPS TVGDRLVFSAIVNNTFQNSVEVGVRVEAFDCQEWAEGQGRHINSAFLIYNAVDDQEKLIT FPRIQPISKDDFRRYQGAIARRRIRLGRKYVISHKKEVPLSAQWDISKKGSLSNTNVEAL KNLASKSGWEITTTLEKIKIYTLEEQDAISVKVEKLVGSPAHIAYHLLSDLTKRPLWDPH YISCEVIDQVSEDDQIYYITCSVVNGDKPKDFVVLVSRRKPLKDNNTYTVALRSVVLPSV PSSPQYIRSEVICAGFLIQAVDSNSCTVTYLNQMSDSILPYFAGNIGGWSKSIEEAAASC IKFIENATPDGLKSVL >ENSMUSP00000045284.3 pep:known chromosome:GRCm38:13:30540308:30545362:-1 gene:ENSMUSG00000038462.3 transcript:ENSMUST00000042834.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrfs1 description:ubiquinol-cytochrome c reductase, Rieske iron-sulfur polypeptide 1 [Source:MGI Symbol;Acc:MGI:1913944] MLSVAARSGPFAPVLSATSRGVAGALRPLLQGAVPAASEPPVLDVKRPFLCRESLSGQAA ARPLVATVGLNVPASVRFSHTDVKVPDFSDYRRAEVLDSTKSSKESSEARKGFSYLVTAT TTVGVAYAAKNVVSQFVSSMSASADVLAMSKIEIKLSDIPEGKNMAFKWRGKPLFVRHRT KKEIDQEAAVEVSQLRDPQHDLDRVKKPEWVILIGVCTHLGCVPIANAGDFGGYYCPCHG SHYDASGRIRKGPAPLNLEVPAYEFTSDDVVVVG >ENSMUSP00000009340.8 pep:known chromosome:GRCm38:1:173896345:173913046:-1 gene:ENSMUSG00000026536.9 transcript:ENSMUST00000009340.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mnda description:myeloid cell nuclear differentiation antigen [Source:MGI Symbol;Acc:MGI:3041120] MVNEYKRIVLLRGLECINKHYFSLFKSLLARDLNLERDNQEQYTTIQIANMMEEKFPADS GLGKLIEFCEEVPALRKRAEILKKERSEVTGETSLEKNGQEAGPATPTSTTSHMLASERG ETSATQEETSTAQAGTSTAQAGTSTAQAGTSTAQKRKSMREEETGVKKSKAAKEPDQPPC CEEPTAMCQSPILHSSSSASSNILSAKNQKSQPQNQNIPRGAVLHSEPLTVMVLTATDPF EYESPEHEVKNMFHATVATVSQYFHVKVFNIDLKEKFTKNNFITISNYFESKGILEINET SSVLEAAPKQMIEVPNCITRNANASPKICDIQKGTSGTVFYGVFTLHKKKVKTQNTSYEI KDGSGSIEVVGSGQWHNINCKEGDKLHLFCFHLKRERGQPKLVCGDHSFVKVTKAGKKKE ASTVQ >ENSMUSP00000015622.7 pep:known chromosome:GRCm38:17:34601091:34603690:-1 gene:ENSMUSG00000015478.13 transcript:ENSMUST00000015622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf5 description:ring finger protein 5 [Source:MGI Symbol;Acc:MGI:1860076] MAAAEEEDGGPEGPNRERGGASATFECNICLETAREAVVSVCGHLYCWPCLHQWLETRPD RQECPVCKAGISREKVVPLYGRGSQKPQDPRLKTPPRPQGQRPAPESRGGFQPFGDAGGF HFSFGVGAFPFGFFTTVFNAHEPFRRGAGVDLGQGHPASSWQDSLFLFLAIFFFFWLLSI >ENSMUSP00000061079.6 pep:known chromosome:GRCm38:7:4147915:4150374:-1 gene:ENSMUSG00000043432.7 transcript:ENSMUST00000058358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leng9 description:leukocyte receptor cluster (LRC) member 9 [Source:MGI Symbol;Acc:MGI:2444509] MEASADSSEAPAVCRFFLEGRCRFGARCRQPHPGAPAPSPVVTQPEAGSKKPALRTAADV IRRIRWDPRLDPADFSVGYTDRFLGVQEEPFCAFCWDEPLAALGPGVLAVPQHRIRYFRF RGRLVWDRASRTDLIFGSGSVAGRGPTILDALDGGDEHWTEVTAEIPDTEKTGVGLEGLD TQDALAEAGGNPTGTGLDSGLETHEEGGAIKETRTGLDSSLETPEVDGPTKETGLNGTTE LEMPDPSMNFSGVKISSVEEPRATLLPQWQAQGMETKGLSAEEMGNVWDPGVWPDDRRAP RQPRPTHFVALMVTESGLRAEVVKAQEHLVRIAPSCAEFLVPAQALHLTVVLLRLTGPGE EAAAARALRRAILKPGLQAPSQLQFRDLVLLGHHVLCATPSPTLTGMAQTLNQRLEAEGL RVVLLPELQPHLTLAKVPHGTQVCLPKPEYTLNQELGRQPLSKLWLCRMGRAGHSYLPLV EISLK >ENSMUSP00000055326.4 pep:known chromosome:GRCm38:5:69109285:69341679:-1 gene:ENSMUSG00000037653.7 transcript:ENSMUST00000054095.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd8 description:potassium channel tetramerisation domain containing 8 [Source:MGI Symbol;Acc:MGI:2443804] MALKDTGSGGSTILPISEMVSASSSPGAPLAAAPGPCAPSPFPEVVELNVGGQVYVTKHS TLLSVPDSTLASMFSPSSPRGGARRRGDLPRDSRARFFIDRDGFLFRYVLDYLRDKQLAL PEHFPEKERLLREAEFFQLTDLVKLLSPKVTKQNSLNDECCQSDLEDNVSQGSSDALLLR GAAAGAPSGSGAHGVSGVVGGGSAPDKRSGFLTLGYRGSYTTVRDNQADAKFRRVARIMV CGRIALAKEVFGDTLNESRDPDRQPEKYTSRFYLKFTYLEQAFDRLSEAGFHMVACNSSG TAAFVNQYRDDKIWSSYTEYIFFRPPQKIVSPKQEHEDRKRDKVTDKGSESGTSCNELST SSCDSHSEASTPQDNPANTQQAAAHQPNTLTLDRPSRKAPVQWMPPPDKRRNSELFQSLI SKSRETNLSKKKVCEKLSVEEEMKKCIQDFKKIHIPDCFPERKRQWQSELLQKYGL >ENSMUSP00000084484.2 pep:known chromosome:GRCm38:5:69296209:69341677:-1 gene:ENSMUSG00000037653.7 transcript:ENSMUST00000087231.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd8 description:potassium channel tetramerisation domain containing 8 [Source:MGI Symbol;Acc:MGI:2443804] MALKDTGSGGSTILPISEMVSASSSPGAPLAAAPGPCAPSPFPEVVELNVGGQVYVTKHS TLLSVPDSTLASMFSPSSPRGGARRRGDLPRDSRARFFIDRDGFLFRYVLDYLRDKQLAL PEHFPEKERLLREAEFFQLTDLVKLLSPKVTKQNSLNDECCQSDLEDNVSQGSSDALLLR GAAAGAPSGSGAHGVSGVVGGGSAPDKRSGFLTLGYRGSYTTVRDNQADAKFRRVARIMV CGRIALAKEVFGDTLNESRDPDRQPEKYTSRFYLKFTYLEQAFDRLSEAGFHMVACNSSG TAAFVNQYRDDKIWSSYTEYIFFRKETAQRNCFSHFIHHMRVDGKA >ENSMUSP00000101535.1 pep:known chromosome:GRCm38:4:133011506:133077863:1 gene:ENSMUSG00000037692.14 transcript:ENSMUST00000105915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahdc1 description:AT hook, DNA binding motif, containing 1 [Source:MGI Symbol;Acc:MGI:2444218] MRVKPQGLVVTSSAVCSSPDYLREPKYYPGGPPTPRPLLPTRPPASPPDKAFSTHTFSEN PRPPPRRDPSSRRPPVLAKGDDLLPPRAARPVSQAHCPSPAPDNSSLRHWDNGRVNLRPV VQLIDIMKDLTRLSQDLQHSGVHLDCGGLRLSRPPAPPPGDLQYSFFSSPSLANSIRSPE ERANPHTKSERPSHPLYEPEPEPRDSPQPGQGHGPGAAATATGLPPEPEPDGPDYSELAD ADILSELASLTCPEAQLLEAQALEPPSPQPEPQLLDPQPRFLDPQALEPLGEGLELPPLQ PLADPLGLPSLTLQALDTLPDSLESQLLDPQALDPLPKLLDVPGRRLEPQQSLGHCQLAE PLRLDLCSPHGPPGPEGHPKYALRRTDRPKILCRRRKAGRGRKADSGPEGRLLPLPMPTG LAAALAEPPPLPPPPPPTLSGPGPVPELEPESSQTPMVPTRKGKCRGVRRMVVKMAKIPV SLGRRNKTTYKVSSLSSSLSVEGKELGLRVSSEPTPLLKMKNNGRNVVVVFPPGEMPIIL KRKRGRPPKNLLLGPGKPKEPTVVAAEAATVTAATMAMPEVKKRRRRKQKLASPQPSYAA DANDSKAEYSDVLAKLAFLNRQSQCAGRCSPPRCWTPSEPESVHQAPDTQSISQFLHRVQ GFRRRGGKTGGFGGRGGGHAAKAARCSFSDFFEGIGKKKKVVAVAAPGLVGPGLTELGHP RKRGRGEVDAVTGKPKRKRRSRKNGTLFPEQVPSGPGFGEAGAEWVGDKGGGWAPHHGHP GGQAGRNCGFQGTEARAFASTGLESGASGRGSYYAGAPSGQTELSQERQNLFTGYFRSLL DSDDSSDLLDFALSASRPESRKASGTYAGPPSSALPAQRGLATFPSRGAKASPVAVGSSG AGADPSFQPVLPSRQTFPPGRATSYGITPATSDCRAAETFPKLAPPPSAVARSPTTHPPA NTYPPQYGGYGAGQSVFASAKPFSGQDCANSKDCSFAYGSGNSLPASPSSAHSAGYAPPP TGGPCLPPSKASFFNSSEGGPFSGSAPTPLRCDSRASTVSPGGYMVPKGTTASAASVASS SSSSFQPSPENCRQFVGASQWPFRQGYGGLDWASEAFSQLYNPNFDCHGSEPNVILDISN YTPQKVKQQTAVSETFSESSSDSTQFSQPVGGGGFRRANSEASSSEGQSSLSSLEKLMMD WNEASSAPGYNWNQSVLFQSSSKPGRGRRKKVDLFEASHLGFSTSTSATASGYPSKRSTG PRQPRGGRGSGACSAKKERGGTAAKAKFIPKPQPVNPLFQDSPDLGLDYYSGDSSMSPLP SQSRAFGVGERDPCDFMGPYSMNPSTPSDGTFGQGFHCDSPSLGAAELDGKHFPPLAHPP TVFDAGLQKAYSPTCSPTLGFKEELRPPPSKLTACEPLKHGLQGASLSHAAQAHLSCRDL PLGQPHYDSPSCKGTAYWYPPGSAARSPPYEGKVGSGLLADFLGRTEAVCLSAPHLASPP ATPKADKEPLEMARPPGPPRGPAAATAGYGCPLLSDLTLSPVPRDSLLPLQDTAYRYPGF MPQAHPGLGGGPKSGFLGPMAEPHPEDTFTVTSL >ENSMUSP00000047113.7 pep:known chromosome:GRCm38:4:133012204:133077645:1 gene:ENSMUSG00000037692.14 transcript:ENSMUST00000044521.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahdc1 description:AT hook, DNA binding motif, containing 1 [Source:MGI Symbol;Acc:MGI:2444218] MRVKPQGLVVTSSAVCSSPDYLREPKYYPGGPPTPRPLLPTRPPASPPDKAFSTHTFSEN PRPPPRRDPSSRRPPVLAKGDDLLPPRAARPVSQAHCPSPAPDNSSLRHWDNGRVNLRPV VQLIDIMKDLTRLSQDLQHSGVHLDCGGLRLSRPPAPPPGDLQYSFFSSPSLANSIRSPE ERANPHTKSERPSHPLYEPEPEPRDSPQPGQGHGPGAAATATGLPPEPEPDGPDYSELAD ADILSELASLTCPEAQLLEAQALEPPSPQPEPQLLDPQPRFLDPQALEPLGEGLELPPLQ PLADPLGLPSLTLQALDTLPDSLESQLLDPQALDPLPKLLDVPGRRLEPQQSLGHCQLAE PLRLDLCSPHGPPGPEGHPKYALRRTDRPKILCRRRKAGRGRKADSGPEGRLLPLPMPTG LAAALAEPPPLPPPPPPTLSGPGPVPELEPESSQTPMVPTRKGKCRGVRRMVVKMAKIPV SLGRRNKTTYKVSSLSSSLSVEGKELGLRVSSEPTPLLKMKNNGRNVVVVFPPGEMPIIL KRKRGRPPKNLLLGPGKPKEPTVVAAEAATVTAATMAMPEVKKRRRRKQKLASPQPSYAA DANDSKAEYSDVLAKLAFLNRQSQCAGRCSPPRCWTPSEPESVHQAPDTQSISQFLHRVQ GFRRRGGKTGGFGGRGGGHAAKAARCSFSDFFEGIGKKKKVVAVAAPGLVGPGLTELGHP RKRGRGEVDAVTGKPKRKRRSRKNGTLFPEQVPSGPGFGEAGAEWVGDKGGGWAPHHGHP GGQAGRNCGFQGTEARAFASTGLESGASGRGSYYAGAPSGQTELSQERQNLFTGYFRSLL DSDDSSDLLDFALSASRPESRKASGTYAGPPSSALPAQRGLATFPSRGAKASPVAVGSSG AGADPSFQPVLPSRQTFPPGRATSYGITPATSDCRAAETFPKLAPPPSAVARSPTTHPPA NTYPPQYGGYGAGQSVFASAKPFSGQDCANSKDCSFAYGSGNSLPASPSSAHSAGYAPPP TGGPCLPPSKASFFNSSEGGPFSGSAPTPLRCDSRASTVSPGGYMVPKGTTASAASVASS SSSSFQPSPENCRQFVGASQWPFRQGYGGLDWASEAFSQLYNPNFDCHGSEPNVILDISN YTPQKVKQQTAVSETFSESSSDSTQFSQPVGGGGFRRANSEASSSEGQSSLSSLEKLMMD WNEASSAPGYNWNQSVLFQSSSKPGRGRRKKVDLFEASHLGFSTSTSATASGYPSKRSTG PRQPRGGRGSGACSAKKERGGTAAKAKFIPKPQPVNPLFQDSPDLGLDYYSGDSSMSPLP SQSRAFGVGERDPCDFMGPYSMNPSTPSDGTFGQGFHCDSPSLGAAELDGKHFPPLAHPP TVFDAGLQKAYSPTCSPTLGFKEELRPPPSKLTACEPLKHGLQGASLSHAAQAHLSCRDL PLGQPHYDSPSCKGTAYWYPPGSAARSPPYEGKVGSGLLADFLGRTEAVCLSAPHLASPP ATPKADKEPLEMARPPGPPRGPAAATAGYGCPLLSDLTLSPVPRDSLLPLQDTAYRYPGF MPQAHPGLGGGPKSGFLGPMAEPHPEDTFTVTSL >ENSMUSP00000101534.1 pep:known chromosome:GRCm38:4:133039493:133077242:1 gene:ENSMUSG00000037692.14 transcript:ENSMUST00000105914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahdc1 description:AT hook, DNA binding motif, containing 1 [Source:MGI Symbol;Acc:MGI:2444218] MRVKPQGLVVTSSAVCSSPDYLREPKYYPGGPPTPRPLLPTRPPASPPDKAFSTHTFSEN PRPPPRRDPSSRRPPVLAKGDDLLPPRAARPVSQAHCPSPAPDNSSLRHWDNGRVNLRPV VQLIDIMKDLTRLSQDLQHSGVHLDCGGLRLSRPPAPPPGDLQYSFFSSPSLANSIRSPE ERANPHTKSERPSHPLYEPEPEPRDSPQPGQGHGPGAAATATGLPPEPEPDGPDYSELAD ADILSELASLTCPEAQLLEAQALEPPSPQPEPQLLDPQPRFLDPQALEPLGEGLELPPLQ PLADPLGLPSLTLQALDTLPDSLESQLLDPQALDPLPKLLDVPGRRLEPQQSLGHCQLAE PLRLDLCSPHGPPGPEGHPKYALRRTDRPKILCRRRKAGRGRKADSGPEGRLLPLPMPTG LAAALAEPPPLPPPPPPTLSGPGPVPELEPESSQTPMVPTRKGKCRGVRRMVVKMAKIPV SLGRRNKTTYKVSSLSSSLSVEGKELGLRVSSEPTPLLKMKNNGRNVVVVFPPGEMPIIL KRKRGRPPKNLLLGPGKPKEPTVVAAEAATVTAATMAMPEVKKRRRRKQKLASPQPSYAA DANDSKAEYSDVLAKLAFLNRQSQCAGRCSPPRCWTPSEPESVHQAPDTQSISQFLHRVQ GFRRRGGKTGGFGGRGGGHAAKAARCSFSDFFEGIGKKKKVVAVAAPGLVGPGLTELGHP RKRGRGEVDAVTGKPKRKRRSRKNGTLFPEQVPSGPGFGEAGAEWVGDKGGGWAPHHGHP GGQAGRNCGFQGTEARAFASTGLESGASGRGSYYAGAPSGQTELSQERQNLFTGYFRSLL DSDDSSDLLDFALSASRPESRKASGTYAGPPSSALPAQRGLATFPSRGAKASPVAVGSSG AGADPSFQPVLPSRQTFPPGRATSYGITPATSDCRAAETFPKLAPPPSAVARSPTTHPPA NTYPPQYGGYGAGQSVFASAKPFSGQDCANSKDCSFAYGSGNSLPASPSSAHSAGYAPPP TGGPCLPPSKASFFNSSEGGPFSGSAPTPLRCDSRASTVSPGGYMVPKGTTASAASVASS SSSSFQPSPENCRQFVGASQWPFRQGYGGLDWASEAFSQLYNPNFDCHGSEPNVILDISN YTPQKVKQQTAVSETFSESSSDSTQFSQPVGGGGFRRANSEASSSEGQSSLSSLEKLMMD WNEASSAPGYNWNQSVLFQSSSKPGRGRRKKVDLFEASHLGFSTSTSATASGYPSKRSTG PRQPRGGRGSGACSAKKERGGTAAKAKFIPKPQPVNPLFQDSPDLGLDYYSGDSSMSPLP SQSRAFGVGERDPCDFMGPYSMNPSTPSDGTFGQGFHCDSPSLGAAELDGKHFPPLAHPP TVFDAGLQKAYSPTCSPTLGFKEELRPPPSKLTACEPLKHGLQGASLSHAAQAHLSCRDL PLGQPHYDSPSCKGTAYWYPPGSAARSPPYEGKVGSGLLADFLGRTEAVCLSAPHLASPP ATPKADKEPLEMARPPGPPRGPAAATAGYGCPLLSDLTLSPVPRDSLLPLQDTAYRYPGF MPQAHPGLGGGPKSGFLGPMAEPHPEDTFTVTSL >ENSMUSP00000101536.1 pep:known chromosome:GRCm38:4:133011506:133078110:1 gene:ENSMUSG00000037692.14 transcript:ENSMUST00000105916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahdc1 description:AT hook, DNA binding motif, containing 1 [Source:MGI Symbol;Acc:MGI:2444218] MRVKPQGLVVTSSAVCSSPDYLREPKYYPGGPPTPRPLLPTRPPASPPDKAFSTHTFSEN PRPPPRRDPSSRRPPVLAKGDDLLPPRAARPVSQAHCPSPAPDNSSLRHWDNGRVNLRPV VQLIDIMKDLTRLSQDLQHSGVHLDCGGLRLSRPPAPPPGDLQYSFFSSPSLANSIRSPE ERANPHTKSERPSHPLYEPEPEPRDSPQPGQGHGPGAAATATGLPPEPEPDGPDYSELAD ADILSELASLTCPEAQLLEAQALEPPSPQPEPQLLDPQPRFLDPQALEPLGEGLELPPLQ PLADPLGLPSLTLQALDTLPDSLESQLLDPQALDPLPKLLDVPGRRLEPQQSLGHCQLAE PLRLDLCSPHGPPGPEGHPKYALRRTDRPKILCRRRKAGRGRKADSGPEGRLLPLPMPTG LAAALAEPPPLPPPPPPTLSGPGPVPELEPESSQTPMVPTRKGKCRGVRRMVVKMAKIPV SLGRRNKTTYKVSSLSSSLSVEGKELGLRVSSEPTPLLKMKNNGRNVVVVFPPGEMPIIL KRKRGRPPKNLLLGPGKPKEPTVVAAEAATVTAATMAMPEVKKRRRRKQKLASPQPSYAA DANDSKAEYSDVLAKLAFLNRQSQCAGRCSPPRCWTPSEPESVHQAPDTQSISQFLHRVQ GFRRRGGKTGGFGGRGGGHAAKAARCSFSDFFEGIGKKKKVVAVAAPGLVGPGLTELGHP RKRGRGEVDAVTGKPKRKRRSRKNGTLFPEQVPSGPGFGEAGAEWVGDKGGGWAPHHGHP GGQAGRNCGFQGTEARAFASTGLESGASGRGSYYAGAPSGQTELSQERQNLFTGYFRSLL DSDDSSDLLDFALSASRPESRKASGTYAGPPSSALPAQRGLATFPSRGAKASPVAVGSSG AGADPSFQPVLPSRQTFPPGRATSYGITPATSDCRAAETFPKLAPPPSAVARSPTTHPPA NTYPPQYGGYGAGQSVFASAKPFSGQDCANSKDCSFAYGSGNSLPASPSSAHSAGYAPPP TGGPCLPPSKASFFNSSEGGPFSGSAPTPLRCDSRASTVSPGGYMVPKGTTASAASVASS SSSSFQPSPENCRQFVGASQWPFRQGYGGLDWASEAFSQLYNPNFDCHGSEPNVILDISN YTPQKVKQQTAVSETFSESSSDSTQFSQPVGGGGFRRANSEASSSEGQSSLSSLEKLMMD WNEASSAPGYNWNQSVLFQSSSKPGRGRRKKVDLFEASHLGFSTSTSATASGYPSKRSTG PRQPRGGRGSGACSAKKERGGTAAKAKFIPKPQPVNPLFQDSPDLGLDYYSGDSSMSPLP SQSRAFGVGERDPCDFMGPYSMNPSTPSDGTFGQGFHCDSPSLGAAELDGKHFPPLAHPP TVFDAGLQKAYSPTCSPTLGFKEELRPPPSKLTACEPLKHGLQGASLSHAAQAHLSCRDL PLGQPHYDSPSCKGTAYWYPPGSAARSPPYEGKVGSGLLADFLGRTEAVCLSAPHLASPP ATPKADKEPLEMARPPGPPRGPAAATAGYGCPLLSDLTLSPVPRDSLLPLQDTAYRYPGF MPQAHPGLGGGPKSGFLGPMAEPHPEDTFTVTSL >ENSMUSP00000113919.1 pep:known chromosome:GRCm38:5:39613935:39755475:-1 gene:ENSMUSG00000051022.7 transcript:ENSMUST00000117944.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st1 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1201606] MTLLLLGAVLLVAQPQLVHSHPAAPGPGLKQQELLRKVIILPEDTGEGTASNGSTQQLPQ TIIIGVRKGGTRALLEMLSLHPDVAAAENEVHFFDWEEHYSQGLGWYLTQMPFSSPHQLT VEKTPAYFTSPKVPERIHSMNPTIRLLLILRDPSERVLSDYTQVLYNHLQKHKPYPPIED LLMRDGRLNLDYKALNRSLYHAHMLNWLRFFPLGHIHIVDGDRLIRDPFPEIQKVERFLK LSPQINASNFYFNKTKGFYCLRDSGKDRCLHESKGRAHPQVDPKLLDKLHEYFHEPNKKF FKLVGRTFDWH >ENSMUSP00000051055.6 pep:known chromosome:GRCm38:5:39613939:39644634:-1 gene:ENSMUSG00000051022.7 transcript:ENSMUST00000053116.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st1 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1201606] MTLLLLGAVLLVAQPQLVHSHPAAPGPGLKQQELLRKVIILPEDTGEGTASNGSTQQLPQ TIIIGVRKGGTRALLEMLSLHPDVAAAENEVHFFDWEEHYSQGLGWYLTQMPFSSPHQLT VEKTPAYFTSPKVPERIHSMNPTIRLLLILRDPSERVLSDYTQVLYNHLQKHKPYPPIED LLMRDGRLNLDYKALNRSLYHAHMLNWLRFFPLGHIHIVDGDRLIRDPFPEIQKVERFLK LSPQINASNFYFNKTKGFYCLRDSGKDRCLHESKGRAHPQVDPKLLDKLHEYFHEPNKKF FKLVGRTFDWH >ENSMUSP00000114997.1 pep:known chromosome:GRCm38:5:39614769:39645246:-1 gene:ENSMUSG00000051022.7 transcript:ENSMUST00000137142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st1 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1201606] MTLLLLGAVLLVAQPQLVHSHPAAPGPGLKQQELLRKVIILPEDTGEGTASNGSTQQLPQ TIIIGVRKGGTRALLEMLSLHPDVAAAENEVHFFDWEEHYSQGLGWYLTQMPFSSPHQLT VEKTPAYFTSPKVPERIHSMNPTIRLLLILRDPSERVLSDYTQVLYNHLQKHKPYPP >ENSMUSP00000118060.1 pep:known chromosome:GRCm38:5:39615074:39644597:-1 gene:ENSMUSG00000051022.7 transcript:ENSMUST00000152057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st1 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1201606] MTLLLLGAVLLVAQPQLVHSHPAAPGPGLKQQELLRKVIILPEDTGEGTASNGSTQQLPQ TIIIGVRKGGTRALL >ENSMUSP00000108480.1 pep:known chromosome:GRCm38:6:117841180:117845212:1 gene:ENSMUSG00000059689.14 transcript:ENSMUST00000112859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp637 description:zinc finger protein 637 [Source:MGI Symbol;Acc:MGI:2448537] MFGFPTATLLDCHGRYAQNVAFFSECDDRSPQV >ENSMUSP00000120884.1 pep:known chromosome:GRCm38:6:117841181:117845519:1 gene:ENSMUSG00000059689.14 transcript:ENSMUST00000137224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp637 description:zinc finger protein 637 [Source:MGI Symbol;Acc:MGI:2448537] MFGFPTATLLDCHGRYAQNVAFFTYWCILHDFPLVLPDVMTEAHKYDPSEATGSSSWDFQ SSFRREKLEQKSPESKALQEDSPGVRQKVYDCQECGKSFRQKGSLTLHERIHTGQKPFEC TQCGKSFRAKGNLVTHQRIHTGEKPYQCKECGKSFSQRGSLAVHERLHTGQKPYECAICQ RSFRNQSNLAVHRRVHSGEKPY >ENSMUSP00000108482.1 pep:known chromosome:GRCm38:6:117841246:117845956:1 gene:ENSMUSG00000059689.14 transcript:ENSMUST00000112861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp637 description:zinc finger protein 637 [Source:MGI Symbol;Acc:MGI:2448537] MFGFPTATLLDCHGRYAQNVAFFNVMTEAHKYDPSEATGSSSWDFQSSFRREKLEQKSPE SKALQEDSPGVRQKVYDCQECGKSFRQKGSLTLHERIHTGQKPFECTQCGKSFRAKGNLV THQRIHTGEKPYQCKECGKSFSQRGSLAVHERLHTGQKPYECAICQRSFRNQSNLAVHRR VHSGEKPYRCDQCGKAFSQKGSLIVHIRVHTGLKPYACSHCRKSFHTRGNCLLHGKVHTG ETPYLCGQCGKSFTQRGSLAVHQRSCSQRLTL >ENSMUSP00000123106.1 pep:known chromosome:GRCm38:6:117841268:117845413:1 gene:ENSMUSG00000059689.14 transcript:ENSMUST00000136889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp637 description:zinc finger protein 637 [Source:MGI Symbol;Acc:MGI:2448537] MFGFPTATLLDCHGRYAQNVAFFNVMTEAHKYDPSEATGSSSWDFQSSFRREKLEQKSPE SKALQEDSPGVRQKVYDCQECGKSFRQKGSLTLHERIHTGQKPFECTQCGKSFRAKGNLV THQRIHTGEKPYQCKECGKSFSQRGSLAVHERL >ENSMUSP00000115733.1 pep:known chromosome:GRCm38:6:117841268:117845413:1 gene:ENSMUSG00000059689.14 transcript:ENSMUST00000134526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp637 description:zinc finger protein 637 [Source:MGI Symbol;Acc:MGI:2448537] MFGFPTATLLDCHGRYAQNVAFFNVMTEAHKYDPSEATGSSSWDFQSSFRREKLEQKSPE SKALQEDSPGVRQKVYDCQECGKSFRQKGSLTLHERIHTGQKPFECTQCGKSFRAKGNLV THQRIHTGEKPYQCKECGKSFSQRGSLAVHERL >ENSMUSP00000108479.1 pep:known chromosome:GRCm38:6:117841281:117845413:1 gene:ENSMUSG00000059689.14 transcript:ENSMUST00000112858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp637 description:zinc finger protein 637 [Source:MGI Symbol;Acc:MGI:2448537] MFGFPTATLLDCHGRYAQNVAFFSECDDRSPQV >ENSMUSP00000108481.1 pep:known chromosome:GRCm38:6:117841281:117845956:1 gene:ENSMUSG00000059689.14 transcript:ENSMUST00000112860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp637 description:zinc finger protein 637 [Source:MGI Symbol;Acc:MGI:2448537] MFGFPTATLLDCHGRYAQNVAFFTYWCILHDFPLVLPDVMTEAHKYDPSEATGSSSWDFQ SSFRREKLEQKSPESKALQEDSPGVRQKVYDCQECGKSFRQKGSLTLHERIHTGQKPFEC TQCGKSFRAKGNLVTHQRIHTGEKPYQCKECGKSFSQRGSLAVHERLHTGQKPYECAICQ RSFRNQSNLAVHRRVHSGEKPYRCDQCGKAFSQKGSLIVHIRVHTGLKPYACSHCRKSFH TRGNCLLHGKVHTGETPYLCGQCGKSFTQRGSLAVHQRSCSQRLTL >ENSMUSP00000130144.1 pep:known chromosome:GRCm38:6:117841242:117845955:1 gene:ENSMUSG00000059689.14 transcript:ENSMUST00000164472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp637 description:zinc finger protein 637 [Source:MGI Symbol;Acc:MGI:2448537] MFGFPTATLLDCHGRYAQNVAFFNVMTEAHKYDPSEATGSSSWDFQSSFRREKLEQKSPE SKALQEDSPGVRQKVYDCQECGKSFRQKGSLTLHERIHTGQKPFECTQCGKSFRAKGNLV THQRIHTGEKPYQCKECGKSFSQRGSLAVHERLHTGQKPYECAICQRSFRNQSNLAVHRR VHSGEKPYRCDQCGKAFSQKGSLIVHIRVHTGLKPYACSHCRKSFHTRGNCLLHGKVHTG ETPYLCGQCGKSFTQRGSLAVHQRSCSQRLTL >ENSMUSP00000077402.6 pep:known chromosome:GRCm38:19:56287137:56320089:1 gene:ENSMUSG00000025075.14 transcript:ENSMUST00000078284.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Habp2 description:hyaluronic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1196378] MLVFRVLLLIALVGKSVIGLSLMSFIAPPDPDWTPDDYYYSYEQSSPDEDPSVTQTTPEN PDWYYEDDDPCQSNPCEHGGDCIIRGDTFSCSCPAPFSGSRCQTAQNKCKDNPCVHGDCL ITQKHPYYRCACKYPYTGPDCSKVLPACRPNPCQNGGVCSRHRRRSRFTCACPDQYKGKF CEIGPDDCYVGDGYSYRGKVSKTVNQNPCLYWNSHLLLQETYNMFMEDAETHGIAEHNFC RNPDGDHKPWCFVKVNSEKVKWEYCDVTVCPVPDTPNPVESLLEPVMELPGFESCGKTEV AEHAVKRIYGGFKSTAGKHPWQVSLQTSLPLTTSMPQGHFCGGALIHPCWVLTAAHCTDI NTKHLKVVLGDQDLKKTESHEQTFRVEKILKYSQYNERDEIPHNDIALLKLKPVGGHCAL ESRYVKTVCLPSDPFPSGTECHISGWGVTETGEGSRQLLDAKVKLIANPLCNSRQLYDHT IDDSMICAGNLQKPGSDTCQGDSGGPLTCEKDGTYYVYGIVSWGQECGKKPGVYTQVTKF LNWIKTTMHREAGL >ENSMUSP00000093641.4 pep:known chromosome:GRCm38:19:56287922:56320822:1 gene:ENSMUSG00000025075.14 transcript:ENSMUST00000095948.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Habp2 description:hyaluronic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1196378] MLVFRVLLLIALVGKSVIGLSLMSFIAPPDPDPCQSNPCEHGGDCIIRGDTFSCSCPAPF SGSRCQTAQNKCKDNPCVHGDCLITQKHPYYRCACKYPYTGPDCSKVLPACRPNPCQNGG VCSRHRRRSRFTCACPDQYKGKFCEIGPDDCYVGDGYSYRGKVSKTVNQNPCLYWNSHLL LQETYNMFMEDAETHGIAEHNFCRNPDGDHKPWCFVKVNSEKVKWEYCDVTVCPVPDTPN PVESLLEPVMELPGFESCGKTEVAEHAVKRIYGGFKSTAGKHPWQVSLQTSLPLTTSMPQ GHFCGGALIHPCWVLTAAHCTDINTKHLKVVLGDQDLKKTESHEQTFRVEKILKYSQYNE RDEIPHNDIALLKLKPVGGHCALESRYVKTVCLPSDPFPSGTECHISGWGVTETGEGSRQ LLDAKVKLIANPLCNSRQLYDHTIDDSMICAGNLQKPGSDTCQGDSGGPLTCEKDGTYYV YGIVSWGQECGKKPGVYTQVTKFLNWIKTTMHREAGL >ENSMUSP00000126235.1 pep:known chromosome:GRCm38:19:56287996:56316295:1 gene:ENSMUSG00000025075.14 transcript:ENSMUST00000171341.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Habp2 description:hyaluronic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1196378] MLVFRVLLLIALVGKSVIGLSLMSFIAPPDPDWTPDDYYYSYEQSSPDEDPSVTQTTPEN PDWYYEDDDPCQSNPCEHGGDCIIRGDTFSCSCPAPFSGSRCQTAQNKCKDNPCVHGDCL ITQKHPYYRCACKYPYTGPDCSKVLPACRPNPCQNGGVCSRHRRRSRFTCACPDQYKGKF CEIGPDDCYVGDGYSYRGKVSKTVNQNPCLYWNSHLLLQETYNMFMEDAETHGIAEHNFC RNPDGDHKPWCFVKVNSEKVKWEYCDVTVCPVPA >ENSMUSP00000132444.1 pep:known chromosome:GRCm38:19:56287996:56319635:1 gene:ENSMUSG00000025075.14 transcript:ENSMUST00000166049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Habp2 description:hyaluronic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1196378] MLVFRVLLLIALVGKSVIGLSLMSFIAPPDPDWTPDDYYYSYEQSSPDEDPSVTQTTPEN PDWYYEDDDPCQSNPCEHGGDCIIRGDTFSCSCPAPFSGSRCQTAQNKCKDNPCVHGDCL ITQKHPYYRCACKYPYTGPDCSKVLPACRPNPCQNGGVCSRHRRRSRFTCACPDQYKGKF CEIGPDDCYVGDGYSYRGKVSKTVNQNPCLYWNSHLLLQETYNMFMEDAETHGIAEHNFC RNPDGDHKPWCFVKVNSEKVKWEYCDVTVCPVPVESLLEPVMELPGFESCGKTEVAEHAV KRIYGGFKSTAGKHPWQVSLQTSLPLTTSMPQGHFCGGALIHPCWVLTAAHCTDINTKHL KVVLGDQDLKKTESHEQTFRVEKILKYSQYNERDEIPHNDIALLKLKPVGGHCALESRYV KTVCLPSDPFPSGTECHISGWGVTETGEGSRQLLDAKVKLIANPLCNSRQLYDHTIDDSM ICAGNLQKPGSDTCQGDSGGPLTCEKDGTYYVYGIVSWGQECGKKPGVYTQVTKFLNWIK TTMHREAGL >ENSMUSP00000128964.1 pep:known chromosome:GRCm38:19:56311814:56316417:1 gene:ENSMUSG00000025075.14 transcript:ENSMUST00000163502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Habp2 description:hyaluronic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1196378] HGIAEHNFCRNPDGDHKPWCFVKVNSEKVKWEYCDVTVCPVPDTPNPVESLLEPVMELPG FESCGKTEVAEHAVKRIYGGFKSTAGKHPWQVSLQTSLPLTTSMPQGHFCGGALIHPCWV LTAAHCTDINTKHLKVVLGDQDLKKTESHEQTFRVEKILKYSQYNERDEIPHNDIALLKL KPVGGHCALESRYVKTVCLPSDPFPSGTECHISGWGVTET >ENSMUSP00000130809.1 pep:known chromosome:GRCm38:19:56312948:56319629:1 gene:ENSMUSG00000025075.14 transcript:ENSMUST00000165522.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Habp2 description:hyaluronic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1196378] TVCPVPDTPNPVESLLEPVMELPGFESCGKTEVAEHAVKRIYGGFKSTAGKHPWQVSLQT SLPLTTSMPQGHFCGGALIHPCWVLTAAHCTDFAQVKASGWSLCSGIQICEDCMFAQRPL SLWN >ENSMUSP00000118832.1 pep:known chromosome:GRCm38:7:4137039:4142183:1 gene:ENSMUSG00000035545.13 transcript:ENSMUST00000128756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leng8 description:leukocyte receptor cluster (LRC) member 8 [Source:MGI Symbol;Acc:MGI:2142195] MAANVGDQRAADWSSQYSMVTGNSRENGMETPMHENPEWEKARQALASISKAGATSSSKA SSSGPVASAQYVSQAEASALQQQQQQYYQWYQQYNYAYPYSYYYPMSMYQSYGSPSQYGM ASSYGSATAQQPSAPQHQGTLNQPPVPGMDESMAYQASPQQLPAAQPPQPSNSQHGTHSL SNGPQPGTAPSTQ >ENSMUSP00000121129.1 pep:known chromosome:GRCm38:7:4137049:4139999:1 gene:ENSMUSG00000035545.13 transcript:ENSMUST00000132086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leng8 description:leukocyte receptor cluster (LRC) member 8 [Source:MGI Symbol;Acc:MGI:2142195] MVTGNSRENGMETPMHENPEWEKARQALASISKAGATSSSKASSSGPVASAQYVSQAEAS ALQQQQQQYYQWY >ENSMUSP00000046465.6 pep:known chromosome:GRCm38:7:4137049:4148177:1 gene:ENSMUSG00000035545.13 transcript:ENSMUST00000037472.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leng8 description:leukocyte receptor cluster (LRC) member 8 [Source:MGI Symbol;Acc:MGI:2142195] MAANVGDQRAADWSSQYSMVTGNSRENGMETPMHENPEWEKARQALASISKAGATSSSKA SSSGPVASAQYVSQAEASALQQQQQQYYQWYQQYNYAYPYSYYYPMSMYQSYGSPSQYGM ASSYGSATAQQPSAPQHQGTLNQPPVPGMDESMAYQASPQQLPAAQPPQPSNSQHGTHSL SNGPQPGTAPSTQHSQAGAPTGQAYGPHSYSEPAKPKKGQQLWTRMKPAPGTGGLKFNIQ KRPFAVTSQSFSSNSEGQHSSFGPQPNSENTQNRSGPSGRGNLSGKPDDWPQDMKEYVER CFTACESEEDKDRTEKLLKEVLQARLQDGSAYTIDWSREPLPGLTREPVAESPKKKRWEA PSSLHPSRGAGSVTRGGGAQSQRGTPGAGGAGRARGSSFTKFGNRNVFMKDNSSSSSTDS RSRSSSRSPTRHFRRSDSHSDSDSSYSGNECHPVGRRNPPPKGRGGRGAHMDRGRGRAQR GKRHDLAPTKRSRKKMAALECEDPERELKKQKRAARFQHGHSRRLRLEPLVLQMSNLESS GADPDWQELQIVGTCPDITKHYLRLTCAPDPSTVRPVAVLKKSLCMVKSHWKEKQDYAFA CEQMKSIRQDLTVQGIRTEFTVEVYETHARIALEKGDHEEFNQCQTQLKSLYAENLAGNV GEFTAYRILYYIFTKNSGDITTELAYLTREMKADPCVAHALALRAAWALGNYHRFFRLYC HAPCMSGYLVDKFADRERKAALKAMIKTYVALHSAAFCAVALPRPLRILVSLQLLCWSPL PVPCS >ENSMUSP00000113223.1 pep:known chromosome:GRCm38:7:4137060:4140452:1 gene:ENSMUSG00000035545.13 transcript:ENSMUST00000117274.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leng8 description:leukocyte receptor cluster (LRC) member 8 [Source:MGI Symbol;Acc:MGI:2142195] MAANVGDQRAADWSSQYSMVTGNSRENGMETPMHENPEWEKARQALASISKAGATSSSKA SSSGPVASAQYVSQAEASALQQQQQQYYQWYQQYNYAYPYSYYYPMVRTWLQGGSLLSGW ANGWLG >ENSMUSP00000112428.1 pep:known chromosome:GRCm38:7:4137066:4148172:1 gene:ENSMUSG00000035545.13 transcript:ENSMUST00000121270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leng8 description:leukocyte receptor cluster (LRC) member 8 [Source:MGI Symbol;Acc:MGI:2142195] MAANVGDQRAADWSSQYSMVTGNSRENGMETPMHENPEWEKARQALASISKAGATSSSKA SSSGPVASAQYVSQAEASALQQQQQQYYQWYQQYNYAYPYSYYYPMSMYQSYGSPSQYGM ASSYGSATAQQPSAPQHQGTLNQPPVPGMDESMAYQASPQQLPAAQPPQPSNSQHGTHSL SNGPQPGTAPSTQHSQAGAPTGQAYGPHSYSEPAKPKKGQQLWTRMKPAPGTGGLKFNIQ KRPFAVTSQSFSSNSEGQHSSFGPQPNSENTQNRSGPSGRGNLSGKPDDWPQDMKEYVER CFTACESEEDKDRTEKLLKEVLQARLQDGSAYTIDWSREPLPGLTREPVAESPKKKRWEA PSSLHPSRGAGSVTRGGGAQSQRGTPGAGGAGRARGSSFTKFGNRNVFMKDNSSSSSTDS RSRSSSRSPTRHFRRSDSHSDSDSSYSGNECHPVGRRNPPPKGRGGRGAHMDRGRGRAQR GKRHDLAPTKRSRKKMAALECEDPERELKKQKRAARFQHGHSRRLRLEPLVLQMSNLESS GADPDWQELQIVGTCPDITKHYLRLTCAPDPSTVRPVAVLKKSLCMVKSHWKEKQDYAFA CEQMKSIRQDLTVQGIRTEFTVEVYETHARIALEKGDHEEFNQCQTQLKSLYAENLAGNV GEFTAYRILYYIFTKNSGDITTELAYLTREMKADPCVAHALALRAAWALGNYHRFFRLYC HAPCMSGYLVDKFADRERKAALKAMIKTFRPALPVSYLQAELAFEGEAACRAFLEPLGLS YTGPDNSSVDCRLSLAQLPAF >ENSMUSP00000120574.2 pep:known chromosome:GRCm38:7:4137071:4142108:1 gene:ENSMUSG00000035545.13 transcript:ENSMUST00000144248.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leng8 description:leukocyte receptor cluster (LRC) member 8 [Source:MGI Symbol;Acc:MGI:2142195] MAANVGDQRAADWSSQYSMVTGNSRENGMETPMHENPEWEKARQALASISKAGATSSSKA SSSGPVASAQYVSQAEASALQQQQQQYYQWYQQYNYAYPYSYYYPMPPVPGMDESMAYQA SPQQLPAAQPP >ENSMUSP00000123328.1 pep:known chromosome:GRCm38:7:4137562:4141093:1 gene:ENSMUSG00000035545.13 transcript:ENSMUST00000154571.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leng8 description:leukocyte receptor cluster (LRC) member 8 [Source:MGI Symbol;Acc:MGI:2142195] MAANVGDQRAADWSSQYSMVTGNSRENGMETPMHENPEWEKARQALASISKAGATSSSKA SSSGPVASAQYVSQAEASALQQQQQQYYQWYQQYNYAYPYSYYYPMSMYQSYGSPSQYGM ASSYGSATA >ENSMUSP00000122705.1 pep:known chromosome:GRCm38:5:32736301:32785585:-1 gene:ENSMUSG00000023452.18 transcript:ENSMUST00000142779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pisd description:phosphatidylserine decarboxylase [Source:MGI Symbol;Acc:MGI:2445114] XRSLRGGVLWRSSPCHYESTATRHFLGTLQKLPLQAGVRNFHTAPVRSLFLLRPVPILLA TGGGYAGYRQYEKYRERKLEKLGLEIPPKLASHWEVSLYKSVPTRLLSRACGRLNQVELP YWLRRPVYSLYIWTFGVNMTEAAVEDLHHYRNLSEFFRRKLKPQARPVCGLHCVTSPSDG KILTFGQVKNSEVEQVKGVTYSLESFLGPRANTEDLPFPPASSSDSFRNQLVTREGNELY HCVIYLAPGDYHCFHSPTDWTISHRRHFPAVGATNVGSIRIHFDRDLHTNSPRYSKGSYN DLSFVTHANKEGIPMRKGEPLGEFNLGSTIVLIFEAPKDFNFRLKAGQKIRFGEALGSL >ENSMUSP00000051438.9 pep:known chromosome:GRCm38:5:32736301:32785646:-1 gene:ENSMUSG00000023452.18 transcript:ENSMUST00000061895.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pisd description:phosphatidylserine decarboxylase [Source:MGI Symbol;Acc:MGI:2445114] MAASGGRACVRSLRGGVLWRSSPCHYESTATRHFLGTLQKLPLQAGVRNFHTAPVRSLFL LRPVPILLATGGGYAGYRQYEKYRERKLEKLGLEIPPKLASHWEVSLYKSVPTRLLSRAC GRLNQVELPYWLRRPVYSLYIWTFGVNMTEAAVEDLHHYRNLSEFFRRKLKPQARPVCGL HCVTSPSDGKILTFGQVKNSEVEQVKGVTYSLESFLGPRANTEDLPFPPASSSDSFRNQL VTREGNELYHCVIYLAPGDYHCFHSPTDWTISHRRHFPGSLMSVNPGMARWIKELFCHNE RVVLTGDWKHGFFSLTAVGATNVGSIRIHFDRDLHTNSPRYSKGSYNDLSFVTHANKEGI PMRKGEPLGEFNLGSTIVLIFEAPKDFNFRLKAGQKIRFGEALGSL >ENSMUSP00000144616.1 pep:known chromosome:GRCm38:5:32736305:32745470:-1 gene:ENSMUSG00000023452.18 transcript:ENSMUST00000202283.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pisd description:phosphatidylserine decarboxylase [Source:MGI Symbol;Acc:MGI:2445114] MCQSEKQPGPELQAAAKWLYFPQLALRRRLGQLSCMSRPALKLRSWPLTILHYLLPFRAL GPLSQVGWRPMSRVSLYKSVPTRLLSRACGRLNQVELPYWLRRPVYSLYIWTFGVNMTEA AVEDLHHYRNLSEFFRHQPIRWQDPHLWAGEEL >ENSMUSP00000119621.1 pep:known chromosome:GRCm38:5:32736322:32785639:-1 gene:ENSMUSG00000023452.18 transcript:ENSMUST00000142957.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pisd description:phosphatidylserine decarboxylase [Source:MGI Symbol;Acc:MGI:2445114] MAASGGRACVRSLRGGVLWRSRCQKFPYCSCPILVPAAPCAHPVGNRWRVCRVPAV >ENSMUSP00000071732.5 pep:known chromosome:GRCm38:5:32736424:32742475:-1 gene:ENSMUSG00000023452.18 transcript:ENSMUST00000071829.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pisd description:phosphatidylserine decarboxylase [Source:MGI Symbol;Acc:MGI:2445114] MCQSEKQPGPELQAAAKWLYFPQLALRRRLGQLSCMSRPALKLRSWPLTILHYLLPFRAL GPLSQVGWRPMSRVSLYKSVPTRLLSRACGRLNQVELPYWLRRPVYSLYIWTFGVNMTEA AVEDLHHYRNLSEFFRRKLKPQARPVCGLHCVTSPSDGKILTFGQVKNSEVEQVKGVTYS LESFLGPRANTEDLPFPPASSSDSFRNQLVTREGNELYHCVIYLAPGDYHCFHSPTDWTI SHRRHFPGPAHKQPQVQQGLLQ >ENSMUSP00000112573.1 pep:known chromosome:GRCm38:5:32736424:32746312:-1 gene:ENSMUSG00000023452.18 transcript:ENSMUST00000120591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pisd description:phosphatidylserine decarboxylase [Source:MGI Symbol;Acc:MGI:2445114] MCQSEKQPGPELQAAAKWLYFPQLALRRRLGQLSCMSRPALKLRSWPLTILHYLLPFRAL GPLSQVGWRPMSRVSLYKSVPTRLLSRACGRLNQVELPYWLRRPVYSLYIWTFGVNMTEA AVEDLHHYRNLSEFFRRKLKPQARPVCGLHCVTSPSDGKILTFGQVKNSEVEQVKGVTYS LESFLGPRANTEDLPFPPASSSDSFRNQLVTREGNELYHCVIYLAPGDYHCFHSPTDWTI SHRRHFPGSLMSVNPGMARWIKELFCHNERVVLTGDWKHGFFSLTAVGATNVGSIRIHFD RDLHTNSPRYSKGSYNDLSFVTHANKEGIPMRKGEPLGEFNLGSTIVLIFEAPKDFNFRL KAGQKIRFGEALGSL >ENSMUSP00000143277.1 pep:known chromosome:GRCm38:5:32737981:32742475:-1 gene:ENSMUSG00000023452.18 transcript:ENSMUST00000197787.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pisd description:phosphatidylserine decarboxylase [Source:MGI Symbol;Acc:MGI:2445114] MCQSEKQPGPELQAAAKWCPCINLCQRVCCHVPVVASTK >ENSMUSP00000142818.1 pep:known chromosome:GRCm38:5:32738395:32742335:-1 gene:ENSMUSG00000023452.18 transcript:ENSMUST00000200390.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pisd description:phosphatidylserine decarboxylase [Source:MGI Symbol;Acc:MGI:2445114] MCQSEKQPGPELQAAAKWLYFPQLALRRRLGQLSCMSRPALKLRSWPLTILHYLLPFRAL GPLSQVGWRPMSRVSLYKSVPTRLLSRACGRLNQVELPYWLRRPVYSLYIWTFGVNMTEA AVEDLHHYRNLSEFFRHQPIRWQDPHLWAGEEL >ENSMUSP00000120201.1 pep:known chromosome:GRCm38:5:32739138:32746411:-1 gene:ENSMUSG00000023452.18 transcript:ENSMUST00000135248.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pisd description:phosphatidylserine decarboxylase [Source:MGI Symbol;Acc:MGI:2445114] MCQSEKQPGPELQAAAKWLYFPQLALRRRLGQLSCMSRPALKLRSWPLTILHYLLPFRAL GPLSQVGWRPMSRVSLYKSVPTRLLSRACGRLNQVELPYWLRRPVYSLYIWTFGVNMTEA AVEDLHHYRNLSEFFRRKLKPQARPVCGLHCV >ENSMUSP00000094090.2 pep:known chromosome:GRCm38:X:99821021:99848790:1 gene:ENSMUSG00000071719.2 transcript:ENSMUST00000096363.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem28 description:transmembrane protein 28 [Source:MGI Symbol;Acc:MGI:3648377] MFRGAWMWPGKDAAALTICCCCCCWAPRQSDKPCADSERAQRWRLSLASLLFFTVLLADH LWLCAGARPRARELSSAMRPPWGAGRERQPVPPRAVLPPPPPSPGEPSASSGTCGPRYSN LTKAAPAAGSGPVCNGVPEPTGLDAACTKLESLQRLFEPTTPAPPLRPPDSPSRAPEFPS AKKNLLKGHFRNFTLSFCDTYTVWDLLLGMDRPDSLDCSLDTLLGDLLAVVASPGSGTWE ACSNCIEAYQRLDRHAQEKYDEFDLVLHKYLQAEEYSIRSCTKGCKAVYKAWLCSEYFSV TQQECQNWVPCKQYCLEVQTRCPFILPDNEEMVYGGLPGFICTGLMDTSPKRPETKCCDV QWVSCESEKKKFKDSEPPKTHHQQFHHSYFHHYHHQYHHYHPRHEPPSRVSNKPSLLPVS GGSRLSPSRIRLCVLVLILLHTVVSFSSSQSGGGLGLETLPALEEGLTQEE >ENSMUSP00000069212.7 pep:known chromosome:GRCm38:3:105704599:105720842:1 gene:ENSMUSG00000048458.8 transcript:ENSMUST00000066610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam212b description:family with sequence similarity 212, member B [Source:MGI Symbol;Acc:MGI:1923497] MTKESKDMDCYLRRLKQELMSMKEVGDGLQDQMNCMMGALQELKLLQVQTALEQLEISGG TPTFSCPESSQEQPECPRWQGSGGPAGPAAWTSSSQPSFDSSPKLPCRRSVCGKELAVLP KTQLPEEHQSCTQQGTEWVEPDDWTSTLMSRGRNRQPLVLGDNVFADLVGNWLDLPELEK GGEKGETGGSIEPKGEKGQSRELGRKFALTANIFRKFLRSVRPDRDRLLKEKPGWMTPMV SESRAGRSKKVKKRSLSKGSGRFPFSSTGEPRHIETPATSSPKALEPSCRGFDINTAVWV >ENSMUSP00000095874.2 pep:known chromosome:GRCm38:3:105705458:105718156:1 gene:ENSMUSG00000048458.8 transcript:ENSMUST00000098273.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam212b description:family with sequence similarity 212, member B [Source:MGI Symbol;Acc:MGI:1923497] MSMKEVGDGLQDQMNCMMGALQELKLLQVQTALEQLEISGGTPTFSCPESSQEQPECPRW QGSGGPAGPAAWTSSSQPSFDSSPKLPCRRSVCGKELAVLPKTQLPEEHQSCTQQGTEWV EPDDWTSTLMSRGRNRQPLVLGDNVFADLVGNWLDLPELEKGGEKGETGGSIEPKGEKGQ SRELGRKFALTANIFRKFLRSVRPDRDRLLKEKPGWMTPMVSESRAGRSKKVKKRSLSKG SGRFPFSSTGEPRHIETPATSSPKALEPSCRGFDINTAVWV >ENSMUSP00000140539.1 pep:known chromosome:GRCm38:1:173857220:173875860:-1 gene:ENSMUSG00000090272.7 transcript:ENSMUST00000186442.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mndal description:myeloid nuclear differentiation antigen like [Source:MGI Symbol;Acc:MGI:3780953] MAEYKKIVLLKGLESMEDYQFRTVKSLLRKELKLTKKLQEDYDRIQLADWMEDKFPKYAG LDKLIKVCEHIKDLKDLAKKLKTEKAKVQKKKQGKCKTAVKKKGQDELSSSESLFINKES YKSVPSSKKKGKAIAKTEGEKKNKLTQDQDHLPETSGTDIKTEEDCLQNSPKPPPTSPSS SSNKKKRKEITKTEGGKKKKLTQEQAQLPEPLGTDIKKDEDCLQTPPKPPPTPPSSSLNK NQKPQPQNQNIPRGAVLHSEPLTVMVLTATDPFEYESPEHEVKNMFHATVATVSQYFHVK VFNINLKEKFTKKNFIIISNYFESKGILEINETSSVLKADPDQMIEVPNNIIRNANASPK ICDIQKGTSGAVFYGVFTLHKKKVKTQNTSYEIKDGSGSIEVEGSGQWHNINCKEGDKLH LFCFHLKRERGQPKLVCGDHSFVKIKVTKAGKKKEASTVLSSTKNEEENNYPKDGIKVEM PDYHV >ENSMUSP00000140610.1 pep:known chromosome:GRCm38:1:173857220:173880305:-1 gene:ENSMUSG00000090272.7 transcript:ENSMUST00000188804.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mndal description:myeloid nuclear differentiation antigen like [Source:MGI Symbol;Acc:MGI:3780953] MAEYKKIVLLKGLESMEDYQFRTVKSLLRKELKLTKKLQEDYDRIQLADWMEDKFPKYAG LDKLIKVCEHIKDLKDLAKKLKTEKAKVQKKKQGKCKTAVKKKGQDELSSSESLFINKES YKSVPSSKKKGKAIAKTEGEKKNKLTQDQDHLPETSGTDIKTEEDCLQNSPKPPPTSPSS SSNKKKRKEITKTEGGKKKKLTQEQAQLPEPLGTDIKKDEDCLQTPPKPPPTPPSSSLNK KRKSRREEETGVKKSKAAKEPDQPPCCEEPTARCQSPILHSSSSASSNIPSATNQKPQPQ NQNIPRGAVLHSEPLTVMVLTATDPFEYESPEHEVKNMFHATVATVSQYFHVKVFNINLK EKFTKKNFIIISNYFESKGILEINETSSVLKADPDQMIEVPNNIIRNANASPKICDIQKG TSGAVFYGVFTLHKKKVKTQNTSYEIKDGSGSIEVEGSGQWHNINCKEGDKLHLFCFHLK RERGQPKLVCGDHSFVKIKVTKAGKKKEASTVLSSTKNEEENNYPKDGIKVEMPDYHV >ENSMUSP00000141055.1 pep:known chromosome:GRCm38:1:173862559:173873010:-1 gene:ENSMUSG00000090272.7 transcript:ENSMUST00000190071.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mndal description:myeloid nuclear differentiation antigen like [Source:MGI Symbol;Acc:MGI:3780953] KAIAKTEGEKKNKLTQDQDHLPETSGTDIKTEEDCLQNSPKPPPTSPSSSSNKKKRKEIT KTEGGKKKKLTQEQAQLPEPLGTDIKKDEDCLQTPPKPPPTPPSSSLNKFPSHFNQVTES TYLPAHHTN >ENSMUSP00000140191.1 pep:known chromosome:GRCm38:1:173872852:173880111:-1 gene:ENSMUSG00000090272.7 transcript:ENSMUST00000190651.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mndal description:myeloid nuclear differentiation antigen like [Source:MGI Symbol;Acc:MGI:3780953] MAEYKKIVLLKGLESMEDYQFRTVKSLLRKELKLTKKLQEDYDRIQLADWMEDKFPKYAG LDKLIKVCEHIKDLKDLAKKLKTEKAKVQKKKQGKCKTAVKKKGQDELSSSESLFINKES YKSVPSSKKKGKAIAKTEGEKKNKLTQDQDHLPETSGTDIKTEEDCLQNSPKPPPTSPSS SSNK >ENSMUSP00000106841.2 pep:known chromosome:GRCm38:1:173857221:173942491:-1 gene:ENSMUSG00000090272.7 transcript:ENSMUST00000111210.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mndal description:myeloid nuclear differentiation antigen like [Source:MGI Symbol;Acc:MGI:3780953] MAEYKKIVLLKGLESMEDYQFRTVKSLLRKELKLTKKLQEDYDRIQLADWMEDKFPKYAG LDKLIKVCEHIKDLKDLAKKLKTEKAKVQKKKQGKCKTAVKKKGQDELSSSESLFINKES YKSVPSSKKKGKAIAKTEGEKKNKLTQDQDHLPETSGTDIKTEEDCLQNSPKPPPTSPSS SSNKKKRKEITKTEGGKKKKLTQEQAQLPEPLGTDIKKDEDCLQTPPKPPPTPPSSSLNK KRKSRREEETGVKKSKAAKEPDQPPCCEEPTARCQSPILHSSSSASSNIPSATNQKPQPQ NQNIPRGAVLHSEPLTVMVLTATDPFEYESPEHEVKNMFHATVATVSQYFHVKVFNINLK EKFTKKNFIIISNYFESKGILEINETSSVLKADPDQMIEVPNNIIRNANASPKICDIQKG TSGAVFYGVFTLHKKKVKTQNTSYEIKDGSGSIEVEGSGQWHNINCKEGDKLHLFCFHLK RERGQPKLVCGDHSFVKIKVTKAGKKKEASTVLSSTKNEEENNYPKDGIKVEMPDYHV >ENSMUSP00000038671.7 pep:known chromosome:GRCm38:4:26324506:26346891:-1 gene:ENSMUSG00000040520.7 transcript:ENSMUST00000041374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Manea description:mannosidase, endo-alpha [Source:MGI Symbol;Acc:MGI:2444484] MAKFRRRTCILLSLFILFIFSLMMGLKMLWPNAASFGPPFGLDLLPELHPLNAHSGNKAD FQRSDRINMETNTKALKGAGMTVLPAKASEVNLEELPPLNYFLHAFYYSWYGNPQFDGKY IHWNHPVLEHWDPRIAKNYPQGQHSPPDDIGSSFYPELGSYSSRDPSVIETHMKQMRSAS IGVLALSWYPPDSRDDNGEATDHLVPTILDKAHKYNLKVTFHIEPYSNRDDQNMHQNIKY IIDKYGNHPAFYRYKTRTGHSLPMFYVYDSYITKPTIWANLLTPSGSQSVRSSPYDGLFI ALLVEEKHKNDILQSGFDGIYTYFATNGFTYGSSHQNWNNLKSFCEKNNLMFIPSVGPGY IDTSIRPWNTQNTRNRVNGKYYEVGLSAALQTHPSLISITSFNEWHEGTQIEKAVPKRTA NTIYLDYRPHKPSLYLELTRKWSEKFSKERMTYALDQQQPAS >ENSMUSP00000115791.1 pep:known chromosome:GRCm38:4:26340751:26346891:-1 gene:ENSMUSG00000040520.7 transcript:ENSMUST00000153813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Manea description:mannosidase, endo-alpha [Source:MGI Symbol;Acc:MGI:2444484] MAKFRRRTCILLSLFILFIFSLMMGLKMLWPNAASFGPPFGLDLLPELHPLNAHSGNKAD FQRSDRINME >ENSMUSP00000053434.8 pep:known chromosome:GRCm38:15:99918348:99931796:-1 gene:ENSMUSG00000045350.14 transcript:ENSMUST00000062631.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam186a description:family with sequence similarity 186, member A [Source:MGI Symbol;Acc:MGI:2685766] MPSIPSFKKIPGFTKLQRPVLELLIDDSKRSDLFKTLGQASVEAVWNADLSTSSYPIIEK APMSALWAQLGGYPDIPKLLQLDIQSTFRKSLASIRSQSKKIRK >ENSMUSP00000097783.4 pep:known chromosome:GRCm38:15:99918353:99967075:-1 gene:ENSMUSG00000045350.14 transcript:ENSMUST00000100209.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam186a description:family with sequence similarity 186, member A [Source:MGI Symbol;Acc:MGI:2685766] MPVKRQSKVYYESDSDDDDDEFKELATLRKPISNRDNPLSLQFEIPASVQSVIHKIEESH IFRAKEEVIWRLTEIMSNVELIMTRYNIDSMSPGRKGSSSESQKKKRKAFLEKIATVMTN VDLRERTLSKILSWLEEWNLILSEVSAINMDDYYHWTVKMELIPDTLKRISKNVDSLIQM ALLLVEEKKRAKKRILARGTLWKAWKDRAIKRPATAQALRLDQMIFDQIGLNAKVSEIQG MLQELIGTAMFSKLENTAIKYMSTTVINLSKALNTVSDELKLVSSRVVKSVLKEEQEEDK EKDRSKQVIQELSEENEMLQQKLRESEEKCDQLIRIKNYLGRQMIHPAGSLKILPLQGPF FSRESRDSEREGKTDDSQKEGTKSSTVTWDPSSSEGAPDAAKQQVEDKAEAPQDQIKLKG LPLTEETLDTNLETEEKKLTQLDILKAMDKKKVGKAKAEQGRGGPSPMWEQLKKGRSEQL IPGSTGSPESRESTLKLTDKEAKSEIDLLQPEGTPELQKPETKWKRLIAKTEETKRSGKL QPKTSKVTSVTPDEGEQGSLESFQRAILAFLREKTNNVGKAFDPKSIRKEESSLGKAEVE NLNVIKAKIEEYFQKVAETVTVALRTYRDERKVQPKEKPKKQPKGALSRMLPKQGAVGAK SEVGRVLLSEITDPAIKKLVQMLLNEIESDFKYKTTKEQPQAAVAAAAAAAAVQKEEPGL RTKDKTELLEVWMQAFKDSQGHQVQDLGDAEDAEKPKWSEPGHQDLWKGVSKTSINLTPR LMKAPKPQISQAQALQVEPGVMGATEEQQPKLTLSSSRIPFVSPKPHQVHVPEQAQIIGP QMSPQLSMASLTSEQAQTLSGPLTHEHTIELKTAFTAGQEQELGAKLMVPRILKPTQTPK ESLISEKPEAVQISQVTTEEISGVTDTQRTIPPFQQILEQETTPTPEQDKEPRITLTRQQ TEALGITLTPEQTKSQRISLTHEQARALGVTLTPEQYKEDRISLTPLQAQVLGITLNLQQ AKALGITLTPEQVKAQRVNLIPQQYQVHGTTLTTQQAEAQRTNLTPEQAKALGLPLIPPK PITFTREQTQALGITPTHQPITLTSEQVQALGITPTHQPITLTPEQAQALALILTTEQVK TQRINLSPDQTQALGITPTPQPITFTPEQTQALGITPTPQLITLTPEQAKALANTLTAEQ VSLSPQQAEALGITPTPQPTTLTPEQAQALGITPTPQPITLTPEQVQALGITPNRESITL SPEQAQALGITPTPQPTTLTPEQTQALGITPTPQPITLTPEQAQALGITPTPQPITLTPE QTQALGITPTPQPITLTPEQAQALGITPTPQPITLTPEQTQALGITPTPQPITLTPEQAQ ALGITPTPQPITLTPEQAQALGITPTPQPITLTPEQAQALGITPTPQPITLTPEQTQALG ITPTPQPITLTPEQAQALGITPTPQPITLTPEQVQALGITPTPQPITLTPEQAQALGITP TPQPITLTPEQAQALGITPTPQPITLTPEQTQALGITPTPQPITLTPEQAQALGITPTPQ PITLTPELVQALGITPTPQPITLTPEQAQALGITPTPQPTTLSPEQAQALGITPTPQPIT LTPEQAQALGITPTPQPTTLSPEQAQALGISLIPKQQEISLSPEQAQALGLTLTPQQAQV QKIYLTPQQAQALGITVSPEQAKAKGISLTPEEAHSLGIILTVEQAKAKRINLTPQQAQD LGLTLTPEQAQDLGISLIPKQEISFSPLQAQAMGLTLTPQQAQVQKIYLTPQQAQALGIT VSPEQAKGISLTPEEAHSLGIILTVEQAKAQRINLTPQQAQDLGLTLTPEQAQDLGISLI PKQQEISFSPLQAQAMGLTLTPQQAQVQKIYLTPQQAQALGITVSPEQAKGISLTPEEAH SLGIILTVEQAKAQRINLTPQQAQDLGITLTPEQAQDLGISLIPKQQEISFSPLQAQAMG LTLTPQQAQVQKIYLTPQQAQALGITVSPEQAKGISLTPEEAHSLGIALTVEQAKAQRIN LTPQQAQDLGLTLTPEQAQDLGIASTLKQAEAVGIIPTPTPEPYQERRLSLTSEQVQALR TSFPTKETLSLGIYLTPKQAQYLGITLTASQAKVMKICLTPEQAQALGITVTPKQAKARR TSLTPEQAQALGVILTPEQAQAHRITVTPEQAQALGIALTPEQAHALGIALTPEQAQAQG IFSPKKFQELDVPLTYRQTKALRSHLSKKQLEAQRSQILISESIQIPKPAVASKYTQTIE IPLTTQPFQAFGALLSKTKETEMGISPLTPKQPQAIVTPPSKRKEKELGISPLTPKQPQA VEPAKAKLPPLTPSQAQPLQKQLAPELTQTLLFTITLQKAQHLGVTFTYEQTQAAAVTLT SEQVAALEDALTENLAWRWEISVTPGMAQEAPNITTTKQLQALGITARQPAQAFPSPFTL EKPATLATSTDRLSQRWKDSYPASIPLQALRPSPTQAPFTPTTSLGIGSLLDSEKPWMSP TYRQTLTDRGQDVLAQPLAPETPPSLRQLLAPGAPPTPGPPLGPRHFFKPRVPPTSGEVP GLVSGGSAAHEELPMSRTTPLQPPEWQGPSRLIPEQGFMPAISSIPLHPFTAEALPTPGR PQRSSKAKPLKPKSARGLPNVTLGFETSQAPFPIEKTQIPKTPDTSEQTQALQDALGVQP FGIFQPYGTSSGIARSQSPLIDEKALSREKPGTPLPSLTTQLPQTPQISTSEKGQKPWLP PIDKPWTPTPVSSTREAKMIVSPTDQHPEDGYVVDVEAQRKNLVTLNQAAQTSALPAQYL TIAKNLIIELLHIDTVRLGYLSRKYVAYRLIQLARNHLTKRVKTIQNTGKGYEVQSLYTM LDRIDQYQKKVMHSWTDKQKQLEQRRKQCLRSMTQFFSQLERGYKLSLSQPMPSIPSFKK IPGFTKLQRPVLELLIDDSKRSDLFKTLGQASVEAVWNADLSTSSYPIIEKAPMSALWAQ LGGYPDIPKLLQLDIQSTFRKSLASIRSQSKKIRK >ENSMUSP00000024763.3 pep:known chromosome:GRCm38:17:46110986:46128910:1 gene:ENSMUSG00000023967.9 transcript:ENSMUST00000024763.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps18a description:mitochondrial ribosomal protein S18A [Source:MGI Symbol;Acc:MGI:1915815] MAALRALVSGCGRQLQAFLAGPAATGWLWLPARGLREVVKIQEGKTTVIEGRITETPKAT PDPPNPSGQCPICRWNLKHKYTYEDVLLLSQFIRPYGGMLPRRVTGLCREEHRKIEECVK MAHRAGLLPNHRPQLPEGCLPKDKPKLNRYLTRWAPKSVKPIYKKGHRWNKVGMAVGSPL LKDNVCYSRRPLKMMH >ENSMUSP00000122433.1 pep:known chromosome:GRCm38:17:46110996:46125865:1 gene:ENSMUSG00000023967.9 transcript:ENSMUST00000123646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps18a description:mitochondrial ribosomal protein S18A [Source:MGI Symbol;Acc:MGI:1915815] MAALRALVSGCGRQLQAFLAGPAATGWLWLPARGLREVVKIQEGKTTVIEGRITETPKAT PDPPNPSGQCPICRWNLKHKYTYEDVLLLSQFIRPYGGMLPRRVTGLCREEHRKIEECVK MAHRAGLLPNHRPQLPEGCLPKDKPKLNR >ENSMUSP00000099954.4 pep:known chromosome:GRCm38:2:27021363:27027909:-1 gene:ENSMUSG00000036067.12 transcript:ENSMUST00000102890.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a6 description:solute carrier family 2 (facilitated glucose transporter), member 6 [Source:MGI Symbol;Acc:MGI:2443286] MQEPLLRTEGLDYDTFPEVPATPGERERAGALKNRRVFLATFAAVLGNFSFGYALVYTSP VIPELKLSSDPALHLDKIQASWFGSVFTLGAAAGGLSAMLLNDLLGRKLSIMFSAVPSAI GYAIMAGARGLWMLLLGRMLTGFAGGLTAACIPVYVSEIAPPDVRGALGATPQLMAVFGS LSLYALGLLLPWRWLAVAGEGPVLIMILLLSFMPNSPRFLLSKSRDEEALQALTWLRADS EVHWEFEQIQDNVRRQSSRVSWAEAREPRVYRPVLIAVLMRFLQQLTGITPILVYLQTIF DNTSVVLPSQQDAAIVGAVRLLSVLIAAVTMDLAGRKVLLYVSGYAMGWGPITWLLMSEV LPLRARGVASGLCVLVSWLTAFVLTNYFLLAVNAFGLQVPFFFFSAICLLSLLFTGCCVP ETRGRSLEQIEAFFHTRRMSFRP >ENSMUSP00000049103.5 pep:known chromosome:GRCm38:2:27021363:27027998:-1 gene:ENSMUSG00000036067.12 transcript:ENSMUST00000045702.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a6 description:solute carrier family 2 (facilitated glucose transporter), member 6 [Source:MGI Symbol;Acc:MGI:2443286] MQEPLLRTEGLDYDTFPEVPATPGERERAGALKNRRVFLATFAAVLGNFSFGYALVYTSP VIPELKLSSDPALHLDKIQASWFGSVFTLGAAAGGLSAMLLNDLLGRKLSIMFSAVPSAI GYAIMAGARGLWMLLLGRMLTGFAGGLTAACIPVYVSEIAPPDVRGALGATPQLMAVFGS LSLYALGLLLPWRWLAVAGEGPVLIMILLLSFMPNSPRFLLSKSRDEEALQALTWLRADS EVHWEFEQIQDNVRRQSSRVSWAEAREPRVYRPVLIAVLMRFLQQLTGITPILVYLQTIF DNTSVVLPSQQDAAIVGAVRLLSVLIAAVTMDLAGRKVLLYVSASVMFAANLTLGLYVQF VPRPLTPNSTVEIVTLGDTAFNYLTLIPLLATMLFIMGYAMGWGPITWLLMSEVLPLRAR GVASGLCVLVSWLTAFVLTNYFLLAVNAFGLQVPFFFFSAICLLSLLFTGCCVPETRGRS LEQIEAFFHTRRMSFRP >ENSMUSP00000122054.1 pep:known chromosome:GRCm38:2:27026088:27027991:-1 gene:ENSMUSG00000036067.12 transcript:ENSMUST00000153388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a6 description:solute carrier family 2 (facilitated glucose transporter), member 6 [Source:MGI Symbol;Acc:MGI:2443286] MQEPLLRTEGLDYDTFPEVPATPGERERAGRVFLATFAAVLGNFSFGYALVYTSPVIPEL KLSSDPALHLDKIQASWFGSVFTLGA >ENSMUSP00000035523.4 pep:known chromosome:GRCm38:2:154548888:154550003:1 gene:ENSMUSG00000038523.10 transcript:ENSMUST00000045116.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700003F12Rik description:RIKEN cDNA 1700003F12 gene [Source:MGI Symbol;Acc:MGI:1922730] MGNSSSHKRTKAPNQANKDRPPDMDKARHKQFFSHLKRKKPSWREAPDPARVGENPRIKA GKTKIVLLFPLDKRQQLAEAAAGPFVRPVRPTEDPLGAPTCFPAVAPMLRGAGDGVDRRE GARAREMKRILVLLLQLDARLQEEGRRVGGRPGGGAKVPQCWQPLYAHVLTQRDACGEGD PREEQPRKRRRCPRPRP >ENSMUSP00000105331.3 pep:known chromosome:GRCm38:2:154548927:154550003:1 gene:ENSMUSG00000038523.10 transcript:ENSMUST00000109709.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700003F12Rik description:RIKEN cDNA 1700003F12 gene [Source:MGI Symbol;Acc:MGI:1922730] MGNSSSHKRTKAPNQANKDRPPDMDKARHKQFFSHLKRKKPSPMASQHATFPFFQWREAP DPARVGENPRIKAGKTKIVLLFPLDKRQQLAEAAAGPFVRPVRPTEDPLGAPTCFPAVAP MLRGAGDGVDRREGARAREMKRILVLLLQLDARLQEEGRRVGGRPGGGAKVPQCWQPLYA HVLTQRDACGEGDPREEQPRKRRRCPRPRP >ENSMUSP00000142165.1 pep:known chromosome:GRCm38:Y:13494026:13496466:1 gene:ENSMUSG00000095900.2 transcript:ENSMUST00000193306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20773 description:predicted gene, 20773 [Source:MGI Symbol;Acc:MGI:5434129] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKIFKVEKS >ENSMUSP00000137200.1 pep:known chromosome:GRCm38:Y:13495642:13496322:1 gene:ENSMUSG00000095900.2 transcript:ENSMUST00000178940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20773 description:predicted gene, 20773 [Source:MGI Symbol;Acc:MGI:5434129] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKIF >ENSMUSP00000071815.5 pep:known chromosome:GRCm38:5:9118801:9161776:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000071921.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNDPDEVDSEDSTEPPHKRLCLSSED DQSIDDATPCISVVALPLSENDQSFEVTMTATTEVADDELSEGTVTQIQILQNDQLDEIS PLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDA TEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKELRI KHGNDWATIGAALGRSASSVKDRCRLMKDTCNTGKWTEEEEKRLAEVVHELTSTEPGDIV TQGVSWAAVAERVGTRSEKQCRSKWLNYLNWKQSGGTEWTKEDEINLILRIAELDVADEN DINWDLLAEGWSSVRSPQWLRSKWWTIKRQIANHKDVSFPVLIKGLKQLHENQKNNPVLL ENKSGSGVPNSNCNSSVQHVQIRVARLEDNTAISPSPMAALQIPVQITHVSSTDSPAASV DSETITLNSGTLQTFEILPSFHLQPTGTPGTYLLQTSSSQGLPLTLTTNPTVTLAAAAPA SPEQIIVHALSPEHLLNTSDNVTVQCHTPRVIIQTVATEDITSSLSQEELTVDSDLHSSD FPEPPDALEADTFPDEIPRPKMTIQPSFNNAHVSKFSDQNSTELMNSVMVRTEEEIADTD LKQEEPPSDLASAYVTEDLESPTIVHQVHQTIDDETILIVPSPHGFIQASDVIDTESVLP LTTLTDPIFQHHQEASNIIGSSLGSPVSEDSKDVEDLVNCH >ENSMUSP00000139231.1 pep:known chromosome:GRCm38:5:9118868:9161719:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000184159.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNVSENDQSFEVTMTATTEVADDELS EGTVTQIQILQNDQLDEISPLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEI DILMNNIERYLKARGIKDATEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDR NHVGKYTPEEIEKLKELRIKHGNDWATIGAALGRSASSVKDRCRLMKDTCNTGKWTEEEE KRLAEVVHELTSTEPGDIVTQGVSWAAVAERVGTRSEKQCRSKWLNYLNWKQSGGTEWTK EDEINLILRIAELDVADENDINWDLLAEGWSSVRSPQWLRSKWWTIKRQIANHKDVSFPV YSMFRSESPAWKIIQPSLQAPWQRCRFQSRSPTSLQQTPLLLLLTQKQSH >ENSMUSP00000092627.4 pep:known chromosome:GRCm38:5:9118872:9161749:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000095017.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNDPDEVDSEDSTEPPHKRLCLSSED DQSIDDATPCISVVALPLSENDQSFEVTMTATTEVADDELSEGTVTQIQILQNDQLDEIS PLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDA TEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKELRI KHGNDWATIGAALGRSASSVKDRCRLMKDTCNTGKWTEEEEKRLAEVVHELTSTEPGDIV TQGVSWAAVAERVGTRSEKQCRSKWLNYLNWKQSGGTEWTKEDEINLILRIAELDVADEN DINWDLLAEGWSSVRSPQWLRSKWWTIKRQIANHKDVSFPASTDSPAASVDSETITLNSG TLQTFEILPSFHLQPTGTPGTYLLQTSSSQGLPLTLTTNPTVTLAAAAPASPEQIIVHAL SPEHLLNTSDNVTVQCHTPRVIIQTVATEDITSSLSQEELTVDSDLHSSDFPEPPDALEA DTFPDEIPRPKMTIQPSFNNAHVSKFSDQNSTELMNSVMVRTEEEIADTDLKQEEPPSDL ASAYVTEDLESPTIVHQVHQTIDDETILIVPSPHGFIQASDVIDTESVLPLTTLTDPIFQ HHQEASNIIGSSLGSPVSEDSKDVEDLVNCH >ENSMUSP00000138861.1 pep:known chromosome:GRCm38:5:9118873:9161752:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000184120.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNDPDEVDSEDSTEPPHKRLCLSSED DQSIDDATPCISVVALPLSENDQSFEVTMTATTEVADDELSEGTVTQIQILQNDQLDEIS PLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDA TEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKEKRA IAACFFFSPTDNCGPHTIKATLSNFGSQKYCCPQLASQSEGRRRMKNKW >ENSMUSP00000139164.1 pep:known chromosome:GRCm38:5:9118873:9161759:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000184888.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNDPDEVDSEDSTEPPHKRLCLSSED DQSIDDATPCISVVALPLSENDQSFEVTMTATTEVADDELSEGTVTQIQILQNDQLDEIS PLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDA TEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKEQLW TPHNKGHTFKLWLSKVLLPTTCQPVRREKKNEE >ENSMUSP00000139281.1 pep:known chromosome:GRCm38:5:9118877:9153148:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000184401.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNDPDEVDSEDSTEPPHKRLCLSSED DQSIDDATPCISVVALPLSENDQSFEVTMTATTEVADDELSEGTVTQIQILQNDQLDEIS PLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDA TEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKEQLW TPHNKGHTFKLWLSKVLLPTTCQPVRREKKNEE >ENSMUSP00000138816.1 pep:known chromosome:GRCm38:5:9118877:9161743:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000184620.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNVSENDQSFEVTMTATTEVADDELS EGTVTQIQILQNDQLDEISPLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEI DILMNNIERYLKARGIKDATEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDR NHVGKYTPEEIEKLKEKRAIAACFFFSPTDNCGPHTIKATLSNFGSQKYCCPQLASQSEG RRRMKNKW >ENSMUSP00000139042.1 pep:known chromosome:GRCm38:5:9119070:9161671:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000183448.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNDPDEVDSEDSTEPPHKRLCLSSED DQSIDDATPCISVVALPLSENDQSFEVTMTATTEVADDELSEGTVTQIQILQNDQLDEIS PLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDA TEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEIEKLKEQLW TPHNKGHTFKLWLSKVLLPTTCQPVRREKKNEE >ENSMUSP00000139361.1 pep:known chromosome:GRCm38:5:9120007:9161701:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000183973.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MTATTEVADDELSEGTVTQIQILQNDQLDEISPLGTEEVSAVSQAWFTTKEDKDSLTNKG HKWKQGMWSKEEIDILMNNIERYLKARGIKDATEIIFEMSKDERKDFYRTIAWGLNRPLF AVYRRVLRMYDDRNHVGKYTPEEIEKLKELRIKHGNDWATIGAALGRSASSVKDRCRLMK DTCNTGKWTEEEEKRLAEVVHELTSTEPGDIVTQGVSWAAVAERVGTRSEKQCRSKWLNY LNWKQSGGTEWTKEDEINLILRIAELDVADENDINWDLLAEGWSSVRSPQWLRSKWWTIK RQIANHKDVSFPVLIKGLKQLHENQKNNPVLLENKSGSGVPNSNCNSSVQHVQIRVARLE DNTAISPSPMAALQIPVQITHVSSTDSPAASVDSETITLNSGTLQTFEILPSFHLQPTGT PGTYLLQTSSSQGLPLTLTTNPTVTLAAAAPASPEQIIVHALSPEHLLNTSDNVTVQCHT PRVIIQTVATEDITSSLSQEELTVDSDLHSSDFPEPPDALEADTFPDEIPRPKMTIQPSF NNAHVSKFSDQNSTELMNSVMVRTEEEIADTDLKQEEPPSDLASAYVTEDLESPTIVHQV HQTIDDETILIVPSPHGFIQASDVIDTESVLPLTTLTDPIFQHHQEASNIIGSSLGSPVS EDSKDVEDLVNCH >ENSMUSP00000138935.1 pep:known chromosome:GRCm38:5:9121454:9126577:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000184370.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] XAALQIPVQITHVSSTDSPAASVDSETITLNSGTLQTFEILPKRKLLLLHLVMGTRGHAL MVMEVKG >ENSMUSP00000139191.1 pep:known chromosome:GRCm38:5:9131288:9161716:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000184372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNDPDEVDSEDSTEPPHKRLCLSSED DQSIDDATPCISVVALPLSENDQSFEVTMTATTEVADDELSEGTVTQIQILQNDQLDEIS PLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDA TEIIFEMSKDERKDFYRTIAWGLNRPLFAVYRRVLRMYDDRNHVGKYTPEEI >ENSMUSP00000139339.1 pep:known chromosome:GRCm38:5:9132559:9152045:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000183525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVNSVTFTQDTDGNLILHCPQNDPDEVDSEDSTEPPHKRLCLSSED DQSIDDATPCISVVALPLSENDQSFEVTMTATTEVADDELSEGTVTQIQILQNDQLDEIS PLGTEEVSAVSQAWFTTKEDKDSLTNKGHKWKQGMWSKEEIDILMNNIERYLKARGIKDA TEIIFEMSKDE >ENSMUSP00000138997.1 pep:known chromosome:GRCm38:5:9150434:9152938:-1 gene:ENSMUSG00000042508.16 transcript:ENSMUST00000185100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmtf1 description:cyclin D binding myb-like transcription factor 1 [Source:MGI Symbol;Acc:MGI:1344415] MSTVEEDSDTVTVETVN >ENSMUSP00000085802.5 pep:known chromosome:GRCm38:6:123172893:123204671:1 gene:ENSMUSG00000067767.5 transcript:ENSMUST00000088455.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4b2 description:C-type lectin domain family 4, member b2 [Source:MGI Symbol;Acc:MGI:3588267] MMQERPAQGQVVCWSLRLWMAALISILLLSTCFIASCVVTYQLMMNKPNRRLSELHTYHS NLICFSEGTTVSEKVWSCCPKDWKPFGSYCYFTSTDSRASQNKSEEKCSLRGAHLVVIHS QEEQDFITRMLDTAAGYFIGLSDVGNSQWRWIDQTPYNDRATFWHKGEPNNDYEKCVILN YRKTMWGWNDIDCSDEENSVCQMKKIYL >ENSMUSP00000031538.8 pep:known chromosome:GRCm38:5:114942158:114949783:1 gene:ENSMUSG00000029559.15 transcript:ENSMUST00000031538.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210016L21Rik description:RIKEN cDNA 2210016L21 gene [Source:MGI Symbol;Acc:MGI:1919607] MVAPSGAMSDSENSSSSSSDAEELARCREAATPAWGLEQRPGAAERPEAGAADKQAPTPQ PSRRHEVNQHEEDGNDLRTTPEFRAHVAKKLGALLDSSIAIAEVWKKSQKAKMQQVAKEE DGFRLFFTSIPGGHKKEASPRPCRKRQPPSSSEDSDEELQRCREAAVSASDILQESAIHC PAKAEEKKKLKKKAKKKVDNADLAAAPGLEQVKEAGVVNGDPVSLGIQKKKKKKAKKSRE APLCPPAECAAAKPEN >ENSMUSP00000135781.1 pep:known chromosome:GRCm38:5:114942210:114946846:1 gene:ENSMUSG00000029559.15 transcript:ENSMUST00000131427.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2210016L21Rik description:RIKEN cDNA 2210016L21 gene [Source:MGI Symbol;Acc:MGI:1919607] XVAPSGAMSDSENSSSSSSDAEELARCREAATPAWGLEQRPGAAERPEAGAADKQAPTPQ PSRRYCVCSARKLH >ENSMUSP00000114697.2 pep:known chromosome:GRCm38:5:114945805:114947784:1 gene:ENSMUSG00000029559.15 transcript:ENSMUST00000131771.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2210016L21Rik description:RIKEN cDNA 2210016L21 gene [Source:MGI Symbol;Acc:MGI:1919607] XPTPQPSRRYCVCSARKLH >ENSMUSP00000099673.1 pep:known chromosome:GRCm38:11:68503019:68552698:1 gene:ENSMUSG00000046207.14 transcript:ENSMUST00000102613.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r6 description:phosphoinositide-3-kinase, regulatory subunit 6 [Source:MGI Symbol;Acc:MGI:2144613] MESSDVELDFQRSVQAVLRELNTPNPALQSNQGMWRWSLHKKVERNPGKSSILVRILLRE LEKAESEDGRRVIIPLLLTLMSVLTKATGIPEDLYHRAYTFCTRLLTLPAPYSTVALDCA IRLKTETAVPGTLYQRTVIAEQNLISELYPYQERVFLFVDPELVSASVCSALLLEIQAAQ EQQTPEACMRHVVSHALQAALGEACHTGALNRKLQASSRRVLEYYFHAVVAAIEQVASED SPSRLGHLEKMEEIYCSLLGPATTRRHCVGDLLQDRLPSIPLPSPYITFHLWTDQEQLWK ELVLFLRPRSQLRLSADLDALDLQGFRLDRDLARVSTDSGIERDLPLGSDELPDPSSSEM ERAALQRKGGIKKRVWPPDFFMPGSWDGPPGLHRRTGRPSGDGELLPGVSRVHTARVLVL GDDRMLGRLAQAYYRLRKRETKKFCLTPRLSLQLYYIPVLAPQDPEASRKPELGELASFL GRVDPWYESTVNTLCPAILKLAEMPPYLDTSRTVDPFILDVITYYVRMGTQPIYFQLYKV KIFTSLSHDPTEDIFLTELKVKIQDSKSPKEGSSPRRRGAAEGTGAELSMCYQKALLSHR PREVTVSLRATGLVLKAIPAGDTEVSGFFHCTSPNAASATDCSCLHVSVTEVVKSSNLAG RSFTTSTNTFRTSSIQVQSQDQRLLTLWLDKDGRRTFRDVVRFEVSPCPEPCSRTQKSKT SALNSHGQETEKNMAKPNSLLMPINTFSGIIQ >ENSMUSP00000052522.6 pep:known chromosome:GRCm38:11:68503019:68552698:1 gene:ENSMUSG00000046207.14 transcript:ENSMUST00000060441.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r6 description:phosphoinositide-3-kinase, regulatory subunit 6 [Source:MGI Symbol;Acc:MGI:2144613] MESSDVELDFQRSVQAVLRELNTPNPALQSNQGMWRWSLHKKVERNPGKSSILVRILLRE LEKAESEDGRRVIIPLLLTLMSVLTKATGIPEDLYHRAYTFCTRLLTLPAPYSTVALDCA IRLKTETAVPGTLYQRTVIAEQNLISELYPYQERVFLFVDPELVSASVCSALLLEIQAAQ EQQTPEACMRHVVSHALQAALGEACHTGALNRKLQASSRRVLEYYFHAVVAAIEQVASED SPSRLGHLEKMEEIYCSLLGPATTRRHCVGDLLQDRLPSIPLPSPYITFHLWTDQEQLWK ELVLFLRPRSQLRLSADLDALDLQGFRLDRDLARVSTDSGIERDLPLGSDELPDPSSSEM ERAALQRKGGIKKRVWPPDFFMPGSWDGPPGLHRRTGRPSGDGELLPGVSRVHTARVLVL GDDRMLGRLAQAYYRLRKRETKKFCLTPRLSLQLYYIPVLAPQVTGQDPEASRKPELGEL ASFLGRVDPWYESTVNTLCPAILKLAEMPPYLDTSRTVDPFILDVITYYVRMGTQPIYFQ LYKVKIFTSLSHDPTEDIFLTELKVKIQDSKSPKEGSSPRRRGAAEGTGAELSMCYQKAL LSHRPREVTVSLRATGLVLKAIPAGDTEVSGFFHCTSPNAASATDCSCLHVSVTEVVKSS NLAGRSFTTSTNTFRTSSIQVQSQDQRLLTLWLDKDGRRTFRDVVRFEVSPCPEPCSRTQ KSKTSALNSHGQETEKNMAKPNSLLMPINTFSGIIQ >ENSMUSP00000100532.1 pep:known chromosome:GRCm38:2:154551776:154553276:1 gene:ENSMUSG00000078129.1 transcript:ENSMUST00000104928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl10 description:actin-like 10 [Source:MGI Symbol;Acc:MGI:1917612] MKPRIVLKSSSLMPSWDRPVLPGAPGCELAGGVARAHPIKHGVVVDWDALEGLWERLMVG GLQVHPEQWPVLVSDSPSAPPKGREKVAELLFEALTVPACHMANTALLALCSIGAFSGLA VEAGAGVCHATPIYAGHSWHKATFRLNVAGSTLSRYFRDLLVAACPDLQLQGLSRKTVTQ LKKRCCYVSLDFQGDICDPARHQRACFCLGNGCYVRLGSERFRCPEPIFQPSLLGHPEPG LPTLAFQALQKIPTTLRTRLANTVVLAGGSTLFPGFVERMNLELEAQCRRHGYPALQPCL VAHPGRDTAVWTGGSMMASLNSFQCRWMTRAMYQEHGPLLVRDVFD >ENSMUSP00000029002.7 pep:known chromosome:GRCm38:3:8509360:8561606:1 gene:ENSMUSG00000027500.10 transcript:ENSMUST00000029002.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn2 description:stathmin-like 2 [Source:MGI Symbol;Acc:MGI:98241] MAKTAMAYKEKMKELSMLSLICSCFYPEPRNINIYTYDDMEVKQINKRASGQAFELILKP PSPISEAPRTLASPKKKDLSLEEIQKKLEAAEERRKSQEAQVLKQLAEKREHEREVLQKA LEENNNFSKMAEEKLILKMEQIKENREANLAAIIERLQEKERHAAEVRRNKELQVELSG >ENSMUSP00000105862.1 pep:known chromosome:GRCm38:13:38006052:38036923:-1 gene:ENSMUSG00000044566.15 transcript:ENSMUST00000110233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cage1 description:cancer antigen 1 [Source:MGI Symbol;Acc:MGI:1918463] MSESETINVNGPSQDFSYSDSPFCMEASFSSSDLLQSETKNVKRGNESTHTFSEDIYSTE GSLLGDINLGNYPESEQNQPANTRLSSLRQFEPICKFHWIEAFNDEMTVEDLRGAFSYSE KPELPSQVYNDAADGSEKPDPFKEESSVESSISENKDELVPAPVRKSPRSLCLNYYRGEA QPLTEAPFVRSAVVDVGLNISQPQSFLDKENVCKNGDNSSDRENCFEQLDLRAIYKAEEP EVSSKEVQNSGEISELSVSHQEEVTEDGVDSLAITSPWSPAGIFKGSGPQDNSLRPDREV SCEGLEPLEEDMALNEALQKLKQTNKKQELQIQDLHGKNLNLENRVQELQTKVTKQHVLV DIINKLKVNIEELINDKYNVILEKNDINKKLQDLQEASAHTKKHLQESKKDKESLQLQVK KIKVHYVRLQERYIAEIQQKNRSASQCLEIEKTLSKKDEELQRLQRHKGELEKATSSALD LLKREKEIREQEFLSFQEEFQRREKESLKERRKLKSRVEKLVAQVKSLLFTCESERAQTM ALQRQVEELKLENLELRQLAAKREAQACTPSFEITQSKEQLEEAVEPDITQETKGTHCNL FLNRSSCKENLELQPLKKTSPLASGIHSLLALRIGLLTCQDLATPDAELCQESKKANDIM LQRLKDCQLKKKDLDKELLKHKNRIATLKELIASEKALQAHTIEITDFDADEVKSARDAP VLLAVKLDKYHSLNEELDVLITKLGGLLESKEDHYSRLIEENDKYRRHVGSLINKVTSYE EIIKCADQRLEISHSQIAHLEERNRHLEDLIRMPREKAKRPRPRLDNHPKSLTLISHLEG HHKECSISL >ENSMUSP00000122393.1 pep:known chromosome:GRCm38:13:38023231:38036937:-1 gene:ENSMUSG00000044566.15 transcript:ENSMUST00000131066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cage1 description:cancer antigen 1 [Source:MGI Symbol;Acc:MGI:1918463] MSESETINVNGPSQDFSYSDSPFCMEASFSSSDLLQSETKNVKRGNESTHTFSEDIYSTE GSLLGDINLGNYPESEQNQPANTRLSSLRQFEPICKFHWIEAFNDEMTVEDLRGAFSYSE KPELPSQVYNDAADGSEKPDPFKEESSVESSISENKDELVPAPVRKSPRSLCLNYYRGEA QPLTEAPFVRSAVVDVGLNISQPQSFLDKENVCKNGDNSSDRENCFEQLDLRAIYKAEEP EVSSKEVQNSGEISELSVSHQEEVTEDGVDSLAITSPWSPAGIFKGSGPQDNSLRPDREV SCEGLEPLEEDMALNEAL >ENSMUSP00000087278.4 pep:known chromosome:GRCm38:13:38020911:38037069:-1 gene:ENSMUSG00000044566.15 transcript:ENSMUST00000089840.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cage1 description:cancer antigen 1 [Source:MGI Symbol;Acc:MGI:1918463] MTVEDLRGAFSYSEKPELPSQVYNDAADGSEKPDPFKEESSVESSISENKDELVPAPVRK SPRSLCLNYYRGEAQPLTEAPFVRSAVVDVGLNISQPQSFLDKENVCKNGDNSSDRENCF EQLDLRAIYKAEEPEVSSKEVQNSGEISELSVSHQEEVTEDGVDSLAITSPWSPAGIFKG SGPQDNSLRPDREVSCEGLEPLEEDMALNEALQKLKQTNKKQELQIQDLHGKNLNLENRV QELQTKVTKQHVLVDIINKLKVNIEELINDKYNVILEKNDINKKLQDLQEASAHTKKHLQ ESKKDKESLQLQVKKIKVHYVRLQERYIAEIQQKNRSASQCLEIEKTLSKKDEELQRLQR HKGELEKATSSALDLLKREKEIREQEFLSFQEEFQRREKESLKERRKLKSRVEKLVAQVK SLLFTCESERAQTMALQRQVEELKLENLELRQLAAKREAQACTPSFEITQSKEQLEEAVE PDITQVSMQTLNSNTIPRVSPSLVMDAQTGHSECS >ENSMUSP00000074499.4 pep:known chromosome:GRCm38:13:38006054:38036937:-1 gene:ENSMUSG00000044566.15 transcript:ENSMUST00000074969.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cage1 description:cancer antigen 1 [Source:MGI Symbol;Acc:MGI:1918463] MSESETINVNGPSQDFSYSDSPFCMEASFSSSDLLQSETKNVKRGNESTHTFSEDIYSTE GSLLGDINLGNYPESEQNQPANTRLSSLRQFEPICKFHWIEAFNDEMTVEDLRGAFSYSE KPELPSQVYNDAADGSEKPDPFKEESSVESSISENKDELVPAPVRKSPRSLCLNYYRGEA QPLTEAPFVRSAVVDVGLNISQPQSFLDKENVCKNGDNSSDRENCFEQLDLRAIYKAEEP EVSSKEVQNSGEISELSVSHQEEVTEDGVDSLAITSPWSPAGIFKGSGPQDNSLRPDREV SCEGLEPLEEDMALNEALQKLKQTNKKQELQIQDLHGKNLNLENRVQELQTKVTKQHVLV DIINKLKVNIEELINDKYNVILEKNDINKKLQDLQEASAHTKKHLQESKKDKESLQLQVK KIKVHYVRLQERYIAEIQQKNRSASQCLEIEKTLSKKDEELQRLQRHKGELEKATSSALD LLKREKEIREQEFLSFQEEFQRREKESLKERRKLKSRVEKLVAQVKSLLFTCESERAQTM ALQRQVEELKLENLELRQLAAKREAQACTPSFEITQSKEQLEEAVEPDITQETKGTHCNL FLNRSSCKENLELQPLKKTSPLASGIHSLLALRIGLLTCQDLATPDAELCQESKKANDIM LQRLKDCQLKKKDLDKELLKHKNRIATLKELIASEKALQAHTIEITDFDADEVKSARDAP VLLAVKLDKYHSLNEELDVLITKLGGLLESKEDHYSRLIEENDKYRRHVGSLINKVTSYE EIIKCADQRLEISHSQIAHLEERNRHLEDLIRMPREKAKRPRPRLDNHPKSLTLISHLEG HHKECSISL >ENSMUSP00000057595.7 pep:known chromosome:GRCm38:2:126552407:126588243:1 gene:ENSMUSG00000027359.16 transcript:ENSMUST00000061491.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc27a2 description:solute carrier family 27 (fatty acid transporter), member 2 [Source:MGI Symbol;Acc:MGI:1347099] MLPVLYTGLAGLLLLPLLLTCCCPYLLQDVRYFLRLANMARRVRSYRQRRPVRTILRAFL EQARKTPHKPFLLFRDETLTYAQVDRRSNQVARALHDQLGLRQGDCVALFMGNEPAYVWI WLGLLKLGCPMACLNYNIRAKSLLHCFQCCGAKVLLASPDLQEAVEEVLPTLKKDAVSVF YVSRTSNTNGVDTILDKVDGVSAEPTPESWRSEVTFTTPAVYIYTSGTTGLPKAATINHH RLWYGTGLAMSSGITAQDVIYTTMPLYHSAALMIGLHGCIVVGATLALRSKFSASQFWDD CRKYNVTVIQYIGELLRYLCNTPQKPNDRDHKVKKALGNGLRGDVWREFIKRFGDIHVYE FYASTEGNIGFVNYPRKIGAVGRANYLQRKVARYELIKYDVEKDEPVRDANGYCIKVPKG EVGLLVCKITQLTPFIGYAGGKTQTEKKKLRDVFKKGDIYFNSGDLLMIDRENFVYFHDR VGDTFRWKGENVATTEVADIVGLVDFVEEVNVYGVPVPGHEGRIGMASLKIKENYEFNGK KLFQHIAEYLPSYARPRFLRIQDTIEITGTFKHRKVTLMEEGFNPTVIKDTLYFMDDAEK TFVPMTENIYNAIIDKTLKL >ENSMUSP00000117145.2 pep:known chromosome:GRCm38:2:126556128:126587938:1 gene:ENSMUSG00000027359.16 transcript:ENSMUST00000141482.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc27a2 description:solute carrier family 27 (fatty acid transporter), member 2 [Source:MGI Symbol;Acc:MGI:1347099] MQIFPPTSKTLVYLINHLSLAQKDLQEAVEEVLPTLKKDAVSVFYVSRTSNTNGVDTILD KVDGVSAEPTPESWRSEVTFTTPAVYIYTSGTTGLPKAATINHHRLWYGTGLAMSSGITA QDVIYTTMPLYHSAALMIGLHGCIVVGATLALRSKFSASQFWDDCRKYNVTVIQYIGELL RYLCNTPQKPNDRDHKVKKALGNGLRGDVWREFIKRFGDIHVYEFYASTEGNIGFVNYPR KIGAVGRANYLQRKVARYELIKYDVEKDEPVRDANGYCIKVPKGEVGLLVCKITQLTPFI GYAGGKTQTEKKKLRDVFKKGDIYFNSGDLLMIDRENFVYFHDRVGDTFRWKGENVATTE VADIVGLVDFVEEVNVYGVPVPGHEGRIGMASLKIKENYEFNGKKLFQHIAEYLPSYARP RFLRIQDTIEITGTFKHRKVTLMEEGFNPTVIKDTLYFMDDAEKTFVPMTENIYNAIIDK TLKL >ENSMUSP00000130512.1 pep:known chromosome:GRCm38:3:91088137:91090803:-1 gene:ENSMUSG00000091175.2 transcript:ENSMUST00000166173.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130204L05Rik description:RIKEN cDNA 9130204L05 gene [Source:MGI Symbol;Acc:MGI:2684973] MDQGLTPSEHKIMMLYRNFTNYALGTTVILGEKEFRKLVRSEFPNFLQEVQETPLSILLD EPKDRSFEEALNVIGRMGMVYYKKMNNNAFER >ENSMUSP00000024762.2 pep:known chromosome:GRCm38:17:46129271:46144216:-1 gene:ENSMUSG00000023966.8 transcript:ENSMUST00000024762.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph9 description:radial spoke head 9 homolog (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1922814] MDADSLLLSLELASGSGQGLSPDRRASLLTSLMLVKRDYRFARVLFWGRILGLVADYYIA QGLSEDQLAPRKTLYSLNCTEWSLLPPATEEMAMQISVVSGRFMGDPSHEYEHTELQKVN EGEKVFDEEVVVQIKEETRLVSIIDQIDKAVAIIPRGALFKTPFGVTHVNRTFEGLPLSE VRKLSSYFHFREAIDLKNKTLLEKSDLEPSLDFLDSLEYDIPRGSWSIQMERGNALVVLR SLLWPGLTFYHAPRTKNYGYIYVGTGEKNMDLPFML >ENSMUSP00000116960.1 pep:known chromosome:GRCm38:17:46122035:46136830:-1 gene:ENSMUSG00000023966.8 transcript:ENSMUST00000148581.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph9 description:radial spoke head 9 homolog (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1922814] XQIDKAVAIIPRGALFKTPFGVTHVNRTFEGLPLSEVRKLSSYFHFREAIDLKNKTLLEK SDLEPSLDFLDSLEYDIPRV >ENSMUSP00000116437.3 pep:known chromosome:GRCm38:5:134558146:134560171:-1 gene:ENSMUSG00000085957.4 transcript:ENSMUST00000149604.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syna description:syncytin a [Source:MGI Symbol;Acc:MGI:2684898] MVRPWVFCLLLFPCSSAYSDSWMPLVNLTQHLLQEANSSFSSNCWVCLSIQTQRSLAMPA PLRTWTETPMKLRIMYSARTLSGPYPITDLERRLQNFQPLTPHSSFVNPDQRAIAFLQIT SVTGILPILSRITSVRYPDDHVYESAQRPIWGSLSTQTILTSQAPLCISRFFKNSNHATF VGKLPASLCNHTFQLSPSANHQSIDLSSSYAFAPLMAMPGSKWRNPLRFSGPPSLNSGMP HYSCPIDDIHCHTYPTTPWRSCPSFPASTCYNLTLFEPDNSSHPITLSVDTTYFKIKLQG HKDPYPLFQYQPLMGAALSGQYSIWEYEPTVKKNGGITPNIFSHLVSLTYSFCLNSSGVF FLCGNSTYVCLPANWSGVCTLVFQYPDIELLPNNQTISVPLFATVPSSVPASRRKRALPL LPLLAGLGIASALGLGIAGITTSTVYFQQLSKALSDSLDEIATSIISLQDQIDSLAGVVL QNRRALDLIVAERGGTCLFLQEECCFYINQSGVVRHAARKLRERASELGTSSSSWIQWLG LGPWLPSWLTSLMAPILFILVLLVFRPCLLNCLTHSVSRRMSSFIHTTTEGHVDKILLLR ESQYKRLPQEPPEEDAV >ENSMUSP00000005014.2 pep:known chromosome:GRCm38:3:88022061:88027478:-1 gene:ENSMUSG00000004894.10 transcript:ENSMUST00000005014.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hapln2 description:hyaluronan and proteoglycan link protein 2 [Source:MGI Symbol;Acc:MGI:2137300] MPSRIPLPAFCCFLLPWAFTSFHKALGNPAPHPGPHYLLPPIHEVIHSRRGATATLPCVL GTSPPSYKVRWSKVEPGELRETLILITNGLHARDYGLLGGRASLRRGHRLDASLIIKNVR LEDEGRYRCELINGIEDESVALTLRLEGVVFPYQPSRGRYQFNYFEAKRACEEQDGRLAT YGQLYQAWTEGLDWCNAGWLLEGSVRYPVLTARAPCGGHGRPGIRSYGPRDRSRDRYDAF CFTSALAGQVFFVPGRLTLSEAHAACRRRGAVVAKVGHLYAAWKFSGLDQCDGGWLADGS VRFPITTPRPRCGGLPDPGVRSFGFPRPQQASYGTYCYAEK >ENSMUSP00000125271.1 pep:known chromosome:GRCm38:3:88023534:88027492:-1 gene:ENSMUSG00000004894.10 transcript:ENSMUST00000160150.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hapln2 description:hyaluronan and proteoglycan link protein 2 [Source:MGI Symbol;Acc:MGI:2137300] MPSRIPLPAFCCFLLPWAFTSFHKALGNPATKYAGAKWSPVSCGKR >ENSMUSP00000038351.6 pep:known chromosome:GRCm38:11:116330704:116335399:-1 gene:ENSMUSG00000034227.7 transcript:ENSMUST00000036215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj1 description:forkhead box J1 [Source:MGI Symbol;Acc:MGI:1347474] MAESWLRLCGAGPGEEAGPEGGMEEPDALDDSLTSLQWLQEFSILNAKAPTLPPGGTDPH GYHQVPGLVAPGSPLAADPACLGQPHTPGKPTSSCTSRSAPPGLQAPPPDDVDYATNPHV KPPYSYATLICMAMQASKATKITLSAIYKWITDNFCYFRHADPTWQNSIRHNLSLNKCFI KVPREKDEPGKGGFWRIDPQYAERLLSGAFKKRRLPPVHIHPAFARQASQEPSAAPWGGP LTVNREAQQLLQEFEEATGEGGWGTGEGRLGHKRKQPLPKRVAKVLRPPSTLLLTQEEQG ELEPLKGNFDWEAIFEAGALGEELSSLEGLELSPPLSPSSHGDVDLTVHGRHINCPATWG PPAEQAADSLDFDETFLATSFLQHPWDESGSGCLPPEPIFEAGDATLAADLQDWASVGAF L >ENSMUSP00000123821.1 pep:known chromosome:GRCm38:15:61985391:61990350:1 gene:ENSMUSG00000022346.14 transcript:ENSMUST00000161976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myc description:myelocytomatosis oncogene [Source:MGI Symbol;Acc:MGI:97250] MPLNVNFTNRNYDLDYDSVQPYFICDEEENFYHQQQQSELQPPAPSEDIWKKFELLPTPP LSPSRRSGLCSPSYVAVATSFSPREDDDGGGGNFSTADQLEMMTELLGGDMVNQSFICDP DDETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSTSLSPARGHSVCSTSSLYLQ DLTAAASECIDPSVVFPYPLNDSSSPKSCTSSDSTAFSPSSDSLLSSESSPRASPEPLVL HEETPPTTSSDSEEEQEDEEEIDVVSVEKRQTPAKRSESGSSPSRGHSKPPHSPLVLKRC HVSTHQHNYAAPPSTRKDYPAAKRAKLDSGRVLKQISNNRKCSSPRSSDTEENDKRRTHN VLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSIQADEHKLTSEKDLL RKRREQLKHKLEQLRNSGA >ENSMUSP00000022971.7 pep:known chromosome:GRCm38:15:61985391:61990350:1 gene:ENSMUSG00000022346.14 transcript:ENSMUST00000022971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myc description:myelocytomatosis oncogene [Source:MGI Symbol;Acc:MGI:97250] LDFLWALETPQTATTMPLNVNFTNRNYDLDYDSVQPYFICDEEENFYHQQQQSELQPPAP SEDIWKKFELLPTPPLSPSRRSGLCSPSYVAVATSFSPREDDDGGGGNFSTADQLEMMTE LLGGDMVNQSFICDPDDETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSTSLSP ARGHSVCSTSSLYLQDLTAAASECIDPSVVFPYPLNDSSSPKSCTSSDSTAFSPSSDSLL SSESSPRASPEPLVLHEETPPTTSSDSEEEQEDEEEIDVVSVEKRQTPAKRSESGSSPSR GHSKPPHSPLVLKRCHVSTHQHNYAAPPSTRKDYPAAKRAKLDSGRVLKQISNNRKCSSP RSSDTEENDKRRTHNVLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILS IQADEHKLTSEKDLLRKRREQLKHKLEQLRNSGA >ENSMUSP00000124758.1 pep:known chromosome:GRCm38:15:61985564:61990374:1 gene:ENSMUSG00000022346.14 transcript:ENSMUST00000159327.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myc description:myelocytomatosis oncogene [Source:MGI Symbol;Acc:MGI:97250] MPLNVNFTNRNYDLDYDSVQPYFICDEEENFYHQQQQSELQPPAPSEDIWKKFELLPTPP LSPSRRSGLCSPSYVAVATSFSPREDDDGGGGNFSTADQLEMMTELLGGDMVNQSFICDP DDETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSTSLSPARGHSVCSTSSLYLQ DLTAAASECIDPSVVFPYPLNDSSSPKSCTSSDSTAFSPSSDSLLSSESSPRASPEPLVL HEETPPTTSSDSEEEQEDEEEIDVVSVEKRQTPAKRSESGSSPSRGHSKPPHSPLVLKRC HVSTHQHNYAAPPSTRKDYPAAKRAKLDSGRVLKQISNNRKCSSPRSSDTEENDKRRTHN VLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSIQADEHKLTSEKDLL RKRREQLKHKLEQLRNSGA >ENSMUSP00000130285.1 pep:known chromosome:GRCm38:15:61985622:61990067:1 gene:ENSMUSG00000022346.14 transcript:ENSMUST00000167731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myc description:myelocytomatosis oncogene [Source:MGI Symbol;Acc:MGI:97250] LDFLWALETPTATTMPLNVNFTNRNYDLDYDSVQPYFICDEEENFYHQQQQSELQPPAPS EDIWKKFELLPTPPLSPSRRSGLCSPSYVAVATSFSPREDDDGGGGNFSTADQLEMMTEL LGGDMVNQSFICDPDDETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSTSLSPA RGHSVCSTSSLYLQDLTAAASECIDPSVVFPYPLNDSSSPKSCTSSDSTAFSPSSDSLLS SESSPRASPEPLVLHEETPPTTSSDSEEEQEDEEEIDVVSVEKRQTPAKRSESGSSPSRG HSKPPHSPLVLKRCHVSTHQHNYAAPPSTRKDYPAAKRAKLDSGRVLKQISNNRKCSSPR SSDTEENDKRRTHNVLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSI QADEHKLTSEKDLLRKRREQLKHKLEQLRNSGA >ENSMUSP00000125480.1 pep:known chromosome:GRCm38:15:61987034:61987617:1 gene:ENSMUSG00000022346.14 transcript:ENSMUST00000159338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myc description:myelocytomatosis oncogene [Source:MGI Symbol;Acc:MGI:97250] MPLNVNFTNRNYDLDYDSVQPYFICDEEENFY >ENSMUSP00000123852.1 pep:known chromosome:GRCm38:15:61987422:61990253:1 gene:ENSMUSG00000022346.14 transcript:ENSMUST00000160009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myc description:myelocytomatosis oncogene [Source:MGI Symbol;Acc:MGI:97250] MPLNVNFTNRNYDLDYDSVQPYFICDEEENFYHQQQQSELQPPAPSEDIWKKFELLPTPP LSPSRRSGLCSPSYVAVATSFSPREDDDGGGGNFSTADQLEMMTELLGGDMVNQSFICDP DDETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSTSLSPARGHSVCSTSSLYLQ DLTAAASECIDPSVVFPYPLNDSSSPKSCTSSDSTAFSPSSDSLLSSESSPRASPEPLVL HEETPPTTSSDSEEEQEDEEEIDVVSVEKRQTPAKRSESGSSPSRGHSKPPHSPLVLKRC HVSTHQHNYAAPPSTRKDYPAAKRAKLDSGRVLKQISNNRKCSSPRSSDTEENDKRRTHN VLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSIQADEHKLTSEKDLL RKRREQLKHKLEQLRNSGA >ENSMUSP00000140183.1 pep:known chromosome:GRCm38:15:61985341:61990352:1 gene:ENSMUSG00000022346.14 transcript:ENSMUST00000188482.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myc description:myelocytomatosis oncogene [Source:MGI Symbol;Acc:MGI:97250] LDFLWALETPQTATTMPLNVNFTNRNYDLDYDSVQPYFICDEEENFYHQQQQSELQPPAP SEDIWKKFELLPTPPLSPSRRSGLCSPSYVAVATSFSPREDDDGGGGNFSTADQLEMMTE LLGGDMVNQSFICDPDDETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSTSLSP ARGHSVCSTSSLYLQDLTAAASECIDPSVVFPYPLNDSSSPKSCTSSDSTAFSPSSDSLL SSESSPRASPEPLVLHEETPPTTSSDSEEEQEDEEEIDVVSVEKRQTPAKRSESGSSPSR GHSKPPHSPLVLKRCHVSTHQHNYAAPPSTRKDYPAAKRAKLDSGRVLKQISNNRKCSSP RSSDTEENDKRRTHNVLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILS IQADEHKLTSEKDLLRKRREQLKHKLEQLRNSGA >ENSMUSP00000141139.1 pep:known chromosome:GRCm38:15:61985922:61989908:1 gene:ENSMUSG00000022346.14 transcript:ENSMUST00000191178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myc description:myelocytomatosis oncogene [Source:MGI Symbol;Acc:MGI:97250] MDFLWALETPTATTMPLNVNFTNRNYDLDYDSVQPYFICDEEENFYHQQQQSELQPPAPS EDIWKKFELLPTPPLSPSRRSGLCSPSYVAVATSFSPREDDDGGGGNFSTADQLEMMTEL LGGDMVNQSFICDPDDETFIKNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSTSLSPA RGHSVCSTSSLYLQDLTAAASECIDPSVVFPYPLNDSSSPKSCTSSDSTAFSPSSDSLLS SESSPRASPEPLVLHEETPPTTSSDSEEEQEDEEEIDVVSVEKRQTPAKRSESGSSPSRG HSKPPHSPLVLKRCHVSTHQHNYAAPPSTRKDYPAAKRAKLDSGRVLKQISNNRKCSSPR SSDTEENDKRRTHNVLERQRRNELKRSFFALRDQIPELENNEKAPKVVILKKATAYILSI QADEHKLTSEKDLLRKRREQLKHKLEQLRNSGA >ENSMUSP00000121480.1 pep:known chromosome:GRCm38:1:173920407:173942492:-1 gene:ENSMUSG00000039997.16 transcript:ENSMUST00000123708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi203 description:interferon activated gene 203 [Source:MGI Symbol;Acc:MGI:96428] MMAEYKNIVLLKGLENMEDYQFRTVKSLLRKELKLTKKMQEDYDRIQLADWIEDKFPKDA GLDKLIKVCEHIKDLKDLAKKLKTEKAKVQKKKEGKCKTAGKKKGQDELSSSESLFNNKE SNKSVPSSKKKRKQITKTEGGKKKKLTQEQAQLPETSGTNIKKEEDCLQNPHKSPPTPSS SSSNKAPRRGTVPKEPSREEGHHQGPKQVMVLKVTEPFTYDFEETKRMFHATVATETEFF RVKVFDTALMSKFIPGKIIAISHYIGCNGFLEIYRASCVSDVNINPTMIISNTLSESAIA TPKISYLLSQAKGTFVNGEFVVFKKSERHECICYGIGDDTGKMAVVVYGRLTNVRCEPGS KLRLVCFELTSTKDVCLLRSVRHSYMQVINEGKPLNPDSVRRNSLEPYF >ENSMUSP00000114221.1 pep:known chromosome:GRCm38:1:173920407:173942672:-1 gene:ENSMUSG00000039997.16 transcript:ENSMUST00000156895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi203 description:interferon activated gene 203 [Source:MGI Symbol;Acc:MGI:96428] MMAEYKNIVLLKGLENMEDYQFRTVKSLLRKELKLTKKMQEDYDRIQLADWIEDKFPKDA GLDKLIKVCEHIKDLKDLAKKLKTEKAKVQKKKEGKCKTAGKKKGQDELSSSESLFNNKE SNKSVPSSKKKRKQITKTEGGKKKKLTQEQAQLPETSGTNIKKEEDCLQNPHKSPPTPSS SSSNKKNPVVASFLLASRASTSGAKTREQDILRHKQRPSRKGGFKTTFCERIKAPRRGTV PKEPSREEGHHQGPKQVMVLKVTEPFTYDFEETKRMFHATVATETEFFRVKVFDTALMSK FIPGKIIAISHYIGCNGFLEIYRASCVSDVNINPTMIISNTLSESAIATPKISYLLSQAK GTFVNGEFVVFKKSERHECICYGIGDDTGKMAVVVYGRLTNVRCEPGSKLRLVCFELTST KDVCLLRSVRHSYMQVINEGKPLNPDSVRRNSLEPYF >ENSMUSP00000122424.1 pep:known chromosome:GRCm38:1:173922220:173942491:-1 gene:ENSMUSG00000039997.16 transcript:ENSMUST00000129829.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi203 description:interferon activated gene 203 [Source:MGI Symbol;Acc:MGI:96428] MMAEYKNIVLLKGLENMEDYQFRTVKSLLRKELKLTKKMQEDYDRIQLADWIEDKFPKDA GLDKLIKVCEHIKDLKDLAKKLKTEKAKVQKKKEGKCKTAGKKKGQDELSSSESLFNNKE SNKSVPSSKKKRKQITKTEGGKKKKLTQEQAQLPETSGTNIKKEEDCLQNPHKSPPTPSS SSSNKKNPVVASFLLASRASTSGAKTREQDILRHKQRPSRKGGFKTTFCERIKKQKNRTI RKHSISKTEGPQEKQQRVELSSISNFQAVSELLTFEGLSAIPSSRLQSSQKPLEAHLDLK MSPISPTSPCHNLPVSLSSDSNVHLNSNAHSILFSGAQVPHVPSATGFSNVGVPLMPSET VFSSSSAPQISQITVPSSIRDLYLPKPAAFNSTEAPHKQAIASRNGQTTRAPSAKLTSKS QFLKLHPPATASSNSQVPHILATMPRNISVTQVPQTRTSSSIQTLNSATVKASKNVQAPQ VSLPIRPNYFLASVAPPSATPNRLTSHGPLLSIATSRAQTTQIEPGTESICVQALHAPPS TVSRNKCTTPLTQGAESSTGEALPLPKVKACTIFQAPRVSSPTASSSIMKPHATSSKTSS SLLDQHATSSTASSSRLLALKLSPVTASRALSAIPGLSETIHRSPSSAPRRGTVPKEPSR EEGHHQGPKQVMVLKVTEPFTYDFEETKRMFHATVATETEFFRVKVFDTALMSKFIPGKI IAISHYIGCNGFLEIYRASCVSDVNINPTMIISNTLSESAIATPKISYLLSQAKGTFVNG EFVVFKKSERHECICYGIGDDTGKMAVVVYGRLTNVRCEPGSKLRLVCFELTSTKDVCLL RSVRHSYMQVINEGKPLNPDSVRRNSLEPYF >ENSMUSP00000042071.8 pep:known chromosome:GRCm38:1:173920407:173942460:-1 gene:ENSMUSG00000039997.16 transcript:ENSMUST00000042228.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi203 description:interferon activated gene 203 [Source:MGI Symbol;Acc:MGI:96428] MMAEYKNIVLLKGLENMEDYQFRTVKSLLRKELKLTKKMQEDYDRIQLADWIEDKFPKDA GLDKLIKVCEHIKDLKDLAKKLKTEKAKVQKKKEGKCKTAGKKKGQDELSSSESLFNNKE SNKSVPSSKKKRKQITKTEGGKKKKLTQEQAQLPETSGTNIKKEEDCLQNPHKSPPTPSS SSSNKKNPVVASFLLASRASTSGAKTREQDILRHKQRPSRKGGFKTTFCERIKAPRRGTV PKEPSREEGHHQGPKQVMVLKVTEPFTYDFEETKRMFHATVATETEFFRVKVFDTALMSK FIPGKIIAISHYIGCNGFLEIYRASCVSDVNINPTMIISNTLSESAIATPKISYLLSQAK GTFVNGEFVVFKKSERHECICYGIGDDTGKMAVVVYGRLTNVRCEPGSKLRLVCFELTST KDVCLLRSVRHSYMQVINEGKPLNPDSVRRNSLEPYF >ENSMUSP00000079976.5 pep:known chromosome:GRCm38:1:173920407:173942460:-1 gene:ENSMUSG00000039997.16 transcript:ENSMUST00000081216.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi203 description:interferon activated gene 203 [Source:MGI Symbol;Acc:MGI:96428] MMAEYKNIVLLKGLENMEDYQFRTVKSLLRKELKLTKKMQEDYDRIQLADWIEDKFPKDA GLDKLIKVCEHIKDLKDLAKKLKTEKAKVQKKKEGKCKTAGKKKGQDELSSSESLFNNKE SNKSVPSSKKKRKQITKTEGGKKKKLTQEQAQLPETSGTNIKKEEDCLQNPHKSPPTPSS SSSNKAPRRGTVPKEPSREEGHHQGPKQVMVLKVTEPFTYDFEETKRMFHATVATETEFF RVKVFDTALMSKFIPGKIIAISHYIGCNGFLEIYRASCVSDVNINPTMIISNTLSESAIA TPKISYLLSQAKGTFVNGEFVVFKKSERHECICYGIGDDTGKMAVVVYGRLTNVRCEPGS KLRLVCFELTSTKDVCLLRSVRHSYMQVINEGKPLNPDSVRRNSLEPYF >ENSMUSP00000040033.2 pep:known chromosome:GRCm38:5:89527429:89583740:1 gene:ENSMUSG00000035528.4 transcript:ENSMUST00000048557.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npffr2 description:neuropeptide FF receptor 2 [Source:MGI Symbol;Acc:MGI:1860130] MSEKWDSNSSESWNHIWSGNDTQHHWYSDINITYVNYYLHQPQVAAVFISSYLLIFVLCM VGNTVVCFIVIRNRHMHTVTNFFILNLAISDLLVGIFCMPITLLDNIIAGWPFGSSMCKI SGLVQGISVAASVFTLVAIAVDRFRCVVYPFKPKLTVKTAFVTIVIIWGLAIAIMTPSAI MLHVQEEKYYRVRLSSHNKTSTVYWCREDWPRHEMRRIYTTVLFATIYLAPLSLIVIMYA RIGASLFKTAAHCTGKQRPVQWHVSKKKQKVIKMLLTVALLFILSWLPLWTLMMLSDYTD LSPNKLRIINIYIYPFAHWLAFCNSSVNPIIYGFFNENFRNGFQDAFQICQKKAKPQEAY SLRAKRNIVINTSGLLVQEPVSQNPGGENLGCGKSADNPTQESLIEEMGEATNSTVA >ENSMUSP00000044866.7 pep:known chromosome:GRCm38:12:69583766:69681852:-1 gene:ENSMUSG00000034801.13 transcript:ENSMUST00000035773.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sos2 description:son of sevenless homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:98355] MQQAPQPYEFFSEENSPKWRGLLVPALRKVQEQVHPTLSANEESLYYIEELIFQLLNKLC MAQPRTVQDVEERVQKTFPHPIDKWAIADAQSAIEKRKRRNPLLLPVDKIHPSLKEVLGY KVDYHVSLYIVAVLEYISADILKLAGNYVFNIRHYEISQQDIKVSMCADKVLMDMFDQDD DIGLVSLCEDEPCSSGELNYYDLVRTEIAEERQYLRELNMIIKVFREAFLLDRKLFKPSE IEKIFSNISDIHELTVKLLGLIEDTVEMTDESSPHPLAGSCFEDLAEEQAFDPYETLSQD ILAPEFNDHFSKLMARPAVALHFQSIADGFKEAVRYVLPRLMLVPVYHCWHYFELLKLKA CSEEQEDKECLNQAITALMNLQGSMDRIYKQHSPRRRPGDPVCLFYNRQLRSKHLAIKKM NEIQKNIDGWEGKDIGQCCNEFIMEGPLTRIGAKHERHIFLFDGLMISCKPNHGQTRLPG YSSAEYRLKEKFVMRKIQICDKEDACEYRHAFELVSKDENSVIFAAKSAEEKNNWMAALI SLHYRSTLDRMLDSVLLKEENEQPLRLPSPDMYRFVVTDSEENIVFEDNLQSRSGIPIIK GGTVVKLIERLTYHMYADPNFVRTFLTTYRSFCKPQELLNLLIERFEIPEPEPTEADKLA LEKGEQPISADLKRFRKEYVQPVQLRVLNVFRHWVEHHYYDFERDLELLERLESFISSVR GKAMKKWVESIAKIIKRKKQAQANGISHNITFESSPPPVEWHISRTGQFETFDLMTLHPI EIARQLTLLESDLYRKVQPSELVGSVWTKEDKEINSPNLLKMIRHTTNLTLWFEKCIVEA ENFEERVAVLSRIVEILQVFQDLNNFNGVLEIVSAVNSVSVYRLDHTFEALQERKRRILD DAVELSQDHFKKYLVKLKSINPPCVPFFGIYLTNILKTEEGNSDFLKRKGKDLINFSKRR KVAEITGEIQQYQNQPYCLRTEPEMRRFFENLNPMGILSEKEFTDYLFNKSLEIEPRNCK QPPRFPRKSTFSLKSPGIRPNAGRHGSTSGTLRGHPTPLEREPYKISFSRIAETELESTV SAPTSPNTPSTPPVSASSDHSVFLDVDLNSSCGSNTIFAPVLLPHSKTFFSSCGSLHKLS EEPLIPPPLPPRKKFDHDALNSKGAVKSDDDPPAIPPRQPPPPKVKPRVPVLMGTFDGPV PSPPPPPPRDPLPDTPPPVPLRPPEHFINCPFNLQPPPLGHPHRDPDWLRDVSTCPNSPS TPPTTPSPRIPRSCHLLSSSHSSLAHLPAPPVPPRQNSSPLLPKLPPKTYKRELSHPPLY RLPLLENAETPQ >ENSMUSP00000138793.1 pep:known chromosome:GRCm38:12:69584853:69681841:-1 gene:ENSMUSG00000034801.13 transcript:ENSMUST00000183277.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sos2 description:son of sevenless homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:98355] MQQAPQPYEFFSEENSPKWRGLLVPALRKVQEQVHPTLSANEESLYYIEELIFQLLNKLC MAQPRTVQDVEERVQKTFPHPIDKWAIADAQSAIEKRKRRNPLLLPVDKIHPSLKEVLGY KVDYHVSLYIVAVLEYISADILKLAGNYVFNIRHYEISQQDIKVSMCADKVLMDMFDQDD DIGLVSLCEDEPCSSGELNYYDLVRTEIAEERQYLRELNMIIKVFREAFLLDRKLFKPSE IEKIFSNISDIHELTVKLLGLIEDTVEMTDESSPHPLAGSCFEDLAEEQAFDPYETLSQD ILAPEFNDHFSKLMARPAVALHFQSIADGFKEAVRYVLPRLMLVPVYHCWHYFELLKQLK ACSEEQEDKECLNQAITALMNLQGSMDRIYKQHSPRRRPGDPVCLFYNRQLRSKHLAIKK MNEIQKNIDGWEGKDIGQCCNEFIMEGPLTRIGAKHERHIFLFDGLMISCKPNHGQTRLP GYSSAEYRLKEKFVMRKIQICDKEDACEYRHAFELVSKDENSVIFAAKSAEEKNNWMAAL ISLHYRSTLDRMLDSVLLKEENEQPLRLPSPDMYRFVVTDSEENIVFEDNLQSRSGIPII KGGTVVKLIERLTYHMYADPNFVRTFLTTYRSFCKPQELLNLLIERFEIPEPEPTEADKL ALEKGEQPISADLKRFRKEYVQPVQLRVLNVFRHWVEHHYYDFERDLELLERLESFISSV RGKAMKKWVESIAKIIKRKKQAQANGISHNITFESSPPPVEWHISRTGQFETFDLMTLHP IEIARQLTLLESDLYRKVQPSELVGSVWTKEDKEINSPNLLKMIRHTTNLTLWFEKCIVE AENFEERVAVLSRIVEILQVFQDLNNFNGVLEIVSAVNSVSVYRLDHTFEALQERKRRIL DDAVELSQDHFKKYLVKLKSINPPCVPFFGIYLTNILKTEEGNSDFLKRKGKDLINFSKR RKVAEITGEIQQYQNQPYCLRTEPEMRRFFENLNPMGILSEKEFTDYLFNKSLEIEPRNC KQPPRFPRKSTFSLKSPGIRPNAGRHGSTSGTLRGHPTPLEREPYKISFSRIAETELEST VSAPTSPNTPSTPPVSASSDHSVFLDVDLNSSCGSNTIFAPVLLPHSKTFFSSCGSLHKL SEEPLIPPPLPPRKKFDHDALNSKGAVKSDDDPPAIPPRQPPPPKVKPRVPVLMGTFDGP VPSPPPPPPRDPLPDTPPPVPLRPPEHFINCPFNLQPPPLGHPHRDPDWLRDVSTCPNSP STPPTTPSPRIPRSCHLLSSSHSSLAHLPAPPVPPRQNSSPLLPKLPPKTYKRELSHPPL YRLPLLENAETPQ >ENSMUSP00000138589.1 pep:known chromosome:GRCm38:12:69585089:69681627:-1 gene:ENSMUSG00000034801.13 transcript:ENSMUST00000182396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sos2 description:son of sevenless homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:98355] MQQAPQPYEFFSEENSPKWRGLLVPALRKVQEQVHPTLSANEESLYYIEELIFQLLNKLC MAQPRTVQDVEERVQKTFPHPIDKWAIADAQSAIEKRKRRNPLLLPVDKIHPSLKEVLGY KVDYHVSLYIVAVLEYISADILKLAGNYVFNIRHYEISQQDIKVSMCADKVLMDMFDQDD DIGLVSLCEDEPCSSGELNYYDLVRTEIAEERQYLRELNMIIKVFREAFLLDRKLFKPSE IEKIFSNISDIHELTVKLLGLIEDTVEMTDESSPHPLAGSCFEDLAEEQAFDPYETLSQD ILAPEFNDHFSKLMARPAVALHFQQLKACSEEQEDKECLNQAITALMNLQGSMDRIYKQH SPRRRPGDPVCLFYNRQLRSKHLAIKKMNEIQKNIDGWEGKDIGQCCNEFIMEGPLTRIG AKHERHIFLFDGLMISCKPNHGQTRLPGYSSAEYRLKEKFVMRKIQICDKEDACEYRHAF ELVSKDENSVIFAAKSAEEKNNWMAALISLHYRSTLDRMLDSVLLKEENEQPLRLPSPDM YRFVVTDSEENIVFEDNLQSRSGIPIIKGGTVVKLIERLTYHMYADPNFVRTFLTTYRSF CKPQELLNLLIERFEIPEPEPTEADKLALEKGEQPISADLKRFRKEYVQPVQLRVLNVFR HWVEHHYYDFERDLELLERLESFISSVRGKAMKKWVESIAKIIKRKKQAQANGISHNITF ESSPPPVEWHISRTGQFETFDLMTLHPIEIARQLTLLESDLYRKVQPSELVGSVWTKEDK EINSPNLLKMIRHTTNLTLWFEKCIVEAENFEERVAVLSRIVEILQVFQDLNNFNGVLEI VSAVNSVSVYRLDHTFEALQERKRRILDDAVELSQDHFKKYLVKLKSINPPCVPFFGIYL TNILKTEEGNSDFLKRKGKDLINFSKRRKVAEITGEIQQYQNQPYCLRTEPEMRRFFENL NPMGILSEKEFTDYLFNKSLEIEPRNCKQPPRFPRKSTFSLKSPGIRPNAGRHGSTSGTL RGHPTPLEREPYKISFSRIAETELESTVSAPTSPNTPSTPPVSASSDHSVFLDVDLNSSC GSNTIFAPVLLPHSKTFFSSCGSLHKLSEEPLIPPPLPPRKKFDHDALNSKGAVKSDDDP PAIPPRQPPPPKVKPRVPVLMGTFDGPVPSPPPPPPRDPLPDTPPPVPLRPPEHFINCPF NLQPPPLGHPHRDPDWLRDVSTCPNSPSTPPTTPSPRIPRSCHLLSSSHSSLAHLPAPPV PPRQNSSPLLPKLPPKTYKRELSHPPLYRLPLLENAETPQ >ENSMUSP00000031535.4 pep:known chromosome:GRCm38:5:114948980:114971067:-1 gene:ENSMUSG00000029556.12 transcript:ENSMUST00000031535.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf1a description:HNF1 homeobox A [Source:MGI Symbol;Acc:MGI:98504] MVSKLSQLQTELLAALLESGLSKEALIQALGEPGPYLMVGEGPLDKGESCGGSRGDLTEL PNGLGETRGSEDDTDDDGEDFAPPILKELENLSPEEAAHQKAVVESLLQEDPWRVAKMVK SYLQQHNIPQREVVDTTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVRKQREVAQQFTHA GQGGLIEEPTGDELPTKKGRRNRFKWGPASQQILFQAYERQKNPSKEERETLVEECNRAE CIQRGVSPSQAQGLGSNLVTEVRVYNWFANRRKEEAFRHKLAMDTYNGPPPGPGPGPALP AHSSPGLPTTTLSPSKVHGVRYGQSATSEAAEVPSSSGGPLVTVSAALHQVSPTGLEPSS LLSTEAKLVSATGGPLPPVSTLTALHSLEQTSPGLNQQPQNLIMASLPGVMTIGPGEPAS LGPTFTNTGASTLVIGLASTQAQSVPVINSMGSSLTTLQPVQFSQPLHPSYQQPLMPPVQ SHVAQSPFMATMAQLQSPHALYSHKPEVAQYTHTSLLPQTMLITDTNLSTLASLTPTKQV FTSDTEASSEPGLHEPPSPATTIHIPSQDPSNIQHLQPAHRLSTSPTVSSSSLVLYQSSD SNGHSHLLPSNHSVIETFISTQMASSSQ >ENSMUSP00000135539.1 pep:known chromosome:GRCm38:5:114950061:114970844:-1 gene:ENSMUSG00000029556.12 transcript:ENSMUST00000176911.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnf1a description:HNF1 homeobox A [Source:MGI Symbol;Acc:MGI:98504] MVSKLSQLQTELLAALLESGLSKEALIQALGEPGPYLMVGEGPLDKGESCGGSRGDLTEL PNGLGETRGSEDDTDDDGEDFAPPILKELENLSPEEAAHQKAVVESLLQIHPRRAGRTD >ENSMUSP00000135678.1 pep:known chromosome:GRCm38:5:114952579:114970844:-1 gene:ENSMUSG00000029556.12 transcript:ENSMUST00000176550.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnf1a description:HNF1 homeobox A [Source:MGI Symbol;Acc:MGI:98504] MVSKLSQLQTELLAALLESGLSKEALIQALGEPGPYLMVGEGPLDKGESCGGSRGDLTEL PNGLGETRGSEDDTDDDGEDFAPPILKELENLSPEEAAHQKAVVESLLQEDPWRVAKMVK SYLQQHNIPQREVVDTTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVRKQREVAQQFTHA GQGGLIEEPTGDELPTKKGRRNRFKWGPASQQILFQAYERQKNPSKEERETLVEECNRCT VRTVCNQ >ENSMUSP00000020749.6 pep:known chromosome:GRCm38:11:29526448:29545279:1 gene:ENSMUSG00000020459.14 transcript:ENSMUST00000020749.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif2 description:mitochondrial translational initiation factor 2 [Source:MGI Symbol;Acc:MGI:1924034] MNQKLLKLENLLRFHTICRQVHSPSQRRLLAWCRHGFAPASSVWRDLLGARSWQTDMLIG SALHQHRLLVTKKEKRPPRSQLSPVKTKKEVEVWVGMTVEDLASAMAKDIDCVYEALLNT AIDVDSLEANSHLDEVWIKEVIKKAGMKLKWSKLKQERIRENKDAVRRPGTDPALLKPRS PVVTVMGHVDHGKTTLLDKLRETQVAAMEVGGITQHIGAFLVSLPSGEKITFLDTPGHAA FSAMRARGAQVTDIVVLVVAADDGVMKQTVESIQHAKDAEVPIILAINKCDKTDADPEKV KKELLAYDVVCEEYGGDVQAVHVSALTGDNLMALAEATIALAEILELKADPTGPVEGTVI ESFTDKGRGPVTTAIIQRGTLRKGSILVAGKSWAKVRLIFDENGKILNEAYPSMPVGIIG WRDLPSAGDEILEVESEPRAREVIEWRKSEQKEEKGKDDLKIMEEKRREHQEAHRKAREK YGSLHWKERSYIKFLERKQQRPLKPKEKVERQSNVLPIIIKGDVDGSVEAILNLLDTYDA SHECELELVHFGLGDISENDVTFAETFDGVIYGFNVEAGSAIQQSAAQKGVKIKLHKIIY HLIEDLQEELSSRLPHTLEEYPIGEASILATFTVTEGKKKIPVAGCRVQKGQLERHKKFK LIRNGQVIWKGSLTSLKHHKDDISVIKTGMDCGLSLDEEKVEFKPGDQVICYEENKVPTK TSWDPGF >ENSMUSP00000114299.1 pep:known chromosome:GRCm38:11:29526450:29540165:1 gene:ENSMUSG00000020459.14 transcript:ENSMUST00000144321.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif2 description:mitochondrial translational initiation factor 2 [Source:MGI Symbol;Acc:MGI:1924034] MNQKLLKLENLLRFHTICRQVHSPSQRRLLAWCRHGFAPASSVWRDLLGARSWQTDMLIG SALHQHRLLVTKKEKRPPRSQLSPVKTKKEVEVWVGMTVEDLASAMAKDIDCVYEALLNT AIDVDSLEANSHLDEVWIKEVIKKAGMKLKWSKLKQERIRENKDAVRRPGTDPALLKPRS PVVTVMGHVDHGKTTLLDKLRETQVAAMEVGGITQHIGAFLVSLPSGEKITFLDTPGHAA FSAMRARGAQVTDIVVLVVAADDGVMKQTVESIQHAKDAEVPIILAINKCDKTDADPEKV KKELLAYDVVCEEYGGDVQAVHVSALTGDNLMALAEATIALAEILELKADPTGPVEGTVI ESFTDKGRGPVTTAIIQRGTLRKGSILVAGKSWAKVRLIFD >ENSMUSP00000122233.1 pep:known chromosome:GRCm38:11:29526408:29533397:1 gene:ENSMUSG00000020459.14 transcript:ENSMUST00000133452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif2 description:mitochondrial translational initiation factor 2 [Source:MGI Symbol;Acc:MGI:1924034] MNQKLLKLENLLRFHTICRQVHSPSQRRLLAWCRHGFAPASSVWRDLLGARSWQTDMLIG SALHQHRLLVTKKEKRPPRSQLSPVKTKKEVEVWVGMTVEDLASAMAKDIDCVYEALLNT AIDVDSLEANSHLDEVWIKEVIKKAGMKLKWSKLKQERIRENKDAVR >ENSMUSP00000122801.1 pep:known chromosome:GRCm38:11:29526436:29533916:1 gene:ENSMUSG00000020459.14 transcript:ENSMUST00000136351.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif2 description:mitochondrial translational initiation factor 2 [Source:MGI Symbol;Acc:MGI:1924034] MNQKLLKLENLLRFHTICRQVHSPSQRRLLAWCRHGFAPASSVWRDLLGARSWQTDMLIG SALHQHRLLVTKKEKRPPRSQLSPVKTKKEVEVWVGMTVEDLASAMAKDIDCVYEALLNT AIDVDSLEANSHLDEVWIKEVIKKAGMKLKWSKLKQERIRENKDAVRRPGTDPALLKPRS PVVTVMGHVDHGKTTL >ENSMUSP00000121327.1 pep:known chromosome:GRCm38:11:29533290:29540272:1 gene:ENSMUSG00000020459.14 transcript:ENSMUST00000132783.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif2 description:mitochondrial translational initiation factor 2 [Source:MGI Symbol;Acc:MGI:1924034] XLDEVWIKEVIKKAGMKLKWSKLKQERIRENKDAVRRPGTDPALLKPRSPVVTVMGHVDH GKTTLLDKLRETQVAAMEVGGITQHIGAFLVPIILAINKCDKTDADPEKVKKELLAYDVV CEEYGGDVQAVHVSALTGDNLMALAEATIALAEILELKADPTGPVEGTVIESFTDKGRGP VTTAIIQRGTLRKGSILVAGKSWAKVRLIFDENGKILNEAYPSMPVGIIGWRDLPSAGDE ILEVESE >ENSMUSP00000090926.4 pep:known chromosome:GRCm38:11:29526457:29545248:1 gene:ENSMUSG00000020459.14 transcript:ENSMUST00000093239.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif2 description:mitochondrial translational initiation factor 2 [Source:MGI Symbol;Acc:MGI:1924034] MNQKLLKLENLLRFHTICRQVHSPSQRRLLAWCRHGFAPASSVWRDLLGARSWQTDMLIG SALHQHRLLVTKKEKRPPRSQLSPVKTKKEVEVWVGMTVEDLASAMAKDIDCVYEALLNT AIDVDSLEANSHLDEVWIKEVIKKAGMKLKWSKLKQERIRENKDAVRRPGTDPALLKPRS PVVTVMGHVDHGKTTLLDKLRETQVAAMEVGGITQHIGAFLVSLPSGEKITFLDTPGHAA FSAMRARGAQVTDIVVLVVAADDGVMKQTVESIQHAKDAEVPIILAINKCDKTDADPEKV KKELLAYDVVCEEYGGDVQAVHVSALTGDNLMALAEATIALAEILELKADPTGPVEGTVI ESFTDKGRGPVTTAIIQRGTLRKGSILVAGKSWAKVRLIFDENGKILNEAYPSMPVGIIG WRDLPSAGDEILEVESEPRAREVIEWRKSEQKEEKGKDDLKIMEEKRREHQEAHRKAREK YGSLHWKERSYIKFLERKQQRPLKPKEKVERQSNVLPIIIKGDVDGSVEAILNLLDTYDA SHECELELVHFGLGDISENDVTFAETFDGVIYGFNVEAGSAIQQSAAQKGVKIKLHKIIY HLIEDLQEELSSRLPHTLEEYPIGEASILATFTVTEGKKKIPVAGCRVQKGQLERHKKFK LIRNGQVIWKGSLTSLKHHKDDISVIKTGMDCGLSLDEEKVEFKPGDQVICYEENKVPTK TSWDPGF >ENSMUSP00000106752.2 pep:known chromosome:GRCm38:12:8674134:8751454:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000111123.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP IMVQRRSGQSFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD AETDGPEKGDQKGKASPFEEDQNRDLKQDDEDSKINGRGLPNGMDADCKDFNRTPGSRQA SPTEVVERLGPSTNPPEGLGPLPNPTANKPLVEEFSNPETQNLDAMDQVGLDSLQFDYPG NQVPMDSSGATVGLFDYNSQQQLFQRTSALTVQQLTAAQQQQYALAAAQQPHIAGVFSAG LAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQ AAAAASNTANQQAASQAQPGQQQVLRPGAGQRPITPSQGQQGQQAESLAAAANPTLAFGQ SLAAGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSTAAQAAAAAA AAGGTANSLTGSTNGLFRPIGTQPPQQQQQQQQPSTNLQSNSFYGSSSLTNSSQSSSLFS HGPGQPGSASLGFGSGSSLGAAIGSALSGFGSSVGSSASSSATRRESLSTSSDLYKRSSS SLAPIGQPFYNSLGFSSSPSPIGMPLPSQTPGHSLTPPPSLSSHGSSSSLHLGGLTNGSG RYISAAPGAEAKYRSASSTSSLFSSSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNNRFP NLQLRDLIGHIVEFSQDQHGSRFIQQKLERATPAERQIVFNEILQAAYQLMTDVFGNYVI QKFFEFGSLDQKLALATRIRGHVLPLALQMYGCRVIQKALESISSDQQSEMVKELDGHVL KCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTAEQT LPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVSEIRGKVLALSQHKFASNVV EKCVTHASRAERALLIDEVCCQNDGPHSALYTMMKDQYANYVVQKMIDMAEPAQRKIIMH KIRPHITTLRKYTYGKHILAKLEKYYLKNSPDLGPIGGPPNGML >ENSMUSP00000020915.3 pep:known chromosome:GRCm38:12:8674145:8750320:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000020915.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP IMVQRRSGQSFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD AETDGPEKGDQKGKASPFEEDQNRDLKQDDEDSKINGRGLPNGMDADCKDFNRTPGSRQA SPTEVVERLGPSTNPPEGLGPLPNPTANKPLVEEFSNPETQNLDAMDQVGLDSLQFDYPG NQVPMDSSGATVGLFDYNSQQQLFQRTSALTVQQLTAAQQQQYALAAAQQPHIAGLAPAA FVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQAAAAA SNTANQQAASQAQPGQQQVLRPGAGQRPITPSQGQQGQQAESLAAAANPTLAFGQSLAAG MPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSTAAQAAAAAAAAGGT ANSLTGSTNGLFRPIGTQPPQQQQQQQQPSTNLQSNSFYGSSSLTNSSQSSSLFSHGPGQ PGSASLGFGSGSSLGAAIGSALSGFGSSGGLTNGSGRYISAAPGAEAKYRSASSTSSLFS SSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNNRFPNLQLRDLIGHIVEFSQDQHGSRFI QQKLERATPAERQIVFNEILQAAYQLMTDVFGNYVIQKFFEFGSLDQKLALATRIRGHVL PLALQMYGCRVIQKALESISSDQQSEMVKELDGHVLKCVKDQNGNHVVQKCIECVQPQSL QFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTAEQTLPILEELHQHTEQLVQDQYGNYVI QHVLEHGRPEDKSKIVSEIRGKVLALSQHKFASNVVEKCVTHASRAERALLIDEVCCQND GPHSALYTMMKDQYANYVVQKMIDMAEPAQRKIIMHKIRPHITTLRKYTYGKHILAKLEK YYLKNSPDLGPIGGPPNGML >ENSMUSP00000106751.2 pep:known chromosome:GRCm38:12:8674224:8752561:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000111122.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP IMVQRRSGQSFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD AETDGPEKGDQKGKASPFEEDQNRDLKQDDEDSKINGRGLPNGMDADCKDFNRTPGSRQA SPTEVVERLGPSTNPPEGLGPLPNPTANKPLVEEFSNPETQNLDAMDQVGLDSLQFDYPG NQVPMDSSGATVGLFDYNSQQQLFQRTSALTVQQLTAAQQQQYALAAAQQPHIAGVFSAG LAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQ AAAAASNTANQQAASQAQPGQQQVLRPGAGQRPITPSQGQQGQQAESLAAAANPTLAFGQ SLAAGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSTAAQAAAAAA AAGGTANSLTGSTNGLFRPIGTQPPQQQQQQQQPSTNLQSNSFYGSSSLTNSSQSSSLFS HGPGQPGSASLGFGSGSSLGAAIGSALSGFGSSVGSSASSSATRRESLSTSSDLYKRSSS SLAPIGQPFYNSLGFSSSPSPIGMPLPSQTPGHSLTPPPSLSSHGSSSSLHLGGLTNGSG RYISAAPGAEAKYRSASSTSSLFSSSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNNRFP NLQLRDLIGHIVEFSQDQHGSRFIQQKLERATPAERQIVFNEILQAAYQLMTDVFGNYVI QKFFEFGSLDQKLALATRIRGHVLPLALQMYGCRVIQKALESISSDQQSEMVKELDGHVL KCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTAEQT LPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVSEIRGKVLALSQHKFASNVV EKCVTHASRAERALLIDEVCCQNDGPHSALYTMMKDQYANYVVQKMIDMAEPAQRKIIMH KIRPHITTLRKYTYGKHILAKLEKYYLKNSPDLGPIGGPPNGML >ENSMUSP00000132170.1 pep:known chromosome:GRCm38:12:8674275:8752557:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000165293.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGEMQKESPVTPLGHKRALCS >ENSMUSP00000131074.1 pep:known chromosome:GRCm38:12:8674421:8750001:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000163569.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP IMVQRRSGQSFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD AETDGPEKGDQKGKASPFEEDQNRDLKQDDEDSKINGRGLPNGMDADCKDFNRTPGSRQA SPTEVVERLGPSTNPPEGLGPLPNPTANKPLVEEFSNPETQNLDAMDQVGLDSLQFDYPG NQVPMDSSGATVGLFDYNSQQQLFQRTSALTVQQLTAAQQQQYALAAAQQPHIAGVFSAG LAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQ AAAAASNTANQQAASQAQPGQQQVLRPGAGQRPITPSQGQQGQQAESLAAAANPTLAFGQ SLAAGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSTAAQAAAAAA AAGGTANSLTGSTNGLFRPIGTQPPQQQQQQQQPSTNLQSNSFYGSSSLTNSSQSSSLFS HGPGQPGSASLGFGSGSSLGAAIGSALSGFGSSVGSSASSSATRRESLSTSSDLYKRSSS SLAPIGQPFYNSLGFSSSPSPIGMPLPSQTPGHSLTPPPSLSSHGSSSSLHLGGLTNGSG RYISAAPGAEAKYRSASSTSSLFSSSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNNRFP NLQLRDLIGHIVEFSQDQHGSRFIQQKLERATPAERQIVFNEILQAAYQLMTDVFGNYVI QKFFEFGSLDQKLALATRIRGHVLPLALQMYGCRVIQKALESISSDQQVISEMVKELDGH VLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTAE QTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVSEIRGKVLALSQHKFASN VVEKCVTHASRAERALLIDEVCCQNDGPHSALYTMMKDQYANYVVQKMIDMAEPAQRKII MHKIRPHITTLRKYTYGKHILAKLEKYYLKNSPDLGPIGGPPNGML >ENSMUSP00000132122.1 pep:known chromosome:GRCm38:12:8674470:8750028:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000169089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP IMVQRRSGQSFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD AETDGPEKGDQKGKASPFEEDQNRDLKQDDEDSKINGRGLPNGMDADCKDFNRTPGSRQA SPTEVVERLGPSTNPPEGLGPLPNPTANKPLVEEFSNPETQNLDAMDQVGLDSLQFDYPG NQVPMDSSGATVGLFDYNSQQQLFQRTSALTVQQLTAAQQQQYALAAAQQPHIAGVFSAG LAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQ AAAAASNTANQQAASQAQPGQQQVLRPGAGQRPITPSQGQQGQQAESLAAAANPTLAFGQ SLAAGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSTAAQAAAAAA AAGGTANSLTGSTNGLFRPIGTQPPQQQQQQQQPSTNLQSNSFYGSSSLTNSSQSSSLFS HGPGQPGSASLGFGSGSSLGAAIGSALSGFGSSGGLTNGSGRYISAAPGAEAKYRSASST SSLFSSSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNNRFPNLQLRDLIGHIVEFSQDQH GSRFIQQKLERATPAERQIVFNEILQAAYQLMTDVFGNYVIQKFFEFGSLDQKLALATRI RGHVLPLALQMYGCRVIQKALESISSDQQSEMVKELDGHVLKCVKDQNGNHVVQKCIECV QPQSLQFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTAEQTLPILEELHQHTEQLVQDQY GNYVIQHVLEHGRPEDKSKIVSEIRGKVLALSQHKFASNVVEKCVTHASRAERALLIDEV CCQNDGPHSALYTMMKDQYANYVVQKMIDMAEPAQRKIIMHKIRPHITTLRKYTYGKHIL AKLEKYYLKNSPDLGPIGGPPNGML >ENSMUSP00000126876.1 pep:known chromosome:GRCm38:12:8674736:8713630:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000169750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP IMVQRRSGQSFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD AETDGPEKGDQKGKASPFEEDQNRDLKQDDEDSKINGRGLPNGMDADCKDFNRTPGSRQA SPTEVVERLGPSTNPPEGLGPLPNPTANKPLVEEFSNPETQNLDAMDQVGLDSLQFDYPG NQVPMDSSGATVGLFDYNSQQQ >ENSMUSP00000131914.1 pep:known chromosome:GRCm38:12:8674765:8713399:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000163730.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP IMVQRRSGQSFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD AETDGPEKGDQKGKASPFEEDQNRDLKQDDEDSKINGRGLPNGMDADCKDFNRTPGSRQA SPTEV >ENSMUSP00000130320.1 pep:known chromosome:GRCm38:12:8677534:8709490:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000166965.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP IMVQRRSGQSFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSR >ENSMUSP00000127786.1 pep:known chromosome:GRCm38:12:8698096:8713913:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000170037.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGEMQKESPVTPLGHKRALCS >ENSMUSP00000126616.1 pep:known chromosome:GRCm38:12:8710226:8721797:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000171418.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] XEDQNRDLKQDDEDSKINGRGLPNGMDADCKDFNRTPGSRQASPTEVVERLGPSTNPPEG LGPLPNPTANKPLVEEFSNPETQNLDAMDQVGLDSLQFDYPGNQVPMDSSGATVGLFDYN SQQQLFQRTSALTVQQLTAAQQQQYALAAAQQPHIGLAPAAFVPNPYIISAAPPGTDPYT AAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQAAAAASNTANQQAASQAQPG >ENSMUSP00000129243.1 pep:known chromosome:GRCm38:12:8729147:8748236:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000164818.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] XGGLTNGSGRYISAAPGAEAKYRSASSTSSLFSSSSQLFPPSRLRYNRSDIMPSGRSRLL EDFRNNRFPNLQLRDLIGHIVEFSQDQHGSRFIQQKLERATPAERQIVFNEILQAAYQLM TDVFGNYVIQKFFESEMVKELDGHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQ VFVLSTHPYGCRVIQRILEHCTAEQTLPILEELHQHTEQLVQ >ENSMUSP00000137020.1 pep:known chromosome:GRCm38:12:8674134:8752581:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000178015.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP IMVQRRSGQSFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD AETDGPEKGDQKGKASPFEEDQNRDLKQDDEDSKINGRGLPNGMDADCKDFNRTPGSRQA SPTEVVERLGPSTNPPEGLGPLPNPTANKPLVEEFSNPETQNLDAMDQVGLDSLQFDYPG NQVPMDSSGATVGLFDYNSQQQLFQRTSALTVQQLTAAQQQQYALAAAQQPHIAGVFSAG LAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQ AAAAASNTANQQAASQAQPGQQQVLRPGAGQRPITPSQGQQGQQAESLAAAANPTLAFGQ SLAAGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSTAAQAAAAAA AAGGTANSLTGSTNGLFRPIGTQPPQQQQQQQQPSTNLQSNSFYGSSSLTNSSQSSSLFS HGPGQPGSASLGFGSGSSLGAAIGSALSGFGSSGGLTNGSGRYISAAPGAEAKYRSASST SSLFSSSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNNRFPNLQLRDLIGHIVEFSQDQH GSRFIQQKLERATPAERQIVFNEILQAAYQLMTDVFGNYVIQKFFEFGSLDQKLALATRI RGHVLPLALQMYGCRVIQKALESISSDQQSEMVKELDGHVLKCVKDQNGNHVVQKCIECV QPQSLQFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTAEQTLPILEELHQHTEQLVQDQY GNYVIQHVLEHGRPEDKSKIVSEIRGKVLALSQHKFASNVVEKCVTHASRAERALLIDEV CCQNDGPHSALYTMMKDQYANYVVQKMIDMAEPAQRKIIMHKIRPHITTLRKYTYGKHIL AKLEKYYLKNSPDLGPIGGPPNGML >ENSMUSP00000128292.1 pep:known chromosome:GRCm38:12:8674681:8752581:1 gene:ENSMUSG00000020594.14 transcript:ENSMUST00000168361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum2 description:pumilio RNA-binding family member 2 [Source:MGI Symbol;Acc:MGI:1931751] MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGTSHHSMSQP IMVQRRSGQSFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGTFGTRD AETDGPEKGDQKGKASPFEEDQNRDLKQDDEDSKINGRGLPNGMDADCKDFNRTPGSRQA SPTEVVERLGPSTNPPEGLGPLPNPTANKPLVEEFSNPETQNLDAMDQVGLDSLQFDYPG NQVPMDSSGATVGLFDYNSQQQLFQRTSALTVQQLTAAQQQQYALAAAQQPHIAGVFSAG LAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQ AAAAASNTANQQAASQAQPGQQQVLRPGAGQRPITPSQGQQGQQAESLAAAANPTLAFGQ SLAAGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSTAAQAAAAAA AAGGTANSLTGSTNGLFRPIGTQPPQQQQQQQQPSTNLQSNSFYGSSSLTNSSQSSSLFS HGPGQPGSASLGFGSGSSLGAAIGSALSGFGSSVGSSASSSATRRESLSTSSDLYKRSSS SLAPIGQPFYNSLGFSSSPSPIGMPLPSQTPGHSLTPPPSLSSHGSSSSLHLGGLTNGSG RYISAAPGAEAKYRSASSTSSLFSSSSQLFPPSRLRYNRSDIMPSGRSRLLEDFRNNRFP NLQLRDLIGHIVEFSQDQHGSRFIQQKLERATPAERQIVFNEILQAAYQLMTDVFGNYVI QKFFEFGSLDQKLALATRIRGHVLPLALQMYGCRVIQKALESISSDQQVISEMVKELDGH VLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFVLSTHPYGCRVIQRILEHCTAE QTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVSEIRGKVLALSQHKFASN VVEKCVTHASRAERALLIDEVCCQNDGPHSALYTMMKDQYANYVVQKMIDMAEPAQRKII MHKIRPHITTLRKYTYGKHILAKLEKYYLKNSPDLGPIGGPPNGML >ENSMUSP00000064276.3 pep:known chromosome:GRCm38:11:102189620:102194081:1 gene:ENSMUSG00000034793.15 transcript:ENSMUST00000070334.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6pc3 description:glucose 6 phosphatase, catalytic, 3 [Source:MGI Symbol;Acc:MGI:1915651] MESTLSAGIIMAEALQNRLPGLENMWLWVTFLGDPKNLFQFCFPAAYYASRRLGISVLWI TFIAEWLNLVFKWFLFGDRPFWWVHESGYSTQTPIQIHQFPSSCETGPGSPSGHCMITGA ALWPVMTAISSQVASRSRSPWVRVIPGLAYCTFLLAVGLSRVFLLAHFPHQVLGGLIVGA ALGWLMSPRVPMERELSFYGLTALALMLGASLMYWTLFTLGLDLSWSINLASKWCERPEW VHMDSRPFASLSRDSGSALGLGIALHTPCYAQIRRAHLGNGQKIACFVLAMGLLVFLEWL GYPPQISLFYIFNFLKYTLWPCLVLALVPWVVHTLSDQEAPPIRSS >ENSMUSP00000077995.7 pep:known chromosome:GRCm38:11:102189669:102194081:1 gene:ENSMUSG00000034793.15 transcript:ENSMUST00000078975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6pc3 description:glucose 6 phosphatase, catalytic, 3 [Source:MGI Symbol;Acc:MGI:1915651] MESTLSAGIIMAEALQNRLPGLENMWLWVTFLGDPKNLFQFCFPAAYYASRRLGISVLWI TFIAEWLNLVFKWFLFGDRPFWWVHESGYSTQTPIQIHQFPSSCETGPGSPSGHCMITGA ALWPVMTAISSQVASRSRSPWVRVIPGLAYCTFLLAVGLSRVFLLAHFPHQVLGGLIVGA ALGWLMSPRVPMERELSFYGLTALALMLGASLMYWTLFTLGLDLSWSINLASKWCERPEW VHMDSRPFASLSRDSGSALGLGIALHTPCYAQIRRAHLGNGQKIACFVLAMGLLVFLEWL GYPPQISLFYIFNFLKYTLWPCLVLALVPWVVHTLSDQEAPPIRSS >ENSMUSP00000096270.3 pep:known chromosome:GRCm38:3:113247178:113258837:-1 gene:ENSMUSG00000074268.4 transcript:ENSMUST00000098673.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amy2a5 description:amylase 2a5 [Source:MGI Symbol;Acc:MGI:88020] MKFVLLLSLIGFCWAQYDPHTSDGRTAIVHLFEWRWVDIAKECERYLAPKGFGGVQVSPP NENVVVHNPSRPWWERYQPISYKICTRSGNEDEFRDMVTRCNNVGVRIYVDAVINHMCGA GNPAGTSSTCGSYLNPNNREFPAVPYSAWDFNDNKCNGEIDNYNDAYQVRNCRLTGLLDL ALEKDYVRTKVADYMNHLIDIGVAGFRLDAAKHMWPGDIKAVLDKLHNLNTKWFSQGSRP FIFQEVIDLGGEAIKGSEYFGNGRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGLVP SDRALVFVDNHDNQRGHGAGGSSILTFWDARMYKMAVGFMLAHPYGFTRVMSSYRWNRNF QNGKDQNDWIGPPNNNGVTKEVTINADTTCGNDWVCEHRWRQIRNMVAFRNVVNGQPFSN WWDNNSNQVAFSRGNRGFIVFNNDDWALSATLQTGLPAGTYCDVISGDKVDGNCTGLRVN VGSDGKAHFSISNSAEDPFIAIHADSKL >ENSMUSP00000146062.1 pep:known chromosome:GRCm38:7:80454733:80463877:-1 gene:ENSMUSG00000030528.12 transcript:ENSMUST00000206901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blm description:Bloom syndrome, RecQ helicase-like [Source:MGI Symbol;Acc:MGI:1328362] XKCLGELTEVCKLLGKVFGVHYFNIFNTATLKKLAAEDGSPGARGAPEDTEEEEEEAPVS SHYFANQTRNERKRKKMSATHKPKRRRTSYGGFRAKGGSTTCRKTTSKSKFYGVTGSRSA SCASQATSSASRKLGIMAPPKPVNRTFLRPSYAFS >ENSMUSP00000080062.4 pep:known chromosome:GRCm38:7:80454993:80535119:-1 gene:ENSMUSG00000030528.12 transcript:ENSMUST00000081314.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blm description:Bloom syndrome, RecQ helicase-like [Source:MGI Symbol;Acc:MGI:1328362] MAAVPLNNLQEQLQRHSARKLNNQPSLSKPKSLGFTFKKKTSEGDVSVTSVSVVKTPALS DKDVNVSEAFSFTESPLHKPKQQAKIEGFFKHFPGRQQSKGTCSEPSLPATVQTAQDTLC TTPKTPTAKKLPVAVFKKLEFSSSADSLSDWADMDDFDMSASDAFASLAKNPATRVSTAQ KMKKTKRNFFKPPPRKANAVKTDLTPPSPECLQVDLTKESEEEEEEEEEAEGADCLSRDV ICIDNDSASEELTEKDTQESQSLKAHLGAERGDSEKKSHEDEAVFHSVQNTEYFEHNDND YDIDFVPPSPEEIISTASSSLKCSSMLKDLDDSDKEKGILSTSEELLSKPEEMTTHKSDA GTSKDCDAQQIRIQQQLIHVMEHICKLVDTVPTDELEALNCGTELLQQRNIRRKLLAEAG FNGNDVRLLGSLWRHRPDSLDNTVQGDSCPVGHPNKELNSPYLLSHSPSTEECLPTTTPG KTGFSATPKNLFERPLLNSHLQKSFVSSNWAETPRMENRNESTDFPGSVLTSTTVKAQSK QAASGWNVERHGQASYDIDNFNIDDFDDDDDDDDWENIMHNFPASKSSTATYPPIKEGGP VKSLSERISSAKAKFLPVVSTAQNTNLSESIQNCSDKLAQNLSSKNPKHEHFQSLNFPHT KEMMKIFHKKFGLHNFRTNQLEAINAALLGEDCFILMPTGGGKSLCYQLPACVSPGVTIV ISPLRSLIVDQVQKLTSFDIPATYLTGDKTDSEAANIYLQLSKKDPIIKLLYVTPEKVCA SNRLISTLENLYERKLLARFVIDEAHCVSQWGHDFRQDYKRMNMLRQKFPSVPVMALTAT ANPRVQKDILTQLKILRPQVFSMSFNRHNLKYYVLPKKPKKVAFDCLEWIRKHHPYDSGI IYCLSRRECDTMADTLQREGLAALAYHAGLSDSARDEVQHKWINQDNCQVICATIAFGMG IDKPDVRFVIHASLPKSMEGYYQESGRAGRDGEISHCVLFYTYHDVTRLKRLIMMEKDGN YHTKETHVNNLYSMVHYCENITECRRIQLLAYFGEKGFNPDFCKKYPDVSCDNCCKTKDY KTKDVTDDVKNIIRFVQEHSSSPGTRNIGPAGRFTLNMLVDIFLGSKSAKVKSGIFGKGT TYSRHNAERLFKKLILDKILDEDLYINANDQPIAYVMLGTKAHSVLSGHLKVDFMETENS SSIKKQKALVAKVSQREEVVKKCLGELTEVCKLLGKVFGVHYFNIFNTATLKKLAESLSS DPEVLLQIDGVTEDKLEKYGAEVIPVLQKYSEWTVPAEDGSPGARGAPEDTEEEEEEAPV SSHYFANQTRNERKRKKMSATHKPKRRRTSYGGFRAKGGSTTCRKTTSKSKFYGVTGSRS ASCASQATSSASRKLGIMAPPKPVNRTFLRPSYAFS >ENSMUSP00000127995.1 pep:known chromosome:GRCm38:7:80455092:80534998:-1 gene:ENSMUSG00000030528.12 transcript:ENSMUST00000170315.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blm description:Bloom syndrome, RecQ helicase-like [Source:MGI Symbol;Acc:MGI:1328362] MRIMAAVPLNNLQEQLQRHSARKLNNQPSLSKPKSLGFTFKKKTSEGDVSVTSVSVVKTP ALSDKDVNVSEAFSFTESPLHKPKQQAKIEGFFKHFPGRQQSKGTCSEPSLPATVQTAQD TLCTTPKTPTAKKLPVAVFKKLEFSSSADSLSDWADMDDFDMSASDAFASLAKNPATRVS TAQKMKKTKRNFFKPPPRKANAVKTDLTPPSPECLQVDLTKESEEEEEEEEEAEGADCLS RDVICIDNDSASEELTEKDTQESQSLKAHLGAERGDSEKKSHEDEAVFHSVQNTEYFEHN DNDYDIDFVPPSPEEIISTASSSLKCSSMLKDLDDSDKEKGILSTSEELLSKPEEMTTHK SDAGTSKDCDAQQIRIQQQLIHVMEHICKLVDTVPTDELEALNCGTELLQQRNIRRKLLA EAGFNGNDVRLLGSLWRHRPDSLDNTVQGDSCPVGHPNKELNSPYLLSHSPSTEECLPTT TPGKTGFSATPKNLFERPLLNSHLQKSFVSSNWAETPRMENRNESTDFPGSVLTSTTVKA QSKQAASGWNVERHGQASYDIDNFNIDDFDDDDDDDDWENIMHNFPASKSSTATYPPIKE GGPVKSLSERISSAKAKFLPVVSTAQNTNLSESIQNCSDKLAQNLSSKNPKHEHFQSLNF PHTKEMMKIFHKKFGLHNFRTNQLEAINAALLGEDCFILMPTGGGKSLCYQLPACVSPGV TIVISPLRSLIVDQVQKLTSFDIPATYLTGDKTDSEAANIYLQLSKKDPIIKLLYVTPEK VCASNRLISTLENLYERKLLARFVIDEAHCVSQWGHDFRQDYKRMNMLRQKFPSVPVMAL TATANPRVQKDILTQLKILRPQVFSMSFNRHNLKYYVLPKKPKKVAFDCLEWIRKHHPYD SGIIYCLSRRECDTMADTLQREGLAALAYHAGLSDSARDEVQHKWINQDNCQVICATIAF GMGIDKPDVRFVIHASLPKSMEGYYQESGRAGRDGEISHCVLFYTYHDVTRLKRLIMMEK DGNYHTKETHVNNLYSMVHYCENITECRRIQLLAYFGEKGFNPDFCKKYPDVSCDNCCKT KDYKTKDVTDDVKNIIRFVQEHSSSPGTRNIGPAGRFTLNMLVDIFLGSKSAKVKSGIFG KGTTYSRHNAERLFKKLILDKILDEDLYINANDQPIAYVMLGTKAHSVLSGHLKVDFMET ENSSSIKKQKALVAKVSQREEVVKKCLGELTEVCKLLGKVFGVHYFNIFNTATLKKLAES LSSDPEVLLQIDGVTEDKLEKYGAEVIPVLQKYSEWTVPAEDGSPGARGAPEDTEEEEEE APVSSHYFANQTRNERKRKKMSATHKPKRRRTSYGGFRAKGGSTTCRKTTSKSKFYGVTG SRSASCASQATSSASRKLGIMAPPKPVNRTFLRPSYAFS >ENSMUSP00000146098.1 pep:known chromosome:GRCm38:7:80455421:80460824:-1 gene:ENSMUSG00000030528.12 transcript:ENSMUST00000206989.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Blm description:Bloom syndrome, RecQ helicase-like [Source:MGI Symbol;Acc:MGI:1328362] XLQIDGVTEDKLEKYGAEVIPVLQKYSEWTVPGSVSSKPVYL >ENSMUSP00000145573.1 pep:known chromosome:GRCm38:7:80464759:80467471:-1 gene:ENSMUSG00000030528.12 transcript:ENSMUST00000205730.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Blm description:Bloom syndrome, RecQ helicase-like [Source:MGI Symbol;Acc:MGI:1328362] XHQGLRRDRESVTCTDGSVCLPKDSSHKGQSTAPGAVKGRNLSSSMYSAGL >ENSMUSP00000099983.3 pep:known chromosome:GRCm38:2:25428703:25448540:1 gene:ENSMUSG00000026944.18 transcript:ENSMUST00000102919.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca2 description:ATP-binding cassette, sub-family A (ABC1), member 2 [Source:MGI Symbol;Acc:MGI:99606] MGFLHQLQLLLWKNVTLKRRSPWVLAFEIFIPLVLFFILLGLRQKKPTISVKEAFYTAAP LTSAGILPVMQSLCPDGQRDEFGFLQYANSTVTQLLERLHRVVEEGNLFDPVRPSLGSEL EALRQRLEALSSGPGTWESHSARPAVSSFSLDSVARDQRELWRFLMQNLSLPNSTAQALL AARVDPSEVYRLLFGPLPDLDGKLGFLRKQEPWSRLGSNPLLQMEELLLAPALLEQLTCA PGSGELGRILTMPEGHQVDLQGYRDAVCSGQATARAQRFSDLAAELRNQLDTAKIAQQLG FDVPNGSDPQPQAPSPQSLPALLGDLLDAQKLLQDVDVLSALALLLPQGACAGQASAPQA SSLNGLANSTGIGANSGSNTTVEEGTQSPVSPASPDTLQGQCSAFVQLWAGLQPILCGNN RTIEPEALRRGNMSSLGFTSKEQRNLGLLVHLMTSNPKILYAPVGSEADRVILKANETFA FVGNVTHYAQVWLNISTEIRSFLEQGRLQQHLQWLQQYVADLQLHPEAMNLSLEELPPAL RQDFSLPNGTALLQQLDTIDNAACGWIQFMSKVSVDIFKGFPDEESIVNYTLNQAYQDNV TVFASVIFQTRKDGSLPPHVHYKIRQNSSFTEKTNEIRRAYWRPGPNTGGRFYFLYGFVW IQDMMERAIINTFVGHDVVEPGNYVQMFPYPCYTRDDFLFVIEHMMPLCMVISWVYSVAM TIQHIVAEKEHRLKEVMKTMGLNNAVHWVAWFITGFVQLSISVTALTAILKYGQVLMHSH VLIIWLFLAVYAVATIMFCFLVSVLYSKAKLASACGGIIYFLSYVPYMYVAIREEVAHDK ITAFEKCIASLMSTTAFGLGSKYFALYEVAGVGIQWHTFSQSPVEGDDFNLLLAVTMLMV DTVVYGVLTWYIEAVHPGMYGLPRPWYFPLQKSYWLGSGRTEAWEWSWPWAHTPRLSVME EDQACAMESRHFEETRGMEEEPTHLPLVVCVDKLTKVYKNDKKMALNKLSLNLYENQVVS FLGHNGAGKTTTMSILTGLFPPTSGSATIYGHDIRTEMDEIRKNLGMCPQHNVLFDRLTV EEHLWFYSRLKSMAQEEIRKETDKMIEDLELSNKRHSLVQTLSGGMKRKLSVAIAFVGGS RAIILDEPTAGVDPYARRAIWDLILKYKPGRTILLSTHHMDEADLLGDRIAIISHGKLKC CGSPLFLKGAYGDGYRLTLVKQPAEPGTSQEPGLASSPSGCPRLSSCSEPQVSQFIRKHV ASSLLVSDTSTELSYILPSEAVKKGAFERLFQQLEHSLDALHLSSFGLMDTTLEEVFLKV SEEDQSLENSEADVKESRKDVLPGAEGLTAVGGQAGNLARCSELAQSQASLQSASSVGSA RGEEGTGYSDGYGDYRPLFDNLQDPDNVSLQEAEMEALAQVGQGSRKLEGWWLKMRQFHG LLVKRFHCARRNSKALCSQILLPAFFVCVAMTVALSVPEIGDLPPLVLSPSQYHNYTQPR GNFIPYANEERQEYRLRLSPDASPQQLVSTFRLPSGVGATCVLKSPANGSLGPMLNLSSG ESRLLAARFFDSMCLESFTQGLPLSNFVPPPPSPAPSDSPVSPDEDSLQAWNMSLPPTAG PETWTSAPSLPRLVHEPVRCTCSAQGTGFSCPSSVGGHPPQMRVVTGDILTDITGHNVSE YLLFTSDRFRLHRYGAITFGNVQKSIPASFGARVPPMVRKIAVRRVAQVLYNNKGYHSMP TYLNSLNNAILRANLPKSKGNPAAYGITVTNHPMNKTSASLSLDYLLQGTDVVIAIFIIV AMSFVPASFVVFLVAEKSTKAKHLQFVSGCNPVIYWLANYVWDMLNYLVPATCCVIILFV FDLPAYTSPTNFPAVLSLFLLYGWSITPIMYPASFWFEVPSSAYVFLIVINLFIGITATV ATFLLQLFEHDKDLKVVNSYLKSCFLIFPNYNLGHGLMEMAYNEYINEYYAKIGQFDKMK SPFEWDIVTRGLVAMTVEGFVGFFLTIMCQYNFLRQPQRLPVSTKPVEDDVDVASERQRV LRGDADNDMVKIENLTKVYKSRKIGRILAVDRLCLGVRPGECFGLLGVNGAGKTSTFKML TGDESTTGGEAFVNGHSVLKDLLQVQQSLGYCPQFDALFDELTAREHLQLYTRLRGIPWK DEAQVVKWALEKLELTKYADKPAGTYSGGNKRKLSTAIALIGYPAFIFLDEPTTGMDPKA RRFLWNLILDLIKTGRSVVLTSHSMEECEALCTRLAIMVNGRLRCLGSIQHLKNRFGDGY MITVRTKSSQNVKDVVRFFNRNFPEAMLKERHHTKVQYQLKSEHISLAQVFSKMEQVVGV LGIEDYSVSQTTLDNVFVNFAKKQSDNVEQQEAEPSSLPSPLGLLSLLRPRPAPTELRAL VADEPEDLDTEDEGLISFEEERAQLSFNTDTLC >ENSMUSP00000065456.2 pep:known chromosome:GRCm38:1:131688695:131715439:1 gene:ENSMUSG00000052688.12 transcript:ENSMUST00000064679.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7b description:RAB7B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2442295] MNPRKKVDLKLIIVGALGVGKTSLLHQYVHKTFFEEYQTTLGASILSKIIILDDTTLKLQ IWDTGGQERFRSMVSTFYKGSDGCILAFDVTDPESFEALDIWRDDVLAKIIPMEQSYPMV VLGNKIDLEDRKVSQEVVHGWCKEKDMPYFEVSAKNDINVVQAFEVLASRALLRYQGTAE NHLIDSIKLSPGQPKSRCC >ENSMUSP00000066452.3 pep:known chromosome:GRCm38:1:131688707:131712751:1 gene:ENSMUSG00000052688.12 transcript:ENSMUST00000064664.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7b description:RAB7B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2442295] MNPRKKVDLKLIIVGALGVGKTSLLHQYVHKTFFEEYQTTLGASILSKIIILDDTTLKLQ IWDTGGQERFRSMVSTFYKGSDGCILAFDVTDPESFEALDIWRDDVLAKIIPMEQSYPMV VLGNKIDLEDRKYQGTAENHLIDSIKLSPGQPKSRCC >ENSMUSP00000123359.1 pep:known chromosome:GRCm38:1:131688738:131711663:1 gene:ENSMUSG00000052688.12 transcript:ENSMUST00000136247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7b description:RAB7B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2442295] MNPRKKVDLKLIIVGALGVGKTSLLHQYVHKTFFEEYQTTLGASILSKIIILDDTTLKLQ VSQEVVHGWCKEKDMPYFEVSAKNDINVVQAFEVLASRALLRRIHV >ENSMUSP00000116515.1 pep:known chromosome:GRCm38:1:131688766:131711830:1 gene:ENSMUSG00000052688.12 transcript:ENSMUST00000129905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7b description:RAB7B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2442295] MNPRKKVDLKLIIVGALGVGKTSLLHQYVHKTFFEEYQTTLGASILSKIIILDDTTLKLQ YQGTAENHLIDSIKLSPGQPKSRCC >ENSMUSP00000118694.1 pep:known chromosome:GRCm38:19:11404770:11416419:1 gene:ENSMUSG00000024675.19 transcript:ENSMUST00000153546.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a4c description:membrane-spanning 4-domains, subfamily A, member 4C [Source:MGI Symbol;Acc:MGI:1927656] MQGQEQTTMAVVPGGAPPSENSVMKSQMWNENKEKFLKGEPKVLGVVQVMIALINLSFGI IILANLSSEPLISVV >ENSMUSP00000072512.3 pep:known chromosome:GRCm38:19:11407661:11427246:1 gene:ENSMUSG00000024675.19 transcript:ENSMUST00000072729.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a4c description:membrane-spanning 4-domains, subfamily A, member 4C [Source:MGI Symbol;Acc:MGI:1927656] MQGQEQTTMAVVPGGAPPSENSVMKSQMWNENKEKFLKGEPKVLGVVQVMIALINLSFGI IILANLSSEPLISVVLMAPIWGPIMFIVSGSLSIAAGVKPTKSLIISSLTLNTITSVLAA TASIMGVVSVAVGSQFPFRYNYTITKGLDILMLILNMLEFCIAVSISAFGCKASCCNSSE VLVVLPSNPAVTVMAPPVTLQPLPPSEHQGKNVPENVYKNHSEEIV >ENSMUSP00000113130.1 pep:known chromosome:GRCm38:19:11414841:11426468:1 gene:ENSMUSG00000024675.19 transcript:ENSMUST00000119366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a4c description:membrane-spanning 4-domains, subfamily A, member 4C [Source:MGI Symbol;Acc:MGI:1927656] MAVVPGGAPPSENSVMKSQMWNENKEKFLKGEPKVLGVVQVMIALINLSFGIIILANLSS EPLISVVLMAPIWGPIMFIVSGSLSIAAGVKPTKSLIISSLTLNTITSVLAATASIMGVV SVAVGSQFPFRYNYTITKVLVVLPSNPAVTVMAPPVTLQPLPPSEHQGKNVPENVYKNHS EEIV >ENSMUSP00000124923.1 pep:known chromosome:GRCm38:5:32737230:32827109:-1 gene:ENSMUSG00000093574.1 transcript:ENSMUST00000144673.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20671 description:predicted gene 20671 [Source:MGI Symbol;Acc:MGI:5313118] SIADKVFCSLPYSVGRVLSLWSQHGPSCTFKLPALHSTPSKQQGSLNALSSHTTIPNVPL PGMGAAHTTNSSHLRLEPVFPALVPKSCLVTEAAVSTLLLSASELAVPGCDELDGVSAAC PRPQSSPAQQKEAEPEKRPKKVSQIRIRKTIPKPDPNLTPMGLPRPKRLKKKEFSLEEIY TNKNYKSPPASSPCHYESTATRHFLGTLQKLPLQAGVRNFHTAPVRSLFLLRPVPILLAT GGGYAGYRQYEKYRERKLEKLGLEIPPKLASHWEVSLYKSVPTRLLSRACGRLNQVELPY WLRRPVYSLYIWTFGVNMTEAAVEDLHHYRNLSEFFRRKLKPQARPVCGLHCVTSPSDGK ILTFGQVKNSEVEQVKGVTYSLESFLGPRANTEDLPFPPASSSDSFRNQLVTREGNELYH CVIYLAPGDYHCFHSPTDWTISHRRHFPGSLMSVNPGMARWIKELFCHNERVVLTGDWKH GFFSLTAVGATNVGSIRIHFDRDLHTNSPRYSKGSYNDLSFVTHANKEGIPMRKGEPLGE FNLGSTIVLIFEAPKDFNFRLKAGQKIRFGEALGSL >ENSMUSP00000096397.3 pep:known chromosome:GRCm38:7:15946977:15967567:-1 gene:ENSMUSG00000074364.5 transcript:ENSMUST00000098799.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehd2 description:EH-domain containing 2 [Source:MGI Symbol;Acc:MGI:2154274] MFSWLKKGGARGQRPEAIRTVTSSLKELYRTKLLPLEEHYRFGSFHSPALEDADFDGKPM VLVAGQYSTGKTSFIQYLLEQEVPGSRVGPEPTTDCFVAVMHGETEGTVPGNALVVDPEK PFRKLNPFGNTFLNRFMCAQLPNQVLESISIIDTPGILSGAKQRVSRGYDFPAVLRWFAE RVDLIILLFDAHKLEISDEFSEAIGALRGHEDKIRVVLNKADMVETQQLMRVYGALMWAL GKVVGTPEVLRVYIGSFWSQPLLVPDNRRLFELEEQDLFRDIQGLPRHAALRKLNDLVKR ARLVRVHAYIISYLKKEMPTVFGKENKKKQLILKLPVIFAKIQLEHHISPGDFPDCQKMQ ELLMAHDFTKFHSLKPKLLEALDDMLAQDIAKLMPLLRQEELESVEAGVQGGAFEGTRMG PFVERGPDEAIEDGEEGSEDDAEWVVTKDKSKYDEIFYNLAPADGKLSGSKAKTWMVGTK LPNSVLGRIWKLSDVDRDGMLDDEEFALASHLIEAKLEGHGLPTNLPRRLVPPSKRRQKG SAE >ENSMUSP00000119933.1 pep:known chromosome:GRCm38:7:15957683:15967475:-1 gene:ENSMUSG00000074364.5 transcript:ENSMUST00000144956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehd2 description:EH-domain containing 2 [Source:MGI Symbol;Acc:MGI:2154274] MCAQLPNQVLESISIIDTPGILSGAKQRVSRGYDFPAVLRWFAERVDLIILLFDAHKLEI SDEFSEAIGALRGHEDKIRVVLNKADMVETQQLMRVYGALMWALG >ENSMUSP00000044718.2 pep:known chromosome:GRCm38:11:56024987:56041010:-1 gene:ENSMUSG00000037393.2 transcript:ENSMUST00000037682.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmur2 description:neuromedin U receptor 2 [Source:MGI Symbol;Acc:MGI:2441765] MGKLENASWIHDSLMKYLNSTEEYLAYLCGPKRSDLSLPVSVVYALIFVVGVIGNLLVCL VIARHQTLKTPTNYYLFSLAVSDLLVLLLGMPLEVYELWHNYPFLFGPVGCYFKTALFET VCFASILSVTTVSIERYVAIVHPFRAKLESTRRRALRILSLVWSFSVVFSLPNTSIHGIK FQQFPNGSSVPGSATCTVTKPIWVYNFIIQATSFLFYILPMTLISVLYYLMGLRLKRDES LEADKVTVNIHRPSRKSVTKMLFVLVLVFAICWTPFHVDRLFFSFVDEWTESLAAVFNLI HVVSGVFFYLSSAVNPIIYNLLSRRFRAAFRNVVSPSCKWCHPQHRPQGPPAQKVIFLTE CHLVELTEDAGPQFPCQSSIHNTQLTTVPCVEEVP >ENSMUSP00000113259.2 pep:known chromosome:GRCm38:5:32789820:32854256:-1 gene:ENSMUSG00000054280.14 transcript:ENSMUST00000120129.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr14l description:proline rich 14-like [Source:MGI Symbol;Acc:MGI:2443658] MLSSGVETQPVPLDSSMSAVVQELYSELSVSVSKELHAEQEPSKIADVKPGASRSPLSHG RASPLGLQRTHAEGCREESSETLDCRGKNGWCGLVDPTRDPMAYEILDREERPKSMEPKV FRDQGDQAQVFRDPCEGAKEDPCQHSTATKEKICPCQDLLPRQSSKGPVCADLPGEPQNN GGNVHNTTAALPELTEERQGTCTVSRMSKGKEESCDLDPVWEEADNHQQSLSHCKEKHSS AHNSSITKGSIDTVKPSEENSKILYLTSFLSGPKSLEKCGFECSGLIKACAEKTQKVDQM EDFTCGEERAVESSNHSNCHTEAGCPCTDSCTSMRNSSTEATEIMFKKTDVKITLNLHGN LINSEDHRKTVDVTHPEKRSEESSFSLLVHIEEPEQTTVKSSALNGKIYSKDATKSVVSI QRSLGDSLPSTALCNNFLFERKPPQSLMSEDPGSSEHNEISKPKKGTAKLPSSPGKPVQT SCDSISHFDEPITTCEMSKRSPNRALVAHKIEHECVSHQQVSLSSQDHVTTGSLSINREM PSATCRDVQESHHSLENGVNVTPDTQTIPAETKMEAMSPQGDRACGASSFIQTLNNKTRS SEGQKEMTAVHSTFFSSVRGAAASSFVTECQNVQSQDIFSCHCITRDASEKNMCSASAAC ELRKDSLKVSNCKIKCGNTSQDGNHCSQRPEGFVEKSTLLESEPAGREVQSSFLEGKVRS AVAAAVTHTASLNTATHMETGDEGLLGKKQDQRIDTEIYKHSTSACDTQELKQSANIPSP ETLVDQSLSILSSHFKTMYQAAENLHQKADEVSDCQSNQNILDKCRCEGKPAGEGLNGDF GETDPEVSHRQKDLTVSSGSKVPLSCGGLKIKDPKRAFIDIPGSEEFTHSMLDVICPDCT DEPPEGVLGVEASSLSGHCTRQDTLAYHETIRSTSLLQGELNVECQGTELPNAAASSVDS SEIQKSHEEKVCNSLKDYEMEDCPDSAIDHEVKSVVDHEPNIRALDRAGVSLNSIHCEHQ GKGGSMIEGLRLEANSEFGKRKTFGLSLKDSVSPGCQDSVKMVHSCLSSREHSPAGIFDE MPNSKHHFKPKDDEMLCENVKDCTVLSDMKAGIPMDLHNPSEGDSTYISVNKKVCKTCHS LKNSIDKHLPMTMETAIRMNKEETEKHQTGLLGHIPVGKASEETREGHGGNSQTHLKCQR VQNVTEAPQNQGPVDSALPKEACTYQKGTHALLGQHLSSGTVSDEVQDKQPVADQDESIL IGAITLRKPSKDRIARQLQWLKYPKEESLCHSLKKDTELCTGTCLPGASWKEHDPSFAGG DRIHGAFVTLSHQHRLLSVKKQPHRTCKRISCQEPVTVRRKISKVRNSDCGKSFSNPIPT KAHRLLSPCTVPAKPLEPETVASENLRSHIPKPKATLCHSLRSRSCRKPTKESALLSKLS VLASRLTTATKPQELRCRRDSSSLVPLAKNYKRLRYKKLLDGFSHNAMQLDPYLAATGWN RGSNSRPLALYSLEAVKMSFIDLSNKMPSLLFGTENVPFSFHVKSVSSCMAESSRTFPEH CAPSRLALTEASQCSAPAPKWTFSFFLSHGCPGMATFREDTGLSSQTHTQAPLQEYGGTA IVQTRADRSGLGLHTLLALCSPGCYRIWTKRRNFSSNMPIMQRLFLTQFTQGLKGLRSPA SIADKVFCSLPYSVGRVLSLWSQHGPSCTFKLPALHSTPSKQQGSLNALSSHTTIPNVPL PGMGAAHTTNSSHLRLEPVFPALVPKSCLVTEAAVSTLLLSASELAVPGCDELDGVSAAC PRPQSSPAQQKEAEPEKRPKKVSQIRIRKTIPKPDPNLTPMGLPRPKRLKKKEFSLEEIY TNKNYKSPPASRCLETIFEEPKERNGTLISISQQKRKRVLEFQDFTVPRKRRARGKVKLA GSFTRAQKAALQTQELDALLIQKLMELETFFAKEGEQEHQPAAENSSGSPL >ENSMUSP00000119569.2 pep:known chromosome:GRCm38:5:32793124:32828678:-1 gene:ENSMUSG00000054280.14 transcript:ENSMUST00000155392.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prr14l description:proline rich 14-like [Source:MGI Symbol;Acc:MGI:2443658] RMNKEETEKHQTGLLGHIPVGKASEETREGHGGNSQTHLKCQRVQNVTEAPQNQGPVDSA LPKEACTYQKGTHALLGQHLSSGTVSDEVQDKQPVADQDESILIGAITLRKPSKDRIARQ LQWLKYPKEESLCHSLKKDTELCTGTCLPGASWKEHDPSFAGGDRIHGAFVTLSHQHRLL SVKKQPHRTCKRISCQEPVTVRRKISKVRNSDCGKSFSNPIPTKAHRLLSPCTVPAKPLE PETVASENLRSHIPKPKATLCHSLRSRSCRKPTKESALLSKLSVLASRLTTATKPQELRC RRDSSSLVPLAKNYKRLRYKKLLDGFSHNAMQLDPYLAATGWNRGSNSRPLALYSLEAVK MSFIDLSNKMPSLLFGTENVPFSFHVKSVSSCMAESSRTFPEHCAPSRLALTEASQCSAP APKWTFSFFLSHGCPGMATFREDTGLSSQTHTQAPLQEYGGTAIVQTRADRSGLGLHTLL ALCSPGCYRIWTKRRNFSSNMPIMQRLFLTQFTQGLKGLRSPASIADKVFCSLPYSVGRV LSLWSQHGPSCTFKLPALHSTPSKQQGSLNALSSHTTIPNVPLPGMGAAHTTNSSHLRLE PVFPALVPKSCLVTEAAVSTLLLSASELAVPGCDELDGVSAACPRPQSSPAQQKEVKEEG V >ENSMUSP00000135589.1 pep:known chromosome:GRCm38:5:32835618:32854238:-1 gene:ENSMUSG00000054280.14 transcript:ENSMUST00000130134.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr14l description:proline rich 14-like [Source:MGI Symbol;Acc:MGI:2443658] MSTSRGGGHRDLLPRQSSKGPVCADLPGEPQNNG >ENSMUSP00000006046.4 pep:known chromosome:GRCm38:6:92242061:92244650:-1 gene:ENSMUSG00000005892.4 transcript:ENSMUST00000006046.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trh description:thyrotropin releasing hormone [Source:MGI Symbol;Acc:MGI:98823] MQGPWLMMALALIFVLTGIPKSCALLEAAQEEGAVTPDLPGLEKVQVRPERRFLRKDLQR VRGDLGAALDSWITKRQHPGKREEKEEDVEAEERGDLGEVGAWRPHKRQHPGRRANQDKD SWSDEGDSDWLPPSWLPDFFLDSWFSDAPQVKRQHPGRRSFPWMESDVTKRQHPGRRFID PELQRSWEETEGEEGGLMPEKRQHPGKRAVGHPCGPQGICGQTGLLQLLGDLSRGQETLA KQTPQLEAWVREPLEE >ENSMUSP00000034460.4 pep:known chromosome:GRCm38:8:124520767:124552008:1 gene:ENSMUSG00000031979.17 transcript:ENSMUST00000034460.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog2 description:component of oligomeric golgi complex 2 [Source:MGI Symbol;Acc:MGI:1923582] MEKRRMNLPTGPDTLCFDKDEFMKEDFDVDHFVSDCRKRVQLEELRDDLELYYKLLKTAM VELINKDYADFVNLSTNLVGMDRALNQLSVPLGQLREEVLSLRSSVSEGILAVDERMSKQ EDIRKKKMSVLRLIQVIRSVEKIEKILNSQSSKDVSSQEASSPLLTGQVLERIATEFNQL QFHAVQSKGMPLLDKVRPRIAGITAMLQQSLEGLLLEGLQTSDVDIVRHCLRTYATIDKT QDAEALVGQVLVKPYVNEVIVEQFVESHPSSLQLMYNKLLEFVPHHCRLLREVTGGAVSS EKGTIVPGYDFLVNSVWPEIVRGLEEKLPSLFNPGDPDAFHQKYTVSMDFVQRFERQCGS QASVKRLRAHPAYHNFSNKWNLPVYFQIRFREVAGSLEAALTDGLEDAPAGSPYCLLASH RTWISLGKCWSDEMFLPLLAHRLWRLTLQILARFSVFVSELSVRPVSNESAKETKKPLTG SKDPSEDQGSHASEASAASISSTQLVYVVSDLGRLQEWLPDLLETVKQKLEMIGFKNFSS ISAALEDSQSALSAHVPALSSRIVQDLSESCFSYLKSALEVPRLYRRTNKEVPSTASSYV DSALKPLYQLQSGHGDKVQPAVMQSWLQEALSDSTHRYFETVSDVLNSVKKMEESLKRLK QARRSPATNPVSSSGGGMSDDDKIRLQLALDVEHLGEQIQRMGLQTSDIKSFPALMELVL AARDQAAAEQP >ENSMUSP00000135600.1 pep:known chromosome:GRCm38:8:124520804:124529931:1 gene:ENSMUSG00000031979.17 transcript:ENSMUST00000176159.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cog2 description:component of oligomeric golgi complex 2 [Source:MGI Symbol;Acc:MGI:1923582] MEKRRMNLPTGPDTLCFDKDEFMKEAGAAGGAERRPGAVLQAAEDGHGGAHQQGLRRLCQ PLNKPGWHGQSPQPTFCAFGTVTRRGSES >ENSMUSP00000135022.1 pep:known chromosome:GRCm38:8:124520819:124529903:1 gene:ENSMUSG00000031979.17 transcript:ENSMUST00000176279.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cog2 description:component of oligomeric golgi complex 2 [Source:MGI Symbol;Acc:MGI:1923582] MEKRRMNLPTGPDTLCFDKDEFMKEDFDVDHFVSDCRKRVQLEELRDDLELYYKLLKTAM VELINKDYADFVNLSTNLVGMDRALNQLSVPLGQLREEVLS >ENSMUSP00000028288.4 pep:known chromosome:GRCm38:2:26457903:26503822:-1 gene:ENSMUSG00000026923.15 transcript:ENSMUST00000028288.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Notch1 description:notch 1 [Source:MGI Symbol;Acc:MGI:97363] MPRLLTPLLCLTLLPALAARGLRCSQPSGTCLNGGRCEVANGTEACVCSGAFVGQRCQDS NPCLSTPCKNAGTCHVVDHGGTVDYACSCPLGFSGPLCLTPLDNACLANPCRNGGTCDLL TLTEYKCRCPPGWSGKSCQQADPCASNPCANGGQCLPFESSYICRCPPGFHGPTCRQDVN ECSQNPGLCRHGGTCHNEIGSYRCACRATHTGPHCELPYVPCSPSPCQNGGTCRPTGDTT HECACLPGFAGQNCEENVDDCPGNNCKNGGACVDGVNTYNCRCPPEWTGQYCTEDVDECQ LMPNACQNGGTCHNTHGGYNCVCVNGWTGEDCSENIDDCASAACFQGATCHDRVASFYCE CPHGRTGLLCHLNDACISNPCNEGSNCDTNPVNGKAICTCPSGYTGPACSQDVDECALGA NPCEHAGKCLNTLGSFECQCLQGYTGPRCEIDVNECISNPCQNDATCLDQIGEFQCICMP GYEGVYCEINTDECASSPCLHNGHCMDKINEFQCQCPKGFNGHLCQYDVDECASTPCKNG AKCLDGPNTYTCVCTEGYTGTHCEVDIDECDPDPCHYGSCKDGVATFTCLCQPGYTGHHC ETNINECHSQPCRHGGTCQDRDNSYLCLCLKGTTGPNCEINLDDCASNPCDSGTCLDKID GYECACEPGYTGSMCNVNIDECAGSPCHNGGTCEDGIAGFTCRCPEGYHDPTCLSEVNEC NSNPCIHGACRDGLNGYKCDCAPGWSGTNCDINNNECESNPCVNGGTCKDMTSGYVCTCR EGFSGPNCQTNINECASNPCLNQGTCIDDVAGYKCNCPLPYTGATCEVVLAPCATSPCKN SGVCKESEDYESFSCVCPTGWQGQTCEVDINECVKSPCRHGASCQNTNGSYRCLCQAGYT GRNCESDIDDCRPNPCHNGGSCTDGINTAFCDCLPGFQGAFCEEDINECASNPCQNGANC TDCVDSYTCTCPVGFNGIHCENNTPDCTESSCFNGGTCVDGINSFTCLCPPGFTGSYCQY DVNECDSRPCLHGGTCQDSYGTYKCTCPQGYTGLNCQNLVRWCDSAPCKNGGRCWQTNTQ YHCECRSGWTGVNCDVLSVSCEVAAQKRGIDVTLLCQHGGLCVDEGDKHYCHCQAGYTGS YCEDEVDECSPNPCQNGATCTDYLGGFSCKCVAGYHGSNCSEEINECLSQPCQNGGTCID LTNSYKCSCPRGTQGVHCEINVDDCHPPLDPASRSPKCFNNGTCVDQVGGYTCTCPPGFV GERCEGDVNECLSNPCDPRGTQNCVQRVNDFHCECRAGHTGRRCESVINGCRGKPCKNGG VCAVASNTARGFICRCPAGFEGATCENDARTCGSLRCLNGGTCISGPRSPTCLCLGSFTG PECQFPASSPCVGSNPCYNQGTCEPTSENPFYRCLCPAKFNGLLCHILDYSFTGGAGRDI PPPQIEEACELPECQVDAGNKVCNLQCNNHACGWDGGDCSLNFNDPWKNCTQSLQCWKYF SDGHCDSQCNSAGCLFDGFDCQLTEGQCNPLYDQYCKDHFSDGHCDQGCNSAECEWDGLD CAEHVPERLAAGTLVLVVLLPPDQLRNNSFHFLRELSHVLHTNVVFKRDAQGQQMIFPYY GHEEELRKHPIKRSTVGWATSSLLPGTSGGRQRRELDPMDIRGSIVYLEIDNRQCVQSSS QCFQSATDVAAFLGALASLGSLNIPYKIEAVKSEPVEPPLPSQLHLMYVAAAAFVLLFFV GCGVLLSRKRRRQHGQLWFPEGFKVSEASKKKRREPLGEDSVGLKPLKNASDGALMDDNQ NEWGDEDLETKKFRFEEPVVLPDLSDQTDHRQWTQQHLDAADLRMSAMAPTPPQGEVDAD CMDVNVRGPDGFTPLMIASCSGGGLETGNSEEEEDAPAVISDFIYQGASLHNQTDRTGET ALHLAARYSRSDAAKRLLEASADANIQDNMGRTPLHAAVSADAQGVFQILLRNRATDLDA RMHDGTTPLILAARLAVEGMLEDLINSHADVNAVDDLGKSALHWAAAVNNVDAAVVLLKN GANKDMQNNKEETPLFLAAREGSYETAKVLLDHFANRDITDHMDRLPRDIAQERMHHDIV RLLDEYNLVRSPQLHGTALGGTPTLSPTLCSPNGYLGNLKSATQGKKARKPSTKGLACGS KEAKDLKARRKKSQDGKGCLLDSSSMLSPVDSLESPHGYLSDVASPPLLPSPFQQSPSMP LSHLPGMPDTHLGISHLNVAAKPEMAALAGGSRLAFEPPPPRLSHLPVASSASTVLSTNG TGAMNFTVGAPASLNGQCEWLPRLQNGMVPSQYNPLRPGVTPGTLSTQAAGLQHSMMGPL HSSLSTNTLSPIIYQGLPNTRLATQPHLVQTQQVQPQNLQLQPQNLQPPSQPHLSVSSAA NGHLGRSFLSGEPSQADVQPLGPSSLPVHTILPQESQALPTSLPSSMVPPMTTTQFLTPP SQHSYSSSPVDNTPSHQLQVPEHPFLTPSPESPDQWSSSSPHSNISDWSEGISSPPTTMP SQITHIPEAFK >ENSMUSP00000115258.1 pep:known chromosome:GRCm38:2:26471276:26516663:-1 gene:ENSMUSG00000026923.15 transcript:ENSMUST00000132820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Notch1 description:notch 1 [Source:MGI Symbol;Acc:MGI:97363] MKNSNTLTNKWRMEQSPASMVVPVWMVSTPSPVCVHLASRAATVSMMSMSVIHGPVCTVV PAKTAMVLISVPAHRATLVSTARTLCAGATRLP >ENSMUSP00000089260.6 pep:known chromosome:GRCm38:13:30659999:30711231:1 gene:ENSMUSG00000069255.12 transcript:ENSMUST00000091672.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp22 description:dual specificity phosphatase 22 [Source:MGI Symbol;Acc:MGI:1915926] MGSGMSQILPGLYIGNFKDARDAEQLSRNKVTHILSVHDTARPMLEGVKYLCIPAADTPS QNLTRHFKESIKFIHECRLQGESCLVHCLAGVSRSVTLVIAYIMTVTDFGWEDALHTVRA GRSCANPNLGFQRQLQEFEKHEVHQYRQWLREEYGENPLRDAEEAKNILAAPGILKYWAF LRRL >ENSMUSP00000105939.1 pep:known chromosome:GRCm38:13:30660061:30706130:1 gene:ENSMUSG00000069255.12 transcript:ENSMUST00000110310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp22 description:dual specificity phosphatase 22 [Source:MGI Symbol;Acc:MGI:1915926] MGSGMSQILPGLYIGNFKDARDAEQLSRNKVTHILSVHDTARPMLEGVKYLCIPAADTPS QNLTRHFKESIKFIHECRLQGESCLVHWYV >ENSMUSP00000093603.5 pep:known chromosome:GRCm38:13:30660110:30708901:1 gene:ENSMUSG00000069255.12 transcript:ENSMUST00000095914.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp22 description:dual specificity phosphatase 22 [Source:MGI Symbol;Acc:MGI:1915926] MGSGMSQILPGLYIGNFKDARDAEQLSRNKVTHILSVHDTARPMLEGVKYLCIPAADTPS QNLTRHFKESIKFIHECRLQGESCLVHCLAGVSRSVTLVIAYIMTVTDFGWEDALHTVRA GRSCANPNLGFQRQLQEFEKHEVHQYRQWLREEYGENPLRDAEEAKNILGKYKEQGRMEP RPSSRRWSSFSTLPPLTYNNYTTET >ENSMUSP00000132371.2 pep:known chromosome:GRCm38:17:49428362:49437941:1 gene:ENSMUSG00000064120.13 transcript:ENSMUST00000165390.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs1 description:molybdenum cofactor synthesis 1 [Source:MGI Symbol;Acc:MGI:1928904] MAARPAFGIVRQLLRSNARGCSSGAPVTQPRPGEPSRPTREGLSLRLQFLQEHAAPFSAF LTDSFGRQHSYLRISLTEKCNLRCQYCMPEEGVPLTPKADLLTTEEILTLARLFVKEGVD KIRLTGGEPLIRPDVVDIVAPAS >ENSMUSP00000024797.9 pep:known chromosome:GRCm38:17:49428364:49455432:1 gene:ENSMUSG00000064120.13 transcript:ENSMUST00000024797.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs1 description:molybdenum cofactor synthesis 1 [Source:MGI Symbol;Acc:MGI:1928904] MAARPAFGIVRQLLRSNARGCSSGAPVTQPRPGEPSRPTREGLSLRLQFLQEHAAPFSAF LTDSFGRQHSYLRISLTEKCNLRCQYCMPEEGVPLTPKADLLTTEEILTLARLFVKEGVD KIRLTGGEPLIRPDVVDIVARLHGLEGLRTIGLTTNGINLARLLPRLQQAGLNAVNISLD TLVPAKFEFIVRRKGFHKVMEGIHKAIELGYKPVKVNCVVMRGLNEDELLDFVALTEGLP LDVRFIEYMPFDGNKWNFKKMVSYKEMLDTIRQRWPGLEKLPEEDSSTAKAFKIPGFQGQ ISFITSMSEHFCGTCNRLRITADGNLKVCLFGNSEVSLRDHLRAGASEEELLRIIGAAVG RKKRQHAGMFNIAQMKNRPMILIGG >ENSMUSP00000133694.1 pep:known chromosome:GRCm38:17:49428410:49455435:1 gene:ENSMUSG00000064120.13 transcript:ENSMUST00000173033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs1 description:molybdenum cofactor synthesis 1 [Source:MGI Symbol;Acc:MGI:1928904] MAARPAFGIVRQLLRSNARGCSSGAPVTQPRPGEPSRPTREGLSLRLQFLQEHAAPFSAF LTDSFGRQHSYLRISLTEKCNLRCQYCMPEEGVPLTPKADLLTTEEILTLARLFVKEGVD KIRLTGGEPLIRPDVVDIVARLHGLEGLRTIGLTTNGINLARLLPRLQQAGLNAVNISLD TLVPAKFEFIVRRKGFHKVMEGIHKAIELGYKPVKVNCVVMRGLNEDELLDFVALTEGLP LDVRFIEYMPFDGNKWNFKKMVSYKEMLDTIRQRWPGLEKLPEEDSSTAKAFKIPGFQGQ ISFITSMSEHFCGTCNRLRITADGNLKVCLFGNSEVSLRDHLRAGASEEELLRIIGAAVG RKKRQHAGMFNIAQMKNRPMILIGVLLMLQDSPPARWSNFSWDPLRVRNPSARQCLSDQM ASLWKRHCIPKALPLSQQCLGSGSPQRHYSSYPDPDTHSKCLSTGSQAPDAPSGPGPTSN QLTHVDSAGRASMVDVGGKPETERVAVASAMVLLGPVAFKLVQQNQLKKGDALVVAQLAG VQAAKLTSQLIPLCHHVALSHVQVHLELDSTRHAVLIQASCRARGPTGVEMEALTSAAMA ALTVYDMCKAVSRDIVVTEVKLISKTGGQRGDFHRA >ENSMUSP00000134265.1 pep:known chromosome:GRCm38:17:49428442:49454790:1 gene:ENSMUSG00000064120.13 transcript:ENSMUST00000173362.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mocs1 description:molybdenum cofactor synthesis 1 [Source:MGI Symbol;Acc:MGI:1928904] MAARPAFGIVRQLLRSNARGCSSGAPVTQPRPGEPSRPTREGLSLRLQFLQEHAAPFSAF LTDSFGRQHSYLRISLTEKCNLRCQYCMPEEGVPLTPKADLLTTEEILTLARLFVKEGVD KIRLTGGEPLIRPDVVDIVARLHGLEGLRTIGLTTNGINLARLLPRLQQAGLNAVNISLD TLVPAKFEFIVRRKGDRRLPQGYGGYPQSHRAGLQACEGELCGDARPE >ENSMUSP00000133713.1 pep:known chromosome:GRCm38:17:49428442:49454790:1 gene:ENSMUSG00000064120.13 transcript:ENSMUST00000174647.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mocs1 description:molybdenum cofactor synthesis 1 [Source:MGI Symbol;Acc:MGI:1928904] MAARPAFGIVRQLLRSNARGCSSGAPVTQPRPGEPSRPTREASIACPRRVCP >ENSMUSP00000134449.1 pep:known chromosome:GRCm38:17:49449839:49454268:1 gene:ENSMUSG00000064120.13 transcript:ENSMUST00000172871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs1 description:molybdenum cofactor synthesis 1 [Source:MGI Symbol;Acc:MGI:1928904] XEDSSTAKAFKIPGFQGQISFITSMSEHFCGTCNRLRITADGNLKVCLFGNSEVSLRDHL RAGASEEELLRIIGAAVGRKKRQHAGVLLMLQDSPPARWSNFSWDPLRVRNPSARQCLSD QMASLWKRHCIPKALPLSQQCLGSGSPQRHYSSYPDPDTHSKCLS >ENSMUSP00000133761.1 pep:known chromosome:GRCm38:17:49452284:49455435:1 gene:ENSMUSG00000064120.13 transcript:ENSMUST00000173430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs1 description:molybdenum cofactor synthesis 1 [Source:MGI Symbol;Acc:MGI:1928904] XRIIGAAVGRKKRQHAGMFNIAQMKNRPMILIGVLLMLQDSPPARWSNFSWDPLRVRNPS ARQCLSDQMASLWKRHCIPKALPLSQQCLGMHTCGPQP >ENSMUSP00000128196.1 pep:known chromosome:GRCm38:19:56320035:56390011:-1 gene:ENSMUSG00000049134.15 transcript:ENSMUST00000167239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrap description:nebulin-related anchoring protein [Source:MGI Symbol;Acc:MGI:1098765] MNVQACSRCGYGVYPAEKISCIDQTWHKACFHCEVCKMMLSVNNFVSHQKKPYCHAHNPK NNTFTSVYHTPLNLTLKKSVAAMGGIDGKEDGEPFKSVLHWDMKSKAGAGAASRLMNERD YWPGYAEGNTWCPGALPDPEIVRMVEARQSLGEGYTEDREQQQGKGSFPAMITPAYQRAK AANQLASQVQYKRGHDERVSTFTPVADTPELLRAKAGGQLQNDVRYTEDGGQQRGKGSFP AMITPAYQIAKRATELASDVRYHQQYHREMKGMASPVGAEGGMTKDSVDRCGQVYSEECD EPRGKGSFPAMITPAYQNAKKANELVSDIKYRQDFHKMKGAAHFHSLAAQDNLVLKRAQS VSKLVSENKYKENYQTQLRGHYDGVGMDRRMLHALKVGSLASNVAYKADYKHDVVDYNYL ATATPFYQTTMRLVPLKDVNYRQNIDRMKFSSVTNTPQIVQAKINAQQLSHVNYRADYER NKLNYTLPQDAPQLLKAKANAELFSEVKYKEGWQKTKGKGFEMKLDAMSLLAAKASGELA SSVKYKEEYEKMKGRALGATDSKLLHSLQVAKMSSEVEYKKGFEESKTHFNLPMDMVNLR HAKKAQALASDLDYRKKLHDYTVLPEDMKTQWAKKAYGLQSELQYKADLAWMRGVGWLTE GSLNLEQAKKAGQLISEKNYRQRVDELKFTSVADSSQMEHAKKSQGLQNAVAYKAGNEQS VHQYTISKDEPLFLRARANAAQLSETLYKSSWEKQKAKGFELRLDSLAFLTAKAKRDLAS EVKYKEDYERSRGKLIGAKSAQGDSQMSHSLQMSKLQSDLEYKKGFEDTRSQCHISLDMV HLVHARQAQHLATDVGYRTASHCFTALPTDMKVEWAKKAYGLQSDNQYRADMKWMKGTGW VATGSLHVEQAKKAGELISEKKYRQHPDALKFTSIKDTPEMVQARISYTQAVDRLYREQG ENVKHHYTQTADLPEVLLAKLNAMNISETRYKESWSRLRDGGYKLRLDALPFQAAKASSE VISDYKYKEAFERMKGQMLGSRSLEDDLSLAHSVHATSLQSDVNYKKGFEHAKAHFHLPL DMVTLVHAKKAQTLASDQDYRHPLPQHTVLAEDLRLSCAKKAHKLQSENLYRSDLNFMRG VPCVVPGTLEIEGRKKASELISESKYRQHPGSFKYTAVTDTPNLLHAKYSNQITNERLYK AAGEDARHQYTMTLGLPEFIRAKTNAANLSEAKYKEAWHNLRAQGYKLTIDALPFQAARA SGDIASDFLYRHEFVKERGQLIGVRNVSDDPRLLHCLRMGQLQSENQYRKEAASSQAQCH LPMDMMYLVHARKAQALASDHDYRTQCHEFTALPEDLKMAWAKKAHALQSEFRYKADLMG MKGTGWLALQSPQIESAKKAGDLISEVKYKSDLNLTRGVGWTPPGSYKVEMARRAAELAN RRGPGIRGASVEPEAAAALGDHQSRGVNPDASEILHIHKKKTLLM >ENSMUSP00000073228.6 pep:known chromosome:GRCm38:19:56320041:56390037:-1 gene:ENSMUSG00000049134.15 transcript:ENSMUST00000073536.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrap description:nebulin-related anchoring protein [Source:MGI Symbol;Acc:MGI:1098765] MNVQACSRCGYGVYPAEKISCIDQTWHKACFHCEVCKMMLSVNNFVSHQKKPYCHAHNPK NNTFTSVYHTPLNLTLKKSVAAMGGIDGKEDGEPFKSVLHWDMKSKAGAGAASRLMNERD YWPGYAEGNTWCPGALPDPEIVRMVEARQSLGEGYTEDREQQQGKGSFPAMITPAYQRAK AANQLASQVQYKRGHDERVSTFTPVADTPELLRAKAGGQLQNDVRYTEDGGQQRGKGSFP AMITPAYQIAKRATELASDVRYHQQYHREMKGMASPVGAEGGMTKDSVDRCGQVYSEECD EPRGKGSFPAMITPAYQNAKKANELVSDIKYRQDFHKMKGAAHFHSLAAQDNLVLKRAQS VSKLVSEVEYKKGLENSKGHSINYCETPQFRNVSKISKFTSDNKYKENYQTQLRGHYDGV GMDRRMLHALKVGSLASNVAYKADYKHDVVDYNYLATATPFYQTTMRLVPLKDVNYRQNI DRMKFSSVTNTPQIVQAKINAQQLSHVNYRADYERNKLNYTLPQDAPQLLKAKANAELFS EVKYKEGWQKTKGKGFEMKLDAMSLLAAKASGELASSVKYKEEYEKMKGRALGATDSKLL HSLQVAKMSSEVEYKKGFEESKTHFNLPMDMVNLRHAKKAQALASDLDYRKKLHDYTVLP EDMKTQWAKKAYGLQSELQYKADLAWMRGVGWLTEGSLNLEQAKKAGQLISEKNYRQRVD ELKFTSVADSSQMEHAKKSQGLQNAVAYKAGNEQSVHQYTISKDEPLFLRARANAAQLSE TLYKSSWEKQKAKGFELRLDSLAFLTAKAKRDLASEVKYKEDYERSRGKLIGAKSAQGDS QMSHSLQMSKLQSDLEYKKGFEDTRSQCHISLDMVHLVHARQAQHLATDVGYRTASHCFT ALPTDMKVEWAKKAYGLQSDNQYRADMKWMKGTGWVATGSLHVEQAKKAGELISEKKYRQ HPDALKFTSIKDTPEMVQARISYTQAVDRLYREQGENVKHHYTQTADLPEVLLAKLNAMN ISETRYKESWSRLRDGGYKLRLDALPFQAAKASSEVISDYKYKEAFERMKGQMLGSRSLE DDLSLAHSVHATSLQSDVNYKKGFEHAKAHFHLPLDMVTLVHAKKAQTLASDQDYRHPLP QHTVLAEDLRLSCAKKAHKLQSENLYRSDLNFMRGVPCVVPGTLEIEGRKKASELISESK YRQHPGSFKYTAVTDTPNLLHAKYSNQITNERLYKAAGEDARHQYTMTLGLPEFIRAKTN AANLSEAKYKEAWHNLRAQGYKLTIDALPFQAARASGDIASDFLYRHEFVKERGQLIGVR NVSDDPRLLHCLRMGQLQSENQYRKEAASSQAQCHLPMDMMYLVHARKAQALASDHDYRT QCHEFTALPEDLKMAWAKKAHALQSEFRYKADLMGMKGTGWLALQSPQIESAKKAGDLIS ETKYRKKPDSIKFTTVVDSPDLIHAKESYMHCNERLYRLGDAASLHRYTPIPDHPDFTRA RMNAMHLSDKVYRNAWEQSRAGGYDFRLDAIPFQTARVSRDIASDFRYKEAFLRDRGLQI GYRSISDDPRTTHFLRVGRLQSDNEYRKAFAKGRSQFHSRADQPGFLQAKRSQQLASDVL YRQPLPQHTSDPEQLGLKHARKAHQLQSDVKYKSDLNLTRGVGWTPPGSYKVEMARRAAE LANRRGPGIRGASVEPEAAAALGDHQSRGVNPDASEILHIHKKKTLLM >ENSMUSP00000048364.8 pep:known chromosome:GRCm38:19:56320101:56390035:-1 gene:ENSMUSG00000049134.15 transcript:ENSMUST00000040711.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrap description:nebulin-related anchoring protein [Source:MGI Symbol;Acc:MGI:1098765] MNVQACSRCGYGVYPAEKISCIDQTWHKACFHCEVCKMMLSVNNFVSHQKKPYCHAHNPK NNTFTSVYHTPLNLTLKKSVAAMGGIDGKEDGEPFKSVLHWDMKSKAGAGAASRLMNERD YWPGYAEGNTWCPGALPDPEIVRMVEARQSLGEGYTEDREQQQGKGSFPAMITPAYQRAK AANQLASQVQYKRGHDERVSTFTPVADTPELLRAKAGGQLQNDVRYTEDGGQQRGKGSFP AMITPAYQIAKRATELASDVRYHQQYHREMKGMASPVGAEGGMTKDSVDRCGQVYSEECD EPRGKGSFPAMITPAYQNAKKANELVSDIKYRQDFHKMKGAAHFHSLAAQDNLVLKRAQS VSKLVSENKYKENYQTQLRGHYDGVGMDRRMLHALKVGSLASNVAYKADYKHDVVDYNYL ATATPFYQTTMRLVPLKDVNYRQNIDRMKFSSVTNTPQIVQAKINAQQLSHVNYRADYER NKLNYTLPQDAPQLLKAKANAELFSEVKYKEGWQKTKGKGFEMKLDAMSLLAAKASGELA SSVKYKEEYEKMKGRALGATDSKLLHSLQVAKMSSEVEYKKGFEESKTHFNLPMDMVNLR HAKKAQALASDLDYRKKLHDYTVLPEDMKTQWAKKAYGLQSELQYKADLAWMRGVGWLTE GSLNLEQAKKAGQLISEKNYRQRVDELKFTSVADSSQMEHAKKSQGLQNAVAYKAGNEQS VHQYTISKDEPLFLRARANAAQLSETLYKSSWEKQKAKGFELRLDSLAFLTAKAKRDLAS EVKYKEDYERSRGKLIGAKSAQGDSQMSHSLQMSKLQSDLEYKKGFEDTRSQCHISLDMV HLVHARQAQHLATDVGYRTASHCFTALPTDMKVEWAKKAYGLQSDNQYRADMKWMKGTGW VATGSLHVEQAKKAGELISEKKYRQHPDALKFTSIKDTPEMVQARISYTQAVDRLYREQG ENVKHHYTQTADLPEVLLAKLNAMNISETRYKESWSRLRDGGYKLRLDALPFQAAKASSE VISDYKYKEAFERMKGQMLGSRSLEDDLSLAHSVHATSLQSDVNYKKGFEHAKAHFHLPL DMVTLVHAKKAQTLASDQDYRHPLPQHTVLAEDLRLSCAKKAHKLQSENLYRSDLNFMRG VPCVVPGTLEIEGRKKASELISESKYRQHPGSFKYTAVTDTPNLLHAKYSNQITNERLYK AAGEDARHQYTMTLGLPEFIRAKTNAANLSEAKYKEAWHNLRAQGYKLTIDALPFQAARA SGDIASDFLYRHEFVKERGQLIGVRNVSDDPRLLHCLRMGQLQSENQYRKEAASSQAQCH LPMDMMYLVHARKAQALASDHDYRTQCHEFTALPEDLKMAWAKKAHALQSEFRYKADLMG MKGTGWLALQSPQIESAKKAGDLISETKYRKKPDSIKFTTVVDSPDLIHAKESYMHCNER LYRLGDAASLHRYTPIPDHPDFTRARMNAMHLSDKVYRNAWEQSRAGGYDFRLDAIPFQT ARVSRDIASDFRYKEAFLRDRGLQIGYRSISDDPRTTHFLRVGRLQSDNEYRKAFAKGRS QFHSRADQPGFLQAKRSQQLASDVLYRQPLPQHTSDPEQLGLKHARKAHQLQSDVKYKSD LNLTRGVGWTPPGSYKVEMARRAAELANRRGPGIRGASVEPEAAAALGDHQSRGVNPDAS EILHIHKKKTLLM >ENSMUSP00000093640.4 pep:known chromosome:GRCm38:19:56320126:56390035:-1 gene:ENSMUSG00000049134.15 transcript:ENSMUST00000095947.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrap description:nebulin-related anchoring protein [Source:MGI Symbol;Acc:MGI:1098765] MGGIDGKEDGEPFKSVLHWDMKSKAGAGAASRLMNERDYWPGYAEGNTWCPGALPDPEIV RMVEARQSLGEGYTEDREQQQGKGSFPAMITPAYQRAKAANQLASQVQYKRGHDERVSTF TPVADTPELLRAKAGGQLQNDVRYTEDGGQQRGKGSFPAMITPAYQIAKRATELASDVRY HQQYHREMKGMASPVGAEGGMTKDSVDRCGQVYSEECDEPRGKGSFPAMITPAYQNAKKA NELVSDIKYRQDFHKMKGAAHFHSLAAQDNLVLKRAQSVSKLVSENKYKENYQTQLRGHY DGVGMDRRMLHALKVGSLASNVAYKADYKHDVVDYNYLATATPFYQTTMRLVPLKDVNYR QNIDRMKFSSVTNTPQIVQAKINAQQLSHVNYRADYERNKLNYTLPQDAPQLLKAKANAE LFSEVKYKEGWQKTKGKGFEMKLDAMSLLAAKASGELASSVKYKEEYEKMKGRALGATDS KLLHSLQVAKMSSEVEYKKGFEESKTHFNLPMDMVNLRHAKKAQALASDLDYRKKLHDYT VLPEDMKTQWAKKAYGLQSELQYKADLAWMRGVGWLTEGSLNLEQAKKAGQLISEKNYRQ RVDELKFTSVADSSQMEHAKKSQGLQNAVAYKAGNEQSVHQYTISKDEPLFLRARANAAQ LSETLYKSSWEKQKAKGFELRLDSLAFLTAKAKRDLASEVKYKEDYERSRGKLIGAKSAQ GDSQMSHSLQMSKLQSDLEYKKGFEDTRSQCHISLDMVHLVHARQAQHLATDVGYRTASH CFTALPTDMKVEWAKKAYGLQSDNQYRADMKWMKGTGWVATGSLHVEQAKKAGELISEKK YRQHPDALKFTSIKDTPEMVQARISYTQAVDRLYREQGENVKHHYTQTADLPEVLLAKLN AMNISETRYKESWSRLRDGGYKLRLDALPFQAAKASSEVISDYKYKEAFERMKGQMLGSR SLEDDLSLAHSVHATSLQSDVNYKKGFEHAKAHFHLPLDMVTLVHAKKAQTLASDQDYRH PLPQHTVLAEDLRLSCAKKAHKLQSENLYRSDLNFMRGVPCVVPGTLEIEGRKKASELIS ESKYRQHPGSFKYTAVTDTPNLLHAKYSNQITNERLYKAAGEDARHQYTMTLGLPEFIRA KTNAANLSEAKYKEAWHNLRAQGYKLTIDALPFQAARASGDIASDFLYRHEFVKERGQLI GVRNVSDDPRLLHCLRMGQLQSENQYRKEAASSQAQCHLPMDMMYLVHARKAQALASDHD YRTQCHEFTALPEDLKMAWAKKAHALQSEFRYKADLMGMKGTGWLALQSPQIESAKKAGD LISETKYRKKPDSIKFTTVVDSPDLIHAKESYMHCNERLYRLGDAASLHRYTPIPDHPDF TRARMNAMHLSDKVYRNAWEQSRAGGYDFRLDAIPFQTARVSRDIASDFRYKEAFLRDRG LQIGYRSISDDPRTTHFLRVGRLQSDNEYRKAFAKGRSQFHSRADQPGFLQAKRSQQLAS DVLYRQPLPQHTSDPEQLGLKHARKAHQLQSDVKYKSDLNLTRGVGWTPPGSYKVEMARR AAELANRRGPGIRGASVEPEAAAALGDHQSRGVNPDASEILHIHKKKTLLM >ENSMUSP00000125889.1 pep:known chromosome:GRCm38:19:56320192:56379865:-1 gene:ENSMUSG00000049134.15 transcript:ENSMUST00000169099.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrap description:nebulin-related anchoring protein [Source:MGI Symbol;Acc:MGI:1098765] XGAEGGMTKDSVDRCGQVYSEECDEPRGKGSFPAMITPAYQNAKKANELVSDIKYRQDFH KMKGAAHFHSLAAQDNLVLKRAQSVSKLVSEVEYKKGLENSKGHSINYCETPQFRNVSKI SKFTSDNKYKENYQTQLRGHYDGVGMDRRMLHALKVGSLASNVAYKADYKHDVVDYNYLA TATPFYQTTMRLVPLKDVNYRQNIDRMKFSSVTNTPQIVQAKINAQQLSHVNYRADYERN KLNYTLPQDAPQLLKAKANAELFSEVKYKEGWQKTKGKGFEMKLDAMSLLAAKASGELAS SVKYKEEYEKMKGRALGATDSKLLHSLQVAKMSSEVEYKKGFEESKTHFNLPMDMGSRVA DRGESQPGTGEEGWTAHQREKLPAEGG >ENSMUSP00000132582.1 pep:known chromosome:GRCm38:19:56320195:56389877:-1 gene:ENSMUSG00000049134.15 transcript:ENSMUST00000166203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrap description:nebulin-related anchoring protein [Source:MGI Symbol;Acc:MGI:1098765] MNVQACSRCGYGVYPAEKISCIDQTWHKACFHCEVCKMMLSVNNFVSHQKKPYCHAHNPK NNTFTSVYHTPLNLTLKKSVAAMGGIDGKEDGEPFKSVLHWDMKSKAGAGAASRLMNERD YWPGYAEGNTWCPGALPDPEIVRMVEARQSLGEGYTEDREQQQGKGSFPAMITPAYQRAK AANQLASQVQYKRGHDERVSTFTPVADTPELLRAKAGGQLQNDVRYTEDGGQQRGKGSFP AMITPAYQIAKRATELASDVRYHQQYHREMKGMASPVGAEGGMTKDSVDRCGQVYSEECD EPRGKGSFPAMITPAYQNAKKANELVSDIKYRQDFHKMKGAAHFHSLAAQDNLVLKRAQS VSKLVSEVEYKKGLENSKGHSINYCETPQFRNVSKISKFTSDNKYKENYQTQLRGHYDGV GMDRRMLHALKVGSLASNVAYKADYKHDVVDYNYLATATPFYQTTMRLVPLKDVNYRQNI DRMKFSSVTNTPQIVQAKINAQQLSHVNYRADYERNKLNYTLPQDAPQLLKAKANAELFS EVKYKEEYEKMKGRALGATDSKLLHSLQVAKMSSEVEYKKGFEESKTHFNLPMDMVNLRH AKKAQALASDLDYRKKLHDYTVLPEDMKTQWAKKAYGLQSELQYKADLAWMRGVGWLTEG SLNLEQAKKAGQLISEKNYRQRVDELKFTSVADSSQMEHAKKSQGLQNAVAYKAGNEQSV HQYTISKDEPLFLRARANAAQLSETLYKSSWEKQKAKGFELRLDSLAFLTAKAKRDLASE VKYKEDYERSRGKLIGAKSAQGDSQMSHSLQMSKLQSDLEYKKGFEDTRSQCHISLDMVH LVHARQAQHLATDVGYRTASHCFTALPTDMKVEWAKKAYGLQSDNQYRADMKWMKGTGWV ATGSLHVEQAKKAGELISEKKYRQHPDALKFTSIKDTPEMVQARISYTQAVDRLYREQGE NVKHHYTQTADLPEVLLAKLNAMNISETRYKESWSRLRDGGYKLRLDALPFQAAKASSEV ISDYKYKEAFERMKGQMLGSRSLEDDLSLAHSVHATSLQSDVNYKKGFEHAKAHFHLPLD MVTLVHAKKAQTLASDQDYRHPLPQHTVLAEDLRLSCAKKAHKLQSENLYRSDLNFMRGV PCVVPGTLEIEGRKKASELISESKYRQHPGSFKYTAVTDTPNLLHAKYSNQITNERLYKA AGEDARHQYTMTLGLPEFIRAKTNAANLSEAKYKEAWHNLRAQGYKLTIDALPFQAARAS GDIASDFLYRHEFVKERGQLIGVRNVSDDPRLLHCLRMGQLQSENQYRKEAASSQAQCHL PMDMMYLVHARKAQALASDHDYRTQCHEFTALPEDLKMAWAKKAHALQSEFRYKADLMGM KGTGWLALQSPQIESAKKAGDLISETKYRKKPDSIKFTTVVDSPDLIHAKESYMHCNERL YRLGDAASLHRYTPIPDHPDFTRARMNAMHLSDKVYRNAWEQSRAGGYDFRLDAIPFQTA RVSRDIASDFRYKEAFLRDRGLQIGYRSISDDPRTTHFLRVGRLQSDNEYRKAFAKGRSQ FHSRADQPGFLQAKRSQQLASDVLYRQPLPQHTSDPEQLGLKHARKAHQLQSDVKYKSDL NLTRGVGWTPPGSYKVEMARRAAELANRRGPGIRGASVEPEAAAALGDHQSRGVNPDASE ILHIHKKKTLLM >ENSMUSP00000061731.6 pep:known chromosome:GRCm38:9:119052778:119071519:1 gene:ENSMUSG00000038775.14 transcript:ENSMUST00000051386.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vill description:villin-like [Source:MGI Symbol;Acc:MGI:1201781] MDINQDLPAIDSHRALQIWITENLKMLPLPERAHGNFFEECCYVVLHVPQSPKATQGGFS DLHYWIGKDASAEAREAAVSFVQCLQEDLGDQTVLHRESQGHESDCFHSYFHPGVIYRKG GRDSALKFAETNMYNVQRLLHIRGRKHVSATEVALSWNSFNKGDIFLLDLGKVMIQWNGP KASISEKARALTLTCNLRDRERGGRAQIAVVDAENEATNLLRIMEAVLGCRSGSLCPSVP SNSVSQLQKANVRLYHVCEKGTDLVVQELATRPLTQDLLQEDGCYLLDQGGFKIYMWQGR KSSPQEKKAALSRAVGFIQAKGYPNYTNVEVVNDGAESTAFQQLFWSWSKELDRKKHPEK SKLVQGNLEVGKLHTQPELAAQLRMVDDGSGKVEVWYIQDLQRQPVHPKYYGQLCSGNCY LVLYTYQKLGCVQYLLYLWQGHQSTVEDTKALNCSAEELDLMHQGALAQGHVTMGSEPPH FLAIFQGRLVVFQGNAGNKGERPPVSDTRLFHVQGTESHNTRTMEVPARASSLTSGDVFF LITSHVCYLWFGKGCHGDQREMARTVVSVFPGNNKETVLEGQEPLYFWEALGGRAPYPSN KRLPEEVWSIQPRLFECSSHAGCLVLTEVLFFGQEDLDKYDIMLLDTCQEIFLWLGEAAG EWKKEAVAWGLEYLRTHPAERSLATPIFVVKQGHEPATFTGWFVTWDPYKWMNSQSYEEM VGNSLGPGSAISEMTAEVHNFQLTPRLSDNKAGHPALQAFKGSQDSPENELGLDLRVDGA NPSMNHTSSCSDSMVNGSLPRERLMHQALEDLPPGVDPARKEFYLSDSDFQDIFGKSKEE FYSMAKWKQQQAKKKLGFF >ENSMUSP00000074294.6 pep:known chromosome:GRCm38:9:119052802:119071326:1 gene:ENSMUSG00000038775.14 transcript:ENSMUST00000074734.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vill description:villin-like [Source:MGI Symbol;Acc:MGI:1201781] MDINQDLPAIDSHRALQIWITENLKMLPLPERAHGNFFEECCYVVLHVPQSPKATQGGFS DLHYWIGKDASAEAREAAVSFVQCLQEDLGDQTVLHRESQGHESDCFHSYFHPGVIYRKG GRDSALKFAETNMYNVQRLLHIRGRKHVSATEVALSWNSFNKGDIFLLDLGKVMIQWNGP KASISEKARALTLTCNLRDRERGGRAQIAVVDAENEATNLLRIMEAVLGCRSGSLCPSVP SNSVSQLQKANVRLYHVCEKGTDLVVQELATRPLTQDLLQEDGCYLLDQGGFKIYMWQGR KSSPQEKKAALSRAVGFIQAKGYPNYTNVEVVNDGAESTAFQQLFWSWSKELDRKKHPEK SKLVQGNLEVGKLHTQPELAAQLRMVDDGSGKVEVWYIQDLQRQPVHPKYYGQLCSGNCY LVLYTYQKLGCVQYLLYLWQGHQSTVEDTKALNCSAEELDLMHQGALAQGHVTMGSEPPH FLAIFQGRLVVFQGNAGNKGERPPVSDTRLFHVQGTESHNTRTMEVPARASSLTSGDVFF LITSHVCYLWFGKGCHGDQREMARTVVSVFPGNNKETVLEGQEPLYFWEALGGRAPYPSN KRLPEEVWSIQPRLFECSSHAGCLVLTEVLFFGQEDLDKYDIMLLDTCQENSQSYEEMVG NSLGPGSAISEMTAAFKGSQDSPENELGLDLRVDGANPSMNHTSSCSDSMVNGSLPRERL MHQALEDLPPGVDPARKEFYLSDSDFQDIFGKSKEEFYSMAKWKQQQAKKKLGFF >ENSMUSP00000118375.1 pep:known chromosome:GRCm38:9:119052865:119058426:1 gene:ENSMUSG00000038775.14 transcript:ENSMUST00000131647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vill description:villin-like [Source:MGI Symbol;Acc:MGI:1201781] MDINQDLPAIDSHRALQIWITENLKMLPLPERAHGNFFEECCYVVLHVPQSPKATQGGFS DLHYWIGKDASAEAREAAVSFVQCL >ENSMUSP00000116546.1 pep:known chromosome:GRCm38:9:119063448:119071326:1 gene:ENSMUSG00000038775.14 transcript:ENSMUST00000141185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vill description:villin-like [Source:MGI Symbol;Acc:MGI:1201781] MVDDGSGKVEVWYIQDLQRQPVHPKYYGQLCSGNCYLVLYTYQKLGCVQYLLYLWQGHQS TVEDTKALNCSAEELDLMHQGALAQGHVTMGSEPPHFLAIFQGRLVVFQGNAGNKGERPP VSDTRLFHVQGTESHNTRTMEVPARASSLTSGDVFFLITSHVCYLWFGKGCHGDQREMAR TVVSVFPGNNKETVLEGQEPLYFWEALGGRAPYPSNKRLPEEVWSIQPRLFECSSHAGCL VLTEVLFFGQEDLDKYDIMLLDTCQEIFLWLGEAAGEWKKEAVAWGLEYLRTHPAERSLA TPIFVVKQGHEPATFTGWFVTWDPYKWMNSQSYEEMVGNSLGPGSAISEMTAEVHNFQLT PRLSDNKAGHPALQAFKGSQDSPENELGLDLRVDGANPSMNHTSSCSDSMVNGSLPRERL MHQALEDLPPGVDPARKEFYLSDSDFQDIFGKSKEEFYSMAKWKQQQAKKKLGFF >ENSMUSP00000116262.1 pep:known chromosome:GRCm38:9:119063454:119071337:1 gene:ENSMUSG00000038775.14 transcript:ENSMUST00000126251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vill description:villin-like [Source:MGI Symbol;Acc:MGI:1201781] MQVWYIQDLQRQPVHPKYYGQLCSGNCYLVLYTYQKLGCVQYLLYLWQGNAGNKGERPPV SDTRLFHVQGTESHNTRTMEVPARASSLTSGDVFFLITSHVCYLWFGKGCHGDQREMART VVSVFPGNNKETVLEGQEPLYFWEALGGRAPYPSNKRLPEEVWSIQPRLFECSSHAGCLV LTEVLFFGQEDLDKYDIMLLDTCQEIFLWLGEAAGEWKKEAVAWGLEYLRTHPAERSLAT PIFVVKQGHEPATFTGWFVTWDPYKWMNSQSYEEMVGNSLGPGSAISEMTAAFKGSQDSP ENELGLDLRVDGANPSMNHTSSCSDSMVNGSLPRERLMHQALEDLPPGVDPARKEFYLSD SDFQDIFGKSKEEFYSMAKWKQQQAKKKLGFF >ENSMUSP00000120102.1 pep:known chromosome:GRCm38:9:119063455:119070067:1 gene:ENSMUSG00000038775.14 transcript:ENSMUST00000153630.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vill description:villin-like [Source:MGI Symbol;Acc:MGI:1201781] MQASRGGVEHPAPTVRVLQSRRLPGPHGSAVLWPRGLGQV >ENSMUSP00000123393.1 pep:known chromosome:GRCm38:9:119063458:119066817:1 gene:ENSMUSG00000038775.14 transcript:ENSMUST00000136561.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vill description:villin-like [Source:MGI Symbol;Acc:MGI:1201781] MQVWYIQDLQRQPVHPKYYGQLCSGNCYLVLYTYQKLGCVQYLLYLWQGHQSTVEDTKAL NCSAEELDLMHQGALAQGHVTMGSEPPHFLAIFQGRLVVFQGNAGNKGERPPVSDTRLFH VQGTESHNTRTMEVPARASS >ENSMUSP00000099512.1 pep:known chromosome:GRCm38:11:80858365:80867810:1 gene:ENSMUSG00000053184.15 transcript:ENSMUST00000103223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spaca3 description:sperm acrosome associated 3 [Source:MGI Symbol;Acc:MGI:1922872] MEARSRAPRRQLCPPGITWLALAYLLSCLLASSKAKVFSRCELAKEMHDFGLDGYRGYNL ADWVCLAYYTSGFNTNAVDHEADGSTNNGIFQISSRRWCRTLASNGPNLCRIYCTDLLNN DLKDSIVCAMKIVQEPLGLGYWEAWRHHCQGRDLSDWVDGCDF >ENSMUSP00000099511.3 pep:known chromosome:GRCm38:11:80858372:80867814:1 gene:ENSMUSG00000053184.15 transcript:ENSMUST00000103222.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spaca3 description:sperm acrosome associated 3 [Source:MGI Symbol;Acc:MGI:1922872] MEARSRAPRRQLCPPGITWLALAYLLSCLLASSKAKVFSRCELAKEMHDFGLDGYRGYNL ADWVCLAYYTSGFNTNAVDHEADGSTNNGIFQISSRRWCRTLASNGPNLCRIYCTDLLNN DLKDSIVCAMKIVQEPLGLGYWEAWRHHCQGRDLSDWVDGCDF >ENSMUSP00000114126.1 pep:known chromosome:GRCm38:X:27472031:27494882:-1 gene:ENSMUSG00000095546.7 transcript:ENSMUST00000119362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10230 description:predicted gene 10230 [Source:MGI Symbol;Acc:MGI:3710515] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000136764.1 pep:known chromosome:GRCm38:X:27472156:27493313:-1 gene:ENSMUSG00000095546.7 transcript:ENSMUST00000178745.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10230 description:predicted gene 10230 [Source:MGI Symbol;Acc:MGI:3710515] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000131192.2 pep:known chromosome:GRCm38:7:16842902:16870372:1 gene:ENSMUSG00000041187.16 transcript:ENSMUST00000168093.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkd2 description:protein kinase D2 [Source:MGI Symbol;Acc:MGI:2141917] MAAAPSHPAGLPGSPGPGSPPPPGGLDLQSPPPLLPQIPAPGSGVSFHIQIGLTREFVLL PAASELAHVKQLACSIVDQKFPECGFYGLYDKILLFKHDPTSANLLQLVRSAADIQEGDL VEVVLSASATFEDFQIRPHALTVHSYRAPAFCDHCGEMLFGLVRQGLKCDGCGLNYHKRC AFSIPNNCSGARKRRLSSTSLASGHSVRLGSSESLPCTAEELSRSTTDLLPRRPPSSSSS SSSSSFYTGRPIELDKMLMSKVKVPHTFLIHSYTRPTVCQACKKLLKGLFRQGLQCKDCK FNCHKRCATRVPNDCLGEALINGDVPMEEAADYSEADKSSISDELEDSGVIPGSHSESAL HASEEEEGEGHKAQSSLGYIPLMRVVQSVRHTTRKSSTTLREGWVVHYSNKDTLRKRHYW RLDCKCITLFQNNTTNRYYKEIPLSEILAVEPAQNFSLVPPGTNPHCFEIITANVTYFVG ETPGGAPGGPSGQGTEAVRGWETAIRQALMPVILQDAPSAPGHTPHRQASLSISVSNSQI QENVDIATVYQIFPDEVLGSGQFGVVYGGKHRKTGRDVAVKVIDKLRFPTKQESQLRNEV AILQSLRHPGIVNLECMFETPEKVFVVMEKLHGDMLEMILSSEKGRLPERLTKFLITQIL VALRHLHFKNIVHCDLKPENVLLASADPFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLA PEVLLNQGYNRSLDMWSVGVIMYVSLSGTFPFNEDEDINDQIQNAAFMYPASPWSHISSG AIDLINNLLQVKMRKRYSVDKSLSHPWLQEYQTWLDLRELEGKMGERYITHESDDARWDQ FVAERHGTPAEGDLGGACLPQDHEMQGLAERISIL >ENSMUSP00000083273.4 pep:known chromosome:GRCm38:7:16843065:16870464:1 gene:ENSMUSG00000041187.16 transcript:ENSMUST00000086104.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkd2 description:protein kinase D2 [Source:MGI Symbol;Acc:MGI:2141917] MAAAPSHPAGLPGSPGPGSPPPPGGLDLQSPPPLLPQIPAPGSGVSFHIQIGLTREFVLL PAASELAHVKQLACSIVDQKFPECGFYGLYDKILLFKHDPTSANLLQLVRSAADIQEGDL VEVVLSASATFEDFQIRPHALTVHSYRAPAFCDHCGEMLFGLVRQGLKCDGCGLNYHKRC AFSIPNNCSGARKRRLSSTSLASGHSVRLGSSESLPCTAEELSRSTTDLLPRRPPSSSSS SSSSSFYTGRPIELDKMLMSKVKVPHTFLIHSYTRPTVCQACKKLLKGLFRQGLQCKDCK FNCHKRCATRVPNDCLGEALINGDVPMEEAADYSEADKSSISDELEDSGVIPGSHSESAL HASEEEEGEGHKAQSSLGYIPLMRVVQSVRHTTRKSSTTLREGWVVHYSNKDTLRKRHYW RLDCKCITLFQNNTTNRYYKEIPLSEILAVEPAQNFSLVPPGTNPHCFEIITANVTYFVG ETPGGAPGGPSGQGTEAVRGWETAIRQALMPVILQDAPSAPGHTPHRQASLSISVSNSQI QENVDIATVYQIFPDEVLGSGQFGVVYGGKHRKTGRDVAVKVIDKLRFPTKQESQLRNEV AILQSLRHPGIVNLECMFETPEKVFVVMEKLHGDMLEMILSSEKGRLPERLTKFLITQIL VALRHLHFKNIVHCDLKPENVLLASADPFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLA PEVLLNQGYNRSLDMWSVGVIMYVSLSGTFPFNEDEDINDQIQNAAFMYPASPWSHISSG AIDLINNLLQVKMRKRYSVDKSLSHPWLQEYQTWLDLRELEGKMGERYITHESDDARWDQ FVAERHGTPAEGDLGGACLPQDHEMQGLAERISIL >ENSMUSP00000058552.5 pep:known chromosome:GRCm38:5:89677087:89882935:-1 gene:ENSMUSG00000043635.12 transcript:ENSMUST00000061427.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts3 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 3 [Source:MGI Symbol;Acc:MGI:3045353] MVFLSLWLIAASLVEVRTSADGQAGTDEMVQIDLPTKRYREYELVTPVSTNLKGHYLSHI LSANHKKRSPRDVSSNSEHLFFNVTAFGRDFHLRLKPNTHFIAPGAVVEWHETAPRPGNT TDPRNSHLHGSASEGSWRSEPLQTSCAYVGDIMDIPGTSVAISNCDGLAGMIKSDDEEYF IEPLERGKQMDEENGRIHVVYKRSAVEQPPRDVSEDVYHRESDLEGLDDLGTVYSNIGQQ LNKTMRRRRHTGENDYNIEVLLGVDDSVVRFHGKEHVQNYLLTLMNIVNEIYHDESLGVH INVVLVRMIMLGYAKSISLIERGNPSRSLENVCRWACQQQKTDPNHAEHHDHAIFLTRQD FGPAGMQGYAPVTGMCHPVRSCTLNHEDGFSSAFVVAHETGHVLGMEHDGQGNRCGDETA MGSVMAPLVQAAFHRYHWSRCSGQELKRYIHSYDCLLDDPFEHDWPKLPELPGINYSMDE QCRFDFGVGYKMCTAFRTFDPCKQLWCSHPDNPYFCKTKKGPPLDGTECAAGKWCYKGHC MWKNANQQKQDGNWGSWTKFGSCSRTCGTGVRFRTRQCNNPTPINGGQDCPGVNFEYQLC NTEECQKHFEDFRAQQCQQRNSHFDYQNTKHHWLPHEHPDSKKRCHLYCQSKETGDVAYM KQLVHDGTRCSYKDPYSICVRGECVKVGCDREIGSNKVEDKCGVCGGDNSHCRTVKGTFT RTPRKLGYLKMFDIPPGARHVLIQEDEASPHVLAIKNQATGHYILNGKGEEAKSRTFIDL GVEWDYNIEDDIETLHTDGPLHDPVIVLIIPQENDTRSSLTYKYIIHEDSVPTINSNNVI QEELDTFEWALKSWSQCSEPCGGGFQYTKYGCRRKSDSKMVHRSFCEVNKKPKPIRRMCN IQECTHPVWAADEWEHCSRSCGSSGNQLRTVRCLQPLQDGTNRSVHSKYCLGDRPESRRP CNRVPCPAQWKTGSWNECSVTCGEGTEVRQVLCRTGDHCDGEKPESVRPCQLPPCNDEPC LGDKSIFCQMEVLARYCSIPGYNKLCCESCSKRSGTLPPPYLQEAAEIHSDAIFGPSDLP RSLVMPTPFLPSSSGTRAEKKSLSSFSSMANPNADAAFRASSRASADFPRKRAHQAGRKT LESVPSSSSIKTDHLRPASPVAAAPSPAISDSIGASPQTRTPKKDDAKSVSRRHPTRSTA SER >ENSMUSP00000132219.1 pep:known chromosome:GRCm38:5:89677087:89882935:-1 gene:ENSMUSG00000043635.12 transcript:ENSMUST00000163159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts3 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 3 [Source:MGI Symbol;Acc:MGI:3045353] MVFLSLWLIAASLVEVRTSADGQAGTDEMVQIDLPTKRYREYELVTPVSTNLKGHYLSHI LSANHKKRSPRDVSSNSEHLFFNVTAFGRDFHLRLKPNTHFIAPGAVVEWHETAPRPGNT TDPRNSHLHGSASEGSWRSEPLQTSCAYVGDIMDIPGTSVAISNCDGLAGMIKSDDEEYF IEPLERGKQMDEENGRIHVVYKRSAVEQPPRDVSEDVYHRESDLEGLDDLGTVYSNIGQQ LNKTMRRRRHTGENDYNIEVLLGVDDSVVRFHGKEHVQNYLLTLMNIVNEIYHDESLGVH INVVLVRMIMLGYAKSISLIERGNPSRSLENVCRWACQQQKTDPNHAEHHDHAIFLTRQD FGPAGMQGYAPVTGMCHPVRSCTLNHEDGFSSAFVVAHETGHVLGMEHDGQGNRCGDETA MGSVMAPLVQAAFHRYHWSRCSGQELKRYIHSYDCLLDDPFEHDWPKLPELPGINYSMDE QCRFDFGVGYKMCTAFRTFDPCKQLWCSHPDNPYFCKTKKGPPLDGTECAAGKWCYKGHC MWKNANQQKQDGNWGSWTKFGSCSRTCGTGVRFRTRQCNNPTPINGGQDCPGVNFEYQLC NTEECQKHFEDFRAQQCQQRNSHFDYQNTKHHWLPHEHPDSKKRCHLYCQSKETGDVAYM KQLVHDGTRCSYKDPYSICVRGECVKVGCDREIGSNKVEDKCGVCGGDNSHCRTVKGTFT RTPRKLGKTRGAFVLPKGARNISLAETRETKNVLAIKNQATGHYILNGKGEEAKSRTFID LGVEWDYNIEDDIETLHTDGPLHDPVIVLIIPQENDTRSSLTYKYIIHEDSVPTINSNNV IQEELDTFEWALKSWSQCSEPCGGGFQYTKYGCRRKSDSKMVHRSFCEVNKKPKPIRRMC NIQECTHPVWAADEWEHCSRSCGSSGNQLRTVRCLQPLQDGTNRSVHSKYCLGDRPESRR PCNRVPCPAQWKTGSWNECSVTCGEGTEVRQVLCRTGDHCDGEKPESVRPCQLPPCNDEP CLGDKSIFCQMEVLARYCSIPGYNKLCCESCSKRSGTLPPPYLQEAAEIHSDAIFGPSDL PRSLVMPTPFLPSSSGTRAEKKSLSSFSSMANPNADAAFRASSRASADFPRKRAHQAGRK TLESVPSSSSIKTDHLRPASPVAAAPSPAISDSIGASPQTRTPKKDDAKSVSRRHPTRST ASER >ENSMUSP00000142771.1 pep:known chromosome:GRCm38:5:89834230:89883334:-1 gene:ENSMUSG00000043635.12 transcript:ENSMUST00000198151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts3 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 3 [Source:MGI Symbol;Acc:MGI:3045353] MVFLSLWLIAASLVEVRTSADGQAGTDEMVQIDLPTKRYREYELVTPVSTNLKGHYLSHI LSANHKKRSPRDVSSNSEHLFFNVTAFGRDFHLRLKPNTHFIAPGAVVEWHETAPRPGNT TDPRNSHLHGSASEGSWRSEPLQTSCAYVGDIMDIPGTSVAISNCDGLTFEEDSSPWTSW LTVAFAKIAQNDLYLWSSLTFMPLPPSAKMAIACPHMLHFSQHQMVGF >ENSMUSP00000000804.6 pep:known chromosome:GRCm38:X:13280970:13294052:1 gene:ENSMUSG00000000787.12 transcript:ENSMUST00000000804.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx3x description:DEAD/H (Asp-Glu-Ala-Asp/His) box polypeptide 3, X-linked [Source:MGI Symbol;Acc:MGI:103064] MSHVAVENALGLDQQFAGLDLNSSDNQSGGSTASKGRYIPPHLRNREATKGFYDKDSSGW SSSKDKDAYSSFGSRGDSRGKSSFFGDRGSGSRGRFDDRGRGDYDGIGGRGDRSGFGKFE RGGNSRWCDKSDEDDWSKPLPPSERLEQELFSGGNTGINFEKYDDIPVEATGNNCPPHIE SFSDVEMGEIIMGNIELTRYTRPTPVQKHAIPIIKEKRDLMACAQTGSGKTAAFLLPILS QIYADGPGEALRAMKENGRYGRRKQYPISLVLAPTRELAVQIYEEARKFSYRSRVRPCVV YGGAEIGQQIRDLERGCHLLVATPGRLVDMMERGKIGLDFCKYLVLDEADRMLDMGFEPQ IRRIVEQDTMPPKGVRHTMMFSATFPKEIQMLARDFLDEYIFLAVGRVGSTSENITQKVV WVEEIDKRSFLLDLLNATGKDSLTLVFVETKKGADSLEDFLYHEGYACTSIHGDRSQRDR EEALHQFRSGKSPILVATAVAARGLDISNVKHVINFDLPSDIEEYVHRIGRTGRVGNLGL ATSFFNERNINITKDLLDLLVEAKQEVPSWLENMAFEHHYKGSSRGRSKSSRFSGGFGAR DYRQSSGASSSSFSSSRASSSRSGGGGHGGSRGFGGGGYGGFYNSDGYGGNYNSQGVDWW GN >ENSMUSP00000140852.1 pep:known chromosome:GRCm38:10:41648876:41694487:-1 gene:ENSMUSG00000100855.1 transcript:ENSMUST00000190522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6976 description:predicted gene 6976 [Source:MGI Symbol;Acc:MGI:3644617] MDSVYKFSSTERIVLLEKELAVKLSELKTEVEDQGLFPGTGNRIFSSVQIPKDVAHFRRE REAALKRTLQVAESKPLVIQADVLKRELESCLRREYTPENLPLLLLQYYTERITQLGQSK YLHVLRWKRLCQTSMAMEELYPLYKKQVDYIMQEFNDSVQRAERLSVARENLLMGKNNRP DLVTQEDLAIYTRWLVCHLHALRTIHHFLQALQYLPISRVLSLAAKQVSGSSEEDGKACV TDLHAASPGPLGPHVSGSGRTDAAFVLPQHVTDRDDLKPQLRRLLSHFHIPDDVQKLSDS AKEMGLFSSVSQNFQSIFMEQQRMWTFPDYEAGRGNVQNPTMSGPTTTLRKRADWIPFVK VKPKCDPWQKKMLTRLKERKRIDELMNLQSQFLKISDPVRVMQVLQDHAAKTVPMASNHP SRPPAQPLHPRNYDQVWKNIYSNPKLYQAENPSDDDFAKPHLSQSSDGSLKQRTETGYNF AVALQLLGLSDGTEPDRNPVLMRGAYLSFLCLRHLHIRELQRICLGVLNFFRSVERTLTM NTSGLTLVSGTLVPTLGDSSWINMSKGGMGTLQGLGTHHYIHGTPAEHKESELGL >ENSMUSP00000104023.1 pep:known chromosome:GRCm38:4:3938888:3943523:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000108386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWNSVMIQRRQ NGVQPSMPTAAERDEILGAMQKMPY >ENSMUSP00000113276.1 pep:known chromosome:GRCm38:4:3938888:3943528:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000121110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWNSVMIQRRQ NGVQPSMPTAAERDEILGAMQKMPY >ENSMUSP00000118311.1 pep:known chromosome:GRCm38:4:3938890:3943472:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000149544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWNSVMIQRRQ NGVQPSMPTAAERDEILGA >ENSMUSP00000113884.1 pep:known chromosome:GRCm38:4:3938914:3943050:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000121210.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWVSPTSQAS >ENSMUSP00000112967.1 pep:known chromosome:GRCm38:4:3938914:3943521:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000121651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWNSVMIQRRQ NGVQPSMPTAAERDEILGAMQKMPY >ENSMUSP00000041196.4 pep:known chromosome:GRCm38:4:3938914:3943528:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000041122.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWNSVMIQRRQ NGVQPSMPTAAERDEILGAMQKMPY >ENSMUSP00000112389.1 pep:known chromosome:GRCm38:4:3938914:3943528:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000120732.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWV >ENSMUSP00000118860.1 pep:known chromosome:GRCm38:4:3938914:3951046:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000150618.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWNSVMIQRRQ NGVQPSMPTAAERDEILGAMQKMPY >ENSMUSP00000113811.1 pep:known chromosome:GRCm38:4:3938920:3943504:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000119307.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWNSVMIQRRQ NGVQPSMPTAAERDEILGAMQKMPY >ENSMUSP00000117652.1 pep:known chromosome:GRCm38:4:3939043:3943406:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000123769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWNSVMIQ >ENSMUSP00000117905.1 pep:known chromosome:GRCm38:4:3939500:3943526:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000131746.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSGKTASEVFVFGSLIDHILHFK >ENSMUSP00000113613.1 pep:known chromosome:GRCm38:4:3940854:3943525:1 gene:ENSMUSG00000042198.12 transcript:ENSMUST00000119403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd7 description:coiled-coil-helix-coiled-coil-helix domain containing 7 [Source:MGI Symbol;Acc:MGI:1913683] MPMVTRRLRDPDINPCLSESDASTRCMDENNYDRERCSSYFLKYKNCRRFWNSVMIQRRQ NGVQPSMPTAAERDEILGAMQKMPY >ENSMUSP00000119820.1 pep:known chromosome:GRCm38:5:104046306:104058202:1 gene:ENSMUSG00000029310.13 transcript:ENSMUST00000128511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt9 description:nudix (nucleoside diphosphate linked moiety X)-type motif 9 [Source:MGI Symbol;Acc:MGI:1921417] MSGSNGAKENSHNKARTSPYPGSKVERSQVPNEKVGWLVEWQDYNPVEYTAVSVLAGPQW ADPQISESNFSPKFNEKDGHVERKSQNGLYEIENGRPRNPAGRTGLVGRGLLGRWGPNHA ADPIITRWKRDESGNKITHPVSGKCILQFVAIKRKDCG >ENSMUSP00000114631.1 pep:known chromosome:GRCm38:5:104046526:104059782:1 gene:ENSMUSG00000029310.13 transcript:ENSMUST00000150226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt9 description:nudix (nucleoside diphosphate linked moiety X)-type motif 9 [Source:MGI Symbol;Acc:MGI:1921417] MSGSNGAKENSHNKARTSPYPGSKVERSQVPNEKVGWLVEWQDYNPVEYTAVSVLAGPQW ADPQISESNFSPKFNEKDGHVERKSQNGLYEIENGRPRNPAGRTGLVGRGLLGRWGPNHA ADPIITRWKRDESGNKITHPVSGKCILQFVAIKRKDCGEWAIPGGMVDPGEKISATLKRE FGEEALNSLQKSSAEKREIEEKLHALFSQEHLV >ENSMUSP00000031250.7 pep:known chromosome:GRCm38:5:104046869:104065379:1 gene:ENSMUSG00000029310.13 transcript:ENSMUST00000031250.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt9 description:nudix (nucleoside diphosphate linked moiety X)-type motif 9 [Source:MGI Symbol;Acc:MGI:1921417] MAGRSLGQAVATVSLSVALASVTVRSSACRAVPAPRNTFPTCGFHLNANIMSGSNGAKEN SHNKARTSPYPGSKVERSQVPNEKVGWLVEWQDYNPVEYTAVSVLAGPQWADPQISESNF SPKFNEKDGHVERKSQNGLYEIENGRPRNPAGRTGLVGRGLLGRWGPNHAADPIITRWKR DESGNKITHPVSGKCILQFVAIKRKDCGEWAIPGGMVDPGEKISATLKREFGEEALNSLQ KSSAEKREIEEKLHALFSQEHLVIYKGYVDDPRNTDNAWMETEAVNYHDETGETMDNLTL EAGDDAGKVKWVDISDQLKLYASHSQFIKLVAEKRDAHWSEDHAADSRGL >ENSMUSP00000117181.1 pep:known chromosome:GRCm38:5:104047154:104058182:1 gene:ENSMUSG00000029310.13 transcript:ENSMUST00000134313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt9 description:nudix (nucleoside diphosphate linked moiety X)-type motif 9 [Source:MGI Symbol;Acc:MGI:1921417] MSGSNGAKENSHNKARTSPYPGSKVERSQVPNEKVGWLVEWQDYNPVEYTAVSVLAGPQW ADPQISESNFSPKFNEKDGHVERKSQNGLYEIENGRPRNPAGRTGLVGRGLLGRWGPNHA ADPIITRWKRDESGNKITHPVSGKCILQFVAI >ENSMUSP00000115170.1 pep:known chromosome:GRCm38:5:104047621:104055525:1 gene:ENSMUSG00000029310.13 transcript:ENSMUST00000148261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt9 description:nudix (nucleoside diphosphate linked moiety X)-type motif 9 [Source:MGI Symbol;Acc:MGI:1921417] MSGSNGAKENSHNKARTSPYPGSKVERSQVPNEKVGWLVEWQDYNPVEYTAVSVLAGPQW ADPQISESNFSPKFNEKDGHVERKSQNGLYEIENGRPRNPAGRTGLVGRG >ENSMUSP00000043204.8 pep:known chromosome:GRCm38:7:141471860:141475132:-1 gene:ENSMUSG00000038489.8 transcript:ENSMUST00000043870.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2l description:polymerase (RNA) II (DNA directed) polypeptide L [Source:MGI Symbol;Acc:MGI:1913741] MIIPVRCFTCGKIVGNKWEAYLGLLQAEYTEGDALDALGLKRYCCRRMLLAHVDLIEKLL NYAPLEK >ENSMUSP00000138633.1 pep:known chromosome:GRCm38:18:84128027:84558425:-1 gene:ENSMUSG00000048410.16 transcript:ENSMUST00000125450.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp407 description:zinc finger protein 407 [Source:MGI Symbol;Acc:MGI:2685179] XREENQGNVCKYCGKMCRSSNSMAFLAHIRTHTGSKPFKCKICHFATAQLGDARNHVKRH LGMREYKCHVCG >ENSMUSP00000118361.1 pep:known chromosome:GRCm38:18:84207704:84589504:-1 gene:ENSMUSG00000048410.16 transcript:ENSMUST00000125763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp407 description:zinc finger protein 407 [Source:MGI Symbol;Acc:MGI:2685179] MDSENKHDNDEDESVKGAKDPRSVSSRDDSSEPVSDDMANVSENFTSKRVFSESSNSDGV AAEEYRSERASKRLRTEALEPETREQGACGLGTVEANVHLAEMGKEAFPANCSEGGSALP SVFSPSCDFSTSNILPLKVDEVKKPAREMVSLVPERHNPFPPEERSISCSFGSVETGLRC GVCGCSFSSCSALEKHVECHVEEGKERTCCHCSHRAESSSSPHEHVRHTHGPQKVFSCDL CGFQCAEENLLNAHYLGKTHLRRQNLAARGGFVQILTKPSFPKKACVMGTKNVRTKPRAS KPIAKTGDSRVVPSTGNDFKDCRGAPSEDSAGGSELLVEMVPSRKISSGKAHVVEENVSF GVAQNPENQNKQLGGLVSSEGLLNKPESTKNALQMAHVSISTTSRPRSERNLLVLGNSFR RRSGTFTLKGQAKKRFNLLGINKRGTNETQRMYMKHFRTQMKTNAQPVLEQVEMSKDVQS LCVTTSDNPEVMQDKTAFCLSGSTRLGSLPVKPADHQLSVQCTCTECGQIAANKTDFEVH VKQCHGREMQFHCQTCDFSSPSRRDLEEHVHSNQHQHMTPVLSCQCCSFISLNETGLRDH MKEKHGMGFFCTSCNLFLSEKDVEEHRATESHNSLVVQPKTASSLSGDSVLPFSTVESEN PADSREDSGKAAQEGPAESRASHGTEARHSSKPQFQCKKCFYKTRSSTVLTRHIKLRHGQ DYHFLCKACNLYSLSKEGMEKHIKRSKHLENAKKNNIGLSFEECIERVCIGANDKKEESS VSGSGRPEGHVGVQSQEHSQREQSMLTPKELPQSGVITKEDELGLATTPKRGRPKGSISR TCSHCGLLASSITNLTVHIRRKHSHQYSYLCKVCKYYTVTKGDMERHCATKKHKGRVEIE ANGKQSSDIVVGPEGGNLEACKDSTSLAVTVSDAQASKPAKSDTRTLETPGVGIGNAGDA EAGSVFPSGDGELSSQLSDKKGQLSLETEDLLQLDDACSQREVAGSSDNKCLQCEFSAHS AASLELHVKRKHTKEFQFYCMACDYYAVTRREMTRHAATEKHRMKRQSYLSASSVEAGSS EISKNITIPEEEHSHNSEEFQIHPHQSSGTLQCRNPADCSILDDNTNLGMSKVLCAPDSV TVVTEQESNFSEGHSFCETLQQPLVKDKSMKPREIVSPNTPSNLSLPGCLQSENLASSAV DCETAKKNRDVLDAVGDRSTPCEDEGGSVDDSEEKILDKSPCPGDPDGGHSAESTSSVVM KIPREQLDLDGGGQNKVGCEQTSEDLKDVQANPILENKEILINSQEEAEVILEEDAPTSN GTADSNDVYETIISIDDKGQTMYSFGRFDSSIIRIKTEDGELVEQPEEGLTATGGRVSEL PLKDCAQGLKKKKVEGGSFGESTRIRCDDCGFLADGLSGLNVHIAMKHPTKEKHFHCLLC GKSFYTESNLHQHLASAGHMRNEQASVEELPEGGATFKCVKCTEPFDSEQNLFLHIKGQH EELLREVNKYIVEDTEQINREREENQGNVCKYCGKMCRSSNSMAFLAHIRTHTGSKPFKC KICHFATAQLGDARNHVKRHLGMREYKCHVCGVAFVMKKHLNTHLLGKHGVGTPKERKFT CHLCDRSFTEKWALNNHMKLHTGEKPFKCTWPTCHYSFLTASAMKDHYRTHTGEKSFLCD LCGFAGGTRHALTKHRRQHTGEKPFKCDECNFASTTQSHLTRHKRVHTGEKPYRCPWCDY RSNCAENIRKHILHTGKHEGVKMYNCPKCDYGTNVPVEFRNHLKEQHPDIENPDLAYLHA GIVSKSYECRLKGQGATFVETDSPFTAATLAEESPVKERSLRSSKRQAASPEQVQQVIII QGYDGEFALDASVEETAAATLQTLAMAGQVARVVHITEHGQVIATSQNGSHVGSVVPGPI LPEQLADGTTQVVVMGGSMESHSVDEALSPGAAVIQQVTKQEVLSLSEAGVPPSDNSSAL DALLCAVTELGEVEGRVGHEEKGRPSHKDVLIQLPSQEAAQAHAKAEATEAQLFQDVEES PASMEVLTQVVRPSTIITSQERAQVAFKKMVQGVLQFAVCDTAAASQLIKDGVTQVIVNE EGAVHMVAGEGSQFIMQEAETHGLRVPAEHMDLVESEGEISQIIVTEELVQAMVRESNSS FPEGATHYIVTELPPGVQEDTGVYSHTVIETASSPEILQAGAALSAEAVGSSSTEQLTSM VIYTQDGSPAATVIQSQRENSELQEA >ENSMUSP00000128871.1 pep:known chromosome:GRCm38:18:61555274:61590061:1 gene:ENSMUSG00000024576.14 transcript:ENSMUST00000165123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1a1 description:casein kinase 1, alpha 1 [Source:MGI Symbol;Acc:MGI:1934950] MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYE SKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQM ISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKN LTGTARYASINAHLGIEQSRRDDMESLGYVLMYFNRTSLPWQGLKAATKKQKYEKISEKK MSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAPDYMYLRQLFRILFRTLNHQYDYTFDWTM LKQKAAQQAASSSGQGQQAQTPTGF >ENSMUSP00000132083.1 pep:known chromosome:GRCm38:18:61555313:61588304:1 gene:ENSMUSG00000024576.14 transcript:ENSMUST00000165721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1a1 description:casein kinase 1, alpha 1 [Source:MGI Symbol;Acc:MGI:1934950] MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYE SKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQM ISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKN LTGTARYASINAHLGIEQSRRDDMESLGYVLMYFNRTSLPWQGLKAATKKQKYEKISEKK MSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAPDYMYLRQLFRILFRTLNHQYDYTFDWTM LKQKAAQQAASSSGQGQQAQTPTGKQTDKTKSNMKGF >ENSMUSP00000110901.2 pep:known chromosome:GRCm38:18:61555318:61582116:1 gene:ENSMUSG00000024576.14 transcript:ENSMUST00000115246.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1a1 description:casein kinase 1, alpha 1 [Source:MGI Symbol;Acc:MGI:1934950] MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYE SKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQM ISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKN LTGTARYASINAHLGIEQSRRDDMESLGYVLMYFNRTSLPWQGLKAATKKQKYEKISEKK MSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAPDYMYLRQLFRILFRTLNHQYDYTFDWTM LKQKAAQQAASSSGQGQQAQTPTGIKDEKLDPLS >ENSMUSP00000131687.1 pep:known chromosome:GRCm38:18:61555318:61585631:1 gene:ENSMUSG00000024576.14 transcript:ENSMUST00000166990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1a1 description:casein kinase 1, alpha 1 [Source:MGI Symbol;Acc:MGI:1934950] MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYE SKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQM ISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKN LTGTARYASINAHLGIEQSRRDDMESLGYVLMYFNRTSLPWQGLKAATKKQKYEKISEKK MSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAPDYMYLRQLFRILFRTLNHQYDYTFDWTM LKQKAAQQAASSSGQGQQAQTPTGKQTDKTKSYSEDALWNQRCRPDYKILKSNKRLKHTG RECLLVVEDWMLAC >ENSMUSP00000127144.1 pep:known chromosome:GRCm38:18:61555328:61582262:1 gene:ENSMUSG00000024576.14 transcript:ENSMUST00000163205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1a1 description:casein kinase 1, alpha 1 [Source:MGI Symbol;Acc:MGI:1934950] MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYE SKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQM ISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKLFLIDFGLAKKYRDNRTRQHIPYREDKN LTGTARYASINAHLGIEQSRRDDMESLGYVLMYFNRTSLPWQGLKAATKKQKYEKISEKK MSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAPDYMYLRQLFRILFRTLNHQYDYTFDWTM LKQKAAQQAASSSGQGQQAQTPTGKQTDKTKSNMKG >ENSMUSP00000127265.1 pep:known chromosome:GRCm38:18:61555339:61588182:1 gene:ENSMUSG00000024576.14 transcript:ENSMUST00000170862.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1a1 description:casein kinase 1, alpha 1 [Source:MGI Symbol;Acc:MGI:1934950] MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYE SKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQM ISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKCLESPVGKRKRSMTVSPSQDPSFSGLNQ LFLIDFGLAKKYRDNRTRQHIPYREDKNLTGTARYASINAHLGIEQSRRDDMESLGYVLM YFNRTSLPWQGLKAATKKQKYEKISEKKMSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAP DYMYLRQLFRILFRTLNHQYDYTFDWTMLKQKAAQQAASSSGQGQQAQTPTGKQTDKTKS NMKGF >ENSMUSP00000127463.1 pep:known chromosome:GRCm38:18:61555689:61587531:1 gene:ENSMUSG00000024576.14 transcript:ENSMUST00000167187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1a1 description:casein kinase 1, alpha 1 [Source:MGI Symbol;Acc:MGI:1934950] MASSSGSKAEFIVGGKYKLVRKIGSGSFGDIYLAINITNGEEVAVKLESQKARHPQLLYE SKLYKILQGGVGIPHIRWYGQEKDYNVLVMDLLGPSLEDLFNFCSRRFTMKTVLMLADQM ISRIEYVHTKNFIHRDIKPDNFLMGIGRHCNKCLESPVGKRKRSMTVSPSQDPSFSGLNQ LFLIDFGLAKKYRDNRTRQHIPYREDKNLTGTARYASINAHLGIEQSRRDDMESLGYVLM YFNRTSLPWQGLKAATKKQKYEKISEKKMSTPVEVLCKGFPAEFAMYLNYCRGLRFEEAP DYMYLRQLFRILFRTLNHQYDYTFDWTMLKQKAAQQAASSSGQGQQAQTPTGF >ENSMUSP00000129295.1 pep:known chromosome:GRCm38:18:61575517:61582118:1 gene:ENSMUSG00000024576.14 transcript:ENSMUST00000164253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1a1 description:casein kinase 1, alpha 1 [Source:MGI Symbol;Acc:MGI:1934950] XSINAHLGIEQSRRDDMESLGYVLMYFNRTSLPWQGLKAATKKQKYEKISEKKMSTPVEV LCKGFPAEFAMYLNYCRGLRFEEAPDYMYLRQLFRILFRTLNHQYDYTFDWTMLKQKAAQ QAASSSGQGQQAQTPTGKQTDKTKSNMKGIKDEKLDPLS >ENSMUSP00000029007.2 pep:known chromosome:GRCm38:2:172472520:172474331:1 gene:ENSMUSG00000027505.2 transcript:ENSMUST00000029007.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam209 description:family with sequence similarity 209 [Source:MGI Symbol;Acc:MGI:1923676] MRTLLRWCLFLSLCVSCACAFMFSSMREKTKESPGKVPCGGHFRIRQNLPENAQGWLGNK WLWLFVAIMIYVMLKFRGDGENKEQHPPGLRGCQLRSPPKKAQNISPSKDFTFNTLTQLE MELVKFVSKVRNLKVSMATNSNSRQQVPESPTNLYNNVTIYEIWGEEDSE >ENSMUSP00000037725.7 pep:known chromosome:GRCm38:7:27656375:27674594:-1 gene:ENSMUSG00000040390.13 transcript:ENSMUST00000036453.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k10 description:mitogen-activated protein kinase kinase kinase 10 [Source:MGI Symbol;Acc:MGI:1346879] MEEEEGAAAREWGATPAGPVWTAVFDYEAVGDEELTLRRGDRVQVLSQDCAVSGDEGWWT GQLPSGRVGVFPSNYVAPAAPAAPSDLQLPQEIPFHELQLEEIIGVGGFGKVYRAVWRGE EVAVKAARLDPERDPAVTAEQVRQEARLFGALQHPNIIALRGACLSPPNLCLVMEYARGG ALSRVLAGRRVPPHVLVNWAVQVARGMNYLHNDAPVPIIHRDLKSINILILEAIENHNLA DTVLKITDFGLAREWHKTTKMSAAGTYAWMAPEVIRLSLFSKSSDVWSFGVLLWELLTGE VPYREIDALAVAYGVAMNKLTLPIPSTCPEPFARLLEECWDPDPHGRPDFGSILKQLEVI EQSALFQMPLESFHSLQEDWKLEIQHMFDDLRTKEKELRSREEELLRAAQEQRFQEEQLR RREQELAEREMDIVERELHLLMSQLSQEKPRVRKRKGNFKRSRLLKLREGSSHISLPSGF EHKITVQASPTLDKRKGSDGASPPASPSIIPRLRAIRLTPMDCGGSSGSGTWSRSGPPKK EELVGGKKKGRTWGPSSTLQKERAGGEERLKALGEGSKQWSSSAPNLGKSPKHTPMAPGF ASLNEMEEFAEADEGNNVPPSPYSTPSYLKVPLPAEPSPCVQAPWEPPAVTPSRPGHGAR RRCDLALLSCATLLSAVGLGADVAEARAGDGEEQRRWLDSLFFPRPGRFPRGLSPTGRPG GRREDTAPGLGLAPSATLVSLSSVSDCNSTRSLLRSDSDEAAPAAPSPPPSPLAPSPSTN PLVDVELESFKKDPRQSLTPTHVTAAHAVSRGHRRTPSDGALRQREPLELTNHGPRDPLD FPRLPDPQALFPTRRRPLEFPGRPTTLTFAPRPRPAASRPRLDPWKLVSFGRTLSISPPS RPDTPESPGPPSVQPTLLDMDMEGQSQDNTVPLCGVYGSH >ENSMUSP00000146275.1 pep:known chromosome:GRCm38:7:27668148:27674581:-1 gene:ENSMUSG00000040390.13 transcript:ENSMUST00000138243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k10 description:mitogen-activated protein kinase kinase kinase 10 [Source:MGI Symbol;Acc:MGI:1346879] MEEEEGAAAREWGATPAGPVWTAVFDYEAVGDEELTLRRGDRVQVLSQDCAVSGDEGWWT GQLPSGRVGVFPSNYVAPAAPAAPSDLQLPQEIPFHELQLEEIIGVGGFGKVYRAVWRGE EVAVKAARLDPERDPAVTAEQVRQEARLFGALQHPNIIALRGACLSPPNLCLVMEYARGG ALSRVLAGRRVPPHVLVNWAVQVARGMNYLHNDAPVPIIHRDLKSINILILEAIENHNLA DTVLKITDFGLAREWHKTTKMSAAGTYAWMAPEVIRLSLFSKSSDVWRC >ENSMUSP00000103978.1 pep:known chromosome:GRCm38:7:27656664:27674598:-1 gene:ENSMUSG00000040390.13 transcript:ENSMUST00000108341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k10 description:mitogen-activated protein kinase kinase kinase 10 [Source:MGI Symbol;Acc:MGI:1346879] MEEEEGAAAREWGATPAGPVWTAVFDYEAVGDEELTLRRGDRVQVLSQDCAVSGDEGWWT GQLPSGRVGVFPSNYVAPAAPAAPSDLQLPQEIPFHELQLEEIIGVGGFGKVYRAVWRGE EVAVKAARLDPERDPAVTAEQVRQEARLFGALQHPNIIALRGACLSPPNLCLVMEYARGG ALSRVLAGRRVPPHVLVNWAVQVARGMNYLHNDAPVPIIHRDLKSINILILEAIENHNLA DTVLKITDFGLAREWHKTTKMSAAGTYAWMAPEVIRLSLFSKSSDVWSFGVLLWELLTGE VPYREIDALAVAYGVAMNKLTLPIPSTCPEPFARLLEECWDPDPHGRPDFGSILKQLEVI EQSALFQMPLESFHSLQEDWKLEIQHMFDDLRTKEKELRSREEELLRAAQEQRFQEEQLR RREQELAEREMDIVERELHLLMSQLSQEKPRVRKRKGNFKRSRLLKLREGSSHISLPSGF EHKITVQASPTLDKRKGSDGASPPASPSIIPRLRAIRLTPMDCGGSSGSGTWSRSGPPKK EELVGGKKKGRTWGPSSTLQKERAGGEERLKALGEGSKQWSSSAPNLGKSPKHTPMAPGF ASLNEMEEFAEADEGNNVPPSPYSTPSYLKVPLPAEPSPCVQAPWEPPAVTPSRPGHGAR RRCDLALLSCATLLSAVGLGADVAEARAGDGEEQRRWLDSLFFPRPGRFPRGLSPTGRPG GRREDTAPGLGLAPSATLVSLSSVSDCNSTRSLLRSDSDEAAPAAPSPPPSPLAPSPSTN PLVDVELESFKKDPRQSLTPTHVTAAHAVSRGHRRTPSDGALRQREPLELTNHGQGPRDP LDFPRLPDPQALFPTRRRPLEFPGRPTTLTFAPRPRPAASRPRLDPWKLVSFGRTLSISP PSRPDTPESPGPPSVQPTLLDMDMEGQSQDNTVPLCGVYGSH >ENSMUSP00000025779.4 pep:known chromosome:GRCm38:19:4097182:4099017:1 gene:ENSMUSG00000024856.10 transcript:ENSMUST00000025779.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap2 description:CDK2-associated protein 2 [Source:MGI Symbol;Acc:MGI:1098779] MSYKPIAPAPSSTPGSSTPGPGTPVPTAGSVPSPSGSVPGAAAPFRPLFNDFGPPSMGYV QAMKPPGSQGSQSTYTDLLSVIEEMGKEIRPTYAGSKSAMERLKRGIIHARALVRECLAE TERNART >ENSMUSP00000134093.1 pep:known chromosome:GRCm38:19:4097401:4098465:1 gene:ENSMUSG00000024856.10 transcript:ENSMUST00000174514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap2 description:CDK2-associated protein 2 [Source:MGI Symbol;Acc:MGI:1098779] MSYKPIAPAPSSTPGSSTPGPGTPVPTAGSVPSPSGSVPGAAAPFRPLFNDFGPPSMGYV QAMKPPGSQGSQSTYTDLLSVIEEMGKEIRPTYAGSKSAMERLKRGK >ENSMUSP00000134613.1 pep:known chromosome:GRCm38:19:4097402:4098193:1 gene:ENSMUSG00000024856.10 transcript:ENSMUST00000174149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap2 description:CDK2-associated protein 2 [Source:MGI Symbol;Acc:MGI:1098779] MSYKPIAPAPSSTPGSSTPGPGTPVPTAGSVPSPSGSVPGAAAPFRPLFNDFGPPSMGR >ENSMUSP00000133593.1 pep:known chromosome:GRCm38:19:4097402:4099010:1 gene:ENSMUSG00000024856.10 transcript:ENSMUST00000174799.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk2ap2 description:CDK2-associated protein 2 [Source:MGI Symbol;Acc:MGI:1098779] MSYKPIAPAPSSTPGSSTPGPGTPVPTAGSVPSPSGSVPGAAAPFRPLFNDFGPPSMGR >ENSMUSP00000135966.2 pep:known chromosome:GRCm38:10:41538849:41606595:-1 gene:ENSMUSG00000075225.11 transcript:ENSMUST00000179614.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc162 description:coiled-coil domain containing 162 [Source:MGI Symbol;Acc:MGI:1923223] MFKSLPEEEAFRALTLTLQLVASLHDIVAYTFSFAKLGNCPACFDLPLSPNPLMRDWGGA EGIGNELQELQKMIDSLQRPQDPSQVAQALLLRREVTLLQFDAAMRHLLRTFLAAGNAPA YQSVAESACYGLPPLSNALGKSIFSSQLSLPQPLDPWSPQAFALFPWRAFLEDGGPFPVI SSVPDTLEYDMQMCLCGLSDCDRRVAHGELVGVQMLMEDVLLGSCHVIRKASTEWQAALD NAQPDWSKLPGLYPNQLESHPKASALLEGQCDVVMSLSLLKSFLILWKRLEVLKEHWGRF KLRGQDINSALLHRQFSELYEAEILYPSMKALARQMGKEDEFEELMLRSQSILPPKGASE IEIKTQQLQKLLESLEIHMIQEVLRKVNREMTLFLSEKSKEESTLPTDRWKHQVMKENFS VMRPQIVERFVQRLMEDSQDDGPKITFRREHLEACILSLGCDVMARERSNFETYSMCYEH ILQHTRQKLSQKEQEVDLLRRSQVPSEDCAGQVAELSHDMIMEITALRAQLTDLEEENLN LKIQIRKEVQEEYRELVQALFLTCLRIKEKLDENQFNLIQKVCELIGEVRAEGIANVKQL KKTWGSARPDEETKENTAKEQLCALEQDHSSTLAALLCKARSLGRWRLAVQQAHLRGQLS RAEMESILSKKECLRIKLMAEQEAALLHQQLLAARQALTKAQTDNRKLWRQNDTQAQLLR ELEHRVTQDSVTRQQLDIIKTSGMEKLLKDVEQKEQKLQLLTEEAERASKRGQLQQKKMD RDLKQMRNRLAQERSVKLDAFQRVQELQSQLYDIQWPSVQMGSPVGLRSQTHCSLSSAST LSRHPHHHFSKTHFVGSKMTRRIQRPKTVPVKHNRRIEDGSLPSVKENVQLTTFQAQTAP SGISFRPESFSS >ENSMUSP00000092852.3 pep:known chromosome:GRCm38:10:41539415:41579207:-1 gene:ENSMUSG00000075225.11 transcript:ENSMUST00000095227.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc162 description:coiled-coil domain containing 162 [Source:MGI Symbol;Acc:MGI:1923223] XHMIQEVLRKVNREMTLFLSEKSKEESTLPTDRWKHQVMKENFSVMRPQIVERFVQRLME DSQDDGPKITFRREHLEACILSLGCDVMARERSNFETYSMCYEHILQHTRQKLSQKEQEV DLLRRSQVPSEDCAGQVAELSHDMIMEITALRAQLTDLEEENLNLKIQIRKEVQEEYREL VQALFLTCLRIKEKLDENQFNLIQKVCELIGEVRAEGIANVKQLKKTWGSARPDEETKEN TAKEQLCALEQDHSSTLAALLCKARSLGRWRLAVQQAHLRGQLSRAEMESILSKKECLRI KLMAEQEAALLHQQLLAARQALTKAQTDNRKLWRQNDTQMRNRLAQERSVKLDAFQRVQE LQSQLYDIQWPSVQMGSPVGLRSQTHCSLSSASTLSRHPHHHFSKTHFVGSKMTRRIQRP KTVPVKHNRRIEDGSLPSVKENVQLTTFQAQTAPSGISFRPER >ENSMUSP00000019955.9 pep:known chromosome:GRCm38:10:41550608:41709297:-1 gene:ENSMUSG00000075225.11 transcript:ENSMUST00000019955.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc162 description:coiled-coil domain containing 162 [Source:MGI Symbol;Acc:MGI:1923223] MIMEITALRAQLTDLEEENLNLKIQIRKEVQEEYRELVQALFLTCLRIKEKLDENQFNLI QKVCELIGEVRAEGIANVKQLKKTWGSARPDEETKENTAKESILSKKECLRIKLMAEQEA ALLHQQLLAARQALTKAQTDNRKLWRQNDTQAQLLRELEHRVTQDSVTRQQLDIIKTSGM EKLLKDVEQKEQKLQLLTEEAERASKRGQLQQKKMDRDLKQVRAADPDLKGLRSQLSMEQ LFPGLSVPLTAFSLLCQF >ENSMUSP00000097516.3 pep:known chromosome:GRCm38:10:41538849:41709300:-1 gene:ENSMUSG00000075225.11 transcript:ENSMUST00000099932.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc162 description:coiled-coil domain containing 162 [Source:MGI Symbol;Acc:MGI:1923223] MQMCLCGLSDCDRRVAHGELVGVQMLMEDVLLGSCHVIRKASTEWQAALDNAQPDWSKLP GLYPNQLESHPKASALLEGQCDVVMSLSLLKSFLILWKRLEVLKEHWGRFKLRGQDINSA LLHRQFSELYEAEILYPSMKALARQMGKEDEFEELMLRSQSILPPKGASEIEIKTQQLQK LLESLEIHMIQEVLRKVNREMTLFLSEKSKEESTLPTDRWKHQVMKENFSVMRPQIVERF VQRLMEDSQDDGPKITFRREHLEACILSLGCDVMARERSNFETYSMCYEHILQHTRQKLS QKEQEVDLLRRSQVPSEDCAGQVAELSHDMIMEITALRAQLTDLEEENLNLKIQIRKEVQ EEYRELVQALFLTCLRIKEKLDENQFNLIQKVCELIGEVRAEGIANVKQLKKTWGSARPD EETKENTAKEQLCALEQDHSSTLAALLCKARSLGRWRLAVQQAHLRGQLSRAEMESILSK KECLRIKLMAEQEAALLHQQLLAARQALTKAQTDNRKLWRQNDTQAQLLRELEHRVTQDS VTRQQLDIIKTSGMEKLLKDVEQKEQKLQLLTEEAERASKRGQLQQKKMDRDLKQMRNRL AQERSVKLDAFQRVQELQSQLYDIQWPSVQMGSPVGLRSQTHCSLSSASTLSRHPHHHFS KTHFVGSKMTRRIQRPKTVPVKHNRRIEDGSLPSVKENVQLTTFQAQTAPSGISFRPESF SS >ENSMUSP00000033689.2 pep:known chromosome:GRCm38:X:103321398:103330592:1 gene:ENSMUSG00000031326.2 transcript:ENSMUST00000033689.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdx4 description:caudal type homeobox 4 [Source:MGI Symbol;Acc:MGI:88362] MYGSCLLEKEAGMYPGTLRSPGGSSTAGVGTSGGSGSPLPASNFTAAPVYPHYVGYPHMS NMDPHGPSLGAWSSPYSPPREDWSTYPGPPSTMGTVPMNDMTSPVFGSPDYSTLGPTSGA SNGGSLPDAASESLVSLDSGTSGATSPSRSRHSPYAWMRKTVQVTGKTRTKEKYRVVYTD HQRLELEKEFHCNRYITIRRKSELAVNLGLSERQVKIWFQNRRAKERKMIKKKISQFENT GGSVQSDSGSISPGELPNAFFTTPSAVRGFQPIEIQQVIVSE >ENSMUSP00000111306.1 pep:known chromosome:GRCm38:X:7930120:7947889:-1 gene:ENSMUSG00000031161.15 transcript:ENSMUST00000115642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac6 description:histone deacetylase 6 [Source:MGI Symbol;Acc:MGI:1333752] MTSTGQDSSTRQRKSRHNPQSPLQESSATLKRGGKKCAVPHSSPNLAEVKKKGKMKKLSQ PAEEDLVVGLQGLDLNPETRVPVGTGLVFDEQLNDFHCLWDDSFPESPERLHAIREQLIL EGLLGRCVSFQARFAEKEELMLVHSLEYIDLMETTQYMNEGELRVLAETYDSVYLHPNSY SCACLATGSVLRLVDALMGAEIRNGMAVIRPPGHHAQHNLMDGYCMFNHLAVAARYAQKK HRIQRVLIVDWDVHHGQGTQFIFDQDPSVLYFSIHRYEHGRFWPHLKASNWSTIGFGQGQ GYTINVPWNQTGMRDADYIAAFLHILLPVASEFQPQLVLVAAGFDALHGDPKGEMAATPA GFAHLTHLLMGLAGGKLILSLEGGYNLRALAKGVSASLHTLLGDPCPMLESCVVPCASAQ TSIYCTLEALEPFWEVLERSVETQEEDEVEEAVLEEEEEEGGWEATALPMDTWPLLQNRT GLVYDEKMMSHCNLWDNHHPETPQRILRIMCHLEEVGLAARCLILPARPALDSELLTCHS AEYVEHLRTTEKMKTRDLHREGANFDSIYICPSTFACAKLATGAACRLVEAVLSGEVLNG IAVVRPPGHHAEPNAACGFCFFNSVAVAARHAQIIAGRALRILIVDWDVHHGNGTQHIFE DDPSVLYVSLHRYDRGTFFPMGDEGASSQVGRDAGIGFTVNVPWNGPRMGDADYLAAWHR LVLPIAYEFNPELVLISAGFDAAQGDPLGGCQVTPEGYAHLTHLLMGLAGGRIILILEGG YNLASISESMAACTHSLLGDPPPQLTLLRPPQSGALVSISEVIQVHRKYWRSLRLMKMED KEECSSSRLVIKKLPPTASPVSAKEMTTPKGKVPEESVRKTIAALPGKESTLGQAKSKMA KAVLAQGQSSEQAAKGTTLDLATSKETVGGATTDLWASAAAPENFPNQTTSVEALGETEP TPPASHTNKQTTGASPLQGVTAQQSLQLGVLSTLELSREAEEAHDSEEGLLGEAAGGQDM NSLMLTQGFGDFNTQDVFYAVTPLSWCPHLMAVCPIPAAGLDVSQPCKTCGTVQENWVCL TCYQVYCSRYVNAHMVCHHEASEHPLVLSCVDLSTWCYVCQAYVHHEDLQDVKNAAHQNK FGEDMPHSH >ENSMUSP00000121653.1 pep:known chromosome:GRCm38:X:7931359:7947889:-1 gene:ENSMUSG00000031161.15 transcript:ENSMUST00000145675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac6 description:histone deacetylase 6 [Source:MGI Symbol;Acc:MGI:1333752] MTSTGQDSSTRQRKSRHNPQSPLQESSATLKRGGKKCAVPHSSPNLAEVKKKGKMKKLSQ PAEEDLVVGLQGLDLNPETRVPVGTGLVFDEQLNDFHCLWDDSFPESPERLHAIREQLIL EGLLGRCVSFQARFAEKEELMLVHSLEYIDLMETTQYMNEGELRVLAETYDSVYLHPNSY SCACLATGSVLRLVDALMGAEIRNGMAVIRPPGHHAQHNLMDGYCMFNHLAVAARYAQKK HRIQRVLIVDWDVHHGQGTQFIFDQDPSVLYFSIHRYEHGRFWPHLKASNWSTIGFGQGQ GYTINVPWNQTGMRDADYIAAFLHILLPVASEFQPQLVLVAAGFDALHGDPKGEMAATPA GFAHLTHLLMGLAGGKLILSLEGGYNLRALAKGVSASLHTLLGDPCPMLESCVVPCASAQ TSIYCTLEALEPFWEVLERSVETQEEDEVEEAVLEEEEEEGGWEATALPMDTWPLLQNRT GLVYDEKMMSHCNLWDNHHPETPQRILRIMCHLEEVGLAARCLILPARPALDSELLTCHS AEYVEHLRTTEKMKTRDLHREGANFDSIYICPSTFACAKLATGAACRLVEAVLSGEVLNG IAVVRPPGHHAEPNAACGFCFFNSVAVAARHAQIIAGRALRILIVDWDVHHGNGTQHIFE DDPSVLYVSLHRYDRGTFFPMGDEGASSQVGRDAGIGFTVNVPWNGPRMGDADYLAAWHR LVLPIAYEFNPELVLISAGFDAAQGDPLGGCQVTPEGYAHLTHLLMGLAGGRIILILEGG YNLASISESMAACTHSLLGDPPPQLTLLRPPQSGALVSISEVIQVHRKYWRSLRLMKMED KEECSSSRLVIKKLPPTASPVSAKEMTTPKGKVPEESVRKTIAALPGKESTLGQAKSKMA KAVLAQGQSSEQAAKGTTLDLATSKETVGGATTDLWASAAAPENFPNQTTSVEALGETEP TPPASHTNKQTTGASPLQGVTAQQSLQLGVLSTLELSREAEEAHDSEEG >ENSMUSP00000120348.1 pep:known chromosome:GRCm38:X:7943423:7947553:-1 gene:ENSMUSG00000031161.15 transcript:ENSMUST00000133349.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac6 description:histone deacetylase 6 [Source:MGI Symbol;Acc:MGI:1333752] MTSTGQDSSTRQRKSRHNPQSPLQESSATLKRGGKKCAVPHSSPNLAEVKKKGKMKKLSQ PAEEDLVVGLQGLDLNPETRVPVGTGLVFDEQLNDFHCLWDDSFPESPERLHAIREQLIL EGLLGRCVSFQARFAEKEELMLVHSLEYIDLMETTQYMNEGELRVLAETYDSVYLHPNSY SCACLATGSVLRLVDAL >ENSMUSP00000123482.1 pep:known chromosome:GRCm38:X:7946994:7947767:-1 gene:ENSMUSG00000031161.15 transcript:ENSMUST00000154244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac6 description:histone deacetylase 6 [Source:MGI Symbol;Acc:MGI:1333752] MTSTGQDSSTRQRKSRHNPQSPLQESSATLRGGKKCAVPHS >ENSMUSP00000033501.8 pep:known chromosome:GRCm38:X:7930122:7947889:-1 gene:ENSMUSG00000031161.15 transcript:ENSMUST00000033501.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac6 description:histone deacetylase 6 [Source:MGI Symbol;Acc:MGI:1333752] MTSTGQDSSTRQRKSRHNPQSPLQESSATLKRGGKKCAVPHSSPNLAEVKKKGKMKKLSQ PAEEDLVVGLQGLDLNPETRVPVGTGLVFDEQLNDFHCLWDDSFPESPERLHAIREQLIL EGLLGRCVSFQARFAEKEELMLVHSLEYIDLMETTQYMNEGELRVLAETYDSVYLHPNSY SCACLATGSVLRLVDALMGAEIRNGMAVIRPPGHHAQHNLMDGYCMFNHLAVAARYAQKK HRIQRVLIVDWDVHHGQGTQFIFDQDPSVLYFSIHRYEHGRFWPHLKASNWSTIGFGQGQ GYTINVPWNQTGMRDADYIAAFLHILLPVASEFQPQLVLVAAGFDALHGDPKGEMAATPA GFAHLTHLLMGLAGGKLILSLEGGYNLRALAKGVSASLHTLLGDPCPMLESCVVPCASAQ TSIYCTLEALEPFWEVLERSVETQEEDEVEEAVLEEEEEEGGWEATALPMDTWPLLQNRT GLVYDEKMMSHCNLWDNHHPETPQRILRIMCHLEEVGLAARCLILPARPALDSELLTCHS AEYVEHLRTTEKMKTRDLHREGANFDSIYICPSTFACAKLATGAACRLVEAVLSGEVLNG IAVVRPPGHHAEPNAACGFCFFNSVAVAARHAQIIAGRALRILIVDWDVHHGNGTQHIFE DDPSVLYVSLHRYDRGTFFPMGDEGASSQVGRDAGIGFTVNVPWNGPRMGDADYLAAWHR LVLPIAYEFNPELVLISAGFDAAQGDPLGGCQVTPEGYAHLTHLLMGLAGGRIILILEGG YNLASISESMAACTHSLLGDPPPQLTLLRPPQSGALVSISEVIQVHRKYWRSLRLMKMED KEECSSSRLVIKKLPPTASPVSAKEMTTPKGKVPEESVRKTIAALPGKESTLGQAKSKMA KAVLAQGQSSEQAAKGTTLDLATSKETVGGATTDLWASAAAPENFPNQTTSVEALGETEP TPPASHTNKQTTGASPLQGVTAQQSLQLGVLSTLELSREAEEAHDSEEGLLGEAAGGQDM NSLMLTQGFGDFNTQDVFYAVTPLSWCPHLMAVCPIPAAGLDVSQPCKTCGTVQENWVCL TCYQVYCSRYVNAHMVCHHEASEHPLVLSCVDLSTWCYVCQAYVHHEDLQDVKNAAHQNK FGEDMPHSH >ENSMUSP00000032263.5 pep:known chromosome:GRCm38:6:129512555:129519227:1 gene:ENSMUSG00000030160.7 transcript:ENSMUST00000032263.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem52b description:transmembrane protein 52B [Source:MGI Symbol;Acc:MGI:2442838] MFSLMTAWAQVLMASGLFYFIQLPGARSEENCVNTEHCLTTDWVHLWYIWLLVVVGALLL LCGLTSVCFRCCLSRPENGEDGAPPPYEVTVIAFDHDSTLQSTITSLQSVFGPAARRILA VAHAHSSLGQLPSSVDTLPGYEEALRMSRFTVARCGQKVPDLPSVPEEKQLPPTGKESPG TEPPSH >ENSMUSP00000145486.1 pep:known chromosome:GRCm38:6:129512613:129517453:1 gene:ENSMUSG00000030160.7 transcript:ENSMUST00000204741.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem52b description:transmembrane protein 52B [Source:MGI Symbol;Acc:MGI:2442838] MSRFTVARCGQKVPDLPSVPEEKQLPPTGKESPGTEPPSH >ENSMUSP00000142570.1 pep:known chromosome:GRCm38:5:136693146:136701094:1 gene:ENSMUSG00000005474.9 transcript:ENSMUST00000196068.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl10 description:myosin, light chain 10, regulatory [Source:MGI Symbol;Acc:MGI:1891705] MFDQSQIQEFKEAFTIMDQNRDGFIDKEDLRDTFAALGRINVKNEELEAMVKEAPGPINF TVFLTMFGEKLKGSHCAELFYESSRPQSRGPLWGQGREWLAEARTQKRLYCTPSKCLTLK EKALSRLTSLRKSL >ENSMUSP00000005611.5 pep:known chromosome:GRCm38:5:136693178:136700992:1 gene:ENSMUSG00000005474.9 transcript:ENSMUST00000005611.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl10 description:myosin, light chain 10, regulatory [Source:MGI Symbol;Acc:MGI:1891705] MFDQSQIQEFKEAFTIMDQNRDGFIDKEDLRDTFAALGRINVKNEELEAMVKEAPGPINF TVFLTMFGEKLKGTDPEETILHAFKVFDTEGKGFVKADFIKEKLMTQADRFSEEEVKQMF AAFPPDVCGNLDYRNLCYVITHGEEKD >ENSMUSP00000142495.1 pep:known chromosome:GRCm38:5:136693676:136701094:1 gene:ENSMUSG00000005474.9 transcript:ENSMUST00000196436.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl10 description:myosin, light chain 10, regulatory [Source:MGI Symbol;Acc:MGI:1891705] MDQNRDGFIDKEDLRDTFAALGRINVKNEELEAMVKEAPGPINFTVFLTMFGEKLKGTDP EETILHAFKVFDTEGKGFVKADFIKEKLMTQADRFSEEEVKQMFAAFPPDVCGNLDYRNL CYVITHGEEKD >ENSMUSP00000143165.1 pep:known chromosome:GRCm38:5:136694177:136701094:1 gene:ENSMUSG00000005474.9 transcript:ENSMUST00000197186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl10 description:myosin, light chain 10, regulatory [Source:MGI Symbol;Acc:MGI:1891705] MDQNRDGFIDKEDLRDTFAALGRINVKNEELEAMVKEAPGPINFTVFLTMFGEKLKGTDP EETILHAFKVFDTEGKGFVKADFIKEKLMTQADRFSEEEVKQMFAAFPPDVCGNLDYRNL CYVITHGEEKD >ENSMUSP00000026973.7 pep:known chromosome:GRCm38:5:139017306:139129759:-1 gene:ENSMUSG00000025855.13 transcript:ENSMUST00000026973.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1b description:protein kinase, cAMP dependent regulatory, type I beta [Source:MGI Symbol;Acc:MGI:97759] MASPSCFHSEDEDSLKGCEMYVQKHGIQQVLKECIVHLCVAKPDRPLRFLREHFEKLEKE ENRQILARQKSNSQCDSHDEEISPTPPNPVVKARRRRGGVSAEVYTEEDAVSYVRKVIPK DYKTMTALAKAISKNVLFSHLDDNERSDIFDAMFPVTHIGGETVIQQGNEGDNFYVIDQG EVDVYVNGEWVTNISEGGSFGELALIYGTPRAATVKAKTDLKLWGIDRDSYRRILMGSTL RKRKMYEEFLSKVSILESLEKWERLTVADALEPVQFEDGEKIVVQGEPGDDFYIITEGTA SVLQRRSPNEEYVEVGRLGPSDYFGEIALLLNRPRAATVVARGPLKCVKLDRPRFERVLG PCSEILKRNIQRYNSFISLTV >ENSMUSP00000106515.1 pep:known chromosome:GRCm38:5:139017308:139130386:-1 gene:ENSMUSG00000025855.13 transcript:ENSMUST00000110890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1b description:protein kinase, cAMP dependent regulatory, type I beta [Source:MGI Symbol;Acc:MGI:97759] MASPSCFHSEDEDSLKGCEMYVQKHGIQQVLKECIVHLCVAKPDRPLRFLREHFEKLEKE ENRQILARQKSNSQCDSHDEEISPTPPNPVVKARRRRGGVSAEVYTEEDAVSYVRKVIPK DYKTMTALAKAISKNVLFSHLDDNERSDIFDAMFPVTHIGGETVIQQGNEGDNFYVIDQG EVDVYVNGEWVTNISEGGSFGELALIYGTPRAATVKAKTDLKLWGIDRDSYRRILMGSTL RKRKMYEEFLSKVSILESLEKWERLTVADALEPVQFEDGEKIVVQGEPGDDFYIITEGTA SVLQRRSPNEEYVEVGRLGPSDYFGEIALLLNRPRAATVVARGPLKCVKLDRPRFERVLG PCSEILKRNIQRYNSFISLTV >ENSMUSP00000106514.1 pep:known chromosome:GRCm38:5:139017317:139114054:-1 gene:ENSMUSG00000025855.13 transcript:ENSMUST00000110889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1b description:protein kinase, cAMP dependent regulatory, type I beta [Source:MGI Symbol;Acc:MGI:97759] MTALAKAISKNVLFSHLDDNERSDIFDAMFPVTHIGGETVIQQGNEGDNFYVIDQGEVDV YVNGEWVTNISEGGSFGELALIYGTPRAATVKAKTDLKLWGIDRDSYRRILMGSTLRKRK MYEEFLSKVSILESLEKWERLTVADALEPVQFEDGEKIVVQGEPGDDFYIITEGTASVLQ RRSPNEEYVEVGRLGPSDYFGEIALLLNRPRAATVVARGPLKCVKLDRPRFERVLGPCSE ILKRNIQRYNSFISLTV >ENSMUSP00000123286.1 pep:known chromosome:GRCm38:5:139042451:139127805:-1 gene:ENSMUSG00000025855.13 transcript:ENSMUST00000148002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1b description:protein kinase, cAMP dependent regulatory, type I beta [Source:MGI Symbol;Acc:MGI:97759] MASPSCFHSEDEDSLKGCEMYVQKHGIQQVLKECIVHLCVAKPDRPLRFLREHFEKLEKE ENRQILARQKSNSQCDSHDEEISPTPPNPVVKARRRRGGVSAEVYTEEDAVSYVRKVIPK DYKTMTALAKAISKNVLFSHLDDNERSDIFDAMFPVTHIGGETVIQQGNEGDNFYVIDQG EVDVYVNGEWVTNISEGGSFGELALIYGTPRAATVKAKTDLKLWGIDRDSYRRILMGSTL RKRKMYEEFLS >ENSMUSP00000121093.1 pep:known chromosome:GRCm38:5:139050662:139130159:-1 gene:ENSMUSG00000025855.13 transcript:ENSMUST00000129851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1b description:protein kinase, cAMP dependent regulatory, type I beta [Source:MGI Symbol;Acc:MGI:97759] MASPSCFHSEDEDSLKGCEMYVQKHGIQQVLKECIVHLCVAKPDRPLRFLREHFEKLEKE ENRQILARQKSNSQCDSHDEEISPTPPNPVVKARRRRGGVSAEVYTEEDAVSYVRKVIPK DYKTMTALAKAISKNVLFSHLDDNERSDIFDAMFPVTHIGGETVIQQGNEGDNFYVIDQG EVDVYVNGEWVTNISEGGSFGELALIYGTPRAATVKAKTDLKLWGIDRDSYRRIL >ENSMUSP00000117412.1 pep:known chromosome:GRCm38:5:139062596:139112644:-1 gene:ENSMUSG00000025855.13 transcript:ENSMUST00000141985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1b description:protein kinase, cAMP dependent regulatory, type I beta [Source:MGI Symbol;Acc:MGI:97759] MTALAKAISKNVLFSHLDDNERSDIFDAMFPVTHIGGETVIQQGN >ENSMUSP00000116727.1 pep:known chromosome:GRCm38:5:139108484:139130576:-1 gene:ENSMUSG00000025855.13 transcript:ENSMUST00000147505.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1b description:protein kinase, cAMP dependent regulatory, type I beta [Source:MGI Symbol;Acc:MGI:97759] MASPSCFHSEDEDSLKGCEMYVQKHGIQQVLKECIVHLCVAKPDRPLRFLREHFEKLEKE ENRQILARQKSNSQCDSHDEEISPTPPNPVVKARRRRGGVSAEVYTEEDAVSYVRK >ENSMUSP00000122693.1 pep:known chromosome:GRCm38:5:139108511:139150001:-1 gene:ENSMUSG00000025855.13 transcript:ENSMUST00000155833.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1b description:protein kinase, cAMP dependent regulatory, type I beta [Source:MGI Symbol;Acc:MGI:97759] MASPSCFHSEDEDSLKGCEMYVQKHGIQQVLKECIVHLCVAKPDRPLRFLREHFEKLEKE ENRQILARQKSNSQCDSHDEEISPTPPNPVVKARRRRGGVSAEVYTE >ENSMUSP00000116022.1 pep:known chromosome:GRCm38:5:139108577:139130383:-1 gene:ENSMUSG00000025855.13 transcript:ENSMUST00000134762.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1b description:protein kinase, cAMP dependent regulatory, type I beta [Source:MGI Symbol;Acc:MGI:97759] MASPSCFHSEDEDSLKGCEMYVQKHGIQQVLKECIVHLCVAKPDRPLRFLREHFEKLEKE ENRQILARQKSNSQCDSHDEEISPT >ENSMUSP00000008088.7 pep:known chromosome:GRCm38:7:27653915:27657346:1 gene:ENSMUSG00000007944.8 transcript:ENSMUST00000008088.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc9b description:tetratricopeptide repeat domain 9B [Source:MGI Symbol;Acc:MGI:1920282] MQRGALSPVLMLSAAPEPPPRPPPALSPPGPGSAPRHGSARSGPAPEPSGGLAAALDSSL RAAVAFKAEGQRCYREKKFREAIGKYHRALLQLKAAQGARPGGLPTPSPGPTTSPGPARL SEEQRRLVENTEVECYDSLTACLLQSELVNYERVREYCLKVLEKQQGNFKATYRAGIAFY HLGDYARALRYLQEARSREPTDTNVLRYIQLTQLKMNRCSLQREDSDGGTGGPARNVVG >ENSMUSP00000034308.8 pep:known chromosome:GRCm38:8:117095854:117133720:1 gene:ENSMUSG00000031845.15 transcript:ENSMUST00000034308.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bco1 description:beta-carotene oxygenase 1 [Source:MGI Symbol;Acc:MGI:1926923] MEIIFGQNKKEQLEPVQAKVTGSIPAWLQGTLLRNGPGMHTVGESKYNHWFDGLALLHSF SIRDGEVFYRSKYLQSDTYIANIEANRIVVSEFGTMAYPDPCKNIFSKAFSYLSHTIPDF TDNCLINIMKCGEDFYATTETNYIRKIDPQTLETLEKVDYRKYVAVNLATSHPHYDEAGN VLNMGTSVVDKGRTKYVIFKIPATVPDSKKKGKSPVKHAEVFCSISSRSLLSPSYYHSFG VTENYVVFLEQPFKLDILKMATAYMRGVSWASCMSFDREDKTYIHIIDQRTRKPVPTKFY TDPMVVFHHVNAYEEDGCVLFDVIAYEDSSLYQLFYLANLNKDFEEKSRLTSVPTLRRFA VPLHVDKDAEVGSNLVKVSSTTATALKEKDGHVYCQPEVLYEGLELPRINYAYNGKPYRY IFAAEVQWSPVPTKILKYDILTKSSLKWSEESCWPAEPLFVPTPGAKDEDDGVILSAIVS TDPQKLPFLLILDAKSFTELARASVDADMHLDLHGLFIPDADWNAVKQTPAETQEVENSD HPTDPTAPELSHSENDFTAGHGGSSL >ENSMUSP00000134815.1 pep:known chromosome:GRCm38:8:117095998:117133316:1 gene:ENSMUSG00000031845.15 transcript:ENSMUST00000176860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bco1 description:beta-carotene oxygenase 1 [Source:MGI Symbol;Acc:MGI:1926923] MEIIFGQNKKEQLEPVQAKVTGSIPAWLQGTLLRNGPGMHTVGESKYNHWFDGLALLHSF SIRDGEVFYRSKYLQSDTYIANIEANRIVVSEFGTMAYPDPCKNIFSKAFSYLSHTIPDF TDNCLINIMKCGEDFYATTETNYIRKIDPQTLETLEKVDYRKYVAVNLATSHPHYDEAGN VLNMGTSVVDKGRTKYVIFKIPATVPDSKKKGKSPVKHAEVFCSISSRSLLSPSYYHSFG VTENYVVFLEQPFKLDILKMATAYMRGVSWASCMSFDREDKTYIHIIDQRTRKPVPTKFY TDPMVVFHHVNAYEEDGCVLFDVIAYEDSSLYQLFYLANLNKDFEEKSRLTSVPTLRRFA VPLHVDKDAEVGSNLVKVSSTTATALKEKDGHVYCQPEVLYEGLELPRINYAYNGKPYRY IFAAEVQWSPVPTKILKYDILTKSSLKWSEESCWPAEPLFVPTPGAKDEDDVPCRPSRDC GVQGPHRKSHCQLSIACVPEISAFGRQTEDNQGSTVILRYTENLNY >ENSMUSP00000132042.1 pep:known chromosome:GRCm38:8:117095865:117131218:1 gene:ENSMUSG00000031845.15 transcript:ENSMUST00000167370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bco1 description:beta-carotene oxygenase 1 [Source:MGI Symbol;Acc:MGI:1926923] MEIIFGQNKKEQLEPVQAKVTGSIPAWLQGTLLRNGPGMHTVGESKYNHWFDGLALLHSF SIRDGEVFYRSKYLQSDTYIANIEANRIVVSEFGTMAYPDPCKNIFSKAFSYLSHTIPDF TDNCLINIMKCGEDFYATTETNYIRKIDPQTLETLEKVDYRKYVAVNLATSHPHYDEAGN VLNMGTSVVDKGRTKYVIFKIPATVPDSKKKGKSPVKHAEVFCSISSRSLLSPSYYHSFG VTENYVVFLEQPFKLDILKMATAYMRGVSWASCMSFDREDKTYIHIIDQRTRKPVPTKFY TDPMVVFHHVNAYEEDGCVLFDVIAYEDSSLYQLFYLANLNKDFEEKSRLTSVPTLRRFA VPLHVDKDAEVGSNLVKVSSTTATALKEKDGHVYCQPEVLYEGLELPRINYAYNGKPYRY IFAAEVQWSPVPTKILKYDILTKSSLKWSEESCWPAEPLFVPTPGAKDEDDVPCRPSRDC GVQGPHRKSHCQLSIACVPEISAFGRQTEDNQGSTVILRYTENLNY >ENSMUSP00000106425.1 pep:known chromosome:GRCm38:2:119655446:119662820:-1 gene:ENSMUSG00000027305.9 transcript:ENSMUST00000110801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf1 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, assembly factor 1 [Source:MGI Symbol;Acc:MGI:1916952] MSSIHKLLTGIYIHKNFLRPRAALPPFVGSHCVDYSSSSLQKKVTSVDRASQQGKTEEGL QGHDHKEVALDAPSPDRTPEVSFDKAIRDEAIEHFRRLKDEIVAHLRGPDGRPLQEVIME QARVVWQFREKEDLDKWIVTSDKTIGGRSEIFLKMSKNNRSALLYGTLSSEPPQDGDSRQ SGYCAMISRIPRGAFERKLSYDWSQFNTLYLRVRGDGRPWMVNIRQDTEFIQRKNQMYSY FMFTRGGPYWQEVKIPFSKFFFSNQGRVRDVQGPLVLDKISSIGFTLSDKVDGPFFLEID FIGVFTDPAHTEEFAYENSPVLNPRLFR >ENSMUSP00000106426.1 pep:known chromosome:GRCm38:2:119655446:119662821:-1 gene:ENSMUSG00000027305.9 transcript:ENSMUST00000110802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf1 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, assembly factor 1 [Source:MGI Symbol;Acc:MGI:1916952] MSSIHKLLTGIYIHKNFLRPRAALPPFVGSHCVDYSSSSLQKKVTSVDRASQQGKTEEGL QGHDHKEVALDAPSPDRTPEVSFDKAIRDEAIEHFRRLKDEIVAHLRGPDGRPLQEVIME QARVVWQFREKEDLDKWIVTSDKTIGGRSEIFLKMSKNNRSALLYGTLSSEPPQDGDSRQ SGYCAMISRIPRGAFERKLSYDWSQFNTLYLRVRGDGRPWMVNIRQDTEFIQRKNQMYSY FMFTRGGPYWQEVKIPFSKFFFSNQGRVRDVQGPLVLDKISSIGFTLSDKVDGPFFLEID FIGVFTDPAHTEEFAYENSPVLNPRLFR >ENSMUSP00000028768.1 pep:known chromosome:GRCm38:2:119655451:119662798:-1 gene:ENSMUSG00000027305.9 transcript:ENSMUST00000028768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf1 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, assembly factor 1 [Source:MGI Symbol;Acc:MGI:1916952] MAMSSIHKLLTGIYIHKNFLRPRAALPPFVGSHCVDYSSSSLQKKVTSVDRASQQGKTEE GLQGHDHKEVALDAPSPDRTPEVSFDKAIRDEAIEHFRRLKDEIVAHLRGPDGRPLQEVI MEQARVVWQFREKEDLDKWIVTSDKTIGGRSEIFLKMSKNNRSALLYGTLSSEPPQDGDS RQSGYCAMISRIPRGAFERKLSYDWSQFNTLYLRVRGDGRPWMVNIRQDTEFIQRKNQMY SYFMFTRGGPYWQEVKIPFSKFFFSNQGRVRDVQGPLVLDKISSIGFTLSDKVDGPFFLE IDFIGVFTDPAHTEEFAYENSPVLNPRLFR >ENSMUSP00000140066.1 pep:known chromosome:GRCm38:2:89721165:89722109:-1 gene:ENSMUSG00000100224.1 transcript:ENSMUST00000187990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1252 description:olfactory receptor 1252 [Source:MGI Symbol;Acc:MGI:3031086] MGQKNNVTEFILLGLTQDPAGQKALFVMFLLIYIVTIVGNLLIVGTVIASPSLGSPMYFF LAFLSLMDAVYSTAILPKLLTDLLCDKKTISFTACLVQLFVEHLFGGSEVFILVVMAYDR YVAICKPLHYLTIMNRQVCILLLVVSWAGGFAHALLQVISVYILPFCGPNVIDHFGCDMY PLLGLACTDTYFLGLTVVGNNGAMSIVVFVLLLVSYGIILNSLKTHSQEGRRKALSTCSS HIMVVVCFFVPCIFMYVRPVSNFPIDKYITVFYTIFTPMLNPLIYTLRNMEIKICMAKLW SKIFTKDIKRDSHH >ENSMUSP00000003640.2 pep:known chromosome:GRCm38:7:19302696:19310051:-1 gene:ENSMUSG00000003545.3 transcript:ENSMUST00000003640.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fosb description:FBJ osteosarcoma oncogene B [Source:MGI Symbol;Acc:MGI:95575] MFQAFPGDYDSGSRCSSSPSAESQYLSSVDSFGSPPTAAASQECAGLGEMPGSFVPTVTA ITTSQDLQWLVQPTLISSMAQSQGQPLASQPPAVDPYDMPGTSYSTPGLSAYSTGGASGS GGPSTSTTTSGPVSARPARARPRRPREETLTPEEEEKRRVRRERNKLAAAKCRNRRRELT DRLQAETDQLEEEKAELESEIAELQKEKERLEFVLVAHKPGCKIPYEEGPGPGPLAEVRD LPGSTSAKEDGFGWLLPPPPPPPLPFQSSRDAPPNLTASLFTHSEVQVLGDPFPVVSPSY TSSFVLTCPEVSAFAGAQRTSGSEQPSDPLNSPSLLAL >ENSMUSP00000147210.1 pep:known chromosome:GRCm38:7:19302701:19310046:-1 gene:ENSMUSG00000003545.3 transcript:ENSMUST00000207334.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fosb description:FBJ osteosarcoma oncogene B [Source:MGI Symbol;Acc:MGI:95575] MFQAFPGDYDSGSRCSSSPSAESQYLSSVDSFGSPPTAAASQECAGLGEMPGSFVPTVTA ITTSQDLQWLVQPTLISSMAQSQGQPLASQPPAVDPYDMPGTSYSTPGLSAYSTGGASGS GGPSTSTTTSGPVSARPARARPRRPREETETDQLEEEKAELESEIAELQKEKERLEFVLV AHKPGCKIPYEEGPGPGPLAEVRDLPGSTSAKEDGFGWLLPPPPPPPLPFQSSRDAPPNL TASLFTHSEVQVLGDPFPVVSPSYTSSFVLTCPEVSAFAGAQRTSGSEQPSDPLNSPSLL AL >ENSMUSP00000146525.1 pep:known chromosome:GRCm38:7:19302702:19310046:-1 gene:ENSMUSG00000003545.3 transcript:ENSMUST00000208505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fosb description:FBJ osteosarcoma oncogene B [Source:MGI Symbol;Acc:MGI:95575] MFQAFPGDYDSGSRCSSSPSAESQYLSSVDSFGSPPTAAASQECAGLGEMPGSFVPTVTA ITTSQDLQWLVQPTLISSMAQSQGQPLASQPPAVDPYDMPGTSYSTPGLSAYSTGGASGS GGPSTSTTTSGPVSARPARARPRRPREETETDQLEEEKAELESEIAELQKEKERLEFVLV AHKPGCKIPYEEGPGPGPLAE >ENSMUSP00000146949.1 pep:known chromosome:GRCm38:7:19302702:19310046:-1 gene:ENSMUSG00000003545.3 transcript:ENSMUST00000207716.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fosb description:FBJ osteosarcoma oncogene B [Source:MGI Symbol;Acc:MGI:95575] MFQAFPGDYDSGSRCSSSPSAESQYLSSVDSFGSPPTAAASQSQGQPLASQPPAVDPYDM PGTSYSTPGLSAYSTGGASGSGGPSTSTTTSGPVSARPARARPRRPREETETDQLEEEKA ELESEIAELQKEKERLEFVLVAHKPGCKIPYEEGPGPGPLAEVRDLPGSTSAKEDGFGWL LPPPPPPPLPFQSSRDAPPNLTASLFTHSEVQVLGDPFPVVSPSYTSSFVLTCPEVSAFA GAQRTSGSEQPSDPLNSPSLLAL >ENSMUSP00000146569.1 pep:known chromosome:GRCm38:7:19302702:19310046:-1 gene:ENSMUSG00000003545.3 transcript:ENSMUST00000208326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fosb description:FBJ osteosarcoma oncogene B [Source:MGI Symbol;Acc:MGI:95575] MFQAFPGDYDSGSRCSSSPSAESQYLSSVDSFGSPPTAAASQSQGQPLASQPPAVDPYDM PGTSYSTPGLSAYSTGGASGSGGPSTSTTTSGPVSARPARARPRRPREETLTPEEEEKRR VRRERNKLAAAKCRNRRRELTDRLQAETDQLEEEKAELESEIAELQKEKERLEFVLVAHK PGCKIPYEEGPGPGPLAEVRDLPGSTSAKEDGFGWLLPPPPPPPLPFQSSRDAPPNLTAS LFTHSEVQVLGDPFPVVSPSYTSSFVLTCPEVSAFAGAQRTSGSEQPSDPLNSPSLLAL >ENSMUSP00000146789.1 pep:known chromosome:GRCm38:7:19304797:19309600:-1 gene:ENSMUSG00000003545.3 transcript:ENSMUST00000208446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fosb description:FBJ osteosarcoma oncogene B [Source:MGI Symbol;Acc:MGI:95575] MFQAFPGDYDSGSRCSSSPSAESQYLSSVDSFGSPPTAAASQECAGLGEMPGSFVPTVTA ITTSQDLQWLVQPTLISSMAQSQGQPLASQPPAVDPYDMPGTSYSTPGLSAYSTGGASGS GGPSTSTTTSGPVSARPARARPRRPREETLTPEEEEKRRVRRERNKLAAAKCRNRRRELT DRLQAETDQLEEEKAELESEIAELQKEKERLEFVLVAHKPGCKIPYEEGPGPGPLAE >ENSMUSP00000114513.1 pep:known chromosome:GRCm38:7:79391929:79401886:1 gene:ENSMUSG00000039187.16 transcript:ENSMUST00000126456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fanci description:Fanconi anemia, complementation group I [Source:MGI Symbol;Acc:MGI:2384790] MDLKILSLATDKTTDKLQEFLQTLKDDDLASLLQNQAVKGRAVGTLLRAVLKGSPCSEED GALRRYKIYSCCI >ENSMUSP00000114122.1 pep:known chromosome:GRCm38:7:79392308:79409582:1 gene:ENSMUSG00000039187.16 transcript:ENSMUST00000118959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fanci description:Fanconi anemia, complementation group I [Source:MGI Symbol;Acc:MGI:2384790] MDLKILSLATDKTTDKLQEFLQTLKDDDLASLLQNQAVKGRAVGTLLRAVLKGSPCSEED GALRRYKIYSCCIQLVESGDLQQDVASEIIGLLMLEVHHFPGPLLVDLASDFVGAVREDR LVNGKSLELLPIILTALATKKEVLACGKGDLNGEEYKRQLIDTLCSVRWPQRYMIQLTSV FKDVCLTPEEMNLVVAKVLTMFSKLNLQEIPPLVYQLLVLSSKGSRRSVLDGIIAFFREL DKQHREEQSSDELSELITAPADELYHVEGTVILHIVFAIKLDCELGRELLKHLKVALRCF >ENSMUSP00000044931.6 pep:known chromosome:GRCm38:7:79392312:79450264:1 gene:ENSMUSG00000039187.16 transcript:ENSMUST00000036865.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fanci description:Fanconi anemia, complementation group I [Source:MGI Symbol;Acc:MGI:2384790] MDLKILSLATDKTTDKLQEFLQTLKDDDLASLLQNQAVKGRAVGTLLRAVLKGSPCSEED GALRRYKIYSCCIQLVESGDLQQDVASEIIGLLMLEVHHFPGPLLVDLASDFVGAVREDR LVNGKSLELLPIILTALATKKEVLACGKGDLNGEEYKRQLIDTLCSVRWPQRYMIQLTSV FKDVCLTPEEMNLVVAKVLTMFSKLNLQEIPPLVYQLLVLSSKGSRRSVLDGIIAFFREL DKQHREEQSSDELSELITAPADELYHVEGTVILHIVFAIKLDCELGRELLKHLKAGQQGD PSKCLCPFSIALLLSLTRIQRFEEQVFDLLKTSVVKSFKDLQLLQGSKFLQTLVPQRTCV STMILEVVRNSVHSWDHVTQGLIEFGFILMDSYGPKKILDGKAVEIGTSLSKMTNQHACK LGANILLETFKIHEMIRQEILEQVLNRVVTRTSSPINHFLDLFSDIIMYAPLILQNCSKV TETFDYLTFLPLQTVQGLLKAVQPLLKISMSMRDSLILVLRKAMFASQLDARKSAVAGFL LLLKNFKVLGSLPSSQCTQSIGVTQVRVDVHSRYSAVANETFCLEIIDSLKRSLGQQADI RLMLYDGFYDVLRRNSQLASSIMQTLFSQLKQFYEPEPDLLPPLKLGACVLTQGSQIFLQ EPLDHLLSCIQHCLAWYKSRVVPLQQGDEGEEEEEELYSELDDMLESITVRMIKSELEDF ELDKSADFSQNTNVGIKNNICACLIMGVCEVLMEYNFSISNFSKSKFEEILSLFTCYKKF SDILSEKAGKGKAKMTSKVSDSLLSLKFVSDLLTALFRDSIQSHEESLSVLRSSGEFMHY AVNVTLQKIQQLIRTGHVSGPDGQNPDKIFQNLCDITRVLLWRYTSIPTSVEESGKKEKG KSISLLCLEGLQKTFSVVLQFYQPKVQQFLQALDVMGTEEEEAGVTVTQRASFQIRQFQR SLLNLLSSEEDDFNSKEALLLIAVLSTLSRLLEPTSPQFVQMLSWTSKICKEYSQEDASF CKSLMNLFFSLHVLYKSPVTLLRDLSQDIHGQLGDIDQDVEIEKTDHFAVVNLRTAAPTV CLLVLSQAEKVLEEVDWLIAKIKGSANQETLSDKVTPEDASSQAVPPTLLIEKAIVMQLG TLVTFFHELVQTALPSGSCVDTLLKGLSKIYSTLTAFVKYYLQVCQSSRGIPNTVEKLVK LSGSHLTPVCYSFISYVQNKSSDAPKCSEKEKAAVSTTMAKVLRETKPIPNLVFAIEQYE KFLIQLSKKSKVNLMQHMKLSTSRDFKIKGSVLDMVLREDEEDENEEGTASAHTQQDREP AKKRRKKCLS >ENSMUSP00000122113.1 pep:known chromosome:GRCm38:7:79392353:79450264:1 gene:ENSMUSG00000039187.16 transcript:ENSMUST00000132091.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fanci description:Fanconi anemia, complementation group I [Source:MGI Symbol;Acc:MGI:2384790] MDLKILSLATDKTTDKLQEFLQTLKDDDLASLLQNQAVKGRAVGTLLRAVLKGSPCSEED GALRRYKIYSCCIQLVESGDLQQDVASEIIGLLMLEVHHFPGPLLVDLASDFVGAVREDR LVNGKSLELLPIILTALATKKEVLACGKGDLNGEEYKRQLIDTLCSVRWPQRYMIQLTSV FKDVCLTPEEMNLVVAKVLTMFSKLNLQEIPPLVYQLLVLSSKGSRRSVLDGIIAFFREL DKQHREEQSSDELSELITAPADELYHVEGTVILHIVFAIKLDCELGRELLKHLKAGQQGD PSKCLCPFSIALLLSLTRIQRFEEQVFDLLKTSVVKSFKDLQLLQGSKFLQTLVPQRTCV STMILEVVRNRLVFRYHHVCTFNSSELFKSHGNF >ENSMUSP00000117992.1 pep:known chromosome:GRCm38:7:79399136:79435691:1 gene:ENSMUSG00000039187.16 transcript:ENSMUST00000137667.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fanci description:Fanconi anemia, complementation group I [Source:MGI Symbol;Acc:MGI:2384790] LASLLQNQAVKGRAVGTLLRAVLKGSPCSEEDGALRRYKIYSCCIQLVESGDLQQDVASE IIGLLMLEVHHFPGPLLVDLASDFVGAVREDRLVNGKSLELLPIILTALATKKEVLACGK GDLNGEEYKRQLIDTLCSVRWPQRYMIQLTSVFKDVCLTPEEMNLVVAKVLTMFSKLNLQ EIPPLVYQLLVLSSKGSRRSVLDGIIAFFRELDKQHREEQSSDELSELITAPADELYHVE GTVILHIVFAIKLDCELGRELLKHLKAGQQGDPSKCLCPFSIALLLSLTRIQRFEEQVFD LLKTSVVKSFKDLQLLQGSKFLQTLVPQRTCVSTMILEVVRNSVHSWDHVTQGLIEFGFI LMDSYGPKKILDGKAVEIGTSLSKMTNQHACKLGANILLETFKIHEMIRQEILEQVLNRV VTRTSSPINHFLDLFSDIIMYAPLILQNCSKVTETFDYLTFLPLQTVQGLLKAVQPLLKI SMSMRDSLILVLRKAMFASQLDARKSAVAGFLLLLKNFKVLGSLPSSQCTQSIGVTQVRV DVHSRYSAVANETFCLEIIDSLKRSLGQQADIRLMLYDGFYDVLRRNSQLASSIMQTLFS QLKQFYEPEPDLLPPLKLGACVLTQGSQIFLQEPLDHLLSCIQHCLAWYKSRVVPLQQGD EGEEEEEELYSELDDMLESITVRMIKSELEDFELDKSADFSQNTNVGIKNNICACLIMGV CEVLMEYNFSISNFSKSKFEEILSLFTCYKKFSDILSEKAGKGKAKMTSKVSDSLLSLKF VSDLLTALFRDSIQSHEESLSVLRSSGEFMHYAVNVTLQKIQQLIRTGHVSGPDGQNPDK IFQNLCDITR >ENSMUSP00000146293.1 pep:known chromosome:GRCm38:7:79412736:79427236:1 gene:ENSMUSG00000039187.16 transcript:ENSMUST00000205817.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fanci description:Fanconi anemia, complementation group I [Source:MGI Symbol;Acc:MGI:2384790] LEVVRNSVHSWDHVTQGLIEFGFILMDSYGPKKILDGKAVEIGTSLSKMTNQHACKLGAN ILLETFKTCFQISSCMHL >ENSMUSP00000146237.1 pep:known chromosome:GRCm38:7:79440668:79445726:1 gene:ENSMUSG00000039187.16 transcript:ENSMUST00000206121.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fanci description:Fanconi anemia, complementation group I [Source:MGI Symbol;Acc:MGI:2384790] XFNSKEALLLIAVLSTLSRLLEPTSPQFVQMLSWTSKICKEYSQEDASFCKSLMNLFFSL HVLYKSPVTLLRDLSQDIHGQLGDIDQMPLLKPFHQLFSLRKLSSCNWELW >ENSMUSP00000116927.1 pep:known chromosome:GRCm38:4:137993022:138105419:1 gene:ENSMUSG00000028760.16 transcript:ENSMUST00000139759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g3 description:eukaryotic translation initiation factor 4 gamma, 3 [Source:MGI Symbol;Acc:MGI:1923935] MNSQPQARSPGGFRPIQFFQRPQIQPPRAAIPNSSPSIRPGVQTPTAVYQANQHIMMVNH LPMPYPVTQGHQYCIPQYRHSGPPYVGPPQQYPVQPPGPGPFYPGPGPGDFANAYGTPFY PSQPVYQSAPIIVPTQQQPPPAKREKKTIRIRDPNQGGKDITEEIMSGGGSRNPTPPIGR PAS >ENSMUSP00000059465.3 pep:known chromosome:GRCm38:4:137993022:138116244:1 gene:ENSMUSG00000028760.16 transcript:ENSMUST00000058133.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g3 description:eukaryotic translation initiation factor 4 gamma, 3 [Source:MGI Symbol;Acc:MGI:1923935] MNSQPQARSPPGRTVPIHCTDTRKRRKVLEQSPVYRSLAGRGWIKYYIFFQRPQIQPPRA AIPNSSPSIRPGVQTPTAVYQANQHIMMVNHLPMPYPVTQGHQYCIPQYRHSGPPYVGPP QQYPVQPPGPGPFYPGPGPGDFANAYGTPFYPSQPVYQSAPIIVPTQQQPPPAKREKKTI RIRDPNQGGKDITEEIMSGGGSRNPTPPIGRPASTPTPPQISPTPAISKSSNIID >ENSMUSP00000101456.2 pep:known chromosome:GRCm38:4:137993022:138127434:1 gene:ENSMUSG00000028760.16 transcript:ENSMUST00000105830.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g3 description:eukaryotic translation initiation factor 4 gamma, 3 [Source:MGI Symbol;Acc:MGI:1923935] MNSQPQARSPFAAGPRPAHHQFFQRPQIQPPRAAIPNSSPSIRPGVQTPTAVYQANQHIM MVNHLPMPYPVTQGHQYCIPQYRHSGPPYVGPPQQYPVQPPGPGPFYPGPGPGDFANAYG TPFYPSQPVYQSAPIIVPTQQQPPPAKREKKTIRIRDPNQGGKDITEEIMSGGGSRNPTP PIGRPASTPTPPQLPSQVPEHSPVVYGTVESAHLAASTPVTAASDQKQEEKPKPDPVFQS PSTVLRLVLSGEKKEQAGQMPETAAGEPTPEPPRTSSPTSLPPLARSSLPSPMSAALSSQ PLFTAEDKCELPSSKEEDAPPVPSPTSCTAASGPSLTDNSDICKKPCSVAPHDSQLISST ILINEMNGVGEKLSAKENTVGMLRQEVLPLTLELEILEHPQEELKVECTPTPIAPSMLPA FSPAPPTPPTSPPCPPVVLSAAIARSPAVATEVQRVADEGESLRTCLSKDAKEMQDKAES ESDGQAEETADPQSLHSGRSPAPETSNEC >ENSMUSP00000081233.5 pep:known chromosome:GRCm38:4:137993022:138208508:1 gene:ENSMUSG00000028760.16 transcript:ENSMUST00000084215.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g3 description:eukaryotic translation initiation factor 4 gamma, 3 [Source:MGI Symbol;Acc:MGI:1923935] MNSQPQARSPFFQRPQIQPPRAAIPNSSPSIRPGVQTPTAVYQANQHIMMVNHLPMPYPV TQGHQYCIPQYRHSGPPYVGPPQQYPVQPPGPGPFYPGPGPGDFANAYGTPFYPSQPVYQ SAPIIVPTQQQPPPAKREKKTIRIRDPNQGGKDITEEIMSGGGSRNPTPPIGRPASTPTP PQQLPSQVPEHSPVVYGTVESAHLAASTPVTAASDQKQEEKPKPDPVFQSPSTVLRLVLS GEKKEQAGQMPETAAGEPTPEPPRTSSPTSLPPLARSSLPSPMSAALSSQPLFTAEDKCE LPSSKEEDAPPVPSPTSCTAASGPSLTDNSDICKKPCSVAPHDSQLISSTILINEMNGVG EKLSAKENTVGMLRQEVLPLTLELEILEHPQEELKVECTPTPIAPSMLPAFSPAPPTPPT SPPCPPVVLSAAIARSPAVATEVQRVADEGESLRTCLSKDAKEMQDKAESESDGQAEETA DPQSLHSGRSPAPVQTATTAPKSWKKTKEQTRTPDEVLEAEAEPKAEEELAVDSVLEPEQ EKMSQGFPSERDPSALKRGKAEEGNGEEAEPVRNGAESASEGEGGDGNSGSADSSADGLT FPFKAESWKPADTEGKKQYDREFLLDIQFMPACIQKPEGLPPISDVVLDKINQPRLSMRT LDPRILPRGPDFTPAFADFPRQTPGGRGVPLLNVGPRRSQPGQRREPRKIITVSVKEDVH LRKAENAWKPSQKRDSHADDPESIKTQELFRKVRSILNKLTPQMFNQLMKQVSALTVDTE ERLKGVIDLVFEKAIDEPSFSVAYANMCRCLVTLKVPMADKPGNTVNFRKLLLNRCQKEF EKDKADDDVFEKKQKELEAASAPEERTRLHDELEEAKDKARRRSIGNIKFIGELFKLKML TEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFEKAKPRMDQYFNQMEKIVKERKT SSRIRFMLQDVIDLRLCNWVSRRADQGPKTIEQIHKEAKIEEQEEQRKVQQLMTKEKRRP GVQRVDEGGWNTVQGAKNSRVLDPSKFLKITKPTIDEKIQLVPKAQLGSWGKGSSGGAKA SESDALRSSASSLNRFSPLQPPAPSGSPSATPLEFDSRRALTSRGSMGREKSDKPIPAGT ARPNTFLRGSSKDLLDNQSQEEQRREMLETVKQLTGGLDAERASTEADRSKTRELAKSEM CAVPAPDKPALSEEEVERKSKSIIDEFLHINDFKEATQCIEELSAQGPLHVFVKVGVEFT LERSQITRDHMGHLLYQLVQSEKLSKQDFFKGFSETLELADDMAIDIPHIWLYLAELVTP MLKGGGISMRELIVEFSKPLLPVGRAGVLLSEILHLLCRQMSHKKVGALWREADLSWKDF LPEGEDVHHFLLEQKLDFTESEGPCSSEALSKKELSAEELSQRLEKLIMEEKADDERIFD WVEANLDESQMSSPTFLRALMTAVCKAAIIADCSTFRVDTAVIKQRVPILLKYLDSDTEK ELQALYALQASIVKLDQPANLLRMFFDCLYDEEVISEDAFYKWESSKDPAEQAGKGVALK SVTAFFTWLREAEEESEDN >ENSMUSP00000145147.1 pep:known chromosome:GRCm38:4:137993513:138206154:1 gene:ENSMUSG00000028760.16 transcript:ENSMUST00000203828.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g3 description:eukaryotic translation initiation factor 4 gamma, 3 [Source:MGI Symbol;Acc:MGI:1923935] VKKIRPHRPSPATEKGAASPGEGDRDPPPPAHEDIAVKGSAAAAGTGPGTGAAAAAAVPP PHPAAAAAAAAVAAAAAPPHPNIRALQTPAPQQIPRGPVQQPLEDRLFPPTVSAVYSTVT QVARQPGPPTPAPYSAHEISKGLPSLAATPPGHASSPGLSQTPYPSGQNAGPATLVYPQA PQTMNSQPQARSPFFQRPQIQPPRAAIPNSSPSIRPGVQTPTAVYQANQHIMMVNHLPMP YPVTQGHQYCIPQYRHSGPPYVGPPQQYPVQPPGPGPFYPGPGPGDFANAYGTPFYPSQP VYQSAPIIVPTQQQPPPAKREKKTIRIRDPNQGGKDITEEIMSGGGSRNPTPPIGRPAST PTPPQQLPSQVPEHSPVVYGTVESAHLAASTPVTAASDQKQEEKPKPDPVFQSPSTVLRL VLSGEKKEQAGQMPETAAGEPTPEPPRTSSPTSLPPLARSSLPSPMSAALSSQPLFTAED KCELPSSKEEDAPPVPSPTSCTAASGPSLTDNSDICKKPCSVAPHDSQLISSTILINEMN GVGEKLSAKENTVGMLRQEVLPLTLELEILEHPQEELKVECTPTPIAPSMLPAFSPAPPT PPTSPPCPPVVLSAAIARSPAVATEVQRVADEGESLRTCLSKDAKEMQDKAESESDGQAE ETADPQSLHSGRSPAPVQTATTAPKSWKKTKEQTRTPDEVLEAEAEPKAEEELAVDSVLE PEQEKMSQGFPSERDPSALKRGKAEEGNGEEAEPVRNGAESASEGEGGDGNSGSADSSAD GLTFPFKAESWKPADTEGKKQYDREFLLDIQFMPACIQKPEGLPPISDVVLDKINQPRLS MRTLDPRILPRGPDFTPAFADFPRQTPGGRGVPLLNVGPRRSQPGQRREPRKIITVSVKE DVHLRKAENAWKPSQKRDSHADDPESIKTQELFRKVRSILNKLTPQMFNQLMKQVSALTV DTEERLKGVIDLVFEKAIDEPSFSVAYANMCRCLVTLKVPMADKPGNTVNFRKLLLNRCQ KEFEKDKADDDVFEKKQKELEAASAPEERTRLHDELEEAKDKARRRSIGNIKFIGELFKL KMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFEKAKPRMDQYFNQMEKIVKE RKTSSRIRFMLQDVIDLRLCNWVSRRADQGPKTIEQIHKEAKIEEQEEQRKVQQLMTKEK RRPGVQRVDEGGWNTVQGAKNSRVLDPSKFLKITKPTIDEKIQLVPKAQLGSWGKGSSGG AKASESDALRSSASSLNRFSPLQPPAPSGSPSATPLEFDSRRALTSRGSMGREKSDKPIP AGTARPNTFLRGSSKDLLDNQSQEEQRREMLETVKQLTGGLDAERASTEADRSKTRELAK SEMCAVPAPDKPALSEEEVERKSKSIIDEFLHINDFKEATQCIEELSAQGPLHVFVKVGV EFTLERSQITRDHMGHLLYQLVQSEKLSKQDFFKGFSETLELADDMAIDIPHIWLYLAEL VTPMLKGGGISMRELIVEFSKPLLPVGRAGVLLSEILHLLCRQMSHKKVGALWREADLSW KDFLPEGEDVHHFLLEQKLDFTESEGPCSSEALSKKELSAEELSQRLEKLIMEEKADDER IFDWVEANLDESQMSSPTFLRALMTAVCKAAIIADCSTFRVDTAVIKQRVPILLKYLDSD TEKELQALYALQASIVKLDQPANLLRMFFDCLYDEEVISEDAFYKWESSKDPAEQAGKGV ALKSVTAFFTWLREAEEESEDN >ENSMUSP00000122972.1 pep:known chromosome:GRCm38:4:138004752:138103394:1 gene:ENSMUSG00000028760.16 transcript:ENSMUST00000155142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g3 description:eukaryotic translation initiation factor 4 gamma, 3 [Source:MGI Symbol;Acc:MGI:1923935] MNSQPQARSPFAAGPRPAHHQFFQRPQIQPPRAAIPNSSPSIRPGVQTPTAVYQANQHIM MVNHLPMPYPVTQGHQYCIPQYRHSGPPYVGPPQQYPVQPPGPGPFYPGPGPGDFANAYG TPFYPSQPVYQSAPIIVPTQQQPPPA >ENSMUSP00000115946.1 pep:known chromosome:GRCm38:4:138151687:138208508:1 gene:ENSMUSG00000028760.16 transcript:ENSMUST00000140796.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g3 description:eukaryotic translation initiation factor 4 gamma, 3 [Source:MGI Symbol;Acc:MGI:1923935] GKAEEGNGEEAEPVRNGAESASEGEGGDGNSGSADSSADGLTFPFKAESWKPADTEGKKQ YDREFLLDIQFMPACIQKPEGLPPISDVVLDKINQPRLSMRTLDPRILPRGPDFTPAFAD FPRQTPGGRGVPLLNVGPRRSQPGQRREPRKIITVSVKEDVHLRKAENAWKPSQKRDSHA DDPESIKTQELFRKVRSILNKLTPQMFNQLMKQVSALTVDTEERLKGVIDLVFEKAIDEP SFSVAYANMCRCLVTLKVPMADKPGNTVNFRKLLLNRCQKEFEKDKADDDVFEKKQKELE AASAPEERTRLHDELEEAKDKARRRSIGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDE ESLECLCRLLTTIGKDLDFEKAKPRMDQYFNQMEKIVKERKTSSRIRFMLQDVIDLRLCN WVSRRADQGPKTIEQIHKEAKIEEQEEQRKVQQLMTKEKRRPGVQRVDEGGWNTVQGAKN SRVLDPSKFLKITKPTIDEKIQLVPKAQLGSWGKGSSGGAKASESAPSGSPSATPLEFDS RRALTSRGSMGREKSDKPIPAGTARPNTFLRGSSKDLLDNQSQEEQRREMLETVKQLTGG LDAERASTEADRSKTRELAKSEMCAVPAPDKPALSEEEVERKSKSIIDEFLHINDFKEAT QCIEELSAQGPLHVFVKVGVEFTLERSQITRDHMGHLLYQLVQSEKLSKQDFFKGFSETL ELADDMAIDIPHIWLYLAELVTPMLKGGGISMRELIVEFSKPLLPVGRAGVLLSEILHLL CRQMSHKKVGALWREADLSWKDFLPEGEDVHHFLLEQKLDFTESEGPCSSEALSKKELSA EELSQRLEKLIMEEKADDERIFDWVEANLDESQMSSPTFLRALMTAVCKAAIIADCSTFR VDTAVIKQRVPILLKYLDSDTEKELQALYALQASIVKLDQPANLLRMFFDCLYDEEVISE DAFYKWESSKDPAEQAGKGVALKSVTAFFTWLREAEEESEDN >ENSMUSP00000081232.5 pep:known chromosome:GRCm38:4:137993456:138207079:1 gene:ENSMUSG00000028760.16 transcript:ENSMUST00000084214.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g3 description:eukaryotic translation initiation factor 4 gamma, 3 [Source:MGI Symbol;Acc:MGI:1923935] MNSQPQARSPFAAGPRPAHHQGGFRPIQFFQRPQIQPPRAAIPNSSPSIRPGVQTPTAVY QANQHIMMVNHLPMPYPVTQGHQYCIPQYRHSGPPYVGPPQQYPVQPPGPGPFYPGPGPG DFANAYGTPFYPSQPVYQSAPIIVPTQQQPPPAKREKKTIRIRDPNQGGKDITEEIMSGG GSRNPTPPIGRPASTPTPPQQLPSQVPEHSPVVYGTVESAHLAASTPVTAASDQKQEEKP KPDPVFQSPSTVLRLVLSGEKKEQAGQMPETAAGEPTPEPPRTSSPTSLPPLARSSLPSP MSAALSSQPLFTAEDKCELPSSKEEDAPPVPSPTSCTAASGPSLTDNSDICKKPCSVAPH DSQLISSTILINEMNGVGEKLSAKENTVGMLRQEVLPLTLELEILEHPQEELKVECTPTP IAPSMLPAFSPAPPTPPTSPPCPPVVLSAAIARSPAVATEVQRVADEGESLRTCLSKDAK EMQDKAESESDGQAEETADPQSLHSGRSPAPVQTATTAPKSWKKTKEQTRTPDEVLEAEA EPKAEEELAVDSVLEPEQEKMSQGFPSERDPSALKRGKAEEGNGEEAEPVRNGAESASEG EGGDGNSGSADSSADGLTFPFKAESWKPADTEGKKQYDREFLLDIQFMPACIQKPEGLPP ISDVVLDKINQPRLSMRTLDPRILPRGPDFTPAFADFPRQTPGGRGVPLLNVGPRRSQPG QRREPRKIITVSVKEDVHLRKAENAWKPSQKRDSHADDPESIKTQELFRKVRSILNKLTP QMFNQLMKQVSALTVDTEERLKGVIDLVFEKAIDEPSFSVAYANMCRCLVTLKVPMADKP GNTVNFRKLLLNRCQKEFEKDKADDDVFEKKQKELEAASAPEERTRLHDELEEAKDKARR RSIGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFEKAKP RMDQYFNQMEKIVKERKTSSRIRFMLQDVIDLRLCNWVSRRADQGPKTIEQIHKEAKIEE QEEQRKVQQLMTKEKRRPGVQRVDEGGWNTVQGAKNSRVLDPSKFLKITKPTIDEKIQLV PKAQLGSWGKGSSGGAKASESAPSGSPSATPLEFDSRRALTSRGSMGREKSDKPIPAGTA RPNTFLRGSSKDLLDNQSQEEQRREMLETVKQLTGGLDAERASTEADRSKTRELAKSEMC AVPAPDKPALSEEEVERKSKSIIDEFLHINDFKEATQCIEELSAQGPLHVFVKVGVEFTL ERSQITRDHMGHLLYQLVQSEKLSKQDFFKGFSETLELADDMAIDIPHIWLYLAELVTPM LKGGGISMRELIVEFSKPLLPVGRAGVLLSEILHLLCRQMSHKKVGALWREADLSWKDFL PEGEDVHHFLLEQKLDFTESEGPCSSEALSKKELSAEELSQRLEKLIMEEKADDERIFDW VEANLDESQMSSPTFLRALMTAVCKAAIIADCSTFRVDTAVIKQRVPILLKYLDSDTEKE LQALYALQASIVKLDQPANLLRMFFDCLYDEEVISEDAFYKWESSKDPAEQAGKGVALKS VTAFFTWLREAEEESEDN >ENSMUSP00000101457.2 pep:known chromosome:GRCm38:4:137993456:138207079:1 gene:ENSMUSG00000028760.16 transcript:ENSMUST00000105831.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g3 description:eukaryotic translation initiation factor 4 gamma, 3 [Source:MGI Symbol;Acc:MGI:1923935] MNSQPQARSPGALQPYQFFQRPQIQPPRAAIPNSSPSIRPGVQTPTAVYQANQHIMMVNH LPMPYPVTQGHQYCIPQYRHSGPPYVGPPQQYPVQPPGPGPFYPGPGPGDFANAYGTPFY PSQPVYQSAPIIVPTQQQPPPAKREKKTIRIRDPNQGGKDITEEIMSGGGSRNPTPPIGR PASTPTPPQQLPSQVPEHSPVVYGTVESAHLAASTPVTAASDQKQEEKPKPDPVFQSPST VLRLVLSGEKKEQAGQMPETAAGEPTPEPPRTSSPTSLPPLARSSLPSPMSAALSSQPLF TAEDKCELPSSKEEDAPPVPSPTSCTAASGPSLTDNSDICKKPCSVAPHDSQLISSTILI NEMNGVGEKLSAKENTVGMLRQEVLPLTLELEILEHPQEELKVECTPTPIAPSMLPAFSP APPTPPTSPPCPPVVLSAAIARSPAVATEVQRVADEGESLRTCLSKDAKEMQDKAESESD GQAEETADPQSLHSGRSPAPVQTATTAPKSWKKTKEQTRTPDEVLEAEAEPKAEEELAVD SVLEPEQEKMSQGFPSERDPSALKRGKAEEGNGEEAEPVRNGAESASEGEGGDGNSGSAD SSADGLTFPFKAESWKPADTEGKKQYDREFLLDIQFMPACIQKPEGLPPISDVVLDKINQ PRLSMRTLDPRILPRGPDFTPAFADFPRQTPGGRGVPLLNVGPRRSQPGQRREPRKIITV SVKEDVHLRKAENAWKPSQKRDSHADDPESIKTQELFRKVRSILNKLTPQMFNQLMKQVS ALTVDTEERLKGVIDLVFEKAIDEPSFSVAYANMCRCLVTLKVPMADKPGNTVNFRKLLL NRCQKEFEKDKADDDVFEKKQKELEAASAPEERTRLHDELEEAKDKARRRSIGNIKFIGE LFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFEKAKPRMDQYFNQMEK IVKERKTSSRIRFMLQDVIDLRLCNWVSRRADQGPKTIEQIHKEAKIEEQEEQRKVQQLM TKEKRRPGVQRVDEGGWNTVQGAKNSRVLDPSKFLKITKPTIDEKIQLVPKAQLGSWGKG SSGGAKASESAPSGSPSATPLEFDSRRALTSRGSMGREKSDKPIPAGTARPNTFLRGSSK DLLDNQSQEEQRREMLETVKQLTGGLDAERASTEADRSKTRELAKSEMCAVPAPDKPALS EEEVERKSKSIIDEFLHINDFKEATQCIEELSAQGPLHVFVKVGVEFTLERSQITRDHMG HLLYQLVQSEKLSKQDFFKGFSETLELADDMAIDIPHIWLYLAELVTPMLKGGGISMREL IVEFSKPLLPVGRAGVLLSEILHLLCRQMSHKKVGALWREADLSWKDFLPEGEDVHHFLL EQKLDFTESEGPCSSEALSKKELSAEELSQRLEKLIMEEKADDERIFDWVEANLDESQMS SPTFLRALMTAVCKAAIIADCSTFRVDTAVIKQRVPILLKYLDSDTEKELQALYALQASI VKLDQPANLLRMFFDCLYDEEVISEDAFYKWESSKDPAEQAGKGVALKSVTAFFTWLREA EEESEDN >ENSMUSP00000108173.2 pep:known chromosome:GRCm38:6:123229843:123247021:1 gene:ENSMUSG00000023349.14 transcript:ENSMUST00000112554.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4n description:C-type lectin domain family 4, member n [Source:MGI Symbol;Acc:MGI:1861231] MVQERQSQGKGVCWTLRLWSAAVISMLLLSTCFIASCVEKMWGCCPNHWKSFGSSCYLIS TKENFWSTSEQNCVQMGAHLVVINTEAEQNFITQQLNESLSYFLGLSDPQGNGKWQWIDD TPFSQNVRFWHPHEPNLPEERCVSIVYWNPSKWGWNDVFCDSKHNSICEMKKIYL >ENSMUSP00000024118.4 pep:known chromosome:GRCm38:6:123229854:123247019:1 gene:ENSMUSG00000023349.14 transcript:ENSMUST00000024118.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4n description:C-type lectin domain family 4, member n [Source:MGI Symbol;Acc:MGI:1861231] MVQERQSQGKGVCWTLRLWSAAVISMLLLSTCFIASCVVTYQFIMDQPSRRLYELHTYHS SLTCFSEGTMVSEKMWGCCPNHWKSFGSSCYLISTKENFWSTSEQNCVQMGAHLVVINTE AEQNFITQQLNESLSYFLGLSDPQGNGKWQWIDDTPFSQNVRFWHPHEPNLPEERCVSIV YWNPSKWGWNDVFCDSKHNSICEMKKIYL >ENSMUSP00000113733.1 pep:known chromosome:GRCm38:6:123229857:123247019:1 gene:ENSMUSG00000023349.14 transcript:ENSMUST00000117130.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4n description:C-type lectin domain family 4, member n [Source:MGI Symbol;Acc:MGI:1861231] MVQERQSQVTYQFIMDQPSRRLYELHTYHSSLTCFSEGTMVSEKMWGCCPNHWKSFGSSC YLISTKENFWSTSEQNCVQMGAHLVVINTEAEQNFITQQLNESLSYFLGLSDPQGNGKWQ WIDDTPFSQNVRFWHPHEPNLPEERCVSIVYWNPSKWGWNDVFCDSKHNSICEMKKIYL >ENSMUSP00000120043.1 pep:known chromosome:GRCm38:6:123229857:123247019:1 gene:ENSMUSG00000023349.14 transcript:ENSMUST00000151714.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec4n description:C-type lectin domain family 4, member n [Source:MGI Symbol;Acc:MGI:1861231] MVQERQSQGKGVCWTLRLWSAAVISMLLLSTCFIASCVDRVFLCNKPWLSWNSLHRSGWP >ENSMUSP00000145023.1 pep:known chromosome:GRCm38:6:123230018:123246585:1 gene:ENSMUSG00000023349.14 transcript:ENSMUST00000205129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4n description:C-type lectin domain family 4, member n [Source:MGI Symbol;Acc:MGI:1861231] MVQERQSQGKGVCWTLRLWSAAVISMLLLSTCFIASCVVTYQFIMDQPSRRLYELHTYHS SLTCFSEGTMVSGSGTPMNPIFQKSGVFQ >ENSMUSP00000076722.7 pep:known chromosome:GRCm38:2:14174523:14221993:1 gene:ENSMUSG00000061531.8 transcript:ENSMUST00000077517.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem236 description:transmembrane protein 236 [Source:MGI Symbol;Acc:MGI:1919309] MASGKIIKLVVFELLEFAAFSIPTLVIMEQFATANQRTKSERTHYWLIVSCSIAYVAVVS LLIWVPVKVVLYKKRHLYKKIIGWRPVLVMCVVLTTLPSFSFSIAVTEVQKNINGSANSL PESLPDLPVSLVLLSLIVVDIIEKLRQYPLRGSQKGYEDNDICITSLQQIKTVTEQVVQS DGNPASAQAAKPTAMSQPRNHVAVLAGPLEPSFQSRILRTMSQRDVRAELFLRSFLMWAD TVEMLRVAGHQAVYKSAWLYPVYIFSFISLLRMVFTPKNPLLNSLGILMQDLPFVFLRLS LILALGTITPVLGLCKNVLVTISYIYFNYVTKLRPFSAFETSPF >ENSMUSP00000018966.7 pep:known chromosome:GRCm38:19:42197971:42202252:-1 gene:ENSMUSG00000018822.7 transcript:ENSMUST00000018966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfrp5 description:secreted frizzled-related sequence protein 5 [Source:MGI Symbol;Acc:MGI:1860298] MWVAWSARTAALALLLGALHGAPTRGQEYDYYGWQAEPLHGRSYSKPPQCLDIPADLPLC HTVGYKRMRLPNLLEHESLAEVKQQASSWLPLLAKRCHSDTQVFLCSLFAPVCLDRPIYP CRSLCEAVRAGCAPLMEAYGFPWPEMLHCHKFPLDNDLCIAVQFGHLPATAPPVTKICAQ CEMEHSADGLMEQMCSSDFVVKMRIKEIKIDNGDRKLIGAQKKKKLLKAGPLKRKDTKKL VLHMKNGASCPCPQLDNLTGSFLVMGRKVEGQLLLTAVYRWDKKNKEMKFAVKFMFSYPC SLYYPFFYGAAEPH >ENSMUSP00000109977.2 pep:known chromosome:GRCm38:5:34573664:34632308:1 gene:ENSMUSG00000029106.14 transcript:ENSMUST00000114338.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add1 description:adducin 1 (alpha) [Source:MGI Symbol;Acc:MGI:87918] MNGDTRAAVVTSPPPTTAPHKERYFDRVDENNPEYLRERNMAPDLRQDFNMMEQKKRVSM ILQSPAFCEELESMIQEQFKKGKNPTGLLALQQIADFMTASVPNVYPAAPQGGMAALNMS LGMVTPVNDLRGSDSIAYDKGEKLLRCKLAAFYRLADLFGWSQLIYNHITTRVNSEQEHF LIVPFGLLYSEVTASSLVKVNLQGDIVDRGSTNLGVNQAGFTLHSAVYAARPDAKCIVHI HTPAGAAVSAMKCGLLPISPEALSLGDVAYHDYHGILVDEEEKILIQKNLGPKSKVLILR NHGLVSVGESVEEAFYYIHNLVVACEIQVRTLASAGGPDNLVLLDPGKYKAKSRSPGTPA GEGSGSPPKWQIGEQEFEALMRMLDNLGYRTGYPYRYPALRERSKKYSDVEVPASVTGHS FASDGDSGTCSPLRHSFQKQQREKTRWLHSGRGDDASEEGQNGSSPKSKTKWTKEDGHRT STSAVPNLFVPLNTNPKEVQEMRNKIREQNLQDIKTAGPQSQVLCGVMMDRSLVQGELVT ASKAIIEKEYQPHVIVSTTGPNPFNTLTDRELEEYRREVERKQKGSEENLDETREQKEKS PPDQSAVPNTPPSTPVKLEEAGDGCAKEYLLP >ENSMUSP00000116075.1 pep:known chromosome:GRCm38:5:34573765:34605893:1 gene:ENSMUSG00000029106.14 transcript:ENSMUST00000147574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add1 description:adducin 1 (alpha) [Source:MGI Symbol;Acc:MGI:87918] MNGDTRAAVVTSPPPTTAPHKERYFDRVDENNPEYLRERNMAPDLRQDFNMMEQKKRVSM ILQSPAFCEELESMIQEQFKKGKNPTGLLALQQIADFMTASVPNVYPAAPQGGMAALNMS LGMVTPVNDLRGSDSIAYDKG >ENSMUSP00000118539.1 pep:known chromosome:GRCm38:5:34573769:34605893:1 gene:ENSMUSG00000029106.14 transcript:ENSMUST00000146295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add1 description:adducin 1 (alpha) [Source:MGI Symbol;Acc:MGI:87918] MNGDTRAAVVTSPPPTTAPHKERYFDRVDENNPEYLRERNMAPDLRQDFNMMEQKKRVSM ILQSPAFCEELESMIQEQFKKGKNPTGLLALQQIADFMTASVPNVYPAAPQGGMAALNMS LGMVTPVNDLRGSDSIAYDKG >ENSMUSP00000001108.4 pep:known chromosome:GRCm38:5:34573863:34632305:1 gene:ENSMUSG00000029106.14 transcript:ENSMUST00000001108.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add1 description:adducin 1 (alpha) [Source:MGI Symbol;Acc:MGI:87918] MNGDTRAAVVTSPPPTTAPHKERYFDRVDENNPEYLRERNMAPDLRQDFNMMEQKKRVSM ILQSPAFCEELESMIQEQFKKGKNPTGLLALQQIADFMTASVPNVYPAAPQGGMAALNMS LGMVTPVNDLRGSDSIAYDKGEKLLRCKLAAFYRLADLFGWSQLIYNHITTRVNSEQEHF LIVPFGLLYSEVTASSLVKVNLQGDIVDRGSTNLGVNQAGFTLHSAVYAARPDAKCIVHI HTPAGAAVSAMKCGLLPISPEALSLGDVAYHDYHGILVDEEEKILIQKNLGPKSKVLILR NHGLVSVGESVEEAFYYIHNLVVACEIQVRTLASAGGPDNLVLLDPGKYKAKSRSPGTPA GEGSGSPPKWQIGEQEFEALMRMLDNLGYRTGYPYRYPALRERSKKYSDVEVPASVTGHS FASDGDSGTCSPLRHSFQKQQREKTRWLHSGRGDDASEEGQNGSSPKSKTKVWTNITHDH VKPLLQSLSSGVCVPSCITNCLWTKEDGHRTSTSAVPNLFVPLNTNPKEVQEMRNKIREQ NLQDIKTAGPQSQVLCGVMMDRSLVQGELVTASKAIIEKEYQPHVIVSTTGPNPFNTLTD RELEEYRREVERKQKGSEENLDETREQKEKSPPDQSAVPNTPPSTPVKLEEAGDGCAKEY LLP >ENSMUSP00000109979.2 pep:known chromosome:GRCm38:5:34573873:34632308:1 gene:ENSMUSG00000029106.14 transcript:ENSMUST00000114340.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add1 description:adducin 1 (alpha) [Source:MGI Symbol;Acc:MGI:87918] MNGDTRAAVVTSPPPTTAPHKERYFDRVDENNPEYLRERNMAPDLRQDFNMMEQKKRVSM ILQSPAFCEELESMIQEQFKKGKNPTGLLALQQIADFMTASVPNVYPAAPQGGMAALNMS LGMVTPVNDLRGSDSIAYDKGEKLLRCKLAAFYRLADLFGWSQLIYNHITTRVNSEQEHF LIVPFGLLYSEVTASSLVKVNLQGDIVDRGSTNLGVNQAGFTLHSAVYAARPDAKCIVHI HTPAGAAVSAMKCGLLPISPEALSLGDVAYHDYHGILVDEEEKILIQKNLGPKSKVLILR NHGLVSVGESVEEAFYYIHNLVVACEIQVRTLASAGGPDNLVLLDPGKYKAKSRSPGTPA GEGSGSPPKWQIGEQEFEALMRMLDNLGYRTGYPYRYPALRERSKKYSDVEVPASVTGHS FASDGDSGTCSPLRHSFQKQQREKTRWLHSGRGDDASEEGQNGSSPKSKTKWTKEDGHRT STSAVPNLFVPLNTNPKEVQEMRNKIREQNLQDIKTAGPQSQVLCGVMMDRSLVQGELVT ASKAIIEKEYQPHVIVSTTGPNPFNTLTDRELEEYRREVERKQKGSEENLDETREQKEKS PPDQSAVPNTPPSTPVKLEEDLPQEPTSRDDSDATTFKPTPPDLSPDEPSEALAFPAVEE EAHASPDPTQPPAEADPEPASAPTPGAEEVASPATEEGSPMDPGSDGSPGKSPSKKKKKF RTPSFLKKSKKKSDS >ENSMUSP00000109974.1 pep:known chromosome:GRCm38:5:34574042:34632294:1 gene:ENSMUSG00000029106.14 transcript:ENSMUST00000114335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add1 description:adducin 1 (alpha) [Source:MGI Symbol;Acc:MGI:87918] MNGDTRAAVVTSPPPTTAPHKERYFDRVDENNPEYLRERNMAPDLRQDFNMMEQKKRVSM ILQSPAFCEELESMIQEQFKKGKNPTGLLALQQIADFMTASVPNVYPAAPQGGMAALNMS LGMVTPVNDLRGSDSIAYDKGEKLLRCKLAAFYRLADLFGWSQLIYNHITTRVNSEQEHF LIVPFGLLYSEVTASSLVKVNLQGDIVDRGSTNLGVNQAGFTLHSAVYAARPDAKCIVHI HTPAGAAVSAMKCGLLPISPEALSLGDVAYHDYHGILVDEEEKILIQKNLGPKSKVLILR NHGLVSVGESVEEAFYYIHNLVVACEIQVRTLASAGGPDNLVLLDPGKYKAKSRSPGTPA GEGSGSPPKWQIGEQEFEALMRMLDNLGYRTGYPYRYPALRERSKKYSDVEVPASVTGHS FASDGDSGTCSPLRHSFQKQQREKTRWLHSGRGDDASEEGQNGSSPKSKTKWTKEDGHRT STSAVPNLFVPLNTNPKEVQEMRNKIREQNLQDIKTAGPQSQVLCGVMMDRSLVQDAPLS DCTETIEGLAEQTFSPAKSVSFRKGELVTASKAIIEKEYQPHVIVSTTGPNPFNTLTDRE LEEYRREVERKQKGSEENLDETREQKEKSPPDQSAVPNTPPSTPVKLEEGDGCAKEYLLP >ENSMUSP00000052266.6 pep:known chromosome:GRCm38:5:34601342:34630816:1 gene:ENSMUSG00000029106.14 transcript:ENSMUST00000052836.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add1 description:adducin 1 (alpha) [Source:MGI Symbol;Acc:MGI:87918] MNGDTRAAVVTSPPPTTAPHKERYFDRVDENNPEYLRERNMAPDLRQDFNMMEQKKRVSM ILQSPAFCEELESMIQEQFKKGKNPTGLLALQQIADFMTASVPNVYPAAPQGGMAALNMS LGMVTPVNDLRGSDSIAYDKGEKLLRCKLAAFYRLADLFGWSQLIYNHITTRVNSEQEHF LIVPFGLLYSEVTASSLVKVNLQGDIVDRGSTNLGVNQAGFTLHSAVYAARPDAKCIVHI HTPAGAAVSAMKCGLLPISPEALSLGDVAYHDYHGILVDEEEKILIQKNLGPKSKVLILR NHGLVSVGESVEEAFYYIHNLVVACEIQVRTLASAGGPDNLVLLDPGKYKAKSRSPGTPA GEGSGSPPKWQIGEQEFEALMRMLDNLGYRTGYPYRYPALRERSKKYSDVEVPASVTGHS FASDGDSGTCSPLRHSFQKQQREKTRWLHSGRGDDASEEGQNGSSPKSKTKVWTNITHDH VKPLLQSLSSGVCVPSCITNCLWTKEDGHRTSTSAVPNLFVPLNTNPKEVQEMRNKIREQ NLQDIKTAGPQSQVLCGVMMDRSLVQGELVTASKAIIEKEYQPHVIVSTTGPNPFNTLTD RELEEYRREVERKQKGSEENLDETREQKEKSPPDQSAVPNTPPSTPVKLEEGDGCAKEYL LP >ENSMUSP00000114984.1 pep:known chromosome:GRCm38:5:34614247:34625319:1 gene:ENSMUSG00000029106.14 transcript:ENSMUST00000135321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add1 description:adducin 1 (alpha) [Source:MGI Symbol;Acc:MGI:87918] KAKSRSPGTPAGEGSGSPPKWQIGEQEFEALMRMLDNLGYRTGYPYRYPALRERSKKYSD VEVPASVTGHSFASDGDSGTCSPLRHSFQKQQREKTRWLHSGRGDDASEEGQNGSSPKSK TKGELVTASKAIIEK >ENSMUSP00000144673.1 pep:known chromosome:GRCm38:5:34616767:34630631:1 gene:ENSMUSG00000029106.14 transcript:ENSMUST00000201810.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add1 description:adducin 1 (alpha) [Source:MGI Symbol;Acc:MGI:87918] ASEEGQNGSSPKSKTKWTKEDGHRTSTSAVPNLFVPLNTNPKEVQEMRNKIREQNLQDIK TAGPQSQVLCGVMMDRSLVQDAPLSDCTETIEGLAEQTFSPAKSVSFRKGELVTASKAII EKEYQPHVIVSTTGPNPFNTLTDRELEEYRREVERKQKGSEENLDETREQKEKSPPDQSA VPNTPPSTPVKLEEDLPQEPTSRDDSDATTFKPTPPDLSPDEPSEALAFPAVEEEAHASP DPTQPPAE >ENSMUSP00000121402.1 pep:known chromosome:GRCm38:5:34619354:34632308:1 gene:ENSMUSG00000029106.14 transcript:ENSMUST00000152805.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add1 description:adducin 1 (alpha) [Source:MGI Symbol;Acc:MGI:87918] XKEDGHRTSTSAVPNLFVPLNTNPKEVQEMRNKIREQNLQDIKTAGPQSQVLCGVMMDRS LVQGELVTASKAIIEKEYQPHVIVSTTGPNPFNTLTDRELEEYRREVERKQKGSEENLDE TREQKEKSPPDQSAVPNTPPSTPVKLEEAGDGCAKEYLLP >ENSMUSP00000043616.4 pep:known chromosome:GRCm38:2:33560965:33640511:-1 gene:ENSMUSG00000038765.13 transcript:ENSMUST00000041730.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmx1b description:LIM homeobox transcription factor 1 beta [Source:MGI Symbol;Acc:MGI:1100513] MLDGIKMEEHALRPGPATLGVLLGSDCPHPAVCEGCQRPISDRFLMRVNESSWHEECLQC AACQQALTTSCYFRDRKLYCKQDYQQLFAAKCSGCMEKIAPTEFVMRALECVYHLGCFCC CVCERQLRKGDEFVLKEGQLLCKGDYEKEKDLLSSVSPDESDSVKSEDEDGDMKPAKGQG SQSKGSGDDGKDPRRPKRPRTILTTQQRRAFKASFEVSSKPCRKVRETLAAETGLSVRVV QVWFQNQRAKMKKLARRHQQQQEQQNSQRLGQEVLSSRMEGMMASYTPLAPPQQQIVAME QSPYGSSDPFQQGLTPPQMPGNDSIFHDIDSDTSLTSLSDCFLGSSDVGSLQARVGNPID RLYSMQSSYFAS >ENSMUSP00000134944.2 pep:known chromosome:GRCm38:2:33564325:33640480:-1 gene:ENSMUSG00000038765.13 transcript:ENSMUST00000176067.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmx1b description:LIM homeobox transcription factor 1 beta [Source:MGI Symbol;Acc:MGI:1100513] XLRPGPATLGVLLGSDCPHPAVCEGCQRPISDRFLMRVNESSWHEECLQCAACQQALTTS CYFRDRKLYCKQDYQQLFAAKCSGCMEKIAPTEFVMRALECVYHLGCFCCCVCERQLRKG DEFVLKEGQLLCKGDYEKEKDLLSSVSPDESDSVKSEDEDGDMKPAKGQGSQSKGSGDDG KDPRRPKRPRTILTTQQRRAFKASFEVSSKPCRKVRETLAAETGLSVRVVQVWFQNQRAK MKKLARRHQQQQEQQNSQRLGQEVLSSRMEGMMASYTPLAPPQQQIVAMEQSPYGSSDPF QQGLTPPQMPGDHMNPYGNDSIFHDIDSDTSLTSLSDCFLGSSDVGSLQARVGNPIDRLY SMQSSYFAS >ENSMUSP00000030391.2 pep:known chromosome:GRCm38:2:172549593:172558622:1 gene:ENSMUSG00000028640.11 transcript:ENSMUST00000030391.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap2c description:transcription factor AP-2, gamma [Source:MGI Symbol;Acc:MGI:106032] MLWKITDNVKYEEDCEDRHDSSSNGNPRIPHLSSPGQHLYSPAPPLSHTGVAEYQPPPYF PPPYQQLAYSQSADHYSHLGEAYAAAMNPLHQPAATGSQQQAWPGRQSQEGSSLASHHSR SASLIPHISGLEGGSVSARREVYRRSDLLLPHAHALEAGLAENLGLHEMAHPIEEVQNVD DAHLLLHDQTVIRKGPISMTKNPLGLPCQKDLVGVVMNPSEVFCSVPGRLSLLSSTSKYK VTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAAHVTL LTSLVEGEAVHLARDFAYVCEAEFPSKAVADYLTRPHLGGRNEMATRKSMLLAAQQVCKE FTDLLHQDRTPNGNNRPAQVLEPNIQNCLSHFSLITHGFGSQAICAAVSAVQNYIKEALI AIDKSYMNPGDQSPADSSKTMEKMEKHRK >ENSMUSP00000096657.3 pep:known chromosome:GRCm38:2:172550782:172558619:1 gene:ENSMUSG00000028640.11 transcript:ENSMUST00000099058.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap2c description:transcription factor AP-2, gamma [Source:MGI Symbol;Acc:MGI:106032] MILGAGKRALRRRCALSALFARRSDMGGGLLNEGAVRRGRRTPNPWGTGNRTVARNALLA PPSDAAREPSPEGSKDSSPQDRHDSSSNGNPRIPHLSSPGQHLYSPAPPLSHTGVAEYQP PPYFPPPYQQLAYSQSADHYSHLGEAYAAAMNPLHQPAATGSQQQAWPGRQSQEGSSLAS HHSRSASLIPHISGLEGGSVSARREVYRRSDLLLPHAHALEAGLAENLGLHEMAHPIEEV QNVDDAHLLLHDQTVIRKGPISMTKNPLGLPCQKDLVGVVMNPSEVFCSVPGRLSLLSST SKYKVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAA HVTLLTSLVEGEAVHLARDFAYVCEAEFPSKAVADYLTRPHLGGRNEMATRKSMLLAAQQ VCKEFTDLLHQDRTPNGNNRPAQVLEPNIQNCLSHFSLITHGFGSQAICAAVSAVQNYIK EALIAIDKSYMNPGDQSPADSSKTMEKMEKHRK >ENSMUSP00000129922.1 pep:known chromosome:GRCm38:2:172550991:172558619:1 gene:ENSMUSG00000028640.11 transcript:ENSMUST00000170744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap2c description:transcription factor AP-2, gamma [Source:MGI Symbol;Acc:MGI:106032] MGGGLLNEGAVRRGRRTPNPWGTGNRTVARNALLAPPSDAAREPSPEGSKDSSPQDRHDS SSNGNPRIPHLSSPGQHLYSPAPPLSHTGVAEYQPPPYFPPPYQQLAYSQSADHYSHLGE AYAAAMNPLHQPAATGSQQQAWPGRQSQEGSSLASHHSRSASLIPHISGLEGGSVSARRE VYRRSDLLLPHAHALEAGLAENLGLHEMAHPIEEVQNVDDAHLLLHDQTVIRKGPISMTK NPLGLPCQKDLVGVVMNPSEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNASLL GGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAAHVTLLTSLVEGEAVHLARDFAYVCE AEFPSKAVADYLTRPHLGGRNEMATRKSMLLAAQQVCKEFTDLLHQDRTPNGNNRPAQVL EPNIQNCLSHFSLITHGFGSQAICAAVSAVQNYIKEALIAIDKSYMNPGDQSPADSSKTM EKMEKHRK >ENSMUSP00000031591.6 pep:known chromosome:GRCm38:5:120431699:120441223:1 gene:ENSMUSG00000029595.9 transcript:ENSMUST00000031591.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx5 description:LIM homeobox protein 5 [Source:MGI Symbol;Acc:MGI:107792] MMVHCAGCERPILDRFLLNVLDRAWHIKCVQCCECKTNLSEKCFSREGKLYCKNDFFRRF GTKCAGCAQGISPSDLVRKARSKVFHLNCFTCMVCNKQLSTGEELYVIDENKFVCKDDYL SSSSLKEGSLNSVSSCTDRSLSPDLQDPLQDDPKETDNSTSSDKETANNENEEQNSGTKR RGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERRMKQ LSALGARRHAFFRSPRRMRPLGGRLDESEMLGSTPYTYYGDYQSDYYAPGGNYDFFAHGP PSQAQSPADSSFLAASGPGSTPLGALEPPLAGPHGADNPRFTDMISHPDTPSPEPGLPGA LHPMPGEVFSGGPSPPFPMSGTSGYSGPLSHPNPELNEAAVW >ENSMUSP00000081545.3 pep:known chromosome:GRCm38:4:65124174:65357509:1 gene:ENSMUSG00000028370.7 transcript:ENSMUST00000084501.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pappa description:pregnancy-associated plasma protein A [Source:MGI Symbol;Acc:MGI:97479] MRLWSWVLRLGLLSAALGCGLAERPRRVRRDPRAVRPPRPAAGPATCATRAARGRRASPP PPPGGAWEAVRVPRRRQQRAARGAEEPSPPSRALYFSGRGEQLRLRADLELPRDAFTLQV WLRAEGGQKSPAVITGLYDKCSYTSRDRGWVMGIHTTSDQGNRDPRYFFSLKTDRARKVT TIDAHRSYLPGQWVHLAATYDGRLMKLYMNGAQVATSAEQVGGIFSPLTQKCKVLMLGGS ALNHNFRGHIEHFSLWKVARTQREIVSDMETRGLHTPLPQLLLQENWDNVKRTWSPMKDG NSPQVEFSNAHGFLLDTNLEPPLCGQTLCDNTEVISSYNQLPSFRQPKVVRYRVVNIYDD HHENPTVSWQQIDFQHQQLAEAFQHYNISWELEVLNINSSSLRHRLILANCDISKIGDEK CDPECNHTLTGHDGGDCRQLRYPAFMKKQQNGVCDMDCNYERFNFDGGECCDPDITDVTK TCFDPDSPHRAYLDVNELKNILRLDGSTHLNIFFANSSEEELAGVATWPWDKEALMHLGG IVLNPSFYGIPGHTHTMIHEIGHSLGLYHIFRGISEIQSCSDPCMETEPSFETGDLCNDT NPAPKHKFCGDPGPGNDTCGFHGFFNTPYNNFMSYADDDCTDSFTPNQVSRMHCYLDLVY QSWQPSRKPAPVALAPQVVGHTMDSVMLEWFPPIDGHFFERELGSACDLCLEGRILVQYA FNASSPMPCGPSGHWSPREAEGHPDVEQPCKSSVRTWSPNSAVNPHTVPPACPEPQGCYL ELEFRYPLVPESLTIWVTFVSSDWDSSGAVNDIKLLTISGKNISLGPQNVFCDIPLTIRL RDVGEEVYGIQIYTLDEHLEIDAAMLTSTVDSPLCLQCKPLQYKVLRDPPLLEDVASLLH LNRRFMDTDLKLGSVYQYRIITISGNEESEPSPAAIYTHGSGYCGDGVIQKDQGEECDDM NKVNGDGCSLFCKQEVSFNCIDEPSRCYFHDGDGMCEEFEQKTSIKDCGVYTPQGFLDQW ASNASVSHQDQQCPGWVVIGQPAASQVCRTKVIDLSEGISQHAWYPCTITYPYYHLPQTT FWLQTYFSQPMVAAAVIIHLVTDGTYYGDQKQETISVQLLDTKDQSHDLGLHVLSCRNNP LIIPVVHDLSQPFYHSQAVHVSFSSPLVAISGVALRSFDNFDPVTLSSCQRGETYSPAEQ SCVHFACQAADCPELAVGNASLNCSSNHHYHGAQCTVSCQTGYVLQIQRDDELIKSQVGP SITVTCTEGKWNKQVACEPVDCGIPDHHHVYAASFSCPEGTTFGRRCSFQCRHPAQLKGN NSFLTCMEDGLWSFPEALCELMCLAPPPVPNADLQTARCRENKHKVGSFCKYKCKPGYHV PGSSRKSKKRAFKTQCTQDGSWQEGTCVPVTCDPPPPKFHGLYQCTNGFQFNSECRIKCE DSDASQGRGSNIIHCRKDGTWSGSFHVCREMQGQCSAPNQLNSNLKLQCPDGYAIGSECA ISCLDHNSESIILPVNLTVRDIPHWMNPTRVQRIVCTAGLQWYPHPALIHCVKGCEPFMG DNYCDAINNRAFCNYDGGDCCTSTVKTKKVTPFPMSCDLQNDCACRDPEAQEHNRKDLRG YSHG >ENSMUSP00000112575.1 pep:known chromosome:GRCm38:19:42247574:42270348:1 gene:ENSMUSG00000042532.14 transcript:ENSMUST00000122375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga7b description:golgi autoantigen, golgin subfamily a, 7B [Source:MGI Symbol;Acc:MGI:1918396] MATEVHNLQELRRSASLATKVFIQRDYSDGTICQFQTKFPPELDSRIERQLFEETVKTLN GFYAEAEKIGGSSYLEGCLACATAYFIFLCMETHYEKVLKKISRYIQEQNEKVFAPRGLL LTDPVERGMRVIEISIYEDRCSSGSSSSGSSSGSGSSSAGGGGAGAR >ENSMUSP00000084362.5 pep:known chromosome:GRCm38:19:42255739:42270348:1 gene:ENSMUSG00000042532.14 transcript:ENSMUST00000087123.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga7b description:golgi autoantigen, golgin subfamily a, 7B [Source:MGI Symbol;Acc:MGI:1918396] MATEVHNLQELRRSASLATKVFIQRDYSDGTICQFQTKFPPELDSRIERQLFEETVKTLN GFYAEAEKIGGSSYLEGCLACATAYFIFLCMETHYEKVLKKISRYIQEQNEKVFAPRGLL LTDPVERGMRVIEISIYEDRCSSGSSSSGSSSGSGSSSAGGGGAGAR >ENSMUSP00000140774.1 pep:known chromosome:GRCm38:10:41623182:41647149:-1 gene:ENSMUSG00000100181.1 transcript:ENSMUST00000189488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29096 description:predicted gene 29096 [Source:MGI Symbol;Acc:MGI:5579802] VHSIQFLEFSEAENQDDFHTTQAGYVHTQDQLGEYVVYDSALQDLKELESELLLIASHYI EKEKSHRGECRSEGSQLLGWAHANVDRFAVLYDLWTCETNLLEGKRQLLDSYFEAYQHTL DPEERFALAQAMTDIMHRRPKFDLSHSYFTKAYRDDCTCLRLHLQLVRGILSHHLEQQRD YVQRLWRGDHPEAPKTFGLPLNVICKQFVSISNSCPASGKVHPLELHPSLGLAGLIPKAL EHLFREARHAHKAASPSSLAQIEICSLQLALDLWLSPVKPEAWYSAQLQRELFSAQVMGD PFLMEEVGLLALRSAVDKGVKQGQDFHTLLLDTFSRLLELLTLRHRLIETSVESAYLAGL YKELALEMGFEESHLHLRPVHFEFASHKDKVDPPPPVFITSLLEDSSRVDRYCPTSLVLA ISELDDNQIGKFSFHTKEAILKLFVHSGVENMQVTLACQTAQRNALMVAIQQAFFYHIPG AGSLVDLKVSL >ENSMUSP00000138857.1 pep:known chromosome:GRCm38:9:72274860:72362891:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000184036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] MAELFMECEEEELEPWQKKVKEVEEDDDDEPIFVAEIASSKPAISNILNRVNPSSHSRGI KNGILNRGFTASFKPTSQRCLNSASNPVAALPVNFHPESRSSDSSVIVQPFSKPGYVTNS PRVLSNNSSELLFDLTQDTGLSHYQGGPTLSIAGLNETSFLSKRPSGSDISSVNPKKPKP SENTSGIDASSVISSEKSPSVISLQVVPSQGANCSSSQSKNGTTFPRACPKCDIHFNLLD PLKNHMTYCCPDMINNFLGLTKADNLNSANEAKTLESEKGKLIMLVNDFYYGKHEGDVLE EQKTHTTFKCFSCLKVLKNNIRFMNHMKHHLELEKQSSESWEKHTTCQHCYRQFPTPFQL QCHIESTHTPHEFSTICKICELSFETEQILLQHMKDNHKPGEMPYICQVCNYRSSLFSEV ESHFRTSHENTKNLLCPFCLKVIKIATPYMHHYMKHQKKGIHRCTKCRLQFLTCKEKMDH KTQHHRTFVKPKQLEGLPPGTKVTIRASVGPLQSGSSVTPSISPSTSTLQLSPPEPDNVT AKNHVKLTTSTPNTTISDPSKANETKSNGSKSKNKSKVSNMQKKQSTLSSSNKKSKVNTA LRNLRLRRGVHECIECSSEVKDFANHFPTYVHCSFCRYNTSCSKAYVNHMMSFHSNRPSK RYCIFKKHSENLRGISLVCLNCDFLTDVSGLDNMATHLSQHETHSCRVLVEQVSVCIPTS ERLSEIKTEAPTKGQEPVSKETARHSRAEGEPGASHSGSKQDKVPSSEEGTGCDASVCEA AAATHCEKDVTVSDTENVSSSKNILSHDPDVGTDTMEKEEKTHHACQEMELKVDQSSEST NPTEAELSSETRQGLQLTSGDVGIDQFLRQGDEPKSVNSDASDPGSVRLEPLTPSEVLEY EATEILHDGDDPSANTSDTVSDQTGGSPGGSNPCRAETAVDLADGEERS >ENSMUSP00000138970.1 pep:known chromosome:GRCm38:9:72274879:72341093:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000184517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] MVTTYIKSDLQLDGRQFFQPKDNLKMAELFMECEEEELEPWQKKVKEVEEDDDDEPIFVA EIASSKPAISNILNRVNPSSHSRGIKNGILNRGFTASFKPTSQRCLNSASNPVAALPVNF HPESRSSDSSVIVQPFSKPGYVTNSPRVLSNNSSELLFDLTQDTGLSHYQGGPTLSIAGL NETSFLSKRPSGSDISSVNPKKPKPSENTSGIDASSVISSEKSPSVISLQVVPSQGANCS SSQSKNGTTFPRACPKCDIHFNLLDPLKNHMTYCCPDMINNFLGLTKADNLNSANEAKTL ESEKGKLIMLVNDFYYGKHEGDVLEEQKTHTTFKCFSCLKVLKNNIRFMNHMKHHLELEK QSSESWEKHTTCQHCYRQFPTPFQLQCHIESTHTPHEFSTICKICELSFETEQILLQHMK DNHKPGEMPYICQVCNYRSSLFSEVESHFRTSHENTKNLLCPFCLKVIKIATPYMHHYMK HQKKGIHRCTKCRLQFLTCKEKMDHKTQHHRTFVKPKQLEGLPPGTKVTIRASVGPLQSG SSVTPSISPSTSTLQLSPPEPDNVTAKNHVKLTTSTPNTTISDPSKANETKSNGSKSKNK SKVSNMQKKQSTLSSSNKKSKVNTALRNLRLRRGVHECIECSSEVKDFANHFPTYVHCSF CRYNTSCSKAYVNHMMSFHSNRPSKRYCIFKKHSENLRGISLVCLNCDFLTDVSGLDNMA THLSQHETHSCRVLVEQVSVCIPTSERLSECRSSPEISKHVQGVPGQCPLRWTQVLPVLS FPGMRMN >ENSMUSP00000096175.2 pep:known chromosome:GRCm38:9:72274879:72363777:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000098576.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] MVTTYIKSDLQLDGRQFFQPKDNLKMAELFMECEEEELEPWQKKVKEVEEDDDDEPIFVA EIASSKPAISNILNRVNPSSHSRGIKNGILNRGFTASFKPTSQRCLNSASNPVAALPVNF HPESRSSDSSVIVQPFSKPGYVTNSPRVLSNNSSELLFDLTQDTGLSHYQGGPTLSIAGL NETSFLSKRPSGSDISSVNPKKPKPSENTSGIDASSVISSEKSPSVISLQVVPSQGANCS SSQSKNGTTFPRACPKCDIHFNLLDPLKNHMTYCCPDMINNFLGLTKADNLNSANEAKTL ESEKGKLIMLVNDFYYGKHEGDVLEEQKTHTTFKCFSCLKVLKNNIRFMNHMKHHLELEK QSSESWEKHTTCQHCYRQFPTPFQLQCHIESTHTPHEFSTICKICELSFETEQILLQHMK DNHKPGEMPYICQVCNYRSSLFSEVESHFRTSHENTKNLLCPFCLKVIKIATPYMHHYMK HQKKGIHRCTKCRLQFLTCKEKMDHKTQHHRTFVKPKQLEGLPPGTKVTIRASVGPLQSG SSVTPSISPSTSTLQLSPPEPDNVTAKNHVKLTTSTPNTTISDPSKANETKSNGSKSKNK SKVSNMQKKQSTLSSSNKKSKVNTALRNLRLRRGVHECIECSSEVKDFANHFPTYVHCSF CRYNTSCSKAYVNHMMSFHSNRPSKRYCIFKKHSENLRGISLVCLNCDFLTDVSGLDNMA THLSQHETHSCRVLVEQVSVCIPTSERLSEIKTEAPTKGQEPVSKETARHSRAEGEPGAS HSGSKQDKVPSSEEGTGCDASVCEAAAATHCEKDVTVSDTENVSSSKNILSHDPDVGTDT MEKEEKTHHACQEMELKVDQSSESTNPTEAELSSETRQGLQLTSGDVGIDQFLRQGDEPK SVNSDASDPGSVRLEPLTPSEVLEYEATEILHDGDDPSANTSDTVSDQTGGSPGGSNPCR AETAVDLADGEERS >ENSMUSP00000138902.1 pep:known chromosome:GRCm38:9:72274906:72362411:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000184399.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] MVTTYIKSDLQLDGRQFFQPKDNLKMAELFMECEEEELEPWQKKVKEVEEDDDDEPIFVA EIASSKPAISNILNRVNPSSHSRGIKNGILNRGLCNKFTKSSV >ENSMUSP00000138848.1 pep:known chromosome:GRCm38:9:72274907:72363498:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000184053.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] MVTTYIKSDLQLDGRQFFQPKDNLKMAELFMECEEEELEPWQKKVKEVEEDDDDEPIFVA EIASSKPAISNILNRVNPSSHSRGIKNGILNRGFTASFKPTSQRCLNSASNPVAALPVNF HPESRSSDSSVIVQPFSKPGLIGSPFKM >ENSMUSP00000139250.1 pep:known chromosome:GRCm38:9:72275004:72363761:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000183410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] MVTTYIKSDLQLDGRQFFQPKDNLKMAELFMECEEEELEPWQKKVKEVEEDDDDEPIFVA EIASSKPAISNILNRVNPSSHSRGIKNGILNRGFTASFKPTSQRCLNSASNPVAALPVNF HPESRSSDSSVIVQPFSKPGYVTNSPRVLSNNSSELLFDLTQDTGLSHYQGGPTLSIAGL NETSFLSKRPSGSDISSVNPKKPKPSENTSGIDASSVISSEKSPSVISLQVVPSQGANCS SSQSKNGTTFPRACPKCDIHFNLLDPLKNHMTYCCPDMINNFLGLTKADNLNSANEAKTL ESEKGKLIMLVNDFYYGKHEGDVLEEQKTHTTFKCFSCLKVLKNNIRFMNHMKHHLELEK QSSESWEKHTTCQHCYRQFPTPFQLQCHIESTHTPHEFSTICKICELSFETEQILLQHMK DNHKPGEMPYICQVCNYRSSLFSEVESHFRTSHENTKNLLCPFCLKVIKIATPYMHHYMK HQKKGIHRCTKCRLQFLTCKEKMDHKTQHHRTFVKPKQLEGLPPGTKVTIRASVGPLQSG SSVTPSISPSTSTLQLSPPEPDNVTAKNHVKLTTSTPNTTISDPSKANETKSNGSKSKNK SKVSNMQKKQSTLSSSNKKSKVNTALRNLRLRRGVHECIECSSEVKDFANHFPTYVHCSF CRYNTSCSKAYVNHMMSFHSNRPSKRYCIFKKHSENLRGISLVCLNCDFLTDVSGLDNMA THLSQHETHSCRVLVEQVSVCIPTSERLSEKQQDIVELKESQEHHILEVSRIKFLHQKKA LGVMQVYVKLQQQHTVKKM >ENSMUSP00000139091.1 pep:known chromosome:GRCm38:9:72295288:72363516:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000183801.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] MVTTYIKSDLQLDGRQFFQPKDNLKMAELFMECEEEELEPWQKKVKEVEEDDDDEPIFVA EIASSKPAISNILNRVNPSSHSRGIKNGILNRGFTASFKPTSQRCLNSASNPVAALPVNF HPESRSSDSSVIVQPFSKPGYVTNSPRVLSNNSSELLFDLTQDTGLSHYQGGPTLSIAGL NETSFLSKRPSGSDISSVNPKKPKPSENTSGIDASSVISSEKSPSVISLQVVPSQGANCS SSQSKNGTTFPRACPKCDIHFNLLDPLKNHMTYCCPDMINNFLGLTKADNLNSANEAKTL ESEKGKLIMLVNDFYYGKHEGDVLEEQKTHTTFKCFSCLKVLKNNIRFMNHMKHHLELEK QSSESWEKHTTCQHCYRQFPTPFQLQCHIESTHTPHEFSTICKICELSFETEQILLQHMK DNHKPGEMPYICQVCNYRSSLFSEVESHFRTSHENTKNLLCPFCLKVIKIATPYMHHYMK HQKKGIHRCTKCRLQFLTCKEKMDHKTQHHRTFVKPKQLEGLPPGTKLF >ENSMUSP00000139052.1 pep:known chromosome:GRCm38:9:72298727:72323049:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000184786.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] XMECEEEELEPWQKKVKEVEEDDDDEPIFVAEIASSKPAISNILNRVNPSSHSRGIKNGI LNRGFTASFKPTSQRCLNSASNPVAALPVNFHPESRSSDSSVIVQPFSKPGYVTNSPRVL SNNSSELLFDLTQDTGLSHYQGGPTLSIAGTSEKKRRKNICIKSGIRKLIDFTHRKSLQS >ENSMUSP00000138817.1 pep:known chromosome:GRCm38:9:72312141:72324108:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000185020.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] XKKPKPSENTSGIDASSVISSEKSPSVISLQVVPSQGANCSSSQSKNGTTFPRACPKCDI HFNLLDPLKNHMTIMFMGWRDGSAVKGACRQT >ENSMUSP00000138994.1 pep:known chromosome:GRCm38:9:72331127:72361947:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000184019.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] SSNKKSKVNTALRNLRLRRGVHECIECSSEVKDFANHFPTYVHCSFCRYNTSCSKAYVNH MMSFHSNRPSKRYCIFKKHSENLRGISLVCLNCDFLTDVSGLDNMATHLSQHETHSCRVL VEQVSVCIPTSERLSEIKTEAPTKGQEPVSKQDIVELKESQEHHILEVSRIK >ENSMUSP00000139127.1 pep:known chromosome:GRCm38:9:72338748:72362668:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000184216.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] XISLVCLNCDFLTDVSGLDNMATHLSQHETHSCRVLVEQVSVCIPTSERLSEIKTEAPTK GQEPVSKCLCLEAVACQGLRTAPTRRRKQQDIVELKESQEHHILEVSRIKFLHQKKALGV MQVYVKLQQQHTVKKM >ENSMUSP00000139209.1 pep:known chromosome:GRCm38:9:72360202:72363627:1 gene:ENSMUSG00000038535.17 transcript:ENSMUST00000183468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280d description:zinc finger protein 280D [Source:MGI Symbol;Acc:MGI:2384583] MIVKMLLFQETARHSRAEGEPGASHSGSKQDKVPSSEEGTGCDASVCEAAAATHCEKDVT VSDTENVSSSKNILSHDPDVGTDTMEKEEKTHHACQEMELKVDQSSESTNPTEAELSSET RQGLQLTSGDVGIDQFLRQGDEPKSVNSDASDPGSVRLEPLTPSEVLEYEATEILHDGDD PSANTSDTVSDQTGGSPGGSNPCRAETAVDLADGEERS >ENSMUSP00000130772.1 pep:known chromosome:GRCm38:1:136181474:136207097:-1 gene:ENSMUSG00000087230.8 transcript:ENSMUST00000168561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh3 description:maestro heat-like repeat family member 3 [Source:MGI Symbol;Acc:MGI:1923672] MSPPFQTIQALDDMLQALVMEDMSPNMVILQSFVEIILPWLMLSDKVYEQIRALGTISRL LRFICNFSELSNMASFSITGKLVGTFSILCLNPSQDISIGALEALNYLFIILVLQRSSRQ KTEAILKNLQKQFRGNLTANMQSLTLFFRKYLTPVERADVILVALESMINGSPNDISAAS KMLKMVLKHSVKEVAKVSEIIKLIYYHIDRATEKAAQNTIKKVFQLLVQSHTEEVILTLF KVKDQSQRETHKSWEILASFPKSYQAIMEYLLQKIISPQAPEDQEEADHETELSTLIATR AIHELLLVPSQQFEVQTFFASLFVALLFQISSLVTKGSTVAQEALPETESVDVVSSSVEA LKTLMRSSGYINHMSYIQTLGGWELLVSPESHYEGVTLLARSLVIKNCWHNRPVFSFFIK TLQNPSCTNYLTALVFLTELLNCPDVASVVDEVATKILANWFNCDELVTAHLFLKITEVF AKHKNTMKHLNILQPFVLSCCYSSSSDLVMETFLLLQRILKDLTWYQSSSFIIKLTFTLV HFFEEESEQLRLTTFEMYENVLAKVSRMTLVFPLRHQVLSLLMLLVFHLKDVNAAVVEVC RLILCRIAVILHWSKLKAVFAKNDVFTILSALLKQERSRALWFLKQTVALFKSPQGPIRQ VAVWFAGQIIWNLHKAEEEEIEEELAVFRYMQRDPDPIVSCLTVQTFYILEAKAKIMPAE TPSSYLLCMRRIKRRYC >ENSMUSP00000127383.1 pep:known chromosome:GRCm38:1:136181652:136190712:-1 gene:ENSMUSG00000087230.8 transcript:ENSMUST00000146112.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh3 description:maestro heat-like repeat family member 3 [Source:MGI Symbol;Acc:MGI:1923672] XFLTELLNCPDVASVVDEVATKILANWFNCDELVTAHLFLKITEVFAKHKNTMKHLNILQ PFVLSCCYSSSSDLVMETFLLLQRILKDLTWYQSSSFIIKLTFTLVHFFEEESEQLRLTT FEMYENVLAKVSRMTLVFPLRHQVLSLLMLLVFHLKDVNAAVVEVCRLILCRIAVILHWS KLKAVFAKNDVFTILSALVRSSGTFIRLRKRKLKRN >ENSMUSP00000130753.1 pep:known chromosome:GRCm38:1:136190785:136199598:-1 gene:ENSMUSG00000087230.8 transcript:ENSMUST00000166708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh3 description:maestro heat-like repeat family member 3 [Source:MGI Symbol;Acc:MGI:1923672] XTEAILKNLQKQFRGNLTANMQSLTLFFRKYLTPVERADVILVALESMINGSPNDISAAS KMLKMVLKHSVKEVAKVSEIIKLIYYHIDRATEKAAQNTIKKVFQLLVQSHTEEVILTLF KVKDQSQRETHKSWEILASFPKSYQAIMEYLLQKIISPQAPEDQEEADHETELSTLIATR AIHELLLVPSQQFEVQTFFASLFVALLFQISSLVTKGSTVAQEALPETESVDVVRSLVIK NCWH >ENSMUSP00000006181.6 pep:known chromosome:GRCm38:7:16098458:16117975:1 gene:ENSMUSG00000006024.12 transcript:ENSMUST00000006181.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Napa description:N-ethylmaleimide sensitive fusion protein attachment protein alpha [Source:MGI Symbol;Acc:MGI:104563] MDNSGKQAEAMALLAEAERKVKNSQSFFSGLFGGSSKIEEACEIYARAANMFKMAKNWSA AGNAFCQAAQLHLQLQSKHDAATCFVDAGNAFKKADPQEAINCLMRAIEIYTDMGRFTIA AKHHISIAEIYETELVDVEKAIAHYEQSADYYKGEESNSSANKCLLKVAGYAAQLEQYQK AIDIYEQVGTSAMDSPLLKYSAKDYFFKAALCHFCIDMLNAKLAVQKYEELFPAFSDSRE CKLMKKLLEAHEEQNVDSYTEAVKEYDSISRLDQWLTTMLLRIKKTIQGDEEDLR >ENSMUSP00000122463.1 pep:known chromosome:GRCm38:X:10715019:10718384:1 gene:ENSMUSG00000008035.12 transcript:ENSMUST00000144356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1ip1 description:Mid1 interacting protein 1 (gastrulation specific G12-like (zebrafish)) [Source:MGI Symbol;Acc:MGI:1915291] MMQICDTYNQKHSLFNAMNRFIGAVNNMDQTVMVPSLLRDVPLSEPEIDEV >ENSMUSP00000111186.1 pep:known chromosome:GRCm38:X:10717390:10719690:1 gene:ENSMUSG00000008035.12 transcript:ENSMUST00000115524.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1ip1 description:Mid1 interacting protein 1 (gastrulation specific G12-like (zebrafish)) [Source:MGI Symbol;Acc:MGI:1915291] MMQICDTYNQKHSLFNAMNRFIGAVNNMDQTVMVPSLLRDVPLSEPEIDEVSVEVGGSGG CLEERTTPAPSPGSANESFFAPSRDMYSHYVLLKSIRNDIEWGVLHQPSSPPAGSEESTW KPKDILVGLSHLESADAGEEDLEQQFHYHLRGLHTVLSKLTRKANILTNRYKQEIGFSNW GH >ENSMUSP00000008179.6 pep:known chromosome:GRCm38:X:10717390:10719690:1 gene:ENSMUSG00000008035.12 transcript:ENSMUST00000008179.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1ip1 description:Mid1 interacting protein 1 (gastrulation specific G12-like (zebrafish)) [Source:MGI Symbol;Acc:MGI:1915291] MMQICDTYNQKHSLFNAMNRFIGAVNNMDQTVMVPSLLRDVPLSEPEIDEVSVEVGGSGG CLEERTTPAPSPGSANESFFAPSRDMYSHYVLLKSIRNDIEWGVLHQPSSPPAGSEESTW KPKDILVGLSHLESADAGEEDLEQQFHYHLRGLHTVLSKLTRKANILTNRYKQEIGFSNW GH >ENSMUSP00000120996.1 pep:known chromosome:GRCm38:X:10717468:10718384:1 gene:ENSMUSG00000008035.12 transcript:ENSMUST00000156321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1ip1 description:Mid1 interacting protein 1 (gastrulation specific G12-like (zebrafish)) [Source:MGI Symbol;Acc:MGI:1915291] MMQICDTYNQKHSLFNAMNRFIGAVNNMDQTVMVPSLLRDVPLSEPEIDEV >ENSMUSP00000035737.4 pep:known chromosome:GRCm38:3:92014583:92031582:1 gene:ENSMUSG00000042244.4 transcript:ENSMUST00000047660.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pglyrp3 description:peptidoglycan recognition protein 3 [Source:MGI Symbol;Acc:MGI:2685266] MLVSWDHPKMLPRLLGFLALSLLACGNPTIVSRKEWGASSLTCRVPLSLPVPYLIIEQVT RMQCQDQITCSQVVRVLQSQYVHNKGWCDIAFNFLVGDDGKVYEGVGWYVQGLHTQGYNN VSLGIAFFGSKIGSPSPAALSATEDLIFFAIQNGYLSPKYIQPFLLKEETCLVPQHSEIP KKACPNITPRSAWEARETHCPQMNLPAKFVIIIHTAGKSCNESADCLVRVRDTQSFHIDN QDFCDIAYHFLVGQDGEVYEGVGWNIEGSHTYGYNDIALGIAFMGNFVEKPPNEASLKAA QSLIQCAVAKGYLTSNYLLMGHSDVSNILSPGQALYNIIKTWPHFKH >ENSMUSP00000107091.2 pep:known chromosome:GRCm38:2:90885860:90890525:1 gene:ENSMUSG00000040794.5 transcript:ENSMUST00000111466.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf4 description:C1q and tumor necrosis factor related protein 4 [Source:MGI Symbol;Acc:MGI:1914695] MLLLLLGFLGPAACWALGPAGPGSSELRSAFSAARTTPLEGTSEMAVTFDKVYVNIGGDF DAATGRFRCRVPGAYFFSFTAGKAPHKSLSVMLVRNRDEVQALAFDEQRRPGARRAASQS AMLQLDYGDTVWLRLHGAPQYALGAPGATFSGYLVYADADADAPARGPAAPEPRSAFSAA RTRSLVGSDAAPGPRHRPLAFDTELVNIGGDFDAAAGVFRCRLPGAYFFSFTLGKLPRKT LSVKLMKNRDEVQAMIYDDGASRRREMQSQSVMLPLRRGDAVWLLSHDHDGYGAYSNHGK YITFSGFLVYPDLAAAGPPALKPPEL >ENSMUSP00000068946.2 pep:known chromosome:GRCm38:7:43437073:43438249:1 gene:ENSMUSG00000004612.9 transcript:ENSMUST00000070518.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkg7 description:natural killer cell group 7 sequence [Source:MGI Symbol;Acc:MGI:1931250] MEPCRSLALFAGSLGLTSSLIALTTDFWIVATGPHFSAHSGLWPTSQETQVAGYIHVTQS FCILAVLWGLVSVSFLVLSCIPALSAPGRGPLVSTVMAFSAALSILVAMAVYTSMRWSQT PFSQVQTFFSWSFYLGWVSFILFLFAGCLSLGAHCRTRRAEYETL >ENSMUSP00000146071.1 pep:known chromosome:GRCm38:7:43437130:43438246:1 gene:ENSMUSG00000004612.9 transcript:ENSMUST00000206741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkg7 description:natural killer cell group 7 sequence [Source:MGI Symbol;Acc:MGI:1931250] MEPCRSLALFAGSLGLTSSLIALTTDFWIVATGPHFSAHSGLWPTSQETQVAGYIHVTQS FCILAVLWGLVSVSFLVLSCIPALSAPGRGPLVSTVMAFSAGCLSLGAHCRTRRAEYETL >ENSMUSP00000042727.3 pep:known chromosome:GRCm38:10:128541963:128547774:-1 gene:ENSMUSG00000039810.11 transcript:ENSMUST00000040572.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h10 description:zinc finger CCCH type containing 10 [Source:MGI Symbol;Acc:MGI:2143670] MPDRDSYANGTGSSGGGPGGGGSEEASGAGTGSGGATSDAICRDFLRNVCKRGKRCRYRH PDMSEVSNLGVSKNEFIFCHDFQNKECSRPNCRFIHGSKEDEDGYKKTGELPPRLRQKVA AGLGLSPADLPNGKEEVPICRDFLKGDCQRGAKCKFRHLQRDFEFDARGGGGTGGGGSTG SAPPGRRHDLYDIYDLPERGFEDHEPGPKRRRGGCCPPDGPHFESYECNLAPLRGVECRL LEEENALLRKRVEELKKQVSNLLATNEVLLEQNAQFRNQAKVMTLSSTAPATEQTLAPTV GTVATFNHGIAQTHTTLSSQALQPRPVSQQELVAPTGAPAAPPTNAAPPAAPPPPPPHLN PEITPLSAALAQTIAQGMAPPPVSMAPVAVSVAPVAPVAVSMAQPLAGITMSHTTTPMVT YPIASQSMRITAMPH >ENSMUSP00000049705.8 pep:known chromosome:GRCm38:10:80540925:80561560:-1 gene:ENSMUSG00000047417.17 transcript:ENSMUST00000057910.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rexo1 description:REX1, RNA exonuclease 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914182] MLRSTGFFRSIDCPYWAGAPGGPCRRPYCHFRHRGARGPGAPGSGGAASPASGLGYDPYN PELPQPPVQRENGALGQGDGMLELELVNQAIEAVRGEVELEQRRYQELLETARGHSAGPS ALAPCSPATSIDDDDTFSLALTYTPGGLLSPDAAYQPTPLAVPAEPGHKYSLAPSDRSQG RAAGGAGALEYVPKAVGQPRRCGRPVSGGKYVVDSSKPSTDLEYDPLSNYSARHLGRASA RDERATKRPRGSRGAEPYTPALKKPCDPFGGCEARFSDSEDDVTSPPKADVSSPKAGADP ESKAPGKPVSKEGREHEDGGLRGTKEMAVQYDVEDLGQPPKAPDTVSVVKPGSPARASQD ARVPKEGKAKKKKSGTSASLSHKDKVRKKDKKKEKDPARPRGKEKVCTDKKKLPASNPRG KAQGPEGTKKKPSSATTVASSGKGGSGRPSSTGPQDSGPGPHAPLAWKAGSAKKMSSGKL VERKARSLDEGAPQDTPKLKKRALSHAELFGDESEEEDSSLGAGAPRVWPPTLPSLSSDS ESDSDSSLGLDETKVPKRLKAAPPASPVPPSPLSSSSSSSGASQCAEEDVDYSALEKEVD FDVDPMEECLRIFNESTSVKTEDKGRLARQPPKEKAEEKTHAGLTTLFPGQKRRVSHLCK PGKESEAPKRTPVAPPARPPTAQEVCYRRAQLAQRDSASWLQAAPRPTERLSSVHISAPG EKRRIAHVPNPRLAAAPTGAKRALSASSSQASHGPEPGSQPLKTRTLSGMASKTTTTVTP KRIAHSPSLQSLKKPVIPKEFGGKVPTVVRQRYLNLFIEECLKFCSSNQEAIEKALNEEK VAYDRSPSKNIYLNVAVNTLKKLRGLVPNTVPNLSKASGRRVVSHEVVLGGKLAAKTSFS LSRPSSPRVEELKGTALYSRLREYLLTQEQLKENGYPFPHPERPGGAIIFTAEEKKPKDP SCRICCRCGTEYLVSSSGRCVRSEECYYHWGRLRRNRVAGGWETQYMCCSAAVGSVGCQV AKQHVQDGRKENLEGFVRTFQKELPEDAHAGVFALDCEMSYTTYGLELTRVTVVDTDMQV VYDTFVKPDNEVVDYNTRFSGVTEADLVDTSITLRDVQAVLLSMFSADTILIGHSLESDL LALKVIHGTVVDTSVLFPHRLGLPYKRSLRNLMADYLRQIIQDNVDGHSSSEDASACMHL VIWKIREDAKTKR >ENSMUSP00000138493.1 pep:known chromosome:GRCm38:10:80541664:80547921:-1 gene:ENSMUSG00000047417.17 transcript:ENSMUST00000182260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rexo1 description:REX1, RNA exonuclease 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914182] XYRRAQLAQRDSASWLQAAPRPTERLSSVHISAPGEKRRIAHVPNPRLAAAPTGAKRALS ASSSQASHGPEPGSQPLKTRTLSGMASKTTTTVTPKRIAHSPSLQSLKKPVIPKEFGGKV PTVVRQRYLNLFIEECLKFCSSNQEAIEKALNEEKVAYDRSPSKNIYLNVAVNTLKKLRG LVPNTVPNLSKASGRRVVSHEVVLGGKLAAKTSFSLSRPSSPRVEELKALTHSAPAGTAL YSRLREYLLTQEQLKENGYPFPHPERPGGAIIFTAEEKKPKDPSCRICCRCGTEYLVSSS GRCVRSEECYYHWGRLRRNRVAGGWETQYMCCSAAVGSVGCQVAKQHVQDGRKENLEGFV RTFQKELPEDAHAGVFALDCEMSYTTYGLELTRVTVVDTDMQVVYDTFVKPDNEVVDYNT RFSGVTEADLVDTSITLRDVQAVLLSMFSADTILIGHSLESDLLALKVIHGTVVDTSVLF PHRLGLPYKRSLRNLMADYLRQIIQDNVDGHSSSEDASACMHLVIWKIREDAKTKR >ENSMUSP00000138616.1 pep:known chromosome:GRCm38:10:80542616:80544313:-1 gene:ENSMUSG00000047417.17 transcript:ENSMUST00000183160.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rexo1 description:REX1, RNA exonuclease 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914182] FTAEEKKPKDPSCRICCRCGTEYLVSSSGRCVRSEECYYHWGRLRRNRVAGGWETQYMCC SAAVGSVGCQVAKALIQDTGLLESHAQPCWPFC >ENSMUSP00000138329.1 pep:known chromosome:GRCm38:10:80545997:80549462:-1 gene:ENSMUSG00000047417.17 transcript:ENSMUST00000183073.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rexo1 description:REX1, RNA exonuclease 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914182] XEDVDYSALEKEVDFDVDPMEECLRIFNESTSVKTEDKGRLARQVRALALAG >ENSMUSP00000002379.8 pep:known chromosome:GRCm38:17:33843091:33849774:1 gene:ENSMUSG00000002308.15 transcript:ENSMUST00000002379.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd320 description:CD320 antigen [Source:MGI Symbol;Acc:MGI:1860083] MARGGAGRAVALGLVLRLLFGLRTGLEAAPAPAHTRVQVSGSRADSCPTDTFQCLTSGYC VPLSWRCDGDQDCSDGSDEEDCRIESCAQNGQCQPQSALPCSCDNISGCSDVSDKNLNCS RPPCQESELHCILDDVCIPHTWRCDGHPDCLDSSDELSCDTDTEIDKIFQEENATTTRIS TTMENETSFRNVTFTSAGDSSRNPSAYGVIAAAGVLSAILVSATLLILLRLRGQGYLPPP GLLVAVKESLLLSERKTSLI >ENSMUSP00000084839.7 pep:known chromosome:GRCm38:17:33843426:33848751:1 gene:ENSMUSG00000002308.15 transcript:ENSMUST00000087559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd320 description:CD320 antigen [Source:MGI Symbol;Acc:MGI:1860083] MWAGRLLFLILTSHHAGLVTRYLLAAGSRADSCPTDTFQCLTSGYCVPLSWRCDGDQDCS DGSDEEDCRIESCAQNGQCQPQSALPCSCDNISGCSDVSDKNLNCSRPPCQESELHCILD DVCIPHTWRCDGHPDCLDSSDELSCDTDTEIDKIFQEENATTTRISTTMENETSFRNVTF TSAGDSSRNPSAYGVIAAAGVLSAILVSATLLILLRLRGQGYLPPPGLLVAVKESLLLSE RKTSLI >ENSMUSP00000110406.1 pep:known chromosome:GRCm38:17:28691342:28741164:1 gene:ENSMUSG00000053436.14 transcript:ENSMUST00000114758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk14 description:mitogen-activated protein kinase 14 [Source:MGI Symbol;Acc:MGI:1346865] MSQERPTFYRQELNKTIWEVPERYQNLSPVGSGAYGSVCAAFDTKTGHRVAVKKLSRPFQ SIIHAKRTYRELRLLKHMKHENVIGLLDVFTPARSLEEFNDVYLVTHLMGADLNNIVKCQ KLTDDHVQFLIYQILRGLKYIHSADIIHRDLKPSNLAVNEDCELKILDFGLARHTDDEMT GYVATRWYRAPEIMLNWMHYNQTVDIWSVGCIMAELLTGRTLFPGTDHIDQLKLILRLVG TPGAELLKKISSESDAKP >ENSMUSP00000004990.6 pep:known chromosome:GRCm38:17:28691342:28748404:1 gene:ENSMUSG00000053436.14 transcript:ENSMUST00000004990.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk14 description:mitogen-activated protein kinase 14 [Source:MGI Symbol;Acc:MGI:1346865] MSQERPTFYRQELNKTIWEVPERYQNLSPVGSGAYGSVCAAFDTKTGHRVAVKKLSRPFQ SIIHAKRTYRELRLLKHMKHENVIGLLDVFTPARSLEEFNDVYLVTHLMGADLNNIVKCQ KLTDDHVQFLIYQILRGLKYIHSADIIHRDLKPSNLAVNEDCELKILDFGLARHTDDEMT GYVATRWYRAPEIMLNWMHYNQTVDIWSVGCIMAELLTGRTLFPGTDHINQLQQIMRLTG TPPAYLINRMPSHEARNYIQSLAQMPKMNFANVFIGANPLAVDLLEKMLVLDSDKRITAA QALAHAYFAQYHDPDDEPVADPYDQSFESRDLLIDEWKSLTYDEVISFVPPPLDQEEMES >ENSMUSP00000061958.8 pep:known chromosome:GRCm38:17:28691342:28748404:1 gene:ENSMUSG00000053436.14 transcript:ENSMUST00000062694.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk14 description:mitogen-activated protein kinase 14 [Source:MGI Symbol;Acc:MGI:1346865] MSQERPTFYRQELNKTIWEVPERYQNLSPVGSGAYGSVCAAFDTKTGHRVAVKKLSRPFQ SIIHAKRTYRELRLLKHMKHENVIGLLDVFTPARSLEEFNDVYLVTHLMGADLNNIVKCQ KLTDDHVQFLIYQILRGLKYIHSADIIHRDLKPSNLAVNEDCELKILDFGLARHTDDEMT GYVATRWYRAPEIMLNWMHYNQTVDIWSVGCIMAELLTGRTLFPGTDHIDQLKLILRLVG TPGAELLKKISSESARNYIQSLAQMPKMNFANVFIGANPLAVDLLEKMLVLDSDKRITAA QALAHAYFAQYHDPDDEPVADPYDQSFESRDLLIDEWKSLTYDEVISFVPPPLDQEEMES >ENSMUSP00000110402.1 pep:known chromosome:GRCm38:17:28691342:28748404:1 gene:ENSMUSG00000053436.14 transcript:ENSMUST00000114754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk14 description:mitogen-activated protein kinase 14 [Source:MGI Symbol;Acc:MGI:1346865] MKHENVIGLLDVFTPARSLEEFNDVYLVTHLMGADLNNIVKCQKLTDDHVQFLIYQILRG LKYIHSADIIHRDLKPSNLAVNEDCELKILDFGLARHTDDEMTGYVATRWYRAPEIMLNW MHYNQTVDIWSVGCIMAELLTGRTLFPGTDHIDQLKLILRLVGTPGAELLKKISSESARN YIQSLAQMPKMNFANVFIGANPLAVDLLEKMLVLDSDKRITAAQALAHAYFAQYHDPDDE PVADPYDQSFESRDLLIDEWKSLTYDEVISFVPPPLDQEEMES >ENSMUSP00000116914.1 pep:known chromosome:GRCm38:17:28691440:28728471:1 gene:ENSMUSG00000053436.14 transcript:ENSMUST00000124886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk14 description:mitogen-activated protein kinase 14 [Source:MGI Symbol;Acc:MGI:1346865] MSQERPTFYRQELNKTIWEVPERYQNLSPVGSGAYGSVCAAFDTKTGHRVAVKKLSRPFQ SIIHAKRTYRELRLLKHMKHENVIGLLDVFTPARSLEEFNDVYLVTHLMGADLNNIVKCQ KLTDDHVQFLIYQILRGLKYIHSADIIHRDLKPSNLAVNEDCELKILDFGLARHTDDEMT GYVATRWYRAPEIMLNWMHYNQT >ENSMUSP00000110400.1 pep:known chromosome:GRCm38:17:28692568:28748404:1 gene:ENSMUSG00000053436.14 transcript:ENSMUST00000114752.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk14 description:mitogen-activated protein kinase 14 [Source:MGI Symbol;Acc:MGI:1346865] MKHENVIGLLDVFTPARSLEEFNDVYLVTHLMGADLNNIVKCQKLTDDHVQFLIYQILRG LKYIHSADIIHRDLKPSNLAVNEDCELKILDFGLARHTDDEMTGYVATRWYRAPEIMLNW MHYNQTVDIWSVGCIMAELLTGRTLFPGTDHIDQLKLILRLVGTPGAELLKKISSESARN YIQSLAQMPKMNFANVFIGANPLAVDLLEKMLVLDSDKRITAAQALAHAYFAQYHDPDDE PVADPYDQSFESRDLLIDEWKSLTYDEVISFVPPPLDQEEMES >ENSMUSP00000128182.1 pep:known chromosome:GRCm38:3:94362438:94364566:1 gene:ENSMUSG00000091648.2 transcript:ENSMUST00000169433.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd4d description:C2 calcium-dependent domain containing 4D [Source:MGI Symbol;Acc:MGI:2685505] MWLLEKAGYRVRTAEARALQAHPSLVPKRQARGSPSRCNPNVLTPDRIPQFFIPPRLRDP RGAEGRVDRNPGGRNLPVACSLPHLAGREGWAFLPESPHTRRRESLFHGPRGLAAGLAPA QSRLHVSAPDLRLCRAPDSDTASSPDSSPCGSPHTPRPQSLSPDEASSADTSPYAPRRAP PLFHLDFLCCQLRPTKDSVLRLGPRGGQLRLSTEYQAGPGRLRLRLVSAEGLPRPRTRPG SGGGGCCVILRLQPRVRPGAQRSRVVQSSCNPIFNEDFFFEGLRPPDLAVRSLRAKVLDR GAGLRRDVLLGECETPLIALLPPLAGGLGPGSSLAPTHLSL >ENSMUSP00000030145.8 pep:known chromosome:GRCm38:4:41291300:41314889:-1 gene:ENSMUSG00000028436.8 transcript:ENSMUST00000030145.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf12 description:DDB1 and CUL4 associated factor 12 [Source:MGI Symbol;Acc:MGI:1916220] MARKAVSRKRKASASPGAGSDAQGPQFGWDHSLHKRKRLPPVKRSLVYYLKNREVRLQNE TSYSRLLHGYAAQQLPSLLKEREFHLGTLNKVFASQWLNHRQVVCGTKCNTLFVVDVQTG QITKIPILKDREPGGVTQQGCGIHAIELNPSRTLLATGGDNPNSLAIYRLPTLDPVCVGD DGHKDWIFSIAWINDTMAVSGSRDGSMGLWEVTDDVLTKSDARHNVSPVPVYAHITHKAL KDIPKEDTNPDNCKVRALAFNNKNKELGAVSLDGYFHLWKAENTLSKLLSTKLPYCRENV CLAYGSEWSVYAVGSQAHVSFLDPRQPSYNVKSVCSRERGSGIRSVSFYEHIITVGTGQG SLLFYDIRAQRFLEERLSACYGSKPRLAGENLKLTTGRGWLNHDETWRNYFSDIDFFPNA VYTHCYDSSGTKLFVAGGPLPSGLHGNYAGLWS >ENSMUSP00000018556.4 pep:known chromosome:GRCm38:11:104333501:104468861:-1 gene:ENSMUSG00000018412.16 transcript:ENSMUST00000018556.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl1 description:KAT8 regulatory NSL complex subunit 1 [Source:MGI Symbol;Acc:MGI:1923969] MAAMAPALTDAAAEAHHIRFKLAPPSSTLSPGSAENNGNANILISANGTKRKAIAAEDPS LDFRNNPTKEDLGKLQPLVASYLCSDVTSVPAKESLKLQGVFSKQTVLKSHPLLSQSYEL RAELLGRQPVLEFSLENLRTMNTSGQTALPQAPVNGLAKKLTKSSTHSDHDNSSSLNGGK RSLTSSSLQGGEVGGPDSGNLKGGMTNCTLPHRSLDIQHTTLYSNNSTANKSSVNSMDQP ALQGSSRLSPSTDSSSNLTNVKLEVKKSPLSSILFSALDSDTRITALLRRQADIEIRARR LQKRLQVVQAKQVERHLQHQLGGFLETTLSKLPNLESLRSRSQLMLTRKAEAALRKAASE SATSEGLSNFLKSDSISEELERFTASGIANLRCSEQAFDSDVTDSSSGGESDIEEEELTR ADPEQCHVPLKRRSEWRWAADRAAIVSRWNWLQAHVSDLEYRIRQQTDIYKQIRANKGLI VLGEAPFPDHTTDLLSLSSEVKTDHGRDKLIESVSQPSENHGILVSNITESLSTKSCGAP RPVNGVVNSLQPVLADQVPGDSSDAEEQLHKKQRLNLVSSSDGTCVAARTRPVLTCKKRR LVRPSSIVPLSKKVHRNVRSGCDVNPSCALCGSGSVNTMPPEIHYEAPLLERLSQLDSCV HPVLAFPDDVPTSLHFQSMLKSQWQNKPFDKIKPTKKFSLKHRATMPCSLSDPVRKDRHK LVNSFLTTAMLKHHTDMSSPSYLTATHHPPHSPLVRQLSTSSDTSTPTSSGSQVAASTSQ PVRRRRGESSFDINNIVIPMSVAATTRVEKLQYKEILTPSWREVDVQSLKGSPDEENEEI EDLSDAAFAALHAKCEEMERARWLWTTSVPPQRRGSRSYRSSDGRTTPQLGSANPSTPQP ASPDVSSSHSLSEFSHGQSPRSPISPELHSAPLTPVARDSLRHLASEDTRCSTPELGLDE QSVQPWERRTFPLAYSPQAECEEQLDAQDTAARCTRRTSGSKTGREAEVAPTSPPVVPLK SRHLAATVTAQRPAHR >ENSMUSP00000102585.1 pep:known chromosome:GRCm38:11:104333501:104442291:-1 gene:ENSMUSG00000018412.16 transcript:ENSMUST00000106972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl1 description:KAT8 regulatory NSL complex subunit 1 [Source:MGI Symbol;Acc:MGI:1923969] MAAMAPALTDAAAEAHHIRFKLAPPSSTLSPGSAENNGNANILISANGTKRKAIAAEDPS LDFRNNPTKEDLGKLQPLVASYLCSDVTSVPAKESLKLQGVFSKQTVLKSHPLLSQSYEL RAELLGRQPVLEFSLENLRTMNTSGQTALPQAPVNGLAKKLTKSSTHSDHDNSSSLNGGK RSLTSSSLQGGEVGGPDSGNLKGGMTNCTLPHRSLDIQHTTLYSNNSTANKSSVNSMDQP ALQGSSRLSPSTDSSSNLTNVKLEVKKSPLSSILFSALDSDTRITALLRRQADIEIRARR LQKRLQVVQAKQVERHLQHQLGGFLETTLSKLPNLESLRSRSQLMLTRKAEAALRKAASE SATSEGLSNFLKSDSISEELERFTASGIANLRCSEQAFDSDVTDSSSGGESDIEEEELTR ADPEQCHVPLKRRSEWRWAADRAAIVSRWNWLQAHVSDLEYRIRQQTDIYKQIRANKGLI VLGEAPFPDHTTDLLSLSSEVKTDHGRDKLIESVSQPSENHGILVSNITESLSTKSCGAP RPVNGVVNSLQPVLADQVPGDSSDAEEQLHKKQRLNLVSSSDGTCVAARTRPVLTCKKRR LVRPSSIVPLSKKVHRNVRSGCDVNPSCALCGSGSVNTMPPEIHYEAPLLERLSQLDSCV HPVLAFPDDVPTSLHFQSMLKSQWQNKPFDKIKPTKKFSLKHRATMPCSLSDPVRKDRHK LVNSFLTTAKLCHQQTRPDRTHRQHLDGVGAVPMVERVTAPKAERLLHLPPPVHDPTHSK MRLRDHSSERSEVLKHHTDMSSPSYLTATHHPPHSPLVRQLSTSSDTSTPTSSGSQVAAS TSQPVRRRRGESSFDINNIVIPMSVAATTRVEKLQYKEILTPSWREVDVQSLKGSPDEEN EEIEDLSDAAFAALHAKCEEMERARWLWTTSVPPQRRGSRSYRSSDGRTTPQLGSANPST PQPASPDVSSSHSLSEFSHGQSPRSPISPELHSAPLTPVARDSLRHLASEDTRCSTPELG LDEQSVQPWERRTFPLAYSPQAECEEQLDAQDTAARCTRRTSGSKTGREAEVAPTSPPVV PLKSRHLAATVTAQRPAHR >ENSMUSP00000102584.1 pep:known chromosome:GRCm38:11:104333545:104441702:-1 gene:ENSMUSG00000018412.16 transcript:ENSMUST00000106971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl1 description:KAT8 regulatory NSL complex subunit 1 [Source:MGI Symbol;Acc:MGI:1923969] MAAMAPALTDAAAEAHHIRFKLAPPSSTLSPGSAENNGNANILISANGTKRKAIAAEDPS LDFRNNPTKEDLGKLQPLVASYLCSDVTSVPAKESLKLQGVFSKQTVLKSHPLLSQSYEL RAELLGRQPVLEFSLENLRTMNTSGQTALPQAPVNGLAKKLTKSSTHSDHDNSSSLNGGK RSLTSSSLQGGEVGGPDSGNLKGGMTNCTLPHRSLDIQHTTLYSNNSTANKSSVNSMDQP ALQGSSRLSPSTDSSSNLTNVKLEVKKSPLSSILFSALDSDTRITALLRRQADIEIRARR LQKRLQVVQAKQVERHLQHQLGGFLETTLSKLPNLESLRSRSQLMLTRKAEAALRKAASE SATSEGLSNFLKSDSISEELERFTASGIANLRCSEQAFDSDVTDSSSGGESDIEEEELTR ADPEQCHVPLKRRSEWRWAADRAAIVSRWNWLQAHVSDLEYRIRQQTDIYKQIRANKGLI VLGEAPFPDHTTDLLSLSSEVKTDHGRDKLIESVSQPSENHGILVSNITESLSTKSCGAP RPVNGVVNSLQPVLADQVPGDSSDAEEQLHKKQRLNLVSSSDGTCVAARTRPVLTCKKRR LVRPSSIVPLSKKVHRNVRSGCDVNPSCALCGSGSVNTMPPEIHYEAPLLERLSQLDSCV HPVLAFPDDVPTSLHFQSMLKSQWQNKPFDKIKPTKKFSLKHRATMPCSLSDPVRKDRHK LVNSFLTTAKLCHQQTRPDRTHRQHLDGVGAVPMVERVTAPKAERLLHLPPPVHDPTHSK MRLRDHSSERSEVLKHHTDMSSPSYLTATHHPPHSPLVRQLSTSSDTSTPTSSGSQVAAS TSQPVRRRRGESSFDINNIVIPMSVAATTRVEKLQYKEILTPSWREVDVQSLKGSPDEEN EEIEDLSDAAFAALHAKCEEMERARWLWTTSVPPQRRGSRSYRSSDGRTTPQLGSANPST PQPASPDVSSSHSLSEFSHGQSPRSPISPELHSAPLTPVARDSLRHLASEDTRCSTPELG LDEQSVQPWERRTFPLAYSPQAECEEQLDAQDTAARCTRRTSGSKTGREAEVAPTSPPVV PLKSRHLAATVTAQRPAHR >ENSMUSP00000116367.1 pep:known chromosome:GRCm38:11:104424937:104467991:-1 gene:ENSMUSG00000018412.16 transcript:ENSMUST00000134266.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl1 description:KAT8 regulatory NSL complex subunit 1 [Source:MGI Symbol;Acc:MGI:1923969] MAAMAPALTDAAAEAHHIRFKLAPPSSTLSPGSAENNGNANILISANGTKRKAIAAEDPS LDFRNNPTKEDLGKLQPLVASYLCSDVTSVP >ENSMUSP00000102590.1 pep:known chromosome:GRCm38:11:104333229:104442291:-1 gene:ENSMUSG00000018412.16 transcript:ENSMUST00000106977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl1 description:KAT8 regulatory NSL complex subunit 1 [Source:MGI Symbol;Acc:MGI:1923969] MAAMAPALTDAAAEAHHIRFKLAPPSSTLSPGSAENNGNANILISANGTKRKAIAAEDPS LDFRNNPTKEDLGKLQPLVASYLCSDVTSVPAKESLKLQGVFSKQTVLKSHPLLSQSYEL RAELLGRQPVLEFSLENLRTMNTSGQTALPQAPVNGLAKKLTKSSTHSDHDNSSSLNGGK RSLTSSSLQGGEVGGPDSGNLKGGMTNCTLPHRSLDIQHTTLYSNNSTANKSSVNSMDQP ALQGSSRLSPSTDSSSNLTNVKLEVKKSPLSSILFSALDSDTRITALLRRQADIEIRARR LQKRLQVVQAKQVERHLQHQLGGFLETTLSKLPNLESLRSRSQLMLTRKAEAALRKAASE SATSEGLSNFLKSDSISEELERFTASGIANLRCSEQAFDSDVTDSSSGGESDIEEEELTR ADPEQCHVPLKRRSEWRWAADRAAIVSRWNWLQAHVSDLEYRIRQQTDIYKQIRANKGLI VLGEAPFPDHTTDLLSLSSEVKTDHGRDKLIESVSQPSENHGILVSNITESLSTKSCGAP RPVNGVVNSLQPVLADQVPGDSSDAEEQLHKKQRLNLVSSSDGTCVAARTRPVLTCKKRR LVRPSSIVPLSKKVHRNVRSGCDVNPSCALCGSGSVNTMPPEIHYEAPLLERLSQLDSCV HPVLAFPDDVPTSLHFQSMLKSQWQNKPFDKIKPTKKFSLKHRATMPCSLSDPVRKDRHK LVNSFLTTAMLKHHTDMSSPSYLTATHHPPHSPLVRQLSTSSDTSTPTSSGSQVAASTSQ PVRRRRGESSFDINNIVIPMSVAATTRVEKLQYKEILTPSWREVDVQSLKGSPDEENEEI EDLSDAAFAALHAKCEEMERARWLWTTSVPPQRRGSRSYRSSDGRTTPQLGSANPSTPQP ASPDVSSSHSLSEFSHGQSPRSPISPELHSAPLTPVARDSLRHLASEDTRCSTPELGLDE QSVQPWERRTFPLAYSPQAECEEQLDAQDTAARCTRRTSGSKTGREAEVAPTSPPVVPLK SRHLAATVTAQRPAHR >ENSMUSP00000097353.1 pep:known chromosome:GRCm38:2:89751870:89752826:-1 gene:ENSMUSG00000075075.1 transcript:ENSMUST00000099765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1253 description:olfactory receptor 1253 [Source:MGI Symbol;Acc:MGI:3031393] MGQSNNVTEFVLLGFTQDPAGQKALFVMFSLMYIATMVGNLLIVGTVIASPSLGSPMYFF LASLSLMDAVYSTAISPKLIVDLLREKKTISFRACISQLFIEHLFGGVDIVILVAMAYDR YVAICKPLHYLIIMNRRVCILFLVMAWVGGFVHSLFQVLAVYNLPFCGPNIIDHFGCDIY PLLLLACTDTYFLGLTIIANGGAMCIVIFILLLLSYAIILRSLQNHSKEGRRKALSTCIS HITVVFLFFVPCIFMYVRPVSSFPIDKSITVIYTIVTPMLNPLIYTLRNSEMKNSMEKLL QKMLSPNRIKLSSCCSYD >ENSMUSP00000140464.1 pep:known chromosome:GRCm38:X:33575398:33577314:-1 gene:ENSMUSG00000101294.1 transcript:ENSMUST00000186329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2913 description:predicted gene 2913 [Source:MGI Symbol;Acc:MGI:3781091] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIHALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YVLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000126847.1 pep:known chromosome:GRCm38:19:35958734:36057340:-1 gene:ENSMUSG00000024798.15 transcript:ENSMUST00000164639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr7 description:5-hydroxytryptamine (serotonin) receptor 7 [Source:MGI Symbol;Acc:MGI:99841] MMDVNSSGRPDLYGHLRSLILPEVGRRLQDLSPDGGAHSVVSSWMPHLLSGFPEVTASPA PTWDAPPDNVSGCGEQINYGRVEKVVIGSILTLITLLTIAGNCLVVISVCFVKKLRQPSN YLIVSLALADLSVAVAVMPFVSVTDLIGGKWIFGHFFCNVFIAMDVMCCTASIMTLCVIS IDRYLGITRPLTYPVRQNGKCMAKMILSVWLLSASITLPPLFGWAQNVNDDKVCLISQDF GYTIYSTAVAFYIPMSVMLFMYYQIYKAARKSAAKHKFSGFPRVQPESVISLNGVVKLQK EVEECANLSRLLKHERKNISIFKREQKAATTLGIIVGAFTVCWLPFFLLSTARPFICGTS CSCIPLWVERTCLWLGYANSLINPFIYAFFNRDLRTTYRSLLQCQYRNINRKLSAAGMHE ALKLAERPERSEFVLQNCDHCGKKGHDT >ENSMUSP00000128386.1 pep:known chromosome:GRCm38:19:35958734:36057360:-1 gene:ENSMUSG00000024798.15 transcript:ENSMUST00000165215.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Htr7 description:5-hydroxytryptamine (serotonin) receptor 7 [Source:MGI Symbol;Acc:MGI:99841] MMDVNSSGRPDLYGHLRSLILPEVGRRLQDLSPDGGAHSVVSSWMPHLLSGFPEVTASPA PTWDAPPDNVSGCGEQINYGRVEKVVIGSILTLITLLTIAGNCLVVISVCFVKKLRQPSN YLIVSLALADLSVAVAVMPFVSVTDLIGGKWIFGHFFCNVFIAMDVMCCTASIMTLCVIS IDRESIAGHRRIGSLRKY >ENSMUSP00000131912.1 pep:known chromosome:GRCm38:19:35958734:36057360:-1 gene:ENSMUSG00000024798.15 transcript:ENSMUST00000164781.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Htr7 description:5-hydroxytryptamine (serotonin) receptor 7 [Source:MGI Symbol;Acc:MGI:99841] MMDVNSSGRPDLYGHLRSLILPEVGRRLQDLSPDGGAHSVVSSWMPHLLSGFPEVTASPA PTWDAPPDNVSGCGEQINYGRVEKVVIGSILTLITLLTIAGNCLVVISVCFVKKLRQPSN YLIVSLALADLSVAVAVMPFVSVTDLIGGKWIFGHFFCNVFIAMDVMCCTASIMTLCVIS IDRESIAGHRRIGSLRKY >ENSMUSP00000126150.1 pep:known chromosome:GRCm38:19:35958745:36057368:-1 gene:ENSMUSG00000024798.15 transcript:ENSMUST00000166074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr7 description:5-hydroxytryptamine (serotonin) receptor 7 [Source:MGI Symbol;Acc:MGI:99841] MMDVNSSGRPDLYGHLRSLILPEVGRRLQDLSPDGGAHSVVSSWMPHLLSGFPEVTASPA PTWDAPPDNVSGCGEQINYGRVEKVVIGSILTLITLLTIAGNCLVVISVCFVKKLRQPSN YLIVSLALADLSVAVAVMPFVSVTDLIGGKWIFGHFFCNVFIAMDVMCCTASIMTLCVIS IDRYLGITRPLTYPVRQNGKCMAKMILSVWLLSASITLPPLFGWAQNVNDDKVCLISQDF GYTIYSTAVAFYIPMSVMLFMYYQIYKAARKSAAKHKFSGFPRVQPESVISLNGVVKLQK EVEECANLSRLLKHERKNISIFKREQKAATTLGIIVGAFTVCWLPFFLLSTARPFICGTS CSCIPLWVERTCLWLGYANSLINPFIYAFFNRDLRTTYRSLLQCQYRNINRKLSAAGMHE ALKLAERPERSEFVL >ENSMUSP00000131517.1 pep:known chromosome:GRCm38:19:35959820:36057507:-1 gene:ENSMUSG00000024798.15 transcript:ENSMUST00000170360.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Htr7 description:5-hydroxytryptamine (serotonin) receptor 7 [Source:MGI Symbol;Acc:MGI:99841] MMDVNSSGRPDLYGHLRSLILPEVGRRLQDLSPDGGAHSVVSSWMPHLLSGFPEVTASPA PTWDAPPDNVSGCGEQINYGRVEKVVIGSILTLITLLTIAGNCLVVISVCFVKKLRQPSN YLIVSLALADLSVAVAVMPFVSVTDLIGGKWIFGHFFCNVFIAMDVMCCTASIMTLCVIS IDRYLGITRPLTYPVRQNGKCMAKMILSVWLLSASITLPPLFGWAQNVNDDKVCLISQDF GYTIYSTAVARRWKSVRTFRDCSNTKGKTFPSSRGNRKQPLPWGSSWEPSRCAGCRFSSC PQQGPLSVAPPVAASRCGWRGHVCGWAMQTLSLTLLYMPSSTGT >ENSMUSP00000097105.3 pep:known chromosome:GRCm38:19:35960315:36057373:-1 gene:ENSMUSG00000024798.15 transcript:ENSMUST00000099505.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr7 description:5-hydroxytryptamine (serotonin) receptor 7 [Source:MGI Symbol;Acc:MGI:99841] MMDVNSSGRPDLYGHLRSLILPEVGRRLQDLSPDGGAHSVVSSWMPHLLSGFPEVTASPA PTWDAPPDNVSGCGEQINYGRVEKVVIGSILTLITLLTIAGNCLVVISVCFVKKLRQPSN YLIVSLALADLSVAVAVMPFVSVTDLIGGKWIFGHFFCNVFIAMDVMCCTASIMTLCVIS IDRYLGITRPLTYPVRQNGKCMAKMILSVWLLSASITLPPLFGWAQNVNDDKVCLISQDF GYTIYSTAVAFYIPMSVMLFMYYQIYKAARKSAAKHKFSGFPRVQPESVISLNGVVKLQK EVEECANLSRLLKHERKNISIFKREQKAATTLGIIVGAFTVCWLPFFLLSTARPFICGTS CSCIPLWVERTCLWLGYANSLINPFIYAFFNRDLRTTYRSLLQCQYRNINRKLSAAGMHE ALKLAERPERSEFVLMTGASGVQKALENLPWGNGVNTGIKAVNSVALTKL >ENSMUSP00000058183.5 pep:known chromosome:GRCm38:X:57496668:57503757:-1 gene:ENSMUSG00000036357.5 transcript:ENSMUST00000057645.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr101 description:G protein-coupled receptor 101 [Source:MGI Symbol;Acc:MGI:2685211] MPPSCTNSTQENNGSRVCLPLSKMPISVAHGIIRSVVLLVILGVAFLGNVVLGYVLHRKP NLLQVTNRFIFNLLVTDLLQVALVAPWVVSTAIPFFWPLNIHFCTALVSLTHLFAFASVN TIVVVSVDRYLTIIHPLSYPSKMTNRRSYILLYGTWIAAFLQSTPPLYGWGHATFDDRNA FCSMIWGASPAYTVVSVVSFLVIPLGVMIACYSVVFGAARRQQALLYKAKSHRLEVRVED SVVHENEEGAKKRDEFQDKNEFQGQDGGGQAEAKGSSSMEESPMVAEGSSQKTGKGSLDF SAGIMEGKDSDEVSNGSMEGLEVITEFQASSAKADTGRIDANQCNIDVGEDDVEFGMDEI HFNDDVEAMRIPESSPPSRRNSTSDPPLPPCYECKAARVIFVIISTYVLSLGPYCFLAVL AVWVDIDTRVPQWVITIIIWLFFLQCCIHPYVYGYMHKSIKKEIQEVLKKLICKKSPPVE DSHPDLHETEAGTEGGIEGKAVPSHDSATSP >ENSMUSP00000032264.6 pep:known chromosome:GRCm38:6:129533160:129542346:1 gene:ENSMUSG00000030161.8 transcript:ENSMUST00000032264.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabarapl1 description:gamma-aminobutyric acid (GABA) A receptor-associated protein-like 1 [Source:MGI Symbol;Acc:MGI:1914980] MKFQYKEDHPFEYRKKEGEKIRKKYPDRVPVIVEKAPKARVPDLDKRKYLVPSDLTVGQF YFLIRKRIHLRPEDALFFFVNNTIPPTSATMGQLYEDNHEEDYFLYVAYSDESVYGK >ENSMUSP00000145078.1 pep:known chromosome:GRCm38:6:129533200:129541506:1 gene:ENSMUSG00000030161.8 transcript:ENSMUST00000204487.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabarapl1 description:gamma-aminobutyric acid (GABA) A receptor-associated protein-like 1 [Source:MGI Symbol;Acc:MGI:1914980] MRTTTRKTIFCMWPTVMKVSMENEAEAQQMGAPGLGGRGGVRVGLGEPEGGLPTMEETQG EDIGKHHTAHAVVFFSQAQLLLFSASSAQEELVLHWL >ENSMUSP00000145175.1 pep:known chromosome:GRCm38:6:129533376:129541434:1 gene:ENSMUSG00000030161.8 transcript:ENSMUST00000204956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabarapl1 description:gamma-aminobutyric acid (GABA) A receptor-associated protein-like 1 [Source:MGI Symbol;Acc:MGI:1914980] MKFQYKEDHPFEYRKKEGEKIRKKYPDRVPVIVEKAPKARVPDLDKRKYLVPSDLTVGQF YFLIRKRIHLRPEDALFFFVNNTIPPTSATMGQLYEDNHEEDYFLYVAYSDESVYGK >ENSMUSP00000144803.1 pep:known chromosome:GRCm38:6:129533551:129541237:1 gene:ENSMUSG00000030161.8 transcript:ENSMUST00000204639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabarapl1 description:gamma-aminobutyric acid (GABA) A receptor-associated protein-like 1 [Source:MGI Symbol;Acc:MGI:1914980] MRTTTRKTIFCMWPTVMKVSMENEAEAQQMGAPGLGGRGGVRVGLGE >ENSMUSP00000058613.8 pep:known chromosome:GRCm38:9:41964720:42124297:-1 gene:ENSMUSG00000049313.8 transcript:ENSMUST00000060989.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorl1 description:sortilin-related receptor, LDLR class A repeats-containing [Source:MGI Symbol;Acc:MGI:1202296] MATRSSRRESRLPFLFALVALLPRGALGGGWTQRLHGGPAPLPQDRGFFVVQGDPRDLRL GTHGDAPGASPAARKPLRTRRSAALQPQPIQVYGQVSLNDSHNQMVVHWAGEKSNVIVAL ARDSLALARPKSSDVYVSYDYGKSFSKISEKLNFGVGNNSEAVISQFYHSPADNKRYIFV DAYAQYLWITFDFCSTIHGFSIPFRAADLLLHSKASNLLLGFDRSHPNKQLWKSDDFGQT WIMIQEHVKSFSWGIDPYDQPNAIYIERHEPFGFSTVLRSTDFFQSRENQEVILEEVRDF QLRDKYMFATKVVHLPGSQQQSSVQLWVSFGRKPMRAAQFVTKHPINEYYIADAAEDQVF VCVSHSNNSTNLYISEAEGLKFSLSLENVLYYSPGGAGSDTLVRYFANEPFADFHRVEGL QGVYIATLINGSMNEENMRSVITFDKGGTWEFLQAPAFTGYGEKINCELSQGCSLHLAQR LSQLLNLQLRRMPILSKESAPGLIIATGSVGKNLASKTNVYISSSAGARWREALPGPHYY TWGDHGGIIMAIAQGMETNELKYSTNEGETWKTFVFSEKPVFVYGLLTEPGEKSTVFTIF GSNKESVHSWLILQVNATDALGVPCTENDYKLWSPSDERGNECLLGHKTVFKRRTPHATC FNGEDFDRPVVVSNCSCTREDYECDFGFKMSEDLSLEVCVPDPEFSGKPYSPPVPCPVGS SYRRTRGYRKISGDTCSGGDVEARLEGELVPCPLAEENEFILYAMRKSIYRYDLASGATE QLPLSGLRAAVALDFDYERNCLYWSDLALDTIQRLCLNGSTGQEVIINSGLETVEALAFE PLSQLLYWVDAGFKKIEVANPDGDFRLTIVNSSVLDRPRALVLVPQEGVMFWTDWGDLKP GIYRSYMDGSAAYRLVSEDVKWPNGISVDSQWIYWTDAYLDCIERITFSGQQRSVILDSL PHPYAIAVFKNEIYWDDWSQLSIFRASKHSRSQVEILASQLTGLMDMKVFYKGKNAGSNA CVPQPCSLLCLPKANNSKSCRCPEGVASSVLPSGDLMCDCPQGYQRKNNTCVKEENTCLR NQYRCSNGNCINSIWWCDFDNDCGDMSDERNCPTTVCDADTQFRCQESGTCIPLSYKCDL EDDCGDNSDESHCEMHQCRSDEFNCSSGMCIRSSWVCDGDNDCRDWSDEANCTAIYHTCE ASNFQCHNGHCIPQRWACDGDADCQDGSDEDPVSCEKKCNGFHCPNGTCIPSSKHCDGLR DCPDGSDEQHCEPFCTRFMDFVCKNRQQCLFHSMVCDGIVQCRDGSDEDAAFAGCSQDPE FHKECDEFGFQCQNGVCISLIWKCDGMDDCGDYSDEANCENPTEAPNCSRYFQFHCENGH CIPNRWKCDRENDCGDWSDEKDCGDSHVLPSPTPGPSTCLPNYFHCSSGACVMGTWVCDG YRDCADGSDEEACPSLANSTAASTPTQFGQCDRFEFECHQPKKCIPNWKRCDGHQDCQDG QDEANCPTHSTLTCTSREFKCEDGEACIVLSERCDGFLDCSDESDEKACSDELTVYKVQN LQWTADFSGDVTLTWMRPKKMPSASCVYNVYYRVVGESIWKTLETHSNKTSTVLKVLKPD TTYQVKVQVHCLNKVHNTNDFVTLRTPEGLPDAPRNLQLSLNSEEEGVILGHWAPPVHTH GLIREYIVEYSRSGSKMWASQRAASNSTEIKNLLLNALYTVRVAAVTSRGIGNWSDSKSI TTIKGKVIQAPNIHIDSYDENSLSFTLTMDGDIKVNGYVVNLFWSFDAHKQEKKTLSFRG GSALSHRVSNLTAHTSYEISAWAKTDLGDSPLAFEHILTRGSSPPAPSLKAKAINQTAVE CIWTGPKNVVYGIFYATSFLDLYRNPKSVTTSLHNKTVIVSKDEQYLFLVRVLIPYQGPS SDYVVVKMIPDSRLPPRHLHAVHIGKTSALIKWESPYDSPDQDLFYAIAVKDLIRKTDRS YKVRSRNSTVEYSLSKLEPGGKYHIIVQLGNMSKDSSIKITTVSLSAPDALKIITENDHV LLFWKSLALKEKQFNETRGYEIHMSDSAVNLTAYLGNTTDNFFKVSNLKMGHNYTFTVQA RCLFGSQICGEPAVLLYDELSSGADAAVIQAARSTDVAAVVVPILFLILLSLGVGFAILY TKHRRLQSSFSAFANSHYSSRLGSAIFSSGDDLGEDDEDAPMITGFSDDVPMVIA >ENSMUSP00000109074.1 pep:known chromosome:GRCm38:6:92370908:92567366:-1 gene:ENSMUSG00000030020.13 transcript:ENSMUST00000113447.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle2 description:prickle homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1925144] MVTVMPLEMEKTISKLMFDFQRSSTSDDDSGCALEEYAWVPPGLKPEQVHQYYSCLPEEK VPYVNSAGEKLRIKQLLHQLPPHDNEVRYCNSLDEEEKRELKLFSNQRKRENLGRGNVRP FPVTMTGAICEQCGGQIKGGDIAVFASRAGHGICWHPPCFVCTVCNELLVDLIYFYQDGK IYCGRHHAECLKPRCAACDEIIFADECTEAEGRHWHMRHFCCFECETVLGGQRYIMKEGR PYCCHCFESLYAEYCDTCAQHIGIDQGQMTYDGQHWHATETCFCCAHCKKSLLGRPFLPK QGQIFCSRACSAGEDPNGSDSSDSAFQNARAKESRRSAKIGKNKGKTEEAMLNQHSQLQV SSNRLSADVDPLSVQMDLLSLSSQTPSLNRDPIWRSREEPFHYGNKMEQNQSQSPLQLLS QCNIRTSYSPGGQGAGAQPDMWAKHFSNPKRSSSMALKGHGGSFIQECREDYYPGRLMSQ ESYSDMSSQSFNETRGSIPVPKYEEEEEEEEGGISTQQCRPRRPLSSLKYTEDMTPTEQT PRGSMESLALSNATGLSAEGGAKRQEHLSRFSMPDLSKDSGMNVSEKLSNMGTLNSSMQF RSAESVRSLLSAQQYQEMEGNLHQLSNPLGYRDLQSHGRMHQSFDFDGGIASSKLPGQEG VHIQPMSERTRRRTTSRDDNRRFRPHRSRRSRRSRSDNALHLASEREVIARLKERPPLRA REDYDQFMRQRSFQESLGQGSRRDLYSQCPRTVSDLALQNAFGERWGPYFTEYDWCSTCS SSSESDNEGYFLGEPIPQPARLRYVTSDELLHKYSSYGVPKSSTLGGRGQLHSRKRQKSK NCIIS >ENSMUSP00000032093.5 pep:known chromosome:GRCm38:6:92370908:92706155:-1 gene:ENSMUSG00000030020.13 transcript:ENSMUST00000032093.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle2 description:prickle homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1925144] MFSRSSRKRLSRRSLTGLGRIERGQPCNACGDQCPGFALHKWRKICLHCKCPQEEHMVTV MPLEMEKTISKLMFDFQRSSTSDDDSGCALEEYAWVPPGLKPEQVHQYYSCLPEEKVPYV NSAGEKLRIKQLLHQLPPHDNEVRYCNSLDEEEKRELKLFSNQRKRENLGRGNVRPFPVT MTGAICEQCGGQIKGGDIAVFASRAGHGICWHPPCFVCTVCNELLVDLIYFYQDGKIYCG RHHAECLKPRCAACDEIIFADECTEAEGRHWHMRHFCCFECETVLGGQRYIMKEGRPYCC HCFESLYAEYCDTCAQHIGIDQGQMTYDGQHWHATETCFCCAHCKKSLLGRPFLPKQGQI FCSRACSAGEDPNGSDSSDSAFQNARAKESRRSAKIGKNKGKTEEAMLNQHSQLQVSSNR LSADVDPLSVQMDLLSLSSQTPSLNRDPIWRSREEPFHYGNKMEQNQSQSPLQLLSQCNI RTSYSPGGQGAGAQPDMWAKHFSNPKRSSSMALKGHGGSFIQECREDYYPGRLMSQESYS DMSSQSFNETRGSIPVPKYEEEEEEEEGGISTQQCRPRRPLSSLKYTEDMTPTEQTPRGS MESLALSNATGLSAEGGAKRQEHLSRFSMPDLSKDSGMNVSEKLSNMGTLNSSMQFRSAE SVRSLLSAQQYQEMEGNLHQLSNPLGYRDLQSHGRMHQSFDFDGGIASSKLPGQEGVHIQ PMSERTRRRTTSRDDNRRFRPHRSRRSRRSRSDNALHLASEREVIARLKERPPLRAREDY DQFMRQRSFQESLGQGSRRDLYSQCPRTVSDLALQNAFGERWGPYFTEYDWCSTCSSSSE SDNEGYFLGEPIPQPARLRYVTSDELLHKYSSYGVPKSSTLGGRGQLHSRKRQKSKNCII S >ENSMUSP00000109073.1 pep:known chromosome:GRCm38:6:92370910:92534861:-1 gene:ENSMUSG00000030020.13 transcript:ENSMUST00000113446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle2 description:prickle homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1925144] MVTVMPLEMEKTISKLMFDFQRSSTSDDDSGCALEEYAWVPPGLKPEQVHQYYSCLPEEK VPYVNSAGEKLRIKQLLHQLPPHDNEVRYCNSLDEEEKRELKLFSNQRKRENLGRGNVRP FPVTMTGAICEQCGGQIKGGDIAVFASRAGHGICWHPPCFVCTVCNELLVDLIYFYQDGK IYCGRHHAECLKPRCAACDEIIFADECTEAEGRHWHMRHFCCFECETVLGGQRYIMKEGR PYCCHCFESLYAEYCDTCAQHIGIDQGQMTYDGQHWHATETCFCCAHCKKSLLGRPFLPK QGQIFCSRACSAGEDPNGSDSSDSAFQNARAKESRRSAKIGKNKGKTEEAMLNQHSQLQV SSNRLSADVDPLSVQMDLLSLSSQTPSLNRDPIWRSREEPFHYGNKMEQNQSQSPLQLLS QCNIRTSYSPGGQGAGAQPDMWAKHFSNPKRSSSMALKGHGGSFIQECREDYYPGRLMSQ ESYSDMSSQSFNETRGSIPVPKYEEEEEEEEGGISTQQCRPRRPLSSLKYTEDMTPTEQT PRGSMESLALSNATGLSAEGGAKRQEHLSRFSMPDLSKDSGMNVSEKLSNMGTLNSSMQF RSAESVRSLLSAQQYQEMEGNLHQLSNPLGYRDLQSHGRMHQSFDFDGGIASSKLPGQEG VHIQPMSERTRRRTTSRDDNRRFRPHRSRRSRRSRSDNALHLASEREVIARLKERPPLRA REDYDQFMRQRSFQESLGQGSRRDLYSQCPRTVSDLALQNAFGERWGPYFTEYDWCSTCS SSSESDNEGYFLGEPIPQPARLRYVTSDELLHKYSSYGVPKSSTLGGRGQLHSRKRQKSK NCIIS >ENSMUSP00000109072.1 pep:known chromosome:GRCm38:6:92375384:92481392:-1 gene:ENSMUSG00000030020.13 transcript:ENSMUST00000113445.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle2 description:prickle homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1925144] MVTVMPLEMEKTISKLMFDFQRSSTSDDDSGCALEEYAWVPPGLKPEQVHQYYSCLPEEK VPYVNSAGEKLRIKQLLHQLPPHDNEVRYCNSLDEEEKRELKLFSNQRKRENLGRGNVRP FPVTMTGAICEQCGGQIKGGDIAVFASRAGHGICWHPPCFVCTVCNELLVDLIYFYQDGK IYCGRHHAECLKPRCAACDEIIFADECTEAEGRHWHMRHFCCFECETVLGGQRYIMKEGR PYCCHCFESLYAEYCDTCAQHIGIDQGQMTYDGQHWHATETCFCCAHCKKSLLGRPFLPK QGQIFCSRACSAGEDPNGSDSSDSAFQNARAKESRRSAKIGKNKGKTEEAMLNQHSQLQV SSNRLSADVDPLSVQMDLLSLSSQTPSLNRDPIWRSREEPFHYGNKMEQNQSQSPLQLLS QCNIRTSYSPGGQGAGAQPDMWAKHFSNPKRSSSMALKGHGGSFIQECREDYYPGRLMSQ ESYSDMSSQSFNETRGSIPVPKYEEEEEEEEGGISTQQCRPRRPLSSLKYTEDMTPTEQT PRGSMESLALSNATGLSAEGGAKRQEHLSRFSMPDLSKDSGMNVSEKLSNMGTLNSSMQF RSAESVRSLLSAQQYQEMEGNLHQLSNPLGYRDLQSHGRMHQSFDFDGGIASSKLPGQEG VHIQPMSERTRRRTTSRDDNRRFRPHRSRRSRRSRSDNALHLASEREVIARLKERPPLRA REDYDQFMRQRSFQESLGQGSRRDLYSQCPRTVSDLALQNAFGERWGPYFTEYDWCSTCS SSSESDNEGYFLGEPIPQPARLRYVTSDELLHKYSSYGVPKSSTLGGRGQLHSRKRQKSK NCIIS >ENSMUSP00000132602.1 pep:known chromosome:GRCm38:14:3030002:3038762:1 gene:ENSMUSG00000090487.8 transcript:ENSMUST00000170521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2888 description:predicted gene 2888 [Source:MGI Symbol;Acc:MGI:3781066] MFFWLLRQCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELIEDNYSYSIKQKHRLCECTQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQCEIVQQKAEHGTDHDMISLKENCWRRSTVCAQKIHHC CLSSLITMAVMGCMLLLYFGFLGMNRP >ENSMUSP00000137316.1 pep:known chromosome:GRCm38:14:3030007:3032986:1 gene:ENSMUSG00000090487.8 transcript:ENSMUST00000178577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2888 description:predicted gene 2888 [Source:MGI Symbol;Acc:MGI:3781066] MFFWLLRQCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELIEDNYSYSIKQKHRLCECTQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000077962.1 pep:known chromosome:GRCm38:11:49280150:49281085:1 gene:ENSMUSG00000059864.1 transcript:ENSMUST00000078932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1393 description:olfactory receptor 1393 [Source:MGI Symbol;Acc:MGI:3031227] MGTFNTSLGGGFILVGFSDWPALELIFFIHILIFYSITLFGNTAIIALSRTDLRLHTPMY FFLSHLSFLDLCFTTSTVPQLLINLHGQDRTISYGGCVAQLFIFLALGSTESVLLVVMAF DRYVAVCRPLHYTTIMHPVLCQALAIASWVGGFLNSLIQTGLMMAMPLCGHRLNHFFCEM PVFLKLACQDTGGTEAKMFVARVVIVAVPAMLILGSYAQIARAVLKVKSVAARRKAAGTC GSHLLVVSLFYGSATYTYLQPKDSYSESKGKFVALFYTIITPMFNPLIYTLRNKDMKGAL WKVLGRAAATG >ENSMUSP00000107148.1 pep:known chromosome:GRCm38:2:89788406:89793170:-1 gene:ENSMUSG00000075074.2 transcript:ENSMUST00000111523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1254 description:olfactory receptor 1254 [Source:MGI Symbol;Acc:MGI:3031088] MGKNNNVTEFILLGLTQDPVGQKALFVLFLLMYIVTMAGNLIIVVTIIASPSLSSPMYFF LAYLSLMDAIYSTAISPKLIMDLLCNKKTISFRACMGQLFVEHLFGATEIFLLVAMAYDR YVAICKPLHYLTIMNHRVCILLVMATWVGGFAHSMAQVLFVYDLPFCGPNVIDHFACDMY PLLVLVCSDTYFLGLTVIANDGAICMVVFVILLASYGIILNSLKTHSQEGRRKALSTCSS HIMVVILFFVPCIFMYVRPVSNFPVDKSVTIFYTVVTPMLNPLIYTLRNSEIKHSMLKLW SKILHSDRLRKSCC >ENSMUSP00000097352.1 pep:known chromosome:GRCm38:2:89788406:89789350:-1 gene:ENSMUSG00000075074.2 transcript:ENSMUST00000099764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1254 description:olfactory receptor 1254 [Source:MGI Symbol;Acc:MGI:3031088] MGKNNNVTEFILLGLTQDPVGQKALFVLFLLMYIVTMAGNLIIVVTIIASPSLSSPMYFF LAYLSLMDAIYSTAISPKLIMDLLCNKKTISFRACMGQLFVEHLFGATEIFLLVAMAYDR YVAICKPLHYLTIMNHRVCILLVMATWVGGFAHSMAQVLFVYDLPFCGPNVIDHFACDMY PLLVLVCSDTYFLGLTVIANDGAICMVVFVILLASYGIILNSLKTHSQEGRRKALSTCSS HIMVVILFFVPCIFMYVRPVSNFPVDKSVTIFYTVVTPMLNPLIYTLRNSEIKHSMLKLW SKILHSDRLRKSCC >ENSMUSP00000140089.1 pep:known chromosome:GRCm38:8:84010222:84012158:-1 gene:ENSMUSG00000074217.8 transcript:ENSMUST00000190457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210011C24Rik description:RIKEN cDNA 2210011C24 gene [Source:MGI Symbol;Acc:MGI:1917384] METPIQREIRRSCEREESLRRSRGLSPGRAGEELIELRVRPVLSRPGSGTPLPRALERAR AGAKMQRDIEREAHRQAALASPAVPEPSARRRPQPLDELKRFFEAAAEDGAGLQRQPETG GRLHPAVQDGCPVLGQLPPLVAPSLLEQEVRRVRERERELQLQRRSIYGDAEVQEPAPSL TPSRGDGKLSVIWPPRRRASEKERRP >ENSMUSP00000062086.6 pep:known chromosome:GRCm38:8:84010239:84011411:-1 gene:ENSMUSG00000074217.8 transcript:ENSMUST00000056686.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210011C24Rik description:RIKEN cDNA 2210011C24 gene [Source:MGI Symbol;Acc:MGI:1917384] RPQPLDELKRFFEAAAEDGAGLQRQPETGGRLHPAVQDGCPVLGQLPPLVAPSLLEQEVR RVRERERELQLQRRSIYGDAEVQEPAPSLTRAQTLRSMKVGTCGQK >ENSMUSP00000140472.1 pep:known chromosome:GRCm38:8:84010767:84011411:-1 gene:ENSMUSG00000074217.8 transcript:ENSMUST00000188195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210011C24Rik description:RIKEN cDNA 2210011C24 gene [Source:MGI Symbol;Acc:MGI:1917384] RPQPLDELKRFFEAAAEDGAGLQRQPETGGRLHPAVQDGCPVLGQLPPLVAPSLLEQEVR RVRERERELQLQRRSIYGDAEVQEPAPSLTRSPHPSDLGTLTSGVLGE >ENSMUSP00000140135.1 pep:known chromosome:GRCm38:8:84011444:84012171:-1 gene:ENSMUSG00000074217.8 transcript:ENSMUST00000185457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210011C24Rik description:RIKEN cDNA 2210011C24 gene [Source:MGI Symbol;Acc:MGI:1917384] METPIQREIRRSCEREESLRRSRGLSPGRAGEELIELRVRPVLSRPGSGTPLPRALERAR AGAKMQRDIEREAHRQAALA >ENSMUSP00000140360.1 pep:known chromosome:GRCm38:8:84011452:84012156:-1 gene:ENSMUSG00000074217.8 transcript:ENSMUST00000191523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210011C24Rik description:RIKEN cDNA 2210011C24 gene [Source:MGI Symbol;Acc:MGI:1917384] METPIQREIRRSCEREESLRRSRGLSPGRAGEELIELRVRPVLSRPGSGTPLPRALERAR AGAKMQRDIEREAHRQAA >ENSMUSP00000044932.7 pep:known chromosome:GRCm38:5:25516067:25518027:1 gene:ENSMUSG00000038044.8 transcript:ENSMUST00000045016.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct8l1 description:chaperonin containing TCP1, subunit 8 (theta)-like 1 [Source:MGI Symbol;Acc:MGI:2685289] MAVSPPSRATQTKVQSDLELPQRLKPGLEKTPESQGEEPSYILRATAAAQTLASIIRSCY GPFGRQKFLVTAKGETVCTGHAAAILKALDLEHPAAQFVQELAQTQVENAGDGTVFVVLL TEALLEQAHYLLWAGLTPTQLREAFATATAEVLTALPSLAIRSLGPLEDPSWALYSVIST HTLSNSDYLTKLVAQACWVSREPNGSFKPESIVVCVLQGGKLTDSRIFPGVAIAGKLCGQ KTEVLGDARVALFNCPFGPTNPFTLATPRLSNPEELLRFRKQTEQVEKEIAQLAIMDINV AVVLGEVNEKSVDQANYCGIMVIQAKSRKEIVYLSEKLGTPLLGRVLPPLEPGKCHKVYR KEFGDTAVVMFEWEHEIAPFLSVVLRGPTIQGLRVAEQAVYYGIDAFSQLCQDPRLLPGA GATEMALAKMLVDKGSRLSGPNGLAFQAFAQALSSLPKTLAENAGLAAQSVMAELSGFHQ AGNFFVGVGTDGLVNVTHEGIWDILRTKAQGLQAVAELVQQLVTVDQIIVARKTPLYRQI TDPTLNAKVSSPLRAKFFGKYV >ENSMUSP00000020679.2 pep:known chromosome:GRCm38:11:46148155:46166508:-1 gene:ENSMUSG00000020411.2 transcript:ENSMUST00000020679.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipal4 description:NIPA-like domain containing 4 [Source:MGI Symbol;Acc:MGI:2444671] MELRVANANGSCENGSIVSLYCSSQEVLCQIVRGISPEEPYNATLITWQERVRKKYGFYI GVGLAFLSCFLIGTSVILKKKGLIRLVATGATRAVNGGYGYLKDPMWWAGMATMSAGEVA NFGAYAFAPATVVTPLGALSVLISAIFSSYCLGESLNLLGKLGCVICMAGSTVMVIHAPK EEKVTTVAEMASKMKDTGFIVFAVLLVVSCLILIFIVAPRYGQRNILIYIIICSVIGSFS VTAVKGLGVTIRNFFQGLPVVRHPLPYILSLILGLSIIIQVNFLNRALDIFNTSLVFPIY YVFFTTVVVASSIVLFKEWYTMSAVDIVGTLSGFVTIILGVFMLHAFKDLDINQISLPHT HKNPTPAPAPEPTVIKLEDKNVLVDNIELASTPSPQQKPKVFMTDS >ENSMUSP00000009143.7 pep:known chromosome:GRCm38:2:172868012:172940321:-1 gene:ENSMUSG00000008999.7 transcript:ENSMUST00000009143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp7 description:bone morphogenetic protein 7 [Source:MGI Symbol;Acc:MGI:103302] MHVRSLRAAAPHSFVALWAPLFLLRSALADFSLDNEVHSSFIHRRLRSQERREMQREILS ILGLPHRPRPHLQGKHNSAPMFMLDLYNAMAVEESGPDGQGFSYPYKAVFSTQGPPLASL QDSHFLTDADMVMSFVNLVEHDKEFFHPRYHHREFRFDLSKIPEGEAVTAAEFRIYKDYI RERFDNETFQITVYQVLQEHSGRESDLFLLDSRTIWASEEGWLVFDITATSNHWVVNPRH NLGLQLSVETLDGQSINPKLAGLIGRHGPQNKQPFMVAFFKATEVHLRSIRSTGGKQRSQ NRSKTPKNQEALRMASVAENSSSDQRQACKKHELYVSFRDLGWQDWIIAPEGYAAYYCEG ECAFPLNSYMNATNHAIVQTLVHFINPDTVPKPCCAPTQLNAISVLYFDDSSNVILKKYR NMVVRACGCH >ENSMUSP00000122480.1 pep:known chromosome:GRCm38:17:56005711:56011924:1 gene:ENSMUSG00000003199.16 transcript:ENSMUST00000133998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpnd description:MPN domain containing [Source:MGI Symbol;Acc:MGI:1915297] MTAAGRKFTGDLQLDGRIVWQETGQVFNSPSAWATHCKKLVNPAKKSGCGWASVKYKGQK LDKYKAAWLRRHQLHMPVATADESPTSEGEEEELLLEEEEEDVLAGVSSEDKGHRPPGKG SLEPEATPPGKRMDKVPVPIRYCMLGSRDSARNPHTLVEVTSFAAINKFQP >ENSMUSP00000119745.1 pep:known chromosome:GRCm38:17:56009201:56016790:1 gene:ENSMUSG00000003199.16 transcript:ENSMUST00000149441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpnd description:MPN domain containing [Source:MGI Symbol;Acc:MGI:1915297] MAAPESLSPGATAEEAPEEDEDDAEAEDPERGTGSGGRSGSLGGSGGGTAGPGMALGGAL TRRAVTLRVLLKDELLEPGEGVLSIYYLGRKFTGDLQLDGRIVWQETGQVFNSPSAWATH CKKLVNPAKKSGCGWASVKYKGQKLDKYKAAWLRRHQLHMPVATADESPTSEGEEEELLL EEEEEDVLAGVSSEDKGHRPPGKGSLEPEATPPGKRMDKVPVPIRYCMLGSRDSARNPHT LVEVTSFAAINKFQPFNVAVSSNVLFLLDFHCHLTRSEVVGYLGGRWDINNQMLTVLRAF PCRSRLGDTDTAATVEEEIYQVLFLRGLSLVGWYHSHPHSPAVPSLQDIDAQMEYQLRLQ GSSNGFQPCLALLCSPYYSGNPGPESKICPFWVMPPPEQRPSDYGIPMDVEMAYVQDSFL TNDVLQEMVMLAEFYKGAPDLVKFQEAWSPEHTYLDKLKMSLASRTPKDQGMCHVLEQVC SVLKQGS >ENSMUSP00000124128.1 pep:known chromosome:GRCm38:17:56009208:56016646:1 gene:ENSMUSG00000003199.16 transcript:ENSMUST00000162883.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpnd description:MPN domain containing [Source:MGI Symbol;Acc:MGI:1915297] MAAPESLSPGATAEEAPEEDEDDAEAEDPERGTGSGGRSGSLGGSGGGTAGPGMALGGAL TRRAVTLRVLLKDELLEPGEGVLSIYYLGRKFTGDLQLDGRIVWQETGQVFNSPSAWATH CKKLVNPAKKSGCGWASVKYKGQKLDKYKAAWLRRHQLHMPVATADESPTSEGEEEELLL EEEEEDVLAGVSSEDKGHRPPGKGSLEPEATPPGKRMDKVPVPIRYCMLGSRDSARNPHT LVEVTSFAAINKFQPFNVAVSSNVLFLLIYQVLFLRGLSLVGWYHSHPHSPAVPSLQDID AQMEYQLRLQGSSNGFQPCLALLCSPYYSGNPGPESKICPFWVMPPPEQRPSDYGIPMDV EMAYVQDSFLTNDVLQEMVMLAEFYKGAPDLVKFQEAWSPEHTYLDKLKMSLASRTPKDQ GMCHVLEQVCSVLKQGS >ENSMUSP00000124644.1 pep:known chromosome:GRCm38:17:56009231:56016653:1 gene:ENSMUSG00000003199.16 transcript:ENSMUST00000159996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpnd description:MPN domain containing [Source:MGI Symbol;Acc:MGI:1915297] MAAPESLSPGATAEEAPEEDEDDAEAEDPERGTGSGGRSGSLGGSGGGTAGPGMALGGAL TRRAVTLRVLLKDELLEPGEGVLSIYYLGRKFTGDLQLDGRIVWQETGQVFNSPSAWATH CKKLVNPAKKSGCGWASVKYKGQKLDKYKAAWLRRHQLHMPVATADESPTSEGEEEELLL EEEEEDVLAGVSSEDKGHRPPGKGSLEPEATPPGKRMDKVPVPIRYCMLGSRDSARNPHT LVEVTSFAAINKFQPFNVAVSSNVLFLLDFHCHLTRSEVVGYLGGRWDINNQMLTVLRAF PCRSRLGDTDTAATVEEEIYQVLFLRGLSLVGWYHSHPHSPAVPSLQDIDAQMEYQLRLQ GSSNGFQPCLALLCSPYYSGNPGPESKICPFWVMPPPEVMLAEFYKGAPDLVKFQEAWSP EHTYLDKLKMSLASRTPKDQGMCHVLEQVCSVLKQGS >ENSMUSP00000125555.1 pep:known chromosome:GRCm38:17:56009333:56016614:1 gene:ENSMUSG00000003199.16 transcript:ENSMUST00000159340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpnd description:MPN domain containing [Source:MGI Symbol;Acc:MGI:1915297] XESLSPGATAEEAPEEDEDDAEAEDPERGTGSGGRSGSLGGSGGGTAGPGMALGGALTRR AVTLRVLLKDELLEPGEGVLSIYYLGRKFTGDLQLDGRIVWQETGQVFNSPSAWATHCKK LVNPAKKSGCGWASVKYKGQKLDKYKAAWLRRHQLHMPVATADESPTSEGEEEELLLEEE EEDVLAGVSSEDKGHRPPGKGSLEPEATPPGKRMDKVPVPIRYCMLGSRDSARNPHTLVE VTSFAAINKFQPFNVAVSSNVLFLLDFHCHLTRSEVVGYLGGRWDINNQMLTVLRAFPCR SRLGDTDTAATVEEEDIDAQMEYQLRLQGSSNGFQPCLALLCSPYYSGNPGPESKICPFW VMPPPEQRPSDYGIPMDVEMAYVQDSFLTNDVLQEMVMLAEFYKGAPDLVKFQEAWSPEH TYLDKLKMSLASRTPKDQGMCHVLEQVCSVLKQGS >ENSMUSP00000125535.1 pep:known chromosome:GRCm38:17:56010440:56015497:1 gene:ENSMUSG00000003199.16 transcript:ENSMUST00000153197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpnd description:MPN domain containing [Source:MGI Symbol;Acc:MGI:1915297] XKLDKYKAAWLRRHQLHMPVATADESPTSEGEEEELLLEEEEEDVLAGVSSEDKGHRPPG KGSLEPEATPPGKRMDKVPVPIRYCMLGSRDSARNPHTLVEVTSFAAINKFQPFNVAVSS NVLFLLDFHCHLTRSEVVGYLGGRWDINNQSGYWAWVDKGVTGAGLMASCWYPTVLTVLR AFPCRSRLGDTDTAATVEEEIYQVLFLRGLSLVGWYHSHPHSPAVPSLQDIDAQMEYQLR LQGSSNGFQPCLALLCSPYYSGNPGPESKICPFWVMPPPEQRPSDYGIP >ENSMUSP00000026975.6 pep:known chromosome:GRCm38:5:139150223:139186510:1 gene:ENSMUSG00000025857.10 transcript:ENSMUST00000026975.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaaf5 description:dynein, axonemal assembly factor 5 [Source:MGI Symbol;Acc:MGI:3616079] MAAPAEAEVAAAPGLTEAAEAAELTRALSRLLPGLETESKLGRRRALEALEQVLEEAVRP GADSAAFQGPWARLLLPRLLRLLSDPAEGCRALAAHLLDLGLRRAARPRDALPRLLPALS ARLARPELARPPPEPCEELRLALVQLLHLAVDLGGAALAPHLDDAVRALRAALLDPFAAV RREGCECAAALARATPEHFHMQSESLIGPLMQTISHQHWKVRVAVIEATGTVIQFGSGNS VDDVLSHFAQRLFDDVPQVRQAVTSVVGGWLLNLRDRYSFLHKLTPLLLSSFSDEMPEIR QTATSLWEKVGLQWQQENEADLKDKLDFASPPPPNYPEHESRPGLGCRELVFRNLSKVLP AICHDITDWVVGTRVKAAQLLPVLLLHAEDHITQHLEIVLRTLHQACTDEEKAVVGSCIR AAELIGTFVSPEVFLKLILAMLKKAPSASGLLILASVIRGCPRNALQPHVTVIATELAQE HICQGSENNLYLEHLLLCVQALLSVCQEDCRAASLQFLEVLVTIMAVSDAVGLEKKAQKT MDTLAEVEDIPSSQDLYRKHVGALLERLTASHGEWAVHSVQLLKFTVLLTQAGPAVGEAL QHVIPTLRACLQPSTDPHMRLKLFSILSMMLLRPKDTVDSQGQFRGYLDMVINDILAPNL QWHAGRTAAAIRTAAISCLWALISSDILSAKQVQEAQETLMPQVLATLEDDSQTTRLMSC RIINMFLKNSGDTMEPEKFLKVYPELLKRLDDVSNDVRMAAASALLTWLKCIESLDGKSA YQSSVQFLYRELLVHLDDPESAIQDTVLEVLKEGSVLFPDVLVRETEAVVHKHRSATYCE QLLQHMQTMAAAR >ENSMUSP00000142924.1 pep:known chromosome:GRCm38:5:139150229:139186028:1 gene:ENSMUSG00000025857.10 transcript:ENSMUST00000196441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaaf5 description:dynein, axonemal assembly factor 5 [Source:MGI Symbol;Acc:MGI:3616079] MPEIRQTATSLWEKVGLQWQQENEADLKDKLDFASPPPPNYPEHESRPGLGCRELVFRNL SKVLPAICHDITDWVVGTRVKAAQLLPVLLLHAEDHITQHLEIVLRTLHQACTDEEKAVV GSCIRAAELIGTFVSPEVFLKLILAMLKKAPSASGLLILASVIRGCPRNALQPHVTVIAT ELAQEHICQGSENNLYLEHLLLCVQALLSVCQEDCRAASLQFLEVLVTIMAVSDAVGLEK KAQKTMDTLAEVEDIPSSQDLYRKHVGALLERLTASHGEWAVHSVQLLKFTVLLTQAGPA VGEALQHVIPTLRACLQPSTDPHMRLKLFSILSMMLLRPKDTVDSQGQFRGYLDMVINDI LAPNLQWHAGRTAAAIRTAAISCLWALISSDILSAKQVQEAQETLMPQVLATLEDDSQTT RLMSCRIINMFLKNSGDTMEPEKFLKVYPELLKRLDDVSNDVRMAAASALLTWLKCIESL DGKSAYQSSVQFLYRELLVHLDDPESAIQDTVLEVLKEGSVLFPDVLVRETEAVVHKHRS ATYCEQLLQHMQTMAAAR >ENSMUSP00000114087.1 pep:known chromosome:GRCm38:5:20758663:20882124:-1 gene:ENSMUSG00000039987.15 transcript:ENSMUST00000118174.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phtf2 description:putative homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:1916020] MASRVTDAIVWYQKKIGAYDQQIWEKSVEQREIKGLRNKPKKTAHVKPDLIDVDLVRGSA FAKAKPESPWTSLTRKGIVRVVFFPFFSRWWLQVTSRVIFSWLLVLYLLQVAAIVLFCSA PSPHSIPLTEVIGPIWLMLLLGTVHCQIVSTRTPKPPLGTGGKRRRKLRKAAHLEVHREG DGSSTTDNTQEGAVQSHGAGAPYSVGTVFRDLWLAAFFLSGSKKAKNSIDKSTETDNGYV SLDGKRTVKSSEDGAQYHEPQCETVGPEDAAWATRTPRSVPAKDTQRKITNVSDEVSSEE GPETGYPLRGHVDRTSESGLRNRKPHHYKKHYANEDAPKSGTSCSSRCSSSRQDSESTRP ESETEDVLWEDLLHCAECRSSCTSETDVGNPQINPCGKKEYRDDPFHQSHLPWLHSSHPG LEKISAIVWEGNDCKKADMSVLEISGMIMNRVNNHVPGIGYQVFGNAISLILGLTPFVFR LSQATDLEQLTAHSASELYVIAFGSNEDVMVLSMVLISFVVRVSLVWIFFFLLCVAERTY KQRLLFAKLFGHLTSARRARKSEVPHFRLKKVQNIKMWLSLRSYLKRRGPQRSVDVIVSS AFLLTISVVFICCAQLLHVHEIFLDCHYNWELVIWCISLTLFLLRFVTLGSETSKKYSNT SILLTEQINLYLKMEKKPNKKEELTLVNNVLKLATKLLKELDSPFRLYGLTMNPLLYNIT QVVILSAVSGVISDLLGFNLKLWKIKS >ENSMUSP00000120624.1 pep:known chromosome:GRCm38:5:20759663:20774113:-1 gene:ENSMUSG00000039987.15 transcript:ENSMUST00000153194.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phtf2 description:putative homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:1916020] NEDVMVLSMVLISFVVRVSLVWIFFFLLCVAERTYKQRLLFAKLFGHLTSARRARKSEVP HFRLKKVQNIKMWLSLRSYLKRRGPQRSVDVIVSSAFLLTISVVFICCAQLLHVHEIFLD CHYNWELVIWCISLTLFLLRFVTLGSETSKKYSNTSILLTEQIFLRMCI >ENSMUSP00000118028.1 pep:known chromosome:GRCm38:5:20782388:20805707:-1 gene:ENSMUSG00000039987.15 transcript:ENSMUST00000124431.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phtf2 description:putative homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:1916020] XVTSRVIFSWLLVLYLLQGN >ENSMUSP00000120222.1 pep:known chromosome:GRCm38:5:20805684:20882045:-1 gene:ENSMUSG00000039987.15 transcript:ENSMUST00000156044.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phtf2 description:putative homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:1916020] MASRVTDAIVWYQKKIGAYDQQIWEKSVEQREIKFAFPLSDAVIALYSLLNWA >ENSMUSP00000140904.1 pep:known chromosome:GRCm38:11:49293323:49294258:1 gene:ENSMUSG00000101750.1 transcript:ENSMUST00000189851.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1392 description:olfactory receptor 1392 [Source:MGI Symbol;Acc:MGI:3031226] MESFNISLGKGFILVGFSDWPALELIFFIYILIFYSLTLFGNTAIIALSRMDLQLHTPMY YFLCHLSFLDLCFTTSTVPQLLINLHGQDRTISYGGCVSQLFITLALGSTESVLLVVMAF DRYAAVCRPLHYMNIMHPVLCQALAIASWVGGFLNSLIQTGLMMAMPLCGHRLNHFFCEM PVFLKLACQDTGGTEAKMFVARAVIVAVPAMLILGSYAQIARAVLKVKSVAARRKAAGTC GSHLLVVSLFYGSATYTYLQPKDSYSESKGKFVALFYTIITPMFNPLIYTLRNKDMKGAL WKVLGRAAATG >ENSMUSP00000144541.1 pep:known chromosome:GRCm38:5:41624976:41708121:-1 gene:ENSMUSG00000029128.12 transcript:ENSMUST00000201422.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab28 description:RAB28, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1917285] MSDSEEESQDRQLKIVVLGDGTSGKTSLATCFAQETFGKQYKQTIGLDFFLRRITLPGNL NVTLQVWDIGGQTIGGKMLDKYIYGAQGILLVYDITNYQSFENLEDWYSVVKTVSEESET QPLVALVGNKIDLEHMRTVKADKHLRFCQENGFSSHFVSAKTGDSVFLCFQKVAAEILGI KLNKAEIEQSQRIVRAEIVKYPEEDNQHPSSAQSRVCSVQ >ENSMUSP00000031011.8 pep:known chromosome:GRCm38:5:41624976:41708154:-1 gene:ENSMUSG00000029128.12 transcript:ENSMUST00000031011.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab28 description:RAB28, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1917285] MSDSEEESQDRQLKIVVLGDGTSGKTSLATCFAQETFGKQYKQTIGLDFFLRRITLPGNL NVTLQVWDIGGQTIGGKMLDKYIYGAQGILLVYDITNYQSFENLEDWYSVVKTVSEESET QPLVALVGNKIDLEHMRTVKADKHLRFCQENGFSSHFVSAKTGDSVFLCFQKVAAEILGI KLNKAEIEQSQRVVKADIVNYNQEPLSRTVNPPRSSMCAVQ >ENSMUSP00000144440.1 pep:known chromosome:GRCm38:5:41624977:41708157:-1 gene:ENSMUSG00000029128.12 transcript:ENSMUST00000202913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab28 description:RAB28, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1917285] MSDSEEESQDRQLKIVVLGDGTSGKTSLATCFAQETFGKQYKQTIGLDFFLRRITLPGNL NVTLQVWDIGGQTIGGKMLDKYIYGAQGILLVYDITNYQSFENLEDWYSVVKTVSEESET QPLVALVGNKIDLEHMRTVKADKHLRFCQENGFSSHFVSAKTGDSVFLCFQKVAAEILGI KLNKAEIEQSQTV >ENSMUSP00000139744.1 pep:known chromosome:GRCm38:11:49317548:49318483:1 gene:ENSMUSG00000100923.1 transcript:ENSMUST00000187570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr10 description:olfactory receptor 10 [Source:MGI Symbol;Acc:MGI:107598] MGTFNISLGGGFILVGFSDWPALELIFFIHILIFYSITLFGNTAIIALSRTDLRLHTPMY FFLSHLSFLDLCFTTSTVPQLLINLHGQDRTISYGGCVAQVFIFLALGSTESVLLVVMAF DRYAAVCRPLHYTTIMHPVLCQALAIASWVGGFLNSLIQTGLMMAMPLCGHRLNHFFCEM PVFLKLVCEDTGGTEAKMFVARAVIVAVPTMLILGSYAQIARAVLKVKSVTARRKAAGTC GSHLLVVSLFYGSAIYTYLQPKDSYSESKGKFVALFYTIITPMLNPLIYTLRNKDMKGAL WKVLGRATVTG >ENSMUSP00000028080.4 pep:known chromosome:GRCm38:2:17343909:17731043:-1 gene:ENSMUSG00000053702.16 transcript:ENSMUST00000028080.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nebl description:nebulette [Source:MGI Symbol;Acc:MGI:1921353] MNPQCARCGKVVYPTEKVNCLDKYWHKGCFHCEVCKMALNMNNYKGYEKKPYCNAHYPKQ SFTTVADTPENLRLKQQSELQSQVKYKRDFEESKGRGFSIVTDTPELQRLKRTQEQISNV KYHEDFEKTKGRGFTPVVDDPVTERVRKSTQVVSDAAYKGVQPHVVEMDRRPGIIVAPVL PGAYQQSHSQGYGYMHQTSVSSMRSMQPPAHLRTYRAMYDYSAQDEDEVSFRDGDYIVNV QPIDDGWMYGTVQRTGRTGMLPANYIEFVN >ENSMUSP00000117805.1 pep:known chromosome:GRCm38:2:17344054:17460932:-1 gene:ENSMUSG00000053702.16 transcript:ENSMUST00000124270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nebl description:nebulette [Source:MGI Symbol;Acc:MGI:1921353] MKVPVSGDVKEETEEENVEQEENQEAKVSLKPVIEDLSMELARKCTELISDIHYKEEYKK SKDKCTFVTDTPMLNHVKNIGAFISEAKYKGTIKADLSNCLYKDMPATIDSVFAREVSQL QSEVAYKQKHEAEKGFSDYTHMKEPPEVRRAMEVNRHQSNISYRKDMQGTHTYTAELDRP DIKKATQISKIISDAEYKKGQGIVNKEPSVIGRPDFEHAVGASKLSSQVKYKEKFDNEMK EKSHHYNPLGSAFFRQHQFAAVLASDVKYKKDVQAMHKPVSDLPNLLFLDHALKASKMLS GWEYKRDFEENKGLYHFDAEAPEHLHHKGNATLQSQVKYREEYEKNKGKSMLEFVETPSY QSSKEAQKMQSEKVYKEDFEKEIKGRSSLDLDKTPAFLHVKHITNLMREKEYKKDLENEI KGKGMELSSEVLDIQRAKRASEMASEKEYKKDLELEIKGKGMQIDTDTLEIQRAKRAAKI ASAKDYKRDLETEIKGKGMQVSTDTLDVQRAKRASEMASQKQYKKDLENEIKGRGMQVNM DIPDMLRAKRASEIYSQRKYKDEAEKMLSNYSTVAVTPEIQRIKTTQQNISNVSYKEEVG AGTAVRNTPEIERVKKNQHNISSVKFKEGIKNATAISEPPKLKGVSENQKDIISFQYKEQ TYKATPVTMTPEIERVKRNQEQLSAVKYKGELKQATSISDLPELKRVKENQKNISNVYYK GQLGRATALSVTPEMERVKKNQENISSVKYTQDHKQMKGRPCLILDTPALRHVKEAQNNV SMVKYHEDFEKTKGRGFTPVVDDPVTERVRKSTQVVSDAAYKGVQPHVVEMDRRPGIIVD LKVWRTDPGSIFDIDPLEDNIQSRSLHMLSEKASQYRRRLSRSHSGSTFGTGLGDDKSEI SELYPSFSCYSEVTRPSDEGAPVLPGAYQQSHSQGYGYMHQTSVSSMRSMQPPAHLRTYR AMYDYSAQDEDEVSFRDGDYIVNVQPIDDGWMYGTVQRTGRTGMLPANYIEFVN >ENSMUSP00000116065.1 pep:known chromosome:GRCm38:2:17350755:17529980:-1 gene:ENSMUSG00000053702.16 transcript:ENSMUST00000124611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nebl description:nebulette [Source:MGI Symbol;Acc:MGI:1921353] XGFSIVTDTPELQRLKRTQEQISNVKYHEDFEKTKGRGFTPVVDDPVTERVRKSTQVVSD AAYKGVQPHVVEMDRRPGIIVDLKVWRTDPGSIFDIDPLEDNIQSRSLHMLSAPVLPGAY QQSHSQGYGYM >ENSMUSP00000118525.1 pep:known chromosome:GRCm38:2:17392903:17462787:-1 gene:ENSMUSG00000053702.16 transcript:ENSMUST00000131957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nebl description:nebulette [Source:MGI Symbol;Acc:MGI:1921353] MKVPVSGDVKEETEEENVEQEENQEAKVSLKPVIEDLSMELARKCTELISDIHYKEEYKK SKDKCTFVTDTPMLNHVKNIGAFISEAKYKGTIKADLSNCLYKDMPATIDSVFAREVSQL QSEVAYKQKHEAEKGFSDYTHMKEPPEVRRAMEVNRHQSNISYRKDMQGTHTYTAELDRP DIKKATQISKIISDAEYKKGQGIVNKEPSVIGRPDFEHAVGASKLSSQVKYKEKFDNEMK EKSHHYNPLGSAFFRQHQFAAVLASDWEYKRDFEENKGLYHFDAEAPEHLHHKGNATLQS QVKYREEYEKNKGKSMLEFVETPSYQSSKEAQKMQSEKVYKEDFEKEIKGRSSLDLDKTP AFLHVKHITNLMREKEYKKDLENEIKGKGMELSSEVLDIQRAKRASEMASEKEYKKDLEL EIKGKGMQIDTDTLEIQRAKRAAKIASAKDYKRDLETEIKGKGMQVSTDTLDVQRAKRAS EMASQKQYKKDLENEIKGRGMQVNMDIPDMLRAKRASEIYSQVGRG >ENSMUSP00000122024.1 pep:known chromosome:GRCm38:2:17392906:17462789:-1 gene:ENSMUSG00000053702.16 transcript:ENSMUST00000132418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nebl description:nebulette [Source:MGI Symbol;Acc:MGI:1921353] MKVPVSGDVKEETEEENVEQEENQEAKVSLKPVIEDLSMELARKCTELISDIHYKEEYKK SKDKCTFVTDTPMLNHVKNIGAFISEAKYKGTIKADLSNCLYKDMPATIDSVFAREVSQL QSEVAYKQKHEAEKGFSDYTHMKEPPEVRRAMEVNRHQSNISYRKDMQGTHTYTAELDRP DIKKATQISKIISDAEYKKGQGIVNKEPSVIGRPDFEHAVGASKLSSQVKYKEKFDNEMK EKSHHYNPLGSAFFRQHQFAAVLASDWEYKRDFEENKGLYHFDAEAPEHLHHKGNATLQS QVKYREEYEKNKGKSMLEFVETPSYQSSKEAQKMQSEKVYKEDFEKEIKGRSSLDLDKTP AFLHVKHITNLMREKEYKKDLENEIKGKGMELSSEVLDIQRAKRASEMASEKDYKRDLET EIKGKGMQVSTDTLDVQRAKRASEMASQVRMV >ENSMUSP00000121313.1 pep:known chromosome:GRCm38:2:17397058:17460621:-1 gene:ENSMUSG00000053702.16 transcript:ENSMUST00000145492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nebl description:nebulette [Source:MGI Symbol;Acc:MGI:1921353] MKVPVSGDVKEETEEENVEQEENQEAKVSLKPVIEDLSMELARKCTELISDIHYKEEYKK SKDKCTFVTDTPMLNHVKNIGAFISEAKYKGTIKADLSNCLYKDMPATIDSVFAREVSQL QSEVAYKQKHEAEKGFSDYTHMKEPPEVRRAMEVNRHQSNISYRKDMQGTHTYTAELDRP DIKKATQISKIISDAEYKKGQGIVNKEPSVIGRPDFEHAVGASKLSSQVKYKEKFDNEMK EKSHHYNPLGSAFFRQHQFAAVLASDWEYKRDFEENKGLYHFDAEAPEHLHHKGNATLQS QVKYREEYEKNKGKSMLEFVETPSYQSSKEAQKMQSEKVYKEDFEKEIKGRSSLDLDKTP AFLHVKHITNLMREKEYKKDLENEIKGKGMELSSEVLDIQRAKRASEMASEKEYKKDLEL EIKGKGMQIDTDTLEIQRAKRAAKIASA >ENSMUSP00000137567.1 pep:known chromosome:GRCm38:2:17376894:17391008:-1 gene:ENSMUSG00000053702.16 transcript:ENSMUST00000177966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nebl description:nebulette [Source:MGI Symbol;Acc:MGI:1921353] MLSNYSTVAVTPEIQRIKTTQQNISNVSYKEEVGAGTAVRNTPEIERVKKNQHNISSFQY KEQTYKATPVTMTPEIERVKRNQEQLSAVKYKGELKQATSISDLPELKRVKENQKNISNV >ENSMUSP00000028113.3 pep:known chromosome:GRCm38:2:23207476:23267129:1 gene:ENSMUSG00000026774.11 transcript:ENSMUST00000028113.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931423N10Rik description:RIKEN cDNA 4931423N10 gene [Source:MGI Symbol;Acc:MGI:1918231] MGGKYSRGEERRPLGFPGFSALCCCQKTNREETPLGFCDIKARRSNLSFGREDPAYLDMA YFPDNDLHMAACAGDLPFVRLYFTLGKYEVNHRDRENRNAMHFACFYGHLELVIYLWRRG CEINVCDNHNITPLMKAVQSWEDKIVCFLLEHHANLHIKDSMGNTALHYAVYSGNLATAA RLLQYGADIEERTKDNLTPLLLALRENRLKMAQFLVRMEASVHAVDSQRRNSLMYAVRCD SPVMVNLILQQGVDINLKDLFGWTALRYAIEGDRDVRTMLLELRKRNRAFQSSENSSIRL INKADAEVTSPTANEKVIEMQDPFTTNEASVEKTQTRRPAESELEVFSLEEEISYKETIN NLQQNPGQRSAKTDAATENRSP >ENSMUSP00000110150.1 pep:known chromosome:GRCm38:2:23207497:23264632:1 gene:ENSMUSG00000026774.11 transcript:ENSMUST00000114505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931423N10Rik description:RIKEN cDNA 4931423N10 gene [Source:MGI Symbol;Acc:MGI:1918231] MGGKYSRGEERRPLGFPGFSALCCCQKTNREETPLGFCDIKARRSNLSFGREDPAYLDMA YFPDNDLHMAACAGDLPFVRLYFTLGKYEVNHRDRENRNAMHFACFYGHLELVIYLWRRG CEINVCDNHNITPLMKDNLTPLLLALRENRLKMAQFLVRMEASVHAVDSQRRNSLMYAVR CDSPVMVNLILQQGVDINLKDLFGWTALRYAIEGDRDVRTMLLELRKRNRAFQSSENSSI RLINKADAEVTSPTANEKVIEMQDPFTTNEASVEKTQTRRPESELEVFSLEEEISYKETI NNLQQQC >ENSMUSP00000092048.3 pep:known chromosome:GRCm38:2:146221921:146225020:1 gene:ENSMUSG00000068154.5 transcript:ENSMUST00000089257.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insm1 description:insulinoma-associated 1 [Source:MGI Symbol;Acc:MGI:1859980] MPRGFLVKRSKKSTPVSYRVRGGEDSDRALLLSPGCGGARAEPPVPSPGPLPPPPPPALA ERAHAALAAALACAPGPPPPPPPGPRAAHFGNPEAAHPAPLYSPTRPVSREHEKHKYFER SFNLGSPVSAESFPTPAALLAGGGSGANGAGGGGGGTCGGDALLFAPAELKMGTAFSAGA EAARGPGTGPPLSPAAALRPPGKRPAPPAAVATEPPAKAAKAPSAKKPKAIRKLHFEDEV TTSPVLGLKIKEGPVEAPRGRAGGATRPLGEFICQLCKEEYADPFALAQHKCSRIVRVEY RCPECAKVFSCPANLASHRRWHKPRPVPAAARAPEPEAATRAEAREAAGGGSSDRDTPSP GGVSESGSEDGLYECHHCAKKFRRQAYLRKHLLAHHQALQAKGAPPPPPPPPPPAEDILA FYAGPDEKAPQEASGDGEAAGVLGLSATAQCHLCPVCGETFPSKGAQERHLRLLHAAQVF PCKYCPATFYSSPGLTRHINKCHPSENRQVILLQVPVRPAC >ENSMUSP00000052765.5 pep:known chromosome:GRCm38:X:70385877:70389417:1 gene:ENSMUSG00000045237.5 transcript:ENSMUST00000053981.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110012L19Rik description:RIKEN cDNA 1110012L19 gene [Source:MGI Symbol;Acc:MGI:1915868] MKFPCLSFRQPYAGLILNGVKTLETRWRPLLSSVQKYTIAIHIAHKDWEDDEWQEVLMER LGMTWTQIQTLLQAGEKYGRGVIAGLIDIGETFQCPETLTAEEAVELETQAVLTNLQLKY LTQVSNPRWLLEPIPRKGGKDIFQVDIPEHLIPLEKE >ENSMUSP00000070325.5 pep:known chromosome:GRCm38:15:79761453:79774383:-1 gene:ENSMUSG00000022420.15 transcript:ENSMUST00000069877.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnal4 description:dynein, axonemal, light chain 4 [Source:MGI Symbol;Acc:MGI:1859217] MGETEGKKEEADYKRLQTFPLVRHSDMPEEMRVETMELCVTACEKFSNNNESAAKMIKET MDKKFGSSWHVVIGEGFGFEITHEPQSPSAPRTAGKYLLPLLLVAMWNQPETPLMRHRAR LSFSLGKEFPLPFPSLTRD >ENSMUSP00000023055.6 pep:known chromosome:GRCm38:15:79761456:79774467:-1 gene:ENSMUSG00000022420.15 transcript:ENSMUST00000023055.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnal4 description:dynein, axonemal, light chain 4 [Source:MGI Symbol;Acc:MGI:1859217] MGETEGKKEEADYKRLQTFPLVRHSDMPEEMRVETMELCVTACEKFSNNNESAAKMIKET MDKKFGSSWHVVIGEGFGFEITHEVKNLLYLYFGGTLAVCVWKCS >ENSMUSP00000029444.6 pep:known chromosome:GRCm38:3:103279293:103358775:1 gene:ENSMUSG00000033014.12 transcript:ENSMUST00000029444.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim33 description:tripartite motif-containing 33 [Source:MGI Symbol;Acc:MGI:2137357] MAENKGGGEAESGGGGSGSAPVTAGAAGPTAQEAEPPLAAVLVEEEEEEGGRAGAEGGAA GPDDGGVAAASSSSAPAASVPAASVGSAVPGGAASTPAPAAAPAPAPAPAPAPAPAPAPA PGSSSGPPLGPPASLLDTCAVCQQSLQSRREAEPKLLPCLHSFCLRCLPEPERQLSVPIP GGSNGDVQQVGVIRCPVCRQECRQIDLVDNYFVKDTSEAPSSSDEKSEQVCTSCEDNASA VGFCVECGEWLCKTCIEAHQRVKFTKDHLIRKKEDVSESVGTSGQRPVFCPVHKQEQLKL FCETCDRLTCRDCQLLEHKEHRYQFLEEAFQNQKGAIENLLAKLLEKKNYVHFAATQVQN RIKEVNETNKRVEQEIKVAIFTLINEINKKGKSLLQQLENVTKERQMKLLQQQNDITGLS RQVKHVMNFTNWAIASGSSTALLYSKRLITFQLRHILKARCDPVPAANGAIRFHCDPTFW AKNVVNLGNLVIESKPAPGYTPNVVVGQVPPGTNHISKTPGQINLAQLRLQHMQQQVYAQ KHQQLQQMRLQQPPAPIPTTTATTQQHPRQAAPQMLQQQPPRLISVQTMQRGNMNCGAFQ AHQMRLAQNAARIPGIPRHSAPQYSMMQPHLQRQHSNPGHAGPFPVVSAHNPINPTSPTT ATMANANRGPTSPSVTAIELIPSVTNPENLPSLPDIPPIQLEDAGSSSLDNLLSRYISGS HLPPQPTSTMNPSPGPSALSPGSSGLSNSHTPVRPPSTSSTGSRGSCGSSGRTAEKSAHS FKSDQVKVKQEPGTEEEICSFSGAVKQEKTEDGRRSACMLSSPESSLTPPLSTNLHLESE LDTLTGLENHVKTEPTDISESCKQSGLSNLVNGKSPIRNLMHRSARIGGDGNSKDDDPNE DWCAVCQNGGDLLCCEKCPKVFHLTCHVPTLLSFPSGDWICTFCRDIGKPEVEYDCDNMQ HSKKGKTAQGLSPVDQRKCERLLLYLYCHELSIEFQEPVPVSIPNYYKIIKKPMDLSTVK KKLQKKHSQHYQIPDDFVADVRLIFKNCERFNEMMKVVQVYADTQEINLKGDSEVAKAGK AVALYFEDKLSEIYSDRTFTPLPEFEQDEDDGEVTEDSDEDFIQPRRKRLKSDERPVHIK >ENSMUSP00000102473.1 pep:known chromosome:GRCm38:3:103279879:103355562:1 gene:ENSMUSG00000033014.12 transcript:ENSMUST00000106860.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim33 description:tripartite motif-containing 33 [Source:MGI Symbol;Acc:MGI:2137357] MAENKGGGEAESGGGGSGSAPVTAGAAGPTAQEAEPPLAAVLVEEEEEEGGRAGAEGGAA GPDDGGVAAASSSSAPAASVPAASVGSAVPGGAASTPAPAAAPAPAPAPAPAPAPAPAPA PGSSSGPPLGPPASLLDTCAVCQQSLQSRREAEPKLLPCLHSFCLRCLPEPERQLSVPIP GGSNGDVQQVGVIRCPVCRQECRQIDLVDNYFVKDTSEAPSSSDEKSEQVCTSCEDNASA VGFCVECGEWLCKTCIEAHQRVKFTKDHLIRKKEDVSESVGTSGQRPVFCPVHKQEQLKL FCETCDRLTCRDCQLLEHKEHRYQFLEEAFQNQKGAIENLLAKLLEKKNYVHFAATQVQN RIKEVNETNKRVEQEIKVAIFTLINEINKKGKSLLQQLENVTKERQMKLLQQQNDITGLS RQVKHVMNFTNWAIASGSSTALLYSKRLITFQLRHILKARCDPVPAANGAIRFHCDPTFW AKNVVNLGNLVIESKPAPGYTPNVVVGQVPPGTNHISKTPGQINLAQLRLQHMQQQVYAQ KHQQLQQMRLQQPPAPIPTTTATTQQHPRQAAPQMLQQQPPRLISVQTMQRGNMNCGAFQ AHQMRLAQNAARIPGIPRHSAPQYSMMQPHLQRQHSNPGHAGPFPVVSAHNPINPTSPTT ATMANANRGPTSPSVTAIELIPSVTNPENLPSLPDIPPIQLEDAGSSSLDNLLSRYISGS HLPPQPTSTMNPSPGPSALSPGSSGLSNSHTPVRPPSTSSTGSRGSCGSSGRTAEKSAHS FKSDQVKVKQEPGTEEEICSFSGAVKQEKTEDGRRSACMLSSPESSLTPPLSTNLHLESE LDTLTGLENHVKTEPTDISESCKQSGLSNLVNGKSPIRNLMHRSARIGGDGNSKDDDPNE DWCAVCQNGGDLLCCEKCPKVFHLTCHVPTLLSFPSGDWICTFCRDIGKPEVEYDCDNMQ HSKKGKTAQGLSPVDQRKCERLLLYLYCHELSIEFQEPVPVSIPNYYKIIKKPMDLSTVK KKLQKKHSQHYQIPDDFVADVRLIFKNCERFNEGDSEVAKAGKAVALYFEDKLSEIYSDR TFTPLPEFEQDEDDGEVTEDSDEDFIQPRRKRLKSDERPVHIK >ENSMUSP00000143749.1 pep:known chromosome:GRCm38:3:103311439:103329159:1 gene:ENSMUSG00000033014.12 transcript:ENSMUST00000197779.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim33 description:tripartite motif-containing 33 [Source:MGI Symbol;Acc:MGI:2137357] XLKLFCETCDRLTCRDCQLLEHKEHRIKEVNETNKRVEQEIKVAIFTLINEINKKGKSLL QQLENVTKERQMKLLQQQNDITGLSRQVKHVMNFTNWAIASGSSTALLYSKRLITFQLRH ILKARCDPVPAANGAIRFHCDPTFWAKNVVNLGNLVIESKPAPGYTPNVVVGQVPPGTNH ISKTPGQINLAQL >ENSMUSP00000142585.1 pep:known chromosome:GRCm38:3:103326178:103353923:1 gene:ENSMUSG00000033014.12 transcript:ENSMUST00000198706.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trim33 description:tripartite motif-containing 33 [Source:MGI Symbol;Acc:MGI:2137357] TNWAIASGSSTALLYSKRLITFQLRHILKARCDPVPAANGAIRFHCDPTFWAKNVVNLGN LVIESKPAPGYTPNVVVGQVPPGTNHISKTPGQINLAQLRLQHMQQQVYAQKHQQLQQMR LQQPPAPIPTTTATTQQHPRQAAPQMLQQQPPRLISVQTMQRGNMNCGAFQAHQMRLAQN AARIPGIPRHSAPQYSMMQPHLQRQHSNPGHAGPFPVVSAHNPINPTSPTTATMANANRG PTSPSVTAIELIPSVTNPENLPSLPDIPPIQLEDAGSSSLDNLLSRYISGSHLPPQPTST MNPSPGPSALSPGSSGLSNSHTPVRPPSTSSTGSRGSCGSSGRTAEKSAHSFKSDQVKVK QEPGTEEEICSFSGALHGLMAFFPVQWRLDMHILQRYWEARS >ENSMUSP00000143117.1 pep:known chromosome:GRCm38:3:103341682:103355563:1 gene:ENSMUSG00000033014.12 transcript:ENSMUST00000197365.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim33 description:tripartite motif-containing 33 [Source:MGI Symbol;Acc:MGI:2137357] XEKTEDGRRSACMLSSPESSLTPPLSTNLHLESELDTLTGLENHVKTEPTDISESCKQSG LSNLVNGKSPIRNLMHRSARIGGDGNSKDDDPNEDWCAVCQNGGDLLCCEKCPKVFHLTC HVPTLLSFPSGDWICTFCRDIGKPEVEYDCDNMQHSKKGKTAQGLSPVDQRKCERLLLYL YCHELSIEFQEPVPVSIPNYYKIIKKPMDLSTVKKKLQKKHSQHYQIPDDFVADVRLIFK NCERFNEMMKVVQVYADTQEINLKVSFSDHANLVKEYALSIGEYSYLLFAG >ENSMUSP00000113801.1 pep:known chromosome:GRCm38:5:23740648:23783711:-1 gene:ENSMUSG00000057541.14 transcript:ENSMUST00000119946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus7 description:pseudouridylate synthase 7 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1925947] MEMTSTSLKRGCLVVEDNDSVTPHDETKKQKVSEGCLTSSQDGVENDGLHRSENEPGPPE AESTVKDDENSSAQVQEEEEEEEEEDGLSEAGEEEEAESFADMMKHGLTELDVGICKFVS SHHGFSGILKERYSDFVVHEIGKDGRISHLDDLSVPVDEEDPPEDALTVLTAEDRQQLEE LQLFKNKETSVAIEVIEDTKEKRTVIHQAIKSLFPGLETKTEDREGRKYIVAYHAAGKKA LANPRKHSWPKSRGSYCHFVLYKENKDTMDAINVLSKYLRVKPNIFSYMGTKDKRAITVQ EIAVLKISAQRLAHLNKCLMNLKLGNFSYQKTPLKLGALQGNHFTVVLRNITGTDEQVQQ AMQSLRETGFINYYGMQRFGTTAVPTYQVGRAILQNSWTEVMDLILKPRSGAEKGYLVKC REEWAKTKDPASALKKLPVKRCVEGQLLRGLSRYGMKNIVSAFGIIPRNNRLMYIHSYQS YVWNTMVSRRIEEYGLRPVPGDLVLKGATPTYIEEDDVDNYSIHDVVMPLPGFDVIYPKH KISEAYREMLAADNLDIDNMRHTIRDYSLSGAYRRIIIRPQSVSWEVVAYDDPKIPLFNT DVDNLEGKPPPVFASEGKYRALKMDFSLPPSTYATMAIREVLKMDTSIKNQTQLNTSWLR >ENSMUSP00000114588.2 pep:known chromosome:GRCm38:5:23740649:23783553:-1 gene:ENSMUSG00000057541.14 transcript:ENSMUST00000148618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus7 description:pseudouridylate synthase 7 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1925947] MEMTSTSLKRGCLVVEDNDSVTPHDETKKQKVSEGCLTSSQDGVENDGLHRSENEPGPPE AESTVKDDENSSAQVQEEEEEEEEEDGLSEAGEEEEAESFADMMKHGLTELDVGICKFVS SHHGFSGILKERYSDFVVHEIGKDGRISHLDDLSVPVDEEDPPEDALTVLTAEDRQQLEE LQLFKNKETSVAIEVIEDTKEKRTVIHQAIKSLFPGLETKTEDREGRKYIVAYHAAGKKA LAKVRAAADPRKHSWPKSRGSYCHFVLYKENKDTMDAINVLSKYLRVKPNIFSYMGTKDK RAITVQEIAVLKISAQRLAHLNKCLMNLKLGNFSYQKTPLKLGALQGNHFTVVLRNITGT DEQVQQAMQSLRETGFINYYGMQRFGTTAVPTYQVGRAILQNSWTEVMDLILKPRSGAEK GYLVKCREEWAKTKDPASALKKLPVKRCVEGQLLRGLSRYGMKNIVSAFGIIPRNNRLMY IHSYQSYVWNTMVSRRIEEYGLRPVPGDLVLKGATPTYIEEDDVDNYSIHDVVMPLPGFD VIYPKHKISEAYREMLAADNLDIDNMRHTIRDYSLSGAYRRIIIRPQSVSWEVVAYDDPK IPLFNTDVDNLEGKPPPVFASEGKYRALKMDFSLPPSTYATMAIREVLKMDTSIKNQTQL NTSWLR >ENSMUSP00000123129.1 pep:known chromosome:GRCm38:5:23740656:23779558:-1 gene:ENSMUSG00000057541.14 transcript:ENSMUST00000131992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus7 description:pseudouridylate synthase 7 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1925947] MEMTSTSLKRGCLVVEDNDSVTPHDETKKQKVSEGCLTSSQDGVENDGLHRSENEPGPPE AESTVKDDENSSAQVQEEEEEEEEEDGLSEAGEEEEAESFADMMKHGLTELDVGICKFVS SHHGFSGILKERYSDFVVHEIGKDGRISHLDDLSVPVDEEDPPEDALTVLTAEDRQQLEE LQLFKNKETSVAIEVIEDTKEKRTVIHQAIKSLFPGLETKTEDREGRKYIVAYHAAGKKA LANPRKHSWPKSRGSYCHFVLYKENKDTMDAINVLSKYLRVKPNIFSYMGTKDKRAITVQ EIAVLKISAQRLAHLNKCLMNLKLGNFSYQKTPLKLGALQGNHFTVVLRNITGTDEQVQQ AMQSLRETGFINYYGMQRFGTTAVPTYQVGRAILQNSWTEVMDLILKPRSGAEKGYLVKC REEWAKTKDPASALKKLPVKRCVEGQLLRGLSRYGMKNIVSAFGIIPRNNRLMYIHSYQS YVWNTMVSRRIEEYGLRPVPGDLVLKGATPTYIEEDDVDNYSIHDVVMPLPGFDVIYPKH KISEAYREMLAADNLDIDNMRHTIRDYSLSGAYRRIIIRPQSVSWEVVAYDDPKIPLFNT DVDNLEGKPPPVFASEGKYRALKMDFSLPPSTYATMAIREVLKMDTSIKNQTQLNTSWLR >ENSMUSP00000122033.1 pep:known chromosome:GRCm38:5:23743422:23762413:-1 gene:ENSMUSG00000057541.14 transcript:ENSMUST00000131404.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pus7 description:pseudouridylate synthase 7 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1925947] XENKDTMDAINVLSKYLRVKPNIFSYMGTKDKRAITVQEIAVLKISAQRLAHLNKCLMNL KLGNFSYQKTPLKLGALQGNHFTVVLRNITGTDEQVQQAMQSLRETGFINYYGMQRFGTT AVPTYQVGRAILQNSWTEVMDLILKPRSGAEKGYLVKCREEWAKTKDPASALKKLPVKRF QETIA >ENSMUSP00000120575.1 pep:known chromosome:GRCm38:5:23760266:23778378:-1 gene:ENSMUSG00000057541.14 transcript:ENSMUST00000151449.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pus7 description:pseudouridylate synthase 7 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1925947] XKVSEGCLTSSQDGVENDGLHRSENEPGPPEAESTVKDDENSSAQVQEEEEEEEEEDGLS EAGEEEEAESFADMMKHGLTELDVGICKFVSSHHGFSGILKERYSDFVVHEIGKDGRISH LDDLSVPVDEELRTGSSWRSSSFSKIKKPVLPSRSLRTPKRSEQSSIKPLNLSSQD >ENSMUSP00000137934.1 pep:known chromosome:GRCm38:19:12599974:12626873:1 gene:ENSMUSG00000071633.11 transcript:ENSMUST00000181868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4952 description:predicted gene 4952 [Source:MGI Symbol;Acc:MGI:3643569] MLHLRSSQMLQMLESSLRKYLPESLKVYGTVFHMNQGNPFKLKALVDKWPDFNTVVVRPR EQEMGDDLDQHTNTYQIYSKDPKHCLEFLGTPDVINWKQHLQIQSSQSNLNEAIMDLAAG KMVKVKRTQCILYMMPETAKKLVPSLLEDKEYLDHQSGRPRAIDQEMFKLSTLDVTHAPL VDKFWQFGGNERSQRFIGRCIQIFPSSCLLGPEGTP >ENSMUSP00000090607.5 pep:known chromosome:GRCm38:19:12600016:12628251:1 gene:ENSMUSG00000071633.11 transcript:ENSMUST00000092931.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4952 description:predicted gene 4952 [Source:MGI Symbol;Acc:MGI:3643569] MLHLRSSQMLQMLESSLRKYLPESLKVYGTVFHMNQGNPFKLKALVDKWPDFNTVVVRPR EQEMGDDLDQHTNTYQIYSKDPKHCLEFLGTPDVINWKQHLQIQSSQSNLNEAIMDLAAG KMVKVKRTQCILYMMPETAKKLVPSLLEDKEYLDHQSGRPRAIDQEMFKLSTLDVTHAPL VDKFWQFGGNERSQRFIGRCIQIFPSSCLLGPEGTPVSWALMDQTGEIRMAGTVPDYRAQ GLISHIIYAQTLAMDKRGYPVYNHTEQTNKVIQKMSHTLHHVPMPCDWNQWYCAPL >ENSMUSP00000000266.7 pep:known chromosome:GRCm38:1:173962568:173982744:-1 gene:ENSMUSG00000026535.9 transcript:ENSMUST00000000266.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi202b description:interferon activated gene 202B [Source:MGI Symbol;Acc:MGI:1347083] MSNRNLRSSTNSEFSEGQHQTPSSDSSGHGEDQPQASPGPNKKSHTPKKNISKGAVLHEK PMTVMVLTATEPFNYKEGKENMFHATVATESQYYRVKVFNMDLKEKFTENKFITISKYFN SSGILEINETATVSEAAPNQIIEVPKNIIRSAKETLKISKIKELDSGTLIYGVFAVEKKK VNDKSITFKIKDNEDNIKVVWDKKQHNINYEKGDKLQLFSFHLRKGNGKPILHSGNHSFI KGEKLLKESFEGDGYHKGPKQVVALKATKLFTYDSIKSKKMFHATVATDTEFFRVMVFEE NLEKKFIPGNTIALSDYFGMYGSLAIHEYSSVSEVKSQNKEDSSSSDERLIEHLKICDLH LQTKERLVDGEFKVYRKSTGNNCICYGIWDDTGAMKVVVSGQLTSVNCEIGNTIRLVCFE LTSNADEWFLRSTRYSYMEVIMPEK >ENSMUSP00000028135.8 pep:known chromosome:GRCm38:2:32876114:32925254:1 gene:ENSMUSG00000026796.16 transcript:ENSMUST00000028135.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam129b description:family with sequence similarity 129, member B [Source:MGI Symbol;Acc:MGI:2442910] MGDVLSTHLDDARRQHIAEKTEKILTEFLRFYEDQYGVSLFNSMRHEIEGTGPPQAQLLW RKVPLDERIIFSGNLFQYQEDNKKWRNRFSLVPHNYGLVLYENKVAYERQIPPRAVINSA GYKVLTSVDQYLELVGNSLPGTTSKSGSTPILKCPTQFPLILWHPYARHYYFCMMTEAEQ DKWQAVLQDCVRHCNNGIPENSKVEGPAFTDAIRMYRQSKEQYGTWEMLCGNEVQILSNL VMEELGPALKAELGPRLKGKPQERQRQWIQISDAVYRLVFEQAKVHFEDVLCKLQRARPA MEAVIRTDMDQIITSKEHLASKIRAFILPKAEVCVRNHVQPYIPSILEALMVPTSQGFTE VRDVFFKEVTDMNLNVINEGGIDKLGEYMEKLSQLAYHPLKMQSCYEKMEPLRLDGLQQR FDVSSTSVFKQRAQIHMREQMDNAVYTFETLLHQELGKGPTKEELCKSIQRILERVLKKY DYDSSSVRKRFFREALLQITIPFLLKKLAPTCKSELPRFQELIFEDFARFILVENTYEEV VLQTVMKDILQAVKEAAVQRKHNLYRDSMVLHNSDPNLHLLAEGTPIDWGEQYGDSGDSG GGDSGGSPCPSEAATLTEKRRRAKQVMSVVQDEESGLPFEAGVEPPSPASPDSVTELRGL LAQDLQAESSPPASPLLNGAPVQESSQPVAVPEASPPASPLRHLPPGKAVDLEPPKPSDQ ETGEQVSSPGSRPPIHTTTEDSAGVQTEF >ENSMUSP00000114232.1 pep:known chromosome:GRCm38:2:32895632:32909958:1 gene:ENSMUSG00000026796.16 transcript:ENSMUST00000138781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam129b description:family with sequence similarity 129, member B [Source:MGI Symbol;Acc:MGI:2442910] MPEKTEKILTEFLRFYEDQYGVSLFNSMRHEIEGTGPPQAQLLWRKVPLDERIIFSGNLF QYQEDNKKWRNRFSLVPHNYGLV >ENSMUSP00000109409.1 pep:known chromosome:GRCm38:X:99975606:100400760:1 gene:ENSMUSG00000059327.9 transcript:ENSMUST00000113779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda description:ectodysplasin-A [Source:MGI Symbol;Acc:MGI:1195272] MGYPEVERREPLPAAAPRERGSQGCGCRGAPARAGEGNSCRLFLGFFGLSLALHLLTLCC YLELRSELRRERGTESRLGGPGAPGTSGTLSSPGSLDPVGPITRHLGQPSFQQQPLEPGE DPLPPDSQDRHQMALLNFFFPDEKAYSEEESRRVRRNKRSKSGEGADGPVKNKKKGKKAG PPGPNGPPGPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADK TGTRENQPAVVHLQGQGSAIQVKNDLSGGVLNDWSRITMNPKVFKLHPRSGELEVLVDGT YFIYSQVEVYYINFTDFASYEVVVDEKPFLQCTRSIETGKTNYNTCYTAGVCLLKARQKI AVKMVHADISINMSKHTTFFGAIRLGEAPAS >ENSMUSP00000109406.1 pep:known chromosome:GRCm38:X:99975606:100400760:1 gene:ENSMUSG00000059327.9 transcript:ENSMUST00000113776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda description:ectodysplasin-A [Source:MGI Symbol;Acc:MGI:1195272] MGYPEVERREPLPAAAPRERGSQGCGCRGAPARAGEGNSCRLFLGFFGLSLALHLLTLCC YLELRSELRRERGTESRLGGPGAPGTSGTLSSPGSLDPVGPITRHLGQPSFQQQPLEPGE DPLPPDSQDRHQMALLNFFFPDEKAYSEEESRRVRRNKRSKSGEGADGPVKNKKKGKKAG PPGPNGPPGPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADK TGTRENQPAVVHLQGQGSAIQVKNDLSGGVLNDWSRITMNPKVFKLHPRSGELEVLVDGT YFIYSQTLLPSTFVLLLSWAHSPQVCQWPFISIPHCVPPSGLSSELQVHRP >ENSMUSP00000109405.1 pep:known chromosome:GRCm38:X:99975606:100400760:1 gene:ENSMUSG00000059327.9 transcript:ENSMUST00000113775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda description:ectodysplasin-A [Source:MGI Symbol;Acc:MGI:1195272] MGYPEVERREPLPAAAPRERGSQGCGCRGAPARAGEGNSCRLFLGFFGLSLALHLLTLCC YLELRSELRRERGTESRLGGPGAPGTSGTLSSPGSLDPVGPITRHLGQPSFQQQPLEPGE DPLPPDSQDRHQMALLNFFFPDEKAYSEEESRRVRRNKRSKSGEGADGPVKNKKKGKKAG PPGPNGPPGPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADK TGTRENQPAVVHLQGQGSAIQVKNDLSGGVLNDWSRITMNPKVFKLHPRSGELEVLVDGT YFIYSQTLLPSTFVLLLSWAHSPQVCQWPFISIPHCVPPSGLSSELQVHRP >ENSMUSP00000109410.1 pep:known chromosome:GRCm38:X:99975606:100400762:1 gene:ENSMUSG00000059327.9 transcript:ENSMUST00000113780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda description:ectodysplasin-A [Source:MGI Symbol;Acc:MGI:1195272] MGYPEVERREPLPAAAPRERGSQGCGCRGAPARAGEGNSCRLFLGFFGLSLALHLLTLCC YLELRSELRRERGTESRLGGPGAPGTSGTLSSPGSLDPVGPITRHLGQPSFQQQPLEPGE DPLPPDSQDRHQMALLNFFFPDEKAYSEEESRRVRRNKRSKSGEGADGPVKNKKKGKKAG PPGPNGPPGPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADK TGTRENQPAVVHLQGQGSAIQVKNDLSGGVLNDWSRITMNPKVFKLHPRSGELEVYYINF TDFASYEVVVDEKPFLQCTRSIETGKTNYNTCYTAGVCLLKARQKIAVKMVHADISINMS KHTTFFGAIRLGEAPAS >ENSMUSP00000109408.1 pep:known chromosome:GRCm38:X:99975606:100400762:1 gene:ENSMUSG00000059327.9 transcript:ENSMUST00000113778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda description:ectodysplasin-A [Source:MGI Symbol;Acc:MGI:1195272] MGYPEVERREPLPAAAPRERGSQGCGCRGAPARAGEGNSCRLFLGFFGLSLALHLLTLCC YLELRSELRRERGTESRLGGPGAPGTSGTLSSPGSLDPVGPITRHLGQPSFQQQPLEPGE DPLPPDSQDRHQMALLNFFFPDEKAYSEEESRRVRRNKRSKSGEGADGPVKNKKKGKKAG PPGPNGPPGPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADK TGTRENQPAVVHLQGQGSAIQVKNGGVLNDWSRITMNPKVFKLHPRSGELEVLVDGTYFI YSQVYYINFTDFASYEVVVDEKPFLQCTRSIETGKTNYNTCYTAGVCLLKARQKIAVKMV HADISINMSKHTTFFGAIRLGEAPAS >ENSMUSP00000109411.1 pep:known chromosome:GRCm38:X:99975606:100400759:1 gene:ENSMUSG00000059327.9 transcript:ENSMUST00000113781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda description:ectodysplasin-A [Source:MGI Symbol;Acc:MGI:1195272] MGYPEVERREPLPAAAPRERGSQGCGCRGAPARAGEGNSCRLFLGFFGLSLALHLLTLCC YLELRSELRRERGTESRLGGPGAPGTSGTLSSPGSLDPVGPITRHLGQPSFQQQPLEPGE DPLPPDSQDRHQMALLNFFFPDEKAYSEEESRRVRRNKRSKSGEGADGKKAGPPGPNGPP GPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADKTGTRENQP AVVHLQGQGSAIQVKNGGVLNDWSRITMNPKVFKLHPRSGELEVLVDGTYFIYSQVYYIN FTDFASYEVVVDEKPFLQCTRSIETGKTNYNTCYTAGVCLLKARQKIAVKMVHADISINM SKHTTFFGAIRLGEAPAS >ENSMUSP00000109413.1 pep:known chromosome:GRCm38:X:99975606:100400759:1 gene:ENSMUSG00000059327.9 transcript:ENSMUST00000113783.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda description:ectodysplasin-A [Source:MGI Symbol;Acc:MGI:1195272] MGYPEVERREPLPAAAPRERGSQGCGCRGAPARAGEGNSCRLFLGFFGLSLALHLLTLCC YLELRSELRRERGTESRLGGPGAPGTSGTLSSPGSLDPVGPITRHLGQPSFQQQPLEPGE DPLPPDSQDRHQMALLNFFFPDEKAYSEEESRRVRRNKRSKSGEGADGKKAGPPGPNGPP GPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADKTGTRENQP AVVHLQGQGSAIQVKNDLSGGVLNDWSRITMNPKVFKLHPRSGELEVLVDGTYFIYSQVE VYYINFTDFASYEVVVDEKPFLQCTRSIETGKTNYNTCYTAGVCLLKARQKIAVKMVHAD ISINMSKHTTFFGAIRLGEAPAS >ENSMUSP00000109407.1 pep:known chromosome:GRCm38:X:99975781:100395812:1 gene:ENSMUSG00000059327.9 transcript:ENSMUST00000113777.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda description:ectodysplasin-A [Source:MGI Symbol;Acc:MGI:1195272] MGYPEVERREPLPAAAPRERGSQGCGCRGAPARAGEGNSCRLFLGFFGLSLALHLLTLCC YLELRSELRRERGTESRLGGPGAPGTSGTLSSPGSLDPVGPITRHLGQPSFQQQPLEPGE DPLPPDSQDRHQMALLNFFFPDEKAYSEEESRRVRRNKRSKSGEGADGPVKNKKKGKKAG PPGPNGPPGPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADK TGTRENQPAVVHLQGQGSAIQVKNDLSGGVLNDWSRITMNPKVFKLHPRSGELEVLVDGT YFIYSQTLLPSTFVLLLSWAHSPQVCQWPFISIPHCVPPSGLSSELQVHRP >ENSMUSP00000071397.2 pep:known chromosome:GRCm38:X:99975781:100397156:1 gene:ENSMUSG00000059327.9 transcript:ENSMUST00000071453.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda description:ectodysplasin-A [Source:MGI Symbol;Acc:MGI:1195272] MGYPEVERREPLPAAAPRERGSQGCGCRGAPARAGEGNSCRLFLGFFGLSLALHLLTLCC YLELRSELRRERGTESRLGGPGAPGTSGTLSSPGSLDPVGPITRHLGQPSFQQQPLEPGE DPLPPDSQDRHQMALLNFFFPDEKAYSEEESRRVRRNKRSKSGEGADGKKAGPPGPNGPP GPPGPPGPQGPPGIPGIPGIPGTTVMGPPGPPGPPGPQGPPGLQGPSGAADKTGTRENQP AVVHLQGQGSAIQVKNDLSGGVLNDWSRITMNPKVFKLHPRSGELEVLVDGTYFIYSQVE VYYINFTDFASYEVVVDEKPFLQCTRSIETGKTNYNTCYTAGVCLLKARQKIAVKMVHAD ISINMSKHTTFFGAIRLGEAPAS >ENSMUSP00000140343.1 pep:known chromosome:GRCm38:11:49327413:49328348:1 gene:ENSMUSG00000101874.1 transcript:ENSMUST00000187509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1391 description:olfactory receptor 1391 [Source:MGI Symbol;Acc:MGI:3031225] MGSFNASLGKGFILVGFSDWPQLELILFIYVLIFYSLTLFGNTTIIALSQLDIRLHTPMY FFLSHLSFLDLCYTTSTVPQLLINIAAQDHTITYGRCVAQLFSVLALGSTESMLLVVMAF DRYAAVCRPLHYTTIMHPLLCQALAISSWVAGLVNSLIQTGLMMAMPLCRYRLNHFFCEM PVFLKLACKDTAGTEAKMFVARAIILVFPATLILGSYAHIARAVLKVKSTSGRRKAFGTC GSHLLVVSMFYGSTIYTYLQPNDSYSENEGKFVALFYTIVTPMLNPLIYTLRNKDVKGAL WKVLGRGTDSR >ENSMUSP00000048489.6 pep:known chromosome:GRCm38:16:4626133:4679777:-1 gene:ENSMUSG00000039637.14 transcript:ENSMUST00000038552.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro7 description:coronin 7 [Source:MGI Symbol;Acc:MGI:1926135] MSRFKVSKFRHMEARPSRREAWISDIRAVTTPTCGNHIKSSCSLIAFNSDRPGVLGVISL EGHEENKRHVTYLGCHSDLVTDLDFSPFDDFLLASGSADRTIKLWRLSGTGEALPSVPGV VLGPEELPVEVLQFHPTVDGVLVSTAGKTVKVWDVAKQQPLTELEAHKDLVQSAVWSRDG AIVGTACKDKQLRIFDPRARTQASQSTQAHENNRDIRLAWTGIQEHLVSTGFNQMREREA KLWDTRLFSSALASVTLDTSPGPLIPLLDPDSGLLVLAGKGENQLYCYEVTPQQPALSPV TQCILENVLRGAALVPRRALAVMSCEVLQVLQLSDTAIIPISHHVPRKAVEFHEDLFPDT AGSVPASDAHMWWAGDNQQVQKVSLNPARRPHPCFTSSLVPTMEPAPDMVQPAEMPRADT DLSEGFSSPSSLMSPSTPSSLGPSLSSTSGIGTSPSQRSLQSLLGPSSKFRHTQGSLLHR DSHITNLKGLNLTTPGESDGFCANRLRVAVPLLSSGGQVAVLELQKPGRLPDTALPTLQN GTAVMDLVWDPFDPHRLAVAGEDARIRLWRVPPGGLENVLTTPETVLTGHTEKIYSLRFH PLAADVLASSSYDLTVRIWDLQTGAERLKLQGHQDQIFSLAWSPDGKQLATVCKDGHVRV YEPRSSPLPLQEGPGPEGGRGARIVWVCDGGCLLVSGFDSRSERQLQLYIADALAQGPSA LLGLDVAPSTLLPSYDPDTGLVLLTGKGDTRVFLYEVLPEAPFFLECNSFTSPDPHKGFV LLPKTECDIQDVEFARCLRLRQTSLEPVAFRLPRVRKEFFQDDVFPDTAVTWEPALSAKA WFEGANGQPRLLSLQPPGMTPVSQAPREVPARRAPSSAQYLEEKSDQQKKEELLNAMVAK LGNREDPLPQDSFEGVDEDEWD >ENSMUSP00000118310.1 pep:known chromosome:GRCm38:16:4629059:4679678:-1 gene:ENSMUSG00000039637.14 transcript:ENSMUST00000135823.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Coro7 description:coronin 7 [Source:MGI Symbol;Acc:MGI:1926135] MSRFKVSKFRHMEARPSRREAWISDIRAVTTPTCGNHIKSSCSLIAFNSDRPGVLGVISL EGHEENKRHVTYLGCHSDLVTDLDFSPFDDFLLASGSADRTIKLWRLSGTGEALPSVPGV VLGPEELPVEVLQFHPTVDGVLVSTAGKTVKVWDVAKQQPLTELEAHKDLVQSAVWSRDG AIVGTACKDKQLRIFDPRARTQASQSTQAHENNRDIRLAWTGIQEHLVSTGFNQMREREA KLWDTRLFSSALASVTLDTSPGTSLKAGWQLSPDSPIFWMAGSSSPECCKSGLSSQLL >ENSMUSP00000087966.4 pep:known chromosome:GRCm38:16:4652566:4679777:-1 gene:ENSMUSG00000039637.14 transcript:ENSMUST00000090480.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro7 description:coronin 7 [Source:MGI Symbol;Acc:MGI:1926135] MSRFKVSKFRHMEARPSRREAWISDIRAVTTPTCGNHIKSSCSLIAFNSDRPGVLGVISL EGHEENKRHVTYLGCHSDLVTDLDFSPFDDFLLASGSADRTIKLWRLSGTGEALPSVPGV VLGPEELPVEVLQFHPTVDGVLVSTAGKTVKVWDVAKQQPLTELEAHKDLVQSAVWSRDG AIVGTACKDKQLRIFDPRARTQASQSTQAHENNRDIRLAWTGIQEHLVSTGFNQMREREA KLWDTRLFSSALASVTLDTSPGVSVRILALCRVAEHCQASSCLVEGSR >ENSMUSP00000053611.1 pep:known chromosome:GRCm38:11:49340534:49341469:1 gene:ENSMUSG00000045421.1 transcript:ENSMUST00000062719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1390 description:olfactory receptor 1390 [Source:MGI Symbol;Acc:MGI:3031224] MGSFNTSFRQGFFLVGFSDFPQLELLLSVLISIFYSLTLFGNSTIIILSQLDARLQMPMY FFLCHLSFLDLCYTTSIVPQLLINLQGYDRTISYGGCVAQLFLFLALATTESVLLVVMAF DRYVAVCRPLHYTTIMHPVLCLSLAIVSWVGGFMNSLIQTSLMMAVPLCGHRLNHFFCEI PSLLKLACEDTEGTGAKMFVVRVVFLIFPITLILSSYANIAQAVLKTKSMAGCKKALGTC GSHLVVVSMFYGAAMYTYLQPKGTYSESKGKFVALFYIIVTPMLNPLIYTLRNKDVKGAL WKVLGRATDLG >ENSMUSP00000137025.1 pep:known chromosome:GRCm38:3:113279843:113291449:-1 gene:ENSMUSG00000096770.2 transcript:ENSMUST00000179568.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amy2a4 description:amylase 2a4 [Source:MGI Symbol;Acc:MGI:3711258] MKFVLLLSLIGFCWAQYDPHTSDGRTAIVHLFEWRWVDIAKECERYLAPKGFGGVQVSPP NENVVVHNPSRPWWERYQPISYKICTRSGNEDEFRDMVTRCNNVGVRIYVDAVINHMCGA GNPAGTSSTCGSYLNPNNREFPAVPYSAWDFNDNKCNGEIDNYNDAYQVRNCRLTGLLDL ALEKDYVRTKVADYMNHLIDIGVAGFRLDAAKHMWPGDIKAVLDKLHNLNTKWFSQGSRP FIFQEVIDLGGEAIKGSEYFGNGRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGLVP SDRALVFVDNHDNQRGHGAGGSSILTFWDARMYKMAVGFMLAHPYGFTRVMSSYRWNRNF QNGKDQNDWIGPPNNNGVTKEVTINADTTCGNDWVCEHRWRQIRNMVAFRNVVNGQPFSN WWDNNSNQVAFSRGNRGFIVFNNDDWALSATLQTGLPAGTYCDVISGDKVDGNCTGLRVN VGSDGKAHFSISNSAEDPFIAIHADSKL >ENSMUSP00000090060.3 pep:known chromosome:GRCm38:X:70393901:70394740:-1 gene:ENSMUSG00000073141.7 transcript:ENSMUST00000092405.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930567H17Rik description:RIKEN cDNA 4930567H17 gene [Source:MGI Symbol;Acc:MGI:3588249] MFNAAQSRIDRMAMEDGITPEQAFTNQTTAAGNPSMGPLRPHKRSNIPVSAPAESPTQAT HKPCKLVSSYNDTEYPSATIDMDVPGYERTSHSWYLRYGRTLSSYDPCRYILKAALSVIT AWENTLEEEEEDEEEDEEEEEMEEEDEGEEDEEMEEEDEDEEEEMEEDEEEEDKEGEDEE EEYYTMEEEEYEEYEEYEEECEDDDDHYTENNCIICALFKFIRMLFSPGRGTA >ENSMUSP00000088807.5 pep:known chromosome:GRCm38:2:26208637:26225371:-1 gene:ENSMUSG00000036327.18 transcript:ENSMUST00000091263.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qsox2 description:quiescin Q6 sulfhydryl oxidase 2 [Source:MGI Symbol;Acc:MGI:2387194] MIDFLQNHTEGTWPPACPPLDPIQSSDILSFMDSHSGQYHAIVFESNGSYVGREVILDLI PYENIMVSRALDTDKAFLGTLGITSVPSCYLIYPNGSHGLVNVAKPLRSFFSSHLKSLPD VRKKSLFLPEKSNKEEKSEVVVWKEFDRAKLYTADLESGLHYLLRVELAAHRSLAGAQLK TFRDFVTVVAKLFPGRPAVKKLLETLQEWLANLPLDKIPYNAILDLVNNKMQISGIFLTS HVKWVGCQGSRLELRGYPCSLWKLFHTLTVQASTHPEALAGTGFEGHPQAVLQAIRRYIR TFFGCKECGEHFEEMAKESMDSVKTPDQAVLWLWRKHNMVNSRLAGHLSEDPKFPKVPWP TPDLCPACHEEIKGLDSWNEGQVLLFLKQHYSRDNLVDAYSVDQGSPGEWEAQGREQEEG KGLNPSGKSWRHHDTGSLRPPHILGPRTDLSKSLHHRLDLRLQSPQGPQALKEAKAVVPF LGVGFSSLDMSLCVVLYVASSLFLMIMYFFFRVRSKRWKVRLYHPAV >ENSMUSP00000037128.8 pep:known chromosome:GRCm38:2:26209125:26237525:-1 gene:ENSMUSG00000036327.18 transcript:ENSMUST00000036187.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qsox2 description:quiescin Q6 sulfhydryl oxidase 2 [Source:MGI Symbol;Acc:MGI:2387194] MAAARAVARDPGAYARQPPSLRAARLPRLLFLLAVVAAVGPREGGGARLYREGSDAVWLL DSGSVRSATGNSSAAWLVQFHSSWCGHCIGYAPTWRALAADVRDWAAAIRVAALDCAEEK NQDVCRTYDIHFYPTFRYFKAFTKEFTTGENFKGPDRELRTVRQTMIDFLQNHTEGTWPP ACPPLDPIQSSDILSFMDSHSGQYHAIVFESNGSYVGREVILDLIPYENIMVSRALDTDK AFLGTLGITSVPSCYLIYPNGSHGLVNVAKPLRSFFSSHLKSLPDVRKKSLFLPEKSNKE EKSEVVVWKEFDRAKLYTADLESGLHYLLRVELAAHRSLAGAQLKTFRDFVTVVAKLFPG RPAVKKLLETLQEWLANLPLDKIPYNAILDLVNNKMQISGIFLTSHVKWVGCQGSRLELR GYPCSLWKLFHTLTVQASTHPEALAGTGFEGHPQAVLQAIRRYIRTFFGCKECGEHFEEM AKESMDSVKTPDQAVLWLWRKHNMVNSRLAGHLSEDPKFPKVPWPTPDLCPACHEEIKGL DSWNEGQVLLFLKQHYSRDNLVDAYSVDQGSPGSVLRARPWLGQMARLSHVNLLPHFPVE EVSSLKPGVLCLKTNKPRSSLGVSKDEHSWSVSLRIGPI >ENSMUSP00000046909.8 pep:known chromosome:GRCm38:19:11443573:11463549:1 gene:ENSMUSG00000056290.15 transcript:ENSMUST00000035258.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a4b description:membrane-spanning 4-domains, subfamily A, member 4B [Source:MGI Symbol;Acc:MGI:1913083] MQGQEQTTMAVVPGVAVPSKNSVMTSQMWNEKKEKFLKGEPKVLGVLQVMIAIINLSLGI IILTTLFSELPTSVMLMVPIWGSIMFIVSGSLSIAAGVTPTKCLIVASLTLNTITSVLAA TASIMGVVSVAVGSQFPFRYNYTITKGLDVLMLIFNMLEFCLAVSVSAFGCEASCCNSRE VLVVLPSNPVETVMAPPMTLQPLLPSEHQGTNVPGNVYKNHPGEIV >ENSMUSP00000113785.1 pep:known chromosome:GRCm38:1:136213531:136234264:-1 gene:ENSMUSG00000041605.16 transcript:ENSMUST00000120339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730559C18Rik description:RIKEN cDNA 5730559C18 gene [Source:MGI Symbol;Acc:MGI:1921579] MPQGTDVLGSLTFGMLQMPKLNEIPPGRGGPGEPWGEGRWAGPTGPEAARPARGARGQAR GARARWDSWEHSRLPTHPGPGWDQCSPSFLCAPSSQKLIMESKDEVSDSDSGIILQSGPD SPVSPMKELTNAVRKQQRALEARLEACLEELRRLCLREAELTGTLPAEYPLKPGEKAPKV RRRIGAAYKLDEWALHREDPLSSLERQLALQLQITEAARRLCAEENLSRQARRQRKHAAL QEEKKLRDLQRCLGDRRRNSEPPPTTVPSLGRELSASDDSSLSDGLLLEEEDSQAPKPPP ESPAPPSRPLPPQSLEGLQPTGPESGGQERAPIQNSPWKETSLDHPYEKPRKSSELSSES SSPATTPQDQPNPSSLWVLDAASYHVVPIRNVPGQRQGRTSAPATPEMQGRRGQSQSLRV DSFRAGAEGRGRSAFPRRRPTHYTVTVPDSCFTPGKPPLPHPACHSCSEDSGSDVSSISH PTSPGSSSPDISFLRPLCLPEPPRHRGAWGPACGRELAPHYSKLLLPAGYFPTGRYVMVA EGHLPPGEWELCRAAVGAAYDEEGAPLRYQRLVPSHSRIVRTPSLKDSPAGRGLSKAAVS EELKWWHERARLRSSRPHSLDRQGAFRVRSLPPGRESFGRASGPRTQVPPVYVLRRSTDG APVQVFVPENGEIISQV >ENSMUSP00000118074.1 pep:known chromosome:GRCm38:1:136220278:136230289:-1 gene:ENSMUSG00000041605.16 transcript:ENSMUST00000150163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730559C18Rik description:RIKEN cDNA 5730559C18 gene [Source:MGI Symbol;Acc:MGI:1921579] MESKDEVSDSDSGIILQSGPDSPVSPMKELTNAVRKQQRALEARLEACLEELRRLCLREA ELTGTLPAEYPLKPGEKAPKVRRRIGAAYKLDEWALHREDPLSSLERQLALQLQITEAAR RLCAEENLSRQARRQRKHAALQEEKKLRDLQRCLGDRRRNSEPPPTTVPSLGRELSASDD SSLSDGLLLEEEDSQAPKPPPESPAPPSRPLPP >ENSMUSP00000115554.1 pep:known chromosome:GRCm38:1:136220316:136230310:-1 gene:ENSMUSG00000041605.16 transcript:ENSMUST00000144464.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730559C18Rik description:RIKEN cDNA 5730559C18 gene [Source:MGI Symbol;Acc:MGI:1921579] MESKDEVSDSDSGIILQSGPDSPVSPMKELTNAVRKQQRALEARLEACLEELRRLCLREA ELTGTLPAEYPLKPGEKAPKVRRRIGAAYKLDEWALHREDPLSSLERQLALQLQITEAAR RLCAEENLSRQARRQRKHAALQEEKKLRDLQRCLGDRRRNSEPPPTTVPSLGRELSASDD SSLSDGLLLEEEDSQAPKPP >ENSMUSP00000120263.1 pep:known chromosome:GRCm38:1:136226193:136234119:-1 gene:ENSMUSG00000041605.16 transcript:ENSMUST00000153910.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:5730559C18Rik description:RIKEN cDNA 5730559C18 gene [Source:MGI Symbol;Acc:MGI:1921579] MPQGTDVLGSLTFGMLQMPKLNEIPPGRGGPGEPWGEGRWAGPTGPEAARPARGARGQAR GARARWDRNSSWRVRMKSVTPTAASSCSLARTALSLQ >ENSMUSP00000141506.1 pep:known chromosome:GRCm38:1:136226214:136230019:-1 gene:ENSMUSG00000041605.16 transcript:ENSMUST00000195177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730559C18Rik description:RIKEN cDNA 5730559C18 gene [Source:MGI Symbol;Acc:MGI:1921579] MESKDEVSDSDSGIILQSGPDSPVSPMKELTNAVRKQQRALEARLEACLEELRRLCLREA ELTGTLPAEYPLKPGEKA >ENSMUSP00000058943.5 pep:known chromosome:GRCm38:12:71309884:71320107:1 gene:ENSMUSG00000044548.11 transcript:ENSMUST00000061273.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dact1 description:dishevelled-binding antagonist of beta-catenin 1 [Source:MGI Symbol;Acc:MGI:1891740] MKPDAAREPEPLSPGRGAEAEGRWRERGEADTERQRTRERQEATLAGLAELGYLRQRQEL LVRGALRCSGTVGTVAPRSGELRGDAAQRSRLEEKFLEENILLLRRQLNCLRRRDAGLLN QLQELDKQISDLRLDVEKTSEEHLETDSRPSSGFYELSDGASGSLSNSSNSVFSECLSSC HSSTCFCSPLEAALTISDGCPKSADVNPKYQCDLVSKNGNDVYRYPSPLHAVAVQSPMFL LCLTGNTLREEEGLGSHASDICIGSELNATKTDNSLPSPSSLWSASHPASSKKMDGYILS LVQKKTHPVRTNKPRTSVNADPTKGLLRNGSVCVRAPSGVPPGSSVNFKNTKQMCLPAGG ITSLENGPFSPPKQRSKDSKTDQLESKRLALPESCSAGAAMEPQSKHVPKAAKAASQELT RCQAGLGESMKESNQASAVSPKTSPGRGPVAPAESKALQLPKKMSQKNSLQAVPALDRPA LDFKSEGSSQSLEEGHLVKAQFIPGQQAAARPHRAHRNPGVARSATLKARGQAAMEHGLP TVREKPRAAGKKCRFPDDSDTNKKFRKTSAKGRRSGGLQDAGLPGRALGTGGHRAGSRAH AHGREPVVAKPKHKRTDYRRWKSSAEVSYEEALRRARRARREHGAAYRVAVALPYASPYA YVPSDSEYSAECESLFHSTVVDTSEDEQSNYTTNCFGDSESSVSEGDFVGESTTTSDSEE SGGLIWSQFVQTLPIQTVTAPDLHTRPTKTFVKIKASHNLKKKILRFRSGSLKLMTTV >ENSMUSP00000117169.1 pep:known chromosome:GRCm38:12:71309984:71318894:1 gene:ENSMUSG00000044548.11 transcript:ENSMUST00000150639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dact1 description:dishevelled-binding antagonist of beta-catenin 1 [Source:MGI Symbol;Acc:MGI:1891740] MKPDAAREPEPLSPGRGAEAEGRWRERGEADTERQRTRERQEATLAGLAELGYLRQRQEL LVRGALRCSGTVGTVAPRSGELRGDAAQRSRLEEKFLEENILLLRRQLNCLRRRDAGLLN QLQELDKQISDLRLDVEKTSEEHLETDSRPSSGFYELSDGASGSLSNSSNSVFSECLSSC HSSTCFCSPLEAALTISDGCPKSADLIGWLECKGGPCEDQASGTVCSSPSTPQFNSLDVI ADVNPKYQCDLVSKNGNDVYRYPSPLHAVAVQSPMFLLCLTGNTLREEEGLGSHASDICI GSELNATKTDNSLPSPSSLWSASHPASSKKMDGYILSLVQKKTHPVRTNKPRTSVNADPT KGLLRNGSVCVRAPSGVPPGSSVNFKNTKQMCLPAGGITSLENGPFSPPKQRSKDSKTDQ LESKRLALPESCSAGAAMEPQSKHVPKAAKAASQELTRCQAGLGESMKESNQASAVSPKT SPGRGPVAPAESKALQLPKKMSQKNSLQAVPALDRPALDFKSEGSSQSLEEGHLVKAQFI PGQQAAARPHRAHRNPGVARSATLKARGQAAMEHGLPTVREKPRAAGKKCRFPDDSDTNK KFRKTSAKGRRSGGLQDAGLPGRALGTGGHRAGSRAHAHGREPVVAKPKHKRTDYRRWKS SAEVSYEEALRRARRARREHGAAYRVAVALPYASPYAYVPSDSEYSAECESLFHSTVVDT SEDEQSNYTTNCFGDSESSVSEGDFVGESTTTSDSEESGGLIWSQFVQTLPIQTVTAPDL HTRPTKTFVKIKASHNLKKKILRFRSGSLKLMTTV >ENSMUSP00000145461.1 pep:known chromosome:GRCm38:11:49430427:49431499:1 gene:ENSMUSG00000107573.1 transcript:ENSMUST00000203369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1389 description:olfactory receptor 1389 [Source:MGI Symbol;Acc:MGI:3031223] MGTFNASLGKGFILVGFSDFPQLEVFLFVFILVFYLLTLLGNTTIIALSRLDVRLHTPMY FFLSHLSFLDLCYTTSTVPQLLINLCGLDRTISYGGCVAQLLIFLALVSTECLLLGVMAF DRYAAVCRPLHYTTIMHPQLCQGLAISSWVSGLVNSVIQTGLVMAMPLCSHRLNHFFCEM PIFLKLACEDTNGTEVKMFVARTIILIFPAALILGSYGHIARAILRIKSMAGRRKAFGTC GSHLIVVSLFYGSGIYTYLQPIHRYSENEGKFVAVFYTILTPILNPLIYTLRNKDVKGAL WKVLGKGTDLV >ENSMUSP00000124899.1 pep:known chromosome:GRCm38:5:145167213:145182041:1 gene:ENSMUSG00000029625.16 transcript:ENSMUST00000160629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf4 description:cleavage and polyadenylation specific factor 4 [Source:MGI Symbol;Acc:MGI:1861602] MQEIIASVDHIKFDLEIAVEQQLGAQPLPFPGMDKSGAAVCEFFLKAACGKGGMCPFRHI SGEKTVVCKHWLRGLCKKGDQCEFLHEYDMTKMPECYFYSKFGECSNKECPFLHIDPESK IKDCPWYDRGFCKHGPLCRHRHTRRVICVNYLVGFCPEGPSCKFMHPRFELPMGTTEQPP LPQQTQPPTKRAPQVIGVMQSQNSSAGNRGPRPLEQVTCYKCGEKGHYANRCTKGHLAFL SGQ >ENSMUSP00000069243.5 pep:known chromosome:GRCm38:5:145167224:145182041:1 gene:ENSMUSG00000029625.16 transcript:ENSMUST00000070487.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf4 description:cleavage and polyadenylation specific factor 4 [Source:MGI Symbol;Acc:MGI:1861602] MQEIIASVDHIKFDLEIAVEQQLGAQPLPFPGMDKSGAAVCEFFLKAACGKGGMCPFRHI SGEKTVVCKHWLRGLCKKGDQCEFLHEYDMTKMPECYFYSKFGPLCRHRHTRRVICVNYL VGFCPEGPSCKFMHPRFELPMGTTEQPPLPQQTQPPTKRAPQVIGVMQSQNSSAGNRGPR PLEQVTCYKCGEKGHYANRCTKGHLAFLSGQ >ENSMUSP00000125019.1 pep:known chromosome:GRCm38:5:145167247:145182040:1 gene:ENSMUSG00000029625.16 transcript:ENSMUST00000160422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf4 description:cleavage and polyadenylation specific factor 4 [Source:MGI Symbol;Acc:MGI:1861602] MQEIIASVDHIKFDLEIAVEQQLGAQPLPFPGMDKSGAAVCEFFLKAACGKGGMCPFRHI SGEKTVVCKHWLRGLCKKGDQCEFLHEYDMTKMPECYFYSKFGECSNKECPFLHIDPESK IKDCPWYDRGFCKHGPLCRHRHTRRVICVNYLVGFCPEGPSCKFMHPRFELPMGTTEQPP LPQQTQPPTKQSNNPPLQRSSSLIQLTSQNSSPNQQRAPQVIGVMQSQNSSAGNRGPRPL EQVTCYKCGEKGHYANRCTKGHLAFLSGQ >ENSMUSP00000124966.1 pep:known chromosome:GRCm38:5:145167254:145181753:1 gene:ENSMUSG00000029625.16 transcript:ENSMUST00000162244.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf4 description:cleavage and polyadenylation specific factor 4 [Source:MGI Symbol;Acc:MGI:1861602] MQEIIASVDHIKFDLEIAVEQQLGAQPLPFPGMDKSGAAVCEFFLKAACGKGGMCPFRHI SGEKTVVCKHWLRGLCKKGDQCEFLHEYDMTKMPECYFYSKFGECSNKECPFLHIDPESK IKDCPWYDRGFCKHGPLCRHRHTRRVICVNYLVGFCPEGPSCKFMHPRFELPMGTTEQPP LPQQTQPPTKQRAPQVIGVMQSQNSSAGNRGPRPLEQVTCYKCGEKGHYANRCTKGHLAF LSGQ >ENSMUSP00000137910.1 pep:known chromosome:GRCm38:5:145167268:145181232:1 gene:ENSMUSG00000029625.16 transcript:ENSMUST00000160574.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpsf4 description:cleavage and polyadenylation specific factor 4 [Source:MGI Symbol;Acc:MGI:1861602] MQEIIASVDHIKFDLEIAVEQQLGAQPLPFPGMDKSGAAVCEFFLKAACGKGKRPLTSSH PS >ENSMUSP00000125640.1 pep:known chromosome:GRCm38:5:145167455:145181353:1 gene:ENSMUSG00000029625.16 transcript:ENSMUST00000160762.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf4 description:cleavage and polyadenylation specific factor 4 [Source:MGI Symbol;Acc:MGI:1861602] XDKSGAAVCEFFLKAACGKGGMCPFRHISGEKTVVCKHWLRGLCKKGDQCEFLHEYDMTK MPECYFYSKFGECSNKECPFLHIDPESKIKDCPWYDRGFCKHGPLCRHRHTRRVICVNYL VGFCPEGPSCKFMHPRFELPMGTTEQPPLPQQTQPPTKVVLLDPVNESELFSQSAESPAG HWGHAESKQQCRQPGTPAAGASHLLQVW >ENSMUSP00000100624.2 pep:known chromosome:GRCm38:X:5669067:5671026:1 gene:ENSMUSG00000095814.1 transcript:ENSMUST00000105007.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14374 description:predicted gene 14374 [Source:MGI Symbol;Acc:MGI:3709265] MGLLVSRVLSCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYRDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSFGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000062409.6 pep:known chromosome:GRCm38:1:174011998:174031755:-1 gene:ENSMUSG00000054203.7 transcript:ENSMUST00000059226.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi205 description:interferon activated gene 205 [Source:MGI Symbol;Acc:MGI:101847] MENEYKRLVLLEGLECINKHQFNLFKSLMVKDLNLEEDNQEKYTTFQIANMMVKKFPADA GLDRLINFCERVPTLKKRAEILKKERSEVTEETSLEINRQEASPATPTSTTSHMLASERG KTSTTQEETSTAQKRKGMSEEKTDVKKIKASGKADQPPCCEGPTATCQSPISQVSSSASS NIPSAKNQKSQPQNQNIPRGAVLHSEPLTVMVLTATDPFEYESPEHEVKNMFHATVATVS QYFHVKVFNIDLKEKFTKNNFITISNYFESKGILEINETSSVLEAAPKQMIEVPNCITRN ANASPKICDIQKGTSGTVFYGVFTLHKKKVKTQNTSYEIKDGSGSIEVVGSGQWHNINCK EGDKLHLFCFHLKRERGQPKLVCGDHSFVKVTKAGKKKEASTVQ >ENSMUSP00000029707.7 pep:known chromosome:GRCm38:3:88043108:88055990:1 gene:ENSMUSG00000028069.16 transcript:ENSMUST00000029707.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch4 description:G patch domain containing 4 [Source:MGI Symbol;Acc:MGI:1913864] MSVTPEVKSRGMKFAEEQLLKHGWTQGKGLGRRENGITQALKVTLKQDNHGVGHDPAKEF TDHWWSDLFNKTAASLVVDSGKDGVQIRRLSKETTQRSHPKPSLLYQKFVKTATLTSGEE KPDRDLGNCSDVDNHEPTPPKILTDEMLLKACEGRTAHKAARIGITMKAKLARLEAQEQA FLAQLKGSKALGTSQPLTDSEPSQKKKKKRKQKEGEEAATTEKSLGDELLGHTDRSFRDS RKKKKRQKAERQGTAIGSEEEEAAGESGPRELSTEQSDQPSRKKKKRRKQRHEEDGEMGV CDEGGRDVTSRPKAVNSGGDKDPRRSSKKRGTCGEDLDTQEEEGKDDLTKGERKVRRKDK RKRQQCCEEDLDVSSKDDGGTWVAEDAGERSRQYPKERAKKKKRKRDRGSEVDLS >ENSMUSP00000141477.1 pep:known chromosome:GRCm38:3:88043124:88055200:1 gene:ENSMUSG00000028069.16 transcript:ENSMUST00000194258.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch4 description:G patch domain containing 4 [Source:MGI Symbol;Acc:MGI:1913864] MSVTPEVKSRGMKFAEEQLLKHGWTQGKGLGRRENGITQALKVTLKQDNHGVGHDPAKEF TDHWWSDLFNKTAASLVVDSGKDGVQIRRLSKETTQRSHPKPSLLYQKFVKTATLTSGEE KPDRDLGNCSDVDNHEPTPPKILTDEMLLKACEGRTAHKAARIGITMKAKLARLEAQEQA FLAQLKGSKALGTSQPLTDSEPSQKKKKKRKQKEGEEAATTEKSLGDELLGHTDRSFRD >ENSMUSP00000141786.1 pep:known chromosome:GRCm38:3:88043128:88055976:1 gene:ENSMUSG00000028069.16 transcript:ENSMUST00000193398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch4 description:G patch domain containing 4 [Source:MGI Symbol;Acc:MGI:1913864] MSVTPEVKSRGMKFAEEQLLKHGWTQGKGLGRRENGITQALKVTLKQDNHGVGHDPAKEF TDHWWSDLFNKTAASLVVDSGKDGVQIRRLSKETTQRSHPKPSLLYQKFVKTATLTSGEE KPDRDLGNCSDVDNHEPTPPKILTDEMLLKACEGRTAHKAARIGITMKAKLARLEAQEQA FLAQLKGSKALGTSQPLTDSEPSQKKKKKRKQKEGEEAATTEKSLGDELLGHTDRSFRDS RKKKKRQKAERQGTAIGSEEEEAAGESGPRELSTEQSDQPSRKKKKRRKQRHEEDGEMGV CDEGGRDVTSRPKAVNSGGDKDPRRSSKKRGTCGEDLDTQEEEGKDDLTKGERKVRRKDK RKRQQCCEEDLDVSSKDDGGTWVAEDAGERSRQYPKERAKKKKRKRDRGSEVDLS >ENSMUSP00000132699.1 pep:known chromosome:GRCm38:3:88043108:88055993:1 gene:ENSMUSG00000028069.16 transcript:ENSMUST00000166021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch4 description:G patch domain containing 4 [Source:MGI Symbol;Acc:MGI:1913864] MSVTPEVKSRGMKFAEEQLLKHGWTQGKGLGRRENGITQALKVTLKQDNHGVGHDPAKEF TDHWWSDLFNKTAASLVVDSGKDGVQIRRLSKETTQRSHPKPSLLYQKFVKTATLTSGEE KPDRDLGNCSDVDNHEPTPPKILTDEMLLKACEGRTAHKAARIGITMKAKLARLEAQEQA FLAQLKGSKALGTSQPLTDSEPSQKKKKKRKQKEGEEAATTEKSLGDELLGHTDRSFRDS RKKKKRQKAERQGTAIGSEEEEAAGESGPRELSTEQSDQPSRKKKKRRKQRHEEDGEMGV CDEGGRDVTSRPKAVNSGGDKDPRRSSKKRGTCGEDLDTQEEEGKDDLTKGERKVRRKDK RKRQQCCEEDLDVSSKDDGGTWVAEDAGERSRQYPKERAKKKKRKRDRGSEVDLS >ENSMUSP00000108771.2 pep:known chromosome:GRCm38:6:113237843:113281392:1 gene:ENSMUSG00000030269.14 transcript:ENSMUST00000113146.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr14 description:myotubularin related protein 14 [Source:MGI Symbol;Acc:MGI:1916075] MAGARAAAAASAGSTASSGSPPPQEPGLWELLEEFSRTQYRAKDSGGKSGSKVERIEKRC LELFGRDYCFSVIPNVNGDICGHYPRHIVFLEYESSEKEKDTFQSTVQVNKLQDLIHRSK MARCRGRFVCPVILFKGKHICRSATLAGWGELYGRSGYNYLFSGGADDTWASTEDVTEED FVLRSGDTHLFDKVRGYDIKLLQYLSVKYICDLMVENKKVKFGMNVTSSEKVDKAQRYAN FTLLSIPYPGCEFFKEYKDRDYMAEGLIFNWKQDYVDAPLNIPNFLTQSLNIDWSQYQSW DLVQQTQNYLKLLLFIMNRDDDSGLLVHCISGWDRTPLFISLLRLSLWADGLIHTSLKPA EILYLTVAYDWFLFGHMLVDRLSKGEEIFFFCFNFLKHITSEEFCLKTQRRKSLPTRDAG FTVEDICMLRHKDRGSTTSLGSDFSLVLEHSPGAVGSFSYETVELAPAGAPTQAAWRKSH SSSPQSMLWSRPQPSEERLPSHHGLTEAKSSSSSSSNHSDNFFRMGSSPLEVPKPRSVDH PLPGSSLSTDFGSWQLVSGCGSIQDRPVLHTDSSLPFSFQDELPNSCLLTALSDRETRLQ EVRSAFLAAYSSTVGLRAATPSPSGAIGGLLEQFARGVGLRGTSTSTL >ENSMUSP00000121136.1 pep:known chromosome:GRCm38:6:113237851:113270794:1 gene:ENSMUSG00000030269.14 transcript:ENSMUST00000142938.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr14 description:myotubularin related protein 14 [Source:MGI Symbol;Acc:MGI:1916075] MAGARAAAAASAGSTASSGSPPPQEPGLWELLEEFSRTQYRAKDSGGKSGSKVERIEKRC LELFGRDYCFSVIPNVNGDICGHYPRHIVFLEYESSEKEKDTFQSTVQVNKLQDLIHRSK MARCRGRFVCPVILFKGKEW >ENSMUSP00000114575.1 pep:known chromosome:GRCm38:6:113237897:113281387:1 gene:ENSMUSG00000030269.14 transcript:ENSMUST00000129883.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr14 description:myotubularin related protein 14 [Source:MGI Symbol;Acc:MGI:1916075] MAGARAAAAASAGSTASSGSPPPQEPGLWELLEEFSRTQYRAKDSGGKSGSKVERIEKRC LELFGRDYCFSVIPNVNGDICGHYPRHIVFLEYESSEKEKDTFQSTVQVNKLQDLIHRSK MARCRGRFVCPVILFKGKHICRSATLAGWGELYGRSGYNYLFSGGADDTWASTEDVTEED FVLRSGDTHLFDKVRGYDIKLLQYLSVKYICDLMVENKKVKFGMNVTSSEKVDKAQRYAN FTLLSIPYPGCEFFKEYKDRDYMAEGLIFNWKQDYVDAPLNIPNFLTQSLNIDWSQYQSW DLVQQTQNYLKLLLFIMNRDGWANSHIPEAC >ENSMUSP00000116918.2 pep:known chromosome:GRCm38:6:113239471:113257036:1 gene:ENSMUSG00000030269.14 transcript:ENSMUST00000156141.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr14 description:myotubularin related protein 14 [Source:MGI Symbol;Acc:MGI:1916075] MISCKVLDVLQAVERIEKRCLELFGRDYCFSVIPNVNGDICGHYPRHIVFLEYESSEKEK DTFQSTVQVNKLQDLIHRSKMARCRGRFVCPVILFKGKHICRSATLAGWGELYGRSGYNY LFSGGADDTWASTEDVTEEDFVLRSGDTHLFDKVRGYDIKLLQYLSVKYICDLMVENKKV KFGMNVTSS >ENSMUSP00000117843.1 pep:known chromosome:GRCm38:6:113254099:113269509:1 gene:ENSMUSG00000030269.14 transcript:ENSMUST00000144976.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr14 description:myotubularin related protein 14 [Source:MGI Symbol;Acc:MGI:1916075] XDTWASTEDVTEEDFVLRSGDTHLFDKVVNSSRNIKIGITWLKGSYLTGSRTTSMPH >ENSMUSP00000144940.1 pep:known chromosome:GRCm38:6:113255832:113266040:1 gene:ENSMUSG00000030269.14 transcript:ENSMUST00000203385.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr14 description:myotubularin related protein 14 [Source:MGI Symbol;Acc:MGI:1916075] LFDKVRGYDIKLLQYLSVKYICDLMVENKKEWPLEGEKSFLLSGSVPPSGPRVDVPHASM ELMIWQVILQPLV >ENSMUSP00000119000.1 pep:known chromosome:GRCm38:6:113260625:113277935:1 gene:ENSMUSG00000030269.14 transcript:ENSMUST00000137772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr14 description:myotubularin related protein 14 [Source:MGI Symbol;Acc:MGI:1916075] XMAEGLIFNWKQSWDLVQQTQNYLKLLLFIMNRDDDSGLLVHCISGWDRTPLFISLLRLS LWADGLIHTSLKPAEILYLTVAYDWFLFGHMLVDRLSKGEEIFFFCFNFLKHITSEEFCL KTQRRKSLPTRDAGFTVEDICMLRHKDRGSTTSLGSDFSLVLEHSPGAVGSFSYETVELA PAGAPTQAAWRKSHSSSPQSMLWSRPQPSEERLPSHHGLTEAKSSSSSSSNHSDNFFRMG SSPLEVPKPRSVDHPLPGSSLSTDFGSWQLVSGCGSIQDRPVLHTDSSLPFSFQDELP >ENSMUSP00000115808.1 pep:known chromosome:GRCm38:6:113265974:113280919:1 gene:ENSMUSG00000030269.14 transcript:ENSMUST00000148523.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr14 description:myotubularin related protein 14 [Source:MGI Symbol;Acc:MGI:1916075] XSGLLVHCISGWDRTPLFISLLRLSLWADGLIHTSLKPAEILYLTVAYDWFLFGHMLVDR LSKGEEIFFFCFNFLKHITSEEFCLKTQRTQRSRQHNQPRQRLLPGLGALTGSCGELQL >ENSMUSP00000118001.1 pep:known chromosome:GRCm38:6:113266005:113281196:1 gene:ENSMUSG00000030269.14 transcript:ENSMUST00000134945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr14 description:myotubularin related protein 14 [Source:MGI Symbol;Acc:MGI:1916075] WDRTPLFISLLRLSLWADGLIHTSLKPAEILYLTVAYDWFLFGHMLVDRLSKGEEIFFFC FNFLKHITSEEFCLKTQRRKSLPTRDAGFTVEDICMLRHKDRGSTTSLGSDFSLVLEHSP GAVGSFSYETVELAPAGAPTQAAWRKSHSSSPQSMLWSRPQPSEERLPSHHGLTEAKSSS SSSSNHSDNFFRMGSSPLEVPKPRLTALSDRETRLQEVRSAFLAAYSSTVGLRAATPSPS GAIGGLLEQFARGVGLRGTSTSTL >ENSMUSP00000116882.1 pep:known chromosome:GRCm38:6:113269549:113281087:1 gene:ENSMUSG00000030269.14 transcript:ENSMUST00000151149.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr14 description:myotubularin related protein 14 [Source:MGI Symbol;Acc:MGI:1916075] XCMLRHKDRGSTTSLGSDFSLVLEHSPGAVGSFSYETVELAPAGAPTQAAWRKSHSSSPQ SMLWSRPQPSEERLPSHHGLTEAKSSSSSSSNHSDNFFRMGSSPLEVPKPRLWQLAAGIG LWQYSGPACPAHRLLSPFQLPG >ENSMUSP00000108825.1 pep:known chromosome:GRCm38:2:32925220:32961614:-1 gene:ENSMUSG00000026792.16 transcript:ENSMUST00000113200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrsam1 description:leucine rich repeat and sterile alpha motif containing 1 [Source:MGI Symbol;Acc:MGI:2684789] MPLFFRKRKPSEEARKRLEYQMCLAKEAGADDILDISKCELSEIPFGAFATCKVLQKKVL IVHTNHLTSLLPKSCSLLSLVTIKVLDLHENQLTALPDDMGQLTVLQVLNVERNQLTHLP RSIGNLLQLQTLNVKDNKLKELPDTLGELRSLRTLDISENEIQRLPQMLAHVRTLETLSL NALAMVYPPPEVCGAGTAAVQQFLCKESGLDYYPPSQYLLPVLEQDGAENTQDSPDGPAS RFSREEAEWQNRFSDYEKRKEQKMLEKLEFERRLDLGQREHAELLQQSHSHKDEILQTVK QEQTRLEQDLSERQRCLDAERQQLQEQLKQTEQSIASRIQRLLQDNQRQKKSSEILKSLE NERIRMEQLMSITQEETENLRQREIAAAMQQMLTESCKSRLIQMAYESQRQSLAQQACSS MAEMDKRFQQILSWQQMDQNKAISQILQESVMQKAAFEALQVKKDLMHRQIRNQIRLIET ELLQLTQLELKRKSLDTETLQEMVSEQRWALSNLLQQLLKEKKQREEELHGILAELEAKS ETKQENYWLIQYQRLLNQKPLSLKLQEEGMERRLVALLVELSAEHYLPLFAHHRISLDML SRMSPGDLAKVGVSEAGLQHEILRRAQDLLAVPRVQPELKPLENEVLGALEPPTAPRELQ ESVRPSAPPAELDMPTSECVVCLEREAQMVFLTCGHVCCCQQCCQPLRTCPLCRQEISQR LRIYHSS >ENSMUSP00000141675.1 pep:known chromosome:GRCm38:2:32929591:32941829:-1 gene:ENSMUSG00000026792.16 transcript:ENSMUST00000195713.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrsam1 description:leucine rich repeat and sterile alpha motif containing 1 [Source:MGI Symbol;Acc:MGI:2684789] XNLRQREIAAAMQQMLTESCKSRLIQMAYESQRQSLAQQACSR >ENSMUSP00000115830.1 pep:known chromosome:GRCm38:2:32954221:32961599:-1 gene:ENSMUSG00000026792.16 transcript:ENSMUST00000127321.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrsam1 description:leucine rich repeat and sterile alpha motif containing 1 [Source:MGI Symbol;Acc:MGI:2684789] MPLFFRKRKPSEEARKRLEYQMCLAKEAGADDILDISKCELSEIPFGAFATCKVLQKKVL IVHTNHLTSLLPKSCSLLSLVTIKVLDLHENQLTALPDDMGQLTVLQVLNVERNQLTHLP RSIGNLLQL >ENSMUSP00000117194.1 pep:known chromosome:GRCm38:2:32954223:32961592:-1 gene:ENSMUSG00000026792.16 transcript:ENSMUST00000133832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrsam1 description:leucine rich repeat and sterile alpha motif containing 1 [Source:MGI Symbol;Acc:MGI:2684789] MPLFFRKRKPSEEARKRLEYQMCLAKEAGADDILDISKCELSEIPFGAFATCKVLQKKVL IVHTNHLTSLLPKSCSLLSLVTIKVLDLHENQLTALPDDMGQLTVLQVLNVERNQLTHLP RSIGNLLQ >ENSMUSP00000122877.1 pep:known chromosome:GRCm38:2:32954236:32959906:-1 gene:ENSMUSG00000026792.16 transcript:ENSMUST00000147528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrsam1 description:leucine rich repeat and sterile alpha motif containing 1 [Source:MGI Symbol;Acc:MGI:2684789] MPLFFRKRKPSEEARKRLEYQMCLAKEAGADDILDISKCELSEIPFGAFATCKVLQKKVL IVHTNHLTSLLPKSCSLLSLVTIKVLDLHENQLTALPDDMGQLTVLQVLNVERNQLTHLP RSIG >ENSMUSP00000141713.1 pep:known chromosome:GRCm38:2:32954240:32961251:-1 gene:ENSMUSG00000026792.16 transcript:ENSMUST00000191838.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrsam1 description:leucine rich repeat and sterile alpha motif containing 1 [Source:MGI Symbol;Acc:MGI:2684789] MPLFFRKRKPSEEARKRLEYQMCLAKEAGADDILDISKYSIWGFCNVQSSTEKGVDCPYK PPHLPASQVLQPLEPCHHQGSGSP >ENSMUSP00000118604.1 pep:known chromosome:GRCm38:2:32957249:32961593:-1 gene:ENSMUSG00000026792.16 transcript:ENSMUST00000124492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrsam1 description:leucine rich repeat and sterile alpha motif containing 1 [Source:MGI Symbol;Acc:MGI:2684789] MPLFFRKRKPSEEARKRLEYQMCLAKEAGADDILDISKCELSEIPFGAFATCKVLQKK >ENSMUSP00000122711.1 pep:known chromosome:GRCm38:2:32957256:32961593:-1 gene:ENSMUSG00000026792.16 transcript:ENSMUST00000145578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrsam1 description:leucine rich repeat and sterile alpha motif containing 1 [Source:MGI Symbol;Acc:MGI:2684789] MPLFFRKRKPSEEARKRLEYQMCLAKEAGADDILDISKCELSEIPFGAFATCKVL >ENSMUSP00000028132.7 pep:known chromosome:GRCm38:2:32925216:32961255:-1 gene:ENSMUSG00000026792.16 transcript:ENSMUST00000028132.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrsam1 description:leucine rich repeat and sterile alpha motif containing 1 [Source:MGI Symbol;Acc:MGI:2684789] MPLFFRKRKPSEEARKRLEYQMCLAKEAGADDILDISKCELSEIPFGAFATCKVLQKKVL IVHTNHLTSLLPKSCSLLSLVTIKVLDLHENQLTALPDDMGQLTVLQVLNVERNQLTHLP RSIGNLLQLQTLNVKDNKLKELPDTLGELRSLRTLDISENEIQRLPQMLAHVRTLETLSL NALAMVYPPPEVCGAGTAAVQQFLCKESGLDYYPPSQYLLPVLEQDGAENTQDSPDGPAS RFSREEAEWQNRFSDYEKRKEQKMLEKLEFERRLDLGQREHAELLQQSHSHKDEILQTVK QEQTRLEQDLSERQRCLDAERQQLQEQLKQTEQSIASRIQRLLQDNQRQKKSSEILKSLE NERIRMEQLMSITQEETENLRQREIAAAMQQMLTESCKSRLIQMAYESQRQSLAQQACSS MAEMDKRFQQILSWQQMDQNKAISQILQESVMQKAAFEALQVKKDLMHRQIRNQIRLIET ELLQLTQLELKRKSLDTETLQEMVSEQRWALSNLLQQLLKEKKQREEELHGILAELEAKS ETKQENYWLIQYQRLLNQKPLSLKLQEEGMERRLVALLVELSAEHYLPLFAHHRISLDML SRMSPGDLAKVGVSEAGLQHEILRRAQDLLAVPRVQPELKPLENEVLGALEPPTAPRELQ ESVRPSAPPAELDMPTSECVVCLEREAQMVFLTCGHVCCCQQCCQPLRTCPLCRQEISQR LRIYHSS >ENSMUSP00000123449.1 pep:known chromosome:GRCm38:10:120201658:120217562:1 gene:ENSMUSG00000020225.10 transcript:ENSMUST00000156877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim4 description:transmembrane BAX inhibitor motif containing 4 [Source:MGI Symbol;Acc:MGI:1915462] MRAGNSGWNPGKPPPLCCSGTGGLLATMDLRAFLRKVYSILSLQVLLTTVTSALFLYFQA LRTFVHESPALIVVFALGSLGLIFALT >ENSMUSP00000020446.4 pep:known chromosome:GRCm38:10:120208750:120224917:1 gene:ENSMUSG00000020225.10 transcript:ENSMUST00000020446.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim4 description:transmembrane BAX inhibitor motif containing 4 [Source:MGI Symbol;Acc:MGI:1915462] MADTDPGYPRSSIEDDFNYGSCVASASVHIRMAFLRKVYSILSLQVLLTTVTSALFLYFQ ALRTFVHESPALIVVFALGSLGLIFALTLHRHTHPLNLYLLFAFTLSESLAVAAVVTFYD VYLVLQAFIMTTAVFLGLTAYTLQSKRDFTKFGAGLFAGLWILCLAGFLKLFFYSETMEL VLASLGALLFCGFIIYDTHSLMHRLSPEEYVIAAISLYMDIINLFLHLLKFLEAVNKK >ENSMUSP00000121824.1 pep:known chromosome:GRCm38:10:120208793:120224839:1 gene:ENSMUSG00000020225.10 transcript:ENSMUST00000141206.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmbim4 description:transmembrane BAX inhibitor motif containing 4 [Source:MGI Symbol;Acc:MGI:1915462] MADTDPGYPRSSIEDDFNYGSCVASASVHIRMAFLRKVYSILSLQVLLTTVTSALFLYFQ ALRTFVHERHCQNPWPWQLLLPSMMYIWFCKRL >ENSMUSP00000119967.1 pep:known chromosome:GRCm38:10:120208780:120217717:1 gene:ENSMUSG00000020225.10 transcript:ENSMUST00000134797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim4 description:transmembrane BAX inhibitor motif containing 4 [Source:MGI Symbol;Acc:MGI:1915462] MADTDPGYPRSSIEDDFNYGSCVASASVHIRMAFLRKVYSILSLQVLLTTVTSALFLYFQ ALRTFVHESPALIVVFALGSLGLIFALTLHRHTHPLNLYLLFAFVSTLILSTPLAYNLGF >ENSMUSP00000119002.1 pep:known chromosome:GRCm38:19:45026907:45046614:-1 gene:ENSMUSG00000074818.12 transcript:ENSMUST00000145391.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd7 description:PDZ domain containing 7 [Source:MGI Symbol;Acc:MGI:3608325] MARGFTVGFDPLGLGELSSGSLSSVSSRGHLGSDSGSTATRYLLRKQQRLLNGPSRGIRA SSPMGRVILINSPIEANSDESDIIHAVRVEKSPSGRLGFSVRGGSEHGLGIFVSKVEEGS SAERAGLCVGDKITEVNGLSLESTTMGSAVRLLTSSSCLHMMVRRMGRVPGIKFSKEKTT WVDVVNRRLVVEKCSSTPSDRSSEDGVRRIVHLYTTSDDFCLGFNIRGGKEFGLGIYVSK VDHGGLAEENGIKVGDQVLAANGVRFDDISHSQAVEVLKGQTHIMLTIKETGRYPAYKEM VSEYCWLDRLSNGVLQQLSPASESSSSVSSYASSAPCSSGSLPSDRMDVCLGPEEPTSHG PGWGRADTAMQTEPDLDSRVETWCSVRPTVILRDTAIRSDGPSSTRHLDSALSESPKTAL LLALSRPRTPITRSQSHLTLWEEKKQRKKEKSGSSGEKGALQRSKTLMNLFFKGGRQGRP AGDGHREAWTLDSRSPTKVRPRLDLEKAGSVGPVQKFVTWRLRRDRERGRALLSARSGSP SGQAPTVNEQVQAWESRRPLIQDLARRLLTDDEVLAVTRHCSRYVHEGGVEDLVRPLLAI LDRPTKLLLLRDIRSVVAPTDLGRFDSMVMPVELEAFEALKSRAVGPSALRPTRQDTPPK RHLITPVPDSRGGFYLLPVNSSEDEDGEIREKLGVLKVSLGASAPHHKGIPPLQDVPVDA FSLRRGACAPPPQPPPVAPRPPRPNWLLTEPLSREDTQQNQSQTPAQSCSRSRSRSRSRS HSRGQGKSPGRRRSPSPAPIATAATANGRYHRPRKARPLLPRLLDGQVAKVGARQGPLEN GRIAEEAVGNVSTGALRTITLSKMKQSLGISISGGIESKVQPMVKIEKIFPGGAAFLCGD LQAGFELVAVDGESLEQVTHQRAVDTIRRAYRNKAREPMELVVRVPGPGLLPLASDLRVV KDQSLAPDCPSALGPVDDARILTQLPPPEARQLQQSLSSALKVPQSIPKLSPILKDPHDP S >ENSMUSP00000133273.2 pep:known chromosome:GRCm38:19:45026907:45045772:-1 gene:ENSMUSG00000074818.12 transcript:ENSMUST00000169459.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd7 description:PDZ domain containing 7 [Source:MGI Symbol;Acc:MGI:3608325] MARGFTVGFDPLGLGELSSGSLSSVSSRGHLGSDSGSTATRYLLRKQQRLLNGPSRGIRA SSPMGRVILINSPIEANSDESDIIHAVRVEKSPSGRLGFSVRGGSEHGLGIFVSKVEEGS SAERAGLCVGDKITEVNGLSLESTTMGSAVRLLTSSSCLHMMVRRMGRVPGIKFSKEKTT WVDVVNRRLVVEKCSSTPSDRSSEDGVRRIVHLYTTSDDFCLGFNIRGGKEFGLGIYVSK VDHGGLAEENGIKVGDQVLAANGVRFDDISHSQAVEVLKGQTHIMLTIKETGRYPAYKEM VSEYCWLDRLSNGVLQQLSPASESSSSVSSYASSAPCSSGSLPSDRMDVCLGPEEPTSHG PGWGRADTAMQTEPDLDSRVETWCSVRPTVILRDTAIRSDGPSSTRHLDSALSESPKTAL LLALSRPRTPITRSQSHLTLWEEKKQRKKEKSGSSGEKGALQRSKTLMNLFFKGGRQGRP AGDGHREAWTLDSRSPTKVRPRLDLEKAGSVGPVQKFVTWRLRRDRERGRALLSARSGSP SGQAPTVNEQVQAWESRRPLIQDLARRLLTDDEVLAVTRHCSRYVHEGGVEDLVRPLLAI LDRPTKLLLLRDIRSVVAPTDLGRFDSMVMPVELEAFEALKSRAVGPSALRPTRQDTPPK RHLITPVPDSRGGFYLLPVNSSEDEDGEIREKLGVLKVSLGASAPHHKGIPPLQDVPVDA FSLRRGACAPPPQPPPVAPRPPRPNWLLTEPLSREDTQQNQSQTPAQSCSRSRSRSRSRS HSRGQGKSPGRRRSPSPAPIATAATANGRYHRPRKARPLLPRLLDGQVAKVGARQGPLEN GRIAEEAVGNVSTGALRTITLSKMKQSLGISISGGIESKVQPMVKIEKIFPGGAAFLCGD LQAGFELVAVDGESLEQVTHQRAVDTIRRAYRNKAREPMELVVRVPGPGLLPLASDLRVV KDQSLAPDCPSALGPVDDARILTQLPPPEARQLQQSLSSALKVPQSIPKLSPILKDPHDP S >ENSMUSP00000028045.2 pep:known chromosome:GRCm38:2:14229392:14332057:1 gene:ENSMUSG00000026712.3 transcript:ENSMUST00000028045.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrc1 description:mannose receptor, C type 1 [Source:MGI Symbol;Acc:MGI:97142] MRLLLLLAFISVIPVSVQLLDARQFLIYNEDHKRCVDALSAISVQTATCNPEAESQKFRW VSDSQIMSVAFKLCLGVPSKTDWASVTLYACDSKSEYQKWECKNDTLFGIKGTELYFNYG NRQEKNIKLYKGSGLWSRWKVYGTTDDLCSRGYEAMYSLLGNANGAVCAFPFKFENKWYA DCTSAGRSDGWLWCGTTTDYDKDKLFGFCPLHFEGSERLWNKDPLTGILYQINSKSALTW HQARASCKQQNADLLSVTEIHEQMYLTGLTSSLSSGLWIGLNSLSVRSGWQWAGGSPFRY LNWLPGSPSSEPGKSCVSLNPGKNAKWENLECVQKLGYICKKGNNTLNPFIIPSASDVPT GCPNQWWPYAGHCYRIHREEKKIQKYALQACRKEGGDLASIHSIEEFDFIFSQLGYEPND ELWIGLNDIKIQMYFEWSDGTPVTFTKWLPGEPSHENNRQEDCVVMKGKDGYWADRACEQ PLGYICKMVSQSHAVVPEGADKGCRKGWKRHGFYCYLIGSTLSTFTDANHTCTNEKAYLT TVEDRYEQAFLTSLVGLRPEKYFWTGLSDVQNKGTFRWTVDEQVQFTHWNADMPGRKAGC VAMKTGVAGGLWDVLSCEEKAKFVCKHWAEGVTRPPEPTTTPEPKCPENWGTTSKTSMCF KLYAKGKHEKKTWFESRDFCKAIGGELASIKSKDEQQVIWRLITSSGSYHELFWLGLTYG SPSEGFTWSDGSPVSYENWAYGEPNNYQNVEYCGELKGDPGMSWNDINCEHLNNWICQIQ KGKTLLPEPTPAPQDNPPVTADGWVIYKDYQYYFSKEKETMDNARAFCKKNFGDLATIKS ESEKKFLWKYINKNGGQSPYFIGMLISMDKKFIWMDGSKVDFVAWATGEPNFANDDENCV TMYTNSGFWNDINCGYPNNFICQRHNSSINATAMPTTPTTPGGCKEGWHLYKNKCFKIFG FANEEKKSWQDARQACKGLKGNLVSIENAQEQAFVTYHMRDSTFNAWTGLNDINAEHMFL WTAGQGVHYTNWGKGYPGGRRSSLSYEDADCVVVIGGNSREAGTWMDDTCDSKQGYICQT QTDPSLPVSPTTTPKDGFVTYGKSSYSLMKLKLPWHEAETYCKDHTSLLASILDPYSNAF AWMKMHPFNVPIWIALNSNLTNNEYTWTDRWRVRYTNWGADEPKLKSACVYMDVDGYWRT SYCNESFYFLCKKSDEIPATEPPQLPGKCPESEQTAWIPFYGHCYYFESSFTRSWGQASL ECLRMGASLVSIETAAESSFLSYRVEPLKSKTNFWIGMFRNVEGKWLWLNDNPVSFVNWK TGDPSGERNDCVVLASSSGLWNNIHCSSYKGFICKMPKIIDPVTTHSSITTKADQRKMDP QPKGSSKAAGVVTVVLLIVIGAGVAAYFFYKKRHALHIPQEATFENTLYFNSNLSPGTSD TKDLMGNIEQNEHAII >ENSMUSP00000092210.3 pep:known chromosome:GRCm38:1:110888326:110977363:-1 gene:ENSMUSG00000047216.8 transcript:ENSMUST00000094626.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh19 description:cadherin 19, type 2 [Source:MGI Symbol;Acc:MGI:3588198] MNYCFLKHWILMIPLLWPCLKVSETLKAEKARRTVPSTWRAKRAWVWRPFVVLEEMDDIQ CVGKLRSDLDNGNNSFQYKLLGIGAGSFSINERTGEICAIQKLDREEKSLYILRAQVIDT TIGKAVETESEFVIRVLDINDNEPRFLDEPYEAIVPEMSPEGTFVIKVTANDADDPSTGY HARILYNLERGQPYFSVEPTTGVIRISSKMDRELQDTYCVIIQAKDMLGQPGALSGTTTV SIKLSDINDNKPIFKESFYRFTISESAPIGTSIGKIMAYDDDIGENAEMEYSIEDDDSKI FDIIIDNDTQEGIVILKKKVDFEQQSYYGIRAKVKNCHVDEELAPAHVNASTTYIKVQVE DEDEPPVFLLPYYILEIPEGKPYGTIVGTVSATDPDRRQSPMRYYLTGSKMFDINDNGTI ITTNMLDREVSAWYNLTVTATETYNVQQISSAHVYVQVFNINDNAPEFSQFYETYVCENA ESGEIVQIISAIDRDESIEDHHFYFNHSLEDTNNSSFMLTDNQDNTAVILSNRTGFNLKE EPVFYMIILIADNGIPSLTSTNTLTIQVCDCGDSRNTETCANKGLLFIMGFRTEAIIAIM ICVMVIFGFFFLILALKQRRKETLFPEKTEDFRENIFCYDDEGGGEEDSEAFDIVELRQS TVMRERKPQRSKSAEIRSLYRQSLQVGPDSAIFRKFILEKLEEANTDPCAPPFDSLQTFA YEGTGSSAGSLSSLASRDTDQEDDFDYLNDLGPRFKRLASMFGSAVQPNN >ENSMUSP00000073551.7 pep:known chromosome:GRCm38:7:79446231:79466362:-1 gene:ENSMUSG00000039176.17 transcript:ENSMUST00000073889.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polg description:polymerase (DNA directed), gamma [Source:MGI Symbol;Acc:MGI:1196389] MSRLLWKKVAGAKVASGPVPATARWVSSSVLDPVPSDGRPPSQMPSSENGQLRLNPLLIQ MLSRGLHEQIFGCGGEMPDEAAVQRSVEHLQKHGLWGQPATPLPDVELRLPRLFGGNLDQ HFRLLAQKQSLPYLEAAASLLEAQLPPEPKSWAWAEGWTRYGPEGEAEPVAIPEERALVF DVEVCLAEGTCPTLAVAISPSAWYSWCSRRLVEERYSWTSQLSPADLIPLGGSTSASSST KQDGQEQLVVGHNVSFDRAHIREQYLIQDSRMRFLDTMSMHMAISGLSSFQRSLWMGAKQ GKHKTQQSTKRGQKSPRKANGPAISSWDWMDISSANNLADVHNLYVGGPPLEKEPRELFV KGSMRDIRENFQDLMQYCARDVWATFEVFQQQLPLFLERCPHPVTLAGMLEMGVSYLPVN QNWERYLTEAQNTYEELQREMKKSLMDLANDACQLLSGERYKEDPWLWDLEWDLQEFKQK KAKKVKKPASASKLPIEGAGPFGDPMDQEDPGPPSEEEELQRSVTAHNRLQQLRSTTDLL PKRPQHLPGHPGWYRKLCPRLDDPAWAPGPSLLSLQMRVTPKLMALTWDGFPLHYSDSHG WGYLVPGRRDNLTEPPVSPTVESAAVTCPYRAIESLYRKHCLEQGKQQLEPQEVDLAEEF LLTDSSAMWQTVEELGCLDVEAEAKMENSGLSQPLVLPAACAPKSSQPTYHHGNGPYNDV NIPGCWFFKLPHKDGNNYNVGSPFAKDFLPKMEDGTLQAGPGGASGPRALEINKMISFWR NAHKRISSQMVVWLPRSALPRVVTRHPSFDEEGHYGAILPQVVTAGTITRRAVEPTWLTA SNARPDRVGSELKAMVQAPPGYVLVGADVDSQELWIAAVLGDAHFAGMHGCTAFGWMTLQ GRKSRGTDLHSKTAATVGISREHAKIFNYGRIYGAGQSFAERLLMQFNHRLTRQEAAEKA QQMYAVTKGLRRYRLSADGEWLVKQLNLPVDRTEDGWVSLQDLRMIRREASRKSRWKKWE VASERAWTGGTESEMFNKLESIAMSDTPRTPVLGCCISRALEPSVVQGEFITSRVNWVVQ SSAVDYLHLMLVAMKWLFEEFAIDGRFCISIHDEVRYLVREEDRYRAALALQITNLLTRC MFAYKLGLNDLPQSVAFFSAVDIDQCLRKEVTMDCKTPSNPTGMERRYGIPQGEALDIYQ IIELTKGSLEKRSQPGP >ENSMUSP00000144510.1 pep:known chromosome:GRCm38:7:79449381:79451634:-1 gene:ENSMUSG00000039176.17 transcript:ENSMUST00000201662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polg description:polymerase (DNA directed), gamma [Source:MGI Symbol;Acc:MGI:1196389] XISIHDEVRYLVREEDRYRAALALQITNLLTRCMFAYKLGLNDLPQSVAFFSAVDIDQCL RKEVTMDCKTPSNPTGMERRYGIPQGCDLELRPE >ENSMUSP00000144035.1 pep:known chromosome:GRCm38:7:79449382:79450617:-1 gene:ENSMUSG00000039176.17 transcript:ENSMUST00000139290.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polg description:polymerase (DNA directed), gamma [Source:MGI Symbol;Acc:MGI:1196389] NDLPQSVAFFSAVDIDQCLRKEVTMDCKTPSNPTGMERRYGIPQGEALDIYQIIELTKGS LEKRSQPGP >ENSMUSP00000119616.1 pep:known chromosome:GRCm38:7:79449563:79466180:-1 gene:ENSMUSG00000039176.17 transcript:ENSMUST00000149444.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polg description:polymerase (DNA directed), gamma [Source:MGI Symbol;Acc:MGI:1196389] MSRLLWKKVAGAKVASGPVPATARWVSSSVLDPVPSDGRPPSQMPSSENGQLRLNPLLIQ MLSRGLHEQIFGCGGEMPDEAAVQRSVEHLQKHGLWGQPATPLPDVELRLPRLFGGNLDQ HFRLLAQKQSLPYLEAAASLLEAQLPPEPKSWAWAEGWTRYGPEGEAEPVAIPEERALVF DVEVCLAEGTCPTLAVAISPSAWYSWCSRRLVEERYSWTSQLSPADLIPLGGSTSASSST KQDGQEQLVVGHNVSFDRAHIREQYLIQDSRMRFLDTMSMHMAISGLSSFQRSLWMGAKQ GKHKTQQSTKRGQKSPRKANGPAISSWDWMDISSANNLADVHNLYVGGPPLEKEPRELFV KGSMRDIRENFQDLMQYCARDVWATFEVFQQQLPLFLERCPHPVTLAGMLEMGVSYLPVN QNWERYLTEAQNTYEELQREMKKSLMDLANDACQLLSGERYKEDPWLWDLEWDLQEFKQK KAKKILARPARRRSFSEV >ENSMUSP00000143933.1 pep:known chromosome:GRCm38:7:79449577:79466198:-1 gene:ENSMUSG00000039176.17 transcript:ENSMUST00000132048.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polg description:polymerase (DNA directed), gamma [Source:MGI Symbol;Acc:MGI:1196389] MSRLLWKKVAGAKVASGPVPATARWVSSSVLDPVPSDGRPPSQMPSSENGQLRLNPLLIQ MLSRGLHEQIFGCGGEMPDEAAVQRSVEHLQKHGLWGQPATPLPDVELRLPRLFGGNLDQ HFRLLAQKQSLPYLEAAASLLEAQLPPEPKSWAWAEGWTRYGPEGEAEPVAIPEERALVF DVEVCLAEGTCPTLAVAISPSAWIKILFTALMLSGMASKKKKKKKKKSWQFLYSYWKLHL CKESCEMLYMQLKMVKAWLNS >ENSMUSP00000144084.1 pep:known chromosome:GRCm38:7:79451587:79451922:-1 gene:ENSMUSG00000039176.17 transcript:ENSMUST00000201907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polg description:polymerase (DNA directed), gamma [Source:MGI Symbol;Acc:MGI:1196389] RTPVLGCCISRALEPSVVQGEWLFEEFAIDGRFCISIHDEVRYLVREEDR >ENSMUSP00000143923.1 pep:known chromosome:GRCm38:7:79451600:79453786:-1 gene:ENSMUSG00000039176.17 transcript:ENSMUST00000201030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polg description:polymerase (DNA directed), gamma [Source:MGI Symbol;Acc:MGI:1196389] XQFNHRLTRQEAAEKAQQMYAVTKGLRRTEDGWVSLQDLRMIRREASRKSRWKKWEVASE RAWTGGTESEMFNKLESIAMSDTPRTPVLGCCISRALEPSVVQGEFITSRVNWVVQSSAV DYLHLMLVAMKWLFEEFAIDGRFCISIHDEVRYLV >ENSMUSP00000114414.2 pep:known chromosome:GRCm38:7:79455486:79459441:-1 gene:ENSMUSG00000039176.17 transcript:ENSMUST00000139668.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polg description:polymerase (DNA directed), gamma [Source:MGI Symbol;Acc:MGI:1196389] XEDPGPPSEEEELQRSVTAHNRLQQLRSTTDLLPKRPQHLPGHPGWYRKLCPRLDDPAWA PGPSLLSLQMRVTPKLMALTWDGFPLHYSDSHGWGYLVPGRRDNLTEPPVSPTVESAAVT CPYRAIESLYRKHCLEQGKQQLEPQEVDLAEEFLLTDSSAMWQTPAACAPKSSQPTYHHG NGPYNDVNIPGCWFFKLPHKDGNNYNVGSPFAKDFLPKMEDGTLQAGPGGASGPRAL >ENSMUSP00000122286.2 pep:known chromosome:GRCm38:7:79456070:79459796:-1 gene:ENSMUSG00000039176.17 transcript:ENSMUST00000143672.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polg description:polymerase (DNA directed), gamma [Source:MGI Symbol;Acc:MGI:1196389] XRYLTEAQNTYEELQREMKKSLMDLANDAYPGPPSEEEELQRSVTAHNRLQQLRSTTDLL PKRPQHLPGHPGWYRKLCPRLDDPAWAPGPSLLSLQMRVTPKLMALTWDGFPLHYSDSHG WGYLVPGRRDNLTEPPVSPTVESAAVTCPYRAIESLYRKHCLEQGKQQLEPQEVDLAEEF LLTDSSAMWQTVEELGCLDVEAEAKMENSGLSQPLVLPAACAPKSSQPTYHHGNGPYNDV NIP >ENSMUSP00000143813.1 pep:known chromosome:GRCm38:7:79464895:79466070:-1 gene:ENSMUSG00000039176.17 transcript:ENSMUST00000125562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polg description:polymerase (DNA directed), gamma [Source:MGI Symbol;Acc:MGI:1196389] MSRLLWKKVAGAKVASGPVPATARWVSSSVLDPVPSDGRPPSQMPSSENGQLRLNPLLIQ MLSRGLHEQIFGCGGEMPDEAAVQRSVEHLQKHGLWGQPATPLPDV >ENSMUSP00000136894.1 pep:known chromosome:GRCm38:3:113312455:113324052:-1 gene:ENSMUSG00000093931.2 transcript:ENSMUST00000179314.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amy2a3 description:amylase 2a3 [Source:MGI Symbol;Acc:MGI:3714985] MKFVLLLSLIGFCWAQYDPHTSDGRTAIVHLFEWRWVDIAKECERYLAPKGFGGVQVSPP NENVVVHNPSRPWWERYQPISYKICTRSGNEDEFRDMVTRCNNVGVRIYVDAVINHMCGA GNPAGTSSTCGSYLNPNNREFPAVPYSAWDFNDNKCNGEIDNYNDAYQVRNCRLTGLLDL ALEKDYVRTKVADYMNHLIDIGVAGFRLDAAKHMWPGDIKAVLDKLHNLNTKWFSQGSRP FIFQEVIDLGGEAIKGSEYFGNGRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGLVP SDRALVFVDNHDNQRGHGAGGSSILTFWDARMYKMAVGFMLAHPYGFTRVMSSYRWNRNF QNGKDQNDWIGPPNNNGVTKEVTINADTTCGNDWVCEHRWRQIRNMVAFRNVVNGQPFSN WWDNNSNQVAFSRGNRGFIVFNNDDWALSATLQTGLPAGTYCDVISGDKVDGNCTGLRVN VGSDGKAHFSISNSAEDPFIAIHADSKL >ENSMUSP00000123670.1 pep:known chromosome:GRCm38:14:60087517:60096093:1 gene:ENSMUSG00000086112.1 transcript:ENSMUST00000154835.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930563I02Rik description:RIKEN cDNA 4930563I02 gene [Source:MGI Symbol;Acc:MGI:1914909] MQTSEEVVSLESASIQTTHRGHDTLERLLKTPVCTDCVSPNITDVIHSKQKVPKLREQWE DDSDASGFLISVNTYWILFSSHGNKENAAKVIG >ENSMUSP00000115139.1 pep:known chromosome:GRCm38:14:60087071:60096083:1 gene:ENSMUSG00000086112.1 transcript:ENSMUST00000149364.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930563I02Rik description:RIKEN cDNA 4930563I02 gene [Source:MGI Symbol;Acc:MGI:1914909] MQTSEEVVSLESASIQTTHRGHDVIHSKQKVPKLREQWEDDSDASGFLISVNTYWILFSS HGNKENAAK >ENSMUSP00000069699.7 pep:known chromosome:GRCm38:18:84610509:84650084:-1 gene:ENSMUSG00000056162.13 transcript:ENSMUST00000070139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cndp1 description:carnosine dipeptidase 1 (metallopeptidase M20 family) [Source:MGI Symbol;Acc:MGI:2451097] MFSSAHSGLLEKLFHYIDLHQDEFVQTLKEWVAIESDSVQPVPRLRQKLFQMMALAADKL RNLGAGVESIDLGSQQMPDGQSLPIPPILLAELGSDPEKPTVCFYGHLDVQPAQKDDGWL TDPYTLTEVDGKLYGRGATDNKGPVLAWINAVSTFRALQQDLPVNIKLILEGMEEAGSIA LEELVMREKDHFFSSVDYIVISDNLWLSQRKPALTYGTRGNCYFTVEVKCRDQDFHSGTF GGILNEPMADLVALLGSLVDSSGHILIPGIYDQMAPITEGEKTMYKNIDMDLEEYQNINQ VEKFLFDTKEELLMHLWRYPSLSIHGIEGAFDEPGTKTVIPGRVLGKFSIRLVPTMSPSV VEKQVTQHLEAVFSKRNSFNKMAVSMVLGLHPWTANVNDTQYLAAQRTIKTVFGVNPDMI RDGSTIPIAKIFQAITQKSVMMLPLGAVDDGEHSQNEKINRWNYIQGSKLFAAFFLELSK QHSGHQMPSSVY >ENSMUSP00000056822.9 pep:known chromosome:GRCm38:2:26200212:26208289:-1 gene:ENSMUSG00000026934.15 transcript:ENSMUST00000054099.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx3 description:LIM homeobox protein 3 [Source:MGI Symbol;Acc:MGI:102673] MLLEAELDCHRERPGAPGASALCTFSRTPEIPMCAGCDQHILDRFILKALDRHWHSKCLK CSDCHVPLAERCFSRGESVYCKDDFFKRFGTKCAACQLGIPPTQVVRRAQDFVYHLHCFA CVVCKRQLATGDEFYLMEDSRLVCKADYETAKQREAEATAKRPRTTITAKQLETLKSAYN TSPKPARHVREQLSSETGLDMRVVQVWFQNRRAKEKRLKKDAGRQRWGQYFRNMKRSRGS SKSDKDSIQEGQDSDAEVSFTDEPSMADMGPANGLYSSLGEPAPALGRPVGGLGSFTLDH GGLTGPEQYRELRPGSPYGIPPSPAAPQSLPGPQPLLSSLVYPDTNLSLVPSGPPGGPPP MRVLAGNGPSSDLSTESSSGYPDFPASPASWLDEVDHAQF >ENSMUSP00000028302.6 pep:known chromosome:GRCm38:2:26200216:26206575:-1 gene:ENSMUSG00000026934.15 transcript:ENSMUST00000028302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx3 description:LIM homeobox protein 3 [Source:MGI Symbol;Acc:MGI:102673] MEARGELDPSRESAGGDLLLALLARRADLRREIPMCAGCDQHILDRFILKALDRHWHSKC LKCSDCHVPLAERCFSRGESVYCKDDFFKRFGTKCAACQLGIPPTQVVRRAQDFVYHLHC FACVVCKRQLATGDEFYLMEDSRLVCKADYETAKQREAEATAKRPRTTITAKQLETLKSA YNTSPKPARHVREQLSSETGLDMRVVQVWFQNRRAKEKRLKKDAGRQRWGQYFRNMKRSR GSSKSDKDSIQEGQDSDAEVSFTDEPSMADMGPANGLYSSLGEPAPALGRPVGGLGSFTL DHGGLTGPEQYRELRPGSPYGIPPSPAAPQSLPGPQPLLSSLVYPDTNLSLVPSGPPGGP PPMRVLAGNGPSSDLSTESSSGYPDFPASPASWLDEVDHAQF >ENSMUSP00000100009.1 pep:known chromosome:GRCm38:2:23321246:23401973:1 gene:ENSMUSG00000069132.3 transcript:ENSMUST00000102945.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxph2 description:neurexophilin 2 [Source:MGI Symbol;Acc:MGI:107491] MSLRPLPLLVVPGLLQLLFCDSEEVIHNTESVDWEDRTVPETLVGNLFHSRITSPLRLFV KQPPDPKPSYADNTKNFWDWLANITEIQEQLARTKRRPIVKTGKFKKMFGWGDFHSNIKT VKLNLLITGKIVDHGNGTFSVYFRHNSTGLGNVSVSLVPPSKVVEFEISPQSTLETKESK SFNCHIEYEKTDRAKKTALCNFDPSKICYQEQTQSHVSWLCSKPFKVICIHIIFYSVDYK LVQKVCPDYNYHSETPYLSFG >ENSMUSP00000079238.5 pep:known chromosome:GRCm38:14:59647531:60086834:-1 gene:ENSMUSG00000021983.15 transcript:ENSMUST00000080368.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8a2 description:ATPase, aminophospholipid transporter-like, class I, type 8A, member 2 [Source:MGI Symbol;Acc:MGI:1354710] MSRATSVGDQLEAPARIIYLNQSHLNKFCDNRISTAKYSVLTFLPRFLYEQIRRAANAFF LFIALLQQIPDVSPTGRYTTLVPLVIILTIAGIKEIIEDFKRHKADNAVNKKKTIVLRNG MWHTIMWKEVAVGDIVKVLNGQYLPADMVLFSSSEPQGMCYVETANLDGETNLKIRQGLS HTTDMQTRDVLMKLSGRIECEGPNRHLYDFTGNLHLDGKSSVALGPDQILLRGTQLRNTQ WVFGVVVYTGHDSKLMQNSTKAPLKRSNVEKVTNVQILVLFGILLVMALVSSVGALFWNG SHGGKSWYIKKMDTNSDNFGYNLLTFIILYNNLIPISLLVTLEVVKYTQALFINWDMDMY YIENDTPAMARTSNLNEELGQVKYLFSDKTGTLTCNIMNFKKCSIAGVTYGHFPELAREQ SSDDFCRMTSCTNDSCDFNDPRLLKNIEDQHPTAPCIQEFLTLLAVCHTVVPEKDGDEII YQASSPDEAALVKGAKKLGFVFTGRTPYSVIIEAMGQEQTFGILNVLEFSSDRKRMSVIV RLPSGQLRLYCKGADNVIFERLSKDSKYMEETLCHLEYFATEGLRTLCVAYADLSENEYE EWLKVYQEASIILKDRAQRLEECYEIIEKNLLLLGATAIEDRLQAGVPETIATLLKAEIK IWVLTGDKQETAINIGYSCRLVSQNMALILLKEDSLDATRAAITQHCTDLGNLLGKENDV ALIIDGHTLKYALSFEVRRSFLDLALSCKAVICCRVSPLQKSEIVDVVKKRVKAITLAIG DGANDVGMIQTAHVGVGISGNEGMQATNNSDYAIAQFSYLEKLLLVHGAWSYNRVTKCIL YCFYKNVVLYIIELWFAFVNGFSGQILFERWCIGLYNVIFTALPPFTLGIFERSCTQESM LRFPQLYRITQNAEGFNTKVFWGHCINALVHSLILFWVPMKALEHDTPVTSGHATDYLFV GNIVYTYVVVTVCLKAGLETTAWTKFSHLAVWGSMLIWLVFFGVYSTIWPTIPIAPDMKG QATMVLSSAYFWLGLFLVPTACLIEDVAWRAAKHTCKKTLLEEVQELETKSRVMGKAMLR DSNGKRMNERDRLIKRLSRKTPPTLFRTGSIQQCVSHGYAFSQEEHGAVTQEEIVRAYDT TKENSRKK >ENSMUSP00000119395.1 pep:known chromosome:GRCm38:14:60042441:60197179:-1 gene:ENSMUSG00000021983.15 transcript:ENSMUST00000131670.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8a2 description:ATPase, aminophospholipid transporter-like, class I, type 8A, member 2 [Source:MGI Symbol;Acc:MGI:1354710] MKLQGPVRSSAGYKKAEDEMSRATSVGDQLEAPARIIYLNQSHLNKFCDNRISTAKYSVL TFLPRFLYEQIRRAANAFFLFIALLQQIPDVSPTGRYTTLVPLVIILTIAGIKEIIEDFK RHKADNAVNKKKTIVLRNGMWHTIMWKEENHQAFKENFSL >ENSMUSP00000121469.1 pep:known chromosome:GRCm38:14:60086582:60177539:-1 gene:ENSMUSG00000021983.15 transcript:ENSMUST00000140924.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8a2 description:ATPase, aminophospholipid transporter-like, class I, type 8A, member 2 [Source:MGI Symbol;Acc:MGI:1354710] MLNGAGLDQAFKMSLPRRARIGASVGPVRSSAGYKKAEDEMSRATSVGDQLEAPARIIYL NQSHLNKFCDNRI >ENSMUSP00000122078.1 pep:known chromosome:GRCm38:14:60086641:60087355:-1 gene:ENSMUSG00000021983.15 transcript:ENSMUST00000149414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8a2 description:ATPase, aminophospholipid transporter-like, class I, type 8A, member 2 [Source:MGI Symbol;Acc:MGI:1354710] MSRATSVGDQLEAP >ENSMUSP00000115592.1 pep:known chromosome:GRCm38:14:60027513:60086882:-1 gene:ENSMUSG00000021983.15 transcript:ENSMUST00000145071.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp8a2 description:ATPase, aminophospholipid transporter-like, class I, type 8A, member 2 [Source:MGI Symbol;Acc:MGI:1354710] MSRATSVGDQLEAPARIIYLNQSHLNKFCDNRISTAKYSVLTFLPRFLYEQIRRAANAFF LFIALLQQIPDVSPTGRYTTLVPLVIILTIAGIKEIIEDFKRHKADNAVNKKKTIVLRNG MWHTIMWKE >ENSMUSP00000080425.6 pep:known chromosome:GRCm38:4:132639046:132724765:1 gene:ENSMUSG00000028886.15 transcript:ENSMUST00000081726.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya3 description:EYA transcriptional coactivator and phosphatase 3 [Source:MGI Symbol;Acc:MGI:109339] MEEEQDLPEQPVKKAKMQEPREQTLSQVNNPDASDEKPETSSLASNLSMSEEIMTCTDYI PRSSNDYTSQMYSAKPYAHILSVPVSETTYPGQTQYQTLQQSQPYAVYPQATQTYGLPPF ASSTNASLIPTSSAIANIPAAAVASISNQDYPTYTILGQNQYQACYPSSSFGVTGQTNSD AETTTLAATTYQTEKPSAMVPAPATQRLPSDSSASPPLSQTTPNKDADDQARKNMTVKNR GKRKADASSSQDSELERVFLWDLDETIIIFHSLLTGSYAQKYGKDPTVVIGSGLTMEEMI FEVADTHLFFNDLEECDQVHVEDVASDDNGQDLSNYSFSTDGFSGSGGSGSHGSSVGVQG GVDWMRKLAFRYRKVREIYDKHKSNVGGLLSPQRKEALQRLRAEIEVLTDSWLGTALKSL LLIQSRKNCANVLITTTQLVPALAKVLLYGLGEIFPIENIYSATKIGKESCFERIVSRFG KKVTYVVIGDGRDEEIAAKQHNMPFWRITNHGDLVSLHQALELDFL >ENSMUSP00000123045.1 pep:known chromosome:GRCm38:4:132638987:132694883:1 gene:ENSMUSG00000028886.15 transcript:ENSMUST00000135299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya3 description:EYA transcriptional coactivator and phosphatase 3 [Source:MGI Symbol;Acc:MGI:109339] MEEEQDLPEQPVKKAKMQEPREQTLSQVNNPDASDEKPETSSLASNLSMSEEIMTCTDYI PRSSNDYTSQMYSAKPYAHILSVPVSETTYPGQTQYQTLQQSQPYAVYPQATQTYGLPPF GALWPGMKPESGLIQTPSPSQHSVLTCTTGLTTSQPSSAHYSYPIQASSTNASLIPTSSA IANIPAAAVASISNQDYPTYTILGQNQYQACYPSSSFGVTGQTNSDAETTTLAATTYQTE KPSAMVPAPATQRLPSDSSASPPLSQTTPNKDADDQARKNMTVKNRGKRKADASSSQDSE LE >ENSMUSP00000020197.7 pep:known chromosome:GRCm38:4:132639046:132724762:1 gene:ENSMUSG00000028886.15 transcript:ENSMUST00000020197.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya3 description:EYA transcriptional coactivator and phosphatase 3 [Source:MGI Symbol;Acc:MGI:109339] MIIPHKCILQTSSTNASLIPTSSAIANIPAAAVASISNQDYPTYTILGQNQYQACYPSSS FGVTGQTNSDAETTTLAATTYQTEKPSAMVPAPATQRLPSDSSASPPLSQTTPNKDADDQ ARKNMTVKNRGKRKADASSSQDSELERVFLWDLDETIIIFHSLLTGSYAQKYGKDPTVVI GSGLTMEEMIFEVADTHLFFNDLEECDQVHVEDVASDDNGQDLSNYSFSTDGFSGSGGSG SHGSSVGVQGGVDWMRKLAFRYRKVREIYDKHKSNVGGLLSPQRKEALQRLRAEIEVLTD SWLGTALKSLLLIQSRKNCANVLITTTQLVPALAKVLLYGLGEIFPIENIYSATKIGKES CFERIVSRFGKKVTYVVIGDGRDEEIAAKQHNMPFWRITNHGDLVSLHQALELDFL >ENSMUSP00000136812.1 pep:known chromosome:GRCm38:4:132639046:132724762:1 gene:ENSMUSG00000028886.15 transcript:ENSMUST00000180250.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya3 description:EYA transcriptional coactivator and phosphatase 3 [Source:MGI Symbol;Acc:MGI:109339] MIIPHKCILQTSSTNASLIPTSSAIANIPAAAVASISNQDYPTYTILGQNQYQACYPSSS FGVTGQTNSDAETTTLAATTYQTEKPSAMVPAPATQRLPSDSSASPPLSQTTPNKDADDQ ARKNMTVKNRGKRKADASSSQDSELERVFLWDLDETIIIFHSLLTGSYAQKYGKDPTVVI GSGLTMEEMIFEVADTHLFFNDLEECDQVHVEDVASDDNGQDLSNYSFSTDGFSGSGGSG SHGSSVGVQGGVDWMRKLAFRYRKVREIYDKHKSNVGGLLSPQRKEALQRLRAEIEVLTD SWLGTALKSLLLIQSRKNCANVLITTTQLVPALAKVLLYGLGEIFPIENIYSATKIGKES CFERIVSRFGKKVTYVVIGDGRDEEIAAKQHNMPFWRITNHGDLVSLHQALELDFL >ENSMUSP00000078157.4 pep:known chromosome:GRCm38:4:132639056:132724762:1 gene:ENSMUSG00000028886.15 transcript:ENSMUST00000079157.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya3 description:EYA transcriptional coactivator and phosphatase 3 [Source:MGI Symbol;Acc:MGI:109339] MQEPREQTLSQVNNPDASDEKPETSSLASNLSMSEEIMTCTDYIPRSSNDYTSQMYSAKP YAHILSVPVSETTYPGQTQYQTLQQSQPYAVYPQATQTYGLPPFASSTNASLIPTSSAIA NIPAAAVASISNQDYPTYTILGQNQYQACYPSSSFGVTGQTNSDAETTTLAATTYQTEKP SAMVPAPATQRLPSDSSASPPLSQTTPNKDADDQARKNMTVKNRGKRKADASSSQDSELE RVFLWDLDETIIIFHSLLTGSYAQKYGKDPTVVIGSGLTMEEMIFEVADTHLFFNDLEEC DQVHVEDVASDDNGQDLSNYSFSTDGFSGSGGSGSHGSSVGVQGGVDWMRKLAFRYRKVR EIYDKHKSNVGGLLSPQRKEALQRLRAEIEVLTDSWLGTALKSLLLIQSRKNCANVLITT TQLVPALAKVLLYGLGEIFPIENIYSATKIGKESCFERIVSRFGKKVTYVVIGDGRDEEI AAKQHNMPFWRITNHGDLVSLHQALELDFL >ENSMUSP00000053834.1 pep:known chromosome:GRCm38:11:49443853:49444788:1 gene:ENSMUSG00000047702.1 transcript:ENSMUST00000055584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1388 description:olfactory receptor 1388 [Source:MGI Symbol;Acc:MGI:3031222] MGTFNFSSDRAFFLVGFSDWPHLELVFFVAISIFYSLTLFGNSSIIALSRLDLRLQTPMY FFLCHLSFLDLCYTTSTVPQLLINLHGQDRTISYERCVAQLLIFLALASTECVLLGVMAF DRYAAVCRPLHYTTIMHPQLCHTLAISSWVGGLVNSLTQTGLMMVMPLCGYRLNHFFCEM PIFLKLACEETKRTEAKMFVARTIVLVCPAALILGSYAHITRAVLKVKSTAGRRKAFGTC GSHILVVSLFYGSAIYTYLQPTHTYSESEGKFVALFYTIITPMLNPLIYTLRNKDVKGAL WKVLGRGTDSE >ENSMUSP00000022749.9 pep:known chromosome:GRCm38:15:6445327:6498751:1 gene:ENSMUSG00000022149.17 transcript:ENSMUST00000022749.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C9 description:complement component 9 [Source:MGI Symbol;Acc:MGI:1098282] MLCKPPGLPRRSSMASGMAITLALAIFALGVNAQMPIPVSREEQEQHYPIPIDCRMSPWS NWSECDPCLKQRFRSRSILAFGQFNGKSCVDVLGDRQGCEPTQECEEIQENCGNDFQCET GRCIKRRLLCNGDNDCGDYSDENDCDDDPRTPCRDRVAEESELGLTAGYGINILGMEPLR TPFDNEFYNGLCDRVRDEKTYYRKPWNVVSLIYETKADKSFRTENYDEHLEVFKAINREK TSNFNADFALKFSATEVPEKGAGEVSPAEHSSKPTNISAKFKFSYFMGKNFRRLSSYFSQ SKKMFVHLRGVVQLGRFVMRNRDVVLRSTFLDDVKALPTSYEKGEYFGFLETYGTHYSTS GSLGGQYEIVYVLDKASMKEKGVDLNDVKHCLGFNMDLRIPLQDDLKDASVTASVNADGC IKTDNGKTVNITRDNIIDDVISFIRGGTREQAILLKEKILRGDKTFDKTDFANWASSLAN APALISQRMSPIYNLIPLKIKDAYIKKQNLEKAVEDYIDEFSTKRCYPCLNGGTIILLDG QCLCSCPMMFRGMACEIHQKI >ENSMUSP00000135614.1 pep:known chromosome:GRCm38:15:6445372:6467409:1 gene:ENSMUSG00000022149.17 transcript:ENSMUST00000128097.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:C9 description:complement component 9 [Source:MGI Symbol;Acc:MGI:1098282] XPPGLPRRSSMASGMAITLALAIFALGVNAQMPIPVSRARSNGWSLFLGFGFLLPLFSLF IAEQLSF >ENSMUSP00000135459.1 pep:known chromosome:GRCm38:15:6489918:6498744:1 gene:ENSMUSG00000022149.17 transcript:ENSMUST00000147905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C9 description:complement component 9 [Source:MGI Symbol;Acc:MGI:1098282] XASVNADGCIKTDNGKTVNITRDNIIDDVISFIRGGTREQAILLKEKILRGDKTFDKTDF ANWASSLANAPALISQRETKQTLVHMEGGKKKDKKKKSQDFPT >ENSMUSP00000146972.1 pep:known chromosome:GRCm38:7:19411025:19422841:1 gene:ENSMUSG00000030399.2 transcript:ENSMUST00000208710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckm description:creatine kinase, muscle [Source:MGI Symbol;Acc:MGI:88413] MPFGNTHNKFKLNYKPQEEYPDLSKHNNHMAKVLTPDLYNKLRDKETPSGFTLDDVIQTG VDNPGHPFIMTVGCVAGDEESYTVFKDLFDPIIQDRHGGYKPTDKHKTDLNHENLKGGDD LDPNYVLSSRVRTGRSIKGYTLPPHCSRGERRAVEKLSVEALNSLTGEFKGKYYPLKSMT EQEQQQLIDDHFLFDKPVSPLLLASGMARDWPDARGIWHNDNKSFLVWVNEEDHLRVISM EKGGNMKEVFRRFCVGLQKIEEIFKKAGHPFMWNEHLGYVLTCPSNLGTGLRGGVHVKLA NLSKHPKFEEILTRLRLQKRGTGGVDTAAVGAVFDISNADRLGSSEVEQVQLVVDGVKLM VEMEKKLEKGQSIDDMIPAQK >ENSMUSP00000003643.2 pep:known chromosome:GRCm38:7:19411063:19421584:1 gene:ENSMUSG00000030399.2 transcript:ENSMUST00000003643.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckm description:creatine kinase, muscle [Source:MGI Symbol;Acc:MGI:88413] MTVGCVAGDEESYTVFKDLFDPIIQDRHGGYKPTDKHKTDLNHENLKGGDDLDPNYVLSS RVRTGRSIKGYTLPPHCSRGERRAVEKLSVEALNSLTGEFKGKYYPLKSMTEQEQQQLID DHFLFDKPVSPLLLASGMARDWPDARGIWHNDNKSFLVWVNEEDHLRVISMEKGGNMKEV FRRFCVGLQKIEEIFKKAGHPFMWNEHLGYVLTCPSNLGTGLRGGVHVKLANLSKHPKFE EILTRLRLQKRGTGGVDTAAVGAVFDISNADRLGSSEVEQVQLVVDGVKLMVEMEKKLEK GQSIDDMIPAQK >ENSMUSP00000055779.6 pep:known chromosome:GRCm38:6:129577905:129585827:1 gene:ENSMUSG00000050241.8 transcript:ENSMUST00000053708.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klre1 description:killer cell lectin-like receptor family E member 1 [Source:MGI Symbol;Acc:MGI:2662547] MDEAPVTRSTLNVNSQQKSKAKNKIKNTLNSNELSSIEQRKKYQKHLKKHKNTAEDISGK GNCSPPWRLLSSVLGAMCLLLMAVAMVMTTFTTKSSSERSSSTIQQEGLHHPCPENWVWF RCSCYFFSKEELIWRDSQRACLSLNSSLIRMNKEEMNFFSLKSFFWVGVYYNETRRQWLW EDHSVLPSGLFSKLEANMKNFCASYKSKEAYMEENCANKLTYICKK >ENSMUSP00000145085.1 pep:known chromosome:GRCm38:6:129578251:129585777:1 gene:ENSMUSG00000050241.8 transcript:ENSMUST00000203322.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klre1 description:killer cell lectin-like receptor family E member 1 [Source:MGI Symbol;Acc:MGI:2662547] MDEAPVTRSTLNVNSQQKSKAKNKIKNTLNSNELSSIEQRKKYQKHLKKHKNTAEDISGK GWL >ENSMUSP00000073494.1 pep:known chromosome:GRCm38:11:49459681:49460616:1 gene:ENSMUSG00000063386.1 transcript:ENSMUST00000073824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1387 description:olfactory receptor 1387 [Source:MGI Symbol;Acc:MGI:3031221] MGNFNTSTQESFILVGFSDWPQLQAFLFVIILIFYSLTIFGNTTIIVLARLDLRLHKPMY FFLSHLSFLDLCYTTSTVPQLLINLRGLDRTISYGGCVAQLFIFLALASTECLILVAMAF DRYAAVCHPLHYTSIMNPILCRALAISSWVGGLVNSLIQTGLVMAMRLCGHQINHFFCEM PIFLKLACEDTEGTEAKMFVARTIVLVCPAVLILGSYVHIAKAVLKVKSMAGRRKAFGTC GSHLMVVSLFYGSGIYTYLQPVHRYSESKGKFVALFYTIVTPMFNPLIYTLRNKDVKGAL WKLLGRGTDSG >ENSMUSP00000051396.6 pep:known chromosome:GRCm38:8:84021471:84030295:1 gene:ENSMUSG00000047986.12 transcript:ENSMUST00000055077.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palm3 description:paralemmin 3 [Source:MGI Symbol;Acc:MGI:1921587] MALQTPVLSPATPTVMAESALYRQRLEVIAEKRRLQEEIGAARRELEEEKLRVERLKRKS LRERWLMDGAAEGPERPEEPASKDPQSPEGQAQARIRNLEDSLFSLQSQLQLLQSASTGA QHRPAGRPAWRREGPRPLSQSAMEAAPTAPTDVDKRTSLPDAPVGMSPESPSDPREESIA VLPASRPSTEAIGTSSEANGPCPGHSPLPEQLSLGVSSVTKAKGDGAVEVVWAGLRATEN SATGPTDVELEAKVEEVVLEAIGARQGTSSPELPTWVKEGRGVVEVVWEGLGGRDLDVTG ESGRDAEATHTSSRRLQEQFEAETCRKEEGASRDSLEGVGQGGPGVEEGSFIWVERVALS EDWEEILMEGLEAPQGAGSAGEPEALIGAQPRGGEASWEVEKREVEKVEGIEEKGRAEKL GAEREDGVAVLPDETQGREENEAEKVERKDSEGPFPAEIATDEEKWEVKTTEGEESLEVE KGGEAEPVTTEKPLVTEKKPEGSLETERKGSEMPLDQEKDGEGSLDRESKTTEILLDGEI GDKSSLDETKGSKKLLDEKTGGEGSLDEEAEGSKKLLDREADGIEPFSEVDKTSGAKDDV SPEEQGKANEGAEFQAEDASPPGATVCVQDEPRSEEQGQQEPEKQEGLVEGAASKPEPCT EREGPPGDATLLLAETPAPEQPVESQPLLHQEASSTNPGDHPAPTYAPAQQLELAEAKEA SGPKQKTCQCCVVM >ENSMUSP00000078727.6 pep:known chromosome:GRCm38:X:27924017:27946885:-1 gene:ENSMUSG00000095293.7 transcript:ENSMUST00000079797.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10058 description:predicted gene 10058 [Source:MGI Symbol;Acc:MGI:3711266] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000137449.1 pep:known chromosome:GRCm38:X:27924139:27945297:-1 gene:ENSMUSG00000095293.7 transcript:ENSMUST00000178152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10058 description:predicted gene 10058 [Source:MGI Symbol;Acc:MGI:3711266] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000020448.4 pep:known chromosome:GRCm38:10:120141648:120201659:-1 gene:ENSMUSG00000020227.10 transcript:ENSMUST00000020448.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak3 description:interleukin-1 receptor-associated kinase 3 [Source:MGI Symbol;Acc:MGI:1921164] MAGRCGARGALSPQLLLFDLPPALLGELCGILDSCDGPLGWRGLAERLSNSWLDVRHIEK YVNQGKSGTRELLWSWAQKNKTIGDLLEVLQDMGHQRAIHLIINYGVSWTPSVQTHHELP FPSFPPEVKHACRENDPGPLEPANVTVDNVLVPEHNEKGTLQKTPISFQSILEGTKHFHK DFLIGEGEIFEVYRVDIRNQAYAVKLFKQEKKMQLKKHWKRFLSELEVLLLFRHPHILEL AAYFTETEKLCLVYPYMSNGTLFDRLQCTNGTTPLSWHVRISVLIGIAKAIQYLHNTQPC AVICGNVSSANILLDDQLQPKLTDFAAAHFRPNLEQQSSTINMTGGGRKHLWYMPEEYIR QGRLSVKTDVYSFGIVIMEVLTGCKVVLDDPKHVQLRDLLMELMEKRGLDSCLSFLDRKI PPCPRNFSAKLFSLAGRCVATKAKLRPTMDEVLSSLESTQPSLYFAEDPPTSLKSFRCPS PLFLDNVPSIPVEDDENQNNHSVPPKEVLGTDRVTQKTPFECSQSEVTFLGLDRNRGNRG SEADCNVPSSSHEECWSPELVAPSQDLSPTVISLGSSWEVPGHSYGSKPMEKRCSSGLFC SEHEQSKKQ >ENSMUSP00000118038.1 pep:known chromosome:GRCm38:10:120141665:120202130:-1 gene:ENSMUSG00000020227.10 transcript:ENSMUST00000145665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak3 description:interleukin-1 receptor-associated kinase 3 [Source:MGI Symbol;Acc:MGI:1921164] MGHQRAIHLIINYGVSWTPSVQTHHELPFPSFPPEVKHACRENDPGPLEPANVTVDNVLV PEHNEKGTLQKTPISFQSILEGTKHFHKDFLIGEGEIFEVYRVDIRNQAYAVKLFKQEKK MQLKKHWKRFLSELEVLLLFRHPHILELAAYFTETEKLCLVYPYMSNGTLFDRLQCTNGT TPLSWHVRISVLIGIAKAIQYLHNTQPCAVICGNVSSANILLDDQLQPKLTDFAAAHFRP NLEQQSSTINMTGGGRKHLWYMPEEYIRQGRLSVKTDVYSFGIVIMEVLTGCKVVLDDPK HVQLRDLLMELMEKRGLDSCLSFLDRKIPPCPRNFSAKLFSLAGRCVATKAKLRPTMDEV LSSLESTQPSLYFAEDPPTSLKSFRCPSPLFLDNVPSIPVEDDENQNNHSVPPKEVLGTD RVTQKTPFECSQSEVTFLGLDRNRGNRGSEADCNVPSSSHEECWSPELVAPSQDLSPTVI SLGSSWEVPGHSYGSKPMEKRCSSGLFCSEHEQSKKQ >ENSMUSP00000123604.1 pep:known chromosome:GRCm38:10:120142163:120201498:-1 gene:ENSMUSG00000020227.10 transcript:ENSMUST00000135106.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Irak3 description:interleukin-1 receptor-associated kinase 3 [Source:MGI Symbol;Acc:MGI:1921164] MAGRCGARGALSPQLLLFDLPPALLGELCGILDSCDGPLGWRGLAERLSNSWLDVRHIEK YVNQGKSGTRELLWSWAQKNKTIGDLLEVLQDMGHQRAIHLIINYGVSWTPSVQTHHELP FPSFPPEVKHACRENDPGPLEPANVTVDNVLVPEHNEKGTLQKTPISFQSILEGTKHFHK DFLIGEGEIFEVYRVDIRNQAYAVKLFKQEKKMQLKKHWKRFLSELEVLLLFRHPHILEL AAYFTETEKLCLVYPYMSNGTLFDRLQCTNGTTPLSWHVRISVLIGIAKAIQYLHNTQPC AVICGNVSR >ENSMUSP00000135858.1 pep:known chromosome:GRCm38:9:102834917:102907542:1 gene:ENSMUSG00000032547.12 transcript:ENSMUST00000175883.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryk description:receptor-like tyrosine kinase [Source:MGI Symbol;Acc:MGI:101766] MRAGRGGVPGSGGLRAPPPPLLLLLLAMLPAAAPRSPALAAAPAGPSVSLYLSEDEVRRL LGLDAELYYVRNDLISHYALSFNLLVPSETNFLHFTWHAKSKVEYKLGFQVDNFVAMGMP QVNISAQGEVPRTLSVFRVELSCTGKVDSEVMILMQLNLTVNSSKNFTVLNFKRRKMCYK KLEEVKTSALDKNTSRTIYDPVHAAPTTSTRVFYISVGVCCAVIFLVAIILAVLHLHSMK RIELDDSISASSSSQGLSQPSTQTTQYLRADTPNNATPITSSSGYPTLRIEKNDLRSVTL LEAKAKVKDIAISRERITLKDVLQEGTFGRIFHGILVDEKDPNKEKQTFVKTVKDQASEV QVTMMLTESCKLRGLHHRNLLPITHVCIEEGEKPMVVLPYMNWGNLKLFLRQCKLVEANN PQAISQQDLVHMAIQIACGMSYLARREVIHRDLAARNCVIDDTLQVKITDNALSRDLFPM DYHCLGDNENRPVRWMALESLVNNEFSSASDVWAFGVTLWELMTLGQTPYVDIDPFEMAA YLKDGYRIAQPINCPDELFAVMACCWALDPEERPKFQQLVQCLTEFHAALGAYV >ENSMUSP00000035142.6 pep:known chromosome:GRCm38:9:102834972:102907953:1 gene:ENSMUSG00000032547.12 transcript:ENSMUST00000035142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryk description:receptor-like tyrosine kinase [Source:MGI Symbol;Acc:MGI:101766] MRAGRGGVPGSGGLRAPPPPLLLLLLAMLPAAAPRSPALAAAPAGPSVSLYLSEDEVRRL LGLDAELYYVRNDLISHYALSFNLLVPSETNFLHFTWHAKSKVEYKLGFQVDNFVAMGMP QVNISAQGEVPRTLSVFRVELSCTGKVDSEVMILMQLNLTVNSSKNFTVLNFKRRKMCYK KLEEVKTSALDKNTSRTIYDPVHAAPTTSTRVFYISVGVCCAVIFLVAIILAVLHLHSMK RIELDDSISASSSSQGLSQPSTQTTQYLRADTPNNATPITSYPTLRIEKNDLRSVTLLEA KAKVKDIAISRERITLKDVLQEGTFGRIFHGILVDEKDPNKEKQTFVKTVKDQASEVQVT MMLTESCKLRGLHHRNLLPITHVCIEEGEKPMVVLPYMNWGNLKLFLRQCKLVEANNPQA ISQQDLVHMAIQIACGMSYLARREVIHRDLAARNCVIDDTLQVKITDNALSRDLFPMDYH CLGDNENRPVRWMALESLVNNEFSSASDVWAFGVTLWELMTLGQTPYVDIDPFEMAAYLK DGYRIAQPINCPDELFAVMACCWALDPEERPKFQQLVQCLTEFHAALGAYV >ENSMUSP00000135396.1 pep:known chromosome:GRCm38:9:102834982:102908305:1 gene:ENSMUSG00000032547.12 transcript:ENSMUST00000176198.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ryk description:receptor-like tyrosine kinase [Source:MGI Symbol;Acc:MGI:101766] MRAGRGGVPGSGGLRAPPPPLLLLLLAMLPAAAPRSPALAAAPAGPSVSLYLSEDEVRRL LG >ENSMUSP00000005647.3 pep:known chromosome:GRCm38:2:90894636:90904827:-1 gene:ENSMUSG00000005510.9 transcript:ENSMUST00000005647.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs3 description:NADH dehydrogenase (ubiquinone) Fe-S protein 3 [Source:MGI Symbol;Acc:MGI:1915599] MAAAAARVWCRGLLGAASVGRGAGRPSVLWQHVRRESAAADKRPTVRPRSDVTHKQLSAF GEYVAEILPKYVQQVQVSCLDELEICIHPDGVIPTLTFLRDHTNAQFKSLADLTAVDVPT RQNRFEIVYNLLSLRFNSRIRVKTYADELTPIDSIVSVHIAANWYEREVWDMFGVFFFNH PDLRRILTDYGFEGHPFRKDFPLTGYVELRYDDEVKRVVAEPVELAQEFRKFDLNSPWEA FPAYRQPPESLKLEAGDKKPETK >ENSMUSP00000145320.1 pep:known chromosome:GRCm38:11:49465090:49471181:1 gene:ENSMUSG00000108167.1 transcript:ENSMUST00000204518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1386 description:olfactory receptor 1386 [Source:MGI Symbol;Acc:MGI:3031220] MDSFNATLEERFFLVGFSDWPQLELILFVFISIVYSLTIFGNTTIIALSRIDLRLHTPMY FFLSNLSFLDLCFTTSTVPQLLINLYGQDRTISYGGCVAQLFIYLALGSTECVLLVVMAF DRYAAVCRPLHYTTIMHPLLCQALALASWVGGFLNSLIQTGLMMTMPLCGHRLNHFFCEM PVFLKLACQDTGGTEAKMFVARAIILVFPATLILGSYGHIAKAVLKVKSTAGRRKAFGTC GSHLLVVSLFYGSAIYTYLQPKSSYSESKGKFVALFYTIVTPMLNPLIYTLRNKDVKGAL WKVLGRGTD >ENSMUSP00000071801.2 pep:known chromosome:GRCm38:11:49470054:49471181:1 gene:ENSMUSG00000108167.1 transcript:ENSMUST00000071905.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1386 description:olfactory receptor 1386 [Source:MGI Symbol;Acc:MGI:3031220] MDSFNATLEERFFLVGFSDWPQLELILFVFISIVYSLTIFGNTTIIALSRIDLRLHTPMY FFLSNLSFLDLCFTTSTVPQLLINLYGQDRTISYGGCVAQLFIYLALGSTECVLLVVMAF DRYAAVCRPLHYTTIMHPLLCQALALASWVGGFLNSLIQTGLMMTMPLCGHRLNHFFCEM PVFLKLACQDTGGTEAKMFVARAIILVFPATLILGSYGHIAKAVLKVKSTAGRRKAFGTC GSHLLVVSLFYGSAIYTYLQPKSSYSESKGKFVALFYTIVTPMLNPLIYTLRNKDVKGAL WKVLGRGTD >ENSMUSP00000061451.4 pep:known chromosome:GRCm38:4:32800253:32801383:1 gene:ENSMUSG00000045854.4 transcript:ENSMUST00000062802.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm2 description:LYR motif containing 2 [Source:MGI Symbol;Acc:MGI:1917573] MAASRLPPAALTLKQFMRRQQVLLLYRKILRAIRQVPSDSDRKYLQDWAREEFKRNKSAT EEDTIRMMITQGNMQLKELERTLALANS >ENSMUSP00000147000.1 pep:known chromosome:GRCm38:7:118855752:118858642:1 gene:ENSMUSG00000073856.11 transcript:ENSMUST00000208658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqck description:IQ motif containing K [Source:MGI Symbol;Acc:MGI:3612188] MAAPRLVSSRSVLREEASGSADSPITTRTPVPAVSPGSPEVLVSPPQVVEPPGKSLWDQI CEGRRPAAGRAGRDAGGTRRDARSKPLCARRVTRAGGGAEP >ENSMUSP00000095693.2 pep:known chromosome:GRCm38:7:118855775:118972120:1 gene:ENSMUSG00000073856.11 transcript:ENSMUST00000098087.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqck description:IQ motif containing K [Source:MGI Symbol;Acc:MGI:3612188] MAAPRLVSSRSVLREEASGSADSPITTRTPVPAVSPGSPEVLVSPPQVVEPPGKSLWDQI CEEYEAEQPTFPEGYKVKQEAAVTVSSVTPLEELVPHGFNMEHYLPIHQFPAMPPVPCPP KKAETVEPKTCSPRDYLEMFIFPVLLPGMASLLHQAKREKCFERKRTKFIACDFLTEWLY NQNPKRAGESFTEFFSIPFVEDWLKDHPRPPIPLSLLLTEEEAAVRIQAFWRAYLVRCDP EIQELRQWQKKLREDKHIRQRVKIFWAKQEQKMKCKMEDEEAPAASAPYP >ENSMUSP00000118456.1 pep:known chromosome:GRCm38:7:118855775:118972288:1 gene:ENSMUSG00000073856.11 transcript:ENSMUST00000152136.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Iqck description:IQ motif containing K [Source:MGI Symbol;Acc:MGI:3612188] MAAPRLVSSRSVLREEASGSADSPITTRTPVPAVSPGSPEVLVSPPQVVEPPGKSLWDQI CEGHALGGIGSSWLQHGALPPNTPVPSDASCALSSQEGRNSRAQDLFTQRLSGNVHLSSS APWNGQPASPSKERKMF >ENSMUSP00000102157.1 pep:known chromosome:GRCm38:7:118855775:118972652:1 gene:ENSMUSG00000073856.11 transcript:ENSMUST00000106547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqck description:IQ motif containing K [Source:MGI Symbol;Acc:MGI:3612188] MAAPRLVSSRSVLREEASGSADSPITTRTPVPAVSPGSPEVLVSPPQVVEPPGKSLWDQI CEEYEAEQPTFPEGYKVKQEAAVTVTPLEELVPHGFNMEHYLPIHQFPAMPPVPCPPKKA ETVEPKTCSPRDYLEMFIFPVLLPGMASLLHQAKREKCFERKRTKFIACDFLTEWLYNQN PKRAGESFTEFFSIPFVEDWLKDHPRPPIPLSLLLTEEEAAVRIQAFWRAYLVRCDPEIQ ELRQWQKKLREDKHIRQRVKIFWAKQEQKMKCKMEDEEAPAASAPYP >ENSMUSP00000101312.2 pep:known chromosome:GRCm38:4:149715375:149738044:-1 gene:ENSMUSG00000044700.15 transcript:ENSMUST00000105687.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem201 description:transmembrane protein 201 [Source:MGI Symbol;Acc:MGI:1196277] MEGVSALLASCPTAGLAGGLGVTACAAAGVVLYRIARRVKPTHTMVNCWFCNHDTLVPYG NRNCWDCPHCEQYNGFQENGDYNKPIPAQYMEHLNHVVSSVPSPRDPAQPQQWVSSQVLL CRRCSHHQTTKIKQLAAFTPREEGRYDEEIEVYRHHLEQMYKLCRPCQAAVEYYIKHQNR QLRALLLSHQFRRREADQAHGQSFSSSAVKAPFQVILLRALAFLACAFLLFTTLYGPSEP FTPGAALPPALPPGGNSSAASDNTTSQAEGWQQLLGLLPEHATEKLHEAWAFGQSHQTSI VAVGLLTCLLAMLLAGRIRLRRIDAFSTCLWALLLGLHLAEHYLQAASPGWLDTLKFSTT SLCCLVGFTAAVATRKSTGPRRFRPRRYFSGDSASLFPSSPSLAVPYPSVTSSPASLFIP TPPGFLPLTKQQLFRSPRRVSPSSLPGRLSRALSLGTIPPLTRTDSGYLFSGSRPPSRVS PAGEVSLSDYFSLLSSSFPASPLPSPAPSVASSVASSSGSLRHRRPLISPARLNLKGQKL LLFSSPGEAPNTPSSSEEFSPPNGSLFIESPQLPQRNHTRDTKHTMEMRSMLARDSARSS HSIKKEDESSQSSTCVVDTTTKGCSEETTPWKARVSPSLVRGLLAVSLAVNALFTSAYLY QSLR >ENSMUSP00000050481.4 pep:known chromosome:GRCm38:4:149715383:149738044:-1 gene:ENSMUSG00000044700.15 transcript:ENSMUST00000054459.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem201 description:transmembrane protein 201 [Source:MGI Symbol;Acc:MGI:1196277] MEGVSALLASCPTAGLAGGLGVTACAAAGVVLYRIARRVKPTHTMVNCWFCNHDTLVPYG NRNCWDCPHCEQYNGFQENGDYNKPIPAQYMEHLNHVVSSVPSPRDPAQPQQWVSSQVLL CRRCSHHQTTKIKQLAAFTPREEGRYDEEIEVYRHHLEQMYKLCRPCQAAVEYYIKHQNR QLRALLLSHQFRRREADQAHGQSFSSSAVKAPFQVILLRALAFLACAFLLFTTLYGPSEP FTPGAALPPALPPGGNSSAASDNTTSQAEGWQQLLGLLPEHATEKLHEAWAFGQSHQTSI VAVGLLTCLLAMLLAGRIRLRRIDAFSTCLWALLLGLHLAEHYLQAASPGWLDTLKFSTT SLCCLVGFTAAVATRKSTGPRRFRPRRYFSGDSASLFPSSPSLAVPYPSVTSSPASLFIP TPPGFLPLTKQQLFRSPRRVSPSSLPGRLSRALSLGTIPPLTRTDSGYLFSGSRPPSRVS PAGEVSLSDYFSLLSSSFPASPLPSPAPSVASSVASSSGSLRHRRPLISPARLNLKGQKL LLFSSPGEAPNTPSSSEEFSPPNGSLFIESPQLPQRNHTRDTKHTMEMRSMLARDSARSS HSIKKEDESSQSSTCVVDTTTKGCSEETTPWKGL >ENSMUSP00000099497.1 pep:known chromosome:GRCm38:4:149724864:149738044:-1 gene:ENSMUSG00000044700.15 transcript:ENSMUST00000103208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem201 description:transmembrane protein 201 [Source:MGI Symbol;Acc:MGI:1196277] MEGVSALLASCPTAGLAGGLGVTACAAAGVVLYRIARRVKPTHTMVNCWFCNHDTLVPYG NRNCWDCPHCEQYNGFQENGDYNKPIPAQYMEHLNHVVSSVPSPRDPAQPQQWVSSQVLL CRRCSHHQTTKIKQLAAFTPREEGRYDEEIEVYRHHLEQMYKLCRPCQAAVEYYIKHQNR QLRALLLSHQFRRREADQAHGQSFSSSAVKAPFQVILLRALAFLACAFLLFTTLYGPSEP FTPGAALPPALPPGGNSSAASDNTTSQAEGWQQLLGLLPEHATEKLHEAWAFGQSHQTSI VAVGLLTCLLAMLLAGRIRLRRIDAFSTCLWALLLGLHLAEHYLQAASPGWLDTLKFSTT SLCCLVGFTAAVATRKSTGPRRFRPRRSEKQQ >ENSMUSP00000035437.8 pep:known chromosome:GRCm38:15:88751660:88784516:1 gene:ENSMUSG00000034333.15 transcript:ENSMUST00000041297.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbed4 description:zinc finger, BED type containing 4 [Source:MGI Symbol;Acc:MGI:2682302] MEDKQETCPKGDSDFVSDKVNFKTEEDDDQTPCHSLEQVDFKSESEDMRQTDSGDEQADI RAASCACQPSGKFLAAESEDDYGSLFSQYSSTLYSVAMEAVTQSLLSSRHISSRKKSPAW KHFFISPRDSTKAICTYCMKEFSRGKNEKDLSTSCLMRHVRRAHPTKLIQENGSLSAGSS FSPPSLLLPPQPADVGDLSTVLSPVRLVQKMAPKIPSPDQIMEESVTVVSSEELSSDVSV TEKYSREEALAGSSPNLSMLHYDDASETMADRNLSLPKSTSGSRRRSAVWKHFYLSPLDS SKAVCVHCMNEFSRGKNGKDLGTSCLIRHMWRAHRSIVLQENGGNTGIPPLYPMPPTLLP ALLPPEGDLNSASLSPGKQVKESPSASSSPERLPEDLSSHTNPGDVSREDVSMLSSSDDL GEASVVSSPEKQPADTVNPRFESGTVFQQNKKVMRRLKSEVWHHFSLAPMDSLKAVCRYC SCVISRGKKGDVGTSCLMRHLYRRHPEVVGNQKDFLGASLANSPYATLASAESSSKLTDL PAVVRKNHQGVFPTNSKKTSKLWNHFSICSADSTKVVCLHCGRTISRGKKPTNLGTSCLL RHLQRFHGHVLKNDVSEATLSRSPGIRRPLGIELSGPSSFRDSTEKFYDSHPVAKKITSL IAEMIALDLQPYSLVDNVGFNRLLEYLKPQYSLPSPSYFSRTAIPGMYDNVKQIIMSHLK EAESGVVHFTSGIWMSSQTREYLTLTAHWVTFASAVRPHCEDHHCSALLDVSQIDCDYSG NSIQKQLECWWEAWVTSIGLQIGITVTDNPSIGKMLSEGEHSSVQCFSHTVNLIVSEAIK SQRMVQNLLSIARKLCERVHRSPRAREKLAELQKEYELPQHQLIQDVPSKWSTSFHMLER LIEQKRAVNEVSIECNFRELISCDQWEVMQSVCHVLRPFDAASREMSAHMSTLSQVIPMI HILSRKVEMLFGETMGIDTMLKSLKEAMASRLSATLHDPRYIFATLLDPRYKASLFTEEE AEQYRQDLIRELEILNSTSEDTATSNGCDSGSPLKDTGTEESLWSLAPIKRDQREKLPED MVLAYLEEEVLEHSCDPLTYWNLKRSSWPGLSTLAVRFLGCPPSTVPSEKLFSTPMDAGS FGQPRLMMEHFEKLIFLKVNLPLICFQY >ENSMUSP00000059056.9 pep:known chromosome:GRCm38:2:172979849:172993576:1 gene:ENSMUSG00000005883.15 transcript:ENSMUST00000050442.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spo11 description:SPO11 meiotic protein covalently bound to DSB [Source:MGI Symbol;Acc:MGI:1349669] MAFAPMGPEASFFDALDRHRASLLAMVKRGAGETPAGATRVASSSEVLTAIENIIQDIIK SLARNEVPAFTIDNRSSWENIMFDDSVGLRMIPQCTTRKIRSDSPKSVKKFALILKVLSM IYKLIQSDTYATKRDIYYTDSQLFGNQAAVDSAIDDISCMLKVPRRSLHVLSTSKGLIAG NLRYMEEDGTRVQCTCSATATAVPTNIQGMQHLITDAKFLLIVEKDATFQRLLDDNFCSR MSPCIMVTGKGVPDLNTRLLVKKLWDTFHIPVFTLVDADPYGIEIMCIYKYGSMSMSFEA HNLTIPTIRWLGLLPSDIQRLNIPKDSLIPLTKHDQMKLDSILKRPYITYQPLWKKELEM MADSKMKAEIQALTLLSSDYLSRVYLPNKLRFGGWI >ENSMUSP00000104754.3 pep:known chromosome:GRCm38:2:172979849:172993567:1 gene:ENSMUSG00000005883.15 transcript:ENSMUST00000109126.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spo11 description:SPO11 meiotic protein covalently bound to DSB [Source:MGI Symbol;Acc:MGI:1349669] MAFAPMGPEASFFDALDRHRASLLAMVKRGAGETPAGATRVASRFDDSVGLRMIPQCTTR KIRSDSPKSVKKFALILKVLSMIYKLIQSDTYATKRSNAHSVLTLHLHRDIYYTDSQLFG NQAAVDSAIDDISCMLKVPRRSLHVLSTSKGLIAGNLRYMEEDGTRVQCTCSATATAVPT NIQGMQHLITDAKFLLIVEKDATFQRLLDDNFCSRMSPCIMVTGKGVPDLNTRLLVKKLW DTFHIPVFTLVDADPYGIEIMCIYKYGSMSMSFEAHNLTIPTIRWLGLLPSDIQRLNIPK DSLIPLTKHDQMKLDSILKRPYITYQPLWKKELEMMADSKMKAEIQALTLLSSDYLSRVY LPNKLRFGGWI >ENSMUSP00000104753.1 pep:known chromosome:GRCm38:2:172979842:172993565:1 gene:ENSMUSG00000005883.15 transcript:ENSMUST00000109125.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spo11 description:SPO11 meiotic protein covalently bound to DSB [Source:MGI Symbol;Acc:MGI:1349669] MAFAPMGPEASFFDALDRHRASLLAMVKRGAGETPAGATRVASRFDDSVGLRMIPQCTTR KIRSDSPKSVKKFALILKVLSMIYKLIQSDTYATKRDIYYTDSQLFGNQAAVDSAIDDIS CMLKVPRRSLHVLSTSKGLIAGNLRYMEEDGTRVQCTCSATATAVPTNIQGMQHLITDAK FLLIVEKDATFQRLLDDNFCSRMSPCIMVTGKGVPDLNTRLLVKKLWDTFHIPVFTLVDA DPYGIEIMCIYKYGSMSMSFEAHNLTIPTIRWLGLLPSDIQRLNIPKDSLIPLTKHDQMK LDSILKRPYITYQPLWKKELEMMADSKMKAEIQALTLLSSDYLSRVYLPNKLRFGGWI >ENSMUSP00000005791.7 pep:known chromosome:GRCm38:7:13398132:13408887:1 gene:ENSMUSG00000005649.17 transcript:ENSMUST00000005791.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp5 description:calcium binding protein 5 [Source:MGI Symbol;Acc:MGI:1352746] MQFPMGPACIFLRKGIAEKQRERPLGQDELDELREAFLEFDKDQDGFISYKDLGNLMRTM GYMPTEMELTELGQQIRMNLGGRVDFEDFVELMTPKLLAETAGMIGVQEMRDAFKEFDAN GDGEITLAELQQAMQRLLGEKLTPREIAEVVQEADINGDGTVDFEEFVKMMSR >ENSMUSP00000112415.1 pep:known chromosome:GRCm38:7:13398295:13406296:1 gene:ENSMUSG00000005649.17 transcript:ENSMUST00000117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp5 description:calcium binding protein 5 [Source:MGI Symbol;Acc:MGI:1352746] MQFPMGPACIFLRKGIAEKQRERPLGQDELDELREAFLEFDKDQDGFISYKDLGNLMRTM GYMPTEMELTELGQQIRMNLGGRVDFEDFVELMTPKLLAETAGMIGVQEMRDAFKEFDAN GDGEITLAELQQAMQRLLGEKLTPREIAEVVQEADINGDGTVDFEGNTLGKKSRNLHL >ENSMUSP00000122731.1 pep:known chromosome:GRCm38:7:13398308:13405547:1 gene:ENSMUSG00000005649.17 transcript:ENSMUST00000152995.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cabp5 description:calcium binding protein 5 [Source:MGI Symbol;Acc:MGI:1352746] MQFPMGPACIFLRKGIAEKQRSSGKHFLNLTRTKMGSSLTRIWAIS >ENSMUSP00000072643.5 pep:known chromosome:GRCm38:4:41348996:41390525:1 gene:ENSMUSG00000028437.14 transcript:ENSMUST00000072866.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap1 description:ubiquitin-associated protein 1 [Source:MGI Symbol;Acc:MGI:2149543] MASKKLGTDVHGTFSYLDDVPFKIGDKFKTPAKVGLPIGFSLPDCLQVVREMQYDFSLEK KTIEWAEDIKLIQEAQREAERKAEEAEAKVNSKSGPEGDSKVSFPKTHNTATMPPPINPI LASLQHNNILTPTRVSSSATKQKVLSPPHTKADFNPADFECEEDPFDNLELKTIDEKEEL RNILVGTTGPIMAQLLDSNTARGSSGAVLQDEEVLASLEQATLDFKPLHKPNGFITLPQL GNCEKMSLSSKVSLPPIPTVSNIKSLSFPKLDSDDSNQKTVKLASTFHSTSCLRSGASRS LLKPSTQSSASELNGDHTLGLSALNLNSGTEVPTLTSSQMPSLSSVSVCTEESSPPDPCP TVTPLNFSVSQVPNMPSCPQAYLELQALSPSERQCVETVVNMGYSYDCVLRAMRKKGENI EQILDYLFAHGQLCEKGFDPLLVEEALEMHQCSEEKMMEFLQLMSKFKEMGFELKDIKEV LLLHNNDQDNALEDLMARAGAS >ENSMUSP00000103695.3 pep:known chromosome:GRCm38:4:41348996:41389766:1 gene:ENSMUSG00000028437.14 transcript:ENSMUST00000108060.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap1 description:ubiquitin-associated protein 1 [Source:MGI Symbol;Acc:MGI:2149543] MASKKLGTDVHGTFSYLDDVPFKIGDKFKTPAKVGLPIGFSLPDCLQVVREMQYDFSLEK KTIEWAEDIKLIQEAQREAERKAEEAEAKVNSKSGPEGDSKVSFPKTHNTATMPPPINPI LASLQHNNILTPTRVSSSATKQKVLSPPHTKADFNPADFECEEDPFDNLELKTIDEKEEL RNILVGTTGPIMAQLLDSNTARGSSGAVLQDEEVLASLEQATLDFKPLHKPNGFITLPQL GNCEKMSLSSKVSLPPIPTVSNIKSLSFPKLDSDDSNQKTVKLASTFHSTSCLRSGASRS LLKPSTQSSASELNGDHTLGLSALNLNSGTEVPTLTSSQMPSLSSVSVCTEESSPPDPCP TILDYLFAHGQLCEKGFDPLLVEEALEMHQCSEEKMMEFLQLMSKFKEMGFELKDIKEVL LLHNNDQDNALEDLMARAGAS >ENSMUSP00000123491.1 pep:known chromosome:GRCm38:4:41371725:41388692:1 gene:ENSMUSG00000028437.14 transcript:ENSMUST00000132235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap1 description:ubiquitin-associated protein 1 [Source:MGI Symbol;Acc:MGI:2149543] XTFSYLDDVPFKIGDKFKTPAKVGLPIGFSLPDCLQVVREMQVTPLNFSVSQVPNMPSCP QAYLELQALSPSERQCVETVVNMGYSYDCVLRAMRKKGENIEQILDYLFAHGQLCEKGFD PLLVEEALEMHQCSEEKMMEF >ENSMUSP00000107357.1 pep:known chromosome:GRCm38:6:145174834:145210791:-1 gene:ENSMUSG00000043541.16 transcript:ENSMUST00000111728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc1 description:cancer susceptibility candidate 1 [Source:MGI Symbol;Acc:MGI:2444480] MTKAERLRLMQEEEERRLKEEEEARLKFEKEEQERLEIQRIEREKWSLLEKKDLERRSQE LEELALLEGCFPEAEKQKREIRALAQWKHYTECDGSPDPWVAQEMNTFISLWEEEKNQAF EQVMEKSKLVLSLIEKVKLILLETPTYELDHRTVLQHQGSILRLQELLSLKINVATELLL RQASNLADLDTGNMEKIIKDENVTLYVWANLKKNPRHRSVRFSETQIGFEIPRILATSNV ALRLLHTRYDHITPLFPIAVTEQNQNPVGAEQVNVEESTEKAMTEEKLFTEEKAANEDEQ PKAEQERELNLVQEENKYEAIENTVLQRTSDSEGEDSQTTQLELEMKLLSEAVLAAQLCL VENVVELPEASQAYKVDLCHFSTLGGVYHLDVLELPPQCKPVKGWVLVEILQEGLQRFIY PPDTTEEPDPDVTFPPIEVTLEIHKSVIFFERPRVVRWDNEGKFWRSDGISSVYYNREDR LLTFSMDTLGPVTLIQDAHVNMPYQSWEMSPCGMNKVLLIVKTVFMELQIYIKENLCMLA SVKLRGKGLEFHLKGKWMAPIPFILALKEAGLNIFPAVYSHFYVVINNKVREQLSEETEH HTWSLLMFSGDRAQMLKMQEENDKFSEALREGTEFHSTLYHMMKDFASPVAMERVRHSNC QFIDSVCYMLLSIRVLSYS >ENSMUSP00000062279.7 pep:known chromosome:GRCm38:6:145174834:145210970:-1 gene:ENSMUSG00000043541.16 transcript:ENSMUST00000060797.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc1 description:cancer susceptibility candidate 1 [Source:MGI Symbol;Acc:MGI:2444480] MAPKSKKAPSKKKMTKAERLRLMQEEEERRLKEEEEARLKFEKEEQERLEIQRIEREKWS LLEKKDLERRSQELEELALLEGCFPEAEKQKREIRALAQWKHYTECDGSPDPWVAQEMNT FISLWEEEKNQAFEQVMEKSKLVLSLIEKVKLILLETPTYELDHRTVLQHQGSILRLQEL LSLKINVATELLLRQASNLADLDTGNMEKIIKDENVTLYVWANLKKNPRHRSVRFSETQI GFEIPRILATSNVALRLLHTRYDHITPLFPIAVTEQNQNPVGAEQVNVEESTEKAMTEEK LFTEEKAANEDEQPKAEQERELNLVQEENKYEAIENTVLQRTSDSEGEDSQTTQLELEMK LLSEAVLAAQLCLVENVVELPEASQAYKVDLCHFSTLGGVYHLDVLELPPQCKPVKGWVL VEILQEGLQRFIYPPDTTEEPDPDVTFPPIEVTLEIHKSVIFFERPRVVRWDNEGKFWRS DGISSVYYNREDRLLTFSMDTLGPVTLIQDAHVNMPYQSWEMSPCGMNKVLLIVKTVFME LQIYIKENLCMLASVKLRGKGLEFHLKGKWMAPIPFILALKEAGLNIFPAVYSHFYVVIN NKVPQVELKAYRQMALLSSAFSFGWSKWNMVCNSTRVVIRVREQLSEETEHHTWSLLMFS GDRAQMLKMQEENDKFSEALREGTEFHSTLYHMMKDFASPVAMERVRHSNCQFIDSVCYM LLSIRVLSYS >ENSMUSP00000144783.1 pep:known chromosome:GRCm38:6:145174942:145210874:-1 gene:ENSMUSG00000043541.16 transcript:ENSMUST00000204105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc1 description:cancer susceptibility candidate 1 [Source:MGI Symbol;Acc:MGI:2444480] MAPKSKKAPSKKKMTKAERLRLMQEEEERRLKEEEEARLKFEKEEQERLEIQRIEREKWS LLEKKDLERRSQELEELALLEGCFPEAEKQKREIRALAQWKHYTECDGSPDPWVAQEMNT FISLWEEEKNQAFEQVMEKSKLVLSLIEKVKLILLETPTYELDHRTVLQHQGSILRLQEL LSLKINVATELLLRQASNLADLDTGNMEKIIKDENVTLYVWANLKKNPRHRSVRFSETQI GFEIPRILATSNVALRLLHTRYDHITPLFPIAVTEQNQNPVGAEQVNVEESTEKAMTEEK LFTEEKAANEDEQPKAEQERELNLVQEENKYEAIENTVLQRTSDSEGEDSQTTQLELEMK LLSEAVLAAQLCLVENVVELPEASQAYKVDLCHFSTLGGVYHLDVLELPPQCKPVKGWVL VEILQEGLQRFIYPPDTTEEPDPDVTFPPIEVTLEIHKSVIFFERPRVVRWDNEGKFWRS DGISSVYYNREDRLLTFSMDTLGPVTLIQDAHVNMPYQSWEMSPCGMNKVLLIVKTVFME LQIYIKENLCMLASVKLRGKGLEFHLKGKWMAPIPFILALKEAGLNIFPAVYSHFYVVIN NKVPQVELKAYRQMALLSSAFSFGWSKWNMVCNSTRVVIRHWGG >ENSMUSP00000112531.1 pep:known chromosome:GRCm38:3:31902507:32199765:1 gene:ENSMUSG00000037610.15 transcript:ENSMUST00000119310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb2 description:potassium large conductance calcium-activated channel, subfamily M, beta member 2 [Source:MGI Symbol;Acc:MGI:1919663] MFIWTSGRTSSSYRQDEKRNIYQKIRDHDLLDKRKTVTALKAGEDRAILLGLAMMVCSIM MYFLLGITLLRSYMQSVWTEEAQCALLNVSITETFNCSFSCGPDCWKLSQYPCLQVYVNL TSSGERLLLYHTEETMKINQKCSYIPKCGNNFEESMSLVSVVMENFRRHQHFPCYSDPEG NQKSVILTKLYSSNVLFHSLFWPTCMMAGGVAIVAMVKLTQYLSLLCERIQRINR >ENSMUSP00000141656.1 pep:known chromosome:GRCm38:3:31902674:32199765:1 gene:ENSMUSG00000037610.15 transcript:ENSMUST00000192429.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb2 description:potassium large conductance calcium-activated channel, subfamily M, beta member 2 [Source:MGI Symbol;Acc:MGI:1919663] MFIWTSGRTSSSYRQDEKRNIYQKIRDHDLLDKRKTVTALKAGEDRAILLGLAMMVCSIM MYFLLGITLLRSYMQSVWTEEAQCALLNVSITETFNCSFSCGPDCWKLSQYPCLQVYVNL TSSGERLLLYHTEETMKINQKCSYIPKCGNNFEESMSLVSVVMENFRRHQHFPCYSDPEG NQKSVILTKLYSSNVLFHSLFWPTCMMAGGVAIVAMVKLTQYLSLLCERIQRINR >ENSMUSP00000141955.1 pep:known chromosome:GRCm38:3:31902696:32199767:1 gene:ENSMUSG00000037610.15 transcript:ENSMUST00000191869.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb2 description:potassium large conductance calcium-activated channel, subfamily M, beta member 2 [Source:MGI Symbol;Acc:MGI:1919663] MFIWTSGRTSSSYRQDEKRNIYQKIRDHDLLDKRKTVTALKAGEDRAILLGLAMMVCSIM MYFLLGITLLRSYMQSVWTEEAQCALLNVSITETFNCSFSCGPDCWKLSQYPCLQVYVNL TSSGERLLLYHTEETMKINQKCSYIPKCGNNFEESMSLSPFSIYDPATVWETKLVRSLPV N >ENSMUSP00000113234.1 pep:known chromosome:GRCm38:3:31902703:32200180:1 gene:ENSMUSG00000037610.15 transcript:ENSMUST00000119970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb2 description:potassium large conductance calcium-activated channel, subfamily M, beta member 2 [Source:MGI Symbol;Acc:MGI:1919663] MFIWTSGRTSSSYRQDEKRNIYQKIRDHDLLDKRKTVTALKAGEDRAILLGLAMMVCSIM MYFLLGITLLRSYMQSVWTEEAQCALLNVSITETFNCSFSCGPDCWKLSQYPCLQVYVNL TSSGERLLLYHTEETMKINQKCSYIPKCGNNFEESMSLVSVVMENFRRHQHFPCYSDPEG NQKSVILTKLYSSNVLFHSLFWPTCMMAGGVAIVAMVKLTQYLSLLCERIQRINR >ENSMUSP00000141858.1 pep:known chromosome:GRCm38:3:32156114:32198356:1 gene:ENSMUSG00000037610.15 transcript:ENSMUST00000194796.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb2 description:potassium large conductance calcium-activated channel, subfamily M, beta member 2 [Source:MGI Symbol;Acc:MGI:1919663] MFIWTSGRTSSSYRQDEKSAPIFLSVETTLRSPCLS >ENSMUSP00000136596.1 pep:known chromosome:GRCm38:3:31902703:32200178:1 gene:ENSMUSG00000037610.15 transcript:ENSMUST00000178668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb2 description:potassium large conductance calcium-activated channel, subfamily M, beta member 2 [Source:MGI Symbol;Acc:MGI:1919663] MFIWTSGRTSSSYRQDEKRNIYQKIRDHDLLDKRKTVTALKAGEDRAILLGLAMMVCSIM MYFLLGITLLRSYMQSVWTEEAQCALLNVSITETFNCSFSCGPDCWKLSQYPCLQVYVNL TSSGERLLLYHTEETMKINQKCSYIPKCGNNFEESMSLVSVVMENFRRHQHFPCYSDPEG NQKSVILTKLYSSNVLFHSLFWPTCMMAGGVAIVAMVKLTQYLSLLCERIQRINR >ENSMUSP00000136662.1 pep:known chromosome:GRCm38:X:33657172:33658872:1 gene:ENSMUSG00000095934.1 transcript:ENSMUST00000177912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2927 description:predicted gene 2927 [Source:MGI Symbol;Acc:MGI:3781105] MGLLVSRFLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSGYFANILKG TWRESHHGAINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YVLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000117461.1 pep:known chromosome:GRCm38:2:32961559:32964496:1 gene:ENSMUSG00000038900.17 transcript:ENSMUST00000126610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl12 description:ribosomal protein L12 [Source:MGI Symbol;Acc:MGI:98002] MPPKFDPNEVKVVYLRCTGGEVGATSALAPKIGPLGLSPKKVGDDIAKATGDWKGLRITV KLTIQNRQAQIEVVPSASALIIKALKEPPRDRKKQKNIKHSGNITFDEIVNIARQMRHRS LARELSGTIKEILGTAQSVGCNVDGRHPHDIIDDINSGAVECPAS >ENSMUSP00000141294.1 pep:known chromosome:GRCm38:2:32961740:32964043:1 gene:ENSMUSG00000038900.17 transcript:ENSMUST00000102811.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpl12 description:ribosomal protein L12 [Source:MGI Symbol;Acc:MGI:98002] MPPKFDPNEVKVGACPRRARVLSRGLAGLPWALAATCVRGEGARRSGGPCALGVPEVHRR RGRRHIRLGPEDRSSGSVSEESWR >ENSMUSP00000016897.4 pep:known chromosome:GRCm38:15:83483772:83510893:-1 gene:ENSMUSG00000022442.15 transcript:ENSMUST00000016897.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll1 description:tubulin tyrosine ligase-like 1 [Source:MGI Symbol;Acc:MGI:2443047] MAGRVKWVTDIEKSVLINNFEKRGWIQVTENEDWNFYWMSVQTIRNVFSVETGYRLSDDQ IVNHFPNHYELTRKDLMVKNIKRYRKELEKEGSPLAEKDENGKYLYLDFVPVTYMLPADY NLFVEEFRKSPSSTWIMKPCGKAQGKGIFLINKLSQIKKWSRDSKTSSFVSQSTKEAYVI SVYINNPLLIGGRKFDLRLYVLVSTYRPLRCYMYKLGFCRFCTVKYTPSTSELDNMFVHL TNVAIQKHGEDYNHIHGGKWTVNNLRLYLESTRGREVTSKLFDEIHWIIVQSLKAVAPVM NNDKHCFECYGYDIIIDDKLKPWLIEVNASPSLTSSTANDRILKYNLINDTLNIAVPNGE IPDCKWNKSPPKEVLGNYEILYDEELAQGDGAERELRNRPGQPVGPRAGRSRDSGRSVLT TWK >ENSMUSP00000105106.1 pep:known chromosome:GRCm38:15:83483828:83510881:-1 gene:ENSMUSG00000022442.15 transcript:ENSMUST00000109480.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll1 description:tubulin tyrosine ligase-like 1 [Source:MGI Symbol;Acc:MGI:2443047] MAGRVKWVTDIEKSVLINNFEKRGWIQVTENEDWNFYWMSVQTIRNVFSVETGYRLSDDQ IVNHFPNHYELTRKDLMVKNIKRYRKELEKEGSPLAEKDENGKYLYLDFVPVTYMLPADY NLFVEEFRKSPSSTWIMKPCGKAQGKGIFLINKLSQIKKWSRDSKTSSFVSQSTKEAYVI SVYINNPLLIGGRKFDLRLYVLVSTYRPLRCYMYKLGFCRFCTVKYTPSTSELDNMFVHL TNVAIQKHGEDYNHIHGGKWTVNNLRLYLESTRGREVTSKLFDEIHWIIVQSLKAVAPVM NNDKHCFECYGYDIIIDDKLKPWLIEVNASPSLTSSTANDRILKYNLINDTLNIAVPNGE IPDCKWNKSPPKEVLGNYEILYDEELAQGDGAERELRNRPGQPVGPRAGRSRDSGRSVLT TWK >ENSMUSP00000105105.1 pep:known chromosome:GRCm38:15:83491874:83510874:-1 gene:ENSMUSG00000022442.15 transcript:ENSMUST00000109479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll1 description:tubulin tyrosine ligase-like 1 [Source:MGI Symbol;Acc:MGI:2443047] MAGRVKWVTDIEKSVLINNFEKRGWIQVTENEDWNFYWMSVQTIRNVFSVETGYRLSDDQ IVNHFPNHYELTRKDLMVKNIKRYRKELEKEGSPLAEKDENGKYLYLDFVPVTYMLPADY NLFVEEFRKSPSSTWIMKPCGKAQGKGIFLINKLSQIKKWSRDSKTSSFVSQSTKEAYVI SVYINNPLLIGGRKFDLRLYVLVSTYRPLRCYMYKLGFCRFCTVKYTPSTSELDNMFVHL TNVAIQKHGEDYNHIHGGKWTVNNLRLYLESTRGREVTSKLFDEIHWIIVQSLKAVA >ENSMUSP00000117790.1 pep:known chromosome:GRCm38:15:83502136:83510793:-1 gene:ENSMUSG00000022442.15 transcript:ENSMUST00000154401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll1 description:tubulin tyrosine ligase-like 1 [Source:MGI Symbol;Acc:MGI:2443047] MAGRVKWVTDIEKSVLINNFEKRGWIQVTENEDWNFYWMSVQTIRNVFSVETGYRLSDDQ IVNHFPNHYELTRKDLMVKNIKRYRKELE >ENSMUSP00000071710.1 pep:known chromosome:GRCm38:11:49494535:49495464:1 gene:ENSMUSG00000059729.1 transcript:ENSMUST00000071807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1385 description:olfactory receptor 1385 [Source:MGI Symbol;Acc:MGI:3031219] MDSFNATLEERFFLVGFLDWPQLELILFVFISIFYSLTIFGNTAIIALSQMDLRLHTPMY YFLSHLSFLDLCYTTSTVPQLLINLHGLDRTISYGGCVAQLFISLALGSTECVLLVVMAF DRYAAVCRPLHYMTIMHPLLCQALALASWVGGFLNSLIQTGLMMAMPLCGHRLNHFFCEM PVFLKLACQDTGGTEAKMFVARAIILVFPATLILGSYGHIAKAVLKVKSTAGRRKAFGTC GSHLLVVSLFYGSAIYTYLQPKSSYSESDGKFVALFYTIVTPMLNPLIYTLRNKDVKGAL WKVLGRGTD >ENSMUSP00000102160.4 pep:known chromosome:GRCm38:7:118842222:118856254:-1 gene:ENSMUSG00000030980.17 transcript:ENSMUST00000106550.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knop1 description:lysine rich nucleolar protein 1 [Source:MGI Symbol;Acc:MGI:1913606] MDAVSTATQGTGRPRPGRRRISRGRARKSKLTSGSRLRGCSRGDAWPASEPVMVSKTQKA DLGPQLPEKKKKKKKKKRVVANVSEPETQYSVLNSNDYFIDASPPRATSPSNNVDEVQIP EISLSKRKKKKKSCSTHLEECLGAEPTRARQKKSPSPRRQALEQSAEGLIREKKKKRRKS LSKAASQGSGLKTSPDPKHAKEVSKAGRKSKKQRKEKKVPDTEALPPQDAWLYEAGDSLH SCLEGAEAEEQAALGQKRKQGSPRDHNMKKKKKKTHQEGDILLVNSRVSVENSLKKGSKK SVKSEALEFVPIDSPKAPGKKKVKSKKKVEQPVGEGLAVKRKKKKKKKRKENGVKEDPWQ EEKEESDTDLEVVLEKKGNMDETCIDQVRRKALQEEIDRESGKTEASEPKKWTVGLSVKT EASEPKKWTGTQFGQWDTAGFENEEQKLKFLKLMGGFKHLSPSFSRPPSMTIRSNMALDK KSSEMLQQSLQQDYDRAMSWKYSHGAGLGFNSEARKVFYIDRNASKSIKLQD >ENSMUSP00000068142.5 pep:known chromosome:GRCm38:7:118842237:118856001:-1 gene:ENSMUSG00000030980.17 transcript:ENSMUST00000063607.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knop1 description:lysine rich nucleolar protein 1 [Source:MGI Symbol;Acc:MGI:1913606] MDAVSTATQGTGRPRPGRRRISRGRARKSKLTSGSRLRGCSRGDAWPASEPVMVSKTQKA DLGPQLPEKKKKKKKKKRVVANVSEPETQYSVLNSNDYFIDASPPRATSPSNNVDEVQIP EISLSKRKKKKKSCSTHLEECLGAEPTRARQKKSPSPRRQALEQSAEGLIREKKKKRRKS LSKAASQGSGLKTSPDPKHAKEESDTDLEVVLEKKGNMDETCIDQVRRKALQEEIDRESG KTEASEPKKWTVGLSVKTEASEPKKWTGTQFGQWDTAGFENEEQKLKFLKLMGGFKHLSP SFSRPPSMTIRSNMALDKKSSEMLQQSLQQDYDRAMSWKYSHGAGLGFNSEARKVFYIDR NASKSIKLQD >ENSMUSP00000114727.2 pep:known chromosome:GRCm38:7:118843790:118855992:-1 gene:ENSMUSG00000030980.17 transcript:ENSMUST00000126792.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knop1 description:lysine rich nucleolar protein 1 [Source:MGI Symbol;Acc:MGI:1913606] MDAVSTATQGTGRPRPGRRRISRGRARKSKLTSGSRLRGCSRGDAWPASEPVMVSKTQKA DLGPQLPEKKKKKKKKKRVVANVSEPETQYSVLNSNDYFIDASPPRATSPSNNVDEVQIP EISLSKRKKKKKSCSTHLEECLGAEPTRARQKKSPSPRRQALEQSAEGLIREKKKKRRKS LSKAASQGSGLKTSPDPKHAKEVRRKALQEEIDRESGKTEASEPKKWTVGLSVKTEASEP KKWTGTQFGQWDTAGFENEEQKLKFLKLMGGFKHLSPSFSRPPSMTIRSNMALDKKSSEM LQQSLQQDYDRAMSWKYSHGAGLGFNSEARKVFYIDRNASKSIKLQD >ENSMUSP00000033277.8 pep:known chromosome:GRCm38:7:118845394:118855684:-1 gene:ENSMUSG00000030980.17 transcript:ENSMUST00000033277.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knop1 description:lysine rich nucleolar protein 1 [Source:MGI Symbol;Acc:MGI:1913606] XLPDRGCAAAHAGTLGLLANQESDTDLEVVLEKKGNMDETCIDQVRRKALQEEIDRESGK TEASEPKKWTVGLSVKTEASEPKKWTGTQFGQWDTAGFENEEQKLKFLKLMGGFKHLSPS FSRPPSMTIRSNMALDKKSSEMLQQSLQQDYDRAMSWKYSHGAGLGFNSEARKVFYIDRN ASKSIKLQD >ENSMUSP00000102159.1 pep:known chromosome:GRCm38:7:118845501:118855613:-1 gene:ENSMUSG00000030980.17 transcript:ENSMUST00000106549.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knop1 description:lysine rich nucleolar protein 1 [Source:MGI Symbol;Acc:MGI:1913606] MVSKTQKADLGPQLPEKKKKKKKKKRVVANVSEPETQYSVLNSNDYFIDASPPRATSPSN NVDEVQIPEISLSKRKKKKKSCSTHLEECLGAEPTRARQKKSPSPRRQALEQSAEGLIRE KKKKRRKSLSKAASQGSGLKTSPDPKHAKEESDTDLEVVLEKKGNMDETCIDQVRRKALQ EEIDRESGKTEASEPKKWTVGLSVKTEASEPKKWTGTQFGQWDTAGFENEEQKLKFLKLM GGFKHLSPSFSRPPSMTIRSNMALDKKSSEMLQQSLQQDYDRAMSWKYSHGAGLGFNSEA RKVFYIDRNASKSIKLQD >ENSMUSP00000117151.1 pep:known chromosome:GRCm38:7:118852763:118855631:-1 gene:ENSMUSG00000030980.17 transcript:ENSMUST00000152309.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knop1 description:lysine rich nucleolar protein 1 [Source:MGI Symbol;Acc:MGI:1913606] MVSKTQKADLGPQLPEKKKKKKKKKRVVANVSEPETQYSVLNSNDYFIDASPPRATSPSN NVDEVQIPEISLSKRKKKKKSCSTHLEECLGAEPTRARQKKSPSPRRQALEQSAEGLIRE KKKKRRKSLSKAASQGSGLKTSPDPKHAKEVSKAGRKSKKQRKEKKVPDTEALPPQDAWL YEAGDSLHSCLE >ENSMUSP00000111984.2 pep:known chromosome:GRCm38:7:118842222:118855998:-1 gene:ENSMUSG00000030980.17 transcript:ENSMUST00000116280.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knop1 description:lysine rich nucleolar protein 1 [Source:MGI Symbol;Acc:MGI:1913606] MDAVSTATQGTGRPRPGRRRISRGRARKSKLTSGSRLRGCSRGDAWPASEPVMVSKTQKA DLGPQLPEKKKKKKKKKRVVANVSEPETQYSVLNSNDYFIDASPPRATSPSNNVDEVQIP EISLSKRKKKKKSCSTHLEECLGAEPTRARQKKSPSPRRQALEQSAEGLIREKKKKRRKS LSKAASQGSGLKTSPDPKHAKEVSKAGRKSKKQRKEKKVPDTEALPPQDAWLYEAGDSLH SCLEGAEAEEQAALGQKRKQGSPRDHNMKKKKKKTHQEGDILLVNSRVSVENSLKKGSKK SVKSEALEFVPIDSPKAPGKKKVKSKKKVEQPVGEGLAVKRKKKKKKKRKENGVKEDPWQ EEKEESDTDLEVVLEKKGNMDETCIDQVRRKALQEEIDRESGKTEASEPKKWTVGLSVKT EASEPKKWTGTQFGQWDTAGFENEEQKLKFLKLMGGFKHLSPSFSRPPSMTIRSNMALDK KSSEMLQQSLQQDYDRAMSWKYSHGAGLGFNSEARKVFYIDRNASKSIKLQD >ENSMUSP00000051954.2 pep:known chromosome:GRCm38:11:49513618:49514651:1 gene:ENSMUSG00000044170.4 transcript:ENSMUST00000060434.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1384 description:olfactory receptor 1384 [Source:MGI Symbol;Acc:MGI:3031218] MGSFNLSLEGFLLVGFSDWPQLELILLVFISIFYSLTLCGNITIIVLTQQDLHLHTPMYF FLAHLSFLDLCFTSSTVPKLLISLSRGDQTISYAGCMTQFFIALLLGGTECVLLVVMAFD RYVAVCRPLHYTSIMHPLLCHALAISSWVGGLVNSLTQTSLIMTIPLCGHHLNHFFCEML VLLKLACEDTVGTETYLFWAGAVILVCPVALILGTYAHIAHAVLKIKSRSGRRKALGTCG SHLTVVFLFYGSAMYTYLQPIHTYSGSEGKFAALFYTIITPMLNPLIYTLRNKDVKGALC KVLVKGKKETKTRRKMVE >ENSMUSP00000110859.1 pep:known chromosome:GRCm38:16:31663443:31873350:1 gene:ENSMUSG00000022770.16 transcript:ENSMUST00000115205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg1 description:discs, large homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:107231] MPVRKQDTQRALHLLEEYRSKLSQTEDRQLRSSIERVINIFQSNLFQALIDIQEFYEVTL LDNPKCVDHSKQCEPVQPVTTWEIASLPSTAVTSETLPGSLSPPVEKYRYQDEEVLPPEH ISPQVTNEVLGPELVHVSEKNLSEIENVHGFVSHSHISPIKPTEAVPPSSPIVPVTPALP VPAESTVVLPSAPQANPPPVLVNTDSLETPTYVNGTDADYEYEEITLERGNSGLGFSIAG GTDNPHIGDDSSIFITKIITGGAAAQDGRLRVNDCILRVNEADVRDVTHSKAVEALKEAG SIVRLYVKRRKPASEKIMEIKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEGGAAH KDGKLQIGDKLLAVNSVCLEEVTHEEAVTALKNTSDFVYLKVAKPTSMYINDGYAPPDIT NSSSQSVDNHVSPSSCLGQTPTSPARYSPISKAVLGDDEITREPRKVVLHRGSTGLGFNI VGGEDGEGIFISFILAGGPADLSGELRKGDRIISVNSVDLRAASHEQAAAALKNAGQAVT IVAQYRPEEYSRFEAKIHDLREQMMNSSVSSGSGSLRTSQKRSLYVRALFDYDKTKDSGL PSQGLNFRFGDILHVINASDDEWWQARQVTPDGESDEVGVIPSKRRVEKKERARLKTVKF NSKTRGDKGEIPDDMGSKGLKHVTSNASDSESSYRGQEEYVLSYEPVNQQEVNYTRPVII LGPMKDRVNDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVTSREQMEKDIQEHKFI EAGQYNNHLYGTSVQSVRAVAEKGKHCILDVSGNAIKRLQIAQLYPISIFIKPKSMENIM EMNKRLTEEQARKTFERAMKLEQEFTEHFTAIVQGDTLEDIYNQVKQIIEEQSGPYIWVP AKEKL >ENSMUSP00000110855.1 pep:known chromosome:GRCm38:16:31663909:31871846:1 gene:ENSMUSG00000022770.16 transcript:ENSMUST00000115201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg1 description:discs, large homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:107231] MPVRKQDTQRALHLLEEYRSKLSQTEDRQLRSSIERVINIFQSNLFQALIDIQEFYEVTL LDNPKCVDHSKQCEPVQPVTTWEIASLPSTAVTSETLPGSLSPPVEKYRYQDEEVLPPEH ISPQVTNEVLGPELVHVSEKNLSEIENVHGFVSHSHISPIKPTEAVPPSSPIVPVTPALP VPAESTVVLPSAPQANPPPVLVNTDSLETPTYVNGTDADYEYEEITLERGNSGLGFSIAG GTDNPHIGDDSSIFITKIITGGAAAQDGRLRVNDCILRVNEADVRDVTHSKAVEALKEAG SIVRLYVKRRKPASEKIMEIKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEGGAAH KDGKLQIGDKLLAVNSVCLEEVTHEEAVTALKNTSDFVYLKVAKPTSMYINDGYAPPDIT NSSSQSVDNHVSPSSCLGQTPTSPARYSPISKAVLGDDEITREPRKVVLHRGSTGLGFNI VGGEDGEGIFISFILAGGPADLSGELRKGDRIISVNSVDLRAASHEQAAAALKNAGQAVT IVAQYRPEEYSRFEAKIHDLREQMMNSSVSSGSGSLRTSQKRSLYVRALFDYDKTKDSGL PSQGLNFRFGDILHVINASDDEWWQARQVTPDGESDEVGVIPSKRRVEKKERARLKTVKF NSKTRGDKGEIPDDMGSKGLKHVTSNASDSESSYHEYGCSKGGQEEYVLSYEPVNQQEVN YTRPVIILGPMKDRVNDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVTSREQMEKD IQEHKFIEAGQYNNHLYGTSVQSVRAVAEKGKHCILDVSGNAIKRLQIAQLYPISIFIKP KSMENIMEMNKRLTEEQARKTFERAMKLEQEFTEHFTAIVQGDTLEDIYNQVKQIIEEQS GPYIWVPAKEKL >ENSMUSP00000138782.1 pep:known chromosome:GRCm38:16:31663955:31873349:1 gene:ENSMUSG00000022770.16 transcript:ENSMUST00000132176.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dlg1 description:discs, large homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:107231] MPVRKQDTQRALHLLEEYRSKLSQTEDRQLRSSIERVINIFQSNLFQALIDIQEFYEVTL LDNPKCVDHSKQCEPVQPVTTWEIASLPSTAVTSETLPGSLSPPVEKYRYQDEEVLPPEH ISPQVTNEVLGPELVHVSEKNLSEIENVHGFVSHSHISPIKANPPPVLVNTDSLETPTYV NGTDADYEYEEITLERGNSGLGFSIAGGTDNPHIGDDSSIFITKIITGGAAAQDGRLRVN DCILRVNEADVRDVTHSKAVEALKEAGSIVRLYVKRRKPASEKIMEIKLIKGPKGLGFSI AGGVGNQHIPGDNSIYVTKIIEGGAAHKDGKLQIGDKLLAVNSVCLEEVTHEEAVTALKN TSDFVYLKVAKPTTSSQSVDNHVSPSSCLGQTPTSPARYSPISKAVLGDDEITREPRKVV LHRGSTGLGFNIVGGEDGEGIFISFILAGGPADLSGELRKGDRIISVNSVDLRAASHEQA AAALKNAGQAVTIVAQYRPEEYSRFEAKIHDLREQMMNSSVSSGSGSLRTSQKRSLYVSL EPSLIMTRLRTAGFPVKD >ENSMUSP00000064280.7 pep:known chromosome:GRCm38:16:31664039:31873346:1 gene:ENSMUSG00000022770.16 transcript:ENSMUST00000064477.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg1 description:discs, large homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:107231] MPVRKQDTQRALHLLEEYRSKLSQTEDRQLRSSIERVINIFQSNLFQALIDIQEFYEVTL LDNPKCVDHSKQCEPVQPVTTWEIASLPSTAVTSETLPGSLSPPVEKYRYQDEEVLPPEH ISPQVTNEVLGPELVHVSEKNLSEIENVHGFVSHSHISPIKPTEAVPPSSPIVPVTPALP VPAESTVVLPSAPQANPPPVLVNTDSLETPTYVNGTDADYEYEEITLERGNSGLGFSIAG GTDNPHIGDDSSIFITKIITGGAAAQDGRLRVNDCILRVNEADVRDVTHSKAVEALKEAG SIVRLYVKRRKPASEKIMEIKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEGGAAH KDGKLQIGDKLLAVNSVCLEEVTHEEAVTALKNTSDFVYLKVAKPTSMYINDGYAPPDIT NSSSQSVDNHVSPSSCLGQTPTSPARYSPISKAVLGDDEITREPRKVVLHRGSTGLGFNI VGGEDGEGIFISFILAGGPADLSGELRKGDRIISVNSVDLRAASHEQAAAALKNAGQAVT IVAQYRPEEYSRFEAKIHDLREQMMNSSVSSGSGSLRTSQKRSLYVRALFDYDKTKDSGL PSQGLNFRFGDILHVINASDDEWWQARQVTPDGESDEVGVIPSKRRVEKKERARLKTVKF NSKTRGDKGQSFNDKRKKNLFSRKFPFYKNKDQSEQETSDADQHVTSNASDSESSYRGQE EYVLSYEPVNQQEVNYTRPVIILGPMKDRVNDDLISEFPDKFGSCVPHTTRPKRDYEVDG RDYHFVTSREQMEKDIQEHKFIEAGQYNNHLYGTSVQSVRAVAEKGKHCILDVSGNAIKR LQIAQLYPISIFIKPKSMENIMEMNKRLTEEQARKTFERAMKLEQEFTEHFTAIVQGDTL EDIYNQVKQIIEEQSGPYIWVPAKEKL >ENSMUSP00000023454.5 pep:known chromosome:GRCm38:16:31664150:31872684:1 gene:ENSMUSG00000022770.16 transcript:ENSMUST00000023454.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg1 description:discs, large homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:107231] MPVRKQDTQRALHLLEEYRSKLSQTEDRQLRSSIERVINIFQSNLFQALIDIQEFYEVTL LDNPKCVDHSKQCEPVQPVTTWEIASLPSTAVTSETLPGSLSPPVEKYRYQDEEVLPPEH ISPQVTNEVLGPELVHVSEKNLSEIENVHGFVSHSHISPIKANPPPVLVNTDSLETPTYV NGTDADYEYEEITLERGNSGLGFSIAGGTDNPHIGDDSSIFITKIITGGAAAQDGRLRVN DCILRVNEADVRDVTHSKAVEALKEAGSIVRLYVKRRKPASEKIMEIKLIKGPKGLGFSI AGGVGNQHIPGDNSIYVTKIIEGGAAHKDGKLQIGDKLLAVNSVCLEEVTHEEAVTALKN TSDFVYLKVAKPTSMYINDGYAPPDITNSSSQSVDNHVSPSSCLGQTPTSPARYSPISKA VLGDDEITREPRKVVLHRGSTGLGFNIVGGEDGEGIFISFILAGGPADLSGELRKGDRII SVNSVDLRAASHEQAAAALKNAGQAVTIVAQYRPEEYSRFEAKIHDLREQMMNSSVSSGS GSLRTSQKRSLYVRALFDYDKTKDSGLPSQGLNFRFGDILHVINASDDEWWQARQVTPDG ESDEVGVIPSKRRVEKKERARLKTVKFNSKTRGDKGEIPDDMGSKGLKHVTSNASDSESS YRGQEEYVLSYEPVNQQEVNYTRPVIILGPMKDRVNDDLISEFPDKFGSCVPHTTRPKRD YEVDGRDYHFVTSREQMEKDIQEHKFIEAGQYNNHLYGTSVQSVRAVAEKGKHCILDVSG NAIKRLQIAQLYPISIFIKPKSMENIMEMNKRLTEEQARKTFERAMKLEQEFTEHFTAIV QGDTLEDIYNQVKQIIEEQSGPYIWVPAKEKL >ENSMUSP00000110850.1 pep:known chromosome:GRCm38:16:31754350:31872261:1 gene:ENSMUSG00000022770.16 transcript:ENSMUST00000115196.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg1 description:discs, large homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:107231] MNYIFGNNTLLYSRASRGGNASSSHGSVGPKQKHWAKKGSSDELQAEPEPSRWQQIVAFF TRRHSFIDCISVATSSTQPTEAVPPSSPIVPVTPALPVPAESTVVLPSAPQANPPPVLVN TDSLETPTYVNGTDADYEYEEITLERGNSGLGFSIAGGTDNPHIGDDSSIFITKIITGGA AAQDGRLRVNDCILRVNEADVRDVTHSKAVEALKEAGSIVRLYVKRRKPASEKIMEIKLI KGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEGGAAHKDGKLQIGDKLLAVNSVCLEEVT HEEAVTALKNTSDFVYLKVAKPTSMYINDGYAPPDITNSSSQSVDNHVSPSSCLGQTPTS PARYSPISKAVLGDDEITREPRKVVLHRGSTGLGFNIVGGEDGEGIFISFILAGGPADLS GELRKGDRIISVNSVDLRAASHEQAAAALKNAGQAVTIVAQYRPEEYSRFEAKIHDLREQ MMNSSVSSGSGSLRTSQKRSLYVRALFDYDKTKDSGLPSQGLNFRFGDILHVINASDDEW WQARQVTPDGESDEVGVIPSKRRVEKKERARLKTVKFNSKTRGDKGEIPDDMGSKGLKHV TSNASDSESSYLILITDEYGCSKGGQEEYVLSYEPVNQQEVNYTRPVIILGPMKDRVNDD LISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVTSREQMEKDIQEHKFIEAGQYNNHLYG TSVQSVRAVAEKGKHCILDVSGNAIKRLQIAQLYPISIFIKPKSMENIMEMNKRLTEEQA RKTFERAMKLEQEFTEHFTAIVQGDTLEDIYNQVKQIIEEQSGPYIWVPAKEKL >ENSMUSP00000115954.1 pep:known chromosome:GRCm38:16:31791771:31842818:1 gene:ENSMUSG00000022770.16 transcript:ENSMUST00000131136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg1 description:discs, large homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:107231] XKAVEALKEAGSIVRLYVKRRKPASEKIMEIKLIKGPKGLGFSIAGGVGNQHIPGDNSIY VTKIIEGGAAHKDGKLQIGDKLLAVNSVCLEEVTHEEAVTALKNTSDFVYLKVAKPTTSS QSVDNHVSPSSCLGQTPTSPARYSPISKAVLGDDEITREPRKVVLHRGSTGLGFNIVGGE DGEGIFISFILAGGPADLSGELRKGDRIISVNSVDLRAASHEQAAAALKNAGQAVTIVAQ YRPEEYSRFEAKIHDLREQMMNSSVSSGSGSLRTSQKRSLYVRALFDYDKTKDSGLPSQG LNFRFGDILHVINASDDEWWQARQVTPDGESDEVGVIPSKRRVEKKERARLKTVKFNS >ENSMUSP00000138804.1 pep:known chromosome:GRCm38:16:31836270:31857961:1 gene:ENSMUSG00000022770.16 transcript:ENSMUST00000130920.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dlg1 description:discs, large homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:107231] XFEPARSAPSMSEPSLIMTRLRTAGFPVKD >ENSMUSP00000097581.3 pep:known chromosome:GRCm38:16:31663935:31873356:1 gene:ENSMUSG00000022770.16 transcript:ENSMUST00000100001.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg1 description:discs, large homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:107231] MPVRKQDTQRALHLLEEYRSKLSQTEDRQLRSSIERVINIFQSNLFQALIDIQEFYEVTL LDNPKCVDHSKQCEPVQPVTTWEIASLPSTAVTSETLPGSLSPPVEKYRYQDEEVLPPEH ISPQVTNEVLGPELVHVSEKNLSEIENVHGFVSHSHISPIKPTEAVPPSSPIVPVTPALP VPAESTVVLPSAPQANPPPVLVNTDSLETPTYVNGTDADYEYEEITLERGNSGLGFSIAG GTDNPHIGDDSSIFITKIITGGAAAQDGRLRVNDCILRVNEADVRDVTHSKAVEALKEAG SIVRLYVKRRKPASEKIMEIKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEGGAAH KDGKLQIGDKLLAVNSVCLEEVTHEEAVTALKNTSDFVYLKVAKPTSMYINDGYAPPDIT NSSSQSVDNHVSPSSCLGQTPTSPARYSPISKAVLGDDEITREPRKVVLHRGSTGLGFNI VGGEDGEGIFISFILAGGPADLSGELRKGDRIISVNSVDLRAASHEQAAAALKNAGQAVT IVAQYRPEEYSRFEAKIHDLREQMMNSSVSSGSGSLRTSQKRSLYVRALFDYDKTKDSGL PSQGLNFRFGDILHVINASDDEWWQARQVTPDGESDEVGVIPSKRRVEKKERARLKTVKF NSKTRGDKGEIPDDMGSKGLKHVTSNASDSESSYRGQEEYVLSYEPVNQQEVNYTRPVII LGPMKDRVNDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVTSREQMEKDIQEHKFI EAGQYNNHLYGTSVQSVRAVAEKGKHCILDVSGNAIKRLQIAQLYPISIFIKPKSMENIM EMNKRLTEEQARKTFERAMKLEQEFTEHFTAIVQGDTLEDIYNQVKQIIEEQSGPYIWVP AKEKL >ENSMUSP00000112988.1 pep:known chromosome:GRCm38:7:84109356:84151893:-1 gene:ENSMUSG00000038459.10 transcript:ENSMUST00000117085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd17c description:abhydrolase domain containing 17C [Source:MGI Symbol;Acc:MGI:1917428] MPEPGPRMNGFSLGELCWLFCCPPCPSRIAAKLAFLPPEPTYTVLAPEQRAPAPAATPAP APAAQPAPAEEGAGPGACSLHLSERADWQYSQRELDAVEVFFSRTARDNRLGCMFVRCAP SSRYTLLFSHGNAVDLGQMCSFYIGLGSRINCNIFSYDYSGYGVSSGKPSEKNLYADIDA AWQALRTRYGVSPENIILYGQSIGTVPTVDLASRYECAAVILHSPLMSGLRVAFPDTRKT YCFDAFPSIDKISKVTSPVLVIHGTEDEVIDFSHGLAMYERCPRAVEPLWVEGAGHNDIE LYAQYLERLKQFISHELPNS >ENSMUSP00000099222.3 pep:known chromosome:GRCm38:X:6081219:6083419:-1 gene:ENSMUSG00000073294.4 transcript:ENSMUST00000101698.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU022751 description:expressed sequence AU022751 [Source:MGI Symbol;Acc:MGI:2147968] MASSSSPERGLEALRDTDESEGEAPGPSGPRGRGGPSGAGSALRLRSLEAEMAAACVTST AVGNLEAPGPTLRSSTSQGSGSTPVPEALRCAESSRAESDQSSPAGRELRQQASPRAPDD DDDGDGGPDPRGSGTPEGWVLRSGVVPFGRRSSASEVSPEEVRPEAQCTGWNLRPRPRSS ASAVSPEARPKAQSAGRNLRPRPRSSASVVSPEARPKAQSAGRNLRPRPRSSASVVSPEA RPEAQSAGRNLRPRATPRVPVAPSSTTRSSSDRGSSRAPRSRSRSRSCSTPRLGSDHQRS RKIKMRLDLQVDREPESEAEQEEQELESEPGPSSRPQASRSSSRFAVPGRSSLAAEDSPP RRPVRMRASSPSPPGRLYPLPKHYFEGVHSPSSSSSESSSVSSSHSPLNKAPDPGSSPPL SSLSGPNPFWLALIADLDNLDSSSPRVPGEEIEAAPHTREEEDKKCRG >ENSMUSP00000114041.1 pep:known chromosome:GRCm38:X:6081219:6083419:-1 gene:ENSMUSG00000073294.4 transcript:ENSMUST00000117544.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU022751 description:expressed sequence AU022751 [Source:MGI Symbol;Acc:MGI:2147968] MASSSSPERGLEALRDTDESEGEAPGPSGPRGRGGPSGAGSALRLRSLEAEMAAACVTST AGEDLGTFSEPGSQHGDPEGGGGPDLELGHARPMMRSQRELGLTPKGGGKADQGGKGRKG GSGSPPHTKSSRKREQPNPNRSLMAQGAAGPPLPGARGSPAMPQPESSLSPRPDQSHHFD FPVGNLEAPGPTLRSSTSQGSGSTPVPEALRCAESSRAESDQSSPAGRELRQQASPRAPD DDDDGDGGPDPRGSGTPEGWVLRSGVVPFGRRSSASEVSPEEVRPEAQCTGWNLRPRPRS SASAVSPEARPKAQSAGRNLRPRPRSSASVVSPEARPKAQSAGRNLRPRPRSSASVVSPE ARPEAQSAGRNLRPRATPRVPVAPSSTTRSSSDRGSSRAPRSRSRSRSCSTPRLGSDHQR SRKIKMRLDLQVDREPESEAEQEEQELESEPGPSSRPQASRSSSRFAVPGRSSLAAEDSP PRRPVRMRASSPSPPGRLYPLPKHYFEGVHSPSSSSSESSSVSSSHSPLNKAPDPGSSPP LSSLSGPNPFWLALIADLDNLDSSSPRVPGEEIEAAPHTREEEDKKCRG >ENSMUSP00000107579.1 pep:known chromosome:GRCm38:19:45075241:45079289:1 gene:ENSMUSG00000025213.11 transcript:ENSMUST00000111948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kazald1 description:Kazal-type serine peptidase inhibitor domain 1 [Source:MGI Symbol;Acc:MGI:2147606] MPRVFTGLPANYAAPTLALSLLLPLLLVVWTQLPVSARPSTGPDYLRRGWLRLLAEGEGC APCRPEECAAPRGCLAGRVRDACGCCWECANLEGQLCDLDPSANFYGRCGEQLECRLDAG GDLSRGEVPEPLCVCRSQRPLCGSDGRTYAQICRLQEAARARLDANLTVVHPGPCESEPQ ILSQPHNIWNVTGQDVIFGCEVFAYPMASIEWRKDGLDIQLPGDDPHISVQFRGGPQKFE VTGWLQIQALRPSDEGTYRCLARNALGQAEASATLTVLTPEQLNATGFSQLQSRSLFPEE EEEAESEELGDYY >ENSMUSP00000026234.4 pep:known chromosome:GRCm38:19:45076139:45079289:1 gene:ENSMUSG00000025213.11 transcript:ENSMUST00000026234.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kazald1 description:Kazal-type serine peptidase inhibitor domain 1 [Source:MGI Symbol;Acc:MGI:2147606] MPRVFTGLPANYAAPTLALSLLLPLLLVVWTQLPVSARPSTGPDYLRRGWLRLLAEGEGC APCRPEECAAPRGCLAGRVRDACGCCWECANLEGQLCDLDPSANFYGRCGEQLECRLDAG GDLSRGEVPEPLCVCRSQRPLCGSDGRTYAQICRLQEAARARLDANLTVVHPGPCESEPQ ILSQPHNIWNVTGQDVIFGCEVFAYPMASIEWRKDGLDIQLPGDDPHISVQFRGGPQKFE VTGWLQIQALRPSDEGTYRCLARNALGQAEASATLTVLTPEQLNATGFSQLQSRSLFPEE EEEAESEELGDYY >ENSMUSP00000053842.5 pep:known chromosome:GRCm38:16:4684070:4707695:1 gene:ENSMUSG00000004069.16 transcript:ENSMUST00000060067.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja3 description:DnaJ heat shock protein family (Hsp40) member A3 [Source:MGI Symbol;Acc:MGI:1933786] MAAWCSPRWLRVAVGTPRLPAAAGRGVQQPQGGVVATSLCRKLCVSAFGLSMGAHGPRAL LTLRPGVRLTGTKSFPFVCTTSFHTSASLAKDDYYQILGVPRNASQKDIKKAYYQLAKKY HPDTNKDDPKAKEKFSQLAEAYEVLSDEVKRKQYDAYGSAGFDPGTSSSGQGYWRGGPSV DPEELFRKIFGEFSSSPFGDFQNVFDQPQEYIMELTFNQAAKGVNKEFTVNIMDTCERCD GKGNEPGTKVQHCHYCGGSGMETINTGPFVMRSTCRRCGGRGSIITNPCVVCRGAGQAKQ KKRVTIPVPAGVEDGQTVRMPVGKREIFVTFRVQKSPVFRRDGADIHSDLFISIAQAILG GTAKAQGLYETINVTIPAGIQTDQKIRLTGKGIPRINSYGYGDHYIHIKIRVPKRLSSRQ QNLILSYAEDETDVEGTVNGVTHTSTGGRTMDSSAGSKDRREAGEDNEGFLSKLKKIFTS >ENSMUSP00000111520.3 pep:known chromosome:GRCm38:16:4684096:4707695:1 gene:ENSMUSG00000004069.16 transcript:ENSMUST00000115854.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja3 description:DnaJ heat shock protein family (Hsp40) member A3 [Source:MGI Symbol;Acc:MGI:1933786] MAAWCSPRWLRVAVGTPRLPAAAGRGVQQPQGGVVATSLCRKLCVSAFGLSMGAHGPRAL LTLRPGVRLTGTKSFPFVCTTSFHTSASLAKDDYYQILGVPRNASQKDIKKAYYQLAKKY HPDTNKDDPKAKEKFSQLAEAYEVLSDEVKRKQYDAYGSAGFDPGTSSSGQGYWRGGPSV DPEELFRKIFGEFSSSPFGDFQNVFDQPQEYIMELTFNQAAKGVNKEFTVNIMDTCERCD GKGNEPGTKVQHCHYCGGSGMETINTGPFVMRSTCRRCGGRGSIITNPCVVCRGAGQAKQ KKRVTIPVPAGVEDGQTVRMPVGKREIFVTFRVQKSPVFRRDGADIHSDLFISIAQAILG GTAKAQGLYETINVTIPAGIQTDQKIRLTGKGIPRINSYGYGDHYIHIKIRVPKRLSSRQ QNLILSYAEDETDVEGTVNGVTHTSTGKRSTGN >ENSMUSP00000107089.1 pep:known chromosome:GRCm38:2:90904740:90910561:1 gene:ENSMUSG00000005505.12 transcript:ENSMUST00000111464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd4 description:kelch repeat and BTB (POZ) domain containing 4 [Source:MGI Symbol;Acc:MGI:1914386] MKGGIADSWQREKLATMESPEEPGASMDENYFVNYTFKDRSHSGRVAQGIMKLCLEEELF ADVTISVEGREFQLHRLVLSAQSCFFRSMFTSNLKEAHNRVIVLQDVSESVFQLLVDYIY HGTVKLRADELQEIYEVSDMYQLTSLFEECSRFLARTVQVGNCLQVMWLADRHSDPELYT AAKHCAKTHLAQLQSTEEFLHLPHHLLTDIISDGVPCSQNPTEAIEAWINFNKEEREAFA ESLRTSLKEIGENVHIYLIGKESSRTHSLAVSLHCAEDDSISVSGQNSLCHQITAACKHG GDLYVVGGSIPRRMWKCNNATVDWEWCAPLPRDRLQHTLVSVPGKDAIYSLGGKTLQDTL SNAVIYYRVGDNVWTETTQLEVAVSGAAGANLNGIIYLLGGEENDLDFFTKPSRLIQCFD TETDKCHVKPYVLPFAGRMHAAVHKDLVFIVAEGDSLVCYNPLLDSFTRLCLPEAWSSAP SLWKIASCNGSIYVFRDRYKKGDANTYKLDPATSAVTVTRGIKVLLTNLQFVLA >ENSMUSP00000088179.3 pep:known chromosome:GRCm38:2:90904743:90910561:1 gene:ENSMUSG00000005505.12 transcript:ENSMUST00000090682.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd4 description:kelch repeat and BTB (POZ) domain containing 4 [Source:MGI Symbol;Acc:MGI:1914386] MESPEEPGASMDENYFVNYTFKDRSHSGRVAQGIMKLCLEEELFADVTISVEGREFQLHR LVLSAQSCFFRSMFTSNLKEAHNRVIVLQDVSESVFQLLVDYIYHGTVKLRADELQEIYE VSDMYQLTSLFEECSRFLARTVQVGNCLQVMWLADRHSDPELYTAAKHCAKTHLAQLQST EEFLHLPHHLLTDIISDGVPCSQNPTEAIEAWINFNKEEREAFAESLRTSLKEIGENVHI YLIGKESSRTHSLAVSLHCAEDDSISVSGQNSLCHQITAACKHGGDLYVVGGSIPRRMWK CNNATVDWEWCAPLPRDRLQHTLVSVPGKDAIYSLGGKTLQDTLSNAVIYYRVGDNVWTE TTQLEVAVSGAAGANLNGIIYLLGGEENDLDFFTKPSRLIQCFDTETDKCHVKPYVLPFA GRMHAAVHKDLVFIVAEGDSLVCYNPLLDSFTRLCLPEAWSSAPSLWKIASCNGSIYVFR DRYKKGDANTYKLDPATSAVTVTRGIKVLLTNLQFVLA >ENSMUSP00000058050.3 pep:known chromosome:GRCm38:3:94398517:94404501:1 gene:ENSMUSG00000044505.5 transcript:ENSMUST00000050975.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lingo4 description:leucine rich repeat and Ig domain containing 4 [Source:MGI Symbol;Acc:MGI:2444651] MGQAPALERCSAQLDATPQLLRPQGMDAATAPKQAWLPWSPLLFLLLLPGGSISSCPTVC DCTSQTRAVFCAHRRLDTIPGGLPLDTELLDLSGNRLWGLQRGMLSRLGQLQELDLSYNQ LSTLEPGAFHGLQSLLTLRLQGNRLRIVGPGIFSGLTALTLLDLRLNQIVLFLDGAFSEL GSLQQLEVGDNHLVFVAPGAFAGLAKLSTITLERCNLSTVPGLALAQLPALVALRLRELD IERLPAGALRGLGQLKELEIHHWPSLEALDPGSLVGLNLSSLAITRCNLSSVPFQALHHL SFLRILDLSQNPISAIPARRLSPLVRLQELRLSGACLTSIAAHAFHGLTAFHLLDVADNA LQTLEETAFPSPDKLVTLRLSGNPLTCDCRLLWLLRLRRRLDFGTSPPACAGPQHVQGKS LREFSDILPPGHFTCKPALIRKSGPRWVIAEEGGHAVFSCSGDGDPAPTVSWMRPQGAWL GRVGRVRVLEDGTLEIRSVQLRDRGAYVCVVSNVAGNDSLRTWLEVIQVEPPNGTLSDPN ITMPGIPGPFFLDSRGVAMVLAVGFLPFLTSVTLCFGLIALWSKGKGRVKHHMTFDFVAP RPSGDKNSGGNRVTAKLF >ENSMUSP00000119396.1 pep:known chromosome:GRCm38:11:120467635:120477332:1 gene:ENSMUSG00000025793.15 transcript:ENSMUST00000140862.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgs description:HGF-regulated tyrosine kinase substrate [Source:MGI Symbol;Acc:MGI:104681] MGRGSGTFERLLDKATSQLLLETDWESILQICDLIRQGDTQAKYAVNSIKKKVNDKNPHV ALYALEVMESVVKNCGQTVHDEVANKQTMEELKELLKAWAHAFRNEPKYKVVQDTYQIMK VEGHVFPEFKESDAMFAAERAPDWVDAEECHRCRVQFGVVTRKHHCRACGQIFCGKCSSK YSTIPKFGIEKEVRVCEPCYEQLNKKAEGKASSTTELPPEYLTSPLSQQSQLPPKRDETA LQEEE >ENSMUSP00000101811.1 pep:known chromosome:GRCm38:11:120467635:120483979:1 gene:ENSMUSG00000025793.15 transcript:ENSMUST00000106205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgs description:HGF-regulated tyrosine kinase substrate [Source:MGI Symbol;Acc:MGI:104681] MGRGSGTFERLLDKATSQLLLETDWESILQICDLIRQGDTQAKYAVNSIKKKVNDKNPHV ALYALEVMESVVKNCGQTVHDEVANKQTMEELKELLKRQVEVNVRNKILYLIQAWAHAFR NEPKYKVVQDTYQIMKVEGHVFPEFKESDAMFAAERAPDWVDAEECHRCRVQFGVVTRKH HCRACGQIFCGKCSSKYSTIPKFGIEKEVRVCEPCYEQLNKKAEGKASSTTELPPEYLTS PLSQQSQLPPKRDETALQEEEELQLALALSQSEAEEKERMRQKTTYTAHPKAEPTPLASS APPAGSLYSSPVNSSAPLAEDIDPELARYLNRNYWEKKQEEARKSPTPSAPVPLTEPAAQ PGEGHTAPNSMAEAPLPETDSQPITPCSGPFSEYQNGESEESHEQFLKALQNAVSTFVNR MKSNHMRGRSITNDSAVLSLFQSINTMHPQLLELLNQLDERRLYYEGLQDKLAQIRDARG ALSALREEHREKLRRAAEEAERQRQIQLAQKLEIMRQKKQEYLEVQRQLAIQRLQEQEKE RQMRLEQQKQTVQMRAQMPAFPLPYAQAGYAHGWGCTLPALRPNQLPCHLQPSRLSRGLS DAWCVYEPASPSHWPLPQHAWHNSRSQHGQRLHVPNRCPWGTGSPSGPGRAHHQSCLLLL PAHTNPRLPERGFSGPTEPPSHLTASTDQQHRLHGEPANVHGLPAVQYAESHDRPSRAGC VSASPAALHPRAAAPVPADGPQHRPSPAATPCGPASAYTGTASTGQ >ENSMUSP00000101809.1 pep:known chromosome:GRCm38:11:120467635:120483979:1 gene:ENSMUSG00000025793.15 transcript:ENSMUST00000106203.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgs description:HGF-regulated tyrosine kinase substrate [Source:MGI Symbol;Acc:MGI:104681] MGRGSGTFERLLDKATSQLLLETDWESILQICDLIRQGDTQAKYAVNSIKKKVNDKNPHV ALYALEVMESVVKNCGQTVHDEVANKQTMEELKELLKRQVEVNVRNKILYLIQAWAHAFR NEPKYKVVQDTYQIMKVEGHVFPEFKESDAMFAAERAPDWVDAEECHRCRVQFGVVTRKH HCRACGQIFCGKCSSKYSTIPKFGIEKEVRVCEPCYEQLNKKAEGKASSTTELPPEYLTS PLSQQSQLPPKRDETALQEEEELQLALALSQSEAEEKERMRQKTTYTAHPKAEPTPLASS APPAGSLYSSPVNSSAPLAEDIDPELARYLNRNYWEKKQEEARKSPTPSAPVPLTEPAAQ PGEGHTAPNSMAEAPLPETDSQPITPCSGPFSEQYQNGESEESHEQFLKALQNAVSTFVN RMKSNHMRGRSITNDSAVLSLFQSINTMHPQLLELLNQLDERRLYYEGLQDKLAQIRDAR GALSALREEHREKLRRAAEEAERQRQIQLAQKLEIMRQKKQEYLEVQRQLAIQRLQEQEK ERQMRLEQQKQTVQMRAQMPAFPLPYAQAGYAHGWGCTLPALRPNQLPCHLQPSRLSRGL SDAWCVYEPASPSHWPLPQHAWHNSRSQHGQRLHVPNRCPWGTGSPSGPGRAHHQSCLLL LPAHTNPRLPERGFSGPTEPPSHLTASTDQQHRLHGEPANVHGLPAVQYAESHDRPSRAG CVSASPAALHPRAAAPVPADGPQHRPSPAATPCGPASAYTGTASTGQ >ENSMUSP00000115037.1 pep:known chromosome:GRCm38:11:120477515:120480187:1 gene:ENSMUSG00000025793.15 transcript:ENSMUST00000135231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgs description:HGF-regulated tyrosine kinase substrate [Source:MGI Symbol;Acc:MGI:104681] XQRQKTTYTAHPKAEPTPLASSAPPAGSLYSSPVNSSAPLAEDIDPELARYLNRNYWEKK QEEARKSPTPSAPVPLTEPAAQPGEGHTAPNSMAEAPLPETDSQPITPCSGPFSESINTM HPQLLELLNQLDERRLYYEGLQDKLAQIRDARGALSALREEHREKLRRAAEEAERQRQIQ LAQKLEIMRQKKQEYLEVQRQLAIQRLQEQEKERQMRLEQQKQTVQMRAQMPAFPLPYAQ AGYAHGWGCTLPALRPNQLPCHLQPSRLSRGLSDAWCVYEPASPSHWPLPQHAW >ENSMUSP00000026900.7 pep:known chromosome:GRCm38:11:120467635:120483984:1 gene:ENSMUSG00000025793.15 transcript:ENSMUST00000026900.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgs description:HGF-regulated tyrosine kinase substrate [Source:MGI Symbol;Acc:MGI:104681] MGRGSGTFERLLDKATSQLLLETDWESILQICDLIRQGDTQAKYAVNSIKKKVNDKNPHV ALYALEVMESVVKNCGQTVHDEVANKQTMEELKELLKRQVEVNVRNKILYLIQAWAHAFR NEPKYKVVQDTYQIMKVEGHVFPEFKESDAMFAAERAPDWVDAEECHRCRVQFGVVTRKH HCRACGQIFCGKCSSKYSTIPKFGIEKEVRVCEPCYEQLNKKAEGKASSTTELPPEYLTS PLSQQSQLPPKRDETALQEEEELQLALALSQSEAEEKERMRQKTTYTAHPKAEPTPLASS APPAGSLYSSPVNSSAPLAEDIDPELARYLNRNYWEKKQEEARKSPTPSAPVPLTEPAAQ PGEGHTAPNSMAEAPLPETDSQPITPCSGPFSEQYQNGESEESHEQFLKALQNAVSTFVN RMKSNHMRGRSITNDSAVLSLFQSINTMHPQLLELLNQLDERRLYYEGLQDKLAQIRDAR GALSALREEHREKLRRAAEEAERQRQIQLAQKLEIMRQKKQEYLEVQRQLAIQRLQEQEK ERQMRLEQQKQTVQMRAQMPAFPLPYAQLQAMPTAGGVLYQPSGPTSFPATFSPAGSVEG SPMHGVYMSQPAPATGPYPSMPGTTADPSMVSAYMYPTGAPGAQAAPQAQAGPTTSPAYS SYQPTPTPGYQSVASQAPQSLPAISQPPQTSNIGYMGSQPMSMGYQPYNMQNLMTALPGQ DASLPAQQPYIPGQQPLYQQMAPSTGPPQQQPPVAQPAPTQGPPAQGSEAQLISFD >ENSMUSP00000056313.5 pep:known chromosome:GRCm38:X:13466110:13489313:1 gene:ENSMUSG00000051228.5 transcript:ENSMUST00000050434.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nyx description:nyctalopin [Source:MGI Symbol;Acc:MGI:2448607] MLILLLHAVVFSLPYTRATEACLRACPAACTCSHVERGCSVRCDRAGLQRVPQEFPCEAA SIDLDRNGLRILGERAFGTLPSLRRLSLRHNNLSFITPGAFKGLPRLAELRLAHNGELRY LHVRTFAALGRLRRLDLAACRLFSVPERLLAELPALRELTAFDNLFRRVPGALRGLANLT HAHFERSRIEAVASGSLLGMRRLRSLSLQANRVRAVHAGAFGDCGALEDLLLNDNLLATL PAAAFRGLRRLRTLNLGGNALGSVARAWFSDLAELELLYLDRNSITFVEEGAFQNLSGLL ALHLNGNRLTVLSWAAFQPGFFLGRLFLFRNPWRCDCQLEWLRDWMEGSGRVADVACASP GSVAGQDLSQVVFERSSDGLCVDPDELNFTTSSPGPSPEPVATTVSRFSSLLSKLLAPRA PVEEVANTTWELVNVSLNDSFRSHAVMVFCYKATFLFTSCVLLSLAQYVVVGLQRE >ENSMUSP00000117252.1 pep:known chromosome:GRCm38:13:38151328:38198577:1 gene:ENSMUSG00000054889.9 transcript:ENSMUST00000127906.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsp description:desmoplakin [Source:MGI Symbol;Acc:MGI:109611] MSCNGGSHPRINTLGRMTRAESGPDLRYEMTYSGGGGGGGGGGGGGTSRTFYSHSRRCTV NDQNSDGYCQTGTMSRHQNQNTIQEMLQNCSDCLMRAELIAQPELKFGEGMQLAWNRELD EYFTQANDQMEIIDGLIREMRQMGQPCDAYQKRLLQLQEQMRALYKAISVPRVRRASSKG AGGYTCQSGSGWDEFTKRLTGECLGWMRQQREEMDLMAWGVDAGSVEQHINSHRSIHNTI GDYRWQLDKIKADLREKSAIYQLEEEYENLLKASFERMDHLRQLQNIIQATSREIMWIND CEEEELLYDWSDKNTNIAQKQEAFSIRMSQLEVKEKELNKLKQESDQLVLNQHPASDKIE AYMDTLQTQWSWILQITKCIDVHLKENAAYFQFFEEAQSTEAYLKGLQDSIRKKYPCDKN MPLQHLLEQIKELEKEREKIIEYKRQVQNLVNKSKKIVQLKPRNPDYRSNKPIILRALCD YKQDQKIVHKGDECILKDNNERSKWYVTGPGGVDMLVPSVGLIIPPPNPLAVDLSCKIEQ YYEAILALWNQLYINMKSLVSWHYCMIDIEKIRAMTIAKLKTMRQEDYMKTIEDLELHYQ DFIKNSQGSEMFGDDDKRRMQSQFTDAQKHYQTLVIQLPGHPQHQTVTKTEITHLGTCQD VNHNKVIETNRENDKQETWLLMELQKIRRQMEHCEARMTLKNLLLAEQGSTHHITVKINE LKSVQNDSQALAEVLNQLKDMLANFRGSEKYCYLQNEIFGLFQKLENINGVSDGYLNSLC SVRALLQAILQTEDMLKVYEARLTEEETVCLDLDKVEAYRCGLKKIKNDLNLKKSLLATM KTELQKAQQIHSQSSQQYPLYDLDLGKFTEKVTQLTDRWQKIDKQIDFRLWDLEKQIKQL RNYRDNYQSFCKWLYDAKRRQDSLESMKFGDSNTVMRFLNEQKNLHSEISGKRDKSEEVH KIAELCANSIKDYELQLASYTSGLETLLNIPIKRTMVQSPSGVILQEAADIHARYIELLT RSGDYYRFLSEMLKSLEDLKLKNTKIEVLEEELRLARDANSENCNKNKFLDQNLQKYQAE CSQFKAKLVSLEELKRQAELDGKSAKQNLDKCYGQIKELNEKITRLTYEIEDEKRRRKTV EDRFDQQKNDYDQLQKARQCEKENLSWQKLESEKAIKEKEYEIERLRVLLQEEGARKREY ENELAKASNRIQESKSQCTQVVQERESLLVKIKVLEQDKARLQRLEDELNRAKATLEAES RVKQRLECEKQQIQNDLNQWKTQYSRKEETIRKIESEREKSEREKNSLRSEIERLQAEIK RIEERCRRKLEDSSRETQSQLESERCRLQKEIEKLRQRPYGSHRETQTEYEWTVDSSKLV FDGLRKKVTAMQLYECQLIDKTTLDKLLKGKKSVEEVASEIQPFLRGAGAIAGASASPKE KYSLVEAKRKKFITPESTVMLLEAQAATGGIIDPHRNEKLTVDNAVARDLIDFDDRQQIY TAEKAITGFDDPFSGKTVSVSEAIKKNLIDRETGMRLLEAQLASGGVVDPVNSVFLPKDV ALARGLIDRDLYRSLNDPRDSQKNFVDPITKKKVSYMQLRERCRIEPHTGLLLLSVQKRS MSFQGIRQPVTVTELVDSGILRPSTVNELESGQISYDEVGERIKDFLQGSSCIAGIYNET TKQKLGIYEAMKIGLVRPGTALELLEAQAATGFIVDPVSNLRLPVEEAYKRGLVGIEFKE KLLSAERAVTGYNDPETGNIISLFQAMNKELIEKGHGIRLLEAQIATGGIIDPKESHRLP VDMAYKRGYFNEELSEILSDPSDDTKGFFDPNTEENLTYLQLKERCIKDEETGLCLLPLK EKKKQVQTSQKNTLRKRRVVIVDPETNKEMSVQEAYKKGLIDYDTFKELCEQECEWEEIT ITGSDGSTRVVLVDRKTGSQYDIQDAIDKGLVDRKFFDQYRSGSLSLTQFADMISLKNGV GNSSGLGGSVNDDVFSSSRHDSVSKISTISSVRNLTIRSSSLSDPLEESSPIAAIFDTEN LEKISIAEGIERGIVDSITGQRLLEAQACTGGIIHPTTGQKLSLQDAVNQGLIDQDMATR LKPAQKAFIGFEGVKGKKKMSAAEAVKEKWLPYEAGQRFLEFQFLTGGLVDPEVHGRIST EEAIRKGFIDGRAAQRLQDISSYAKILTCPKTKLKISYKDAMNRSMVEDITGLRLLEAAS VSSKGLPSPYNMSAPGSRSGSRSGSRSGSRSGSRSGSRRGSFDATGNSSYSYSYSFSSSS IGGY >ENSMUSP00000115062.1 pep:known chromosome:GRCm38:13:38151348:38198577:1 gene:ENSMUSG00000054889.9 transcript:ENSMUST00000124830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsp description:desmoplakin [Source:MGI Symbol;Acc:MGI:109611] MSCNGGSHPRINTLGRMTRAESGPDLRYEMTYSGGGGGGGGGGGGGTSRTFYSHSRRCTV NDQNSDGYCQTGTMSRHQNQNTIQEMLQNCSDCLMRAELIAQPELKFGEGMQLAWNRELD EYFTQANDQMEIIDGLIREMRQMGQPCDAYQKRLLQLQEQMRALYKAISVPRVRRASSKG AGGYTCQSGSGWDEFTKRLTGECLGWMRQQREEMDLMAWGVDAGSVEQHINSHRSIHNTI GDYRWQLDKIKADLREKSAIYQLEEEYENLLKASFERMDHLRQLQNIIQATSREIMWIND CEEEELLYDWSDKNTNIAQKQEAFSIRMSQLEVKEKELNKLKQESDQLVLNQHPASDKIE AYMDTLQTQWSWILQITKCIDVHLKENAAYFQFFEEAQSTEAYLKGLQDSIRKKYPCDKN MPLQHLLEQIKELEKEREKIIEYKRQVQNLVNKSKKIVQLKPRNPDYRSNKPIILRALCD YKQDQKIVHKGDECILKDNNERSKWYVTGPGGVDMLVPSVGLIIPPPNPLAVDLSCKIEQ YYEAILALWNQLYINMKSLVSWHYCMIDIEKIRAMTIAKLKTMRQEDYMKTIEDLELHYQ DFIKNSQGSEMFGDDDKRRMQSQFTDAQKHYQTLVIQLPGHPQHQTVTKTEITHLGTCQD VNHNKVIETNRENDKQETWLLMELQKIRRQMEHCEARMTLKNLLLAEQGSTHHITVKINE LKSVQNDSQALAEVLNQLKDMLANFRGSEKYCYLQNEIFGLFQKLENINGVSDGYLNSLC SVRALLQAILQTEDMLKVYEARLTEEETVCLDLDKVEAYRCGLKKIKNDLNLKKSLLATM KTELQKAQQIHSQSSQQYPLYDLDLGKFTEKVTQLTDRWQKIDKQIDFRLWDLEKQIKQL RNYRDNYQSFCKWLYDAKRRQDSLESMKFGDSNTVMRFLNEQKNLHSEISGKRDKSEEVH KIAELCANSIKDYELQLASYTSGLETLLNIPIKRTMVQSPSGVILQEAADIHARYIELLT RSGDYYRFLSEMLKSLEDLKLKNTKIEVLEEELRLARDANSENCNKNKFLDQNLQKYQAE CSQFKAKLVSLEELKRQAELDGKSAKQNLDKCYGQIKELNEKITRLTYEIEDEKRRRKTV EDRFDQQKNDYDQLQKARQCEKENLSWQKLESEKAIKEKEYEIERLRVLLQEEGARKREY ENELAKVRNHYNEEMSNLRNKYETEINITKTTIKEISMQKEDDSKNLRNQMDRLSRENRD LKDEIVRLNDSILQATEQRRRAEENALQQKACGSETMQKKQRLEIELKQVIQQRSEDNAR HKQSLEEAAKTIQDKNKEIERLKAEYQEEAKRRWEYENELSKVRNSYDEEIISLKNQFET EINITKTTIHQLTMQKEEDTSGYRAQIDNLTRENRSLCEEVKRLKNTLAQTTENLRRVEE NAQQQKATGSEMSQRKQQLEIELRQVTQMRTEESMRYKQSLDDAAKTIQDKNKEIERLKQ LVDKETNERKCLEDENSKLQRVQYDLQKANNSATEAMSKLKVQEQELTRLRIDYERVSQE RTVKDQDITRIQSSLKDLQLQKQKAEEELSRLKRTASDESSKRKMLEEELEAMRRSLKEQ AVKITNLTQQLEQASIVKKRSEDDLRQQRDVLDGHVREKQRTQEELRRLSLDVEALRRQL VQEQENVKQAHLRNEHFQKAIEDKSRSLNESKIEIERLQSLTENLTKEHLMLEEELRNLR LEYDDLRRGRSEADSDKNSTISELRSQLQISNNRTLELQGLINDLQRERENLRQEIEKFQ KQALEASNRIQESKSQCTQVVQERESLLVKIKVLEQDKARLQRLEDELNRAKATLEAESR VKQRLECEKQQIQNDLNQWKTQYSRKEETIRKIESEREKSEREKNSLRSEIERLQAEIKR IEERCRRKLEDSSRETQSQLESERCRLQKEIEKLRQRPYGSHRETQTEYEWTVDSSKLVF DGLRKKVTAMQLYECQLIDKTTLDKLLKGKKSVEEVASEIQPFLRGAGAIAGASASPKEK YSLVEAKRKKFITPESTVMLLEAQAATGGIIDPHRNEKLTVDNAVARDLIDFDDRQQIYT AEKAITGFDDPFSGKTVSVSEAIKKNLIDRETGMRLLEAQLASGGVVDPVNSVFLPKDVA LARGLIDRDLYRSLNDPRDSQKNFVDPITKKKVSYMQLRERCRIEPHTGLLLLSVQKRSM SFQGIRQPVTVTELVDSGILRPSTVNELESGQISYDEVGERIKDFLQGSSCIAGIYNETT KQKLGIYEAMKIGLVRPGTALELLEAQAATGFIVDPVSNLRLPVEEAYKRGLVGIEFKEK LLSAERAVTGYNDPETGNIISLFQAMNKELIEKGHGIRLLEAQIATGGIIDPKESHRLPV DMAYKRGYFNEELSEILSDPSDDTKGFFDPNTEENLTYLQLKERCIKDEETGLCLLPLKE KKKQVQTSQKNTLRKRRVVIVDPETNKEMSVQEAYKKGLIDYDTFKELCEQECEWEEITI TGSDGSTRVVLVDRKTGSQYDIQDAIDKGLVDRKFFDQYRSGSLSLTQFADMISLKNGVG NSSGLGGSVNDDVFSSSRHDSVSKISTISSVRNLTIRSSSLSDPLEESSPIAAIFDTENL EKISIAEGIERGIVDSITGQRLLEAQACTGGIIHPTTGQKLSLQDAVNQGLIDQDMATRL KPAQKAFIGFEGVKGKKKMSAAEAVKEKWLPYEAGQRFLEFQFLTGGLVDPEVHGRISTE EAIRKGFIDGRAAQRLQDISSYAKILTCPKTKLKISYKDAMNRSMVEDITGLRLLEAASV SSKGLPSPYNMSAPGSRSGSRSGSRSGSRSGSRSGSRRGSFDATGNSSYSYSYSFSSSSI GGY >ENSMUSP00000029795.3 pep:known chromosome:GRCm38:3:94372794:94397840:1 gene:ENSMUSG00000028150.14 transcript:ENSMUST00000029795.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rorc description:RAR-related orphan receptor gamma [Source:MGI Symbol;Acc:MGI:104856] MDRAPQRHHRTSRELLAAKKTHTSQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQ CNVAYSCTRQQNCPIDRTSRNRCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLHAEVQK QLQQQQQQEQVAKTPPAGSRGADTLTYTLGLSDGQLPLGASPDLPEASACPPGLLRASGS GPPYSNTLAKTEVQGASCHLEYSPERGKAEGRDSIYSTDGQLTLGRCGLRFEETRHPELG EPEQGPDSHCIPSFCSAPEVPYASLTDIEYLVQNVCKSFRETCQLRLEDLLRQRTNLFSR EEVTSYQRKSMWEMWERCAHHLTEAIQYVVEFAKRLSGFMELCQNDQIILLKAGAMEVVL VRMCRAYNANNHTVFFEGKYGGVELFRALGCSELISSIFDFSHFLSALCFSEDEIALYTA LVLINANRPGLQEKRRVEHLQYNLELAFHHHLCKTHRQGLLAKLPPKGKLRSLCSQHVEK LQIFQHLHPIVVQAAFPPLYKELFSTDVESPEGLSK >ENSMUSP00000143763.1 pep:known chromosome:GRCm38:3:94377432:94398276:1 gene:ENSMUSG00000028150.14 transcript:ENSMUST00000197040.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rorc description:RAR-related orphan receptor gamma [Source:MGI Symbol;Acc:MGI:104856] MRTQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQCNVAYSCTRQQNCPIDRTSRN RCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLHAEVQKQLQQQQQQEQVAKTPPAGSRG ADTLTYTLGLSDGQLPLGASPDLPEASACPPGLLRASGSGPPYSNTLAKTEVQGASCHLE YSPERGKAEGRDSIYSTDGQLTLGRCGLRFEETRHPELGEPEQGPDSHCIPSFCSAPEVP YASLTDIEYLVQNVCKSFRETCQLRLEDLLRQRTNLFSREEVTSYQRKSMWEMWERCAHH LTEAIQYVVEFAKRLSGFMELCQNDQIILLKAGAMEVVLVRMCRAYNANNHTVFFEGKYG GVELFRALGCSELISSIFDFSHFLSALCFSEDEIALYTALVLINANRPGLQEKRRVEHLQ YNLELAFHHHLCKTHRQGLLAKLPPKGKLRSLCSQHVEKLQIFQHLHPIVVQAAFPPLYK ELFSTDVESPEGLSK >ENSMUSP00000143610.1 pep:known chromosome:GRCm38:3:94377516:94397648:1 gene:ENSMUSG00000028150.14 transcript:ENSMUST00000200009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rorc description:RAR-related orphan receptor gamma [Source:MGI Symbol;Acc:MGI:104856] MAGSYLHCAQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQCNVAYSCTRQQNCPI DRTSRNRCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLHAEVQKQLQQQQQQEQVAKTP PAGSRGADTLTYTLGLSDGQLPLGASPDLPEASACPPGLLRASGSGPPYSNTLAKTEVQG ASCHLEYSPERGKAEGRDSIYSTDGQLTLGRCGLRFEETRHPELGEPEQGPDSHCIPSFC SAPEVPYASLTDIEYLVQNVCKSFRETCQLRLEDLLRQRTNLFSREEVTSYQRKLPPKGK LRSLCSQHVEKLQIFQHLHPIVVQAAFPPLYKELFSTDVESPEGLSK >ENSMUSP00000100072.3 pep:known chromosome:GRCm38:X:6047453:6055110:1 gene:ENSMUSG00000073295.4 transcript:ENSMUST00000103007.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt11 description:nudix (nucleoside diphosphate linked moiety X)-type motif 11 [Source:MGI Symbol;Acc:MGI:1930957] MKCKPNQTRTYDPEGFKKRAACLCFRSEREDEVLLVSSSRYPDRWIVPGGGMEPEEEPDG AAVREVYEEAGVKGKLGRLLGVFEQNQDRKHRTYVFVLTVTELLEDWEDSVSIGRKREWF KIEDAIKVLQCHKPVHAEYLEKLKLGGSPTNGNSAAPSPPESEP >ENSMUSP00000078374.7 pep:known chromosome:GRCm38:6:117863077:117873291:1 gene:ENSMUSG00000042097.17 transcript:ENSMUST00000079405.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp239 description:zinc finger protein 239 [Source:MGI Symbol;Acc:MGI:1306812] MAEKPYKCDKCGKGFTRSSSLLVHHSVHTGEKPFKCDRCGKGFSQSSKLHIHKRVHTGEK PYACEECGMSFSQRSNLHIHQRVHTGERPYKCGECGKGFSQSSNLHIHRCTHTGEKPYQC YECGKGFSQSSDLRIHLRVHTGEKPYHCGKCGQGFSQSSKLLIHQRVHTGEKPYECSKCG KGFSQSSNLHIHQRVHRKELH >ENSMUSP00000128270.1 pep:known chromosome:GRCm38:6:117863077:117872765:1 gene:ENSMUSG00000042097.17 transcript:ENSMUST00000172088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp239 description:zinc finger protein 239 [Source:MGI Symbol;Acc:MGI:1306812] MAEKPYKCDKCGKGFTRSSSLLVHHSVHTGEKPFKCDRCGKGFSQSSKLHIHKRVHTGEK PYACEECGMSFSQRSNLHIHQRVHTGERPYKCGECGKGFSQSSNLHIHRCTHTGEKPYQC YECGKGFSQSSDLRIHLRVHTGEKPYHCGKCGQGFSQSSKLLIHQRVHTGEKPYECSKCG KGFSQSSNLHIHQRVHRKELH >ENSMUSP00000145258.1 pep:known chromosome:GRCm38:11:49519866:49524660:1 gene:ENSMUSG00000107417.1 transcript:ENSMUST00000204706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1383 description:olfactory receptor 1383 [Source:MGI Symbol;Acc:MGI:3031217] MSSFNTTLKGGFILMGFSDWPQLEHIFFVFISMFYILTIFGNFTIITISRMDQRLQTPMY FFLNNLSFLDLCYTTSIVPQLLVNISGIDKTMSYAGCMTQFFIVLLLGGTECMLLVVMAF DRYVAVCHPLHYTSIMHPLLCHALAISSWVGGLVNSLTQTSLIMTIPLCGHHLNHFFCEM LVLLKLACEDTGGTEANLFVAGAVILVCPVALILGTYAHIAHAVLKIKSRSGRRKALGTC GSHLTVVFLFYGSAMYTYLQPVHVYSGSEGKFAALFYTIITPMLNPLIYTLRNKDVKGAL CKVLGRDTSTT >ENSMUSP00000076390.4 pep:known chromosome:GRCm38:11:49523721:49524660:1 gene:ENSMUSG00000107417.1 transcript:ENSMUST00000077143.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1383 description:olfactory receptor 1383 [Source:MGI Symbol;Acc:MGI:3031217] MSSFNTTLKGGFILMGFSDWPQLEHIFFVFISMFYILTIFGNFTIITISRMDQRLQTPMY FFLNNLSFLDLCYTTSIVPQLLVNISGIDKTMSYAGCMTQFFIVLLLGGTECMLLVVMAF DRYVAVCHPLHYTSIMHPLLCHALAISSWVGGLVNSLTQTSLIMTIPLCGHHLNHFFCEM LVLLKLACEDTGGTEANLFVAGAVILVCPVALILGTYAHIAHAVLKIKSRSGRRKALGTC GSHLTVVFLFYGSAMYTYLQPVHVYSGSEGKFAALFYTIITPMLNPLIYTLRNKDVKGAL CKVLGRDTSTT >ENSMUSP00000097777.3 pep:known chromosome:GRCm38:15:100038664:100219473:1 gene:ENSMUSG00000023026.15 transcript:ENSMUST00000100203.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dip2b description:disco interacting protein 2 homolog B [Source:MGI Symbol;Acc:MGI:2145977] MAERGLEPSPAAVAALPPEVRAQLAELELELSEGDITQKGYEKKRSKLLSPYSPQTQETD SIGQKERNQTPAPTAAQTSAPSKYHRSRSGGARDERYRSDIHTEAVQAALAKHKEQKMAL PMPTKRRSTFVQSPADACTPPDTSSASEDEGSLRRQAALSAALQQSLQNAESWINRSIQG SSTSSSASSTLSHGEVKGTSGSLADVFANTRIENVSAPPDVTATTSSSSSSLRPANIDLP PSGIVKGMHKGSNRSSLMDTADGVPVNSRVSTKIQQLLNTLKRPKRPPLKEFFVDDSEEI VEGIPQPDPNQPKPEGRQMTPVKGEPLGVICNWPPALESALQRWGSTQAKCPCLTGLDVT GKPVYTLTYGKLWSRSLKLAYTLLNKLGTKNEPVLKPGDRVALVYPNNDPVMFMVAFYGC LLAEVIPVPIEVPLTRKDAGGQQIGFLLGSCGIALALTSEICLKGLPKTQNGEIVQFKGW PRLKWVVTDSKYLSKPPKDWQPHISPAGTEPAYIEYKTSKEGSVMGVTVSRLAMLSQCQA LSQACNYSEGETVVNVLDFKKDAGLWHGMFANVMNKMHTISVPYSVMKTCPLSWVQRVHA HKAKVALVKCRDLHWAMMAHRDQRDVSLSSLRMLIVTDGANPWSVSSCDAFLSLFQSHGL KPEAICPCATSAEAMTVAIRRPGVPGAPLPGRAILSMNGLSYGVIRVNTEDKNSALTVQD VGHVMPGGMMCIVKPDGLPQLCRTDEIGEICVSSRTGGMMYFGLAGVTKNTFEVIPVTSS GSPVGDVPFIRSGLLGFVGPGSLVFVVGKMDGLLMVSGRRHNADDIVATGLAVESIKTVY RGRIAVFSVSVFYDERIVVVAEQRPDASEEDSFQWMSRVLQAIDSIHQVGVYCLALVPAN TLPKTPLGGIHISQTKQLFLEGSLHPCNILMCPHTCVTNLPKPRQKQPGVGPASVMVGNL VAGKRIAQAAGRDLGQIEENDLVRKHQFLAEILQWRAQATPDHVLFMLLNAKGTTVCTAS CLQLHKRAERIASVLGDKGHLNAGDNVVLLYPPGIELIAAFYGCLYAGCIPVTVRPPHAQ NLTATLPTVRMVVDVSKAACVLTTQTLMRLLKSREAAAAVDVKTWPAIIDTDDLPRKRLP QLYKPPTPEMLAYLDFSVSTTGMLTGVKMSHSAVNALCRAIKLQCELYSSRQIAICLDPY CGLGFALWCLCSVYSGHQSVLIPPMELENNLFLWLATVNQYKIRDTFCSYSVMELCTKGL GNQVEVLKTRGINLSCIRTCVVVAEERPRVSLQQSFSKLFKDIGLSPRAVSTTFGSRVNV AICLQGTSGPDPTTVYVDLKSLRHDRVRLVERGAPQSLLLSESGKILPGVKVVIVNPETK GPVGDSHLGEIWVNSPHTASGYYTIYDSETLQADHFNTRLSFGDAAQTLWARTGYLGFVR RTELTAATGERHDALYVVGALDETLELRGLRYHPIDIETSVSRVHRSIAECAVFTWTNLL VVVVELCGSEQEALDLVPLVTNVVLEEHYLIVGVVVVVDPGVVPINSRGEKQRMHLRDSF LADQLDPIYVAYNM >ENSMUSP00000023768.6 pep:known chromosome:GRCm38:15:100154396:100215666:1 gene:ENSMUSG00000023026.15 transcript:ENSMUST00000023768.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dip2b description:disco interacting protein 2 homolog B [Source:MGI Symbol;Acc:MGI:2145977] MVGFHRRCCELTVEERSSTREEGAWVAFPCVPVNSRVSTKIQQLLNTLKRPKRPPLKEFF VDDSEEIVEGIPQPDPNQPKPEGRQMTPVKGEPLGVICNWPPALESALQRWGSTQAKCPC LTGLDVTGKPVYTLTYGKLWSRSLKLAYTLLNKLGTKNEPVLKPGDRVALVYPNNDPVMF MVAFYGCLLAEVIPVPIEVPLTRKDAGGQQIGFLLGSCGIALALTSEICLKGLPKTQNGE IVQFKGWPRLKWVVTDSKYLSKPPKDWQPHISPAGTEPAYIEYKTSKEGSVMGVTVSRLA MLSQCQALSQACNYSEGETVVNVLDFKKDAGLWHGMFANVMNKMHTISVPYSVMKTCPLS WVQRVHAHKAKVALVKCRDLHWAMMAHRDQRDVSLSSLRMLIVTDGANPWSVSSCDAFLS LFQSHGLKPEAICPCATSAEAMTVAIRRPGVPGAPLPGRAILSMNGLSYGVIRVNTEDKN SALTVQDVGHVMPGGMMCIVKPDGLPQLCRTDEIGEICVSSRTGGMMYFGLAGVTKNTFE VIPVTSSGSPVGDVPFIRSGLLGFVGPGSLVFVVGKMDGLLMVSGRRHNADDIVATGLAV ESIKTVYRGRIAVFSVSVFYDERIVVVAEQRPDASEEDSFQWMSRVLQAIDSIHQVGVYC LALVPANTLPKTPLGGIHISQTKQLFLEGSLHPCNILMCPHTCVTNLPKPRQKQPGVGPA SVMVGNLVAGKRIAQAAGRDLGQIEENDLVRKHQFLAEILQWRAQATPDHVLFMLLNAKG TTVCTASCLQLHKRAERIASVLGDKGHLNAGDNVVLLYPPGIELIAAFYGCLYAGCIPVT VRPPHAQNLTATLPTVRMVVDVSKAACVLTTQTLMRLLKSREAAAAVDVKTWPAIIDTDD LPRKRLPQLYKPPTPEMLAYLDFSVSTTGMLTGVKMSHSAVNALCRAIKLQCELYSSRQI AICLDPYCGLGFALWCLCSVYSGHQSVLIPPMELENNLFLWLATVNQYKIRDTFCSYSVM ELCTKGLGNQVEVLKTRGINLSCIRTCVVVAEERPRVSLQQSFSKLFKDIGLSPRAVSTT FGSRVNVAICLQGTSGPDPTTVYVDLKSLRHDRVRLVERGAPQSLLLSESGKILPGVKVV IVNPETKGPVGDSHLGEIWVNSPHTASGYYTIYDSETLQADHFNTRLSFGDAAQTLWART GYLGFVRRTELTAATGERHDALYVVGALDETLELRGLRYHPIDIETSVSRVHRSIAECAV FTWTNLLVVVVELCGSEQEALDLVPLVTNVVLEEHYLIVGVVVVVDPGVVPINSRGEKQR MHLRDSFLADQLDPIYVAYNM >ENSMUSP00000104599.2 pep:known chromosome:GRCm38:15:100154396:100219473:1 gene:ENSMUSG00000023026.15 transcript:ENSMUST00000108971.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dip2b description:disco interacting protein 2 homolog B [Source:MGI Symbol;Acc:MGI:2145977] MVGFHRRCCELTVEERSSTREEGAWVAFPCVPVNSRVSTKIQQLLNTLKRPKRPPLKEFF VDDSEEIVEVPQPDPNQPKPEGRQMTPVKGEPLGVICNWPPALESALQRWGSTQAKCPCL TGLDVTGKPVYTLTYGKLWSRSLKLAYTLLNKLGTKNEPVLKPGDRVALVYPNNDPVMFM VAFYGCLLAEVIPVPIEVPLTRKDAGGQQIGFLLGSCGIALALTSEICLKGLPKTQNGEI VQFKGWPRLKWVVTDSKYLSKPPKDWQPHISPAGTEPAYIEYKTSKEGSVMGVTVSRLAM LSQCQALSQACNYSEGETVVNVLDFKKDAGLWHGMFANVMNKMHTISVPYSVMKTCPLSW VQRVHAHKAKVALVKCRDLHWAMMAHRDQRDVSLSSLRMLIVTDGANPWSVSSCDAFLSL FQSHGLKPEAICPCATSAEAMTVAIRRPGVPGAPLPGRAILSMNGLSYGVIRVNTEDKNS ALTVQDVGHVMPGGMMCIVKPDGLPQLCRTDEIGEICVSSRTGGMMYFGLAGVTKNTFEV IPVTSSGSPVGDVPFIRSGLLGFVGPGSLVFVVGKMDGLLMVSGRRHNADDIVATGLAVE SIKTVYRGRIAVFSVSVFYDERIVVVAEQRPDASEEDSFQWMSRVLQAIDSIHQVGVYCL ALVPANTLPKTPLGGIHISQTKQLFLEGSLHPCNILMCPHTCVTNLPKPRQKQPGVGPAS VMVGNLVAGKRIAQAAGRDLGQIEENDLVRKHQFLAEILQWRAQATPDHVLFMLLNAKGT TVCTASCLQLHKRAERIASVLGDKGHLNAGDNVVLLYPPGIELIAAFYGCLYAGCIPVTV RPPHAQNLTATLPTVRMVVDVSKAACVLTTQTLMRLLKSREAAAAVDVKTWPAIIDTDDL PRKRLPQLYKPPTPEMLAYLDFSVSTTGMLTGVKMSHSAVNALCRAIKLQCELYSSRQIA ICLDPYCGLGFALWCLCSVYSGHQSVLIPPMELENNLFLWLATVNQYKIRDTFCSYSVME LCTKGLGNQVEVLKTRGINLSCIRTCVVVAEERPRVSLQQSFSKLFKDIGLSPRAVSTTF GSRVNVAICLQGTSGPDPTTVYVDLKSLRHDRVRLVERGAPQSLLLSESGKILPGVKVVI VNPETKGPVGDSHLGEIWVNSPHTASGYYTIYDSETLQADHFNTRLSFGDAAQTLWARTG YLGFVRRTELTAATGERHDALYVVGALDETLELRGLRYHPIDIETSVSRVHRSIAECAVF TWTNLLVVVVELCGSEQEALDLVPLVTNVVLEEHYLIVGVVVVVDPGVVPINSRGEKQRM HLRDSFLADQLDPIYVAYNM >ENSMUSP00000052128.6 pep:known chromosome:GRCm38:3:92080271:92083142:-1 gene:ENSMUSG00000043165.7 transcript:ENSMUST00000058150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lor description:loricrin [Source:MGI Symbol;Acc:MGI:96816] MSHQKKQPTPCPPVGCGKTSGGGGGGGGGGGGGYYSGGGSGCGGGSSGGGSSCGGGGGGS YGGGSSCGGGGGSGGGVKYSGGGGGSSCGGGYSGGGGGSSCGGGYSGGGGGSSCGGGYSG GGGGSSCGGGSYSGGGSSCGGGGGSGGGVKYSGGGGGGGSSCGGGSSGGGGGGSSCGGGS GGGGSYCGGSSGGGSSGGCGGGSGGGKYSGGGGGSSCGGGYSGGGGSSGGSSCGGGYSGG GGSSCGGGGGYSGGGGSSCGGGSSGGGGGGSSQQYQCQSYGGGSSGGSSCGGRYSGGGGS SCGGGYSGGGGSSCGGGSSGGGSSCGGSGGGGYSGGGGGSCGGGSSGGGGGYYSSQQTSQ TSCAPQQSYGGGSSGGGGSCGGGSSGGGGGGGCYSSGGGGSSGGCGGGYSGGGGGCGGGS SGGSGGGCGGGSSGGSGGGCGGGYSGGGGGGSSCGGGSSGGGSGGGKGVPVCHQTQQKQA PTWPCK >ENSMUSP00000097119.1 pep:known chromosome:GRCm38:13:50643228:50645838:1 gene:ENSMUSG00000096641.6 transcript:ENSMUST00000099519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm904 description:predicted gene 904 [Source:MGI Symbol;Acc:MGI:2685750] MENFLSLMNSIIDPWMSNSSMDIAMDMTIGFMCGVGLFFLLIPFLKEYPVSPASENEWDK PQDVKRWQRKTSKKTATGKGCTDSGKKCRRDEDTITTYGNPQ >ENSMUSP00000074130.1 pep:known chromosome:GRCm38:11:49535187:49536122:1 gene:ENSMUSG00000063827.1 transcript:ENSMUST00000074543.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1382 description:olfactory receptor 1382 [Source:MGI Symbol;Acc:MGI:3031216] MDYLNTSSEEGFILVGFSDWPHLEPILFAFISMFYSLTLFGNTVIIILSQLDLRLHTPMY YFLCHLSFLDLCYTASTVPQLLVNLSGLDRTISFGRCVAQLFIMLSLGGIECVLLVAMAI DRYAAVCRPLHYTTIMHPVLCRALVVFSWVGGLVNSLIQTSLVMTMPLCGHQLNHFFCEL PVLLKMACEDTGGTEVNLFVARVIILVCPLLLILGSYAHIARAVLNIRSMAGRRKAFGTC ASHLIVVAMFYGSAISTYLQPVHRYSDSEGKFVALFYTVITPMLNPLIYTLRNKDVKGAL WKVLGRGTDSR >ENSMUSP00000117987.1 pep:known chromosome:GRCm38:11:6415443:6419817:1 gene:ENSMUSG00000071866.11 transcript:ENSMUST00000132846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppia description:peptidylprolyl isomerase A [Source:MGI Symbol;Acc:MGI:97749] MVNPTVFFDITADDEPLGRVSFELFADKVPKTAENFRALSTGEKGFGYKGSSFHRIIPGF MCQGGDFTRHNGTGGRSIYGEKFEDENFILKHTGPGILSMANAGPNTNGSQFFICTAKTE WLDGKHVVFGKVKEGMNIVEAMERFGSRNGKTSKKITISDCGQL >ENSMUSP00000102270.2 pep:known chromosome:GRCm38:3:108186350:108191777:1 gene:ENSMUSG00000050947.9 transcript:ENSMUST00000106659.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amigo1 description:adhesion molecule with Ig like domain 1 [Source:MGI Symbol;Acc:MGI:2653612] MQPQRDLRGLWLLLLSVFLLLFEVARAGRSVVSCPANCLCASNILSCSKQQLPNVPQSLP SYTALLDLSHNNLSRLRAEWTPTRLTNLHSLLLSHNHLNFISSEAFVPVPNLRYLDLSSN HLHTLDEFLFSDLQALEVLLLYNNHIVVVDRNAFEDMAQLQKLYLSQNQISRFPVELIKD GNKLPKLMLLDLSSNKLKKLPLTDLQKLPAWVKNGLYLHNNPLECDCKLYQLFSHWQYRQ LSSVMDFQEDLYCMHSKKLHNIFSLDFFNCSEYKESAWEAHLGDTLTIRTLPPTVYTRLA NLRAHGLYN >ENSMUSP00000102267.1 pep:known chromosome:GRCm38:3:108186358:108192286:1 gene:ENSMUSG00000050947.9 transcript:ENSMUST00000106656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amigo1 description:adhesion molecule with Ig like domain 1 [Source:MGI Symbol;Acc:MGI:2653612] MQPQRDLRGLWLLLLSVFLLLFEVARAGRSVVSCPANCLCASNILSCSKQQLPNVPQSLP SYTALLDLSHNNLSRLRAEWTPTRLTNLHSLLLSHNHLNFISSEAFVPVPNLRYLDLSSN HLHTLDEFLFSDLQALEVLLLYNNHIVVVDRNAFEDMAQLQKLYLSQNQISRFPVELIKD GNKLPKLMLLDLSSNKLKKLPLTDLQKLPAWVKNGLYLHNNPLECDCKLYQLFSHWQYRQ LSSVMDFQEDLYCMHSKKLHNIFSLDFFNCSEYKESAWEAHLGDTLTIRCDTKQQGMTKV WVSPSNEQVLSQGSNGSVSVRNGDLFFKKVQVEDGGVYTCYAMGETFNETLSVELKVYNF TLHGHHDTLNTAYTTLVGCILSVVLVLIYLYLTPCRCWCRGVEKPSSHQGDSLSSSMLST TPNHDPMAGGDKDDGFDRRVAFLEPAGPGQGQNGKLKPGNTLPVPEATGKGQRRMSDPES VSSVFSDTPIVV >ENSMUSP00000102272.3 pep:known chromosome:GRCm38:3:108188043:108191775:1 gene:ENSMUSG00000050947.9 transcript:ENSMUST00000106661.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amigo1 description:adhesion molecule with Ig like domain 1 [Source:MGI Symbol;Acc:MGI:2653612] XTIRCDTKQQGMTKVWVSPSNEQVLSQGSNGSVSVRNGDLFFKKVQVEDGGVYTCYAMGE TFNETLSVELKVYNFTLHGHHDTLNTAYTTLVGCILSVVLVLIYLYLTPCRCWCRGVEKP SSHQGDSLSSSMLSTTPNHDPMAGGDKDDGFDRRVAFLEPAGPGQGQNGKLKPGNTLPVP EATGLFPPLCTPGWQTSEPMGSITRQ >ENSMUSP00000142852.1 pep:known chromosome:GRCm38:3:108188101:108191767:1 gene:ENSMUSG00000050947.9 transcript:ENSMUST00000155782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amigo1 description:adhesion molecule with Ig like domain 1 [Source:MGI Symbol;Acc:MGI:2653612] XNEQVLSQGSNGSVSVRNGDLFFKKVQVEDGGVYTCYAMGETFNETLSVELKVYNFTLHG HHDTLNTAYTTLVGCILSVVLVLIYLYLTPCRCWCRGVEKPSSHQGDSLSSSMLSTTPNH DPMAGGDKDDGFDRRVAFLEPAGPGQGQNGKLKPGNTLPVPEATGKGQRRMSDPESVSSV FSDTPIVV >ENSMUSP00000061244.6 pep:known chromosome:GRCm38:3:108186335:108191776:1 gene:ENSMUSG00000050947.9 transcript:ENSMUST00000050909.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amigo1 description:adhesion molecule with Ig like domain 1 [Source:MGI Symbol;Acc:MGI:2653612] MQPQRDLRGLWLLLLSVFLLLFEVARAGRSVVSCPANCLCASNILSCSKQQLPNVPQSLP SYTALLDLSHNNLSRLRAEWTPTRLTNLHSLLLSHNHLNFISSEAFVPVPNLRYLDLSSN HLHTLDEFLFSDLQALEVLLLYNNHIVVVDRNAFEDMAQLQKLYLSQNQISRFPVELIKD GNKLPKLMLLDLSSNKLKKLPLTDLQKLPAWVKNGLYLHNNPLECDCKLYQLFSHWQYRQ LSSVMDFQEDLYCMHSKKLHNIFSLDFFNCSEYKESAWEAHLGDTLTIRCDTKQQGMTKV WVSPSNEQVLSQGSNGSVSVRNGDLFFKKVQVEDGGVYTCYAMGETFNETLSVELKVYNF TLHGHHDTLNTAYTTLVGCILSVVLVLIYLYLTPCRCWCRGVEKPSSHQGDSLSSSMLST TPNHDPMAGGDKDDGFDRRVAFLEPAGPGQGQNGKLKPGNTLPVPEATGKGQRRMSDPES VSSVFSDTPIVV >ENSMUSP00000126003.2 pep:known chromosome:GRCm38:11:49551749:49552684:1 gene:ENSMUSG00000095187.1 transcript:ENSMUST00000167248.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1381 description:olfactory receptor 1381 [Source:MGI Symbol;Acc:MGI:3031215] MEYLNTSSEEGFILVGFSDWPHLEPTLFAFISIFYSLTLFGNTVIIILSRLDLRLHTPMY YFLCHLSFLDLCYTTSTVPQLLVNLSGLDRTISFGRCVAQLCIVLSLGGTECVLLVTMAI DRYAAVCRPLHYTTIMHPVLCRALVVFSWVGGLVNSLIQTSLVMAMPLCGHQLNHFFCEL PVLLKMACEDTGGTEVNLFVARVIILVCPLLLILGSYAHIARAVLNIRSMAGRRKAFGTC ASHLIVVAMFYGSAISTYLQPVHRYSEKEGKFLALFYTIITPMLNPLIYTLRNKDVKGAL WKVLGRGTDSR >ENSMUSP00000023221.6 pep:known chromosome:GRCm38:15:76331231:76334907:1 gene:ENSMUSG00000022561.12 transcript:ENSMUST00000023221.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpaa1 description:GPI anchor attachment protein 1 [Source:MGI Symbol;Acc:MGI:1202392] MGLLSDPVRRRALARIVLRLNTPLCVLSYVAGIAWFLALAFPPLTQRTYMSENAMGSTMV EEQFVGGDRARSFARDFAAHRKKPGALPVAWLERSMRSVGLEVYTQSFSRKLPFPDETHE RYMVSGTNVYGILRAPRSASTESLVLTVPCGPDATNSQAVGLLLALAAHFRGQIYWAKDI IFLVTDHDLLGTEAWLEAYHDINVTGIQSSPLQGRAGAIQAAVALELSSDVVTSLDVTVE GLNGQLPNLDLLNLFQTFCQKGGLLCTLQGKLQPQDWTSLEGPLQGLQTLLLMVLRQASG RPHGPHGLFLRYGVEALTLRGINSFRQYKYDLATVGKALEGMFRKLNHLLERLHQSFFFY LLPALSRFVSIGLYMPATGFLLLVLGLKALELWMQLHQAGVNPEEAGKAPSPGTPLLPTQ GVGLASLTAPLLISQAMGLALYFLPVLGQHLATQHFPVAEAEAVVLTLLAIYVAGLALPH NTHRVVNSQVPDRGWMALKLVALIYLALQLGCIALLNFSLGFLLAATMVPAAALAKPHGP RTLYAALLVVTSPAVTLFGSLFLWRELLEVPLSLAEGWQLFLTALAQGVLEHYTYGALLF PILALGLYPCWLLFWNVLFWK >ENSMUSP00000127108.1 pep:known chromosome:GRCm38:15:76331344:76334898:1 gene:ENSMUSG00000022561.12 transcript:ENSMUST00000164972.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpaa1 description:GPI anchor attachment protein 1 [Source:MGI Symbol;Acc:MGI:1202392] MGLLSDPVRRRALARIVLRLNTPLWISLCSPGCPGTYSVDQTSLELRSACTCLCPLNAGI NSVCCHCPALAPGS >ENSMUSP00000132986.1 pep:known chromosome:GRCm38:15:76331345:76334903:1 gene:ENSMUSG00000022561.12 transcript:ENSMUST00000172281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpaa1 description:GPI anchor attachment protein 1 [Source:MGI Symbol;Acc:MGI:1202392] MGLLSDPVRRRALARIVLRLNTPLWALPVAWLERSMRSVGLEVYTQSFSRKLPFPDETHE RYMVSGTNVYGILRAPRSASTESLVLTVPCGPDATNSQAVGLLLALAAHFRGQIYWAKDI IFLVTDHDLLGTEAWLEAYHDINVTGIQSSPLQGRAGAIQAAVALELSSDVVTSLDVTVE GLNGQLPNLDLLNLFQTFCQKGGLLCTLQGKLQPQDWTSLEGPLQGLQTLLLMVLRQASG RPHGPHGLFLRYGVEALTLRGINSFRQYKYDLATVGKALEGMFRKLNHLLERLHQSFFFY LLPALSRFVSIGLYMPATGFLLLVLGLKALELWMQLHQAGVNPEEAGKAPSPGTPLLPTQ GVGLASLTAPLLISQAMGLALYFLPVLGQHLATQHFPVAEAEAVVLTLLAIYVAGLALPH NTHRVVNSQVPDRGWMALKLVALIYLALQLGCIALLNFSLGFLLAATMVPAAALAKPHGP RTLYAALLVVTSPAVTLFGSLFLWRELLEVPLSLAEGWQLFLTALAQGVLEHYTYGALLF PILALGLYPCWLLFWNVLFWK >ENSMUSP00000128507.1 pep:known chromosome:GRCm38:15:76331513:76332486:1 gene:ENSMUSG00000022561.12 transcript:ENSMUST00000169378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpaa1 description:GPI anchor attachment protein 1 [Source:MGI Symbol;Acc:MGI:1202392] MSENAMGSTMVEEQFVGGDRARSFARDFAAHRKKPGALPVAWLERSMRSVGLEVYTQSFS RKLPFPDETHERYM >ENSMUSP00000133173.1 pep:known chromosome:GRCm38:15:76331999:76332921:1 gene:ENSMUSG00000022561.12 transcript:ENSMUST00000170121.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpaa1 description:GPI anchor attachment protein 1 [Source:MGI Symbol;Acc:MGI:1202392] VEEQFVGGDRARSFARDFAAHRKKPGALPVAWLERSMRSVGLEVYTQSFSRKLPFPDETH ERYVLRERDGVRYQRVWHPAGPTFCQYRVPGAYCTLWSRCHQ >ENSMUSP00000126326.1 pep:known chromosome:GRCm38:15:76332527:76333247:1 gene:ENSMUSG00000022561.12 transcript:ENSMUST00000168948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpaa1 description:GPI anchor attachment protein 1 [Source:MGI Symbol;Acc:MGI:1202392] XSASTESLVLTVPCGPDATNSQAVGLLLALAAHFRGQIYWAKDIIFLVTDHDLLGTEAWL EAYHDINVTGIQSSPLQGRAGAIQAAVALELSSDVVTSLDVTVEGLNAAAPGLDVTRRAV AGFADTATHGA >ENSMUSP00000096264.3 pep:known chromosome:GRCm38:3:113345055:113356658:-1 gene:ENSMUSG00000096569.2 transcript:ENSMUST00000098667.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amy2a2 description:amylase 2a2 [Source:MGI Symbol;Acc:MGI:3711220] MKFVLLLSLIGFCWAQYDPHTSDGRTAIVHLFEWRWVDIAKECERYLAPKGFGGVQVSPP NENVVVHNPSRPWWERYQPISYKICTRSGNEDEFRDMVTRCNNVGVRIYVDAVINHMCGA GNPAGTSSTCGSYLNPNNREFPAVPYSAWDFNDNKCNGEIDNYNDAYQVRNCRLTGLLDL ALEKDYVRTKVADYMNHLIDIGVAGFRLDAAKHMWPGDIKAVLDKLHNLNTKWFSQGSRP FIFQEVIDLGGEAIKGSEYFGNGRVTEFKYGAKLGTVIRKWNGEKMSYLKNWGEGWGLVP SDRALVFVDNHDNQRGHGAGGSSILTFWDARMYKMAVGFMLAHPYGFTRVMSSYRWNRNF QNGKDQNDWIGPPNNNGVTKEVTINADTTCGNDWVCEHRWRQIRNMVAFRNVVNGQPFSN WWDNNSNQVAFSRGNRGFIVFNNDDWALSATLQTGLPAGTYCDVISGDKVDGNCTGLRVN VGSDGKAHFSISNSAEDPFIAIHADSKL >ENSMUSP00000145332.1 pep:known chromosome:GRCm38:X:34237049:34238733:-1 gene:ENSMUSG00000095659.2 transcript:ENSMUST00000203586.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin2g description:spindlin family, member 2G [Source:MGI Symbol;Acc:MGI:5434937] MESSKLTKKRAGRKRQRSRSPALLKRNIVGCRISHKWKEGDESITQWNGTVLDQVPVNPS LYLVKYDGIDSVHALELYKDKRVLSLKVIAKRVVSSGVTDSSFVDAIVGKEVNHLFEGEH GSKEEWRGMIRSQVPILDNSFYITYERYPILYTYQLLDDFKEGDLQIMEGISDPPSLDID LELVDGLIGKHVENTNDDGSKRDGLIIYQIETKPRVYLIKYEDDVHIHVTHLEKEF >ENSMUSP00000137578.1 pep:known chromosome:GRCm38:X:34237100:34237786:-1 gene:ENSMUSG00000095659.2 transcript:ENSMUST00000178425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin2g description:spindlin family, member 2G [Source:MGI Symbol;Acc:MGI:5434937] KRAGRKRQRSRSPALLKRNIVGCRISHKWKEGDESITQWNGTVLDQVPVNPSLYLVKYDG IDSVHALELYKDKRVLSLKVIAKRVVSSGVTDSSFVDAIVGKEVNHLFEGEHGSKEEWRG MIRSQVPILDNSFYITYERYPILYTYQLLDDFKEGDLQIMEGISDPPSLDIDLELVDGLI GKHVENTNDDGSKRDGLIIYQIETKPRVYLIKYEDDVHIHVTHLEKEF >ENSMUSP00000043055.4 pep:known chromosome:GRCm38:10:14402583:14545036:-1 gene:ENSMUSG00000039116.5 transcript:ENSMUST00000041168.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg6 description:adhesion G protein-coupled receptor G6 [Source:MGI Symbol;Acc:MGI:1916151] MMFDTLGKRCCPWRLKPSALLFLFVLCVTCVPLSVCGCGSCRLVLSNPSGTFTSPCYPND YPNTQSCSWTLRAPAGYIIQITFNDFDIEEAPNCIYDSLSLDNGESQTKFCGATAKGLSF NSSVNEMHVSFSSDFSIQKKGFNASYIRVAVSLRNQKVILPQTLDAYQVSVAKSISIPEL KAFTLCFEASKVGNEGGDWTAFSYSDESLTQLLSLEKASNGYFLSISGSRCLLNNALPVK DKEDIFTENLEQLCLVWNNSWGSIGINFKKNYETVPCDSTISAVVPGDGTLLLGSDRDEV ASLRGSIYNFRLWNFTMDLKALSNLSCSVSGNVIDWHNDFWSISTQALKAEGNLSCGSYL IQLPAAELTNCSELGTLCQDGIMYRISVVIHNDFNHPEVKVQTKVAEWLNSTFQNWNYTV YVVNISFHQKVGEDRMKVKRDIMDDDKRLVLWALLVYNATNNVSLNEEKIKQKLMTNNAS LEDGLRLCEVDVNQLGMCSALEDPDGFSWPATLPSVYKQPCPNKPGFFMTRACLSNGTST FWGPVDTSNCSRQSNEVANEILNQTGDGQNLTSANINSIVEKVKRIVNKEENIDITLGST LMNIFSNILSSSDSDLLESSTEALKTIDELAFKIDLNSTPHVNIETQNLALGVSSLIPGT NAPSNFSIGLPSNNESYFQMDFGNGQTDPLASVILPPNLLENLSPEDSVLVRRAQFTFFN KTGLFQDVGSQRKVLVSYVMACSIGNITIQNLKDPVQIKIKHTRTQEVHHPICAFWDMNK NKSFGGWNTSGCVAHSDLDAGETICLCSHFTHFGVLMDLPRSASQIDGRNTKVLTFITYI GCGISAIFSAATLLTYVAFEKLRRDYPSKILMNLSSALLFLNLIFLLDGWVTSFGVAGLC TAVAALLHFFLLATFTWMGLEAIHMYIALVKVFNTYIHRYILKFCIIGWGLPALVVSIIL VSRRQNEVYGKESYGKDQDDEFCWIQDPVVFYVSCAGYFGVMFFLNVAMFIVVMVQICGR NGKRSNRTLREEVLRNLRSVVSLTFLLGMTWGFAFFAWGPLNIPFMYLFSIFNSLQGLFI FIFHCAMKENVQKQWRRHLCCGRFRLADNSDWSKTATNIIKKSSDNLGKSLSSSSIGSNS TYLTSKSKSSSTTYFKRNSHSDNFS >ENSMUSP00000146821.1 pep:known chromosome:GRCm38:10:14407898:14544915:-1 gene:ENSMUSG00000039116.5 transcript:ENSMUST00000208429.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg6 description:adhesion G protein-coupled receptor G6 [Source:MGI Symbol;Acc:MGI:1916151] MMFDTLGKRCCPWRLKPSALLFLFVLCVTCVPLSVCGCGSCRLVLSNPSGTFTSPCYPND YPNTQSCSWTLRAPAGYIIQITFNDFDIEEAPNCIYDSLSLDNGESQTKFCGATAKGLSF NSSVNEMHVSFSSDFSIQKKGFNASYIRVAVSLRNQKVILPQTLDAYQVSVAKSISIPEL KAFTLCFEASKVGNEGGDWTAFSYSDESLTQLLSLEKASNGYFLSISGSRCLLNNALPVK DKEDIFTENLEQLCLVWNNSWGSIGINFKKNYETVPCDSTISAVVPGDGTLLLGSDRDEV ASLRGSIYNFRLWNFTMDLKALSNLSCSVSGNVIDWHNDFWSISTQALKAEGNLSCGSYL IQLPAAELTNCSELGTLCQATVSPPSTPPPTVTTNIPVTNRVHKQKNDGIMYRISVVIHN DFNHPEVKVQTKVAEWLNSTFQNWNYTVYVVNISFHQKVGEDRMKVKRDIMDDDKRLVLW ALLVYNATNNVSLNEEKIKQKLMTNNASLEDGLRLCEVDVNQLGMCSALEDPDGFSWPAT LPSVYKQPCPNKPGFFMTRACLSNGTSTFWGPVDTSNCSRQSNEVANEILNQTGDGQNLT SANINSIVEKVKRIVNKEENIDITLGSTLMNIFSNILSSSDSDLLESSTEALKTIDELAF KIDLNSTPHVNIETQNLALGVSSLIPGTNAPSNFSIGLPSNNESYFQMDFGNGQTDPLAS VILPPNLLENLSPEDSVLVRRAQFTFFNKTGLFQDVGSQRKVLVSYVMACSIGNITIQNL KDPVQIKIKHTRTQEVHHPICAFWDMNKNKSFGGWNTSGCVAHSDLDAGETICLCSHFTH FGVLMDLPRSASQIDGRNTKVLTFITYIGCGISAIFSAATLLTYVAFEKLRRDYPSKILM NLSSALLFLNLIFLLDGWVTSFGVAGLCTAVAALLHFFLLATFTWMGLEAIHMYIALVKV FNTYIHRYILKFCIIGWGLPALVVSIILVSRRQNEVYGKESYGKDQDDEFCWIQDPVVFY VSCAGYFGVMFFLNVAMFIVVMVQICGRNGKRSNRTLREEVLRNLRSVVSLTFLLGMTWG FAFFAWGPLNIPFMYLFSIFNSLQGLFIFIFHCAMKENVQKQWRRHLCCGRFRLADNSDW SKTATNIIKKSSDNLGKSLSSSSIGSNSTYLTSKSKSSSTTYFKRNSHSDNFS >ENSMUSP00000132425.1 pep:known chromosome:GRCm38:19:11469366:11482192:1 gene:ENSMUSG00000079419.4 transcript:ENSMUST00000165310.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a6c description:membrane-spanning 4-domains, subfamily A, member 6C [Source:MGI Symbol;Acc:MGI:2385644] MIPQVVTNETITTISPNGINFPQKDESQPTQQRQDSLKKHLKAEIKVIVAIQIMCAVTVL ALGIILASVPPVPYFNSVFSVLLKSGYPFIGALFFIASGILSIITERKSTKPLVDASLTL NILSVSFAFVGIIIISVSLAGLHPASEQCKQSKELSLIEHDYYQPFYNSDRSECAVTKSI LTGALSVMLIISVLELGLALLSAMLWLREGVLTSLRM >ENSMUSP00000038014.3 pep:known chromosome:GRCm38:3:8663359:8667256:-1 gene:ENSMUSG00000040289.8 transcript:ENSMUST00000042412.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hey1 description:hairy/enhancer-of-split related with YRPW motif 1 [Source:MGI Symbol;Acc:MGI:1341800] MKRAHPDYSSSDSELDETIEVEKESADENGNLSSALCSMSPTTSSQVLARKRRRGIIEKR RRDRINNSLSELRRLVPSAFEKQGSAKLEKAEILQMTVDHLKMLHTAGGKGYFDAHALAM DYRSLGFRECLAEVARYLSIIEGLDASDPLRVRLVSHLNNYASQREAASGAHGGLGHIPW GSAFGHHPHIAHPLLLPQNGHGNAGTAASPTEPHHQGRLASAHPEAPALRAPPSGGLGPV LPVVTSASKLSPPLLSSVASLSAFPFSFSSFHLLSPSTPTQAANLGKPYRPWGTEIGAF >ENSMUSP00000028767.8 pep:known chromosome:GRCm38:2:119675068:119735407:1 gene:ENSMUSG00000027304.9 transcript:ENSMUST00000028767.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtf1 description:Rtf1, Paf1/RNA polymerase II complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1309480] MRGRLCVGRAAAVAAAVAAAAVAVPLAGGQEGSQGGVRRGSRGTTMVKKRKGRVVIDSDT EDSGSDENLDQELLSLAKRKRSDSEEKEPPVSQPAASSDSETSDSDDEWTFGSNKNKKKG KTRKVEKKGAMKKQANKAASSGSSDRDSSAESSAPEEGEVSDSESSSSSSSSDSDSSSED EEFHDGYGEDLMGDEEDRARLEQMTEKEREQELFNRIEKREVLKRRFEIKKKLKTAKKKE KKEKKKKQEEEQEKKKLTQIQESQVTSHNKERRSKRDEKLDKKSQAMEELKAEREKRKNR TAELLAKKQPLKTSEVYSDDEEEEDDDKSSEKSDRSSRTSSSDEEEEKEEIPPKSQPVSL PEELNRVRLSRHKLERWCHMPFFAKTVTGCFVRIGIGNHNSKPVYRVAEITGVVETAKVY QLGGTRTNKGLQLRHGNDQRVFRLEFVSNQEFTESEFMKWKEAMFSAGMQLPTLDEINKK ELSIKEALNYKFNDQDIEEIVKEKERFRKAPPNYAMKKTQLLKEKAMAEDLGDQDKAKQI QDQLNELEERAEALDRQRTKNISAISYINQRNREWNIVESEKALVAESHNMRNQQMDPFT RRQCKPTIVSNSRDPAVQAAILAQLNAKYGSGVLPDAPKEMSKGQGKDKDLNSKTASDLS EDLFKVHDFDVKIDLQVPSSESKALAITSKAPPAKDGAPRRSLNLEDYKKRRGLI >ENSMUSP00000016680.7 pep:known chromosome:GRCm38:8:13105621:13147940:1 gene:ENSMUSG00000031446.14 transcript:ENSMUST00000016680.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul4a description:cullin 4A [Source:MGI Symbol;Acc:MGI:1914487] MADEGPRKGSVSALMGRTNGLTKPAALAGGPAKPGGTGGSRKLVIKNFRDRPRLPDNYTQ DTWRKLHEAVKAIQSSTSIRYNLEELYQAVENLCSHKVSPTLYKQLRQVCEDHVQAQILP FREDSLDSVLFLKKINTCWQDHCRQMIMIRSIFLFLDRTYVLQNSMLPSIWDMGLELFRN HIISDRMVQSKTIDGILLLIGRERSGEAVDRSLLRSLLSMLSDLQVYKDSFELKFLEETN CLYAAEGQRLMQDREVPEYLNHVSKRLEEEADRVITYLDHSTQKPLIACVEKQLLGEHLT AILQKGLEHLLDENRVPDLTQMYQLFSRVKGGQHALLQHWSEYIKTFGTTIVINPEKDKD MVQDLLDFKDKVDHVVEVCFQRNERFINLMKESFETFINKRPNKPAELIAKHVDSKLRAG NKEATDEELERILDKIMILFRFIHGKDVFEAFYKKDLAKRLLVGKSASVDAEKSMLSKLK HECGAAFTSKLEGMFKDMELSKDIMVHFKQHMQNQSAPGPIDLTVNILTMGYWPTYTPME VHLPPEMVRLQEVFKTFYLGKHSGRKLQWQTTLGHAVLKADFKEGKKEFQVSLFQTLVLL MFNEGDGFSFEEIKMATGIEDSELRRTLQSLACGKARVLIKSPKGKEVEDGDKFIFNADF KHKLFRIKINQIQMKETVEEQVSTTERVFQDRQYQIDAAIVRIMKMRKTLGHNLLVSELY NQLKFPVKPGDLKKRIESLIDRDYMERDKDSPNQYHYVA >ENSMUSP00000112525.1 pep:known chromosome:GRCm38:8:13105740:13124222:1 gene:ENSMUSG00000031446.14 transcript:ENSMUST00000121426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul4a description:cullin 4A [Source:MGI Symbol;Acc:MGI:1914487] MADEGPRKGSVSALMGRTNGLTKPAALAGGPAKPGGTGGSRKLVIKNFRDRPRLPDNYTQ DTWRKLHEAVKAIQSSTSIRYNLEELYQAVENLCSHKVSPTLYKQLRQVCEDHVQAQILP FREDSLDSVLFLKKINTCWQDHCRQMIMIRSIFLFLDRTYVLQNSMLPSIWDMGLELFRN HIISDRMVQSKTIDGILLLIGRERSGEAVDRSLLRSLLSMLSDLQVYKDSFELKFLEETN CLYAAEGQRLMQDREVSCAADCIWL >ENSMUSP00000123074.1 pep:known chromosome:GRCm38:8:13123525:13147362:1 gene:ENSMUSG00000031446.14 transcript:ENSMUST00000125514.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cul4a description:cullin 4A [Source:MGI Symbol;Acc:MGI:1914487] KTIDGILLLIGRERSGEAVDRSLLRSLLSMLSDLQVYKDSFELKFLEETNCLYAAEGQRL MQDREVLILTHPSWPTGICPPALGSKP >ENSMUSP00000021045.6 pep:known chromosome:GRCm38:11:80880169:81153321:-1 gene:ENSMUSG00000020704.13 transcript:ENSMUST00000021045.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asic2 description:acid-sensing (proton-gated) ion channel 2 [Source:MGI Symbol;Acc:MGI:1100867] MSRSGGARLPATALSGPGRFRMAREQPAPAAVAAARQPGGDRSGDRELQGPGVARRGRPS LSRTKLHGLRHMCAGRTAAGGSFQRRALWVLAFCTSLGLLLSWSSNRLLYWLSFPSHTRV HREWSRQLPFPAVTVCNNNPLRFPRLSKGDLYYAGHWLGLLLPNRTARPLVSELLRGDEP RRQWFRKLADFRLFLPPRHFEGISAAFMDRLGHQLEDMLLSCKYRGELCGPHNFSSVFTK YGKCYMFNSGEDGKPLLTTVKGGTGNGLEIMLDIQQDEYLPIWGETEETTFEAGVKVQIH SQSEPPFIQELGFGVAPGFQTFVATQEQRLTYLPPPWGECRSSEMGLDFFPVYSITACRI DCETRYIVENCNCRMVHMPGDAPFCTPEQHKECAEPALGLLAEKDSNYCLCRTPCNLTRY NKELSMVKIPSKTSAKYLEKKFNKSEKYISENILVLDIFFEALNYETIEQKKAYEVAALL GDIGGQMGLFIGASILTILELFDYIYELIKEKLLDLLGKEEEEGSHDENMSTCDTMPNHS ETISHTVNVPLQTALGTLEEIAC >ENSMUSP00000067095.6 pep:known chromosome:GRCm38:11:80880169:81968457:-1 gene:ENSMUSG00000020704.13 transcript:ENSMUST00000066197.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asic2 description:acid-sensing (proton-gated) ion channel 2 [Source:MGI Symbol;Acc:MGI:1100867] MDLKESPSEGSLQPSSIQIFANTSTLHGIRHIFVYGPLTIRRVLWAVAFVGSLGLLLVES SERVSYYFSYQHVTKVDEVVAQSLVFPAVTLCNLNGFRFSRLTTNDLYHAGELLALLDVN LQIPDPHLADPTVLEALRQKANFKHYKPKQFSMLEFLHRVGHDLKDMMLYCKFKGQECGH QDFTTVFTKYGKCYMFNSGEDGKPLLTTVKGGTGNGLEIMLDIQQDEYLPIWGETEETTF EAGVKVQIHSQSEPPFIQELGFGVAPGFQTFVATQEQRLTYLPPPWGECRSSEMGLDFFP VYSITACRIDCETRYIVENCNCRMVHMPGDAPFCTPEQHKECAEPALGLLAEKDSNYCLC RTPCNLTRYNKELSMVKIPSKTSAKYLEKKFNKSEKYISENILVLDIFFEALNYETIEQK KAYEVAALLGDIGGQMGLFIGASILTILELFDYIYELIKEKLLDLLGKEEEEGSHDENMS TCDTMPNHSETISHTVNVPLQTALGTLEEIAC >ENSMUSP00000043570.5 pep:known chromosome:GRCm38:1:111858706:111864918:-1 gene:ENSMUSG00000038702.6 transcript:ENSMUST00000035462.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsel description:dermatan sulfate epimerase-like [Source:MGI Symbol;Acc:MGI:2442948] MAFMFTEHLLFLTLMMCSFSTCEESVSNYSEWAVFTDDIQWLKSQKIQDFKLNRRLHPNL YFDAGDIQTLKQKSRTSHLHIFRAIKSAVTIMLSNPSYYLPPPKHAEFAAKWNEIYGNNL PPLALYCLLCPEDKVAFEFVMEYMDRMVSYKDWLVENAPGDEVPVGHSLTGFATAFDFLY NLLGNQRKQKYLEKIWIVTEEMYEYSKIRSWGKQLLHNHQATNMIALLIGALVTGVDKGS KANIWKQVVVDVMEKTMFLLKHIVDGSLDEGVAYGSYTSKSVTQYVFLAQRHFNINNFDN NWLKMHFWFYYATLLPGYQRTVGIADSNYNWFYGPESQLVFLDKFILQNGAGNWLAQQIR KHRPKDGPMVPSTAQRWSTLHTEYIWYDPTLTPQPPVDFGTAKMHTFPNWGVVTYGGGLP NTQTNTFVSFKSGKLGGRAVYDIVHFQPYSWIDGWRSFNPGHEHPDQNSFTFAPNGQVFV SEALYGPKLSHLNNVLVFAPSPSSQCNQPWEGQLGECAQWLKWTGEEVGDAAGEVITAAQ HGDRMFVSGEAVSAYSSAMRLKSVYRALLLLNSQTLLVVDHIERQETSPINSVSAFFHNL DIDFKYIPYKFMNRYNGAMMDVWDAHYKMFWFDHHGNSPVANIQEAEQAAEFKKRWTQFV NVTFHMESTITRIAYVFYGPYVNVSSCRFIDSSSSGLQISLHVNSTEHSVSVVTDYQNLK SRFSYLGFGGFASVANQGQITRFGLGTQEIVNPVRHDKVNFPFGFKFNIAVGFILCISLV ILTFQWRFYLSFRKLMRCVLILVIALWFIELLDVWSTCTQPICAKWTRTEAKANEKVMIS EGHHVDLPNVIITSLPGSGAEILKQLFFNSSDFLYIRIPTAYMDIPETEFEIDSFVDACE WKVSDIRSGHFHLLRGWLQSLVQDTKLHLQNIHLHETSRSKLAQYFTTNKDKKRKLKRRE SLQDQRSRIKGPFDRDAEYIRALRRHLVYYPSARPVLSLSSGSWTLKLHFFQEVLGTSMR ALYIVRDPRAWIYSVLYGSKPSLYSLKNVPEHLAKLFKIEEGKSKCNSNSGYAFEYESLK KELEISQSNAISLLSHLWVANTAAALRINTDLLPTNYHLVKFEDIVHFPQKTTERIFAFL GIPLSPASLNQMLFATSTNLFYLPYEGEISPSNTNIWKTNLPRDEIKLIENICWTLMDHL GYPKFMD >ENSMUSP00000039568.4 pep:known chromosome:GRCm38:16:35311131:35363918:-1 gene:ENSMUSG00000034473.13 transcript:ENSMUST00000043521.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec22a description:SEC22 homolog A, vesicle trafficking protein [Source:MGI Symbol;Acc:MGI:2447876] MSMILSASVIRVRDGLPLSASTDYEQSTGMQECRKYFKMLSRKLAQFPDRCTLKTGRYNI NFISSLGVSYMMLCSENYPNVLAFSFLDELQKEFITTYNMMKTNTAVRPYCFIEFDNFIQ RTKQRYNNPRSLSTKINLSDMQMEIKLRPPYQIPMCELGSANGVTSAFSVDCKGAGKISS AHQRLEPATLSGIVAFILSLLCGALNLIRGFHAIESLLQSDGEDLNYIIAFFLGTAACLY QCYLLVYYTSWRNVKSFLTFGLICLCNMYLYELRNLWQLFFHVTVGAFVTLQIWLRQAQG KAPDHDV >ENSMUSP00000029970.7 pep:known chromosome:GRCm38:4:34663257:34687438:-1 gene:ENSMUSG00000028293.14 transcript:ENSMUST00000029970.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a1 description:solute carrier family 35 (CMP-sialic acid transporter), member 1 [Source:MGI Symbol;Acc:MGI:1345622] MAPARENVSLFFKLYCLTVMTLVAAAYTVALRYTRTTAEELYFSTTAVCITEVIKLLISV GLLAKETGSLGRFKASLSENVLGSPKELAKLSVPSLVYAVQNNMAFLALSNLDAAVYQVT YQLKIPCTALCTVLMLNRTLSKLQWISVFMLCGGVTLVQWKPAQATKVVVAQNPLLGFGA IAIAVLCSGFAGVYFEKVLKSSDTSLWVRNIQMYLSGIVVTLAGTYLSDGAEIQEKGFFY GYTYYVWFVIFLASVGGLYTSVVVKYTDNIMKGFSAAAAIVLSTIASVLLFGLQITLSFA LGALLVCVSIYLYGLPRQDTTSIQQEATSKERIIGV >ENSMUSP00000119125.1 pep:known chromosome:GRCm38:4:34669498:34683369:-1 gene:ENSMUSG00000028293.14 transcript:ENSMUST00000126033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a1 description:solute carrier family 35 (CMP-sialic acid transporter), member 1 [Source:MGI Symbol;Acc:MGI:1345622] XAEELYFSTTAVCITEVIKLLISVGLLAKETGSLGRFKASLSENVLGSPKELAKLSVPSL VYAVQNNMAFLALSNLDAAVYQVTYQLKIPCTALCTVLMLNRTLSKLQWISVFMLCGGVT LVQWKPAQATKVVPTVPGSMPTVSDSDVSGHVLMRIGKQKAALYGKVSALTGKVAQNPLL GFGAIAIAVLCSGFAGVYFEKVLKSSDTSLWVRNIQMYLSGIVVTLAGTYLSDGAEIQEK GFFYGYTYYV >ENSMUSP00000006178.4 pep:known chromosome:GRCm38:7:16119895:16127516:1 gene:ENSMUSG00000006021.5 transcript:ENSMUST00000006178.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kptn description:kaptin [Source:MGI Symbol;Acc:MGI:1890380] MGEAAVAEGPCPLLEDSFTRFSSQSNVYGLAGGADGRGELLAATLKGKVLGFRYQDLRQK IRPVAKELQFNYIPVDAEIVSIDTFNKSPPKRGLVVGITFIKDSGDKGSPFLNIYCDYEP GSEYNLDSIAESCLNLELQFTPFQLCHAEVQVGDQLETVFLLSGNDPAIHLYKENEGLHQ FEEQPVENLFPELTNLTSSVLWLDVHNLPGSSQRLSALGCQSGYVRVAHVDQKNQEILQT WTIQQDGPISRVIVFSLSASEATQDSPQQEGYSLLVASMLEPAVVYWDLLNKGLDDQLLL PGSDQFDSVLCGLVTDVDLDGQPEVLVATYGQELLCYKYRGLPEDSRGFRLLWRRSFASP LLAMAHVDLTGDGLRELAVISLKGVHILQHSLIQASELVLTRLRHQVEQRKHQQGLGDRV GPRPVEHPAS >ENSMUSP00000028175.6 pep:known chromosome:GRCm38:2:58129137:58160495:-1 gene:ENSMUSG00000026832.12 transcript:ENSMUST00000028175.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cytip description:cytohesin 1 interacting protein [Source:MGI Symbol;Acc:MGI:2183535] MSLQRFLQRQGSNGNLEYCADSAYGSYSVLTGQLTMEDNRRIQVLADTVATLPRGRKQLA LARSSSLGDFSWSQRKVVTVEKQDNGTFGFEIQTYRLQNQNICSSEVCTMICKVQEDSPA HCAGLQVGDIFANVNGVSTEGFTHKQVVDLIRSSGNLLTIETLNGTMIHRRAELEAKLQT LKQTLKKKWVELRSLHLQEQRLLHGDTANSPNLENMDLDESSLFGNLLGPSPALLDRHRL SSESSCKSWLSSLTVDSEDGYRSSMSEDSIRGAFSRQTSTDDECFHSKDGDEILRNASSR RNRSISVTSSGSFSPLWESNYSSVFGTLPRKSRRGSVRKQILKFIPGLHRAVEEEESRF >ENSMUSP00000142500.1 pep:known chromosome:GRCm38:5:64924679:64933561:-1 gene:ENSMUSG00000044827.10 transcript:ENSMUST00000197315.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr1 description:toll-like receptor 1 [Source:MGI Symbol;Acc:MGI:1341295] MTKPNSLIFYCIIVLGLTLMKIQLSEECELIIKRPNANLTRVPKDLPLQTTTLDLSQNNI SELQTSDILSLSKLRVLIMSYNRLQYLNISVFKFNTELEYLDLSHNELKVILCHPTVSLK HLDLSFNAFDALPICKEFGNMSQLQFLGLSGSRVQSSSVQLIAHLNISKVLLVLGDAYGE KEDPESLRHVSTETLHIVFPSKREFRFLLDVSVSTTIGLELSNIKCVLEDQGCSYFLRAL SKLGKNLKLSNLTLNNVETTWNSFINILQIVWHTPVKYFSISNVKLQGQLAFRMFNYSDT SLKALSIHQVVTDVFSFPQSYIYSIFANMNIQNFTMSGTHMVHMLCPSQVSPFLHVDFTD NLLTDMVFKDCRNLVRLKTLSLQKNQLKNLENIILTSAKMTSLQKLDISQNSLRYSDGGI PCAWTQSLLVLNLSSNMLTGSVFRCLPPKVKVLDLHNNRIMSIPKDVTHLQALQELNVAS NSLTDLPGCGAFSSLSVLVIDHNSVSHPSEDFFQSCQNIRSLTAGNNPFQCTCELRDFVK NIGWVAREVVEGWPDSYRCDYPESSRGTALRDFHMSPLSCDTVLLTVTIGATMLVLAVTG AFLCLYFDLPWYVRMLCQWTQTRHRARHIPLEELQRNLQFHAFVSYSGHDSAWVKNELLP NLEKDDIQICLHERNFVPGKSIVENIINFIEKSYKSIFVLSPHFIQSEWCHYELYFAHHN LFHEGSDNLILILLAPIPQYSIPTNYHKLKTLMSRRTYLEWPTEKNKHGLFWANLRASIN VKLVNQAEGTCYTQQ >ENSMUSP00000060793.4 pep:known chromosome:GRCm38:5:64924680:64932761:-1 gene:ENSMUSG00000044827.10 transcript:ENSMUST00000059349.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr1 description:toll-like receptor 1 [Source:MGI Symbol;Acc:MGI:1341295] MTKPNSLIFYCIIVLGLTLMKIQLSEECELIIKRPNANLTRVPKDLPLQTTTLDLSQNNI SELQTSDILSLSKLRVLIMSYNRLQYLNISVFKFNTELEYLDLSHNELKVILCHPTVSLK HLDLSFNAFDALPICKEFGNMSQLQFLGLSGSRVQSSSVQLIAHLNISKVLLVLGDAYGE KEDPESLRHVSTETLHIVFPSKREFRFLLDVSVSTTIGLELSNIKCVLEDQGCSYFLRAL SKLGKNLKLSNLTLNNVETTWNSFINILQIVWHTPVKYFSISNVKLQGQLAFRMFNYSDT SLKALSIHQVVTDVFSFPQSYIYSIFANMNIQNFTMSGTHMVHMLCPSQVSPFLHVDFTD NLLTDMVFKDCRNLVRLKTLSLQKNQLKNLENIILTSAKMTSLQKLDISQNSLRYSDGGI PCAWTQSLLVLNLSSNMLTGSVFRCLPPKVKVLDLHNNRIMSIPKDVTHLQALQELNVAS NSLTDLPGCGAFSSLSVLVIDHNSVSHPSEDFFQSCQNIRSLTAGNNPFQCTCELRDFVK NIGWVAREVVEGWPDSYRCDYPESSRGTALRDFHMSPLSCDTVLLTVTIGATMLVLAVTG AFLCLYFDLPWYVRMLCQWTQTRHRARHIPLEELQRNLQFHAFVSYSGHDSAWVKNELLP NLEKDDIQICLHERNFVPGKSIVENIINFIEKSYKSIFVLSPHFIQSEWCHYELYFAHHN LFHEGSDNLILILLAPIPQYSIPTNYHKLKTLMSRRTYLEWPTEKNKHGLFWANLRASIN VKLVNQAEGTCYTQQ >ENSMUSP00000000594.2 pep:known chromosome:GRCm38:11:17257594:17269176:1 gene:ENSMUSG00000000581.8 transcript:ENSMUST00000000594.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1d description:C1D nuclear receptor co-repressor [Source:MGI Symbol;Acc:MGI:1927354] MAGEEMNEDYPVEIHESLTALESSLGAVDDMLKTMMAVSRNELLQKLDPLEQAKVDLVSA YTLNSMFWVYLATQGVNPKEHPVKQELERIRVYMNRVKEITDKKKAAKLDRGAASRFVKN ALWEPKAKSTPKVANKGKSKH >ENSMUSP00000121134.1 pep:known chromosome:GRCm38:11:17257688:17266624:1 gene:ENSMUSG00000000581.8 transcript:ENSMUST00000156784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1d description:C1D nuclear receptor co-repressor [Source:MGI Symbol;Acc:MGI:1927354] MAGEEMNEDYPVEIHESLTALESSLGAVDDMLKTMMAVSRNELLQKLDPLEQAKVDLVSA YTLNSMFWVYLATQGVNPKEHPVKQELERIRVYMNRVKEITDKKKAAKLDRGAASRFV >ENSMUSP00000140925.1 pep:known chromosome:GRCm38:X:11299257:11299757:1 gene:ENSMUSG00000100626.1 transcript:ENSMUST00000188439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14483 description:predicted gene 14483 [Source:MGI Symbol;Acc:MGI:3714114] MAKKMQRRRRQKRTRSQRGELPFSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAQTTGRKRIAPEDVRLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000021091.8 pep:known chromosome:GRCm38:11:74673949:74724670:-1 gene:ENSMUSG00000020745.15 transcript:ENSMUST00000021091.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah1b1 description:platelet-activating factor acetylhydrolase, isoform 1b, subunit 1 [Source:MGI Symbol;Acc:MGI:109520] MVLSQRQRDELNRAIADYLRSNGYEEAYSVFKKEAELDMNEELDKKYAGLLEKKWTSVIR LQKKVMELESKLNEAKEEFTSGGPLGQKRDPKEWIPRPPEKYALSGHRSPVTRVIFHPVF SVMVSASEDATIKVWDYETGDFERTLKGHTDSVQDISFDHSGKLLASCSADMTIKLWDFQ GFECIRTMHGHDHNVSSVAIMPNGDHIVSASRDKTIKMWEVQTGYCVKTFTGHREWVRMV RPNQDGTLIASCSNDQTVRVWVVATKECKAELREHEHVVECISWAPESSYSSISEATGSE TKKSGKPGPFLLSGSRDKTIKMWDVSTGMCLMTLVGHDNWVRGVLFHSGGKFILSCADDK TLRVWDYKNKRCMKTLNAHEHFVTSLDFHKTAPYVVTGSVDQTVKVWECR >ENSMUSP00000099578.2 pep:known chromosome:GRCm38:11:74673950:74723858:-1 gene:ENSMUSG00000020745.15 transcript:ENSMUST00000102520.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah1b1 description:platelet-activating factor acetylhydrolase, isoform 1b, subunit 1 [Source:MGI Symbol;Acc:MGI:109520] MVLSQRQRDELNRAIADYLRSNGYEEAYSVFKKEAELDMNEELDKKYAGLLEKKWTSVIR LQKKVMELESKLNEAKEEFTSGGPLGQKRDPKEWIPRPPEKYALSGHRSPVTRVIFHPVF SVMVSASEDATIKVWDYETGDFERTLKGHTDSVQDISFDHSGKLLASCSADMTIKLWDFQ GFECIRTMHGHDHNVSSVAIMPNGDHIVSASRDKTIKMWEVQTGYCVKTFTGHREWVRMV RPNQDGTLIASCSNDQTVRVWVVATKECKAELREHEHVVECISWAPESSYSSISEATGSE TKKSGKPGPFLLSGSRDKTIKMWDVSTGMCLMTLVGHDNWVRGVLFHSGGKFILSCADDK TLRVWDYKNKRCMKTLNAHEHFVTSLDFHKTAPYVVTGSVDQTVKVWECR >ENSMUSP00000118231.1 pep:known chromosome:GRCm38:11:74689085:74723171:-1 gene:ENSMUSG00000020745.15 transcript:ENSMUST00000155493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah1b1 description:platelet-activating factor acetylhydrolase, isoform 1b, subunit 1 [Source:MGI Symbol;Acc:MGI:109520] MVLSQRQRDELNRAIADYLRSNGYEEAYSVFKKEAELDMNEELDKKYAGLLEKKWTSVIR LQKKVMELESKLNEAKEEFTSGGPLGQKRDPKEWIPR >ENSMUSP00000103858.1 pep:known chromosome:GRCm38:7:29833620:29853669:-1 gene:ENSMUSG00000050855.16 transcript:ENSMUST00000108223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp940 description:zinc finger protein 940 [Source:MGI Symbol;Acc:MGI:2446235] MAQRLMTFRDVAVDFSPEEWECLSLDQRSLYRDVMLESYSHLVSVGQLQRSNAEILQSTL KDTDACTGLAPSYLQMVPQTLDSKEIVNSPKTGLLREILKKENCHIPVLVPTTTCSDSLA GHALEGKD >ENSMUSP00000082947.4 pep:known chromosome:GRCm38:7:29843936:29853648:-1 gene:ENSMUSG00000050855.16 transcript:ENSMUST00000085792.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp940 description:zinc finger protein 940 [Source:MGI Symbol;Acc:MGI:2446235] MAQRLMTFRDVAVDFSPEEWECLSLDQRSLYRDVMLESYSHLVSVGLFVYRPHLFSLLEK GQDPWMILRDETRGPYPDIDFSSQNKKFLGKPSTYEELSQREMKEYFRKRSLEDFCFGDG WDGKNQFQTHQNQEYFKQLAVAYEKVLTVNQGIVFNNHQRLNAGEKATEIQCEKALTVES DRILPQLTPPGNKVHKDKECGDTSAPESERTHCQRAHCPQTPQQGKESGGPFGLPSGILG LPQGHTGEKSYDCKECGKSFTLKSNLTRHRRIHTGERPYTCHGCGKAFTQKSHLMSHQKI HTGKKAYVCGVCGKAFHCDSSLTSHQNIHYHAADAGKKAYECKKCGKDFDCHSGLIDHQK VHTGEKPFKCKHCGRLFRFHSHLRAHTRIHSGVKSYDCKECGKSFNLRSNLMRHHRVHTG ERPYTCHGCGKAFSQKSHLTSHQKIHTGEKAYVCGVCGKAFSRGSSLTSHQNIHYYVVNA GKKVYECKECGKAFGCHSNLSRHQKIHAGEKPFKCRDCEKAFGQRAHLTKHQRIHTGEEG PDECQSVEKFFLEDHNYVDIRKSILV >ENSMUSP00000028838.4 pep:known chromosome:GRCm38:2:126593667:126618678:-1 gene:ENSMUSG00000027360.5 transcript:ENSMUST00000028838.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdc description:histidine decarboxylase [Source:MGI Symbol;Acc:MGI:96062] MMEPCEYREYREYYRARGKEMVDYISQYLSTVRERQVTPNVQPGYLRAQLPASAPEEPDS WDSIFGDIERVIMPGVVHWQSPHMHAYYPALTSWPSLLGDMLADAINCLGFTWASSPACT ELEMNIMDWLAKMLGLPEYFLHHHPSSRGGGVLQSTVSESTLIALLAARKNKILAMKACE PDANESSLNARLVAYTSDQAHSSVEKAGLISLVKIRFLPVDDNFSLRGEALQKAIEEDKQ QGLVPVFVCATLGTTGVCAFDRLSELGPICASEGLWLHVDAAYAGTAFLCPELRGFLEGI EYADSFTFNPSKWMMVHFDCTGFWVKDKYKLQQTFSVNPIYLRHANSGAATDFMHWQIPL SRRFRSIKLWFVIRSFGVKNLQAHVRHGTEMAKYFESLVRSDPSFEIPAKRHLGLVVFRL KGPNCLTESVLKEIAKAGQLFLIPATIQDKLIIRFTVTSQFTTKEDILRDWHLIQEAANL VLSQHCTSQPSPRAKNVIPPPPGTRGLSLESVSEGGDDPAQARKIIKQPGASLARREGGS DLETMPDPFDDCFSEEAPNTTKHKLSSFLFSYLSVQNRRKTTRSLSCNSVPMSAQKSLPA DASLKNGGSFRARIFSGFPEQMMMMKKGAFKKLIKFYSVPSFPECSSQCARQLPCCPLEA MV >ENSMUSP00000100071.3 pep:known chromosome:GRCm38:X:6168696:6173015:-1 gene:ENSMUSG00000073293.4 transcript:ENSMUST00000103006.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt10 description:nudix (nucleoside diphosphate linked moiety X)-type motif 10 [Source:MGI Symbol;Acc:MGI:2147931] MKCKPNQTRTYDPEGFKKRAACLCFRSEREDEVLLVSSSRYPDRWIVPGGGMEPEEEPDG AAVREVYEEAGVKGKLGRLLGVFEQNQDRKHRTYVFVLTVTELLEDWEDSVSIGRKREWF KIEDAIKVLQCHKPVHAEYLEKLKLGGSPTNGNSAAPSPPESEP >ENSMUSP00000023835.1 pep:known chromosome:GRCm38:8:5083219:5105351:-1 gene:ENSMUSG00000023073.2 transcript:ENSMUST00000023835.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a2 description:solute carrier family 10, member 2 [Source:MGI Symbol;Acc:MGI:1201406] MDNSSVCPPNATVCEGDSCVVPESNFNAILNTVMSTVLTILLAMVMFSMGCNVEVHKFLG HIKRPWGIFVGFLCQFGIMPLTGFILSVASGILPVQAVVVLIMGCCPGGTGSNILAYWID GDMDLSVSMTTCSTLLALGMMPLCLFVYTKMWVDSGTIVIPYDSIGISLVALVIPVSFGM FVNHKWPQKAKIILKIGSITGVILIVLIAVIGGILYQSAWIIEPKLWIIGTIFPIAGYSL GFFLARLAGQPWYRCRTVALETGMQNTQLCSTIVQLSFSPEDLNLVFTFPLIYTVFQLVF AAVILGIYVTYRKCYGKNDAEFLEKTDNEMDSRPSFDETNKGFQPDEK >ENSMUSP00000121986.1 pep:known chromosome:GRCm38:6:145115653:145174928:1 gene:ENSMUSG00000030263.13 transcript:ENSMUST00000135984.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrmp description:lymphoid-restricted membrane protein [Source:MGI Symbol;Acc:MGI:108424] MLCVKGPPEQEPEDGALDVTRGCHNFSLEEIGTLFLLGAHSPRKAPSWDRSF >ENSMUSP00000032396.6 pep:known chromosome:GRCm38:6:145121739:145174934:1 gene:ENSMUSG00000030263.13 transcript:ENSMUST00000032396.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrmp description:lymphoid-restricted membrane protein [Source:MGI Symbol;Acc:MGI:108424] MLCVKGPPEQEPEDGALDVTRGCQCPLPTEGSILGQELLDCTRMNEDQSTDENGADHLYS ESPSQLREYLTQPSSEQTSSSESTVTSSESGSDILHMASGDLDCKPLCEKEEEARAASAM QGTSLAPAAYGDYTSVGVAKAASQLEAGEELRTTENGGKGSAPGETEISMPPKASVKLVN FQQSENTSANEKEVEAEFLRLSLGLKCDWFTLEKRVKLEERSRDLAEENLKKEITNCLKL LESLTPLCEEDNQAQEIVKKLEKSIVLLSQCTARVASRAEMLGAINQESRVSRAVEVMIQ HVENLKRMYAKEHAELEDLKQALLQNDRSFNSLPDEDDCQIKKRSSSLNSKPSSLRRVTI ASLPRNLGNVGLVSGMENNDRFSRRSSSWRILGTKQGEHRPSLHRFISTYSWADAEDERS DVKARDAPEPQGEEAVEGTRKPSLSERRSSTLAWDRGTICSSVASWVTHLQASFRRANRA LWLTGLIIILIAALMSFLTGQLFQTAVEAAPTQEGDSWLSLEHILWPFTRLGHDGPLPV >ENSMUSP00000120166.1 pep:known chromosome:GRCm38:6:145137497:145160415:1 gene:ENSMUSG00000030263.13 transcript:ENSMUST00000152571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrmp description:lymphoid-restricted membrane protein [Source:MGI Symbol;Acc:MGI:108424] MLCVKGPPEQEPEDGALDVTRGCQCPLPTEGSILGQELLDCTRMNEDQSTDVSRTLFLSS EHLNGHRVQFEENGADHLYSESPSQLREYLTQPSSEQTSSSESTVTSSESGSDILHMASG DLDCKPLCEKEEEARAASAMQGTSLAPAAYGDYTSVGVAKAASQLEAGEELRTTENGGKG SAPGETEISMPPKASVKL >ENSMUSP00000115043.1 pep:known chromosome:GRCm38:6:145145474:145160981:1 gene:ENSMUSG00000030263.13 transcript:ENSMUST00000156849.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrmp description:lymphoid-restricted membrane protein [Source:MGI Symbol;Acc:MGI:108424] XLFSFWGLLRGVSQSAAENGADHLYSESPSQLREYLTQPSSEQTSSSESTVTSSESGSDI LHMASGDLDCKPLCEKEEEARAASAMQGTSLAPAAYGDYTSVGVAKAASQLEAGEELRTT ENGGKGSAPGETEISMPPKASVKLVNFQQSENTSANEKEVEAEFLRLSLGLKCDWFTLEK RVKLEERSRDLAEENL >ENSMUSP00000120248.1 pep:known chromosome:GRCm38:6:145145477:145174928:1 gene:ENSMUSG00000030263.13 transcript:ENSMUST00000132948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrmp description:lymphoid-restricted membrane protein [Source:MGI Symbol;Acc:MGI:108424] XFSFWGLLRGVSQSAAENGADHLYSESPSQLREYLTQPSSEQTSSSESTVTSSESGSDIL HMASGDLDCKPLCEKEEEARAASAMQGTSLAPAAYGDYTSVGVAKAASQLEAGEELRTTE NGGKGSAPGETEISMPPKASVKLVNFQQSENTSANEKEVEAEFLRLSLGLKCDWFTLEKR VKLEERSRDLAEENLKKEITNCLKLLESLTPLCEEDNQAQEIVKKLEKSIVLLSQCTARV ASRAEMLGAINQESRVSRAVEVMIQHVENLKRMYAKEHAELEDLKQALLQNDRSFNSLPD EDDCQIKKRSSSLNSKPSSLRRVTIASLPRNLGNVGLVSGMENNDRFSRRSSSWRILGTK QGEHRPSLHRFISTYSWADAEDERSDVKARDAPEPQGEEAVEGTRKPSLSERRSSTLAWD RGTICSSVASWVTHLQASFRRANRALWLTGLIIILIAALMSFLTGQLFQTAVEAAPTQEG DSWLSLEHILWPFTRLGHDGPLPV >ENSMUSP00000021784.2 pep:known chromosome:GRCm38:13:30749226:30766927:1 gene:ENSMUSG00000021356.9 transcript:ENSMUST00000021784.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf4 description:interferon regulatory factor 4 [Source:MGI Symbol;Acc:MGI:1096873] MNLETGSRGSEFGMSAVSCGNGKLRQWLIDQIDSGKYPGLVWENEEKSVFRIPWKHAGKQ DYNREEDAALFKAWALFKGKFREGIDKPDPPTWKTRLRCALNKSNDFEELVERSQLDISD PYKVYRIVPEGAKKGAKQLTLDDTQMAMGHPYPMTAPYGSLPAQQVHNYMMPPHDRSWRD YAPDQSHPEIPYQCPVTFGPRGHHWQGPSCENGCQVTGTFYACAPPESQAPGIPIEPSIR SAEALALSDCRLHICLYYRDILVKELTTTSPEGCRISHGHTYDVSNLDQVLFPYPDDNGQ RKNIEKLLSHLERGLVLWMAPDGLYAKRLCQSRIYWDGPLALCSDRPNKLERDQTCKLFD TQQFLSELQVFAHHGRPAPRFQVTLCFGEEFPDPQRQRKLITAHVEPLLARQLYYFAQQN TGHFLRGYELPEHVTTPDYHRSLRHSSIQE >ENSMUSP00000105936.1 pep:known chromosome:GRCm38:13:30749226:30766927:1 gene:ENSMUSG00000021356.9 transcript:ENSMUST00000110307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf4 description:interferon regulatory factor 4 [Source:MGI Symbol;Acc:MGI:1096873] MNLETGSRGSEFGMSAVSCGNGKLRQWLIDQIDSGKYPGLVWENEEKSVFRIPWKHAGKQ DYNREEDAALFKAWALFKGKFREGIDKPDPPTWKTRLRCALNKSNDFEELVERSQLDISD PYKVYRIVPEGAKKGAKQLTLDDTQMAMGHPYPMTAPYGSLPAQVHNYMMPPHDRSWRDY APDQSHPEIPYQCPVTFGPRGHHWQGPSCENGCQVTGTFYACAPPESQAPGIPIEPSIRS AEALALSDCRLHICLYYRDILVKELTTTSPEGCRISHGHTYDVSNLDQVLFPYPDDNGQR KNIEKLLSHLERGLVLWMAPDGLYAKRLCQSRIYWDGPLALCSDRPNKLERDQTCKLFDT QQFLSELQVFAHHGRPAPRFQVTLCFGEEFPDPQRQRKLITAHVEPLLARQLYYFAQQNT GHFLRGYELPEHVTTPDYHRSLRHSSIQE >ENSMUSP00000054943.7 pep:known chromosome:GRCm38:11:20227037:20249418:-1 gene:ENSMUSG00000044066.14 transcript:ENSMUST00000050611.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep68 description:centrosomal protein 68 [Source:MGI Symbol;Acc:MGI:2667663] MALSEDEAEAEVSVNTKVPSCGRWNSGKLLPSGLEPDQPLHLGVEGGPLWRAEADPGCIS GVFLSRVHTASKEPVADRSKPPLRGPLPSASVGTGEVLHSMGSQMEEDRLPASQDLLPAL QVFGTITVCSGQEADSEDFQATLDPSQVLGLSQQPHTSGLPLPPQWKSTVSPGAPQLSSR SISASSVGSSLQDHQEKAGPQRASFANVSSPELTVPQAAHSVVGAGPPLQGSAQPLTSGS DATGLGKRHLSFQAEYWACALPNSLPPSPNRHSALWDPNKEYEDLLDYTYPLRPGPQLPK QPESHVLTEPVLQDSGVDLDSLSVSPASTLKSPTNVSHNCSSAEVPTLPFSGARESCLKR WPLGIFQKQGGTSLSSWNQLASTPRAPGTEDASWENREAALRGTAEDCLPIGEDLRMGSP QLKTKEKEPPFPRQKRGRQHVSCPACVTPGWPSEEEVGSDEEYLALPTRLTQVSSLVSYS GARPSFVNLHTGAAEEHSSLQVSDSDKPASPTLDSSHRKHPSGTSFQGPVGQNPCFRHSI QPQDSRGKSSLMSNQTLGVSSKPLKTQPASKAMTDRRLFSELVAGETLPRTTDEQEKASL VQCVQTFCCRLEELICWLYNVTDVADLSAPPRTSLTGLKSSLQLYRQFKKDVDEHQSLTE SVLEKGEILLQCLLDNTPVLKDVLERIAKQSGELESRADHLYDSILASLDMLAGCTLIPD NRPTAAEHPHEGL >ENSMUSP00000105225.1 pep:known chromosome:GRCm38:11:20238386:20249418:-1 gene:ENSMUSG00000044066.14 transcript:ENSMUST00000109596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep68 description:centrosomal protein 68 [Source:MGI Symbol;Acc:MGI:2667663] MALSEDEAEAEVSVNTKVPSCGRWNSGKLLPSGLEPDQPLHLGVEGGPLWRAEADPGCIS GVFLSRVHTASKEPVADRSKPPLRGPLPSASVGTGEVLHSMGSQMEEDRLPASQDLLPAL QVFGTITVCSGQEADSEDFQATLDPSQVLGLSQQPHTSGLPLPPQWKSTVSPGAPQLSSR SISASSVGSSLQDHQEKAGPQRASFANVSSPELTVPQAAHSVVGAGPPLQGSAQPLTSGS DATGLGKRHLSFQAEYWACALPNSLPPSPNRHSALWDPNKEYEDLLDYTYPLRPGPQLPK QPESHVLTEPVLQDSGVDLDSLSVSPASTLKSPTNVSHNCSSAEVPTLPFSGARESCLKR WPLGIFQKQGGTSLSSWNQLASTPRAPGTEDASWENREAALRGTAEDCLPIGEDLRMGSP QLKTKEKEPPFPRQKRGRQHVSCPACVTPGWPSEEEVGSDEEYLALPTRLTQVSSLVSYS GARPSFVNLHTGAAEEHSSLQVSDSDKPASPTLDSSHRKHPSGTSFQGPVGQNPCFRHSI QPQDSRGKSSLMSNQTLGVSSKPLKTQPASKAMTDRRLFSELVAGETLPRTTDEQEKASL VQCVQTFCCRLEELICWLYNVTDVADLSAPPRTSLTGLKSSLQLYRVICSPGLFIHGDGS >ENSMUSP00000125113.1 pep:known chromosome:GRCm38:11:20238387:20249429:-1 gene:ENSMUSG00000044066.14 transcript:ENSMUST00000162811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep68 description:centrosomal protein 68 [Source:MGI Symbol;Acc:MGI:2667663] MALSEDEAEAEVSVNTKVPSCGRWNSGKLLPSGLEPDQPLHLGVEGGPLWRAEADPGCIS GVFLSRVHTASKEPVADRSKPPLRGPLPSASVGTGEVLHSMGSQMEEDRLPASQDLLPAL QVFGTITVCSGQEADSEDFQATLDPSQVLGLSQQPHTSGLPLPPQWKSTVSPGAPQLSSR SISASSVGSSLQDHQEKAGPQRASFANVSSPELTVPQAAHSVVGAGPPLQGSAQPLTSGS DATGLGKRHLSFQAEYWACALPNSLPPSPNRHSALWDPNKEYEDLLDYTYPLRPGPQLPK QPESHVLTEPVLQDSGVDLDSLSVSPASTLKSPTNVSHNCSSAEVPTLPFSGARESCLKR WPLGIFQKQGGTSLSSWNQLASTPRAPGTEDASWENREAALRGTAEDCLPIGEDLRMGSP QLKTKEKEPPFPRQKRGRQHVSCPACVTPGWPSEEEVGSDEEYLALPTRLTQVSSLVSYS GARPSFVNLHTGAAEEHSSLQVSDSDKPASPTLDSSHRKHPSGTSFQGPVGQNPCFRHSI QPQDSRGKSSLMSNQTLGVSSKPLKTQPASKAMTDRRLFSELVAGETLPRTTDEQEKASL VQCVQVKMFTENLLEGVMFPQPTNSMSRHPQHI >ENSMUSP00000136099.1 pep:known chromosome:GRCm38:7:31375592:31376916:1 gene:ENSMUSG00000095257.1 transcript:ENSMUST00000179481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b3 description:secretoglobin, family 1B, member 3 [Source:MGI Symbol;Acc:MGI:3644233] MKLAGAVVILGAALLLLTSGGDCGICPAIKEDVRLFLNGTSEEYVEYVKQYKDDPEILEN TAKIKQCVDSTLTEEDKAHATAFIEKIEASPLC >ENSMUSP00000125468.1 pep:known chromosome:GRCm38:3:66981390:67356559:1 gene:ENSMUSG00000034544.17 transcript:ENSMUST00000162036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc1 description:arginine/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1914130] MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRRPRSDSRSWSRDRQLRSH SYERRRRRRSSSSSSYGSRRKRSRSRSRGRGKPYRVQRSRSKSRTRRSRSRPRPRSHSRS SERSSHRRTRSRSRDRDRRKVRDKEKREKEKDKGKDKEVHSIKRGDSGNIKAGLEHLPPA EQAKARLQLVLEAAAKADEALKAKERSEEEAKRRKEEDQATLVEQVKRVKEIEAIESDSF VQQTFRSSKDVKKAVEPSEVQHVTAASGPASAAAEPPSTGKEIDPDSIPTAIKYQDDNSL AHPNLFIEKAEAEEKWFKRLIALRQERLMGSPVA >ENSMUSP00000123699.1 pep:known chromosome:GRCm38:3:66985670:66996473:1 gene:ENSMUSG00000034544.17 transcript:ENSMUST00000162362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc1 description:arginine/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1914130] MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRRPRSDSRSWSRDRQLRSH SYERRRRR >ENSMUSP00000066797.7 pep:known chromosome:GRCm38:3:66985672:67358395:1 gene:ENSMUSG00000034544.17 transcript:ENSMUST00000065074.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc1 description:arginine/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1914130] MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRRPRSDSRSWSRDRQLRSH SYERRRRRRSSSSSSYGSRRKRSRSRSRGRGKPYRVQRSRSKSRTRRSRSRPRPRSHSRS SERSSHRRTRSRSRDRDRRKVRDKEKREKEKDKGKDKEVHSIKRGDSGNIKAGLEHLPPA EQAKARLQLVLEAAAKADEALKAKERSEEEAKRRKEEDQATLVEQVKRVKEIEAIESDSF VQQTFRSSKDVKKAVEPSEVQHVTAASGPASAAAEPPSTGKEIDPDSIPTAIKYQDDNSL AHPNLFIEKAEAEEKWFKRLIALRQERLMGSPVA >ENSMUSP00000066967.6 pep:known chromosome:GRCm38:3:66985685:67353722:1 gene:ENSMUSG00000034544.17 transcript:ENSMUST00000065047.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc1 description:arginine/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1914130] MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRRPRSDSRSWSRDRQLRSH SYERRRRRRSSSSSSYGSRRKRSRSRSRGRGKPYRVQRSRSKSRTRRDSGNIKAGLEHLP PAEQAKARLQLVLEAAAKADEALKAKERSEEEAKRRKEEDQATLVEQVKRVKEIEAIESD SFVQQTFRSSKDVKKVLGRHDGLSSLHSNDYLC >ENSMUSP00000047077.6 pep:known chromosome:GRCm38:3:66985703:67358390:1 gene:ENSMUSG00000034544.17 transcript:ENSMUST00000046542.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc1 description:arginine/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1914130] MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRRPRSDSRSWSRDRQLRSH SYERRSRSRPRPRSHSRSSERSSHRRTRSRSRDRDRRKVRDKEKREKEKDKGKDKEVHSI KRGDSGNIKAGLEHLPPAEQAKARLQLVLEAAAKADEALKAKERSEEEAKRRKEEDQATL VEQVKRVKEIEAIESDSFVQQTFRSSKDVKKAVEPSEVQHVTAASGPASAAAEPPSTGKE IDPDSIPTAIKYQDDNSLAHPNLFIEKAEAEEKWFKRLIALRQERLMGSPVA >ENSMUSP00000125547.1 pep:known chromosome:GRCm38:3:66985704:67356558:1 gene:ENSMUSG00000034544.17 transcript:ENSMUST00000162693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc1 description:arginine/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1914130] MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRRPRSDSRSWSRDRQLRSH SYERRRRSSSSSSYGSRRKRSRSRSRGRGKPYRVQRSRSKSRTRRSRSRPRPRSHSRSSE RSSHRRTRSRSRDRDRRKVRDKEKREKEKDKGKDKEVHSIKRGDSGNIKAGLEHLPPAEQ AKARLQLVLEAAAKADEALKAKERSEEEAKRRKEEDQATLVEQVKRVKEIEAIESDSFVQ QTFRSSKDVKKLFIEKAEAEEKWFKRLIALRQERLMGSPVA >ENSMUSP00000124347.1 pep:known chromosome:GRCm38:3:66985967:67358396:1 gene:ENSMUSG00000034544.17 transcript:ENSMUST00000161726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc1 description:arginine/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1914130] MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRRPRSDSRSWSRDRQLRSH SYERRRRRRSSSSSSYGSRRKRSRSRSRGRGKPYRVQRSRSKSRTRRSRSRPRPRSHSRS SERSSHRRTRSRSRDRDRRKVRDKEKREKEKDKGKDKEVHSIKRGDSGNIKAGLEHLPPA EQAKARLQLVLEAAAKADEALKAKERSEEEAKRRKEEDQATLVEQVKRVKEIEAIESDSF VQQTFRSSKDVKKAVEPSEVQHVTAASGPASAAAEPPSTGKEIDPDSIPTAIKYQDDNSL AHPNLFIEKAEAEEKWFKRLIALRQERLMGSPVA >ENSMUSP00000124925.1 pep:known chromosome:GRCm38:3:66985978:66994711:1 gene:ENSMUSG00000034544.17 transcript:ENSMUST00000160504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc1 description:arginine/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1914130] MGRRSSDTEEESRSKRKKKHRRRSSSSSSSDSRTYSRKKGGRRPRSDSRSWSRDRQLRSH SYER >ENSMUSP00000055898.4 pep:known chromosome:GRCm38:16:89157952:89158348:1 gene:ENSMUSG00000044227.5 transcript:ENSMUST00000062524.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9789 description:predicted gene 9789 [Source:MGI Symbol;Acc:MGI:3642043] MCYYGGYYGGLGYGYGGLGCGYGCGYGCGYGGYGYGCCRPLCCGRYWSYGFY >ENSMUSP00000097118.2 pep:known chromosome:GRCm38:13:50698312:50703435:1 gene:ENSMUSG00000094918.3 transcript:ENSMUST00000099518.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8765 description:predicted gene 8765 [Source:MGI Symbol;Acc:MGI:3704126] MENFLSLMNSIIDPWMSNSSMDIAMDMTIGFMCGVGLFFLLIPFLKEYPVSPASENEWSK PQDVKRWQKKTSKKTATGKGCTDGGKNAEETKTPSQPMEIPNKQRYKNLSRFFWGTYSIN SESVVATVHELRSPSLGKCKSVRFSDDSGPDKAPSKAKGLPQHSQHQPLPHHRVIPNLVD EKQVQEKKILTKSTRKQTPPCFKSRTYVVPHPTTERRKQASLPAGSLPGKHGPYSKETKD YDERKHQKAISKPTDNLRRDTLHCKAISPVIIHPEHCQRLQLHKEPENNEKTTRVKEQQG TPFRFLPYRKPTHVQEHFPANTVHYCKNRPQLSQPAQASIFNTKPYKCSKWKGSVPTGLP LKKDIAKSDMHNTIKKDLGVGAQKVPGTASSSPGKEVRPKKPALRTEKVSSMKPAGHYSL PEAKTASNFTECPVKQRRPYLQMLVARDLTPPGVPASNLPQVVYPSSPICNSKAARVLEK LHHQDPGGTRMKSASVARPERAEFIHSLPEAQETHRAPPFAASHGATKSHLDPLPKYLSV QRPACYFQAANPQQIRTLWGTGKGSLKPSTNLKMAKHAPQKNFQEVDSRHRPWCMTMEGP GQRVLPSGAKQTTVEVKEEPPHAWRVSLGSSESHIGKHIDIRPRDFGSLWANRLPAHLQI PTSQHSQDSSESIFSSNQQPRAWPVSHHPVGPSRVIPADVNLPSKDSLPSFQNTVQNTKP SQGLCDVLMRRHQRSDTQENRVLADKIKVCDHDGVHPHVESQSIKSRAIRLAERLEEIRP SILSSIKLKDTAKSRIAEKGEATSNTSRKNTLLNNLLERNLSKKYSEQGDSLRIDQPTPA AEQTKVLTIKMVIYRMIAALKSLVDVLFQILEDSEGDTSEVQGSEVESLTTQLTSHSSES LYDTNYSRPASRRSCGHSTSEMHNYSLTYRRMGDKLQLGIKAQRACDPYMNQEMRGMCFD QLHMPKGKELPCEYRRNGAKQEPGLVAQQASDSRYTLQNRLRSRWLRPSMPSHTRIF >ENSMUSP00000045162.3 pep:known chromosome:GRCm38:16:4639941:4650802:1 gene:ENSMUSG00000039646.5 transcript:ENSMUST00000038770.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vasn description:vasorin [Source:MGI Symbol;Acc:MGI:2177651] MHSRSCLPPLLLLLLVLLGSGVQGCPSGCQCNQPQTVFCTARQGTTVPRDVPPDTVGLYI FENGITTLDVGCFAGLPGLQLLDLSQNQITSLPGGIFQPLVNLSNLDLTANKLHEISNET FRGLRRLERLYLGKNRIRHIQPGAFDALDRLLELKLPDNELRVLPPLHLPRLLLLDLSHN SIPALEAGILDTANVEALRLAGLGLRQLDEGLFGRLLNLHDLDVSDNQLEHMPSVIQGLR GLTRLRLAGNTRIAQIRPEDLAGLTALQELDVSNLSLQALPSDLSSLFPRLRLLAAARNP FNCLCPLSWFGPWVRENHVVLASPEETRCHFPPKNAGRLLLDLDYADFGCPVTTTTATVP TIRSTIREPTLSTSSQAPTWPSLTEPTTQASTVLSTAPPTMRPAPQPQDCPASICLNGGS CRLGARHHWECLCPEGFIGLYCESPVEQGMKPSSIPDTPRPPPLLPLSIEPVSPTSLRVK LQRYLQGNTVQLRSLRLTYRNLSGPDKRLVTLRLPASLAEYTVTQLRPNATYSICVTPLG AGRTPEGEEACGEANTSQAVRSNHAPVTQAREGNLPLLIAPALAAVLLAVLAAAGAAYCV RRARATSTAQDKGQVGPGTGPLELEGVKAPLEPGSKATEGGGEALSGGPECEVPLMGYPG PSLQGVLPAKHYI >ENSMUSP00000058630.4 pep:known chromosome:GRCm38:2:131298064:131352881:-1 gene:ENSMUSG00000048911.15 transcript:ENSMUST00000059372.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf24 description:ring finger protein 24 [Source:MGI Symbol;Acc:MGI:1261771] MSSDFPHYNFRMPNIGFQNLPLNIYIVVFGTAVFVFILSLLFCCYLIRLRHQAHKEFYAY KQVILKEKVKELNLHELCAVCLEDFKPRDELGICPCKHAFHRKCLVKWLEVRKVCPLCNM PVLQLAQLHSKQDRGPPQEPLPGAENIV >ENSMUSP00000105823.1 pep:known chromosome:GRCm38:2:131298067:131328988:-1 gene:ENSMUSG00000048911.15 transcript:ENSMUST00000110194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf24 description:ring finger protein 24 [Source:MGI Symbol;Acc:MGI:1261771] MSSDFPHYNFRMPNIGFQNLPLNIYIVVFGTAVFVFILSLLFCCYLIRLRHQAHKEFYAY KQVILKEKVKELNLHELCAVCLEDFKPRDELGICPCKHAFHRKCLVKWLEVRKVCPLCNM PVLQLAQLHSKQDRGPPQEPLPGAENIV >ENSMUSP00000139130.1 pep:known chromosome:GRCm38:2:131302646:131352862:-1 gene:ENSMUSG00000048911.15 transcript:ENSMUST00000183902.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf24 description:ring finger protein 24 [Source:MGI Symbol;Acc:MGI:1261771] MSSDFPHYNFRMPNIGFQNLPLNIYIVVFGTAVFVFILSLLFCCYLIRLRHQAHKEFYAY KQVILKEKVKELNLHEEADISTAAHRRGFPAAPLS >ENSMUSP00000129843.1 pep:known chromosome:GRCm38:2:131298065:131313314:-1 gene:ENSMUSG00000048911.15 transcript:ENSMUST00000165420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf24 description:ring finger protein 24 [Source:MGI Symbol;Acc:MGI:1261771] MSSDFPHYNFRMPNIGFQNLPLNIYIVVFGTAVFVFILSLLFCCYLIRLRHQAHKEFYAY KQVILKEKVKELNLHELCAVCLEDFKPRDELGICPCKHAFHRKCLVKWLEVRKVCPLCNM PVLQLAQLHSKQDRGPPQEPLPGAENIV >ENSMUSP00000081789.3 pep:known chromosome:GRCm38:7:109523920:109616553:-1 gene:ENSMUSG00000031024.13 transcript:ENSMUST00000084738.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St5 description:suppression of tumorigenicity 5 [Source:MGI Symbol;Acc:MGI:108517] MTMTANKNSSITHGTGGTKAPRETLSRKSFEFEDASSLQSLYPSSPTENGTESQPKFGSK STLEENAYEDIVGGLPKENPYEDVDLKNRRAGRKSQQLSENSLDSLHRMWSPQDRKYNHP PMQLSLKSNSQSLRSGNWSERKSHRLPRLPKRHSHDDMMLLAQLSLPSSPSSLNEDSLST TSELLSSRRSRRIPKLVQRINSIYNAKRGKKRLKKLSMSSLETASLRDENSESESDSDDR FKAHTQRLVHIQSMLKRAPSYRTLELELLEWQERELFEYFVVVSLKKKPSRNTYLPEVSY QFPKLDRPTKQMREAEERLKAIPQFCFPDAKDWLPVSEYSSETFSFMLTGEDGSRRFGYC RRLLPSGKGPRLPEVYCVISRLGCFGLFSKVLDEVERRRGISAALVYPFMRSLMESPFPA PGKTIKVKTFLPGAGNEVLELRRPMDSRLEHVDFECLFTCLSVRQLIRIFASLLLERRVI FVADKLSTLSSCSHAVVALLYPFSWQHTFIPVLPASMIDIVCCPTPFLVGLLSSSLPKLK ELPVEEALMVNLGSDRFIRQMDDEDTLLPRKLQAALEQALERKSELISQDSDSDSDDECN TLNGLVSEVFIRFFVETVGHYSLFLTHSEKGERAFQREAFRKSVASKSIRRFLEVFMESQ MFAGFIQDRELRKCRAKGLFEQRVEQYLEELPDTEQSGMNKFLRGLGNKMKFLHKKN >ENSMUSP00000077067.1 pep:known chromosome:GRCm38:7:109523920:109616753:-1 gene:ENSMUSG00000031024.13 transcript:ENSMUST00000077909.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St5 description:suppression of tumorigenicity 5 [Source:MGI Symbol;Acc:MGI:108517] MTMTANKNSSITHGTGGTKAPRETLSRSQSVSPPPVLYPPRSPIYPLSDSETSACRYPSH SKSQVLLKDRHSRNPSLLGQDPSPETSPPICTLKATSFSYLDRTPSLRKREDQKETVQGA VQDVEGVAACLPLAQSTPFLGAGSRSVLLSCTGTRAHSLGIREKISAWEGRREASPRMSL CGEKREGPGSEWSVSEGCPSVGCPSVVPSPCSSEKTFDFKGLRRMSRTFSECSYPETEEE AEALPGRDSLYRLEKRPGRTEPSALLRGHGIRKESSAVLSRIQKIEQALKEQPGRGLPQL PSSCYSVDQGRRKTGTLGTLEEPTGTASVSPSSRAGGVAGVAGEAGPPLDREGSASMKSE TPGNSSSPQLLPPKSSPDPAVNPVPKPKRTFEYEADKNPKTKPSNGLPPSPTPAAPPPLP STPAPPVTRRPKKDMRGHRKSQNRKSFEFEDASSLQSLYPSSPTENGTESQPKFGSKSTL EENAYEDIVGGLPKENPYEDVDLKNRRAGRKSQQLSENSLDSLHRMWSPQDRKYNHPPMQ LSLKSNSQSLRSGNWSERKSHRLPRLPKRHSHDDMMLLAQLSLPSSPSSLNEDSLSTTSE LLSSRRSRRIPKLVQRINSIYNAKRGKKRLKKLSMSSLETASLRDENSESESDSDDRFKA HTQRLVHIQSMLKRAPSYRTLELELLEWQERELFEYFVVVSLKKKPSRNTYLPEVSYQFP KLDRPTKQMREAEERLKAIPQFCFPDAKDWLPVSEYSSETFSFMLTGEDGSRRFGYCRRL LPSGKGPRLPEVYCVISRLGCFGLFSKVLDEVERRRGISAALVYPFMRSLMESPFPAPGK TIKVKTFLPGAGNEVLELRRPMDSRLEHVDFECLFTCLSVRQLIRIFASLLLERRVIFVA DKLSTLSSCSHAVVALLYPFSWQHTFIPVLPASMIDIVCCPTPFLVGLLSSSLPKLKELP VEEALMVNLGSDRFIRQMDDEDTLLPRKLQAALEQALERKSELISQDSDSDSDDECNTLN GLVSEVFIRFFVETVGHYSLFLTHSEKGERAFQREAFRKSVASKSIRRFLEVFMESQMFA GFIQDRELRKCRAKGLFEQRVEQYLEELPDTEQSGMNKFLRGLGNKMKFLHKKN >ENSMUSP00000146934.1 pep:known chromosome:GRCm38:7:109553013:109703605:-1 gene:ENSMUSG00000031024.13 transcript:ENSMUST00000207745.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St5 description:suppression of tumorigenicity 5 [Source:MGI Symbol;Acc:MGI:108517] MTMTANKNSSITHGTGGTKAPRETLSRKSFEFEDASSLQSLYPSSPTENGTESQPKFGS >ENSMUSP00000146747.1 pep:known chromosome:GRCm38:7:109557239:109602665:-1 gene:ENSMUSG00000031024.13 transcript:ENSMUST00000208583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St5 description:suppression of tumorigenicity 5 [Source:MGI Symbol;Acc:MGI:108517] MTMTANKNSSITHGTGGTKAPRETLSRSQSVSPPPVLYPPRSPIYPLSDSETSACRYPSH SKSQVLLKDRHSRNPSLLGQDPSPETSPPICTLKATSFSYL >ENSMUSP00000146549.1 pep:known chromosome:GRCm38:7:109557256:109672353:-1 gene:ENSMUSG00000031024.13 transcript:ENSMUST00000207394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St5 description:suppression of tumorigenicity 5 [Source:MGI Symbol;Acc:MGI:108517] MTMTANKNSSITHGTGGTKAPRETLSRSQSVSPPPVLYPPRSPIYPLSDSETSACRYPSH SKSQVLLKDRHSRNPSLLGQDPSPETSPPICTLKA >ENSMUSP00000146829.1 pep:known chromosome:GRCm38:7:109570007:109672086:-1 gene:ENSMUSG00000031024.13 transcript:ENSMUST00000208734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St5 description:suppression of tumorigenicity 5 [Source:MGI Symbol;Acc:MGI:108517] MTMTANKNSSITHGTGGTKAPRETLS >ENSMUSP00000078264.1 pep:known chromosome:GRCm38:7:109523911:109617147:-1 gene:ENSMUSG00000031024.13 transcript:ENSMUST00000079282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St5 description:suppression of tumorigenicity 5 [Source:MGI Symbol;Acc:MGI:108517] MTMTANKNSSITHGTGGTKAPRETLSRSQSVSPPPVLYPPRSPIYPLSDSETSACRYPSH SKSQVLLKDRHSRNPSLLGQDPSPETSPPICTLKATSFSYLDRTPSLRKREDQKETVQGA VQDVEGVAACLPLAQSTPFLGAGSRSVLLSCTGTRAHSLGIREKISAWEGRREASPRMSL CGEKREGPGSEWSVSEGCPSVGCPSVVPSPCSSEKTFDFKGLRRMSRTFSECSYPETEEE AEALPGRDSLYRLEKRPGRTEPSALLRGHGIRKESSAVLSRIQKIEQALKEQPGRGLPQL PSSCYSVDQGRRKTGTLGTLEEPTGTASVSPSSRAGGVAGVAGEAGPPLDREGSASMKSE TPGNSSSPQLLPPKSSPDPAVNPVPKPKRTFEYEADKNPKTKPSNGLPPSPTPAAPPPLP STPAPPVTRRPKKDMRGHRKSQNRKSFEFEDASSLQSLYPSSPTENGTESQPKFGSKSTL EENAYEDIVGGLPKENPYEDVDLKNRRAGRKSQQLSENSLDSLHRMWSPQDRKYNHPPMQ LSLKSNSQSLRSGNWSERKSHRLPRLPKRHSHDDMMLLAQLSLPSSPSSLNEDSLSTTSE LLSSRRSRRIPKLVQRINSIYNAKRGKKRLKKLSMSSLETASLRDENSESESDSDDRFKA HTQRLVHIQSMLKRAPSYRTLELELLEWQERELFEYFVVVSLKKKPSRNTYLPEVSYQFP KLDRPTKQMREAEERLKAIPQFCFPDAKDWLPVSEYSSETFSFMLTGEDGSRRFGYCRRL LPSGKGPRLPEVYCVISRLGCFGLFSKVLDEVERRRGISAALVYPFMRSLMESPFPAPGK TIKVKTFLPGAGNEVLELRRPMDSRLEHVDFECLFTCLSVRQLIRIFASLLLERRVIFVA DKLSTLSSCSHAVVALLYPFSWQHTFIPVLPASMIDIVCCPTPFLVGLLSSSLPKLKELP VEEALMVNLGSDRFIRQMDDEDTLLPRKLQAALEQALERKSELISQDSDSDSDDECNTLN GLVSEVFIRFFVETVGHYSLFLTHSEKGERAFQREAFRKSVASKSIRRFLEVFMESQMFA GFIQDRELRKCRAKGLFEQRVEQYLEELPDTEQSGMNKFLRGLGNKMKFLHKKN >ENSMUSP00000130119.1 pep:known chromosome:GRCm38:7:109523911:109617147:-1 gene:ENSMUSG00000031024.13 transcript:ENSMUST00000168005.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St5 description:suppression of tumorigenicity 5 [Source:MGI Symbol;Acc:MGI:108517] MTMTANKNSSITHGTGGTKAPRETLSRKSFEFEDASSLQSLYPSSPTENGTESQPKFGSK STLEENAYEDIVGGLPKENPYEDVDLKNRRAGRKSQQLSENSLDSLHRMWSPQDRKYNHP PMQLSLKSNSQSLRSGNWSERKSHRLPRLPKRHSHDDMMLLAQLSLPSSPSSLNEDSLST TSELLSSRRSRRIPKLVQRINSIYNAKRGKKRLKKLSMSSLETASLRDENSESESDSDDR FKAHTQRLVHIQSMLKRAPSYRTLELELLEWQERELFEYFVVVSLKKKPSRNTYLPEVSY QFPKLDRPTKQMREAEERLKAIPQFCFPDAKDWLPVSEYSSETFSFMLTGEDGSRRFGYC RRLLPSGKGPRLPEVYCVISRLGCFGLFSKVLDEVERRRGISAALVYPFMRSLMESPFPA PGKTIKVKTFLPGAGNEVLELRRPMDSRLEHVDFECLFTCLSVRQLIRIFASLLLERRVI FVADKLSTLSSCSHAVVALLYPFSWQHTFIPVLPASMIDIVCCPTPFLVGLLSSSLPKLK ELPVEEALMVNLGSDRFIRQMDDEDTLLPRKLQAALEQALERKSELISQDSDSDSDDECN TLNGLVSEVFIRFFVETVGHYSLFLTHSEKGERAFQREAFRKSVASKSIRRFLEVFMESQ MFAGFIQDRELRKCRAKGLFEQRVEQYLEELPDTEQSGMNKFLRGLGNKMKFLHKKN >ENSMUSP00000124603.1 pep:known chromosome:GRCm38:18:84720019:84730447:1 gene:ENSMUSG00000047992.10 transcript:ENSMUST00000161429.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam69c description:family with sequence similarity 69, member C [Source:MGI Symbol;Acc:MGI:3041188] MVAGEVKNTLGLELPNNSIAPLWPARQGPGWRQQLASAWSLLQQEEYVYFSLLPDL >ENSMUSP00000057697.1 pep:known chromosome:GRCm38:18:84720242:84740436:1 gene:ENSMUSG00000047992.10 transcript:ENSMUST00000052501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam69c description:family with sequence similarity 69, member C [Source:MGI Symbol;Acc:MGI:3041188] MVAGEVKNTLGLELPNNSIAPLWPARQGPGWRQQLASAWSLLQQEEYVYFSLLPDLSRHI LPVLGSCGHFYAVEYLAAGSPHHKALFPLDDAGQAQAISHIALSFLDMVSHFDSDFSHRL HLCDVKPENFAIKRDFTVVAIDVDMAFFEPKMREILEQNCTGDEDCNFFDCFSKCDLRVH KCGAQRVNSNLQVICDKIFRHWFSSTHRSPAVSLQLRLQLQQAVQECAQHGGSSGNSWTA SSSVFWKLRWLLQATLKELQEAEK >ENSMUSP00000023718.7 pep:known chromosome:GRCm38:15:101485131:101491512:-1 gene:ENSMUSG00000067613.5 transcript:ENSMUST00000023718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5430421N21Rik description:RIKEN cDNA 5430421N21 gene [Source:MGI Symbol;Acc:MGI:3690448] MTCGFRTGNFSCASACGPRPGRCCISAAPYRGISCYRGLSGGFGSQSVCGAFRSGSCGRS FGYRSGGICGPSPPCITTVSVNESLLTPLNLEIDPNAQCVKHEEKEQIKCLNSRFAAFID KVRFLEQQNKLLETKWQFYQNRKCCESNMEPLFEGYIETLRREAECVEADSGRLAAELNH AQESMEGYKKRYEEEVALRATAENEFVALKKDVDCAYLRKSDLEANAEALTQETDFLRRM YDEETRILHSHISDTSVIVKMDNSRDLNMDCVVAEIKAQYDDIASRSRAEAESWYRTKCE EMKATVIRHGETLRRTREEINELNRMIQRLTAEIENAKCQNTKLEAAVTQSEQQGEAALA DARCKLAELEGALQKAKQDMACLLKEYQEVMNSKLGLDVEIITYRRLLEGEEQRLCEGVG AVNVCVSSSRGGVVCGDLCVSGSRPVTGSVCSAPCSGNVAVSTGLCAPCGSGPCHPGRC >ENSMUSP00000026585.7 pep:known chromosome:GRCm38:7:141475240:141493427:1 gene:ENSMUSG00000025511.14 transcript:ENSMUST00000026585.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan4 description:tetraspanin 4 [Source:MGI Symbol;Acc:MGI:1928097] MARGCLQGVKYLMFAFNLLFWLGGCGVLGVGIWLAATQGNFATLSSSFPSLSAANLLIVT GTFVMAIGFVGCIGALKENKCLLLTFFVLLLLVFLLEATIAVLFFAYSDKIDSYAQQDLK KGLHLYGTQGNVGLTNAWSIIQTDFRCCGVSNYTDWFEVYNATRVPDSCCLEFSDSCGLH EPGTWWKSPCYETVKAWLQENLLAVGIFGLCTALVQILGLTFAMTMYCQVVKADTYCA >ENSMUSP00000115421.1 pep:known chromosome:GRCm38:7:141475510:141491803:1 gene:ENSMUSG00000025511.14 transcript:ENSMUST00000138092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan4 description:tetraspanin 4 [Source:MGI Symbol;Acc:MGI:1928097] MARGCLQGVKYLMFAFNLLFWLGGCGVLGVGIWLAATQGNFATLSSSFPSLSAANLLIVT GTFVMAIGFVGCIGALKENKCLLLTFFVLLLLVFLLEATIAVLFFAYSDKIDSYAQQDLK KGLHLYGTQGNVGLTNAWSIIQTDFRCCGVSNYT >ENSMUSP00000118533.1 pep:known chromosome:GRCm38:7:141475513:141491816:1 gene:ENSMUSG00000025511.14 transcript:ENSMUST00000146305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan4 description:tetraspanin 4 [Source:MGI Symbol;Acc:MGI:1928097] MARGCLQGVKYLMFAFNLLFWLGGCGVLGVGIWLAATQGNFATLSSSFPSLSAANLLIVT GTFVMAIGFVGCIGALKENKCLLLTFFVLLLLVFLLEATIAVLFFAYSDKIDSYAQQDLK KGLHLYGTQGNVGLTNAWSIIQTDFRCCGVSNYTDWFE >ENSMUSP00000118936.1 pep:known chromosome:GRCm38:7:141475736:141489620:1 gene:ENSMUSG00000025511.14 transcript:ENSMUST00000140858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan4 description:tetraspanin 4 [Source:MGI Symbol;Acc:MGI:1928097] MARGCLQGVKYLMFAFNLLFWLGGCGVLGVGIWLAATQGNFATL >ENSMUSP00000113085.1 pep:known chromosome:GRCm38:7:141476400:141493421:1 gene:ENSMUSG00000025511.14 transcript:ENSMUST00000117634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan4 description:tetraspanin 4 [Source:MGI Symbol;Acc:MGI:1928097] MARGCLQGVKYLMFAFNLLFWLGGCGVLGVGIWLAATQGNFATLSSSFPSLSAANLLIVT GTFVMAIGFVGCIGALKENKCLLLTFFVLLLLVFLLEATIAVLFFAYSDKIDSYAQQDLK KGLHLYGTQGNVGLTNAWSIIQTDFRCCGVSNYTDWFEVYNATRVPDSCCLEFSDSCGLH EPGTWWKSPCYETVKAWLQENLLAVGIFGLCTALVQILGLTFAMTMYCQVVKADTYCA >ENSMUSP00000048522.3 pep:known chromosome:GRCm38:X:157698910:157752591:1 gene:ENSMUSG00000041476.12 transcript:ENSMUST00000038007.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smpx description:small muscle protein, X-linked [Source:MGI Symbol;Acc:MGI:1913356] MSKQPISNVRAIQANINIPMGAFRPGAGQPPRRKESTPETEEGAPTTSEEKKPIPGMKKF PGPVVNLSEIQNVKSELKFVPKGEQ >ENSMUSP00000119573.1 pep:known chromosome:GRCm38:X:157698910:157703012:1 gene:ENSMUSG00000041476.12 transcript:ENSMUST00000136141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpx description:small muscle protein, X-linked [Source:MGI Symbol;Acc:MGI:1913356] MSKQPISNVRAIQ >ENSMUSP00000108139.1 pep:known chromosome:GRCm38:X:157702598:157752241:1 gene:ENSMUSG00000041476.12 transcript:ENSMUST00000112520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpx description:small muscle protein, X-linked [Source:MGI Symbol;Acc:MGI:1913356] MSKQPISNVRAIQANINIPMGAFRPGAGQPPRRKESTPETEEGAPTTSEEKKPIPGMKKF PGPVVNLSEIQNVKSELKFVPKGEQ >ENSMUSP00000120412.1 pep:known chromosome:GRCm38:X:157699260:157752214:1 gene:ENSMUSG00000041476.12 transcript:ENSMUST00000147283.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smpx description:small muscle protein, X-linked [Source:MGI Symbol;Acc:MGI:1913356] MSKQPISNVRAIQNNRKDKIRLILTEGEYQYSNGSLSSGSWAASQKEREYS >ENSMUSP00000108140.1 pep:known chromosome:GRCm38:X:157699127:157752589:1 gene:ENSMUSG00000041476.12 transcript:ENSMUST00000112521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpx description:small muscle protein, X-linked [Source:MGI Symbol;Acc:MGI:1913356] MSKQPISNVRAIQANINIPMGAFRPGAGQPPRRKESTPETEEGAPTTSEEKKPIPGMKKF PGPVVNLSEIQNVKSELKFVPKGEQ >ENSMUSP00000140268.1 pep:known chromosome:GRCm38:X:157698973:157752589:1 gene:ENSMUSG00000041476.12 transcript:ENSMUST00000190091.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpx description:small muscle protein, X-linked [Source:MGI Symbol;Acc:MGI:1913356] MSKQPISNVRAIQANINIPMGAFRPGAGQPPRRKESTPETEEGAPTTSEEKKPIPGMKKF PGPVVNLSEIQNVKSELKFVPKGEQ >ENSMUSP00000026190.7 pep:known chromosome:GRCm38:19:42593982:42612813:-1 gene:ENSMUSG00000025185.14 transcript:ENSMUST00000026190.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxl4 description:lysyl oxidase-like 4 [Source:MGI Symbol;Acc:MGI:1914823] MMWPQPPTFSLFLLLLLSQAPSSRPQSSGTKKLRLVGPTDRPEEGRLEVLHQGQWGTVCD DDFALQEATVACRQLGFESALTWAHSAKYGQGEGPIWLDNVRCLGTEKTLDQCGSNGWGV SDCRHSEDVGVVCHPRRQHGYHSEKVSNALGPQGRRLEEVRLKPILASAKRHSPVTEGAV EVRYDGHWRQVCDQGWTMNNSRVVCGMLGFPSQTSVNSHYYRKVWNLKMKDPKSRLNSLT KKNSFWIHRVDCLGTEPHLAKCQVQVAPGRGKLRPACPGGMHAVVSCVAGPHFRRQKPKP TRKESHAEELKVRLRSGAQVGEGRVEVLMNRQWGTVCDHRWNLISASVVCRQLGFGSARE ALFGAQLGQGLGPIHLSEVRCRGYERTLGDCLALEGSQNGCQHANDAAVRCNIPDMGFQN KVRLAGGRNSEEGVVEVQVEVNGVPRWGTVCSDHWGLTEAMVTCRQLGLGFANFALKDTW YWQGTPEAKEVVMSGVRCSGTEMALQQCQRHGPVHCSHGPGRFSAGVACMNSAPDLVMNA QLVQETAYLEDRPLSMLYCAHEENCLSKSADHMDWPYGYRRLLRFSSQIYNLGRADFRPK AGRHSWIWHQCHRHYHSIEVFTHYDLLTLNGSKVAEGHKASFCLEDTNCPSGVQRRYACA NFGEQGVAVGCWDTYRHDIDCQWVDITDVGPGDYIFQVVVNPTNDVAESDFSNNMIRCRC KYDGQRVWLHNCHTGDSYRANAELSLEQEQRLRNNLI >ENSMUSP00000125803.1 pep:known chromosome:GRCm38:19:42595106:42612813:-1 gene:ENSMUSG00000025185.14 transcript:ENSMUST00000164786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxl4 description:lysyl oxidase-like 4 [Source:MGI Symbol;Acc:MGI:1914823] MMWPQPPTFSLFLLLLLSQAPSSRPQSSGTKKLRLVGPTDRPEEGRLEVLHQGQWGTVCD DDFALQEATVACRQLGFESALTWAHSAKYGQGEGPIWLDNVRCLGTEKTLDQCGSNGWGV SDCRHSEDVGVVCHPRRQHGYHSEKVSNALGPQGRRLEEVRLKPILASAKRHSPVTEGAV EVRYDGHWRQVCDQGWTMNNSRVVCGMLGFPSQTSVNSHYYRKVWNLKMKDPKSRLNSLT KKNSFWIHRVDCLGTEPHLAKCQVQVAPGRGKLRPACPGGMHAVVSCVAGPHFRRQKPKP TRKESHAEQELKVRLRSGAQVGEGRVEVLMNRQWGTVCDHRWNLISASVVCRQLGFGSAR EALFGAQLGQGLGPIHLSEVRCRGYERTLGDCLALEGSQNGCQHANDAAVRCNIPDMGFQ NKVRLAGGRNSEEGVVEVQVEVNGVPRWGTVCSDHWGLTEAMVTCRQLGLGFANFALKDT WYWQGTPEAKEVVMSGVRCSGTEMALQQCQRHGPVHCSHGPGRFSAGVACMNSAPDLVMN AQLVQETAYLEDRPLSMLYCAHEENCLSKSADHMDWPYGYRRLLRFSSQIYNLGRADFRP KAGRHSWIWHQCHRHYHSIEVFTHYDLLTLNGSKVAEGHKASFCLEDTNCPSGVQRRYAC ANFGEQGVAVGCWDTYRHDIDCQWVDITDVGPGDYIFQVVVNPTNDVAESDFSNNMIRCR CKYDGQRVWLHNCHTGDSYRANAELSLEQEQRLRNNLI >ENSMUSP00000126552.1 pep:known chromosome:GRCm38:19:42607609:42612772:-1 gene:ENSMUSG00000025185.14 transcript:ENSMUST00000166128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxl4 description:lysyl oxidase-like 4 [Source:MGI Symbol;Acc:MGI:1914823] MPGHLPHRRSEITMMWPQPPTFSLFLLLLLSQAPSSRPQSSGTKKLRLVGPTDRPEEGRL EVLHQGQWGTVCDDDFALQEATVACRQLGFESALTWAHSAKYGQGEGPIWLDNVRCLGTE KTLDQCGSNGWGVSDCRHSEDVGVVCHP >ENSMUSP00000126686.1 pep:known chromosome:GRCm38:19:42595334:42608531:-1 gene:ENSMUSG00000025185.14 transcript:ENSMUST00000171432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxl4 description:lysyl oxidase-like 4 [Source:MGI Symbol;Acc:MGI:1914823] MMWPQPPTFSLFLLLLLSQAPSSRPQSSGTKKLRLVGPTDRPEEGRLEVLHQGQWGTVCD DDFALQEATVACRQLGFESALTWAHSAKYGQGEGPIWLDNVRCLGTEKTLDQCGSNGWGV SDCRHSEDVGVVCHPRRQHGYHSEKVSNALGPQGRRLEEVRLKPILASAKRHSPVTEGAV EVRYDGHWRQVCDQGWTMNNSRVVCGMLGFPSQTSVNSHYYRKVWNLKMKDPKSRLNSLT KKNSFWIHRVDCLGTEPHLAKCQVQVAPGRGKLRPACPGGMHAVVSCVAGPHFRRQKPKP TRKESHAEELKVRLRSGAQVGEGRVEVLMNRQWGTVCDHRWNLISASVVCRQLGFGSARE ALFGAQLGQGLGPIHLSEVRCRGYERTLGDCLALEGSQNGCQHANDAAVRCNIPDMGFQN KVRLAGGRNSEEGVVEVQVEVNGVPRWGTVCSDHWGLTEAMVTCRQLGLGFANFALKDTW YWQGTPEAKEVVMSGVRCSGTEMALQQCQRHGPVHCSHGPGRFSAGVACMNSAPDLVMNA QLVQETAYLEDRPLSMLYCAHEENCLSKSADHMDWPYGYRRLLRFSSQIYNLGRADFRPK AGRHSWIWHQCHRHYHSIEVFTHYDLLTLNGSKVAEGHKASFCLEDTNCPSGVQRRYACA NFGEQGVAVGCWDTYRHDIDCQWVDITDVGPGDYIFQVVVNPTNDVAESDFSNNMIRCRC KYDGQRVWLHNCHTGDSYRANAELSLEQEQRLRNNLI >ENSMUSP00000032106.4 pep:known chromosome:GRCm38:6:83156404:83162945:1 gene:ENSMUSG00000030030.5 transcript:ENSMUST00000032106.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700003E16Rik description:RIKEN cDNA 1700003E16 gene [Source:MGI Symbol;Acc:MGI:1919087] MSHEGSRQARDRGVTRSKAEKARPPTQPVPQVDIVPGRLNEAEWIAFMSLEEGEDVVGDI LADLMTRVMECAFKVYLTQQCVPFTISQAREAMLQITEWRFLARDEGESAVAEDPTWGED EEPLACTTDSWAQGSVPVLHTPAPVCVEEQFHNEEPGNPDQFLLGSSWDKESQKPTQPSE PSAEPKVTPRPTATLEAFEEAEPGDALEVPHGQEGSHMLAVPSKESLRSTAEGERVYSPQ SSLKQPQVVRLQASEKESSFGSHLSLEDLYLCMPQPDAAGDRLSLQSKGQLHSSPIGSES HLGALTPAEPSAFQEPEVLGERPKHKTTTLRMDSSRLPRHWVRPVAEVLIPDLEVHPLEI YRGRPRRSQAGTATSACESQALSSRAPSKPHVSSPRFPLQRCATFRALGPDPSLNLAQTS PSFGSNVPFLSPGFRFLPRNPIPPDVASTPTPKLWPLAKWPSGWEREAEQLGELWAGRTR VPPQGQEPVEVTPLEEDSGWPLAAPQVLEATSQVLWKPMVISETMKLVPGVSMWNRGTQE LLNPAVIRKEAEEGTPQAPEQQPIQTGVSKPQVIMKQIRNETPKAWLLPTKPVPHSGS >ENSMUSP00000145406.1 pep:known chromosome:GRCm38:6:83156501:83162891:1 gene:ENSMUSG00000030030.5 transcript:ENSMUST00000203203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700003E16Rik description:RIKEN cDNA 1700003E16 gene [Source:MGI Symbol;Acc:MGI:1919087] MSHEGSRQARDRGVTRSKAEKARPPTQPVPQVDIVPGRLNEAEWIAFMSLEEGEDVVGDI LADLMTRVMECAFKVYLTQQVGLDLGLPDLSSTLPLLARLRPSLASTVRSIHH >ENSMUSP00000029199.5 pep:known chromosome:GRCm38:3:32334792:32365678:-1 gene:ENSMUSG00000027663.12 transcript:ENSMUST00000029199.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat3 description:zinc finger matrin type 3 [Source:MGI Symbol;Acc:MGI:1195270] MILLQQVWLPLPNRPSTSPPMSVAARSTRTLQLPPQKAFGQEASLPLAGEEDLAKRGEPD SALEELCKPLFCKLCNVTLNSAQQAQAHYQGKNHGKKLRNYYAANSCPPPARVSSVVAEP VATPLVPVPPQVGSCKPGGRVILATENDYCKLCDASFSSPAVAQAHYQGKNHAKRLRLAE AQSHSFSDSAEAGQRRTRKEGSEFKMVATRRNMYPVQSNSGPYFNARSRQRIPRDLAMCV TPSGQFYCSMCNVGAGEEVEFRQHLESKQHKSKVSEQRYRSEMENLGYVQ >ENSMUSP00000141511.1 pep:known chromosome:GRCm38:3:32361072:32365460:-1 gene:ENSMUSG00000027663.12 transcript:ENSMUST00000139660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat3 description:zinc finger matrin type 3 [Source:MGI Symbol;Acc:MGI:1195270] MILLQQVWLPLPNRPSTSPPMSVAARSTR >ENSMUSP00000131317.1 pep:known chromosome:GRCm38:3:32334796:32365620:-1 gene:ENSMUSG00000027663.12 transcript:ENSMUST00000168566.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat3 description:zinc finger matrin type 3 [Source:MGI Symbol;Acc:MGI:1195270] MILLQQVWLPLPNRPSTSPPMSVAARSTRTLQLPPQKAFGQEASLPLAGEEDLAKRGEPD SALEELCKPLFCKLCNVTLNSAQQAQAHYQGKNHGKKLRNYYAANSCPPPARVSSVVAEP VATPLVPVPPQVGSCKPGGRVILATENDYCKLCDASFSSPAVAQAHYQGKNHAKRLRLAE AQSHSFSDSAEAGQRRTRKEGSEFKMVATRRNMYPVQSNSGPYFNARSRQRIPRDLAMCV TPSGQFYCSMCNVGAGEEVEFRQHLESKQHKSKVSEQRYRSEMENLGYVQ >ENSMUSP00000021044.3 pep:known chromosome:GRCm38:11:81860679:81894582:1 gene:ENSMUSG00000020703.3 transcript:ENSMUST00000021044.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5530401A14Rik description:RIKEN cDNA 5530401A14 gene [Source:MGI Symbol;Acc:MGI:1918638] MRFSSNLNRYALTIRAYKRWTCGNCQLQLELGRGNNMSHERCKNFQTSIHSDSCTSPDIK ACNLNNPECCTEWAESRSYPVRRNMYGKRQTEEEASICPQLVIELSPNTWGRAGGRVAGH PSVQTSANSLD >ENSMUSP00000124770.1 pep:known chromosome:GRCm38:15:36537508:36546145:-1 gene:ENSMUSG00000013611.14 transcript:ENSMUST00000160936.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx31 description:sorting nexin 31 [Source:MGI Symbol;Acc:MGI:1913946] MRVCLSWHHSVNQPESAEAARNYGTPPGFWCISLYGVPTNGAQLCLTADPRVTRSDVFTE FLTLVQLHTLNITIQNVELAVFLPDGRSIKVEGLTSDTAERVLEVMAHKLGLQPDLVGYF GLFLIQCFPEGKLS >ENSMUSP00000013755.5 pep:known chromosome:GRCm38:15:36504062:36555573:-1 gene:ENSMUSG00000013611.14 transcript:ENSMUST00000013755.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx31 description:sorting nexin 31 [Source:MGI Symbol;Acc:MGI:1913946] MKMHFCIPVSQQRPDALGGRYVLYSVYLDGFLFCKVRYSQLHRWDEQLRRVFGNCLPPFP PKYYLAMTTAMAEERRDQLERYLQNVTADPRVTRSDVFTEFLTLVQLHTLNITIQNVELA VFLPDGRSIKVEGLTSDTAERVLEVMAHKLGLQPDLVGYFGLFLIQCFPEGKLSVVKKLA DFELPYTSLQSSEMENCKIGLRKWYLDPALDSMLMDCRAAGDLLYMQAVQDIEKEWMKPT QAQREELKALQKKENQTKFLELSQEVRHYGYVQLDPCTCNHPEPGCGAQLSIGNNEISCC ITLPNGQIQDIAFQMSRVKCWQVTFLGTLLDTDGPQRTLNQNLELRFQYSEDSCQQWFVI YTKQAFFLSSCLKKMISERMTKLTEQSPEMQIEVPEQGRSKKHPSQPSQKVYFNFLRKGK MKRSEGDYVWDTLMEEGL >ENSMUSP00000124063.1 pep:known chromosome:GRCm38:15:36504412:36555568:-1 gene:ENSMUSG00000013611.14 transcript:ENSMUST00000161202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx31 description:sorting nexin 31 [Source:MGI Symbol;Acc:MGI:1913946] MKMHFCIPVSQQRPDALGGRYVLYSVYLDGFLFCKVRYSQLHRWDEQLRRVFGNCLPPFP PKYYLAMTTAMAEERRDQLERYLQNVTADPRVTRSDVFTEFLTLVQLHTLNITIQNVELA VFLPDGRSIKVEGLTSDTAERVLEVMAHKLGLQPDLVGYFGLFLIQCFPEGKLSVVKKLA DFELPYTSLQSSEMENCKIGLRKWYLDPALDSMLMDCRAAGDLLYMQAVQDIEKEWMKPT QAQREELKALQKKENQTKFLELSQEVRHYGYVQLDPCTCNHPEPGCGAQLSIGNNEISCC ITLPNGQIQDIAFQMSRVKCWQVTFLGTLLDTDGPQRTLNQNLELRFQYSEDSCQQWFVI YTKQAFFLSSCLKKMISERMTKLTEQSPEMQIEVPEQGRSKKHPSQPSQQKVYFNFLRKG KMKRSEGDYVWDTLMEEGL >ENSMUSP00000027973.7 pep:known chromosome:GRCm38:2:4881564:4910557:1 gene:ENSMUSG00000026662.13 transcript:ENSMUST00000027973.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sephs1 description:selenophosphate synthetase 1 [Source:MGI Symbol;Acc:MGI:1923580] MSTRESFNPETYELDKSFRLTRFTELKGTGCKVPQDVLQKLLESLQENHFQEDEQFLGAV MPRLGIGMDTCVIPLRHGGLSLVQTTDYIYPIVDDPYMMGRIACANVLSDLYAMGVTECD NMLMLLGVSNKMTDRERDKVIPLIIQGFKDAAEEAGTSVTGGQTVLNPWIVLGGVATTVC QPNEFIMPDNAVPGDVLVLTKPLGTQVAVAVHQWLDIPEKWNKIKLVVTQEDVELAYQEA MMNMARLNRTAAGLMHTFNAHAATDITGFGILGHAQNLAKQQRNEVSFVIHNLPVLAKMA AVSKACGNMFGLMHGTCPETSGGLLICLPREQAARFCAEIKSPKYGEGHQAWIIGIVEKG NRTARIIDKPRIIEVAPQVATQNVNPTPGATS >ENSMUSP00000110671.1 pep:known chromosome:GRCm38:2:4882245:4908137:1 gene:ENSMUSG00000026662.13 transcript:ENSMUST00000115019.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sephs1 description:selenophosphate synthetase 1 [Source:MGI Symbol;Acc:MGI:1923580] MSTRESFNPETYELDKSFRLTRFTELKGTGCKVPQDVLQKLLESLQENHFQEDEQFLGAV MPRLGIGMDTCVIPLRHGGLSLVQTTDYIYPIVDDPYMMGRIACANVLSDLYAMGVTECD NMLMLLGVSNKMTDRERDKVIPLIIQGFKDAAEEAGTSVTGGQTVLNPWIVLGGVATTVC QPNEFIMPDNAVPGDVLVLTKPLGTQVAVAVHQWLDIPEKWNKIKLVVTQEDVELAYQEA MMNMARLNRTAAGLMHTFNAHAATDITGFGILGHAQNLAKQQRNEVSFVIHNLPVLAKMA AVSKACGNMFGLMHGTCPETSGGLLICLPREQAARFCAEIKSPKYGEGHQAWIIGIVEKG NRTARIIDKPRIIEVAPQVATQNVNPTPGATS >ENSMUSP00000103508.1 pep:known chromosome:GRCm38:7:44857139:44862650:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000107876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] MSQLGSRGRLWLQSPTGGPPPIFLPSDGQALVLGRGPLTQVTDRKCSRNQVELIADPESR TVAVKQLGVNPSTVGVQELKPGLSGSLSLGDVLYLVNGLYPLTLRWEELSTSGSQPDAPP DTPGDPEEGEDTEPQKKRVRKSSLGWESLKKLLVFTASGVKPQGKVAAFDLDGTLITTRS GKVFPTSPSDWRILYPEIPKKLQELAAEGYKLVIFTNQMGIGRGKLPAEVFKGKVEAVLE KLGVPFQVLVATHAGLNRKPVSGMWDHLQEQANEGIPISVEDSVFVGDAAGRLANWAPGR KKKDFSCADRLFALNVGLPFATPEEFFLKWPAARFELPAFDPRTISSAGPLYLPESSSLL SPNPEVVVAVGFPGAGKSTFIQEHLVSAGYVHVNRDTLGSWQRCVSSCQAALRQGKRVVI DNTNPDVPSRARYIQCAKDAGVPCRCFNFCATIEQARHNNRFREMTDPSHAPVSDMVMFS YRKQFEPPTLAEGFLEILEIPFRLQEHLDPALQRLYRQFSEG >ENSMUSP00000115504.3 pep:known chromosome:GRCm38:7:44857151:44857505:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000154968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] MSQLGSRGRLWLQSPTGGPPPIFLPSDGQALVLG >ENSMUSP00000003044.7 pep:known chromosome:GRCm38:7:44857159:44862992:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000003044.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] MSQLGSRGRLWLQSPTGGPPPIFLPSDGQALVLGRGPLTQVTDRKCSRNQVELIADPESR TVAVKQLGVNPSTVGVQELKPGLSGSLSLGDVLYLVNGLYPLTLRWEELSTSGSQPDAPP DTPGDPEEGEDTEPQKKRVRKSSLGWESLKKLLVFTASGVKPQGKVAAFDLDGTLITTRS GKVFPTSPSDWRILYPEIPKKLQELAAEGYKLVIFTNQMGIGRGKLPAEVFKGKVEAVLE KLGVPFQVLVATHAGLNRKPVSGMWDHLQEQANEGIPISVEDSVFVGDAAGRLANWAPGR KKKDFSCADRLFALNVGLPFATPEEFFLKWPAARFELPAFDPRTISSAGPLYLPESSSLL SPNPEVVVAVGFPGAGKSTFIQEHLVSAGYVHVNRDTLGSWQRCVSSCQAALRQGKRVVI DNTNPDVPSRARYIQCAKDAGVPCRCFNFCATIEQARHNNRFREMTDPSHAPVSDMVMFS YRKQFEPPTLAEGFLEILEIPFRLQEHLDPALQRLYRQFSEG >ENSMUSP00000143904.1 pep:known chromosome:GRCm38:7:44857173:44860424:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000139003.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] MSQLGSRGRLWLQSPTGGPPPIFLPSDGQALVLGRGPLTQVTDRKCSRNQAGC >ENSMUSP00000144330.1 pep:known chromosome:GRCm38:7:44857340:44861351:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000124168.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] MSQLGSRGRLWLQSPTGGPPPIFLPSDGQALVLGRGPLTQVTDRKCSRNQAGC >ENSMUSP00000096078.3 pep:known chromosome:GRCm38:7:44857342:44862649:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000098478.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] MSQLGSRGRLWLQSPTGGPPPIFLPSDGQALVLGRGPLTQVTDRKCSRNQVELIADPESR TVAVKQLGVNPSTVGVQELKPGLSGSLSLGDVLYLVNGLYPLTLRWEELSTSGSQPDAPP DTPGDPEEGEDTEPQKKRVRKSSLGWESLKKLLVFTASGVKPQGKVAAFDLDGTLITTRS GKVFPTSPSDWRILYPEIPKKLQELAAEGYKVLVATHAGLNRKPVSGMWDHLQEQANEGI PISVEDSVFVGDAAGRLANWAPGRKKKDFSCADRLFALNVGLPFATPEEFFLKWPAARFE LPAFDPRTISSAGPLYLPESSSLLSPNPEVVVAVGFPGAGKSTFIQEHLVSAGYVHVNRD TLGSWQRCVSSCQAALRQGKRVVIDNTNPDVPSRARYIQCAKDAGVPCRCFNFCATIEQA RHNNRFREMTDPSHAPVSDMVMFSYRKQFEPPTLAEGFLEILEIPFRLQEHLDPALQRLY RQFSEG >ENSMUSP00000143862.1 pep:known chromosome:GRCm38:7:44857348:44862650:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000123015.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] MSQLGSRGRLWLQSPTGGPPPIFLPSDGQALVLGRGPLTQVTDRKCSRNQVELIADPESR TVAVKQLGVNPSTVGVQELKPGLSGSLSLGDVLYLVNGLYPLTLRWEELSTSGSQPDAPP DTPGDPEEGEDTEPQKKRVRKSSLGWESLKKLLVFTASGVKPQGKDSVPRDSKEAPGAGC >ENSMUSP00000144663.1 pep:known chromosome:GRCm38:7:44857379:44862641:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000155050.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] MSQLGSRGRLWLQSPTGGPPPIFLPSDGQALVLGRGPLTQVTDRKCSRNQDCSWPRPCT >ENSMUSP00000144690.1 pep:known chromosome:GRCm38:7:44857394:44862650:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000201882.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] MSQLGSRGRLWLQSPTGGPPPIFLPSDGQALVLGRGPLTQVTDRKCSRNQVELIADPESR TVAVKQLGVNPSTVGVQELKPGLSGSLSLGDVLYLVNGLYPLTLRWEELSTSGSQPDAPP DTPGDPEEGEDTEPQKKRVRKSSLGWESLKKLLVFTASGVKPQGKVAAFDLDGTLITTRS GKVFPTSPSDWRILYPEIPKKLQELAAEGYKLVIFTNQMGIGRGKLPAEVFKGKVEAVLE KLGVPFQVLVATHAGLNRKPVSGMWDHLQEQANEGIPISVEDSVFVGDAAGRLANWAPGR KKKDFSCADRLFALNVGLPFATPEEFFLKWPAARFELPAFDPRTISSAGPLYLPESSSLL SPNPEVVVAVGFPGAGKSTFIQEHLVSAGYVHVNRVHPMCQRCRCALPLFQLLCYNRAGT PQQQVS >ENSMUSP00000147012.1 pep:known chromosome:GRCm38:7:44858496:44861520:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000208666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] XGLSGSLSLGDVLYLVNGLYPLTLRWEELSTSGSQPDAPPDTPGDPEEGEDTEPQKKRVR KSSLGWESLKKLLVFTASGVKPQGKLVIFTNQMGIGRGKLPAEVFKGKVEAVLEKLGVPF QVLVATHAGLNRKPVSGMWDHLQEQANEGIPISVEDSVFVGDAAGRLANWAPGRKKKDFS CADRLFALNVGLPFATPEEFFLKWPAARFELPAFDPRTISSAGPLYLPESSSLLSPNPEV VVAVGFPGAGKSTFI >ENSMUSP00000147079.1 pep:known chromosome:GRCm38:7:44860868:44862592:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000208385.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] XEGIPISVEDSVFVGDAAGRLANWAPGRKKKDFSCADRLFALNVGLPFATPEEFFLKWPA ARFELPAFDPPASPLSSRST >ENSMUSP00000144484.1 pep:known chromosome:GRCm38:7:44861375:44862650:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000202646.3 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] PNPEVVVAVGFPGAGKSTFIQEHLVSAGYVHVNRDTLGSWQRCVSSCQAALRQGKRVVID NTNPDVPSRARYIQCAKDAGVPCRCFNFCATIEQARHNNRFREMTDPSHAPVSDMVMFSY RSIWTRHCSDCTASFPRAEPSINTGATL >ENSMUSP00000144163.1 pep:known chromosome:GRCm38:7:44861514:44862648:1 gene:ENSMUSG00000002963.17 transcript:ENSMUST00000200892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnkp description:polynucleotide kinase 3'- phosphatase [Source:MGI Symbol;Acc:MGI:1891698] FIQEHLVSAGYVHVNRDTLGSWQRCVSSCQAALRQGKRVVIDNTNPDVPSRARYIQCAKD AGVPCRCFNFCATIEQARHNNRFREMTDPSHAPVSDMVMFSYSSLSRPHWLKASWRSLRF HSGYRSIWTRHCSDCTASFPRAEPSINTGAT >ENSMUSP00000112980.1 pep:known chromosome:GRCm38:1:87264363:87310836:1 gene:ENSMUSG00000026255.15 transcript:ENSMUST00000118687.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Efhd1 description:EF hand domain containing 1 [Source:MGI Symbol;Acc:MGI:1921607] MSSEELACKLQRRLRLEVRAETDQGDPQPAPCDAPAGHPEPEPPARAPTASADSELNLKL SRRLDIHQGTARPGRSKVFNPYTEFPEFSRRLLKDLEKMFKTYDAGRDGFIDLMELKLMM EKLGAPQTHLGLKSMIKEVDEDFDGKLSFREFLLIFHKAAAGELQEDSGLLALAKFSEID VALEGVRGAKNFFEAKAQALSCSSKFEAELKAEQEERKREEEARRLRQAAFRELKAAFSA >ENSMUSP00000027472.6 pep:known chromosome:GRCm38:1:87264363:87310839:1 gene:ENSMUSG00000026255.15 transcript:ENSMUST00000027472.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efhd1 description:EF hand domain containing 1 [Source:MGI Symbol;Acc:MGI:1921607] MSSEELACKLQRRLRLEVRAETDQGDPQPAPCDAPAGHPEPEPPARAPTASADSELNLKL SRRLDIHQGTARPGRSKVFNPYTEFPEFSRRLLKDLEKMFKTYDAGRDGFIDLMELKLMM EKLGAPQTHLGLKSMIKEVDEDFDGKLSFREFLLIFHKAAAGELQEDSGLLALAKFSEID VALEGVRGAKNFFEAKAQALSCSSKFEAELKAEQEERKREEEARRLRQAAFRELKAAFSA >ENSMUSP00000121301.1 pep:known chromosome:GRCm38:4:146610961:146658160:1 gene:ENSMUSG00000063245.11 transcript:ENSMUST00000130825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13248 description:predicted gene 13248 [Source:MGI Symbol;Acc:MGI:3713585] MSVCLVNTPQGLLTFKDVALDFSLEEWESLSFAQRTLYMDVMLENYNNLLFVENHCICGK YEKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILEAPQCTPYKTNHTSDALQFSNQKRLK PRNTKEVCKYNDSVNSLTLFSTVSLNQGIHMQKKKHNGNAESEKAFISKHKVMVKRNNTG VNPYKCSKFDKYLTQRDKLQSQQRIYHEKKHYRSSKSDKCFTHQIDLSIHQGIHAEEKIY KCSECDKCFTHKFHLNIHQRIHTGEKPYKCSECDKCFTQKSHLNIHQRIHTGEKPYKCIV CEKCFTEKGNLRI >ENSMUSP00000065923.3 pep:known chromosome:GRCm38:4:146654927:146657607:1 gene:ENSMUSG00000063245.11 transcript:ENSMUST00000070932.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13248 description:predicted gene 13248 [Source:MGI Symbol;Acc:MGI:3713585] MSVCLVNTPQGLLTFKDVALDFSLEEWESLSFAQRTLYMDVMLENYNNLLFVENHCICGK YEKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILEAPQCTPYKTNHTSDALQFSNQKRLK PRNTKEVCK >ENSMUSP00000111042.4 pep:known chromosome:GRCm38:X:20425688:20519939:1 gene:ENSMUSG00000037315.14 transcript:ENSMUST00000115384.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade3 description:jade family PHD finger 3 [Source:MGI Symbol;Acc:MGI:2148019] MMKRHRPVSSSESSDECPSTSFTSSSMYRKKSKNPKEQKKSAEVFRKDLISAMKIPDSHH VNPDSYYLFTDTWKEEWEKGVQVPANPDSVPTPSLRIISEKVKEMLFVRPRKYIRCSSPE SAEPGYINTLEQAASTCRYDLDDMDIFWLQELNEDLGEMGYGPIDETLMEKTIEVLERHC HENMNHAIETVEGLGIEYDEDVICDVCRSPDSEEGNDMVFCDKCNVCVHQACYGILKIPE GSWLCRSCVLGIYPQCVLCPKKGGAMKTTRTGTKWAHVSCALWIPEVSIACPERMEPVTK ISHIPPSRWALVCNLCKLKTGACIQCSVKSCITAFHVTCAFEHGLEMKTILDEGDEVKFK SFCLKHSQNKPKLGDAEYHHHRVAEQSQAKSEKTSLRAQKLRELEEEFYTLVQVEDVAKE MELSAFTVDFIYNYWKLKRKSNFNKPLIPPKEEEENGLVQPKEESIHTRMRMFMHLRQDL ERVRNLCYMISRREKLKLSHTKVQEQIFGLQVQLINEEITEGLSLTNALENSLFYPPPRI TLKLKMPKSTSEDCKDSSTETEHQLSSPGSSSPGHSKRSPQMPEEPLDMNVKIYPRYPLE SKSNCLQTSRSHSRCETKSSSPTPRAPSAEFYHGQSLGKPLALQAALHGQVSIGNGKNQP NSRVSSSNGLEGNWSGNITQKVNSSEVCYDQESMLSSHLPSPGNIRKSSMEHFSRSFKEA TNTWVKPTEDLQYCVKPTKNVSSKEQLWGRQLLRRPTGRASYQETDGYCPDLEPSDSEAE GEGSKETPRVKRESSDRENPSHDSARECHGKTKTHPHSHSSMQR >ENSMUSP00000048529.6 pep:known chromosome:GRCm38:X:20460527:20517896:1 gene:ENSMUSG00000037315.14 transcript:ENSMUST00000043693.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade3 description:jade family PHD finger 3 [Source:MGI Symbol;Acc:MGI:2148019] MKRHRPVSSSESSDECPSTSFTSSSMYRKKSKNPKEQKKSAEVFRKDLISAMKIPDSHHV NPDSYYLFTDTWKEEWEKGVQVPANPDSVPTPSLRIISEKVKEMLFVRPRKYIRCSSPES AEPGYINTLEQAASTCRYDLDDMDIFWLQELNEDLGEMGYGPIDETLMEKTIEVLERHCH ENMNHAIETVEGLGIEYDEDVICDVCRSPDSEEGNDMVFCDKCNVCVHQACYGILKIPEG SWLCRSCVLGIYPQCVLCPKKGGAMKTTRTGTKWAHVSCALWIPEVSIACPERMEPVTKI SHIPPSRWALVCNLCKLKTGACIQCSVKSCITAFHVTCAFEHGLEMKTILDEGDEVKFKS FCLKHSQNKPKLGDAEYHHHRVAEQSQAKSEKTSLRAQKLRELEEEFYTLVQVEDVAKEM ELSAFTVDFIYNYWKLKRKSNFNKPLIPPKEEEENGLVQPKEESIHTRMRMFMHLRQDLE RVRNLCYMISRREKLKLSHTKVQEQIFGLQVQLINEEITEGLSLTNALENSLFYPPPRIT LKLKMPKSTSEDCKDSSTETEHQLSSPGSSSPGHSKRSPQMPEEPLDMNVKIYPRYPLES KSNCLQTSRSHSRCETKSSSPTPRAPSAEFYHGQSLGKPLALQAALHGQVSIGNGKNQPN SRVSSSNGLEGNWSGNITQKVNSSEVCYDQESMLSSHLPSPGNIRKSSMEHFSRSFKEAT NTWVKPTEDLQYCVKPTKNVSSKEQLWGRQLLRRPTGRASYQETDGYCPDLEPSDSEAEG EGSKETPRVKRESSDRENPSHDSARECHGKTKTHPHSHSSMQR >ENSMUSP00000124904.1 pep:known chromosome:GRCm38:8:117158137:117215997:1 gene:ENSMUSG00000052557.11 transcript:ENSMUST00000162997.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gan description:giant axonal neuropathy [Source:MGI Symbol;Acc:MGI:1890619] XAEGSAVSDPQHAARLLRALSSFREEARFCDAHLVLDGEEIPVQKNILAAASPYIRTKLN YNPPKDDGSTYKIELEGISVMVMREILDYIFSGQIRLNEDTIQDVVQAADLLLLTDLKTL CCEFLEGCIAAENCIGIRDFALHYCLHHVHYLATEYLETHFRDVSSTEEFLELSPQKLKE VISLEKLNVGNERYVFEAVIRWIAHDVEMRKVHMKDVMSALWVSGLDSSYLREQMLNEPL VREIVKECSNIPLSQPQQGEAMLASFKPRGYSECIVTIGGEERVSRKPTAAMRCMCPLYD PNRQLWIELAPLSMPRINHGVLSAEGFLFVLGGQDENKQTLSSGEKYDPDANTWTALPPM HEARHNFGIVEIDGMLYILGGEDGDRELISMECYDIYSKTWTKQPDLTMVRKIGCYAAMK KKIYAMGGGSYGKLFESVECYDPRTQQWTAICPLKERRFGAVACGVAMELYVFGGVRSRE DIQGSEMVTCKSEFYHDEFKRWIYLNDQNLCIPASSSFVYGAVPIGASIYVIGDLDTGTN YDYVREFKRSTGTWHHTKPLLPSDLRRTGCAALRIANCKLFRLQLQQGLFRIRVHSP >ENSMUSP00000070168.4 pep:known chromosome:GRCm38:8:117158135:117205186:1 gene:ENSMUSG00000052557.11 transcript:ENSMUST00000064488.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gan description:giant axonal neuropathy [Source:MGI Symbol;Acc:MGI:1890619] MAEGSAVSDPQHAARLLRALSSFREEARFCDAHLVLDGEEIPVQKNILAAASPYIRTKLN YNPPKDDGSTYKIELEGISVMVMREILDYIFSGQIRLNEDTIQDVVQAADLLLLTDLKTL CCEFLEGCIAAENCIGIRDFALHYCLHHVHYLATEYLETHFRDVSSTEEFLELSPQKLKE VISLEKLNVGNERYVFEAVIRWIAHDVEMRKVHMKDVMSALWVSGLDSSYLREQMLNEPL VREIVKECSNIPLSQPQQGEAMLASFKPRGYSECIVTIGGEERVSRKPTAAMRCMCPLYD PNRQLWIELAPLSMPRINHGVLSAEGFLFVLGGQDENKQTLSSGEKYDPDANTWTALPPM HEARHNFGIVEIDGMLYILGGEDGDRELISMECYDIYSKTWTKQPDLTMVRKIGCYAAMK KKIYAMGGGSYGKLFESVECYDPRTQQWTAICPLKERRFGAVACGVAMELYVFGGVRSRE DIQGSEMVTCKSEFYHDEFKRWIYLNDQNLCIPASSSFVYGAVPIGASIYVIGDLDTGTN YDYVREFKRSTGTWHHTKPLLPSDLRRTGCAALRIANCKLFRLQLQQGLFRIRVHSP >ENSMUSP00000139582.1 pep:known chromosome:GRCm38:X:11302432:11302926:1 gene:ENSMUSG00000101819.1 transcript:ENSMUST00000189531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14474 description:predicted gene 14474 [Source:MGI Symbol;Acc:MGI:3650131] MAKKMQRRRRQKRTRSQRGELPLSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAQTTGRKRIAPEDVHLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000073595.6 pep:known chromosome:GRCm38:4:94739289:94874976:1 gene:ENSMUSG00000006386.15 transcript:ENSMUST00000073939.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tek description:endothelial-specific receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:98664] MDSLAGLVLCGVSLLLYGVVEGAMDLILINSLPLVSDAETSLTCIASGWHPHEPITIGRD FEALMNQHQDPLEVTQDVTREWAKKVVWKREKASKINGAYFCEGRVRGQAIRIRTMKMRQ QASFLPATLTMTVDRGDNVNISFKKVLIKEEDAVIYKNGCEAQKWGPDCSRPCTTCKNNG VCHEDTGECICPPGFMGRTCEKACEPHTFGRTCKERCSGPEGCKSYVFCLPDPYGCSCAT GWRGLQCNEACPSGYYGPDCKLRCHCTNEEICDRFQGCLCSQGWQGLQCEKEGRPRMTPQ IEDLPDHIEVNSGKFNPICKASGWPLPTSEEMTLVKPDGTVLQPNDFNYTDRFSVAIFTV NRVLPPDSGVWVCSVNTVAGMVEKPFNISVKVLPEPLHAPNVIDTGHNFAIINISSEPYF GDGPIKSKKLFYKPVNQAWKYIEVTNEIFTLNYLEPRTDYELCVQLARPGEGGEGHPGPV RRFTTASIGLPPPRGLSLLPKSQTALNLTWQPIFTNSEDEFYVEVERRSLQTTSDQQNIK VPGNLTSVLLSNLVPREQYTVRARVNTKAQGEWSEELRAWTLSDILPPQPENIKISNITD STAMVSWTIVDGYSISSIIIRYKVQGKNEDQHIDVKIKNATVTQYQLKGLEPETTYHVDI FAENNIGSSNPAFSHELRTLPHSPASADLGGGKMLLIAILGSAGMTCITVLLAFLIMLQL KRANVQRRMAQAFQNVREEPAVQFNSGTLALNRKAKNNPDPTIYPVLDWNDIKFQDVIGE GNFGQVLKARIKKDGLRMDAAIKRMKEYASKDDHRDFAGELEVLCKLGHHPNIINLLGAC EHRGYLYLAIEYAPHGNLLDFLRKSRVLETDPAFAIANSTASTLSSQQLLHFAADVARGM DYLSQKQFIHRDLAARNILVGENYIAKIADFGLSRGQEVYVKKTMGRLPVRWMAIESLNY SVYTTNSDVWSYGVLLWEIVSLGGTPYCGMTCAELYEKLPQGYRLEKPLNCDDEVYDLMR QCWREKPYERPSFAQILVSLNRMLEERKTYVNTTLYEKFTYAGIDCSAEEAA >ENSMUSP00000099862.1 pep:known chromosome:GRCm38:4:94739300:94874939:1 gene:ENSMUSG00000006386.15 transcript:ENSMUST00000102798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tek description:endothelial-specific receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:98664] MDSLAGLVLCGVSLLLYGVVEGAMDLILINSLPLVSDAETSLTCIASGWHPHEPITIGRD FEALMNQHQDPLEVTQDVTREWAKKVVWKREKASKINGAYFCEGRVRGQAIRIRTMKMRQ QASFLPATLTMTVDRGDNVNISFKKVLIKEEDAVIYKNGSFIHSVPRHEVPDILEVHLPH AQPQDAGVYSARYIGGNLFTSAFTRLIVRRCEAQKWGPDCSRPCTTCKNNGVCHEDTGEC ICPPGFMGRTCEKACEPHTFGRTCKERCSGPEGCKSYVFCLPDPYGCSCATGWRGLQCNE ACPSGYYGPDCKLRCHCTNEEICDRFQGCLCSQGWQGLQCEKEGRPRMTPQIEDLPDHIE VNSGKFNPICKASGWPLPTSEEMTLVKPDGTVLQPNDFNYTDRFSVAIFTVNRVLPPDSG VWVCSVNTVAGMVEKPFNISVKVLPEPLHAPNVIDTGHNFAIINISSEPYFGDGPIKSKK LFYKPVNQAWKYIEVTNEIFTLNYLEPRTDYELCVQLARPGEGGEGHPGPVRRFTTASIG LPPPRGLSLLPKSQTALNLTWQPIFTNSEDEFYVEVERRSLQTTSDQQNIKVPGNLTSVL LSNLVPREQYTVRARVNTKAQGEWSEELRAWTLSDILPPQPENIKISNITDSTAMVSWTI VDGYSISSIIIRYKVQGKNEDQHIDVKIKNATVTQYQLKGLEPETTYHVDIFAENNIGSS NPAFSHELRTLPHSPASADLGGGKMLLIAILGSAGMTCITVLLAFLIMLQLKRANVQRRM AQAFQNVREEPAVQFNSGTLALNRKAKNNPDPTIYPVLDWNDIKFQDVIGEGNFGQVLKA RIKKDGLRMDAAIKRMKEYASKDDHRDFAGELEVLCKLGHHPNIINLLGACEHRGYLYLA IEYAPHGNLLDFLRKSRVLETDPAFAIANSTASTLSSQQLLHFAADVARGMDYLSQKQFI HRDLAARNILVGENYIAKIADFGLSRGQEVYVKKTMGRLPVRWMAIESLNYSVYTTNSDV WSYGVLLWEIVSLGGTPYCGMTCAELYEKLPQGYRLEKPLNCDDEVYDLMRQCWREKPYE RPSFAQILVSLNRMLEERKTYVNTTLYEKFTYAGIDCSAEEAA >ENSMUSP00000071162.5 pep:known chromosome:GRCm38:4:94739518:94874682:1 gene:ENSMUSG00000006386.15 transcript:ENSMUST00000071168.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tek description:endothelial-specific receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:98664] MDSLAGLVLCGVSLLLYGVVEGAMDLILINSLPLVSDAETSLTCIASGWHPHEPITIGRD FEALMNQHQDPLEVTQDVTREWAKKVVWKREKASKINGAYFCEGRVRGQAIRIRTMKMRQ QASFLPATLTMTVDRGDNVNISFKKVLIKEEDAVIYKNGSFIHSVPRHEVPDILEVHLPH AQPQDAGVYSARYIGGNLFTSAFTRLIVRRCEAQKWGPDCSRPCTTCKNNGVCHEDTGEC ICPPGFMGRTCEKACEPHTFGRTCKERCSGPEGCKSYVFCLPDPYGCSCATGWRGLQCNE ACPSGYYGPDCKLRCHCTNEEICDRFQGCLCSQGWQGLQCEKEGRPRMTPQIEDLPDHIE VNSGKFNPICKASGWPLPTSEEMTLVKPDGTVLQPNDFNYTDRFSVAIFTVNRVLPPDSG VWVCSVNTVAGMVEKPFNISVKVLPEPLHAPNVIDTGHNFAIINISSEPYFGDGPIKSKK LFYKPVNQAWKYIEVTNEIFTLNYLEPRTDYELCVQLARPGEGGEGHPGPVRRFTTASIG LPPPRGLSLLPKSQTALNLTWQPIFTNSEDEFYVEVERRSLQTTSDQQNIKVPGNLTSVL LSNLVPREQYTVRARVNTKAQGEWSEELRAWTLSDILPPQPENIKISNITDSTAMVSWTI VDGYSISSIIIRYKVQGKNEDQHIDVKIKNATVTQYQLKGLEPETTYHVDIFAENNIGSS NPAFSHELRTLPHSPASADLGGGKMLLIAILGSAGMTCITVLLAFLIMLQLKRANVQRRM AQAFQNREEPAVQFNSGTLALNRKAKNNPDPTIYPVLDWNDIKFQDVIGEGNFGQVLKAR IKKDGLRMDAAIKRMKEYASKDDHRDFAGELEVLCKLGHHPNIINLLGACEHRGYLYLAI EYAPHGNLLDFLRKSRVLETDPAFAIANSTASTLSSQQLLHFAADVARGMDYLSQKQFIH RDLAARNILVGENYIAKIADFGLSRGQEVYVKKTMGRLPVRWMAIESLNYSVYTTNSDVW SYGVLLWEIVSLGGTPYCGMTCAELYEKLPQGYRLEKPLNCDDEVYDLMRQCWREKPYER PSFAQILVSLNRMLEERKTYVNTTLYEKFTYAGIDCSAEEAA >ENSMUSP00000038056.5 pep:known chromosome:GRCm38:16:25959288:26105784:-1 gene:ENSMUSG00000038168.5 transcript:ENSMUST00000039990.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P3h2 description:prolyl 3-hydroxylase 2 [Source:MGI Symbol;Acc:MGI:2146663] MRESTWVSLLLLLLLPTPQRGGPQDGRRSPEPEPERGPLQPFDLLYASGVAAYYSGDYER AVRDLEAALSSHRRLRDIRTRCARHCAARRPLAPPGAGPGAELPFFRAVLERARCSRSCQ SQRLGGPASRHRVSEDVRSDFQRRVPYNYLQRAYIKLNQLEKAMEAAHTFFMANPEHMEM QQDLEDYKATARVEAPLLDREAKPHLESYNAGVKHYEADDFESAIKYFEQALREYFNEDM ECRALCEGPQRFEEYEYLGYKGGLYEAIADHYMQVLVCQHECVRELATRPGRLSPIENFL PLHYDYLQFAYYRVGEYVKALECAKAYLMFHPDNEDVLDNVDFYESLLDDSTDPASIEAR EDLTAFVKRHKLEAELIKLAAEGLGFSYAEPNYWISYGGRQDENRVPSGVNMDGAEVHGL SMGKKSPPKIGRDLREGGPLLYENITFVYNSEQLNGTQRVLLDNVLSQEQCRELHSVANG IMLVGDGYRGKTSPHTPNEKFEGATVLKALKFGYEGRVPLKSARLFYDISEKARKIVESY FMLNSTLYFSYTHMVCRTALSGQQDRRNDLSHPIHADNCLLDPEANECWKEPPAYTFRDY SALLYMNDDFDGGEFIFTEMDAKTVTASIKPKCGRMISFSSGGENPHGVKAVTRGQRCAV ALWFTLDPLYRELERIQADEVIAILDQEQRGKHGLNINPKDEL >ENSMUSP00000003319.5 pep:known chromosome:GRCm38:16:20548577:20561379:1 gene:ENSMUSG00000003234.15 transcript:ENSMUST00000003319.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcf3 description:ATP-binding cassette, sub-family F (GCN20), member 3 [Source:MGI Symbol;Acc:MGI:1351656] MATCADILRSEFPEIDGQVFDYVTGVLHSGSADFESVDDLVEAVGELLQEVSGDSKDDAG IRAVCQRMYNTLRLAEPQNQGNSQVLLDAPIQLSKIMENYDCDTKLPGLLKREQSSTVNA KKLEKAEARLKAKQEKRSEKETLKTSNPLVLEEASASQAGSRKESRLESSGKNKSYDVRI ENFDVSFGDRVLLAGADVNLAWGRRYGLVGRNGLGKTTLLKMLATRSLRVPAHISLLHVE QEVAGDDTPALQSVLESDTVREDLLRQERELSLRIAAGRAEGSEAAQLAEIYGKLEEIEA DKAPARASVILAGLGFTPKMQQQPTREFSGGWRMRLALARALFARPDLLLLDEPTNMLDV RAILWLENYLQTWPSTILVVSHDRNFLNAIATDIIHLHSQRLDGYRGDFETFIKSKQERL LNQQREYEAQQQYRQHIQVFIDRFRYNANRASQVQSKLKMLEKLPELKPVDKESEVVLKF PDGFEKFSPPILQLDEVDFYYDPKHSIFSRLSVSADLESRICVVGENGAGKSTMLKLLMG DLSPVRGIRHAHRNLKIGYFSQHHVEQLDLNVSAVELLARKFPGLPEEEYRHQLGRYGIS GELAMRPVASLSGGQKSRVAFAQMTMPCPNFYILDEPTNHLDMETIEALGQALNNFRGGV ILVSHDERFIRLVCKELWVCENGSVTRVEGGFDQYRALLQEQFRREGFL >ENSMUSP00000037239.6 pep:known chromosome:GRCm38:11:95865943:95914891:-1 gene:ENSMUSG00000013418.8 transcript:ENSMUST00000038343.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galnt2 description:beta-1,4-N-acetyl-galactosaminyl transferase 2 [Source:MGI Symbol;Acc:MGI:1342058] MTSSVSFASFRFPWLLKTFVLMVGLATVAFMVRKVSLTTDFSTFKPKFPEPARVDPVLKL LPEEHLRKLFTYSDIWLFPKNQCDCNSGKLRMKYKFQDAYNQKDLPAVNARRQAEFEHFQ RREGLPRPPPLLAPPNLPFGYPVHGVEVMPLHTILIPGLQYEGPDAPVYEVILKASLGTL NTLADVPDDEVQGRGQRQLTISTRHRKVLNFILQHVTYTSTEYYLHKVDTVSMEYESSVA KFPVTIKQQTVPKLYDPGPERKIRNLVTIATKTFLRPHKLKILLQSIRKYYPDITVIVAD DSKEPLEINDDYVEYYTMPFGKGWFAGRNLAISQVTTKYVLWVDDDFLFSDKTKIEVLVD VLEKTELDVVGGSVQGNTYQFRLLYEQTKNGSCLHQRWGSFQALDGFPGCTLTSGVVNFF LAHTEQLRRVGFDPILQRVAHGEFFIDGLGRLLVGSCPGVIINHQVRTPPKDPKLAALEK TYDKYRANTNSVIQFKVALQYFKNHLYCST >ENSMUSP00000074787.4 pep:known chromosome:GRCm38:3:80681450:80802835:-1 gene:ENSMUSG00000033981.14 transcript:ENSMUST00000075316.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria2 description:glutamate receptor, ionotropic, AMPA2 (alpha 2) [Source:MGI Symbol;Acc:MGI:95809] MQKIMHISVLLSPVLWGLIFGVSSNSIQIGGLFPRGADQEYSAFRVGMVQFSTSEFRLTP HIDNLEVANSFAVTNAFCSQFSRGVYAIFGFYDKKSVNTITSFCGTLHVSFITPSFPTDG THPFVIQMRPDLKGALLSLIEYYQWDKFAYLYDSDRGLSTLQAVLDSAAEKKWQVTAINV GNINNDKKDETYRSLFQDLELKKERRVILDCERDKVNDIVDQVITIGKHVKGYHYIIANL GFTDGDLLKIQFGGANVSGFQIVDYDDSLVSKFIERWSTLEEKEYPGAHTATIKYTSALT YDAVQVMTEAFRNLRKQRIEISRRGNAGDCLANPAVPWGQGVEIERALKQVQVEGLSGNI KFDQNGKRINYTINIMELKTNGPRKIGYWSEVDKMVVTLTELPSGNDTSGLENKTVVVTT ILESPYVMMKKNHEMLEGNERYEGYCVDLAAEIAKHCGFKYKLTIVGDGKYGARDADTKI WNGMVGELVYGKADIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLD PLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEFEDGRETQSSESTNEFGIFNSLWF SLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDL SKQTEIAYGTLDSGSTKEFFRRSKIAVFDKMWTYMRSAEPSVFVRTTAEGVARVRKSKGK YAYLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSSLRNAVNLAVLKLNEQGLL DKLKNKWWYDKGECGSGGGDSKEKTSALSLSNVAGVFYILVGGLGLAMLVALIEFCYKSR AEAKRMKVAKNAQNINPSSSQNSQNFATYKEGYNVYGIESVKI >ENSMUSP00000103374.1 pep:known chromosome:GRCm38:3:80687795:80802835:-1 gene:ENSMUSG00000033981.14 transcript:ENSMUST00000107745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria2 description:glutamate receptor, ionotropic, AMPA2 (alpha 2) [Source:MGI Symbol;Acc:MGI:95809] MQKIMHISVLLSPVLWGLIFGVSSNSIQIGGLFPRGADQEYSAFRVGMVQFSTSEFRLTP HIDNLEVANSFAVTNAFCSQFSRGVYAIFGFYDKKSVNTITSFCGTLHVSFITPSFPTDG THPFVIQMRPDLKGALLSLIEYYQWDKFAYLYDSDRGLSTLQAVLDSAAEKKWQVTAINV GNINNDKKDETYRSLFQDLELKKERRVILDCERDKVNDIVDQVITIGKHVKGYHYIIANL GFTDGDLLKIQFGGANVSGFQIVDYDDSLVSKFIERWSTLEEKEYPGAHTATIKYTSALT YDAVQVMTEAFRNLRKQRIEISRRGNAGDCLANPAVPWGQGVEIERALKQVQVEGLSGNI KFDQNGKRINYTINIMELKTNGPRKIGYWSEVDKMVVTLTELPSGNDTSGLENKTVVVTT ILESPYVMMKKNHEMLEGNERYEGYCVDLAAEIAKHCGFKYKLTIVGDGKYGARDADTKI WNGMVGELVYGKADIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLD PLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEFEDGRETQSSESTNEFGIFNSLWF SLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDL SKQTEIAYGTLDSGSTKEFFRRSKIAVFDKMWTYMRSAEPSVFVRTTAEGVARVRKSKGK YAYLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSSLRTPVNLAVLKLSEQGVL DKLKNKWWYDKGECGAKDSGSKEKTSALSLSNVAGVFYILVGGLGLAMLVALIEFCYKSR AEAKRMKVAKNAQNINPSSSQNSQNFATYKEGYNVYGIESVKI >ENSMUSP00000141447.1 pep:known chromosome:GRCm38:3:80688040:80802579:-1 gene:ENSMUSG00000033981.14 transcript:ENSMUST00000192463.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gria2 description:glutamate receptor, ionotropic, AMPA2 (alpha 2) [Source:MGI Symbol;Acc:MGI:95809] MQKIMHISVLLSPVLWGLIFGVSSNSIQIGGLFPRGADQEYSAFRVGMVQFSTSEFRLTP HIDNLEVANSFAVTNAFCSQFSRGVYAIFGFYDKKSVNTITSFCGTLHVSFITPSFPTDG THPFVIQMRPDLKGALLSLIEYYQWDKFAYLYDSDRGLSTLQAVLDSAAEKKWQVTAINV GNINNDKKDETYRSLFQDLELKKERRVILDCERDKVNDIVDQVITIGKHVKGYHYIIANL GFTDGDLLKIQFGGANVSGFQIVDYDDSLVSKFIERWSTLEEKEYPGAHTATIKYTSALT YDAVQVMTEAFRNLRKQRIEISRRGNAGDCLANPAVPWGQGVEIERALKQVQVEGLSGNI KFDQNGKRINYTINIMELKTNGPRKIGYWSEVDKMVVTLTELPSGNDTSGLENKTVVVTT ILESPYVMMKKNHEMLEGNERYEGYCVDLAAEIAKHCGFKYKLTIVGDGKYGARDADTKI WNGMVGELVYGKADIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLD PLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEFEDGRETQSSESTNEFGIFNSLWF SLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDL SKQTEIAYGTLDSGSTKEFFRRSKIAVFDKMWTYMRSAEPSVFVRTTAEGVARVRKSKGK YAYLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSSLSGVTFKTLLFVCCG >ENSMUSP00000117152.1 pep:known chromosome:GRCm38:14:31359880:31436078:-1 gene:ENSMUSG00000021892.14 transcript:ENSMUST00000140002.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh3bp5 description:SH3-domain binding protein 5 (BTK-associated) [Source:MGI Symbol;Acc:MGI:1344391] MDTALKRSRSDEPAELPPPAREVEEKEEEEERMEQGLEEEEEEVDPRIQGELEKLNQSTD DINRRETELEDARQKFRSVLVEATVKLDELAKKIGKAVEDSKPYWEARRVARQAQLEAQK ATQDFQRATEVLRAAKETISLAEQRLLEDDKRQFDSAWQEMLNHATQRVMEAEQTKTRSE LVHKETAARYNAAMGRMRQLEKKLKRAINKSKPYFELKAKYYVQLEQLKKTVDDLQAKLA LAKGEYKAALKSLERISDEIHERRRSNAMGPRGCGVGAEGSIASVENLPVSKPEPDAISV ASEAFEDDNCSNLVSEDDSETQSVSSFSSGPTSPSEMPDQFPAVARPGSLDLPSPVSLSE FGMMFPILGPRSECSGASSPECEVERGDRAEGAENKMSDKANNNRVLGSTNGGSGRSRSQ SSTSLESQALETRMKQLSLQCSKGRDGIIADIKMIFIFYTFLQ >ENSMUSP00000098296.3 pep:known chromosome:GRCm38:14:31373785:31417677:-1 gene:ENSMUSG00000021892.14 transcript:ENSMUST00000100730.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp5 description:SH3-domain binding protein 5 (BTK-associated) [Source:MGI Symbol;Acc:MGI:1344391] MDWARTVMASTGLAPASAAPHTGSRAQPCLFLAPVPPHFAWFIFLDPALPCSTLAQSVSF KPCCGVVKDARQKFRSVLVEATVKLDELAKKIGKAVEDSKPYWEARRVARQAQLEAQKAT QDFQRATEVLRAAKETISLAEQRLLEDDKRQFDSAWQEMLNHATQRVMEAEQTKTRSELV HKETAARYNAAMGRMRQLEKKLKRAINKSKPYFELKAKYYVQLEQLKKTVDDLQAKLALA KGEYKAALKSLERISDEIHERRRSNAMGPRGCGVGAEGSIASVENLPVSKPEPDAISVAS EAFEDDNCSNLVSEDDSETQSVSSFSSGPTSPSEMPDQFPAVARPGSLDLPSPVSLSEFG MMFPILGPRSECSGASSPECEVERGDRAEGAENKMSDKANNNRVLGSTNGGSGRSRSQSS TSLESQALETRMKQLSLQCSKGRDGIIADIKMVQIG >ENSMUSP00000089517.4 pep:known chromosome:GRCm38:14:31373785:31436065:-1 gene:ENSMUSG00000021892.14 transcript:ENSMUST00000091903.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp5 description:SH3-domain binding protein 5 (BTK-associated) [Source:MGI Symbol;Acc:MGI:1344391] MDTALKRSRSDEPAELPPPAREVEEKEEEEERMEQGLEEEEEEVDPRIQGELEKLNQSTD DINRRETELEDARQKFRSVLVEATVKLDELAKKIGKAVEDSKPYWEARRVARQAQLEAQK ATQDFQRATEVLRAAKETISLAEQRLLEDDKRQFDSAWQEMLNHATQRVMEAEQTKTRSE LVHKETAARYNAAMGRMRQLEKKLKRAINKSKPYFELKAKYYVQLEQLKKTVDDLQAKLA LAKGEYKAALKSLERISDEIHERRRSNAMGPRGCGVGAEGSIASVENLPVSKPEPDAISV ASEAFEDDNCSNLVSEDDSETQSVSSFSSGPTSPSEMPDQFPAVARPGSLDLPSPVSLSE FGMMFPILGPRSECSGASSPECEVERGDRAEGAENKMSDKANNNRVLGSTNGGSGRSRSQ SSTSLESQALETRMKQLSLQCSKGRDGIIADIKMVQIG >ENSMUSP00000052020.8 pep:known chromosome:GRCm38:14:7817957:7951588:1 gene:ENSMUSG00000025278.8 transcript:ENSMUST00000052678.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flnb description:filamin, beta [Source:MGI Symbol;Acc:MGI:2446089] MPVTEKDLAEDAPWKKIQQNTFTRWCNEHLKCVNKRIGNLQTDLSDGLRLIALLEVLSQK RMHHKYHQRPTFRQMKLENVSVALEFLDHESIKLVSIDSKAIVDGNLKLILGLVWTLILH YSISMPVWEDEGDDDAKKQTPKQRLLGWIQNKIPYLPITNFNQNWQDGKALGALVDSCAP GLCPDWESWDPRKPVDNAREAMQQADDWLGVPQVITPEEIIHPDVDEHSVMTYLSQFPKA KLKPGAPLKPKLNPKKARAYGRGIEPTGNMVKQPAKFTVDTISAGQGDVMVFVEDPEGNK EEARVTPDSDKNKTYSVEYLPKVTGLHKVIVLFAGQHISKSPFEVNVDKAQGDASKVTAK GPGLETTGNIANKPTYFDIYTAGAGVGDIGIEVEDPQGKNSVELLVEDRGNQVYRCVYKP VQPGPHVVKVSFAGDAIPKSPFGVQIGEACNPNACRASGRGLQPKGVRIRETADFKVDTK AAGSGELGVTVKGPKGLEELVKQKGFLDGVYSFEYYPSTPGKYSVAVTWGGHHIPKSPFE VQVGPEAGMQKVRAWGPGLHGGIVGRSADFVVESIGSEVGTLGFAIEGPSQAKIEYDDQN DGSCDVKYWPKEPGEYAVHIMCDDEDIKDSPYMAFIHPATGDYNPDLVQAYGPGLEKSGC TINNPAEFIVDPKDAGSAPLKILAQDGEGQPIDIQMKSRMDGTYACSYTPLKAIKHTIAV VWGGVNIPHSPYRVNIGQGSHPQKVKVFGPGVERSGLKANEPTHFTVDCTEAGEGDVSVG IKCDARVLSDDEEDVDFDIIHNANDTFTVKYVPPAPGRYTIKVLFASQEIPASPFRVKVD PSHDASKVKAEGPGLSKAGVENGKPTHFTVHTKGAGKAPLNVQFSSPLPGEAVKDLDIID NYDYSHTVKYTPTQQGNMQVLVTYGGDPIPKSPFTVGVAAPLDLSKIKINGLENRVEVGK DQEFAIDTNGAGGQGKLDVTILSPSRKVVPCLVAPVAGRECSTAKFIPREEGLFAVDVTY DGHPVPGSPYTVEASLPPDPTKVKAHGPGLEGGLVGKPAEFTIDTKGAGTGGLGLTVEGP CEAKIECSDNGDGTCSVSYLPTKPGEYFVNILFEEVHIPGSPFKADIEMPFDPSKVVASG PGLEHGKVGEPGILCVDCSEAGPGTLGLEAVSDSGAKAEVSIQNNKDGTYAVTYVPLTAG MYTLTMKYGGELVPHFPAWVKVEPAIDTSGIKAFGPGIEGKDVFREATTDFTVDSRPLTQ VGGDHIKAQITNPSGASTECFVKDNADGTYQVEYTPFEKGFHVVEVTYDDVPIPNSPFKV AVTEGCQPSRVHAQGPGLKEAFTNKSNVFTVVTRGAGIGGLGITVEGPSESKINCRDNKD GSCSAEYIPFAPGDYDVNITYGGVHIPGSPFRVPSKDVVDPSKVKIAGPGLSSCVRACIP QSFTVDSSKAGLAPLEVRVLGPRGLVEPVNVVDNGDGTHTVTYTPSQEGPYIVSVKYADE EIPRSPFKVKVLPTYDASKVTASGPGLSAYGVPASLPVEFAIDARDAGEGLLAVQITDQE GKPQRATVHDNKDGTYAVTYIPDKTGRYMIGVTYGGDNIPLSPYRIRATQTGDASKCLAT GPGIAPTVKTGEEVGFVVDAKTAGKGKVTCVILTPDGTEAEADVIENEDGTYDIFYTAAK PGTYVIYVRFGGVDIPNSPFTVMATDGEVTAMEEAPVNACPPGFRPWVTEEAYVPVSDMN GLGFKPFDLVIPFAVRKGEITGTVHMPSGKKATPEIVDNKDGTVTVRYAPTEVGLHEMHI KYRGSHIPESPLQFYVNYPNSGSVSAYGPGLVYGVANKTATFTIVTEDAGEGGLDLAIEG PSKAEISCIDNKDGTCTVTYLPTLPGDYSILVKYNDKHIPGSPFTAKITDDNRRCSQVKL GSAADFLLDISETDLSTLTASIKAPSGRDEPCLLKRLPNNHIGISFIPREVGEHLVSIKK NGNHVANSPVSIMVVQSEIGDARRAKVYGQGLSEGRTFEMSDFIVDTRDAGYGGISLAVE GPSKVDIQTEDLEDGTCKVSYFPTVPGVYIVSTKFADEHVPGSPFTVKISGEGRVRESIT RTSRAPAVATVGSICDLNLKIPEINSSDMSAHVTSPSGHVTEAEIVPMGKNSHCVRFVPQ EMGVHTVSVKYRGQHVTGSPFQFTVGPLGEGGAHKVRAGGPGLERGEAGIPAEFSIWTRE AGAGGLSIAVEGPSKAEITFDDHKNGSCGVSYIAQEPGNYEVSIKFNDEHIPDSPYLVPV IAPSDDARCLTVLSLQESGLKVNQPASFAIRLNGAKGKIDAKVHSPSGAVEECHVSELEP DKYAVRFIPHENGIHTIDVKFNGSHVVGSPFKVRVGEPGQAGNPALVSAYGAGLETGTTG IQSEFFINTTQAGPGTLSVTIEGPSKVKMDCQEIPEGYKVMYTPMAPGNYLIGVKYGGPN HISRSPFKAKVTGQRLVSPGSANETSSILVESVTRSSTETCYSAIPKSSSDASKVTSKGA GLSKAFVGQKSSFLVDCSKAGSNMLLIGVHGPTTPCEEVSMKHVGKQQYNVTYVVKERGD YVLAVKWGEEHIPGSPFHVTVP >ENSMUSP00000030769.5 pep:known chromosome:GRCm38:5:21785283:21803787:1 gene:ENSMUSG00000028932.7 transcript:ENSMUST00000030769.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc2 description:proteasome (prosome, macropain) 26S subunit, ATPase 2 [Source:MGI Symbol;Acc:MGI:109555] MSREPAPGRGATSGGEEKGKTPAEAGKVLCNHRDAEALAASKMPDYLGADQRKTKEEEKD DKPIRALDEGDIALLKTYGQSTYSRQIKQVEDDIQQLLKKINELTGIKESDTGLAPPALW DLAADKQTLQSEQPLQVARCTKIINADSEDPKYIINVKQFAKFVVDLSDQVAPTDIEEGM RVGVDRNKYQIHIPLPPKIDPTVTMMQVEEKPDVTYSDVGGCKEQIEKLREVVETPLLHP ERFVNLGIEPPKGVLLFGPPGTGKTLCARAVANRTDACFIRVIGSELVQKYVGEGARMVR ELFEMARTKKACLIFFDEIDAIGGARFDDGAGGDNEVQRTMLELINQLDGFDPRGNIKVL MATNRPDTLDPALMRPGRLDRKIEFSLPDLEGRTHIFKIHARSMSVERDIRFELLARLCP NSTGAEIRSVCTEAGMFAIRARRKIATEKDFLEAVNKVIKSYAKFSATPRYMTYN >ENSMUSP00000036338.3 pep:known chromosome:GRCm38:11:110100749:110168196:-1 gene:ENSMUSG00000041797.7 transcript:ENSMUST00000044850.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca9 description:ATP-binding cassette, sub-family A (ABC1), member 9 [Source:MGI Symbol;Acc:MGI:2386796] MRKRHLRLGQQMWALLCKNWLRKFRMRRETLLEWLFSLLLILFVYQLSSNLHQVHDAPEM SVVDLGRVDNFNDSNYMMVFAPESEATHEIMNKVASAPFMKGRTIVACPDEKSMNELDLN YSIDAVRVIFKDTFSYHLKFSWGQRIPKTKEHKDHSAPCEPLNNKMICENSAFWEKGFVA FQAAINAGIIEMITNHSVMEELMSVIGSNMKMPPFIAQGGVATDFFIFFCVISFSSLIYY LSVNITQERQYMTTLMAMMGLRESAFWLSWSLMYAGFILVVAVLMSLIVKSAQVVVLTGF MVVFLLFLFYGLSLITLSFLMSVLIKKPFLTGLAIFILTVFWGSLGFTALYKHLPAFVEW TLCFLSPFAFTTGMAQLIHLDYDVNSNVNLNSPNNSYLIMATLFMLVLDALLYLVLALYF DKITLSKYGHQRSPLFFLKSSYWFKRRGASHVVLENEIDSDPSLNDSLEPVSPEFQGKEA IRIKNLKKEYSGKHGKVEALRGLGFDIYEGQITALLGHSGAGKTTLINTLSGLSPPTTGS VTIYNQTVSEMDDSDAVLTITGVCPQSNVQFGFLTVRENLRLFAKIKGILPHEVEQEVQQ VLQDLEMENIQDILAQNLSGGQKRKLTLGIAILGDPQVLLLDEPTAGLDPLSRHRIWNLL KERRAGRVIVFSTQFMDEADILADRKVFISNGRLKCAGSSLFLKKKWGIGYHLSLHLNEA CDPEGITSLVKKHISDARLTTQSEERLVYILPLERTNKFPDLYRDLDRCSNQGIEDYGVS MTTLNEVFLKLEGKSMADESDVGICGRLQSDGARDMESLVELEQVLSLDSSGSSVSGMAL WRQQLCAVAKVRFFKLKNERKSLMTVLLLFGISFVPQLLEHLVYKVYHKSYSWGLSPSMY FLSPGQPPQDPLTHLLVINRTGSSIDNFVHALRQQGIALDLDALGTRNGTEEALYNGAIT VLGEEKALRFSVACNAKRLNCFPVLMDIISNGLLGIFNSSERIQTDRSTVFEEHMLYEYG FMSNAFFWIPVAASLTPYIAMGSISDHKKKVLSQLWTSGLYPSAYWCGQALVDIPIYFLI LFLMQIMDSVFSSEEFISVMESLLIQIPCSIGYASSLIFMTYVISFIFRNGRKNSGIWSF FFLIVTIFFIIATDINEYGFLELLICTFLVPPFTLIGSLLIFSEVSYDSVDYLGTSESQL VFLALLIPYLHFLLFFFILRCLERYLRKKSLRVDPVFRISPRSCPAVPNPEEPGEEDEDV QMERVRTTGAMATLQTDEKPVIIASCLRKEYIGRTKRCFSKMKKKIATRNISFCVKKGEV LGLLGHNGAGKSTTISMITGDTIPTAGQVFLKGSGGGAALGFLGYCPQENVLWPNLTVKE HLELYAAVKGLKKKDAVVTITRLVNALKLQDHLKALVRTLSEGVKRKLCFVLSILGNPPV VLLDEPSTGMDPEGQQQMWQAIRATFTNTERGALLTTHYMAEAEAVCDRVAIMVSGRLRC IGSIQHLKSKFGKDYLLEMKVKTPSQVEPLNTEIMRLFPQAARQERYSSLMVYKLPVEDV RPLSEAFFKLERLKENFDLEEYSLSQSTLEQVFLELSKEQELDDFGEEANSSVKWKLLPQ EEL >ENSMUSP00000143486.1 pep:known chromosome:GRCm38:X:42489691:42491524:1 gene:ENSMUSG00000105993.1 transcript:ENSMUST00000197237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm43337 description:predicted gene 43337 [Source:MGI Symbol;Acc:MGI:5663474] MAMDWGDHSTGFRHSEVIRFINNEILMNGGGPEFYLAFRMRPWNEIEDQLRAILIDPQVP RSLKRACTWSALALGVRIAARQREQQAYMVGLSQDAFGQLPSAPRASVSELWQLRQQREE AVTQLISTQAALQQAMRECDLLRRRLHHVERSVQMAPLVHDIQSQQLGASVVPLSPDHAR VMGTVGAYDRRYLEAQMSAATNVFYMPGSTSSWPLAMQPTVPVPVPYQLPAHPPFLTGSP FLMPFSPSVVVETEAGVVAPVPLPPAYPSGPFAAPCSQNPASVWDQRSYTYTTEGPLVTQ RAVPAGNIRDTSQEGNSGKCQGTNTLGDNRSHLQEQDRQGVQYRATLVDTENDNEGENEE LEATSAVAAEAASEESTDTAEDEQEEEEEVAEKEEAYDNAVDLQNLQELHLPGQGQLHSQ SDNRPQASGPASLYSGRCQIKEEDVEEAPPIPVWESWSQAVRESPKKQQPQLPKKDKKPQ AEAASESQPSSHSRMNWVCPRCKSMNFSWRKVCYKCKKICMPAEFGGQPH >ENSMUSP00000114693.1 pep:known chromosome:GRCm38:7:141493136:141539816:-1 gene:ENSMUSG00000025512.14 transcript:ENSMUST00000153191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chid1 description:chitinase domain containing 1 [Source:MGI Symbol;Acc:MGI:1915288] MWPLLHVLWLALVCGSVHTTLSKSDAKKAASKMLLEKTQFSDKPVQDRGLVVTDIKAEDV VLEHRSYCSSRARERNFAGEVLGYVTPWNSHGYDVAKVFGSKFTQISPVWLQLKRRGREM FEITGLHDVDQGWMRAVKKHAKGVRIVPRLLFEDWTYDDFRNVLDSEDEIEELSKTVAQV AKNQHFDGFVVEVWSQLLSQKHVGLIHMLTHLAEALHQARLLVILVIPPAVTPGTDQLGM FTHKEFEQLAPILDGFSLMTYDYSTSQQPGPNAPLSWIRACVQVLDPKSQWRSKILLGLN FYGMDYAASKDAREPVIGARYVQTLKDHRPRVVWDSQAAEHFFEYKKNRGGRHVVFYPTL KSLQVRLELARELGVGVSIWELGQGLDYFYDLL >ENSMUSP00000112891.1 pep:known chromosome:GRCm38:7:141493138:141539804:-1 gene:ENSMUSG00000025512.14 transcript:ENSMUST00000118694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chid1 description:chitinase domain containing 1 [Source:MGI Symbol;Acc:MGI:1915288] MWPLLHVLWLALVCGSVHTTLSKSDAKKAASKMLLEKTQFSDKPVQDRGLVVTDIKAEDV VLEHRSYCSSRARERNFAGEVLGYVTPWNSHGYDVAKVFGSKFTQISPVWLQLKRRGREM FEITGLHDVDQGWMRAVKKHAKGVRIVPRLLFEDWTYDDFRNVLDSEDEIEELSKTVAQV AKNQHFDGFVVEVWSQLLSQKHVGLIHMLTHLAEALHQARLLVILVIPPAVTPGYVQTLK DHRPRVVWDSQAAEHFFEYKKNRGGRHVVFYPTLKSLQVRLELARELGVGVSIWELGQGL DYFYDLL >ENSMUSP00000065953.5 pep:known chromosome:GRCm38:7:141496048:141532934:-1 gene:ENSMUSG00000025512.14 transcript:ENSMUST00000064642.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chid1 description:chitinase domain containing 1 [Source:MGI Symbol;Acc:MGI:1915288] XAMWPLLHVLWLALVCGSVHTTLSKSDAKKAASKMLLEKTQFSDKPVQDRGLVVTDIKAE DVVLEHRSYCSSRARERNFAGEVLGYVTPWNSHGYDVAKVFGSKFTQISPVWLQLKRRGR EMFEITGLHDVDQGWMRAVKKHAKGVRIVPRLLFEDWTYDDFRNVLDSEDEIEELSKTVA QVAKNQHFDGFVVEVWSQLLSQKHVGLIHMLTHLAEALHQARLLVILVIPPAVTPGPLVG TAEHNSRPCTLISGLACSTSAREPKQQLCPASSAGHSHVVICLIKAALGRQLWCVGD >ENSMUSP00000115174.1 pep:known chromosome:GRCm38:7:141515415:141539530:-1 gene:ENSMUSG00000025512.14 transcript:ENSMUST00000143561.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chid1 description:chitinase domain containing 1 [Source:MGI Symbol;Acc:MGI:1915288] MWPLLHVLWLALVCGSVHTTLSKSDAKKAASKMLLEKTQFSDKPVQDRGLVVTDIKAEDV VLEHRSYCSSRARERNFAGEVLGYVTPWNSHGYDVAKVFGSKFTQISPVWLQLKRRGREM FEITGLHDVDQGWMRAVKKHAKGVRIVPRLLFEDWTYDDFRNVLDSEDEIEELSKTVAQV AKNQHFDGFVVEVWSQLLSQKHVGLIHMLTHLAEALHQARLLVILVIPPAVTPGTDQLGM FTHKEFEQLAPILDGFSLMTYDY >ENSMUSP00000130360.1 pep:known chromosome:GRCm38:7:141493137:141539857:-1 gene:ENSMUSG00000025512.14 transcript:ENSMUST00000166082.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chid1 description:chitinase domain containing 1 [Source:MGI Symbol;Acc:MGI:1915288] MPAMWPLLHVLWLALVCGSVHTTLSKSDAKKAASKMLLEKTQFSDKPVQDRGLVVTDIKA EDVVLEHRSYCSSRARERNFAGEVLGYVTPWNSHGYDVAKVFGSKFTQISPVWLQLKRRG REMFEITGLHDVDQGWMRAVKKHAKGVRIVPRLLFEDWTYDDFRNVLDSEDEIEELSKTV AQVAKNQHFDGFVVEVWSQLLSQKHVGLIHMLTHLAEALHQARLLVILVIPPAVTPGTDQ LGMFTHKEFEQLAPILDGFSLMTYDYSTSQQPGPNAPLSWIRACVQVLDPKSQWRSKILL GLNFYGMDYAASKDAREPVIGARYVQTLKDHRPRVVWDSQAAEHFFEYKKNRGGRHVVFY PTLKSLQVRLELARELGVGVSIWELGQGLDYFYDLL >ENSMUSP00000026586.6 pep:known chromosome:GRCm38:7:141493137:141539857:-1 gene:ENSMUSG00000025512.14 transcript:ENSMUST00000026586.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chid1 description:chitinase domain containing 1 [Source:MGI Symbol;Acc:MGI:1915288] MPAMWPLLHVLWLALVCGSVHTTLSKSDAKKAASKMLLEKTQFSDKPVQDRGLVVTDIKA EDVVLEHRSYCSSRARERNFAGEVLGYVTPWNSHGYDVAKVFGSKFTQISPVWLQLKRRG REMFEITGLHDVDQGWMRAVKKHAKGVRIVPRLLFEDWTYDDFRNVLDSEDEIEELSKTV AQVAKNQHFDGFVVEVWSQLLSQKHVGLIHMLTHLAEALHQARLLVILVIPPAVTPGYVQ TLKDHRPRVVWDSQAAEHFFEYKKNRGGRHVVFYPTLKSLQVRLELARELGVGVSIWELG QGLDYFYDLL >ENSMUSP00000140857.1 pep:known chromosome:GRCm38:4:115452604:115471062:1 gene:ENSMUSG00000084346.4 transcript:ENSMUST00000119958.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a30b description:cytochrome P450, family 4, subfamily a, polypeptide 30b [Source:MGI Symbol;Acc:MGI:3717145] MSIFELSHITNVFGIFGLLQVVSLLGLLLLLLKSAQYYLHRQWLIKSLQQFPPAPPPQWL FGNTLKDQDLQQILLCVEKFPSTYVRWLWGNHARVVIYDPDYMKVILGRSDPKVVRSYSF FAPWIGYGLLLLNGKKWFQHRRMLTPAFHYDILKPYVGIMVDSVHVMLDKWEQLVDQDCP LEIYQDISLMTMETMMKCAFSYQGSVQLEENSKSYIKAVEDLTHLIYLRVRNGFHQNNTI YSLSSNGRSFYHACQIAHKHTERVIRMRKAQLQNEAELEKFRKKKRLDFLDILLFAQTED GKSLSDEDVRAEMDTFMFEGHNTTASGISWIFYALATHPEHQQRCREEVKSILGNGTSVT LNHLDQMPYTTMCIKEALRLYPPIPGASRELSSPVTFPDGCSLPKGFLVTLSFYGLHHNP RLRPNAEVFDPSRFAPGAPRQTHAFLPFSAGTRNCIGKQFTMNELKVAVALTLLRFELLP DPTRVPILVSKIVLKSKNGIYLHTKKLK >ENSMUSP00000123838.1 pep:known chromosome:GRCm38:3:66971727:66981318:-1 gene:ENSMUSG00000027833.16 transcript:ENSMUST00000162098.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shox2 description:short stature homeobox 2 [Source:MGI Symbol;Acc:MGI:1201673] XGGAGGGAGGGRSPVRELDMGAAERSREPGSPRLTEVSPELKDRKDDAKGMEDEGQTKIK QRRSRTNFTLEQLNELERLFDETHYPDAFMREELSQRLGLSEARVQVWFQNRRAKCRKQE NQLHKGVLIGAASQFEACRVAPYVNVGALRMPFQQVQAQLQLDSAVAHAHHHLHPHLAAH APYMMFPAPPFGLPLATLAADSASAASVVAAAAAAKTTSKNSSIADLRLKAKKHAAALGL >ENSMUSP00000029422.7 pep:known chromosome:GRCm38:3:66971782:66981771:-1 gene:ENSMUSG00000027833.16 transcript:ENSMUST00000029422.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shox2 description:short stature homeobox 2 [Source:MGI Symbol;Acc:MGI:1201673] MEELTAFVSKSFDQKVKEKKEAITYREVLESGPLRGAKEPGCVEPGRDDRSSPAVRAAGG GGGAGGGGGGGGGGGGGAGGGGAGGGAGGGRSPVRELDMGAAERSREPGSPRLTEVSPEL KDRKDDAKGMEDEGQTKIKQRRSRTNFTLEQLNELERLFDETHYPDAFMREELSQRLGLS EARVQVWFQNRRAKCRKQENQLHKGVLIGAASQFEACRVAPYVNVGALRMPFQQDSHCNV TPLSFQVQAQLQLDSAVAHAHHHLHPHLAAHAPYMMFPAPPFGLPLATLAADSASAASVV AAAAAAKTTSKNSSIADLRLKAKKHAAALGL >ENSMUSP00000125031.3 pep:known chromosome:GRCm38:3:66972780:66978447:-1 gene:ENSMUSG00000027833.16 transcript:ENSMUST00000162060.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shox2 description:short stature homeobox 2 [Source:MGI Symbol;Acc:MGI:1201673] MEDEGQTKIKQRRSRTNFTLEQLNELERLFDETHYPDAFMREELSQRLGLSEARVQVWFQ NRRAKCRKQENQLHKGVLIGAASQFEACRVAPYVNVGALRMPFQQVQAQLQLDSAVAHAH HHLHPHLAAHAPYMMFPAPPFGLPLATLAADSASAASVVAAAAAAKTTSKNSSIADLRLK AKKHAAALGL >ENSMUSP00000124924.3 pep:known chromosome:GRCm38:3:66973259:66981141:-1 gene:ENSMUSG00000027833.16 transcript:ENSMUST00000162439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shox2 description:short stature homeobox 2 [Source:MGI Symbol;Acc:MGI:1201673] MEDEGQTKIKQRRSRTNFTLEQLNELERLFDETHYPDAFMREELSQRLGLSEARVQVWFQ NRRAKCRKQENQLHKGVLIGAASQFEACRVAPYVNVGALRMPFQQVQAQLQLDSAVAHAH HHLHPHLAAHAPYMMFPAPPFGLPLATLAADSASAASVVAAAAAAKTTSKNSSIADLRLK AKKHAAALGL >ENSMUSP00000141625.1 pep:known chromosome:GRCm38:3:66973898:66978811:-1 gene:ENSMUSG00000027833.16 transcript:ENSMUST00000195261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shox2 description:short stature homeobox 2 [Source:MGI Symbol;Acc:MGI:1201673] MEDEGQTKIKQRRSRTNFTLEQLNELERLFDETHYPDAFMREELSQRLGLSEARVQVWFQ NRRAKCRKQENQLHKGVLIGAASQFEACRVAPYVNVGALRMPFQQVQA >ENSMUSP00000026188.3 pep:known chromosome:GRCm38:19:42518759:42592343:1 gene:ENSMUSG00000025184.10 transcript:ENSMUST00000026188.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hcc1l description:R3H domain and coiled-coil containing 1 like [Source:MGI Symbol;Acc:MGI:1196316] MQQEAERCRVRTKRPDMALYVPKARRGTALLKSSDQEEGHGPPAFVPKDQKEGCLPQKIS ASKPESQRRGAGHSDRKDVDCREGKRSASQLRKDRCPQKQNKEKACSKKGAEESTEASSQ EHQHRAPDAGIVSSIPLQRLFKPKDMDCWEVQTAGATGHWRVSPSQSSSEVSAAQVPSRP FQNVELCDFSGETFVNRNLESSIVTEAKVPELVSQFPQVVTTLLKPDGMAMPVTLSSDSE TAPSSLETPDGMSKHSPGDISVVSVPGGPDEDVDSTFVDFEVESEGTVNSTESVLGQKGV DSILETVDNVSLKMAVVSKLESTNGTIDPAVTRECESDSSADELCVKSEPSDTAVLVHEI DTDDGFRNVCDSTSKACMVDIAGTACDPVTEGSSCTGAVGESGESSGNMRNFSDYIEMSA DVAPLDRAKSENDSENISSLSACSDIYAESIASGFTESTGKLIESVSDGASSLPIKKTAD SNIATCLDSELSMSDASDVLLESALGSDLDTTEEMTEALHDLKTAEEFKTKEEDYSESVV CGISFSDSSVETSVDLKTTDTSHIQGSSAVEESWESMFNDDGDCVDPRLLLELSGNVKNR KSIQEPRFDYYSHELPDIDLSECEFPHVIEIYDFPQEFRTEDLLRIFCSYQKKGFDIKWV DDTHALGVFASPITARDALGTKHTMVKIRPLSQATRAAKAKARACAEFLQPAKERPETSA ALARRLVISALGVRSKQSKTEREAELRKLQEARERKRLEAKQREDIWEGRDQSVV >ENSMUSP00000124036.1 pep:known chromosome:GRCm38:19:42518798:42591010:1 gene:ENSMUSG00000025184.10 transcript:ENSMUST00000160107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hcc1l description:R3H domain and coiled-coil containing 1 like [Source:MGI Symbol;Acc:MGI:1196316] MVAAEAESDSVPLSGNVKNRKSIQEPRFDYYSHELPDIDLSECEFPHVIEIYDFPQEFRT EDLLRIFCSYQKKGFDIKWVDDTHALGVFASPITARDALGTKHTMVKIRPLSQATRAAKA KARACAEFLQPAKERPETSAALARRLVISALGVRSKQSKTEREAELRKLQEARERKRLEA KQREDIWEGRDQSVV >ENSMUSP00000125554.1 pep:known chromosome:GRCm38:19:42518822:42576100:1 gene:ENSMUSG00000025184.10 transcript:ENSMUST00000160893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hcc1l description:R3H domain and coiled-coil containing 1 like [Source:MGI Symbol;Acc:MGI:1196316] MQQEAERCRVRTKRPDMALYVPKARRGTALLKSSDQEEGHGPPAFVPKDQKEGCLPQKIS ASKPESQRRGAGHSDRKDVDCREGKRSASQLRKDRCPQKQNKEKACSKKGAEESTEASSQ EHQHRAPDAGIVSSIPLQRLFKPKDMDCWEVQTAGATGHWRVSPSQSSSELSGNVKNRKS IQEPRFDYYSHELPD >ENSMUSP00000104133.1 pep:known chromosome:GRCm38:7:16875317:16887462:1 gene:ENSMUSG00000078794.4 transcript:ENSMUST00000108493.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dact3 description:dishevelled-binding antagonist of beta-catenin 3 [Source:MGI Symbol;Acc:MGI:3654828] MIRAFSFPVSPERGRLRGWLEGSLAGLCELHWLRERQEYRVQQALRLAQPGMGGAEAEDE EDAEEDEDAAAARRAAAALEEQLEALPGLIWDLGQQLGDLSLESGGLDQESGRSSGFYED PSSTGGPDSPPSTFCGDSGFSGSGSYGRLGPSDPRGIYASERPKSLGDASPSAPESVGAR VAVPRSFSAPYPTAAAGAETCSSAERRARAGPFLTPSPLHAVALRSPRPSGRVPCGSPDG AASRPLDGYISALLRRRRRRGAGQPRTSPGGADGGARRQNGARPRPPEASPPPGGARPAR EPSTERAWAAAWEAEVPPEPAPPAAASPPSSPAEGRLVKAQYIPGAPAASRGLPGRAARR RAPPLTRGRSVEQSPPRERPRAAGRRGRLAEPSGRRGSPRARKAARSQSETSLLGRAHAA PPPKYPTAERDEPRPPRPRRGPAPTPTVQACRRWRSTAEIDAPDGRRPRARVPAPRGPAP SPSAPPRRLLYGCAGSDSECSAVGRPVPLGRRMPSGCAPGGYGESESSASEGESPAFSSA SSDSDGSGGLVWPQQLVAAAGASPSGPGGAAGGGTPAGPAKVFVKIKASHALKKKILRFR SGSLKVMTTV >ENSMUSP00000035989.5 pep:known chromosome:GRCm38:4:117894486:117914999:-1 gene:ENSMUSG00000033365.14 transcript:ENSMUST00000036156.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipo13 description:importin 13 [Source:MGI Symbol;Acc:MGI:2385205] MERREEQLGAAGAGAAPALDFTVENVEKALHQLYYDPNIDNKNLAQKWLMQAQVSPQAWH FSWQLLQPDKVPEIQYFGASALHIKISRYWSDIPTDQYESLKAQLFTQITRFASGSKIVL TRLCVALASLALSMMPDAWPCAVADMVRLFQAEDSPVDSQGRCLALLELLTVLPEEFQTS RLPQYRKGLVRTSLAVECGTVFPLLEQLLQQPSSPSCVRQKVLKCFSSWVQLEVPLQDCE ALIQAAFAALQDSELFDSSVEAIVNAISQPDAQRYVNTLLKLIPLVLGLQEQLRQAVQNG DMETSHGICRIAVALGENHSRALLDQVEHWQSFLALVNMIMFCTGIPGHYPVNETTSSLT LTFWYTLQDDILSFEAEKQAVYQQVYRPVYFQLVDVLLHKAQFPSDEEYGFWSSDEKEQF RIYRVDISDTLMYVYEMLGAELLSNLYDKLGRLLTSSEEPYSWQHTEALLYGFQSIAETI DVNYSDVVPGLIGLIPRISISNVQLADTVMFTIGALSEWLADHPVMINSVLPLVLHALGN PELSVSSVSTLKKICRECKYDLPPYAANIVAVSQDVLMKQIHKTSQCMWLMQALGFLLSA LQVEEILKNLHSLISPYIQQLEKLAEEIPNPSNKLAIVHILGLLSNLFTTLDVSHHEDDH EGPELRKLPVPQGPNPVVVVLQQVFQLIQKVLSKWLNDAQVVEAVCAIFEKSVKTLLDDF APMVPQLCEMLGRMYSTVPQASALDLTRQLVHIFAHEPAHFPPIEALFLLVTSVTLSLFQ QGPRDHPDIVDSFMQLLAQALKRKPDLFLCERLDVKAVFQCAVLALKFPEAPTVKASCGF FTELLPRCGEIESVGKVVQEDGRMLLIAVLEAIGGQASRSLMDCFADILFALNKHCFSLL SMWIKEALQPPGFPSARLSPEQKDTFSQQILRERVNKRRVKEMVKEFTLLCRGLHGTDYT ADY >ENSMUSP00000086333.4 pep:known chromosome:GRCm38:17:6270470:6308314:1 gene:ENSMUSG00000038141.9 transcript:ENSMUST00000088940.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem181a description:transmembrane protein 181A [Source:MGI Symbol;Acc:MGI:1924356] MEPLAPMRLYTLSKRHFVLVFVVFFICFGLTVFVGIEGPRVIETLPANYSLNSSKKLKTV IPSNPLSTYNQQLWLMCVVELEPSEETSIQTSFTMTVKVDGVSQDGTTTYIHNKVHNRTR TLTCAGKCAEIIVAHLGYLNYTQYRVTVGFEHLNQPIKDMNFTWKTYNPAFSRLEIWFHF VFVVLTFIVICLFVHSLRKFSMRDWGIEQKWMSVLLALLLLYNDPFFPLSFLVNSWFPGM LDDFFQSLFLCALLLFWLCVYHGIRVQGERKCLTFYLPKFFIVGLLWLASVTLGIWQTFN ELNDPMYQYRVDTGNFQGMKVFFMVVAAVYILYLLFLIVRACSELRHMPYVDLRLKFLTA LTFVVLVISIVILYLRFGAQVLQDNFVAELSAHYQNSAEFLSFYGLLNFYLYTLAFVYSP SKNALYESQLKDNPAFSMLNDSDDDVIYGSDYEEMPLQNGQAIRAKCREESDSD >ENSMUSP00000133210.2 pep:known chromosome:GRCm38:15:78428564:78438497:1 gene:ENSMUSG00000033287.15 transcript:ENSMUST00000166142.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd17 description:potassium channel tetramerisation domain containing 17 [Source:MGI Symbol;Acc:MGI:1920094] MQTTRPAMRMEAGEAAPPVGAGGRPGGGWGKWVRLNVGGTVFLTTRQTLCREQKSFLSRL CQGEELQSDRDETGAYLIDRDPTYFGPILNFLRHGKLVLDKDMAEEGVLEEAEFYNIGPL IRIIKDRMEEKDYTVAQVPPKHVYRVLQCQEEELTQMVSTMSDGWRFEQLVNIGSSYNYG SEDQAEFLCVVSKELHSSPHGLSSESTRKAKVPVRTLPDPSLSLQ >ENSMUSP00000124290.1 pep:known chromosome:GRCm38:15:78428564:78438497:1 gene:ENSMUSG00000033287.15 transcript:ENSMUST00000162517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd17 description:potassium channel tetramerisation domain containing 17 [Source:MGI Symbol;Acc:MGI:1920094] MQTTRPAMRMEAGEAAPPVGAGGRPGGGWGKWVRLNVGGTVFLTTRQTLCREQKSFLSRL CQGEELQSDRDETGAYLIDRDPTYFGPILNFLRHGKLVLDKDMAEEGVLEEAEFYNIGPL IRIIKDRMEEKDYTVAQVPPKHVYRVLQCQEEELTQMVSTMSDGWRFEQLVNIGSSYNYG SEDQAEFLCVVSKELHSSPHGLSSESTRKAKPCHLRIPLTFSPSHHRLLLLRFLLEVPVR TLPDPSLSLQ >ENSMUSP00000086835.4 pep:known chromosome:GRCm38:15:78428628:78438909:1 gene:ENSMUSG00000033287.15 transcript:ENSMUST00000089414.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd17 description:potassium channel tetramerisation domain containing 17 [Source:MGI Symbol;Acc:MGI:1920094] MQTTRPAMRMEAGEAAPPVGAGGRPGGGWGKWVRLNVGGTVFLTTRQTLCREQKSFLSRL CQGEELQSDRDETGAYLIDRDPTYFGPILNFLRHGKLVLDKDMAEEGVLEEAEFYNIGPL IRIIKDRMEEKDYTVAQVPPKHVYRVLQCQEEELTQMVSTMSDGWRFEQLVNIGSSYNYG SEDQAEFLCVVSKELHSSPHGLSSESTRKAKSTDEQLEEQRRQEVEEVEVAQVQVEADAQ EKAITSQRHPDVSPQITSRDLGFRSEILYFCTTGWAPGPRRKTSPLPCQCCLHLPG >ENSMUSP00000125574.1 pep:known chromosome:GRCm38:15:78428662:78438843:1 gene:ENSMUSG00000033287.15 transcript:ENSMUST00000159771.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd17 description:potassium channel tetramerisation domain containing 17 [Source:MGI Symbol;Acc:MGI:1920094] MRMEAGEAAPPVGAGGRPGGGWGKWVRLNVGGTVFLTTRQTLCREQKSFLSRLCQGEELQ SDRDETGAYLIDRDPTYFGPILNFLRHGKLVLDKDMAEEGVLEEAEFYNIGPLIRIIKDR MEEKDYTVAQVPPKHVYRVLQCQEEELTQMVSTMSDGWRFEQLVNIGSSYNYGSEDQAEF LCVVSKELHSSPHGLSSESTRKAKLLQARGTRM >ENSMUSP00000125680.1 pep:known chromosome:GRCm38:15:78428796:78438647:1 gene:ENSMUSG00000033287.15 transcript:ENSMUST00000162321.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kctd17 description:potassium channel tetramerisation domain containing 17 [Source:MGI Symbol;Acc:MGI:1920094] QTLCREQKSFLSRLCQGEELQSDRDETGAYLIDRDPTYFGPILNFLRHGKLVLDKDMAEE GVLEEAEFYNIGPLIRIIKDRMEEKDYTVAQVPPKHVYRVLQCQEEELTQMVSTMSDGWR FEQLVNIGSSYNYGSEDQAEFLCVVSKELHSSPHGLSSESTRKAKSTDEQLEEQRRQEVE EVEVAQVQVEADAQEKGAARPQEDDC >ENSMUSP00000125421.1 pep:known chromosome:GRCm38:15:78430086:78438580:1 gene:ENSMUSG00000033287.15 transcript:ENSMUST00000162808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd17 description:potassium channel tetramerisation domain containing 17 [Source:MGI Symbol;Acc:MGI:1920094] XGPILNFLRHGKLVLDKDMAEEGVLEEAEFYNIGPLIRIIKDRMEEKDYTVAQVPPKHVY RVLQCQEEELTQMVSTMSDGWRFEQLVNIGSSYNYGSEDQAEFLCVVSKELHSSPHGLSS ESTRKAKSTDEQLEEQRRQEVEEVEVAQVQVEADAQEKGPCPHPPRPKPELAVRAPRPRA RPQSCRPCYYKPEAPGCEPPDHLQGLGVPI >ENSMUSP00000110218.2 pep:known chromosome:GRCm38:6:48395586:48419545:1 gene:ENSMUSG00000042810.14 transcript:ENSMUST00000114571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krba1 description:KRAB-A domain containing 1 [Source:MGI Symbol;Acc:MGI:1925077] MRENYETLVSVGTSELLPLSAFLSPAEAGGATSGESHQDKGQKPHLEHSSQGEQPQQSLH LTALVQLVKEIPEFLFGEVKGTEDYSESGSTSLDGEQTSPEVAVVVEACPPRGLLNSLPE SPASHPSLATTPTGSSTSGGPPGDWAHGSPLPAIGTDDKPLSIEKEGVGASRETSIHSTQ SLGQSKSYLRQERGSMGTGTLPENSPLQGLINCLKEILVPRPQHRGTAPDLPPSLPGLSV LKQTRAEVEAGSLPCPVKTEAASGDCPLQGLLNCLKEIPKAPDRRPSPSGASDLQLQEDP GKRHSGGMRHLQTPPHPSHEAGSMLATVKVEDGWAQSPPVPASCQLSRQGYSSYSTGDNR EVRVPRWGPMTLASRASSSPLEALEACLKGIPPGGSSPLQSLAISWSRSPQLGDAGSQRF ELQQQGSHSEEATREPLLPLSLQGYMREGPGVQPCGSQGTPTSFSSASSSDGDLDFRSPR SSQGQRLGKGYLPGNSPLQGLENCLREIPIPRPQAAWPCSSAVNRGLKRTEPRNWTGDRE GLRGEASEPPHLRQRPGEVPSRSLHQDSPQTCTSTCHQVTTRPGTWQWPQEETATMPSPL HRLENSLRGILPVRPLRFTCVTGPGPSPSPCSSSSFSSSDGEDLRPEPAFWQSPLQQKDQ PPSCKDPVRLCPVSGASPRVNSNSCSAEDRERTEPRDCSSLSAGRAEEKPHPPRREDGAE RTRQPGPVTNAEGKGAAAGHPSPAPQLEEKPEPKGTEDSRDLEPGHRPPSAAARTQGKLL SGDPPESPSKSPLPTTVLSKWSPTSLQPPCPCGRSLQQELHNLGTALTDKLDRLAAALAG LTQEVATMKTQMDQLRRHPRSLGPKGQGSWQLALPQRPRWVNRLGHRHLPYWRQKGPTRP RPKILRTQAEGCKTSDRPGLSRGKGSLVPQLPPEASLVESSRPTCSSSQQISSTPGGHTV LTAHPPLEHTACHQNPLSPSVPTSVQVPLVASPATSADTEPPAARVAAISIPNQPKEPDS LLGEALSRDLWGGDHRDPRWGAH >ENSMUSP00000031815.5 pep:known chromosome:GRCm38:6:48395614:48416904:1 gene:ENSMUSG00000042810.14 transcript:ENSMUST00000031815.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krba1 description:KRAB-A domain containing 1 [Source:MGI Symbol;Acc:MGI:1925077] MRSARPTQTSQLCGSRCSEFPGPASCRAELGRCPRGSRGSRCSLQPEPHRKLGGPGSREA EFRHGAPGTSELLPLSAFLSPAEAGGATSGESHQDKGQKPHLEHSSQGEQPQQSLHLTAL VQLVKEIPEFLFGEVKGTEDYSESGSTSLDGEQTSPEVAVVVEACPPRGLLNSLPESPAS HPSLATTPTGSSTSGGPPGDWAHGSPLPARTDDKPLSIEKEGVGASRETSIHSTQSLGQS KSYLRQERGSMGTGTLPENSPLQGLINCLKEILVPRPQHRGTAPDLPPSLPGLSVLKQTR AEVEAGSLPCPVKTEAASGDCPLQGLLNCLKEIPKAPDRRPSPSGASDLQLQEDPGKRHS GASRASSSPLEALEACLKGIPPGGSSPLQSLAISWSRSPQLGDAGSQRFELQQQGSHSEE ATREPLLPLSLQGYMREGPGVQPCGSQGTPTSFSSASSSDGDLDFRSPRSSQGQRLGKGY LPGNSPLQGLENCLREIPIPRPQAAWPCSSAVNRGLKRTEPRNWTGDREGLRGEASEPPH LRQRPGEVPSRSLHQDSPQTCTSTCHQVTTRPGTWQWPQEETATMPSPLHRLENSLRGIL PVRPLRFTCVTGPGPSPSPCSSSSFSSSDGEDLRPEPAFWQSPLQQKDQPPSCKDPVRLC PVSGASPRVNSNSCSAEDRERTEPRDCSSLSAGRAEEKPHPPRREDGAERTRQPGPVTNA EGKGAAAGHPSPAPQLEEKPEPKGTEDSRDLEPGHRPPSAAARTQGKLLSGDPPESPSKS PLPTTVLSKWSPTSLQPPCPCGRSLQQELHNLGTALTDKLDRLAAALAGLTQEVATMKTQ MDQLRRHPRSLGPKGQGSWQLALPQRPRWVNRLGHRHLPYWRQKGPTRPRPKILRTQAEG CKTSDRPGLSRGKGSLVPQLPPEASLVESSRPTCSSSQQISSTPGGHTVLTAHPPLEHTA CHQNPLSPSVPTSVQVPLVASPATSADTEPPAARVAAISIPNQPKEPDSLLGEALSRDLW GGDHRDPRWGAH >ENSMUSP00000145256.1 pep:known chromosome:GRCm38:6:48395632:48416899:1 gene:ENSMUSG00000042810.14 transcript:ENSMUST00000203371.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krba1 description:KRAB-A domain containing 1 [Source:MGI Symbol;Acc:MGI:1925077] MRENYETLVSVGTSELLPLSAFLSPAEAGGATSGESHQDKGQKPHLEHSSQGEQPQQSLH LTALVQLVKEIPEFLFGEVKGTEDYSESGSTSLDGEQTSPEAVVVEACPPRGLLNSLPES PASHPSLATTPTGSSTSGGPPGDWAHGSPLPAIGTDDKPLSIEKEGVGASRETSIHSTQS LGQSKSYLRQERGSMGTGTLPENSPLQGLINCLKEILVPRPQHRGTAPDLPPSLPGLSVL KQTRAEVEAGSLPCPVKTEAASGDCPLQGLLNCLKEIPKAPDRRPSPSGASDLQLQEDPG KRHSGGMRHLQTPPHPSHEAGSMLATVKVEDGWAQSPPVPASCQLSRQGYSSYSTGDNRE VRVPRWGPMTLASRASSSPLEALEACLKGIPPGGSSPLQSLAISWSRSPQLGDAGSQRFE LQQQGSHSEEATREPLLPLSLQGYMREGPGVQPCGSQGTPTSFSSASSSDGDLDFRSPRS SQGQRLGKGYLPGNSPLQGLENCLREIPIPRPQAAWPCSSAVNRGLKRTEPRNWTGDREG LRGEASEPPHLRQRPGEVPSRSLHQDSPQTCTSTCHQVTTRPGTWQWPQEETATMPSPLH RLENSLRGILPVRPLRFTCVTGPGPSPSPCSSSSFSSSDGEDLRPEPAFWQSPLQQKDQP PSCKDPVRLCPVSGASPRVNSNSCSAEDRERTEPRDCSSLSAGRAEEKPHPPRREDGAER TRQPGPVTNAEGKGAAAGHPSPAPQLEEKPEPKGTEDSRDLEPGHRPPSAAARTQGKLLS GDPPESPSKSPLPTTVLSKWSPTSLQPPCPCGRSLQQELHNLGTALTDKLDRLAAALAGL TQEVATMKTQMDQLRRHPRSLGPKGQGSWQLALPQRPRWVNRLGHRHLPYWRQKGPTRPR PKILRTQAEGCKTSDRPGLSRGKGSLVPQLPPEASLVESSRPTCSSSQQISSTPGGHTVL TAHPPLEHTACHQNPLSPSVPTSVQVPLVASPATSADTEPPAARVAAISIPNQPKEPDSL LGEALSRDLWGGDHRDPRWGAH >ENSMUSP00000076345.5 pep:known chromosome:GRCm38:6:48395658:48419545:1 gene:ENSMUSG00000042810.14 transcript:ENSMUST00000077093.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krba1 description:KRAB-A domain containing 1 [Source:MGI Symbol;Acc:MGI:1925077] MRENYETLVSVGTSELLPLSAFLSPAEAGGATSGESHQDKGQKPHLEHSSQGEQPQQSLH LTALVQLVKEIPEFLFGEVKGTEDYSESGSTSLDGEQTSPEVAVVVEACPPRGLLNSLPE SPASHPSLATTPTGSSTSGGPPGDWAHGSPLPAIGTDDKPLSIEKEGVGASRETSIHSTQ SLGQSKSYLRQERGSMGTGTLPENSPLQGLINCLKEILVPRPQHRGTAPDLPPSLPGLSV LKQTRAEVEAGSLPCPVKTEAASGDCPLQGLLNCLKEIPKAPDRRPSPSGASDLQLQEDP GKRHSGGMRHLQTPPHPSHEAGSMLATVKVEDGWAQSPPVPASCQLSRQGYSSYSTGDNR EVRVPRWGPMTLASRASSSPLEALEACLKGIPPGGSSPLQSLAISWSRSPQLGDAGSQRF ELQQQGSHSEEATREPLLPLSLQGYMREGPGVQPCGSQGTPTSFSSASSSDGDLDFRSPR SSQGQRLGKGYLPGNSPLQGLENCLREIPIPRPQAAWPCSSAVNRGLKRTEPRNWTGDRE GLRGEASEPPHLRQRPGEVPSRSLHQDSPQTCTSTCHQVTTRPGTWQWPQEETATMPSPL HRLENSLRGILPVRPLRFTCVTGPGPSPSPCSSSSFSSSDGEDLRPEPAFWQSPLQQKDQ PPSCKDPVRLCPVSGASPRVNSNSCSAEDRERTEPRDCSSLSAGRAEEKPHPPRREDGAE RTRQPGPVTNAEGKGAAAGHPSPAPQLEEKPEPKGTEDSRDLEPGHRPPSAAARTQGKLL SGDPPESPSKSPLPTTVLSKWSPTSLQPPCPCGRSLQQELHNLGTALTDKLDRLAAALAG LTQEVATMKTQMDQLRRHPRSLGPKGQGSWQLALPQRPRWVNRLGHRHLPYWRQKGPTRP RPKILRTQAEGCKTSDRPGLSRGKGSLVPQLPPEASLVESSRPTCSSSQQISSTPGGHTV LTAHPPLEHTACHQNPLSPSVPTSVQVPLVASPATSADTEPPAARVAAISIPNQPKEPDS LLGEALSRDLWGGDHRDPRWGAH >ENSMUSP00000110219.4 pep:known chromosome:GRCm38:6:48395866:48417466:1 gene:ENSMUSG00000042810.14 transcript:ENSMUST00000114572.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krba1 description:KRAB-A domain containing 1 [Source:MGI Symbol;Acc:MGI:1925077] MALQVPISFKDLAVRFSEEEWRLLQEGQREFYRDVMRENYETLVSVGTSELLPLSAFLSP AEAGGATSGESHQDKGQKPHLEHSSQGEQPQQSLHLTALVQLVKEIPEFLFGEVKGTEDY SESGSTSLDGEQTSPEVAVVVEACPPRGLLNSLPESPASHPSLATTPTGSSTSGGPPGDW AHGSPLPAIGTDDKPLSIEKEGVGASRETSIHSTQSLGQSKSYLRQERGSMGTGTLPENS PLQGLINCLKEILVPRPQHRGTAPDLPPSLPGLSVLKQTRAEVEAGSLPCPVKTEAASGD CPLQGLLNCLKEIPKAPDRRPSPSGASDLQLQEDPGKRHSGGMRHLQTPPHPSHEAGSML ATVKVEDGWAQSPPVPASCQLSRQGYSSYSTGDNREVRVPRWGPMTLASRASSSPLEALE ACLKGIPPGGSSPLQSLAISWSRSPQLGDAGSQRFELQQQGSHSEEATREPLLPLSLQGY MREGPGVQPCGSQGTPTSFSSASSSDGDLDFRSPRSSQGQRLGKGYLPGNSPLQGLENCL REIPIPRPQAAWPCSSAVNRGLKRTEPRNWTGDREGLRGEASEPPHLRQRPGEVPSRSLH QDSPQTCTSTCHQVTTRPGTWQWPQEETATMPSPLHRLENSLRGILPVRPLRFTCVTGPG PSPSPCSSSSFSSSDGEDLRPEPAFWQSPLQQKDQPPSCKDPVRLCPVSGASPRVNSNSC SAEDRERTEPRDCSSLSAGRAEEKPHPPRREDGAERTRQPGPVTNAEGKGAAAGHPSPAP QLEEKPEPKGTEDSRDLEPGHRPPSAAARTQGKLLSGDPPESPSKSPLPTTVLSKWSPTS LQPPCPCGRSLQQELHNLGTALTDKLDRLAAALAGLTQEVATMKTQMDQLRRHPRSLGPK GQGSWQLALPQRPRWVNRLGHRHLPYWRQKGPTRPRPKILRTQAEGCKTSDRPGLSRGKG SLVPQLPPEASLVESSRPTCSSSQQISSTPGGHTVLTAHPPLEHTACHQNPLSPSVPTSV QVPLVASPATSADTEPPAARVAAISIPNQPKEPDSLLGEALSRDLWGGDHRDPRWGAH >ENSMUSP00000056772.4 pep:known chromosome:GRCm38:1:174041118:174043523:1 gene:ENSMUSG00000045381.5 transcript:ENSMUST00000052975.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr433 description:olfactory receptor 433 [Source:MGI Symbol;Acc:MGI:3030267] MDHVNYTWTRTFILAGFTTSGALRPLAFLGTLCIYLLTLAGNLFIIVLVQADSGLSTPMY FFISVLSFLELWYVSTTVPTLLHTLLHGHSPIPSSACFVQLYVFHSLGMTECYLLGVMAL DRYLAICRPLHYHALMSRQVQLWLAGATWVAGFSAALVPACLTASLPYCLKEIAHYFCDL APLMRLACVSTRWHARVHGAVIGVATGCNFVLILGLYGGILTAVLKLPSAASRAKAFSTC SSHMTVVALFYASAFTVYVGSPQSRPEGTDKLIALVYALLTPFLNPIIYSLRNKEVKEAV KRVSEKIRTLLRDT >ENSMUSP00000023917.1 pep:known chromosome:GRCm38:4:14623620:14796060:-1 gene:ENSMUSG00000023151.9 transcript:ENSMUST00000023917.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc69 description:leucine rich repeat containing 69 [Source:MGI Symbol;Acc:MGI:1920564] MAERLLVTALKGGKNTKILTLNGKRITKMPSTLEKLPNLKTLDLQNNSISKVCPELRTLT QLTLLNLGNNHLQEVPEEIKYLTSLKNLHLFGNRICRIAPGVFNGLHRLIMLNLNDNRLT SLPQEIGRLRSLTYLSLNRNNLTVIPKELCSLEHLSELHLNYNQIVYIPEEIKFLKNLQQ LFLVRNNIEELPEEICHLEKLRVLDIAGNVIQIFPAGFQNLRLTEFYCEGNPLFLKRPFF AVQPKDLWTLREIAARFVLSLLEENDPLIMNVIESYPEVKDKLSKAKKCSICRKPFLTEW LECVYFVAPSKNWKISRNLKLIPVQTSVCSYQCFDQRDPDVFGIAQE >ENSMUSP00000103911.1 pep:known chromosome:GRCm38:4:14665754:14796052:-1 gene:ENSMUSG00000023151.9 transcript:ENSMUST00000108276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc69 description:leucine rich repeat containing 69 [Source:MGI Symbol;Acc:MGI:1920564] MAERLLVTALKGGKNTKILTLNGKRITKMPSTLEKLPNLKTLDLQNNSISKVCPELRTLT QLTLLNLGNNHLQEVPEEIKYLTSLKNLHLFGNRICRIAPGVFNGLHRLIMLNLNDNRLT SLPQEIGRLRSLTYLSLNRNNLTVIPKELCSLEHLSELHLNYNQIVYIPEEIKFLKNLQQ LFLVRNNIEELPEEICHLEKLRVLDIAGNVIQIFPAGFQNLRLTEFYCEGNPLFLKRPFF AVQPKDLWTLREIAARFVLSLLEENDPLIMNVIESYPEVKDKLSKAKKCSICRKPFLTEW LECVYFVAPSKNWKISRNLKLIPVQTSVCSYQCFDQRDPDVFGIAQE >ENSMUSP00000086498.1 pep:known chromosome:GRCm38:16:89174735:89175199:1 gene:ENSMUSG00000068067.2 transcript:ENSMUST00000089097.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110057P08Rik description:RIKEN cDNA 1110057P08 gene [Source:MGI Symbol;Acc:MGI:1916094] MCYYGGYYGGLGYGYGGLGCGYGCDYGSYGYGCCRPLCCRRYWSCGFY >ENSMUSP00000060341.3 pep:known chromosome:GRCm38:1:174050375:174051313:1 gene:ENSMUSG00000047048.3 transcript:ENSMUST00000062665.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr432 description:olfactory receptor 432 [Source:MGI Symbol;Acc:MGI:3030266] MDHVNYTWTRTFILAGFTTSGTLQHLAVFGTLCIYLLTLAGNLFIIVLIQADSGLSTPMY FFISVLSFLELWYVSTTVPTLLHTLLHGPSPIPSSACFVQLYVFHSLGMTECYLLGVMAL DRYLAICRPLHYHALMSRQVQKQLVGVTWLAGFSAALVPAGLTASLPYCLKEVAHYFCDL APVMQLACVDTSWHARLYIAVIGMINTCNLTFILGLYGGIVRAVLKLPSAASRAKAFSTC SSHITVVTLFFGSAFIVYVGPPEIRAEGRDKLIALVYTLLTPFFNPIIYTLRNKEVKEAF KRVTQRINAVLK >ENSMUSP00000119606.1 pep:known chromosome:GRCm38:2:131262500:131299188:1 gene:ENSMUSG00000037514.17 transcript:ENSMUST00000150843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pank2 description:pantothenate kinase 2 [Source:MGI Symbol;Acc:MGI:1921700] MGAGRFGAPMERQGRAAATSAAVGESADSEARRRDPLRRRASSAAPSGSGEAESVRRERP GSLGGSTSAGRPRAEGLRKRRPLFPWFGLDIGGTLVKLVYFEPKDITAEEEKEEVESLKS IRKYLTSNVAYGSTGIRDVHLELKDLTLCGRKGNLHFIRFPTHDMPAFIQMGRDKNFSSL HTVFCATGGGSYKFEQDFLTIGDLQLRKLDELDCLIKGILYIDSVGFNGRSQCYYFENPA DSEKCQKLPFDLKNPYPLLLVNIGSGVSILAVYSKDNYKRVTGTSLGGGTFFGLCCLLTG CSTFEEALEMASRGDSTKVDKLVRDIYGGDYERFGLPGWAVASSFGNMMSKEKREAASKE DLARATLITITNNIGSIARMCALNENINQVVFVGNFLRVNTIAMRLLAYALDYWSKGQLK ALFSEHEGYFGAVGALLELLKIP >ENSMUSP00000138992.1 pep:known chromosome:GRCm38:2:131262525:131296488:1 gene:ENSMUSG00000037514.17 transcript:ENSMUST00000184105.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pank2 description:pantothenate kinase 2 [Source:MGI Symbol;Acc:MGI:1921700] MGAGRFGAPMERQGRAAATSAAVGESADSEARRRDPLRRRASSAAPSGSGEAESVRRERP GSLGGSTSAGRPRAEGLRKRRPLFPWFGLDIGGTLVKLVYFEPKDITAEEEKEEVESLKS IRKYLTSNVAYGSTGIRDVHLELKDLTLCGRKDR >ENSMUSP00000139259.1 pep:known chromosome:GRCm38:2:131262568:131299186:1 gene:ENSMUSG00000037514.17 transcript:ENSMUST00000184932.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pank2 description:pantothenate kinase 2 [Source:MGI Symbol;Acc:MGI:1921700] MGAGRFGAPMERQGRAAATSAAVGESADSEARRRDPLRRRASSAAPSGSGEAESVRRERP GSLGGSTSAGRPRAEGLRKRRPLFPWFGLDIGGTLVKLVYFEPKDITAEEEKEEVESLKS IRKYLTSNVAYGSTGIRDVHLELKDLTLCGRKVLET >ENSMUSP00000115034.1 pep:known chromosome:GRCm38:2:131262796:131296331:1 gene:ENSMUSG00000037514.17 transcript:ENSMUST00000145904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pank2 description:pantothenate kinase 2 [Source:MGI Symbol;Acc:MGI:1921700] XLRKRRPLFPWFGLDIGGTLVKLVYFEPKDITAEEEKEEVESLKSIRKYLTSNVAYGSTG IRDVHLELKDLTLCGRKGNLHFIRFPTHDMPAFIQMGRDKNFSSLHTVFCATGGGSYKFE QDFLTNINQVVFVGNFLRVNTIAMRLLAYALDYWSKGQLKALFSEHEGYFGAVGALLELL KIP >ENSMUSP00000139197.1 pep:known chromosome:GRCm38:2:131274077:131293457:1 gene:ENSMUSG00000037514.17 transcript:ENSMUST00000183388.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pank2 description:pantothenate kinase 2 [Source:MGI Symbol;Acc:MGI:1921700] XVHLELKDLTLCGRKGNLHFIRFPTHDMPAFIQMGRDKNFSSLHTVFCATGGGSYKFEQD FLTFWKHDEQGEERGGQ >ENSMUSP00000139203.1 pep:known chromosome:GRCm38:2:131274185:131296308:1 gene:ENSMUSG00000037514.17 transcript:ENSMUST00000183349.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pank2 description:pantothenate kinase 2 [Source:MGI Symbol;Acc:MGI:1921700] XKTSQVSTLSFVPLEVDHTNLSRIFSHLGGGTFFGLCCLLTGCSTFEEALEMASRGDSTK VDKLVRDIYGGDYERFGLPGWAVASSFGNMMSKEKREAASKEDLARATLITITNNIGSIA RMCALNEVFSV >ENSMUSP00000081670.5 pep:known chromosome:GRCm38:4:46604390:46650209:-1 gene:ENSMUSG00000039813.14 transcript:ENSMUST00000084621.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d2 description:TBC1 domain family, member 2 [Source:MGI Symbol;Acc:MGI:2652885] MEDAPERTPSSSESTQPPGLAREPEVVSPGDSEGCARPLDTVPKKLCGYLSKFGGKGPIK GWKCRWFFYDERKCHLYYSRTAQDANPLDSIDLSSAVFDCKADAEEEGTFEIKTPSRVIT LKAATKQAMLYWLQQLQMKRWEFHNSPPALPATPAAALAENGPTLHLKLEQEEAELEEFL CPVKTPTGLVGAAAALQPVPAVPSALQNISLKHLGTEIQNTMHNIRGNKQAQAAAHGPLV EDSPQGGEPQSGEQPSISDPSLPEKEPEDPAKSAPRSSVPSGPTQKPKRQSNTFPFFSDG LARSRTAQEKVAALEQQVLMLTKELKSQKELVIILHKALEAAQQEKRASSAYLAATEDRD RLELVRHKVRQIAELNQRVEALEQGRERLAHEAGLREQQVQALQQHVQLLMDKNHAKQQV ICKLTQKLTEDLAQPADATNGDFLSQQERMEHLKDDMEAYRTQNRFLNSEIHQVTKIWRK VAEKEKALLTKCAYLQARNCQVESKYLAGLRRLQEAAGAEAGDFPELLQQLIQEALQWEA GEADSVGLSPVSEYDDYGFLTVPDYEMEDLKLLAKIQALEVRSHHLLAHEAVERPLRDRW ATLTELTPSAELKQLLRAGVPREHRPRVWRWLVHRRVRHLQAPGCYQELLARGRACEHPA ARQIELDLNRTFPTNKHFTCPTSSFPDKLRRVLLAFSWQNPTIGYCQGLNRLAAIALLVL EDEESAFWCLVAIVETILPAEYYSKTLTASQVDQRVLQDLLSEKLPRLTAHLGQHRVDLS LITFNWFLVVFADSLISDILLRVWDAFLYEGTKVVFRYALAIFKYNEEAILQLQDSLEIY QYLRFFTKTICDSRKLMSIAFNDMNPFPMKQLRQLRAAHRERLEAELRELELLKVEYLQR RASLGRAPPEGCVSEDEGEGDS >ENSMUSP00000103379.1 pep:known chromosome:GRCm38:4:46632580:46650209:-1 gene:ENSMUSG00000039813.14 transcript:ENSMUST00000107750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d2 description:TBC1 domain family, member 2 [Source:MGI Symbol;Acc:MGI:2652885] MEDAPERTPSSSESTQPPGLAREPEVVSPGDSEGCARPLDTVPKKLCGYLSKFGGKGPIK GWKCRWFFYDERKCHLYYSRTAQDANPLDSIDLSSAVFDCKADAEEEGTFEIKTPSRVIT LKAATKQAMLYWLQQLQMKRWEFHNSPPALPATPAAALAENGPTLHLKLEQEEAELEEFL CPVKTPTGLVGAAAALQPVPAVPSALQNISLKHLGTEIQAVGVVTSTGAGVQETWSNTFH PM >ENSMUSP00000051392.3 pep:known chromosome:GRCm38:8:84042929:84045000:-1 gene:ENSMUSG00000045232.4 transcript:ENSMUST00000061923.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rln3 description:relaxin 3 [Source:MGI Symbol;Acc:MGI:2158015] MAMLGLLLLASWALLGALGLQAEARPAPYGVKLCGREFIRAVIFTCGGSRWRRADILAHE SLGDFFADGEANTDHLASELDEAVGSSEWLALTKSPQAFYGGRASWQGSPGVVRGSRDVL AGLSSSCCEWGCSKSQISSLC >ENSMUSP00000099606.3 pep:known chromosome:GRCm38:2:113327782:113709855:1 gene:ENSMUSG00000044042.18 transcript:ENSMUST00000102547.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmn1 description:formin 1 [Source:MGI Symbol;Acc:MGI:101815] MEGTHCTLQLHNPIAELCYISFYLPKGEVRGFSYKGTVTLDRSNNAFHNCYQVREGPDIT SLSQQPNEHPGDIFFKQTPTKNILTELYKLTAEKERLLDSLLRSDNILGVSMGSQEGKLQ ELSVILATGDEYFQSAGNWRRELPVSSLIRRSTQENKKPRRSGRRRESPEELRQKRTRRK GRGCQESAFQMGKDQVCSSSSLSFRARPNLRLLEERGNLVPRGTLTSSLRRRESCPANIL RTPDADLAFGNSGRTSEDTDLEGPLSPDSSPTEVGDADVGGQLKSSHQQEPPQPNVSESH GKHAGAERWSSRTRKSKSLERTCSKKPVSKVVAKIQEPSAPVKRIVRAHHDGKGRVAYGP ETQTEFIPKADFLTLPGGETETHSSGRLEEEQPGIKSLRSSAPERASITKEPASTEAAVN KVLRKVIESEKLDEATEGKRLGFSLNTRATHTFPETRSQRKAGLPQSGHKFLLLDLPHTV GPDSPQPKCDEKKPTPQVPTALGMVFNNSSPQSSAHKRLSPVPSPLSPRCPSPQQHHRIL LLPPLPSEGEVVFNEYPSRKNDVSSGFPSADTLEPSSTTKVTETKGASPTSLRASQTWLV SEEASEKGLGPEKITAPPQHQLPPGIASEGFPCDNFKEQTAKDLPNKDGGVWVPGYRAGP PCPFLLHEEKEKTSRSELYLDLNPDQSPTEQDDRTPGRLQAVWPPPKTKDTEEKVGLKYT EAEYQAAILHLKREHKEEIETLQAQFELKTFHIRGEHALVTARLEEAIENLKQQLGKRRE GCEEMRDVCISTDDDCSPKAFRNVCIQTDRETFLKPCDAESKATRSSQIVPKKLTISLTQ LSPSKDSKDIHAPFQTREGTSSSSQHKISPPAPPTPPPLPPPLIPPPPPLPPGLGPLPPA PPIPPVCPVSPPPPPPPPPPTPVPPSDGPPPPPPPPPPLPNVLALPNSGGPPPPPPPPPP GLAPPPPPGLSFGLSSSSSQYPRKPAIEPSCPMKPLYWTRIQINDKSQDAAPTLWDSLEE PHIRDTSEFEYLFSKDTTQQKKKPLSEAYEKKNKVKKIIKLLDGKRSQTVGILISSLHLE MKDIQQAIFTVDDSVVDLETLAALYENRAQEDELTKIRKYYETSKEEDLKLLDKPEQFLH ELAQIPNFAERAQCIIFRAVFSEGITSLHRKVEIVTRASKGLLHMKSVKDILALILAFGN YMNGGNRTRGQADGYSLEILPKLKDVKSRDNGMNLVDYVVKYYLRYYDQCKHHDQEASCR GKDLFSLYFHIAVHPQRKSGLELKQEAGTDKSVFPLPEPQDFFLASQVKFEDLLKDLRKL KRQLEASEQQMKLVCKESPREYLQPFKDKLEEFFKKAKKEHKMEESHLENAQKSFETTVG YFGMKPKTGEKEVTPSYVFMVWFEFCSDFKTIWKRESKNISKERLKMAQASVSKLTSEKK VETKKINPTASLKERLRQKEASVATN >ENSMUSP00000125052.1 pep:known chromosome:GRCm38:2:113363840:113709606:1 gene:ENSMUSG00000044042.18 transcript:ENSMUST00000161731.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmn1 description:formin 1 [Source:MGI Symbol;Acc:MGI:101815] MEGTHCTLQLHNPIAELCYISFYLPKGEVRGFSYKGTVTLDRSNNAFHNCYQVREGPDIT SLSQQPNEHPGDIFFKQTPTKNILTELYKLTAEKERLLDSLLRSDNILGVSMGSQEGKLQ ELSVILATGDEYFQSAGNWRRELPVSSLIRRSTQENKKPRRSGRRRESPEELRQKRTRRK GRGCQESAFQMGKDQVCSSSSLSFRARPNLRLLEERGNLVPRGTLTSSLRRRESCPANIL RTPDADLAFGNSGRTSEDTDLEGPLSPDSSPTEVGDADVGGQLKSSHQQEPPQPNVSESH GKHAGAERWSSRTRKSKSLERTCSKKPVSKVVAKIQEPSAPVKRIVRAHHDGKGRVAYGP ETQTEFIPKADFLTLPGGETETHSSGRLEEEQPGIKSLRSSAPERASITKEPASTEAAVN KVLRKVIESEKLDEATEGKRLGFSLNTRATHTFPETRSQRKAGLPQSGHKFLLLDLPHTV GPDSPQPKCDEKKPTPQVPTALGMVFNNSSPQSSAHKRLSPVPSPLSPRCPSPQQHHRIL LLPPLPSEGEVVFNEYPSRKNDVSSGFPSADTLEPSSTTKVTETKGASPTSLRASQTWLV SEEASEKGLGPEKITAPPQHQLPPEYQAAILHLKREHKEEIETLQAQFELKTFHIRGEHA LVTARLEEAIENLKQQLGKRREGCEEMRDVCISTDDDCSPKAFRNVCIQTDRETFLKPCD AESKATRSSQIVPKKLTISLTQLSPSKDSKDIHAPFQTREGTSSSSQHKISPPAPPTPPP LPPPLIPPPPPLPPGLGPLPPAPPIPPVCPVSPPPPPPPPPPTPVPPSDGPPPPPPPPPP LPNVLALPNSGGPPPPPPPPPPGLAPPPPPGLSFGLSSSSSQYPRKPAIEPSCPMKPLYW TRIQINDKSQDAAPTLWDSLEEPHIRDTSEFEYLFSKDTTQQKKKPLSEAYEKKNKVKKI IKLLDGKRSQTVGILISSLHLEMKDIQQAIFTVDDSVVDLETLAALYENRAQEDELTKIR KYYETSKEEDLKLLDKPEQFLHELAQIPNFAERAQCIIFRAVFSEGITSLHRKVEIVTRA SKGLLHMKSVKDILALILAFGNYMNGGNRTRGQADGYSLEILPKLKDVKSRDNGMNLVDY VVKYYLRYYDQEAGTDKSVFPLPEPQDFFLASQVKFEDLLKDLRKLKRQLEASEQQMKLV CKESPREYLQPFKDKLEEFFKKAKKEHKMEESHLENAQKSFETTVGYFGMKPKTGEKEVT PSYVFMVWFEFCSDFKTIWKRESKNISKERLKMAQASVSKLTSEKKVETKKINPTASLKE RLRQKEASVATN >ENSMUSP00000080093.6 pep:known chromosome:GRCm38:2:113441064:113709855:1 gene:ENSMUSG00000044042.18 transcript:ENSMUST00000081349.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmn1 description:formin 1 [Source:MGI Symbol;Acc:MGI:101815] MEEVGNSLSSRDVLEPDKSEAGLEMAQSILSKFSMKSLFGFTNKLDSLEPEEEDAVLKAF RSLEGDPAPERGDPSKGSDQPQAEAPVPPDLKNDGKSARAETGSEGSQGKGRSNTSSPGY ELSPATVSVDNEEVIWVRGTLVHTTSDSDSEDGDQEAEEESSLDTQKPTTVVLCEPSQEP KDRAGDSEENTDTGNTDDTELCAEESQRTLPETSSKLELGGDGSHPAEHSPRQDQAAEEG SQIPPAATDQTVGALASTVSKREAPEEKPFQLPAFFSGLRVLKKGATAEAGETITEIKPK DGDLALLKLTQRVQKSLGQGGPQTVKSPGRATDPKATPTLLEQLSQLLNIDMPRTEQKEA DPEFHGADEMGYSTDQESHKSPRDAHVQGGQVKARTPETALEAFKALFIRPPKKGSTADT SELEALKRKMKHEKESLRAVFERSKSRPADSPSDPKSPDQSPTEQDDRTPGRLQAVWPPP KTKDTEEKVGLKYTEAEYQAAILHLKREHKEEIETLQAQFELKTFHIRGEHALVTARLEE AIENLKQQLGKRREGCEEMRDVCISTDDDCSPKAFRNVCIQTDRETFLKPCDAESKATRS SQIVPKKLTISLTQLSPSKDSKDIHAPFQTREGTSSSSQHKISPPAPPTPPPLPPPLIPP PPPLPPGLGPLPPAPPIPPVCPVSPPPPPPPPPPTPVPPSDGPPPPPPPPPPLPNVLALP NSGGPPPPPPPPPPGLAPPPPPGLSFGLSSSSSQYPRKPAIEPSCPMKPLYWTRIQINDK SQDAAPTLWDSLEEPHIRDTSEFEYLFSKDTTQQKKKPLSEAYEKKNKVKKIIKLLDGKR SQTVGILISSLHLEMKDIQQAIFTVDDSVVDLETLAALYENRAQEDELTKIRKYYETSKE EDLKLLDKPEQFLHELAQIPNFAERAQCIIFRAVFSEGITSLHRKVEIVTRASKGLLHMK SVKDILALILAFGNYMNGGNRTRGQADGYSLEILPKLKDVKSRDNGMNLVDYVVKYYLRY YDQEAGTDKSVFPLPEPQDFFLASQVKFEDLLKDLRKLKRQLEASEQQMKLVCKESPREY LQPFKDKLEEFFKKAKKEHKMEESHLENAQKSFETTVGYFGMKPKTGEKEVTPSYVFMVW FEFCSDFKTIWKRESKNISKERLKMAQASVSKLTSEKKVETKKINPTASLKERLRQKEAS VATN >ENSMUSP00000097171.2 pep:known chromosome:GRCm38:2:113327736:113716767:1 gene:ENSMUSG00000044042.18 transcript:ENSMUST00000099576.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmn1 description:formin 1 [Source:MGI Symbol;Acc:MGI:101815] MEGTHCTLQLHNPIAELCYISFYLPKGEVRGFSYKGTVTLDRSNNAFHNCYQVREGPDIT SLSQQPNEHPGDIFFKQTPTKNILTELYKLTAEKERLLDSLLRSDNILGVSMGSQEGKLQ ELSVILATGDEYFQSAGNWRRELPVSSLIRRSTQENKKPRRSGRRRESPEELRQKRTRRK GRGCQESAFQMGKDQVCSSSSLSFRARPNLRLLEERGNLVPRGTLTSSLRRRESCPANIL RTPDADLAFGNSGRTSEDTDLEGPLSPDSSPTEVGDADVGGQLKSSHQQEPPQPNVSESH GKHAGAERWSSRTRKSKSLERTCSKKPVSKVVAKIQEPSAPVKRIVRAHHDGKGRVAYGP ETQTEFIPKADFLTLPGGETETHSSGRLEEEQPGIKSLRSSAPERASITKEPASTEAAVN KVLRKVIESEKLDEATEGKRLGFSLNTRATHTFPETRSQRKAGLPQSGHKFLLLDLPHTV GPDSPQPKCDEKKPTPQVPTALGMVFNNSSPQSSAHKRLSPVPSPLSPRCPSPQQHHRIL LLPPLPSEGEVVFNEYPSRKNDVSSGFPSADTLEPSSTTKVTETKGASPTSLRASQTWLV SEEASEKGLGPEKITAPPQHQLPPGIASEGFPCDNFKEQTAKDLPNKDGGVWVPGYRAGP PCPFLLHEEKEKTSRSELYLDLNPDQSPTEQDDRTPGRLQAVWPPPKTKDTEEKVGLKYT EAEYQAAILHLKREHKEEIETLQAQFELKTFHIRGEHALVTARLEEAIENLKQQLGKRRE GCEEMRDVCISTDDDCSPKAFRNVCIQTDRETFLKPCDAESKATRSSQIVPKKLTISLTQ LSPSKDSKDIHAPFQTREGTSSSSQHKISPPAPPTPPPLPPPLIPPPPPLPPGLGPLPPA PPIPPVCPVSPPPPPPPPPPTPVPPSDGPPPPPPPPPPLPNVLALPNSGGPPPPPPPPPP GLAPPPPPGLSFGLSSSSSQYPRKPAIEPSCPMKPLYWTRIQINDKSQDAAPTLWDSLEE PHIRDTSEFEYLFSKDTTQQKKKPLSEAYEKKNKVKKIIKLLDGKRSQTVGILISSLHLE MKDIQQAIFTVDDSVVDLETLAALYENRAQEDELTKIRKYYETSKEEDLKLLDKPEQFLH ELAQIPNFAERAQCIIFRAVFSEGITSLHRKVEIVTRASKGLLHMKSVKDILALILAFGN YMNGGNRTRGQADGYSLEILPKLKDVKSRDNGMNLVDYVVKYYLRYYDQEAGTDKSVFPL PEPQDFFLASQVKFEDLLKDLRKLKRQLEASEQQMKLVCKESPREYLQPFKDKLEEFFKK AKKEHKMEESHLENAQKSFETTVGYFGMKPKTGEKEVTPSYVFMVWFEFCSDFKTIWKRE SKNISKERLKMAQASVSKLTSEKKVETKKINPTASLKERLRQKEASVATN >ENSMUSP00000120230.1 pep:known chromosome:GRCm38:1:72583251:72585991:1 gene:ENSMUSG00000039354.16 transcript:ENSMUST00000145868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcal1 description:SWI/SNF related matrix associated, actin dependent regulator of chromatin, subfamily a-like 1 [Source:MGI Symbol;Acc:MGI:1859183] MSLPLTEEQRKKIEENRQKALARRAEKLSEQPQSAASGSSAAGPSQSKQGSLLNLLAEPS KPVGHASIFKQQNLSNSFPTDQRPHSSRCSQPSPAEETT >ENSMUSP00000114848.1 pep:known chromosome:GRCm38:1:72583251:72595831:1 gene:ENSMUSG00000039354.16 transcript:ENSMUST00000133123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcal1 description:SWI/SNF related matrix associated, actin dependent regulator of chromatin, subfamily a-like 1 [Source:MGI Symbol;Acc:MGI:1859183] MSLPLTEEQRKKIEENRQKALARRAEKLSEQPQSAASGSSAAGPSQSKQGSLLNLLAEPS KPVGHASIFKQQNLNSFTKTWDFSMSDYRALMKAVERLSTVSLKPLDEAGGSVGGQTSLP SAPSLTFVTGKCMLISRVRFEVDIGYSEAVIGLFKQMESRSYDIKTRKWSFLLEEHNKLI ARSRELKQVQLDPL >ENSMUSP00000047589.8 pep:known chromosome:GRCm38:1:72583251:72633134:1 gene:ENSMUSG00000039354.16 transcript:ENSMUST00000047615.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcal1 description:SWI/SNF related matrix associated, actin dependent regulator of chromatin, subfamily a-like 1 [Source:MGI Symbol;Acc:MGI:1859183] MSLPLTEEQRKKIEENRQKALARRAEKLSEQPQSAASGSSAAGPSQSKQGSLLNLLAEPS KPVGHASIFKQQNLSNSFPTDQRPHSSRCSQPSPAEETTGLWKTQGEMSTACPKPNPSPP GASNQPLLGYKSSEGQPQATWDTGASSSGPFPRDPELEAKAARPSTSRQSISDSFYVLGG KTPRTEGRPPNILQTTPQNTGFLRGACIKTGDRFRVKIGYNQELIAVFKSLPSRHYDSFT KTWDFSMSDYRALMKAVERLSTVSLKPLDEAGGSVGGQTSLPSAPSLTFVTGKCMLISRV RFEVDIGYSEAVIGLFKQMESRSYDIKTRKWSFLLEEHNKLIARSRELKQVQLDPLPKTV TLAFASQLEKTSPKLKADVPEADLSGVDAKLVSSLMPFQREGVSFAISKRGRLLLADDMG LGKTVQAICIAAFYRKEWPLLVVVPSSVRFTWEQAFLRWLPSLSPENINVVVTGKGRLTA GLVNIVSFDLLCKLERQLKTPFKVVIIDESHFLKNIKTARCRAAVPILKVAKRVILLSGT PAMSRPAELYTQIIAVKPTFFPQFHAFGLRYCDAKRLPWGWDYSGSSNLGELKLLLEEAI MLRRLKSDVLSQLPAKQRKMVVVNPGRISSRAKAALDAAAKEMTKDKTKQQQKEALLVFF NRTAEAKIPCVVEYILDLLDSGREKFLVFAHHKVILDAVAKELERKNVQHIRIDGSTPSA DREAQCQRFQLSKGHTVALLSITAANMGLTFSTADLVVFAELFWNPGVLIQAEDRVHRIG QTNSVSIHYLVAKGTADDYLWPLIQEKIKVLGEAGLSETNFSEMTEATDYVHKDPKQKTI YDLFQQSFEDDGNDMEFLEAAESFELGSTSGTSGNISQDLGDLLDEDEGSPPKKSRFEFF DNWDSFSSPF >ENSMUSP00000137833.1 pep:known chromosome:GRCm38:1:72583615:72633134:1 gene:ENSMUSG00000039354.16 transcript:ENSMUST00000152225.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcal1 description:SWI/SNF related matrix associated, actin dependent regulator of chromatin, subfamily a-like 1 [Source:MGI Symbol;Acc:MGI:1859183] MSLPLTEEQRKKIEENRQKALARRAEKLSEQPQSAASGSSAAGPSQSKQGSLLNLLAEPS KPVGHASIFKQQNLSNSFPTDQRPHSSRCSQPSPAEETTGLWKTQGEMSTACPKPNPSPP GASNQPLLGYKSSEGQPQATWDTGASSSGPFPRDPELEAKAARPSTSRQSISDSFYVLGG KTPRTEGRPPNILQTTPQNTGFLRGACIKTGDRFRVKIGYNQELIAVFKSLPSRHYDSFT KTWDFSMSDYRALMKAVERLSTVSLKPLDEAGGSVGGQTSLPSAPSLTFVTGKCMLISRV RFEVDIGYSEAVIGLFKQMESRSYDIKTRKWSFLLEEHNKLIARSRELKQVQLDPLPKTV TLAFASQLEKTSPKLKADVPEADLSGVDAKLVSSLMPFQREGVSFAISKRGRLLLADDMG LGKTVQAICIAAFYRKEWPLLVVVPSSVRFTWEQAFLRWLPSLSPENINVVVTGKGRLTA GLVNIVSFDLLCKLERQLKTPFKVVIIDESHFLKNIKTARCRAAVPILKVAKRVILLSGT PAMSRPAELYTQIIAVKPTFFPQFHAFGLRYCDAKRLPWGWDYSGSSNLGELKLLLEEAI MLRRLKSDVLSQLPAKQRKMVVVNPGRISSRAKAALDAAAKEMTKDKTKQQQKEALLVFF NRTAEAKIPCVVEYILDLLDSGREKFLVFAHHKVILDAVAKELERKNVQHIRIDGSTPSA DREAQCQRFQLSKGHTVALLSITAANMGLTFSTADLVVFAELFWNPGVLIQAEDRVHRIG QTNSVSIHYLVAKGTADDYLWPLIQEKIKVLGEAGLSETNFSEMTEATDYVHKDPKQKTI YDLFQQSFEDDGNDMEFLEAAESFELGSTSGTSGNISQDLGDLLDEDEGSPPKKSRFEFF DNWDSFSSPF >ENSMUSP00000022451.7 pep:known chromosome:GRCm38:14:31336638:31371984:1 gene:ENSMUSG00000021893.13 transcript:ENSMUST00000022451.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn7 description:calpain 7 [Source:MGI Symbol;Acc:MGI:1338030] MDASALERDAVQFARLAVQRDHEGRYSEAVFYYKEAAQALIYAEMAGSSLERIQEKINEY LERVQALHSAVQSKSTDPLKSKHQLDLERAHFLVTQAFDEDEKGNVEDAIELYTEAVELC LKTSSETADKTLQNKLKQLARQALDRAEALSEPLTKPFCKLKSANMKTKTPPVRTHFPLG PNPFVEKPQAFISPQSCDAQGQKYTAEEIEVLRTTSKINGVEYVPFMSVDLRERFAYPMP FCDRLGKLPLSPKQKTTFSKWVRPEDLTNNPTMIYTVSSFSIKQTIVSDCSFVASLAISA AYERRFNKKLITSIIYPQNKDGEPEYNPCGKYMVKLHLNGVPRKVIIDDQLPVDHKGELL CSYSNNKSELWVSLIEKAYMKVMGGYDFPGSNSNIDLHALTGWIPERIAMHSDSQTFSKD NSFRMLYQRFHKGDVLITASTGVMTEAEGEKWGLVPTHAYAVLDIREFKGLRFIQLKNPW SHLRWKGRYSENDVKNWTPELQKYLNFDPRTAQKIDNGIFWISWDDLCQYYDVVYLSWNP ALFKESTCIHSTWDAKQGPVKDAYSLANNPQYKLEVQCPQGGAAVWVLLSRHITDKDDFA NNREFITMVVYKTDGKKVYYPADPPPYIDGIRINSPHYLTKIKLTTPGTHTFTLVVSQYE KQNTIHYTVRVYSACSFTFSKIPSPYTLSKRINGKWSGQSAGGCGNFQETHKNNPIYQFH IDKTGPLLIELRGPRQYSVGFEVVAVSIMGDPGPHGFQRKSSGDYRCGFCYLELENIPAG IFNIIPSTFLPKQEGPFFLDFNSTVPIKTTQLQ >ENSMUSP00000118596.1 pep:known chromosome:GRCm38:14:31336675:31371986:1 gene:ENSMUSG00000021893.13 transcript:ENSMUST00000143472.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Capn7 description:calpain 7 [Source:MGI Symbol;Acc:MGI:1338030] MDASALERDAVQFARLAVQRDHEGRYSEAVFYYKEAAQALIYAEMAGSSLERIQEKINEY LERVQALHSAVQSKSTDPLKSKHQLDLERAHFLVTQAFDEDEKGNVEDAIELYTEAVELC LKTSSETADKTLQNKLKQLARQALDRAEALSEPLTKPFCKLKSANMKTKTPPVRTHFPLG PNPFVEKPQAFISPQSCDAQGQKYTAEEIEVLRTTSKINGVEYVPFMSVDLRERFAYPMP FCDRLGKLPLSPKQKTTFSKWVRPEDLTNNPTMIYTVSSFSIKQTIVSDCSFVASLAISA AYERRFNKKLITSIIYPQNKDGEPEYNPCGKYMVKLHLNGVPRKVIIDDQLPVDHKGELL CSYSNNKSELWVSLIEKAYMKVMGGYDFPGSNSNIDLHALTGWIPERIAMHSDSQTFSKD NSFRMLYQRFHKGDVLITASTGVMTEAEGEKWGLVPTHAYAVLDIREFKVMPYNFLLFVF LIDKMLQYCNDGQTLEPSYECKQPRLYH >ENSMUSP00000119214.1 pep:known chromosome:GRCm38:14:31336707:31371984:1 gene:ENSMUSG00000021893.13 transcript:ENSMUST00000152182.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Capn7 description:calpain 7 [Source:MGI Symbol;Acc:MGI:1338030] MDASALERDAVQFARLAVQRDHEGRYSEAVFYYKEAAQALIYAEMAGSSLERIQEKINEY LERVQALHSAVQSKSTDPLKSKHQLDLERAHFLVTQAFDEDEKGNVEDAIELYTEAVELC LKTSSETADKTLQNKLKQLARQALDRAEALSEPLTKPFCKLKSANMKTKTPPVRTHFPLG PNPFVEKPQAFISPQSCDAQGQKYTAEEIEVLRTTSKINGVEYVPFMSVDLRERFAYPMP FCDRLGKLPLSPKQKTTFSKWVRPEDLTNNPTMIYTVSSFSIKQTIVSDCSFVASLAISA AYERRFNKKLITSIIYPQNKDGEPEYNPCGKYMVKLHLNGVPRKVIIDDQLPVDHKGELL CSYSNNKSELWVSLIEKAYMKVMGGYDFPGSNSNIDLHALTGWIPERIAMHSDSQTFSKD NSFRMLYQRFHKGDVLITASTGVMTEAEGEKWGLVPTHAYAVLDIREFKVMPYNFLLFVF LIDKMLQYCNDGQTLEPSYECKQPRLYH >ENSMUSP00000139661.1 pep:known chromosome:GRCm38:16:89419326:89420111:-1 gene:ENSMUSG00000062433.3 transcript:ENSMUST00000082065.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap6-2 description:keratin associated protein 6-2 [Source:MGI Symbol;Acc:MGI:1330280] MCCNYYGNSCGYGCGYGSGYGCGSGSGYGCGYGSGYGCGYGSGYGCGSGSGYGCGYGSGY GCGYGSGYGCGYGSGYGCGYGSGYGCGYGSGYGCGYGSGYGCGYGSGYGCGYGSGYGSGY GSGYGSGCGCGYGSYYRSGCCGYGPSCYRRCYSCC >ENSMUSP00000026236.8 pep:known chromosome:GRCm38:19:45150680:45156943:1 gene:ENSMUSG00000025215.10 transcript:ENSMUST00000026236.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlx1 description:T cell leukemia, homeobox 1 [Source:MGI Symbol;Acc:MGI:98769] MEHLGPHHLHPGHAEPISFGIDQILNSPDQGGCMGPASRLQDGDYGLGCLVGGAYTYGGG GSAAGAGAGGTGAYGAGGPGGPGGPAGGGGGACSMGPLPGSYNVNMALAGGPGPGGGGGG GGAGGAGALSAAGVIRVPAHRPLAGAVAHPQPLATGLPTVPSVPAVPGVNNLTGLTFPWM ESNRRYTKDRFTGHPYQNRTPPKKKKPRTSFTRLQICELEKRFHRQKYLASAERAALAKA LKMTDAQVKTWFQNRRTKWRRQTAEEREAERQQANRILLQLQQEAFQKSLAQPLPADPLC VHNSSLFALQNLQPWSDDSTKITSVTSVASACE >ENSMUSP00000133627.1 pep:known chromosome:GRCm38:19:45151469:45156399:1 gene:ENSMUSG00000025215.10 transcript:ENSMUST00000174617.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlx1 description:T cell leukemia, homeobox 1 [Source:MGI Symbol;Acc:MGI:98769] MTDAQVKTWFQNRRTKWRRQTAEEREAERQQANRILLQLQQEAFQKSLAQPLPADPLCVH NSSLFALQNLQPWSDDSTKITSVTSVASACE >ENSMUSP00000130615.1 pep:known chromosome:GRCm38:1:174069300:174070253:1 gene:ENSMUSG00000050134.6 transcript:ENSMUST00000055873.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr430 description:olfactory receptor 430 [Source:MGI Symbol;Acc:MGI:3030264] MDQHNFSSLTEFVLLGFPNVEHIRSCLFVLLLLVYLFTIGGNMLIFLVIRLDAALHKPMY HFVSVLSFLELWYTATTIPKMLANLLSEKKTISFAGCLLQTYFFHSLGASECYLLTAMAY DRYLAICRPLHYPSIMTTALCVKMAAGCWTCGFLCPISEVILVSQLPFCNYNEIPHIFCD FPPLLSLACKDTSTNVLVDFAVNAFIILITFLFIMASYGRIIGAVLKIKTAAGRRKAFST CASHLIVVLIFFGSIIFMYVRLKKSYSLTLDRTLAVVYSVLTPLANPIIYSLRNKELIQA IKRTFFKKVEKASPTHH >ENSMUSP00000125264.1 pep:known chromosome:GRCm38:9:109057933:109074071:-1 gene:ENSMUSG00000105383.4 transcript:ENSMUST00000160217.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm42641 description:predicted gene 42641 [Source:MGI Symbol;Acc:MGI:5662778] MAGTPAPNSHRKQSGGLEPFPGLSRSIENPPSKRARSFSETTVPDPEDPFGEHAEFTADD LEELDILASQALSQCPVAPRNLSSAHKVRRLDGLPNSPIRKSREDIPVKDNFELEVLQIQ YKELKEKLKAMEEEILIKNGEIKILRDSLRQTESVLEEQKRSHFLLEQEKTQALSEKEKE FSRKLQSLQSELQFKDAEMNELRTKSQSNGRTNKPAAPSVSHVSPRKGSSVVLKSEACSP HVGKTTFPTKESFSANTPLFHPCQTEAGHRFLVGQEVSDNKNHSLGGSLMKQDVQQRILA DGWMQRKDAQGSILINLLLKQPLVPGSSLGLCHLLSSCPEVPTGTLLQPPGLSTLPGTSG LRTISSSDGPFSPSALREAQNLAFTGLNLVARTESSHDGDMAGRRVFPLHQLPGAVHLLP LVQFFVGLHCQALQDLAPAKKSGVPGDSATHTSCMSSGVEASPEDSIHGLESFSVASLSV LQNLVCHSGAVVCLLLSGMGTEAAAREGNLVQTCADTTSASREDAHDQDQHPLLKMLLQL MASSSAASGSPVCSRCCHSASAQRCRCPVCSWLLSCSLCCWTMTA >ENSMUSP00000118499.1 pep:known chromosome:GRCm38:9:109058470:109060338:-1 gene:ENSMUSG00000105383.4 transcript:ENSMUST00000128062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm42641 description:predicted gene 42641 [Source:MGI Symbol;Acc:MGI:5662778] MGSQTLPHGHMQTLIFLDLEATGLPSSRPEVTELCLLAVHRRALENTSISQGHPPPVPRP PRVVDKLSLCIAPGKACSPGASEITGLSKAELEVQGRQRFDDNLAILLRAFLQRQPQPCC LVAHNGDRYDFPLLQTELARLSTPSPLDGT >ENSMUSP00000020695.6 pep:known chromosome:GRCm38:11:8431652:8664535:-1 gene:ENSMUSG00000020422.13 transcript:ENSMUST00000020695.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns3 description:tensin 3 [Source:MGI Symbol;Acc:MGI:2443012] MEDSHELDLTYVTERIIAVSFPASCSEESYLHSLQEVTRMLKCKHGDNYLVLNLSEKRYD LTKLNPKIMDVGWPELHAPPLDKMCTICKAQESWLNNDPQHVVVIHCRGGKGRIGVVISS YMHFTNVSASADQALDRFAMKKFYDDKISALMEPSQKRYVQFLSGLLSGAMKMNTSPLFL HFVIMHGVPSFDTGGACRPFLKLYQAMQPVYTSGIYNVGSENPSRIRIAIEPAQLLKGDI MVKCYHKKFRSATRDVIFRLQFHTGAVQGYGLLFGKEELDSACKDDRFPDYGKIELVFSA TPEKIQGSEHLYSDQGVTVDYNTADPLIRWDSYENMSADGEVLHTQGPVDGSLYAKVRKK SASDTGIPSSPQGMPATSSPDHGDHTLSVSSDSGHSTASARTDKTEERLTPGARRGLSPQ EKAELDQLLSGFGLEDSASSHKDMTDMRSKYSGTRHVVPAQVHVNGDAALKDRETDILDD EMPHHDLHSVDSLGTLSSSEGPQSTHLGPFTCLKSSQNSLLSDGFGNGVAEDHNGVLSPD LGLGVDTLYDRERMCGGREQKPLQPLLRKPSAPTPVQAYGQSNYSTQTWVRQQQMVAAHQ YSFASDGEARLGSRSTVDNTGLAQPPPHIPVTPNRGASSRVAVQRGISNGPNPPDTQQLC PGKALQPRFQDDRVTNGVHQEPNTGSSPGSPTLDIDQSIEQLNRLILELDPTFEPIPTHL NALGISAVCPDGVGSGLRCSGRLDSVDGPGRSPGRQGDDPIGGRLRKLSIGQYDNDAASQ VTFSKCGWGKAGVDPAPSLGSFSSPEDIKETVITAYPSDLNMIDGRIPNSKESSMCLTPS FPVSPETPYVKTSPRYPPFSPPEPQLSSPASLHKGREPRGCPEIISHTVGMSESPVGPKP TMLRADMPATPNFQQVFASSCTVSSNGPGQRRESPPSAERQWVESSPKSTLTLLGNSHPS ESPLGTHEFCSSGKDSPGLPCFQSSELQASFHSHELSMSEPQGALPPAGSQTFLGFNTVT TATSVLPPGEDAGTLLVNSHGTSPAPGTPLLTTGAADNGFLPHNFLTVSPGASSHHSPGL QNQNVSLPGQPPLPEKKRASEGDRSLGSVSPSSSGFSSPHSGSTMSIPFPNVLPDFCKPS EVASPLPDSPNDKLVIVKFVQDTSKFWYKADISREQAIAMLKDKAPGSFIVRDSHSFRGA YGLAMKVATPPPSVLHLNKKAGDLSNELVRHFLIECTPKGVRLKGCSNEPYFGSLTALVC QHSITPLALPCKLLIPERDPLEEIAENSPQTAANSAAELLKQGAACNVWYLNSVEMESLT GHQAVQKALSMTLVQEPPPVSTVVHFKVSAQGITLTDNQRKLFFRRHYPVSSVIFCALDP QDRKWIKDGPSSKVFGFVARKQGSATDNVCHLFAEHDPEQPASAIVNFVSKVMIGSPKKI >ENSMUSP00000129948.1 pep:known chromosome:GRCm38:16:89570176:89571183:-1 gene:ENSMUSG00000091212.1 transcript:ENSMUST00000171542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap11-1 description:keratin associated protein 11-1 [Source:MGI Symbol;Acc:MGI:99447] MSFNCSTRNCSSRPVGGRYTAPVGPVTTASARDADCLSGLYLPSSFQTGSWLLDHCQESY CEPTVCQPTCYQRTSCISTPAQVTCNRQTTCVSNPCSTPCSRPLTFVSTGCQPLGGISSS CQPVGGISTTCQPVGGISTTCQQVGGISTVCQPVGGISTVCQPTCGVSRTHQQSCVSSCR RTC >ENSMUSP00000145428.1 pep:known chromosome:GRCm38:11:49563923:49564860:1 gene:ENSMUSG00000107645.1 transcript:ENSMUST00000204300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1380 description:olfactory receptor 1380 [Source:MGI Symbol;Acc:MGI:3031214] MEYLNTSSEEGFILVGFSDWPHVVPILFAFISIFYSLTLFGNTVIIILSQLDLRLHTPMY YFLCHLSFLDLCYTASTVPQLLVNLSGLDRTISFGRCVAQLCIVLSLGGTECVLLVTMAI DRYAAVCHPLHYTTIMHPVLCRALVVFSWVGGLVNSLIQTSFVMAMPLCGHQLNHFFCEL PVLLKMACEDTGGTEVNLFVARVIILVCPLLLILGSYAHIARAVLNIRSMAGRRKAFGTC ASHLIVVAMFYGSAISTYLQPVHRYSEKEGKFLALFYTIITPMLNPLIYTLRNKDVKGAL WKVLGRGTDSA >ENSMUSP00000015256.8 pep:known chromosome:GRCm38:6:6041218:6217118:-1 gene:ENSMUSG00000015112.15 transcript:ENSMUST00000015256.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a13 description:solute carrier family 25 (mitochondrial carrier, adenine nucleotide translocator), member 13 [Source:MGI Symbol;Acc:MGI:1354721] MAAAKVALTKRADPAELKAIFLKYASIEKNGEFFMSPHDFVTRYLNIFGESQPNPKTVEL LSGVVDQTKDGLISFQEFVAFESVLCAPDALFMVAFQLFDKAGKGEVTFEDVKQIFGQTT IHQHIPFNWDSEFVQLHFGKERKRHLTYAEFTQFLLEIQLEHAKQAFVQRDNAKTGKVSA IDFRDIMVTIRPHVLTPFVEECLVAAAGGTRSHQVSFSYFNGFNSLLNNMELIRKIYSTL AGNRKDVEVTKEEFALAAQKFGQVTPMEVDILFQLADLYEPRGRMTLADIERIAPLEEGM LPFNLAEAQRQQKASGDAARPFLLQLAESAYRFGLGSIAGAVGATAVYPIDLVKTRMQNQ RSTGSFVGELMYKNSFDCFKKVLRYEGFFGLYRGLLPQLLGVAPEKAIKLTVNDFVRDKF MHKDGSVPLLAEIFAGGCAGGSQVIFTNPLEIVKIRLQVAGEITTGPRVSALSVVRDLGF FGIYKGAKACFLRDIPFSAIYFPCYAHVKASFANEDGQVSPGSLLLAGAIAGMPAASLVT PADVIKTRLQVAARAGQTTYNGVTDCFRKILREEGPKALWKGVAARVFRSSPQFGVTLLT YELLQRWFYVDFGGVKPVGSEPVPKSRITLPAPNPDHVGGYKLAVATFAGIENKFGLYLP LFKPSASTSKVTAGDS >ENSMUSP00000139571.1 pep:known chromosome:GRCm38:6:6041219:6217173:-1 gene:ENSMUSG00000015112.15 transcript:ENSMUST00000188414.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a13 description:solute carrier family 25 (mitochondrial carrier, adenine nucleotide translocator), member 13 [Source:MGI Symbol;Acc:MGI:1354721] MAAAKVALTKRADPAELKAIFLKYASIEKNGEFFMSPHDFVTRYLNIFGESQPNPKTVEL LSGVVDQTKDGLISFQEFVAFESVLCAPDALFMVAFQLFDKAGKGEVTFEDVKQIFGQTT IHQHIPFNWDSEFVQLHFGKERKRHLTYAEFTQFLLEIQLEHAKQAFVQRDNAKTGKVSA IDFRDIMVTIRPHVLTPFVEECLVAAAGGTRSHQVSFSYFNGFNSLLNNMELIRKIYSTL AGNRKDVEVTKEEFALAAQKFGQVTPMEVDILFQLADLYEPRGRMTLADIERIAPLEEGM LPFNLAEAQRQQKASGDAARPFLLQLAESAYRFGLGSIAGAVGATAVYPIDLVKTRMQNQ RSTGSFVGELMYKNSFDCFKKVLRYEGFFGLYRGLLPQLLGVAPEKAIKLTVNDFVRDKF MHKDGSVPLLAEIFAGGCAGGSQVIFTNPLEIVKIRLQVAGEITTGPRVSALSVVRDLGF FGIYKGAKACFLRDIPFSAIYFPCYAHVKASFANEDGQVSPGSLLLAGAIAGMPAASLVT PADVIKTRLQVAARAGQTTYNGVTDCFRKILREEGPKALWKGVAARVFRSSPQFGVTLLT YELLQRWFYVDFGGVKPVGSEPVPKSRITLPAPNPDHVGGYKLAVATFAGIENKFGLYLP LFKPSASTSKVTAGDS >ENSMUSP00000114974.1 pep:known chromosome:GRCm38:2:23506220:23572104:-1 gene:ENSMUSG00000026771.14 transcript:ENSMUST00000132484.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spopl description:speckle-type POZ protein-like [Source:MGI Symbol;Acc:MGI:1924107] MSREPTPPLPGDMSTSPVAESWCYTQVKVVKFSYMWTINNFSFCREEMGEVLKSSTFSSG PNDKMKWCLRVNPKGLDDESKDYLSLYLLLVSCPKSEVRAKFKFSLLNDKREETKAMESQ RAYRFVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFCEVSVVQDSVNVSGHTSTNTLK VPECRLAEDLGNLWENTRFTDCCFFVRGKEFKAHKSVLAARSPVFNAMFEHEMEECTKNR VEINDLDPEVFKEMMRFVYTGKAPNLDKMADNLLAAADKYALERLKVMCEEALCSNLSVE NVADTLVLADLHSAEQLKAQAIDFINRCSVLRQLGCKDGKNWNNNQATDIMETSGWKSMI QSHPHLVAEAFRALASSQCPQFGIPRKRLKQS >ENSMUSP00000141519.1 pep:known chromosome:GRCm38:2:23510672:23572078:-1 gene:ENSMUSG00000026771.14 transcript:ENSMUST00000028110.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spopl description:speckle-type POZ protein-like [Source:MGI Symbol;Acc:MGI:1924107] MWTINNFSFCREEMGEVLKSSTFSSGPNDKMKWCLRVNPKGLDDESKDYLSLYLLLVSCP KSEVRAKFKFSLLNDKREETKAMESQRAYRFVQGKDWGFKKFIRRDFLLDEANGLLPDDK LTLFCEHLQHCLQKRWKHCTALPKELAPPPPLQVVL >ENSMUSP00000142047.1 pep:known chromosome:GRCm38:2:23511270:23571978:-1 gene:ENSMUSG00000026771.14 transcript:ENSMUST00000132827.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spopl description:speckle-type POZ protein-like [Source:MGI Symbol;Acc:MGI:1924107] MSREPTPPLPGDMSTSPVAESWCYTQVKVVKFSYMWTINNFSFCREEMGEVLKSSTFSSG PNDKMKWCLRVNPKGLDDESKDYLSLYLLLVSCPKSEVRAKFKFSLLNDKREETKAMESQ RAYRFVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFCEHLQHCLQKRWKHCTALPKEL APPPPLQVVL >ENSMUSP00000142158.1 pep:known chromosome:GRCm38:2:23543243:23572106:-1 gene:ENSMUSG00000026771.14 transcript:ENSMUST00000123843.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spopl description:speckle-type POZ protein-like [Source:MGI Symbol;Acc:MGI:1924107] MSREPTPPLPGDMSTSPVAESWCYTQVKVVKFSYMWTINNFSFCREEMGEVLKSSTFSSG PNDKMKCQLPKK >ENSMUSP00000142323.1 pep:known chromosome:GRCm38:1:174084331:174089980:1 gene:ENSMUSG00000049528.5 transcript:ENSMUST00000193320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr429 description:olfactory receptor 429 [Source:MGI Symbol;Acc:MGI:3030263] MGTGNWSQVIEFIILGFPHFQGVQIYLFFLLLSIYFTTILGNLLIFLVVYLDSRLHTPMY RFVSILSFLELGYTAATIPKMLANLLSEKKTISFSGCLLQIYFFHSLGATECYLLTAMAY DRYLAICRPLHYPTLMTQSLCIKIAIGCWLGGLAGPVVEISLVSRLPFCGPNHIQHIFCD FPPVLSLACTDTSVNVLVDFIINSCKILATFLLILSSYLQIIRTVLKIPSAAGKKKAFST CASHLTVVLIFYGSILFMYVRLKKSYSLDYDRALAVVYSVITPFLNPFIYSLRNKEIKEA LKRQLMRTGILR >ENSMUSP00000051323.4 pep:known chromosome:GRCm38:1:174089038:174089980:1 gene:ENSMUSG00000049528.5 transcript:ENSMUST00000060693.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr429 description:olfactory receptor 429 [Source:MGI Symbol;Acc:MGI:3030263] MGTGNWSQVIEFIILGFPHFQGVQIYLFFLLLSIYFTTILGNLLIFLVVYLDSRLHTPMY RFVSILSFLELGYTAATIPKMLANLLSEKKTISFSGCLLQIYFFHSLGATECYLLTAMAY DRYLAICRPLHYPTLMTQSLCIKIAIGCWLGGLAGPVVEISLVSRLPFCGPNHIQHIFCD FPPVLSLACTDTSVNVLVDFIINSCKILATFLLILSSYLQIIRTVLKIPSAAGKKKAFST CASHLTVVLIFYGSILFMYVRLKKSYSLDYDRALAVVYSVITPFLNPFIYSLRNKEIKEA LKRQLMRTGILR >ENSMUSP00000126277.1 pep:known chromosome:GRCm38:14:3049285:3076840:1 gene:ENSMUSG00000079410.4 transcript:ENSMUST00000166494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2897 description:predicted gene 2897 [Source:MGI Symbol;Acc:MGI:3781075] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAG HKCPVGKKRGSVRRPARTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000004076.3 pep:known chromosome:GRCm38:5:9485541:9725170:-1 gene:ENSMUSG00000003974.6 transcript:ENSMUST00000004076.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm3 description:glutamate receptor, metabotropic 3 [Source:MGI Symbol;Acc:MGI:1351340] MKMLTRLQVLMLALFSKGFLVSLGDHNFMRREIKIEGDLVLGGLFPINEKGTGTEECGRI NEDRGIQRLEAMLFAIDEINKDNYLLPGVKLGVHILDTCSRDTYALEQSLEFVRASLTKV DEAEYMCPDGSYAIQENIPLLIAGVIGGSYSSVSIQVANLLRLFQIPQISYASTSAKLSD KSRYDYFARTVPPDFYQAKAMAEILRYFNWTYVSTVASEGDYGETGIEAFEQEARLRNIC IATAEKVGRSNIRKSYDSVIRELLQKPNARVVVLFMRSDDSRELIAAASRVNASFTWVAS DGWGAQESIVKGSEHVAYGAITLELASHPVRQFDRYFQSLNPYNNHRNPWFRDFWEQKFQ CSLQNKRNHRQICDKHLAIDSSNYEQESKIMFVVNAVYAMAHALHKMQRTLCPNTTKLCD AMKILDGKKLYKDYLLKINFTAPFNPNKGADSIVKFDTYGDGMGRYNVFNFQHIGGKYSY LKVGHWAETLYLDVDSIHWSRNSVPTSQCSDPCAPNEMKNMQPGDVCCWICIPCEPYEYL VDEFTCMDCGPGQWPTADLSGCYNLPEDYIRWEDAWAIGPVTIACLGFMCTCIVITVFIK HNNTPLVKASGRELCYILLFGVSLSYCMTFFFIAKPSPVICALRRLGLGTSFAICYSALL TKTNCIARIFDGVKNGAQRPKFISPSSQVFICLGLILVQIVMVSVWLILETPGTRRYTLP EKRETVILKCNVKDSSMLISLTYDVVLVILCTVYAFKTRKCPENFNEAKFIGFTMYTTCI IWLAFLPIFYVTSSDYRVQTTTMCISVSLSGFVVLGCLFAPKVHIVLFQPQKNVVTHRLH LNRFSVSGTATTYSQSSASTYVPTVCNGREVLDSTTSSL >ENSMUSP00000109089.1 pep:known chromosome:GRCm38:19:6418731:6533217:1 gene:ENSMUSG00000033768.16 transcript:ENSMUST00000113462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:MGI Symbol;Acc:MGI:1096362] MALGSRWQPPPQLPPLLLLLALAAGVRGLEFGGGPGQWARYARWAGAASTGELSFSLRTN ATRALLLYLDDGGDCDFLELLLVDGRLRLRFTLSCAEPATLQLDTPVADDRWHMVLLTRD ARRTALAVDGEARAAEVRSKRREMQVASDLFVGGIPPDVRLSALTLSTVKYEPPFRGLLA NLKLGERPPALLGSQGLRGAAADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKF CSEEEHPMEGPAHLTLNSEVGSLLFSEGGAGRGGAGDVHQPTKGKEEFVATFKGNEFFCY DLSHNPIQSSTDEITLAFRTLQRNGLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEAL VEPVNGKFNDNAWHDVRVTRNLRQHAGIGHAMVTISVDGILTTTGYTQEDYTMLGSDDFF YIGGSPNTADLPGSPVSNNFMGCLKDVVYKNNDFKLELSRLAKEGDPKMKLQGDLSFRCE DVAALDPVTFESPEAFVALPRWSAKRTGSISLDFRTTEPNGLLLFSQGRRAGAGVGSHSS TQRADYFAMELLDGYLYLLLDMGSGGIKLRASSRKVNDGEWCHVDFQRDGRKGSISVNSR STPFLATGESEVLDLESELYLGGLPEGGRVDLPLPPEVWTAALRAGYVGCVRDLFIDGRS RDLRGLAEAQGAVGVAPFCSRETLKQCASAPCRNGGICREGWNRFVCDCIGTGFLGRVCE REATVLSYDGSMYMKIMLPTAMHTEAEDVSLRFMSQRAYGLMMATTSRESADTLRLELDG GQMRLTVNLDCLRVGCAPSKGPETLFAGHKLNDNEWHTVRVVRRGKSLQLSVDNVTVEGQ MAGAHTRLEFHNIETGIMTERRFISVVPSNFIGHLSGLVFNGQPYMDQCKDGDITYCELN ARFGLRAIVADPVTFKSRSSYLALATLQAYASMHLFFQFKTTAPDGLLLFNSGNGNDFIV IELVKGYIHYVFDLGNGPSLMKGNSDKPVNDNQWHNVVVSRDPGNVHTLKIDSRTVTQHS NGARNLDLKGELYIGGLSKNMFSNLPKLVASRDGFQGCLASVDLNGRLPDLIADALHRIG QVERGCDGPSTTCTEESCANQGVCLQQWDGFTCDCTMTSYGGPVCNDPGTTYIFGKGGAL ITYTWPPNDRPSTRMDRLAVGFSTHQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVG TDDITIDEPNAIVSDGKYHVVRFTRSGGNATLQVDSWPVNERYPAGNFDNERLAIARQRI PYRLGRVVDEWLLDKGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKVLALAAES DPNVRTEGHLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRGRSPTMRD STTQNTDDLLVASAECPSDDEDLEECEPSTGGELILPIITEDSLDPPPVATRSPFVPPPP TFYPFLTGVGATQDTLPPPAARRPSSGGPCQAERDDSDCEEPVEASGFASGEVFDSSLPP TDDEDFYTTFPLVTDRTTLLSPRKPRPNLRTDGATGAPGVLFAPSAPAPNLPAGKMNHRD PLQPLLENPPLGPGVPTAFEPRRPPPLRPGVTSAPGFPRLPTANPTGPGERGPPGAVEVI RESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDQSRNYISNSAQSNGAVVK EKAPAAPKTPSKAKKNKDKEYYV >ENSMUSP00000109088.1 pep:known chromosome:GRCm38:19:6418765:6532278:1 gene:ENSMUSG00000033768.16 transcript:ENSMUST00000113461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:MGI Symbol;Acc:MGI:1096362] MALGSRWQPPPQLPPLLLLLALAAGVRGLEFGGGPGQWARYARWAGAASTGELSFSLRTN ATRALLLYLDDGGDCDFLELLLVDGRLRLRFTLSCAEPATLQLDTPVADDRWHMVLLTRD ARRTALAVDGEARAAEVRSKRREMQVASDLFVGGIPPDVRLSALTLSTVKYEPPFRGLLA NLKLGERPPALLGSQGLRGAAADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKF CSEEEHPMEGPAHLTLNSEGKEEFVATFKGNEFFCYDLSHNPIQSSTDEITLAFRTLQRN GLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEALVEPVNGKFNDNAWHDVRVTRNLRQ HAGIGHAMVTISVDGILTTTGYTQEDYTMLGSDDFFYIGGSPNTADLPGSPVSNNFMGCL KDVVYKNNDFKLELSRLAKEGDPKMKLQGDLSFRCEDVAALDPVTFESPEAFVALPRWSA KRTGSISLDFRTTEPNGLLLFSQGRRAGAGVGSHSSTQRADYFAMELLDGYLYLLLDMGS GGIKLRASSRKVNDGEWCHVDFQRDGRKGSISVNSRSTPFLATGESEVLDLESELYLGGL PEGGRVDLPLPPEVWTAALRAGYVGCVRDLFIDGRSRDLRGLAEAQGAVGVAPFCSRETL KQCASAPCRNGGICREGWNRFVCDCIGTGFLGRVCEREATVLSYDGSMYMKIMLPTAMHT EAEDVSLRFMSQRAYGLMMATTSRESADTLRLELDGGQMRLTVNLGKGPETLFAGHKLND NEWHTVRVVRRGKSLQLSVDNVTVEGQMAGAHTRLEFHNIETGIMTERRFISVVPSNFIG HLSGLVFNGQPYMDQCKDGDITYCELNARFGLRAIVADPVTFKSRSSYLALATLQAYASM HLFFQFKTTAPDGLLLFNSGNGNDFIVIELVKGYIHYVFDLGNGPSLMKGNSDKPVNDNQ WHNVVVSRDPGNVHTLKIDSRTVTQHSNGARNLDLKGELYIGGLSKNMFSNLPKLVASRD GFQGCLASVDLNGRLPDLIADALHRIGQVERGCDGPSTTCTEESCANQGVCLQQWDGFTC DCTMTSYGGPVCNDPGTTYIFGKGGALITYTWPPNDRPSTRMDRLAVGFSTHQRSAVLVR VDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDEPNAIVSDGKYHVVRFTRSGGNATLQ VDSWPVNERYPAGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKVLALAAESDPN VRTEGHLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRGRSPTMRDSTT QNTDDLLVASAECPSDDEDLEECEPSTGGELILPIITEDSLDPPPVATRSPFVPPPPTFY PFLTGVGATQDTLPPPAARRPSSGGPCQAERDDSDCEEPVEASGFASGEVFDSSLPPTDD EDFYTTFPLVTDRTTLLSPRKPRPNLRTDGATGAPGVLFAPSAPAPNLPAGKMNHRDPLQ PLLENPPLGPGVPTAFEPRRPPPLRPGVTSAPGFPRLPTANPTGPGERGPPGAVEVIRES SSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDQSRNYISNSAQSNGAVVKEKA PAAPKTPSKAKKNKDKEYYV >ENSMUSP00000119762.1 pep:known chromosome:GRCm38:19:6427937:6533217:1 gene:ENSMUSG00000033768.16 transcript:ENSMUST00000137166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:MGI Symbol;Acc:MGI:1096362] MALGSRWQPPPQLPPLLLLLALAAGVRGLEFGGGPGQWARYARWAGAASTGELSFSLRTN ATRALLLYLDDGGDCDFLELLLVDGRLRLRFTLSCAEPATLQLDTPVADDRWHMVLLTRD ARRTALAVDGEARAAEVRSKRREMQVASDLFVGGIPPDVRLSALTLSTVKYEPPFRGLLA NLKLGERPPALLGSQGLRGAAADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKF CSEEEHPMEGPAHLTLNSEVGSLLFSEGGAGRGGAGDVHQPTKGKEEFVATFKGNEFFCY DLSHNPIQSSTDEITLAFRTLQRNGLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEAL VEPVNGKFNDNAWHDVRVTRNLRQHAGIGHAMVNKLHYLVTISVDGILTTTGYTQEDYTM LGSDDFFYIGGSPNTADLPGSPVSNNFMGCLKDVVYKNNDFKLELSRLAKEGDPKMKLQG DLSFRCEDVAALDPVTFESPEAFVALPRWSAKRTGSISLDFRTTEPNGLLLFSQGRRAGA GVGSHSSTQRADYFAMELLDGYLYLLLDMGSGGIKLRASSRKVNDGEWCHVDFQRDGRKG SISVNSRSTPFLATGESEVLDLESELYLGGLPEGGRVDLPLPPEVWTAALRAGYVGCVRD LFIDGRSRDLRGLAEAQGAVGVAPFCSRETLKQCASAPCRNGGICREGWNRFVCDCIGTG FLGRVCEREATVLSYDGSMYMKIMLPTAMHTEAEDVSLRFMSQRAYGLMMATTSRESADT LRLELDGGQMRLTVNLDCLRVGCAPSKGPETLFAGHKLNDNEWHTVRVVRRGKSLQLSVD NVTVEGQMAGAHTRLEFHNIETGIMTERRFISVVPSNFIGHLSGLVFNGQPYMDQCKDGD ITYCELNARFGLRAIVADPVTFKSRSSYLALATLQAYASMHLFFQFKTTAPDGLLLFNSG NGNDFIVIELVKGYIHYVFDLGNGPSLMKGNSDKPVNDNQWHNVVVSRDPGNVHTLKIDS RTVTQHSNGARNLDLKGELYIGGLSKNMFSNLPKLVASRDGFQGCLASVDLNGRLPDLIA DALHRIGQVERGCDGPSTTCTEESCANQGVCLQQWDGFTCDCTMTSYGGPVCNDPGTTYI FGKGGALITYTWPPNDRPSTRMDRLAVGFSTHQRSAVLVRVDSASGLGDYLQLHIDQGTV GVIFNVGTDDITIDEPNAIVSDGKYHVVRFTRSGGNATLQVDSWPVNERYPAGNFDNERL AIARQRIPYRLGRVVDEWLLDKGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKV LALAAESDPNVRTEGHLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRG RSPTMRDSTTQNTDDLLVASAECPSDDEDLEECEPSTGGELILPIITEDSLDPPPVATRS PFVPPPPTFYPFLTGVGATQDTLPPPAARRPSSGGPCQAERDDSDCEEPVEASGFASGEV FDSSLPPTDDEDFYTTFPLVTDRTTLLSPRKPRPNLRTDGATGAPGVLFAPSAPAPNLPA GKMNHRDPLQPLLENPPLGPGVPTAFEPRRPPPLRPGVTSAPGFPRLPTANPTGPGERGP PGAVEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDQSRNYISNSAQ SNGAVVKEKAPAAPKTPSKAKKNKDKEYYV >ENSMUSP00000122920.1 pep:known chromosome:GRCm38:19:6428646:6472380:1 gene:ENSMUSG00000033768.16 transcript:ENSMUST00000137821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:MGI Symbol;Acc:MGI:1096362] AAADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKFCSEEEHPMEVGSLLFSEGG AGRGGAGDVHQPTKGKEEFVATFKGNEFFCYDLSHNPIQSSTDEITLAFRTLQRNGLMLH TGKSADYVNLSLKSGAVWLVINLGSGAFEALVEPVNGKFNDNAWHDVRVTRNLRQVTISV DGILTTTGYTQEDYTMLGSDDFFYIGGSPNTADLPG >ENSMUSP00000118878.1 pep:known chromosome:GRCm38:19:6428767:6473339:1 gene:ENSMUSG00000033768.16 transcript:ENSMUST00000155158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:MGI Symbol;Acc:MGI:1096362] XCSEEEHPMEGPAHLTLNSEVGSLLFSEGGAGRGGAGDVHQPTKGKEEFVATFKGNEFFC YDLSHNPIQSSTDEITLAFRTLQRNGLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEA LVEPVNGKFNDNAWHDVRVTRNLRQVTISVDGILTTTGYTQEDYTMLGSDDFFYIGGSPN TADLPGSPVSNNFMGCLKDVVYKNND >ENSMUSP00000109085.1 pep:known chromosome:GRCm38:19:6497828:6533217:1 gene:ENSMUSG00000033768.16 transcript:ENSMUST00000113458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:MGI Symbol;Acc:MGI:1096362] MPPGGSGQGGCPRRPPALAGPLPPPPPPPPLPLLLGLLLLLGAAEGARVSSSLSTTHHVH HFHSKHGTVPIAINRMPFLTRSGHAGTTYIFGKGGALITYTWPPNDRPSTRMDRLAVGFS THQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDEPNAIVSDGKYHVVRF TRSGGNATLQVDSWPVNERYPAGNFDNERLAIARQRIPYRLGRVVDEWLLDKGRQLTIFN SQAAIKIGGRDQGRPFQGQVSGLYYNGLKVLALAAESDPNVRTEGHLRLVGEGPSVLLSA ETTATTLLADMATTIMETTTTMATTTTRRGRSPTMRDSTTQNTDDLLVASAECPSDDEDL EECEPSTGGELILPIITEDSLDPPPVATRSPFVPPPPTFYPFLTGVGATQDTLPPPAARR PSSGGPCQAERDDSDCEEPVEASGFASGEVFDSSLPPTDDEDFYTTFPLVTDRTTLLSPR KPRPNLRTDGATGAPGVLFAPSAPAPNLPAGKMNHRDPLQPLLENPPLGPGVPTAFEPRR PPPLRPGVTSAPGFPRLPTANPTGPGERGPPGAVEVIRESSSTTGMVVGIVAAAALCILI LLYAMYKYRNRDEGSYQVDQSRNYISNSAQSNGAVVKEKAPAAPKTPSKAKKNKDKEYYV >ENSMUSP00000109086.1 pep:known chromosome:GRCm38:19:6497835:6544169:1 gene:ENSMUSG00000033768.16 transcript:ENSMUST00000113459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:MGI Symbol;Acc:MGI:1096362] MPPGGSGQGGCPRRPPALAGPLPPPPPPPPLPLLLGLLLLLGAAEGARVSSSLSTTHHVH HFHSKHGTVPIAINRMPFLTRSGHAGTTYIFGKGGALITYTWPPNDRPSTRMDRLAVGFS THQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDEPNAIVSDGKYHVVRF TRSGGNATLQVDSWPVNERYPAGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKV LALAAESDPNVRTEGHLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRG RSPTMRDSTTQNTDDLLVASAECPSDDEDLEECEPSTGAVTVVTIVDLKVLWS >ENSMUSP00000076424.6 pep:known chromosome:GRCm38:19:6418738:6533216:1 gene:ENSMUSG00000033768.16 transcript:ENSMUST00000077182.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:MGI Symbol;Acc:MGI:1096362] MALGSRWQPPPQLPPLLLLLALAAGVRGLEFGGGPGQWARYARWAGAASTGELSFSLRTN ATRALLLYLDDGGDCDFLELLLVDGRLRLRFTLSCAEPATLQLDTPVADDRWHMVLLTRD ARRTALAVDGEARAAEVRSKRREMQVASDLFVGGIPPDVRLSALTLSTVKYEPPFRGLLA NLKLGERPPALLGSQGLRGAAADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKF CSEEEHPMEGPAHLTLNSEVGSLLFSEGGAGRGGAGDVHQPTKGKEEFVATFKGNEFFCY DLSHNPIQSSTDEITLAFRTLQRNGLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEAL VEPVNGKFNDNAWHDVRVTRNLRQVTISVDGILTTTGYTQEDYTMLGSDDFFYIGGSPNT ADLPGSPVSNNFMGCLKDVVYKNNDFKLELSRLAKEGDPKMKLQGDLSFRCEDVAALDPV TFESPEAFVALPRWSAKRTGSISLDFRTTEPNGLLLFSQGRRAGAGVGSHSSTQRADYFA MELLDGYLYLLLDMGSGGIKLRASSRKVNDGEWCHVDFQRDGRKGSISVNSRSTPFLATG ESEVLDLESELYLGGLPEGGRVDLPLPPEVWTAALRAGYVGCVRDLFIDGRSRDLRGLAE AQGAVGVAPFCSRETLKQCASAPCRNGGICREGWNRFVCDCIGTGFLGRVCEREATVLSY DGSMYMKIMLPTAMHTEAEDVSLRFMSQRAYGLMMATTSRESADTLRLELDGGQMRLTVN LDCLRVGCAPSKGPETLFAGHKLNDNEWHTVRVVRRGKSLQLSVDNVTVEGQMAGAHTRL EFHNIETGIMTERRFISVVPSNFIGHLSGLVFNGQPYMDQCKDGDITYCELNARFGLRAI VADPVTFKSRSSYLALATLQAYASMHLFFQFKTTAPDGLLLFNSGNGNDFIVIELVKGYI HYVFDLGNGPSLMKGNSDKPVNDNQWHNVVVSRDPGNVHTLKIDSRTVTQHSNGARNLDL KGELYIGGLSKNMFSNLPKLVASRDGFQGCLASVDLNGRLPDLIADALHRIGQVERGCDG PSTTCTEESCANQGVCLQQWDGFTCDCTMTSYGGPVCNDPGTTYIFGKGGALITYTWPPN DRPSTRMDRLAVGFSTHQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDE PNAIVSDGKYHVVRFTRSGGNATLQVDSWPVNERYPAGNFDNERLAIARQRIPYRLGRVV DEWLLDKGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKVLALAAESDPNVRTEG HLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRGRSPTMRDSTTQNTDD LLVASAECPSDDEDLEECEPSTANPTGPGERGPPGAVEVIRESSSTTGMVVGIVAAAALC ILILLYAMYKYRNRDEGSYQVDQSRNYISNSAQSNGAVVKEKAPAAPKTPSKAKKNKDKE YYV >ENSMUSP00000063511.6 pep:known chromosome:GRCm38:4:8143362:8239041:-1 gene:ENSMUSG00000041261.9 transcript:ENSMUST00000066674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car8 description:carbonic anhydrase 8 [Source:MGI Symbol;Acc:MGI:88253] MADLSFIEDAVAFPEKEEDEEEEEEEGVEWGYEEGVEWGLVFPDANGEYQSPINLNSREA RYDPSLLDVRLSPNYVVCRDCEVTNDGHTIQVILKSKSVLSGGPLPQGQEFELYEVRFHW GRENQRGSEHTVNFKAFPMELHLIHWNSTLFGSIDEAVGKPHGIAIIALFVQIGKEHVGL KAVTEILQDIQYKGKSKTIPCFNPNTLLPDPLLRDYWVYEGSLTIPPCSEGVTWILFRYP LTISQMQIEEFRRLRTHVKGAELVEGCDGILGDNFRPTQPLSDRVIRAAFQ >ENSMUSP00000041300.4 pep:known chromosome:GRCm38:4:32804035:32923455:-1 gene:ENSMUSG00000040183.13 transcript:ENSMUST00000035719.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd6 description:ankyrin repeat domain 6 [Source:MGI Symbol;Acc:MGI:2154278] MSQQDAVAALSERLLIAAYKGQTENVVQLINKGAKVAVTKHGRTPLHLAANKGHLSVVQI LLKAGCDLDVQDDGDQTALHRATVVGNTEILTALIREGCALDRQDKDGNTALHEAAWHGF SQSAKLLVKAGANVLARNKAGNTALHLACQNSHSQSTRILLLGGSRADLKNNAGDTCLHV AARYNHLSVVRLLLNAFCSVHEKNQAGDTALHVAAALNHKKVVKVLLEAGADTTIVNNAG QTPLETARYHNNPEVALLLTKAPQILRFSRGRSLRKRRERLKEERRAQSVPRDEVAQSKG SVSAGDTPSSEQAVPQKEEARRDCPPASQEPRKDERRRKSRPEVSALSDPTPAADQQPGH QKNLHSHHHPKKKSRHRCWSPPPPHGFRAYQLYTLYRGEDGKVMQAPIKGCRCEPLINKL ENQLEATVEEIRAELGSVQDKVNAKLGQMESKTQHQMCVLDKLMVERLSAERTECMNRLQ QHAAAEKQEGEKRQMSLVDELKAWCMLKIQSLELRLSGESRTFRAKSTPPPSDSTPAVDQ PVVAAGPGAASDSSSQVVRPKDKALNASAAHSHQQELPPSDCTGSGLRKIKAPGASRCDQ QTGSCVNRGTQTKKSGRSGQTKHRGQQPTASSPSGQQPSAASSDVRDASQALELTQYFFE AVSAQMEKWYERKIEEARSQASQKAQQDEATLKEHIRSLEEELARLRTKVQK >ENSMUSP00000081800.2 pep:known chromosome:GRCm38:4:32804035:32950841:-1 gene:ENSMUSG00000040183.13 transcript:ENSMUST00000084748.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd6 description:ankyrin repeat domain 6 [Source:MGI Symbol;Acc:MGI:2154278] MSQQDAVAALSERLLIAAYKGQTENVVQLINKGAKVAVTKHGRTPLHLAANKGHLSVVQI LLKAGCDLDVQDDGDQTALHRATVVGNTEILTALIREGCALDRQDKDGNTALHEAAWHGF SQSAKLLVKAGANVLARNKAGNTALHLACQNSHSQSTRILLLGGSRADLKNNAGDTCLHV AARYNHLSVVRLLLNAFCSVHEKNQAGDTALHVAAALNHKKVVKVLLEAGADTTIVNNAG QTPLETARYHNNPEVALLLTKAPQGSVSAGDTPSSEQAVPQKEEARRDCPPASQEPRKDE RRRKSRPEVSALSDPTPAADQQPGHQKNLHSHHHPKKKSRHRCWSPPPPHGFRAYQLYTL YRGEDGKVMQAPIKGCRCEPLINKLENQLEATVEEIRAELGSVQDKVNAKLGQMESKTQH QMCVLDKLMVERLSAERTECMNRLQQHAAAEKQEGEKRQMSLVDELKAWCMLKIQSLELR LSGESRTFRAKSTPPPSDSTPAVDQPVVAAGPGAASDSSSQVVRPKDKALNASAAHSHQQ ELPPSDCTGSGLRKIKAPGASRCDQQTGSCVNRGTQTKKSGRSGQTKHRGQQPTASSPSG QQPSAASSDVRDASQALELTQYFFEAVSAQMEKWYERKIEEARSQASQKAQQDEATLKEH IRSLEEELARLRTKVQK >ENSMUSP00000103801.1 pep:known chromosome:GRCm38:4:32805740:32860442:-1 gene:ENSMUSG00000040183.13 transcript:ENSMUST00000108166.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd6 description:ankyrin repeat domain 6 [Source:MGI Symbol;Acc:MGI:2154278] MSQQDAVAALSERLLIAAYKGQTENVVQLINKGAKVAVTKHGRTPLHLAANKGHLSVVQI LLKAGCDLDVQDDGDQTALHRATVVGNTEILTALIREGCALDRQDKAGNTALHLACQNSH SQSTRILLLGGSRADLKNNAGDTCLHVAARYNHLSVVRLLLNAFCSVHEKNQAGDTALHV AAALNHKKVVKVLLEAGADTTIVNNILRFSRGRSLRKRRERLKEERRAQSVPRDEVAQSK GSVSAGDTPSSEQAVPQKEEARRDCPPASQEPRKDERRRKSRPEVSALSDPTPAADQQPG HQKNLHSHHHPKKKSRHRCWSPPPPHGFRAYQLYTLYRGEDGKVMQAPIKGCRCEPLINK LENQLEATVEEIRAELGSVQDKVNAKLGQMESKTQHQMCVLDKLMVERLSAERTECMNRL QQHAAAEKQEGEKRQMSLVDELKAWCMLKIQSLELRLSGESRTFRAKSTPPPSDSTPVVA AGPGAASDSSSQVVRPKDKALNASAAHSHQQELPPSDCTGSGLRKIKAPGASRCDQQTGS CVNRGTQTKKSGRSGQTKHRGQQPTASSPSGQQPSAASSDVRDASQALELTQYFFEAVSA QMEKWYERKIEEARSQASQKAQQDEATLKEHIRSLEEELARLRTKVQK >ENSMUSP00000081802.1 pep:known chromosome:GRCm38:4:32804035:32950826:-1 gene:ENSMUSG00000040183.13 transcript:ENSMUST00000084750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd6 description:ankyrin repeat domain 6 [Source:MGI Symbol;Acc:MGI:2154278] MSQQDAVAALSERLLIAAYKGQTENVVQLINKGAKVAVTKHGRTPLHLAANKGHLSVVQI LLKAGCDLDVQDDGDQTALHRATVVGNTEILTALIREGCALDRQDKDGNTALHEAAWHGF SQSAKLLVKAGANVLARNKAGNTALHLACQNSHSQSTRILLLGGSRADLKNNAGDTCLHV AARYNHLSVVRLLLNAFCSVHEKNQAGDTALHVAAALNHKKVVKVLLEAGADTTIVNNAG QTPLETARYHNNPEVALLLTKAPQILRFSRGRSLRKRRERLKEERRAQSVPRDEVAQSKG SVSAGDTPSSEQAVPQKEEARRDCPPASQEPRKDERRRKSRPEVSALSDPTPAADQQPGH QKNLHSHHHPKKKSRHRCWSPPPPHGFRAYQLYTLYRGEDGKVMQAPIKGCRCEPLINKL ENQLEATVEEIRAELGSVQDKVNAKLGQMESKTQHQMCVLDKLMVERLSAERTECMNRLQ QHAAAEKQEGEKRQMSLVDELKAWCMLKIQSLELRLSGESRTFRAKSTPPPSDSTPAVDQ PVVAAGPGAASDSSSQVVRPKDKALNASAAHSHQQELPPSDCTGSGLRKIKAPGASRCDQ QTGSCVNRGTQTKKSGRSGQTKHRGQQPTASSPSGQQPSAASSDVRDASQALELTQYFFE AVSAQMEKWYERKIEEARSQASQKAQQDEATLKEHIRSLEEELARLRTKVQK >ENSMUSP00000081801.1 pep:known chromosome:GRCm38:4:32804035:32923505:-1 gene:ENSMUSG00000040183.13 transcript:ENSMUST00000084749.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd6 description:ankyrin repeat domain 6 [Source:MGI Symbol;Acc:MGI:2154278] MSQQDAVAALSERLLIAAYKGQTENVVQLINKGAKVAVTKHGRTPLHLAANKGHLSVVQI LLKAGCDLDVQDDGDQTALHRATVVGNTEILTALIREGCALDRQDKDGNTALHEAAWHGF SQSAKLLVKAGANVLARNKAGNTALHLACQNSHSQSTRILLLGGSRADLKNNAGDTCLHV AARYNHLSVVRLLLNAFCSVHEKNQAGDTALHVAAALNHKKVVKVLLEAGADTTIVNNAG QTPLETARYHNNPEVALLLTKAPQILRFSRGRSLRKRRERLKEERRAQSVPRDEVAQSKG SVSAGDTPSSEQAVPQKEEARRDCPPASQEPRKDERRRKSRPEVSALSDPTPAADQQPGH QKNLHSHHHPKKKSRHRCWSPPPPHGFRAYQLYTLYRGEDGKVMQAPIKGCRCEPLINKL ENQLEATVEEIRAELGSVQDKVNAKLGQMESKTQHQMCVLDKLMVERLSAERTECMNRLQ QHAAAEKQEGEKRQMSLVDELKAWCMLKIQSLELRLSGESRTFRAKSTPPPSDSTPAVDQ PVVAAGPGAASDSSSQVVRPKDKALNASAAHSHQQELPPSDCTGSGLRKIKAPGASRCDQ QTGSCVNRGTQTKKSGRSGQTKHRGQQPTASSPSGQQPSAASSDVRDASQALELTQYFFE AVSAQMEKWYERKIEEARSQASQKAQQDEATLKEHIRSLEEELARLRTKVQK >ENSMUSP00000021614.7 pep:known chromosome:GRCm38:13:6581182:6648725:-1 gene:ENSMUSG00000021196.13 transcript:ENSMUST00000021614.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkp description:phosphofructokinase, platelet [Source:MGI Symbol;Acc:MGI:1891833] MSDLDSSSSSAYPKYLEHLSGDGKAIGVLTSGGDAQGMNAAVRAVVRMGIYTGAKVYFIY EGYQGLVDGGSNIVEAKWDCVSSILQVGGTIIGSARCKAFRSREGRLKAACNLARLGITN LCVIGGDGSLTGANLFRKEWSGLLEELARNGDIDNDTVQKYSYLNVVGMVGSIDNDFCGT DMTIGTDSALHRIIEVVDAIMTTAQSHQRTFVLEVMGRHCGYLALVSALTCGADWVFLPE SPPEEDWEENMCLKLSENRARKKRLNIIIVSEGAIDMQNKPITSEKIKELVVKNLGFDTR VTILGHVQRGGTPSAFDRILASRMGVEAVIALLEATPETPACVVSLRGNQAVRLPLMECV QMTQDVQKAMDERRFKEAVKLRGRRFEGNLNTYKRLAIKLPDEKIVKSNCNVAVINVGAP AAGMNAAVRSAVRVGIADGHKMFAIYDGFEGFANGQIKEIGWADVGGWTGQGGSILGTKR TLPGKYLEKIAEQMHSHSINALLIIGGFEGYHGAIMLSEARNNHEEFCIPICVLPATISN DIPGSDISIGCDTALNVIVETCDRIKQSASGTKRRVFIIETMGGYCGYLANMGALAAGAD AAYIFEEPFDIGDLQSNVVHLTEKMKTSIQRGLVLRNESCSVNYTTDFIYQLYSEEGKGV FDCRKNVLGHMQQGGAPSPFDRNFGTKISAKAMEWISAKLKGSQGTGKKFVSDDSICVLG ICKRDLLFQPVAELKKVTDFEHRIPKEQWWLKLRPIMKILAKYEASYDMSDSGKLESLQH HEEL >ENSMUSP00000115313.1 pep:known chromosome:GRCm38:13:6620890:6636650:-1 gene:ENSMUSG00000021196.13 transcript:ENSMUST00000136585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkp description:phosphofructokinase, platelet [Source:MGI Symbol;Acc:MGI:1891833] MNAAVRAVVRMGIYTGAKVYFIYEGYQGLVDGGSNIVEAKWDCVSSILQVGGTIIGSARC KAFRSREGRLKAACNLARLGITNLCVIGGDGSLTGANLFRKEWSGLLEELARNGDIDNDT VQKYSYLNVVGMVGSIDNDFCGTDMTIGTDSALHRIIEVVDAIMTTA >ENSMUSP00000116523.1 pep:known chromosome:GRCm38:13:6582473:6597879:-1 gene:ENSMUSG00000021196.13 transcript:ENSMUST00000154100.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfkp description:phosphofructokinase, platelet [Source:MGI Symbol;Acc:MGI:1891833] ALNTITDW >ENSMUSP00000123662.1 pep:known chromosome:GRCm38:13:6582473:6598760:-1 gene:ENSMUSG00000021196.13 transcript:ENSMUST00000133041.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfkp description:phosphofructokinase, platelet [Source:MGI Symbol;Acc:MGI:1891833] XLIIGGFEAYLGLLELAAAREKHEAFCVPMVMVPATVSNNVPGSDFSIGADTALNTITDD LEARLSGWLLQSLLLLLDVRPH >ENSMUSP00000114439.1 pep:known chromosome:GRCm38:13:6581468:6587095:-1 gene:ENSMUSG00000021196.13 transcript:ENSMUST00000142972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkp description:phosphofructokinase, platelet [Source:MGI Symbol;Acc:MGI:1891833] TEKMKTSIQRGLVLRNESCSVNYTTDFIYQLYSEEGKGVFDCRKNVLGHMQQIFIIKRSC DSSLASEMGWENGSGGAPSPFDRNFGTKISAKAMEWISAKLKGSQGTGKKFVSDDSICVL GICKRDLLFQPVAELKKVTDFEHRIPKEQWWLKLRPIMKILAKYEASYDMSDSGKLESLQ HHE >ENSMUSP00000117030.1 pep:known chromosome:GRCm38:13:6579768:6648777:-1 gene:ENSMUSG00000021196.13 transcript:ENSMUST00000138703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkp description:phosphofructokinase, platelet [Source:MGI Symbol;Acc:MGI:1891833] MSDLDSSSSSAYPKYLEHLSGDGKAIGVLTSGGDAQGMNAAVRAVVRMGIYTGAKVYFIY EGYQGLVDGGSNIVEAKWDCVSSILQVGGTIIGSARCKAFRSREGRLKAACNLARLGITN LCVIGGDGSLTGANLFRKEWSGLLEELARNGDIDNDTVQKYSYLNVVGMVGSIDNDFCGT DMTIGTDSALHRIIEVVDAIMTTAQSHQRTFVLEVMGRHCGYLALVSALTCGADWVFLPE SPPEEDWEENMCLKLSENRARKKRLNIIIVSEGAIDMQNKPITSEKIKELVVKNLGFDTR VTILGHVQRGGTPSAFDRILASRMGVEAVIALLEATPETPACVVSLRGNQAVRLPLMECV QMTQDVQKAMDERRFKEAVKLRGRRFEGNLNTYKRLAIKLPDEKIVKSNCNVAVINVGAP AAGMNAAVRSAVRVGIADGHKMFAIYDGFEGFANGQIKEIGWADVGGWTGQGGSILGTKR TLPGKYLEKIAEQMHSHSINALLIIGGFEAYLGLLELAAAREKHEAFCVPMVMVPATVSN NVPGSDFSIGADTALNTITDTCDRIKQSASGTKRRVFIIETMGGYCGYLANMGALAAGAD AAYIFEEPFDIGDLQSNVVHLTEKMKTSIQRGLVLRNESCSVNYTTDFIYQLYSEEGKGV FDCRKNVLGHMQQGGAPSPFDRNFGTKISAKAMEWISAKLKGSQGTGKKFVSDDSICVLG ICKRDLLFQPVAELKKVTDFEHRIPKEQWWLKLRPIMKILAKYEASYDMSDSGKLESLQH HEEL >ENSMUSP00000023867.6 pep:known chromosome:GRCm38:5:134582690:134601805:1 gene:ENSMUSG00000023104.9 transcript:ENSMUST00000023867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc2 description:replication factor C (activator 1) 2 [Source:MGI Symbol;Acc:MGI:1341868] MEVQESGCDPSESGAQEPSPVPSKTAGHYELPWVEKYRPLKLNEIVGNEDTVSRLEVFAR EGNVPNIIIAGPPGTGKTTSILCLARALLGPALKDAVLELNASNDRGIDVVRNKIKMFAQ QKVTLPKGRHKIIILDEADSMTDGAQQALRRTMEIYSKTTRFALACNASDKIIEPIQSRC AVLRYTKLTDAQVLTRLMNVIEKEKVPYTDDGLEAIIFTAQGDMRQALNNLQSTFSGFGY INSENVFKVCDEPHPLLVKEMIQHCVDANIDEAYKILAHLWHLGYSPEDVIGNIFRVCKT FPMAEYLKLEFIKEIGYTHMKVAEGVNSLLQMAGLLARLCQKTMAPVAS >ENSMUSP00000144516.1 pep:known chromosome:GRCm38:5:134591520:134597955:1 gene:ENSMUSG00000023104.9 transcript:ENSMUST00000201258.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rfc2 description:replication factor C (activator 1) 2 [Source:MGI Symbol;Acc:MGI:1341868] XCLLSEYACPGIRVQAVVSHLIQVSFTLAHPVPLCGAPLHQAH >ENSMUSP00000050971.4 pep:known chromosome:GRCm38:9:109057933:109059734:-1 gene:ENSMUSG00000049734.9 transcript:ENSMUST00000061973.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trex1 description:three prime repair exonuclease 1 [Source:MGI Symbol;Acc:MGI:1328317] MGSQTLPHGHMQTLIFLDLEATGLPSSRPEVTELCLLAVHRRALENTSISQGHPPPVPRP PRVVDKLSLCIAPGKACSPGASEITGLSKAELEVQGRQRFDDNLAILLRAFLQRQPQPCC LVAHNGDRYDFPLLQTELARLSTPSPLDGTFCVDSIAALKALEQASSPSGNGSRKSYSLG SIYTRLYWQAPTDSHTAEGDVLTLLSICQWKPQALLQWVDEHARPFSTVKPMYGTPATTG TTNLRPHAATATTPLATANGSPSNGRSRRPKSPPPEKVPEAPSQEGLLAPLSLLTLLTLA IATLYGLFLASPGQ >ENSMUSP00000107684.1 pep:known chromosome:GRCm38:9:109057938:109059251:-1 gene:ENSMUSG00000049734.9 transcript:ENSMUST00000112053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trex1 description:three prime repair exonuclease 1 [Source:MGI Symbol;Acc:MGI:1328317] MGSQTLPHGHMQTLIFLDLEATGLPSSRPEVTELCLLAVHRRALENTSISQGHPPPVPRP PRVVDKLSLCIAPGKACSPGASEITGLSKAELEVQGRQRFDDNLAILLRAFLQRQPQPCC LVAHNGDRYDFPLLQTELARLSTPSPLDGTFCVDSIAALKALEQASSPSGNGSRKSYSLG SIYTRLYWQAPTDSHTAEGDVLTLLSICQWKPQALLQWVDEHARPFSTVKPMYGTPATTG TTNLRPHAATATTPLATANGSPSNGRSRRPKSPPPEKVPEAPSQEGLLAPLSLLTLLTLA IATLYGLFLASPGQ >ENSMUSP00000118962.1 pep:known chromosome:GRCm38:3:113529403:113532428:-1 gene:ENSMUSG00000070360.5 transcript:ENSMUST00000132353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amy2a1 description:amylase 2a1 [Source:MGI Symbol;Acc:MGI:104548] MKFVLLLSLIGFCWAQYDPHTSDGRTAIVHLFEWRWVDIAKECERYLAPKGFGGVQVSPP NENVVVHNPSRPWWERYQPISYKICTRSGNEDEFRDMVTRCNNVGVRIYVDAVINHMCGA GNPAGTSSTCGSYLNPNNREFPAVPYSAWDFNDNKCNGEIDNYNDAYQVRNCRLTGLLDL ALEKDYVRTKVADYMNHLIDIGVAGFRLDAAKHMWPGDIKAVLDKLHNLNTKWFSQGSRP FIFQE >ENSMUSP00000025192.7 pep:known chromosome:GRCm38:17:34092340:34095234:1 gene:ENSMUSG00000024334.8 transcript:ENSMUST00000025192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Oa description:histocompatibility 2, O region alpha locus [Source:MGI Symbol;Acc:MGI:95924] MVLFVELVPVLLTAMSFLSPRGVRAIKADHMGSYGPAFYQSYDASGQFTHEFDGEQIFSV DLKNEEVVWRLPEFGDFAHSDFQSGLMSISMIKAHLDILVERSNRTRAVSVPPRVTVLPK TRVELGKPNVLICIVDDIFPPVINVTWLRNSQPITKGVAQTSFYSQPNHRFRKFHYLTFV PSAEDVYDCKVEHWGLDTPLLQHWEPQVLTPPPDTIETLICGLGLVLGLMGCLLGTVLMI TGTRRPSIRR >ENSMUSP00000079644.3 pep:known chromosome:GRCm38:1:174099460:174100407:1 gene:ENSMUSG00000059371.3 transcript:ENSMUST00000080831.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr427 description:olfactory receptor 427 [Source:MGI Symbol;Acc:MGI:3030261] MDKKNQTKVTEFYFSDFPQFEDGGLLLFILLLCVYLFIVVGDAVIFLDVQLDVRLHNPMY SFISIFSFLEICYTTVTIPQMLYNLVSKEKTISFIGCLLQMYFFHSFGVTESLVLTIMAI DRYVAICNPLRYAIIITPKLCTQLSTGSFTLGFLMLLPEIVWISTLPFCGPNQIHQLFCD LEPVLLLACTDTSMILVEDVIHAISILSCVSIISLSYLRIITVVLKIPSGESRQKAFSTC TAHITIFVLFFGSVALMYLRFSVTFQPLLEKVIALMFAVLAPFVNPIIYSLRNKDMKDAI KKMFGSQKILTVSGS >ENSMUSP00000023714.4 pep:known chromosome:GRCm38:15:101552356:101562950:-1 gene:ENSMUSG00000048699.4 transcript:ENSMUST00000023714.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4732456N10Rik description:RIKEN cDNA 4732456N10 gene [Source:MGI Symbol;Acc:MGI:3045312] MTQRSSVTIKSGGTRNFSASSASLLPGCRPGFSSVSVSQSGKSFGGGIGGGFGTRSLHSF GGNKRISIGGGYRSTRASFGGAACGLGVSGIGYRVGGAYGGYGYGGGMAPGAGGIHEVTV NQSLLTPLHLEIDPSLQRVRKEEKEQIKTLNNKFASFIDKVRFLEQQNKVLETKWSLLQE HKTTRTNLEPMFEAYITNLRRQLECLGGERSRLETELKSMQDVVEDFKNKYEEEIHRRTA AENEFVVLKKDVDAAYMNKVELEAKVEALMDEINFLRAFFEAELAQLQAQISETSVVLSM DNNRSLNLDSIIAEVKAQYEDIANRSRAEAESWYQTKYEELQRSAGQRGDDLRTTKMEIS ELNRAMQRLRSEIDNLKKQCATLQASIADAEQRGELALKDAKNKLAELEDALQKAKQDMA RQLREYQELMNVKLALDIEIATYRKLLEGEECRLTGEGVGAVNISVVSSSGGTGYSGGGG LCVSGGGYSGGGYSGSGLCYGGGGSGGFSSTSGRSVSGSSSSMRIVSKTSSTKKSYRS >ENSMUSP00000112494.1 pep:known chromosome:GRCm38:7:27689340:27706484:1 gene:ENSMUSG00000057093.14 transcript:ENSMUST00000120004.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C030039L03Rik description:RIKEN cDNA C030039L03 gene [Source:MGI Symbol;Acc:MGI:2148237] MASSSSQHMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRNIMLETYSNLVAVVGRCISK PDLIVLLEQEKEPWMAVKEETDRPSPDVETCVEAENISPENHMSDVNLHKQSIRQFSKTF DLKESTFSDGPSCSTFKGLQGYQGDADERVTKKERVPPCTCQTLAHNTEKAYECKECGTC FDCSSALTQHQSVHAGEKLYECKECGKAFRLPQELTRHQKSHSGEKPFQCNECGKAFHLP DLLKYHKVIHTGEKPFECEVCGKFFSRVSSLAEHRIVHADVKPYECSECGKAFKRRSDLM QHQKIHSGERPFQCKDCGKAFIVLAQLAQHQSIHTGEKLECKHCGKIFSSGFYLVRHQSI HTGEKPFGCHVCGKAFRLQVYLNEHQKTHTDEKPFKCKLCGSAFRRKYQLSEHQKIHTNV KPYQCKECGKSFRRRSNFTEHQSIHTGKKPFECKDCGKVFRLNIHLIRHQRFHSGKTPFE CNECGKGFHFSSQLNYHKTIHTGQTPFECKECGKSFKRICSLLEHGVIHAAVKPFECSEC GKTFNRRSNLIQHQKIHSDERPFECKDCGKAFTVLAQLTRHHTIHTGKKSYECEQCGSAF RLPYQLTQHQRIHDDVKPFQCKECGKGFVRGTALRIHQRVHTGEALPV >ENSMUSP00000075755.6 pep:known chromosome:GRCm38:7:27692502:27704067:1 gene:ENSMUSG00000057093.14 transcript:ENSMUST00000076421.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C030039L03Rik description:RIKEN cDNA C030039L03 gene [Source:MGI Symbol;Acc:MGI:2148237] MASSSSQHMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRNIMLETYSNLVAVVGRCISK PDLIVLLEQEKEPWMAVKEETDRPSPDVETCVEAENISPENHMSDVNLHKQSIRQFSKTF DLKESTFSDGPSCSTFKGLQGYQGDADERVTKKERVPPCTCQTLAHNTEKAYECKECGTC FDCSSALTQHQSVHAGEKLYECKECGKAFRLPQELTRHQKSHSGEKPFQCNECGKAFHLP DLLKYHKVIHTGEKPFECEVCGKFFSRVSSLAEHRIVHADVKPYECSECGKAFKRRSDLM QHQKIHSGERPFQCKDCGKAFIVLAQLAQHQSIHTGEKLECKHCGKIFSSGFYLVRHQSI HTGEKPFGCHVCGKAFRLQVYLNEHQKTHTDEKPFKCKLCGSAFRRKYQLSEHQKIHTNV KPYQCKECGKSFRRRSNFTEHQSIHTGKKPFECKDCGKVFRLNIHLIRHQRFHSGKTPFE CNECGKGFHFSSQLNYHKTIHTGQTPFECKECGKSFKRICSLLEHGVIHAAVKPFECSEC GKTFNRRSNLIQHQKIHSDERPFECKDCGKAFTVLAQLTRHHTIHTGKKSYECEQCGSAF RLPYQLTQHQRIHDDVKPFQCKECGKGFVRGTALRIHQRVHTGEALPV >ENSMUSP00000130159.1 pep:known chromosome:GRCm38:14:3133997:3141609:1 gene:ENSMUSG00000071613.11 transcript:ENSMUST00000170790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10340 description:predicted gene 10340 [Source:MGI Symbol;Acc:MGI:3641969] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSKMTKKR SKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNTSVI >ENSMUSP00000140601.1 pep:known chromosome:GRCm38:14:3134002:3137086:1 gene:ENSMUSG00000071613.11 transcript:ENSMUST00000188235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10340 description:predicted gene 10340 [Source:MGI Symbol;Acc:MGI:3641969] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSKMTKKR SKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNTSVC >ENSMUSP00000044831.2 pep:known chromosome:GRCm38:9:109059747:109074124:-1 gene:ENSMUSG00000025646.8 transcript:ENSMUST00000045011.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrip description:ATR interacting protein [Source:MGI Symbol;Acc:MGI:1925349] MAGTPAPNSHRKQSGGLEPFPGLSRSIENPPSKRARSFSETTVPDPEDPFGEHAEFTADD LEELDILASQALSQCPVAPRNLSSAHKVRRLDGLPNSPIRKSREDIPVKDNFELEVLQIQ YKELKEKLKAMEEEILIKNGEIKILRDSLRQTESVLEEQKRSHFLLEQEKTQALSEKEKE FSRKLQSLQSELQFKDAEMNELRTKSQSNGRTNKPAAPSVSHVSPRKGSSVVLKSEACSP HVGKTTFPTKESFSANTPLFHPCQTEAGHRFLVGQEVSDNKNHSLGGSLMKQDVQQRILA DGWMQRKDAQGSILINLLLKQPLVPGSSLGLCHLLSSCPEVPTGTLLQPPGLSTLPGTSG LRTISSSDGPFSPSALREAQNLAFTGLNLVARTESSHDGDMAGRRVFPLHQLPGAVHLLP LVQFFVGLHCQALQDLAPAKKSGVPGDSATHTSCMSSGVEASPEDSIHGLESFSVASLSV LQNLVCHSGAVVCLLLSGMGTEAAAREGNLVQTCADTTSASREDAHDQDQHPLLKMLLQL MASSSAASGHFQASVLGLCLKVLVKLAENASSDLLPRFSCVFPVLPQCLGSALPLPCVLL AVELLSVLLDHDSLAWQLCSHPEGCLLLRLYMYITSRPDRTASETQWLQLEQEVVWLLAK LSVQSPAPAGIGSDCHCNVEAVRALTVMLHRQWLTVRRAGGPRTHQQKQTIRCLRDTVLL LHSLSQKDKLFTVHCVEVLHQYDQVMPGVSMLIRALPDVTDCEEAALDDLCAAETDLEDS EMDCN >ENSMUSP00000125615.1 pep:known chromosome:GRCm38:9:109059785:109074052:-1 gene:ENSMUSG00000025646.8 transcript:ENSMUST00000161521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrip description:ATR interacting protein [Source:MGI Symbol;Acc:MGI:1925349] MAGTPAPNSHRKQSGGLEPFPGLSRSIENPPSKRARSFSETTVPDPEDPFGEHAEFTADD LEELDILASQALSQCPVAPRNLSSAHKVRRLDGLPNSPIRKSREDIPVKDNFELEVLQIQ YKELKEKLKAMEEEILIKNGEIKILRDSLRQTESVLEEQKRSHFLLEQEKTQALSEKEKE FSRKLQSLQSELQFKDAEMNELRTKSQSNGRTNKPAAPSVSHVSPRKGSSVVLKSEACSP HVGKTTFPTKESFSANTPLFHPCQTEAGHRFLVGQEVSDNKNHSLGGSLMKQDVQQRILA DGWMQRKDAQGSILINLLLKQPLVPGSSLGLCHLLSSCPEVPTGTLLQPPGLSTLPGTSG LRTISSSDGPFSPSALREAQNLAFTGLNLVARTESSHDGDMAGRRVFPLHQLPGAVHLLP LVQFFVGLHCQALQDLAPAKKSGVPGDSATHTSCMSSGVEASPEDSIHGLESFSVASLSV LQNLVCHSGAVVCLLLSGMGTEAAAREGNLVQTCADTTSASREDAHDQDQHPLLKMLLQL MASSSAASGHFQASVLGLCLKVLVKLAENASSDLLPRFSCVFPVLPQCLGSALPLPCVLL AVELLSVLLDHDSLAWQLCSHPEGCLLLRLYMYITSRPDRTASETQWLQLEQEAVRALTV MLHRQWLTVRRAGGPRTHQQKQTIRCLRDTVLLLHSLSQKDKLFTVHCVEVLHQYDQVMP GVSMLIRALPDVTDCEEAALDDLCAAETDLEDSEMDCN >ENSMUSP00000124854.1 pep:known chromosome:GRCm38:9:109060661:109065393:-1 gene:ENSMUSG00000025646.8 transcript:ENSMUST00000159614.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrip description:ATR interacting protein [Source:MGI Symbol;Acc:MGI:1925349] GAVVCLLLSGMGTEAAAREGNLVQTCADTTSASREDAHDQDQHPLLKMLLQLMASSSAAS GHFQASVLGLCLKVLVKLAENASSDLLPRFSCVFPVLPQCLGSALPLPCVLLAVELLSVL LDHDSLAWQLCSHPGCLLLRLYMYITSRPDRTASETQWLQLEQEVVWLLAKLSVQSPAPA >ENSMUSP00000045120.2 pep:known chromosome:GRCm38:X:162961992:162964599:-1 gene:ENSMUSG00000040808.4 transcript:ENSMUST00000038769.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100g description:S100 calcium binding protein G [Source:MGI Symbol;Acc:MGI:104528] MCAEKSPAEMKSIFQKYAAKEGDPDQLSKEELKLLIQSEFPSLLKASSTLDNLFKELDKN GDGEVSYEEFEAFFKKLSQ >ENSMUSP00000083370.5 pep:known chromosome:GRCm38:1:154201187:154205689:1 gene:ENSMUSG00000066797.6 transcript:ENSMUST00000086195.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp648 description:zinc finger protein 648 [Source:MGI Symbol;Acc:MGI:2685049] MAQVDSQDRWTKVPLGSTQMLRMSLESEEEDGRKGGSPGTQNNTDSQFDTQSCKEEENWP ECFSAGCVAQKPGEMPGKTSNGGKKASRITQIRDSPKLQEALGAPSRSLLHKVQIQPLRD SVGSDGGKKANKDPASEVPNSFLDSGGYFEAQNSVEASSLDKNSLEHFPRSESTLVQTPT IPASLLVKVRSSRKVQGQAGPEGSGQEEEGLDRCVRAFQKSTKVQVPAEHHGSKPYVCQL CGKAYSHRSTLQQHRRLHTGERPYRCPFCDKAYTWSSDHRKHIRTHTGEKPYGCPDCGRA FVRSSDLRKHQRNMHSNDKPFPCAQCGLTFNRPLSLLRHQRTHLGAKPFRCSSCGREFSV ASRMVEHQRVHSGERPFPCSTCGKCFTKSSNLQEHQTLHTGQRPFKCTDCGVTFAQPSRL LRHQRIHTGERPFPCAECGQAFARSSTLKRHQQIHSGDKDFLCAECGRAFRIASELAQHI RMHNGERPYQCEGCGQTFTRSSHLQRHQAKHDTCKKEPVPSSSDE >ENSMUSP00000141943.1 pep:known chromosome:GRCm38:2:24367580:24394258:1 gene:ENSMUSG00000026979.16 transcript:ENSMUST00000140547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd4 description:pleckstrin and Sec7 domain containing 4 [Source:MGI Symbol;Acc:MGI:2674093] MMGDHLRPMECLNICLEDNLQPCPEAYPSEIHGHPGPSEPCQEH >ENSMUSP00000100006.1 pep:known chromosome:GRCm38:2:24367610:24414954:1 gene:ENSMUSG00000026979.16 transcript:ENSMUST00000102942.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd4 description:pleckstrin and Sec7 domain containing 4 [Source:MGI Symbol;Acc:MGI:2674093] MMGDHLRPMECLNICLEDNLQPCPEAYPSEIHGHPGPSEPCQEHTCPFDPPESARPDAPH GNSGVESTHLENCLVQVQARQASASLRSLEDNSSLGSPQQNQSSSTQVVFWAGILQAQMC VLDLEEELEKTEGLRAELRCCIPPPSKDLLGDEGLSPSRPEEDEDSGDDSSGPEEENQTW PREKIPGSSLEWGAEEDSIFFDNPLFLESPCSDTSTEGECFSWGYPNSHPDMKTWHQSPQ TLDSPLQKGTGLWRQENELDLGSDTADHGGCSTPPFPVPSYKMHPCLALGSTEGDPTVPP DQEGETSCEDDLGHGSPKAPFVDHELIQESDNFEFDLRPATTHPVQPWGSQTSQSLSDLT QPILEDLQREDPSRSQETLISQNRGERDAGCFQEPVFCTLAPWGSQTSLLEPNCPESEGR GSGPQPSPVSSQDSSPRVLLHSPKWPQDASHLLQKDRSELSSLKEEETEEVPSLRQEAEC EDTSRSEDASANQHHVHLASAEGLPESPMPQAQSPEEGWRPSSSREKLANDIRNDKGAWN LALRLYQLNGFRKSEVAAHLRKNNDFSRAVAEAYLSFFQFEGQSLDRALRGFLQALVLSG ETQERERILYQFSKRFHYCNPGAFPSVDSVHTLTCAIMLLNTDLHGQNIGKSMSCQEFVT NLNGLQDGRNFPKELLKALYWSIRSEKLEWAIDEEDADRPEKDQPSPSAGKISSPFLQMA QDPTMPTYKQGILARKMHHIADGKKTPWGKRGWKMFHTLLRGMVLYFLKGEGQWLDGESL VGHMVDEPVGVHHSLASPATHYTKKPHVFQLRTADWRLYLFQAPTAKEMASWIARINLAA ATHSAPPFPAAVGSQRRFVRPILPMSPVQSSLEEQHRSHENCLDAASDDLLDLQRNLPER RGRSRELEEYRLRKEYLEHEKTRYETYVQLLVARLHFPLGDLALWEDQLGKETDGSQEPR PSLKKSHSSPSLHQEEAPTTAKVKRNISERRTYRKIIPKRNRNQL >ENSMUSP00000062415.8 pep:known chromosome:GRCm38:2:24385332:24408729:1 gene:ENSMUSG00000026979.16 transcript:ENSMUST00000056641.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd4 description:pleckstrin and Sec7 domain containing 4 [Source:MGI Symbol;Acc:MGI:2674093] MMGDHLRPMECLNICLEDNLQPCPEAYPSEIHGHPGPSEPCQEHTCPFDPPESARPDAPH GNSGVESTHLENCLVQVQARQASASLRSLEDNSSLGSPQQNQSSSTQVVFWAGILQAQMC VLDLEEELEKTEGLRAELRCCIPPPSKDLLGDEGLSPSRPEEDEDSGDDSSGPEEENQTW PREKIPGSSLEWGAEEDSIFFDNPLFLESPCSDTSTEGECFSWGYPNSHPDMKTWHQSPQ TLDSPLQKGTGLWRQENELDLGSDTADHGGCSTPPFPVPSYKMHPCLALGSTEGDPTVPP DQEGETSCEDDLGHGSPKAPFVDHELIQESDNFEFDLRPATTHPVQPWGSQTSQSLSDLT QPILEDLQREDPSRSQETLISQNRGERDAGCFQEPVFCTLAPWGSQTSLLEPNCPESEGR GSGPQPSPVSSQDSSPRVLLHSPKWPQDASHLLQKDRSELSSLKEEETEEVPSLRQEAEC EDTSRSEDASANQHHVHLASAEGLPESPMPQAQSPEEGWRPSSSREKLANDIRNDKGAWN LALRLYQLNGFRKSEVAAHLRKNNDFSRAVAEAYLSFFQFEGQSLDRALRGFLQALVLSG ETQERERILYQFSKRFHYCNPGAFPSVDSVHTLTCAIMLLNTDLHGQNIGKSMSCQEFVT NLNGLQDGRNFPKELLKALYWSIRSEKLEWAIDEEDADRPEKDQPSPSAGKISSPFLQMA QDPTMPTYKQGILARKMHHIADGKKTPWGKRGWKMFHTLLRGMVLYFLKGEGQWLDGESL VGHMVDEPVGVHHSLASPATHYTKKPHVFQLRTADWRLYLFQAPTAKEMASWIARINLAA ATHSAPPFPAAVGSQRRFVRPILPMSPVQSSLEEQHRSHENCLDAASDDLLDLQRNLPER RGRSRELEEYRLRKEYLEHEKTRYETYVQLLVARLHFPLGDLALWEDQLGKETDGSQEPR PSLKKSHSSPSLHQEEAPTTAKVKRNISERRTYRKIIPKRNRNQL >ENSMUSP00000141910.1 pep:known chromosome:GRCm38:2:24385335:24394411:1 gene:ENSMUSG00000026979.16 transcript:ENSMUST00000142522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd4 description:pleckstrin and Sec7 domain containing 4 [Source:MGI Symbol;Acc:MGI:2674093] MMGDHLRPMECLNICLEDNLQPCPEAYPSEIHGHPGPSEPCQEHTCPFDPPESARPDAPH GNSGVESTHLENCLVQVQARQASASLRSLEDNSSL >ENSMUSP00000142303.1 pep:known chromosome:GRCm38:2:24385353:24394305:1 gene:ENSMUSG00000026979.16 transcript:ENSMUST00000131930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd4 description:pleckstrin and Sec7 domain containing 4 [Source:MGI Symbol;Acc:MGI:2674093] MMGDHLRPMECLNICLEDNLQPCPEAYPSEIHGHPGPSEPCQEHTCPFDPPESARPDAPH >ENSMUSP00000141819.1 pep:known chromosome:GRCm38:2:24386569:24394591:1 gene:ENSMUSG00000026979.16 transcript:ENSMUST00000127242.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd4 description:pleckstrin and Sec7 domain containing 4 [Source:MGI Symbol;Acc:MGI:2674093] MMGDHLRPMECLNICLEDNLQPCPEAYPSEIHGHPGPSEPCQEHTCPFDPPESARPDAPH GNSGVESTHLENCLVQVQARQASASLRSLEDNSSLGSPQQNQSSSTQVVFWAGILQAQMC VLDLEEELEKTEGLRAELRCCIPPPSKDLLGDEGL >ENSMUSP00000142085.1 pep:known chromosome:GRCm38:2:24401036:24403252:1 gene:ENSMUSG00000026979.16 transcript:ENSMUST00000140303.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psd4 description:pleckstrin and Sec7 domain containing 4 [Source:MGI Symbol;Acc:MGI:2674093] AEAYLSFFQFEGQSLDRALRFCSHVDLCNHAP >ENSMUSP00000132395.1 pep:known chromosome:GRCm38:2:24386617:24408200:1 gene:ENSMUSG00000026979.16 transcript:ENSMUST00000166388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd4 description:pleckstrin and Sec7 domain containing 4 [Source:MGI Symbol;Acc:MGI:2674093] MMGDHLRPMECLNICLEDNLQPCPEAYPSEIHGHPGPSEPCQEHTCPFDPPESARPDAPH GNSGVESTHLENCLVQVQARQASASLRSLEDNSSLGSPQQNQSSSTQVVFWAGILQAQMC VLDLEEELEKTEGLRAELRCCIPPPSKDLLGDEGLSPSRPEEDEDSGDDSSGPEEENQTW PREKIPGSSLEWGAEEDSIFFDNPLFLESPCSDTSTEGECFSWGYPNSHPDMKTWHQSPQ TLDSPLQKGTGLWRQENELDLGSDTADHGGCSTPPFPVPSYKMHPCLALGSTEGDPTVPP DQEGETSCEDDLGHGSPKAPFVDHELIQESDNFEFDLRPATTHPVQPWGSQTSQSLSDLT QPILEDLQREDPSRSQETLISQNRGERDAGCFQEPVFCTLAPWGSQTSLLEPNCPESEGR GSGPQPSPVSSQDSSPRVLLHSPKWPQDASHLLQKDRSELSSLKEEETEEVPSLRQEAEC EDTSRSEDASANQHHVHLASAEGLPESPMPQAQSPEEGWRPSSSREKLANDIRNDKGAWN LALRLYQLNGFRKSEVAAHLRKNNDFSRAVAEAYLSFFQFEGQSLDRALRGFLQALVLSG ETQERERILYQFSKRFHYCNPGAFPSVDSVHTLTCAIMLLNTDLHGQNIGKSMSCQEFVT NLNGLQDGRNFPKELLKALYWSIRSEKLEWAIDEEDADRPEKDQPSPSAGKISSPFLQMA QDPTMPTYKQGILARKMHHIADGKKTPWGKRGWKMFHTLLRGMVLYFLKGEGQWLDGESL VGHMVDEPVGVHHSLASPATHYTKKPHVFQLRTADWRLYLFQAPTAKEMASWIARINLAA ATHSAPPFPAAVGSQRRFVRPILPMSPVQSSLEEQHRSHENCLDAASDDLLDLQRNLPER RGRSRELEEYRLRKEYLEHEKTRYETYVQLLVARLHFPLGDLALWEDQLGKETDGSQEPR PSLKKSHSSPSLHQEEAPTTAKVKRNISERRTYRKIIPKRNRNQL >ENSMUSP00000059596.3 pep:known chromosome:GRCm38:1:174117091:174118014:-1 gene:ENSMUSG00000046486.3 transcript:ENSMUST00000063030.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr231 description:olfactory receptor 231 [Source:MGI Symbol;Acc:MGI:3030065] MVIEFLFSVFPPLYEGGLLFFILLILVYAFIISGNLVIFVAVQLDMALHTPMYFFISVLS FLEIWYTTTTIPKMLSSLVSEKKTISLGGCLMQMYFFHSLGITEGCVLTAMSIDRYIAIC YPLRYPTIMTSKLCIQLTAGSCFCGFLLVLPEIAWIATLPFCGSNKIHQIFCDFTPVLSL ACTDTSLVVIVDAIHAVEILASFLVIALSYIRIIMVILGMPSAEGRHKAFSTCAAHLAVF LLFFGSVAVMYLRFSATYSVFWDTVIAVTFVILAPFLNPIIYSLRNKEMKDAIGRLFHQK RDVRAQK >ENSMUSP00000027338.3 pep:known chromosome:GRCm38:1:23995939:24005656:-1 gene:ENSMUSG00000026154.4 transcript:ENSMUST00000027338.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdhaf4 description:succinate dehydrogenase complex assembly factor 4 [Source:MGI Symbol;Acc:MGI:1915252] MVSTTLSVSRMTFVWRAARPSLLNHSLRKMSYQEGKPEPAKQALKKSKLPLGRFDSLEDS PEEREPLQKFPDDVNPVTKEKGGPKGPEPTRYGDWERKGRCIDF >ENSMUSP00000054305.3 pep:known chromosome:GRCm38:1:174136746:174137693:1 gene:ENSMUSG00000051528.3 transcript:ENSMUST00000053941.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr424 description:olfactory receptor 424 [Source:MGI Symbol;Acc:MGI:3030258] MRINRTTSVTEFLFSGFPQFEDGSFLFFIPLFFIYIFIVIGNLIVFFAVRMDTRLHNPMY NFISIFSFLEIWYTTATIPKMLSNLISKQRTISLIGCLLQMYFFHSLGNSEGILLTTMAI DRYVAICNPLRYPTIMTPRLCAHLSAGSCIFGFLVLLPEIAWISTLPFCGPNQIHQIFCD FEPVLRLACTDTSMILVEDVVHAVAIIFSVLVIAISYMRIITVILRIPSGEGRRKAFSTC AAHLGVFLMFYGSVSLMYLRFSATFPPILDTAIALMFAVLAPFFNPIIYSLRNKDMKIAI KKLLCSQKMLPTSAS >ENSMUSP00000136477.1 pep:known chromosome:GRCm38:6:117900324:117923849:1 gene:ENSMUSG00000042079.13 transcript:ENSMUST00000177743.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpf description:heterogeneous nuclear ribonucleoprotein F [Source:MGI Symbol;Acc:MGI:2138741] MMLGPEGGEGYVVKLRGLPWSCSIEDVQNFL >ENSMUSP00000136751.1 pep:known chromosome:GRCm38:6:117906790:117923798:1 gene:ENSMUSG00000042079.13 transcript:ENSMUST00000179224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpf description:heterogeneous nuclear ribonucleoprotein F [Source:MGI Symbol;Acc:MGI:2138741] MMLGPEGGEGYVVK >ENSMUSP00000045048.7 pep:known chromosome:GRCm38:6:117906795:117925619:1 gene:ENSMUSG00000042079.13 transcript:ENSMUST00000035493.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpf description:heterogeneous nuclear ribonucleoprotein F [Source:MGI Symbol;Acc:MGI:2138741] MMLGPEGGEGYVVKLRGLPWSCSIEDVQNFLSDCTIHDGVAGVHFIYTREGRQSGEAFVE LESEDDVKLALKKDRESMGHRYIEVFKSHRTEMDWVLKHSGPNSADSANDGFVRLRGLPF GCTKEEIVQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHKERIGHRY IEVFKSSQEEVRSYSDPPLKFMSVQRPGPYDRPGTARRYIGIVKQAGLDRMRSGAYSAGY GGYEEYSGLSDGYGFTTDLFGRDLSYCLSGMYDHRYGDSEFTVQSTTGHCVHMRGLPYKA TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEEAVAAMSKDRANMQHRYIELF LNSTTGASNGAYSSQVMQGMGVSAAQATYSGLESQSVSGCYGAGYSGQNSMGGYD >ENSMUSP00000137091.1 pep:known chromosome:GRCm38:6:117906827:117923967:1 gene:ENSMUSG00000042079.13 transcript:ENSMUST00000177918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpf description:heterogeneous nuclear ribonucleoprotein F [Source:MGI Symbol;Acc:MGI:2138741] MMLGPEGGEGYVVKLRGLPWSCSIEDVQNFLSDCTIHDGVAGVHFIYTREGRQSGEAFVE LESEDDVKLA >ENSMUSP00000130023.2 pep:known chromosome:GRCm38:6:117906849:117925619:1 gene:ENSMUSG00000042079.13 transcript:ENSMUST00000163168.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpf description:heterogeneous nuclear ribonucleoprotein F [Source:MGI Symbol;Acc:MGI:2138741] MMLGPEGGEGYVVKLRGLPWSCSIEDVQNFLSDCTIHDGVAGVHFIYTREGRQSGEAFVE LESEDDVKLALKKDRESMGHRYIEVFKSHRTEMDWVLKHSGPNSADSANDGFVRLRGLPF GCTKEEIVQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHKERIGHRY IEVFKSSQEEVRSYSDPPLKFMSVQRPGPYDRPGTARRYIGIVKQAGLDRMRSGAYSAGY GGYEEYSGLSDGYGFTTDLFGRDLSYCLSGMYDHRYGDSEFTVQSTTGHCVHMRGLPYKA TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEEAVAAMSKDRANMQHRYIELF LNSTTGASNGAYSSQVMQGMGVSAAQATYSGLESQSVSGCYGAGYSGQNSMGGYD >ENSMUSP00000136436.1 pep:known chromosome:GRCm38:6:117916981:117924382:1 gene:ENSMUSG00000042079.13 transcript:ENSMUST00000179478.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpf description:heterogeneous nuclear ribonucleoprotein F [Source:MGI Symbol;Acc:MGI:2138741] MMLGPEGGEGYVVKLRGLPWSCSIEDVQNFLSDCTIHDGVAGVHFIYTREGRQSGEAFVE LESEDDVKLALKKDRESMGHRYIEVFKSHRTEMDWVLKHSGPNSADSANDGFVRLRGLPF GCTKEEIVQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHKERIGHRY IEVFKSSQEEVRSYSDPPLKFMSVQRPGP >ENSMUSP00000136162.1 pep:known chromosome:GRCm38:6:117917303:117924454:1 gene:ENSMUSG00000042079.13 transcript:ENSMUST00000177570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpf description:heterogeneous nuclear ribonucleoprotein F [Source:MGI Symbol;Acc:MGI:2138741] MMLGPEGGEGYVVKLRGLPWSCSIEDVQNFLSDCTIHDGVAGVHFIYTREGRQSGEAFVE LESEDDVKLALKKDRESMGHRYIEVFKSHRTEMDWVLKHSGPNSADSANDGFVRLRGLPF GCTKEEIVQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHKERIGHRY IEVFKSSQEEVRSYSDPPLKFMSVQRPGPYDRPGTARRYIGIVKQAGLDRMRS >ENSMUSP00000136700.1 pep:known chromosome:GRCm38:6:117923701:117925622:1 gene:ENSMUSG00000042079.13 transcript:ENSMUST00000180341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpf description:heterogeneous nuclear ribonucleoprotein F [Source:MGI Symbol;Acc:MGI:2138741] MMLGPEGGEGYVVKLRGLPWSCSIEDVQNFLSDCTIHDGVAGVHFIYTREGRQSGEAFVE LESEDDVKLALKKDRESMGHRYIEVFKSHRTEMDWVLKHSGPNSADSANDGFVRLRGLPF GCTKEEIVQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHKERIGHRY IEVFKSSQEEVRSYSDPPLKFMSVQRPGPYDRPGTARRYIGIVKQAGLDRMRSGAYSAGY GGYEEYSGLSDGYGFTTDLFGRDLSYCLSGMYDHRYGDSEFTVQSTTGHCVHMRGLPYKA TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEEAVAAMSKDRANMQHRYIELF LNSTTGASNGAYSSQVMQGMGVSAAQATYSGLESQSVSGCYGAGYSGQNSMGGYD >ENSMUSP00000126817.1 pep:known chromosome:GRCm38:6:117900340:117925621:1 gene:ENSMUSG00000042079.13 transcript:ENSMUST00000167182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpf description:heterogeneous nuclear ribonucleoprotein F [Source:MGI Symbol;Acc:MGI:2138741] MMLGPEGGEGYVVKLRGLPWSCSIEDVQNFLSDCTIHDGVAGVHFIYTREGRQSGEAFVE LESEDDVKLALKKDRESMGHRYIEVFKSHRTEMDWVLKHSGPNSADSANDGFVRLRGLPF GCTKEEIVQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHKERIGHRY IEVFKSSQEEVRSYSDPPLKFMSVQRPGPYDRPGTARRYIGIVKQAGLDRMRSGAYSAGY GGYEEYSGLSDGYGFTTDLFGRDLSYCLSGMYDHRYGDSEFTVQSTTGHCVHMRGLPYKA TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEEAVAAMSKDRANMQHRYIELF LNSTTGASNGAYSSQVMQGMGVSAAQATYSGLESQSVSGCYGAGYSGQNSMGGYD >ENSMUSP00000137632.1 pep:known chromosome:GRCm38:6:117917294:117925621:1 gene:ENSMUSG00000042079.13 transcript:ENSMUST00000180020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpf description:heterogeneous nuclear ribonucleoprotein F [Source:MGI Symbol;Acc:MGI:2138741] MMLGPEGGEGYVVKLRGLPWSCSIEDVQNFLSDCTIHDGVAGVHFIYTREGRQSGEAFVE LESEDDVKLALKKDRESMGHRYIEVFKSHRTEMDWVLKHSGPNSADSANDGFVRLRGLPF GCTKEEIVQFFSGLEIVPNGITLPVDPEGKITGEAFVQFASQELAEKALGKHKERIGHRY IEVFKSSQEEVRSYSDPPLKFMSVQRPGPYDRPGTARRYIGIVKQAGLDRMRSGAYSAGY GGYEEYSGLSDGYGFTTDLFGRDLSYCLSGMYDHRYGDSEFTVQSTTGHCVHMRGLPYKA TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEEAVAAMSKDRANMQHRYIELF LNSTTGASNGAYSSQVMQGMGVSAAQATYSGLESQSVSGCYGAGYSGQNSMGGYD >ENSMUSP00000136542.1 pep:known chromosome:GRCm38:X:37390848:37395621:1 gene:ENSMUSG00000094945.3 transcript:ENSMUST00000179915.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox4a2 description:reproductive homeobox 4A2 [Source:MGI Symbol;Acc:MGI:5434453] MEHQNTNYLLHEGLGKDKENLNGGKTQAVLPLDGEGRNEGESVLGQSGAAAVEGDKAEEL SGEGGPAAGDADLMDNSNQEDQDTSGSAQEEEKLPEEPVLKDAVVIDKVQPIPVLVSGVR PKSVWVQQRSLHYNFQWWQLQELERIFQQNHFIRAEERRHLARWIGVSEARVKRWFKKRR EHFRRGQSQLGMNDDASVGSHSTFL >ENSMUSP00000109975.3 pep:known chromosome:GRCm38:2:25262618:25269885:1 gene:ENSMUSG00000048707.9 transcript:ENSMUST00000114336.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprn description:taperin [Source:MGI Symbol;Acc:MGI:2139535] MAGLGRLDPGPRTVMPAWKREILERRRAKLAALSGGQGSGAAPDGPNERLVLAESLGPLS QNPFMRLESERRRGTRPAQQLLELYCRVPGVRTIRADNILIIESAPGFPPAVPPAAGIRA AEVVVYEAPQPGRVSRLLEKFDSPAAPCRRGSPERFRPALPQLPVASASAATRTPTNRSL APASPVRLSQPAPPISPVPVAQRAGQRSACCEPAHPDGTAGPGARRSDFLQKTGSNSFTV HPRGLPRSAVNRSLSNGPMTQESPTGPANGLSGSPPVPGKWKPKVESKEPSLHPPPSPGT PSATSVGPPAFPAPSPASATPSQRQWVSSATSANDSFEIRPSSKPDMETIPIGDLQARAL ANLRVNSRNSFVLIPKRKAPGNYPLAGRQFEEPKGEVGWASQSQGLGSQLVSTVDGAPAL EKSPLAAEMQWAVRKGACPRPAISDTDKCVRWQRPASPPPFLPATAEAEPAEGLGVPGLA KNGQEPVRPGLPVTFIDEVDSEEEAFQEAKLPSSAVGVPSQYHLHPARPGHTSELLNRGS NTFTVVPKRKPGTLQEPHLSQTNGQSQQGAEEQDAESLSGPHTTLENTLKKRYPTVHEIE VIGGYLALQKSCLIKAGSSRKKMKISFNDKSLHTTFEYPSESSLAQEEAEEEEEEEGEED GEEEEVGPDSEKPFTVFLPRATFVSSVGPESSSGLSSYTPKHSMAFSKWQEQTLVQTPTD VELPPKEVMLTPASQNDLSDFRSEPALYF >ENSMUSP00000116383.1 pep:known chromosome:GRCm38:X:58022700:58031448:1 gene:ENSMUSG00000067860.11 transcript:ENSMUST00000137687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic3 description:zinc finger protein of the cerebellum 3 [Source:MGI Symbol;Acc:MGI:106676] MTMLLDGGPQFPGLGVGSFGAPRHHEMPNREPAGMGLNPFGDSTHAAAAAAAAAAFKLSP ATAHDLSSGQSSAFTPQGSGYANALGHHH >ENSMUSP00000085999.4 pep:known chromosome:GRCm38:X:58030643:58036559:1 gene:ENSMUSG00000067860.11 transcript:ENSMUST00000088627.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic3 description:zinc finger protein of the cerebellum 3 [Source:MGI Symbol;Acc:MGI:106676] MTMLLDGGPQFPGLGVGSFGAPRHHEMPNREPAGMGLNPFGDSTHAAAAAAAAAAFKLSP ATAHDLSSGQSSAFTPQGSGYANALGHHHHHHHHHHASQVPTYGGAASAAFNSTRDFLFR QRGSGLSEAASGGGQHGLFAGSASSLHAPAGIPEPPSYLLFPGLHEQGAGHPSPTGHVDN NQVHLGLRGELFGRADPYRPVASPRTDPYAASAQFPNYSPMNMNMGVNVAAHHGPGAFFR YMRQPIKQELSCKWIEEAQLSRPKKSCDRTFSTMHELVTHVTMEHVGGPEQNNHVCYWEE CPREGKSFKAKYKLVNHIRVHTGEKPFPCPFPGCGKIFARSENLKIHKRTHTGEKPFKCE FEGCDRRFANSSDRKKHMHVHTSDKPYICKVCDKSYTHPSSLRKHMKVHESQGSDSSPAA SSGYESSTPPAIASANSKDTTKTPSAVQTSTSHNPGLPPNFNEWYV >ENSMUSP00000086003.4 pep:known chromosome:GRCm38:X:58030999:58036559:1 gene:ENSMUSG00000067860.11 transcript:ENSMUST00000088631.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic3 description:zinc finger protein of the cerebellum 3 [Source:MGI Symbol;Acc:MGI:106676] MNMNMGVNVAAHHGPGAFFRYMRQPIKQELSCKWIEEAQLSRPKKSCDRTFSTMHELVTH VTMEHVGGPEQNNHVCYWEECPREGKSFKAKYKLVNHIRVHTGEKPFPCPFPGCGKIFAR SENLKIHKRTHTGEKPFKCEFEGCDRRFANSSDRKKHMHVHTSDKPYICKVCDKSYTHPS SLRKHMKVHESQGSDSSPAASSGYESSTPPAIASANSKDTTKTPSAVQTSTSHNPGLPPN FNEWYV >ENSMUSP00000086001.3 pep:known chromosome:GRCm38:X:58031010:58041736:1 gene:ENSMUSG00000067860.11 transcript:ENSMUST00000088629.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic3 description:zinc finger protein of the cerebellum 3 [Source:MGI Symbol;Acc:MGI:106676] MTMLLDGGPQFPGLGVGSFGAPRHHEMPNREPAGMGLNPFGDSTHAAAAAAAAAAFKLSP ATAHDLSSGQSSAFTPQGSGYANALGHHHHHHHHHHASQVPTYGGAASAAFNSTRDFLFR QRGSGLSEAASGGGQHGLFAGSASSLHAPAGIPEPPSYLLFPGLHEQGAGHPSPTGHVDN NQVHLGLRGELFGRADPYRPVASPRTDPYAASAQFPNYSPMNMNMGVNVAAHHGPGAFFR YMRQPIKQELSCKWIEEAQLSRPKKSCDRTFSTMHELVTHVTMEHVGGPEQNNHVCYWEE CPREGKSFKAKYKLVNHIRVHTGEKPFPCPFPGCGKIFARSENLKIHKRTHTGEKPFKCE FEGCDRRFANSSDRKKHMHVHTSDKPYICKVCDKSYTHPSSLRKHMKCCPAWYLGQSLIP DEELDTDVGMQQPVLHNTSYPKCRVNAEPTVQEMIY >ENSMUSP00000110857.2 pep:known chromosome:GRCm38:X:37249919:37258983:1 gene:ENSMUSG00000071772.13 transcript:ENSMUST00000115203.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3a description:reproductive homeobox 3A [Source:MGI Symbol;Acc:MGI:2676626] MSMKPERSISNWIHSNVERAGRNLFQVNGHRSALLPELPQDYHRASRSVNGCETKMDSTQ GTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRNKEDGG TKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQWQLDELERIFRMNYFLS LEARKQLARWMGVNEAIVKRWFQKRREQYRWYKRL >ENSMUSP00000139384.1 pep:known chromosome:GRCm38:X:37255007:37258978:1 gene:ENSMUSG00000071772.13 transcript:ENSMUST00000185028.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3a description:reproductive homeobox 3A [Source:MGI Symbol;Acc:MGI:2676626] RASRSVNGCETKMDSTQGTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQ WQLDELERIFRMNYFLSLEARDGFRRGENNTGGIRGYKVSEVLLLLLRTSFLKTVEEPCS ATIAKAT >ENSMUSP00000139048.1 pep:known chromosome:GRCm38:X:37255007:37258980:1 gene:ENSMUSG00000071772.13 transcript:ENSMUST00000185050.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3a description:reproductive homeobox 3A [Source:MGI Symbol;Acc:MGI:2676626] RASRSVNGCETKMDSTQGTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGEMVSEEERTIQVV >ENSMUSP00000117220.1 pep:known chromosome:GRCm38:19:5877808:5884884:1 gene:ENSMUSG00000024818.14 transcript:ENSMUST00000145200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a45 description:solute carrier family 25, member 45 [Source:MGI Symbol;Acc:MGI:2147731] MSFPIASVALVNSVLFGVYSNTLLALTATSHQERRAQPPSYTNIFIAGCTGGLLQAYCLA PFDLIKVRLQNQTEPRMQISSSMPRYRGPVHCAASILREEGPQGLFRGSWALVLRDTPTL GMYFVTYEGLCRQYTPEGQNPSSATVLVAGGFAGIASWITATPFDVIKSRMQMDGLKGRK YGGMLDCMASSFRQE >ENSMUSP00000025732.7 pep:known chromosome:GRCm38:19:5877817:5885766:1 gene:ENSMUSG00000024818.14 transcript:ENSMUST00000025732.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a45 description:solute carrier family 25, member 45 [Source:MGI Symbol;Acc:MGI:2147731] MPVEEFVAGWISGAVGLVLGHPFDTVKVRLQTQSTYQGIVDCVVKTYRHESVLGFFKGMS FPIASVALVNSVLFGVYSNTLLALTATSHQERRAQPPSYTNIFIAGCTGGLLQAYCLAPF DLIKVRLQNQTEPRMQISSSMPRYRGPVHCAASILREEGPQGLFRGSWALVLRDTPTLGM YFVTYEGLCRQYTPEGQNPSSATVLVAGGFAGIASWITATPFDVIKSRMQMDGLKGRKYG GMLDCMASSFRQEGIGVFFKGMTLNSARAFPVNAATFLSYEYLLRLWR >ENSMUSP00000122076.1 pep:known chromosome:GRCm38:19:5877817:5885766:1 gene:ENSMUSG00000024818.14 transcript:ENSMUST00000125114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a45 description:solute carrier family 25, member 45 [Source:MGI Symbol;Acc:MGI:2147731] MPVEEFVAGWISGAVGLVLGHPFDTVKVRLQTQSTYQGIVDCVVKTYRHESVLGFFKGMS FPIASVALVNSVLFGVYSNTLLALTATSHQERRAQPPSYTNIFIAGCTGGLLQAYCLAPF DLIKVRLQNQTEPRMQISSSMPRYRGPVHCAASILREEGPQGLFRGSWALVLRDTPTLGM YFVTYEGLCRQYTPEGQNPSKWGEVGWGGGTA >ENSMUSP00000121596.1 pep:known chromosome:GRCm38:19:5877826:5885766:1 gene:ENSMUSG00000024818.14 transcript:ENSMUST00000155697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a45 description:solute carrier family 25, member 45 [Source:MGI Symbol;Acc:MGI:2147731] MPVEEFVAGWISGAVGLVLGHPFDTVKVRLQTQSTYQGIVDCVVKTYRHESVLGFFKGMS FPIASVALVNSVLFGVYSNTLLALTATSHQERRAQPPSYTNIFIAGCTGGLLQAYCLAPF DLIKVRLQNQTEPRMQISSSMPRYRGPVHCAASILREEGPQGLFRGSWALVLRDTPTLGM YFVTYEGLCRQYTPEGQNPSSATVLVAGGFAGIASWITATPFDVIKSRMQMDGLKGRKYG GMLDCMASSFRQEGIGVFFKGMTLNSARAFPVNAATFLSYEYLLRLWR >ENSMUSP00000121602.1 pep:known chromosome:GRCm38:19:5878628:5880596:1 gene:ENSMUSG00000024818.14 transcript:ENSMUST00000136833.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a45 description:solute carrier family 25, member 45 [Source:MGI Symbol;Acc:MGI:2147731] MPVEEFVAGWISGAVGLVLGHPFDTVKVRLQTQSTYQGIVDCVVKTYRHESVGSPLVGGK ESSGQVLGFFKGMSFPIASVALVNSVLFGVYSNTLLALTATSHQERRAQPPSYTNIF >ENSMUSP00000114648.1 pep:known chromosome:GRCm38:19:5878656:5884485:1 gene:ENSMUSG00000024818.14 transcript:ENSMUST00000141362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a45 description:solute carrier family 25, member 45 [Source:MGI Symbol;Acc:MGI:2147731] XPVEEFVAGWISGAVGLVLGHPFDTVKVRLQTQSTYQGIVDCVVKTYRHESVGPGFLQGN EFPHRQCSPGQLRPVRSVQQHAPGANSHLPSGATGPATQLHKHLYSRLYWGPPAGLLPGS F >ENSMUSP00000140371.1 pep:known chromosome:GRCm38:14:55053884:55097525:1 gene:ENSMUSG00000045691.13 transcript:ENSMUST00000183822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thtpa description:thiamine triphosphatase [Source:MGI Symbol;Acc:MGI:2446078] MTTSEEAKFPQEKRKQEVFSQHGVPAQEEAPAKLMVYLQRFRPLDYQRLLEAASSGEATG DSAS >ENSMUSP00000139934.1 pep:known chromosome:GRCm38:14:55055493:55098986:1 gene:ENSMUSG00000045691.13 transcript:ENSMUST00000185121.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thtpa description:thiamine triphosphatase [Source:MGI Symbol;Acc:MGI:2446078] MLDVKGGVPAQEEAPAKLMVYLQRFRPLDYQRLLEAASSGEATGDSAS >ENSMUSP00000056026.7 pep:known chromosome:GRCm38:14:55094784:55098986:1 gene:ENSMUSG00000045691.13 transcript:ENSMUST00000050575.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thtpa description:thiamine triphosphatase [Source:MGI Symbol;Acc:MGI:2446078] MAQGLIEVERKFAPGPDTEERLQELGATLEHRVTFRDTYYDTSELSLMLSDHWLRQREGS GWELKCPGVTGVSGPHNEYVEVTSEAAIVAQLFELLGSGEQKPAGVAAVLGSLKLQEVAS FITTRSSWKLALSGAHGQEPQLTIDLDSADFGYAVGEVEAMVHEKAEVPAALEKIITVSS MLGVPAQEEAPAKLMVYLQRFRPLDYQRLLEAASSGEATGDSAS >ENSMUSP00000030103.8 pep:known chromosome:GRCm38:4:75277354:75278305:-1 gene:ENSMUSG00000028398.8 transcript:ENSMUST00000030103.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem261 description:transmembrane protein 261 [Source:MGI Symbol;Acc:MGI:1914178] MGSSFSGSTEFSAPAPPTVSTAVPANPPAKSAVPASPARDPELKTCWSCRVLSGSTLFGA GTYVYLVARRPLKQGIPPGPGTVLQMVIGISIACWGVVVLVDPKGKSHPVI >ENSMUSP00000051263.6 pep:known chromosome:GRCm38:7:118740226:118842966:1 gene:ENSMUSG00000030982.18 transcript:ENSMUST00000059390.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030624J02Rik description:RIKEN cDNA 9030624J02 gene [Source:MGI Symbol;Acc:MGI:1918767] MASGAGPSFPGCEKRGWTRKTGDHVTGKMAVFPWHSRNRNYKAELASCRLETVPLECGDY HPLKPITVTESKTKKVSRKGSTSSTSSSSSSSVIDPLSSVLDGTDPLSMFAATSDPAATG TVTDSSRKKRDKDENSFVGPDFEPWANKRVEILARYTTTEKLSINLFMGSEKGRGGAAAS AMSEKVRTRLEELDDFEEGSQKELLNLTQQDYVNRIEELNQSLKDAWASDQKVKALKIVI QCSKLLSDTSVIQFYPSKFVLITDILDTFGKLVYERISSMCVDSRSALPDHFSPENVNDT AKETCLNWFFKIASIRELIPRFYVEASILKCNKFLSKTGISECLPRLTCMIRGIGDPLVS VYARAYLCRVGIEVAPHLKESLNKNFFDFLLTFKQIHGDTVQNQLVAQGVELLSYLPLYS PAMGWIFQCVSYHAPEALLTEMMERCKKLGNNALLLNSVMSAFRAEFVATRSMDFIGMIK ECDESGFPKHLLFRSLGLNLALADPPENDRLQILNEAWKVITKLKSPQDYINCAEVWVEY TCRHFTKREVNTVLADVIKHMTPDRAFEDSYPQLQSIIQKVIAHFHDFSVLFSVEKFLPF LDMFQKESVRVEVCKCIMEAFIKHQQEPTKDPVILNALLHICKTMHDSVNALTLEDEKRT LAHLINGFIKMVSFGRDFEQQLSFYVESRSMFCNLEPVLVQLIHSVNRLAMETRKVMKGN HSRKTAAFVRACVAYCFITIPSLVGIFTRLNLYLHSGQVALANQCLSQADAFFKAAIGLV PEVPKTISIDGKLRPSEPFLLEFLCNFFSTLLIVPDHPEHGVLFLVRELLNVIQDYTWED SSDDKIRIYTSVLHLLSAMSQDTYLYHIDKVDSNDSLYGGDSKFLAENSKLCEAVMAQIL EHLKTLAKDEALKRQSLLGLSFFNSILAHGDLRNNKLNQLSVNLWHLAQRHGCADTRTMV KTLDYIKKRSKQPDMNHLSELALRLPLQTRT >ENSMUSP00000134956.1 pep:known chromosome:GRCm38:7:118740747:118762146:1 gene:ENSMUSG00000030982.18 transcript:ENSMUST00000175922.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030624J02Rik description:RIKEN cDNA 9030624J02 gene [Source:MGI Symbol;Acc:MGI:1918767] VTGKMAVFPWNYKAELASCRLETVPLECGDYHPLKPITVTESKTKKVSRKGSTSSTSSSS SSSVIDPLSSVLDGTDPLSMFAATSDPAATGTVTDSSRKKRDKDENSFVGPDFEPWANKR VEILARYTTTEKLSINLFMGSEKGRGGAAASAMSEKVRTRLEELDDFEEGSQKELLNLTQ QDYVNRIEELNQSLKDAWASDQKVKALKIVIQCSKLLSDTSVIQFYPSKFVLITDILDTF GNVPS >ENSMUSP00000102162.1 pep:known chromosome:GRCm38:7:118740747:118841479:1 gene:ENSMUSG00000030982.18 transcript:ENSMUST00000106552.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030624J02Rik description:RIKEN cDNA 9030624J02 gene [Source:MGI Symbol;Acc:MGI:1918767] VTGKMAVFPWHSRNRNYKAELASCRLETVPLECGDYHPLKPITVTESKTKKVSRKGSTSS TSSSSSSSVIDPLSSVLDGTDPLSMFAATSDPAATGTVTDSSRKKRDKDENSFVGPDFEP WANKRVEILARYTTTEKLSINLFMGSEKGRGGAAASAMSEKVRTRLEELDDFEEGSQKEL LNLTQQDYVNRIEELNQSLKDAWASDQKVKALKIVIQCSKLLSDTSVIQFYPSKFVLITD ILDTFGKLVYERISSMCVDSRSALPDHFSPENVNDTAKETCLNWFFKIASIRELIPRFYV EASILKCNKFLSKTGISECLPRLTCMIRGIGDPLVSVYARAYLCRALLTEMMERCKKLGN NALLLNSVMSAFRAEFVATRSMDFIGMIKECDESGFPKHLLFRSLGLNLALADPPENDRL QILNEAWKVITKLKSPQDYINCAEVWVEYTCRHFTKREVNTVLADVIKHMTPDRAFEDSY PQLQSIIQKVIAHFHDFSVLFSVEKFLPFLDMFQKESVRVEVCKCIMEAFIKHQQEPTKD PVILNALLHICKTMHDSVNALTLEDEKRTLAHLINGFIKMVSFGRDFEQQLSFYVESRSM FCNLEPVLVQLIHACVAYCFITIPSLVGIFTRLNLYLHSGQVALANQCLSQADAFFKAAI GLVPEVPKTISIDGKLRPSEPFLLEFLCNFFSTLLIVPDHPEHGVLFLVRELLNVIQDYT WEDSSDDKIRIYTSVLHLLSAMSQDTYLYHIDKVDSNDSLYGGDSKFLAENSKLCEAVMA QILEHLKTLAKDEALKRQSLLGLSFFNSILAHGDLRNNKLNQLSVNLWHLAQRHGCADTR TMVKTLDYIKKRSKQPDMNHLSELALRLPLQTRT >ENSMUSP00000102163.1 pep:known chromosome:GRCm38:7:118740747:118841489:1 gene:ENSMUSG00000030982.18 transcript:ENSMUST00000106553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030624J02Rik description:RIKEN cDNA 9030624J02 gene [Source:MGI Symbol;Acc:MGI:1918767] VTGKMAVFPWHSRNRNYKAELASCRLETVPLECGDYHPLKPITVTESKTKKVSRKGSTSS TSSSSSSSVIDPLSSVLDGTDPLSMFAATSDPAATGTVTDSSRKKRDKDENSFVGPDFEP WANKRVEILARYTTTEKLSINLFMGSEKGRGGAAASAMSEKVRTRLEELDDFEEGSQKEL LNLTQQDYVNRIEELNQSLKDAWASDQKVKALKIVIQCSKLLSDTSVIQFYPSKFVLITD ILDTFGKLVYERISSMCVDSRSALPDHFSPENVNDTAKETCLNWFFKIASIRELIPRFYV EASILKCNKFLSKTGISECLPRLTCMIRGIGDPLVSVYARAYLCRVGIEVAPHLKESLNK NFFDFLLTFKQIHGDTVQNQLVAQGVELLSYLPLYSPAMGWIFQCVSYHAPEALLTEMME RCKKLGNNALLLNSVMSAFRAEFVATRSMDFIGMIKECDESGFPKHLLFRSLGLNLALAD PPENDRLQILNEAWKVITKLKSPQDYINCAEVWVEYTCRHFTKREVNTVLADVIKHMTPD RAFEDSYPQLQSIIQKVIAHFHDFSVLFSVEKFLPFLDMFQKESVRVEVCKCIMEAFINA LTLEDEKRTLAHLINGFIKMVSFGRDFEQQLSFYVESRSMFCNLEPVLVQLIHSVNRLAM ETRKVMKGNHSRKTAAFVRACVAYCFITIPSLVGIFTRLNLYLHSGQVALANQCLSQADA FFKAAIGLVPEVPKTISIDGKLRPSEPFLLEFLCNFFSTLLIVPDHPEHGVLFLVRELLN VIQDYTWEDSSDDKIRIYTSVLHLLSAMSQDTYLYHIDKVDSNDSLYGGDSKFLAENSKL CEAVMAQILEHLKTLAKDEALKRQSLLGLSFFNSILAHGDLRNNKLNQLSVNLWHLAQRH GCADTRTMVKTLDYIKKRSKQPDMNHLSELALRLPLQTRT >ENSMUSP00000033280.7 pep:known chromosome:GRCm38:7:118764124:118841487:1 gene:ENSMUSG00000030982.18 transcript:ENSMUST00000033280.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030624J02Rik description:RIKEN cDNA 9030624J02 gene [Source:MGI Symbol;Acc:MGI:1918767] MLLAGHGKLVYERISSMCVDSRSALPDHFSPENVNDTAKETCLNWFFKIASIRELIPRFY VEASILKCNKFLSKTGISECLPRLTCMIRGIGDPLVSVYARAYLCRVGIEVAPHLKESLN KNFFDFLLTFKQIHGDTVQNQLVAQGVELLSYLPLYSPAMGWIFQCVSYHAPEALLTEMM ERCKKLGNNALLLNSVMSAFRAEFVATRSMDFIGMIKECDESGFPKHLLFRSLGLNLALA DPPENDRLQILNEAWKVITKLKSPQDYINCAEVWVEYTCRHFTKREVNTVLADVIKHMTP DRAFEDSYPQLQSIIQKVIAHFHDFSVLFSVEKFLPFLDMFQKESVRVEVCKCIMEAFIK HQQEPTKDPVILNALLHICKTMHDSVNALTLEDEKRTLAHLINGFIKMVSFGRDFEQQLS FYVESRSMFCNLEPVLVQLIHSVNRLAMETRKVMKGNHSRKTAAFVRACVAYCFITIPSL VGIFTRLNLYLHSGQVALANQCLSQADAFFKAAIGLVPEVPKTISIDGKLRPSEPFLLEF LCNFFSTLLIVPDHPEHGVLFLVRELLNVIQDYTWEDSSDDKIRIYTSVLHLLSAMSQDT YLYHIDKVDSNDSLYGGDSKFLAENSKLCEAVMAQILEHLKTLAKDEALKRQSLLGLSFF NSILAHGDLRNNKLNQLSVNLWHLAQRHGCADTRTMVKTLDYIKKRSKQPDMNHLSELAL RLPLQTRT >ENSMUSP00000121323.2 pep:known chromosome:GRCm38:7:118794577:118826204:1 gene:ENSMUSG00000030982.18 transcript:ENSMUST00000149749.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030624J02Rik description:RIKEN cDNA 9030624J02 gene [Source:MGI Symbol;Acc:MGI:1918767] XTCRHFTKREVNTVLADVIKHMTPDRAFEDSYPQLQSIIQKVIAHFHDFSVLFSVEKFLP FLDMFQKESVRVEVCKCIMEAFIKHQQEPTKDPVILNALLHICKTMHDSVNALTLEDEKR TLAHLINGFIKMSVNRLAMETRKVMKGNHSRKTAAFVRACVAYCFITIPSLVGIFTRLNL YLHSGQVALANQCLSQADAFFKA >ENSMUSP00000051118.6 pep:known chromosome:GRCm38:4:154960923:154962371:1 gene:ENSMUSG00000048001.7 transcript:ENSMUST00000049621.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hes5 description:hairy and enhancer of split 5 (Drosophila) [Source:MGI Symbol;Acc:MGI:104876] MAPSTVAVEMLSPKEKNRLRKPVVEKMRRDRINSSIEQLKLLLEQEFARHQPNSKLEKAD ILEMAVSYLKHSKAFAAAAGPKSLHQDYSEGYSWCLQEAVQFLTLHAASDTQMKLLYHFQ RPPAPAAPAKEPPAPGAAPQPARSSAKAAAAAVSTSRQPACGLWRPW >ENSMUSP00000077693.4 pep:known chromosome:GRCm38:11:77718627:77725283:-1 gene:ENSMUSG00000000724.10 transcript:ENSMUST00000078623.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryba1 description:crystallin, beta A1 [Source:MGI Symbol;Acc:MGI:88518] METQTVQRELETLPTTKMAQTNPMPGSLGPWKITIYDQENFQGKRMEFTSSCPNVSERNF DNVRSLKVECGAWIGYEHTSFCGQQFILERGEYPRWDAWSGSNAYHIERLMSFRPICSAN HKESKITIFEKENFIGRQWEICDDYPSLQAMGWFNNEVGSMKIQCGAWVCYQYPGYRGYQ YILECDHHGGDYKHWPEWGSHAQTSQIQSIRRIQQ >ENSMUSP00000103716.2 pep:known chromosome:GRCm38:11:84832361:84870789:-1 gene:ENSMUSG00000020530.14 transcript:ENSMUST00000108081.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp2 description:gametogenetin binding protein 2 [Source:MGI Symbol;Acc:MGI:2387356] MARLVAVCRDGEEEFPFERRQIPLYIDDTLTMVMEFPDNVLNLDGHQNNGAQLKQFIQRH SMLKQQDLSIAMVVTSREVLSALSQLVPCVGCRRSVERLFSQLVESGNPALEPLTVGPKG VLSLTRSCMTDAKKLYTLFYVHGSKLNDMIDAIPKSKKNKRCQLHSLDTHKPKPLGGCWM DVWELMSQECRDEVVLIDSSCLLETLETYLRKHRFCTDCKNKVLRAYNILIGELDCSKEK GYCAALYEGLRCCPHERHIHVCCETDFIAHLLGRAEPEFAGGYERRERHAKTIDIAQEEV LTCLGIHLYERLHRIWQKLRAEEQTWQMLFYLGVDALRKSFEMTVEKVQGISRLEQLCEE FSEEERVRELKQEKKRQKRKNRRKNKCVCDTPASLHTADEKAVSREKETDFIENSCNACG SAEDGETCVEVMVTSENTSCTCPSSGNLLGSPKIKKGMSPHCNGSDCGYSSSMEGSETGS REGSDVACTEGICNHDEHGEDSCVHHCEDKEDDGDSCVECWANSEENNIKGKNKKKKKKS KMLKCDEHIQKLGSCITDPGNRETSGNTMHTVFHRDKTKDAHPESCCSTEKGGQPLPWFE HRKSVPQFTEPTEMSFGPDSGKGAKSLVELLDESECTSDEEIFISQDEIQSFMANNQSFY SNREQYRQHLKEKFNKYCRLNDHKRPVCSGWLTTAGAN >ENSMUSP00000130013.1 pep:known chromosome:GRCm38:11:84832361:84870817:-1 gene:ENSMUSG00000020530.14 transcript:ENSMUST00000168434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp2 description:gametogenetin binding protein 2 [Source:MGI Symbol;Acc:MGI:2387356] MARLVAVCRDGEEEFPFERRQIPLYIDDTLTMVMEFPDNVLNLDGHQNNGAQLKQFIQRH SMLKQQDLSIAMVVTSREVLSALSQLVPCVGCRRSVERLFSQLVESGNPALEPLTVGPKG VLSLTRSCMTDAKKLYTLFYVHGSKLNDMIDAIPKSKKNKRCQLHSLDTHKPKPLGGCWM DVWELMSQECRDEVVLIDSSCLLETLETYLRKHRFCTDCKNKVLRAYNILIGELDCSKEK GYCAALYEGLRCCPHERHIHVCCETDFIAHLLGRAEPEFAGGRRERHAKTIDIAQEEVLT CLGIHLYERLHRIWQKLRAEEQTWQMLFYLGVDALRKSFEMTVEKVQGISRLEQLCEEFS EEERVRELKQEKKRQKRKNRRKNKCVCDTPASLHTADEKAVSREKETDFIENSCNACGSA EDGETCVEVMVTSENTSCTCPSSGNLLGSPKIKKGMSPHCNGSDCGYSSSMEGSETGSRE GSDVACTEGICNHDEHGEDSCVHHCEDKEDDGDSCVECWANSEENNIKGKNKKKKKKSKM LKCDEHIQKLGSCITDPGNRETSGNTMHTVFHRDKTKDAHPESCCSTEKGGQPLPWFEHR KSVPQFTEPTEMSFGPDSGKGAKSLVELLDESECTSDEEIFISQDEIQSFMANNQSFYSN REQYRQHLKEKFNKYCRLNDHKRPVCSGWLTTAGAN >ENSMUSP00000117482.2 pep:known chromosome:GRCm38:11:84832806:84870202:-1 gene:ENSMUSG00000020530.14 transcript:ENSMUST00000154915.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp2 description:gametogenetin binding protein 2 [Source:MGI Symbol;Acc:MGI:2387356] MARLVAVCRDGEEEFPFERRQIPLYIDDTLTMVMEFPDNVLNLDGHQNNGAQLKQFIQRH SMLKQQDLSIAMVVTSREVLSALSQLVPCVGCRRSVERLFSQLVESGNPALEPLTVGPKG VLSLTRSCMTDAKKLYTLFYVHGSKLNDMIDAIPKSKKNKRCQLHSLDTHKPKPLGGCWM DVWELMSQECRDEVVLIDSSCLLETLETYLRKHRFCTDCKNKVLRAYNILIGELDCSKEK GYCAALYEGLRCCPHERHIHVCCETDFIAHLLGRAEPEFAGGRRERHAKTIDIAQEEVLT CLGIHLYERLHRIWQKLRAEEQTWQMLFYLGVDALRKSFEMTVEKVQGISRLEQLCEEFS EEERVRELKQEKKRQKRKNRRKNKCVCDTPASLHTADEKAVSREKETDFIENSCNACGSA EDGETCVEVMVTSENTSCTCPSSGNLLGSPKIKKGMSPHCNGSDCGYSSSMEGSETGSRE GSDVACTEGICNHDEHGEDSCVHHCEDKEDDGDSCVECWANSEENNIKGKNKKKKKKSKM LKCDEHIQKLGSCITDPGNRETSGNTMHTVFHRDKTKDAHPESCCSTEKGGQPLPWFEHR KSVPQFTEPTEMSFGPDSGKGAKSLVELLDESECTSDEEIFISQDEIQSFMANNQSFYSN REQYRQHLKEKFNKYCRLNDHKRPVCSGWLTTAGAN >ENSMUSP00000018547.2 pep:known chromosome:GRCm38:11:84832861:84870646:-1 gene:ENSMUSG00000020530.14 transcript:ENSMUST00000018547.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp2 description:gametogenetin binding protein 2 [Source:MGI Symbol;Acc:MGI:2387356] MARLVAVCRDGEEEFPFERRQIPLYIDDTLTMVMEFPDNVLNLDGHQNNGAQLKQFIQRH SMLKQQDLSIAMVVTSREVLSALSQLVPCVGCRRSVERLFSQLVESGNPALEPLTVGPKG VLSLTRSCMTDAKKLYTLFYVHGSKLNDMIDAIPKSKKNKRCQLHSLDTHKPKPLGEGSS SSVSSEKLSTDKRSSEDHRKDSKCRIIFHYGPFQGTARGCWMDVWELMSQECRDEVVLID SSCLLETLETYLRKHRFCTDCKNKVLRAYNILIGELDCSKEKGYCAALYEGLRCCPHERH IHVCCETDFIAHLLGRAEPEFAGGRRERHAKTIDIAQEEVLTCLGIHLYERLHRIWQKLR AEEQTWQMLFYLGVDALRKSFEMTVEKVQGISRLEQLCEEFSEEERVRELKQEKKRQKRK NRRKNKCVCDTPASLHTADEKAVSREKETDFIENSCNACGSAEDGETCVEVMVTSENTSC TCPSSGNLLGSPKIKKGMSPHCNGSDCGYSSSMEGSETGSREGSDVACTEGICNHDEHGE DSCVHHCEDKEDDGDSCVECWANSEENNIKGKNKKKKKKSKMLKCDEHIQKLGSCITDPG NRETSGNTMHTVFHRDKTKDAHPESCCSTEKGGQPLPWFEHRKSVPQFTEPTEMSFGPDS GKGAKSLVELLDESECTSDEEIFISQDEIQSFMANNQSFYSNREQYRQHLKEKFNKYCRL NDHKRPVCSGWLTTAGAN >ENSMUSP00000098252.3 pep:known chromosome:GRCm38:11:84832972:84870740:-1 gene:ENSMUSG00000020530.14 transcript:ENSMUST00000100686.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp2 description:gametogenetin binding protein 2 [Source:MGI Symbol;Acc:MGI:2387356] MARLVAVCRDGEEEFPFERRQIPLYIDDTLTMVMEFPDNVLNLDGHQNNGAQLKQFIQRH SMLKQQDLSIAMVVTSREVLSALSQLVPCVGCRRSVERLFSQLVESGNPALEPLTVGPKG VLSLTRSCMTDAKKLYTLFYVHGSKLNDMIDAIPKSKKNKRCQLHSLDTHKPKPLGGCWM DVWELMSQECRDEVVLIDSSCLLETLETYLRKHRFCTDCKNKVLRAYNILIGELDCSKEK GYCAALYEGLRCCPHERHIHVCCETDFIAHLLGRAEPEFAGGRRERHAKTIDIAQEEVLT CLGIHLYERLHRIWQKLRAEEQTWQMLFYLGVDALRKSFEMTVEKVQGISRLEQLCEEFS EEERVRELKQEKKRQKRKNRRKNKCETDFIENSCNACGSAEDGETCVEVMVTSENTSCTC PSSGNLLGSPKIKKGMSPHCNGSDCGYSSSMEGSETGSREGSDVACTEGICNHDEHGEDS CVHHCEDKEDDGDSCVECWANSEENNIKGKNKKKKKKSKMLKCDEHIQKLGSCITDPGNR ETSGNTMHTVFHRDKTKDAHPESCCSTEKGGQPLPWFEHRKSVPQFTEPTEMSFGPDSGK GAKSLVELLDESECTSDEEIFISQDEIQSFMANNQSFYSNREQYRQHLKEKFNKYCRLND HKRPVCSGWLTTAGAN >ENSMUSP00000129898.1 pep:known chromosome:GRCm38:11:84834136:84836468:-1 gene:ENSMUSG00000020530.14 transcript:ENSMUST00000132098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp2 description:gametogenetin binding protein 2 [Source:MGI Symbol;Acc:MGI:2387356] XDSCVECWANSEENNIKGKNKKKKKKSKMLKCDEHIQKLGSCITDPGNRETSGNTMHTVF HRDKTKDAHPESCCSTEKGGQPLPWFEHRKSVPQFTEPTEMSFGPDSGKGAKSLVELLVS SS >ENSMUSP00000128109.1 pep:known chromosome:GRCm38:11:84834512:84870725:-1 gene:ENSMUSG00000020530.14 transcript:ENSMUST00000170741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp2 description:gametogenetin binding protein 2 [Source:MGI Symbol;Acc:MGI:2387356] MVTSENTSCTCPSSGNLLGSPKIKKGMSPHCNGSDCGYSSSMEGSETGSREGSDVACTEG ICNHDEHGEDSCVHHCEDKEDDGDSCVECWANSEENNIKGKNKKKKKKSKMLKCDEHIQK LGSCITDPGNRETSGNTMHTV >ENSMUSP00000129794.1 pep:known chromosome:GRCm38:11:84860719:84870491:-1 gene:ENSMUSG00000020530.14 transcript:ENSMUST00000166425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp2 description:gametogenetin binding protein 2 [Source:MGI Symbol;Acc:MGI:2387356] MARLVAVCRDGEEEFPFERRQIPLYIDDTLTMVMEFPDNVLNLDGHQNNGAQLKQFIQRH SMLKQQDLSIAMVVTSREVLSALS >ENSMUSP00000127584.1 pep:known chromosome:GRCm38:11:84832361:84870738:-1 gene:ENSMUSG00000020530.14 transcript:ENSMUST00000172405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp2 description:gametogenetin binding protein 2 [Source:MGI Symbol;Acc:MGI:2387356] MARLVAVCRDGEEEFPFERRQIPLYIDDTLTMVMEFPDNVLNLDGHQNNGAQLKQFIQRH SMLKQQDLSIAMVVTSREVLSALSQLVPCVGCRRSVERLFSQLVESGNPALEPLTVGPKG VLSLTRSCMTDAKKLYTLFYVHGSKLNDMIDAIPKSKKNKRCQLHSLDTHKPKPLGGCWM DVWELMSQECRDEVVLIDSSCLLETLETYLRKHRFCTDCKNKVLRAYNILIGELDCSKEK GYCAALYEGLRCCPHERHIHVCCETDFIAHLLGRAEPEFAGGYERRERHAKTIDIAQEEV LTCLGIHLYERLHRIWQKLRAEEQTWQMLFYLGVDALRKSFEMTVEKVQGISRLEQLCEE FSEEERVRELKQEKKRQKRKNRRKNKCVCDTPASLHTADEKAVSREKETDFIENSCNACG SAEDGETCVEVMVTSENTSCTCPSSGNLLGSPKIKKGMSPHCNGSDCGYSSSMEGSETGS REGSDVACTEGICNHDEHGEDSCVHHCEDKEDDGDSCVECWANSEENNIKGKNKKKKKKS KMLKCDEHIQKLGSCITDPGNRETSGNTMHTVFHRDKTKDAHPESCCSTEKGGQPLPWFE HRKSVPQFTEPTEMSFGPDSGKGAKSLVELLDESECTSDEEIFISQDEIQSFMANNQSFY SNREQYRQHLKEKFNKYCRLNDHKRPVCSGWLTTAGAN >ENSMUSP00000110845.3 pep:known chromosome:GRCm38:X:37412067:37416806:1 gene:ENSMUSG00000079638.8 transcript:ENSMUST00000115191.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2b description:reproductive homeobox 2B [Source:MGI Symbol;Acc:MGI:3770262] MERQSVNYKLDVGPEEDEENANGIKTLMVLLAGEGRNEGESGPGLPGSGASAAEGYRAGE ISAGGPAAPVADLMDNSNQEDLGATGCDQEKEKQPEEPVPDSMGDLENVKHVSGPWSTVN PVRVLVPKFRHGWQQSFNVLQLQELESIFQCNHYISTKEANRLARSMGVSEATVQEWFLK RREKYRSYKRL >ENSMUSP00000099434.4 pep:known chromosome:GRCm38:2:154559407:154569892:-1 gene:ENSMUSG00000027490.17 transcript:ENSMUST00000103145.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f1 description:E2F transcription factor 1 [Source:MGI Symbol;Acc:MGI:101941] MAVAPAGGQHAPALEALLGAGALRLLDSSQIVIISTAPDVGAPQLPAAPPTGPRDSDVLL FATPQAPRPAPSAPRPALGRPPVKRRLDLETDHQYLAGSSGPFRGRGRHPGKGVKSPGEK SRYETSLNLTTKRFLELLSRSADGVVDLNWAAEVLKVQKRRIYDITNVLEGIQLIAKKSK NHIQWLGSHTMVGIGKRLEGLTQDLQQLQESEQQLDHLMHICTTQLQLLSEDSDTQRLAY VTCQDLRSIADPAEQMVIVIKAPPETQLQAVDSSETFQISLKSKQGPIDVFLCPEESADG ISPGKTSCQETSSGEDRTADSGPAGPPPSPPSTSPALDPSQSLLGLEQEAVLPRMGHLRV PMEEDQLSPLVAADSLLEHVKEDFSGLLPGEFISLSPPHEALDYHFGLEEGEGIRDLFDC DFGDLTPLDF >ENSMUSP00000000894.5 pep:known chromosome:GRCm38:2:154559634:154569720:-1 gene:ENSMUSG00000027490.17 transcript:ENSMUST00000000894.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f1 description:E2F transcription factor 1 [Source:MGI Symbol;Acc:MGI:101941] MGKCFTQLQMVGLIFELMSAGLLQNLWLGSAHSCLLEVKRRLDLETDHQYLAGSSGPFRG RGRHPGKGVKSPGEKSRYETSLNLTTKRFLELLSRSADGVVDLNWAAEVLKVQKRRIYDI TNVLEGIQLIAKKSKNHIQWLGSHTMVGIGKRLEGLTQDLQQLQESEQQLDHLMHICTTQ LQLLSEDSDTQRLAYVTCQDLRSIADPAEQMVIVIKAPPETQLQAVDSSETFQISLKSKQ GPIDVFLCPEESADGISPGKTSCQETSSGEDRTADSGPAGPPPSPPSTSPALDPSQSLLG LEQEAVLPRMGHLRVPMEEDQLSPLVAADSLLEHVKEDFSGLLPGEFISLSPPHEALDYH FGLEEGEGIRDLFDCDFGDLTPLDF >ENSMUSP00000036246.5 pep:known chromosome:GRCm38:15:101563345:101573904:-1 gene:ENSMUSG00000022986.5 transcript:ENSMUST00000042957.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt75 description:keratin 75 [Source:MGI Symbol;Acc:MGI:1923500] MSRQSTITFHSGSRRGFSTASATTPTAGRSRFSSVSVARSSGNSGGLGRISGIGSGFGSR SLYNLGGTRRVSIGGCAGSGFRGGFGGRTSSGFGGSSGFAYGGGIGGGFGGPGFSVCPSG GIQEVTVNQSLLTPLNLQIDPTIQRVRKEEREQIKTLNNKFASFIDKVRFLEQQNKVLET KWNLLQEQGSRTVRQNLEPFFDTYVNDLRRQLDGITAERGRLDAELRNMQEVVEDFKVRY EDEINKRAAAENEFVGLKKDVDSAYMNKVELEAKVDSLTDQINFYRMIYEAELSQMQNQV SDTSVVLSMDNNRSLDLDSIIAEVKAQYEDIANRSRAEAESWYQTKYEELQVTAGRHGDD LRNTKQEISEMNRMIQRLRSEIDAVKKQCSSLQTAISDAEQRGELALKDARAKLMELEDA LQKAKQDMARLLREYQELMNVKLALDVEIATYRKLLEGEECRLSGEGVSPVNISVVTSTV SSGYGGGANIGGGSLGLGGNSGYSFTTSGGHSLGTGLGGSGFTTTSSRGPVGSGSSIKFV SSTSSRKSYKH >ENSMUSP00000110829.3 pep:known chromosome:GRCm38:X:37514359:37519176:1 gene:ENSMUSG00000079633.3 transcript:ENSMUST00000115175.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox4d description:reproductive homeobox 4D [Source:MGI Symbol;Acc:MGI:3613388] MEHQNTNYLLHEGLGKDKENLNGGKTQTVLPLDGEGRNEGESVLGQSGAAAVEWDKAEEL SGEGGPAAGDADLMDNSNQEDQDTSGSAQEEEKLPEEPVLRDAVVIDKVQPIPVLVSGVR PKSVWVQQRSLHYNFQWWQLQELERIFQQNHFIRAEERRHLARWIGVSEARVMRWFKKRR EHFRRGQSQLGMNDDAPVGSHSTFL >ENSMUSP00000029013.3 pep:known chromosome:GRCm38:2:173000117:173015739:1 gene:ENSMUSG00000027509.11 transcript:ENSMUST00000029013.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rae1 description:RAE1 RNA export 1 homolog (S. pombe) [Source:MGI Symbol;Acc:MGI:1913929] MSLFGSTSGFGTGGTSMFGSTTTDNHNPMKDIEVTSSPDDSIGCLSFSPPTLPGNFLIAG SWANDVRCWEVQDSGQTIPKAQQMHTGPVLDVCWSDDGSKVFTASCDKTAKMWDLNSNQA IQIAQHDAPVKTIHWIKAPNYSCVMTGSWDKTLKFWDTRSSNPMMVLQLPERCYCADVIY PMAVVATAERGLIVYQLENQPSEFRRIESPLKHQHRCVAIFKDKQNKPTGFALGSIEGRV AIHYINPPNPAKDNFTFKCHRSNGTNTSAPQDIYAVNGIAFHPVHGTLATVGSDGRFSFW DKDARTKLKTSEQLDQPIAACCFNHNGNIFAYASSYDWSKGHEFYNPQKKNYIFLRNAAE ELKPRNKK >ENSMUSP00000121815.1 pep:known chromosome:GRCm38:2:173000124:173009445:1 gene:ENSMUSG00000027509.11 transcript:ENSMUST00000132212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rae1 description:RAE1 RNA export 1 homolog (S. pombe) [Source:MGI Symbol;Acc:MGI:1913929] MSLFGSTSGFGTGGTSMFGSTTTDNHNPMKDIEVTSSPDDSIGCLSFSPPTLPGNFLIAG SWANDVRCWEVQDSGQTIPKAQQMHTGPVLDVCWSDDGSKVFTASCDKTAKMWDLNSNQA IQIAQHDAPVKTIHWIKAPNYSCVMTGSWDKTLKFWDTRSSNPMMVLQLPERCYCADVIY PMAVVATAERGLIVYQLENQPSE >ENSMUSP00000020463.7 pep:known chromosome:GRCm38:10:81486249:81496392:-1 gene:ENSMUSG00000020238.14 transcript:ENSMUST00000020463.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncln description:nicalin homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:1926081] MLEEAGEVLENVLKASCLPLGFIVFLPAVLLLVAPPLPAADAAHEFTVYRMQQYDLQGQP YGTRNAVLNTEARTVDADVLSRRCVLMRLLDFSYEHYQKALRQSAGAVVIILPRAMAAVP QDVVRQFMEIEPEMLAMETVVPVYFAVEDEALLSIYEQTQAASASQGSASAAEVLLHTAT ANGFQMVTSGAQSQAVSDWLITSVEGRLTGLGGEDLPTIVIVAHYDAFGVAPWLSLGADS NGSGISVLLELARLFSRLYTYKRTHAAYNLLFFASGGGKFNYQGTKRWLEDSLDHTDSSL LQDNVAFVLCLDTVGRGSHLRLHVSKPPREGTLQHAFLRELETVAAHQFPDVSFSMVHKK INLADDVLAWEHERFAIRRLPAFTLSHLESHRAGPRSSIMDVRSRVDSKTLTRNTRIIAE ALTRVIYNLTEKGTPPDMPVFTEQMQVQEEQIDSVMDWLTNQPRAAQLLDKDGTFLSTLE HFLSRYLKDVRQHHVKADKRDPEFVFYDQLKQVMNAYRVKPAIFDLLLALCIGAYLGMAY TAVQHFHVLYKTVQRLLLKAKAQ >ENSMUSP00000112744.1 pep:known chromosome:GRCm38:10:81486457:81496326:-1 gene:ENSMUSG00000020238.14 transcript:ENSMUST00000118498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncln description:nicalin homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:1926081] MLEEAGEVLENVLKASCLPLGFIVFLPAVLLLVAPPLPAADAAHEFTVYRMQQYDLQGQP YGTRNAVLNTEARTVDADVLSRRCVLMRLLDFSYEHYQKALRQSAGAVVIILPRAMAAVP QDVVRQFMEIEPEMLAMETVVPVYFAVEDEALLSIYEQTQAASASQGSASAAEVLLHTAT ANGFQMVTSGAQSQAVSDWLITSVEGRLTGLGGEDLPTIVIVAHYDAFGVAPWLSLGADS NGSGISVLLELARLFSRLYTYKRTHAAYNLLFFASGGGKFNYQGTKRWLEDSLDHTDSSL LQDNVAFVLCLDTVGRGSHLRLHVSKPPREGTLQHAFLRELETVAAHQFPDVSFSMVHKK INLADDVLAWEHERFAIRRLPAFTLSHLESHRAGPRSSIMDVRSRVDSKTLTRNTRIIAE ALTRVIYNLTEKGTPPDMPVFTEQMVQEEQIDSVMDWLTNQPRAAQLLDKDGTFLSTLEH FLSRYLKDVRQHHVKADKRDPEFVFYDQLKQVMNAYRVKPAIFDLLLALCIGAYLGMAYT AVQHFHVLYKTVQRLLLKAKAQ >ENSMUSP00000115235.1 pep:known chromosome:GRCm38:10:81487290:81488217:-1 gene:ENSMUSG00000020238.14 transcript:ENSMUST00000124437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncln description:nicalin homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:1926081] HHVKADKRDPEFVFYDQLKQVMNAYRVKPAIFDLLLALCIGAYLGMAYTAVQTVQRLLLK AKAQ >ENSMUSP00000045156.8 pep:known chromosome:GRCm38:14:55060262:55092324:-1 gene:ENSMUSG00000040721.9 transcript:ENSMUST00000036328.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfhx2 description:zinc finger homeobox 2 [Source:MGI Symbol;Acc:MGI:2686934] MATLNSASPSGTVPSPGHNVRSPPPETSSSSTSDPVTKDPPDAPSTSESIRSSEPGGERL ESGSDLDPPKEIGEPQEEPGCGHIPPKDLGVAKEEEEILPLDLSSHLFFAAGGQAYLLAN LPLPRGSELSLPKGFPWDEASAKEEPSLPLLTHFPSSHLTTLHIQHGFDPIQGFSSSDQM LSHDTSAPSLAACERRDGSFWSYQLVPNPTEDPKDGPLGSRREDHRAMFWICLLCRLGFG RLQTFIGHTLSHGVKLSPAHHQGLLGSPAVLQEGHDGGMALLSFLEPKFLTRPSPEVPDT STVTVKTNGAQAEDGPPEADGQALVLPAEEVIALSPPSPPTALATWDPSPTQAKDSPVPR GEAGPDWFPEGQEEDGGLCLPLNQSSPTSKEVAVLPAPAGSPEDTSDPPPSCRLADDYTP APAAFQGLSLSSHMSLLHSRNSCKTLKCPKCNWHYKYQQTLDVHMREKHPESNSHCSYCS AGGAHPRLARGESYNCGYKPYRCDVCNYSTTTKGNLSIHMQSDKHLANLQGFQAGPGGQA SPPEASLPPTSVGDKEPKTKSSWQCKVCSYETNISRNLRIHMTSEKHMQNVLMLHQGLPL GLPPGLVGPGPPPPPGAAPTNPPELFQYFGPQALGQPQTPMPGPGLRPDKPLEAQLLLNG FHHLGAPARKFPTAAPGSLSPETHLPPSQLLGSSSDGLPTSPSPDDSPALKVFRCLVCQA FSTDSLELLLYHCSIGRSLPEAEWKEVAGDTHRCKLCCYGTQLKANFQLHLKTDKHTQKY QLAAHLREGGGAMGTPSLLALGDGASYGSISPLHLRCNICDFESNSKEKMQLHTRGSAHE ENSQIYKFLLEMEGAEAGPEPGLYHCLLCAWDTPSRLALLQHLRTPAHRDAQAQRRLQLL QNGPAAEEGLSALQSILSFSHGRLQTPGKASDTPLAQPPTSEKDAQNKTEQQASEVTEDR SGPPRDSANQITVFCCPYCSFLSPECDQVRVHTLSQHAVQPKYRCPLCQEQLVGRPALHF HLSHLHNVVPECVEKLLLVATTVEMTFATKMLPGPTLNPVEDGLDHPAPGAEPTPNRDQV AESSNLAPEVSPDPPLEPPLAPVEGSREPSESPDQPPSPAPSPAPRLDAQVEELAPLPTM SEEEEGAMGEPRSAEPTPADSRHPLTYRKTTNFALDKFLDPARPYKCTVCKESFTQKNIL LVHYNSVSHLHKMKKAAIDPSGPARGEAGIPPPAATASDKPFKCTVCRVSYNQSSTLEIH MRSVLHQTRSRGAKIDARAEGAERGQEEFKEGETEGEAGTEKKGPDPGGFMSGLPFLSPP PPPLDLHRFSAPLFTPPVLPPFPLVPESLLKLQQQQLLLPFYLHDLKVGPKLALASPTPM LSLPAANPPPLPAPPKAELAEQEWERPLMAEEGTEAGPSSPTHTSPNEAARTAAKALLEN FGFELVIQYNEGKQAVPPPPTPPPPESLGGGDKLACGACGKLFSNMLILKTHEEHVHRRF LPFEALSRYAAQFRKSYDSLYPPPVEPPKPPDGCLESPPQLGPPFVVPEPEVGGIHTSEE RSLSGGGPWPSEEEEGSRGSLPPAVPVGRRFSRTKFTEFQTQALQSFFETSAYPKDGEVE RLASLLGLASRVVVVWFQNARQKARKNACEGGPVTAGGASGGASGCRRCHATFACVFELV RHLKKCYDDQPPEEEEEAERGEEEEEVEEEEAEERNLEPAAARPGGPSPEHADGEDLSQT EPTRPESKESEGKAPPSPPVYACDQCAASFPSQDLLTTHHRLHLLPSVQPSAPPPSQLLD LPLLVFGERNPVVSGTSSVTGTPLKRKHDDGSLSPTGSEAGGGGEGEPPKDKRLRTTILP EQLEILYRWYMQDSNPTRKMLDCISEEVGLKKRVVQVWFQNTRARERKGQFRSTPGGVAG PAVKPTVPPSPAPFPKFNLLLSKIEDETGKEAPKRDAPAFPYPTVTPAVGPLPFLPPGKE AAVPTPEPPPPLPPPALSEDEGPEEPSKASPESEACSPSAGDLSDSSASSLAEPESPGAG GTSGGPGGGTGVPDSMGQRRYRTQMSSLQLKIMKACYEAYRTPTMQECEVLGEEIGLPKR VIQVWFQNARAKEKKAKLQGTAPPGSGGSSEGTSAAQRTDCPYCDVKYDFYVSCRGHLFS RQHLAKLKEAVRAQLKSESKCYDLAPAPETPLAPKGPPATTPASSVPLGASPTLPRLAPV LLPGPTLAQPPLGSIASFNSGPAASSGLLGLATSVLPATTVVQTAGPGRPLPQRPVSNQT NSSTDPTPGPATEPSGDKVSGERKPVATLPNSSTDALKNLKALKATVPALLGGQFLPFPL PPAGGAAPPAVFGPQLQGAYFQQLYGMKKGLFPMNPVIPQTLIGLLPNALLQQPPQAPEP TATAPPKPPELPASGEGESSEADELLTGSTGISTVDVTHRYLCRQCKMAFDGEAPATAHQ RSFCFFGRGSGASMPAPLRVPICTYHCLACEVLLSGREALASHLRSSAHRRKAAPPPGGP PITVTNSATAVPAAVAFAKEEARLPHTDPNPKTTTTSTLLAL >ENSMUSP00000003469.7 pep:known chromosome:GRCm38:7:24897381:24902197:1 gene:ENSMUSG00000003379.7 transcript:ENSMUST00000003469.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd79a description:CD79A antigen (immunoglobulin-associated alpha) [Source:MGI Symbol;Acc:MGI:101774] MPGGLEALRALPLLLFLSYACLGPGCQALRVEGGPPSLTVNLGEEARLTCENNGRNPNIT WWFSLQSNITWPPVPLGPGQGTTGQLFFPEVNKNHRGLYWCQVIENNILKRSCGTYLRVR NPVPRPFLDMGEGTKNRIITAEGIILLFCAVVPGTLLLFRKRWQNEKFGVDMPDDYEDEN LYEGLNLDDCSMYEDISRGLQGTYQDVGNLHIGDAQLEKP >ENSMUSP00000075250.5 pep:known chromosome:GRCm38:13:51645232:51650660:1 gene:ENSMUSG00000062248.5 transcript:ENSMUST00000075853.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cks2 description:CDC28 protein kinase regulatory subunit 2 [Source:MGI Symbol;Acc:MGI:1913447] MAHKQIYYSDKYFDEHYEYRHVMLPRELSKQVPKTHLMSEEEWRRLGVQQSLGWVHYMIH EPEPHILLFRRPLPKEQQK >ENSMUSP00000098365.4 pep:known chromosome:GRCm38:11:77686155:77712741:1 gene:ENSMUSG00000037857.16 transcript:ENSMUST00000100802.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nufip2 description:nuclear fragile X mental retardation protein interacting protein 2 [Source:MGI Symbol;Acc:MGI:1915814] MEEKPGQPQPQHHHSHHHPHHHPQQQQQQQSHHHHHYYFYNHSHNHHHHHHHQQPHQYLQ HGAEGSPKAQPKPLKHEQKHTLQQHQETPKKKTGYGEINGNAGEREISLKSLSSDEATNP ISRVLNGNQQVVETSLKQTVKTSTFGKAGIKTKNFIQKNSMDKKNGKSYENKSGETQAVD KTDTIAIPNGVITSSSGYITNGYMSKGADNDGSGSESGYTTPKKRKARRNSAKGCENLNL VQDKIMQETSVPALKQGLETLKPDYSEQKGMRVDGSKPIWKYETGPGGTSRGKPAMGDVL RKSSDIKPGLSSKKFDDRPKGKHASAAASKEDSWTLFKPPPVFPVDNSSAKIVPKISYAS KVKENLNKTVQNSSVSPSSSSSSSSTGETQTQSSSRLSQVPMSALKSVTSASFSNGPVLA GTDGSVYPSGGQPLLTTAANTLTPISTGTDSVLQDMSLASAAVEQIKSSLFIYPSNMQTV LLSAQVDLPSQTDQQNLGDIFQNQWGLSFINEPSAGPETVIGKSSDHKVMEVTFQGEYPA TLVSQGAEIIPSGTEHPVFPKAYELEKRTSPQVLGHILKPGTTESGALSLDPSHIGDLQK ADTSSQGALVFLSKDYEIENQNPLASPTNTLLGSAKEQRYQRGLERNDSWGSFDLRAAIV YHTKEMESIWNLQKQDPKRIITYNEAMDSPDQ >ENSMUSP00000137922.1 pep:known chromosome:GRCm38:11:77686172:77741921:1 gene:ENSMUSG00000037857.16 transcript:ENSMUST00000181023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nufip2 description:nuclear fragile X mental retardation protein interacting protein 2 [Source:MGI Symbol;Acc:MGI:1915814] MEEKPGQPQPQHHHSHHHPHHHPQQQQQQQSHHHHHYYFYNHSHNHHHHHHHQQPHQYLQ HGAEGSPKAQPKPLKHEQKHTLQQHQETPKKKTGYGEINGNAGEREISLKSLSSDEATNP ISRVLNGNQQVVETSLKQTVKTSTFGKAGIKTKNFIQKNSMDKKNGKSYENKSGETQAVD KTDTIAIPNGVITSSSGYITNGYMSKGADNDGSGSESGYTTPKKRKARRNSAKGCENLNL VQDKIMQETSVPALKQGLETLKPDYSEQKGMRVDGSKPIWKYETGPGGTSRGKPAMGDVL RKSSDIKPGLSSKKFDDRPKGKHASAAASKEDSWTLFKPPPVFPVDNSSAKIVPKISYAS KVKENLNKTVQNSSVSPSSSSSSSSTGETQTQSSSRLSQVPMSALKSVTSASFSNGPVLA GTDGSVYPSGGQPLLTTAANTLTPISTGTDSVLQDMSLASAAVEQIKSSLFIYPSNMQTV LLSAQVDLPSQTDQQNLGDIFQNQWGLSFINEPSAGPETVIGKSSDHKVMEVTFQGEYPA TLVSQGAEIIPSGTEHPVFPKAYELEKRTSPQVLGHILKPGTTESGALSLDPSHIGDLQK ADTSSQGALVFLSKDYEIENQNPLASPTNTLLGSAKEQRYQRGLERNDSWGSFDLRAAIV YHTKEMESIWNLQKQVFCLCVYMYTYVHHVMSLHGRERTLDPLELALHWS >ENSMUSP00000076824.4 pep:known chromosome:GRCm38:5:134600022:134615025:-1 gene:ENSMUSG00000040751.12 transcript:ENSMUST00000077636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lat2 description:linker for activation of T cells family, member 2 [Source:MGI Symbol;Acc:MGI:1926479] MSAELELLWPVSGLLLLLLGATAWLCVHCSRPGVKRNEKIYEQRNRQENAQSSAAAQTYS LARQVWPGPQMDTAPNKSFERKNKMLFSHLEGSNQEPDAAYVDPIPTNYYNWGCFQKPSE DDDSNSYENVLVCKPSTPESGVEDFEDYQNSVSIHQWRESKRTMGAPMSLSGSPDEEPDY VNGDVAAAENI >ENSMUSP00000046900.6 pep:known chromosome:GRCm38:5:134600103:134614990:-1 gene:ENSMUSG00000040751.12 transcript:ENSMUST00000036362.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lat2 description:linker for activation of T cells family, member 2 [Source:MGI Symbol;Acc:MGI:1926479] MSAELELLWPVSGLLLLLLGATAWLCVHCSRPGVKRNEKIYEQRNRQENAQSSAAAQTYS LARQVWPGPQMDTAPNKSFERKNKMLFSHLEGPESPRYQNFYKGSNQEPDAAYVDPIPTN YYNWGCFQKPSEDDDSNSYENVLVCKPSTPESGVEDFEDYQNSVSIHQWRESKRTMGAPM SLSGSPDEEPDYVNGDVAAAENI >ENSMUSP00000143977.1 pep:known chromosome:GRCm38:5:134600238:134609011:-1 gene:ENSMUSG00000040751.12 transcript:ENSMUST00000200998.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lat2 description:linker for activation of T cells family, member 2 [Source:MGI Symbol;Acc:MGI:1926479] MSAELELLWPVSGLLLLLLGATAWLCVHCSRPGVKRNEKIYEQRNRQENAQSSAAAQTYS LARQVWPGPQMDTAPNKSFERKNKMLFSHLEGPESPRYQNFYKGSNQEPDAAYVDPIPTN YYNWGCFQKPSEDDDSNSYENVLVCKPSTPESGVEDFEDYQNSVSIHQWRESKRTMGAPM SLSGSPDEEPDYVNGDVAAAENI >ENSMUSP00000144457.1 pep:known chromosome:GRCm38:5:134600431:134606363:-1 gene:ENSMUSG00000040751.12 transcript:ENSMUST00000201632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lat2 description:linker for activation of T cells family, member 2 [Source:MGI Symbol;Acc:MGI:1926479] XGSNQEPDAAYVDPIPTNYYNWGCFQKPSEDDDSNSYENVLVCKPSTPESGVEDFEDYQN SVSIHQWRESKRTMGAPMSLSGSPDEEPDYVNGDVAAAENI >ENSMUSP00000143998.1 pep:known chromosome:GRCm38:5:134600798:134615006:-1 gene:ENSMUSG00000040751.12 transcript:ENSMUST00000200737.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lat2 description:linker for activation of T cells family, member 2 [Source:MGI Symbol;Acc:MGI:1926479] MSAELELLWPVSGLLLLLLGATAWLCVHCSRPGVKRNEKIYEQRNRQENAQSSAAAQTYS LARQVWPGPQMDTAPNKSFERKNKMLFSHLEALRRRRFQLLRECARLQAQHPRVRCRGL >ENSMUSP00000144611.1 pep:known chromosome:GRCm38:5:134605938:134614966:-1 gene:ENSMUSG00000040751.12 transcript:ENSMUST00000202085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lat2 description:linker for activation of T cells family, member 2 [Source:MGI Symbol;Acc:MGI:1926479] MSAELELLWPVSGLLLLLLGATAWLCVHCSRPGVKRNEKIYEQRNRQENAQSSAAAQTYS LARQVWPGPQMDTAPNKSFERKNKMLFSHLEAFLPGPESPRYQNFYKGSNQEPDAA >ENSMUSP00000110837.1 pep:known chromosome:GRCm38:X:37480337:37485124:1 gene:ENSMUSG00000079635.2 transcript:ENSMUST00000115183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox4c description:reproductive homeobox 4C [Source:MGI Symbol;Acc:MGI:3613386] MEHQNTNYLLHEGLGKDKEKLNGGKTQAVLPLDGEGRNEGESVLGQSGAAAVEGDKAEEL SGEGGPAAGDADLMDNSNQEDQDTSGSAQEEEKLPEEPVLKDAVVIDKVQPIPVLVSGVR PKSVWVQQRSLHYNFQWWQLQELERIFQQNHFIRAEERRHLARWIGVSETRVKRWFKKRR EHFRRGQSQLGMNDDAPVGSHSTFL >ENSMUSP00000103973.1 pep:known chromosome:GRCm38:7:27731389:27753702:1 gene:ENSMUSG00000037640.15 transcript:ENSMUST00000108336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp60 description:zinc finger protein 60 [Source:MGI Symbol;Acc:MGI:99207] MANSSSQHMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRNIMLETYSNLVAVVGRCIPK PDLIVLLEQEKEPWMAVKKETGRPSQGLETGFEAENRSPKNHVYNKKLPKQTIQQLSKTS DVQGVSVSNGPGYSVIKEPQNYQEGDANRNITNKKEMSTYTSKTLAHNKEKPYKCKDCGK CFGCKSNLHQHESIHTGEKPYECKDCGKTFRLPQMLSRHQKSHSDERPFECNICGKSFHL PTLLQYHKNIHTGLKPFECEECGKSFNRISTLFQHRTIHAGMKPYKCNVCGKAFNRRSNL LQHQKIHSEDRPFHCKVCGKAFTVLAQLTRHENIHTEDKSFECKQCGKIFSNGSYLLRHY DTHTNEKPFECNICGKAFRLHLYLSEHQKTHTDEKPFKCKLCESAFRRKYQLSEHQRIHT DVKPYQCKDCGKFFRRRSNFTEHQSIHTGKKPFECKDCGKVFRLNIHLIRHQRFHSDEKP FECKECGKAFHFSSQLNNHKTSHTGQTPFECKECGKSFKRVSSLVEHRIIHSGVKPYKCN ACGRAFNRRSNLMQHEKIHSDERPFECKDCGKAFTVLAQLTRHQTIHNGKKSYECEQCGS AFRLPYQLTQHQRIHYDVKPFQCKECGKGFVRSTGLRIHERIHTGEKPFQCKECGEAFQY HYQFLGHFRIHTGKNPYECSECGKYFTYGRDLKVHQSIHNLEKP >ENSMUSP00000118469.1 pep:known chromosome:GRCm38:7:27731432:27748223:1 gene:ENSMUSG00000037640.15 transcript:ENSMUST00000130997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp60 description:zinc finger protein 60 [Source:MGI Symbol;Acc:MGI:99207] MANSSSQHMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRNIMLETYSNLVAVVGRCIPK PDLIVLLEQEKEPWMAVKKETGRPSQGLETGFEAENRSPKNHVYN >ENSMUSP00000117049.1 pep:known chromosome:GRCm38:7:27731443:27748546:1 gene:ENSMUSG00000037640.15 transcript:ENSMUST00000136373.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp60 description:zinc finger protein 60 [Source:MGI Symbol;Acc:MGI:99207] MANSSSQHMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRNIMLETYSNLVAVDS >ENSMUSP00000036627.7 pep:known chromosome:GRCm38:7:27731511:27750461:1 gene:ENSMUSG00000037640.15 transcript:ENSMUST00000042641.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp60 description:zinc finger protein 60 [Source:MGI Symbol;Acc:MGI:99207] MANSSSQHMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRNIMLETYSNLVAVVGRCIPK PDLIVLLEQEKEPWMAVKKETGRPSQGLETGFEAENRSPKNHVYNKKLPKQTIQQLSKTS DVQGVSVSNGPGYSVIKEPQNYQEGDANRNITNKKEMSTYTSKTLAHNKEKPYKCKDCGK CFGCKSNLHQHESIHTGEKPYECKDCGKTFRLPQMLSRHQKSHSDERPFECNICGKSFHL PTLLQYHKNIHTGLKPFECEECGKSFNRISTLFQHRTIHAGMKPYKCNVCGKAFNRRSNL LQHQKIHSEDRPFHCKVCGKAFTVLAQLTRHENIHTEDKSFECKQCGKIFSNGSYLLRHY DTHTNEKPFECNICGKAFRLHLYLSEHQKTHTDEKPFKCKLCESAFRRKYQLSEHQRIHT DVKPYQCKDCGKFFRRRSNFTEHQSIHTGKKPFECKDCGKVFRLNIHLIRHQRFHSDEKP FECKECGKAFHFSSQLNNHKTSHTGQTPFECKECGKSFKRVSSLVEHRIIHSGVKPYKCN ACGRAFNRRSNLMQHEKIHSDERPFECKDCGKAFTVLAQLTRHQTIHNGKKSYECEQCGS AFRLPYQLTQHQRIHYDVKPFQCKECGKGFVRSTGLRIHERIHTGEKPFQCKECGEAFQY HYQFLGHFRIHTGKNPYECSECGKYFTYGRDLKVHQSIHNLEKP >ENSMUSP00000132607.1 pep:known chromosome:GRCm38:7:27736956:27750023:1 gene:ENSMUSG00000037640.15 transcript:ENSMUST00000167955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp60 description:zinc finger protein 60 [Source:MGI Symbol;Acc:MGI:99207] MANSSSQHMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRNIMLETYSNLVAVVGRCIPK PDLIVLLEQEKEPWMAVKKETGRPSQGLETGFEAENRSPKNHVYNKKLPKQTIQQLSKTS DVQGVSVSNGPGYSVIKEPQNYQEGDANRNITNKKEMSTYTSKTLAHNKEKPYKCKDCGK CFGCKSNLHQHESIHTGEKPYECKDCGKTFRLPQMLSRHQKSHSDERPFECNICGKSFHL PTLLQYHKNIHTGLKPFECEECGKSFNRISTLFQHRTIHAGMKPYKCNVCGKAFNRRSNL LQHQKIHSEDRPFHCKVCGKAFTVLAQLTRHENIHTEDKSFECKQCGKIFSNGSYLLRHY DTHTNEKPFECNICGKAFRLHLYLSEHQKTHTDEKPFKCKLCESAFRRKYQLSEHQRIHT DVKPYQCKDCGKFFRRRSNFTEHQSIHTGKKPFECKDCGKVFRLNIHLIRHQRFHSDEKP FECKECGKAFHFSSQLNNHKTSHTGQTPFECKECGKSFKRVSSLVEHRIIHSGVKPYKCN ACGRAFNRRSNLMQHEKIHSDERPFECKDCGKAFTVLAQLTRHQTIHNGKKSYECEQCGS AFRLPYQLTQHQRIHYDVKPFQCKECGKGFVRSTGLRIHERIHTGEKPFQCKECGEAFQY HYQFLGHFRIHTGKNPYECSECGKYFTYGRDLKVHQSIHNLEKP >ENSMUSP00000023832.6 pep:known chromosome:GRCm38:X:20549787:20562089:1 gene:ENSMUSG00000023070.6 transcript:ENSMUST00000023832.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgn description:regucalcin [Source:MGI Symbol;Acc:MGI:108024] MSSIKVECVLRENYRCGESPVWEEASQSLLFVDIPSKIICRWDTVSNQVQRVAVDAPVSS VALRQLGGYVATIGTKFCALNWENQSVFVLAMVDEDKKNNRFNDGKVDPAGRYFAGTMAE ETAPAVLERHQGSLYSLFPDHSVKKYFDQVDISNGLDWSLDHKIFYYIDSLSYTVDAFDY DLQTGQISNRRIVYKMEKDEQIPDGMCIDAEGKLWVACYNGGRVIRLDPETGKRLQTVKL PVDKTTSCCFGGKDYSEMYVTCARDGLNAEGLLRQPDAGNIFKITGLGVKGIAPYSYAG >ENSMUSP00000026315.7 pep:known chromosome:GRCm38:14:7964533:7994573:-1 gene:ENSMUSG00000025279.7 transcript:ENSMUST00000026315.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1l3 description:deoxyribonuclease 1-like 3 [Source:MGI Symbol;Acc:MGI:1314633] MSLHPASPRLASLLLFILALHDTLALRLCSFNVRSFGASKKENHEAMDIIVKIIKRCDLI LLMEIKDSSNNICPMLMEKLNGNSRRSTTYNYVISSRLGRNTYKEQYAFVYKEKLVSVKT KYHYHDYQDGDTDVFSREPFVVWFHSPFTAVKDFVIVPLHTTPETSVKEIDELVDVYTDV RSQWKTENFIFMGDFNAGCSYVPKKAWQNIRLRTDPKFVWLIGDQEDTTVKKSTSCAYDR IVLCGQEIVNSVVPRSSGVFDFQKAYDLSEEEALDVSDHFPVEFKLQSSRAFTNNRKSVS LKKRKKGNRS >ENSMUSP00000110848.3 pep:known chromosome:GRCm38:X:37265338:37270132:1 gene:ENSMUSG00000079639.4 transcript:ENSMUST00000115194.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox4a description:reproductive homeobox 4A [Source:MGI Symbol;Acc:MGI:3580240] MEHQNTNYLLHEGLGKDKENLNGGKTQAVLPLDGEGRNEGESVLGQSGAAAVEGDKAEEL SGEGGPAAGDADLMDNSNQEDQDTSGSAQEEEKLPEEPVLKDAVVIDKVQPIPVLVSGVR PKSVWVQQRSLHYNFQWWQLQELERIFQQNHFIRAEERRHLARWIGVSEARVKRWFKKRR EHFRRGQSQLGMNDDASVGSHSTFL >ENSMUSP00000122796.1 pep:known chromosome:GRCm38:14:55098578:55106534:-1 gene:ENSMUSG00000040701.15 transcript:ENSMUST00000151314.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap1g2 description:adaptor protein complex AP-1, gamma 2 subunit [Source:MGI Symbol;Acc:MGI:1328307] MVVHSLRLQDLIEEIRGAKTQAQEREVIQKECAQIRASFRDGDPLQRHRQLAKLLYVHML GYPAHFGQMECLKLIASPRFTDKRVGYLGAMLLLDERHDSHLLITNSIKNDLSQGNQPVQ GLALCTLSTMGSAEMCRDLAPEVEKLLLQPSPYVRKKAILTAVHMIRKDPELSGIFLPPC TKLLRERHHGSAAAGTDPPDSGDYRILHGAQHLWSQRPLLAGPDTPPTSDPGTEP >ENSMUSP00000115441.1 pep:known chromosome:GRCm38:14:55098850:55106267:-1 gene:ENSMUSG00000040701.15 transcript:ENSMUST00000131323.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap1g2 description:adaptor protein complex AP-1, gamma 2 subunit [Source:MGI Symbol;Acc:MGI:1328307] MVVHSLRLQDLIEEIRGAKTQAQEREVIQKECAQIRASFRDGDPLQRHRQLAKLLYVHML GYPAHFGQMECLKLIASPRFTDKRVGYLGAMLLLDERHDSHLLITNSIKNDLSQGNQPVQ GLALCTLSTMGSAEMCRDLAPEVEKLLLQPSPYVRKKAILTAVHMIRKDPELSGIFLPPC TKLLRERHHGSAAAGTDPPDSGDYRILHGAQHLWSQRPLLAGPDTPPTSDPGTEP >ENSMUSP00000116698.1 pep:known chromosome:GRCm38:14:55098850:55106548:-1 gene:ENSMUSG00000040701.15 transcript:ENSMUST00000127870.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap1g2 description:adaptor protein complex AP-1, gamma 2 subunit [Source:MGI Symbol;Acc:MGI:1328307] MVVHSLRLQDLIEEIRGAKTQAQEREVIQKECAQIRASFRDGDPLQRHRQLAKLLYVHML GYPAHFGQMECLKLIASPRFTDKRVGYLGAMLLLDERHDSHLLITNSIKNDLSQGNQPVQ GLALCTLSTMGSAEMCRDLAPEVEKLLLQPSPYVRKKAILTAVHMIRKDPELSGIFLPPC TKLLRERHHGSAAAGTDPPDSGDYRILHGAQHLWSQRPLLAGPDTPPTSDPGTEP >ENSMUSP00000043996.8 pep:known chromosome:GRCm38:14:55098850:55106593:-1 gene:ENSMUSG00000040701.15 transcript:ENSMUST00000036041.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1g2 description:adaptor protein complex AP-1, gamma 2 subunit [Source:MGI Symbol;Acc:MGI:1328307] MVVHSLRLQDLIEEIRGAKTQAQEREVIQKECAQIRASFRDGDPLQRHRQLAKLLYVHML GYPAHFGQMECLKLIASPRFTDKRVGYLGAMLLLDERHDSHLLITNSIKNDLSQGNQPVQ GLALCTLSTMGSAEMCRDLAPEVEKLLLQPSPYVRKKAILTAVHMIRKDPELSGIFLPPC TKLLRERHHGIQLGTVTLITELCERNPAALRHFRKVVPQLVQILRTLVTTGYSTEHSISG VSDPFLQVQILRLLRILGRNHEESSETMNDLLAQVATNTDTSRNAGNAVLLETVLTIMAI HSAAGLRVLAVNILGRFLLNNDKNIRYVALTSLLQLVQSDHSAVQRHRSTVVECLQETDA SLSRRALELSLALVNSSNVRAMMQELQAFLESCPPDLRADCASGILLAAERFAPSKRWHI DTILHVLTTAGAHVRDDAVANLTQLIGEAEELHTYSVRRLYSALAEDISQQPLVQVAAWC IGEYGDLLLEGNCEETEPFQVEEEDVLALLEKVLQSHMSLPATRGYAITALMKLSTRLRG DNNRIRQVVSIYGSCVDLELQQRAVEYNTLFQKYDHMRAAILEKMPLVERGDPHVKEGGK EKQTEAQPLEVTAPAPTEPQATKLLDLLDLLGDTSEPLSSGHAQHLPPQTPSPGEALIHL LDLPCTPPPPAPIPSVRVFEREGLQLDLSFMRPLETPALLLVTATTTNSSKEDVTHFVCQ AAVPKSFQLQLQAPSGNTIPAQGGLPITQVFRILNPNQAPLRLKLRLTYNHSGQPVQEIF EVDNLPVETWQ >ENSMUSP00000128427.1 pep:known chromosome:GRCm38:14:55098855:55106147:-1 gene:ENSMUSG00000040701.15 transcript:ENSMUST00000170285.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1g2 description:adaptor protein complex AP-1, gamma 2 subunit [Source:MGI Symbol;Acc:MGI:1328307] MVVHSLRLQDLIEEIRGAKTQAQEREVIQKECAQIRASFRDGDPLQRHRQLAKLLYVHML GYPAHFGQMECLKLIASPRFTDKRVGYLGAMLLLDERHDSHLLITNSIKNDLSQGNQPVQ GLALCTLSTMGSAEMCRDLAPEVEKLLLQPSPYVRKKAILTAVHMIRKDPELSGIFLPPC TKLLRERHHGIQLGTVTLITELCERNPAALRHFRKVVPQLVQILRTLVTTGYSTEHSISG VSDPFLQVQILRLLRILGRNHEESSETMNDLLAQVATNTDTSRNAGNAVLLETVLTIMAI HSAAGLRVLAVNILGRFLLNNDKNIRYVALTSLLQLVQSDHSAVQRHRSTVVECLQETDA SLSRRALELSLALVNSSNVRAMMQELQAFLESCPPDLRADCASGILLAAERFAPSKRWHI DTILHVLTTAGAHVRDDAVANLTQLIGEAEELHTYSVRRLYSALAEDISQQPLVQVAAWC IGEYGDLLLEGNCEETEPFQVEEEDVLALLEKVLQSHMSLPATRGYAITALMKLSTRLRG DNNRIRQVVSIYGSCVDLELQQRAVEYNTLFQKYDHMRAAILEKMPLVERGDPHVKEGGK EKQTEAQPLEVTAPAPTEPQATKLLDLLDLLGDTSEPLSSGHAQHLPPQTPSPGEALIHL LDLPCTPPPPAPIPSVRVFEREGLQLDLSFMRPLETPALLLVTATTTNSSKEDVTHFVCQ AAVPKSFQLQLQAPSGNTIPAQGGLPITQVFRILNPNQAPLRLKLRLTYNHSGQPVQEIF EVDNLPVETWQ >ENSMUSP00000145134.1 pep:known chromosome:GRCm38:6:123262111:123274923:1 gene:ENSMUSG00000030144.4 transcript:ENSMUST00000204826.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec4d description:C-type lectin domain family 4, member d [Source:MGI Symbol;Acc:MGI:1298389] MWLEESQMKSKGTRHPQLIPCVFAVVSISFLSACFISTCLVTHHYFLRWTRGSVVKLSDY HTRVTCIREEPQPGATDLA >ENSMUSP00000032240.2 pep:known chromosome:GRCm38:6:123262117:123275265:1 gene:ENSMUSG00000030144.4 transcript:ENSMUST00000032240.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4d description:C-type lectin domain family 4, member d [Source:MGI Symbol;Acc:MGI:1298389] MWLEESQMKSKGTRHPQLIPCVFAVVSISFLSACFISTCLVTHHYFLRWTRGSVVKLSDY HTRVTCIREEPQPGATGGTWTCCPVSWRAFQSNCYFPLNDNQTWHESERNCSGMSSHLVT INTEAEQNFVTQLLDKRFSYFLGLADENVEGQWQWVDKTPFNPHTVFWEKGESNDFMEED CVVLVHVHEKWVWNDFPCHFEVRRICKLPGITFNWKPSK >ENSMUSP00000086475.4 pep:known chromosome:GRCm38:X:37557412:37562283:1 gene:ENSMUSG00000071770.5 transcript:ENSMUST00000089075.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox4e description:reproductive homeobox 4E [Source:MGI Symbol;Acc:MGI:3613390] MEHQNTNYLLHEGLGKDKENLNGGKTQAVLPLDGEGRNEGESVLGQSGAAAVEWDKAEEL SGEGGPAAGDADLMDNSNQEDQDTSGSAQEEEKLPEEPVLRDAVVIDKVQPIPVLVSGVR PKSVWVQQRSLHYNFQWWQLQELERIFQQNHFIRAEERRHLARWIGVSETRVKRWFKKRR EHFRRGQSQLGMNDDAPVGSHSTFL >ENSMUSP00000099979.3 pep:known chromosome:GRCm38:4:52439243:52488260:1 gene:ENSMUSG00000028312.19 transcript:ENSMUST00000102915.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc2 description:structural maintenance of chromosomes 2 [Source:MGI Symbol;Acc:MGI:106067] MYVKSIILEGFKSYAQRTEVNGFDPLFNAITGLNGSGKSNILDSICFLLGISNLSQVRAS NLQDLVYKNGQAGITKASVSITFDNSDKKQSPLGFEAHDEITVTRQVVIGGRNKYLINGV NANNTRVQDLFCSVGLNVNNPHFLIMQGRITKVLNMKPPEILSMIEEAAGTRMYEYKKIA AQKTIEKKEAKLKEIKTILEEEITPTIQKLKEERSSYLEYQKVMREIEHLSRLYIAYQFL RAEDTKERSAGELKEMQDKIVNLQEVLSENEKKIKALNCEIEELERRKDKETGGKLKSLE DACAEAQRVNTKSQSAFDLKKKNLASEETKRKELQNSMAEDSKALAAKEKEVKKITDGLH GLQEASNKDAEALAAAQQHFNAVSAGLSSNEDGAEATLAGQMIACKNDISKAQTEAKQAQ MKLKHAQQELKSKQAEVKKMDSGYKKDQDAFEAVKKAKEKLETEMKKLNYEENKEEKLLE KHRQLSRDINNLKGKHEALLAKFPNLQFAYKDPEKNWNRNSVKGLVASLINVKDNSTATA LEVVAGERLYNVVVDTEVTAKKLLEKGELKRRYTIIPLNKISARCIAPETLRVAQNLVGP DNVHVALSLVDYKPELQKGMEFVFGTTFVCNNMDNAKKVAFDKRIMTRTVTLGGDVFDPH GTLSGGARSQAASILTKFQEVKDVQDELRTKENELRALEEELAGLKNVAEKYRQLKQQWE MKTEEGDLLQTKLQQSSYHKQQEELDALKKTIEESEETLKSTKEIQKKAEEKYEALENKM KNAEAEREKELKDAQKKLDCAKTKADASSKKMKEKQQEVEAITLELEELKREHASNEQQL DAVNEAIKAYEGQIEKMAAEVAKNKESVNKAQDELMKQKQIITAQDNIIKDKCAEVAKHN LQNNESQLKIKELDHSISKHKREADDAAAKVSKMLSDYDWINAEKHLFGQPNSAYDFKTN NPKEAGQRLQKLQEVKEKLGRNVNLRAMNVLTEAEERYNDLMKKKRIVENDKSKILATIE DLDQKKNQALNIAWQKVNKDFGSIFSTLLPGANAMLAPPEGQTVLDGLEFKVALGNTWKE NLTELSGGQRSLVALSLILSMLLFKPAPIYILDEVDAALDLSHTQNIGQMLRTHFTHSQF IVVSLKEGMFNNANVLFKTKFVDGVSTVARFTQSQAGKIPKEAKSRGKEPN >ENSMUSP00000113940.1 pep:known chromosome:GRCm38:4:52439243:52488260:1 gene:ENSMUSG00000028312.19 transcript:ENSMUST00000117280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc2 description:structural maintenance of chromosomes 2 [Source:MGI Symbol;Acc:MGI:106067] MYVKSIILEGFKSYAQRTEVNGFDPLFNAITGLNGSGKSNILDSICFLLGISNLSQVRAS NLQDLVYKNGQAGITKASVSITFDNSDKKQSPLGFEAHDEITVTRQVVIGGRNKYLINGV NANNTRVQDLFCSVGLNVNNPHFLIMQGRITKVLNMKPPEILSMIEEAAGTRMYEYKKIA AQKTIEKKEAKLKEIKTILEEEITPTIQKLKEERSSYLEYQKVMREIEHLSRLYIAYQFL RAEDTKERSAGELKEMQDKIVNLQEVLSENEKKIKALNCEIEELERRKDKETGGKLKSLE DACAEAQRVNTKSQSAFDLKKKNLASEETKRKELQNSMAEDSKALAAKEKEVKKITDGLH GLQEASNKDAEALAAAQQHFNAVSAGLSSNEDGAEATLAGQMIACKNDISKAQTEAKQAQ MKLKHAQQELKSKQAEVKKMDSGYKKDQDAFEAVKKAKEKLETEMKKLNYEENKEEKLLE KHRQLSRDINNLKGKHEALLAKFPNLQFAYKDPEKNWNRNSVKGLVASLINVKDNSTATA LEVVAGERLYNVVVDTEVTAKKLLEKGELKRRYTIIPLNKISARCIAPETLRVAQNLVGP DNVHVALSLVDYKPELQKGMEFVFGTTFVCNNMDNAKKVAFDKRIMTRTVTLGGDVFDPH GTLSGGARSQAASILTKFQEVKDVQDELRTKENELRALEEELAGLKNVAEKYRQLKQQWE MKTEEGDLLQTKLQQSSYHKQQEELDALKKTIEESEETLKSTKEIQKKAEEKYEALENKM KNAEAEREKELKDAQKKLDCAKTKADASSKKMKEKQQEVEAITLELEELKREHASNEQQL DAVNEAIKAYEGQIEKMAAEVAKNKESVNKAQDELMKQKQIITAQDNIIKDKCAEVAKHN LQNNESQLKIKELDHSISKHKREADDAAAKVSKMLSDYDWINAEKHLFGQPNSAYDFKTN NPKEAGQRLQKLQEVKEKLGRNVNLRAMNVLTEAEERYNDLMKKKRIVENDKSKILATIE DLDQKKNQALNIAWQKVNKDFGSIFSTLLPGANAMLAPPEGQTVLDGLEFKVALGNTWKE NLTELSGGQRSLVALSLILSMLLFKPAPIYILDEVDAALDLSHTQNIGQMLRTHFTHSQF IVVSLKEGMFNNANVLFKTKFVDGVSTVARFTQSQAGKIPKEAKSRGKEPN >ENSMUSP00000114228.1 pep:known chromosome:GRCm38:4:52439269:52458574:1 gene:ENSMUSG00000028312.19 transcript:ENSMUST00000142227.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc2 description:structural maintenance of chromosomes 2 [Source:MGI Symbol;Acc:MGI:106067] MYVKSIILEGFKSYAQRTEVNGFDPLFNAITGLNGSGKSNILDSICFLLGISNLSQVRAS NLQDLVYKNGQAGITKASVSITFDNSDKKQSPLGFEAHDEITVTRQVVIGGRNKYLINGV NANNTRVQDLFCSVGLNVNNPHFLIMQGRITKVLNMKPPEILSMIEEAAGTRMYEYKKIA AQKTIEKKEAKLKEIKTILEEEITPTIQKLKEERSSYLEYQKVMREIEHLSRLYIAYQFL RAEDTKERSAGELKEMQDKIVNLQEVLSENEKKIKALNCEIEELERRKDKETGGKLKSLE DACAEAQRVNTKSQSAFDLKKKNLASEETKRKELQNSMAEDSKALAAKEKEVKKITDGLH GLQEASNKDAEALAAAQQHFNAVSAGLSSNEDGAEATLAGQMIACKNDISKAQTEAKQAQ MKLKHAQQELKSKQAEVKKMDSGYKKDQDAFEAVKKAKEKLETEMKKLNYE >ENSMUSP00000125470.1 pep:known chromosome:GRCm38:8:72646722:72708002:1 gene:ENSMUSG00000048148.16 transcript:ENSMUST00000161557.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nwd1 description:NACHT and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:2442268] MDTEREALQCTAYPEVQSFCQRHGLAFEVVDLRWGIPNTQATDYLTTELCLEELERCQKT SIGPAFVLLSGRSSLAY >ENSMUSP00000124804.1 pep:known chromosome:GRCm38:8:72646771:72714746:1 gene:ENSMUSG00000048148.16 transcript:ENSMUST00000161254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nwd1 description:NACHT and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:2442268] MDTEREALQCTAYPEVQSFCQRHGLAFEVVDLRWGIPNTQATDYLTTELCLEELERCQKT SIGPAFVALLGDQYGPCPVPRRIEEKEWEALRAQLTSRPRDLELVTRHFQRDDNTIPPTY VLQPSGSLVVPGPEEATLTSVLRGGAQEAWRLGLISQEQWMCYHRSVIEWEIELGLLSSA RGDQGATVFLRDVQDLNKHILDDCSLKMVDRLVDGCLDTNAQSLLSGLKGRILDAQPGAL KSHHLSWSRDLVNPKNKAHARYLKQLSEQFVARTNHQVLEQLRELELARQELGWLYQEIR HHLWQSTESTKVFCGHQELLAQLRQQLRQDESRTHTPLVLFGPPGIGKTSLMCKLAQQVP ELLGHKTVVVLRLLGTSKLSLDARSLLRSLSFQVCLAYGLPLPPAQVLEAHSRVGHFFHT LLHTVSQRNFESLVLLLDSVDDLDSICHSPRVSWLPLKCPPRVHLILSTCSGQQVLHNLQ QTLKDPSTYWEVKALSGSQGQEFIQLLLAAEKRMLSPGQRDVLWASLPECGHPGRLRLAF EEARKWASFTVPVPLATTAEEATHQLCIRLEETHGALLVAHVLGYIVSSRYGLSEAELKD VLSLDDEVLQAVYRDWTPPSKELLRFPPLLWVRLRRDLGHCLVRRPVDGCMLLAIAHRQL SQVIQVRYLSGPERAKRHGVLAEFFSGAWSQGIKKLITLPLVGKPLNLDRKVAPQPLWFS STVANLRKLTELPFHLLHAGRLEELKQEVLGNMSWISCRGISGGIEVLLDDFDMCAPHMN SPEVDLVREAIQLCGPAVELRGLEKSVLYTELLARLLFFAASHPAMIGQLCQQAQSWFRA CPYPMLVPLAGFLQPPGGPLRATLTGCHKGITAIAWSLEEKLLVVGTQDGAMVVWDVEEQ QVVHVLMGHTAEVKCVRVFAQGTLAISASKDHTLRLWSLLSGQEKVTILDGGSQNPTEPQ SWDLHVDERNNVVYSTSGARINMWNLETSKLVFCITGDVSDPWVCVALLAAQGLLLALSK GGQVSLWSSAMGKLQEKHQLSSIKEETPTCAVSIQSRARLVAGFSSGSIALVSAGEDRLL EKLPEAVGFLVVSEDDSLLVAGFGRFVRIFLADSQGFHRFMASDLEHEDMVETAVLGPEN NLIITGSRDALIQVWDLKSTKKLQSPTPFLDRTGLAAVSHHGSFVYFPKVGDKNKVTIWD LAEGEEQDCLDTSNEVRCLEVAEQAKLLFTGLVSGIVLVFPLNSRQDVLCIPPPEARKAV NCMSLSKSENRLAIAYDNIVLVLDISPGDPCPAIEGPTYTFYTQLPETIVSVAVLADYRV VYGMSDGSLFLYDCACSKVFPLEAHGSRVSCVEVSHSEQLAVSGAEDALLCLWDLQACRG MFEMSYENSCCRGVRCACFSRDDKHVFAGMEDRSVTAWSTVDGTLLAVQFVHAVINRIIP TSNGFMAPTSHGYLIRERFQCPSVRASQQDPLKNFKKAVWLVKTRQREELAAAEASQDAE PVAVEGKESKSNKRSQVCLIL >ENSMUSP00000123737.1 pep:known chromosome:GRCm38:8:72646711:72693713:1 gene:ENSMUSG00000048148.16 transcript:ENSMUST00000161386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nwd1 description:NACHT and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:2442268] MDTEREALQCTAYPEVQSFCQRHGLAFEVVDLRWGIPNTQATDYLTTELCLEELERCQKT SIGPAFVALLGDQYGPCPVPRRIEEKEWEALRAQLTSRPRDLELVTRHFQRDDNTIPPTY VLQPSGSLVVPGPEEATLTSVLRGGAQEAWRLGLISQEQWMCYHRSVIEWEIELGLLSSA RGDQGATVFLRDVQDLNKHILDDCSLKMVDRLVDGCLDTNAQSLLSGLKGRILDAQPGAL KSHHLSWSRDLVNPKNKAHARYLKQLSEQFVARTNHQVLEQLRELELARQELGWLYQEIR HHLWQSTESTKVFCGHQELLAQLRQQLRQDESRTHTPLVLFGPPGIGKTSLMCKLAQQVP ELLGHKTVVVLRLLGTSKLSLDARSLLRSLSFQVCLAYGLPLPPAQVLEAHSRVGHFFHT LLHTVSQRNFESLVLLLDSVDDLDSICHSPRVSWLPLKCPPRVHLILSTCSGQQVLHNLQ QTLKDPSTYWEVKALSGSQGQEFIQLLLAAEKRMLSPGQRDVLWASLPECGHPGRLRLAF EEARKWASFTVPVPLATTAEEATHQLCIRLEETHGALLVAHVLGYIVSSRYGLSEAELKD VLSLDDEVLQAVYRDWTPPSKELLRFPPLLWVRLRRDLGHCLVRRPVDGCMLLAIAHRQL SQVIQVRYLSGPERAKRHGVLAEFFSGAWSQGIKKLITLPLVGKPLNLDRKVAPQPLWFS STVANLRKLTELPFHLLHAGRLEELKQEVLGNMSWISCRGISGGIEVLLDDFDMCAPHMN SPEVDLVREAIQLCGPAVELRGLEKSVLYTELLARLLFFAASHPAMIGQLCQQAQSWFRA CPYPMLVPLAGFLQPPGGPLRATLTGCHKGITAIAWSLEEKLLVVGTQDGAMVVWDVEEQ QVVHVLMGHTAEVKCVRVFAQGTLAISASKDHTLRLWSLLSGQEKVTILDGGSQNPTEPQ SWDLHVDERNNVVYSTSGARVTNWVLCAHA >ENSMUSP00000125092.1 pep:known chromosome:GRCm38:8:72657086:72708033:1 gene:ENSMUSG00000048148.16 transcript:ENSMUST00000163026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nwd1 description:NACHT and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:2442268] XNTQATDYLTTELCLEELERCQKTSIGPAFVALLGDQYGPCPVPRRIEEKEWEALRAQLT SRPRDLELVTRHFQRDDNTIPPTYVLQPSGSLVVPGPEEATLTSVLRGGAQEAWRLGLIS QEQWMCYHRSVIEWEIELGLLSSARGDQGATVFLRDVQDLNKHILDDCSLKMVDRLVDGC LDTNAQSLLSGLKGRILDAQPGALKSHHLSWSRDLVNPKNKAHARYLKQLSEQFVARTNH QVLEQLRELELARQELGWLYQEIRHHLWQSTESTKVFCGHQELLAQLRQQLRQDESRTHT PLVLFGPPGIGKTSLMCKLAQQVPELLGHKTVVVLRLLGTSKLSLDARSLLRSLSFQVCL AYGLPLPPAQVLEAHSRVGHFFHTLLHTVSQRNFESLVLLLDSVDDLDSICHSPRVSWLP LKCPPRVHLILSTCSGQQVLHNLQQTLKDPSTYWEVKALSGSQGQEFIQLLLAAEKRMLS PGQRDVLWASLPECGHPGRLRLAFEEARKWASFTVPVPLATTAEEATHQLCIRLEETHGA LLVAHVLGYIVSSRYGLSEAELKDVLSLDDEVLQAVYRDWTPPSKELLRFPPLLWVRLRR DLGHCLVRRPVDGCMLLAIAHRQLSQVIQVRYLSGPERAKRHGVLAEFFSGAWSQGIKKL ITLPLVGKPLNLDRKVAPQPLWFSSTVANLRKLTELPFHLLHAGRLEELKQEVLGNMSWI SCRGISGGIEVLLDDFDMCAPHMNSPEVDLVREAIQLCGPAVELRGLEKSVLYTELLARL LFFAASHPAMIGQLCQQAQSWFRACPYPMLVPLAGFLQPPGGPLRATLTGCHKGITAIAW SLEEKLLVVGTQDGAMVVWDVEEQQVVHVLMGHTAEVKCVRVFAQGTLAISASKDHTLRL WSLLSGQEKVTILDGGSQNPTEPQSWDLHVDERNNVVYSTSGARINMWNLETSKLVFCIT GDVSDPWVCVALLAAQGLLLALSKGGQVSLWSSAMGKLQEKHQLSSIKEETPTCAVSIQS RARLVAGFSSGSIALVSAGEDRLLEKLPEAVGFLVVSEDDSLLVAGFGRFVRIFLADSQG FHRFMASDLEHEDMVETAVLGPENNLIITGSRDALIQVWSLSEQGTLLNVLEGVGAPVSL LVRGGTLVVSASRKSSSFKVWDLKSTKKLQSPTPFLDRTGLAAVSHHGSFVYFPKVGDKN KVTIWDLAEGEEQDCLDTSNEVRCLEVAEQAKLLFTGLVSGIVLVFPLNSRQDVLCIPPP EARKAVNCMSLSKSENRLAIAYDNIVLVLDISPGDPCPAIEGPTYTFYTQLPETIVSVAV LADYRVVYGMSDGSLFLYDCACSKVFPLEAHGSRVSCVEVSHSEQLAVSGAEDALLCLWD LQACRGMFEMSYEVGGLDN >ENSMUSP00000124833.1 pep:known chromosome:GRCm38:8:72657086:72708033:1 gene:ENSMUSG00000048148.16 transcript:ENSMUST00000160912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nwd1 description:NACHT and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:2442268] XNTQATDYLTTELCLEELERCQKTSIGPAFVALLGDQYGPCPVPRRIEEKEWEALRAQLT SRPRDLELVTRHFQRDDNTIPPTYVLQPSGSLVVPGPEEATLTSVLRGGAQEAWRLGLIS QEQWMCYHRSVIEWEIELGLLSSARGDQGATVFLRDVQDLNKHILDDCSLKMVDRLVDGC LDTNAQSLLSGLKGRILDAQPGALKSHHLSWSRDLVNPKNKAHARYLKQLSEQFVARTNH QVLEQLRELELARQELGWLYQEIRHHLWQSTESTKVFCGHQELLAQLRQQLRQDESRTHT PLVLFGPPGIGKTSLMCKLAQQVPELLGHKTVVVLRLLGTSKLSLDARSLLRSLSFQVCL AYGLPLPPAQVLEAHSRVGHFFHTLLHTVSQRNFESLVLLLDSVDDLDSICHSPRVSWLP LKCPPRVHLILSTCSGQQVLHNLQQTLKDPSTYWEVKALSGSQGQEFIQLLLAAEKRMLS PGQRDVLWASLPECGHPGRLRLAFEEARKWASFTVPVPLATTAEEATHQLCIRLEETHGA LLVAHVLGYIVSSRYGLSEAELKDVLSLDDEVLQAVYRDWTPPSKELLRFPPLLWVRLRR DLGHCLVRRPVDGCMLLAIAHRQLSQVIQVRYLSGPERAKRHGVLAEFFSGAWSQGIKKL ITLPLVGKPLNLDRKVAPQPLWFSSTVANLRKLTELPFHLLHAGRLEELKQEVLGNMSWI SCRGISGGIEVLLDDFDMCAPHMNSPEVDLVREAIQLCGPAVELRGLEKSVLYTELLARL LFFAASHPAMIGQLCQQAQSWFRACPYPMLVPLAGFLQPPGGPLRATLTGCHKGITAIAW SLEEKLLVVGTQDGAMVVWDVEEQQVVHVLMGHTAEVKCVRVFAQGTLAISASKDHTLRL WSLLSGQEKVTILDGGSQNPTEPQSWDLHVDERNNVVYSTSGARINMWNLETSKLVFCIT GDVSDPWVCVALLAAQGLLLALSKGGQVSLWSSAMGKLQEKHQLSSIKEETPTCAVSIQS RARLVAGFSSGSIALVSAGEDRLLEKLPEAVGFLVVSEDDSLLVAGFGRFVRIFLADSQG FHRFMASDLEHEDMVETAVLGPENNLIITGSRDALIQVWSLSEQGTLLNVLEGVGAPVSL LVRGGTLVVSASRKSSSFKVWDLKSTKKLQSPTPFLDRTGLAAVSHHGSFVYFPKVRSKI AWTRPMRSAVWRWPSRPSSSSQAWFLGSCLCSP >ENSMUSP00000124446.1 pep:known chromosome:GRCm38:8:72653486:72708072:1 gene:ENSMUSG00000048148.16 transcript:ENSMUST00000160443.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nwd1 description:NACHT and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:2442268] MDTEREALQCTAYPEVQSFCQRHGLAFEVVDLRWGIPNTQATDYLTTELCLEELERCQKT SIGPAFVLLSGRSSLAY >ENSMUSP00000091135.4 pep:known chromosome:GRCm38:8:72646711:72714738:1 gene:ENSMUSG00000048148.16 transcript:ENSMUST00000093427.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nwd1 description:NACHT and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:2442268] MDTEREALQCTAYPEVQSFCQRHGLAFEVVDLRWGIPNTQATDYLTTELCLEELERCQKT SIGPAFVALLGDQYGPCPVPRRIEEKEWEALRAQLTSRPRDLELVTRHFQRDDNTIPPTY VLQPSGSLVVPGPEEATLTSVLRGGAQEAWRLGLISQEQWMCYHRSVIEWEIELGLLSSA RGDQGATVFLRDVQDLNKHILDDCSLKMVDRLVDGCLDTNAQSLLSGLKGRILDAQPGAL KSHHLSWSRDLVNPKNKAHARYLKQLSEQFVARTNHQVLEQLRELELARQELGWLYQEIR HHLWQSTESTKVFCGHQELLAQLRQQLRQDESRTHTPLVLFGPPGIGKTSLMCKLAQQVP ELLGHKTVVVLRLLGTSKLSLDARSLLRSLSFQVCLAYGLPLPPAQVLEAHSRVGHFFHT LLHTVSQRNFESLVLLLDSVDDLDSICHSPRVSWLPLKCPPRVHLILSTCSGQQVLHNLQ QTLKDPSTYWEVKALSGSQGQEFIQLLLAAEKRMLSPGQRDVLWASLPECGHPGRLRLAF EEARKWASFTVPVPLATTAEEATHQLCIRLEETHGALLVAHVLGYIVSSRYGLSEAELKD VLSLDDEVLQAVYRDWTPPSKELLRFPPLLWVRLRRDLGHCLVRRPVDGCMLLAIAHRQL SQVIQVRYLSGPERAKRHGVLAEFFSGAWSQGIKKLITLPLVGKPLNLDRKVAPQPLWFS STVANLRKLTELPFHLLHAGRLEELKQEVLGNMSWISCRGISGGIEVLLDDFDMCAPHMN SPEVDLVREAIQLCGPAVELRGLEKSVLYTELLARLLFFAASHPAMIGQLCQQAQSWFRA CPYPMLVPLAGFLQPPGGPLRATLTGCHKGITAIAWSLEEKLLVVGTQDGAMVVWDVEEQ QVVHVLMGHTAEVKCVRVFAQGTLAISASKDHTLRLWSLLSGQEKVTILDGGSQNPTEPQ SWDLHVDERNNVVYSTSGARINMWNLETSKLVFCITGDVSDPWVCVALLAAQGLLLALSK GGQVSLWSSAMGKLQEKHQLSSIKEETPTCAVSIQSRARLVAGFSSGSIALVSAGEDRLL EKLPEAVGFLVVSEDDSLLVAGFGRFVRIFLADSQGFHRFMASDLEHEDMVETAVLGPEN NLIITGSRDALIQVWSLSEQGTLLNVLEGVGAPVSLLVRGGTLVVSASRKSSSFKVWDLK STKKLQSPTPFLDRTGLAAVSHHGSFVYFPKVGDKNKVTIWDLAEGEEQDCLDTSNEVRC LEVAEQAKLLFTGLVSGIVLVFPLNSRQDVLCIPPPEARKAVNCMSLSKSENRLAIAYDN IVLVLDISPGDPCPAIEGPTYTFYTQLPETIVSVAVLADYRVVYGMSDGSLFLYDCACSK VFPLEAHGSRVSCVEVSHSEQLAVSGAEDALLCLWDLQACRGMFEMSYENSCCRGVRCAC FSRDDKHVFAGMEDRSVTAWSTVDGTLLAVQFVHAVINRIIPTSNGFMAPTSHGYLIRER FQCPSVRASQQDPLKNFKKAVWLVKTRQREELAAAEASQDAEPVAVEGKESKSNKRSQVC LIL >ENSMUSP00000139080.1 pep:known chromosome:GRCm38:X:37453680:37458288:1 gene:ENSMUSG00000079637.10 transcript:ENSMUST00000184688.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2c description:reproductive homeobox 2C [Source:MGI Symbol;Acc:MGI:3770266] MERQSVNYKLDVGPEEDEENANGIKTLMVLLAGEGRNEGESGRGLPGSGASAAEGYRAGE LSAGGLAAPVADLMDNSNQEDLGATGCDQEKEKQPEEPVPDSMGDLENVKRVSGPWSTVN PVRVLVPKFRHGWQQSFNVLQLQELESIFQCNHYISTKEANRLARSMGVSEATVQVDKSN RKE >ENSMUSP00000110844.3 pep:known chromosome:GRCm38:X:37453680:37458379:1 gene:ENSMUSG00000079637.10 transcript:ENSMUST00000115190.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2c description:reproductive homeobox 2C [Source:MGI Symbol;Acc:MGI:3770266] MERQSVNYKLDVGPEEDEENANGIKTLMVLLAGEGRNEGESGRGLPGSGASAAEGYRAGE LSAGGLAAPVADLMDNSNQEDLGATGCDQEKEKQPEEPVPDSMGDLENVKRVSGPWSTVN PVRVLVPKFRHGWQQSFNVLQLQELESIFQCNHYISTKEANRLARSMGVSEATVQEWFLK RREKYRSYKRL >ENSMUSP00000134224.1 pep:known chromosome:GRCm38:X:37454055:37469861:1 gene:ENSMUSG00000079637.10 transcript:ENSMUST00000173574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2c description:reproductive homeobox 2C [Source:MGI Symbol;Acc:MGI:3770266] XVADLMDNSNQEDLGATGCDQEKEKQPEEPVPDSMGDLENVKRVSGPWSTVNPVRVLVPK FRHGWQQSFNVLQLQELESIFQCNHYISTKEANRLARSMGVSEATVQGINAGH >ENSMUSP00000138977.1 pep:known chromosome:GRCm38:X:37454067:37458377:1 gene:ENSMUSG00000079637.10 transcript:ENSMUST00000183655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2c description:reproductive homeobox 2C [Source:MGI Symbol;Acc:MGI:3770266] XMDNSNQEDLGATGCDQEKEKQPEEPVPDSMGDLENVKRVSGPWSTVNPVRVLVPKFRHG WQQSFNVLQLQELESIFQCNHYISTKEANGF >ENSMUSP00000125398.1 pep:known chromosome:GRCm38:7:31032722:31041839:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000161805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] MSLSSRLCLLTIVALILPSRGQTPKKPTSIFTADQTSATTRDNVPDPDQTSPGVQTTPLI WTREEATGSQTAAQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEPLSPSKNFMPP SYIEHPLDSNENNPFYYDDTTLRKRGLLVAAVLFITGIIILTSGKCRQLSQFCLNRHR >ENSMUSP00000125285.1 pep:known chromosome:GRCm38:7:31032726:31042481:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000161684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] MSLSSRLCLLTIVALILPSRGQTPKKPTSIFTADQTSATTRDNVPDPDQTSPGVQTTPLI WTREEATGSQTAAQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEPLSPSKNFMPP SYIEHPLDSNENNPFYYDDTTLRKRGLLVAAVLFITGIIILTSGKCRQLSQFCLNRHR >ENSMUSP00000124129.1 pep:known chromosome:GRCm38:7:31032730:31039046:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000162250.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] XREEATGSQTAAQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEPLSPSKNFMPPS YIEHPLDSNENNPFYYDDTTLRKRGLLVAAVLFITGIIILTSGKCRQLSQFCLNRHRAYR VINMKES >ENSMUSP00000125173.1 pep:known chromosome:GRCm38:7:31032730:31042118:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000162733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] MSLSSRLCLLTIVALILPSRGQTPKKPTSIFTADQTSATTRDNVPDPDQTSPGVQTTPLI WTREAEATGSQTAAQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEPLSPSKNFMP PSYIEHPLDSNENNPFYYDDTTLRKRGLLVAAVLFITGIIILTSESRAWAERARRGWGRA GLHGD >ENSMUSP00000124203.1 pep:known chromosome:GRCm38:7:31032733:31042078:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000162116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] MSLSSRLCLLTIVALILPSRGQTPKKPTSIFTADQTSATTRDNVPDPDQTSPGVQTTPLI WTREEATGSQTAAQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEPLSPSKNFMPP SYIEHPLDSNENNPFYYDDTTLRKRGLLVAAVLFITGIIILTSGKCRQLSQFCLNRHR >ENSMUSP00000124219.1 pep:known chromosome:GRCm38:7:31032733:31042078:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000159924.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] MSLSSRLCLLTIVALILPSRGQTPKKPTSIFTADQTSATTRDNVPDPDQTSPGVQTTPLI WTREEATGSQTAAQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEPLSPSKNFMPP SYIEHPLDSNENNPFYYDDTTLRKRGLLVAAVLFITGIIILTSGKCRQLSQFCLNRHR >ENSMUSP00000009831.7 pep:known chromosome:GRCm38:7:31032763:31042146:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000009831.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] MSLSSRLCLLTIVALILPSRGQTPKKPTSIFTADQTSATTRDNVPDPDQTSPGVQTTPLI WTREAEATGSQTAAQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEPLSPSKNFMP PSYIEHPLDSNENNPFYYDDTTLRKRGLLVAAVLFITGIIILTSGKCRQLSQFCLNRHR >ENSMUSP00000125065.1 pep:known chromosome:GRCm38:7:31032807:31042140:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000162087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] MSLSSRLCLLTIVALILPSRGQTPKKPTSIFTADQTSATTRDNVPDPDQTSPGVQTTPLI WTREEATGSQTAAQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEPLSPSKNFMPP SYIEHPLDSNENNPFYYDDTTLRKRGLLVAAVLFITGIIILTSGKCRQLSQFCLNRHR >ENSMUSP00000144377.1 pep:known chromosome:GRCm38:7:31036456:31042116:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000202395.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] MSLSSRLCLLTIVALILPSRADQTSATTRDNVPDPDQTSPGVQTTPLIWTREEATGSQTA AQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEPLSPSKNFMPPS >ENSMUSP00000125187.1 pep:known chromosome:GRCm38:7:31036485:31042113:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000160689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] MSLSSRLCLLTIVALILPSRAFISPGQTPKKPTSIFTADQTSATTRDNVPDPDQTSPGVQ TTPLIWTREAEATGSQTAAQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEPL >ENSMUSP00000123813.1 pep:known chromosome:GRCm38:7:31036487:31042087:-1 gene:ENSMUSG00000009687.14 transcript:ENSMUST00000159753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd5 description:FXYD domain-containing ion transport regulator 5 [Source:MGI Symbol;Acc:MGI:1201785] MSLSSRLCLLTIVALILPSRGQTPKKPTSIFTADQTSATTRDNVPDPDQTSPGVQTTPLI WTREAEATGSQTAAQTETQQLTKMATSNPVSDPGPHTSSKKGTPAVSRIEP >ENSMUSP00000111111.1 pep:known chromosome:GRCm38:5:4803391:5266068:-1 gene:ENSMUSG00000028926.15 transcript:ENSMUST00000115451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk14 description:cyclin-dependent kinase 14 [Source:MGI Symbol;Acc:MGI:894318] MSTRNCQGTDSVIKHLDTIPEDKKVRVQRTQSTFDPFEKPANQVKRVHSENNACINFKSS SAGKESPKVRRHSSPSSPTSPKFGKADSYEKLEKLGEGSYATVYKGKSKVNGKLVALKVI RLQEEEGTPFTAIREASLLKGLKHANIVLLHDIIHTKETLTLVFEYVHTDLCQYMDKHPG GLHPDNVKLFLFQLLRGLSYIHQRYILHRDLKPQNLLISDTGELKLADFGLARAKSVPSH TYSNEVVTLWYRPPDVLLGSTEYSTCLDMWGVGCIFVEMIQGVAAFPGMKDIQDQLERIF LVLGTPNEDTWPGVHSLPHFKPERFTVYSSKSLRQAWNKLSYVNHAEDLASKLLQCSPKN RLSAQAALSHEYFSDLPPRLWELTDMSSIFTVPNVRLQPEAGESMRAFGKNNSYGKSLSN SKH >ENSMUSP00000030763.6 pep:known chromosome:GRCm38:5:4803391:5380197:-1 gene:ENSMUSG00000028926.15 transcript:ENSMUST00000030763.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk14 description:cyclin-dependent kinase 14 [Source:MGI Symbol;Acc:MGI:894318] MCDLIEPQPAEKIGKMKKLRRTLSESFSRIALKKEDTTFDEICVTKMSTRNCQGTDSVIK HLDTIPEDKKVRVQRTQSTFDPFEKPANQVKRVHSENNACINFKSSSAGKESPKVRRHSS PSSPTSPKFGKADSYEKLEKLGEGSYATVYKGKSKVNGKLVALKVIRLQEEEGTPFTAIR EASLLKGLKHANIVLLHDIIHTKETLTLVFEYVHTDLCQYMDKHPGGLHPDNVKLFLFQL LRGLSYIHQRYILHRDLKPQNLLISDTGELKLADFGLARAKSVPSHTYSNEVVTLWYRPP DVLLGSTEYSTCLDMWGVGCIFVEMIQGVAAFPGMKDIQDQLERIFLVLGTPNEDTWPGV HSLPHFKPERFTVYSSKSLRQAWNKLSYVNHAEDLASKLLQCSPKNRLSAQAALSHEYFS DLPPRLWELTDMSSIFTVPNVRLQPEAGESMRAFGKNNSYGKSLSNSKH >ENSMUSP00000111110.1 pep:known chromosome:GRCm38:5:4805838:5265309:-1 gene:ENSMUSG00000028926.15 transcript:ENSMUST00000115450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk14 description:cyclin-dependent kinase 14 [Source:MGI Symbol;Acc:MGI:894318] MSTRNCQGTDSVIKHLDTIPEDKKVRVQRTQSTFDPFEKPANQVKRVHSENNACINFKSS SAGKESPKVRRHSSPSSPTSPKFGKADSYEKLEKLGEGSYATVYKGKSKVNGKLVALKVI RLQEEEGTPFTAIREASLLKGLKHANIVLLHDIIHTKETLTLVFEYVHTDLCQYMDKHPG GLHPDNVKLFLFQLLRGLSYIHQRYILHRDLKPQNLLISDTGELKLADFGLARAKSVPSH TYSNEVVTLWYRPPDVLLGSTEYSTCLDMWGVGCIFVEMIQGVAAFPGMKDIQDQLERIF LVLGTPNEDTWPGVHSLPHFKPERFTVYSSKSLRQAWNKLSYVNHAEDLASKLLQCSPKN RLSAQAALSHEYFSDLPPRLWELTDMSSIFTVPNVRLQPEAGESMRAFGKNNSYGKSLSN SKH >ENSMUSP00000111112.1 pep:known chromosome:GRCm38:5:4806693:5266165:-1 gene:ENSMUSG00000028926.15 transcript:ENSMUST00000115452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk14 description:cyclin-dependent kinase 14 [Source:MGI Symbol;Acc:MGI:894318] MRGSSGCNAAAEPAHSAFLGTPQICVTKMSTRNCQGTDSVIKHLDTIPEDKKVRVQRTQS TFDPFEKPANQVKRVHSENNACINFKSSSAGKESPKVRRHSSPSSPTSPKFGKADSYEKL EKLGEGSYATVYKGKSKVNGKLVALKVIRLQEEEGTPFTAIREASLLKGLKHANIVLLHD IIHTKETLTLVFEYVHTDLCQYMDKHPGGLHPDNVKLFLFQLLRGLSYIHQRYILHRDLK PQNLLISDTGELKLADFGLARAKSVPSHTYSNEVVTLWYRPPDVLLGSTEYSTCLDMWGV GCIFVEMIQGVAAFPGMKDIQDQLERIFLVLGTPNEDTWPGVHSLPHFKPERFTVYSSKS LRQAWNKLSYVNHAEDLASKLLQCSPKNRLSAQAALSHEYFSDLPPRLWELTDMSSIFTV PNVRLQPEAGESMRAFGKNNSYGKSLSNSKH >ENSMUSP00000114741.1 pep:known chromosome:GRCm38:5:5227214:5266292:-1 gene:ENSMUSG00000028926.15 transcript:ENSMUST00000131392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk14 description:cyclin-dependent kinase 14 [Source:MGI Symbol;Acc:MGI:894318] MSTRNCQGTDSVIKHLDTIPEDKKVRVQRTQSTFDPFEKPANQVKRVHSENNACINFKSS SAGKESPKVRRHSSPSSPTSPKFGKADSYEKL >ENSMUSP00000130895.1 pep:known chromosome:GRCm38:5:5227215:5420312:-1 gene:ENSMUSG00000028926.15 transcript:ENSMUST00000167567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk14 description:cyclin-dependent kinase 14 [Source:MGI Symbol;Acc:MGI:894318] MAPSVLPRLFLLVPLLLSVSSSPPLYTSALMFHLRLQQDSSAVPQHQVQYRKGSGKGNLK TAVEEGTEELEICVTKMSTRNCQGTDSVIKHLDTIPEDKKVRVQRTQSTFDPFEKPANQV KRVHSENNACINFKSSSAGKESPKVRRHSSPSSPTSPKFGKADSYEKL >ENSMUSP00000044653.8 pep:known chromosome:GRCm38:7:19356014:19359481:-1 gene:ENSMUSG00000047649.9 transcript:ENSMUST00000047036.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd3eap description:CD3E antigen, epsilon polypeptide associated protein [Source:MGI Symbol;Acc:MGI:1917583] MAGTQACSATRFSCPPHFTEMSPDSEPSRFSLEALTGPDTELWLIQAPADFAPQCLNGRR VPLSGSKTVKGKLDGKKHRYRVFTSSPQAREATLLASSSEAGGRLTCAPAPSGSLRIMEG PQEYLLSRVPLQLIPTSLPPQIPAGLRPRFSAFGGSPPVTGPGSASALRSPTSGKRKSTR KGTDASSDTQEAVNRHGAMEVKTALGNLGVSVKKRKRYFMQEEMEAKTMEPVAELPVPSA TSSKKRKKSKGTETSQVEHTEPVAQTEPPEGTFLFPTKKRKRQKEADGTEEVDGIVADSQ PQVIVEAQEETILLSPTKKRRKEKRQNLGMEAEMGPPGVLMVTEHSEHGLQAEVALVSPK KTKKKKGKRVGETEAALPDDFEPQGALAPSKKKERGARL >ENSMUSP00000044457.7 pep:known chromosome:GRCm38:8:117661399:117671547:-1 gene:ENSMUSG00000034308.13 transcript:ENSMUST00000037955.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdr42e1 description:short chain dehydrogenase/reductase family 42E, member 1 [Source:MGI Symbol;Acc:MGI:1921282] MDSPRFPEETVLITGGGGYFGFRLGCALNQKGARVILFDITQPAQNLPEGIKFVCGDIRC LADVETAFQDAEKVACVFHVASYGMSGREQLNKTQIEEVNVGGTENILRACLERGVPRLV YTSTFNVIFGGQVIRNGDESLPYLPLHLHPDHYSRTKSIAEKKVLEANGLAFKQGDGILR TCAIRPAGIYGAGEQRHLPRIVSYIERGLFRFVYGDPQSLVEFVHVDNLAKAHILASEAL KADKGHVASGQPYFISDGRPVNNFEFFRPLVEGLGYTFPSTRLPLTLIYCLAFLVEMTHF IVGRLYNFQPFLTRTEVYKTGVTHYFSLEKAKKELGFEPQPFDLQEVVEWFKAHGHGRGA AGQDSEFMLWDGILILLLALSVLTWILPSTTLSI >ENSMUSP00000133782.1 pep:known chromosome:GRCm38:8:117661402:117673689:-1 gene:ENSMUSG00000034308.13 transcript:ENSMUST00000173522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdr42e1 description:short chain dehydrogenase/reductase family 42E, member 1 [Source:MGI Symbol;Acc:MGI:1921282] MDSPRFPEETVLITGGGGYFGFRLGCALNQKGARVILFDITQPAQNLPEGIKFVCGDIRC LADVETAFQDAEKVACVFHVASYGMSGREQLNKTQIEEVNVGGTENILRACLERGVPRLV YTSTFNVIFGGQVIRNGDESLPYLPLHLHPDHYSRTKSIAEKKVLEANGLAFKQGDGILR TCAIRPAGIYGAGEQRHLPRIVSYIERGLFRFVYGDPQSLVEFVHVDNLAKAHILASEAL KADKGHVASGQPYFISDGRPVNNFEFFRPLVEGLGYTFPSTRLPLTLIYCLAFLVEMTHF IVGRLYNFQPFLTRTEVYKTGVTHYFSLEKAKKELGFEPQPFDLQEVVEWFKAHGHGRGA AGQDSEFMLWDGILILLLALSVLTWILPSTTLSI >ENSMUSP00000133837.1 pep:known chromosome:GRCm38:8:117663333:117673689:-1 gene:ENSMUSG00000034308.13 transcript:ENSMUST00000174450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdr42e1 description:short chain dehydrogenase/reductase family 42E, member 1 [Source:MGI Symbol;Acc:MGI:1921282] MDSPRFPEETVLITGGGGYFGFRLGCALNQKGARVILFDITQPAQNLPEGIKFVCGDIRC LADVETAFQDAEKVACVFHVASYGMSGREQLNKTQIEEVNVGGTENILRACLERGVPRLV YTSTFNVIFGGQVIRNGDESLPYLPLHLHPDHYSRTKSIAEKKVLEANGLAFKQGDGILR TCAIRPAGI >ENSMUSP00000130398.1 pep:known chromosome:GRCm38:6:129610323:129623864:-1 gene:ENSMUSG00000030149.15 transcript:ENSMUST00000168919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrk1 description:killer cell lectin-like receptor subfamily K, member 1 [Source:MGI Symbol;Acc:MGI:1196250] MSKCHNYDLKPAKWDTSQEQQKQRLALTTSQPGENGIIRGRYPIEKLKISPMFVVRVLAI ALAIRFTLNTLMWLAIFKETFQPVIIILTVLCNKEVPVSSREGYCGPCPNNWICHRNNCY QFFNEEKTWNQSQASCLSQNSSLLKIYSKEEQDFLKLVKSYHWMGLVQIPANGSWQWEDG SSLSYNQLTLVEIPKGSCAVYGSSFKAYTEDCANLNTYICMKRAV >ENSMUSP00000032252.5 pep:known chromosome:GRCm38:6:129612414:129622772:-1 gene:ENSMUSG00000030149.15 transcript:ENSMUST00000032252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrk1 description:killer cell lectin-like receptor subfamily K, member 1 [Source:MGI Symbol;Acc:MGI:1196250] MALIRDRKSHHSEMSKCHNYDLKPAKWDTSQEQQKQRLALTTSQPGENGIIRGRYPIEKL KISPMFVVRVLAIALAIRFTLNTLMWLAIFKETFQPVLCNKEVPVSSREGYCGPCPNNWI CHRNNCYQFFNEEKTWNQSQASCLSQNSSLLKIYSKEEQDFLKLVKSYHWMGLVQIPANG SWQWEDGSSLSYNQLTLVEIPKGSCAVYGSSFKAYTEDCANLNTYICMKRAV >ENSMUSP00000093061.4 pep:known chromosome:GRCm38:6:129612460:129623841:-1 gene:ENSMUSG00000030149.15 transcript:ENSMUST00000095412.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrk1 description:killer cell lectin-like receptor subfamily K, member 1 [Source:MGI Symbol;Acc:MGI:1196250] MSKCHNYDLKPAKWDTSQEQQKQRLALTTSQPGENGIIRGRYPIEKLKISPMFVVRVLAI ALAIRFTLNTLMWLAIFKETFQPVLCNKEVPVSSREGYCGPCPNNWICHRNNCYQFFNEE KTWNQSQASCLSQNSSLLKIYSKEEQDFLKLVKSYHWMGLVQIPANGSWQWEDGSSLSYN QLTLVEIPKGSCAVYGSSFKAYTEDCANLNTYICMKRAV >ENSMUSP00000144161.1 pep:known chromosome:GRCm38:11:61956779:62138523:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000202178.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MRSAAKPWSPVAKAGSHGPDRTRPLPGTPSGMKSSKSSTSLAFESRLSKLKRASSEDTLN KPGSASSGVARLKKTSTSGAISELTESRLRSNTGTIPTTKRTGIPAPRELSVTISRERSV PRGPSSSKKLGSSPTSSCNPTPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKD SEINRLRSELKKCKERWALSTEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELA DLEEENRALKEKLTYLEQSPNSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYR RTTHGSALRTSGSSSSDVTKASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSS PNNASELSLASLTEKIQKMEENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTI LETSFHQHRERAEQLSQENEKLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFER EKLLNIQQQLTCSLRKVEEENQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECR VTLEGLKMENGSLKALLEADKQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTE LRQELLKANGEIKHVSSLLAKMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKD MKETIFELEDQVEQHRAVKLHNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEE WRRFQADLQTAVVVANDIKCEAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSSSMVSV S >ENSMUSP00000144055.1 pep:known chromosome:GRCm38:11:61956815:62219435:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000202389.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MRSAAKPWSPVAKAGSHGPDRTRPLPGTPSGMKSSKSSTSLAFESRLSKLKRASSEDTLN KPGSASSGVARLKKTSTSGAISELTESRLRSNTGTIPTTKRTGIPAPRELSVTISRERSV PRGPSSSKKLGSSPTSSCNPTPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKD SEINRLRSELKKCKERWALSTEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELA DLEEENRALKEKLTYLEQSPNSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYR RTTHGSALRTSGSSSSDVTKASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSS PNNASELSLASLTEKIQKMEENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTI LETSFHQHRERAEQLSQENEKLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFER EKLLNIQQQLTCSLRKVEEENQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECR VTLEGLKMENGSLKALLEADKQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTE LRQELLKANGEIKHVSSLLAKMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKD MKETIFELEDQVEQHRAVKLHNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEE WRRFQADLQTAVVVANDIKCEAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRWVTGR ATLLPVNEEPEPSEADAAGRWRGVYVNRTSPAPSDSATTVKSLIKSFDLGHSGGTGQSIS VHKTPRSPLSGIPVRTAPAAAVSPMQRHSTYSSMKPASKGTSQRLDLPDLPLSDLLKGRA EDRKSDPYLRKSPSLESLSRPPSLGFGNTRLLSASTGGLKPSKLSVERRDPLAALAREYG GSKRNALLKWCQKKTEGYANIDITNFSSSWSDGLALCALLHTYLPAHIPYQELNSQEKKR NLLLAFEAAQSVGINPSLELSEMLYTDRPDWQSVMQYVAQIYKYFET >ENSMUSP00000144659.1 pep:known chromosome:GRCm38:11:62005350:62138071:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000201624.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MRSAAKPWSPVAKAGSHGPDRTRPLPGTPSGMKSSKSSTSLAFESRLSKLKRASSEDTLN KPGSASSGVARLKKTSTSGAISELTESRLRSNTGTIPTTKRTGIPAPRELSVTISRERSV PRGPSSSKKLGSSPTSSCNPTPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKD SEINRLRSELKKCKERWALSTEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELA DLEEENRALKEKLTYLEQSPNSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYR RTTHGSALRTSGSSSSDVTKASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSS PNNASELSLASLTEKIQKMEENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTI LETSFHQHRERAEQLSQENEKLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFER EKLLNIQQQLTCSLRKVEEENQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECR VTLEGLKMENGSLKALLEADKQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTE LRQELLKANGEIKHVSSLLAKMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKD MKETIFELEDQVEQHRAVKLHNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEE WRRFQADLQTAVVVANDIKCEAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRWVTGR ATLLSMVSVS >ENSMUSP00000063102.7 pep:known chromosome:GRCm38:11:62018848:62141726:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000049836.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MRSAAKPWSPVAKAGSHGPDRTRPLPGTPSGMKSSKSSTSLAFESRLSKLKRASSEDTLN KPGSASSGVARLKKTSTSGAISELTESRLRSNTGTIPTTKRTGIPAPRELSVTISRERSV PRGPSSSKKLGSSPTSSCNPTPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKD SEINRLRSELKKCKERWALSTEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELA DLEEENRALKEKLTYLEQSPNSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYR RTTHGSALRTSGSSSSDVTKASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSS PNNASELSLASLTEKIQKMEENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTI LETSFHQHRERAEQLSQENEKLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFER EKLLNIQQQLTCSLRKVEEENQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECR VTLEGLKMENGSLKALLEADKQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTE LRQELLKANGEIKHVSSLLAKMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKD MKETIFELEDQVEQHRAVKLHNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEE WRRFQADLQTAVVVANDIKCEAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRWVTGR ATLLSMVSVS >ENSMUSP00000144311.1 pep:known chromosome:GRCm38:11:62018864:62219964:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000202905.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MRSAAKPWSPVAKAGSHGPDRTRPLPGTPSGMKSSKSSTSLAFESRLSKLKRASSEDTLN KPGSASSGVARLKKTSTSGAISELTESRLRSNTGTIPTTKRTGIPAPRELSVTISRERSV PRGPSSSKKLGSSPTSSCNPTPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKD SEINRLRSELKKCKERWALSTEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELA DLEEENRALKEKLTYLEQSPNSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYR RTTHGSALRTSGSSSSDVTKASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSS PNNASELSLASLTEKIQKMEENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTI LETSFHQHRERAEQLSQENEKLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFER EKLLNIQQQLTCSLRKVEEENQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECR VTLEGLKMENGSLKALLEADKQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTE LRQELLKANGEIKHVSSLLAKMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKD MKETIFELEDQVEQHRAVKLHNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEE WRRFQADLQTAVVVANDIKCEAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRWVTGR ATLLPVNEEPEPSEADAAGRWRGVYVNRTSPAPSDSATTVKSLIKSFDLGHSGGTGQSIS VHKTPRSPLSGIPVRTAPAAAVSPMQRHSTYSSMKPASKGTSQRLDLPDLPLSDLLKGRA EDRKSDPYLRKSPSLESLSRPPSLGFGNTRLLSASTGGLKPSKLSVERRDPLAALAREYG GSKRNALLKWCQKKTEGYANIDITNFSSSWSDGLALCALLHTYLPAHIPYQELNSQEKKR NLLLAFEAAQSVGINPSLELSEMLYTDRPDWQSVMQYVAQIYKYFET >ENSMUSP00000143853.1 pep:known chromosome:GRCm38:11:62029253:62221129:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000201364.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MRSAAKPWSPVAKAGSHGPDRTRPLPGTPSGMKSSKSSTSLAFESRLSKLKRASSEDTLN KPGSASSGVARLKKTSTSGAISELTESRLRSNTGTIPTTKRTGIPAPRELSVTISRERSV PRGPSSSKKLGSSPTSSCNPTPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKD SEINRLRSELKKCKERWALSTEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELA DLEEENRALKEKLTYLEQSPNSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYR RTTHGSALRTSGSSSSDVTKASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSS PNNASELSLASLTEKIQKMEENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTI LETSFHQHRERAEQLSQENEKLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFER EKLLNIQQQLTCSLRKVEEENQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECR VTLEGLKMENGSLKALLEADKQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTE LRQELLKANGEIKHVSSLLAKMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKD MKETIFELEDQVEQHRAVKLHNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEE WRRFQADLQTAVVVANDIKCEAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRPVNEE PEPSEADAAGRWRGVYVNRTSPAPSDSATTVKSLIKSFDLGHSGGTGQSISVHKTPRSPL SGIPVRTAPAAAVSPMQRHSTYSSMKPASKGTSQRLDLPDLPLSDLLKGRAEDRKSDPYL RKSPSLESLSRPPSLGFGNTRLLSASTGGLKPSKLSVERRDPLAALAREYGGSKRNALLK WCQKKTEGYANIDITNFSSSWSDGLALCALLHTYLPAHIPYQELNSQEKKRNLLLAFEAA QSVGINPSLELSEMLYTDRPDWQSVMQYVAQIYKYFET >ENSMUSP00000090071.5 pep:known chromosome:GRCm38:11:62076995:62223005:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000092415.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MGNHSAKLEEPETGTIPTTKRTGIPAPRELSVTISRERSVPRGPSSSKKLGSSPTSSCNP TPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKDSEINRLRSELKKCKERWALS TEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELADLEEENRALKEKLTYLEQSP NSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYRRTTHGSALRTSGSSSSDVTK ASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSSPNNASELSLASLTEKIQKME ENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTILETSFHQHRERAEQLSQENE KLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFEREKLLNIQQQLTCSLRKVEEE NQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECRVTLEGLKMENGSLKALLEAD KQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTELRQELLKANGEIKHVSSLLA KMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKDMKETIFELEDQVEQHRAVKL HNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEEWRRFQADLQTAVVVANDIKC EAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRWVTGRATLLPVNEEPEPSEADAAGR WRGVYVNRTSPAPSDSATTVKSLIKSFDLGHSGGTGQSISVHKTPRSPLSGIPVRTAPAA AVSPMQRHSTYSSMKPASKGTSQRLDLPDLPLSDLLKGRAEDRKSDPYLRKSPSLESLSR PPSLGFGNTRLLSASTGGLKPSKLSVERRDPLAALAREYGGSKRNALLKWCQKKTEGYAN IDITNFSSSWSDGLALCALLHTYLPAHIPYQELNSQEKKRNLLLAFEAAQSVGINPSLEL SEMLYTDRPDWQSVMQYVAQIYKYFET >ENSMUSP00000144174.1 pep:known chromosome:GRCm38:11:62076999:62219584:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000201015.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MKETIFELEDQVEQHRAVKLHNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEE WRRFQADLQTAVVVANDIKCEAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRWVTGR ATLLPVNEEPEPSEADAAGRWRGVYVNRTSPAPSDSATTVKSLIKSFDLGHSGGTGQSIS VHKTPRSPLSGIPVRTAPAAAVSPMQRHSTYSSMKPASKGTSQRLDLPDLPLSDLLKGRA EDRKSDPYLRKSPSLESLSRPPSLGFGNTRLLSASTGGLKPSKLSVERRDPLAALAREYG GSKRNALLKWCQKKTEGYANIDITNFSSSWSDGLALCALLHTYLPAHIPYQELNSQEKKR NLLLAFEAAQSVGINPSLELSEMLYTDRPDWQSVMQYVAQIYKYFET >ENSMUSP00000144483.1 pep:known chromosome:GRCm38:11:62077059:62138084:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000202744.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MKETIFELEDQVEQHRAVKLHNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEE WRRFQADLQTAVVVANDIKCEAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSSSMVSV S >ENSMUSP00000144542.1 pep:known chromosome:GRCm38:11:62077091:62138522:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000201723.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MGNHSAKLEEPETGTIPTTKRTGIPAPRELSVTISRERSVPRGPSSSKKLGSSPTSSCNP TPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKDSEINRLRSELKKCKERWALS TEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELADLEEENRALKEKLTYLEQSP NSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYRRTTHGSALRTSGSSSSDVTK ASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSSPNNASELSLASLTEKIQKME ENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTILETSFHQHRERAEQLSQENE KLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFEREKLLNIQQQLTCSLRKVEEE NQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECRVTLEGLKMENGSLKALLEAD KQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTELRQELLKANGEIKHVSSLLA KMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKDMKETIFELEDQVEQHRAVKL HNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEEWRRFQADLQTAVVVANDIKC EAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRWVTGRATLLSMVSVS >ENSMUSP00000144300.1 pep:known chromosome:GRCm38:11:62077097:62223013:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000202179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MGNHSAKLEEPETGTIPTTKRTGIPAPRELSVTISRERSVPRGPSSSKKLGSSPTSSCNP TPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKDSEINRLRSELKKCKERWALS TEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELADLEEENRALKEKLTYLEQSP NSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYRRTTHGSALRTSGSSSSDVTK ASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSSPNNASELSLASLTEKIQKME ENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTILETSFHQHRERAEQLSQENE KLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFEREKLLNIQQQLTCSLRKVEEE NQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECRVTLEGLKMENGSLKALLEAD KQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTELRQELLKANGEIKHVSSLLA KMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKDMKETIFELEDQVEQHRAVKL HNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEEWRRFQADLQTAVVVANDIKC EAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRPVNEEPEPSEADAAGRWRGVYVNRT SPAPSDSATTVKSLIKSFDLGHSGGTGQSISVHKTPRSPLSGIPVRTAPAAAVSPMQRHS TYSSMKPASKGTSQRLDLPDLPLSDLLKGRAEDRKSDPYLRKSPSLESLSRPPSLGFGNT RLLSASTGGLKPSKLSVERRDPLAALAREYGGSKRNALLKWCQKKTEGYANIDITNFSSS WSDGLALCALLHTYLPAHIPYQELNSQEKKRNLLLAFEAAQSVGINPSLELSEMLYTDRP DWQSVMQYVAQIYKYFET >ENSMUSP00000104349.1 pep:known chromosome:GRCm38:11:62029276:62223013:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000108709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MRSAAKPWSPVAKAGSHGPDRTRPLPGTPSGMKSSKSSTSLAFESRLSKLKRASSEDTLN KPGSASSGVARLKKTSTSGAISELTESRLRSNTGTIPTTKRTGIPAPRELSVTISRERSV PRGPSSSKKLGSSPTSSCNPTPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKD SEINRLRSELKKCKERWALSTEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELA DLEEENRALKEKLTYLEQSPNSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYR RTTHGSALRTSGSSSSDVTKASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSS PNNASELSLASLTEKIQKMEENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTI LETSFHQHRERAEQLSQENEKLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFER EKLLNIQQQLTCSLRKVEEENQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECR VTLEGLKMENGSLKALLEADKQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTE LRQELLKANGEIKHVSSLLAKMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKD MKETIFELEDQVEQHRAVKLHNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEE WRRFQADLQTAVVVANDIKCEAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRWVTGR ATLLPVNEEPEPSEADAAGRWRGVYVNRTSPAPSDSATTVKSLIKSFDLGHSGGTGQSIS VHKTPRSPLSGIPVRTAPAAAVSPMQRHSTYSSMKPASKGTSQRLDLPDLPLSDLLKGRA EDRKSDPYLRKSPSLESLSRPPSLGFGNTRLLSASTGGLKPSKLSVERRDPLAALAREYG GSKRNALLKWCQKKTEGYANIDITNFSSSWSDGLALCALLHTYLPAHIPYQELNSQEKKR NLLLAFEAAQSVGINPSLELSEMLYTDRPDWQSVMQYVAQIYKYFET >ENSMUSP00000144030.1 pep:known chromosome:GRCm38:11:61956763:62138071:1 gene:ENSMUSG00000042331.13 transcript:ENSMUST00000201671.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1 description:sperm antigen with calponin homology and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:2442356] MRSAAKPWSPVAKAGSHGPDRTRPLPGTPSGMKSSKSSTSLAFESRLSKLKRASSEDTLN KPGSASSGVARLKKTSTSGAISELTESRLRSNTGTIPTTKRTGIPAPRELSVTISRERSV PRGPSSSKKLGSSPTSSCNPTPTKHLRTTPAKPKQEHEGAEKAVLESQVRELLAEAKTKD SEINRLRSELKKCKERWALSTEDANASDPSAEGTASPESDAQPLIRTLEEKNKTFQKELA DLEEENRALKEKLTYLEQSPNSEGAASHTGDSSCPTSITHESSFGSPVGNELSSETDEYR RTTHGSALRTSGSSSSDVTKASLSPDASDFEHITADTPSRPLSATSNPFKSSKGSPTGSS PNNASELSLASLTEKIQKMEENQHSTAEELQATLQELSDQQQMVQELTAENEKLVDEKTI LETSFHQHRERAEQLSQENEKLINLLQERVKNEEPSAQGGKVLELEQKCTDILEKSRFER EKLLNIQQQLTCSLRKVEEENQGAIDMIKHLKEENEKLNGFLEHERCNNSVMAKTLEECR VTLEGLKMENGSLKALLEADKQKAIEASSTVGQTAENFEVQEMLKVARAEKDQLQLSCTE LRQELLKANGEIKHVSSLLAKMEKDYSYLKEVCDHQAEQLSRTSLKLQEKASESDAEIKD MKETIFELEDQVEQHRAVKLHNNQLISELEGSVIKLEEQKSDLERQLKTLTKQIKEETEE WRRFQADLQTAVVVANDIKCEAQQELRTVKRRLLEEEEKNARLQKELGDIQGHSRWVTGR ATLLSMVSVS >ENSMUSP00000117569.1 pep:known chromosome:GRCm38:11:67200052:67224575:1 gene:ENSMUSG00000056328.14 transcript:ENSMUST00000124516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh1 description:myosin, heavy polypeptide 1, skeletal muscle, adult [Source:MGI Symbol;Acc:MGI:1339711] MSSDAEMAVFGEAAPYLRKSEKERIEAQNKPFDAKSSVFVVDAKESFVKATVQSREGGKV TAKTEGGTTVTVKDDQVYPMNPPKYDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG LFCVTVNPYKWLPVYNAEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE SGAGKTVNTKRVIQYFATIAVTGEKKKEEATSGKMQGTLEDQIISANPLLEAFGNAKTVR NDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQIMSNKKPDL IEMLLITTNPYDYAFVSQGEITVPSIDDQEELMATDSAIDILGFTSDERVSIYKLTGAVM HYGNMKFKQKQREEQAEPDGTEVADKAAYLQNLNSADLLKALCYPRVKVGNEYVTKGQTV QQVYNSVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLC INFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEE CMFPKATDTSFKNKLYEQHLGKSNNFQKPKPAKGKVEAHFSLVHYAGTVDYNIAGWLDKN KDPLNETVVGLYQKSSMKTLAYLFSGAAAAAEAESGGGGGKKGAKKKGSSFQTVSALFRE NLNKLMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRI LYADFKQRYKVLNASAIPEGQFIDSKKASEKLLGSIDIDHTQYKFGHTKVFFKAGLLGLL EEMRDDKLAQLITRTQAMCRGYLARVEYQKMVERRESIFCIQYNVRAFMNVKHWPWMKLY FKIKPLLKSAETEKEMANMKEEFEKAKENLAKAEAKRKELEEKMVALMQEKNDLQLQVQS EADSLADAEERCDQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKD IDDLELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAE EDKVNTLTKAKIKLEQQVDDLEGSLEQEKKIRMDLERAKRKLEGDLKLAQESTMDVENDK QQLDEKLKKKEFEMSNLQSKIEDEQALGMQLQKKIKELQARIEELEEEIEAERASRAKAE KQRSDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLR KKHADSVAELGEQIDNLQRVKQKLEKEKSEMKMEIDDLASNMEVISKSKGNLEKMCRTLE DQVSELKTKEEEQQRLINELTAQRGRLQTESGEYSRQLDEKDSLVSQLSRGKQAFTQQIE ELKRQLEEEIKAKSALAHALQSSRHDCDLLREQYEEEQEAKAELQRAMSKANSEVAQWRT KYETDAIQRTEELEEAKKKLAQRLQDAEEHVEAVNAKCASLEKTKQRLQNEVEDLMIDVE RTNAACAALDKKQRNFDKILAEWKQKYEETHAELEASQKESRSLSTELFKIKNAYEESLD HLETLKRENKNLQQEISDLTEQIAEGGKRIHELEKIKKQIEQEKSELQAALEEAEASLEH EEGKILRIQLELNQVKSEIDRKIAEKDEEIDQLKRNHIRVVESMQSTLDAEIRSRNDAIR LKKKMEGDLNEMEIQLNHSNRMAAEALRNYRNTQGILKDTQLHLDDALRGQEDLKEQLAM VERRANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETD ISQIQGEMEDIVQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDL QHRLDEAEQLALKGGKKQIQKLEARVRELEGEVENEQKRNVEAIKGLRKHERRVKELTYQ TEEDRKNVLRLQDLVDKLQSKVKAYKRQAEEAEEQSNVNLAKFRKIQHELEEAEERADIA ESQVNKLRVKSREVHTKIISEE >ENSMUSP00000018637.8 pep:known chromosome:GRCm38:11:67200111:67224495:1 gene:ENSMUSG00000056328.14 transcript:ENSMUST00000018637.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh1 description:myosin, heavy polypeptide 1, skeletal muscle, adult [Source:MGI Symbol;Acc:MGI:1339711] MSSDAEMAVFGEAAPYLRKSEKERIEAQNKPFDAKSSVFVVDAKESFVKATVQSREGGKV TAKTEGGTTVTVKDDQVYPMNPPKYDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG LFCVTVNPYKWLPVYNAEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE SGAGKTVNTKRVIQYFATIAVTGEKKKEEATSGKMQGTLEDQIISANPLLEAFGNAKTVR NDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQIMSNKKPDL IEMLLITTNPYDYAFVSQGEITVPSIDDQEELMATDSAIDILGFTSDERVSIYKLTGAVM HYGNMKFKQKQREEQAEPDGTEVADKAAYLQNLNSADLLKALCYPRVKVGNEYVTKGQTV QQVYNSVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLC INFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEE CMFPKATDTSFKNKLYEQHLGKSNNFQKPKPAKGKVEAHFSLVHYAGTVDYNIAGWLDKN KDPLNETVVGLYQKSSMKTLAYLFSGAAAAAEAESGGGGGKKGAKKKGSSFQTVSALFRE NLNKLMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRI LYADFKQRYKVLNASAIPEGQFIDSKKASEKLLGSIDIDHTQYKFGHTKVFFKAGLLGLL EEMRDDKLAQLITRTQAMCRGYLARVEYQKMVERRESIFCIQYNVRAFMNVKHWPWMKLY FKIKPLLKSAETEKEMANMKEEFEKAKENLAKAEAKRKELEEKMVALMQEKNDLQLQVQS EADSLADAEERCDQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKD IDDLELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAE EDKVNTLTKAKIKLEQQVDDLEGSLEQEKKIRMDLERAKRKLEGDLKLAQESTMDVENDK QQLDEKLKKKEFEMSNLQSKIEDEQALGMQLQKKIKELQARIEELEEEIEAERASRAKAE KQRSDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLR KKHADSVAELGEQIDNLQRVKQKLEKEKSEMKMEIDDLASNMEVISKSKGNLEKMCRTLE DQVSELKTKEEEQQRLINELTAQRGRLQTESGEYSRQLDEKDSLVSQLSRGKQAFTQQIE ELKRQLEEEIKAKSALAHALQSSRHDCDLLREQYEEEQEAKAELQRAMSKANSEVAQWRT KYETDAIQRTEELEEAKKKLAQRLQDAEEHVEAVNAKCASLEKTKQRLQNEVEDLMIDVE RTNAACAALDKKQRNFDKILAEWKQKYEETHAELEASQKESRSLSTELFKIKNAYEESLD HLETLKRENKNLQQEISDLTEQIAEGGKRIHELEKIKKQIEQEKSELQAALEEAEASLEH EEGKILRIQLELNQVKSEIDRKIAEKDEEIDQLKRNHIRVVESMQSTLDAEIRSRNDAIR LKKKMEGDLNEMEIQLNHSNRMAAEALRNYRNTQGILKDTQLHLDDALRGQEDLKEQLAM VERRANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETD ISQIQGEMEDIVQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDL QHRLDEAEQLALKGGKKQIQKLEARVRELEGEVENEQKRNVEAIKGLRKHERRVKELTYQ TEEDRKNVLRLQDLVDKLQSKVKAYKRQAEEAEEQSNVNLAKFRKIQHELEEAEERADIA ESQVNKLRVKSREVHTKIISEE >ENSMUSP00000115583.1 pep:known chromosome:GRCm38:11:67200138:67202255:1 gene:ENSMUSG00000056328.14 transcript:ENSMUST00000129018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh1 description:myosin, heavy polypeptide 1, skeletal muscle, adult [Source:MGI Symbol;Acc:MGI:1339711] MSSDAEMAVFGEAAPYLRKSEKERIEAQNKPFDAKSSVFVVDAKESFVKATVQSREGGKV TAKTEGGTTVTVKDDQVYPMNPPKYDKIEDMAMMT >ENSMUSP00000075147.5 pep:known chromosome:GRCm38:11:67200404:67224573:1 gene:ENSMUSG00000056328.14 transcript:ENSMUST00000075734.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh1 description:myosin, heavy polypeptide 1, skeletal muscle, adult [Source:MGI Symbol;Acc:MGI:1339711] MSSDAEMAVFGEAAPYLRKSEKERIEAQNKPFDAKSSVFVVDAKESFVKATVQSREGGKV TAKTEGGTTVTVKDDQVYPMNPPKYDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG LFCVTVNPYKWLPVYNAEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE SGAGKTVNTKRVIQYFATIAVTGEKKKEEATSGKMQGTLEDQIISANPLLEAFGNAKTVR NDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQIMSNKKPDL IEMLLITTNPYDYAFVSQGEITVPSIDDQEELMATDSAIDILGFTSDERVSIYKLTGAVM HYGNMKFKQKQREEQAEPDGTEVADKAAYLQNLNSADLLKALCYPRVKVGNEYVTKGQTV QQVYNSVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLC INFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEE CMFPKATDTSFKNKLYEQHLGKSNNFQKPKPAKGKVEAHFSLVHYAGTVDYNIAGWLDKN KDPLNETVVGLYQKSSMKTLAYLFSGAAAAAEAESGGGGGKKGAKKKGSSFQTVSALFRE NLNKLMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRI LYADFKQRYKVLNASAIPEGQFIDSKKASEKLLGSIDIDHTQYKFGHTKVFFKAGLLGLL EEMRDDKLAQLITRTQAMCRGYLARVEYQKMVERRESIFCIQYNVRAFMNVKHWPWMKLY FKIKPLLKSAETEKEMANMKEEFEKAKENLAKAEAKRKELEEKMVALMQEKNDLQLQVQS EADSLADAEERCDQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKD IDDLELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAE EDKVNTLTKAKIKLEQQVDDLEGSLEQEKKIRMDLERAKRKLEGDLKLAQESTMDVENDK QQLDEKLKKKEFEMSNLQSKIEDEQALGMQLQKKIKELQARIEELEEEIEAERASRAKAE KQRSDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLR KKHADSVAELGEQIDNLQRVKQKLEKEKSEMKMEIDDLASNMEVISKSKGNLEKMCRTLE DQVSELKTKEEEQQRLINELTAQRGRLQTESGEYSRQLDEKDSLVSQLSRGKQAFTQQIE ELKRQLEEEIKAKSALAHALQSSRHDCDLLREQYEEEQEAKAELQRAMSKANSEVAQWRT KYETDAIQRTEELEEAKKKLAQRLQDAEEHVEAVNAKCASLEKTKQRLQNEVEDLMIDVE RTNAACAALDKKQRNFDKILAEWKQKYEETHAELEASQKESRSLSTELFKIKNAYEESLD HLETLKRENKNLQQEISDLTEQIAEGGKRIHELEKIKKQIEQEKSELQAALEEAEASLEH EEGKILRIQLELNQVKSEIDRKIAEKDEEIDQLKRNHIRVVESMQSTLDAEIRSRNDAIR LKKKMEGDLNEMEIQLNHSNRMAAEALRNYRNTQGILKDTQLHLDDALRGQEDLKEQLAM VERRANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETD ISQIQGEMEDIVQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDL QHRLDEAEQLALKGGKKQIQKLEARVRELEGEVENEQKRNVEAIKGLRKHERRVKELTYQ TEEDRKNVLRLQDLVDKLQSKVKAYKRQAEEAEEQSNVNLAKFRKIQHELEEAEERADIA ESQVNKLRVKSREVHTKIISEE >ENSMUSP00000139330.1 pep:known chromosome:GRCm38:X:37380028:37384308:1 gene:ENSMUSG00000095601.8 transcript:ENSMUST00000184565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3a2 description:reproductive homeobox 3A2 [Source:MGI Symbol;Acc:MGI:5434440] MSMKPERSISNWIHSNVERAGRNLFQVNGHRSALLPELPQDYHRASRSVNGCETKMDSTQ GTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRNKEDGG TKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQWQLDELERIFRMNYFLS LEARKQLARWMGVNEAIVKRWFQKRREQYRWYKRL >ENSMUSP00000138828.1 pep:known chromosome:GRCm38:X:37380517:37384493:1 gene:ENSMUSG00000095601.8 transcript:ENSMUST00000183543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3a2 description:reproductive homeobox 3A2 [Source:MGI Symbol;Acc:MGI:5434440] RASRSVNGCETKMDSTQGTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQ WQLDELERIFRMNYFLSLEARDGFRRGENNTGGIRGYKVSEVLLLLLRTSFLKTVEEPCS ATIAKAT >ENSMUSP00000139263.1 pep:known chromosome:GRCm38:X:37380517:37384493:1 gene:ENSMUSG00000095601.8 transcript:ENSMUST00000183901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3a2 description:reproductive homeobox 3A2 [Source:MGI Symbol;Acc:MGI:5434440] RASRSVNGCETKMDSTQGTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGEMVSEEERTIQVV >ENSMUSP00000094198.4 pep:known chromosome:GRCm38:X:37380388:37384488:1 gene:ENSMUSG00000095601.8 transcript:ENSMUST00000096459.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3a2 description:reproductive homeobox 3A2 [Source:MGI Symbol;Acc:MGI:5434440] MSMKPERSISNWIHSNVERAGRNLFQVNGHRSALLPELPQDYHRASRSVNGCETKMDSTQ GTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRNKEDGG TKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQWQLDELERIFRMNYFLS LEARKQLARWMGVNEAIVKRWFQKRREQYRWYKRL >ENSMUSP00000003645.2 pep:known chromosome:GRCm38:7:19344778:19356524:1 gene:ENSMUSG00000003549.9 transcript:ENSMUST00000003645.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc1 description:excision repair cross-complementing rodent repair deficiency, complementation group 1 [Source:MGI Symbol;Acc:MGI:95412] MDPGKDEESRPQPSGPPTRRKFVIPLEEEEVPCAGVKPLFRSSRNPTIPATSAHVAPQTY AEYAITQPPGGAGATVPTGSEPAAGENPSQTLKTGAKSNSIIVSPRQRGNPVLKFVRNVP WEFGEVIPDYVLGQSTCALFLSLRYHNLHPDYIHERLQSLGKNFALRVLLVQVDVKDPQQ ALKELAKMCILADCTLVLAWSAEEAGRYLETYKAYEQKPADLLMEKLEQNFLSRATECLT TVKSVNKTDSQTLLATFGSLEQLFTASREDLALCPGLGPQKARRLFEVLHEPFLKVPR >ENSMUSP00000125655.1 pep:known chromosome:GRCm38:7:19345149:19354843:1 gene:ENSMUSG00000003549.9 transcript:ENSMUST00000160369.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc1 description:excision repair cross-complementing rodent repair deficiency, complementation group 1 [Source:MGI Symbol;Acc:MGI:95412] MDPGKDEESRPQPSGPPTRRKFVIPLEEEEVPCAGVKPLFRSSRNPTIPATSAHVAPQTY AEYAITQPPGGAGATVPTGSEPAAGENPSQTLKTGAKSNSIIVSPRQRGNPVLKFVRNVP WEFGEVIPDYVLGQSTCALFLSLRYHNLHPDYIHERLQSLGKNFALRVLLVQVDVKDPQQ ALKELAKMCILADCTLVLAWSAEEAGRYLETYKAYEQKPADLLMEKLEQNFLSRVSLCLL SSCTS >ENSMUSP00000135021.1 pep:known chromosome:GRCm38:7:19345160:19350186:1 gene:ENSMUSG00000003549.9 transcript:ENSMUST00000161378.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc1 description:excision repair cross-complementing rodent repair deficiency, complementation group 1 [Source:MGI Symbol;Acc:MGI:95412] MDPGKDEESRPQPSGPPTRRKFVIPLEEEEVPCAGFQGIQCPLLTTEGNQECTWCMYIHA GQALIQIVTESHHPSNLSPRGPSDVC >ENSMUSP00000135767.1 pep:known chromosome:GRCm38:7:19348524:19352705:1 gene:ENSMUSG00000003549.9 transcript:ENSMUST00000176818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc1 description:excision repair cross-complementing rodent repair deficiency, complementation group 1 [Source:MGI Symbol;Acc:MGI:95412] PTGSEPAAGENPSQTLKTGAKSNSIIVSPRQRGNPVLKFVRNVPWEFGEVIPDYVLGQST CALFLSLRYHNLHPDYIHERLQSLGKNFALRVLLVQVDVIPSRLSRSWLRCASWLTAPWS WPG >ENSMUSP00000135161.1 pep:known chromosome:GRCm38:7:19352633:19356522:1 gene:ENSMUSG00000003549.9 transcript:ENSMUST00000177486.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc1 description:excision repair cross-complementing rodent repair deficiency, complementation group 1 [Source:MGI Symbol;Acc:MGI:95412] XPQQALKELAKMCILADCTLVLAWRGSRAVPGDLQGV >ENSMUSP00000141396.1 pep:known chromosome:GRCm38:14:93013410:93890669:-1 gene:ENSMUSG00000055421.8 transcript:ENSMUST00000195826.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh9 description:protocadherin 9 [Source:MGI Symbol;Acc:MGI:1306801] MDLRDFYLLAALIACLRLDSAIAQELIYTIREELPENVPIGNIPKDLNISHINAATGTSA SLVYRLVSKAGDAPLVKVSSSTGEIFTTSNRIDREKLCAGASYAEENECFFELEVVILPN DFFRLIKIKIIVKDTNDNAPMFPSPVINISIPENTLINSRFPIPSATDPDTGFNGVQHYE LLNGQSVFGLDIVETPEGEKWPQLIVQQNLDREQKDTYVMKIKVEDGGTPQKSSTAILQV TVSDVNDNRPVFKEGQVEVHIPENAPVGTSVIQLHATDADIGSNAEIRYIFGAQVAPATK RLFALNNTTGLITVQRSLDREETAIHKVTVLASDGSSTPARATVTINVTDVNDNPPNIDL RYIISPINGTVYLSEKDPVNTKIALITVSDKDTDVNGKVICFIEREVPFHLKAVYDNQYL LETSSLLDYEGTKEFSFKIVASDSGKPSLNQTALVRVKLEDENDNPPIFNQPVIELSVSE NNRRGLYLTTISATDEDSGKNADIVYQLGPNASFFDLDRKTGVLTASRVFNREEQERFIF TVTARDNGTPPLQSQAAVIVTVLDENDNSPKFTHNHFQFFVSENLPKYSTVGVITVTDED AGENKAVTLSILNDNENFVLDPYSGVIKSNVSFDREQQSSYTFDVKATDGGQPPRSSTAK VTINVMDVNDNSPVVISPPSNTSFKLVPLSAIPGSVVAEVFAVDIDTGMNAELKYTIVSG NNKGLFRIDPVTGNITLEEKPAPTDVGLHRLVVNISDLGYPKALHTLVLVFLYVNDTAGN TSYIYDLIRRTMETPLDRNIGDSGQPYQNEDYLTIMIAIVAGAMVVIVVIFVTVLVRCRH ASRFKAAQRSKQGAEWMSPNQENKQNKKKKRKKRKSPKSSLLNFVTIEESKPDDAVHEPI NGTISLPAELEEQGIGRFDWGPAPPTTFKPNSPDLAKHYKSASPQPAFHLKPDTPVSVKK HHVIQELPLDNTFVGGCDTLSKRSSTSSDHFSASECSSQGGFKTKGPLHTRQCNSYSKND NIPVTHQKCPGSTSFHIRENEESHSESQRRVTFHLPDGSQESCSDSGLGDHEPVGSGTLI SHPLPLVQPQDEFYDQASPDKRTEADGNSDPNSDGPLGPRGLAEATEMCTQECLVLGHSD NCWMPPGLGPYQHPKSPLSTFAPQKEWIKKDKLVNGHTLTRAWKEDTNRNQFNDRKQYGS NEGHFNNGGHMADIPLANLKSYKQAGGTIESPKEHQL >ENSMUSP00000141759.1 pep:known chromosome:GRCm38:14:93013702:93890669:-1 gene:ENSMUSG00000055421.8 transcript:ENSMUST00000193901.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh9 description:protocadherin 9 [Source:MGI Symbol;Acc:MGI:1306801] MDLRDFYLLAALIACLRLDSAIAQELIYTIREELPENVPIGNIPKDLNISHINAATGTSA SLVYRLVSKAGDAPLVKVSSSTGEIFTTSNRIDREKLCAGASYAEENECFFELEVVILPN DFFRLIKIKIIVKDTNDNAPMFPSPVINISIPENTLINSRFPIPSATDPDTGFNGVQHYE LLNGQSVFGLDIVETPEGEKWPQLIVQQNLDREQKDTYVMKIKVEDGGTPQKSSTAILQV TVSDVNDNRPVFKEGQVEVHIPENAPVGTSVIQLHATDADIGSNAEIRYIFGAQVAPATK RLFALNNTTGLITVQRSLDREETAIHKVTVLASDGSSTPARATVTINVTDVNDNPPNIDL RYIISPINGTVYLSEKDPVNTKIALITVSDKDTDVNGKVICFIEREVPFHLKAVYDNQYL LETSSLLDYEGTKEFSFKIVASDSGKPSLNQTALVRVKLEDENDNPPIFNQPVIELSVSE NNRRGLYLTTISATDEDSGKNADIVYQLGPNASFFDLDRKTGVLTASRVFNREEQERFIF TVTARDNGTPPLQSQAAVIVTVLDENDNSPKFTHNHFQFFVSENLPKYSTVGVITVTDED AGENKAVTLSILNDNENFVLDPYSGVIKSNVSFDREQQSSYTFDVKATDGGQPPRSSTAK VTINVMDVNDNSPVVISPPSNTSFKLVPLSAIPGSVVAEVFAVDIDTGMNAELKYTIVSG NNKGLFRIDPVTGNITLEEKPAPTDVGLHRLVVNISDLGYPKALHTLVLVFLYVNDTAGN TSYIYDLIRRTMETPLDRNIGDSGQPYQNEDYLTIMIAIVAGAMVVIVVIFVTVLVRCRH ASRFKAAQRSKQGAEWMSPNQENKQNKKKKRKKRKSPKSSLLNFVTIEESKPDDAVHEPI NGTISLPAELEEQGIGRFDWGPAPPTTFKPNSPDLAKHYKSASPQPAFHLKPDTPVSVKK HHVIQELPLDNTFVGGCDTLSKRSSTSSDHFSASECSSQGGFKTKGPLHTRQSQRRVTFH LPDGSQESCSDSGLGDHEPVGSGTLISHPLPLVQPQDEFYDQASPDKRTEADGNSDPNSD GPLGPRGLAEATEMCTQECLVLGHSDNCWMPPGLGPYQHPKSPLSTFAPQKEWIKKDKLV NGHTLTRAWKEDTNRNQFNDRKQYGSNEGHFNNGGHMADIPLANLKSYKQAGGTIESPKE HQL >ENSMUSP00000142260.1 pep:known chromosome:GRCm38:14:93013975:93890629:-1 gene:ENSMUSG00000055421.8 transcript:ENSMUST00000192221.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh9 description:protocadherin 9 [Source:MGI Symbol;Acc:MGI:1306801] MLIKIKIIVKDTNDNAPMFPSPVINISIPENTLINSRFPIPSATDPDTGFNGVQHYELLN GQSVFGLDIVETPEGEKWPQLIVQQNLDREQKDTYVMKIKVEDGGTPQKSSTAILQVTVS DVNDNRPVFKEGQVEVHIPENAPVGTSVIQLHATDADIGSNAEIRYIFGAQVAPATKRLF ALNNTTGLITVQRSLDREETAIHKVTVLASDGSSTPARATVTINVTDVNDNPPNIDLRYI ISPINGTVYLSEKDPVNTKIALITVSDKDTDVNGKVICFIEREVPFHLKAVYDNQYLLET SSLLDYEGTKEFSFKIVASDSGKPSLNQTALVRVKLEDENDNPPIFNQPVIELSVSENNR RGLYLTTISATDEDSGKNADIVYQLGPNASFFDLDRKTGVLTASRVFNREEQERFIFTVT ARDNGTPPLQSQAAVIVTVLDENDNSPKFTHNHFQFFVSENLPKYSTVGVITVTDEDAGE NKAVTLSILNDNENFVLDPYSGVIKSNVSFDREQQSSYTFDVKATDGGQPPRSSTAKVTI NVMDVNDNSPVVISPPSNTSFKLVPLSAIPGSVVAEVFAVDIDTGMNAELKYTIVSGNNK GLFRIDPVTGNITLEEKPAPTDVGLHRLVVNISDLGYPKALHTLVLVFLYVNDTAGNTSY IYDLIRRTMETPLDRNIGDSGQPYQNEDYLTIMIAIVAGAMVVIVVIFVTVLVRCRHASR FKAAQRSKQGAEWMSPNQENKQNKKKKRKKRKSPKSSLLNFVTIEESKPDDAVHEPINGT ISLPAELEEQGIGRFDWGPAPPTTFKPNSPDLAKHYKSASPQPAFHLKPDTPVSVKKHHV IQELPLDNTFVGGCDTLSKRSSTSSDHFSASECSSQGGFKTKGPLHTRQPQDEFYDQASP DKRTEADGNSDPNSDGPLGPRGLAEATEMCTQECLVLGHSDNCWMPPGLGPYQHPKSPLS TFAPQKEWIKKDKLVNGHTLTRAWKEDTNRNQFNDRKQYGSNEGHFNNGGHMADIPLANL KSYKQAGGTIESPKEHQL >ENSMUSP00000142224.1 pep:known chromosome:GRCm38:14:93014199:93890159:-1 gene:ENSMUSG00000055421.8 transcript:ENSMUST00000195376.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh9 description:protocadherin 9 [Source:MGI Symbol;Acc:MGI:1306801] MDLRDFYLLAALIACLRLDSAIAQELIYTIREELPENVPIGNIPKDLNISHINAATGTSA SLVYRLVSKAGDAPLVKVSSSTGEIFTTSNRIDREKLCAGASYAEENECFFELEVVILPN DFFRLIKIKIIVKDTNDNAPMFPSPVINISIPENTLINSRFPIPSATDPDTGFNGVQHYE LLNGQSVFGLDIVETPEGEKWPQLIVQQNLDREQKDTYVMKIKVEDGGTPQKSSTAILQV TVSDVNDNRPVFKEGQVEVHIPENAPVGTSVIQLHATDADIGSNAEIRYIFGAQVAPATK RLFALNNTTGLITVQRSLDREETAIHKVTVLASDGSSTPARATVTINVTDVNDNPPNIDL RYIISPINGTVYLSEKDPVNTKIALITVSDKDTDVNGKVICFIEREVPFHLKAVYDNQYL LETSSLLDYEGTKEFSFKIVASDSGKPSLNQTALVRVKLEDENDNPPIFNQPVIELSVSE NNRRGLYLTTISATDEDSGKNADIVYQLGPNASFFDLDRKTGVLTASRVFNREEQERFIF TVTARDNGTPPLQSQAAVIVTVLDENDNSPKFTHNHFQFFVSENLPKYSTVGVITVTDED AGENKAVTLSILNDNENFVLDPYSGVIKSNVSFDREQQSSYTFDVKATDGGQPPRSSTAK VTINVMDVNDNSPVVISPPSNTSFKLVPLSAIPGSVVAEVFAVDIDTGMNAELKYTIVSG NNKGLFRIDPVTGNITLEEKPAPTDVGLHRLVVNISDLGYPKALHTLVLVFLYVNDTAGN TSYIYDLIRRTMETPLDRNIGDSGQPYQNEDYLTIMIAIVAGAMVVIVVIFVTVLVRCRH ASRFKAAQRSKQGAEWMSPNQENKQNKKKKRKKRKSPKSSLLNFVTIEESKPDDAVHEPI NGTISLPAELEEQGIGRFDWGPAPPTTFKPNSPDLAKHYKSASPQPAFHLKPDTPVSVKK HHVIQELPLDNTFVGGCDTLSKRSSTSSDHFSASECSSQGGFKTKGPLHTRQCNSYSKND NIPVTHQKCPGSTSFHIRENEESHSEPQDEFYDQASPDKRTEADGNSDPNSDGPLGPRGL AEATEMCTQECLVLGHSDNCWMPPGLGPYQHPKSPLSTFAPQKEWIKKDKLVNGHTLTRA WKEDTNRNQFNDRKQYGSNEGHFNNGGHMADIPLANLKSYKQAGGTIESPKEHQL >ENSMUSP00000141205.1 pep:known chromosome:GRCm38:14:93173729:93885748:-1 gene:ENSMUSG00000055421.8 transcript:ENSMUST00000194129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh9 description:protocadherin 9 [Source:MGI Symbol;Acc:MGI:1306801] XCSSQGGFKTKGPLHTRQCNSYSKNDNIPVTHQKCPGSTSFHIRENEESHSEPQDEFYDQ ASPDKRTEADGNSDPNSDWAFTKCI >ENSMUSP00000141602.1 pep:known chromosome:GRCm38:14:93886113:93890679:-1 gene:ENSMUSG00000055421.8 transcript:ENSMUST00000194056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh9 description:protocadherin 9 [Source:MGI Symbol;Acc:MGI:1306801] MDLRDFYLLAALIACLRLDSAIAQELIYTIREELPENVPIGNIPKDLNISHINAATGTSA SLVYRLVSKAGDAPLVKVSSSTGEIFTTSNRIDREKLCAGASYAEENECFFELEVVILPN DFFRLIKIKIIVKDTNDNAPMFPSPVINISIPENTLINSRFPIPSATDPDTGFNGVQHYE LLNGQSVFGLDIVETPEGEKWPQLIVQQNLDREQKDTYVMKIKVEDGGTPQKSSTAILQV TVSDVNDNRPVFKEGQVEVHIPENAPVGTSVIQLHATDADIGSNAEIRYIFGAQVAPATK RLFALNNTTGLITVQRSLDREETAIHKVTVLASDGSSTPARATVTINVTDVNDNPPNIDL RYIISPINGTVYLSEKDPVNTKIALITVSDKDTDVNGKVICFIEREVPFHLKAVYDNQYL LETSSLLDYEGTKEFSFKIVASDSGKPSLNQTALVRVKLEDENDNPPIFNQPVIELSVSE NNRRGLYLTTISATDEDSGKNADIVYQLGPNASFFDLDRKTGVLTASRVFNREEQERFIF TVTARDNGTPPLQSQAAVIVTVLDENDNSPKFTHNHFQFFVSENLPKYSTVGVITVTDED AGENKAVTLSILNDNENFVLDPYSGVIKSNVSFDREQQSSYTFDVKATDGGQPPRSSTAK VTINVMDVNDNSPVVISPPSNTSFKLVPLSAIPGSVVAEVFAVDIDTGMNAELKYTIVSG NNKGLFRIDPVTGNITLEEKPAPTDVGLHRLVVNISDLGYPKALHTLVLVFLYVNDTAGN TSYIYDLIRRTMETPLDRNIGDSGQPYQNEDYLTIMIAIVAGAMVVIVVIFVTVLVRCRH ASRFKAAQRSKQGAEWMSPNQENKQNKKKKRKK >ENSMUSP00000070935.2 pep:known chromosome:GRCm38:14:93015512:93888732:-1 gene:ENSMUSG00000055421.8 transcript:ENSMUST00000068992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh9 description:protocadherin 9 [Source:MGI Symbol;Acc:MGI:1306801] MDLRDFYLLAALIACLRLDSAIAQELIYTIREELPENVPIGNIPKDLNISHINAATGTSA SLVYRLVSKAGDAPLVKVSSSTGEIFTTSNRIDREKLCAGASYAEENECFFELEVVILPN DFFRLIKIKIIVKDTNDNAPMFPSPVINISIPENTLINSRFPIPSATDPDTGFNGVQHYE LLNGQSVFGLDIVETPEGEKWPQLIVQQNLDREQKDTYVMKIKVEDGGTPQKSSTAILQV TVSDVNDNRPVFKEGQVEVHIPENAPVGTSVIQLHATDADIGSNAEIRYIFGAQVAPATK RLFALNNTTGLITVQRSLDREETAIHKVTVLASDGSSTPARATVTINVTDVNDNPPNIDL RYIISPINGTVYLSEKDPVNTKIALITVSDKDTDVNGKVICFIEREVPFHLKAVYDNQYL LETSSLLDYEGTKEFSFKIVASDSGKPSLNQTALVRVKLEDENDNPPIFNQPVIELSVSE NNRRGLYLTTISATDEDSGKNADIVYQLGPNASFFDLDRKTGVLTASRVFNREEQERFIF TVTARDNGTPPLQSQAAVIVTVLDENDNSPKFTHNHFQFFVSENLPKYSTVGVITVTDED AGENKAVTLSILNDNENFVLDPYSGVIKSNVSFDREQQSSYTFDVKATDGGQPPRSSTAK VTINVMDVNDNSPVVISPPSNTSFKLVPLSAIPGSVVAEVFAVDIDTGMNAELKYTIVSG NNKGLFRIDPVTGNITLEEKPAPTDVGLHRLVVNISDLGYPKALHTLVLVFLYVNDTAGN TSYIYDLIRRTMETPLDRNIGDSGQPYQNEDYLTIMIAIVAGAMVVIVVIFVTVLVRCRH ASRFKAAQRSKQGAEWMSPNQENKQNKKKKRKKRKSPKSSLLNFVTIEESKPDDAVHEPI NGTISLPAELEEQGIGRFDWGPAPPTTFKPNSPDLAKHYKSASPQPAFHLKPDTPVSVKK HHVIQELPLDNTFVGGCDTLSKRSSTSSDHFSASECSSQGGFKTKGPLHTRQCNSYSKND NIPVTHQKCPGSTSFHIRENEESHSESQRRVTFHLPDGSQESCSDSGLGDHEPVGSGTLI SHPLPLVQPQDEFYDQASPDKRTEADGNSDPNSDGPLGPRGLAEATEMCTQECLVLGHSD NCWMPPGLGPYQHPKSPLSTFAPQKEWIKKDKLVNGHTLTRAWKEDTNRNQFNDRKQYGS NEGHFNNGGHMADIPLANLKSYKQAGGTIESPKEHQL >ENSMUSP00000093344.4 pep:known chromosome:GRCm38:17:14943184:14945936:1 gene:ENSMUSG00000050088.9 transcript:ENSMUST00000052691.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600012H06Rik description:RIKEN cDNA 1600012H06 gene [Source:MGI Symbol;Acc:MGI:1915162] MATPWRRALLMILASQVVTLVKCLEDDDVPEEWLLLHVVQGQIGAGNYSYLRLNHEGKII LRMQSLRGDADLYVSDSTPHPSFDDYELQSVTCGQDVVSIPAHFQRPVGIGIYGHPSHHE SDFEMRVYYDRTVDQYPFGEAAYFTDPTGASQQQAYAPEEAAQEEESVLWTILISILKLV LEILF >ENSMUSP00000125970.2 pep:known chromosome:GRCm38:17:14943230:14945934:1 gene:ENSMUSG00000050088.9 transcript:ENSMUST00000164837.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600012H06Rik description:RIKEN cDNA 1600012H06 gene [Source:MGI Symbol;Acc:MGI:1915162] MATPWRRALLMILASQVVTLVKCLEDDDVPEEWLLLHVVQGQIGAGNYSYLRLNHEGKII LRMQSLRGDADLYVSDSTPHPSFDDYELQSVTCGQDVVSIPAHFQRPVGIGIYGHPSHHE SDFEMRVYYDRTVDQYPFGEAAYFTDPTGASQQQAYAPEEAAQEEESVLWTILISILKLV LEILF >ENSMUSP00000133628.1 pep:known chromosome:GRCm38:17:14943269:14944986:1 gene:ENSMUSG00000050088.9 transcript:ENSMUST00000174004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600012H06Rik description:RIKEN cDNA 1600012H06 gene [Source:MGI Symbol;Acc:MGI:1915162] MATPWRRALLMILASQVVTLVKCLEDDDVPEEWLLLHVVQGQIGAGNYSYLRLNHEGKII LRMQSLRGDADLYVSDSTPHPSFDDYELQSVTCGQDVVSIPAHFQRPVGIGIYGHPSHHE SDFEMRVYYDRTVDQYPFGEAAYFTDPTGASQQQAYAPEEAAQEEESVLWTILISILKLV LEILF >ENSMUSP00000035148.6 pep:known chromosome:GRCm38:9:103008002:103090451:1 gene:ENSMUSG00000032548.14 transcript:ENSMUST00000035148.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco2a1 description:solute carrier organic anion transporter family, member 2a1 [Source:MGI Symbol;Acc:MGI:1346021] MGLLPKPGARQGSGTSSVPARRCSRSVFNNIKVFVLCHGLLQLCQLLYSAYFKSSLTTIE KRFGLSSSSSGLISSLNEISNAILIIFVSYFGSRVNRPRMIGIGGLLLAAGAFVLTLPHF LSEPYQYASTTAGNSSHFQTDLCQKHLPGLLPSKCHSTVPDTQKETSSMWSLMVVAQLLA GVGTVPIQPFGISYVDDFAEPTNSPLYISILFAIAVFGPAFGYLLGSVMLRIFVDYGRVD TATVNLSPGDPRWIGAWWLGLLISSGFLIVTSLPFFFFPRAMSRGAERSVIAEETMKMEE DKSRGSLMDFIKRFPRIFLRLLMNPLFMLVVLSQCTFSSVIAGLSTFLNKFLEKQYDASA AYANLLIGAVNLPAAALGMLFGGILMKRFVFPLQTIPRVAATIMTISIILCAPLFFMGCS TPAVAEVYPPSTPSSIHPQPPACRRDCLCPDSVFHPVCGDNGVEYLSPCHAGCSSLNVSS AASKQPIYLNCSCVTGGSASAKTGSCPTSCAQLLLPSIFLISFVALIACVSHNPLYMMVL RVVNQDEKSFAIGVQFLLMRLLAWLPSPSLYGLLIDSSCIRWNYLCSGRRGACAYYDNDA LRNRYLGLQVIYKVLGTLLLFFISWRVKKNREYSLQENASGLI >ENSMUSP00000140533.1 pep:known chromosome:GRCm38:9:103008499:103096002:1 gene:ENSMUSG00000032548.14 transcript:ENSMUST00000188664.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slco2a1 description:solute carrier organic anion transporter family, member 2a1 [Source:MGI Symbol;Acc:MGI:1346021] MGLLPKPGARQGSGTSSVPARRCSRSVFNNIKVFVLCHGLLQLCQLLYSAYFKSSLTTIE KRFGLSSSSSGLISSLNEISNAILIIFVSYFGSRVNRPRMIGIGGLLLAAGAFVLTLPHF LSEPYQYASTTAGNSSHFQTDLCQKHLPGLLPSKCHSTVPDTQKETSSMWSLMVVAQLLA GVGTVPIQPFGISYVDDFAEPTNSPLYISILFAIAVFGPAFGYLLGSVMLRIFVDYGRVD TATVNLSPGDPRWIGAWWLGLLISSGFLIVTSLPFFFFPRAMSRGAERSVIAEETMKMEE DKSRGSLMDFIKRFPRIFLRLLMNPLFMLVVLSQCTFSSVIAGLSTFLNKFLEKQYDASA AYANLLIAHQVLYIRSLPPAAGTACAQIPSSTLSAETMESSTSPPAMLAAAAST >ENSMUSP00000067190.5 pep:known chromosome:GRCm38:X:37244976:37249686:1 gene:ENSMUSG00000051827.11 transcript:ENSMUST00000063340.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2a description:reproductive homeobox 2A [Source:MGI Symbol;Acc:MGI:1922449] MERQSVNYKLDVGPEEDEENANGVKTLMVLLAGEGRNEGESGRGLPGSGASAAEGYRAGE ISAGGPAAPVADLMDNSNQEDLGATGCDQEKEKQPEEPVPDSMGDLENVKRVSGPWSTVN PVRVLVPEFRHGWQQSFNVLQLQELESIFQCNHYISTKEANRLARSMGVSEATVQEWFLK RREKYRSYKRL >ENSMUSP00000134267.1 pep:known chromosome:GRCm38:X:37244998:37249599:1 gene:ENSMUSG00000051827.11 transcript:ENSMUST00000173650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2a description:reproductive homeobox 2A [Source:MGI Symbol;Acc:MGI:1922449] MERQSVNYKLDVGPEEDEENANGVKTLMVLLAGEGRNEGESGRGLPGSGASAAEGYRAGE ISAGGPAAPVADLMDNSNQEDLGATGCDQEKEKQPEEPVPDSMGDLENVKRVSGPWSTVN PVRVLVPEFRHGWQQSFNVLQLQELESIFQCNHYISTKEANRLARSMGVSEATVQVDKSN RKE >ENSMUSP00000134572.1 pep:known chromosome:GRCm38:17:33875666:33890661:-1 gene:ENSMUSG00000079553.10 transcript:ENSMUST00000173492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifc1 description:kinesin family member C1 [Source:MGI Symbol;Acc:MGI:109596] MDVQAQRPPLLEVKRNVELKAALVKSSSRVPLSASRLKRGPDQMEDALEPAKKRTRVMGA VTKVDTSRPRGPLLSTVSQTQGHTAAQKGPKKTGPRGCSAIGTVLRSQKPVPAAPAQKPG TSTAPVVVGKRAGKRPAWDLKGQLCDLNEELKRYREKTQTLELENRGLREQLREVQEQAT TLGTERNTLEGELASVRSRAEQDQQRLETLSARVLELEECLGTRERLLQELQGERLQLQE ERSTLSTQLEEQERRFQATEAALSSSQEEVVCLRQKTEAQVTLLAEQGDRLYGLEMERRR LHNQLQELKGNIRVFCRVRPVLEGESTPSPGFLVFPPGPAGPSDPPTGLSLSRSDDRRST LTGAPAPTVRHDFSFDRVFPPGSKQEEVFEEIAMLVQSALDGYPVCIFAYGQTGSGKTFT MEGGPRGDPQLEGLIPRAMRHLFSVAQEMSGQGWTYSFVASYVEIYNETVRDLLATGPRK GQGGECEIRRASPGSEELTVTNARYVPVSCEKEVEALLHLAHQNRAVAHTAQNKRSSRSH SVFQLQISGEHAARGLQCGAPLNLVDLAGSERLDPGLHLGPGERDRLRETQAINSSLSTL GLVIMALSNKESHVPYRNSKLTYLLQNSLGGSAKMLMFVNISPLEENVSESLNSLRFASK VNQCVIGTAQANKK >ENSMUSP00000133520.1 pep:known chromosome:GRCm38:17:33875668:33883226:-1 gene:ENSMUSG00000079553.10 transcript:ENSMUST00000173982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifc1 description:kinesin family member C1 [Source:MGI Symbol;Acc:MGI:109596] XDLLATGPRKGQGGECEIRRASPGSEELTVTNARYVPVSCEKEAYVCEYFSSGRECLRVS EFTTLCFQGEPVCHWYCSG >ENSMUSP00000133758.1 pep:known chromosome:GRCm38:17:33884640:33890539:-1 gene:ENSMUSG00000079553.10 transcript:ENSMUST00000173386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifc1 description:kinesin family member C1 [Source:MGI Symbol;Acc:MGI:109596] MDVQAQRPPLLEVKRNVELKAALVKSSSRVPLSASRLKRGPDQMEDALEPAKKRTRVMGA VTKVDTSRPRGPLLSTVSQTQGHTAVLRSQKPVPAAPAQKPGTSTAPVVVGKRAGKRPAW DLKGQLCDLNEELKRYREKTQTLELENRGLREQLREVQEQATTLGTERNTLEGELASVRS RAEQDQQRLETLSARVLELEECLGTRERLLQELQGERL >ENSMUSP00000110001.2 pep:known chromosome:GRCm38:17:33875668:33890633:-1 gene:ENSMUSG00000079553.10 transcript:ENSMUST00000114361.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifc1 description:kinesin family member C1 [Source:MGI Symbol;Acc:MGI:109596] MEDALEPAKKRTRVMGAVTKVDTSRPRGPLLSTVSQTQGHTAAQKGPKKTGPRGCSAIGT VLRSQKPVPAAPAQKPGTSTAPVVVGKRAGKRPAWDLKGQLCDLNEELKRYREKTQTLEL ENRGLREQLREVQEQATTLGTERNTLEGELASVRSRAEQTRERLLQELQGERLQLQEERS TLSTQLEEQERRFQATEAALSSSQEEVVCLRQKTEAQVTLLAEQGDRLYGLEMERRRLHN QLQELKGNIRVFCRVRPVLEGESTPSPGFLVFPPGPAGPSDPPTGLSLSRSDDRRSTLTG APAPTVRHDFSFDRVFPPGSKQEEVFEEIAMLVQSALDGYPVCIFAYGQTGSGKTFTMEG GPRGDPQLEGLIPRAMRHLFSVAQEMSGQGWTYSFVASYVEIYNETVRDLLATGPRKGQG GECEIRRASPGSEELTVTNARYVPVSCEKEVEALLHLAHQNRAVAHTAQNKRSSRSHSVF QLQISGEHAARGLQCGAPLNLVDLAGSERLDPGLHLGPGERDRLRETQAINSSLSTLGLV IMALSNKESHVPYRNSKLTYLLQNSLGGSAKMLMFVNISPLEENVSESLNSLRFASKVNQ CVIGTAQANKK >ENSMUSP00000036916.3 pep:known chromosome:GRCm38:1:131744022:131771504:1 gene:ENSMUSG00000042268.10 transcript:ENSMUST00000049027.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a9 description:solute carrier family 26, member 9 [Source:MGI Symbol;Acc:MGI:2444594] MNQPRPRYVVDRAAYSLSLFDDEFEKKDRAYPVGEKLRNTFRCSSAKFKAFVFGLLPVLS WLPKYKIKDYIIPDLLGGLSGGCIQVPQGMAFALLANLPAVNGLYSSFFPLLTYFFLGGI HQMVPGTFAVISILVGNICLQLAPESKFQIFNNVTNETYVDTAAMEAERLHVSATLACLT AVIQMALGFMQFGFVAIYLSESFIRGFMTAAGLQILISVLKYIFGLTIPSYTGPGSIVFT FIDICKNLPHTNIASLIFALVSGVFLVLVKELNARYMHKIHFPIPTEMIVVVVATAISGS CKMPKKYHMQIVGEIRQGFPTPVAPMVSQWKDMVGTAFSLAIVGYVINLAMGRTLASKHG YDVDSNQEMIALGCSNFFGSFFKIHVICCALSVTLAVDGAGGKSQVASLCVSLVVMITML VLGSYLYPLPKAVLGALIAVNLKNSLKQLTDPYYLWRKSKLDCCVWVVSFLSSFFLSLPY GVAVGVAFSILVVIFQTQFRNGSTLAQVMDTDIYVNPKTYNRAQEIAGVKIVTYCSPLYF ANSEIFRQKVIAKTGMDPQKVLLAKQKYLRKQEKRTAIPTQQRKSLFMKTKTVSLQELQQ DFESAPSTDPNNNQAPAAEAHISYITFSPDASTAAACELPASTRSPQEASDTLASVPPFV TFHTLILDMSGVSFVDLMGIKALAKLSSTYEKIGVQIFLVNIHAQVYNDISHGGVFEDGC VQRSHVFPSIHDAVLFAQANAREAPDRNFHGAPGDTEFSLYDSEEEGPSYWDLEQEMFGT MFHTETLTAL >ENSMUSP00000141171.1 pep:known chromosome:GRCm38:1:131744038:131764007:1 gene:ENSMUSG00000042268.10 transcript:ENSMUST00000186122.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc26a9 description:solute carrier family 26, member 9 [Source:MGI Symbol;Acc:MGI:2444594] MNQPRPRYVVDRAAYSLSLFDDEFEKKDRAYPVGEKLRNTFRCSSAKFKAFVFGLLPVLS WLPKYKIKDYIIPDLLGGLSGGCIQVPQGTFAVISILVGNICLQLAPESKFQIFNNVTNE TYVDTAAMEAERLHVSATLACLTAVIQMALGFMQFGFVAIYLSESFIRGFMTAAGLQILI SVLKYIFGLTIPSYTGPGSIVFTFIDICKNLPHTNIASLIFALVSGVFLVLVKELNARYM HKIHFPIPTEMIVVVVATAISGSCKMPKKYHMQIVGEIRQGFPTPVAPMVSQWKDMVGTA FSLAIVGYVINLAMGRTLASKHGYDVDSNQEMIALGCSNFFGSFFKIHVICCALSVTLAV DGAGGKSQVASLCVSLVVMITMLVLGSYLYPLPKAVLGALIAVNLKNSLKQLTDPYYLWR KSKLDCPALRCRSGCSLLHPGCDLPDPVSKWLHTGPGHGHGHLCEPQDLQQGPGNCGS >ENSMUSP00000122075.1 pep:known chromosome:GRCm38:1:131750491:131753987:1 gene:ENSMUSG00000042268.10 transcript:ENSMUST00000147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a9 description:solute carrier family 26, member 9 [Source:MGI Symbol;Acc:MGI:2444594] MNQPRPRYVVDRAAYSLSLFDDEFEKKDRAYPVGEKLRNTFRCSSAKFKAFVFGLLPVLS WLPKYKIKDYIIPDLLGGLSGGCIQVPQGMAFALLANLPAVNGLYSSFFPLLTYFFLGGI HQMVPGTFAVISILVGNICLQLAPESKFQIFNNVTNETYVDTAAMEAERLHVSATLACL >ENSMUSP00000140937.1 pep:known chromosome:GRCm38:1:154390731:154726356:-1 gene:ENSMUSG00000004110.14 transcript:ENSMUST00000187541.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1e description:calcium channel, voltage-dependent, R type, alpha 1E subunit [Source:MGI Symbol;Acc:MGI:106217] MARFGEAVVVGRPGSGDGDSDQSRNRQGTPVPASGPAAAYKQSKAQRARTMALYNPIPVR QNCFTVNRSLFIFGEDNIVRKYAKKLIDWPPFEYMILATIIANCIVLALEQHLPEDDKTP MSRRLEKTEPYFIGIFCFEAGIKIVALGFIFHKGSYLRNGWNVMDFIVVLSGILATAGTH FNTHVDLRTLRAVRVLRPLKLVSGIPSLQIVLKSIMKAMVPLLQIGLLLFFAILMFAIIG LEFYSGKLHRACFMNNSGILEGFDPPHPCGVQGCPAGYECKDWIGPNDGITQFDNILFAV LTVFQCITMEGWTTVLYNTNDALGATWNWLYFIPLIIIGSFFVLNLVLGVLSGEFAKERE RVENRRAFMKLRRQQQIERELNGYRAWIDKAEEVMLAEENKNSGTSALEVLRRATIKRSR TEAMTRDSSDEHCVDISSVGTPLARASIKSTKVDGASYFRHKERLLRISIRHMVKSQVFY WIVLSVVALNTACVAIVHHNQPQWLTHLLYYAEFLFLGLFLLEMSLKMYGMGPRLYFHSS FNCFDFGVTVGSIFEVVWAIFRPGTSFGISVLRALRLLRIFKITKYWASLRNLVVSLMSS MKSIISLLFLLFLFIVVFALLGMQLFGGRFNFNDGTPSANFDTFPAAIMTVFQILTGEDW NEVMYNGIRSQGGVSSGMWSAIYFIVLTLFGNYTLLNVFLAIAVDNLANAQELTKDEQEE EEAFNQKHALQKAKEVSPMSAPNMPSIERDRRRRHHMSMWEPRSSHLRERRRRHHMSVWE QRTSQLRRHMQMSSQEALNKEEAPPMNPLNPLNPLSPLNPLNAHPSLYRRPRPIEGLALG LGLEKCEEERISRGGSLKGDIGGLTSALDNQRSPLSLGKREPPWLPRSCHGNCDPIQQEA GGGETVVTFEDRARHRQSQRRSRHRRVRTEGKDSASASRSRSASQERSLDEGVSVEGEKE HEPHSSHRSKEPTIHEEERTQDLRRTNSLMVPRGSGLVGALDEAETPLVQPQPELEVGKD AALTEQEAEGSSEQALLGDVQLDVGRGISQSEPDLSCMTANMDKATTESTSVTVAIPDVD PLVDSTVVNISNKTDGEASPLKEAETKEEEEEVEKKKKQKKEKRETGKAMVPHSSMFIFS TTNPIRRACHYIVNLRYFEMCILLVIAASSIALAAEDPVLTNSERNKVLRYFDYVFTGVF TFEMVIKMIDQGLILQDGSYFRDLWNILDFVVVVGALVAFALANALGTNKGRDIKTIKSL RVLRVLRPLKTIKRLPKLKAVFDCVVTSLKNVFNILIVYKLFMFIFAVIAVQLFKGKFFY CTDSSKDTEKECIGNYVDHEKNKMEVKGREWKRHEFHYDNIIWALLTLFTVSTGEGWPQV LQHSVDVTEEDRGPSRSNRMEMSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKMMEEC SLEKNERACIDFAISAKPLTRYMPQNRHTFQYRVWHFVVSPSFEYTIMAMIALNTVVLMM KYYSAPCTYELALKYLNIAFTMVFSLECVLKVIAFGFLNYFRDTWNIFDFITVIGSITEI ILTDSKLVNTSGFNMSFLKLFRAARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAML FFIYAIIGMQVFGNIKLDEESHINRHNNFRSFFGSLMLLFRSATGEAWQEIMLSCLGEKG CEPDTTAPSGQNESERCGTDLAYVYFVSFIFFCSFLMLNLFVAVIMDNFEYLTRDSSILG PHHLDEFVRVWAEYDRAACGRIHYTEMYEMLTLMSPPLGLGKRCPSKVAYKRLVLMNMPV AEDMTVHFTSTLMALIRTALDIKIAKGGADRQQLDSELQKETLAIWPHLSQKMLDLLVPM PKASDLTVGKIYAAMMIMDYYKQSKVKKQRQQLEEQKNAPMFQRMEPSSLPQEIIANAKA LPYLQQDPVSGLSGRSGYPSMSPLSPQEIFQLACMDPADDGQFQEQQSLVVTDPSSMRRS FSTIRDKRSNSSWLEEFSMERSSENTYKSRRRSYHSSLRLSAHRLNSDSGHKSDTHRSGG RERGRSKERKHLLSPDVSRCNSEERGTQADWESPERRQSRSPSEGRSQTPNRQGTGSLSE SSIPSISDTSTPRRSRRQLPPVPPKPRPLLSYSSLMRHTGGISPPPDGSEGGSPLASQAL ESNSACLTESSNSLHPQQGQHPSPQHYISEPYLALHEDSHASDCGEEETLTFEAAVATSL GRSNTIGSAPPLRHSWQMPNGHYRRRRRGGPGPGMMCGAVSDLLSDTEEDDKC >ENSMUSP00000004214.8 pep:known chromosome:GRCm38:1:154392519:154725920:-1 gene:ENSMUSG00000004110.14 transcript:ENSMUST00000004214.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1e description:calcium channel, voltage-dependent, R type, alpha 1E subunit [Source:MGI Symbol;Acc:MGI:106217] MEGWTTVLYNTNDALGATWNWLYFIPLIIIGSFFVLNLVLGVLSGEFAKERERVENRRAF MKLRRQQQIERELNGYRAWIDKAEEVMLAEENKNSGTSALEVLRRATIKRSRTEAMTRDS SDEHCVDISSVGTPLARASIKSTKVDGASYFRHKERLLRISIRHMVKSQVFYWIVLSVVA LNTACVAIVHHNQPQWLTHLLYYAEFLFLGLFLLEMSLKMYGMGPRLYFHSSFNCFDFGV TVGSIFEVVWAIFRPGTSFGISVLRALRLLRIFKITKYWASLRNLVVSLMSSMKSIISLL FLLFLFIVVFALLGMQLFGGRFNFNDGTPSANFDTFPAAIMTVFQILTGEDWNEVMYNGI RSQGGVSSGMWSAIYFIVLTLFGNYTLLNVFLAIAVDNLANAQELTKDEQEEEEAFNQKH ALQKAKEVSPMSAPNMPSIERDRRRRHHMSMWEPRSSHLRERRRRHHMSVWEQRTSQLRR HMQMSSQEALNKEEAPPMNPLNPLNPLSPLNPLNAHPSLYRRPRPIEGLALGLGLEKCEE ERISRGGSLKGDIGGLTSALDNQRSPLSLGKREPPWLPRSCHGNCDPIQQEAGGGETVVT FEDRARHRQSQRRSRHRRVRTEGKDSASASRSRSASQERSLDEGVSVEGEKEHEPHSSHR SKEPTIHEEERTQDLRRTNSLMVPRGSGLVGALDEAETPLVQPQPELEVGKDAALTEQEA EGSSEQALLGDVQLDVGRGISQSEPDLSCMTANMDKATTESTSVTVAIPDVDPLVDSTVV NISNKTDGEASPLKEAETKEEEEEVEKKKKQKKEKRETGKAMVPHSSMFIFSTTNPIRRA CHYIVNLRYFEMCILLVIAASSIALAAEDPVLTNSERNKVLRYFDYVFTGVFTFEMVIKM IDQGLILQDGSYFRDLWNILDFVVVVGALVAFALANAIRTNKGRDIKTIKSLRVLRVLRP LKTIKRLPKLKAVFDCVVTSLKNVFNILIVYKLFMFIFAVIAVQLFKGKFFYCTDSSKDT EKECIGNYVDHEKNKMEVKGREWKRHEFHYDNIIWALLTLFTVSTGEGWPQVLQHSVDVT EEDRGPSRSNRMEMSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKMMEECSLEKNERA CIDFAISAKPLTRYMPQNRHTFQYRVWHFVVSPSFEYTIMAMIALNTVVLMMKYYSAPCT YELALKYLNIAFTMVFSLECVLKVIAFGFLNYFRDTWNIFDFITVIGSITEIILTDSKLV NTSGFNMSFLKLFRAARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIG MQVFGNIKLDEESHINRHNNFRSFFGSLMLLFRSATGEAWQEIMLSCLGEKGCEPDTTAP SGQNESERCGTDLAYVYFVSFIFFCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEFV RVWAEYDRAACGRIHYTEMYEMLTLMSPPLGLGKRCPSKVAYKRLVLMNMPVAEDMTVHF TSTLMALIRTALDIKIAKGGADRQQLDSELQKETLAIWPHLSQKMLDLLVPMPKASDLTV GKIYAAMMIMDYYKQSKVKKQRQQLEEQKNAPMFQRMEPSSLPQEIIANAKALPYLQQDP VSGLSGRSGYPSMSPLSPQEIFQLACMDPADDGQFQEQQSLVVTDPSSMRRSFSTIRDKR SNSSWLEEFSMERSSENTYKSRRRSYHSSLRLSAHRLNSDSGHKSDTHRSGGRERGRSKE RKHLLSPDVSRCNSEERGTQADWESPERRQSRSPSEGRSQTPNRQGTGSLSESSIPSISD TSTPRRSRRQLPPVPPKPRPLLSYSSLMRHTGGISPPPDGSEGGSPLASQALESNSACLT ESSNSLHPQQGQHPSPQHYISEPYLALHEDSHASDCGEEETLTFEAAVATSLGRSNTIGS APPLRHSWQMPNGHYRRRRRGGPGPGMMCGAVSDLLSDTEEDDKC >ENSMUSP00000059167.6 pep:known chromosome:GRCm38:3:146450470:146465849:1 gene:ENSMUSG00000028189.12 transcript:ENSMUST00000061937.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbs description:chitobiase, di-N-acetyl- [Source:MGI Symbol;Acc:MGI:1921495] MALCGLPEFTLLLLPLLARLSAGDCPCSEAALCQPIRHRPDFEVFVFDVGQKTWKSYDWS QITTVAAFGKYDPELMCYAHSKGARVVLKGDISLKNIIDPTFRASWIAQKVDLAKAQYMD GINIDIEQEVNCSSPEYEALTALVKETTESFQREIEGSQVTFDVAWSPKRIDKRCYNYTG IADACDFLFVMSYDEQSQIWSECIAAANAPYNQTLTGYIDYIKMGISPKKLVMGVPWYGY DYICLNLSKDDICTITKVPFRGAPCSDAAGHQVPYKVIMKQVNGSVSGSQWNKDQQAPYY NYKDPAGRFHQVWYDNPQSISLKAAYVKNYGLRGIGMWNANCLDYSDDALAREQTQEMWG ALKPRL >ENSMUSP00000142380.1 pep:known chromosome:GRCm38:3:146450478:146457341:1 gene:ENSMUSG00000028189.12 transcript:ENSMUST00000196609.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctbs description:chitobiase, di-N-acetyl- [Source:MGI Symbol;Acc:MGI:1921495] MALCGLPEFTLLLLPLLARLSAGDCPCSEAALCQPIRHRPDFEASTLASTSSRSMQPPV >ENSMUSP00000029840.3 pep:known chromosome:GRCm38:3:146450493:146465771:1 gene:ENSMUSG00000028189.12 transcript:ENSMUST00000029840.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbs description:chitobiase, di-N-acetyl- [Source:MGI Symbol;Acc:MGI:1921495] MALCGLPEFTLLLLPLLARLSAGDCPCSEAALCQPIRHRPDFEVFVFDVGQKTWKSYDWS QITTVAAFGKYDPELMCYAHSKGARVVLKGDISLKNIIDPTFRASWIAQKVDLAKAQYMD GINIDIEQEVNCSSPEYEALTALVKETTESFQREIEGSQVTFDVAWSPKRIDKRCYNYTG IADACDFLFVMSYDEQSQIWSECIAAANAPYNQTLTGYIDYIKMGISPKKLVMGVPWYGY DYICLNLSKDPAGRFHQVWYDNPQSISLKAAYVKNYGLRGIGMWNANCLDYSDDALAREQ TQEMWGALKPRL >ENSMUSP00000024657.5 pep:known chromosome:GRCm38:17:14945009:14961273:-1 gene:ENSMUSG00000023883.13 transcript:ENSMUST00000024657.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf10 description:PHD finger protein 10 [Source:MGI Symbol;Acc:MGI:1919307] MAAAGPGAALSPGRCDSDPASPGAQSPKDDNEDNSNDGTHPCKRRRMGSGDSSRSCETSS QDLSFSYYPAENLIEYKWPPDETGEYYMLQEQVSEYLGVTSFKRKYPDLERRDLSHKEKL YLRELNVITETQCTLGLTALRSDEVIDLMIKEYPAKHAEYSVILQEKERQRITDHYKEYS QMQQQSTQKVEASKVPEYIKKAAKKAAEFNSNLNRERMEERRAYFDLQTHVIQVPQGKYK VLPTDRTKVSSYPVALIPGQFQEYYKRYSPDELRYLPLNTALYEPPLDPELPALDSDGDS DDGEDGGGDEKRKNKGTSDSSSGNVSEGDSPPDSQEDTFHGRQKSKDKMATPRKDGSKRS VLSKSAPGYKPKVIPNALCGICLKGKESNKKGKAESLIHCSQCDNSGHPSCLDMTMELVS MIKTYPWQCMECKTCIICGQPHHEEEMMFCDVCDRGYHTFCVGLGAIPSGRWICDCCQRA PPTPRKVGRRGKNSKEG >ENSMUSP00000125917.2 pep:known chromosome:GRCm38:17:14947738:14961273:-1 gene:ENSMUSG00000023883.13 transcript:ENSMUST00000168938.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phf10 description:PHD finger protein 10 [Source:MGI Symbol;Acc:MGI:1919307] MAAAGPGAALSPGRCDSDPASPGAQSPKDDNEDNSNDGTHPCKRRRMGSGDSSRSCETSS QDLSFSYYPAENLIEYKWPPDETGEYYMLQEQVSEYLGVTSFKRKYPDLERRDLSHKEKL YLRELNVITETQCTLGLTALRSDEVIDLMIKEYPAKHAEYSVILQEKERQRITDHYKEYS QMQQQSTQKVEASKVPEYIKKAAKKAAEFNSNLNRERMEERRAYFDLQTHVLTR >ENSMUSP00000069662.3 pep:known chromosome:GRCm38:1:174158722:174159767:1 gene:ENSMUSG00000055033.3 transcript:ENSMUST00000068403.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr420 description:olfactory receptor 420 [Source:MGI Symbol;Acc:MGI:3030254] MGFSNWTTAQEFIFSAFPCSWGDSVICFIPLLFIYAFIIVGNLVIITVVQLNAHLHTPMY FFISALSFLEIWYTTATIPKMLSSLLSERRSITLNGCVLQMYFFHSTGISEVCLLTAMAF DRYLAICSPLHYPTIMTSRLCAQLTLGCCVCGFLTPLPEIAWISTLPFCGSNHLEHIFCD FLPVLRLACTDTHTIVMIQVVDIVHAVEIITAVMLIFMSYVGIVAVILRIRSAEGRRKAF STCVSHLTVFLLFFGSVALMYLRFSATYSLFWDTAIALAFAVLFPFFNPIIYSLRNKEIK EAIKKHIGQAGILIGKSRNLP >ENSMUSP00000110852.2 pep:known chromosome:GRCm38:X:37542019:37551082:1 gene:ENSMUSG00000059626.13 transcript:ENSMUST00000115198.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3e description:reproductive homeobox 3E [Source:MGI Symbol;Acc:MGI:3770274] MSMKPERSISNWIHSNVERAGRNLFQVNGHRSALLPELPQDYHRASRSVNGCETKMDSTQ GTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRNKEDGG TKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQWQLDELERIFRMNYFLS LEARKQLARWMGVNEAIVKRWFQKRREQYRWYKRL >ENSMUSP00000139092.1 pep:known chromosome:GRCm38:X:37547104:37551082:1 gene:ENSMUSG00000059626.13 transcript:ENSMUST00000184210.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3e description:reproductive homeobox 3E [Source:MGI Symbol;Acc:MGI:3770274] RASRSVNGCETKMDSTQGTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGEMVSEEERTIQVV >ENSMUSP00000139192.1 pep:known chromosome:GRCm38:X:37547104:37551082:1 gene:ENSMUSG00000059626.13 transcript:ENSMUST00000184270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3e description:reproductive homeobox 3E [Source:MGI Symbol;Acc:MGI:3770274] RASRSVNGCETKMDSTQGTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQ WQLDELERIFRMNYFLSLEARDGFRRGENNTGGIRGYKVSEVLLLLLRTSFLKTVEEPCS ATIAKAT >ENSMUSP00000080075.5 pep:known chromosome:GRCm38:X:37546975:37550897:1 gene:ENSMUSG00000059626.13 transcript:ENSMUST00000081327.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3e description:reproductive homeobox 3E [Source:MGI Symbol;Acc:MGI:3770274] MSMKPERSISNWIHSNVERAGRNLFQVNGHRSALLPELPQDYHRASRSVNGCETKMDSTQ GTKVLPAEEGKNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRNKEDGG TKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQWQLDELERIFRMNYFLS LEARKQLARWMGVNEAIVKRWFQKRREQYRWYKRL >ENSMUSP00000110711.1 pep:known chromosome:GRCm38:X:42527866:43167838:-1 gene:ENSMUSG00000016150.15 transcript:ENSMUST00000115059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm1 description:teneurin transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1345185] MEQTDCKPYQPLSKVKHEMDLAYTSSSDESEDGRKPRQSFNSRETLHEYNQELRRNYNSQ SRKRKDVEKSTQEIEFCETPPTLCSGYHTDMHSVSRHGYQLEMGSDVDTETEGAASPDHA LRMWIRGMKSEHSSCLSSRANSALSLTDTDHERKSDGENGFKFSPVCCDMEAPADSAQDM QSSPHNQFTFRPLPPPPPPPHACTCARKPPPTVDSLQRRSMTTRSQPSPAAPAPPTSTQD SVHLHNSWVLNSNIPLETRHFLFKHGSGSSAIFSAASQNYPLTSNTVYSPPPRPLPRSTF SRPAFTFNKPYRCCNWKCTALSATAITVTLALLLAYVIAVHLFGLTWQLQPVGQIYANGI SNGNPGTESMDTTYSPIGGRVSDKSEKKVFQKGRAIDTGEVDIGAQVMQTIPPGLFWRFQ ITIHHPIYLKFNISLAKDSLLGIYGRRNIPPTHTQFDFVKLMDGKQLVKQDSKSSDDIQH SPRNLILTSLQETGFIEYMDQGPWYLAFYNDGKKMEQVFVLTTAIEIMDDCSTNCNGNGE CISGHCHCFPGFLGPDCARDSCPVLCGGNGEYEKGHCVCRNGWKGPECDVPEEQCIDPTC FGHGTCIMGVCICVPGYKGEICEEEDCLDPMCSSHGICVKGECHCSTGWGGVNCETPLPI CQEQCSGHGTFLLDTGVCSCDPKWTGSDCSTELCTMECGSHGVCSRGICQCEEGWVGPTC EERSCHSHCAEHGQCKDGKCECSPGWEGDHCTIAHYLDAVRDGCPGLCFGNGRCTLDQNG WHCVCQVGWSGTGCNIVMEMLCGDNLDNDGDGLTDCVDPDCCQQSNCYVSPLCQGSPDPL DLIQQSQPLFSQHTSRLFYDRIKFLIGKDSTHVVPQDISFDSRRACVIRGQVVAVDGTPL VGVNVSFLHHSDYGFTISRQDGSFDLVAIGGISVVLIFDRSPFLSEKRTLWLPWNQFIVV EKVIMQRIVADAPSCDISNFISPNPIVLPSPLTSFGGSCPERGTIVPELQVVQEEIPIPS SFVRLSYLSSRTPGYKTLLRILLTHSTIPVGMIKVHLTVSVEGRLTQKWFPAAINLVYTF AWNKTDIYGQKVWGLAEALVSVGYEYEMCPEFILWEQRTVVLQGFEMDASNLGGWSLNKH HIFNPQSGIIHKGNGENMFISQQPPVIATIMGNGHQRSVACTNCNGPAHNNKLFAPVALA SGPDGSVYVGDFNFVRRIFPSGNSVSILELRNRDTRHSTSPAHKYYLAMDPMSESLYLSD TNTRKVYKLKSLVETKDLSKNFEVVAGTGDQCLPFDQSHCGDGGKASEASLNSPRGITVD RHGFIYFVDGTMIRRIDENAVITTVIGSNGLTSTQPLSCDSGMDITQVRLEWPTDLAVNP MDNSLYVLDNNIVLQISENRRVRIIAGRPIHCQVPGIDHFLVSKVAIHSTLESARAISVS HSGLLFIAETDERKVNRIQQVTTNGEISIIAGAPTDCDCKIDPNCDCFSGDGGYAKDAKM KAPSSLAVSPDGTLYVADLGNVRIRTISKNQAHLNDMNLYEIASPADQELYQFTVNGTHL HTMNLITRDYVYNFTYNAEGDLGAITSSNGNSVHIRRDAGGMPLWLVVPGGQVYWLTISS NGVLKRVSAQGYNLALMTYPGNTGLLATKSNENGWTTVYEYDPEGHLTNATFPTGEVSSF HSDLEKLTKVALDTSNRENVLMSTNLTATSTIYILKQENTQSTYRVSPDGSLRVTFASGM EINLSSEPHILAGAVNPTLGKCNISLPGEHNANLIEWRQRKEQNKGNVSAFERRLRAHNR NLLSIDFDHMTRTGKIYDDHRKFTLRILYDQTGRPILWSPVSRYNEVNITYSPSGLVTFI QRGTWNEKMEYDQSGKIISRTWADGKIWSYTYLEKSVMLLLHSQRRYIFEYDQSDCLLSV TMPSMVRHSLQTMLSVGYYRNIYTPPDSSTSFIQDYSRDGRLLQTLHLGTGRRVLYKYTK QARLSEILYDTTQVTLTYEESSGVIKTIHLMHDGFICTIRYRQTGPLIGRQIFRFSEEGL VNARFDYSYNNFRVTSMQAVINETPLPIDLYRYVDVSGRTEQFGKFSVINYDLNQVITTT VMKHTKIFNANGQVIEVQYEILKAIAYWMTIQYDNMGRMVICDIRVGVDANITRYFYEYD ADGQLQTVSVNDKIQWRYSYDLNGNINLLSHGNSARLTPLRYDLRDRITRLGEIQYKMDE DGFLRQRGNDIFEYNSNGLLQKAYNKVSGWTVQYYYDGLGRRVASKSSLGQHLQFFYADL ANPIRVTHLYNHTSAEITSLYYDLQGHLIAMELSSGEEYYVACDNMGTPLAVFSSRGQVI KEILYTPYGDIYHDTYPDFEVIIGFHGGLYDFLTKLVHLGQRDYDVVAGRWTTPNHHIWK QLNLLPKPFNLYSFENNYPVGKIQDVAKYTTDIGTWLELFGFQLHNVLPGFPKPELENME LTYELLQLQTKTQEWDPGKMILGIQCELQKQLRNFISLDQLPMTPQYNEGRCLEGGKQPR FAAVPSVFGKGIKFAIKEGIVTADIIGVANEDSRRLAAILNNAHYLENLHFTIEGRDTHY FIKLGSLEEDLVLIGNTGGRRILENGVNVTVSQMTSVLNGRTRRFADIQLQHGALCFNIR YGTTVEEEKNHVLEMARQRAVAQAWTQEQRRLQEGEEGTRVWTEGEKQQLLGTGRVQGYD GYFVLSVEQYLELSDSANNIHFMRQSEIGRR >ENSMUSP00000110710.1 pep:known chromosome:GRCm38:X:42527866:43167817:-1 gene:ENSMUSG00000016150.15 transcript:ENSMUST00000115058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm1 description:teneurin transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1345185] MEQTDCKPYQPLSKVKHEMDLAYTSSSDESEDGRKPRQSFNSRETLHEYNQELRRNYNSQ SRKRKDVEKSTQEIEFCETPPTLCSGYHTDMHSVSRHGYQLEMGSDVDTETEGAASPDHA LRMWIRGMKSEHSSCLSSRANSALSLTDTDHERKSDGENGFKFSPVCCDMEAPADSAQDM QSSPHNQFTFRPLPPPPPPPHACTCARKPPPTVDSLQRRSMTTRSQPSPAAPAPPTSTQD SVHLHNSWVLNSNIPLETRHFLFKHGSGSSAIFSAASQNYPLTSNTVYSPPPRPLPRSTF SRPAFTFNKPYRCCNWKCTALSATAITVTLALLLAYVIAVHLFGLTWQLQPVGQIYANGI SNGNPGTESMDTTYSPIGGRVSDKSEKKVFQKGRAIDTGEVDIGAQVMQTIPPGLFWRFQ ITIHHPIYLKFNISLAKDSLLGIYGRRNIPPTHTQFDFVKLMDGKQLVKQDSKSSDDIQH SPRNLILTSLQETGFIEYMDQGPWYLAFYNDGKKMEQVFVLTTAIEIMDDCSTNCNGNGE CISGHCHCFPGFLGPDCARDSCPVLCGGNGEYEKGHCVCRNGWKGPECDVPEEQCIDPTC FGHGTCIMGVCICVPGYKGEICEEEDCLDPMCSSHGICVKGECHCSTGWGGVNCETPLPI CQEQCSGHGTFLLDTGVCSCDPKWTGSDCSTELCTMECGSHGVCSRGICQCEEGWVGPTC EERSCHSHCAEHGQCKDGKCECSPGWEGDHCTIAHYLDAVRDGCPGLCFGNGRCTLDQNG WHCVCQVGWSGTGCNIVMEMLCGDNLDNDGDGLTDCVDPDCCQQSNCYVSPLCQGSPDPL DLIQQSQPLFSQHTSRLFYDRIKFLIGKDSTHVVPQDISFDSRRACVIRGQVVAVDGTPL VGVNVSFLHHSDYGFTISRQDGSFDLVAIGGISVVLIFDRSPFLSEKRTLWLPWNQFIVV EKVIMQRIVADAPSCDISNFISPNPIVLPSPLTSFGGSCPERGTIVPELQVVQEEIPIPS SFVRLSYLSSRTPGYKTLLRILLTHSTIPVGMIKVHLTVSVEGRLTQKWFPAAINLVYTF AWNKTDIYGQKVWGLAEALVSVGYEYEMCPEFILWEQRTVVLQGFEMDASNLGGWSLNKH HIFNPQSGIIHKGNGENMFISQQPPVIATIMGNGHQRSVACTNCNGPAHNNKLFAPVALA SGPDGSVYVGDFNFVRRIFPSGNSVSILELSTSPAHKYYLAMDPMSESLYLSDTNTRKVY KLKSLVETKDLSKNFEVVAGTGDQCLPFDQSHCGDGGKASEASLNSPRGITVDRHGFIYF VDGTMIRRIDENAVITTVIGSNGLTSTQPLSCDSGMDITQVRLEWPTDLAVNPMDNSLYV LDNNIVLQISENRRVRIIAGRPIHCQVPGIDHFLVSKVAIHSTLESARAISVSHSGLLFI AETDERKVNRIQQVTTNGEISIIAGAPTDCDCKIDPNCDCFSGDGGYAKDAKMKAPSSLA VSPDGTLYVADLGNVRIRTISKNQAHLNDMNLYEIASPADQELYQFTVNGTHLHTMNLIT RDYVYNFTYNAEGDLGAITSSNGNSVHIRRDAGGMPLWLVVPGGQVYWLTISSNGVLKRV SAQGYNLALMTYPGNTGLLATKSNENGWTTVYEYDPEGHLTNATFPTGEVSSFHSDLEKL TKVALDTSNRENVLMSTNLTATSTIYILKQENTQSTYRVSPDGSLRVTFASGMEINLSSE PHILAGAVNPTLGKCNISLPGEHNANLIEWRQRKEQNKGNVSAFERRLRAHNRNLLSIDF DHMTRTGKIYDDHRKFTLRILYDQTGRPILWSPVSRYNEVNITYSPSGLVTFIQRGTWNE KMEYDQSGKIISRTWADGKIWSYTYLEKSVMLLLHSQRRYIFEYDQSDCLLSVTMPSMVR HSLQTMLSVGYYRNIYTPPDSSTSFIQDYSRDGRLLQTLHLGTGRRVLYKYTKQARLSEI LYDTTQVTLTYEESSGVIKTIHLMHDGFICTIRYRQTGPLIGRQIFRFSEEGLVNARFDY SYNNFRVTSMQAVINETPLPIDLYRYVDVSGRTEQFGKFSVINYDLNQVITTTVMKHTKI FNANGQVIEVQYEILKAIAYWMTIQYDNMGRMVICDIRVGVDANITRYFYEYDADGQLQT VSVNDKIQWRYSYDLNGNINLLSHGNSARLTPLRYDLRDRITRLGEIQYKMDEDGFLRQR GNDIFEYNSNGLLQKAYNKVSGWTVQYYYDGLGRRVASKSSLGQHLQFFYADLANPIRVT HLYNHTSAEITSLYYDLQGHLIAMELSSGEEYYVACDNMGTPLAVFSSRGQVIKEILYTP YGDIYHDTYPDFEVIIGFHGGLYDFLTKLVHLGQRDYDVVAGRWTTPNHHIWKQLNLLPK PFNLYSFENNYPVGKIQDVAKYTTDIGTWLELFGFQLHNVLPGFPKPELENMELTYELLQ LQTKTQEWDPGKMILGIQCELQKQLRNFISLDQLPMTPQYNEGRCLEGGKQPRFAAVPSV FGKGIKFAIKEGIVTADIIGVANEDSRRLAAILNNAHYLENLHFTIEGRDTHYFIKLGSL EEDLVLIGNTGGRRILENGVNVTVSQMTSVLNGRTRRFADIQLQHGALCFNIRYGTTVEE EKNHVLEMARQRAVAQAWTQEQRRLQEGEEGTRVWTEGEKQQLLGTGRVQGYDGYFVLSV EQYLELSDSANNIHFMRQSEIGRR >ENSMUSP00000016294.7 pep:known chromosome:GRCm38:X:42532391:43274786:-1 gene:ENSMUSG00000016150.15 transcript:ENSMUST00000016294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm1 description:teneurin transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1345185] MEQTDCKPYQPLSKVKHEMDLAYTSSSDESEDGRKPRQSFNSRETLHEYNQELRRNYNSQ SRKRKDVEKSTQEIEFCETPPTLCSGYHTDMHSVSRHGYQLEMGSDVDTETEGAASPDHA LRMWIRGMKSEHSSCLSSRANSALSLTDTDHERKSDGENGFKFSPVCCDMEAPADSAQDM QSSPHNQFTFRPLPPPPPPPHACTCARKPPPTVDSLQRRSMTTRSQPSPAAPAPPTSTQD SVHLHNSWVLNSNIPLETRHFLFKHGSGSSAIFSAASQNYPLTSNTVYSPPPRPLPRSTF SRPAFTFNKPYRCCNWKCTALSATAITVTLALLLAYVIAVHLFGLTWQLQPVGQIYANGI SNGNPGTESMDTTYSPIGGRVSDKSEKKVFQKGRAIDTGEVDIGAQVMQTIPPGLFWRFQ ITIHHPIYLKFNISLAKDSLLGIYGRRNIPPTHTQFDFVKLMDGKQLVKQDSKSSDDIQH SPRNLILTSLQETGFIEYMDQGPWYLAFYNDGKKMEQVFVLTTAIEIMDDCSTNCNGNGE CISGHCHCFPGFLGPDCARDSCPVLCGGNGEYEKGHCVCRNGWKGPECDVPEEQCIDPTC FGHGTCIMGVCICVPGYKGEICEEEDCLDPMCSSHGICVKGECHCSTGWGGVNCETPLPI CQEQCSGHGTFLLDTGVCSCDPKWTGSDCSTELCTMECGSHGVCSRGICQCEEGWVGPTC EERSCHSHCAEHGQCKDGKCECSPGWEGDHCTIAHYLDAVRDGCPGLCFGNGRCTLDQNG WHCVCQVGWSGTGCNIVMEMLCGDNLDNDGDGLTDCVDPDCCQQSNCYVSPLCQGSPDPL DLIQQSQPLFSQHTSRLFYDRIKFLIGKDSTHVVPQDISFDSRRACVIRGQVVAVDGTPL VGVNVSFLHHSDYGFTISRQDGSFDLVAIGGISVVLIFDRSPFLSEKRTLWLPWNQFIVV EKVIMQRIVADAPSCDISNFISPNPIVLPSPLTSFGGSCPERGTIVPELQVVQEEIPIPS SFVRLSYLSSRTPGYKTLLRILLTHSTIPVGMIKVHLTVSVEGRLTQKWFPAAINLVYTF AWNKTDIYGQKVWGLAEALVSVGYEYEMCPEFILWEQRTVVLQGFEMDASNLGGWSLNKH HIFNPQSGIIHKGNGENMFISQQPPVIATIMGNGHQRSVACTNCNGPAHNNKLFAPVALA SGPDGSVYVGDFNFVRRIFPSGNSVSILELRNRDTRHSTSPAHKYYLAMDPMSESLYLSD TNTRKVYKLKSLVETKDLSKNFEVVAGTGDQCLPFDQSHCGDGGKASEASLNSPRGITVD RHGFIYFVDGTMIRRIDENAVITTVIGSNGLTSTQPLSCDSGMDITQVRLEWPTDLAVNP MDNSLYVLDNNIVLQISENRRVRIIAGRPIHCQVPGIDHFLVSKVAIHSTLESARAISVS HSGLLFIAETDERKVNRIQQVTTNGEISIIAGAPTDCDCKIDPNCDCFSGDGGYAKDAKM KAPSSLAVSPDGTLYVADLGNVRIRTISKNQAHLNDMNLYEIASPADQELYQFTVNGTHL HTMNLITRDYVYNFTYNAEGDLGAITSSNGNSVHIRRDAGGMPLWLVVPGGQVYWLTISS NGVLKRVSAQGYNLALMTYPGNTGLLATKSNENGWTTVYEYDPEGHLTNATFPTGEVSSF HSDLEKLTKVALDTSNRENVLMSTNLTATSTIYILKQENTQSTYRVSPDGSLRVTFASGM EINLSSEPHILAGAVNPTLGKCNISLPGEHNANLIEWRQRKEQNKGNVSAFERRLRAHNR NLLSIDFDHMTRTGKIYDDHRKFTLRILYDQTGRPILWSPVSRYNEVNITYSPSGLVTFI QRGTWNEKMEYDQSGKIISRTWADGKIWSYTYLEKSVMLLLHSQRRYIFEYDQSDCLLSV TMPSMVRHSLQTMLSVGYYRNIYTPPDSSTSFIQDYSRDGRLLQTLHLGTGRRVLYKYTK QARLSEILYDTTQVTLTYEESSGVIKTIHLMHDGFICTIRYRQTGPLIGRQIFRFSEEGL VNARFDYSYNNFRVTSMQAVINETPLPIDLYRYVDVSGRTEQFGKFSVINYDLNQVITTT VMKHTKIFNANGQVIEVQYEILKAIAYWMTIQYDNMGRMVICDIRVGVDANITRYFYEYD ADGQLQTVSVNDKIQWRYSYDLNGNINLLSHGNSARLTPLRYDLRDRITRLGEIQYKMDE DGFLRQRGNDIFEYNSNGLLQKAYNKVSGWTVQYYYDGLGRRVASKSSLGQHLQFFYADL ANPIRVTHLYNHTSAEITSLYYDLQGHLIAMELSSGEEYYVACDNMGTPLAVFSSRGQVI KEILYTPYGDIYHDTYPDFEVIIGFHGGLYDFLTKLVHLGQRDYDVVAGRWTTPNHHIWK QLNLLPKPFNLYSFENNYPVGKIQDVAKYTTDIGTWLELFGFQLHNVLPGFPKPELENME LTYELLQLQTKTQEWDPGKMILGIQCELQKQLRNFISLDQLPMTPQYNEGRCLEGGKQPR FAAVPSVFGKGIKFAIKEGIVTADIIGVANEDSRRLAAILNNAHYLENLHFTIEGRDTHY FIKLGSLEEDLVLIGNTGGRRILENGVNVTVSQMTSVLNGRTRRFADIQLQHGALCFNIR YGTTVEEEKNHVLEMARQRAVAQAWTQEQRRLQEGEEGTRVWTEGEKQQLLGTGRVQGYD GYFVLSVEQYLELSDSANNIHFMRQSEIGRR >ENSMUSP00000030931.4 pep:known chromosome:GRCm38:4:154964123:154980871:1 gene:ENSMUSG00000029056.13 transcript:ENSMUST00000030931.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pank4 description:pantothenate kinase 4 [Source:MGI Symbol;Acc:MGI:2387466] MAERGASGGGSGGDSLDKSITLPPDEIFRNLENAKRFAIDIGGSLTKLAYYSTVQHKVAK VRSFDHPGKDVEQDHEPPYEISVQEEITARLHFIKFENTYMEACLDFIRDHLVNTETKVI QATGGGAYKFKDLIEEKLRLKVDKEDVMTCLIKGCNFVLKNIPHEAFMYQKDSDPEFRFQ TNHPNIFPYLLVNIGSGVSIVKVETEDRFEWIGGSSIGGGTFWGLGALLTKTKKFDELLQ LASRGRHANVDMLVQDIYGGAHQTLGLSGNLIASSFGKSATADREFSKEDMAKSLLHMIS NDIGQLACLYAKLHGLDRVYFGGFFIRGHPVTMRTITYSINFFSKGEVQALFLRHEGYLG AIGAFLKGAEQDNPNQYSWGENYAASSGLMSTSPELCPTQRARSGTFDLLEMDRLERPLV NLPLLLDPSSYVPDTVDLTDDALARQYWLTCFEEALDGVVKRAVASQPESMDAVERAEKF RQKYWGKLQTLRHQPFAYGTLTVRSLLDTREHCLNEFNFPDPYSKVKQKENGLALKCFQS VTRSLDSLGWEERQLALVKGLLAGNVFDWGAKAVSDVLESDPQFGFEEAKRKLQERPWLV DSYTKWLQRLKGPPHKCALIFADNSGIDIILGVFPFVRELLFRGTEVILACNSGPALNDV TYSESLIVAERIAAMDPIICTALREDRLLLVQTGSSSPCLDLSRLDKGLAVLVRERGADL VVIEGMGRAIHTNYHALLRCESLKLAVVKNAWLAERLGGQLFSVIFKYEVPTK >ENSMUSP00000064330.4 pep:known chromosome:GRCm38:4:154964133:154980938:1 gene:ENSMUSG00000029056.13 transcript:ENSMUST00000070953.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pank4 description:pantothenate kinase 4 [Source:MGI Symbol;Acc:MGI:2387466] MAERGASGGGSGGDSLDKSITLPPDEIFRNLENAKRFAIDIGGSLTKLAYYSTVQHKVAK VRSFDHPGKDVEQDHEPPYEISVQEEITARLHFIKFENTYMEACLDFIRDHLVNTETKVI QATGGGAYKFKDLIEEKLRLKVDKEDVMTCLIKGCNFVLKNIPHEAFMYQKDSDPEFRFQ TNHPNIFPYLLVNIGSGVSIVKVETEDRFEWIGGSSIGGGTFWGLGALLTKTKKFDELLQ LASRGRHANVDMLVQDIYGGAHQTLGLSGNLIASSFGKSATADREFSKEDMAKSLLHMIS NDIGQLACLYAKLHGLDRVYFGGFFIRGHPVTMRTITYSINFFSKGEVQALFLRHEGYLG AIGAFLKGAEQDNPNQYSWGENYAASSGLMSTSPELCPTQRARSGTFDLLEMDRLERPLV NLPLLLDPSSYVPDTVDLTDDALARQYWLTCFEEALDGVVKRAVASQPESMDAVERAEKF RQKYWGKLQTLRHQPFAYGTLTVRSLLDTREHCLNEFNFPDPYSKVKQKENGLALKCFQS VTRSLDSLGWEERQLALVKGLLAGNVFDWGAKAVSDVLESDPQFGFEEAKRKLQERPWLV DSYTKWLQRLKGPPHKCALIFADNSGIDIILGVFPFVRELLFRGTEVILACNSGPALNDV TYSESLIVAERIAAMDPIICTALREDRLLLVQTGSSSPCLDLSLCTSRTTTCMVLPFAMW VLWTKLKSLVEKCLSPLSILLACSVLSAKSRLDKGLAVLVRERGADLVVIEGMGRAIHTN YHALLRCESLKLAVVKNAWLAERLGGQLFSVIFKYEVPTK >ENSMUSP00000125663.1 pep:known chromosome:GRCm38:4:154969999:154980889:1 gene:ENSMUSG00000029056.13 transcript:ENSMUST00000148934.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pank4 description:pantothenate kinase 4 [Source:MGI Symbol;Acc:MGI:2387466] XKEDVMTCLIKGCNFVLKNIPHEAFMYQKDSDPEFRFQTNHPNIFPYLLVNIGSGVSIVK VETEDRFEWIGGSSIGGGTFWGLGALLTKTKKFDELLQLASRGRHANVDMLVQDIYGGAH QTLGLSGNLIASSFGKSATADREFSKEDMAKSLLHMISNDIGQLACLYAKLHGLDRVYFG GFFIRGHPVTMRTITYSINFFSKGEVQALFLRHEGYLGAIGAFLKGAEQDNPNQYSWGEN YAASSGLMSTSPELCPTQRARSGTFDLLEMDRLERPLVNLPLLLDPSSYVPDTVDLTDDA LARQYWLTCFEEALDGVVKRAVASQPESMDAVERAEKFRQKYWGKLQTLRHQPFAYGTLT VRSLLDTREHCLNEFNFPDPYSKVKQKENGLALKCFQSVTRSLDSLGWEERQLALVKGLL AGNVFDWGAKAVSDVLESDPQFGFEEAKRKLQERPWLVDSYTKWLQRLKITVE >ENSMUSP00000056432.4 pep:known chromosome:GRCm38:9:24098018:24316398:1 gene:ENSMUSG00000043659.11 transcript:ENSMUST00000059650.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npsr1 description:neuropeptide S receptor 1 [Source:MGI Symbol;Acc:MGI:2441738] MPANLTEGSFHANQTVPMLDSSPVACTEIVTFTEALVAEEWGSFYSSFKTEQLITLWVLF VVTIVGNSVVLFSTCRRKRKSRMTFFVTQLAITDSFTGLINILTDIIWRFTGDFMAPDLV CRVVRYLQVVLLYASTYVLVSLSIDRYHAIVYPMKFLQGEKQAKVLIGIAWSLSFLFSIP TLIIFGKRTLSNGEVQCWALWPDDSYWTPYMTIVAFLVYFIPLAIISVIYGLVIRTIWMK SKTHETVISNCSDGKLCCSYNRGLISKAKIKAIKYSIVIILAFICCWSPYFLFDILDNFN VLPDTKERFYASVIIQNLPALNSAINPLIYCIFSSSICSPCKMQRSQDSRMTYRERSERH EMQILSKPEFI >ENSMUSP00000117786.1 pep:known chromosome:GRCm38:9:24097996:24289892:1 gene:ENSMUSG00000043659.11 transcript:ENSMUST00000133787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npsr1 description:neuropeptide S receptor 1 [Source:MGI Symbol;Acc:MGI:2441738] MPANLTEGSFHANQTVPMLDSSPVACTEIVTFTEALVAEEWGSFYSSFKTEQLITLWVLF VVTIVGNSVVLFSTCRRKRKSRMTFFVTQLAITDSFTGLINILTDIIWRFTGDFMAPDLV CRVVRYLQVVLLYASTYVLVSLSIDRYHAIVYPMKFLQGEKQAKVLIGIAWSLSFLFSIP TLIIFGKRTL >ENSMUSP00000115126.1 pep:known chromosome:GRCm38:9:24283433:24313343:1 gene:ENSMUSG00000043659.11 transcript:ENSMUST00000154644.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npsr1 description:neuropeptide S receptor 1 [Source:MGI Symbol;Acc:MGI:2441738] MKFLQGEKQAKVLIGIAWSLSFLFSIPTLIIFGKRTLSNGEVQCWALWPDDSYWTPYMTI VAFLVYFIPLAIISVIYGLVIRTIWMKSKTHETVISNCSDGKLCCSYNRGLISKAKIKAI KYSIVIILAFICCWSPYFLFDILDNFNVLPDTKERFYASVIIQNLPALNSAINPLIYCIF SSSIC >ENSMUSP00000110842.2 pep:known chromosome:GRCm38:X:37458585:37473964:1 gene:ENSMUSG00000079636.11 transcript:ENSMUST00000115188.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3c description:reproductive homeobox 3C [Source:MGI Symbol;Acc:MGI:3770268] MSMKPERSISNWIHSNVERAGRNLFQVNGHRSALLPELPQDYHRASRSVYGCETKMDSTQ GTKVLPAEEARNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRNKEDGG TKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQWQLDELERIFRMNYFLS LEARKQLARWMGVNEAIVKRWFQKRREQYRWYKRL >ENSMUSP00000121720.1 pep:known chromosome:GRCm38:X:37469997:37473961:1 gene:ENSMUSG00000079636.11 transcript:ENSMUST00000123851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3c description:reproductive homeobox 3C [Source:MGI Symbol;Acc:MGI:3770268] RASRSVYGCETKMDSTQGTKVLPAEEARNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQ WQLDELERIFRMNYFLSLEARDGFRRGENNTGGIRGYKVSEVLLLLLRTSFLKTVEEPCS ATIAKAT >ENSMUSP00000133542.1 pep:known chromosome:GRCm38:X:37469997:37473964:1 gene:ENSMUSG00000079636.11 transcript:ENSMUST00000151387.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3c description:reproductive homeobox 3C [Source:MGI Symbol;Acc:MGI:3770268] RASRSVYGCETKMDSTQGTKVLPAEEARNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGEMVSEEERTIQVV >ENSMUSP00000110843.3 pep:known chromosome:GRCm38:X:37469868:37473779:1 gene:ENSMUSG00000079636.11 transcript:ENSMUST00000115189.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3c description:reproductive homeobox 3C [Source:MGI Symbol;Acc:MGI:3770268] MSMKPERSISNWIHSNVERAGRNLFQVNGHRSALLPELPQDYHRASRSVYGCETKMDSTQ GTKVLPAEEARNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRNKEDGG TKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQWQLDELERIFRMNYFLS LEARKQLARWMGVNEAIVKRWFQKRREQYRWYKRL >ENSMUSP00000030773.7 pep:known chromosome:GRCm38:5:25689812:25705825:-1 gene:ENSMUSG00000028933.11 transcript:ENSMUST00000030773.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc2 description:X-ray repair complementing defective repair in Chinese hamster cells 2 [Source:MGI Symbol;Acc:MGI:1927345] MCSDFRRAESGTELLARLEGRSSLKELEPNLFADEDSPVHGDIFEFHGPEGTGKTEMLYH LTARCILPKSEGGLQIEVLFIDTDYHFDMLRLVTVLEHRLSQSSEEAMKLCLARLFLAYC SSSMQLLLTLHSLEALLCSRPSLCLLIVDSLSSFYWIDRVSGGESVALQESTLQKCSQLL ERLVTEYRLLLFATTQSLMQKGSDSADGPSSSKHPCDGDMGYRAYLCKAWQRVVKHRVIF SRDDEAKSSRFSLVSRHLKSNSLKKHSFMVRESGVEFC >ENSMUSP00000143032.1 pep:known chromosome:GRCm38:5:25695922:25705773:-1 gene:ENSMUSG00000028933.11 transcript:ENSMUST00000134972.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc2 description:X-ray repair complementing defective repair in Chinese hamster cells 2 [Source:MGI Symbol;Acc:MGI:1927345] MCSDFRRAESGTELLARLEGRSSLKELEPNLFADEDSPVHG >ENSMUSP00000110833.2 pep:known chromosome:GRCm38:X:37493428:37497623:1 gene:ENSMUSG00000095698.2 transcript:ENSMUST00000115179.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2d description:reproductive homeobox 2D [Source:MGI Symbol;Acc:MGI:3648779] MEQQSINYKLDVGPEEDEENANGVKTLMVLLAGEGRNEGESGPGLPGSGVSAAEGYRAGE LSAGGLASPVADLMDKSNQEDLSATGCAQEKEKQPEEPVPDSMGDLENVKPMSGPWSTVN PVRVLVPEFRYSWQQSFNVLQLQELESIFQCNQYISTTEAKRLAKSMGVSEATVQEWFLK RREKYRSYKRL >ENSMUSP00000143358.1 pep:known chromosome:GRCm38:3:146457196:146469739:-1 gene:ENSMUSG00000028188.13 transcript:ENSMUST00000200488.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata1 description:spermatogenesis associated 1 [Source:MGI Symbol;Acc:MGI:1918201] XLTKLREDLELYYKKLLMQLEAREIKMRPRNLANISDSKMRKQAVSDLQTLKADLTQKKM GAPFRPPMFSGSVPT >ENSMUSP00000142800.1 pep:known chromosome:GRCm38:3:146457202:146493845:-1 gene:ENSMUSG00000028188.13 transcript:ENSMUST00000197980.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata1 description:spermatogenesis associated 1 [Source:MGI Symbol;Acc:MGI:1918201] MSLNPSRPSSSELVELHVFYVPEGSWNYKLNTISIEVINNFISAGFIRVSPQLTLQALRE RLGEFLGVDAVAEKFLFLKCIGNNLAVVKEKQESELKLKSFAPPYALQPELYLLPVIDHL GNVYSASTVTLDEQESINDTTEINGTIYRPDSVSLSKDEPGNPSLLENTWRDFTNQEEAE ESQPTQNHFGNSKLLGSLEESNDYFGNLKSPFLWKNDDEEEDEEKDNAALSRRQATLVCD KECTALPDLIDFPSFPSQRVSSRLTDTSLLKIEREKIIEQMKQVKEERKYLENIREELIK KVDKLFEQNKSKRYHASDSWKKKYLDTKKVTASLEEVLTKLREDLELYYKKLLMQLEARE IKMRPRNLANISDSKNYLIIQITEVQHAIDQLKRKLDTDKMKLILEVKMRKQAVSDLQTL KADLTQKKMGAPFRPPMFSGSVPT >ENSMUSP00000029839.4 pep:known chromosome:GRCm38:3:146457203:146499753:-1 gene:ENSMUSG00000028188.13 transcript:ENSMUST00000029839.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata1 description:spermatogenesis associated 1 [Source:MGI Symbol;Acc:MGI:1918201] MSLNPSRPSSSELVELHVFYVPEGSWNYKLNTISIEVINNFISAGFIRVSPQLTLQALRE RLGEFLGVDAVAEKFLFLKCIGNNLAVVKEKQESELKLKSFAPPYALQPELYLLPVIDHL GNVYSASTVTLDEQESINDTTEINGTIYRPDSVSLSKDEPGNPSLLENTWRDFTNQEEAE ESQPTQNHFGNSKLLGSLEESNDYFGNLKSPFLWKNDDEEEDEEKDNAALSRRQATLVCD KECTALPDLIDFPSFPSQRVSSRLTDTSLLKIEREKIIEQMKQVKEERKYLENIREELIK KVDKLFEQNKSKRYHASDSWKKKYLDTKKVTASLEEVLTKLREDLELYYKKLLMQLEARE IKMRPRNLANISDSKNYLIIQITEVQHAIDQLKRKLDTDKMKLILEVKMRKQAVSDLQTL KADLTQKKMGAPFRPPMFSGSVPT >ENSMUSP00000143495.1 pep:known chromosome:GRCm38:3:146465940:146476269:-1 gene:ENSMUSG00000028188.13 transcript:ENSMUST00000195949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata1 description:spermatogenesis associated 1 [Source:MGI Symbol;Acc:MGI:1918201] LIDFPSFPSQRVSSRLTDTSLLKIETSDSWKKKYLDTKKVTASLEEVLTKLREDLELYYK KLLMQLEAREIKMRPRNLANISDSKNYLIIQITEVQHAIDQLKRKLDTDKMKLILEVKMR KQAVSDLQTLKADLTQK >ENSMUSP00000091483.2 pep:known chromosome:GRCm38:3:146485411:146495115:-1 gene:ENSMUSG00000028188.13 transcript:ENSMUST00000093951.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata1 description:spermatogenesis associated 1 [Source:MGI Symbol;Acc:MGI:1918201] MSLNPSRPSSSELVELHVFYVPEGSWNYKLNTISIEVINNFISAGFIRVSPQLTLQALRE RLGEFLGVDAVAEKFLFLKCIGNNLAVVKEKQESELKLKSFAPPYVCTMMLNSH >ENSMUSP00000071085.3 pep:known chromosome:GRCm38:11:51253651:51262951:-1 gene:ENSMUSG00000045942.12 transcript:ENSMUST00000065950.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC049762 description:cDNA sequence BC049762 [Source:MGI Symbol;Acc:MGI:3039622] MGHPGRKIHKKTRALCRRLYQQGLKKSWESCFDLLLSLRDLHRTLCNERPGIEPLFSPYA EALYRILGSSPQGSHVPGPLDDGAGNPPLSVYPQTPRNQPWDYGVAVPSAQLQGNALPMM SQEDSLFPRGEHWNPNHSMSAPNLLPDFAPGDPSFQQPWPTAE >ENSMUSP00000061848.2 pep:known chromosome:GRCm38:11:51253651:51263046:-1 gene:ENSMUSG00000045942.12 transcript:ENSMUST00000054226.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC049762 description:cDNA sequence BC049762 [Source:MGI Symbol;Acc:MGI:3039622] MGHPGRKIHKKTRALCRRLYQQGLKKSWESCFDLLLSLRDLHRTLCNERPGIEPLFSPYA EALYRILGSSPQGSHVPGPLDDGAGNPPLSVYPQTPRNQPWDYGVAVPSAQLQGNALPMM SQEDSLFPRGEHWNPNHSMSAPNLLPDFAPGDPSFQQPWPTAE >ENSMUSP00000133816.1 pep:known chromosome:GRCm38:2:173020498:173034734:1 gene:ENSMUSG00000027510.17 transcript:ENSMUST00000173393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm38 description:RNA binding motif protein 38 [Source:MGI Symbol;Acc:MGI:1889294] MLLQPACSPSVFPRPSAAPSAMHGSQKDTTFTKIFVGGLPYHTTDASLRKYFEGFGDIEE AVVITDRQTGKSRGYGFVTMADRAAADRACKDPNPIIDGRKANVNLAYLGAKPRSLQTGF AVGVQQLHPTLIQRTYGLTPHYIYPPAIVQPSVVIPATPVPSLSSPYLEYTPASPAYAQY PPATYDQYPYAASPAAATSFVGYGYPAAVPQALSAAAPAGTTFVQYQAPQLQPDRMQ >ENSMUSP00000133388.1 pep:known chromosome:GRCm38:2:173021899:173033913:1 gene:ENSMUSG00000027510.17 transcript:ENSMUST00000173878.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm38 description:RNA binding motif protein 38 [Source:MGI Symbol;Acc:MGI:1889294] MLLQPACSPSVFPRPSAAPSAMHGSQKDTTFTKIFVGGLPYHTTDASLRKYFEGFGDIEE AVVITDRQTGDHGRSGSG >ENSMUSP00000133757.1 pep:known chromosome:GRCm38:2:173022111:173033650:1 gene:ENSMUSG00000027510.17 transcript:ENSMUST00000173720.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm38 description:RNA binding motif protein 38 [Source:MGI Symbol;Acc:MGI:1889294] XSQKDTTFTKIFVGGLPYHTTDASLRKYFEGFGDIEEAVVITDRQTGKSRGYGFVSARGA RTCRRPSPTASQTRRAQPGLRGFPGPSALPPHFPAAPKKRDHGRSGSG >ENSMUSP00000133464.1 pep:known chromosome:GRCm38:2:173022438:173033709:1 gene:ENSMUSG00000027510.17 transcript:ENSMUST00000173997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm38 description:RNA binding motif protein 38 [Source:MGI Symbol;Acc:MGI:1889294] MADRAAADRACKDPNPIIDGRKANVNLAYLGAKPRSLQTGFAVGVQQLHPTLIQRTYGLT PHYIYPPAIVQPSVVIPATPVPSLSSPYLEYTPASPAYAQYPPATYDQYPYAASPAAATS FVGYG >ENSMUSP00000133681.1 pep:known chromosome:GRCm38:2:173022831:173034178:1 gene:ENSMUSG00000027510.17 transcript:ENSMUST00000173979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm38 description:RNA binding motif protein 38 [Source:MGI Symbol;Acc:MGI:1889294] KDPNPIIDGRKANVNLAYLGAKPRSLQTG >ENSMUSP00000029014.9 pep:known chromosome:GRCm38:2:173021902:173034725:1 gene:ENSMUSG00000027510.17 transcript:ENSMUST00000029014.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm38 description:RNA binding motif protein 38 [Source:MGI Symbol;Acc:MGI:1889294] MLLQPACSPSVFPRPSAAPSAMHGSQKDTTFTKIFVGGLPYHTTDASLRKYFEGFGDIEE AVVITDRQTGKSRGYGFVTMADRAAADRACKDPNPIIDGRKANVNLAYLGAKPRSLQTGF AVGVQQLHPTLIQRTYGLTPHYIYPPAIVQPSVVIPATPVPSLSSPYLEYTPASPAYAQY PPATYDQYPYAASPAAATSFVGYGYPAAVPQALSAAAPAGTTFVQYQAPQLQPDRMQ >ENSMUSP00000108553.1 pep:known chromosome:GRCm38:X:141475385:141689234:1 gene:ENSMUSG00000031274.16 transcript:ENSMUST00000112931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a5 description:collagen, type IV, alpha 5 [Source:MGI Symbol;Acc:MGI:88456] MQVRGVCLAAGWFLLALCLWGQPAEAAACHGCSSGSKCDCSGIKGEKGERGFPGLEGHPG LPGFPGPEGPPGPRGQKGDDGIPGPPGPKGIRGPPGLPGFPGTPGLPGMPGHDGAPGPQG IPGCNGTKGERGFPGSPGFPGLQGPPGPPGIPGMKGEPGSIIMSPLPGPKGNQGYPGPPG IQGPPGPKGISGPSGPPGPPGLMGPPGPPGPPGPKGNMGLNFQGPKGEKGEQGLQGPPGP PGQISEQKRPIDIEFQKGDQGVPGDRGPPGPPGIPGPPGPPGGVKGEKGEPGEAGKRGKP GKDGETGQPGIPGLPGDPGYPGEPGRDGEKGQKGDIGPPGPPGLVIPAPGTGVTMGEKGN IGLPGLPGEKGERGFPGIQGPPGFPGPPGTAVVGPPGPPGYPGERGQKGDEGPPGICIPG SPGLDGQPGAPGLPGPPGPPGPQLPSRDEICKAGPPGPPGPPGDKGLQGERGVKGDKGDT CFNCIGTGISGPPGQPGLPGLPGPPGSLGIPGEKGDKGQAGITGPKGLPGIPGPPGAPGF PGSKGDPGDVLTLPGMKGDKGELGFPGAPGLPGLPGSPGKDGLPGLPGPKGEPGGITFKG ERGPPGSPGLPGLPGNMGPTGPVGFGPPGPIGEKGIQGVAGNPGQPGLPGPKGDPGQTIT QPGKPGLPGSPGRDGEVGLPGDPGLPGQPGLPGIPGSKGEPGIPGIGPPGPPGPKGFPGI PGPPGAPGAPGRMGPDGPPGPPGFPGPKGEPGFGLPGPPGPPGFPGFKGTPGPKGDRGFP GPSGPPGRTGLDGLPGPKGDVGPNGQPGPVGPPGLPGIGLQGPPGPPGTPGPIGQPGLHG IPGEKGDPGPPGFDVPGLPGERGSPGLPGAPGLIGPPGSPGVPGKAGVPGFPGVKGEMGM MGPPGPPGPLGIPGRSGAPGLKGDDGMQGQPGLPGPAGEKGGKGEPGLPGPPGPMHPDFL GSKGEKGEPGLPGIPGLSGPKGYQGLPGDPGQPGLSGQPGSPGLPGPKGNPGLPGPPGLT GPPGPKGNIGDMGFPGPQGVDGPPGPPGFPGQPGSPGLPGQKGSKGEPGVSGIGLPGLPG PKGEPGLPGYPGNPGIKGSVGETGLPGLPGNPGAKGQPGLPGFPGTPGLPGPKGMNGPPG NPGFPGEPGPVGAGGRPGPPGPPGEKGKPGQDGIPGPAGQKGEPGQPGFGIPGPPGLPGL SGQKGDGGSPGLPGNPGLPGSKGEPGFQGFPGMPGPPGPPGSPGQALEGPKGNPGPQGPP GRPGPPGFQGPPGTEGPRGPPGNGGIKGERGNPGPPGQPGLPGLKGDQGPPGLPGNPGRP GLNGMKGDPGLPGVPGFPGMKGPIGVPGSTGPDGEPGLTGPPGPPGLPGPSGQSIVIKGD PGPPGIPGQPGLKGLPGLPGPQGLPGPIGPPGDPGRNGLPGFDGAGGRKGDPGLPGQPGA RGLDGPPGPDGLQGPPGPPGTTSVAHGFLITRHSQTTEAPQCPRGTVHIYEGFSLLYVQG NKRAHGQDLGTAGSCLRRFSTMPFMFCNINNVCNFASRNDYSYWLSTPEPMPMNMEPLKG QSIQPFISRCAVCEAPAVVIAVHSQTIQIPHCPQGWDSLWIGYSFMMHTSAGAEGSGQAL ASPGSCLEEFRSAPFIECHGRGTCNYYANSYSFWLATVDMSDMFNKPQSETLKAGDLRTR ISRCQVCMKRT >ENSMUSP00000108552.1 pep:known chromosome:GRCm38:X:141475410:141689180:1 gene:ENSMUSG00000031274.16 transcript:ENSMUST00000112930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a5 description:collagen, type IV, alpha 5 [Source:MGI Symbol;Acc:MGI:88456] MQVRGVCLAAGWFLLALCLWGQPAEAAACHGCSSGSKCDCSGIKGEKGERGFPGLEGHPG LPGFPGPEGPPGPRGQKGDDGIPGPPGPKGIRGPPGLPGFPGTPGLPGMPGHDGAPGPQG IPGCNGTKGERGFPGSPGFPGLQGPPGPPGIPGMKGEPGSIIMSPLPGPKGNQGYPGPPG IQGPPGPKGISGPSGPPGPPGLMGPPGPPGPPGPKGNMGLNFQGPKGEKGEQGLQGPPGP PGQISEQKRPIDIEFQKGDQGVPGDRGPPGPPGIPGPPGPPGGVKGEKGEPGEAGKRGKP GKDGETGQPGIPGLPGDPGYPGEPGRDGEKGQKGDIGPPGPPGLVIPAPGTGVTMGEKGN IGLPGLPGEKGERGFPGIQGPPGFPGPPGTAVVGPPGPPGYPGERGQKGDEGPPGICIPG SPGLDGQPGAPGLPGPPGPPGPQLPSRDEICKAGPPGPPGPPGDKGLQGERGVKGDKGDT CFNCIGTGISGPPGQPGLPGLPGPPGSLGIPGEKGDKGQAGITGPKGLPGIPGPPGAPGF PGSKGDPGDVLTLPGMKGDKGELGFPGAPGLPGLPGSPGKDGLPGLPGPKGEPGGITFKG ERGPPGSPGLPGLPGNMGPTGPVGFGPPGPIGEKGIQGVAGNPGQPGLPGPKGDPGQTIT QPGKPGLPGSPGRDGEVGLPGDPGLPGQPGLPGIPGSKGEPGIPGIGPPGPPGPKGFPGI PGPPGAPGAPGRMGPDGPPGPPGFPGPKGEPGFGLPGPPGPPGFPGFKGTPGPKGDRGFP GPSGPPGRTGLDGLPGPKGDVGPNGQPGPVGPPGLPGIGLQGPPGPPGTPGPIGQPGLHG IPGEKGDPGPPGFDVPGLPGERGSPGLPGAPGLIGPPGSPGVPGKAGVPGFPGVKGEMGM MGPPGPPGPLGIPGRSGAPGLKGDDGMQGQPGLPGPAGEKGGKGEPGLPGPPGPMHPDFL GSKGEKGEPGLPGIPGLSGPKGYQGLPGDPGQPGLSGQPGSPGLPGPKGNPGLPGPPGLT GPPGPKGNIGDMGFPGPQGVDGPPGPPGFPGQPGSPGLPGQKGSKGEPGVSGIGLPGLPG PKGEPGLPGYPGNPGIKGSVGETGLPGLPGNPGAKGQPGLPGFPGTPGLPGPKGMNGPPG NPGFPGEPGPVGAGGRPGPPGPPGEKGKPGQDGIPGPAGQKGEPGQPGFGIPGPPGLPGL SGQKGDGGSPGLPGNPGLPGSKGEPGFQGFPGMPGPPGPPGSPGQALEGPKGNPGPQGPP GRPGPPGFQGPPGTEGPRGPPGNGGIKGERGNPGPPGQPGLPGLKGDQGPPGLPGNPGRP GLNGMKGDPGLPGVPGFPGMKGPIGVPGSTGPDGEPGLTGPPGPPGLPGPSGQSIVIKGD PGPPGIPGQPGLKGLPGLPGPQGLPGPIGPPGDPGRNGLPGFDGAGGRKGDPGLPGQPGA RGLDGPPGPDGLQGPPGPPGTTSVAHGFLITRHSQTTEAPQCPRGTVHIYEGFSLLYVQG NKRAHGQDLGTAGSCLRRFSTMPFMFCNINNVCNFASRNDYSYWLSTPEPMPMNMEPLKG QSIQPFISRCAVCEAPAVVIAVHSQTIQIPHCPQGWDSLWIGYSFMMHTSAGAEGSGQAL ASPGSCLEEFRSAPFIECHGRGTCNYYANSYSFWLATVDMSDMFNKPQSETLKAGDLRTR ISRCQVCMKRT >ENSMUSP00000116610.1 pep:known chromosome:GRCm38:X:141630124:141689234:1 gene:ENSMUSG00000031274.16 transcript:ENSMUST00000130732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a5 description:collagen, type IV, alpha 5 [Source:MGI Symbol;Acc:MGI:88456] XGVPGFPGVKGEMGMMGPPGPPGPLGIPGRSGAPGLKGDDGMQGQPGLPGPAGEKGGKGE PGLPGPPGPMHPDFLGSKGEKGEPGLPGIPGLSGPKGYQGLPGDPGQPGLSGQPGSPGLP GPKGNPGLPGPPGLTGPPGPKGNIGDMGFPGPQGVDGPPGPPGFPGQPGSPGLPGQKGSK GEPGVSGIGLPGLPGPKGEPGLPGYPGNPGIKGSVGETGLPGLPGNPGAKGQPGLPGFPG TPGLPGPKGMNGPPGNPGFPGEPGPVGAGGRPGPPGPPGEKGKPGQDGIPGPAGQKGEPG QPGFGIPGPPGLPGLSGQKGDGGSPGLPGNPGLPGSKGEPGFQGFPGMPGPPGPPGSPGQ ALEGPKGNPGPQGPPGRPGPPGTEGPRGPPGNGGIKGERGNPGPPGQPGLPGLKGDQGPP GLPGNPGRPGLNGMKGDPGLPGVPGFPGMKGPIGVPGSTGPDGEPGLTGPPGPPGLPGPS GQSIVIKGDPGPPGIPGQPGLKGLPGLPGPQGLPGPIGPPGDPGRNGLPGFDGAGGRKGD PGLPGQPGARGLDGPPGPDGLQGPPGPPGTTSVAHGFLITRHSQTTEAPQCPRGTVHIYE GFSLLYVQGNKRAHGQDLGTAGSCLRRFSTMPFMFCNINNVCNFASRNDYSYWLSTPEPM PMNMEPLKGQSIQPFISRCAVCEAPAVVIAVHSQTIQIPHCPQGWDSLWIGYSFMMHTSA GAEGSGQALASPGSCLEEFRSAPFIECHGRGTCNYYANSYSFWLATVDMSDMFNKPQSET LKAGDLRTRISRCQVCMKRT >ENSMUSP00000072030.3 pep:known chromosome:GRCm38:X:37530452:37541813:1 gene:ENSMUSG00000096788.8 transcript:ENSMUST00000072167.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2e description:reproductive homeobox 2E [Source:MGI Symbol;Acc:MGI:3770272] MERQSVNYKLDVGPEEDEENANGVKTLMVLLAGEGRNEGESGRGLPGSGASAAEGYRAGE ISAGGPAAPVADLMDNSNQEDLGATGCDQEKEKQPEEPVPDSMGDLENVKRVSGPWSTVN PVRVLVPEFRHGWQQSFNVLQLQELESIFQCNHYISTKEANRLARSMGVSEATVQEWFLK RREKYRSYKRL >ENSMUSP00000139210.1 pep:known chromosome:GRCm38:X:37530474:37541722:1 gene:ENSMUSG00000096788.8 transcript:ENSMUST00000184746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2e description:reproductive homeobox 2E [Source:MGI Symbol;Acc:MGI:3770272] XDFHGFTDMERQSVNYKLDVGPEEDEENANGVKTLMVLLAGEGRNEGESGRGLPGSGASA AEGYRAGEISAGGPAAPVADLMDNSNQEDLGATGCDQEKEKQPEEPVPDSMGDLENVKRV SGPWSTVNPVRVLVPEFRHGWQQSFNVLQLQELESIFQCNHYISTKEANRLARSMGVSEA TVQVDKSNRKE >ENSMUSP00000115894.1 pep:known chromosome:GRCm38:11:51261730:51272019:1 gene:ENSMUSG00000020385.16 transcript:ENSMUST00000153414.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clk4 description:CDC like kinase 4 [Source:MGI Symbol;Acc:MGI:1098551] MKATVEVTNAREGLTAVLRRTGTVNHIISLKTRIDVPLGLFTRLLSKLASWGYFKKQGLC VQIFACGYFLRVDSKKRHFQVKGAPCASLLKLRTLLKRTLIPVTI >ENSMUSP00000123133.1 pep:known chromosome:GRCm38:11:51261730:51273626:1 gene:ENSMUSG00000020385.16 transcript:ENSMUST00000130641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk4 description:CDC like kinase 4 [Source:MGI Symbol;Acc:MGI:1098551] MKATVEVTNAREGLTAVLRRTGTVNHIISLKTRIDVPLGLFTRLLSKLASWGYFKKHHYL EARCLNERDYRDRRYIDEYRNDYCEGYVPRHYHRDVESTYRIHCSKSSVRSRRSSPKRKR NRPCASHQSHSKSHRRKRSRSIEDDEEGHLICQSGDVLRARYEIVDTLGEGAFGKVVECI DHG >ENSMUSP00000090820.6 pep:known chromosome:GRCm38:11:51263114:51281764:1 gene:ENSMUSG00000020385.16 transcript:ENSMUST00000093132.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk4 description:CDC like kinase 4 [Source:MGI Symbol;Acc:MGI:1098551] MRHSKRTHCPDWDSRESWGHESYSGSHKRKRRSHSSTQENRHCKPHHQFKDSDCHYLEAR CLNERDYRDRRYIDEYRNDYCEGYVPRHYHRDVESTYRIHCSKSSVRSRRSSPKRKRNRP CASHQSHSKSHRRKRSRSIEDDEEGHLICQSGDVLRARYEIVDTLGEGAFGKVVECIDHG MDGLHVAVKIVKNVGRYREAARSEIQVLEHLNSTDPNSVFRCVQMLEWFDHHGHVCIVFE LLGLSTYDFIKENSFLPFQIDHIRQMAYQICQSINFLHHNKLTHTDLKPENILFVKSDYV VKYNSKMKRDERTLKNTDIKVVDFGSATYDDEHHSTLVSTRHYRAPEVILALGWSQPCDV WSIGCILIEYYLGFTVFQTHDSKEHLAMMERILGPIPAHMIQKTRKRKYFHHNQLDWDEH SSAGRYVRRRCKPLKEFMLCHDEEHEKLFDLVRRMLEYDPARRITLDEALQHPFFDLLKR K >ENSMUSP00000118972.1 pep:known chromosome:GRCm38:11:51263150:51275259:1 gene:ENSMUSG00000020385.16 transcript:ENSMUST00000126131.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clk4 description:CDC like kinase 4 [Source:MGI Symbol;Acc:MGI:1098551] MKATVEVTNAREGLTAVLRRTGTVNHIISLKTRIDVPLGLFTRLLSKLASWGYFKKHAPC ASLLKLRTLLKRTLIPVTI >ENSMUSP00000120822.1 pep:known chromosome:GRCm38:11:51263169:51273622:1 gene:ENSMUSG00000020385.16 transcript:ENSMUST00000148053.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clk4 description:CDC like kinase 4 [Source:MGI Symbol;Acc:MGI:1098551] MKATVEVTNAREGLTAVLRRTGTVNHIISLKTRIDVPLGLFTRLLSKLASWGYFKKQGLC VQIFACGYFLRVDSKKRHFQVKGAPCASLLKLRTLLKRTLIPVTI >ENSMUSP00000104741.1 pep:known chromosome:GRCm38:11:51263186:51281766:1 gene:ENSMUSG00000020385.16 transcript:ENSMUST00000109113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk4 description:CDC like kinase 4 [Source:MGI Symbol;Acc:MGI:1098551] MDGLHVAVKIVKNVGRYREAARSEIQVLEHLNSTDPNSVFRCVQMLEWFDHHGHVCIVFE LLGLSTYDFIKENSFLPFQIDHIRQMAYQICQSINFLHHNKLTHTDLKPENILFVKSDYV VKYNSKMKRDERTLKNTDIKVVDFGSATYDDEHHSTLVSTRHYRAPEVILALGWSQPCDV WSIGCILIEYYLGFTVFQTHDSKEHLAMMERILGPIPAHMIQKTRKRKYFHHNQLDWDEH SSAGRYVRRRCKPLKEFMLCHDEEHEKLFDLVRRMLEYDPARRITLDEALQHPFFDLLKR K >ENSMUSP00000104739.1 pep:known chromosome:GRCm38:11:51273451:51281764:1 gene:ENSMUSG00000020385.16 transcript:ENSMUST00000109111.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk4 description:CDC like kinase 4 [Source:MGI Symbol;Acc:MGI:1098551] MDGLHVAVKIVKNVGRYREAARSEIQVLEHLNSTDPNSVFRCVQMLEWFDHHGHVCIVFE LLGLSTYDFIKENSFLPFQIDHIRQMAYQICQSINFLHHNKLTHTDLKPENILFVKSDYV VKYNSKMKRDERTLKNTDIKVVDFGSATYDDEHHSTLVSTRHYRAPEVILALGWSQPCDV WSIGCILIEYYLGFTVFQTHDSKEHLAMMERILGPIPAHMIQKTRKRKYFHHNQLDWDEH SSAGRYVRRRCKPLKEFMLCHDEEHEKLFDLVRRMLEYDPARRITLDEALQHPFFDLLKR K >ENSMUSP00000109078.1 pep:known chromosome:GRCm38:19:6535845:6543019:-1 gene:ENSMUSG00000061742.11 transcript:ENSMUST00000113451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a12 description:solute carrier family 22 (organic anion/cation transporter), member 12 [Source:MGI Symbol;Acc:MGI:1195269] MAFPELLDRVGGLGRFQLFQTVALVTPILWVTTQNMLENFSAAVPHHRCWVPLLDNSTSQ ASIPGDLGPDVLLAVSIPPGPDQQPHQCLRFRQPQWQLTESNATATNWSDAATEPCEDGW VYDHSTFRSTIVTTWDLVCNSQALRPMAQSIFLAGILVGAAVCGHASDRFGRRRVLTWSY LLVSVSGTAAAFMPTFPLYCLFRFLLASAVAGVMMNTASLLMEWTSAQGSPLVMTLNALG FSFGQVLTGSVAYGVRSWRMLQLAVSAPFFLFFVYSWWLPESARWLITVGKLDQGLQELQ RVAAVNRRKAEGDTLTMEVLRSAMEEEPSRDKAGASLGTLLHTPGLRHRTIISMLCWFAF GFTFYGLALDLQALGSNIFLLQALIGIVDFPVKTGSLLLISRLGRRLCQVSFLVLPGLCI LSNILVPHGMGVLRSALAVLGLGCLGGAFTCITIFSSELFPTVIRMTAVGLCQVAARGGA MLGPLVRLLGVYGSWMPLLVYGVVPVLSGLAALLLPETKNLPLPDTIQDIQKQSVKKVTH DTPDGSILMSTRL >ENSMUSP00000114626.1 pep:known chromosome:GRCm38:19:6537737:6542711:-1 gene:ENSMUSG00000061742.11 transcript:ENSMUST00000126142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a12 description:solute carrier family 22 (organic anion/cation transporter), member 12 [Source:MGI Symbol;Acc:MGI:1195269] XRFQLFQTVALVTPILWVTTQNMLENFSAAVPHHRCWVPLLDNSTSQASIPGDLGPDVLL AVSIPPGPDQQPHQCLRFRQPQWQLTESNATATNWSDAATEPCEDGWVYDHSTFRSTIVT TWDLVCNSQALRPMAQSIFLAGILVGAAVCGHASDRWLPESARWLITVGKLDQGLQELQR VAAVNRRKAEGDTLTMEVLRSAMEEEPSRDKAGASLGTLLHTPGLRHRTIISMLCWFAFG FTFYGLALDLQALGSNIFLLQALIGIVDFPVKTG >ENSMUSP00000107694.2 pep:known chromosome:GRCm38:6:129591782:129598775:1 gene:ENSMUSG00000030165.16 transcript:ENSMUST00000112063.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrd1 description:killer cell lectin-like receptor, subfamily D, member 1 [Source:MGI Symbol;Acc:MGI:1196275] MAVSRITRWRLMSVIFGIKCLFLMVTLGVLLINSFTIQNIQSTPSPTTTVEFQEVSECCV CLDKWVGHQCNCYFISKEEKSWKRSRDFCASQNSSLLQPQSRNELSFMNFSQTFFWIGMH YSEKRNAWLWEDGTVPSKDLFPEFSVIRPEHCIVYSPSKSVSAESCENKNRYICKKLPI >ENSMUSP00000113399.1 pep:known chromosome:GRCm38:6:129591810:129598770:1 gene:ENSMUSG00000030165.16 transcript:ENSMUST00000119520.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrd1 description:killer cell lectin-like receptor, subfamily D, member 1 [Source:MGI Symbol;Acc:MGI:1196275] MAVSRITRWRLMSVIFGIKCLFLMVTLGVLLINSFTIQNIQSTPSPTTTVEFQEVSECCV CLDKWVGHQCNCYFISKEEKSWKRSRDFCASQNSSLLQPQSRNELTKFCSVFPRVNDLVT EKFKSFMNFSQTFFWIGMHYSEKRNAWLWEDGTVPSKDLFPEFSVIRPEHCIVYSPSKSV SAESCENKNRYICKKLPI >ENSMUSP00000123703.1 pep:known chromosome:GRCm38:6:129591825:129598613:1 gene:ENSMUSG00000030165.16 transcript:ENSMUST00000159804.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klrd1 description:killer cell lectin-like receptor, subfamily D, member 1 [Source:MGI Symbol;Acc:MGI:1196275] MAVSRITRWRLMSVIFGIKCLFLMVTLGVLLINSFTIQNIQSTPSPTTTVEFQEEFYELQ SNLFLDWNAL >ENSMUSP00000144712.1 pep:known chromosome:GRCm38:6:129595439:129598453:1 gene:ENSMUSG00000030165.16 transcript:ENSMUST00000203965.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klrd1 description:killer cell lectin-like receptor, subfamily D, member 1 [Source:MGI Symbol;Acc:MGI:1196275] XGLGISAIVTLFPKKKSLGKEAEISVLRRIPAFFSPNPEMNWLERLQDAGTGITFDL >ENSMUSP00000032268.7 pep:known chromosome:GRCm38:6:129591782:129598469:1 gene:ENSMUSG00000030165.16 transcript:ENSMUST00000032268.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrd1 description:killer cell lectin-like receptor, subfamily D, member 1 [Source:MGI Symbol;Acc:MGI:1196275] MVTLGVLLINSFTIQNIQSTPSPTTTVEFQEVSECCVCLDKWVGHQCNCYFISKEEKSWK RSRDFCASQNSSLLQPQSRNELSFMNFSQTFFWIGMHYSEKRNAWLWEDGTVPSKDLLVL KVIRPEHCIVYSPSKSVSAESCENKNRYICKKLPI >ENSMUSP00000135720.1 pep:known chromosome:GRCm38:4:146971976:146990755:1 gene:ENSMUSG00000086147.2 transcript:ENSMUST00000146688.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13150 description:predicted gene 13150 [Source:MGI Symbol;Acc:MGI:3651739] MSVCLVNTPQGLLTFKDVALVFSLEEWECLSFAQRTLYMEVMLENYNNLLFVENHCIHGK NGKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILESLQCTPYKTNHSSDALQFSNQKRLK PRNTKEVCKYNDSVNSLSLFSTISLNQGINMQKKKHNRNAELDKIFVSKHKVMVKKDNIA GNPYKCSEFHKYLTQRENLQSQQRIYHGKKPYKSSKSDKCFTHQIHLSIHQGIHTEEKIC KCSECDKCFKNKFNLTMHQRIHTGEKPYKCSECGKCFTDKTSLRIHQRIHTGEKPYKCSE CDKCFTKQSNLSIHQRIHTGEKPYKCSECDKCFTHKSHLSIHQRFHTGEKPYKCSECDKC FTQQSHLSIHQRIHTGEKPYKCSECGKCFTEKSSLRIHQRIHTGEKPYKCNECGKCFTEK SSLRIHQRIHTGEKPYKCSECGKCFTVKSSLRIHQKIHTGEKPYKCSECDKCFTKPSHLS IHQRIHTGEKPYKCSECEKCFTVKSSLRIHQKIHTGEKPYKCSECDKCFTKPSHLSIHQR IHTGEKPYKCSECEKCFNEKNILKIHQRIHTGERPYKCRECDKCFSRKFHLGIHQRIHTG KKPYKCSECDKCFTTKGNLIIHQRIHTREKPHKCSECDKCFTQKSHLSIHQKIHTGEKPY K >ENSMUSP00000091073.4 pep:known chromosome:GRCm38:8:84125989:84132527:1 gene:ENSMUSG00000012889.8 transcript:ENSMUST00000093380.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podnl1 description:podocan-like 1 [Source:MGI Symbol;Acc:MGI:2685352] MRPQELLLLLLMLKWSLAHTEDPAFPHLGDSSQPLPRPCPWRCSCPRDDTVDCAGLDLRI FPDNITRAARHLSLQNNQLRELPYNELSRLSGLRTLDLHSNLITSEGLPDEAFESLNQLE NFYVAHNKLSVAPQFLPRSLRVADLAANEVVEIFPLTFGEKPALRSVYLHNNRLRNTGLP PNTFHGSEVITTLSLSSNQLSYLPPSLPASLERLHLQNNLISKVPRGALSLQTHLRELYL QHNQLTDSGLDATTFSKLSSLEYLDLSHNQLATVPEGLPGTLTILHLGRNCIRHVEAVRL HKARGLRYLLLQHNKLGASALPKGTLRPLRALHTLHLYGNKLERVPPALPRHLQALVMPH NHVAALGARDLVSARALAELNLAYNSLASAHVHPSAFRRLRALRSLDLAGNQLTRLPEGL PASLRSLRLQRNQLRTLEPEQLAGLNKLRELNLAHNRLRVGDIGPGTWHELQALKVLDLS HNELSFVPPDLPEALEELYLQANRISHVGPEAFLSTPHLRALFLRANRLHMTSIRAEALQ GLTHLRVVDTAENPEQVLV >ENSMUSP00000021922.7 pep:known chromosome:GRCm38:13:53466884:53473074:-1 gene:ENSMUSG00000021469.9 transcript:ENSMUST00000021922.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msx2 description:msh homeobox 2 [Source:MGI Symbol;Acc:MGI:97169] MASPTKGGDLFSSDEEGPAVLAGPGPGPGGAEGSAEERRVKVSSLPFSVEALMSDKKPPK ESPAVPPDCASAGAVLRPLLLPGHGVRDAHSPGPLVKPFETASVKSENSEDGAPWIQEPG RYSPPPRHMSPTTCTLRKHKTNRKPRTPFTTSQLLALERKFRQKQYLSIAERAEFSSSLN LTETQVKIWFQNRRAKAKRLQEAELEKLKMAAKPMLPSGFSLPFPINSPLQAASIYGASY PFHRPVLPIPPVGLYATPVGYGMYHLS >ENSMUSP00000033386.5 pep:known chromosome:GRCm38:7:145300828:145309557:1 gene:ENSMUSG00000031070.15 transcript:ENSMUST00000033386.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprf description:MAS-related GPR, member F [Source:MGI Symbol;Acc:MGI:2384823] MAGNCSWEAHSTNQNKMCPGMSEARELYSRGFLTIEQIATLPPPAVTNYIFLLLCLCGLV GNGLVLWFFGFSIKRTPFSIYFLHLASADGMYLFSKAVIALLNMGTFLGSFPDYIRRVSR IVGLCTFFTGVSLLPAISIERCVSVIFPTWYWRRRPKRLSAGVCALLWMLSFLVTSIHNY FCMFLGHEAPGTVCRNMDIALGILLFFLFCPLMVLPCLALILHVECRARRRQRSAKLNHV VLAIVSVFLVSSIYLGIDWFLFWVFQIPAPFPEYVTDLCICINSSAKPIVYFLAGRDKSQ RLWEPLRVVFQRALRDGAEPGDAASSTPNTVTMEMQCPSGNAS >ENSMUSP00000113822.1 pep:known chromosome:GRCm38:7:145300899:145309349:1 gene:ENSMUSG00000031070.15 transcript:ENSMUST00000117718.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprf description:MAS-related GPR, member F [Source:MGI Symbol;Acc:MGI:2384823] MAGNCSWEAHSTNQNKRGHCARLAETGDLNSAPNTCSASTPHPSAVDCLHLAIWTWLTYV SWYERGSGALQQRISDH >ENSMUSP00000094197.4 pep:known chromosome:GRCm38:X:37432494:37437279:1 gene:ENSMUSG00000071771.4 transcript:ENSMUST00000096458.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox4b description:reproductive homeobox 4B [Source:MGI Symbol;Acc:MGI:1930129] MEHQNTNYLLHEGLGKDKEKLNGGKTQTVLPLDGEGRNEGESVLGQSGAAAVEWDKAEEL SGEGGPAAGDADLMDNSNQEDQDTSGSAQEEEKLPEEPVLRDAVVIDKVQPIPVLVSGVR PKSVWVQQRSLHYNFQWWQLQELERIFQQNHFIRAEERRHLARWIGVSEARVMTWFKKRR EHFRRGQSQLGMNDDAPVGSHSTFL >ENSMUSP00000143079.1 pep:known chromosome:GRCm38:3:121952541:121973929:1 gene:ENSMUSG00000039831.16 transcript:ENSMUST00000196984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap29 description:Rho GTPase activating protein 29 [Source:MGI Symbol;Acc:MGI:2443818] MIAHKQ >ENSMUSP00000044624.7 pep:known chromosome:GRCm38:3:121953229:122016753:1 gene:ENSMUSG00000039831.16 transcript:ENSMUST00000037958.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap29 description:Rho GTPase activating protein 29 [Source:MGI Symbol;Acc:MGI:2443818] MIAHKQKKAKKKRVWASGQPSAAITTSEMGLKSVSSSSSFDPEYIKELVNDVRKFSHMLL YLKEAILSDCFKEVIHIRLDELLRVLKSILSKHQNLSSVDLQSAAEVLTAKVKAVNFTEV NEENKNDIFREVFSSIETLAFTFGNILTNFLMGDVGSDSILRLPISRESKSFENISVDSV DLPHEKGNFSPIELDNLLLKNTDSIELALSYAKTWSKYTKNIVSWVEKKLNLELESTRNI VKLAEATRSSIGIQEFMPLQSLFTNALLSDIHSSHLLQQTIAALQANKFVQPLLGRKNEM EKQRKEIKDLWKQQQNKLLETETALKKAKLLCMQRQDEYEKAKSSMFRAEEEQLSSSVGL AKNLNKQLEKRRRLEEEALQKVEEANEHYKVCVTNVEERRNDLENTKREILTQLRTLVFQ CDLTLKAVTVNLFHMQQLQAASLANSLQSLCDSAKLYDPGQEYSEFVKATSSSELEEKVD GNVNKQMTNSPQTSGYEPADSLEDVARLPDSCHKLEEDRCSNSADMTGPSFVRSWKFGMF SDSESTGGSSESRSLDSESISPGDFHRKLPRTPSSGTMSSADDLDEREPPSPSEAGPNSL GAFKKTLMSKAALTHKFRKLRSPTKCRDCDGIVMFPGVECEECLLVCHRKCLENLVIICG HQKLQGKMHIFGAEFIQVAKKEPDGIPFVLKICASEIENRALCLQGIYRVCGNKIKTEKL CQALENGMHLVDISEFSSHDICDVLKLYLRQLPEPFILFRLYKEFIDLAKEIQHVNEEQE AKKDSPEDKKHPHVSIEVNRILLKSKDLLRQLPASHFNSLHYLIAHLRRVVDHAEENKMN SKNLGVIFGPTLIRPRPTTAPVTISSLAEYSNQARLVEFLITYSQKIFDGSLQPQAVVIS NTGAVAPQVDQGYLPKPLLSPDERDTDHSMKPLFFSSKEDIRSSDCESKSFELTTSFEES ERRQNALGKCDAPLLDNKVHLLFDQEHESASQKMEDVCKSPKLLLLKSNRAANSVQRHTP RTKMRPVSLPVDRLLLLASSPTERSSRDVGNVDSDKFGKNPAFEGLHRKDNSNTTRSKVN GFDQQNVQKSWDTQYVRNNFTAKTTMIVPSAYPEKGLTVNTGNNRDHPGSKAHAEPARAA GDVSERRSSDSCPATAVRAPRTLQPQHWTTFYKPPNPTFSVRGTEEKTALPSIAVPPVLV HAPQIHVTKSDPDSEATLACPVQTSGQPKESSEEPALPEGTPTCQRPRLKRMQQFEDLED EIPQFV >ENSMUSP00000143548.1 pep:known chromosome:GRCm38:3:121953230:121988916:1 gene:ENSMUSG00000039831.16 transcript:ENSMUST00000196904.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap29 description:Rho GTPase activating protein 29 [Source:MGI Symbol;Acc:MGI:2443818] MEPHDCFKEVIHIRLDELLRVLKSILSKHQNLSSVDLQSAAEVLTAKVKAVNFTEVNEEN KNDIFREVFSSIETLAFTFGNIL >ENSMUSP00000142817.1 pep:known chromosome:GRCm38:3:121967829:121992797:1 gene:ENSMUSG00000039831.16 transcript:ENSMUST00000196479.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap29 description:Rho GTPase activating protein 29 [Source:MGI Symbol;Acc:MGI:2443818] MEPHDCFKEVIHIRLDELLRVLKSILSKHQNLSSVDLQSAAEVLTAKVKAVNFTEVNEEN KNDIFREVFSSIETLAFTFGNILTNFLMGDVGSDSILRLPISRESKSFENISVDSVDLPH EKGNFSPIELDNLLLKNTDSIELALSYAKTWSKYTKNIVSWVEKKLNLELESTRNIVKLA EATRSSIGIQEFMPLQSLFTNALLSDIHSSHLLQQTIAALQANKFVQPLLGRKNEMEKQR KEIKDLWKQQQNKLLETETALKKAKLLCMQRQ >ENSMUSP00000142945.1 pep:known chromosome:GRCm38:3:121967829:122009914:1 gene:ENSMUSG00000039831.16 transcript:ENSMUST00000197155.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap29 description:Rho GTPase activating protein 29 [Source:MGI Symbol;Acc:MGI:2443818] MIAHKQKKAKKKRVWASGQPSAAITTSEMGLKSVSSSSSFDPEYIKELVNDVRKFSHMLL YLKEAILSDCFKEVIHIRLDELLRVLKSILSKHQNLSSVDLQSAAEVLTAKVKAVNFTEV NEENKNDIFREVFSSIETLAFTFGNILTNFLMGDVGSDSILRLPISRESKSFENISVDSV DLPHEKGNFSPIELDNLLLKNTDSIELALSYAKTWSKYTKNIVSWVEKKLNLELESTRNI VKLAEATRSSIGIQEFMPLQSLFTNALLSDIHSSHLLQQTIAALQANKFVQPLLGRKNEM EKQRKEIKDLWKQQQNKLLETETALKKAKLLCMQRQDEYEKAKSSMFRAEEEQLSSSVGL AKNLNKQLEKRRRLEEEALQKVEEANEHYKVCVTNVEERRNDLENTKREILTQLRTLVFQ CDLTLKAVTVNLFHMQQLQAASLANSLQSLCDSAKLYDPGQEYSEFVKATSSSELEEKVD GNVNKQMTNSPQTSGYEPADSLEDVARLPDSCHKLEEDRCSNSADMTGPSFVRSWKFGMF SDSESTGGSSESRSLDSESISPGDFHRKLPRTPSSGTMSSADDLDEREPPSPSEAGPNSL GAFKKTLMSKAALTHKFRKLRSPTKCRDCDGIVMFPGVECEECLLVCHRKCLENLVIICG HQKLQGKMHIFGAEFIQVAKKEPDGIPFVLKICASEIENRALCLQGIYRVCGNKIKTEKL CQALENGMHLVDISEFSSHDICDVLKLYLRQLPEPFILFRLYKEFIDLAKEIQHVNEEQE A >ENSMUSP00000143547.1 pep:known chromosome:GRCm38:3:121993632:122016154:1 gene:ENSMUSG00000039831.16 transcript:ENSMUST00000198914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap29 description:Rho GTPase activating protein 29 [Source:MGI Symbol;Acc:MGI:2443818] XRNDLENTKREILTQLRTLVFQCDLTLKAVTVNLFHMQQLQAASLANSLQSLCDSAKLYD PGQEYSEFVKATSSSELEEKVDGPQTSGYEPADSLEDVARLPDSCHKLEEDRCSNSADMT GPSFVRSWKFGMFSDSESTGGSSESRSLDSESISPGDFHRKLPRTPSSGTMSSADDLDER EPPSPSEAGPNSLGAFKKTLMSKAALTHKFRKLRSPTKCRDCDGIVMFPGVECEECLLVC HRKCLENLVIICGHQKLQGKMHIFGAEFIQVAKKEPDGIPFVLKICASEIENRALCLQGI YRVCGNKIKTEKLCQALENGMHLVDISEFSSHDICDVLKLYLRQLPEPFILFRLYKEFID LAKEIQHVNEEQEAKKDSPEDKKHPHVSIEVNRILLKSKDLLRQLPASHFNSLHYLIAHL RRVVDHAEENKMNSKNLGVIFGPTLIRPRPTTAPVTISSLAEYSNQARLVEFLITYSQKI FDGSLQPQAVVISNTGAVAPQVDQGYLPKPLLSPDERDTDHSMKPLFFSSKEDIRSSDCE SKSFELTTSFEESERRQNALGKCDAPLLDNKVHLLFDQEHESASQKMEDVCKSPKLLLLK SNRAANSVQRHTPRTKMRPVSLPVDRLLLLASSPTERSSRDVGNVDSDKFGKNPAFEGLH RKDNSNTTRSKVNGFDQQNVQKSWDTQYVRNNFTAKTTMIVPSAYPEKGLTVNTGNNRDH PGSKAHAEPARAAGDVSERRSSDSCPATAVRAPRTLQPQHWTTFYKPPNPTFSVRGTEEK TALPSIAVPPVLVHAPQIHVTKSDPDSEATLACPVQTSGQPKESSEEPALPEGTPTCQRP RLKRMQQFEDLEDEIPQFV >ENSMUSP00000140897.1 pep:known chromosome:GRCm38:19:11492038:11511708:1 gene:ENSMUSG00000058470.10 transcript:ENSMUST00000186423.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm8369 description:predicted gene 8369 [Source:MGI Symbol;Acc:MGI:3645380] MINMDLDGPVFSVILLVPIWGPIMFIVSGSLSIAAGVKTTKSLVISSLTVNTITSVDSVG CGSNHYWCHQCGCGYIFSPF >ENSMUSP00000141067.1 pep:known chromosome:GRCm38:19:11502208:11512577:1 gene:ENSMUSG00000058470.10 transcript:ENSMUST00000188633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8369 description:predicted gene 8369 [Source:MGI Symbol;Acc:MGI:3645380] MINMDLDGPVFSVILLVPIWGPIMFIVSGSLSIAAGVKTTKSLGLDALKLVLNMLEFCLA VSISAFGCKASCCNSREVLLVLTPNPAVTVMASPRPPSPDTSTIATIRTPREKCSRKCIQ EPPGRKALRVCVCVCVCVCVCVCVCKHFPGKLILHCSVFGRNYQAC >ENSMUSP00000132521.1 pep:known chromosome:GRCm38:19:11492038:11512577:1 gene:ENSMUSG00000058470.10 transcript:ENSMUST00000079855.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8369 description:predicted gene 8369 [Source:MGI Symbol;Acc:MGI:3645380] MINMDLDGPVFSVILLVPIWGPIMFIVSGSLSIAAGVKTTKSLGLDALKLVLNMLEFCLA VSISAFGCKASCCNSREVLLVLTPNPAVTVMASPRPPSPDTSTIATIRTPREKCSRKCIQ EPPGRKALRVCVCVCVCVCVCVCVCKHFPGKLILHCSVFGRNYQAC >ENSMUSP00000063021.6 pep:known chromosome:GRCm38:7:145314835:145324086:1 gene:ENSMUSG00000051207.7 transcript:ENSMUST00000062163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprd description:MAS-related GPR, member D [Source:MGI Symbol;Acc:MGI:3033142] MNSTLDSSPAPGLTISPTMDLVTWIYFSVTFLAMATCVGGMAGNSLVIWLLSCNGMQRSP FCVYVLNLAVADFLFLFCMASMLSLETGPLLIVNISAKIYEGMRRIKYFAYTAGLSLLTA ISTQRCLSVLFPIWYKCHRPRHLSSVVSGALWALAFLMNFLASFFCVQFWHPNKHQCFKV DIVFNSLILGIFMPVMILTSTILFIRVRKNSLMQRRRPRRLYVVILTSILVFLTCSLPLG INWFLLYWVDVKRDVRLLYSCVSRFSSSLSSSANPVIYFLVGSQKSHRLQESLGAVLGRA LRDEPEPEGRETPSTCTNDGV >ENSMUSP00000135929.1 pep:known chromosome:GRCm38:X:11305655:11305972:1 gene:ENSMUSG00000096097.1 transcript:ENSMUST00000178806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14477 description:predicted gene 14477 [Source:MGI Symbol;Acc:MGI:3711280] MAKKMQRRRRQKRTRSQRGELPFSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAQTTGRKRIAPEDVRLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000111297.1 pep:known chromosome:GRCm38:18:37843601:37935476:-1 gene:ENSMUSG00000024456.16 transcript:ENSMUST00000115634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diaph1 description:diaphanous related formin 1 [Source:MGI Symbol;Acc:MGI:1194490] MEPSGGGLGPGRGTRDKKKGRSPDELPATGGDGGKHKKFLERFTSMRIKKEKEKPNSAHR NSSASYGDDPTAQSLQDISDEQVLVLFEQMLVDMNLNEEKQQPLREKDIVIKREMVSQYL HTSKAGMNQKESSRSAMMYIQELRSGLRDMHLLSCLESLRVSLNNNPVSWVQTFGAEGLA SLLDILKRLHDEKEETSGNYDSRNQHEIIRCLKAFMNNKFGIKTMLETEEGILLLVRAMD PAVPNMMIDAAKLLSALCILPQPEDMNERVLEAMTERAEMDEVERFQPLLDGLKSGTSIA LKVGCLQLINALITPAEELDFRVHIRSELMRLGLHQVLQELREIENEDMKVQLCVFDEQG DEDFFDLKGRLDDIRMEMDDFGEVFQIILNTVKDSKAEPHFLSILQHLLLVRNDYEARPQ YYKLIEECVSQIVLHKNGTDPDFKCRHLQIDIERLVDQMIDKTKVEKSEAKATELEKKLD SELTARHELQVEMKKMENDFEQKLQDLQGEKDALDSEKQQITAQKQDLEAEVSKLTGEVA KLSKELEDAKNEMASLSAVVVAPSVSSSAAVPPAPPLPGDSGTVIPPPPPPPPLPGGVVP PSPPLPPGTCIPPPPPLPGGACIPPPPQLPGSAAIPPPPPLPGVASIPPPPPLPGATAIP PPPPLPGATAIPPPPPLPGGTGIPPPPPPLPGSVGVPPPPPLPGGPGLPPPPPPFPGAPG IPPPPPGMGVPPPPPFGFGVPAAPVLPFGLTPKKVYKPEVQLRRPNWSKFVAEDLSQDCF WTKVKEDRFENNELFAKLTLAFSAQTKTSKAKKDQEGGEEKKSVQKKKVKELKVLDSKTA QNLSIFLGSFRMPYQEIKNVILEVNEAVLTESMIQNLIKQMPEPEQLKMLSELKEEYDDL AESEQFGVVMGTVPRLRPRLNAILFKLQFSEQVENIKPEIVSVTAACEELRKSENFSSLL ELTLLVGNYMNAGSRNAGAFGFNISFLCKLRDTKSADQKMTLLHFLAELCENDHPEVLKF PDELAHVEKASRVSAENLQKSLDQMKKQIADVERDVQNFPAATDEKDKFVEKMTSFVKDA QEQYNKLRMMHSNMETLYKELGDYFVFDPKKLSVEEFFMDLHNFRNMFLQAVKENQKRRE TEEKMRRAKLAKEKAEKERLEKQQKREQLIDMNAEGDETGVMDSLLEALQSGAAFRRKRG PRQVNRKAGCAVTSLLASELTKDDAMAPGPVKVPKKSEGVPTILEEAKELVGRAS >ENSMUSP00000025337.7 pep:known chromosome:GRCm38:18:37843603:37935381:-1 gene:ENSMUSG00000024456.16 transcript:ENSMUST00000025337.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diaph1 description:diaphanous related formin 1 [Source:MGI Symbol;Acc:MGI:1194490] MEPSGGGLGPGRGTRDKKKGRSPDELPATGGDGGKHKKFTLKRLMADELERFTSMRIKKE KEKPNSAHRNSSASYGDDPTAQSLQDISDEQVLVLFEQMLVDMNLNEEKQQPLREKDIVI KREMVSQYLHTSKAGMNQKESSRSAMMYIQELRSGLRDMHLLSCLESLRVSLNNNPVSWV QTFGAEGLASLLDILKRLHDEKEETSGNYDSRNQHEIIRCLKAFMNNKFGIKTMLETEEG ILLLVRAMDPAVPNMMIDAAKLLSALCILPQPEDMNERVLEAMTERAEMDEVERFQPLLD GLKSGTSIALKVGCLQLINALITPAEELDFRVHIRSELMRLGLHQVLQELREIENEDMKV QLCVFDEQGDEDFFDLKGRLDDIRMEMDDFGEVFQIILNTVKDSKAEPHFLSILQHLLLV RNDYEARPQYYKLIEECVSQIVLHKNGTDPDFKCRHLQIDIERLVDQMIDKTKVEKSEAK ATELEKKLDSELTARHELQVEMKKMENDFEQKLQDLQGEKDALDSEKQQITAQKQDLEAE VSKLTGEVAKLSKELEDAKNEMASLSAVVVAPSVSSSAAVPPAPPLPGDSGTVIPPPPPP PPLPGGVVPPSPPLPPGTCIPPPPPLPGGACIPPPPQLPGSAAIPPPPPLPGVASIPPPP PLPGATAIPPPPPLPGATAIPPPPPLPGGTGIPPPPPPLPGSVGVPPPPPLPGGPGLPPP PPPFPGAPGIPPPPPGMGVPPPPPFGFGVPAAPVLPFGLTPKKVYKPEVQLRRPNWSKFV AEDLSQDCFWTKVKEDRFENNELFAKLTLAFSAQTKTSKAKKDQEGGEEKKSVQKKKVKE LKVLDSKTAQNLSIFLGSFRMPYQEIKNVILEVNEAVLTESMIQNLIKQMPEPEQLKMLS ELKEEYDDLAESEQFGVVMGTVPRLRPRLNAILFKLQFSEQVENIKPEIVSVTAACEELR KSENFSSLLELTLLVGNYMNAGSRNAGAFGFNISFLCKLRDTKSADQKMTLLHFLAELCE NDHPEVLKFPDELAHVEKASRVSAENLQKSLDQMKKQIADVERDVQNFPAATDEKDKFVE KMTSFVKDAQEQYNKLRMMHSNMETLYKELGDYFVFDPKKLSVEEFFMDLHNFRNMFLQA VKENQKRRETEEKMRRAKLAKEKAEKERLEKQQKREQLIDMNAEGDETGVMDSLLEALQS GAAFRRKRGPRQVNRKAGCAVTSLLASELTKDDAMAPGPVKVPKKSEGVPTILEEAKELV GRAS >ENSMUSP00000111294.1 pep:known chromosome:GRCm38:18:37843603:37935423:-1 gene:ENSMUSG00000024456.16 transcript:ENSMUST00000115631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diaph1 description:diaphanous related formin 1 [Source:MGI Symbol;Acc:MGI:1194490] MADELERFTSMRIKKEKEKPNSAHRNSSASYGDDPTAQSLQDISDEQVLVLFEQMLVDMN LNEEKQQPLREKDIVIKREMVSQYLHTSKAGMNQKESSRSAMMYIQELRSGLRDMHLLSC LESLRVSLNNNPVSWVQTFGAEGLASLLDILKRLHDEKEETSGNYDSRNQHEIIRCLKAF MNNKFGIKTMLETEEGILLLVRAMDPAVPNMMIDAAKLLSALCILPQPEDMNERVLEAMT ERAEMDEVERFQPLLDGLKSGTSIALKVGCLQLINALITPAEELDFRVHIRSELMRLGLH QVLQELREIENEDMKVQLCVFDEQGDEDFFDLKGRLDDIRMEMDDFGEVFQIILNTVKDS KAEPHFLSILQHLLLVRNDYEARPQYYKLIEECVSQIVLHKNGTDPDFKCRHLQIDIERL VDQMIDKTKVEKSEAKATELEKKLDSELTARHELQVEMKKMENDFEQKLQDLQGEKDALD SEKQQITAQKQDLEAEVSKLTGEVAKLSKELEDAKNEMASLSAVVVAPSVSSSAAVPPAP PLPGDSGTVIPPPPPPPPLPGGVVPPSPPLPPGTCIPPPPPLPGGACIPPPPQLPGSAAI PPPPPLPGVASIPPPPPLPGATAIPPPPPLPGATAIPPPPPLPGGTGIPPPPPPLPGSVG VPPPPPLPGGPGLPPPPPPFPGAPGIPPPPPGMGVPPPPPFGFGVPAAPVLPFGLTPKKV YKPEVQLRRPNWSKFVAEDLSQDCFWTKVKEDRFENNELFAKLTLAFSAQTKTSKAKKDQ EGGEEKKSVQKKKVKELKVLDSKTAQNLSIFLGSFRMPYQEIKNVILEVNEAVLTESMIQ NLIKQMPEPEQLKMLSELKEEYDDLAESEQFGVVMGTVPRLRPRLNAILFKLQFSEQVEN IKPEIVSVTAACEELRKSENFSSLLELTLLVGNYMNAGSRNAGAFGFNISFLCKLRDTKS ADQKMTLLHFLAELCENDHPEVLKFPDELAHVEKASRVSAENLQKSLDQMKKQIADVERD VQNFPAATDEKDKFVEKMTSFVKDAQEQYNKLRMMHSNMETLYKELGDYFVFDPKKLSVE EFFMDLHNFRNMFLQAVKENQKRRETEEKMRRAKLAKEKAEKERLEKQQKREQLIDMNAE GDETGVMDSLLEALQSGAAFRRKRGPRQVNRKAGCAVTSLLASELTKDDAMAPGPVKVPK KSEGVPTILEEAKELVGRAS >ENSMUSP00000111292.2 pep:known chromosome:GRCm38:18:37843605:37906416:-1 gene:ENSMUSG00000024456.16 transcript:ENSMUST00000115629.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diaph1 description:diaphanous related formin 1 [Source:MGI Symbol;Acc:MGI:1194490] MADELERFTSMRIKKEKEKPNSAHRNSSASYGDDPTAQSLQDISDEQVLVLFEQMLVDMN LNEEKQQPLREKDIVIKREMVSQYLHTSKAGMNQKESSRSAMMYIQELRSGLRDMHLLSC LESLRVSLNNNPVSWVQTFGAEGLASLLDILKRLHDEKEETSGNYDSRNQHEIIRCLKAF MNNKFGIKTMLETEEGILLLVRAMDPAVPNMMIDAAKLLSALCILPQPEDMNERVLEAMT ERAEMDEVERFQPLLDGLKSGTSIALKVGCLQLINALITPAEELDFRVHIRSELMRLGLH QVLQELREIENEDMKVQLCVFDEQGDEDFFDLKGRLDDIRMEMDDFGEVFQIILNTVKDS KAEPHFLSILQHLLLVRNDYEARPQYYKLIEECVSQIVLHKNGTDPDFKCRHLQIDIERL VDQMIDKTKVEKSEAKATELEKKLDSELTARHELQVEMKKMENDFEQKLQDLQGEKDALD SEKQQITAQKQDLEAEVSKLTGEVAKLSKELEDAKNEMASLSAVVVAPSVSSSAAVPPAP PLPGDSGTVIPPPPPPPPLPGGVVPPSPPLPPGTCIPPPPPLPGGACIPPPPQLPGSAAI PPPPPLPGVASIPPPPPLPGATAIPPPPPLPGATAIPPPPPLPGGTGIPPPPPPLPGSVG VPPPPPLPGGPGLPPPPPPFPGAPGIPPPPPGMGVPPPPPFGFGVPAAPVLPFGLTPKKV YKPEVQLRRPNWSKFVAEDLSQDCFWTKVKEDRFENNELFAKLTLAFSAQTKTSLAKKDQ EGGEEKKSVQKKKVKELKVLDSKTAQNLSIFLGSFRMPYQEIKNVILEVNEAVLTESMIQ NLIKQMPEPEQLKMLSELKEEYDDLAESEQFGVVMGTVPRLRPRLNAILFKLQFSEQVEN IKPEIVSVTAACEELRKSENFSSLLELTLLVGNYMNAGSRNAGAFGFNISFLCKLRDTKS ADQKMTLLHFLAELCENDHPEVLKFPDELAHVEKASRVSAENLQKSLDQMKKQIADVERD VQNFPAATDEKDKFVEKMTSFVKDAQEQYNKLRMMHSNMETLYKELGDYFVFDPKKLSVE EFFMDLHNFRNMFLQAVKENQKRRETEEKMRRAKLAKEKAEKERLEKQQKREQLIDMNAE GDETGVMDSLLEALQSGAAFRRKRGPRQVNRKAGCAVTSLLASELTKDDAMAPGPVKVPK KSEGVPTILEEAKELVGRAS >ENSMUSP00000078942.6 pep:known chromosome:GRCm38:18:37844824:37935411:-1 gene:ENSMUSG00000024456.16 transcript:ENSMUST00000080033.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diaph1 description:diaphanous related formin 1 [Source:MGI Symbol;Acc:MGI:1194490] MEPSGGGLGPGRGTRDKKKGRSPDELPATGGDGGKHKKFLERFTSMRIKKEKEKPNSAHR NSSASYGDDPTAQSLQDISDEQVLVLFEQMLVDMNLNEEKQQPLREKDIVIKREMVSQYL HTSKAGMNQKESSRSAMMYIQELRSGLRDMHLLSCLESLRVSLNNNPVSWVQTFGAEGLA SLLDILKRLHDEKEETSGNYDSRNQHEIIRCLKAFMNNKFGIKTMLETEEGILLLVRAMD PAVPNMMIDAAKLLSALCILPQPEDMNERVLEAMTERAEMDEVERFQPLLDGLKSGTSIA LKVGCLQLINALITPAEELDFRVHIRSELMRLGLHQVLQELREIENEDMKVQLCVFDEQG DEDFFDLKGRLDDIRMEMDDFGEVFQIILNTVKDSKAEPHFLSILQHLLLVRNDYEARPQ YYKLIEECVSQIVLHKNGTDPDFKCRHLQIDIERLVDQMIDKTKVEKSEAKATELEKKLD SELTARHELQVEMKKMENDFEQKLQDLQGEKDALDSEKQQITAQKQDLEAEVSKLTGEVA KLSKELEDAKNEMASLSAVVVAPSVSSSAAVPPAPPLPGDSGTVIPPPPPPPPLPGGVVP PSPPLPPGTCIPPPPPLPGGACIPPPPQLPGSAAIPPPPPLPGVASIPPPPPLPGATAIP PPPPLPGATAIPPPPPLPGGTGIPPPPPPLPGSVGVPPPPPLPGGPGLPPPPPPFPGAPG IPPPPPGMGVPPPPPFGFGVPAAPVLPFGLTPKKVYKPEVQLRRPNWSKFVAEDLSQDCF WTKVKEDRFENNELFAKLTLAFSAQTKTSLAKKDQEGGEEKKSVQKKKVKELKVLDSKTA QNLSIFLGSFRMPYQEIKNVILEVNEAVLTESMIQNLIKQMPEPEQLKMLSELKEEYDDL AESEQFGVVMGTVPRLRPRLNAILFKLQFSEQVENIKPEIVSVTAACEELRKSENFSSLL ELTLLVGNYMNAGSRNAGAFGFNISFLCKLRDTKSADQKMTLLHFLAELCENDHPEVLKF PDELAHVEKASRVSAENLQKSLDQMKKQIADVERDVQNFPAATDEKDKFVEKMTSFVKDA QEQYNKLRMMHSNMETLYKELGDYFVFDPKKLSVEEFFMDLHNFRNMFLQAVKENQKRRE TEEKMRRAKLAKEKAEKERLEKQQKREQLIDMNAEGDETGVMDSLLEALQSGAAFRRKRG PRQVNRKAGCAVTSLLASELTKDDAMAPGPVKVPKKSEGVPTILEEAKELVGRAS >ENSMUSP00000137030.1 pep:known chromosome:GRCm38:X:11308824:11309141:1 gene:ENSMUSG00000094904.1 transcript:ENSMUST00000178595.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14476 description:predicted gene 14476 [Source:MGI Symbol;Acc:MGI:3710419] MAKKMQRRRRQKRTRSQRGELPFSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAQTTGRKRIAPEDVRLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000113553.1 pep:known chromosome:GRCm38:4:14809498:14826587:-1 gene:ENSMUSG00000040550.16 transcript:ENSMUST00000117268.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud6b description:OTU domain containing 6B [Source:MGI Symbol;Acc:MGI:1919451] MAPRVRVEEWEVLALLRCGSPSARVPGRLVVMEEVVAEELDDEEQLVRRHRKEKKELQAK IQGMKNAVPKNDKKRRKQLTEDVAKLEREMEQKHREELEQLKQLTFKDSKIDSVAVNISN LVLENQPPRISKAQKRREKKAALEKEREERIAEAEIENLSGARHLESEKLAQILAARELE IKQIPSDGHCMYGALEDQLREQDCALTVASLRRQTAEYMQTHSDDFLPFLTNPSTGDMYT PEEFGKYCDDIVNTAAWGGQLELRALSHILQTPIEILQADAPPIIVGEEYPRNPLVLVYM RHAYGLGEHYNSVTRLVNSATENCS >ENSMUSP00000120430.1 pep:known chromosome:GRCm38:4:14810046:14826395:-1 gene:ENSMUSG00000040550.16 transcript:ENSMUST00000151012.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Otud6b description:OTU domain containing 6B [Source:MGI Symbol;Acc:MGI:1919451] XVPGRLVVMEEVVAEELDDEEQLVRRHRKEKKELQAKIQGMKNAVPKNDKKRRKQLTEDV AKLEREMEQKHREELEQLKQLTFKDSKIDSVAVNISNLVLENQPPRISKAQKRRDLIL >ENSMUSP00000043308.5 pep:known chromosome:GRCm38:19:12633308:12653249:1 gene:ENSMUSG00000063683.12 transcript:ENSMUST00000044976.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glyat description:glycine-N-acyltransferase [Source:MGI Symbol;Acc:MGI:2147502] MIVPLQGAQMLQMLEKSLRKYLPESLKVYGTVYHMIHGNPFNLKALVDKWPDFNTVVVRP QEQEMTDDLDFYINTYQVYSKDPQNCQEFLESSEVINWKQHLQIQSSQSHLNKTIQNLAS IQSFQIKHSENILYVSSETIKKLFPSLLDTKNLSTGSGKPKAIDQDKFKLSSLDVVHAAL VNKFWLFGGNERSQRFIERCIKNFPSSCVLGPEGTPASWTLMDQTGEMRMGGTMPEYRLQ GLVSFVVHSQDQIMTKRGYPVYSHTEKSNIAMQKMSYTLQHLPMPCAWNQWKCMPM >ENSMUSP00000114002.1 pep:known chromosome:GRCm38:19:12633533:12653911:1 gene:ENSMUSG00000063683.12 transcript:ENSMUST00000119960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glyat description:glycine-N-acyltransferase [Source:MGI Symbol;Acc:MGI:2147502] MIHGNPFNLKALVDKWPDFNTVVVRPQEQEMTDDLDFYINTYQVYSKDPQNCQEFLESSE VINWKQHLQIQSSQSHLNKTIQNLASIQSFQIKHSENILYVSSETIKKLFPSLLDTKNLS TGSGKPKAIDQDKFKLSSLDVVHAALVNKFWLFGGNERSQRFIERCIKNFPSSCVLGPEG TPASWTLMDQTGEMRMGGTMPEYRLQGLVSFVVHSQDQIMTKRGYPVYSHTEKSNIAMQK MSYTLQHLPMPCAWNQWKCMPM >ENSMUSP00000096408.1 pep:known chromosome:GRCm38:7:13450841:13473449:1 gene:ENSMUSG00000074378.5 transcript:ENSMUST00000098811.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsph1 description:binder of sperm protein homolog 1 [Source:MGI Symbol;Acc:MGI:2685613] MAQPLDFLLVSICLFHSLFSFQVEDYYAPTIESLIRNPETEDGACVFPFLYRSEIFYDCV NFNLKHKWCSLNKTYQGYWKYCALSDYAPCAFPFWYRHMIYWDCTEDGEVFGKKWCSLTP NYNKDQVWKYCIE >ENSMUSP00000144997.1 pep:known chromosome:GRCm38:7:13450856:13473379:1 gene:ENSMUSG00000074378.5 transcript:ENSMUST00000204715.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsph1 description:binder of sperm protein homolog 1 [Source:MGI Symbol;Acc:MGI:2685613] MAQPLDFLLVSICLFHSLFSFQVEDYYAPTIDGACVFPFLYRSEIFYDCVNFNLKHKWCS LNKTYQGYWKYCALSDYAPCAFPFWYRHMIYWDCTEDGEVFGKKWCSLTPNYNKDQVWKY CIE >ENSMUSP00000032239.4 pep:known chromosome:GRCm38:6:123281789:123289870:-1 gene:ENSMUSG00000030142.10 transcript:ENSMUST00000032239.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4e description:C-type lectin domain family 4, member e [Source:MGI Symbol;Acc:MGI:1861232] MNSTKSPASHHTERGCFKNSQVLSWTIAGASILFLSGCFITRCVVTYRSSQISGQNLQPH RNIKELSCYSEASGSVKNCCPLNWKHYQSSCYFFSTTTLTWSSSLKNCSDMGAHLVVIDT QEEQEFLFRTKPKRKEFYIGLTDQVVEGQWQWVDDTPFTESLSFWDAGEPNNIVLVEDCA TIRDSSNSRKNWNDIPCFYSMPWICEMPEISPLD >ENSMUSP00000135081.1 pep:known chromosome:GRCm38:6:123283491:123289870:-1 gene:ENSMUSG00000030142.10 transcript:ENSMUST00000177367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4e description:C-type lectin domain family 4, member e [Source:MGI Symbol;Acc:MGI:1861232] MNSTKSPASHHTERGCFKNSQVLSWTIAGASILFLSGCFITRCVGSVKNCCPLNWKHYQS SCYFFSTTTLTWSSSLKNCSDMGAHLVVIDTQEEQEFLFRTKPKRKEFYIGLTDQVVEGQ WQWVDDTPFTESLSFWDAGEPNNIVLVEDCATIRDSSNSRKNWNDIPCFYSMPWICEMPE ISPLD >ENSMUSP00000135682.1 pep:known chromosome:GRCm38:6:123283694:123289866:-1 gene:ENSMUSG00000030142.10 transcript:ENSMUST00000176096.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec4e description:C-type lectin domain family 4, member e [Source:MGI Symbol;Acc:MGI:1861232] MNSTKSPASHHTERGCFKNSQVLSWTIAGASILFLSGCFITRCVGIPFSHKT >ENSMUSP00000000896.4 pep:known chromosome:GRCm38:2:154585758:154603708:-1 gene:ENSMUSG00000000876.11 transcript:ENSMUST00000000896.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxmp4 description:peroxisomal membrane protein 4 [Source:MGI Symbol;Acc:MGI:1891701] MAAPPQLQALLQAVNKLLRQRRYHAALAVIKGFRNGAVYGVKIRAPHALVMTFLFRSGSL REKLQAILKATYIHSRNLACFVFAYKSLHALQSHVQGETHQMHSFLAAFIGGLLLFGENN NINSQINMYLTSRVLYALCRLGVEKGYIPALKWDPFPLHTAVIWGLVLWLFEYHRPTLQP SLQSSMTYLYEDSNVWHDLSDFLIFNKSHPSK >ENSMUSP00000105325.2 pep:known chromosome:GRCm38:2:154595246:154603688:-1 gene:ENSMUSG00000000876.11 transcript:ENSMUST00000109703.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxmp4 description:peroxisomal membrane protein 4 [Source:MGI Symbol;Acc:MGI:1891701] MAAPPQLQALLQAVNKLLRQRRYHAALAVIKGFRNGAVYGVKIRAPHALVMTFLFRSGRY TVAVFRHTRRACQISLRMVVSPQDLQKSSQCS >ENSMUSP00000141070.1 pep:known chromosome:GRCm38:X:42502497:42529326:1 gene:ENSMUSG00000005696.11 transcript:ENSMUST00000189753.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d1a description:SH2 domain containing 1A [Source:MGI Symbol;Acc:MGI:1328352] MDAVTVYHGKISRETGEKLLLATGLDGSYLLRDSESVPGVYCLCVLYQGYIYTYRVSQTE TGSWSAETAPGVHKRFFRKVKNLISAFQKPDQGIVTPLQYPVEKSSGRGPQAPTGRRDSD ICLNAP >ENSMUSP00000005839.4 pep:known chromosome:GRCm38:X:42502562:42522093:1 gene:ENSMUSG00000005696.11 transcript:ENSMUST00000005839.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d1a description:SH2 domain containing 1A [Source:MGI Symbol;Acc:MGI:1328352] MDAVTVYHGKISRETGEKLLLATGLDGSYLLRDSESVPGVYCLCVLYQGYIYTYRVSQTE TGSWSAETAPGVHKRFFRKVKNLISAFQKPDQGIVTPLQYPVEKSSGRGPQAPTGRRDSD ICLNAP >ENSMUSP00000110722.1 pep:known chromosome:GRCm38:X:42502654:42522093:1 gene:ENSMUSG00000005696.11 transcript:ENSMUST00000115070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d1a description:SH2 domain containing 1A [Source:MGI Symbol;Acc:MGI:1328352] MDAVTVYHGKISRETGEKLLLATGLDGSYLLRDSESVPGVYCLYQGYIYTYRVSQTETGS WSAETAPGVHKRFFRKVKNLISAFQKPDQGIVTPLQYPVEKSSGRGPQAPTGRRDSDICL NAP >ENSMUSP00000138624.1 pep:known chromosome:GRCm38:X:42502760:42521872:1 gene:ENSMUSG00000005696.11 transcript:ENSMUST00000153948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d1a description:SH2 domain containing 1A [Source:MGI Symbol;Acc:MGI:1328352] XGDRGEALTRYRAGWKLSAARQRECPWRVLPVCFTAPGVHKRFFRKVKNLISAFQKPDQG IVTPLQYPVEKSSGRGPQAPTGRRDSDICLNAP >ENSMUSP00000035155.6 pep:known chromosome:GRCm38:9:103111807:103185276:1 gene:ENSMUSG00000032549.7 transcript:ENSMUST00000035155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab6b description:RAB6B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:107283] MSAGGDFGNPLRKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDR TVRLQLWDTAGQERFRSLIPSYIRDSTVAVVVYDITNLNSFQQTSKWIDDVRTERGSDVI IMLVGNKTDLADKRQITIEEGEQRAKELSVMFIETSAKTGYNVKQLFRRVASALPGMENV QEKSKEGMIDIKLDKPQEPPASEGGCSC >ENSMUSP00000132880.1 pep:known chromosome:GRCm38:5:104070064:104077608:-1 gene:ENSMUSG00000091034.8 transcript:ENSMUST00000164471.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17660 description:predicted gene, 17660 [Source:MGI Symbol;Acc:MGI:4937294] MQLFLLAALLSAAAALPIPLGQSGGSSSEQRFNLYAPQILPFFPPFPLPQAPLIPIPFPF PFDPNQVLTPNQLLELITSILNQLQGFLGR >ENSMUSP00000143623.1 pep:known chromosome:GRCm38:5:104070090:104077592:-1 gene:ENSMUSG00000091034.8 transcript:ENSMUST00000198485.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17660 description:predicted gene, 17660 [Source:MGI Symbol;Acc:MGI:4937294] MQLFLLAALLSAAAALPIPLGQSGGSSSEQRFNLYAPQILPFFPPFPLPQAPLIPIPFPF PFDPNQVLTPNQLLELITSILNQLQGFLGR >ENSMUSP00000136896.1 pep:known chromosome:GRCm38:5:104071249:104077608:-1 gene:ENSMUSG00000091034.8 transcript:ENSMUST00000178967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17660 description:predicted gene, 17660 [Source:MGI Symbol;Acc:MGI:4937294] MQLFLLAALLSAAAALPIPLGQSGGSSSEQRFNLYAPQILPFFPPFPLPQAPLIPIPFPF PFDPNQVLTPNQLLELITSILNQLQGFLGR >ENSMUSP00000136763.1 pep:known chromosome:GRCm38:X:11311934:11312427:1 gene:ENSMUSG00000095413.1 transcript:ENSMUST00000178979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14484 description:predicted gene 14484 [Source:MGI Symbol;Acc:MGI:3649617] MAKKMQRRRRQKRTRSQRGELPLSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAQTTGRKRIAPEDVRLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000102594.1 pep:known chromosome:GRCm38:7:101858331:101864145:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000106981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQCSPWKT NSCCSTNTSQEAHKDISYLYRFNWNHCGTMTSECKRHFIQDTCLYECSPNLGPWIQQVDQ SWRKERILDVPLCKEDCQQWWEDCQSSFTCKSNWHKGWNWSSGHNECPVGASCHPFTFYF PTSAALCEEIWSHSYKLSNYSRGSGRCIQMWFDPAQGNPNEEVARFYAEAMSGAGFHGTW PLLCSLSLVLLWVIS >ENSMUSP00000102595.1 pep:known chromosome:GRCm38:7:101858331:101867407:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000106982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQCSPWKT NSCCSTNTSQEAHKDISYLYRFNWNHCGTMTSECKRHFIQDTCLYECSPNLGPWIQQVDQ SWRKERILDVPLCKEDCQQWWEDCQSSFTCKSNWHKGWNWSSGHNECPVGASCHPFTFYF PTSAALCEEIWSHSYKLSNYSRGSGRCIQMWFDPAQGNPNEEVARFYAEAMSGAGFHGTW PLLCSLSLVLLWVIS >ENSMUSP00000102599.2 pep:known chromosome:GRCm38:7:101858331:101870683:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000106986.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQCSPWKT NSCCSTNTSQEAHKDISYLYRFNWNHCGTMTSECKRHFIQDTCLYECSPNLGPWIQQVDQ SWRKERILDVPLCKEDCQQWWEDCQSSFTCKSNWHKGWNWSSGHNECPVGASCHPFTFYF PTSAALCEEIWSHSYKLSNYSRGSGRCIQMWFDPAQGNPNEEVARFYAEAMSGAGFHGTW PLLCSLSLVLLWVIS >ENSMUSP00000102598.1 pep:known chromosome:GRCm38:7:101858331:101870707:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000106985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQCSPWKT NSCCSTNTSQEAHKDISYLYRFNWNHCGTMTSECKRHFIQDTCLYECSPNLGPWIQQVDQ SWRKERILDVPLCKEDCQQWWEDCQSSFTCKSNWHKGWNWSSGHNECPVGASCHPFTFYF PTSAALCEEIWSHSYKLSNYSRGSGRCIQMWFDPAQGNPNEEVARFYAEAMSGAGFHGTW PLLCSLSLVLLWVIS >ENSMUSP00000001882.4 pep:known chromosome:GRCm38:7:101858331:101870781:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000001882.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQCSPWKT NSCCSTNTSQEAHKDISYLYRFNWNHCGTMTSECKRHFIQDTCLYECSPNLGPWIQQVDQ SWRKERILDVPLCKEDCQQWWEDCQSSFTCKSNWHKGWNWSSGHNECPVGASCHPFTFYF PTSAALCEEIWSHSYKLSNYSRGSGRCIQMWFDPAQGNPNEEVARFYAEAMSGAGFHGTW PLLCSLSLVLLWVIS >ENSMUSP00000102596.1 pep:known chromosome:GRCm38:7:101858331:101870788:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000106983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQCSPWKT NSCCSTNTSQEAHKDISYLYRFNWNHCGTMTSECKRHFIQDTCLYECSPNLGPWIQQVDQ SWRKERILDVPLCKEDCQQWWEDCQSSFTCKSNWHKGWNWSSGHNECPVGASCHPFTFYF PTSAALCEEIWSHSYKLSNYSRGSGRCIQMWFDPAQGNPNEEVARFYAEAMSGAGFHGTW PLLCSLSLVLLWVIS >ENSMUSP00000114633.1 pep:known chromosome:GRCm38:7:101859104:101869551:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000140068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQCSPWKT NSCCSTNTSQEAHKDISYLYRFNWNHCGTMTSECKRHFIQDTCLYECSPNLGPWIQQVDQ SWRKERILDVPLCKEDCQQWWEDCQSSFTCKSNWHKGWNW >ENSMUSP00000115077.1 pep:known chromosome:GRCm38:7:101859114:101870714:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000151706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQCSPWKT NSCCSTNTSQEAHKDISYLYRFNWNHCGTMTSECKRHFIQDTCLYECSPNLGPWIQQVDQ SWRKERILDVPLCKEDCQQWWEDCQSSFTCKSNWHKG >ENSMUSP00000117175.1 pep:known chromosome:GRCm38:7:101859173:101870786:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000126204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQCSPWKT NSCCSTNTSQEAHKDISYLYRFNWNHCGTMTSECKRHFIQDTCLYECSPNLGPWIQQVDQ SWRKERILDVPLCKEDC >ENSMUSP00000118547.1 pep:known chromosome:GRCm38:7:101859406:101869324:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000134145.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQCSPWKT NSCCSTNTSQEAHKDISYLYRFNWNHCGTMTSECKRHFIQDTCL >ENSMUSP00000121947.1 pep:known chromosome:GRCm38:7:101863923:101870788:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000123630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQV >ENSMUSP00000114167.1 pep:known chromosome:GRCm38:7:101863925:101869018:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000123321.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHDQ >ENSMUSP00000115360.1 pep:known chromosome:GRCm38:7:101863928:101870787:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000155311.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHKEKPGPEDNLHD >ENSMUSP00000114919.1 pep:known chromosome:GRCm38:7:101863961:101868667:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000150184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRARTELLNVCMDAKHHK >ENSMUSP00000116068.1 pep:known chromosome:GRCm38:7:101864000:101869322:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000140584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAHLMTVQLLLLVMWMAECAQSRATRART >ENSMUSP00000121374.1 pep:known chromosome:GRCm38:7:101864078:101870584:-1 gene:ENSMUSG00000001827.11 transcript:ENSMUST00000124026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr1 description:folate receptor 1 (adult) [Source:MGI Symbol;Acc:MGI:95568] MAH >ENSMUSP00000112246.2 pep:known chromosome:GRCm38:X:103356476:103396092:1 gene:ENSMUSG00000031327.10 transcript:ENSMUST00000116547.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chic1 description:cysteine-rich hydrophobic domain 1 [Source:MGI Symbol;Acc:MGI:1344694] MSILLPNMAEFDTISELEEEEEAATSSSSPSSSPSSSSSSSVSGPDEDEEDEEEEEEEDE EEEDEEEEEEEVPPPPRVVSEEHLRRYAPDPVLVRGAGHITVFGLSNKFDTEFPSVLTGK VAPEEFKTSIGRVNSCLKKALPVNVKWLLCGCLCCCCTLGCSLWPVICLNKRTRRSIQKL LEWENNRLYHKLALHWKLTKRKCETSNMMEYVILIEFLPKYPIFRPD >ENSMUSP00000105359.2 pep:known chromosome:GRCm38:11:6427229:6444443:-1 gene:ENSMUSG00000041126.16 transcript:ENSMUST00000109737.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afv description:H2A histone family, member V [Source:MGI Symbol;Acc:MGI:1924855] MAGGKAGKDSGKAKAKAVSRSQRAGLQFPVGRIHRHLKTRTTSHGRVGATAAVYSAAILE YLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDSLIKATIAGGGVIPHIHKSLIG KKGQQKTA >ENSMUSP00000091037.5 pep:known chromosome:GRCm38:11:6428138:6444352:-1 gene:ENSMUSG00000041126.16 transcript:ENSMUST00000093346.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afv description:H2A histone family, member V [Source:MGI Symbol;Acc:MGI:1924855] MAGGKAGKDSGKAKAKAVSRSQRAGLQVLELAGNASKDLKVKRITPRHLQLAIRGDEELD SLIKATIAGGGVIPHIHKSLIGKKGQQKTA >ENSMUSP00000136695.1 pep:known chromosome:GRCm38:X:11315158:11315475:1 gene:ENSMUSG00000095655.1 transcript:ENSMUST00000179004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14479 description:predicted gene 14479 [Source:MGI Symbol;Acc:MGI:3649874] MAKKMQRRRRQKRTRSQRGELPFSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAQTTGRKRIAPEDVRLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000137575.1 pep:known chromosome:GRCm38:X:11318256:11318764:1 gene:ENSMUSG00000095662.1 transcript:ENSMUST00000179859.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14482 description:predicted gene 14482 [Source:MGI Symbol;Acc:MGI:3710577] MAKKMQRRRRQKRTRSQRGELPFSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAQTTGRKRIAPEDVRLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000027817.7 pep:known chromosome:GRCm38:1:174172776:174248450:1 gene:ENSMUSG00000026532.7 transcript:ENSMUST00000027817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spta1 description:spectrin alpha, erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98385] METPKETAVESSGPKVLETAEEIQHRRAEVLNQYQRFKDRVAERGQKLEESYHYQVFRRD ADDLEKWIMEKLEIAKDKTYEPTNIQGKYQKHESFVSEVQAKSRVLPELEEIREARFAED HFAHEATKTHLKQLRLLWDLLLELTQEKSDVLLRALKFYQYSQECEDILEWVKEKEAIVT LVELGDDWERTEVLHKKFEEFQEELTARKGKVDRVNQYANECAQEKHPKLPEIKAKQDEV NAAWDRLWSLALKRRESLSNAADLQRFKRDVNEAIQWMEEKEPQLTSEDYGKDLVSSEAL FHNHKRLERNLAVMDDKVKELCAKADKLMISHSADAPQIQQMKLDLVSNWERIRALATNR YAKLKASYGYHRFLSDYDELSGWMKEKTALINADELPTDVASGEALLARHQQHKHEIDSY DDRFQSADATGQELLDGNHEASEEIREKMTILANDWAALLELWDKCQHQYRQCLDFHLFY RDSEQVDSWMSRQEAFLENEDLGNSVGSVEALLQKHDDFEEAFTAQEEKIITLDETATKL IDNDHYDSENIAAIRDGLLARRDALRERAATRRKLLVDSQLLQQLYQDSDDLKTWINKKK KLADDDDYKDVQNLKSRVQKQQDFEEELAVNEIMLNNLEKTGQEMIEDGHYASEAVAARL SEVANLWKELLEATAQKGTQLYEANQLLQFENNAEDLKRWLEEVEWQVTSEDYGKGLADV QNLLRKHGLLESDVTARQNQVDTLTDMAAHFEEIGHPDSGDIRARQESLLSRFEALKEPL AIRKKKLIDLLKLQQICRDSEDEEAWIQETEPSAASTHLGKDLVAAKNLLNRHEVILADI ASHEPRIQVITERGNKMVEEGHFAAEDIASRVESLNKNMESLHARAIRRENDLKANVQLQ QYLADLHEAEAWIKEKEPIVDNKNYGADEEAAGALLKKHEAFLVDLNAFENSIKALRDQA EVCQQQQAAPVDEAGREARVIALYDFEARSRREVSMKKNDVLTLLSSINKDWWKVEADDH QGFVPAVYVRKLAPDELPGFPQHRQEEPVNIPQLQQQVETLYHSLLDRAEERRRRLLQRY NEFLLAYEAGDMLEWIQEKKTENTGVELDDVWELQKKFDEFQRDLKSNEPRLKDINKVAD ELLFEELLTPEGAHIRQELNTRWNSLKRLADEQYQLLSSAHAVEMFHREADDVKEQIDKK CRALNAADPGSDLLSVQALQRQHEVFERDIIPLGEKVTTLGETAERLCESHPDATEDLQK QRTELNEAWDTLQGLTSDRKESLNEAHKFFLFLSKASDLENWIKTIGGVISSPELAEDLT GTEILLERHQEHHDDIKREDPTFQALEDFGTELIDSGHRNRREIDNTLQNINSKRDNLEK SWENRKKMLDQCLELQLFRGKCDQVESWMVARENSLRSDDRDHLNSLQALMKKRDDLDKA ITAQEGKISDLENVATRLIDNDHYAKEEIAARLQRVLDRWKALKEQLLTELGKLGDYADL KQFYRDLEDLEEWINEMLPIACDESYKDPTNIQRKYLKHQAFENEVNGRAEQVDGVINLG NSLIERRVCDGDEENMQEQLDKLKENWDYLLERTTDKGQKLNEASRQQRFNTSIRDFEFW LSEAEGLLAMKDQARDLTSAGNLLKKHQLLEAEMLAREDPLKDLNDLAQELISSGTFNID QIEEKMNGVNERFENVQSLAAAHHEKLKETYALFQFFQDLDDEEAWIEEKLLRVSSQDYG RDLQSVQNLLKKHKRLEGELVAHEPAVQNVLDTAESLRDKAAVGKEEIQERLAQFVQHWE KLKELAKTRGVNLEESLEYLQFMENAEEEEAWLGEKCALVSRGDSGDTLAATQSLLKKHE ALENDFAVHKNRVQDVCAQGEDILNKEETQNKDKISTKIQVLNEKTASLAKALAAWKSQL DDVHAFQQFNWKADVVESWIGEKEASLKTKSNGADLTAFLTLLAKHDTLDASLQSFQQER LSEIAELKDQLVAGEHSQAKAIEEQHAALLRHWEQLLEASRVHRQKLLEKQLPLQKAEEL FMEFAHKASAFNNWCENAEEDLSEPVHCVSLNEIRQLQKEHEAFLASLAGAQEDFNYLLE LDKQIKALNVPSSPYTWLTVDVLGRIWNHLPDIIKEREQELQKEEARQIKNFEMCQEFEQ NASAFLQWIQETRAYFLDGSLLKETGTLESQLEANKRKQKEIQAMKRHLTKIEDLGDSME EALILDIKYSTIGLAQQWDQLHQLGMRMQHNLEQQIQAKDTIGVSEETLKEFSTTYKHFD ENLTGRLTHKEFRSCLRGLNYYLPMVEEGEPEPKFEKFLNAVDPGRKGYVSLEDYTSFLI DKESENIKTSDDIESAFQALAEGKAYITKEDMKQALTPEQVSFCTIHMQQYMDPRGRSQP AGYDYVGFTNSFFGN >ENSMUSP00000124685.1 pep:known chromosome:GRCm38:19:11516512:11531256:1 gene:ENSMUSG00000024677.13 transcript:ENSMUST00000163078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a6b description:membrane-spanning 4-domains, subfamily A, member 6B [Source:MGI Symbol;Acc:MGI:1917024] MIPQVVTSETVAMISPNGMSLPQTDKPQPFHQWQDSLKKHLKAEIKVMAAIQIMCAVMVL SLGIILASVPSNLHFTSVFSVLLKSGYPFIGALFFIVSGILSIVTETKSTKILVDSSLTL NILSVSFAFMGIIIISVSLAGLHPASEQCLQSKELRPTEYHYYQFLDRNECFAAKSVLAG VFSLMLISTMLELGLAVLTAMLWWKQSHSNIPGNVMFLPHSSNNDSNMESKVLCNPSYEE QLVC >ENSMUSP00000125519.1 pep:known chromosome:GRCm38:19:11518566:11531254:1 gene:ENSMUSG00000024677.13 transcript:ENSMUST00000161157.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ms4a6b description:membrane-spanning 4-domains, subfamily A, member 6B [Source:MGI Symbol;Acc:MGI:1917024] MIPQVVTSETVAMISPNGMSLPQTDKPQPFHQWQDSLKKHLKAEIKVMAAIQIMCAVMVL SLGIILASVPSNLHFTSVFSVLLKSGYPFIGALFFIVSGILSIVTETKSTKILLLMKPNN KNCCTILEERYK >ENSMUSP00000124277.1 pep:known chromosome:GRCm38:19:11521671:11527417:1 gene:ENSMUSG00000024677.13 transcript:ENSMUST00000161283.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ms4a6b description:membrane-spanning 4-domains, subfamily A, member 6B [Source:MGI Symbol;Acc:MGI:1917024] AIQIMCAVMVLSLGIILASVPSNLHFTSVFSVLLKSGYPFIGALFFIVSGILSIVTETKS TKILLLMKPNNKNCCTILEERYK >ENSMUSP00000025580.3 pep:known chromosome:GRCm38:19:11518559:11530403:1 gene:ENSMUSG00000024677.13 transcript:ENSMUST00000025580.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a6b description:membrane-spanning 4-domains, subfamily A, member 6B [Source:MGI Symbol;Acc:MGI:1917024] MIPQVVTSETVAMISPNGMSLPQTDKPQPFHQWQDSLKKHLKAEIKVMAAIQIMCAVMVL SLGIILASVPSNLHFTSVFSVLLKSGYPFIGALFFIVSGILSIVTETKSTKILVDSSLTL NILSVSFAFMGIIIISVSLAGLHPASEQCLQSKELRPTEYHYYQFLDRNECFAAKSVLAG VFSLMLISTMLELGLAVLTAMLWWKQSHSNIPGNVMFLPHSSNNDSNMESKVLCNPSYEE QLVC >ENSMUSP00000048251.4 pep:known chromosome:GRCm38:13:23477676:23488840:-1 gene:ENSMUSG00000053216.14 transcript:ENSMUST00000041541.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btn2a2 description:butyrophilin, subfamily 2, member A2 [Source:MGI Symbol;Acc:MGI:3606486] MEPTTSLRSCPIASLLFFLVLSLFVLVSAQFTVIGPAEPILAMVGENTTLHCHLSPERNA EEMEVRWFRWRFFPAVLVYRGHQERPEEQMVAYRGRTTFMRTDISKGRVALIIHNVTAYD NGIYCCYFQEGRSYDQATMKLMVASLGSEPLIKMKTLEDGSILLECTSEGWYPEPRAVWR DPYDEVVPALEEEYTADREGLFTVTMTIIIRDCSVRNMTCSVNNTLLSQEVESVILIPES FVPSLPLWMVAVAVTLPVVMLILLTSGSICLVKKHRRKKSILSAEKEAEYEEKEAARQLQ EELRWRRTLLHAADVVLDPDTAHPELFLSDDQRSVIRGSSRQSVPDNPERFDCRPCVLGR ESFSSGKHYWENGFWTLEMFGSQYRALSSPEKIIPLKERLHRIAVFLDYHTFTHVLL >ENSMUSP00000106063.3 pep:known chromosome:GRCm38:13:23477676:23488857:-1 gene:ENSMUSG00000053216.14 transcript:ENSMUST00000110433.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btn2a2 description:butyrophilin, subfamily 2, member A2 [Source:MGI Symbol;Acc:MGI:3606486] MEPTTSLRSCPIASLLFFLVLSLFVLVSAQFTVIGPAEPILAMVGENTTLHCHLSPERNA EEMEVRWFRWRFFPAVLVYRGHQERPEEQMVAYRGRTTFMRTDISKGRVALIIHNVTAYD NGIYCCYFQEGRSYDQATMKLMVASLGSEPLIKMKTLEDGSILLECTSEGWYPEPRAVWR DPYDEVVPALEEEYTADREGLFTVTMTIIIRDCSVRNMTCSVNNTLLSQEVESVILIPES FVPSLPLWMVAVAVTLPVVMLILLTSGSICLVKKHRRKKSILSAEKEAEYEEKEAARQLQ EELRWRRTLLHAADVVLDPDTAHPELFLSDDQRSVIRGSSRQSVPDNPERFDCRPCVLGR ESFSSGKHYWEVEVENVMVWAIGVCRDSVERKGEALLVPQNGFWTLEMFGSQYRALSSPE KIIPLKERLHRIAVFLDCEGGDISFYNMRDRSHIYTCPPVTFTGPLRPFFRLGSDDSPLF ICPAFTGAQGVTIPEGGLFLYKTRPISQSLVRKP >ENSMUSP00000106062.1 pep:known chromosome:GRCm38:13:23477888:23488847:-1 gene:ENSMUSG00000053216.14 transcript:ENSMUST00000110432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btn2a2 description:butyrophilin, subfamily 2, member A2 [Source:MGI Symbol;Acc:MGI:3606486] MEPTTSLRSCPIASLLFFLVLSLFVLVSAQFTVIGPAEPILAMVGENTTLHCHLSPERNA EEMEVRWFRWRFFPAVLVYRGHQERPEEQMVAYRGRTTFMRTDISKGRVALIIHNVTAYD NGIYCCYFQEGRSYDQATMKLMVASLGSEPLIKMKTLEDGSILLECTSEGWYPEPRAVWR DPYDEVVPALEEEYTADREGLFTVTMTIIIRDCSVRNMTCSVNNTLLSQEVESVILIPES FVPSLPLWMVAVAVTLPVVMLILLTSGSICLVKKHRRKKSILSAEKEAEYEEKEAARQLQ EELRWRRTLLHAADVVLDPDTAHPELFLSDDQRSVIRGSSRQSVPDNPERFDCRPCVLGR ESFSSGKHYWEVEVENVMVWAIGVCRDSVERKGEALLVPQNGFWTLEMFGSQYRALSSPE KIIPLKERLHRIAVFLDCEGGDISFYNMRDRSHIYTCPPVTFTGPLRPFFRLGSDDSPLF ICPAFTGAQGVTIPEGGLFLYKTRPISQSLVRKP >ENSMUSP00000027975.7 pep:known chromosome:GRCm38:2:4919019:4938730:1 gene:ENSMUSG00000026664.7 transcript:ENSMUST00000027975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyh description:phytanoyl-CoA hydroxylase [Source:MGI Symbol;Acc:MGI:891978] MNLTRAGARLQVLLGHLGRPSAPTIVAQPVSGLASPASFQPEQFQYTLDNNVLTLEQRKF YEENGFLVIKNLVSDDDIQRFRAEFERICREEVKPPGIVIMRDVALAKQDYMPSDRMVSK IQDFQEDEELFRYCLLPEILKYVECFTGPNIMALHGMLINKPPDVGKKTSRHPLHQDLHY FPFRPSNLIVCAWTAMEHIDRNNGCLVVLPGTHKGTLKPHDYPKWEGGVNKMYHGIQDYD PNSPRVHLVMEKGDTVFFHPLLIHGSGRNKTQGFRKAISCHFGSSDCQCIDVSGTSQENI AREVVEMAEKKYGFQGVMDFKDTWIFRSRLVKGERINI >ENSMUSP00000057494.8 pep:known chromosome:GRCm38:16:35541571:35664261:1 gene:ENSMUSG00000052133.16 transcript:ENSMUST00000050625.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema5b description:sema domain, seven thrombospondin repeats (type 1 and type 1-like), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 5B [Source:MGI Symbol;Acc:MGI:107555] MVVPGPLALSLLLSSLTLLVSHLSSSQDIASESSSEQQMCTRREHPIVAFEDLKPWVFNF TYPGVRDFSQLALDPSRNQLIVGARNYLFRLSLANVSLLQATEWASSEDTRRSCQSKGKT EEECQNYVRVLIVSGRKVFMCGTNAFSPVCSSRQVGNLSRTIEKINGVARCPYDPRHNST AVISSQGELYAATVIDFSGRDPAIYRSLGSGPPLRTAQYNSKWLNEPNFVAAFDIGLFAY FFLRENAVEHDCGRTVYSRVARVCKNDVGGRFLLEDTWTTFMKARLNCSRPGEVPFYYNE LQSAFHLPEQDLIYGVFTTNVNSIAASAVCAFNLSAISKAFNGPFRYQENPRAAWLPIAN PIPNFQCGTLPETGPNENLTERSLQDAQRLFLMSEAVQPVTPEPCVTQDSVRFSHLVVDL VQAKDTLYHVLYIGTESGTILKALSTASRSLRGCYLEELHVLPPGRLEPLRSLRILHSAR ALFVGLSDRVLRVPLERCSAYHSQGACLGARDPYCGWDGKRQLCSTLEDSSNMSLWIQNI TTCPVRNVTRDGGFGPWSPWKPCEHLDGDNSGSCLCRARSCDSPRPRCGGLECLGPSIHI ANCSRNGAWTAWSSWAQCSTSCGIGFQVRQRSCSNPAPRHGGRICVGKSREERFCNENTP CPVPIFWASWGSWSKCSNNCGGGVQSRRRSCENGNSCPGCGVEFKTCNPEACPEVRRNTP WTPWLPVNVTQGGARQEQRFRFTCRAPLPDPHGLQFGKRRTETRTCPADGTGACDTDALV EDLLRSGSTSPHTLNGGWATWGPWSSCSRDCELGFRVRKRTCTNPEPRNGGLPCVGDAAE YQDCNPQACPVRGAWSCWTAWSQCSASCGGGHYQRTRSCTSPAPSPGEDICLGLHTEEAL CSTQACPEGWSLWSEWGVCTEDGAQSRSRSCEELLPGPGACVGNSSQSRPCPYSEIPVIL PASSVEETTSCGGFNLIHLIVTGVSCFLVSGLLTLAVYLSCQHCQRQSQESTLVHPATPN HLHYKGGGTPKNEKYTPMEFKTLNKNNLIPDDRANFYPLQQTNVYTTTYYPSPLNKPSFR PEASPGQRCFPNS >ENSMUSP00000112536.1 pep:known chromosome:GRCm38:16:35541362:35664261:1 gene:ENSMUSG00000052133.16 transcript:ENSMUST00000120756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema5b description:sema domain, seven thrombospondin repeats (type 1 and type 1-like), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 5B [Source:MGI Symbol;Acc:MGI:107555] MVVPGPLALSLLLSSLTLLVSHLSSSQDIASESSSEQQMCTRREHPIVAFEDLKPWVFNF TYPGVRDFSQLALDPSRNQLIVGARNYLFRLSLANVSLLQATEWASSEDTRRSCQSKGKT EEECQNYVRVLIVSGRKVFMCGTNAFSPVCSSRQVGNLSRTIEKINGVARCPYDPRHNST AVISSQGELYAATVIDFSGRDPAIYRSLGSGPPLRTAQYNSKWLNEPNFVAAFDIGLFAY FFLRENAVEHDCGRTVYSRVARVCKNDVGGRFLLEDTWTTFMKARLNCSRPGEVPFYYNE LQSAFHLPEQDLIYGVFTTNVNSIAASAVCAFNLSAISKAFNGPFRYQENPRAAWLPIAN PIPNFQCGTLPETGPNENLTERSLQDAQRLFLMSEAVQPVTPEPCVTQDSVRFSHLVVDL VQAKDTLYHVLYIGTESGTILKALSTASRSLRGCYLEELHVLPPGRLEPLRSLRILHSAR ALFVGLSDRVLRVPLERCSAYHSQGACLGARDPYCGWDGKRQLCSTLEDSSNMSLWIQNI TTCPVRNVTRDGGFGPWSPWKPCEHLDGDNSGSCLCRARSCDSPRPRCGGLECLGPSIHI ANCSRNGAWTAWSSWAQCSTSCGIGFQVRQRSCSNPAPRHGGRICVGKSREERFCNENTP CPVPIFWASWGSWSKCSNNCGGGVQSRRRSCENGNSCPGCGVVRSRGWGAGSGETYSPGG VGGSEATLTPKEFKTCNPEACPEVRRNTPWTPWLPVNVTQGGARQEQRFRFTCRAPLPDP HGLQFGKRRTETRTCPADGTGACDTDALVEDLLRSGSTSPHTLNGGWATWGPWSSCSRDC ELGFRVRKRTCTNPEPRNGGLPCVGDAAEYQDCNPQACPVRGAWSCWTAWSQCSASCGGG HYQRTRSCTSPAPSPGEDICLGLHTEEALCSTQACPEGWSLWSEWGVCTEDGAQSRSRSC EELLPGPGACVGNSSQSRPCPYSEIPVILPASSVEETTSCGGFNLIHLIVTGVSCFLVSG LLTLAVYLSCQHCQRQSQESTLVHPATPNHLHYKGGGTPKNEKYTPMEFKTLNKNNLIPD DRANFYPLQQTNVYTTTYYPSPLNKPSFRPEASPGQRCFPNS >ENSMUSP00000121703.1 pep:known chromosome:GRCm38:16:35661305:35663314:1 gene:ENSMUSG00000052133.16 transcript:ENSMUST00000128347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema5b description:sema domain, seven thrombospondin repeats (type 1 and type 1-like), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 5B [Source:MGI Symbol;Acc:MGI:107555] XSCWTAWSQCSASCGGGHYQRTRSCTSPAPSPEGWSLWSEWGVCTEDGAQSRSRSCEELL PGPGACVGNSSQSRPCPYSEIPVILPASSVEETTSCGGFNLIHLIVTGVSCFLVSGLLTL AVYLSCQHCQRQSQESTLVHPATPNHLHYKGGGTPKNEKYTPMEFKTLNKNNLIPDDRAN FYPLQQTNVYTTTYYPSPLNKPSFRPEASPGQRCFPNS >ENSMUSP00000115969.1 pep:known chromosome:GRCm38:16:35658585:35664732:1 gene:ENSMUSG00000052133.16 transcript:ENSMUST00000149855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema5b description:sema domain, seven thrombospondin repeats (type 1 and type 1-like), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 5B [Source:MGI Symbol;Acc:MGI:107555] XLGPSIHIANCSRNGAWTAWSSWAQCSTSCGIGFQVRQRSCSNPAPRHGGRICVGKSREE RFCNENTPCPVPIFWASWGSWSKCSNNCGGGVQSRRRSCENGNSCPGCGVEFKTCNPEAC PEVRRNTPWTPWLPVNVTQGGARQEQRFRFTCRAPLPDPHGLQFGKRRTETRTCPADGTG ACDTDALVEDLLRSGSTSPHTLNGGWATWGPWSSCSRDCELGFRVRKRTCTNPEPRNGGL PCVGDAAEYQDCNPQACPVRGAWSCWTAWSQCSASCGGGHYQRTRSCTSPAPSPGEDICL GLHTEEALCSTQACPGWSLWSEWGVCTEDGAQSRSRSCEELLPGPGACVGNSSQSRPCPY SEIPVILPASSVEETTSCGGFNLIHLIVTGVSCFLVSGLLTLAVYLSCQHCQRQSQESTL VHPATPNHLHYKGGGTPKNEKYTPMEFK >ENSMUSP00000058198.7 pep:known chromosome:GRCm38:5:120458186:120472763:-1 gene:ENSMUSG00000029596.13 transcript:ENSMUST00000052258.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdsl description:serine dehydratase-like [Source:MGI Symbol;Acc:MGI:2182607] MEGALAERVGAEPFHRVTPLLESWALSQVAGMPVFLKYENVQIAGSFKIRGIGHFCQQMA KRGCRHLVCSSGGNAGIAAAYSARKLGIPVTIVLPEGTSVQVVRRLEGEGAEVQLTGKVW DEANVKAQELATRDGWVNVSPFDHPLIWEGHASLVRELKESLGTPPGAVVLAVGGGGLLA GVTAGLLEVGWQHVPIVAMETRGAHSFNSALQAGRPVTLPDITSVAKSLGAKTVAARTLE CAKECEVLSEVVEDREAVSAVQRFLDDERMLVEPACGAALAAIYSGILWRLQAEGRLSSA LASVVVIVCGGNNISSQQLQELKIQLGCS >ENSMUSP00000031594.6 pep:known chromosome:GRCm38:5:120458202:120472810:-1 gene:ENSMUSG00000029596.13 transcript:ENSMUST00000031594.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdsl description:serine dehydratase-like [Source:MGI Symbol;Acc:MGI:2182607] MEGALAERVGAEPFHRVTPLLESWALSQVAGMPVFLKYENVQIAGSFKIRGIGHFCQQMA KRGCRHLVCSSGGNAGIAAAYSARKLGIPVTIVLPEGTSVQVVRRLEGEGAEVQLTGKVW DEANVKAQELATRDGWVNVSPFDHPLIWEGHASLVRELKESLGTPPGAVVLAVGGGGLLA GVTAGLLEVGWQHVPIVAMETRGAHSFNSALQAGRPVTLPDITSVAKSLGAKTVAARTLE CAKECEVLSEVVEDREAVSAVQRFLDDERMLVEPACGAALAAIYSGILWRLQAEGRLSSA LASVVVIVCGGNNISSQQLQELKIQLGCS >ENSMUSP00000120868.1 pep:known chromosome:GRCm38:5:120460688:120467360:-1 gene:ENSMUSG00000029596.13 transcript:ENSMUST00000132916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdsl description:serine dehydratase-like [Source:MGI Symbol;Acc:MGI:2182607] MEGALAERVGAEPFHRVTPLLESWALSQVAGMPVFLKYENVQIAGSFKIRGIGHFCQQMA KRGCRHLVCSSGGNAGIAAAYSARKLGIPVTIVLPEGTSVQVVRRLEGEGAEVQLTGKVW >ENSMUSP00000060761.5 pep:known chromosome:GRCm38:6:87980421:87981637:-1 gene:ENSMUSG00000044927.6 transcript:ENSMUST00000056403.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H1fx description:H1 histone family, member X [Source:MGI Symbol;Acc:MGI:2685307] MSVELEEALPPTSADGTARKTAKAGGSAAPTQPKRRKNRKKNQPGKYSQLVVETIRKLGE RGGSSLARIYAEARKVAWFDQQNGRTYLKYSIRALVQNDTLLQVKGTGANGSFKLNRKKL EGGAERRGASAASSPAPKARTAAADRTPARPQPERRAHKSKKAAAAASAKKVKKAAKPSV PKVPKGRK >ENSMUSP00000126597.1 pep:known chromosome:GRCm38:10:59702477:59864132:1 gene:ENSMUSG00000020111.15 transcript:ENSMUST00000165563.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micu1 description:mitochondrial calcium uptake 1 [Source:MGI Symbol;Acc:MGI:2384909] MFRLNTLSALAELAVGSRWYHGASQPTQTKRRLMLVAFLGASAVTASTGLLWKKAHAESP PCVNSKKPDTEDKERNKDSGEVSSREGRAADAAAEPYPEDKKKKRSGFRDRKVMEYENRI RAYSTPDKIFRYFATLKVINEPGETEVFMTPQDFVRSITPNEKQPEHLGLDQYIIKRFDG KKIAQEREKFADEGSIFYSLGECGLISFSDYIFLTTVLSTPQRNFEIAFKMFDLNGDGEV DMEEFEQVQSIIRSQTSMGMRHRDRPTTGNTLKSGLCSALTTYFFGADLKGKLTIKNFLE FQRKLQHDVLKLEFERHDPVDGRISERQFGGMLLAYSGVQSKKLTAMQRQLKKHFKDGKG LTFQEVENFFTFLKNINDVDTALSFYHMAGASLDKVTMQQVARTVAKVELSDHVCDVVFA LFDCDGNGELSNKEFVSIMKQRLMRGLEKPKDMGFTRLMQAMWKCAQETAWDFALPK >ENSMUSP00000020311.6 pep:known chromosome:GRCm38:10:59702599:59864130:1 gene:ENSMUSG00000020111.15 transcript:ENSMUST00000020311.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micu1 description:mitochondrial calcium uptake 1 [Source:MGI Symbol;Acc:MGI:2384909] MFRLNTLSALAELAVGSRWYHGASQPTQTKRRLMLVAFLGASAVTASTGLLWKKAHAESP PCVNSKKPDTEDKERNKDSGEVSSREGRAADAAAEPYPEDKKKKRSGFRDRKVMEYENRI RAYSTPDKIFRYFATLKVINEPGETEVFMTPQDFVRSITPNEKQPEHLGLDQYIIKRFDG KEFWQTEKIAQEREKFADEGSIFYSLGECGLISFSDYIFLTTVLSTPQRNFEIAFKMFDL NGDGEVDMEEFEQVQSIIRSQTSMGMRHRDRPTTGNTLKSGLCSALTTYFFGADLKGKLT IKNFLEFQRKLQHDVLKLEFERHDPVDGRISERQFGGMLLAYSGVQSKKLTAMQRQLKKH FKDGKGLTFQEVENFFTFLKNINDVDTALSFYHMAGASLDKVTMQQVARTVAKVELSDHV CDVVFALFDCDGNGELSNKEFVSIMKQRLMRGLEKPKDMGFTRLMQAMWKCAQETAWDFA LPK >ENSMUSP00000090166.5 pep:known chromosome:GRCm38:10:59702628:59864123:1 gene:ENSMUSG00000020111.15 transcript:ENSMUST00000092508.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micu1 description:mitochondrial calcium uptake 1 [Source:MGI Symbol;Acc:MGI:2384909] MFRLNTLSALAELAVGSRWYHGASQPTQTKRRLMLVAFLGASAVTASTGLLWKKAHAESP PCVNSKKPDTEDKERNKDSGEVSSREGRAADAAAEPYPEDKKKKRSGFRDRKVMEYENRI RAYSTPDKIFRYFATLKVINEPGETEVFMTPQDFVRSITPNEKQPEHLGLDQYIIKRFDG KEFWQKIAQEREKFADEGSIFYSLGECGLISFSDYIFLTTVLSTPQRNFEIAFKMFDLNG DGEVDMEEFEQVQSIIRSQTSMGMRHRDRPTTGNTLKSGLCSALTTYFFGADLKGKLTIK NFLEFQRKLQHDVLKLEFERHDPVDGRISERQFGGMLLAYSGVQSKKLTAMQRQLKKHFK DGKGLTFQEVENFFTFLKNINDVDTALSFYHMAGASLDKVTMQQVARTVAKVELSDHVCD VVFALFDCDGNGELSNKEFVSIMKQRLMRGLEKPKDMGFTRLMQAMWKCAQETAWDFALP K >ENSMUSP00000131000.1 pep:known chromosome:GRCm38:10:59702649:59788938:1 gene:ENSMUSG00000020111.15 transcript:ENSMUST00000171409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micu1 description:mitochondrial calcium uptake 1 [Source:MGI Symbol;Acc:MGI:2384909] MLVAFLGASAVTASTGLLWKKAHAESPPCVNSKKPDTEDKERNKDSGEVSSREGRAADAA AEPYPEDKKKKRSGFRDRKVMEYENRIRAYSTPDKIFRYFATLKVINEPGETEVFMTPQD FVRSITPNEKQPEHLGLDQYIIKRFDGKKIAQEREKFADEGSIFYSLGECGLISFSDYIF LTTVLSTPQRNFEIAFKMFDLNGDGEVDMEEFEQVQSIIRSQTSMGMRHRDRPT >ENSMUSP00000126822.1 pep:known chromosome:GRCm38:10:59740774:59757174:1 gene:ENSMUSG00000020111.15 transcript:ENSMUST00000167612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micu1 description:mitochondrial calcium uptake 1 [Source:MGI Symbol;Acc:MGI:2384909] PQDFVRSITPNEKQPEHLGLDQYIIKRFDGKEFWQVLTRQHVLSINHCSGIHVNASEAGG RCMPLASLLPAQWFPSLT >ENSMUSP00000136567.1 pep:known chromosome:GRCm38:10:59702618:59864130:1 gene:ENSMUSG00000020111.15 transcript:ENSMUST00000179709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micu1 description:mitochondrial calcium uptake 1 [Source:MGI Symbol;Acc:MGI:2384909] MFRLNTLSALAELAVGSRWYHGASQPTQTKRRLMLVAFLGASAVTASTGLLWKKAHAESP PCVNSKKPDTEDKERNKDSGEVSSREGRAADAAAEPYPEDKKKKRSGFRDRKVMEYENRI RAYSTPDKIFRYFATLKVINEPGETEVFMTPQDFVRSITPNEKQPEHLGLDQYIIKRFDG KKIAQEREKFADEGSIFYSLGECGLISFSDYIFLTTVLSTPQRNFEIAFKMFDLNGDGEV DMEEFEQVQSIIRSQTSMGMRHRDRPTTGNTLKSGLCSALTTYFFGADLKGKLTIKNFLE FQRKLQHDVLKLEFERHDPVDGRISERQFGGMLLAYSGVQSKKLTAMQRQLKKHFKDGKG LTFQEVENFFTFLKNINDVDTALSFYHMAGASLDKVTMQQVARTVAKVELSDHVCDVVFA LFDCDGNGELSNKEFVSIMKQRLMRGLEKPKDMGFTRLMQAMWKCAQETAWDFALPK >ENSMUSP00000110257.1 pep:known chromosome:GRCm38:2:19909780:20744816:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000114610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MQPSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTKSPKLSHSPQPPNLGDPVEHL SETSGDSLEAMSEGEVPSPFARGSRTRASLPVVRSANQTKERSLGVLYLQYGDETKQLRM PNEVTSTDTIRALFVSAFPQQLTMKMLESPSVAIYIKDDSRNVYYELNDVRNIQDRSLLK VYNKDPSHAFNHMTKAVNGDMRMQREIVYARGDGLVAPRPGSVAHPPHVIPNSPPSTPVP HSLPPSPSRIPYGGSRPMAIPGNATIPRDRLSSLPVSRSISPSPSAILERRDVKPDEDMS SKNLVMFRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDHVIAYHRTAIRSASAYCSPSL QAEMHMEQSLYRQKSRKYPDSHLPTLGSKTPPASPHRVGDLRMIDLHPHLNTHGPPHTLQ PDRASPSRQSFKKEPGTLVYIEKPRNTSGLSSLVDLGPPLVEKQGFAYSTTTIPKDRETR >ENSMUSP00000119778.1 pep:known chromosome:GRCm38:2:20289913:20662052:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000146881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MSLCPPPCCLLRSFFTNAAAKLPSSKKDDLSSKQKKTSRGDKTERIGSEGNLTEYQQVQA AATSAQRKAAAAHKEQGRSNLHVTSQEDAACRRPRERLSNGNARAQVSKPARNIPRRHTL GGPRSSKEILGMQPSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTKSPKLSHSPQ PPNLGDPVEHLSETSGDSLEAMSEGEVPSPFARGSRTRASL >ENSMUSP00000116637.1 pep:known chromosome:GRCm38:2:20339824:20661918:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000131714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MSKSSRSARSFFTNAAAKLPSSKKDDLSSKQKKTSRGDKTERIGSEGNLTEYQQVQAAAT SAQRKAAAAHKEQGRSNLHVTSQEDAACRRPRERLSNGNARAQVSKPARNIPRRHTLGGP RSSKEILGMQPSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTKSPKLS >ENSMUSP00000041431.4 pep:known chromosome:GRCm38:2:20510047:20810524:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000045555.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MEESEGQKCEPNLPPSGDSRQMPQQGRSNLHVTSQEDAACRRPRERLSNGNARAQVSKPA RNIPRRHTLGGPRSSKEILGMQPSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTK SPKLSHSPQPPNLGDPVEHLSETSGDSLEAMSEGEVPSPFARGSRTRASLPVVRSANQTK ERSLGVLYLQYGDETKQLRMPNEVTSTDTIRALFVSAFPQQLTMKMLESPSVAIYIKDDS RNVYYELNDVRNIQDRSLLKVYNKDPSHAFNHMTKAVNGDMRMQREIVYARGDGLVAPRP GSVAHPPHVIPNSPPSTPVPHSLPPSPSRIPYGGSRPMAIPGNATIPRDRLSSLPVSRSI SPSPSAILERRDVKPDEDMSSKNLVMFRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDH VIAYHRTAIRSASAYCSPSLQAEMHMEQSLYRQKSRKYPDSHLPTLGSKTPPASPHRVGD LRMIDLHPHLNTHGPPHTLQPDRASPSRQSFKKEPGTLVYIEKPRNTSGLSSLVDLGPPL VEKQGFAYSTTTIPKDRETSEKMVKATANRNQADGAGTAHVSAGKVLGSVEFSLPPSQPL PAGTSPIHTSLLDMRRNVAELRLQLQQMRQLQLQNQEILRAMMKKAELEISNKVKETMKR LEDPVQRQRTLVEQERQKYLHEEERIVKKLCELEDFVEDLKKDSSSTGRVVTLKDVEDGA FLLRQVGEAVATLKGEFPTLQNKMRAVLRIEVEAVRFLKEEPHKLDSLLKRVRSMTDVLT MLRRHVTDGLLKGTDASQAAQYVAMEKATAAEVLKHQEETAHAPGQPLHCSTGSPGDVKS EVVPLSTMTVHHVQSSPVVMQPSQHSSALMNPAQNLPGGTRPHTASPPAITQEVTSAQSA PGPQSPQTPVNGSSMQSLFIEEIHSVSAKNRAVSIEKAEKKWEEKRQNLEHYNGKEFEKL LEEAQANIMKSIPNLEMPPASSPVSKGDAAGDKLELSEDSPNSEQELDKIGGKSPPPPPP PPRRSYLPGSGLTTTRSGDVVYTGRSMSKVSSEDPGPTPQTRATKCPPEEPASAWAPSPP PVPAPSSKEEEEEEEEGDKIMAELQAFQKCSFMDVNPNSHAEQSRANSHLKDTRAGATAP PKEKKGSSTTPQTSRMPVPMTSKNRPGSLDKASKQSKLQDPRQYRQANGSAKKAGGDCKP TSPSLPASKIPALSPSSGKSSSLPSASGDSSNLPNAPATKPSIASTPLSPQAGRSAHSAS LIPSVSNGSLKFQSPPHAGKGHHHLSFALQTQNGRAAPTTSSSSSPPSPASPTSLNQGAR GIRTIHTPSLASYKAQNGSSSKATPSTAKETS >ENSMUSP00000110261.1 pep:known chromosome:GRCm38:2:20510047:20810524:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000114614.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MEESEGQKCEPNLPPSGDSRQMPQQGRSNLHVTSQEDAACRRPRERLSNGNARAQVSKPA RNIPRRHTLGGPRSSKEILGMQPSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTK SPKLSHSPQPPNLGDPVEHLSETSGDSLEAMSEGEVPSPFARGSRTRASLPVVRSANQTK ERSLGVLYLQYGDETKQLRMPNEVTSTDTIRALFVSAFPQQLTMKMLESPSVAIYIKDDS RNVYYELNDVRNIQDRSLLKVYNKDPSHAFNHMTKAVNGDMRMQREIVYARGDGLVAPRP GSVAHPPHVIPNSPPSTPVPHSLPPSPSRIPYGGSRPMAIPGNATIPRDRLSSLPVSRSI SPSPSAILERRDVKPDEDMSSKNLVMFRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDH VIAYHRTAIRSASAYCSPSLQAEMHMEQSLYRQKSRKYPDSHLPTLGSKTPPASPHRVGD LRMIDLHPHLNTHGPPHTLQPDRASPSRQSFKKEPGTLVYIEKPRNTSGLSSLVDLGPPL VEKQGFAYSTTTIPKDRETSEKMVKATANRNQADGAGTAHVSAGKVLGSVEFSLPPSQPL PAGTSPIHTSLLDMRRNVAELRLQLQQMRQLQLQNQEILRAMMKKAELEISNKVKETMKR LEDPVQRQRTLVEQERQKYLHEEERIVKKLCELEDFVEDLKKDSSSTGRVVTLKDVEDGA FLLRQVGEAVATLKGEFPTLQNKMRAVLRIEVEAVRFLKEEPHKLDSLLKRVRSMTDVLT MLRRHVTDGLLKGTDASQAAQYVAMEKATAAEVLKHQEETAHAPGQPLHCSTGSPGDVKS EVVPLSTMTVHHVQSSPVVMQPSQHSSALMNPAQNLPGGTRPHTASPPAITQEVTSAQSA PGPQSPQTPVNGSSMQSLFIEEIHSKAEKKWEEKRQNLEHYNGKEFEKLLEEAQANIMKS IPNLEMPPASSPVSKGDAAGDKLELSEDSPNSEQELDKIGGKSPPPPPPPPRRSYLPGSG LTTTRSGDVVYTGRSMSKVSSEDPGPTPQTRATKCPPEEPASAWAPSPPPVPAPSSKEEE EEEEEGDKIMAELQAFQKCSFMDVNPNSHAEQSRANSHLKDTRAGATAPPKEKKGSSTTP QTSRMPVPMTSKNRPGSLDKASKQSKLQDPRQYRQANGSAKKAGGDCKPTSPSLPASKIP ALSPSSGKSSSLPSASGDSSNLPNAPATKPSIASTPLSPQAGRSAHSASLIPSVSNGSLK FQSPPHAGKGHHHLSFALQTQNGRAAPTTSSSSSPPSPASPTSLNQGARGIRTIHTPSLA SYKAQNGSSSKATPSTAKETS >ENSMUSP00000110251.2 pep:known chromosome:GRCm38:2:20519791:20809885:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000114604.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MEESEGQKCEPNLPPSGDSRQMPQQGRSNLHVTSQEDAACRRPRERLSNGNARAQVSKPA RNIPRRHTLGGPRSSKEILGMQPSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTK SPKLSHSPQPPNLGDPVEHLSETSGDSLEAMSEGEVPSPFARGSRTRASLPVVRSANQTK ERSLGVLYLQYGDETKQLRMPNEVTSTDTIRALFVSAFPQQLTMKMLESPSVAIYIKDDS RNVYYELNDVRNIQDRSLLKVYNKDPSHAFNHMTKAVNGDMRMQREIVYARGDGLVAPRP GSVAHPPHVIPNSPPSTPVPHSLPPSPSRIPYGGSRPMAIPGNATIPRDRLSSLPVSRSI SPSPSAILERRDVKPDEDMSSKNLVMFRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDH VIAYHRTAIRSASAYCSPSLQAEMHMEQSLYRQKSRKYPDSHLPTLGSKTPPASPHRVGD LRMIDLHPHLNTHGPPHTLQPDRASPSRQSFKKEPGTLVYIEKPRNTSGLSSLVDLGPPL VEKQGFAYSTTTIPKDRETRERMQAMEKQIASLTGLVQSALFKGPITSSSKEASSEKMVK ATANRNQADGAGTAHVSAGKVLGSVEFSLPPSQPLPAGTSPIHTSLLDMRRNVAELRLQL QQMRQLQLQNQEILRAMMKKAELEISNKVKETMKRLEDPVQRQRTLVEQERQKYLHEEER IVKKLCELEDFVEDLKKDSSSTGRVVTLKDVEDGAFLLRQVGEAVATLKGEFPTLQNKMR AVLRIEVEAVRFLKEEPHKLDSLLKRVRSMTDVLTMLRRHVTDGLLKGTDASQAAQYVAM EKATAAEVLKHQEETAHAPGQPLHCSTGSPGDVKSEVVPLSTMTVHHVQSSPVVMQPSQH SSALMNPAQNLPGGTRPHTASPPAITQEVTSAQSAPGPQSPQTPVNGSSMQSLFIEEIHS VSAKNRAVSIEKAEKKWEEKRQNLEHYNGKEFEKLLEEAQANIMKSIPNLEMPPASSPVS KGDAAGDKLELSEDSPNSEQELDKIGGKSPPPPPPPPRRSYLPGSGLTTTRSGDVVYTGR SMSKVSSEDPGPTPQTRATKCPPEEPASAWAPSPPPVPAPSSKEEEEEEEEGDKIMAELQ GSSTTPQTSRMPVPMTSKNRPGSLDKASKQSKLQDPRQYRQANGSAKKAGGDCKPTSPSL PASKIPALSPSSGKSSSLPSASGDSSNLPNAPATKPSIASTPLSPQAGRSAHSASLIPSV SNGSLKFQSPPHAGKGHHHLSFALQTQNGRAAPTTSSSSSPPSPASPTSLNQGARGIRTI HTPSLASYKAQNGSSSKATPSTAKETS >ENSMUSP00000066170.3 pep:known chromosome:GRCm38:2:20519828:20810536:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000066509.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MEESEGQKCEPNLPPSGDSRQMPQQGRSNLHVTSQEDAACRRPRERLSNGNARAQVSKPA RNIPRRHTLGGPRSSKEILGMQPSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTK SPKLSHSPQPPNLGDPVEHLSETSGDSLEAMSEGEVPSPFARGSRTRASLPVVRSANQTK ERSLGVLYLQYGDETKQLRMPNEVTSTDTIRALFVSAFPQQLTMKMLESPSVAIYIKDDS RNVYYELNDVRNIQDRSLLKVYNKDPSHAFNHMTKAVNGDMRMQREIVYARGDGLVAPRP GSVAHPPHVIPNSPPSTPVPHSLPPSPSRIPYGGSRPMAIPGNATIPRDRLSSLPVSRSI SPSPSAILERRDVKPDEDMSSKNLVMFRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDH VIAYHRTAIRSASAYCSPSLQAEMHMEQSLYRQKSRKYPDSHLPTLGSKTPPASPHRVGD LRMIDLHPHLNTHGPPHTLQPDRASPSRQSFKKEPGTLVYIEKPRNTSGLSSLVDLGPPL VEKQGFAYSTTTIPKDRETRERMQAMEKQIASLTGLVQSALFKGPITSSSKEASSEKMVK ATANRNQADGAGTAHVSAGKVLGSVEFSLPPSQPLPAGTSPIHTSLLDMRRNVAELRLQL QQMRQLQLQNQEILRAMMKKAELEISNKVKETMKRLEDPVQRQRTLVEQERQKYLHEEER IVKKLCELEDFVEDLKKDSSSTGRVVTLKDVEDGAFLLRQVGEAVATLKGEFPTLQNKMR AVLRIEVEAVRFLKEEPHKLDSLLKRVRSMTDVLTMLRRHVTDGLLKGTDASQAAQYVAM EKATAAEVLKHQEETAHAPGQPLHCSTGSPGDVKSEVVPLSTMTVHHVQSSPVVMQPSQH SSALMNPAQNLPGGTRPHTASPPAITQEVTSAQSAPGPQSPQTPVNGSSMQSLFIEEIHS VSAKNRAVSIEKAEKKWEEKRQNLEHYNGKEFEKLLEEAQANIMKSIPNLEMPPASSPVS KGDAAGDKLELSEDSPNSEQELDKIGGKSPPPPPPPPRRSYLPGSGLTTTRSGDVVYTGR SMSKVSSEDPGPTPQTRATKCPPEEPASAWAPSPPPVPAPSSKEEEEEEEEGDKIMAELQ AFQKCSFMDVNPNSHAEQSRANSHLKDTRAGATAPPKEKKNLEFYHEDVRKSDVECENGP QVESQKVTAGALRPSGPPKWERVMVDSISDTSRTSECRADTFTEENATPNKSLFRDSRNY SQKNVPKVSFSSSGLNSLEGEINKGPNVSGLQCAIPDLENQKLNFGKTKEIGQQGQENAD KSHIPLPTRSAEFSIHDVKTQDQDVPVTGYGQVVLRSKVGRHANMNMNEDGESTPSSPSE EHTATDNIAFMITKTAVQVLSSGEVHDIVSQKGQDVQTVNIDGRKETASQHEGTEGEEPV VCLDKKPVIIIFDEPMDIRSAYKRLSTIFEECDEELERMLTEEKIEEEEEDENEDSGVRT SSQMSCEQVDSRSDRMGQKAETQSQPHVLSAELLTPGVQGVRKAEQRKLSSADSPDSGNK CGMVDDQFESPKKKFKFKFPKKQLAALTQAIRTGTKTGKKTLQVVVYEEEEEDGTLKQHK EAKRFEITRSQPEDALKTMARRQEQLSPEGTLPASRTDEIRKSTYRTLDSLEQTIKQLEN TISEMSPRALVDTSCSSNRDCGASLPHMAQEVSPRSLLVLDEVPPAPEPPTSISPASRKG SSTTPQTSRMPVPMTSKNRPGSLDKASKQSKLQDPRQYRQANGSAKKAGGDCKPTSPSLP ASKIPALSPSSGKSSSLPSASGDSSNLPNAPATKPSIASTPLSPQAGRSAHSASLIPSVS NGSLKFQSPPHAGKGHHHLSFALQTQNGRAAPTTSSSSSPPSPASPTSLNQGARGIRTIH TPSLASYKAQNGSSSKATPSTAKETS >ENSMUSP00000110254.1 pep:known chromosome:GRCm38:2:20722625:20808190:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000114607.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MQREIVYARGDGLVAPRPGSVAHPPHVIPNSPPSTPVPHSLPPSPSRIPYGGSRPMAIPG NATIPRDRLSSLPVSRSISPSPSAILERRDVKPDEDMSSKNLVMFRNEGFYADPYLYHEG RMSIASSHGGHPLDVPDHVIAYHRTAIRSASAYCSPSLQAEMHMEQSLYRQKSRKYPDSH LPTLGSKTPPASPHRVGDLRMIDLHPHLNTHGPPHTLQPDRASPSRQSFKKEPGTLVYIE KPRNTSGLSSLVDLGPPLVEKQGFAYSTTTIPKDRETSEKMVKATANRNQADGAGTAHVS AGKVLGSVEFSLPPSQPLPAGTSPIHTSLLDMRRNVAELRLQLQQMRQLQLQNQEILRAM MKKAELEISNKVKETMKRLEDPVQRQRTLVEQERQKYLHEEERIVKKLCELEDFVEDLKK DSSSTGRVVTLKDVEDGAFLLRQVGEAVATLKGEFPTLQNKMRAVLRIEVEAVRFLKEEP HKLDSLLKRVRSMTDVLTMLRRHVTDGLLKGTDASQAAQYVAMEKATAAEVLKHQEETAH APGQPLHCSTGSPGDVKSEVVPLSTMTVHHVQSSPVVMQPSQHSSALMNPAQNLPGGTRP HTASPPAITQEVTSAQSAPGPQSPQTPVNGSSMQSLFIEEIHSVSAKNRAVSIEKAEKKW EEKRQNLEHYNGKEFEKLLEEAQANIMKSIPNLEMPPASSPVSKGDAAGDKLELSEDSPN SEQELDKIGGKSPPPPPPPPRRSYLPGSGLTTTRSGDVVYTGRSMSKVSSEDPGPTPQTR ATKCPPEEPASAWAPSPPPVPAPSSKEEEEEEEEGDKIMAELQAFQKCSFMDVNPNSHAE QSRANSHLKDTRAGATAPPKEKKNLEFYHEDVRKSDVECENGPQVESQKGSSTTPQTSRM PVPMTSKNRPGSLDKASKQSKLQDPRQYRQVVLP >ENSMUSP00000110253.1 pep:known chromosome:GRCm38:2:20737314:20810354:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000114606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MQREIVYARGDGLVAPRPGSVAHPPHVIPNSPPSTPVPHSLPPSPSRIPYGGSRPMAIPG NATIPRDRLSSLPVSRSISPSPSAILERRDVKPDEDMSSKNLVMFRNEGFYADPYLYHEG RMSIASSHGGHPLDVPDHVIAYHRTAIRSASAYCSPSLQAEMHMEQSLYRQKSRKYPDSH LPTLGSKTPPASPHRVGDLRMIDLHPHLNTHGPPHTLQPDRASPSRQSFKKEPGTLVYIE KPRNTSGLSSLVDLGPPLVEKQGFAYSTTTIPKDRETSEKMVKATANRNQADGAGTAHVS AGKVLGSVEFSLPPSQPLPAGTSPIHTSLLDMRRNVAELRLQLQQMRQLQLQNQEILRAM MKKAELEISNKVKETMKRLEDPVQRQRTLVEQERQKYLHEEERIVKKLCELEDFVEDLKK DSSSTGRVVTLKDVEDGAFLLRQVGEAVATLKGEFPTLQNKMRAVLRIEVEAVRFLKEEP HKLDSLLKRVRSMTDVLTMLRRHVTDGLLKGTDASQAAQYVAMEKATAAEVLKHQEETAH APGQPLHCSTGSPGDVKSEVVPLSTMTVHHVQSSPVVMQPSQHSSALMNPAQNLPGGTRP HTASPPAITQEVTSAQSAPGPQSPQTPVNGSSMQSLFIEEIHSVSAKNRAVSIEKAEKKW EEKRQNLEHYNGKEFEKLLEEAQANIMKSIPNLEMPPASSPVSKGDAAGDKLELSEDSPN SEQELDKIGGKSPPPPPPPPRRSYLPGSGLTTTRSGDVVYTGRSMSKVSSEDPGPTPQTR ATKCPPEEPASAWAPSPPPVPAPSSKEEEEEEEEGDKIMAELQAFQKCSFMDVNPNSHAE QSRANSHLKDTRAGATAPPKEKKGSSTTPQTSRMPVPMTSKNRPGSLDKASKQSKLQDPR QYRQVVLP >ENSMUSP00000110255.1 pep:known chromosome:GRCm38:2:20737314:20810713:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000114608.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MQREIVYARGDGLVAPRPGSVAHPPHVIPNSPPSTPVPHSLPPSPSRIPYGGSRPMAIPG NATIPRDRLSSLPVSRSISPSPSAILERRDVKPDEDMSSKNLVMFRNEGFYADPYLYHEG RMSIASSHGGHPLDVPDHVIAYHRTAIRSASAYCSPSLQAEMHMEQSLYRQKSRKYPDSH LPTLGSKTPPASPHRVGDLRMIDLHPHLNTHGPPHTLQPDRASPSRQSFKKEPGTLVYIE KPRNTSGLSSLVDLGPPLVEKQGFAYSTTTIPKDRETSEKMVKATANRNQADGAGTAHVS AGKVLGSVEFSLPPSQPLPAGTSPIHTSLLDMRRNVAELRLQLQQMRQLQLQNQEILRAM MKKAELEISNKVKETMKRLEDPVQRQRTLVEQERQKYLHEEERIVKKLCELEDFVEDLKK DSSSTGRVVTLKDVEDGAFLLRQVGEAVATLKGEFPTLQNKMRAVLRIEVEAVRFLKEEP HKLDSLLKRVRSMTDVLTMLRRHVTDGLLKGTDASQAAQYVAMEKATAAEVLKHQEETAH APGQPLHCSTGSPGDVKSEVVPLSTMTVHHVQSSPVVMQPSQHSSALMNPAQNLPGGTRP HTASPPAITQEVTSAQSAPGPQSPQTPVNGSSMQSLFIEEIHSVSAKNRAVSIEKAEKKW EEKRQNLEHYNGKEFEKLLEEAQANIMKSIPNLEMPPASSPVSKGDAAGDKLELSEDSPN SEQELDKIGGKSPPPPPPPPRRSYLPGSGLTTTRSGDVVYTGRSMSKVSSEDPGPTPQTR ATKCPPEEPASAWAPSPPPVPAPSSKEEEEEEEEGDKIMAELQAFQKCSFMDVNPNSHAE QSRANSHLKDTRAGATAPPKEKKNLEFYHEDVRKSDVECENGPQVESQKVTAGALRPSGP PKWERVMVDSISDTSRTSECRADTFTEENATPNKSLFRDSRNYSQKNVPKVSFSSSGLNS LEGEINKGPNVSGLQCAIPDLENQKLNFGKTKEIGQQGQENADKSHIPLPTRSAEFSIHD VKTQDQDVPVTGYGQVVLRSKVGRHANMNMNEDGESTPSSPSEEHTATDNIAFMITKTAV QVLSSGEVHDIVSQKGQDVQTVNIDGRKETASQHEGTEGEEPVVCLDKKPVIIIFDEPMD IRSAYKRLSTIFEECDEELERMLTEEKIEEEEEDENEDSGVRTSSQMSCEQVDSRSDRMG QKAETQSQPHVLSAELLTPGVQGVRKAEQRKLSSADSPDSGNKCGMVDDQFESPKKKFKF KFPKKQLAALTQAIRTGTKTGKKTLQVVVYEEEEEDGTLKQHKEAKRFEITRSQPEDALK TMARRQEQLSPEGTLPASRTDEIRKSTYRTLDSLEQTIKQLENTISEMSPRALVDTSCSS NRDCGASLPHMAQEVSPRSLLVLDEVPPAPEPPTSISPASRKGSSTTPQTSRMPVPMTSK NRPGSLDKASKQSKLQDPRQYRQANGSAKKAGGDCKPTSPSLPASKIPALSPSSGKSSSL PSASGDSSNLPNAPATKPSIASTPLSPQAGRSAHSASLIPSVSNGSLKFQSPPHAGKGHH HLSFALQTQNGRAAPTTSSSSSPPSPASPTSLNQGARGIRTIHTPSLASYKAQNGSSSKA TPSTAKETS >ENSMUSP00000110274.1 pep:known chromosome:GRCm38:2:20289913:20810535:1 gene:ENSMUSG00000036617.16 transcript:ENSMUST00000114627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etl4 description:enhancer trap locus 4 [Source:MGI Symbol;Acc:MGI:95454] MSLCPPPCCLLRSFFTNAAAKLPSSKKDDLSSKQKKTSRGDKTERIGSEGNLTEYQQVQA AATSAQRKAAAAHKEQGRSNLHVTSQEDAACRRPRERLSNGNARAQVSKPARNIPRRHTL GGPRSSKEILGMQPSEMDRKREAFLEHLKQKYPHHATAIMGHQERLRDQTKSPKLSHSPQ PPNLGDPVEHLSETSGDSLEAMSEGEVPSPFARGSRTRASLPVVRSANQTKERSLGVLYL QYGDETKQLRMPNEVTSTDTIRALFVSAFPQQLTMKMLESPSVAIYIKDDSRNVYYELND VRNIQDRSLLKVYNKDPSHAFNHMTKAVNGDMRMQREIVYARGDGLVAPRPGSVAHPPHV IPNSPPSTPVPHSLPPSPSRIPYGGSRPMAIPGNATIPRDRLSSLPVSRSISPSPSAILE RRDVKPDEDMSSKNLVMFRNEGFYADPYLYHEGRMSIASSHGGHPLDVPDHVIAYHRTAI RSASAYCSPSLQAEMHMEQSLYRQKSRKYPDSHLPTLGSKTPPASPHRVGDLRMIDLHPH LNTHGPPHTLQPDRASPSRQSFKKEPGTLVYIEKPRNTSGLSSLVDLGPPLVEKQGFAYS TTTIPKDRETRERMQAMEKQIASLTGLVQSALFKGPITSSSKEASSEKMVKATANRNQAD GAGTAHVSAGKVLGSVEFSLPPSQPLPAGTSPIHTSLLDMRRNVAELRLQLQQMRQLQLQ NQEILRAMMKKAELEISNKVKETMKRLEDPVQRQRTLVEQERQKYLHEEERIVKKLCELE DFVEDLKKDSSSTGRVVTLKDVEDGAFLLRQVGEAVATLKGEFPTLQNKMRAVLRIEVEA VRFLKEEPHKLDSLLKRVRSMTDVLTMLRRHVTDGLLKGTDASQAAQYVAMEKATAAEVL KHQEETAHAPGQPLHCSTGSPGDVKSEVVPLSTMTVHHVQSSPVVMQPSQHSSALMNPAQ NLPGGTRPHTASPPAITQEVTSAQSAPGPQSPQTPVNGSSMQSLFIEEIHSVSAKNRAVS IEKAEKKWEEKRQNLEHYNGKEFEKLLEEAQANIMKSIPNLEMPPASSPVSKGDAAGDKL ELSEDSPNSEQELDKIGGKSPPPPPPPPRRSYLPGSGLTTTRSGDVVYTGRSMSKVSSED PGPTPQTRATKCPPEEPASAWAPSPPPVPAPSSKEEEEEEEEGDKIMAELQAFQKCSFMD VNPNSHAEQSRANSHLKDTRAGATAPPKEKKNLEFYHEDVRKSDVECENGPQVESQKVTA GALRPSGPPKWERVMVDSISDTSRTSECRADTFTEENATPNKSLFRDSRNYSQKNVPKVS FSSSGLNSLEGEINKGPNVSGLQCAIPDLENQKLNFGKTKEIGQQGQENADKSHIPLPTR SAEFSIHDVKTQDQDVPVTGYGQVVLRSKVGRHANMNMNEDGESTPSSPSEEHTATDNIA FMITKTAVQVLSSGEVHDIVSQKGQDVQTVNIDGRKETASQHEGTEGEEPVVCLDKKPVI IIFDEPMDIRSAYKRLSTIFEECDEELERMLTEEKIEEEEEDENEDSGVRTSSQMSCEQV DSRSDRMGQKAETQSQPHVLSAELLTPGVQGVRKAEQRKLSSADSPDSGNKCGMVDDQFE SPKKKFKFKFPKKQLAALTQAIRTGTKTGKKTLQVVVYEEEEEDGTLKQHKEAKRFEITR SQPEDALKTMARRQEQLSPEGTLPASRTDEIRKSTYRTLDSLEQTIKQLENTISEMSPRA LVDTSCSSNRDCGASLPHMAQEVSPRSLLVLDEVPPAPEPPTSISPASRKGSSTTPQTSR MPVPMTSKNRPGSLDKASKQSKLQDPRQYRQANGSAKKAGGDCKPTSPSLPASKIPALSP SSGKSSSLPSASGDSSNLPNAPATKPSIASTPLSPQAGRSAHSASLIPSVSNGSLKFQSP PHAGKGHHHLSFALQTQNGRAAPTTSSSSSPPSPASPTSLNQGARGIRTIHTPSLASYKA QNGSSSKATPSTAKETS >ENSMUSP00000061601.6 pep:known chromosome:GRCm38:11:68556186:68558245:1 gene:ENSMUSG00000048329.7 transcript:ENSMUST00000053211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd6l description:major facilitator superfamily domain containing 6-like [Source:MGI Symbol;Acc:MGI:2384904] MSPNPQWDVPRALRVARLFHLVCGVRDACVTPFLTLYLRQLGVAAPLVGILMGTKHLIAT CWIPFCAFLAKRYQKRRMFLTGSLLSSAGASLLMVLVPPVDRNLVNHFCNGSSRVATTIL PLGVTQTVIMTPTQGSGAPNLPGSRHTRALDTSGFPNGSEGTFSGLQTYLVGSVEGARTT TQGLHLVTSGLRDNSQKGTFEVGNVTLNLLPGSTALGGPVNLSKPQGDTQTPDHSSKGSP WTFILSLGVVVFWELLAAPLEQVADDSLYEYLDFVDATDRNRDLWVWKLLGVSAGVCGIA ALVGHLECLLVANGPQGVIYFYSYSLVSTLALAVSTAFPVPIDQQQGPSYKAIKALSLIR GDSRLILLAFTVFWIGATASTVQDFLFWHMKDHGSSELVMGFSVALSLLGEILFHPFRTS LLRKLSRVGVLGLGLGCLALQVLYYAFIWSWWSVLPVQILSTISSGALWWAVGASIEDLA FSGMERSLGTMFRGHFYGSGCSLGSFVGGFVVLHFGIAVLYEACCVVLLLWLALFLSIQP RLPQEQRINYSKLLAMGGSDSSDSEQGSEGDWLVKAMREEHSDWKG >ENSMUSP00000088174.6 pep:known chromosome:GRCm38:3:105727267:105801336:-1 gene:ENSMUSG00000068798.10 transcript:ENSMUST00000090678.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1a description:RAS-related protein-1a [Source:MGI Symbol;Acc:MGI:97852] MREYKLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDCQQCMLEILDTAG TEQFTAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTEDVPMILVGNKCDL EDERVVGKEQGQNLARQWCNCAFLESSAKSKINVNEIFYDLVRQINRKTPVEKKKPKKKS CLLL >ENSMUSP00000142634.1 pep:known chromosome:GRCm38:3:105728314:105750328:-1 gene:ENSMUSG00000068798.10 transcript:ENSMUST00000199969.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rap1a description:RAS-related protein-1a [Source:MGI Symbol;Acc:MGI:97852] MREYKLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDCQQCMLEILDTAG TEQFTAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTEDVPMILVGNKCDL EDERVVGKEQGQNLARQWCNCAFLESSAKSKINVNEIFL >ENSMUSP00000142419.1 pep:known chromosome:GRCm38:3:105728643:105801285:-1 gene:ENSMUSG00000068798.10 transcript:ENSMUST00000197094.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1a description:RAS-related protein-1a [Source:MGI Symbol;Acc:MGI:97852] MREYKLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKVPMILVGNKCDLEDERVV GKEQGQNLARQWCNCAFLESSAKSKINVNEIFYDLVRQINRKTPVEKKKPKKKSCLLL >ENSMUSP00000142733.1 pep:known chromosome:GRCm38:3:105737896:105801310:-1 gene:ENSMUSG00000068798.10 transcript:ENSMUST00000198004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1a description:RAS-related protein-1a [Source:MGI Symbol;Acc:MGI:97852] MREYKLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDCQQCMLEILDTAG TEQFTAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTEDV >ENSMUSP00000055091.3 pep:known chromosome:GRCm38:19:27322588:27337179:1 gene:ENSMUSG00000047298.3 transcript:ENSMUST00000056708.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnv2 description:potassium channel, subfamily V, member 2 [Source:MGI Symbol;Acc:MGI:2670981] MLKQSNERRWSLSYKPWSTPETEDVPNTGSNQHRRSICSLGARTGSQASIAPQWTEGNYN YYIEEDEDCGEEGEDWKDDLAEENQKAECLTSLLDGHNDTPAQMSTLKVNVGGHSYLLEC CELANYPKTRLGRLATSTTRRGQLGLCDDYEAQTDEYFFDRDPAVFQLIYNFYTSGVLLV RDELCPRSFLEELGYWGVRLKYTPRCCRICFEERRDELSEQLKIQRELRAQAQAEEAEEL FRDMRFYGPQRQRLWNLMEKPFSSVAAKAMGVATNLFVLISVVALALNTVEEMQHQAEQG TGGGDPRPILEHVEMLCVAFFTLEFLLRLASTPNLQRFARSALNLVDLVAILPFYLQLLL ECFTSEDQRHNKDSPREHDLETVGRVGKVGQVLRIMRLMRIFRILKLARHSTGLRAFGFT LRQCYQQVGCLMLFITMGIFSFSAAVYSVEHDVPGTNFTSILHAWWWAAVSISTVGYGDM YPETHLGRLFAFLCIAFGIILNGMPISILYNKFSDYYSKLKAYEYTAIRRERGKVNFMQR ATKKMAECLSESHAQSTTRQEN >ENSMUSP00000038170.3 pep:known chromosome:GRCm38:13:54192129:54222432:1 gene:ENSMUSG00000034987.3 transcript:ENSMUST00000038101.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh2 description:histamine receptor H2 [Source:MGI Symbol;Acc:MGI:108482] MEPNGTVHSCCLDSIALKVTISVVLTTLIFITVAGNVVVCLAVSLNRRLRSLTNCFIVSL AATDLLLGLLVMPFSAIYQLSFKWSFGQVFCNIYTSLDVMLCTASILNLFMISLDRYCAV TDPLRYPVLVTPVRVAISLVFIWVISITLSFLSIHLGWNSRNGTRGGNDTFKCKVQVNEV YGLVDGMVTFYLPLLIMCVTYYRIFKIAREQAKRINHISSWKAATIREHKATVTLAAVMG AFIVCWFPYFTAFVYRGLRGDDAVNEVVEGIVLWLGYANSALNPILYATLNRDFRMAYQQ LFHCKLASHNSHKTSLRLNNSLLSRSQSREGRWQEEKPLKLQVWSGTELTHPQGSPVRTR LSHSSCLLSLSLLSFIWKLGTWIHHRRPFQPSLHISA >ENSMUSP00000142772.1 pep:known chromosome:GRCm38:5:9100668:9158080:1 gene:ENSMUSG00000079659.5 transcript:ENSMUST00000196029.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem243 description:transmembrane protein 243, mitochondrial [Source:MGI Symbol;Acc:MGI:3606159] MEDFATRTYGTSGLDNRPLFGETSAKFQDPAVSFGLWNTDIHAGKKHS >ENSMUSP00000111022.1 pep:known chromosome:GRCm38:5:9100747:9119045:1 gene:ENSMUSG00000079659.5 transcript:ENSMUST00000115365.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem243 description:transmembrane protein 243, mitochondrial [Source:MGI Symbol;Acc:MGI:3606159] MEDFATRTYGTSGLDNRPLFGETSAKDRIINLVVGSLTTLLILVTLISAFVFPQLPPKPL NIFFAVCISLSSITACILIYWYRQGDLEPKFRNLIYYILFSIIMLCICANLYFHDVGR >ENSMUSP00000143141.1 pep:known chromosome:GRCm38:5:9113248:9118983:1 gene:ENSMUSG00000079659.5 transcript:ENSMUST00000198935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem243 description:transmembrane protein 243, mitochondrial [Source:MGI Symbol;Acc:MGI:3606159] MLCICANLYFHDVGR >ENSMUSP00000109230.3 pep:known chromosome:GRCm38:6:87999106:88045270:-1 gene:ENSMUSG00000079477.9 transcript:ENSMUST00000113600.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7 description:RAB7, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105068] MTSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQ IWDTAGQERFQSLGVAFYRGADCCVLVFDVTAPNTFKTLDSWRDEFLIQASPRDPENFPF VVLGNKIDLENRQVATKRAQAWCYSKNNIPYFETSAKEAINVEQAFQTIARNALKQETEV ELYNEFPEPIKLDKNDRAKASAESCSC >ENSMUSP00000109228.1 pep:known chromosome:GRCm38:6:87999117:88045017:-1 gene:ENSMUSG00000079477.9 transcript:ENSMUST00000113598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7 description:RAB7, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105068] MTSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQ IWDTAGQERFQSLGVAFYRGADCCVLVFDVTAPNTFKTLDSWRDEFLIQASPRDPENFPF VVLGNKIDLENRQVATKRAQAWCYSKNNIPYFETSAKEAINVEQAFQTIARNALKQETEV ELYNEFPEPIKLDKNDRAKASAESCSC >ENSMUSP00000109227.1 pep:known chromosome:GRCm38:6:87999443:88019783:-1 gene:ENSMUSG00000079477.9 transcript:ENSMUST00000113597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7 description:RAB7, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105068] MTSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQ IWDTAGQERFQSLGVAFYRGADCCVLVFDVTAPNTFKTLDSWRDEFLIQASPRDPENFPF VVLGNKIDLENRQVATKRAQAWCYSKNNIPYFETSAKEAINVEQAFQTIARNALKQETEV ELYNEFPEPIKLDKNDRAKASAESCSC >ENSMUSP00000109226.1 pep:known chromosome:GRCm38:6:87999805:88045245:-1 gene:ENSMUSG00000079477.9 transcript:ENSMUST00000113596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7 description:RAB7, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105068] MTSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQ IWDTAGQERFQSLGVAFYRGADCCVLVFDVTAPNTFKTLDSWRDEFLIQASPRDPENFPF VVLGNKIDLENRQVATKRAQAWCYSKNNIPYFETSAKEAINVEQAFQTIARNALKQETEV ELYNEFPEPIKLDKNDRAKASAESCSC >ENSMUSP00000092658.3 pep:known chromosome:GRCm38:6:87999940:88019783:-1 gene:ENSMUSG00000079477.9 transcript:ENSMUST00000095048.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7 description:RAB7, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105068] MTSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQ IWDTAGQERFQSLGVAFYRGADCCVLVFDVTAPNTFKTLDSWRDEFLIQASPRDPENFPF VVLGNKIDLENRQVATKRAQAWCYSKNNIPYFETSAKEAINVEQAFQTIARNALKQETEV ELYNEFPEPIKLDKNDRAKASAESCSC >ENSMUSP00000145215.1 pep:known chromosome:GRCm38:6:88000364:88045198:-1 gene:ENSMUSG00000079477.9 transcript:ENSMUST00000203674.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7 description:RAB7, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105068] MTSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQ IWDTAGQERFQSLGVAFYRVLHRPRTHT >ENSMUSP00000145097.1 pep:known chromosome:GRCm38:6:88005096:88045231:-1 gene:ENSMUSG00000079477.9 transcript:ENSMUST00000204126.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab7 description:RAB7, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105068] MTSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVMVDDRLVTMQ IWDTAGQERFQSLGVAFYRGADCCVLVFDVTAPNTFKTL >ENSMUSP00000031045.6 pep:known chromosome:GRCm38:5:69516671:69542648:-1 gene:ENSMUSG00000029158.9 transcript:ENSMUST00000031045.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf7 description:Yip1 domain family, member 7 [Source:MGI Symbol;Acc:MGI:1922831] MSNPEQYDMGFYQSNYIIDNQEPSCNDSNAYGNVYGYREPQATEQPSSPAPPEMFLPSDY GGQLFQPASNLDYYSQSPSVDTFDEEPPLLEELGINFDHIWQKTLTVLNPMKPADGSIMN ETDLTGPILFCVALGATLLMAGKAQFGYVYGMSAIGCLGIHALLNLMSNSGVSYGCVASV LGYCLLPMVLLSSCAVFFSLQGTIGTMSALLIITWCSLSASKIFISALAMEGQQLLVAYP CALLYGLFALLTVF >ENSMUSP00000144444.1 pep:known chromosome:GRCm38:5:69519310:69542589:-1 gene:ENSMUSG00000029158.9 transcript:ENSMUST00000202423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf7 description:Yip1 domain family, member 7 [Source:MGI Symbol;Acc:MGI:1922831] MFLPSDYGGQLFQPASNLDYYSQSPSVDTFDEEPPLLEELGINFDHIWQKTLTVLNPMKP ADGSIMNETDLTGPILFCVALGATLLMAGKAQFGYVYGMSAIGCLGIHA >ENSMUSP00000035732.8 pep:known chromosome:GRCm38:1:115684756:116587323:1 gene:ENSMUSG00000070695.4 transcript:ENSMUST00000043725.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap5a description:contactin associated protein-like 5A [Source:MGI Symbol;Acc:MGI:3643623] MDSVPRLNSVLTLVLSGLWHLGLTATNYNCDDPLSNFLSLKAFSSSSDITGSSSSAQLNW RMGTGGWSPADSNAQQWLQIDLQNRVEITAVATQGRYGSSDWVTSYRLMFSDTGHNWQPY NQEDSIWTFVGNMNSDSVVHHKLLHSVRARFVRFVPLEWNPNGKIGMRVEAYGCSYRSDV ADFDGRSSLLYRFNQKTMSTLKDVISLKFKSMQGDGVLFHGEGQRGDHITLELQKGRLAL YLNLDDSKARLSSTVPLVILGSLLDDQHWHSVLLERVGKQANFTVDMNTQHFRTKGDTDS LDIDYELSFGGIPVPSKPGTFLKKNFHGCIENLYYNGVNIIDLAKRRKHQIYSGNVTFSC SEPQIVPITFINSRSSYLMLPGTPQIDGLSVSFQFRTWNEDGLLLSTELSEGSGTLLLIL EGGTLRLLIKKVARHGTEILTGSGLNDGLWHSVSINARRNRVTLTLDNDAASPAPDTSRI QIYSGKSYYFGGCPDNLTDSQCLNPIKAFQGCMRLIFIDNQPKDLISVQQGSLGSFSDLH IDLCSIKDRCLPNYCEHGGHCDQTWTTFYCNCSGTGYTGATCHDSIYEQSCEVYRHRGHT AGFFYVDSDGSGPLGPLQVYCNITEDKIWMTVQHNNTELTRVQGSSPENPYSMTLNYGGS MEQLEALIDGSEYCEQEVIYHCRRSRLLNTPDGAPFTWWIGRSTERHPYWGGAVPGVQQC GCGLEESCLDSRHFCNCDADIDEWANDTGLFSFKDHLPVTQIIIMDTNRTNSEAAWRIGP LRCYGDRHFWNAVSFSTEASYLHFPTFHTEFSADISFFFKTTALSGVFLENLGIKDFFRL EMSSPSEVTFAIDVGNGPIELLVQSPYPLNDNQWHYIRAETNLKETSLQVDNLPQSMREA SEGGHFRLQLNSQLFVGGTSSRQKGFLGCIRSLLLNGHKVDLEERAKVTSGVRPGCPGHC SSYGSNCHNGGKCVEKHTGYSCDCTNSPYEGPFCRKEISALFDSGTSVTYMFQEPYPVTK NTSLSSSAIYTDLAPFKETIMLSFMTTQAPTLLLYLNFSSQNFLAILLSRNGSLQVRYRL SKDESHVFNMDTENLANRRVHQVKISRDGPELSIQMDQQLFSYSFSPEVEFRTLRSLVLG KVTETLDLDPEVARANTLGFVGCLSSVQYNHIAPLKAALRHASIAPVTVQKTLTESSCGS MVDSDVNAVTTVHSLSDSFGKTDDHEPLQNAVRSDSAVIGGVIAVVTFITFCVIGIMTRF LYQHKQSHRTNQMKKEYPENLDNSFRNEIDLQNTATECKREYFI >ENSMUSP00000102266.1 pep:known chromosome:GRCm38:3:108195687:108200834:-1 gene:ENSMUSG00000048796.7 transcript:ENSMUST00000106655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561d1 description:cytochrome b-561 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919273] MHSMEVGLVPAPAREPRLTRWLRRGSGILAHLIALGFTIFLTVLSRPGTSLFSWHPVFMA LAFCLCMAEAILLFSPEHSLFFFCSRKTRIRLHWAGQTMAILCAVLGLGFIISSKIRSEM SHLVSWHSWIGALTLLATGGQALCGLCLLCPRAARVSRVARLKLYHLTCGLVVYLMATVT VLLGMYSVWFQAQIKGTAWYLCLGLPLYPALVIMHQISSSYLPRKKVEI >ENSMUSP00000070361.6 pep:known chromosome:GRCm38:3:108195771:108200834:-1 gene:ENSMUSG00000048796.7 transcript:ENSMUST00000065664.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561d1 description:cytochrome b-561 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919273] MALAFCLCMAEAILLFSPEHSLFFFCSRKTRIRLHWAGQTMAILCAVLGLGFIISSKIRS EMSHLVSWHSWIGALTLLATGGQALCGLCLLCPRAARVSRVARLKLYHLTCGLVVYLMAT VTVLLGMYSVWFQAQIKGTAWYLCLGLPLYPALVIMHQISSSYLPRKKVEI >ENSMUSP00000102265.1 pep:known chromosome:GRCm38:3:108198273:108200595:-1 gene:ENSMUSG00000048796.7 transcript:ENSMUST00000106654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561d1 description:cytochrome b-561 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919273] MALAFCLCMAEAILLFSPEHSLFFFCSRKTRIRLHWAGQTMAILCAVLGLGFIISSKIRS EMSHLVSWHSWIGALTLLATGGQALCGLCLLCPRAARVSRVARLKLYHLTCGLVVYLMAT VTVLLGMYSVWFQAQIKGTAWYLCLGLPLYPALVIMHQISSSYLPRKKVEI >ENSMUSP00000075957.6 pep:known chromosome:GRCm38:11:17938756:17953875:-1 gene:ENSMUSG00000016984.7 transcript:ENSMUST00000076661.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etaa1 description:Ewing tumor-associated antigen 1 [Source:MGI Symbol;Acc:MGI:1915395] MQLKDGGTGMSRRRKHADSPARRSTPHRAAAKNCRPAAEPWLRESRAACSSQLRRAGTRS ARRAQRQAAADGGRSPRGKETPVQIVKMDLLSCTFSSPNDPDGQTDIFWDQNSPMTKQLG KGRKKQISSAYSDEISHIVNRIAPQDEKPVTNSMLGVWIGETAIPCTPGVAKEKSRVKAS CTKLKTKNREKELMKLAQQFDKNMEELDVIQEQDGKNHDFIQMTSKMGHLDNHKDSVQKP SGDVVPEITCTPVKKQMKGDSRISLAKAQDSSQKPFDQNVEAAFNAIFDGSTQMCSGQLS QDLLDAFLNNSKTSLRKKNALLQEEIITTETLLTENLLNKTPISLSPQIDTTVILNSCVT PCPKTPAAPDTQLDELTANDFEDDWESLLGSEPFLMENAEMLEFVPSTTAQDTCQKAICT SVGENDTITSRTNMNLGGRLRDSKVTLDLPSKTRNGELRNAGEHRFSSHPGDESRKVPFT GNKVSFEKSVTSIVSKDEDYVAVSNLEKVKEDSRNKCILNKHSSNKSSSYTRYPSKQSSE LGVNLPLQVPTTDPFDSVFLGKENIVCSTNQSHGSKLNSSFDDWNDPLLASEMVEACHRL EATWDAGEVDDDLFCQACDDIERLTQQENKGSEESESVSYTSTRGSRSSSTASKQASQSA PSKHWNVVSSAVPLSLANKSQMSKPVTVQKRGRCGDGPNILDATNLSVCSKNSSDNKRGP VQVNSSKFVLGGSSNLNVNLGLMSTKIATNMKLSTQQLSHNSLADTAQNDNKILKLPKFT FKKKNPQLNQNHLVGSVPVGKISEDLGKRETVNSLLEANQQQSSINYSESLKPSSPDEEE RNRKYSPEEIQRKRQEALVRRKAKALHTVQSAPISLP >ENSMUSP00000034927.6 pep:known chromosome:GRCm38:9:91358058:91365768:-1 gene:ENSMUSG00000032368.14 transcript:ENSMUST00000034927.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic1 description:zinc finger protein of the cerebellum 1 [Source:MGI Symbol;Acc:MGI:106683] MLLDAGPQYPAIGVTTFGASRHHSAGDVAERDVGLGINPFADGMGAFKLNPSSHELASAG QTAFTSQAPGYAAAAALGHHHHPGHVGSYSSAAFNSTRDFLFRNRGFGDAAAAASAQHSL FAASAGGFGGPHGHTDAAGHLLFSGLHEQAAGHASPNVVNGQMRLGFSGDMYPRPEQYGQ VTSPRSEHYAAPQLHGYGPMNVNMAAHHGAGAFFRYMRQPIKQELICKWIEPEQLANPKK SCNKTFSTMHELVTHVTVEHVGGPEQSNHICFWEECPREGKPFKAKYKLVNHIRVHTGEK PFPCPFPGCGKVFARSENLKIHKRTHTGEKPFKCEFEGCDRRFANSSDRKKHMHVHTSDK PYLCKMCDKSYTHPSSLRKHMKVHESSSQGSQPSPAASSGYESSTPPTIVSPTTDNPTTS SMSPSSSAVHHTAGHSALSSNFNEWYV >ENSMUSP00000068858.3 pep:known chromosome:GRCm38:9:91360500:91365810:-1 gene:ENSMUSG00000032368.14 transcript:ENSMUST00000065360.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic1 description:zinc finger protein of the cerebellum 1 [Source:MGI Symbol;Acc:MGI:106683] MLLDAGPQYPAIGVTTFGASRHHSAGDVAERDVGLGINPFADGMGAFKLNPSSHELASAG QTAFTSQAPGYAAAAALGHHHHPGHVGSYSSAAFNSTRDFLFRNRGFGDAAAAASAQHSL FAASAGGFGGPHGHTDAAGHLLFSGLHEQAAGHASPNVVNGQMRLGFSGDMYPRPEQYGQ VTSPRSEHYAAPQLHGYGPMNVNMAAHHGAGAFFRYMRQPIKQELICKWIEPEQLANPKK SCNKTFSTMHELVTHVTVEHVGGPEQSNHICFWEECPREGKPFKAKYKLVNHIRVHTGEK PFPCPFPGCGKVFARSENLKIHKRTHTGEKPFKCEFEGCDRRFANSSDRKKHMHVHTSDK PYLCKMCDKSYTHPSSLRKHMKVHESSSQGSQPSPAASSGYESSTPPTIVSPTTDNPTTS SMSPSSSAVHHTAGHSALSSNFNEWYV >ENSMUSP00000142160.1 pep:known chromosome:GRCm38:1:136268252:136281750:-1 gene:ENSMUSG00000041570.14 transcript:ENSMUST00000194808.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap2 description:calmodulin regulated spectrin-associated protein family, member 2 [Source:MGI Symbol;Acc:MGI:1922434] XQPGSSASSSSGVKMTSFAEQKFRKLNHTDGKSSGSSSQKTTPEGSELNIPHVVSWAQIP EEAGVAPGRDTTQLLASEMVHLRMRLEEKRRAIEAQKKKMEAAFTKQRQKMGRTAFLTVV KKKGEGISPLREEAAGAEDEKVYTDRAKERESQKMDGQRSKSLADIKESMETPPGRWLKS PTTPVDPERQWNLTSPSEETLNEGEILEYTKSIEKLNSSLHFLQQEMQRLSLQQEMLMQM REQQAWVISPPQPSPQKQIRDFKPRQAGLSSAAAPFSSDSPRPTHPSPQSSTRKSASFSV KNQRTPRPNELKITPLNRTLTPPRSVDSLPRLRRFSPSQVPIQTRSFVCFGDDGEPQKEP KQKEEIKKEPSECKGTLGPCDHNPGEKEIKPVESTVSEVLSQPITETVCVTPNEDQLSQP TEPPPKPVFPPTAPKNVNLIEVSLSDLKPPEKADVSVEKLDGESDKEQFDDDQKVCCGFF FKDDQKAENDMAMKRAALLEKRLRREKETQLRKQQLEAEMEHKKEETRRKTEEERQKKED ERARREFIRQEYMRRKQLKLMEDMDTVIKPRPQAAKQKKQRPKSIHRDHIESPKTPIKGP PGSRISRVFSVSSLSLASLNTGDSESVHSGKRTPRSESVEGFLSPSRCGSRNGEKDWENA STTSSVASGTEYTGPKLYKEPSAKSNKHIIQNALAHCCLAGKVNEGQKKKILEEMEKSDA NNFLILFRDSGCQFRSLYTYCPETEEINKLTGIGPKSITKKMIEGLYKYNSDRKQFSHIP AKTLSASVDAITIHSHLWQTKRPVTPKKLLPTKA >ENSMUSP00000142166.1 pep:known chromosome:GRCm38:1:136269160:136345698:-1 gene:ENSMUSG00000041570.14 transcript:ENSMUST00000192001.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap2 description:calmodulin regulated spectrin-associated protein family, member 2 [Source:MGI Symbol;Acc:MGI:1922434] MGDAADPREMRRTFIVPAIKPFDHYDFSRAKIACNLAWLVAKAFGTENVPEELGDPFYTD QYDQEHIKPPVVNLLLSAELYCRAGSLILKSDAAKPLLGHDAVIQALAQKGLYVTDQEKL VTERDLHKKPIQMSAHLAMIDTLMMAYTVEMISIEKVIACAQQYSAFFQATDLPYDIEDA VMYWMNKVNEHLKDIMEQEQKSKEHHPAEAPGGQKARYRKEQTLLKQLPCIPLVENLLKD GTDGCALAALIHFYCPAVVRLEDICLKETMSLADSLYNLQLIQEFCQEYLNHCCHFSLED MLYAASSIKSNYLVFMAELFWWFEVVKPSFVQPRVVRPQGAEPAKDVPSVPVLNAAKRNI RDSSSSSDFSSRYTRPQTHSSASGGIRRSSSMSYVDGFIGTWPKEKRTSVHGVSFDISFD KEDSAQSSTPNRGIIRSVSNEGLTLNNSRASKHIRKNLSFKPVNGEEEESIEEELHVDPH GDLQSPMPLNTNELNSNESTHYKLPNGALQNRVLLDEFGNQIETPSIEEALQIIHDTERP PHTPRPDQIANGFFLHGQDLSILNSNIKLNQSSPDNLTDTKGALSPITDTTEVDTGIHVP SEDIPETMDEDSSLRDYTVSLDSDMDDASKLLQDYDLRASNPREALSPCPSTISTKSQPG SSASSSSGVKMTSFAEQKFRKLNHTDGKSSGSSSQKTTPEGSELNIPHVVSWAQIPEEAG VAPGRDTTQLLASEMVHLRMRLEEKRRAIEAQKKKMEAAFTKQRQKMGRTAFLTVVKKKG EGISPLREEAAGAEDEKVYTDRAKERESQKMDGQRSKSLADIKESMETPPGRWLKSPTTP VDPERQWNLTSPSEETLNEGEILEYTKSIEKLNSSLHFLQQEMQRLSLQQEMLMQMREQQ AWVISPPQPSPQKQIRDFKPRQAGLSSAAAPFSSDSPRPTHPSPQSSTRKSASFSVKNQR TPRPNELKITPLNRTLTPPRSVDSLPRLRRFSPSQVPIQTRSFVCFGDDGEPQKEPKQKE EIKKEPSECKGTLGPCDHNPGEKEIKPVESTVSEVLSQPITETVCVTPNEDQLSQPTEPP PKPVFPPTAPKNVNLIEVSLSDLKPPEKADVSVEKLDGESDKEQFDDDQKVCCGFFFKDD QKAENDMAMKRAALLEKRLRREKETQLRKQQLEAEMEHKKEETRRKTEEERQKKEDERAR REFIRQEYMRRKQLKLMEDMDTVIKPRPQAAKQKKQRPKSIHRDHIESPKTPIKGPPVSS LSLASLNTGDSESVHSGKRTPRSESVEGFLSPSRCGSRNGEKDWENASTTSSVASGTEYT GPKLYKEPSAKSNKHIIQNALAHCCLAGKVNEGQKKKILEEMEKSDANNFLILFRDSGCQ FRSLYTYCPETEEINKLTGIGPKSITKKMIEGLYKYNSDRKQFSHIPAKTLSASVDAITI HSHLWQTKRPVTPKKLLPTKA >ENSMUSP00000142299.1 pep:known chromosome:GRCm38:1:136270606:136345698:-1 gene:ENSMUSG00000041570.14 transcript:ENSMUST00000192314.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap2 description:calmodulin regulated spectrin-associated protein family, member 2 [Source:MGI Symbol;Acc:MGI:1922434] MGDAADPREMRRTFIVPAIKPFDHYDFSRAKIACNLAWLVAKAFGTENVPEELGDPFYTD QYDQEHIKPPVVNLLLSAELYCRAGSLILKSDAAKPLLGHDAVIQALAQKGLYVTDQEKL VTERDLHKKPIQMSAHLAMIDTLMMAYTVEMISIEKVIACAQQYSAFFQATDLPYDIEDA VMYWMNKVNEHLKDIMEQEQKSKEHHPAEAPGGQKSPSKWFWKLVPARYRKEQTLLKQLP CIPLVENLLKDGTDGCALAALIHFYCPAVVRLEDICLKETMSLADSLYNLQLIQEFCQEY LNHCCHFSLEDMLYAASSIKSNYLVFMAELFWWFEVVKPSFVQPRVVRPQGAEPAKDVPS VPVLNAAKRNIRDSSSSSDFSSRYTRPQTHSSASGGIRRSSSMSYVDGFIGTWPKEKRTS VHGVSFDISFDKEDSAQSSTPNRGIIRSVSNEGLTLNNSRASKHIRKNLSFKPVNGEEEE SIEEELHVDPHGDLQSPMPLNTNELNSNESTHYKLPNGALQNRVLLDEFGNQIETPSIEE ALQIIHDTERPPHTPRPDQIANGFFLHGQDLSILNSNIKLNQSSPDNLTDTKGALSPITD TTEVDTGIHVPSEDIPETMDEDSSLRDYTVSLDSDMDDASKLLQDYDLRASNPREALSPC PSTISTKSQPGSSASSSSGVKMTSFAEQKFRKLNHTDGKSSGSSSQKTTPEGSELNIPHV VSWAQIPEEAGVAPGRDTTQLLASEMVHLRMRLEEKRRAIEAQKKKMEAAFTKQRQKMGR TAFLTVVKKKGEGISPLREEAAGAEDEKVYTDRAKERESQKMDGQRSKSLADIKESMETP PGRWLKSPTTPVDPERQWNLTSPSEETLNEGEILEYTKSIEKLNSSLHFLQQEMQRLSLQ QEMLMQMREQQAWVISPPQPSPQKQIRDFKPRQAGLSSAAAPFSSDSPRPTHPSPQSSTR KSASFSVKNQRTPRPNELKITPLNRTLTPPRSVDSLPRLRRFSPSQVPIQTRSFVCFGDD GEPQKEPKQKEEIKKEPSECKGTLGPCDHNPGEKEIKPVESTVSEVLSQPITETVCVTPN EDQLSQPTEPPPKPVFPPTAPKNVNLIEVSLSDLKPPEKADVSVEKLDGESDKEQFDDDQ KVCCGFFFKDDQKAENDMAMKRAALLEKRLRREKETQLRKQQLEAEMEHKKEETRRKTEE ERQKKEDERARREFIRQEYMRRKQLKLMEDMDTVIKPRPQAAKQKKQRPKSIHRDHIESP KTPIKGPPVSSLSLASLNTGDSESVHSGKRTPRSESVEGFLSPSRCGSRNGEKDWENAST TSSVASGTEYTGPKLYKEPSAKSNKHIIQNALAHCCLAGKVNEGQKKKILEEMEKSDANN FLILFRDSGCQFRSLYTYCPETEEINKLTGIGPKSITKKMIEGLYKYNSDRKQFSHIPAK TLSASVDAITIHSHLWQTKRPVTPKKLLPTKA >ENSMUSP00000041920.6 pep:known chromosome:GRCm38:1:136268123:136346104:-1 gene:ENSMUSG00000041570.14 transcript:ENSMUST00000048309.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap2 description:calmodulin regulated spectrin-associated protein family, member 2 [Source:MGI Symbol;Acc:MGI:1922434] MGDAADPREMRRTFIVPAIKPFDHYDFSRAKIACNLAWLVAKAFGTENVPEELGDPFYTD QYDQEHIKPPVVNLLLSAELYCRAGSLILKSDAAKPLLGHDAVIQALAQKGLYVTDQEKL VTERDLHKKPIQMSAHLAMIDTLMMAYTVEMISIEKVIACAQQYSAFFQATDLPYDIEDA VMYWMNKVNEHLKDIMEQEQKSKEHHPAEAPGGQKAGLEHTFCHSPILIALIARYRKEQT LLKQLPCIPLVENLLKDGTDGCALAALIHFYCPAVVRLEDICLKETMSLADSLYNLQLIQ EFCQEYLNHCCHFSLEDMLYAASSIKSNYLVFMAELFWWFEVVKPSFVQPRVVRPQGAEP AKDVPSVPVLNAAKRNIRDSSSSSDFSSRYTRPQTHSSASGGIRRSSSMSYVDGFIGTWP KEKRTSVHGVSFDISFDKEDSAQSSTPNRGIIRSVSNEGLTLNNSRASKHIRKNLSFKPV NGEEEESIEEELHVDPHGDLQSPMPLNTNELNSNESTHYKLPNGALQNRVLLDEFGNQIE TPSIEEALQIIHDTERPPHTPRPDQIANGFFLHGQDLSILNSNIKLNQSSPDNLTDTKGA LSPITDTTEVDTGIHVPSEDIPETMDEDSSLRDYTVSLDSDMDDASKLLQDYDLRASNPR EALSPCPSTISTKSQPGSSASSSSGVKMTSFAEQKFRKLNHTDGKSSGSSSQKTTPEGSE LNIPHVVSWAQIPEEAGVAPGRDTTQLLASEMVHLRMRLEEKRRAIEAQKKKMEAAFTKQ RQKMGRTAFLTVVKKKGEGISPLREEAAGAEDEKVYTDRAKERESQKMDGQRSKSLADIK ESMETPPGRWLKSPTTPVDPERQWNLTSPSEETLNEGEILEYTKSIEKLNSSLHFLQQEM QRLSLQQEMLMQMREQQAWVISPPQPSPQKQIRDFKPRQAGLSSAAAPFSSDSPRPTHPS PQSSTRKSASFSVKNQRTPRPNELKITPLNRTLTPPRSVDSLPRLRRFSPSQVPIQTRSF VCFGDDGEPQKEPKQKEEIKKEPSECKGTLGPCDHNPGEKEIKPVESTVSEVLSQPITET VCVTPNEDQLSQPTEPPPKPVFPPTAPKNVNLIEVSLSDLKPPEKADVSVEKLDGESDKE QFDDDQKVCCGFFFKDDQKAENDMAMKRAALLEKRLRREKETQLRKQQLEAEMEHKKEET RRKTEEERQKKEDERARREFIRQEYMRRKQLKLMEDMDTVIKPRPQAAKQKKQRPKSIHR DHIESPKTPIKGPPVSSLSLASLNTGDSESVHSGKRTPRSESVEGFLSPSRCGSRNGEKD WENASTTSSVASGTEYTGPKLYKEPSAKSNKHIIQNALAHCCLAGKVNEGQKKKILEEME KSDANNFLILFRDSGCQFRSLYTYCPETEEINKLTGIGPKSITKKMIEGLYKYNSDRKQF SHIPAKTLSASVDAITIHSHLWQTKRPVTPKKLLPTKA >ENSMUSP00000110564.1 pep:known chromosome:GRCm38:X:49009707:49288212:-1 gene:ENSMUSG00000031109.16 transcript:ENSMUST00000114914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enox2 description:ecto-NOX disulfide-thiol exchanger 2 [Source:MGI Symbol;Acc:MGI:2384799] MTLPVSDPAAWATAMNNLGMAPLGIAGQPILPDFDPALGMMTGIPPITPMMPGLGIVPPP IPPDMPVAKEIIHCKSCTLFPPNPNLPPPATRERPPGCKTVFVGGLPENGTEQIIVEVFE QCGEIIAIRKSKKNFCHIRFAEEYMVDKALYLSGYRIRLGSSTDKKDTGRLHVDFAQARD DLYEWECKQRMLAREERHRRRMEEERMRPPSPPPVVHYSDHECSIVAEKLKDDSKFSEAV QTLLTWIERGEVNRRSANHFYSMIQSANSHVRRLVNEKATHEKEMEEAKEKFKQALSGIL IQFEQIVAVYHSASKQKAWDHFTKAQRKNISVWCKQAEEIRNIHNDELMGIRREEEMEMS DDEIEETTETKETEESALVSQAEALKEENDSLRWQLDAYRNEVELLKQEQGKAHREDDPN KEQQLKLLQQALQGMQQHLLKVQEEYKKKEAELDRIKDDNLQVEQLLENFHEKQENCGSR LCASSQEGEQPLEKTAVSNPVKSEREALLVGIISTFLHVHPFGASIEYICSYLNRLDNKA SYQIPSKLTTSPLLPISTSDVESLMSRLQHTFRQEMTGVGASLEKRWKFCGFEGLKLT >ENSMUSP00000110568.2 pep:known chromosome:GRCm38:X:49010458:49288242:-1 gene:ENSMUSG00000031109.16 transcript:ENSMUST00000114918.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enox2 description:ecto-NOX disulfide-thiol exchanger 2 [Source:MGI Symbol;Acc:MGI:2384799] MTLPVSDPAAWATAMNNLGMAPLGIAGQPILPDFDPALGMMTGIPPITPMMPGLGIVPPP IPPDMPVAKEIIHCKSCTLFPPNPNLPPPATRERPPGCKTVFVGGLPENGTEQIIVEVFE QCGEIIAIRKSKKNFCHIRFAEEYMVDKALYLSGYRIRLGSSTDKKDTGRLHVDFAQARD DLYEWECKQRMLAREERHRRRMEEERMRPPSPPPVVHYSDHECSIVAEKLKDDSKFSEAV QTLLTWIERGEVNRRSANHFYSMIQSANSHVRRLVNEKATHEKEMEEAKEKFKQALSGIL IQFEQIVAVYHSASKQKAWDHFTKAQRKNISVWCKQAEEIRNIHNDELMGIRREEEMEMS DDEIEETTETKETEESALVSQAEALKEENDSLRWQLDAYRNEVELLKQEQGKAHREDDPN KEQQLKLLQQALQGMQQHLLKVQEEYKKKEAELDRIKDDNLQVEQLLENFHEKQENCGSR LCASSQEGEQPLEKTAVSNPVKSEREALLVGIISTFLHVHPFGASIEYICSYLNRLDNKA SYQIPSKLTTSPLLPISTSDVESLMSRLQHTFRQEMTGVGASLEKRWKFCGFEGLKLT >ENSMUSP00000033437.8 pep:known chromosome:GRCm38:X:49010458:49288242:-1 gene:ENSMUSG00000031109.16 transcript:ENSMUST00000033437.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enox2 description:ecto-NOX disulfide-thiol exchanger 2 [Source:MGI Symbol;Acc:MGI:2384799] MTLPVSDPAAWATAMNNLGMAPLGIAGQPILPDFDPALGMMTGIPPITPMMPGLGIVPPP IPPDMPVAKEIIHCKSCTLFPPNPNLPPPATRERPPGCKTVFVGGLPENGTEQIIVEVFE QCGEIIAIRKSKKNFCHIRFAEEYMVDKALYLSGYRIRLGSSTDKKDTGRLHVDFAQARD DLYEWECKQRMLAREERHRRRMEEERMRPPSPPPVVHYSDHECSIVAEKLKDDSKFSEAV QTLLTWIERGEVNRRSANHFYSMIQSANSHVRRLVNEKATHEKEMEEAKEKFKQALSGIL IQFEQIVAVYHSASKQKAWDHFTKAQRKNISVWCKQAEEIRNIHNDELMGIRREEEMEMS DDEIEETTETKETEESALVSQAEALKEENDSLRWQLDAYRNEVELLKQEQGKAHREDDPN KEQQLKLLQQALQGMQQHLLKVQEEYKKKEAELDRIKDDNLQVEQLLENFHEKQENCGSR LCASSQEGEQPLEKTAVSNPVKSEREALLVGIISTFLHVHPFGASIEYICSYLNRLDNKA SYQIPSKLTTSPLLPISTSDVESLMSRLQHTFRQEMTGVGASLEKRWKFCGFEGLKLT >ENSMUSP00000110561.1 pep:known chromosome:GRCm38:X:49011017:49288242:-1 gene:ENSMUSG00000031109.16 transcript:ENSMUST00000114911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enox2 description:ecto-NOX disulfide-thiol exchanger 2 [Source:MGI Symbol;Acc:MGI:2384799] MTLPVSDPAAWATAMNNLGMAPLGIAGQPILPDFDPALGMMTGIPPITPMMPGLGIVPPP IPPDMPVAKEIIHCKSCTLFPPNPNLPPPATRERPPGCKTVFVGGLPENGTEQIIVEVFE QCGEIIAIRKSKKNFCHIRFAEEYMVDKALYLSGYRIRLGSSTDKKDTGRLHVDFAQARD DLYEWECKQRMLAREERHRRRMEEERMRPPSPPPVVHYSDHECSIVAEKLKDDSKFSEAV QTLLTWIERGEVNRRSANHFYSMIQSANSHVRRLVNEKATHEKEMEEAKEKFKQALSGIL IQFEQIVAVYHSASKQKAWDHFTKAQRKNISVWCKQAEEIRNIHNDELMGIRREEEMEMS DDEIEETTETKETEESALVSQAEALKEENDSLRWQLDAYRNEVELLKQEQGKAHREDDPN KEQQLKLLQQALQGMQQHLLKVQEEYKKKEAELDRIKDDNLQQENCGSRLCASSQEGEQP LEKTAVSNPVKSEREALLVGIISTFLHVHPFGASIEYICSYLNRLDNKASYQIPSKLTTS PLLPISTSDVESLMSRLQHTFRQEMTGVGASLEKRWKFCGFEGLKLT >ENSMUSP00000110562.1 pep:known chromosome:GRCm38:X:49010458:49288242:-1 gene:ENSMUSG00000031109.16 transcript:ENSMUST00000114912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enox2 description:ecto-NOX disulfide-thiol exchanger 2 [Source:MGI Symbol;Acc:MGI:2384799] MTLPVSDPAAWATAMNNLGMAPLGIAGQPILPDFDPALGMMTGIPPITPMMPGLGIVPPP IPPDMPVAKEIIHCKSCTLFPPNPNLPPPATRERPPGCKTVFVGGLPENGTEQIIVEVFE QCGEIIAIRKSKKNFCHIRFAEEYMVDKALYLSGYRIRLGSSTDKKDTGRLHVDFAQARD DLYEWECKQRMLAREERHRRRMEEERMRPPSPPPVVHYSDHECSIVAEKLKDDSKFSEAV QTLLTWIERGEVNRRSANHFYSMIQSANSHVRRLVNEKATHEKEMEEAKEKFKQALSGIL IQFEQIVAVYHSASKQKAWDHFTKAQRKNISVWCKQAEEIRNIHNDELMGIRREEEMEMS DDEIEETTETKETEESALVSQAEALKEENDSLRWQLDAYRNEVELLKQEQGKAHREDDPN KEQQLKLLQQALQGMQQHLLKVQEEYKKKEAELDRIKDDNLQVEQLLENFHEKQENCGSR LCASSQEGEQPLEKTAVSNPVKSEREALLVGIISTFLHVHPFGASIEYICSYLNRLDNKI STSDVESLMSRLQHTFRQEMTGVGASLEKRWKFCGFEGLKLT >ENSMUSP00000128885.1 pep:known chromosome:GRCm38:X:49009707:49080752:-1 gene:ENSMUSG00000031109.16 transcript:ENSMUST00000167659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enox2 description:ecto-NOX disulfide-thiol exchanger 2 [Source:MGI Symbol;Acc:MGI:2384799] MTLPVSDPAAWATAMNNLGMAPLGIAGQPILPDFDPALGMMTGIPPITPMMPGLGIVPPP IPPDMPVAKEIIHCKSCTLFPPNPNLPPPATRERPPGCKTVFVGGLPENGTEQIIVEVFE QCGEIIAIRKSKKNFCHIRFAEEYMVDKALYLSGYRIRLGSSTDKKDTGRLHVDFAQARD DLYEWECKQRMLAREERHRRRMEEERMRPPSPPPVVHYSDHECSIVAEKLKDDSKFSEAV QTLLTWIERGEVNRRSANHFYSMIQSANSHVRRLVNEKATHEKEMEEAKEKFKQALSGIL IQFEQIVAVYHSASKQKAWDHFTKAQRKNISVWCKQAEEIRNIHNDELMGIRREEEMEMS DDEIEETTETKETEESALVSQAEALKEENDSLRWQLDAYRNEVELLKQEQGKAHREDDPN KEQQLKLLQQALQGMQQHLLKVQEEYKKKEAELDRIKDDNLQVEQLLENFHEKQENCGSR LCASSQEGEQPLEKTAVSNPVKSEREALLVGIISTFLHVHPFGASIEYICSYLNRLDNKA SYQIPSKLTTSPLLPISTSDVESLMSRLQHTFRQEMTGVGASLEKRWKFCGFEGLKLT >ENSMUSP00000022182.4 pep:known chromosome:GRCm38:13:95696853:95702739:1 gene:ENSMUSG00000021675.4 transcript:ENSMUST00000022182.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F2rl2 description:coagulation factor II (thrombin) receptor-like 2 [Source:MGI Symbol;Acc:MGI:1298208] MKILILVAAGLLFLPVTVCQSGINVSDNSAKPTLTIKSFNGGPQNTFEEFPLSDIEGWTG ATTTIKAECPEDSISTLHVNNATIGYLRSSLSTQVIPAIYILLFVVGVPANIVTLWKLSL RTKSISLVIFHTNLAIADLLFCVTLPFKIAYHLNGNNWVFGEVTCRITTVVFYGNMYCAI LILTCMGINRYLATAHPFTYQKLPKRSFSMLMCGMVWVMVFLYMLPFVILKQEYHLVHSE ITTCHDVVDACESPSSFRFYYFVSLAFFGFLIPFVIIIFCYTTLIHKLKSKDRIWLGYIK AVLLILVIFTICFAPTNIILVIHHANYYYHNTDSLYFMYLIALCLGSLNSCLDPFLYFVM SKVVDQLNP >ENSMUSP00000020753.3 pep:known chromosome:GRCm38:11:29547950:29578367:1 gene:ENSMUSG00000020461.10 transcript:ENSMUST00000020753.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clhc1 description:clathrin heavy chain linker domain containing 1 [Source:MGI Symbol;Acc:MGI:1920574] MSVQEVNTHAVLPPIVSRNDKEFLESIQRYITTETKRVGCKEEGPADEYYTIYRNVFDKF SATDRKIIFQVIDYVSAYKSILTAIKKEYDAFIETIKKGRRTAFYLHGKLKVLAKEPTAL VYHQRRAIQLEAKMRIIENNSTAIQLQIDQMKQLRMEYDKKEVKLCAPSRQLWKPIPGMT LQDSVNLEALNKHKQYLEDKYIKLKQDMSTMYVPAQKKAELDEEMVVLLNRRDIAENLKK DRQFRHQRLQVISHTLTPWMKQNMRISFQDVMERIRKTKAIYGYDNIVDEIFEDDPNKKK EAIVMLHYIERFNDLISLGEYERAACFAANSPKRILQNTSTMNKFKAIGKIRGKPLPLLL FFEAIFNTSQAFKRPINADLTMEGIKCGLSEERLDLVTHWVTQEKLTFSEKAGDIIFAYG EQHTYHKPRCLALAQIIYNECGLHRKALLCLCKQGQIHEAMEHIQQSKDINTDDLIQLIT ACPQIDLIRCLTQERNEKPPFLSFGLAVLHMFSVDMKKVGMRLLQEVSKGEKDVIEHLVM SDLFCSLEKWQEIANICLQNGFKILFNDIMSILRSQAGVSEISEDDTTNIMEHVFW >ENSMUSP00000147007.1 pep:known chromosome:GRCm38:11:29548026:29578338:1 gene:ENSMUSG00000020461.10 transcript:ENSMUST00000208530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clhc1 description:clathrin heavy chain linker domain containing 1 [Source:MGI Symbol;Acc:MGI:1920574] MSVQEVNTHAVLPPIVSRNDKEFLESIQRYITTETKRVGCKEEGPADEYYTIYRNVFDKV IDYVSAYKSILTAIKKEYDAFIETIKKGRRTAFYLHGKLKVLAKEPTALVYHQRRAIQLE AKMRIIENNSTAIQLQIDQMKQLRMEYDKKEVKLCAPSRQLWKPIPGMTLQDSVNLEALN KHKQYLEDKYIKLKQDMSTMYVPAQKKAELDEEMVVLLNRRDIAENLKKDRQFRHQRLQV ISHTLTPWMKQNMRISFQDVMERIRKTKAIYGYDNIVDEIFEDDPNKKKEAIVMLHYIER FNDLISLGEYERAACFAANSPKRILQNTSTMNKFKAIGKIRGKPLPLLLFFEAIFNTSQA FKRPINADLTMEGIKCGLSEERLDLVTHWVTQEKLTFSEKAGDIIFAYGEQHTYHKPRCL ALAQIIYNECGLHRKALLCLCKQGQIHEAMEHIQQSKDINTDDLIQLITACPQIDLIRCL TQERNEKPPFLSFGLAVLHMFSVDMKKVGMRLLQEVSKGEKDVIEHLVMSDLFCSLEKWQ EIANICLQNGFKILFNDIMSILRSQAGVSEISEDDTTNIMEHVFW >ENSMUSP00000040248.5 pep:known chromosome:GRCm38:13:21513222:21531120:-1 gene:ENSMUSG00000063894.14 transcript:ENSMUST00000045228.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan8 description:zinc finger with KRAB and SCAN domains 8 [Source:MGI Symbol;Acc:MGI:1913815] MATEPRKPSSAPSPPDQAPEEDLVIVKIEEDHGWDEESGVHENNTPGQELFRLRFRQLCY QETLGPREALIQLRAFCHQWLRPDLNSKEQILELLVLEQFLTILPGELQALVKEHQLQNG EEVVTLLEDLERQINVLGRPVSTHTHGHRVLWDEVIPSKSASEPPSIHVQSLATVPKSPV PQKPQDRGKRPDFTYNAMSSSQKPTPSQKGSSGDQEVTARLLTAGFQTLERIEDMAVSLI REEWLLDPSQKDLNRDNRPEKYRNMFSLDGETRSENKELFPKQGISPGIQPHGEATAKCN GDVIVGLAHGETQDLLGKLERHQGNPTQERRHKCDECGKSFAQNSGLVRHWRIHTGEKPY QCTVCGKAFSYRSALLSHQDIHNKVKRYHCKECGKAFSQNTGLILHQRIHTGEKPYQCNQ CGKAFSQSAGLILHQRIHSGERPYECNECGKAFSHSSHLIGHQRIHTGEKPYECDECGKT FRRSSHLIGHQRSHTGEKPYKCNECGRAFSQKSGLIEHQRIHTGERPYKCKECGKAFNGN TGLIQHLRIHTGEKPYQCSECRKAFIQRSSLIRHQRIHSAEKPQSTGV >ENSMUSP00000116058.2 pep:known chromosome:GRCm38:13:21521831:21531032:-1 gene:ENSMUSG00000063894.14 transcript:ENSMUST00000156674.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan8 description:zinc finger with KRAB and SCAN domains 8 [Source:MGI Symbol;Acc:MGI:1913815] MATEPRKPSSAPSPPDQAPEEDLVIVKIEEDHGWDEESGVHENNTPGQELFRLRFRQLCY QETLGPREALIQLRAFCHQWLRPDLNSKEQILELLVLEQFLTILPGELQALVKEHQLQNG EEVVTLLEDLERQINVLGRPVSTHTHGHRVLWDEVIPSKSASEPPSIHVQSLATVPKSPV PQKPQDRGKRPDFTYNAMSSSQKPTPSQKGSSGDQEVTARLLTAGFQTLERIEDMAVSLI REEWLLDPSQKDL >ENSMUSP00000106107.2 pep:known chromosome:GRCm38:13:21526423:21531073:-1 gene:ENSMUSG00000063894.14 transcript:ENSMUST00000110481.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan8 description:zinc finger with KRAB and SCAN domains 8 [Source:MGI Symbol;Acc:MGI:1913815] MATEPRKPSSAPSPPDQAPEEDLVIVKIEEDHGWDEESGVHENNTPGQELFRLRFRQLCY QETLGPREALIQLRAFCHQWLRPDLNSKEQILELLVLEQFLTILPGELQALVKEHQLQNG EEVVTLLEDLERQINVLGRPVSSCACCD >ENSMUSP00000048825.8 pep:known chromosome:GRCm38:10:80567124:80577321:-1 gene:ENSMUSG00000035397.8 transcript:ENSMUST00000038558.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf16 description:Kruppel-like factor 16 [Source:MGI Symbol;Acc:MGI:2153049] MSAAVACVDYFAADVLMAISSGAVVHRGRPGPEGAGPAAGLDVRATRREATPPGTPGAPP PPATAPGPGGATAAPHLLAASILADLRGGPVVATAASTAGGTSPVSSSSAASSPSSGRAP GAAKSHRCPFHGCAKAYYKSSHLKSHLRTHTGERPFACDWPGCDKKFARSDELARHHRTH TGEKRFPCPLCTKRFTRSDHLTKHARRHPGFRPELLRRPGARSVSPSDSLPCSLAGSPTP SPVPSPAPAGL >ENSMUSP00000133974.1 pep:known chromosome:GRCm38:9:91362413:91378915:1 gene:ENSMUSG00000036972.14 transcript:ENSMUST00000173342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic4 description:zinc finger protein of the cerebellum 4 [Source:MGI Symbol;Acc:MGI:107201] MKYKTSLVMRKRLRLYRNTLKESSSSCGHHGPQLAASSNPSVLPGLHEQPPQASHSRPLN GLLRLGI >ENSMUSP00000134364.1 pep:known chromosome:GRCm38:9:91368812:91379257:1 gene:ENSMUSG00000036972.14 transcript:ENSMUST00000173054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic4 description:zinc finger protein of the cerebellum 4 [Source:MGI Symbol;Acc:MGI:107201] MKYKTSLVMRKRLRLYRNTLKESSSSCGHHGPQLAASSNPSVLPGLHEQPPQASHSRPLN GLLRLGIPGDMYARSEPFAPGPMARSDTLATATALHGYGGMNLTMNLTAPHGPGAFFRYM RQPIKQELICKWLGDDSPMSPRPCSKTFSTMHELVTHVTVEHVGGPEQANHICFWEECPR Q >ENSMUSP00000134053.1 pep:known chromosome:GRCm38:9:91368970:91387793:1 gene:ENSMUSG00000036972.14 transcript:ENSMUST00000172646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic4 description:zinc finger protein of the cerebellum 4 [Source:MGI Symbol;Acc:MGI:107201] MKYKTSLVMRKRLRLYRNTLKESSSSCGHHGPQLAASSNPSVLPGLHEQPPQASHSRPLN GLLRLGIPGDMYARSEPFAPGPMARSDTLATATALHGYGGMNLTMNLTAPHGPGAFFRYM RQPIKQELICKWLGDDSPMSPRPCSKTFSTMHELVTHVTVEHVGGPEQANHICFWEECPR QGKPFKAKYKLVNHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEKPFRCEFEG CERRFANSSDRKKHSHVHTSDKPYMCKVRGCDKCYTHPSSLRKHMKVHGRSPPPSSGYDS AITSALASPSLESGREPSVACSAAVVVRGTDVSE >ENSMUSP00000133808.1 pep:known chromosome:GRCm38:9:91371004:91379189:1 gene:ENSMUSG00000036972.14 transcript:ENSMUST00000174212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic4 description:zinc finger protein of the cerebellum 4 [Source:MGI Symbol;Acc:MGI:107201] MKYKTSLVMRKRLRLYRNTLKESSSSCGHHGPQLAASSNPSVLPGLHEQPPQASHSRPLN GLLRLGIPGDMYARSEPFAPGPMARSDTLATATALHGYGGMNLTMNLTAPHGPGAFFRYM RQPIKQELICKWLGDDSPMSPRPCSKTFSTMHELVTHV >ENSMUSP00000133958.1 pep:known chromosome:GRCm38:9:91371213:91386958:1 gene:ENSMUSG00000036972.14 transcript:ENSMUST00000173933.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic4 description:zinc finger protein of the cerebellum 4 [Source:MGI Symbol;Acc:MGI:107201] MKYKTSLVMRKRLRLYRNTLKESSSSCGHHGPQLAASSNPSVLPGLHEQPPQASHSRPLN GLLRLGIPGDMYARSEPFAPGPMARSDTLATATALHGYGGMNLTMNLTAPHGPGAFFRYM RQPIKQELICKWLGDDSPMSPRPCSKTFSTMHELVTHVTVEHVGGPEQANHICFWEECPR QGKPFKAKYKLVNHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEKPFRCEFEG CERRFANSSDRKKHSHVHTSDKPYMCKVRGCDKCYTHPSSLRKHMKVHGRSPPPSSGYDS AITSALASPSLESGREPSVACSAAVVVRGTDVSE >ENSMUSP00000069568.5 pep:known chromosome:GRCm38:9:91378642:91389348:1 gene:ENSMUSG00000036972.14 transcript:ENSMUST00000066384.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic4 description:zinc finger protein of the cerebellum 4 [Source:MGI Symbol;Acc:MGI:107201] MRLGRVCPRGPGKVRSPRHRFSCTLFVSTTGSSCGHHGPQLAASSNPSVLPGLHEQPPQA SHSRPLNGLLRLGIPGDMYARSEPFAPGPMARSDTLATATALHGYGGMNLTMNLTAPHGP GAFFRYMRQPIKQELICKWLGDDSPMSPRPCSKTFSTMHELVTHVTVEHVGGPEQANHIC FWEECPRQGKPFKAKYKLVNHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEKP FRCEFEGCERRFANSSDRKKHSHVHTSDKPYMCKVRGCDKCYTHPSSLRKHMKVHGRSPP PSSGYDSAITSALASPSLESGREPSVACSAAVVVRGTDVSE >ENSMUSP00000060602.1 pep:known chromosome:GRCm38:2:89816328:89817260:1 gene:ENSMUSG00000045148.4 transcript:ENSMUST00000057369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1255 description:olfactory receptor 1255 [Source:MGI Symbol;Acc:MGI:3031089] MNDTEHMENKRNVTEFILIGLTQNPQMQKVVFVTFLLLYMITISGNLLIVVTVINSQALN SPMYFFLSHLSLIDTIYTSSSAPKLIADSLQENKVISFNGCMAQVYAEHIFGATEIILLT VMAYDRYVAICKPLHYMTIMSHKLCILLVGVAWTGGFLHATIQILFTVWLPFCGPNIIDH FMCDLYPLLELVCMDTHTLGLFVAANSGFICLFNFLLLMGSYVIILRSLKNYSLEGRRKA LSTCVSHITVVVLSFIPCIFVYLRPVTTLPIDKAVAVFYTLVAPLLNPLIYTLRNSEVKN AIKKLWRKKI >ENSMUSP00000010795.4 pep:known chromosome:GRCm38:9:119148023:119157093:-1 gene:ENSMUSG00000010651.4 transcript:ENSMUST00000010795.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaa1b description:acetyl-Coenzyme A acyltransferase 1B [Source:MGI Symbol;Acc:MGI:3605455] MHRLQVVLGHLAGRPESSSALQAAPCSAGFLQASASDVVVVHGRRTPIGRASRGCFKDTT PDELLSAVLTAVLQDVKLKPEQLGDISVGNVLQPGAGAIMARIAQFLSGIPETVPLSTVN RQCSSGLQAVANIAGGIRNGSYDIGMACGVESMTLSQRGNHGNISSRLLENEKARDCLIP MGITSENVAERFGVSRQKQDAFALASQQKAASAQSRGCFHAEIVPVTTTVLNDKGDKKTI TVSQDEGVRPSTTMQGLAKLKPAFKDGGSTTAGNSSQVSDGAAAVLLARRSKAEELGLPI LGVLRSYAVVGVPPDVMGIGPAYAIPAALQKAGLTVNDIDIFEINEAFASQAVYCVEKLG IPAEKVNPLGGAIALGHPLGCTGARQVVTLLNELKRRGRRAYGVVSMCIGTGMGAAAVFE YPGN >ENSMUSP00000099170.3 pep:known chromosome:GRCm38:X:35067384:35090316:1 gene:ENSMUSG00000073247.3 transcript:ENSMUST00000101647.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10486 description:predicted gene 10486 [Source:MGI Symbol;Acc:MGI:3710516] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000147154.1 pep:known chromosome:GRCm38:7:6363280:6381709:1 gene:ENSMUSG00000055150.15 transcript:ENSMUST00000207347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp78 description:zinc finger protein 78 [Source:MGI Symbol;Acc:MGI:107783] MTVELMKAMLQEAVTFNDVAIDFSPEEWDWLNPAQRSLYRTVVLENYRNLVSVGACISKP SLISLLEHGKEPWEVKGQVTGSRVQGGKSVQKTHELSLRQLVFEDVAVENTTSLGCESLT SGRKQKCKDLLDRQMSQEIFNLKETVTHKGTHTKEIGYKHTESLKSAHLDSVEENIYTHK SNKKSFSKNPKVWKYKKIWAGKTIFKCNECEKTFTHSSAVTVHQRIHTGEKPYQCTVCGK AFKQSHHLAQHHTTHTHEKLFQCEECKKAFSQNSYLTVHRRIHTGEKPYKCKECAKSFRQ PAHLAQHHRIHTGEKPYKCEICGKAFNHSMSLTGHQRVHSGEKPYKCKDCGKAFRQSIHL VVHSRIHTGEEPYECNECGKTFRESSQLTIHQRNHTGEKPFECKQCGKFFNRSAYLARHQ KIHTGEKPYECNECGKTFTHAAYLIRHKRVHTGEKPYKCAECGKAFGDGSSRAQHQRLHT GQRPYVCEKCGRAFRRKSSLNCHQRYHTGKKL >ENSMUSP00000147228.1 pep:known chromosome:GRCm38:7:6363310:6380917:1 gene:ENSMUSG00000055150.15 transcript:ENSMUST00000208390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp78 description:zinc finger protein 78 [Source:MGI Symbol;Acc:MGI:107783] MTVELMKAMLQEAVTFNDVAIDFSPEEWDWLNPAQRSLYRTVVLENYRNLVSVGGKSVQK THELSLRQLVFEDVAVENTTSLGCESLTSGRKQKCKDLLDRQMSQEIFNLKETVTHKGTH TKEIGYKHTESLKSAHLDSVEENIYTHKSNKKSFSKNPKVWKYKKIWAGKTIFKCNECEK TFTHSSAVTVHQRIHTGEKPYQCTVCGKAFKQSHHLAQHHTTHTHEKLFQCEECKKAFSQ NSYLTVHRRIHTGEKPYKCKECAKSFRQPAHLAQHHRIHTGEKPYKCEICGKAFNHSMSL TGHQRVHSGEKPYKCKDCGKAFRQSIHLVVHSRIHTGEEPYECNECGKTFRESSQLTIHQ RNHTGEKPFECKQCGKFFNRSAYLARHQKIHTGEKPYECNECGKTFTHAAYLIRHKRVHT GEKPYKCAECGKAFGDGSSRAQHQRLHTGQRPYVCEKCGRAFRRKSSLNCHQRYHTGKKL >ENSMUSP00000146611.1 pep:known chromosome:GRCm38:7:6363332:6378340:1 gene:ENSMUSG00000055150.15 transcript:ENSMUST00000208763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp78 description:zinc finger protein 78 [Source:MGI Symbol;Acc:MGI:107783] MTVELMKAMLQEAVTFNDVAIDFSPEEWDWLNPAQRSLYRTVVLENYRNLVSVGACISKP SLISLLEHGKEPWEVKGQVTGSRVQGGKSVQKTHELSLRQLVFEDVAVENTTSLGCESLT SGRKQKCKD >ENSMUSP00000146592.1 pep:known chromosome:GRCm38:7:6363336:6379908:1 gene:ENSMUSG00000055150.15 transcript:ENSMUST00000208030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp78 description:zinc finger protein 78 [Source:MGI Symbol;Acc:MGI:107783] MTVELMKAMLQEAVTFNDVAIDFSPEEWDWLNPAQRSLYRTVVLENYRNLVSVGGKSVQK THELSLRQLVFEDVAVENTTSLGCESLTSGRKQKCKDLLDRQMSQEIFNLKETVTHKGTH TKEIGYKHTESLKSAHLDSVEENIYTHKSNKKSFSKNPKVWKYKKIWAGKTIFKCNECEK TFTHSSAVTVHQRIHTGEKPYQCTVCGKAFKQSHHLAQHHTTHTHEKLFQCEECKKAFSQ NSYLTVHRRIHTGEKPYKCKECAKSFRQPAHLAQHHRIHTGEKPYKCEICGKAFNHSMSL TGHQRVHSGEKPYKCKDCGKAFRQSIHLVVHSRIHTGEEPYECNECGKTFRESSQLTIHQ RNHTGEKPFECKQCGKFFNRSAYLARHQKIHTGEKPYECNECGKTFTHAAYLIRHKRVHT GEKPYKCAECGKAFGDGSSRAQHQRLHTGQRPYVCEKCGRAFRRKSSLNCHQRYHTGKKL >ENSMUSP00000146578.1 pep:known chromosome:GRCm38:7:6363380:6382605:1 gene:ENSMUSG00000055150.15 transcript:ENSMUST00000207314.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp78 description:zinc finger protein 78 [Source:MGI Symbol;Acc:MGI:107783] MTVELMKAMLQEAVTFNDVAIDFSPEEWDWLNPAQRSLYRTVVLENYRNLVSVGGKSVQK THELSLRQLVFEDVAVENTTSLGCESLTSGRKQKCKDLLDRQMSQEIFNLKETVTHKGTH TKEIGYKHTESLKSAHLDSVEENIYTHKSNKKSFSKNPKVWKYKKIWAGKTIFKCNECEK TFTHSSAVTVHQRIHTGEKPYQCTVCGKAFKQSHHLAQHHTTHTHEKLFQCEECKKAFSQ NSYLTVHRRIHTGEKPYKCKECAKSFRQPAHLAQHHRIHTGEKPYKCEICGKAFNHSMSL TGHQRVHSGEKPYKCKDCGKAFRQSIHLVVHSRIHTGEEPYECNECGKTFRESSQLTIHQ RNHTGEKPFECKQCGKFFNRSAYLARHQKIHTGEKPYECNECGKTFTHAAYLIRHKRVHT GEKPYKCAECGKAFGDGSSRAQHQRLHTGQRPYVCEKCGRAFRRKSSLNCHQRYHTGKKL >ENSMUSP00000083503.4 pep:known chromosome:GRCm38:7:6371364:6379491:1 gene:ENSMUSG00000055150.15 transcript:ENSMUST00000086323.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp78 description:zinc finger protein 78 [Source:MGI Symbol;Acc:MGI:107783] MTVELMKAMLQEAVTFNDVAIDFSPEEWDWLNPAQRSLYRTVVLENYRNLVSVGGKSVQK THELSLRQLVFEDVAVENTTSLGCESLTSGRKQKCKDLLDRQMSQEIFNLKETVTHKGTH TKEIGYKHTESLKSAHLDSVEENIYTHKSNKKSFSKNPKVWKYKKIWAGKTIFKCNECEK TFTHSSAVTVHQRIHTGEKPYQCTVCGKAFKQSHHLAQHHTTHTHEKLFQCEECKKAFSQ NSYLTVHRRIHTGEKPYKCKECAKSFRQPAHLAQHHRIHTGEKPYKCEICGKAFNHSMSL TGHQRVHSGEKPYKCKDCGKAFRQSIHLVVHSRIHTGEEPYECNECGKTFRESSQLTIHQ RNHTGEKPFECKQCGKFFNRSAYLARHQKIHTGEKPYECNECGKTFTHAAYLIRHKRVHT GEKPYKCAECGKAFGDGSSRAQHQRLHTGQRPYVCEKCGRAFRRKSSLNCHQRYHTGKKL >ENSMUSP00000104199.2 pep:known chromosome:GRCm38:7:6371364:6379491:1 gene:ENSMUSG00000055150.15 transcript:ENSMUST00000108559.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp78 description:zinc finger protein 78 [Source:MGI Symbol;Acc:MGI:107783] MTVELMKAMLQEAVTFNDVAIDFSPEEWDWLNPAQRSLYRTVVLENYRNLVSVGACISKP SLISLLEHGKEPWEVKGQVTGSRVQGGKSVQKTHELSLRQLVFEDVAVENTTSLGCESLT SGRKQKCKDLLDRQMSQEIFNLKETVTHKGTHTKEIGYKHTESLKSAHLDSVEENIYTHK SNKKSFSKNPKVWKYKKIWAGKTIFKCNECEKTFTHSSAVTVHQRIHTGEKPYQCTVCGK AFKQSHHLAQHHTTHTHEKLFQCEECKKAFSQNSYLTVHRRIHTGEKPYKCKECAKSFRQ PAHLAQHHRIHTGEKPYKCEICGKAFNHSMSLTGHQRVHSGEKPYKCKDCGKAFRQSIHL VVHSRIHTGEEPYECNECGKTFRESSQLTIHQRNHTGEKPFECKQCGKFFNRSAYLARHQ KIHTGEKPYECNECGKTFTHAAYLIRHKRVHTGEKPYKCAECGKAFGDGSSRAQHQRLHT GQRPYVCEKCGRAFRRKSSLNCHQRYHTGKKL >ENSMUSP00000122483.1 pep:known chromosome:GRCm38:11:116336353:116359081:-1 gene:ENSMUSG00000052949.14 transcript:ENSMUST00000149147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf157 description:ring finger protein 157 [Source:MGI Symbol;Acc:MGI:2442484] XCCSTKPWVLEEAGVWERTEAVSLAFFSLVPFLSEYFGHCHVLLGTFEKHPDGTFCVKPL KQKQVVDGVSYLLQEIYGIENKYNTQDSKVAEDDVSDNSAECVVCLSDVRDTLILPCRHL CLCNTCADTLRYQANNCPICRLPFRALLQIRAMRKKLGPLSPSSFNPIISSQTSDSEEHS SSENIPPGYEVVSLLEALNGPLTSSPAVPPLHVLGDGHLSGMLPSYGSDGYLPPVRTLSP LDRLSDCNNQGLKLKKSLSKSISQNSSVLHEEEDERSCSESDTQLSQRLSVQHPEEGPDV TPESENLTLSSSGAVDQSSCTGTPLSSTISSPEDPASSSLAQSVMSMASSQISTDTVSSM SGSYIAPGTEEEGEALPSPRAASRAPSEGEETPAESPDSNFAGLPAGEQDAEGNDIIEEE DRSPVREDGQRTCAFLGMECDNNNDFDVASVKALDNKLCSEVCLPGTWQHEDNTVNCRHT QRRRLSSSSLEDPEENRPCVWDPMAV >ENSMUSP00000097776.3 pep:known chromosome:GRCm38:11:116336353:116413004:-1 gene:ENSMUSG00000052949.14 transcript:ENSMUST00000100202.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf157 description:ring finger protein 157 [Source:MGI Symbol;Acc:MGI:2442484] MGALTSRQHAGVEEVDIPSNSVYRYPPKSGSYFASHFIMGGEKFDSTHPEGYLFGENSDL NFLGNRPVAFPYAAPPPQEPVKTLRSLINIRKDTLRLVKCAEEVKSHGEEAGKAKVHYNV EFTFDTDARVAITIYYQATEEFQNGIASYIPKDNSLQSETVHYKRGVCQQFCLPSHTVDP SEWAEEELGFDLDREVYPLVVHAVVDEGDEYFGHCHVLLGTFEKHPDGTFCVKPLKQKQV VDGVSYLLQEIYGIENKYNTQDSKVAEDDVSDNSAECVVCLSDVRDTLILPCRHLCLCNT CADTLRYQANNCPICRLPFRALLQIRAMRKKLGPLSPSSFNPIISSQTSDSEEHSSSENI PPGYEVVSLLEALNGPLTSSPAVPPLHVLGDGHLSGMLPSYGSDGYLPPVRTLSPLDRLS DCNNQGLKLKKSLSKSISQNSSVLHEEEDERSCSESDTQLSQRLSVQHPEEGPDVTPESE NLTLSSSGAVDQSSCTGTPLSSTISSPEDPASSSLAQSVMSMASSQISTDTVSSMSGSYI APGTEEEGEALPSPRAASRAPSEGEETPAESPDSNFAGLPAGEQDAEGNDIIEEEDRSPV REDGQRTCAFLGMECDNNNDFDVASVKALDNKLCSEVCLPGTWQHEDNTVNCRHTQRRRL SSSSLEDPEENRPCVWDPMAV >ENSMUSP00000102006.2 pep:known chromosome:GRCm38:11:116336353:116413004:-1 gene:ENSMUSG00000052949.14 transcript:ENSMUST00000106398.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf157 description:ring finger protein 157 [Source:MGI Symbol;Acc:MGI:2442484] MGALTSRQHAGVEEVDIPSNSVYRYPPKSGSYFASHFIMGGEKFDSTHPEGYLFGENSDL NFLGNRPVAFPYAAPPPQEPVKTLRSLINIRKDTLRLVKCAEEVKSHGEEAGKAKVHYNV EFTFDTDARVAITIYYQATEEFQNGIASYIPKDNSLQSETVHYKRGVCQQFCLPSHTVDP SEWAEEELGFDLDREVYPLVVHAVVDEGDEYFGHCHVLLGTFEKHPDGTFCVKPLKQKQV VDGVSYLLQEIYGIENKYNTQDSKVAEDDVSDNSAECVVCLSDVRDTLILPCRHLCLCNT CADTLRYQANNCPICRLPFRALLQIRAMRKKLGPLSPSSFNPIISSQTSDSEEHSSSENI PPGYEVVSLLEALNGPLTSSPAVPPLHVLGDGHLSGMLPSYGSDGYLPPVRTLSPLDRLS DCNNQGLKLKKSLSKSISQNSSVLHEEEDERSCSESDTQLSQRLSVQHPEEGPDVTPESE NLTLSSSGAVDQSSCTGTPLSSTISSPEDPASSSLAQSVMSMASSQISTDTVSSMSGSYI APGTEEEGEALPSPRAASRAPSEGEGNDIIEEEDRSPVREDGQRTCAFLGMECDNNNDFD VASVKALDNKLCSEVCLPGTWQHEDNTVNCRHTQRRRLSSSSLEDPEENRPCVWDPMAV >ENSMUSP00000123289.1 pep:known chromosome:GRCm38:11:116338406:116347918:-1 gene:ENSMUSG00000052949.14 transcript:ENSMUST00000150775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf157 description:ring finger protein 157 [Source:MGI Symbol;Acc:MGI:2442484] XAVDQSSCTGTPLSSTISSPEDPASSSLAQSVMSMASSQISTDTVSSMSGSYIAPGTEEE GEALPSPRAASRAPSEGEETPAESPDSNFAGLPAGEQDAEGNDIIEEEDRSPVREDGQRT CAFLGMECDNNNDFDVASVKALDNKLCSEVCLPAAAPESCPINIEE >ENSMUSP00000045740.8 pep:known chromosome:GRCm38:13:51651697:51684044:1 gene:ENSMUSG00000035139.14 transcript:ENSMUST00000040117.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Secisbp2 description:SECIS binding protein 2 [Source:MGI Symbol;Acc:MGI:1922670] MASERPREPDGEDSIKLSADVKPFVPKFAGLNVAWSESSETRVFPGCAATYYPFVQEPPA AEQKMYPEDMAFGAPTFPAQYVSSEIALHPFAYPTYTLESAQSVCSVPTLQYDYSQARCH PGFRTAKPRHEHVCPPPQEAKGVFKKKPSDERRACEEQKSSSRRADNAVPCEARPARGSS HLSSRTESSLKSDGYHKRPDRKSRILAKSASTSKPEFEFSRLDFPELQSPKNSNMPETQK PPRWGPLGPAASNMPLLGDVGKPVADMVEGKMVKSDHTDGAVTSNATTSSPSCTQELSWT PMGYIVRQTVSSDSAAATENVTSMINLKKTTSSADAKNVSVTSEALSSNPSYNREKRVYP APKAKASQGGELEQNESSKKNKKKKEKSKPSYEVLTVQEPPRIEDAEEFPNLSVASERRH RGQSPKLHSKQQTQNEFKTSGKKSQVPVQLDLGGMLAALEKQQQQQHASHAKPSSRPVVF SVGAVPVLSKDASSSERGRRSSQMKTPHNPLDSSAPLMKKGKQREIPKAKKPTSLKKIIL KERQERMQQRLQESAVSLTVASDDSQDVESGASNQTPSQDNPTGPEKTEESVSSTPVVEG ESEEPAGTEFQRDPEACQPAPDSATFPKIHSRRFRDYCSQMLSKEVDACVTGLLKELVRF QDRMYQKDPVKAKTKRRLVLGLREVLKHLKLRKLKCIIISPNCEKTQSKGGLDDTLHTII DCACEQNIPFVFALNRKALGRSLNKAVPVSIVGIFSYDGAQDQFHKMVELTMAARQAYKT MLETMRQEQAGEPGPQSPPSPPMQDPIPSTEEGTLPSTGEEPHYIEIWKKHLEAYSQRAL ELEDSLEASTSQMMNLNL >ENSMUSP00000105671.1 pep:known chromosome:GRCm38:13:51651741:51666256:1 gene:ENSMUSG00000035139.14 transcript:ENSMUST00000110044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Secisbp2 description:SECIS binding protein 2 [Source:MGI Symbol;Acc:MGI:1922670] MASERPREPDGEDSIKLSADVKPFVPKFAGLNVAWSESSETRVFPGCAATYYPFVQEPPA AEQKMYPEDMAFGAPTFPAQYVSSEIALHPFAYPTYTLESAQSVCSVPTLQYDYSQARCH PGFRTAKPRHEHVCPPPQEAKGVFKKKPSDERRACEEQKSSSRRADNAVPCEARPARGSS HLSSRTESSLKSDGYHKRPDRKSRILAKSASTSKPEFEFSRLDFPELQSPKNSNMPETQK PPRWGPLGPAASNMPLLGDVGKPVADMVEGKMVKSDHTDGAVTSNATTSSPSCTQELSWT PMGYIVRQTVSSDSAAATENVTSMINLKKTTSSADAKNVSVTSEALSSNPSYNREKRVYP APKVCVKDPRSRMGSGCSGKNCNSALGRLIQEDCKSKAILGHIVNPCLKIKAIR >ENSMUSP00000110233.2 pep:known chromosome:GRCm38:16:45416755:45492969:-1 gene:ENSMUSG00000053182.10 transcript:ENSMUST00000114585.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm609 description:predicted gene 609 [Source:MGI Symbol;Acc:MGI:2685455] MLLLCAQESESSQRIKHNTYERAVLGGNISIFCNFTSLENVEQITWQKIQGSLPQNIGTY SHKYGEKILPPYVNRLQCKILEPSTYFMTIQGVTFEDEACYKCLFNTFPHGSHGGQTCLT IITVSELVTELRSAPGSEDLHSLLCSAVGKPAPGISVYPSQVTVQAPQEYFAQNANATVT VTKIYNISLKTARSLGLQDLVVSMTHSVRNEEKIVRLPVNQGGTTNPCLNWMITAIAFII LFLVSCIISAVLYIYFQKKRSNNMPEPSSTQMPNPLSTLVPTPPSTETENLMRHHCQSET >ENSMUSP00000144305.1 pep:known chromosome:GRCm38:5:92603041:92604819:1 gene:ENSMUSG00000047963.7 transcript:ENSMUST00000200941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stbd1 description:starch binding domain 1 [Source:MGI Symbol;Acc:MGI:1261768] MGAVWSALLVGGGLAGALILWLLRGDSGAPGKDGVAEPPQKGAPPGEAAAPGDGPGGGGS GGLSPEPSDRELVSKAGAK >ENSMUSP00000054322.3 pep:known chromosome:GRCm38:5:92603051:92606579:1 gene:ENSMUSG00000047963.7 transcript:ENSMUST00000050952.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stbd1 description:starch binding domain 1 [Source:MGI Symbol;Acc:MGI:1261768] MGAVWSALLVGGGLAGALILWLLRGDSGAPGKDGVAEPPQKGAPPGEAAAPGDGPGGGGS GGLSPEPSDRELVSKAEHLRESNGHLISESKDLGNLPEAQRLQNVGADWVNAREFVPVGK IPDTHSRADSEAARNQSPGSHGGEWRLPKGQETAVKVAGSVAAKLPSSSLLVDRAKAVSQ DQAGHEDWEVVSRHSSWGSVGLGGSLEASRLSLNQRMDDSTNSLVGGRGWEVDGKVASLK PQQVSIQFQVHYTTNTDVQFIAVTGDHESLGRWNTYIPLHYCKDGLWSHSVFLPADTVVE WKFVLVENKEVTRWEECSNRFLQTGHEDKVVHGWWGIH >ENSMUSP00000144467.1 pep:known chromosome:GRCm38:5:92603068:92606294:1 gene:ENSMUSG00000047963.7 transcript:ENSMUST00000202332.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stbd1 description:starch binding domain 1 [Source:MGI Symbol;Acc:MGI:1261768] MGAVWSALLVGGGLAGALILWLLRGDSGAPGKDGVAEPPQKGAPPGEAAAPGDGPGGGGS GGLSPEPSDRELVSKAGAK >ENSMUSP00000036487.8 pep:known chromosome:GRCm38:4:56810935:56865188:-1 gene:ENSMUSG00000038816.14 transcript:ENSMUST00000045142.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnal1 description:catenin (cadherin associated protein), alpha-like 1 [Source:MGI Symbol;Acc:MGI:1859649] MAASPVPGGGGAGAVHSSNAAGFTFDSGLEIRTRSVEQTLLPLVSQITTLINHKDNTKKS DKTLQAIQRVGQAVNLAVGRFVKVGEAIANENWDLKEEINIACIEAKQAGETIASLTDVT KRSHLESDGQVTILTDKTGVVQAARLLLSSVTKVLLLADRVVIKQIVTSRNKILATMERL EKVNSFQEFVQIFSQFGNEMVEFAHLTGDRQNDLKDEKKKARMAVARAVLEKGTMMLLTA SKTCLRHPSCESAHTNKEGVFDRMRVALEKVTEIVTDCRLSGETDSSSVSIFTGIKELKV NIEALRENVCFESKENLSAALEAVLEHVEDFTDSAYTSHEHRERILELSSQARTELQQLL SVWMQTQSRKTKSAAEELELTVLKISHSLDELRRELHCTAMQLAADLLKFHADHVVLKAL KVTGVEGNLEALAEYACKLSEQKEQLVETCRLLRHISGTEPLEITCIHAEETFQVTGQQI ISAAETLTLHPSSKIAKENLDVFCEAWESQMSDMATLLREISDVFEGRRGERCDHLSLPK PTKNSANLKSLKPDKPDSEEQAKIAKLGLKLGLLSSDADCEIEKWEDEENEIVRHGRNMS RMAYSLYLFTRGEGPLKTSQDLIHFLEVFAAEGLKLTSSVQSFSKQLKDDDKLMLLLEIN KLIPLCHQLQTITKTSLQSKVFLKVDKCITKIRSMMTLVVQLLSLCYKLLKKMENNRWGS ATNKDTMDGQN >ENSMUSP00000103237.2 pep:known chromosome:GRCm38:4:56840746:56865154:-1 gene:ENSMUSG00000038816.14 transcript:ENSMUST00000107612.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnal1 description:catenin (cadherin associated protein), alpha-like 1 [Source:MGI Symbol;Acc:MGI:1859649] MAASPVPGGGGAGAVHSSNAAGFTFDSGLEIRTRSVEQTLLPLVSQITTLINHKDNTKKS DKTLQAIQRVGQAVNLAVGRFVKVGEAIANENWDLKEEINIACIEAKQAGETIASLTDVT KRSHLESDGQVTILTDKTGVVQAARLLLSSVTKVLLLADRVVIKQIVTSRNKILATMERL EKVNSFQEFVQIFSQFGNEMVEFAHLTGDRQNGPHGGRK >ENSMUSP00000006377.6 pep:known chromosome:GRCm38:4:141444654:141467225:1 gene:ENSMUSG00000006215.12 transcript:ENSMUST00000006377.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb17 description:zinc finger and BTB domain containing 17 [Source:MGI Symbol;Acc:MGI:107410] MDFPQHSQRVLEQLNQQRQLGLLCDCTFVVDGVDFKAHKAVLAACSEYFKMLFVDQKDVV HLDISNAAGLGQVLEFMYTAKLSLSPENVDDVLAVASFLQMQDIVTACHTLKSLAEPSST TGESADASAVEGGDKRAKDEKAAATMLSRLDQARGSSSTGPGRELKEERGGQAESASSGA EQTEKADAPREPPPVELKPDPTSSMAAAEAEALSESSEQEMEVEPASKGEDGQEEEGAGP ATVKEEGMHLDNGEPPEENEESAGTDSGQELGMEGQNLRSGTYGDRTESKAYGSIIHKCE DCGKEFTHTGNFKRHIRIHTGEKPFSCRECSKAFSDPAACKAHEKTHSPLKPYGCEECGK SYRLISLLNLHKKRHSGEARYRCGDCGKLFTTSGNLKRHQLVHSGQKPYQCDYCGRSFSD PTSKMRHLETHDTDKEHKCPHCDKKFNQVGNLKAHLKIHIADGPLKCRECGKQFTTSGNL KRHLRIHSGEKPYVCTHCQRQFADPGALQRHVRIHTGEKPCQCVICGKAFTQASSLIAHV RQHTGEKPYVCERCGKRFVQSSQLANHIRHHDNIRPHKCSVCSKAFVNVGDLSKHIIIHT GEKPYLCDKCGRGFNRVDNLRSHVKTVHQGKAGIKILEPEEGGEVSVVTVDDMVTLATEA LAATAVTQLTVVPVGAAVTADETEVLKAEISKAVKQVQEEDPNTHILYACDSCGDKFLDA NSLAQHVRIHTAQALVMFQTDADFYQQYGPGSTWPAGQMLQAGELVFRPRDGTEGQPTLA ESPPTAPDCLPPAE >ENSMUSP00000099909.4 pep:known chromosome:GRCm38:11:29545846:29548109:-1 gene:ENSMUSG00000020460.15 transcript:ENSMUST00000102845.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps27a description:ribosomal protein S27A [Source:MGI Symbol;Acc:MGI:1925544] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGAKKRKKKSYTTPKKNKHKRKKVKLAVLKYYKVDENGKISRLRRE CPSDECGAGVFMGSHFDRHYCGKCCLTYCFNKPEDK >ENSMUSP00000099908.3 pep:known chromosome:GRCm38:11:29545846:29547860:-1 gene:ENSMUSG00000020460.15 transcript:ENSMUST00000102844.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps27a description:ribosomal protein S27A [Source:MGI Symbol;Acc:MGI:1925544] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGAKKRKKKSYTTPKKNKHKRKKVKLAVLKYYKVDENGKISRLRRE CPSDECGAGVFMGSHFDRHYCGKCCLTYCFNKPEDK >ENSMUSP00000074417.6 pep:known chromosome:GRCm38:7:29859979:29876505:1 gene:ENSMUSG00000058402.14 transcript:ENSMUST00000074876.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp420 description:zinc finger protein 420 [Source:MGI Symbol;Acc:MGI:2444666] MVTHDTMSVFCPGTRLPQHPRGYSTEKPYKCEECGKAFRRASHLSQHQSIHTGEKPYECK QCGKAFSRDSQLSLHQRLHTGEKPYTCKECGKAFTQSSQLILHHRIHTGEKPYKCEACGK AFIRSSQLSRHQKVHTGEKPFECKECGKAFTQNSQLTLHQRLHTGEKLYDCKECRKVFTQ LSQLILHRRIHTGEKPYECNECGKAFICGSQLSQHRKIHNGEKPYECKECGKAFIRGSLL MQHQRIHTGEKPYKCDECGKAFIRGSQLTQHQRVHTNEKPYECKECGKTFSHGSQLTQHQ RIHTGEKPYQCKECGKAFNRGSLLTRHQRIHTGEKPYKCKECGKNFSRGSELTQHERIHT GEKPYECKECGKSFIRGSQLTQHQRIHTGEKPYECKECRMAFTQSSHLSQHQRLHTGEKP YVCSECGKAFARGLLLIQHQRIHTGEKPYQCRECGKAFIRSSQLTQHQRTHTGEKPYECR ECGKAFGHGSQLTLHQRVHTGEKPYECKECRKAFTQSSHLSRHQRVHTGEKPYQCKECEK AFTRESQLMRHQRIHIRGKSLDCKECRIDFNHHSQIFI >ENSMUSP00000056077.6 pep:known chromosome:GRCm38:7:29860041:29876827:1 gene:ENSMUSG00000058402.14 transcript:ENSMUST00000057652.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp420 description:zinc finger protein 420 [Source:MGI Symbol;Acc:MGI:2444666] MVTHDTMSVFCPGTRLPQHPRGYSTEKPYKCEECGKAFRRASHLSQHQSIHTGEKPYECK QCGKAFSRDSQLSLHQRLHTGEKPYTCKECGKAFTQSSQLILHHRIHTGEKPYKCEACGK AFIRSSQLSRHQKVHTGEKPFECKECGKAFTQNSQLTLHQRLHTGEKLYDCKECRKVFTQ LSQLILHRRIHTGEKPYECNECGKAFICGSQLSQHRKIHNGEKPYECKECGKAFIRGSLL MQHQRIHTGEKPYKCDECGKAFIRGSQLTQHQRVHTNEKPYECKECGKTFSHGSQLTQHQ RIHTGEKPYQCKECGKAFNRGSLLTRHQRIHTGEKPYKCKECGKNFSRGSELTQHERIHT GEKPYECKECGKSFIRGSQLTQHQRIHTGEKPYECKECRMAFTQSSHLSQHQRLHTGEKP YVCSECGKAFARGLLLIQHQRIHTGEKPYQCRECGKAFIRSSQLTQHQRTHTGEKPYECR ECGKAFGHGSQLTLHQRVHTGEKPYECKECRKAFTQSSHLSRHQRVHTGEKPYQCKECEK AFTRESQLMRHQRIHIRGKSLDCKECRIDFNHHSQIFI >ENSMUSP00000037980.1 pep:known chromosome:GRCm38:7:43440782:43443334:1 gene:ENSMUSG00000038973.2 transcript:ENSMUST00000040227.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldnd2 description:claudin domain containing 2 [Source:MGI Symbol;Acc:MGI:1921526] MGVKKSLQTGGNLLNLLSSILTVLSTTTNYWTRQQGGHSGLWQECTHGKCSNIPCQNTVA VSAACMVLAATFSIVALGIGIRIQCREAESRRSQNTIVLLFLSGLLLLIALAVYTSKNAW KPEVFFSWSYFFGWLALPFLFIAGFCFLLADMILQSTEAISGFPVCL >ENSMUSP00000145638.1 pep:known chromosome:GRCm38:7:43441576:43443299:1 gene:ENSMUSG00000038973.2 transcript:ENSMUST00000206839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldnd2 description:claudin domain containing 2 [Source:MGI Symbol;Acc:MGI:1921526] MGVKKSLQTGGNLLNLLSSILTVLSTTTNYWTRQQGGHSGLWQECTHGKCSNIPCQNTVA VSAACMVLAATFSIVALGIGIRIQCREAESRRSQNTIVLLFLSGLLLLIALAVYTSKNAW KPEVFFSWSYFFGWLALPFLFIAGFCFLLADMILQSTEAISGFPVCL >ENSMUSP00000132776.2 pep:known chromosome:GRCm38:13:21536974:21538027:-1 gene:ENSMUSG00000048996.4 transcript:ENSMUST00000168629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1366 description:olfactory receptor 1366 [Source:MGI Symbol;Acc:MGI:3031200] MEKENQTSLSEFLLLGFSSWPGHQGLLFALFLCLYLTGLFGNLLILLAIGSNNHLHTPMY FFLANLSLVDLCLPSATVPKMLLNIQTKSQSISYPGCLAQMYFCMMFANMDNFLLTVMAY DRFVAICHPLHYTTIMTPCLCTSLVAFSWVIATFNPLLHTLMMARLHFCSENIIHHFFCD INSLLPLSCSDTSLNQLMVLSVVGLIFVVPSVCILASYGRIVSAVMKITSMEGKLKAFST CGSHLALVILFYGAIAGIYMSPSSNHSTEKDSAASVIFMVVAPVLNPFIYSLRNNELKGT LKKTLGQSKICSK >ENSMUSP00000135446.1 pep:known chromosome:GRCm38:13:21537238:21542775:-1 gene:ENSMUSG00000048996.4 transcript:ENSMUST00000175637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1366 description:olfactory receptor 1366 [Source:MGI Symbol;Acc:MGI:3031200] MEKENQTSLSEFLLLGFSSWPGHQGLLFALFLCLYLTGLFGNLLILLAIGSNNHLHTPMY FFLANLSLVDLCLPSATVPKMLLNIQTKSQSISYPGCLAQMYFCMMFANMDNFLLTVMAY DRFVAICHPLHYTTIMTPCLCTSLVAFSWVIATFNPLLHTLMMARLHFCSENIIHHFFCD INSLLPLSCSDTSLNQLMVLSVVGLIFVVPSVCILASYGRIVSAVMKITSMEGKLKAFST >ENSMUSP00000122380.1 pep:known chromosome:GRCm38:9:119102478:119148246:1 gene:ENSMUSG00000038060.15 transcript:ENSMUST00000140326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlec1 description:deleted in lung and esophageal cancer 1 [Source:MGI Symbol;Acc:MGI:2443671] MDSESKEEQQCTASSAVMSSLETQFLSVPHQLESASLSWSIRKSLHSSTVLMDDCHFGFP TRSLRAGFHALPPLPEPQTLRLRPASLRTQEISHLLARVFRNLYTAQVIGDDLSDSLIKA RGSEDARHEEFLDQLQQARAIYKQRLDEAAMLERHIMQARARDIAETEHTTKQSRLQVVE TPVKLPPVKTAFRWCLDSKLMRKHHLISTEDYYTDPVPFCPAPKDKSTLGCSKLTFSCEQ RFLRKSELDREAEEHCRQLTECDDFEYTVDSLGSISKAKERTTDSVKKTSRPKNKKWMEH LQVPQRALDRRLLASMENRNHFLKNPRFFPPNTPHGGKSLIVPLSKPERRASLNAEPEWS CTDAPVFLAKPSVGFFTDYEIGPVYEMVIALQNTTSTSRFLRVLPPSSPYFALGLGMFPG KGGIVAPGMTCQYIVQFIPDCLGDFDDFILVETQSPHTLVIPLQARRPPPVLTLSPVLDC GHCLIGGVKITKFICKNVGFSVGKFCIMPQKSWPPPSFRAVATTGFVEQPPFGVMPSVFE LAPGYAILLEVLFLPTGLGQAEETFIIVCDNCQIKEVVVVGTGQLVALDLIHISGGKNEP DPGELKDLTAQYFIRFEPENVQSTAKKQLIIRNATHVELAFHWQIMKPNLQPLMPGETHS SDSIKCHPDRETAFSIIPEKGILESHSDQEFILSFSPYKLKCFHSVLQMVLEAVPEPLSS GLENLGDYSYSVDDMIVLEIEVKGLAEPFQVLLEPYALIIPGENYIGITVKKDFKMWNNS KSPIRYMWGKISHCHIIEVEPCTGVIEPNEVGDFELNLTGGVPGPTSQDLECEIKDSPCP VVLHIEASFKGPALTIDVPALQFGLLRLGKKASISIQIRNVSQLSAVWHLKESPVCLAER DEDVSPFDIEPFCGQLLPLGECRVTITLEASQCQRLQTVLELEVENGSGSYLPVYAEVQQ PHVYLKNSHLEFSNLYLGVSTKSRTTLVNGTLLPTRFHWGKLLGPQADLCTMTVSPTQGL LGPSEELQLTLELTTHTEEKLTNLVLLCHVSGMEKPLVLGISGKPLGLQVTISIVESDGS TTSTTQEELRLDFGSKVPLRTPVIRHIILTNCSPIQTPFTLTLEYFGSSQDSLYKKTSVP DVPPALLKTARIQQHVAKKERLDFVESMLSHRKGAAFLPHISQGMLGAYQELCIDITGCA NMWGEYWDELLCVVGDLPVTVIPVYMAVVGCPISSLRNTCYSVAPFQKEPITRFGTQISG GDTVTRSLRLYNSSHCDIRLDWETYIPEEKADRLLELLVFYGPPFPLRDQDGSEIVCPET SEASLPGSPCPSNVSVSSHTVPSTGSCSGGGGGTRAEEQIMSVILQRHEAVPAGHIYRIS PKQLVIPAGDHRTIYISFTPTVLDPGVMHKVGYTGYALGFMSLDKETDRQIPGRMRRLQD FAVSPLRLDLNGHVRHAQLHVELDSSGYLEFWCQASDLIPQNPCSGVLSDRITTRHLKLT NTTEILYDFQARVSRPFFISQGGASWDSRAPHQCEEGTASTGQWLMIRPQDNMLVDVSFS LSLELLSYQKLSADQMLPGVDIQESENGERKMVFTQNLLLGFTNQTVQEVPLRAFVTLPA PQLSTSWVDFGTCLVNQQHTRQIYLMNLSCCLSYWTVLLGQEEPAREDHAFGVSPGSGLL EARATNAPPTSSPLQVFFNPRSSILYESTLVVEGVLSEKPCVLRLRGLGSYDERYVKFHE F >ENSMUSP00000052645.6 pep:known chromosome:GRCm38:9:119102509:119134692:1 gene:ENSMUSG00000038060.15 transcript:ENSMUST00000055775.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dlec1 description:deleted in lung and esophageal cancer 1 [Source:MGI Symbol;Acc:MGI:2443671] MDSESKEEQQCTASSAVMSSLETQFLSVPHQLESASLSWSIRKSLHSSTVLMDDCHFGFP TRSLRAGFHALPPLPEPQTLRLRPASLRTQEISHLLARVFRNLYTAQVIGDDLSDSLIKA RGSEDARHEEFLDQLQQARAIYKQRLDEAAMLERHIMQARARDIAETEHTTKQSRLQVVE TPVKLPPVKTAFRWCLDSKLMRKHHLISTEDYYTDPVPFCPAPKDKSTLGCSKLTFSCEQ RFLRKSELDREAEEHCRQLTECDDFEYTVDSLGSISKAKERTTDSVKKTSRPKNKKWMEH LQVPQRALDRRLLASMENRNHFLKNPRFFPPNTPHGGKSLIVPLSKPERRASLNAEPEWS CTDAPVFLAKPSVGFFTDYEIGPVYEMVIALQNTTSTSRFLRVLPPSSPYFALGLGMFPG KGGIVAPGMTCQYIVQFIPDCLGDFDDFILVETQSPHTLVIPLQARRPPPVLTLSPVLDC GHCLIGGVKITKFICKNVGFSVGKFCIMPQKSWPPPSFRAVATTGFVEQPPFGVMPSVFE LAPGYAILLEVLFLPTGLGQAEETFIIVCDNCQIKEVVVVGTGQLVALDLIHISGGKNEP DPGELKDLTAQYFIRFEPENVQSTAKKQLIIRNATSSVSTVYCKWF >ENSMUSP00000128874.1 pep:known chromosome:GRCm38:9:119102478:119147694:1 gene:ENSMUSG00000038060.15 transcript:ENSMUST00000165231.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlec1 description:deleted in lung and esophageal cancer 1 [Source:MGI Symbol;Acc:MGI:2443671] MDSESKEEQQCTASSAVMSSLETQFLSVPHQLESASLSWSIRKSLHSSTVLMDDCHFGFP TRSLRAGFHALPPLPEPQTLRLRPASLRTQEISHLLARVFRNLYTAQVIGDDLSDSLIKA RGSEDARHEEFLDQLQQARAIYKQRLDEAAMLERHIMQARARDIAETEHTTKQSRLQVVE TPVKLPPVKTAFRWCLDSKLMRKHHLISTEDYYTDPVPFCPAPKDKSTLGCSKLTFSCEQ RFLRKSELDREAEEHCRQLTECDDFEYTVDSLGSISKAKERTTDSVKKTSRPKNKKWMEH LQVPQRALDRRLLASMENRNHFLKNPRFFPPNTPHGGKSLIVPLSKPERRASLNAEPEWS CTDAPVFLAKPSVGFFTDYEIGPVYEMVIALQNTTSTSRFLRVLPPSSPYFALGLGMFPG KGGIVAPGMTCQYIVQFIPDCLGDFDDFILVETQSPHTLVIPLQARRPPPVLTLSPVLDC GHCLIGGVKITKFICKNVGFSVGKFCIMPQKSWPPPSFRAVATTGFVEQPPFGVMPSVFE LAPGYAILLEVLFLPTGLGQAEETFIIVCDNCQIKEVVVVGTGQLVALDLIHISGGKNEP DPGELKDLTAQYFIRFEPENVQSTAKKQLIIRNATHVELAFHWQIMKPNLQPLMPGETHS SDSIKCHPDRETAFSIIPEKGILESHSDQEFILSFSPYKLKCFHSVLQMVLEAVPEPLSS GLENLGDYSYSVDDMIVLEIEVKGLAEPFQVLLEPYALIIPGENYIGITVKKDFKMWNNS KSPIRYMWGKISHCHIIEVEPCTGVIEPNEVGDFELNLTGGVPGPTSQDLECEIKDSPCP VVLHIEASFKGPALTIDVPALQFGLLRLGKKASISIQIRNVSQLSAVWHLKESPVCLAER DEDVSPFDIEPFCGQLLPLGECRVTITLEASQCQRLQTVLELEVENGSGSYLPVYAEVQQ PHVYLKNSHLEFSNLYLGVSTKSRTTLVNGTLLPTRFHWGKLLGPQADLCTMTVSPTQGL LGPSEELQLTLELTTHTEEKLTNLVLLCHVSGMEKPLVLGISGKPLGLQVTISIVESDGS STTSTTQEELRLDFGSKVPLRTPVIRHIILTNCSPIQTPFTLTLEYFGSSQDSLYKKTSV PDVPPALLKTARIQQHVAKKERLDFVESMLSHRKGAAFLPHISQGMLGAYQELCIDITGC ANMWGEYWDELLCVVGDLPVTVIPVYMAVVGCPISSLRNTCYSVAPFQKEPITRFGTQIS GGDTVTRSLRLYNSSHCDIRLDWETYIPEEKADRLLELLVFYGPPFPLRDQDGSEIVCPE TSEASLPGSPCPSNVSVSSHTVPSTVSSGGGGGTRAEEQIMSVILQRHEAVPAGHIYRIS PKQLVIPAGDHRTIYISFTPTVLDPGVMHKVGYTGYALGFMSLDKETDRQIPGRMRRLQD FAVSPLRLDLNGHVRHAQLHVELDSSGYLEFWCQASDLIPQNPCSGVLSDRITTRHLKLT NTTEILYDFQARVSRPFFISQGGASWDSRAPHQCEEGTASTGQWLMIRPQDNMLVDVSFS LSLELLSYQKLSADQMLPGVDIQESENGERKMVFTQNLLLGFTNQTVQEVPLRAFVTLPA PQLSTSWVDFGTCLVNQQHTRQIYLMNLSCCLSYWTVLLGQEEPAREDHAFGVSPGSGLL EARATNAPPTSSPLQVFFNPRSSILYESTLVVEGVLSEKPCVLRLRGLGSYDERYVKFHE F >ENSMUSP00000138142.1 pep:known chromosome:GRCm38:X:103422010:103481705:1 gene:ENSMUSG00000098078.1 transcript:ENSMUST00000182089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26992 description:predicted gene, 26992 [Source:MGI Symbol;Acc:MGI:5504107] PQADQDLEETESGEVSMIYIDQEPAASVSRIGSDIIQHFSVRIASMRSPDLGRHFEKMMT KDTREVPSETHVLMGQ >ENSMUSP00000013559.2 pep:known chromosome:GRCm38:11:95957163:96005940:-1 gene:ENSMUSG00000013415.9 transcript:ENSMUST00000013559.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2bp1 description:insulin-like growth factor 2 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1890357] MNKLYIGNLNESVTPADLEKVFAEHKISYSGQFLVKSGYAFVDCPDEHWAMKAIETFSGK VELQGKRLEIEHSVPKKQRSRKIQIRNIPPQLRWEVLDSLLAQYGTVENCEQVNTESETA VVNVTYSNREQTRQAIMKLNGHQLENHALKVSYIPDEQITQGPENGRRGGFGSRGQPRQG SPVAAGAPAKQQPVDIPLRLLVPTQYVGAIIGKEGATIRNITKQTQSKIDVHRKENAGAA EKAISVHSTPEGCSSACKMILEIMHKEAKDTKTADEVPLKILAHNNFVGRLIGKEGRNLK KVEQDTETKITISSLQDLTLYNPERTITVKGAIENCCRAEQEIMKKVREAYENDVAAMSL QSHLIPGLNLAAVGLFPASSSAVPPPPSSVTGAAPYSSFMQAPEQEMVQVFIPAQAVGAI IGKKGQHIKQLSRFASASIKIAPPETPDSKVRMVVITGPPEAQFKAQGRIYGKLKEENFF GPKEEVKLETHIRVPASAAGRVIGKGGKTVNELQNLTAAEVVVPRDQTPDENDQVIVKII GHFYASQMAQRKIRDILAQVKQQHQKGQSNLAQARRK >ENSMUSP00000033691.4 pep:known chromosome:GRCm38:X:103414467:103424580:1 gene:ENSMUSG00000031329.4 transcript:ENSMUST00000033691.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsx description:testis specific X-linked gene [Source:MGI Symbol;Acc:MGI:108118] MSEKQSPKTSEAECSAMDLPEFEDEENWLFKVLGFQPGPSSALDDDTDDQADEPLSAAEF LHLQDILQEDRVSSTDDEDTCQAGCTEDDETSHSDRDIDNNVKVITGNIKASPSMYMEMF TDQNPQADQDLEETESDGAMNPTD >ENSMUSP00000062535.1 pep:known chromosome:GRCm38:X:13661363:13667433:1 gene:ENSMUSG00000047678.1 transcript:ENSMUST00000053659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr82 description:G protein-coupled receptor 82 [Source:MGI Symbol;Acc:MGI:2441734] MTNNSTCIQPSVISTTALPVTYIFLFIIGLFGNSLAQWVFLTKIGKKTSTHIYLANLVTA NLLVCTAMPFMGIYFLRGFYWKYQSVQCRLVNFLGTLSMHVSMFVSLLILSWIAISRYAT LMKKESKQEATSCYERMFYGHVLKRFRQPNFARTMCIYIWGVVLVIIIPVTLYYSVVEAT EEGQSQCYNRQMELGARPSQIAGLIGTTFIGFSFLVVVTSYYSLVSHLRRVRTCTSITEK DLTYRSVKRHLLIIQVLLVVCFLPYSIFKPIFYVLHQREGDCQQLNYLIEAKNILTCLAS ARSSTDPIIFLLLDKTFKKTLYGLLTKS >ENSMUSP00000134303.1 pep:known chromosome:GRCm38:3:29951296:30013156:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000172694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] MKSEEDPHEPMAPDIHEERQHRCEDCDQLFESKAELADHQKFPCSTPHSAFSMVEEDLQQ NLESESDLREIHGNQDCKECDRVFPDLQSLEKHMLSHTEEREYKCDQCPKAFNWKSNLIR HQMSHDSGKHYECENCAKVFTDPSNLQRHIRSQHVGARAHACPECGKTFATSSGLKQHKH IHSSVKPFISFSQSMYPFPDRDLRSLPLKMEPQSPSEVKKLQKGSSESPFDLTTKRKDEK PLTSGPSKPSGTPATSQDQPLDLSMGSRGRASGTKLTEPRKNHVFGEKKGSNMDTRPSSD GSLQHARPTPFFMDPIYRVEKRKLTDPLEALKEKYLRPSPGFLFHPQMSAIENMAEKLES FSALKPEASELLQSVPSMFSFRAPPNTLPENLLRKGKERYTCRYCGKIFPRSANLTRHLR THTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCDRCFGQQTNLDRHLKKHE NGNMSGTATSSPHSELESAGAILDDKEDAYFTEIRNFIGNSNHGSQSPRNMEERMNGSHF KDKKALATSQNSDLLDDEEVEDEVLLDEEDEDNDIPGKPRKELGVTRLDEEIPEDDYEEA GALEMSCKASPVRYKEEDYKSGLSALDHIRHFTDSLKMREMEENQYTDAELSSISSSHVP EELKQTLHRKSKSQAYAMMLSLSDKDSLHPTSHSSSNVWHSMARAAAESSAIQSISHV >ENSMUSP00000134626.1 pep:known chromosome:GRCm38:3:29951299:30509733:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000173495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] MKSEEDPHEPMAPDIHEERQHRCEDCDQLFESKAELADHQKFPCSTPHSAFSMVEEDLQQ NLESESDLREIHGNQDCKECDRVFPDLQSLEKHMLSHTEEREYKCDQCPKAFNWKSNLIR HQMSHDSGKHYECENCAKVFTDPSNLQRHIRSQHVGARAHACPECGKTFATSSGLKQHKH IHSSVKPFICEVCHKSYTQFSNLCRHKRMHADCRTQIKCKDCGQMFSTTSSLNKHRRFCE GKNHFAAGGFFGQGISLPGTPAMDKTSMVNMSHANPGLADYFGTNRHPAGLTFPTAPGFS FSFPGLFPSGLYHRPPLIPASPPVKGLSSTEQSNKCQSPLLTHPQILPATQDILKALSKH PPVGDNKPVELLPERSSEERPLEKISDQSESSDLDDVSTPSGSDLETTSGSDLESDLESD KEKCKENGKMFKDKVSPLQNLASITNKKEHNNHSVFSASVEEQSAVSGAVNDSIKAIASI AEKYFGSTGLVGLQDKKVGALPYPSMFPLPFFPAFSQSMYPFPDRDLRSLPLKMEPQSPS EVKKLQKGSSESPFDLTTKRKDEKPLTSGPSKPSGTPATSQDQPLDLSMGSRGRASGTKL TEPRKNHVFGEKKGSNMDTRPSSDGSLQHARPTPFFMDPIYRVEKRKLTDPLEALKEKYL RPSPGFLFHPQFPLPDQRTWMSAIENMAEKLESFSALKPEASELLQSVPSMFSFRAPPNT LPENLLRKGKERYTCRYCGKIFPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHV RNIHNKEKPFKCHLCDRCFGQQTNLDRHLKKHENGNMSGTATSSPHSELESAGAILDDKE DAYFTEIRNFIGNSNHGSQSPRNMEERMNGSHFKDKKALATSQNSDLLDDEEVEDEVLLD EEDEDNDIPGKPRKELGVTRLDEEIPEDDYEEAGALEMSCKASPVRYKEEDYKSGLSALD HIRHFTDSLKMREMEENQYTDAELSSISSSHVPEELKQTLHRKSKSQAYAMMLSLSDKDS LHPTSHSSSNVWHSMARAAAESSAIQSISHV >ENSMUSP00000103905.3 pep:known chromosome:GRCm38:3:29951306:30013505:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000108270.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] MKSEEDPHEPMAPDIHEERQHRCEDCDQLFESKAELADHQKFPCSTPHSAFSMVEEDLQQ NLESESDLREIHGNQDCKECDRVFPDLQSLEKHMLSHTEEREYKCDQCPKAFNWKSNLIR HQMSHDSGKHYECENCAKVFTDPSNLQRHIRSQHVGARAHACPECGKTFATSSGLKQHKH IHSSVKPFICEVCHKSYTQFSNLCRHKRMHADCRTQIKCKDCGQMFSTTSSLNKHRRFCE GKNHFAAGGFFGQGISLPGTPAMDKTSMVNMSHANPGLADYFGTNRHPAGLTFPTAPGFS FSFPGLFPSGLYHRPPLIPASPPVKGLSSTEQSNKCQSPLLTHPQILPATQDILKALSKH PPVGDNKPVELLPERSSEERPLEKISDQSESSDLDDVSTPSGSDLETTSGSDLESDLESD KEKCKENGKMFKDKVSPLQNLASITNKKEHNNHSVFSASVEEQSAVSGAVNDSIKAIASI AEKYFGSTGLVGLQDKKVGALPYPSMFPLPFFPAFSQSMYPFPDRDLRSLPLKMEPQSPS EVKKLQKGSSESPFDLTTKRKDEKPLTSGPSKPSGTPATSQDQPLDLSMGSRGRASGTKL TEPRKNHVFGEKKGSNMDTRPSSDGSLQHARPTPFFMDPIYRVEKRKLTDPLEALKEKYL RPSPGFLFHPQMSAIENMAEKLESFSALKPEASELLQSVPSMFSFRAPPNTLPENLLRKG KERYTCRYCGKIFPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKP FKCHLCDRCFGQQTNLDRHLKKHENGNMSGTATSSPHSELESAGAILDDKEDAYFTEIRN FIGNSNHGSQSPRNMEERMNGSHFKDKKALATSQNSDLLDDEEVEDEVLLDEEDEDNDIP GKPRKELGVTRLDEEIPEDDYEEAGALEMSCKASPVRYKEEDYKSGLSALDHIRHFTDSL KMREMEENQYTDAELSSISSSHVPEELKQTLHRKSKSQAYAMMLSLSDKDSLHPTSHSSS NVWHSMARAAAESSAIQSISHV >ENSMUSP00000103906.3 pep:known chromosome:GRCm38:3:29951307:30140423:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000108271.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] MSFFVMGPSSKEAQILDEFCNVKFCIDASQPDVGSWLKYIRFAGCYDQHNLVACQINDQI FYRVVADIAPGEELLLFMKSEEDPHEPMAPDIHEERQHRCEDCDQLFESKAELADHQKFP CSTPHSAFSMVEEDLQQNLESESDLREIHGNQDCKECDRVFPDLQSLEKHMLSHTEEREY KCDQCPKAFNWKSNLIRHQMSHDSGKHYECENCAKVFTDPSNLQRHIRSQHVGARAHACP ECGKTFATSSGLKQHKHIHSSVKPFISFSQSMYPFPDRDLRSLPLKMEPQSPSEVKKLQK GSSESPFDLTTKRKDEKPLTSGPSKPSGTPATSQDQPLDLSMGSRGRASGTKLTEPRKNH VFGEKKGSNMDTRPSSDGSLQHARPTPFFMDPIYRVEKRKLTDPLEALKEKYLRPSPGFL FHPQMSAIENMAEKLESFSALKPEASELLQSVPSMFSFRAPPNTLPENLLRKGKERYTCR YCGKIFPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCD RCFGQQTNLDRHLKKHENGNMSGTATSSPHSELESAGAILDDKEDAYFTEIRNFIGNSNH GSQSPRNMEERMNGSHFKDKKALATSQNSDLLDDEEVEDEVLLDEEDEDNDIPGKPRKEL GVTRLDEEIPEDDYEEAGALEMSCKASPVRYKEEDYKSGLSALDHIRHFTDSLKMREMEE NQYTDAELSSISSSHVPEELKQTLHRKSKSQAYAMMLSLSDKDSLHPTSHSSSNVWHSMA RAAAESSAIQSISHV >ENSMUSP00000133310.1 pep:known chromosome:GRCm38:3:29952567:30238162:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000173059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] XKAPIYIPDDIPIPDEFELRESTMPGAGLGIWTKRKIEIGEKFGPYMGEQRSDLKDSSYG WEILDEFCNVKFCIDASQPDVGSWLKYIRFAGCYDQHNLVACQINDQIFYRVVADIAPGE ELLLFMKSEEDPHEPMAPDIHEERQHRCEDCDQLFESKAELADHQKFPCSTPHSAFSMVE EDLQQNLESESDLREIHGNQDCKECDRVFPDLQSLEKHMLSHTEEREYKCDQCPKAFNWK SNLIRHQMSHDSGKHYECENCAKVFTDPSNLQRHIRSQHVGARAHACPECGKTFATSSGL KQHKHIHSSVKPFISFSQSMYPFPDRDLRSLPLKMEPQSPSEVKKLQKGSSESPFDLTTK RKDEKPLTSGPSKPSGTPATSQDQPLDLSMGSRGRASGTKLTEPRKNHVFGEKKGSNMDT RPSSDGSLQHARPTPFFMDPIYRVEKRKLTDPLEALKEKYLRPSPGFLFHPQMSAIENMA EKLESFSALKPEASELLQSVPSMFSFRAPPNTLPENLLRKGKERYTCRYCGKIFPRSANL TRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCDRCFGQQTNLDRH LKKHENGNMSGTATSSPHSELESAGAILDDKEDAYFTEIRNFIGNSNHGSQSPRNMEERM NGSHFKDKKALATSQNSDLLDDEEVEDEVLLDEEDEDNDIPGKPRKELGVTRLDEEIPED DYEEAGALEMSCKASPVRYKEEDYKSGLSALDHIRHFTDSLKMREMEENQYTDAELSSIS SSHVPEELKQTLHRKSKSQAYAMMLSLSDKDSLHPTSHSSSNVWHSMARAAAESSAIQSI SHV >ENSMUSP00000134278.1 pep:known chromosome:GRCm38:3:29952716:29997663:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000174413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] XAPDIHEERQHRCEDCDQLFESKAELADHQKFPCSTPHSAFSMVEEDLQQNLESESDLRE IHGNQDCKECDRVFPDLQSLEKHMLSHTEEREYKCDQCPKAFNWKSNLIRHQMSHDSGKH YECENCAKVFTDPSNLQRHIRSQHVGARAHACPECGKTFATSSGLKQHKHIHSSVKPFIS FSQSMYPFPDRDLRSLPLKMEPQSPSEVKKLQKGSSESPFDLTTKRKDEKPLTSGPSKPS GTPATSQDQPLDLSMGSRGRASGTKLTEPRKNHVFGEKKGSNMDTRPSSDGSLQHARPTP FFMDPIYRVEKRKLTDPLEALKEKYLRPSPGFLFHPQMSAIENMAEKLESFSALKPEASE LLQSVPSMFSFRAPPNTLPENLLRKGKERYTCRYCGKIFPRSANLTRHLRTHTGEQPYRC KYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCDRCFGQQTNLDRHLKKHENGNMSGTATS SPHSELESAGAILDDKEDAYFTEIRNFIGNSNHGSQSPRNMEERMNGSHFKDKKALATSQ NSDLLDDEEVEDEVLLDEEDEDNDIPGKPRKELGVTRLDEEIPEDDYEEAGALEMSCKAS PVRHML >ENSMUSP00000128563.1 pep:known chromosome:GRCm38:3:29952782:29997702:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000166001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] MKSEEDPHEPMAPDIHEERQHRCEDCDQLFESKAELADHQKFPCSTPHSAFSMVEEDLQQ NLESESDLREIHGNQDCKECDRVFPDLQSLEKHMLSHTEEREYKCDQCPKAFNWKSNLIR HQMSHDSGKHYECENCAKVFTDPSNLQRHIRSQHVGARAHACPECGKTFATSSGLKQHKH IHSSVKPFICEVCHKSYTQFSNLCRHKRMHADCRTQIKCKDCGQMFSTTSSLNKHRRFCE GKNHFAAGGFFGQGISLPGTPAMDKTSMVNMSHANPGLADYFGTNRHPAGLTFPTAPGFS FSFPGLFPSGLYHRPPLIPASPPVKGLSSTEQSNKCQSPLLTHPQILPATQDILKALSKH PPVGDNKPVELLPERSSEERPLEKISDQSESSDLDDVSTPSGSDLETTSGSDLESDLESD KEKCKENGKMFKDKVSPLQNLASITNKKEHNNHSVFSASVEEQSAVSGAVNDSIKAIASI AEKYFGSTGLVGLQDKKVGALPYPSMFPLPFFPAFSQSMYPFPDRDLRSLPLKMEPQSPS EVKKLQKGSSESPFDLTTKRKDEKPLTSGPSKPSGTPATSQDQPLDLSMGSRGRASGTKL TEPRKNHVFGEKKGSNMDTRPSSDGSLQHARPTPFFMDPIYRVEKRKLTDPLEALKEKYL RPSPGFLFHPQFPLPDQRTWMSAIENMAEKLESFSALKPEASELLQSVPSMFSFRAPPNT LPENLLRKGKERYTCRYCGKIFPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHV RNIHNKEKPFKCHLCDRCFGQQTNLDRHLKKHENGNMSGTATSSPHSELESAGAILDDKE DAYFTEIRNFIGNSNHGSQSPRNMEERMNGSHFKDKKALATSQNSDLLDDEEVEDEVLLD EEDEDNDIPGKPRKELGVTRLDEEIPEDDYEEAGALEMSCKASPVRHML >ENSMUSP00000133410.1 pep:known chromosome:GRCm38:3:29963074:30509514:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000173899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] MRSKGRARKLATSNECAYGNYPEIPLEEMPDADADGITSVPSLHIQEPCSPATSSESFTP KEGSPYKAPIYIPDDIPIPDEFELRESTMPGAGLGIWTKRKIEIGEKFGPYMGEQRSDLK DSSYGWEILDEFCNVKFCIDASQPDVGSWLKYIRFAGCYDQHNLVACQINDQIFYRVVAD IAPGEELLLFMKSEEDPHEPMAPDIHEERQHRCEDCDQLFESKAELADHQKFPCSTPHSA FSMVEEDLQQNLESESDLREIHGNQDCKECDRVFPDLQSLEKHMLSHTEEREYKCDQCPK AFNWKSNLIRHQMSHDSGKHYECENCAKVFTDPSNLQRHIRSQHVGARAHACPECGKTFA TSSGLKQHKHIHSSVKPFICEVCHKSYTQFSNLCRHKRMHADCRTQIKCKDCGQMFSTTS SLNKHRRFCEGKNHFAAGGFFGQGISLPGTPAMDKTSMVNMSHANPGLADYFGTNRHPAG LTFPTAPGFSFSFPGLFPSGLYHRPPLIPASPPVKGLSSTEQSNKCQSPLLTHPQILPAT QDILKALSKHPPVGDNKPVELLPERSSEERPLEKISDQSESSDLDDVSTPSGSDLETTSG SDLESDLESDKEKCKENGKMFKDKVSPLQNLASITNKKEHNNHSVFSASVEEQSAVSGAV NDSIKAIASIAEKYFGSTGLVGLQDKKVGALPYPSMFPLPFFPAFSQSMYPFPDRDLRSL PLKMEPQSPSEVKKLQKGSSESPFDLTTKRKDEKPLTSGPSKPSGTPATSQDQPLDLSMG SRGRASGTKLTEPRKNHVFGEKKGSNMDTRPSSDGSLQHARPTPFFMDPIYRVEKRKLTD PLEALKEKYLRPSPGFLFHPQMSAIENMAEKLESFSALKPEASELLQSVPSMFSFRAPPN TLPENLLRKGKERYTCRYCGKIFPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRH VRNIHNKEKPFKCHLCDRCFGQQTNLDRHLKKHE >ENSMUSP00000134117.1 pep:known chromosome:GRCm38:3:29965456:30509822:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000172697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] MRSKGRARKLATSNECAYGNYPEIPLEEMPDADADGITSVPSLHIQEPCSPATSSESFTP KEGSPYKAPIYIPDDIPIPDEFELRESTMPGAGLGIWTKRKIEIGEKFGPYMGEQRSDLK DSSYGWEILDEFCNVKFCIDASQPDVGSWLKYIRFAGCYDQHNLVACQINDQIFYRVVAD IAPGEELLLFMKSEEDPHEPMAPDIHEERQHRCEDCDQLFESKAELADHQKFPCSTPHSA FSMVEEDLQQNLESESDLREIHGNQDCKECDRVFPDLQSLEKHMLSHTEEREYKCDQCPK AFNWKSNLIRHQMSHDSGKHYECENCAKVFTDPSNLQRHIRSQHVGARAHACPECGKTFA TSSGLKQHKHIHSSVKPFICEVCHKSYTQFSNLCRHKRMHADCRTQIKCKDCGQMFSTTS SLNKHRRFCEGKNHFAAGGFFGQGISLPGTPAMDKTSMVNMSHANPGLADYFGTNRHPAG LTFPTAPGFSFSFPGLFPSGLYHRPPLIPASPPVKGLSSTEQSNKCQSPLLTHPQILPAT QDILKALSKHPPVGDNKPVELLPERSSEERPLEKISDQSESSDLDDVSTPSGSDLETTSG SDLESDLESDKEKCKENGKMFKDKVSPLQNLASITNKKEHNNHSVFSASVEEQSAVSGAV NDSIKAIASIAEKYFGSTGLVGLQDKKVGALPYPSMFPLPFFPAFSQSMYPFPDRDLRSL PLKMEPQSPSEVKKLQKGSSESPFDLTTKRKDEKPLTSGPSKPSGTPATSQDQPLDLSMG SRGRASGTKLTEPRKNHVFGEKKGSNMDTRPSSDGSLQHARPTPFFMDPIYRVEKRKLTD PLEALKEKYLRPSPGFLFHPQFPLPDQRTWMSAIENMAEKLESFSALKPEASELLQSVPS MFSFRAPPNTLPENLLRKGKERYTCRYCGKIFPRSANLTRHLRTHTGEQ >ENSMUSP00000134152.1 pep:known chromosome:GRCm38:3:29977344:30548008:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000172754.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] MKSEEDPHEPMAPDIHAWRSTCCHILRRGNTSVISVPRHLTGSPI >ENSMUSP00000133942.1 pep:known chromosome:GRCm38:3:29997729:30011439:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000174406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] MILDEFCNVKFCIDASQPDVGSWLKYIRFAGCYDQHNLVACQINDQIFYRVVA >ENSMUSP00000051057.6 pep:known chromosome:GRCm38:3:30237972:30238319:-1 gene:ENSMUSG00000027684.16 transcript:ENSMUST00000061088.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecom description:MDS1 and EVI1 complex locus [Source:MGI Symbol;Acc:MGI:95457] NECAYGNYPEIPLEEMPDADADGITSVPSLHIQEPCSPATSSESFTPKEGSPYKAPIYIP DDIPIPDEFELRESTMPGAGLGIWTKRKIEIGEKFGPYMGEQRSDLKDSSYGWEVR >ENSMUSP00000121092.1 pep:known chromosome:GRCm38:11:58994259:59035064:-1 gene:ENSMUSG00000061462.15 transcript:ENSMUST00000138587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obscn description:obscurin, cytoskeletal calmodulin and titin-interacting RhoGEF [Source:MGI Symbol;Acc:MGI:2681862] XSSITFSVKVEGHPAPSVHWLKEEAEKGVLWIGPDTPGYTMASSSKQHSLVLLDVGRQHQ GTYTCIATNPAGQALCSASLHISGLAKEEEQERVKEALISSFLQGTSQAVSAQMSESAGF ADLVGQSKGESLVAEEAHSHLSLAEVGTEEFLQKLTSQITEMVSAKISQAKLQVPGGDSD EETKTPSASPRHGRSRPSSSVQESSSESEDGDSRGEIFDIYVVTADYLPLGAEQDAIILR EGQYVEVLDSAHPLRWLVRTKPTKSSPSRQGWVSPAYLDKRLKLSPEWGPTEAPEFPGEA VSEDEYRTRLSSVIQELLSSEQAFVGELQFLESHHMKHLERSPRVPAAVASQKTVIFRNV QDISHFHSSFLKELQGCGTDDDVAMCFIKNQEAFEKYLEFLVGRVQAESVVVSTPVQEFY KKYAEETLSAKDPTQPPPPPLQHYLEQPVERVQKYQALLKELIRNKARNRQNCALLEQAY AVVSALPQRAENKLHVSLMENYPGTLEALGEPIRQGHFIVWEGAPGARMPWKGHNRHVFL FRNYLVICKPRRDSRTDTFSYVFRNMMKLSSIDLNDQVEGDDRAFEVWHEREDSVRKYLL QARTVIIKNSWVKEICGIQQRLAQPVWRPPEFEEELADCTAELGETVKLACRVTGTPKPI VSWYKDGKPVEVDPHHILIEDPDGSCTLILDNLTGIDSGQYMCFAASAAGNASTLGKILV QVPPRFVNKVRATPFVEGEDAQITCTVEGAPYPQIRWYKDGTLLAPGNRYRMLNEPRSGV LVLVIQAASKEDLGHYECELVNRLGSTRGGGELYMQSPALRARDQHHREQIVAAVEDTSV EGSAHSAQDGADQQAASVLWRLLGSEALGPSPGDLPNTRQSEPPAFEEAASQIPGAASGT PEVSQPGTHKGLEQETTSSGSQGWTVPIRVEGTAWPGAGTGQLLLDVHSQVIMETTQRTY VCQAPDTGVTRAPSMQVTIEDVQVQVGDMAQFDAVIEGHPPPIVTWYKGSTQLTSSARLS QRQDGTTYSLVLTDVAPHDAGVYTCVANNAGGQVLCKAELLVHGGDKLDAENQVYRRKLH SFYDVQEEIGRGVFGFVKRVQHKGNKMFCAAKFIPLRSKTRAQAYQERDILATLGHPLVT GLLDQFETRKTLILILELCSSEELLDRLFKKGVVTEAEVKVYIQQLVEGLHYLHSHGILH LDIKPPNILMVHPAREDIKICDFGFAQKITPSEPQYSKYGSPEFVSPEIIEQNPVSEGSD IWAMGVISYLSLTCSSPFAGESDRATLLNVLEGRVSWSSPTAAHLSEDAKDFIKATLQRT PRARPSTSQCLAHPWFLKSMPAEEAHFINTKQLKFLLARSRWQRSLMSYKSILVMRSIPE LLQGPPDSPSLGVARHLRGEASGASSSSSSSDNELAPFARAKSLPPSPVTHSPLLHPRGF LRPSASLPEETEASMPTADAAVPASPQSAGPPASPGCVPRHSVISSLFYQQAGEGAERGN KTSGAKRHPARRRHLLKGGYIARALPGLREPLMEYSLLEEEAAREEQASLMTKTPSFETA LRLPSSSVREVPGRSHSLDNPPVTTGPSPEACKEQLLFPPSTGLTHETTAKDRGHKEGFL QESVPFPPMSGDSRPGKQEGSSQDSCRGKPASSCHSELGSGSQEGCGPPSSQSLGSLPPQ SLKKELSTSCGPLFSEQPQAAPFPTQVSPLLGSEKEPQDGSLSEGPVPVPSSSPGSASQV DASLDTEGLSEAGDTCDFTPPPQRPQEQATTRKFSLESRGGYAGVAGYGTFAFGGDAGGM LGQGPLWARMAWAVSQSSEEQDEAATESPQPLESLGPIAEASGVPLRTSPSLTPWEEVEQ VSLVQIRDLSGDAEAADTISLDISEVDPAYLNLSDLYDIKYLPFEFMIFRRVPKPIEQPE SPGSETEAGQGLADFLEEAAWPWPGELGLRAGLEITEEPEEPGDLEALLGEAAVGRKRKW SPSRGLFQFPGRCLSGEEPVELGLRQRVKASMAHISRILKGRPEGPEREGPPRKKAGLAS FRLSGLKGRDQELSDEAVVLGQSVTLACQVLAQPTAQATWSKDGVLLESSGHLLISSTLK NFQLLTILVVKEEDLGTYTCCVSNPLGTAVTTGVLRKAERPSSSPRPEVGELYKDAVLLV WKPVESCGPVTYIVQCCIEGGSWTTLASDISDCCYLTGKLSRGGMYIFRTACVSKAGMGP YSSPSEQVLLGGPNHLASEEESSRGRPAQLLPSTKTFAFQMQIRRGRFSVVRQCREKASG RALAAKIVPYQPEDKTAVLREYEALKRLHHPHLAQLHAAYLSPRHLVLILELCSGPELLP SLAERESYSESDVKDYLWQMLSATQYLHAQHILHLDLRSENMMVTEYNLLKVIDLGNAQS LDQEKVPAPENFKDYLETMAPELLEGQGAVPQTDIWAIGVTAFIMLSGEYPESSEGTRDL QKGLRKGLIRLSRCYAGLSGGAVAFLQSSLCAQPWGRPCASTCLQCGWLTEEGPTGSRPT PVTFPTVRLRAFVREREKRRALLYKKHNLAQVR >ENSMUSP00000116487.1 pep:known chromosome:GRCm38:11:59012141:59035037:-1 gene:ENSMUSG00000061462.15 transcript:ENSMUST00000132238.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Obscn description:obscurin, cytoskeletal calmodulin and titin-interacting RhoGEF [Source:MGI Symbol;Acc:MGI:2681862] XEGHPAPSVHWLKEEAEKGVLWIGPDTPGYTMASSSKQHSLVLLDVGRQHQGTYTCIATN PAGQALCSASLHISGLAKEEEQERVKEALISSFLQGTSQAVSAQMSESAGFADLVGQSKG ESLVAEEAHSHLSLAEVGTEEFLQKLTSQITEMVSAKISQAKLQVPGGDSDEETKTPSAS PRHGRSRPSSSVQESSSESEDGDSRGELTICHWELSRMPSF >ENSMUSP00000020732.4 pep:known chromosome:GRCm38:11:59012141:59136402:-1 gene:ENSMUSG00000061462.15 transcript:ENSMUST00000020732.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obscn description:obscurin, cytoskeletal calmodulin and titin-interacting RhoGEF [Source:MGI Symbol;Acc:MGI:2681862] MDHSFSGAPRFLTRPKAFVVSVGKDATLSCQIVGNPTPHVSWEKDRQPVEAGARFRLAQD GDVYRLTILDLALGDSGQYVCRARNAIGEAFAAVGLRVDSEGTCAEQAPHFLLRPTSIRV REGADATFRCRVGGSPQPAVSWSKDGRRLGPPDAPHVRVEEHGESSALRIRSARPRDGGT YEVRAENPLGSASAAAALVVDSDAEVAGPPGTSTATLLAHLQQRREAMRAEGIPPSPPGA GTRTCTVTEGKHARLSCFVTGEPKPETVWKKDGQLVTEGRRHVVYEDEQENFVLKILFCK QSDRGLYTCTASNLVGQTYSSVLVVVREPTVPFKKRLQDLEVREKESATFQCEVAQPATE AAWFKEETRLWASAKYDIEEEGTERRLTVRNVSADDDAVYICETTEGSRTVAELSVQGNL TRKLPRKTAVRTGDTAIFWVELAVPEGPVRWLRNQEEMVAGGRVAITAEGTCHTLTIFQC TLEDMGEVAFVSGGCRTTTQFCVSAPRRPPLYPPADPVVKAKTESSVTLSWSAPPHGDRP VTIDGYVLEKRKLGAYAWSRCHEAGWLATTEFTITGVAEEGDFQFRVSAINHFGQGPYLE FPGTMHLVPMLAVKTPLKAVEAVEGGEVTFSVDLTVASSGEWFLDGEALKASSIYVIRCD RTRHMLTIREVPARLHGAQLKFVANGIETSIQMVVRAALGLPSSKLPAAAAREVLAQLHE EAQLLAELSDQAAAVTWLKDGRELSLGPKYEMQVSAGRRALLVRDVAQDDAGLYECVSRG SRTAYQLLVQDITDGYRDWGPAGPQKHMCKCAGAKIARYLGSSCYRFLQYDKGVWHWLEA ALDTRQGKGTSSCSLHEKPKLVFAKGQQAHSEVKAEAGNSATLSCEVTQAQTEVTWFKDG KKLSSSSKVRMEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLVFAKGQQ AHSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRMEASGCSRRLVVQQAGKA DAGEYSCEAGGQKLSFRLDVAEPKMVFAKEQQARSEVKAEAGASATLSCEVAQAQTEVTW FKDGKKLSSSSKVRMEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVTEPKLVFA KEQQARSEVKAEVGNSATLSCEVAQAQTEVTWFKDGKKLSSSSKVRMEASGCSRRLVVQQ AGKADAGEYSCEAGGQKLSFRLDVAEPKLVFAKEQQARSEVKAEAGNSATLSCEVAQAQT EVTWFKDGKKLSSSSKVRMEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFHLDVTEPK LVFAKEQQAHSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRMEASGCSRRL VVQQAGKADAGEYSCEAEGQKLSFRLDVAEPKLVFAKEQQARSEVKAEAGASATLSCEVA QAQTEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDV AEPKLVFAKEQQANSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVEASGC SRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLAFAKEQQAHSEVKAEAGASATLS CEVAQAQTEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSF RLDVAEPKLAFAKEQQAHSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVE ASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLAFAKEQQAHSEVKAEAGAS ATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQQAGKADAGEYSCEAGGQ KLFFRLDVAEPKLMFAKEQQAHSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSK VRVEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLVFAKEQQAHSEVKAE AGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQQVGKADAGEYSCE ARGQKLSFRLDVADTRLMFAKEQQARTEVKAEAGNSATLSCEVAQAQTEVTWFKDGKKLS SSSKVRVEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEAESQIPERPSRREP LVVKEHETIILTATIAAPSVAAVTWLKDGVEIRRSKRHEATSLGDTHTLTVRGAQVLDSA IYSCRVGKEGQDFPVQVEEVAAKFSKPLEPVEGELGGTVMLVCELSPEQAEVVWRCGNTQ LRPGKRFQMTSEGPRRTLTVSGLREDDAEEYVCESRDDRTSARLTVKVPRVVKFTSGLSA MVAEEGQEATFQCVVSPSDAGVTWYKDGMQLQPSEKFVMVESGASRSLTILGLTLEDAGQ VTVEAEGASSSAALRVREAPVLFKKKLEPQTVEERTSVTLEVELTRPWPEVKWTRNAAVL TPSENVEIRAEGARHCLVLRSVGFADRGFFGCETPDDKTQAKLNVEMRQVRLVRGLQEVE AKEQGTASMDVELSHAEVEGSWTRDGLRLQPGPKCHLAVQGPVHILTLSALQPQDSGLVA FRAEGVHTSARLIVTELPVSFTRVLQDVVATQKEKVTLECELSRPVDVRWLKDGVELRAG KAIGIVAQGTCRSLVIYRCETGDQGVYVCDALDAQTSASLRVQGRTYTLIFRRVLAEDAG EVKFVAENAESRAHLRVKELPVTLLRPLRDKIAMEKHRGVLECQVSRASAQVRWFKGGVE LQSGPKYEVVSDGLYRKLVINDVQPEDEDTYTCDAGNVKTSAQFFVEEQSITIVRGLKDM TVMEPAPAWFECETSIPSVRPPKWLLGKTVLQAGGNVGLEQDGTVHRLTLHKTCSTMTGP VHFTIGKSRSSAQLVVSDIPVVLTRPLEPKAGRELQSVVLSCDFRPAPKAVQWYKDDTPL SPSEKFKMALEGQMAELRILRLTPADAGVYRCQAGSAQSSAEVTVEAREVTVIQPLQDAE AMEEGRVCFSCELSHKDEDIEWSLNGTPLYNDSFHEISHEGCLHTLVLKSVRQADTGTVC ATSPKVSVSARLVVKGKPVVFLKALDDVSAEERGTLTLQCEVSDPEARVVWRKDGVELGP SDKYDFLHKAGARGLTVHDLSHEDAGLYTCQVGSKETQSKVSVHDLHVGITKRLKTVEVL EGESCSFECVLSHESPSDPAVWTVGGKTVGGSGHFHAVRQGRKYTLTVKDAALSDAGEVV FSVLGLTSKASLIIRERPVDITKPLEDQRTTLGEDVMLSCELSRAGTSVRWLKDGKAIRK SQKYDLLSEGTRAVLVVRKASLKDSGEYTCETEASKSTAKLCVEEKANRFTEELADLQVE EKGRAVFTCKTEHPASVVTWRKGLLELRASGKHVPSQEGLTLKLTINALERTDSDTYTCD IGQARTQARLLVHGQKVRVIEDLEDTAVQEGSSAKFCCRIAPADYGPVHWFLDKTPLHSN ELNEITVQPGGYHVLTLRQLALKDSGTVYFEAGDQRTSAALRVTEKPSIFSRPLTDVTVT EGEDLTLVCETTTVDSSVRWTKDGKTLRPSARCQLSHEGCQAQLLITATTPQDGGRYKCE IGGASSSSIVRVHALPVRFRESLKDVEVPEGKAATLRCVLSSVAAPVEWRHGDDVLKSSN KYSLRQEGAVLELVIRDLQPQDSGQYSCSFGDQTTSATLTVKTSSAQFVGKLRNKEATEG TTVTLRCELTKEAPVEWKKGTETLRNGDKYSLKQDGAVCELQICSLLVADAGEYSCVCGQ EKTSATLTVKALLVHFVRRLRSKEATEGDTTTLQCELSKAAPVEWRKGTETLRDGDRYSL KQDGAVCELQIRSLTIADAGEYLCTCGQEKTSATLTVRALPAKFKDSLKNEEATEGTTAT LSCELSKAAPVTWKKGPKTLQSGDKYVLRQDGAVCGLQIHGLTMADAGEYSCVCGQEKTS ATLTVRGLPAKFIEDLRSQEATEGATAILRCELSKAAPVEWRKGSETLKDGDRYTLRQDG AVCELQIRGLAVVDTGTYSLPTKFTEGLRNEEATEGTMATLRCQMSKAAPVEWRKGSETL RDGDRYSLRQDGAMCELQIRGLTIEDSGEYTCVCGQEKTSATLSVKALPSRFIEDLRSQE ATEGTMATLRCQMSKTAPVEWKKGSETLRDGGRYSLRQDGPVCELQICDLAVEDAGEYSC VCGQEKTSATLSIKALPPRFIEDLRSQEATEGTMATLRCQMSKAAPVEWRKGSETLGDGG RYSLRQNGAVCELQIHDLAVEDTGEYSCVCGQEKTSATLNVKALPPRFIEDLRSQEATEG TMATLRCQMSKAAPVEWRKGSETLRDGGRYSLRQDGAVCELQIHDLDVEDAGQYSCVCGQ EKTSAVLTVDALPTRFTEELKSKEFMEGCTATLQCQLSRKASVEWEKGTETLRDTDRYKL QQKGAMRELQMYSLAMLGAGEHTCGGGGGAKITAMLTVRALPPKFTEGLKKEEATEGTMV TLRCQMSKEATVEWRKGAKTLSDGGRYSLRQDGAMCELQICGLAVEDAGEYSCVCGQEKT SATLSVKALPPRFIEDLRSQEATEGTMATLRCQMSKAAPVEWRKGSETLRDGDRYSLRQD GAVCELQIRDLAVEDAGEYLCVCGQEKTSATLSVKALPPRFIEDLRSQEATEGTMATLRC QMSKAAPVEWRKGSKTLRDGDRYSLRQDGAMCELQICDLAVEDTGDYSCVCGQEKTSATL SVKALPPRFIEDLRSQEAREGTVATLRCRMSKAAPVEWRKGSETLKDGDRYSLRQEGNLC ELQIRDLAVEDTEEYSCVCGQEKTSATLSVKALPAKFIEDLRSQEAPESSTVTLRCKLSK KASVVWKKGSETLRNGARYSLRQDGAVCELEIRDLTVEDTGEYSCTCGQERTSATLSIMA PQVVFQQPLQNLQAEEGSMASLRCELSVPNAAMVWSKGGLELQGDTRREARQQGCVAELL LRDLRREDAGEYSCTCGSQTTSATLMVTAAPVRFLRELQAQDVDEGATARLRCELSREAV SVEWRKGSLQLFPCAKYQMVQEGTTAELLVHGVEQEDAGEYTCDAGHTQSIARLSVRAPK PKFKTDLQSTEQEAGGTARLCCQLSEAEPGTPVQWLKEGVELHVGSKYEMRRQGAVCELL IHGLEAKDTGEYACLVGGQKTLASLRVKEPEVTIVRGLVDMEVQADEDVEFTCKVSQAGA TDVQWHLQGLPLQSNEVTEVAVLADGCTHVLQLKGVTLEDAGTVSFHVGGLSSSAQLTVR GDRSGAPEGCAAQRGPGCPLPVPTVQGLGPGGSLGFGRGSLAVQRDERHHCGAGHTLPAH SAQGDPGGCWDHHSPSGLMLLRGPAEGHSKNTVLRGLENVDALEGGEALFECQLSQPEVA AHTWLLDDEPVHTSEKVEVVYFENGLRHLLLLKNLKPQDSCRVTFLAGDVVTSAFLTVRG WRLEVLEPPHDASVKAGMQVRFTCILSEAVPVGEATWYINGAAIQPDDTDWTVTTDGSHH ALTLSNAQPQHAGEVTFAARDAVASARLSVLALPDPPEDAEVVGRSDHSVTLSWVAPMSD GGGGLCGYRVEMKEASTGQWQLCHDLVPGPECVVDDLVPGKTYRFRVAAVGPAGAGEPVH LPQMAPAPAPAPAPAPAPETRQAVVGEDICLELEVAADGGEVVWHKGTERIQPGGHFEVL SRGQRQMLVIKGFRTEDQGEYRCGPIQGLPSSGAATFNVVMTSGSGDEVPAQPSLPPEAA QEGDLHLLWEALARKRRMSREPTLDSISELPEEDSRVQHLRQEAEETAPDLSEGYSTADE LARTGEADLSHTSSDDESRAGTPSLVTYLKKAGGPGISPLASKHEAQVTTSVKPQKQQEP VVPTCPPPGDLSAADLMDPSLDKAAVKIQAAFKGYKVRKEMKQQEGPVFSRTFGDTEAQV GDVLRLECVLATKTDMRACWLKDGIELTDGRHYHIDQLKDGTCSLLVTGLAPTDSGRYTC QVSTKSGRVSHSACVVVSGTESEAESSSGGELDDAFRRAARRLHRLFRTKSPAELSEEEL FLSADEGPGEPEEPADWQTYREDENFVCIRFESLAEARQAVTCFRNMFATMGIGVEISLG EQGPRGVEMRIGKVAPTVTPAVPLAKTPGLQTSDAAPVFLTELQNQDVQDGYPMSFDCVV TGQPVPSVRWFKDGKLLEEDDHYMINEDQQGGHQLIITAVVPADMGVYRCLAENSMGVSS TKAELRVELTSTDYDTAADATETSSYFSAQGYLSSREQEGTESDEGQLPQVLEELKDLQV APGTRLAKFQLKVKGYPAPKLYWFKDGQPLTTSDHIRMTDKKTLHTLEIVSVTREDSGQY AAYISNAVGAAYSSARLLVRGPSEPEEKPASDVHERLVPPRILEKFTPKKVKRGSSITFS VKVEGHPAPSVHWLKEEAEKGVLWIGPDTPGYTMASSSKQHSLVLLDVGRQHQGTYTCIA TNPAGQALCSASLHISGLAKEEEQERVKEALISSFLQGTSQAVSAQMSESAGFADLVGQS KGESLVAEEAHSHLSLAEVGTEEFLQKLTSQITEMVSAKISQAKLQVPGGDSDEETKTPS ASPRHGRSRPSSSVQESSSESEDGDSRGEIFDIYVVTADYLPLGAEQDAIILREGQYVEV LDSAHPLRWLVRTKPTKSSPSRQGWVSPAYLDKRLKLSPEWGPTEAPEFPGEAVSEDEYR TRLSSVIQELLSSEQAFVGELQFLESHHMKHLERSPRVPAAVASQKTVIFRNVQDISHFH SSFLKELQGCGTDDDVAMCFIKNQEAFEKYLEFLVGRVQAESVVVSTPVQEFYKKYAEET LSAKDPTQPPPPPLQHYLEQPVERVQKYQALLKELIRNKARNRQNCALLEQAYAVVSALP QRAENKLHVSLMENYPGTLEALGEPIRQGHFIVWEGAPGARMPWKGHNRHVFLFRNYLVI CKPRRDSRTDTFSYVFRNMMKLSSIDLNDQVEGDDRAFEVWHEREDSVRKYLLQARTVII KNSWVKEICGIQQRLAQPVWRPPEFEEELADCTAELGETVKLACRVTGTPKPIVSWYKDG KPVEVDPHHILIEDPDGSCTLILDNLTGIDSGQYMCFAASAAGNASTLGKILVQVPPRFV NKVRATPFVEGEDAQITCTVEGAPYPQIRWYKDGTLLAPGNRYRMLNEPRSGVLVLVIQA ASKEDLGHYECELVNRLGSTRGGGELYMQSPALRARDQHHREQIVAAVEVTEQETKVPKK TVIIEETITTVVKSPRGRRQSPGKSPSRSPSRRSASPRRPGLLAPERLYPPGTSPSRRLE VEQGRKAPVPALYVTEAEVHAPASQSQPKWLEVEETIEVRVKKTGSRGASPVREMTSRGE GILFTLPGGIPGRDPNANNSNNKSVYQEARTWGPAVVHVGEPFIFQVDSVGNVDWVAASP EPEQVRASQKEENTERQEGCSDGDENTFLMEEPQDTDSLQGRDPKILTHNGRVLTLADLE DYVPQEGETFGCGDSTPSTPDEPPCEVSVLQREISEPTVGQPVLLNVGRPPGTGATPSFF RPGSQVHSPESVSFLLREAWSGPVSAAPWTSSFHTHVQSSVDGSHGSFKTEVSTQTVSFG AVGETVTLHIDPDGGEAPGPSQG >ENSMUSP00000120128.1 pep:known chromosome:GRCm38:11:59012142:59029509:-1 gene:ENSMUSG00000061462.15 transcript:ENSMUST00000127937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obscn description:obscurin, cytoskeletal calmodulin and titin-interacting RhoGEF [Source:MGI Symbol;Acc:MGI:2681862] XPVERVQKYQALLKELIRNKARNRQNCALLEQAYAVVSALPQRAENKLHVSLMENYPGTL EALGEPIRQGHFIVWEGAPGARMPWKGHNRHVFLFRNYLVICKPRRDSRTDTFSYVFRNM MKLSSIDLNDQVEGDDRAFEVWHEREDSVRKYLLQARTVIIKNSWVKEICGIQQRLAQPV WRPPEFEEELADCTAELGETVKLACRVTGTPKPIVSWYKDGKPVEVDPHHILIEDPDGSC TLILDNLTGIDSGQYMCFAASAAGNASTLGKILVQVPPRFVNKVRATPFVEGEDAQITCT VEGAPYPQIRWYKDGTLLAPGNRYRMLNEPRSGVLVLVIQAASKEDLGHYECELVNRLGS TRGGGELYMQSPALRARDQHHREQIVAAVEERRPSPP >ENSMUSP00000122181.1 pep:known chromosome:GRCm38:11:59073107:59079710:-1 gene:ENSMUSG00000061462.15 transcript:ENSMUST00000133040.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obscn description:obscurin, cytoskeletal calmodulin and titin-interacting RhoGEF [Source:MGI Symbol;Acc:MGI:2681862] DGVELRAGKAIGIVAQGTCRSLVIYRCETGDQGVYVCDALDAQTSASLRVQGRSVQITKP LEDVEVMEKEGATFSCEVSHDEVPGLWFRESSKLRPSDNVRIRQEGRTYTLIFRRVLAED AGEVKFVAENAESRAHLRVKELPVTLLRPLRDKIAMEKHRGVLECQVSRASAQVRWFKGG VELQSGPKYEVVSDGLYRKLVINDVQPEDEDTYTCDAGNVKTSAQFFVEEQSITIVRGLK DMTVMEPAPAWFECETSIPSVRPPKWLLGKTVLQAGGNVGLEQDGTVHRLTLHKTCSTMT GPVHFTIGKSRSSAQLVVSDIPVVLTRPLEPKAGRELQSVVLSCDFRPAPKAVQWYKDDT PLSPSEKFKMALEGQMAELRILRLTPADAGVYRCQAGSAQSSAEVTVEAREVTVIQPLQD AEAMEEGRVCFSCELSHKDEDIEWSLNGTPLYNDSFHEISHEGCLHTLVLKSVRQADTGT VCATSPKVSVSARLVVKGKPVVFLKALDDVSAEERGTLTLQCEVSDPEARVVWRKDGVEL GPSDKYDFLHKAGARGLTVHDLSHEDAGLYTCQVGSKETQSKVSVHDLHVGITKRLKTVE VLEGESCSFECVLSHESPSDPAVWTVGGKTVGGSGHFHAVRQGRKYTLTVKDAALSDAGE VVFSVLGLTSKASLIIRERPVDITKPLEDQRTTLGEDVMLSCELSRAGTSVRWLKDGKAI RKSQKYDLLSEGTRAVLVVRKASLKDSGEYTCETEASKSTAKLCVEEKANRFTEELADLQ VEEKGRAVFTCKTEHPASVVTWRKGLLELRASGKHVPSQEGLTLKLTINALERTDSDTYT CDIGQARTQARLLVH >ENSMUSP00000049737.7 pep:known chromosome:GRCm38:11:59012141:59136375:-1 gene:ENSMUSG00000061462.15 transcript:ENSMUST00000052872.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obscn description:obscurin, cytoskeletal calmodulin and titin-interacting RhoGEF [Source:MGI Symbol;Acc:MGI:2681862] MDHSFSGAPRFLTRPKAFVVSVGKDATLSCQIVGNPTPHVSWEKDRQPVEAGARFRLAQD GDVYRLTILDLALGDSGQYVCRARNAIGEAFAAVGLRVDSEGTCAEQAPHFLLRPTSIRV REGADATFRCRVGGSPQPAVSWSKDGRRLGPPDAPHVRVEEHGESSALRIRSARPRDGGT YEVRAENPLGSASAAAALVVDSDAEVAGPPGTSTATLLAHLQQRREAMRAEGIPPSPPGA GTRTCTVTEGKHARLSCFVTGEPKPETVWKKDGQLVTEGRRHVVYEDEQENFVLKILFCK QSDRGLYTCTASNLVGQTYSSVLVVVREPTVPFKKRLQDLEVREKESATFQCEVAQPATE AAWFKEETRLWASAKYDIEEEGTERRLTVRNVSADDDAVYICETTEGSRTVAELSVQGNL TRKLPRKTAVRTGDTAIFWVELAVPEGPVRWLRNQEEMVAGGRVAITAEGTCHTLTIFQC TLEDMGEVAFVSGGCRTTTQFCVSAPRRPPLYPPADPVVKAKTESSVTLSWSAPPHGDRP VTIDGYVLEKRKLGAYAWSRCHEAGWLATTEFTITGVAEEGDFQFRVSAINHFGQGPYLE FPGTMHLVPMLAVKTPLKAVEAVEGGEVTFSVDLTVASSGEWFLDGEALKASSIYVIRCD RTRHMLTIREVPARLHGAQLKFVANGIETSIQMVVRAALGLPSSKLPAAAAREVLAQLHE EAQLLAELSDQAAAVTWLKDGRELSLGPKYEMQVSAGRRALLVRDVAQDDAGLYECVSRG SRTAYQLLVQEPKLVFAKGQQAHSEVKAEAGNSATLSCEVTQAQTEVTWFKDGKKLSSSS KVRMEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLVFAKGQQAHSEVKA EAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRMEASGCSRRLVVQQAGKADAGEYSC EAGGQKLSFRLDVAEPKMVFAKEQQARSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKL SSSSKVRMEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVTEPKLVFAKEQQARS EVKAEVGNSATLSCEVAQAQTEVTWFKDGKKLSSSSKVRMEASGCSRRLVVQQAGKADAG EYSCEAGGQKLSFRLDVAEPKLVFAKEQQARSEVKAEAGNSATLSCEVAQAQTEVTWFKD GKKLSSSSKVRMEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFHLDVTEPKLVFAKEQ QAHSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRMEASGCSRRLVVQQAGK ADAGEYSCEAEGQKLSFRLDVAEPKLVFAKEQQARSEVKAEAGASATLSCEVAQAQTEVT WFKDGKKLSSSSKVRVEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLVF AKEQQANSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQ QAGKADAGEYSCEAGGQKLSFRLDVAEPKLAFAKEQQAHSEVKAEAGASATLSCEVAQAQ TEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEP KLAFAKEQQAHSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVEASGCSRR LVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLAFAKEQQAHSEVKAEAGASATLSCEV AQAQTEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQQAGKADAGEYSCEAGGQKLFFRLD VAEPKLMFAKEQQAHSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVEASG CSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLVFAKEQQAHSEVKAEAGASATL SCEVAQAQTEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQQVGKADAGEYSCEARGQKLS FRLDVADTRLMFAKEQQARTEVKAEAGNSATLSCEVAQAQTEVTWFKDGKKLSSSSKVRV EASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEAESQIPERPSRREPLVVKEHE TIILTATIAAPSVAAVTWLKDGVEIRRSKRHEATSLGDTHTLTVRGAQVLDSAIYSCRVG KEGQDFPVQVEEVAAKFSKPLEPVEGELGGTVMLVCELSPEQAEVVWRCGNTQLRPGKRF QMTSEGPRRTLTVSGLREDDAEEYVCESRDDRTSARLTVKVPRVVKFTSGLSAMVAEEGQ EATFQCVVSPSDAGVTWYKDGMQLQPSEKFVMVESGASRSLTILGLTLEDAGQVTVEAEG ASSSAALRVREAPVLFKKKLEPQTVEERTSVTLEVELTRPWPEVKWTRNAAVLTPSENVE IRAEGARHCLVLRSVGFADRGFFGCETPDDKTQAKLNVEMRQVRLVRGLQEVEAKEQGTA SMDVELSHAEVEGSWTRDGLRLQPGPKCHLAVQGPVHILTLSALQPQDSGLVAFRAEGVH TSARLIVTELPVSFTRVLQDVVATQKEKVTLECELSRPVDVRWLKDGVELRAGKAIGIVA QGTCRSLVIYRCETGDQGVYVCDALDAQTSASLRVQGRTYTLIFRRVLAEDAGEVKFVAE NAESRAHLRVKELPVTLLRPLRDKIAMEKHRGVLECQVSRASAQVRWFKGGVELQSGPKY EVVSDGLYRKLVINDVQPEDEDTYTCDAGNVKTSAQFFVEEQSITIVRGLKDMTVMEPAP AWFECETSIPSVRPPKWLLGKTVLQAGGNVGLEQDGTVHRLTLHKTCSTMTGPVHFTIGK SRSSAQLVVSDIPVVLTRPLEPKAGRELQSVVLSCDFRPAPKAVQWYKDDTPLSPSEKFK MALEGQMAELRILRLTPADAGVYRCQAGSAQSSAEVTVEAREVTVIQPLQDAEAMEEGRV CFSCELSHKDEDIEWSLNGTPLYNDSFHEISHEGCLHTLVLKSVRQADTGTVCATSPKVS VSARLVVKGKPVVFLKALDDVSAEERGTLTLQCEVSDPEARVVWRKDGVELGPSDKYDFL HKAGARGLTVHDLSHEDAGLYTCQVGSKETQSKVSVHDLHVGITKRLKTVEVLEGESCSF ECVLSHESPSDPAVWTVGGKTVGGSGHFHAVRQGRKYTLTVKDAALSDAGEVVFSVLGLT SKASLIIRERPVDITKPLEDQRTTLGEDVMLSCELSRAGTSVRWLKDGKAIRKSQKYDLL SEGTRAVLVVRKASLKDSGEYTCETEASKSTAKLCVEEKANRFTEELADLQVEEKGRAVF TCKTEHPASVVTWRKGLLELRASGKHVPSQEGLTLKLTINALERTDSDTYTCDIGQARTQ ARLLVHGQKVRVIEDLEDTAVQEGSSAKFCCRIAPADYGPVHWFLDKTPLHSNELNEITV QPGGYHVLTLRQLALKDSGTVYFEAGDQRTSAALRVTEKPSIFSRPLTDVTVTEGEDLTL VCETTTVDSSVRWTKDGKTLRPSARCQLSHEGCQAQLLITATTPQDGGRYKCEIGGASSS SIVRVHALPVRFRESLKDVEVPEGKAATLRCVLSSVAAPVEWRHGDDVLKSSNKYSLRQE GAVLELVIRDLQPQDSGQYSCSFGDQTTSATLTVKTSSAQFVGKLRNKEATEGTTVTLRC ELTKEAPVEWKKGTETLRNGDKYSLKQDGAVCELQICSLLVADAGEYSCVCGQEKTSATL TVKALLVHFVRRLRSKEATEGDTTTLQCELSKAAPVEWRKGTETLRDGDRYSLKQDGAVC ELQIRSLTIADAGEYLCTCGQEKTSATLTVRALPAKFKDSLKNEEATEGTTATLSCELSK AAPVTWKKGPKTLQSGDKYVLRQDGAVCGLQIHGLTMADAGEYSCVCGQEKTSATLTVRG LPAKFIEDLRSQEATEGATAILRCELSKAAPVEWRKGSETLKDGDRYTLRQDGAVCELQI RGLAVVDTGTYSSLPTKFTEGLRNEEATEGTMATLRCQMSKAAPVEWRKGSETLRDGDRY SLRQDGAMCELQIRGLTIEDSGEYTCVCGQEKTSATLSVKALPSRFIEDLRSQEATEGTM ATLRCQMSKTAPVEWKKGSETLRDGGRYSLRQDGPVCELQICDLAVEDAGEYSCVCGQEK TSATLSIKALPPRFIEDLRSQEATEGTMATLRCQMSKAAPVEWRKGSETLGDGGRYSLRQ NGAVCELQIHDLAVEDTGEYSCVCGQEKTSATLNVKALPPRFIEDLRSQEATEGTMATLR CQMSKAAPVEWRKGSETLRDGGRYSLRQDGAVCELQIHDLDVEDAGQYSCVCGQEKTSAV LTVDALPPKFTEGLKKEEATEGTMVTLRCQMSKEATVEWRKGAKTLSDGGRYSLRQDGAM CELQICGLAVEDAGEYSCVCGQEKTSATLSVKALPPRFIEDLRSQEATEGTMATLRCQMS KAAPVEWRKGSETLRDGDRYSLRQDGAVCELQIRDLAVEDAGEYLCVCGQEKTSATLSVK ALPPRFIEDLRSQEATEGTMATLRCQMSKAAPVEWRKGSKTLRDGDRYSLRQDGAMCELQ ICDLAVEDTGDYSCVCGQEKTSATLSVKALPPRFIEDLRSQEAREGTVATLRCRMSKAAP VEWRKGSETLKDGDRYSLRQEGNLCELQIRDLAVEDTEEYSCVCGQEKTSATLSVKALPA KFIEDLRSQEAPESSTVTLRCKLSKKASVVWKKGSETLRNGARYSLRQDGAVCELEIRDL TVEDTGEYSCTCGQERTSATLSIMAPQVVFQQPLQNLQAEEGSMASLRCELSVPNAAMVW SKGGLELQGDTRREARQQGCVAELLLRDLRREDAGEYSCTCGSQTTSATLMVTAAPVRFL RELQAQDVDEGATARLRCELSREAVSVEWRKGSLQLFPCAKYQMVQEGTTAELLVHGVEQ EDAGEYTCDAGHTQSIARLSVRAPKPKFKTDLQSTEQEAGGTARLCCQLSEAEPGTPVQW LKEGVELHVGSKYEMRRQGAVCELLIHGLEAKDTGEYACLVGGQKTLASLRVKEPEVTIV RGLVDMEVQADEDVEFTCKVSQAGATDVQWHLQGLPLQSNEVTEVAVLADGCTHVLQLKG VTLEDAGTVSFHVGGLSSSAQLTVRVPEVTVLEPLKDVQLSEGQDAHFRCRLSRASGQEA RWALGGVPLQCNEMNDITVEQGTLYLLTLHKVTLEDAGTITLQVGSCSSEAQLKVTAKNT VLRGLENVDALEGGEALFECQLSQPEVAAHTWLLDDEPVHTSEKVEVVYFENGLRHLLLL KNLKPQDSCRVTFLAGDVVTSAFLTVRGWRLEVLEPPHDASVKAGMQVRFTCILSEAVPV GEATWYINGAAIQPDDTDWTVTTDGSHHALTLSNAQPQHAGEVTFAARDAVASARLSVLA LPDPPEDAEVVGRSDHSVTLSWVAPMSDGGGGLCGYRVEMKEASTGQWQLCHDLVPGPEC VVDDLVPGKTYRFRVAAVGPAGAGEPVHLPQMVKIAPAPAPAPAPAPAPAPETRQAVVGE DICLELEVAADGGEVVWHKGTERIQPGGHFEVLSRGQRQMLVIKGFRTEDQGEYRCGPIQ GLPSSGAATFNVVMTSGSGDEVPAQPSLPPEAAQEGDLHLLWEALARKRRMSREPTLDSI SELPEEDSRVQHLRQEAEETAPDLSEGYSTADELARTGEADLSHTSSDDESRAGTPSLVT YLKKAGGPGISPLASKHEAQVTTSVKPQKQQEPVVPTCPPPGDLSAADLMDPSLDKAAVK IQAAFKGYKVRKEMKQQEGPVFSRTFGDTEAQVGDVLRLECVLATKTDMRACWLKDGIEL TDGRHYHIDQLKDGTCSLLVTGLAPTDSGRYTCQVSTKSGRVSHSACVVVSGTESEAESS SGGELDDAFRRAARRLHRLFRTKSPAELSEEELFLSADEGPGEPEEPADWQTYREDENFV CIRFESLAEARQAVTCFRNMFATMGIGVEISLGEQGPRGVEMRIGKVAPTVTPAVPLAKT PGLQTSDAAPVFLTELQNQDVQDGYPMSFDCVVTGQPVPSVRWFKDGKLLEEDDHYMINE DQQGGHQLIITAVVPADMGVYRCLAENSMGVSSTKAELRVELTSTDYDTAADATETSSYF SAQGYLSSREQEGTESDEGQLPQVLEELKDLQVAPGTRLAKFQLKVKGYPAPKLYWFKDG QPLTTSDHIRMTDKKTLHTLEIVSVTREDSGQYAAYISNAVGAAYSSARLLVRGPSEPEE KPASDVHERLVPPRILEKFTPKKVKRGSSITFSVKVEGHPAPSVHWLKEEAEKGVLWIGP DTPGYTMASSSKQHSLVLLDVGRQHQGTYTCIATNPAGQALCSASLHISGLAKEEEQERV KEALISSFLQGTSQAVSAQMSESAGFADLVGQSKGESLVAEEAHSHLSLAEVGTEEFLQK LTSQITEMVSAKISQAKLQVPGGDSDEETKTPSASPRHGRSRPSSSVQESSSESEDGDSR GEIFDIYVVTADYLPLGAEQDAIILREGQYVEVLDSAHPLRWLVRTKPTKSSPSRQGWVS PAYLDKRLKLSPEWGPTEAPEFPGEAVSEDEYRTRLSSVIQELLSSEQAFVGELQFLESH HMKHLERSPRVPAAVASQKTVIFRNVQDISHFHSSFLKELQGCGTDDDVAMCFIKNQEAF EKYLEFLVGRVQAESVVVSTPVQEFYKKYAEETLSAKDPTQPPPPPLQHYLEQPVERVQK YQALLKELIRNKARNRQNCALLEQAYAVVSALPQRAENKLHVSLMENYPGTLEALGEPIR QGHFIVWEGAPGARMPWKGHNRHVFLFRNYLVICKPRRDSRTDTFSYVFRNMMKLSSIDL NDQVEGDDRAFEVWHEREDSVRKYLLQARTVIIKNSWVKEICGIQQRLAQPVWRPPEFEE ELADCTAELGETVKLACRVTGTPKPIVSWYKDGKPVEVDPHHILIEDPDGSCTLILDNLT GIDSGQYMCFAASAAGNASTLGKILVQVPPRFVNKVRATPFVEGEDAQITCTVEGAPYPQ IRWYKDGTLLAPGNRYRMLNEPRSGVLVLVIQAASKEDLGHYECELVNRLGSTRGGGELY MQSPALRARDQHHREQIVAAVEVTEQETKVPKKTVIIEETITTVVKSPRGRRQSPGKSPS RSPSRRSASPRRPGLLAPERLYPPGTSPSRRLEVEQGRKAPVPALYVTEAEVHAPASQSQ PKWLEVEETIEVRVKKTGSRGASPVREMTSRGEGILFTLPGGIPGRDPNANNSNNKSVYQ EARTWGPAVVHVGEPFIFQVDSVGNVDWVAASPEPEQVRASQKEENTERQEGCSDGDENT FLMEEPQDTDSLQGRDPKILTHNGRVLTLADLEDYVPQEGETFGCGDSTPSTPDEPPCEV SVLQREISEPTVGQPVLLNVGRPPGTGATPSFFRPGSQVHSPESVSFLLREAWSGPVSAA PWTSSFHTHVQSSVDGSHGSFKTEVSTQTVSFGAVGETVTLHIDPDGGEAPGPSQG >ENSMUSP00000038264.7 pep:known chromosome:GRCm38:11:58994256:59136375:-1 gene:ENSMUSG00000061462.15 transcript:ENSMUST00000047441.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obscn description:obscurin, cytoskeletal calmodulin and titin-interacting RhoGEF [Source:MGI Symbol;Acc:MGI:2681862] MDHSFSGAPRFLTRPKAFVVSVGKDATLSCQIVGNPTPHVSWEKDRQPVEAGARFRLAQD GDVYRLTILDLALGDSGQYVCRARNAIGEAFAAVGLRVDSEGTCAEQAPHFLLRPTSIRV REGADATFRCRVGGSPQPAVSWSKDGRRLGPPDAPHVRVEEHGESSALRIRSARPRDGGT YEVRAENPLGSASAAAALVVDSDAEVAGPPGTSTATLLAHLQQRREAMRAEGIPPSPPGA GTRTCTVTEGKHARLSCFVTGEPKPETVWKKDGQLVTEGRRHVVYEDEQENFVLKILFCK QSDRGLYTCTASNLVGQTYSSVLVVVREPTVPFKKRLQDLEVREKESATFQCEVAQPATE AAWFKEETRLWASAKYDIEEEGTERRLTVRNVSADDDAVYICETTEGSRTVAELSVQGNL TRKLPRKTAVRTGDTAIFWVELAVPEGPVRWLRNQEEMVAGGRVAITAEGTCHTLTIFQC TLEDMGEVAFVSGGCRTTTQFCVSAPRRPPLYPPADPVVKAKTESSVTLSWSAPPHGDRP VTIDGYVLEKRKLGAYAWSRCHEAGWLATTEFTITGVAEEGDFQFRVSAINHFGQGPYLE FPGTMHLVPMLAVKTPLKAVEAVEGGEVTFSVDLTVASSGEWFLDGEALKASSIYVIRCD RTRHMLTIREVPARLHGAQLKFVANGIETSIQMVVRAALGLPSSKLPAAAAREVLAQLHE EAQLLAELSDQAAAVTWLKDGRELSLGPKYEMQVSAGRRALLVRDVAQDDAGLYECVSRG SRTAYQLLVQDITDGYRDWGPAGPQKHMCKCAGAKIARYLGSSCYRFLQYDKGVWHWLEA ALDTRQGKGTSSCSLHEKPKLVFAKGQQAHSEVKAEAGASATLSCEVAQAQTEVTWFKDG KKLSSSSKVRMEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKMVFAKEQQ ARSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRMEASGCSRRLVVQQAGKA DAGEYSCEAGGQKLSFRLDVTEPKLVFAKEQQARSEVKAEVGNSATLSCEVAQAQTEVTW FKDGKKLSSSSKVRMEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLVFA KEQQARSEVKAEAGNSATLSCEVAQAQTEVTWFKDGKKLSSSSKVRMEASGCSRRLVVQQ AGKADAGEYSCEAGGQKLSFHLDVTEPKLVFAKEQQAHSEVKAEAGASATLSCEVAQAQT EVTWFKDGKKLSSSSKVRMEASGCSRRLVVQQAGKADAGEYSCEAEGQKLSFRLDVAEPK LVFAKEQQARSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVEASGCSRRL VVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLVFAKEQQANSEVKAEAGASATLSCEVA QAQTEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSFRLDV AEPKLAFAKEQQAHSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVEASGC SRRLVVQQAGKADAGEYSCEAGGQKLSFRLDVAEPKLAFAKEQQAHSEVKAEAGASATLS CEVAQAQTEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQQAGKADAGEYSCEAGGQKLSF RLDVAEPKLAFAKEQQAHSEVKAEAGASATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVE ASGCSRRLVVQQAGKADAGEYSCEAGGQKLFFRLDVAEPKLVFAKEQQAHSEVKAEAGAS ATLSCEVAQAQTEVTWFKDGKKLSSSSKVRVEASGCSRRLVVQQVGKADAGEYSCEARGQ KLSFRLDVAEAESQIPERPSRREPLVVKEHETIILTATIAAPSVAAVTWLKDGVEIRRSK RHEATSLGDTHTLTVRGAQVLDSAIYSCRVGKEGQDFPVQVEEVAAKFSKPLEPVEGELG GTVMLVCELSPEQAEVVWRCGNTQLRPGKRFQMTSEGPRRTLTVSGLREDDAEEYVCESR DDRTSARLTVKVPRVVKFTSGLSAMVAEEGQEATFQCVVSPSDAGVTWYKDGMQLQPSEK FVMVESGASRSLTILGLTLEDAGQVTVEAEGASSSAALRVREAPVLFKKKLEPQTVEERT SVTLEVELTRPWPEVKWTRNAAVLTPSENVEIRAEGARHCLVLRSVGFADRGFFGCETPD DKTQAKLNVEMRQVRLVRGLQEVEAKEQGTASMDVELSHAEVEGSWTRDGLRLQPGPKCH LAVQGPVHILTLSALQPQDSGLVAFRAEGVHTSARLIVTELPVSFTRVLQDVVATQKEKV TLECELSRPVDVRWLKDGVELRAGKAIGIVAQGTCRSLVIYRCETGDQGVYVCDALDAQT SASLRVQGRTYTLIFRRVLAEDAGEVKFVAENAESRAHLRVKELPVTLLRPLRDKIAMEK HRGVLECQVSRASAQVRWFKGGVELQSGPKYEVVSDGLYRKLVINDVQPEDEDTYTCDAG NVKTSAQFFVEEQSITIVRGLKDMTVMEPAPAWFECETSIPSVRPPKWLLGKTVLQAGGN VGLEQDGTVHRLTLHKTCSTMTGPVHFTIGKSRSSAQLVVSDIPVVLTRPLEPKAGRELQ SVVLSCDFRPAPKAVQWYKDDTPLSPSEKFKMALEGQMAELRILRLTPADAGVYRCQAGS AQSSAEVTVEAREVTVIQPLQDAEAMEEGRVCFSCELSHKDEDIEWSLNGTPLYNDSFHE ISHEGCLHTLVLKSVRQADTGTVCATSPKVSVSARLVVKGKPVVFLKALDDVSAEERGTL TLQCEVSDPEARVVWRKDGVELGPSDKYDFLHKAGARGLTVHDLSHEDAGLYTCQVGSKE TQSKVSVHDLHVGITKRLKTVEVLEGESCSFECVLSHESPSDPAVWTVGGKTVGGSGHFH AVRQGRKYTLTVKDAALSDAGEVVFSVLGLTSKASLIIRERPVDITKPLEDQRTTLGEDV MLSCELSRAGTSVRWLKDGKAIRKSQKYDLLSEGTRAVLVVRKASLKDSGEYTCETEASK STAKLCVEEKANRFTEELADLQVEEKGRAVFTCKTEHPASVVTWRKGLLELRASGKHVPS QEGLTLKLTINALERTDSDTYTCDIGQARTQARLLVHGQKVRVIEDLEDTAVQEGSSAKF CCRIAPADYGPVHWFLDKTPLHSNELNEITVQPGGYHVLTLRQLALKDSGTVYFEAGDQR TSAALRVTEKPSIFSRPLTDVTVTEGEDLTLVCETTTVDSSVRWTKDGKTLRPSARCQLS HEGCQAQLLITATTPQDGGRYKCEIGGASSSSIVRVHALPVRFRESLKDVEVPEGKAATL RCVLSSVAAPVEWRHGDDVLKSSNKYSLRQEGAVLELVIRDLQPQDSGQYSCSFGDQTTS ATLTVKTSSAQFVGKLRNKEATEGTTVTLRCELTKEAPVEWKKGTETLRNGDKYSLKQDG AVCELQICSLLVADAGEYSCVCGQEKTSATLTVKALLVHFVRRLRSKEATEGDTTTLQCE LSKAAPVEWRKGTETLRDGDRYSLKQDGAVCELQIRSLTIADAGEYLCTCGQEKTSATLT VRALPAKFKDSLKNEEATEGTTATLSCELSKAAPVTWKKGPKTLQSGDKYVLRQDGAVCG LQIHGLTMADAGEYSCVCGQEKTSATLTVRGLPAKFIEDLRSQEATEGATAILRCELSKA APVEWRKGSETLKDGDRYTLRQDGAVCELQIRGLAVVDTGTYSSLPTKFTEGLRNEEATE GTMATLRCQMSKAAPVEWRKGSETLRDGDRYSLRQDGAMCELQIRGLTIEDSGEYTCVCG QEKTSATLSVKALPPRFIEDLRSQEATEGTMATLRCQMSKAAPVEWRKGSETLGDGGRYS LRQNGAVCELQIHDLAVEDTGEYSCVCGQEKTSATLNVKALPPRFIEDLRSQEATEGTMA TLRCQMSKAAPVEWRKGSETLRDGGRYSLRQDGAVCELQIHDLDVEDAGQYSCVCGQEKT SAVLTVDALPPKFTEGLKKEEATEGTMVTLRCQMSKEATVEWRKGAKTLSDGGRYSLRQD GAMCELQICGLAVEDAGEYSCVCGQEKTSATLSVKALPPRFIEDLRSQEATEGTMATLRC QMSKAAPVEWRKGSKTLRDGDRYSLRQDGAMCELQICDLAVEDTGDYSCVCGQEKTSATL SVKAPQVVFQQPLQNLQAEEGSMASLRCELSVPNAAMVWSKGGLELQGDTRREARQQGCV AELLLRDLRREDAGEYSCTCGSQTTSATLMVTAAPVRFLRELQAQDVDEGATARLRCELS REAVSVEWRKGSLQLFPCAKYQMVQEGTTAELLVHGVEQEDAGEYTCDAGHTQSIARLSV RAPKPKFKTDLQSTEQEAGGTARLCCQLSEAEPGTPVQWLKEGVELHVGSKYEMRRQGAV CELLIHGLEAKDTGEYACLVGGQKTLASLRVKEPEVTIVRGLVDMEVQADEDVEFTCKVS QAGATDVQWHLQGLPLQSNEVTEVAVLADGCTHVLQLKGVTLEDAGTVSFHVGGLSSSAQ LTVRVPEVTVLEPLKDVQLSEGQDAHFRCRLSRASGQEARWALGGVPLQCNEMNDITVEQ GTLYLLTLHKVTLEDAGTITLQVGSCSSEAQLKVTAKNTVLRGLENVDALEGGEALFECQ LSQPEVAAHTWLLDDEPVHTSEKVEVVYFENGLRHLLLLKNLKPQDSCRVTFLAGDVVTS AFLTVRALPDPPEDAEVVGRSDHSVTLSWVAPMSDGGGGLCGYRVEMKEASTGQWQLCHD LVPGPECVVDDLVPGKTYRFRVAAVGPAGAGEPVHLPQMVKIAPAPAPAPAPAPAPAPET RQAVVGEDICLELEVAADGGEVVWHKGTERIQPGGHFEVLSRGQRQMLVIKGFRTEDQGE YRCGPIQGLPSSGAATFNVVMTSGSGDEVPAQPSLPPEAAQEGDLHLLWEALARKRRMSR EPTLDSISELPEEDSRVQHLRQEAEETAPDLSEGYSTADELARTGEADLSHTSSDDESRA GTPSLVTYLKKAGGPGISPLASKHEAQVTTSVKPQKQQEPVVPTCPPPGDLSAADLMDPS LDKAAVKIQAAFKGYKVRKEMKQQEGPVFSRTFGDTEAQVGDVLRLECVLATKTDMRACW LKDGIELTDGRHYHIDQLKDGTCSLLVTGLAPTDSGRYTCQVSTKSGRVSHSACVVVSGT ESEAESSSGGELDDAFRRAARRLHRLFRTKSPAELSEEELFLSADEGPGEPEEPADWQTY REDENFVCIRFESLAEARQAVTCFRNMFATMGIGVEISLGEQGPRGVEMRIGKVAPTVTP AVPLAKTPGLQTSDAAPVFLTELQNQDVQDGYPMSFDCVVTGQPVPSVRWFKDGKLLEED DHYMINEDQQGGHQLIITAVVPADMGVYRCLAENSMGVSSTKAELRVELTSTDYDTAADA TETSSYFSAQGYLSSREQEGTESDEGQLPQVLEELKDLQVAPGTRLAKFQLKVKGYPAPK LYWFKDGQPLTTSDHIRMTDKKTLHTLEIVSVTREDSGQYAAYISNAVGAAYSSARLLVR GPSEPEEKPASDVHERLVPPRILEKFTPKKVKRGSSITFSVKVEGHPAPSVHWLKEEAEK GVLWIGPDTPGYTMASSSKQHSLVLLDVGRQHQGTYTCIATNPAGQALCSASLHISGLAK EEEQERVKEALISSFLQGTSQAVSAQMSESAGFADLVGQSKGESLVAEEAHSHLSLAEVG TEEFLQKLTSQITEMVSAKISQAKLQVPGGDSDEETKTPSASPRHGRSRPSSSVQESSSE SEDGDSRGEIFDIYVVTADYLPLGAEQDAIILREGQYVEVLDSAHPLRWLVRTKPTKSSP SRQGWVSPAYLDKRLKLSPEWGPTEAPEFPGEAVSEDEYRTRLSSVIQELLSSEQAFVGE LQFLESHHMKHLERSPRVPAAVASQKTVIFRNVQDISHFHSSFLKELQGCGTDDDVAMCF IKNQEAFEKYLEFLVGRVQAESVVVSTPVQEFYKKYAEETLSAKDPTQPPPPPLQHYLEQ PVERVQKYQALLKELIRNKARNRQNCALLEQAYAVVSALPQRAENKLHVSLMENYPGTLE ALGEPIRQGHFIVWEGAPGARMPWKGHNRHVFLFRNYLVICKPRRDSRTDTFSYVFRNMM KLSSIDLNDQVEGDDRAFEVWHEREDSVRKYLLQARTVIIKNSWVKEICGIQQRLAQPVW RPPEFEEELADCTAELGETVKLACRVTGTPKPIVSWYKDGKPVEVDPHHILIEDPDGSCT LILDNLTGIDSGQYMCFAASAAGNASTLGKILVQVPPRFVNKVRATPFVEGEDAQITCTV EGAPYPQIRWYKDGTLLAPGNRYRMLNEPRSGVLVLVIQAASKEDLGHYECELVNRLGST RGGGELYMQSPALRARDQHHREQIVAAVEGAPSMQVTIEDVQVQVGDMAQFDAVIEGHPP PIVTWYKGSTQLTSSARLSQRQDGTTYSLVLTDVAPHDAGVYTCVANNAGGQVLCKAELL VHGGDKLDAENQVYRRKLHSFYDVQEEIGRGVFGFVKRVQHKGNKMFCAAKFIPLRSKTR AQAYQERDILATLGHPLVTGLLDQFETRKTLILILELCSSEELLDRLFKKGVVTEAEVKV YIQQLVEGLHYLHSHGILHLDIKPPNILMVHPAREDIKICDFGFAQKITPSEPQYSKYGS PEFVSPEIIEQNPVSEGSDIWAMGVISYLSLTCSSPFAGESDRATLLNVLEGRVSWSSPT AAHLSEDAKDFIKATLQRTPRARPSTSQCLAHPWFLKSMPAEEAHFINTKQLKFLLARSR WQRSLMSYKSILVMRSIPELLQGPPDSPSLGVARHLRGEASGASSSSSSSDNELAPFARA KSLPPSPVTHSPLLHPRGFLRPSASLPEETEASMPTADAAVPASPQSAGPPASPGCVPRH SVISSLFYQQAGEGAERGNKTSGAKRHPARRRHLLKGGYIARALPGLREPLMEYSLLEEE AAREEQASLMTKTPSFETALRLPSSSVREVPGRSHSLDNPPVTTGPSPEACKEQLLFPPS TGLTHETTAKDRGHKEGFLQESVPFPPMSGDSRPGKQEGSSQDSCRGKPASSCHSELGSG SQEGCGPPSSQSLGSLPPQSLKKELSTSCGPLFSEQPQAAPFPTQVSPLLGSEKEPQDGS LSEGPVPVPSSSPGSASQVDASLDTEGLSEAGDTCDFTPPPQRPQEQATTRKFSLESRGG YAGVAGYGTFAFGGDAGGMLGQGPLWARMAWAVSQSSEEQDEAATESPQPLESLGPIAEA SGVPLRTSPSLTPWEEVEQVSLVQIRDLSGDAEAADTISLDISEVDPAYLNLSDLYDIKY LPFEFMIFRRVPKPIEQPESPGSETEAGQGLADFLEEAAWPWPGELGLRAGLEITEEPEE PGDLEALLGEAAVGRKRKWSPSRGLFQFPGRCLSGEEPVELGLRQRVKASMAHISRILKG RPEGPEREGPPRKKAGLASFRLSGLKGRDQELSDEAVVLGQSVTLACQVLAQPTAQATWS KDGVLLESSGHLLISSTLKNFQLLTILVVKEEDLGTYTCCVSNPLGTAVTTGVLRKAERP SSSPRPEVGELYKDAVLLVWKPVESCGPVTYIVQCCIEGGSWTTLASDISDCCYLTGKLS RGGMYIFRTACVSKAGMGPYSSPSEQVLLGGPNHLASEEESSRGRPAQLLPSTKTFAFQM QIRRGRFSVVRQCREKASGRALAAKIVPYQPEDKTAVLREYEALKRLHHPHLAQLHAAYL SPRHLVLILELCSGPELLPSLAERESYSESDVKDYLWQMLSATQYLHAQHILHLDLRSEN MMVTEYNLLKVIDLGNAQSLDQEKVPAPENFKDYLETMAPELLEGQGAVPQTDIWAIGVT AFIMLSGEYPESSEGTRDLQKGLRKGLIRLSRCYAGLSGGAVAFLQSSLCAQPWGRPCAS TCLQCGWLTEEGPTGSRPTPVTFPTVRLRAFVREREKRRALLYKKHNLAQVR >ENSMUSP00000090717.5 pep:known chromosome:GRCm38:8:124576111:124618731:1 gene:ENSMUSG00000031981.7 transcript:ENSMUST00000093033.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn9 description:calpain 9 [Source:MGI Symbol;Acc:MGI:1920897] MPYLHRSLRPQPQPVPGDARTIHSSGQSFEQLRQGCLQSGTLFEDADFPASNVSLFYSER PQVPFVWKRPGEIVEKPEFILGGATRTDICQGELGDCWLLAAIASLTLNQKALTRVVPQD QGFGSGYAGIFHFQFWQHSEWLDVVIDDRLPTFKDRLVFLHSADHNEFWSALLEKAYAKL NGSYEALKGGSAIEAMEDFTGGVAENFQIREAPEDFFEILEKALKRGSLLGCSIDTLNAS ESEARTSLGLIKGHAYTVTGLDQVNFHGQRIKLIRVRNPWGQVEWNGPWSDSSPEWRSVD LEEQKRLGHTALDDGEFWMAFKDFKIHFDKVEICNLTPDALEDSALHRWEVTIHQGSWVR GSTAGGCRNFLDTFWTNPQIKLSLTERDEGQEGCTFLAALMQKDRRRLKRFGANMLTIGY AIYQCPDKDGHLSRDFFRYHASLARSKTFINLREVSERFQLPPGDYILIPSTFEPHQEAD FCLRIFSEKRAVTRDLDENIDIDLPELPKPTPQEEETEEEQQFRALFQRVAGEDMEVSAE ELEYVLNAVLQKKTALKFKRLSLLSCRNIISLMDTSGNGKLEFEEFRVFWDKLKHWMDLF LQFDVDKSGTMSSYELRTALKAAGFQLGGHLLQLIVLRYADEDLQLDFDDYLNCLVRLEN ASRVFQSLSVKNKDFIHLNINEFISLTMNI >ENSMUSP00000119938.1 pep:known chromosome:GRCm38:8:124576113:124591725:1 gene:ENSMUSG00000031981.7 transcript:ENSMUST00000133086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn9 description:calpain 9 [Source:MGI Symbol;Acc:MGI:1920897] MPYLHRSLRPQPQPVPGDARTIHSSGNCGKTRIHSWRGHQDRHLPRGAGRLLAPGGHCLP NPQS >ENSMUSP00000028758.7 pep:known chromosome:GRCm38:2:119742337:119751263:1 gene:ENSMUSG00000027296.7 transcript:ENSMUST00000028758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpka description:inositol 1,4,5-trisphosphate 3-kinase A [Source:MGI Symbol;Acc:MGI:1333822] MTLPGRPTGMARPRGAGPCSPGLERAPRRSVGELRLLFEARCAAVAAAAAAGEPRARGAK RRGGQVPNGLPRAAPAPVIPQLTVTSEEDVTPASPGPPDQEGNWLPAAGSHLQQPRRLST SSLSSTGSSSLLEDSEDDLLSDSESRSRGNVQLETSEDVGQKSHWQKIRTMVNLPVMSPF RKRYSWVQLAGHTGSFKAAGTSGLILKRSSEPEHYCLVRLMADVLRGCVPAFHGIVERDG ESYLQLQDLLDGFDGPCVLDCKMGVRTYLEEELTKARERPKLRKDMYKKMLAVDPEAPTE EEHAQRAVTKPRYMQWREGISSSTTLGFRIEGIKKADGSCSTDFKTTRSREQVTRVFEEF MQGDAEVLRRYLNRLQQIRDTLEISDFFRRHEVIGSSLLFVHDHCHRAGVWLIDFGKTTP LPDGQILDHRRPWEEGNREDGYLLGLDNLIGILASLAER >ENSMUSP00000018383.3 pep:known chromosome:GRCm38:11:53324679:53333301:1 gene:ENSMUSG00000018239.9 transcript:ENSMUST00000018383.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc10 description:zinc finger, CCHC domain containing 10 [Source:MGI Symbol;Acc:MGI:1196228] MATPMHRLIARRKAEANKQHVRCQKCLEFGHWTYECKGKRKYLHRPSRTAELKKALKEKE NRLLLQSIGETNIEKKIKKKKRSKSVTSSSTSSSDSSASESSSESETSASSSSEDSDSDE SLSSSSSSSSSSACSSSSSSSSSSSSSDSDSSSSSSSSSSSSESSSDDEPQKKKKKKK >ENSMUSP00000130089.1 pep:known chromosome:GRCm38:11:53327400:53332479:1 gene:ENSMUSG00000018239.9 transcript:ENSMUST00000141585.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zcchc10 description:zinc finger, CCHC domain containing 10 [Source:MGI Symbol;Acc:MGI:1196228] XKENRLLLQSIGETNIEKKIKKKKRDASR >ENSMUSP00000042715.3 pep:known chromosome:GRCm38:X:13632089:13640856:1 gene:ENSMUSG00000040229.11 transcript:ENSMUST00000041708.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr34 description:G protein-coupled receptor 34 [Source:MGI Symbol;Acc:MGI:1346334] MTTTSVDSWLCSSHGMHFITNYSDQASQNFSGVPNVTSCPMDEKLLSTVLTTFYSVIFLV GLVGNIIALYVFLGIHRKRNSIQIYLLNVAVADLLLIFCLPFRIMYHINQNKWTLGVILC KVVGTLFYMNMYISIILLGFISLDRYIKINRSIQQRRAITTKQSIYVCCIVWTVALAGFL TMIILTLKKGGHNSTMCFHYRDRHNAKGEAIFNFVLVVMFWLIFLLIILSYIKIGKNLLR ISKRRSKFPNSGKYATTARNSFIVLIIFTICFVPYHAFRFIYISSQLNVSSCYWKEIIHK TNEIMLVFSSFNSCLDPVMYFLMSSNIRKIMCQLLFRRFQSEASRSESTSEFKPGHSLHD LSVTVKMPQYSTKGN >ENSMUSP00000094236.3 pep:known chromosome:GRCm38:X:13632769:13640858:1 gene:ENSMUSG00000040229.11 transcript:ENSMUST00000096492.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr34 description:G protein-coupled receptor 34 [Source:MGI Symbol;Acc:MGI:1346334] MTTTSVDSWLCSSHGMHFITNYSDQASQNFSGVPNVTSCPMDEKLLSTVLTTFYSVIFLV GLVGNIIALYVFLGIHRKRNSIQIYLLNVAVADLLLIFCLPFRIMYHINQNKWTLGVILC KVVGTLFYMNMYISIILLGFISLDRYIKINRSIQQRRAITTKQSIYVCCIVWTVALAGFL TMIILTLKKGGHNSTMCFHYRDRHNAKGEAIFNFVLVVMFWLIFLLIILSYIKIGKNLLR ISKRRSKFPNSGKYATTARNSFIVLIIFTICFVPYHAFRFIYISSQLNVSSCYWKEIIHK TNEIMLVFSSFNSCLDPVMYFLMSSNIRKIMCQLLFRRFQSEASRSESTSEFKPGHSLHD LSVTVKMPQYSTKGN >ENSMUSP00000062096.4 pep:known chromosome:GRCm38:5:64952031:64960047:-1 gene:ENSMUSG00000051498.6 transcript:ENSMUST00000062315.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr6 description:toll-like receptor 6 [Source:MGI Symbol;Acc:MGI:1341296] MVKSLWDSLCNMSQDRKPIVGSFHFVCALALIVGSMTPFSNELESMVDYSNRNLTHVPKD LPPRTKALSLSQNSISELRMPDISFLSELRVLRLSHNRIRSLDFHVFLFNQDLEYLDVSH NRLQNISCCPMASLRHLDLSFNDFDVLPVCKEFGNLTKLTFLGLSAAKFRQLDLLPVAHL HLSCILLDLVSYHIKGGETESLQIPNTTVLHLVFHPNSLFSVQVNMSVNALGHLQLSNIK LNDENCQRLMTFLSELTRGPTLLNVTLQHIETTWKCSVKLFQFFWPRPVEYLNIYNLTIT ERIDREEFTYSETALKSLMIEHVKNQVFLFSKEALYSVFAEMNIKMLSISDTPFIHMVCP PSPSSFTFLNFTQNVFTDSVFQGCSTLKRLQTLILQRNGLKNFFKVALMTKNMSSLETLD VSLNSLNSHAYDRTCAWAESILVLNLSSNMLTGSVFRCLPPKVKVLDLHNNRIMSIPKDV THLQALQELNVASNSLTDLPGCGAFSSLSVLVIDHNSVSHPSEDFFQSCQNIRSLTAGNN PFQCTCELRDFVKNIGWVAREVVEGWPDSYRCDYPESSKGTALRDFHMSPLSCDTVLLTV TIGATMLVLAVTGAFLCLYFDLPWYVRMLCQWTQTRHRARHIPLEELQRNLQFHAFVSYS EHDSAWVKNELLPNLEKDDIRVCLHERNFVPGKSIVENIINFIEKSYKAIFVLSPHFIQS EWCHYELYFAHHNLFHEGSDNLILILLEPILQNNIPSRYHKLRALMAQRTYLEWPTEKGK RGLFWANLRASFIMKLALVNEDDVKT >ENSMUSP00000143865.1 pep:known chromosome:GRCm38:5:64955223:64960097:-1 gene:ENSMUSG00000051498.6 transcript:ENSMUST00000201307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr6 description:toll-like receptor 6 [Source:MGI Symbol;Acc:MGI:1341296] MVKSLWDSLCNMSQDRKPIVGSFHFVCALALIVGSMTPFSNELESMVDYSNRNLTHVPKD LPPRTKALSLSQNSISELRMPDISFLSELRVLRLSHNRIRSLDFHVFLFNQDL >ENSMUSP00000083583.5 pep:known chromosome:GRCm38:1:136259797:136260873:-1 gene:ENSMUSG00000052759.7 transcript:ENSMUST00000086395.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr25 description:G protein-coupled receptor 25 [Source:MGI Symbol;Acc:MGI:2686146] MQSTEPWSPSWGTLSWDYSGSGSLDQVELCPAWNLPYGHAIIPALYLAAFAVGLPGNAFV VWLLSRQRGPRRLVDTFVLHLAAADLGFVLTLPLWAAAEARGGLWPFGDGLCKVSSFALA VTRCAGALLLAGMSVDRYLAVGRPLSARPLRSARCVRAVCGAAWAAAFLAGLPALLYRGL QPSLDGVGSQCAEEPWEALQGVGLLLLLLTFALPLAVTLICYWRVSRRLPRVGRARSNSL RIIFTVESVFVGCWLPFGVLRSLFHLARLQALPLPCSLLLALRWGLTVTTCLAFVNSSAN PVIYLLLDRSFRARARFGLCARAGRQVRRISSASSLSRDDSSVFRGRSPKVNSASATW >ENSMUSP00000111103.1 pep:known chromosome:GRCm38:6:17463800:17573980:1 gene:ENSMUSG00000009376.15 transcript:ENSMUST00000115443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Met description:met proto-oncogene [Source:MGI Symbol;Acc:MGI:96969] MKAPTVLAPGILVLLLSLVQRSHGECKEALVKSEMNVNMKYQLPNFTAETPIQNVVLHGH HIYLGATNYIYVLNDKDLQKVSEFKTGPVLEHPDCLPCRDCSSKANSSGGVWKDNINMAL LVDTYYDDQLISCGSVNRGTCQRHVLPPDNSADIQSEVHCMFSPEEESGQCPDCVVSALG AKVLLSEKDRFINFFVGNTINSSYPPGYSLHSISVRRLKETQDGFKFLTDQSYIDVLPEF QDSYPIKYIHAFESNHFIYFLTVQKETLDAQTFHTRIIRFCSVDSGLHSYMEMPLECILT EKRRKRSTREEVFNILQAAYVSKPGANLAKQIGASPSDDILFGVFAQSKPDSAEPVNRSA VCAFPIKYVNDFFNKIVNKNNVRCLQHFYGPNHEHCFNRTLLRNSSGCEARSDEYRTEFT TALQRVDLFMGRLNQVLLTSISTFIKGDLTIANLGTSEGRFMQVVLSRTAHLTPHVNFLL DSHPVSPEVIVEHPSNQNGYTLVVTGKKITKIPLNGLGCGHFQSCSQCLSAPYFIQCGWC HNQCVRFDECPSGTWTQEICLPAVYKVFPTSAPLEGGTVLTICGWDFGFRKNNKFDLRKT KVLLGNESCTLTLSESTTNTLKCTVGPAMSEHFNVSVIISNSRETTQYSAFSYVDPVITS ISPRYGPQAGGTLLTLTGKYLNSGNSRHISIGGKTCTLKSVSDSILECYTPAQTTSDEFP VKLKIDLANRETSSFSYREDPVVYEIHPTKSFISGGSTITGIGKTLNSVSLPKLVIDVHE VGVNYTVACQHRSNSEIICCTTPSLKQLGLQLPLKTKAFFLLDGILSKHFDLTYVHNPVF EPFEKPVMISIGNENVVEIKGNNIDPEAVKGEVLKVGNQSCESLHWHSGAVLCTVPSDLL KLNSELNIEWKQAVSSTVLGKVIVQPDQNFAGLIIGAVSISVVVLLLSGLFLWMRKRKHK DLGSELVRYDARVHTPHLDRLVSARSVSPTTEMVSNESVDYRATFPEDQFPNSSQNGACR QVQYPLTDLSPILTSGDSDISSPLLQNTVHIDLSALNPELVQAVQHVVIGPSSLIVHFNE VIGRGHFGCVYHGTLLDNDGKKIHCAVKSLNRITDIEEVSQFLTEGIIMKDFSHPNVLSL LGICLRSEGSPLVVLPYMKHGDLRNFIRNETHNPTVKDLIGFGLQVAKGMKYLASKKFVH RDLAARNCMLDEKFTVKVADFGLARDMYDKEYYSVHNKTGAKLPVKWMALESLQTQKFTT KSDVWSFGVLLWELMTRGAPPYPDVNTFDITIYLLQGRRLLQPEYCPDALYEVMLKCWHP KAEMRPSFSELVSRISSIFSTFIGEHYVHVNATYVNVKCVAPYPSLLPSQDNIDGEGNT >ENSMUSP00000117856.1 pep:known chromosome:GRCm38:6:17463827:17491747:1 gene:ENSMUSG00000009376.15 transcript:ENSMUST00000140070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Met description:met proto-oncogene [Source:MGI Symbol;Acc:MGI:96969] MKAPTVLAPGILVLLLSLVQRSHGECKEALVKSEMNVNMKYQLPNFTAETPIQNVVLHGH HIYLGATNYIYVLNDKDLQKVSEFKTGPVLEHPDCLPCRDCSSKANSSGGVWKDNINMAL LVDTYYDDQLISCGSVNRGTCQRHVLPPDNSADIQSEVHCMFSPEEESG >ENSMUSP00000111102.1 pep:known chromosome:GRCm38:6:17464038:17572229:1 gene:ENSMUSG00000009376.15 transcript:ENSMUST00000115442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Met description:met proto-oncogene [Source:MGI Symbol;Acc:MGI:96969] MKAPTVLAPGILVLLLSLVQRSHGECKEALVKSEMNVNMKYQLPNFTAETPIQNVVLHGH HIYLGATNYIYVLNDKDLQKVSEFKTGPVLEHPDCLPCRDCSSKANSSGGVWKDNINMAL LVDTYYDDQLISCGSVNRGTCQRHVLPPDNSADIQSEVHCMFSPEEESGQCPDCVVSALG AKVLLSEKDRFINFFVGNTINSSYPPGYSLHSISVRRLKETQDGFKFLTDQSYIDVLPEF QDSYPIKYIHAFESNHFIYFLTVQKETLDAQTFHTRIIRFCSVDSGLHSYMEMPLECILT EKRRKRSTREEVFNILQAAYVSKPGANLAKQIGASPSDDILFGVFAQSKPDSAEPVNRSA VCAFPIKYVNDFFNKIVNKNNVRCLQHFYGPNHEHCFNRTLLRNSSGCEARSDEYRTEFT TALQRVDLFMGRLNQVLLTSISTFIKGDLTIANLGTSEGRFMQVVLSRTAHLTPHVNFLL DSHPVSPEVIVEHPSNQNGYTLVVTGKKITKIPLNGLGCGHFQSCSQCLSAPYFIQCGWC HNQCVRFDECPSGTWTQEICLPAVYKVFPTSAPLEGGTVLTICGWDFGFRKNNKFDLRKT KVLLGNESCTLTLSESTTNTLKCTVGPAMSEHFNVSVIISNSRETTQYSAFSYVDPVITS ISPRYGPQAGGTLLTLTGKYLNSGNSRHISIGGKTCTLKSVSDSILECYTPAQTTSDEFP VKLKIDLANRETSSFSYREDPVVYEIHPTKSFISGGSTITGIGKTLNSVSLPKLVIDVHE VGVNYTVACQHRSNSEIICCTTPSLKQLGLQLPLKTKAFFLLDGILSKHFDLTYVHNPVF EPFEKPVMISIGNENVVEIKGNNIDPEAVKGEVLKVGNQSCESLHWHSGAVLCTVPSDLL KLNSELNIEWKQAVSSTVLGKVIVQPDQNFAGLIIGAVSISVVVLLLSGLFLWMRKRKHK DLGSELVRYDARVHTPHLDRLVSARSVSPTTEMVSNESVDYRATFPEDQFPNSSQNGACR QVQYPLTDLSPILTSGDSDISSPLLQNTVHIDLSALNPELVQAVQHVVIGPSSLIVHFNE VIGRGHFGCVYHGTLLDNDGKKIHCAVKSLNRITDIEEVSQFLTEGIIMKDFSHPNVLSL LGICLRSEGSPLVVLPYMKHGDLRNFIRNETHNPTVKDLIGFGLQVAKGMKYLASKKFVH RDLAARNCMLDEKFTVKVADFGLARDMYDKEYYSVHNKTGAKLPVKWMALESLQTQKFTT KSDVWSFGVLLWELMTRGAPPYPDVNTFDITIYLLQGRRLLQPEYCPDALYEVMLKCWHP KAEMRPSFSELVSRISSIFSTFIGEHYVHVNATYVNVKCVAPYPSLLPSQDNIDGEGNT >ENSMUSP00000118755.1 pep:known chromosome:GRCm38:6:17535001:17547210:1 gene:ENSMUSG00000009376.15 transcript:ENSMUST00000152802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Met description:met proto-oncogene [Source:MGI Symbol;Acc:MGI:96969] XVKLKIDLANRETSSFSYREDPVVYEIHPTKSFISGGSTITGIGKTLNSVSLPKLVIDVH EVGVNYTVACQHRSNSEIICCTTPSLKQLGLQLPLKTKAFFLLDGILSKHFDLTYVHNPV FEPFEKPVMISIGNENVVEIKGNNIDPEAVKGEVLKVGNQSCESLHWHSGAVLCTVPSDL LKLNSELNIEVGFPQASHDVNRDAVVIMSPGGLK >ENSMUSP00000121923.1 pep:known chromosome:GRCm38:6:17546973:17553430:1 gene:ENSMUSG00000009376.15 transcript:ENSMUST00000148903.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Met description:met proto-oncogene [Source:MGI Symbol;Acc:MGI:96969] XVKGEVLKVGNQSCESLHWHSGAVLCTVPSDLLKLNSELNIEWKQAVSSTVLGKVIVQPD QNFAGLIIGAVSISVVVLLLSGLFLWMRKRKHKDQFPNSSQNGACRQVQYPLTDLSPILT SGDSDISSPLLQNTVHIDLSALNPELVQAVQHVVIGP >ENSMUSP00000079324.5 pep:known chromosome:GRCm38:6:17491241:17571823:1 gene:ENSMUSG00000009376.15 transcript:ENSMUST00000080469.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Met description:met proto-oncogene [Source:MGI Symbol;Acc:MGI:96969] MKAPTVLAPGILVLLLSLVQRSHGECKEALVKSEMNVNMKYQLPNFTAETPIQNVVLHGH HIYLGATNYIYVLNDKDLQKVSEFKTGPVLEHPDCLPCRDCSSKANSSGGVWKDNINMAL LVDTYYDDQLISCGSVNRGTCQRHVLPPDNSADIQSEVHCMFSPEEESGQCPDCVVSALG AKVLLSEKDRFINFFVGNTINSSYPPGYSLHSISVRRLKETQDGFKFLTDQSYIDVLPEF QDSYPIKYIHAFESNHFIYFLTVQKETLDAQTFHTRIIRFCSVDSGLHSYMEMPLECILT EKRRKRSTREEVFNILQAAYVSKPGANLAKQIGASPSDDILFGVFAQSKPDSAEPVNRSA VCAFPIKYVNDFFNKIVNKNNVRCLQHFYGPNHEHCFNRTLLRNSSGCEARSDEYRTEFT TALQRVDLFMGRLNQVLLTSISTFIKGDLTIANLGTSEGRFMQVVLSRTAHLTPHVNFLL DSHPVSPEVIVEHPSNQNGYTLVVTGKKITKIPLNGLGCGHFQSCSQCLSAPYFIQCGWC HNQCVRFDECPSGTWTQEICLPAVYKVFPTSAPLEGGTVLTICGWDFGFRKNNKFDLRKT KVLLGNESCTLTLSESTTNTLKCTVGPAMSEHFNVSVIISNSRETTQYSAFSYVDPVITS ISPRYGPQAGGTLLTLTGKYLNSGNSRHISIGGKTCTLKSVSDSILECYTPAQTTSDEFP VKLKIDLANRETSSFSYREDPVVYEIHPTKSFISGGSTITGIGKTLNSVSLPKLVIDVHE VGVNYTVACQHRSNSEIICCTTPSLKQLGLQLPLKTKAFFLLDGILSKHFDLTYVHNPVF EPFEKPVMISIGNENVVEIKGNNIDPEAVKGEVLKVGNQSCESLHWHSGAVLCTVPSDLL KLNSELNIEWKQAVSSTVLGKVIVQPDQNFAGLIIGAVSISVVVLLLSGLFLWMRKRKHK DLGSELVRYDARVHTPHLDRLVSARSVSPTTEMVSNESVDYRATFPEDQFPNSSQNGACR QVQYPLTDLSPILTSGDSDISSPLLQNTVHIDLSALNPELVQAVQHVVIGPSSLIVHFNE VIGRGHFGCVYHGTLLDNDGKKIHCAVKSLNRITDIEEVSQFLTEGIIMKDFSHPNVLSL LGICLRSEGSPLVVLPYMKHGDLRNFIRNETHNPTVKDLIGFGLQVAKGMKYLASKKFVH RDLAARNCMLDEKFTVKVADFGLARDMYDKEYYSVHNKTGAKLPVKWMALESLQTQKFTT KSDVWSFGVLLWELMTRGAPPYPDVNTFDITIYLLQGRRLLQPEYCPDALYEVMLKCWHP KAEMRPSFSELVSRISSIFSTFIGEHYVHVNATYVNVKCVAPYPSLLPSQDNIDGEGNT >ENSMUSP00000035157.8 pep:known chromosome:GRCm38:9:103188032:103202137:-1 gene:ENSMUSG00000032553.14 transcript:ENSMUST00000035157.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srprb description:signal recognition particle receptor, B subunit [Source:MGI Symbol;Acc:MGI:102964] MASANTRRVGDGAGGAFQPYLDSLRQELQQRDPTLLSVAVALLAVLLTLVFWKFIWSRKS SQRAVLFVGLCDSGKTLLFVRLLTGQYRDTQTSITDSSAIYKVNNNRGNSLTLIDLPGHE SLRFQLLDRFKSSARAVVFVVDSAAFQREVKDVAEFLYQVLIDSMALKNSPSLLIACNKQ DIAMAKSAKLIQQQLEKELNTLRVTRSAAPSTLDSSSTAPAQLGKKGKEFEFSQLPLKVE FLECSAKGGRGDTGSADIQDLEKWLAKIA >ENSMUSP00000091845.3 pep:known chromosome:GRCm38:2:173093609:173119525:-1 gene:ENSMUSG00000070495.11 transcript:ENSMUST00000094287.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctcfl description:CCCTC-binding factor (zinc finger protein)-like [Source:MGI Symbol;Acc:MGI:3652571] MAAAEVPVPSGYFTQIKEQKLKPGDLEEEKEEDGVQRVEAQEGVVKEVEAENSCLLLEAR APVESDRRILTLQTVHLESQDVHLQGLGWLSVPHSEELSGTVPEAEGILQLPSVLWLDPE PQLSLQHCVTVSIPEELYPPEELQRIHFHLLRENVLMAEENPELTPDLDESTALKKPEED EKDQLPPQGETDKREERLLLLEMKPKEGKDDEIVLTISHLSLEEQQDPPAANQTSVPGAK AAKPKRRRQTKGKPQSFQCDTCPFTSSKLSTFNRHIKIHSNERPHLCHLCLKAFRTVTLL RNHVNTHTGTRPHKCRDCDMAFVTSGELVRHRRYKHTYEKPFKCSLCKYASVEASKMKRH IRSHTGERPFQCCQCAYASRDSYKLKRHMRTHSGEKPYECPTCHVRFTQSGTMKIHIAQK HGENVPKYECPHCATIIARKSDLRVHLRNLHSQSPEEMKCRYCPAGFHERYALIQHQRTH KNEKKFKCKQCDYACKQERCLKAHMRMHTGEKPFSCLACNKHFRQKQLLTVHLRKYHDPN FVPNLHLCLKCDKRFSRWSNLQRHRKKCDPEHETLAPNKDRRPVTRTQASEGEAGHKEGE PQCPGEQALGHQGEAAGSQSPDHGLTCEIIFNMMDK >ENSMUSP00000135932.1 pep:known chromosome:GRCm38:2:173093609:173119525:-1 gene:ENSMUSG00000070495.11 transcript:ENSMUST00000179693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctcfl description:CCCTC-binding factor (zinc finger protein)-like [Source:MGI Symbol;Acc:MGI:3652571] MAAAEVPVPSGYFTQIKEQKLKPGDLEEEKEEDGVQRVEAQEGVVKEVEAENSCLLLEAR APVESDRRILTLQTVHLESQDVHLQGLGWLSVPHSEELSGTVPEAEGILQLPSVLWLDPE PQLSLQHCVTVSIPEELYPPEELQRIHFHLLRENVLMAEENPELTPDLDESTALKKPEED EKDQLPPQGETDKREERLLLLEMKPKEGKDDEIVLTISHLSLEEQQDPPAANQTSVPGAK AAKPKRRRQTKGKPQSFQCDTCPFTSSKLSTFNRHIKIHSNERPHLCHLCLKAFRTVTLL RNHVNTHTGTRPHKCRDCDMAFVTSGELVRHRRYKHTYEKPFKCSLCKYASVEASKMKRH IRSHTGERPFQCCQCAYASRDSYKLKRHMRTHSGEKPYECPTCHVRFTQSGTMKIHIAQK HGENVPKYECPHCATIIARKSDLRVHLRNLHSQSPEEMKCRYCPAGFHERYALIQHQRTH KNEKKFKCKQCDYACKQERCLKAHMRMHTGEKPFSCLACNKHFRQKQLLTVHLRKYHDPN FVPNLHLCLKCDKRFSRWSNLQRHRKKCDPEHETLAPNKDRRPVTRTQASEGEAGHKEGE PQCPGEQALGHQGEAAGSQSPDHGLTCEIIFNMMDK >ENSMUSP00000129497.1 pep:known chromosome:GRCm38:6:28661831:28830345:-1 gene:ENSMUSG00000049939.6 transcript:ENSMUST00000171353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc4 description:leucine rich repeat containing 4 [Source:MGI Symbol;Acc:MGI:2182081] MVTNVAGNSNASAYLNVSSAELNTPNFSFFTTVTVETTEISPEDITRKYKPVPTTSTGYQ PAYTTSTTVLIQTTRVPKQVPVPSTDTTDKMQTSLDEVMKTTKIIIGCFVAVTLLAAAML IVFYKLRKRHQQRSTVTAARTVEIIQVDEDIPAAAPAAATAAPSGVSGIISADHLAK >ENSMUSP00000062158.5 pep:known chromosome:GRCm38:6:28828133:28831747:-1 gene:ENSMUSG00000049939.6 transcript:ENSMUST00000062304.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc4 description:leucine rich repeat containing 4 [Source:MGI Symbol;Acc:MGI:2182081] MKLLWQVTVHHTWNAVLLPVVYLTAQVWILCAAIAAAASAGPQNCPSVCSCSNQFSKVVC TRRGLSEVPQGIPSNTRYLNLMENNIQMIQADTFRHLHHLEVLQLGRNSIRQIEVGAFNG LASLNTLELFDNWLTVIPSGAFEYLSKLRELWLRNNPIESIPSYAFNRVPSLMRLDLGEL KKLEYISEGAFEGLFNLKYLNLGMCNIKDMPNLTPLVGLEELEMSGNHFPEIRPGSFHGL SSLKKLWVMNSQVSLIERNAFDGLASLVELNLAHNNLSSLPHDLFTPLRYLVELHLHHNP WNCDCDILWLAWWLREYIPTNSTCCGRCHAPMHMRGRYLVEVDQAAFQCSAPFIMDAPRD LNISEDRMAELKCRTPPMSSVKWLLPNGTVLSHASRHPRISVLNDGTLNFSRVLLIDTGV YTCMVTNVAGNSNASAYLNVSSAELNTPNFSFFTTVTVETTEISPEDITRKYKPVPTTST GYQPAYTTSTTVLIQTTRVPKQVPVPSTDTTDKMQTSLDEVMKTTKIIIGCFVAVTLLAA AMLIVFYKLRKRHQQRSTVTAARTVEIIQVDEDIPAAAPAAATAAPSGVSGEGAVVLPTI HDHINYNTYKPAHGAHWTENSLGNSLHPTVTTISEPYIIQTHTKDKVQETQI >ENSMUSP00000103378.2 pep:known chromosome:GRCm38:4:46662305:46991873:-1 gene:ENSMUSG00000039809.10 transcript:ENSMUST00000107749.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabbr2 description:gamma-aminobutyric acid (GABA) B receptor, 2 [Source:MGI Symbol;Acc:MGI:2386030] MASPPSSGQPRPPPPPPPPARLLLPLLLSLLLSLAPGAWGWARGAPRPPPSSPPLSIMGL MPLTKEVAKGSIGRGVLPAVELAIEQIRNESLLRPYFLDLRLYDTECDNAKGLKAFYDAI KYGPNHLMVFGGVCPSVTSIIAESLQGWNLVQLSFAATTPVLADKKKYPYFFRTVPSDNA VNPAILKLLKHFRWRRVGTLTQDVQRFSEVRNDLTGVLYGEDIEISDTESFSNDPCTSVK KLKGNDVRIILGQFDQNMAAKVFCCAFEESMFGSKYQWIIPGWYEPAWWEQVHVEANSSR CLRRSLLAAMEGYIGVDFEPLSSKQIKTISGKTPQQYEREYNSKRSGVGPSKFHGYAYDG IWVIAKTLQRAMETLHASSRHQRIQDFNYTDHTLGRIILNAMNETNFFGVTGQVVFRNGE RMGTIKFTQFQDSREVKVGEYNAVADTLEIINDTIRFQGSEPPKDKTIILEQLRKISLPL YSILSALTILGMIMASAFLFFNIKNRNQKLIKMSSPYMNNLIILGGMLSYASIFLFGLDG SFVSEKTFETLCTVRTWILTVGYTTAFGAMFAKTWRVHAIFKNVKMKKKIIKDQKLLVIV GGMLLIDLCILICWQAVDPLRRTVERYSMEPDPAGRDISIRPLLEHCENTHMTIWLGIVY AYKGLLMLFGCFLAWETRNVSIPALNDSKYIGMSVYNVGIMCIIGAAVSFLTRDQPNVQF CIVALVIIFCSTITLCLVFVPKLITLRTNPDAATQNRRFQFTQNQKKEDSKTSTSVTSVN QASTSRLEGLQSENHRLRMKITELDKDLEEVTMQLQDTPEKTTYIKQNHYQELNDILSLG NFTESTDGGKAILKNHLDQNPQLQWNTTEPSRTCKDPIEDINSPEHIQRRLSLQLPILHH AYLPSIGGVDASCVSPCVSPTASPRHRHVPPSFRVMVSGL >ENSMUSP00000146296.1 pep:known chromosome:GRCm38:4:46677559:46724391:-1 gene:ENSMUSG00000039809.10 transcript:ENSMUST00000205643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabbr2 description:gamma-aminobutyric acid (GABA) B receptor, 2 [Source:MGI Symbol;Acc:MGI:2386030] VRTWILTVGYTTAFGAMFAKTWRVHAIFKNVKMKKKIIKDQKLLVIVGGMLLIDLCILIC WQAVDPLRRTVERYSMELFGCFLAWETRNVSIPALNDSKYIGMSVYNVGIMCIIGAAVSF LTRDQPNVQFCIVALVIIFCSTITLCLVFVPKLITLRTNPDAATQNRRFQFTQNQKKEDS KTS >ENSMUSP00000030778.2 pep:known chromosome:GRCm38:5:25181460:25220297:1 gene:ENSMUSG00000028938.9 transcript:ENSMUST00000030778.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galntl5 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase-like 5 [Source:MGI Symbol;Acc:MGI:1915159] MKSVIIQGLFCGFLAIGLWASMLLLFLHLEQEDMLENEKEELLKKRSLGKNAHQQTRHSE DVTHDEVNFSDPELIQGLRRYGLNAIMSRRLGIEREVPDSRDKICQQKHYPFNLPTASII ICFYNEEFNTLLRAVSSVVNLSPQHLLEEIILVDDMSEFDDLKDKLDYYLEIFRGKVKLI RNKKREGLIRSKMIGASRASGDILVFLDSHCEVNRVWLEPLLHAIAKDHKMVVCPIIDVI NELTLDYMAAPIVRGAFDWNLNLRWDNVFAYELDGPEGPSTPIRSPAMTGGIFAINRHYF NELGQYDNGMDICGGENVELSLRIWMCGGQLFILPCSRVGYNSKALSQHRRANQSALSRN LLRVVHVWLDEYKGNFFLQRPSLTYVSCGNISERVELRKRLGCKSFQWYLDNIFPELEPF NTERKRKKNRF >ENSMUSP00000110616.1 pep:known chromosome:GRCm38:5:25181502:25220295:1 gene:ENSMUSG00000028938.9 transcript:ENSMUST00000114965.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galntl5 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase-like 5 [Source:MGI Symbol;Acc:MGI:1915159] MLENEKEELLKKRSLGKNAHQQTRHSEDVTHDEVNFSDPELIQGLRRYGLNAIMSRRLGI EREVPDSRDKICQQKHYPFNLPTASIIICFYNEEFNTLLRAVSSVVNLSPQHLLEEIILV DDMSEFDDLKDKLDYYLEIFRGKVKLIRNKKREGLIRSKMIGASRASGDILVFLDSHCEV NRVWLEPLLHAIAKDHKMVVCPIIDVINELTLDYMAAPIVRGAFDWNLNLRWDNVFAYEL DGPEGPSTPIRSPAMTGGIFAINRHYFNELGQYDNGMDICGGENVELSLRIWMCGGQLFI LPCSRVGYNSKALSQHRRANQSALSRNLLRVVHVWLDEYKGNFFLQRPSLTYVSCGNISE RVELRKRLGCKSFQWYLDNIFPELEPFNTERKRKKNRF >ENSMUSP00000106267.1 pep:known chromosome:GRCm38:13:9684836:9764423:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000110637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEISIKKKHSNKQEMGTYLRFIVSRMKERAI DLNKKGKDSKHPMYRRLVHSAVDVPTIQEKVNEGKYRSYEEFKADAQLLLHNTVIFYGAD SEQADIARMLYKDTCHELDELQLCKNCFYLSNARPDNWFCYPCIPNHELVWAKMKGFGFW PAKVMQKEDNQVDVRFFGHHHQRAWIPSENIQDITVNVHRLHVKRSMGWKKACDELELHQ RFLREGRFWKSKNEDRGEEEAESSISSTSNEQLKVTQEPRAKKGRRNQSVEPKKEEPEPE TEAVSSSQEIPTMPQPIERVSVSTQTKKLSASSPRMLHRSTQTTSDGVCQSMCHDKYTKI FNDFKDRMKSDHKRETERVVREALEKLRSEMEEEKRQAVNKAVASLQGDMDRKGKQLKEK CKEEFVEEIKKLAAQHKQLISQTKKKQWCYNCEEEAMYHCCWNTSYCSIKCQQEHWHAEH KRTCRRKR >ENSMUSP00000106268.1 pep:known chromosome:GRCm38:13:9684836:9764488:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000110638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEISIKKKHSNKQEMGTYLRFIVSRMKERAI DLNKKGKDSKHPMYRRLVHSAVDVPTIQEKVNEGKYRSYEEFKADAQLLLHNTVIFYGAD SEQADIARMLYKDTCHELDELQLCKNCFYLSNARPDNWFCYPCIPNHELVWAKMKGFGFW PAKVMQKEDNQVDVRFFGHHHQRAWIPSENIQDITVNVHRLHVKRSMGWKKACDELELHQ RFLREGRFWKSKNEDRGEEEAESSISSTSNEQLKVTQEPRAKKGRRNQSVEPKKEVSCPP HSVQVAIERGKSLKVRSRQSSNIRQVKAQSPDSCRCGLLLDQHASAQSPSPEPEPETEAV SSSQEIPTMPQPIERVSVSTQTKKLSASSPRMLHRSTQTTSDGVCQSMCHDKYTKIFNDF KDRMKSDHKRETERVVREALEKLRSEMEEEKRQAVNKAVASLQGDMDRKGKQLKEKCKEE FVEEIKKLAAQHKQLISQTKKKQWCYNCEEEAMYHCCWNTSYCSIKCQQEHWHAEHKRTC RRKR >ENSMUSP00000106266.1 pep:known chromosome:GRCm38:13:9684836:9765314:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000110636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIDWETETHDWYCFECHLPGEVLICDLCFR VYHSKCLSDEFRLRDSSSHWQCPVCRSIKKKHSNKQEMGTYLRFIVSRMKERAIDLNKKG KDSKHPMYRRLVHSAVDVPTIQEKVNEGKYRSYEEFKADAQLLLHNTVIFYGADSEQADI ARMLYKDTCHELDELQLCKNCFYLSNARPDNWFCYPCIPNHELVWAKMKGFGFWPAKVMQ KEDNQVDVRFFGHHHQRAWIPSENIQDITVNVHRLHVKRSMGWKKACDELELHQRFLREG RFWKSKNEDRGEEEAESSISSTSNEQLKVTQEPRAKKGRRNQSVEPKKEEPEPETEAVSS SQEIPTMPQPIERVSVSTQTKKLSASSPRMLHRSTQTTSDGVCQSMCHDKYTKIFNDFKD RMKSDHKRETERVVREALEKLRSEMEEEKRQAVNKAVASLQGDMDRKGKQLKEKCKEEFV EEIKKLAAQHKQLISQTKKKQWCYNCEEEAMYHCCWNTSYCSIKCQQEHWHAEHKRTCRR KR >ENSMUSP00000059767.8 pep:known chromosome:GRCm38:13:9684838:9765160:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000062658.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEISIKKKHSNKQEMGTYLRFIVSRMKERAI DLNKKGKDSKHPMYRRLVHSAVDVPTIQEKVNEGKYRSYEEFKADAQLLLHNTVIFYGAD SEQADIARMLYKDTCHELDELQLCKNCFYLSNARPDNWFCYPCIPNHELVWAKMKGFGFW PAKVMQKEDNQVDVRFFGHHHQRAWIPSENIQDITVNVHRLHVKRSMGWKKACDELELHQ RFLREGRFWKSKNEDRGEEEAESSISSTSNEQLKVTQEPRAKKGRRNQSVEPKKEEPEPE TEAVSSSQEIPTMPQPIERVSVSTQTKKLSASSPRMLHRSTQTTSDGVCQSMCHDKYTKI FNDFKDRMKSDHKRETERVVREALEKLRSEMEEEKRQAVNKAVASLQGDMDRKGKQLKEK CKEEFVEEIKKLAAQHKQLISQTKKKQWCYNCEEEAMYHCCWNTSYCSIKCQQEHWHAEH KRTCRRKR >ENSMUSP00000106265.1 pep:known chromosome:GRCm38:13:9684841:9764470:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000110635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIDWETETHDWYCFECHLPGEVLICDLCFR VYHSKCLSDEFRLRDSSSHWQCPVCRSIKKKHSNKQEMGTYLRFIVSRMKERKVNEGKYR SYEEFKADAQLLLHNTVIFYGADSEQADIARMLYKDTCHELDELQLCKNCFYLSNARPDN WFCYPCIPNHELVWAKMKGFGFWPAKVMQKEDNQVDVRFFGHHHQRAWIPSENIQDITVN VHRLHVKRSMGWKKACDELELHQRFLREGRFWKSKNEDRGEEEAESSISSTSNEQLKVTQ EPRAKKGRRNQSVEPKKEEPEPETEAVSSSQEIPTMPQPIERVSVSTQTKKLSASSPRML HRSTQTTSDGVCQSMCHDKYTKIFNDFKDRMKSDHKRETERVVREALEKLRSEMEEEKRQ AVNKAVASLQGDMDRKGKQLKEKCKEEFVEEIKKLAAQHKQLISQTKKKQWCYNCEEEAM YHCCWNTSYCSIKCQQEHWHAEHKRTCRRKR >ENSMUSP00000106264.1 pep:known chromosome:GRCm38:13:9685095:9764956:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000110634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIDWETETHDWYCFECHLPGEVLICDLCFR VYHSKCLSDEFRLRDSSSHWQCPVCRSIKKKHSNKQEMGTYLRFIVSRMKERAIDLNKKG KDSKHPMYRRLVHSAVDVPTIQEKVNEGKYRSYEEFKADAQLLLHNTVIFYGADSEQADI ARMLYKDTCHELDELQLCKNCFYLSNARPDNWFCYPCIPNHELVWAKMKGFGFWPAKVMQ KEDNQVDVRFFGHHHQRAWIPSENIQDITVNVHRLHVKRSMGWKKACDELELHQRFLREG RFWKSKNEDRGEEEAESSISSTSNEQLKVTQEPRAKKGRRNQSVEPKKEEPEPETEAVSS SQEIPTMPQPIERVSVSTQTKKLSASSPRMLHRSTQTTSDGVCQSMCHDKYTKIFNDFKD RMKSDHKRETERVVREALEKLRSEMEEEKRQAVNKAVASLQGDMDRKGKQLKEKCKEEFV EEIKKLAAQHKQLISQTKKKQWCYNCEEEAMYHCCWNTSYCSIKCQQEHWHAEHKRTCRR KR >ENSMUSP00000106263.1 pep:known chromosome:GRCm38:13:9686862:9720928:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000110633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MSRVHGMHPKETTRQLSLAVKDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIDWETETHD WYCFECHLPGEVLICDLCFRVYHSKCLSDEFRLRDSSSHWQCPVCRSIKKKHSNKQEMGT YLRFIVSRMKERAIDLNKKGKDSKHPMYRRLVHSAVDVPTIQEKVNEGKYRSYEEFKADA QLLLHNTVIFYGADSEQADIARMLYKDTCHELDELQLCKNCFYLSNARPDNWFCYPCIPN HELVWAKMKGFGFWPAKVMQKEDNQVDVRFFGHHHQRAWIPSENIQDITVNVHRLHVKRS MGWKKACDELELHQRFLREGRFWKSKNEDRGEEEAESSISSTSNEQLKVTQEPRAKKGRR NQSVEPKKEVSCPPHSVQVAIERGKSLKVRSRQSSNIRQVKAQSPDSCRCGLLLDQHASA QSPSPEPEPETEAVSSSQEIPTMPQPIERVSVSTQTKKLSASSPRMLHRSTQTTSDGVCQ SMCHDKYTKIFNDFKDRMKSDHKRETERVVREALEKLRSEMEEEKRQAVNKAVASLQGDM DRKGKQLKEKCKEEFVEEIKKLAAQHKQLISQTKKKQWCYNCEEEAMYHCCWNTSYCSIK CQQEHWHAEHKRTCRRKR >ENSMUSP00000118964.1 pep:known chromosome:GRCm38:13:9694287:9765270:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000130151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIAYSTQPFSRTAAPNKDWETETHDWYCFE CHLPGEVLICDLCFRVYHSKCLSDEFRLRDSSSHWQCPVCRSIKKKHSNKQEMGTYLRFI VSRMKERAIDLNKKGKDSKHPMYRRLVHSAVDVPTIQEKVNEGKYRSYEEFKADAQLLLH NTVIFYGADSEQADIARMLYKDTCHELDELQLCKNCFYLSNARPDN >ENSMUSP00000116635.1 pep:known chromosome:GRCm38:13:9695133:9764444:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000154994.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIDWETETHDWYCFECHLPGEVLICDLCFR VYHSKCLSDEFRLRDSSSHWQCPVCRSIKKKHSNKQEMGTYLRFIVSRMKERAIDLNKKG KDSKHPMYRRLVHSAVDVPTIQEKVNEGKYRSYEEFKADAQLLLHNTVIFYGADSEQADI ARMLYKDTC >ENSMUSP00000121574.1 pep:known chromosome:GRCm38:13:9697644:9764937:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000128658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEISIKKKHSNKQEMGTYLRFIVSRMKERAI DLNKKGKDSKHPMYRRLVHSAVDVPTIQE >ENSMUSP00000118002.1 pep:known chromosome:GRCm38:13:9697644:9765321:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000152725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIDWETETHDWYCFECHLPGEVLICDLCFR VYHSKCLSDEFRLRDSSSHWQCPVCRSIKKKHSNKQEMGTYLRFIVSRMKERAIDLNKKG KDSKHPMYRRLVHSAVDVPTIQE >ENSMUSP00000122133.1 pep:known chromosome:GRCm38:13:9697703:9764418:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000146059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MSRVHGMHPKETTRQLSLAVKDGLIVETLTVGCKGSKAGIEQEGYWLPGDEISIKKKHSN KQEMGTYLRFIVSRMKERAIDLNKKGKDS >ENSMUSP00000117375.1 pep:known chromosome:GRCm38:13:9698658:9735884:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000144642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MARLTKRRQADTKAIQHLWAAIEIIRNQKQIANIDRITKYMSRVHGMHPKETTRQLSLAV KDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIDWETETHDWYCFECHLPGEVLICDLCFR VYHSKCLSDEFRLRDSSSHWQCPVCRSIKKKHSNKQEMGTYL >ENSMUSP00000116299.1 pep:known chromosome:GRCm38:13:9710175:9764454:-1 gene:ENSMUSG00000021156.16 transcript:ENSMUST00000146039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd11 description:zinc finger, MYND domain containing 11 [Source:MGI Symbol;Acc:MGI:1913755] MSRVHGMHPKETTRQLSLAVKDGLIVETLTVGCKGSKAGIEQEGYWLPGDEIDWETETHD WYCFECHLPGEVLICDLCFRVYHSKCLSDEFRLRDS >ENSMUSP00000131125.1 pep:known chromosome:GRCm38:1:57774162:57885768:1 gene:ENSMUSG00000038305.14 transcript:ENSMUST00000172287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats2l description:spermatogenesis associated, serine-rich 2-like [Source:MGI Symbol;Acc:MGI:1914448] MAGTQETGASASKAYTPRRKMAELNTHVNIKEKIYAVRSVVPNKSNNEIVLVLQQFDFNV DKAVQAFVDGSAIQVLKEWNMTGKKKNNKRKRSKSKQHQGNKDAKDKV >ENSMUSP00000126166.1 pep:known chromosome:GRCm38:1:57774301:57902109:1 gene:ENSMUSG00000038305.14 transcript:ENSMUST00000172068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats2l description:spermatogenesis associated, serine-rich 2-like [Source:MGI Symbol;Acc:MGI:1914448] MAGTQETGASASKAYTPRRKMAELNTHVNIKEKIYAVRSVVPNKSNNEIVLVLQQFDFNV DKAVQAFVDGSAIQVLKEWNMTGKKKNNKRKRSKSKQHQGNKDAKDKVERPEVGPLQPQA PLVQNGHMNGCEKDSSSPDSTREKLALTPREKKISILEEPPRAQRGVTEGGRLLQQKMSL DGNPRAIHGPSERSDGPQWSAGQPCNPSKPKAKTSPVKSNAPAAHLEIKPDELAKKRGPN IEKS >ENSMUSP00000128992.2 pep:known chromosome:GRCm38:1:57774365:57946964:1 gene:ENSMUSG00000038305.14 transcript:ENSMUST00000163239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats2l description:spermatogenesis associated, serine-rich 2-like [Source:MGI Symbol;Acc:MGI:1914448] MNGCEKDSSSPDSTREKLALTPREKKISILEEPPRAQRGVTEGGRLLQQKMSLDGNPRAI HGPSERSDGPQWSAGQPCNPSKPKAKTSPVKSNAPAAHLEIKPDELAKKRGPNIEKSVKD LQRCTVSLTRYRVMIKEEVDSSVKKIKAAFAELHNCIIDKEVSLMAEMDKVKEEAMDILT ARQKKAEELKRLTDLASQMAEMQLAELRAEIKHFVSERKYDEELGKAARFSCDIEQLKAQ ILICGEITHPKNSYSSRTPCSSLLPLLNTHAVASGKQGNFARKSSGHNKPSEGKAANPKM VSGLANTADACHQTMPTNKQNGPSSQRRRFNPQYHNRLNGPAKSQGGGNEADPMAKSNSR HEHRRQPHNGFRPKNKGGAKNQEAPLGTKAPEAPPHSEKARRRQHAADNLEARPFRGNVS RVSQCNLCPSRIEVSTEATVLSVPAVTLVA >ENSMUSP00000128764.1 pep:known chromosome:GRCm38:1:57774600:57885836:1 gene:ENSMUSG00000038305.14 transcript:ENSMUST00000167971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats2l description:spermatogenesis associated, serine-rich 2-like [Source:MGI Symbol;Acc:MGI:1914448] MAGTQETGASASKAYTPRRKMAELNTHVNIKEKIYAVRSVVPNKSNNEIVLVLQQFDFNV DKAVQAFVDGSAIQVLKEWNMTGKKKNNKRKRSKSKQHQGNKDAKDKVERPEVGPLQPQA PLVQNGHMNG >ENSMUSP00000127598.1 pep:known chromosome:GRCm38:1:57774735:57946965:1 gene:ENSMUSG00000038305.14 transcript:ENSMUST00000170139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats2l description:spermatogenesis associated, serine-rich 2-like [Source:MGI Symbol;Acc:MGI:1914448] MAELNTHVNIKEKIYAVRSVVPNKSNNEIVLVLQQFDFNVDKAVQAFVDGSAIQVLKEWN MTGKKKNNKRKRSKSKQHQGNKDAKDKVERPEVGPLQPQAPLVQNGHMNGCEKDSSSPDS TREKLALTPREKKISILEEPPRAQRGVTEGGRLLQQKMSLDGNPRAIHGPSERSDGPQWS AGQPCNPSKPKAKTSPVKSNAPAAHLEIKPDELAKKRGPNIEKSVKDLQRCTVSLTRYRV MIKEEVDSSVKKIKAAFAELHNCIIDKEVSLMAEMDKVKEEAMDILTARQKKAEELKRLT DLASQMAEMQLAELRAEIKHFVSERKYDEELGKAARFSCDIEQLKAQILICGEITHPKNS YSSRTPCSSLLPLLNTHAVASGKQGNFARKSSGHNKPSEGKAANPKMVSGLANTADACHQ TMPTNKQNGPSSQRRRFNPQYHNRLNGPAKSQGGGNEADPMAKSNSRHEHRRQPHNGFRP KNKGGAKNQEAPLGTKAPEAPPHSEKARRRQHAADNLEARPFRGNVSRVSQCNLCPSRIE VSTEATVLSVPAVTLVA >ENSMUSP00000128239.1 pep:known chromosome:GRCm38:1:57774744:57938021:1 gene:ENSMUSG00000038305.14 transcript:ENSMUST00000171699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats2l description:spermatogenesis associated, serine-rich 2-like [Source:MGI Symbol;Acc:MGI:1914448] MAGTQETGASASKAYTPRRKMAELNTHVNIKEKIYAVRSVVPNKSNNEIVLVLQQFDFNV DKAVQAFVDGSAIQVLKEWNMTGKKKNNKRKRSKSKQHQGNKDAKDKVERPEVGPLQPQA PLVQNGHMNGCEKDSSSPDSTREKLALTPREKKISILEEPPRAQRGVTGPNIEKSVKDLQ RCTVSLTRYRVMIKEEVDSSVKKIKAAFAELHNCIIDKEVSLMAEMDKVKEEAMDILTAR QKKAEELKRLTDLASQMAEMQLAELRAEIK >ENSMUSP00000132592.1 pep:known chromosome:GRCm38:1:57774755:57946965:1 gene:ENSMUSG00000038305.14 transcript:ENSMUST00000164302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats2l description:spermatogenesis associated, serine-rich 2-like [Source:MGI Symbol;Acc:MGI:1914448] MAELNTHVNIKEKIYAVRSVVPNKSNNEIVLVLQQFDFNVDKAVQAFVDGSAIQVLKEWN MTGKKKNNKRKRSKSKQHQGNKDAKDKVERPEVGPLQPQAPLVQNGHMNGCEKDSSSPDS TREKLALTPREKKISILEEPPRAQRGVTGPNIEKSVKDLQRCTVSLTRYRVMIKEEVDSS VKKIKAAFAELHNCIIDKEVSLMAEMDKVKEEAMDILTARQKKAEELKRLTDLASQMAEM QLAELRAEIKHFVSERKYDEELGKAARFSCDIEQLKAQILICGEITHPKNSYSSRTPCSS LLPLLNTHAVASGKQGNFARKSSGHNKPSEGKAANPKMVSGLANTADACHQTMPTNKQNG PSSQRRRFNPQYHNRLNGPAKSQGGGNEADPMAKSNSRHEHRRQPHNGFRPKNKGGAKNQ EAPLGTKAPEAPPHSEKARRRQHAADNLEARPFRGNVSRVSQCNLCPSRIEVSTEATVLS VPAVTLVA >ENSMUSP00000133054.1 pep:known chromosome:GRCm38:1:57774861:57948394:1 gene:ENSMUSG00000038305.14 transcript:ENSMUST00000167085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats2l description:spermatogenesis associated, serine-rich 2-like [Source:MGI Symbol;Acc:MGI:1914448] MAGTQETGASASKAYTPRRKMAELNTHVNIKEKIYAVRSVVPNKSNNEIVLVLQQFDFNV DKAVQAFVDGSAIQVLKEWNMTGKKKNNKRKRSKSKQHQGNKDAKDKVERPEVGPLQPQA PLVQNGHMNGCEKDSSSPDSTREKLALTPREKKISILEEPPRAQRGVTEGGRLLQQKMSL DGNPRAIHGPSERSDGPQWSAGQPCNPSKPKAKTSPVKSNAPAAHLEIKPDELAKKRGPN IEKSVKDLQRCTVSLTRYRVMIKEEVDSSVKKIKAAFAELHNCIIDKEVSLMAEMDKVKE EAMDILTARQKKAEELKRLTDLASQMAEMQLAELRAEIKHFVSERKYDEELGKAARFSCD IEQLKAQILICGEITHPKNSYSSRTPCSSLLPLLNTHAVASGKQGNFARKSSGHNKPSEG KAANPKMVSGLANTADACHQTMPTNKQQNGPSSQRRRFNPQYHNRLNGPAKSQGGGNEAD PMAKSNSRHEHRRQPHNGFRPKNKGGAKNQEAPLGTKAPEAPPHSEKARRRQHAADNLEA RPFRGNVSRVSQCNLCPSRIEVSTEATVLSVPAVTLVA >ENSMUSP00000132975.1 pep:known chromosome:GRCm38:1:57845571:57946965:1 gene:ENSMUSG00000038305.14 transcript:ENSMUST00000169772.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats2l description:spermatogenesis associated, serine-rich 2-like [Source:MGI Symbol;Acc:MGI:1914448] MAELNTHVNIKEKIYAVRSVVPNKSNNEIVLVLQQFDFNVDKAVQAFVDGSAIQVLKEWN MTGKKKNNKRKRSKSKQHQGNKDAKDKVERPEVGPLQPQAPLVQNGHMNGCEKDSSSPDS TREKLALTPREKKISILEEPPRAQRGVTEGGRLLQQKMSLDGNPRAIHGPSERSDGPQWS AGQPCNPSKPKAKTSPVKSNAPAAHLEIKPDELAKKRGPNIEKSVKDLQRCTVSLTRYRV MIKEEVDSSVKKIKAAFAELHNCIIDKEVSLMAEMDKVKEEAMDILTARQKKAEELKRLT DLASQMAEMQLAELRAEIKHFVSERKYDEELGKAARFSCDIEQLKAQILICGEITHPKNS YSSRTPCSSLLPLLNTHAVASGKQGNFARKSSGHNKPSEGKAANPKMVSGLANTADACHQ TMPTNKQNGPSSQRRRFNPQYHNRLNGPAKSQGGGNEADPMAKSNSRHEHRRQPHNGFRP KNKGGAKNQEAPLGTKAPEAPPHSEKARRRQHAADNLEARPFRGNVSRVSQCNLCPSRIE VSTEATVLSVPAVTLVA >ENSMUSP00000126382.1 pep:known chromosome:GRCm38:6:28475139:28523737:1 gene:ENSMUSG00000001424.14 transcript:ENSMUST00000168362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snd1 description:staphylococcal nuclease and tudor domain containing 1 [Source:MGI Symbol;Acc:MGI:1929266] MIYLGKDTNG >ENSMUSP00000127317.1 pep:known chromosome:GRCm38:6:28480348:28877723:1 gene:ENSMUSG00000001424.14 transcript:ENSMUST00000164915.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snd1 description:staphylococcal nuclease and tudor domain containing 1 [Source:MGI Symbol;Acc:MGI:1929266] MASSAQSSGSSGGPAVPTVQRGIVKMVLSGCAIIVRGQPRGGPPPERQINLSNIRAGNLA RRAAATQPDGKDTPDEPWAFPAREFLRKKLIGKEVCFTIENKTPQGREYGMIYLGKAQSR TGFQNARNKQRHRRKGCGVRATVHTPSGTSSTPLRTQGTLWTHTTRSQSMLSLSMFETAV WSGPCSFQVTTLSPSCSQGLSAQPSVGKQMGVKRRSPSLQKPSFSPSLDCFREMFRSFWR AATIRTFWVPSSTRMVTSLNSS >ENSMUSP00000001460.6 pep:known chromosome:GRCm38:6:28480348:28888832:1 gene:ENSMUSG00000001424.14 transcript:ENSMUST00000001460.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snd1 description:staphylococcal nuclease and tudor domain containing 1 [Source:MGI Symbol;Acc:MGI:1929266] MASSAQSSGSSGGPAVPTVQRGIVKMVLSGCAIIVRGQPRGGPPPERQINLSNIRAGNLA RRAAATQPDGKDTPDEPWAFPAREFLRKKLIGKEVCFTIENKTPQGREYGMIYLGKDTNG ENIAESLVAEGLATRREGMRANNPEQNRLSECEEQAKASKKGMWSEGNGSHTIRDLKYTI ENPRHFVDSHHQKPVNAIIEHVRDGSVVRALLLPGHHLVTVMLSGIKCPTFRRETDGSET PEPFAAEAKFFTESRLLQRDVQIILESCHNQNLLGTILHPNGNITELLLKEGFARCVDWS IAVYTRGAEKLRAAERFAKERRLRIWRDYVPPTANLDQKDKQFVAKVMQVLNADAIVVKL NSGDYKTIHLSSIRPPRLEGDNIQDKNKKLRPLYDIPYMFEAREFLRKKLIGKKVNVTVD YIRPASPATETVPAFSERTCATVTIGGINIAEALVSKGLATVIRYRQDDDQRSSHYDELL AAEARAIKNGKGLHSKKEVPIHRVADISGDTQKAKQFLPFLQRAGRSEAVVEYVFSGSRL KLYLPKETCLITFLLAGIECPRGARNLPGLVQEGEPFSEEATLFTKELVLQREVEVEVES MDKAGNFIGWLHMDGANLSVLLVEQALSKVHFTAERSAYYKPLLSAEEAAKQRKEKVWAH YEERPVEEVMPVLEEKERSASYKPVFVTEITDDLHFYVQDVETGTQLEKLMENMRNDISS HPPVEGSYAPRRGEFCIAKFVDGEWYRARVEKVESPAKVHVFYIDYGNREILPSTRLGTL PPAFSTRVLPAQATEYAFAFIQVPQDEDARTDAVDSVVRDIQNTQCLLNVEHLSASCPHV TLQFADSKGDVGLGLVKEGLVMVEVRKEKQFQKVITEYLNAQESAKSARLNLWRYGDFRA DDADEFGYSR >ENSMUSP00000128737.1 pep:known chromosome:GRCm38:6:28480359:28935162:1 gene:ENSMUSG00000001424.14 transcript:ENSMUST00000167201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snd1 description:staphylococcal nuclease and tudor domain containing 1 [Source:MGI Symbol;Acc:MGI:1929266] MASSAQSSGSSGGPAVPTVQRGIVKMVLSGCAIIVRGQPRGGPPPERQINLSNIRAGNLA RRAAATQPDGKDTPDEPWAFPAREFLRKKLIGKEVCFTIENKTPQGREYGMIYLGKDTNG ENIAESLVAEGLATRREGMRANNPEQNRLSECEEQAKASKKGMWSEGNGSHTIRDLKYTI ENPRHFVDSHHQKPVNAIIEHVRDGSVVRALLLPGHHLVTVMLSGIKCPTFRRETDGSET PEPFAAEAKFFTESRLLQRDVQIILESCHNQNLLGTILHPNGNITELLLKEGFARCVDWS IAVYTRGAEKLRAAERFAKERRLRIWRDYVPPTANLDQKDKQFVAKVMQVLNADAIVVKL NSGDYKTIHLSSIRPPRLEGDNIQDKNKKLRPLYDIPYMFEAREFLRKKLIGKKVNVTVD YIRPASPATETVPAFSERTCATVTIGGINIAEALVSKGLATVIRYRQDDDQRSSHYDELL AAEARAIKNGKGLHSKKEVPIHRVADISGDTQKAKQFLPFLQRAGRSEAVVEYVFSGSRL KLYLPKETCLITFLLAGIECPRGARNLPGLVQEGEPFSEEATLFTKELVLQRELLEINHG PKISNGKF >ENSMUSP00000111098.3 pep:known chromosome:GRCm38:X:13517080:13846578:-1 gene:ENSMUSG00000031012.17 transcript:ENSMUST00000115438.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cask description:calcium/calmodulin-dependent serine protein kinase (MAGUK family) [Source:MGI Symbol;Acc:MGI:1309489] MADDDVLFEDVYELCEVIGKGPFSVVRRCINRETGQQFAVKIVDVAKFTSSPGLSTEDLK REASICHMLKHPHIVELLETYSSDGMLYMVFEFMDGADLCFEIVKRADAGFVYSEAVASH YMRQILEALRYCHDNNIIHRDVKPHCVLLASKENSAPVKLGGFGVAIQLGESGLVAGGRV GTPHFMAPEVVKREPYGKPVDVWGCGVILFILLSGCLPFYGTKERLFEGIIKGKYKMNPR QWSHISESAKDLVRRMLMLDPAERITVYEALNHPWLKERDRYAYKIHLPETVEQLRKFNA RRKLKGAVLAAVSSHKFNSFYGDPPEELPDFSEDPTSSGAVSQVLDSLEEIHALTDCSEK DLDFLHSVFQDQHLHTLLDLYDKINTKSSPQIRNPPSDAVQRAKEVLEEISCYPENNDAK ELKRILTQPHFMALLQTHDVVAHEVYSDEALRVTPPPTSPYLNGDSPESANGDMDMENVT RVRLVQFQKNTDEPMGITLKMNELNHCIVARIMHGGMIHRQGTLHVGDEIREINGISVAN QTVEQLQKMLREMRGSITFKIVPSYRTQSSSCERDSPSTSRQSPANGHSSTNNSVSDLPS TTQPKGRQIYVRAQFEYDPAKDDLIPCKEAGIRFRVGDIIQIISKDDHNWWQGKLENSKN GTAGLIPSPELQEWRVACIAMEKTKQEQQASCTWFGKKKKQYKDKYLAKHNAVFDQLDLV TYEEVVKLPAFKRKTLVLLGAHGVGRRHIKNTLITKHPDRFAYPIPHTTRPPKKDEENGK NYYFVSHDQMMQDISNNEYLEYGSHEDAMYGTKLETIRKIHEQGLIAILDVEPQALKVLR TAEFAPFVVFIAAPTITPGLNEDESLQRLQKESDVLQRTYAHYFDLTIINNEIDETIRHL EEAVELVCTAPQWVPVSWVY >ENSMUSP00000033321.4 pep:known chromosome:GRCm38:X:13521331:13846556:-1 gene:ENSMUSG00000031012.17 transcript:ENSMUST00000033321.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cask description:calcium/calmodulin-dependent serine protein kinase (MAGUK family) [Source:MGI Symbol;Acc:MGI:1309489] MADDDVLFEDVYELCEVIGKGPFSVVRRCINRETGQQFAVKIVDVAKFTSSPGLSTEDLK REASICHMLKHPHIVELLETYSSDGMLYMVFEFMDGADLCFEIVKRADAGFVYSEAVASH YMRQILEALRYCHDNNIIHRDVKPHCVLLASKENSAPVKLGGFGVAIQLGESGLVAGGRV GTPHFMAPEVVKREPYGKPVDVWGCGVILFILLSGCLPFYGTKERLFEGIIKGKYKMNPR QWSHISESAKDLVRRMLMLDPAERITVYEALNHPWLKERDRYAYKIHLPETVEQLRKFNA RRKLKGAVLAAVSSHKFNSFYGDPPEELPDFSEDPTSSGAVSQVLDSLEEIHALTDCSEK DLDFLHSVFQDQHLHTLLDLYDKINTKSSPQIRNPPSDAVQRAKEVLEEISCYPENNDAK ELKRILTQPHFMALLQTHDVVAHEVYSDEALRVTPPPTSPYLNGDSPESANGDMDMENVT RVRLVQFQKNTDEPMGITLKMNELNHCIVARIMHGGMIHRQGTLHVGDEIREINGISVAN QTVEQLQKMLREMRGSITFKIVPSYRTQSSSCERDSPSTSRQSPANGHSSTNNSVSIYVR AQFEYDPAKDDLIPCKEAGIRFRVGDIIQIISKDDHNWWQGKLENSKNGTAGLIPSPELQ EWRVACIAMEKTKQEQQASCTWFGKKKKQYKDKYLAKHNAVFDQLDLVTYEEVVKLPAFK RKTLVLLGAHGVGRRHIKNTLITKHPDRFAYPIPHTTRPPKKDEENGKNYYFVSHDQMMQ DISNNEYLEYGSHEDAMYGTKLETIRKIHEQGLIAILDVEPQALKVLRTAEFAPFVVFIA APTITPGLNEDESLQRLQKESDVLQRTYAHYFDLTIINNEIDETIRHLEEAVELVCTAPQ WVPVSWVY >ENSMUSP00000120299.1 pep:known chromosome:GRCm38:X:13517080:13786030:-1 gene:ENSMUSG00000031012.17 transcript:ENSMUST00000156096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cask description:calcium/calmodulin-dependent serine protein kinase (MAGUK family) [Source:MGI Symbol;Acc:MGI:1309489] RRCINRETGQQFAVKIVDVAKFTSSPGLSTEDLKREASICHMLKHPHIVELLETYSSDGM LYMVFEFMDGADLCFEIVKRADAGFVYSEAVASHYMRQILEALRYCHDNNIIHRDVKPHC VLLASKENSAPVKLGGFGVAIQLGESGLVAGGRVGTPHFMAPEVVKREPYGKPVDVWGCG VILFILLSGCLPFYGTKERLFEGIIKGKYKMNPRQWSHISESAKDLVRRMLMLDPAERIT VYEALNHPWLKERDRYAYKIHLPETVEQLRKFNARRKLKGAVLAAVSSHKFNSFYGDPPE ELPDFSEDPTSSGAVSQVLDSLEEIHALTDCSEKDLDFLHSVFQDQHLHTLLDLYDKINT KSSPQIRNPPSDAVQRAKEVLEEISCYPENNDAKELKRILTQPHFMALLQTHDVVAHEVY SDEALRVTPPPTSPYLNGDSPESANGDMDMENVTRVRLVQFQKNTDEPMGITLKMNELNH CIVARIMHGGMIHRQGTLHVGDEIREINGISVANQTVEQLQKMLREMRGSITFKIVPSYR TQSSSCEDLPSTTQPKGRQIYVRAQFEYDPAKDDLIPCKEAGIRFRVGDIIQIISKDDHN WWQGKLENSKNGTAGLIPSPELQEWRVACIAMEKTKQEQQASCTWFGKKKKQYKDKYLAK HNAVFDQLDLVTYEEVVKLPAFKRKTLVLLGAHGVGRRHIKNTLITKHPDRFAYPIPHTT RPPKKDEENGKNYYFVSHDQMMQDISNNEYLEYGSHEDAMYGTKLETIRKIHEQGLIAIL DVEPQALKVLRTAEFAPFVVFIAAPTITPGLNEDESLQRLQKESDVLQRTYAHYFDLTII NNEIDETIRHLEEAVELVCTAPQWVPVSWVY >ENSMUSP00000122664.1 pep:known chromosome:GRCm38:X:13522080:13537669:-1 gene:ENSMUSG00000031012.17 transcript:ENSMUST00000128095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cask description:calcium/calmodulin-dependent serine protein kinase (MAGUK family) [Source:MGI Symbol;Acc:MGI:1309489] XGAHGVGRRHIKNTLITKHPDRFAYPIPHTTRPPKKDEENGKNYYFVSHDQMMQDISNNE YLEYGSHEDAMYGTKLETIRKIHEQGLIAILDVEPQALKVLRTAEFAPFVVFIAAPTITP GLNELPKWCRKLPDESLQRLQKESDVLQRTYAHYFDLTIINNEIDETIRHLEEAVELVCT APQWVPVSWVY >ENSMUSP00000111095.2 pep:known chromosome:GRCm38:X:13521782:13526768:-1 gene:ENSMUSG00000031012.17 transcript:ENSMUST00000115435.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cask description:calcium/calmodulin-dependent serine protein kinase (MAGUK family) [Source:MGI Symbol;Acc:MGI:1309489] MPPHHNSGTHLSKLMTCTDLPRFGKALKVLRTAEFAPFVVFIAAPTITPGLNEDESLQRL QKESDVLQRTYAHYFDLTIINNEIDETIRHLEEAVELVCTAPQWVPVSWVY >ENSMUSP00000119584.1 pep:known chromosome:GRCm38:X:13626280:13846088:-1 gene:ENSMUSG00000031012.17 transcript:ENSMUST00000124710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cask description:calcium/calmodulin-dependent serine protein kinase (MAGUK family) [Source:MGI Symbol;Acc:MGI:1309489] MADDDVLFEDVYELCEVIGKGPFSVVRRCINRETGQQFAVKIVDVAKFTSSPGLSTEGKR WISNLKREASICHMLKHPHIVELLETYSSDGMLYMVFEFMDGADLCFEIVKRADAGFVYS EAVASHYMRQILEALRYCHDNNIIHRDVKPHCVLL >ENSMUSP00000117862.1 pep:known chromosome:GRCm38:X:13533340:13559331:-1 gene:ENSMUSG00000031012.17 transcript:ENSMUST00000139735.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cask description:calcium/calmodulin-dependent serine protein kinase (MAGUK family) [Source:MGI Symbol;Acc:MGI:1309489] XHRQGTLHVGDEIREINGISVANQTVEQLQKMLREMRGSITFKIVPSYRTQSSSCEIYVR AQFEYDPAKDDLIPCKEAGIRFRVGDIIQIISKDDHNWWQGKLENSKNGTAGLIPSPELQ EWRVACIAMEKTKQEQQASCTWFGKKKKQYKDKYLAKHNAVFDQLDLVTYEEVVKLPAFK RKTLVLLGAHGVGRRHIKNTLITKHPDRFAYPIPHTTRPPKKDEENGKNYYFVSHDQMMQ DISNNEYLEYGSHEDAMYGTKLETIRKIHEQGLIAILDVEPQ >ENSMUSP00000114187.1 pep:known chromosome:GRCm38:X:13571103:13677594:-1 gene:ENSMUSG00000031012.17 transcript:ENSMUST00000128012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cask description:calcium/calmodulin-dependent serine protein kinase (MAGUK family) [Source:MGI Symbol;Acc:MGI:1309489] XDVKPHCVLLASKENSAPVKLGGFGVAIQLGESGLVAGGRVGTPHFMAPEVVKREPYGKP VDVWGCGVILFILLSGCLPFYGTKERLFEGIIKGKYKMNPRQWSHISESAKDLVRRMLML DPAERITVYEALNHPWLKERDRYAYKIHLPETVEQLRKFNARRKLKGAVLAAVSSHKFNS FYGDPPEELPDFSEDPTSSGLLAAERAVSQVLDSLEEIHALTDCSEKDLDFLHSVFQDQH LHTLLDLYD >ENSMUSP00000111096.2 pep:known chromosome:GRCm38:X:13517080:13846541:-1 gene:ENSMUSG00000031012.17 transcript:ENSMUST00000115436.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cask description:calcium/calmodulin-dependent serine protein kinase (MAGUK family) [Source:MGI Symbol;Acc:MGI:1309489] MADDDVLFEDVYELCEVIGKGPFSVVRRCINRETGQQFAVKIVDVAKFTSSPGLSTEDLK REASICHMLKHPHIVELLETYSSDGMLYMVFEFMDGADLCFEIVKRADAGFVYSEAVASH YMRQILEALRYCHDNNIIHRDVKPHCVLLASKENSAPVKLGGFGVAIQLGESGLVAGGRV GTPHFMAPEVVKREPYGKPVDVWGCGVILFILLSGCLPFYGTKERLFEGIIKGKYKMNPR QWSHISESAKDLVRRMLMLDPAERITVYEALNHPWLKERDRYAYKIHLPETVEQLRKFNA RRKLKGAVLAAVSSHKFNSFYGDPPEELPDFSEDPTSSGAVSQVLDSLEEIHALTDCSEK DLDFLHSVFQDQHLHTLLDLYDKINTKSSPQIRNPPSDAVQRAKEVLEEISCYPENNDAK ELKRILTQPHFMALLQTHDVVAHEVYSDEALRVTPPPTSPYLNGDSPESANGDMDMENVT RVRLVQFQKNTDEPMGITLKMNELNHCIVARIMHGGMIHRQGTLHVGDEIREINGISVAN QTVEQLQKMLREMRGSITFKIVPSYRTQSSSCEDLPSTTQPKGRQIYVRAQFEYDPAKDD LIPCKEAGIRFRVGDIIQIISKDDHNWWQGKLENSKNGTAGLIPSPELQEWRVACIAMEK TKQEQQASCTWFGKKKKQYKDKYLAKHNAVFDQLDLVTYEEVVKLPAFKRKTLVLLGAHG VGRRHIKNTLITKHPDRFAYPIPHTTRPPKKDEENGKNYYFVSHDQMMQDISNNEYLEYG SHEDAMYGTKLETIRKIHEQGLIAILDVEPQALKVLRTAEFAPFVVFIAAPTITPGLNED ESLQRLQKESDVLQRTYAHYFDLTIINNEIDETIRHLEEAVELVCTAPQWVPVSWVY >ENSMUSP00000022036.7 pep:known chromosome:GRCm38:13:58553305:58610877:-1 gene:ENSMUSG00000021553.13 transcript:ENSMUST00000022036.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc28a3 description:solute carrier family 28 (sodium-coupled nucleoside transporter), member 3 [Source:MGI Symbol;Acc:MGI:2137361] MSRADPGKNSEPSESKMSLELRPTAPSDLGRSNEAFQDEDLERQNTPGNSTVRNRVVQSG EQGHAKQDDRQITIEQEPLGNKEDPEDDSEDEHQKGFLERKYDTICEFCRKHRVVLRSTI WAVLLTGFLALVIAACAINFHRALPLFVITLVTIFFVIWDHLMAKYEQRIDDFLSPGRRL LDRHWFWLKWVVWSSLILAIILWLSLDTAKLGQQNLVSFGGLIMYLILLFLFSKHPTRVY WRPVFWGIGLQFLLGLLILRTRPGFVAFDWMGRQVQTFLGYTDTGARFVFGEKYTDHFFA FKILPIVVFFSTVMSMLYYLGLMQWIIRKVGWLMLVTMGSSPIESVVAAGNIFIGQTESP LLVQPYLPHVTKSELHTIMTAGFATIAGSVLGAYISFGVSSTHLLTASVMSAPAALAVAK LFWPETEKPKITLKSAMKMENGDSRNLLEAASQGASSSIPLVANIAANLIAFLALLSFVN SALSWFGSMFNYPELSFELICSYIFMPFSFMMGVDWQDSFMVAKLIGYKTFFNEFVAYDH LSKLINLRKAAGPKFVNGVQQYMSIRSETIATYALCGFANFGSLGIVIGGLTSIAPSRKR DIASGAMRALIAGTIACFMTACIAGILSDTPVDINCHHVLENGRVLSNTTEVVSCCQNLF NSTVAKGPNDVVPGGNFSLYALKSCCNLLKPPTLNCNWIPNKL >ENSMUSP00000122865.1 pep:known chromosome:GRCm38:13:58581690:58610877:-1 gene:ENSMUSG00000021553.13 transcript:ENSMUST00000148396.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc28a3 description:solute carrier family 28 (sodium-coupled nucleoside transporter), member 3 [Source:MGI Symbol;Acc:MGI:2137361] MSRADPGKNSEPSESKMSLELRPTAPSDLGRSNEAFQVPLPPVHSYWLLEDEWGRLGGNS GYFWNIQG >ENSMUSP00000123681.1 pep:known chromosome:GRCm38:13:58545399:58558267:-1 gene:ENSMUSG00000021553.13 transcript:ENSMUST00000140760.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc28a3 description:solute carrier family 28 (sodium-coupled nucleoside transporter), member 3 [Source:MGI Symbol;Acc:MGI:2137361] GRVLSNTTEVVSCCQNLFNSTVAKGPNDVVPGGNFSLYALKSCCNLLKPPTLNCNWIPNK L >ENSMUSP00000101311.2 pep:known chromosome:GRCm38:4:149744036:149774277:-1 gene:ENSMUSG00000028982.9 transcript:ENSMUST00000105686.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a33 description:solute carrier family 25, member 33 [Source:MGI Symbol;Acc:MGI:1917806] MATGTQQKENTLLHLFAGGCGGTVGAIFTCPLEVIKTRLQSSRLALRTVYYPQVHLGTIS GAGMVRPTSVTPGLLQVLKSILEKEGPKSLFRGLGPNLVGVAPSRAVYFACYSKAKEQFN GIFVPNSNTVHILSAGSAAFVTNTLMNPIWMVKTRMQLERKVRGCKQMNTLQCARRVYQT EGVRGFYRGLTASYAGISETIICFAIYESLKKCLKDAPIVSSTDGAEKSSSGFFGLMAAA AVSKGCASCIAYPHEVIRTRLREEGSKYRSFVQTARLVFREEGYLAFYRGLFAQLIRQIP NTAIVLSTYEFIVYLLGERA >ENSMUSP00000147051.1 pep:known chromosome:GRCm38:19:12670559:12674318:1 gene:ENSMUSG00000044441.3 transcript:ENSMUST00000207341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1442 description:olfactory receptor 1442 [Source:MGI Symbol;Acc:MGI:3031276] MENRTEMTGFILLGLTNAPELRAPLFIIISFIYFTNV >ENSMUSP00000146650.1 pep:known chromosome:GRCm38:19:12670574:12674236:1 gene:ENSMUSG00000044441.3 transcript:ENSMUST00000208657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1442 description:olfactory receptor 1442 [Source:MGI Symbol;Acc:MGI:3031276] MENRTEMTGF >ENSMUSP00000146803.1 pep:known chromosome:GRCm38:19:12670585:12675243:1 gene:ENSMUSG00000044441.3 transcript:ENSMUST00000208494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1442 description:olfactory receptor 1442 [Source:MGI Symbol;Acc:MGI:3031276] MENRTEMTGFILLGLTNAPELRAPLFIIISFIYFTNVIGNLGMIVLILWDSRLHTPMYCF LANLSLVDIFYSSAVAPTILAGLLVGNIVVSYNACVAQMISFSAFVTTEDLLLAAMAYDR YAAVCKPLHYTTIMTPTVCICLIMACYTGGFLNSSIHTGDTFRLSFCGSNAVHHFFCDVP AVMTLSCSDRHVSEIVLIYGAGFIICSALLVILISYTFIFITIFRMRSAAGYQKAMSTCV SHFTAVSIFYGTVIFMYLQPTSSHSMDTDKTVSVFYTMVIPMLNPMVYSLRNKEVKSAFK KVVEKAKYSLGF >ENSMUSP00000050632.2 pep:known chromosome:GRCm38:19:12674179:12675243:1 gene:ENSMUSG00000044441.3 transcript:ENSMUST00000057924.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1442 description:olfactory receptor 1442 [Source:MGI Symbol;Acc:MGI:3031276] MENRTEMTGFILLGLTNAPELRAPLFIIISFIYFTNVIGNLGMIVLILWDSRLHTPMYCF LANLSLVDIFYSSAVAPTILAGLLVGNIVVSYNACVAQMISFSAFVTTEDLLLAAMAYDR YAAVCKPLHYTTIMTPTVCICLIMACYTGGFLNSSIHTGDTFRLSFCGSNAVHHFFCDVP AVMTLSCSDRHVSEIVLIYGAGFIICSALLVILISYTFIFITIFRMRSAAGYQKAMSTCV SHFTAVSIFYGTVIFMYLQPTSSHSMDTDKTVSVFYTMVIPMLNPMVYSLRNKEVKSAFK KVVEKAKYSLGF >ENSMUSP00000114381.1 pep:known chromosome:GRCm38:11:68587021:68597966:1 gene:ENSMUSG00000045915.15 transcript:ENSMUST00000154294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc42 description:coiled-coil domain containing 42 [Source:MGI Symbol;Acc:MGI:3045254] MSLGMMEEEDLAEYFRLQYGERLLQLLQKFPNVEEQSDSPSIQLLEKKKEAKIMQEAMEH KKEAFQRRMETLNLRWEELGIKEEQLKAHIQKFEQFIQENDQKRIRALKKANKERELKRL RLRELAKAKQEMMALRLEHQKLSVKLQDYAIFNKYLEKVVENSEFEEIHEVIARYKTLVS MHHDLMQSAQEGQEKIERAKARLARYMEEKDDEILQHNNELARLQMRFDRARSDVIFWES RWAHIQNTAAKKTLLLGTIKMATLNLFQIVSKQLKESTQVSLEDTHKQLDMIQQFIQDLS DIWTEVKKKEQQQVRM >ENSMUSP00000057342.5 pep:known chromosome:GRCm38:11:68587133:68597945:1 gene:ENSMUSG00000045915.15 transcript:ENSMUST00000063006.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc42 description:coiled-coil domain containing 42 [Source:MGI Symbol;Acc:MGI:3045254] MMEEEDLAEYFRLQYGERLLQLLQKFPNVEEQSDSPSIQLLEKKKEAKIMQEAMEHKKEA FQRRMETLNLRWEELGIKEEQLKAHIQKFEQFIQENDQKRIRALKKANKERELKRLRLRE LAKAKQEMMALRLEHQKLSVKLQDYAIFNKYLEKVVENSEESRWAHIQNTAAKKTLLLGT IKMATLNLFQIVSKQLKESTQVSLEDTHKQLDMIQQFIQDLSDIWTEVKKKEQQQVRM >ENSMUSP00000099672.1 pep:known chromosome:GRCm38:11:68594055:68597954:1 gene:ENSMUSG00000045915.15 transcript:ENSMUST00000102612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc42 description:coiled-coil domain containing 42 [Source:MGI Symbol;Acc:MGI:3045254] MALGSQLFSDPSPLIPQFEEIHEVIARYKTLVSMHHDLMQSAQEGQEKIERAKARLARYM EEKDDEILQHNNELARLQMRFDRARSDVIFWESRWAHIQNTAAKKTLLLGTIKMATLNLF QIVSKQLKESTQVSLEDTHKQLDMIQQFIQDLSDIWTEVKKKEQQQVRM >ENSMUSP00000052095.6 pep:known chromosome:GRCm38:5:136741759:136883121:-1 gene:ENSMUSG00000004415.15 transcript:ENSMUST00000057497.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col26a1 description:collagen, type XXVI, alpha 1 [Source:MGI Symbol;Acc:MGI:2155345] MKLVLLLPWACCCLCGSALATGFLYPFPAAALQQHGYPEQGAGSPGNGYSSRRHWCHHTV TRTVSCQVQNGSETVVQRVYQSCRWPGPCANLVSYRTLIRPTYRVSYRTVTALEWRCCPG FTGSNCEEECMNCTRLSDMSERLTTLEAKVLLLEAAEQPSGPDNDLPPPQSTPPTWNEDF LPDAIPIAHPGPRRRRPTGPAGPPGQMGPPGPAGPPGSKGEQGQTGEKGPVGPPGLLGPP GPRGLPGEMGRPGPPGPPGPAGSPGLLPNTPQGVLYSLQTPTDKENGDSQLNPAVVDTVL TGIPGPRGPPGPPGPPGPHGPPGPPGAPGSQGLVDERVVARPSGEPSVKEEEDKASAAEG EGVQQLREALKILAERVLILEHMIGVHDPLASPEGGSGQDAALRANLKMKRGGPRPDGIL AALLGPDPAQKSADQAGDRK >ENSMUSP00000106732.1 pep:known chromosome:GRCm38:5:136741759:136883184:-1 gene:ENSMUSG00000004415.15 transcript:ENSMUST00000111103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col26a1 description:collagen, type XXVI, alpha 1 [Source:MGI Symbol;Acc:MGI:2155345] MKLVLLLPWACCCLCGSALATGFLYPFPAAALQQHGYPEQGAGSPGNGYSSRRHWCHHTV TRTVSCQVQNGSETVVQRVYQSCRWPGPCANLVRTLIRPTYRVSYRTVTALEWRCCPGFT GSNCEEECMNCTRLSDMSERLTTLEAKVLLLEAAEQPSGPDNDLPPPQSTPPTWNEDFLP DAIPIAHPGPRRRRPTGPAGPPGQMGPPGPAGPPGSKGEQGQTGEKGPVGPPGLLGPPGP RGLPGEMGRPGPPGPPGPAGSPGLLPNTPQGVLYSLQTPTDKENGDSQLNPAVVDTVLTG IPGPRGPPGPPGPPGPHGPPGPPGAPGSQGLVDERVVARPSGEPSVKEEEDKASAAEGEG VQQLREALKILAERVLILEHMIGVHDPLASPEGGSGQDAALRANLKMKRGGPRPDGILAA LLGPDPAQKSADQAGDRK >ENSMUSP00000098480.3 pep:known chromosome:GRCm38:14:3188255:3196667:1 gene:ENSMUSG00000079409.8 transcript:ENSMUST00000100920.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5795 description:predicted gene 5795 [Source:MGI Symbol;Acc:MGI:3779520] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000133257.1 pep:known chromosome:GRCm38:14:3188313:3196681:1 gene:ENSMUSG00000079409.8 transcript:ENSMUST00000171150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5795 description:predicted gene 5795 [Source:MGI Symbol;Acc:MGI:3779520] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDQDMISLKEKCWRKSTECAQEIHHC CLSSLITMAVMGCMLLLYFVSLV >ENSMUSP00000129350.1 pep:known chromosome:GRCm38:14:3188318:3191292:1 gene:ENSMUSG00000079409.8 transcript:ENSMUST00000166275.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5795 description:predicted gene 5795 [Source:MGI Symbol;Acc:MGI:3779520] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000108264.1 pep:known chromosome:GRCm38:9:103204001:103230273:-1 gene:ENSMUSG00000032554.15 transcript:ENSMUST00000112645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trf description:transferrin [Source:MGI Symbol;Acc:MGI:98821] MRLTVGALLACAALGLCLAVPDKTVKWCAVSEHENTKCISFRDHMKTVLPPDGPRLACVK KTSYPDCIKAISASEADAMTLDGGWVYDAGLTPNNLKPVAAEFYGSVEHPQTYYYAVAVV KKGTDFQLNQLEGKKSCHTGLGRSAGWVIPIGLLFCKLSEPRSPLEKAVSSFFSGSCVPC ADPVAFPKLCQLCPGCGCSSTQPFFGYVGAFKCLKDGGGDVAFVKHTTIFEVLPEKADRD QYELLCLDNTRKPVDQYEDCYLARIPSHAVVARKNNGKEDLIWEILKVAQEHFGKGKSKD FQLFSSPLGKDLLFKDSAFGLLRVPPRMDYRLYLGHNYVTAIRNQQEGVCPEGSIDNSPV KWCALSHLERTKCDEWSIISEGKIECESAETTEDCIEKIVNGEADAMTLDGGHAYIAGQC GLVPVMAEYYESSNCAIPSQQGIFPKGYYAVAVVKASDTSITWNNLKGKKSCHTGVDRTA GWNIPMGMLYNRINHCKFDEFFSQGCAPGYEKNSTLCDLCIGPLKCAPNNKEEYNGYTGA FRCLVEKGDVAFVKHQTVLDNTEGKNPAEWAKNLKQEDFELLCPDGTRKPVKDFASCHLA QAPNHVVVSRKEKAARVKAVLTSQETLFGGSDCTGNFCLFKSTTKDLLFRDDTKCFVKLP EGTTPEKYLGAEYMQSVGNMRKCSTSRLLEACTFHKH >ENSMUSP00000035158.9 pep:known chromosome:GRCm38:9:103208795:103230444:-1 gene:ENSMUSG00000032554.15 transcript:ENSMUST00000035158.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trf description:transferrin [Source:MGI Symbol;Acc:MGI:98821] MRLTVGALLACAALGLCLAVPDKTVKWCAVSEHENTKCISFRDHMKTVLPPDGPRLACVK KTSYPDCIKAISASEADAMTLDGGWVYDAGLTPNNLKPVAAEFYGSVEHPQTYYYAVAVV KKGTDFQLNQLEGKKSCHTGLGRSAGWVIPIGLLFCKLSEPRSPLEKAVSSFFSGSCVPC ADPVAFPKLCQLCPGCGCSSTQPFFGYVGAFKCLKDGGGDVAFVKHTTIFEVLPEKADRD QYELLCLDNTRKPVDQYEDCYLARIPSHAVVARKNNGKEDLIWEILKVAQEHFGKGKSKD FQLFSSPLGKDLLFKDSAFGLLRVPPRMDYRLYLGHNYVTAIRNQQEGVCPEGSIDNSPV KWCALSHLERTKCDEWSIISEGKIECESAETTEDCIEKIVNGEADAMTLDGGHAYIAGQC GLVPVMAEYYESSNCAIPSQQGIFPKGYYAVAVVKASDTSITWNNLKGKKSCHTGVDRTA GWNIPMGMLYNRINHCKFDEFFSQGCAPGYEKNSTLCDLCIGPLKCAPNNKEEYNGYTGA FRCLVEKGDVAFVKHQTVLDNTEGKNPAEWAKNLKQEDFELLCPDGTRKPVKDFASCHLA QAPNHVVVSRKEKAARVKAVLTSQETLFGGSDCTGNFCLFKSTTKDLLFRDDTKCFVKLP EGTTPEKYLGAEYMQSVGNMRKCSTSRLLEACTFHKH >ENSMUSP00000128609.1 pep:known chromosome:GRCm38:9:103210568:103219866:-1 gene:ENSMUSG00000032554.15 transcript:ENSMUST00000168142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trf description:transferrin [Source:MGI Symbol;Acc:MGI:98821] XDGGHAYIAGQCGLVPVMAEYYESSNCAIPSQQGYYAVAVVKASDTSITWNNLKGKKSCH TGVDRTAGWNIPMGMLYNRINHCKFDEFFSQGCAPGYEKNSTLCDLCIGPLKCAPNNKEE YNGYTGAFRCLVEKGDVAFVKHQTVLDNTEGKNPAEWAKNLKQEDFELLCPDGTRKPVKD FASCHLAQAPNHVVVSRKEKAARVKAVLTSQETLFGGSDCTGNFCLFKSTTKDLLFRDDT KCFVKLPEG >ENSMUSP00000128390.1 pep:known chromosome:GRCm38:9:103210636:103222063:-1 gene:ENSMUSG00000032554.15 transcript:ENSMUST00000170904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trf description:transferrin [Source:MGI Symbol;Acc:MGI:98821] QEGVCPEGSIDNSPVKWCALSHLERTKCDEWSIISEGKIECESAETTEDCIEKIVNGEAD AMTLDGGHAYIAGQCGLVPVMAEYYGYYAVAVVKASDTSITWNNLKGKKSCHTGVDRTAG WNIPMGMLYNRINHCKFDEFFSQGCAPGYEKNSTLCDLCIGPLKCAPNNKEEYNGYTGAF RCLVEKGDVAFVKHQTVLDNTEGKNPAEWAKNLKQEDFELLCPDGTRKPVKDFASCHLAQ APNHVVVSRKEKAARVKAVLTSQETLFGGSDCTGNFCL >ENSMUSP00000128647.1 pep:known chromosome:GRCm38:9:103215814:103230270:-1 gene:ENSMUSG00000032554.15 transcript:ENSMUST00000164377.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trf description:transferrin [Source:MGI Symbol;Acc:MGI:98821] MRLTVGALLACAALGLCLAVPDKTVKWCAVSEHENTKCISFRDHMKTVLPPDGPRLACVK KTSYPDCIKASVV >ENSMUSP00000129013.1 pep:known chromosome:GRCm38:9:103222097:103230266:-1 gene:ENSMUSG00000032554.15 transcript:ENSMUST00000165296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trf description:transferrin [Source:MGI Symbol;Acc:MGI:98821] MRLTVGALLACAALGLCLAVPDKTVKWCAVSEHENTKCISFRDHMKTVLPPDGPRLACVK KTSYPDCIKAISASEADAMTLDGGWVYDAGLTPNNLKPVAAEFYGSVEHPQTYYYAVAVV KKGTDFQLNQLEGKSKDFQLFSSPLGKDLLFKDSAFGLLRVPPRMDYRLYL >ENSMUSP00000120472.1 pep:known chromosome:GRCm38:9:103223085:103228442:-1 gene:ENSMUSG00000032554.15 transcript:ENSMUST00000126359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trf description:transferrin [Source:MGI Symbol;Acc:MGI:98821] MWTFSQGKRRAGLCLAVPDKTVKWCAVSEHENTKCISFRDHMKTVLPPDGPRLACVKKTS YPDCIKAISASEADAMTLDGGWVYDAGLTPNNLKPVAAEFYGSVEHPQTYYYAVAVVKKG TDFQLNQLEGKKSCHTGLGRSAGWVIPIGLLFCKLSEPRSPLEKAVSSFFSGSCVPCADP VAFPKLCQLCPGCGCSSTQPFFGYVGAFKCLKDGGGDVAFVKHTTIFEVLPEKADRD >ENSMUSP00000122990.1 pep:known chromosome:GRCm38:14:30654407:30691317:1 gene:ENSMUSG00000052395.15 transcript:ENSMUST00000155689.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rft1 description:RFT1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3607791] MGSQEVLGQAARLASSGLLLQVLFRLITFVLNAFILRFLSKEIVGIVNVRLTLLYSTTTF LAREAFRRACLSGGAQRDWSQTLNLLWLTVPLGIFWSSCLGWVWLQLLEVPDPDVVPYYG TGVLFFGLSAVVELLGEPFWVLAQAHMFVKLKVLAESMSVILRSVLTALLVLWLPHWGLY IFSLAQLLYTTVLVLCYAIYLIQLLRSPESAKQLTLPVSRVTQLLPSISRSRAFVNWKEA GLAWSFFKQSFLKQILTEGERYVMTFLNVLNFGDQGVYDIVNNLGSLVARLIFQPVEESF YLFFAKVLEREKDASLQKQDDVAVAAAVLESLLKLALLTGLTMTVFGFAYSQLALDIYGG AMLSSGSGTISPCWHCHPRF >ENSMUSP00000120407.1 pep:known chromosome:GRCm38:14:30654407:30691317:1 gene:ENSMUSG00000052395.15 transcript:ENSMUST00000131097.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rft1 description:RFT1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3607791] MGSQEVLGQAARLASSGLLLQVLFRLITFVLNAFILRFLSKEIVGIVNVRLTLLYSTTTF LAREAFRRACLSGGAQRDWSQTLNLLWLTVPLGIFWSSCLGWVWLQLLEVPDPDVVPYYG TGVLFFGLSAVVELLGEPFWVLAQAHMFVKLKVLAESMSVILRSVLTALLVLWLPHWGLY IFSLAQLLYTTVLVLCYAIYLIQLLRSPESAKQLTLPVSRVTQLLPSISRSRAFVNWKEA GLAWSFFKQSFLKQILTEGERYVMTFLNVLNFGDQGKMCI >ENSMUSP00000064153.7 pep:known chromosome:GRCm38:14:30654360:30691317:1 gene:ENSMUSG00000052395.15 transcript:ENSMUST00000064230.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rft1 description:RFT1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3607791] MGSQEVLGQAARLASSGLLLQVLFRLITFVLNAFILRFLSKEIVGIVNVRLTLLYSTTTF LAREAFRRACLSGGAQRDWSQTLNLLWLTVPLGIFWSSCLGWVWLQLLEVPDPDVVPYYG TGVLFFGLSAVVELLGEPFWVLAQAHMFVKLKVLAESMSVILRSVLTALLVLWLPHWGLY IFSLAQLLYTTVLVLCYAIYLIQLLRSPESAKQLTLPVSRVTQLLPSISRSRAFVNWKEA GLAWSFFKQSFLKQILTEGERYVMTFLNVLNFGDQGVYDIVNNLGSLVARLIFQPVEESF YLFFAKVLEREKDASLQKQDDVAVAAAVLESLLKLALLTGLTMTVFGFAYSQLALDIYGG AMLSSGSGPVLMRCYCLYVLLLAINGVTECFMFAAMSKEEVDRYNFTMLALSSSFLVLSY LLTSWCGSVGFIMANCFNMGIRITQSLSFIHHYFRESPHRPLAGLRLSPVLLGVFILSAG ITSVSEAFLCCERGWPARLAHIAVGTICLGVTLGTAFLTETKLIHFLRTQLGRSRLSDKM T >ENSMUSP00000099043.3 pep:known chromosome:GRCm38:X:70459753:70477180:-1 gene:ENSMUSG00000073139.9 transcript:ENSMUST00000101506.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC023829 description:cDNA sequence BC023829 [Source:MGI Symbol;Acc:MGI:2448555] MNLRGLFQDFNPSKFLIYACLLLFSVLLALRLDGIIQWSYWAVFAPIWLWKLMVIVGASV GTGVWARNPQYRAEGETCVEFKAMLIAVGIHLLLLMFEVLVCDRIERGSHFWLLVFMPLF FVSPVSVAACVWGFRHDRSLEVVCVPLWILMSFLCLVVLYYIVWSVLFLRSMDVIAEQRR THITMALSWMTIVVPLLTFEILLVHKLDGHNAFSCIPIFVPLWLSLITLMATTFGQKGGN HWWFGIRKDFCQFLLEIFPFLREYGNISYDLHHEDSEETEETPVPEPPKIAPMFRKKARV VITQSPGKYVLPPPKLNIEMPD >ENSMUSP00000110277.2 pep:known chromosome:GRCm38:X:70460959:70477180:-1 gene:ENSMUSG00000073139.9 transcript:ENSMUST00000114630.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC023829 description:cDNA sequence BC023829 [Source:MGI Symbol;Acc:MGI:2448555] MNLRGLFQDFNPSKFLIYACLLLFSVLLALRLDGIIQWSYWAVFAPIWLWKLMVIVGASV GTGVWARNPQYRAEGETCVEFKAMLIAVGIHLLLLMFEVLVCDRIERGSHFWLLVFMPLF FVSPVSVAACVWGFRHDRSLELEILCSVNILQFIFIALRLDKIIHWPWLVVCVPLWILMS FLCLVVLYYIVWSVLFLRSMDVIAEQRRTHITMALSWMTIVVPLLTFEILLVHKLDGHNA FSCIPIFVPLWLSLITLMATTFGQKGGNHWWFGIRKDFCQFLLEIFPFLREYGNISYDLH HEDSEETEETPVPEPPKIAPMFRKKARVVITQSPGKYVLPPPKLNIEMPD >ENSMUSP00000099137.2 pep:known chromosome:GRCm38:11:4895320:4928867:1 gene:ENSMUSG00000034274.11 transcript:ENSMUST00000101615.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc5 description:THO complex 5 [Source:MGI Symbol;Acc:MGI:1351333] MSSESSKKRKPKVIRSDGTPTEGKRNRSDTEQAIEIEERRIQSCVHFMTLKKLNRLAHIR LKKGRDQTHEAKQKVDAYHLQLQNLLYEVMHLQKEITKCLEFKSKHEEIDLVSLEEFYSE APPSISKAEITMGDPHQQTLARLDWELEQRKRLAEKYRECLSNKEKILKEIEVKRDYLSS LQPRLNSIMQASLPVQEYLFMPFDQAHKQYETARHLPPPLYVLFVQATAYGQACDKTLSV AIEGSVDEAKALFKPPEDSQDDESDSDAEEEQTTKRRRPTLGVQLDDKRKEMLKRHPLSV LLDLKCKDNSVLHLTFYYLMNLNIMTVKAKVTTAVELITPISAGDLLSPDSVLSCLYPGD HGKKTPNPANQYQFDKVGILTLRDYVLELGHPYLWVQKLGGLHFPKEQPQQTVMPDHSQS ASHMETTMKLLKTRVQSRLALHKQFASLEHGIVPVTSDCQDLFPAKVVSRLVKWVIITHE DYMELHFTKDIVEAGLAGDTNLYYLALIERGTAKLQAAVVLNPGYSSIPPVFRLCLNWKG EKTNSNDDNIRAMESEVNVCYKELCGPRPSHQLLTNQLQRLCVLLDVYLETESHDDSFEG PKEFPQEKMCLRLFRGPSRMKPFKYNHPQGFFSHR >ENSMUSP00000118940.1 pep:known chromosome:GRCm38:11:4902212:4918225:1 gene:ENSMUSG00000034274.11 transcript:ENSMUST00000142543.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc5 description:THO complex 5 [Source:MGI Symbol;Acc:MGI:1351333] MHLQKEITKCLEFKSKHEEIDLVSLEEFYSEAPPSISKAEITMGDPHQQTLARLDWELEQ RKRLAEKYRECLSNKEKILKEIEVKRDYLSSLQPRLNSIMQASLPVQEYLFMPFDQAHKQ YETARHLPPPLYVLFVQATAYGQACAHMKSSSQPPRQDKTLSVAIEGSVDEAKALFKPPE DSQDDESDSDAEEEQTTKRRRPTLGVQLDDKRKEMLKRHPLSVLLDLKCKDNSVLHLTFY YLMNLNIMTVKAKVTTAVELITPISAGDLLSPDSVLSCLYPGDHGKKTPNPANQYQFDKV G >ENSMUSP00000045580.1 pep:known chromosome:GRCm38:11:4895343:4928865:1 gene:ENSMUSG00000034274.11 transcript:ENSMUST00000038237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc5 description:THO complex 5 [Source:MGI Symbol;Acc:MGI:1351333] MSSESSKKRKPKVIRSDGTPTEGKRNRSDTEQEGKYYSEEAEVDLRDPGRDYELYKYTCQ ELQRLMAEIQDLKSKGSKDLAIEIEERRIQSCVHFMTLKKLNRLAHIRLKKGRDQTHEAK QKVDAYHLQLQNLLYEVMHLQKEITKCLEFKSKHEEIDLVSLEEFYSEAPPSISKAEITM GDPHQQTLARLDWELEQRKRLAEKYRECLSNKEKILKEIEVKRDYLSSLQPRLNSIMQAS LPVQEYLFMPFDQAHKQYETARHLPPPLYVLFVQATAYGQACDKTLSVAIEGSVDEAKAL FKPPEDSQDDESDSDAEEEQTTKRRRPTLGVQLDDKRKEMLKRHPLSVLLDLKCKDNSVL HLTFYYLMNLNIMTVKAKVTTAVELITPISAGDLLSPDSVLSCLYPGDHGKKTPNPANQY QFDKVGILTLRDYVLELGHPYLWVQKLGGLHFPKEQPQQTVMPDHSQSASHMETTMKLLK TRVQSRLALHKQFASLEHGIVPVTSDCQDLFPAKVVSRLVKWVIITHEDYMELHFTKDIV EAGLAGDTNLYYLALIERGTAKLQAAVVLNPGYSSIPPVFRLCLNWKGEKTNSNDDNIRA MESEVNVCYKELCGPRPSHQLLTNQLQRLCVLLDVYLETESHDDSFEGPKEFPQEKMCLR LFRGPSRMKPFKYNHPQGFFSHR >ENSMUSP00000108417.4 pep:known chromosome:GRCm38:14:3224440:3234351:1 gene:ENSMUSG00000096039.7 transcript:ENSMUST00000112797.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D830030K20Rik description:RIKEN cDNA D830030K20 gene [Source:MGI Symbol;Acc:MGI:2443830] XEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQRSKINELEELKLDMRKISNDMEEMG GILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEMIQSIIVSMQYSKELIEDNYSYSIK EDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSHGEEKRFCEEASKNICASSAKEQQC VNSSRNRNMAQTTT >ENSMUSP00000137168.1 pep:known chromosome:GRCm38:14:3225315:3233517:1 gene:ENSMUSG00000096039.7 transcript:ENSMUST00000178670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D830030K20Rik description:RIKEN cDNA D830030K20 gene [Source:MGI Symbol;Acc:MGI:2443830] MRKISNDMEEMGGILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEMIQSIIVSMQYSK ELIEDNYSYSIKEDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSHGEEKRFCEEASK NICASSAKEQQCVNSSRNRNMAQTTT >ENSMUSP00000103847.1 pep:known chromosome:GRCm38:7:29930815:29943755:-1 gene:ENSMUSG00000059975.15 transcript:ENSMUST00000108212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp74 description:zinc finger protein 74 [Source:MGI Symbol;Acc:MGI:107784] MTESEGLVTFKDVAIDFTQEEWKQLDPTQRNLYRNVMLENYNNLITVGPPLTKPEVIFKL EQEEEPCVVEREVLWRPCPGEILGIDEHQKIQDGQVFEGIVVTSEASECPEEFAIMVGSG MFPPKKVPKTTMFRTDLVTRVLTSSKLNLLTDS >ENSMUSP00000103846.1 pep:known chromosome:GRCm38:7:29931711:29954087:-1 gene:ENSMUSG00000059975.15 transcript:ENSMUST00000108211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp74 description:zinc finger protein 74 [Source:MGI Symbol;Acc:MGI:107784] MTESEGLVTFKDVAIDFTQEEWKQLDPTQRNLYRNVMLENYNNLITVGPPLTKPEVIFKL EQEEEPCVVEREVLWRPCPGEILGIDEHQKIQDGQVFEGIVVTSEASECPEEFAIMVGSG MFPPKKVPKTTMFRTDLVTRVLTSSKLNLLTDS >ENSMUSP00000103840.1 pep:known chromosome:GRCm38:7:29932791:29951893:-1 gene:ENSMUSG00000059975.15 transcript:ENSMUST00000108205.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp74 description:zinc finger protein 74 [Source:MGI Symbol;Acc:MGI:107784] MTESEGLVTFKDVAIDFTQEEWKQLDPTQRNLYRNVMLENYNNLITVGPPLTKPEVIFKL EQEEEPCVVEREVLWRPCPGEILGIDEHQKIQDGQVFEGIVVTSEASECPEEFASTFFPN ADSIPSMHSLFECDGVGECLEPNFGDDDVQYPLPEEQFEYDDAMQPFHTSSPHFVLTPFK CNHCGKGFSQTLDLIRHLRVHTGGKLYECHQCGKGFSHKEKLINHHKLHSREQCYECSEC GKTFIKMSNLIRHQRIHTGEKPYVCQECGKSFGQKSNLIDHEKIHTGEKPYKCNECGKSF SQKQSLVAHQKVHTGEKPYACNECGKAFPRVASLALHMRGHTGEKPYKCDKCGKAFSQFS MLIIHVRVHTGEKPYECGECGKAFSQSSALTVHIRSHTGEKPYECKECRKSFSHKKNFIT HQKIHTREKPYGCNECGKAFIQMSNLVRHQRIHTGEKPYLCKECGKAFSQKSNLIAHEKI HSGEKPYECNECGKAFSQKQNFITHQKVHTGEKPYDCNKCGKAFSQIASLTLHLRSHTGE KPYECEKCGKAFSQCSLLNLHMRSHTGEKPYVCNECGKAFSQRTSLIVHMRGHTGEKPYE CNKCGKAFSQSSSLTIHIRGHTGEKPFDCSNCGKAFSQISSLTLHMRKHTGEKPYVCIEC GKAFSQKSHLVRHQRIHTH >ENSMUSP00000032797.8 pep:known chromosome:GRCm38:7:29934242:29943505:-1 gene:ENSMUSG00000059975.15 transcript:ENSMUST00000032797.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp74 description:zinc finger protein 74 [Source:MGI Symbol;Acc:MGI:107784] MTESEGLVTFKDVAIDFTQEEWKQLDPTQRNLYRNVMLENYNNLITVGPPLTKPEVIFKL EQEEEPCVVEREVLWRPCPGEILGIDEHQKIQDGQVFEGIVVTSEASECPEEFASTFFPN ADSIPSMHSLFECDGVGECLEPNFGDDDVQYPLPEEQFEYDDAMQPFHTSSPHFVLTPFK CNHCGKGFSQTLDLIRHLRVHTGGKLYECHQCGKGFSHKEKLINHHKLHSREQCYECSEC GKTFIKMSNLIRHQRIHTGEKPYVCQECGKSFGQKSNLIDHEKIHTGEKPYKCNECGKSF SQKQSLVAHQKVHTGEKPYACNECGKAFPRVASLALHMRGHTGEKPYKCDKCGKAFSQFS MLIIHVRVHTGEKPYECGECGKAFSQSSALTVHIRSHTGEKPYECKECRKSFSHKKNFIT HQKIHTREKPYGCNECGKAFIQMSNLVRHQRIHTGEKPYLCKECGKAFSQKSNLIAHEKI HSGEKPYECNECGKAFSQKQNFITHQKVHTGEKPYDCNKCGKAFSQIASLTLHLRSHTGE KPYECEKCGKAFSQCSLLNLHMRSHTGEKPYVCNECGKAFSQRTSLIVHMRGHTGEKPYE CNKCGKAFSQSSSLTIHIRGHTGEKPFDCSNCGKAFSQISSLTLHMRKHTGEKPYVCIEC GKAFSQKSHLVRHQRIHTH >ENSMUSP00000019862.2 pep:known chromosome:GRCm38:12:72073428:72085439:-1 gene:ENSMUSG00000019718.8 transcript:ENSMUST00000019862.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3hypdh description:L-3-hydroxyproline dehydratase (trans-) [Source:MGI Symbol;Acc:MGI:1914467] MEAALAVTRLPPNDPRTPALSVVDMHTGGEPLRIVHAGCPEVAGPTLLAKRRYMRQHLDY IRRRLVFEPRGHRDMYGAILVPSELPDAHLGVLFLHNEGYSSMCGHAVLALGRFALDFGL VPAPPKGAREAQVNIHCPCGLVTAFVECEGGRSCGPVRFHSVPAFVLASDLTVDVPGHGK VLVDIAYGGAFYAFVSAEKLGLDVCSAKTRDLVDAASALTGAVKAQFKINHPESEDLGFL YGSILTDGKDAYSEEATTNICVFADEQVDRSPTGSGVTARIALQYHKGLLQLNQTRAFKS SATGSVFTGCAVREAKCGDFKAVIVEVAGQAHYTGTANLTVEDGDPLRDGFLLK >ENSMUSP00000099999.3 pep:known chromosome:GRCm38:2:24925352:24935163:-1 gene:ENSMUSG00000026972.15 transcript:ENSMUST00000102935.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrdc1 description:arrestin domain containing 1 [Source:MGI Symbol;Acc:MGI:2446136] MGRVQLFEIRLSQGRVVYGPGEPLAGTVHLRLGAPLPFRAIRVTCMGSCGVSTKANDGAW VVEESYFNSSLSLADKGSLPAGEHNFPFQFLLPATAPTSFEGPFGKIVHQVRASIDTPRF SKDHKCSLVFYILSPLNLNSIPDIEQPNVASTTKKFSYKLVKTGNVVLTASTDLRGYVVG QVLRLQADIENQSGKDTSPVVASLLQVSYKAKRWIYDVRTIAEVEGTGVKAWRRAQWQEQ ILVPALPQSALPGCSLIHIDYYLQVSMKAPEATVTLPLFVGNIAVNQTPLSPCPGRESSP GTLSLVVPSAPPQEEAEAVASGPHFSDPVSLSTKSHSQQQPLSAPLGSVSVTTTEPWVQV GSPARHSLHPPLCISIGATVPYFAEGSAGPVPTTSALILPPEYSSWGYPYEAPPSYEQSC GAAGTDLGLIPGS >ENSMUSP00000028349.7 pep:known chromosome:GRCm38:2:24925352:24935252:-1 gene:ENSMUSG00000026972.15 transcript:ENSMUST00000028349.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrdc1 description:arrestin domain containing 1 [Source:MGI Symbol;Acc:MGI:2446136] MGRVQLFEIRLSQGRVVYGPGEPLAGTVHLRLGAPLPFRAIRVTCMGSCGVSTKANDGAW VVEESYFNSSLSLADKGSLPAGEHNFPFQFLLPATAPTSFEGPFGKIVHQVRASIDTPRF SKDHKCSLVFYILSPLNLNSIPDIEQPNVASTTKKFSYKLVKTGNVVLTASTDLRGYVVG QVLRLQADIENQSGKDTSPVVASLLQKVSYKAKRWIYDVRTIAEVEGTGVKAWRRAQWQE QILVPALPQSALPGCSLIHIDYYLQVSMKAPEATVTLPLFVGNIAVNQTPLSPCPGRESS PGTLSLVVPSAPPQEEAEAVASGPHFSDPVSLSTKSHSQQQPLSAPLGSVSVTTTEPWVQ VGSPARHSLHPPLCISIGATVPYFAEGSAGPVPTTSALILPPEYSSWGYPYEAPPSYEQS CGAAGTDLGLIPGS >ENSMUSP00000114684.1 pep:known chromosome:GRCm38:2:24925989:24935167:-1 gene:ENSMUSG00000026972.15 transcript:ENSMUST00000144963.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arrdc1 description:arrestin domain containing 1 [Source:MGI Symbol;Acc:MGI:2446136] MGRVQLFEIRLSQGRVVYGPGEPLAGTVHLRLGAPLPFRGSLPAGEHNFPFQFLLPGSQM >ENSMUSP00000117372.1 pep:known chromosome:GRCm38:2:24926158:24935142:-1 gene:ENSMUSG00000026972.15 transcript:ENSMUST00000142887.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arrdc1 description:arrestin domain containing 1 [Source:MGI Symbol;Acc:MGI:2446136] GRVQLFEIRLSQGRVVYGPGEPLAGTVHLRLGAPLPFRAIRVTCMGSCGVSTKANDGAWV VEESYFNSSLSLADKVCRLESTTSLFSSCFLPQHPHLLRDPLGRLYTR >ENSMUSP00000117695.1 pep:known chromosome:GRCm38:2:24926323:24935174:-1 gene:ENSMUSG00000026972.15 transcript:ENSMUST00000133934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrdc1 description:arrestin domain containing 1 [Source:MGI Symbol;Acc:MGI:2446136] MGRVQLFEIRLSQGRVVYGPGEPLAGTVHLRLGAPLPFRGSLPAGEHNFPFQFLLPATAP TSFEGPFGKIVHQVRASIDTPRFSKDHKCSLVFYILSPLNLNSIPDIEQPNVASTTKKFS YKLVKTGNVVLTASTDLRGYVVGQVLRLQADIENQSGKDTSPVVASLLQKVSYKAKRWIY DVRTIAEVEGTGVKAWRRAQWQEQILVPALP >ENSMUSP00000116352.1 pep:known chromosome:GRCm38:2:24926912:24935054:-1 gene:ENSMUSG00000026972.15 transcript:ENSMUST00000132074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrdc1 description:arrestin domain containing 1 [Source:MGI Symbol;Acc:MGI:2446136] XLGAPLPFRAIRVTCMGSCGVSTKANDGAWVVEESYFNSSLSLADKAPTSFEGPFGKIVH QVRASIDTPRFSKDHKCSLVFYIL >ENSMUSP00000029017.5 pep:known chromosome:GRCm38:2:173153048:173159273:1 gene:ENSMUSG00000027513.11 transcript:ENSMUST00000029017.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pck1 description:phosphoenolpyruvate carboxykinase 1, cytosolic [Source:MGI Symbol;Acc:MGI:97501] MPPQLHNGLDFSAKVIQGSLDSLPQAVRKFVEGNAQLCQPEYIHICDGSEEEYGQLLAHM QEEGVIRKLKKYDNCWLALTDPRDVARIESKTVIITQEQRDTVPIPKTGLSQLGRWMSEE DFEKAFNARFPGCMKGRTMYVIPFSMGPLGSPLAKIGIELTDSPYVVASMRIMTRMGISV LEALGDGEFIKCLHSVGCPLPLKKPLVNNWACNPELTLIAHLPDRREIISFGSGYGGNSL LGKKCFALRIASRLAKEEGWLAEHMLILGITNPEGKKKYLAAAFPSACGKTNLAMMNPSL PGWKVECVGDDIAWMKFDAQGNLRAINPENGFFGVAPGTSVKTNPNAIKTIQKNTIFTNV AETSDGGVYWEGIDEPLAPGVTITSWKNKEWRPQDAEPCAHPNSRFCTPASQCPIIDPAW ESPEGVPIEGIIFGGRRPEGVPLVYEALSWQHGVFVGAAMRSEATAAAEHKGKIIMHDPF AMRPFFGYNFGKYLAHWLSMAHRPAAKLPKIFHVNWFRKDKDGKFLWPGFGENSRVLEWM FGRIEGEDSAKLTPIGYIPKENALNLKGLGGVNVEELFGISKEFWEKEVEEIDRYLEDQV NTDLPYEIERELRALKQRISQM >ENSMUSP00000077925.3 pep:known chromosome:GRCm38:4:141467890:141538449:-1 gene:ENSMUSG00000040761.16 transcript:ENSMUST00000078886.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spen description:SPEN homolog, transcriptional regulator (Drosophila) [Source:MGI Symbol;Acc:MGI:1891706] MVRETRHLWVGNLPENVREEKIIEHFKRYGRVESVKILPKRGSEGGVAAFVDFVDIKSAQ KAHNSVNKMGDRDLRTDYNEPGTIPSAARGLDETVSIASRSREVSGFRGSAGGPAYGPPP SLHAREGRYERRLDGASDNRERAYEHSAYGHHERGTGAFDRTRHYDQDYYRDPRERTLQH GLYYTSRSRSPNRFDAHDPRYEPRAREQFTLPSVVHRDIYRDDITREVRGRRPERSYQHS RSRSPHSSQSRNQSPQRLASQASRPTRSPSGSGSRSRSSSSDSISSSSSSSSNTDSSDSS STASDDSPARSVQSAAVPAPTSQLLSSLEKDEPRKSFGIKVQNLPVRSTDTSLKDGLFHE FKKFGKVTSVQIHGASEERYGLVFFRQQEDQEKALTASKGKLFFGMQIEVTAWVGPETES ENEFRPLDERIDEFHPKATRTLFIGNLEKTTTYHDLRNIFQRFGEIVDIDIKKVNGVPQY AFLQYCDIASVCKAIKKMDGEYLGNNRLKLGFGKSMPTNCVWLDGLSSNVSDQYLTRHFC RYGPVVKVVFDRLKGMALVLYSEIEDAQAAVKETKGRKIGGNKIKVDFANRESQLAFYHC MEKSGQDMRDFYEMLTERREERRGSYEYSQERTYYENVRTPGTYPEDSRRDYPARGREFY SEWETYQGEYYDSRYYDEPREYREYRSDPYEQDIREYSYRQRERERERERFESDRDHERR PIERSQSPVHLRRPQSPGVSPAHSERLPSDSERRLYRRSSERSGSCSSVSPPRYDKLEKA RLERYTKNEKADKERTFDPERVERERRIVRKEKGEKDKAERQKRKGKAHSPSSQPSETEQ ENDREQSPEKPRGSTKLSRDRADKEGPAKNRLELVPCVVLTRVKEKEGKVIEHPPPEKLK ARLGRDTTKASALDQKPQAAQGEPAKSDPARGKALREKVLPSHAEVGEKEGRTKLRKHLK AEQTPELSALDLEKLEARKRRFADSGLKIEKQKPEIKKTSPETEDTRILLKKQPDTSRDG VLLREGESERKPVRKEILKRESKKTKLERLNSALSPKDCQDPAAVSAGSGSRPSSDVHAG LGELTHGSVETQETQPKKAIPSKPQPKQLQLLENQGPEKEEVRKNYCRPREEPAEHRAGQ EKPHGGNAEEKLGIDIDHTQSYRKQMEQSRRKQRMEMEIAKAEKFGSPKKDVDDYERRSL VHEVGKPPQDVTDDSPPSKKRRTDHVDFDICTKRERNYRSSRQISEDSERTSCSPSVRHG SFHDDDDPRGSPRLVSVKGSPKGDEKGLPYPNAAVRDDPLKCNPYDSGKREQTADTAKIK LSVLNSEGEPSRWDPPMKQDPSRFDVSFPNSVIKRDSLRKRSVRDLEPGEVPSDSDEDAE HRSQSPRASSFYDSPRLSFLLRDRDQKLRERDERLASSLERNKFYSFALDKTITPDTKAL LERAKSLSSSREENWSFLDWDSRFANFRNNKDKEKVDSAPRPIPSWYMKKKKIRTDSEGK LADKKDERREEEQERQELFASRFLHSSIFEQDSKRLQHLERKSEEPDLPPGGLYGRQASE GANSTSDSVQEPVVLFHSRFMELTRMQQKEKEKDQKPKEAEKQEEPETHPKTPEPAAETK EPEPKAPVSAGLPAVTVTVVTPEPASSAPEKAEEAAEAPSPAGEKPAEPAPVSEETKLVS EPASVPVEQPRQSDVPPGEDSRDSQDSAALAPSAPQESAATDAVPCVNAEPLTPGTTVSQ VESSVDPKPSSPQPLSKLTQRSEEAEEGKVEKPDTTPSTEPDATQNAGVASEAQPPASED VEANPPVAAKDRKTNKSKRSKTSVQAAAASVVEKPVTRKSERIDREKLKRSSSPRGEAQK LLELKMEAEKITRTASKSSGGDTEHPEPSLPLSRSRRRNVRSVYATMTDHESRSPAKEPV EQPRVTRKRLERELQEAVVPPTTPRRGRPPKTRRRAEEDGEHERKEPAETPRPAEGWRSP RSQKSAAAAGPQGKRGRNEQKVEAAAEAGAQASTREGNPKSRGEREAASEPKRDRRDPST DKNGPDTFPVEVLERKPPEKTYKSKRGRARSTRSAMDRAAHQRSLEMAARAAGQAADKEA GPAAASPQESESPQKGSGSSPQLANNPADPDREAEEESASASTAPPEGTQLARQIELEQA VQNIAKLPEPSAAAASKGTATATAASEEPAPEHGHKPAHQASETELAAAIGSIISDASGE PENFSAPPSVPPGSQTHPREGMEPGLHEAESGILETGTATESSAPQVSALDPPEGSADTK ETRGNSGDSVQEAKGSKVEVTPPRKDKGRQKTTRRRKRNANKKVVAITETRASEAEQTQS ESPAAEEATAATPEAPQEEKQSEKPPSPPAECTFDPSKTPPAESLSQENSAAEKTPCKAP VLPALPPLSQPALMDDGPQARFKVHSIIESDPVTPPSDSGIPPPTIPLVTIAKLPPPVIP GGVPHQSPPPKVTEWITRQEEPRAQSTPSPALPPDTKASDMDTSSSTLRKILMDPKYVSA TGVTSTSVTSAIAEPVSAPCLQEAPAPPCDPKHPPLEGVSAAAVPNADTQASEVPVAADK EKVAPVIAPKITSVISRMPVSIDLENSQKITLAKPAPQTLTGLVSALTGLVNVSLVPVNA LKGPVKGSVATLKGLVSTPAGPVNLLKGPVNVLTGPVNVLTTPVSATVGTVNAAPGPVTA ACGVTATTGTAAVTGAVTAPAAKGKQRASSNENSRFHPGSMSVIDDRPADTGSGAGLRVN TSEGVVLLSYSGQKTEGPQRISAKISQIPPASAMDIEFQQSVSKSQVKADSITPTQSAPK GPQTPSAFANVAAHSTLVLTAQTYNASPVISSVKTDRPSLEKPEPIHLSVSTPVTQGGTV KVLTQGINTPPVLVHNQLVLTPSIVTTNKKLADPVTLKIETKVLQPANLGPTLTPHHPPA LPSKLPAEVNHVPSGPSTPADRTIAHLATPKPDTHSPRPTGPTPGLFPRPCHPSSTTSTA LSTNATVMLAAGIPVPQFISSIHPEQSVIMPPHSITQTVSLGHLSQGEVRMSTPTLPSIT YSIRPETLHSPRAPLQPQQIEARAPQRVGTPQPATTGVPALATQHPPEEEVHYHLPVARA AAPVQSEVLVMQSEYRLHPYTVPRDVRIMVHPHVTAVSEQPRATEGVVKVPPANKAPQQL VKEAVKTSDAKAVPAPAPVPVPVPVPTPAPPPHGEARILTVTPSSQLQGLPLTPPVVVTH GVQIVHSSGELFQEYRYGDVRTYHAPAQQLTHTQFPVASSISLASRTKTSAQVPPEGEPL QSTQSAQPAPSTQATQPIPPAPPCQPSQLSQPAQPPSGKIPQVSQEAKGTQTGGVEQTRL PAIPTNRPSEPHAQLQRAPVETAQPAHPSPVSVSMKPDLPSPLSSQAAPKQPLFVPANSG PSTPPGLALPHAEVQPAPKQESSPHGTPQRPVDMVQLLKKYPIVWQGLLALKNDTAAVQL HFVSGNNVLAHRSLPLSEGGPPLRIAQRMRLEASQLEGVARRMTVETDYCLLLALPCGRD QEDVVSQTESLKAAFITYLQAKQAAGIINVPNPGSNQPAYVLQIFPPCEFSESHLSRLAP DLLASISNISPHLMIVIASV >ENSMUSP00000101412.2 pep:known chromosome:GRCm38:4:141467890:141538597:-1 gene:ENSMUSG00000040761.16 transcript:ENSMUST00000105786.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spen description:SPEN homolog, transcriptional regulator (Drosophila) [Source:MGI Symbol;Acc:MGI:1891706] MVRETRHLWVGNLPENVREEKIIEHFKRYGRVESVKILPKRGSEGGVAAFVDFVDIKSAQ KAHNSVNKMGDRDLRTDYNEPGTIPSAARGLDETVSIASRSREVSGFRGSAGGPAYGPPP SLHAREGRYERRLDGASDNRERAYEHSAYGHHERGTGAFDRTRHYDQDYYRDPRERTLQH GLYYTSRSRSPNRFDAHDPRYEPRAREQFTLPSVVHRDIYRDDITREVRGRRPERSYQHS RSRSPHSSQSRNQSPQRLASQASRPTRSPSGSGSRSRSSSSDSISSSSSSSSNTDSSDSS STASDDSPARSVQSAAVPAPTSQLLSSLEKDEPRKSFGIKVQNLPVRSTDTSLKDGLFHE FKKFGKVTSVQIHGASEERYGLVFFRQQEDQEKALTASKGKLFFGMQIEVTAWVGPETES ENEFRPLDERIDEFHPKATRTLFIGNLEKTTTYHDLRNIFQRFGEIVDIDIKKVNGVPQY AFLQYCDIASVCKAIKKMDGEYLGNNRLKLGFGKSMPTNCVWLDGLSSNVSDQYLTRHFC RYGPVVKVVFDRLKGMALVLYSEIEDAQAAVKETKGRKIGGNKIKVDFANRESQLAFYHC MEKSGQDMRDFYEMLTERRAGQMAQSKHEDWSADAQSPHKCREERRGSYEYSQERTYYEN VRTPGTYPEDSRRDYPARGREFYSEWETYQGEYYDSRYYDEPREYREYRSDPYEQDIREY SYRQRERERERERFESDRDHERRPIERSQSPVHLRRPQSPGVSPAHSERLPSDSERRLYR RSSERSGSCSSVSPPRYDKLEKARLERYTKNEKADKERTFDPERVERERRIVRKEKGEKD KAERQKRKGKAHSPSSQPSETEQENDREQSPEKPRGSTKLSRDRADKEGPAKNRLELVPC VVLTRVKEKEGKVIEHPPPEKLKARLGRDTTKASALDQKPQAAQGEPAKSDPARGKALRE KVLPSHAEVGEKEGRTKLRKHLKAEQTPELSALDLEKLEARKRRFADSGLKIEKQKPEIK KTSPETEDTRILLKKQPDTSRDGVLLREGESERKPVRKEILKRESKKTKLERLNSALSPK DCQDPAAVSAGSGSRPSSDVHAGLGELTHGSVETQETQPKKAIPSKPQPKQLQLLENQGP EKEEVRKNYCRPREEPAEHRAGQEKPHGGNAEEKLGIDIDHTQSYRKQMEQSRRKQRMEM EIAKAEKFGSPKKDVDDYERRSLVHEVGKPPQDVTDDSPPSKKRRTDHVDFDICTKRERN YRSSRQISEDSERTSCSPSVRHGSFHDDDDPRGSPRLVSVKGSPKGDEKGLPYPNAAVRD DPLKCNPYDSGKREQTADTAKIKLSVLNSEGEPSRWDPPMKQDPSRFDVSFPNSVIKRDS LRKRSVRDLEPGEVPSDSDEDAEHRSQSPRASSFYDSPRLSFLLRDRDQKLRERDERLAS SLERNKFYSFALDKTITPDTKALLERAKSLSSSREENWSFLDWDSRFANFRNNKDKEKVD SAPRPIPSWYMKKKKIRTDSEGKLADKKDERREEEQERQELFASRFLHSSIFEQDSKRLQ HLERKSEEPDLPPGGLYGRQASEGANSTSDSVQEPVVLFHSRFMELTRMQQKEKEKDQKP KEAEKQEEPETHPKTPEPAAETKEPEPKAPVSAGLPAVTVTVVTPEPASSAPEKAEEAAE APSPAGEKPAEPAPVSEETKLVSEPASVPVEQPRQSDVPPGEDSRDSQDSAALAPSAPQE SAATDAVPCVNAEPLTPGTTVSQVESSVDPKPSSPQPLSKLTQRSEEAEEGKVEKPDTTP STEPDATQNAGVASEAQPPASEDVEANPPVAAKDRKTNKSKRSKTSVQAAAASVVEKPVT RKSERIDREKLKRSSSPRGEAQKLLELKMEAEKITRTASKSSGGDTEHPEPSLPLSRSRR RNVRSVYATMTDHESRSPAKEPVEQPRVTRKRLERELQEAVVPPTTPRRGRPPKTRRRAE EDGEHERKEPAETPRPAEGWRSPRSQKSAAAAGPQGKRGRNEQKVEAAAEAGAQASTREG NPKSRGEREAASEPKRDRRDPSTDKNGPDTFPVEVLERKPPEKTYKSKRGRARSTRSAMD RAAHQRSLEMAARAAGQAADKEAGPAAASPQESESPQKGSGSSPQLANNPADPDREAEEE SASASTAPPEGTQLARQIELEQAVQNIAKLPEPSAAAASKGTATATAASEEPAPEHGHKP AHQASETELAAAIGSIISDASGEPENFSAPPSVPPGSQTHPREGMEPGLHEAESGILETG TATESSAPQVSALDPPEGSADTKETRGNSGDSVQEAKGSKVEVTPPRKDKGRQKTTRRRK RNANKKVVAITETRASEAEQTQSESPAAEEATAATPEAPQEEKQSEKPPSPPAECTFDPS KTPPAESLSQENSAAEKTPCKAPVLPALPPLSQPALMDDGPQARFKVHSIIESDPVTPPS DSGIPPPTIPLVTIAKLPPPVIPGGVPHQSPPPKVTEWITRQEEPRAQSTPSPALPPDTK ASDMDTSSSTLRKILMDPKYVSATGVTSTSVTSAIAEPVSAPCLQEAPAPPCDPKHPPLE GVSAAAVPNADTQASEVPVAADKEKVAPVIAPKITSVISRMPVSIDLENSQKITLAKPAP QTLTGLVSALTGLVNVSLVPVNALKGPVKGSVATLKGLVSTPAGPVNLLKGPVNVLTGPV NVLTTPVSATVGTVNAAPGPVTAACGVTATTGTAAVTGAVTAPAAKGKQRASSNENSRFH PGSMSVIDDRPADTGSGAGLRVNTSEGVVLLSYSGQKTEGPQRISAKISQIPPASAMDIE FQQSVSKSQVKADSITPTQSAPKGPQTPSAFANVAAHSTLVLTAQTYNASPVISSVKTDR PSLEKPEPIHLSVSTPVTQGGTVKVLTQGINTPPVLVHNQLVLTPSIVTTNKKLADPVTL KIETKVLQPANLGPTLTPHHPPALPSKLPAEVNHVPSGPSTPADRTIAHLATPKPDTHSP RPTGPTPGLFPRPCHPSSTTSTALSTNATVMLAAGIPVPQFISSIHPEQSVIMPPHSITQ TVSLGHLSQGEVRMSTPTLPSITYSIRPETLHSPRAPLQPQQIEARAPQRVGTPQPATTG VPALATQHPPEEEVHYHLPVARAAAPVQSEVLVMQSEYRLHPYTVPRDVRIMVHPHVTAV SEQPRATEGVVKVPPANKAPQQLVKEAVKTSDAKAVPAPAPVPVPVPVPTPAPPPHGEAR ILTVTPSSQLQGLPLTPPVVVTHGVQIVHSSGELFQEYRYGDVRTYHAPAQQLTHTQFPV ASSISLASRTKTSAQVPPEGEPLQSTQSAQPAPSTQATQPIPPAPPCQPSQLSQPAQPPS GKIPQVSQEAKGTQTGGVEQTRLPAIPTNRPSEPHAQLQRAPVETAQPAHPSPVSVSMKP DLPSPLSSQAAPKQPLFVPANSGPSTPPGLALPHAEVQPAPKQESSPHGTPQRPVDMVQL LKKYPIVWQGLLALKNDTAAVQLHFVSGNNVLAHRSLPLSEGGPPLRIAQRMRLEASQLE GVARRMTVETDYCLLLALPCGRDQEDVVSQTESLKAAFITYLQAKQAAGIINVPNPGSNQ PAYVLQIFPPCEFSESHLSRLAPDLLASISNISPHLMIVIASV >ENSMUSP00000117783.1 pep:known chromosome:GRCm38:15:76119517:76126596:-1 gene:ENSMUSG00000044361.15 transcript:ENSMUST00000146157.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC024139 description:cDNA sequence BC024139 [Source:MGI Symbol;Acc:MGI:2442591] MGNPASRLGCLGGRRNRSRLSPRTHTSPRTRGDLSPRSEAPRSPLPSGIPWAWWGSRAVQ VTEVTETVVTETVVTEAVEMAPCKPRGQPVQAPLAALDTLRSWLDGMGELQASQGPLAAD ATLAASQLREQELLLRLLRERAPHVEPRLREAGSPSELCTQWHRLVQQAETRWRLLEQLV PAAQSFETACKALLVRLSSSEQLLAELWLGPKGLEESLPYLQEVCEGVVASTRDLERVLQ TGQRLAELLSSDQARLVRQQLNQFQERVKLTESQATCARQKLLFAQRTESSKPLPPAGLE AQLELEGSASTPPQLKDLKQLSVQLVQLAERLEQLAQWAETSSQVAAPAVTIWEQSLSSA MLQADTKPLEGHWLETREQDFGPKAIWEPAVQISCGLADQAAIEKLKPERDWNPSLQEFL WEQQTLAVSWLGRRGAADYGSTCHLGLLMDLQGCRAAMSSPSCERIHTLEGVLLLVGHLV EKLVLCPHRLSQAEPLGIKVVQAHSLLEEMPPALTPKYWTRAPREILALMSLDQEPPGDL ASRAKACLLGKIPKELSWRPWWSEVMENWSLRKPEHSKRSEDKRDQWERLEQGHQVQ >ENSMUSP00000053305.5 pep:known chromosome:GRCm38:15:76119520:76126542:-1 gene:ENSMUSG00000044361.15 transcript:ENSMUST00000054022.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC024139 description:cDNA sequence BC024139 [Source:MGI Symbol;Acc:MGI:2442591] MGNPASRLGCLGGRRNRSRLSPRTHTSPRTRGDLSPRSEAPRSPLPSGIPWAWWGSRAVQ VTEVTETVVTETVVTEAVEMAPCKPRGQPVQAPLAALDTLRSWLDGMGELQASQGPLAAD ATLAASQLREQELLLRLLRERAPHVEPRLREAGSPSELCTQWHRLVQQAETRWRLLEQLV PAAQSFETACKALLVRLSSSEQLLAELWLGPKGLEESLPYLQEVCEGVVASTRDLERVLQ TGQRLAELLSSDQARLVRQQLNQFQERVKLTESQATCARQKLLFAQRTESSKPLPPAGLE AQLELEGSASTPPQLKDLKQLSVQLVQLAERLEQLAQWAETSSQVAAPAVTIWEQSLSSA MLQADTKPLEGHWLETREQDFGPKAIWEPAVQISCGLADQAAIEKLKPERDWNPSLQEFL WEQQTLAVSWLGRRGAADYGSTCHLGLLMDLQGCRAAMSSPSCERIHTLEGVLLLVGHLV EKLVLCPHRLSQAEPLGIKVVQAHSLLEEMPPALTPKYWTRAPREILALMSLDQEPPGDL ASRAKACLLGKIPKELSWRPWWSEVMENWSLRKPEHSKRSEDKRDQWERLEQGHQVQDPA DSFLSTEEQDSVGQGWPSYNWGRRGPLHKVSITFKPGLSSGQKQPNSVVRARNPEGSSAS GARGRPKVQGALAARGAMMVRVGGGWAALDEFLVKNDPVRAKGRTSQKIHERFLCWTPSV PAPEVITLRLWTSNQMGTNRPLSLKTGLPIVKDRRDSSSYKVKTSEQSTEEL >ENSMUSP00000087082.3 pep:known chromosome:GRCm38:15:76119521:76126556:-1 gene:ENSMUSG00000044361.15 transcript:ENSMUST00000089654.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC024139 description:cDNA sequence BC024139 [Source:MGI Symbol;Acc:MGI:2442591] MGNPASRLGCLGGRRNRSRLSPRTHTSPRTRGDLSPRSEAPRSPLPSGIPWAWWGSRAVQ VTEVTETVVTETVVTEAVEMAPCKPRGQPVQAPLAALDTLRSWLDGMGELQASQGPLAAD ATLAASQLREQELLLRLLRERAPHVEPRLREAGSPSELCTQWHRLVQQAETRWRLLEQLV PAAQSFETACKALLVRLSSSEQLLAELWLGPKGLEESLPYLQEVCEGVVASTRDLERVLQ TGQRLAELLSSDQARLVRQQLNQFQERVKLTESQATCARQKLLFAQRTESSKPLPPAGLE AQLELEGSASTPPQLKDLKQLSVQLVQLAERLEQLAQWAETSSQVAAPAVTIWEQSLSSA MLQADTKPLEGHWLETREQDFGPKAIWEPAVQISCGLADQAAIEKLKPERDWNPSLQEFL WEQQTLAVSWLGRRGAADYGSTCHLGLLMDLQGCRAAMSSPSCERIHTLEGVLLLVGHLV EKLVLCPHRLSQAEPLGIKVVQAHSLLEEMPPALTPKYWTRAPREILALMSLDQEPPGDL ASRAKACLLGKIPKELSWRPWWSEVMENWSLRKPEHSKRSEDKRDQWERLEQGHQVQDPA DSFLSTEEQDSVGQGWPSYNWGRRGPLHKVSITFKPGLSSGQKQPNSVVRARNPEGSSAS GARGRPKVQGALAARGAMMVRVGGGWAALDEFLVKNDPVRGESWGRTGHVSHQGVVVVVV DGQERCAICFGLATWDL >ENSMUSP00000124480.1 pep:known chromosome:GRCm38:18:84851338:84880401:1 gene:ENSMUSG00000024646.13 transcript:ENSMUST00000160180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5a description:cytochrome b5 type A (microsomal) [Source:MGI Symbol;Acc:MGI:1926952] MAGQSDKDVKYYTLEEIQKHKDSKSTWVILHHKVYDLTKFLEEHPGGEEVLREQAGGDAT ENFEDVGHSTDARELSKTYIIGELHPDDRSKIAKPSDTLITTVESNSSWWTNWVIPAISA LAVALMYRLYMAED >ENSMUSP00000025549.7 pep:known chromosome:GRCm38:18:84851377:84879592:1 gene:ENSMUSG00000024646.13 transcript:ENSMUST00000025549.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyb5a description:cytochrome b5 type A (microsomal) [Source:MGI Symbol;Acc:MGI:1926952] MAGQSDKDVKYYTLEEIQKHKDSKSTWVILHHKVYDLTKFLEEHPGGEEVLREQAGGDAT ENFEDVGHSTDARELSKTYIIGELHPDDRSKIAKPSES >ENSMUSP00000124412.1 pep:known chromosome:GRCm38:18:84851879:84879862:1 gene:ENSMUSG00000024646.13 transcript:ENSMUST00000163083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5a description:cytochrome b5 type A (microsomal) [Source:MGI Symbol;Acc:MGI:1926952] MLRSVLNKCYPLAGRVHNRHPGGEEVLREQAGGDATENFEDVGHSTDARELSKTYIIGEL HPDDRSKIAKPSDTLITTVESNSSWWTNWVIPAISALAVALMYRLYMAED >ENSMUSP00000141019.1 pep:known chromosome:GRCm38:7:29908223:29916813:1 gene:ENSMUSG00000099689.6 transcript:ENSMUST00000187028.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp383 description:zinc finger protein 383 [Source:MGI Symbol;Acc:MGI:1920979] MSAPLLQRYPVEKRGMAVDHAKNKKPVVFSDVSLDFSQEEWECLGPAQRDLYKDVMLENY SNLLSVGKDTCISSAAISAVSASSSRNFSAAFQACHLNSCSLFANTWFQLGGNRSLRDRR LRWAVAKASSSSLAVPVLTSLAW >ENSMUSP00000140742.1 pep:known chromosome:GRCm38:7:29908517:29916807:1 gene:ENSMUSG00000099689.6 transcript:ENSMUST00000186475.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp383 description:zinc finger protein 383 [Source:MGI Symbol;Acc:MGI:1920979] MSAPLLQRYPVEKRGMAVDHAKNKKPVVFSDVSLDFSQEEWECLGPAQRDLYKDVMLENY SNLLSVGLHIPKPQVISLLEQGKEPWMIGKELTRGLCSALESMCESKLLSLKKEVYEIES CQRELMRLSKHSLSCSGFTDAPECGGQFQGGHGSQELLTREYMPTFFQQTFFTLHQIINN DEKPYECKKCKKVFTQNSQFIQHQRIHIGEKSYECKECGKFFSCGSHVTRHLKIHTGEKP FECKDCGKAFSCSSYLSQHQRIHTGKKPYECKECGKAFSYCSNLIDHQRIHTGEKPYACK VCGKAFTKSSQLFQHVRVHTGEKPYECKECGKAFTQSSKLVQHQRIHTGEKPYECKECGK AFSSGSALTNHQRIHTGEKPYECKECGKAFTQSSQLRQHQRIHAGEKPFECLECGKAFTQ NSQLFQHQRVHSDEKPYECNKCGKAFNKCSNLTRHLRTHRDDKPHSCTECGKTFSNDSDL ISHQETHTNE >ENSMUSP00000051990.6 pep:known chromosome:GRCm38:5:41761483:41764501:-1 gene:ENSMUSG00000049691.8 transcript:ENSMUST00000060820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx3-2 description:NK3 homeobox 2 [Source:MGI Symbol;Acc:MGI:108015] MAVRGSGTLTPFSIQAILNKKEERGGLATPEGRPAPGGTEVAVTAAPAVCCWRIFGETEA GALGGAEDSLLASPARTRTAVGQSAESPGGWDSDSALSEENEGRRRCADVPGASGTGRAR VTLGLDQPGCELHAAKDLEEEAPVRSDSEMSASVSGDHSPRGEDDSVSPGGARVPGLRGA AGSGASGGQAGGVEEEEEPAAPKPRKKRSRAAFSHAQVFELERRFNHQRYLSGPERADLA ASLKLTETQVKIWFQNRRYKTKRRQMAADLLASAPAAKKVAVKVLVRDDQRQYLPGEVLR PPSLLPLQPSYYYPYYCLPGWALSTCAAAAGTQ >ENSMUSP00000040944.8 pep:known chromosome:GRCm38:19:47501033:47537119:-1 gene:ENSMUSG00000042694.17 transcript:ENSMUST00000049369.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obfc1 description:oligonucleotide/oligosaccharide-binding fold containing 1 [Source:MGI Symbol;Acc:MGI:1915581] MPQPCLLMECESSPREEEIPPLFWGLDPVFLAFAKLYIKDILEMKESQQVPGTYFYNGHP IRRVDIMGAVISVKERETFYSYGVDDATGVINCVCWKKLSNAESSSDPAILSTARELSMT SQLKKLQETIEQKTRIGIGDIIRVRGSVRMFREEREICANIYYKVDDPVWNMQIARMLEL PKLYQKVYDQPFRNPALQEEEALNNKDNLDLAGLTSLLSEKIKEFLQEKKMQSFYQQELE TVESLQSLASRPVTHSTGSDQVELKDSGTSGVAQRVFKNALQLLQEKGLVFQRDSGSDKL YYVTTKDKDLQQKIYHIIKEDCQKPNHMEKGCHLLHILNCVHLNLRWDLSKAVLQRVLEL LEDQSDIVSTADHYYAAF >ENSMUSP00000138727.1 pep:known chromosome:GRCm38:19:47507205:47537036:-1 gene:ENSMUSG00000042694.17 transcript:ENSMUST00000182808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obfc1 description:oligonucleotide/oligosaccharide-binding fold containing 1 [Source:MGI Symbol;Acc:MGI:1915581] MPQPCLLMECESSPREEEIPPLFWGLDPVFLAFAKLYIKDILEMKESQQVPGTYFYNGHP IRRVDIMGAVISVKERETFYSYGVDDATGVINCVCWKKLSNAESSSDPAILSTARELSMT SQLKKLQETIEQKTRIGIGDIIRVRGSVRMFREEREICANIYYKVDDPVWNMQIARMLEL PKLYQKVYDQPFRNPALQEEEALNNKDNLDLAGLTSLLSEKIKEFLQEKKMQSFYQQELE TVESLQSLASRPVTHSTGSDQVELKDSGTSGVAQRVFKNALQLLQEKGLVFQRDSGSDKL YYVTTKDKDLQQKIYHIIKEDCQKPNRISWRPTTKLSLRFGHTEVNHHARL >ENSMUSP00000138553.1 pep:known chromosome:GRCm38:19:47524707:47537507:-1 gene:ENSMUSG00000042694.17 transcript:ENSMUST00000182291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obfc1 description:oligonucleotide/oligosaccharide-binding fold containing 1 [Source:MGI Symbol;Acc:MGI:1915581] MPQPCLLMECESSPREEEIPPLFWGLDPVFLAFAKLYIKDILEMKESQQVPGTYFYNGHP IRRVDIMGAVISVKERETFYSYGVDDATGVINCVCWKKL >ENSMUSP00000101687.1 pep:known chromosome:GRCm38:4:128755364:128768588:1 gene:ENSMUSG00000028794.13 transcript:ENSMUST00000106077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A3galt2 description:alpha 1,3-galactosyltransferase 2 (isoglobotriaosylceramide synthase) [Source:MGI Symbol;Acc:MGI:2685279] MALIEFRAKKRLLWRFFLSAFGFLGLYHYRFIIIRLIEGSIPMGTCPTAIMPLPRDNFTG VLHHWARPEVLTCTSWGAPIIWDGTFDPHVAQQEARRRNLTIGLTVFAVGRYLEKYLEHF LVSAEQHFMVGQNVVYYVFTDRPEAVPYVALGQGRLLRAKPVQRERRWQDVSMARMPTLH EALGGQLGQEADFVFCLDVDQYFTGNFGPEVLADLVAQLHAWHYRWPRWLLPYERDKRSA AALSLSEGDFYYHAAVFGGSVAALLKLTAHCATGQQLDHKRGIEALWHDESHLNKFFWLN KPTKLLSPEFCWAEEIIWRREIHHPRLLWAPKEYTLVRN >ENSMUSP00000030585.7 pep:known chromosome:GRCm38:4:128759258:128769298:1 gene:ENSMUSG00000028794.13 transcript:ENSMUST00000030585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A3galt2 description:alpha 1,3-galactosyltransferase 2 (isoglobotriaosylceramide synthase) [Source:MGI Symbol;Acc:MGI:2685279] MALGTELGVSWPGSHGSCREQEGQRQRGPGKPTWGLSRAKKRLLWRFFLSAFGFLGLYHY RFIIIRLIEGSIPMGTCPTAIMPLPRDNFTGVLHHWARPEVLTCTSWGAPIIWDGTFDPH VAQQEARRRNLTIGLTVFAVGRYLEKYLEHFLVSAEQHFMVGQNVVYYVFTDRPEAVPYV ALGQGRLLRAKPVQRERRWQDVSMARMPTLHEALGGQLGQEADFVFCLDVDQYFTGNFGP EVLADLVAQLHAWHYRWPRWLLPYERDKRSAAALSLSEGDFYYHAAVFGGSVAALLKLTA HCATGQQLDHKRGIEALWHDESHLNKFFWLNKPTKLLSPEFCWAEEIIWRREIHHPRLLW APKEYTLVRN >ENSMUSP00000023154.2 pep:known chromosome:GRCm38:16:26356642:26371841:-1 gene:ENSMUSG00000022512.2 transcript:ENSMUST00000023154.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn1 description:claudin 1 [Source:MGI Symbol;Acc:MGI:1276109] MANAGLQLLGFILASLGWIGSIVSTALPQWKIYSYAGDNIVTAQAIYEGLWMSCVSQSTG QIQCKVFDSLLNLNSTLQATRALMVIGILLGLIAIFVSTIGMKCMRCLEDDEVQKMWMAV IGGIIFLISGLATLVATAWYGNRIVQEFYDPLTPINARYEFGQALFTGWAAASLCLLGGV LLSCSCPRKTTSYPTPRPYPKPTPSSGKDYV >ENSMUSP00000137394.1 pep:known chromosome:GRCm38:X:28270710:28293632:-1 gene:ENSMUSG00000094624.1 transcript:ENSMUST00000179874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4836 description:predicted gene 4836 [Source:MGI Symbol;Acc:MGI:3809202] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000123953.1 pep:known chromosome:GRCm38:7:29893333:29906572:-1 gene:ENSMUSG00000062040.14 transcript:ENSMUST00000162592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp27 description:zinc finger protein 27 [Source:MGI Symbol;Acc:MGI:99174] MDVTIDFSREEWQHLDPAQRSLYRDVVQETYSHLRSVEELQQIGDQKKTYQQIGHKSASD MVFITKTLGAESCHDYSGVRKVIHVNSYIVLPPKRPRHWDPPEDEPKHSSDLQTHDESNG LKRTKRITEYGKISSCINTEHILTGEKLPDHNQCGKVLGYKQIPCQYQKIHTGEKSYECA EFGKIFTQKSQLRVHVTSPTGEKLYVCVECGKACSQTSEFLTHQKTHTREKPYKCGDCGK SFFQVSSLFRHRRIHTGEKLYDCSHCGKGFSYNSDLRIHQKIHTGEKRHGCVDCGKAFTQ KSTLRMHQKIHTGERAYVCIECGQAFIQKTHLVAHRRIHTGEKPYACDGCGKAFLSKSQL LVHQRIHSRVRPCVSLDRAKPFSSAPNLLPRKKVQMREKSSICAECGKAFTYRSELIIHQ RTHTGEKPYQCGDCGKAFTQKSALTVHRRIHTGEKSYVCVKCGLAFVQRAHLDAHQVIHT GEKPYQCGHCGKFFTSKSQLHVHKRIHTGEKPYVCSNCGKAFANRSNLITHQKTHTGEKA YVCARCGKAFTQRSDLVTHQRIHTGEKPYGCSTCGKAFTQKSHLSIHEKIHTGERQYGCR DCGKAFNQKSILIVHQKIHTGEKPHVCAECGRAFIRKSNFITHQRIHTGEKPYGCTDCGK SFTSKSQLLVHRPIHTGEKPYVCAECGKAFSGRSNLSKHQKTHTGEKPYACSECGKSFRQ KSELITHHRIHTGEKPYDCGDCGKSFTKKSQLQVHQRIHTGEKPYRCAECGKAFTDRSNL NKHQTTHTGEKPYKCVVCGKGFVQKSVLSIHENVHTSAV >ENSMUSP00000124684.1 pep:known chromosome:GRCm38:7:29893715:29900488:-1 gene:ENSMUSG00000062040.14 transcript:ENSMUST00000161904.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp27 description:zinc finger protein 27 [Source:MGI Symbol;Acc:MGI:99174] MDVTIDFSREEWQHLDPAQRSLYRDVVQETYSHLRSVEELQQIGDQKKTYQQIGHKSASD MVFITKTLGAESCHDYSGVRKVIHVNSYIVLPPKRPRHWDPPEDEPKHSSDLQTHDESNG LKRTKRITEYGKISSCINTEHILTGEKLPDHNQCGKVLGYKQIPCQYQKIHTGEKSYECA EFGKIFTQKSQLRVHVTSPTGEKLYVCVECGKACSQTSEFLTHQKTHTREKPYKCGDCGK SFFQVSSLFRHRRIHTGEKLYDCSHCGKGFSYNSDLRIHQKIHTGEKRHGCVDCGKAFTQ KSTLRMHQKIHTGERAYVCIECGQAFIQKTHLVAHRRIHTGEKPYACDGCGKAFLSKSQL LVHQRIHSRVRPCVSLDRAKPFSSAPNLLPRKKVQMREKSSICAECGKAFTYRSELIIHQ RTHTGEKPYQCGDCGKAFTQKSALTVHRRIHTGEKSYVCVKCGLAFVQRAHLDAHQVIHT GEKPYQCGHCGKFFTSKSQLHVHKRIHTGEKPYVCSNCGKAFANRSNLITHQKTHTGEKA YVCARCGKAFTQRSDLVTHQRIHTGEKPYGCSTCGKAFTQKSHLSIHEKIHTGERQYGCR DCGKAFNQKSILIVHQKIHTGEKPHVCAECGRAFIRKSNFITHQRIHTGEKPYGCTDCGK SFTSKSQLLVHRPIHTGEKPYVCAECGKAFSGRSNLSKHQKTHTGEKPYACSECGKSFRQ KSELITHHRIHTGEKPYDCGDCGKSFTKKSQLQVHQRIHTGEKPYRCAECGKAFTDRSNL NKHQTTHTGEKPYKCVVCGKGFVQKSVLSIHENVHTSAV >ENSMUSP00000054012.8 pep:known chromosome:GRCm38:7:29893716:29906104:-1 gene:ENSMUSG00000062040.14 transcript:ENSMUST00000053521.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp27 description:zinc finger protein 27 [Source:MGI Symbol;Acc:MGI:99174] MDVTIDFSREEWQHLDPAQRSLYRDVVQETYSHLRSVEELQQIGDQKKTYQQIGHKSASD MVFITKTLGAESCHDYSGVRKVIHVNSYIVLPPKRPRHWDPPEDEPKHSSDLQTHDESNG LKRTKRITEYGKISSCINTEHILTGEKLPDHNQCGKVLGYKQIPCQYQKIHTGEKSYECA EFGKIFTQKSQLRVHVTSPTGEKLYVCVECGKACSQTSEFLTHQKTHTREKPYKCGDCGK SFFQVSSLFRHRRIHTGEKLYDCSHCGKGFSYNSDLRIHQKIHTGEKRHGCVDCGKAFTQ KSTLRMHQKIHTGERAYVCIECGQAFIQKTHLVAHRRIHTGEKPYACDGCGKAFLSKSQL LVHQRIHSRVRPCVSLDRAKPFSSAPNLLPRKKVQMREKSSICAECGKAFTYRSELIIHQ RTHTGEKPYQCGDCGKAFTQKSALTVHRRIHTGEKSYVCVKCGLAFVQRAHLDAHQVIHT GEKPYQCGHCGKFFTSKSQLHVHKRIHTGEKPYVCSNCGKAFANRSNLITHQKTHTGEKA YVCARCGKAFTQRSDLVTHQRIHTGEKPYGCSTCGKAFTQKSHLSIHEKIHTGERQYGCR DCGKAFNQKSILIVHQKIHTGEKPHVCAECGRAFIRKSNFITHQRIHTGEKPYGCTDCGK SFTSKSQLLVHRPIHTGEKPYVCAECGKAFSGRSNLSKHQKTHTGEKPYACSECGKSFRQ KSELITHHRIHTGEKPYDCGDCGKSFTKKSQLQVHQRIHTGEKPYRCAECGKAFTDRSNL NKHQTTHTGEKPYKCVVCGKGFVQKSVLSIHENVHTSAV >ENSMUSP00000125232.1 pep:known chromosome:GRCm38:7:29893716:29906532:-1 gene:ENSMUSG00000062040.14 transcript:ENSMUST00000159920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp27 description:zinc finger protein 27 [Source:MGI Symbol;Acc:MGI:99174] MDVTIDFSREEWQHLDPAQRSLYRDVVQETYSHLRSVEELQQIGDQKKTYQQIGHKSASD MVFITKTLGAESCHDYSGVRKVIHVNSYIVLPPKRPRHWDPPEDEPKHSSDLQTHDESNG LKRTKRITEYGKISSCINTEHILTGEKLPDHNQCGKVLGYKQIPCQYQKIHTGEKSYECA EFGKIFTQKSQLRVHVTSPTGEKLYVCVECGKACSQTSEFLTHQKTHTREKPYKCGDCGK SFFQVSSLFRHRRIHTGEKLYDCSHCGKGFSYNSDLRIHQKIHTGEKRHGCVDCGKAFTQ KSTLRMHQKIHTGERAYVCIECGQAFIQKTHLVAHRRIHTGEKPYACDGCGKAFLSKSQL LVHQRIHSRVRPCVSLDRAKPFSSAPNLLPRKKVQMREKSSICAECGKAFTYRSELIIHQ RTHTGEKPYQCGDCGKAFTQKSALTVHRRIHTGEKSYVCVKCGLAFVQRAHLDAHQVIHT GEKPYQCGHCGKFFTSKSQLHVHKRIHTGEKPYVCSNCGKAFANRSNLITHQKTHTGEKA YVCARCGKAFTQRSDLVTHQRIHTGEKPYGCSTCGKAFTQKSHLSIHEKIHTGERQYGCR DCGKAFNQKSILIVHQKIHTGEKPHVCAECGRAFIRKSNFITHQRIHTGEKPYGCTDCGK SFTSKSQLLVHRPIHTGEKPYVCAECGKAFSGRSNLSKHQKTHTGEKPYACSECGKSFRQ KSELITHHRIHTGEKPYDCGDCGKSFTKKSQLQVHQRIHTGEKPYRCAECGKAFTDRSNL NKHQTTHTGEKPYKCVVCGKGFVQKSVLSIHENVHTSAV >ENSMUSP00000127677.1 pep:known chromosome:GRCm38:7:29894079:29898863:-1 gene:ENSMUSG00000062040.14 transcript:ENSMUST00000172448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp27 description:zinc finger protein 27 [Source:MGI Symbol;Acc:MGI:99174] MDVTIDFSREEWQHLDPAQRSLYRDVVQETYSHLRSVEELQQIGDQKKTYQQIGHKSASD MVFITKTLGAESCHDYSGVRKVIHVNSYIVLPPKRPRHWDPPEDEPKHSSDLQTHDESNG LKRTKRITEYGKISSCINTEHILTGEKLPDHNQCGKVLGYKQIPCQYQKIHTGEKSYECA EFGKIFTQKSQLRVHVTSPTGEKLYVCVECGKACSQTSEFLTHQKTHTREKPYKCGDCGK SFFQVSSLFRHRRIHTGEKLYDCSHCGKGFSYNSDLRIHQKIHTGEKRHGCVDCGKAFTQ KSTLRMHQKIHTGERAYVCIECGQAFIQKTHLVAHRRIHTGEKPYACDGCGKAFLSKSQL LVHQRIHSRVRPCVSLDRAKPFSSAPNLLPRKKVQMREKSSICAECGKAFTYRSELIIHQ RTHTGEKPYQCGDCGKAFTQKSALTVHRRIHTGEKSYVCVKCGLAFVQRAHLDAHQVIHT GEKPYQCGHCGKFFTSKSQLHVHKRIHTGEKPYVCSNCGKAFANRSNLITHQKTHTGEKA YVCARCGKAFTQRSDLVTHQRIHTGEKPYGCSTCGKAFTQKSHLSIHEKIHTGERQYGCR DCGKAFNQKSILIVHQKIHTGEKPHVCAECGRAFIRKSNFITHQRIHTGEKPYGCTDCGK SFTSKSQLLVHRPIHTGEKPYVCAECGKAFSGRSNLSKHQKTHTGEKPYACSECGKSFRQ KSELITHHRIHTGEKPYDCGDCGKSFTKKSQLQVHQRIHTGEKPYRCAECGKAFTDRSNL NKHQTTHTGEKPYKCVVCGKGFVQKSVLSIHENVHTSAV >ENSMUSP00000105613.2 pep:known chromosome:GRCm38:2:146239879:146512004:-1 gene:ENSMUSG00000037110.19 transcript:ENSMUST00000109986.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgapa2 description:Ral GTPase activating protein, alpha subunit 2 (catalytic) [Source:MGI Symbol;Acc:MGI:3036245] MFSRRSHGDVKKSTQKVLDPKKDVLTRLKHLRALLDNVDASDLKQFFETNYSQIYFIFYE NFITLENSLKLKGNNKSQREELDSILFLFEKILQFLPERIFFRWHYQSIGSTLKKLLHTG NSIKIRCEGIRLFLLWLQALQTNCAEEQVLIFACLVPGFPAVLSSRGPCTLETLINPSPS IVDAKIYPEEITPLLPAISGEKIAEDQTCFFLQILLKYMVIQAASLEWKNKENQDTGFKF LFTLFRKYYLPHLFPSFTKLTNIYKPVLEIPHLRPKPVYVTVTRDNETIYSTKIPYMAAR VVFIKWIVTFFLEKKYLTATQNTKNGVDVLPKIIQTVGGGAIQEKVPELDGAGSTEQDKS HSNSSTLSDRRLSNSSLCSIEEEHRTVYEMVQRILLSTRGYVNFVNEVFRQAFLLPSCEI SVTRKVVQVYRKWILQNKPVFMEEPDKKDVAQEDADKLGLSETDSKEASSESSGHKRSSS WGRTYSFTSAMSRGCVTEEDNTNVKAGAQAMLQVFLTNAANVFLLEPCAEVPMLLREQVD ASKAVLIIFRRMIMELTMNQKTWEQMLQILLRITEAVMQKPKDKHVKDLFAQSLAGLLFR TLIVAWIRANLCVYISRELWDDFLRVLSSLTEWEELITEWSNIMDSLTAVLARTVYGVEM TNLPLDKLSEQKEKKQRGKGCILEPQKGTAVGRSFSLSWRSHPDVTEPMRFRSATTSGAP GVEKARNTVRQKATEVEEFQQAESTAAADCDYLVVGQQQVPRSSSTSDITERLYSDSSQG YSPMLPHPAFSTTSGDQSSGPHACTAGPLPLSRLPSAGQKVEHSQNLSSSEPKSVQESKG HVTHEHEGITMLVRRSSSPAELELKDDLQQAHGRCRQRQTSESTGSDTVVGYSNEAELPV SPWQACEEDPDLSTPTDAVADSDARHWLQLSPTDASNLTDSRECLADDCSIIAGGNLTGW HPDSAAVLWRRVLGILGDVNNIQSPKIHAKVFGYLYELWYKLAKIRDNLAISLDNQSSPS PPLLIPPLRMFASWLFKATTLPNEYKEGKLQAYKLICAMMTRRQDVLPNSDFLVHFYLVM HLGLTSEDQDVLNTIIKNCSPRFFSLGLPGFSMLVGDFITAAARVLSTDMLAAPRSEALT LLGSLVCFPNTYQEIPLLQSVPEVSDVVTGAEDVKHYLINILLKNATEEPNECARCIAIC SLGVWICEELAQSASHPQVKDAINVIGVTLKFPNKIVAQVACDVLQLLVSYWEKLQMFET ALPRKMAEILVATIAFLLPSAEYSSVETDKKFIVSLLLCLLDWCMALPVSALLHPVSTAV LEELHPSRAPLLDYIYRVLHCCVCGSSTYTQQSHYTLTLADLSSTDYDPFLPLANVRNSE PIQYHSSADLGNLLTVEEEKKRRSVELIPLTARMVMAHLVNHLGHYPLSGGPAVLHSLVS ENHDNAHVEGTELSSEVFRSPNLQLFVFNDSTLISYLQTPAEGPAGGTSGGSLSDVRVIV RDISGKYSWDGKVLYGPLEGRLAPNGRNPSFQISGWHHHTCGPQKDLFNGEEGDDVLDKL LENIGHTSPECLLPSQLNLNEPSPTPCAMNWDQEKAIMEVILRQSAQEDEYVQRCNSDSS VTVTSQGQPSPVEPRGPFYFCRLLLDDLGMNSWDRRKNFHLLKKNSKLLRELKNLDSRQC RETHKIAVFYIAEGQEDKCSILANERGSQAYEDFVAGLGWEVDLSTHCGFMGGLQRNGST GQTAPYYATSTVEVIFHVSTRMPSDSDDSLTKKLRHLGNDEVHIVWSEHSRDYRRGIIPT AFGDVSIIIYPMKNHMFFITITKKPEVPFFGPLFDGAIVSGKLLPSLICATCINASRAVK CLIPLYQSFYEERALYLEAIIQNHREVMTFEDFAAQVFSPSPSYSVSGTD >ENSMUSP00000122017.2 pep:known chromosome:GRCm38:2:146243976:146447292:-1 gene:ENSMUSG00000037110.19 transcript:ENSMUST00000149499.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgapa2 description:Ral GTPase activating protein, alpha subunit 2 (catalytic) [Source:MGI Symbol;Acc:MGI:3036245] XPKIIQTVGGGAIQEKVPELDGAGSTEQDKSHSNSSTLSDRRLSNSSLCSIEEEHRTVYE MVQRILLSTRGYVNFVNEVFRQAFLLPSCEISVTRKVVQVYRKWILQNKPVFMEEPDKKD VAQEDADKLGLSETDSKEASSESSGHKRSSSWGRTYSFTSAMSRGCVTEEDNTNVKAGAQ AMLQVFLTNAANVFLLEPCAEVPMLLREQVDASKAVLIIFRRMIMELTMNQKTWEQMLQI LLRITEAVMQKPKDKHVKDLFAQSLAGLLFRTLIVAWIRANLCVYISRELWDDFLRVLSS LTEWEELITEWSNIMDSLTAVLARTVYGVEMTNLPLDKLSEQKEKKQRGKGCILEPQKGT AVGRSFSLSWRSHPDVTEPMRFRSATTSGAPGVEKARNTVRQKATEVEEFQQAESTAAAD CDYLVVGQQQVPRSSSTSDITERLYSDSSQGQKVEHSQNLSSSEPKSVQESKGHVTHEHE GITMLVRRSSSPAELELKDDLQQAHGRCRQRQTSESTGSDTVVGYSNEAELPVSPWQACE EDPDLSTPTDAVADSDARHWLQLSPTDASNLTDSRECLADDCSIIAGGNLTGWHPDSAAV LWRRVLGILGDVNNIQSPKIHAKVFGYLYELWYKLAKIRDNLAISLDNQSSPSPPLLIPP LRMFASWLFKATTLPNEYKEGKLQAYKLICAMMTRRQDVLPNSDFLVHFYLVMHLGLTSE DQDVLNTIIKNCSPRFFSLGLPGFSMLVGDFITAAARVLSTDMLAAPRSEALTLLGSLVC FPNTYQEIPLLQSVPEVSDVVTGAEDVKHYLINILLKNATEEPNECARCIAICSLGVWIC EELAQSASHPQVKDAINVIGVTLKFPNKIVAQVACDVLQLLVSYWEKLQMFETALPRKMA EILVATIAFLLPSAEYSSVETDKKFIVSLLLCLLDWCMALPVSALLHPVSTAVLEELHPS RAPLLDYIYRVLHCCVCGSSTYTQQSHYTLTLADLSSTDYDPFLPLANVRNSEPIQYHSS ADLGNLLTVEEEKKRRSVELIPLTARMVMAHLVNHLGHYPLSGGPAVLHSLVSENHDNAH VEGTELSSEVFRSPNLQLFVFNDSTLISYLQTPAEGPAGGTSGGSLSDVRVIVRDISGKY SWDGKVLYGPLEGRLAPNGRNPSFQISGWHHHTCGPQKDLFNGEEGDDVLDKLLENIGHT SPECLLPSQLNLNEPSPTPCAMNWDQEKAIMEVILRQSAQEDEYVQRCNSDSSVTVTSQG QPSPVEPRGPFYFCRLLLDDLGMNSWDRRKNFHLLKKNSKLLRELKNLDSRQCRETHKIA VFYIAEGQEDKCSILANERGSQAYEDFVAGLGWEVDLSTHCGFMGGLQRNGSTGQTAPYY ATSTVEVIFHVSTRMPSDSDDSLTKKLRHLGNDEVHIVWSEHSRDYRRGIIPTAFGDVSI IIYPMKNHMFFITITKKPEVPFFGPLFDGAIVSGKLLPSLICATCINASRAVKCLIPLYQ SFYEERALYLEAIIQNHREVMTFEDFAAQVFSPSPSYSVSGTGVLTSSLSMDPKKILHVE ERGTDSP >ENSMUSP00000122039.1 pep:known chromosome:GRCm38:2:146248199:146511899:-1 gene:ENSMUSG00000037110.19 transcript:ENSMUST00000131824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgapa2 description:Ral GTPase activating protein, alpha subunit 2 (catalytic) [Source:MGI Symbol;Acc:MGI:3036245] MFSRRSHGDVKKSTQKVLDPKKDVLTRLKHLRALLDNVDASDLKQFFETNYSQIYFIFYE NFITLENSLKLKGNNKSQREELDSILFLFEKILQFLPERIFFRWHYQSIGSTLKKLLHTG NSIKIRCEGIRLFLLWLQALQTNCAEEQVLIFACLVPGFPAVLSSRGPCTLETLINPSPS IVDAKIYPEEITPLLPAISGEKIAEDQTCFFLQILLKYMVIQAASLEWKNKENQDTGFKF LFTLFRKYYLPHLFPSFTKLTNIYKPVLEIPHLRPKPVYVTVTRDNETIYSTKIPYMAAR VVFIKWIVTFFLEKKYLTATQNTKNGVDVLPKIIQTVGGGAIQEKVPELDGAGSTEQDKS HSNSSTLSDRRLSNSSLCSIEEEHRTVYEMVQRILLSTRGYVNFVNEVFRQAFLLPSCEI SVTRKVVQVYRKWILQNKPVFMEEPDKKDVAQEDADKLGLSETDSKEASSESSGHKRSSS WGRTYSFTSAMSRGCVTEEDNTNVKAGAQAMLQVFLTNAANVFLLEPCAEVPMLLREQVD ASKAVLIIFRRMIMELTMNQKTWEQMLQILLRITEAVMQKPKDKHVKDLFAQSLAGLLFR TLIVAWIRANLCVYISRELWDDFLRVLSSLTEWEELITEWSNIMDSLTAVLARTVYGVEM TNLPLDKLSEQKEKKQRGKGCILEPQKGTAVGRSFSLSWRSHPDVTEPMRFRSATTSGAP GVEKARNTVRQKATEVEEFQQAESTAAADCDYLVVGQQQVPRSSSTSDITERLYSDSSQG QKVEHSQNLSSSEPKSVQESKGHVTHEHEGITMLVRRSSSPAELELKDDLQQAHGRCRQR QTSESTGSDTVVGYSNEAELPVSPWQACEEDPDLSTPTDAVADSDARHWLQLSPTDASNL TDSRECLADDCSIIAGGNLTGWHPDSAAVLWRRVLGILGDVNNIQSPKIHAKVFGYLYEL WYKLAKIRDNLAISLDNQSSPSPPLLIPPLRMFASWLFKATTLPNEYKEGKLQAYKLICA MMTRRQDVLPNSDFLVHFYLVMHLGLTSEDQDVLNTIIKNCSPRFFSLGLPGFSMLVGDF ITAAARVLSTDMLAAPRSEALTLLGSLVCFPNTYQEIPLLQSVPEVSDVVTGAEDVKHYL INILLKNATEEPNECARCIAICSLGVWICEELAQSASHPQVKDAINVIGVTLKFPNKIVA QVACDVLQLLVSYWEKLQMFETALPRKMAEILVATIAFLLPSAEYSSVETDKKFIVSLLL CLLDWCMALPVSALLHPVSTAVLEELHPSRAPLLDYIYRVLHCCVCGSSTYTQQSHYTLT LADLSSTDYDPFLPLANVRNSEPIQYHSSADLGNLLTVEEEKKRRSVELIPLTARMVMAH LVNHLGHYPLSGGPAVLHSLVSENHDNAHVEGTELSSEVFRSPNLQLFVFNDSTLISYLQ TPAEGPAGGTSGGSLSDVRVIVRDISGKYSWDGKVLYGPLEGRLAPNGRNPSFQISGWHH HTCGPQKDLFNGEEGDDVLDKLLENIGHTSPECLLPSQLNLNEPSPTPCAMNWDQEKAIM EVILRQSAQEDEYVQRCNSDSSVTVTSQGQPSPVEPRGPFYFCRLLLDDLGMNSWDRRKN FHLLKKNSKLLRELKNLDSRQCRETHKIAVFYIAEGQEDKCSILANERGSQAYEDFVAGL GWEVDLSTHCGFMGGLQRNGSTGQTAPYYATSTVEVIFHVSTRMPSDSDDSLTKKLRHLG NDEVHIVWSEHSRDYRRGIIPTAFGDVSIIIYPMKNHMFFITITKKPEVPFFGPLFDGAI VSGKLLPSLICATCINASRAVKCLIPLYQSFYEERALYLEAIIQNHREVMTFEDFAAQVF SPSPSYSVSGTD >ENSMUSP00000114547.1 pep:known chromosome:GRCm38:2:146258564:146387683:-1 gene:ENSMUSG00000037110.19 transcript:ENSMUST00000146307.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ralgapa2 description:Ral GTPase activating protein, alpha subunit 2 (catalytic) [Source:MGI Symbol;Acc:MGI:3036245] XLDNQSSPSPPLLIPPLRMFASWLFKATTLPNEYKEGKLQAYKLICAMMTRRQDVLPNSD FLVHFYLVMHLGLTSEDQDVLNTIIKNCSPRFFSLGLPGFSMLVGDFITAAARVLSTDML AAPRSEALTLLGSLVCFPNTYQEIPLLQSVPEVSDVVTGAEDVKHYLINILLKNATEEPN ECARCIAICSLGVWICEELAQSASHPQVKDAINVIGVTLKFPNKIVAQVACDVLQLLVSY WEKLQMFETALPRKMAEILVATIAFLLPSAEYSSVETDKKFIVSLLLCLLDWCMALPVSA LLHPVSTAVLEELHPSRAPLLDYIYRVLHCCVCGSSTYTQQSHYTLTLADLSSTDYDPFL PLANVRNSEPIQYHSSADLGNLLTVEEEKKRRSVELIPLTARMVMAHLVNHLGHYPLSGG PAVLHSLVSENHDNAHVEGTELSSEVFRSPNLQLFVFNDSTLISYLQTPAEGPAGGTSGG SLSDVRVIVRDISGKYSWDGKVLYGPLEGRLAPNGRNPSFQISGWHHHTCGPQKDLFNGE EGDDVLDKLLENIGHTSPECLLPSQLNLNEPSPTPCAMNWDQEKAIMEVILRQSAQEDEY VQRCNSDSSVTVTSQGQPSPVEPRGPFYFCRLLLDDLGMNSWDRRKNFHLLKKNSKLLRE LKNLDSRQCRETHKIAVFYIAEGQEDKCSILANERGSQAYEDFVAGLGWEVDLSTHCGFM GGLQRNGSTGQTAPYYATSTVEVIFHVSTRMPSDSDDSLTKKLRHLGNDEVHIVWSEHSR DYRRGIIPTAFGDVSIIIYPMKNHMFFITITKKPELLLKIEKFHSLGPCSMERS >ENSMUSP00000075179.5 pep:known chromosome:GRCm38:4:147021850:147060794:1 gene:ENSMUSG00000067919.8 transcript:ENSMUST00000075775.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rex2 description:reduced expression 2 [Source:MGI Symbol;Acc:MGI:1328322] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVLEQDTQHIVNEHGHNRKKSSKCNELRNVIHESSESTPYN TNHRDATLQSSNLKRHKTGTTKGVCTYKDCVNCLKGSSIISLNQGTHIEKKEHNRNKNLD EVLVSRQNNSEMNTYTCGEFDKCFTQSDNLQSQQRIYPGKKSYKYSESDKCFTQTSHLSI HHTIHSGEKPYKCSECDKCFTDKCTLRKHQRIHTGEKPYKCSECDKCFTDKCTLRKHQRI HTGEKPYKCNECDKCFTDKGSLRVHQRIHTGEKPYKCSECDKCFTQPSHLSIHRRIHSGE KPYKCSECDKCFTDKCTLRKHQRIHTGEKPYKCSECDKCFTEKFTLRKHQRIHTGEKPYK CNECDKCFTDKGSLRVHQRIHTGEKPYKCSECDKCFTKPSHLSIHRRIHSGEKPYKCSEC DKCFTQPSPLSIHRRIHSGEKPYKCSECDKCFTDKGSLRVHQRIHIGEKPYKCSECDKCF TQPSHLSIHCRIHSGEKPYKCSECDKCFTHKGSLRVHHRIHAGEKPYKCSECDKCFTEKN GLRRHQRIHTGEKPYKCSECDKCFTRKSHLSIHQRIHTGEKLYKCSECDKCFTQQCHLSI HQKIHSGENLTNAMNATNALVTNTV >ENSMUSP00000112685.1 pep:known chromosome:GRCm38:10:60712072:60752782:-1 gene:ENSMUSG00000020100.15 transcript:ENSMUST00000117513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a3 description:solute carrier family 29 (nucleoside transporters), member 3 [Source:MGI Symbol;Acc:MGI:1918529] MAFASEDNVYHSSNAVYRAPSNHQEADQEALLGKLLDYPAPGLQRPEDRFNGAYIIFFCL GIGGLLPWNFFVTAKEYWAYKLRNCSSPASGEDPEDMDILNYFESYLAVASTVPSLLFLV ANFLLVNRVQVHVRVLASLSVSLAIFVVMIVLVKVDTSSWTRGFFSLTIACMAIISSSST IFNSSVYGLTGSFPMRNAQALISGGAMGGTVSAVALLVDLAASSDVRDSTLAFFLMAAVF LGLCMGLYLLLSQLEYARYYMRPVAPVRVFSGEDNPSQDAPSASSVAPASRVMHTPPLGP ILKKTASLGFCAVSLYFVTAFIIPAISTNIQSMHKGTGSPWTSKFFVPLTVFLLFNFADL CGRQVTAWIQVPGPRSKLLPGLVVSRFCLVPLFLLCNYQPRSHLTKVLFQSDIYPVLFTC LLGLSNGYLSTLVLIYGPKIVPRELAEATSVVMLFYMSVGLMLGSACAALLEHFI >ENSMUSP00000119716.1 pep:known chromosome:GRCm38:10:60715229:60752783:-1 gene:ENSMUSG00000020100.15 transcript:ENSMUST00000150845.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc29a3 description:solute carrier family 29 (nucleoside transporters), member 3 [Source:MGI Symbol;Acc:MGI:1918529] MAFASEDNVYHSSNAVYRAPSNHQEADQEALLGKLLDYPAPGLQRPEDRFNGAYIIFFCL GIGGLLPWNFFVTAKEYWAYKLRNCSSPASGEDPEDMDILPPSLCVSRTTLRATWQLPPL CPPCCSWWLTSCLSTGSRCTSVFWPHCPCPWPSSWL >ENSMUSP00000112426.1 pep:known chromosome:GRCm38:10:60714026:60752782:-1 gene:ENSMUSG00000020100.15 transcript:ENSMUST00000119595.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a3 description:solute carrier family 29 (nucleoside transporters), member 3 [Source:MGI Symbol;Acc:MGI:1918529] MAFASEDNVYHSSNAVYRAPSNHQEADQEALLGKLLDYPAPGLQRPEDRFNGAYIIFFCL GIGGLLPWNFFVTAKEYWAYKLRNCSSPASGEDPEDMDILNYFESYLAVASTVPSLLFLV ANFLLVNRVQVHVRVLASLSVSLAIFVVMIVLVKVDTSSWTRGFFSLTIACMAIISSSST IFNSSVYGLTGSFPMRNAQALISGTT >ENSMUSP00000129982.1 pep:known chromosome:GRCm38:19:5473973:5477578:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000167304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] MLPFASCLPGSLLLWAFLLLLLGAASPQDPEEPDSYTECTDGYEWDADSQHCRDVNECLT IPEACKGEMKCINHYGGYLCLPRSAAVISDLHGEGPPPPAAHAQQPNPCPQGYEPDEQES CVDVDECT >ENSMUSP00000128827.1 pep:known chromosome:GRCm38:19:5474315:5476068:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000166303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] MHSMGFRRHSLRMLPFASCLPGSLLLWAFLLLLLGAASPQDPEEPDSYTECTDGYEWDAD SQHCRD >ENSMUSP00000133016.1 pep:known chromosome:GRCm38:19:5474688:5481853:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000165485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] MLPFASCLPGSLLLWAFLLLLLGAASPQDPEEPDSYTECTDGYEWDADSQHCRDVNECLT IPEACKGEMKCINHYGGYLCLPRSAAVISDLHGEGPPPPAAHAQQPNPCPQGYEPDEQES CVDVDECTQALHDCRPSQDCHNLPGSYQCTCPDGYRKIGPECVDIDECRYRYCQHRCVNL PGSFRCQCEPGFQLGPNNRSCVDVNECDMGAPCEQRCFNSYGTFLCRCNQGYELHRDGFS CSDIDECGYSSYLCQYRCVNEPGRFSCHCPQGYQLLATRLCQDIDECETGAHQCSEAQTC VNFHGGYRCVDTNRCVEPYVQVSDNRCLCPASNPLCREQPSSIVHRYMSITSERSVPADV FQIQATSVYPGAYNAFQIRSGNTQGDFYIRQINNVSAMLVLARPVTGPREYVLDLEMVTM NSLMSYRASSVLRLTVFVGAYTF >ENSMUSP00000127312.1 pep:known chromosome:GRCm38:19:5474740:5480741:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000166253.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] MLPFASCLPGSLLLWAFLLLLLGAASPQDPEEPDSYTECTDGYEWDADSQHCRDIDECRY RYCQHRCVNLPGSFRCQCEPGFQLGPNNRSCVDVNECDMGAPCEQRCFNSYGTFLCRCNQ GYELHRDGFSCSDIDECGYSSYLCQYRCVNEPGRFSCHCPQGYQLLATRLCQDIDECETG AHQCSEAQTCVNFHGGYRCVDTNRCVEPYVQVSDNRCLCPASNPLCREQPSSIVHRYMSI TSERSVPADVFQIQATSVYPGAYNAFQIRSGNTQGDFYIR >ENSMUSP00000129746.1 pep:known chromosome:GRCm38:19:5474740:5481853:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000167371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] MLPFASCLPGSLLLWAFLLLLLGAASPQDPEEPDSYTECTDGYEWDADSQHCRDVNECLT IPEACKGEMKCINHYGGYLCLPRSAAVISDLHGEGPPPPAAHAQQPNPCPQGYEPDEQES CVDIDECRYRYCQHRCVNLPGSFRCQCEPGFQLGPNNRSCVDVNECDMGAPCEQRCFNSY GTFLCRCNQGYELHRDGFSCSDIDECGYSSYLCQYRCVNEPGRFSCHCPQGYQLLATRLC QDIDECETGAHQCSEAQTCVNFHGGYRCVDTNRCVEPYVQVSDNRCLCPASNPLCREQPS SIVHRYMSITSERSVPADVFQIQATSVYPGAYNAFQIRSGNTQGDFYIRQINNVSAMLVL ARPVTGPREYVLDLEMVTMNSLMSYRASSVLRLTVFVGAYTF >ENSMUSP00000132194.1 pep:known chromosome:GRCm38:19:5474747:5478256:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000167855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] MKCINHYGGYLCLPRSAAVISDLHGEGPPPPAAHAQQPNPCPQGYEPDEQESCVDVDECT QALHDCRPSQDCHNLPGSYQCTCPDGYRKIGPECVDIDECRYRYCQHRCVNLPGSFRCQC EPGFQLGPNNRSCVDVNECDMGAPCEQRCFNSY >ENSMUSP00000064719.7 pep:known chromosome:GRCm38:19:5474750:5481853:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000070118.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] MGGAVAETPDFCPPPPSLRMLPFASCLPGSLLLWAFLLLLLGAASPQDPEEPDSYTECTD GYEWDADSQHCRDVNECLTIPEACKGEMKCINHYGGYLCLPRSAAVISDLHGEGPPPPAA HAQQPNPCPQGYEPDEQESCVDVDECTQALHDCRPSQDCHNLPGSYQCTCPDGYRKIGPE CVDIDECRYRYCQHRCVNLPGSFRCQCEPGFQLGPNNRSCVDVNECDMGAPCEQRCFNSY GTFLCRCNQGYELHRDGFSCSDIDECGYSSYLCQYRCVNEPGRFSCHCPQGYQLLATRLC QDIDECETGAHQCSEAQTCVNFHGGYRCVDTNRCVEPYVQVSDNRCLCPASNPLCREQPS SIVHRYMSITSERSVPADVFQIQATSVYPGAYNAFQIRSGNTQGDFYIRQINNVSAMLVL ARPVTGPREYVLDLEMVTMNSLMSYRASSVLRLTVFVGAYTF >ENSMUSP00000126477.1 pep:known chromosome:GRCm38:19:5475068:5478320:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000169943.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] MLPFASCLPGSLLLWAFLLLLLGAASPQDPEEPDSYTECTDGYEWDADSQHCRGPAQVTG CAQQAPLCRCQRVPDHPGGLQG >ENSMUSP00000128414.1 pep:known chromosome:GRCm38:19:5475120:5481775:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000164204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] XLLLLGAASPQDPEEPDSYTECTDGYEWDADSQHCRDVNECLTIPEACKGEMKCINHYGG YLCLPRSAAVISDLHDGHHEFPYELPGQLCTETHGLCGSLYLLKTLREGPCGGPLPPPIA >ENSMUSP00000127478.1 pep:known chromosome:GRCm38:19:5475305:5480079:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000167827.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] MKCINHYGGYLCLPRSAAVISDLHGEGPPPPAAHAQQPNPCPQGYEPDEQESCVDVDECT QALHDCRPSQDCHNLPGSYQCTCPDGYRKIGPECVDIDECRYRYCQHRCVNLPGSFRCQC EPGFQLGPNNRSCVDVNECDMGAPCEQRCFNSYGTFLCRCNQGYELHRDGFSCSDIDECG YSSYLCQYRCV >ENSMUSP00000131979.1 pep:known chromosome:GRCm38:19:5480045:5480916:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000164388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] XYSSYLCQYRCVNEPGRFSCHCPQGYQLLATRLCQDIDECETGAHQCSEAQTCVNFHGGY RCVDTNRCVEPYVQVSDNRCLCPASNPLCREQPSSIVHRYMSITSERSVPADVFQIQATS VYPGAYNAFQIRSGNTQGDFYIRVRCFFTHTQLTQVI >ENSMUSP00000130003.1 pep:known chromosome:GRCm38:19:5480123:5481659:1 gene:ENSMUSG00000024909.14 transcript:ENSMUST00000168330.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Efemp2 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:1891209] SCWLQGSAKILTSVKQVHTNVLRPKPVSTSMGVTAVWTPTVVWSPMSKCQTTFIHCAPLH EHHLRAKCAC >ENSMUSP00000071040.7 pep:known chromosome:GRCm38:3:136670124:136937727:1 gene:ENSMUSG00000028161.17 transcript:ENSMUST00000070198.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp3ca description:protein phosphatase 3, catalytic subunit, alpha isoform [Source:MGI Symbol;Acc:MGI:107164] MSEPKAIDPKLSTTDRVVKAVPFPPSHRLTAKEVFDNDGKPRVDILKAHLMKEGRLEESV ALRIITEGASILRQEKNLLDIDAPVTVCGDIHGQFFDLMKLFEVGGSPANTRYLFLGDYV DRGYFSIECVLYLWALKILYPKTLFLLRGNHECRHLTEYFTFKQECKIKYSERVYDACMD AFDCLPLAALMNQQFLCVHGGLSPEINTLDDIRKLDRFKEPPAYGPMCDILWSDPLEDFG NEKTQEHFTHNTVRGCSYFYSYPAVCDFLQHNNLLSILRAHEAQDAGYRMYRKSQTTGFP SLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEK VTEMLVNVLNICSDDELGSEEDGFDGATAAARKEVIRNKIRAIGKMARVFSVLREESESV LTLKGLTPTGMLPSGVLSGGKQTLQSAIKGFSPQHKITSFEEAKGLDRINERMPPRRDAM PSDANLNSINKALASETNGTDSNGSNSSNIQ >ENSMUSP00000053101.8 pep:known chromosome:GRCm38:3:136670770:136935779:1 gene:ENSMUSG00000028161.17 transcript:ENSMUST00000056758.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp3ca description:protein phosphatase 3, catalytic subunit, alpha isoform [Source:MGI Symbol;Acc:MGI:107164] MSEPKAIDPKLSTTDRVVKAVPFPPSHRLTAKEVFDNDGKPRVDILKAHLMKEGRLEESV ALRIITEGASILRQEKNLLDIDAPVTVCGDIHGQFFDLMKLFEVGGSPANTRYLFLGDYV DRGYFSIECVLYLWALKILYPKTLFLLRGNHECRHLTEYFTFKQECKIKYSERVYDACMD AFDCLPLAALMNQQFLCVHGGLSPEINTLDDIRKLDRFKEPPAYGPMCDILWSDPLEDFG NEKTQEHFTHNTVRGCSYFYSYPAVCDFLQHNNLLSILRAHEAQDAGYRMYRKSQTTGFP SLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEK VTEMLVNVLNICSDDELGSEEDGFDGATAAARKEVIRNKIRAIGKMARVFSVLREESESV LTLKGLTPTGMLPSGVLSGGKQTLQSATVEAIEADEAIKGFSPQHKITSFEEAKGLDRIN ERMPPRRDAMPSDANLNSINKALASETNGTDSNGSNSSNIQ >ENSMUSP00000105754.1 pep:known chromosome:GRCm38:8:70184340:70212305:-1 gene:ENSMUSG00000002346.16 transcript:ENSMUST00000110127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a42 description:solute carrier family 25, member 42 [Source:MGI Symbol;Acc:MGI:1920345] MGNGVQEGSVRLREDAEAVLAGAVSSKRDHRQVLSSLLSGALAGALAKTAVAPLDRTKII FQVSSKRFSAKEAFRLLYFTYLNEGFLSLWRGNSATMVRVIPYAAIQFSAHEEYKRILGH YYGFRGEALPPWPRLLAGALAGTTAASLTYPLDLVRARMAVTPKEMYSNIFHVFIRISRE EGLKTLYFGFTPTVLGVIPYAGLSFFTYESLKSLHREYSGRPQPYPFERMVFGACAGLIG QSASYPLDVVRRRMQTAGVTGHQHGSILSTLRSIVREEGAVRGLYKGLSMNWLKGPIAVG ISFTTFDLMQILLRRLQS >ENSMUSP00000065903.7 pep:known chromosome:GRCm38:8:70184340:70212256:-1 gene:ENSMUSG00000002346.16 transcript:ENSMUST00000063788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a42 description:solute carrier family 25, member 42 [Source:MGI Symbol;Acc:MGI:1920345] MGNGVQEGSVRLREDAEAVLAGAVSSKRDHRQVLSSLLSGALAGALAKTAVAPLDRTKII FQVSSKRFSAKEAFRLLYFTYLNEGFLSLWRGNSATMVRVIPYAAIQFSAHEEYKRILGH YYGFRGEALPPWPRLLAGALAGTTAASLTYPLDLVRARMAVTPKEMYSNIFHVFIRISRE EGLKTLYFGFTPTVLGVIPYAGLSFFTYESLKSLHREYSGRPQPYPFERMVFGACAGLIG QSASYPLDVVRRRMQTAGVTGHQHGSILSTLRSIVREEGAVRGLYKGLSMNWLKGPIAVG ISFTTFDLMQILLRRLQS >ENSMUSP00000102077.1 pep:known chromosome:GRCm38:7:122107262:122132844:-1 gene:ENSMUSG00000044702.13 transcript:ENSMUST00000106469.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palb2 description:partner and localizer of BRCA2 [Source:MGI Symbol;Acc:MGI:3040695] MEELSGKPLSYAEKEKLKEKLAFLKKEYSRTLARLQRAKRAEKAKNSKKAIEDGVPQPEA SSQLSHSESINKGFPCDTLQSNHLDEETGENISQILDVEPQSFNCKQGKEVLHTPRAGDI QGQLLHSTSSPDGKKEQNTLPGTTKTPWEKSSVSQEKEDYFDTNSLALLDFELPDEDFGL LKLEKLKSCSEKLIESPDSKNCGERLPREGNHAALEELQRDSETEGLEEELTVPPGEAYR PGPTLRRQPGSKDLSSSIVLFTPADTAAPNDSGRPPPSLCSPAFPILGMTPALGSQAAGE TLSTEAAQPCSTSQPPLLGDTNSLVNNSKQCNSSACSPKPDTNLQASGRQGQPACDSDSG PQATPLPVESFTFRENQLCGNACLELHEHSTEQTETADRPACDNLNPGNLQLVSELKNPS SSCSVDVSAMWWERAGAKEPCIVTACEDVVSLWKPLNSLQWEKVHTWHFTEVPVLQIVPV PDVYNLICVALGSLEIREIRALLCSSGDDSEKQVLLKSGDIKAMLGLTKRRLVSSTGTFC NQQIQIMTFADDGSSKDEQLLMPPDETVLTFAEVQGTQEALLGTTTVNSIVIWNLKTGQL LKKMHIDDSYQASVCHGAYSEKGLLFVVVSQPCAKESQALGSPVFQLLVINPKTAQSVGV LLCSLPQGQAGRFLEGDVKDHVAAAVLTSGTIAIWDLLLGHCTALLPPVSDQSWSLVKWS GTDSHLLAGQKDGNIFIYRYF >ENSMUSP00000063514.6 pep:known chromosome:GRCm38:7:122107262:122132909:-1 gene:ENSMUSG00000044702.13 transcript:ENSMUST00000063587.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palb2 description:partner and localizer of BRCA2 [Source:MGI Symbol;Acc:MGI:3040695] MEELSGKPLSYAEKEKLKEKLAFLKKEYSRTLARLQTETADRPACDNLNPGNLQLVSELK NPSSSCSVDVSAMWWERAGAKEPCIVTACEDVVSLWKPLNSLQWEKVHTWHFTEVPVLQI VPVPDVYNLICVALGSLEIREIRALLCSSGDDSEKQVLLKSGDIKAMLGLTKRRLVSSTG TFCNQQIQIMTFADDGSSKDEQLLMPPDETVLTFAEVQGTQEALLGTTTVNSIVIWNLKT GQLLKKMHIDDSYQASVCHGAYSEKGLLFVVVSQPCAKESQALGSPVFQLLVINPKTAQS VGVLLCSLPQGQAGRFLEGDVKDHVAAAVLTSGTIAIWDLLLGHCTALLPPVSDQSWSLV KWSGTDSHLLAGQKDGNIFIYRYF >ENSMUSP00000095675.3 pep:known chromosome:GRCm38:7:122107262:122132946:-1 gene:ENSMUSG00000044702.13 transcript:ENSMUST00000098068.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palb2 description:partner and localizer of BRCA2 [Source:MGI Symbol;Acc:MGI:3040695] MEELSGKPLSYAEKEKLKEKLAFLKKEYSRTLARLQRAKRAEKAKNSKKAIEDGVPQPEA SSQLSHSESINKGFPCDTLQSNHLDEETGENISQILDVEPQSFNCKQGKEVLHTPRAGDI QGQLLHSTSSPDGKKEQNTLPGTTKTPWEKSSVSQEKEDYFDTNSLALLGKHRKGQESIS RKNSRTPVSEKTHLLSLRSQIPDPPALVTGIGEGILIPPSGKSERGIDTLVRGNTVSAEA AVPSCTASNSNHSQHLEHTPPKSGCKITTQGPASSTNLVAQDQKMTIFTVNSVVYKAVRA HGQLPGSPNSCSVNDLTHSNLPANSTPNSKSLKSPSNTVDERNEPLQEDEILGPSKNFNL AAVSPPSTESQIHSCTMLEGLLFPAEYYVRTTRRMSDCQRKIALEAVIQSHLGVKKKELK KKTKATKAVVLSSEDTDQSESGMLDTSTGQSSSGSLSQKLLSPAEVSSPPGPAGKATTPP PGRGHRGKRKSARTSTLGHCQLLFPPCAALAVNRSKGKFTKHKCQNRGVVIHDFELPDED FGLLKLEKLKSCSEKLIESPDSKNCGERLPREGNHAALEELQRDSETEGLEEELTVPPGE AYRPGPTLRRQPGSKDLSSSIVLFTPADTAAPNDSGRPPPSLCSPAFPILGMTPALGSQA AGETLSTEAAQPCSTSQPPLLGDTNSLVNNSKQCNSSACSPKPDTNLQASGRQGQPACDS DSGPQATPLPVESFTFRENQLCGNACLELHEHSTEQTETADRPACDNLNPGNLQLVSELK NPSSSCSVDVSAMWWERAGAKEPCIVTACEDVVSLWKPLNSLQWEKVHTWHFTEVPVLQI VPVPDVYNLICVALGSLEIREIRALLCSSGDDSEKQVLLKSGDIKAMLGLTKRRLVSSTG TFCNQQIQIMTFADDGSSKDEQLLMPPDETVLTFAEVQGTQEALLGTTTVNSIVIWNLKT GQLLKKMHIDDSYQASVCHGAYSEKGLLFVVVSQPCAKESQALGSPVFQLLVINPKTAQS VGVLLCSLPQGQAGRFLEGDVKDHVAAAVLTSGTIAIWDLLLGHCTALLPPVSDQSWSLV KWSGTDSHLLAGQKDGNIFIYRYF >ENSMUSP00000118059.1 pep:known chromosome:GRCm38:7:122107267:122124445:-1 gene:ENSMUSG00000044702.13 transcript:ENSMUST00000131657.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Palb2 description:partner and localizer of BRCA2 [Source:MGI Symbol;Acc:MGI:3040695] CNSSACSPKPDTNLQASD >ENSMUSP00000123041.1 pep:known chromosome:GRCm38:7:122111572:122132888:-1 gene:ENSMUSG00000044702.13 transcript:ENSMUST00000142952.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Palb2 description:partner and localizer of BRCA2 [Source:MGI Symbol;Acc:MGI:3040695] MEELSGKPLSYAEKEKLKEKLAFLKKEYSRTLARLQNL >ENSMUSP00000102076.1 pep:known chromosome:GRCm38:7:122111572:122132917:-1 gene:ENSMUSG00000044702.13 transcript:ENSMUST00000106468.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palb2 description:partner and localizer of BRCA2 [Source:MGI Symbol;Acc:MGI:3040695] MEELSGKPLSYAEKEKLKEKLAFLKKEYSRTLARLQRAKRAEKAKNSKKAIEDGVPQPEA SSQLSHSESINKGFPCDTLQSNHLDEETGENISQILDVEPQSFNCKQGKEVLHTPRAGDI QGQLLHSTSSPDGKKEQNTLPGTTKTPWEKSSVSQEKEDYFDTNSLALLGKHRKGQESIS RKNSRTPVSEKTHLLSLRSQIPDPPALVTGIGEGILIPPSGKSERGIDTLVRGNTVSAEA AVPSCTASNSNHSQHLEHTPPKSGCKITTQGPASSTNLVAQDQKMTIFTVNSVVYKAVRA HGQLPGSPNSCSVNDLTHSNLPANSTPNSKSLKSPSNTVDERNEPLQEDEILGPSKNFNL AAVSPPSTESQIHSCTMLEGLLFPAEYYVRTTRRMSDCQRKIALEAVIQSHLGVKKKELK KKTKATKAVVLSSEDTDQSESGMLDTSTGQSSSGSLSQKLLSPAEVSSPPGPAGKATTPP PGRGHRGKRKSARTSTLGHCQLLFPPCAALAVNRSKGKFTKHKCQNRGVVIHDFELPDED FGLLKLEKLKSCSEKLIESPDSKNCGERLPREGNHAALEELQRDSETEGLEEELTVPPGE AYRPGPTLRRQPGSKDLSSSIVLFTPADTAAPNDSGRPPPSLCSPAFPILGMTPALGSQA AGETLSTEAAQPCSTSQPPLLGDTNSLVNNSKQCNSSACSPKPDTNLQASGRQGQPACDS DSGPQATPLPVESFTFRENQLCGNACLELHEHSTEQTETADRPACDNLNPGNLQLVSELK NPSSSCSVDVSAMWWERAGAKEPCIVTACEDVVSLWKPLNSLQWEKVHTWHFTEVPVLQI VPVPDVYNLICVALGSLEIREIRALLCSSGDDSEKQVLLKSGDIKAMLGLTKRRLVSSTG TFCNQQIQIMTFADDGSSKDEQLLMPPDETVLTFAEVQGTQEALLGTTTVNSIVIWNLKT GQLLKKMHIDDSYQASVCHGAYSEKVPCSPGWPAPVYVLSKMTLNLRFPASTSPVLGFLA QVRLSYNPID >ENSMUSP00000037039.6 pep:known chromosome:GRCm38:15:83563592:83574203:1 gene:ENSMUSG00000041736.7 transcript:ENSMUST00000047419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspo description:translocator protein [Source:MGI Symbol;Acc:MGI:88222] MPESWVPAVGLTLVPSLGGFMGAYFVRGEGLRWYASLQKPSWHPPRWTLAPIWGTLYSAM GYGSYIVWKELGGFTEDAMVPLGLYTGQLALNWAWPPIFFGARQMGWALADLLLVSGVAT ATTLAWHRVSPPAARLLYPYLAWLAFATVLNYYVWRDNSGRRGGSRLPE >ENSMUSP00000146965.1 pep:known chromosome:GRCm38:15:83563875:83572325:1 gene:ENSMUSG00000041736.7 transcript:ENSMUST00000208463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspo description:translocator protein [Source:MGI Symbol;Acc:MGI:88222] MPESWVPAVGLTLVPSLGGFMGAYFVRGEGLRWYASLQKPSWHPPRWTLAPIWGTLYSAM GYGSYIVWKELGGFTEDAMVPLGLYTGQLALNWAWPPIFFGAR >ENSMUSP00000031967.5 pep:known chromosome:GRCm38:6:40514824:40519508:-1 gene:ENSMUSG00000029909.6 transcript:ENSMUST00000031967.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss37 description:protease, serine 37 [Source:MGI Symbol;Acc:MGI:1914940] MKLIIYLTILAGTALVTHSSVQKEDHAPYLAYLKSNFNPCVGVLIKASWVLAPSHCYLPN LRVMLGNFKSRVRDGTEQTIYPIQIIRYWNYSHTAPQDDLMLIKLAKPATFNHKVQVLPI ATTNVRPGTVCTLSGLDWSQENNGRHPDLRQNLEAPVMTDKDCQKTQQGSSHRNSLCVRF VKVFSRIFGEVAVATVICKNKLQGIEVGHFMGGDVGIYTNIYSYVPWIEKTTKEKMT >ENSMUSP00000040262.7 pep:known chromosome:GRCm38:9:42329622:42399929:-1 gene:ENSMUSG00000037705.13 transcript:ENSMUST00000042190.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tecta description:tectorin alpha [Source:MGI Symbol;Acc:MGI:109575] MNYSSLLRIWVSFIFALVRHQAQPRELMYPFWQNDTRTPKVDDGSSSEIKLAIPVFFFGV PYRTVYVNNNGVVSFNVLVSQFTPESFPLTDGRAFIAPFWADVHNGIRGEIYYRETMDPA ILRRATKDIRKYFKDMTTFSATWVFIVTWEEVTFYGGSSTTPVNTFQAVLVSDGSYTFTL FNYYEINWTTGTASGGDPLTGLGGVMAQAGFNGGNLTNFFSLPGSRTPEIVNIQETTNVN VPGRWAFKVDGKEIDPANGCTSRGQFLRRGEVFWDDLNCTIKCRCLDFNNEIYCQEASCS PYEVCEPKGRFFYCSPVETSTCVVFGEPHYHTFDGFLFHFQGSCAYLLARQCLQTSSLPF FSVEAKNEHRGGSAVSWVKELSVEVNGYKILIPKGSYGKVKVNDLVTSLPVTLELGAVKI YQSGMSTAVETDFGLLVTFDGQHYASISIPGSYINSTCGLCGNYNKNPLDDFLRPDGRPA MSVLDLGESWRVYHADWKCGSGCVDNCTQCDAATEALYFGSDYCGFLNKTDGPLWECGTV VDATAFVHSCVYDLCSVRDNGTLLCQAIQAYALVCQALGIPIGDWRIQTGCVSTVRCPSF SHYSVCTSSCPDTCSDLTASQNCATPCTEGCECNEGFVLSTSQCVPLHKCGCDFDGHYYT MGEFFWATANCTVQCLCEEGGDVYCFNKTCRSGEVCAVEDGYQGCFPKRETVCLLSQNQV LHTFDGAAYAFPSELSYTLLKTCPERPEYLEIDINKKKPDAGPAWLRGVRILVADQEVKI GGVGALEVKLNGQDVELPFFHPSGRLEIHRNKNSTTVESKGVVSVQYSDVGLLYIRLSTM YFNCTGGLCGFFNANASDEFCLPNGKCTDNLAVFLESWTTFEEICNGECGDLLKACNNDS ELLKFYRSRSRCGIINDPSNSSFLECHGVVNVTAYYRTCLFRLCQSGGNESELCDSVARY ASACKNADVEVGPWRTYDFCPLECPENSHFEECMTCTETCETLALGPICVDSCSEGCQCD EGYALQGSQCVPRSECGCNFEGHQLATNETFWVDQDCQIFCYCNGTDNSVHCETIPCRDD EYCMEESGLYYCQPRTDASCIVSGYGHYLTFDGYPFDFQTSCPLILCTTGSRPISDSFPK FIVTAKNEDRDPSLALWVKQVDVNVFGYSIVIHRAYKHTVLVNNERLYLPLKLGQGKINI FSFGFHVVVETDFGLKVVYDWKTFLSITVPRSMQNGTYGLCGRYNGNPDDDLEMPMGLPA LSINEFGQSWVKRDTFCQVGCGDRCPSCAKVEGFSKVQQLCSLIPNQNAGFAKCHSKVNP TFFYKNCLFDSCIDGGAVQTACSWLQNYASTCQTQGIAVTGWRNYTSCSVTCPPNSHYES CVSVCQPRCAAIRLKSDCNHYCVEGCQCDAGYVLNGKSCILPHNCGCYSDGKYYEPKQLF WNGDCTRRCRCFRRNLIQCDPRQCKSDEECALRSGVRGCFSTKTSYCLAAGGGVFRTFDG AFLRFPANCAFVLSTICQKLPDISFQLIINFDKWSSPNLTIISPVYFYINEEQILINDRN TVKVNGTQVNVPFITGLATKIYSSEGFLVIDTSPDIQIYYNGFNVIKISISERLQNKVCG LCGNFNGDMTDDYVTLRGKPVVSSVVLAQSWKTNGMQKRPLAPSCNELQFSQYAATCDNV HIQAMQGDGYCLKLTDMKGFFQPCYGLLDPLPFYESCYLDGCYNHKKFQLCGSLAAYGEA CRSFGILSTEWIEKENCSGVVEDPCVGADCPNRTCELDNGGELCGCIEPPPYGNNSHDII DAEVTCKAAQMEVSISKCKLFQLGFEREGVRINDRQCSGIEGEDFISFQINNTKGNCGNI VQSNGTHIMYKNTIWIESANNTGNIITRDRTINVEFSCAYELDIKISLDSVVKPMLSVIN LTVPTQEGSFTTKMALYKNASYKHPYRQGEVVLTTRDVLYVGVFVVGADSTHLILTLNKC YATPSRDSNDKLRYFIIEGGCQNIKDNTIGIEENGVSLTCRFHVTVFKFIGDYDEVHLHC AVSLCDSEKYSCKINCPQNSRIATDYSKEHKEQIISVGPIRRKRLDWCEDNGGCEQICTS RVDGPLCSCVTGSLQEDGRSCRASNSSVELQVWTLLLIMTQISLWHLIYKSGATS >ENSMUSP00000125370.1 pep:known chromosome:GRCm38:9:42329695:42399727:-1 gene:ENSMUSG00000037705.13 transcript:ENSMUST00000160940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tecta description:tectorin alpha [Source:MGI Symbol;Acc:MGI:109575] MNYSSLLRIWVSFIFALVRHQAQPRELMYPFWQNDTRTPKVDDGSSSEIKLAIPVFFFGV PYRTVYVNNNGVVSFNVLVSQFTPESFPLTDGRAFIAPFWADVHNGIRGEIYYRETMDPA ILRRATKDIRKYFKDMTTFSATWVFIVTWEEVTFYGGSSTTPVNTFQAVLVSDGSYTFTL FNYYEINWTTGTASGGDPLTGLGGVMAQAGFNGGNLTNFFSLPGSRTPEIVNIQETTNVN VPGRWAFKVDGKEIDPANGCTSRGQFLRRGEVFWDDLNCTIKCRCLDFNNEIYCQEASCS PYEVCEPKGRFFYCSPVETSTCVVFGEPHYHTFDGFLFHFQGSCAYLLARQCLQTSSLPF FSVEAKNEHRGGSAVSWVKELSVEVNGYKILIPKGSYGKVKVNDLVTSLPVTLELGAVKI YQSGMSTAVETDFGLLVTFDGQHYASISIPGSYINSTCGLCGNYNKNPLDDFLRPDGRPA MSVLDLGESWRVYHADWKCGSGCVDNCTQCDAATEALYFGSDYCGFLNKTDGPLWECGTV VDATAFVHSCVYDLCSVRDNGTLLCQAIQAYALVCQALGIPIGDWRIQTGCVSTVRCPSF SHYSVCTSSCPDTCSDLTASQNCATPCTEGCECNEGFVLSTSQCVPLHKCGCDFDGHYYT MGEFFWATANCTVQCLCEEGGDVYCFNKTCRSGEVCAVEDGYQGCFPKRETVCLLSQNQV LHTFDGAAYAFPSELSYTLLKTCPERPEYLEIDINKKKPDAGPAWLRGVRILVADQEVKI GGVGALEVKLNGQDVELPFFHPSGRLEIHRNKNSTTVESKGVVSVQYSDVGLLYIRLSTM YFNCTGGLCGFFNANASDEFCLPNGKCTDNLAVFLESWTTFEEICNGECGDLLKACNNDS ELLKFYRSRSRCGIINDPSNSSFLECHGVVNVTAYYRTCLFRLCQSGGNESELCDSVARY ASACKNADVEVGPWRTYDFCPLECPENSHFEECMTCTETCETLALGPICVDSCSEGCQCD EGYALQGSQCVPRSECGCNFEGHQLATNETFWVDQDCQIFCYCNGTDNSVHCETIPCRDD EYCMEESGLYYCQPRTDASCIVSGYGHYLTFDGYPFDFQTSCPLILCTTGSRPISDSFPK FIVTAKNEDRDPSLALWVKQVDVNVFGYSIVIHRAYKHTVLVNNERLYLPLKLGQGKINI FSFGFHVVVETDFGLKVVYDWKTFLSITVPRSMQNGTYGLCGRYNGNPDDDLEMPMGLPA LSINEFGQSWVKRDTFCQVGCGDRCPSCAKVEGFSKVQQLCSLIPNQNAGFAKCHSKVNP TFFYKNCLFDSCIDGGAVQTACSWLQNYASTCQTQGIAVTGWRNYTSCSVTCPPNSHYES CVSVCQPRCAAIRLKSDCNHYCVEGCQCDAGYVLNGKSCILPHNCGCYSDGKYYEPKQLF WNGDCTRRCRCFRRNLIQCDPRQCKSDEECALRSGVRGCFSTKTSYCLAAGGGVFRTFDG AFLRFPANCAFVLSTICQKLPDISFQLIINFDKWSSPNLTIISPVYFYINEEQILINDRN TVKVNGTQVNVPFITGLATKIYSSEGFLVIDTSPDIQIYYNGFNVIKISISERLQNKVCG LCGNFNGDMTDDYVTLRGKPVVSSVVLAQSWKTNGMQKSCNELQFSQYAATCDNVHIQAM QGDGYCLKLTDMKGFFQPCYGLLDPLPFYESCYLDGCYNHKKFQLCGSLAAYGEACRSFG ILSTEWIEKENCSGVVEDPCVGADCPNRTCELDNGGELCGCIEPPPYGNNSHDIIDAEVT CKAAQMEVSISKCKLFQLGFEREGVRINDRQCSGIEGEDFISFQINNTKGNCGNIVQSNG THIMYKNTIWIESANNTGNIITRDRTINVEFSCAYELDIKISLDSVVKPMLSVINLTVPT QEGSFTTKMALYKNASYKHPYRQGEVVLTTRDVLYVGVFVVGADSTHLILTLNKCYATPS RDSNDKLRYFIIEGGCQNIKDNTIGIEENGVSLTCRFHVTVFKFIGDYDEVHLHCAVSLC DSEKYSCKINCPQNSRIATDYSKEHKEQIISVGPIRRKRLDWCEDNGGCEQICTSRVDGP LCSCVTGSLQEDGRSCRASNSSVELQVWTLLLIMTQISLWHLIYKSGATS >ENSMUSP00000008878.8 pep:known chromosome:GRCm38:7:118972047:118995211:-1 gene:ENSMUSG00000008734.9 transcript:ENSMUST00000008878.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5b description:G protein-coupled receptor, family C, group 5, member B [Source:MGI Symbol;Acc:MGI:1927596] MFLVLERKMRTHQVFPLPLLLVIASVASENASTSRGCGLDLLPQYVSLCDLDAIWGIVVE AVAGAGALITLLLMLILLVRLPFIKDKERKRPVCLHFLFLLGTLGLFGLTFAFIIQMDET ICSIRRFLWGVLFALCFSCLLSQAWRVRRLVRQGTSPASWQLVSLALCLMLVQVIIATEW LVLTVLRDTKPACAYEPMDFVMALIYDMVLLAITLAQSLFTLCGKFKRWKVNGAFILVTT FLSALIWVVWMTMYLFGNSLIKQGDAWSDPTLAITLAASGWVFVIFHAIPEIHYTLLPPL QENPPNYFDTSQPRMRETAFDEEMHLPRAYMENKAFSMDEHNAALRSAVGFSNGSLEQRS SSLGKKPSSLGNRPSAPFRSNVYQPTEMAVVLNGGTIPTAPPSHTGRHHW >ENSMUSP00000146777.1 pep:known chromosome:GRCm38:7:118973009:118984644:-1 gene:ENSMUSG00000008734.9 transcript:ENSMUST00000208394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5b description:G protein-coupled receptor, family C, group 5, member B [Source:MGI Symbol;Acc:MGI:1927596] MFLVLERKMRTHQVFPLPLLLVIASVASENASTSRGCGLDLLPQYVSLCDLDAIWGIVVE AVAGAGALITLLLMLILLVRLPFIKDKERKRPVCLHFLFLLGTLGLFGLTFAFIIQMDET ICSIRRFLWGVLFALCFSCLLSQAWRVRRLVRQGTSPASWQLVSLALCLMLVQVIIATEW LVLTVLRDTKPACAYEPMDFVMALIYDMVLLAITLAQSLFTLCGKFKRWKVNGAFILVTT FLSALIWVVWMTMYLFGNSLIKQGDAWSDPTLAITLAASGWVFVIFHAIPEIHYTLLPPL QENPPNYFDTSQPRMRETAFDEEMHLPRAYMENKAFSMDEHNAALRSAVGFSNGSLEQRS SSLGKKPSSLGNRPSAPFRSNVYQPTEMAVVLNGGTEMAVHPRSLESFGAF >ENSMUSP00000050893.4 pep:known chromosome:GRCm38:1:174249889:174250976:-1 gene:ENSMUSG00000050788.4 transcript:ENSMUST00000061990.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr419 description:olfactory receptor 419 [Source:MGI Symbol;Acc:MGI:3030253] MVESNVTCWQGFVFLGFSSFGELQLLLFVLFLSLYLVTITSNVFIIIVIRLDSHLHTPMY LFLSFLSFSETCYTLGIIPRMLSGLVMGGQAISFMGCATQMFFSASWACTNCFLLSVMGF DRYVAICAPLHYASRMNPTVCAQLVGTSFLSGYLFGLGMTLVIFRLSFCSSHEIQHFFCD TPPVLSLACGDTRLSELGILILSLLVLLVSFFLISVSYAYILVAILRIPSAEGRRKAFST CASHLTVVVIHYGCASFMYLRPKASYSLERDQLIAVTYTVATPLLNPIVYSLRNRAVQTA LRNAFRGSLLGKG >ENSMUSP00000123935.1 pep:known chromosome:GRCm38:15:88805244:88819318:-1 gene:ENSMUSG00000035845.17 transcript:ENSMUST00000162183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg12 description:asparagine-linked glycosylation 12 (alpha-1,6-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:2385025] MAGKKSSGKRSWPLLGLLVTVATIHLVICPYTKVEESFNLQATHDLLYHQLDIDKYDHHE FPGVVPRTFLGPLVIAAFSSPVVYVLSLLEVSKFYSQLIVRGVLGLGVISGLWTLQKEVR QQFGATVAVMFCWISATQFHLMFYCTRTLPNVLALAVVLPALTAWLQRRWALFVWLSAFV IIGFRAELAMLLGIALLLTLYQRRLTVARVLRHAIPAGLLCLGLTVAVDSYFWRYLVWPE GVVLWYNTVLNKSSNWGTSPLLWYFYSALPRGLGCSLLFIPLGAVDRRTYALALPSLGFV ALYSLLPHKELRFIIYTFPVLNIMAARGCTYILNKKSWPYKVRAMLVTGHILVNVAYTAT SLYVSHFNYPGGVAMQQLHELVPPQTDVLLHIDVAAAQTGVSRFLQVNDDWRYDKSEDVG AAAMLNYTHILMEAVPGHPALYRDTHRVLASIEGTTGISLNLMKLPPFDVNLQTKLVLLE RLLRPA >ENSMUSP00000043480.8 pep:known chromosome:GRCm38:15:88805245:88819285:-1 gene:ENSMUSG00000035845.17 transcript:ENSMUST00000043087.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg12 description:asparagine-linked glycosylation 12 (alpha-1,6-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:2385025] MAGKKSSGKRSWPLLGLLVTVATIHLVICPYTKVEESFNLQATHDLLYHQLDIDKYDHHE FPGVVPRTFLGPLVIAAFSSPVVYVLSLLEVSKFYSQLIVRGVLGLGVISGLWTLQKEVR QQFGATVAVMFCWISATQFHLMFYCTRTLPNVLALAVVLPALTAWLQRRWALFVWLSAFV IIGFRAELAMLLGIALLLTLYQRRLTVARVLRHAIPAGLLCLGKLASLVCLLNKKSWPYK VRAMLVTGHILVNVAYTATSLYVSHFNYPGGVAMQQLHELVPPQTDVLLHIDVAAAQTGV SRFLQVNDDWRYDKSEDVGAAAMLNYTHILMEAVPGHPALYRDTHRVLASIEGTTGISLN LMKLPPFDVNLQTKLVLLERLLRPA >ENSMUSP00000125534.1 pep:known chromosome:GRCm38:15:88814608:88819092:-1 gene:ENSMUSG00000035845.17 transcript:ENSMUST00000159328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg12 description:asparagine-linked glycosylation 12 (alpha-1,6-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:2385025] MAGKKSSGKRSWPLLGLLVTVATIHLVICPYTKVEESFNLQATHDLLYHQLDIDKYDHHE FPGVVPRTFLGPLVIAAFSSPVVYVLSLLEVSKFYSQLIVRGVLGLGVISGLWTLQKEVR QQFGATVAVMFCWISATQFHLMFYCTRTLPNVLALAVVLPALTAWLQRRWA >ENSMUSP00000146286.1 pep:known chromosome:GRCm38:7:27807196:27822916:1 gene:ENSMUSG00000030604.9 transcript:ENSMUST00000205671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp626 description:zinc finger protein 626 [Source:MGI Symbol;Acc:MGI:1918413] MASSSSQDMVCGSVTFRDVAVDFSQEEWAFLDATQKVLYRDMMLETYSNLVAVVGSCISK PDLIVLLEQEKEPWMAVKEETDWPSPDLEADYDAENVFPENHSYNRNSPKQDIRQSSKTF DFRGSRFSDGPKCSTFQGLQGCQEGDAGQQVTKKEGVPPYTCQTLAHSLQKPYECKQCGK CFNCSSTLSQHQSVHAGEKPYECKEYGKVFRLPQQLTRHQKSHSSEKPFECNECGKAFHL PHLLQNHKTTHTGTKAFECEECGKIFNRVSNLVAHRIIHADVKPYKCNECGKAFKRRSYY MQHQKIHSGERPFQCKECGKAFIFLAHLNGHQMIHTGEKSFACKECGKAFRLLQQLTRHQ KFHSGDRPFKCNECGKAFPFPDRLKLHKTIHTNTKPFECRECGKSFKCVSHLAQHRIIHA DVKPYECSECGKAFNRRSNLIQHQKIHSGEKPFECKDCGKAFTVLPQLTRHQRIHTGEKP FECKECGKKFYTGPHLAQHQRVHTGEKPFECNVCGKAFRLRACLSEHQKTHIEEKPFKCK LCGSAFRSRYQLSLHRQTHPHVRPFQCQECGKAYILLAQLIQHQTVHTGERSFECKECGK KFHTGSHLVQHQHFHTGEKPFECHVCGKAFRFQVYLSEHQKTHIEEKPFKCKVCGSVFRH RYQLSEHRLAHIDVRP >ENSMUSP00000079068.6 pep:known chromosome:GRCm38:7:27810813:27818669:1 gene:ENSMUSG00000030604.9 transcript:ENSMUST00000080175.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp626 description:zinc finger protein 626 [Source:MGI Symbol;Acc:MGI:1918413] MAVKEETDWPSPDLEADYDAENVFPENHSYNRNSPKQDIRQSSKTFDFRGSRFSDGPKCS TFQGLQGCQEGDAGQQVTKKEGVPPYTCQTLAHSLQKPYECKQCGKCFNCSSTLSQHQSV HAGEKPYECKEYGKVFRLPQQLTRHQKSHSSEKPFECNECGKAFHLPHLLQNHKTTHTGT KAFECEECGKIFNRVSNLVAHRIIHADVKPYKCNECGKAFKRRSYYMQHQKIHSGERPFQ CKECGKAFIFLAHLNGHQMIHTGEKLLQQLTR >ENSMUSP00000033070.7 pep:known chromosome:GRCm38:7:127912516:127925837:1 gene:ENSMUSG00000030801.10 transcript:ENSMUST00000033070.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat8 description:K(lysine) acetyltransferase 8 [Source:MGI Symbol;Acc:MGI:1915023] MAAQGATAAVAATTSGTVGEGEPGPGENAAVEGPARSPGRVSPPTPARGEPEVTVEIGET YLCRRPDSTWHSAEVIQSRVNDQEGREEFYVHYVGFNRRLDEWVDKNRLALTKTVKDAVQ KNSEKYLSELAEQPERKITRNQKRKHDEINHVQKTYAEMDPTTAALEKEHEAITKVKYVD KIHIGNYEIDAWYFSPFPEDYGKQPKLWLCEYCLKYMKFEKSYRFHLGQCQWRQPPGKEI YRKSNISVYEVDGKDHKIYCQNLCLLAKLFLDHKTLYFDVEPFVFYILTEVDRQGAHIVG YFSKEKESPDGNNVACILTLPPYQRRGYGKFLIAFSYELSKLESTVGSPEKPLSDLGKLS YRSYWSWVLLEILRDFRGTLSIKDLSQMTSITQNDIISTLQSLNMVKYWKGQHVICVTPK LVEEHLKSAQYKKPPITVDSVCLKWAPPKHKQVKLSKK >ENSMUSP00000145731.1 pep:known chromosome:GRCm38:7:127914155:127920511:1 gene:ENSMUSG00000030801.10 transcript:ENSMUST00000205357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat8 description:K(lysine) acetyltransferase 8 [Source:MGI Symbol;Acc:MGI:1915023] MWLLGFELRTFERAVGCPYPLSHLTSPPSLFISCGDPILDPFRQNSAEVIQSRVNDQEGR EEFYVHYVGFNRRLDEWVDKNRLALTKTVKDAVQKNSEKYLSELAEQPERKITRNQKRKH DEINHVQKTYAEMDPTTAALEKEHEAITKVKYVDKIHIGNYEIDAWYFSPFPEDYG >ENSMUSP00000107955.1 pep:known chromosome:GRCm38:5:113058258:113070117:-1 gene:ENSMUSG00000042240.14 transcript:ENSMUST00000112336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb2 description:crystallin, beta B2 [Source:MGI Symbol;Acc:MGI:88519] MASDHQTQAGKPQPLNPKIIIFEQENFQGHSHELSGPCPNLKETGMEKAGSVLVQAGPWV GYEQANCKGEQFVFEKGEYPRWDSWTSSRRTDSLSSLRPIKVDSQEHKIILYENPNFTGK KMEIVDDDVPSFHAHGYQEKVSSVRVQSGTWVGYQYPGYRGLQYLLEKGDYKDNSDFGAP HPQVQSVRRIRDMQWHQRGAFHPSS >ENSMUSP00000031295.7 pep:known chromosome:GRCm38:5:113058265:113068766:-1 gene:ENSMUSG00000042240.14 transcript:ENSMUST00000031295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb2 description:crystallin, beta B2 [Source:MGI Symbol;Acc:MGI:88519] MASDHQTQAGKPQPLNPKIIIFEQENFQGHSHELSGPCPNLKETGMEKAGSVLVQAGPWV GYEQANCKGEQFVFEKGEYPRWDSWTSSRRTDSLSSLRPIKVDSQEHKIILYENPNFTGK KMEIVDDDVPSFHAHGYQEKVSSVRVQSGTWVGYQYPGYRGLQYLLEKGDYKDNSDFGAP HPQVQSVRRIRDMQWHQRGAFHPSS >ENSMUSP00000027816.4 pep:known chromosome:GRCm38:1:174330518:174332873:1 gene:ENSMUSG00000026531.4 transcript:ENSMUST00000027816.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mptx1 description:mucosal pentraxin 1 [Source:MGI Symbol;Acc:MGI:1913539] MEKLIVGILFLSVLSGSVAETDMKGKAFIFPQESSTAYVSLIPKVRKSLQNFTLCMKTFT DLTRPYSIFSYNTRNQDNEILLFVENIGEYMFYVGNMVATFKAPTNLPDPARICVNWESG SGIAEFWLNGKPLGRKGLKKGYTVGGDAMITLGQEQDSYGGNFDAKQSFVGEIWDVSLWD HVVPLEKVSDSCNNGNLINWQALNYEDNGYVVTKPKLWP >ENSMUSP00000103690.2 pep:known chromosome:GRCm38:4:41390745:41464840:-1 gene:ENSMUSG00000028438.16 transcript:ENSMUST00000108055.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif24 description:kinesin family member 24 [Source:MGI Symbol;Acc:MGI:1918345] MASWLYECLCEAELAQYYPHFTALGLQKIDELAKVTMKDYSRLGVHDMNDRKRLFQLIKI IKIMQEEDKALGIPEHPLQASSLYTKPREFRSGPRRQLHFDSPSASKDKMANNETGSLSN FSVDEQKSTYLKVLEHMLPDDSQCQTKIRAPDASAADASMQTETNAPLFSSNYFSPQLGN CDIPVIQRVSHVSGYNYGIPHSCVRQITSENPWTEMEKIRVCVRKRPLGVREVRRGEVNV ITVEDKETLLVHEKKEAVDLTQYILQHVFYFDEVFGEACSNQDVYLKTAHPLIQHIFNGG SATCFAYGQTGAGKTYTMIGTHQNPGLYALAAKDIFRQLKVSQSRRNLFVWISFYEIYCG QLYDLLNRRKRLFAREDSKHVVQIAGLRELQVDSVELLLQVILKGSKERSTGATGVNADS SRSHAIIQIQIKDSAKRTFGRISFIDLAGSERAADARDSDRQTKMEGAEINQSLLALKEC IRALDQEHTHTPFRQSKLTQVLKDSFIGNAKTCMIANISPSHIATEHTLNTLRYADRVKE LKKGVKCCASATSQNQTSANASPKRIQSSPVTLPGDKCSPKKVKLGLQQSLTVAPGPTKV KAHPLASHVPNVPFTSGPKTPGKKSSSRGSPTPEWDMKASPRKGTTRSGHSIKKGAESAP LCSEKSQIGSKIAVGWEGRASDPGEGLLRVRLPTRGKKVQPVQPVQKQLLSRPRLLANSH HLEATQDSKVGTPAGLAPEAWTNPILQQKEREEHLRFYHQQFQQPPLLKQKLNYQPLQRL LCQHRPSEGRLQSETGFPLHSNPENRDGAQAEDLDDSDFSEDSFSHGSSQPAMKQGSTAL ERSGSSFFLHQDREHSPEEQAAERQQCLLFSSETDGSKKRPADSWVYSRDPIISHRRGAL SQSHSPSMVCPDWSKEEDSASSGPSPKDNRAQKPSSSQVDFVHHQKPGEAQVSDIRLEAF TSEVPEQAEGSLSSPSPENGLSFPLSHVAVSGSPDQRDRVCTPLREVSENRVTHTPGRVN SSTPFQEDSGEQIQMCSANASGLMAPLTMSLLETPCHEDLSSLEQIAQDGAGYGFMAEIV GGPAAGHTVPSYDQEAALPVSSATECLWLSSSPPDNRPSGDLPALSPSPIHQHSPDKLPG REAYQTRRPILLPENHMGSKLYDDRAEETELGGSLTFPRKPSSNIHAGVPYSTPFLTSCT GSSNGVGRPWAQERKHPTGVSCQELVSSTDSNKPHYNEDIAWLRHRPISRCLDSDSPVVP SCSSKALRTYCPLTPEQAQQVIIRAHKEQLDEMAELDLKEETLMTQMDSNDFEDFVTQLD EIMALKSRCIQSLRSQLQLYLTSHRPAAAPERTVVS >ENSMUSP00000030148.5 pep:known chromosome:GRCm38:4:41391611:41464848:-1 gene:ENSMUSG00000028438.16 transcript:ENSMUST00000030148.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif24 description:kinesin family member 24 [Source:MGI Symbol;Acc:MGI:1918345] MASWLYECLCEAELAQYYPHFTALGLQKIDELAKVTMKDYSRLGVHDMNDRKRLFQLIKI IKIMQEEDKALGIPEHPLQASSLYTKPREFRSGPRRQLHFDSPSASKDKMANNETGSLSN FSVDEQKSTYLKVLEHMLPDDSQCQTKIRAPDASAADASMQTETNAPLFSSNYFSPQLGN CDIPVIQRVSHVSGYNYGIPHSCVRQITSENPWTEMEKIRVCVRKRPLGVREVRRGEVNV ITVEDKETLLVHEKKEAVDLTQYILQVILKGSKERSTGATGVNADSSRSHAIIQIQIKDS AKRTFGRISFIDLAGSERAADARDSDRQTKMEGAEINQSLLALKECIRALDQEHTHTPFR QSKLTQVLKDSFIGNAKTCMIANISPSHIATEHTLNTLRYADRVKELKKGVKCCASATSQ NQTSANASPKRIQSSPVTLPGDKCSPKKVKLGLQQSLTVAPGPTKVKAHPLASHVPNVPF TSGPKTPGKKSSSRGSPTPEWDMKASPRKGTTRSGHSIKKGAESAPLCSEKSQIGSKIAV GWEGRASDPGEGLLRVRLPTRGKKVQPVQPVQKQLLSRPRLLANSHHLEATQDSKVGTPA GLAPEAWTNPILQQKEREEHLRFYHQQFQQPPLLKQKLNYQPLQRLLCQHRPSEGRLQSE TGFPLHSNPENRDGAQAEDLDDSDFSEDSFSHGSSQPAMKQGSTALERSGSSFFLHQDRE HSPEEQAAERQQCLLFSSETDGSKKRPADSWVYSRDPIISHRRGALSQSHSPSMVCPDWS KEEDSASSGPSPKDNRAQKPSSSQVDFVHHQKPGEAQVSDIRLEAFTSEVPEQAEGSLSS PSPENGLSFPLSHVAVSGSPDQRDRVCTPLREVSENRVTHTPGRVNSSTPFQEDSGEQIQ MCSANASGLMAPLTMSLLETPCHEDLSSLEQIAQDGAGYGFMAEIVGGPAAGHTVPSYDQ EAALPVSSATECLWLSSSPPDNRPSGDLPALSPSPIHQHSPDKLPGREAYQTRRPILLPE NHMGSKLYDDRAEETELGGSLTFPRKPSSNIHAGVPYSTPFLTSCTGSSNGVGRPWAQER KHPTGVSCQELVSSTDSNKPHYNEDIAWLRHRPISRCLDSDSPVVPSCSSKALRTYCPLT PEQAQQVIIRAHKEQLDEMAELDLKEETLMTQMDSNDFEDFVTQLDEIMALKSRCIQSLR SQLQLYLTSHRPAAAPERTVVS >ENSMUSP00000119009.1 pep:known chromosome:GRCm38:4:41428534:41464846:-1 gene:ENSMUSG00000028438.16 transcript:ENSMUST00000154535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif24 description:kinesin family member 24 [Source:MGI Symbol;Acc:MGI:1918345] MASWLYECLCEAELAQYYPHFTALGLQKIDELAKVTMKDYSRLGVHDMNDRKRLFQLIKI IKIMQEEDKALGIPEHPLQASSLYTKPREFRSGPRRQLHFDSPSASKDKMANNETGSLSN FSVDEQKSTYLKVLEHMLPDDS >ENSMUSP00000087947.5 pep:known chromosome:GRCm38:15:6579871:6663304:1 gene:ENSMUSG00000022148.15 transcript:ENSMUST00000090461.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyb description:FYN binding protein [Source:MGI Symbol;Acc:MGI:1346327] MAKFNTGSNPTEEAATSSRPFKVAGQSSPSGIQSRKNLFDNQGNASPPAGPSSMPKFGTT KPPLAAKPTYEEKPEKEPKPPFLKPTGGSPRFGTQPNSVSRDPEVKVGFLKPVSPKPTSL TKEDSKPVVLRPPGNKLHNLNQESDLKTPGPKPGPAPPVPENELKPGFSKVAGAKSKFMP AAQDTDSKPRFPRHTFGQKPSLSTEDSQEENTSKNVPVQKGSPVQLGAKSKGAPFKPPKE DPEDKDHGAPSSPFPGVVLKPAASRGSPGLSKNFEEKKEDRKTDLAKNIFLNKLNQEEPA RFPKAPSKLTAGTPWGQSQEKEGDKNSATPKQKALPPLSVLGPPPPKPNRPPNVDLTRFR KADSANSATKSQTPYSTTSLPPPPPTHPASQPPLPASHPAHPPVPSLPPRNIKPPLDLKH PINDENQDGVMHSDGTGNLEEEQESEGETYEDIDSSKERDKKREKEEKKRLELERKEQKE REKKEQELKKKFKLTGPIQVIHHAKACCDVKGGKNELSFKQGEDIEIIRITDNPEGKWLG RTARGSYGYIKTTAVEIDYDSLKRKKNSLNAVPPRLVEDDQDVYDDVAEQDAPNSHGQSG SGGMFPPPPTDDEIYDGIEEEDDDDGSVPQVDEKTNAWSWGILKMLKGKDDRKKSIREKP KVSESDNNEGSSLPSQHKQLDVGEEVYDDVDASDFPPPPAEMSQGMSVGRAKTEEKDPKK LKKQEKEEKDLRKKFKYDGEIRVLYSTKVASSLTSKKWGARDLQIKPGESLEVIQSTDDT KVLCRNEEGKYGYVLRSYLVDNDGEIYDDIADGCIYDND >ENSMUSP00000123895.1 pep:known chromosome:GRCm38:15:6643686:6660876:1 gene:ENSMUSG00000022148.15 transcript:ENSMUST00000163073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyb description:FYN binding protein [Source:MGI Symbol;Acc:MGI:1346327] XNEGMFPPPPTDDEIYDGIEEEDDDDGLPSQHKQLDVGEEVYDDVDASDFPPPPAEMSQG MSVGRAKTEEKDPKKLKKQEKEEKDLRKKFKYDGEIRVLYSTKVASSLTSKKWGARDLQI KPGESLEVIQSTDDTKVLCRNEEGKYGYVLRSYLVDNDGEIYDDIADGKSPAAPSTVTVK TAG >ENSMUSP00000124553.1 pep:known chromosome:GRCm38:15:6585002:6634806:1 gene:ENSMUSG00000022148.15 transcript:ENSMUST00000160612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyb description:FYN binding protein [Source:MGI Symbol;Acc:MGI:1346327] MNQSLCICVLQPDQGNEAFSKLCATKSQTPYSTTSLPPPPPTHPASQPPLPASHPAHPPV PSLPPRNIKPPLDLKHPINDENQDGVMHSDGTGNLEEEQESEGETYEDIDSS >ENSMUSP00000133652.1 pep:known chromosome:GRCm38:10:10335709:10411744:-1 gene:ENSMUSG00000050994.20 transcript:ENSMUST00000148816.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adgb description:androglobin [Source:MGI Symbol;Acc:MGI:3605549] KETVITDEAQDAVPKKPEQFLEISSPFLNYRMTPFTIPTETAILHCKLHLEKMNLQSLHW RTSTNLRLLVWTGI >ENSMUSP00000134378.1 pep:known chromosome:GRCm38:10:10335709:10472326:-1 gene:ENSMUSG00000050994.20 transcript:ENSMUST00000172530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgb description:androglobin [Source:MGI Symbol;Acc:MGI:3605549] MASKQAKRKEVHRINSAHGSDKSKDLYHFGSNVPPGSFEQKKGKFPIWPEWSEADINAEK WDAGKGGKEKDKTAKSPIFHFFEDPEGKIELPQSLKVFSWKRPQDFIFSRTPVVVKNEIT FDLFSPNEHLLCSELMRWIISEIYAVWKIFNGGILSNYHKGNLGELPILPWKPWEHIYSL CKAVKGHVPLFNSYGKYVVKLYWMGCWRKITVDDFLPFDEENNLLLPATSYEFELWPMLL SKAIIKLANVDVHVAHRRELGELTVIHALTGWLPEVIPLHPAYVDRVWELLKEILPEFKL TEEPSSESKITTIDNKLKEATKENKDGKDGKNGKDLKDGKDMKDGKDGKDGKDGKDGKDG KDEKADARDLGKKNKKDGEKEKEKFKFSLHGSRPSSDVQYSMQSLSECSSAIQLPHMVVY ATFTPLYLFENKIFSLEKMANSAEKLREYGLSHICSHPVLVTRSRSCPLVSPPKPPPLPA WKLIRHKKETVITDEAQDAVPKKPEQFLEISSPFLNYRMTPFTIPTETHFVQSVIKKGTP LGSSLPPLVENDLVASTSQGEMSIVNGNQSQGNIALQITLGKDEPSEPALADFHQLEATS LDRDLISLTTATLDKSQEELAINEGVAKEIWLDFEDFCVCFHHIYIFHKPHSYCLNFQKS EFKFVEERVPYYLFVDSLKPIELLVCFSALVRWGESGALTKDSPPVEPGLLTAEAITWKS LKPLSVVLRIHTYATKASVVRLPAGRHMLLFNAYSPVGHAIHVCSMTTFVIGDEDIVLPN FEPESYRFTEQSIIIMKAIGNVIANFKDKGKLPAALRDLQAAHYPIPLNNKELTAQHFRV FHISLWRLMKKSQVAKPPSNFKFAFRAMVFDTDLLDSFSEDVSLAEWVDLKYSTPINEKE YTSEEIAAAVKIQSMWKGCYVRLLMKARKPETKENVTVADTLQKIWAVLEMNLEQYALSL LRLMFKSKCKSMESYPCYQDEETKLAFADHTVNYADQPPNSWFIVFREIFLVPQDMIILP KVYTTLPICILHVINNDTLEQVPKVFQKVVPFLYTKNKKGYTFVAEAYTGDTFVSGARWK LRLIGSYNPLPFLARDSPCNTFSIKEIRDYYIPNDRKILFRYSIKVTVAQSITIQVRTSK PDTFIKLQVLESEEVITSTVGKGQAVIPAFYFLGNEKALSSQSSKQVLLSHPSPKKDPEV LTKKKSGQPGQKSFKGRSGGGLTDTGMPLLEEEILNIPTLEENSSTPQQCYKYIIQCLVL FNSWPLNETQLTFVQALKDMEKMDIKEKHEEPAPMGSPDSHAVSEGQKSVGVPKTTRKGK EKSAEKEKLAKEKQAPRFEPQQVQMPTAVHSQQEDPNKPYWILRLVSEHTDSDYVDVKKD TERADEIRAMKQAWETTEPGRAIKAAQARLKYLTQFIKKPVTTDTTTSAPSPETLSVSQS QTKSSEEGELDTGKYADIKELPPNAAGSVLWKKWQMTKTITSLTKFTSSESVPKEEPPQK EIPVVRQRSPTILETSPQQIRKALEFLDFSHYVRKTAAEAVLQTEELNKQQAMQKAEEIH QFRQHRSRILSIRDIDQEERFKQKDEVLEMYGEMRDSVDEARQKILDIREVYRNKLLEAE RLRMEALAAQEAAVKIEIEKKSPASDSQKKKKVGKKK >ENSMUSP00000146658.1 pep:known chromosome:GRCm38:10:10335938:10472314:-1 gene:ENSMUSG00000050994.20 transcript:ENSMUST00000208717.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgb description:androglobin [Source:MGI Symbol;Acc:MGI:3605549] MASKQAKRKEVHRINSAHGSDKSKDNVPPGSFEQKKGKFPIWPEWSEADINAEKWDAGKG GKEKDKTAKSPIFHFFEDPEGKIELPQSLKVFSWKRPQDFIFSRTPVVVKNEITFDLFSP NEHLLCSELMRWIISEIYAVWKIFNGGILSNYHKGNLGELPILPWKPWEHIYSLCKAVKG HVPLFNSYGKYVVKLYWMGCWRKITVDDFLPFDEENNLLLPATSYEFELWPMLLSKAIIK LANVDVHVAHRRELGELTVIHALTGWLPEVIPLHPAYVDRVWELLKEILPEFKLTEEPSS ESKITTIDNKLKEATKENKDGKDGKNGKDLKDGKDMKDGKDGKDGKDGKDGKDGKDGKDS KDFKLEVSLTNLKIPEKVDRAAKGSSAIQLPHMVVYATFTPLYLFENKIFSLEKMANSAE KLREYGLSHICSHPVLVTRSRSCPLVSPPKPPPLPAWKLIRHKKETVITDEAQDAVPKKP EQFLEISSPFLNYRMTPFTIPTETHFVQSVIKKGTPLGSSLPPLVENDLVASTSQGEMSI VNGNQSQGNIALQITLGKDEPSEPALADFHQLEATSLDRDLISLTTATLDKSQEELAINE GVAKEIWLDFEDFCVCFHHIYIFHKPHSYCLNFQKSEFKFVEERVPYYLFVDSLKPIELL VCFSALVRWGESGALTKDSPPVEPGLLTAEAITWKSLKPLSVVLRIHTYATKASVVRLPA GRHMLLFNAYSPVGHAIHVCSMTTFVIGDEDIVLPNFEPESYRFTEQSIIIMKAIGNVIA NFKDKGKLPAALRDLQAAHYPIPLNNKELTAQHFRVFHISLWRLMKKSQVAKPPSNFKFA FRAMVFDTDLLDSFSEDVSLAEWVDLKYSTPINEKEYTSEEIAAAVKIQSMWKGCYVRLL MKARKPETKENVTVADTLQKIWAVLEMNLEQYALSLLRLMFKSKCKSMESYPCYQDEETK LAFADHTVNYADQPPNSWFIVFREIFLVPQDMIILPKVYTTLPICILHVINNDTLEQVPK VFQKVVPFLYTKNKKGYTFVAEAYTGDTFVSGARWKLRLIGSYNPLPFLARDSPCNTFSI KEIRDYYIPNDRKILFRYSIKVTVAQSITIQVRTSKPDTFIKLQVLESEEVITSTVGKGQ AVIPAFYFLGNEKALSSQSSKQVLLSHPSPKKDPEVLTKKKSGQPGQKSFKGRSGGGLTD TGMPLLEEEILNIPTLEENSSTPQQCYKYIIQCLVLFNSWPLNETQLTFVQALKDMEKMD IKEKHEEPAPMGSPDSHAVSEGQKSVGVPKTTRKGKEKSAEKEKLAKEKQAPRFEPQQVQ MPTAVHSQQEDPNKPYWILRLVSEHTDSDYVDVKKDTERADEIRAMKQAWETTEPGRAIK AAQARLKYLTQFIKKPVTTDTTTSAPSPETLSVSQSQTKSSEEGELDTGKYADIKELPPN AAGSVLWKKWQMTKTITSLTKFTSSESVPKEEPPQKEIPVVRQRSPTILETSPQQIRKAL EFLDFSHYVRKTAAEAVLQTEELNKQQAMQKAEEIHQFRQHRSRILSIRDIDQEERFKQK DEVLEMYGEMRDSVDEARQKILDIREVYRNKLLEAERLRMEALAAQEAAVKIEIEKKSPA SDSQKKKKVGKKK >ENSMUSP00000045452.7 pep:known chromosome:GRCm38:10:10399902:10429839:-1 gene:ENSMUSG00000050994.20 transcript:ENSMUST00000045328.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgb description:androglobin [Source:MGI Symbol;Acc:MGI:3605549] MCAEDAEWKGSSAIQLPHMVVYATFTPLYLFENKIFSLEKMANSAEKLREYGLSHICSHP VLVTRSRSCPLVSPPKPPPLPAWKLIRHKKETVITDEAQDAVPKKPEQFLEISSPFLNYR MTPFTIPTETHFVQSVIKKGTPLGSSLPPLVENDLVASTSQGEMSIVNGNQSQGNIALQI TLGKDEPSEPALADFHQLEATSLDRDLISLTTATLDKSQEELAINEGVAKEIWLDFEDFC VCFHHIYIFHKPHSYCLNFQKSEFKFVEERVPYYLFVDSLKPIELLVCFSALVRWGESGA LTKDSPPVEPGLLTAEAITWKSLKPLSVVLRIHTYATKASVVRLPAGYGLTSCFLV >ENSMUSP00000120422.1 pep:known chromosome:GRCm38:10:10400560:10472326:-1 gene:ENSMUSG00000050994.20 transcript:ENSMUST00000132573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgb description:androglobin [Source:MGI Symbol;Acc:MGI:3605549] MASKQAKRKEVHRINSAHGSDKSKDLYHFGSNVPPGSFEQKKGKFPIWPEWSEADINAEK WDAGKGGKEKDKTAKSPIFHFFEDPEGKIELPQSLKVFSWKRPQDFIFSRTPVVVKNEIT FDLFSPNEHLLCSELMRWIISEIYAVWKIFNGGILSNYHKGNLGELPILPWKPWEHIYSL CKAVKGHVPLFNSYGKYVVKLYWMGCWRKITVDDFLPFDEENNLLLPATSYEFELWPMLL SKAIIKLANVDVHVAHRRELGELTVIHALTGWLPEVIPLHPAYVDRVWELLKEILPEFKL TEEPSSESKITTIDNKLKEATKENKDGKDGKNGKDLKDGKDMKDGKDGKDGKDGKDGKDG KDEKADARDLGKKNKKDGEKEKEKFKFSLHGSRPSSDVQYSMQSLSECSSAIQLPHMVVY ATFTPLYLFENKIFSLEKMANSAEKLREYGLSHICSHPVLVTRSRSCPLVSPPKPPPLPA WKLIRHKKETVITDEAQDAVPKKPEQFLEISSPFLNYRMTPFTIPTETHFVQSVIKKGTP LGSSLPPLVENDLVASTSQGEMSIVNGNQSQGNIALQITLGKDEPSEPALADFHQLEATS LDRDLISLTTATLDKSQEELAINEGVAKEIWLDFEDFCVCFHHIYIFHKPHSYCLNFQKS EFKFVEERVPYYLFVDSLKPIELLVCFSALVRWGESGALTKDSPPVEPGLLTAEAITWKS LKPLSVVLRIHTYATKASVVRLPAGYGLTSCF >ENSMUSP00000136386.1 pep:known chromosome:GRCm38:10:10335703:10472314:-1 gene:ENSMUSG00000050994.20 transcript:ENSMUST00000179956.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgb description:androglobin [Source:MGI Symbol;Acc:MGI:3605549] MASKQAKRKEVHRINSAHGSDKSKDLYHFGSNVPPGSFEQKKGKFPIWPEWSEADINAEK WDAGKGGKEKDKTAKSPIFHFFEDPEGKIELPQSLKVFSWKRPQDFIFSRTPVVVKNEIT FDLFSPNEHLLCSELMRWIISEIYAVWKIFNGGILSNYHKGNLGELPILPWKPWEHIYSL CKAVKGHVPLFNSYGKYVVKLYWMGCWRKITVDDFLPFDEENNLLLPATSYEFELWPMLL SKAIIKLANVDVHVAHRRELGELTVIHALTGWLPEVIPLHPAYVDRVWELLKEILPEFKL TEEPSSESKITTIDNKLKEDGKDGKDGKDGKDGKDGKDSKDFKLEVSLTNLKIPEKVDRA AKEKADARDLGKKNKKDGEKEKEKFKFSLHGSRPSSDVQYSMQSLSECSSAIQLPHMVVY ATFTPLYLFENKIFSLEKMANSAEKLREYGLSHICSHPVLVTRSRSCPLVSPPKPPPLPA WKLIRHKKETVITDEAQDAVPKKPEQFLEISSPFLNYRMTPFTIPTETHFVQSVIKKGTP LGSSLPPLVENDLVASTSQGEMSIVNGNQSQGNIALQITLGKGKDEPSEPALADFHQLEA TSLDRDLISLTTATLDKSQEELAINEGVAKEIWLDFEDFCVCFHHIYIFHKPHSYCLNFQ KSEFKFVEERVPYYLFVDSLKPIELLVCFSALVRWGESGALTKDSPPVEPGLLTAEAITW KSLKPLSVVLRIHTYATKASVVRLPAGRHMLLFNAYSPVGHAIHVCSMTTFVIGDEDIVL PNFEPESYRFTEQSIIIMKAIGNVIANFKDKGKLPAALRDLQAAHYPIPLNNKELTAQHF RVFHISLWRLMKKSQVAKPPSNFKFAFRAMVFDTDLLDSFSEDVSLAEWVDLKYSTPINE KEYTSEEIAAAVKIQSMWKGCYVRLLMKARKPETKENVTVADTLQKIWAVLEMNLEQYAL SLLRLMFKSKCKSMESYPCYQDEETKLAFADHTVNYADQPPNSWFIVFREIFLVPQDMII LPKVYTTLPICILHVINNDTLEQVPKVFQKVVPFLYTKNKKGYTFVAEAYTGDTFVSGAR WKLRLIGSYNPLPFLARDSPCNTFSIKEIRDYYIPNDRKILFRYSIKVTVAQSITIQVRT SKPDTFIKLQVLESEEVITSTVGKGQAVIPAFYFLGNEKALSSQSSKQVLLSHPSPKKDP EVLTKKKSGQPGQKSFKGRSGGGLTDTGMPLLEEEILNIPTLEENSSTPQQCYKYIIQCL VLFNSWPLNETQLTFVQALKDMEKMDIKAEKHEEPAPMGSPDSHAVSEGQKSVGVPKTTR KGKEKSAEKEKLAKEKQAPRFEPQQVQMPTAVHSQQEDPNKPYWILRLVSEHTDSDYVDV KKDTERADEIRAMKQAWETTEPGRAIKAAQARLKYLTQFIKKPVTTDTTTSAPSPETLSV SQSQTKSSEEGELDTGKYADIKELPPNAAGSVLWKKWQMTKTITSLTKFTSSESVPKEEP PQKEIPVVRQRSPTILETSPQQIRKALEFLDFSHYVRKTAAEAVLQTEELNKQQAMQKAE EIHQFRQHRSRILSIRDIDQEERFKQKDEVLEMYGEMRDSVDEARQKILDIREVYRNKLL EAERLRMEALAAQEAAVKIEIEKKSPASDSQKKKKVGKKK >ENSMUSP00000083024.1 pep:known chromosome:GRCm38:1:174368919:174369848:1 gene:ENSMUSG00000066672.1 transcript:ENSMUST00000085862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr417 description:olfactory receptor 417 [Source:MGI Symbol;Acc:MGI:3030251] MINQTILKEFILIGFSAYPLVQTWLFVVFLCLYMVTLAGNLAIMGLTWVDRSLHTPMYFF LSALSFSETCYTLTIIPKMLVDLIDKDSRISVTGCGLQMCFFLGLGGTNCILLTLMGYDR FLAICNPLRYPLLMTNVACGQLVASAWVGGFFISLIETTLIFRVSFCIPNLIRHFFCHMR AVLRLSCTDSNFTEFIVTLMSVSGLLGTFLLILLTYVFILSSVLKIPSAEGKQKAFSTCA SHLTVVLIHFVFASVVYMKPENSGGDDTLIAVPYTVITPFLSPIIFTLRNKDMKNAFRKI MRKTVVLKK >ENSMUSP00000080596.6 pep:known chromosome:GRCm38:2:154613297:154646821:1 gene:ENSMUSG00000059842.12 transcript:ENSMUST00000081926.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp341 description:zinc finger protein 341 [Source:MGI Symbol;Acc:MGI:2682937] MAQAIFEALEGMDNQTVLAVQSLLDGQGAVPDPTGQSVNAPPAIQPLDDEDVFLCGKCKK QFNSLPAFMTHKREQCQANAPALATVSLATNSIYTPSAAPAAVQQAPPPANRQISTYITV PPSPLIQTLVQGNILVSDDVLMSAMSAFTSLDQPMPPGPPPVQNSLNMHPVPNYLTQPPP PPPPPPPLPPPPQPPPPPPQTLGPSGRSNPVGNSVVEVYNAAAPMAGNGTVEIQALGMQP YPPLEVPSQCVEAPVYPTPPVYSPGKQEFKPKGPSSTAPMTNATGSTVATFDSPPTLKTR RAKGASGLPEAAGKPKAQKLKCSYCDKSFTKNFDLQQHIRSHTGEKPFQCIACGRAFAQK SNVKKHMQTHKVWPPGRSGGTVSRNSVTVQVMALNPNRQEEEDTGLGQSLSSTTQPQALP TAGEDEGDKPEAKQVVLIDSSYLCQFCPSKFSTYFQLKSHMIQHKKEQVYKCVVKSCAQM FPKLDTFLEHIRSHQEELSYRCHLCSKDFPSLYDLGVHQYSHSLLPQHSPKKDSTVYKCV KCVNKYSTPEALEHHVQTATHSFPCPHCQKVFPCERYLRRHLPTHGSGGRFRCQICKKFF RKEHYLKLHAHIHSGEKPYKCSVCESAFNRKDKLKRHMLIHEPFKKYKCPFSMHTGCSKE FNRQDKLKAHILSHAGLKLHKCGLCSKSFSRRAHLAEHQRAHTGNYKFRCAGCAKGFSRH KYLKDHRCRLGPTKDKDLQARRPPRRRATARGGGSIASGHKEENPMPDPLGLEELKAAGP SPEAAPGKPPFEQDAVLSIVVGGDPELVVPGHTEGLGSNLALAELQAGTEGGCAMLAVPV YIQASE >ENSMUSP00000117383.1 pep:known chromosome:GRCm38:2:154613356:154646778:1 gene:ENSMUSG00000059842.12 transcript:ENSMUST00000126421.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp341 description:zinc finger protein 341 [Source:MGI Symbol;Acc:MGI:2682937] MAQAIFEALEGMDNQTVLAVQSLLDGQGAVPDPTGQSVNAPPAIQPLDLHVHHSAPLPTD PDPGAREHLGER >ENSMUSP00000105324.1 pep:known chromosome:GRCm38:2:154613370:154646778:1 gene:ENSMUSG00000059842.12 transcript:ENSMUST00000109702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp341 description:zinc finger protein 341 [Source:MGI Symbol;Acc:MGI:2682937] MAQAIFEALEGMDNQTVLAVQSLLDGQGAVPDPTGQSVNAPPAIQPLDDEDVFLCGKCKK QFNSLPAFMTHKREQCQANAPALATVSLATNSIYTPSAAPAAVQQAPPPANRQISTYITV PPSPLIQTLVQGNILVSDDVLMSAMSAFTSLDQPMPPGPPPVQNSLNMHPVPNYLTQPPP PPPPPPPLPPPPQPPPPPPQTLGPSGRSNPVGNSVVEVYNAAAPMAGNGTVEIQALGMQP YPPLEVPSQCVEAPVYPTPPVYSPGKQEFKPKGPSSTAPMTNATGSTVATFDSPPTLKTR RAKAAGKPKAQKLKCSYCDKSFTKNFDLQQHIRSHTGEKPFQCIACGRAFAQKSNVKKHM QTHKVWPPGRSGGTVSRNSVTVQVMALNPNRQEEEDTGLGQSLSSTTQPQALPTAGEDEG DKPEAKQVVLIDSSYLCQFCPSKFSTYFQLKSHMIQHKKEQVYKCVVKSCAQMFPKLDTF LEHIRSHQEELSYRCHLCSKDFPSLYDLGVHQYSHSLLPQHSPKKDSTVYKCVKCVNKYS TPEALEHHVQTATHSFPCPHCQKVFPCERYLRRHLPTHGSGGRFRCQICKKFFRKEHYLK LHAHIHSGEKPYKCSVCESAFNRKDKLKRHMLIHEPFKKYKCPFSMHTGCSKEFNRQDKL KAHILSHAGLKLHKCGLCSKSFSRRAHLAEHQRAHTGNYKFRCAGCAKGFSRHKYLKDHR CRLGPTKDKDLQARRPPRRRATARGGGSIASGHKEENPMPDPLGLEELKAAGPSPEAAPG KPPFEQDAVLSIVVGGDPELVVPGHTEGLGSNLALAELQAGTEGGCAMLAVPVYIQASE >ENSMUSP00000028161.5 pep:known chromosome:GRCm38:2:28555795:28563362:-1 gene:ENSMUSG00000026818.5 transcript:ENSMUST00000028161.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cel description:carboxyl ester lipase [Source:MGI Symbol;Acc:MGI:88374] MGRLEVLFLGLTCCLAAACAAKLGAVYTEGGFVEGVNKKLSLLGGDSVDIFKGIPFATAK TLENPQRHPGWQGTLKATNFKKRCLQATITQDNTYGQEDCLYLNIWVPQGRKQVSHNLPV MVWIYGGAFLMGSGQGANFLKNYLYDGEEIATRGNVIVVTFNYRVGPLGFLSTGDANLPG NFGLRDQHMAIAWVKRNIAAFGGDPDNITIFGESAGAASVSLQTLSPYNKGLIRRAISQS GMALSPWAIQKNPLFWAKTIAKKVGCPTEDTGKMAACLKITDPRALTLAYKLPVKKQEYP VVHYLAFIPVIDGDFIPDDPINLYNNTADIDYIAGINNMDGHLFATIDVPAVDKTKQTVT EEDFYRLVSGHTVAKGLKGAQATFDIYTESWAQDPSQENMKKTVVAFETDVLFLIPTEIA LAQHKAHAKSAKTYSYLFSHPSRMPIYPKWMGADHADDLQYVFGKPFATPLGYRPQDRAV SKAMIAYWTNFARSGDPNMGNSPVPTHWYPYTLENGNYLDITKTITSASMKEHLREKFLK FWAVTFEVLPTVTGDQDTLTPPEDDSEVAPDPPSDDSQVVPVPPTDDSVEAQMPATIGF >ENSMUSP00000061700.8 pep:known chromosome:GRCm38:8:105900441:105931778:1 gene:ENSMUSG00000048310.8 transcript:ENSMUST00000049699.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pskh1 description:protein serine kinase H1 [Source:MGI Symbol;Acc:MGI:3528383] MGCGTSKVLPEPPKDVQLDLVKKVEPFSGTKNDVYKHFITEVDSVGPLKAGFPATSQYAP PCPGVPNTGHTAPPSEPPRRARVAKYRAKFDPRVTAKYDIKALIGRGSFSRVVRVEHRAT RQPYAIKMIETKYREGREVCESELRVLRRVRHANIIQLVEVFETQERVYMVMELATGGEL FDRIIAKGSFTERDATRVLQMVLDGVRYLHALGITHRDLKPENLLYYHPGTDSKIIITDF GLASARKKGDDCLMKTTCGTPEYIAPEVLVRKPYTNSVDMWALGVIAYILLSGTMPFEDD NRTRLYRQILRGKYSYLGEPWPSVSNLAKDFIDRLLTVDPGARMTALQALRHPWVVSMAA SSSMKNLHRSISQNLLKRASSRCQSTKSSQSTRSSRSTRSNKSRRVRERELRELNLRYQQ QYNG >ENSMUSP00000064521.2 pep:known chromosome:GRCm38:4:117926162:117929763:-1 gene:ENSMUSG00000028539.14 transcript:ENSMUST00000070816.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Artn description:artemin [Source:MGI Symbol;Acc:MGI:1333791] MELGLAEPTALSHCLRPRWQSAWWPTLAVLALLSCVTEASLDPMSRSPAARDGPSPVLAP PTDHLPGGHTAHLCSERTLRPPPQSPQPAPPPPGPALQSPPAALRGARAARAGTRSSRAR TTDARGCRLRSQLVPVSALGLGHSSDELIRFRFCSGSCRRARSQHDLSLASLLGAGALRS PPGSRPISQPCCRPTRYEAVSFMDVNSTWRTVDHLSATACGCLG >ENSMUSP00000095526.2 pep:known chromosome:GRCm38:4:117926163:117929497:-1 gene:ENSMUSG00000028539.14 transcript:ENSMUST00000097913.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Artn description:artemin [Source:MGI Symbol;Acc:MGI:1333791] MELGLAEPTALSHCLRPRWQSAWWPTLAVLALLSCVTEASLDPMSRSPAARDGPSPVLAP PTDHLPGGHTAHLCSERTLRPPPQSPQPAPPPPGPALQSPPAALRGARAARAGTRSSRAR TTDARGCRLRSQLVPVSALGLGHSSDELIRFRFCSGSCRRARSQHDLSLASLLGAGALRS PPGSRPISQPCCRPTRYEAVSFMDVNSTWRTVDHLSATACGCLG >ENSMUSP00000141197.1 pep:known chromosome:GRCm38:3:51252720:51340663:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000194641.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MASAVVDSGGSALELPSDGGENQEGGDTGPDCPAVIVEPVPSARLEQGYAAQVLVYDDET YMMQDVAEEQEVETENSETVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPVEV FVPPCISTPEFIHAAMRPDVITETVVEVSTEESEPMDASPIPTSPDSHEPMKKKKVGRKP KTQQSPVSNGSPELGIKKKAREGKGNTTYLWEFLLDLLQDKNTCPRYIKWTQREKGIFKL VDSKAVSKLWGKHKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKDMPKNIVVID DDKSETCPEDLAAAADDKSLERVSLSAESLLKAATAVRGGKNSSPLNCSRAEKGVARVVN ITSPTHDGSSRSPTTTAPVSAAAAPRTVRVAMQVPVVMTSLGQKISAVAVQSVNAGTGSP LITSTSPASASSPKVVIQTVPTVMPASTENGDRITMQPAKIITIPATQLAQCQLQAKSNL TGSGSINIVGTPLAVRALTPVSIAHGTPVMRLSVPAQQASGQTPPRVISALLKGPEGKSE AKKQEHDVKTLQLVEEKGADGNKTVTHVVVVSAPSAIALPVTMKTEGLVTCEK >ENSMUSP00000139360.1 pep:known chromosome:GRCm38:3:51255230:51326029:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000183463.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MASAVVDSGGSALELPSDGGENQEGGDTGPDCPAVIVEPVPSARLEQGYAAQVLVYDDET YMMQDVAEEQEVETENSETASHLLKQL >ENSMUSP00000061076.6 pep:known chromosome:GRCm38:3:51255231:51340644:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000062009.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MASAVVDSGGSALELPSDGGENQEGGDTGPDCPAVIVEPVPSARLEQGYAAQVLVYDDET YMMQDVAEEQEVETENSETVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPEFI HAAMRPDVITETVVEVSTEESEPMDASPIPTSPDSHEPMKKKKVGRKPKTQQSPVSNGSP ELGIKKKAREGKGNTTYLWEFLLDLLQDKNTCPRYIKWTQREKGIFKLVDSKAVSKLWGK HKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKDMPKNIVVIDDDKSETCPEDLA AAADDKSLERVSLSAESLLKAATAVRGGKNSSPLNCSRAEKGVARVVNITSPTHDGSSRS PTTTAPVSAAAAPRTVRVAMQVPVVMTSLGQKISAVAVQSVNAGTGSPLITSTSPASASS PKVVIQTVPTVMPASTENGDRITMQPAKIITIPATQLAQCQLQAKSNLTGSGSINIVGTP LAVRALTPVSIAHGTPVMRLSVPAQQASGQTPPRVISALLKGPEGKSEAKKQEHDVKTLQ LVEEKGADGNKTVTHVVVVSAPSAIALPVTMKTEGLVTCEK >ENSMUSP00000088678.5 pep:known chromosome:GRCm38:3:51255663:51277415:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000091144.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MATSLHEGPTNQLDLLIRAVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPVEV FVPPCISTPEFIHAAMRPDVITETVVEVSTEESEPMDASPIPTSPDSHEPMKKKKGNTTY LWEFLLDLLQDKNTCPRYIKWTQREKGIFKLVDSKAVSKLWGKHKNKPDMNYETMGRALR YYYQRGILAKVEGQRLVYQFKDMPKNIVVIDDDKSETCPEDLAAAADDKSLERVSLSAES LLKAATAVRGGKNSSPLNCSRAEKGVARVVNITSPTHDGSSRSPTTTAPVSAAAAPRTVR VAMQVPVVMTSLGQKISAVAVQSVNAGTGSPLITSTSPASASSPKVVIQTVPTVMPASTE NGDRITMQPAKIITIPATQLAQCQLQAKSNLTGSGSINIVGTPLAVRALTPVSIAHGTPV MRLSVPAQQASGQTPPRVISALLKGPEGKSEAKKQEHDVKTLQLVEEKGADGNKTVTHVV VVSAPSAIALPVTMKTEGLVTCEK >ENSMUSP00000103686.1 pep:known chromosome:GRCm38:3:51255665:51277514:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000108051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MATSLHEGPTNQLDLLIRAVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPEFI HAAMRPDVITETVVEVSTEESEPMDASPIPTSPDSHEPMKKKKVGRKPKTQQSPVSNGSP ELGIKKKAREGKGNTTYLWEFLLDLLQDKNTCPRYIKWTQREKGIFKLVDSKAVSKLWGK HKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKDMPKNIVVIDDDKSETCPEDLA AAADDKSLERVSLSAESLLKAATAVRGGKNSSPLNCSRAEKGVARVVNITSPTHDGSSRS PTTTAPVSAAAAPRTVRVAMQVPVVMTSLGQKISAVAVQSVNAGTGSPLITSTSPASASS PKVVIQTVPTVMPASTENGDRITMQPAKIITIPATQLAQCQLQAKSNLTGSGSINIVGTP LAVRALTPVSIAHGTPVMRLSVPAQQASGQTPPRVISALLKGPEGKSEAKKQEHDVKTLQ LVEEKGADGNKTVTHVVVVSAPSAIALPVTMKTEGLVTCEK >ENSMUSP00000103688.2 pep:known chromosome:GRCm38:3:51255665:51277575:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000108053.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MATSLHEGPTNQLDLLIRAVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPVEV FVPPCISTPEFIHAAMRPDVITETVVEVSTEESEPMDASPIPTSPDSHEPMKKKKVGRKP KTQQSPVSNGSPELGIKKKAREGKGNTTYLWEFLLDLLQDKNTCPRYIKWTQREKGIFKL VDSKAVSKLWGKHKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKDMPKNIVVID DDKSETCPEDLAAAADDKSLERVSLSAESLLKAATAVRGGKNSSPLNCSRAEKGVARVVN ITSPTHDGSSRSPTTTAPVSAAAAPRTVRVAMQVPVVMTSLGQKISAVAVQSVNAGTGSP LITSTSPASASSPKVVIQTVPTVMPASTENGDRITMQPAKIITIPATQLAQCQLQAKSNL TGSGSINIVGTPLAVRALTPVSIAHGTPVMRLSVPAQQASGQTPPRVISALLKGPEGKSE AKKQEHDVKTLQLVEEKGADGNKTVTHVVVVSAPSAIALPVTMKTEGLVTCEK >ENSMUSP00000142300.1 pep:known chromosome:GRCm38:3:51264870:51277368:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000195432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MATSLHEGPTNQLDLLIRAVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPGM >ENSMUSP00000139358.1 pep:known chromosome:GRCm38:3:51264870:51340658:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000183338.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MASAVVDSGGSALELPSDGGENQEGGDTGPDCPAVIVEPVPSARLEQGYAAQVLVYDDET YMMQDVAEEQEVETENSETGR >ENSMUSP00000139199.1 pep:known chromosome:GRCm38:3:51264886:51277424:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000184677.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MATSLHEGPTNQLDLLIRAVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPGLC LPTCLCPVCMPDIHGCQKRIGSSGTGVPDGCELPCGCWELYPSPGRAASALKH >ENSMUSP00000141525.1 pep:known chromosome:GRCm38:3:51265050:51277444:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000156983.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MATSLHEGPTNQLDLLIRAVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPGNF NFSTF >ENSMUSP00000126871.1 pep:known chromosome:GRCm38:3:51255685:51325987:-1 gene:ENSMUSG00000037174.18 transcript:ENSMUST00000163748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf2 description:E74-like factor 2 [Source:MGI Symbol;Acc:MGI:1916507] MASAVVDSGGSALELPSDGGENQEGGDTGPDCPAVIVEPVPSARLEQGYAAQVLVYDDET YMMQDVAEEQEVETENSETVEASVHSSNAHCTDKTIEAAEALLHMESPTCLRDSRSPVEV FVPPCISTPEFIHAAMRPDVITETVVEVSTEESEPMDASPIPTSPDSHEPMKKKKVGRKP KTQQSPVSNGSPELGIKKKAREGKGNTTYLWEFLLDLLQDKNTCPRYIKWTQREKGIFKL VDSKAVSKLWGKHKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKDMPKNIVVID DDKSETCPEDLAAAADDKSLERVSLSAESLLKAATAVRGGKNSSPLNCSRAEKGVARVVN ITSPTHDGSSRSPTTTAPVSAAAAPRTVRVAMQVPVVMTSLGQKISAVAVQSVNAGTGSP LITSTSPASASSPKVVIQTVPTVMPASTENGDRITMQPAKIITIPATQLAQCQLQAKSNL TGSGSINIVGTPLAVRALTPVSIAHGTPVMRLSVPAQQASGQTPPRVISALLKGPEGKSE AKKQEHDVKTLQLVEEKGADGNKTVTHVVVVSAPSAIALPVTMKTEGLVTCEK >ENSMUSP00000031080.8 pep:known chromosome:GRCm38:5:64970071:65041886:1 gene:ENSMUSG00000029185.14 transcript:ENSMUST00000031080.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam114a1 description:family with sequence similarity 114, member A1 [Source:MGI Symbol;Acc:MGI:1915553] MSDDARDTIANGVGEDAAEMPNSDSPAEDAAEVQCGPATTSNEPAPDDHMEEQPEITAMC AESTPPGILDQSKASAADETPLNGEVTEDTLVECVDSVSLEGDTGSEIPLKEQDDAAVDP SSQAGRWAGWGSWGKSLLSSASATVGHGLTAVKEKAGATLRIHSANSASPEGAPTDAENG ISGNVTPDQDPARGPHTPPPPGAAGSRGMLSALTNVVQNTGKSVLTGGLDALEFIGKKTM IVLAESDPGFKRTKTLMERTVSLSQMLREAKEKEKQRLAQQLTVERTAHYGMLFDEYQGL SHLEALEILSNESESKVQSFLTSLDGEKLELLKNDLISIKDIFVAKESENEANPEEQGLE ESGEEFARMLTELLFELHVAATPDKLNKAMKKAHDWVEEDQSVVSIDVAKGSEEEEKEEG KEEKAEEPEEDKTGGQGAKTVEEVYMLSIESLAEVTARCIEQLHKVAELILHGQEEEKPA QDQARVLIKLTTAMCNEVTSLSKKFTNSLTTVGSNKKAEVLNPMINSVFLEGSNSTTYVQ DALQLLLPVLQVSHIRTSCLKATAQPDCS >ENSMUSP00000119284.1 pep:known chromosome:GRCm38:5:64972425:65005891:1 gene:ENSMUSG00000029185.14 transcript:ENSMUST00000139366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam114a1 description:family with sequence similarity 114, member A1 [Source:MGI Symbol;Acc:MGI:1915553] MSDDARDTIANGVGEDAAEMPNSDSPAEDAAEVQCGPATTSNEPAPDDHMEEQPEITAMC AESTPPGILDQSKASAADETPLNGEVTEDTLVECVDSVSLEGDTGSEIPLKEQDDAAVDP SSQAGRWAGWGSWGKSLLSSASATVGHGLTAVKEKAGATLRIHSANSASPEGAPTDA >ENSMUSP00000029535.4 pep:known chromosome:GRCm38:3:92134997:92142744:-1 gene:ENSMUSG00000027927.5 transcript:ENSMUST00000029535.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lelp1 description:late cornified envelope-like proline-rich 1 [Source:MGI Symbol;Acc:MGI:1916582] MSSDKSSDSKNGLKNCDPRCEQKCETKCQPSCLNKLLQRCSEKCSLDKCPPSPNCPPCPP CPPCPLVCQSQCSTPTSPLCPPLCSPRCSGTLACCPPSCPQKGCVKPCPPKCPSPCLPRK >ENSMUSP00000068470.3 pep:known chromosome:GRCm38:3:92122204:92123947:-1 gene:ENSMUSG00000056270.3 transcript:ENSMUST00000070284.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr9 description:proline rich 9 [Source:MGI Symbol;Acc:MGI:1925680] MSFNDQQCKQPCVPPPCLQKTQEKCQAQAEDVCVSSCQDPCQDKCPQQAQEVCVSQCQEL SQGNCPQQGQDPCLPPSQDQCLPQCAEPCQELAQTKCVEEFPQKVQEKCSSQSKGK >ENSMUSP00000032988.8 pep:known chromosome:GRCm38:7:127925716:127930104:-1 gene:ENSMUSG00000030800.10 transcript:ENSMUST00000032988.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss8 description:protease, serine 8 (prostasin) [Source:MGI Symbol;Acc:MGI:1923810] MALRVGLGLGQLEAVTILLLLGLLQSGIRADGTEASCGAVIQPRITGGGSAKPGQWPWQV SITYDGNHVCGGSLVSNKWVVSAAHCFPREHSREAYEVKLGAHQLDSYSNDTVVHTVAQI ITHSSYREEGSQGDIALIRLSSPVTFSRYIRPICLPAANASFPNGLHCTVTGWGHVAPSV SLQTPRPLQQLEVPLISRETCSCLYNINAVPEEPHTIQQDMLCAGYVKGGKDACQGDSGG PLSCPMEGIWYLAGIVSWGDACGAPNRPGVYTLTSTYASWIHHHVAELQPRVVPQTQESQ PDGHLCNHHPVFSSAAAPKLLRPVLFLPLGLTLGLLSLA >ENSMUSP00000145904.1 pep:known chromosome:GRCm38:7:127925716:127930104:-1 gene:ENSMUSG00000030800.10 transcript:ENSMUST00000206124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss8 description:protease, serine 8 (prostasin) [Source:MGI Symbol;Acc:MGI:1923810] MALRVGLGLGQLEAVTILLLLGLLQSGIRADGTEASCGAVIQPRITGGGSAKPGQWPWQV SITYDGNHVCGGSLVSNKWVVSAAHCFPREHSREAYEVKLGAHQLDSYSNDTVVHTVAQI ITHSSYREEGSQGDIALIRLSSPVTFSRYIRPICLPAANASFPNGLHCTVTGWGHVAPSV SLQTPRPLQQLEVPLISRETCSCLYNINAVPEEPHTIQQDMLCAGYVKGGKDACQGDSGG PLSCPMEGIWYLAGIVSWGDACGAPNRPGVYTLTSTYASWIHHHVAELQPRVVPQTQESQ PDGHLCNHHPVFSSAAAPKLLRPVLFLPLGLTLGLLSLA >ENSMUSP00000146313.1 pep:known chromosome:GRCm38:7:127926762:127930095:-1 gene:ENSMUSG00000030800.10 transcript:ENSMUST00000206568.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prss8 description:protease, serine 8 (prostasin) [Source:MGI Symbol;Acc:MGI:1923810] MALRVGLGLGQLEAVTILLLLGLLQSGIRADGTEASCGAVIQPRITGGGSAKPENTAGKR MR >ENSMUSP00000073604.6 pep:known chromosome:GRCm38:6:123308333:123336537:1 gene:ENSMUSG00000091260.1 transcript:ENSMUST00000073948.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r19 description:vomeronasal 2, receptor 19 [Source:MGI Symbol;Acc:MGI:3647444] MRLLIAFSLWIILIVFQEQMSCFSLSIPASPGYYQDGDFVIGGLFSLRVMIRRFISKFSF KDAFYLSEFLYAHLTKHYQHILAMVFATEKINKDPNILFNMSLGFYLFNVDYLEMKAMES SMALISGESPPIPNYSCRPEKTDKLVAVIGGISTGISTQISRVLSLYNIPQISYAPFDQS LGTRVQLQSPYQFPVYTTALYQGIIQLLLYFTWVWVALVVPDDMRGEFFLRDITEEIKNQ GICVAFAEKVPEFPAKDTVNRKRFMERFSLTRVIIAFGDTYSLLSFVDMIIHYGTFHNVW ITTSDWDFTTFPFHQHKSHIISVRGLSFSVRMDQVPGFKDFLRDVQPRKYPHDIFIQDVW SILFECPYLYWQKVREPSKCEPNGSLSTRPLHVWDMNTSPYSSKVHAAVYAIAQALHEEL SLRMAGDSLDKSVLRAPLPWKLHPFLQKGRFGRSTNEENVGNKEVSATKFDILNYHSLPS STKSQVKVGEFVFESHTVQLFSLDEKLITWGKYDKEIPFSVCSQSCPLGYRKTPVEGKPF CCFDCLPCADGEVANETDMDQCIKCPEDQYPNKQKNYCLPKITTYLSHGDTLGAVLGSVA ISLSIFSAMILGLFIHYRDTPIVRANNRNLSYLLLVSLMLCFFCSLIFIGQTRTVTCVLR QMIFGVVFSVTISAILAKTFIVVVAFNAIKPGSRLQMWMVIRLSYAIVCCGSIIQVCICA VWLGTYPPFPDVDMHSEFGQIILWCNEGSTFAFYFVLGYLSFLSSLSLLIAFLARRLPDS FNEAKTITFSMLVFCSVWISFVPTYLSSKGKTMVAVEIVSILVSSSILLLCIFLPKCYVI LLRSGGHSRKKFFK >ENSMUSP00000082862.5 pep:known chromosome:GRCm38:7:19424775:19458821:-1 gene:ENSMUSG00000030397.10 transcript:ENSMUST00000085715.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark4 description:MAP/microtubule affinity regulating kinase 4 [Source:MGI Symbol;Acc:MGI:1920955] MSSRTALAPGNDRNSDTHGTLGSGRSSDKGPSWSSRSLGARCRNSIASCPEEQPHVGNYR LLRTIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPSSLQKLFREVRIMKGLNHPNIVK LFEVIETEKTLYLVMEYASAGEVFDYLVSHGRMKEKEARAKFRQIVSAVHYCHQKNIVHR DLKAENLLLDAEANIKIADFGFSNEFTLGSKLDTFCGSPPYAAPELFQGKKYDGPEVDIW SLGVILYTLVSGSLPFDGHNLKELRERVLRGKYRVPFYMSTDCESILRRFLVLNPAKRCT LEQIMKDKWINIGYEGEELKPYTEPEEDFGDTKRIEVMVGMGYTREEIKEALTNQKYNEV TATYLLLGRKTEEGGDRGAPGLALARVRAPSDTTNGTSSSKGSSHNKGQRASSSTYHRQR RHSDFCGPSPAPLHPKRSPTSTGDTELKEERMPGRKASCSAVGSGSRGLPPSSPMVSSAH NPNKAEIPERRKDSTSTPNNLPPSMMTRRNTYVCTERPGSERPSLLPNGKENSSGTSRVP PASPSSHSLAPPSGERSRLARGSTIRSTFHGGQVRDRRAGSGSGGGVQNGPPASPTLAHE AAPLPSGRPRPTTNLFTKLTSKLTRRVTDEPERIGGPEVTSCHLPWDKTETAPRLLRFPW SVKLTSSRPPEALMAALRQATAAARCRCRQPQPFLLACLHGGAGGPEPLSHFEVEVCQLP RPGLRGVLFRRVAGTALAFRTLVTRISNDLEL >ENSMUSP00000147002.1 pep:known chromosome:GRCm38:7:19425108:19458795:-1 gene:ENSMUSG00000030397.10 transcript:ENSMUST00000209058.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mark4 description:MAP/microtubule affinity regulating kinase 4 [Source:MGI Symbol;Acc:MGI:1920955] MSSRTALAPGNDRNSDTVSSWRFQPGQRAWHIGQWTIFGQRAVLVQPFPGCPLPELYRFL P >ENSMUSP00000029875.3 pep:known chromosome:GRCm38:4:14864076:14915176:1 gene:ENSMUSG00000028221.3 transcript:ENSMUST00000029875.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem55a description:transmembrane protein 55A [Source:MGI Symbol;Acc:MGI:1919769] MAADGVDERSPLLSASHSGNVTPTAPPYLQESSPRAELPPPYTAIASPGTSGIPVINCRV CQSLINLDGKLHQHVVKCTVCNEATPIKTPPTGKKYVRCPCNCLLICKDTSRRIGCPRPN CRRIINLGPVMLISEEQPAQPALPIQPEGTRVVCGHCGNTFLWMELRFNTLAKCPHCKKI SSVGSALPRRRCCAYVTIGMICIFIAVGLTVGTQDFSRRFHATYVSWAIAYLLGLICLIR ACYWGAIRVSYPEHGFA >ENSMUSP00000142803.1 pep:known chromosome:GRCm38:12:113148705:113153846:1 gene:ENSMUSG00000006360.11 transcript:ENSMUST00000199089.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crip1 description:cysteine-rich protein 1 (intestinal) [Source:MGI Symbol;Acc:MGI:88501] MECLEKNCCVPTKKVSALGPCLGTPPVTMATGNNILEGQEAAATCAGPGTAMPKCPKCDK EVYFAERVTSLGKDWHRPCLKCEKCGKTLTSGGHAEHEGKPYCNHPCYSAMFGPKGFGRG GAESHTFK >ENSMUSP00000143680.1 pep:known chromosome:GRCm38:12:113152053:113153879:1 gene:ENSMUSG00000006360.11 transcript:ENSMUST00000200553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crip1 description:cysteine-rich protein 1 (intestinal) [Source:MGI Symbol;Acc:MGI:88501] MPKCPKCDKEVYFAERVTSLGKDWHRPCLKCEKCGKTLTSGGHAEHEGKPYCNHPCYSAM FGPKGFGRGGAESHTFK >ENSMUSP00000006523.7 pep:known chromosome:GRCm38:12:113152012:113153877:1 gene:ENSMUSG00000006360.11 transcript:ENSMUST00000006523.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crip1 description:cysteine-rich protein 1 (intestinal) [Source:MGI Symbol;Acc:MGI:88501] MPKCPKCDKEVYFAERVTSLGKDWHRPCLKCEKCGKTLTSGGHAEHEGKPYCNHPCYSAM FGPKGFGRGGAESHTFK >ENSMUSP00000021161.6 pep:known chromosome:GRCm38:11:72241989:72266659:-1 gene:ENSMUSG00000020805.14 transcript:ENSMUST00000021161.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc13a5 description:solute carrier family 13 (sodium-dependent citrate transporter), member 5 [Source:MGI Symbol;Acc:MGI:3037150] MDSAKTCVTKFKSFAILLFTPILMLPLVILIPDKFARCAYVIVIMAVYWCTDVIPVAVTS LLPVLLFPLLKVLDSKQVCIQYMKDTNMLFLGSLIVAVAVERWKLHKRVALRMLLFVGTK PSRLMLGFMFVTAFLSMWISNTAATAMMIPIVEAMLQQMIAANTAVEASLGTLELLDKNK TSELPGSQVVFEDPNVQEQEDEETKNMYKAMHLCVCYSASIGGTATLTGTGPNVVLLGQM QELFPDSKDVLNYASWFGFAFPNMVMMLVLAWLWLQCLYMRHNLKKTCICCGEKKRDTEK IAYKVLNEEYQKLGSLSYPECNVLFCFTLLVILWFSRDPGFMPGWLSFAWVEGNTVHITD ATVAIFVAILLFIIPSQKPKFNFSSQTEEERKTPFYPPALLDWKVAQEKVPWDIVLLLGG GFAMAKGCETSGLSKWMAAQMEPLRLVKPAVITLILSCLVAMTTECTSNVATTTLFLPIF ASMARSIGIHPLYVMIPCTMSASLAFMLPVATPPNAIVFAYGHLRVVDMMKTGLIMNFVG ILSVFLSVNTWGRAMFNLDNFPDWANSTSVNT >ENSMUSP00000146922.1 pep:known chromosome:GRCm38:11:72242090:72266593:-1 gene:ENSMUSG00000020805.14 transcript:ENSMUST00000208056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc13a5 description:solute carrier family 13 (sodium-dependent citrate transporter), member 5 [Source:MGI Symbol;Acc:MGI:3037150] MDSAKTCVTKFKSFAILLFTPILMLPLVILIPDKFARCAYVIVIMAVYWCTDVIPVAVTS LLPVLLFPLLKVLDSKQVCIQYMKDTNMLFLGSLIVAVAVERWKLHKRVALRMLLFVGTK PSRNTAATAMMIPIVEAMLQQMIAANTAVEASLGTLELLDKNKTSELPGSQVVFEDPNVQ EQEDEETKNMYKAMHLCVCYSASIGGTATLTGTGPNVVLLGQMQELFPDSKDVLNYASWF GFAFPNMVMMLVLAWLWLQCLYMRHNLKKTCICCGEKKRDTEKIAYKVLNEEYQKLGSLS YPECNVLFCFTLLVILWFSRDPGFMPGWLSFAWVEGNTVHITDATVAIFVAILLFIIPSQ KPKFNFSSQTEEERKTPFYPPALLDWKVAQEKVPWDIVLLLGGGFAMAKGCETSGLSKWM AAQMEPLRLVKPAVITLILSCLVAMTTECTSNVATTTLFLPIFASMARSIGIHPLYVMIP CTMSASLAFMLPVATPPNAIVFAYGHLRVVDMMKTGLIMNFVGILSVFLSVNTWGRAMFN LDNFPDWANSTSVNT >ENSMUSP00000146762.1 pep:known chromosome:GRCm38:11:72243372:72266593:-1 gene:ENSMUSG00000020805.14 transcript:ENSMUST00000208912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc13a5 description:solute carrier family 13 (sodium-dependent citrate transporter), member 5 [Source:MGI Symbol;Acc:MGI:3037150] MDSAKTCVTKFKSFAILLFTPILMLPLVILIPDKVCIQYMKDTNMLFLGSLIVAVAVERW KLHKRVALRMLLFVGTKPSRLMLGFMFVTAFLSMWISNTAATAMMIPIVEAMLQQMIAAN TAVEASLGTLELLDKNKTSELPGSQVVFEDPNVQEQEDEETKNMYKAMHLCVCYSASIGG TATLTGTGPNVVLLGQMQELFPDSKDVLNYASWFGFAFPNMVMMLVLAWLWLQCLYMRHN LKKTCICCGEKKRDTEKIAYKVLNEEYQKLGSLSYPECNVLFCFTLLVILWFSRDPGFMP GWLSFAWVEGNTVHITDATVAIFVAILLFIIPSQKPKFNFSSQTEEERKTPFYPPALLDW KVAQEKVPWDIVLLLGGGFAMAKGCETSGLSKWMAAQMEPLRLVKPAVITLILSCLVAMT TECTSNVATTTLFLPIFASMARSIGIHPLYVMIPCTMSASLAFMLPVATPPNAIVFAYGH LRVVDMMKTGLIMNFVGILSVFLSVNTWGRAMFNLDNFPDWANSTSVNT >ENSMUSP00000119417.2 pep:known chromosome:GRCm38:11:72243388:72266613:-1 gene:ENSMUSG00000020805.14 transcript:ENSMUST00000137701.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc13a5 description:solute carrier family 13 (sodium-dependent citrate transporter), member 5 [Source:MGI Symbol;Acc:MGI:3037150] MDSAKTCVTKFKSFAILLFTPILMLPLVILIPDKFARCAYVIVIMAVYWCTDVIPVAVTS LLPVLLFPLLKVLDSKQVCIQYMKDTNMLFLGSLIVAVAVERWKLHKRVALRMLLFVGTK PSRLMLGFMFVTAFLSMWISNTAATAMMIPIVEAMLQQMIAANTAVEASLGTLELLDKNK TSELPGSQVVFEDPNVQEQEDEETKNMYKAMHLCVCYSASIGGTATLTGTGPNVVLLGQM QELFPDSKDVLNYASWFGFAFPNMVMMLVLAWLWLQCLYMRHNLKKTCICCGEKKRDTEK IAYKVLNEEYQKLGSLSYPECNVLFCFTLLVILWFSRDPGFMPGWLSFAWVEGNTVHITD ATVAIFVAILLFIIPSQKPKFNFSSQTEEERKTPFYPPALLDWKVAQEKVPWDIVLLLGG GFAMAKGCETSGLSKWMAAQMEPLRLVKPAVITLILSCLVAMTTECTSNVATTTLFLPIF ASMMKTGLIMNFVGILSVFLSVNTWGRAMFNLDNFPDWANSTSVNT >ENSMUSP00000119822.2 pep:known chromosome:GRCm38:11:72259067:72266602:-1 gene:ENSMUSG00000020805.14 transcript:ENSMUST00000140167.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc13a5 description:solute carrier family 13 (sodium-dependent citrate transporter), member 5 [Source:MGI Symbol;Acc:MGI:3037150] MDSAKTCVTKFKSFAILLFTPILMLPLVILIPDKVLDSKQVCIQYMKDTNMLFLGSLIVA VAVERWKLHKRVALRMLLFVGTKPSRLMLGFMFVTAFLSMWISNTAATAMMIPIVEAMLQ QMIAANTAVEASLGTLELLDKNKTSELPGSQVVFEDPNVQEQEDEETK >ENSMUSP00000096997.4 pep:known chromosome:GRCm38:19:45232684:45235812:-1 gene:ENSMUSG00000025216.9 transcript:ENSMUST00000099401.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbx1 description:ladybird homeobox homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:104867] MTSKEDGKAAPGEERRRSPLDHLPPPANSNKPLTPFSIEDILNKPSVRRSYSLCGAAHLL AAADKHAPGGLPLAGRALLSQTSPLCALEELASKTFKGLEVSVLQAAEGRDGMTIFGQRQ TPKKRRKSRTAFTNHQIYELEKRFLYQKYLSPADRDQIAQQLGLTNAQVITWFQNRRAKL KRDLEEMKADVESAKKLGPSGQMDIVALAELEQNSEASGGGGGGGCGRAKSRPGSPALPP GAPQAPGGGPLQLSPASPLTDQRASSQDCSEDEEDEEIDVDD >ENSMUSP00000029018.7 pep:known chromosome:GRCm38:2:173206612:173218923:-1 gene:ENSMUSG00000027514.14 transcript:ENSMUST00000029018.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbp1 description:Z-DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1927449] MAEAPVDLSTGDNLEQKILQVLSDDGGPVKIGQLVKKCQVPKKTLNQVLYRLKKEDRVSS PEPATWSIGGAASGDGAPAIPENSSAQPSLDERILRFLEANGPHRALHIAKALGMTTAKE VNPLLYSMRNKHLLSYDGQTWKIYHSRQEGQDIAHSGVTQESPAIICQHNPVNMICQQGA NSHISIANSNAIQIGHGNVIVREKACGEPGPRTSHPLPLAWDASAQDMPPVAHGAQYIYM DKSLLQQVQLGHHNEMSLVGDAGKHPSYSFSDSPPEVSTTTADPGASFNMQTSEPGPHPE GDTVQTVHIKSCFLEDATIGNGNKMTIHLRSKGEVMESGDSEEPKKEDTGTSSEATPPRS CQHTPSDSMLPTSELRAMALGDSSPQTTEPVLREHEVQDIESSQDTGLSKQ >ENSMUSP00000104744.2 pep:known chromosome:GRCm38:2:173213735:173218910:-1 gene:ENSMUSG00000027514.14 transcript:ENSMUST00000109116.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbp1 description:Z-DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1927449] MAEAPVDLSTGDNLEQKILQVLSDDGGPVKIGQLVKKCQVPKKTLNQVLYRLKKEDRVSS PEPATWSIGGAASGDGAPAIPENSSAQPSLDERILRFLEANGPHRALHIAKALGMTTAKE VNPLLYSMRNKHLLSYDGQTWKIYHSRQEGQDIVLPCSPGCPRTHHVDQAGLEPTEIFLL LPIKFWD >ENSMUSP00000109521.2 pep:known chromosome:GRCm38:2:28566311:28583189:-1 gene:ENSMUSG00000026816.14 transcript:ENSMUST00000113889.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c5 description:general transcription factor IIIC, polypeptide 5 [Source:MGI Symbol;Acc:MGI:1917489] MAAAEPDADPKAAIPVDLRRERRLVCVEYPGVVRNEAKMLQTLGGEESVSRIYTDPTKRL ELYFRPKDPYCHPVCANRFSTSSLLLRIRKRTRRRRGVLGDEAHPQVTFNLEIIGIISTI YKFQGMSDFQYLAVHTEAGGKHVSMYDRVLMRKPEKEEFFHQELPLYIPPPIFSRLDTPV DYFYRPETQHREGYHNPTISGENLIGLSRARRPHNAIFVNFEDTEVPEQPLEAAVQTWKK ACTNPIDQKVEEELRKLFDIRPVWSRNAVKSNVSVHPDKLKILLPYMAYYMITGPWRSLW IRFGYDPRKHPDAKIYQVLDFRIRCGMKYGYGSRDMPVKAKRSTYNYSLPITVKKTSNQP GTMHDLKQGLGPSGTDGPRKLTYNKYKLKDSVYIFREGALPPYRQMFYQLCDLNVEELQK IVHRNDGTETVCTERDGWCLPKTTDHLRDTMSLMILQTIRSERPALFSNTGKADRGKEQL MFESGEEEEEEEEEEEEEEEDFKPSDGSENEMETEILDYV >ENSMUSP00000028157.8 pep:known chromosome:GRCm38:2:28566311:28583279:-1 gene:ENSMUSG00000026816.14 transcript:ENSMUST00000028157.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c5 description:general transcription factor IIIC, polypeptide 5 [Source:MGI Symbol;Acc:MGI:1917489] MAAAEPDADPKAAIPVDLRRERRLVCVEYPGVVRNEAKMLQTLGGEESVSRIYTDPTKRL ELYFRPKDPYCHPVCANRFSTSSLLLRIRKRTRRRRGVLGDEAHPQVTFNLEIIGIISTI YKFQGMSDFQYLAVHTEAGGKHVSMYDRVLMRKPEKEEFFHQELPLYIPPPIFSRLDTPV DYFYRPETQHREGYHNPTISGENLIGLSRARRPHNAIFVNFEDTEVPEQPLEAAVQTWKK ACTNPIDQKVEEELRKLFDIRPVWSRNAVKSNVSVHPDKLKILLPYMAYYMITGPWRSLW IRFGYDPRKHPDAKIYQVLDFRIRCGMKYGYGSRDMPVKAKRSTYNYSLPITVKKTSNQP GTMHDLKQGLGPSGTDGPRKLTYNKYKLKDSVYIFREGALPPYRQMFYQLCDLNVEELQK IVHRNDGTETVCTERDGWCLPKTTDHLRDTMSLMILQTIRSERPGKADRGKEQLMFESGE EEEEEEEEEEEEEEDFKPSDGSENEMETEILDYV >ENSMUSP00000135165.1 pep:known chromosome:GRCm38:2:28579615:28582988:-1 gene:ENSMUSG00000026816.14 transcript:ENSMUST00000139553.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf3c5 description:general transcription factor IIIC, polypeptide 5 [Source:MGI Symbol;Acc:MGI:1917489] MAAAEPDADPKAAIPVDLRRERRLVCVEYPGVVRNEAKMLQTLGGEESVSRGCLI >ENSMUSP00000129145.1 pep:known chromosome:GRCm38:6:123385262:123418061:-1 gene:ENSMUSG00000094145.1 transcript:ENSMUST00000172199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r20 description:vomeronasal 2, receptor 20 [Source:MGI Symbol;Acc:MGI:3646439] MKLLIAFSLWIVLIVLQEQISCYLLSISASPGYYQDGDFVIGGLLSLKVSGRHNKNKFTS GNEYNLPEYVYIDFTKHYQHILAMVFAIEKINKDPNILFNMSLGFHVFNVDFTEMKAMKS SMVLLSGESPPVPNYSCRPEKTDKLVAVIGGISTGISTQISRVLSLYNVPQISYAPFDQS LGTIVQLQSPYQFPVHTAALYQGIIQLLLYFTWVWVGLVVPDDIRGELFLRDITEEMMNH GLCVAFAEKIQGILAEDKVNTKLFNEKFTLTRVIIAFGDTYSLLALAVHTTFYTTFRNVW ITTSDWDITFYFQQPKSYEYFGGGLSFTDRMDQILGFKDFLRNVQPRKYPQDIFIQDVWM VLFECPYLIDHEARQLSQCEPNGSLSTRPLHVWDMNTSPCSSKVHAAVYAIAQALHEELS LRVEGGSLDKSVLRAPLPWKLHPFLQKGQLGRSSNKENIVNKEILATEFDIFNYQSLQSG TKAQVKVGEFVFESHSVQHFSLNEKLITWGKYGKEIPLSVCSQSCPLGFRKTPVEGKSFC CFDCLPCPEGEIANETDMDQCIKCPEDQYPNKQKNNCLPKIKIFLSHEDTLGAVLVSLAI SLSAFSAMILGLFIHYRDTPIVRANNRNLSYVLLVSLMLCFFCSLIFIGQPSTVTCVLRQ MIFGVVFSVAVSAILAKTFIVVVAFTAIKPGSTLQMWMVTRLSNAIVCCGSIIQVCICAV WLGTSPPFPDVDMHSEFGQIILWCNEGSTLAFYCVLGYLGFLSSLSLLIAFLARRLPESF NEAKTITFSMLVFCTVWITFVPTYLSSKGKTMVAVEILSILASSSILLLCIFLPKCYVIL LRSGGHSRKKFFK >ENSMUSP00000080751.6 pep:known chromosome:GRCm38:8:15892537:17535281:-1 gene:ENSMUSG00000060924.14 transcript:ENSMUST00000082104.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csmd1 description:CUB and Sushi multiple domains 1 [Source:MGI Symbol;Acc:MGI:2137383] MTAWRKFKSLLLPLVLAVLCAGLLTAAKGQNCGGLVQGPNGTIESPGFPHGYPNYANCTW IIITGERNRIQLSFHTFALEEDFDILSVYDGQPQQGNLKVRLSGFQLPSSIVSTGSLLTL WFTTDFAVSAQGFKAMYEVLPSHTCGNPGEILKGVLHGTRFNIGDKIRYSCLSGYILEGH AILTCIVSPGNGASWDFPAPFCRAEGACGGTLRGTSGSISSPHFPSEYDNNADCTWTILA EPGDTIALVFTDFQLEEGYDFLEISGTEAPSIWLTGMNLPSPVISSKNWLRLHFTSDSNH RRKGFNAQFQVKKAIELKSRGVKMLPSKDSSHKNSVLTQGGVSLISDMCPDPGIPDNGRR AGSDFRVGANVQFSCEDNYVLQGAKGITCQRVTETLAAWNDHRPICRARTCGSNLRGPSG VITSPNYPVQYEDNAHCVWVITTTDPDKVIKLAFEEFELERGYDTLTVGDAGKVGDTRSV LYVLTGSSVPDLIVSMSNQMWLHLQSDDSIGSPGFKAVYQEIEKGGCGDPGIPAYGKRTG SSFLHGDTLTFECQAAFELVGERVITCQKNNQWSGNKPSCVFSCFFNFTAPSGIILSPNY PEEYGNNMNCVWLIISEPGSRIHLIFNDFDVEPQFDFLAVKDDGISDITVLGTFSGNEVP AQLASSGHIVRLEFQSDHSTTGRGFNITYTTFGQNECHDPGIPVNGRRFGDRFLLGSSVS FHCDDGFVKTQGSESITCILQDGNVVWSSTVPRCEAPCGGHLTASSGVILPPGWPGYYKD SLNCEWVIEAKPGHSIKITFDRFQTEVNYDTLEVRDGPTSSSPLIGEYHGTQAPQFLIST GNYMYLLFTTDSSRASVGFLIHYESVTLESDSCLDPGIPVNGQRHGSNFGIRSTVTFSCD PGYTLSDDEPLVCEKNHQWNHALPSCDALCGGYIHGKSGTVLSPGFPDFYPNSLNCTWTI EVSHGKGVQMNFHTFHLESSHDYLLITEDGSFSEPVARLTGSVLPHTIKAGLFGNFTAQL RFISDFSISYEGFNITFAEYDLEPCDDPGVPAFSRRIGFQFGVGDTLAFTCFQGYRLEGA TKLTCLGGGRRVWSAPLPRCVAECGASVKGNEGTLLSPNFPSHYDNNHECIYKIETEAGK GIHLRARTFQLFEGDTLKVYDGKDSSSRSLGVFTRSEFMGLVLNSTSNHLRLEFNTNGSD TAQGFQLTYTSFDLVKCEDPGIPNYGYRIRDDGHFTDTVVLYSCNPGYAMHGSSTLTCLS GDRRVWDKPMPSCVAECGGLVHAATSGRILSPGYPAPYDNNLHCTWTIEADPGKTISLHF IVFDTETAHDILKVWDGPVDSNILLKEWSGSALPEDIHSTFNSLTLQFDSDFFISKSGFS IQFSTSIASTCNDPGMPQNGTRYGDSREPGDTITFQCDPGYQLQGPAKITCVQLNNRFFW QPDPPSCIAACGGNLTGPAGVILSPNYPQPYPPGKECDWRIKVNPDFVIALIFKSFSMEP SYDFLHIYEGEDSNSPLIGSFQGSQAPERIESSGNSLFLAFRSDASVGLSGFAIEFKEKP REACFDPGNIMNGTRIGTDFKLGSTVTYQCDSGYKIVDPSSIECVTGADGKPSWDRALPA CQAPCGGQYTGSEGVVLSPNYPHNYTAGQMCIYSITVPKEFVVFGQFAYFQTALNDLAEL FDGTHPQARLLSSLSGSHSGETLPLATSNQILLRFSAKSGASARGFHFVYQAVPRTSDTQ CSSVPEPRYGRRIGSEFSAGSIVRFECNPGYLLQGSTAIRCQSVPNALAQWNDTIPSCVV PCSGNFTQRRGTILSPGYPEPYGNNLNCVWKIIVSEGSGIQIQVISFATEQNWDSLEIHD GGDMTAPRLGSFSGTTVPALLNSTSNQLCLHFQSDISVAAAGFHLEYKTVGLAACQEPAL PSNGIKIGDRYMVNDVLSFQCEPGYTLQGRSHISCMPGTVRRWNYPSPLCIATCGGTLTS MSGVILSPGFPGSYPNNLDCTWKISLPIGYGAHIQFLNFSTEANHDYLEIQNGPYHSSPM MGQFSGPDLPTSLLSTTHETLIRFYSDHSQNRQGFKLSYQAYELQNCPDPPAFQNGFMIN SDYSVGQSISFECYPGYILLGHPVLTCQHGTDRNWNYPFPRCDAPCGYNVTSQNGTIYSP GFPDEYPILKDCLWLVTVPPGHGVYINFTLLQTEAVNDYIAVWDGPDQNSPQLGVFSGNT ALETAYSSTNQVLLKFHSDFSNGGFFVLNFHAFQLKRCPPPPAVPQADLLTEDEDFEIGD FVKYQCHPGYTLLGSDTLTCKLSSQLLFQGSPPTCEAQCPANEVRTESSGVILSPGYPGN YFNSQTCAWSIKVEPNFNITLFVDTFQSEKQFDALEVFDGSSGQSPLLVVLSGNHTEQSN FTSRSNHLYLRWSTDHATSKKGFKIRYAAPYCSLTSTLRNGGILNKTAGAVGSKVHYFCK PGYRMIGHSNATCRRNPVGVYQWDSMAPLCQAVSCGIPEAPGNGSFTGNEFTLDSKVTYE CNEGFKLDASQEATTVCQEDGLWSNRGKPPTCKPVPCPSIEGQLSEHVLWRLVSGSLNEY GAQVLLSCSPGYFLQGQRLLQCQANGTWSTEEDRPRCKVISCGSLSFPPNGNKIGTLTIY GATAIFTCNTGYTLVGSHVRECLANGLWSGSETRCLAGHCGSPDPIVNGHISGDGFSYRD TVVYQCNPGFRLVGTSVRICLQDHKWSGQTPVCVPITCGHPGNPAHGLTNGTEFNLNDLV NFTCHTGYRLQGASRAQCRSNGQWSSPLPICRVVNCSDPGSVENAVRHGQQNFPESFEYG TSVMYHCKTGFYLLGSSALTCMASGLWDRSLPKCLAISCGHPGVPANAVLTGELFTYGAT VQYSCKGGQILTGNSTRVCQEDSHWSGSLPHCSGNSPGFCGDPGTPAHGSRLGDEFKTKS LLRFSCEMGHQLRGSAERTCLVNGSWSGVQPVCEAVSCGNPGTPTNGMILSSDGILFSSS VIYACWEGYKTSGLMTRHCTANGTWTGTAPDCTIISCGDPGTLPNGIQFGTDFTFNKTVS YQCNPGYLMEPPTSPTIRCTKDGTWNQSRPLCKAVLCNQPPPVPNGKVEGSDFRWGASIS YSCVDGYQLSHSAILSCEGRGVWKGEVPQCLPVFCGDPGTPAEGRLSGKSFTFKSEVFIQ CKPPFVLVGSSRRTCQADGIWSGIQPTCIDPAHTACPDPGTPHFGIQNSSKGYEVGSTVF FRCRKGYHIQGSTTRTCLANLTWSGIQTECIPHACRQPETPAHADVRAIDLPAFGYTLVY TCHPGFFLAGGSEHRTCKADMKWTGKSPVCKSKGVREVNETVTKTPVPSDVFFINSVWKG YYEYLGKRQPATLTVDWFNATSSKVNATFTAASRVQLELTGVYKKEEAHLLLKAFHIKGP ADIFVSKFENDNWGLDGYVSSGLERGGFSFQGDIHGKDFGKFKLERQDPSNSDADSSNHY QGTSSGSVAAAILVPFFALILSGFAFYLYKHRTRPKVQYNGYAGHENSNGQASFENPMYD TNLKPTEAKAVRFDTTLNTVCTVV >ENSMUSP00000127808.1 pep:known chromosome:GRCm38:9:103190208:103230307:-1 gene:ENSMUSG00000090639.1 transcript:ENSMUST00000166836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20425 description:predicted gene 20425 [Source:MGI Symbol;Acc:MGI:5141890] MRLTVGALLACAALGLCLAVPDKTVKWCAVSEHENTKCISFRDHMKTVLPPDGPRLACVK KTSYPDCIKAISASEADAMTLDGGWVYDAGLTPNNLKPVAAEFYGSVEHPQTYYYAVAVV KKGTDFQLNQLEGKKSCHTGLGRSAGWVIPIGLLFCKLSEPRSPLEKDPVAFPKLCQLCP GCGCSSTQPFFGYVGAFKCLKDGGGDVAFVKHTTIFEVLPEKADRDQYELLCLDNTRKPV DQYEDCYLARIPSHAVVARKNNGKEDLIWEILKVAQEHFGKGKSKDFQLFSSPLGKDLLF KDSAFGLLRVPPRMDYRLYLGHNYVTAIRNQQEGVCPEGSIDNSPVKWCALSHLERTKCD EWSIISEGKIECESAETTEDCIEKIVNGEADAMTLDGGHAYIAGQCGLVPVMAEYYESSN CAIPSQQGIFPKGYYAVAVVKASDTSITWNNLKGKKSCHTGVDRTAGWNIPMGMLYNRIN HCKFDEFFSQGCAPGYEKNSTLCDLCIGPLKCAPNNKEEYNGYTGAFRCLVEKGDVAFVK HQTVLDNTEGKNPAEWAKNLKQEDFELLCPDGTRKPVKDFASCHLAQAPNHVVVSRKEKA ARVKAVLTSQETLFGGSDCTGNFCLFKSTTKDLLFRDDTKCFVKLPEGTTPEKYLGAEYM QSVGNMRKCSTSPEIPLRDYNSRDAPRPTTAWSLEPRNFGGPGRLHTSFMASANTRRVGD GAGGAFQPYLDSLRQELQQRDPTLLSVAVALLAVLLTLVFWKFIWSRKSSQRAVLFVGLC DSGKTLLFVRLLTGQYRDTQTSITDSSAIYKVNNNRGNSLTLIDLPGHESLRFQLLDRFK SSARAVVFVVDSAAFQREVKDVAEFLYQVLIDSMALKNSPSLLIACNKQDIAMAKSAKLI QQQLEKELNTLRVTRSAAPSTLDSSSTAPAQLGKKGKEFEFSQLPLKVEFLECSAKGGRG DTGSADIQDLEKWLAKIA >ENSMUSP00000031426.7 pep:known chromosome:GRCm38:5:122550204:122614518:-1 gene:ENSMUSG00000029469.14 transcript:ENSMUST00000031426.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift81 description:intraflagellar transport 81 [Source:MGI Symbol;Acc:MGI:1098597] MSDQIKFIVDSLNKEPFKKNYNLITFDSLGPMQLLQVLNDVLAEIDPKQDVDIREEMPEQ TAKRMLNLLGILKYKPPGNATDMSTFRQGLVIGSKPVIYPVLHWLLQRSNELKKRAYLAR FLIKLEVPSEFLQDETVADTNKQYEELMEAFKTLHKECEQLKTSGFSTAEIRRDISAMEE EKDQLMKRVERLKKRVETVQNHQRMLKIARQLRVEKEREEFLAQQKQEQKNQLFHAVQRL QRVQNQLKSMRHAAADAKPESLMKRLEEEIKFNSYMVTEKFPKELESKKKELHFLQKVVS EPAMGHSDLLELETKVNEVNTEINQLIEKKMMRNEPIEGKLSLYRQQASIISRKKEAKAE ELQETKEKLASLEREVLVKTNQTREFDGTEVLKGDEFKRYVSKLRSKSTVFKKKHQIIAE FKAEFGLLQRTEELLKQRQETIQHQLRTIEEKKGISGYSYTQEELERVSALKSEVDEMKG RTLDDMSEMVKKLNSLVSEKKSALAPVIKELRQLRQKCQELTQECDEKKAQYDSCAAGLE SNRSKLEQEVRGLREECLQEESKYHYTNCMIKNLEVELRRATDEMKAYVSSDQQEKRKAI REQYTKNITEQENLGKKLREKQKAVRESHGPNMKQAKMWRDLEQLMECKKQCFLKQQSPA SIGQVIQEGGEDRLVL >ENSMUSP00000143167.1 pep:known chromosome:GRCm38:5:122591208:122603493:-1 gene:ENSMUSG00000029469.14 transcript:ENSMUST00000139590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift81 description:intraflagellar transport 81 [Source:MGI Symbol;Acc:MGI:1098597] XGGRGRRISEFEASLVYKDISAMEEEKDQLMKRVERLKKRVETVQNHQRMLKIARQLRVE KEREEFLAQQKQEQKNQLFHAVQRLQRVQNQLKSMRHAAADAKPESLMKRLEEEIKFNSY MVTEKFPKELESKKKELHFLQKVVSEPAMGHSDLLELETKVNEVNTEINQLIEKKMMRNE PIEGKLSLYRQQASIISR >ENSMUSP00000116467.1 pep:known chromosome:GRCm38:5:122602312:122614445:-1 gene:ENSMUSG00000029469.14 transcript:ENSMUST00000127220.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift81 description:intraflagellar transport 81 [Source:MGI Symbol;Acc:MGI:1098597] MSDQIKFIVDSLNKEPFKKNYNLITFDSLGPMQLLQVLNDVLAEIDPKQDVDIREEMPEQ TAKRMLNLLGILKYKPPGNATDMSTFRQGLVIGSKPVIYPVLHWLLQRSNELKKRAYLAR FLIKLEVPSEFLQDETVADTNKQYEELMEAFKTLHKECEQLKTSGFSTAEIRRDISAMEE EKDQLMKRVERLKKRVETVQNHQRMLKIARQLRVEKE >ENSMUSP00000143512.1 pep:known chromosome:GRCm38:5:122602347:122614501:-1 gene:ENSMUSG00000029469.14 transcript:ENSMUST00000196452.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ift81 description:intraflagellar transport 81 [Source:MGI Symbol;Acc:MGI:1098597] MSDQIKFIVDSLNKEPFKKNYNLITFDSLGPMQLLQVLNDVLAEIDPKMLISERRCQSRQ PNEC >ENSMUSP00000118614.1 pep:known chromosome:GRCm38:5:122607033:122614516:-1 gene:ENSMUSG00000029469.14 transcript:ENSMUST00000136024.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ift81 description:intraflagellar transport 81 [Source:MGI Symbol;Acc:MGI:1098597] MSDQIKFIVDSLNKEPFKKNYNLITFDSLGPMQLLQVLNDVLAEIDPKEHLPSGLGDWK >ENSMUSP00000100912.1 pep:known chromosome:GRCm38:10:108497650:109010975:-1 gene:ENSMUSG00000035864.14 transcript:ENSMUST00000105276.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt1 description:synaptotagmin I [Source:MGI Symbol;Acc:MGI:99667] MVSASRPEALAAPVTTVATLVPHNATEPASPGEGKEDAFSKLKQKFMNELHKIPLPPWAL IAIAIVAVLLVVTCCFCVCKKCLFKKKNKKKGKEKGGKNAINMKDVKDLGKTMKDQALKD DDAETGLTDGEEKEEPKEEEKLGKLQYSLDYDFQNNQLLVGIIQAAELPALDMGGTSDPY VKVFLLPDKKKKFETKVHRKTLNPVFNEQFTFKVPYSELGGKTLVMAVYDFDRFSKHDII GEFKVPMNTVDFGHVTEEWRDLQSAEKEEQEKLGDICFSLRYVPTAGKLTVVILEAKNLK KMDVGGLSDPYVKIHLMQNGKRLKKKKTTIKKNTLNPYYNESFSFEVPFEQIQKVQVVVT VLDYDKIGKNDAIGKVFVGYNSTGAELRHWSDMLANPRRPIAQWHTLQVEEEVDAMLAVK K >ENSMUSP00000116981.1 pep:known chromosome:GRCm38:10:108627342:109009111:-1 gene:ENSMUSG00000035864.14 transcript:ENSMUST00000156979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt1 description:synaptotagmin I [Source:MGI Symbol;Acc:MGI:99667] MVSASRPEALAAPVTTVATLVPHNATEPASPGEGKEDAFSKLKQKFMNELHKIPLPPWAL IAIAIVAVLLVVTCCFCVCKKCLFKKKNKKKGKEKGGKNAINMKDVKDLGKTMKDQDDDA ETGLTDGEEKEEPKEEEKLGKLQYSLDYDFQNNQLLVGIIQAAELPALDMGGTSDPYVKV FLLPDKKKKFETKVHRKTLNPVFNEQFTFKVPYSELGGKTLVMAVYDFDRFSKHDI >ENSMUSP00000063293.7 pep:known chromosome:GRCm38:10:108497652:109010982:-1 gene:ENSMUSG00000035864.14 transcript:ENSMUST00000064054.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt1 description:synaptotagmin I [Source:MGI Symbol;Acc:MGI:99667] MVSASRPEALAAPVTTVATLVPHNATEPASPGEGKEDAFSKLKQKFMNELHKIPLPPWAL IAIAIVAVLLVVTCCFCVCKKCLFKKKNKKKGKEKGGKNAINMKDVKDLGKTMKDQALKD DDAETGLTDGEEKEEPKEEEKLGKLQYSLDYDFQNNQLLVGIIQAAELPALDMGGTSDPY VKVFLLPDKKKKFETKVHRKTLNPVFNEQFTFKVPYSELGGKTLVMAVYDFDRFSKHDII GEFKVPMNTVDFGHVTEEWRDLQSAEKEEQEKLGDICFSLRYVPTAGKLTVVILEAKNLK KMDVGGLSDPYVKIHLMQNGKRLKKKKTTIKKNTLNPYYNESFSFEVPFEQIQKVQVVVT VLDYDKIGKNDAIGKVFVGYNSTGAELRHWSDMLANPRRPIAQWHTLQVEEEVDAMLAVK K >ENSMUSP00000090854.4 pep:known chromosome:GRCm38:11:46193855:46312294:-1 gene:ENSMUSG00000020340.16 transcript:ENSMUST00000093166.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyfip2 description:cytoplasmic FMR1 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924134] MTTHVTLEDALSNVDLLEELPLPDQQPCIEPPPSSIMYQANFDTNFEDRNAFVTGIARYI EQATVHSSMNEMLEEGHDYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVT KLMKFMYFQRKAIERFCSEVKRLCHAERRKDFVSEAYLLTLGKFINMFAVLDELKNMKCS VKNDHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNRITQCLHQQLEVIPGYEELLAD IVNICVDYYENKMYLTPSEKHMLLKVMGFGLYLMDGNVSNIYKLDAKKRINLSKIDKFFK QLQVVPLFGDMQIELARYIKTSAHYEENKSKWTCTQSSISPQYNICEQMVQIRDDHIRFI SELARYSNSEVVTGSGLDSQKSDEEYRELFDLALRGLQLLSKWSAHVMEVYSWKLVHPTD KFCNKDCPGTAEEYERATRYNYTSEEKFAFVEVIAMIKGLQVLMGRMESVFNQAIRNTIY AALQDFAQVTLREPLRQAVRKKKNVLISVLQAIRKTICDWEGGREPPNDPCLRGEKDPKG GFDIKVPRRAVGPSSTQLYMVRTMLESLIADKSGSKKTLRSSLDGPIVLAIEDFHKQSFF FTHLLNISEALQQCCDLSQLWFREFFLELTMGRRIQFPIEMSMPWILTDHILETKEPSMM EYVLYPLDLYNDSAYYALTKFKKQFLYDEIEAEVNLCFDQFVYKLADQIFAYYKAMAGSV LLDKRFRAECKNYGVIIPYPPSNRYETLLKQRHVQLLGRSIDLNRLITQRISAAMYKSLD QAISRFESEDLTSIVELEWLLEINRLTHRLLCKHMTLDSFDAMFREANHNVSAPYGRITL HVFWELNFDFLPNYCYNGSTNRFVRTAIPFTQEPQRDKPANVQPYYLYGSKPLNIAYSHI YSSYRNFVGPPHFKTICRLLGYQGIAVVMEELLKIVKSLLQGTILQYVKTLIEVMPKICR LPRHEYGSPGILEFFHHQLKDIIEYAELKTDVFQSLREVGNAILFCLLIEQALSQEEVCD LLHAAPFQNILPRVYIKEGERLEVRMKRLEAKYAPLHLVPLIERLGTPQQIAIAREGDLL TKERLCCGLSMFEVILTRIRSYLQDPIWRGPPPTNGVMHVDECVEFHRLWSAMQFVYCIP VGTNEFTAEQCFGDGLNWAGCSIIVLLGQQRRFDLFDFCYHLLKVQRQDGKDEIIKNVPL KKMADRIRKYQILNNEVFAILNKYMKSVETDSSTVEHVRCFQPPIHQSLATTC >ENSMUSP00000119801.1 pep:known chromosome:GRCm38:11:46196071:46270669:-1 gene:ENSMUSG00000020340.16 transcript:ENSMUST00000142017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyfip2 description:cytoplasmic FMR1 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924134] XWTCTQSSISPQYNICEQMVQIRDDHIRFISELARYSNSEVVTGSGLDSQKSDEEYRELF DLALRGLQLLSKWSAHVMEVYSWKLVHPTDKFCNKDCPGTAEEYERATRYNYTSEEKFAF VEVIAMIKGLQVLMGRMESVFNQAIRNTIYAALQDFAQVTLREPLRQAVRKKKNVLISVL QAIRKTICDWEGGREPPNDPCLRGEKDPKGGFDIKVPRRAVGPSSTQACQWSPRALFHPT GGTQGRRGCRSLLYMVRTMLESLIADKSGSKKTLRSSLDGPIVLAIEDFHKQSFFFTHLL NISEALQQCCDLSQLWFREFFLELTMGRRIQFPIEMSMPWILTDHILETKEPSMMEYVLY PLDLYNDSAYYALTKFKKQFLYDEIEAEVNLCFDQFVYKLADQIFAYYKAMAGSVLLDKR FRAECKNYGVIIPYPPSNRYETLLKQRHVQLLGRSIDLNRLITQRISAAMYKSLDQAISR FESEDLTSIVELEWLLEINRLTHRLLCKHMTLDSFDAMFREANHNVSAPYGRITLHVFWE LNFDFLPNYCYNGSTNRFVRTAIPFTQEPQRDKPANVQPYYLYGSKPLNIAYSHIYSSYR NFVGPPHFKTICRLLGYQGIAVVMEELLKIVKSLLQGTILQYVKTLIEVMPKICRLPRHE YGSPGILEFFHHQLKDIIEYAELKTDVFQSLREVGNAILFCLLIEQALSQEEVCDLLHAA PFQNILPRVYIKEGERLEVRMKRLEAKYAPLHLVPLIERLGTPQQIAIAREGDLLTKERL CCGLSMFEVILTRIRSYLQDPIWRGPPPTNGVMHVDECVEFHRLWSAMQFVYCIPVGTNE FTAEQCFGDGLNWAGCSIIVLLGQQRRFDLFDFCYHLLKVQRQDGKDEIIKNVPLKKMAD RIRKYQILNNEVFAILNKYMKSVETDSSTVEHVRCFQPPIHQSLATTC >ENSMUSP00000116715.1 pep:known chromosome:GRCm38:11:46291577:46312220:-1 gene:ENSMUSG00000020340.16 transcript:ENSMUST00000129474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyfip2 description:cytoplasmic FMR1 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924134] MTTHVTLEDALSNVDLL >ENSMUSP00000127586.2 pep:known chromosome:GRCm38:11:46193850:46312358:-1 gene:ENSMUSG00000020340.16 transcript:ENSMUST00000165599.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyfip2 description:cytoplasmic FMR1 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924134] MTTHVTLEDALSNVDLLEELPLPDQQPCIEPPPSSIMYQANFDTNFEDRNAFVTGIARYI EQATVHSSMNEMLEEGHDYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVT KLMKFMYFQRKAIERFCSEVKRLCHAERRKDFVSEAYLLTLGKFINMFAVLDELKNMKCS VKNDHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNRITQCLHQQLEVIPGYEELLAD IVNICVDYYENKMYLTPSEKHMLLKVMGFGLYLMDGNVSNIYKLDAKKRINLSKIDKFFK QLQVVPLFGDMQIELARYIKTSAHYEENKSKWTCTQSSISPQYNICEQMVQIRDDHIRFI SELARYSNSEVVTGSGLDSQKSDEEYRELFDLALRGLQLLSKWSAHVMEVYSWKLVHPTD KFCNKDCPGTAEEYERATRYNYTSEEKFAFVEVIAMIKGLQVLMGRMESVFNQAIRNTIY AALQDFAQVTLREPLRQAVRKKKNVLISVLQAIRKTICDWEGGREPPNDPCLRGEKDPKG GFDIKVPRRAVGPSSTQLYMVRTMLESLIADKSGSKKTLRSSLDGPIVLAIEDFHKQSFF FTHLLNISEALQQCCDLSQLWFREFFLELTMGRRIQFPIEMSMPWILTDHILETKEPSMM EYVLYPLDLYNDSAYYALTKFKKQFLYDEIEAEVNLCFDQFVYKLADQIFAYYKAMAGSV LLDKRFRAECKNYGVIIPYPPSNRYETLLKQRHVQLLGRSIDLNRLITQRISAAMYKSLD QAISRFESEDLTSIVELEWLLEINRLTHRLLCKHMTLDSFDAMFREANHNVSAPYGRITL HVFWELNFDFLPNYCYNGSTNRFVRTAIPFTQEPQRDKPANVQPYYLYGSKPLNIAYSHI YSSYRNFVGPPHFKTICRLLGYQGIAVVMEELLKIVKSLLQGTILQYVKTLIEVMPKICR LPRHEYGSPGILEFFHHQLKDIIEYAELKTDVFQSLREVGNAILFCLLIEQALSQEEVCD LLHAAPFQNILPRVYIKEGERLEVRMKRLEAKYAPLHLVPLIERLGTPQQIAIAREGDLL TKERLCCGLSMFEVILTRIRSYLQDPIWRGPPPTNGVMHVDECVEFHRLWSAMQFVYCIP VGTNEFTAEQCFGDGLNWAGCSIIVLLGQQRRFDLFDFCYHLLKVQRQDGKDEIIKNVPL KKMADRIRKYQILNNEVFAILNKYMKSVETDSSTVEHVRCFQPPIHQSLATTC >ENSMUSP00000090853.5 pep:known chromosome:GRCm38:11:46193850:46312859:-1 gene:ENSMUSG00000020340.16 transcript:ENSMUST00000093165.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyfip2 description:cytoplasmic FMR1 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924134] MTTHVTLEDALSNVDLLEELPLPDQQPCIEPPPSSIMYQANFDTNFEDRNAFVTGIARYI EQATVHSSMNEMLEEGHDYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVT KLMKFMYFQRKAIERFCSEVKRLCHAERRKDFVSEAYLLTLGKFINMFAVLDELKNMKCS VKNDHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNRITQCLHQQLEVIPGYEELLAD IVNICVDYYENKMYLTPSEKHMLLKVMGFGLYLMDGNVSNIYKLDAKKRINLSKIDKFFK QLQVVPLFGDMQIELARYIKTSAHYEENKSKWTCTQSSISPQYNICEQMVQIRDDHIRFI SELARYSNSEVVTGSGLDSQKSDEEYRELFDLALRGLQLLSKWSAHVMEVYSWKLVHPTD KFCNKDCPGTAEEYERATRYNYTSEEKFAFVEVIAMIKGLQVLMGRMESVFNQAIRNTIY AALQDFAQVTLREPLRQAVRKKKNVLISVLQAIRKTICDWEGGREPPNDPCLRGEKDPKG GFDIKVPRRAVGPSSTQLYMVRTMLESLIADKSGSKKTLRSSLDGPIVLAIEDFHKQSFF FTHLLNISEALQQCCDLSQLWFREFFLELTMGRRIQFPIEMSMPWILTDHILETKEPSMM EYVLYPLDLYNDSAYYALTKFKKQFLYDEIEAEVNLCFDQFVYKLADQIFAYYKAMAGSV LLDKRFRAECKNYGVIIPYPPSNRYETLLKQRHVQLLGRSIDLNRLITQRISAAMYKSLD QAISRFESEDLTSIVELEWLLEINRLTHRLLCKHMTLDSFDAMFREANHNVSAPYGRITL HVFWELNFDFLPNYCYNGSTNRFVRTAIPFTQEPQRDKPANVQPYYLYGSKPLNIAYSHI YSSYRNFVGPPHFKTICRLLGYQGIAVVMEELLKIVKSLLQGTILQYVKTLIEVMPKICR LPRHEYGSPGILEFFHHQLKDIIEYAELKTDVFQSLREVGNAILFCLLIEQALSQEEVCD LLHAAPFQNILPRVYIKEGERLEVRMKRLEAKYAPLHLVPLIERLGTPQQIAIAREGDLL TKERLCCGLSMFEVILTRIRSYLQDPIWRGPPPTNGVMHVDECVEFHRLWSAMQFVYCIP VGTNEFTAEQCFGDGLNWAGCSIIVLLGQQRRFDLFDFCYHLLKVQRQDGKDEIIKNVPL KKMADRIRKYQILNNEVFAILNKYMKSVETDSSTVEHVRCFQPPIHQSLATTC >ENSMUSP00000020617.2 pep:known chromosome:GRCm38:11:49609263:49652739:1 gene:ENSMUSG00000020357.3 transcript:ENSMUST00000020617.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flt4 description:FMS-like tyrosine kinase 4 [Source:MGI Symbol;Acc:MGI:95561] MQPGAALNLRLWLCLGLLQGLANGYSMTPPTLNITEDSYVIDTGDSLSISCRGQHPLEWT WPGAQEVLTTGGKDSEDTRVVHDCEGTEARPYCKVLLLAQTHANNTGSYHCYYKYIKARI EGTTAASTYVFVRDFKHPFINKPDTLLVNRKDSMWVPCLVSIPGLNITLRSQSSALHPDG QEVLWDDRRGMRVPTQLLRDALYLQCETTWGDQNFLSNLFVVHITGNELYDIQLYPKKSM ELLVGEKLVLNCTVWAEFDSGVTFDWDYPGKQAERAKWVPERRSQQTHTELSSILTIHNV SQNDLGPYVCEANNGIQRFRESTEVIVHEKPFISVEWLKGPVLEATAGDELVKLPVKLAA YPPPEFQWYKDRKAVTGRHNPHALVLKEVTEASAGVYTLALWNSAAGLRQNISLELVVNV PPHIHEKEASSPSIYSRHSRQTLTCTAYGVPQPLSVQWHWRPWTPCKTFAQRSLRRRQQR DGMPQCRDWKEVTTQDAVNPIESLDSWTEFVEGKNKTVSKLVIQDANVSAMYKCVVVNKV GQDERLIYFYVTTIPDGFSIESEPSEDPLEGQSVRLSCRADNYTYEHLRWYRLNLSTLHD AQGNPLLLDCKNVHLFATPLEANLEEAEPGARHATLSLNIPRVAPEDEGDYVCEVQDRRS QDKHCHKKYLSVQALEAPRLTQNLTDLLVNVSDSLEMRCPVAGAHVPSIVWYKDERLLEK ESGIDLADSNQRLSIQRVREEDAGRYLCSVCNAKGCVNSSASVAVEGSEDKGSMEIVILI GTGVIAVFFWVLLLLIFCNMKRPAHADIKTGYLSIIMDPGEVPLEEQCEYLSYDASQWEF PRERLHLGRVLGHGAFGKVVEASAFGINKGSSCDTVAVKMLKEGATASEHRALMSELKIL IHIGNHLNVVNLLGACTKPNGPLMVIVEFCKYGNLSNFLRVKRDTFNPYAEKSPEQRRRF RAMVEGAKADRRRPGSSDRALFTRFLMGKGSARRAPLVQEAEDLWLSPLTMEDLVCYSFQ VARGMEFLASRKCIHRDLAARNILLSESDIVKICDFGLARDIYKDPDYVRKGSARLPLKW MAPESIFDKVYTTQSDVWSFGVLLWEIFSLGASPYPGVQINEEFCQRLKDGTRMRAPELA TPAIRHIMQSCWSGDPKARPAFSDLVEILGDLLQGGGWQEEEEERMALHSSQSSEEDGFM QASTTALHITEADADDSPPSMHCHSLAARYYNCVSFPGRLARGTKTPGSSRMKTFEELPM TPTTYKASMDNQTDSGMVLASEEFEELESRHRPEGSFSCKGPGQHMDIPRGHPDPQGRRR RPTQGAQGGKVFYNNEYGEVSQPCTEGDCCPSAGSTFFADSSY >ENSMUSP00000051623.2 pep:known chromosome:GRCm38:6:92816478:92843465:1 gene:ENSMUSG00000048636.7 transcript:ENSMUST00000057977.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A730049H05Rik description:RIKEN cDNA A730049H05 gene [Source:MGI Symbol;Acc:MGI:1921766] MPLLHALATGHRALRRHRIHQEVCKQPWCICLMEKDSIAKGMSSLGCGTAEDMDISMMTK FCMGLASASQRTRKEEEGNTEERHENGVRAYSACHKSVYVSSWKKKRVVGKTQAPKSLIF MFQRFTEESIQRPMKKNMV >ENSMUSP00000083330.4 pep:known chromosome:GRCm38:3:92215799:92221911:1 gene:ENSMUSG00000078664.2 transcript:ENSMUST00000074449.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2a1 description:small proline-rich protein 2A1 [Source:MGI Symbol;Acc:MGI:1330350] MSYYQQQCNQPCRPPPVCPPPKCPEPCPPQVWPGPCRPVMCFEPCLPSVWPGPCRPVVCY EQCPPQPWQSTCPPVQFPPCQQK >ENSMUSP00000056948.4 pep:known chromosome:GRCm38:1:155096361:155099636:-1 gene:ENSMUSG00000056708.5 transcript:ENSMUST00000055322.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ier5 description:immediate early response 5 [Source:MGI Symbol;Acc:MGI:1337072] MEFKLEAHRIVSISLGKIYNSRVQRGGIKLHKNLLVSLVLRSARQVYLSDPCPGLYLAGP AGTPAVPPPQQPGEPVAGPPSGWGEPPPPVARAAWPEPEPQPQPQPQRPSVCHTPGAGSS EPVAAVAGSGEALRGGEEDSAAAAWGRVERPRAASSGGGSDACPEGPRAVRRPCGCPPAV EERSSEDGSPAPPAPCPRKRGAAGVGGGRASCSAPGSTPLKKPRRNSEEQPVTGEDDTDE EMETGNVANLISIFGSSFSGLLRKSPAGGREEEEAEEGGPEAAEPGQICCDKPVLRDMSP WSTAIVAF >ENSMUSP00000113527.1 pep:known chromosome:GRCm38:8:118283733:119324921:1 gene:ENSMUSG00000031841.18 transcript:ENSMUST00000117160.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh13 description:cadherin 13 [Source:MGI Symbol;Acc:MGI:99551] MQPRTPLTLCVLLSQVLLVTSADDLECTPGFQRKVLHIHQPAEFIEDQPVLNLTFNDCKG NEKLHYEVSSPHFKVNSDGTLVALRNITAVGRTLFVHARTPHAEDMAELVIVGGKDIQGS LQDIFKFARTSPVPRQKRSIVVSPILIPENQRQPFPRDVGKVVDSDRPEGSKFRLTGKGV DQDPKGTFRINENTGSVSVTRTLDRETIATYQLYVETTDASGKTLEGPVPLEVIVIDQND NRPIFREGPYIGHVMEGSPTGTTVMRMTAFDADDPATDNALLRYNIRQQTPDKPSPNMFY IDPEKGDIVTVVSPALLDRETLENPKYELIIEAQDMAGLDVGLTGTATATIVIDDKNDHS PKFTKKEFQATVEEGAVGVIVNLTVEDKDDPTTGAWRAAYTIINGNPGQSFEIHTNPQTN EGMLSVVKPLDYEISAFHTLLIKVENEDPLVPDVSYGPSSTATVHITVLDVNEGPVFYPD PMMVTKQENISVGSVLLTVNATDPDSLQHQTIRYSIYKDPAGWLSINPINGTVDTTAVLD RESPFVHNSVYTALFLAIDSGNPPATGTGTLLITLEDINDNAPVIYPTVAEVCDDARNLS VVILGASDKDLHPNTDPFKFEIHKQTVPDKVWKISKINNTHALVSLLQNLNKANYNLPIM VTDSGKPPMTNITDLRVQVCSCKNSKVDCNGAGALHLSLSLLLLFSLLSLLSGL >ENSMUSP00000001611.9 pep:known chromosome:GRCm38:5:29434664:29457843:1 gene:ENSMUSG00000001569.10 transcript:ENSMUST00000001611.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nom1 description:nucleolar protein with MIF4G domain 1 [Source:MGI Symbol;Acc:MGI:1861749] MPRNVPEVNGVYRHGACELWCRAMAHALLKRSGVRRGLGGREGPLKRLRLAVEDFVRTTS ESEACESRSAVARSRPGGRKSRKELRKEKRHLRKARRLQRTVGSGSGDQGGNVGLNDGPE TRRPPTEVRPTPAKATATPAKASAPSTNTKASAAQPKAKAKGAPGKPGPATATARKRALL AANEEEDREIRKLERCLGLHKRKKKGDGSSVPLSFARDGLDYILGALECGSGGGLYESSE EEEEEKLETGQTVLESDLESNSKESEEDPDWQVLQEDQEDVNSKRRGEAESGTRGNKGTK KVRFAEVVEKSRSSSEDDIEQQESHSVESGEKYIPPRLRNEEVIDVHKKEELDRLKKHVK GLINRLSEPNMASISGQLEELYMAHSRKDMNDTLTTALMDACVTASAMPSRLMMEHVFLV SILHHTVGIEVGACFLEAVVKKFDAIYRDGGEGKELDNLFTMIAHLYNFHVVQSILIFDI LKKLVGTFTEKDIELILLMLKNVGFALRKDDALSLKELITEAQTQASGAGNKFQDQNRVR FMLETMLALKNNDLRKIPGYNPEPVEKLKKLQRTLVRNAGSGSETRLRISWDGILNAEQT GRWWIVGSAWSGTPMIDNSHHIQLQKPLAGMASSKMLELARKQRMNTDVRRIIFCTLMTS EDFLDAFEKLLKLGLKDQQEREIVHILMDCCLQEKTYNPFYAFLASKFCDYERRFQMTFQ FSIWDKFRDLENLPDTKFSNLVHLLAHLLRTKSLPLSVLKVVEFSELDKPRVHFLRRVLT ALLMETEDDDLAVIFSRVSDNPKLGMLREGLKLFIGHFLLKHTQAHQSAEEASLLREKAG LASKSLQGKAILRM >ENSMUSP00000109061.1 pep:known chromosome:GRCm38:6:92869357:92884411:1 gene:ENSMUSG00000079462.1 transcript:ENSMUST00000113434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15737 description:predicted gene 15737 [Source:MGI Symbol;Acc:MGI:3783179] MIRMLDPFDLTTFEESHLFMRGEQEIQSDGSRLNSFRTGWSLCEENTGKARKRLATLVSI ASPTESPLKPTDSGRKIQGKQRPHLKSQSVPHASVTGPGWGSRSHL >ENSMUSP00000102950.1 pep:known chromosome:GRCm38:3:92250747:92256724:1 gene:ENSMUSG00000068893.5 transcript:ENSMUST00000071805.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2a2 description:small proline-rich protein 2A2 [Source:MGI Symbol;Acc:MGI:3845026] MSYYQQQCNQPCRPPPVCPPPKCPEPCPPQVWPGPCRPVMCFEPCLPSVWPGPCRPVVCY EQCPPQPWQSTCPPVQFPPCQQK >ENSMUSP00000088386.2 pep:known chromosome:GRCm38:3:92215920:92254564:1 gene:ENSMUSG00000068893.5 transcript:ENSMUST00000090871.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2a2 description:small proline-rich protein 2A2 [Source:MGI Symbol;Acc:MGI:3845026] MSYYQQQCNQPCRPPPVCPPPKCPEPCPPQVWPGPCRPVMCFEPCLPSVWPGPCRPVVCY EQCPPQPWQSTCPPVQFPPCQQK >ENSMUSP00000083187.5 pep:known chromosome:GRCm38:5:130872082:131308497:-1 gene:ENSMUSG00000034040.16 transcript:ENSMUST00000086023.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr17 description:Williams-Beuren syndrome chromosome region 17 homolog (human) [Source:MGI Symbol;Acc:MGI:2137594] MASLRRVKVLLVLNLIAVAGFVIFLAKCRPIAVRSGDAFHEIRPRAEVANLSAHSASPIQ DAVLKRLSLLEDIVYRQLNGLSKSLGLIEGYGGRGKGGLPATLSPSEEEKAKGPHEKYGY NSYLSEKISLDRSIPDYRPTKCKELKYSKELPQISIIFIFVNEALSVILRSVHSAVNHTP THLLKEIILVDDNSDEEELKAPLEEYVHKRYPGLVKVVRNQKREGLIRARIEGWKAATGQ VTGFFDAHVEFTAGWAEPVLSRIQENRKRVILPSIDNIKQDNFEVQRYENSAHGYSWELW CMYISPPKDWWDAGDPSLPIRTPAMIGCSFVVNRKFFGEIGLLDPGMDVYGGENIELGIK VWLCGGSMEVLPCSRVAHIERKKKPYNSNIGFYTKRNALRVAEVWMDDYKSHVYIAWNLP LENPGIDIGDVSERKALRKSLKCKNFQWYLDHVYPEMRRYNNTIAYGELRNNKAKDVCLD QGPLENHTAILYPCHGWGPQLARYTKEGFLHLGALGTTTLLPDTRCLVDNSKSRLPQLLD CDKVKSSLYKRWNFIQNGAIMNKGTGRCLEVENRGLAGIDLILRSCTGQRWAIKNPIK >ENSMUSP00000125395.1 pep:known chromosome:GRCm38:5:130963676:131308076:-1 gene:ENSMUSG00000034040.16 transcript:ENSMUST00000160609.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr17 description:Williams-Beuren syndrome chromosome region 17 homolog (human) [Source:MGI Symbol;Acc:MGI:2137594] MASLRRVKVLLVLNLIAVAGFVIFLAKCRPIAVRSGDAFHEIRPRAEVANLSAHSASPIQ DAVLKRLSLLEDIVYRQLNGLSKSLGLIEGYGGRGKGGLPATLSPSEEEKAKGPHEKYGY NSYLSEKISLDRSIPDYRPTKCKELKYSKELPQISIIFIFVNEALSVILRSVHSAVNHTP THLLKEIILVDDNSDEEELKAPLEEYVHKRYPGLVKVVRNQKREGLIRARIEGWKAATGQ VTGFFDAHVEFTAGWAEPVLSRIQENRKRVILPSIDNIKQDNFEVQRYENSAHGYSWELW CMYISPPKDWWDAGDPSLPIRTPAMIGCSFVVNRKFFGEIGLLDPGMDVYGGENIELGIK VGNMASLPPDL >ENSMUSP00000100037.3 pep:known chromosome:GRCm38:13:23531050:23531522:1 gene:ENSMUSG00000060981.6 transcript:ENSMUST00000102972.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4h description:histone cluster 1, H4h [Source:MGI Symbol;Acc:MGI:2448427] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000109065.1 pep:known chromosome:GRCm38:6:92772699:92943492:-1 gene:ENSMUSG00000030022.14 transcript:ENSMUST00000113438.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts9 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 9 [Source:MGI Symbol;Acc:MGI:1916320] MQLVSWATLLTLLVPDLVEMRSPDSAAAVRKDRLHPRQVKLLESLSEYEIASPIRVNPLG EPFPTNVHFKRRRRSINSASDPWPAFASSSSSSTSSQEHYRLSAFGQQFLFNLTAHTGFI APLFTVTLLGEPGVNQTKLYSEEEMELRHCFYKGHVNTKSEHTAVISLCSGMMGTFRSHD GDYFIEPLQSVDEQEDEEEQNKPHIIYRHSTPQREPSTGKHACATSELKNSHSKDKRKIR MRKRRKRNSLADDVALLKSGLATKVLSGYSNQTNSTRDRWNHKRTKRFLSYPRFVEVMVV ADHRMVLYHGANLQHYILTLMSIVASIYKDSSIGNLINIVIVNLVVIHNEQEGPYINFNA QTTLKNFCQWQHSKNYLGGIQHDTAVLVTREDICRAQDKCDTLGLAELGTICDPYRSCSI SEDSGLSTAFTIAHELGHVFNMPHDDSNKCKEEGVKSPQHVMAPTLNFYTNPWMWSKCSR KYITEFLDTGYGECLLNEPASRTYPLPSQLPGLLYNVNKQCELIFGPGSQVCPYMMQCRR LWCNNVDGAHKGCRTQHTPWADGTECEPGKHCKFGFCVPKEMEGPAIDGSWGGWSHFGTC SRTCGGGIKTAIRECNRPEPKNGGKYCVGRRMKFKSCNTEPCMKQKRDFREEQCAHFDGK HFNINGLLPSVRWVPKYSGILMKDRCKLFCRVAGNTAYYQLRDRVIDGTPCGQDTNDICV QGLCRQAGCDHILNSKARKDKCGICGGDNSSCKTVAGTFNTVHYGYNTVVRIPAGATSID VRQHSFSGKSEDDNYLALSNSKGEFLLNGDFVVSMSKREVRVGSAVIEYSGSDNVVERLN CTDRIEEELLLQVLSVGKLYNPDVRYSFNIPIEDKPQQFYWNSHGPWQACSKPCQGERRR KLVCTRESDQLTVSDQRCDRLPQPGPVTEACGTDCDLRWHVASKSECSAQCGLGYRTLDI HCAKYSRMDGKTEKVDDSFCSSQPRPSNQEKCSGECSTGGWRYSAWTECSRSCDGGTQRR RAICVNTRNDVLDDSKCTHQEKVVVQSCNEFSCPHWKTGDWSECLVTCGKGHKHRQVWCQ FGEDRLSDRMCDPEAKPEPMQTCQQPECAAWQAGPWGQCSVTCGQGYQLRAVKCIMGTYM SVVDDNDCNAATRPTDTQDCELASCHPSILALEPRRNAQSIPRTQWRFGSWTPCSATCGK GTRMRYVSCRDEDGSVADESACATLPKPVAKEECSVTPCGQWKALDWSSCSVTCGQGKAT RQVVCVNYSDHVIDRSECDPDYIPETDQDCSMSPCPQWTGLAHPFQNEDFRPRSDSPSRT HVLGGNQWRTGPWGACSSTCAGGSQRRVVVCQDENGYTANDCVERIKPDEQRACESGPCP QWAYGSWGECTKLCGGGMRTRLVVCQRANGDRFPDLSCEVLDKPTDREQCNTHACPQDAA WSTGPWSSCSVSCGRGHKHRNVYCLAKDGSHLESDNCKHLPKPHGHRRCRGGRCPRWKAG AWSQCSVSCGQGVQQRHVGCQIGTHKAARESECSSYSRPESERVCQASPCPLYTWRAEQW QQCTKTCGEGSRYRQVVCVAEDQSEVHSTHCDSDQRPPDRESCSLQPCEYVWITGEWSEC SVTCGKGYRQRLVSCSEIYTGKENYEYSYQTTVNCPGAQPPSVHPCYLRDCPVSATWRVG NWGSCSVSCGIGVMHRSVQCLTNEDQPSHLCPTDTKPEERKACRNVYNCELPQNCKEVKK LNSASVDGEYFLAVRGKPLKVFCAGMNSDYPKEYVTLAHGDSENFSEVYGHRLHNPTECP YNGSRRDDCHCRKDYTAAGFSSFQKIRLDLTSMQIITTDLEFARTSEGHPVPFATAGDCY SAAKCPQGRFSINLYGTGLSLTESARWTSQGNYAVSDIKKSPDGTRVVGKCGGYCGKCTP SSGTGLEVRVS >ENSMUSP00000126498.1 pep:known chromosome:GRCm38:6:92772702:92901441:-1 gene:ENSMUSG00000030022.14 transcript:ENSMUST00000167391.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts9 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 9 [Source:MGI Symbol;Acc:MGI:1916320] MEGPAIDGSWGGWSHFGTCSRTCGGGIKTAIRECNRPEPKNGGKYCVGRRMKFKSCNTEP CMKQKRDFREEQCAHFDGKHFNINGLLPSVRWVPKYSGILMKDRCKLFCRVAGNTAYYQL RDRVIDGTPCGQDTNDICVQGLCRQAGCDHILNSKARKDKCGICGGDNSSCKTVAGTFNT VHYGYNTVVRIPAGATSIDVRQHSFSGKSEDDNYLALSNSKGEFLLNGDFVVSMSKREVR VGSAVIEYSGSDNVVERLNCTDRIEEELLLQVLSVGKLYNPDVRYSFNIPIEDKPQQFYW NSHGPWQACSKPCQGERRRKLVCTRESDQLTVSDQRCDRLPQPGPVTEACGTDCDLRWHV ASKSECSAQCGLGYRTLDIHCAKYSRMDGKTEKVDDSFCSSQPRPSNQEKCSGECSTGGW RYSAWTECSRSCDGGTQRRRAICVNTRNDVLDDSKCTHQEKVVVQSCNEFSCPHWKTGDW SECLVTCGKGHKHRQVWCQFGEDRLSDRMCDPEAKPEPMQTCQQPECAAWQAGPWGQCSV TCGQGYQLRAVKCIMGTYMSVVDDNDCNAATRPTDTQDCELASCHPSILALEPRRNAQSI PRTQWRFGSWTPCSATCGKGTRMRYVSCRDEDGSVADESACATLPKPVAKEECSVTPCGQ WKALDWSSCSVTCGQGKATRQVVCVNYSDHVIDRSECDPDYIPETDQDCSMSPCPQWTGL AHPFQNEDFRPRSDSPSRTHVLGGNQWRTGPWGACSSTCAGGSQRRVVVCQDENGYTAND CVERIKPDEQRACESGPCPQWAYGSWGECTKLCGGGMRTRLVVCQRANGDRFPDLSCEVL DKPTDREQCNTHACPQDAAWSTGPWSSCSVSCGRGHKHRNVYCLAKDGSHLESDNCKHLP KPHGHRRCRGGRCPRWKAGAWSQCSVSCGQGVQQRHVGCQIGTHKAARESECSSYSRPES ERVCQASPCPLYTWRAEQWQQCTKTCGEGSRYRQVVCVAEDQSEVHSTHCDSDQRPPDRE SCSLQPCEYVWITGEWSECSVTCGKGYRQRLVSCSEIYTGKENYEYSYQTTVNCPGAQPP SVHPCYLRDCPVSATWRVGNWGSCSVSCGIGVMHRSVQCLTNEDQPSHLCPTDTKPEERK ACRNVYNCELPQNCKEVKKLNSASVDGEYFLAVRGKPLKVFCAGMNSDYPKEYVTLAHGD SENFSEVYGHRLHNPTECPYNGSRRDDCHCRKDYTAAGFSSFQKIRLDLTSMQIITTDLE FARTSEGHPVPFATAGDCYSAAKCPQGRFSINLYGTGLSLTESARWTSQGNYAVSDIKKS PDGTRVVGKCGGYCGKCTPSSGTGLEVRVS >ENSMUSP00000004729.3 pep:known chromosome:GRCm38:7:43444083:43457800:1 gene:ENSMUSG00000004610.4 transcript:ENSMUST00000004729.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etfb description:electron transferring flavoprotein, beta polypeptide [Source:MGI Symbol;Acc:MGI:106098] MAELRALVAVKRVIDFAVKIRVKPDKSGVVTDGVKHSMNPFCEIAVEEAVRLKEKKLVKE IIAVSCGPSQCQETIRTALAMGADRGIHVEIPGAQAESLGPLQVARVLAKLAEKEKVDLL FLGKQAIDDDCNQTGQMTAGLLDWPQGTFASQVTLEGDKVKVEREIDGGLETLRLKLPAV VTADLRLNEPRYATLPNIMKAKKKKIEVVKAGDLGVDLTSKVSVISVEEPPQRSAGVKVE TTEDLVAKLKEVGRI >ENSMUSP00000145608.1 pep:known chromosome:GRCm38:7:43444119:43457664:1 gene:ENSMUSG00000004610.4 transcript:ENSMUST00000206286.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etfb description:electron transferring flavoprotein, beta polypeptide [Source:MGI Symbol;Acc:MGI:106098] MAELRALVAVKRVIDFAVKIRVKPDKSGVVTDGVKHSMNPFCEIAVEEAVRLKEKKLVKE IIAVSCGPSQCQAIDDDCNQTGQMTAGLLDWPQGTFASQVTLEGDKVKVEREIDGGLETL RLKLPAVVTADLRLNEPRYATLPNIMKAKKKKIEVVKAGDLGVDLTSKVSVISVEEPP >ENSMUSP00000145672.1 pep:known chromosome:GRCm38:7:43444130:43456573:1 gene:ENSMUSG00000004610.4 transcript:ENSMUST00000206196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etfb description:electron transferring flavoprotein, beta polypeptide [Source:MGI Symbol;Acc:MGI:106098] MAELRALVAVKRVIDFAVKIRVKPDKSGVVTDGVKHSMNPFCEIAVEEAVRLKEKKLVKE IIAVSCGPSQCQETIRTALAMGADRGIHVEIPGAQAESLGPLQVARVLAKLAEKEKVDLL FLGKQAIDDDCNQTGQMTAGLLDWPQGTFASQVTLEGDKVKVEREIDGGLETLRLKLP >ENSMUSP00000145650.1 pep:known chromosome:GRCm38:7:43444150:43457781:1 gene:ENSMUSG00000004610.4 transcript:ENSMUST00000206411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etfb description:electron transferring flavoprotein, beta polypeptide [Source:MGI Symbol;Acc:MGI:106098] XAELRALVAVKRVIDFAVKIRVKPDKSGVVTDGVKHSMNPFCEIAVEEAVRLKEKKLVKE IIAVSCGPSQCQETIRTALAMGADRGIHVEIPGAQAESLGPLQVARVLAKLAEKEKVDLL FLGKQAIDDDCNQTGQMTAGLLDWPQGTFASQVTLEGDKVKVEREIDGGLETLRLKLPAV VTADLRLNEPRYATLPNIMQESRWRPQKTWWPS >ENSMUSP00000146325.1 pep:known chromosome:GRCm38:7:43452890:43457781:1 gene:ENSMUSG00000004610.4 transcript:ENSMUST00000205363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etfb description:electron transferring flavoprotein, beta polypeptide [Source:MGI Symbol;Acc:MGI:106098] XVARVLAKLAEKEKVDLLFLGKQAIDDDCNQTGQMTAGLLDWPQKAKKKKIEVVKAGDLG VDLTSKVSVISVEEPPQRSAGVKVETTEDLVAKLKEVGRI >ENSMUSP00000080432.6 pep:known chromosome:GRCm38:5:10242041:10246492:-1 gene:ENSMUSG00000069355.12 transcript:ENSMUST00000081735.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5152 description:predicted gene 5152 [Source:MGI Symbol;Acc:MGI:3643407] XRASVDGRETRERRKGAGLPSESNEGRRRWTWRMWRARRHTSSTGPDLSKKEFKKEKERL TTELHLLIQMRNEQRDHLIDFKESSNYNRTKPIQKKNPFYEQLRSTKDQVLSSVYKLEMG IIEAQENIQELNKWIDYFTNLHSQLMVEKNLKMSVTQNQKNKEVEIDWALIEKYLVDLNL NGQTGADQQP >ENSMUSP00000085997.6 pep:known chromosome:GRCm38:5:10243815:10246526:-1 gene:ENSMUSG00000069355.12 transcript:ENSMUST00000088625.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5152 description:predicted gene 5152 [Source:MGI Symbol;Acc:MGI:3643407] VNMFARLCNLFGRASVDGRETRERRKGAGLPSESNEGRRRWTWRMWRARRHTSSTGPDLS KKEFKKEKERLTTELHLLIQMRNEQRDHLIDFKESSNYNRTKPIQKKNPFYEQLRSTKDQ VLSSVYKLEMGIIEAQENI >ENSMUSP00000074799.2 pep:known chromosome:GRCm38:1:174391046:174392055:1 gene:ENSMUSG00000059503.2 transcript:ENSMUST00000075329.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr248 description:olfactory receptor 248 [Source:MGI Symbol;Acc:MGI:3030082] MINQTILQEFILIGFSAYPLVQTCLFVVFLCLYMVALASNLTIMGLTWADRYLHTPMYYF LSALSFSETCYTLTIIPKMLVDLLDKDNRISDIGCGLQMCFFLGLGGTNCILLTVMGYDR FLAICNALKYPLLMTNVACGQHVATAWVGGFLISLIETTLIFRVSFCIPNLIRHFFCHMR AVLRLSCTDSNFTEFIVTLMSVSGLLGTFLLILLTYVFILSSVLKTPSAEGKQKAFTPCA SHLTVAIIHFVFAPVVYLKPENSGGDDTLIAVPYTVITPFLSPIIFTLRNKDIKNAFRKI MRKTVVLKK >ENSMUSP00000142195.1 pep:known chromosome:GRCm38:1:155127277:155146804:-1 gene:ENSMUSG00000026471.14 transcript:ENSMUST00000194612.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mr1 description:major histocompatibility complex, class I-related [Source:MGI Symbol;Acc:MGI:1195463] MMLLLPLLAVFLVKRSHTRTHSLRYFRLAVSDPGPVVPEFISVGASHLPENDWL >ENSMUSP00000027744.8 pep:known chromosome:GRCm38:1:155127878:155146783:-1 gene:ENSMUSG00000026471.14 transcript:ENSMUST00000027744.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mr1 description:major histocompatibility complex, class I-related [Source:MGI Symbol;Acc:MGI:1195463] MMLLLPLLAVFLVKRSHTRTHSLRYFRLAVSDPGPVVPEFISVGYVDSHPITTYDSVTRQ KEPKAPWMAENLAPDHWERYTQLLRGWQQTFKAELRHLQRHYNHSGLHTYQRMIGCELLE DGSTTGFLQYAYDGQDFIIFNKDTLSWLAMDYVAHITKQAWEANLHELQYQKNWLEEECI AWLKRFLEYGRDTLERTEHPVVRTTRKETFPGITTFFCRAHGFYPPEISMTWMKNGEEIA QEVDYGGVLPSGDGTYQTWLSVNLDPQSNDVYSCHVEHCGRQMVLEAPRESGDILRVSTI SGTTILIIALAGVGVLIWRRSQELKEVMYQPTQVNEGSSPS >ENSMUSP00000141476.1 pep:known chromosome:GRCm38:1:155128831:155146804:-1 gene:ENSMUSG00000026471.14 transcript:ENSMUST00000192410.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mr1 description:major histocompatibility complex, class I-related [Source:MGI Symbol;Acc:MGI:1195463] MMLLLPLLAVFLVKRSHTRTHSLRYFRLAVSDPGPVVPEFISVGYVDSHPITTYDSVTRQ KEPKAPWMAENLAPDHWERASHLPENDWL >ENSMUSP00000144700.1 pep:known chromosome:GRCm38:6:117933559:117937692:-1 gene:ENSMUSG00000004988.9 transcript:ENSMUST00000203082.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd4 description:FXYD domain-containing ion transport regulator 4 [Source:MGI Symbol;Acc:MGI:1889005] MEEITCAFLLLLAGLPALEASDPVDKDSPFYYDWESLQLGGLIFGGLLCIAGIAMALSGK CKCRRTHKPSSLPGKATPLIIPGSANTC >ENSMUSP00000145302.1 pep:known chromosome:GRCm38:6:117933560:117937335:-1 gene:ENSMUSG00000004988.9 transcript:ENSMUST00000204214.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd4 description:FXYD domain-containing ion transport regulator 4 [Source:MGI Symbol;Acc:MGI:1889005] MEEITCAFLLLLAGLPALEASDPVDKDSPFYYDWESLQLGGLIFGGLLCIAGIAMALSGK CKCRRTHKPSSLPGKATPLIIPGSANTC >ENSMUSP00000145293.1 pep:known chromosome:GRCm38:6:117933560:117937335:-1 gene:ENSMUSG00000004988.9 transcript:ENSMUST00000203066.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd4 description:FXYD domain-containing ion transport regulator 4 [Source:MGI Symbol;Acc:MGI:1889005] MEEITCAFLLLLAGLPALEASDPVDKDSPFYYDWESLQLGGLIFGGLLCIAGIAMALSGK CKCRRTHKPSSLPGKATPLIIPGPSLEAGF >ENSMUSP00000145182.1 pep:known chromosome:GRCm38:6:117933560:117937335:-1 gene:ENSMUSG00000004988.9 transcript:ENSMUST00000204950.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd4 description:FXYD domain-containing ion transport regulator 4 [Source:MGI Symbol;Acc:MGI:1889005] MEEITCAFLLLLAGLPALEASDPVDKDSPFYYDWESLQLGGLIFGGLLCIAGIAMALNSG WIDVPLLLGGKCKCRRTHKPSSLPGKATPLIIPGSANTC >ENSMUSP00000144773.1 pep:known chromosome:GRCm38:6:117933560:117937335:-1 gene:ENSMUSG00000004988.9 transcript:ENSMUST00000203433.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd4 description:FXYD domain-containing ion transport regulator 4 [Source:MGI Symbol;Acc:MGI:1889005] MEEITCAFLLLLAGLPALEASDPVDKDSPFYYDWESLQLGGLIFGGLLCIAGIAMALNSG WIDVPLLLGGKCKCRRTHKPSSLPGKATPLIIPGSANTC >ENSMUSP00000005114.7 pep:known chromosome:GRCm38:6:117933729:117937335:-1 gene:ENSMUSG00000004988.9 transcript:ENSMUST00000005114.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd4 description:FXYD domain-containing ion transport regulator 4 [Source:MGI Symbol;Acc:MGI:1889005] MEEITCAFLLLLAGLPALEASDPVDKDSPFYYDWESLQLGGLIFGGLLCIAGIAMALSGK CKCRRTHKPSSLPGKATPLIIPGSANTC >ENSMUSP00000047273.4 pep:known chromosome:GRCm38:3:36573142:36613389:-1 gene:ENSMUSG00000037325.10 transcript:ENSMUST00000040148.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs7 description:Bardet-Biedl syndrome 7 (human) [Source:MGI Symbol;Acc:MGI:1918742] MDLTLSRADYLQVGVTSQKTMKLLPTSRQRATQKVVVGDQDGVVICFGVKKGEAVVMFKT LPGQKISRLELGGAVNTPQEKIFIAAGSEIRGFTKRGKQFLSFETNLTESIKAMYISGSD LFLSASYIYNHYCDCKDQNYYLSGDKINDVICLPVEKLSRVTPVLACQDRVLRVLQGSDV MYEIEVPGPPTVLALHNGDGGDSGEGLLFGTSDGRLGLIQITTSKPIHKWEIRNDKKRGG ILCVDSFDIMGDGVKDLLVGRDDGMVEVYSFENANEPVLRFDQMLSESVTSIQGGCVGKD GYDEIVLATYSGWVTGLTTEPTHKESGPGEELKLNQEMQNKISSLRSEIEHLQFKVLQER ENYQQSSQSSQAKSTVPSFSINDKFTLNKEDASYSLVLEVRTAIDNVLIQSDVPIDLLDV DKNSAVVSFSSCDTESNDNFLLATYRCQANTTRLELKIRSIEGQYGTLQAYVTPRIQPKT CQVRQYHIKPLSLHQRTHFIDHDRPMNTLTLTGQFSFAEVHSWVVFCLPEVPEKPPAGEC ATFYFQNTFLDTQLECVYRKGEGVFKSDNISTISILKDVLSKEATKRKINLNISYEINEV SVKHTLKLIHPKLEYQLLLAKKVQLIDALKELQVHEGNTDFLTPEYRCILEEADHLQEEY KKQPAHLERLYGMITDLFIDKFKFKGTNVKTKVPMLLEILDSYDQNTLISFFDAA >ENSMUSP00000103791.2 pep:known chromosome:GRCm38:3:36573142:36613477:-1 gene:ENSMUSG00000037325.10 transcript:ENSMUST00000108156.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs7 description:Bardet-Biedl syndrome 7 (human) [Source:MGI Symbol;Acc:MGI:1918742] MDLTLSRADYLQVGVTSQKTMKLLPTSRQRATQKVVVGDQDGVVICFGVKKGEAVPVFKT LPGQKISRLELGGAVNTPQEKIFIAAGSEIRGFTKRGKQFLSFETNLTESIKAMYISGSD LFLSASYIYNHYCDCKDQNYYLSGDKINDVICLPVEKLSRVTPVLACQDRVLRVLQGSDV MYEIEVPGPPTVLALHNGDGGDSGEGLLFGTSDGRLGLIQITTSKPIHKWEIRNDKKRGG ILCVDSFDIMGDGVKDLLVGRDDGMVEVYSFENANEPVLRFDQMLSESVTSIQGGCVGKD GYDEIVLATYSGWVTGLTTEPTHKESGPGEELKLNQEMQNKISSLRSEIEHLQFKVLQER ENYQQSSQSSQAKSTVPSFSINDKFTLNKEDASYSLVLEVRTAIDNVLIQSDVPIDLLDV DKNSAVVSFSSCDTESNDNFLLATYRCQANTTRLELKIRSIEGQYGTLQAYVTPRIQPKT CQVRQYHIKPLSLHQRTHFIDHDRPMNTLTLTGQFSFAEVHSWVVFCLPEVPEKPPAGEC ATFYFQNTFLDTQLECVYRKGEGVFKSDNISTISILKDVLSKEATKRKINLNISYEINEV SVKHTLKLIHPKLEYQLLLAKKVQLIDALKELQVHEGNTDFLTPEYRCILEEADHLQEEY KKQPAHLERLYGMITDLFIDKFKFKGTNVKTKVPMLLEILDSYDQNTLISFFDAA >ENSMUSP00000103790.1 pep:known chromosome:GRCm38:3:36574871:36613285:-1 gene:ENSMUSG00000037325.10 transcript:ENSMUST00000108155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs7 description:Bardet-Biedl syndrome 7 (human) [Source:MGI Symbol;Acc:MGI:1918742] MDLTLSRADYLQVGVTSQKTMKLLPTSRQRATQKVVVGDQDGVVICFGVKKGEAVPVFKT LPGQKISRLELGGAVNTPQEKIFIAAGSEIRGFTKRGKQFLSFETNLTESIKAMYISGSD LFLSASYIYNHYCDCKDQNYYLSGDKINDVICLPVEKLSRVTPVLACQDRVLRVLQGSDV MYEIEVPGPPTVLALHNGDGGDSGEGLLFGTSDGRLGLIQITTSKPIHKWEIRNDKKRGG ILCVDSFDIMGDGVKDLLVGRDDGMVEVYSFENANEPVLRFDQMLSESVTSIQGGCVGKD GYDEIVLATYSGWVTGLTTEPTHKESGPGEELKLNQEMQNKISSLRSEIEHLQFKVLQER ENYQQSSQSSQAKSTVPSFSINDKFTLNKEDASYSLVLEVRTAIDNVLIQSDVPIDLLDV DKNSAVVSFSSCDTESNDNFLLATYRCQANTTRLELKIRSIEGQYGTLQAYVTPRIQPKT CQVRQYHIKPLSLHQRTHFIDHDRPMNTLTLTGQFSFAEVHSWVVFCLPEVPEKPPAGEC ATFYFQNTFLDTQLECVYRKGEGVFKSDNISTISILKDVLSKEATKRKINLNISYEINEV SVKHTLKLIHPKLEYQLLLAKKVQLIDALKELQVHEGNTDFLTPEYRCILEEADHLQEEY KKQPAHLERLYGE >ENSMUSP00000118961.1 pep:known chromosome:GRCm38:3:36610182:36613389:-1 gene:ENSMUSG00000037325.10 transcript:ENSMUST00000142333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs7 description:Bardet-Biedl syndrome 7 (human) [Source:MGI Symbol;Acc:MGI:1918742] MDLTLSRADYLQVVVGDQDGVVICFGVKKGEAVPVFKTLPGQKISRLELGGAVNTPQEKI FIAAGSEIRGFTKRGKQFLSFETNLTESIKA >ENSMUSP00000142051.1 pep:known chromosome:GRCm38:1:174424126:174431552:1 gene:ENSMUSG00000051509.5 transcript:ENSMUST00000192358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr414 description:olfactory receptor 414 [Source:MGI Symbol;Acc:MGI:3030248] MRNLSGSHVEEFVLVGFPTSRPFQALLFVFFFAIYLLTLLENVLIVSTIWLTPSLHRPMY FFLGHLSFLELWYINVTIPRLLGAFLTQDGRVSYGGCMTQLYFFIALACTECVLLAVMAY DRYLAICEPLRYPSLMPPRLATRLAAASWGSGFFSSMMKLLFISRLSYCGPNIINHFFCD ISPLLNLTCSDKEQAELVDFLLALVMILLPLVAVVSSYAAIIVAILRIPTAQGRHKAFST CTSHLAVVVIYYSSTLFTYARPRAMYTFNYNKIISVLYTVIVPFLNPAIYCLRNKEVKDA FRKTVLGRCHHPREGPD >ENSMUSP00000052426.4 pep:known chromosome:GRCm38:1:174430430:174431384:1 gene:ENSMUSG00000051509.5 transcript:ENSMUST00000053178.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr414 description:olfactory receptor 414 [Source:MGI Symbol;Acc:MGI:3030248] MRNLSGSHVEEFVLVGFPTSRPFQALLFVFFFAIYLLTLLENVLIVSTIWLTPSLHRPMY FFLGHLSFLELWYINVTIPRLLGAFLTQDGRVSYGGCMTQLYFFIALACTECVLLAVMAY DRYLAICEPLRYPSLMPPRLATRLAAASWGSGFFSSMMKLLFISRLSYCGPNIINHFFCD ISPLLNLTCSDKEQAELVDFLLALVMILLPLVAVVSSYAAIIVAILRIPTAQGRHKAFST CTSHLAVVVIYYSSTLFTYARPRAMYTFNYNKIISVLYTVIVPFLNPAIYCLRNKEVKDA FRKTVLGRCHHPREGPD >ENSMUSP00000147077.1 pep:known chromosome:GRCm38:7:19937305:19950573:-1 gene:ENSMUSG00000040498.7 transcript:ENSMUST00000208974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf23 description:immunoglobulin superfamily, member 23 [Source:MGI Symbol;Acc:MGI:1917330] MKGANTQLPVPGALEKDLSTSWFPELEAQPPTSSSPKGLPGRPRTSQEVPNAEDNPSLIP LVTFPESSKGIIYSDLNYSVILQWMVTMNPEPVLSWTFDGKPCGTGEKLFIRRLSPDQLG TYLCIARNTDKELVSEPVTVSLSPATGAPTVPAPTVAYPMKPNDYMSVSGGSAIALIVAA TIGGLVLIGSVCFYILLALKK >ENSMUSP00000047914.6 pep:known chromosome:GRCm38:7:19937305:19950756:-1 gene:ENSMUSG00000040498.7 transcript:ENSMUST00000043440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf23 description:immunoglobulin superfamily, member 23 [Source:MGI Symbol;Acc:MGI:1917330] MNCLRDSGRGLIPAWETLLLTGALLSSCTCSATSKLPQMKGANTQLPVPGALEKDLSTSW FPELEAQPPTSSSPKGLPGRPRTSQEVPNAEDNPSLIPLVTFPESSKGIIYSDLNYSVIL QWMVTMNPEPVLSWTFDGKPCGTGEKLFIRRLSPDQLGTYLCIARNTDKELVSEPVTVSL SPATGAPTVPAPTVAYPMKPNDYMSVSGGSAIALIVAATIGGLVLIGSVCFYILLALKK >ENSMUSP00000057989.5 pep:known chromosome:GRCm38:2:109674700:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000053317.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MFHQVRRVMTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAG SRGLTTTSLADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLL EEYKNYLDAANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKV PVSKGQLKQYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRF IRIDTSCVCTLTIKRGR >ENSMUSP00000106680.3 pep:known chromosome:GRCm38:2:109675897:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000111051.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTS LADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLD AANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLK QYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCV CTLTIKRGR >ENSMUSP00000106676.2 pep:known chromosome:GRCm38:2:109675897:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000111047.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTS LADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLD AANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLK QYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCV CTLTIKRGR >ENSMUSP00000106678.2 pep:known chromosome:GRCm38:2:109675897:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000111049.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTS LADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLD AANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLK QYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCV CTLTIKRGR >ENSMUSP00000106675.2 pep:known chromosome:GRCm38:2:109677032:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000111046.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTS LADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLD AANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLK QYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCV CTLTIKRGR >ENSMUSP00000106679.3 pep:known chromosome:GRCm38:2:109692436:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000111050.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTS LADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLD AANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLK QYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCV CTLTIKRGR >ENSMUSP00000135762.1 pep:known chromosome:GRCm38:2:109693027:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000176893.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTS LADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLD AANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLK QYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCV CTLTIKRGR >ENSMUSP00000106674.2 pep:known chromosome:GRCm38:2:109693563:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000111045.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTS LADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLD AANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLK QYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCV CTLTIKRGR >ENSMUSP00000106672.2 pep:known chromosome:GRCm38:2:109694409:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000111043.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTS LADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLD AANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLK QYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCV CTLTIKRGR >ENSMUSP00000106673.2 pep:known chromosome:GRCm38:2:109694469:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000111044.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTS LADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLD AANMSMRVRRHSDPARRGELSVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLK QYFYETKCNPMGYTKEGCRGIDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCV CTLTIKRGR >ENSMUSP00000106671.2 pep:known chromosome:GRCm38:2:109709383:109727007:1 gene:ENSMUSG00000048482.14 transcript:ENSMUST00000111042.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdnf description:brain derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:88145] MWYDCASQEKGCGVCRCASVEPNKLIAENGVVKFHQVRRVMTILFLTMVISYFGCMKAAP MKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTSLADTFEHVIEELLDEDQKVR PNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLDAANMSMRVRRHSDPARRGEL SVCDSISEWVTAADKKTAVDMSGGTVTVLEKVPVSKGQLKQYFYETKCNPMGYTKEGCRG IDKRHWNSQCRTTQSYVRALTMDSKKRIGWRFIRIDTSCVCTLTIKRGR >ENSMUSP00000024049.7 pep:known chromosome:GRCm38:X:6314107:6320721:-1 gene:ENSMUSG00000023279.7 transcript:ENSMUST00000024049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp15 description:bone morphogenetic protein 15 [Source:MGI Symbol;Acc:MGI:1316745] MALLTILRILLWGVVLFMEQRVQMAKPGWPSTALLADDPTLPSILDLAKEAPGKEMKQWP QGYPLRYMLKLYHRSADPHGHPRENRTIGAKMVRLVKPSANTVRPPRGSWHVQTLDFPLA SNQVAYELIRATVVYRHQLHLVNYHLSCHVETWVPKCRTKHLPSSKSGSSKPSPMSKAWT EIDITHCIQQKLWNRKGRSVLRLRFMCQQQKGNETREFRWHGMTSLDVAFLLLYFNDTDD RVQGKLLARGQEELTDRESSFLMRSVRQACSIESDASCPSQEHDGSVNNQCSLHPYKVSF HQLGWDHWIIAPRLYTPNYCKGICTRVLPYGLNSPNHAIIQSLVNELVNHSVPQPSCVPY NFLPMSILLIETNGSILYKEYEGMIAQSCTCR >ENSMUSP00000141919.1 pep:known chromosome:GRCm38:1:174442159:174449602:1 gene:ENSMUSG00000066671.3 transcript:ENSMUST00000194229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr220 description:olfactory receptor 220 [Source:MGI Symbol;Acc:MGI:3030054] MVIKGLQVDNWTETTHFVLLGFPSRPAFQFLLFSVFLVTYLLTLAENLLIILAIRSDGQL HKPMYFFLSHLSFLEMWYVTVISPKMLVDFLSKDKSISFNGCMTQLYFFVTFVCTEYILL AVMAFDRYVAICNPLRYPVIMTNQLCGVMAGGCWFCGLMTAMIKMVFIARLRYCGTPHIN HYFCDISPLLNVSCEDSSQAELVDFFLALMVIAVPLCVVVTSYAIILVTILKIPSAQGRQ KAFSTCASHLTVVTLFYSTTLFTYARPKLMYAYNSNKVVSVLYTVVVPLLNPIIYCLRNR DVKMALKKTILCNRSGSGGDGDFSS >ENSMUSP00000083023.2 pep:known chromosome:GRCm38:1:174448625:174449602:1 gene:ENSMUSG00000066671.3 transcript:ENSMUST00000085861.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr220 description:olfactory receptor 220 [Source:MGI Symbol;Acc:MGI:3030054] MVIKGLQVDNWTETTHFVLLGFPSRPAFQFLLFSVFLVTYLLTLAENLLIILAIRSDGQL HKPMYFFLSHLSFLEMWYVTVISPKMLVDFLSKDKSISFNGCMTQLYFFVTFVCTEYILL AVMAFDRYVAICNPLRYPVIMTNQLCGVMAGGCWFCGLMTAMIKMVFIARLRYCGTPHIN HYFCDISPLLNVSCEDSSQAELVDFFLALMVIAVPLCVVVTSYAIILVTILKIPSAQGRQ KAFSTCASHLTVVTLFYSTTLFTYARPKLMYAYNSNKVVSVLYTVVVPLLNPIIYCLRNR DVKMALKKTILCNRSGSGGDGDFSS >ENSMUSP00000031597.6 pep:known chromosome:GRCm38:5:120483282:120503625:-1 gene:ENSMUSG00000029598.12 transcript:ENSMUST00000031597.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plbd2 description:phospholipase B domain containing 2 [Source:MGI Symbol;Acc:MGI:1919022] MAAPVDGSSGGWAARALRRALALTSLTTLALLASLTGLLLSGPAGALPTLGPGWQRQNPD PPVSRTRSLLLDAASGQLRLEDGFHPDAVAWANLTNAIRETGWAYLDLSTNGRYNDSLQA YAAGVVEASVSEELIYMHWMNTVVNYCGPFEYEVGYCEKLKNFLEANLEWMQREMELNPD SPYWHQVRLTLLQLKGLEDSYEGRLTFPTGRFTIKPLGFLLLQISGDLEDLEPALNKTNT KPSLGSGSCSALIKLLPGGHDLLVAHNTWNSYQNMLRIIKKYRLQFREGPQEEYPLVAGN NLVFSSYPGTIFSGDDFYILGSGLVTLETTIGNKNPALWKYVQPQGCVLEWIRNVVANRL ALDGATWADVFKRFNSGTYNNQWMIVDYKAFLPNGPSPGSRVLTILEQIPGMVVVADKTA ELYKTTYWASYNIPYFETVFNASGLQALVAQYGDWFSYTKNPRAKIFQRDQSLVEDMDAM VRLMRYNDFLHDPLSLCEACNPKPNAENAISARSDLNPANGSYPFQALHQRAHGGIDVKV TSFTLAKYMSMLAASGPTWDQCPPFQWSKSPFHSMLHMGQPDLWMFSPIRVPWD >ENSMUSP00000046441.8 pep:known chromosome:GRCm38:2:30097283:30124454:-1 gene:ENSMUSG00000039686.14 transcript:ENSMUST00000044751.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zer1 description:zyg-11 related, cell cycle regulator [Source:MGI Symbol;Acc:MGI:2442511] MASDTPESLMALCTDFCLRNLDGTLGYLLDKETLRLHPDIFLPSEICDQLVNEYVELVSA ACTFEPHETFFSLFSDPRSTRLTRIHLREDLVQDQDLEAIRKQDLVELYLTNCEKLSAKS LQTLRSFRHSLVSLSLSGCANIFYEEDNPGGCEDECLVNPTCQVLVKDFTFEGFSRLRFL NLGRMIDGIPVESLLRPLNSLAALDLSGIQTSDATFLTQWKDSLMSLVLYNMDLSDDHIR VIVQLHKLRSKILTCGPHLISSHLDISRDRLSSYYKFKLTRKVLSLLVQKLGNLMSLDIS GHMILENCSISKTDEEAGQTSTEPSKSSIMPFRALKRPLQFLGLFETSLCRLTHIPAYKV SGDKNEEQVLNAIEAYTEHRPEITSRAINLLFDIARIERCNQLLRALKLVITALKCHKYD KNIQVTGSAALFYLTNSEYRSEQSVKLRRQVIQVVLNGMESYQEVTVQRNCCLTLCNFSI PEELEFQYRRVNELLLGILSPTRQDESIQRIAVHLCNALVCQVDNDHKEAVGKMGFVVTM LKLIQKKLLDKTCDQVMEFSWSALWNITDETPDNCEMFLNFNGMKLFLDCLKEFPEKQEL HRNMLGLLGNVAEVKELRPQLMTSQFISVFSNLLESKADGIEVSYNACGVLSHIMFDGPE AWGVCEPQRAEVEDRMWAAIQSWDINSRRNINYRSFEPILRLLPQGISPVSQHWATWALY NLVSVYPDKYCPLLIKEGGMPLLRDLIKMATARQETKEMARKVIEHCSNFREENMDTSR >ENSMUSP00000109307.1 pep:known chromosome:GRCm38:2:30097283:30124582:-1 gene:ENSMUSG00000039686.14 transcript:ENSMUST00000113677.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zer1 description:zyg-11 related, cell cycle regulator [Source:MGI Symbol;Acc:MGI:2442511] MASDTPESLMALCTDFCLRNLDGTLGYLLDKETLRLHPDIFLPSEICDQLVNEYVELVSA ACTFEPHETFFSLFSDPRSTRLTRIHLREDLVQDQDLEAIRKQDLVELYLTNCEKLSAKS LQTLRSFRHSLVSLSLSGCANIFYEEDNPGGCEDECLVNPTCQVLVKDFTFEGFSRLRFL NLGRMIDGIPVESLLRPLNSLAALDLSGIQTSDATFLTQWKDSLMSLVLYNMDLSDDHIR VIVQLHKLRHLDISRDRLSSYYKFKLTRKVLSLLVQKLGNLMSLDISGHMILENCSISKT DEEAGQTSTEPSKSSIMPFRALKRPLQFLGLFETSLCRLTHIPAYKVSGDKNEEQVLNAI EAYTEHRPEITSRAINLLFDIARIERCNQLLRALKLVITALKCHKYDKNIQVTGSAALFY LTNSEYRSEQSVKLRRQVIQVVLNGMESYQEVTVQRNCCLTLCNFSIPEELEFQYRRVNE LLLGILSPTRQDESIQRIAVHLCNALVCQVDNDHKEAVGKMGFVVTMLKLIQKKLLDKTC DQVMEFSWSALWNITDETPDNCEMFLNFNGMKLFLDCLKEFPEKQELHRNMLGLLGNVAE VKELRPQLMTSQFISVFSNLLESKADGIEVSYNACGVLSHIMFDGPEAWGVCEPQRAEVE DRMWAAIQSWDINSRRNINYRSFEPILRLLPQGISPVSQHWATWALYNLVSVYPDKYCPL LIKEGGMPLLRDLIKMATARQETKEMARKVIEHCSNFREENMDTSR >ENSMUSP00000145061.1 pep:known chromosome:GRCm38:7:43444128:43463504:1 gene:ENSMUSG00000107482.2 transcript:ENSMUST00000203633.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:RP23-74K24.2 MAELRALVAVKRVIDFAVKIRVKPDKSGVVTDGVKHSMNPFCEIAVEEAVRLKEKKLVKE IIAVSCGPSQCQETIRTALAMGADRGIHVEIPGAQAESLGPLQVARVLAKLAEKEKVDLL FLGKQAIDDDCNQTGQMTAGLLDWPQGTFASQVTLEGDKVKVEREIDGGLETLRLKLPAV VTADLRLNEPRYATLPNIMEAKQPFLLDLSDLGQCKLWISV >ENSMUSP00000145493.1 pep:known chromosome:GRCm38:7:43444131:43463587:1 gene:ENSMUSG00000107482.2 transcript:ENSMUST00000204680.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:RP23-74K24.2 MAELRALVAVKRVIDFAVKIRVKPDKSGVVTDGVKHSMNPFCEIAVEEAVRLKEKKLVKE IIAVSCGPSQCQETIRTALAMGADRGIHVEIPGAQAESLGPLQVARVLAKLAEKEKVDLL FLGKQAIDDDCNQTGQMTAGLLDWPQEAKQPFLLDLSDLGQCKLWISV >ENSMUSP00000034065.7 pep:known chromosome:GRCm38:8:60993195:61131346:1 gene:ENSMUSG00000031644.18 transcript:ENSMUST00000034065.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek1 description:NIMA (never in mitosis gene a)-related expressed kinase 1 [Source:MGI Symbol;Acc:MGI:97303] MEKYVRLQKIGEGSFGKAVLVKSTEDGRHYVIKEINISRMSDKERQESRREVAVLANMKH PNIVQYKESFEENGSLYIVMDYCEGGDLFKRINAQKGALFQEDQILDWFVQICLALKHVH DRKILHRDIKSQNIFLTKDGTVQLGDFGIARVLNSTVELARTCIGTPYYLSPEICENKPY NNKSDIWALGCVLYELCTLKHAFEAGNMKNLVLKIISGSFPPVSPHYSYDLRSLLSQLFK RNPRDRPSVNSILEKGFIAKRIEKFLSPQLIAEEFCLKTLSKFGPQPLPGKRPASGQGVS SFVPAQKITKPAAKYGVPLTYKKYGDKKLLEKKPPPKHKQAHQIPVKKMNSGEERKKMSE EAAKKRRLEFIEKEKKQKDQIRFLKAEQMKRQEKQRLERINRAREQGWRNVLRAGGSGEV KASFFGIGGAVSPSPCSPRGQYEHYHAIFDQMQRLRAEDNEARWKGGIYGRWLPERQKGH LAVERANQVEEFLQRKREAMQNKARAEGHVVYLARLRQIRLQNFNERQQIKAKLRGENKE ADGTKGQEATEETDMRLKKMESLKAQTNARAAVLKEQLERKRKEAYEREKKVWEEHLVAR VKSSDVPLPLELLETGGSPSKQQVKPVISVTSALKEVGLDGSLTDTQEEEMEKSNSAISS KREILRRLNENLKAQEDEKEKQHHSGSCETVGHKDEREYETENAISSDRKKWEMGGQLVI PLDAVTLDTSFSATEKHTVGEVIKLDSNGSPRKVWGKNPTDSVLKILGEAELQLQTELLE NTSFKSEVYAEEENYKPLLTEEENLQCISKEINPSATVDSTETKSPKFTEVSPQMSEGNV EEPDDLETEVLQEPSSTHTDGSLPPVLNDVWTREKEAAKETELEDKVAVQQSEVCEDRIP GNVDQSCKDQRDPAVDDSPQSGCDVEKSVQPESIFQKVVHSKDLNLVQAVHCSPEEPIPI RSHSDSPPKTKSKNSLLIGLSTGLFDANNPKMLRTCSLPDLSKLFRTLMDVPTVGDVHQD SLEIDELEDEPIKEGPSDSEDTVFEETDTDLQELQASMEQLLREQPGDEYSEEEESVLKS SDVEQTARGTDAPDEEDNPSSESALNEEWHSDNSDAETTSECEYDSVFNHLEELRLHLEQ EMGFEKFFEVYEKVKAIHEDEDENIEICSTIVENILGNEHQHLYAKILHLVMADGAYQED NDE >ENSMUSP00000113932.1 pep:known chromosome:GRCm38:8:60993195:61131339:1 gene:ENSMUSG00000031644.18 transcript:ENSMUST00000120689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek1 description:NIMA (never in mitosis gene a)-related expressed kinase 1 [Source:MGI Symbol;Acc:MGI:97303] MEKYVRLQKIGEGSFGKAVLVKSTEDGRHYVIKEINISRMSDKERQESRREVAVLANMKH PNIVQYKESFEENGSLYIVMDYCEGGDLFKRINAQKGALFQEDQILDWFVQICLALKHVH DRKILHRDIKSQNIFLTKDGTVQLGDFGIARVLNSTVELARTCIGTPYYLSPEICENKPY NNKSDIWALGCVLYELCTLKHAFEAGNMKNLVLKIISGSFPPVSPHYSYDLRSLLSQLFK RNPRDRPSVNSILEKGFIAKRIEKFLSPQLIAEEFCLKTLSKFGPQPLPGKRPASGQGVS SFVPAQKITKPAAKYGVPLTYKKYGDKKLLEKKPPPKHKQAHQIPVKKMNSGEERKKMSE EAAKKRRLEFIEKEKKQKDQIRFLKAEQMKRQEKQRLERINRAREQGWRNVLRAGGSGEV KASFFGIGGAVSPSPCSPRGQYEHYHAIFDQMQRLRAEDNEARWKGGIYGRWLPERQKGH LAVERANQVEEFLQRKREAMQNKARAEGHVGLLQNLASLYGGRPSSSRGGKPRNNEEEVY LARLRQIRLQNFNERQQIKAKLRGENKEADGTKGQEATEETDMRLKKMESLKAQTNARAA VLKEQLERKRKEAYEREKKVWEEHLVARVKSSDVPLPLELLETGGSPSKQQVKPVISVTS ALKEVGLDGSLTDTQEEEMEKSNSAISSKREILRRLNENLKAQEDEKEKQHHSGSCETVG HKDEREYETENAISSDRKKWEMGGQLVIPLDAVTLDTSFSATEKHTVGEVIKLDSNGSPR KVWGKNPTDSVLKILGEAELQLQTELLENTSFKSEVYAEEENYKPLLTEEENLQCISKEI NPSATVDSTETKSPKFTEVSPQMSEGNVEEPDDLETEVLQEPSSTHTDGSLPPVLNDVWT REKEAAKETELEDKVAVQQSEVCEDRIPGNVDQSCKDQRDPAVDDSPQSGCDVEKSVQPE SIFQKVVHSKDLNLVQAVHCSPEEPIPIRSHSDSPPKTKSKNSLLIGLSTGLFDANNPKM LRTCSLPDLSKLFRTLMDVPTVGDVHQDSLEIDELEDEPIKEGPSDSEDTVFEETDTDLQ ELQASMEQLLREQPGDEYSEEEESVLKSSDVEQTARGTDAPDEEDNPSSESALNEEWHSD NSDAETTSECEYDSVFNHLEELRLHLEQEMGFEKFFEVYEKVKAIHEDEDENIEICSTIV ENILGNEHQHLYAKILHLVMADGAYQEDNDE >ENSMUSP00000121479.1 pep:known chromosome:GRCm38:8:61049835:61089455:1 gene:ENSMUSG00000031644.18 transcript:ENSMUST00000142601.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek1 description:NIMA (never in mitosis gene a)-related expressed kinase 1 [Source:MGI Symbol;Acc:MGI:97303] RGQYEHYHAIFDQMQRLRAEDNEARWKGGIYGRWLPERGVPPGVRPGFPSEASGHYHSPD AGAIRKTLKRLKAVSKQASTNRQKGHLAVERANQVEEFLQRKREAMQNKARAEGHVVYLA RLRQIRLQNFNERQQIKAKLRGENKEADGTKGQEATEETDMRLKKMESLKAQTNARAAVL KEQLERKRKEAYEREKKVWEEHLVARVKSSDVPLPLELLETGGSPSKQQVKPVISVTSAL KEVGLDGSLTD >ENSMUSP00000039950.6 pep:known chromosome:GRCm38:2:173224458:173276533:-1 gene:ENSMUSG00000038400.15 transcript:ENSMUST00000036248.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmepa1 description:prostate transmembrane protein, androgen induced 1 [Source:MGI Symbol;Acc:MGI:1929600] MGVNGTAAAAAGQPNVSCACNCQRSLFPSMEITELEFVQIVVIVVVMMVMVVMITCLLSH YKLSARSFISRHSQARRRDDGLSSEGCLWPSESTVSGGMPEPQVYAPPRPTDRLAVPPFI QRSRFQPTYPYLQHEIALPPTISLSDGEEPPPYQGPCTLQLRDPEQQLELNRESVRAPPN RTIFDSDLIDSTMLGGPCPPSSNSGISATCYSSGGRMEGPPPTYSEVIGHYPGSSFQHQQ SNGPSSLLEGTRLHHSHIAPLENKEKEKQKGHPL >ENSMUSP00000115534.1 pep:known chromosome:GRCm38:2:173228046:173276189:-1 gene:ENSMUSG00000038400.15 transcript:ENSMUST00000139306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmepa1 description:prostate transmembrane protein, androgen induced 1 [Source:MGI Symbol;Acc:MGI:1929600] MGVNGTAAAAAGQPNVSCACNCQRSLFPSMEIRNPEEGTLLPPARETAVRDQCITVDSHH SHHGHPVLYSAELEFVQIVVIVVVMMVMVVMITCLLSHYKLSARSFISRHSQARRRDDGL SSEGCLWPSESTVSGGMPEPQVYAPPRPTDRLAVPPFIQRSRFQPTYPYLQHEIALPPTI SLSDGEEPPPYQGPCTLQLRDPEQQLELNRESVRAPPNRTIFDSDLIDSTMLGGPCPP >ENSMUSP00000004634.6 pep:known chromosome:GRCm38:11:20302205:20332684:-1 gene:ENSMUSG00000020142.12 transcript:ENSMUST00000004634.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a4 description:solute carrier family 1 (glutamate/neutral amino acid transporter), member 4 [Source:MGI Symbol;Acc:MGI:2135601] MLLRMLRMIILPLVVCSLVSGAASLDASSLGRLGGIAVAYFGLTTLSASALAVALAFIIK PGAGAQTLQSSSLGLENSGPPPVSKETVDSFLDLLRNLFPSNLVVAAFTTSATDYTVVTH NTSSGNVTKEKIPVVTDVEGMNILGLVLFALVLGVALKKLGPEGEDLIRFFNSFNEATMV LVSWIMWYVPIGIMFLIGSKIVEMKDIVMLVTSLGKYIFASMLGHVIHGGIVLPLVYFAF TRKNPFTFLLGLLTPFATAFATCSSSATLPSMMKCIEENNGVDKRISRFILPIGATVNMD GAAIFQCVAAVFIAQLNNVDLNAGQIFTILVTATASSVGAAGVPAGGVLTIAIILEAIGL PTHDLSLILAVDWIVDRTTTVVNVEGDALGAGILNHLNQKVVKKGEQELQEVKVEAIPNS KSEEETSPLVTHQNPAGPVAIAPELESKESVL >ENSMUSP00000105223.1 pep:known chromosome:GRCm38:11:20302180:20332713:-1 gene:ENSMUSG00000020142.12 transcript:ENSMUST00000109594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a4 description:solute carrier family 1 (glutamate/neutral amino acid transporter), member 4 [Source:MGI Symbol;Acc:MGI:2135601] MEKSGETNGYLDGTQAEPAAGPRTPETAMGKSQRCASFFRRHALVLLTVSGVLVGAGMGA ALRGLQLTRTQITYLAFPGEMLLRMLRMIILPLVVCSLVSGAASLDASSLGRLGGIAVAY FGLTTLSASALAVALAFIIKPGAGAQTLQSSSLGLENSGPPPVSKETVDSFLDLLRNLFP SNLVVAAFTTSATDYTVVTHNTSSGNVTKEKIPVVTDVEGMNILGLVLFALVLGVALKKL GPEGEDLIRFFNSFNEATMVLVSWIMWYVPIGIMFLIGSKIVEMKDIVMLVTSLGKYIFA SMLGHVIHGGIVLPLVYFAFTRKNPFTFLLGLLTPFATAFATCSSSATLPSMMKCIEENN GVDKRISRFILPIGATVNMDGAAIFQCVAAVFIAQLNNVDLNAGQIFTILVTATASSVGA AGVPAGGVLTIAIILEAIGLPTHDLSLILAVDWIVDRTTTVVNVEGDALGAGILNHLNQK VVKKGEQELQEVKVEAIPNSKSEEETSPLVTHQNPAGPVAIAPELESKESVL >ENSMUSP00000038165.7 pep:known chromosome:GRCm38:4:14952245:15149131:-1 gene:ENSMUSG00000040536.15 transcript:ENSMUST00000041606.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Necab1 description:N-terminal EF-hand calcium binding protein 1 [Source:MGI Symbol;Acc:MGI:1916602] MEDSRETSPSSNNSSEELSSTLQLSKGMSIFLDILRRADKNDDGKLSFEEFKAYFADGVL SGEELHELFHTIDTHNTNNLDTEELCEYFSQHLGEYENVLAALEDLNLSILKAMGKTKKD YQEASNLEQFVTRFLLKETLNQLQSLQNSLECAMETTEEQTRQERQGPSKPEVLSIQWPG KRSSRRVQRHNSFSPNSPQFNVSSPALLEEDNQWMTQINRLQKLIDRLEKKDLKLEPLEE EIIEENTKPHIMLVQRQMSVTEEDLEEFQLALKHYVESASAQSGCLRISIQKLSNESRYM IYEFWENSSVWNRHLQTNYSKTFQRSNVDFLETPELTSTMLVPASWWILNNN >ENSMUSP00000103908.1 pep:known chromosome:GRCm38:4:14954929:15149794:-1 gene:ENSMUSG00000040536.15 transcript:ENSMUST00000108273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Necab1 description:N-terminal EF-hand calcium binding protein 1 [Source:MGI Symbol;Acc:MGI:1916602] MEDSRETSPSSNNSSEELSSTLQLSKGMSIFLDILRRADKNDDGKLSFEEFKAYFADGVL SGEELHELFHTIDTHNTNNLDTEELCEYFSQHLGEYENVLAALEDLNLSILKAMGKTKKD YQEASNLEQFVTRFLLKETLNQLQSLQNSLECAMETTEEQTRQERQGPSKPEVLSIQWPG KRSSRRVQRHNSFSPNSPQFNVSSPALLEEDNQWMTQINRLQKLIDRLEKKDLKLEPLEE EIIEENTKPHIMLVQRQMSVTEEDLEEFQLALKHYVESASAQSGCLRISIQKLSNESRYM IYEFWENSSVWNRHLQTNYSKTFQRSNVDFLETPELTSTMLVPASWWILNNN >ENSMUSP00000038305.5 pep:known chromosome:GRCm38:3:8802146:8923918:-1 gene:ENSMUSG00000040269.5 transcript:ENSMUST00000042148.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps28 description:mitochondrial ribosomal protein S28 [Source:MGI Symbol;Acc:MGI:1913480] MAALCRSHAGTAGSRFLRALVFSKPLRNASTESGSESATHDSSAPRARSGGFASALERHS DLQRKAELRLESPKPVESFASMLRHSPLTQLGPAKDKLVIGRIFHIVEDDLYIDFGGKFH CVCKRPDVDGEKYQRGTRVRLRLLDLELTSRFLGGTTDTTILEADAVLLGLQEIRDSKSR EEQPSK >ENSMUSP00000103611.1 pep:known chromosome:GRCm38:7:43463151:43472019:1 gene:ENSMUSG00000070604.5 transcript:ENSMUST00000107977.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsig10l description:V-set and immunoglobulin domain containing 10 like [Source:MGI Symbol;Acc:MGI:1922940] MGLSWALLPFLLLAFRAELLALQPALGSQPPSASSSHSMGSSRDFVSNVSSSQHPQPPGS EASAGIPDSNRFPQGLNSSHVPGLFRTNVSAEEQYLSPDVTSSETPPSRVSLDGLDSQDP DKDSGLPFLVKTPASQISVQTPDTKVPTKASGSKLSLEHHNLEPGSKISSEIYQAASFPQ QVGGPLAVLVGTTIRLPLTPVPSSSPPAPLVVWRRGSKVLAAGGLGSQAPLISLDPMHQA RLRFDQIRGGLELTSARLDDAGVYTVEVIRGGVSQQIREFVVGVFEPLPQLSVQPRAPET EEGAAELRLSCVGWNPGSGKLSWSRDGRALGTSDPEGAEPPRIRTERDQLLISRPVRSDH ARYTCQVRSPFGHTEAAADVSVFYGPDAPVIRVSSDRDASPALYVTAGSNVTLHCSAPSR PPADIAWSLADPTEAAVPAGPRLLLPAVGPGHGGAYACIAANPRTGHRRRSVFNLTVADL PPGAPQCSVEGGPVDRSLRFRCSWPGGVPAASLQFQGLPEGVRAGPVPSTLLVTVPARPE LSGVAVTCLARHLVATRTCTIIPEAPQEVLLQPIVEETQPGDVVVALEVTGCPPPSRASW ARQGRPLAPGGGGRLQLSQDGRKLLINNFSLDWDLGNYSVLCSSALGAGGNQITLTGPSI SSWRLQRAQEAAVLTWDVERGTLLTGFHIQAWTDSSEVDRVTMSRDWVSLLILGPQERSA IVPLPPRNPGTWAFRILPILGSLPGTPSQSRVYQAGSDLSPGAIAGIVLGSLLGLALLAG LLILCICCLRRYPGRASVKKQHSLTLAPVLTPPAKKIQSLTPVQTPRPLPIKTKMQSPHP AKAQQVISPSPTLCPGGSPWTVRAATQV >ENSMUSP00000144692.1 pep:known chromosome:GRCm38:7:43463233:43471044:1 gene:ENSMUSG00000070604.5 transcript:ENSMUST00000203769.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsig10l description:V-set and immunoglobulin domain containing 10 like [Source:MGI Symbol;Acc:MGI:1922940] MGLSWALLPFLLLDSQDPDKDSGLPFLVKTPASQISVQTPDTKVPTKASGSKLSLEHHNL EPGSKISSEIYQAASFPQQVGGPLAVLVGTTIRLPLTPVPSSSPPAPLVVWRRGSKVLAA GGLGSQAPLISLDPMHQARLRFDQIRGGLELTSARLDDAGVYTVEVIRGGVSQQIREFVV GVFEPLPQLSVQPRAPETEEGAAELRLSCVGWNPGSGKLSWSRDGRALGTSDPEGAEPPR IRTERDQLLISRPVRSDHARYTCQVRSPFGHTEAAADVSVFYGPDAPVIRVSSDRDASPA LYVTAGSNVTLHCSAPSRPPADIAWSLADPTEAAVPAGPRLLLPAVGPGHGGAYACIAAN PRTGHRRRSVFNLTVADLPPGAPQCSVEGGPVDRSLRFRCSWPGGVPAASLQFQGLPEGV RAGPVPSTLLVTVPARPELSGVAVTCLARHLVATRTCTIIPEAPQEVLLQPIVEETQPGD VVVALEVTGCPPPSRASWARQGRPLAPGGGGRLQLSQDGRKLLINNFSLDWDLGNYSVLC SSALGAGGNQITLTGPSISSWRLQRAQEAAVLTWDVERGTLLTGFHIQAWTDSSEVDRVT MSRDWVSLLILGPQERSAIVPLPPRNPGTWAFRILPILGSLPGTPSQSRVYQAGSDLSPG AIAGIVLGSLLGLALLAGLLILCICCLRRYPGRASVKKQHSLTLAPVLTPPAKKIQSLTP VQTPRPLPIKTKMQSPHPAKAQQVISPSPTLCPGGSPWTVRAATQV >ENSMUSP00000145378.1 pep:known chromosome:GRCm38:7:43467615:43470999:1 gene:ENSMUSG00000070604.5 transcript:ENSMUST00000203042.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vsig10l description:V-set and immunoglobulin domain containing 10 like [Source:MGI Symbol;Acc:MGI:1922940] NNFSLDWDLGNYSVLCSSALGAGGNQITLTGMDR >ENSMUSP00000036206.9 pep:known chromosome:GRCm38:2:154657018:154694785:1 gene:ENSMUSG00000038467.15 transcript:ENSMUST00000044277.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp4b description:charged multivesicular body protein 4B [Source:MGI Symbol;Acc:MGI:1922858] MSVFGKLFGAGGGKAGKGGPTPQEAIQRLRDTEEMLSKKQEFLEKKIEQELTAAKKHGTK NKRAALQALKRKKRYEKQLAQIDGTLSTIEFQREALENANTNTEVLKNMGYAAKAMKAAH DNMDIDKVDELMQDIADQQELAEEISTAISKPVGFGEEFDEDELMAELEELEQEELDKNL LEISGPETVPLPNVPSVALPSKPAKKKEEEDDDMKELENWAGSM >ENSMUSP00000129503.2 pep:known chromosome:GRCm38:5:29473034:29478470:-1 gene:ENSMUSG00000001566.9 transcript:ENSMUST00000165512.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mnx1 description:motor neuron and pancreas homeobox 1 [Source:MGI Symbol;Acc:MGI:109160] MEKSKNFRIDALLAVDPPRAASTQSAPLALVTSLATTVSGPGRGGSGGGGTSSGASRSCS PASSEATAAPGDRLRAESPSPPRLLAAHCALLPKPGFLGAGGGGGAAGGPGTPHHHAHPG AAAAAAAAAAAAAAGGLALGLHPGGAQGGAGLPAQAALYGHPVYSYSAAAAAAALAGQHP ALSYSYPQVQGAHPAHPADPIKLGASTFQLDQWLRASTAGMILPKMPDFSSQAQSNLLGK CRRPRTAFTSQQLLELEHQFKLNKYLSRPKRFEVATSLMLTETQVKIWFQNRRMKWKRSK KAKEQAAQEAEKQKGGGGGTGKGGSEEKTEEELMGPPVSGDKASGRRLRDLRDSDPDEDE DDEEEDNFPYSNGAGAHAASSDCSSEDDSPPPRLGGPGHQPLPQ >ENSMUSP00000001608.7 pep:known chromosome:GRCm38:5:29473261:29478470:-1 gene:ENSMUSG00000001566.9 transcript:ENSMUST00000001608.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mnx1 description:motor neuron and pancreas homeobox 1 [Source:MGI Symbol;Acc:MGI:109160] MEKSKNFRIDALLAVDPPRAASTQSAPLALVTSLATTVSGPGRGGSGGGGTSSGASRSCS PASSEATAAPGDRLRAESPSPPRLLAAHCALLPKPGFLGAGGGGGAAGGPGTPHHHAHPG AAAAAAAAAAAAAAGGLALGLHPGGAQGGAGLPAQAALYGHPVYSYSAAAAAAALAGQHP ALSYSYPQVQGAHPAHPADPIKLGASTFQLDQWLRASTAGMILPKMPDFSCEAQSNLLGK CRRPRTAFTSQQLLELEHQFKLNKYLSRPKRFEVATSLMLTETQVKIWFQNRRMKWKRSK KAKEQAAQEAEKQKGGGGGTGKGGSEEKTEEELMGPPVSGDKASGRRLRDLRDSDPDEDE DDEEEDNFPYSNGAGAHAASSDCSSEDDSPPPRLGGPGHQPLPQ >ENSMUSP00000110932.2 pep:known chromosome:GRCm38:9:24411776:24487914:-1 gene:ENSMUSG00000043067.15 transcript:ENSMUST00000115277.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpy19l1 description:dpy-19-like 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1915685] MDLIGIQTKICWTVTRGEGLSPIESCEGLGDPACFYVAVIFMLNGLMMALFFIYGTYLSG SRLGGVVTVLCFFFNHGECTRVMWTPPLRESFSYPFLVLQMLLVTHILRAPELCRGSLIA LCISNVLFMLPWQFAQFVLLTQIASLFAVYVVGYIDTHKLQKIIYMHMISLVLCFVLMFG NSMLLTSYYASSLVIIWGMLAMKPQFLRMNVSELSLWVIQGCGWLFGTVILKSVTSRIFG IADDAHIGNLLTSKFFSYKDFDTLLYTCAAEFDFMEKETPLRYTKTLLLPVVLVTVAAIV RKIFNDMRGVVAKQRTHTRKQQFEHGELVYHALQLLAYTALGVLIMRLKLFLTPHMCVMA SLICSRQLFGWLFGKVHPGAVVFAILAAMSIQGSANLQTQWNIVGEFSNLPQEELIEWIR YSTKPDAVFAGAMPTMASVKLSALRPVVNHPHYEDAGLRARTKIVYSMYSRKAPEDVKKE LMKLKVNYYILEESWCIRRSKPGCSMPEIWDVEDPDNAGKTPLCNILVKDSKPHFTTVFQ NSVYKVLEVLRQ >ENSMUSP00000119986.1 pep:known chromosome:GRCm38:9:24411778:24503140:-1 gene:ENSMUSG00000043067.15 transcript:ENSMUST00000142064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpy19l1 description:dpy-19-like 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1915685] MVLQARSKHRDAAPRPPRSARSSPPPLSGASEVDAGELGSERTPPSPGRRGAAGRKGPRA GTAAPAPDGLAGRLAAGLHWALGLRRGRGRTWSTLLLASFAALLHWSHITHLFENDRHFS HLSTLEREMAFRTEMGLYYSYFKTIVEAPSFLNGVWMIMNDKLTEYPLVINTLKRFNLYP EVILASWYRIYTKIMDLIGIQTKICWTVTRGEGLSPIESCEGLGDPACFYVAVIFMLNGL MMALFFIYGTYLSGSRLGGVVTVLCFFFNHGECTRVMWTPPLRESFSYPFLVLQMLLVTH ILRAPELCRGSLIALCISNVLFMLPWQFAQFVLLTQIASLFAVYVVGYIDTHKLQKIIYM HMISLVLCFVLMFGNSMLLTSYYASSLVIIWGMLAMKPQFLRMNVSELSLWVIQGCGWLF GTVILKSVTSRIFGIADDAHIGNLLTSKFFSYKDFDTLLYTCAAEFDFMEKETPLRYTKT LLLPVVLVTVAAIVRKIFNDMRGVVAKQRTHTRKQQFEHGELVYHALQLLAYTALGVLIM RLKLFLTPHMCVMASLICSRQLFGWLFGKVHPGAVVFAILAAMSIQGSANLQTQWNIVGE FSNLPQEELIEWIRYSTKPDAVFAGAMPTMASVKLSALRPVVNHPHYEDAGLRARTKIVY SMYSRKAPEDVKKELMKLKVNYYILEESWCIRRSKPGCSMPEIWDVEDPDNAGKTPLCNI LVKDSKPHFTTVFQNSVYKVLEVLRQ >ENSMUSP00000129575.1 pep:known chromosome:GRCm38:9:24411803:24503140:-1 gene:ENSMUSG00000043067.15 transcript:ENSMUST00000170356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpy19l1 description:dpy-19-like 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1915685] MVLQARSKHRDAAPRPPRSARSSPPPLSGASEVDAGELGSERTPPSPGRRGAAGRKGPRA GTAAPAPDGLAGRLAAGLHWALGLRRGRGRTWSTLLLASFAALLHWSHITHLFENDRHFS HLSTLEREMAFRTEMGLYYSYFKTIVEAPSFLNGVWMIMNDKLTEYPLVINTLKRFNLYP EVILASWYRIYTKIMDLIGIQTKICWTVTRGEGLSPIESCEGLGDPACFYVAVIFMLNGL MMALFFIYGTYLSGSRLGGVVTVLCFFFNHGECTRVMWTPPLRESFSYPFLVLQMLLVTH ILRAPELCRGSLIALCISNVLFMLPWQFAQFVLLTQIASLFAVYVVGYIDTHKLQKIIYM HMISLVLCFVLMFGNSMLLTSYYASSLVIIWGMLAMKPQFLRMNVSELSLWVIQGCGWLF GTVILKSVTSRIFGIADDAHIGNLLTSKFFSYKDFDTLLYTCAAEFDFMEKETPLRYTKT LLLPVVLVTVAAIVRKIFNDMRGVVAKQRTHTRKQQFEHGELVYHALQLLAYTALGVLIM RLKLFLTPHMCVMASLICSRQLFGWLFGKVHPGAVVFAILAAMSIQGSANLQTQWNIVGE FSNLPQEELIEWIRYSTKPDAVFAGAMPTMASVKLSALRPVVNHPHYEDAGLRARTKIVY SMYSRKAPEDVKKELMKLKVNYYILEESWCIRRSKPGCSMPEIWDVEDPDNAGKTPLCNI LVKDSKPHFTTVFQNSVYKVLEVLRQ >ENSMUSP00000127745.2 pep:known chromosome:GRCm38:17:34616662:34627435:-1 gene:ENSMUSG00000015474.16 transcript:ENSMUST00000171121.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt2 description:palmitoyl-protein thioesterase 2 [Source:MGI Symbol;Acc:MGI:1860075] MPGLWRQRLPSAWALLLLPFLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYIN ETHTGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMEKAPEGVHLICYSQGGLVCRAL LSVMDNHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRVCYSPWGQEFSICNYWH DPHHDDLYLNASSFLALINGERDHPNATAWRKNFLRVGRLVLIGGPDDGVITPWQSSFFG FYDANETVLEMEEQPVYLRDSFGLKTLLARGAIVRCPMAGISHTTWHSNRTLYDTCIEPW LS >ENSMUSP00000068071.8 pep:known chromosome:GRCm38:17:34616663:34627377:-1 gene:ENSMUSG00000015474.16 transcript:ENSMUST00000064953.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt2 description:palmitoyl-protein thioesterase 2 [Source:MGI Symbol;Acc:MGI:1860075] MPGLWRQRLPSAWALLLLPFLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYIN ETHTGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMEKAPEGVHLICYSQGGLVCRAL LSVMDNHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRVCYSPWGQEFSICNYWH DPHHDDLYLNASSFLALINGERDHPNATAWRKNFLRVGRLVLIGGPDDGVITPWQSSFFG FYDANETVLEMEEQPVYLRDSFGLKTLLARGAIVRCPMAGISHTTWHSNRTLYDTCIEPW LS >ENSMUSP00000131243.1 pep:known chromosome:GRCm38:17:34616664:34627148:-1 gene:ENSMUSG00000015474.16 transcript:ENSMUST00000171376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt2 description:palmitoyl-protein thioesterase 2 [Source:MGI Symbol;Acc:MGI:1860075] MPGLWRQRLPSAWALLLLPFLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYIN ETHTGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMEKAPEGVHLICYSQGGLVCRAL LSVMDNHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRVCYSPWGQEFSICNYWH DPHHDDLYLNASSFLALINGERDHPNATAWRKNFLRVGRLVLIGGPDDGVITPWQSSFFG FYDANETVLEMEEQPVYLRDSFGLKTLLARGAIVRCPMAGISHTTWHSNRTLYDTCIEPW LS >ENSMUSP00000132339.2 pep:known chromosome:GRCm38:17:34617229:34627485:-1 gene:ENSMUSG00000015474.16 transcript:ENSMUST00000168391.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt2 description:palmitoyl-protein thioesterase 2 [Source:MGI Symbol;Acc:MGI:1860075] MPGLWRQRLPSAWALLLLPFLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYIN ETHTGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMEKAPEGVHLICYSQGGLVCRAL LSVMDNHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRVCYSPWGQEFSICNYWH DPHHDDLYLNASSFLALINGERDHPNATAWRKNFLRVGRLVLIGGPDDGVITPWQSSFFG FYDANETVLEMEEQPVYLRDSFGLKTLLARGAIVRCPMAGISHTTWHSNRTLYDTCIEPW LS >ENSMUSP00000127372.2 pep:known chromosome:GRCm38:17:34617229:34627525:-1 gene:ENSMUSG00000015474.16 transcript:ENSMUST00000169067.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt2 description:palmitoyl-protein thioesterase 2 [Source:MGI Symbol;Acc:MGI:1860075] MPGLWRQRLPSAWALLLLPFLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYIN ETHTGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMEKAPEGVHLICYSQGGLVCRAL LSVMDNHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRVCYSPWGQEFSICNYWH DPHHDDLYLNASSFLALINGERDHPNATAWRKNFLRVGRLVLIGGPDDGVITPWQSSFFG FYDANETVLEMEEQPVYLRDSFGLKTLLARGAIVRCPMAGISHTTWHSNRTLYDTCIEPW LS >ENSMUSP00000132006.2 pep:known chromosome:GRCm38:17:34617229:34628039:-1 gene:ENSMUSG00000015474.16 transcript:ENSMUST00000166040.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt2 description:palmitoyl-protein thioesterase 2 [Source:MGI Symbol;Acc:MGI:1860075] MPGLWRQRLPSAWALLLLPFLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYIN ETHTGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMEKAPEGVHLICYSQGGLVCRAL LSVMDNHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRVCYSPWGQEFSICNYWH DPHHDDLYLNASSFLALINGERDHPNATAWRKNFLRVGRLVLIGGPDDGVITPWQSSFFG FYDANETVLEMEEQPVYLRDSFGLKTLLARGAIVRCPMAGISHTTWHSNRTLYDTCIEPW LS >ENSMUSP00000127726.1 pep:known chromosome:GRCm38:17:34617314:34626928:-1 gene:ENSMUSG00000015474.16 transcript:ENSMUST00000169969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt2 description:palmitoyl-protein thioesterase 2 [Source:MGI Symbol;Acc:MGI:1860075] XLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYINETHTGTVVTVLDLFDGRES LRPLWEQVQGFREAVVPIMEKAPEGVHLICYSQGGLVCRALLSVMDNHNVDSFISLSSPQ MGQYGDPHHDDLYLNASSFLALINGERDHPNATAWRKNFLRVGRLVLIGGPDDGVITPWQ SSFFGFYDANETVLEMEEQPVYLRDSFGLKTLLARGAIVRCPMAGISHTTWHSNRTLYDT CIEPWLS >ENSMUSP00000125937.2 pep:known chromosome:GRCm38:17:34622851:34628510:-1 gene:ENSMUSG00000015474.16 transcript:ENSMUST00000167097.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt2 description:palmitoyl-protein thioesterase 2 [Source:MGI Symbol;Acc:MGI:1860075] MPGLWRQRLPSAWALLLLPFLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYIN ETHTGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMEKAPEGVHLICYSQGGLVCRAL LSVMDNHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRVCYSPWGQEFSICNYWH DPHHDDLYLNASSFLALINGERDHPNATAWRKNFLRVGRLVLIGGPDDGVITPWQS >ENSMUSP00000127707.1 pep:known chromosome:GRCm38:17:34623047:34627426:-1 gene:ENSMUSG00000015474.16 transcript:ENSMUST00000170345.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt2 description:palmitoyl-protein thioesterase 2 [Source:MGI Symbol;Acc:MGI:1860075] MPGLWRQRLPSAWALLLLPFLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYIN ETHTGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMEKAPEGVHLICYSQGGLVCRAL LSVMDNHNVDSFISLSSPQMGQYGDTDYLKWLFPTSMRSNLYRVCYSPWGQEFSICNYWH DPHHDDLYLNASSFLALINGERD >ENSMUSP00000129421.1 pep:known chromosome:GRCm38:17:34626489:34627150:-1 gene:ENSMUSG00000015474.16 transcript:ENSMUST00000169287.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt2 description:palmitoyl-protein thioesterase 2 [Source:MGI Symbol;Acc:MGI:1860075] MPGLWRQRLPSAWALLLLPFLPLLMPAAPAAHRGSYKPVIVVHGLFDSSYSFRHLLDYIN ETHTGTVVTVLDLFDGRESLRPLWEQVQGFREAVVPIMEKAP >ENSMUSP00000086852.4 pep:known chromosome:GRCm38:4:3973092:3973595:-1 gene:ENSMUSG00000068240.5 transcript:ENSMUST00000089430.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11808 description:predicted gene 11808 [Source:MGI Symbol;Acc:MGI:3649356] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNN LRPKKKVK >ENSMUSP00000068863.3 pep:known chromosome:GRCm38:18:86711110:86718283:1 gene:ENSMUSG00000024647.14 transcript:ENSMUST00000068423.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbln2 description:cerebellin 2 precursor protein [Source:MGI Symbol;Acc:MGI:88282] MPAPGQGPRGPLLSMPGRRGALREPADFGSSLGAVLALLLLLLPACCPVRAQNDTEPIVL EGKCLVVCDSSPSGDGAVTSSLGISVRSGSAKVAFSATRSTNHEPSEMSNRTMTIYFDQV LVNIGNHFDLASSIFVAPRKGIYSFSFHVVKVYNRQTIQVSLMQNGYPVISAFAGDQDVT REAASNGVLLLMEREDKVHLKLERGNLMGGWKYSTFSGFLVFPL >ENSMUSP00000113996.1 pep:known chromosome:GRCm38:18:86711520:86717589:1 gene:ENSMUSG00000024647.14 transcript:ENSMUST00000122464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbln2 description:cerebellin 2 precursor protein [Source:MGI Symbol;Acc:MGI:88282] MPAPGQGPRGPLLSMPGRRGALREPADFGSSLGAVLALLLLLLPACCPVRAQNDTEPIVL EGKCLVVCDSSPSGDGAVTSSLGISVRSGSAKVAFSATRSTNHEPSEMSNRTMTIYFDQV LVNIGNHFDLASSIFVAPRKGIYSFSFHVVKVYNRQTIQVSLMQNGYPVISAFAGDQDVT REAASNGVLLLMEREDKVHLKLERGNLMGGWKYSTFSGFLVFPL >ENSMUSP00000113695.1 pep:known chromosome:GRCm38:18:86712065:86718281:1 gene:ENSMUSG00000024647.14 transcript:ENSMUST00000122079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbln2 description:cerebellin 2 precursor protein [Source:MGI Symbol;Acc:MGI:88282] MPAPGQGPRGPLLSMPGRRGALREPADFGSSLGAVLALLLLLLPACCPVRAQNDTEPIVL EGKCLVVCDSSPSGDGAVTSSLGISVRSGSAKVAFSATRSTNHEPSEMSNRTMTIYFDQV LVNIGNHFDLASSIFVAPRKGIYSFSFHVVKVYNRQTIQVSLMQNGYPVISAFAGDQDVT REAASNGVLLLMEREDKVHLKLERGNLMGGWKYSTFSGFLVFPL >ENSMUSP00000126810.1 pep:known chromosome:GRCm38:18:86713048:86718283:1 gene:ENSMUSG00000024647.14 transcript:ENSMUST00000169470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbln2 description:cerebellin 2 precursor protein [Source:MGI Symbol;Acc:MGI:88282] MPAPGQGPRGPLLSMPGRRGALREPADFGSSLGAVLALLLLLLPACCPVRAQNDTEPIVL EGKCLVVCDSSPSGDGAVTSSLGISVRSGSAKVAFSATRSTNHEPSEMSNRTMTIYFDQV LVNIGNHFDLASSIFVAPRKGIYSFSFHVVKVYNRQTIQVSLMQNGYPVISAFAGDQDVT REAASNGVLLLMEREDKVHLKLERGNLMGGWKYSTFSGFLVFPL >ENSMUSP00000138379.1 pep:known chromosome:GRCm38:9:107740371:107770996:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000182659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSP ERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIMLRGLPITITESDIREMMES FEGPQPADVRLMKRKTGVSRGFAFVEFYHLQDATSWMEANQKKLVIQGKHIAMHYSNPRP KFEDWLCNKCCLNNFRKRLKCFRCGADKFDSEQEVPPGTTESAQSVDYYCDTIILRNIAP HTVVDSIMTALSPYASLAVNNIRLIKDKQTQQNRGFAFVQLSSAMDASQLLQILQSLHPP LKIDGKTIGVDFAKSARKDLVLPDGNRVSAFSVASTAIAAAQWSSTQSQSGEGGSVDYSY MQPGQDGYTQYTQYSQDYQQFYQQQAGGLESDTSATSGTTVTTTSAAVVSQSPQLYNQTS NPPGSPTEEAQPSTSTSTQAPAASPTGVVPGTKYAVPDTSTYQYDESSGYYYDPTTGLYY DPNSQYYYNSLTQQYLYWDGEKETYVPAAEASSNQQTGLPSTKEGKEKKEKPKSKTAQQI AKDMERWAKSLNKQKENFKNSFQPVNSLREEERRESAAADAGFALFEKKGALAERQQLLP ELVRNGDEENPLKRGLVAAYSGDSDNEEELVERLESEEEKLADWKKMACLLCRRQFPNRD ALVRHQQLSDLHKQNMDIYRRSRLSEQELEALELREREMKYRDRAAERREKYGIPEPPEP KRKKQFDAGTVNYEQPTKDGIDHSNIGNKMLQAMGWREGSGLGRKCQGITAPIEAQVRLK GAGLGAKGSAYGLSGADSYKDAVRKAMFARFTEME >ENSMUSP00000138119.1 pep:known chromosome:GRCm38:9:107740500:107770980:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000182371.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSP ERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIMLRGLPITITESDIREMMES FEGPQPADVRLMKRKTEKIGDSRKAHCNAL >ENSMUSP00000035199.6 pep:known chromosome:GRCm38:9:107740500:107770987:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000035199.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSP ERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIMLRGLPITITESDIREMMES FEGPQPADVRLMKRKTGVSRGFAFVEFYHLQDATSWMEANQKKLVIQGKHIAMHYSNPRP KFEDWLCNKCCLNNFRKRLKCFRCGADKFDSEQEVPPGTTESAQSVDYYCDTIILRNIAP HTVVDSIMTALSPYASLAVNNIRLIKDKQTQQNRGFAFVQLSSAMDASQLLQILQSLHPP LKIDGKTIGVDFAKSARKDLVLPDGNRVSAFSVASTAIAAAQWSSTQSQSGEGGSVDYSY MQPGQDGYTQYTQYSQDYQQFYQQQAGGLESDTSATSGTTVTTTSAAVVSQSPQLYNQTS NPPGSPTEEAQPSTSTSTQAPAASPTGVVPGTKYVPDTSTYQYDESSGYYYDPTTGLYYD PNSQYYYNSLTQQYLYWDGEKETYVPAAEASSNQQTGLPSTKEGKEKKEKPKSKTAQQIA KDMERWAKSLNKQKENFKNSFQPVNSLREEERRESAAADAGFALFEKKGALAERQQLLPE LVRNGDEENPLKRGLVAAYSGDSDNEEELVERLESEEEKLADWKKMACLLCRRQFPNRDA LVRHQQLSDLHKQNMDIYRRSRLSEQELEALELREREMKYRDRAAERREKYGIPEPPEPK RKKQFDAGTVNYEQPTKDGIDHSNIGNKMLQAMGWREGSGLGRKCQGITAPIEAQVRLKG AGLGAKGSAYGLSGADSYKDAVRKAMFARFTEME >ENSMUSP00000138526.1 pep:known chromosome:GRCm38:9:107741964:107744286:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000182026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] XKLADWKKMACLLCRRQFPNRDALVRHQQLSDLHKQNMDIYRRSRLSEQELEALELRERE MKYRDRAAERREKYGIPEPPEPKRKKQFDAGTVNYEQPTKDGIDHSNIGNKMLQAMGWRE GSGLGRKCQGITAPIEVSIDSDIHGCSVCRFAGY >ENSMUSP00000138778.1 pep:known chromosome:GRCm38:9:107746044:107770951:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000182421.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSP ESVKEGTVTGLKMAIIQMVTMENMTIDMTSVMRERARPSCSVAFLSPSPRAIFEK >ENSMUSP00000142324.1 pep:known chromosome:GRCm38:9:107746145:107751910:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000194400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] XPGQDGYTQYTQYSQDYQQFYQQQAGGLESDTSATSGTTVTTTSAAVVSQSPQLYNQTSN PPGSPQYLTRLLINMMNHQDIIMILQQGSTMTLTHSTTITP >ENSMUSP00000141829.1 pep:known chromosome:GRCm38:9:107751910:107767597:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000193342.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] XDDRRGDRYDDYRDYDSPERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIML RGLPITITESDIREMMESFEGPQPADVRLMKRKTGVSRGFAFVEFYHLQDATSWMEANQK KLVIQGKHIAMHYSNPRPKFEDWLCNKTLNRKCPPEPQSLLSPWITTVILSFFGT >ENSMUSP00000142194.1 pep:known chromosome:GRCm38:9:107754095:107770972:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000192130.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSP ERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIMLRGLPITITESDIREMMES FEGPQPADVRLMKRKTEKIGDSRKAHCNAL >ENSMUSP00000138380.1 pep:known chromosome:GRCm38:9:107754123:107770495:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000182304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSP ERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIMLRGLPITITESDIREMMES FEGPQPADVRLMKRKTGVSRGFAFVEFYHLQDATSWMEANQKKLVIQGKHIAMHYSNPRP KFEDWLCNKCCLNNFRKRLKCFRCGADKFDSEQEVPPGTTESAQSVDYYCDTIILRNIAP HTVVDSIMTAL >ENSMUSP00000138390.1 pep:known chromosome:GRCm38:9:107760319:107770959:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000182022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSP ERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIMLRGLPITITESDIREMMES FEGPQPADVRLMKRKTG >ENSMUSP00000138294.2 pep:known chromosome:GRCm38:9:107760384:107770945:-1 gene:ENSMUSG00000032580.12 transcript:ENSMUST00000183248.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm5 description:RNA binding motif protein 5 [Source:MGI Symbol;Acc:MGI:1933204] MGSDKRVSRTERSGRYGSIIDRDDRDERESRSRRRDSDYKRSSDDRRGDRYDDYRDYDSP ERERERRNSDRSEDGYHSDGDYGEHDYRHDISDERESKTIMLRGLPITITESDIR >ENSMUSP00000023709.5 pep:known chromosome:GRCm38:15:101707070:101712891:-1 gene:ENSMUSG00000061527.6 transcript:ENSMUST00000023709.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt5 description:keratin 5 [Source:MGI Symbol;Acc:MGI:96702] MSRQSSVSFRSGGSRSFSAASAITPSVSRTSFSSVSRSGGGGGGRISLGGACGAGGYGSR SLYNVGGSKRISYSSGGGSFRNQFGAGGFGFGGGAGSGFGFGGGAGSGFGFGGGAGFGGG YGGAGFPVCPPGGIQEVTVNQNLLTPLNLQIDPTIQRVRTEEREQIKTLNNKFASFIDKV RFLEQQNKVLDTKWALLQEQGTKTIKQNLDPLFEQYINNLRRQLDGVLGERGRLDSELRN MQDLVEDYKNKYEDEINKRTTAENEFVMLKKDVDAAYMNKVELEARVDALMDEINFMKMF FDAELSQMQTHVSDTSVVLSMDNNRSLDLDSIIAEVKAQYEDIANRSRTEAESWYQTKYE ELQQTAGRHGDDLRNTKHEISEMNRMIQRLRSEIDNVKKQCANLQNAIAEAEQRGELALK DARNKLTELEEALQKAKQDMARLLREYQELMNTKLALDVEIATYRKLLEGEECRLSGEGV GPVNISVVTNSVSSGYGGGSSIGVGSGFGGGLGSGFAGGLGPRFTRGGGGLGLGSGLSVG GSGFSAGSSQGGMSFGSGGGSGSSVKFVSTTSSSRRSFKS >ENSMUSP00000114309.1 pep:known chromosome:GRCm38:12:103196908:103242146:-1 gene:ENSMUSG00000041669.15 transcript:ENSMUST00000127843.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prima1 description:proline rich membrane anchor 1 [Source:MGI Symbol;Acc:MGI:1926097] MLLRDLVLRHGCCWPSLLLHCALHPLWGLVQVTHAEPQKSCSKVTDSCQHICQCRPPPPL PPPPPPPPPPRLLSAPAPNSTSCPAEDSWWSGLVIIVAVVCASLVFLTVLVIICYKAIKR NQAI >ENSMUSP00000074017.3 pep:known chromosome:GRCm38:12:103196908:103242150:-1 gene:ENSMUSG00000041669.15 transcript:ENSMUST00000074416.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prima1 description:proline rich membrane anchor 1 [Source:MGI Symbol;Acc:MGI:1926097] MLLRDLVLRHGCCWPSLLLHCALHPLWGLVQVTHAEPQKSCSKVTDSCQHICQCRPPPPL PPPPPPPPPPRLLSAPAPNSTSCPAEDSWWSGLVIIVAVVCASLVFLTVLVIICYKAIKR KPLRKDENGTSVAEYPMSSSQSHKGVDVNAAVV >ENSMUSP00000123331.1 pep:known chromosome:GRCm38:12:103197268:103242128:-1 gene:ENSMUSG00000041669.15 transcript:ENSMUST00000156176.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prima1 description:proline rich membrane anchor 1 [Source:MGI Symbol;Acc:MGI:1926097] MLLRDLVLRHGCCWPSLLLHCALHPLWGLVQLPTLPPALQRTAGGLVW >ENSMUSP00000081167.3 pep:known chromosome:GRCm38:4:147132038:147180579:1 gene:ENSMUSG00000067916.9 transcript:ENSMUST00000084149.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13139 description:predicted gene 13139 [Source:MGI Symbol;Acc:MGI:3701604] MSVCLVNTPQGLLTFKDVALDFSLEEWESLSFAQRTLYMDVMLENYNNLLFVENHCICGK YEKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILEAPQCTPYKTNHTSDALQFSNQKRLK PRNTKEVCKYNDSVNSLTLFSTISLNQGIHMQKKKHNGNAESEKAFISKHKVMVKRNNTG VNPYKCSKFDKYLTQRDKLQSQQRIYHEKKHYRSSKSDKCFTHQIDLSIHQGIHAEEKIY KCSECDKCFTHKFHLNIHQRIHTGEKPYKCSECDKCFTQKSHLNIHQRIHTGEKPYKCIV CEKCFTEKGNLRIHQRIHTGDKPYKCSECDKCFTQKSHLNIHQRIHTGEKPYKCSQCDKS FTENGHLRIHQRNHTGEKPYKCSRCDKSFTENGHLRIHQRIHTGEKPYKCSECDKCFTKK FSLRIHQRIHTGEKPYKCSECDKCFTFKGSLRIHQRIHTGEKPYKCSECDKSFTENGCLR IHQRIHTGEKPYKCTECDKCFTFKGSLRIHQRIHTGEKPYKCTECDKSFTEKGKLRIHQR IHKGEKPYKCSECDKCFTEKGHQRIHTGEIAYK >ENSMUSP00000120221.1 pep:known chromosome:GRCm38:4:147150494:147176772:1 gene:ENSMUSG00000067916.9 transcript:ENSMUST00000151022.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13139 description:predicted gene 13139 [Source:MGI Symbol;Acc:MGI:3701604] MSVCLVNTPQGLLTFKDVA >ENSMUSP00000036330.4 pep:known chromosome:GRCm38:15:94270163:94404258:-1 gene:ENSMUSG00000022449.14 transcript:ENSMUST00000035342.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts20 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 20 [Source:MGI Symbol;Acc:MGI:2660628] MRVAKWLTGLLCPISLLLTGSWEVRFHPRQEALVKTLASYEVVTPTRVNEFGDVFPQNRH FSRKKRSSGVPEPPPFRTHYRISAYGQLFQLNLSADAAFLAAGYTEVHLGTPVPGPGGRS TESPDLRHCFYRGQVNAREDHTAVFSLCGGLMGTFKANDGEYFLEPVLRADGSAHDDDHN KPHLIYRQELKRNSFARSHKPCEVSENQMEKTALPSQSSRNTTGDVDIEEEAVFRLEGER SQLHSRNKRFLSYPRYVEVMVTADAKMVHHHGQNLQHYVLTLMSIVAAIYKDSSIGNLIN IVIVKLVVIHSEQEGPVISFNAATTLRNFCLWQQSQNVPDDAHPSHHDTAVLITREDICG AKEKCDTLGLAELGTLCDPSRSCSISEENGLSAAFTIAHELGHVFNVPHDDSFKCKEAGI KHQYHVMAPTLNYHTSPWTWSACSQKHITEFLDTGHGECLLDKPNGRTYDLSPQLPGSVY DGNRQCELMFGPGSQVCPYLKHCRRLWCTSAEGVHKGCRTQHMPLADGTSCGPGMHCHRG LCVTRDMETRPVDGEWGPWGPYSSCSRTCGGGIKSTARLCDRPEPRNGGRYCVGRRMKFR SCNTDSCPKGKRDFREKQCSDFDGKHFDINGLPPNVRWLPKYSGIAVKDRCKLYCRVAGT TSFYQLKDRVADGTPCGTETNDICVQGLCRQAGCDHVLNSKAKRDKCGVCGGDNSSCQTL AGVFNSAHYGYNVVVKIPAGATNIEILQHSYSGRPEDDNYLALSDTQGNFLLNGNFVVSM AKKEINIQGAVFEYSGSNNSIERINSTDRLEAELVLQVLCVGNLYNPDVRYSFNIPIEER SNLFSWDPYGPWQDCTKMCQGLHRRKIACVRKSDHAVVSDHNCGHLPMPLFVTEKCNMDC ELRWHIIGKSDCSSQCGQGYRTLDVHCMKYSVHKGQAVPVGDQYCGDQLKPPSREPCHGS CVLTRWHYSEWSQCSRSCGGGDKTRESYCVNGFGHRLAESECRELPRVVLENCNEFPCPS WATSEWSECPVTCGKGMKQRQVWCQLSEDPMRDGFCNASTKPESLRPCELRACASWHVGP WGSCTATCGHGYQMRAVKCISEIFGTMLDDRECPQASRPSDRQDCILAPCLAIPEVGATS LPAIPLGRAAQWRHGSWTPCSVSCGRGSQARYVSCRDAHDEVADESNCAHLPRPAAVSLC FSPCGEWQAGDWSPCSASCGHGKTTRRVLCVNYHQLVDESYCDPEGRPVTEQECSLAACP PLYSRAPSSSEQPSHVPSRNVPLTHKPGENQDQGAQLSIRGNQWRTGPWGACSRSCAGGL QHRAVVCQDEDGRSATSCDGSSKPPESRHCGSGPCPHWNYGDWGECTQTCGGGVKSRFVI CQFPNGQMTQEHSCELPKPPSMMQCHLHACPEDVSWYRGPWKSCSASCGKGVKYREVLCI DQFQRKLEEKYCSHLHKPRTHKACRSGRCPSWKANKWKECSVTCGSGVQQREVYCRLRGT GRVSEDMCDPSTRPQGQRQCWRQDCMRYQWTTGDWLDCSTSCKKKETYRLVKCVNEQNVQ ANESLCDPLTKPLSIKKCRNPHCKYSVVTGDSSQCAGNCGFTSPQKITYCTKIQSSKKHT FHQLRPVVYGECPVIPSPQAYKCDLRSCLHVATWKVGKWSKCSVTCGIGIMERRVACRTE NGWPSDLCLKRLKPDAQKKCYANDCKLLTTCKELQVTNNVTKDGDYDLNVRGRILKIHCS GMQLENPREYLPLVKSEDNFSEIYGLRLQNPYECPFNGSRRPDCACENDYLPAGYTVFSK VRVDLESMQIKTADLLFSQTLSGKAVPFATAGDCYSAARCPQGQFSINLAGTGMKISNTA KWLAQGRYASVIIHRSQDGTKVYGRCGGFCGKCIPHMATGLSIQVL >ENSMUSP00000121696.1 pep:known chromosome:GRCm38:15:94320334:94404258:-1 gene:ENSMUSG00000022449.14 transcript:ENSMUST00000155907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts20 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 20 [Source:MGI Symbol;Acc:MGI:2660628] MRVAKWLTGLLCPISLLLTGSWEVRFHPRQEALVKTLASYEVVTPTRVNEFGDVFPQNRH FSRKKRSSGVPEPPPFRTHYRISAYGQLFQLNLSADAAFLAAGYTEVHLGTPVPGPGGRS TESPDLRHCFYRGQVNAREDHTAVFSLCGGLMGTFKANDGEYFLEPVLRADGSAHDDDHN KPHLIYRQELKRNSFARSHKPCEVSENQMEKTALPSQSSRNTTGDVDIEEEAVFRLEGER SQLHSRNKRFLSYPRYVEVMVTADAKMVHHHGQNLQHYVLTLMSIVAAIYKDSSIGNLIN IVIVKLVVIHSEQEGPVISFNAATTLRNFCLWQQSQNVPDDAHPSHHDTAVLITREDICG AKEKCDTLGLAELGTLCDPSRSCSISEENGLSAAFTIAHELGHVFNVPHDDSFKCKEAGI KHQYHVMAPTLNYHTSPWTWSACSQKHITEFLDTGHGECLLDKPNGRTYDLSPQLPGSVY DGNRQCELMFGPGSQVCPYLKHCRRLWCTSAEGVHKGCRTQHMPLADGTSCGPGMHCHRG LCVTRDMETRPVDGEWGPWGPYSSCSRTCGGGIKSTARLCDRPEPRNGGRYCVGRRMKFR SCNTDSCPKGKRDFREKQCSDFDGKHFDINGLPPNVRWLPKYSGIAVKDRCKLYCRVAGT TSFYQLKDRVADGTPCGTETNDICVQGLCRQAGCDHVLNSKAKRDKCGVCGGDNSSCQTL AGVFNSAHYGYNVVVKIPAGATNIEILQHSYSGRPEDDNYLALSDTQGNFLLNGNFVVSM AKKEINIQGAVFEYSGSNNSIERINSTDRLEAELVLQVLCVGNLYNPDVRYSFNIPIEER SNLFSWDPYGPWQDCTKMCQGLHRRKIACVRKSDHAVVSDHNCGHLPMPLFVTEKCNMDC ELRWHIIGKSDCSSQCGQGYRTLDVHCMKYSVHKGQAVPVGDQYCGDQLKPPSREPCHGS CVLTRWHYSEWSQCSRSCGGGDKTRESYCVNGFGHRLAESECRELPRVVLENCNEFPCPS WATSEWSECPVTCGKGMKQRQVWCQLSEDPMRDGFCNASTKPESLRPCELRACASWHVGP WGSCTATCGHGYQMRAVKCISEIFGTMLDDRECPQASRPSDRQDCILAPCLAIPEVGATS LPAIPLGRAAQWRHGSWTPCSVSCGRGSQARYVSCRDAHDEVADESNCAHLPRPAAVSLC FSPCGEWQAGDWSPCSASCGHGKTTRRVLCVNYHQLVDESYCDPEGRPVTEQECSLAACP PLYSRAPSSSEQPSHVPSRNVPLTHKPGENQDQGAQLSIRGNQWRTGPWGACSRSCAGGL QHRAVVCQDEDGRSATSCDGSSKPPESRHCGSGPCPHWNYGDWGECTQTCGGGVKSRFVI CQFPNGQMTQEHSCELPKPPSMMQCHLHACPEDVSWYRGPWKSVR >ENSMUSP00000080081.4 pep:known chromosome:GRCm38:19:24261453:24280605:-1 gene:ENSMUSG00000059363.10 transcript:ENSMUST00000081333.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxn description:frataxin [Source:MGI Symbol;Acc:MGI:1096879] MWAFGGRAAVGLLPRTASRASAWVGNPRWREPIVTCGRRGLHVTVNAGATRHAHLNLHYL QILNIKKQSVCVVHLRNLGTLDNPSSLDETAYERLAEETLDSLAEFFEDLADKPYTLEDY DVSFGDGVLTIKLGGDLGTYVINKQTPNKQIWLSSPSSGPKRYDWTGKNWVYSHDGVSLH ELLARELTKALNTKLDLSSLAYSGKGT >ENSMUSP00000117047.1 pep:known chromosome:GRCm38:19:24261501:24280568:-1 gene:ENSMUSG00000059363.10 transcript:ENSMUST00000123684.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fxn description:frataxin [Source:MGI Symbol;Acc:MGI:1096879] MWAFGGRAAVGLLPRTASRASAWVGNPRWREPIVTCGRRGLHVTVNAGATRHAHLNLHYL QILNIKKQSVCVVHLRNLGTLDNPSSLDETAYERLAEETLDSLAEFFEDLADKPYTLEDY DVSFGVPLGSVAFCLLLNNGWRAHH >ENSMUSP00000046298.3 pep:known chromosome:GRCm38:4:3995936:4019663:-1 gene:ENSMUSG00000028236.3 transcript:ENSMUST00000040925.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdr16c5 description:short chain dehydrogenase/reductase family 16C, member 5 [Source:MGI Symbol;Acc:MGI:2668443] MSQNLESVKNLLVFLGKSLLSVLEALLFHVISKPRKNVAGEIVLITGAGSGLGRLLALQF ARLGAVLVLWDVNKEANDETHQLAREAGAARVHAYTCDCSRREEVYRVADQVKKEVGDVS ILINNAGIVTGRNFLDCPDDLMEKSFDVNFKAHLWMYKAFLPAMIANNHGHLVCISSSAG LIGVNGLSDYCASKFAALGFAESMFIETLAKKQWGIKTTIVCPFFIKTGMFEGCTTKCPT LLPILDPEYAVRKIIDAILQEQLYLYMPKFLYFIVFLKSILPIKTGILIADYLGVFHMTE GFTGQKKKT >ENSMUSP00000026985.8 pep:known chromosome:GRCm38:13:54371349:54383917:1 gene:ENSMUSG00000025867.8 transcript:ENSMUST00000026985.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cplx2 description:complexin 2 [Source:MGI Symbol;Acc:MGI:104726] MDFVMKQALGGATKDMGKMLGGEEEKDPDAQKKEEERQEALRQQEEERKAKHARMEAERE KVRQQIRDKYGLKKKEEKEAEEKAALEQPCEGSLTRPKKAIPAGCGDEEEEEEESILDTV LKYLPGPLQDMFKK >ENSMUSP00000048901.3 pep:known chromosome:GRCm38:2:33729953:33887946:-1 gene:ENSMUSG00000038740.9 transcript:ENSMUST00000041555.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvb12b description:multivesicular body subunit 12B [Source:MGI Symbol;Acc:MGI:1919793] MRSCFCVRRSRDPPPPQPPPPQRGTDQATMPEVKELSEALPETPMDPITGVGVVASRNRA PTGYDVVAQTADGVDADLWKDGLFKSKVTRYLCFTRSFSKENSHLGNVLVDMKLIDVKDT LPVGFIPIQETVDTQEVVFRKKRLCIKFIPRDSTEAAICDIRIMGRTKQAPPQYTFIGEL NSMGIWYRMGRVPRNHDSSQPTTPSQSSASSTPAPNLPRHISLTLPATFRGRNNTSTDYE YQLSNLYAISAMDGVPFMISEKFSCIPESMQPFDLLGITIKSLAEIEKEYEYSFRTEQSA AARLPPSPTRCQQIPQS >ENSMUSP00000115744.1 pep:known chromosome:GRCm38:2:33825048:33886845:-1 gene:ENSMUSG00000038740.9 transcript:ENSMUST00000148660.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvb12b description:multivesicular body subunit 12B [Source:MGI Symbol;Acc:MGI:1919793] MPEVKELSEALPETPMDPITGVGVVASRNRAPTGYDVVAQTADGVDADLWKDGLFKSKVT RYLCFTRSFSKENSHLGNVLVDMKLIDVKDTLPVGFIPIQETVDTQEVVFRKKRLCIKFI PRDSTEAAICDIRIMGRTKQAPPQYTFIGELNSMGIWYRMGRVPRNHDSSQPTTPSQSSA SSTPAPNLP >ENSMUSP00000064142.1 pep:known chromosome:GRCm38:13:21555040:21556020:-1 gene:ENSMUSG00000054890.1 transcript:ENSMUST00000068163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1535 description:olfactory receptor 1535 [Source:MGI Symbol;Acc:MGI:3031369] MNRANGSIFSGFILLGFSNRPQLETALFFAMLLIYFLSFLGNSTIILLSVIDPHLHTPMY FFLSNLSFMDLCLTARTVPQTLFNFKGKDKTITYGGCVTQLFIALGLGGVECILLSVMAY DRYAAVCRPLHYMVIMHPQLCIKMVITACSQGLHKDGYNCLLTGFGNSVVQTALTMSRPL CGRNQLDHFFCEVPVMLKLTCANSFINEAELFAVSVFFLVVPLSLILVSYGYITHAVLKI KSAQGRRKAFGTCGSHLLVVIIFFGTLISMYLQPPSSYSQDMNKSMALFYTLVTALLNPL IYTLRNREVKSALRRIMGRRTDSTKS >ENSMUSP00000030039.7 pep:known chromosome:GRCm38:1:174501825:174822729:1 gene:ENSMUSG00000028354.13 transcript:ENSMUST00000030039.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmn2 description:formin 2 [Source:MGI Symbol;Acc:MGI:1859252] MGNQDGKLKRSAGDASHEGGGAEDAAGPRDAEITKKASGSKKALGKHGKGGGGSGETSKK KSKSDSRASVFSNLRIRKNLTKGKGACDSREDVLDSQALPIGELDSAHSIVTKTPDLSLS AEETGLSDTECADPFEVIHPGASRPAEAGVGIQATAEDLETAAGAQDGQRTSSGSDTDIY SFHSATEQEDLLSDIQQAIRLQQQQQQKLLLQDSEEPAAPPTAISPQPGAFLGLDQFLLG PRSEAEKDTVQALPVRPDLPETTKSLVPEHPPSSGSHLTSETPGYATAPSAVTDSLSSPA FTFPEAGPGEGAAGVPVAGTGDTDEECEEDAFEDAPRGSPGEEWVPEVEEASQRLEKEPE EGMRESITSAVVSLPGSPAPSPRCFKPYPLITPCYIKTTTRQLSSPNHSPSQSPNQSPRI KKRPDPSVSRSSRTALASAAAPAKKHRLEGGLTGGLSRSADWTEELGVRTPGAGGSVHLL GRGATADDSGGGSPVLAAKAPGAPATADGFQNVFTGRTLLEKLFSQQENGPPEEAEKFCS RIIAMGLLLPFSDCFREPCNQNAGSSSAPFDQDQLYTWAAVSQPTHSMDYSEGQFPRREP SMWPSSKLPEEEPSPKDVDTEPKSSILESPKKCSNGVQQEVFDVKSEGQATVIQQLEQTI EDLRTKIAELEKQYPALDLEGPRGLSGLENGLTASADVSLDALVLHGKVAQPPRTLEAKS IQTSPTEEGRILTLPPPKAPPEGLLGSPAAASGESALLTSPSGPQTKFCSEISLIVSPRR ISVQLDAQQIQSASQLPPPPPLLGSDSQGQPSQPSLHTESETSHEHSVSSSFGNNCNVPP APPLPCTESSSFMPGLGMAIPPPPCLSDITVPALPSPTAPALQFSNLQGPEMLPAPPQPP PLPGLGVPPPPPAPPLPGMGIPPPPPLPGMGIPPPPPLPGMGISPLPPLPGMGIPPPPPL PGVGIPPPPPLPGVGIPPPPPLPGVGIPPPPPLPGVGIPPPPPLPGVGIPPPPPLPGVGI PPPPPLPGVGIPPPPPLPGVGIPPPPPLPGSGIPPPPALPGVAIPPPPPLPGMGVPPPAP PPPGAGIPPPPLLPGSGPPHSSQVGSSTLPAAPQGCGFLFPPLPTGLFGLGMNQDRVARK QLIEPCRPMKPLYWTRIQLHSKRDSSPSLIWEKIEEPSIDCHEFEELFSKTAVKERKKPI SDTISKTKAKQVVKLLSNKRSQAVGILMSSLHLDMKDIQHAVVNLDNSVVDLETLQALYE NRAQSDELEKIEKHSRSSKDKENAKSLDKPEQFLYELSLIPNFSERVFCILFQSTFSESI CSIRRKLELLQKLCETLKNGPGVMQVLGLVLAFGNYMNAGNKTRGQADGFGLDILPKLKD VKSSDNSRSLLSYIVSYYLRNFDEDAGKEQCVFPLAEPQELFQASQMKFEDFQKDLRKLK KDLKACEAEAGKVYQVSSAEHMQPFKENMEQFISQAKIDQESQEAALTETHKCFLETTAY YFMKPKLGEKEVSPNVFFSVWHEFSSDFKDAWKKENKLILQERVKEAEEVCRQKKGKSLY KVKPRHDSGIKAKISMKT >ENSMUSP00000142288.1 pep:known chromosome:GRCm38:1:174609809:174694483:1 gene:ENSMUSG00000028354.13 transcript:ENSMUST00000195621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmn2 description:formin 2 [Source:MGI Symbol;Acc:MGI:1859252] XCGFLFPPLPTGLFGLGMNQDRVARKQLIEPCRPMKPLYWTRIQLHSKRDSSPSLIWEKI EEPSIDCHEFEELFSKTAVKERKKPISDTISKTKAKQRAQSDELEKIEKHSRSSKDKENA KSLDKPEQFLYELSLIPNFSERVFC >ENSMUSP00000101484.1 pep:known chromosome:GRCm38:4:135369576:135385655:-1 gene:ENSMUSG00000043924.16 transcript:ENSMUST00000105858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncmap description:noncompact myelin associated protein [Source:MGI Symbol;Acc:MGI:2444888] MTTATTLGDAVFSLNMTRGEDALYKSSGAIVAAIVVVVIIIVTLVLILLKMYNRRMRTRR ELEPKSPKPPVPPALDPSSNGSQQPATVTFDPANVHVETR >ENSMUSP00000101485.1 pep:known chromosome:GRCm38:4:135369576:135398190:-1 gene:ENSMUSG00000043924.16 transcript:ENSMUST00000105859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncmap description:noncompact myelin associated protein [Source:MGI Symbol;Acc:MGI:2444888] MTTATTLGDAVFSLNMTRGEDALYKSSGAIVAAIVVVVIIIVTLVLILLKMYNRRMRTRR ELEPKSPKPPVPPALDPSSNGSQQPATVTFDPANVHVETR >ENSMUSP00000101486.2 pep:known chromosome:GRCm38:4:135369576:135398221:-1 gene:ENSMUSG00000043924.16 transcript:ENSMUST00000105860.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncmap description:noncompact myelin associated protein [Source:MGI Symbol;Acc:MGI:2444888] MGKETLFSVASCTGSRGEMTTATTLGDAVFSLNMTRGEDALYKSSGAIVAAIVVVVIIIV TLVLILLKMYNRRMRTRRELEPKSPKPPVPPALDPSSNGSQQPATVTFDPANVHVETR >ENSMUSP00000101483.1 pep:known chromosome:GRCm38:4:135370227:135385645:-1 gene:ENSMUSG00000043924.16 transcript:ENSMUST00000105857.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncmap description:noncompact myelin associated protein [Source:MGI Symbol;Acc:MGI:2444888] MTTATTLGDAVFSLNMTRGEDALYKSSGAIVAAIVVVVIIIVTLVLILLKMYNRRMRTRR ELEPKSPKPPVPPALDPSSNGSQQPATVTFDPANVHVETR >ENSMUSP00000118237.1 pep:known chromosome:GRCm38:4:135370652:135385672:-1 gene:ENSMUSG00000043924.16 transcript:ENSMUST00000123632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncmap description:noncompact myelin associated protein [Source:MGI Symbol;Acc:MGI:2444888] MTTATTLGDAVFSLNMTRGEDALYKSSGAIVAAIVVVVIIIVTLVLILLKMYNRRMRTRR ELEPKSPKPPVPP >ENSMUSP00000116389.1 pep:known chromosome:GRCm38:4:135371937:135398227:-1 gene:ENSMUSG00000043924.16 transcript:ENSMUST00000154518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncmap description:noncompact myelin associated protein [Source:MGI Symbol;Acc:MGI:2444888] MTTATTLGDAVFSLNMTRGEDALYKSSGAIVAA >ENSMUSP00000070780.8 pep:known chromosome:GRCm38:4:135369577:135385672:-1 gene:ENSMUSG00000043924.16 transcript:ENSMUST00000064481.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncmap description:noncompact myelin associated protein [Source:MGI Symbol;Acc:MGI:2444888] MTTATTLGDAVFSLNMTRGEDALYKSSGAIVAAIVVVVIIIVTLVLILLKMYNRRMRTRR ELEPKSPKPPVPPALDPSSNGSQQPATVTFDPANVHVETR >ENSMUSP00000145658.1 pep:known chromosome:GRCm38:13:21573510:21581652:-1 gene:ENSMUSG00000046016.2 transcript:ENSMUST00000206526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1364 description:olfactory receptor 1364 [Source:MGI Symbol;Acc:MGI:3031198] MHALTINAMINQSCQEQFILLGFSDRPRLESILFVFVLIFYLVTLVGNIIIILVSYLDPC LHTPMYFFLTNLSFLDLCFTTSSIPQLLFNLGGQDKSISYIGCAVQLFMFLGLGGTECVL LAVMAYDRFTAICKPLHYSVIMHSQLCWTLVSVAWSVGLLNSLVMSPVTMKLPRCGRCQV RHFLCEMPALIKIACVDTVAVESTVFILSVIIVLVPLTLILISYSYIALAVMRIKSASGR RKAFNTCGSHLTVVSLFYGNIIYMYMQPGHKASQDQGKFLTLFYNLVTPMLNPVIYTLRN KDVKGALKRLVTTK >ENSMUSP00000059544.1 pep:known chromosome:GRCm38:13:21573510:21574454:-1 gene:ENSMUSG00000046016.2 transcript:ENSMUST00000057453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1364 description:olfactory receptor 1364 [Source:MGI Symbol;Acc:MGI:3031198] MHALTINAMINQSCQEQFILLGFSDRPRLESILFVFVLIFYLVTLVGNIIIILVSYLDPC LHTPMYFFLTNLSFLDLCFTTSSIPQLLFNLGGQDKSISYIGCAVQLFMFLGLGGTECVL LAVMAYDRFTAICKPLHYSVIMHSQLCWTLVSVAWSVGLLNSLVMSPVTMKLPRCGRCQV RHFLCEMPALIKIACVDTVAVESTVFILSVIIVLVPLTLILISYSYIALAVMRIKSASGR RKAFNTCGSHLTVVSLFYGNIIYMYMQPGHKASQDQGKFLTLFYNLVTPMLNPVIYTLRN KDVKGALKRLVTTK >ENSMUSP00000143838.1 pep:known chromosome:GRCm38:5:120476526:120483932:1 gene:ENSMUSG00000029597.14 transcript:ENSMUST00000201684.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sds description:serine dehydratase [Source:MGI Symbol;Acc:MGI:98270] MAAQESLHVKTPLRDSMALSKLAGTSVFLKMDSSQPSGSFKIRGIGHLCKMKAKQGCRHF VCSSAGNAGMATAYAARRLGIPATIVVPNTTPALTIERLKNEGATVEVVGEMLDEAIQVA KALEKNNPGWVYISPFDDPLIWEGHTSLVKELKETLSAKPGAIVLSVGGGGLLCGVVQGL REVGWEDVPIIAMETFGAHSFHAAIKEGKLVTLPKITSVAKALGVNTVGAQTLKLFYEHP IFSEVISDQEAVSALEKFVDDEKILVEPACGAALAAVYSRVVCRLQDEGRLQTPLASLVV IVCGGSNISLAQLQALKVQLGLNGLPE >ENSMUSP00000064849.7 pep:known chromosome:GRCm38:5:120476531:120483932:1 gene:ENSMUSG00000029597.14 transcript:ENSMUST00000066540.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sds description:serine dehydratase [Source:MGI Symbol;Acc:MGI:98270] MAAQESLHVKTPLRDSMALSKLAGTSVFLKMDSSQPSGSFKIRGIGHLCKMKAKQGCRHF VCSSAGNAGMATAYAARRLGIPATIVVPNTTPALTIERLKNEGATVEVVGEMLDEAIQVA KALEKNNPGWVYISPFDDPLIWEGHTSLVKELKETLSAKPGAIVLSVGGGGLLCGVVQGL REVGWEDVPIIAMETFGAHSFHAAIKEGKLVTLPKITSVAKALGVNTVGAQTLKLFYEHP IFSEVISDQEAVSALEKFVDDEKILVEPACGAALAAVYSRVVCRLQDEGRLQTPLASLVV IVCGGSNISLAQLQALKVQLGLNGLPE >ENSMUSP00000107529.1 pep:known chromosome:GRCm38:5:120478886:120480687:1 gene:ENSMUSG00000029597.14 transcript:ENSMUST00000111898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sds description:serine dehydratase [Source:MGI Symbol;Acc:MGI:98270] MAAQESLHVKTPLRDSMALSKLAGTSVFLKMDSSQPSGSFKIRGIGHLCKMKAKQGCRHF VCSSAGNAGMATAYAARRLGIPATIVVPNTTPALTIERLKN >ENSMUSP00000027655.6 pep:known chromosome:GRCm38:1:136415271:136440158:1 gene:ENSMUSG00000026404.12 transcript:ENSMUST00000027655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx59 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 59 [Source:MGI Symbol;Acc:MGI:1915247] MFVPRSLKIKRSSNDDLKSGEAKKSKPEAGGLQVEGDRDTPVHTSVTEEAVTADKPGHAS STNSPSCQLAEVSSTGPDEGVKDSHPSEEPVKSFSKTQRWPEPGEPVCVVCGRYGEYICD KTDEDVCSLECKAKHLLQVKEGEGSLRPSSPQRVAAEPESPLDAFYVYKEHPFIVTLKED QIETLKQQLGISVQGQDVARPIIDFEHCGFPETLNQNLKKSGYEVPTPIQMQMIPVGLLG RDILASADTGSGKTAAFLLPVIIRAFSEDKTPSALILTPTRELAIQIERQAKELMSGLPR MKTVLLVGGLPLPPQLYRLRQHVKVIIATPGRLLDIIKQSSVSLSGIKIVVVDEADTMLK MGFQQQVLDVLEHTPGDCQTILVSATIPDSIEQLTDQLLHNPVRIITGDKNLPCASVRQI ILWVEDPAKKKKLFEILNDQKLFKPPVLVFVDCKLGADLLSEAVQKITGLNSTSIHSEKS QVERRDILKGLLEGDYEVVVSTGVLGRGLDLVNVKLVVNFDMPSSMDEYVHQVGRVGRLG QNGTAITFINNNSKRLFWDVAKRVKPTGSILPPQLLNSPYLHEQKRKEQQKDRQTQNSLV TGANLMDIIRKHEKSSSQK >ENSMUSP00000028278.7 pep:known chromosome:GRCm38:2:61711694:61800376:1 gene:ENSMUSG00000026914.15 transcript:ENSMUST00000028278.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd14 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 14 [Source:MGI Symbol;Acc:MGI:1913284] MDRLLRLGGGMPGLGQGPPTDAPAVDTAEQVYISSLALLKMLKHGRAGVPMEVMGLMLGE FVDDYTVRVIDVFAMPQSGTGVSVEAVDPVFQAKMLDMLKQTGRPEMVVGWYHSHPGFGC WLSGVDINTQQSFEALSERAVAVVVDPIQSVKGKVVIDAFRLINANMMVLGHEPRQTTSN LGHLNKPSIQALIHGLNRHYYSITINYRKNELEQKMLLNLHKKSWMEGLTLQDYSEHCKH NESVVKEMLELAKNYNKAVEEEDKMTPEQLAIKNVGKQDPKRHLEEHVDVLMTSNIVQCL AAMLDTVVFK >ENSMUSP00000048969.2 pep:known chromosome:GRCm38:4:149896283:149955043:-1 gene:ENSMUSG00000039911.13 transcript:ENSMUST00000038562.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb1 description:splA/ryanodine receptor domain and SOCS box containing 1 [Source:MGI Symbol;Acc:MGI:1921896] MGQKVTGGIKTVDMRDPTYRPLKQELQGLDYCKPTRLDLLLDMPPVSYDVQLLHSWNNND RSLNVFVKEDDKLIFHRHPVAQSTDAIRGKVGYTRGLHVWQITWAMRQRGTHAVVGVATA DAPLHSVGYTTLVGNNHESWGWDLGRNRLYHDGKNQPSKTYPAFLEPDETFIVPDSFLVA LDMDDGTLSFIVDGQYMGVAFRGLKGKKLYPVVSAVWGHCEIRMRYLNGLDPEPLPLMDL CRRSVRLALGKERLGAIPALPLPASLKAYLLYQ >ENSMUSP00000101310.1 pep:known chromosome:GRCm38:4:149897967:149909816:-1 gene:ENSMUSG00000039911.13 transcript:ENSMUST00000105685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb1 description:splA/ryanodine receptor domain and SOCS box containing 1 [Source:MGI Symbol;Acc:MGI:1921896] MGQKVTGGIKTVDMRDPTYRPLKQELQGLDYCKPTRLDLLLDMPPVSYDVQLLHSWNNND RSLNVFVKEDDKLIFHRHPVAQSTDAIRGKVGYTRGLHVWQITWAMRQRGTHAVVGVATA DAPLHSVGYTTLVGNNHESWGWDLGRNRLYHDGKNQPSKTYPAFLEPDETFIVPDSFLVA LDMDDGTLSFIVDGQYMGVAFRGLKGKKLYPVVSAVWGHCEIRMRYLNGLDPEPLPLMDL CRRSVRLALGKERLGAIPALPLPASLKAYLLYQ >ENSMUSP00000101309.1 pep:known chromosome:GRCm38:4:149898214:149907289:-1 gene:ENSMUSG00000039911.13 transcript:ENSMUST00000105684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb1 description:splA/ryanodine receptor domain and SOCS box containing 1 [Source:MGI Symbol;Acc:MGI:1921896] MGQKVTGGIKTVDMRDPTYRPLKQELQGLDYCKPTRLDLLLDMPPVSYDVQLLHSWNNND RSLNVFVKEDDKLIFHRHPVAQSTDAIRGKVGYTRGLHVWQITWAMRQRGTHAVVGVATA DAPLHSVGYTTLVGNNHESWGWDLGRNRLYHDGKNQPSKTYPAFLEPDETFIVPDSFLVA LDMDDGTLSFIVDGQYMGVAFRGLKGKKLYPVVSAVWGHCEIRMRYLNGLDPEPLPLMDL CRRSVRLALGKERLGAIPALPLPASLKAYLLYQ >ENSMUSP00000119558.1 pep:known chromosome:GRCm38:4:149906507:149953804:-1 gene:ENSMUSG00000039911.13 transcript:ENSMUST00000156897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb1 description:splA/ryanodine receptor domain and SOCS box containing 1 [Source:MGI Symbol;Acc:MGI:1921896] MGQKVTGGIKTVDMRDPTYRPLKQELQGLDYCKPTRLDLLLDMPPVSYDVQLLHSWNNND RSLNVFVKEDDKLIFHRHPVAQSTDAIRGKVGYTRGLHVWQITWAMRQRGTHAVVGVATA DAPLHSVGYTTLVGNNHESWGWDLGRNRLYHDGKNQPSKTYPAFLEPDETFIVPDSFLVA LDMDDGTLSFIVDGQYMGVAF >ENSMUSP00000128004.1 pep:known chromosome:GRCm38:4:149906991:149922471:-1 gene:ENSMUSG00000039911.13 transcript:ENSMUST00000167342.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb1 description:splA/ryanodine receptor domain and SOCS box containing 1 [Source:MGI Symbol;Acc:MGI:1921896] MGQKVTGGIKTVDMRDPTYRPLKQELQGLDYCKPTRLDLL >ENSMUSP00000117083.1 pep:known chromosome:GRCm38:4:149906995:149931556:-1 gene:ENSMUSG00000039911.13 transcript:ENSMUST00000149360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb1 description:splA/ryanodine receptor domain and SOCS box containing 1 [Source:MGI Symbol;Acc:MGI:1921896] MGQKVTGGIKTVDMRDPTYRPLKQELQGLDYCKPTRLD >ENSMUSP00000115149.1 pep:known chromosome:GRCm38:4:149907000:149942618:-1 gene:ENSMUSG00000039911.13 transcript:ENSMUST00000125135.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb1 description:splA/ryanodine receptor domain and SOCS box containing 1 [Source:MGI Symbol;Acc:MGI:1921896] MGQKVTGGIKTVDMRDPTYRPLKQELQGLDYCKPTRL >ENSMUSP00000116808.1 pep:known chromosome:GRCm38:4:149907049:149946098:-1 gene:ENSMUSG00000039911.13 transcript:ENSMUST00000149743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb1 description:splA/ryanodine receptor domain and SOCS box containing 1 [Source:MGI Symbol;Acc:MGI:1921896] MGQKVTGGIKTVDMRDPTYR >ENSMUSP00000059630.5 pep:known chromosome:GRCm38:16:10790505:10790914:-1 gene:ENSMUSG00000050058.6 transcript:ENSMUST00000050864.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prm3 description:protamine 3 [Source:MGI Symbol;Acc:MGI:106601] MGSRCAKLSTGHGPAQNTGHSRGHESSMKKLVACVSQDNFSLSSEGEEEEEDEEEEEEEE EEEEEEQIPVKGKLLLLEPEKQESAEDGEAQPSPEPKQTHS >ENSMUSP00000119829.1 pep:known chromosome:GRCm38:10:14654755:14705560:-1 gene:ENSMUSG00000019868.16 transcript:ENSMUST00000154132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vta1 description:Vps20-associated 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913451] MAALAPLPPLPAQFKSIQHHLRTAQEHDKRDPVVAYYCRLYAMQTGMKIDSKTPECRKFL SKLMDQLEALKKQLGDNEAVTQEIVGCAHLENYALKMFLYADNEDRAGRFHKNMIKSFYT ASLLIDVITVFGELTDENVKHRKYARWKATYIHNCLKNGETPQAGPVGIEEENDVEENED VGATSLPTQPPQPSSSSAYDPSNLAPGSYSGIQIPPGAHAPANTPAEVPHSTGVTSNAVQ PSPQTVPAAPAVDPDLYTASQGDIRLTPEDFARAQKYCKYAGSALQYEDVGTAVQNLQKA LRLLTTGRE >ENSMUSP00000020018.5 pep:known chromosome:GRCm38:10:14655355:14705500:-1 gene:ENSMUSG00000019868.16 transcript:ENSMUST00000020018.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vta1 description:Vps20-associated 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913451] MAALAPLPPLPAQFKSIQHHLRTAQEHDKRDPVVAYYS >ENSMUSP00000118498.1 pep:known chromosome:GRCm38:10:14655788:14705459:-1 gene:ENSMUSG00000019868.16 transcript:ENSMUST00000149485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vta1 description:Vps20-associated 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913451] XAALAPLPPLPAQFKSIQHHLRTAQEHDKRDPVVAYYCRLYAMQTGMKIDSKTPECRKFL SKLMDQLEALKKQLGDNEAVTQEIVGCAHLENYALKMFLYADNEDRAGRFHKNMIKSFYT ASLLIDVITVFGELTDENVKHRKYARWKATYIHNCLKNGETPQAGPVGIEEENDVEENED VGATSLPTQPPQPSSSSAYDPSNLAPGSYSGIQIPPGAHAPANTPAEVPHSTGDIRLTPE DFARAQKYCKYA >ENSMUSP00000119958.1 pep:known chromosome:GRCm38:10:14655839:14684093:-1 gene:ENSMUSG00000019868.16 transcript:ENSMUST00000133927.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vta1 description:Vps20-associated 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913451] ITVFGELTDEMLKKMKMLAQPLCPLSHLSHHHLQHTTQATWHQAATVAYRFLQVHMLQLI PLQKCHTAQV >ENSMUSP00000072989.2 pep:known chromosome:GRCm38:13:23533906:23534304:1 gene:ENSMUSG00000061991.2 transcript:ENSMUST00000073261.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2af description:histone cluster 1, H2af [Source:MGI Symbol;Acc:MGI:2448309] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKPKGK >ENSMUSP00000035458.7 pep:known chromosome:GRCm38:11:110176820:110251776:-1 gene:ENSMUSG00000044749.13 transcript:ENSMUST00000044003.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca6 description:ATP-binding cassette, sub-family A (ABC1), member 6 [Source:MGI Symbol;Acc:MGI:1923434] MKELSVHVRQQTRALLHKILLKKWRRKRESLLEWSIPIIIGLHMGLFSYLARNIQVLEVP PQDLGSLNEFNGSSLVVVYTPISNITQQIMNKTTFAPTMKGTRIIGVPSIEDLDEVLLHN IPDALGVIFNDSFSYQLKVLRMYGNPFLKEDLLAHCWDTHSQAFCSLSKYWERGFVALQT AINAGIIEVTTNHSVMEELMSIDGINMKTLPFIPRDLSDYEIFILFCLLYFSSFIYFASS NVTKERKQCKEVMKVMGLQDSAFWLSWGLIYVGFIFIISIFIAIIITSTQIIMMTGFLVI FTLFFLYGLSLIAVTFLMAVLLQKAVLTNLIVLFFTLFWGCVGFTVLHKELPPSLEWVLS IFSPFAFTSGMAKVIFQDYNLNGVVFPDPSGESYVMIAVFFILAFDSLLYLVLALYFDKI LLYGAEHRSAPLFFLNPTSCFRKTANRNKVIERDLDPELPSDEYFEPVDPEYQGKEAIRI RNIKKEYKGKSGKVKALKGLFLDIYESQITAILGHSGAGKSSLLNILSGLYVPTAGSVTV YNKNLSDMQDLKEIRKAIGVCPQHNVQFDALTVKENLTLFAKIKGILPQDVEQEVQQILS ELDMQNIRDDLAEHLSEGQKRKLTFGIATVGDPQILLLDEPTVGLDPFSRQRIWGFLKER RADHVILFSTQFMDEADILADRKVLIANGALKCTGSSVFLKRKWGLGYHLSLFMDETCDS ERLTSFINHHIPYAKLKAKTKEKLVYILPLERTSEFPEFFSDLDKYSGQGLMSYEVSMST LNDVFLNLEGEPSTKQDFEKRETATDSESLNDMEVAYPSLSQVQETVSTMSLWRMQVCAI ARLRILKLKRERKAFLIILLLLGIALLPLVIEYVANALLEVKNNWEFKTDLYFLSPGQLP QGLRTSLLVINNTESNIEDFLQSLKHQNIVLEVDDFENRNATNSLSYNGAIIVSGRQKDY RFSAVCNTKRLHCFPILMNVISNGILHMLNHTQYIRIKEDIFSPFIVLVWTGIQETCLFI LCVICSLSPHIAMSSVSDYKKKADSQLWISGLYPSAYWCGQAVVDISLFSGMLLTSYFTS YTSKLLNIDMTSEIVFSVIVLALGCAASLVFLTYVISFVFGKRKKNSTLWSICFLLVIAI TFEKVANGPFNEALVISATMLVPSFALNGLLVVLEMRAYQYYIEFEEIKHGLSAVDLLLC LIPYIHTLLFIFVLRCLELKYGKNVVRRDPIFRIAPQSLKAQPNPEEPIDEDENVQAERL RTSDALSTPNLDEKPVIIASCLHKEYAGQKKHCCSRRTRNMAVRNVSFCVNKGEILGLLG PDGAGKSSSIRMIAGITKPTAGQVELKRLSSAVGHQGDSRAEFGYCPQENGLWPNLTVKE HLELYAAVKGLRKEDAVVAISRLVNALKLHDQLNVQVQNLVAGATRKLCFVLSILGNSPV LILDEPSTGLDVSGKHQVWQAIQAVVKDNEKGVLLSTHDLAEAEALCDRAAIMVSGRLRC IGPIQHLKRKFGQDYVLELRVKDVSQEPLVHREILKLFPQAARQDRCFSLLTYKLPVTDV HPLSQAFHKLEAVKHGFDLEDYSLSQCTLDRVILELSKEQELGTVYEEADMTLGRKLLPP SDEL >ENSMUSP00000061660.2 pep:known chromosome:GRCm38:13:21611020:21612004:-1 gene:ENSMUSG00000051258.4 transcript:ENSMUST00000051874.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1362 description:olfactory receptor 1362 [Source:MGI Symbol;Acc:MGI:3031196] MEKSNDSSEYGFILEGFSDRPRLEMVLFIVNFTLYSVAVLGNITIILVCILDPRLHTPMY FFLANLSFLDLCFSTSCIPQMLVNLWGPDKTISYAGCVVQLFSFLSIGSVECILLAVMAY DRYAAVCKPLHYMVIMHPQLCVRLMAVAWGVGLANAIIMSPLAMTLPRCGRRRINHFLCE LPALIKMACVDARPVEMLSFTLAILIVLLPLTLILVSYGYIAAAVLRIKSAAGRWKAFNT CSSHLTVVSLFYGSIIYMYMQPGNSSSQDQGKFLTLFYNLVTPMLNPLIYTLRNKEMKGA LKKVCGRH >ENSMUSP00000079670.5 pep:known chromosome:GRCm38:13:23535434:23535860:1 gene:ENSMUSG00000099517.1 transcript:ENSMUST00000080859.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h3g description:histone cluster 1, H3g [Source:MGI Symbol;Acc:MGI:2145541] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEACEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000121629.1 pep:known chromosome:GRCm38:5:25759997:25850688:1 gene:ENSMUSG00000056367.14 transcript:ENSMUST00000128727.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr3b description:ARP3 actin-related protein 3B [Source:MGI Symbol;Acc:MGI:2661120] MERFMEQVVFKYLRAEPEDHYFLMTEPPLNTPENREYLAEIMFESFNVPGLYIAVQAVLA LAASWTSRQVGERTLTGIVIDSGDGVTHVIPVAEGYVIGSCIKHIPIAGRDITYFIQQLL REREVGIPPEQSLETAKAIKEKYCYICPDIVREFAKYDVDPRKWIKQYTGINAINQKKFI IDVGYERFLGPEIFFHPEFANPDFMESISDVVDEVIQSCPIDVRRPLYKNVVLSGGSTMF RDFGRRLQRDLKRVVDARLKLSQELSGGRIKPKPVEVQVVTHHMQRYAVWFGGSMLASTP EFFQVCHTKKDYEEYGPSICRHNPVFGVMS >ENSMUSP00000085578.4 pep:known chromosome:GRCm38:5:25760026:25850342:1 gene:ENSMUSG00000056367.14 transcript:ENSMUST00000088244.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr3b description:ARP3 actin-related protein 3B [Source:MGI Symbol;Acc:MGI:2661120] MAGSLPPCVVDCGTGYTKLGYAGNTEPQFIIPSCIAIRESAKVVDQAQRRVLRGVDDLDF FIGDEAIDKPTYATKWPIRHGIVEDWDLMERFMEQVVFKYLRAEPEDHYFLMTEPPLNTP ENREYLAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGIVIDSGDGVTHVIPV AEGYVIGSCIKHIPIAGRDITYFIQQLLREREVGIPPEQSLETAKAIKEKYCYICPDIVR EFAKYDVDPRKWIKQYTGINAINQKKFIIDVGYERFLGPEIFFHPEFANPDFMESISDVV DEVIQSCPIDVRRPLYKNVVLSGGSTMFRDFGRRLQRDLKRVVDARLKLSQELSGGRIKP KPVEVQVVTHHMQRYAVWFGGSMLASTPEFFQVCHTKKDYEEYGPSICRHNPVFGVMS >ENSMUSP00000133293.1 pep:known chromosome:GRCm38:11:23007531:23020236:1 gene:ENSMUSG00000049811.16 transcript:ENSMUST00000173923.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam161a description:family with sequence similarity 161, member A [Source:MGI Symbol;Acc:MGI:1921123] MNFSDIYHSDEEYFRKLKDLKAVHEETMSKLEKMYQDKLNIKDIQAGFIRDGISDSSSSS ASEKSCSHPALSVTSLSEPDLDGSSSLSTTTDEGLPDLEEKTPGESSAMVHAQELINNMW NDFSVEDYIQYDSDSRTA >ENSMUSP00000134485.1 pep:known chromosome:GRCm38:11:23008347:23023724:1 gene:ENSMUSG00000049811.16 transcript:ENSMUST00000172602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam161a description:family with sequence similarity 161, member A [Source:MGI Symbol;Acc:MGI:1921123] MNFSDIYHSDEEYFRKLKDLKAVHEETMSKLEKMYQDKLNIKDIQAGFIRDGISDSSSSS ASEKSCSHPALSVTSLSEPDLDGSSSLSTTTDEGLPDLEEKTPGESSAMVHAQELINNMW NDFSVEDYIQYDSDSRTAKKKRKKAKSLTPKITVPVPFEMTVREQNRREKALSARSDLET KLLKRDEDDAECKKKFRANPVPSCVLLPLYEDLVKQSEERRKKARERNRAALLASLKPFK FIAREEQKQAVREKKLRDLFRAKRKTNQFKAKPVPRFIYRPAASDKPKEEELYGDSRMLP KVRDLLQNSPWPSRSACRRFRDPRSPAKPRGKHRRRCLRRDGDLEKWKEPFSEYSFLKCP MLCEECCLHESPCDSDKRQKLLADIRADEEILRETRRPGRSPRRKSPGRSSNPKPRPHEC SPPMPTASSRGREQAIRRSEKARMREYWQELEEQEEKLQKRPMLFERVTQVVFIG >ENSMUSP00000105184.2 pep:known chromosome:GRCm38:11:23008465:23023741:1 gene:ENSMUSG00000049811.16 transcript:ENSMUST00000109557.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam161a description:family with sequence similarity 161, member A [Source:MGI Symbol;Acc:MGI:1921123] MNFSDIYHSDEEYFRKLKDLKAVHEETMSKLEKMYQDKLNIKDIQAGFIRDGISDSSSSS ASEKSCSHPALSVTSLSEPDLDGSSSLSTTTDEGLPDLEEKTPGESSAMVHAQELINNMW NDFSVEDYIQYDSDSRTAKKKRKKAKSLTPKITVPVPFEMTVREQNRREKALSARSDLET KLLKRDEDDAECKKKFRANPVPSCVLLPLYEDLVKQSEERRKKARERNRAALLASLKPFK FIAREEQKQAVREKKLRDLFRAKRKTNQFKAKPVPRFIYRPAASDKPKEEELYGDSRMLP KVRDLLQNSPWPSRSACRRFRDPRSPAKPRGKHRRRCLRRDGDLEKWKEPFSEYSFLKCP MLCEECCLHESPCDSDKRQKLLADIRADEEILRETRRPGRSPRRKSPGRSSNPKPRPHEC SPPMPTASSRGREQAIRRSEKARMREYWQELEEQEEKLQKRPMLFERVTQVVFIG >ENSMUSP00000063091.8 pep:known chromosome:GRCm38:11:23013387:23023731:1 gene:ENSMUSG00000049811.16 transcript:ENSMUST00000058269.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam161a description:family with sequence similarity 161, member A [Source:MGI Symbol;Acc:MGI:1921123] MNFSDIYHSDEEYFRKLKDLKAVHEETMSKLEKMYQDKLNIKDIQAGFIRDGISDSSSSS ASEKSCSHPALSVTSLSEPDLDGSSSLSTTTDEGLPDLEEKTPGESSAMVHAQELINNMW NDFSVEDYIQYDSDSRTAKKKRKKAKSLTPKITVPVPFEMTVREQNRREKALSARSDLET KLLKRDEDDAECKKKFRANPVPSCVLLPLYEDLVKQSEERRKKARERNRAALLASLKPFK FIAREEQKQAVREKKLRDLFRAKRKTNQFKAKPVPRFIYRPAASDKPKEEELYGDSRMLP KVRDLLQNSPWPSRSACRRFRDPRSPAKPRGKHRRRCLRRDGDLEKWKEPFSEYSFLKCP MLCEECCLHESPCDSDKRQKLLADIRADEEILRETRRPGRSPRRKSPGRSSNPKPRPHEC SPPMPTASSRGREQAIRRSEKARMREYWQELEEQEEKLQKRPMLFERVTQVVFIG >ENSMUSP00000146368.1 pep:known chromosome:GRCm38:11:23020000:23029842:1 gene:ENSMUSG00000049811.16 transcript:ENSMUST00000208732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam161a description:family with sequence similarity 161, member A [Source:MGI Symbol;Acc:MGI:1921123] XSASEKSCSHPALSVTSLSEPDLDGSSSLSTTTDEGLPDLEEKTPGESSAMVHAQELINN MWNDFSVEDYIQYDSDSRTAKKKRKKAKSLTPKITVPVPFEMTVREQNRREKALSARSDL ETKLLKRDEDDAECKKKFRANPVPSCVLLPLYEDLVKQSEERRKKARERNRAALLASLKP FKFIAREEQKQAVREKKLRDLFRAKRKTNQFKAKPVPRFIYRPAASDKPKEEELYGDSRM LPKVRDLLQNSPWPSRSACRRFRDPRSPAKPRGKHRRRCLRRDGDLEKWKEPFSEYSFLK CPMLCEECCLHESPCDSDKRQKLLADIRADEEILRETRRPGRSPRRKSPGRSSNPKPRPH ECSPPMPTASSRGREQAIRKSLEEKKMLEEERNRILTKQKQRMKDLQKLLATRVKAYGSH QSLSQIVKARVKELRRSEKARMREYWQELEEQEEKLQKRPMLFERVTQRNARMAAERCYS NTLKALGLLEEFVSEKGQSGKVSEDFTRQELRSCTLEKESSYGEERENEEESYLTDISSQ DSCKGNKEDIKDIKSGEENSGE >ENSMUSP00000091923.3 pep:known chromosome:GRCm38:11:23020470:23030788:1 gene:ENSMUSG00000049811.16 transcript:ENSMUST00000094363.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam161a description:family with sequence similarity 161, member A [Source:MGI Symbol;Acc:MGI:1921123] XSEERRKKARERNRAALLASLKPFKFIAREEQKQAVREKKLRDLFRAKRKTNQFKAKPVP RFIYRPAASDKPKEEELYGDSRMLPKVRDLLQNSPWPSRSACRRFRDPRSPAKPRGKHRR RCLRRDGDLEKWKEPFSEYSFLKCPMLCEECCLHESPCDSDKRQKLLADIRADEEILRET RRPGRSPRRKSPGRSSNPKPRPHECSPPMPTASSRGREQAIREMQGWPQRGVTLTL >ENSMUSP00000121167.1 pep:known chromosome:GRCm38:11:23020556:23023730:1 gene:ENSMUSG00000049811.16 transcript:ENSMUST00000151877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam161a description:family with sequence similarity 161, member A [Source:MGI Symbol;Acc:MGI:1921123] EEQKQAVREKKLRDLFRAKRKTNQFKAKPVPRFIYRPAASDKPKEEELYGDSRMLPKVRD LLQNSPWPSRSACRRFRDPRSPAKPRGKHRRRCLRRDGDLEKWKEPFSEYSFLKCPMLCE ECCLHESPCDSDKRQKLLADIRADEEILRETRRPGRSPRRKSPGRSSNPKPRPHECSPPM PTASSRGREQAIRKSLEEKKMLEEERNRILTKQKQRMKDLQKLLATRVKAYGSHQSLSQI VKARVKELRRSEKARMREYWQELEEQEEKLQKRPMLFERVTQVVFIG >ENSMUSP00000060509.2 pep:known chromosome:GRCm38:11:46235531:46239872:1 gene:ENSMUSG00000048721.2 transcript:ENSMUST00000060185.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc9 description:fibronectin type III domain containing 9 [Source:MGI Symbol;Acc:MGI:2443410] MNIEVGNVSHTGAIISWSPSEPCLEDYYHIMYRPNWNSIFSGYLRYNFHHEEKVPRTITS VALEHLAPSTLYFLCISCKKAAFPYSHYCTMFHTLDKSPLAAGGSLVDPQISLWVLMAIL LACFTAVLAFICLQFWCLRCHEPRWSYRAGQMEEANGLVRWPEETPALGQREEDLQGFPL EELPRKNSGARAKAEPEAEAIQDALEVVALAREIGNQPAILPHYRE >ENSMUSP00000112130.2 pep:known chromosome:GRCm38:8:105943590:105966044:-1 gene:ENSMUSG00000017765.16 transcript:ENSMUST00000116429.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a4 description:solute carrier family 12, member 4 [Source:MGI Symbol;Acc:MGI:1309465] MPHFTVVPVDGPRRGDYDNLEGLSWVDYGERAEREDSDGQGNHRENSPFLCPLDASRGND YYDRNLALFEEELDIRPKVSSLLGKLVSYTNLTQGAKEHEEAESGEGGRRRAAKAPSMGT LMGVYLPCLQNIFGVILFLRLTWMVGTAGVLQALLIVLICCCCTLLTAISMSAIATNGVV PAGGSYFMISRSLGPEFGGAVGLCFYLGTTFAAAMYILGAIEILLTYIAPPAAIFYPSGT HDMSSATLNNMRVYGTIFLTLMTLVVFVGVKYVNKFASLFLACVIISILSIYAGGIKSIF DPPVFPVCMLGNRTLSRDQFDICAKTVVVDNETVATRLWTFFCHSPNLTADSCDPYFLLN NVTEIPGIPGAAAGVLQENLWSAYLEKGEVVEKHGLPSTDTLGLKESLSLYVVADIATSF TVLVGIFFPSVTGIMAGSNRSGDLRDAQKSIPVGTILAIVTTSLVYFSSVILFGACIEGV VLRDKYGDGVSRNLVVGTLAWPSPWVIVVGSFFSTCGAGLQSLTGAPRLLQAIAKDNIIP FLRVFGHGKANGEPTWALLLTALIAELGILIASLDMVAPILSMFFLMCYLFVNLACAVQT LLRTPNWRPRFKYYHWTLSFLGMSLCLALMFVSSWYYALVAMLIAGMIYKYIEYQGAEKE WGDGIRGLSLSAARYALLRLEEGPPHTKNWRPQLLVLLKLDEDLHVKYPRLLTFASQLKA GKGLTIVGSVIQGSFLESYGEAQAAEQTIKNMMDIEKVKGFCQVVVASKVREGLAHLIQS CGLGGMRHNSVVLGWPYGWRQSEDPRAWKTFIDTVRCTTAAHLALLVPKNIAFYPSNHER YLDGHIDVWWIVHDGGMLMLLPFLLRQHKVWKKCRMRIFTVAQMDDNSIQMKKDLAIFLY HLRLEAEVEVVEMHNSDISAYTYERTLMMEQRSQMLRQMRLTKTERDREAQLVKDRHSAL RLESLYSDEEEESVAGADKIQMTWTRDKYMAEPWDPSHAPDNFRELVHIKPDQSNVRRMH TAVKLNEVIVTRSHDARLVLLNMPGPPKNSEGDENYMEFLEVLTEGLERVLLVRGGGREV ITIYS >ENSMUSP00000121018.1 pep:known chromosome:GRCm38:8:105953883:105964420:-1 gene:ENSMUSG00000017765.16 transcript:ENSMUST00000132231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a4 description:solute carrier family 12, member 4 [Source:MGI Symbol;Acc:MGI:1309465] XGMGDTLHPGQGNHRENSPFLCPLDASRGNDYYDRNLALFEEELDIRPKVSSLLGKLVSY TNLTQGAKEHEEAESGEGGRRRAAKAPSMGTLMGVYLPCLQNIFGVILFLRLTWMVGTAG VLQALLIVLICCCCTLLTAISMSAIATNGVVPAGGSYFMISRSLGPEFGGA >ENSMUSP00000034370.9 pep:known chromosome:GRCm38:8:105943590:105966115:-1 gene:ENSMUSG00000017765.16 transcript:ENSMUST00000034370.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a4 description:solute carrier family 12, member 4 [Source:MGI Symbol;Acc:MGI:1309465] MPHFTVVPVDGPRRGDYDNLEGLSWVDYGERAEREDSDGEGQGNHRENSPFLCPLDASRG NDYYDRNLALFEEELDIRPKVSSLLGKLVSYTNLTQGAKEHEEAESGEGGRRRAAKAPSM GTLMGVYLPCLQNIFGVILFLRLTWMVGTAGVLQALLIVLICCCCTLLTAISMSAIATNG VVPAGGSYFMISRSLGPEFGGAVGLCFYLGTTFAAAMYILGAIEILLTYIAPPAAIFYPS GTHDMSSATLNNMRVYGTIFLTLMTLVVFVGVKYVNKFASLFLACVIISILSIYAGGIKS IFDPPVFPVCMLGNRTLSRDQFDICAKTVVVDNETVATRLWTFFCHSPNLTADSCDPYFL LNNVTEIPGIPGAAAGVLQENLWSAYLEKGEVVEKHGLPSTDTLGLKESLSLYVVADIAT SFTVLVGIFFPSVTGIMAGSNRSGDLRDAQKSIPVGTILAIVTTSLVYFSSVILFGACIE GVVLRDKYGDGVSRNLVVGTLAWPSPWVIVVGSFFSTCGAGLQSLTGAPRLLQAIAKDNI IPFLRVFGHGKANGEPTWALLLTALIAELGILIASLDMVAPILSMFFLMCYLFVNLACAV QTLLRTPNWRPRFKYYHWTLSFLGMSLCLALMFVSSWYYALVAMLIAGMIYKYIEYQGAE KEWGDGIRGLSLSAARYALLRLEEGPPHTKNWRPQLLVLLKLDEDLHVKYPRLLTFASQL KAGKGLTIVGSVIQGSFLESYGEAQAAEQTIKNMMDIEKVKGFCQVVVASKVREGLAHLI QSCGLGGMRHNSVVLGWPYGWRQSEDPRAWKTFIDTVRCTTAAHLALLVPKNIAFYPSNH ERYLDGHIDVWWIVHDGGMLMLLPFLLRQHKVWKKCRMRIFTVAQMDDNSIQMKKDLAIF LYHLRLEAEVEVVEMHNSDISAYTYERTLMMEQRSQMLRQMRLTKTERDREAQLVKDRHS ALRLESLYSDEEEESVAGADKIQMTWTRDKYMAEPWDPSHAPDNFRELVHIKPDQSNVRR MHTAVKLNEVIVTRSHDARLVLLNMPGPPKNSEGDENYMEFLEVLTEGLERVLLVRGGGR EVITIYS >ENSMUSP00000043633.6 pep:known chromosome:GRCm38:5:127781630:128433077:-1 gene:ENSMUSG00000034310.8 transcript:ENSMUST00000044441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem132d description:transmembrane protein 132D [Source:MGI Symbol;Acc:MGI:3044963] MCPSEMGTLWYLWSPVLISLAALFSKVTEGRGILESIQRFSLLPTYLPVTYHINNADVSF FLKEANQDIMRNSSLQSRVESFLIYKSRRLPVLNASYGPFSLEQVVPQDLMLPSNPFGFT NTFSLNWRLKAYILQEKVYLSHPKVQVLFHIVGRDWDDHRDENLPCLRVFAFRETREVRG SCRLGGALGLCVAQLEMLPGWFSPPSVVSGRRRPTEQPEGNPVELYYAVQPGDERGDCAK EDSRKSGGTPAGHNDVDESSPPLHRIGSVFLRETPSSPPLKELRLDSNVAVHYVPKTVRQ GDVLTFPISVSRNCTEDRFTLRAKVKKGVSIVGVRASSSSIWDVRQSTEYTGKYAPAVIV CQKKSAGSEKSVADASYEVMKIDIEVEAPSDPPTTQLVTWQVEYPGEITSDLGVSKIYVS QKDLIEVIPLAMEAEILNTAILTGKTVAVPVKVISVEEDGTVQGLLDSVECRSSDEDVVK VSDRCDYVFVNGKEMKGKVNVVVSFTYQHLSSPLEMTVWVPRLPLQIEVSDMELNQIKGW RVPIVSNKRPARDSEEEDDDEKKGRGCTLQYQHAMVRVLTQFVAEAPDPGGHLAYLLGSD WQVDITELITDFMQVEEPRIAKLQGGQILTGQELGMTTIQILSPLSDAILAEKTITVLDE KVTITDLGVQLVTGLSLSLQLSPGSNRAIFATAMAQELLQRPKQEAAISCWVQFSDGSVT PLDIYDEKDFSLMATSLDEKVVSILQDPKFKWPIIAAENEGQGALVKVEMLISESCQKSK RKSVLAVGTASIKVKFGQNDANPNSSESGHLGAGLHVENINDRRSKKPFQEWGSPEGPFY SSSSMGLMEGWGSTTKRPTFQKKEGQENLLDDIILSQTMATDLTSFPDQMDLPGSNVGTE EHDLDQAAKGLSDLEIGMYALLGVFCLAILVFLINCVTFALKYRHKQVPFEEQEGLSHSH DWVGLSNRTELLGNHMNFASSQEEQITAIDRGLDFEESKLLLSSNSQNSINGQMFRSTGA MLTDDQEQKSEPPTSPTSKRKRVTFSTFSAISSDDGCPSGNTMVLSNEDDIKWVCQGLDP GECTEPHSCMERLHEHV >ENSMUSP00000133050.1 pep:known chromosome:GRCm38:17:46161032:46169368:1 gene:ENSMUSG00000023952.13 transcript:ENSMUST00000169383.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtpbp2 description:GTP binding protein 2 [Source:MGI Symbol;Acc:MGI:1860138] MDSRVSELFGGCCRPGGGPAMGGNLKARGAGGSSSCGGPKGKKKNGRNRGG >ENSMUSP00000024748.7 pep:known chromosome:GRCm38:17:46161032:46169370:1 gene:ENSMUSG00000023952.13 transcript:ENSMUST00000024748.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp2 description:GTP binding protein 2 [Source:MGI Symbol;Acc:MGI:1860138] MDSRVSELFGGCCRPGGGPAMGGNLKARGAGGSSSCGGPKGKKKNGRNRGGKANNPPYLP PEAEDGNIEYKLKLVNPSQYRFEHLVTQMKWRLQEGRGEAVYQIGVEDNGLLVGLAEEEM RASLKTLHRMAEKVGADITVLREREVDYDSDVPRKITEVLVRKVPDNQQFLDLRVAVLGN VDSGKSTLLGVLTQGELDNGRGRARLNLFRHLHEIQSGRTSSISFEILGFNSKGEVVNYS DSRTAEEICESSSKMITFIDLAGHHKYLHTTIFGLTSYCPDCALLLVSANTGIAGTTREH LGLALALKVPFFIVVSKVDLCAKTTVERTVRQLERVLKQPGCHKVPMLVTSEDDAVTAAQ QFAQSPNVTPIFTLSSVSGESLDLLKVFLNILPPLTNSKEQEELMQQLTEFQVDEIYTVP EVGTVVGGTLSSGICREGDQLVVGPTDDGCFLELRVCSIQRNRSACRVLRAGQAATLALG DFDRALLRKGMVMVSPEMNPTICSVFEAEIVLLFHATTFRRGFQVTVHVGNVRQTAVVEK IHAKDKLRTGEKAVVRFRFLKHPEYLKVGAKLLFREGVTKGIGHVTDVQAITAGEAQATM GF >ENSMUSP00000128517.1 pep:known chromosome:GRCm38:17:46161066:46169336:1 gene:ENSMUSG00000023952.13 transcript:ENSMUST00000172170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp2 description:GTP binding protein 2 [Source:MGI Symbol;Acc:MGI:1860138] MDSRVSELFGGCCRPGGGPAMGGNLKARGAGGSSSCGGPKGKKKNGRNRGGKANNPPYLP PEAEDGNIEYKLKLVNPSQYRFEHLVTQMKWRLQEGRGEAVYQIGVEDNGLLVGLAEEEM RASLKTLHRMAEKVGADITVLREREVDYDSDVPRKITEVLVRKVPDNQQFLDLRVAVLGN VDSGKSTLLGVLTQGELDNGRGRARLNLFRHLHEIQSGRTSSISFEILGFNSKGEVVNYS DSRTAEEICESSSKMITFIDLAGHHKYLHTTIFGLTSYCPDCALLLVSANTGIAGTTREH LGLALALKVPFFIVVSKVDLCAKTTVERTVRQLERVLKQPGCHKVPMLVTSEDDAVTAAQ QFAQSPNVTPIFTLSSVSGESLDLLKVFLNILPPLTNSKEQEELMQQLTEFQVDEIYTVP EVGTVVGGTLSSGICREGDQLVVGPTDDGCFLELRVCSIQRNRSACRVLRAGQAATLALG DFDRALLRKGMVMVSPEMNPTICSVFEAEIVLLFHATTFRRGFQVTVHVGNVRQTAVVEK IHAKDKLRTGEKAVGGAVLREKTVQGSAQQLCAPLVGSLTLQLPGLVCWREENTAILAHY QDQA >ENSMUSP00000127896.1 pep:known chromosome:GRCm38:17:46161111:46164307:1 gene:ENSMUSG00000023952.13 transcript:ENSMUST00000166563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp2 description:GTP binding protein 2 [Source:MGI Symbol;Acc:MGI:1860138] MDSRVSELFGGCCRPGGGPAMGGNLKARGAGGSSSCGGPKGKKKNGRNRGGKANNPPYLP PELKLVNPSQYRFEHLVTQMKWRLQEGRGEAVYQIGVEDN >ENSMUSP00000128049.1 pep:known chromosome:GRCm38:17:46166431:46168234:1 gene:ENSMUSG00000023952.13 transcript:ENSMUST00000166252.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtpbp2 description:GTP binding protein 2 [Source:MGI Symbol;Acc:MGI:1860138] XLTEFQVDEIYTVPEVGTVVGGTLSRSGCYTRPWRL >ENSMUSP00000131772.1 pep:known chromosome:GRCm38:17:46167231:46168394:1 gene:ENSMUSG00000023952.13 transcript:ENSMUST00000166701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp2 description:GTP binding protein 2 [Source:MGI Symbol;Acc:MGI:1860138] XREGDQLVVGPTDDGCFLELRVCSIQRNRSACRVLRAGQAATLALGDFDRALLRKGMVMV SPEMNPTICSVFEAEIVLLFHATTFRRGFQVTVHVGNVRQTAVVEKIHAKVRGTQPGPIS TGSHFFREVCILTPSEEGSLTPDLAESPWSHNGSKGSFLWGGEQPTWGPHRWSPGWQRMM GWEAPAWAVTTFLLKDKLRTGEKAVVRFRFLKHPEYLKVGAKLLFREGVTKGIGHVTDVQ AITAGEAQATMGF >ENSMUSP00000113812.1 pep:known chromosome:GRCm38:X:58909360:58920304:1 gene:ENSMUSG00000046180.11 transcript:ENSMUST00000118305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930550L24Rik description:RIKEN cDNA 4930550L24 gene [Source:MGI Symbol;Acc:MGI:1922602] MSHIQRHDPGFEDQLEEEVPAAEEVGTWEKVAAAESSQETASSSASSQASASSKASEGSG GEAEGGQSTSKACLRTKLMLNSKFQKKVIDLVKFLSSKYVTNEPVTEAEILKSVGKKHKG YYSLIFKHACECLEVVCGIEVKEVDALNHTYLLLKVLDLTYDGRISNEEGIPKTGLLVLV LGIIFMEGNRASEKKIWEVLNIVGVYPDQHDFICGNPRKFITEDLVLENYLVYQPVPYSD PPSYEFLWGPRAQAETSKMKVLQFFCKVAGSNPASFTALYKEALEDEEERARALLASTAI STAVDNSGSGDKPSSVSHSE >ENSMUSP00000051134.4 pep:known chromosome:GRCm38:X:58911461:58920304:1 gene:ENSMUSG00000046180.11 transcript:ENSMUST00000062542.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930550L24Rik description:RIKEN cDNA 4930550L24 gene [Source:MGI Symbol;Acc:MGI:1922602] MSHIQRHDPGFEDQLEEEVPAAEEVGTWEKVAAAESSQETASSSASSQASASSKASEGSG GEAEGGQSTSKACLRTKLMLNSKFQKKVIDLVKFLSSKYVTNEPVTEAEILKSVGKKHKG YYSLIFKHACECLEVVCGIEVKEVDALNHTYLLLKVLDLTYDGRISNEEGIPKTGLLVLV LGIIFMEGNRASEKKIWEVLNIVGVYPDQHDFICGNPRKFITEDLVLENYLVYQPVPYSD PPSYEFLWGPRAQAETSKMKVLQFFCKVAGSNPASFTALYKEALEDEEERARALLASTAI STAVDNSGSGDKPSSVSHSE >ENSMUSP00000029023.3 pep:known chromosome:GRCm38:2:173522586:173528501:1 gene:ENSMUSG00000027518.3 transcript:ENSMUST00000029023.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700021F07Rik description:RIKEN cDNA 1700021F07 gene [Source:MGI Symbol;Acc:MGI:1919471] MAQKPLSTTAAERMNLVAQDEIWKYRLRAESEARQNWPAKWGYLTTSMKELLEGEEEPQT PKPKPELPSHFYVRPVSPMDKHIKILPSPPVPKTTQGFIGWRSGKPALYCLEKYSEVCSC KGAYARELCWPEQGVH >ENSMUSP00000103531.2 pep:known chromosome:GRCm38:7:44605803:44665501:-1 gene:ENSMUSG00000030739.18 transcript:ENSMUST00000107899.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh14 description:myosin, heavy polypeptide 14 [Source:MGI Symbol;Acc:MGI:1919210] MAAVTMSVSGRKVASRPGPVPEAAQSFLYAPRTPNVGGPGGPQVEWTARRMVWVPSELHG FEAAALRDEGEEEAEVELAESGRRLRLPRDQIQRMNPPKFSKAEDMAELTCLNEASVLHN LRERYYSGLIYTYSGLFCVVINPYKQLPIYTEAIVEMYRGKKRHEVPPHVYAVTEGAYRS MLQDREDQSILCTGESGAGKTENTKKVIQYLAHVASSPKGRKEPGVPGELERQLLQANPI LEAFGNAKTVKNDNSSRFGKFIRINFDIAGYIVGANIETYLLEKSRAIRQAKDECSFHIF YQLLGGAGEQLKADLLLEPCSHYRFLTNGPSSSPGQERELFQETLESLRVLGLLPEEITA MLRTVSAVLQFGNIVLKKERNTDQATMPDNTAAQKLCRLLGLGVTDFSRALLTPRIKVGR DYVQKAQTKEQADFALEALAKATYERLFRWLVLRLNRALDRSPRQGASFLGILDIAGFEI FQLNSFEQLCINYTNEKLQQLFNHTMFVLEQEEYQREGIPWTFLDFGLDLQPCIDLIERP ANPPGLLALLDEECWFPKATDKSFVEKVAQEQGSHPKFQRPRNLRDQADFSVLHYAGKVD YKASEWLMKNMDPLNDNVAALLHQSTDRLTAEIWKDVEGIVGLEQVSSLGDGPPGGRPRR GMFRTVGQLYKESLSRLMATLSNTNPSFVRCIVPNHEKRAGKLEPRLVLDQLRCNGVLEG IRICRQGFPNRILFQEFRQRYEILTPNAIPKGFMDGKQACEKMIQALELDPNLYRVGQSK IFFRAGVLAQLEEERDLKVTDIIVSFQAAARGYLARRAFQRRQQQQSALRVMQRNCAAYL KLRNWQWWRLFIKVKPLLQVTRQDEVLQARAQELQKVQELQQQSAREVGELQGRVAQLEE ERTRLAEQLRAEAELCSEAEETRARLAARKQELELVVTELEARVGEEEECSRQLQSEKKR LQQHIQELESHLEAEEGARQKLQLEKVTTEAKMKKFEEDLLLLEDQNSKLSKERRLLEER LAEFSSQAAEEEEKVKSLNKLRLKYEATISDMEDRLKKEEKGRQELEKLKRRLDGESSEL QEQMVEQKQRAEELLAQLGRKEDELQAALLRAEEEGGARAQLLKSLREAQAGLAEAQEDL EAERVARAKAEKQRRDLGEELEALRGELEDTLDSTNAQQELRSKREQEVTELKKALEEES RAHEVSMQELRQRHSQALVEMAEQLEQARRGKGVWEKTRLSLEAEVSELKAELSSLQTSR QEGEQKRRRLESQLQEVQGRSSDSERARSEAAEKLQRAQAELESVSTALSEAESKAIRLG KELSSAESQLHDTQELLQEETRAKLALGSRVRALEAEAAGLREQMEEEVVARERAGRELQ STQAQLSEWRRRQEEEAAVLEAGEEARRRAAREAETLTQRLAEKTEAVERLERARRRLQQ ELDDATVDLGQQKQLLSTLEKKQRKFDQLLAEEKAAVLRAVEDRERIEAEGREREARALS LTRALEEEQEAREELERQNRALRAELEALLSSKDDVGKNVHELERARKAAEQAASDLRTQ VTELEDELTAAEDAKLRLEVTVQALKAQHERDLQGRDDAGEERRRQLAKQLRDAEVERDE ERKQRALAMAARKKLELELEELKAQTSAAGQGKEEAVKQLKKMQVQMKELWREVEETRSS RDEMFTLSRENEKKLKGLEAEVLRLQEELAASDRARRQAQQDRDEMAEEVASGNLSKAAT LEEKRQLEGRLSQLEEELEEEQNNSELLKDHYRKLVLQVESLTTELSAERSFSAKAESGR QQLERQIQELRARLGEEDAGARARQKMLIAALESKLAQAEEQLEQESRERILSGKLVRRA EKRLKEVVLQVDEERRVADQVRDQLEKSNLRLKQLKRQLEEAEEEASRAQAGRRRLQREL EDVTESAESMNREVTTLRNRLRRGPLTFTTRTVRQVFRLEEGVASDEEEAEGAEPGSAPG QEPEAPPPATPQ >ENSMUSP00000046059.7 pep:known chromosome:GRCm38:7:44605803:44670843:-1 gene:ENSMUSG00000030739.18 transcript:ENSMUST00000048102.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh14 description:myosin, heavy polypeptide 14 [Source:MGI Symbol;Acc:MGI:1919210] MAAVTMSVSGRKVASRPGPVPEAAQSFLYAPRTPNVGGPGGPQVEWTARRMVWVPSELHG FEAAALRDEGEEEAEVELAESGRRLRLPRDQIQRMNPPKFSKAEDMAELTCLNEASVLHN LRERYYSGLIYTYSGLFCVVINPYKQLPIYTEAIVEMYRGKKRHEVPPHVYAVTEGAYRS MLQDREDQSILCTGESGAGKTENTKKVIQYLAHVASSPKGRKEPGVPASVSTMSYGELER QLLQANPILEAFGNAKTVKNDNSSRFGKFIRINFDIAGYIVGANIETYLLEKSRAIRQAK DECSFHIFYQLLGGAGEQLKADLLLEPCSHYRFLTNGPSSSPGQERELFQETLESLRVLG LLPEEITAMLRTVSAVLQFGNIVLKKERNTDQATMPDNTAAQKLCRLLGLGVTDFSRALL TPRIKVGRDYVQKAQTKEQADFALEALAKATYERLFRWLVLRLNRALDRSPRQGASFLGI LDIAGFEIFQLNSFEQLCINYTNEKLQQLFNHTMFVLEQEEYQREGIPWTFLDFGLDLQP CIDLIERPANPPGLLALLDEECWFPKATDKSFVEKVAQEQGSHPKFQRPRNLRDQADFSV LHYAGKVDYKASEWLMKNMDPLNDNVAALLHQSTDRLTAEIWKDVEGIVGLEQVSSLGDG PPGGRPRRGMFRTVGQLYKESLSRLMATLSNTNPSFVRCIVPNHEKRAGKLEPRLVLDQL RCNGVLEGIRICRQGFPNRILFQEFRQRYEILTPNAIPKGFMDGKQACEKMIQALELDPN LYRVGQSKIFFRAGVLAQLEEERDLKVTDIIVSFQAAARGYLARRAFQRRQQQQSALRVM QRNCAAYLKLRNWQWWRLFIKVKPLLQVTRQDEVLQARAQELQKVQELQQQSAREVGELQ GRVAQLEEERTRLAEQLRAEAELCSEAEETRARLAARKQELELVVTELEARVGEEEECSR QLQSEKKRLQQHIQELESHLEAEEGARQKLQLEKVTTEAKMKKFEEDLLLLEDQNSKLSK ERRLLEERLAEFSSQAAEEEEKVKSLNKLRLKYEATISDMEDRLKKEEKGRQELEKLKRR LDGESSELQEQMVEQKQRAEELLAQLGRKEDELQAALLRAEEEGGARAQLLKSLREAQAG LAEAQEDLEAERVARAKAEKQRRDLGEELEALRGELEDTLDSTNAQQELRSKREQEVTEL KKALEEESRAHEVSMQELRQRHSQALVEMAEQLEQARRGKGVWEKTRLSLEAEVSELKAE LSSLQTSRQEGEQKRRRLESQLQEVQGRSSDSERARSEAAEKLQRAQAELESVSTALSEA ESKAIRLGKELSSAESQLHDTQELLQEETRAKLALGSRVRALEAEAAGLREQMEEEVVAR ERAGRELQSTQAQLSEWRRRQEEEAAVLEAGEEARRRAAREAETLTQRLAEKTEAVERLE RARRRLQQELDDATVDLGQQKQLLSTLEKKQRKFDQLLAEEKAAVLRAVEDRERIEAEGR EREARALSLTRALEEEQEAREELERQNRALRAELEALLSSKDDVGKNVHELERARKAAEQ AASDLRTQVTELEDELTAAEDAKLRLEVTVQALKAQHERDLQGRDDAGEERRRQLAKQLR DAEVERDEERKQRALAMAARKKLELELEELKAQTSAAGQGKEEAVKQLKKMQVQMKELWR EVEETRSSRDEMFTLSRENEKKLKGLEAEVLRLQEELAASDRARRQAQQDRDEMAEEVAS GNLSKAATLEEKRQLEGRLSQLEEELEEEQNNSELLKDHYRKLVLQVESLTTELSAERSF SAKAESGRQQLERQIQELRARLGEEDAGARARQKMLIAALESKLAQAEEQLEQESRERIL SGKLVRRAEKRLKEVVLQVDEERRVADQVRDQLEKSNLRLKQLKRQLEEAEEEASRAQAG RRRLQRELEDVTESAESMNREVTTLRNRLRRGPLTFTTRTVRQVFRLEEGVASDEEEAEG AEPGSAPGQEPEAPPPATPQ >ENSMUSP00000146686.1 pep:known chromosome:GRCm38:7:44605804:44652568:-1 gene:ENSMUSG00000030739.18 transcript:ENSMUST00000208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh14 description:myosin, heavy polypeptide 14 [Source:MGI Symbol;Acc:MGI:1919210] XLLLEPCSHYRFLTNGPSSSPGQERELFQETLESLRVLGLLPEEITAMLRTVSAVLQFGN IVLKKERNTDQATMPDNTAAQKLCRLLGLGVTDFSRALLTPRIKVGRDYVQKAQTKEQAD FALEALAKATYERLFRWLVLRLNRALDRSPRQGASFLGILDIAGFEIFQLNSFEQLCINY TNEKLQQLFNHTMFVLEQEEYQREGIPWTFLDFGLDLQPCIDLIERPANPPGLLALLDEE CWFPKATDKSFVEKVAQEQGSHPKFQRPRNLRDQADFSVLHYAGKVDYKASEWLMKNMDP LNDNVAALLHQSTDRLTAEIWKDVEGIVGLEQVSSLGDGPPGGRPRRGMFRTVGQLYKES LSRLMATLSNTNPSFVRCIVPNHEKRAGKLEPRLVLDQLRCNGVLEGIRICRQGFPNRIL FQEFRQRYEILTPNAIPKGFMDGKQACEKMIQALELDPNLYRVGQSKIFFRAGVLAQLEE ERDLKVTDIIVSFQAAARGYLARRAFQRRQQQQSALRVMQRNCAAYLKLRNWQWWRLFIK VKPLLQVTRQDEVLQARAQELQKVQELQQQSAREVGELQGRVAQLEEERTRLAEQLRAEA ELCSEAEETRARLAARKQELELVVTELEARVGEEEECSRQLQSEKKRLQQHIQELESHLE AEEGARQKLQLEKVTTEAKMKKFEEDLLLLEDQNSKLSKERRLLEERLAEFSSQAAEEEE KVKSLNKLRLKYEATISDMEDRLKKEEKGRQELEKLKRRLDGESSELQEQMVEQKQRAEE LLAQLGRKEDELQAALLRAEEEGGARAQLLKSLREAQAGLAEAQEDLEAERVARAKAEKQ RRDLGEELEALRGELEDTLDSTNAQQELRSKREQEVTELKKALEEESRAHEVSMQELRQR HSQALVEMAEQLEQARRGKGVWEKTRLSLEAEVSELKAELSSLQTSRQEGEQKRRRLESQ LQEVQDRARRQAQQDRDEMAEEVASGNLSKAATLEEKRQLEGRLSQLEEELEEEQNNSEL LKDHYRKLVLQVESLTTELSAERSFSAKAESGRQQLERQIQELRARLGEEDAGARARQKM LIAALESKLAQAEEQLEQESRERILSGKLVRRAEKRLKEVVLQVDEERRVADQVRDQLEK SNLRLKQLKRQLEEAEEEASRAQAGRRRLQRELEDVTESAESMNREVTTLRNRLRRGPLT FTTRTVRQVFRLEEGVASDEEEAEGAEPGSAPGQEPEAPPPATPQ >ENSMUSP00000147115.1 pep:known chromosome:GRCm38:7:44605819:44665503:-1 gene:ENSMUSG00000030739.18 transcript:ENSMUST00000207775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh14 description:myosin, heavy polypeptide 14 [Source:MGI Symbol;Acc:MGI:1919210] MAAVTMSVSGRKVASRPGPVPEAAQSFLYAPRTPNVGGPGGPQVEWTARRMVWVPSELHG FEAAALRDEGEEEAEVELAESGRRLRLPRDQIQRMNPPKFSKAEDMAELTCLNEASVLHN LRERYYSGLIYTYSGLFCVVINPYKQLPIYTEAIVEMYRGKKRHEVPPHVYAVTEGAYRS MLQDREDQSILCTGESGAGKTENTKKVIQYLAHVASSPKGRKEPGVPGELERQLLQANPI LEAFGNAKTVKNDNSSRFGKFIRINFDIAGYIVGANIETYLLEKSRAIRQAKDECSFHIF YQLLGGAGEQLKADLLLEPCSHYRFLTNGPSSSPGQERELFQETLESLRVLGLLPEEITA MLRTVSAVLQFGNIVLKKERNTDQATMPDNTAAQKLCRLLGLGVTDFSRALLTPRIKVGR DYVQKAQTKEQADFALEALAKATYERLFRWLVLRLNRALDRSPRQGASFLGILDIAGFEI FQLNSFEQLCINYTNEKLQQLFNHTMFVLEQEEYQREGIPWTFLDFGLDLQPCIDLIERP ANPPGLLALLDEECWFPKATDKSFVEKVAQEQGSHPKFQRPRNLRDQADFSVLHYAGKVD YKASEWLMKNMDPLNDNVAALLHQSTDRLTAEIWKDEQGGLQQFTLLGSFPSPSPGPAGR LGSGASPPGVGSLCAPTVEGIVGLEQVSSLGDGPPGGRPRRGMFRTVGQLYKESLSRLMA TLSNTNPSFVRCIVPNHEKRAGKLEPRLVLDQLRCNGVLEGIRICRQGFPNRILFQEFRQ RYEILTPNAIPKGFMDGKQACEKMIQALELDPNLYRVGQSKIFFRAGVLAQLEEERDLKV TDIIVSFQAAARGYLARRAFQRRQQQQSALRVMQRNCAAYLKLRNWQWWRLFIKVKPLLQ VTRQDEVLQARAQELQKVQELQQQSAREVGELQGRVAQLEEERTRLAEQLRAEAELCSEA EETRARLAARKQELELVVTELEARVGEEEECSRQLQSEKKRLQQHIQELESHLEAEEGAR QKLQLEKVTTEAKMKKFEEDLLLLEDQNSKLSKERRLLEERLAEFSSQAAEEEEKVKSLN KLRLKYEATISDMEDRLKKEEKGRQELEKLKRRLDGESSELQEQMVEQKQRAEELLAQLG RKEDELQAALLRAEEEGGARAQLLKSLREAQAGLAEAQEDLEAERVARAKAEKQRRDLGE ELEALRGELEDTLDSTNAQQELRSKREQEVTELKKALEEESRAHEVSMQELRQRHSQALV EMAEQLEQARRGKGVWEKTRLSLEAEVSELKAELSSLQTSRQEGEQKRRRLESQLQEVQG RSSDSERARSEAAEKLQRAQAELESVSTALSEAESKAIRLGKELSSAESQLHDTQELLQE ETRAKLALGSRVRALEAEAAGLREQMEEEVVARERAGRELQSTQAQLSEWRRRQEEEAAV LEAGEEARRRAAREAETLTQRLAEKTEAVERLERARRRLQQELDDATVDLGQQKQLLSTL EKKQRKFDQLLAEEKAAVLRAVEDRERIEAEGREREARALSLTRALEEEQEAREELERQN RALRAELEALLSSKDDVGKNVHELERARKAAEQAASDLRTQVTELEDELTAAEDAKLRLE VTVQALKAQHERDLQGRDDAGEERRRQLAKQLRDAEVERDEERKQRALAMAARKKLELEL EELKAQTSAAGQGKEEAVKQLKKMQVQMKELWREVEETRSSRDEMFTLSRENEKKLKGLE AEVLRLQEELAASDRARRQAQQDRDEMAEEVASGNLSKAATLEEKRQLEGRLSQLEEELE EEQNNSELLKDHYRKLVLQVESLTTELSAERSFSAKAESGRQQLERQIQELRARLGEEDA GARARQKMLIAALESKLAQAEEQLEQESRERILSGKLVRRAEKRLKEVVLQVDEERRVAD QVRDQLEKSNLRLKQLKRQLEEAEEEASRAQAGRRRLQRELEDVTESAESMNREVTTLRN RLRRGPLTFTTRTVRQVFRLEEGVASDEEEAEGAEPGSAPGQEPEAPPPATPQ >ENSMUSP00000146584.1 pep:known chromosome:GRCm38:7:44665136:44669348:-1 gene:ENSMUSG00000030739.18 transcript:ENSMUST00000208131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh14 description:myosin, heavy polypeptide 14 [Source:MGI Symbol;Acc:MGI:1919210] MAAVTMSVSGRKVASRPGPVPEAAQSFLYAPRTPNVGGPGGPQVEWTARRMVWVPSELHG FEAAALRDEGEEEAEVELAESGRRLRLPRDQIQRMNPPKFSKAEDMAELTCLNEASVLHN L >ENSMUSP00000103532.2 pep:known chromosome:GRCm38:7:44605819:44665503:-1 gene:ENSMUSG00000030739.18 transcript:ENSMUST00000107900.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh14 description:myosin, heavy polypeptide 14 [Source:MGI Symbol;Acc:MGI:1919210] MAAVTMSVSGRKVASRPGPVPEAAQSFLYAPRTPNVGGPGGPQVEWTARRMVWVPSELHG FEAAALRDEGEEEAEVELAESGRRLRLPRDQIQRMNPPKFSKAEDMAELTCLNEASVLHN LRERYYSGLIYTYSGLFCVVINPYKQLPIYTEAIVEMYRGKKRHEVPPHVYAVTEGAYRS MLQDREDQSILCTGESGAGKTENTKKVIQYLAHVASSPKGRKEPGVPVSHTALGHGELER QLLQANPILEAFGNAKTVKNDNSSRFGKFIRINFDIAGYIVGANIETYLLEKSRAIRQAK DECSFHIFYQLLGGAGEQLKADLLLEPCSHYRFLTNGPSSSPGQERELFQETLESLRVLG LLPEEITAMLRTVSAVLQFGNIVLKKERNTDQATMPDNTAAQKLCRLLGLGVTDFSRALL TPRIKVGRDYVQKAQTKEQADFALEALAKATYERLFRWLVLRLNRALDRSPRQGASFLGI LDIAGFEIFQLNSFEQLCINYTNEKLQQLFNHTMFVLEQEEYQREGIPWTFLDFGLDLQP CIDLIERPANPPGLLALLDEECWFPKATDKSFVEKVAQEQGSHPKFQRPRNLRDQADFSV LHYAGKVDYKASEWLMKNMDPLNDNVAALLHQSTDRLTAEIWKDVEGIVGLEQVSSLGDG PPGGRPRRGMFRTVGQLYKESLSRLMATLSNTNPSFVRCIVPNHEKRAGKLEPRLVLDQL RCNGVLEGIRICRQGFPNRILFQEFRQRYEILTPNAIPKGFMDGKQACEKMIQALELDPN LYRVGQSKIFFRAGVLAQLEEERDLKVTDIIVSFQAAARGYLARRAFQRRQQQQSALRVM QRNCAAYLKLRNWQWWRLFIKVKPLLQVTRQDEVLQARAQELQKVQELQQQSAREVGELQ GRVAQLEEERTRLAEQLRAEAELCSEAEETRARLAARKQELELVVTELEARVGEEEECSR QLQSEKKRLQQHIQELESHLEAEEGARQKLQLEKVTTEAKMKKFEEDLLLLEDQNSKLSK ERRLLEERLAEFSSQAAEEEEKVKSLNKLRLKYEATISDMEDRLKKEEKGRQELEKLKRR LDGESSELQEQMVEQKQRAEELLAQLGRKEDELQAALLRAEEEGGARAQLLKSLREAQAG LAEAQEDLEAERVARAKAEKQRRDLGEELEALRGELEDTLDSTNAQQELRSKREQEVTEL KKALEEESRAHEVSMQELRQRHSQALVEMAEQLEQARRGKGVWEKTRLSLEAEVSELKAE LSSLQTSRQEGEQKRRRLESQLQEVQGRSSDSERARSEAAEKLQRAQAELESVSTALSEA ESKAIRLGKELSSAESQLHDTQELLQEETRAKLALGSRVRALEAEAAGLREQMEEEVVAR ERAGRELQSTQAQLSEWRRRQEEEAAVLEAGEEARRRAAREAETLTQRLAEKTEAVERLE RARRRLQQELDDATVDLGQQKQLLSTLEKKQRKFDQLLAEEKAAVLRAVEDRERIEAEGR EREARALSLTRALEEEQEAREELERQNRALRAELEALLSSKDDVGKNVHELERARKAAEQ AASDLRTQVTELEDELTAAEDAKLRLEVTVQALKAQHERDLQGRDDAGEERRRQLAKQLR DAEVERDEERKQRALAMAARKKLELELEELKAQTSAAGQGKEEAVKQLKKMQVQMKELWR EVEETRSSRDEMFTLSRENEKKLKGLEAEVLRLQEELAASDRARRQAQQDRDEMAEEVAS GNLSKAATLEEKRQLEGRLSQLEEELEEEQNNSELLKDHYRKLVLQVESLTTELSAERSF SAKAESGRQQLERQIQELRARLGEEDAGARARQKMLIAALESKLAQAEEQLEQESRERIL SGKLVRRAEKRLKEVVLQVDEERRVADQVRDQLEKSNLRLKQLKRQLEEAEEEASRAQAG RRRLQRELEDVTESAESMNREVTTLRNRLRRGPLTFTTRTVRQVFRLEEGVASDEEEAEG AEPGSAPGQEPEAPPPATPQ >ENSMUSP00000145671.1 pep:known chromosome:GRCm38:7:27838607:27856438:1 gene:ENSMUSG00000078779.4 transcript:ENSMUST00000205701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp59 description:zinc finger protein 59 [Source:MGI Symbol;Acc:MGI:99206] MASTNSQDMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRNIMLETYSNLVAVVGSCISK PDLIVLLEQEKEPWMAVNEETGRPSPDLEADYDAENISPQNRIYNRKFSKQSIKQLSRTF DPKGSWFSNGPNYSTFHGLRDCQSDAGQQITNKEGVPPHTCQTLAHNTEKPYECKECGKC FGCRSTLTQHQSVHTGEKPYECKECGKAFRLPQQLTRHQKCHSGEKPFSHNEGRQAFQHP NLLKYPKAIHTGAKAFACRECGKSFNRVSSLVEHGLIHADVKPYECNECGKAFKRHRSFV RHQKIHSGERPFQCKDCGKGFIVLAHLTRHQSSHSEEKPFECEECGKKFRTARHLVKHQR IHSGEKPFECNVCGSAFRLQLYLSEHQKTHMEEKYLECNVCGKAFRLQVYLSEHLKTHTE ENPFKCKLCGSAFPNKYQLNKHLTVHTDGKPYQCKECGKCFRQRSKLTEHESIHTGKKPF QCEECGKFFRLNTLLIHHQKSHSGERPFECKECGKAFLLPSQLNSHKIVHTSKRPFECKV CGKSFKRESNLIQHGAVHAGVKSYECSECGKGFIHRSSLFHHRKIHSDEKPFKCQECGKA FVVLAYLIQHQSIHTGEKPFECELCGSAFRCRSQLNKHLRIHTDVKLFQCVED >ENSMUSP00000103968.2 pep:known chromosome:GRCm38:7:27842855:27855086:1 gene:ENSMUSG00000078779.4 transcript:ENSMUST00000108331.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp59 description:zinc finger protein 59 [Source:MGI Symbol;Acc:MGI:99206] MASTNSQDMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRNIMLETYSNLVAVVGSCISK PDLIVLLEQEKEPWMAVNEETGRPSPDLEADYDAENISPQNRIYNRKFSKQSIKQLSRTF DPKGSWFSNGPNYSTFHGLRDCQSDAGQQITNKEGVPPHTCQTLAHNTEKPYECKECGKC FGCRSTLTQHQSVHTGEKPYECKECGKAFRLPQQLTRHQKCHSGEKPFSHNEGRQAFQHP NLLKYPKAIHTGAKAFACRECGKSFNRVSSLVEHGLIHADVKPYECNECGKAFKRHRSFV RHQKIHSGERPFQCKDCGKGFIVLAHLTRHQSSHSEEKPFECEECGKKFRTARHLVKHQR IHSGEKPFECNVCGSAFRLQLYLSEHQKTHMEEKYLECNVCGKAFRLQVYLSEHLKTHTE ENPFKCKLCGSAFPNKYQLNKHLTVHTDGKPYQCKECGKCFRQRSKLTEHESIHTGKKPF QCEECGKFFRLNTLLIHHQKSHSGERPFECKECGKAFLLPSQLNSHKIVHTSKRPFECKV CGKSFKRESNLIQHGAVHAGVKSYECSECGKGFIHRSSLFHHRKIHSDEKPFKCQECGKA FVVLAYLIQHQSIHTGEKPFECELCGSAFRCRSQLNKHLRIHTDVKLFQCVED >ENSMUSP00000144993.1 pep:known chromosome:GRCm38:6:129639064:129643337:-1 gene:ENSMUSG00000033027.15 transcript:ENSMUST00000203189.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klrc3 description:killer cell lectin-like receptor subfamily C, member 3 [Source:MGI Symbol;Acc:MGI:1929720] MSHLLGTEQASEPRKATKKTQAQLRFSNAAGDRLLEFSLQHASQKHLKASRHGYCKNFAS PPEKLIAGILGTIWFTLLIALVISTRIVSP >ENSMUSP00000071147.5 pep:known chromosome:GRCm38:6:129639085:129643337:-1 gene:ENSMUSG00000033027.15 transcript:ENSMUST00000071149.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc3 description:killer cell lectin-like receptor subfamily C, member 3 [Source:MGI Symbol;Acc:MGI:1929720] MSHLLGTEQASEPRKATKKTQAQLRFSNAAGDRLLEFSLQHASQKHLKASRHGYCKNFAS PPEKLIAGILGTIWFTLLIALVISTRIVSPYINHSLSSAQTYALCPKEWILYSHNCYYIG MERKSWNDSLVSCISKNCSLLYIDSEEEQDFLQSLSLVSWTGILRKGRGQPWVWKKDSTF KPKIAEILHDECNCAMMSASGLTADSCTTLHPYLCKCKFPI >ENSMUSP00000129830.1 pep:known chromosome:GRCm38:6:129639064:129643337:-1 gene:ENSMUSG00000033027.15 transcript:ENSMUST00000170148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc3 description:killer cell lectin-like receptor subfamily C, member 3 [Source:MGI Symbol;Acc:MGI:1929720] MSHLLGTEQASEPRKATKKTQAQLRFSNAAGDRLLEFSLQHASQKHLKASRHGYCKNFAS PPEKLIAGILGTIWFTLLIALVISTRIVSPSQTYALCPKEWILYSHNCYYIGMERKSWND SLVSCISKNCSLLYIDSEEEQDFLQSLSLVSWTGILRKGRGQPWVWKKDSTFKPKIAEIL HDECNCAMMSASGLTADSCTTLHPYLCKCKFPI >ENSMUSP00000001809.8 pep:known chromosome:GRCm38:15:36595661:36608973:-1 gene:ENSMUSG00000022283.14 transcript:ENSMUST00000001809.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc1 description:poly(A) binding protein, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:1349722] MNPSAPSYPMASLYVGDLHPDVTEAMLYEKFSPAGPILSIRVCRDMITRRSLGYAYVNFQ QPADAERALDTMNFDVIKGKPVRIMWSQRDPSLRKSGVGNIFIKNLDKSIDNKALYDTFS AFGNILSCKVVCDENGSKGYGFVHFETQEAAERAIEKMNGMLLNDRKVFVGRFKSRKERE AELGARAKEFTNVYIKNFGEDMDDERLKELFGKFGPALSVKVMTDESGKSKGFGFVSFER HEDAQKAVDEMNGKELNGKQIYVGRAQKKVERQTELKRKFEQMKQDRITRYQGVNLYVKN LDDGIDDERLRKEFSPFGTITSAKVMMEGGRSKGFGFVCFSSPEEATKAVTEMNGRIVAT KPLYVALAQRKEERQAHLTNQYMQRMASVRAVPNPVINPYQPAPPSGYFMAAIPQTQNRA AYYPPSQIAQLRPSPRWTAQGARPHPFQNMPGAIRPAAPRPPFSTMRPASSQVPRVMSTQ RVANTSTQTMGPRPAAAAAAATPAVRTVPQYKYAAGVRNPQQHLNAQPQVTMQQPAVHVQ GQEPLTASMLASAPPQEQKQMLGERLFPLIQAMHPSLAGKITGMLLEIDNSELLHMLESP ESLRSKVDEAVAVLQAHQAKEAAQKAVNSATGVPTV >ENSMUSP00000117063.1 pep:known chromosome:GRCm38:15:36595907:36598019:-1 gene:ENSMUSG00000022283.14 transcript:ENSMUST00000155116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc1 description:poly(A) binding protein, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:1349722] XPQQHLNAQPQVTMQQPAVHVQGQEPLTASMLASAPPQEQKQMLGERLFPLIQAMHPSLA GKITGMLLEIDNSELLHMLESPESLRSKVDEAVAVLQAHQAKEAAQKAVNSATGVPTV >ENSMUSP00000115042.2 pep:known chromosome:GRCm38:2:26251757:26257554:-1 gene:ENSMUSG00000079537.3 transcript:ENSMUST00000137586.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C030048H21Rik description:RIKEN cDNA C030048H21 gene [Source:MGI Symbol;Acc:MGI:1924731] XEEKARAELACLEHQMGCLGNVGREVARATLCEKQQQTFSRLEKERREIQYLKKVCLSLH HGRKQLLQHQQSILDVQRSMAHLQQELQVRAQLLKSCSSRVKTTWKEVSKASQKTEGHRS GSPQSHPPQEISESSESAHLSSGQKEVTSPQTTSVADESLQLLRLNQADVIPGTRNPSME SEHDSQGPGKHPCVFLPGLLHSSSLDPGHQKNPTALATKKDST >ENSMUSP00000031530.5 pep:known chromosome:GRCm38:5:115011137:115098790:1 gene:ENSMUSG00000029550.11 transcript:ENSMUST00000031530.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sppl3 description:signal peptide peptidase 3 [Source:MGI Symbol;Acc:MGI:1891433] MAEQTYSWAYSLVDSSQVSTFLISILLIVYGSFRSLNMDFENQDKEKDSNSSSGSFNGNS TNNSIQTIDSTQALFLPIGASVSLLVMFFFFDSVQVVFTICTAVLATIAFAFLLLPMCQY LTRPCSPQNKISFGCCGRFTAAELLSFSLSVMLVLIWVLTGHWLLMDALAMGLCVAMIAF VRLPSLKVSCLLLSGLLIYDVFWVFFSAYIFNSNVMVKVATQPADNPLDVLSRKLHLGPN VGRDVPRLSLPGKLVFPSSTGSHFSMLGIGDIVMPGLLLCFVLRYDNYKKQASGDSCGAP GPANISGRMQKVSYFHCTLIGYFVGLLTATVASRIHRAAQPALLYLVPFTLLPLLTMAYL KGDLRRMWSEPFHSKSSSSRFLEV >ENSMUSP00000143889.1 pep:known chromosome:GRCm38:5:115011497:115084885:1 gene:ENSMUSG00000029550.11 transcript:ENSMUST00000128590.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sppl3 description:signal peptide peptidase 3 [Source:MGI Symbol;Acc:MGI:1891433] MAEQTYSWAYSLVDSSQVSTFLISILLIVYGSFRHPDH >ENSMUSP00000133439.1 pep:known chromosome:GRCm38:11:57011387:57015291:1 gene:ENSMUSG00000020524.16 transcript:ENSMUST00000125292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria1 description:glutamate receptor, ionotropic, AMPA1 (alpha 1) [Source:MGI Symbol;Acc:MGI:95808] MTYR >ENSMUSP00000044494.9 pep:known chromosome:GRCm38:11:57011810:57330244:1 gene:ENSMUSG00000020524.16 transcript:ENSMUST00000036315.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria1 description:glutamate receptor, ionotropic, AMPA1 (alpha 1) [Source:MGI Symbol;Acc:MGI:95808] MPYIFAFFCTGFLGAVVGANFPNNIQIGGLFPNQQSQEHAAFRFALSQLTEPPKLLPQID IVNISDSFEMTYRFCSQFSKGVYAIFGFYERRTVNMLTSFCGALHVCFITPSFPVDTSNQ FVLQLRPELQEALISIIDHYKWQTFVYIYDADRGLSVLQRVLDTAAEKNWQVTAVNILTT TEEGYRMLFQDLEKKKERLVVVDCESERLNAILGQIVKLEKNGIGYHYILANLGFMDIDL NKFKESGANVTGFQLVNYTDTIPARIMQQWRTSDARDHTRVDWKRPKYTSALTYDGVKVM AEAFQSLRRQRIDISRRGNAGDCLANPAVPWGQGIDIQRALQQVRFEGLTGNVQFNEKGR RTNYTLHVIEMKHDGIRKIGYWNEDDKFVPAATDAQAGGDNSSVQNRTYIVTTILEDPYV MLKKNANQFEGNDRYEGYCVELAAEIAKHVGYSYRLEIVSDGKYGARDPDTKAWNGMVGE LVYGRADVAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLDPLAYEIW MCIVFAYIGVSVVLFLVSRFSPYEWHSEEFEEGRDQTTSDQSNEFGIFNSLWFSLGAFMQ QGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLAKQTEIA YGTLEAGSTKEFFRRSKIAVFEKMWTYMKSAEPSVFVRTTEEGMIRVRKSKGKYAYLLES TMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSALRGPVNLAVLKLSEQGVLDKLKSKW WYDKGECGSKDSGSKDKTSALSLSNVAGVFYILIGGLGLAMLVALIEFCYKSRSESKRMK GFCLIPQQSINEAIRTSTLPRNSGAGASGGSGSGENGRVVSQDFPKSMQSIPCMSHSSGM PLGATGL >ENSMUSP00000091731.4 pep:known chromosome:GRCm38:11:57011956:57330243:1 gene:ENSMUSG00000020524.16 transcript:ENSMUST00000094179.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria1 description:glutamate receptor, ionotropic, AMPA1 (alpha 1) [Source:MGI Symbol;Acc:MGI:95808] MPYIFAFFCTGFLGAVVGANFPNNIQIGGLFPNQQSQEHAAFRFALSQLTEPPKLLPQID IVNISDSFEMTYRFCSQFSKGVYAIFGFYERRTVNMLTSFCGALHVCFITPSFPVDTSNQ FVLQLRPELQEALISIIDHYKWQTFVYIYDADRGLSVLQRVLDTAAEKNWQVTAVNILTT TEEGYRMLFQDLEKKKERLVVVDCESERLNAILGQIVKLEKNGIGYHYILANLGFMDIDL NKFKESGANVTGFQLVNYTDTIPARIMQQWRTSDARDHTRVDWKRPKYTSALTYDGVKVM AEAFQSLRRQRIDISRRGNAGDCLANPAVPWGQGIDIQRALQQVRFEGLTGNVQFNEKGR RTNYTLHVIEMKHDGIRKIGYWNEDDKFVPAATDAQAGGDNSSVQNRTYIVTTILEDPYV MLKKNANQFEGNDRYEGYCVELAAEIAKHVGYSYRLEIVSDGKYGARDPDTKAWNGMVGE LVYGRADVAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLDPLAYEIW MCIVFAYIGVSVVLFLVSRFSPYEWHSEEFEEGRDQTTSDQSNEFGIFNSLWFSLGAFMQ QGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLAKQTEIA YGTLEAGSTKEFFRRSKIAVFEKMWTYMKSAEPSVFVRTTEEGMIRVRKSKGKYAYLLES TMNEYIEQRKPCDTMKVGGNLDSKGYGIATPKGSALRNPVNLAVLKLNEQGLLDKLKNKW WYDKGECGSGGGDSKDKTSALSLSNVAGVFYILIGGLGLAMLVALIEFCYKSRSESKRMK GFCLIPQQSINEAIRTSTLPRNSGAGASGGSGSGENGRVVSQDFPKSMQSIPCMSHSSGM PLGATGL >ENSMUSP00000117746.2 pep:known chromosome:GRCm38:11:57013046:57330240:1 gene:ENSMUSG00000020524.16 transcript:ENSMUST00000151045.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria1 description:glutamate receptor, ionotropic, AMPA1 (alpha 1) [Source:MGI Symbol;Acc:MGI:95808] MTYRFCSQFSKGVYAIFGFYERRTVNMLTSFCGALHVCFITPSFPVDTSNQFVLQLRPEL QEALISIIDHYKWQTFVYIYDADRGLSVLQRVLDTAAEKNWQVTAVNILTTTEEGYRMLF QDLEKKKERLVVVDCESERLNAILGQIVKLEKNGIGYHYILANLGFMDIDLNKFKESGAN VTGFQLVNYTDTIPARIMQQWRTSDARDHTRVDWKRPKYTSALTYDGVKVMAEAFQSLRR QRIDISRRGNAGDCLANPAVPWGQGIDIQRALQQVRFEGLTGNVQFNEKGRRTNYTLHVI EMKHDGIRKIGYWNEDDKFVPAATDAQAGGDNSSVQNRTYIVTTILEDPYVMLKKNANQF EGNDRYEGYCVELAAEIAKHVGYSYRLEIVSDGKYGARDPDTKAWNGMVGELVYGRADVA VAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFLDPLAYEIWMCIVFAYIG VSVVLFLVSRFSPYEWHSEEFEEGRDQTTSDQSNEFGIFNSLWFSLGAFMQQGCDISPRS LSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAEDLAKQTEIAYGTLEAGST KEFFRRSKIAVFEKMWTYMKSAEPSVFVRTTEEGMIRVRKSKGKYAYLLESTMNEYIEQR KPCDTMKVGGNLDSKGYGIATPKGSALRGPVNLAVLKLSEQGVLDKLKSKWWYDKGECGS KDSGSKDKTSALSLSNVAGVFYILIGGLGLAMLVALIEFCYKSRSESKRMKGFCLIPQQS INEAIRTSTLPRNSGAGASGGSGSGENGRVVSQDFPKSMQSIPCMSHSSGMPLGATGL >ENSMUSP00000114862.1 pep:known chromosome:GRCm38:X:151803243:151873033:1 gene:ENSMUSG00000025261.17 transcript:ENSMUST00000153687.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Huwe1 description:HECT, UBA and WWE domain containing 1 [Source:MGI Symbol;Acc:MGI:1926884] MKVDRTKLKKTPTEAVGIALPSIKAKDKIPKWKCKIPYPK >ENSMUSP00000026292.8 pep:known chromosome:GRCm38:X:151803327:151935417:1 gene:ENSMUSG00000025261.17 transcript:ENSMUST00000026292.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Huwe1 description:HECT, UBA and WWE domain containing 1 [Source:MGI Symbol;Acc:MGI:1926884] MKVDRTKLKKTPTEAPADCRALIDKLKVCNDEQLLLELQQIKTWNIGKCELYHWVDLLDR FDGILADAGQTVENMSWMLVCDRPEKEQLKMLLLAVLNFTALLIEYSFSRHLYSSIEHLT TLLASSDMQVVLAVLNLLYVFSKRSNYITRLGSDKRTPLLTRLQHLAESWGGKENGFGLA ECCRDLQMLKYPPSATTLHFEFYADPGAEVKIEKRTTSNTLHYIHIEQLDKISESPSEIM ESLTKMYSIPKDKQMLLFTHIRLAHGFSNHRKRLQAVQARLHAISILVYSNALQESANSI LYNGLIEELVDVLQITDKQLMEIKAASLRTLTSIVHLERTPKLSSIIDCTGTASYHGFLP VLVRNCIQAMIDPSMDPYPHQFATALFSFLYHLASYDAGGEALVSCGMMEALLKVIKFLG DEQDQITFVTRAVRVVDLITNLDMAAFQSHSGLSIFIYRLEHEVDLCRKECPFVIKPKIQ RPSTTQEGEEMETDMDGVQCIPQRAALLKSMLNFLKKAIQDPAFSDGIRHVMDGSLPTSL KHIISNAEYYGPSLFLLATEVVTVFVFQEPSLLSSLQDNGLTDVMLHALLIKDVPATREV LGSLPNVFSALCLNARGLQSFVQCQPFERLFKVLLSPDYLPAMRRRRSSDPLGDTASNLG SAVDELMRHQPTLKTDATTAIIKLLEEICNLGRDPKYICQKPSIQKADGTATAPPPRSNH AAEEASSEDEEEEEVQAMQSFNSAQQNETEPNQQVVGTEERIPIPLMDYILNVMKFVESI LSNNTTDDHCQEFVNQKGLLPLVTILGLPNLPIDFPTSAACQAVAGVCKSILTLSHEPKV LQEGLLQLDLILSSLEPLHRPIESPGGSVLLRELACAGNVADATLSAQATPLLHALTAAH AYIMMFVHTCRVGQSEIRSISVNQWGSQLGLSVLSKLSQLYCSLVWESTVLLSLCTPNSL PSGCEFGQADMQKLVPKDEKAGTTQGGKRSDGEQDGTAGSMDASAQGLLEGIELDGDTLA PMETDEPSSSDSKGKSKITPAMAARIKQIKPLLSASSRLGRALAELFGLLVKLCVGSPVR QRRSHHAASTTTAPTPAARSTASALTKLLTKGLSWQPPPYTPTPRFRLTFFICSVGFTSP MLFDERKYPYHLMLQKFLCSGGHNALFETFNWALSMGGKVPVSEGLEHSDLPDGTGEFLD AWLMLVEKMVNPTTVLESPHSLPAKLPGGVQSFPQFSALRFLVVTQKAAFTCIKNLWNRK PLKVYGGRMAESMLAILCHILRGEPVIRERLSKEKEGSRGEEEAGQEEGGSRREPQVNQQ QLQQLMDMGFTREHAMEALLNTSTMEQATEYLLTHPPPIIGGVVRDLSMSEEDQMMRAIA MSLGQDIPMDQRAESPEEVACRKEEEERKAREKQEEEEAKCLEKFQDADPLEQDELHTFT DTMLPGCFHLLDELPDTVYRVCDLIMTAIKRNGADYRDMILKQVVNQVWEAADVLIKAAL PLTTSDTKTVSEWISQMATLPQASNLATRILLLTLLFEELKLPCAWVVESSGILNVLIKL LEVVQPCLQAAKEQKEVQTPKWITPVLLLIDFYEKTAISSKRRAQMTKYLQSNSNNWRWF DDRSGRWCSYSASNNSTIDSAWKSGETSVRFTAGRRRYTVQFTTMVQVNEETGNRRPVML TLLRVPRLSKNSKSSNGQELEKTLEESKETDIKHKENKGNDIPLALESTNTEKEASLDET KIGEILIQGLTEDMVTVLIRACVSMLGVPVDPDTLHATLRLCLRLTRDHKYAMMFAELKS TRMILNLTQSSGFNGFTPLVTLLLRHIIEDPCTLRHTMEKVVRSAATSGAGSTTSGVVSG SLGSREINYILRVLGPAACRNPDIFTEVANCCIRIALPAPRGSGTASDDEFENLRIKGPN AVQLVKTTPLKPSSLPVIPDTIKEVIYDMLNALAAYHAPEEADKSDPKPGGTTQEVGQLL QDMGDDVYQQYRSLTRQSSDFDTQSGFSLNSQVFAADGAPAETSTTGTSQGEGASTPEET REGKKDKEGDRTSEEGKQKSKGSKPLMPTSTILRLLAELVRSYVGIATLIANYSYTVGQS ELIKEDCSVLAFVLDHLLPHTQNAEDKDTPALARLFLASLAAAGSGTDAQVALVNEVKAA LGRALAMAESTEKHARLQAVMCIISTIMESCPSTSSFYSSATAKTQHNGMNNIIRLFLKK GLVNDLARVPHSLDLSSPNMANTVNAALKPLETLSRIVNQPSSLFGSKSASSKNKSEQDA QGASQDSSSHQQDPGEPGEAEVQEEDHDVTQTEVADGDIMDGEAETDSVVIAGQPEVLSS QEMQVENELEDLIDELLERDGGSGNSTIIVSRSGEDESQEDVLMDEAPSNLSQASTLQAN REDSMNILDPEDEEEHTQEEDSSGSNEDEDDSQDEEEEEEEDEEDDQEDDEGEEGDEDDD DDGSEMELDEDYPDMNASPLVRFERFDREDDLIIEFDNMFSSATDIPPSPGNIPTTHPLM VRHADHSSLTLGSGSSTTRLTQGIGRSQRTLRQLTANTGHTIHVHYPGNRQPNPPLILQR LLGPSAAADILQLSSSLPLQSRGRARLLVGNDDVHIIARSDDELLDDFFHDQSTATSQAG TLSSIPTALTRWTEECKVLDAESMHDCVSVVKVPIVNHLEFLRDEELEERREKRRKQLAE EETKIIDKGKEDKENRDQSAQCTVTKTNDSTEQNVSDGTPMPDSYPTTPSSTDAPTSESK ETLGTLQPSQQQPALPPPPSLGEIPQELQSPAEEVANSTQLLMPIELEELGPTRPSGEAE TTQMELSPAPTITSLSPERAEDSDALTAVSSQLEGSPMDTSSLASCTLEEAVGDTPAAGS SEQPTAGSSTPGDAPSVVAEVQGRPDVSRESNQPPEDSSPPASSESSSTRDSAVAISGAD SRGILEEPLPSTSSEEEDPLAGISLPEGVDPSFLAALPDDIRREVLQNQLGIRPPTRSAP SSNSSAPAVVGNPGVTEVSPEFLAALPPAIQEEVLAQQRAEQQRRELAQNASSDTPMDPV TFIQTLPSDLRRSVLEDMEDSVLAVMPPDIAAEAQALRREQEARQRQLMHERLFGHSSTS ALSAILRSPAFTSRLSGNRGVQYTRLAVQRGGTFQMGGSSSHNRPSGSNVDTLLRLRGRL LLDHEALSCLLVLLFVDEPKLNTSRLHRVLRNLCYHAQTRHWVIRSLLSILQRSSESELC IETPKLSTSEERGKKSSKSCASSSHENRPLDLLHKMESKSSNQLSWLSVSMDAALGCRTN IFQIQRSGGRKHTEKHASSGSTVHIHPQAAPVVCRHVLDTLIQLAKVFPSHFTQQRTKET NCESDRERGSKQACSPCSSQSSSSGICTDFWDLLVKLDNMNVSRKGKNSVKSVPVSSGGE GETSPHSLEASPLGQLMNMLSHPVIRRSSLLTEKLLRLLSLISIALPENKVSEVQTNSSN SGSSTAATSNTSTTTTTTTTATAPTPTPPAATTPVTSAPALVAATAISTITVAASTTVTT PTTATTTVSTSTTKGSKSPAKVGEGGSGIDFKMVSSGLTENQLQLSVEVLTSHSCSEEGL EDAANVLLQLSRGDSGTRDTVLKLLLNGARHLGYTLCKQIGTLLAELREYNLEQQRRAQC ETLSPDGLPEEQPQTTKLKGKMQSRFDMAENVVIVASQKRPLGGRELQLPSMSMLTSKTS TQKFFLRVLQVIIQLRDDTRRANKKAKQTGRLGSSGLGSASSIQAAVRQLEAEADAIIQM VREGQRARRQQQAATSESSNQSETSVRREESPMDVDQPSPSAQDTQSIVISDGTPQGEKE KEEKPPELPLLSEQLSLDELWDMLGECLKELEESHDQHAVLVLQPAVEAFFLVHATERES KPPVRDTRESQLAHIKDEPPPLSPAPLTPATPSSLDPFFSREPSSMHISSSLPPDTQKFL RFAETHRTVLNQILRQSTTHLADGPFAVLVDYIRVLDFDVKRKYFRQELERLDEGLRKED MAVHVRRDHVFEDSYRELHRKSPEEMKNRLYIVFEGEEGQDAGGLLREWYMIISREMFNP MYALFRTSPGDRVTYTINPSSHCNPNHLSYFKFVGRIVAKAVYDNRLLECYFTRSFYKHI LGKSVRYTDMESEDYHFYQGLVYLLENDVSTLGYDLTFSTEVQEFGVCEVRDLKPNGANI LVTEENKKEYVHLVCQMRMTGAIRKQLAAFLEGFYEIIPKRLISIFTEQELELLISGLPT IDIDDLKSNTEYHKYQSNSIQIQWFWRALRSFDQADRAKFLQFVTGTSKVPLQGFAALEG MNGIQKFQIHRDDRSTDRLPSAHTCFNQLDLPAYESFEKLRHMLLLAIQECSEGFGLA >ENSMUSP00000114357.1 pep:known chromosome:GRCm38:X:151803658:151835276:1 gene:ENSMUSG00000025261.17 transcript:ENSMUST00000156616.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Huwe1 description:HECT, UBA and WWE domain containing 1 [Source:MGI Symbol;Acc:MGI:1926884] MKVDRTKLK >ENSMUSP00000122612.1 pep:known chromosome:GRCm38:X:151803662:151840108:1 gene:ENSMUSG00000025261.17 transcript:ENSMUST00000138566.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Huwe1 description:HECT, UBA and WWE domain containing 1 [Source:MGI Symbol;Acc:MGI:1926884] MKVDRTKLKKTPTEAVGIALPSIKAKDKIPKWKCKIPYPK >ENSMUSP00000108241.1 pep:known chromosome:GRCm38:X:151835248:151935417:1 gene:ENSMUSG00000025261.17 transcript:ENSMUST00000112622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Huwe1 description:HECT, UBA and WWE domain containing 1 [Source:MGI Symbol;Acc:MGI:1926884] MKVDRTKLKKTPTEAPADCRALIDKLKVCNDEQLLLELQQIKTWNIGKCELYHWVDLLDR FDGILADAGQTVENMSWMLVCDRPEKEQLKMLLLAVLNFTALLIEYSFSRHLYSSIEHLT TLLASSDMQVVLAVLNLLYVFSKRSNYITRLGSDKRTPLLTRLQHLAESWGGKENGFGLA ECCRDLQMLKYPPSATTLHFEFYADPGAEVKIEKRTTSNTLHYIHIEQLDKISESPSEIM ESLTKMYSIPKDKQMLLFTHIRLAHGFSNHRKRLQAVQARLHAISILVYSNALQESANSI LYNGLIEELVDVLQITDKQLMEIKAASLRTLTSIVHLERTPKLSSIIDCTGTASYHGFLP VLVRNCIQAMIDPSMDPYPHQFATALFSFLYHLASYDAGGEALVSCGMMEALLKVIKFLG DEQDQITFVTRAVRVVDLITNLDMAAFQSHSGLSIFIYRLEHEVDLCRKECPFVIKPKIQ RPSTTQEGEEMETDMDGVQCIPQRAALLKSMLNFLKKAIQDPAFSDGIRHVMDGSLPTSL KHIISNAEYYGPSLFLLATEVVTVFVFQEPSLLSSLQDNGLTDVMLHALLIKDVPATREV LGSLPNVFSALCLNARGLQSFVQCQPFERLFKVLLSPDYLPAMRRRRSSDPLGDTASNLG SAVDELMRHQPTLKTDATTAIIKLLEEICNLGRDPKYICQKPSIQKADGTATAPPPRSNH AAEEASSEDEEEEEVQAMQSFNSAQQNETEPNQQVVGTEERIPIPLMDYILNVMKFVESI LSNNTTDDHCQEFVNQKGLLPLVTILGLPNLPIDFPTSAACQAVAGVCKSILTLSHEPKV LQEGLLQLDLILSSLEPLHRPIESPGGSVLLRELACAGNVADATLSAQATPLLHALTAAH AYIMMFVHTCRVGQSEIRSISVNQWGSQLGLSVLSKLSQLYCSLVWESTVLLSLCTPNSL PSGCEFGQADMQKLVPKDEKAGTTQGGKRSDGEQDGTAGSMDASAQGLLEGIELDGDTLA PMETDEPSSSDSKGKSKITPAMAARIKQIKPLLSASSRLGRALAELFGLLVKLCVGSPVR QRRSHHAASTTTAPTPAARSTASALTKLLTKGLSWQPPPYTPTPRFRLTFFICSVGFTSP MLFDERKYPYHLMLQKFLCSGGHNALFETFNWALSMGGKVPVSEGLEHSDLPDGTGEFLD AWLMLVEKMVNPTTVLESPHSLPAKLPGGVQSFPQFSALRFLVVTQKAAFTCIKNLWNRK PLKVYGGRMAESMLAILCHILRGEPVIRERLSKEKEGSRGEEEAGQEEGGSRREPQVNQQ QLQQLMDMGFTREHAMEALLNTSTMEQATEYLLTHPPPIIGGVVRDLSMSEEDQMMRAIA MSLGQDIPMDQRAESPEEVACRKEEEERKAREKQEEEEAKCLEKFQDADPLEQDELHTFT DTMLPGCFHLLDELPDTVYRVCDLIMTAIKRNGADYRDMILKQVVNQVWEAADVLIKAAL PLTTSDTKTVSEWISQMATLPQASNLATRILLLTLLFEELKLPCAWVVESSGILNVLIKL LEVVQPCLQAAKEQKEVQTPKWITPVLLLIDFYEKTAISSKRRAQMTKYLQSNSNNWRWF DDRSGRWCSYSASNNSTIDSAWKSGETSVRFTAGRRRYTVQFTTMVQVNEETGNRRPVML TLLRVPRLSKNSKSSNGQELEKTLEESKETDIKHKENKGNDIPLALESTNTEKEASLDET KIGEILIQGLTEDMVTVLIRACVSMLGVPVDPDTLHATLRLCLRLTRDHKYAMMFAELKS TRMILNLTQSSGFNGFTPLVTLLLRHIIEDPCTLRHTMEKVVRSAATSGAGSTTSGVVSG SLGSREINYILRVLGPAACRNPDIFTEVANCCIRIALPAPRGSGTASDDEFENLRIKGPN AVQLVKTTPLKPSSLPVIPDTIKEVIYDMLNALAAYHAPEEADKSDPKPGGTTQEVGQLL QDMGDDVYQQYRSLTRQSSDFDTQSGFSLNSQVFAADGAPAETSTTGTSQGEASTPEETR EGKKDKEGDRTSEEGKQKSKGSKPLMPTSTILRLLAELVRSYVGIATLIANYSYTVGQSE LIKEDCSVLAFVLDHLLPHTQNAEDKDTPALARLFLASLAAAGSGTDAQVALVNEVKAAL GRALAMAESTEKHARLQAVMCIISTIMESCPSTSSFYSSATAKTQHNGMNNIIRLFLKKG LVNDLARVPHSLDLSSPNMANTVNAALKPLETLSRIVNQPSSLFGSKSASSKNKSEQDAQ GASQDSSSHQQDPGEPGEAEVQEEDHDVTQTEVADGDIMDGEAETDSVVIAGQPEVLSSQ EMQVENELEDLIDELLERDGGSGNSTIIVSRSGEDESQEDVLMDEAPSNLSQASTLQANR EDSMNILDPEDEEEHTQEEDSSGSNEDEDDSQDEEEEEEEDEEDDQEDDEGEEGDEDDDD DGSEMELDEDYPDMNASPLVRFERFDREDDLIIEFDNMFSSATDIPPSPGNIPTTHPLMV RHADHSSLTLGSGSSTTRLTQGIGRSQRTLRQLTANTGHTIHVHYPGNRQPNPPLILQRL LGPSAAADILQLSSSLPLQSRGRARLLVGNDDVHIIARSDDELLDDFFHDQSTATSQAGT LSSIPTALTRWTEECKVLDAESMHDCVSVVKVPIVNHLEFLRDEELEERREKRRKQLAEE ETKIIDKGKEDKENRDQSAQCTVTKTNDSTEQNVSDGTPMPDSYPTTPSSTDAPTSESKE TLGTLQPSQQQPALPPPPSLGEIPQELQSPAEEVANSTQLLMPIELEELGPTRPSGEAET TQMELSPAPTITSLSPERAEDSDALTAVSSQLEGSPMDTSSLASCTLEEAVGDTPAAGSS EQPTAGSSTPGDAPSVVAEVQGRPDVSRESNQPPEDSSPPASSESSSTRDSAVAISGADS RGILEEPLPSTSSEEEDPLAGISLPEGVDPSFLAALPDDIRREVLQNQLGIRPPTRSAPS SNSSAPAVVGNPGVTEVSPEFLAALPPAIQEEVLAQQRAEQQRRELAQNASSDTPMDPVT FIQTLPSDLRRSVLEDMEDSVLAVMPPDIAAEAQALRREQEARQRQLMHERLFGHSSTSA LSAILRSPAFTSRLSGNRGVQYTRLAVQRGGTFQMGGSSSHNRPSGSNVDTLLRLRGRLL LDHEALSCLLVLLFVDEPKLNTSRLHRVLRNLCYHAQTRHWVIRSLLSILQRSSESELCI ETPKLSTSEERGKKSSKSCASSSHENRPLDLLHKMESKSSNQLSWLSVSMDAALGCRTNI FQIQRSGGRKHTEKHASSGSTVHIHPQAAPVVCRHVLDTLIQLAKVFPSHFTQQRTKETN CESDRERGSKQACSPCSSQSSSSGICTDFWDLLVKLDNMNVSRKGKNSVKSVPVSSGGEG ETSPHSLEASPLGQLMNMLSHPVIRRSSLLTEKLLRLLSLISIALPENKVSEVQTNSSNS GSSTAATSNTSTTTTTTTTATAPTPTPPAATTPVTSAPALVAATAISTITVAASTTVTTP TTATTTVSTSTTKGSKSPAKVGEGGSGIDFKMVSSGLTENQLQLSVEVLTSHSCSEEGLE DAANVLLQLSRGDSGTRDTVLKLLLNGARHLGYTLCKQIGTLLAELREYNLEQQRRAQCE TLSPDGLPEEQPQTTKLKGKMQSRFDMAENVVIVASQKRPLGGRELQLPSMSMLTSKTST QKFFLRVLQVIIQLRDDTRRANKKAKQTGRLGSSGLGSASSIQAAVRQLEAEADAIIQMV REGQRARRQQQAATSESSNQSETSVRREESPMDVDQPSPSAQDTQSIVISDGTPQGEKEK EEKPPELPLLSEQLSLDELWDMLGECLKELEESHDQHAVLVLQPAVEAFFLVHATERESK PPVRDTRESQLAHIKDEPPPLSPAPLTPATPSSLDPFFSREPSSMHISSSLPPDTQKFLR FAETHRTVLNQILRQSTTHLADGPFAVLVDYIRVLDFDVKRKYFRQELERLDEGLRKEDM AVHVRRDHVFEDSYRELHRKSPEEMKNRLYIVFEGEEGQDAGGLLREWYMIISREMFNPM YALFRTSPGDRVTYTINPSSHCNPNHLSYFKFVGRIVAKAVYDNRLLECYFTRSFYKHIL GKSVRYTDMESEDYHFYQGLVYLLENDVSTLGYDLTFSTEVQEFGVCEVRDLKPNGANIL VTEENKKEYVHLVCQMRMTGAIRKQLAAFLEGFYEIIPKRLISIFTEQELELLISGLPTI DIDDLKSNTEYHKYQSNSIQIQWFWRALRSFDQADRAKFLQFVTGTSKVPLQGFAALEGM NGIQKFQIHRDDRSTDRLPSAHTCFNQLDLPAYESFEKLRHMLLLAIQECSEGFGLA >ENSMUSP00000120057.1 pep:known chromosome:GRCm38:X:151860167:151891151:1 gene:ENSMUSG00000025261.17 transcript:ENSMUST00000138023.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Huwe1 description:HECT, UBA and WWE domain containing 1 [Source:MGI Symbol;Acc:MGI:1926884] XVQCIPQRAALLKSMLNFLKKAIQDPAFSDGIRHVMDGSLPTSLKHIISNAEYYGPSLFL LATEVVTVFVFQEPSLLSSLQDNGLTDVMLHALLIKDVPATREVLGSLPNVFSALCLNAR GLQSFVQCQPFERLFKVLLSPDYLPAMRRRRSSDPLGDTASNLGSAVDELMRHQPTLKTD ATTAIIKLLEEICNLGRDPKYICQKPSIQKADGTATAPPPRSNHAAEEASSEDEEEEEVQ AMQSFNSAQQNETEPNQQVVGTEERIPIPLMDYILNVMKFVESILSNNTTDDHCQEFVNQ KGLLPLVTILGLPNLPIDFPTSAACQAVAGVCKSILTLSHEPKVLQEGLLQLDLILSSLE PLHRPIESPGGSVLLRELACAGNVADATLSAQATPLLHALTAAHAYIMMFVHTCRVGQSE IRSISVNQWGSQLGLSVLSKLSQLYCSLVWESTVLLSLCTPNSLPSGCEFGQADMQKLVP KDEKAGTTQGGKRSDGEQDGTAGSMDASAQGLLEGIELDGDTLAPMETDEPSSSDSKGKS KITPAMAARIKQIKPLLSASSRLGRALAELFGLLVKLCVGSPVRQRRSHHAASTTTAPTP AARSTASALTKLLTKGLSWQPPPYTPTPRFRLTFFICSVGFTSPMLFDERKYPYHLMLQK FLCSGGHNALFETFNWALSMGGKVPVSEGLEHSDLPDGTGEFLDAWLMLVEKMVNPTTVL ESPHSLPAKLPGGVQSFPQFSALRFLVVTQKAAFTCIKNLWNRKPLKVYGGRMAESMLAI LCHILRGEPVIRERLSKEKEGSRGEEEAGQEEGGSRREPQVNQQQLQQLMDMGFTREHAM EALLNTSTMEQATEYLLTHPPPIIGGVVRDLSMSEEDQMMRAIAMSLGQDIPMDQRAESP EEVACRKEEEERKAREKQEEEEAKCLEKFQDADPLEQDELHTFTDTMLPGCFHLLDELPD TVYRVCDLIMTAIKRNGADYRDMILKQVVNQVWEAADVLIKAALPLTTSDTKTVSEWISQ MATLPQASNLATRILLLTLLFEELKLPCAWVVESSGILNVLIKLLEVVQPCLQAAKEQKE VQTPKWITPVLLLIDFYEKTAISSKRRAQMTKYLQSNSNNWRWFDDRSGRWCSYSASNNS TIDSAWKSGETSVRFTAGRRRYTVQFTTMVQGATTE >ENSMUSP00000118185.1 pep:known chromosome:GRCm38:X:151864562:151873902:1 gene:ENSMUSG00000025261.17 transcript:ENSMUST00000123306.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Huwe1 description:HECT, UBA and WWE domain containing 1 [Source:MGI Symbol;Acc:MGI:1926884] LLEEICNLGRDPKYICQKPSIQKADGTATAPPPRSNHAAEEASSEDEEEEEVQAMQSFNS AQQNETEPNQQVVGTEERIPIPLMDYILNVMKFVESILSNNTTDDHCQEFVNQKGLLPLV TILGLPNLPIDFPTSAACQAVAGVCKSILV >ENSMUSP00000023924.3 pep:known chromosome:GRCm38:14:8080367:8091834:1 gene:ENSMUSG00000023156.4 transcript:ENSMUST00000023924.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpp14 description:ribonuclease P 14 subunit [Source:MGI Symbol;Acc:MGI:1914303] MPATAYERVVYKSPSEYHYMKVCLEFQEHGVGLNVAQFKQLLVSALRDLFGEVGAALPVD VLTYDEKTLSAILRICSSGLVKLWSSLTLFGAYKSKKCAFRVIQVSPFLLALSGNSREQV LD >ENSMUSP00000136494.1 pep:known chromosome:GRCm38:14:8090078:8090554:1 gene:ENSMUSG00000023156.4 transcript:ENSMUST00000180369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpp14 description:ribonuclease P 14 subunit [Source:MGI Symbol;Acc:MGI:1914303] MWRGLQRRLWQHHVVPTGQCFQYVHTKVGDRAELSRAFTQQDVATFSELTGDANPLHLSE DFAKHSKFGKTIVHGVLINGLISALLGTKMPGPGCVFLSQEIKFPAPLYVGEVVLASAEV KRLKQSVAVVAVSCCVIESKKTVMEGWVKVMVPEAPRS >ENSMUSP00000046380.6 pep:known chromosome:GRCm38:17:35080480:35085610:-1 gene:ENSMUSG00000034923.9 transcript:ENSMUST00000038507.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g6f description:lymphocyte antigen 6 complex, locus G6F [Source:MGI Symbol;Acc:MGI:3616082] MAVVVFLLFLCGHSQAVADSIQTIYVASGESVEMPCPSPPSLLGGQLLTWFRSPVAGSST ILVAQVQVDKPVSDLRKPEPDSRYKLFGNYSLWLEGSRDEDAGRYWCTVMDQNHKYQNWR VYDVSVLKGSQFSVKSPDGPSCAALLCSVVPARRLDSVTWLEGRNTVRGHAQYFWGEGAA LLLVCPTEGLPETRARRPRNIRCLLPQNKRFSFSLAAASAEPSPTVCATLPSWDVPWILV LLFTAGQGVTIIALSIVLWRRRRAQGSRDREPSVPHFKPEVQVYENIHLARLSPPNHKTR >ENSMUSP00000146006.1 pep:known chromosome:GRCm38:7:27857527:27880482:1 gene:ENSMUSG00000020420.8 transcript:ENSMUST00000205715.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp607 description:zinc finger proten 607 [Source:MGI Symbol;Acc:MGI:3584526] MASSSSQHMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRDMMLETYSNLVAVVGRCISK PDLIVLLEQEKEPWMAVKEETDRPSPDLELCYEAENMSPENQTYDINLFKQRIKQFSKTF DLKICSFTSGPNYNTSKGLQSSQEGDDNQKITNKEEIPTHTCQTLAHNTEKAYECKECGK CFGCRSTLTQHQSVHAGEKPYECEECGKAFRLPQQLTRHQKSHSGERPFECNECGKAFHL PDLLKYHKVIHTDEKPFECEVCGKSFKRVSHLVEHRTIHAGVKPYECSECAKAFKRRSYL MQHQKIHSGERPFQCKDCGKSFIVLAQLTRHQNIHTEDKSFECKHCGKIFSCGSFLLRHQ SIHTNEKPFECNVCGKAFRLQVYLSEHRKTHTDERPFECKLCGSAFRRKDQLSEHQKIHT NVKPYQCKECGNLFRRKSSFIEHQSIHSEKKPFNCKDCGKVFRLNTHLMRHQKSHSGERP FECKECGKAFHLPSQLTYHKTVHTNQKPFACEECGKSFKHVSSLIEHRVIHAGLKPYECG ECGKAFNHRSNLKQHQKIHSDERPFECKDCGKGFTVLAQLTRHQSIHTGEKSFECEQCGS SFRLKSQLNQHQRIHTDVKPYQCKECGKGFVRGTALRIHQRIHTGEKPFQCNKCGEAFQY HYQFLAHFRTHTGKKPSECEELWRRP >ENSMUSP00000146261.1 pep:known chromosome:GRCm38:7:27860585:27880825:1 gene:ENSMUSG00000020420.8 transcript:ENSMUST00000205534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp607 description:zinc finger proten 607 [Source:MGI Symbol;Acc:MGI:3584526] MASSSSQHMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRDMMLETYSNLVAVVGRCISK PDLIVLLEQEKEPWMAVKEETDRPSPDLELCYEAENMSPENQTYDINLFKQRIKQFSKTF DLKICSFTSGPNYNTSKGLQSSQEGDDNQKITNKEEIPTHTCQTLAHNTEKAYECKECGK CFGCRSTLTQHQSVHAGEKPYECEECGKAFRLPQQLTRHQKSHSGERPFECNECGKAFHL PDLLKYHKVIHTDEKPFECEVCGKSFKRVSHLVEHRTIHAGVKPYECSECAKAFKRRSYL MQHQKIHSGERPFQCKDCGKSFIVLAQLTRHQNIHTEDKSFECKHCGKIFSCGSFLLRHQ SIHTNEKPFECNVCGKAFRLQVYLSEHRKTHTDERPFECKLCGSAFRRKDQLSEHQKIHT NVKPYQCKECGNLFRRKSSFIEHQSIHSEKKPFNCKDCGKVFRLNTHLMRHQKSHSGERP FECKECGKAFHLPSQLTYHKTVHTNQKPFACEECGKSFKHVSSLIEHRVIHAGLKPYECG ECGKAFNHRSNLKQHQKIHSDERPFECKDCGKGFTVLAQLTRHQSIHTGEKSFECEQCGS SFRLKSQLNQHQRIHTDVKPYQCKECGKGFVRGTALRIHQRIHTGEKPFQCNKCGEAFQY HYQFLAHFRTHTGKKPSECEELWRRP >ENSMUSP00000051496.8 pep:known chromosome:GRCm38:7:27862557:27879567:1 gene:ENSMUSG00000020420.8 transcript:ENSMUST00000053722.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp607 description:zinc finger proten 607 [Source:MGI Symbol;Acc:MGI:3584526] MASSSSQHMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRDMMLETYSNLVAVVGRCISK PDLIVLLEQEKEPWMAVKEETDRPSPDLELCYEAENMSPENQTYDINLFKQRIKQFSKTF DLKICSFTSGPNYNTSKGLQSSQEGDDNQKITNKEEIPTHTCQTLAHNTEKAYECKECGK CFGCRSTLTQHQSVHAGEKPYECEECGKAFRLPQQLTRHQKSHSGERPFECNECGKAFHL PDLLKYHKVIHTDEKPFECEVCGKSFKRVSHLVEHRTIHAGVKPYECSECAKAFKRRSYL MQHQKIHSGERPFQCKDCGKSFIVLAQLTRHQNIHTEDKSFECKHCGKIFSCGSFLLRHQ SIHTNEKPFECNVCGKAFRLQVYLSEHRKTHTDERPFECKLCGSAFRRKDQLSEHQKIHT NVKPYQCKECGNLFRRKSSFIEHQSIHSEKKPFNCKDCGKVFRLNTHLMRHQKSHSGERP FECKECGKAFHLPSQLTYHKTVHTNQKPFACEECGKSFKHVSSLIEHRVIHAGLKPYECG ECGKAFNHRSNLKQHQKIHSDERPFECKDCGKGFTVLAQLTRHQSIHTGEKSFECEQCGS SFRLKSQLNQHQRIHTDVKPYQCKECGKGFVRGTALRIHQRIHTGEKPFQCNKCGEAFQY HYQFLAHFRTHTGKKPSECEELWRRP >ENSMUSP00000092223.3 pep:known chromosome:GRCm38:2:121289600:121310832:1 gene:ENSMUSG00000027254.13 transcript:ENSMUST00000094639.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map1a description:microtubule-associated protein 1 A [Source:MGI Symbol;Acc:MGI:1306776] MATEAGTARPGSVAMETTPELGLQSLGAPPAQNPAEPLCEAGAAVAAARWDLRKYSLLIV IGDIGTESQLRAVRAHLEQGILSWNIDLSSFDLNQQLRLFITRHLAHFSSEVKGQRTLCH QSETLETIILVNPTADSISSEVHHLLSSPSAHKLLILSGQTLEPEGDLILQSGTYSYQNF AQVLHKPEIAQLLSNRDPGIQAFLTVSCLGEGDWSHLGLSSSQETLHLRLNPEPVLPTMD GVAEFSEYVSETVDVPSPFDLLEPPTSGGFLKLSKPCCYIFPGGRGDSALFAVNGFNILV DGGSDRKSCFWKLVRHLDRIDSVLLTHIGADNLPGINGLLQRKVAELEEEQSQGSSSYSD WVKNLISPELGVVFFNVPDKLRLPDASRKAKRSIEEACLTLQHLNRLGIQAEPLYRVVSN TIEPLTLFHKMGVGRLDMYVLNPVKDSKEMQFLMQKWAGNSKAKTGIVLANGKEAEISVP YLTSITALVVWLPANPTEKIVRVLFPGNAPQNKILEGLEKLRHLDFLRYPVATQKDLAAG AVPANLKPSKIKHRADSKESLKAAPKTAMSKLAKREEVLEEGAKEARSELAKELAKSEKK AKEPSEKPPEKPSKPERVRTESSEALKAEKRKLIKDKVGKKHLKEKISKLEEKRDKEKKE IKKERKELKKEEGRKEEKKDAKKDEKRKDTKPELKKFSKPDLKPFTPEVRKTLYKAKAPG RLKVDKGRAARGEKELSSEPRTPPAQKGAAPPPAASGHRELALSSPEDLTQDFEELKREE RGLLAEPRDTELGEKPLPADASEQGRPSTAIQVTQPPASVLEQEQVEREKEVVPDFPEDK GSKNRAPDSGAEVEREKETWEERKPREAELTPENIAAAREESEPEVKEDVIEKAELEEME EVHPSDEEEEETKAESFYQKHMQEALKVIPKGREALGGRELGFQGKAPEKETASFLSSLA TPAGAAEHVSYIQDETIPGYSETEQTISDEEIHDEPDERPAPPRFPTSTYDLSGPEGPGP FEASQSAESAVPASSSKTYGAPETELTYPPNMVAAPLAEEEHVSSATSITECDKLSSFAT SVAEDQSVASLTAPQTEETGKSSLLLDTVTSIPSSRTEATQGLDYVPSAGTISPTSSLEE DKGFKSPPCEDFSVTGESEKKGESVGRGLTGEKAVGKEEKNVTTSEKLSSQYAAVFGAPG HALHPGEPALGEVEERCLSPDDSTVKMASPPPSGPPSAAHTPFHQSPVEEKSEPQDFQED SWGDTKHAPGVSKEDAEEQTVKPGPEEAMSEEGKVPLSRSPQAQDTLGSLAGGQTGCTIQ LLPEQDKAVVFETGEAGAASGAGSLPGEVRTQEPAEPQKDELLGFTDQSFSPEDAESLSV LSVVSPDTAKQEATPRSPCTPKEQQLHKDLWPMVSPEDTQSLSFSEESPSKETSLDISSK QLSPESLGTLQFGELSLGKEEKGPLVKAEDNSCHLAPVSIPEPHTATVSPPTDEAAGEAG LTDESPAGNLPGSSFSHSALSGDRKHSPGEITGPGGHFMTSDSSLTKSPESLSSPAMEDL AMEWGGKAPGSEDRATEQKEKELERKSETLQQKDQILSEKAALVQRDSVMHQKDEALDEE NKPGGQQDKTSEQKGRDLDKKDTAVELGKGPEPKGKDLYLEDQGLAEKDKALEQRGAALQ QTQAPEPRARAQEHRDLEQKDEHLELRDKTPEEKDKVLVLEDRAPEHIIPQPTQTDRAPE HRSKVDKEQKDEASEEKEQVLEQKDWAREKEGAALDQDNRAAGQKDGTLKEDKTQGQKSS FLEDKSTTPKEMTLDQKSPEKAKGVEQQDGAVPEKTRALGLEESPEEEGKAREQEEKYWK EQDVVQGWRETSPTRGEPVPAWEGKSPEQEVRYWRDRDITLQQDAYWKELSCERKVWFPH ELDGQGARPRYSEERESTFLDEGPNEQEITPLQHTPRSPWASDFKDFQEPLPQKGLEVER WLAESPVGLPPEEEDKLTRSPFEIISPPASPPEMTGQRVPSAPGQESPVPDTKSTPPTRN EPTTPSWLAEIPPWVPKDRPLPPAPLSPAPAPPTPAPDPHAPAPFSWGIAEYDSVVAAVQ EGAAELEGGPYSPLGKDYRKAEGEREGEGGAGAPDSSSFSSKVPEVTESHTTRDAEQTEP EQREPTPYPDERSFQYADIYEQMMLTGLGPACPTREPPLGASGDWPPHLSTKEEAAGRNK SAEKELSSAVSPPNLHSDTPTFSYASLAGPTIPPRQEPEPGPNVEPSFTPPAVPPRAPIS LSQDPSPPLNGSTTSCGPDRRTPSPKEAGRSHWDDGTNDSDLEKGAREQPEKETQSPSPH HPMPVGHPSLWPETEAHSSLSSDSHLGPVRPSLDFPASAFGFSSLQPAPPQLPSPAEPRS APCGSLAFSGDRALALVPGTPTRTRHDEYLEVTKAPSLDSSLPQLPSPSSPGAPLLSNLP RPASPALSEGSSSEATTPVISSVAERFPPGLEVAEQSSGELGPGNEPAAHSLWDLTPLSP APLASRDLAPAPAPAPAPSLPGNLGDGTLSCRPECSGELTKKPSPFLSHSGDHEANGPGE TSLNPPGFATATAEKEEAEALHAWERGSWPEGAERSSRPDTLLSSEQRPGKSSGGPPCSL SSEVEAGPQGCATDPRPHCGELSPSFLNPPLPPSTDDSDLSTEEARLAGKGGRRRAGRPG ATGGPCPMADETPPTSASDSGSSQSDSDVPPETEECPSITAEAALDSDEDGDFLPVDKAG GVSGTHHPRPGHDPPPAPLPDPRPPPPRPDVCMADPEGLSSESGRVERLREKVQGRPGRK APGRAKPASPARRLDIRGKRSPTPGKGPVDRTSRALPRPRSTPSQVTSEEKDGHSPMSKG LVNGLKAGSTALGSKGSSGPPVYVDLAYIPNHCSGKTADQDFFRRVRASYYVVSGNDPAN GEPSRAVLDALLEGKAQWGENLQVTLIPTHDTEVTREWYQQTHEQQQQLNVLVLASSSTV VMQDESFPACKIEF >ENSMUSP00000106269.1 pep:known chromosome:GRCm38:2:121295454:121309438:1 gene:ENSMUSG00000027254.13 transcript:ENSMUST00000110639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map1a description:microtubule-associated protein 1 A [Source:MGI Symbol;Acc:MGI:1306776] MDGVAEFSEYVSETVDVPSPFDLLEPPTSGGFLKLSKPCCYIFPGGRGDSALFAVNGFNI LVDGGSDRKSCFWKLVRHLDRIDSVLLTHIGADNLPGINGLLQRKVAELEEEQSQGSSSY SDWVKNLISPELGVVFFNVPDKLRLPDASRKAKRSIEEACLTLQHLNRLGIQAEPLYRVV SNTIEPLTLFHKMGVGRLDMYVLNPVKDSKEMQFLMQKWAGNSKAKTGIVLANGKEAEIS VPYLTSITALVVWLPANPTEKIVRVLFPGNAPQNKILEGLEKLRHLDFLRYPVATQKDLA AGAVPANLKPSKIKHRADSKESLKAAPKTAMSKLAKREEVLEEGAKEARSELAKELAKSE KKAKEPSEKPPEKPSKPERVRTESSEALKAEKRKLIKDKVGKKHLKEKISKLEEKRDKEK KEIKKERKELKKEEGRKEEKKDAKKDEKRKDTKPELKKFSKPDLKPFTPEVRKTLYKAKA PGRLKVDKGRAARGEKELSSEPRTPPAQKGAAPPPAASGHRELALSSPEDLTQDFEELKR EERGLLAEPRDTELGEKPLPADASEQGRPSTAIQVTQPPASVLEQEQVEREKEVVPDFPE DKGSKNRAPDSGAEVEREKETWEERKPREAELTPENIAAAREESEPEVKEDVIEKAELEE MEEVHPSDEEEEETKAESFYQKHMQEALKVIPKGREALGGRELGFQGKAPEKETASFLSS LATPAGAAEHVSYIQDETIPGYSETEQTISDEEIHDEPDERPAPPRFPTSTYDLSGPEGP GPFEASQSAESAVPASSSKTYGAPETELTYPPNMVAAPLAEEEHVSSATSITECDKLSSF ATSVAEDQSVASLTAPQTEETGKSSLLLDTVTSIPSSRTEATQGLDYVPSAGTISPTSSL EEDKGFKSPPCEDFSVTGESEKKGESVGRGLTGEKAVGKEEKNVTTSEKLSSQYAAVFGA PGHALHPGEPALGEVEERCLSPDDSTVKMASPPPSGPPSAAHTPFHQSPVEEKSEPQDFQ EDSWGDTKHAPGVSKEDAEEQTVKPGPEEAMSEEGKVPLSRSPQAQDTLGSLAGGQTGCT IQLLPEQDKAVVFETGEAGAASGAGSLPGEVRTQEPAEPQKDELLGFTDQSFSPEDAESL SVLSVVSPDTAKQEATPRSPCTPKEQQLHKDLWPMVSPEDTQSLSFSEESPSKETSLDIS SKQLSPESLGTLQFGELSLGKEEKGPLVKAEDNSCHLAPVSIPEPHTATVSPPTDEAAGE AGLTDESPAGNLPGSSFSHSALSGDRKHSPGEITGPGGHFMTSDSSLTKSPESLSSPAME DLAMEWGGKAPGSEDRATEQKEKELERKSETLQQKDQILSEKAALVQRDSVMHQKDEALD EENKPGGQQDKTSEQKGRDLDKKDTAVELGKGPEPKGKDLYLEDQGLAEKDKALEQRGAA LQQTQAPEPRARAQEHRDLEQKDEHLELRDKTPEEKDKVLVLEDRAPEHIIPQPTQTDRA PEHRSKVDKEQKDEASEEKEQVLEQKDWAREKEGAALDQDNRAAGQKDGTLKEDKTQGQK SSFLEDKSTTPKEMTLDQKSPEKAKGVEQQDGAVPEKTRALGLEESPEEEGKAREQEEKY WKEQDVVQGWRETSPTRGEPVPAWEGKSPEQEVRYWRDRDITLQQDAYWKELSCERKVWF PHELDGQGARPRYSEERESTFLDEGPNEQEITPLQHTPRSPWASDFKDFQEPLPQKGLEV ERWLAESPVGLPPEEEDKLTRSPFEIISPPASPPEMTGQRVPSAPGQESPVPDTKSTPPT RNEPTTPSWLAEIPPWVPKDRPLPPAPLSPAPAPPTPAPDPHAPAPFSWGIAEYDSVVAA VQEGAAELEGGPYSPLGKDYRKAEGEREGEGGAGAPDSSSFSSKVPEVTESHTTRDAEQT EPEQREPTPYPDERSFQYADIYEQMMLTGLGPACPTREPPLGASGDWPPHLSTKEEAAGR NKSAEKELSSAVSPPNLHSDTPTFSYASLAGPTIPPRQEPEPGPNVEPSFTPPAVPPRAP ISLSQDPSPPLNGSTTSCGPDRRTPSPKEAGRSHWDDGTNDSDLEKGAREQPEKETQSPS PHHPMPVGHPSLWPETEAHSSLSSDSHLGPVRPSLDFPASAFGFSSLQPAPPQLPSPAEP RSAPCGSLAFSGDRALALVPGTPTRTRHDEYLEVTKAPSLDSSLPQLPSPSSPGAPLLSN LPRPASPALSEGSSSEATTPVISSVAERFPPGLEVAEQSSGELGPGNEPAAHSLWDLTPL SPAPLASRDLAPAPAPAPAPSLPGNLGDGTLSCRPECSGELTKKPSPFLSHSGDHEANGP GETSLNPPGFATATAEKEEAEALHAWERGSWPEGAERSSRPDTLLSSEQRPGKSSGGPPC SLSSEVEAGPQGCATDPRPHCGELSPSFLNPPLPPSTDDSDLSTEEARLAGKGGRRRAGR PGATGGPCPMADETPPTSASDSGSSQSDSDVPPETEECPSITAEAALDSDEDGDFLPVDK AGGVSGTHHPRPGHDPPPAPLPDPRPPPPRPDVCMADPEGLSSESGRVERLREKVQGRPG RKAPGRAKPASPARRLDIRGKRSPTPGKGPVDRTSRALPRPRSTPSQVTSEEKDGHSPMS KGLVNGLKAGSTALGSKGSSGPPVYVDLAYIPNHCSGKTADQDFFRRVRASYYVVSGNDP ANGEPSRAVLDALLEGKAQWGENLQVTLIPTHDTEVTREWYQQTHEQQQQLNVLVLASSS TVVMQDESFPACKIEF >ENSMUSP00000113291.1 pep:known chromosome:GRCm38:2:173568666:173578365:-1 gene:ENSMUSG00000027517.13 transcript:ENSMUST00000119453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd60 description:ankyrin repeat domain 60 [Source:MGI Symbol;Acc:MGI:1917315] MTRGMTRAMRRAADVAGAGARPPKRQGDDPKAGARHTQPSSRARAQVRGHTGDPLASTVS PDLTPDVYVLRVKLEETGELFRVANCRNDMTVRELKEELDLMVGIPFNLQRLHFLDRGIL MDDATLKFYDVIPGALISLCIWHYDGWTELVLAAVEGDPSKMSCLGISEDTFYRTANSQH FKGEQWRQWTAQRAFVALYISAHRGHVDAVQYLLGLGANCLAKSPLGRTPLHVVAAMGRT DCVQPLLEHGASIHDRDLKSDTPITIARRLKRGHLEHKMFLLYWMVKSGSRDPDLVGRKA LEKSSPGMATRRSTILIS >ENSMUSP00000104740.1 pep:known chromosome:GRCm38:2:173568704:173578198:-1 gene:ENSMUSG00000027517.13 transcript:ENSMUST00000109112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd60 description:ankyrin repeat domain 60 [Source:MGI Symbol;Acc:MGI:1917315] MDDATLKFYDVIPGALISLCIWHYDGWTELVLAAVEGDPSKMSCLGISEDTFYRTANSQH FKGEQWRQWTAQRAFVALYISAHRGHVDAVQYLLGLGANCLAKSPLGRTPLHVVAAMGRT DCVQPLLEHGASIHDRDLKSDTPITIARRLKRGHLEHKMFLLYWMVKSGSRDPDLVGRKA LEKSSPGMATRRSTILIS >ENSMUSP00000141473.1 pep:known chromosome:GRCm38:15:75909735:75914535:1 gene:ENSMUSG00000103906.1 transcript:ENSMUST00000192937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tigd5 description:tigger transposable element derived 5 [Source:MGI Symbol;Acc:MGI:2145902] MYPASPPAGPALHPVPHRARLPQPRCLAEPPRSPAPGPGSTARPPPPPAPGPRPRVAVKM TFRKAYSIKDKLQAIERVKGGERQASVCRDFGVPGGTLRGWLKDEPKLRWFLDQLGGEVG TQRKKMRLANEEEIDRAVYSWFLTLRQHGVPLSGPVIQAQAEAFARQIYGPECTFKASHG WFWRWQKRHGISSQRIYGEAESPVAGPAPVKEEPAQSPGAVLVPDGAPATLPHSEGGYGD EQIYNANVTGLYWRLLPEQASTPGTGDSKEPGGCSRRWRSDRVTVLLAANLTGSHKLKPL VIGQLPDPPSLRHHNQDKFPASYRYSPDAWLSRPLLRGWFFEEFVPGVKRYLRRSCLQQK AVLLVAHPPCPSWATSMPPLEESEETPRQCQPELLGSPEELQTPDGAVRVLFLSKGTSRA HIPAPLEHGVVAAFKHLYKRELLRLAVSCASGSPLDFMRSFVLKDMLYLAGLSWDLVQAG SIERCWLLGLRAAFEPGQQPAHQVEETAEHSRVLSDLTHLAALAYKRLAPEEVAQWLHLD DDGGLPEGCREEVAPAAPPSPASLPSSIGAGEEEEEATEQGGVLVPTAGEAVWGLETALR WLESQDPREVGPLRLVQLRSLITMARRLGGIGPSAVTSDDGV >ENSMUSP00000110830.1 pep:known chromosome:GRCm38:5:21810655:21861998:-1 gene:ENSMUSG00000029015.9 transcript:ENSMUST00000115176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a5 description:solute carrier family 26, member 5 [Source:MGI Symbol;Acc:MGI:1933154] MDHAEENEIPAETQRYYVERPIFSHPVLQERLHVKDKVTESIGDKLKQAFTCTPKKIRNI IYMFLPITKWLPAYKFKEYVLGDLVSGISTGVLQLPQGPFAVISLMIGGVAVRLVPDDIV IPGGVNATNGTEARDALRVKVAMSVTLLSGIIQFCLGVCRFGFVAIYLTEPLVRGFTTAA AVHVFTSMLKYLFGVKTKRYSGIFSVVYSTVAVLQNVKNLNVCSLGVGLMVFGLLLGGKE FNERFKEKLPAPIPLEFFAVVMGTGISAGFNLHESYSVDVVGTLPLGLLPPANPDTSLFH LVYVDAIAIAIVGFSVTISMAKTLANKHGYQVDGNQELIALGICNSIGSLFQTFSISCSL SRSLVQEGTGGKTQLAGCLASLMILLVILATGFLFESLPQAVLSAIVIVNLKGMFMQFSD LPFFWRTSKIELTIWLTTFVSSLFLGLDYGLITAVIIALLTVIYRTQSPSYKVLGQLPDT DVYIDIDAYEEVKEIPGIKIFQINAPIYYANSDLYSSALKRKTGVNPALIMGARRKAMRK YAKEVGNANVANATVVKVDAEVDGENATKPEEEDDEVKFPPIVIKTTFPEELQRFLPQGE NVHTVILDFTQVNFVDSVGVKTLAGIVKEYGDVGIYVYLAGCSPQVVNDLTRNNFFENPA LKELLFHSIHDAVLGSQVREAMAEQEATASLPQEDMEPNATPTTPEA >ENSMUSP00000030878.1 pep:known chromosome:GRCm38:5:21810655:21865604:-1 gene:ENSMUSG00000029015.9 transcript:ENSMUST00000030878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a5 description:solute carrier family 26, member 5 [Source:MGI Symbol;Acc:MGI:1933154] MDHAEENEIPAETQRYYVERPIFSHPVLQERLHVKDKVTESIGDKLKQAFTCTPKKIRNI IYMFLPITKWLPAYKFKEYVLGDLVSGISTGVLQLPQGLAFAMLAAVPPVFGLYSSFYPV IMYCFFGTSRHISIGPFAVISLMIGGVAVRLVPDDIVIPGGVNATNGTEARDALRVKVAM SVTLLSGIIQFCLGVCRFGFVAIYLTEPLVRGFTTAAAVHVFTSMLKYLFGVKTKRYSGI FSVVYSTVAVLQNVKNLNVCSLGVGLMVFGLLLGGKEFNERFKEKLPAPIPLEFFAVVMG TGISAGFNLHESYSVDVVGTLPLGLLPPANPDTSLFHLVYVDAIAIAIVGFSVTISMAKT LANKHGYQVDGNQELIALGICNSIGSLFQTFSISCSLSRSLVQEGTGGKTQLAGCLASLM ILLVILATGFLFESLPQAVLSAIVIVNLKGMFMQFSDLPFFWRTSKIELTIWLTTFVSSL FLGLDYGLITAVIIALLTVIYRTQSPSYKVLGQLPDTDVYIDIDAYEEVKEIPGIKIFQI NAPIYYANSDLYSSALKRKTGVNPALIMGARRKAMRKYAKEVGNANVANATVVKVDAEVD GENATKPEEEDDEVKFPPIVIKTTFPEELQRFLPQGENVHTVILDFTQVNFVDSVGVKTL AGIVKEYGDVGIYVYLAGCSPQVVNDLTRNNFFENPALKELLFHSIHDAVLGSQVREAMA EQEATASLPQEDMEPNATPTTPEA >ENSMUSP00000118263.1 pep:known chromosome:GRCm38:5:21811018:21847380:-1 gene:ENSMUSG00000029015.9 transcript:ENSMUST00000142888.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc26a5 description:solute carrier family 26, member 5 [Source:MGI Symbol;Acc:MGI:1933154] MDHAEENEIPAETQRYYVERPIFSHPVLQERLHVKDKVTESIGDKLKQAFTCTPKKIRNI IYMFLPITKWLPAYKFKEYVLGDLVSGISTGVLQLPQGLAFAMLAAVPPVFGLYSSFYPV IMYCFFGTSRHISIGPFAVISLMIGGVAVRLVPDDIVIPGGVNATNGTEARDALRVKVAM SVTLLSGIIQFCLGVCRFGFVAIYLTEPLVRGFTTAAAVHVFTSMLKYLFGVKTKRYSGI FSVVYSTVAVLQNVKNLNVCSLGVGLMVFGLLLGGKEFNERFKEKLPAPIPLEFFAVVMG TGISAGFNLHESYSVDVVGTLPLGLLPPANPDTSLFHLVYVDAIAIAIVGFSVTISMAKT LANKHGYQVDGNQELIALGICNSIGSLFQTFSISCSLSRSLVQEGTGGKTQTIWLTTFVS SLFLGLDYGLITAVIIALLTVIYRTQR >ENSMUSP00000118029.1 pep:known chromosome:GRCm38:5:21811149:21847332:-1 gene:ENSMUSG00000029015.9 transcript:ENSMUST00000127975.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a5 description:solute carrier family 26, member 5 [Source:MGI Symbol;Acc:MGI:1933154] MDHAEENEIPAETQRYYVERPIFSHPVLQERLHVKDKVTESIGDKLKQAFTCTPKKIRNI IYMFLPITKWLPAYKFKEYVLGDLVSGISTGVLQLPQGLAFAMLAAVPPVFGLYSSFYPV IMYCFFGTSRHISIGPFAVISLMIGGVAVRLVPDDIVIPGGVNATNGTEARDALRVKVAM SVTLLSGIIQFCLGVCRFGFVAIYLTEPLVRGFTTAAAVHVFTSMLKYLFGVKTKRYSGI FSVVYSTVAVLQNVKNLNVCSLGVGLMVFGLLLGGKEFNERFKEKLPAPIPLEFFAVVMG TGISAGFNLHESYSVDVVGTLPLGLLPPANPDTSLFHLVYVDAIAIAIVGFSVTISMAKT LANKHGYQVDGNQELIALGICNSIGSLFQTFSISCSLSRSLVQEGTGGKTQLAGCLASLM ILLVILATGFLFESLPQTIWLTTFVSSLFLGLDYGLITAVIIALLTVIYRTQSPSYKVLG QLPDTDVYIDIDAYEEVKEIPGIKIFQINAPIYYANSDLYSSALKRKTGVNPALIMGARR KAMRKYAKEVGNANVANATVVKVDAEVDGENATKPEEEDDEVKFPPIVIKTTFPEELQRF LPQGENVHTVILDFTQVNFVDSVGVKTLAGIVKEYGDVGIYVYLAGCSPQVVNDLTRNNF FENPALKELLFHSIHDAVLGSQVREAMAEQEATASLPQEDMEPNATPTTPEA >ENSMUSP00000092344.4 pep:known chromosome:GRCm38:7:19965412:19991104:1 gene:ENSMUSG00000070777.5 transcript:ENSMUST00000094753.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam20 description:carcinoembryonic antigen-related cell adhesion molecule 20 [Source:MGI Symbol;Acc:MGI:1918851] MELAGFHCCSWTVILLSALLPTTWRPPAAAHFIHRADLLSNTQMERAPLAKLTLTVNQST VTEQREMAVFYCNTNADNITIHWVSNNSLLVLNERMKLSADNKTLTILIVQREDSGSYLC EVQHGFEVQRSNTASLTVNYGPDPVSIKLDSGVAAGDVVEVMEGNTVNFRVEAQSSPVPA YAWYLPSDFIQPPTTGTFTIDAVSREHEGMYRCLVSNPVTNLSRLGVVKVQVLEKVTAPN IEFPTLALVENATSVTLTCKTSHQRVGVHWFLKGQPLRPSDRLTLSSQNRTLTIHGLQRD DIGPYECEVWNWGSQARSVPLKLTINYGPDQVEITQGPASGVVSTIEAMLNSSLTLYCRA DSIPGARYQWTHEHSSKVLDGEQLSIEALRQEHQGIYSCTSSNDVTGLARSASVLVMVVG LQSSSMSPGAIAGIVIGILVAIALAIGLGYFLYSTKDRWTRRRSASDTTSSNTIPPTSVM QSTPESRHNKPMTVYDNTPKPEGEARGKKMWSLPRDSPEQFYEKKPPSAAPEGPRKPLPQ IPKQPLMPPGPGRNEESNYEKLLNSNHSLYCKITPSA >ENSMUSP00000147118.1 pep:known chromosome:GRCm38:7:19976283:19990280:1 gene:ENSMUSG00000070777.5 transcript:ENSMUST00000207248.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam20 description:carcinoembryonic antigen-related cell adhesion molecule 20 [Source:MGI Symbol;Acc:MGI:1918851] XCLCPGHGGRTRRRSASDTTSSNTIPPTSVMQSTPESRHNKPMTVYDNTPKPEGEARGKK MWSLPRDSPEQFYEKKPPSAAPEGPRKPLPQIPKQPLMPPGPGRNEESNYEKLLNSNHSL YCKITPSA >ENSMUSP00000122852.1 pep:known chromosome:GRCm38:9:59750896:59928866:1 gene:ENSMUSG00000039585.15 transcript:ENSMUST00000136740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo9a description:myosin IXa [Source:MGI Symbol;Acc:MGI:107735] MNVSDGGRRRFEDNEHTLRIYPGTISEGTIYCPIPARKNSTAAEVIDSLINRLHLDKTKC YVLAEVKEFGGEEWILNPTDCPVQRMMLWPRMALENRLSGEDYRFLLREKNLDGSIHYGS LQSWLRVTEERRRMMERGFLPQPQQKDFDDLCSLPDLNEKTLLENLRNRFKHEKIYTYVG SILIAINPFKFLPIYNPKYVKMYDNHQLGKLEPHIYAVADVAYHAMLQRKKNQCIVISGE SGSGKTQSTNFLIHHLTALSQKGFASGVEQIILGAGPVLEAFGNAKTAHNNNSSRFGKFI QVNYQETGTVLGAYVEKYLLEKSRLVYQEHNERNYHVFYYLLAGASEEERLAFHLKQPEE YHFLNQITKKPLRQSWDDYCYDSEPDCFTVEGEDLRHDFERLQLAMEMVGFLPKTRRQIF SLLSAILHLGNISYKKKTYRDDSIDICNPEVLPIVSELLEVKEEMLFEALVTRKTVTVGE KLILPYKLAEAVTVRNSMAKSLYSALFDWIVFRINHALLNSKDLEQDTKTLSIGVLDIFG FEDYENNSFEQFCINFANERLQHYFNQHIFKLEQEEYRTEGISWHNIDYIDNTCCINLIS KKPTGLLHLLDEESNFPQATNQTLLDKFKHQHEENSYIEFPAVMEPAFIIKHYAGKVKYG VKDFREKNTDHMRPDIVALLRSSRNAFVSGMTGIDPVAVFRWAVLRAFFRAVVAFREAGK RHIQRKSGHDDTTPCAILKSMDSFSFLQHPVHQRSLEILQRCKEEKYSITRKNPRTPLSD LQGMNTLNEKNQHDTFDIAWNVRTGIRQSRLPASNTSLLDKDGIFAHSASSKLLERAHGI LTRNKNFRSKPVLPKHLLEVNSLKHLTRLTLQDRITKSLLHLHKKKKPPSISAQFQASLS KLMETLGQAEPYFVKCIRSNAEKLPLRFSDALVLRQLRYTGMLETVRIRQSGYSSKYSFQ DFVSHFHVLLPQHIIPSKFNIQDFFRKININSDNYQVGKTMVFLKEHERQHLQDLLHQEV LRRIVLLQRWFRVLLSRQQFLHLRQASIIIQRFWRNYLNQKQVRNAAVEKDAFIMASAAS LLQASWRAHLERQRYLELRAAAVIIQQRWRELYRCRHKAATCIQSRWRGYRQRKKYKEQR NKIILLQSIYRGFRARQRCNALKEEKLREAKLEHGLVHVKACGPLEIQGSDPSEWEDRSF DNRVKAIEECKYVIESNRISRESSMDFSKESPDKQQERGRRQSGTDLQEDVIVRQRPKSL EDLHQKKVGRAKRESRRMRELEQAIFSLELLKVRSLGGMSPSEERRWSTELMPEGLQSPH GTPDSESSQGSLELLTCDENQKSKPESLILDEGELKISSPNTFTNPKSQDNALSASSETS STLAGKGASSDSEHLKNGTAKEKLVCSSEPITCKPQLRDSFVSSSLPTFFYIPHQEALKT SSHLDTSIQRNKLPEREAILKTTLTQDINREARKCQFSGDQMTPLNTDSSCTVLKKLEKL NIEKEKRQKQLQQQNEKEMMEQIRQQTDILEKERKAFKTIEQSRTEASVLAPSFYQPRQK VERPCSLYIQNTPSKGEAGVLGSPSAVTKRDAALATKDSPSIHLPPKDRPVTLFFEKKGS PCQSRTVKELPKTERTGTQHDAAYKLSNNRSTERDHFKSTHFYSHRSDDPSREGSSRAIF FTPKDNITPLVHSGNPQAHKQDESAWKPKLAGPGQQEVARPAHKKKARMARTRSDFLTRG TFAEGEGDTEEDDYDDIIEPLLSLDQASHSELGPVSSLGQASHSDSEMTSQRFSSVDEQA KLHKAMSQGEITKLAVRQKASDLDIRPQRAKMRFWAKGKQGEKKTTRVKPASQSEISSFF PGPDVTPAHPFSDELTQYHPTPPLSPELPGSCRKEFKENKEPSPKAKRKRGVKISSVALD SMHWQNDSVQIIASASDLKSMDEFLLKKMNDLDNEDSKKDTLVDVVFKKALKEFRQNIFS SYSSALAMDDGKSIRYKDLYALFEQILEKTMRLEQRDWNESPVRVWVNTFKVFLDEYMNE FKTLDSTAPKVLKTERKKRRKKETDLVEEHNGHIFKATQYSIPTYCEYCSSLIWIMDRAS VCKLCKYACHKKCCLKTTAKCSKKYDPELSSRQFGVELSRLTSEDRAVPLVVEKLINYIE MHGLYTEGIYRKSGSTNKIKELRQGLDTDAESVNLDDYNIHVIASVFKQWLRDLPNPLMT FELYEEFLRAMGLQERKETIRGVYSVIDQLSRTHLNTLERLIFHLVRIALQEDTNRMSAN ALAIVFAPCILRCPDTTDPLQSVQDISKTTTCVELIVVEQMNKYKARLKDISSLEFAENK AKTRLSLIRRSMKPVLIAVRFMSITRSSVSGKGRIHRGNYPSPSSPVIVRLPSMSDVPEE TLSSETAMETDLTDQQQAAMQQEEKVLTEQIENLQKEKEELTFEMLVLEPRASDDETLES EASIGTADSSENLNMDSEERSLALSSLKAAGKSEPSSKSRKQLRKQPDSLDSVSSSVSSC LSNTTSSHGTRKRFQIYSKSPFYRAASACEAQGTEGPLGQAKSLEDRPQFISRGTFNPEK GKQKLKNVKNSPQKTKETPEGTVTSGRKKTVDSDCSSTQQLPLFGNNEFMV >ENSMUSP00000117432.1 pep:known chromosome:GRCm38:9:59750896:59928866:1 gene:ENSMUSG00000039585.15 transcript:ENSMUST00000135298.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo9a description:myosin IXa [Source:MGI Symbol;Acc:MGI:107735] MNVSDGGRRRFEDNEHTLRIYPGTISEGTIYCPIPARKNSTAAEVIDSLINRLHLDKTKC YVLAEVKEFGGEEWILNPTDCPVQRMMLWPRMALENRLSGEDYRFLLREKNLDGSIHYGS LQSWLRVTEERRRMMERGFLPQPQQKDFDDLCSLPDLNEKTLLENLRNRFKHEKIYTYVG SILIAINPFKFLPIYNPKYVKMYDNHQLGKLEPHIYAVADVAYHAMLQRKKNQCIVISGE SGSGKTQSTNFLIHHLTALSQKGFASGVEQIILGAGPVLEAFGNAKTAHNNNSSRFGKFI QVNYQETGTVLGAYVEKYLLEKSRLVYQEHNERNYHVFYYLLAGASEEERLAFHLKQPEE YHFLNQITKKPLRQSWDDYCYDSEPDCFTVEGEDLRHDFERLQLAMEMVGFLPKTRRQIF SLLSAILHLGNISYKKKTYRDDSIDICNPEVLPIVSELLEVKEEMLFEALVTRKTVTVGE KLILPYKLAEAVTVRNSMAKSLYSALFDWIVFRINHALLNSKDLEQDTKTLSIGVLDIFG FEDYENNSFEQFCINFANERLQHYFNQHIFKLEQEEYRTEGISWHNIDYIDNTCCINLIS KKPTGLLHLLDEESNFPQATNQTLLDKFKHQHEENSYIEFPAVMEPAFIIKHYAGKVKYG VKDFREKNTDHMRPDIVALLRSSRNAFVSGMTGIDPVAVFRWAVLRAFFRAVVAFREAGK RHIQRKSGHDDTTPCAILKSMDSFSFLQHPVHQRSLEILQRCKEEKYSITRKNPRTPLSD LQGMNTLNEKNQHDTFDIAWNVRTGIRQSRLPASNTSLLDKDGIFAHSASSKLLERAHGI LTRNKNFRSKPVLPKHLLEVNSLKHLTRLTLQDRITKSLLHLHKKKKPPSISAQFQASLS KLMETLGQAEPYFVKCIRSNAEKLPLRFSDALVLRQLRYTGMLETVRIRQSGYSSKYSFQ DFVSHFHVLLPQHIIPSKFNIQDFFRKININSDNYQVGKTMVFLKEHERQHLQDLLHQEV LRRIVLLQRWFRVLLSRQQFLHLRQASIIIQRFWRNYLNQKQVRNAAVEKDAFIMASAAS LLQASWRAHLERQRYLELRAAAVIIQQRWRELYRCRHKAATCIQSRWRGYRQRKKYKEQR NKIILLQSIYRGFRARQRCNALKEEKLREAKLEHGLVHVKACGPLEIQGSDPSEWEDRSF DNRVKAIEECKYVIESNRISRESSMDFSKESPDKQQERGRRQSGTDLQEDVIVRQRPKSL EDLHQKKVGRAKRESRRMRELEQAIFSLELLKVRSLGGMSPSEERRWSTELMPEGLQSPH GTPDSESSQGSLELLTCDENQKSKPESLILDEGELKISSPNTFTNPKSQDNALSASSETS STLAGKGASSDSEHLKNGTAKEKLVCSSEPITCKPQLRDSFVSSSLPTFFYIPHQEALKT SSHLDTSIQRNKLPEREAILKTTLTQDINREARKCQFSGDQMTPLNTDSSCTVLKKLEKL NIEKEKRQKQLQQQNEKEMMEQIRQQTDILEKERKAFKTIEQSRTEASVLAPSFYQPRQK VERPCSLYIQNTPSKGEAGVLGSPSAVTKRDAALATKDSPSIHLPPKDRPVTLFFEKKGS PCQSRTVKELPKTERTGTQHDAAYKLSNNRSTERDHFKSTHFYSHRSDDPSREGSSRAIF FTPKDNITPLVHSGNPQAHKQDESAWKPKLAGPGQQEVARPAHKKKARMARTRSDFLTRG TFAEGEGDTEEDDYDDIIEPLLSLDQASHSELGPVSSLGQASHSDSEMTSQRFSSVDEQA KLHKAMSQGEITKLAVRQKASDLDIRPQRAKMRFWAKGKQGEKKTTRVKPASQSEISSFF PGPDVTPAHPFSDELTQYHPTPPLSPELPGSCRKEFKENKEPSPKAKRKRGVKISSVALD SMHWQNDSVQIIASASDLKSMDEFLLKKMNDLDNEDSKKDTLVDVVFKKALKEFRQNIFS SYSSALAMDDGKSIRYKDLYALFEQILEKTMRLEQRDWNESPVRVWVNTFKVFLDEYMNE FKTLDSTAPKVLKTERKKRRKKETDLVEEHNGHIFKATQYSIPTYCEYCSSLIWIMDRAS VCKLCKYACHKKCCLKTTAKCSKKYDPELSSRQFGVELSRLTSEDRAVPLVVEKLINYIE MHGLYTEGIYRKSGSTNKIKELRQGLDTDAESVNLDDYNIHVIASVFKQWLRDLPNPLMT FELYEEFLRAMGLQERKETIRGVYSVIDQLSRTHLNTLERLIFHLVRIALQEDTNRMSAN ALAIVFAPCILRCPDTTDPLQSVQDISKTTTCVELIVVEQMNKYKARLKDISSLEFAENK AKTRLSLIRRSMGKGRIHRGNYPSPSSPVIVRLPSMSDVPEETLSSETAMETDLTDQQQA AMQQEEKVLTEQIENLQKEKEELTFEMLVLEPRASDDETLESEASIGTADSSENLNMDSE ERSLALSSLKAAGKSEPSSKSRKQLRKQPDSLDSVSSSVSSCLSNTTSSHGTRKRFQIYS KSPFYRAASACEAQGTEGPLGQAKSLEDRPQFISRGTFNPEKGKQKLKNVKNSPQKTKET PEGTVTSGRKKTVDSDCSSTQQLPLFGNNEFMV >ENSMUSP00000119401.1 pep:known chromosome:GRCm38:9:59750896:59928866:1 gene:ENSMUSG00000039585.15 transcript:ENSMUST00000128341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo9a description:myosin IXa [Source:MGI Symbol;Acc:MGI:107735] MNVSDGGRRRFEDNEHTLRIYPGTISEGTIYCPIPARKNSTAAEVIDSLINRLHLDKTKC YVLAEVKEFGGEEWILNPTDCPVQRMMLWPRMALENRLSGEDYRFLLREKNLDGSIHYGS LQSWLRVTEERRRMMERGFLPQPQQKDFDDLCSLPDLNEKTLLENLRNRFKHEKIYTYVG SILIAINPFKFLPIYNPKYVKMYDNHQLGKLEPHIYAVADVAYHAMLQRKKNQCIVISGE SGSGKTQSTNFLIHHLTALSQKGFASGVEQIILGAGPVLEAFGNAKTAHNNNSSRFGKFI QVNYQETGTVLGAYVEKYLLEKSRLVYQEHNERNYHVFYYLLAGASEEERLAFHLKQPEE YHFLNQITKKPLRQSWDDYCYDSEPDCFTVEGEDLRHDFERLQLAMEMVGFLPKTRRQIF SLLSAILHLGNISYKKKTYRDDSIDICNPEVLPIVSELLEVKEEMLFEALVTRKTVTVGE KLILPYKLAEAVTVRNSMAKSLYSALFDWIVFRINHALLNSKDLEQDTKTLSIGVLDIFG FEDYENNSFEQFCINFANERLQHYFNQHIFKLEQEEYRTEGISWHNIDYIDNTCCINLIS KKPTGLLHLLDEESNFPQATNQTLLDKFKHQHEENSYIEFPAVMEPAFIIKHYAGKVKYG VKDFREKNTDHMRPDIVALLRSSRNAFVSGMTGIDPVAVFRWAVLRAFFRAVVAFREAGK RHIQRKSGHDDTTPCAILKSMDSFSFLQHPVHQRSLEILQRCKEEKYSITRKNPRTPLSD LQGMNTLNEKNQHDTFDIAWNVRTGIRQSRLPASNTSLLDKDGIFAHSASSKLLERAHGI LTRNKNFRSKPVLPKHLLEVNSLKHLTRLTLQDRITKSLLHLHKKKKPPSISAQFQASLS KLMETLGQAEPYFVKCIRSNAEKLPLRFSDALVLRQLRYTGMLETVRIRQSGYSSKYSFQ DFVSHFHVLLPQHIIPSKFNIQDFFRKININSDNYQVGKTMVFLKEHERQHLQDLLHQEV LRRIVLLQRWFRVLLSRQQFLHLRQASIIIQRFWRNYLNQKQVRNAAVEKDAFIMASAAS LLQASWRAHLERQRYLELRAAAVIIQQRWRELYRCRHKAATCIQSRWRGYRQRKKYKEQR NKIILLQSIYRGFRARQRCNALKEEKLREAKLEHGLVHVKACGPLEIQGSDPSEWEDRSF DNRVKAIEECKYVIESNRISRESSMDFSKESPDKQQERGRRQSGTDLQEDVIVRQRPKSL EDLHQKKVGRAKRESRRMRELEQAIFSLELLKVRSLGGMSPSEERRWSTELMPEGLQSPH GTPDSESSQGSLELLTCDENQKSKPESLILDEGELKISSPNTFTNPKSQDNALSASSETS STLAGKGASSDSEHLKNGTAKEKLVCSSEPITCKPQLRDSFVSSSLPTFFYIPHQEALKT SSHLDTSIQRNKLPEREAILKTTLTQDINREARKCQFSGDQMTPLNTDSSCTVLKKLEKL NIEKEKRQKQLQQQNEKEMMEQIRQQTDILEKERKAFKTIEQSRTEASVLAPSFYQPRQK VERPCSLYIQNTPSKGEAGVLGSPSAVTKRDAALATKDSPSIHLPPKDRPVTLFFEKKGS PCQSRTVKELPKTERTGTQHDAAYKLSNNRSTERDHFKSTHFYSHRSDDPSREGSSRAIF FTPKDNITPLVHSGNPQAHKQDESAWKPKLAGPGQQETSQRFSSVDEQAKLHKAMSQGEI TKLAVRQKASDLDIRPQRAKMRFWAKGKQGEKKTTRVKPASQSEISSFFPGPDVTPAHPF SDELTQYHPTPPLSPELPGSCRKEFKENKEPSPKAKRKRGVKISSVALDSMHWQNDSVQI IASASDLKSMDEFLLKKMNDLDNEDSKKDTLVDVVFKKALKEFRQNIFSSYSSALAMDDG KSIRYKDLYALFEQILEKTMRLEQRDWNESPVRVWVNTFKVFLDEYMNEFKTLDSTAPKV LKTERKKRRKKETDLVEEHNGHIFKATQYSIPTYCEYCSSLIWIMDRASVCKLCKYACHK KCCLKTTAKCSKKYDPELSSRQFGVELSRLTSEDRAVPLVVEKLINYIEMHGLYTEGIYR KSGSTNKIKELRQGLDTDAESVNLDDYNIHVIASVFKQWLRDLPNPLMTFELYEEFLRAM GLQERKETIRGVYSVIDQLSRTHLNTLERLIFHLVRIALQEDTNRMSANALAIVFAPCIL RCPDTTDPLQSVQDISKTTTCVELIVVEQMNKYKARLKDISSLEFAENKAKTRLSLIRRS MGKGRIHRGNYPSPSSPVIVRLPSMSDVPEETLSSETAMETDLTDQQQAAMQQEEKVLTE QIENLQKEKEELTFEMLVLEPRASDDETLESEASIGTADSSENLNMDSEERSLALSSLKA AGKSEPSSKSRKQLRKQPDSLDSVSSSVSSCLSNTTSSHGTRKRFQIYSKSPFYRAASAC EAQGTEGPLGQAKSLEDRPQFISRGTFNPEKGKQKLKNVKNSPQKTKETPEGTVTSGRKK TVDSDCSSTQQLPLFGNNEFMV >ENSMUSP00000037921.7 pep:known chromosome:GRCm38:4:11331933:11386679:-1 gene:ENSMUSG00000040728.15 transcript:ENSMUST00000043781.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrp1 description:epithelial splicing regulatory protein 1 [Source:MGI Symbol;Acc:MGI:1917326] MTASPDYLVVLFGITAGATGAKLGSDEKELILLLWKVVDLANKKVGQLHEVLVRPDQLEL TEDCKEETKIDAENLSSAPQLDQALRQFNQSVSNELNIGVGTSFCLCTDGQLHIRQILHP EASKKNVLLPECFYSFFDLRKEFKKCCPGSPDIDKLDVAAMTESLNFEKSDSVSRYGASQ VEDMGNIILAMISEPYNHRFSDPERVNYKFESGTCSKMELIDDSTVVRARGLPWQSSDQD IARFFKGLNIAKGGAALCLNAQGRRNGEALVRFVSEEHRDLALQRHKHHMGTRYIEVYKA TGEDFLKIAGGTSNEVAQFLSKENQVIVRMRGLPFTATAEEVVAFFGQHCPITGGKEGIL FVTYPDGRPTGDAFVLFACEEYAQNALRKHKELLGKRYIELFRSTAAEVQQVLNRFSSAP LIPLPTPPIIPVLPQQFVPPTNVRDCIRLRGLPYAATIEDILDFLGEFSTDIRTHGVHMV LNHQGRPSGDAFIQMKSTDRAFMAAQKYHKKTMKDRYVEVFQCSAEEMNFVLMGGTLNRN GLSPPPCLSPPSYTFPAPTAVIPTEAAIYQPSLLLNPRALQPSTAYYPAGTQLFMNYTAY YPSPPGSPNSLGYFPTAANLSSVPPQPGTVVRMQGLAYNTGVKEILNFFQGYQCLKDVW >ENSMUSP00000103949.1 pep:known chromosome:GRCm38:4:11331933:11386783:-1 gene:ENSMUSG00000040728.15 transcript:ENSMUST00000108313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrp1 description:epithelial splicing regulatory protein 1 [Source:MGI Symbol;Acc:MGI:1917326] MTASPDYLVVLFGITAGATGAKLGSDEKELILLLWKVVDLANKKVGQLHEVLVRPDQLEL TEDCKEETKIDAENLSSAPQLDQALRQFNQSVSNELNIGVGTSFCLCTDGQLHIRQILHP EASKKNVLLPECFYSFFDLRKEFKKCCPGSPDIDKLDVAAMTESLNFEKSDSVSRYGASQ VEDMGNIILAMISEPYNHRFSDPERVNYKFESGTCSKMELIDDSTVVRARGLPWQSSDQD IARFFKGLNIAKGGAALCLNAQGRRNGEALVRFVSEEHRDLALQRHKHHMGTRYIEVYKA TGEDFLKIAGGTSNEVAQFLSKENQVIVRMRGLPFTATAEEVVAFFGQHCPITGGKEGIL FVTYPDGRPTGDAFVLFACEEYAQNALRKHKELLGKRYIELFRSTAAEVQQVLNRFSSAP LIPLPTPPIIPVLPQQFVPPTNVRDCIRLRGLPYAATIEDILDFLGEFSTDIRTHGVHMV LNHQGRPSGDAFIQMKSTDRAFMAAQKYHKKTMKDRYVEVFQCSAEEMNFVLMGGTLNRN GLSPPPCLSPPSYTFPAPTAVIPTEAAIYQPSLLLNPRALQPSTAYYPAGTQLFMNYTAY YPSV >ENSMUSP00000121117.1 pep:known chromosome:GRCm38:4:11333005:11367178:-1 gene:ENSMUSG00000040728.15 transcript:ENSMUST00000147342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrp1 description:epithelial splicing regulatory protein 1 [Source:MGI Symbol;Acc:MGI:1917326] NFEKSDSVSRYGASQVEDMGNIILAMISEPYNHRFSDPERVNYKFESGTCSKMELIDDST VVRARGLPWQSSDQDIARFFKGLNIAKGGAALCLNAQGRRNGEALVRFVSEEHRDLALQR HKHHMGTRYIEVYKATGEDFLKIAGGTSNEVAQFLSKENQVIVRMRGLPFTATAEEVVAF FGQHCPITGGKEGILFVTYPDGRPTGDAFVLFACEEYAQNALRKHKELLGKRYIELFRST AAEVQQVLNRFSSAPLIPLPTPPIIPVLPQQFVPPTNVRDCIRLRGLPYAATIEDILDFL GEFSTDIRTHGVHMVLNHQGRPSGDAFIQMKSTDRAFMAAQKYHKKTMKDRYVEVFQCSA EEMNFVLMGGTLNRNGLSPPPCLSPPSYTFPAPTAVIPTEAAIYQPSLLLNPRALQPSTA YYPAGTQLFMNYTAYYPSMQPRMDLYTQMTRPGLYPKNGFVFKGPSS >ENSMUSP00000103946.1 pep:known chromosome:GRCm38:4:11333005:11386679:-1 gene:ENSMUSG00000040728.15 transcript:ENSMUST00000108310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrp1 description:epithelial splicing regulatory protein 1 [Source:MGI Symbol;Acc:MGI:1917326] MTASPDYLVVLFGITAGATGAKLGSDEKELILLLWKVVDLANKKVGQLHEVLVRPDQLEL TEDCKEETKIDAENLSSAPQLDQALRQFNQSVSNELNIGVGTSFCLCTDGQLHIRQILHP EASKKNVLLPECFYSFFDLRKEFKKCCPGSPDIDKLDVAAMTESLNFEKSDSVSRYGASQ VEDMGNIILAMISEPYNHRFSDPERVNYKFESGTCSKMELIDDSTVVRARGLPWQSSDQD IARFFKGLNIAKGGAALCLNAQGRRNGEALVRFVSEEHRDLALQRHKHHMGTRYIEVYKA TGEDFLKIAGGTSNEVAQFLSKENQVIVRMRGLPFTATAEEVVAFFGQHCPITGGKEGIL FVTYPDGRPTGDAFVLFACEEYAQNALRKHKELLGKRYIELFRSTAAEVQQVLNRFSSAP LIPLPTPPIIPVLPQQFVPPTNVRDCIRLRGLPYAATIEDILDFLGEFSTDIRTHGVHMV LNHQGRPSGDAFIQMKSTDRAFMAAQKYHKKTMKDRYVEVFQCSAEEMNFVLMGGTLNRN GLSPPPCLSPPSYTFPAPTAVIPTEAAIYQPSLLLNPRALQPSTAYYPAGTQLFMNYTAY YPSPPGSPNSLGYFPTAANLSSVPPQPGTVVRMQGLAYNTGVKEILNFFQGYQYATEDGL VHTNDQARTLPKEWVCI >ENSMUSP00000119598.1 pep:known chromosome:GRCm38:4:11357586:11386394:-1 gene:ENSMUSG00000040728.15 transcript:ENSMUST00000155519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrp1 description:epithelial splicing regulatory protein 1 [Source:MGI Symbol;Acc:MGI:1917326] TAGATGAKLGSDEKELILLLWKVVDLANKKVGQLHEVLVRPDQLELTEDCKEETKIDAEN LSSAPQLDQALRQFNQSVSNELNIGVGTSFCLCTDGQLHIRQILHPEASKKNVLLPECFY SFFDLRKEFKKCCPGSPDIDKLDVAAMTESLNFEKSDSVSRYGASQVEDMGNIILAMISE PYNHRFSDPERVNYKFESGTCSKMELIDDSTVVRARGLPWQSSDQDIARFFKGLNIAKGG AALCLNAQGRRNGEALVRFVSEEHRDLALQRHKHHMGTRYIEVYKATGEDFLKIAGGTSN EVAQFLSKENQVIVRMRGLPFTATAEEVVAFFGQHCPITGGKEGILFVTYPDGRPTGDAF VLFACEEYAQNALRKHKELLGKRYIELFRSTAAEVQQVLNRFSSAPLIPLPTPPIIPVLP QQFVPPTNVRDCIRLRGLPYAATIEDILDFLGEFSTDIRTHGVHMVLNHQVRGVIGKCVC SFLRPSAPSSITAQRFTLPL >ENSMUSP00000103947.2 pep:known chromosome:GRCm38:4:11332038:11386783:-1 gene:ENSMUSG00000040728.15 transcript:ENSMUST00000108311.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrp1 description:epithelial splicing regulatory protein 1 [Source:MGI Symbol;Acc:MGI:1917326] MTASPDYLVVLFGITAGATGAKLGSDEKELILLLWKVVDLANKKVGQLHEVLVRPDQLEL TEDCKEETKIDAENLSSAPQLDQALRQFNQSVSNELNIGVGTSFCLCTDGQLHIRQILHP EASKKNVLLPECFYSFFDLRKEFKKCCPGSPDIDKLDVAAMTESLNFEKSDSVSRYGASQ VEDMGNIILAMISEPYNHRFSDPERVNYKFESGTCSKMELIDDSTVVRARGLPWQSSDQD IARFFKGLNIAKGGAALCLNAQGRRNGEALVRFVSEEHRDLALQRHKHHMGTRYIEVYKA TGEDFLKIAGGTSNEVAQFLSKENQVIVRMRGLPFTATAEEVVAFFGQHCPITGGKEGIL FVTYPDGRPTGDAFVLFACEEYAQNALRKHKELLGKRYIELFRSTAAEVQQVLNRFSSAP LIPLPTPPIIPVLPQQFVPPTNVRDCIRLRGLPYAATIEDILDFLGEFSTDIRTHGVHMV LNHQGRPSGDAFIQMKSTDRAFMAAQKYHKKTMKDRYVEVFQCSAEEMNFVLMGGTLNRN GLSPPPCKLPCLSPPSYTFPAPTAVIPTEAAIYQPSLLLNPRALQPSTAYYPAGTQLFMN YTAYYPSPPGSPNSLGYFPTAANLSSVPPQPGTVVRMQGLAYNTGVKEILNFFQGYQYAT EDGLVHTNDQARTLPKEWVCI >ENSMUSP00000030461.4 pep:known chromosome:GRCm38:4:116507552:116538243:1 gene:ENSMUSG00000028696.12 transcript:ENSMUST00000030461.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipp description:IAP promoted placental gene [Source:MGI Symbol;Acc:MGI:96581] MSKEECPKAADNSFSSDKHAQLILAQMNKMRSGQHFCDVQLQVGKETFQVHRLVLAASSP YFAALFTGGMKESSKDVVQILGVEAGIFQLLLDFIYTGVVNIAVTNVQELIVAADMLQLT EVVNLCCDFLKGQIDPQNCIGLFQFSEQIACHDLLEFTENYIHVHFLEVHTGEEFLGLTK DQLIKILRSEELSIEDEYQVFLAAMQWILKDLGKRRKHVVEVLDPVRFPLLPSQRLLKYI EGVSDFNLRVALQTLLKEYCEVCKSPKENKFCSFLQTSKVRPRKKARKYLYAVGGYTRLQ GGRWSDSRALSCVERFDTFSQYWTTVSSLHQARCGLGVAVVGGMVYAIGGEKDSMIFDCT ECYDPVTKQWTTVASMNHPRCGLGVCVCYGAIYALGGWVGAEIGNTIERFDPDENKWEVV GSMAVSRYYFGCCEMQGLIYAVGGISNEGLELRSFEVYDPLSKRWSPLPPMGTRRAYLGV AALNDCIYAIGGWNETQDALHTVEKYSFEEEKWVEVASMKVPRAGMCAVTVNGLLYVSGG RSSSHDFLAPGTLDSVEVYNPHSDTWTEIGNMITSRCEGGVAVL >ENSMUSP00000102088.1 pep:known chromosome:GRCm38:4:116507549:116538235:1 gene:ENSMUSG00000028696.12 transcript:ENSMUST00000106479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipp description:IAP promoted placental gene [Source:MGI Symbol;Acc:MGI:96581] MSKEECPKAADNSFSSDKHAQLILAQMNKMRSGQHFCDVQLQVGKETFQVHRLVLAASSP YFAALFTGGMKESSKDVVQILGVEAGIFQLLLDFIYTGVVNIAVTNVQELIVAADMLQLT EVVNLCCDFLKGQIDPQNCIGLFQFSEQIACHDLLEFTENYIHVHFLEVHTGEEFLGLTK DQLIKILRSEELSIEDEYQVFLAAMQWILKDLGKRRKHVVEVLDPVRFPLLPSQRLLKYI EGVSDFNLRVALQTLLKEYCEVCKSPKENKFCSFLQTSKVRPRKKARKYLYAVGGYTRLQ GGRWSDSRALSCVERFDTFSQYWTTVSSLHQARCGLGVAVVGGMVYAIGGEKDSMIFDCT ECYDPVTKQWTTVASMNHPRCGLGVCVCYGAIYALGGWVGAEIGNTIERFDPDENKWEVV GSMAVSRYYFGCCEMQGLIYAVGGISNEGLELRSFEVYDPLSKRWSPLPPMGTRRAYLGV AALNDCIYAIGGWNETQDALHTVEKYSFEEEKWVEVASMKVPRAGMCAVTVNGLLYVSGG RSSSHDFLAPGTLDSVEVYNPHSDTWTEIGNMITSRCEGGVAVL >ENSMUSP00000110430.1 pep:known chromosome:GRCm38:16:37115268:37384923:-1 gene:ENSMUSG00000022829.14 transcript:ENSMUST00000114782.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp5l description:syntaxin binding protein 5-like [Source:MGI Symbol;Acc:MGI:2443815] MKKFNFRKVLDGLTASSPGSGSSSGSNSGGAGSGSVHPGGTAGLPREEIQESLTSDYFQI CKTVRHGFPYQPTALAFDPVQKILAIGTRTGAIRILGRPGVDCYCQHESGAAVLQLQFLI NEGALVSASSDDTLHLWNLRQKRPAILHSLKFNRERITYCHLPFQSKWLYVGTERGNTHI VNIESFILSGYVIMWNKAIELSTKTHPGPVVHLSDSPRDEGKLLIGYENGTVVFWDLKSK RAELRVYYDEAIHSIDWHHEGKQFMCSHSDGSLTLWNLKSPSRPFQTTVPHGKSQREGRK SESCKPILKVEYKTCRNSEPFIIFSGGLSYDKACRRPSLTIMHGKAITVLEMDHPIVEFL TLCETPYPNEFQEPYAVAVLLEKDLIVVDLTQTNFPIFENPYPMDIHESPVTCTAYFADC PPDLILVLYSIGVKHKKQGYSNKEWPVSGGAWNLGAQTYPEIIITGHADGTIKFWDASAM TLQMLYKLKTSKVFEKQKAGEGKQTCELVEEDPFAVQMIYWCPESRIFCVSGVSAYVIIY KFSRHEVTTEIVSLEVRLQCDVEDIITPEPETSPPFPDLSSQLPPSRSLSGSTNTVSSEG VTKDSIPCLSVKTRPVRMPPGYQADLVIQLVWVDGEPPQQITSLSISSAYGIVAFGNCTG LVVVDFIQKTVLLSMGTIDLYRSSDLYQRQPRSPRKNRQFIAGLTELNDSPVPLELERCK SPTSDHVNGHCTSPTSQSCSSGKRLSSADVSKVNRWGPGRPPFRKAQSAACMEISLPVTT EETRENSYNRSRSSSISSIDKDSKEAITALYFMESFARKNDSTVSPCLFVGTSLGMVVLI SLNLPSSDEQRFTEPVVVLPSGTFLSLKGAVLTFSCMDRTGSLMQPPYEVWRDPNNTDEN EKTWKRKLVMNYSSSSQEMGDHQYTIICSEKQAKVFSLPSQTCLYVHNITETSFILQADV VVMCNSACLACFCANGHIMIMSLPSLRPMLDVNYLPLTDMRIARTFCFTNEGQALYLVSP TEIQRLTYSQEMCDNIQDMLGDLFTPIETPEAQNRGFLKGLFGGSGQTFDREELFGEASA GKASRSLAQHIPGPGSIEGMKGAAGGVMGELTRARIALDERGQRLGELEEKTAGMMTSAE AFSKHAHELMLKYKDKKWYQF >ENSMUSP00000110428.1 pep:known chromosome:GRCm38:16:37115656:37384962:-1 gene:ENSMUSG00000022829.14 transcript:ENSMUST00000114780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp5l description:syntaxin binding protein 5-like [Source:MGI Symbol;Acc:MGI:2443815] MKKFNFRKVLDGLTASSPGSGSSSGSNSGGAGSGSVHPGGTAGLPREEIQESLTSDYFQI CKTVRHGFPYQPTALAFDPVQKILAIGTRTGAIRILGRPGVDCYCQHESGAAVLQLQFLI NEGALVSASSDDTLHLWNLRQKRPAILHSLKFNRERITYCHLPFQSKWLYVGTERGNTHI VNIESFILSGYVIMWNKAIELSTKTHPGPVVHLSDSPRDEGKLLIGYENGTVVFWDLKSK RAELRVYYDEAIHSIDWHHEGKQFMCSHSDGSLTLWNLKSPSRPFQTTVPHGKSQREGRK SESCKPILKVEYKTCRNSEPFIIFSGGLSYDKACRRPSLTIMHGKAITVLEMDHPIVEFL TLCETPYPNEFQEPYAVAVLLEKDLIVVDLTQTNFPIFENPYPMDIHESPVTCTAYFADC PPDLILVLYSIGVKHKKQGYSNKEWPVSGGAWNLGAQTYPEIIITGHADGTIKFWDASAM TLQMLYKLKTSKVFEKQKAGEGKQTCELVEEDPFAVQMIYWCPESRIFCVSGVSAYVIIY KFSRHEVTTEIVSLEVRLQCDVEDIITPEPETSPPFPDLSSQLPPSRSLSGSTNTVSSEG VTKDSIPCLSVKTRPVRMPPGYQADLVIQLVWVDGEPPQQITSLSISSAYGIVAFGNCTG LVVVDFIQKTVLLSMGTIDLYRSSDLYQRQPRSPRKNRQFIAGLTELNDSPVPLELERCK SPTSETRENSYNRSRSSSISSIDKDSKEAITALYFMESFARKNDSTVSPCLFVGTSLGMV VLISLNLPSSDEQRFTEPVVVLPSGTFLSLKGAVLTFSCMDRTGSLMQPPYEVWRDPNNT DENEKTWKRKLVMNYSSSSQEMGDHQYTIICSEKQAKVFSLPSQTCLYVHNITETSFILQ ADVVVMCNSACLACFCANGHIMIMSLPSLRPMLDVNYLPLTDMRIARTFCFTNEGQALYL VSPTEIQRLTYSQEMCDNIQDMLGDLFTPIETPEAQNRGFLKGLFGGSGQTFDREELFGE ASAGKASRSLAQHIPGPGSIEGMKGAAGGVMGELTRARIALDERGQRLGELEEKTAGMMT SAEAFSKHAHELMLKYKDKKWYQF >ENSMUSP00000110429.1 pep:known chromosome:GRCm38:16:37115656:37384958:-1 gene:ENSMUSG00000022829.14 transcript:ENSMUST00000114781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp5l description:syntaxin binding protein 5-like [Source:MGI Symbol;Acc:MGI:2443815] MKKFNFRKVLDGLTASSPGSGSSSGSNSGGAGSGSVHPGGTAGLPREEIQESLTSDYFQI CKTVRHGFPYQPTALAFDPVQKILAIGTRTGAIRILGRPGVDCYCQHESGAAVLQLQFLI NEGALVSASSDDTLHLWNLRQKRPAILHSLKFNRERITYCHLPFQSKWLYVGTERGNTHI VNIESFILSGYVIMWNKAIELSTKTHPGPVVHLSDSPRDEGKLLIGYENGTVVFWDLKSK RAELRVYYDEAIHSIDWHHEGKQFMCSHSDGSLTLWNLKSPSRPFQTTVPHGKSQREGRK SESCKPILKVEYKTCRNSEPFIIFSGGLSYDKACRRPSLTIMHGKAITVLEMDHPIVEFL TLCETPYPNEFQEPYAVAVLLEKDLIVVDLTQTNFPIFENPYPMDIHESPVTCTAYFADC PPDLILVLYSIGVKHKKQGYSNKEWPVSGGAWNLGAQTYPEIIITGHADGTIKFWDASAM TLQMLYKLKTSKVFEKQKAGEGKQTCELVEEDPFAVQMIYWCPESRIFCVSGVSAYVIIY KFSRHEVTTEIVSLEVRLQCDVEDIITPEPETSPPFPDLSSQLPPSRSLSGSTNTVSSEG VTKDSIPCLSVKTRPVRMPPGYQADLVIQLVWVDGEPPQQITSLSISSAYGIVAFGNCTG LVVVDFIQKTVLLSMGTIDLYRSSDLYQRQPRSPRKNRQFIADNFCMRGLSNFYPDLTKR IRTSYQSLTELNDSPVPLELERCKSPTSETRENSYNRSRSSSISSIDKDSKEAITALYFM ESFARKNDSTVSPCLFVGTSLGMVVLISLNLPSSDEQRFTEPVVVLPSGTFLSLKGAVLT FSCMDRTGSLMQPPYEVWRDPNNTDENEKTWKRKLVMNYSSSSQEMGDHQYTIICSEKQA KVFSLPSQTCLYVHNITETSFILQADVVVMCNSACLACFCANGHIMIMSLPSLRPMLDVN YLPLTDMRIARTFCFTNEGQALYLVSPTEIQRLTYSQEMCDNIQDMLGDLFTPIETPEAQ NRGFLKGLFGGSGQTFDREELFGEASAGKASRSLAQHIPGPGSIEGMKGAAGGVMGELTR ARIALDERGQRLGELEEKTAGMMTSAEAFSKHAHELMLKYKDKKWYQF >ENSMUSP00000110423.1 pep:known chromosome:GRCm38:16:37214678:37384923:-1 gene:ENSMUSG00000022829.14 transcript:ENSMUST00000114775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp5l description:syntaxin binding protein 5-like [Source:MGI Symbol;Acc:MGI:2443815] MKKFNFRKVLDGLTASSPGSGSSSGSNSGGAGSGSVHPGGTAGLPREEIQESLTSDYFQI CKTVRHGFPYQPTALAFDPVQKILAIGTRTGAIRILGRPGVDCYCQHESGAAVLQLQFLI NEGALVSASSDDTLHLWNLRQKRPAILHSLKFNRERITYCHLPFQSKWLYVGTERGNTHI VNIESFILSGYVIMWNKAIELSTKTHPGPVVHLSDSPRDEGKLLIGYENGTVVFWDLKSK RAELRVYYDEAIHSIDWHHEGKQFMCSHSDGSLTLWNLKSPSRPFQTTVPHGKSQREGRK SESCKPILKVEYKTCRNSEPFIIFSGGLSYDKACRRPSLTIMHGKAITVLEMDHPIVEFL TLCETPYPNEFQEPYAVAVLLEKDLIVVDLTQTNFPIFENPYPMDIHESPVTCTAYFADC PPDLILVLYSIGVKHKKQGYSNKEWPVSGGAWNLGAQTYPEIIITG >ENSMUSP00000023526.2 pep:known chromosome:GRCm38:16:37133896:37384923:-1 gene:ENSMUSG00000022829.14 transcript:ENSMUST00000023526.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp5l description:syntaxin binding protein 5-like [Source:MGI Symbol;Acc:MGI:2443815] MKKFNFRKVLDGLTASSPGSGSSSGSNSGGAGSGSVHPGGTAGLPREEIQESLTSDYFQI CKTVRHGFPYQPTALAFDPVQKILAIGTRTGAIRILGRPGVDCYCQHESGAAVLQLQFLI NEGALVSASSDDTLHLWNLRQKRPAILHSLKFNRERITYCHLPFQSKWLYVGTERGNTHI VNIESFILSGYVIMWNKAIELSTKTHPGPVVHLSDSPRDEGKLLIGYENGTVVFWDLKSK RAELRVYYDEAIHSIDWHHEGKQFMCSHSDGSLTLWNLKSPSRPFQTTVPHGKSQREGRK SESCKPILKVEYKTCRNSEPFIIFSGGLSYDKACRRPSLTIMHGKAITVLEMDHPIVEFL TLCETPYPNEFQEPYAVAVLLEKDLIVVDLTQTNFPIFENPYPMDIHESPVTCTAYFADC PPDLILVLYSIGVKHKKQGYSNKEWPVSGGAWNLGAQTYPEIIITGHADGTIKFWDASAM TLQMLYKLKTSKVFEKQKAGEGKQTCELVEEDPFAVQMIYWCPESRIFCVSGVSAYVIIY KFSRHEVTTEIVSLEVRLQCDVEDIITPEPETSPPFPDLSSQLPPSRSLSGSTNTVSSEG VTKDSIPCLSVKTRPVRMPPGYQADLVIQLVWVDGEPPQQITSLSISSAYGIVAFGNCTG LVVVDFIQKTVLLSMGTIDLYRSSDLYQRQPRSPRKNRQFIAGLTELNDSPVPLELERCK SPTSDHVNGHCTSPTSQSCSSGKRLSSADVSKVNRWGPGRPPFRKAQSAACMEISLPVTT EETRENSYNRSRSSSISSIDKDSKEAITALYFMESFARKNDSTVSPCLFVGTSLGMVVLI SLNLPSSDEQRFTEPVVVLPSGTFLSLKGAVLTFSCMDRTGSLMQPPYEVWRDPNNTDEN EKTWKRKLVMNYSSSSQEMGDHQYTIICSEKQAKVFSLPSQTCLYVHNITETSFILQADV VVMCNSACLACFCANGHIMIMRYMVYLLLTDNHNNASKVKW >ENSMUSP00000110435.1 pep:known chromosome:GRCm38:16:37114942:37384923:-1 gene:ENSMUSG00000022829.14 transcript:ENSMUST00000114787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp5l description:syntaxin binding protein 5-like [Source:MGI Symbol;Acc:MGI:2443815] MKKFNFRKVLDGLTASSPGSGSSSGSNSGGAGSGSVHPGGTAGLPREEIQESLTSDYFQI CKTVRHGFPYQPTALAFDPVQKILAIGTRTGAIRILGRPGVDCYCQHESGAAVLQLQFLI NEGALVSASSDDTLHLWNLRQKRPAILHSLKFNRERITYCHLPFQSKWLYVGTERGNTHI VNIESFILSGYVIMWNKAIELSTKTHPGPVVHLSDSPRDEGKLLIGYENGTVVFWDLKSK RAELRVYYDEAIHSIDWHHEGKQFMCSHSDGSLTLWNLKSPSRPFQTTVPHGKSQREGRK SESCKPILKVEYKTCRNSEPFIIFSGGLSYDKACRRPSLTIMHGKAITVLEMDHPIVEFL TLCETPYPNEFQEPYAVAVLLEKDLIVVDLTQTNFPIFENPYPMDIHESPVTCTAYFADC PPDLILVLYSIGVKHKKQGYSNKEWPVSGGAWNLGAQTYPEIIITGHADGTIKFWDASAM TLQMLYKLKTSKVFEKQKAGEGKQTCELVEEDPFAVQMIYWCPESRIFCVSGVSAYVIIY KFSRHEVTTEIVSLEVRLQCDVEDIITPEPETSPPFPDLSSQLPPSRSLSGSTNTVSSEG VTKDSIPCLSVKTRPVRMPPGYQADLVIQLVWVDGEPPQQITSLSISSAYGIVAFGNCTG LVVVDFIQKTVLLSMGTIDLYRSSDLYQRQPRSPRKNRQFIADNFCMRGLSNFYPDLTKR IRTSYQSLTELNDSPVPLELERCKSPTSDHVNGHCTSPTSQSCSSGKRLSSADVSKVNRW GPGRPPFRKAQSAACMEISLPVTTEETRENSYNRSRSSSISSIDKDSKEAITALYFMESF ARKNDSTVSPCLFVGTSLGMVVLISLNLPSSDEQRFTEPVVVLPSGTFLSLKGAVLTFSC MDRTGSLMQPPYEVWRDPNNTDENEKTWKRKLVMNYSSSSQEMGDHQYTIICSEKQAKVF SLPSQTCLYVHNITETSFILQADVVVMCNSACLACFCANGHIMIMSLPSLRPMLDVNYLP LTDMRIARTFCFTNEGQALYLVSPTEIQRLTYSQEMCDNIQDMLGDLFTPIETPEAQNRG FLKGLFGGSGQTFDREELFGEASAGKASRSLAQHIPGPGSIEGMKGAAGGVMGELTRARI ALDERGQRLGELEEKTAGMMTSAEAFSKHAHELMLKYKDKKWYQF >ENSMUSP00000138522.1 pep:known chromosome:GRCm38:11:3289281:3309083:1 gene:ENSMUSG00000020453.17 transcript:ENSMUST00000134089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Patz1 description:POZ (BTB) and AT hook containing zinc finger 1 [Source:MGI Symbol;Acc:MGI:1891832] MGLCPACFVETCNASFATRDRLRSHLACHEDKVPCQVCGKYLRAAYMADHLKKHSEGPSN FCSICNRGLQAPGTHPEWGSSVPLRQDLWQQRRPEMLTSGSD >ENSMUSP00000092043.3 pep:known chromosome:GRCm38:11:3289993:3295429:1 gene:ENSMUSG00000020453.17 transcript:ENSMUST00000094471.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Patz1 description:POZ (BTB) and AT hook containing zinc finger 1 [Source:MGI Symbol;Acc:MGI:1891832] MERVNDASCGPSGCYTYQVSRHSTEMLHNLNQQRKNGGRFCDVLLRVGDESFPAHRAVLA ACSEYFESVFSAQLGDGGAADGGPADVGGAAAAPGGGAGGSRELEMHTISSKVFGDILDF AYTSRIVVRLESFPELMTAAKFLLMRSVIEICQEVIKQSNVQILVPPARADIMLFRPPGT SDLGFPLDMTNGAAMAANSNGIAGSMQPEEEAARATGAAIAGQASLPVLPGVDRLPMVAG PLSPQLLTSPFPNVASSAPPLTSKRGRGRPRKANLLDSMFGSPGGLREAGILPCGLCGKV FTDANRLRQHEAQHGVTSLQLGYIDLPPPRLGENGLPISEDPDGPRKRSRTRKQVACEIC GKIFRDVYHLNRHKLSHSGEKPYSCPVCGLRFKRKDRMSYHVRSHDGSVGKPYICQSCGK GFSRPDHLNGHIKQVHTSERPHKCQVWVGSSSGLPPLEPLPSDLPSWDFAQPALWRSSHS VPDTAFSLSLKKSFPALENLSPAHSSNALFCSAPPGYLRQGWTAPEGSLAFTQWPVG >ENSMUSP00000105670.1 pep:known chromosome:GRCm38:11:3289993:3309083:1 gene:ENSMUSG00000020453.17 transcript:ENSMUST00000110043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Patz1 description:POZ (BTB) and AT hook containing zinc finger 1 [Source:MGI Symbol;Acc:MGI:1891832] MERVNDASCGPSGCYTYQVSRHSTEMLHNLNQQRKNGGRFCDVLLRVGDESFPAHRAVLA ACSEYFESVFSAQLGDGGAADGGPADVGGAAAAPGGGAGGSRELEMHTISSKVFGDILDF AYTSRIVVRLESFPELMTAAKFLLMRSVIEICQEVIKQSNVQILVPPARADIMLFRPPGT SDLGFPLDMTNGAAMAANSNGIAGSMQPEEEAARATGAAIAGQASLPVLPGVDRLPMVAG PLSPQLLTSPFPNVASSAPPLTSKRGRGRPRKANLLDSMFGSPGGLREAGILPCGLCGKV FTDANRLRQHEAQHGVTSLQLGYIDLPPPRLGENGLPISEDPDGPRKRSRTRKQVACEIC GKIFRDVYHLNRHKLSHSGEKPYSCPVCGLRFKRKDRMSYHVRSHDGSVGKPYICQSCGK GFSRPDHLNGHIKQVHTSERPHKCQTCNASFATRDRLRSHLACHEDKVPCQVCGKYLRAA YMADHLKKHSEGPSNFCSICNRGFSSASYLKVHVKTHHGVPLPQVSRHQEPILNGGAAFH CARTYGNKEGQKCSHQDLIESSDSYGDLSDASDLKTPEKQSANGSFSCDVAVPKNKMESD GEKKYPCPECGSFFRSKSYLNKHIQKVHVRALGGPLGDLGPALGSPFSPQQNMSLLESFG FQIVQSAFASSLVDPEVDQQPMGPEGK >ENSMUSP00000050684.6 pep:known chromosome:GRCm38:11:3290439:3309083:1 gene:ENSMUSG00000020453.17 transcript:ENSMUST00000057089.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Patz1 description:POZ (BTB) and AT hook containing zinc finger 1 [Source:MGI Symbol;Acc:MGI:1891832] MERVNDASCGPSGCYTYQVSRHSTEMLHNLNQQRKNGGRFCDVLLRVGDESFPAHRAVLA ACSEYFESVFSAQLGDGGAADGGPADVGGAAAAPGGGAGGSRELEMHTISSKVFGDILDF AYTSRIVVRLESFPELMTAAKFLLMRSVIEICQEVIKQSNVQILVPPARADIMLFRPPGT SDLGFPLDMTNGAAMAANSNGIAGSMQPEEEAARATGAAIAGQASLPVLPGVDRLPMVAG PLSPQLLTSPFPNVASSAPPLTSKRGRGRPRKANLLDSMFGSPGGLREAGILPCGLCGKV FTDANRLRQHEAQHGVTSLQLGYIDLPPPRLGENGLPISEDPDGPRKRSRTRKQVACEIC GKIFRDVYHLNRHKLSHSGEKPYSCPVCGLRFKRKDRMSYHVRSHDGSVGKPYICQSCGK GFSRPDHLNGHIKQVHTSERPHKCQTCNASFATRDRLRSHLACHEDKVPCQVCGKYLRAA YMADHLKKHSEGPSNFCSICNREGQKCSHQDLIESSDSYGDLSDASDLKTPEKQSANGSF SCDVAVPKNKMESDGEKKYPCPECGSFFRSKSYLNKHIQKVHVRALGGPLGDLGPALGSP FSPQQNMSLLESFGFQIVQSAFASSLVDPEVDQQPMGPEGK >ENSMUSP00000091103.5 pep:known chromosome:GRCm38:11:3290457:3309083:1 gene:ENSMUSG00000020453.17 transcript:ENSMUST00000093402.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Patz1 description:POZ (BTB) and AT hook containing zinc finger 1 [Source:MGI Symbol;Acc:MGI:1891832] MERVNDASCGPSGCYTYQVSRHSTEMLHNLNQQRKNGGRFCDVLLRVGDESFPAHRAVLA ACSEYFESVFSAQLGDGGAADGGPADVGGAAAAPGGGAGGSRELEMHTISSKVFGDILDF AYTSRIVVRLESFPELMTAAKFLLMRSVIEICQEVIKQSNVQILVPPARADIMLFRPPGT SDLGFPLDMTNGAAMAANSNGIAGSMQPEEEAARATGAAIAGQASLPVLPGVDRLPMVAG PLSPQLLTSPFPNVASSAPPLTSKRGRGRPRKANLLDSMFGSPGGLREAGILPCGLCGKV FTDANRLRQHEAQHGVTSLQLGYIDLPPPRLGENGLPISEDPDGPRKRSRTRKQVACEIC GKIFRDVYHLNRHKLSHSGEKPYSCPVCGLRFKRKDRMSYHVRSHDGSVGKPYICQSCGK GFSRPDHLNGHIKQVHTSERPHKCQTCNASFATRDRLRSHLACHEDKVPCQVCGKYLRAA YMADHLKKHSEGPSNFCSICNRGLQAPGTHPEWGSSVPLRQDLWQQRRPEMLTSGSD >ENSMUSP00000122832.1 pep:known chromosome:GRCm38:11:3298284:3307667:1 gene:ENSMUSG00000020453.17 transcript:ENSMUST00000154319.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Patz1 description:POZ (BTB) and AT hook containing zinc finger 1 [Source:MGI Symbol;Acc:MGI:1891832] MASAPKTCNASFATRDRLRSHLACHEDKVPCQVCGKYLRAAYMADHLKKHSEGPSNFCSI CNREGQKCSH >ENSMUSP00000025581.6 pep:known chromosome:GRCm38:19:11536801:11558467:1 gene:ENSMUSG00000024678.6 transcript:ENSMUST00000025581.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a4d description:membrane-spanning 4-domains, subfamily A, member 4D [Source:MGI Symbol;Acc:MGI:1913857] MQGLAQTTMAVVPGGAPPSENSVIKSQMWNKNKEKFLKGEPKVLGAIQVMIAFINFSLGI IIILNRVSERFMSVLLLAPFWGSIMFIFSGSLSIAAGVKPTKAMIISSLSVNTISSVLAV AASIIGVISVISGVFRQFRSQPAIASLDVLMTILNMLEFCIAVSVSAFGCKASCCNSSEV LVVLPSNSAVTVTAPPMILQPLPPSECQGKNVPENLYRNQPGEIV >ENSMUSP00000115017.1 pep:known chromosome:GRCm38:7:126886171:126891756:-1 gene:ENSMUSG00000060538.14 transcript:ENSMUST00000154174.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem219 description:transmembrane protein 219 [Source:MGI Symbol;Acc:MGI:1915992] XSGVPKVLPSSQPPISCSEEGVGNATLSPVMGEECVRVWSHERLVLTELLTSVQVKFLGP TSEFRRPITKSEELDCGKINGF >ENSMUSP00000113647.1 pep:known chromosome:GRCm38:7:126886218:126897424:-1 gene:ENSMUSG00000060538.14 transcript:ENSMUST00000120007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem219 description:transmembrane protein 219 [Source:MGI Symbol;Acc:MGI:1915992] MGECLLTSRLLSSSPLLSSSRHPTEAQTPLLPMGSCQAGHNLHLCLAHHPPLVCATLILL LLGLSGLGLGGFLLTHTTGLRSPDIPQDWVSFLRSFGQLSLCPMNETVTGTWQGPHVVGL LTTLNFGDGPDRNKTQTFQAKIHGSQIGLTGSSAGESVLVTARVASGRTPGTCLYFSGVP KVLPSSQPPISCSEEGVGNATLSPVMGEECVRVWSHERLVLTELLTSEELALCGSRVLGL GFFLVLLCGLLCCTTAVCFHPRPEFHWSRTRL >ENSMUSP00000112485.1 pep:known chromosome:GRCm38:7:126886220:126898253:-1 gene:ENSMUSG00000060538.14 transcript:ENSMUST00000121532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem219 description:transmembrane protein 219 [Source:MGI Symbol;Acc:MGI:1915992] MGSCQAGHNLHLCLAHHPPLVCATLILLLLGLSGLGLGGFLLTHTTGLRSPDIPQDWVSF LRSFGQLSLCPMNETVTGTWQGPHVVGLLTTLNFGDGPDRNKTQTFQAKIHGSQIGLTGS SAGESVLVTARVASGRTPGTCLYFSGVPKVLPSSQPPISCSEEGVGNATLSPVMGEECVR VWSHERLVLTELLTSEELALCGSRVLGLGFFLVLLCGLLCCTTAVCFHPRPEFHWSRTRL >ENSMUSP00000032926.5 pep:known chromosome:GRCm38:7:126886220:126898276:-1 gene:ENSMUSG00000060538.14 transcript:ENSMUST00000032926.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem219 description:transmembrane protein 219 [Source:MGI Symbol;Acc:MGI:1915992] MGSCQAGHNLHLCLAHHPPLVCATLILLLLGLSGLGLGGFLLTHTTGLRSPDIPQDWVSF LRSFGQLSLCPMNETVTGTWQGPHVVGLLTTLNFGDGPDRNKTQTFQAKIHGSQIGLTGS SAGESVLVTARVASGRTPGTCLYFSGVPKVLPSSQPPISCSEEGVGNATLSPVMGEECVR VWSHERLVLTELLTSEELALCGSRVLGLGFFLVLLCGLLCCTTAVCFHPRPEFHWSRTRL >ENSMUSP00000114040.1 pep:known chromosome:GRCm38:7:126886220:126922915:-1 gene:ENSMUSG00000060538.14 transcript:ENSMUST00000119781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem219 description:transmembrane protein 219 [Source:MGI Symbol;Acc:MGI:1915992] MSPSSWLRALCCFRHPTEAQTPLLPMGSCQAGHNLHLCLAHHPPLVCATLILLLLGLSGL GLGGFLLTHTTGLRSPDIPQDWVSFLRSFGQLSLCPMNETVTGTWQGPHVVGLLTTLNFG DGPDRNKTQTFQAKIHGSQIGLTGSSAGESVLVTARVASGRTPGTCLYFSGVPKVLPSSQ PPISCSEEGVGNATLSPVMGEECVRVWSHERLVLTELLTSEELALCGSRVLGLGFFLVLL CGLLCCTTAVCFHPRPEFHWSRTRL >ENSMUSP00000135259.1 pep:known chromosome:GRCm38:7:126886222:126896876:-1 gene:ENSMUSG00000060538.14 transcript:ENSMUST00000177004.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem219 description:transmembrane protein 219 [Source:MGI Symbol;Acc:MGI:1915992] XTGTWQGPHVVGLLTTLNFGDGPDRNKTQTFQAKIHGSQIGLTGSSAGESVLVTARVASG RTPGTCLYFSGVPKVLPSSQPPISCSEEGVGNATLSPVMGEECVRVWSHERLVLTELLTS VQVKFLGPTSEFRRPITKSEELDCGKINGF >ENSMUSP00000113260.2 pep:known chromosome:GRCm38:7:126889023:126922917:-1 gene:ENSMUSG00000060538.14 transcript:ENSMUST00000121612.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem219 description:transmembrane protein 219 [Source:MGI Symbol;Acc:MGI:1915992] MNETVTGTWQGPHVVGLLTTLNFGDGPDRNKTQTFQAKIHGSQIGLTGSSAGESVLVTAR VASGRTPGTCLYFSGVPKVLPSSQPPISCSEEGVGNATLSPVMGEECVRVWSHERLVLTE LLTSEELALCGSRVLG >ENSMUSP00000116806.1 pep:known chromosome:GRCm38:7:126891603:126922900:-1 gene:ENSMUSG00000060538.14 transcript:ENSMUST00000134134.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem219 description:transmembrane protein 219 [Source:MGI Symbol;Acc:MGI:1915992] MSPSSWLRALCCFSRHPTEAQTPLLPMGSCQAGHNLHLCLAHHPPLVCATLILLLLGLSG LGLGGFLLTHTTGLRSPDIPQDWVSFLRSFGQLSLCPMNETVTGTWQGPHVVGLLTTLNF GDGPDRNKTQTFQAKIHGSQIGLTGSSAGESVLVTARVASGRTPGTCLYFSGVPKVLPSS QPPISCSEEGVGNATLSPVMGEECVRVWSHERLVLTELLTS >ENSMUSP00000117161.1 pep:known chromosome:GRCm38:15:83575119:83595157:-1 gene:ENSMUSG00000016757.10 transcript:ENSMUST00000136066.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll12 description:tubulin tyrosine ligase-like family, member 12 [Source:MGI Symbol;Acc:MGI:3039573] MEIQSGPQPGSPGRAERLNARLLDEFVSLHGPTLRASGVPERLWGRLLHKLEHEHLPHRP CLDVPCGACTQTAAAGTWTPAPDGQPHGHRVPWGSAQPRGCGPSAGGDVEIQPDLSAGPW DSRREGASVVHHGRVWLEDPALRHAQLRHCSLLLHAPAGGIHAAVAPKGPRHRRGGDPGL CLRRGRPSDPEMHAAALGPRRHAGPQLLYA >ENSMUSP00000016901.3 pep:known chromosome:GRCm38:15:83575644:83595157:-1 gene:ENSMUSG00000016757.10 transcript:ENSMUST00000016901.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll12 description:tubulin tyrosine ligase-like family, member 12 [Source:MGI Symbol;Acc:MGI:3039573] MEIQSGPQPGSPGRAERLNARLLDEFVSLHGPTLRASGVPERLWGRLLHKLEHEVFDAGE MFGIMQVEEVEEAEDEAAREAQRKQPNPGGELCYKVIVTSESGVRADDPNSIFLIDHAWT CRVEHARKQLQQVPGLLHRMANLMGIEFHGEVPSPEVVALVLEEMWKFNQTYQLAHGTAE EKVPVWYIMDEFGSRIQHSDMPSFATAPFFYMPQQVAYTLLWPLRDLDTGEEVTRDFAYG EADPLIRKCMLLPWAPADMLDLSFSTPEPPAKYYQAILEENKEKLPLAISPVARPQGHVF RVHCDVQQVLGHLTHPRFTFTDSEADADIFFHFSHFKDYMKLSQESPQVLLNQFPCENLL TVKDCLASIARRAGGPEGPPWLPRTFNLRTELPQFVSYFQHRERRGEDNHWICKPWNLAR SLDTHVTNNLHSIIRHRESTPKVVSKYIESPVLFLREDVGNVKFDIRYIVLLRSVRPLRL FAYDVFWLRFSNRPFALDDLDDYEKHFTVMNYDPDVVLKQVHYNEFIPQFEKQYPEFPWS DVQAEIFKAFTELFQVACAKPPPMGLCDYPSSRAMYAIDLMLNWDNHPDGKRVMQPQILE VNFNPDCERACRYHPSFFNDVFSTLFLDETDNCHVTRII >ENSMUSP00000102346.2 pep:known chromosome:GRCm38:7:109712181:109723786:-1 gene:ENSMUSG00000031022.15 transcript:ENSMUST00000106735.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051019 description:cDNA sequence BC051019 [Source:MGI Symbol;Acc:MGI:1928824] MQPSASPGLPLPKYCSVATTVKAPDLHGAVPPWDMSFTCPFATQAPWLATHCTFTRCTAC CPCLHTVDRPWPGLRWLGRVGAAGGSWVLARKEPDGFYYLAQIKAAPELEKRGALVVEFE APLVTGLELPAQQQRVVFPEDVIQFSPSVPHSLQLGDKVLAPWEPGQQRYGPGTVLVGLK KQKGQRASKEKEITVHFWNGKTTKVPLGSVRWVPPTVWKKAVERLQAPHTRDCHSSCLWV PHCSQLGPRAGCTTHRHPLDSSFLCPPCLSCACCQLQCQSSCPLVGPSWWPLTRTSELTT RKLPEPEVKPTAQLLPLQGPKEEPVAELSYNMFSSSSSSSEEENSESHLEMGLPLRQMVS RAVNTDPILSETTSLQQYSPHKPEWRYWRRNGPEPPPGKPGR >ENSMUSP00000033334.4 pep:known chromosome:GRCm38:7:109712184:109723850:-1 gene:ENSMUSG00000031022.15 transcript:ENSMUST00000033334.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051019 description:cDNA sequence BC051019 [Source:MGI Symbol;Acc:MGI:1928824] MQPSASPGLPLPKYCSVATTVKAPDLHGAVPPWDMSFTCPFATQAPWLATHCTFTRCTAC CPCLHTVDRPWPGLRWLGRVGAAGGSWVLARKEPDGFYYLAQIKAAPELEKRGALVVEFE APLVTGLELPAQQQRVVFPEDVIQFSPSVPHSLQLGDKVLAPWEPGQQRYGPGTVLVGLK KQKGQRASKEKEITVHFWNGKTTKVPLGSVRWVPPTVWKKAVERLQAPHTRDCHSSCLWV PHCSQLGPRAGCTTHRHPLDSSFLCPPCLSCACCQLQCQSSCPLVGPSWWPLTRTSELTT RKLPEPEVKPTAQLLPLQGPKEEPVAELSYNMFSSSSSSSEEENSESHLEMGLPLRQMVS RAVNTDPILSETTSLQQYSPHKPEWRYWRRNGPEPPPGKPGR >ENSMUSP00000115006.2 pep:known chromosome:GRCm38:7:109716097:109721016:-1 gene:ENSMUSG00000031022.15 transcript:ENSMUST00000145211.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051019 description:cDNA sequence BC051019 [Source:MGI Symbol;Acc:MGI:1928824] MAGAPLAGKSRSCGRLLGPGQKGTGWLLLSGSDKGCSRASKEKEITVHFWNGKTTKVPLG SVRWVPPTVWKKAVERLQAPHTRDCHSSCLWVPHCSQLGPRAGCTTHRHPLDSSFLCPPC LSCACCQLQCQSSCPLVGPSWWPLTRTSELTTRKLPEPEVKPTAQLLPL >ENSMUSP00000142209.1 pep:known chromosome:GRCm38:9:109074568:109082378:-1 gene:ENSMUSG00000091537.2 transcript:ENSMUST00000192801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tma7 description:translational machinery associated 7 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913417] MSGREAHKSQSYTQAQLKSLLLHEVCRKEEASTWHPCRR >ENSMUSP00000133026.1 pep:known chromosome:GRCm38:9:109077887:109082587:-1 gene:ENSMUSG00000091537.2 transcript:ENSMUST00000167504.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tma7 description:translational machinery associated 7 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913417] MSGREGGKKKPLKQPKKQAKEMDEEDKAFKQKQKEEQKKLEELKAKAAGKGPLATGGIKK SGKK >ENSMUSP00000049640.3 pep:known chromosome:GRCm38:1:174833785:174921819:-1 gene:ENSMUSG00000050069.3 transcript:ENSMUST00000055294.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grem2 description:gremlin 2, DAN family BMP antagonist [Source:MGI Symbol;Acc:MGI:1344367] MFWKLSLTLLLVAVLVKVAETRKNRPAGAIPSPYKDGSSNNSERWHHQIKEVLASSQEAL VVTERKYLKSDWCKTQPLRQTVSEEGCRSRTILNRFCYGQCNSFYIPRHVKKEEDSFQSC AFCKPQRVTSVIVELECPGLDPPFRIKKIQKVKHCRCMSVNLSDSDKQ >ENSMUSP00000028342.6 pep:known chromosome:GRCm38:2:25271039:25272416:-1 gene:ENSMUSG00000026966.6 transcript:ENSMUST00000028342.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssna1 description:Sjogren's syndrome nuclear autoantigen 1 [Source:MGI Symbol;Acc:MGI:1915725] MTQQGAALQNYNNELVKCIEELCQKREELCRQIQQEEDEKQRLQNEVRQLTEKLARVNEN LARKIASRNEFDRTIAETEAAYLKILESSQTLLSVLKREAGNLTKATASDQKSSGGKDS >ENSMUSP00000105669.1 pep:known chromosome:GRCm38:13:51685529:51701041:-1 gene:ENSMUSG00000051107.4 transcript:ENSMUST00000110042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15440 description:predicted gene 15440 [Source:MGI Symbol;Acc:MGI:3642353] MQTHALPDGRAHALSWLRDTIQETTEYSCSVLSSAGNQTSKVHITVMRHEALQQEKWSQE LATWRAVAGEHDRLMQGWRKAWESCSKDTF >ENSMUSP00000084461.2 pep:known chromosome:GRCm38:17:35916566:35932283:1 gene:ENSMUSG00000039220.16 transcript:ENSMUST00000087211.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r10 description:protein phosphatase 1, regulatory subunit 10 [Source:MGI Symbol;Acc:MGI:1289273] MGSGPIDPKELLKGLDSFLTRDGEVKSVDGISKIFSLMKEARKMVSRCTYLNIILQTRAP EVLVKFIDVGGYKLLNNWLTYSKTTNNIPLLQQILLTLQHLPLTVDHLKQNNTAKLVKQL SKSSEDEELRKLASVLVSDWMAVIRSQSSTQPAEKDKKKRKEEGKSRTTLPERPLTEVKA ETRAEEAPEKKKEKPKSLRTTAPSHAKFRSTGLELDTPSLVPVKKNSSTVVVSDKYNLKP IPLKRQSATAAPGDAAPPAEKKYKPLNTAPNTTKEIKVKIIPPQPMEGLGFLDALNSAPV PGIKIKKKKKVLSPTAAKPSPFEGKTSTEQSTAKPSSPEPAPPAEPMDTDRPGTPVPPVE VPELMDAASSEPGALDAKPVDSPGDPNQLTRKGRKRKTVTWPEEGKLREYFYFELDETER VNVNKIKDFGEAAKREILSDRHAFETARRLSHDNMEEKVPWVCPRPLVLPSPLVIPGSNS QERYIQAEREKGILQELFLNKESPHEPDPEPYEPIPPKLIPLDEECAMDETPYVETLEPG GSGGSPDGAGGSKLPPVLANLMGSMGAGKSPQGPGGGGINVQEILTSIMGSPNSHPSEEL LKQPDYSDKLKQMLVPHGLLGPGPVANGFPPGGPGGPKGMQHFPPGPGGPMPGPHGGPGG PVGPRLLGPPPPSRGGDPFWDGPGDPMRGGPMRGGPGPAPGPYHRGRGGRGGNEPPPPPP FRGARGGRSGGGPPNGRGGPGGGGMVGGGGHRPHEGPGGSMGSGHRSHDGPGGNMGSGHR SHDGPGGNMGGSGGHRSHEGPGHGGPHGHRPHDVPSHRGHDHRGPPPHEHRGHDGHGGGG HRGHDGGHSHGGDMSNRPVCRHFMMKGNCRYENNCAFYHPGVNGPPLP >ENSMUSP00000138094.1 pep:known chromosome:GRCm38:17:35916998:35924295:1 gene:ENSMUSG00000039220.16 transcript:ENSMUST00000151664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r10 description:protein phosphatase 1, regulatory subunit 10 [Source:MGI Symbol;Acc:MGI:1289273] MGSGPIDPKELLKGLDSFLTRDGEVKSVDGISKIFRLSICSSPSLMKEARKMVSRCTYLN IILQTRAPEVLVKFIDVGGYKLLNNWLTYS >ENSMUSP00000084460.6 pep:known chromosome:GRCm38:17:35917196:35932281:1 gene:ENSMUSG00000039220.16 transcript:ENSMUST00000087210.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r10 description:protein phosphatase 1, regulatory subunit 10 [Source:MGI Symbol;Acc:MGI:1289273] MGSGPIDPKELLKGLDSFLTRDGEVKSVDGISKIFSLMKEARKMVSRCTYLNIILQTRAP EVLVKFIDVGGYKLLNNWLTYSKTTNNIPLLQQILLTLQHLPLTVDHLKQNNTAKLVKQL SKSSEDEELRKLASVLVSDWMAVIRSQSSTQPAEKDKKKRKEEGKSRTTLPERPLTEVKA ETRAEEAPEKKKEKPKSLRTTAPSHAKFRSTGLELDTPSLVPVKKNSSTVVVSDKYNLKP IPLKRQSATAAPGDAAPPAEKKYKPLNTAPNTTKEIKVKIIPPQPMEGLGFLDALNSAPV PGIKIKKKKKVLSPTAAKPSPFEGKTSTEQSTAKPSSPEPAPPAEPMDTDRPGTPVPPVE VPELMDAASSEPGALDAKPVDSPGDPNQLTRKGRKRKTVTWPEEGKLREYFYFELDETER VNVNKIKDFGEAAKREILSDRHAFETARRLSHDNMEEKVPWVCPRPLVLPSPLVIPGSNS QERYIQAEREKGILQELFLNKESPHEPDPEPYEPIPPKLIPLDEECAMDETPYVETLEPG GSGGSPDGAGGSKLPPVLANLMGSMGAGKSPQGPGGGGINVQEILTSIMGSPNSHPSEEL LKQPDYSDKLKQMLVPHGLLGPGPVANGFPPGGPGGPKGMQHFPPGPGGPMPGPHGGPGG PVGPRLLGPPPPSRGGDPFWDGPGDPMRGGPMRGGPGPAPGPYHRGRGGRGGNEPPPPPP FRGARGGRSGGGPPNGRGGPGGGGMVGGGGHRPHEGPGGSMGSGHRSHDGPGGNMGSGHR SHDGPGGNMGGSGGHRSHEGPGHGGPHGHRPHDVPSHRGHDHRGPPPHEHRGHDGHGGGG HRGHDGGHSHGGDMSNRPVCRHFMMKGNCRYENNCAFYHPGVNGPPLP >ENSMUSP00000026735.7 pep:known chromosome:GRCm38:9:109082493:109092489:1 gene:ENSMUSG00000025645.8 transcript:ENSMUST00000026735.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc51 description:coiled-coil domain containing 51 [Source:MGI Symbol;Acc:MGI:1913908] MTGCSPVFAMQHVVGVPRILVRRTFLGTDVTMTRTLCSPGPREKRPEAAALGLFHRLPEL GRTLSHTVRHQAASTAKAWWDRYEEFVGLNEVREAQGNVTEAEKVFMVARGLVREAREGL EAQQTKLKEVRDRLDRVSREDNQYLELATLEHRMLQEEKRLRIAYLRAEDSEREKFSLFS AAVRESHEKERTRAERTKNWSLIGSVLGALIGVAGSTYVNRVRLQELKALLLEAQKGPAS LQEAIREQASSYSLQQKDLQDLMMDLRGLVHAEQGQGSGSPTGSSTRGKDIDGLSATMKE QLRHSRQVYSCLEGLREQLDGLEKTCSQMAGVLQLAQAPAHPGTVGPVDGALPSSLLEHG SVILALSEMEQRLEAQANRNTVSSTLVTCVTFLATLPLLYMLFKTS >ENSMUSP00000000193.5 pep:known chromosome:GRCm38:11:82035571:82037453:1 gene:ENSMUSG00000035385.5 transcript:ENSMUST00000000193.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl2 description:chemokine (C-C motif) ligand 2 [Source:MGI Symbol;Acc:MGI:98259] MQVPVMLLGLLFTVAGWSIHVLAQPDAVNAPLTCCYSFTSKMIPMSRLESYKRITSSRCP KEAVVFVTKLKREVCADPKKEWVQTYIKNLDRNQMRSEPTTLFKTASALRSSAPLNVKLT RKSEANASTTFSTTTSSTSVGVTSVTVN >ENSMUSP00000113755.1 pep:known chromosome:GRCm38:18:21550379:21654718:-1 gene:ENSMUSG00000042514.11 transcript:ENSMUST00000122333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl14 description:kelch-like 14 [Source:MGI Symbol;Acc:MGI:1921249] MSRSGDRTSTFDPSHSDNLLHGLNLLWRKQLFCDVTLTAQGQQFHCHKAVLASCSQYFRS LFSSHPPLGGGVGGQDGLGAPKDQQQQQQPQQQPPQQQQPPPQEEPGTPSSSPDDKLLTS PRAINNLVLQGCSSIGLRLVLEYLYTANVTLSLDTVEEVLSVSKILHIPQVTKLCVQFLN DQISVQNYKQVCKIAALHGLEETKKLANKYLVEDVLLLNFEEMRALLDSLPPPVESELAL FQMSVLWLEHDRETRMQYAPDLMKRLRFALIPAPELVERVQSVDFMRTDPVCQKLLLDAM NYHLMPFRQHCRQSLASRIRSNKKMLLLVGGLPPGPDRLPSNLVQYYDDEKKTWKILTIM PYNSAHHCVVEVENFLFVLGGEDQWNPNGKHSTNFVSRYDPRFNSWIQLPPMQERRASFY ACRLDKHLYVIGGRNETGYLSSVECYNLDTNEWRYVSSLPQPLAAHAGAVHNGKIYISGG VHNGEYVPWLYCYDPVMDVWARKQDMNTKRAIHTLAVMNDRLYAIGGNHLKGFSHLDVML VECYDPKGDQWNILQTPILEGRSGPGCAVLDDSIYLVGGYSWSMGAYKSSTICYCPEKGT WTELEGDVAEPLAGPACATVILPACVPYNK >ENSMUSP00000042015.4 pep:known chromosome:GRCm38:18:21550377:21652368:-1 gene:ENSMUSG00000042514.11 transcript:ENSMUST00000049105.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl14 description:kelch-like 14 [Source:MGI Symbol;Acc:MGI:1921249] MSRSGDRTSTFDPSHSDNLLHGLNLLWRKQLFCDVTLTAQGQQFHCHKAVLASCSQYFRS LFSSHPPLGGGVGGQDGLGAPKDQQQQQQPQQQPPQQQQPPPQEEPGTPSSSPDDKLLTS PRAINNLVLQGCSSIGLRLVLEYLYTANVTLSLDTVEEVLSVSKILHIPQVTKLCVQFLN DQISVQNYKQVCKIAALHGLEETKKLANKYLVEDVLLLNFEEMRALLDSLPPPVESELAL FQMSVLWLEHDRETRMQYAPDLMKRLRFALIPAPELVERVQSVDFMRTDPVCQKLLLDAM NYHLMPFRQHCRQSLASRIRSNKKMLLLVGGLPPGPDRLPSNLVQYYDDEKKTWKILTIM PYNSAHHCVVEVENFLFVLGGEDQWNPNGKHSTNFVSRYDPRFNSWIQLPPMQERRASFY ACRLDKHLYVIGGRNETGYLSSVECYNLDTNEWRYVSSLPQPLAAHAGAVHNGKIYISGG VHNGEYVPWLYCYDPVMDVWARKQDMNTKRAIHTLAVMNDRLYAIGGNHLKGFSHLDVML VECYDPKGDQWNILQTPILEGRSGPGCAVLDDSIYLVGGYSWSMGAYKSSTICYCPEKGT WTELEGDVAEPLAGPACATVILPACVPYNK >ENSMUSP00000013845.6 pep:known chromosome:GRCm38:14:32180162:32201898:-1 gene:ENSMUSG00000013701.12 transcript:ENSMUST00000013845.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm23 description:translocase of inner mitochondrial membrane 23 [Source:MGI Symbol;Acc:MGI:1858317] MEGGGGSSNKSTSGLAGFFGAGGAGYSNADLAGVPLTGMNPLSPYLNVDPRYLVQDTDEF ILPTGANKTRGRFELAFFTIGGCCMTGAAFGAMNGLRLGLKETQSMAWSKPRNVQILNMV TRQGALWANTLGSLALLYSAFGVIIEKTRGAEDDLNTVAAGTMTGMLYKCTGGLRGIARG GLAGLTLTSLYALYNNWEHMKGSLLQQSL >ENSMUSP00000126977.1 pep:known chromosome:GRCm38:14:32180291:32201869:-1 gene:ENSMUSG00000013701.12 transcript:ENSMUST00000170331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm23 description:translocase of inner mitochondrial membrane 23 [Source:MGI Symbol;Acc:MGI:1858317] MEGGGGSSNKSTSGLAGFFGAGGAGYSNADLAGVPLTGMNPLSPYLNVDPRYLVQLCSIV LLVLSLRKHGVQKMTSTQ >ENSMUSP00000129688.1 pep:known chromosome:GRCm38:14:32180507:32201846:-1 gene:ENSMUSG00000013701.12 transcript:ENSMUST00000163379.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Timm23 description:translocase of inner mitochondrial membrane 23 [Source:MGI Symbol;Acc:MGI:1858317] MEGGGGSSNKSTSGLAGFFGAGGAGYSNADLAGVPLTGMNPLSPYLNVDPRYLVQGPHSG Q >ENSMUSP00000137019.2 pep:known chromosome:GRCm38:1:116802983:116823410:1 gene:ENSMUSG00000094429.2 transcript:ENSMUST00000179777.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm19965 description:predicted gene, 19965 [Source:MGI Symbol;Acc:MGI:5012150] MSSSKGPLTFMDVAIEFSKEEWECLDSTQRALYRDVMLENYNNLVSVGGAVSKPEVIFCL EQNKETWIIGSEDTEGKESALSCDYTKKLFPKACTQDYFQNKITRRFGCNSLSDLYRNKF WECRGDSTEYEIYDYVNEQLEKHISFISENDQESLTSTERTQSMSHSFSELENSLGKNSQ VLKHNSILHINIQTLQSGIWVNEVCYLMDISHNMRLSRASVLYEQMRECNRENDTECHQG EGYFSQNLWQFPQNLLREKFNDVDQHKNEFMEAMKHGRYDMRGNCKNSKTINDINIDYVR NPFLIYFQRSQYGEILYQGSVLLHDSTCKINPSENQISRYIPEYHYRKHRKMAISNHCFQ SSLQQQTIEKLYKNEKKNVILSEYLIHSWYTRTCVNGDSKRYMCDIYRDASNESLNFQRD QKAYKCSTYGKSFILYSNLQSYYRTRTQEIPYKHNECGKFFTGSSSLKVCHKIFTGERLH KSNKCGKSFTQSTKLQVNQRICIGKKPYKCNECGKYFTRSSNLLVHNRIHKGEKPYKCNK CGKYFTQSSNLKVHYRNHTGEKPYKCNECGKSFTRSSNLKVHYRSHTGEKPYKCNECGKS FKQSSKCQVHYRIRTGEKPYKCNECGKSFTQNIELKVHYRIHTGEKPYKCNECGKSFTQS SNLKVHYRIHTGEKPYKCNECGKSFTRNRELKVHYRIHTERNLTNAMNLANPLHNTKNLK FTV >ENSMUSP00000123020.2 pep:known chromosome:GRCm38:2:119751320:119758525:-1 gene:ENSMUSG00000027297.14 transcript:ENSMUST00000140224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltk description:leukocyte tyrosine kinase [Source:MGI Symbol;Acc:MGI:96840] MDLPTTASPLILMGAVVAALALSLLMMCAVLILVNQKCQGLWGTRLPGPELELSKLRSSA IRTAPNPYYCQVGLSPAQPWPLPPGLTEVSPANVTLLRALGHGAFGEVYEGLVTGLPGDS SPLPVAIKTLPELCSHQDELDFLMEALIISKFSHQNIVRCVGLSFRSAPRLILLELMSGG DMKSFLRHSRPHPGQLAPLTMQDLLQLAQDIAQGCHYLEENHFIHRDIAARNCLLSCSGA SRVAKIGDFGMARDIYQASYYRKGGRTLLPVKWMPPEALLEGLFTSKTDSWSFGVLLWEI FSLGYMPYPGHTNQEVLDFIATGNRMDPPRNCPGPVYRIMTQCWQHQPELRPDFGSILER IQYCTQDPDVLNSPLPVEPGPILEEEEASRLGNRSLEGLRSPKPLELSSQNLKSWGGGLL GSWLPSGLKTLKPRCLQPQNIWNPTYGSWTPRGPQGEDTGIEHCNGSSSSSIPGIQ >ENSMUSP00000080774.6 pep:known chromosome:GRCm38:2:119751320:119760295:-1 gene:ENSMUSG00000027297.14 transcript:ENSMUST00000082130.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltk description:leukocyte tyrosine kinase [Source:MGI Symbol;Acc:MGI:96840] MGCSHRLLLWLGAAGTILCSNSEFQTPFLTPSLLPVLVLNSQEQKVTPTPSKLEPASLPN PLGTRGPWVFNTCGASGRSGPTQTQCDGAYTGSSVMVTVGAAGPLKGVQLWRVPDTGQYL ISAYGAAGGKGAQNHLSRAHGIFLSAVFFLRRGEPVYILVGQQGQDACPGGSPESQLVCL GESGEHATTYGTERIPGWRRWAGGGGGGGGATSIFRLRAGEPEPLLVAAGGGGRSYRRRP DRGRTQAVPERLETRAAAPGSGGRGGAAGGDTSESDLLWADGEDGTSFVHPSGELYLQPL AVTEGHGEVEIRKHPNCSHCPFKDCQWQAELWTAECTCPEGTELAVDNVTCMDLPTTASP LILMGAVVAALALSLLMMCAVLILVNQKCQGLWGTRLPGPELELSKLRSSAIRTAPNPYY CQVGLSPAQPWPLPPGLTEVSPANVTLLRALGHGAFGEVYEGLVTGLPGDSSPLPVAIKT LPELCSHQDELDFLMEALIISKFSHQNIVRCVGLSFRSAPRLILLELMSGGDMKSFLRHS RPHPGQLAPLTMQDLLQLAQDIAQGCHYLEENHFIHRDIAARNCLLSCSGASRVAKIGDF GMARDIYQASYYRKGGRTLLPVKWMPPEALLEGLFTSKTDSWSFGVLLWEIFSLGYMPYP GHTNQEVLDFIATGNRMDPPRNCPGPVYRIMTQCWQHQPELRPDFGSILERIQYCTQDPD VLNSPLPVEPGPILEEEEASRLGNRSLEGLRSPKPLELSSQNLKSWGGGLLGSWLPSGLK TLKPRCLQPQNIWNPTYGSWTPRGPQGEDTGIEHCNGSSSSSIPGIQ >ENSMUSP00000028759.6 pep:known chromosome:GRCm38:2:119751326:119760431:-1 gene:ENSMUSG00000027297.14 transcript:ENSMUST00000028759.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltk description:leukocyte tyrosine kinase [Source:MGI Symbol;Acc:MGI:96840] MGCSHRLLLWLGAAGTILCSNSEFQTPFLTPSLLPVLVLNSQEQKVTPTPSKLEPASLPN PLGTRGPWVFNTCGASGRSGPTQTQCDGAYTGSSVMVTVGAAGPLKGVQLWRVPDTGQYL ISAYGAAGGKGAQNHLSRAHGIFLSAVFFLRRGEPVYILVGQQGQDACPGGSPESQLVCL GESGEHATTYGTERIPGWRRWAGGGGGGGGATSIFRLRAGEPEPLLVAAGGGGRSYRRRP DRGRTQAVPERLETRAAAPGSGGRGGAAGGGSGWTSRAHSPQAGRSPREGAEGGEGCAEA WAALRWAAAGGFGGGGGACAAGGGGGGYRGGDTSESDLLWADGEDGTSFVHPSGELYLQP LAVTEGHGEVEIRKHPNCSHCPFKDCQWQAELWTAECTCPEGTELAVDNVTCMDLPTTAS PLILMGAVVAALALSLLMMCAVLILVNQKCQGLWGTRLPGPELELSKLRSSAIRTAPNPY YCQVGLSPAQPWPLPPGLTEVSPANVTLLRALGHGAFGEVYEGLVTGLPGDSSPLPVAIK TLPELCSHQDELDFLMEALIISKFSHQNIVRCVGLSFRSAPRLILLELMSGGDMKSFLRH SRPHPGQLAPLTMQDLLQLAQDIAQGCHYLEENHFIHRDIAARNCLLSCSGASRVAKIGD FGMARDIYQASYYRKGGRTLLPVKWMPPEALLEGLFTSKTDSWSFGVLLWEIFSLGYMPY PGHTNQEVLDFIATGNRMDPPRNCPGPVYRIMTQCWQHQPELRPDFGSILERIQYCTQDP DVLNSPLPVEPGPILEEEEASRLGNRSLEGLRSPKPLELSSQNLKSWGGGLLGSWLPSGL KTLKPRCLQPQNIWNPTYGSWTPRGPQGEDTGIEHCNGSSSSSIPGIQ >ENSMUSP00000138201.1 pep:known chromosome:GRCm38:2:119751328:119758525:-1 gene:ENSMUSG00000027297.14 transcript:ENSMUST00000182203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltk description:leukocyte tyrosine kinase [Source:MGI Symbol;Acc:MGI:96840] METRAAAPGSGGRGGAAGGDTSESDLLWADGEDGTSFVHPSGELYLQPLAVTEGHGEVEI RKHPNCSHCPFKDCQWQAELWTAECTCPEGTELAVDNVTCMDLPTTASPLILMGAVVAAL ALSLLMMCAVLILVNQKCQGLWGTRLPGPELELSKLRSSAIRTAPNPYYCQVGLSPAQPW PLPPGLTEVSPANVTLLRALGHGAFGEVYEGLVTGLPGDSSPLPVAIKTLPELCSHQDEL DFLMEALIISKFSHQNIVRCVGLSFRSAPRLILLELMSGGDMKSFLRHSRPHPGQLAPLT MQDLLQLAQDIAQGCHYLEENHFIHRDIAARNCLLSCSGASRVAKIGDFGMARDIYQASY YRKGGRTLLPVKWMPPEALLEGLFTSKTDSWSFGVLLWEIFSLGYMPYPGHTNQEVLDFI ATGNRMDPPRNCPGPVYRIMTQCWQHQPELRPDFGSILERIQYCTQDPDVLNSPLPVEPG PILEEEEASRLGNRSLEGLRSPKPLELSSQNLKSWGGGLLGSWLPSGLKTLKPRCLQPQN IWNPTYGSWTPRGPQGEDTGIEHCNGSSSSSIPGIQ >ENSMUSP00000033335.5 pep:known chromosome:GRCm38:7:109703690:109712189:1 gene:ENSMUSG00000031023.5 transcript:ENSMUST00000033335.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akip1 description:A kinase (PRKA) interacting protein 1 [Source:MGI Symbol;Acc:MGI:3041226] MEYCLAAAALNGVDRRSLQRSARLGREVLERAKRRAVDWHSPERSRGNVGVLYRQGPYQE RWSVPGSQRLLGEREERCPTLSSSFGAMAEFMDYTSSQCGKYYLSMPEEGGATHVYRYHR RKPPEMHMYSDTGHSQEQRNCRGETSVGQESIYQTSEHSQESSWPTENISKDLYIEVYPG TYSVTVGSSALSKKTHVVAVDPGQSVDLVFPV >ENSMUSP00000115021.1 pep:known chromosome:GRCm38:7:109703752:109708973:1 gene:ENSMUSG00000031023.5 transcript:ENSMUST00000143581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akip1 description:A kinase (PRKA) interacting protein 1 [Source:MGI Symbol;Acc:MGI:3041226] MCPGRHGILSGGRGAERSGPALAPALCSAGEGSIGARQEEGSGLAFSRAIQRQRGGPLPP GPLPGKMVGPWFPAPPRREKKGAQPLALPSEQWLNSWTILQVSVGNTTCLCQRKEEQPMS TVITEGNLQRCTCTQILGTVRNKETAEARHLLD >ENSMUSP00000077290.3 pep:known chromosome:GRCm38:13:23542970:23543357:-1 gene:ENSMUSG00000064168.4 transcript:ENSMUST00000078156.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bh description:histone cluster 1, H2bh [Source:MGI Symbol;Acc:MGI:2448387] MPEPAKSAPAPKKGSKKALTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000105592.1 pep:known chromosome:GRCm38:15:75969123:75975749:1 gene:ENSMUSG00000034429.14 transcript:ENSMUST00000109966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp707 description:zinc finger protein 707 [Source:MGI Symbol;Acc:MGI:1916270] MRRRLAAAAALGEARRERCFRRGSALPERANGDAPPVPVTFRDVAVYFCREEWECLSPSQ RTLYRNVMLENFRNLNELGCCSRRPGLITRLEQWDEPWVDDWDRSEFPDGQKSFCPGSRK VAHSRIGAGRGAALRKSALTSGKVRLPRATSGRRMDKREAFQNRICGKSHRKQPDLKEQG QSGPEGQPFICGICGKALSCHSRLAAHQTVHTGTRSFECLECGRTFRWASNLLRHQRNHT SEKPFCCELCGQAFSLKDRLAQHRKIHTEHRPYECGDCGKAFKQKSNLLRHKLVHTGEKP FYCDTCGKAFRTKENLSHHQRIHSGEKPYTCGECGKAFRWPKGFSIHQRLHLTKKFYQCE QCGKGFRHLGFFTRHQRTHGRGEV >ENSMUSP00000105593.3 pep:known chromosome:GRCm38:15:75969179:75975746:1 gene:ENSMUSG00000034429.14 transcript:ENSMUST00000109967.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp707 description:zinc finger protein 707 [Source:MGI Symbol;Acc:MGI:1916270] MLLREEWECLSPSQRTLYRNVMLENFRNLNELGCCSRRPGLITRLEQWDEPWVDDWDRSE FPDGQKSFCPGSRKVAHSRIGAGRGAALRKSALTSGKVRLPRATSGRRMDKREAFQNRIC GKSHRKQPDLKEQGQSGPEGQPFICGICGKALSCHSRLAAHQTVHTGTRSFECLECGRTF RWASNLLRHQRNHTSEKPFCCELCGQAFSLKDRLAQHRKIHTEHRPYECGDCGKAFKQKS NLLRHKLVHTGEKPFYCDTCGKAFRTKENLSHHQRIHSGEKPYTCGECGKAFRWPKGFSI HQRLHLTKKFYQCEQCGKGFRHLGFFTRHQRTHGRGEV >ENSMUSP00000119705.1 pep:known chromosome:GRCm38:15:75969307:75975744:1 gene:ENSMUSG00000034429.14 transcript:ENSMUST00000147641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp707 description:zinc finger protein 707 [Source:MGI Symbol;Acc:MGI:1916270] XLAAAAALGEARRERCFRRGSALPERANGDAPPQAILGPSVCQVPVTFRDVAVYFCREEW ECLSPSQRTLYRNVMLENFRNLNELGCCSRRPGLITRLEQWDEPWVDDWDRSEFPDGQKS FCPGSRKVAHSRIGAGRGAALRKSALTSGKVRLPRATSGRRMDKREAFQNRICGKSHRKQ PDLKEQGQSGPEGQPFICGICGKALSCHSRLAAHQTVHTGTRSFECLECGRTFRWASNLL RHQRNHTSEKPFCCELCGQAFSLKDRLAQHRKIHTEHRPYECGDCGKAFKQKSNLLRHKL VHTGEKPFYCDTCGKAFRTKENLSHHQRIHSGEKPYTCGECGKAFRWPKGFSIHQRLHLT KKFYQCEQCGKGFRHLGFFTRHQRTHGRGEV >ENSMUSP00000120565.1 pep:known chromosome:GRCm38:15:75969676:75974765:1 gene:ENSMUSG00000034429.14 transcript:ENSMUST00000145100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp707 description:zinc finger protein 707 [Source:MGI Symbol;Acc:MGI:1916270] XVCGWQSLPRPVFPELRVFQVPVTFRDVAVYFCREEWECLSPSQRTLYRNVMLENFRNLN ELGCCSRRPGLITRLEQWDEPWVDDWDRSEFPDGQKSFCPGSRKVAHSRIGAGRGAALRK SALTSGKVRLPRATSGRRMDKREAFQNRICGKSHRKQPDLKEQGQSGPEGQPFICGICGK ALSCHSRLAAHQTVHTGTR >ENSMUSP00000124528.1 pep:known chromosome:GRCm38:16:26463135:26482765:1 gene:ENSMUSG00000038148.13 transcript:ENSMUST00000161053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn16 description:claudin 16 [Source:MGI Symbol;Acc:MGI:2148742] MKDLLQYAACFLAIFSTGFLIVATWTDCWMVNADDSLEVSTKCRGLWWECVTNAFDGIRT CDEYDSIYAEHPLKLVVTRALMITADILAGFGFITLLLGLDCVKFLPDDPQIKVRLCFVA GTTLLIAGTPGIIGSVWYAVDVYVERSSLVLHNIFLGIQYKFGWSCWLGMAGSLGCFLAG ALLTCCLYLFKDVGPERNYPYAMRKPYSTAGVSMAKSYKAPRTETAKMYAVDTRV >ENSMUSP00000110957.1 pep:known chromosome:GRCm38:16:26463256:26482763:1 gene:ENSMUSG00000038148.13 transcript:ENSMUST00000115302.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn16 description:claudin 16 [Source:MGI Symbol;Acc:MGI:2148742] MKDLLQYAACFLAIFSTGFLIVATWTDCWMVNADDSLEVSTKCRGLWWECVTNAFDGIRT CDEYDSIYAEHPLKLVVTRALMITADILAGFGFITLLLGLDCVKFLPDDPQIKVRLCFVA GTTLLIAGTPGIIGSVWYAVDVYVERSSLVLHNIFLGIQYKFGWSCWLGMAGSLGCFLAG ALLTCCLYLFKDVGPERNYPYAMRKPYSTAGVSMAKSYKAPRTETAKMYAVDTRV >ENSMUSP00000045998.4 pep:known chromosome:GRCm38:5:29569242:29676092:1 gene:ENSMUSG00000039000.8 transcript:ENSMUST00000049453.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3c description:ubiquitin protein ligase E3C [Source:MGI Symbol;Acc:MGI:2140998] MFSFEGDFKTRPKVSLGGASRKEEKASLLHRTQEERRKREEERRRLKNAVIIQSFIRGYR DRKQQYFIQRSAFDQCTDSAQPGGTFCLADGPNLTLLVRQLLFFYKQSEDSKRLIWLYQN LIKHSSLFVKQLDGSERLTCLFQIKRLMSLCCRLLQNCSDDSLNVALPMRMLEVFTSENT YLPVLQDSSYVVSVIEQILHYMVHSGYYRSLYLLINSKLPSSIEYSDLSRVPIAKILLEN VLKPLHFTYSSCPEASRHQVFSAFTEEFLGAPFTDQIFHFVIPAFADAQTVFPYEPFLNA LLLLESQSSKRCSGVPWLFYFVLTVGENYLGALSEDGLLVYLRVLQTFLSQLPASPTGTG CPDSTSDSEDDNEETDQPNSPEDGRVSAPYITEECLRKLDTKQQTNTLLNLVWRDSASEE VFTRMASICHTLMVQHRMMVPKVRLLYSLAFNARFLRHLWFLISSMTTQMITGSMVPLLQ LISRGSPMSFEDSSRIIPLFYLFSSLFSHSLISIHDNEFFGDPIEVVGQRQSSMMPFTLE ELILLSRCLRDACLGIIKLAYPETKPEVREEYVTAFQSIGVTTNSEMQQCIQMEQKRWVQ LFKVITNLVKMLKSRDTRRNFCPPNHWLSEQEDIKADKVTQLYVPASRHVWRFRRMGRIG PLQSTLEVGLESLPLSVSEERQLAILTELPFVVPFEERVKIFQRLIYADKQEVQGDGPFL DGINVTIRRNYIYEDAYDKLSPENEPDLKKRIRVHLLNAHGLDEAGIDGGGIFREFLNEL LKSGFNPNQGFFKTTNEGLLYPNPAAQMLVGDSFARHYYFLGRMLGKALYENMLVELPFA GFFLSKLLGTSADVDIHHLASLDPEVYRNLLFLKSYEEDVEELGLNFTVVNNDLGEAQVV ELKFGGKDIPVTGANRIAYIHLVADYRLNKQIRPHCLAFRQGLANVVSLEWLRMFDQQEI QVLISGAQVPVSLEDLKSFTNYSGGYSADHPVIKIFWRVVEGFTDEEKRKLLKFVTSCSR PPLLGFKELYPAFCIHNGGSDLERLPTASTCMNLLKLPEFYDEALLRSKLLYAIECAAGF ELS >ENSMUSP00000142474.1 pep:known chromosome:GRCm38:5:29569446:29632484:1 gene:ENSMUSG00000039000.8 transcript:ENSMUST00000199032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3c description:ubiquitin protein ligase E3C [Source:MGI Symbol;Acc:MGI:2140998] MFSFEGDFKTRPKVSLGGASRKEEKASLLHRTQEERRKREEERRRLKNAVIIQSFIRGYR DRKQQYFIQRSAFDQCTDSAQPGGTFCLADGPNLTLLVRQLLFFYKQSEDSKRLIWLYQN LIKHSSLFVKQLDGSERLTCLFQIKRLMSLCCRLLQNCSDDSLNVALPMRMLEVFTSENT YLPVLQDSSYVVSVIEQILHYMVHSGYYRSLYLLINSKLPSSIEYSDLSRVPIAKILLEN VLKPLHFTYSSCPEASRHQVFSAFTEEFLGAPFTDQIFHFVIPAFADAQTVFPYEPFLNA LLLLESQSSKRCSGVPWLFYFVLTVGENYLGALSEDGLLVYLRVLQTFLSQLPASPTGTG CPDSTSDSEDDNEETDQPNSPEDGRVSAPYITEECLRKLDTKQQTNTLLNLVWRDSASEE VFTRMASICHTLMVQHRMMVPKVRLLYSLAFNARFLRHLWFLISSMTTQMITGSMVPLLQ LISRGSPMSFEDSSRIIPLFYLFSSLFSHSLISIHDNEFFGDPIEVVGQRQSSMMPFTLE ELILLSRCLRDACLGIIKLAYPETKPEVREEYVTAFQSIGVTTNSEMQQCIQMEQKRWVQ LFKVITNLVKMLKSRDTRRNFCPPNHWLSEQEDIKADKVSGKSP >ENSMUSP00000021011.2 pep:known chromosome:GRCm38:11:82045712:82047525:1 gene:ENSMUSG00000035373.2 transcript:ENSMUST00000021011.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl7 description:chemokine (C-C motif) ligand 7 [Source:MGI Symbol;Acc:MGI:99512] MRISATLLCLLLIAAAFSIQVWAQPDGPNASTCCYVKKQKIPKRNLKSYRRITSSRCPWE AVIFKTKKGMEVCAEAHQKWVEEAIAYLDMKTPTPKP >ENSMUSP00000057632.3 pep:known chromosome:GRCm38:2:121310561:121351017:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000052029.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] MWSLTANEDESTTAHFFLGAGDEGLGTCGIGMRTEESDSELLEDEEDEVPPEPQIIVGIC AMTKKSKSKPMTQILERLCRFDYLTVVILGEDVILNEPVENWPSCHCLISFHSKGFPLDK AVAYSKLRNPFLINDLAMQYYIQDRREVYRILQEEGIDLPRYAVLNRDPACPEECSLIEG EDQVEVNGAVFPKPFVEKPVSAEDHNVYIYYPSSAGGGSQRLFRKIGSRSSVYSPESSVR KTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVMLTAM EKLVARKVCVAFKQTVCGFDLLRANGHSFVCDVNGFSFVKNSMKYYDDCAKILGNTIMRE LAPQFQIPWSIPTEAEDIPIVPTTSGTMMELRCVIAIIRHGDRTPKQKMKMEVTHPRFFA LFEKHGGYKTGKLKLKRPEQLQEVLDITRLLLAELEKEPEAEIEEKTGKLEQLKSVLEMY GHFSGINRKVQLTYYPHGVKASNEGQDLQREPLAPSLLLVLKWGGELTPDGRVQAEELGR AFRCMYPGGQGDYAGFPGCGLLRLHSTFRHDLKIYASDEGRVQMTAAAFAKGLLALEGEL TPILVQMVKSANMNGLLDSDSDSLSSCQHRVKARLHHILQQDAPFGPEDYDQLAPTGSTS LLNSMSVIQNPVKVCDQVFALIENLTHQIRERMQDPSSVDLQLYHSETLELMLQRWSKLE RDFRQKSGRYDISKIPDIYDCVKYDVQHNGSLGLQGTAELLRLSKALADVVIPQEYGISR EEKVEIAVGFCLPLLRKILLDLQRTHEDESVNKLHPLYSRGVLSPGRHVRTRLYFTSESH VHSLLSVFRYGGLLDETQDAQWQRALAYLSAISELNYMTQIVIMLYEDNTRDPLSEERFH VELHFSPGVKGVEEGSAPAGCGFRPASSENEEMKTDPGSIENLCPGKASDEPDRALQTSP QPVEGTGLPRRSPLIRNRKAGSMEVLSETSSSRPGGYRLFSSSRPPTEMKQSGLGSQCTG LFSTTVLGGSSSAPNLQDYARTHGKKLPPASLKHRDELLFVPAVKRFSVSFAKHPTNGFE GCSMVPTIYPLETLHNALSLRQVSEFLTKVCQRHTDAHAQASAALFDSMHNHQASDSPFS PPRTLHSPPLQLRHRSEKPPWYSSGPSSTVSSAGPSSPTTVDGNSHFGFSDQSSVNIHMT EEKQGFGLLQETPGDGTRELHIERQQELVEPAQSPQELPVEICPSGSQGVTKVSQTCQEV PDIVQPCHNIHEEIGQPQQEVPDISQLLLKDHDTTTNTCHLCQASQLSQKVCEEICQLCQ DNHEESNQLCQEVSVKLGRMVHGFPVNVDSTAQETLMEIGRPTQEIPEDPYQEFSVKVGV LAQKAPAISELSQDIPEADKPSQELSEETELQAQEVSEEIDQESEVVDELPPEAIS >ENSMUSP00000106258.1 pep:known chromosome:GRCm38:2:121310561:121355330:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000110628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] MWSLTANEDESTTAHFFLGAGDEGLGTCGIGMRTEESDSELLEDEEDEVPPEPQIIVGIC AMTKKSKSKPMTQILERLCRFDYLTVVILGEDVILNEPVENWPSCHCLISFHSKGFPLDK AVAYSKLRNPFLINDLAMQYYIQDRREVYRILQEEGIDLPRYAVLNRDPACPEECSLIEG EDQVEVNGAVFPKPFVEKPVSAEDHNVYIYYPSSAGGGSQRLFRKIGSRSSVYSPESSVR KTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVMLTAM EKLVARKVCVAFKQTVCGFDLLRANGHSFVCDVNGFSFVKNSMKYYDDCAKILGNTIMRE LAPQFQIPWSIPTEAEDIPIVPTTSGTMMELRCVIAIIRHGDRTPKQKMKMEVTHPRFFA LFEKHGGYKTGKLKLKRPEQLQEVLDITRLLLAELEKEPEAEIEEKTGKLEQLKSVLEMY GHFSGINRKVQLTYYPHGVKASNEGQDLQREPLAPSLLLVLKWGGELTPDGRVQAEELGR AFRCMYPGGQGDYAGFPGCGLLRLHSTFRHDLKIYASDEGRVQMTAAAFAKGLLALEGEL TPILVQMVKSANMNGLLDSDSDSLSSCQHRVKARLHHILQQDAPFGPEDYDQLAPTGSTS LLNSMSVIQNPVKVCDQVFALIENLTHQIRERMQDPSSVDLQLYHSETLELMLQRWSKLE RDFRQKSGRYDISKIPDIYDCVKYDVQHNGSLGLQGTAELLRLSKALADVVIPQEYGISR EEKVEIAVGFCLPLLRKILLDLQRTHEDESVNKLHPLESHVHSLLSVFRYGGLLDETQDA QWQRALAYLSAISELNYMTQIVIMLYEDNTRDPLSEERFHVELHFSPGVKGVEEGSAPAG CGFRPASSENEEMKTDPGSIENLCPGKASDEPDRALQTSPQPVEGTGLPRRSPLIRNRKA GSMEVLSETSSSRPGGYRLFSSSRPPTEMKQSGLGSQCTGLFSTTVLGGSSSAPNLQDYA RTHGKKLPPASLKHRDELLFVPAVKRFSVSFAKHPTNGFEGCSMVPTIYPLETLHNALSL RQVSEFLTKVCQRHTDAHAQASAALFDSMHNHQASDSPFSPPRTLHSPPLQLRHRSEKPP WYSSGPSSTVSSAGPSSPTTVDGNSHFGFSDQSSVNIHMTEEKQGFGLLQETPGDGTREL HIERQQELVEPAQSPQELPVEICPSGSQGVTKVSQTCQEVPDIVQPCHNIHEEIGQPQQE VPDISQLLLKDHDTTTNTCHLCQASQLSQKVCEEICQLCQDNHEESNQLCQEVSVKLGRM VHGFPVNVDSTAQETLMEIGRPTQEIPEDPYQEFSVKVGVLAQKAPAISELSQDIPEADK PSQELSEETELQAQEVSEEIDQESEVVDELPPEAIS >ENSMUSP00000106257.1 pep:known chromosome:GRCm38:2:121310561:121355333:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000110627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] MWSLTANEDESTTAHFFLGAGDEGLGTCGIGMRTEESDSELLEDEEDEVPPEPQIIVGIC AMTKKSKSKPMTQILERLCRFDYLTVVILGEDVILNEPVENWPSCHCLISFHSKGFPLDK AVAYSKLRNPFLINDLAMQYYIQDRREVYRILQEEGIDLPRYAVLNRDPACPEECSLIEG EDQVEVNGAVFPKPFVEKPVSAEDHNVYIYYPSSAGGGSQRLFRKIGSRSSVYSPESSVR KTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVMLTAM EKLVARKVCVAFKQTVCGFDLLRANGHSFVCDVNGFSFVKNSMKYYDDCAKILGNTIMRE LAPQFQIPWSIPTEAEDIPIVPTTSGTMMELRCVIAIIRHGDRTPKQKMKMEVTHPRFFA LFEKHGGYKTGKLKLKRPEQLQEVLDITRLLLAELEKEPEAEIEEKTGKLEQLKSVLEMY GHFSGINRKVQLTYYPHGVKASNEGQDLQREPLAPSLLLVLKWGGELTPDGRVQAEELGR AFRCMYPGGQGDYAGFPGCGLLRLHSTFRHDLKIYASDEGRVQMTAAAFAKGLLALEGEL TPILVQMVKSANMNGLLDSDSDSLSSCQHRVKARLHHILQQDAPFGPEDYDQLAPTGSTS LLNSMSVIQNPVKVCDQVFALIENLTHQIRERMQDPSSVDLQLYHSETLELMLQRWSKLE RDFRQKSGRYDISKIPDIYDCVKYDVQHNGSLGLQGTAELLRLSKALADVVIPQEYGISR EEKVEIAVGFCLPLLRKILLDLQRTHEDESVNKLHPLYSRGVLSPGRHVRTRLYFTSESH VHSLLSVFRYGGLLDETQDAQWQRALAYLSAISELNYMTQIVIMLYEDNTRDPLSEERFH VELHFSPGVKGVEEGSAPAGCGFRPASSENEEMKTDPGSIENLCPGKASDEPDRALQTSP QPVEGTGLPRRSPLIRNRKAGSMEVLSETSSSRPGGYRLFSSSRPPTEMKQSGLGSQCTG LFSTTVLGGSSSAPNLQDYARTHGKKLPPASLKHRDGFEGCSMVPTIYPLETLHNALSLR QVSEFLTKVCQRHTDAHAQASAALFDSMHNHQASDSPFSPPRTLHSPPLQLRHRSEKPPW YSSGPSSTVSSAGPSSPTTVDGNSHFGFSDQSSVNIHMTEEKQGFGLLQETPGDGTRELH IERQQELVEPAQSPQELPVEICPSGSQGVTKVSQTCQEVPDIVQPCHNIHEEIGQPQQEV PDISQLLLKDHDTTTNTCHLCQASQLSQKVCEEICQLCQDNHEESNQLCQEVSVKLGRMV HGFPVNVDSTAQETLMEIGRPTQEIPEDPYQEFSVKVGVLAQKAPAISELSQDIPEADKP SQELSEETELQAQEVSEEIDQESEVVDELPPEAIS >ENSMUSP00000106256.1 pep:known chromosome:GRCm38:2:121310893:121350985:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000110626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] MWSLTANEDESTTAHFFLGAGDEGLGTCGIGMRTEESDSELLEDEEDEVPPEPQIIVGIC AMTKKSKSKPMTQILERLCRFDYLTVVILGEDVILNEPVENWPSCHCLISFHSKGFPLDK AVAYSKLRNPFLINDLAMQYYIQDRREVYRILQEEGIDLPRYAVLNRDPACPEECSLIEG EDQVEVNGAVFPKPFVEKPVSAEDHNVYIYYPSSAGGGSQRLFRKIGSRSSVYSPESSVR KTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVMLTAM EKLVARKVCVAFKQTVCGFDLLRANGHSFVCDVNGFSFVKNSMKYYDDCAKILGNTIMRE LAPQFQIPWSIPTEAEDIPIVPTTSGTMMELRCVIAIIRHGDRTPKQKMKMEVTHPRFFA LFEKHGGYKTGKLKLKRPEQLQEVLDITRLLLAELEKEPEAEIEEKTGKLEQLKSVLEMY GHFSGINRKVQLTYYPHGVKASNEGQDLQREPLAPSLLLVLKWGGELTPDGRVQAEELGR AFRCMYPGGQGDYAGFPGCGLLRLHSTFRHDLKIYASDEGRVQMTAAAFAKGLLALEGEL TPILVQMVKSANMNGLLDSDSDSLSSCQHRVKARLHHILQQDAPFGPEDYDQLAPTGSTS LLNSMSVIQNPVKVCDQVFALIENLTHQIRERMQDPSSVDLQLYHSETLELMLQRWSKLE RDFRQKSGRYDISKIPDIYDCVKYDVQHNGSLGLQGTAELLRLSKALADVVIPQEYGISR EEKVEIAVGFCLPLLRKILLDLQRTHEDESVNKLHPLYSRGVLSPGRHVRTRLYFTSESH VHSLLSVFRYGGLLDETQDAQWQRALAYLSAISELNYMTQIVIMLYEDNTRDPLSEERFH VELHFSPGVKGVEEGSAPAGCGFRPASSENEEMKTDPGSIENLCPGKASDEPDRALQTSP QPVEGTGLPRRSPLIRNRKAGSMEVLSETSSSRPGGYRLFSSSRPPTEMKQSGLGSQCTG LFSTTVLGGSSSAPNLQDYARTHGKKLPPASLKHRDELLFVPAVKRFSVSFAKHPTNGFE GCSMVPTIYPLETLHNALSLRQVSEFLTKVCQRHTDAHAQASAALFDSMHNHQASDSPFS PPRTLHSPPLQLRHRSEKPPWYSSGPSSTVSSAGPSSPTTVDGNSHFGFSDQSSVNIHMT EEKQGFGLLQETPGDGTRELHIERQQELVEPAQSPQELPVEICPSGSQGVTKVSQTCQEV PDIVQPCHNIHEEIGQPQQEVPDISQLLLKDHDTTTNTCHLCQASQLSQKVCEEICQLCQ DNHEESNQLCQEVSVKLGRMVHGFPVNVDSTAQETLMEIGRPTQEIPEDPYQEFSVKVGV LAQKAPAISELSQDIPEADKPSQELSEETELQAQEVSEEIDQESEVVDELPPEAIS >ENSMUSP00000106255.1 pep:known chromosome:GRCm38:2:121310899:121355396:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000110625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] MWSLTANEDESTTAHFFLGAGDEGLGTCGIGMRTEESDSELLEDEEDEVPPEPQIIVGIC AMTKKSKSKPMTQILERLCRFDYLTVVILGEDVILNEPVENWPSCHCLISFHSKGFPLDK AVAYSKLRNPFLINDLAMQYYIQDRREVYRILQEEGIDLPRYAVLNRDPACPEECSLIEG EDQVEVNGAVFPKPFVEKPVSAEDHNVYIYYPSSAGGGSQRLFRKIGSRSSVYSPESSVR KTGSYIYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVMLTAM EKLVARKVCVAFKQTVCGFDLLRANGHSFVCDVNGFSFVKNSMKYYDDCAKILGNTIMRE LAPQFQIPWSIPTEAEDIPIVPTTSGTMMELRCVIAIIRHGDRTPKQKMKMEVTHPRFFA LFEKHGGYKTGKLKLKRPEQLQEVLDITRLLLAELEKEPEAEIEEKTGKLEQLKSVLEMY GHFSGINRKVQLTYYPHGVKASNEGQDLQREPLAPSLLLVLKWGGELTPDGRVQAEELGR AFRCMYPGGQGDYAGFPGCGLLRLHSTFRHDLKIYASDEGRVQMTAAAFAKGLLALEGEL TPILVQMVKSANMNGLLDSDSDSLSSCQHRVKARLHHILQQDAPFGPEDYDQLAPTGSTS LLNSMSVIQNPVKVCDQVFALIENLTHQIRERMQDPSSVDLQLYHSETLELMLQRWSKLE RDFRQKSGRYDISKIPDIYDCVKYDVQHNGSLGLQGTAELLRLSKALADVVIPQEYGISR EEKVEIAVGFCLPLLRKILLDLQRTHEDESVNKLHPLYSRGVLSPGRHVRTRLYFTSESH VHSLLSVFRYGGLLDETQDAQWQRALAYLSAISELNYMTQIVIMLYEDNTRDPLSEERFH VELHFSPGVKGVEEGSAPAGCGFRPASSENEEMKTDPGSIENLCPGKASDEPDRALQTSP QPVEGTGLPRRSPLIRNRKAGSMEVLSETSSSRPGGYRLFSSSRPPTEMKQSGLGSQCTG LFSTTVLGGSSSAPNLQDYARTHGKKLPPASLKHRDGFEGCSMVPTIYPLETLHNALSLR QVSEFLTKVCQRHTDAHAQASAALFDSMHNHQASDSPFSPPRTLHSPPLQLRHRSEKPPW YSSGPSSTVSSAGPSSPTTVDGNSHFGFSDQSSVNIHMTEEKQGFGLLQETPGDGTRELH IERQQELVEPAQSPQELPVEICPSGSQGVTKVSQTCQEVPDIVQPCHNIHEEIGQPQQEV PDISQLLLKDHDTTTNTCHLCQASQLSQKVCEEICQLCQDNHEESNQLCQEVSVKLGRMV HGFPVNVDSTAQETLMEIGRPTQEIPEDPYQEFSVKVGVLAQKAPAISELSQDIPEADKP SQELSEETELQAQEVSEEIDQESEVVDELPPEAIS >ENSMUSP00000117948.1 pep:known chromosome:GRCm38:2:121321655:121337163:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000132114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] XCLPLLRKILLDLQRTHEDESVNKLHPLYSRGVLSPGRHVRTRLYFTSESHVHSLLSVFR YGGLLDETQDAQWQRALAYLSAISELNYMTQIVIMLYEDNTRDPLSEERFHVELHFSPGV KGVEEGSAPAGCGFRPASSENEEMKTDPGSIENLCPGKASDEPDRALQTSPQPVEGTGLP RRSPLIRNRKAGSMEVLSETSSSRPGGYRLFSSSRPPTEMKQSGLGFEGCSMVPTIYPLE TLHNALSLRQVSEFLTKVCQRHTDAHAQASA >ENSMUSP00000119577.1 pep:known chromosome:GRCm38:2:121321690:121334504:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000132613.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] XALQTSPQPVEGTGLPRRSPLIRNRKAGSMEVLSETSSSRPGGYRLFSSSRPPTEMKQSG LGSQCTGLFSTTVLGGSSSAPNLQDYARTHGKKLPPASLKHRDELLDDQHPVVRLLRTLS SDCPRGWPVSLDATLAHHLHQCSYHLRLFRNWLHSGQDDPECLYGFEGCSMVPTIYPLET LHNALSLRQVSEFLTKVC >ENSMUSP00000118597.1 pep:known chromosome:GRCm38:2:121321768:121336733:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000127255.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] XLDETQDAQWQRALAYLSAISELNYMTQIVIMLYEDNTRDPLSEERFHVELHFSPGVKGV EEGSAPAGCGFRPASSENEEMKTDPGSIENLCPGKASDEPDRALQTSPQPVEGTGLPRRS PLIRNRKAGSMEVMNMQCTGNLDLIPLRGRRRRRSGDLPRLSPAISLQPRAVSTTHLASC TQVLSETSSSRPGGYRLFSSSRPPTEMKQSGLGSQCTGLFSTTVLGGSSSAPNLQDYART HGKKLPPASLKHRDELLFVPAVKRFSVSFAKHPTNGFEGCSMVP >ENSMUSP00000116335.1 pep:known chromosome:GRCm38:2:121327645:121337159:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000155568.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] LPLLRKILLDLQRTHEDEYSRGVLSPGRHVRTRLYFTSESHVHSLLSVFRYGGLLDETQD AQWQRALAYLSAISELNYMTQIVIMLYEDNTRDPLSEERFHVELHFSPGVKGVEEGSAPA GCGFRPASSENEEMKTDPGSIENLCPGKASDEPDRALQTSPQPVEGTGLPRRSPLIRNRK AGSMEVLSETSSSRPGGYRLFSSSRPPTEMKQSGLGSQCTGLFSTTVLGGSSSAPNLQDY ARTHGKKLPPASLKHRD >ENSMUSP00000115051.1 pep:known chromosome:GRCm38:2:121343531:121348791:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000137087.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] XPFVEKPVSAEDHNVYIYYPSSAGGGSQRLFRKIGSRSSVYSPESSVRKTGSYIYEEFMP TDGTDVKGELWAYSVVLCTCRCTQWGQIMPMLKLESLQLWMGRLNETVRGKRFDIQSC >ENSMUSP00000122603.1 pep:known chromosome:GRCm38:2:121350477:121355284:-1 gene:ENSMUSG00000033526.16 transcript:ENSMUST00000134796.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k1 description:diphosphoinositol pentakisphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:2443281] MWSLTANEDESTTAHFFLGAGDEGLGTCGIGMRTEESDSELLEDEEDEV >ENSMUSP00000140366.1 pep:known chromosome:GRCm38:1:117697131:117727441:1 gene:ENSMUSG00000100265.1 transcript:ENSMUST00000186341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28363 description:predicted gene 28363 [Source:MGI Symbol;Acc:MGI:5579069] MASCQVLLTFMDVALEFSKEEWGCLDSAQRALYRDVMLENYSNLVSVDQEAQDTLLERTQ SQNLKAHYRISTRVTSYKCNECGKSFTQSSSLKVHQRSHTGDKSYKCNECGKSFTQSSIL KVHHRLHTG >ENSMUSP00000044417.7 pep:known chromosome:GRCm38:11:120484613:120489065:1 gene:ENSMUSG00000039640.7 transcript:ENSMUST00000043627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl12 description:mitochondrial ribosomal protein L12 [Source:MGI Symbol;Acc:MGI:1926273] MLPVAASRCLWGPRLGLRGAALRLARQQMPSVCAARQLRSSSHRRSEALAGAPLDNAPKE YPPKIQQLVQDIASLTLLEISDLNELLKKTLKIQDVGLMPMGGMVPGPVSAAAPASEAAE EEDVPKQKERTHFTVRLTEAKPVDKVKLIKEIKNYVQGINLVQAKKLVESLPQEIKANVA KAEAEKIKAALEAVGGTVVLE >ENSMUSP00000054283.3 pep:known chromosome:GRCm38:2:26271646:26294557:-1 gene:ENSMUSG00000048038.6 transcript:ENSMUST00000057224.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc187 description:coiled-coil domain containing 187 [Source:MGI Symbol;Acc:MGI:3045295] MTTPLMGVMPTSLRATLQNCSRGNQQEGLFSPKAMGRMAGQKDSTKPRDEVFHPHAAKDS LLATTLRWPVLSQQLSPPQTVPYVAWSGNIKDPSPYMKGCSLPMWSPCLDTKDVDSSVSS GRMSGSSGGHESCTLSHGPWKERPPLILGPQRQPRKSDPRLEQLRDKIRAQAQWQASCAS LGTSAPSSASCLYKTSTMLWRKTPKVTNALPVPAFPGSGVLRTAEHRGKDRASLSLRREL SKVPQHHTSVPRTNFKKVKNASCKREISKSSILRRTAKGRGSDRKAAAVKASPAHTWLCK PMSAHSDQQVSKHTPSLAFQDQSATIHGAMETLQDLRQQIQAGLELTRSPRVDRKLSLSK PKPQNLVGKRDRGLQSTQDMQGSSKTAWTVTEGKNSSLHRAGNLHSQQHRKKALAEHESC PRRTWTGQGQDSSFPRPGSTPEKPRFFSQRPWSALARQTYPQRTWDAQGQDISVQKSGSS LKKPSPFSQRPWSALAGRAYSACEDREVFEPSPWNSLSRPHSALQDPWSNSFVQRSSPSS KGKSAVPPPSKVKPAWPEPSQDLLQSKPAKEQDTPCPRPRGSLGQQHSSESLRDFMRQKA QARRQQALEQKALAAHTLELRNQRLQEVYRKQREAVLGKDIPVVSQRRPGIVTFVPMQSG GMEAPGSLGSPREQTWSKVTSGMVLGDQEAPDSFCLCLNKPWNRIETQDTGRPLEGYKQA RLQALETMAEALRQRVDILTTKLDKPTSPDTSGDLASDVLPLCPSTAPATPTLVPPSYLR TLMSKGGRESPRDLVDSQAEPLLLSTCFQDGEMLPWSPSWELPNPNLGTHIESQPQGPVS STPASVSQVIPHTQSCPAGSSSHGALSKEAIQGLEKKLQREMATLQALGACMKSSLGMPD APDPTRGSLWQEEMPEVKKEGLVTPWTTRSCGKGEPADRPWAGWSGGQGGLPWASSTA >ENSMUSP00000118110.1 pep:known chromosome:GRCm38:2:26281092:26288023:-1 gene:ENSMUSG00000048038.6 transcript:ENSMUST00000155409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc187 description:coiled-coil domain containing 187 [Source:MGI Symbol;Acc:MGI:3045295] XRTNFKKVKNASCKREISKSSILRRTAKGRDSELAGVYAWRKGQALVRRLLGPPAALSRL QSKVPDMELGSDRKAAAVKASPAHTWLCKPMSAHSDQQVSKHTPSLAFQDQSATIHGAME TLQDLRQQIQAGLELTRSPRVDRKLSLSKPKPQNLVGKRDRGLQSTQDMQGSSKTAWTVT EGKNSSLHRAGNLHSQQHRKKALAEHESCPRRTWTGQGQDSSFPRPGSTPEKPRFFSQRP WSALAR >ENSMUSP00000063050.3 pep:known chromosome:GRCm38:5:92608253:92675125:-1 gene:ENSMUSG00000050050.16 transcript:ENSMUST00000060930.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc158 description:coiled-coil domain containing 158 [Source:MGI Symbol;Acc:MGI:2444555] MESKACESKNEDLLPSGITSKGGSSSPFFVTSTHGTIIENTSSTGTLTQMPFFPKYEVEL DSPRKSTPYPGKEHIERVLEEYSHQVKDLQRRLNESNELHEKQKFYLRQSVIDLQTKLQE MQMERDAMADIRRRESQSQEESRNQLQNTVRELEAAKCLKEDMLKDSSTQIEQLRKMMLS HEGVLQEIRSILVDFEEASGKKICEHDSMSTMHFRSLGSAISKILRELDTEISFLKGRIF PVEDQLETLKSESQNKIELLLQQHQDRIEQLISEHEVEITGLTEKASSARSQANSVQSQL EIIQEQARNQNSMYMRQLSDLESTVSQLRSELRESKRMYEDKIEELEKQLVLANSELTEA RTERDQFSQESGNLDDQLQKLLADLHKREKELSLEKEQNKRLWDRDTGNSITIDHLRREL DDRNMEVQRLEALLKAMKSECQGQMERQMAAIQGKNESLEKVSSLTAQLESTKEMLRKVV EELTAKKMNLESSERTVSDLTASLQEKERAIEATNAEITKLRSRVDLKLQELQHLKNEGD HLRNVQTECEALKLQMAEKDKVIEILRQQIENMTQLVGQHGRTAGAMQVEKAQLEKEIND RKLELQEFKILKDKKDAKIRELEARVSDLELEKVKLVNAGSERLRAVKDIRHERDQLLNE VKTSRTELNHLSEDYEVLKRNFRNKSEEMESTTNRLKMQLKSAQSELEQTRNTLKTMEGS DGHAMKVAMGMQKQITAKRGQIDALQSKVQFLEEAVTSANKERHFLKEEKSKLSQELSTV ATEKNKMAGELEVLRSQERRLKEKVANMEVALDKFAECQDIIQRQEQESVRLKLQHTLDV KELQGPGYTSNSSVKPRLLQPASVTRSHSNIPSSQSTTSFLSHHSIKTNTPKEDPTRDLK QLLQELRTVINEEPAMALSKTEEDGRTPSLGALEDRVRDCITESSLRAELCHRSNNSLRE STEGSKSSETLSREPVPLHPGDLEDPSSCFTFTSTASPSGKMSASRSFSSSPKKSPVHSL LTSSAEESVNSTPQYRSTKPIHSPTSAKDSQSPSLETTGKTCQKLQNRLESLQTLVEDLQ LKNQAMSSMIRNQEKRIQKVKDQEKMLLK >ENSMUSP00000117894.1 pep:known chromosome:GRCm38:5:92652054:92675265:-1 gene:ENSMUSG00000050050.16 transcript:ENSMUST00000151180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc158 description:coiled-coil domain containing 158 [Source:MGI Symbol;Acc:MGI:2444555] MESKACESKNEDLLPSGITSKGGSSSPFFVTSTHGTIIENTSSTGTLTQMPFFPKYEVEL DSPRKSTPYPGKEHIERVLEEYSHQVKDLQRRLNESNELHEKQKFYLRQSVIDLQTKLQE MQMERDAMADIRRRESQSQEESRNQLQNTVRELEAAKCLKEDMLKDSSTQIEQLRKMMLS HEGVLQEIRSILVDFEEASGKKICEHDSMSTMHFRSLGSAISKILRELDTEISFLKGRIF PVEDQLETLKSESQNKIELLLQQHQDRIEQLISEHEVEITGLTEKASSARSQANSVQSQL EIIQEQARNQNSMYMRQLSDLESTVSQLRSELRESKRMYEDKEPPEFPFTNLDQ >ENSMUSP00000123259.1 pep:known chromosome:GRCm38:5:92652054:92675271:-1 gene:ENSMUSG00000050050.16 transcript:ENSMUST00000150359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc158 description:coiled-coil domain containing 158 [Source:MGI Symbol;Acc:MGI:2444555] MESKACESKNEDLLPSGITSKGGSSSPFFVTSTHGTIIENTSSTGTLTQMPFFPKYEVEL DSPRKSTPYPGKEHIERVLEEYSHQVKDLQRRLNESNELHEKQKFYLRQSVIDLQTKLQE MQMERDAMADIRRRESQSQEESRNQLQNTVRELEAAKCLKEDMLKDSSTQIEQLRKMMLS HEGVLQEIRSILVDFEEASGKKICEHDSMSTMHFRSLGSAISKILRELDTEISFLKGRIF PVEDQLETLKSESQNKIELLLQQHQDRIEQLISEHEVEITGLTEKASSARSQANSVQSQL EIIQEQARNQNSMYMRQLSDLESTVSQLRSELRESKRMYEDKEPPEFPFTNLDQ >ENSMUSP00000107145.1 pep:known chromosome:GRCm38:2:89835023:89841133:-1 gene:ENSMUSG00000075073.2 transcript:ENSMUST00000111520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1256 description:olfactory receptor 1256 [Source:MGI Symbol;Acc:MGI:3031090] MEPRNNVTYFVLLGLSENPKVQKGLFVLFLLSYVLTMVGNLLIVMTVTTSNSLGSPMYFF LASLSFVDIIYSSAISPKLISDLFFGQNTISFKFCMTQLFTEHFFGGSEVFLLLVMAYDR YVAICKPLHYSTIMKQWVCVVLLILSWIGGFLHSVIQLSTIYGLPFCGPNIIDHFMCDMY PLLKLVCIDTYVIGLLVMANGGLICTVVFILLLISYGVILYSLKNLNQEGRWKALSTCGS HITVVVSFFVPCIFMYARPAKTFPIDKMLSVFYTVITPMMNPLIYTLRNSEMTNAMKKLW RRKIIS >ENSMUSP00000097351.1 pep:known chromosome:GRCm38:2:89835023:89835943:-1 gene:ENSMUSG00000075073.2 transcript:ENSMUST00000099763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1256 description:olfactory receptor 1256 [Source:MGI Symbol;Acc:MGI:3031090] MEPRNNVTYFVLLGLSENPKVQKGLFVLFLLSYVLTMVGNLLIVMTVTTSNSLGSPMYFF LASLSFVDIIYSSAISPKLISDLFFGQNTISFKFCMTQLFTEHFFGGSEVFLLLVMAYDR YVAICKPLHYSTIMKQWVCVVLLILSWIGGFLHSVIQLSTIYGLPFCGPNIIDHFMCDMY PLLKLVCIDTYVIGLLVMANGGLICTVVFILLLISYGVILYSLKNLNQEGRWKALSTCGS HITVVVSFFVPCIFMYARPAKTFPIDKMLSVFYTVITPMMNPLIYTLRNSEMTNAMKKLW RRKIIS >ENSMUSP00000140211.1 pep:known chromosome:GRCm38:1:117781133:117803359:1 gene:ENSMUSG00000101303.1 transcript:ENSMUST00000188801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B020011L13Rik description:RIKEN cDNA B020011L13 gene [Source:MGI Symbol;Acc:MGI:3588191] MASCQVLLTFMDVALEFSKEEWECLDSAQRALYRDVMLENYNNLVSVDQEAPDTLPERTQ SPNPKAHCRLSTHVTSYKCIECGKSYTKLSSLKVHQRLHTGDKPYKCNECGKYFTKSSNL KVHHRLHTGDKPYKYNECGKFFTQVSYLKVHKRIHTGDKPYKCNECEKSFFQYSHLKIHH RLHTGDKPYKCNECGKSFTQYSDLKVHQRIHTGDKPYKCNECEKSFTRYSHLKIHHRLHT GEKPYKCNECGKSFAQYSDLKVHQRIHTGDKPYKCNDCGKSFTKSSNLKVHQRIHTGDKP CKCNDCGESYTKLSSLKVHQRLHTGDKPYKCNEYGKSFKHLSSVKIHHRLHTGYKPYKCN ECGKSFTKSSNLKVHHRLHTGDKRYKCNECGKSFTQVSYLKVHQRIHTGDKP >ENSMUSP00000037939.8 pep:known chromosome:GRCm38:3:89773616:89784000:1 gene:ENSMUSG00000042572.12 transcript:ENSMUST00000038356.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2q1 description:ubiquitin-conjugating enzyme E2Q (putative) 1 [Source:MGI Symbol;Acc:MGI:1917343] MQQPQPQGQQQPGPGQQLGVQGAAPGAGGGPGGGPGPGPCLRRELKLLESIFHRGHERFR IASACLDELSCEFLLAGAGGAGAGAAPGPHLPSRGSVPGDPVRIHCNITESYPAVPPIWS VESDDPNLAAVLERLVDIKKGNTLLLQHLKRIISDLCKLYNLPQHPDVEMLDQPLPAEQC TQEEVSSEDEDEEMPEDTEDLDHYEMKEEEPAEGKKSEDDGIGKENLAILEKIKKNQRQD YLNGAVSGSVQATDRLMKELRDIYRSQSFKGGNYAVELVNDSLYDWNVKLLKVDQDSALH NDLQILKEKEGADFILLNFSFKDNFPFDPPFVRVVSPVLSGGYVLGGGAICMELLTKQGW SSAYSIESVIMQISATLVKGKARVQFGANKSQYSLTRAQQSYKSLVQIHEKNGWYTPPKE DG >ENSMUSP00000143422.1 pep:known chromosome:GRCm38:3:89774288:89782744:1 gene:ENSMUSG00000042572.12 transcript:ENSMUST00000196726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2q1 description:ubiquitin-conjugating enzyme E2Q (putative) 1 [Source:MGI Symbol;Acc:MGI:1917343] MLDQPLPAEQCTQEEVSSEDEDEEMPEDTEDLDHYEMKEEEPAEGKKSEDDGIGKENLAI LEKIKKNQRQDYLNGAVSGSVQATDRLMKELRDIYRSQSFKGGNYAVELVNDSLYDWNVK LLKVDQDSALHNDLQILKEKEGADFILLNFSFKDNFPFDPPFVRVVSPVLSGGYVLGGGA ICMELLTKQGWSSAYSIESVIMQISATLVKGKARVQFGANKSQYSLTRAQQSYKSLVQIH EKNGWYTPPKEDG >ENSMUSP00000057664.7 pep:known chromosome:GRCm38:4:8535644:8607778:1 gene:ENSMUSG00000047187.9 transcript:ENSMUST00000060232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab2a description:RAB2A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1928750] MAYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDGKQIKLQIW DTAGQESFRSITRSYYRGAAGALLVYDITRRDTFNHLTTWLEDARQHSNSNMVIMLIGNK SDLESRREVKKEEGEAFAREHGLIFMETSAKTASNVEEAFINTAKEIYEKIQEGVFDINN EANGIKIGPQHAATNASHGSNQGGQQAGGGCC >ENSMUSP00000097350.1 pep:known chromosome:GRCm38:2:89844066:89844971:-1 gene:ENSMUSG00000075072.1 transcript:ENSMUST00000099762.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr48 description:olfactory receptor 48 [Source:MGI Symbol;Acc:MGI:1333765] MNNITEFILVGLTQNMELQIFSFVVFFIVYLLTLAGNLLIMVTISSSKALGSPMYFFLSF LSLIDGCCSSSMTPKMLADSLSVRKTISFSGCMTQVFAEHFFGAAEIILLTVMAYDRYVA ICKPLRYTIIMNRFVCGLLVGVAWAGGFIHATIQILFTVWLPFCGPNVIDHFMCDLTPLL KLVCMDTHNLGLFVAANSGFICLLNFLLLMISYIVILDALKSHSKEGRCKALSTCVSHIT VVILFFVPCIFVYLRPVITFSIDKAVAVFYTMITPMLNPLIYTLRNTEVKNAMKKLCIKV D >ENSMUSP00000112793.1 pep:known chromosome:GRCm38:18:22345089:22530015:1 gene:ENSMUSG00000045215.16 transcript:ENSMUST00000120223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asxl3 description:additional sex combs like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2685175] MLHTNTRVGDGTFFKIPGKSGLYALRKEESSCPVDGTLDLVVDPDLDGAEMAEASANGEE NRVCTKQVTDEVSSTRDCSLTNTAVQSKLVSSFQQHTKKALKQALRQQQKRRNGVSMMVN KTVPRVVLTPLKVSDEQSDSPSGSESKNGEADSSDKEMKHGQKSPTGKQTSQHLKRLKKS GLGHLKWTKAEDIDIETPGSILVNTNLRALINKHTFASFPQHFQQYLLLLLPEVDRQMGS DGILRLSTSALNNEFFAYAAQGWKQRLAEGEFTPEMQLRIRQEIEKEKKTEPWKEKFFER FYGERSGMSREESIKLTSGPNHEGAEGSSSHGDSGIPGPSAQNALEEQQPKILKSSASLE PDFCTTVCPMLEVPVKDVMTESETEDIFIPEESVIQEEVAEEVETSIYECQDEHLKTIPA FSEESESPATPCEEPQVAAPEDSLESCVVMNDILHTLPHIEVKIVEKLECPQEEMSVVID QLEICDSLLPCPSSVTHILDVEQKEQETTIETSAMALREGPSSLESQLPNEGIAVDMELQ SDPEEQLSENACISETSFSSESPEGACASLPSPGGETQSTSEESCTPASLETTFCSEVSS TENTDKYNQRNPTGESLHASLVSEVSPLATSPEISEASLMSNLPLTSEASPVSNLPLTSE ASPMSDLPPTSETSSESSMPLTSETPFVSSLPIPAETSPISNSSVNERMVHQQRKSPSGS EEANSPQKEEPSIPTKPLGESLVSHPKPLSTIPEPINMSSAMVPEALPPEGLHSQTLSQE PCNAHVEMEKLYASSIPELPSSEMTKVKNHSVLQRPEKKGLSAPLEVPVFSEETETKGIE LPPAKLQDKQYAPSVDKATFLEGSRNKIHKQSSTLNRLETSHTSKVSEPSKSPDGIRNDN RESEISKRKTVEHSFGICKEKRARIEDDQSARSLASSSPPEKEQPPREEPRVPPLKIQLS KIGPPFIIKSQPVSKAESRASTSTSVSSGRNTGARTLADIKARAQQARAQREAAAAAAVA AAASIVSGAMGSPGEGGKARTLAHIKEQTKAKLFAKHQSRAHLFQTSKETRLPSVSTKED SLNMEASPTPETKMEGSTGVIIINPNCRSPSSKPTHIREITTVLQQPLNPPQIPETATDL SVHSSDDNIPVSHLTEKIVSSTSSENSSVPILHNKSPINPIPMSVCSTAMSGAIKEHPFV SPVDKSSVLMSVDSANSTISACNISMLKSIQGSDAPCIALVPKCINRTPIPAAPEGTGQS NSMDGKALLVPSSKAANVISNQYTSVPAPTIASNLPNHLCTSSVLIPPTGINNRFVSEKI AMPGSEEQAAVSIGATMRTALSCGDSVAVTDSLVPRSPIAMFAGNMLTANSYNCPPKLSG ENLDNNSGPLNRTDNSEKPQQPAGGFVPATINRSIPCKVIVDHSTTLTSNLSLTVSIESG DSSLDSQTRSVRTDVSIQPVACPQVSVISRPEQATSEGLDHGSVFIAAPTAKQDCKTLQA TCTSLRELPLTLPDKLNEVTVPTHGFAEQARNSSTFKKETDTACSNQYNPGNRICWSEDP MRNTAPPVVSHSSSSKQKEHPEQTGLKAVKTEHVSYAHVSDLHPRNLITNVSLPVKPEPH EVDKGFRMDTEDFPGPERPPPVTEVTSSASVQPTQTMKPSTTSPVEEAISLAPDTLKRIP SASSSSCRLSSVEANNPLVTQLLQGNLPLEKVLPQPRLGAKLEINRLPLPLQTTSVGKTG LERNMVEMPSSSPNPDGKGYLAGTLAPVQMRKRENHPKKRAARTVGDHAQVKCEPGKMVM EPDVKAVPCVISPSMSQLGHNQPFKQERLNKPSMANRIMPSPEVKQQKRLLPACSFQPSL FHVNKNEGFHADTGTSHRQQFYQMPMAARGPLPTPALLQNSPKTPVGCNAFAFNRHLEQK ALGDVNLPTAPHQLRLANMLSPNMPIKEGEDGGGTTHTMPSKAVVHAPLPPPPPPPPPPP PPLALPPPPPPPPPLPPPLPTVEVPSDQKQPPVNMETTKRLSWPQSTGICSNIKSEPLSF EESLSSSCELGMKQVPYDQNEVKEQLKAFALKNADFSSYLLSEPQKPFTQLAAQKLPVPQ QQPLCGSYPTIHFGSTNFKRAASAIEKSIGILGSGSNPATSTGLTGQNTQMPVQNFADNS NADELELKCSCRLKAMIVCKGCGAFCHDDCIGPSKLCVACLVVR >ENSMUSP00000095260.3 pep:known chromosome:GRCm38:18:22345089:22530227:1 gene:ENSMUSG00000045215.16 transcript:ENSMUST00000097655.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asxl3 description:additional sex combs like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2685175] MLHTNTRVGDGTFFKIPGKSGLYALRKEESSCPVDGTLDLVVDPDLDGAEMAEASANGEE NRVCTKQVTDEVSSTRDCSLTNTAVQSKLVSSFQQHTKKALKQALRQQQKRRNGVSMMVN KTVPRVVLTPLKVSDEQSDSPSGSESKNGEADSSDKEMKHGQKSPTGKQTSQHLKRLKKS GLGHLKWTKAEDIDIETPGSILVNTNLRALINKHTFASFPQHFQQYLLLLLPEVDRQMGS DGILRLSTSALNNEFFAYAAQGWKQRLAEGEFTPEMQLRIRQEIEKEKKTEPWKEKFFER FYGERSGMSREESIKLTSGPNHEGAEGSSSHGDSGIPGPSAQNALEEQQPKILKSSASLE PDFCTTVCPMLEVPVKDVMTESETEDIFIPEESVIQEEVAEEVETSIYECQDEHLKTIPA FSEESESPATPCEEPQVAAPEDSLESCVVMNDILHTLPHIEVKIVEKLECPQEEMSVVID QLEICDSLLPCPSSVTHILDVEQKEQETTIETSAMALREGPSSLESQLPNEGIAVDMELQ SDPEEQLSENACISETSFSSESPEGACASLPSPGGETQSTSEESCTPASLETTFCSEVSS TENTDKYNQRNPTGESLHASLVSEVSPLATSPEISEASLMSNLPLTSEASPVSNLPLTSE ASPMSDLPPTSETSSESSMPLTSETPFVSSLPIPAETSPISNSSVNERMVHQQRKSPSGS EEANSPQKEEPSIPTKPLGESLVSHPKPLSTIPEPINMSSAMVPEALPPEGLHSQTLSQE PCNAHVEMEKLYASSIPELPSSEMTKVKNHSVLQRPEKKGLSAPLEVPVFSEETETKGIE LPPAKLQDKQYAPSVDKATFLEGSRNKIHKQSSTLNRLETSHTSKVSEPSKSPDGIRNDN RESEISKRKTVEHSFGICKEKRARIEDDQSARSLASSSPPEKEQPPREEPRVPPLKIQLS KIGPPFIIKSQPVSKAESRASTSTSVSSGRNTGARTLADIKARAQQARAQREAAAAAAVA AAASIVSGAMGSPGEGGKARTLAHIKEQTKAKLFAKHQSRAHLFQTSKETRLPSVSTKED SLNMEASPTPETKMEGSTGVIIINPNCRSPSSKPTHIREITTVLQQPLNPPQIPETATDL SVHSSDDNIPVSHLTEKIVSSTSSENSSVPILHNKSPINPIPMSVCSTAMSGAIKEHPFV SPVDKSSVLMSVDSANSTISACNISMLKSIQGSDAPCIALVPKCINRTPIPAAPEGTGQS NSMDGKALLVPSSKAANVISNQYTSVPAPTIASNLPNHLCTSSVLIPPTGINNRFVSEKI AMPGSEEQAAVSIGATMRTALSCGDSVAVTDSLVPRSPIAMFAGNMLTANSYNCPPKLSG ENLDNNSGPLNRTDNSEKPQQPAGGFVPATINRSIPCKVIVDHSTTLTSNLSLTVSIESG DSSLDSQTRSVRTDVSIQPVACPQVSVISRPEQATSEGLDHGSVFIAAPTAKQDCKTLQA TCTSLRELPLTLPDKLNEVTVPTHGFAEQARNSSTFKKETDTACSNQYNPGNRICWSEDP MRNTAPPVVSHSSSSKQKEHPEQTGLKAVKTEHVSYAHVSDLHPRNLITNVSLPVKPEPH EVDKGFRMDTEDFPGPERPPPVTEVTSSASVQPTQTMKPSTTSPVEEAISLAPDTLKRIP SASSSSCRLSSVEANNPLVTQLLQGNLPLEKVLPQPRLGAKLEINRLPLPLQTTSVGKTG LERNMVEMPSSSPNPDGKGYLAGTLAPVQMRKRENHPKKRAARTVGDHAQVKCEPGKMVM EPDVKAVPCVISPSMSQLGHNQPFKQERLNKPSMANRIMPSPEVKQQKRLLPACSFQPSL FHVNKNEGFHADTGTSHRQQFYQMPMAARGPLPTPALLQNSPKTPVGCNAFAFNRHLEQK ALGDVNLPTAPHQLRLANMLSPNMPIKEGEDGGGTTHTMPSKAVVHAPLPPPPPPPPPPP PPLALPPPPPPPPPLPPPLPTVEVPSDQKQPPVNMETTKRLSWPQSTGICSNIKSEPLSF EESLSSSCELGMKQVPYDQNEVKEQLKAFALKNADFSSYLLSEPQKPFTQLAAQKLPVPQ QQPLCGSYPTIHFGSTNFKRAASAIEKSIGILGSGSNPATSTGLTGQNTQMPVQNFADNS NADELELKCSCRLKAMIVCKGCGAFCHDDCIGPSKLCVACLVVR >ENSMUSP00000140444.1 pep:known chromosome:GRCm38:1:117830081:117854496:1 gene:ENSMUSG00000100305.1 transcript:ENSMUST00000187455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28360 description:predicted gene 28360 [Source:MGI Symbol;Acc:MGI:5579066] MASGQVLLTFMDVALEFSKEEWERLDSAQRALYRDVMLENYNKLVSVDQEAPDTLPERTQ SPNPKAHYRLSTRVTSYKCNECGKSFTKLSSLKVHQRLHTGNKPYKCNECGKSFKHLSSV KIHHRLHTGDNPCKCNECGNSFAHYSQLKYHHRILIGDKPYKCNRCGKSFTWYSDLKVHH IRHTGNKPYK >ENSMUSP00000023075.8 pep:known chromosome:GRCm38:15:78523346:78529625:-1 gene:ENSMUSG00000022440.8 transcript:ENSMUST00000023075.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf6 description:C1q and tumor necrosis factor related protein 6 [Source:MGI Symbol;Acc:MGI:1919959] MRVIMGIASLGFLWAVFLLPLVFGVPTEETTFGESVASHLPKGCRRCCDPEDLMSSDDTV QAPVSPYVLPEVRPYINITILKGDKGDRGPTGTPGKPGKNGTRGDRGSQGVKGDKGQAGS PGSSCQTHYSAFSVGRKTGLHSSENFLSLLFDRVFVNTDGHFDMATGSFVAPLRGLYFFS LNVHSWNYKETYVHIVHNEQAVVILYAQPSERSIMQSQSVMLPLVPGDRVWVRLFKRERE NGIYSDDVDTYITFSGHLIKAEDN >ENSMUSP00000142180.1 pep:known chromosome:GRCm38:1:175059087:175492500:-1 gene:ENSMUSG00000026527.13 transcript:ENSMUST00000194555.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs7 description:regulator of G protein signaling 7 [Source:MGI Symbol;Acc:MGI:1346089] MAQGNNYGQTSNGVADESPNMLVYRKMEDVIARMQDEKNGIPIRTVKSFLSKIPSVFSGS DIVQWLIKNLTIEDPVEALHLGTLMAAHGYFFPISDHVLTLKDDGTFYRFQTPYFWPSNC WEPENTDYAVYLCKRTMQNKARLELADYEAESLARLQRAFARKWEFIFMQAEAQAKVDKK RDKIERKILDSQERAFWDVHRPVPGCVNTTEVDIKKSSRMRNPHKTRKSVYGLQNDIRSH SPTHTPTPETKPPTEDELHQQIKYWQIQLDRHRLKMSKVADSLLSYTEQYVEYDPFLVPP DPSNPWLSDDTTFWELEASKEPSQQRVKRWGFGMDEALKDPVGREQFLKFLESEFSSENL RFWLAVEDLKRRPIREVPSRVQEIWQEFLAPGAPSAINLDSKSYDKTTQNVKEPGRYTFE DAQEHIYKLMKSDSYPRFIRSSAYQELLQAKRKRCHERLLHAVLSPRAFV >ENSMUSP00000141380.1 pep:known chromosome:GRCm38:1:175059088:175492276:-1 gene:ENSMUSG00000026527.13 transcript:ENSMUST00000195324.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs7 description:regulator of G protein signaling 7 [Source:MGI Symbol;Acc:MGI:1346089] MAQGNNYGQTSNGVADESPNMLVYRKMEDVIARMQDEKNGIPIRTVKSFLSKIPSVFSGS DIVQWLIKNLTIEDPVEALHLGTLMAAHGYFFPISDHVLTLKDDGTFYRFQTPYFWPSNC WEPENTDYAVYLCKRTMQNKARLELADYEAESLARLQRAFARKWEFIFMQAEAQAKVDKK RDKIERKILDSQERAFWDVHRPVPGCVNTTEVDIKKSSRMRNPHKTRKSVYGLQNDIRSH SPTHTPTPETKPPTEDELHQQIKYWQIQLDRHRLKMSKVADSLLSYTEQYVEYDPFLVPP DPSNPWLSDDTTFWELEASKEPSQQRVKRWGFGMDEALKDPVGREQFLKFLESEFSSENL RFWLAVEDLKRRPIREVPSRVQEIWQEFLAPGAPSAINLDSKSYDKTTQNVKEPGRYTFE DAQEHIYKLMKSDSYPRFIRSSAYQELLQAKRKGRNLPIFPCHKNCTPTLRASTNLL >ENSMUSP00000142278.1 pep:known chromosome:GRCm38:1:175059217:175492390:-1 gene:ENSMUSG00000026527.13 transcript:ENSMUST00000192227.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs7 description:regulator of G protein signaling 7 [Source:MGI Symbol;Acc:MGI:1346089] MAQGNNYGQTSNGVADESPNMLVYRKMEDVIARMQDEKNGIPIRTVKSFLSKIPSVFSGS DIVQWLIKNLTIEDPVEALHLGTLMAAHGYFFPISDHVLTLKDDGTFYRFQTPYFWPSNC WEPENTDYAVYLCKRTMQNKARLELADYEAESLARLQRAFARKWEFIFMQAEAQAKVDKK RDKIERKILDSQERAFWDVHRPVPGCVNTTEVDIKKSSRMRNPHKTRKSVYGLQNDIRSH SPTHTPTPETKPPTEDELHQQIKYWQIQLDRHRLKMSKVADSLLSYTEQYVEYDPFLVPP DPSNPWLSDDTTFWELEASKEPSQQRVKRWGFGMDEALKDPVGREQFLKFLESEFSSENL RFWLAVEDLKRRPIREVPSRVQEIWQEFLAPGAPSAINLDSKSYDKTTQNVKEPGRYTFE DAQEHIYKLMKSDSYPRFIRSSAYQELLQAKRKGKTLTSKRLTSLVQSY >ENSMUSP00000141855.1 pep:known chromosome:GRCm38:1:175059736:175086120:-1 gene:ENSMUSG00000026527.13 transcript:ENSMUST00000194288.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs7 description:regulator of G protein signaling 7 [Source:MGI Symbol;Acc:MGI:1346089] ASKEPSQQRVKRWGFGMDEALKDPVGREQFLKFLESEFSSENLRFWLAVEDLKRRPIREV PSRVQEIWQEFLAPGAPSAINLDSKSYDKTTQNVKEPGRYTFEDAQEHIYKLMKSDSYPR FIRSSAYQELLQAKRKSGNSMDRRTSLEKFAQNVGRNLPIFPCHKNCTPTLRASTNLL >ENSMUSP00000142181.1 pep:known chromosome:GRCm38:1:175059736:175086180:-1 gene:ENSMUSG00000026527.13 transcript:ENSMUST00000195477.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs7 description:regulator of G protein signaling 7 [Source:MGI Symbol;Acc:MGI:1346089] VPPDPSNPWLSDDTTFWELEASKEPSQQRVKRWGFGMDEALKDPVGREQFLKFLESEFSS ENLRFWLAVEDLKRRPIREVPSRVQEIWQEFLAPGAPSAINLDSKSYDKTTQNVKEPGRY TFEDAQEHIYKLMKSDSYPRFIRSSAYQELLQAKRKSGNSMDRRTSLEKFAQNVRCHERL LHAVLSPRAFV >ENSMUSP00000141284.1 pep:known chromosome:GRCm38:1:175059737:175079425:-1 gene:ENSMUSG00000026527.13 transcript:ENSMUST00000192203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs7 description:regulator of G protein signaling 7 [Source:MGI Symbol;Acc:MGI:1346089] YTFEDAQEHIYKLMKSDSYPRFIRSSAYQELLQAKRKSGNSMDRRTSLEKFAQNVGKTLT SKRLTSLVQSY >ENSMUSP00000027812.5 pep:known chromosome:GRCm38:1:175059736:175491130:-1 gene:ENSMUSG00000026527.13 transcript:ENSMUST00000027812.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs7 description:regulator of G protein signaling 7 [Source:MGI Symbol;Acc:MGI:1346089] MAQGNNYGQTSNGVADESPNMLVYRKMEDVIARMQDEKNGIPIRTVKSFLSKIPSVFSGS DIVQWLIKNLTIEDPVEALHLGTLMAAHGYFFPISDHVLTLKDDGTFYRFQTPYFWPSNC WEPENTDYAVYLCKRTMQNKARLELADYEAESLARLQRAFARKWEFIFMQAEAQAKVDKK RDKIERKILDSQERAFWDVHRPVPGCVNTTEVDIKKSSRMRNPHKTRKSVYGLQNDIRSH SPTHTPTPETKPPTEDELHQQIKYWQIQLDRHRLKMSKVADSLLSYTEQYVEYDPFLVPP DPSNPWLSDDTTFWELEASKEPSQQRVKRWGFGMDEALKDPVGREQFLKFLESEFSSENL RFWLAVEDLKRRPIREVPSRVQEIWQEFLAPGAPSAINLDSKSYDKTTQNVKEPGRYTFE DAQEHIYKLMKSDSYPRFIRSSAYQELLQAKRKGKTLTSKRLTSLVQSY >ENSMUSP00000107144.1 pep:known chromosome:GRCm38:2:89878444:89881757:1 gene:ENSMUSG00000049057.3 transcript:ENSMUST00000111519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1257 description:olfactory receptor 1257 [Source:MGI Symbol;Acc:MGI:3031091] MENQNNVTEFILLGLTENPKMQKIVFIMFFLIYIISITGNVLIVVTITSTSLLESPMYFF LAYLSFIDACYSSVSTPKLIADSLCEKKTIPFNGCMTQIFGEHLFGGAEIILLTVMAYDR YVAICKPLHYATIMSRRLCSLLVGVSWLGGFLHATIQILFIFQLPFCGPNIIDHFMCDLN PLLNLVCTDTHTLGIFVAANSGFICLLNFLLLLVSYVAILRSLKNHSAEGRRKALSTCIS HITVVVLFFVPCIFVYMRPVATLPIDKAVAMFYTMITPMLNPLIYTLRNAQMKDAIKKLG STKILSSNK >ENSMUSP00000056439.2 pep:known chromosome:GRCm38:2:89880794:89881809:1 gene:ENSMUSG00000049057.3 transcript:ENSMUST00000060795.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1257 description:olfactory receptor 1257 [Source:MGI Symbol;Acc:MGI:3031091] MENQNNVTEFILLGLTENPKMQKIVFIMFFLIYIISITGNVLIVVTITSTSLLESPMYFF LAYLSFIDACYSSVSTPKLIADSLCEKKTIPFNGCMTQIFGEHLFGGAEIILLTVMAYDR YVAICKPLHYATIMSRRLCSLLVGVSWLGGFLHATIQILFIFQLPFCGPNIIDHFMCDLN PLLNLVCTDTHTLGIFVAANSGFICLLNFLLLLVSYVAILRSLKNHSAEGRRKALSTCIS HITVVVLFFVPCIFVYMRPVATLPIDKAVAMFYTMITPMLNPLIYTLRNAQMKDAIKKLG STKILSSNK >ENSMUSP00000030487.2 pep:known chromosome:GRCm38:4:115486200:115496142:-1 gene:ENSMUSG00000028715.2 transcript:ENSMUST00000030487.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a14 description:cytochrome P450, family 4, subfamily a, polypeptide 14 [Source:MGI Symbol;Acc:MGI:1096550] MGFFLFSPTRYLDGISGFFQWAFLLSLFLVLFKAVQFYLRRQWLLKTLQHFPCMPSHWLW GHHLKDKELQQILIWVEKFPSACLQCLSGSNIRVLLYDPDYVKVVLGRSDPKASGIYQFF APWIGYGLLLLNGKKWFQHRRMLTPAFHYDILKPYVKIMADSVNIMLDKWEKLDGQDHPL EIFHCVSLMTLDTVMKCAFSYQGSVQLDENSKLYTKAVEDLNNLTFFRLRNAFYKYNIIY NMSSDGRLSHHACQIAHEHTDGVIKMRKSQLQNEEELQKARKKRHLDFLDILLFARMEDR NSLSDEDLRAEVDTFMFEGHDTTASGISWIFYALATHPEHQQRCREEVQSILGDGTSVTW DHLGQMPYTTMCIKEALRLYPPVISVSRELSSPVTFPDGRSIPKGITATISIYGLHHNPR FWPNPKVFDPSRFAPDSSHHSHAYLPFSGGSRNCIGKQFAMNELKVAVALTLLRFELLPD PTRIPVPIARLVLKSKNGIHLCLKKLR >ENSMUSP00000139435.1 pep:known chromosome:GRCm38:1:117928015:117949988:1 gene:ENSMUSG00000101415.1 transcript:ENSMUST00000191265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28168 description:predicted gene 28168 [Source:MGI Symbol;Acc:MGI:5578874] MASCQVLLIFMDVALEFSKEEWGCLDSAQRALYRDVMLENYSNLVSVDQEAQDTLLERTQ SQNLKAHYRISTQVTSYKCNECGKSFTQSSSLKVHHRIHTEDKPYKCKECGKFFKHWSSV KIHHRLHTGDKPYKCNECGKSFAHYSQLKYHHIIQIGEKPYKGNVCGKSFTRYSDFKVHH >ENSMUSP00000074848.6 pep:known chromosome:GRCm38:X:28584992:28607842:-1 gene:ENSMUSG00000096457.7 transcript:ENSMUST00000075388.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10147 description:predicted gene 10147 [Source:MGI Symbol;Acc:MGI:3710518] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000136201.1 pep:known chromosome:GRCm38:X:28585114:28606271:-1 gene:ENSMUSG00000096457.7 transcript:ENSMUST00000178966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10147 description:predicted gene 10147 [Source:MGI Symbol;Acc:MGI:3710518] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000099669.1 pep:known chromosome:GRCm38:2:89927319:89930746:1 gene:ENSMUSG00000049149.7 transcript:ENSMUST00000102609.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1258 description:olfactory receptor 1258 [Source:MGI Symbol;Acc:MGI:3031092] MEIRSNVTEFVLLGLTRNPSMQKIVFAVFVVIYIISMVGNVLIVVTITASPSLGSPMYFF LAYLSFIDACYSSVNTPKLIIDSLHEKKTILFNGCMTQVFGEHFFGGAEGILLTVMAYDR YVAICKPLHYTTIMSRRVCGLLVGVVWVGGFLHATIQILFIFKLPFCGPNVIDHFMCDLN PLLNLACTDTHILGLFVAANSGFICLLNFLLLLVSYVVILRSLRNHSAEGRRKALSTCVS HITVVVLFFVPCIFVYMRPSATLSIDKAVAVFYTMITPLLNPLIYTLRNAQMKDAIKKLC RWKDDVISINK >ENSMUSP00000107141.1 pep:known chromosome:GRCm38:2:89929811:89930746:1 gene:ENSMUSG00000049149.7 transcript:ENSMUST00000111516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1258 description:olfactory receptor 1258 [Source:MGI Symbol;Acc:MGI:3031092] MEIRSNVTEFVLLGLTRNPSMQKIVFAVFVVIYIISMVGNVLIVVTITASPSLGSPMYFF LAYLSFIDACYSSVNTPKLIIDSLHEKKTILFNGCMTQVFGEHFFGGAEGILLTVMAYDR YVAICKPLHYTTIMSRRVCGLLVGVVWVGGFLHATIQILFIFKLPFCGPNVIDHFMCDLN PLLNLACTDTHILGLFVAANSGFICLLNFLLLLVSYVVILRSLRNHSAEGRRKALSTCVS HITVVVLFFVPCIFVYMRPSATLSIDKAVAVFYTMITPLLNPLIYTLRNAQMKDAIKKLC RWKDDVISINK >ENSMUSP00000113744.1 pep:known chromosome:GRCm38:12:72085857:72101461:1 gene:ENSMUSG00000005078.16 transcript:ENSMUST00000117449.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jkamp description:JNK1/MAPK8-associated membrane protein [Source:MGI Symbol;Acc:MGI:1915057] MACLGLYCGKTLLFKNGSSEIYGECGVCPRGQRTNAQKYCQPCTESPELYDWLYLGFMAM LPLVLHWFFIEWYSGKKSSSALFQHITALFECTMAAIITLLVSDPVGVLYIRSCRVLMLS DWYTMLYNPSPDYVTTVHCTHEAVYPLYTIVFVYYAFCLVLMMLLRPLLVKKIACGLGKS DRFKSIYAALYFFPILTVLQAVGGGLLYYAFPYIILVLSLVTLAVYMSASEIENCYDLLV RKKRLIVLFSHWLLHAYGIVSISRVDRLEHDLPLLALVPTPALFYLFTAKFTEPSRILSE GANGH >ENSMUSP00000061370.8 pep:known chromosome:GRCm38:12:72085857:72100861:1 gene:ENSMUSG00000005078.16 transcript:ENSMUST00000057257.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jkamp description:JNK1/MAPK8-associated membrane protein [Source:MGI Symbol;Acc:MGI:1915057] MAVDIQPACLGLYCGKTLLFKNGSSEIYGECGVCPRGQRTNAQKYCQPCTESPELYDWLY LGFMAMLPLVLHWFFIEWYSGKKSSSALFQHITALFECTMAAIITLLVSDPVGVLYIRSC RVLMLSDWYTMLYNPSPDYVTTVHCTHEAVYPLYTIVFVYYAFCLVLMMLLRPLLVKKIA CGLGKSDRFKSIYAALYFFPILTVLQAVGGGLLYYAFPYIILVLSLVTLAVYMSASEIEN CYDLLVRKKRLIVLFSHWLLHAYGIVSISRVDRLEHDLPLLALVPTPALFYLFTAKFTEP SRILSEGANGH >ENSMUSP00000117251.1 pep:known chromosome:GRCm38:12:72085589:72094867:1 gene:ENSMUSG00000005078.16 transcript:ENSMUST00000125764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jkamp description:JNK1/MAPK8-associated membrane protein [Source:MGI Symbol;Acc:MGI:1915057] MQAVDIQPACLGLYCGKTLLFKNGSSEIYGECGVCPRGQRTNAQKYCQPCTESPELYDWL YLGFMAMLPLVLHWFFIEWYSGKKSSSALFQHITALFECTMAAIITLLVSDPVGVLYIRS CRVLMLSDWYTMLYNPSPDYVTTVHCTHEAVYPLYTIVFVYYAFCLVLMMLLRPLLVKKI ACGLGKSDRFKSIYAALYFFPILTVLQAVGGGLL >ENSMUSP00000138308.1 pep:known chromosome:GRCm38:1:33908225:34307592:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000183034.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] MIAAAFLVLLRPYSIQCALFLLLLLLGTVATIVFFCCWHRKLQKGRHPMKSVFSGRSRSR DAALRSHHFRSEGFRASPRHIRRRVAAAAAARLEEVKPVVEVHHQSEQESSGRKRRIKKN SRVQPEFYHSVQGASTRRPSSGNASYRCSMSSSADFSDEDDFSQKSGSASPAPGDTLPWN LPKHERSKRKIQGGSVLDPAERAVLRIADERDKVQKKTFTKWINQHLMKVRKHVNDLYED LRDGHNLISLLEVLSGDTLPREKGRMRFHRLQNVQIALDYLKRRQVKLVNIRNDDITDGN PKLTLGLIWTIILHFQISDIHVTGESEDMSAKERLLLWTQQATEGYAGVRCENFTTCWRD GKLFNAIIHKYRPDLIDMNTVAVQSNLANLEHAFYVAEKIGVIRLLDPEDVDVSSPDEKS VITYVSSLYDAFPKVPEGGEGIGANDVEVKWIEYQNMVNYLIQWIRHHVVTMSERTFPNN PLELKALYNQYLQFKEKEIPPKEMEKSKIKRLYKLLEIWIEFGRIKLLQGYHPNDIEKEW GKLIIAMLEREKALRPEVERLDMLQQIATRVQRDSVSCEDKLILARNALQSDSKRLESGV QFQNEAEIAGYILECENLLRQHVIDVQILIDGKYYQADQLVQRVAKLRDEIMALRNECSS VYSKGRMLTTEQTKLMISGITQSLNSGFAQTLHPSLNSGLTQSLTPSLTSSSVTSGLSSG MTSRLTPSVTPVYAPGFPSVVAPNFSLGVEPNSLQTLKLMQIRKPLLKSSLLDQNLTEEE VNMKFVQDLLNWVDEMQVQLDRTEWGSDLPSVESHLENHKNVHRAIEEFESSLKEAKISE IQMTAPLKLSYTDKLHRLESQYAKLLNTSRNQERHLDTLHNFVTRATNELIWLNEKEESE VAYDWSERNSSVARKKSYHAELMRELEQKEESIKAVQEIAEQLLLENHPARLTIEAYRAA MQTQWSWILQLCQCVEQHIQENSAYFEFFNDAKEATDYLRNLKDAIQRKYSCDRSSSIHK LEDLVQESMEKEELLQYRSVVAGLMGRAKTVVQLKPRNPDNPLKTSIPIKAICDYRQIEI TIYKDDECVLANNSHRAKWKVISPTGNEAMVPSVCFTVPPPNKEAVDFANRIEQQYQSVL TLWHESHINMKSVVSWHYLVNEIDRIRASNVASIKTMLPGEHQQVLSNLQSRLEDFLEDS QESQIFSGSDISQLEKEVSVCRKYYQELLKSAEREEQEESVYNLYISEVRNIRLRLESCE DRLIRQIRTPLERDDLHESMLRITEQEKLKKELDRLKDDLGTITNKCEEFFSQAADSPSV PALRSELSVVIQSLSQIYSMSSTYIEKLKTVNLVLKNTQAAEALVKLYETKLCEEEAVIA DKNNIENLMSTLKQWRSEVDEKREVFHALEDELQKAKAISDEMFKTHKERDLDFDWHKEK ADQLVERWQSVHVQIDNRLRDLEGIGKSLKHYRDSYHPLDDWIQHIETTQRKIQENQPEN SKALALQLNQQKMLVSEIEVKQSKMDECQKYSEQYSAAVKDYELQTMTYRAMVESQQKSP VKRRRIQSSADLVIQEFMDLRTRYTALVTLMTQYIKFAGDSLKRLEEEEKSLDEEKKQHI EKAKELQKWVSNISKTLGDGEKAGKPLFSKQQMSSKEISTKKEQFSEALQTTQIFLAKHG DKLTEEERSDLEKQVKTLQEGYNLLFSESLKQQELQPSGESKVPEKPDKVIAGTINQTTG EVLSVFQAVLRGLIDYETGIRLLEAQLVITGLISPELRKCFDLRDAESHGLIDEQVLRQL KELNRAKQLISTASPTSIPVLDSLAQGMVSESMAIRVLEILLSAGPLLVPATGEHLTLQQ AFQQNLISSALFSKVLERQDTCKDLIDPCTSEKVSLTDMVQRSILQENTRMWLLPVRPQE AGRITLKCGRSVSILRAAHEGLIDRETMFRLLGAQLLSGGLIDCNSGQKMTVEEAVAEGV IDRDTASSILTYQVQTGGIVHSNPAKRLTVDEAVQCELITSSSALLVLEAQRGYVGLIWP HSGEIFPTSSSLQQELITNELASKILNGRQKIAALYIPESSQVIGLDAAKQLGIIDNNTA SVLKSVTLPDKMPDLGDLEDCKNAKRWLSFCKLQPSTVHDYRQEEGGSDGEEPVTAQSSE QTKKLFLSYLMVNSYMDAHTGQRLLLYDGDLDEAVGMLLESCGTELGADTSTRESLSVLT IPDAFPDCALSEEKHECSAAAAGPDKCHYSHPGHKESLENAKWDMNEAFCKMGNNDSNGE LPRPENLADTTVVQKGSESPSRVRVPKPTSSSTQPEGSVLRPESGSILKGCKSQSEPVTK KYPDGANHSHFLTSETSRPCDSNEREDEENIQKGPSVFDYSPRLSALLSHDELRQSQGRF SDTSTPQNTGYLCEASTLSPSDQRVLADQSTREKFQDQFLGIAAISVSLQGAPCGQKPVD TECSSSQVHYHSEESMSDASAESGATRQTDESEKTGSKVEDNSCTMVPGGGSRNDNTSDC GPLSHKGAIDAGDYETSLLAGQQSDTATDSDSDDYFYDTPLFEDEDHDSLILQGDDRDCL QPEDYDTSLQEENDRTPPPDDIFYDVMKEKENPEFPHGGMDESLGVENKVCCPQGFPVGI EKPELYLAGEKEFNSGGSEQLVESVSESENPPGLWDSESDSLTEGEIIGRKERLGASLTP DGHWRGDREECDTSRESQSDTDGVGSIQSSESYRPYMSDGSDLDEEDNGGRSSEDSGDGR GGQGVADEGGEPQYQADPTQLYTAIRKEHGGETQNVSDMIPLDKTHSYSPLETQHGAGVF QPESAGRGGWDTERSSHPELTTEADEEDEASLSTHMATKGVSLSNAEGTASEEIRLVQGP DSTGILKAEDLENVSPEISPSSDNIVRSEAELGGGASEDGHLSFTGSDRDQQGPGRGLVK GRDGQSDKLVDETSIREMGFQKEGVLMSSPEEGGEEERDLEPFPNGSATESLNMGKSQVP PLLTHTEELSHRGAPHTTTMTTTMTLEGEAKNVQTGLTESPVLLETLAEIFDTPASKVTR ADLTSAVTASEMKSQVKEDSLTGGPEKETGPCTSLGHCDKCIHVDMLEPNEHTPSCALVA PPTVKDNLCSVNNAGEKSVRPQEDWPPAAEVRLSDACVEESISEGKAGILQFTPENSDST LSRLPHQSVAGWGKSADSVQARLPVSGVRHTSADTLDVGCPQLESSREKASAEEEPHRER ALSLKPQEREHHMLGFVEDGRSILKSSLDKVHMNLQEVGDPSAGTGTKISIQNLIRRAIL SELPNEVSNVPSHGISPISNSSEVRAESGGDPFCITSFLHLLKQNQPPQETPGISELAKV LTQMDCDPEQRGLGSELLPPQLKNAFYKLLFDGYATEKDQAEALGQTSCAVPKMAEEKPH VCSDLRNKEGHHCPLNPQAVGEAEVEPFSVHIAALPGGEKLGELCSEPPEHSESTSGSKE RSSDSSSKEKCSNGLQQCLQHTEKMHEYLVLLQDMKPPLDNQASVESSLEALKSQLKQLE AFELGLAPIAVFLRKDLKLAEEFLKSFPSDLPRRHHEELSKSHQRLQNAFSSLSSVSSER MKLIKLAINSEMSKLAVRHEDFLHKLTSYSDWVSEKSRSVKAIQTVNVQDTELVKNSVKF LKNVLADLSHTKMQLETTAFDVQSFISDYAQDLSPSQSRQLLRLLNTTQKGFLDLQELVT TEADRLEALLQLEQELGHQKVVAERQQEYREKLQGLCDLLTQTENRLISNQEAFVIGDGT VELQKYQSKQEELQRDMQGSTQAMEEIVRNTELFLKESGDELSQADRALIEQKLNEVKMK CAQLNLKAEQSRKELDKAVTTALKEETEKVAAVRQLEESKTKIENLLNWLSNVEEDSEGV WTKHTQPMEQNGTYLHEGDSKLGAGEEDEVNGNLLETDAEGHSEATKGNLNQQYEKVKAQ HGKIMAQHQAVLLATQSAQVLLEKQGHYLSPEEKEKLQKNTQELKVHYEKVLAECEKKVK LTHSLQEELEKFDTDYSEFEHWLQQSEQELANLEAGADDLSGLMDKLTRQKSFSEDVISH KGDLRYITISGNRVIDAAKSCSKRDSDRIGKDSVETSATHREVQTKLDQVTDRFRSLYSK CSVLGNNLKDLVDQYQQYEDASCGLLSGLQACEAKASKHLREPIALDPKNLQRQLEETKA LQGQISSQQVAVEKLKKTAEVLLDAKGSLLPAKNDIQKTLDDIVGRYDDLSKCVNERNEK LQITLTRSLSVQDALDEMLDWMGSVESSLVKPGQVPLNSTALQDLISKDTMLEQDITGRQ SSINAMNEKVKTFIETTDPSTASSLQAKMKDLSARFSEASQKHKEKLAKMVELKAKVEQF EKLSDKLQTFLETQSQALTEVAMPGKDVPELSQHMQESTAKFLEHRKDLEALHSLLKEIS SHGLPGDKALVFEKTNNLSRKFKEMEDTIQEKKDALSSCQEQLSAFQTLAQSLKTWIKET TKQVPVVKPSLGTEDLRKSLEETKKLQEKWNLKAPEIHKANNSGVSLCNLLSALISPAKA IAAAKSGGVILNGEGTDTNTQDFLANKGLTSIKKDMTDISHSYEDLGLLLKDKIVELNTK LSKLQKAQEESSAMMQWLEKMNKTASRWRQTPTPADTESVKLQVEQNKSFEAELKQNVNK VQELKDKLSELLEENPEAPEAQSWKQALAEMDTKWQELNQLTMDRQQKLEESSNNLTQFQ TTEAQLKQWLMEKELMVSVLGPLSIDPNMLNTQKQQVQILLQEFDTRKPQYEQLTAAGQG ILSRPGEDPSLHGIVNEQLEAVTQKWDNLTGQLRDRCDWIDQAIVKSTQYQSLLRSLSGT LTELDDKLSSGLTSGALPDAVNQQLEAAQRLKQEIEQQAPKIKEAQEVCEDLSALVKEEY LKAELSRQLEGILKSFKDIEQKTENHVQHLQSACASSHQFQQMSKDFQAWLDAKKEEQRD SPPISAKLDVLESLLNSQKDFGKTFTEQSNIYEKTISEGENLLLKTQGAEKAALQLQLNT MKTDWDRFRKQVKEREEKLKDSLEKALKYREQVETLRPWIDRCQHSLDGVTFSLDPTESE SSIAELKSLQKEMDHHFGMLELLNNTANSLLSVCEVDKEAVTEENQSLMEKVNRVTEQLQ SKTVSLENMAQKFKEFQEVSRDTQRQLQDTKEQLEVHHSLGPQAYSNKHLSVLQAQQKSL QTLKQQVDEAKRLAQDLVVEAADSKGTSDVLLQAETLAEEHSELSQQVDEKCSFLETKLQ GLGHFQNTIREMFSQFTECDDELDGMAPVGRDAETLRKQKACMQTFLKKLEALMASNDSA NRTCKMMLATEETSPDLIGVKRDLEALSKQCNKLLDRAKTREEQVDGATEKLEEFHRKLE EFSTLLQKAEEHEESQGPVGTETETINQQLDVFKVFQKEEIEPLQVKQQDVNWLGQGLIQ SAAANTCTQGLEHDLDSVNSRWKTLNKKVAQRTSQLQEALLHCGRFQDALESLLSWMADT EELVANQKPPSAEFKVVKAQIQEQKLLQRLLEDRKSTVEVIKREGEKIAASAEPADRVKL TRQLSLLDSRWEALLSRAEARNRQLEGISVVAQEFHETLEPLNEWLTAVEKKLANSEPIG TQAPKLEEQISQHKVLEDDITNHSKQLHQAVSIGQSLKVLSSREDKDLVQSKLDSLQVWY FEIQEKSHSRSELLQQALCNAKIFGEDEVELMNWLNEVHGKLSKLSVQDHSPEALWRQRA ELRALQEDILLRKQSVDQALLNGLELLKQTTGDEVLIIQDKLEAIKARYKDITKLSADVA KTLEHALQLAGQLQSMHKELCNWLDKVEVELLSYETQGLKGEAASQVQERQKELKNEVRS NKALVDSLNEVSSALLELVPWRAREGLEKTIAEDNERYRLVSDTITQKVEEIDAAILRSQ QFEQAADAELSWITETQKKLMSLGDIRLEQDQTSAQLQVQKAFTMDILRHKDIIDELVTS GHKIMTTSSEEEKQSMKKKLDKVLKKYDAVCQINSERHLQLERAQSLVSQFWETYEELWP WLTETQRIISQLPAPALEYETLRRQQEEHRQLRELIAEHKPHIDKMNKTGPQLLELSPKE GIYIQEKYVAADTLYSQIKEDVKKRAVVLDEAISQSTQFHDKIDQILESLERIAERLRQP PSISAEVEKIKEQIGENKSVSVDMEKLQPLYETLRQRGEEMIARSEGTEKDVSARAVQDK LDQMVFIWGSIHTLVEEREAKLLDVMELAEKFWCDHMSLVVTIKDTQDFIRDLEDPGIDP SVVKQQQEAAEAIREEIDGLQEELDMVITLGSELIAACGEPDKPIVKKSIDELNSAWDSL NKAWKDRVDRLEEAMQAAVQYQDGLQGIFDWVDIAGNKLATMSPIGTDLETVKQQIEELK QFKSEAYQQQIEMERLNHQAELLLKKVTEEADKHTVQDPLMELKLIWDSLDERIVSRQHK LEGALLALGQFQHALDELLAWLTHTKGLLSEQKPVGGDPKAIEIELAKHHVLQNDVLAHQ STVEAVNKAGNDLIESSEGEEASNLQYKLRILNQRWQDILEKTDQRKQQLDSALRQAKGF HGEIEDLQQWLTDTERHLLASKPLGGLPETAKEQLNAHMEVCTAFAIKEETYKSLMLRGQ QMLARCPRSAETNIDQDITNLKEKWESVKSKLNEKKTKLEEALHLAMNFHNSLQDFINWL TQAEQTLNVASRPSLILDTILFQIDEHKVFANEVNSHREQIIELDKTGTHLKYFSQKQDV VLIKNLLISVQSRWEKVVQRLVERGRSLDEARKRAKQFHEAWSKLMEWLEESEKSLDSEL EIANDPDKIKAQLVQHKEFQKSLGGKHSVYDTTNRTGRSLKEKTSLADDNLKLDNMLSEL RDKWDTICGKSVERQNKLEEALLFSGQFTDALQALIDWLYRVEPQLAEDQPVHGDIDLVM NLIDNHKVFQKELGKRTSSVQALKRSARELIEGSRDDSSWVRVQMQELSTRWETVCALSI SKQTRLESALQQAEEFHSVVHTLLEWLAEAEQTLRFHGALPDDEDALRTLIEQHKEFMKR LEEKRAELSKATGMGDALLAVCHPDSITTIKHWITIIQARFEEVLAWAKQHQQRLAGALA GLIAKQELLETLLAWLQWAETTLTEKDKEVIPQEIEEVKTLIAEHQTFMEEMTRKQPDVD KVTKTYKRRATDPPSLQSHIPVLDKGRAGRKRFPASGFYPSGSQTQIETKNPRVNLLVSK WQQVWLLALERRRKLNDALDRLEELREFANFDFDIWRKKYMRWMNHKKSRVMDFFRRIDK DQDGKITRQEFIDGILSSKFPTSRLEMSAVADIFDRDGDGYIDYYEFVAALHPNKDAYKP ITDADKIEDEVTRQVAKCKCAKRFQVEQIGDNKYRFFLGNQFGDSQQLRLVRILRSTVMV RVGGGWMALDEFLVKNDPCRVHHHGSKMLRSESNSSITATQPTLAKGRTNMELREKFILA DGASQGMAAFRPRGRRSRPSSRGASPNRSTSASSHACQAASPPVPAAASTPKILHPLTRN YGKPWLANSKMSTPCKAAECPDFPVSSAEGTPIQGSKLRLPGYLSGKGFHSGEDSALITT AAARVRTQFAESRKTPSRPGSRAGSKAGSRASSRRGSDASDFDISEIQSVCSDVETVPQT HRPVPRAGSRPSTAKPSKIPTPQRRSPASKLDKSSKR >ENSMUSP00000140560.1 pep:known chromosome:GRCm38:1:34005880:34174795:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000187486.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] MSSGNASYRCSMSSSADFSDEDDFSQKSGSASPAPGDTLPWNLPKHERSKRKIQGGSVLD PAERAVLRIADERDKVQKKTFTKWINQHLMKVRKHVNDLYEDLRDGHNLISLLEVLSGDT LPREKGRMRFHRLQNVQIALDYLKRRQVKLVNIRNDDITDGNPKLTLGLIWTIILHFQIS DIHVTGESEDMSAKERLLLWTQQATEGYAGVRCENFTTCWRDGKLFNAIIHKYRPDLIDM NTVAVQSNLANLEHAFYVAEKIGVIRLLDPEDVDVSSPDEKSVITYVSSLYDAFPKVPEG GEGIGANDVEVKWIEYQNMVNYLIQWIRHHVVTMSERTFPNNPLELKALYNQYLQFKEKE IPPKEMEKSKIKRLYKLLEIWIEFGRIKLLQGYHPNDIEKEWGKLIIAMLEREKALRPEV ERLDMLQQIATRVQRDSVSCEDKLILARNALQSDSKRLESGVQFQNEAEIAGYILECENL LRQHVIDVQILIDGKYYQADQLVQRVAKLRDEIMALRNECSSVYSKGRMLTTEQTKLMIS GITQSLNSGFAQTLHPSLNSGLTQSLTPSLTSSSVTSGLSSGMTSRLTPSVTPVYAPGFP SVVAPNFSLGVEPNSLQTLKLMQIRKPLLKSSLLDQNLTEEEVNMKFVQDLLNWVDEMQV QLDRTEWGSDLPSVESHLENHKNVHRAIEEFESSLKEAKISEIQMTAPLKLSYTDKLHRL ESQYAKLLNTSRNQERHLDTLHNFVTRATNELIWLNEKEESEVAYDWSERNSSVARKKSY HAELMRELEQKEESIKAVQEIAEQLLLENHPARLTIEAYRAAMQTQWSWILQLCQCVEQH IQENSAYFEFFNDAKEATDYLRNLKDAIQRKYSCDRSSSIHKLEDLVQESMEKEELLQYR SVVAGLMGRAKTVVQLKPRNPDNPLKTSIPIKAICDYRQIEITIYKDDECVLANNSHRAK WKVISPTGNEAMVPSVCFTVPPPNKEAVDFANRIEQQYQSVLTLWHESHINMKSVVSWHY LVNEIDRIRASNVASIKTMLPGEHQQVLSNLQSRLEDFLEDSQESQIFSGSDISQLEKEV SVCRKYYQELLKSAEREEQEESVYNLYISEVRNIRLRLESCEDRLIRQIRTPLERDDLHE SMLRITEQEKLKKELDRLKDDLGTITNKCEEFFSQAADSPSVPALRSELSVVIQSLSQIY SMSSTYIEKLKTVNLVLKNTQAAEALVKLYETKLCEEEAVIADKNNIENLMSTLKQWRSE VDEKREVFHALEDELQKAKAISDEMFKTHKERDLDFDWHKEKADQLVERWQSVHVQIDNR LRDLEGIGKSLKHYRDSYHPLDDWIQHIETTQRKIQENQPENSKALALQLNQQKMLVSEI EVKQSKMDECQKYSEQYSAAVKDYELQTMTYRAMVESQQKSPVKRRRIQSSADLVIQEFM DLRTRYTALVTLMTQYIKFAGDSLKRLEEEE >ENSMUSP00000141811.1 pep:known chromosome:GRCm38:1:34005882:34137135:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000182697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] MSSGNASYRCSMSSSADFSDEDDFSQKSGSASPAPGDTLPWNLPKHERSKRKIQGGSVLD PAERAVLRIADERDKVQKKTFTKWINQHLMKVRKHVNDLYEDLRDGHNLISLLEVLSGDT LPREKGRMRFHRLQNVQIALDYLKRRQVKLVNIRNDDITDGNPKLTLGLIWTIILHFQIS DIHVTGESEDMSAKERLLLWTQQATEGYAGVRCENFTTCWRDGKLFNAIIHKYRYRMCPA LSHANTSHSFISGVFNRMLLLKGHCVCVREERAR >ENSMUSP00000142098.1 pep:known chromosome:GRCm38:1:34006126:34137136:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000182314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] MLLLCHPPQSSGNASYRCSMSSSADFSDEDDFSQKSGSASPAPGDTLPWNLPKHERSKRK IQGGSVLDPAERAVLRIADERDKVQKKTFTKWINQHLMKVRKHVNDLYEDLRDGHNLISL LEVLSGDTLPREKGRMRFHRLQNVQIALDYLKRRQVKLVNIRNDDITDGNPKLTLGLIWT IILHFQISDIHVTGESEDMSAKERLLLWTQQATEGYAGVRCENFTTCWRDGKLFNAIIHK YRYRMCPALSHANTSHSFISGVFNRMLLLKGHCVCVREERAR >ENSMUSP00000095393.2 pep:known chromosome:GRCm38:1:34011813:34308652:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000097786.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] MAGYLSPAAYMYVEEQEYLQAYEDVLERYKDERDKVQKKTFTKWINQHLMKVRKHVNDLY EDLRDGHNLISLLEVLSGDTLPREKGRMRFHRLQNVQIALDYLKRRQVKLVNIRNDDITD GNPKLTLGLIWTIILHFQISDIHVTGESEDMSAKERLLLWTQQATEGYAGVRCENFTTCW RDGKLFNAIIHKYRPDLIDMNTVAVQSNLANLEHAFYVAEKIGVIRLLDPEDVDVSSPDE KSVITYVSSLYDAFPKVPEGGEGIGANDVEVKWIEYQNMVNYLIQWIRHHVVTMSERTFP NNPLELKALYNQYLQFKEKEIPPKEMEKSKIKRLYKLLEIWIEFGRIKLLQGYHPNDIEK EWGKLIIAMLEREKALRPEVERLDMLQQIATRVQRDSVSCEDKLILARNALQSDSKRLES GVQFQNEAEIAGYILECENLLRQHVIDVQILIDGKYYQADQLVQRVAKLRDEIMALRNEC SSVYSKGRMLTTEQTKLMISGITQSLNSGFAQTLHPSLNSGLTQSLTPSLTSSSVTSGLS SGMTSRLTPSVTPVYAPGFPSVVAPNFSLGVEPNSLQTLKLMQIRKPLLKSSLLDQNLTE EEVNMKFVQDLLNWVDEMQVQLDRTEWGSDLPSVESHLENHKNVHRAIEEFESSLKEAKI SEIQMTAPLKLSYTDKLHRLESQYAKLLNTSRNQERHLDTLHNFVTRATNELIWLNEKEE SEVAYDWSERNSSVARKKSYHAELMRELEQKEESIKAVQEIAEQLLLENHPARLTIEAYR AAMQTQWSWILQLCQCVEQHIQENSAYFEFFNDAKEATDYLRNLKDAIQRKYSCDRSSSI HKLEDLVQESMEKEELLQYRSVVAGLMGRAKTVVQLKPRNPDNPLKTSIPIKAICDYRQI EITIYKDDECVLANNSHRAKWKVISPTGNEAMVPSVCFTVPPPNKEAVDFANRIEQQYQS VLTLWHESHINMKSVVSWHYLVNEIDRIRASNVASIKTMLPGEHQQVLSNLQSRLEDFLE DSQESQIFSGSDISQLEKEVSVCRKYYQELLKSAEREEQEESVYNLYISEVRNIRLRLES CEDRLIRQIRTPLERDDLHESMLRITEQEKLKKELDRLKDDLGTITNKCEEFFSQAADSP SVPALRSELSVVIQSLSQIYSMSSTYIEKLKTVNLVLKNTQAAEALVKLYETKLCEEEAV IADKNNIENLMSTLKQWRSEVDEKREVFHALEDELQKAKAISDEMFKTHKERDLDFDWHK EKADQLVERWQSVHVQIDNRLRDLEGIGKSLKHYRDSYHPLDDWIQHIETTQRKIQENQP ENSKALALQLNQQKMLVSEIEVKQSKMDECQKYSEQYSAAVKDYELQTMTYRAMVESQQK SPVKRRRIQSSADLVIQEFMDLRTRYTALVTLMTQYIKFAGDSLKRLEEEEKSLDEEKKQ HIEKAKELQKWVSNISKTLGDGEKAGKPLFSKQQMSSKEISTKKEQFSEALQTTQIFLAK HGDKLTEEERSDLEKQVKTLQEGYNLLFSESLKQQELQPSGESKVPEKVVAERQQEYREK LQGLCDLLTQTENRLISNQEAFVIGDGTVELQKYQSKQEELQRDMQGSTQAMEEIVRNTE LFLKESGDELSQADRALIEQKLNEVKMKCAQLNLKAEQSRKELDKAVTTALKEETEKVAA VRQLEESKTKIENLLNWLSNVEEDSEGVWTKHTQPMEQNGTYLHEGDSKLGAGEEDEVNG NLLETDAEGHSEATKGNLNQQYEKVKAQHGKIMAQHQAVLLATQSAQVLLEKQGHYLSPE EKEKLQKNTQELKVHYEKVLAECEKKVKLTHSLQEELEKFDTDYSEFEHWLQQSEQELAN LEAGADDLSGLMDKLTRQKSFSEDVISHKGDLRYITISGNRVIDAAKSCSKRDSDRIGKD SVETSATHREVQTKLDQVTDRFRSLYSKCSVLGNNLKDLVDQYQQYEDASCGLLSGLQAC EAKASKHLREPIALDPKNLQRQLEETKALQGQISSQQVAVEKLKKTAEVLLDAKGSLLPA KNDIQKTLDDIVGRYDDLSKCVNERNEKLQITLTRSLSVQDALDEMLDWMGSVESSLVKP GQVPLNSTALQDLISKDTMLEQDITGRQSSINAMNEKVKTFIETTDPSTASSLQAKMKDL SARFSEASQKHKEKLAKMVELKAKVEQFEKLSDKLQTFLETQSQALTEVAMPGKDVPELS QHMQESTAKFLEHRKDLEALHSLLKEISSHGLPGDKALVFEKTNNLSRKFKEMEDTIQEK KDALSSCQEQLSAFQTLAQSLKTWIKETTKQVPVVKPSLGTEDLRKSLEETKKLQEKWNL KAPEIHKANNSGVSLCNLLSALISPAKAIAAAKSGGVILNGEGTDTNTQDFLANKGLTSI KKDMTDISHSYEDLGLLLKDKIVELNTKLSKLQKAQEESSAMMQWLEKMNKTASRWRQTP TPADTESVKLQVEQNKSFEAELKQNVNKVQELKDKLSELLEENPEAPEAQSWKQALAEMD TKWQELNQLTMDRQQKLEESSNNLTQFQTTEAQLKQWLMEKELMVSVLGPLSIDPNMLNT QKQQVQILLQEFDTRKPQYEQLTAAGQGILSRPGEDPSLHGIVNEQLEAVTQKWDNLTGQ LRDRCDWIDQAIVKSTQYQSLLRSLSGTLTELDDKLSSGLTSGALPDAVNQQLEAAQRLK QEIEQQAPKIKEAQEVCEDLSALVKEEYLKAELSRQLEGILKSFKDIEQKTENHVQHLQS ACASSHQFQQMSKDFQAWLDAKKEEQRDSPPISAKLDVLESLLNSQKDFGKTFTEQSNIY EKTISEGENLLLKTQGAEKAALQLQLNTMKTDWDRFRKQVKEREEKLKDSLEKALKYREQ VETLRPWIDRCQHSLDGVTFSLDPTESESSIAELKSLQKEMDHHFGMLELLNNTANSLLS VCEVDKEAVTEENQSLMEKVNRVTEQLQSKTVSLENMAQKFKEFQEVSRDTQRQLQDTKE QLEVHHSLGPQAYSNKHLSVLQAQQKSLQTLKQQVDEAKRLAQDLVVEAADSKGTSDVLL QAETLAEEHSELSQQVDEKCSFLETKLQGLGHFQNTIREMFSQFTECDDELDGMAPVGRD AETLRKQKACMQTFLKKLEALMASNDSANRTCKMMLATEETSPDLIGVKRDLEALSKQCN KLLDRAKTREEQVDGATEKLEEFHRKLEEFSTLLQKAEEHEESQGPVGTETETINQQLDV FKVFQKEEIEPLQVKQQDVNWLGQGLIQSAAANTCTQGLEHDLDSVNSRWKTLNKKVAQR TSQLQEALLHCGRFQDALESLLSWMADTEELVANQKPPSAEFKVVKAQIQEQKLLQRLLE DRKSTVEVIKREGEKIAASAEPADRVKLTRQLSLLDSRWEALLSRAEARNRQLEGISVVA QEFHETLEPLNEWLTAVEKKLANSEPIGTQAPKLEEQISQHKALQEDILLRKQSVDQALL NGLELLKQTTGDEVLIIQDKLEAIKARYKDITKLSADVAKTLEHALQLAGQLQSMHKELC NWLDKVEVELLSYETQGLKGEAASQVQERQKELKNEVRSNKALVDSLNEVSSALLELVPW RAREGLEKTIAEDNERYRLVSDTITQKVEEIDAAILRSQQFEQAADAELSWITETQKKLM SLGDIRLEQDQTSAQLQVQKAFTMDILRHKDIIDELVTSGHKIMTTSSEEEKQSMKKKLD KVLKKYDAVCQINSERHLQLERAQSLVSQFWETYEELWPWLTETQRIISQLPAPALEYET LRRQQEEHRQLRELIAEHKPHIDKMNKTGPQLLELSPKEGIYIQEKYVAADTLYSQIKED VKKRAVVLDEAISQSTQFHDKIDQILESLERIAERLRQPPSISAEVEKIKEQIGENKSVS VDMEKLQPLYETLRQRGEEMIARSEGTEKDVSARAVQDKLDQMVFIWGSIHTLVEEREAK LLDVMELAEKFWCDHMSLVVTIKDTQDFIRDLEDPGIDPSVVKQQQEAAEAIREEIDGLQ EELDMVITLGSELIAACGEPDKPIVKKSIDELNSAWDSLNKAWKDRVDRLEEAMQAAVQY QDGLQGIFDWVDIAGNKLATMSPIGTDLETVKQQIEELKQFKSEAYQQQIEMERLNHQAE LLLKKVTEEADKHTVQDPLMELKLIWDSLDERIVSRQHKLEGALLALGQFQHALDELLAW LTHTKGLLSEQKPVGGDPKAIEIELAKHHVLQNDVLAHQSTVEAVNKAGNDLIESSEGEE ASNLQYKLRILNQRWQDILEKTDQRKQQLDSALRQAKGFHGEIEDLQQWLTDTERHLLAS KPLGGLPETAKEQLNAHMEVCTAFAIKEETYKSLMLRGQQMLARCPRSAETNIDQDITNL KEKWESVKSKLNEKKTKLEEALHLAMNFHNSLQDFINWLTQAEQTLNVASRPSLILDTIL FQIDEHKVFANEVNSHREQIIELDKTGTHLKYFSQKQDVVLIKNLLISVQSRWEKVVQRL VERGRSLDEARKRAKQFHEAWSKLMEWLEESEKSLDSELEIANDPDKIKAQLVQHKEFQK SLGGKHSVYDTTNRTGRSLKEKTSLADDNLKLDNMLSELRDKWDTICGKSVERQNKLEEA LLFSGQFTDALQALIDWLYRVEPQLAEDQPVHGDIDLVMNLIDNHKVFQKELGKRTSSVQ ALKRSARELIEGSRDDSSWVRVQMQELSTRWETVCALSISKQTRLESALQQAEEFHSVVH TLLEWLAEAEQTLRFHGALPDDEDALRTLIEQHKEFMKRLEEKRAELSKATGMGDALLAV CHPDSITTIKHWITIIQARFEEVLAWAKQHQQRLAGALAGLIAKQELLETLLAWLQWAET TLTEKDKEVIPQEIEEVKTLIAEHQTFMEEMTRKQPDVDKVTKTYKRRATDPPSLQSHIP VLDKGRAGRKRFPASGFYPSGSQTQIETKNPRVNLLVSKWQQVWLLALERRRKLNDALDR LEELREFANFDFDIWRKKYMRWMNHKKSRVMDFFRRIDKDQDGKITRQEFIDGILSSKFP TSRLEMSAVADIFDRDGDGYIDYYEFVAALHPNKDAYKPITDADKIEDEVTRQVAKCKCA KRFQVEQIGDNKYRFFLGNQFGDSQQLRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRV HHHGSKMLRSESNSSITATQPTLAKGRTNMELREKFILADGASQGMAAFRPRGRRSRPSS RGASPNRSTSASSHACQAASPPVPAAASTPKGTPIQGSKLRLPGYLSGKGFHSGEDSALI TTAAARVRTQFAESRKTPSRPGSRAGSKAGSRASSRRGSDASDFDISEIQSVCSDVETVP QTHRPVPRAGSRPSTAKPSKIPTPQRRSPASKLDKSSKR >ENSMUSP00000095392.2 pep:known chromosome:GRCm38:1:34011825:34308661:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000097785.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] MAGYLSPAAYMYVEEQEYLQAYEDVLERYKDERDKVQKKTFTKWINQHLMKVRKHVNDLY EDLRDGHNLISLLEVLSGDTLPREKGRMRFHRLQNVQIALDYLKRRQVKLVNIRNDDITD GNPKLTLGLIWTIILHFQISDIHVTGESEDMSAKERLLLWTQQATEGYAGVRCENFTTCW RDGKLFNAIIHKYRPDLIDMNTVAVQSNLANLEHAFYVAEKIGVIRLLDPEDVDVSSPDE KSVITYVSSLYDAFPKVPEGGEGIGANDVEVKWIEYQNMVNYLIQWIRHHVVTMSERTFP NNPLELKALYNQYLQFKEKEIPPKEMEKSKIKRLYKLLEIWIEFGRIKLLQGYHPNDIEK EWGKLIIAMLEREKALRPEVERLDMLQQIATRVQRDSVSCEDKLILARNALQSDSKRLES GVQFQNEAEIAGYILECENLLRQHVIDVQILIDGKYYQADQLVQRVAKLRDEIMALRNEC SSVYSKGRMLTTEQTKLMISGITQSLNSGFAQTLHPSLNSGLTQSLTPSLTSSSVTSGLS SGMTSRLTPSVTPVYAPGFPSVVAPNFSLGVEPNSLQTLKLMQIRKPLLKSSLLDQNLTE EEVNMKFVQDLLNWVDEMQVQLDRTEWGSDLPSVESHLENHKNVHRAIEEFESSLKEAKI SEIQMTAPLKLSYTDKLHRLESQYAKLLNTSRNQERHLDTLHNFVTRATNELIWLNEKEE SEVAYDWSERNSSVARKKSYHAELMRELEQKEESIKAVQEIAEQLLLENHPARLTIEAYR AAMQTQWSWILQLCQCVEQHIQENSAYFEFFNDAKEATDYLRNLKDAIQRKYSCDRSSSI HKLEDLVQESMEKEELLQYRSVVAGLMGRAKTVVQLKPRNPDNPLKTSIPIKAICDYRQI EITIYKDDECVLANNSHRAKWKVISPTGNEAMVPSVCFTVPPPNKEAVDFANRIEQQYQS VLTLWHESHINMKSVVSWHYLVNEIDRIRASNVASIKTMLPGEHQQVLSNLQSRLEDFLE DSQESQIFSGSDISQLEKEVSVCRKYYQELLKSAEREEQEESVYNLYISEVRNIRLRLES CEDRLIRQIRTPLERDDLHESMLRITEQEKLKKELDRLKDDLGTITNKCEEFFSQAADSP SVPALRSELSVVIQSLSQIYSMSSTYIEKLKTVNLVLKNTQAAEALVKLYETKLCEEEAV IADKNNIENLMSTLKQWRSEVDEKREVFHALEDELQKAKAISDEMFKTHKERDLDFDWHK EKADQLVERWQSVHVQIDNRLRDLEGIGKSLKHYRDSYHPLDDWIQHIETTQRKIQENQP ENSKALALQLNQQKMLVSEIEVKQSKMDECQKYSEQYSAAVKDYELQTMTYRAMVESQQK SPVKRRRIQSSADLVIQEFMDLRTRYTALVTLMTQYIKFAGDSLKRLEEEEKSLDEEKKQ HIEKAKELQKWVSNISKTLGDGEKAGKPLFSKQQMSSKEISTKKEQFSEALQTTQIFLAK HGDKLTEEERSDLEKQVKTLQEGYNLLFSESLKQQELQPSGESKVPEKPDKVIAGTINQT TGEVLSVFQAVLRGLIDYETGIRLLEAQLVITGLISPELRKCFDLRDAESHGLIDEQVLR QLKELNRAKQLISTASPTSIPVLDSLAQGMVSESMAIRVLEILLSAGPLLVPATGEHLTL QQAFQQNLISSALFSKVLERQDTCKDLIDPCTSEKVSLTDMVQRSILQENTRMWLLPVRP QEAGRITLKCGRSVSILRAAHEGLIDRETMFRLLGAQLLSGGLIDCNSGQKMTVEEAVAE GVIDRDTASSILTYQVQTGGIVHSNPAKRLTVDEAVQCELITSSSALLVLEAQRGYVGLI WPHSGEIFPTSSSLQQELITNELASKILNGRQKIAALYIPESSQVIGLDAAKQLGIIDNN TASVLKSVTLPDKMPDLGDLEDCKNAKRWLSFCKLQPSTVHDYRQEEGGSDGEEPVTAQS SEQTKKLFLSYLMVNSYMDAHTGQRLLLYDGDLDEAVGMLLESCGTELGADTSTRESLSV LTIPDAFPDCALSEEKHECSAAAAGPDKCHYSHPGHKESLENAKWDMNEAFCKMGNNDSN GELPRPENLADTTVVQKGSESPSRVRVPKPTSSSTQPEGSVLRPESGSILKGCKSQSEPV TKKYPDGANHSHFLTSETSRPCDSNEREDEENIQKGPSVFDYSPRLSALLSHDELRQSQG RFSDTSTPQNTGYLCEASTLSPSDQRVLADQSTREKFQDQFLGIAAISVSLQGAPCGQKP VDTECSSSQVHYHSEESMSDASAESGATRQTDESEKTGSKVEDNSCTMVPGGGSRNDNTS DCGPLSHKGAIDAGDYETSLLAGQQSDTATDSDSDDYFYDTPLFEDEDHDSLILQGDDRD CLQPEDYDTSLQEENDRTPPPDDIFYDVMKEKENPEFPHGGMDESLGVENKVCCPQGFPV GIEKPELYLAGEKEFNSGGSEQLVESVSESENPPGLWDSESDSLTEGEIIGRKERLGASL TPDGHWRGDREECDTSRESQSDTDGVGSIQSSESYRPYMSDGSDLDEEDNGGRSSEDSGD GRGGQGVADEGGEPQYQADPTQLYTAIRKEHGGETQNVSDMIPLDKTHSYSPLETQHGAG VFQPESAGRGGWDTERSSHPELTTEADEEDEASLSTHMATKGVSLSNAEGTASEEIRLVQ GPDSTGILKAEDLENVSPEISPSSDNIVRSEAELGGGASEDGHLSFTGSDRDQQGPGRGL VKGRDGQSDKLVDETSIREMGFQKEGVLMSSPEEGGEEERDLEPFPNGSATESLNMGKSQ VPPLLTHTEELSHRGAPHTTTMTTTMTLEGEAKNVQTGLTESPVLLETLAEIFDTPASKV TRADLTSAVTASEMKSQVKEDSLTGGPEKETGPCTSLGHCDKCIHVDMLEPNEHTPSCAL VAPPTVKDNLCSVNNAGEKSVRPQEDWPPAAEVRLSDACVEESISEGKAGILQFTPENSD STLSRLPHQSVAGWGKSADSVQARLPVSGVRHTSADTLDVGCPQLESSREKASAEEEPHR ERALSLKPQEREHHMLGFVEDGRSILKSSLDKVHMNLQEVGDPSAGTGTKISIQNLIRRA ILSELPNEVSNVPSHGISPISNSSEVRAESGGDPFCITSFLHLLKQNQPPQETPGISELA KVLTQMDCDPEQRGLGSELLPPQLKNAFYKLLFDGYATEKDQAEALGQTSCAVPKMAEEK PHVCSDLRNKEGHHCPLNPQAVGEAEVEPFSVHIAALPGGEKLGELCSEPPEHSESTSGS KERSSDSSSKEKCSNGLQQCLQHTEKMHEYLVLLQDMKPPLDNQASVESSLEALKSQLKQ LEAFELGLAPIAVFLRKDLKLAEEFLKSFPSDLPRRHHEELSKSHQRLQNAFSSLSSVSS ERMKLIKLAINSEMSKLAVRHEDFLHKLTSYSDWVSEKSRSVKAIQTVNVQDTELVKNSV KFLKNVLADLSHTKMQLETTAFDVQSFISDYAQDLSPSQSRQLLRLLNTTQKGFLDLQEL VTTEADRLEALLQLEQELGHQKVVAERQQEYREKLQGLCDLLTQTENRLISNQEAFVIGD GTVELQKYQSKQEELQRDMQGSTQAMEEIVRNTELFLKESGDELSQADRALIEQKLNEVK MKCAQLNLKAEQSRKELDKAVTTALKEETEKVAAVRQLEESKTKIENLLNWLSNVEEDSE GVWTKHTQPMEQNGTYLHEGDSKLGAGEEDEVNGNLLETDAEGHSEATKGNLNQQYEKVK AQHGKIMAQHQAVLLATQSAQVLLEKQGHYLSPEEKEKLQKNTQELKVHYEKVLAECEKK VKLTHSLQEELEKFDTDYSEFEHWLQQSEQELANLEAGADDLSGLMDKLTRQKSFSEDVI SHKGDLRYITISGNRVIDAAKSCSKRDSDRIGKDSVETSATHREVQTKLDQVTDRFRSLY SKCSVLGNNLKDLVDQYQQYEDASCGLLSGLQACEAKASKHLREPIALDPKNLQRQLEET KALQGQISSQQVAVEKLKKTAEVLLDAKGSLLPAKNDIQKTLDDIVGRYDDLSKCVNERN EKLQITLTRSLSVQDALDEMLDWMGSVESSLVKPGQVPLNSTALQDLISKDTMLEQDITG RQSSINAMNEKVKTFIETTDPSTASSLQAKMKDLSARFSEASQKHKEKLAKMVELKAKVE QFEKLSDKLQTFLETQSQALTEVAMPGKDVPELSQHMQESTAKFLEHRKDLEALHSLLKE ISSHGLPGDKALVFEKTNNLSRKFKEMEDTIQEKKDALSSCQEQLSAFQTLAQSLKTWIK ETTKQVPVVKPSLGTEDLRKSLEETKKLQEKWNLKAPEIHKANNSGVSLCNLLSALISPA KAIAAAKSGGVILNGEGTDTNTQDFLANKGLTSIKKDMTDISHSYEDLGLLLKDKIVELN TKLSKLQKAQEESSAMMQWLEKMNKTASRWRQTPTPADTESVKLQVEQNKSFEAELKQNV NKVQELKDKLSELLEENPEAPEAQSWKQALAEMDTKWQELNQLTMDRQQKLEESSNNLTQ FQTTEAQLKQWLMEKELMVSVLGPLSIDPNMLNTQKQQVQILLQEFDTRKPQYEQLTAAG QGILSRPGEDPSLHGIVNEQLEAVTQKWDNLTGQLRDRCDWIDQAIVKSTQYQSLLRSLS GTLTELDDKLSSGLTSGALPDAVNQQLEAAQRLKQEIEQQAPKIKEAQEVCEDLSALVKE EYLKAELSRQLEGILKSFKDIEQKTENHVQHLQSACASSHQFQQMSKDFQAWLDAKKEEQ RDSPPISAKLDVLESLLNSQKDFGKTFTEQSNIYEKTISEGENLLLKTQGAEKAALQLQL NTMKTDWDRFRKQVKEREEKLKDSLEKALKYREQVETLRPWIDRCQHSLDGVTFSLDPTE SESSIAELKSLQKEMDHHFGMLELLNNTANSLLSVCEVDKEAVTEENQSLMEKVNRVTEQ LQSKTVSLENMAQKFKEFQEVSRDTQRQLQDTKEQLEVHHSLGPQAYSNKHLSVLQAQQK SLQTLKQQVDEAKRLAQDLVVEAADSKGTSDVLLQAETLAEEHSELSQQVDEKCSFLETK LQGLGHFQNTIREMFSQFTECDDELDGMAPVGRDAETLRKQKACMQTFLKKLEALMASND SANRTCKMMLATEETSPDLIGVKRDLEALSKQCNKLLDRAKTREEQVDGATEKLEEFHRK LEEFSTLLQKAEEHEESQGPVGTETETINQQLDVFKVFQKEEIEPLQVKQQDVNWLGQGL IQSAAANTCTQGLEHDLDSVNSRWKTLNKKVAQRTSQLQEALLHCGRFQDALESLLSWMA DTEELVANQKPPSAEFKVVKAQIQEQKLLQRLLEDRKSTVEVIKREGEKIAASAEPADRV KLTRQLSLLDSRWEALLSRAEARNRQLEGISVVAQEFHETLEPLNEWLTAVEKKLANSEP IGTQAPKLEEQISQHKALQEDILLRKQSVDQALLNGLELLKQTTGDEVLIIQDKLEAIKA RYKDITKLSADVAKTLEHALQLAGQLQSMHKELCNWLDKVEVELLSYETQGLKGEAASQV QERQKELKNEVRSNKALVDSLNEVSSALLELVPWRAREGLEKTIAEDNERYRLVSDTITQ KVEEIDAAILRSQQFEQAADAELSWITETQKKLMSLGDIRLEQDQTSAQLQVQKAFTMDI LRHKDIIDELVTSGHKIMTTSSEEEKQSMKKKLDKVLKKYDAVCQINSERHLQLERAQSL VSQFWETYEELWPWLTETQRIISQLPAPALEYETLRRQQEEHRQLRELIAEHKPHIDKMN KTGPQLLELSPKEGIYIQEKYVAADTLYSQIKEDVKKRAVVLDEAISQSTQFHDKIDQIL ESLERIAERLRQPPSISAEVEKIKEQIGENKSVSVDMEKLQPLYETLRQRGEEMIARSEG TEKDVSARAVQDKLDQMVFIWGSIHTLVEEREAKLLDVMELAEKFWCDHMSLVVTIKDTQ DFIRDLEDPGIDPSVVKQQQEAAEAIREEIDGLQEELDMVITLGSELIAACGEPDKPIVK KSIDELNSAWDSLNKAWKDRVDRLEEAMQAAVQYQDGLQGIFDWVDIAGNKLATMSPIGT DLETVKQQIEELKQFKSEAYQQQIEMERLNHQAELLLKKVTEEADKHTVQDPLMELKLIW DSLDERIVSRQHKLEGALLALGQFQHALDELLAWLTHTKGLLSEQKPVGGDPKAIEIELA KHHVLQNDVLAHQSTVEAVNKAGNDLIESSEGEEASNLQYKLRILNQRWQDILEKTDQRK QQLDSALRQAKGFHGEIEDLQQWLTDTERHLLASKPLGGLPETAKEQLNAHMEVCTAFAI KEETYKSLMLRGQQMLARCPRSAETNIDQDITNLKEKWESVKSKLNEKKTKLEEALHLAM NFHNSLQDFINWLTQAEQTLNVASRPSLILDTILFQIDEHKVFANEVNSHREQIIELDKT GTHLKYFSQKQDVVLIKNLLISVQSRWEKVVQRLVERGRSLDEARKRAKQFHEAWSKLME WLEESEKSLDSELEIANDPDKIKAQLVQHKEFQKSLGGKHSVYDTTNRTGRSLKEKTSLA DDNLKLDNMLSELRDKWDTICGKSVERQNKLEEALLFSGQFTDALQALIDWLYRVEPQLA EDQPVHGDIDLVMNLIDNHKVFQKELGKRTSSVQALKRSARELIEGSRDDSSWVRVQMQE LSTRWETVCALSISKQTRLESALQQAEEFHSVVHTLLEWLAEAEQTLRFHGALPDDEDAL RTLIEQHKEFMKRLEEKRAELSKATGMGDALLAVCHPDSITTIKHWITIIQARFEEVLAW AKQHQQRLAGALAGLIAKQELLETLLAWLQWAETTLTEKDKEVIPQEIEEVKTLIAEHQT FMEEMTRKQPDVDKVTKTYKRRATDPPSLQSHIPVLDKGRAGRKRFPASGFYPSGSQTQI ETKNPRVNLLVSKWQQVWLLALERRRKLNDALDRLEELREFANFDFDIWRKKYMRWMNHK KSRVMDFFRRIDKDQDGKITRQEFIDGILSSKFPTSRLEMSAVADIFDRDGDGYIDYYEF VAALHPNKDAYKPITDADKIEDEVTRQVAKCKCAKRFQVEQIGDNKYRFFLGNQFGDSQQ LRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRVHHHGSKMLRSESNSSITATQPTLAKG RTNMELREKFILADGASQGMAAFRPRGRRSRPSSRGASPNRSTSASSHACQAASPPVPAA ASTPKGTPIQGSKLRLPGYLSGKGFHSGEDSALITTAAARVRTQFAESRKTPSRPGSRAG SKAGSRASSRRGSDASDFDISEIQSVCSDVETVPQTHRPVPRAGSRPSTAKPSKIPTPQR RSPASKLDKSSKR >ENSMUSP00000138376.3 pep:known chromosome:GRCm38:1:34160330:34183904:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000183302.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] MQSSSYSYRSSDSVFSNTTSTRTSLDSNENLLSVHCGPTLINSCISFSNESLDGHRLDML QQIATRVQRDSVSCEDKLILARNALQSDSKRLESGVQFQNEAEIAGYILECENLLRQHVI DVQILIDGKYYQADQLVQRVAKLRDEIMALRNECSSVYSKGRMLTTEQTKLMISGITQSL NSGFAQTLHPSLNSGLTQSLTPSLTSSSVTSGLSSGMTSRLTPSVTPVYAPGFPSVVAPN FSLGVEPNSLQTLKLMQIRKPLLKSSLLDQNLTEEEVNMKFVQDLLNWVDEMQVQLDRTE WGSDLPSVESHLENHKNVHRAIEEFESSLKEAKISEIQMTAPLKLSYTDKLHRLESQYAK LLNTSRNQERHLDTLHNFVTRATNELIWLNEKEESEVAYDWSERNSSVARKKSYHAELMR ELEQKEESIKAVQEIAEQLLLENHPARLTIEAYRAAMQTQWSWILQLCQCVEQHIQENSA YFEFFNDAKEATDYLRNLKDAIQRKYSCDRSSSIHKLEDLVQESMEKEELLQYRSVVAGL MGRAKTVVQLKPRNPDNPLKTSIPIKAICDYRQIEITIYKDDECVLANNSHRAKWKVISP TGNEAMVPSVCFTVPPPNKEAVDFANRIEQQYQSVLTLWHESHINMKSVVSWHYLVNEID RIRASNVASIKTMLPGEHQQVLSNLQSRLEDFLEDSQESQIFSGSDISQLEKEVSVCRKY YQELLKSAEREEQEESVYNLYISEVRNIRLRLESCEDRLIRQIRTPLERDDLHESMLRIT EQEKLKKELDRLKDDLGTITNKCEEFFSQAADSPSVPALRSELSVVIQSLSQIYSMSSTY IEKLKTVNLVLKNTQAAEALVKLYETKLCEEEAVIADKNNIENLMSTLKQWRSEVDEKRE VFHALEDELQKAKAISDEMFKTHKERDLDFDWHKEKADQLVERWQSVHVQIDNRLRDLEG IGKSLKHYRDSYHPLDDWIQHIETTQRKIQENQPENSKALALQLNQQKMLVSEIEVKQSK MDECQKYSEQYSAAVKDYELQTMTYRAMVESQQKSPVKRRRIQSSADLVIQEFMDLRTRY TALVTLMTQYIKFAGDSLKRLEEEEMKRSKENSEHGAYSDLLQRQRATMVENSKLTGKIS ELETMVAELKKQKSRVEEELPKVKEAAENELRKQQRNVEDIALQKLRAESEAKQYRRELE TIVREKEAAERELERVRQLTAEAEARRAAVEENLRNFRSQLQENTFTRQTLEDHLRRKDS SLSDLEQQKRALVEELQRKRDHEEELLRLVKQMERDLAFQKQVAEKQLKEKQKVELEARR KITEIQFSCRESAAVAQARPQREQGRQKEEELKQQVDELTLANRKAEKEMRELKYELSAV QLEKASSEEKARLLKDKLDETNNTLKCLKEDLERKDQAQERYSQQLRDLGRQLNQTTDKA EEVRQEANDLKKIKHTYQLELESLHQEKGKLQREVDRVTRAHALAERNIQCLNSQVHASR DEKDLSEERRRLCQRKSDHLKEEFERSHAQLLQNIQAEKENNDKIQKLNKELEKSNECAE TLKQKVDELTRQNNETKLMMQRIQAESKNIVREKQAIQQRCEVLRIQADGFKDQLRNTNE HLHKQTKTEQDFHRKIKSLEDDLAQSQNLVSEFKQKCDQQSMIIQKTEKEVRSLSAELSA SKEEKRREEQKAQLQRAQVQELNDRLKRVQDELHLKTIEEQMTHRKMILLQEESDKFKRS ADEFRKKMEKLMESKVVTETDLSGIKHDFVSLQRENFRAQENAKLWETNIRELERQLQCY REKMQQGPPVEANHYQKCRRLEEELLAQRREVENLKQKMDQQIKEHEHQLLRLQCEIQKK STTQDHTFASAFDTAGRECHHPAEISPGNSGHLNLKTRLPLSRWTQEPHQTEGKWPHRAA EQLPKEVQFRQPGAPLDRESSQPCYSEYFSQTSTELQITFDDKNPITRLSELETMREQAL HPSRPPVTYQDDKLERELVKLLTPLEIAKNKQCGMHTEVTTLKQEKRLGSSAGGWMLEGC RTSGGLKGDFLKKSVEPEASPSLDLNQACSVRDEEFQFQGLRHTVTGRQLVEAKLLDMRT VEQLRLGLKTVEEVQRSLSKFLTKATSIAGLYLESSKEKMSFTSAAQKIIIDKMIALAFL EAQAATGFIIDPVSGQTYCVEDAVLHGIVDPEFRSRLLEAEKAVLGYSHASKTLSVFQAM ENRMLDRKKGKHILEAQIASGGVIDPVRGVRVPPEMAVQQGLLNNAVLQFLHEPSSNTRV FPNPNNKQALYYSELLQICVFDVDCQCFLLPFGEREISNLNIEKTHKIAVVDTKTGAELT AFEAFQRNLIDKGIYLELSGQQYQWKEATFFDSYGHPSHMLTDTKTGLQFNISEAVEQGT LDKALVQKYQEGLTTLTELADFLLSKVVPKKDLHSPIAGYWLTASGERISLLKASRRNLV DRVTALRCLEAQICTGGIIDPLTGKKYRVAEALHRGLVDEGFAQQLRQCELVITGISHPV SNKMMSVVEAVNANIISKEMGMRCLEFQYLTGGLIEPKVFSRLTIEEALHVGIIDVLIAT RLKDQKSYVRDIMCPQTKRKLTYKEALEKADFDFHTGLKLLEVSEPLGTGISNLYYSSQ >ENSMUSP00000139888.1 pep:known chromosome:GRCm38:1:34160330:34193263:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000185897.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] MQSSSYSYRSSDSVFSNTTSTRTSLDSNENLLSVHCGPTLINSCISFSNESLDGHRLDML QQIATRVQRDSVSCEDKLILARNALQSDSKRLESGVQFQNEAEIAGYILECENLLRQHVI DVQILIDGKYYQADQLVQRVAKLRDEIMALRNECSSVYSKGRMLTTEQTKLMISGITQSL NSGFAQTLHPSLNSGLTQSLTPSLTSSSVTSGLSSGMTSRLTPSVTPVYAPGFPSVVAPN FSLGVEPNSLQTLKLMQIRKPLLKSSLLDQNLTEEEVNMKFVQDLLNWVDEMQVQLDRTE WGSDLPSVESHLENHKNVHRAIEEFESSLKEAKISEIQMTAPLKLSYTDKLHRLESQYAK LLNTSRNQERHLDTLHNFVTRATNELIWLNEKEESEVAYDWSERNSSVARKKSYHAELMR ELEQKEESIKAVQEIAEQLLLENHPARLTIEAYRAAMQTQWSWILQLCQCVEQHIQENSA YFEFFNDAKEATDYLRNLKDAIQRKYSCDRSSSIHKLEDLVQESMEEKEELLQYRSVVAG LMGRAKTVVQLKPRNPDNPLKTSIPIKAICDYRQIEITIYKDDECVLANNSHRAKWKVIS PTGNEAMVPSVCFTVPPPNKEAVDFANRIEQQYQSVLTLWHESHINMKSVVSWHYLVNEI DRIRASNVASIKTMLPGEHQQVLSNLQSRLEDFLEDSQESQIFSGSDISQLEKEVSVCRK YYQELLKSAEREEQEESVYNLYISEVRNIRLRLESCEDRLIRQIRTPLERDDLHESMLRI TEQEKLKKELDRLKDDLGTITNKCEEFFSQAADSPSVPALRSELSVVIQSLSQIYSMSST YIEKLKTVNLVLKNTQAAEALVKLYETKLCEEEAVIADKNNIENLMSTLKQWRSEVDEKR EVFHALEDELQKAKAISDEMFKTHKERDLDFDWHKEKADQLVERWQSVHVQIDNRLRDLE GIGKSLKHYRDSYHPLDDWIQHIETTQRKIQENQPENSKALALQLNQQKMLVSEIEVKQS KMDECQKYSEQYSAAVKDYELQTMTYRAMVESQQKSPVKRRRIQSSADLVIQEFMDLRTR YTALVTLMTQYIKFAGDSLKRLEEEEKSLDEEKKQHIEKAKELQKWVSNISKTLGDGEKA GKPLFSKQQMSSKEISTKKEQFSEALQTTQIFLAKHGDKLTEEERSDLEKQVKTLQEGYN LLFSESLKQQELQPSGESKVPEKPDKVIAGTINQTTGEVLSVFQAVLRGLIDYETGIRLL EAQLVITGLISPELRKCFDLRDAESHGLIDEQVLRQLKELNRAKQLISTASPTSIPVLDS LAQGMVSESMAIRVLEILLSAGPLLVPATGEHLTLQQAFQQNLISSALFSKVLERQDTCK DLIDPCTSEKVSLTDMVQRSILQENTRMWLLPVRPQEAGRITLKCGRSVSILRAAHEGLI DRETMFRLLGAQLLSGGLIDCNSGQKMTVEEAVAEGVIDRDTASSILTYQVQTGGIVHSN PAKRLTVDEAVQCELITSSSALLVLEAQRGYVGLIWPHSGEIFPTSSSLQQELITNELAS KILNGRQKIAALYIPESSQVIGLDAAKQLGIIDNNTASVLKSVTLPDKMPDLGDLEDCKN AKRWLSFCKLQPSTVHDYRQEEGGSDGEEPVTAQSSEQTKKLFLSYLMVNSYMDAHTGQR LLLYDGDLDEAVGMLLESCGTELGADTSTRESLSVLTIPDAFPDCALSEEKHECSAAAAG PDKCHYSHPGHKESLENAKWDMNEAFCKMGNNDSNGELPRPENLADTTVVQKGSESPSRV RVPKPTSSSTQPEGSVLRPESGSILKGCKSQSEPVTKKYPDGANHSHFLTSETSRPCDSN EREDEENIQKGPSVFDYSPRLSALLSHDELRQSQGRFSDTSTPQNTGYLCEASTLSPSDQ RVLADQSTREKFQDQFLGIAAISVSLQGAPCGQKPVDTECSSSQVHYHSEESMSDASAES GATRQTDESEKTGSKVEDNSCTMVPGGGSRNDNTSDCGPLSHKGAIDAGDYETSLLAGQQ SDTATDSDSDDYFYDTPLFEDEDHDSLILQGDDRDCLQPEDYDTSLQEENDRTPPPDDIF YDVMKEKENPEFPHGGMDESLGVENKVCCPQGFPVGIEKPELYLAGEKEFNSGGSEQLVE SVSESENPPGLWDSESDSLTEGEIIGRKERLGASLTPDGHWRGDREECDTSRESQSDTDG VGSIQSSESYRPYMSDGSDLDEEDNGGRSSEDSGDGRGGQGVADEGGEPQYQADPTQLYT AIRKEHGGETQNVSDMIPLDKTHSYSPLETQHGAGVFQPESAGRGGWDTERSSHPELTTE ADEEDEASLSTHMATKGVSLSNAEGTASEEIRLVQGPDSTGILKAEDLENVSPEISPSSD NIVRSEAELGGGASEDGHLSFTGSDRDQQGPGRGLVKGRDGQSDKLVDETSIREMGFQKE GVLMSSPEEGGEEERDLEPFPNGSATESLNMGKSQVPPLLTHTEELSHRGAPHTTTMTTT MTLEGEAKNVQTGLTESPVLLETLAEIFDTPASKVTRADLTSAVTASEMKSQVKEDSLTG GPEKETGPCTSLGHCDKCIHVDMLEPNEHTPSCALVAPPTVKDNLCSVNNAGEKSVRPQE DWPPAAEVRLSDACVEESISEGKAGILQFTPENSDSTLSRLPHQSVAGWGKSADSVQARL PVSGVRHTSADTLDVGCPQLESSREKASAEEEPHRERALSLKPQEREHHMLGFVEDGRSI LKSSLDKVHMNLQEVGDPSAGTGTKISIQNLIRRAILSELPNEVSNVPSHGISPISNSSE VRAESGGDPFCITSFLHLLKQNQPPQETPGISELAKVLTQMDCDPEQRGLGSELLPPQLK NAFYKLLFDGYATEKDQAEALGQTSCAVPKMAEEKPHVCSDLRNKEGHHCPLNPQAVGEA EVEPFSVHIAALPGGEKLGELCSEPPEHSESTSGSKERSSDSSSKEK >ENSMUSP00000141127.1 pep:known chromosome:GRCm38:1:34160330:34308404:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000185269.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] MQSSSYSYRSSDSVFSNTTSTRTSLDSNENLLSVHCGPTLINSCISFSNESLDGHRLDML QQIATRVQRDSVSCEDKLILARNALQSDSKRLESGVQFQNEAEIAGYILECENLLRQHVI DVQILIDGKYYQADQLVQRVAKLRDEIMALRNECSSVYSKGRMLTTEQTKLMISGITQSL NSGFAQTLHPSLNSGLTQSLTPSLTSSSVTSGLSSGMTSRLTPSVTPVYAPGFPSVVAPN FSLGVEPNSLQTLKLMQIRKPLLKSSLLDQNLTEEEVNMKFVQDLLNWVDEMQVQLDRTE WGSDLPSVESHLENHKNVHRAIEEFESSLKEAKISEIQMTAPLKLSYTDKLHRLESQYAK LLNTSRNQERHLDTLHNFVTRATNELIWLNEKEESEVAYDWSERNSSVARKKSYHAELMR ELEQKEESIKAVQEIAEQLLLENHPARLTIEAYRAAMQTQWSWILQLCQCVEQHIQENSA YFEFFNDAKEATDYLRNLKDAIQRKYSCDRSSSIHKLEDLVQESMEKEELLQYRSVVAGL MGRAKTVVQLKPRNPDNPLKTSIPIKAICDYRQIEITIYKDDECVLANNSHRAKWKVISP TGNEAMVPSVCFTVPPPNKEAVDFANRIEQQYQSVLTLWHESHINMKSVVSWHYLVNEID RIRASNVASIKTMLPGEHQQVLSNLQSRLEDFLEDSQESQIFSGSDISQLEKEVSVCRKY YQELLKSAEREEQEESVYNLYISEVRNIRLRLESCEDRLIRQIRTPLERDDLHESMLRIT EQEKLKKELDRLKDDLGTITNKCEEFFSQAADSPSVPALRSELSVVIQSLSQIYSMSSTY IEKLKTVNLVLKNTQAAEALVKLYETKLCEEEAVIADKNNIENLMSTLKQWRSEVDEKRE VFHALEDELQKAKAISDEMFKTHKERDLDFDWHKEKADQLVERWQSVHVQIDNRLRDLEG IGKSLKHYRDSYHPLDDWIQHIETTQRKIQENQPENSKALALQLNQQKMLVSEIEVKQSK MDECQKYSEQYSAAVKDYELQTMTYRAMVESQQKSPVKRRRIQSSADLVIQEFMDLRTRY TALVTLMTQYIKFAGDSLKRLEEEEKSLDEEKKQHIEKAKELQKWVSNISKTLGDGEKAG KPLFSKQQMSSKEISTKKEQFSEALQTTQIFLAKHGDKLTEEERSDLEKQVKTLQEGYNL LFSESLKQQELQPSGESKVPEKVVAERQQEYREKLQGLCDLLTQTENRLISNQEAFVIGD GTVELQKYQSKQEELQRDMQGSTQAMEEIVRNTELFLKESGDELSQADRALIEQKLNEVK MKCAQLNLKAEQSRKELDKAVTTALKEETEKVAAVRQLEESKTKIENLLNWLSNVEEDSE GVWTKHTQPMEQNGTYLHEGDSKLGAGEEDEVNGNLLETDAEGHSEATKGNLNQQYEKVK AQHGKIMAQHQAVLLATQSAQVLLEKQGHYLSPEEKEKLQKNTQELKVHYEKVLAECEKK VKLTHSLQEELEKFDTDYSEFEHWLQQSEQELANLEAGADDLSGLMDKLTRQKSFSEDVI SHKGDLRYITISGNRVIDAAKSCSKRDSDRIGKDSVETSATHREVQTKLDQVTDRFRSLY SKCSVLGNNLKDLVDQYQQYEDASCGLLSGLQACEAKASKHLREPIALDPKNLQRQLEET KALQGQISSQQVAVEKLKKTAEVLLDAKGSLLPAKNDIQKTLDDIVGRYDDLSKCVNERN EKLQITLTRSLSVQDALDEMLDWMGSVESSLVKPGQVPLNSTALQDLISKDTMLEQDITG RQSSINAMNEKVKTFIETTDPSTASSLQAKMKDLSARFSEASQKHKEKLAKMVELKAKVE QFEKLSDKLQTFLETQSQALTEVAMPGKDVPELSQHMQESTAKFLEHRKDLEALHSLLKE ISSHGLPGDKALVFEKTNNLSRKFKEMEDTIQEKKDALSSCQEQLSAFQTLAQSLKTWIK ETTKQVPVVKPSLGTEDLRKSLEETKKLQEKWNLKAPEIHKANNSGVSLCNLLSALISPA KAIAAAKSGGVILNGEGTDTNTQDFLANKGLTSIKKDMTDISHSYEDLGLLLKDKIVELN TKLSKLQKAQEESSAMMQWLEKMNKTASRWRQTPTPADTESVKLQVEQNKSFEAELKQNV NKVQELKDKLSELLEENPEAPEAQSWKQALAEMDTKWQELNQLTMDRQQKLEESSNNLTQ FQTTEAQLKQWLMEKELMVSVLGPLSIDPNMLNTQKQQVQILLQEFDTRKPQYEQLTAAG QGILSRPGEDPSLHGIVNEQLEAVTQKWDNLTGQLRDRCDWIDQAIVKSTQYQSLLRSLS GTLTELDDKLSSGLTSGALPDAVNQQLEAAQRLKQEIEQQAPKIKEAQEVCEDLSALVKE EYLKAELSRQLEGILKSFKDIEQKTENHVQHLQSACASSHQFQQMSKDFQAWLDAKKEEQ RDSPPISAKLDVLESLLNSQKDFGKTFTEQSNIYEKTISEGENLLLKTQGAEKAALQLQL NTMKTDWDRFRKQVKEREEKLKDSLEKALKYREQVETLRPWIDRCQHSLDGVTFSLDPTE SESSIAELKSLQKEMDHHFGMLELLNNTANSLLSVCEVDKEAVTEENQSLMEKVNRVTEQ LQSKTVSLENMAQKFKEFQEVSRDTQRQLQDTKEQLEVHHSLGPQAYSNKHLSVLQAQQK SLQTLKQQVDEAKRLAQDLVVEAADSKGTSDVLLQAETLAEEHSELSQQVDEKCSFLETK LQGLGHFQNTIREMFSQFTECDDELDGMAPVGRDAETLRKQKACMQTFLKKLEALMASND SANRTCKMMLATEETSPDLIGVKRDLEALSKQCNKLLDRAKTREEQVDGATEKLEEFHRK LEEFSTLLQKAEEHEESQGPVGTETETINQQLDVFKVFQKEEIEPLQVKQQDVNWLGQGL IQSAAANTCTQGLEHDLDSVNSRWKTLNKKVAQRTSQLQEALLHCGRFQDALESLLSWMA DTEELVANQKPPSAEFKVVKAQIQEQKLLQRLLEDRKSTVEVIKREGEKIAASAEPADRV KLTRQLSLLDSRWEALLSRAEARNRQLEGISVVAQEFHETLEPLNEWLTAVEKKLANSEP IGTQAPKLEEQISQHKVLEDDITNHSKQLHQAVSIGQSLKVLSSREDKDLVQSKLDSLQV WYFEIQEKSHSRSELLQQALCNAKIFGEDEVELMNWLNEVHGKLSKLSVQDHSPEALWRQ RAELRALQEDILLRKQSVDQALLNGLELLKQTTGDEVLIIQDKLEAIKARYKDITKLSAD VAKTLEHALQLAGQLQSMHKELCNWLDKVEVELLSYETQGLKGEAASQVQERQKELKNEV RSNKALVDSLNEVSSALLELVPWRAREGLEKTIAEDNERYRLVSDTITQKVEEIDAAILR SQQFEQAADAELSWITETQKKLMSLGDIRLEQDQTSAQLQVQKAFTMDILRHKDIIDELV TSGHKIMTTSSEEEKQSMKKKLDKVLKKYDAVCQINSERHLQLERAQSLVSQFWETYEEL WPWLTETQRIISQLPAPALEYETLRRQQEEHRQLRELIAEHKPHIDKMNKTGPQLLELSP KEGIYIQEKYVAADTLYSQIKEDVKKRAVVLDEAISQSTQFHDKIDQILESLERIAERLR QPPSISAEVEKIKEQIGENKSVSVDMEKLQPLYETLRQRGEEMIARSEGTEKDVSARAVQ DKLDQMVFIWGSIHTLVEEREAKLLDVMELAEKFWCDHMSLVVTIKDTQDFIRDLEDPGI DPSVVKQQQEAAEAIREEIDGLQEELDMVITLGSELIAACGEPDKPIVKKSIDELNSAWD SLNKAWKDRVDRLEEAMQAAVQYQDGLQGIFDWVDIAGNKLATMSPIGTDLETVKQQIEE LKQFKSEAYQQQIEMERLNHQAELLLKKVTEEADKHTVQDPLMELKLIWDSLDERIVSRQ HKLEGALLALGQFQHALDELLAWLTHTKGLLSEQKPVGGDPKAIEIELAKHHVLQNDVLA HQSTVEAVNKAGNDLIESSEGEEASNLQYKLRILNQRWQDILEKTDQRKQQLDSALRQAK GFHGEIEDLQQWLTDTERHLLASKPLGGLPETAKEQLNAHMEVCTAFAIKEETYKSLMLR GQQMLARCPRSAETNIDQDITNLKEKWESVKSKLNEKKTKLEEALHLAMNFHNSLQDFIN WLTQAEQTLNVASRPSLILDTILFQIDEHKVFANEVNSHREQIIELDKTGTHLKYFSQKQ DVVLIKNLLISVQSRWEKVVQRLVERGRSLDEARKRAKQFHEAWSKLMEWLEESEKSLDS ELEIANDPDKIKAQLVQHKEFQKSLGGKHSVYDTTNRTGRSLKEKTSLADDNLKLDNMLS ELRDKWDTICGKSVERQNKLEEALLFSGQFTDALQALIDWLYRVEPQLAEDQPVHGDIDL VMNLIDNHKVFQKELGKRTSSVQALKRSARELIEGSRDDSSWVRVQMQELSTRWETVCAL SISKQTRLESALQQAEEFHSVVHTLLEWLAEAEQTLRFHGALPDDEDALRTLIEQHKEFM KRLEEKRAELSKATGMGDALLAVCHPDSITTIKHWITIIQARFEEVLAWAKQHQQRLAGA LAGLIAKQELLETLLAWLQWAETTLTEKDKEVIPQEIEEVKTLIAEHQTFMEEMTRKQPD VDKVTKTYKRRATDPPSLQSHIPVLDKGRAGRKRFPASGFYPSGSQTQIETKNPRVNLLV SKWQQVWLLALERRRKLNDALDRLEELREFANFDFDIWRKKYMRWMNHKKSRVMDFFRRI DKDQDGKITRQEFIDGILSSKFPTSRLEMSAVADIFDRDGDGYIDYYEFVAALHPNKDAY KPITDADKIEDEVTRQVAKCKCAKRFQVEQIGDNKYRFFLGNQFGDSQQLRLVRILRSTV MVRVGGGWMALDEFLVKNDPCRAKGRTNMELREKFILADGASQGMAAFRPRGRRSRPSSR GASPNRSTSASSHACQAASPPVPAAASTPKILHPLTRNYGKPWLANSKMSTPCKAAECPD FPVSSAEGTPIQGSKLRLPGYLSGKGFHSGEDSALITTAAARVRTQFAESRKTPSRPGSR AGSKAGSRASSRRGSDASDFDISEIQSVCSDVETVPQTHRPVPRAGSRPSTAKPSKIPTP QRRSPASKLDKSSKR >ENSMUSP00000138426.2 pep:known chromosome:GRCm38:1:34257836:34308404:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000182018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] EELWPWLTETQRIISQLPAPALEYETLRRQQEEHRQLRELIAEHKPHIDKMNKTGPQLLE LSPKEGIYIQEKYVAADTLYSQIKEDVKKRAVVLDEAISQSTQFHDKIDQILESLERIAE RLRQPPSISAEVEKIKEQIGENKSVSVDMEKLQPLYETLRQRGEEMIARSEGTEKDVSAR AVQDKLDQMVFIWGSIHTLVEEREAKLLDVMELAEKFWCDHMSLVVTIKDTQDFIRDLED PGIDPSVVKQQQEAAEAIREEIDGLQEELDMVITLGSELIAACGEPDKPIVKKSIDELNS AWDSLNKAWKDRVDRLEEAMQAAVQYQDGLQGIFDWVDIAGNKLATMSPIGTDLETVKQQ IEELKQFKSEAYQQQIEMERLNHQAELLLKKVTEEADKHTVQDPLMELKLIWDSLDERIV SRQHKLEGALLALGQFQHALDELLAWLTHTKGLLSEQKPVGGDPKAIEIELAKHHVLQND VLAHQSTVEAVNKAGNDLIESSEGEEASNLQYKLRILNQRWQDILEKTDQRKQQLDSALR QAKGFHGEIEDLQQWLTDTERHLLASKPLGGLPETAKEQLNAHMEVCTAFAIKEETYKSL MLRGQQMLARCPRSAETNIDQDITNLKEKWESVKSKLNEKKTKLEEALHLAMNFHNSLQD FINWLTQAEQTLNVASRPSLILDTILFQIDEHKVFANEVNSHREQIIELDKTGTHLKYFS QKQDVVLIKNLLISVQSRWEKVVQRLVERGRSLDEARKRAKQFHEAWSKLMEWLEESEKS LDSELEIANDPDKIKAQLVQHKEFQKSLGGKHSVYDTTNRTGRSLKEKTSLADDNLKLDN MLSELRDKWDTICGKSVERQNKLEEALLFSGQFTDALQALIDWLYRVEPQLAEDQPVHGD IDLVMNLIDNHKVFQKELGKRTSSVQALKRSARELIEGSRDDSSWVRVQMQELSTRWETV CALSISKQTRLESALQQAEEFHSVVHTLLEWLAEAEQTLRFHGALPDDEDALRTLIEQHK EFMKRLEEKRAELSKATGMGDALLAVCHPDSITTIKHWITIIQARFEEVLAWAKQHQQRL AGALAGLIAKQELLETLLAWLQWAETTLTEKDKEVIPQEIEEVKTLIAEHQTFMEEMTRK QPDVDKVTKTYKRRATDPPSLQSHIPVLDKGRAGRKRFPASGFYPSGSQTQIETKNPRVN LLVSKWQQVWLLALERRRKLNDALDRLEELREFANFDFDIWRKKYMRWMNHKKSRVMDFF RRIDKDQDGKITRQEFIDGILSSKFPTSRLEMSAVADIFDRDGDGYIDYYEFVAALHPNK DAYKPITDADKIEDEVTRQVAKCKCAKRFQVEQIGDNKYRFFLGNQFGDSQQLRLVRILR STVMVRVGGGWMALDEFLVKNDPCRAKGRTNMELREKFILADGASQGMAAFRPRGRRSRP SSRGASPNRSTSASSHACQAASPPVPAAASTPKILHPLTRNYGKPWLANSKMSTPCKAAE CPDFPVSSAEGTPIQGSKLRLPGYLSGKGFHSGEDSALITTAAARVRTQFAESRKTPSRP GSRAGSKAGSRASSRRGSDASDFDISEIQSVCSDVETVPQTHRPVPRAGSRPSTAKPSKI PTPQRRSPASKLDKSSKR >ENSMUSP00000138570.1 pep:known chromosome:GRCm38:1:34293455:34303463:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000183100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] XNDALDRLEELREFANFDFDIWRKKYMRWMNHKKSRVMDFFRRIDKDQDGKITRQEFIDG ILSSKFPTSRLEMSAVADIFDRDGDGYIDYYEFVAALHPNKDAYKPITDADKIEDEVTRQ VAKCKCAKRFQVEQIGDNKYRFGDSQQLRLVRILRSTVMVRVGGGWMALDEFLVKNDPCR AKGRTNMELREKFILADGASQGMAAFRPRGRRSRPSSRGASPNRSTSASSHACQAASPPV PAAASTPKILHPLTRNYGKPWL >ENSMUSP00000138591.1 pep:known chromosome:GRCm38:1:34294446:34306651:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000182507.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] XHKKSRVMDFFRRIDKDQDGKITRQEFIDGILSSKFPTSRLEMSAVADIFDRDGDGYIDY YEFVAALHPNKDAYKPITDADKIEDEVTRQVAKCKCAKRFQVEQIGDNKYRFGDSQQLRL VRILRSTVMVRVGGGWMALDEFLVKNDPCRAKGRTNMELREKFILADGASQGMAAFRPRG RRSRPSSRGASPNRSTSASSHACQAASPPVPAAASTPKGTPIQGSKLRLPGYLSGKGFHS GEDSALITTAAARVRTQFA >ENSMUSP00000110756.3 pep:known chromosome:GRCm38:1:34011825:34308650:1 gene:ENSMUSG00000026131.17 transcript:ENSMUST00000115104.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dst description:dystonin [Source:MGI Symbol;Acc:MGI:104627] MAGYLSPAAYMYVEEQEYLQAYEDVLERYKDERDKVQKKTFTKWINQHLMKVRKHVNDLY EDLRDGHNLISLLEVLSGDTLPREKGRMRFHRLQNVQIALDYLKRRQVKLVNIRNDDITD GNPKLTLGLIWTIILHFQISDIHVTGESEDMSAKERLLLWTQQATEGYAGVRCENFTTCW RDGKLFNAIIHKYRPDLIDMNTVAVQSNLANLEHAFYVAEKIGVIRLLDPEDVDVSSPDE KSVITYVSSLYDAFPKVPEGGEGIGANDVEVKWIEYQNMVNYLIQWIRHHVVTMSERTFP NNPLELKALYNQYLQFKEKEIPPKEMEKSKIKRLYKLLEIWIEFGRIKLLQGYHPNDIEK EWGKLIIAMLEREKALRPEVERLDMLQQIATRVQRDSVSCEDKLILARNALQSDSKRLES GVQFQNEAEIAGYILECENLLRQHVIDVQILIDGKYYQADQLVQRVAKLRDEIMALRNEC SSVYSKGRMLTTEQTKLMISGITQSLNSGFAQTLHPSLNSGLTQSLTPSLTSSSVTSGLS SGMTSRLTPSVTPVYAPGFPSVVAPNFSLGVEPNSLQTLKLMQIRKPLLKSSLLDQNLTE EEVNMKFVQDLLNWVDEMQVQLDRTEWGSDLPSVESHLENHKNVHRAIEEFESSLKEAKI SEIQMTAPLKLSYTDKLHRLESQYAKLLNTSRNQERHLDTLHNFVTRATNELIWLNEKEE SEVAYDWSERNSSVARKKSYHAELMRELEQKEESIKAVQEIAEQLLLENHPARLTIEAYR AAMQTQWSWILQLCQCVEQHIQENSAYFEFFNDAKEATDYLRNLKDAIQRKYSCDRSSSI HKLEDLVQESMEKEELLQYRSVVAGLMGRAKTVVQLKPRNPDNPLKTSIPIKAICDYRQI EITIYKDDECVLANNSHRAKWKVISPTGNEAMVPSVCFTVPPPNKEAVDFANRIEQQYQS VLTLWHESHINMKSVVSWHYLVNEIDRIRASNVASIKTMLPGEHQQVLSNLQSRLEDFLE DSQESQIFSGSDISQLEKEVSVCRKYYQELLKSAEREEQEESVYNLYISEVRNIRLRLES CEDRLIRQIRTPLERDDLHESMLRITEQEKLKKELDRLKDDLGTITNKCEEFFSQAADSP SVPALRSELSVVIQSLSQIYSMSSTYIEKLKTVNLVLKNTQAAEALVKLYETKLCEEEAV IADKNNIENLMSTLKQWRSEVDEKREVFHALEDELQKAKAISDEMFKTHKERDLDFDWHK EKADQLVERWQSVHVQIDNRLRDLEGIGKSLKHYRDSYHPLDDWIQHIETTQRKIQENQP ENSKALALQLNQQKMLVSEIEVKQSKMDECQKYSEQYSAAVKDYELQTMTYRAMVESQQK SPVKRRRIQSSADLVIQEFMDLRTRYTALVTLMTQYIKFAGDSLKRLEEEEKSLDEEKKQ HIEKAKELQKWVSNISKTLGDGEKAGKPLFSKQQMSSKEISTKKEQFSEALQTTQIFLAK HGDKLTEEERSDLEKQVKTLQEGYNLLFSESLKQQELQPSGESKVPEKPDKVIAGTINQT TGEVLSVFQAVLRGLIDYETGIRLLEAQLVITGLISPELRKCFDLRDAESHGLIDEQVLR QLKELNRAKQLISTASPTSIPVLDSLAQGMVSESMAIRVLEILLSAGPLLVPATGEHLTL QQAFQQNLISSALFSKVLERQDTCKDLIDPCTSEKVSLTDMVQRSILQENTRMWLLPVRP QEAGRITLKCGRSVSILRAAHEGLIDRETMFRLLGAQLLSGGLIDCNSGQKMTVEEAVAE GVIDRDTASSILTYQVQTGGIVHSNPAKRLTVDEAVQCELITSSSALLVLEAQRGYVGLI WPHSGEIFPTSSSLQQELITNELASKILNGRQKIAALYIPESSQVIGLDAAKQLGIIDNN TASVLKSVTLPDKMPDLGDLEDCKNAKRWLSFCKLQPSTVHDYRQEEGGSDGEEPVTAQS SEQTKKLFLSYLMVNSYMDAHTGQRLLLYDGDLDEAVGMLLESCGTELGADTSTRESLSV LTIPDAFPDCALSEEKHECSAAAAGPDKCHYSHPGHKESLENAKWDMNEAFCKMGNNDSN GELPRPENLADTTVVQKGSESPSRVRVPKPTSSSTQPEGSVLRPESGSILKGCKSQSEPV TKKYPDGANHSHFLTSETSRPCDSNEREDEENIQKGPSVFDYSPRLSALLSHDELRQSQG RFSDTSTPQNTGYLCEASTLSPSDQRVLADQSTREKFQDQFLGIAAISVSLQGAPCGQKP VDTECSSSQVHYHSEESMSDASAESGATRQTDESEKTGSKVEDNSCTMVPGGGSRNDNTS DCGPLSHKGAIDAGDYETSLLAGQQSDTATDSDSDDYFYDTPLFEDEDHDSLILQGDDRD CLQPEDYDTSLQEENDRTPPPDDIFYDVMKEKENPEFPHGGMDESLGVENKVCCPQGFPV GIEKPELYLAGEKEFNSGGSEQLVESVSESENPPGLWDSESDSLTEGEIIGRKERLGASL TPDGHWRGDREECDTSRESQSDTDGVGSIQSSESYRPYMSDGSDLDEEDNGGRSSEDSGD GRGGQGVADEGGEPQYQADPTQLYTAIRKEHGGETQNVSDMIPLDKTHSYSPLETQHGAG VFQPESAGRGGWDTERSSHPELTTEADEEDEASLSTHMATKGVSLSNAEGTASEEIRLVQ GPDSTGILKAEDLENVSPEISPSSDNIVRSEAELGGGASEDGHLSFTGSDRDQQGPGRGL VKGRDGQSDKLVDETSIREMGFQKEGVLMSSPEEGGEEERDLEPFPNGSATESLNMGKSQ VPPLLTHTEELSHRGAPHTTTMTTTMTLEGEAKNVQTGLTESPVLLETLAEIFDTPASKV TRADLTSAVTASEMKSQVKEDSLTGGPEKETGPCTSLGHCDKCIHVDMLEPNEHTPSCAL VAPPTVKDNLCSVNNAGEKSVRPQEDWPPAAEVRLSDACVEESISEGKAGILQFTPENSD STLSRLPHQSVAGWGKSADSVQARLPVSGVRHTSADTLDVGCPQLESSREKASAEEEPHR ERALSLKPQEREHHMLGFVEDGRSILKSSLDKVHMNLQEVGDPSAGTGTKISIQNLIRRA ILSELPNEVSNVPSHGISPISNSSEVRAESGGDPFCITSFLHLLKQNQPPQETPGISELA KVLTQMDCDPEQRGLGSELLPPQLKNAFYKLLFDGYATEKDQAEALGQTSCAVPKMAEEK PHVCSDLRNKEGHHCPLNPQAVGEAEVEPFSVHIAALPGGEKLGELCSEPPEHSESTSGS KERSSDSSSKEKCSNGLQQCLQHTEKMHEYLVLLQDMKPPLDNQASVESSLEALKSQLKQ LEAFELGLAPIAVFLRKDLKLAEEFLKSFPSDLPRRHHEELSKSHQRLQNAFSSLSSVSS ERMKLIKLAINSEMSKLAVRHEDFLHKLTSYSDWVSEKSRSVKAIQTVNVQDTELVKNSV KFLKNVLADLSHTKMQLETTAFDVQSFISDYAQDLSPSQSRQLLRLLNTTQKGFLDLQEL VTTEADRLEALLQLEQELGHQKVVAERQQEYREKLQGLCDLLTQTENRLISNQEAFVIGD GTVELQKYQSKQEELQRDMQGSTQAMEEIVRNTELFLKESGDELSQADRALIEQKLNEVK MKCAQLNLKAEQSRKELDKAVTTALKEETEKVAAVRQLEESKTKIENLLNWLSNVEEDSE GVWTKHTQPMEQNGTYLHEGDSKLGAGEEDEVNGNLLETDAEGHSEATKGNLNQQYEKVK AQHGKIMAQHQAVLLATQSAQVLLEKQGHYLSPEEKEKLQKNTQELKVHYEKVLAECEKK VKLTHSLQEELEKFDTDYSEFEHWLQQSEQELANLEAGADDLSGLMDKLTRQKSFSEDVI SHKGDLRYITISGNRVIDAAKSCSKRDSDRIGKDSVETSATHREVQTKLDQVTDRFRSLY SKCSVLGNNLKDLVDQYQQYEDASCGLLSGLQACEAKASKHLREPIALDPKNLQRQLEET KALQGQISSQQVAVEKLKKTAEVLLDAKGSLLPAKNDIQKTLDDIVGRYDDLSKCVNERN EKLQITLTRSLSVQDALDEMLDWMGSVESSLVKPGQVPLNSTALQDLISKDTMLEQDITG RQSSINAMNEKVKTFIETTDPSTASSLQAKMKDLSARFSEASQKHKEKLAKMVELKAKVE QFEKLSDKLQTFLETQSQALTEVAMPGKDVPELSQHMQESTAKFLEHRKDLEALHSLLKE ISSHGLPGDKALVFEKTNNLSRKFKEMEDTIQEKKDALSSCQEQLSAFQTLAQSLKTWIK ETTKQVPVVKPSLGTEDLRKSLEETKKLQEKWNLKAPEIHKANNSGVSLCNLLSALISPA KAIAAAKSGGVILNGEGTDTNTQDFLANKGLTSIKKDMTDISHSYEDLGLLLKDKIVELN TKLSKLQKAQEESSAMMQWLEKMNKTASRWRQTPTPADTESVKLQVEQNKSFEAELKQNV NKVQELKDKLSELLEENPEAPEAQSWKQALAEMDTKWQELNQLTMDRQQKLEESSNNLTQ FQTTEAQLKQWLMEKELMVSVLGPLSIDPNMLNTQKQQVQILLQEFDTRKPQYEQLTAAG QGILSRPGEDPSLHGIVNEQLEAVTQKWDNLTGQLRDRCDWIDQAIVKSTQYQSLLRSLS GTLTELDDKLSSGLTSGALPDAVNQQLEAAQRLKQEIEQQAPKIKEAQEVCEDLSALVKE EYLKAELSRQLEGILKSFKDIEQKTENHVQHLQSACASSHQFQQMSKDFQAWLDAKKEEQ RDSPPISAKLDVLESLLNSQKDFGKTFTEQSNIYEKTISEGENLLLKTQGAEKAALQLQL NTMKTDWDRFRKQVKEREEKLKDSLEKALKYREQVETLRPWIDRCQHSLDGVTFSLDPTE SESSIAELKSLQKEMDHHFGMLELLNNTANSLLSVCEVDKEAVTEENQSLMEKVNRVTEQ LQSKTVSLENMAQKFKEFQEVSRDTQRQLQDTKEQLEVHHSLGPQAYSNKHLSVLQAQQK SLQTLKQQVDEAKRLAQDLVVEAADSKGTSDVLLQAETLAEEHSELSQQVDEKCSFLETK LQGLGHFQNTIREMFSQFTECDDELDGMAPVGRDAETLRKQKACMQTFLKKLEALMASND SANRTCKMMLATEETSPDLIGVKRDLEALSKQCNKLLDRAKTREEQVDGATEKLEEFHRK LEEFSTLLQKAEEHEESQGPVGTETETINQQLDVFKVFQKEEIEPLQVKQQDVNWLGQGL IQSAAANTCTQGLEHDLDSVNSRWKTLNKKVAQRTSQLQEALLHCGRFQDALESLLSWMA DTEELVANQKPPSAEFKVVKAQIQEQKLLQRLLEDRKSTVEVIKREGEKIAASAEPADRV KLTRQLSLLDSRWEALLSRAEARNRQLEGISVVAQEFHETLEPLNEWLTAVEKKLANSEP IGTQAPKLEEQISQHKALQEDILLRKQSVDQALLNGLELLKQTTGDEVLIIQDKLEAIKA RYKDITKLSADVAKTLEHALQLAGQLQSMHKELCNWLDKVEVELLSYETQGLKGEAASQV QERQKELKNEVRSNKALVDSLNEVSSALLELVPWRAREGLEKTIAEDNERYRLVSDTITQ KVEEIDAAILRSQQFEQAADAELSWITETQKKLMSLGDIRLEQDQTSAQLQVQKAFTMDI LRHKDIIDELVTSGHKIMTTSSEEEKQSMKKKLDKVLKKYDAVCQINSERHLQLERAQSL VSQFWETYEELWPWLTETQRIISQLPAPALEYETLRRQQEEHRQLRELIAEHKPHIDKMN KTGPQLLELSPKEGIYIQEKYVAADTLYSQIKEDVKKRAVVLDEAISQSTQFHDKIDQIL ESLERIAERLRQPPSISAEVEKIKEQIGENKSVSVDMEKLQPLYETLRQRGEEMIARSEG TEKDVSARAVQDKLDQMVFIWGSIHTLVEEREAKLLDVMELAEKFWCDHMSLVVTIKDTQ DFIRDLEDPGIDPSVVKQQQEAAEAIREEIDGLQEELDMVITLGSELIAACGEPDKPIVK KSIDELNSAWDSLNKAWKDRVDRLEEAMQAAVQYQDGLQGIFDWVDIAGNKLATMSPIGT DLETVKQQIEELKQFKSEAYQQQIEMERLNHQAELLLKKVTEEADKHTVQDPLMELKLIW DSLDERIVSRQHKLEGALLALGQFQHALDELLAWLTHTKGLLSEQKPVGGDPKAIEIELA KHHVLQNDVLAHQSTVEAVNKAGNDLIESSEGEEASNLQYKLRILNQRWQDILEKTDQRK QQLDSALRQAKGFHGEIEDLQQWLTDTERHLLASKPLGGLPETAKEQLNAHMEVCTAFAI KEETYKSLMLRGQQMLARCPRSAETNIDQDITNLKEKWESVKSKLNEKKTKLEEALHLAM NFHNSLQDFINWLTQAEQTLNVASRPSLILDTILFQIDEHKVFANEVNSHREQIIELDKT GTHLKYFSQKQDVVLIKNLLISVQSRWEKVVQRLVERGRSLDEARKRAKQFHEAWSKLME WLEESEKSLDSELEIANDPDKIKAQLVQHKEFQKSLGGKHSVYDTTNRTGRSLKEKTSLA DDNLKLDNMLSELRDKWDTICGKSVERQNKLEEALLFSGQFTDALQALIDWLYRVEPQLA EDQPVHGDIDLVMNLIDNHKVFQKELGKRTSSVQALKRSARELIEGSRDDSSWVRVQMQE LSTRWETVCALSISKQTRLESALQQAEEFHSVVHTLLEWLAEAEQTLRFHGALPDDEDAL RTLIEQHKEFMKRLEEKRAELSKATGMGDALLAVCHPDSITTIKHWITIIQARFEEVLAW AKQHQQRLAGALAGLIAKQELLETLLAWLQWAETTLTEKDKEVIPQEIEEVKTLIAEHQT FMEEMTRKQPDVDKVTKTYKRRATDPPSLQSHIPVLDKGRAGRKRFPASGFYPSGSQTQI ETKNPRVNLLVSKWQQVWLLALERRRKLNDALDRLEELREFANFDFDIWRKKYMRWMNHK KSRVMDFFRRIDKDQDGKITRQEFIDGILSSKFPTSRLEMSAVADIFDRDGDGYIDYYEF VAALHPNKDAYKPITDADKIEDEVTRQVAKCKCAKRFQVEQIGDNKYRFLILNHFGDSQQ LRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRAKGRTNMELREKFILADGASQGMAAFR PRGRRSRPSSRGASPNRSTSASSHACQAASPPVPAAASTPKILHPLTRNYGKPWLANSKM STPCKAAECPDFPVSSAEGTPIQGSKLRLPGYLSGKGFHSGEDSALITTAAARVRTQFAE SRKTPSRPGSRAGSKAGSRASSRRGSDASDFDISEIQSVCSDVETVPQTHRPVPRAGSRP STAKPSKIPTPQRRSPASKLDKSSKR >ENSMUSP00000042155.4 pep:known chromosome:GRCm38:9:119238432:119322427:-1 gene:ENSMUSG00000036737.12 transcript:ENSMUST00000040853.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxsr1 description:oxidative-stress responsive 1 [Source:MGI Symbol;Acc:MGI:1917378] MSEDSSALPWSINRDDYELQEVIGSGATAVVQAAYCAPKKERVAIKRINLEKCQTSMDEL LKEIQAMSQCHHPNIVSYYTSFVVKDELWLVMKLLSGGSVLDIIKHIVAKGEHKSGVLDE PTIATILREVLEGLEYLHKNGQIHRDVKAGNILLGEDGSVQIADFGVSAFLATGGDITRN KVRKTFVGTPCWMAPEVMEQVRGYDFKADIWSFGITAIELATGAAPYHKYPPMKVLMLTL QNDPPSLETGVQDKEMLKKYGKSFRKMISLCLQKDPEKRPTAAELLRHKFFQKAKNKEFL QEKILQRAPTISERSKKVRRVPGSSGRLHKTEDGGWEWSDDEFDEESEEGRAAISQLRSP RVKDSLSSSELFAAAEPMGTLLQVPEQISAHLPQPAGQMPTQPAQVSLLPPAEPAKPAQA QSSGERSQETKIPISLVLRLRNSKKELNDIRFEFTPGRDTAEGVSQELISAGLVDGRDLV IVAANLQKIVEEPQSNRSVTFKLASGVEGSDIPDDGKLIGFAQLSIS >ENSMUSP00000122692.1 pep:known chromosome:GRCm38:9:119240670:119322322:-1 gene:ENSMUSG00000036737.12 transcript:ENSMUST00000128880.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oxsr1 description:oxidative-stress responsive 1 [Source:MGI Symbol;Acc:MGI:1917378] MSEDSSALPWSINRDDYELQEVIGSGATAVVQAAYCAPKKERVAIKRINLEKCQTSMDEL LKEIQAMSQCHHPNIVSYYTSFVVKDELWLVMKLLSGVTHWRNWIALLKARFI >ENSMUSP00000117327.1 pep:known chromosome:GRCm38:9:119242768:119264772:-1 gene:ENSMUSG00000036737.12 transcript:ENSMUST00000143728.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oxsr1 description:oxidative-stress responsive 1 [Source:MGI Symbol;Acc:MGI:1917378] LQKDPEKRPTAAELLRHKFFQKAKNKEFLQEKILQRAPTISERSKKVRRVPGSSGRLHKT EDGGWEWSDDEFDEESEEGRAAISQLRSPRVKDSLSSSELARCPHSQLKSLSCPLQSQQN QHRLSLQENGPRRPRSLSV >ENSMUSP00000023057.8 pep:known chromosome:GRCm38:15:79786353:79804766:-1 gene:ENSMUSG00000022421.18 transcript:ENSMUST00000023057.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nptxr description:neuronal pentraxin receptor [Source:MGI Symbol;Acc:MGI:1920590] LKFLAVLLAAGMLAFLGAVICIIASVPLAASPARALPGGTDNASAASAAGGSGPQRSLSA LHSAGGSAGPSVLPGEPAASVFPPPPVPLLSRFLCTPLAAACPSGAEQGDAAGERAELLL LQSTAEQLRQTALQQEARIRADRDTIRELTGKLGRCESGLPRGLQDAGPRRDTMADGAWD SPALLLELEDAVRALRDRIERIEQELPARGNISSAPAPAMPTALHSKMDELEGQLLAKVL ALEKERAALSHGSHQQRQEVEKELNALQGRVAELEHGSSAYSPPDAFKVSIPIRNNYMYA RVRKALPELYAFTACMWLRSRSGGSGQGTPFSYSVPGQANEIVLLEAGLEPMELLINDKV AQLPLSLKDSNWHHICISWTTRDGLWSAYQDGELRGSGENLAAWHPIKPHGILILGQEQD TLGGRFDATQAFVGDIAQFNLWDHALTPAQVLGMANCTGPLMGNVLPWEDKLVEAFGGAK KAAFDVCKGRAKA >ENSMUSP00000135855.3 pep:known chromosome:GRCm38:15:79786352:79804709:-1 gene:ENSMUSG00000022421.18 transcript:ENSMUST00000175858.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nptxr description:neuronal pentraxin receptor [Source:MGI Symbol;Acc:MGI:1920590] MKFLAVLLAAGMLAFLGAVICIIASVPLAASPARALPGGTDNASAASAAGGSGPQRSLSA LHSAGGSAGPSVLPGEPAASVFPPPPVPLLSRFLCTPLAAACPSGAEQGDAAGERAELLL LQSTAEQLRQTALQQEARIRADRDTIRELTGKLGRCESGLPRGLQDAGPRRDTMADGAWD SPALLLELEDAVRALRDRIERIEQELPARGNISSAPAPAMPTALHSKMDELEGQLLAKVL ALEKERAALSHGSHQQRQEVEKELNALQGRVAELEHGSSAYSPPDAFKVSIPIRNNYMYA RVRKALPELYAFTACMWLRSRSGGSGQGTPFSYSVPGQANEIVLLEAGLEPMELLINDKV AQLPLSLKDSNWHHICISWTTRDGLWSAYQDGELRGSGENLAAWHPIKPHGILILGQEQD TLGGRFDATQAFVGDIAQFNLWDHALTPAQVLGMANCTGPLMGNVLPWEDKLVEAFGGAK KAAFDVCKGRAKA >ENSMUSP00000136794.2 pep:known chromosome:GRCm38:X:11321492:11321809:1 gene:ENSMUSG00000099443.1 transcript:ENSMUST00000177926.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14478 description:predicted gene 14478 [Source:MGI Symbol;Acc:MGI:3711282] MAKKMQRRRRQKRTRSQRGELPFSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAQTTGRKRIAPEDVRLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000123773.1 pep:known chromosome:GRCm38:19:7417625:7425904:1 gene:ENSMUSG00000053080.10 transcript:ENSMUST00000159348.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700081O15Rik description:RIKEN cDNA 2700081O15 gene [Source:MGI Symbol;Acc:MGI:1919667] MEPGGDHRSRSGGGRGGPGPAVTSARGRRLPPTAASGGTEPEEDDGGQALQLEGGALGSW GSTPLPSSRARGPASSGRKYSDHCEARASRPGKSRIPGRDHRRYYHDHWRLEYLMDFIPS RHGMVCMVCGSSLATLKLSTIKRHIRQKHPYSLHWSPREKEVISNSWDAHLGLGAGGEAE SLGAQGAEEEEEEDEEEEEGANLQACPPKGSGKAPAGGGCRRQRRGVRGGSVAPRRRRLA ASRRAGGSRGLGARRLERRLKESLQNWFRAECLMDYDPRGNRLVCMACGRALPSLHLDDI RAHVLEVHPSSLGLSGPQRSALLQAWGDQPEALSELTQPSPDDDLVPQDLTRKSRDSAPA AGAPSSQDLSPPDVKEEAGWVPERPGPAEEEEGEGEGEREGIPNRPRRGRDHRRHYQERW RLEYLMELDGCRRGLVCMVCGGALASLKMSTIKRHIRQRHPGSNSLSGPVKALIAQEWSE KAAHLLALGLPRPESPSVPVAPSTASASEEGGGAEEAEPEEEWWGDAPLSPGAPSERPAE EEDDEDDSQEPGGLAFPPLPLPPPPPPPPPPPRSREQRRNYQPRWRGEYLMDYDGSRRGL VCMVCGGALATLKVSTIKRHILQVHPFSMDFTPEERQTILEAYEEAALRCYGHEGFGPPA PAPRDSGADLKPGAVCRA >ENSMUSP00000139847.1 pep:known chromosome:GRCm38:19:7420090:7423338:1 gene:ENSMUSG00000053080.10 transcript:ENSMUST00000161907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700081O15Rik description:RIKEN cDNA 2700081O15 gene [Source:MGI Symbol;Acc:MGI:1919667] GALGSWGSTPLPSSRARGPASSGRKYSDHCEARASRPGKSRIPGRDHRRYYHDHWRLEYL MDFIPSRHGMVCMVCGSSLATLKLSTIKRHIRQKHPYSLHWSPREKEVISNSWDAHLGLG AGGEAESLGAQGAEEEEEEDEEEEEGANLQACPPKGSGDAPLSPGAPSERPAEEEDDEDD SQEPGGLAFPPLPLPPPPPPPPPPPRSREQRRNYQPRWRGEYLMDYDGSRRGLVCMVCGG ALATLKVSTIKRHILQVHPFSMDFTPEERQTILEAYEEAALRCYGHEGFGPPAPAPRDSG ADLKPGAVCRA >ENSMUSP00000140369.1 pep:known chromosome:GRCm38:19:7420409:7422672:1 gene:ENSMUSG00000053080.10 transcript:ENSMUST00000191580.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2700081O15Rik description:RIKEN cDNA 2700081O15 gene [Source:MGI Symbol;Acc:MGI:1919667] XVISNSWDAHLGLGAGGEAESLGAQGAEGVTAELVPG >ENSMUSP00000124442.1 pep:known chromosome:GRCm38:19:7420419:7422324:1 gene:ENSMUSG00000053080.10 transcript:ENSMUST00000159346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700081O15Rik description:RIKEN cDNA 2700081O15 gene [Source:MGI Symbol;Acc:MGI:1919667] XNSWDAHLGLGAGGEAESLGAQGAEEEEEEDEEEEEGANLQACPPKGSGKAPAGGGCRRQ RRGVRGGSVAPRRRRLAASRRAGGSRGLGARRLERRLKESLQNWFRAECLMDYDPRGNRL AWGDQPEALSELTQPSPDDDLVPQDLTRKSRDSAPAAGAPSSQDLSPPDVKEEAGWVPER PGPAEEEEGEGEGEREGIPNRPRRGRDHRRHYQERWRLEYLMELDGCRRGLVCMVCGGAL ASLKMSTIKRHIRQRHPGSNSLSGPVKALIAQEW >ENSMUSP00000125841.2 pep:known chromosome:GRCm38:X:11324659:11324976:1 gene:ENSMUSG00000095445.1 transcript:ENSMUST00000164729.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14475 description:predicted gene 14475 [Source:MGI Symbol;Acc:MGI:3710416] MAKKMQRRRRQKRTRSQRGELPFSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAQTTGRKRIAPEDVRLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000033473.5 pep:known chromosome:GRCm38:X:59062145:59134436:-1 gene:ENSMUSG00000031137.17 transcript:ENSMUST00000033473.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf13 description:fibroblast growth factor 13 [Source:MGI Symbol;Acc:MGI:109178] MAAAIASSLIRQKRQAREREKSNACKCVSSPSKGKTSCDKNKLNVFSRVKLFGSKKRRRR RPEPQLKGIVTKLYSRQGYHLQLQADGTIDGTKDEDSTYTLFNLIPVGLRVVAIQGVQTK LYLAMNSEGYLYTSEHFTPECKFKESVFENYYVTYSSMIYRQQQSGRGWYLGLNKEGEIM KGNHVKKNKPAAHFLPKPLKVAMYKEPSLHDLTEFSRSGSGTPTKSRSVSGVLNGGKSMS HNEST >ENSMUSP00000114905.1 pep:known chromosome:GRCm38:X:59131877:59567472:-1 gene:ENSMUSG00000031137.17 transcript:ENSMUST00000124402.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf13 description:fibroblast growth factor 13 [Source:MGI Symbol;Acc:MGI:109178] MSGKVTKPKEEKDASKVLDDAPPGTQEYIMLRQDSIQSAELKKKESPFRAKCHEIFCCPL KQVHHKENTEPEEPQLKGIVTKLYSRQGYHLQLQADG >ENSMUSP00000119897.1 pep:known chromosome:GRCm38:X:59250885:59567821:-1 gene:ENSMUSG00000031137.17 transcript:ENSMUST00000145767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf13 description:fibroblast growth factor 13 [Source:MGI Symbol;Acc:MGI:109178] MSGKVTKPKEEKDASKVLDDAPPGTQEYIMLRQDSIQSAELKK >ENSMUSP00000113639.1 pep:known chromosome:GRCm38:X:59062145:59568071:-1 gene:ENSMUSG00000031137.17 transcript:ENSMUST00000119833.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf13 description:fibroblast growth factor 13 [Source:MGI Symbol;Acc:MGI:109178] MLLREPQLKGIVTKLYSRQGYHLQLQADGTIDGTKDEDSTYTLFNLIPVGLRVVAIQGVQ TKLYLAMNSEGYLYTSEHFTPECKFKESVFENYYVTYSSMIYRQQQSGRGWYLGLNKEGE IMKGNHVKKNKPAAHFLPKPLKVAMYKEPSLHDLTEFSRSGSGTPTKSRSVSGVLNGGKS MSHNEST >ENSMUSP00000115765.1 pep:known chromosome:GRCm38:X:59250808:59568071:-1 gene:ENSMUSG00000031137.17 transcript:ENSMUST00000131319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf13 description:fibroblast growth factor 13 [Source:MGI Symbol;Acc:MGI:109178] MSGKVTKPKEEKDASKVLDDAPPGTQEYIMLRQDSIQSAELKKKESPFRAKCHEIFCCPL KQVHHKENT >ENSMUSP00000113206.1 pep:known chromosome:GRCm38:X:59062145:59166164:-1 gene:ENSMUSG00000031137.17 transcript:ENSMUST00000119306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf13 description:fibroblast growth factor 13 [Source:MGI Symbol;Acc:MGI:109178] MALLRKSYSEPQLKGIVTKLYSRQGYHLQLQADGTIDGTKDEDSTYTLFNLIPVGLRVVA IQGVQTKLYLAMNSEGYLYTSEHFTPECKFKESVFENYYVTYSSMIYRQQQSGRGWYLGL NKEGEIMKGNHVKKNKPAAHFLPKPLKVAMYKEPSLHDLTEFSRSGSGTPTKSRSVSGVL NGGKSMSHNEST >ENSMUSP00000109713.2 pep:known chromosome:GRCm38:1:64606473:64617242:-1 gene:ENSMUSG00000025956.10 transcript:ENSMUST00000114079.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl21a description:methyltransferase like 21A [Source:MGI Symbol;Acc:MGI:1914349] MALVPYEESAAIGLQKFHKPLATFSFANHTIQIRQDWRQLGVAAVVWDAAVVLSMYLEMG AVELRGCSAVELGAGTGLVGIVAALLGAQVTITDRKVALEFLKSNVEANLPPHIQPKAVV KELTWGQNLESFSPGEFDLILGADVIYLEDTFTDLLQTLGHLCSNNSVILLACRIRYERD SNFLTMLERQFTVSKVHYDPEKDVHIYKAQKRNQREDL >ENSMUSP00000050424.1 pep:known chromosome:GRCm38:1:64606480:64617168:-1 gene:ENSMUSG00000025956.10 transcript:ENSMUST00000053469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl21a description:methyltransferase like 21A [Source:MGI Symbol;Acc:MGI:1914349] MALVPYEESAAIGLQKFHKPLATFSFANHTIQIRQDWRQLGVAAVVWDAAVVLSMYLEMG AVELRGCSAVELGAGTGLVGIVAALLGAQVTITDRKVALEFLKSNVEANLPPHIQPKAVV KELTWGQNLESFSPGEFDLILGADVIYLEDTFTDLLQTLGHLCSNNSVILLACRIRYERD SNFLTMLERQFTVSKVHYDPEKDVHIYKAQKRNQREDL >ENSMUSP00000038232.7 pep:known chromosome:GRCm38:8:105939551:105943382:-1 gene:ENSMUSG00000035237.7 transcript:ENSMUST00000038896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcat description:lecithin cholesterol acyltransferase [Source:MGI Symbol;Acc:MGI:96755] MGLPGSPWQRVLLLLGLLLPPATPFWLLNVLFPPHTTPKAELSNHTRPVILVPGCLGNRL EAKLDKPDVVNWMCYRKTEDFFTIWLDFNLFLPLGVDCWIDNTRIVYNHSSGRVSNAPGV QIRVPGFGKTESVEYVDDNKLAGYLHTLVQNLVNNGYVRDETVRAAPYDWRLAPHQQDEY YKKLAGLVEEMYAAYGKPVFLIGHSLGCLHVLHFLLRQPQSWKDHFIDGFISLGAPWGGS IKAMRILASGDNQGIPILSNIKLKEEQRITTTSPWMLPAPHVWPEDHVFISTPNFNYTVQ DFERFFTDLHFEEGWHMFLQSRDLLERLPAPGVEVYCLYGVGRPTPHTYIYDHNFPYKDP VAALYEDGDDTVATRSTELCGQWQGRQSQPVHLLPMNETDHLNMVFSNKTLEHINAILLG AYRTPKSPAASPSPPPPE >ENSMUSP00000043677.8 pep:known chromosome:GRCm38:17:15053059:15064223:1 gene:ENSMUSG00000036552.16 transcript:ENSMUST00000040594.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ermard description:ER membrane associated RNA degradation [Source:MGI Symbol;Acc:MGI:1917317] MWPVPPLPEECCQEAGRLEGNSEACACNSLISKIWCELCHYLPGSACAINGLDGLPSEKW SQLLSELCSTRIPTLFCPRIVLEVLVVLRGINSQCQRVSDQVTASLQLRHRQWVERRLRS RQRQNYVRMLSSVRLLCPMLSLILLLLALELASVHAVRDKGAEEQQQYLSTLRT >ENSMUSP00000060857.9 pep:known chromosome:GRCm38:17:14978864:14991577:1 gene:ENSMUSG00000036552.16 transcript:ENSMUST00000061688.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ermard description:ER membrane associated RNA degradation [Source:MGI Symbol;Acc:MGI:1917317] MEILPGDSMTTCLSPLVHDLICNLGFELKEICDINSIVTQNGEVRWKAITDRVRYEELGR SLDYRRSVQQLGPVCEAIHLHISALTRAQFEIQYSPWYQWTTYPELFLEILDALQSSQPA AVSLGVMKLASCLERALGDVFLLVGKECPFLLRDLLASAELAQVFGHAVMDILKVFIGSP CGLNLRNVLWHGFASPQDIPPKYCSAMLLLTAGLGQLLKSYLHHTKVTLAHRPFVTLTNL EDVIVFPGVTYEVLSALETVMTKSNFLLKIMLPYWEMAVSKFKSHRFADYTMLLLSQLEA GLRRLFAAVNKCPDRLLTAESTILYTTFDEILAKHMSDGSMNQLPCFLGEPAMEFLWDFL NYQEGPRIRDHLSHGEINIREFPEEAAGQLLTFSLVLLLRFTEKGTVSELKVPQREGGGW LAECTTTSKGRACLISQI >ENSMUSP00000095005.3 pep:known chromosome:GRCm38:17:15041641:15064232:1 gene:ENSMUSG00000036552.16 transcript:ENSMUST00000097393.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ermard description:ER membrane associated RNA degradation [Source:MGI Symbol;Acc:MGI:1917317] MEILPGDSMTTCLSPLVHDLICNLGFELKEICDINSIVTQNGEVRWKAITDRVRYEELGR SLDYRRSVQQLGPVCEAIHLHISALTRAQFEIQYSPWYQWTTYPELFLEILDALQSSQPA AVSLGVMKLASCLERALGDVFLLVGKECPFLLRDLLASAELAQVFGHAVMDILKVFIGSP CGLNLRNVLWHGFASPQDIPPKYCSAMLLLTAGLGQLLKSYLHHTKVTLAHRPFVTLTNL EDVIVFPGVTYEVLSALETVMTKSNFLLKIMLPYWEMAVSKFKSHRFADCTMLLLSQLEA GLRRLFAAVNKCPDRLLTAESTILYTTFDEILAKHMSDGSMNQLPCFLGEPAMEFLWDFL NYQEGPRIRDRISHGEINIREFPEEAAGQLLTFSLVLLLRFTEKGTVSELKEEAAIQLLV RLAEGYRSRCHPAFQLQKQVLSCERSLRMWPVPPLPEECCQEAGRLEGNSEACACNSLIS KIWCELCHYLPGSACAINGLDGLPSEKWSQLLSELCSTRIPTLFCPRIVLEVLVVLRGIN SQCQRVSDQVTASLQLRHRQWVERRLRSRQRQNYVRMLSSVRLLCPMLSLILLLLALELA SVHAVRDKGAEEQQQYLSTLRT >ENSMUSP00000095007.3 pep:known chromosome:GRCm38:17:15010266:15022448:1 gene:ENSMUSG00000036552.16 transcript:ENSMUST00000097395.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ermard description:ER membrane associated RNA degradation [Source:MGI Symbol;Acc:MGI:1917317] MEILPGDSMTTCLSPLVHDLICNLGFELKEICDINSIVTQNGEVRWKAITDRVRYEELGR SLDYRRSVQQLGPVCEAIHLHISALTRAQFEIQYSPWYQWTTYPELFLEILDALQSSQPA AVSLGVMKLASCLERALGDVFLLVGKECPFLLRDLLASAELAQVFGHAVMDILKVFIGSP CGLNLRNVLWHGFASPQDIPPKYCSAMLLLTAGLGQLLKSYLHHTKVTLAHRPFVTLTNL EDVIVFPGVTYEVLSALETVMTKSNFLLKIMLPYWEMAVSKFKSHRFADCTMLLLSQLEA GLRRLFAAVNKCPDRLLTAESTILYTTFDEILAKHMSDGSMNQLPCFLGEPAMEFLWDFL NYQEGPRIRDHLSHGEINICEFPEEAAGQLLTFSLVLLLRFTEKGTVSELKVPQREGGGW LAECTTTSKGRACLISQI >ENSMUSP00000088719.2 pep:known chromosome:GRCm38:X:11327822:11328151:1 gene:ENSMUSG00000069038.2 transcript:ENSMUST00000091180.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4906 description:predicted gene 4906 [Source:MGI Symbol;Acc:MGI:3643273] MAKKMQRRRRQKRTHSQRGEFPLSLVDRFLPEGNHSGRLSSSAPVILDSVLEYLSSNILE LAGEVAYTTGRKRIAPEDVGLVVQNNEQLCQLFKPGATSVFDPPEPDDN >ENSMUSP00000116286.1 pep:known chromosome:GRCm38:6:129647496:129660584:-1 gene:ENSMUSG00000052736.15 transcript:ENSMUST00000145984.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc2 description:killer cell lectin-like receptor subfamily C, member 2 [Source:MGI Symbol;Acc:MGI:1336162] MSHLLGTEQASEPRKATKKTQAQFRFSNAAGDRLLEFSLQHASQKHLKASRHGYCKNFAS PPEKLIAGILGTIWFTLLIALVISTRIVSPYAELKKQIHPFLVRTQKGSHALLSKAQPCP HCPKEWISYSHNCYFIGMERKSWNDSLGSCLSKNCSLLHIDSEEEQDFLQSLSLVSWTGI LRKGRGQAWDWKKDSIFKPKSLAPFR >ENSMUSP00000107688.2 pep:known chromosome:GRCm38:6:129649537:129660689:-1 gene:ENSMUSG00000052736.15 transcript:ENSMUST00000112057.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc2 description:killer cell lectin-like receptor subfamily C, member 2 [Source:MGI Symbol;Acc:MGI:1336162] MSHLLGTEQASEPRKATKKTQAQFRFSNAAGDRLLEFSLQHASQKHLKASRHGYCKNFAS PPEKLIAGILGTIWFTLLIALVISTRIVSPYAELKKQIHPFLVRTQKGSHALLSKAQPCP HCPKEWISYSHNCYFIGMERKSWNDSLGSCLSKNCSLLHIDSEEEQDFLQSLSLVSWTGI LRKGRGQAWDWKKDSIFKPKIAEISHDECNCAMMSASGLTADNCTTLHPYLCKCKFPI >ENSMUSP00000113970.1 pep:known chromosome:GRCm38:6:129656346:129660596:-1 gene:ENSMUSG00000052736.15 transcript:ENSMUST00000118401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc2 description:killer cell lectin-like receptor subfamily C, member 2 [Source:MGI Symbol;Acc:MGI:1336162] MSHLLGTEQASEPRKATKKTQAQFRFSNAAGDRLLEFSLQHASQKHLKASRHGYCKNFAS PPEKLIAGILGTIWFTLLIALVISTRIVSPSQPCPHCPKEWISYSHNCYFIGMERKSWND SLGSCLSKNCSLLHIDSEEEQDFLQSLSLVSWTGILRKGRGQAWDWKKDSIFKPKIAEIS HDECNCAMMSASGLTADNCTTLHPYLCKCKFPI >ENSMUSP00000112733.1 pep:known chromosome:GRCm38:6:129656371:129660556:-1 gene:ENSMUSG00000052736.15 transcript:ENSMUST00000119533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc2 description:killer cell lectin-like receptor subfamily C, member 2 [Source:MGI Symbol;Acc:MGI:1336162] MSHLLGTEQASEPRKATKKTQAQFRFSNAAGDRLLEFSLQHASQKHLKASRHGYCKNFAS PPEKLIAGILGTIWFTLLIALVISTRIVSPYAELKKQIHPFLVRTQKGSHALLSKAQPCP HCPKEWISYSHNCYFIGMERKSWNDSLGSCLSKNCSLLHIDSEEEQDFLQSLSLVSWTGI LRKGRGQAWDWKKDSIFKPKIAEISHDECNCAMMSASGLTADNCTTLHPYLCKCKFPI >ENSMUSP00000071813.4 pep:known chromosome:GRCm38:6:129649537:129660689:-1 gene:ENSMUSG00000052736.15 transcript:ENSMUST00000071920.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc2 description:killer cell lectin-like receptor subfamily C, member 2 [Source:MGI Symbol;Acc:MGI:1336162] MSHLLGTEQASEPRKATKKTQAQFRFSNAAGDRLLEFSLQHASQKHLKASRHGYCKNFAS PPEKLIAGILGTIWFTLLIALVISTRIVSPYINYTLSSAQPCPHCPKEWISYSHNCYFIG MERKSWNDSLGSCLSKNCSLLHIDSEEEQDFLQSLSLVSWTGILRKGRGQAWDWKKDSIF KPKIAEISHDECNCAMMSASGLTADNCTTLHPYLCKCKFPI >ENSMUSP00000040451.3 pep:known chromosome:GRCm38:4:42949814:42959425:1 gene:ENSMUSG00000036052.14 transcript:ENSMUST00000037872.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb5 description:DnaJ heat shock protein family (Hsp40) member B5 [Source:MGI Symbol;Acc:MGI:1930018] MFKIQLEPLKLRAWTLNGFVKFRNKEPSTGPVAVMGKDYYKILGIPSGANEDEIKKAYRK MALKYHPDKNKEPNAEEKFKEIAEAYDVLSDPKKRSLYDQYGEEGLKTGGGSSGGSGGSF HYTFHGDPHATFASFFGGSNPFDIFFASSRSTRPFSGFDPDDMDVDEDEDPFGAFGRFGF NGLSRGPRRAPEPLYPRRKVQDPPVVHELRVSLEEIYHGSTKRMKITRRRLNPDGRTVRT EDKILHIVIKRGWKEGTKITFPKEGDATPDNIPADIVFVLKDKPHAHFRRDGTNVLYSAL ISLKEALCGCTVNIPTIDGRVIPLPCNDVIKPGTVKRLRGEGLPFPKVPTQRGDLIVEFK VRFPDRLTPQTRQILKQHLPCS >ENSMUSP00000095716.2 pep:known chromosome:GRCm38:4:42949867:42959425:1 gene:ENSMUSG00000036052.14 transcript:ENSMUST00000098112.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb5 description:DnaJ heat shock protein family (Hsp40) member B5 [Source:MGI Symbol;Acc:MGI:1930018] MGKDYYKILGIPSGANEDEIKKAYRKMALKYHPDKNKEPNAEEKFKEIAEAYDVLSDPKK RSLYDQYGEEGLKTGGGSSGGSGGSFHYTFHGDPHATFASFFGGSNPFDIFFASSRSTRP FSGFDPDDMDVDEDEDPFGAFGRFGFNGLSRGPRRAPEPLYPRRKVQDPPVVHELRVSLE EIYHGSTKRMKITRRRLNPDGRTVRTEDKILHIVIKRGWKEGTKITFPKEGDATPDNIPA DIVFVLKDKPHAHFRRDGTNVLYSALISLKEALCGCTVNIPTIDGRVIPLPCNDVIKPGT VKRLRGEGLPFPKVPTQRGDLIVEFKVRFPDRLTPQTRQILKQHLPCS >ENSMUSP00000081712.5 pep:known chromosome:GRCm38:4:42950396:42959425:1 gene:ENSMUSG00000036052.14 transcript:ENSMUST00000084662.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb5 description:DnaJ heat shock protein family (Hsp40) member B5 [Source:MGI Symbol;Acc:MGI:1930018] MGKDYYKILGIPSGANEDEIKKAYRKMALKYHPDKNKEPNAEEKFKEIAEAYDVLSDPKK RSLYDQYGEEGLKTGGGSSGGSGGSFHYTFHGDPHATFASFFGGSNPFDIFFASSRSTRP FSGFDPDDMDVDEDEDPFGAFGRFGFNGLSRGPRRAPEPLYPRRKVQDPPVVHELRVSLE EIYHGSTKRMKITRRRLNPDGRTVRTEDKILHIVIKRGWKEGTKITFPKEGDATPDNIPA DIVFVLKDKPHAHFRRDGTNVLYSALISLKEALCGCTVNIPTIDGRVIPLPCNDVIKPGT VKRLRGEGLPFPKVPTQRGDLIVEFKVRFPDRLTPQTRQILKQHLPCS >ENSMUSP00000103607.2 pep:known chromosome:GRCm38:4:42953094:42958723:1 gene:ENSMUSG00000036052.14 transcript:ENSMUST00000107973.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb5 description:DnaJ heat shock protein family (Hsp40) member B5 [Source:MGI Symbol;Acc:MGI:1930018] MGKDYYKILGIPSGANEDEIKKAYRKMALKYHPDKNKEPNAEEKFKEIAEAYDVLSDPKK RSLYDQYGEEGLKTGGGSSGGSGGSFHYTFHGDPHATFASFFGGSNPFDIFFASSRSTRP FSGFDPDDMDVDEDEDPFGAFGRFGFNGLSRGPRRAPEPLYPRRKVQDPPVVHELRVSLE EIYHGSTKRMKITRRRLNPDGRTVRTEDKILHIVIKRGWKEGTKITFPKEGDATPDNIPA DIVFVLKDKPHAHFRRDGTNVLYSALISLKEALCGCTVNIPTIDGRVIPLPCNDVIKPGT VKRLRGEGLPFPKVPTQRGDLIVEFKVRFPDRLTPQTRQILKQHLPCS >ENSMUSP00000104166.2 pep:known chromosome:GRCm38:7:13554864:13571067:-1 gene:ENSMUSG00000078800.5 transcript:ENSMUST00000108526.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsph2 description:binder of sperm protein homolog 2 [Source:MGI Symbol;Acc:MGI:1924934] MEVMSHLVHWVFLAVYMYELNAELISHLHPPEQEISTDSCVFPFVYADGFHYSCISLHSD YDWCSLDFQFQGRWRYCTAQDPPKCIFPFQFKQKLIKKCTKEGYILNRSWCSLTENYNQD GKWKQCSPNNF >ENSMUSP00000144514.1 pep:known chromosome:GRCm38:7:13554866:13571067:-1 gene:ENSMUSG00000078800.5 transcript:ENSMUST00000202285.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bsph2 description:binder of sperm protein homolog 2 [Source:MGI Symbol;Acc:MGI:1924934] MEVMSHLVHWVFLAVYMYELNAELISHLHPPEQVVSPSTVTMIGVLLTFNSKEGGGTVQH RIPQSVFSLSNSNRSSLRSAPRRAIF >ENSMUSP00000029708.6 pep:known chromosome:GRCm38:3:88056520:88058495:-1 gene:ENSMUSG00000028070.7 transcript:ENSMUST00000029708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoa1bp description:apolipoprotein A-I binding protein [Source:MGI Symbol;Acc:MGI:2180167] MSGLRTLLGLGLLVAGSRLPRVISQQSVCRARPIWWGTQRRGSETMAGAAVKYLSQEEAQ AVDQELFNEYQFSVDQLMELAGLSCATAIAKAYPPTSMSKSPPTVLVICGPGNNGGDGLV CARHLKLFGYQPTIYYPKRPNKPLFTGLVTQCQKMDIPFLGEMPPEPMMVDELYELVVDA IFGFSFKGDVREPFHSILSVLSGLTVPIASIDIPSGWDVEKGNPSGIQPDLLISLTAPKK SATHFTGRYHYLGGRFVPPALEKKYQLNLPSYPDTECVYRLQ >ENSMUSP00000113353.1 pep:known chromosome:GRCm38:5:90214724:90223972:-1 gene:ENSMUSG00000035505.14 transcript:ENSMUST00000118816.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox18 description:cytochrome c oxidase assembly protein 18 [Source:MGI Symbol;Acc:MGI:2448532] MLCRSCAGWLRSLPTLRLPAPGSPPAWSSARLPALPVWAAASVSAASPGGWYEALAASAP VRTAEEVLLGAQEATGLPWWSNIILSTVALRGAVTLPLAAYQHYILAKVENLQPEIKDIA KRLNQEVAVCARQFGWSKRVARLTYLKNMRRLISELYVRDNCHPFKATVLVWVQLPMWVF ISVALRNLSTGATHSDAGISVQEQLAAGGTLWFPDLTAVDSTWILPVSVGVVNLLIVEIF ALQKIGTSRFQMYVTNFVRAVSVLMIPVAATVPSALVLYWLCSSLMGLAQNLLLRSPGFR QLCRIPPSKSDSETPYRDLSAAFCAKFLSRKR >ENSMUSP00000044144.7 pep:known chromosome:GRCm38:5:90214724:90224001:-1 gene:ENSMUSG00000035505.14 transcript:ENSMUST00000048363.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox18 description:cytochrome c oxidase assembly protein 18 [Source:MGI Symbol;Acc:MGI:2448532] MLCRSCAGWLRSLPTLRLPAPGSPPAWSSARLPALPVWAAASVSAASPGGWYEALAASAP VRTAEEVLLGAQEATGLPWWSNIILSTVALRGAVTLPLAAYQHYILAKVENLQPEIKDIA KRLNQEVAVCARQFGWSKRVARLTYLKNMRRLISELYVRDNCHPFKATVLVWVQLPMWVF ISVALRNLSTGATHSDGISVQEQLAAGGTLWFPDLTAVDSTWILPVSVGVVNLLIVEIFA LQKIGTSRFQMYVTNFVRAVSVLMIPVAATVPSALVLYWLCSSLMGLAQNLLLRSPGFRQ LCRIPPSKSDSETPYRDLSAAFCAKFLSRKR >ENSMUSP00000116430.1 pep:known chromosome:GRCm38:5:90218935:90223939:-1 gene:ENSMUSG00000035505.14 transcript:ENSMUST00000148480.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cox18 description:cytochrome c oxidase assembly protein 18 [Source:MGI Symbol;Acc:MGI:2448532] MLCRSCAGWLRSLPTLRLPAPGSPPAWSSARLPALPVWAAASVSAASPGGWYEALAASAP VRTAEEVLLGAQEATGLPWWSNIILSTVALRGAVTLPLAAYQHYILAKLGGKLATRNKRH RQAP >ENSMUSP00000036921.4 pep:known chromosome:GRCm38:11:3330401:3342971:1 gene:ENSMUSG00000034614.14 transcript:ENSMUST00000045153.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3ip1 description:phosphoinositide-3-kinase interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917016] MLLAWVHTFLLSNMLLAEAYGSGGCFWDNGHLYREDQPSPAPGLRCLNWLAAQGSRESLT EPSPGNHNYCRNPDQDPRGPWCYISSETGVPEKRPCEDVSCPETTSQAPPPSSAMELEEK SGAPGDKEAQVFPPANALPARSEAAEVQPVIGISQLVRMNSKEKKDLGTLGYVLGITMMV IILAIGAGIIVGYTYKRGKDLKEQHEKKACEREMQRITLPLSAFTNPTCETVDENTIIVH SNQTPADVQEGSTLLTGQAGTPGA >ENSMUSP00000122407.1 pep:known chromosome:GRCm38:11:3330787:3341683:1 gene:ENSMUSG00000034614.14 transcript:ENSMUST00000136536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3ip1 description:phosphoinositide-3-kinase interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917016] MLLAWVHTFLLSNMLLAEAYGSGGCFWDNGHLYREDQPSPAPGLRCLNWLAAQGSRESLT EPSPGNHNYCRNPDQDPRGPWCYISSETGVPEKRPCEDVSCPGTRPGTTETTSQAPPPSS AMELEEKSGAPGDKEAQVFPPANALPARSEAAEVQPVIGISQLVRMNSKEKKDLGTLGYV LGITMMVIILAIGAGIIVGYTYKRGKDLKEQHEKKACEREMQRITLPLSAFTNPTCETVD ENTIIVHSNQTPADVQE >ENSMUSP00000091100.4 pep:known chromosome:GRCm38:11:3330808:3333964:1 gene:ENSMUSG00000034614.14 transcript:ENSMUST00000093399.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3ip1 description:phosphoinositide-3-kinase interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917016] MLLAWVHTFLLSNMLLAEAYGSGGCFWDNGHLYREDQPSPAPGLRCLNWLAAQGSRESLT EPSPGNHNYCRNPDQDPRGPWCYISSETGVPEKRPCEDVSCPETTSQAPPPSSAMELEEK SGAPGDKEAQVFPPANALPARSEAAEVQPVIGISQLVRMNSKEKKDLGTLGYVLGITMMV IILAIGAGIIVGYTYKRWVLSPPGSLGIGLRKT >ENSMUSP00000121277.1 pep:known chromosome:GRCm38:11:3332109:3341674:1 gene:ENSMUSG00000034614.14 transcript:ENSMUST00000138907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3ip1 description:phosphoinositide-3-kinase interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917016] XPGNHNYCRNPDQDPRGPWCYISSETGVPEKRPCEDVSCPETTSQAPPPSSAMELEEKSG APGDKEAQVFPPANALPARSEAAEVQPVIGISQLVRMNSKEKKDLGTLGYVLGITMMVII LAIGAGIIVGYTYKSLRTAFGRLPILGQFPDLQELDLAALNSKLSGCPVQKPGGRT >ENSMUSP00000119106.1 pep:known chromosome:GRCm38:11:3332451:3341692:1 gene:ENSMUSG00000034614.14 transcript:ENSMUST00000136474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3ip1 description:phosphoinositide-3-kinase interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917016] METTSQAPPPSSAMELEEKSGAPGDKEAQVFPPANALPARSEAAEVQPVIGISQLVRMNS KEKKDLGTLGYVLGITMMVIILAIGAGIIVGYTYKRGKDLKEQHEKKACEREMQRITLPL SAFTNPTCETVDENTIIVHSNQTPADVQEGST >ENSMUSP00000047925.5 pep:known chromosome:GRCm38:16:10791380:10792105:-1 gene:ENSMUSG00000038015.6 transcript:ENSMUST00000037996.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prm2 description:protamine 2 [Source:MGI Symbol;Acc:MGI:97766] MVRYRMRSPSEGPHQGPGQDHEREEQGQGQGLSPERVEDYGRTHRGHHHHRHRRCSRKRL HRIHKRRRSCRRRRRHSCRHRRRHRRGCRRSRRRRRCRCRKCRRHHH >ENSMUSP00000139898.1 pep:known chromosome:GRCm38:16:10791537:10796134:-1 gene:ENSMUSG00000038015.6 transcript:ENSMUST00000189593.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prm2 description:protamine 2 [Source:MGI Symbol;Acc:MGI:97766] MVRYRMRSPSEGPHQGPGQDHEREEQGQGQGLSPERVEDYGRTHRGHHHHRHRRCSRKRL HRIHKRRRSCRRRRRHSCRHRRRHRRGCRRSRRRRRCRCRKCRRHHH >ENSMUSP00000025582.4 pep:known chromosome:GRCm38:19:11586604:11604849:-1 gene:ENSMUSG00000024679.10 transcript:ENSMUST00000025582.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a6d description:membrane-spanning 4-domains, subfamily A, member 6D [Source:MGI Symbol;Acc:MGI:1916024] MIPQVVTSETVTVISPNGISFPQTDKPQPSHQSQDSLKKHLKAEIKVMAAIQIMCAVMVL ALGIILASVPSNLHFTSVFSILLESGYPFVGALFFAISGILSIVTEKKMTKPLVHSSLAL SILSVLSALTGIAILSVSLAALEPALQQCKLAFTQLDTTQDAYHFFSPEPLNSCFVAKAA LTGVFSLMLISSVLELGLAVLTATLWWKQSSSAFSGNVIFLSQNSKNKSSVSSESLCNPT YENILTS >ENSMUSP00000115142.2 pep:known chromosome:GRCm38:19:11586987:11593155:-1 gene:ENSMUSG00000024679.10 transcript:ENSMUST00000125291.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a6d description:membrane-spanning 4-domains, subfamily A, member 6D [Source:MGI Symbol;Acc:MGI:1916024] MTKPLVHSSLALSILSVLSALTGIAILSVSLAALEPALQQCKLAFTQLDTTQDAYHFFSP EPLNSCFVAKAALTGVFSLMLISSVLELGLAVLTATLWWKQSSSAFSGNVIFLSQNSKNK SSVSSESLCNPTYENILTS >ENSMUSP00000086917.2 pep:known chromosome:GRCm38:6:40544036:40544977:-1 gene:ENSMUSG00000068259.2 transcript:ENSMUST00000089490.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr461 description:olfactory receptor 461 [Source:MGI Symbol;Acc:MGI:3030295] MLGNYSSATKFFLLGFPGTKELHHILFATFLLLYSVTVLGNMLIIIVVHADKRLQSPMYF FLGHLSVLEILITSVAVPYMLQGLLRQTQIISLSACCVQLYLYLSLGSSELILLGVMAVD RYVAVCNPLRYNVIMSSYTCTWMVTVSWVVGFLFQIWPVYATFQLTFCKTNLLDHFYCDL GQLFKLSCENTLFTELILFLMAVFIIVGSMILTIISYTYIISTILKIPSASGRRKAFSTC ASHFTYVVIGYGSCLFLYVKPKQTQAAEYNRVVSLLVLVVEPFLNPFIFTLRNDKFIEAF RDGVKRSCQLLKH >ENSMUSP00000090382.6 pep:known chromosome:GRCm38:11:98907801:98923936:1 gene:ENSMUSG00000017499.15 transcript:ENSMUST00000092706.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc6 description:cell division cycle 6 [Source:MGI Symbol;Acc:MGI:1345150] MPQTRSQTQATIGFPKKKLSNTLKKPNSRDCEVKLRNVQPVPTTPCVDVKLLPLSPRKRL GDDNLCNTPRLSPCSPPKLGKKENGPPRSHTWKGCRLVFDDEPTFKASPPKEQDRVRQHQ IRSSSAQRSPESKADPEQKCPPEKESVCIRLFKQEGTCYQQAKLVLNTAVPDRLPAREQE MGVIRNFLKEHICGKKAGSLYLSGAPGTGKTACLSRILQDFKKEVKGFKSILLNCMSLRS AQAVFPAIAQEIGREELCRPAGKDLMRKLEKHLTAEKGPMIVLVLDEMDQLDSKGQDVLY TLFEWPWLSNSRLVLIGIANTLDLTDRILPRLEARENCKPQLLNFPPYTRNQIAAILQDR LSQVSKDQVLDSAAIQFCARKVSAVSGDIRKALDVCRRAIEIVESDVRSQTVLKPLSECK SPSESPVPKRVGLAHISQVISEVDGNRVTLSQENTQDSLPLQQKILVCSLLLLTRRLKIK EVTLGKLYEAYSSICRKQQVTAVDQSECLSLSGLLESRGLVGLKKNKESRLTKVSLKIEE KEIEHVLNGKAFTGNILAAGLP >ENSMUSP00000118421.2 pep:known chromosome:GRCm38:11:98907849:98923940:1 gene:ENSMUSG00000017499.15 transcript:ENSMUST00000133779.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdc6 description:cell division cycle 6 [Source:MGI Symbol;Acc:MGI:1345150] MPQTRSQTQATIGFPKKKLSNTLKKPNSRDCEVKLRNVQPVPTTPCVDVKLLPLSPRKRL GDDNLCNTPRLSPCSPPKLGKKENGPPRSHTWKGCRLVFDDEPTFKASPPKEQDRVRQHQ IRSSSAQRSPESKADPEQKCPPEKESVCIRLFKQEGTCYQQAKLVLNTAVPDRLPAREQE MGVIRNFLKEHICGKKAGSLYLSGAPGTGKTACLSRILQDFKEVKGFKSILLNCMSLRSA QAVFPAIAQEIGREELCRPAGKDLMRKLEKHLTAEKGPMIVLVLDEMDQLDSKGQDVLYT LFEWPWLSNSRLVLIGIANTLDLTDRILPRLEARENCKPQLLNFPPYTRNQIAAILQDRL SQVSKDQVLDSAAIQFCARKVSAVSGDIRKALDVCRRAIEIVESDVRSQTVLKPLSE >ENSMUSP00000091469.2 pep:known chromosome:GRCm38:11:98908151:98921893:1 gene:ENSMUSG00000017499.15 transcript:ENSMUST00000093937.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc6 description:cell division cycle 6 [Source:MGI Symbol;Acc:MGI:1345150] MSAGKELKYYRNKWRQTSGIIQVCVAIMPQTRSQTQATIGFPKKKLSNTLKKPNSRDCEV KLRNVQPVPTTPCVDVKLLPLSPRKRLGDDNLCNTPRLSPCSPPKLGKKENGPPRSHTWK GCRLVFDDEPTFKASPPKEQDRVRQHQIRSSSAQRSPESKADPEQKCPPEKESVCIRLFK QEGTCYQQAKLVLNTAVPDRLPAREQEMGVIRNFLKEHICGKKAGSLYLSGAPGTGKTAC LSRILQDFKKEVKGFKSILLNCMSLRSAQAVFPAIAQEIGREELCRPAGKDLMRKLEKHL TAEKGPMIVLVLDEMDQLDSKGQDVLYTLFEWPWLSNSRLVLIGIANTLDLTDRILPRLE ARENCKPQLLNFPPYTRNQIAAILQDRLSQVSKDQVLDSAAIQFCARKVSAVSGDIRKAL DVCRRAIEIVESDVRSQTVLKPLSECKSPSESPVPKRVGLAHISQVISEVDGNRVTLSQE NTQDSLPLQQKILVCSLLLLTRRLKIKEVTLGKLYEAYSSICRKQQVTAVDQSECLSLSG LLESRGLVGLKKNKESRLTKVSLKIEEKEIEHVLNGKAFTGNILAAGLP >ENSMUSP00000044102.3 pep:known chromosome:GRCm38:5:65062536:65091584:-1 gene:ENSMUSG00000037913.11 transcript:ENSMUST00000043352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem156 description:transmembrane protein 156 [Source:MGI Symbol;Acc:MGI:2685292] MTETAFLKLFVAIVITFILVLPEFFKTPKERTRELSCLEVCFLPNFTSPLSSFNFSSVAF LQPAEEIQTIMGISPNHSSFQSFAEICRGITSRLPMCSLCLVCESKGDVDFTSQEQTSKG LVMRGSMEVTASDLSSPCQYFNITAALIADPVKEDNTTCTPETRPGKASAVDEDLARKKS LNHTCRFMKSTNNCAHIFLRLETDVKPVTCSMKITWYILVLLVFMLSIIFIIHKILEDHR KVRRWQSHKYKSTSGLLRGGGSVKLSTLSMRVIPGYSWTIWTEDSEE >ENSMUSP00000076668.6 pep:known chromosome:GRCm38:12:33147693:33251146:1 gene:ENSMUSG00000020564.16 transcript:ENSMUST00000077456.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l1 description:ataxin 7-like 1 [Source:MGI Symbol;Acc:MGI:3584458] MTSERSRIPCLSAAAAEGTGKKQQEGTAMATLHRKVPSPEAFLGKPWSSWIDAAKLHCSD NMHLFGHYPAHDDFYLVVCSACNQVVKPQVFQSHCGRKQDSKRNASISWSGAESRQALEQ RQV >ENSMUSP00000106448.2 pep:known chromosome:GRCm38:12:33147711:33251146:1 gene:ENSMUSG00000020564.16 transcript:ENSMUST00000110824.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l1 description:ataxin 7-like 1 [Source:MGI Symbol;Acc:MGI:3584458] MTSERSRIPCLSAAAAEGTGKKQQEGTAMATLHRKVPSPEAFLGKPWSSWIDAAKLHCSD NVDLEEAGKEGGKSREVMRLNKEDMHLFGHYPAHDDFYLVVCSACNQVVKPQVFQSHCGR KQDSKRNASISWSGAESRQALEQRQV >ENSMUSP00000122156.1 pep:known chromosome:GRCm38:12:33147754:33373196:1 gene:ENSMUSG00000020564.16 transcript:ENSMUST00000146040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l1 description:ataxin 7-like 1 [Source:MGI Symbol;Acc:MGI:3584458] XIPCLSAAAAEGTGKKQQEGTAMATLHRKVPSPEAFLGKPWSSWIDAAKLHCSDNVDLEE AGKEGGKSREVMRLNKEDMHLFGHYPAHDDFYLVVCSACNQVVKPQVFQSHCERRHSSMC RPSPSPASPASNSRTSLAQAKTKACLSGHSAVSSTSKPFKTPKDNLLTSSSTQHTVFSAK GPRDKPCVPVPVVSLEKIPNLVKADGANVKMNSTTTTAATSSSSAVSTPPLIKPTLMSKS VPPSPEKILNGKGILSATIDKKHPNGTKNSNKPYRRLSEREFDPNKHCGVLDPETKKPCT RSLTCKTHSLSHRRAVPGRKKQFDLLLAEHKAKSREKEVKEHLLTSAREILPNPPGPSPD APQASSGSTVAEPKVASPPKSRPLNSVLPRPSSANSISSSTSSNHSGYTPEPPLLPAGGD LASRLSSDEGEMDGADESEKLDCQFSTHHPRPLAFCSFGSRLMGRGYYVFDRRWDRFRFA LNSMVEKHLNSQMWKHRSPSHRASGPSPLFRTCLTNLLSLSNIGAAWVSTLESVAPRCPL NLAAQTSGPEGPEPGGMAADGGVEDIRKKRNGQDSFFFNKHLTLHQETPTQYSLSARKIP PAADSPMPSPAAHITTPVPASVLQPFSNPSAVYLPSAPISSRLTSSYIMTSAMLSDAAFV ASPDPRVLMSHTTAFPHVAATLSIMDSTFKAPSAVSPIPAVIPSPSHKPSKTKTSKSSKV KDLSARSDESPSNKKRKPQSSTSASSLSLQAPLSSPLSGPHKKNCILNASSALNSYQAAP PYNSLSVHNSNNGVSPLSAKLEPSGRTSLPSGPMDIVRQVGAVGGSSGPCPLSVPSLALH AGDLSLASHNAVSSLPLSFDKSEGKKRKNSSPSSKACKITKMPGMNSVHKKNPPSLLAPV PDPVNSTSSRQVGKNSSLALSQSSPSSISSPGHSRQKNTNRMGRIRTLP >ENSMUSP00000118777.1 pep:known chromosome:GRCm38:12:33147763:33375659:1 gene:ENSMUSG00000020564.16 transcript:ENSMUST00000125192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l1 description:ataxin 7-like 1 [Source:MGI Symbol;Acc:MGI:3584458] XLSAAAAEGTGKKQQEGTAMATLHRKVPSPEAFLGKPWSSWIDAAKLHCSDNVDLEEAGK EGGKSREVMRLNKEDMHLFGHYPAHDDFYLVVCSACNQVVKPQVFQSHCERRHSSMCRPS PSPASPASNSRTSLAQAKTKACLSGHSAVSSTSKPFKTPKDNLLTSSSTQHTVFSAKGPR DKPCVPVPVVSLEKIPNLVKADGANVKMNSTTTTAATSSSSAVSTPPLIKPTLMSKSVPP SPEKILNGKGILSATIDKKHPNGTKNSNKPYRRLSEREFDPNKHCGVLDPETKKPCTRSL TCKTHSLSHRRAVPGRKKQFDLLLAEHKAKSREKEVKEHLLTSAREILPNPPGPSPDAPQ ASSGSTVAEPKVASPPKSRPLNSVLPRPSSANSISSSTSSNHSGYTPEPPLLPAGGDLAS RLSSDEGEMDGADESEKLDCQFSTHHPRPLAFCSFGSRLMGRGYYVFDRRWDRFRFALNS MVEKHLNSQMWKKIPPAADSPMPSPAAHITTPVPASVLQPFSNPSAVYLPSAPISSRLTS SYIMTSAMLSDAAFVASPDPRVLMSHTTAFPHVAATLSIMDSTFKAPSAVSPIPAVIPSP SHKPSKTKTSKSSKVKDLSARSDESPSNKKRKPQSSTSASSLSLQAPLSSPLSGPHKKNC ILNASSALNSYQAAPPYNSLSVHNSNNGVSPLSAKLEPSGRTSLPSGPMDIVRQVGAVGG SSGPCPLSVPSLALHAGDLSLASHNAVSSLPLSFDKSEGKKRKNSSPSSKACKITKMPGM NSVHKKNPPSLLAPVPDPVNSTSSRQVGKNSSLALSQSSPSSISSPGHSRQNTNRMGRIR TLP >ENSMUSP00000121351.1 pep:known chromosome:GRCm38:12:33147774:33326133:1 gene:ENSMUSG00000020564.16 transcript:ENSMUST00000130740.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atxn7l1 description:ataxin 7-like 1 [Source:MGI Symbol;Acc:MGI:3584458] AAAEGTGKKQQEGTAMATLHRKVPSPEAFLGKPWSSWIDAAKLHCSDNVDLEEAGKEGGK SREVMRLNKEDMHLFGHYPAHDDFYLVVCSACNQVVKPQVFQSHCELHFQTPLFLRSSND VNVAADHVKPPVC >ENSMUSP00000088085.4 pep:known chromosome:GRCm38:12:33302515:33368273:1 gene:ENSMUSG00000020564.16 transcript:ENSMUST00000090597.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l1 description:ataxin 7-like 1 [Source:MGI Symbol;Acc:MGI:3584458] MCRPSPSPASPASNSRTSLAQAKTKACLSGHSAVSSTSKPFKTPKDNLLTSSSTQHTVFS AKGPRDKPCVPVPVVSLEKIPNLVKADGANVKMNSTTTTAATSSSSAVSTPPLIKPTLMS KSVPPSPEKILNGKGILSATIDKKHPNGTKNSNKPYRRLSEREFDPNKHCGVLDPETKKP CTRSLTCKTHSLSHRRAVPGRKKQFDLLLAEHKAKSREKEVKEHLLTSAREILPNPPGPS PDAPQASSGSTVAEPKVASPPKSRPLNSVLPRPSSANSISSSTSSNHSGYTPEPPLLPAG GDLASRLSSDEGEMDGADESEKLDCQFSTHHPRPLAFCSFGSRLMGRGYYVFDRRWDRFR FALNSMVEKHLNSQMWKKIPPAADSPMPSPAAHITTPVPASVLQPFSNPSAVYLPSAPIS SRLTSSYIMTSAMLSDAAFVASPDPRVLMSHTTAFPHVAATLSIMDSTFKAPSAVSPIPA VIPSPSHKPSKTKTSKSSKVKDLSARSDESPSNKKRKPQSSTSASSLSLQAPLSSPLSGP HKKNCILNASSALNSYQAAPPYNSLSVHNSNNGVSPLSAKLEPSGRTSLPSGPMDIVRQV GAVGGSSGPCPLSVPSLALHAGDLSLASHNAVSSLPLSFDKSEGKKRKNSSPSSKACKIT KMPGMNSVHKKNPPSLLAPVPDPVNSTSSRQVRELLALPSLALGGRGSWAAPLFGQVAQT GNTHMAPFPRFPVGSENSW >ENSMUSP00000120569.1 pep:known chromosome:GRCm38:12:33314277:33344941:1 gene:ENSMUSG00000020564.16 transcript:ENSMUST00000133549.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l1 description:ataxin 7-like 1 [Source:MGI Symbol;Acc:MGI:3584458] MCRPSPSPASPASNSRTSLAQAKTKACLSGHSAVSSTSKPFKTPKDNLLTSSSTQHTVFS AKGPRDKPCVPVPVVSLEKIPNLVKADGANVKMNSTTTTAATSSSSAVSTPPLIKPTLMS KSVPPSPEKILNGKGILSATIDKKHPNGTKNSNKPYRRLSEREFDPNKHCGVLDPET >ENSMUSP00000115463.1 pep:known chromosome:GRCm38:12:33314400:33326063:1 gene:ENSMUSG00000020564.16 transcript:ENSMUST00000155386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l1 description:ataxin 7-like 1 [Source:MGI Symbol;Acc:MGI:3584458] MGTAAASERERRHSSMCRPSPSPASPASNSRTSLAQAKTKACLSGHSAVSSTSKPFKTPK >ENSMUSP00000122982.1 pep:known chromosome:GRCm38:12:33315397:33372357:1 gene:ENSMUSG00000020564.16 transcript:ENSMUST00000154742.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l1 description:ataxin 7-like 1 [Source:MGI Symbol;Acc:MGI:3584458] MCRPSPSPASPASNSRTSLAQAKTKACLSGHSAVSSTSKPFKTPKDNLLTSSSTQHTVFS AKGPRDKPCVPVPVVSLEKIPNLVKADGANVKMNSTTTTAATSSSSAVSTPPLIKPTLMS KSVPPSPEKILNGKGILSATIDKKHPNGTKNSNKPYRRLSEREFDPNKHCGVLDPETKKP CTRSLTCKTHSLSHRRAVPGRKKQFDLLLAEHKAKSREKEVKEHLLTSAREILPNPPGPS PDAPQASSGSTVAEPKVASPPKSRPLNSVLPRPSSANSISSSTSSNHSGYTPEPPLLPAG GDLASRLSSDEGEMDGADESEKLDCQFSTHHPRPLAFCSFGSRLMGRGYYVFDRRWDRFR FALNSMVEKHLNSQMWKKIPPAADSPMPSPAAHITTPVPASVLQPFSNPSAVYLPSAPIS SRLTSSYIMTSAMLSDAAFVASPDPRVLMSHTTAFPHVAATLSIMDSTFKAPSAVSPIPA VIPSPSHKPSKTKTSKSSKVKDLSARSDESPSNKKRKPQSSTSASSLSLQAPLSSPLSGP HKKNCILNASSALNSYQAAPPYNSLSVHNSNNGVSPLSAKLEPSGRTSLPSGPMDIVRQV GAVGGSSGPCPLSVPSLALHAGDLSLASHNAVSSLPLSFDKSEGKKRKNSSPSSKACKIT KMPGMNSVHKKNPPSLLAPVPDPVNSTSSRQVGKNSSLALSQSSPSSISSPGHSRQVSFQ GWRKPRKANIKPVRMCTRVRP >ENSMUSP00000116081.1 pep:known chromosome:GRCm38:12:33367324:33373185:1 gene:ENSMUSG00000020564.16 transcript:ENSMUST00000142184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l1 description:ataxin 7-like 1 [Source:MGI Symbol;Acc:MGI:3584458] SKSSKVKDLSARSDESPSNKKRKPQSSTSASSLSLQAPLSSPLSGPHKKNCILNASSALN SYQAAPPYNSLSVHNSNNGVSPLSAKLEPSGRTSLPSGPMDIVRQVGKNSSLALSQSSPS SISSPGHSRQKNTNRMGRIRTLP >ENSMUSP00000093200.4 pep:known chromosome:GRCm38:17:24657330:24658457:-1 gene:ENSMUSG00000071230.5 transcript:ENSMUST00000095544.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npw description:neuropeptide W [Source:MGI Symbol;Acc:MGI:2685781] LASNREVRGPGPGTPRNRPLLPLLLLLLLLPLPASAWYKHVASPRYHTVGRASGLLMGLR RSPYQWRRALGGAAGPLSRLPGPVARGALLLPSSGQELWEVRSRSSPAGLPVHAPWSPRD LEGVRQPEQSLSLHSWISEEPAARAFGETLRAQPWFLQQVIFADPVRPKNRWRPHA >ENSMUSP00000023060.6 pep:known chromosome:GRCm38:15:79789675:79834237:-1 gene:ENSMUSG00000089837.9 transcript:ENSMUST00000023060.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npcd description:neuronal pentraxin chromo domain [Source:MGI Symbol;Acc:MGI:3845555] MELSAVGERVFAAESIIKRRIRKGRIEYLVKWKGWAIKYSTWEPEENILDSRLIAAFEQK ERERELYGPKKRGPKPKTFLLKQELPARGNISSAPAPAMPTALHSKMDELEGQLLAKVLA LEKERAALSHGSHQQRQEVEKELNALQGRVAELEHGSSAYSPPDAFKVSIPIRNNYMYAR VRKALPELYAFTACMWLRSRSGGSGQGTPFSYSVPGQANEIVLLEAGLEPMELLINDKVA QLPLSLKDSNWHHICISWTTRDGLWSAYQDGELRGSGENLAAWHPIKPHGILILGQEQDT LGGRFDATQAFVGDIAQFNLWDHALTPAQVLGMANCTGPLMGNVLPWEDKLVEAFGGAKK AAFDVCKGRAKA >ENSMUSP00000086714.5 pep:known chromosome:GRCm38:15:79789675:79834237:-1 gene:ENSMUSG00000089837.9 transcript:ENSMUST00000089299.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npcd description:neuronal pentraxin chromo domain [Source:MGI Symbol;Acc:MGI:3845555] MELSAVGERVFAAESIIKRRIRKGRIEYLVKWKGWAIKYSTWEPEENILDSRLIAAFEQK ERERELYGPKKRGPKPKTFLLKARAQAEALRISDVHFSVKPSASASSPKLHSSAAVHRLK KDIRRCHRMSRRPLPRPDPQGGSPGLRPPISPFSETVRIINRKVKPREPKRNRIILNLKQ ELPARGNISSAPAPAMPTALHSKMDELEGQLLAKVLALEKERAALSHGSHQQRQEVEKEL NALQGRVAELEHGSSAYSPPDAFKVSIPIRNNYMYARVRKALPELYAFTACMWLRSRSGG SGQGTPFSYSVPGQANEIVLLEAGLEPMELLINDKVAQLPLSLKDSNWHHICISWTTRDG LWSAYQDGELRGSGENLAAWHPIKPHGILILGQEQDTLGGRFDATQAFVGDIAQFNLWDH ALTPAQVLGMANCTGPLMGNVLPWEDKLVEAFGGAKKAAFDVCKGRAKA >ENSMUSP00000146091.1 pep:known chromosome:GRCm38:13:21638580:21642262:-1 gene:ENSMUSG00000036658.3 transcript:ENSMUST00000205631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr11 description:olfactory receptor 11 [Source:MGI Symbol;Acc:MGI:104715] MSWANESITGEFVLLGFSDQPWLEFPLFVVFLTSYIVTIFGNLNIILVSHLDPKLHTPMY FFLTNLSVIDLCYITCTVPQMLVNLRSIRKVISFGGCVVQLFMFLALGATECVLLPVMSF DRFVAICRPLHYSVIMHQRLCLQLAAVSWIIGFGNSVWLSILTLQLPRCGHYVIDHFLCE VPALLKLSCVDVTANEAELFFVSVFFHLTPLSLILTSYAFIARAILKIQSAEGRQKAFGT CSSHLIVVSLFYGTALSVYFLPPSPHSKNRRKMVPLFYGIIAPMLNPLIYTLRNKEVKDA FKRLIKRVFLSKN >ENSMUSP00000043099.2 pep:known chromosome:GRCm38:13:21638580:21639521:-1 gene:ENSMUSG00000036658.3 transcript:ENSMUST00000043081.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr11 description:olfactory receptor 11 [Source:MGI Symbol;Acc:MGI:104715] MSWANESITGEFVLLGFSDQPWLEFPLFVVFLTSYIVTIFGNLNIILVSHLDPKLHTPMY FFLTNLSVIDLCYITCTVPQMLVNLRSIRKVISFGGCVVQLFMFLALGATECVLLPVMSF DRFVAICRPLHYSVIMHQRLCLQLAAVSWIIGFGNSVWLSILTLQLPRCGHYVIDHFLCE VPALLKLSCVDVTANEAELFFVSVFFHLTPLSLILTSYAFIARAILKIQSAEGRQKAFGT CSSHLIVVSLFYGTALSVYFLPPSPHSKNRRKMVPLFYGIIAPMLNPLIYTLRNKEVKDA FKRLIKRVFLSKN >ENSMUSP00000065284.4 pep:known chromosome:GRCm38:13:100496507:100552498:-1 gene:ENSMUSG00000021638.12 transcript:ENSMUST00000069756.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocln description:occludin [Source:MGI Symbol;Acc:MGI:106183] MSVRPFESPPPYRPDEFKPNHYAPSNDMYGGEMHVRPMLSQPAYSFYPEDEILHFYKWTS PPGVIRILSMLIIVMCIAIFACVASTLAWDRGYGTGLFGGSLNYPYSGFGYGGGYGGGYG GYGYGYGGYTDPRAAKGFLLAMAAFCFIASLVIFVTSVIRSGMSRTRRYYLIVIIVSAIL GIMVFIATIVYIMGVNPTAQASGSMYGSQIYMICNQFYTPGGTGLYVDQYLYHYCVVDPQ EAIAIVLGFMIIVAFALIIFFAVKTRRKMDRYDKSNILWDKEHIYDEQPPNVEEWVKNVS AGTQDMPPPPSDYAERVDSPMAYSSNGKVNGKRSYPESFYKSTPLVPEVAQEIPLTLSVD DFRQPRYSSNGNLETPSKRAPTKGKAGKGKRTDPDHYETDYTTGGESCEELEEDWVREYP PITSDQQRQLYKRNFDAGLQEYKSLQAELDDVNKELSRLDKELDDYREESEEYMAAADEY NRLKQVKGSADYKSKRNYCKQLKSKLSHIKRMVGDYDRRKP >ENSMUSP00000022140.5 pep:known chromosome:GRCm38:13:100497763:100552718:-1 gene:ENSMUSG00000021638.12 transcript:ENSMUST00000022140.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocln description:occludin [Source:MGI Symbol;Acc:MGI:106183] MSVRPFESPPPYRPDEFKPNHYAPSNDMYGGEMHVRPMLSQPAYSFYPEDEILHFYKWTS PPGVIRILSMLIIVMCIAIFACVASTLAWDRGYGTGLFGGSLNYPYSGFGYGGGYGGGYG GYGYGYGGYTDPRAAKGFLLAMAAFCFIASLVIFVTSVIRSGMSRTRRYYLIVIIVSAIL GIMVFIATIVYIMGVNPTAQASGSMYGSQIYMICNQFYTPGGTGLYVDQYLYHYCVVDPQ EAIAIVLGFMIIVAFALIIFFAVKTRRKMDRYDKSNILWDKEHIYDEQPPNVEEWVKNVS AGTQDMPPPPSDYAERVDSPMAYSSNGKVNGKRSYPESFYKSTPLVPEVAQEIPLTLSVD DFRQPRYSSNGNLETPSKRAPTKGKAGKGKRTDPDHYETDYTTGGESCEELEEDWVREYP PITSDQQRQLYKRNFDAGLQEYKSLQAELDDVNKELSRLDKELDDYREESEEYMAAADEY NRLKQVKGSADYKSKRNYCKQLKSKLSHIKRMVGDYDRRKP >ENSMUSP00000125642.1 pep:known chromosome:GRCm38:13:100497767:100552623:-1 gene:ENSMUSG00000021638.12 transcript:ENSMUST00000159459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocln description:occludin [Source:MGI Symbol;Acc:MGI:106183] MIIVAFALIIFFAVKTRRKMDRYDKSNILWDKEHIYDEQPPNVEEWVKNVSAGTQDMPPP PSDYAERVDSPMAYSSNGKVNGKRSYPESFYKSTPLVPEVAQEIPLTLSVDDFRQPRYSS NGNLETPSKRAPTKGKAGKGKRTDPDHYETDYTTGGESCEELEEDWVREYPPITSDQQRQ LYKRNFDAGLQEYKSLQAELDDVNKELSRLDKELDDYREESEEYMAAADEYNRLKQVKGS ADYKSKRNYCKQLKSKLSHIKRMVGDYDRRKP >ENSMUSP00000124849.1 pep:known chromosome:GRCm38:13:100498114:100552481:-1 gene:ENSMUSG00000021638.12 transcript:ENSMUST00000160859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocln description:occludin [Source:MGI Symbol;Acc:MGI:106183] MSVRPFESPPPYRPDEFKPNHYAPSNDMYGGEMHVRPMLSQPAYSFYPEDEILHFYKWTS PPGVIRILSMLIIVMCIAIFACVASTLAWDRGYGTGLFGGSLNYPYSGFGYGGGYGGGYG GYGYGYGGYTDPRAAKGFLLAMAAFCFIASLVIFVTSVIRSGMSRTRRYYLIVIIVSAIL GIMVFIATIVYIMGVNPTAQASGSMYGSQIYMICNQFYTPGGTGLYVDQYLYHYCVVDPQ EAIAIVLGFMIIVAFALIIFFAVKTRRKMDRYDKSNILWDKEHIYDEQPPNVEEWVKNVS AGTQDMPPPPSDYAERVDSPMAYSSNGKVNGKRSYPESFYKSTPLVPEVAQEIPLTLSVD DFRQPRYSSNGNLETPSKRAPTKGKAGKGKRTDPDHYETDYTTGGESCEELEEDWVREYP PITSDQQRQLYKRNFDAGLQEYKSLQAELDDVNKELSRLDKELDDYREESEEYMAAADEY NRLKQVKGSADYKSKRNYCKQLKSKLSHIKRMVGDYDRRKP >ENSMUSP00000125595.1 pep:known chromosome:GRCm38:13:100511594:100552460:-1 gene:ENSMUSG00000021638.12 transcript:ENSMUST00000159515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocln description:occludin [Source:MGI Symbol;Acc:MGI:106183] MIIVAFALIIFFAVKTRRKMDRYDKSNILWDKEHIYDEQPPNVEEWVKNVSAGTQDMPPP PSDYAERVDSPMAYSSNGKVNGKRSYPESFYKSTPLVPEVAQEIPLT >ENSMUSP00000038760.9 pep:known chromosome:GRCm38:19:27766441:28011048:-1 gene:ENSMUSG00000040929.16 transcript:ENSMUST00000046898.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx3 description:regulatory factor X, 3 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:106582] MQTSETGSDTGSTVTLQTSVASQAAVPTQVVQQVPVQQQVQQVQTVQQVQHVYPAQVQYV EGSDTVYTNGAIRTTTYPYTETQMYSQNTGGNYFDTQGSSAQVTTVVSSHSMVGTGGIQM GVTGGQLISSSGGTYLIGNSMENSGHSVTHTTRASPATLQWLLDNYETAEGVSLPRSTLY NHYLRHCQEHKLDPVNAASFGKLIRSIFMGLRTRRLGTRGNSKYHYYGIRVKPDSPLNRL QEDMQYMAMRQQPMQQKQRYKPMQKVDGVADGFTGSGQQTGTSVEQTVIAQSQHHQQFLD ASRALPEFGEVEISSLPDGTTFEDIKSLQSLYREHCEAILDVVVNLQFSLIEKLWQTFWR YSPSTPADGTTITESSNLSEIESRLPKAKLITLCKHESILKWMCNCDHGMYQALVEILIP DVLRPIPSALTQAIRNFAKSLEGWLSNAMNNIPQRMIQTKVAAVSAFAQTLRRYTSLNHL AQAARAVLQNTSQINQMLSDLNRVDFANVQEQASWVCQCDDNMVQRLETDFKMTLQQQST LEQWAAWLDNVMMQALKPYEGRPSFPKAARQFLLKWSFYSSMVIRDLTLRSAASFGSFHL IRLLYDEYMFYLVEHRVAQVTGETPIAVMGEFGDLNAVSPGNLDKDEGSEVESETDEDLD DSSEPRAKREKTELSQAFPVGCMQPVLESAVQPSLLNPLHSEHIVTSTQTIRQCSATGNT YTAV >ENSMUSP00000133461.1 pep:known chromosome:GRCm38:19:27768139:28011140:-1 gene:ENSMUSG00000040929.16 transcript:ENSMUST00000174850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx3 description:regulatory factor X, 3 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:106582] MQTSETGSDTGSTVTLQTSVASQAAVPTQVVQQVPVQQQVQQVQTVQQVQHVYPAQVQYV EGSDTVYTNGAIRTTTYPYTETQMYSQNTGGNYFDTQGSSAQVTTVVSSHSMVGTGGIQM GVTGGQLISSSGGTYLIGNSMENSGHSVTHTTRASPATIEMAIETLQKSDGLSTHRSSLL NSHLQWLLDNYETAEGVSLPRSTLYNHYLRHCQEHKLDPVNAASFGKLIRSIFMGLRTRR LGTRGNSKYHYYGIRVKPDSPLNRLQEDMQYMAMRQQPMQQKQRYKPMQKVDGVADGFTG SGQQTGTSVEQTVIAQSQHHQQFLDASRALPEFGEVEISSLPDGTTFEDIKSLQSLYREH CEAILDVVVNLQFSLIEKLWQTFWRYSPSTPADGTTITESSNLSEIESRLPKAKLITLCK HESILKWMCNCDHGMYQALVEILIPDVLRPIPSALTQAIRNFAKSLEGWLSNAMNNIPQR MIQTKVAAVSAFAQTLRRYTSLNHLAQAARAVLQNTSQINQMLSDLNRVDFANVQEQASW VCQCDDNMVQRLETDFKMTLQQQSTLEQWAAWLDNVMMQALKPYEGRPSFPKAARQFLLK WSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMFYLVEHRVAQVTGETPIAVMGEFGDL NAVSPGNLDKDEGSEVESETDEDLDDSSEPRAKREKTELSQAFPVGCMQPVLESAVQPSL LNPLHSEHIVTSTQTIRQCSATGNTYTAV >ENSMUSP00000134141.1 pep:known chromosome:GRCm38:19:27768318:28011045:-1 gene:ENSMUSG00000040929.16 transcript:ENSMUST00000172907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx3 description:regulatory factor X, 3 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:106582] MQTSETGSDTGSTVTLQTSVASQAAVPTQVVQQVPVQQQVQQVQTVQQVQHVYPAQVQYV EGSDTVYTNGAIRTTTYPYTETQMYSQNTGGNYFDTQGSSAQVTTVVSSHSMVGTGGIQM GVTGGQLISSSGGTYLIGNSMENSGHSVTHTTRASPATIEMAIETLQKSDGLSTHRSSLL NSHLQWLLDNYETAEGVSLPRSTLYNHYLRHCQEHKLDPVNAASFGKLIRSIFMGLRTRR LGTRGNSKYHYYGIRVKPDSPLNRLQEDMQYMAMRQQPMQQKQRYKPMQKVDGVADGFTG SGQQTGTSVEQTVIAQSQHHQQFLDASRALPEFGEVEISSLPDGTTFEDIKSLQSLYREH CEAILDVVVNLQFSLIEKLWQTFWRYSPSTPADGTTITESSNLSEIESRLPKAKLITLCK HESILKWMCNCDHGMYQALVEILIPDVLRPIPSALTQAIRNFAKSLEGWLSNAMNNIPQR MIQTKVAAVSAFAQTLRRYTSLNHLAQAARAVLQNTSQINQMLSDLNRVDFANVQEQASW VCQCDDNMVQRLETDFKMTLQQQSTLEQWAAWLDNVMMQALKPYEGRPSFPKAARQFLLK WSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMFYLVEHRVAQVTGETPIAVMGEFGDL NAVSPGNLDKDEGSEVESETDEDLDDSSEPRAKREKTELSQAFPVGCMQPVLESAVQPSL LNPLHSEHIVTSTQTIRQCSATGNTYTAV >ENSMUSP00000133367.1 pep:known chromosome:GRCm38:19:27768455:27900895:-1 gene:ENSMUSG00000040929.16 transcript:ENSMUST00000173863.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rfx3 description:regulatory factor X, 3 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:106582] MQTSETGSDTGSTVTLQTSVASQAAVPTQVVQQVPVQQQVQQVQTVQQVQHVYPAQVQYV EGSDTVYTNGAIRTTTYPYTETQMYSQNTGGNYFDTQGSSAQVTTVVSSHSMVGTGGIQM GVTGGQLISSSGGTYLIGNSMENSGHSVTHTTRASPATIEMAIETLQKSDGLSTHRSSLL NSHLQWLLDNYETAEGVSLPRSTLYNHYLRHCQEHKLDPVNAASFGKLIRGNSKYHYYGI RVKPDSPLNRLQEDMQYMAMRQQPMQQKQRYKPMQKVDGVADGFTGSGQQTGTSVEQTVI AQSQHHQQFLDASRALPEFGEVEISSLPDGTTFEDIKSLQSLYREHCEAILDVVVNLQFS LIEKLWQTFWRYSPSTPADGTTITESRCLDPSHSKFRKKPRRLAFKCHEQYSTENDSNQG CRCKCLCSDSAKIHIAQSPGPGSPRSASEHFPDQPDAQ >ENSMUSP00000134700.1 pep:known chromosome:GRCm38:19:27867515:28010790:-1 gene:ENSMUSG00000040929.16 transcript:ENSMUST00000174420.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx3 description:regulatory factor X, 3 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:106582] MQTSETGSDTGSTVTLQTSVASQAAVPTQVVQQVPVQQQVQQVQTVQQVQHVYPAQVQYV EGSDTVYTNGA >ENSMUSP00000126313.1 pep:known chromosome:GRCm38:19:27761721:27982948:-1 gene:ENSMUSG00000040929.16 transcript:ENSMUST00000165566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx3 description:regulatory factor X, 3 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:106582] MQTSETGSDTGSTVTLQTSVASQAAVPTQVVQQVPVQQQVQQVQTVQQVQHVYPAQVQYV EGSDTVYTNGAIRTTTYPYTETQMYSQNTGGNYFDTQGSSAQVTTVVSSHSMVGTGGIQM GVTGGQLISSSGGTYLIGNSMENSGHSVTHTTRASPATIEMAIETLQKSDGLSTHRSSLL NSHLQWLLDNYETAEGVSLPRSTLYNHYLRHCQEHKLDPVNAASFGKLIRSIFMGLRTRR LGTRGNSKYHYYGIRVKPDSPLNRLQEDMQYMAMRQQPMQQKQRYKPMQKVDGVADGFTG SGQQTGTSVEQTVIAQSQHHQQFLDASRALPEFGEVEISSLPDGTTFEDIKSLQSLYREH CEAILDVVVNLQFSLIEKLWQTFWRYSPSTPADGTTITESSNLSEIESRLPKAKLITLCK HESILKWMCNCDHGMYQALVEILIPDVLRPIPSALTQAIRNFAKSLEGWLSNAMNNIPQR MIQTKVAAVSAFAQTLRRYTSLNHLAQAARAVLQNTSQINQMLSDLNRVDFANVQEQASW VCQCDDNMVQRLETDFKMTLQQQSTLEQWAAWLDNVMMQALKPYEGRPSFPKAARQFLLK WSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMFYLVEHRVAQVTGETPIAVMGEFGDL NAVSPGNLDKDEGSEVESETDEDLDDSSEPRAKREKTELSQAFPVGCMQPVLESAVQPSL LNPLHSEHIVTSTQTIRQCSATGNTYTAV >ENSMUSP00000114220.1 pep:known chromosome:GRCm38:4:135412308:135425267:-1 gene:ENSMUSG00000059713.12 transcript:ENSMUST00000132654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcan3 description:regulator of calcineurin 3 [Source:MGI Symbol;Acc:MGI:1858220] SLFACSVHEAVFEVQEQKERFEALFTLYDDQVTFQLFKSFRRVRINFSKPEAAARARIEL HESEFHGRKLKLYFAQVQVSGEARDKSYLLPPQPTKQFLISPPASPPVGWKQSEDAMPVI NYDLLCAVSKLGPVSLI >ENSMUSP00000030606.7 pep:known chromosome:GRCm38:4:135412308:135433853:-1 gene:ENSMUSG00000059713.12 transcript:ENSMUST00000030606.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcan3 description:regulator of calcineurin 3 [Source:MGI Symbol;Acc:MGI:1858220] MLRDSLKSWNDSQSDLCSSDQEEEEEMVFGENEDGLEEMMDLSDLPTSLFACSVHEAVFE VQEQKERFEALFTLYDDQVTFQLFKSFRRVRINFSKPEAAARARIELHESEFHGRKLKLY FAQVQVSGEARDKSYLLPPQPTKQFLISPPASPPVGWKQSEDAMPVINYDLLCAVSKLGP GEKYELHAGTESTPSVVVHVCESETEEEEDTKNPKQKITQTRRPEAPTAALSERLDCAL >ENSMUSP00000118447.1 pep:known chromosome:GRCm38:4:135418443:135433823:-1 gene:ENSMUSG00000059713.12 transcript:ENSMUST00000156635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcan3 description:regulator of calcineurin 3 [Source:MGI Symbol;Acc:MGI:1858220] MLRDSLKSWNDSQSDLCSSDQEEEEEMVFGENEDGLEEMMDLSDLPTSLFACSVHEAVFE VQEQKERFEALFTLYDDQVTFQLFKSFRRVRINFSKPEAAARARIELHESEFHGRKLKLY FAQVQVSGEARDKSYLLPPQPTKQFLISPPASPPVGWKQ >ENSMUSP00000029699.6 pep:known chromosome:GRCm38:3:88481148:88503335:-1 gene:ENSMUSG00000028063.15 transcript:ENSMUST00000029699.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmna description:lamin A [Source:MGI Symbol;Acc:MGI:96794] METPSQRRATRSGAQASSTPLSPTRITRLQEKEDLQELNDRLAVYIDRVRSLETENAGLR LRITESEEVVSREVSGIKAAYEAELGDARKTLDSVAKERARLQLELSKVREEFKELKARN TKKEGDLLAAQARLKDLEALLNSKEAALSTALSEKRTLEGELHDLRGQVAKLEAALGEAK KQLQDEMLRRVDAENRLQTLKEELDFQKNIYSEELRETKRRHETRLVEIDNGKQREFESR LADALQELRAQHEDQVEQYKKELEKTYSAKLDNARQSAERNSNLVGAAHEELQQSRIRID SLSAQLSQLQKQLAAKEAKLRDLEDSLARERDTSRRLLAEKEREMAEMRARMQQQLDEYQ ELLDIKLALDMEIHAYRKLLEGEEERLRLSPSPTSQRSRGRASSHSSQSQGGGSVTKKRK LESSESRSSFSQHARTSGRVAVEEVDEEGKFVRLRNKSNEDQSMGNWQIRRQNGDDPLMT YRFPPKFTLKAGQVVTIWASGAGATHSPPTDLVWKAQNTWGCGSSLRTALINSTGEEVAM RKLVRSLTMVEDNEDDDEDGEELLHHHRGSHCSGSGDPAEYNLRSRTVLCGTCGQPADKA AGGAGAQVGGSISSGSSASSVTVTRSFRSVGGSGGGSFGDNLVTRSYLLGNSSPRSQSSQ NCSIM >ENSMUSP00000113093.1 pep:known chromosome:GRCm38:3:88483205:88503307:-1 gene:ENSMUSG00000028063.15 transcript:ENSMUST00000120377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmna description:lamin A [Source:MGI Symbol;Acc:MGI:96794] METPSQRRATRSGAQASSTPLSPTRITRLQEKEDLQELNDRLAVYIDRVRSLETENAGLR LRITESEEVVSREVSGIKAAYEAELGDARKTLDSVAKERARLQLELSKVREEFKELKARN TKKEGDLLAAQARLKDLEALLNSKEAALSTALSEKRTLEGELHDLRGQVAKLEAALGEAK KQLQDEMLRRVDAENRLQTLKEELDFQKNIYSEELRETKRRHETRLVEIDNGKQREFESR LADALQELRAQHEDQVEQYKKELEKTYSAKLDNARQSAERNSNLVGAAHEELQQSRIRID SLSAQLSQLQKQLAAKEAKLRDLEDSLARERDTSRRLLAEKEREMAEMRARMQQQLDEYQ ELLDIKLALDMEIHAYRKLLEGEEERLRLSPSPTSQRSRGRASSHSSQSQGGGSVTKKRK LESSESRSSFSQHARTSGRVAVEEVDEEGKFVRLRNKSNEDQSMGNWQIRRQNGDDPLMT YRFPPKFTLKAGQVVTIWASGAGATHSPPTDLVWKAQNTWGCGSSLRTALINSTGEEVAM RKLVRSLTMVEDNEDDDEDGEELLHHHRVSGSRR >ENSMUSP00000040265.6 pep:known chromosome:GRCm38:3:88483206:88493312:-1 gene:ENSMUSG00000028063.15 transcript:ENSMUST00000036252.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmna description:lamin A [Source:MGI Symbol;Acc:MGI:96794] MGNAEGRNTKKEGDLLAAQARLKDLEALLNSKEAALSTALSEKRTLEGELHDLRGQVAKL EAALGEAKKQLQDEMLRRVDAENRLQTLKEELDFQKNIYSEELRETKRRHETRLVEIDNG KQREFESRLADALQELRAQHEDQVEQYKKELEKTYSAKLDNARQSAERNSNLVGAAHEEL QQSRIRIDSLSAQLSQLQKQLAAKEAKLRDLEDSLARERDTSRRLLAEKEREMAEMRARM QQQLDEYQELLDIKLALDMEIHAYRKLLEGEEERLRLSPSPTSQRSRGRASSHSSQSQGG GSVTKKRKLESSESRSSFSQHARTSGRVAVEEVDEEGKFVRLRNKSNEDQSMGNWQIRRQ NGDDPLMTYRFPPKFTLKAGQVVTIWASGAGATHSPPTDLVWKAQNTWGCGSSLRTALIN STGEEVAMRKLVRSLTMVEDNEDDDEDGEELLHHHRVSGSRR >ENSMUSP00000120784.1 pep:known chromosome:GRCm38:3:88502753:88509956:-1 gene:ENSMUSG00000028063.15 transcript:ENSMUST00000149068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmna description:lamin A [Source:MGI Symbol;Acc:MGI:96794] METPSQRRATRSGAQASSTPLSPTRITRLQEKEDLQELNDRLAVYIDRVRSLETENAGLR LRITESEEVVSREVSGIKAAYEAELGDARKTLDSVAKERARLQLELSKVREEFKELK >ENSMUSP00000104165.1 pep:known chromosome:GRCm38:7:13623967:13670807:1 gene:ENSMUSG00000078799.5 transcript:ENSMUST00000108525.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a5 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 5 [Source:MGI Symbol;Acc:MGI:3648378] MMSDYNWFEGIPFPAISYQREILEDIRNRFVVKEEDLLILTYPKSGTNWLVEIVCLIQTK GDPKWIQTVPNWDRSPWIETDIGYPALINKEGPRLISSHLPIHLFSKSFFSSKAKAIYLI RNPRDILVSGYFFYGNTNIVKNPGSFGTYFEWFLKGNVIYGSWFEHVRGWLSMREWDNFL VLYYEDLKKDPKGTIKKICDFLGKNLGPDELDLVFKYSSFQAMKENNMSNFSLITEDRVT NGLKLMRKGTTGDWKNHFTVAQAEAFDKVFQEKMAGFPPGMFPWE >ENSMUSP00000030154.6 pep:known chromosome:GRCm38:4:41465151:41480926:1 gene:ENSMUSG00000028443.6 transcript:ENSMUST00000030154.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt2 description:nudix (nucleoside diphosphate linked moiety X)-type motif 2 [Source:MGI Symbol;Acc:MGI:1913651] MALRACGLIIFRRHLIPKMDNSTIEFLLLQASDGIHHWTPPKGHVDPGENDLETALRETR EETGIEASQLTIIEGFRRELNYVARQKPKTVIYWLAEVKDYNVEIRLSQEHQAYRWLGLE EACQLAQFKEMKATLQEGHQFLCSTPA >ENSMUSP00000023769.4 pep:known chromosome:GRCm38:15:100227819:100261244:1 gene:ENSMUSG00000023027.12 transcript:ENSMUST00000023769.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf1 description:activating transcription factor 1 [Source:MGI Symbol;Acc:MGI:1298366] MEDSHKSNTTETASQPGSTVAGPHVSQIVHQVSSLSESEESQDSSDSIGSSQKAHGILAR RPSYRKILKDLSSEDTRGRKGEGENPSISAITSMSVPAPIYQTSSGQYIAIAPNGALQLA SPSTDGVQALQTLTMTNSSSTQQGTILQYAQTSDGQQILVPSNQVVVQTASGDMQTYQIR TTPSATSLPQTVVMTSPVTLASQTTKTDDPQLRREIRLMKNREAARECRRKKKEYVKCLE NRVAVLENQNKTLIEELKTLKDLYSHKSV >ENSMUSP00000126491.1 pep:known chromosome:GRCm38:15:100227871:100254448:1 gene:ENSMUSG00000023027.12 transcript:ENSMUST00000163855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf1 description:activating transcription factor 1 [Source:MGI Symbol;Acc:MGI:1298366] MSVPAPIYQTSSGQYIAIAPNGALQLASPSTDGVQALQTLTMTNSSSTQQGTILQYAQTS DGQQILVPSNQVVVQTASGDMQTYQIRTTPSATSLPQTVVMTSPVTL >ENSMUSP00000131278.1 pep:known chromosome:GRCm38:15:100228263:100232814:1 gene:ENSMUSG00000023027.12 transcript:ENSMUST00000171869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf1 description:activating transcription factor 1 [Source:MGI Symbol;Acc:MGI:1298366] MEDSHKSNTTETASQPGSTVAGPHV >ENSMUSP00000127969.1 pep:known chromosome:GRCm38:15:100232159:100252094:1 gene:ENSMUSG00000023027.12 transcript:ENSMUST00000165804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf1 description:activating transcription factor 1 [Source:MGI Symbol;Acc:MGI:1298366] MLIMEDSHKSNTTETASQPGSTVAGPHVSQIVHQVSSLSESEESQDSSDSIGSSQKAHGI LARRPSYRKILKDLSS >ENSMUSP00000132907.1 pep:known chromosome:GRCm38:15:100232198:100254384:1 gene:ENSMUSG00000023027.12 transcript:ENSMUST00000172154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf1 description:activating transcription factor 1 [Source:MGI Symbol;Acc:MGI:1298366] MEDSHKSNTTETASQPGSTVAGPHVSQIVHQVSSLSESEESQDSSDSIGSSQKAHGILAR RPSYRKILKDLSSEDTRGRKGEGENPSISAITSMSVPAPIYQTSSGQYIAIAPNGALQLA SPSTDGVQALQTLTMTNSSSTQQGTILQYAQTSDGQQILVPSNQVVVQTASGDMQTYQ >ENSMUSP00000129833.1 pep:known chromosome:GRCm38:15:100232733:100260080:1 gene:ENSMUSG00000023027.12 transcript:ENSMUST00000169408.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atf1 description:activating transcription factor 1 [Source:MGI Symbol;Acc:MGI:1298366] MEDSHKSNTTETASQPGSTVAGPHVSQIVHQLPLPQTEPYSWPVQARMECRHCRH >ENSMUSP00000127985.1 pep:known chromosome:GRCm38:15:100254215:100259912:1 gene:ENSMUSG00000023027.12 transcript:ENSMUST00000166435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf1 description:activating transcription factor 1 [Source:MGI Symbol;Acc:MGI:1298366] XAQTSDGQQILVPSNQVVVQTASGDMQTYQIRTTPSATSLPQTVVMTSPVTLASQTTKTD DPQLRREIRLMKNSCPRVPPEEEGVREVPGEPCCCSGKSK >ENSMUSP00000081263.5 pep:known chromosome:GRCm38:4:133130505:133199756:1 gene:ENSMUSG00000028868.13 transcript:ENSMUST00000084241.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wasf2 description:WAS protein family, member 2 [Source:MGI Symbol;Acc:MGI:1098641] MPLVTRNIEPRHLCRQTLPSDTSELECRTNITLANVIRQLGSLSKYAEDIFGEICTQASA FASRVNSLAERVDRVQVKVTQLDPKEEEVSLQGINTRKAFRSSTTQDQKLFDRNSLPVPV LETYNSCDAPPPLNNLSPYRDDGKEALKFYTNPSYFFDLWKEKMLQDTKDIMKEKRKHRK EKKDNPNRGNVNPRKIKTRKEEWEKMKMGQEFVESKERLGPSGYSSTLVYQNGSIGSVEN VDAASYPPPPQSDSASSPSPSFSEDNLPPPPAEFSYPADNQRGSVLAGPKRTSMVSPSHP PPAPPLSSPPGPKPGFAPPPAPPPPPPMSVPPPLPSMGFGSPGTPPPPSPPSFPPHPDFA APPPPPPPPAADYPMPPPPLSQPSGGAPPPPPPPPPPGPPPLPFSGADGQPAAPPPPPPS EATKPKSSLPAVSDARSDLLSAIRQGFQLRRVEEQREQEKRDVVGNDVATILSRRIAVEY SDSEDDSSEFDEDDWSD >ENSMUSP00000101532.1 pep:known chromosome:GRCm38:4:133176371:133196504:1 gene:ENSMUSG00000028868.13 transcript:ENSMUST00000105912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wasf2 description:WAS protein family, member 2 [Source:MGI Symbol;Acc:MGI:1098641] MPLVTRNIEPRHLCRQTLPSDTSELECRTNITLANVIRQLGSLSKYAEDIFGEICTQASA FASRVNSLAERVDRVQVKVTQLDPKEEEVSLQGINTRKAFRSSTTQDQKLFDRNSLPVPV LETYNSCDAPPPLNNLSPYRDDGKEALKFYTNPSYFFDLWKEKMLQDTKDIMKEKRKHRK EKKDNPNRGNVNPRKIKTRKEEWEKMKMGQEFVESKERLGPSGYSSTLVYQNGSIGSVEN VDAASYPPPPQSDSASSPSPSFSEDNLPPPPAEFSYPADNQRGSVLAGPKRTSMVSPSHP PPAPPLSSPPGPKPGFAPPPAPPPPPPMSVPPPLPSMGFGSPGTPPPPSPPSFPPHPDFA APPPPPPPPAADYPMPPPPLSQPSGGAPPPPPPPPPPGPPPLPFSGADGQPAAPPPPPPS EATKPKSSLPAVSDARSDLLSAIRQGFQLRRVEEQREQEKRDVVGNDVATILSRRIAVEY SDSEDDSSEFDEDDWSD >ENSMUSP00000117314.1 pep:known chromosome:GRCm38:4:133130540:133185095:1 gene:ENSMUSG00000028868.13 transcript:ENSMUST00000138831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wasf2 description:WAS protein family, member 2 [Source:MGI Symbol;Acc:MGI:1098641] MPLVTRNIEPRHLCRQTLPSDTSELECRTNITLANVIRQLGSLSKYAEDIFGEICTQASA FASRVNSLAERVDRVQVKVTQLDPK >ENSMUSP00000074994.2 pep:known chromosome:GRCm38:13:23544465:23545312:1 gene:ENSMUSG00000100210.1 transcript:ENSMUST00000075558.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h3f description:histone cluster 1, H3f [Source:MGI Symbol;Acc:MGI:2448329] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000106055.2 pep:known chromosome:GRCm38:2:126627442:126675568:-1 gene:ENSMUSG00000027361.15 transcript:ENSMUST00000110425.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb1 description:GA repeat binding protein, beta 1 [Source:MGI Symbol;Acc:MGI:95611] MSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHFSTTEVLLRA GVSRDARTKVDRTPLHMAASEGHANIVEVLLKHGADVNAKDMLKMTALHWATEHNHQEVV ELLIKYGADVHTQSKFCKTAFDISIDNGNEDLAEILQIAMQNQINTNPESPDTVTIHAAT PQFIIGPGGVVNLTDETGVSAVQFGNSSTSVLATLAALAEASAPLSNSSETPVVATEEVV TAESVDGAIQQVVSSGGQQVITIVTDGIQLGNLHSIPTSGMGQPIIVTMPDGQQVLTVPA TDIAEETVISEEPPAKRQCMEIIESRVECAEIEEREALQKQLDEANREAQKYRQQLLKKE QEAEAYRQKLEAMTRIQTNKEAV >ENSMUSP00000106054.2 pep:known chromosome:GRCm38:2:126628913:126675502:-1 gene:ENSMUSG00000027361.15 transcript:ENSMUST00000110424.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb1 description:GA repeat binding protein, beta 1 [Source:MGI Symbol;Acc:MGI:95611] MSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHFSTTEVLLRA GVSRDARTKVDRTPLHMAASEGHANIVEVLLKHGADVNAKDMLKMTALHWATEHNHQEVV ELLIKYGADVHTQSKFCKTAFDISIDNGNEDLAEILQIAMQNQINTNPESPDTVTIHAAT PQFIIGPGGVVNLTDETGVSAVQFGNSSTSVLATLAALAEASAPLSNSSETPVATEEVVT AESVDGAIQQVVSSGGQQVITIVTDGIQLGNLHSIPTSGMGQPIIVTMPDGQQVLTVPAT DIAEETVISEEPPAKRQCMEIIESRVECAEIEEREALQKQLDEANREAQKYRQQLLKKEQ EAEAYRQKLEAMTRIQTNKEAV >ENSMUSP00000099517.1 pep:known chromosome:GRCm38:2:126628915:126675544:-1 gene:ENSMUSG00000027361.15 transcript:ENSMUST00000103227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb1 description:GA repeat binding protein, beta 1 [Source:MGI Symbol;Acc:MGI:95611] MSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHFSTTEVLLRA GVSRDARTKVDRTPLHMAASEGHANIVEVLLKHGADVNAKDMLKMTALHWATEHNHQEVV ELLIKYGADVHTQSKFCKTAFDISIDNGNEDLAEILQIAMQNQINTNPESPDTVTIHAAT PQFIIGPGGVVNLTDETGVSAVQFGNSSTSVLATLAALAEASAPLSNSSETPVATEEVVT AESVDGAIQQVVSSGGQQVITIVTDGIQLGNLHSIPTSGMGQPIIVTMPDGQQVLTVPAT DIAEETVISEEPPAKRQCMEIIESRVECAEIEEREALQKQLDEANREAQKYRQQLLKKEQ EAEAYRQKLEAMTRIQTNKEAV >ENSMUSP00000037673.6 pep:known chromosome:GRCm38:2:126638636:126676337:-1 gene:ENSMUSG00000027361.15 transcript:ENSMUST00000039978.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb1 description:GA repeat binding protein, beta 1 [Source:MGI Symbol;Acc:MGI:95611] MSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHFSTTEVLLRA GVSRDARTKVDRTPLHMAASEGHANIVEVLLKHGADVNAKDMLKMTALHWATEHNHQEVV ELLIKYGADVHTQSKFCKTAFDISIDNGNEDLAEILQIAMQNQINTNPESPDTVTIHAAT PQFIIGPGGVVNLTDETGVSAVQFGNSSTSVLATLAALAEASAPLSNSSETPVATEEVVT AESVDGAIQQVVSSGGQQVITIVTDGIQLGNLHSIPTSGMGQPIIVTMPDGQQVLTVPAT DIAEETVISEEPPAKRQCMEIIESRVECAEIEVRSLIPGVFCCSHPK >ENSMUSP00000099516.3 pep:known chromosome:GRCm38:2:126639021:126675486:-1 gene:ENSMUSG00000027361.15 transcript:ENSMUST00000103226.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb1 description:GA repeat binding protein, beta 1 [Source:MGI Symbol;Acc:MGI:95611] MSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHFSTTEVLLRA GVSRDARTKVDRTPLHMAASEGHANIVEVLLKHGADVNAKDMLKMTALHWATEHNHQEVV ELLIKYGADVHTQSKFCKTAFDISIDNGNEDLAEILQIAMQNQINTNPESPDTVTIHAAT PQFIIGPGGVVNLTDETGVSAVQFGNSSTSVLATLAALAEASAPLSNSSETPVVATEEVV TAESVDGAIQQVVSSGGQQVITIVTDGIQLGNLHSIPTSGMGQPIIVTMPDGQQVLTVPA TDIAEETVISEEPPAKRQCMEIIESRVECAEIEVRSLIPGVFCCSHPK >ENSMUSP00000087177.4 pep:known chromosome:GRCm38:2:126647748:126675592:-1 gene:ENSMUSG00000027361.15 transcript:ENSMUST00000089745.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb1 description:GA repeat binding protein, beta 1 [Source:MGI Symbol;Acc:MGI:95611] MSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHFSTTEVLLRA GVSRDARTKVDRTPLHMAASEGHANIVEVLLKHGADVNAKDMLKMTALHWATEHNHQEVV ELLIKYGADVHTQSKFCKTAFDISIDNGNEDLAEILQIAMQNQINTNPESPDTVTIHAAT PQFIIGPGGVVNLTDETGVSAVQFGNSSTSVLATLAALAEASAPLSNSSETPG >ENSMUSP00000117355.1 pep:known chromosome:GRCm38:2:126653615:126675352:-1 gene:ENSMUSG00000027361.15 transcript:ENSMUST00000124972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb1 description:GA repeat binding protein, beta 1 [Source:MGI Symbol;Acc:MGI:95611] MSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAAQYGHFSTTEVLLRA GVSRDARTKVDR >ENSMUSP00000118358.1 pep:known chromosome:GRCm38:2:126653692:126663290:-1 gene:ENSMUSG00000027361.15 transcript:ENSMUST00000137335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb1 description:GA repeat binding protein, beta 1 [Source:MGI Symbol;Acc:MGI:95611] MSLVDLGKKLLEAARAGQDDEVRILMANGAPFTTDWLGTSPLHLAA >ENSMUSP00000091289.5 pep:known chromosome:GRCm38:9:119169455:119190407:-1 gene:ENSMUSG00000070280.13 transcript:ENSMUST00000093775.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a14 description:solute carrier family 22 (organic cation transporter), member 14 [Source:MGI Symbol;Acc:MGI:2685974] MKEDQNYKTAFGSQNSRDTHRHEISFPSQNWSLEMLLRRLKAIDARRDDKFASVMDAIGE FGTFQWRLVVLTFIPSILSTFFIFSHHFLLTAQRPYCNTSWILEVGPNLTEDEQLNLTLP RAPNGSFLTCLMYIPVPWDLDSIIHFGLNYTETCKFGWIYPFAHTRSLINEFDLVCGNEP NKENGLTVFLSGVLTGSLLFGFLSDKLGRYPIILLSLLGFLIFGFGTAFVSSFYQYLFFR FFVAQASVGYAICSVSLVMEWLVGEHRAQAVILQHSFLTIGVILLTGLAYKVVHWRLLCL LGGMPMFPLICNIWVLRESPRWLMVRGKVEEAKKVLCYAAEVNKKTIPLNLLNELQISGK KVAKASILDFCTNQHLFKVVLAIGCVWFTVSYISFTLNLKMNDFGLDVYFVQMVRSIVAV PARLCCIILLEYFGRKWALNLTLFLVTSMCLFLLFLPQEPKSTIILTLMLAEFSMAGTLS IFFIYTAELLPTVLRSTGLGMVSLAWVAGAISSVAIFKQTKTQLPIFFCCLCCVLALCFS SLVPETGSQSLRDSIEYNIRDSIEPKDRNKDVPMVIAEESMSDIVADSEVTNTTLNAVTF KPEENSLLNMTLEVPKMDLPVQSLKAQPP >ENSMUSP00000117967.1 pep:known chromosome:GRCm38:9:119169668:119173652:-1 gene:ENSMUSG00000070280.13 transcript:ENSMUST00000152061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a14 description:solute carrier family 22 (organic cation transporter), member 14 [Source:MGI Symbol;Acc:MGI:2685974] XQLHQFYTEPQDERLWAGRLLRTNGPEHSGSASPAVLYHSPGVFWEEVGLKPDSLPSYLH VFVSPFPPPRSTGLGMVSLAWVAGAISSVAIFKQTKTQLPIFFCCLCCVLALCFSSLVPE TGSQSLRDSIEYNIRDSIEPKDRNKDVPMVIAEESMSDIVADSEVTNTTLNAVTFKPEEN SLLNMTLEVPKMDLPV >ENSMUSP00000120144.1 pep:known chromosome:GRCm38:9:119180966:119190362:-1 gene:ENSMUSG00000070280.13 transcript:ENSMUST00000127794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a14 description:solute carrier family 22 (organic cation transporter), member 14 [Source:MGI Symbol;Acc:MGI:2685974] MKEDQNYKTAFGSQNSRDTHR >ENSMUSP00000131982.2 pep:known chromosome:GRCm38:9:119169456:119365553:-1 gene:ENSMUSG00000070280.13 transcript:ENSMUST00000170400.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a14 description:solute carrier family 22 (organic cation transporter), member 14 [Source:MGI Symbol;Acc:MGI:2685974] MKEDQNYKTAFGSQNSRDTHRHEISFPSQNWSLEMLLRRLKAIDARRDDKFASVMDAIGE FGTFQWRLVVLTFIPSILSTFFIFSHHFLLTAQRPYCNTSWILEVGPNLTEDEQLNLTLP RAPNGSFLTCLMYIPVPWDLDSIIHFGLNYTETCKFGWIYPFAHTRSLINEFDLVCGNEP NKENGLTVFLSGVLTGSLLFGFLSDKLGRYPIILLSLLGFLIFGFGTAFVSSFYQYLFFR FFVAQASVGYAICSVSLVMEWLVGEHRAQAVILQHSFLTIGVILLTGLAYKVVHWRLLCL LGGMPMFPLICNIWVLRESPRWLMVRGKVEEAKKVLCYAAEVNKKTIPLNLLNELQISGK KVAKASILDFCTNQHLFKVVLAIGCVWFTVSYISFTLNLKMNDFGLDVYFVQMVRSIVAV PARLCCIILLEYFGRKWALNLTLFLVTSMCLFLLFLPQEPKSTIILTLMLAEFSMAGTLS IFFIYTAELLPTVLRSTGLGMVSLAWVAGAISSVAIFKQTKTQLPIFFCCLCCVLALCFS SLVPETGSQSLRDSIEYNIRDSIEPKDRNKDVPMVIAEESMSDIVADSEVTNTTLNAVTF KPEENSLLNMTLEVPKMDLPVQSLKAQPP >ENSMUSP00000047186.9 pep:known chromosome:GRCm38:15:31224314:31274341:1 gene:ENSMUSG00000039168.15 transcript:ENSMUST00000044524.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap description:death-associated protein [Source:MGI Symbol;Acc:MGI:1918190] MSSPPEGKLETKAGHPPAVKAGGMRIVQKHPHTGDGKEERDKDDQEWESTSPPKPTVFIS GVIARGDKDFPPAAAQVAHQKPHASMDKHVSPRTQHIQQPRK >ENSMUSP00000141108.1 pep:known chromosome:GRCm38:15:31224455:31273303:1 gene:ENSMUSG00000039168.15 transcript:ENSMUST00000186109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap description:death-associated protein [Source:MGI Symbol;Acc:MGI:1918190] MSSPPEGKLETKAGHPPAAHLNQQCSSLALLLGVTKTSLQRLHRWPTRSHTPPWTNTFLQ ERSISNSL >ENSMUSP00000140481.1 pep:known chromosome:GRCm38:15:31224560:31273461:1 gene:ENSMUSG00000039168.15 transcript:ENSMUST00000186547.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap description:death-associated protein [Source:MGI Symbol;Acc:MGI:1918190] MRIVQKHPHTGDGKEERDKDDQEWESTSPPKPTVFISGVIARGDKDFPPAAAQVAHQKPH ASMDKHVSPRTQHIQQPRK >ENSMUSP00000140007.1 pep:known chromosome:GRCm38:15:31225196:31273544:1 gene:ENSMUSG00000039168.15 transcript:ENSMUST00000186425.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap description:death-associated protein [Source:MGI Symbol;Acc:MGI:1918190] MRIVQKHPHTGDGKEERDKDDQEWESTSPPKPTVFISGVIARGDKDFPPAAAQVAHQKPH ASMDKHVSPRTQHIQQPRK >ENSMUSP00000140568.1 pep:known chromosome:GRCm38:15:31268336:31273386:1 gene:ENSMUSG00000039168.15 transcript:ENSMUST00000185618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap description:death-associated protein [Source:MGI Symbol;Acc:MGI:1918190] MVARGSRSILIPPKPTVFISGVIARGDKDFPPAAAQVAHQKPHASMDKHVSPRTQHIQQP RK >ENSMUSP00000096238.2 pep:known chromosome:GRCm38:7:27907392:27929430:-1 gene:ENSMUSG00000070709.12 transcript:ENSMUST00000098639.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700049G17Rik description:RIKEN cDNA 1700049G17 gene [Source:MGI Symbol;Acc:MGI:1920680] MAVKEETDRPSPDLETDYDAGSISPENSISNGNFPKQSIKQLSRILDLEGSTFSNGHNHS IFSGLQGYKGGDAGQKVTKKEEMPPYTCQTLAHNTEKPYECKECGKCFGCRSTFTQHQSI HTGEKPYECEECGKAFRLPQQLTRHQKFHSGEKPFECNECGKAFHLPDLLKYHKTIHTGE KPFECRECGLYRIIHADVKPYKCSECGKAFKRRSNLVQHQKTHSDERPFQCKDCGKGFIV LAQLTRHQNIHTGEKSFECHECGKAFRLPQQLTRHQKSHSGEKPFKCNECGKAFHLPDLL KYHKTIHTSTKPFECRECGKSFHRISNLVEHRLIHADVKPHKCNECGKAFKRNKSLMQHQ KIHSGERPFQCQECGKAFIVLAHLTRHQTIHTGEKSFECKECGKKFRTATHLVQHQSIHT GEKPFECNVCGKAFRLQIYLSEHQKTHMEAKHFECNICGKVFRLQVYLSEHQKTHTEEKP FKCKLCGSAFRSKYQLSKHHTVHTDEKPYQCKECGKCFRQRSNFTDHQSIHTGKKPFQCK ECGKFYRLNTLLIRHQKSHSSERPYECKECGKAFHLPSELNNHQIVHTSNRPFECKVCGK SFKRESTLIQHMAIHSGVKSYECKECGKGFIHRSSLLHHRKIHSDEKPFKCQECGKAFVV LAYLTRHQSIHTGEKSFECQHCGSAFRYKSQLSKHQRIHTDVRLFQCMEGRNDFVHGLDL RIHQGIHTSETPFQCNEYGEPFHNHNQFSWTY >ENSMUSP00000115940.1 pep:known chromosome:GRCm38:7:27911996:27927511:-1 gene:ENSMUSG00000070709.12 transcript:ENSMUST00000129341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700049G17Rik description:RIKEN cDNA 1700049G17 gene [Source:MGI Symbol;Acc:MGI:1920680] MASSSSQDMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRDMMLETYSNLVSVVGSCISK PDLIVLLEQEKEPWMAVKEETDRPSPDLETDYDAGSISPENSISNGNFPKQSIKQLSRIL DLEGSTFSNGHNHSIFSGLQGYKGGDAGQKVTKKEEMPPYTCQTLAHNTEKPYEC >ENSMUSP00000103878.1 pep:known chromosome:GRCm38:3:32397671:32466107:1 gene:ENSMUSG00000027665.13 transcript:ENSMUST00000108243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3ca description:phosphatidylinositol 3-kinase, catalytic, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1206581] MPPRPSSGELWGIHLMPPRILVECLLPNGMIVTLECLREATLVTIKHELFREARKYPLHQ LLQDETSYIFVSVTQEAEREEFFDETRRLCDLRLFQPFLKVIEPVGNREEKILNREIGFV IGMPVCEFDMVKDPEVQDFRRNILNVCKEAVDLRDLNSPHSRAMYVYPPNVESSPELPKH IYNKLDKGQIIVVIWVIVSPNNDKQKYTLKINHDCVPEQVIAEAIRKKTRSMLLSSEQLK LCVLEYQGKYILKVCGCDEYFLEKYPLSQYKYIRSCIMLGRMPNLMLMAKESLYSQLPID SFTMPSYSRRISTATPYMNGETSTKSLWVINSALRIKILCATYVNVNIRDIDKIYVRTGI YHGGEPLCDNVNTQRVPCSNPRWNEWLNYDIYIPDLPRAARLCLSICSVKGRKGAKEEHC PLAWGNINLFDYTDTLVSGKMALNLWPVPHGLEDLLNPIGVTGSNPNKETPCLELEFDWF SSVVKFPDMSVIEEHANWSVSREAGFSYSHTGLSNRLARDNELRENDKEQLRALCTRDPL SEITEQEKDFLWSHRHYCVTIPEILPKLLLSVKWNSRDEVAQMYCLVKDWPPIKPEQAME LLDCNYPDPMVRSFAVRCLEKYLTDDKLSQYLIQLVQVLKYEQYLDNLLVRFLLKKALTN QRIGHFFFWHLKSEMHNKTVSQRFGLLLESYCRACGMYLKHLNRQVEAMEKLINLTDILK QEKKDETQKVQMKFLVEQMRQPDFMDALQGFLSPLNPAHQLGNLRLEECRIMSSAKRPLW LNWENPDIMSELLFQNNEIIFKNGDDLRQDMLTLQIIRIMENIWQNQGLDLRMLPYGCLS IGDCVGLIEVVRNSHTIMQIQCKGGLKGALQFNSHTLHQWLKDKNKGEIYDAAIDLFTRS CAGYCVATFILGIGDRHNSNIMVKDDGQLFHIDFGHFLDHKKKKFGYKRERVPFVLTQDF LIVISKGAQEYTKTREFERFQEMCYKAYLAIRQHANLFINLFSMMLGSGMPELQSFDDIA YIRKTLALDKTEQEALEYFTKQMNDAHHGGWTTKMDWIFHTIKQHALN >ENSMUSP00000103877.1 pep:known chromosome:GRCm38:3:32436376:32466107:1 gene:ENSMUSG00000027665.13 transcript:ENSMUST00000108242.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3ca description:phosphatidylinositol 3-kinase, catalytic, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1206581] MPVCEFDMVKDPEVQDFRRNILNVCKEAVDLRDLNSPHSRAMYVYPPNVESSPELPKHIY NKLDKGQIIVVIWVIVSPNNDKQKYTLKINHDCVPEQVIAEAIRKKTRSMLLSSEQLKLC VLEYQGKYILKVCGCDEYFLEKYPLSQYKYIRSCIMLGRMPNLMLMAKESLYSQLPIDSF TMPSYSRRISTATPYMNGETSTKSLWVINSALRIKILCATYVNVNIRDIDKIYVRTGIYH GGEPLCDNVNTQRVPCSNPRWNEWLNYDIYIPDLPRAARLCLSICSVKGRKGAKEEHCPL AWGNINLFDYTDTLVSGKMALNLWPVPHGLEDLLNPIGVTGSNPNKETPCLELEFDWFSS VVKFPDMSVIEEHANWSVSREAGFSYSHTGLSNRLARDNELRENDKEQLRALCTRDPLSE ITEQEKDFLWSHRHYCVTIPEILPKLLLSVKWNSRDEVAQMYCLVKDWPPIKPEQAMELL DCNYPDPMVRSFAVRCLEKYLTDDKLSQYLIQLVQVLKYEQYLDNLLVRFLLKKALTNQR IGHFFFWHLKSEMHNKTVSQRFGLLLESYCRACGMYLKHLNRQVEAMEKLINLTDILKQE KKDETQKVQMKFLVEQMRQPDFMDALQGFLSPLNPAHQLGNLRLEECRIMSSAKRPLWLN WENPDIMSELLFQNNEIIFKNGDDLRQDMLTLQIIRIMENIWQNQGLDLRMLPYGCLSIG DCVGLIEVVRNSHTIMQIQCKGGLKGALQFNSHTLHQWLKDKNKGEIYDAAIDLFTRSCA GYCVATFILGIGDRHNSNIMVKDDGQLFHIDFGHFLDHKKKKFGYKRERVPFVLTQDFLI VISKGAQEYTKTREFERFQEMCYKAYLAIRQHANLFINLFSMMLGSGMPELQSFDDIAYI RKTLALDKTEQEALEYFTKQMNDAHHGGWTTKMDWIFHTIKQHALN >ENSMUSP00000029201.7 pep:known chromosome:GRCm38:3:32436151:32468486:1 gene:ENSMUSG00000027665.13 transcript:ENSMUST00000029201.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3ca description:phosphatidylinositol 3-kinase, catalytic, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1206581] MPPRPSSGELWGIHLMPPRILVECLLPNGMIVTLECLREATLVTIKHELFREARKYPLHQ LLQDETSYIFVSVTQEAEREEFFDETRRLCDLRLFQPFLKVIEPVGNREEKILNREIGFV IGMPVCEFDMVKDPEVQDFRRNILNVCKEAVDLRDLNSPHSRAMYVYPPNVESSPELPKH IYNKLDKGQIIVVIWVIVSPNNDKQKYTLKINHDCVPEQVIAEAIRKKTRSMLLSSEQLK LCVLEYQGKYILKVCGCDEYFLEKYPLSQYKYIRSCIMLGRMPNLMLMAKESLYSQLPID SFTMPSYSRRISTATPYMNGETSTKSLWVINSALRIKILCATYVNVNIRDIDKIYVRTGI YHGGEPLCDNVNTQRVPCSNPRWNEWLNYDIYIPDLPRAARLCLSICSVKGRKGAKEEHC PLAWGNINLFDYTDTLVSGKMALNLWPVPHGLEDLLNPIGVTGSNPNKETPCLELEFDWF SSVVKFPDMSVIEEHANWSVSREAGFSYSHTGLSNRLARDNELRENDKEQLRALCTRDPL SEITEQEKDFLWSHRHYCVTIPEILPKLLLSVKWNSRDEVAQMYCLVKDWPPIKPEQAME LLDCNYPDPMVRSFAVRCLEKYLTDDKLSQYLIQLVQVLKYEQYLDNLLVRFLLKKALTN QRIGHFFFWHLKSEMHNKTVSQRFGLLLESYCRACGMYLKHLNRQVEAMEKLINLTDILK QEKKDETQKVQMKFLVEQMRQPDFMDALQGFLSPLNPAHQLGNLRLEECRIMSSAKRPLW LNWENPDIMSELLFQNNEIIFKNGDDLRQDMLTLQIIRIMENIWQNQGLDLRMLPYGCLS IGDCVGLIEVVRNSHTIMQIQCKGGLKGALQFNSHTLHQWLKDKNKGEIYDAAIDLFTRS CAGYCVATFILGIGDRHNSNIMVKDDGQLFHIDFGHFLDHKKKKFGYKRERVPFVLTQDF LIVISKGAQEYTKTREFERFQEMCYKAYLAIRQHANLFINLFSMMLGSGMPELQSFDDIA YIRKTLALDKTEQEALEYFTKQMNDAHHGGWTTKMDWIFHTIKQHALN >ENSMUSP00000144246.1 pep:known chromosome:GRCm38:5:69556923:69571730:1 gene:ENSMUSG00000029208.16 transcript:ENSMUST00000154728.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Guf1 description:GUF1 homolog, GTPase [Source:MGI Symbol;Acc:MGI:2140726] MWALVGRALAPWAAGARHAAASEPRAACRLFSAAELKEKPDMSRFPVEDIRNFSIIAHVD HGKSTLADRLLELTGTIDKTKKNKQVLDKLQVERERGITVKAQTASLFYSFGGKQYLLNL IDTPGHVDFSYEVSRSLSACQGVLLVVDANEGIQAQTVANFFLAFEAQLSVIPVINKIDL KNADPERVGKQIEKVFDIPSEECIKISAKLGTNVDSVLQAVIERIPPPKVHRENPLKALV FDSTFDQYRGVIANIALFDGVVSKGDKIVSAHTKKAYEVNEVGILNPNEQPTHKLSVPYR PI >ENSMUSP00000144290.1 pep:known chromosome:GRCm38:5:69556953:69566869:1 gene:ENSMUSG00000029208.16 transcript:ENSMUST00000132169.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Guf1 description:GUF1 homolog, GTPase [Source:MGI Symbol;Acc:MGI:2140726] MWALVGRALAPWAAGARHAAASEPRAACRLFSAAELKEKPDMSRFPVEDIRNFSIIAHVD HGKSTLADRLLELTGTIDKTKKNKQVLDKLQVERERGITVKAQTASLFYSFGGKQYLLNL IDTPGHVDFSYEVSRSLSACQGVLLVVDANEGIQAQTVANFFLAFEAQLSVIPVINKIDL KNADPERVGKQIEKVFDIPSEECIKISAKLGTNVDSVLQAVIERIPPPKVHRENPLKALV FDSTFDQYRGVIANIALFDGVVSKGDKIVSAHTKKAYEVNEVGILNPNEQPTHKLSVPYR PI >ENSMUSP00000084480.4 pep:known chromosome:GRCm38:5:69556961:69573104:1 gene:ENSMUSG00000029208.16 transcript:ENSMUST00000087228.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guf1 description:GUF1 homolog, GTPase [Source:MGI Symbol;Acc:MGI:2140726] MWALVGRALAPWAAGARHAAASEPRAACRLFSAAELKEKPDMSRFPVEDIRNFSIIAHVD HGKSTLADRLLELTGTIDKTKKNKQVLDKLQVERERGITVKAQTASLFYSFGGKQYLLNL IDTPGHVDFSYEVSRSLSACQGVLLVVDANEGIQAQTVANFFLAFEAQLSVIPVINKIDL KNADPERVGKQIEKVFDIPSEECIKISAKLGTNVDSVLQAVIERIPPPKVHRENPLKALV FDSTFDQYRGVIANIALFDGVVSKGDKIVSAHTKKAYEVNEVGILNPNEQPTHKLYAGQV GFLIAGMKDVTEAQIGDTLYLHNHPVEPLPGFKSAKPMVFAGVYPIDQSEYNNLKSAIEK LTLNDSSVTVHRDSSLALGAGWRLGFLGLLHMEVFNQRLEQEYNASVILTTPTVPYKAVL SSAKLIKEYKEKEITIINPAQFPEKSQVTEYLEPVVLGTVITPTEYTGKIMALCQARRAI QKNMTFIDENRVMLKYLFPLNEIVVDFYDSLKSLSSGYASFDYEDAGYQTAELVKMDILL NGNMVEELVTVVHREKAYTVGKSICERLKESLPRQLYEIAIQAAVGSKVIARETVKAYRK NVLAKCYGGDITRKMKLLKRQSEGKKKLRKIGNIEIPKDAFIKVLKTQPNK >ENSMUSP00000031113.6 pep:known chromosome:GRCm38:5:69556988:69571731:1 gene:ENSMUSG00000029208.16 transcript:ENSMUST00000031113.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guf1 description:GUF1 homolog, GTPase [Source:MGI Symbol;Acc:MGI:2140726] MWALVGRALAPWAAGARHAAASEPRAACRLFSAAELKEKPDMSRFPVEDIRNFSIIAHVD HGKSTLADRLLELTGTIDKTKKNKQVLDKLQVERERGITVKAQTASLFYSFGGKQYLLNL IDTPGHVDFSYEVSRSLSACQGVLLVVDANEGIQAQTVANFFLAFEAQLSVIPVINKIDL KNADPERVGKQIEKVFDIPSEECIKISAKLGTNVDSVLQAVIERIPPPKVHRENPLKALV FDSTFDQYRGVIANIALFDGVVSKGDKIVSAHTKKAYEVNEVGILNPNEQPTHKLLGFLG LLHMEVFNQRLEQEYNASVILTTPTVPYKAVLSSAKLIKEYKEKEITIINPAQFPEKSQV TEYLEPVVLGTVITPTEYTGKIMALCQARRAIQKNMTFIDENRVMLKYLFPLNEIVVDFY DSLKSLSSGYASFDYEDAGYQTAELVKMDILLNGNMVEELVTVVHREKAYTVGKSICERL KESLPRQLYEIAIQAAVGSKVIARETVKAYRKNVLAKCYGGDITRKMKLLKRQSEGKKKL RKIGNIEIPKDAFIKVLKTQPNK >ENSMUSP00000114707.2 pep:known chromosome:GRCm38:5:69557073:69567725:1 gene:ENSMUSG00000029208.16 transcript:ENSMUST00000144363.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Guf1 description:GUF1 homolog, GTPase [Source:MGI Symbol;Acc:MGI:2140726] RALAPWAAGARHAAASEPRAACRLFSAAELKEKPDMSRFPVEDIRNFSIIAHVDHGKSTL ADRLLELTGTIDKTKKNKQVLDKLQVERERGITVKAQTASLFYSFGGKQYLLNLIDTPGH VDFSYEVSRSLSACQGVLLVVDANEGIQAQTVANFFLAFEAQLSVIPVINKIDLKNADPE RVGKQIEKVFDIPSEECIKISAKLGTNVDSVLQAVIERIPPPKVHRENPLKALVFDSTFD QYRGVIANIALFDGVVSKGDKIVSAHTKKAYEVNEVGILNPNEQPTHKLSVPYRPI >ENSMUSP00000133467.2 pep:known chromosome:GRCm38:5:69557094:69571775:1 gene:ENSMUSG00000029208.16 transcript:ENSMUST00000173205.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guf1 description:GUF1 homolog, GTPase [Source:MGI Symbol;Acc:MGI:2140726] AGARHAAASEPRAACRLFSAAELKEKPDMSRFPVEDIRNFSIIAHVDHGKSTLADRLLEL TGTIDKTKKNKQVLDKLQVERERGITVKAQTASLFYSFGGKQYLLNLIDTPGHVDFSYEV SRSLSACQGVLLVVDANEGIQAQTVANFFLAFEAQLSVIPVINKIDLKNADPERVGKQIE KVFDIPSEECIKISAKLGTNVDSVLQAVIERIPPPKVHRENPLKALVFDSTFDQYRGVIA NIALFDGVVSKGDKIVSAHTKKAYEVNEVGILNPNEQPTHKLYAGQVGFLIAGMKDVTEA QIGDTLYLHNHPVEPLPGFKSAKPMVFAGVYPIDQSEYNNLKSAIEKLTLNDSSVTVHRD SSLALGAGWRLGFLGLLHMEVFNQRLEQEYNASVILTTPTVPYKAVLSSAKLIKARRAIQ KNMTFIDENRVMLKYLFPLNEIVVDFYDSLKSLSSGYASFDYEDAGYQTAELVKMDILLN GNMVEELVTVVHREKAYTVGKSICERLKESLPRQLYEIAIQAAVGSKVIARETVKAYRKN VLAKCYGGDITRKMKLLKRQSEGKKKLRKIGNIEIPKDAFIKVLKTQPNK >ENSMUSP00000092508.4 pep:known chromosome:GRCm38:7:4151260:4164860:-1 gene:ENSMUSG00000063838.6 transcript:ENSMUST00000076831.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42ep5 description:CDC42 effector protein (Rho GTPase binding) 5 [Source:MGI Symbol;Acc:MGI:1929745] MPVMKQLGPAQPKKRLDRGALSISAPLGDFRHTLHVGRGGDAFGDTSFLSRHGGGPPPEP GAPPVVAPHSVAPPAAPQPPVAVPSPADPLLSFHLDLGPSMLDAVLGVMDAERSETTATK PDGDAHPRVQHPKTRCCSNADLQLDDVIGL >ENSMUSP00000117257.1 pep:known chromosome:GRCm38:7:4151551:4164539:-1 gene:ENSMUSG00000063838.6 transcript:ENSMUST00000143825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42ep5 description:CDC42 effector protein (Rho GTPase binding) 5 [Source:MGI Symbol;Acc:MGI:1929745] MPVMKQLGPAQPKKRLDRGALSISAPLGDFRHTLHVGRGGDAFGDTSFLSRHGGGPPPEP GAPPVVAPHSVAPPAAPQP >ENSMUSP00000122849.1 pep:known chromosome:GRCm38:7:4151776:4164442:-1 gene:ENSMUSG00000063838.6 transcript:ENSMUST00000140410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42ep5 description:CDC42 effector protein (Rho GTPase binding) 5 [Source:MGI Symbol;Acc:MGI:1929745] MPVM >ENSMUSP00000059861.2 pep:known chromosome:GRCm38:X:100429013:100429885:1 gene:ENSMUSG00000051582.2 transcript:ENSMUST00000060241.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud6a description:OTU domain containing 6A [Source:MGI Symbol;Acc:MGI:3644685] MSDTEQELQRVIRRHYREKRELQAHIQTLKASVPKNDKGRRKQMLADISRLEAEMEQRHK QELEKFGENPDSSVDSVTADLEKMNLENMPPRPPKAQKRRDRRAHQERRHQERMPAAQAE QLAANRREEEEKVAAILGAKNLEMKTIPADGHCMYRAIQDQLVFSVTIESLRYRTAYYMR KHIDDFLPFFTEPEAGNFYTREDFLRYCDDIVHNASWGGQLELRALSHVLQTPIEVVQAN SPTIVIGEEYTRKPVTLVYLHYACDFGEHYNSVKPIEVAGAFGGMAPRLF >ENSMUSP00000100036.1 pep:known chromosome:GRCm38:13:23551258:23551648:-1 gene:ENSMUSG00000069274.3 transcript:ENSMUST00000102971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4f description:histone cluster 1, H4f [Source:MGI Symbol;Acc:MGI:2448425] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000105255.1 pep:known chromosome:GRCm38:15:79823896:79834688:-1 gene:ENSMUSG00000089715.11 transcript:ENSMUST00000109627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx6 description:chromobox 6 [Source:MGI Symbol;Acc:MGI:3512628] MELSAVGERVFAAESIIKRRIRKGRIEYLVKWKGWAIKYSTWEPEENILDSRLIAAFEQK ERERELYGPKKRGPKPKTFLLKARAQAEALRISDVHFSVKPSASASSPKLHSSAAVHRLK KDIRRCHRMSRRPLPRPDPQGGSPGLRPPISPFSETVRIINRKVKPREPKRNRIILNLKV IDKGPGGGSTAQGTGALARPKVPSRNRVIGKSKKFSESMLRTQIRHMKFGTFALYKPPPA PLAPSTAGKADVASSGPGLLLATPAAAPFDAHSSSSSGCPSPTLQSSDPDDAPPKLLPET LSRSVPNWRESEVLDLSIPPEAAATGQRVPPDVTGAADQALHTALEPTGAGSSEPEAGDW RPEMSPCSNVVVTDVTSNLLTVTIKEFCSPEDFEKVAAGVAGATGGGGGTGPSK >ENSMUSP00000105253.1 pep:known chromosome:GRCm38:15:79826141:79834237:-1 gene:ENSMUSG00000089715.11 transcript:ENSMUST00000109625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx6 description:chromobox 6 [Source:MGI Symbol;Acc:MGI:3512628] MELSAVGERVFAAESIIKRRIRKGRIEYLVKWKGWAIKYSTWEPEENILDSRLIAAFEQK ERERELYGPKKRGPKPKTFLLKPSASASSPKLHSSAAVHRLKKDIRRCHRMSRRPLPRPD PQGGSPGLRPPISPFSETVRIINRKVKPREPKRNRIILNLKVIDKGPGGGSTAQGTGALA RPKVPSRNRVIGKSKKFSESMLRTQIRHMKFGTFALYKPPPAPLAPSTAGKADVASSGPG LLLATPAAAPFDAHSSSSSGCPSPTLQSSDPDDAPPKLLPETLSRSVPNWRESEVLDLSI PPEAAATGQRVPPDVTGAADQALHTALEPTGAGSSEPEAGDWRPEMSPCSNVVVTDVTSN LLTVTIKEFCSPEDFEKVAAGVAGATGGGGGTGPSK >ENSMUSP00000105252.1 pep:known chromosome:GRCm38:15:79827837:79834226:-1 gene:ENSMUSG00000089715.11 transcript:ENSMUST00000109623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx6 description:chromobox 6 [Source:MGI Symbol;Acc:MGI:3512628] MELSAVGERVFAAESIIKRRIRKGRIEYLVKWKGWAIKYSTWEPEENILDSRLIAAFEQK ERERELYGPKKRGPKPKTFLLKARAQAEALRISDVHFSVKPSASASSPKLHSSAAVHRLK KDIRRCHRICCPL >ENSMUSP00000119037.1 pep:known chromosome:GRCm38:15:79828777:79834280:-1 gene:ENSMUSG00000089715.11 transcript:ENSMUST00000148358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx6 description:chromobox 6 [Source:MGI Symbol;Acc:MGI:3512628] MELSAVGERVFAAESIIKRRIRKGRIEYLVKWKGWAIKYSTWEPEENILDSRLIAAFEQK PGPRRRPSASVMCISLSSRAPAPPRPSCTPALRCTGSRKTSAAATVCLAVPCHDQTHKGV ALAYAHP >ENSMUSP00000126710.1 pep:known chromosome:GRCm38:3:105870858:105908353:1 gene:ENSMUSG00000000562.5 transcript:ENSMUST00000164730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adora3 description:adenosine A3 receptor [Source:MGI Symbol;Acc:MGI:104847] MFGWNRKATLASSQNSSTLLCHFRSVVSLDYMVFFSFVTWILVPLVVMCVIYLDIFYIIR NKLSQNLSGFRETRAFYGREFKTAKSLFLVLFLFALCWLPLSIINFVSYFDVKIPDVAMC LGILLSHANSMMNPIVYACKIKKFKETYFLILRALRLCQTSDSLDSNMEQTTE >ENSMUSP00000000574.1 pep:known chromosome:GRCm38:3:105904421:105908926:1 gene:ENSMUSG00000000562.5 transcript:ENSMUST00000000574.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adora3 description:adenosine A3 receptor [Source:MGI Symbol;Acc:MGI:104847] MEADNTTETDWLNITYITMEAAIGLCAVVGNMLVIWVVKLNPTLRTTTFYFIVSLALADI AVGVLVTPLAIAVSLQVKMHFYACLFMSCVLLIFTHASIMSLLAIAVDRYLRVKLTVRYR TVTTQRRIWLFLGLCWLVSFLVGLTPMFGWNRKATLASSQNSSTLLCHFRSVVSLDYMVF FSFVTWILVPLVVMCVIYLDIFYIIRNKLSQNLSGFRETRAFYGREFKTAKSLFLVLFLF ALCWLPLSIINFVSYFDVKIPDVAMCLGILLSHANSMMNPIVYACKIKKFKETYFLILRA LRLCQTSDSLDSNMEQTTE >ENSMUSP00000110379.2 pep:known chromosome:GRCm38:2:14388316:14494975:1 gene:ENSMUSG00000036949.16 transcript:ENSMUST00000114731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a12 description:solute carrier family 39 (zinc transporter), member 12 [Source:MGI Symbol;Acc:MGI:2139274] MCFWTNLSVWMILLSHSLSLVSSTETGKTLTQNNSRAGSQGLLEVLRVLSAGDHWSLNHP QSLIKILLERTGCPQRTDWTQGDCKLCLEADALLLTAGGNLEDELREEVVQRVSLLLLYY IIHQEEICSSKLNMSNREYEFYLHSLLGLRQDEDSYFLSEKETDDILAFTRKYFGTSSSQ CMETKILQRESGIQGSNGADEKTLPQLAATIIALSLQGVCLGRKALPSPDDFTEYIFSFL NSTNTLHLSEIEQLLNMLTTRRTCAKEDKYLHQYQRKQNTEEHSLRDPKTSTAMDKESDD HSPSWDQACFSARQLVEIFLQNHSSLSISKEDFKQLSPGIIQQLLSCSCQMPKDQQAKPP PTTLEKYGYSTVAVTLLTLGSMLGTALVLFHSCEENYSLILQLFVGLAVGTLSGDALLHL IPQVLGLHKQEAEFGHFHESQSPIWKLLGLLGGIHGFFLIEKCFILLVSPNTKKGPEDSQ TTVLPIGNVPASNRNGKTISLLAIMILVGDSLHNFADGLVIGTAFSSSLESGVTTTIAIL CHEIPHEMGDFAVLLSSGLSVRTAILMNFLSALTAFAGLYIGLSVSADPRVQDWILTVTA GMFLYLSLVGMLPEMTHVQTQRPWMTFLLQNVGLVLGWFSLLLLAVYEQNIKI >ENSMUSP00000080911.6 pep:known chromosome:GRCm38:2:14388399:14494977:1 gene:ENSMUSG00000036949.16 transcript:ENSMUST00000082290.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a12 description:solute carrier family 39 (zinc transporter), member 12 [Source:MGI Symbol;Acc:MGI:2139274] MCFWTNLSVWMILLSHSLSLVSSTETGKTLTQNNSRAGSQGLLEVLRVLSAGDHWSLNHP QSLIKILLERTGCPQRTDWTQGDCKLCLEADALLLTAGGNLEDELREEVVQRVSLLLLYY IIHQEEICSSKLNMSNREYEFYLHSLLGLRQDEDSYFLSEKETDDILAFTRKYFGTSSSQ CMETKILQRESGIQGSNGADEKTLPQLAATIIALSLQGVCLGRKALPSPDDFTEYIFSFL NSTNTLHLSEIEQLLNMLTTRRTCAKEDKYLHQYQRKQNTEEHSLRDPKTSTAMDKESDD HSPSWDQACFSARQLVEIFLQNHSSLSISKEDFKQLSPGIIQQLLSCSCQMPKDQQAKPP PTTLEKYGYSTVAVTLLTLGSMLGTALVLFHSCEENYSLILQLFVGLAVGTLSGDALLHL IPQVLGLHKQEAEFGHFHESQSPIWKLLGLLGGIHGFFLIEKCFILLVSPNTKGLPLVNE HVGHTHHLGLNPELNDQSSGGKSISTIQLKGPEDSQTTVLPIGNVPASNRNGKTISLLAI MILVGDSLHNFADGLVIGTAFSSSLESGVTTTIAILCHEIPHEMGDFAVLLSSGLSVRTA ILMNFLSALTAFAGLYIGLSVSADPRVQDWILTVTAGMFLYLSLVGMLPEMTHVQTQRPW MTFLLQNVGLVLGWFSLLLLAVYEQNIKI >ENSMUSP00000122795.2 pep:known chromosome:GRCm38:2:14388512:14389539:1 gene:ENSMUSG00000036949.16 transcript:ENSMUST00000133258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a12 description:solute carrier family 39 (zinc transporter), member 12 [Source:MGI Symbol;Acc:MGI:2139274] MCFWTNLSVWMILLSHSLSLVSSTETGKTLTQNNSRAGSQGLLEVLRVLSAGDHWSLNHP QSLIKILLERTGC >ENSMUSP00000033567.8 pep:known chromosome:GRCm38:X:100402221:100412587:-1 gene:ENSMUSG00000031220.14 transcript:ENSMUST00000033567.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Awat2 description:acyl-CoA wax alcohol acyltransferase 2 [Source:MGI Symbol;Acc:MGI:3045345] MFWPTKKDLKTAMEVFALFQWALSALVIVTTVIIVNLYLVVFTSYWPVTVLMLTWLAFDW KTPERGGRRFTCVRKWRLWKHYSDYFPLKMVKTKDISPDRNYILVCHPHGLMAHSCFGHF ATDTTGFSKTFPGITPYMLTLGAFFWVPFLRDYVMSTGSCSVSRSSMDFLLTQKGTGNML VVVVGGLAECRYSTPGSTTLFLKKRQGFVRTALKHGVSLIPAYAFGETDLYDQHIFTPGG FVNRFQKWFQKMVHIYPCAFYGRGLTKNSWGLLPYSQPVTTVVGEPLPLPKIENPSEEIV AKYHTLYIDALRKLFDQHKTKFGISETQELVIV >ENSMUSP00000128516.1 pep:known chromosome:GRCm38:X:100402226:100442717:-1 gene:ENSMUSG00000031220.14 transcript:ENSMUST00000147103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Awat2 description:acyl-CoA wax alcohol acyltransferase 2 [Source:MGI Symbol;Acc:MGI:3045345] MLTWLAFDWKTPERGGRRFTCVRKWRLWKHYSDYFPLKMVKTKDISPDRNYILVCHPHGL MAHSCFGHFATDTTGFSKTFPGITPYMLTLGAFFWVPFLRDYVMSTGSCSVSRSSMDFLL TQKGTGNMLVVVVGGLAECRYSTPGSTTLFLKKRQGFVRTALKHGVSLIPAYAFGETDLY DQHIFTPGGFVNRFQKWFQKMVHIYPCAFYGRGLTKNSWGLLPYSQPVTTVVGEPLPLPK IENPSEEIVAKYHTLYIDALRKLFDQHKTKFGISETQELVIV >ENSMUSP00000036240.7 pep:known chromosome:GRCm38:5:25222882:25265918:1 gene:ENSMUSG00000038072.14 transcript:ENSMUST00000045737.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt11 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 11 [Source:MGI Symbol;Acc:MGI:2444392] MGSITVRYFCYGCLFTSATWTVLLFIYFNFSEVTQPLRNVPIKGSGPHGPFPKKFYPRFT RGPGRVLDPQFKANRIDRLMNNHIEDPDKGLSKSSSELGMIFNERDQELRDLGYQKHAFN MLISNRLGYHRDVPDTRNAECRRKSYPTDLPTASIVICFYNEAFSALLRTVHSVVDRTPA HLLHEIILVDDSSDFDDLKGELDEYIQRYLPAKVKVIRNMKREGLIRGRMIGAAHATGEV LVFLDSHCEVNVMWLQPLLAIILEDPHTVVCPVIDIISADTLAYSSSPVVRGGFNWGLHF KWDLVPVSELGGPDGATAPIRSPTMAGGLFAMNRQYFNDLGQYDSGMDIWGGENLEISFR IWMCGGKLFILPCSRVGHIFRKRRPYGSPEGQDTMTHNSLRLAHVWLDEYKEQYFSLRPD LKNKSFGNISERVELRKKLGCQSFKWYLDNIYPEMQIPGPNAKPQQPVLINRGPKRPRVL QRGRLYHLQTNKCLVAQGRSSQKGGLVLLKTCDYGDPTQVWIYNEDHELILNNLLCLDMS ETRSSDPPRLMKCHGSGGSQQWTFGKNNRLYQVSVGQCLRVMDLMDQKGYVGMAICDGSS SQQWRLEG >ENSMUSP00000110602.1 pep:known chromosome:GRCm38:5:25246373:25265917:1 gene:ENSMUSG00000038072.14 transcript:ENSMUST00000114952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt11 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 11 [Source:MGI Symbol;Acc:MGI:2444392] MGSITVRYFCYGCLFTSATWTVLLFIYFNFSEVTQPLRNVPIKGSGPHGPFPKKFYPRFT RGPGRVLDPQFKANRIDRLMNNHIEDPDKGLSKSSSELGMIFNERDQELRDLGYQKHAFN MLISNRLGYHRDVPDTRNAECRRKSYPTDLPTASIVICFYNEAFSALLRTVHSVVDRTPA HLLHEIILVDDSSDFDDLKGELDEYIQRYLPAKVKVIRNMKREGLIRGRMIGAAHATGEV LVFLDSHCEVNVMWLQPLLAIILEDPHTVVCPVIDIISADTLAYSSSPVVRGGFNWGLHF KWDLVPVSELGGPDGATAPIRSPTMAGGLFAMNRQYFNDLGQYDSGMDIWGGENLEISFR IWMCGGKLFILPCSRVGHIFRKRRPYGSPEGQDTMTHNSLRLAHVWLDEYKEQYFSLRPD LKNKSFGNISERVELRKKLGCQSFKWYLDNIYPEMQIPGPNAKPQQPVLINRGPKRPRVL QRGRLYHLQTNKCLVAQGRSSQKGGLVLLKTCDYGDPTQVWIYNEDHELILNNLLCLDMS ETRSSDPPRLMKCHGSGGSQQWTFGKNNRLYQVSVGQCLRVMDLMDQKGYVGMAICDGSS SQQWRLEG >ENSMUSP00000115282.1 pep:known chromosome:GRCm38:5:25246783:25248917:1 gene:ENSMUSG00000038072.14 transcript:ENSMUST00000144971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt11 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 11 [Source:MGI Symbol;Acc:MGI:2444392] MNNHIEDPDKGLSKSSSELGMIFNERDQELRDLGYQKHAFNMLISNR >ENSMUSP00000110600.1 pep:known chromosome:GRCm38:5:25247363:25265918:1 gene:ENSMUSG00000038072.14 transcript:ENSMUST00000114950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt11 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 11 [Source:MGI Symbol;Acc:MGI:2444392] MGSITVRYFCYGCLFTSATWTVLLFIYFNFSEVTQPLRNVPIKGSGPHGPFPKKFYPRFT RGPGRVLDPQFKANRIDRLMNNHIEDPDKGLSKSSSELGMIFNERDQELRDLGYQKHAFN MLISNRLGYHRDVPDTRNAECRRKSYPTDLPTASIVICFYNEAFSALLRTVHSVVDRTPA HLLHEIILVDDSSDFDDLKGELDEYIQRYLPAKVKVIRNMKREGLIRGRMIGAAHATGEV LVFLDSHCEVNVMWLQPLLAIILEDPHTVVCPVIDIISADTLAYSSSPVVRGGFNWGLHF KWDLVPVSELGGPDGATAPIRSPTMAGGLFAMNRQYFNDLGQYDSGMDIWGGENLEISFR IWMCGGKLFILPCSRVGHIFRKRRPYGSPEGQDTMTHNSLRLAHVWLDEYKEQYFSLRPD LKNKSFGNISERVELRKKLGCQSFKWYLDNIYPEMQIPGPNAKPQQPVLINRGPKRPRVL QRGRLYHLQTNKCLVAQGRSSQKGGLVLLKTCDYGDPTQVWIYNEDHELILNNLLCLDMS ETRSSDPPRLMKCHGSGGSQQWTFGKNNRLYQVSVGQCLRVMDLMDQKGYVGMAICDGSS SQQWRLEG >ENSMUSP00000035092.6 pep:known chromosome:GRCm38:9:119335934:119340041:-1 gene:ENSMUSG00000032508.8 transcript:ENSMUST00000035092.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myd88 description:myeloid differentiation primary response gene 88 [Source:MGI Symbol;Acc:MGI:108005] MSAGDPRVGSGSLDSFMFSIPLVALNVGVRRRLSLFLNPRTPVAADWTLLAEEMGFEYLE IRELETRPDPTRSLLDAWQGRSGASVGRLLELLALLDREDILKELKSRIEEDCQKYLGKQ QNQESEKPLQVARVESSVPQTKELGGITTLDDPLGQTPELFDAFICYCPNDIEFVQEMIR QLEQTDYRLKLCVSDRDVLPGTCVWSIASELIEKRCRRMVVVVSDDYLQSKECDFQTKFA LSLSPGVQQKRLIPIKYKAMKKDFPSILRFITICDYTNPCTKSWFWTRLAKALSLP >ENSMUSP00000115746.1 pep:known chromosome:GRCm38:9:119339690:119341411:-1 gene:ENSMUSG00000032508.8 transcript:ENSMUST00000139870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myd88 description:myeloid differentiation primary response gene 88 [Source:MGI Symbol;Acc:MGI:108005] MILREASVLDGTGGPGLPAMSAGDPRVGSGSLDSFMFSIPLVALNVGVRRRLSLFLNPRT PVAADWTLLAEEMGFEYLEIRELETRPDPTRSLLDAWQGRSGASVGRLL >ENSMUSP00000142695.1 pep:known chromosome:GRCm38:3:105870907:105923955:1 gene:ENSMUSG00000074344.6 transcript:ENSMUST00000200482.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmigd3 description:transmembrane and immunoglobulin domain containing 3 [Source:MGI Symbol;Acc:MGI:5604098] MEFLLLLSLALFSDAMVMDEKVKSGVELETASAVCVYDAYYKDHTKYWCRGYFRDSCNII AFTPNSTNRVALKDTGNQLIITISCLVKEDTGWYWCGIQRDLARDDMDFTQLIVTDNRED RANGFSSECPF >ENSMUSP00000010279.4 pep:known chromosome:GRCm38:3:105870907:105924036:1 gene:ENSMUSG00000074344.6 transcript:ENSMUST00000010279.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmigd3 description:transmembrane and immunoglobulin domain containing 3 [Source:MGI Symbol;Acc:MGI:5604098] MEFLLLLSLALFSDAMVMDEKVKSGVELETASAVCVYDAYYKDHTKYWCRGYFRDSCNII AFTPNSTNRVALKDTGNQLIITISCLVKEDTGWYWCGIQRDLARDDMDFTQLIVTDNRED RANGFSSDPSGNRTRSCRASKAVQKAEGSRMSILIICILITSLGIIFIISHLSRGRRSQR NREVTGKSISRNPQASQGPSMVSITLARI >ENSMUSP00000143674.1 pep:known chromosome:GRCm38:3:105904483:105918792:1 gene:ENSMUSG00000074344.6 transcript:ENSMUST00000196748.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmigd3 description:transmembrane and immunoglobulin domain containing 3 [Source:MGI Symbol;Acc:MGI:5604098] MEADNTTETDWLNITYITMEAAIGLCAVVGNMLVIWVVKLNPTLRTTTFYFIVSLALADI AVGVLVTPLAIAVSLQVKMHFYACLFMSCVLLIFTHASIMSLLAIAVDRYLRVKLTVRSR VPEILALIIPAEGSLPSQHGVPPPSLLGSLFRCHGHG >ENSMUSP00000143300.1 pep:known chromosome:GRCm38:3:105914136:105924039:1 gene:ENSMUSG00000074344.6 transcript:ENSMUST00000198080.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmigd3 description:transmembrane and immunoglobulin domain containing 3 [Source:MGI Symbol;Acc:MGI:5604098] MEFLLLLSLALFSDAMVMDEKVKSGVELETASAVCVYDAYYKDHTKYWCRGYFRDSCNII AFTPNSTNRVALKDTGNQLIITISCLVKEDTGWYWCGIQRDLARDDMDFTQLIVTDNRED RANGFSSDPSGNRTRSCRASKAVQKAEGSRMSILIICILITSLGIIFIISHLSRGRRSQR NREVTGKSISRNPQASQGPSMVSITLARI >ENSMUSP00000142671.1 pep:known chromosome:GRCm38:3:105914136:105924042:1 gene:ENSMUSG00000074344.6 transcript:ENSMUST00000199977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmigd3 description:transmembrane and immunoglobulin domain containing 3 [Source:MGI Symbol;Acc:MGI:5604098] MEFLLLLSLALFSDAMVMDEKVKSGVELETASAVCVYDAYYKDHTKYWCRGYFRDSCNII AFTPNSTNRVALKDTGNQLIITISCLVKEDTGWYWCGIQRDLARDDMDFTQLIVTDNRED RANGFSSDPSGNRTRSCRASKAVQKAEGSRMSILIICILITSLGIIFIISHLSRGRRSQR NREVTGKSISRNPQASQGPSMVSITL >ENSMUSP00000023554.8 pep:known chromosome:GRCm38:16:35694062:35769356:-1 gene:ENSMUSG00000022848.8 transcript:ENSMUST00000023554.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dirc2 description:disrupted in renal carcinoma 2 (human) [Source:MGI Symbol;Acc:MGI:2387188] MGSGWSSEEEERQPLLGPGLGPAPGAARRGREATAVLPAAGPNPGRVYGRRWLVLLLFSL LAFAQGLVWNTWGPIQNSARQAYGFSGWDIALLVLWGPIGFLPCFAFMWLLDKRGLRVTV LLTSFLMVLGTGLRCIPVSDLALKKRLIHGGQILNGLAGPTVMNAAPFLSTTWFSADERA TATAIASMLSYLGGACAFLVGPLVVPAPNGTAPLLAAESSRAHIKDRIETVLYAEFGVVC LIFSATLAYFPPRPPLPPSVAAASQRLSYRRSFCRLLSNLRFLMIALAYAIPLGVFAGWS GVLDLILTPVHVSQVDAGWIGFWSIVGGCVVGIAMARFADFIRGMLKLILLLLFSGATLS STWFTLTCLNSITHLPLTTVTLYASCILLGVFLNSSVPIFFELFVETVYPVPEGITCGVV TFLSNMFMGVLLFFVTFYHTELSWFNWCLPGSCLLSLLLILCFRESYDRLYLDVVVSV >ENSMUSP00000120775.1 pep:known chromosome:GRCm38:16:35697548:35769330:-1 gene:ENSMUSG00000022848.8 transcript:ENSMUST00000149892.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dirc2 description:disrupted in renal carcinoma 2 (human) [Source:MGI Symbol;Acc:MGI:2387188] MGSGWSSEEEERQPLLGPGLGPAPGAARRGREATAVLPAAGPNPGRVYGRRWLVLLLFSL LAFAQGLVWNTWGPIQNSARQAYGFSGWDIALLVLWGPIGFLPCFAFMWLLDKRG >ENSMUSP00000023144.5 pep:known chromosome:GRCm38:16:10796326:10796886:-1 gene:ENSMUSG00000022501.5 transcript:ENSMUST00000023144.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prm1 description:protamine 1 [Source:MGI Symbol;Acc:MGI:97765] MARYRCCRSKSRSRCRRRRRRCRRRRRRCCRRRRRRCCRRRRSYTIRCKKY >ENSMUSP00000025800.8 pep:known chromosome:GRCm38:19:24294794:24555872:-1 gene:ENSMUSG00000024867.14 transcript:ENSMUST00000025800.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5k1b description:phosphatidylinositol-4-phosphate 5-kinase, type 1 beta [Source:MGI Symbol;Acc:MGI:107930] MSSTAENGDAVPGKQNEEKTYKKTASSAIKGAIQLGIGYTVGNLTSKPERDVLMQDFYVV ESVFLPSEGSNLTPAHHYPDFRFKTYAPLAFRYFRELFGIKPDDYLYSICSEPLIELSNP GASGSLFFLTSDDEFIIKTVQHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCMQSGG INIRIVVMNNVLPRAMRMHLTYDLKGSTYKRRASRKEREKPNPTFKDLDFLQDMHEGLYF DTETYNALMKTLQRDCRVLESFKIMDYSLLLGIHILDHSLKDKEEEPLQNVPDAKRPGMQ KVLYSTAMESIQGPGKSADGIIAENPDTMGGIPAKSHKGEKLLLFMGIIDILQSYRLMKK LEHSWKALVYDGDTVSVHRPSFYADRFLKFMNSRVFKKIQALKASPSKKRCNSIAALKAT SQEIVSSISQEWKDEKRDLLTEGQSFSSLDEEALGSRHRPDLVPSTPSLFEAASLATTIS SSSLYVGEHYPHDRTTLYSNSKGLPSSSTFTLEEGTIYLTAEPNTLDLQDDASVLDVYL >ENSMUSP00000108292.2 pep:known chromosome:GRCm38:19:24294794:24555842:-1 gene:ENSMUSG00000024867.14 transcript:ENSMUST00000112673.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5k1b description:phosphatidylinositol-4-phosphate 5-kinase, type 1 beta [Source:MGI Symbol;Acc:MGI:107930] MSSTAENGDAVPGKQNEEKTYKKTASSAIKGAIQLGIGYTVGNLTSKPERDVLMQDFYVV ESVFLPSEGSNLTPAHHYPDFRFKTYAPLAFRYFRELFGIKPDDYLYSICSEPLIELSNP GASGSLFFLTSDDEFIIKTVQHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCMQSGG INIRIVVMNNVLPRAMRMHLTYDLKGSTYKRRASRKEREKPNPTFKDLDFLQDMHEGLYF DTETYNALMKTLQRDCRVLESFKIMDYSLLLGIHILDHSLKDKEEEPLQNVPDAKRPGMQ KVLYSTAMESIQGPGKSADGIIAENPDTMGGIPAKSHKGEKLLLFMGIIDILQSYRLMKK LEHSWKALVYDGDTVSVHRPSFYADRFLKFMNSRVFKKIQALGSRHRPDLVPSTPSLFEA ASLATTISSSSLYVGEHYPHDRTTLYSNSKGLPSSSTFTLEEGTIYLTAEPNTLDLQDDA SVLDVYL >ENSMUSP00000099715.3 pep:known chromosome:GRCm38:2:79834453:80128939:-1 gene:ENSMUSG00000059173.19 transcript:ENSMUST00000102655.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1a description:phosphodiesterase 1A, calmodulin-dependent [Source:MGI Symbol;Acc:MGI:1201792] MGSTDTDIEELENATYKYLIGEQTEKMWQRLKGILRCLVKQLEKGDVNVVDLKKNIEYAA SVLEAVYIDETRRLLDTEDELSDIQTDSVPSEVRDWLASTFTRKMGMMKKKPEEKPKFRS IVHAVQAGIFVERMYRKNYHMVGLTYPAAVIVTLKEVDKWSFDVFALNEASGEHSLKFMI YELFTRYDLINRFKIPVSCLIAFAEALEVGYSKHKNPYHNLVHAADVTQTVHYIMLHTGI MHWLTELEILAMVFAAAIHDYEHTGTTNNFHIQTRSDVAILYNDRSVLENHHVSAAYRLM QEEEMNILVNLSKDDWRDLRNLVIEMVLATDMSGHFQQIKNIRNSLQQPEGIDRAKTMSL ILHAADISHPAKTWKLHYRWTMALMEEFFLQGDKEAELGLPFSPLCDRKSTMVAQSQIGF IDFIVEPTFSLLTDSTEKIVIPLIEEASKSQSSNYGASSSSTMIGFHVADSLRRSNTKGS VCDGSYAPDYSLSAVDLKSFKNNLVDIIQQNKERWKELAAQGELDLHKNSEELGNTEEKH ADTRP >ENSMUSP00000139327.1 pep:known chromosome:GRCm38:2:79834462:80128939:-1 gene:ENSMUSG00000059173.19 transcript:ENSMUST00000183775.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde1a description:phosphodiesterase 1A, calmodulin-dependent [Source:MGI Symbol;Acc:MGI:1201792] MGSTDTDIEELENATYKYLIGEQTEKMWQRLKGILRCLVKQLEKGDVNVVDLKKNIEYAA SVLEAVYIDETRRLLDTEDELSDIQTDSVPSEVRDWLASTFTRKMGMMKKKPEEKPKFRS IVHAVQAGIFVERMYRKNYHMVGLTYPAAVIVTLKEVDKWSFDVFALNEASGEHSLKFMI YELFTRYDLINRFKIPVSCLIAFAEALEVGYSKHKNPYHNLVHAADVTQTVHYIMLHTGI MHWLTELEILAMVFAAAIHDYEHTGTTNNFHIQTRSDVAILYNDRSVLENHHVSAAYRLM QEEEMNILVNLSKDDWRDLRNLVIEMVLATDMSGHFQQIKNIRNSLQQPEGIDRAKTMSL ILHAADISHPAKTWKLHYRWTMALMEEFFLQGDKEAELGLPFSPLCDRKSTMVAQSQIGF IDFIVEPTFSLLTDSTEKIVIPLIEEASKSQSSNYGASSSSTMIGFHVADSLRRSNTKGS VCDGSYAPDYSLSAVDLKSFKNNLVDIIQQNKERWKELAAQGELDLHKNSEELGNTEEKH ADTRP >ENSMUSP00000099713.1 pep:known chromosome:GRCm38:2:79836555:80129458:-1 gene:ENSMUSG00000059173.19 transcript:ENSMUST00000102653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1a description:phosphodiesterase 1A, calmodulin-dependent [Source:MGI Symbol;Acc:MGI:1201792] MGSTDTDIEELENATYKYLIGEQTEKMWQRLKGILRCLVKQLEKGDVNVVDLKKNIEYAA SVLEAVYIDETRRLLDTEDELSDIQTDSVPSEVRDWLASTFTRKMGMMKKKPEEKPKFRS IVHAVQAGIFVERMYRKNYHMVGLTYPAAVIVTLKEVDKWSFDVFALNEASGEHSLKFMI YELFTRYDLINRFKIPVSCLIAFAEALEVGYSKHKNPYHNLVHAADVTQTVHYIMLHTGI MHWLTELEILAMVFAAAIHDYEHTGTTNNFHIQTRSDVAILYNDRSVLENHHVSAAYRLM QEEEMNILVNLSKDDWRDLRNLVIEMVLATDMSGHFQQIKNIRNSLQQPEGIDRAKTMSL ILHAADISHPAKTWKLHYRWTMALMEEFFLQGDKEAELGLPFSPLCDRKSTMVAQSQIGF IDFIVEPTFSLLTDSTEKIVIPLIEEASKSQSSNYGASSSSTMIGFHVADSLRRSNTKGS VCDGSYAPDYSLSAVDLKSFKNNLVDIIQQNKERWKELAAQGELDLHKNSEELGNTEEKH ADTRP >ENSMUSP00000088260.4 pep:known chromosome:GRCm38:2:79859025:79908399:-1 gene:ENSMUSG00000059173.19 transcript:ENSMUST00000090756.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1a description:phosphodiesterase 1A, calmodulin-dependent [Source:MGI Symbol;Acc:MGI:1201792] MGMMKKKPEEKPKFRSIVHAVQAGIFVERMYRKNYHMVGLTYPAAVIVTLKEVDKWSFDV FALNEASGEHSLKFMIYELFTRYDLINRFKIPVSCLIAFAEALEVGYSKHKNPYHNLVHA ADVTQTVHYIMLHTGIMHWLTELEILAMVFAAAIHDYEHTGTTNNFHIQTRSDVAILYND RSVLENHHVSAAYRLMQEEEMNILVNLSKDDWRDLRNLVIEMVLATDMSGHFQQIKNIRN SLQQPEGIDRAKTMSLILHAADISHPAKTWKLHYRWTMALMEEFFLQGDKEAELGLPFSP LCDRKSTMVAQSQIGFIDFIVEPTFSLLTDSTEKIVIPLIEEASKSQSSNYGASSSSTMI GFHVADSLRRSNTKGSVCDGSYAPDYSLSAVDLKSFKNNLVDIIQQNKERWKELAAQGCC >ENSMUSP00000099712.3 pep:known chromosome:GRCm38:2:79859025:79908433:-1 gene:ENSMUSG00000059173.19 transcript:ENSMUST00000102652.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1a description:phosphodiesterase 1A, calmodulin-dependent [Source:MGI Symbol;Acc:MGI:1201792] MIFRRLLDTEDELSDIQTDSVPSEVRDWLASTFTRKMGMMKKKPEEKPKFRSIVHAVQAG IFVERMYRKNYHMVGLTYPAAVIVTLKEVDKWSFDVFALNEASGEHSLKFMIYELFTRYD LINRFKIPVSCLIAFAEALEVGYSKHKNPYHNLVHAADVTQTVHYIMLHTGIMHWLTELE ILAMVFAAAIHDYEHTGTTNNFHIQTRSDVAILYNDRSVLENHHVSAAYRLMQEEEMNIL VNLSKDDWRDLRNLVIEMVLATDMSGHFQQIKNIRNSLQQPEGIDRAKTMSLILHAADIS HPAKTWKLHYRWTMALMEEFFLQGDKEAELGLPFSPLCDRKSTMVAQSQIGFIDFIVEPT FSLLTDSTEKIVIPLIEEASKSQSSNYGASSSSTMIGFHVADSLRRSNTKGSVCDGSYAP DYSLSAVDLKSFKNNLVDIIQQNKERWKELAAQGCC >ENSMUSP00000099711.3 pep:known chromosome:GRCm38:2:79859025:79908433:-1 gene:ENSMUSG00000059173.19 transcript:ENSMUST00000102651.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1a description:phosphodiesterase 1A, calmodulin-dependent [Source:MGI Symbol;Acc:MGI:1201792] MIFRRLLDTEDELSDIQTDSVPSEVRDWLASTFTRKMGMMKKKPEEKPKFRSIVHAVQAG IFVERMYRKNYHMVGLTYPAAVIVTLKEVDKWSFDVFALNEASGEHSLKFMIYELFTRYD LINRFKIPVSCLIAFAEALEVGYSKHKNPYHNLVHAADVTQTVHYIMLHTGIMHWLTELE ILAMVFAAAIHDYEHTGTTNNFHIQTRSDVAILYNDRSVLENHHVSAAYRLMQEEEMNIL VNLSKDDWRDLRNLVIEMVLATDMSGHFQQIKNIRNSLQQPEGIDRAKTMSLILHAADIS HPAKTWKLHYRWTMALMEEFFLQGDKEAELGLPFSPLCDRKSTMVAQSQIGFIDFIVEPT FSLLTDSTEKIVIPLIEEASKSQSSNYGASSSSTMIGFHVADSLRRSNTKGSVCDGSYAP DYSLSAVDLKSFKNNLVDIIQQNKERWKELAAQEPKSILQEQRCC >ENSMUSP00000120188.1 pep:known chromosome:GRCm38:2:79865036:79927791:-1 gene:ENSMUSG00000059173.19 transcript:ENSMUST00000134739.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1a description:phosphodiesterase 1A, calmodulin-dependent [Source:MGI Symbol;Acc:MGI:1201792] XRLRCLVKQLEKGDVNVVDLKKNIEYAASVLEAVYIDETRRLLDTEDELSDIQTDSVPSE VRDWLASTFTRKMGMMKKKPEEKPKFRSIVHAVQAGIFVERMYRKNYHMVGLTYPAAVIV TLKEVDKWSFDVFALNEASGEHSLKFMIYELFTRYDLINRFKIPVSCLIAFAEALEVGYS KHKNPYHNLVHAADVTQTVHYIMLHTGIMHWLTELEILAMVFAAAIHDYEHTGTTNNFHI QTRSDVAILYNDRSVLENHHVSAAYRLMQEEEMNILVNLSKDDWRDLRNLVIEMVLATDM SGHFQQIKNIRNSLQQPEGIDRAKTMSLILHAADISHPAKTWKLHYRWTMALMEEFFLQG DKEAELGLPFSPLCDRKSTMVAQSQIGFIDFIVEPTFSLLTDSTEKIVIPLIEEASKSQS SNYGASRRSNTKGSVCDGSYAPDYSLSAVDLKSFKNNLVDIIQQNKERWKELAAQG >ENSMUSP00000099714.4 pep:known chromosome:GRCm38:2:79834454:80128834:-1 gene:ENSMUSG00000059173.19 transcript:ENSMUST00000102654.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1a description:phosphodiesterase 1A, calmodulin-dependent [Source:MGI Symbol;Acc:MGI:1201792] MGSTDTDIEELENATYKYLIGEQTEKMWQRLKGILRCLVKQLEKGDVNVVDLKKNIEYAA SVLEAVYIDETRRLLDTEDELSDIQTDSVPSEVRDWLASTFTRKMGMMKKKPEEKPKFRS IVHAVQAGIFVERMYRKNYHMVGLTYPAAVIVTLKEVDKWSFDVFALNEASGEHSLKFMI YELFTRYDLINRFKIPVSCLIAFAEALEVGYSKHKNPYHNLVHAADVTQTVHYIMLHTGI MHWLTELEILAMVFAAAIHDYEHTGTTNNFHIQTRSDVAILYNDRSVLENHHVSAAYRLM QEEEMNILVNLSKDDWRDLRNLVIEMVLATDMSGHFQQIKNIRNSLQQPEGIDRAKTMSL ILHAADISHPAKTWKLHYRWTMALMEEFFLQGDKEAELGLPFSPLCDRKSTMVAQSQIGF IDFIVEPTFSLLTDSTEKIVIPLIEEASKSQSSNYGASSSSTMIGFHVADSLRRSNTKGS VCDGSYAPDYSLSAVDLKSFKNNLVDIIQQNKERWKELAAQGELDLHKNSEELGNTEEKH ADTRP >ENSMUSP00000062356.1 pep:known chromosome:GRCm38:13:21658368:21659321:-1 gene:ENSMUSG00000049737.1 transcript:ENSMUST00000055615.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1361 description:olfactory receptor 1361 [Source:MGI Symbol;Acc:MGI:3031195] MWLQVMEKENTSSFEGFILVGFSDRPHLELILFVVVLSFYLLTLLGNMTIILLSALDSRL HTPMYFFLANLSFLDMCFTTGSIPQMLYNLWGPDKTISYVGCAIQLYFVLALGGVECVLL AVMAYDRYAAVCKPLHYTVIMHPRLCGQLASVAWLSGFGNSLIMAPQTLMLPRCGHRRVD HFLCEMPALIGMACVDTMALEALAFALAIFIILAPLILILISYGYIARAVFRIKSAAGRR KAFNTCSSHLIVVSLFYGTIIYMYLQPANTYSQDQGKFLTLFYTIVTPSVNPLIYTLRNK DVKEAVKKVLGKGSIEV >ENSMUSP00000026899.3 pep:known chromosome:GRCm38:11:120491840:120499187:1 gene:ENSMUSG00000025792.9 transcript:ENSMUST00000026899.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a10 description:solute carrier family 25 (mitochondrial carrier, dicarboxylate transporter), member 10 [Source:MGI Symbol;Acc:MGI:1353497] MAEARASRWYFGGLASCGAACCTHPLDLLKVHLQTQQEVKLRMTGMALQVVRTDGFLALY NGLSASLCRQMTYSLTRFAIYETMRDYMTKDSQGPLPFYNKVLLGGISGLTGGFVGTPAD LVNVRMQNDMKLPPSQRRNYSHALDGLYRVAREESLRKLFSGATMASSRGALVTVGQLSC YDQAKQLVLSTGYLSDNIFTHFVSSFIAGGCATFLCQPLDVLKTRLMNSKGEYQGVFHCA METAKLGPQAFFKGLFPAGIRLIPHTVLTFMFLEQLRKHFGIKVPTT >ENSMUSP00000096140.2 pep:known chromosome:GRCm38:3:145118589:145153895:1 gene:ENSMUSG00000028256.16 transcript:ENSMUST00000098538.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2l description:outer dense fiber of sperm tails 2-like [Source:MGI Symbol;Acc:MGI:1098600] MEMPTSDGSLSEGLISYLIRERGSAPQCAPEKHLSRLKQDVANTKMELKATLKEAQLASC SVELLLPLFKNTVEGISLENANLSASSLKKIFEQNDILSKELDTFNRVKLALEHLIKQTD YEQIGDSLLCLLKDLSDNESENRNLEEKVLEKETYIRELSCLFQNEKESALKANRLSQSV KVVHDRLQRQIQKREAENEKLKEHVQSLETQIAKWNLQVKMNKQEAVAIKEASRQKAVAL KKASKVYRQRLRHFTGDIERLASQVRDQEAKLSETVSASSDWKSQFEKIAIEKTELEVQI ETMKKQIANLLEDLRKMETHGKNSCEEILRKLHSLEDENEALNIENVKLKGTLDALKDEV ASVENELVELQEVEKRQKTLVEGYRTQVQKLQEAAEMVKSRCKNLLHENNLIITNKNKKL EKMRGQVESNLKQVEQARSSFTSAEQRLQECQEKLQRCKEKCAEQALTIRELQGQVDGNQ SLLTKLSLEEENHLIQLKCENLKEKLEQMDAENKELEKKLADQEECLKHSDLELKEKAAE YTALSRQLEAALEEGRQKVSEEVEKMSSRERALQIKILDLEAELRKKNEEQNQLVDKMNT KTQHQAICLKEIQHSLEKSETRNESIKNYLQFLQISYVTMFR >ENSMUSP00000096141.2 pep:known chromosome:GRCm38:3:145118600:145153885:1 gene:ENSMUSG00000028256.16 transcript:ENSMUST00000098539.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2l description:outer dense fiber of sperm tails 2-like [Source:MGI Symbol;Acc:MGI:1098600] MEMPTSDGSLSEGLISYLIRERGSAPQCAPEKHLSRLKQDVANTKMELKATLKEAQLASC SVELLLPLFKNTVEGISLENANLSASSLKKIFEQNDILSKELDTFNRVKLALEHLIKQTD YEQIGDSLLCLLKDLSDNESENRNLEEKVLEKETYIRELSCLFQNEKESALKANRLSQSV KVVHDRLQRQIQKREAENEKLKEHVQSLETQIAKWNLQVKMNKQEAVAIKEASRQKAVAL KKASKVYRQRLRHFTGDIERLASQVRDQEAKLSETVSASSDWKSQFEKIAIEKTELEVQI ETMKKQIANLLEDLRKMETHGKNSCEEILRKLHSLEDENEALNIENVKLKGTLDALKDEV ASVENELVELQEVEKRQKTLVEGYRTQVQKLQEAAEMVKSRCKNLLHENNLIITNKNKKL EKVDGNQSLLTKLSLEEENHLIQLKCENLKEKLEQMDAENKELEKKLADQEECLKHSDLE LKEKAAEYTALSRQLEAALEEGRQKVSEEVEKMSSRERALQIKILDLEAELRKKNEEQNQ LVDKMNTKTQHQAICLKEIQHSLEKSETRNESIKNYLQFLQISYVTMFR >ENSMUSP00000029920.8 pep:known chromosome:GRCm38:3:145118620:145153889:1 gene:ENSMUSG00000028256.16 transcript:ENSMUST00000029920.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2l description:outer dense fiber of sperm tails 2-like [Source:MGI Symbol;Acc:MGI:1098600] MEMPTSDGSLSEGLISYLIRERGSAPQCAPEKHLSRLKQDVANTKMELKATLKEAQLASC SVELLLPLFKNTVEGISLENIGDSLLCLLKDLSDNESENRNLEEKVLEKETYIRELSCLF QNEKESALKANRLSQSVKVVHDRLQRQIQKREAENEKLKEHVQSLETQIAKWNLQVKMNK QEAVAIKEASRQKAVALKKASKVYRQRLRHFTGDIERLASQVRDQEAKLSETVSASSDWK SQFEKIAIEKTELEVQIETMKKQIANLLEDLRKMETHGKNSCEEILRKLHSLEDENEALN IENVKLKGTLDALKDEVASVENELVELQEVEKRQKTLVEGYRTQVQKLQEAAEMVKSRCK NLLHENNLIITNKNKKLEKVDGNQSLLTKLSLEEENHLIQLKCENLKEKLEQMDAENKEL EKKLADQEECLKHSDLELKEKAAEYTALSRQLEAALEEGRQKVSEEVEKMSSRERALQIK ILDLEAELRKKNEEQNQLVDKMNTKTQHQAICLKEIQHSLEKSETRNESIKNYLQFLQIS YVTMFR >ENSMUSP00000143025.1 pep:known chromosome:GRCm38:3:145118621:145129006:1 gene:ENSMUSG00000028256.16 transcript:ENSMUST00000196552.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Odf2l description:outer dense fiber of sperm tails 2-like [Source:MGI Symbol;Acc:MGI:1098600] MEMPTSDGSLSEGLISYLIRERGSAPQCAPEKHLSRLIFLHPV >ENSMUSP00000142780.1 pep:known chromosome:GRCm38:3:145118974:145126141:1 gene:ENSMUSG00000028256.16 transcript:ENSMUST00000199124.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2l description:outer dense fiber of sperm tails 2-like [Source:MGI Symbol;Acc:MGI:1098600] MEMPTSDGSLSEGLISYLIRERGSAPQCAPEKHLSRLKQDVANTKMELKATL >ENSMUSP00000143513.1 pep:known chromosome:GRCm38:3:145120646:145128998:1 gene:ENSMUSG00000028256.16 transcript:ENSMUST00000196857.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2l description:outer dense fiber of sperm tails 2-like [Source:MGI Symbol;Acc:MGI:1098600] MEMPTSDGSLSEGLISYLIRERGSAPQCAPEKHLSRLKQDVANTKMELKATLKEAQLASC SVELLLPLFKNTVEGISLENANLSASSLKKIFEQNDILSKELDTFNRVKLALEHLIKQTD YEQIGDSLLCLLKDLSDNESENRNLEEKVLEKETYIRELSCLFQNEKESALKANRLSQSV KVVHDRLQRQIQKREAENEKLKE >ENSMUSP00000142571.1 pep:known chromosome:GRCm38:3:145127857:145144420:1 gene:ENSMUSG00000028256.16 transcript:ENSMUST00000198764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2l description:outer dense fiber of sperm tails 2-like [Source:MGI Symbol;Acc:MGI:1098600] XKDLSDNESENRNLEEKVLEKETYIRELSCLFQNEKESALKANRLSQSVKVVHDRLQRQI QKREAENEKLKEHVQSLETQIAKWNLQVKMNKQEAVAIKEASRQKAVALKKASKVYRQRL RHFTGDIERLASQVRDQEAKLSETVSASSDWKSQFEKIAIEKTELEVQIETMKKQIANLL EDLRKMETHGKNSCEEILRKLHSLEDENEALNIENVKLKVQKLQEAAEMVKSRCKNLLHE NNLIITNKNKKLEKV >ENSMUSP00000142932.1 pep:known chromosome:GRCm38:3:145139815:145149029:1 gene:ENSMUSG00000028256.16 transcript:ENSMUST00000195926.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2l description:outer dense fiber of sperm tails 2-like [Source:MGI Symbol;Acc:MGI:1098600] XSVENELVELQEVEKRQKTLVEGYRTQVQKLQEAAEMVKSRCKNLLHENNLIITNKNKKL EKTVSCTSPLLLGNAVKAQVKATKGRNRVDGNQSLLTKLSLEEENHLIQLKCENLKEKLE QMDAENKELEKKLADQEECLKHSDLELKEKAAEYT >ENSMUSP00000143183.1 pep:known chromosome:GRCm38:3:145149018:145153626:1 gene:ENSMUSG00000028256.16 transcript:ENSMUST00000200353.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Odf2l description:outer dense fiber of sperm tails 2-like [Source:MGI Symbol;Acc:MGI:1098600] AEYTALSRQLEAALEEGRQKYWN >ENSMUSP00000101798.2 pep:known chromosome:GRCm38:3:145118589:145153915:1 gene:ENSMUSG00000028256.16 transcript:ENSMUST00000106192.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2l description:outer dense fiber of sperm tails 2-like [Source:MGI Symbol;Acc:MGI:1098600] MEMPTSDGSLSEGLISYLIRERGSAPQCAPEKHLSRLKQDVANTKMELKATLKEAQLASC SVELLLPLFKNTVEGISLENANLSASSLKKIFEQNDILSKELDTFNRVKLALEHLIKQTD YEQIGDSLLCLLKDLSDNESENRNLEEKVLEKETYIRELSCLFQNEKESALKANRLSQSV KVVHDRLQRQIQKREAENEKLKEHVQSLETQIAKWNLQVKMNKQEAVAIKEASRQKAVAL KKASKVYRQRLRHFTGDIERLASQVRDQEAKLSETVSASSDWKSQFEKIAIEKTELEVQI ETMKKQIANLLEDLRKMETHGKNSCEEILRKLHSLEDENEALNIENVKLKGTLDALKDEV ASVENELVELQEVEKRQKTLVEGYRTQVQKLQEAAEMVKSRCKNLLHENNLIITNKNKKL EKVDGNQSLLTKLSLEEENHLIQLKCENLKEKLEQMDAENKELEKKLADQEECLKHSDLE LKEKAAEYTALSRQLEAALEEGRQKVSEEVEKMSSRERALQIKILDLEAELRKKNEEQNQ LVDKMNTKTQHQAICLKEIQHSLEKSETRNESIKNYLQFLQISYVTMFR >ENSMUSP00000041742.5 pep:known chromosome:GRCm38:16:13671929:13678405:1 gene:ENSMUSG00000079737.3 transcript:ENSMUST00000035426.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110001I22Rik description:RIKEN cDNA 3110001I22 gene [Source:MGI Symbol;Acc:MGI:1913848] MLHIPVILGHRPGSVYRRDKMWPNRSYDEVPQNVSSDESTLRKTRGDLSASQQHECGDEK DDFRRNCSSSSHYSEQQSPHKGASCFSRKSGIDQKNSPHSRSGSSGSHSPKQSKLHSSHG SELRKSEHAHSSYKRHSEEKPEGGKKRAGQSLKTSRDTHPKSSSAVPSSESLNKLKRLDE KGRPKAASRQAVEKPMMADESDLPKISEFEVGFSELMDQPQEPGSNKKDHTEFIDDQVTN RRKAIVSKTKEIEQAYYQDCETFGMVVKMLVEKDASLERPIQFALRQNLHELSERCVEEL RQFIADYDAAAS >ENSMUSP00000074361.3 pep:known chromosome:GRCm38:13:21674001:21674992:-1 gene:ENSMUSG00000108534.1 transcript:ENSMUST00000079135.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1360 description:olfactory receptor 1360 [Source:MGI Symbol;Acc:MGI:3031194] MSVANESISREFILLGFSDRPWLELPLFVVFLVSYILTIFGNMMIILVSRLDSKLHTPMY FFLTNLSLLDLCYTTSTVPQMLINICSTRKVISYGGCVAQLFIFLALGCTECFLLGVMSF DRFVAICRPLHYSVIMHQRRCLQLAAACWISGFSNSVLQSTWTLQMPLCGHKEVDHFFCE VPALLKLSCVDTTANEAELFFISVLFLLIPVTLILISYAFIVQAVLRIRSAEGRRKAFGT CGSHLIVVVLFYGTAIYMYLQPPSPTSKDRGKMVSLFYGIITPMLNPLIYTLRNKEVKGA FKRLVTRIILSRK >ENSMUSP00000054309.7 pep:known chromosome:GRCm38:19:4099998:4113965:1 gene:ENSMUSG00000024851.13 transcript:ENSMUST00000049658.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm1 description:phosphatidylinositol transfer protein, membrane-associated 1 [Source:MGI Symbol;Acc:MGI:1197524] MLIKEYHILLPMSLDEYQVAQLYMIQKKSREESSGEGSGVEILANRPYTDGPGGNGQYTH KVYHVGSHIPGWFRALLPKAALQVEEESWNAYPYTRTRYTCPFVEKFSIEIETYYLPDGG QQPNVFNLSGAERRQRIVDTIDIVRDAVAPGEYKAEEDPRLYRSAKTGRGPLADDWARTA AQTGPLMCAYKLCKVEFRYWGMQAKIEQFIHDVGLRRVMLRAHRQAWCWQDEWIELSMAD IRALEEETARMLAQRMAKCNTGSEGPEAQTPGKSSTEARPGTSTAGTPDGPEAPPGPDAS PDASFGKQWSSSSRSSYSSQHGGGVSPQSLSEWRMQNIARDSENSSEEEFFDAHEGFSDS DEVFPKEMTKWNSNDFIDAFASPTEVEGVPDPTVMATKGIEDGARAPRDSEGLDGAGDLV VEACSVHALFLILHSGSILDSGPGDTNSKQADVQTLSTAFEAVTRVHFPEALGHVALRLV PCPPICAAAYALVSNLSPYSHDGDSLSRSQDHIPLAALPLLATSSSRYQGAVATVIARTN QAYAAFLRSSEGTGFCGQVVLIGDGVGGILGFDALCHSASAGPGSRGSSRRGSMNNEMLS PEVGPVRDPLADGVEVLGRASPEPSALPAQRTFSDMANPDPDGSQNSLQVASTATSSGEP RRASTASCPPASSEAPDGPTNAARLDFKVSGFFLFGSPLGLVLALRKTVMPALEVAQLRP ACEQIYNLFHAADPCASRLEPLLAPKFQAIAPLAVPRYQKFPLGDGSSLLLADTLQTHSS LFLEELEMMVPSTPTSASGAFWKGSELGNEPASQTAAPSTTSEVVKILDRWWGNKRIDYS LYCPEALTAFPTVTLPHLFHASYWESADVVAFILRQVIEKERPQLTECEEPSIYSPAFPR EKWQRKRTQVKIRNVTSNHRASDTVVCEGRPQVLNGRFMYGPLDVVTLTGEKVDVYVMTQ PLSGKWIHFGTEVTNSSGRLTFPVPSERALGIGVYPVRMVVRGDHTYAECCLTVVSRGTE AVVFSIDGSFTASVSIMGSDPKVRAGAVDVVRHWQDSGYLIVYVTGRPDMQKHRVVAWLS QHNFPHGVVSFCDGLTHDPLRQKAMFLQSLVQEVELNIVAGYGSPKDVAVYAALGLSPSQ TYIVGRAVRKLQAQCQFLSDGYVAHLGQLEAGSHSHAPSGPPRAALAKSSYAVAAPVDFL RKQSQLLRSRGPSQVDREGPGTPPTTLARGKTRSISLKLDSEE >ENSMUSP00000120563.1 pep:known chromosome:GRCm38:19:4100269:4104929:1 gene:ENSMUSG00000024851.13 transcript:ENSMUST00000131265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm1 description:phosphatidylinositol transfer protein, membrane-associated 1 [Source:MGI Symbol;Acc:MGI:1197524] MLIKEYHILLPMSLDEYQVAQLYMIQKKSREESSGEGSGVEILANRPYTDGPGGNGQYTH KVYHVGSHIPGWFRALLPKAALQVEEESWNAYPYTRTRYTCPFVEKFSIEIETYYLPDGG QQPNVFNLSGAERRQRIVDTIDIVRDAVAPGEYKAEEDPRLYRSAKTGRGPLADDWARTA AQTGPLMCAYKLCKVEFRYWGMQAKIEQFIHDVGLRRVMLRAHRQAWCWQDEWIELSMAD IRALEEETARML >ENSMUSP00000097599.3 pep:known chromosome:GRCm38:19:4100622:4113965:1 gene:ENSMUSG00000024851.13 transcript:ENSMUST00000100022.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm1 description:phosphatidylinositol transfer protein, membrane-associated 1 [Source:MGI Symbol;Acc:MGI:1197524] MLIKEYHILLPMSLDEYQVAQLYMIQKKSREESSGEGSGVEILANRPYTDGPGGNGQYTH KVYHVGSHIPGWFRALLPKAALQVEEESWNAYPYTRTRYTCPFVEKFSIEIETYYLPDGG QQPNVFNLSGAERRQRIVDTIDIVRDAVAPGEYKAEEDPRLYRSAKTGRGPLADDWARTA AQTGPLMCAYKLCKVEFRYWGMQAKIEQFIHDVGLRRVMLRAHRQAWCWQDEWIELSMAD IRALEEETARMLAQRMAKCNTGSEGPEAQTPGKSSTEARPGTSTAGTPDGPEAPPGPDAS PDASFGKQWSSSSRSSYSSQHGGGVSPQSLSEWRMQNIARDSENSSEEEFFDAHEGFSDS DEVFPKEMTKWNSNDFIDAFASPTEVEGVPDPTVMATKGIEDGARAPRDSEGLDGAGDLV VEACSVHALFLILHSGSILDSGPGDTNSKQADVQTLSTAFEAVTRVHFPEALGHVALRLV PCPPICAAAYALVSNLSPYSHDGDSLSRSQDHIPLAALPLLATSSSRYQGAVATVIARTN QAYAAFLRSSEGTGFCGQVVLIGDGVGGILGFDALCHSASAGPGSRGSSRRGSMNNEMLS PEVGPVRDPLADGVEVLGRASPEPSALPAQRTFSDMANPDPDGSQNSLQVASTATSSGEP RRASTASCPPASSEAPDGPTNAARLDFKVSGFFLFGSPLGLVLALRKTVMPALEVAQLRP ACEQIYNLFHAADPCASRLEPLLAPKFQAIAPLAVPRYQKFPLGDGSSLLLADTLQTHSS LFLEELEMMVPSTPTSASGAFWKGSELGNEPASQTAAPSTTSEVVKILDRWWGNKRIDYS LYCPEALTAFPTVTLPHLFHASYWESADVVAFILRQVIEKERPQLTECEEPSIYSPAFPR EKWQRKRTQVKIRNVTSNHRASDTVVCEGRPQVLNGRFMYGPLDVVTLTGEKVDVYVMTQ PLSGKWIHFGTEVTNSSGRLTFPVPSERALGIGVYPVRMVVRGDHTYAECCLTVVSRGTE AVVFSIDGSFTASVSIMGSDPKVRAGAVDVVRHWQDSGYLIVYVTGRPDMQKHRVVAWLS QHNFPHGVVSFCDGLTHDPLRQKAMFLQSLVQEVELNIVAGYGSPKDVAVYAALGLSPSQ TYIVGRAVRKLQAQCQFLSDGYVAHLGQLEAGSHSHAPSGPPRAALAKSSYAVAAPVDFL RKQSQLLRSRGPSQVDREGPGTPPTTLARGKTRSISLKLDSEE >ENSMUSP00000023365.6 pep:known chromosome:GRCm38:16:13671858:13703612:1 gene:ENSMUSG00000022684.14 transcript:ENSMUST00000023365.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bfar description:bifunctional apoptosis regulator [Source:MGI Symbol;Acc:MGI:1914368] MEEPQKNDLSMREQEEEHPVRSSGPQISVSEFSCHCCYDTLVNPTTLNCGHSFCRHCLAL WWMSSKKTECPECREKWEGFPKVNILLRDAIEKLFPDAIRMRVEDIQQNNDVVQSLAAFQ KYGNDQNPLAPSTGRVNPQRGGGFFSGVLTALTGVAVILLVYHWRSRESEHGLLVHKAVD KWTTEEVVLWLEQLGPWASLYRDRFLSERVNGRLLLTLTEEEFSRAPYTIENSSHRRVIL TELERVRALGVKPPQNLWEYKAVNPGRSLFLLYALKSSPRLGLLYLYLFDYTDCFLPFIH TICPLQENSSGEDIFTKLLDLREPTWKQWREFLVKYSFLPYQLIAEFAWDWLEVHYWTSR FLIVNAVLLSVLELFSFWRIWSRSELKTVPQRMWSHFWKVSTQGLFMAMFWPLIPQFVCN CLFYWALYFNPIINIDLVVKEVRRLETQVL >ENSMUSP00000115585.1 pep:known chromosome:GRCm38:16:13671950:13699289:1 gene:ENSMUSG00000022684.14 transcript:ENSMUST00000127973.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bfar description:bifunctional apoptosis regulator [Source:MGI Symbol;Acc:MGI:1914368] MEEPQKNDLSMREQEEEHPVRSSGPQISVSEFSCHCCYDTLVNPTTLNCGHSFCRHCLAL WWMSSKKTECPECREKWEGFPKVNILLRDAIEKLFPDAIRMRVEDIQQNNDVVQSLAAFQ KYGNDQNPLAPSTGRVNPQRGGGFFSGVLTALTGVAVAFNFDRRRVFQGTLYHREQ >ENSMUSP00000063371.7 pep:known chromosome:GRCm38:16:13672020:13703602:1 gene:ENSMUSG00000022684.14 transcript:ENSMUST00000069281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bfar description:bifunctional apoptosis regulator [Source:MGI Symbol;Acc:MGI:1914368] MEEPQKNDLSMREQEEEHPVRSSGPQISVSEFSCHCCYDTLVNPTTLNCGHSFCRHCLAL WWMSSKKTECPECREKWEGFPKVNILLRLLLTLTEEEFSRAPYTIENSSHRRVILTELER VRALGVKPPQNLWEYKAVNPGRSLFLLYALKSSPRLGLLYLYLFDYTDCFLPFIHTICPL QENSSGEDIFTKLLDLREPTWKQWREFLVKYSFLPYQLIAEFAWDWLEVHYWTSRFLIVN AVLLSVLELFSFWRIWSRSELKTVPQRMWSHFWKVSTQGLFMAMFWPLIPQFVCNCLFYW ALYFNPIINIDLVVKEVRRLETQVL >ENSMUSP00000042676.7 pep:known chromosome:GRCm38:16:10835059:10843238:1 gene:ENSMUSG00000037991.9 transcript:ENSMUST00000037913.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmi2 description:RMI2, RecQ mediated genome instability 2, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685383] MAAAASESLSSGGPGAVRLPRLPPLKVLAGQLRRHAEGGPGAWRLSRAAVGRAPLELVAV WMQGTVLAAEGGQARLRDSSGAFSVRGLERVPRGRPCLLPGKYVMVMGVVQACSPEPCLQ AVKMTDLSDNPVHESMWELEVEDLHRNIP >ENSMUSP00000137986.1 pep:known chromosome:GRCm38:16:10835068:10892966:1 gene:ENSMUSG00000037991.9 transcript:ENSMUST00000181721.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rmi2 description:RMI2, RecQ mediated genome instability 2, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685383] MAAAASESLSSGGPGAVRLPRLPPLKVLAGQLRRHAEGGPGAWRLSRAAVGRAPLELVAV WMQGTVLAAEGGQARLRDSSGAFSVRGLERVPRGRPCLLPGFQNLKETTQLKRTLLPASH NMLDLTLLPASYSMLELTYLHHAAC >ENSMUSP00000061571.2 pep:known chromosome:GRCm38:3:88069410:88078304:-1 gene:ENSMUSG00000051036.12 transcript:ENSMUST00000050258.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc24 description:tetratricopeptide repeat domain 24 [Source:MGI Symbol;Acc:MGI:2443841] MLSSRQYGLSDVLRALEESRKLADADRSTDQGLLGPFYNDLGMGYFQLQLFPLAVEAFLQ ALPLCRQPSEQATVLQNLGMTHNVLGNYWEAQEFHQKAASLHGSVGQRWEQGRSFSGLAF SLSQLGDHRAAWDSYLHALQAAQDTGDVKGQWQACEGLGAAAARLGQHDQALKYYKEALA LCQHEPSSVRERLVAKLADAMRTFVAQEKIAQARFLPSAPGKLQTSRKAKTSARVQSSAE DAQESQWEGEASEGGHEKKEMEGLVNTATVLGPQRQNRATTHLPSGGPSPSGEEYPFIIA PKKLRVSRSSTWAKEALGRNFQRTRIQSGLCSIM >ENSMUSP00000066531.5 pep:known chromosome:GRCm38:3:88069813:88072060:-1 gene:ENSMUSG00000051036.12 transcript:ENSMUST00000064550.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc24 description:tetratricopeptide repeat domain 24 [Source:MGI Symbol;Acc:MGI:2443841] MATGWGWCSKKTPGAVSLPSAPGKLQTSRKAKTSARVQSSAEDAQESQWEGEASEGGHEK KEMEGLVNTATVLGPQRQNRATTHLPSGGPSPSGEEYPFIIAPKKLRVSRSSTWAKEALG RNFQRTRIQSGLCSIM >ENSMUSP00000124969.1 pep:known chromosome:GRCm38:16:45535309:45607001:1 gene:ENSMUSG00000033210.16 transcript:ENSMUST00000159945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9c1 description:solute carrier family 9, subfamily C (Na+-transporting carboxylic acid decarboxylase), member 1 [Source:MGI Symbol;Acc:MGI:2685456] MEMEEISENLTASHSIKLTNMWLELLKSVFLSTPQDLPEIILILSLICTVGAFLNMHLKD FPIPLPVILFLIGCCFEILSFASTQIQIYADAIQWMDPDIFFGIFTPVIIFNVAFDMDIY MLQKLFWQILVITIPGFLINYTLILWYLQSVNKLSLKTVPWLLFSAVLISSDPMLTSASI RDLGLSRSLTNLINGESLLTSVLSLVIYSGVVHIRFKSKSVNHTLAHKVMSTAWSYIVES FITGIVFTKVIQLWMATIFGDDVNHITLIFSVLYLIFYVCELVGMSGIFTLATIGLFLNS TSFKPGVEAFLLEFWNCLSFIGFLMVFTFIGLLIPAHTYLHISFSDVYYSLNIYFTLIVL RLLVFLLMSPILSRLGHGFSWRWAFIMVWSEMKGTPNINMALLLAYSDISLGSERERSQI LFHGVSVCVITLIVNRFILPMAVTKLGLRDVTSTKYKSVYYTFQHFQELTKSTAMALKFD KDLANADWNMVDNAIILQNPYAMNQEEITEHQKVKCPDCNKEIDETLNIEAMELTNRRLL SAQIASYQRQYRNEVLSQSAVQVLVGAAGSFGEKKGEYMSPENIKNFSESKKLLSFLRKL LLNWVYNTKKDKGVPSRYMFLHACHRIVFTNEFEYTGYLVVLMSTYPMIICWISRLKDIY DNEIKCANYYFLAFYILEALLKVAAMRKEFFSHTWLLFELGITLVGVLDIILIETDSISY NFDLTETVVFMNVIRLLRILRILKLVTPKLLQIIDKRMSQQISFRYSILKGYVQGEMDVL NIIDQIASSKQTKQILLKRVMRNMEHAMKELGYLEYDHPEIAVTMKTKEEINVMLNMARE IVKAFRSKGIIHKVEGTEINKLIMAKKIQVLDLQSVIQPFNVEEAPCNIPWLSEDPEAIT FIQEKAKVVTFDCGNNIFEEGDEPEGIYVIISGMVKLKRSKPHLEMERVSAESEIKIHPL PHTEYLLSGEIIGELNCLTKERMQYSATCKTVVETYFIPISHLYEGFEKRCPNMKHKMWQ KIGLAITAQKIREHLSFEDWNYKLQLKLCNAFIRDIPKSMKTDIYDETVTHVVLIHGSAE DCQLRKIYKAPFLIPVTCHQIQGMEDFTKVMIIQTSIAVRKFRWNVRKYIPPRRISMKPD SERESFETLDETSEEDNGKKENQENEELIEENINI >ENSMUSP00000137925.1 pep:known chromosome:GRCm38:16:45539671:45606886:1 gene:ENSMUSG00000033210.16 transcript:ENSMUST00000162774.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc9c1 description:solute carrier family 9, subfamily C (Na+-transporting carboxylic acid decarboxylase), member 1 [Source:MGI Symbol;Acc:MGI:2685456] XFLSTPQDLPEIILILSLICTVGAFLNMHLKDFPIPLPVILFLIGCCFEILSFASTQIQI YADAIQWMDPDIFFGIFTPVIIFNVAFDMDIYMLQKLFWQILVITIPGFLINYTLILWYL QSVNKLSLKTVPWLLFSAVLISSDPMLTSASIRDLDSLGDSNP >ENSMUSP00000137721.1 pep:known chromosome:GRCm38:16:45539671:45606886:1 gene:ENSMUSG00000033210.16 transcript:ENSMUST00000162151.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc9c1 description:solute carrier family 9, subfamily C (Na+-transporting carboxylic acid decarboxylase), member 1 [Source:MGI Symbol;Acc:MGI:2685456] XFLSTPQDLPEIILILSLICTVGAFLNMHLKDFPIPLPVILFLIGCCFEILSFASTQIQI YADAIQWMDPDIFFGIFTPVIIFNVAFDMDIYMLQKLFWQILVITIPGFLINYTLILWYL QSVNKLSLKTVPWLLFSAVLISSDPMLTSASIRDLGLSRSLTNLINGESLLTSVLSLVIY SGVVHIRFKSKSVNHTLAHKVMSTAWSYIVESFITGIVFTKVIQLWMATIFGDDVNHITL IFSVLYLIFYVCELVGMSGIFTLATIGLFLNSTSFKPGVEAFLLEFWNCLSFIGFLMVFT FIGLLIPAHTYLHISFSDVYYSLNIYFTLIVLRLLVFLLMSPILSRLGHGFSWRWAFIMV WSEMKGTPNINMALLLAYSDISLGSERERSQILFHGVSVCVITLIVNRFILPMAVTKLGL RDVTSTKYKSVYYTFQHFQELTKSTAMALKFDKDLANADWNMVDNAIILQNPYAMNQEEI TEHQKVKCPDCNKEIDETLNIEAMELTNRRLLSAQIIYES >ENSMUSP00000129804.2 pep:known chromosome:GRCm38:18:3122492:3123412:-1 gene:ENSMUSG00000091539.2 transcript:ENSMUST00000165255.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r238 description:vomeronasal 1 receptor, 238 [Source:MGI Symbol;Acc:MGI:3852494] MKMDPGNFAIETFLFCQITVGMFGNSSILFYYFILIFTEKHLTPKVLIIEHLTFANYLTV ILRGFPQTMSYFGFKNFLDDMECKLIVYISRITRGVSLYAMCLVSCFQAITISPNNSRWI KLKYRATKYIGPSCSVSWLVHLLLNILTPLRESGTNYKKNATNRLSYGYCSLFASDSVAT TLYISLVCFSDVFCLGLMACSSISMVSILYRHKRQVKHNHSAQHFLKVSPEDRATQTILI LLCTFVISYSFSSIVAIIRTYLNYPVIWGVNIFTSLEIFFPMFCPFVLITNMRSSSNLFL PCLGKR >ENSMUSP00000056040.7 pep:known chromosome:GRCm38:16:96592079:97170752:-1 gene:ENSMUSG00000050272.9 transcript:ENSMUST00000056102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dscam description:Down syndrome cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1196281] MWILALSLFQSFANVFSEEPHSSLYFVNASLQEVVFASTSGTLVPCPAAGIPPVTLRWYL ATGEEIYDVPGIRHVHPNGTLQIFPFPPSSFSTLIHDNTYYCTAENPSGKIRSQDVHIKA VLREPYTVRVEDQKTMRGNVAVFKCIIPSSVEAYVTVVSWEKDTVSLVSGSRFLITSTGA LYIKDVQNEDGLYNYRCITRHRYTGETRQSNSARLFVSDPANSAPSILDGFDHRKAMAGQ RVELPCKALGHPEPDYRWLKDNMPLELSGRFQKTVTGLLIENSRPSDSGSYVCEVSNRYG TAKVIGRLYVKQPLKATISPRKVKSSVGSQVSLSCSVTGNEDQELSWYRNGEILNPGKNV RITGLNHANLIMDHMVKSDGGAYQCFVRKDKLSAQDYVQVVLEDGTPKIISAFSEKVVSP AEPVSLVCNVKGTPLPTVTWTLDDDPILKGSGHRISQMITSEGNVVSYLNISSSQVRDGG VYRCTANNSAGVVLYQARINVRGPASIRPMKNITAIAGRDTYIHCRVIGYPYYSIKWYKN ANLLPFNHRQVAFENNGTLKLSDVQKEVDEGEYTCNVLVQPQLSTSQSVHVTVKVPPFIQ PFEFPRFSIGQRVFIPCVVVSGDLPITITWQKDGRPIPASLGVTIDNIDFTSSLRISNLS LMHNGNYTCIARNEAAAVEHQSQLIVRVPPKFVVQPRDQDGIYGKAVILNCSAEGYPVPT IVWKFSKGAGVPQFQPIALNGRIQVLSNGSLLIKHVVEEDSGYYLCKVSNDVGADVSKSM YLTVKIPAMITSYPNTTLATQGQRKEMSCTAHGEKPIIVRWEKEDRIINPEMARYLVSTK EVGEEVISTLQILPTVREDSGFFSCHAINSYGEDRGIIQLTVQEPPDPPEIEIKDVKART ITLRWTMGFDGNSPITGYDIECKNKSDSWDSAQRTKDVSPQLNSATIIDIHPSSTYSIRM YAKNRIGKSEPSNEITITADEAAPDGPPQEVHLEPTSSQSIRVTWKAPKKHLQNGIIRGY QIGYREYSTGGNFQFNIISIDTTGDSEVYTLDNLNKFTQYGLVVQACNRAGTGPSSQEII TTTLEDVPSYPPENVQAIATSPESISISWSTLSKEALNGILQGFRVIYWANLIDGELGEI KNVTTTQPSLELDGLEKYTNYSIQVLAFTRAGDGVRSEQIFTRTKEDVPGPPAGVKAAAA SASMVFVSWLPPLKLNGIIRKYTVFCSHPYPTVISEFEASPDSFSYRIPNLSRNRQYSVW VVAVTSAGRGNSSEIITVEPLAKAPARILTFSGTVTTPWMKDIVLPCKAVGDPSPAVKWM KDSNGTPSLVTIDGRRSIFSNGSFIIRTVKAEDSGYYSCVANNNWGSDEIILNLQVQVPP DQPRLTVSKTTSSSITLSWLPGDNGGSSIRGYILQYSEDNSEQWGSFPISPSERSYRLEN LKCGTWYKFTLTAQNGVGPGRISEIIEAKTLGKEPQFSKEQELFASINTTRVRLNLIGWN DGGCPITSFTLEYRPFGTTVWTTAQRTSLSKSYILYDLQEATWYELQMRVCNSAGCAEKQ ANFATLNYDGSTIPPLIKSVVQSEEGLTTNEGLKILVTISCILVGVLLLFVLLLVVRRRR REQRLKRLRDAKSLAEMLMSKNTRTSDTLSKQQQTLRMHIDIPRAQLLIEERDTMETIDD RSTVLLTDADFGEAAKQKSLTVTHTVHYQSVSQATGPLVDVSDARPGTNPTTRRNAKAGP TARNRYASQWTLNRPHPTISAHTLTTDWRLPTPRATGSVDKESDSYSVSPSQDTDRARSS MVSTESASSTYEELARAYEHAKMEEQLRHAKFTITECFISDTSSEQLTAGTNEYTDSLTS STPSESGICRFTASPPKPQDGGRVVNMAVPKAHRPGDLIHLPPYLRMDFLLNRGAPGTSR DLSLGQACLEPQKSRTLKRPTVLEPTPMEASSSTSSTREGQQSWQQGAVATLPQREGAEL GQAAKMSSSQESLLDSRGHLKGNNPYAKSYTLV >ENSMUSP00000128962.1 pep:known chromosome:GRCm38:12:84996321:85070492:1 gene:ENSMUSG00000021244.15 transcript:ENSMUST00000168977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ylpm1 description:YLP motif containing 1 [Source:MGI Symbol;Acc:MGI:1926195] MYPNWGRYGGSSHYPPPPVPPPPPPVALPEASPGPGYSSSTAPAAPSSSGFMSFREQHLA QLQQLQQMHQKQMQCVLQPHHLPPPPLPPPPVMPGGGYGDWQPPPPPMPPPPGPALSYQK QQQYKHQMIHHQRDGPPGLVPMELESPPESPPVPPGSYMPPSQSYMPPPQPPPSYYPPSS AQPYLPPAQPSPSQSPPSQSYLAPTPSYSSSSSSQSYLSHSQPYLPPSQASPSRSSQGPS KPQLPPPPSIPSGNKTAIQQEPLESGAKNKTAEQKQAAPEPDPSTMTPQEQQQYWYRQHL LSLQQRTKVHLPGHKKGLVTAKDVPEPIKEEAPGPAASQVAEPLAAEKPPLPPPNEEAPP PLSPEEPQSEDSEDSEDSEEDARFKQLKAIAAHWQAAAAHWQQQQQQRVGFQYQGIMQRH TQLQQILQQYQQVIQHSPHIQTMSLDVQLRHYEMQQQQFQHLFQDWEREFQLWEEQLHSY PHKDQLQEYEKQWKTWQGHMKATQTYLQEKVNSFQTVKSQYLGNMAMPPPFVPYSQMPPP LPTMPPPVLPPSLPPPVMPPALPTSIPPPGMPPPVMPPSLPTSVPPPGMPPSLSSGPPPV LPPPALSSAGSPPVLPPPALPGGPPILPLPPLSSATPPPGIPPPGAPQGMPPQLTAPLPP ASGSQNSQIPEKPRQALLPTPVSFGSTPPSPYHPPPQSEQVNSKPLNKVFSSEQGLGESS ALSQSIIAAKDTPVKSGGLLADPPKGSFLEGPRGPREQKEQLQKLKDFGSEPQMADHLPP PDSRLQNPSRPGMYPPPGSYRPPPPMGKPPGSIVRPSAPPARSSIPMTRPPVPIPPPPPP PPPPPPPPPVIKSKTSSVKQERWDEDSFFGLWDTNDDQGLNSEFKRDTATIPSAPVLPPP PVHSSIPPPGPMPMGMPPMSKPPPVQHTVDYGHGRDMPTNKVEQIPYGERITLRPDPLPE RSTFDADHAGQRDRYDRDRDREPYFDRPSNITDHRDFKRDRETHRDRDRDRVLDYERDRF DRERRPRDDRNQSYRDKKDHSSSRRGGFDRPSYDRKSDRPPYEGPPMFGGERRTYPEERM PLPAPALGHQPPPVPRVEKKPESKNVDDILKPPGRESRPERIVVIMRGLPGSGKTHVAKL IRDKEVEFGGPAPRVLSLDDYFIAEVEKEEKDPDSGKKVKKKVMEYEYEADMEETYRTSM FKTFKKTLDDGFFPFIILDAINDRVRHFDQFWSAAKTKGFEVYLAEMSADNQTCGKRNIH GRKLKEINKMAEHWEVAPRHMMRLDIRSLLQDAAIEEVEMEDFDANIEDQKEEKKDAEEE ESELGYIPKSKWEMDTSEAKLDKLDGLRTGTKRKRDWEAIASRMEDYLQLPDDYETRASE PGKKRVRWADLEEKKDADRKRAIGFVVGQTDWEKITDESGHLAERALNRTKYI >ENSMUSP00000021670.8 pep:known chromosome:GRCm38:12:84996352:85070515:1 gene:ENSMUSG00000021244.15 transcript:ENSMUST00000021670.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ylpm1 description:YLP motif containing 1 [Source:MGI Symbol;Acc:MGI:1926195] MYPNWGRYGGSSHYPPPPVPPPPPPVALPEASPGPGYSSSTAPAAPSSSGFMSFREQHLA QLQQLQQMHQKQMQCVLQPHHLPPPPLPPPPVMPGGGYGDWQPPPPPMPPPPGPALSYQK QQQYKHQMIHHQRDGPPGLVPMELESPPESPPVPPGSYMPPSQSYMPPPQPPPSYYPPSS AQPYLPPAQPSPSQSPPSQSYLAPTPSYSSSSSSQSYLSHSQPYLPPSQASPSRSSQGPS KPQLPPPPSIPSGNKTAIQQEPLESGAKNKTAEQKQAAPEPDPSTMTPQEQQQYWYRQHL LSLQQRTKVHLPGHKKGLVTAKDVPEPIKEEAPGPAASQVAEPLAAEKPPLPPPNEEAPP PLSPEEPQSEDSEDSEDSEEDARFKQLKAIAAHWQAAAAHWQQQQQQRVGFQYQGIMQRH TQLQQILQQYQQVIQHSPHIQTMSLDVQLRHYEMQQQQFQHLFQDWEREFQLWEEQLHSY PHKDQLQEYEKQWKTWQGHMKATQTYLQEKVNSFQTVKSQYLGNMAMPPPFVPYSQMPPP LPTMPPPVLPPSLPPPVMPPALPTSIPPPGMPPPVMPPSLPTSVPPPGMPPSLSSGPPPV LPPPALSSAGSPPVLPPPALPGGPPILPLPPLSSATPPPGIPPPGAPQGMPPQLTAPLPP ASGSQNSQIPEKPRQALLPTPVSFGSTPPSPYHPPPQSEQVNSKPLNKVFSSEQGLGESS ALSQSIIAAKDTPVKSGGLLADPPKGSFLEGPRGPRFDGPRRFEDLGSRCEGPRPKGPRF EGNRPDGPRPRYESHPAEGTKSKWGTIPRGPASQFYITPNTSLSPRQSGPQWKGPKATVG QQHQQQPKQQPKSQAEPLSGNKEPLADTSNNQQKNLKIQSAAFSISADVKDTKAAQSNEN LSDSQQEPTKSQVSEGPVEPSNWNQNSQSMETEMDKAEGVTQPVSLANKPVPTQSTFPSK VGSMEGGAAAAALTADDFKPLGVGLSHSENHQEKDLPQPDSRENRLEGNKGSSSSYRGPG QNRVEESRDKGLVNRGRGQIINRGPGLVKQEDFHDKMMGRREDSREKMNRGEGNRDRVFV RPGSSRDKIPGGLQDSQDSRANSRERGPPRRAGSQERGPPRRAGSRERVPPQRAGSRERV PPRGPGSRERGLGRPDFGHDRVPFRSELGDGGDKVYPYHRDEPSRASWNHGEERGHEEFP VDGRNAPIERERLDDWDRKRYWRECERDYQDDTLDSYSREDRFSAPPSRSHDGDRRGPWW DDWERDQDMDEGYGREMDRDLDRDVDRIRRPLDIYDRNVDNEWDRDYGRPLDEQESQFRE RDIPSLPPLPPLPPLPPLDRYRDDRWREERNRDHGYDRDFRDRGELRIREYPERGDTWRE KRDYVPDRMDWERERLSDRWYPSDVDRHSPMAEHMPSSHHSSEMMGSDANLDSDQGLGGV MVLSQRQHEIILKAAQELKMLREQKEQLQKLKDFGSEPQMADHLPPPDSRLQNPSRPGMY PPPGSYRPPPPMGKPPGSIVRPSAPPARSSIPMTRPPVPIPPPPPPPPPPPPPPPVIKSK TSSVKQERWDEDSFFGLWDTNDDQGLNSEFKRDTATIPSAPVLPPPPVHSSIPPPGPMPM GMPPMSKPPPVQHTVDYGHGRDMPTNKVEQIPYGERITLRPDPLPERSTFDADHAGQRDR YDRDRDREPYFDRPSNITDHRDFKRDRETHRDRDRDRVLDYERDRFDRERRPRDDRNQSY RDKKDHSSSRRGGFDRPSYDRKSDRPPYEGPPMFGGERRTYPEERMPLPAPALGHQPPPV PRVEKKPESKNVDDILKPPGRESRPERIVVIMRGLPGSGKTHVAKLIRDKEVEFGGPAPR VLSLDDYFIAEVEKEEKDPDSGKKVKKKVMEYEYEADMEETYRTSMFKTFKKTLDDGFFP FIILDAINDRVRHFDQFWSAAKTKGFEVYLAEMSADNQTCGKRNIHGRKLKEINKMAEHW EVAPRHMMRLDIRSLLQDAAIEEVEMEDFDANIEDQKEEKKDAEEEESELGYIPKSKWEM DTSEAKLDKLDGLRTGTKRKRDWEAIASRMEDYLQLPDDYETRASEPGKKRVRWADLEEK KDADRKRAIGFVVGQTDWEKITDESGHLAERALNRTKYI >ENSMUSP00000126347.1 pep:known chromosome:GRCm38:12:85014701:85070492:1 gene:ENSMUSG00000021244.15 transcript:ENSMUST00000164558.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ylpm1 description:YLP motif containing 1 [Source:MGI Symbol;Acc:MGI:1926195] FQHLFQDWEREFQLWEEQLHSYPHKDQLQEYEKQWKTWQGHMKATQTYLQEKVNSFQTVK SQYLGNMAMPPPFVPYSQMPPPLPTMPPPVLPPSLPPPVMPPALPTSIPPPGMPPPVMPP SLPTSVPPPGMPPSLSSGPPPVLPPPALSSAGSPPVLPPPALPGGPPILPLPPLSSATPP PGIPPPGAPQGMPPQLTAPLPPASGSQNSQIPEKPRQALLPTPVSFGSTPPSPYHPPPQS EQVNSKPLNKVFSSEQGLGESSALSQSIIAAKDTPVKSGGLLADPPKGSFLEGPRGPRFD GPRRFEDLGSRCEGPRPKGPRFEGNRPDGPRPRYESHPAEGTKSKWGTIPRGPASQFYIT PNTSLSPRQSGPQWKGPKATVGQQHQQQPKQQPKSQAEPLSGNKEPLADTSNNQQKNLKI QSAAFSISADVKDTKAAQSNENLSDSQQEPTKSQVSEGPVEPSNWNQNSQSMETEMDKAE GVTQPVSLANKPVPTQSTFPSKVGSMEGGAAAAALTADDFKPLGVGLSHSENHQEKDLPQ PDSRENRLEGNKGSSSSYRGPGQNRVEESRDKGLVNRGRGQIINRGPGLVKQEDFHDKMM GRREDSREKMNRGEGNRDRVFVRPGSSRDKIPGGLQDSQDSRANSRERGPPRRAGSQERG PPRRAGSRERVPPQRAGSRERVPPRGPGSRERGLGRPDFGHDRVPFRSELGDGGDKVYPY HRDEPSRASWNHGEERGHEEFPVDGRNAPIERERLDDWDRKRYWRECERDYQDDTLDSYS REDRFSAPPSRSHDGDRRGPWWDDWERDQDMDEGYGREMDRDLDRDVDRIRRPLDIYDRN VDNEWDRDYGRPLDEQESQFRERDIPSLPPLPPLPPLPPLDRYRDDRWREERNRDHGYDR DFRDRGELRIREYPERGDTWREKRDYVPDRMDWERERLSDRWYPSDVDRHSPMAEHMPSS HHSSEMMGSDANLDSDQGLGGVMVLSQRQHEIILKAAQELKMLREQKEQLQKLKDFGSEP QMADHLPPPDSRLQNPSRPGMYPPPGSYRPPPPMGKPPGSIVRPSAPPARSSIPMTRPPV PIPPPPPPPPPPPPPPPVIKSKTSSVKQERWDEDSFFGLWDTNDDQGLNSEFKRDTATIP SAPVLPPPPVHSSIPPPGPMPMGMPPMSKPPPVQHTVDYGHGRDMPTNKVEQIPYGERIT LRPDPLPERSTFDADHAGQRDRYDRDRDREPYFDRPSNITDHRDFKRDRETHRDRDRDRV LDYERDRFDRERRPRDDRNQSYRDKKDHSSSRRGGFDRPSYDRKSDRPPYEGPPMFGGER RTYPEERMPLPAPALGHQPPPVPRVEKKPESKNVDDILKPPGRESRPERIVVIMRGLPGS GKTHVAKLIRDKEVEFGGPAPRVLSLDDYFIAEVEKEEKDPDSGKKVKKKVMEYEYEADM EETYRTSMFKTFKKTLDDGFFPFIILDAINDRVRHFDQFWSAAKTKGFEVYLAEMSADNQ TCGKRNIHGRKLKEINKMAEHWEVAPRHMMRLDIRSLLQDAAIEEVEMEDFDANIEDQKE EKKDAEEEESELTSWMA >ENSMUSP00000098763.3 pep:known chromosome:GRCm38:12:84996490:85070514:1 gene:ENSMUSG00000021244.15 transcript:ENSMUST00000101202.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ylpm1 description:YLP motif containing 1 [Source:MGI Symbol;Acc:MGI:1926195] MYPNWGRYGGSSHYPPPPVPPPPPPVALPEASPGPGYSSSTAPAAPSSSGFMSFREQHLA QLQQLQQMHQKQMQCVLQPHHLPPPPLPPPPVMPGGGYGDWQPPPPPMPPPPGPALSYQK QQQYKHQMIHHQRDGPPGLVPMELESPPESPPVPPGSYMPPSQSYMPPPQPPPSYYPPSS AQPYLPPAQPGPSKPQLPPPPSIPSGNKTAIQQEPLESGAKNKTAEQKQAAPEPDPSTMT PQEQQQYWYRQHLLSLQQRTKVHLPGHKKGLVTAKDVPEPIKEEAPGPAASQVAEPLAAE KPPLPPPNEEAPPPLSPEEPQSEDSEDSEDSEEDARFKQLKAIAAHWQAAAAHWQQQQQQ RVGFQYQGIMQRHTQLQQILQQYQQVIQHSPHIQTMSLDVQLRHYEMQQQQFQHLFQDWE REFQLWEEQLHSYPHKDQLQEYEKQWKTWQGHMKATQTYLQEKVNSFQTVKSQYLGNMAM PPPFVPYSQMPPPLPTMPPPVLPPSLPPPVMPPALPTSIPPPGMPPPVMPPSLPTSVPPP GMPPSLSSGPPPVLPPPALSSAGSPPVLPPPALPGGPPILPLPPLSSATPPPGIPPPGAP QGMPPQLTAPLPPASGSQNSQIPEKPRQALLPTPVSFGSTPPSPYHPPPQSEQVNSKPLN KVFSSEQGLGESSALSQSIIAAKDTPVKSGGLLADPPKGSFLEGPRGPREQKEQLQKLKD FGSEPQMADHLPPPDSRLQNPSRPGMYPPPGSYRPPPPMGKPPGSIVRPSAPPARSSIPM TRPPVPIPPPPPPPPPPPPPPPVIKSKTSSVKQERWDEDSFFGLWDTNDDQGLNSEFKRD TATIPSAPVLPPPPVHSSIPPPGPMPMGMPPMSKPPPVQHTVDYGHGRDMPTNKVEQIPY GERITLRPDPLPERSTFDADHAGQRDRYDRDRDREPYFDRPSNITDHRDFKRDRETHRDR DRDRVLDYERDRFDRERRPRDDRNQSYRDKKDHSSSRRGGFDRPSYDRKSDRPPYEGPPM FGGERRTYPEERMPLPAPALGHQPPPVPRVEKKPESKNVDDILKPPGRESRPERIVVIMR GLPGSGKTHVAKLIRDKEVEFGGPAPRVLSLDDYFIAEVEKEEKDPDSGKKVKKKVMEYE YEADMEETYRTSMFKTFKKTLDDGFFPFIILDAINDRVRHFDQFWSAAKTKGFEVYLAEM SADNQTCGKRNIHGRKLKEINKMAEHWEVAPRHMMRLDIRSLLQDAAIEEVEMEDFDANI EDQKEEKKDAEEEESELGYIPKSKWEMDTSEAKLDKLDGLRTGTKRKRDWEAIASRMEDY LQLPDDYETRASEPGKKRVRWADLEEKKDADRKRAIGFVVGQTDWEKITDESGHLAERAL NRTKYI >ENSMUSP00000046610.4 pep:known chromosome:GRCm38:1:72824503:72852474:1 gene:ENSMUSG00000039323.18 transcript:ENSMUST00000047328.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp2 description:insulin-like growth factor binding protein 2 [Source:MGI Symbol;Acc:MGI:96437] MLPRLGGPALPLLLPSLLLLLLLGAGGCGPGVRAEVLFRCPPCTPERLAACGPPPDAPCA ELVREPGCGCCSVCARQEGEACGVYIPRCAQTLRCYPNPGSELPLKALVTGAGTCEKRRV GTTPQQVADSDDDHSEGGLVENHVDGTMNMLGGGSSAGRKPLKSGMKELAVFREKVNEQH RQMGKGAKHLSLEEPKKLRPPPARTPCQQELDQVLERISTMRLPDDRGPLEHLYSLHIPN CDKHGRYNLKQCKMSLNGQRGECWCVNPNTGKPIQGAPTIRGDPECHLFYNEQQETGGAH AQSVQ >ENSMUSP00000112706.1 pep:known chromosome:GRCm38:1:72825322:72852467:1 gene:ENSMUSG00000039323.18 transcript:ENSMUST00000120564.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp2 description:insulin-like growth factor binding protein 2 [Source:MGI Symbol;Acc:MGI:96437] MNMLGGGSSAGRKPLKSGMKELAVFREKVNEQHRQMGKGAKHLSLEEPKKLRPPPARTPC QQELDQVLERISTMRLPDDRGPLEHLYSLHIPNCDKHGRYNLKQCKMSLNGQRGECWCVN PNTGKPIQGAPTIRGDPECHLFYNEQQETGGAHAQSVQ >ENSMUSP00000100070.3 pep:known chromosome:GRCm38:X:6399854:6633837:1 gene:ENSMUSG00000068270.15 transcript:ENSMUST00000103005.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom4 description:shroom family member 4 [Source:MGI Symbol;Acc:MGI:2685570] MESRPGSFQYVPVQLQGGAPWGFTLKGGLEHCEPLTVSKIEDGGKAALSQKMRTGDELVN INGTPLYGSRQEALILIKGSFRILKLIVRRRNTPVSRPHSWHVAKLLEGCPDVATTMHFP SEAFSLSWHSGCNTSDVSVQWCPLSRHCSTEKSSSIGSMESLEQPGQPTYEGHLLPIDQN MYPSQRDSAYSSFSASSNASDCALSLKPEEPPSTDCVMPGPGPIKVTDDQANVSENSGSS HSTSEDHVTSTSHASSYSDEGHHSGPAKMARGPPEPPVRSDSLPASRAQLLNGEQHRASE PVDSLPQKEKPGLETVLPPRSSNQFCCLSGQDQVTDEDHQNCELSKPSESSQDDCEHLLI EDSSKALDSPKAHDKGSNKEFGLLKEASADLANTLNFGAIPHLRGTMEHRHSAPEQLLAS HLQQVHLDSRGSKGMELPIGQDGHQWTVSPLHNNPKGKKSPSLPTGGTQDQTRKERKTTP LDDKLMASVHQSQSDVLLGEVDGHPNRAGRASSDLTSQQPSATCSSVQQTRDFLSAHKIV DHTEASEEGDNEPKECGRLGGRRSGGPRGRSIQNRRRSERFATNLRNEIQRRKAQLQKSK GPLSQLCDTNEAVEETQEPPESPPLSASNASLLPSYKNVPSPGDKVFNKSMILRARSSEC LSQASESSKARGGVEGRMSPGQRSGQSSLALNTWWKASDSSTLDTEKANAHHGVCRGHWR WSPEHNAQPQVALSTEAPSNPDDSKELKTSTPQAGEEAVLMPFADRRKFFEESSKSLSTS HLPGLTTHNNKPFIQRQKPIDQNFQSVSYRDLRCHPLDQSYHSADQSYHAADQSYHSLSP LQSETPTYPECFATKGRDNSLCCKPVHHGDCDYHRTCSHPCSAQGTVRHDPCICCSGEIC PALLKRNLLPKCHNCRCHHHQCIRCTGCCHGPQHSAHEDSSMAPGNAWKSRKAAIQEFPV DKWKPITGNRKTSHSGREMAHSKAGFSLSTPFRPCIENPALDLSNYRAVSSLDILGDFKR ASNKPEESSVYEDENSVASMPRPLRSRAFSESHISLEPQNTQAWGKHQRESFSKGSETQP DTLGARKKVFPPPRPPPPNWEKYRLFRAAQLQQQQQQQQQQQQQQRCEEEEEKEQEEEGE KEEDLPPQYFSSELTGSCAPNTEEQPQSLKMGHQEASRQGSQSLQEQEAFALHPSNFVPP VRGCTVPQPEKAQHPCYYGTHGLWRTTEQEATVTPKQEFQHFSPPKGASGIPTSYSAYYN ISVAKAELLNKLKQQPEMAEAGLGEEGVDYELAQKKIQLIESISRKLSVLREAQRGLLDD INANAALGEEVEANLKAVCKSNEFEKYHLFIGDLDKVVNLLLSLSGRLARVENALNSIDS ESNQEKLVLIEKKQQLTNQLADAKELKEHVDGREKLVFGMVSRYLPQDQLQDYQHFVKMK SALIIEQRELEEKIKLGEEQLKCLKESLHLGPSNF >ENSMUSP00000131806.2 pep:known chromosome:GRCm38:X:6415742:6583540:1 gene:ENSMUSG00000068270.15 transcript:ENSMUST00000143641.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom4 description:shroom family member 4 [Source:MGI Symbol;Acc:MGI:2685570] MRTGDELVNINGTPLYGSRQEALILIKGSFRILKLIVRRRNTPVSRPHSWHVAKLLEGCP DVATTMHFPSEAFSLSWHSGCNTSDVSVQWCPLSRHCSTEKSSSIGSMESLEQPGQPTYE GHLLPIDQNMYPSQRDSAYSSFSASSNASDCALSLKPEEPPSTDCVMPGPGPIKVTDDQA NVSENSGSSHSTSEDHVTST >ENSMUSP00000086949.2 pep:known chromosome:GRCm38:X:6577286:6637448:1 gene:ENSMUSG00000068270.15 transcript:ENSMUST00000089520.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom4 description:shroom family member 4 [Source:MGI Symbol;Acc:MGI:2685570] MHFPSEAFSLSWHSGCNTSDVSVQWCPLSRHCSTEKSSSIGSMESLEQPGQPTYEGHLLP IDQNMYPSQRDSAYSSFSASSNASDCALSLKPEEPPSTDCVMPGPGPIKVTDDQANVSEN SGSSHSTSEDHVTSTSHASSYSDEGHHSGPAKMARGPPEPPVRSDSLPASRAQLLNGEQH RASEPVDSLPQKEKPGLETVLPPRSSNQFCCLSGQDQVTDEDHQNCELSKPSESSQDDCE HLLIEDSSKALDSPKAHDKGSNKEFGLLKEASADLANTLNFGAIPHLRGTMEHRHSAPEQ LLASHLQQVHLDSRGSKGMELPIGQDGHQWTVSPLHNNPKGKKSPSLPTGGTQDQTRKER KTTPLDDKLMASVHQSQSDVLLGEVDGHPNRAGRASSDLTSQQPSATCSSVQQTRDFLSA HKIVDHTEASEEGDNEPKECGRLGGRRSGGPRGRSIQNRRRSERFATNLRNEIQRRKAQL QKSKGPLSQLCDTNEAVEETQEPPESPPLSASNASLLPSYKNVPSPGDKVFNKSMILRAR SSECLSQASESSKARGGVEGRMSPGQRSGQSSLALNTWWKASDSSTLDTEKANAHHGVCR GHWRWSPEHNAQPQVALSTEAPSNPDDSKELKTSTPQAGEEAVLMPFADRRKFFEESSKS LSTSHLPGLTTHNNKPFIQRQKPIDQNFQSVSYRDLRCHPLDQSYHSADQSYHAADQSYH SLSPLQSETPTYPECFATKGRDNSLCCKPVHHGDCDYHRTCSHPCSAQGTVRHDPCICCS GEICPALLKRNLLPKCHNCRCHHHQCIRCTGCCHGPQHSAHEDSSMAPGNAWKSRKAAIQ EFPVDKWKPITGNRKTSHSGREMAHSKAGFSLSTPFRPCIENPALDLSNYRAVSSLDILG DFKRASNKPEESSVYEDENSVASMPRPLRSRAFSESHISLEPQNTQAWGKHQRESFSKGS ETQPDTLGARKKVFPPPRPPPPNWEKYRLFRAAQLQQQQQQQQQQQQQQRCEEEEEKEQE EEGEKEEDLPPQYFSSELTGSCAPNTEEQPQSLKMGHQEASRQGSQSLQEQEAFALHPSN FVPPVRGCTVPQPEKAQHPCYYGTHGLWRTTEQEATVTPKQEFQHFSPPKGASGIPTSYS AYYNISVAKAELLNKLKQQPEMAEAGLGEEGVDYELAQKKIQLIESISRKLSVLREAQRG LLDDINANAALGEEVEANLKAVCKSNEFEKYHLFIGDLDKVVNLLLSLSGRLARVENALN SIDSESNQEKLVLIEKKQQLTNQLADAKELKEHVDGREKLVFGMVSRYLPQDQLQDYQHF VKMKSALIIEQRELEEKIKLGEEQLKCLKESLHLGPSNF >ENSMUSP00000110914.2 pep:known chromosome:GRCm38:5:20882191:20886870:1 gene:ENSMUSG00000045435.9 transcript:ENSMUST00000115259.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem60 description:transmembrane protein 60 [Source:MGI Symbol;Acc:MGI:2673965] MRMSLAQRVLLTWLFTLLFLIMLVLKLDEKAPWNWFLIFIPVWIFDTILLVMLIVKMAGR CKSGFDPRHGSHNIKKKAWYLIAMLLKLAFCLALCAKLEQFTTMNLSYVFIPLWALLAGA LTELGYNVFFVRD >ENSMUSP00000146159.1 pep:known chromosome:GRCm38:13:21698471:21703944:1 gene:ENSMUSG00000108674.1 transcript:ENSMUST00000205788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1359 description:olfactory receptor 1359 [Source:MGI Symbol;Acc:MGI:3031193] MSVANESISREFILLGFSDRPWLELPLFVVFLVSYILTIFGNMMIILVSRLDSKLHTPMY FFLTNLSLLDLCYTTSTVPQMLINICSTRKVISYGGCVAQLFIFLALGSTECFLLGVMSF DRFVAICRPLHYSVIMHQRRCLQLAAACWISGFSNSVLQSTWTLQMPLCGHKEVDHFFCE VPALLKLSCVDTTANEAELFFISVLFLLIPVTLILISYAFIVQAVLRIRSAEGRRKAFGT CGSHLIVVVLFYGTAIYMYLQPPSPTSKDRGKMVSLFYGIITPMLNPLIYTLRNKEVKGA FKRLVTRIILSRK >ENSMUSP00000077010.4 pep:known chromosome:GRCm38:13:21702975:21703965:1 gene:ENSMUSG00000108674.1 transcript:ENSMUST00000077843.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1359 description:olfactory receptor 1359 [Source:MGI Symbol;Acc:MGI:3031193] MSVANESISREFILLGFSDRPWLELPLFVVFLVSYILTIFGNMMIILVSRLDSKLHTPMY FFLTNLSLLDLCYTTSTVPQMLINICSTRKVISYGGCVAQLFIFLALGSTECFLLGVMSF DRFVAICRPLHYSVIMHQRRCLQLAAACWISGFSNSVLQSTWTLQMPLCGHKEVDHFFCE VPALLKLSCVDTTANEAELFFISVLFLLIPVTLILISYAFIVQAVLRIRSAEGRRKAFGT CGSHLIVVVLFYGTAIYMYLQPPSPTSKDRGKMVSLFYGIITPMLNPLIYTLRNKEVKGA FKRLVTRIILSRK >ENSMUSP00000119600.1 pep:known chromosome:GRCm38:4:123349633:123369931:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000123765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] XILSQSEAKNPRINQLSARWQQVWLLALERQRKLNDALDRLEELKEFANFDFDVWRKKYM RWMNHKKSRVMDFFRRIDKDQDGKITRQEFIDGILASKFPTTKLEMTAVADIFDRDGDGY IDYYEFVAALHPNKDAYRPTTDADKIEDEVTRQVAQCKCAKRFQVEQIGENKYRFFLGNQ FGDSQQLRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRARGRTNIELREKFILPEGASQ GMTPFRSRGRRSKPSSRAASPTRSSSSASQSNHSCTSMPSSPATPASGTKTPLQFSRCYD KPWLINSKAVKDSHCPDLQLLSPEVISSSGSKLKRPTPAFHSSRTSLAGDTSNSSSPAST GAKANRADPKKSASRPGSRAGSRAGSRASSRRGSDASDFDLLETQSACSDTSESSAAGGQ GSSRRGLTKPSKIPTMSKKTTTASPRTPGPKR >ENSMUSP00000116085.1 pep:known chromosome:GRCm38:4:123349716:123366449:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000149022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] XFPTTKLEMTAVADIFDRDGDGYIDYYEFVAALHPNKDAYRPTTDADKIEDEVTRQVAQC KCAKRFQVEQIGENKYRFGDSQQLRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRARGR TNIELREKFILPEGASQGMTPFRSRGRRSKPSSRAASPTRSSSSASQSNHSCTSMPSSPA TPASGTKTPLQFSRCYDKPWLINSKAVKDSHCPDLQLLSPEVISSSGSKLKRPTPAFHSS RTSLAGDTSNSSSPASTGAKANRADPKKSASRPGSRAGSRAGSRASSRRGSDASDFDLLE TQSACSDTSESSAAGGQGSSRRGLTKPSKIPTMSKKTTTASPRTPGPKR >ENSMUSP00000117188.1 pep:known chromosome:GRCm38:4:123349716:123372367:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000154824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] RARFEEVLTWAKQHQQRLETALSELVANAELLEELLAWIQWAETTLIQRDQEPIPQNIDR VKALITEHQSFMEEMTRKQPDVDRVTKTYKRKSVEPTHAPFMEKSRSGSRKSLNQPTPPP MPILSQSEAKNPRINQLSARWQQVWLLALERQRKLNDALDRLEEQLCPELKEFANFDFDV WRKKYMRWMNHKKSRVMDFFRRIDKDQDGKITRQEFIDGILASKFPTTKLEMTAVADIFD RDGDGYIDYYEFVAALHPNKDAYRPTTDADKIEDEVTRQVAQCKCAKRFQVEQIGENKYR FFLGNQFGDSQQLRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRARGRTNIELREKFIL PEGASQGMTPFRSRGRRSKPSSRAASPTRSSSSASQSNHSCTSMPSSPATPASGTKVISS SGSKLKRPTPAFHSSRTSLAGDTSNSSSPASTGAKANRADPKKSASRPGSRAGSRAGSRA SSRRGSDASDFDLLETQSACSDTSESSAAGGQGSSRRGLTKPSKIPTMSKKTTTASPRTP GPKR >ENSMUSP00000101819.1 pep:known chromosome:GRCm38:4:123349716:123476367:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000106213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] MQKGLIDQDTGLVLLESQIIMSGLIDPENSEKLSLEEGLTRNFINLPIYQQLLGLRDSLS LVSRLTGTLGSLSVVEAIEKKIISERLGLKVLEVHLATGGFSLPPSENCINLEEAFHQGF IASSLHSELQSHLRSSKNLIDPNTAEKVGLLDLMQRCIIHQESGLKLLPVKQLAGGMVSL KSGRKVSIFRAVQEGLIDRQVTVRLLEAQLFAGGIVDPRTGHRLTVEEAVRHNLIDQDMA CAILIRQLQTGGIIDTVTGDRMTIDEAVTNNLVAAKIALVILESLWSFMGLLLPESGEIL PITDALEQGIVSTELAHKILHNRQQIEALFLPTLTEIWSWEKATESGILDKDLVNNLRSV CIPDMMPHIQLADSAEQSKVGFAAGKPPVSGPREEGSSHGEKLLFQLMTHSYIHAHTGQR LLLLDQELVEMLTSRDDCQVILPEVFEIQHQRLNTSEALQELYTGTISQISSAKHPRKPC ESQFLSQNKDYPSQENCTEAKGERSVVGIECSPAESPERELFLKEQEAIIENVGSLKVIN KVKLKLQRPLLGSRKEEQAETLREENISGDPLLVECPEESEGKDLSTEKSKCQTPTKCSF TCHKEQVKTIKDIPSETGTSLIKSQNQMSQFQVDTSVGLRSEFKSEHDMNVNSLEKELKE ELLVKDGHKQSQEGQSVADGQTVALEKTDTEDNADEPALLHSSPFEDATLSTLSAQLQDG GIFNEETGQKLLLNEAIAQGLVSSHTAVKLMGKLNMFRGFFDSQTCESLTTEEVIDEGLM DEKLLYNVLMSDKAISGILDPRTHSLCSVKEAVAAGLLDKETATRILEGQVITGGIVDLK RGKKLSVTLASNLGLVDTADQTELINLEKATKGRGAEKAVKERLIELQMETAGLMDPESK APLTVLQSIDRGILEREAAVYLLTKQVLDGGIIHHISGLRLSVDNAFKHGLIGEDMARQL RKVENFIHYQFFHPQTKEALSFSEAIKLDLVSPDLKREIQEIQDFSGNLGDFIYGQKLTL AKTNKEESLANKTELPSGVMHGVIDPENCTIIPYSELVKKCRIDTESGWRYLEVIPFSDI KDEAGNNVLTPPEAIQLGKVDFASALKVLEAQANTGGIIDMATGKRVTLASALEKKLLDE NMARIIASHQMLSGGIIDIYSDQRVTLNDAVEKRLISPELAAMIQVDPLAEQGGTGVCEL KGDFLRKELLSESSKTPRESYSKEKHEAVLQAGSLCAPEKAGIRGSNGEKAEKGRKISVE MEGQRQDEKASSDNKVSASILSPFGFEGESSYQVSVTHPCSESCDLKPREETRSCMKKCA VVERDKVVTQIKMVSHVKQSTSGLDAEEARERQGRMVSKEQGSHYETAGNLLSERSVRVD RRVRREMGGEQSVQMSREAAVLSEEELDQEVTIGDEPDSFVKSQSMKMIGNDKGKEAGIE KDISVVCKIEGFPSQMTSKDASLTNQDALPFYTEGETKTVNLCSILKPGEKLSQETASTV QKEPLSSEIPRPERLNSQESDEEPQISDVPHISKGDMAAQITTRQETTDVQDLYITSKSS ETKDKIFPSKNYIEKLHQEIPMDPTRSHKLKEATISTLETEGISYLDSSDIKSLCEDSKA DHKSCGHQKSKVTTTQAKKSLEVVDLLVRDTEEGSSEDRVGQRGPRVLASLLPEKLPTRT VQSENIRQHDAVIPAISEIREEMALSLPCSVVKVDGKIPKEKHKEILGDEQGPFMAIPSG KGIEGVNPEPCRATQNVFTRRLCLEHDEKLVSYLSLLRDIEMRTKQIQPLELNVAELQDL LGQAKELDRELKDLSTVVSQELECVDRIVISQPQEVPAQLLKALEKDAKNLQKSLDSVSD SWSSRFLHLQSAVEVKKATVLNRHKELQGKLQDLRAWVGRASLTLNSKGCDTETDADSLS HTLQPYKDMKQSMAERKSQLDALALDIQLFISEHPQDLSLQQNQEMLQFLSELQRSFQGL VEHTAAQKDVVQGHLQQVQQEVQVKTLQKQQDTCHKKLEDLCNWVGQAERALERHQGGAS RQELPALQQNQSDLKDLQGDIQSHSTSFATAVKDIEGFLEENQTKLSPQELTALREKLHQ AKEQYEVLQERTRVAQKELEEAVTSALQQETEKSKAATELAENKRKIDALLDWVASVGSS ERKPQASLPGMEQFSGACLEKQTLAATDGHVDVNQVPETLDRQYELMKARHQELLSQQQN FIVATQSVQSFLDQHSHNLTPEERQKLQEKLGELKEQYAASLARSEAELKQTQALRDELQ KFLQDHKEFENWLQQSENELDSMHKGGSSPEALNSLLKRQGSFSEDVISHKGDLRFVTIS GQKVLETENNFEEGQEPSATRNLVNEKLKDATERYTTLHSKCIRLGSHLSMLLGQYQQFQ SSADSLQAWVLTCEASVGKLLSDTVASDPGVLQQQLATTKQLQEELAEHQVPVEKLQKAA HDLLDIEGEPALDCRPIQETTDSISSRFQNLSCSLDERSALLQKAIAQSQSVQESMESLL QSIREVEQNLERDQVASLSSGVIQEALANNMKLKQDIARQKSSLEATHDMVTRFMETADS NSASVLQGKLAELSQRFQQLQLQQQEKESNLKKLLPQAEMFEQLSNKLQQFMENKSRLLA SGNQPDQDIAHFSQQIQELTLAMEDQKENLDTLEHLVTTLGSCGFALDLSQHQDKIQNLK KDFTELQKTVQEREKDASTCQEQLDEFRKLIRTFQKWLKETEGNVPPAKTFVSAKELEKQ IEHLKDLISDWESKGALLGEINAKGTALESLIMDITAPDSQAKTGSILPPVGSSVGSVNG YHTCKDLTEIQCDMFDVNSKYEKLWEVLRERQESLQTVFSRMEEVQKEASSVLQWLESKE EVLKAMDATLSPTKTETVKAQAESNKAFLAELEQNSPKIQKVKEALAGLLKTYPNSQEAE NWKKMQEDLNSRWEKATEVTVARQKQLEESASHLACFQAAESQLRPWLMEKELMMGVLGP LSIDPNMLNAQKQQVQFMLKEFEARRQQHEQLNEAAQGILTGPGDMSPSASQVHKDLQSI SQKWVELTDKLNSRSSQIDQAIVKSTQYQDLLQDLSEKVKAIGQRLSGQSAISTQPEAVK QQLEETSEIRSDLGQLDNEIKEAQTLCQELSLLIGEQYLKDELKKRLETVALPLQGLEDL AADRMNRLQAALASTQQFQQMFDELRTWLDEKQSQQAKNCPISAKLERLQCQLQENEEFQ KNLNQHSGSYEVIVAEGEALLLSVPPGEEKKTLQNQLVELRSHWEDLSKKTANRQSRLKD CMQKAQKYQGHVEDLVPWIDECKSKMSELQVTLDPVQLESSLLRSKAMLNEAEKRRSLLE ILNSAADILINSSEIDEDEIRDEKAGLNQNMDAITEELQAKTSSLEEMTQRLKEFQESFK NIEKKVEGAKHQLEIFDALGSQACSNKNLEKLKAQQEVLQALEPQVDYLRNFTQGLVEDA PDGSDASPLVHQAEVAQQEFLEVKQRVSSSCLTMENKLEGIGQFHCRVREMFSQLADLDD ELDGMGAIGRDTDSLQSQIEDVRLFLNKIQALRFDIEDSEAECRKMLEEEGTLDLLGLKR ELEALNKQCGKLTERGKVRQEQLELTLGRVEDFYRKLKALNDAATAAEEGEALQWIVGTE VDVINQQLADFKLFQKDQVDPLQVKLQQVNGLGQGLIQSAGKNCDVQGLEHDMDEINTRW NTLNKKVAQRIAQLQEALLHCGKFQDALEPLLSWLTDTEELIANQKPPSAEYKVVKAQIQ EQKLLQRLLDDRKATVDMLQAEGGRIAQSAELADREKITGQLESLERRWTDLLSKAAARQ KQLEDILVLAKQFHETAEPISDFLSVTEKKLANSEPVGTQTAKIHQQIIRHKALEEEIEN HATDVHQAVKIGQSLSSLTCPAEQGIMSEKLDSLQARYSEIQDRCCRKASLLEQALFNAR LFGEDEVEVLNWLAEVEDKLSTVFVKDYRQDVLQKQHADHLALNEEIINRKKNVDQAIKN GQALLKQTTGEEVLLIQEKLDGIKTRYADITLTSSKALRTLEQARQLATKFHSTYEELTG WLREAEEELAASGGQSPTGEQIPQFQQRQKELKKEVMEHRLVLDTVNEVSHALLELVPWR AREGLDKLVSDANEQYKLISDTVGQRVDEIDAAIQRSQQYEQAADAELAWVAETKRKLMA LGPIRLEQDQTTAQLQVQKAFSIDIIRHKDSMDELFSHRGEIFSTCGEEQKAVLQEKTEC LIQQYEAVSLLNSERYARLERAQVLVNQFWETYEELSPWAEETLALIAQLPPPAVDHEQL RQQQEEMRQLRESIAEHKPHIDKILKIGPQLKELNPEEGKMVEEKYQKAENMYAQIKDEV RQRALALDEAVSQSAQFHDKIEPMLETLENLSSRLRMPPLIPAEVDKIRECISDNKSATV ELEKLQPSFEALKRRGEELIGRSQGADKDLAAKEIQDKLDQMVFFWEDIKARSEEREIKF LDVLELAEKFWYDMAALLTTIKDTQDIVHDLESPGIDPSIIKQQVEAAETIKEETDGLHE ELEFIRILGADLIFACGETEKPEVKKSIDEMNNAWENLNKTWKERLEKLEDAMQAAVQYQ DTLQAMFDWLDNTVIKLCTMPPVGTDLNTVKDQLNEMKEFKVEVYQQQIEMEKLNHQGEL MLKKATDETDRDIIREPLTELKHLWENLGEKIAHRQHKLEGALLALGQFQHALEELMSWL THTEELLDAQRPISGDPKVIEVELAKHHVLKNDVLAHQATVATVNKAGSELLESSAGDDA SSLRSRLETMNQCWESVLQKTEEREQQLQSTLQQAQGFHSEIEDFLLELNRMENQLSASK PTGGLPETAREQLDTHMELHSQLRAKEEIYNQLLDKGRLMLLSRGDSGSGSKTEQSVALL EQKWHAVSSKVEERKSKLEEALSLATEFQNSLQEFINWLTLAEQSLNIASPPSLILNTVL SQIEEHKVFANEVNDHRDQIIELDQTGNQLKFLSQKQDVVLIKNLLVSVQSRWEKVVQRS IERGRSLDDARKRAKQFHEAWKKLIDWLEDAESHLDSELEISNDPDKIKLQLSKHKEFQK TLGGKQPVYDTTIRTGRALKEKTLLAGDTQKLDNLLGEVRDKWDTVCGKSVERQHKLEEA LLFSGQFMDALQALVDWLYKVEPQLAEDQPVHGDLDLVMNLMDAHKVFQKELGKRTGTVQ VLKRSGRELIEGSRDDTTWVKGQLQELSTRWDTVCKLSVSKQSRLEQALKQAEEFRDTVH MLLEWLSEAEQTLRFRGALPDDTEALQSLIDTHKEFMKKVEEKRVDVNTAVAMGEAILAV CHPDCITTIKHWITIIRARFEEVLTWAKQHQQRLETALSELVANAELLEELLAWIQWAET TLIQRDQEPIPQNIDRVKALITEHQSFMEEMTRKQPDVDRVTKTYKRKSVEPTHAPFMEK SRSGSRKSLNQPTPPPMPILSQSEAKNPRINQLSARWQQVWLLALERQRKLNDALDRLEE LKEFANFDFDVWRKKYMRWMNHKKSRVMDFFRRIDKDQDGKITRQEFIDGILASKFPTTK LEMTAVADIFDRDGDGYIDYYEFVAALHPNKDAYRPTTDADKIEDEVTRQVAQCKCAKRF QVEQIGENKYRFFLGNQFGDSQQLRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRARGR TNIELREKFILPEGASQGMTPFRSRGRRSKPSSRAASPTRSSSSASQSNHSCTSMPSSPA TPASGTKVISSSGSKLKRPTPAFHSSRTSLAGDTSNSSSPASTGAKANRADPKKSASRPG SRAGSRAGSRASSRRGSDASDFDLLETQSACSDTSESSAAGGQGSSRRGLTKPSKIPTMS KKTTTASPRTPGPKR >ENSMUSP00000119885.1 pep:known chromosome:GRCm38:4:123349716:123499917:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000134458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] STLSVKAICDYRQIEITICKNDECVLEDNSQRTKWKVISPTGNEAMVPSVCFLIPPPNKE AIEMASRVEQSYQKVMALWHQLHINTKSLISWNYLRKDLDTVQTWSLEKLRSLAPGECHQ VMKNLQAHYEDFLQDSHDSALFSVADRLRIEEEVEACKAHFQHLMKSLENEDKEETLAKV YISELKNIRLLLEECEQRLLKQIQSPASSKTDRDARQDITLRIAEQEHTQEDLQHLRSDL DAISMKCNVFLQQSPSGSSATTLRSELNLMVEKMDHVYGLSTVYLNKLKTIDVIVRSMQD AELLVKGYEIKLSQEEAVPADLSALESHRTTLQHWLSDVKDKNSVFSVLDEEITKAKKVA EQLRHPASEPNLDLERYQEKGSQLQERWHRVIAQLETRQSEVESIQEVLRDYRACHGTLI KWIEETTAQQEMMKPGQAEDSRVLSEQLSQQTELFAEIERNQTKLDQCQKFSQQYSTIVK DYELQLMTYKAFVESQQKSPGKRRRMISSSDAITQEFMDLRTRYTALVTLTTQHVKYISD ALRRLEEEEKVVEEEKQEHVEKVKDLLGWVSTLARNTQGTTTSSHTSASADIEKAILEQQ VLAEELTTKKEQVSEAIKTSQIFLAKHGHKLSEGEKEQISEQLRVLNKTYHDLCDGSANQ LQQLQSELAQQTEQKTLQKQQDTCHKKLEDLCNWVGQAERALERHQGGASRQELPALQQN QSDLKDLQGDIQSHSTSFATAVKDIEGFLEENQTKLSPQELTALREKLHQAKEQYEVLQE RTRVAQKELEEAVTSALQQETEKSKAATELAENKRKIDALLDWVASVGSSERKPQASLPG MEQFSGACLEKQTLAATDGHVDVNQVPETLDRQYELMKARHQELLSQQQNFIVATQSVQS FLDQHSHNLTPEERQKLQEKLGELKEQYAASLARSEAELKQTQALRDELQKFLQDHKEFE NWLQQSENELDSMHKGGSSPEALNSLLKRQGSFSEDVISHKGDLRFVTISGQKVLETENN FEEGQEPSATRNLVNEKLKDATERYTTLHSKCIRLGSHLSMLLGQYQQFQSSADSLQAWV LTCEASVGKLLSDTVASDPGVLQQQLATTKQLQEELAEHQVPVEKLQKAAHDLLDIEGEP ALDCRPIQETTDSISSRFQNLSCSLDERSALLQKAIAQSQSVQESMESLLQSIREVEQNL ERDQVASLSSGVIQEALANNMKLKQDIARQKSSLEATHDMVTRFMETADSNSASVLQGKL AELSQRFQQLQLQQQEKESNLKKLLPQAEMFEQLSNKLQQFMENKSRLLASGNQPDQDIA HFSQQIQELTLAMEDQKENLDTLEHLVTTLGSCGFALDLSQHQDKIQNLKKDFTELQKTV QEREKDASTCQEQLDEFRKLIRTFQKWLKETEGNVPPAKTFVSAKELEKQIEHLKDLISD WESKGALLGEINAKGTALESLIMDITAPDSQAKTDLTEIQCDMFDVNSKYEKLWEVLRER QESLQTVFSRMEEVQKEASSVLQWLESKEEVLKAMDATLSPTKTETVKAQAESNKAFLAE LEQNSPKIQKVKEALAGLLKTYPNSQEAENWKKMQEDLNSRWEKATEVTVARQKQLEESA SHLACFQAAESQLRPWLMEKELMMGVLGPLSIDPNMLNAQKQQVQFMLKEFEARRQQHEQ LNEAAQGILTGPGDMSPSASQVHKDLQSISQKWVELTDKLNSRSSQIDQAIVKSTQYQDL LQDLSEKVKAIGQRLSGQSAISTQPEAVKQQLEETSEIRSDLGQLDNEIKEAQTLCQELS LLIGEQYLKDELKKRLETVALPLQGLEDLAADRMNRLQAALASTQQFQQMFDELRTWLDE KQSQQAKNCPISAKLERLQCQLQENEEFQKNLNQHSGSYEVIVAEGEALLLSVPPGEEKK TLQNQLVELRSHWEDLSKKTANRQSRLKDCMQKAQKYQGHVEDLVPWIDECKSKMSELQV TLDPVQLESSLLRSKAMLNEAEKRRSLLEILNSAADILINSSEIDEDEIRDEKAGLNQNM DAITEELQAKTSSLEEMTQRLKEFQESFKNIEKKVEGAKHQLEIFDALGSQACSNKNLEK LKAQQEVLQALEPQVDYLRNFTQGLVEDAPDGSDASPLVHQAEVAQQEFLEVKQRVSSSC LTMENKLEGIGQFHCRVREMFSQLADLDDELDGMGAIGRDTDSLQSQIEDVRLFLNKIQA LRFDIEDSEAECRKMLEEEGTLDLLGLKRELEALNKQCGKLTERGKVRQEQLELTLGRVE DFYRKLKALNDAATAAEEGEALQWIVGTEVDVINQQLADFKLFQKDQVDPLQVKLQQVNG LGQGLIQSAGKNCDVQGLEHDMDEINTRWNTLNKKVAQRIAQLQEALLHCGKFQDALEPL LSWLTDTEELIANQKPPSAEYKVVKAQIQEQKLLQRLLDDRKATVDMLQAEGGRIAQSAE LADREKITGQLESLERRWTDLLSKAAARQKQLEDILVLAKQFHETAEPISDFLSVTEKKL ANSEPVGTQTAKIHQQIIRHKALNEEIINRKKNVDQAIKNGQALLKQTTGEEVLLIQEKL DGIKTRYADITLTSSKALRTLEQARQLATKFHSTYEELTGWLREAEEELAASGGQSPTGE QIPQFQQRQKELKKEVMEHRLVLDTVNEVSHALLELVPWRAREGLDKLVSDANEQYKLIS DTVGQRVDEIDAAIQRSQQYEQAADAELAWVAETKRKLMALGPIRLEQDQTTAQLQVQKA FSIDIIRHKDSMDELFSHRGEIFSTCGEEQKAVLQEKTECLIQQYEAVSLLNSERYARLE RAQVLVNQFWETYEELSPWAEETLALIAQLPPPAVDHEQLRQQQEEMRQLRESIAEHKPH IDKILKIGPQLKELNPEEGKMVEEKYQKAENMYAQIKDEVRQRALALDEAVSQSAQFHDK IEPMLETLENLSSRLRMPPLIPAEVDKIRECISDNKSATVELEKLQPSFEALKRRGEELI GRSQGADKDLAAKEIQDKLDQMVFFWEDIKARSEEREIKFLDVLELAEKFWYDMAALLTT IKDTQDIVHDLESPGIDPSIIKQQVEAAETIKEETDGLHEELEFIRILGADLIFACGETE KPEVKKSIDEMNNAWENLNKTWKERLEKLEDAMQAAVQYQDTLQAMFDWLDNTVIKLCTM PPVGTDLNTVKDQLNEMKEFKVEVYQQQIEMEKLNHQGELMLKKATDETDRDIIREPLTE LKHLWENLGEKIAHRQHKLEGALLALGQFQHALEELMSWLTHTEELLDAQRPISGDPKVI EVELAKHHVLKNDVLAHQATVATVNKAGSELLESSAGDDASSLRSRLETMNQCWESVLQK TEEREQQLQSTLQQAQGFHSEIEDFLLELNRMENQLSASKPTGGLPETAREQLDTHMELH SQLRAKEEIYNQLLDKGRLMLLSRGDSGSGSKTEQSVALLEQKWHAVSSKVEERKSKLEE ALSLATEFQNSLQEFINWLTLAEQSLNIASPPSLILNTVLSQIEEHKVFANEVNDHRDQI IELDQTGNQLKFLSQKQDVVLIKNLLVSVQSRWEKVVQRSIERGRSLDDARKRAKQFHEA WKKLIDWLEDAESHLDSELEISNDPDKIKLQLSKHKEFQKTLGGKQPVYDTTIRTGRALK EKTLLAGDTQKLDNLLGEVRDKWDTVCGKSVERQHKLEEALLFSGQFMDALQALVDWLYK VEPQLAEDQPVHGDLDLVMNLMDAHKVFQKELGKRTGTVQVLKRSGRELIEGSRDDTTWV KGQLQELSTRWDTVCKLSVSKQSRLEQALKQAEEFRDTVHMLLEWLSEAEQTLRFRGALP DDTEALQSLIDTHKEFMKKVEEKRVDVNTAVAMGEAILAVCHPDCITTIKHWITIIRARF EEVLTWAKQHQQRLETALSELVANAELLEELLAWIQWAETTLIQRDQEPIPQNIDRVKAL ITEHQSFMEEMTRKQPDVDRVTKTYKRKSVEPTHAPFMEKSRSGSRKSLNQPTPPPMPIL SQSEAKNPRINQLSARWQQVWLLALERQRKLNDALDRLEELKEFANFDFDVWRKKYMRWM NHKKSRVMDFFRRIDKDQDGKITRQEFIDGILASKFPTTKLEMTAVADIFDRDGDGYIDY YEFVAALHPNKDAYRPTTDADKIEDEVTRQVAQCKCAKRFQVEQIGENKYRFGDSQQLRL VRILRSTVMVRVGGGWMALDEFLVKNDPCRARGRTNIELREKFILPEGASQGMTPFRSRG RRSKPSSRAASPTRSSSSASQSNHSCTSMPSSPATPASGTKVISSSGSKLKRPTPAFHSS RTSLAGDTSNSSSPASTGAKANRADPKKSASRPGSRAGSRAGSRASSRRGSDASDFDLLE TQSACSDTSESSAAGGQGSSRRGLTKPSKIPTMSKKTTTASPRTPGPKR >ENSMUSP00000114568.1 pep:known chromosome:GRCm38:4:123349716:123544831:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000151346.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] VRKHINDLYEDLRDGHNLISLLEVLSGIKLPREKGRMRFHRLQNVQIALDFLKQRQVKLV NIRNDDITDGNPKLTLGLIWTIILHFQISDIYISGESGDMSAKEKLLLWTQKVTAGYTGV KCTNFSSCWSDGKMFNALIHRYRPDLVDMERVQVQSNRENLEQAFEVAERLGVTRLLDAE DVDVPSPDEKSVITYVSSIYDAFPKVPEGGEGISATEVDSRWQEYQSRVDSLIPWIRQHT ILMSDKSFPQNPVELKALYNQYIHFKETEILAKEREKGRIKELYKLLEVWIEFGRIKLPQ GYHPNHVEEEWGKLIVEMLEREKSLRPAVERLELLLQIANKIQNGALNCEEKLTLAKNTL QADAAHLESGQPVQCESDVIMYIQECEGLIRQLQVDLQILRDEKYYQLEELAFRVMRLQD ELVTLRLECTNLYRKGHFSSLELVPPSTLTTTHLKAEPLNKTTHSSSTSWFRKPMTRTEL VSISSSEDEGNLRFVYELLSWVEEMQMKLERAEWGNDLPSVELQLETQQHIHTSVEELGS SVKEARLYEGKMSQNFHTSYVETLGKLETQYCKLKETSSFRMRHLQSLHKFVSRATAELI WLNGKEEEELACDWSDSNPNISAKKTYFSELTMELEGKQDVFRSLQDTAEVLSLENHPAK QTVEAYSAAVQSQLQWMKQLCLCVEQHVKENAAYFQFFSDARDLESFLRNLQDSIKRKYT CDRSTSLSRLEDLLQDSMDEKEQLIQSKSSVASLVGRSKTIVQLKPRNPDHVLKSTLSVK AICDYRQIEITICKNDECVLEDNSQRTKWKVISPTGNEAMVPSVCFLIPPPNKEAIEMAS RVEQSYQKVMALWHQLHINTKSLISWNYLRKDLDTVQTWSLEKLRSLAPGECHQVMKNLQ AHYEDFLQDSHDSALFSVADRLRIEEEVEACKAHFQHLMKSLENEDKEETLAKVYISELK NIRLLLEECEQRLLKQIQSPASSKTDRDARQDITLRIAEQEHTQEDLQHLRSDLDAISMK CNVFLQQSPSGSSATTLRSELNLMVEKMDHVYGLSTVYLNKLKTIDVIVRSMQDAELLVK GYEIKLSQEEAVPADLSALESHRTTLQHWLSDVKDKNSVFSVLDEEITKAKKVAEQLRHP ASEPNLDLERYQEKGSQLQERWHRVIAQLETRQSEVESIQEVLRDYRACHGTLIKWIEET TAQQEMMKPGQAEDSRVLSEQLSQQTELFAEIERNQTKLDQCQKFSQQYSTIVKDYELQL MTYKAFVESQQKSPGKRRRMISSSDAITQEFMDLRTRYTALVTLTTQHVKYISDALRRLE EEEKVVEEEKQEHVEKVKDLLGWVSTLARNTQGTTTSSHTSASADIEKAILEQQVLAEEL TTKKEQVSEAIKTSQIFLAKHGHKLSEGEKEQISEQLRVLNKTYHDLCDGSANQLQQLQS ELAQQTEQKTLQKQQDTCHKKLEDLCNWVGQAERALERHQGGASRQELPALQQNQSDLKD LQGDIQSHSTSFATAVKDIEGFLEENQTKLSPQELTALREKLHQAKEQYEVLQERTRVAQ KELEEAVTSALQQETEKSKAATELAENKRKIDALLDWVASVGSSERKPQASLPGMEQFSG ACLEKQTLAATDGHVDVNQVPETLDRQYELMKARHQELLSQQQNFIVATQSVQSFLDQHS HNLTPEERQKLQEKLGELKEQYAASLARSEAELKQTQALRDELQKFLQDHKEFENWLQQS ENELDSMHKGGSSPEALNSLLKRQGSFSEDVISHKGDLRFVTISGQKVLETENNFEEGQE PSATRNLVNEKLKDATERYTTLHSKCIRLGSHLSMLLGQYQQFQSSADSLQAWVLTCEAS VGKLLSDTVASDPGVLQQQLATTKQLQEELAEHQVPVEKLQKAAHDLLDIEGEPALDCRP IQETTDSISSRFQNLSCSLDERSALLQKAIAQSQSVQESMESLLQSIREVEQNLERDQVA SLSSGVIQEALANNMKLKQDIARQKSSLEATHDMVTRFMETADSNSASVLQGKLAELSQR FQQLQLQQQEKESNLKKLLPQAEMFEQLSNKLQQFMENKSRLLASGNQPDQDIAHFSQQI QELTLAMEDQKENLDTLEHLVTTLGSCGFALDLSQHQDKIQNLKKDFTELQKTVQEREKD ASTCQEQLDEFRKLIRTFQKWLKETEGNVPPAKTFVSAKELEKQIEHLKDLISDWESKGA LLGEINAKGTALESLIMDITAPDSQAKTGSILPPVGSSVGSVNGYHTCKDLTEIQCDMFD VNSKYEKLWEVLRERQESLQTVFSRMEEVQKEASSVLQWLESKEEVLKAMDATLSPTKTE TVKAQAESNKAFLAELEQNSPKIQKVKEALAGLLKTYPNSQEAENWKKMQEDLNSRWEKA TEVTVARQKQLEESASHLACFQAAESQLRPWLMEKELMMGVLGPLSIDPNMLNAQKQQVQ FMLKEFEARRQQHEQLNEAAQGILTGPGDMSPSASQVHKDLQSISQKWVELTDKLNSRSS QIDQAIVKSTQYQDLLQDLSEKVKAIGQRLSGQSAISTQPEAVKQQLEETSEIRSDLGQL DNEIKEAQTLCQELSLLIGEQYLKDELKKRLETVALPLQGLEDLAADRMNRLQAALASTQ QFQQMFDELRTWLDEKQSQQAKNCPISAKLERLQCQLQENEEFQKNLNQHSGSYEVIVAE GEALLLSVPPGEEKKTLQNQLVELRSHWEDLSKKTANRQSRLKDCMQKAQKYQGHVEDLV PWIDECKSKMSELQVTLDPVQLESSLLRSKAMLNEAEKRRSLLEILNSAADILINSSEID EDEIRDEKAGLNQNMDAITEELQAKTSSLEEMTQRLKEFQESFKNIEKKVEGAKHQLEIF DALGSQACSNKNLEKLKAQQEVLQALEPQVDYLRNFTQGLVEDAPDGSDASPLVHQAEVA QQEFLEVKQRVSSSCLTMENKLEGIGQFHCRVREMFSQLADLDDELDGMGAIGRDTDSLQ SQIEDVRLFLNKIQALRFDIEDSEAECRKMLEEEGTLDLLGLKRELEALNKQCGKLTERG KVRQEQLELTLGRVEDFYRKLKALNDAATAAEEGEALQWIVGTEVDVINQQLADFKLFQK DQVDPLQVKLQQVNGLGQGLIQSAGKNCDVQGLEHDMDEINTRWNTLNKKVAQRIAQLQE ALLHCGKFQDALEPLLSWLTDTEELIANQKPPSAEYKVVKAQIQEQKLLQRLLDDRKATV DMLQAEGGRIAQSAELADREKITGQLESLERRWTDLLSKAAARQKQLEDILVLAKQFHET AEPISDFLSVTEKKLANSEPVGTQTAKIHQQIIRHKALEEEIENHATDVHQAVKIGQSLS SLTCPAEQGIMSEKLDSLQARYSEIQDRCCRKASLLEQALFNARLFGEDEVEVLNWLAEV EDKLSTVFVKDYRQDVLQKQHADHLALNEEIINRKKNVDQAIKNGQALLKQTTGEEVLLI QEKLDGIKTRYADITLTSSKALRTLEQARQLATKFHSTYEELTGWLREAEEELAASGGQS PTGEQIPQFQQRQKELKKEVMEHRLVLDTVNEVSHALLELVPWRAREGLDKLVSDANEQY KLISDTVGQRVDEIDAAIQRSQQYEQAADAELAWVAETKRKLMALGPIRLEQDQTTAQLQ VQKAFSIDIIRHKDSMDELFSHRGEIFSTCGEEQKAVLQEKTECLIQQYEAVSLLNSERY ARLERAQVLVNQFWETYEELSPWAEETLALIAQLPPPAVDHEQLRQQQEEMRQLRESIAE HKPHIDKILKIGPQLKELNPEEGKMVEEKYQKAENMYAQIKDEVRQRALALDEAVSQSAQ FHDKIEPMLETLENLSSRLRMPPLIPAEVDKIRECISDNKSATVELEKLQPSFEALKRRG EELIGRSQGADKDLAAKEIQDKLDQMVFFWEDIKARSEEREIKFLDVLELAEKFWYDMAA LLTTIKDTQDIVHDLESPGIDPSIIKQQVEAAETIKEETDGLHEELEFIRILGADLIFAC GETEKPEVKKSIDEMNNAWENLNKTWKERLEKLEDAMQAAVQYQDTLQAMFDWLDNTVIK LCTMPPVGTDLNTVKDQLNEMKEFKVEVYQQQIEMEKLNHQGELMLKKATDETDRDIIRE PLTELKHLWENLGEKIAHRQHKLEGALLALGQFQHALEELMSWLTHTEELLDAQRPISGD PKVIEVELAKHHVLKNDVLAHQATVATVNKAGSELLESSAGDDASSLRSRLETMNQCWES VLQKTEEREQQLQSTLQQAQGFHSEIEDFLLELNRMENQLSASKPTGGLPETAREQLDTH MELHSQLRAKEEIYNQLLDKGRLMLLSRGDSGSGSKTEQSVALLEQKWHAVSSKVEERKS KLEEALSLATEFQNSLQEFINWLTLAEQSLNIASPPSLILNTVLSQIEEHKVFANEVNDH RDQIIELDQTGNQLKFLSQKQDVVLIKNLLVSVQSRWEKVVQRSIERGRSLDDARKRAKQ FHEAWKKLIDWLEDAESHLDSELEISNDPDKIKLQLSKHKEFQKTLGGKQPVYDTTIRTG RALKEKTLLAGDTQKLDNLLGEVRDKWDTVCGKSVERQHKLEEALLFSGQFMDALQALVD WLYKVEPQLAEDQPVHGDLDLVMNLMDAHKVFQKELGKRTGTVQVLKRSGRELIEGSRDD TTWVKGQLQELSTRWDTVCKLSVSKQSRLEQALKQAEEFRDTVHMLLEWLSEAEQTLRFR GALPDDTEALQSLIDTHKEFMKKVEEKRVDVNTAVAMGEAILAVCHPDCITTIKHWITII RARFEEVLTWAKQHQQRLETALSELVANAELLEELLAWIQWAETTLIQRDQEPIPQNIDR VKALITEHQSFMEEMTRKQPDVDRVTKTYKRKSVEPTHAPFMEKSRSGSRKSLNQPTPPP MPILSQSEAKNPRINQLSARWQQVWLLALERQRKLNDALDRLEELKEFANFDFDVWRKKY MRWMNHKKSRVMDFFRRIDKDQDGKITRQEFIDGILASKFPTTKLEMTAVADIFDRDGDG YIDYYEFVAALHPNKDAYRPTTDADKIEDEVTRQVAQCKCAKRFQVEQIGENKYRFGDSQ QLRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRARGRTNIELREKFILPEGASQGMTPF RSRGRRSKPSSRAASPTRSSSSASQSNHSCTSMPSSPATPASGTKVISSSGSKLKRPTPA FHSSRTSLAGDTSNSSSPASTGAKANRADPKKSASRPGSRAGSRAGSRASSRRGSDASDF DLLETQSACSDTSESSAAGGQGSSRRGLTKPSKIPTMSKKTTTASPRTPGPKR >ENSMUSP00000080755.5 pep:known chromosome:GRCm38:4:123349716:123684360:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000082108.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] MSSSDEETLSERSCRSERSCRSERSYRSERSGSLSPCPPGDTLPWNLPLHEQKKRKSQDS VLDPAERAVVRVADERDRVQKKTFTKWVNKHLMKVRKHINDLYEDLRDGHNLISLLEVLS GIKLPREKGRMRFHRLQNVQIALDFLKQRQVKLVNIRNDDITDGNPKLTLGLIWTIILHF QISDIYISGESGDMSAKEKLLLWTQKVTAGYTGVKCTNFSSCWSDGKMFNALIHRYRPDL VDMERVQVQSNRENLEQAFEVAERLGVTRLLDAEDVDVPSPDEKSVITYVSSIYDAFPKV PEGGEGISATEVDSRWQEYQSRVDSLIPWIRQHTILMSDKSFPQNPVELKALYNQYIHFK ETEILAKEREKGRIKELYKLLEVWIEFGRIKLPQGYHPNHVEEEWGKLIVEMLEREKSLR PAVERLELLLQIANKIQNGALNCEEKLTLAKNTLQADAAHLESGQPVQCESDVIMYIQEC EGLIRQLQVDLQILRDEKYYQLEELAFRVMRLQDELVTLRLECTNLYRKGHFSSLELVPP STLTTTHLKAEPLNKTTHSSSTSWFRKPMTRTELVSISSSEDEGNLRFVYELLSWVEEMQ MKLERAEWGNDLPSVELQLETQQHIHTSVEELGSSVKEARLYEGKMSQNFHTSYVETLGK LETQYCKLKETSSFRMRHLQSLHKFVSRATAELIWLNGKEEEELACDWSDSNPNISAKKT YFSELTMELEGKQDVFRSLQDTAEVLSLENHPAKQTVEAYSAAVQSQLQWMKQLCLCVEQ HVKENAAYFQFFSDARDLESFLRNLQDSIKRKYTCDRSTSLSRLEDLLQDSMDEKEQLIQ SKSSVASLVGRSKTIVQLKPRNPDHVLKSTLSVKAICDYRQIEITICKNDECVLEDNSQR TKWKVISPTGNEAMVPSVCFLIPPPNKEAIEMASRVEQSYQKVMALWHQLHINTKSLISW NYLRKDLDTVQTWSLEKLRSLAPGECHQVMKNLQAHYEDFLQDSHDSALFSVADRLRIEE EVEACKAHFQHLMKSLENEDKEETLAKVYISELKNIRLLLEECEQRLLKQIQSPASSKTD RDARQDITLRIAEQEHTQEDLQHLRSDLDAISMKCNVFLQQSPSGSSATTLRSELNLMVE KMDHVYGLSTVYLNKLKTIDVIVRSMQDAELLVKGYEIKLSQEEAVPADLSALESHRTTL QHWLSDVKDKNSVFSVLDEEITKAKKVAEQLRHPASEPNLDLERYQEKGSQLQERWHRVI AQLETRQSEVESIQEVLRDYRACHGTLIKWIEETTAQQEMMKPGQAEDSRVLSEQLSQQT ELFAEIERNQTKLDQCQKFSQQYSTIVKDYELQLMTYKAFVESQQKSPGKRRRMISSSDA ITQEFMDLRTRYTALVTLTTQHVKYISDALRRLEEEEKVVEEEKQEHVEKVKDLLGWVST LARNTQGTTTSSHTSASADIEKAILEQQVLAEELTTKKEQVSEAIKTSQIFLAKHGHKLS EGEKEQISEQLRVLNKTYHDLCDGSANQLQQLQSELAQQTEQKTLQKQQDTCHKKLEDLC NWVGQAERALERHQGGASRQELPALQQNQSDLKDLQGDIQSHSTSFATAVKDIEGFLEEN QTKLSPQELTALREKLHQAKEQYEVLQERTRVAQKELEEAVTSALQQETEKSKAATELAE NKRKIDALLDWVASVGSSERKPQASLPGMEQFSGACLEKQTLAATDGHVDVNQVPETLDR QYELMKARHQELLSQQQNFIVATQSVQSFLDQHSHNLTPEERQKLQEKLGELKEQYAASL ARSEAELKQTQALRDELQKFLQDHKEFENWLQQSENELDSMHKGGSSPEALNSLLKRQGS FSEDVISHKGDLRFVTISGQKVLETENNFEEGQEPSATRNLVNEKLKDATERYTTLHSKC IRLGSHLSMLLGQYQQFQSSADSLQAWVLTCEASVGKLLSDTVASDPGVLQQQLATTKQL QEELAEHQVPVEKLQKAAHDLLDIEGEPALDCRPIQETTDSISSRFQNLSCSLDERSALL QKAIAQSQSVQESMESLLQSIREVEQNLERDQVASLSSGVIQEALANNMKLKQDIARQKS SLEATHDMVTRFMETADSNSASVLQGKLAELSQRFQQLQLQQQEKESNLKKLLPQAEMFE QLSNKLQQFMENKSRLLASGNQPDQDIAHFSQQIQELTLAMEDQKENLDTLEHLVTTLGS CGFALDLSQHQDKIQNLKKDFTELQKTVQEREKDASTCQEQLDEFRKLIRTFQKWLKETE GNVPPAKTFVSAKELEKQIEHLKDLISDWESKGALLGEINAKGTALESLIMDITAPDSQA KTGSILPPVGSSVGSVNGYHTCKDLTEIQCDMFDVNSKYEKLWEVLRERQESLQTVFSRM EEVQKEASSVLQWLESKEEVLKAMDATLSPTKTETVKAQAESNKAFLAELEQNSPKIQKV KEALAGLLKTYPNSQEAENWKKMQEDLNSRWEKATEVTVARQKQLEESASHLACFQAAES QLRPWLMEKELMMGVLGPLSIDPNMLNAQKQQVQFMLKEFEARRQQHEQLNEAAQGILTG PGDMSPSASQVHKDLQSISQKWVELTDKLNSRSSQIDQAIVKSTQYQDLLQDLSEKVKAI GQRLSGQSAISTQPEAVKQQLEETSEIRSDLGQLDNEIKEAQTLCQELSLLIGEQYLKDE LKKRLETVALPLQGLEDLAADRMNRLQAALASTQQFQQMFDELRTWLDEKQSQQAKNCPI SAKLERLQCQLQENEEFQKNLNQHSGSYEVIVAEGEALLLSVPPGEEKKTLQNQLVELRS HWEDLSKKTANRQSRLKDCMQKAQKYQGHVEDLVPWIDECKSKMSELQVTLDPVQLESSL LRSKAMLNEAEKRRSLLEILNSAADILINSSEIDEDEIRDEKAGLNQNMDAITEELQAKT SSLEEMTQRLKEFQESFKNIEKKVEGAKHQLEIFDALGSQACSNKNLEKLKAQQEVLQAL EPQVDYLRNFTQGLVEDAPDGSDASPLVHQAEVAQQEFLEVKQRVSSSCLTMENKLEGIG QFHCRVREMFSQLADLDDELDGMGAIGRDTDSLQSQIEDVRLFLNKIQALRFDIEDSEAE CRKMLEEEGTLDLLGLKRELEALNKQCGKLTERGKVRQEQLELTLGRVEDFYRKLKALND AATAAEEGEALQWIVGTEVDVINQQLADFKLFQKDQVDPLQVKLQQVNGLGQGLIQSAGK NCDVQGLEHDMDEINTRWNTLNKKVAQRIAQLQEALLHCGKFQDALEPLLSWLTDTEELI ANQKPPSAEYKVVKAQIQEQKLLQRLLDDRKATVDMLQAEGGRIAQSAELADREKITGQL ESLERRWTDLLSKAAARQKQLEDILVLAKQFHETAEPISDFLSVTEKKLANSEPVGTQTA KIHQQIIRHKALNEEIINRKKNVDQAIKNGQALLKQTTGEEVLLIQEKLDGIKTRYADIT LTSSKALRTLEQARQLATKFHSTYEELTGWLREAEEELAASGGQSPTGEQIPQFQQRQKE LKKEVMEHRLVLDTVNEVSHALLELVPWRAREGLDKLVSDANEQYKLISDTVGQRVDEID AAIQRSQQYEQAADAELAWVAETKRKLMALGPIRLEQDQTTAQLQVQKAFSIDIIRHKDS MDELFSHRGEIFSTCGEEQKAVLQEKTECLIQQYEAVSLLNSERYARLERAQVLVNQFWE TYEELSPWAEETLALIAQLPPPAVDHEQLRQQQEEMRQLRESIAEHKPHIDKILKIGPQL KELNPEEGKMVEEKYQKAENMYAQIKDEVRQRALALDEAVSQSAQFHDKIEPMLETLENL SSRLRMPPLIPAEVDKIRECISDNKSATVELEKLQPSFEALKRRGEELIGRSQGADKDLA AKEIQDKLDQMVFFWEDIKARSEEREIKFLDVLELAEKFWYDMAALLTTIKDTQDIVHDL ESPGIDPSIIKQQVEAAETIKEETDGLHEELEFIRILGADLIFACGETEKPEVKKSIDEM NNAWENLNKTWKERLEKLEDAMQAAVQYQDTLQAMFDWLDNTVIKLCTMPPVGTDLNTVK DQLNEMKEFKVEVYQQQIEMEKLNHQGELMLKKATDETDRDIIREPLTELKHLWENLGEK IAHRQHKLEGALLALGQFQHALEELMSWLTHTEELLDAQRPISGDPKVIEVELAKHHVLK NDVLAHQATVATVNKAGSELLESSAGDDASSLRSRLETMNQCWESVLQKTEEREQQLQST LQQAQGFHSEIEDFLLELNRMENQLSASKPTGGLPETAREQLDTHMELHSQLRAKEEIYN QLLDKGRLMLLSRGDSGSGSKTEQSVALLEQKWHAVSSKVEERKSKLEEALSLATEFQNS LQEFINWLTLAEQSLNIASPPSLILNTVLSQIEEHKVFANEVNDHRDQIIELDQTGNQLK FLSQKQDVVLIKNLLVSVQSRWEKVVQRSIERGRSLDDARKRAKQFHEAWKKLIDWLEDA ESHLDSELEISNDPDKIKLQLSKHKEFQKTLGGKQPVYDTTIRTGRALKEKTLLAGDTQK LDNLLGEVRDKWDTVCGKSVERQHKLEEALLFSGQFMDALQALVDWLYKVEPQLAEDQPV HGDLDLVMNLMDAHKVFQKELGKRTGTVQVLKRSGRELIEGSRDDTTWVKGQLQELSTRW DTVCKLSVSKQSRLEQALKQAEEFRDTVHMLLEWLSEAEQTLRFRGALPDDTEALQSLID THKEFMKKVEEKRVDVNTAVAMGEAILAVCHPDCITTIKHWITIIRARFEEVLTWAKQHQ QRLETALSELVANAELLEELLAWIQWAETTLIQRDQEPIPQNIDRVKALITEHQSFMEEM TRKQPDVDRVTKTYKRKSVEPTHAPFMEKSRSGSRKSLNQPTPPPMPILSQSEAKNPRIN QLSARWQQVWLLALERQRKLNDALDRLEELCPELKEFANFDFDVWRKKYMRWMNHKKSRV MDFFRRIDKDQDGKITRQEFIDGILASKFPTTKLEMTAVADIFDRDGDGYIDYYEFVAAL HPNKDAYRPTTDADKIEDEVTRQVAQCKCAKRFQVEQIGENKYRFFLGNQFGDSQQLRLV RILRSTVMVRVGGGWMALDEFLVKNDPCRARGRTNIELREKFILPEGASQGMTPFRSRGR RSKPSSRAASPTRSSSSASQSNHSCTSMPSSPATPASGTKVISSSGSKLKRPTPAFHSSR TSLAGDTSNSSSPASTGAKANRADPKKSASRPGSRAGSRAGSRASSRRGSDASDFDLLET QSACSDTSESSAAGGQGSSRRGLTKPSKIPTMSKKTTTASPRTPGPKR >ENSMUSP00000101831.1 pep:known chromosome:GRCm38:4:123349720:123684360:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000106224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] MSSSDEETLSERSCRSERSCRSERSYRSERSGSLSPCPPGDTLPWNLPLHEQKKRKSQDS VLDPAERAVVRVADERDRVQKKTFTKWVNKHLMKVRKHINDLYEDLRDGHNLISLLEVLS GIKLPREKGRMRFHRLQNVQIALDFLKQRQVKLVNIRNDDITDGNPKLTLGLIWTIILHF QISDIYISGESGDMSAKEKLLLWTQKVTAGYTGVKCTNFSSCWSDGKMFNALIHRYRPDL VDMERVQVQSNRENLEQAFEVAERLGVTRLLDAEDVDVPSPDEKSVITYVSSIYDAFPKV PEGGEGISATEVDSRWQEYQSRVDSLIPWIRQHTILMSDKSFPQNPVELKALYNQYIHFK ETEILAKEREKGRIKELYKLLEVWIEFGRIKLPQGYHPNHVEEEWGKLIVEMLEREKSLR PAVERLELLLQIANKIQNGALNCEEKLTLAKNTLQADAAHLESGQPVQCESDVIMYIQEC EGLIRQLQVDLQILRDEKYYQLEELAFRVMRLQDELVTLRLECTNLYRKGHFSSLELVPP STLTTTHLKAEPLNKTTHSSSTSWFRKPMTRTELVSISSSEDEGNLRFVYELLSWVEEMQ MKLERAEWGNDLPSVELQLETQQHIHTSVEELGSSVKEARLYEGKMSQNFHTSYVETLGK LETQYCKLKETSSFRMRHLQSLHKFVSRATAELIWLNGKEEEELACDWSDSNPNISAKKT YFSELTMELEGKQDVFRSLQDTAEVLSLENHPAKQTVEAYSAAVQSQLQWMKQLCLCVEQ HVKENAAYFQFFSDARDLESFLRNLQDSIKRKYTCDRSTSLSRLEDLLQDSMDEKEQLIQ SKSSVASLVGRSKTIVQLKPRNPDHVLKSTLSVKAICDYRQIEITICKNDECVLEDNSQR TKWKVISPTGNEAMVPSVCFLIPPPNKEAIEMASRVEQSYQKVMALWHQLHINTKSLISW NYLRKDLDTVQTWSLEKLRSLAPGECHQVMKNLQAHYEDFLQDSHDSALFSVADRLRIEE EVEACKAHFQHLMKSLENEDKEETLAKVYISELKNIRLLLEECEQRLLKQIQSPASSKTD RDARQDITLRIAEQEHTQEDLQHLRSDLDAISMKCNVFLQQSPSGSSATTLRSELNLMVE KMDHVYGLSTVYLNKLKTIDVIVRSMQDAELLVKGYEIKLSQEEAVPADLSALESHRTTL QHWLSDVKDKNSVFSVLDEEITKAKKVAEQLRHPASEPNLDLERYQEKGSQLQERWHRVI AQLETRQSEVESIQEVLRDYRACHGTLIKWIEETTAQQEMMKPGQAEDSRVLSEQLSQQT ELFAEIERNQTKLDQCQKFSQQYSTIVKDYELQLMTYKAFVESQQKSPGKRRRMISSSDA ITQEFMDLRTRYTALVTLTTQHVKYISDALRRLEEEEKVVEEEKQEHVEKVKDLLGWVST LARNTQGTTTSSHTSASADIEKAILEQQVLAEELTTKKEQVSEAIKTSQIFLAKHGHKLS EGEKEQISEQLRVLNKTYHDLCDGSANQLQQLQSELAQQTEQKGCRAVAGVIDLGTVEIF PIFRAMQKGLIDQDTGLVLLESQIIMSGLIDPENSEKLSLEEGLTRNFINLPIYQQLLGL RDSLSLVSRLTGTLGSLSVVEAIEKKIISERLGLKVLEVHLATGGFSLPPSENCINLEEA FHQGFIASSLHSELQSHLRSSKNLIDPNTAEKVGLLDLMQRCIIHQESGLKLLPVKQLAG GMVSLKSGRKVSIFRAVQEGLIDRQVTVRLLEAQLFAGGIVDPRTGHRLTVEEAVRHNLI DQDMACAILIRQLQTGGIIDTVTGDRMTIDEAVTNNLVAAKIALVILESLWSFMGLLLPE SGEILPITDALEQGIVSTELAHKILHNRQQIEALFLPTLTEIWSWEKATESGILDKDLVN NLRSVCIPDMMPHIQLADSAEQSKVGFAAGKPPVSGPREEGSSHGEKLLFQLMTHSYIHA HTGQRLLLLDQELVEMLTSRDDCQVILPEVFEIQHQRLNTSEALQELYTGTISQISSAKH PRKPCESQFLSQNKDYPSQENCTEAKGERSVVGIECSPAESPERELFLKEQEAIIENVGS LKVINKVKLKLQRPLLGSRKEEQAETLREENISGDPLLVECPEESEGKDLSTEKSKCQTP TKCSFTCHKEQVKTIKDIPSETGTSLIKSQNQMSQFQVDTSVGLRSEFKSEHDMNVNSLE KELKEELLVKDGHKQSQEGQSVADGQTVALEKTDTEDNADEPALLHSSPFEDATLSTLSA QLQDGGIFNEETGQKLLLNEAIAQGLVSSHTAVKLMGKLNMFRGFFDSQTCESLTTEEVI DEGLMDEKLLYNVLMSDKAISGILDPRTHSLCSVKEAVAAGLLDKETATRILEGQVITGG IVDLKRGKKLSVTLASNLGLVDTADQTELINLEKATKGRGAEKAVKERLIELQMETAGLM DPESKAPLTVLQSIDRGILEREAAVYLLTKQVLDGGIIHHISGLRLSVDNAFKHGLIGED MARQLRKVENFIHYQFFHPQTKEALSFSEAIKLDLVSPDLKREIQEIQDFSGNLGDFIYG QKLTLAKTNKEESLANKTELPSGVMHGVIDPENCTIIPYSELVKKCRIDTESGWRYLEVI PFSDIKDEAGNNVLTPPEAIQLGKVDFASALKVLEAQANTGGIIDMATGKRVTLASALEK KLLDENMARIIASHQMLSGGIIDIYSDQRVTLNDAVEKRLISPELAAMIQVDPLAEQGGT GVCELKGDFLRKELLSESSKTPRESYSKEKHEAVLQAGSLCAPEKAGIRGSNGEKAEKGR KISVEMEGQRQDEKASSDNKVSASILSPFGFEGESSYQVSVTHPCSESCDLKPREETRSC MKKCAVVERDKVVTQIKMVSHVKQSTSGLDAEEARERQGRMVSKEQGSHYETAGNLLSER SVRVDRRVRREMGGEQSVQMSREAAVLSEEELDQEVTIGDEPDSFVKSQSMKMIGNDKGK EAGIEKDISVVCKIEGFPSQMTSKDASLTNQDALPFYTEGETKTVNLCSILKPGEKLSQE TASTVQKEPLSSEIPRPERLNSQESDEEPQISDVPHISKGDMAAQITTRQETTDVQDLYI TSKSSETKDKIFPSKNYIEKLHQEIPMDPTRSHKLKEATISTLETEGISYLDSSDIKSLC EDSKADHKSCGHQKSKVTTTQAKKSLEVVDLLVRDTEEGSSEDRVGQRGPRVLASLLPEK LPTRTVQSENIRQHDAVIPAISEIREEMALSLPCSVVKVDGKIPKEKHKEILGDEQGPFM AIPSGKGIEGVNPEPCRATQNVFTRRLCLEHDEKLVSYLSLLRDIEMRTKQIQPLELNVA ELQDLLGQAKELDRELKDLSTVVSQELECVDRIVISQPQEVPAQLLKALEKDAKNLQKSL DSVSDSWSSRFLHLQSAVEVKKATVLNRHKELQGKLQDLRAWVGRASLTLNSKGCDTETD ADSLSHTLQPYKDMKQSMAERKSQLDALALDIQLFISEHPQDLSLQQNQEMLQFLSELQR SFQGLVEHTAAQKDVVQGHLQQVQQEVQVKTLQKQQDTCHKKLEDLCNWVGQAERALERH QGGASRQELPALQQNQSDLKDLQGDIQSHSTSFATAVKDIEGFLEENQTKLSPQELTALR EKLHQAKEQYEVLQERTRVAQKELEEAVTSALQQETEKSKAATELAENKRKIDALLDWVA SVGSSERKPQASLPGMEQFSGACLEKQTLAATDGHVDVNQVPETLDRQYELMKARHQELL SQQQNFIVATQSVQSFLDQHSHNLTPEERQKLQEKLGELKEQYAASLARSEAELKQTQAL RDELQKFLQDHKEFENWLQQSENELDSMHKGGSSPEALNSLLKRQGSFSEDVISHKGDLR FVTISGQKVLETENNFEEGQEPSATRNLVNEKLKDATERYTTLHSKCIRLGSHLSMLLGQ YQQFQSSADSLQAWVLTCEASVGKLLSDTVASDPGVLQQQLATTKQLQEELAEHQVPVEK LQKAAHDLLDIEGEPALDCRPIQETTDSISSRFQNLSCSLDERSALLQKAIAQSQSVQES MESLLQSIREVEQNLERDQVASLSSGVIQEALANNMKLKQDIARQKSSLEATHDMVTRFM ETADSNSASVLQGKLAELSQRFQQLQLQQQEKESNLKKLLPQAEMFEQLSNKLQQFMENK SRLLASGNQPDQDIAHFSQQIQELTLAMEDQKENLDTLEHLVTTLGSCGFALDLSQHQDK IQNLKKDFTELQKTVQEREKDASTCQEQLDEFRKLIRTFQKWLKETEGNVPPAKTFVSAK ELEKQIEHLKDLISDWESKGALLGEINAKGTALESLIMDITAPDSQAKTGSILPPVGSSV GSVNGYHTCKDLTEIQCDMFDVNSKYEKLWEVLRERQESLQTVFSRMEEVQKEASSVLQW LESKEEVLKAMDATLSPTKTETVKAQAESNKAFLAELEQNSPKIQKVKEALAGLLKTYPN SQEAENWKKMQEDLNSRWEKATEVTVARQKQLEESASHLACFQAAESQLRPWLMEKELMM GVLGPLSIDPNMLNAQKQQFMLKEFEARRQQHEQLNEAAQGILTGPGDMSPSASQVHKDL QSISQKWVELTDKLNSRSSQIDQAIVKSTQYQDLLQDLSEKVKAIGQRLSGQSAISTQPE AVKQQLEETSEIRSDLGQLDNEIKEAQTLCQELSLLIGEQYLKDELKKRLETVALPLQGL EDLAADRMNRLQAALASTQQFQQMFDELRTWLDEKQSQQAKNCPISAKLERLQCQLQENE EFQKNLNQHSGSYEVIVAEGEALLLSVPPGEEKKTLQNQLVELRSHWEDLSKKTANRQSR LKDCMQKAQKYQGHVEDLVPWIDECKSKMSELQVTLDPVQLESSLLRSKAMLNEAEKRRS LLEILNSAADILINSSEIDEDEIRDEKAGLNQNMDAITEELQAKTSSLEEMTQRLKEFQE SFKNIEKKVEGAKHQLEIFDALGSQACSNKNLEKLKAQQEVLQALEPQVDYLRNFTQGLV EDAPDGSDASPLVHQAEVAQQEFLEVKQRVSSSCLTMENKLEGIGQFHCRVREMFSQLAD LDDELDGMGAIGRDTDSLQSQIEDVRLFLNKIQALRFDIEDSEAECRKMLEEEGTLDLLG LKRELEALNKQCGKLTERGKVRQEQLELTLGRVEDFYRKLKALNDAATAAEEGEALQWIV GTEVDVINQQLADFKLFQKDQVDPLQVKLQQVNGLGQGLIQSAGKNCDVQGLEHDMDEIN TRWNTLNKKVAQRIAQLQEALLHCGKFQDALEPLLSWLTDTEELIANQKPPSAEYKVVKA QIQEQKLLQRLLDDRKATVDMLQAEGGRIAQSAELADREKITGQLESLERRWTDLLSKAA ARQKQLEDILVLAKQFHETAEPISDFLSVTEKKLANSEPVGTQTAKIHQQIIRHKALNEE IINRKKNVDQAIKNGQALLKQTTGEEVLLIQEKLDGIKTRYADITLTSSKALRTLEQARQ LATKFHSTYEELTGWLREAEEELAASGGQSPTGEQIPQFQQRQKELKKEVMEHRLVLDTV NEVSHALLELVPWRAREGLDKLVSDANEQYKLISDTVGQRVDEIDAAIQRSQQYEQAADA ELAWVAETKRKLMALGPIRLEQDQTTAQLQVQKAFSIDIIRHKDSMDELFSHRGEIFSTC GEEQKAVLQEKTECLIQQYEAVSLLNSERYARLERAQVLVNQFWETYEELSPWAEETLAL IAQLPPPAVDHEQLRQQQEEMRQLRESIAEHKPHIDKILKIGPQLKELNPEEGKMVEEKY QKAENMYAQIKDEVRQRALALDEAVSQSAQFHDKIEPMLETLENLSSRLRMPPLIPAEVD KIRECISDNKSATVELEKLQPSFEALKRRGEELIGRSQGADKDLAAKEIQDKLDQMVFFW EDIKARSEEREIKFLDVLELAEKFWYDMAALLTTIKDTQDIVHDLESPGIDPSIIKQQVE AAETIKEETDGLHEELEFIRILGADLIFACGETEKPEVKKSIDEMNNAWENLNKTWKERL EKLEDAMQAAVQYQDTLQAMFDWLDNTVIKLCTMPPVGTDLNTVKDQLNEMKEFKVEVYQ QQIEMEKLNHQGELMLKKATDETDRDIIREPLTELKHLWENLGEKIAHRQHKLEGALLAL GQFQHALEELMSWLTHTEELLDAQRPISGDPKVIEVELAKHHVLKNDVLAHQATVATVNK AGSELLESSAGDDASSLRSRLETMNQCWESVLQKTEEREQQLQSTLQQAQGFHSEIEDFL LELNRMENQLSASKPTGGLPETAREQLDTHMELHSQLRAKEEIYNQLLDKGRLMLLSRGD SGSGSKTEQSVALLEQKWHAVSSKVEERKSKLEEALSLATEFQNSLQEFINWLTLAEQSL NIASPPSLILNTVLSQIEEHKVFANEVNDHRDQIIELDQTGNQLKFLSQKQDVVLIKNLL VSVQSRWEKVVQRSIERGRSLDDARKRAKQFHEAWKKLIDWLEDAESHLDSELEISNDPD KIKLQLSKHKEFQKTLGGKQPVYDTTIRTGRALKEKTLLAGDTQKLDNLLGEVRDKWDTV CGKSVERQHKLEEALLFSGQFMDALQALVDWLYKVEPQLAEDQPVHGDLDLVMNLMDAHK VFQKELGKRTGTVQVLKRSGRELIEGSRDDTTWVKGQLQELSTRWDTVCKLSVSKQSRLE QALKQAEEFRDTVHMLLEWLSEAEQTLRFRGALPDDTEALQSLIDTHKEFMKKVEEKRVD VNTAVAMGEAILAVCHPDCITTIKHWITIIRARFEEVLTWAKQHQQRLETALSELVANAE LLEELLAWIQWAETTLIQRDQEPIPQNIDRVKALITEHQSFMEEMTRKQPDVDRVTKTYK RKSVEPTHAPFMEKSRSGSRKSLNQPTPPPMPILSQSEAKNPRINQLSARWQQVWLLALE RQRKLNDALDRLEELCPELKEFANFDFDVWRKKYMRWMNHKKSRVMDFFRRIDKDQDGKI TRQEFIDGILASKFPTTKLEMTAVADIFDRDGDGYIDYYEFVAALHPNKDAYRPTTDADK IEDEVTRQVAQCKCAKRFQVEQIGENKYRFFLGNQFGDSQQLRLVRILRSTVMVRVGGGW MALDEFLVKNDPCRARGRTNIELREKFILPEGASQGMTPFRSRGRRSKPSSRAASPTRSS SSASQSNHSCTSMPSSPATPASGTKVISSSGSKLKRPTPAFHSSRTSLAGDTSNSSSPAS TGAKANRADPKKSASRPGSRAGSRAGSRASSRRGSDASDFDLLETQSACSDTSESSAAGG QGSSRRGLTKPSKIPTMSKKTTTASPRTPGPKR >ENSMUSP00000117433.1 pep:known chromosome:GRCm38:4:123383129:123390538:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000140596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] KAVLQEKTECLIQQYEAVSLLNSERYARLERAQVLVNQFWETYEELSPWAEETLALIAQL PPPAVDHEQLRQQQEEMRQLRESIAEHKPHIDKILKIGPQLKELNPEEGKMVEEKYQKAE NMYAQIKDEVRQRALALDEAVSQSAQITEFHDKIEPMLETLENLSSRLRMPPLIPAEVDK IRECISDNKSATVELEKLQPSFEALKRRGEELIGRSQGADKDLAAKEIQDKLDQMVFFWE DIKARSEEREIKFLDVLELAEKFWYDMAALLTTIKDTQDIVHDLESPGIDPSIIKQQVEA AETIKEETDGLHEELEFIRILGADLIFACGETEKPEVKKSIDEMNNAWENLNKTWKERLE KLEDAMQAAV >ENSMUSP00000115847.1 pep:known chromosome:GRCm38:4:123456349:123527648:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000147228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] MGNSLGCVKEPKESIAVPEKAPISPKKRVRFKRKWRGKKILTPEASHREEALEGTGVIEE TETLTKLTARLPKEPGVGGAEHPPSDIFLPGDSAPNSGVGDQGMIVQVKESFQAEIQTAH LLLENESSVVGGAWDSLEEGMTVIAHLLDNPAERNCEKSVSQLVEFPRTASCSSRAVLLP LQGETAVEQGGTLLRHRHRSSTLPRTDYPSETVDQDQPSEGWSVGGRTKSVPSAPPTGSW IAKCSVASSIPKQSGDPIHTEPTHVGLVSCKGPIMPASQSDLSVSGITVSILPSSSGYGS DGLRLHGIRPEDTEPEKTSTPFSEEDGTLSLEISDIYISGESGDMSAKEKLLLWTQKVTA GYTGVKCTNFSSCWSDGKMFNALIHRYRPDLVDMERVQVQSNRENLEQAFEVAERLGVTR LLDAEDVDVPSPDEKSVITYVSSIYDAFPKVPEGGEGISATEVDSRWQEYQSRVDSLIPW IRQHTILMSDKSFPQNPVELKALYNQYIHFKETEILAKEREKGRIKELYKLLEVWIEFGR IKLPQGYHPNHVEEEWGKLIVEMLEREKSLRPAVERLELLLQIANKIQNGALNCEEKLTL AKNTLQADAAHLESGQPVQCESDVIMYIQECEGLIRQLQVDLQILRDEKYYQLEELAFRV MRLQDELVTLRLECTNLYRKGHFSSLELVPPSTLTTTHLKAEPLNKTTHSSSTSWFRKPM TRTELVSISSSEDEGNLRFVYELLSWVEEMQMKLERAEWGNDLPSVELQLETQQHIHTSV EELGSSVKEARLYEGKMSQNFHTSYVETLGKLETQYCKLKETSSFRMRHLQSLHKFVSRA TAELIWLNGKEEEELACDWSDSNPNISAKKTYFSELTMELEGKQDVFRSLQDTAEVLSLE NHPAKQTVEAYSAAVQSQLQWMKQLCLCVEQHVKENAAYFQFFSDARDLESFLRNLQDSI KRKYTCDRSTSLSRLEDLLQDSMDEKEQLIQSKSSVASLVGRSKTIVQLKPRNPDHVLKS TLSVKAICDYRQIEITICKNDECVLEDNSQRTKWKVISPTGNEAMVPSVCFLIPPPNKEA IEMASRVEQSYQKVMALWHQLHINTKSLISWNYLRKDLDTVQTWSLEKLRSLAPGECHQV MKNLQAHYEDFLQDSHDSALFSVADRLRIEEEVEACKAHFQHLMKSLENEDKEETLAKVY ISELKNIRLLLEECEQRLLKQIQSPASSKTDRDARQDITLRIAEQEHTQEDLQHLRSDLD AISMKCNVFLQQSPSGSSATTLRSELNLMVEKMDHVYGLSTVYLNKLKTIDVIVRSMQDA ELLVKGYEIKLSQEEAVPADLSALESHRTTLQHWLSDVKDKNSVFSVLDEEITKAKKVAE QLRHPASEPNLDLERYQEKGSQLQERWHRVIAQLETRQSEVESIQEVLRDYRACHGTLIK WIEETTAQQEMMKPGQAEDSRVLSEQLSQQTELFAEIERNQTKLDQCQKFSQQYSTIVKD YELQLMTYKAFVESQQKSPGKRRRMISSSDAITQEFMDLRTRYTALVTLTTQHVKYISDA LRRLEEEEKVVEEEKQEHVEKVKDLLGWVSTLARNTQGTTTSSHTSASADIEKAILEQQV LAEELTTKKEQVSEAIKTSQIFLAKHGHKLSEGEKEQISEQLRVLNKTYHDLCDGSANQL QQLQSELAQQTEQKTLQKQQDTCHKKLEDLCNWVGQAERALERHQGGASRQELPALQQNQ SDLKDLQGDIQSHSTSFATAVKDIEGFLEENQTKLSPQELTALREKLHQAKEQYEVLQER TRVAQKELEEAVTSALQQETEKSKAATELAENKRKIDALLDWVASVGSSERKPQASLPGM EQFSGACLEKQTLAATDGHVDVNQVPETLDRQYELMKARHQELLSQQQNFIVATQSVQSF LDQHSHNLTPEERQKLQEKLGELKEQYAASLARSEAELKQTQALRDELQKFLQDHKEFEN WLQQSENELDSMHKGGSSPEALNSLLKRQGSFSEDVISHKGDLRFVTISG >ENSMUSP00000123246.1 pep:known chromosome:GRCm38:4:123456349:123664752:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000147030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] MAGYVPGQQPANRSQEKEFVQAYEDVLERYKDERDRVQKKTFTKWVNKHLMKVRKHINDL YEDLRDGHNLISLLEVLSGIKLPREKGRMRFHRLQNVQIALDFLKQRQVKLVNIRNDDIT DGNPKLTLGLIWTIILHFQISDIYISGESGDMSAKEKLLLWTQKVTAGYTGVKCTNFSSC WSDGKMFNALIHRYRPDLVDMERVQVQSNRENLEQAFEVAERLGVTRLLDAEDVDVPSPD EKSVITYVSSIYDAFPKVPEGGEGISATEVDSRWQEYQSRVDSLIPWIRQHTILMSDKSF PQNPVELKALYNQYIHFKETEILAKEREKGRIKELYKLLEVWIEFGRIKLPQGYHPNHVE EEWGKLIVEMLEREKSLRPAVERLELLLQIANKIQNGALNCEEKLTLAKNTLQADAAHLE SGQPVQCESDVIMYIQECEGLIRQLQVDLQILRDEKYYQLEELAFRVMRLQDELVTLRLE CTNLYRKGHFSSLELVPPSTLTTTHLKAEPLNKTTHSSSTSWFRKPMTRTELVSISSSED EGNLRFVYELLSWVEEMQMKLERAEWGNDLPSVELQLETQQHIHTSVEELGSSVKEARLY EGKMSQNFHTSYVETLGKLETQYCKLKETSSFRMRHLQSLHKFVSRATAELIWLNGKEEE ELACDWSDSNPNISAKKTYFSELTMELEGKQDVFRSLQDTAEVLSLENHPAKQTVEAYSA AVQSQLQWMKQLCLCVEQHVKENAAYFQFFSDARDLESFLRNLQDSIKRKYTCDRSTSLS RLEDLLQDSMDEKEQLIQSKSSVASLVGRSKTIVQLKPRNPDHVLKSTLSVKAICDYRQI EITICKNDECVLEDNSQRTKWKVISPTGNEAMVPSVCFLIPPPNKEAIEMASRVEQSYQK VMALWHQLHINTKSLISWNYLRKDLDTVQTWSLEKLRSLAPGECHQVMKNLQAHYEDFLQ DSHDSALFSVADRLRIEEEVEACKAHFQHLMKSLENEDKEETLAKVYISELKNIRLLLEE CEQRLLKQIQSPASSKTDRDARQDITLRIAEQEHTQEDLQHLRSDLDAISMKCNVFLQQS PSGSSATTLRSELNLMVEKMDHVYGLSTVYLNKLKTIDVIVRSMQDAELLVKGYEIKLSQ EEAVPADLSALESHRTTLQHWLSDVKDKNSVFSVLDEEITKAKKVAEQLRHPASEPNLDL ERYQEKGSQLQERWHRVIAQLETRQSEVESIQEVLRDYRACHGTLIKWIEETTAQQEMMK PGQAEDSRVLSEQLSQQTELFAEIERNQTKLDQCQKFSQQYSTIVKDYELQLMTYKAFVE SQQKSPGKRRRMISSSDAITQEFMDLRTRYTALVTLTTQHVKYISDALRRLEEEEKVVEE EKQEHVEKVKDLLGWVSTLARNTQGTTTSSHTSASADIEKAILEQQVLAEELTTKKEQVS EAIKTSQIFLAKHGHKLSEGEKEQISEQLRVLNKTYHDLCDGSANQLQQLQSELAQQTEQ KTLQKQQDTCHKKLEDLCNWVGQAERALERHQGGASRQELPALQQNQSDLKDLQGDIQSH STSFATAVKDIEGFLEENQTKLSPQELTALREKLHQAKEQYEVLQERTRVAQKELEEAVT SALQQETEKSKAATELAENKRKIDALLDWVASVGSSERKPQASLPGMEQFSGACLEKQTL AATDGHVDVNQVPETLDRQYELMKARHQELLSQQQNFIVATQSVQSFLDQHSHNLTPEER QKLQEKLGELKEQYAASLARSEAELKQTQALRDELQKFLQDHKEFENWLQQSENELDSMH KGGSSPEALNSLLKRQGSFSEDVISHKGDLRFVTISG >ENSMUSP00000095507.4 pep:known chromosome:GRCm38:4:123349633:123684360:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000097897.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] MSSSDEETLSERSCRSERSCRSERSYRSERSGSLSPCPPGDTLPWNLPLHEQKKRKSQDS VLDPAERAVVRVADERDRVQKKTFTKWVNKHLMKVRKHINDLYEDLRDGHNLISLLEVLS GIKLPREKGRMRFHRLQNVQIALDFLKQRQVKLVNIRNDDITDGNPKLTLGLIWTIILHF QISDIYISGESGDMSAKEKLLLWTQKVTAGYTGVKCTNFSSCWSDGKMFNALIHRYRPDL VDMERVQVQSNRENLEQAFEVAERLGVTRLLDAEDVDVPSPDEKSVITYVSSIYDAFPKV PEGGEGISATEVDSRWQEYQSRVDSLIPWIRQHTILMSDKSFPQNPVELKALYNQYIHFK ETEILAKEREKGRIKELYKLLEVWIEFGRIKLPQGYHPNHVEEEWGKLIVEMLEREKSLR PAVERLELLLQIANKIQNGALNCEEKLTLAKNTLQADAAHLESGQPVQCESDVIMYIQEC EGLIRQLQVDLQILRDEKYYQLEELAFRVMRLQDELVTLRLECTNLYRKGHFSSLELVPP STLTTTHLKAEPLNKTTHSSSTSWFRKPMTRTELVSISSSEDEGNLRFVYELLSWVEEMQ MKLERAEWGNDLPSVELQLETQQHIHTSVEELGSSVKEARLYEGKMSQNFHTSYVETLGK LETQYCKLKETSSFRMRHLQSLHKFVSRATAELIWLNGKEEEELACDWSDSNPNISAKKT YFSELTMELEGKQDVFRSLQDTAEVLSLENHPAKQTVEAYSAAVQSQLQWMKQLCLCVEQ HVKENAAYFQFFSDARDLESFLRNLQDSIKRKYTCDRSTSLSRLEDLLQDSMDEKEQLIQ SKSSVASLVGRSKTIVQLKPRNPDHVLKSTLSVKAICDYRQIEITICKNDECVLEDNSQR TKWKVISPTGNEAMVPSVCFLIPPPNKEAIEMASRVEQSYQKVMALWHQLHINTKSLISW NYLRKDLDTVQTWSLEKLRSLAPGECHQVMKNLQAHYEDFLQDSHDSALFSVADRLRIEE EVEACKAHFQHLMKSLENEDKEETLAKVYISELKNIRLLLEECEQRLLKQIQSPASSKTD RDARQDITLRIAEQEHTQEDLQHLRSDLDAISMKCNVFLQQSPSGSSATTLRSELNLMVE KMDHVYGLSTVYLNKLKTIDVIVRSMQDAELLVKGYEIKLSQEEAVPADLSALESHRTTL QHWLSDVKDKNSVFSVLDEEITKAKKVAEQLRHPASEPNLDLERYQEKGSQLQERWHRVI AQLETRQSEVESIQEVLRDYRACHGTLIKWIEETTAQQEMMKPGQAEDSRVLSEQLSQQT ELFAEIERNQTKLDQCQKFSQQYSTIVKDYELQLMTYKAFVESQQKSPGKRRRMISSSDA ITQEFMDLRTRYTALVTLTTQHVKYISDALRRLEEEEKVVEEEKQEHVEKVKDLLGWVST LARNTQGTTTSSHTSASADIEKAILEQQVLAEELTTKKEQVSEAIKTSQIFLAKHGHKLS EGEKEQISEQLRVLNKTYHDLCDGSANQLQQLQSELAQQTEQKGCRAVAGVIDLGTVEIF PIFRAMQKGLIDQDTGLVLLESQIIMSGLIDPENSEKLSLEEGLTRNFINLPIYQQLLGL RDSLSLVSRLTGTLGSLSVVEAIEKKIISERLGLKVLEVHLATGGFSLPPSENCINLEEA FHQGFIASSLHSELQSHLRSSKNLIDPNTAEKVGLLDLMQRCIIHQESGLKLLPVKQLAG GMVSLKSGRKVSIFRAVQEGLIDRQVTVRLLEAQLFAGGIVDPRTGHRLTVEEAVRHNLI DQDMACAILIRQLQTGGIIDTVTGDRMTIDEAVTNNLVAAKIALVILESLWSFMGLLLPE SGEILPITDALEQGIVSTELAHKILHNRQQIEALFLPTLTEIWSWEKATESGILDKDLVN NLRSVCIPDMMPHIQLADSAEQSKVGFAAGKPPVSGPREEGSSHGEKLLFQLMTHSYIHA HTGQRLLLLDQELVEMLTSRDDCQVILPEVFEIQHQRLNTSEALQELYTGTISQISSAKH PRKPCESQFLSQNKDYPSQENCTEAKGERSVVGIECSPAESPERELFLKEQEAIIENVGS LKVINKVKLKLQRPLLGSRKEEQAETLREENISGDPLLVECPEESEGKDLSTEKSKCQTP TKCSFTCHKEQVKTIKDIPSETGTSLIKSQNQMSQFQVDTSVGLRSEFKSEHDMNVNSLE KELKEELLVKDGHKQSQEGQSVADGQTVALEKTDTEDNADEPALLHSSPFEDATLSTLSA QLQDGGIFNEETGQKLLLNEAIAQGLVSSHTAVKLMGKLNMFRGFFDSQTCESLTTEEVI DEGLMDEKLLYNVLMSDKAISGILDPRTHSLCSVKEAVAAGLLDKETATRILEGQVITGG IVDLKRGKKLSVTLASNLGLVDTADQTELINLEKATKGRGAEKAVKERLIELQMETAGLM DPESKAPLTVLQSIDRGILEREAAVYLLTKQVLDGGIIHHISGLRLSVDNAFKHGLIGED MARQLRKVENFIHYQFFHPQTKEALSFSEAIKLDLVSPDLKREIQEIQDFSGNLGDFIYG QKLTLAKTNKEESLANKTELPSGVMHGVIDPENCTIIPYSELVKKCRIDTESGWRYLEVI PFSDIKDEAGNNVLTPPEAIQLGKVDFASALKVLEAQANTGGIIDMATGKRVTLASALEK KLLDENMARIIASHQMLSGGIIDIYSDQRVTLNDAVEKRLISPELAAMIQVDPLAEQGGT GVCELKGDFLRKELLSESSKTPRESYSKEKHEAVLQAGSLCAPEKAGIRGSNGEKAEKGR KISVEMEGQRQDEKASSDNKVSASILSPFGFEGESSYQVSVTHPCSESCDLKPREETRSC MKKCAVVERDKVVTQIKMVSHVKQSTSGLDAEEARERQGRMVSKEQGSHYETAGNLLSER SVRVDRRVRREMGGEQSVQMSREAAVLSEEELDQEVTIGDEPDSFVKSQSMKMIGNDKGK EAGIEKDISVVCKIEGFPSQMTSKDASLTNQDALPFYTEGETKTVNLCSILKPGEKLSQE TASTVQKEPLSSEIPRPERLNSQESDEEPQISDVPHISKGDMAAQITTRQETTDVQDLYI TSKSSETKDKIFPSKNYIEKLHQEIPMDPTRSHKLKEATISTLETEGISYLDSSDIKSLC EDSKADHKSCGHQKSKVTTTQAKKSLEVVDLLVRDTEEGSSEDRVGQRGPRVLASLLPEK LPTRTVQSENIRQHDAVIPAISEIREEMALSLPCSVVKVDGKIPKEKHKEILGDEQGPFM AIPSGKGIEGVNPEPCRATQNVFTRRLCLEHDEKLVSYLSLLRDIEMRTKQIQPLELNVA ELQDLLGQAKELDRELKDLSTVVSQELECVDRIVISQPQEVPAQLLKALEKDAKNLQKSL DSVSDSWSSRFLHLQSAVEVKKATVLNRHKELQGKLQDLRAWVGRASLTLNSKGCDTETD ADSLSHTLQPYKDMKQSMAERKSQLDALALDIQLFISEHPQDLSLQQNQEMLQFLSELQR SFQGLVEHTAAQKDVVQGHLQQVQQEVQVKTLQKQQDTCHKKLEDLCNWVGQAERALERH QGGASRQELPALQQNQSDLKDLQGDIQSHSTSFATAVKDIEGFLEENQTKLSPQELTALR EKLHQAKEQYEVLQERTRVAQKELEEAVTSALQQETEKSKAATELAENKRKIDALLDWVA SVGSSERKPQASLPGMEQFSGACLEKQTLAATDGHVDVNQVPETLDRQYELMKARHQELL SQQQNFIVATQSVQSFLDQHSHNLTPEERQKLQEKLGELKEQYAASLARSEAELKQTQAL RDELQKFLQDHKEFENWLQQSENELDSMHKGGSSPEALNSLLKRQGSFSEDVISHKGDLR FVTISGQKVLETENNFEEGQEPSATRNLVNEKLKDATERYTTLHSKCIRLGSHLSMLLGQ YQQFQSSADSLQAWVLTCEASVGKLLSDTVASDPGVLQQQLATTKQLQEELAEHQVPVEK LQKAAHDLLDIEGEPALDCRPIQETTDSISSRFQNLSCSLDERSALLQKAIAQSQSVQES MESLLQSIREVEQNLERDQVASLSSGVIQEALANNMKLKQDIARQKSSLEATHDMVTRFM ETADSNSASVLQGKLAELSQRFQQLQLQQQEKESNLKKLLPQAEMFEQLSNKLQQFMENK SRLLASGNQPDQDIAHFSQQIQELTLAMEDQKENLDTLEHLVTTLGSCGFALDLSQHQDK IQNLKKDFTELQKTVQEREKDASTCQEQLDEFRKLIRTFQKWLKETEGNVPPAKTFVSAK ELEKQIEHLKDLISDWESKGALLGEINAKGTALESLIMDITAPDSQAKTGSILPPVGSSV GSVNGYHTCKDLTEIQCDMFDVNSKYEKLWEVLRERQESLQTVFSRMEEVQKEASSVLQW LESKEEVLKAMDATLSPTKTETVKAQAESNKAFLAELEQNSPKIQKVKEALAGLLKTYPN SQEAENWKKMQEDLNSRWEKATEVTVARQKQLEESASHLACFQAAESQLRPWLMEKELMM GVLGPLSIDPNMLNAQKQQVQFMLKEFEARRQQHEQLNEAAQGILTGPGDMSPSASQVHK DLQSISQKWVELTDKLNSRSSQIDQAIVKSTQYQDLLQDLSEKVKAIGQRLSGQSAISTQ PEAVKQQLEETSEIRSDLGQLDNEIKEAQTLCQELSLLIGEQYLKDELKKRLETVALPLQ GLEDLAADRMNRLQAALASTQQFQQMFDELRTWLDEKQSQQAKNCPISAKLERLQCQLQE NEEFQKNLNQHSGSYEVIVAEGEALLLSVPPGEEKKTLQNQLVELRSHWEDLSKKTANRQ SRLKDCMQKAQKYQGHVEDLVPWIDECKSKMSELQVTLDPVQLESSLLRSKAMLNEAEKR RSLLEILNSAADILINSSEIDEDEIRDEKAGLNQNMDAITEELQAKTSSLEEMTQRLKEF QESFKNIEKKVEGAKHQLEIFDALGSQACSNKNLEKLKAQQEVLQALEPQVDYLRNFTQG LVEDAPDGSDASPLVHQAEVAQQEFLEVKQRVSSSCLTMENKLEGIGQFHCRVREMFSQL ADLDDELDGMGAIGRDTDSLQSQIEDVRLFLNKIQALRFDIEDSEAECRKMLEEEGTLDL LGLKRELEALNKQCGKLTERGKVRQEQLELTLGRVEDFYRKLKALNDAATAAEEGEALQW IVGTEVDVINQQLADFKLFQKDQVDPLQVKLQQVNGLGQGLIQSAGKNCDVQGLEHDMDE INTRWNTLNKKVAQRIAQLQEALLHCGKFQDALEPLLSWLTDTEELIANQKPPSAEYKVV KAQIQEQKLLQRLLDDRKATVDMLQAEGGRIAQSAELADREKITGQLESLERRWTDLLSK AAARQKQLEDILVLAKQFHETAEPISDFLSVTEKKLANSEPVGTQTAKIHQQIIRHKALN EEIINRKKNVDQAIKNGQALLKQTTGEEVLLIQEKLDGIKTRYADITLTSSKALRTLEQA RQLATKFHSTYEELTGWLREAEEELAASGGQSPTGEQIPQFQQRQKELKKEVMEHRLVLD TVNEVSHALLELVPWRAREGLDKLVSDANEQYKLISDTVGQRVDEIDAAIQRSQQYEQAA DAELAWVAETKRKLMALGPIRLEQDQTTAQLQVQKAFSIDIIRHKDSMDELFSHRGEIFS TCGEEQKAVLQEKTECLIQQYEAVSLLNSERYARLERAQVLVNQFWETYEELSPWAEETL ALIAQLPPPAVDHEQLRQQQEEMRQLRESIAEHKPHIDKILKIGPQLKELNPEEGKMVEE KYQKAENMYAQIKDEVRQRALALDEAVSQSAQFHDKIEPMLETLENLSSRLRMPPLIPAE VDKIRECISDNKSATVELEKLQPSFEALKRRGEELIGRSQGADKDLAAKEIQDKLDQMVF FWEDIKARSEEREIKFLDVLELAEKFWYDMAALLTTIKDTQDIVHDLESPGIDPSIIKQQ VEAAETIKEETDGLHEELEFIRILGADLIFACGETEKPEVKKSIDEMNNAWENLNKTWKE RLEKLEDAMQAAVQYQDTLQAMFDWLDNTVIKLCTMPPVGTDLNTVKDQLNEMKEFKVEV YQQQIEMEKLNHQGELMLKKATDETDRDIIREPLTELKHLWENLGEKIAHRQHKLEGALL ALGQFQHALEELMSWLTHTEELLDAQRPISGDPKVIEVELAKHHVLKNDVLAHQATVATV NKAGSELLESSAGDDASSLRSRLETMNQCWESVLQKTEEREQQLQSTLQQAQGFHSEIED FLLELNRMENQLSASKPTGGLPETAREQLDTHMELHSQLRAKEEIYNQLLDKGRLMLLSR GDSGSGSKTEQSVALLEQKWHAVSSKVEERKSKLEEALSLATEFQNSLQEFINWLTLAEQ SLNIASPPSLILNTVLSQIEEHKVFANEVNDHRDQIIELDQTGNQLKFLSQKQDVVLIKN LLVSVQSRWEKVVQRSIERGRSLDDARKRAKQFHEAWKKLIDWLEDAESHLDSELEISND PDKIKLQLSKHKEFQKTLGGKQPVYDTTIRTGRALKEKTLLAGDTQKLDNLLGEVRDKWD TVCGKSVERQHKLEEALLFSGQFMDALQALVDWLYKVEPQLAEDQPVHGDLDLVMNLMDA HKVFQKELGKRTGTVQVLKRSGRELIEGSRDDTTWVKGQLQELSTRWDTVCKLSVSKQSR LEQALKQAEEFRDTVHMLLEWLSEAEQTLRFRGALPDDTEALQSLIDTHKEFMKKVEEKR VDVNTAVAMGEAILAVCHPDCITTIKHWITIIRARFEEVLTWAKQHQQRLETALSELVAN AELLEELLAWIQWAETTLIQRDQEPIPQNIDRVKALITEHQSFMEEMTRKQPDVDRVTKT YKRKSVEPTHAPFMEKSRSGSRKSLNQPTPPPMPILSQSEAKNPRINQLSARWQQVWLLA LERQRKLNDALDRLEELCPELKEFANFDFDVWRKKYMRWMNHKKSRVMDFFRRIDKDQDG KITRQEFIDGILASKFPTTKLEMTAVADIFDRDGDGYIDYYEFVAALHPNKDAYRPTTDA DKIEDEVTRQVAQCKCAKRFQVEQIGENKYRFFLGNQFGDSQQLRLVRILRSTVMVRVGG GWMALDEFLVKNDPCRARGRTNIELREKFILPEGASQGMTPFRSRGRRSKPSSRAASPTR SSSSASQSNHSCTSMPSSPATPASGTKVISSSGSKLKRPTPAFHSSRTSLAGDTSNSSSP ASTGAKANRADPKKSASRPGSRAGSRAGSRASSRRGSDASDFDLLETQSACSDTSESSAA GGQGSSRRGLTKPSKIPTMSKKTTTASPRTPGPKR >ENSMUSP00000101827.2 pep:known chromosome:GRCm38:4:123349718:123527459:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000106220.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] MGNSLGCVKEPKESIAVPEKAPISPKKRVRFKRKWRGKKILTPEASHREEALEGTGVIEE TETLTKLTARLPKEPGVGGAEHPPSDIFLPGDSAPNSGVGDQGMIVQVKESFQAEIQTAH LLLENESSVVGGAWDSLEEGMTVIAHLLDNPAERNCEKSVSQLVEFPRTASCSSRAVLLP LQGETAVEQGGTLLRHRHRSSTLPRTDYPSETVDQDQPSEGWSVGGRTKSVPSAPPTGSW IAKCSVASSIPKQSGDPIHTEPTHVGLVSCKGPIMPASQSDLSVSGITVSILPSSSGYGS DGLRLHGIRPEDTEPEKTSTPFSEEDGTLSLEISDIYISGESGDMSAKEKLLLWTQKVTA GYTGVKCTNFSSCWSDGKMFNALIHRYRPDLVDMERVQVQSNRENLEQAFEVAERLGVTR LLDAEDVDVPSPDEKSVITYVSSIYDAFPKVPEGGEGISATEVDSRWQEYQSRVDSLIPW IRQHTILMSDKSFPQNPVELKALYNQYIHFKETEILAKEREKGRIKELYKLLEVWIEFGR IKLPQGYHPNHVEEEWGKLIVEMLEREKSLRPAVERLELLLQIANKIQNGALNCEEKLTL AKNTLQADAAHLESGQPVQCESDVIMYIQECEGLIRQLQVDLQILRDEKYYQLEELAFRV MRLQDELVTLRLECTNLYRKGHFSSLELVPPSTLTTTHLKAEPLNKTTHSSSTSWFRKPM TRTELVSISSSEDEGNLRFVYELLSWVEEMQMKLERAEWGNDLPSVELQLETQQHIHTSV EELGSSVKEARLYEGKMSQNFHTSYVETLGKLETQYCKLKETSSFRMRHLQSLHKFVSRA TAELIWLNGKEEEELACDWSDSNPNISAKKTYFSELTMELEGKQDVFRSLQDTAEVLSLE NHPAKQTVEAYSAAVQSQLQWMKQLCLCVEQHVKENAAYFQFFSDARDLESFLRNLQDSI KRKYTCDRSTSLSRLEDLLQDSMDEKEQLIQSKSSVASLVGRSKTIVQLKPRNPDHVLKS TLSVKAICDYRQIEITICKNDECVLEDNSQRTKWKVISPTGNEAMVPSVCFLIPPPNKEA IEMASRVEQSYQKVMALWHQLHINTKSLISWNYLRKDLDTVQTWSLEKLRSLAPGECHQV MKNLQAHYEDFLQDSHDSALFSVADRLRIEEEVEACKAHFQHLMKSLENEDKEETLAKVY ISELKNIRLLLEECEQRLLKQIQSPASSKTDRDARQDITLRIAEQEHTQEDLQHLRSDLD AISMKCNVFLQQSPSGSSATTLRSELNLMVEKMDHVYGLSTVYLNKLKTIDVIVRSMQDA ELLVKGYEIKLSQEEAVPADLSALESHRTTLQHWLSDVKDKNSVFSVLDEEITKAKKVAE QLRHPASEPNLDLERYQEKGSQLQERWHRVIAQLETRQSEVESIQEVLRDYRACHGTLIK WIEETTAQQEMMKPGQAEDSRVLSEQLSQQTELFAEIERNQTKLDQCQKFSQQYSTIVKD YELQLMTYKAFVESQQKSPGKRRRMISSSDAITQEFMDLRTRYTALVTLTTQHVKYISDA LRRLEEEEKVVEEEKQEHVEKVKDLLGWVSTLARNTQGTTTSSHTSASADIEKAILEQQV LAEELTTKKEQVSEAIKTSQIFLAKHGHKLSEGEKEQISEQLRVLNKTYHDLCDGSANQL QQLQSELAQQTEQKTLQKQQDTCHKKLEDLCNWVGQAERALERHQGGASGKSSLLCSRTK VTFKDLQGDIQSHSTSFATAVKDIEGFLEENQTKLSPQELTALREKLHQAKEQYEVLQER TRVAQKELEEAVTSALQQETEKSKAATELAENKRKIDALLDWVLLWGHLRESHRLAFQEW SSSLELAEKQTLAATDGHVDVNQVPETLDRQYELMKARHQELLSQQQNFIVATQSVQSFL DQHSHNLTPEERQKLQEKLGELKEQYAASLARSEAELKQTQALRDELQKFLQDHKEFENW LQQSENELDSMHKGGSSPEALNSLLKRQGSFSEDVISHKGDLRFVTISGQKVLETENNFE EGQEPSATRNLVNEKLKDATERYTTLHSKCIRLGSHLSMLLGQYQQFQSSADSLQAWVLT CEASVGKLLSDTVASDPGVLQQQLATTKQLQEELAEHQVPVEKLQKAAHDLLDIEGEPAL DCRPIQETTDSISSRFQNLSCSLDERSALLQKAIAQSQSVQESMESLLQSIREVEQNLER DQVASLSSGVIQEALANNMKLKQDIARQKSSLEATHDMVTRFMETADSNSASVLQGKLAE LSQRFQQLQLQQQEKESNLKKLLPQAEMFEQLSNKLQQFMENKSRLLASGNQPDQDIAHF SQQIQELTLAMEDQKENLDTLEHLVTTLGSCGFALDLSQHQDKIQNLKKDFTELQKTVQE REKDASTCQEQLDEFRKLIRTFQKWLKETEGNVPPAKTFVSAKELEKQIEHLKDLISDWE SKGALLGEINAKGTALESLIMDITAPDSQAKTGSILPPVGSSVGSVNGYHTCKDLTEIQC DMFDVNSKYEKLWEVLRERQESLQTVFSRMEEVQKEASSVLQWLESKEEVLKAMDATLSP TKTETVKAQAESNKAFLAELEQNSPKIQKVKEALAGLLKTYPNSQEAENWKKMQEDLNSR WEKATEVTVARQKQLEESASHLACFQAAESQLRPWLMEKELMMGVLGPLSIDPNMLNAQK QQVQFMLKEFEARRQQHEQLNEAAQGILTGPGDMSPSASQVHKDLQSISQKWVELTDKLN SRSSQIDQAIVKSTQYQDLLQDLSEKVKAIGQRLSGQSAISTQPEAVKQQLEETSEIRSD LGQLDNEIKEAQTLCQELSLLIGEQYLKDELKKRLETVALPLQGLEDLAADRMNRLQAAL ASTQQFQQMFDELRTWLDEKQSQQAKNCPISAKLERLQCQLQENEEFQKNLNQHSGSYEV IVAEGEALLLSVPPGEEKKTLQNQLVELRSHWEDLSKKTANRQSRLKDCMQKAQKYQGHV EDLVPWIDECKSKMSELQVTLDPVQLESSLLRSKAMLNEAEKRRSLLEILNSAADILINS SEIDEDEIRDEKAGLNQNMDAITEELQAKTSSLEEMTQRLKEFQESFKNIEKKVEGAKHQ LEIFDALGSQACSNKNLEKLKAQQEVLQALEPQVDYLRNFTQGLVEDAPDGSDASPLVHQ AEVAQQEFLEVKQRVSSSCLTMENKLEGIGQFHCRVREMFSQLADLDDELDGMGAIGRDT DSLQSQIEDVRLFLNKIQALRFDIEDSEAECRKMLEEEGTLDLLGLKRELEALNKQCGKL TERGKVRQEQLELTLGRVEDFYRKLKALNDAATAAEEGEALQWIVGTEVDVINQQLADFK LFQKDQVDPLQVKLQQVNGLGQGLIQSAGKNCDVQGLEHDMDEINTRWNTLNKKVAQRIA QLQEALLHCGKFQDALEPLLSWLTDTEELIANQKPPSAEYKVVKAQIQEQKLLQRLLDDR KATVDMLQAEGGRIAQSAELADREKITGQLESLERRWTDLLSKAAARQKQLEDILVLAKQ FHETAEPISDFLSVTEKKLANSEPVGTQTAKIHQQIIRHKALNEEIINRKKNVDQAIKNG QALLKQTTGEEVLLIQEKLDGIKTRYADITLTSSKALRTLEQARQLATKFHSTYEELTGW LREAEEELAASGGQSPTGEQIPQFQQRQKELKKEVMEHRLVLDTVNEVSHALLELVPWRA REGLDKLVSDANEQYKLISDTVGQRVDEIDAAIQRSQQYEQAADAELAWVAETKRKLMAL GPIRLEQDQTTAQLQVQKAFSIDIIRHKDSMDELFSHRGEIFSTCGEEQKAVLQEKTECL IQQYEAVSLLNSERYARLERAQVLVNQFWETYEELSPWAEETLALIAQLPPPAVDHEQLR QQQEEMRQLRESIAEHKPHIDKILKIGPQLKELNPEEGKMVEEKYQKAENMYAQIKDEVR QRALALDEAVSQSAQFHDKIEPMLETLENLSSRLRMPPLIPAEVDKIRECISDNKSATVE LEKLQPSFEALKRRGEELIGRSQGADKDLAAKEIQDKLDQMVFFWEDIKARSEEREIKFL DVLELAEKFWYDMAALLTTIKDTQDIVHDLESPGIDPSIIKQQVEAAETIKEETDGLHEE LEFIRILGADLIFACGETEKPEVKKSIDEMNNAWENLNKTWKERLEKLEDAMQAAVQYQD TLQAMFDWLDNTVIKLCTMPPVGTDLNTVKDQLNEMKEFKVEVYQQQIEMEKLNHQGELM LKKATDETDRDIIREPLTELKHLWENLGEKIAHRQHKLEGALLALGQFQHALEELMSWLT HTEELLDAQRPISGDPKVIEVELAKHHVLKNDVLAHQATVATVNKAGSELLESSAGDDAS SLRSRLETMNQCWESVLQKTEEREQQLQSTLQQAQGFHSEIEDFLLELNRMENQLSASKP TGGLPETAREQLDTHMELHSQLRAKEEIYNQLLDKGRLMLLSRGDSGSGSKTEQSVALLE QKWHAVSSKVEERKSKLEEALSLATEFQNSLQEFINWLTLAEQSLNIASPPSLILNTVLS QIEEHKVFANEVNDHRDQIIELDQTGNQLKFLSQKQDVVLIKNLLVSVQSRWEKVVQRSI ERGRSLDDARKRAKQFHEAWKKLIDWLEDAESHLDSELEISNDPDKIKLQLSKHKEFQKT LGGKQPVYDTTIRTGRALKEKTLLAGDTQKLDNLLGEVRDKWDTVCGKSVERQHKLEEAL LFSGQFMDALQALVDWLYKVEPQLAEDQPVHGDLDLVMNLMDAHKVFQKELGKRTGTVQV LKRSGRELIEGSRDDTTWVKGQLQELSTRWDTVCKLSVSKQSRLEQALKQAEEFRDTVHM LLEWLSEAEQTLRFRGALPDDTEALQSLIDTHKEFMKKVEEKRVDVNTAVAMGEAILAVC HPDCITTIKHWITIIRARFEEVLTWAKQHQQRLETALSELVANAELLEELLAWIQWAETT LIQRDQEPIPQNIDRVKALITEHQSFMEEMTRKQPDVDRVTKTYKRKSVEPTHAPFMEKS RSGSRKSLNQPTPPPMPILSQSEAKNPRINQLSARWQQVWLLALERQRKLNDALDRLEEL CPELKEFANFDFDVWRKKYMRWMNHKKSRVMDFFRRIDKDQDGKITRQEFIDGILASKFP TTKLEMTAVADIFDRDGDGYIDYYEFVAALHPNKDAYRPTTDADKIEDEVTRQVAQCKCA KRFQVEQIGENKYRVTLGRQFGDSQQLRLVRILRSTVMVRVGGGWMALDEFLVKNDPCRA RGRTNIELREKFILPEGASQGMTPFRSRGRRSKPSSRAASPTRSSSSASQSNHSCTSMPS SPATPASGTKVISSSGSKLKRPTPAFHSSRTSLAGDTSNSSSPASTGAKANRADPKKSAS RPGSRAGSRAGSRASSRRGSDASDFDLLETQSACSDTSESSAAGGQGSSRRGLTKPSKIP TMSKKTTTASPRTPGPKR >ENSMUSP00000081324.5 pep:known chromosome:GRCm38:4:123349718:123684360:-1 gene:ENSMUSG00000028649.17 transcript:ENSMUST00000084301.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macf1 description:microtubule-actin crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:108559] MSSSDEETLSERSCRSERSCRSERSYRSERSGSLSPCPPGDTLPWNLPLHEQKKRKSQDS VLDPAERAVVRVADERDRVQKKTFTKWVNKHLMKVRKHINDLYEDLRDGHNLISLLEVLS GIKLPREKGRMRFHRLQNVQIALDFLKQRQVKLVNIRNDDITDGNPKLTLGLIWTIILHF QISDIYISGESGDMSAKEKLLLWTQKVTAGYTGVKCTNFSSCWSDGKMFNALIHRYRPDL VDMERVQVQSNRENLEQAFEVAERLGVTRLLDAEDVDVPSPDEKSVITYVSSIYDAFPKV PEGGEGISATEVDSRWQEYQSRVDSLIPWIRQHTILMSDKSFPQNPVELKALYNQYIHFK ETEILAKEREKGRIKELYKLLEVWIEFGRIKLPQGYHPNHVEEEWGKLIVEMLEREKSLR PAVERLELLLQIANKIQNGALNCEEKLTLAKNTLQADAAHLESGQPVQCESDVIMYIQEC EGLIRQLQVDLQILRDEKYYQLEELAFRVMRLQDELVTLRLECTNLYRKGHFSSLELVPP STLTTTHLKAEPLNKTTHSSSTSWFRKPMTRTELVSISSSEDEGNLRFVYELLSWVEEMQ MKLERAEWGNDLPSVELQLETQQHIHTSVEELGSSVKEARLYEGKMSQNFHTSYVETLGK LETQYCKLKETSSFRMRHLQSLHKFVSRATAELIWLNGKEEEELACDWSDSNPNISAKKT YFSELTMELEGKQDVFRSLQDTAEVLSLENHPAKQTVEAYSAAVQSQLQWMKQLCLCVEQ HVKENAAYFQFFSDARDLESFLRNLQDSIKRKYTCDRSTSLSRLEDLLQDSMDEKEQLIQ SKSSVASLVGRSKTIVQLKPRNPDHVLKSTLSVKAICDYRQIEITICKNDECVLEDNSQR TKWKVISPTGNEAMVPSVCFLIPPPNKEAIEMASRVEQSYQKVMALWHQLHINTKSLISW NYLRKDLDTVQTWSLEKLRSLAPGECHQVMKNLQAHYEDFLQDSHDSALFSVADRLRIEE EVEACKAHFQHLMKSLENEDKEETLAKVYISELKNIRLLLEECEQRLLKQIQSPASSKTD RDARQDITLRIAEQEHTQEDLQHLRSDLDAISMKCNVFLQQSPSGSSATTLRSELNLMVE KMDHVYGLSTVYLNKLKTIDVIVRSMQDAELLVKGYEIKLSQEEAVPADLSALESHRTTL QHWLSDVKDKNSVFSVLDEEITKAKKVAEQLRHPASEPNLDLERYQEKGSQLQERWHRVI AQLETRQSEVESIQEVLRDYRACHGTLIKWIEETTAQQEMMKPGQAEDSRVLSEQLSQQT ELFAEIERNQTKLDQCQKFSQQYSTIVKDYELQLMTYKAFVESQQKSPGKRRRMISSSDA ITQEFMDLRTRYTALVTLTTQHVKYISDALRRLEEEEKVVEEEKQEHVEKVKDLLGWVST LARNTQGTTTSSHTSASADIEKAILEQQVLAEELTTKKEQVSEAIKTSQIFLAKHGHKLS EGEKEQISEQLRVLNKTYHDLCDGSANQLQQLQSELAQQTEQKGCRAVAGVIDLGTVEIF PIFRAMQKGLIDQDTGLVLLESQIIMSGLIDPENSEKLSLEEGLTRNFINLPIYQQLLGL RDSLSLVSRLTGTLGSLSVVEAIEKKIISERLGLKVLEVHLATGGFSLPPSENCINLEEA FHQGFIASSLHSELQSHLRSSKNLIDPNTAEKVGLLDLMQRCIIHQESGLKLLPVKQLAG GMVSLKSGRKVSIFRAVQEGLIDRQVTVRLLEAQLFAGGIVDPRTGHRLTVEEAVRHNLI DQDMACAILIRQLQTGGIIDTVTGDRMTIDEAVTNNLVAAKIALVILESLWSFMGLLLPE SGEILPITDALEQGIVSTELAHKILHNRQQIEALFLPTLTEIWSWEKATESGILDKDLVN NLRSVCIPDMMPHIQLADSAEQSKVGFAAGKPPVSGPREEGSSHGEKLLFQLMTHSYIHA HTGQRLLLLDQELVEMLTSRDDCQVILPEVFEIQHQRLNTSEALQELYTGTISQISSAKH PRKPCESQFLSQNKDYPSQENCTEAKGERSVVGIECSPAESPERELFLKEQEAIIENVGS LKVINKVKLKLQRPLLGSRKEEQAETLREENISGDPLLVECPEESEGKDLSTEKSKCQTP TKCSFTCHKEQVKTIKDIPSETGTSLIKSQNQMSQFQVDTSVGLRSEFKSEHDMNVNSLE KELKEELLVKDGHKQSQEGQSVADGQTVALEKTDTEDNADEPALLHSSPFEDATLSTLSA QLQDGGIFNEETGQKLLLNEAIAQGLVSSHTAVKLMGKLNMFRGFFDSQTCESLTTEEVI DEGLMDEKLLYNVLMSDKAISGILDPRTHSLCSVKEAVAAGLLDKETATRILEGQVITGG IVDLKRGKKLSVTLASNLGLVDTADQTELINLEKATKGRGAEKAVKERLIELQMETAGLM DPESKAPLTVLQSIDRGILEREAAVYLLTKQVLDGGIIHHISGLRLSVDNAFKHGLIGED MARQLRKVENFIHYQFFHPQTKEALSFSEAIKLDLVSPDLKREIQEIQDFSGNLGDFIYG QKLTLAKTNKEESLANKTELPSGVMHGVIDPENCTIIPYSELVKKCRIDTESGWRYLEVI PFSDIKDEAGNNVLTPPEAIQLGKVDFASALKVLEAQANTGGIIDMATGKRVTLASALEK KLLDENMARIIASHQMLSGGIIDIYSDQRVTLNDAVEKRLISPELAAMIQVDPLAEQGGT GVCELKGDFLRKELLSESSKTPRESYSKEKHEAVLQAGSLCAPEKAGIRGSNGEKAEKGR KISVEMEGQRQDEKASSDNKVSASILSPFGFEGESSYQVSVTHPCSESCDLKPREETRSC MKKCAVVERDKVVTQIKMVSHVKQSTSGLDAEEARERQGRMVSKEQGSHYETAGNLLSER SVRVDRRVRREMGGEQSVQMSREAAVLSEEELDQEVTIGDEPDSFVKSQSMKMIGNDKGK EAGIEKDISVVCKIEGFPSQMTSKDASLTNQDALPFYTEGETKTVNLCSILKPGEKLSQE TASTVQKEPLSSEIPRPERLNSQESDEEPQISDVPHISKGDMAAQITTRQETTDVQDLYI TSKSSETKDKIFPSKNYIEKLHQEIPMDPTRSHKLKEATISTLETEGISYLDSSDIKSLC EDSKADHKSCGHQKSKVTTTQAKKSLEVVDLLVRDTEEGSSEDRVGQRGPRVLASLLPEK LPTRTVQSENIRQHDAVIPAISEIREEMALSLPCSVVKVDGKIPKEKHKEILGDEQGPFM AIPSGKGIEGVNPEPCRATQNVFTRRLCLEHDEKLVSYLSLLRDIEMRTKQIQPLELNVA ELQDLLGQAKELDRELKDLSTVVSQELECVDRIVISQPQEVPAQLLKALEKDAKNLQKSL DSVSDSWSSRFLHLQSAVEVKKATVLNRHKELQGKLQDLRAWVGRASLTLNSKGCDTETD ADSLSHTLQPYKDMKQSMAERKSQLDALALDIQLFISEHPQDLSLQQNQEMLQFLSELQR SFQGLVEHTAAQKDVVQGHLQQVQQEVQVKTLQKQQDTCHKKLEDLCNWVGQAERHWRGT RAEPLGKSSLLCAEPNVKDLQGDIQSHSTSFATAVKDIEGFLEENQTKLSPQELTALREK LHQAKEQYEVLQERTRVAQKELEEAVTSALQQETEKSKAATELAENKRKIDALLDWLLWG HLRESHRLAFQEWSSSLELAWKQTLAATDGHVDVNQVPETLDRQYELMKARHQELLSQQQ NFIVATQSVQSFLDQHSHNLTPEERQKLQEKLGELKEQYAASLARSEAELKQTQALRDEL QKFLQDHKEFENWLQQSENELDSMHKGGSSPEALNSLLKRQGSFSEDVISHKGDLRFVTI SGQKVLETENNFEEGQEPSATRNLVNEKLKDATERYTTLHSKCIRLGSHLSMLLGQYQQF QSSADSLQAWVLTCEASVGKLLSDTVASDPGVLQQQLATTKQLQEELAEHQVPVEKLQKA AHDLLDIEGEPALDCRPIQETTDSISSRFQNLSCSLDERSALLQKAIAQSQSVQESMESL LQSIREVEQNLERDQVASLSSGVIQEALANNMKLKQDIARQKSSLEATHDMVTRFMETAD SNSASVLQGKLAELSQRFQQLQLQQQEKESNLKKLLPQAEMFEQLSNKLQQFMENKSRLL ASGNQPDQDIAHFSQQIQELTLAMEDQKENLDTLEHLVTTLGSCGFALDLSQHQDKIQNL KKDFTELQKTVQEREKDASTCQEQLDEFRKLIRTFQKWLKETEGNVPPAKTFVSAKELEK QIEHLKDLISDWESKGALLGEINAKGTALESLIMDITAPDSQAKTGSILPPVGSSVGSVN GYHTCKDLTEIQCDMFDVNSKYEKLWEVLRERQESLQTVFSRMEEVQKEASSVLQWLESK EEVLKAMDATLSPTKTETVKAQAESNKAFLAELEQNSPKIQKVKEALAGLLKTYPNSQEA ENWKKMQEDLNSRWEKATEVTVARQKQLEESASHLACFQAAESQLRPWLMEKELMMGVLG PLSIDPNMLNAQKQQVQFMLKEFEARRQQHEQLNEAAQGILTGPGDMSPSASQVHKDLQS ISQKWVELTDKLNSRSSQIDQAIVKSTQYQDLLQDLSEKVKAIGQRLSGQSAISTQPEAV KQQLEETSEIRSDLGQLDNEIKEAQTLCQELSLLIGEQYLKDELKKRLETVALPLQGLED LAADRMNRLQAALASTQQFQQMFDELRTWLDEKQSQQAKNCPISAKLERLQCQLQENEEF QKNLNQHSGSYEVIVAEGEALLLSVPPGEEKKTLQNQLVELRSHWEDLSKKTANRQSRLK DCMQKAQKYQGHVEDLVPWIDECKSKMSELQVTLDPVQLESSLLRSKAMLNEAEKRRSLL EILNSAADILINSSEIDEDEIRDEKAGLNQNMDAITEELQAKTSSLEEMTQRLKEFQESF KNIEKKVEGAKHQLEIFDALGSQACSNKNLEKLKAQQEVLQALEPQVDYLRNFTQGLVED APDGSDASPLVHQAEVAQQEFLEVKQRVSSSCLTMENKLEGIGQFHCRVREMFSQLADLD DELDGMGAIGRDTDSLQSQIEDVRLFLNKIQALRFDIEDSEAECRKMLEEEGTLDLLGLK RELEALNKQCGKLTERGKVRQEQLELTLGRVEDFYRKLKALNDAATAAEEGEALQWIVGT EVDVINQQLADFKLFQKDQVDPLQVKLQQVNGLGQGLIQSAGKNCDVQGLEHDMDEINTR WNTLNKKVAQRIAQLQEALLHCGKFQDALEPLLSWLTDTEELIANQKPPSAEYKVVKAQI QEQKLLQRLLDDRKATVDMLQAEGGRIAQSAELADREKITGQLESLERRWTDLLSKAAAR QKQLEDILVLAKQFHETAEPISDFLSVTEKKLANSEPVGTQTAKIHQQIIRHKALNEEII NRKKNVDQAIKNGQALLKQTTGEEVLLIQEKLDGIKTRYADITLTSSKALRTLEQARQLA TKFHSTYEELTGWLREAEEELAASGGQSPTGEQIPQFQQRQKELKKEVMEHRLVLDTVNE VSHALLELVPWRAREGLDKLVSDANEQYKLISDTVGQRVDEIDAAIQRSQQYEQAADAEL AWVAETKRKLMALGPIRLEQDQTTAQLQVQKAFSIDIIRHKDSMDELFSHRGEIFSTCGE EQKAVLQEKTECLIQQYEAVSLLNSERYARLERAQVLVNQFWETYEELSPWAEETLALIA QLPPPAVDHEQLRQQQEEMRQLRESIAEHKPHIDKILKIGPQLKELNPEEGKMVEEKYQK AENMYAQIKDEVRQRALALDEAVSQSAQFHDKIEPMLETLENLSSRLRMPPLIPAEVDKI RECISDNKSATVELEKLQPSFEALKRRGEELIGRSQGADKDLAAKEIQDKLDQMVFFWED IKARSEEREIKFLDVLELAEKFWYDMAALLTTIKDTQDIVHDLESPGIDPSIIKQQVEAA ETIKEETDGLHEELEFIRILGADLIFACGETEKPEVKKSIDEMNNAWENLNKTWKERLEK LEDAMQAAVQYQDTLQAMFDWLDNTVIKLCTMPPVGTDLNTVKDQLNEMKEFKVEVYQQQ IEMEKLNHQGELMLKKATDETDRDIIREPLTELKHLWENLGEKIAHRQHKLEGALLALGQ FQHALEELMSWLTHTEELLDAQRPISGDPKVIEVELAKHHVLKNDVLAHQATVATVNKAG SELLESSAGDDASSLRSRLETMNQCWESVLQKTEEREQQLQSTLQQAQGFHSEIEDFLLE LNRMENQLSASKPTGGLPETAREQLDTHMELHSQLRAKEEIYNQLLDKGRLMLLSRGDSG SGSKTEQSVALLEQKWHAVSSKVEERKSKLEEALSLATEFQNSLQEFINWLTLAEQSLNI ASPPSLILNTVLSQIEEHKVFANEVNDHRDQIIELDQTGNQLKFLSQKQDVVLIKNLLVS VQSRWEKVVQRSIERGRSLDDARKRAKQFHEAWKKLIDWLEDAESHLDSELEISNDPDKI KLQLSKHKEFQKTLGGKQPVYDTTIRTGRALKEKTLLAGDTQKLDNLLGEVRDKWDTVCG KSVERQHKLEEALLFSGQFMDALQALVDWLYKVEPQLAEDQPVHGDLDLVMNLMDAHKVF QKELGKRTGTVQVLKRSGRELIEGSRDDTTWVKGQLQELSTRWDTVCKLSVSKQSRLEQA LKQAEEFRDTVHMLLEWLSEAEQTLRFRGALPDDTEALQSLIDTHKEFMKKVEEKRVDVN TAVAMGEAILAVCHPDCITTIKHWITIIRARFEEVLTWAKQHQQRLETALSELVANAELL EELLAWIQWAETTLIQRDQEPIPQNIDRVKALITEHQSFMEEMTRKQPDVDRVTKTYKRK SVEPTHAPFMEKSRSGSRKSLNQPTPPPMPILSQSEAKNPRINQLSARWQQVWLLALERQ RKLNDALDRLEELCPELKEFANFDFDVWRKKYMRWMNHKKSRVMDFFRRIDKDQDGKITR QEFIDGILASKFPTTKLEMTAVADIFDRDGDGYIDYYEFVAALHPNKDAYRPTTDADKIE DEVTRQVAQCKCAKRFQVEQIGENKYRFFLGNQFGDSQQLRLVRILRSTVMVRVGGGWMA LDEFLVKNDPCRARGRTNIELREKFILPEGASQGMTPFRSRGRRSKPSSRAASPTRSSSS ASQSNHSCTSMPSSPATPASGTKVISSSGSKLKRPTPAFHSSRTSLAGDTSNSSSPASTG AKANRADPKKSASRPGSRAGSRAGSRASSRRGSDASDFDLLETQSACSDTSESSAAGGQG SSRRGLTKPSKIPTMSKKTTTASPRTPGPKR >ENSMUSP00000007236.4 pep:known chromosome:GRCm38:17:24685092:24689955:-1 gene:ENSMUSG00000007021.4 transcript:ENSMUST00000007236.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr3 description:synaptogyrin 3 [Source:MGI Symbol;Acc:MGI:1341881] MEGASFGAGRAGAAFDPVSFARRPQTLLRVVSWVFSIAVFGPIVNEGYVNSDSGPELRCV FNGNAGACRFGVVLGLGAFIACVAFLLLDVRFQQISSVRDRRRAVLLDLGFSGVWSFLWF VGFCFLTNQWQRTTPGPGTAQAGDAARAAIAFSFFSILSWVALTVKALQRFRLGTDMSLF ATDQLGTGAAQAYPGYPVGSGVEGTETYQSPPFTETLDTSSKGYQVPAY >ENSMUSP00000103376.1 pep:known chromosome:GRCm38:4:47015669:47057306:-1 gene:ENSMUSG00000066191.11 transcript:ENSMUST00000107747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks6 description:ankyrin repeat and sterile alpha motif domain containing 6 [Source:MGI Symbol;Acc:MGI:1922941] MGEGALAPGLQLLLRACEQGDTDTARRLLEPGADPVAGPEAGAEPAGPEAVRAAEAGAPV PVDCSDEAGNSALQLAAAGGHEPLVRFLLRRGASVNSRNHYGWSALMQAARCGHVSVAHL LLDHGADVNAQNRLGASVLTVASRGGHLGVVKLLLEAGAIVDHHTPSGESPATGGSGDEL LGITALMAAVQHGHEAVVRLLMEWGADPNHTARTVGWSPLMLAALLGKLNVAQQLVEKGA NPDHLSVLEKTAFEVALDRKYRDLAEYLDPLTTVRPKTDEEKRRPDIFYALKMGNFQLVK EIADEDPNHVNLVNGDGATPLMLAAVTGHLPLVQLLVEKHADMDKQDSVHGWTALMQATY HGNKEIVKYLLNQGADVALRAKNGYTAFDLVMLLNDPDTELVRLLASVCMQVNKDRGRPS HRPPLPHSKARQPWSIPVLPDDKGGLKSWWSRMSNRFRKLKLMQTLPRGLAANQPLPFSD EPELALDSTMRAPPQDRTSHLGPPEAAHATKDSGPGNPRREKGDVLLTTMLRNGAPFPRL PSDKLKAVIPPFLPPSSFELWSSDRSHTCHNGKADPTKTALPPRASRAHPVGCVGTDGAT SRPVKFPSISRSPASPASSGSFNHSPHSSGGASGIGGMSRLGGELHSRSGGSVDSVLSQI AAQRKKAAGLCEQKPRQQSSPVGPATSSSPPELPASLPSSGSGSSSGPSSSKKLDPSKRP PSGTSATSKSTSPTLTPSPSPKGHTAESSVSSSSSHRQSKSSGGSSSGTITDEDELTGIL KKLSLEKYQPIFEEQESFLCFQEPFPDSSLLPALR >ENSMUSP00000081665.2 pep:known chromosome:GRCm38:4:47015689:47057306:-1 gene:ENSMUSG00000066191.11 transcript:ENSMUST00000084616.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks6 description:ankyrin repeat and sterile alpha motif domain containing 6 [Source:MGI Symbol;Acc:MGI:1922941] MGEGALAPGLQLLLRACEQGDTDTARRLLEPGADPVAGPEAGAEPAGPEAVRAAEAGAPV PVDCSDEAGNSALQLAAAGGHEPLVRFLLRRGASVNSRNHYGWSALMQAARCGHVSVAHL LLDHGADVNAQNRLGASVLTVASRGGHLGVVKLLLEAGAIVDHHTPSGESPATGGSGDEL LGITALMAAVQHGHEAVVRLLMEWGADPNHTARTVGWSPLMLAALLGKLNVAQQLVEKGA NPDHLSVLEKTAFEVALDRKYRDLAEYLDPLTTVRPKTDEEKRRPDIFYALKMGNFQLVK EIADEDPNHVNLVNGDGATPLMLAAVTGHLPLVQLLVEKHADMDKQDSVHGWTALMQATY HGNKEIVKYLLNQGADVALRAKNGYTAFDLVMLLNDPDTELVRLLASVCMQVNKDRGRPS HRPPLPHSKARQPWSIPVLPDDKGGLKSWWSRMSNRFRKLKLMQTLPRGLAANQPLPFSD EPELALDSTMRAPPQDRTSHLGPPEAAHATKDSGPGNPRREKGDVLLTTMATSRPVKFPS ISRSPASPASSGSFNHSPHSSGGASGIGGMSRLGGELHSRSGGSVDSVLSQIAAQRKKAA GLCEQKPRQQSSPVGPATSSSPPELPASLPSSGSGSSSGPSSSKKLDPSKRPPSGTSATS KSTSPTLTPSPSPKGHTAESSVSSSSSHRQSKSSGGSSSGTITDEDELTGILKKLSLEKY QPIFEEQEVDMEAFLTLTDGDLQELGIKTDGSRQQILAAISELNAGKGRERQILQETIHN FHSSFESSASNTRAPGNGPSMAGWTRPEETVSSRR >ENSMUSP00000121207.1 pep:known chromosome:GRCm38:2:29889221:29901107:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000123335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MKDRSSTPPLHVHVDENTPVHVHIKKLPKPSAASSQKSHKRGMKGDTVNVRRSVRVKTKN PPHCLEITP >ENSMUSP00000109396.1 pep:known chromosome:GRCm38:2:29889720:29922539:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000113767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MGELPTGCRKRRRKRGGAAAGAGLHPPRRLHGTTLASDFNDFIRRRFWAQPCRSWFPSCG KNGVTSLTQKKVLRTPCGAPSVTVTKSHKRGMKGDTVNVRRSVRVKTKVPWMPPGKSSAR HVGCKWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDKKIDSLMNAVGC LKSEVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIERIKEEKDFTMLQ KKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTDINTLTRQKELL LQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKARLLLLLQDKDK EVEELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAENSRLCMQIKNLERSGNQ HKAEVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYAEQLHVQLADKDLYVAE ALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLEEKMREDRDSLVERLHR QTAEYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMIDNYKSQVMKTRLE ADEVAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLPDILKITEAKLAECQDQ LQGYERKNIDLTAIISDLRSRVRDWQKGSHELARAGARLPR >ENSMUSP00000109392.1 pep:known chromosome:GRCm38:2:29889785:29922539:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000113763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MSASSSGGSPRFPSCGKNGVTSLTQKKVLRTPCGAPSVTVTKSHKRGMKGDTVNVRRSVR VKTKNPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDKKIDSLMNAVGCLKS EVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIERIKEEKDFTMLQKKH LQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTDINTLTRQKELLLQK LSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKARLLLLLQDKDKEVE ELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAENSRLCMQIKNLERSGNQHKA EVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYAEQLHVQLADKDLYVAEALS TLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLEEKMREDRDSLVERLHRQTA EYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMIDNYKSQVMKTRLEADE VAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLPDILKITEAKLAECQDQLQG YERKNIDLTAIISDLRSRVRDWQKGSHELARAGARLPR >ENSMUSP00000109386.1 pep:known chromosome:GRCm38:2:29889795:29931095:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000113757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MKDRSSTPPLHVHVDENTPVHVHIKKLPKPSAASSQKSHKRGMKGDTVNVRRSVRVKTKN PPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDKKIDSLMNAVGCLKSEVKMQ KGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIERIKEEKDFTMLQKKHLQQEK ECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTDINTLTRQKELLLQKLSTFE ETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKARLLLLLQDKDKEVEELLQE IQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAENSRLCMQIKNLERSGNQHKAEVEAI MEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYAEQLHVQLADKDLYVAEALSTLESW RSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLEEKMREDRDSLVERLHRQTAEYSAF KLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMIDNYKSQVMKTRLEADEVAAQL ERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLPDILKITEAKLAECQDQLQGYERKN IDLTAIISDLRSRIEHQGDKLEMAREKHQASQKENKQLSQKVDELERKLEATSAQNVEFL QVIAKREEAIHQAQLRLEEKTRECGSLARQLESAIEDARRQVEQTKEQALSKERAAQSKI LDLETQLSRTKTELGQLRRTRDDADRRYQSRLQDLKDRLEQSESTNRSMQNYVQFLKASY ANVFGDAPYTSSYLTSSPIRSRSPPA >ENSMUSP00000109385.1 pep:known chromosome:GRCm38:2:29889795:29931745:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000113756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MKDRSSTPPLHVHVDENTPVHVHIKKLPKPSAASSQKSHKRGMKGDTVNVRRSVRVKTKV PWMPPGKSSARHVGCKWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDK KIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIER IKEEKDFTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTD INTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKA RLLLLLQDKDKEVEELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAENSRLCM QIKNLERSGNQHKAEVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYAEQLHV QLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLEEKMRE DRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMIDN YKSQVMKTRLEADEVAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLPDILKI TEAKLAECQDQLQGYERKNIDLTAIISDLRSRIEHQGDKLEMAREKHQASQKENKQLSQK VDELERKLEATSAQNVEFLQVIAKREEAIHQAQLRLEEKTRECGSLARQLESAIEDARRQ VEQTKEQALSKERAAQSKILDLETQLSRTKTELGQLRRTRDDADRRYQSRLQDLKDRLEQ SESTNRSMQNYVQFLKASYANVFGDAPYTSSYLTSSPIRSRSPPA >ENSMUSP00000139390.1 pep:known chromosome:GRCm38:2:29889798:29931095:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000184845.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MKDRSSTPPLHVHVDENTPVHVHIKKLPKPSAASSQKSHKRGMKGDTVNVRRSVRVKTKV PWMPPGKSSARHVGCKWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDK KIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIER IKEEKDFTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTD INTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKA RLLLLLQDKDKEVEELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAENSRLCM QIKNLERSGNQHKAEVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYAEQLHV QLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLEEKMRE DRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMIDN YKSQVMKTRLEADEVAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLPDILKI TEAKLAECQDQLQGYERKNIDLTAIISDLRSRETGGYQRPECRVPTGDCQEGGGNPPGSA AVGGENEGVWVIGEAVGECH >ENSMUSP00000117628.1 pep:known chromosome:GRCm38:2:29889815:29902246:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000133233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MKDRSSTPPLHVHVDENTPVHVHIKKLPKPSAASSQKSHKRGMKGDTVNVRRSVRVKTKN PPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDKKIDSLMNAVGCLKSEVKMQ KGERQMAKRFLEERKEEL >ENSMUSP00000109388.2 pep:known chromosome:GRCm38:2:29889817:29931745:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000113759.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MKDRSSTPPLHVHVDENTPVHVHIKKLPKPSAASSQKSHKRGMKGDTVNVRRSVRVKTKV PWMPPGKSSARHVGCKWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDK KIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIER IKEEKDFTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTD INTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEKDSERLMEQQGTLLKRLAEADSEK ARLLLLLQDKDKEVEELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAENSRLC MQIKNLERSGNQHKAEVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYAEQLH VQLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLEEKMR EDRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMID NYKSQVMKTRLEADEVAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLPDILK ITEAKLAECQDQLQGYERKNIDLTAIISDLRSRIEHQGDKLEMAREKHQASQKENKQLSQ KVDELERKLEATSAQNVEFLQVIAKREEAIHQAQLRLEEKTRECGSLARQLESAIEDARR QVEQTKEQALSKERAAQSKILDLETQLSRTKTELGQLRRTRDDADRRYQSRLQDLKDRLE QSESTNRSMQNYVQFLKASYANVFGDAPYTSSYLTSSPIRSRSPPA >ENSMUSP00000109384.1 pep:known chromosome:GRCm38:2:29889818:29922539:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000113755.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MKDRSSTPPLHVHVDENTPVHVHIKKLPKPSAASSQKSHKRGMKGDTVNVRRSVRVKTKV PWMPPGKSSARHVGCKWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDK KIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIER IKEEKDFTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTD INTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKA RLLLLLQDKDKEVEELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAENSRLCM QIKNLERSGNQHKAEVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYAEQLHV QLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLEEKMRE DRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMIDN YKSQVMKTRLEADEVAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLPDILKI TEAKLAECQDQLQGYERKNIDLTAIISDLRSRVRDWQKGSHELARAGARLPR >ENSMUSP00000117887.1 pep:known chromosome:GRCm38:2:29889834:29904079:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000137558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] XPRRLHGTTLASDFNDFIRRRFWAQPCRSWFPSCGKNGVTSLTQKKVLRTPCGAPSVTVT KSHKRGMKGDTVNVRRSVRVKTKNPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKM NRYDKKIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLR HNIERIKEEKDFTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQ MTCTDINTLTRQKELLLQKLSTFEETNRTLR >ENSMUSP00000049272.7 pep:known chromosome:GRCm38:2:29889895:29931746:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000046571.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MKDRSSTPPLHVHVDENTPVHVHIKKLPKPSAASSQKSHKRGMKGDTVNVRRSVRVKTKV PWMPPGKSSARHVGCKWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDK KIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIER IKEEKDFTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTD INTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKA RLLLLLQDKDKEVEELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAENSRLCM QIKNLERSGNQHKAEVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYAEQLHV QLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLEEKMRE DRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMIDN YKSQVMKTRLEADEVAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLPDILKI TEAKLAECQDQLQGYERKNIDLTAIISDLRSRIEHQGDKLEMAREKHQASQKENKQLSQK VDELERKLEATSAQNVEFLQVIAKREEAIHQAQLRLEEKTRECGSLARQLESAIEDARRQ VEQTKEQALSKERAAQSKILDLETQLSRTKTELGQLRRTRDDADRRYQSRLQDLKDRLEQ SESTNRSMQNYVQFLKASYANVFGDAPYTSSYLTSSPIRSRSPPA >ENSMUSP00000028128.6 pep:known chromosome:GRCm38:2:29890063:29922539:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000028128.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MSASSSGGSPRFPSCGKNGVTSLTQKKVLRTPCGAPSVTVTKSHKRGMKGDTVNVRRSVR VKTKNPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDKKIDSLMNAVGCLKS EVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIERIKEEKDFTMLQKKH LQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTDINTLTRQKELLLQK LSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKARLLLLLQDKDKEVE ELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAENSRLCMQIKNLERSGNQHKA EVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYAEQLHVQLADKDLYVAEALS TLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLEEKMREDRDSLVERLHRQTA EYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMIDNYKSQVMKTRLEADE VAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLPDILKITEAKLAECQDQLQG YERKNIDLTAIISDLRSRVRDWQKGSHELARAGARLPR >ENSMUSP00000109391.1 pep:known chromosome:GRCm38:2:29890143:29922539:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000113762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MSASSSGGSPRFPSCGKNGVTSLTQKKVLRTPCGAPSVTVTKSHKRGMKGDTVNVRRSVR VKTKVPWMPPGKSSARHVGCKWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKM NRYDKKIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLR HNIERIKEEKDFTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQ MTCTDINTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEA DSEKARLLLLLQDKDKEVEELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAEN SRLCMQIKNLERSGNQHKAEVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYA EQLHVQLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLE EKMREDRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYE GMIDNYKSQVMKTRLEADEVAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLP DILKITEAKLAECQDQLQGYERKNIDLTAIISDLRSRVRDWQKGSHELARAGARLPR >ENSMUSP00000109394.1 pep:known chromosome:GRCm38:2:29890156:29931095:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000113765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MSASSSGGSPRFPSCGKNGVTSLTQKKVLRTPCGAPSVTVTKSHKRGMKGDTVNVRRSVR VKTKVPWMPPGKSSARHVGCKWENPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKM NRYDKKIDSLMNAVGCLKSEVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLR HNIERIKEEKDFTMLQKKHLQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQ MTCTDINTLTRQKELLLQKLSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEA DSEKARLLLLLQDKDKEVEELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAEN SRLCMQIKNLERSGNQHKAEVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYA EQLHVQLADKDLYVAEALSTLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLE EKMREDRDSLVERLHRQTAEYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYE GMIDNYKSQVMKTRLEADEVAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLP DILKITEAKLAECQDQLQGYERKNIDLTAIISDLRSRIEHQGDKLEMAREKHQASQKENK QLSQKVDELERKLEATSAQNVEFLQVIAKREEAIHQAQLRLEEKTRECGSLARQLESAIE DARRQVEQTKEQALSKERAAQSKILDLETQLSRTKTELGQLRRTRDDADRRYQSRLQDLK DRLEQSESTNRSMQNYVQFLKASYANVFGDAPYTSSYLTSSPIRSRSPPA >ENSMUSP00000109393.3 pep:known chromosome:GRCm38:2:29890540:29922536:1 gene:ENSMUSG00000026790.19 transcript:ENSMUST00000113764.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf2 description:outer dense fiber of sperm tails 2 [Source:MGI Symbol;Acc:MGI:1098824] MSASSSGGSPRFPSCGKNGVTSLTQKKVLRTPCGAPSVTVTKSHKRGMKGDTVNVRRSVR VKTKNPPHCLEITPPSSEKLVSVMRLSDLSTEDDDSGHCKMNRYDKKIDSLMNAVGCLKS EVKMQKGERQMAKRFLEERKEELEEVAHELAETEHENTVLRHNIERIKEEKDFTMLQKKH LQQEKECLMSKLVEAEMDGAAAAKQVMALKDTIGKLKTEKQMTCTDINTLTRQKELLLQK LSTFEETNRTLRDLLREQHCKEDSERLMEQQGTLLKRLAEADSEKARLLLLLQDKDKEVE ELLQEIQCEKAQAKTASELSKSMESMRGHLQAQLRCKEAENSRLCMQIKNLERSGNQHKA EVEAIMEQLKELKQKGDRDKETLKKAIRAQKERAEKSEEYAEQLHVQLADKDLYVAEALS TLESWRSRYNQVVKDKGDLELEIIVLNDRVTDLVNQQQSLEEKMREDRDSLVERLHRQTA EYSAFKLENERLKASFAPMEDKLNQAHLEVQQLKASVKNYEGMIDNYKSQVMKTRLEADE VAAQLERCDKENKMLKDEMNKEIEAARRQFQSQLADLQQLPDILKITEAKLAECQDQLQG YERKNIDLTAIISDLRSRVRDWQKGSHELARAGARLPR >ENSMUSP00000134926.1 pep:known chromosome:GRCm38:9:119339676:119342752:1 gene:ENSMUSG00000036138.16 transcript:ENSMUST00000176351.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaa1a description:acetyl-Coenzyme A acyltransferase 1A [Source:MGI Symbol;Acc:MGI:2148491] MHRLQVVLGHLAGRPESSSALQAAPCSARFPQASASDVVVVHGRRTPIGRASRGGFKNTT PDELLSAVLTAVLQDVRLKPEQLGDISVGNVLEPGAGA >ENSMUSP00000135310.1 pep:known chromosome:GRCm38:9:119341047:119347783:1 gene:ENSMUSG00000036138.16 transcript:ENSMUST00000177463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaa1a description:acetyl-Coenzyme A acyltransferase 1A [Source:MGI Symbol;Acc:MGI:2148491] MHRLQVVLGHLAGRPESSSALQAAPCSARFPQASASDVVVVHGRRTPIGRASRGGFKNTT PDELLSAVLTAVLQDVRLKPEQLGDISVGNVLEPGAGAVMARIAQFLSGIPETVPLSTVN RQCSSGLQAVANIAGGIRNGSYDIGMACGVESMSLSGMGNPGNISSRLLESEKARDCLTP MGMTSENVAERFGISRQKQ >ENSMUSP00000042351.5 pep:known chromosome:GRCm38:9:119341294:119350299:1 gene:ENSMUSG00000036138.16 transcript:ENSMUST00000039784.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaa1a description:acetyl-Coenzyme A acyltransferase 1A [Source:MGI Symbol;Acc:MGI:2148491] MHRLQVVLGHLAGRPESSSALQAAPCSARFPQASASDVVVVHGRRTPIGRASRGGFKNTT PDELLSAVLTAVLQDVRLKPEQLGDISVGNVLEPGAGAVMARIAQFLSGIPETVPLSTVN RQCSSGLQAVANIAGGIRNGSYDIGMACGVESMSLSGMGNPGNISSRLLESEKARDCLTP MGMTSENVAERFGISRQKQDDFALASQQKAASAQSRGCFRAEIVPVTTTVLDDKGDKKTI TVSQDEGVRPSTTMQGLAKLKPAFKDGGSTTAGNSSQVSDGAAAVLLARRSKAEELGLPI LGVLRSYAVVGVPPDVMGIGPAYAIPAALQKAGLTVNDIDIFEINEAFASQAVYCVEKLG IPAEKVNPLGGAIALGHPLGCTGARQVVTLLNELKRRGRRAYGVVSMCIGTGMGAAAVFE YPGN >ENSMUSP00000135439.1 pep:known chromosome:GRCm38:9:119341515:119350033:1 gene:ENSMUSG00000036138.16 transcript:ENSMUST00000175743.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaa1a description:acetyl-Coenzyme A acyltransferase 1A [Source:MGI Symbol;Acc:MGI:2148491] MHRLQVVLGHLAGRPESSSALQAAPCSARFPQASASDVVVVHGRRTPIGRASRGGFKNTT PDELLSAVLTAVLQDVRLKPEQLGDISVGNVLEPGAGAVMARIAQFLSGIPETVPLSTVN RQCSSGLQAVANIAGGIRNGSYDIGMACGVESMSLSGMGNPGNISSRLLESEKARDCLTP MGMTSENVAERFGISRQKQDDFALASQQKAASAQSRGCFRAEIVPVTTTVLDDKGDKKTI TVSQDEGVRPSTTMQGLAKLKPAFKDGGSTTAGNSSQVSDGAAAVLLARRSKAEELGLPI LGVLRSYAVVGVPPDVMGIGPAYAIPAALQKAGRLLCGEARNSCREGEPAGGCNSPGPPP GLHGSKAGCHATQ >ENSMUSP00000135191.1 pep:known chromosome:GRCm38:9:119341515:119350033:1 gene:ENSMUSG00000036138.16 transcript:ENSMUST00000176397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaa1a description:acetyl-Coenzyme A acyltransferase 1A [Source:MGI Symbol;Acc:MGI:2148491] MHRLQVVLGHLAGRPESSSALQAAPCSARFPQASASDVVVVHGRRTPIGRASRGGFKNTT PDELLSAVLTAVLQDVRLKPEQLGDISVGNVLEPGAGAVMARIAQFLSGIPETVPLSTVN RQCSSGLQAVANIAGGIRNGSYDIGMACGMTSENVAERFGISRQKQDDFALASQQKAASA QSRGCFRAEIVPVTTTVLDDKGDKKTITVSQDEGVRPSTTMQGLAKLKPAFKDGGSTTAG LTVNDIDIFEINEAFASQAVYCVEKLGIPAEKVNPLGGAIALGHPLGCTGARQVVTLLNE LKRRGRRAYGVVSMCIGTGMGAAAVFEYPGN >ENSMUSP00000134981.1 pep:known chromosome:GRCm38:9:119342773:119349526:1 gene:ENSMUSG00000036138.16 transcript:ENSMUST00000176546.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acaa1a description:acetyl-Coenzyme A acyltransferase 1A [Source:MGI Symbol;Acc:MGI:2148491] FLSGIPETVPLSTVNRQCSSGLQAVANIAGGIRNGSYDIGMACGVESMSLSGMGNPGNIS SRLLESEKARDCLTPMGMTSENVAERFGISRQKQDDFALASQQNKRPEQRMLPC >ENSMUSP00000112997.1 pep:known chromosome:GRCm38:3:103575231:103630946:1 gene:ENSMUSG00000027849.18 transcript:ENSMUST00000121834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt6 description:synaptotagmin VI [Source:MGI Symbol;Acc:MGI:1859544] MSGVWGAGGPRCQAALAVLASLCRARPPPLGLDVETCRSFELQSPEQSPSAADSGTSVSL LAVVVIVCGVALVAVFLFLFWKLCWMPWRKKEASSPSSANPASETLQSPSSRGNMADKLK DPSALGFLEAAVKISHTSPDIPAEVQMSVKEHIMRHTKLQRQTTEPASSTRHTSFKRHLP RQMHVSSVDYGNELPPAAAEQPTSIGRIKPELYKQKSVDGDDAKSEAAKSCGKINFSLRY DYESETLIVRILKAFDLPAKDFCGSSDPYVKIYLLPDRKCKLQTRVHRKTLNPTFDENFH FPVPYEELADRKLHLSVFDFDRFSRHDMIGEVILDNLFEASDLSRETSIWKDIQYATSES VDLGEIMFSLCYLPTAGRLTLTVIKCRNLKAMDITGYSDPYVKVSLLCDGRRLKKKKTTI KKNTLNPIYNEAIIFDIPPENMDQVSLLISVMDYDRVGHNEIIGVCRVGINAEGLGRDHW NEMLAYPRKPIAHWHSLVEVKKSFKEGTPRL >ENSMUSP00000116324.2 pep:known chromosome:GRCm38:3:103575282:103635179:1 gene:ENSMUSG00000027849.18 transcript:ENSMUST00000132325.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syt6 description:synaptotagmin VI [Source:MGI Symbol;Acc:MGI:1859544] MSGVWGAGGPRCQAALAVLASLCRARPPPLGLDVETCRSFELQSPEQSPSAADSAVLDAL EEERGLQPFFC >ENSMUSP00000118124.2 pep:known chromosome:GRCm38:3:103575300:103632768:1 gene:ENSMUSG00000027849.18 transcript:ENSMUST00000136049.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syt6 description:synaptotagmin VI [Source:MGI Symbol;Acc:MGI:1859544] MSGVWGAGGPRCQAALAVLASLCRARPPPLGLDVETCRSFELQSPEQSPSAADSAVLDAL EEERGLQPFFC >ENSMUSP00000119688.1 pep:known chromosome:GRCm38:3:103575378:103630927:1 gene:ENSMUSG00000027849.18 transcript:ENSMUST00000151985.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syt6 description:synaptotagmin VI [Source:MGI Symbol;Acc:MGI:1859544] MSGVWGAGGPRCQAALAVLASLCRARPPPLGLDVETCRSFELQSPEQSPSAADSGTGRPR SLSTPGFLFSVASPAQAVLDALEEERGLQPFFC >ENSMUSP00000138874.1 pep:known chromosome:GRCm38:3:103576081:103587240:1 gene:ENSMUSG00000027849.18 transcript:ENSMUST00000183637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt6 description:synaptotagmin VI [Source:MGI Symbol;Acc:MGI:1859544] MPWRKKEASSPSSANPASETLQSPSSRGNMADKLKDPSALGFLEAAVKISHTSPDIPAEV QMSVKEHIMRHTKLQRQTTEPASSTRHT >ENSMUSP00000113373.1 pep:known chromosome:GRCm38:3:103576126:103635178:1 gene:ENSMUSG00000027849.18 transcript:ENSMUST00000117221.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt6 description:synaptotagmin VI [Source:MGI Symbol;Acc:MGI:1859544] MPWRKKEASSPSSANPASETLQSPSSRGNMADKLKDPSALGFLEAAVKISHTSPDIPAEV QMSVKEHIMRHTKLQRQTTEPASSTRHTSFKRHLPRQMHVSSVDYGNELPPAAAEQPTSI GRIKPELYKQKSVDGDDAKSEAAKSCGKINFSLRYDYESETLIVRILKAFDLPAKDFCGS SDPYVKIYLLPDRKCKLQTRVHRKTLNPTFDENFHFPVPYEELADRKLHLSVFDFDRFSR HDMIGEVILDNLFEASDLSRETSIWKDIQYATSESVDLGEIMFSLCYLPTAGRLTLTVIK CRNLKAMDITGYSDPYVKVSLLCDGRRLKKKKTTIKKNTLNPIYNEAIIFDIPPENMDQV SLLISVMDYDRVGHNEIIGVCRVGINAEGLGRDHWNEMLAYPRKPIAHWHSLVEVKKSFK EGTPRL >ENSMUSP00000112486.1 pep:known chromosome:GRCm38:3:103576142:103627556:1 gene:ENSMUSG00000027849.18 transcript:ENSMUST00000118117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt6 description:synaptotagmin VI [Source:MGI Symbol;Acc:MGI:1859544] MPWRKKEASSPSSANPASETLQSPSSRGNMADKLKDPSALGFLEAAVKISHTSPDIPAEV QMSVKEHIMRHTKLQRQTTEPASSTRHTSFKRHLPRQMHVSSVDYGNELPPAAAEQPTSI GRIKPELYKQKSVDGDDAKSEAAKSCGKINFSLRYDYESETLIVRILKAFDLPAKDFCGS SDPYVKIYLLPDRKCKLQTRVHRKTLNPTFDENFHFPVPYEELADRKLHLSVFDFDRFSR HDMIGEVILDNLFEASDLSRETSIWKDIQYATSESVDLGEIMFSLCYLPTAGRLTLTVIK CRNLKAMDITGYSDPYVKVSLLCDGRRLKKKKTTIKKNTLNPIYNEAIIFDIPPENMDQV SLLISVMDYDRVGHNEIIGVCRVGINAEGLGRDHWNEMLAYPRKPIAHWHSLVEVKKSFK EVGEVAWPLACLLHGGVG >ENSMUSP00000113287.1 pep:known chromosome:GRCm38:3:103576142:103645569:1 gene:ENSMUSG00000027849.18 transcript:ENSMUST00000118563.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt6 description:synaptotagmin VI [Source:MGI Symbol;Acc:MGI:1859544] MPWRKKEASSPSSANPASETLQSPSSRGNMADKLKDPSALGFLEAAVKISHTSPDIPAEV QMSVKEHIMRHTKLQRQTTEPASSTRHTSFKRHLPRQMHVSSVDYGNELPPAAAEQPTSI GRIKPELYKQKSVDGDDAKSEAAKSCGKINFSLRYDYESETLIVRILKAFDLPAKDFCGS SDPYVKIYLLPDRKCKLQTRVHRKTLNPTFDENFHFPVPYEELADRKLHLSVFDFDRFSR HDMIGEVILDNLFEASDLSRETSIWKDIQYATSESVDLGEIMFSLCYLPTAGRLTLTVIK CRNLKAMDITGYSEKSQGHRRIQVQDTHPLWRLQAMKSLVVY >ENSMUSP00000088196.4 pep:known chromosome:GRCm38:3:103575281:103635179:1 gene:ENSMUSG00000027849.18 transcript:ENSMUST00000090697.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt6 description:synaptotagmin VI [Source:MGI Symbol;Acc:MGI:1859544] MSGVWGAGGPRCQAALAVLASLCRARPPPLGLDVETCRSFELQSPEQSPSAADSGTSVSL LAVVVIVCGVALVAVFLFLFWKLCWMPWRKKEASSPSSANPASETLQSPSSRGNMADKLK DPSALGFLEAAVKISHTSPDIPAEVQMSVKEHIMRHTKLQRQTTEPASSTRHTSFKRHLP RQMHVSSVDYGNELPPAAAEQPTSIGRIKPELYKQKSVDGDDAKSEAAKSCGKINFSLRY DYESETLIVRILKAFDLPAKDFCGSSDPYVKIYLLPDRKCKLQTRVHRKTLNPTFDENFH FPVPYEELADRKLHLSVFDFDRFSRHDMIGEVILDNLFEASDLSRETSIWKDIQYATSES VDLGEIMFSLCYLPTAGRLTLTVIKCRNLKAMDITGYSDPYVKVSLLCDGRRLKKKKTTI KKNTLNPIYNEAIIFDIPPENMDQVSLLISVMDYDRVGHNEIIGVCRVGINAEGLGRDHW NEMLAYPRKPIAHWHSLVEVKKSFKEGTPRL >ENSMUSP00000100618.2 pep:known chromosome:GRCm38:2:17996417:17996958:-1 gene:ENSMUSG00000062651.4 transcript:ENSMUST00000105001.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afb1 description:H2A histone family, member B1 [Source:MGI Symbol;Acc:MGI:1915481] MARKRQRRRRRKVTRSQRAELQFPVSRVDRFLREGNYSRRLSSSAPVFLAGVLEYLTSNI LELAGEVAHTTGRKRIAPEHVCRVVQNNEQLHQLFKQGGTSVFEPPEPDDN >ENSMUSP00000019679.5 pep:known chromosome:GRCm38:8:70220172:70234466:-1 gene:ENSMUSG00000002343.11 transcript:ENSMUST00000019679.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc6 description:armadillo repeat containing 6 [Source:MGI Symbol;Acc:MGI:1924063] MASKRITQETFDAAVRENIEEFEMGTEEAIREAVEQFESQGVDLSNIVKTIPKVSLDGLQ EPTHSVLQALNDLQESLTGSRLQEVSAHLVRFCDQCKQQKASRYLAAQKGAYPILLAAWQ LAATSNQGLLLQALNALAVLTDGQPDLLDAQGLHLLVATLAQNAANTELTCCGIRCVRHV CLKHEQNRQDLVKAGVLPLLTAAITQHGQHADVVREACWALRVMTFDDDIRVPFGHAHEH AKMIVQENKGLKVLIEAARAFSDNPGVLSELCSTLSRLAVRNEFCQEVIDLGGLGILVTL LADCNDHQDLVKQVLSALRAIAGNDDVKDAIVRAGGTESIVAAMTRHLASPQVCEQSCAA LCVLALRKPDNSRVIIEGGGALAALQAMKAHPQEAGVQKQACMLIRNLVSRSQVFSKPIL DLGAEELILQARAAHPDCEDVAKAALRDLGCRVELRELWTGKKGDLAL >ENSMUSP00000116811.1 pep:known chromosome:GRCm38:8:70225318:70234126:-1 gene:ENSMUSG00000002343.11 transcript:ENSMUST00000130319.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc6 description:armadillo repeat containing 6 [Source:MGI Symbol;Acc:MGI:1924063] MASKRITQETFDAAVRENIEEFEMGTEEAIREAVEQFESQGVDLSNIVKTIPKVSLDGLQ EPTHSVLQALNDLQESLTGSRLQEVSAHLVRFCDQCKQQKASRYLAAQKGAYPILLAAWQ >ENSMUSP00000118984.1 pep:known chromosome:GRCm38:15:31291480:31367726:-1 gene:ENSMUSG00000022237.16 transcript:ENSMUST00000123325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd33b description:ankyrin repeat domain 33B [Source:MGI Symbol;Acc:MGI:1917904] MVLLAGPGPEGGGTRCLSPQSPTPPRSEQTGEDPADYEEYEDFSSLPDTRSIASDDSFYP PGGEEEYGSVSAESVPEGVPEAATLLRAACANDVGLLRALVRRGPSSEEVRETDRNGRTG LIVACYHGFVDTVVALAECPHVDVNWQDSEGNTALITAAQAGHVTITNYLLNYFPGLDLE RRNIFGFTALMKAAMQGRTECVRALMMAGADVQARDPRRGLSPQEWAAYTGRAEAVRVIQ RLMERPCPEQFGDKYKLELPLPAEAVLKKSGSKNCLQRFTAFLRSTLTSRSSGQSLEDGG VLDHMVRMTTSLYSPAVAVVCQTVCPENPPCVGKRRLAVQEILAARGNLNAQAQDSNKVE CSEPQSQILETPRASSRSPKSPAASRSTPAPVTRKASLLPLQLLRRSSVRPGVVVPRVRI SKAPAPTFQPERAASKGNTKDSIYLQIPKWRYKEAKEEKRKAEEAEKKRQAEAQKEKRAP RWRKRT >ENSMUSP00000037918.8 pep:known chromosome:GRCm38:15:31294095:31367726:-1 gene:ENSMUSG00000022237.16 transcript:ENSMUST00000044324.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd33b description:ankyrin repeat domain 33B [Source:MGI Symbol;Acc:MGI:1917904] MVLLAGPGPEGGGTRCLSPQSPTPPRSEQTGEDPADYEEYEDFSSLPDTRSIASDDSFYP PGGEEEYGSVSAESVPEGVPEAATLLRAACANDVGLLRALVRRGPSSEEVRETDRNGRGM SPSPTTC >ENSMUSP00000076209.4 pep:known chromosome:GRCm38:15:31323946:31367568:-1 gene:ENSMUSG00000022237.16 transcript:ENSMUST00000076942.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd33b description:ankyrin repeat domain 33B [Source:MGI Symbol;Acc:MGI:1917904] MVLLAGPGPEGGGTRCLSPQSPTPPRSEQTGEDPADYEEYEDFSSLPDTRSIASDDSFYP PGGEEEYGSVSAESVPEGVPEAATLLRAACANDVGLLRALVRRGPSSEEVRETDRNGRGS AAGPYGLR >ENSMUSP00000117974.1 pep:known chromosome:GRCm38:15:31293186:31367532:-1 gene:ENSMUSG00000022237.16 transcript:ENSMUST00000156679.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd33b description:ankyrin repeat domain 33B [Source:MGI Symbol;Acc:MGI:1917904] MVLLAGPGPEGGGTRCLSPQSPTPPRSEQTGEDPADYEEYEDFSSLPDTRSIASDDSFYP PGGEEEYGSVSAESVPEGVPEAATLLRAACANDVGLLRALVRRGPSSEEVRETDRNGRTG LIVACYHGFVDTVVALAECPHVDVNWQDSEGNTALITAAQAVCACAPHTCHAKGGQKRAL DPLELQLLDG >ENSMUSP00000106040.2 pep:known chromosome:GRCm38:15:31291479:31367759:-1 gene:ENSMUSG00000022237.16 transcript:ENSMUST00000110410.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd33b description:ankyrin repeat domain 33B [Source:MGI Symbol;Acc:MGI:1917904] MVLLAGPGPEGGGTRCLSPQSPTPPRSEQTGEDPADYEEYEDFSSLPDTRSIASDDSFYP PGGEEEYGSVSAESVPEGVPEAATLLRAACANDVGLLRALVRRGPSSEETGLIVACYHGF VDTVVALAECPHVDVNWQDSEGNTALITAAQAGHVTITNYLLNYFPGLDLERRNIFGFTA LMKAAMQGRTECVRALMMAGADVQARDPRRGLSPQEWAAYTGRAEAVRVIQRLMERPCPE QFGDKYKLELPLPAEAVLKKSGSKNCLQRFTAFLRSTLTSRSSGQSLEDGGVLDHMVRMT TSLYSPAVAVVCQTVCPENPPCVGKRRLAVQEILAARGNLNAQAQDSNKVECSEPQSQIL ETPRASSRSPKSPAASRSTPAPVTRKASLLPLQLLRRSSVRPGVVVPRVRISKAPAPTFQ PERAASKGNTKDSIYLQIPKWRYKEAKEEKRKAEEAEKKRQAEAQKEKRAPRWRKRT >ENSMUSP00000141356.1 pep:known chromosome:GRCm38:Y:14400710:14426798:1 gene:ENSMUSG00000104191.1 transcript:ENSMUST00000192683.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm30737 description:predicted gene, 30737 [Source:MGI Symbol;Acc:MGI:5589896] MRKMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDGYISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000060748.3 pep:known chromosome:GRCm38:4:43695000:43700807:-1 gene:ENSMUSG00000050215.5 transcript:ENSMUST00000055545.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr70 description:olfactory receptor 70 [Source:MGI Symbol;Acc:MGI:1860079] MAGTNHTEVIEYVLLGLQDHHGLEIALFVLCLGIYCMTLLGNSFLVGLIVLDTHLHSPMY FFISNLSLIDICGTSSFTPMMLLNFLDVQRTISFPSCALQMYLTLALGTTECLLLAVMAY DRYVAICQPLRYPELVNGPLCIQMAGISWGTGFANSLLHSILVWHLPFCGHYIINHFFCE ILAVLKLACGDISLNALILTVATAVLTMTPLLLICLSYIFILAAILRVPSAAGRSKAFST CSAHLTVVVIFYGTITFMYLKPKDQDPSVGKIITLLYAIVAPSLNAFIYSLRNSEVKAAV TALLWGGLLTRKMSHF >ENSMUSP00000062747.5 pep:known chromosome:GRCm38:2:24002910:24049394:-1 gene:ENSMUSG00000026986.14 transcript:ENSMUST00000051416.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnmt description:histamine N-methyltransferase [Source:MGI Symbol;Acc:MGI:2153181] MASCMRSLFSDQGRYVESFRRFLNNSTEHQCMQEFMDKKLPGIIARIGEAKAEIKILSVG GGAGEVDLQILSKVQAQYPGICINNEVVEPSAEQIVKYKELVAKTSNMENIKFSWHKETS SEYQKRMLEEEEEPPKWDFIHMIQMLYYVKDIPATLKFFHGLLAASAKILIILVSGTSGW EKLWKKYGSRLPRDDLCQYVTSSDLAQILDDLGIKYECYDLVSTMDITDCFIDGNENGDL LWDFLTETCNFSKTAPLDLKAEIMKDLQEPEFSVKKEGKVLFNNNLSFIVVEANV >ENSMUSP00000110142.1 pep:known chromosome:GRCm38:2:24002940:24049079:-1 gene:ENSMUSG00000026986.14 transcript:ENSMUST00000114498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnmt description:histamine N-methyltransferase [Source:MGI Symbol;Acc:MGI:2153181] MASCMRSLFSDQGRYVESFRRFLNNSTEHQCMQEFMDKKLPGIIARIGEAKAEIKILSVG GGAGEVDLQILSKVQAQYPGICINNEVVEPSAEQIVKYKELVAKTSNMENIKFSWHKETS SEYQKRMLEEEEEPPKWDFIHMIQMLYYVKDIPATLKFFHGLLAASAKILIILVSGTSGW EKLWKKYGSRLPRDDLCQYVTSSDLAQILDDLGIKYECYDLVSTMDITDCFIDGNENGDL LWDFLTETCNFSKTAPLDLKAEIMKDLQEPEFSVKKEGKVLFNNNLSFIVVEANV >ENSMUSP00000110141.1 pep:known chromosome:GRCm38:2:24003529:24048866:-1 gene:ENSMUSG00000026986.14 transcript:ENSMUST00000114497.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnmt description:histamine N-methyltransferase [Source:MGI Symbol;Acc:MGI:2153181] MASCMRSLFSDQGRYVESFRRFLNNSTEHQCMQEFMDKKLPGIIARIGEAKAEIKILSVG GGAGEVDLQILSKVQAQYPGICINNEVVEPSAEQIVKYKELVAKTSNMENIKFSWHKETS SEYQKRMLEEEEEPPKWDFIHMIQMLYYVKDIPATLKFFHGLLAASAKILIILVSGTSGW EKLWKKYGSRLPRDDLCQYVTSSDLAQILDDLGIKYECYDLVSTMDITDCFIDGNENGDL LWDFLTETCNFSKTAPLDLKAEIMKDLQEPEFSVKKEGKVLFNNNLSFIVVEANV >ENSMUSP00000035351.8 pep:known chromosome:GRCm38:12:113156421:113164544:1 gene:ENSMUSG00000037466.13 transcript:ENSMUST00000049271.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930427A07Rik description:RIKEN cDNA 4930427A07 gene [Source:MGI Symbol;Acc:MGI:2144738] MRRRRSRVEGAARALPEAVAALSRCLPAGPSPEIFRRAKFDRPEAAPVLWQLLLRVLSPL AANNTWTDLAPEAQACVVKSALGSQGYPRSVLLQFPDGSSQGSRELLLALSWLLARGPLL EQLLAQTRVQLGDQLPQWEAPTSPGPPAPFVEPKSPVDLRLVEWLMGRLRFRWRCLISSQ QEQCILLSKIHLYTQGCHSQQSLGHLSVAETEMLRDPESGQQLLQALESENIRLEAALEW RRRELVFWQWMDTVLDTCSPETPAVTSQPTFLPEISEGGLGELESVKQELQALQEELREV SEPRRAAWEARVGGLGQGPEWSNSRKALQEAVQQELAALQGSWEQSSTPGQPQRPHRLVR SKDGAPRPQGLQAAEVIRTLSAKEACLKKALHQLQRQCQQELARLAGALPGLIWILPPEH >ENSMUSP00000143431.1 pep:known chromosome:GRCm38:12:113156440:113166048:1 gene:ENSMUSG00000037466.13 transcript:ENSMUST00000196755.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930427A07Rik description:RIKEN cDNA 4930427A07 gene [Source:MGI Symbol;Acc:MGI:2144738] RRRRSRVEGAARALPEAVAALSRCLPAGPSPEIFRRAKFDRPEAAPVLWQLLLRVLSPLA ANNTWTDLAPEAQACVVKSALGSQGYPRSVLLQFPDGSSQGSRELLLALSWLLARGPLLE QLLAQTRVQLGDQLPQWEL >ENSMUSP00000143367.1 pep:known chromosome:GRCm38:12:113156506:113161815:1 gene:ENSMUSG00000037466.13 transcript:ENSMUST00000200522.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930427A07Rik description:RIKEN cDNA 4930427A07 gene [Source:MGI Symbol;Acc:MGI:2144738] RCLPAGPSPEIFRRAKFDRPEAAPVLWQLLLRVLSPLAANNTWTDLAPGPNQSWSSCTLC GTKEPRGSPTSGMADGKAAV >ENSMUSP00000142110.1 pep:known chromosome:GRCm38:3:92285417:92291405:1 gene:ENSMUSG00000074445.4 transcript:ENSMUST00000193337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2a3 description:small proline-rich protein 2A3 [Source:MGI Symbol;Acc:MGI:3845028] MSYYQQQCNQPCRPPPVCPPPKCPEPCPPQVWPGPCRPVMCFEPCLPSVWPGPCRPVVCY EQCPPQPWQSTCPPVQFPPCQQK >ENSMUSP00000088387.4 pep:known chromosome:GRCm38:3:92288566:92289247:1 gene:ENSMUSG00000074445.4 transcript:ENSMUST00000090872.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2a3 description:small proline-rich protein 2A3 [Source:MGI Symbol;Acc:MGI:3845028] MSYYQQQCNQPCRPPPVCPPPKCPEPCPPQVWPGPCRPVMCFEPCLPSVWPGPCRPVVCY EQCPPQPWQSTCPPVQFPPCQQK >ENSMUSP00000066378.2 pep:known chromosome:GRCm38:2:18025193:18037737:-1 gene:ENSMUSG00000054057.2 transcript:ENSMUST00000066163.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930004D18Rik description:RIKEN cDNA A930004D18 gene [Source:MGI Symbol;Acc:MGI:1925190] MDRQVTLIFPSSVRGCLNLASRPFYNWKANFYFSLLYVSDCEHGMRLANLGIFSLPANSW TGARTIGLDHASSLCGIYVSHLQRPLLGVILYFFFLLSFLNRLINMKTLESVLHELQLLG GNPMNEQVEFREVSVYSLSVGAWEHGAADCPWTMAHP >ENSMUSP00000145799.1 pep:known chromosome:GRCm38:7:27959135:27979139:-1 gene:ENSMUSG00000063047.9 transcript:ENSMUST00000205874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp780b description:zinc finger protein 780B [Source:MGI Symbol;Acc:MGI:2444764] MANSNSQDMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRDMMLETYSNLVAVVGRCISK PDLIVLLEQEKEPWMAVKEETDRPSPVSSSQRTVLLRWQLGLKEKN >ENSMUSP00000146224.1 pep:known chromosome:GRCm38:7:27961361:27979147:-1 gene:ENSMUSG00000063047.9 transcript:ENSMUST00000206685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp780b description:zinc finger protein 780B [Source:MGI Symbol;Acc:MGI:2444764] MANSNSQDMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRDMMLETYSNLVAVVGRCISK PDLIVLLEQEKEPWMAVKEETDRPSPDLETDYDAENISPENPINNKKFLKQSIKQLITFD PKDSSTSIGPNYSTFHGLQDCQSDAGQQITNKEGVPPHTCQTLAHNTEKPYECKECGKCF GCRSTLTQHQSIHTGEKPYECEECGKAFRLPQQLTRHQKSHSGEKPFECNECGKAFHLPD LLKYHKTIHTGEKPFECRECGKSFNRVSNLVAHRIIHADVKPYKCSECGKAFKRRSNLVQ HQKTHSDERPFQCKDCGKGFIVLAQLTRHQNIHTGEKSFECHECGKAFRLPQQLTRHQKS HSGEKPFKCNECGKAFHLPDLLKYHKTIHTSTKPFECRECGKSFHRISNLVEHRLIHADV KPHKCNECGKAFKRSKSLMQHQKIHSGERPFQCQECGKAFIVLTHLTRHQTIHTGEKSFE CNECGKKFRTATHLVMHQTIHTGEKPFECNVCGKAFRLQVYLSEHQKIHIEEKPFKCKLC GSAFRRKYQLNEHYTIHTDEKPYQCKECGKCFRQRSNFTEHQSIHTGNKPFECKECGKFF RLNTLLIRHQKSHSSERPYKCKECGKAFHLPSELNNHQIVHTSNRPFECKVCGKSFKRES TLIQHSVIHGGVKSYECNECGKAFIHRSSLFHHRKIHSDEKPFKCQECGKAFVVLAYLTR HQSIHTGEKSFECQQCGSAFRYKSQLSKHQRSHTDVKLFQCMEGGNDFVHGTNLSIHQGI HTSENPFQCNEYGEAFKYHYQFPWTF >ENSMUSP00000080324.7 pep:known chromosome:GRCm38:7:27962708:27975368:-1 gene:ENSMUSG00000063047.9 transcript:ENSMUST00000081618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp780b description:zinc finger protein 780B [Source:MGI Symbol;Acc:MGI:2444764] MANSNSQDMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRDMMLETYSNLVAVVGRCISK PDLIVLLEQEKEPWMAVKEETDRPSPDLETDYDAENISPENPINNKKFLKQSIKQLITFD PKDSSTSIGPNYSTFHGLQDCQSDAGQQITNKEGVPPHTCQTLAHNTEKPYECKECGKCF GCRSTLTQHQSIHTGEKPYECEECGKAFRLPQQLTRHQKSHSGEKPFECNECGKAFHLPD LLKYHKTIHTGEKPFECRECGKSFNRVSNLVAHRIIHADVKPYKCSECGKAFKRRSNLVQ HQKTHSDERPFQCKDCGKGFIVLAQLTRHQNIHTGEKSFECHECGKAFRLPQQLTRHQKS HSGEKPFKCNECGKAFHLPDLLKYHKTIHTSTKPFECRECGKSFHRISNLVEHRLIHADV KPHKCNECGKAFKRSKSLMQHQKIHSGERPFQCQECGKAFIVLTHLTRHQTIHTGEKSFE CNECGKKFRTATHLVMHQTIHTGEKPFECNVCGKAFRLQVYLSEHQKIHIEEKPFKCKLC GSAFRRKYQLNEHYTIHTDEKPYQCKECGKCFRQRSNFTEHQSIHTGNKPFECKECGKFF RLNTLLIRHQKSHSSERPYKCKECGKAFHLPSELNNHQIVHTSNRPFECKVCGKSFKRES TLIQHSVIHGGVKSYECNECGKAFIHRSSLFHHRKIHSDEKPFKCQECGKAFVVLAYLTR HQSIHTGEKSFECQQCGSAFRYKSQLSKHQRSHTDVKLFQCMEGGNDFVHGTNLSIHQGI HTSENPFQCNEYGEAFKYHYQFPWTF >ENSMUSP00000100007.1 pep:known chromosome:GRCm38:13:30946573:30947759:-1 gene:ENSMUSG00000076430.1 transcript:ENSMUST00000102943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hus1b description:HUS1 checkpoint clamp component B [Source:MGI Symbol;Acc:MGI:2671003] MRFRARITSKRFIELFIQVSSTVAKLAKVCVLRVCPDRLYFCPMGLLGEAQLWGEMRRDV FHHFCMEGASQEFNEICLELMSEHLARAVKNAGNASSLKLQLTNKQRPCLTLVVELASCP GHTRAVVHDLPVRVLPRRRWKDCTEPHVRGSDVSVYLPALKTLKNMVERMANVGSHVLVE ANLNGRMNLTVETDRVTIKSYFKNLGNPPNAVLCMSQGRDPETMVQVRVDNRKLLQCFDG HQINPTMALCNILSNTLLHLVLVHEDISLQYFIPAS >ENSMUSP00000058131.2 pep:known chromosome:GRCm38:3:92316620:92318085:1 gene:ENSMUSG00000050092.3 transcript:ENSMUST00000061038.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2b description:small proline-rich protein 2B [Source:MGI Symbol;Acc:MGI:1330352] MSYHQQQCKQPCQPPPVCPPPKCPEPCPPPKCPEPCPPPVCCEPCPPPKCPEPCPPPVCC EPCPPPVCCEPCPPQPWQPKCPPVQFPPCQQKCPPKNK >ENSMUSP00000100010.1 pep:known chromosome:GRCm38:13:30813919:30950298:-1 gene:ENSMUSG00000021357.13 transcript:ENSMUST00000102946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc2 description:exocyst complex component 2 [Source:MGI Symbol;Acc:MGI:1913732] MSRSRQPPLVTGISPNEGIPWTKVTIRGENLGTGPTDLIGLTICGHNCLLTAEWMSASKI VCRVGQAKNDKGDIIVTTKSGGKGTSTVSFKLLKPEKIGILDQSAVWVDEMNYYDMRTDR NKGIPPLSLRPANPLGIEIEKCKLPQKNLEVLFHGMSADFTSENFSAAWYLIENHSTTSF EQLKMAVTNLKRQANKKSEGSLAYVKGGLSTFFEAQDALSAIHQKLEADGTEKVEGSMTQ KLENVLNRASNTADTLFQEVLGRKDKADSTRNALNVLQRFKFLFNLPLNIKRNIQKGDYD VVINDYEKAKSLFGKTEVQVFKKYYAEVEAGIEDLRELLLKKLLETPSTLHDQKRYIRYL SDLHAPGDPAWQCIGAQHKWTLKLMQDCKEGHMKSLKGHPGPHSPMLDLDNDVRPSVLGH LSQTASLKRGSSFQSGRDDTWRYKTPHRVAFVEKLTKLVLSQLPNFWKLWISYVNGSLFS ETAEKSGQSERSKNVRQRQNDFKKMIQEVMHSLVKLIRGALLPLSLREGDGRQYGGWEVQ AELSGQWLAHVIQTIRLTYESLTALEIPNDMLQIIQDLILDLRIRCIMVTLQHTAEEIKR LAEKEDWVVDNEGLTSLPCQFEQSIVHSLQSLKGVVDCKPGEASVFQQPKTQEEVCQLCI NIMQVFIYCLEQLSTKPDADIDTTHLSVDVSSPDLFGSIHEDFSLTSEQRLLIVLSNCCY LERHTFLNIAEHFEKHNFQGIEKITQVSMASLKELDQRLFENYIELKADPIVGSLEPGIY AGYFDWKDCLPPAGVRNYLKEALVNIIAVHAEVFTISKELVPRVLARVVEAVSEELSRLM QCVSSFSRNGALQARLEICALRDTVAIYLTSESRSSFKQALEALPQLASGADKKSLEELL NKFKSSMHLQLTCFQAASPAVMKT >ENSMUSP00000021785.6 pep:known chromosome:GRCm38:13:30813919:30974047:-1 gene:ENSMUSG00000021357.13 transcript:ENSMUST00000021785.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc2 description:exocyst complex component 2 [Source:MGI Symbol;Acc:MGI:1913732] MSRSRQPPLVTGISPNEGIPWTKVTIRGENLGTGPTDLIGLTICGHNCLLTAEWMSASKI VCRVGQAKNDKGDIIVTTKSGGKGTSTVSFKLLKPEKIGILDQSAVWVDEMNYYDMRTDR NKGIPPLSLRPANPLGIEIEKCKLPQKNLEVLFHGMSADFTSENFSAAWYLIENHSTTSF EQLKMAVTNLKRQANKKSEGSLAYVKGGLSTFFEAQDALSAIHQKLEADGTEKVEGSMTQ KLENVLNRASNTADTLFQEVLGRKDKADSTRNALNVLQRFKFLFNLPLNIKRNIQKGDYD VVINDYEKAKSLFGKTEVQVFKKYYAEVEAGIEDLRELLLKKLLETPSTLHDQKRYIRYL SDLHAPGDPAWQCIGAQHKWTLKLMQDCKEGHMKSLKGHPGPHSPMLDLDNDVRPSVLGH LSQTASLKRGSSFQSGRDDTWRYKTPHRVAFVEKLTKLVLSQLPNFWKLWISYVNGSLFS ETAEKSGQSERSKNVRQRQNDFKKMIQEVMHSLVKLIRGALLPLSLREGDGRQYGGWEVQ AELSGQWLAHVIQTIRLTYESLTALEIPNDMLQIIQDLILDLRIRCIMVTLQHTAEEIKR LAEKEDWVVDNEGLTSLPCQFEQSIVHSLQSLKGVVDCKPGEASVFQQPKTQEEVCQLCI NIMQVFIYCLEQLSTKPDADIDTTHLSVDVSSPDLFGSIHEDFSLTSEQRLLIVLSNCCY LERHTFLNIAEHFEKHNFQGIEKITQVSMASLKELDQRLFENYIELKADPIVGSLEPGIY AGYFDWKDCLPPAGVRNYLKEALVNIIAVHAEVFTISKELVPRVLARVVEAVSEELSRLM QCVSSFSRNGALQARLEICALRDTVAIYLTSESRSSFKQALEALPQLASGADKKSLEELL NKFKSSMHLQLTCFQAASPAVMKT >ENSMUSP00000081745.4 pep:known chromosome:GRCm38:4:41755228:41758695:1 gene:ENSMUSG00000036073.17 transcript:ENSMUST00000084695.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galt description:galactose-1-phosphate uridyl transferase [Source:MGI Symbol;Acc:MGI:95638] MAATFRASEHQHIRYNPLQDEWVLVSAHRMKRPWQGQVEPQLLKTVPRHDPLNPLCPGAT RANGEVNPHYDGTFLFDNDFPALQPDAPDPGPSDHPLFRAEAARGVCKVMCFHPWSDVTL PLMSVPEIRAVIDAWASVTEELGAQYPWVQIFENKGAMMGCSNPHPHCQVWASSFLPDIA QREERSQQTYHSQHGKPLLLEYGHQELLRKERLVLTSEHWIVLVPFWAVWPFQTLLLPRR HVRRLPELNPAERDDLASIMKKLLTKYDNLFETSFPYSMGWHGAPTGLKTGATCDHWQLH AHYYPPLLRSATVRKFMVGYEMLAQAQRDLTPEQAAERLRALPEVHYCLAQKDKETAAIA >ENSMUSP00000103673.1 pep:known chromosome:GRCm38:4:41755228:41758695:1 gene:ENSMUSG00000036073.17 transcript:ENSMUST00000108038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galt description:galactose-1-phosphate uridyl transferase [Source:MGI Symbol;Acc:MGI:95638] MAATFRASEHQHIRYNPLQDEWVLVSAHRMKRPWQGQVEPQLLKTVPRHDPLNPLCPGAT RANGEVNPHYDGTFLFDNDFPALQPDAPDPGPSDHPLFRAEAARGVCKVMCFHPWSDVTL PLMSVPEIRAVIDAWASVTEELGAQYPWVQIFENKGAMMGCSNPHPHCQVWASSFLPDIA QREERSQQTYHSQHGKPLLLEYGHQELLRKERLVLTSEHWIVLVPFWAVWPFQTLLLPRR HVRRLPELNPAERDDLASIMKKLLTKYDNLFETSFPYSMGWHGAPTGLKTGATCDHWQLH AHYYPPLLRSATVRKFMVGYEMLAQAQRDLTPEQVRTHILGRHIRSPHFLTGSQQS >ENSMUSP00000013771.8 pep:known chromosome:GRCm38:5:31116712:31137628:1 gene:ENSMUSG00000062077.14 transcript:ENSMUST00000013771.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim54 description:tripartite motif-containing 54 [Source:MGI Symbol;Acc:MGI:1889623] MNFTVGFKPLLGDAHNMDNLEKQLICPICLEMFSKPVVILPCQHNLCRKCANDVFQASNP LWQSRGSTTVSSGGRFRCPSCRHEVVLDRHGVYGLQRNLLVENIIDIYKQESSRPLHAKA EQHLMCEEHEDEKINIYCLSCEVPTCSLCKVFGAHKDCEVAPLPTIYKRQKSELSDGIAM LVAGNDRVQAVITQMEEVCQTIEDNSRRQKQLLNQRFETLCAVLEERKGELLQALAREQE EKLQRVRGLIRQYGDHLEGSSKLVESAIQSMEEPQMALYLQQAKELINKVGAMSKVELAG RPEPGYESMEQFSVSVEHVAEMLRTIDFQPGAAGDEEDDDMALDGEEGNAGLEEERLDVP EGSGLH >ENSMUSP00000144629.1 pep:known chromosome:GRCm38:5:31116734:31137630:1 gene:ENSMUSG00000062077.14 transcript:ENSMUST00000202769.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim54 description:tripartite motif-containing 54 [Source:MGI Symbol;Acc:MGI:1889623] MNFTVGFKPLLGDAHNMDNLEKQLICPICLEMFSKPVVILPCQHNLCRKCANDVFQASNP LWQSRGSTTVSSGGRFRCPSCRHEVVLDRHGVYGLQRNLLVENIIDIYKQESSRPLHAKA EQHLMCEEHEDEKINIYCLSCEVPTCSLCKVFGAHKDCEVAPLPTIYKRQKSELSDGIAM LVAGNDRVQAVITQMEEVCQTIEDNSRRQKQLLNQRFETLCAVLEERKGELLQALAREQE EKLQRVRGLIRQYGDHLEGSSKLVESAIQSMEEPQMALYLQQAKELINKVGAMSKVELAG RPEPGYESMEQFSVSVEHVAEMLRTIDFQPGAAGDEEDDDMALDGEEGNAGLEEERLDVP EGLH >ENSMUSP00000058618.7 pep:known chromosome:GRCm38:5:41787538:41844315:-1 gene:ENSMUSG00000061755.10 transcript:ENSMUST00000050556.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bod1l description:biorientation of chromosomes in cell division 1-like [Source:MGI Symbol;Acc:MGI:2444804] MATNPQPQPPPPAPPPPPPQPQPPPPPPGPGAGPGASGPGSAGAGAGDPQLVAMIVNHLK SQGLFDQFRRDCLADVDTKPAYQNLRQRVDNFVANHLATHTWSPHLNKNQLRNNIRQQVL KSGMLESGIDRIISQVVDPKINHTFRPQVEKAVHEFLATLNHKEEAAGSTAPDDEKPESS VITQGAPAPGPSANVASDAMSILETITSLNQEANAARASTEMSNAKVSERTSRKLSSQPS TDVSTDKERGSEDATEREKATSDSGGDGLEAALKSEEPSDLPCPVEETKNHMKENNSLLL LSKDAQQESTDPKIKSMDKGEKKPDGNEKGERKKEKKEKTEKKIDHSKRNEDTQKVKDER QAKDKEVESTKLPSEKSNSRARAAEGTKEDCSLLDSDVDGLTDITVSSVHTSDLSSFEED TEEEVVVSESMEEGEITSEDEEKNKQNKAKVQPGDSSDGKARGVRHAYVHKPYLYSKYYS DSDDELTVEQRRQSIAKEKEERLLRRRINREKLEEKRKQKAEKTKSSKVKSQGKSTVDLE DSSAKTLEPKAPRIKEVLKERKVLEKKVALSKRRRKDSRNVDENSKKKPQAEEESKEALK TTEYCEKEKASSKDLRHTHGKGEPSRPARRLSESLHSADENKTESKVEREYKRRTSTPVI LEGAQEETDTRDGKKQPERSETNVEETQKQKSTLKNEKYQKKDDPETHGKGLPKKEAKSA KERPEKEKAQSEDKPSSKHKHKGDSVHKMSDETELHSSEKGETEESVRKQGQQTKLSSDD RTERKSKHKSERRLSVLGRDGKPVSEYTIKTDEHARKDNKKEKHLSSEKSKAEHKSRRSS DSKLQKDALSSKQHSVTSQKRSESCSEDKCETDSTNADSSFKPEELPHKERRRTKSLLED KVVSKSKSKGQSKQTKAAETEAQEGVTRQVTTPKPDKEKNTEDNDTERQRKFKLEDRTSE ETVTDPALENTVSSAHSAQKDSGHRAKLASIKEKHKTDKDSTSSKLERKVSDGHRSRSLK HSNKDMKKKEENKPDDKNGKEVDSSHEKGRGNGPVTEKKLSRRLCENRRGSTSQEMAKED KLVANMSGTTSSSSLQRPKKSTETTSIPEQEPMEIDSEAAVENVSELSKTEDISSNSSQQ DTDFENVTKHKATAGVLKDEFRTSMVDSKPAAAVTCKSGRGLAVTSISERHADHKSTLTK KVHSQGNPSKAAPREREPIQRGAQEVSVDSEVSRKALSRAPSENEKGQKNLKGMSKTTEE CGTHRNASLEYSTDSDLLSSSGSVTVVPQKESHNSNTIPVIDREAISEGGRASTSLANHS DVPNQYSTVKKSEVHKTNGSKEGNDGFTVDMPTKANGGSKRHLSEDSQATLLYSKESKIS IPLADKSMSVTGDNKNINKQRSLMGTAKRESDLKVNPDIKQDSAAGEHVVDLSTRKEAET VRRKHNKEIPTDVERKTENSEVDTSARRDSAPVPQQRHGKMERGAAGSGRRDKAFIATST EGTDKGIMLNTVKTGDATTTSSEVGEKGTALPCTSIEADEGFMMGACPKKHPLQVGAEAS ECTVFAAAEEGKGVVTEGFAESEILLTSSKEGESGECAVAESEDRVAGPLAAHTVQAEAN VNSITTEEKDDAVTSAGSEEKCGGSACTVEGTATFIGEVESDGAVTSAGTEIRAGSLSSE DVDGSQENRIQVGPKKETEGTVTCTETKGRNDNFICLVTRVETQEQRVVTGADVVQVNAA KPQEANANQGDGSGTDGAEGESAVTSTGITEEDGEASANCTGSEDNREGCAISSETEESA ESAMDSTEAKDITNAPLVAAGPCDDEGIVTSTGAKEEDDEDEGVVTSTGRGNEPGHASAC TGIEESEGMMVCESGEGGAQIGPTIDHVNAEAGAATVNTNDSNVDSMSGAEKEIKDTNIC SSAKGIVESSVTSALAGNSDRPPVLCGSEGPMASASSHHSDSQLTRKETVEDTTISTGLV KGSDDVLVSGEVPECEVGHMSPRKNEECDGLMASTASCDVSNKDSLAGSKSQGNGLMIST STNACTPQISAVIDVRGGHLSTLSTEEIRDGVRVHREGFEAPMPSAVSGENSQLTASRSE EKDECAMISTSIGEEFELPISSAVTVTCAERQQPVAAVEESTTGPALVSTEDFEVPMPSA PTEAESPLASTSKEEKDECALISTSIAEECEASVFGVSRNAPSVTDGNAVISTSSVEDCE GSVSSAVPQESVCPSVIPVEETGDTAMISTSTSEGREAVMVGTIPTDDDQATTVRGEDLS DAAIISTSTAECVLTCTSLSRHEENQQATHNPEGNGGHLATKQSKCELPMPSLVAERNCK CPGPFRMGKGVGPLMAVGTRGEHDRLPVCEPSVGQGQPGTALCLGEEESHGMDCPGQDLN AKERNTLLSSVQRESKSAEAEAAGDSSTARRTVRKDSERNANSLSETNCLREPEQKPAED TSGSTHCLTAVNPGAEADGMLPITHAALEYPDHQEPESNLKTTTKCITGQESQMPSSHTG VLSAVCHVAPCASEQEGGLPTKSDHSGTWTSEGSPEKMGHVAGARQSFHREGNLDVTLPP EDNGCGVGNEESPPKGIGGLELSTGLTTEISVSSEEDTSHGVVAAPENPCVGRRRGAAEL QMEALLMRESLNVEKSESRINEEIHFESQNKEEICCGRKGSTEALSGCSVEADPEEVEEE EKQISQRNRKPDYSSSEEELDDSPDVLDSRIETAQRQYSETEPHDTKEENSGDVEEFSSV TSKTNSSTGLEDRDEFSSSEGTGEKTEPNEDDGSIKSQEDDHPIIIKRRRGRPRKYPAET AFKSKEDSKTETDITTVEQSSPSGKLKVSQADESNKEIANLEEKSTSNDDSEEKTASMRL RGRKPKRSLTSSDDAESSEPERKRQKSVSETSEDKKDEESDEEEEEEEEEEPLGATTRSA TRSEAQRKNHSKPSTRATSKLGIPETISPRNRQKLAKEKLSTSEKVSKSPPLGRSKAQLS PSVKRKREVSPPGARTRGQQKVDENPLKKAKR >ENSMUSP00000144359.1 pep:known chromosome:GRCm38:5:41787538:41844315:-1 gene:ENSMUSG00000061755.10 transcript:ENSMUST00000202908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bod1l description:biorientation of chromosomes in cell division 1-like [Source:MGI Symbol;Acc:MGI:2444804] MATNPQPQPPPPAPPPPPPQPQPPPPPPGPGAGPGASGPGSAGAGAGDPQLVAMIVNHLK SQGLFDQFRRDCLADVDTKPAYQNLRQRVDNFVANHLATHTWSPHLNKNQLRNNIRQQVL KSGMLESGIDRIISQVVDPKINHTFRPQVEKAVHEFLATLNHKEEAAGSTAPDDEKPESS VITQGAPAPGPSANVASDAMSILETITSLNQEANAARASTEMSNAKVSERTSRKLSSQPS TDVSTDKERGSEDATEREKATSDSGGDGLEAALKSEEPSDLPCPVEETKNHMKENNSLLL LSKDAQQESTDPKIKSMDKGEKKPDGNEKGERKKEKKEKTEKKIDHSKRNEDTQKVKDER QAKDKEVESTKLPSEKSNSRARAAEGTKEDCSLLDSDVDGLTDITVSSVHTSDLSSFEED TEEEVVVSESMEEGEITSEDEEKNKQNKAKVQPGDSSDGKARGVRHAYVHKPYLYSKYYS DSDDELTVEQRRQSIAKEKEERLLRRRINREKLEEKRKQKAEKTKSSKVKSQGKSTVDLE DSSAKTLEPKAPRIKEVLKERKVLEKKVALSKRRRKDSRNVDENSKKKPQAEEESKEALK TTEYCEKEKASSKDLRHTHGKGEPSRPARRLSESLHSADENKTESKVEREYKRRTSTPVI LEGAQEETDTRDGKKQPERSETNVEETQKQKSTLKNEKYQKKDDPETHGKGLPKKEAKSA KERPEKEKAQSEDKPSSKHKHKGDSVHKMSDETELHSSEKGETEESVRKQGQQTKLSSDD RTERKSKHKSERRLSVLGRDGKPVSEYTIKTDEHARKDNKKEKHLSSEKSKAEHKSRRSS DSKLQKDALSSKQHSVTSQKRSESCSEDKCETDSTNADSSFKPEELPHKERRRTKSLLED KVVSKSKSKGQSKQTKAAETEAQEGVTRQVTTPKPDKEKNTEDNDTERQRKFKLEDRTSE ETVTDPALENTVSSAHSAQKDSGHRAKLASIKEKHKTDKDSTSSKLERKVSDGHRSRSLK HSNKDMKKKEENKPDDKNGKEVDSSHEKGRGNGPVTEKKLSRRLCENRRGSTSQEMAKED KLVANMSGTTSSSSLQRPKKSTETTSIPEQEPMEIDSEAAVENVSELSKTEDISSNSSQQ DTDFENVTKHKATAGVLKDEFRTSMVDSKPAAAVTCKSGRGLAVTSISERHADHKSTLTK KVHSQGNPSKAAPREREPIQRGAQEVSVDSEVSRKALSRAPSENEKGQKNLKGMSKTTEE CGTHRNASLEYSTDSDLLSSSGSVTVVPQKESHNSNTIPVIDREAISEGGRASTSLANHS DVPNQYSTVKKSEVHKTNGSKEGNDGFTVDMPTKANGGSKRHLSEDSQATLLYSKESKIS IPLADKSMSVTGDNKNINKQRSLMGTAKRESDLKVNPDIKQDSAAGEHVVDLSTRKEAET VRRKHNKEIPTDVERKTENSEVDTSARRDSAPVPQQRHGKMERGAAGSGRRDKAFIATST EGTDKGIMLNTVKTGDATTTSSEVGEKGTALPCTSIEADEGFMMGACPKKHPLQVGAEAS ECTVFAAAEEGKGVVTEGFAESEILLTSSKEGESGECAVAESEDRVAGPLAAHTVQAEAN VNSITTEEKDDAVTSAGSEEKCGGSACTVEGTATFIGEVESDGAVTSAGTEIRAGSLSSE DVDGSQENRIQVGPKKETEGTVTCTETKGRNDNFICLVTRVETQEQRVVTGADVVQVNAA KPQEANANQGDGSGTDGAEGESAVTSTGITEEDGEASANCTGSEDNREGCAISSETEESA ESAMDSTEAKDITNAPLVAAGPCDDEGIVTSTGAKEEDDEDEGVVTSTGRGNEPGHASAC TGIEESEGMMVCESGEGGAQIGPTIDHVNAEAGAATVNTNDSNVDSMSGAEKEIKDTNIC SSAKGIVESSVTSALAGNSDRPPVLCGSEGPMASASSHHSDSQLTRKETVEDTTISTGLV KGSDDVLVSGEVPECEVGHMSPRKNEECDGLMASTASCDVSNKDSLAGSKSQGNGLMIST STNACTPQISAVIDVRGGHLSTLSTEEIRDGVRVHREGFEAPMPSAVSGENSQLTASRSE EKDECAMISTSIGEEFELPISSAVTVTCAERQQPVAAVEESTTGPALVSTEDFEVPMPSA PTEAESPLASTSKEEKDECALISTSIAEECEASVFGVSRNAPSVTDGNAVISTSSVEDCE GSVSSAVPQESVCPSVIPVEETGDTAMISTSTSEGREAVMVGTIPTDDDQATTVRGEDLS DAAIISTSTAECVLTCTSLSRHEENQQATHNPEGNGGHLATKQSKCELPMPSLVAERNCK CPGPFRMGKGVGPLMAVGTRGEHDRLPVCEPSVGQGQPGTALCLGEEESHGMDCPGQDLN AKERNTLLSSVQRESKSAEAEAAGDSSTARRTVRKDSERNANSLSETNCLREPEQKPAED TSGSTHCLTAVNPGAEADGMLPITHAALEYPDHQEPESNLKTTTKCITGQESQMPSSHTG VLSAVCHVAPCASEQEGGLPTKSDHSGTWTSEGSPEKMGHVAGARQSFHREGNLDVTLPP EDNGCGVGNEESPPKGIGGLELSTGLTTEISVSSEEDTSHGVVAAPENPCVGRRRGAAEL QMEALLMRESLNVEKSESRINEEIHFESQNKEEICCGRKGSTEALSGCSVEADPEEVEEE EKQISQRNRKPDYSSSEEELDDSPDVLDSRIETAQRQYSETEPHDTKEENSGDVEEFSSV TSKTNSSTGLEDRDEFSSSEGTGEKTEPNEDDGSIKSQEDDHPIIIKRRRGRPRKYPAET AFKSKEDSKTETDITTVEQSSPSGKLKVSQADESNKEIANLEEKSTSNDDSEEKTASMRL RGRKPKRSLTSSDDAESSEPERKRQKSVSETSEDKKDEESDEEEEEEEEEEPLGATTRSA TRSEAQRSKAQLSPSVKRKREVSPPGARTRGQQKVDENPLKKAKR >ENSMUSP00000000342.2 pep:known chromosome:GRCm38:11:82057823:82062955:1 gene:ENSMUSG00000020676.2 transcript:ENSMUST00000000342.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl11 description:chemokine (C-C motif) ligand 11 [Source:MGI Symbol;Acc:MGI:103576] MQSSTALLFLLLTVTSFTSQVLAHPGSIPTSCCFIMTSKKIPNTLLKSYKRITNNRCTLK AIVFKTRLGKEICADPKKKWVQDATKHLDQKLQTPKP >ENSMUSP00000114833.1 pep:known chromosome:GRCm38:8:70234226:70263105:1 gene:ENSMUSG00000036054.15 transcript:ENSMUST00000131489.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sugp2 description:SURP and G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:2678085] MAARRMAQESLDSVLQEKSKRYGDSEAVGEALHLKAQDLLRTGSRARADVYEDIHGDSRY SASGSGVYSLDMGREGLRGDMFVGPSFRSSNQSVGEDSYLRKECGRDLEPAHTDSRDQSF GHRNLGHFPSQDWKLALRGSWEQDLGHSVSQESSWSQEYGFGPSLLGDLASSRRMEKESR DYDLDHPGEVDSVSRSSGQVLTRGRSLNIADQEGTLLGKGDTQGLLGAKGVGKLITLKSM TTKKIPVASRITSKPQGTNQIQKPTPSPDVTIGTSPVLDEIQFAALKIPLGLDLRTLGLP RRKMGFDAIDKADVFSRFGIEIIKWAGFHTIKDDLKFSQLFQTLFELETETCAKMLASFK CSLKPEHRDFCFFTIKFLKHSALKTPRVDNEFLNMLLDKGAVKTKNCFFEIIKPFDKSIM RLQDRLLKGVTPLLMACNAYELSVKMKTLTSPLDLAMALETTNSLCRKSLALLGQTFSLA SSFRQEKILEAVGLQDIAPSPAYFPNFEDSTLFGREYIDHLKAWLMASGYPLQLKRAVPP ESREQKTTAQTWASSTLSQAVPQRADHRVVDTIDQLVMRVIQGRLSPRERTLLLQDPAYW FLSDESSLEYKYYKLKLAESQRLNHSWPIVERRPTPAQCAVRAMLYAQAVRSLKRRLLPW QRRRLIRSQGPRGLKAKKATTAQQTSLSSGTRQKHHGRQASGSLRVKPPPRDSSDAAQDC LSEPAKPCPQPSSPGALGPSPRPTGADDSEALPASSRCPSANMDAKTMETAEKLARFVAQ VGPEIEQFSIENSTDNPDLWFLHDQSSSAFKFYREKVLELCPSISFQSTGEAGDSVQSPT AGKEGKGEPQEGHPEQEASLEGTEVLPEEEEEDEEESEDEGGEETSTLRPQAGAAKCPGS EGSSPTDSIPGEGSREDQASTPGLSQASSGSCFPRKRISSKSLKVGMIPAPKRVCLIQES KVHEPVRIAYDRPRGRPIAKKKKPKDMEFSQQKLTDKNVGFQMLQKMGWKEGHGLGSLGK GIREPVSVGALSEGEGLGADGPEQKEDTFDVFRQRMMQMYRHKRASK >ENSMUSP00000091167.4 pep:known chromosome:GRCm38:8:70234233:70263105:1 gene:ENSMUSG00000036054.15 transcript:ENSMUST00000093458.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sugp2 description:SURP and G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:2678085] MAARRMAQESLDSVLQEKSKRYGDSEAVGEALHLKAQDLLRTGSRARADVYEDIHGDSRY SASGSGVYSLDMGREGLRGDMFVGPSFRSSNQSVGEDSYLRKECGRDLEPAHTDSRDQSF GHRNLGHFPSQDWKLALRGSWEQDLGHSVSQESSWSQEYGFGPSLLGDLASSRRMEKESR DYDLDHPGEVDSVSRSSGQVLTRGRSLNIADQEGTLLGKGDTQGLLGAKGVGKLITLKSM TTKKIPVASRITSKPQGTNQIQKPTPSPDVTIGTSPVLDEIQFAALKIPLGLDLRTLGLP RRKMGFDAIDKADVFSRFGIEIIKWAGFHTIKDDLKFSQLFQTLFELETETCAKMLASFK CSLKPEHRDFCFFTIKFLKHSALKTPRVDNEFLNMLLDKGAVKTKNCFFEIIKPFDKSIM RLQDRLLKGVTPLLMACNAYELSVKMKTLTSPLDLAMALETTNSLCRKSLALLGQTFSLA SSFRQEKILEAVGLQDIAPSPAYFPNFEDSTLFGREYIDHLKAWLMASGYPLQLKRAVPP ESREQKTTAQTWASSTLSQAVPQRADHRVVDTIDQLVMRVIQGRLSPRERTLLLQDPAYW FLSDESSLEYKYYKLKLAESQRLNHSWPIVERRPTPAQCAVRAMLYAQAVRSLKRRLLPW QRRRLIRSQGPRGLKAKKATTAQQTSLSSGTRQKHHGRQASGSLRVKPPPRDSSDAAQDC LSEPAKPCPQPSSPGALGPSPRPTGADDSEALPASSRCPSANMDAKTMETAEKLARFVAQ VGPEIEQFSIENSTDNPDLWFLHDQSSSAFKFYREKVLELCPSISFQSTGEAGDSVQSPT AGKEGKGEPQEGHPEQEASLEGTEVLPEEEEEDEEESEDEGGEETSTLRPQAGAAKCPGS EGSSPTDSIPGEGSREDQASTPGLSQASSGSCFPRKRISSKSLKVGMIPAPKRVCLIQES KVHEPVRIAYDRPRGRPIAKKKKPKDMEFSQQKLTDKNVGFQMLQKMGWKEGHGLGSLGK GIREPVSVGALSEGEGLGADGPEQKEDTFDVFRQRMMQMYRHKRASK >ENSMUSP00000114403.1 pep:known chromosome:GRCm38:8:70234617:70242651:1 gene:ENSMUSG00000036054.15 transcript:ENSMUST00000145078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sugp2 description:SURP and G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:2678085] MAARRMAQESLDSVLQEKSKRYGDSEAVGEALHLKAQGIFPSHFPLYLLKLWLFLNLESP ILATVAGQLASGIPCLYFLRMGDYRHPRLFGFSVGSDLTSSHLHDLLRTGSRARADVYED IHGDSRYSASGSGVYSLDMGREGLRGDMFVGPSFRSSN >ENSMUSP00000120271.1 pep:known chromosome:GRCm38:8:70236388:70242903:1 gene:ENSMUSG00000036054.15 transcript:ENSMUST00000155771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sugp2 description:SURP and G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:2678085] MAARRMAQESLDSVLQEKSKRYGDSEAVGEALHLKAQDLLRTGSRARADVYEDIHGDSRY SASGSGVYSLDMGREGLRGDMFVGPSFRSSNQSVGEDSYLRKECGRDLEPAHTDSRDQSF GHRNLGHFPSQDWKLALRGSWEQDLGHSVSQESSWSQEYGFGPSLLGDLASSRRM >ENSMUSP00000118748.1 pep:known chromosome:GRCm38:8:70257202:70264296:1 gene:ENSMUSG00000036054.15 transcript:ENSMUST00000126239.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sugp2 description:SURP and G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:2678085] XSFQSTGEAGDSVQSPTAGKEGKGEPQEGHPEQEASLEGTEVLPEEEEEDEEESEDEGGE ETSTLRPQAGAAKCPGSEGSSPTDSIPGEGSREDQASTPGLSQASSGSCFPRKRISSKSL KVGMIPAPKRVCLIQESKVHEPVRIAYDRPRGRPIAKKKKPKDMEFSQQKLTDKNVGFQM LQKMGWKEGHGLGSLGKGIREPVSVGALSEGEGLGADGPEQKEDTFDVFRQRMMQMYRHK RASK >ENSMUSP00000128029.1 pep:known chromosome:GRCm38:8:70234226:70261042:1 gene:ENSMUSG00000036054.15 transcript:ENSMUST00000164403.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sugp2 description:SURP and G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:2678085] MAARRMAQESLDSVLQEKSKRYGDSEAVGEALHLKAQDLLRTGSRARADVYEDIHGDSRY SASGSGVYSLDMGREGLRGDMFVGPSFRSSNQSVGEDSYLRKECGRDLEPAHTDSRDQSF GHRNLGHFPSQDWKLALRGSWEQDLGHSVSQESSWSQEYGFGPSLLGDLASSRRMEKESR DYDLDHPGEVDSVSRSSGQVLTRGRSLNIADQEGTLLGKGDTQGLLGAKGVGKLITLKSM TTKKIPVASRITSKPQGTNQIQKPTPSPDVTIGTSPVLDEIQFAALKIPLGLDLRTLGLP RRKMGFDAIDKADVFSRFGIEIIKWAGFHTIKDDLKFSQLFQTLFELETETCAKMLASFK CSLKPEHRDFCFFTIKFLKHSALKTPRVDNEFLNMLLDKGAVKTKNCFFEIIKPFDKSIM RLQDRLLKGVTPLLMACNAYELSVKMKTLTSPLDLAMALETTNSLCRKSLALLGQTFSLA SSFRQEKILEAVGLQDIAPSPAYFPNFEDSTLFGREYIDHLKAWLMASGYPLQLKRAVPP ESREQKTTAQTWASSTLSQAVPQRADHRVVDTIDQLVMRVIQGRLSPRERTLLLQDPAYW FLSDESSLEYKYYKLKLAESQRLNHSWPIVERRPTPAQCAVRAMLYAQAVRSLKRRLLPW QRRRLIRSQGPRGLKAKKATTAQQTSLSSGTRQKHHGRQASGSLRVKPPPRDSSDAAQDC LSEPAKPCPQPSSPGALGPSPRPTGADDSEALPASSRCPSANMDAKTMETAEKLARFVAQ VGPEIEQFSIENSTDNPDLWFLHDQSSSAFKFYREKVLELCPSISFQSTGEAGDSVQSPT AGKEGKGEPQEGHPEQEASLEGTEVLPEEEEEDEEESEDEGGEETSTLRPQAGAAKCPGS EGSSPTDSIPGEGSREDQASTPGLSQASSGSCFPRKRISSKSLKVGMIPAPKRVCLIQES KVHEPVRIAYDRPRGRPIAKKKKPKDMEFSQQKLTDKNVGFQMLQKMGWKEGHGLGSLGK GIREPVSVGALSEGEGLGADGPEQKEDTFDVFRQRMMQMYRHKRASK >ENSMUSP00000146427.1 pep:known chromosome:GRCm38:7:19991465:20019269:1 gene:ENSMUSG00000060508.16 transcript:ENSMUST00000207805.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9b description:NLR family, pyrin domain containing 9B [Source:MGI Symbol;Acc:MGI:2675377] MAGSSGYGLLKLLQKLSDEEFQRFKELLREEP >ENSMUSP00000115158.1 pep:known chromosome:GRCm38:7:20004095:20023563:1 gene:ENSMUSG00000060508.16 transcript:ENSMUST00000137183.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9b description:NLR family, pyrin domain containing 9B [Source:MGI Symbol;Acc:MGI:2675377] MAGSSGYGLLKLLQKLSDEEFQRFKELLREEPEKFKLKPISWTKIENSSKESLVTLLNTH YPGQAWNMMLSLFLQVNREDLSIMAQKKKRHKQTKYKKFMKTTFERIWTLETNTHIPDRN YHLIVEVQYKALQEIFDSESEPVTAIVAGTTGEGKTTFLRKAMLDWASGVLLQNRFQYVF FFSVFSLNNTTELSLAELISSTLPESSETVDDILSDPKRILFILDGFDYLKFDLELRTNL C >ENSMUSP00000072895.6 pep:known chromosome:GRCm38:7:20008023:20062940:1 gene:ENSMUSG00000060508.16 transcript:ENSMUST00000073151.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9b description:NLR family, pyrin domain containing 9B [Source:MGI Symbol;Acc:MGI:2675377] MAGSSGYGLLKLLQKLSDEEFQRFKELLREEPEKFKLKPISWTKIENSSKESLVTLLNTH YPGQAWNMMLSLFLQVNREDLSIMAQKKKRHKQTKYKKFMKTTFERIWTLETNTHIPDRN YHLIVEVQYKALQEIFDSESEPVTAIVAGTTGEGKTTFLRKAMLDWASGVLLQNRFQYVF FFSVFSLNNTTELSLAELISSTLPESSETVDDILSDPKRILFILDGFDYLKFDLELRTNL CNDWRKRLPTQIVLSSLLQKIMLPGCSLLLELGQISVPKIRHLLKYPRVITMQGFSERSV EFYCMSFFDNQRGIEVAENLRNNEVLHLCSNPYLCWMFCSCLKWQFDREEEGYFKAKTDA AFFTNFMVSAFKSTYAHSPSKQNRARLKTLCTLAVEGMWKELFVFDSEDLRRNGISESDK AVWLKMQFLQTHGNHTVFYHPTLQSYFAAMFYFLKQDKDICVPVIGSIPQLLGNMYARGQ TQWLQLGTFLFGLINEQVAALLQPCFGFIQPIYVRQEIICYFKCLGQQECNEKLERSQTL FSCLRDSQEERFVRQVVDLLEEITVDISSSDVLSVTAYALQKSSKLKKLHLHIQKTVFSE IYCPDHCKTRTSIGKRRNTAEYWKTLCGIFCNLYVLDLDSCQFNKRAIQDLCNSMSPTPT VPLTAFKLQSLSCSFMADFGDGSLFHTLLQLPHLKYLNLYGTYLSMDVTEKLCAALRCSA CRVEELLLGKCGISSKACGIIAISLINSKVKHLSLVENPLKNKGVMSLCEMLKDPSCVLQ SLMLSYCCLTFIACGHLYEALLSNKHLSLLDLGSNFLEDTGVNLLCEALKDPNCTLKELW LPGCFLTSQCCEEISAVLICNRNLKTLKLGNNNIQDTGVRQLCEALSHPNCNLECLGLDL CEFTSDCCKDLALALTTCKTLNSLNLDWKTLDHSGLVVLCEALNHKRCNLKMLGLDKSAF SEESQTLLQDVEKKNNNLNILHHPWFEAERNKRGTRLVWNSRN >ENSMUSP00000113762.1 pep:known chromosome:GRCm38:7:20019172:20073306:1 gene:ENSMUSG00000060508.16 transcript:ENSMUST00000117909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9b description:NLR family, pyrin domain containing 9B [Source:MGI Symbol;Acc:MGI:2675377] MAGSSGYGLLKLLQKLSDEEFQRFKELLREEPEKFKLKPISWTKIENSSKESLVTLLNTH YPGQAWNMMLSLFLQVNREDLSIMAQKKKRHKQTKYKKFMKTTFERIWTLETNTHIPDRN YHLIVEVQYKALQEIFDSESEPVTAIVAGTTGEGKTTFLRKAMLDWASGVLLQNRFQNTA EYWKTLCGIFCNLYVLDLDSCQFNKRAIQDLCNSMSPTPTVPLTAFKLQSLSCSFMADFG DGSLFHTLLQLPHLKYLNLYGTYLSMDVTEKLCAALRCSACRVEELLLGKCGISSKACGI IAISLINSKVKHLSLVENPLKNKGVMSLCEMLKDPSCVLQSLMLSYCCLTFIACGHLYEA LLSNKHLSLLDLGSNFLEDTGVNLLCEALKDPNCTLKELWLDLCEFTSDCCKDLALALTT CKTLNSLNLDWKTLDHSGLVVLCEALNHKRCNLKMLGSGELMFLMKWKDSDEADLVQAKE ANMICPQIVISFYGERLTWHS >ENSMUSP00000059658.5 pep:known chromosome:GRCm38:3:89831370:89858834:1 gene:ENSMUSG00000046280.9 transcript:ENSMUST00000050401.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:She description:src homology 2 domain-containing transforming protein E [Source:MGI Symbol;Acc:MGI:1099462] MRRPPAPGAPRCLSWASSLFCSAASTLPGPLMAAKWFKEFPLTLKTASERARPGGAGGKP RKNSETGGAAPTPGKGRKNSAAELGASKASSGPPKDSRLSRDSLQGLIQAAAGKGRKNSR VTATATEEEPHRGAVTKSAGCSTYISRLIKVDTQEKNGKSAYPGGGSTSSSSSSSSSASS SPSSLGPELDKAKIMRQQDTVIILEDYADPYDAKRTKGQRDAERVGENDGYMEPYDAQQM ITEIRRRGSKDPLVKALQLLDGPSEPGETVKVEATAKRRSSKDLLGKPPQLYDTPYEPSE GGQRVAEVKTRPADSRLPEEDDRPAAEYEQPWEWKREQIARALSVQFEGSDRPPGREDAG RPHHWQKTLKPTLSDHGDGEKVDPGLALEKQPWYHGSISRAEAESRLQPCKEAAYLVRNS ESGNSKYSIALKTSQGCVHIIVAQTKDNKYTLNQTSAVFDSIPEVVHYYSNAKLPFKGAE HMTLLHPVHKLH >ENSMUSP00000037657.8 pep:known chromosome:GRCm38:16:35832874:35871544:-1 gene:ENSMUSG00000034422.14 transcript:ENSMUST00000042665.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp14 description:poly (ADP-ribose) polymerase family, member 14 [Source:MGI Symbol;Acc:MGI:1919489] MAASGSFPLLVEGSWGPDPPKNLINKLQVYFQSRKKSGGGECEVVPEPGNPARFRVLFSP EDVRQNVLERGNHELVWQEKGTFKLTVLMPTDPEEASASKKSRKESPEEESKTKEDAVKQ GDLDITHSPSSGSEKTEDVPKECENISSMVAFENLPEKVSEMVLTILVENISGLPSDDFK VEVNRDFAVAVVTFQKPIDIKKFIVDCISHRSNQQLQLAPRLLETTNVVRVENLPPGVDE YQLQLFFENPFNGGGRVARVECFPEESSALVEFCDSKVLDTVMAKTHSYNKMPLSVFPYY PSLGTALYGEEKPLIKLPASFQESLDLPLWKFFQKNNHLIEEINNEMRCCHCELTWSEIN GKLTIRPAATLVNHRLSIKTWQRDASAVLSGIKSKYGVELFEVCSPVWDIIKHELESGDD RVLVEFEKESLNIAGKSEDVQGMSQKIRELIESTTEKLRREEQSLKEKVAISPGKHYLLH HSGFLKDLSKGFPEMEISYDATAQFLYLKGFRADVYKVKCDIQEKVFSMAQKDVQVSSEV FEFLQQVDSQRLSKSLFEAQNILAIYELKGTALFLVGSSFKDLAEAETKMLSALSHKQIE VEDKEVLISNGWKKKVHPLQKRHSSCATIIVQNELTSETPAKVIVTGCVKEVNEIHRQLF EYLENNMKVERALKIKPSLIVDYLRTDKRLLSKIKKAHVYVHFKPKDNPNSILLTGCKSK VLECMNLVKEIQDSVCVQRFQTDKAGVRHFFKDKESYYKTEIGRQFGCVIELEEDREEKG EEEDGEEEEGEEEGESSINEQKCHLQRDIAPGVKLFVLEEDLSRFPVDVVVNAANENLKH ISGLAQALSKAAGPELQTECDQIVKEGGVVLPGNAVISKAGKLPCHHVIHAVGPRWKGDK VLECVSLLKKVVRQSLSLAEEHRCRSIAMPAVSAGIFDFPLELCVANIVSAIKEHFQHKR DTHTLKKIYLVGLPAKVARAFAEAVKTTYKDSLSHTAFPSSLKALVPLGKTPQKQGSLLV SPEGLRIRLVEEGVQNATTHAIVNSISPDLKLNKGPLSQAFLEKAGPKLQEELTRSGQGV SVDVGTILQTSGCNLNSRHVFHVVPPPWKSNNSAWSLKIMKNIIRDCLKTTENLSLQSIA FPAIGTGNLRFPKPEFAKLIISEVLKFSSRNQLKTLQEVQFLLHPKDHENIQAFSDEFDK RNNGDPSDKNPKAEDTQGIYGSLSSPTLGMHEMNIGPILFQVATGNIIKEVADVIVNSTT LTFDLKSGVSKAILEGAGQNVEQECSLLAKQSNHGYIVTGGGLLQCKNIIHVVGGNDVKK SVSCVLEECEQRNYSSICLPAIGTGNAQQDPNVVAKAIIDAIEEFVQKKSVQAVKRVKVV IFQPHILQFFYDNMKEREGSPAPPKQSPAKQSVMSKIASFLGFPKQASPKKNTLVLEKKI EHTVFQVCGSGVDSVNKTISWLKELITKEQLSYTNDDECVSDFDMEEYEKLNEIQKELNI TIEMNQKKTSIQVSGISRDVIKARDEIEGMIKSIRLAKEKESQADYISTYVEWQYIDKNI TQCFDKMTNMKLEVAWKAKKKDTVVQIHNQDFTVDLSTNTATAPQGQTFTVQRLVKAEAE IPANWSDMKQDKLLLVNLQTSDPEYNMVASAFRQTCSNFFIEKIERIQNPALWRRYQAYK KSMDEKNGNVRNEKHLFHGTEASSLPQLNSNGFNRSYAGKNATAYGKGTYFAVKASYSAC DTYSRPDTNGRKYMYYVRVLTGNYTNGNASLIVPPSRDPQNAADLYDTVTDNDKNPSIFV VFYDNQTYPEYLITFRQ >ENSMUSP00000145145.1 pep:known chromosome:GRCm38:8:13221663:13254162:-1 gene:ENSMUSG00000031448.12 transcript:ENSMUST00000204916.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adprhl1 description:ADP-ribosylhydrolase like 1 [Source:MGI Symbol;Acc:MGI:2442168] MEKFKAAMLLGSVGDALGYGNICRENSVLGSIQEELQKTGGLDSLVLSPGRWPVSDNTIM HMATAEALTTDYWCLDDLYREMVKRYVETVETLSEHRPDPSTIEGCSQLKPDNYLLAWHT PFSEKGSGFGAATKAMCIGMRYWKPERLETLIEVSIECGRMTHNHPTGFLGSLCTALFAS YALQGKPLVQWGREMLKVLPLAEEYCRKTIRHMAEYQEHWFYFEAKWQFYLEERKIREDA EDKVTFPDNYDAEERDKTYKKWSSEGRGGRRGHDAPMIAYDALLASGSNWTELCQRAMFH GGESGATGTIAGCLFGLLHGLATVPRGLYQELEHKGRLEDLGAALHRLSTEENSESPRIS CGKIAMDAQMLKKRISRTCDEAARTILSSLLLYVLDHADGPQKVEDRTHRTNRPFQLQEA GRRPTRFQLLQAKFMGTGRELHLKKTRDVGRLISKDKQGPGRSFVNATINKLLEKTKEVG RSSSQRTPASEKVRWSPSSGKGTVKNILKKFLAAEEKAAKENEVGEKPPAQRPGAARGLL PRIVGQSSILSKLRERFEHNSCLYSEAGVLPLHREGLKSKNLQKRKVHRPQVRVLHVATM ATSCTRIPPAQFLACTAEPLPALSIATIVCGPQSWLSHCAKLSHSECRRWPTGAANTFSN SENLEPVGNRTQGLENKESKEHLLSSVPQAVVDVGFSGIPTNGNALPGHAPVLSSRGLDS PRSTGAVGKDRTVEPSTQEVAADAQGAMDNLRGAPEIAMTVSSSEDEAERTASGLEREPF FATQRHLPEQEAVIQIHLLAPLAVQAERRAQPAINPPQITVQLPIVHEMPASPGREDQCS PVVRREVMAGTEQAASSTVTKDRRGPPAPTMQSHPCGVPVSLCPATQYGLQEDLRDSASV GGDASQRFLIPSPSKTFPGGTEGKQSPEKSHDLRNCSGDSPSTYLIAGRSYAGVGTCSTT GLQQGTSTTMMVTSQDCIRPDGSIALEKSIQFGQEECQGPQNESAQPPGRTQENSSHDLG SNSQTTLNNQSIARIKASGKAIGTVTDLTVPQPSSTQHPELKTAAWPTGTQDTELKVVPH TGDSKEVEYKTVPQTSAQDVRHTQMSWACGTQNDAHRITLQPHVPQGVEPNTTPHLGGSQ EPKHEVTLGPSGAQNIDHKTPQQDRTQDIKLTTLRPGGAQDPEHKMVSQASDIQGAGHKT TTWAGGAQNTRQKASSQPGGVQGTKYKIGVTPDTEHEVTAQAGDAQDGEESPEKKTTPWS GSVRDYKDKITQGPHSHSSLVSSRSSKHASRTTEDLTGSRPLMSAAPVVQTQEAGDSCLM STKSPLCVSSESRNKITAVERDPLCQSTASPEPQTEPAETLDHSPRPSVGPRALDRTDPE KEQYLSRAAHSPTGPAAKGETRQVGLAHSPEPPVPNQKVEHRSAPQAETLGGEAKEMLPT QADVGRPQRQLGSEETGTGHVRLHQTGQSDVLCVVDPQAWASQDPVANEKIPVEENSCQH RDRTQKHPSRPPKRLEKSPTQGGSLQAGKNLATPGNPTKSCGLALQKQTQRQGQASHPVP QASGPDSTAEGVLTAGTSGEPPRRGPSFDNQQSLVAQHLPRETQSLASSRTTAGSLENTH DASWLTSKAGSHPLFQSLAQDGPPSAPRAEKGLSDHKHPRSVHFAKYRAQSFRDQAAFDL SFRPTALRASDAFEPPK >ENSMUSP00000033825.4 pep:known chromosome:GRCm38:8:13235655:13254162:-1 gene:ENSMUSG00000031448.12 transcript:ENSMUST00000033825.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adprhl1 description:ADP-ribosylhydrolase like 1 [Source:MGI Symbol;Acc:MGI:2442168] MEKFKAAMLLGSVGDALGYGNICRENSVLGSIQEELQKTGGLDSLVLSPGRWPVSDNTIM HMATAEALTTDYWCLDDLYREMVKRYVETVETLSEHRPDPSTIEGCSQLKPDNYLLAWHT PFSEKGSGFGAATKAMCIGMRYWKPERLETLIEVSIECGRMTHNHPTGFLGSLCTALFAS YALQGKPLVQWGREMLKVLPLAEEYCRKTIRHMAEYQEHWFYFEAKWQFYLEERKIREDA EDKVTFPDNYDAEERDKTYKKWSSEGRGGRRGHDAPMIAYDALLASGSNWTELCQRAMFH GGESGATGTIAGCLFGLLHGLATVPRGLYQELEHKGRLEDLGAALHRLSTEEK >ENSMUSP00000131920.1 pep:known chromosome:GRCm38:8:13242510:13254071:-1 gene:ENSMUSG00000031448.12 transcript:ENSMUST00000168498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adprhl1 description:ADP-ribosylhydrolase like 1 [Source:MGI Symbol;Acc:MGI:2442168] MEKFKAAMLLGSVGDALGYGNICRENSVLGSIQEELQKTGGLDSLVLSPGRWPVSDNTIM HMATAEALTTGSGFGAATKAMCIGMRYWKPERLETLIEVSIECGRMTHNHPTGFLGSLCT ALFASYALQGKPLVQWGREMLKVLPLAEEYCRKTIRHMAEYQEHWFYFEAKWQFYLEERK IREDAEDKVTFPDNYDAEERDKVSP >ENSMUSP00000132014.1 pep:known chromosome:GRCm38:8:13242642:13254096:-1 gene:ENSMUSG00000031448.12 transcript:ENSMUST00000171619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adprhl1 description:ADP-ribosylhydrolase like 1 [Source:MGI Symbol;Acc:MGI:2442168] MVKRYVETVETLSEHRPDPSTIEGCSQLKPDNYLLAWHTPFSEKGSGFGAATKAMCIGMR YWKPERLETLIEVSIECGRMTHNHPTGFLGSLCTALFASYALQGKPLVQWGREMLKVLPL AEEYCRKTIRHMAEY >ENSMUSP00000089350.1 pep:known chromosome:GRCm38:13:21715763:21716143:-1 gene:ENSMUSG00000094338.1 transcript:ENSMUST00000091756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bl description:histone cluster 1, H2bl [Source:MGI Symbol;Acc:MGI:2448403] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000031366.7 pep:known chromosome:GRCm38:5:123749726:123821593:1 gene:ENSMUSG00000029414.11 transcript:ENSMUST00000031366.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kntc1 description:kinetochore associated 1 [Source:MGI Symbol;Acc:MGI:2673709] MWNNIELLTSDDTGSGCLSVGSRKENVTALYQADLLGKISSEKTSLSPKIQAFSLSHGFI IVADQSVILLDSICRSLQLFLIFDTDVDVVGLCQEGKFLFVGERSGNFHLIYVTSKQTLF TKAFVEKALDESQRTYRNLIIEKDGSNEGTYYMLLLTNNGFFYITNLQLSQIEQAIENTD LDSAKKLQGQFKCSFISTENYHSCLSLVASQSGTFASKTSVIIGGTGSCAFSKWEPDSTK KEMSLKNFVGTDIIKGAKSFQLIDNLLFVLDTDNVLSLWDAYTLTPVWNWPSLPVEQFVL TTEADSPSSVTWQGITNLKLVTLTATAKEKMRSLIIYSLPSMETLYSLEVSSVSSLVQTG ISTDTIYLLEGIHKNDPNLCEDSVSDLVLRYLTEVLPENRLSRLLHKHRFAEAESFAIQF GLDVELVYKVKSNDMLEKLALISSDKSEQSKWQQLVDEAKENLCKIQDDDFVVNFCLKAQ WVTYETTQEMLSYAKTRLMKKEDRALPASSDAFMEVLKAHAKLTTFYGAFGPEKFSGSSW IEFLNNEDDLRDVFLQLSEGNFACAQYLWLRHRADFESKFDVKMLENLLNSISTQFPLEN LCSWFKNEVIPFVRRIVPEGQNILAKWLEQASRNLELTDKANWPENGLQLAEVFFTAEKT DRFGFASSWHWISLDYQNTEEVRQLRTLVSKLRELIILHRKYNCKLALSDFEKENATTVV FRMFDRVSAPELIPSVLEKSVRVYIREQNLQEEELLLLYIEDLLKRCSSKSMTLFDTAWE AKAMAVIRCLSDTDLIFDAVLKIMYKAVVPWSAAVEQLVKQHLEMDHPKVKLLQESYKLM EMKKLLRGYGIREVNLLNKEIMRVIRYILKQDIPSSLEDALKVAQGYRLSDDEIYSLRII DLIDREQGGDCLLLLKSLPAAEAEKTAERVIIWARLALQEEPDGSEEDKAWRISVAKTSV DILKILCDIRKDNLQKKDESEEFLKRFQMVASLQENFEVFLPFEDYSNTALVAGLREQYI KAQEAAQAEHKHRGPPGPTPARGTHLSIKSKLHRQALALQVSEQELEAELTLRALKDGKV VAALSKCRDLLKHYCNADTGRLLFVVCQKLCQMLADDVPMVAPGGLSLPSEIHDLACHAV TICSPDYLLDVLELSKYTLTAVELCRQCQMDDCGMLMKAALGTHKDPYEEWSFSDFFSED GIVLESQVVLPVIYELISSVMPPAESKRHPLDSISLPYCSTSEGENRILPLVSSISALLR SLQECSQWELALRFVVGSFGTCLQHSMSNVMSISLSKQLLGKNTLANSRHIIMELKEKSI TFIRENATTLLHKVFNCRVVDLDLALAYCTLLPQKDVFDNLWKFIDKAWQNYDKILALSL VGSQLANLYQDIETGLWFHELSIDAKWGIRLGKLGISFQPAFRQNFLTKKDLIKALVNNI DMDTSLILEYCSTFQLDSDAALRLFIETLLRNTSSQSQGDAAPESTKHQHSKLLAKATEL VPLLKNTKDLVISLSEILYKLDPYDYEMIDVVLKVLEQANEKITSVNINQALNLLRHLKS YRRISPPVDHEYQYALEHMITLPPAAHTRLPFHLILFGTAQNFWKILSSELSEESLPTLL LIAKLMKFSLDTLYVSTAKHLFEKNLKPKLLKSAQARSSTLMSKEVDKLMQTLESYLLSI VNPEWAVAIAISLTQEVPEGPFKMSSLKFCLYLAERWLQNIPPQDETCEKAKALQKKLCL QVRLSGTEAVLIAHKLNDQEYLRVIGKPAHLIVSLYEHPSISERLCTTSGKDYPDIHTAA KEIAEVNEVNLEKIWDMLLEKWLCPSTVPSEKASEFFELEEDEVLHRVVYLLQARPVDYC SRMLFVFATSATSTLGMRQLTFAHKARALQCLLYLADKETIESLFKKPIKEMKSYLKCIT FLASFETLNIPITYELFCNSPKEGMIKGLWKNHSHEPMAVRLVAELCLEYKIYDLQLWNG LLQKLLGFNMIPYLRKVLSCISSIHSLWQVPYFSKAWQRVIQIPLLSASCPLRPSQLADC CDSLVAILECPVSDDLDMMGVAKQYVQLDLPAFALTCLTLMPHSEKRHQQIKNFLNSCDA RIILQQIEEHMNTGQLAGFSHQIGSLVLNHVVNKKEFGILAKTKYFQLLKCHVINTGNVT ELVNYLANDFSVDEASALINEYSKHCGKPVPADAAPCEILQTFLGGS >ENSMUSP00000143142.1 pep:known chromosome:GRCm38:5:123781188:123782078:1 gene:ENSMUSG00000029414.11 transcript:ENSMUST00000198841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kntc1 description:kinetochore associated 1 [Source:MGI Symbol;Acc:MGI:2673709] XKLLRGYGIREVNLLNKEIMRVIRYILKQDIPSSLEDALKVAQGYRLSDDEIYSLRIIDL IDREQVWSFRLCGGGCFADSIFMKLNCPSYCHQTKSRSSISMEALQ >ENSMUSP00000142523.1 pep:known chromosome:GRCm38:5:123811393:123821591:1 gene:ENSMUSG00000029414.11 transcript:ENSMUST00000197265.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kntc1 description:kinetochore associated 1 [Source:MGI Symbol;Acc:MGI:2673709] XEGMIKGLWKNHSHEPMAVRLVAELCLEYKIYDLQLWNGLLQKLLGFNMIPYLRKVLSCI SSIHSLWQVPYFSKAWQRVIQIPLLSASCPLRPSQLADCCDSLVAILEIF >ENSMUSP00000094958.3 pep:known chromosome:GRCm38:17:34613350:34615971:-1 gene:ENSMUSG00000015467.14 transcript:ENSMUST00000097345.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl8 description:EGF-like domain 8 [Source:MGI Symbol;Acc:MGI:1932094] MGLWAELCISLRGLSFFLVLMTGEGTRGGSFKESLGVCSKQTLLVPLRYNESYSQPVYKP YLTLCAGRRICSTYRTTYRVAWREVRREVPQTHVVCCQGWKKPHPGALTCDAICSKPCLN GGVCTGPDRCECAPGWGGKHCHVDVDECRASLTLCSHGCLNTLGSFLCSCPHPLVLGLDG RTCAGGPPESPTSASILSVAVREADSEEERALRWEVAELRGRLEKLEQWATQAGAWVRAV LLLEERLGACACEDNSLGPSLRG >ENSMUSP00000015611.7 pep:known chromosome:GRCm38:17:34613354:34615971:-1 gene:ENSMUSG00000015467.14 transcript:ENSMUST00000015611.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl8 description:EGF-like domain 8 [Source:MGI Symbol;Acc:MGI:1932094] MGLWAELCISLRGLSFFLVLMTGEGTRGGSFKESLGVCSKQTLLVPLRYNESYSQPVYKP YLTLCAGRRICSTYRTTYRVAWREVRREVPQTHVVCCQGWKKPHPGALTCDAICSKPCLN GGVCTGPDRCECAPGWGGKHCHVDVDECRASLTLCSHGCLNTLGSFLCSCPHPLVLGLDG RTCAGGPPESPTSASILSVAVREADSEEERALRWEVAELRGRLEKLEQWATQAGAWVRAV LPMPPEELRPEQVAELWGRGDRIESLSDQVLLLEERLGACACEDNSLGPSLRG >ENSMUSP00000128433.2 pep:known chromosome:GRCm38:17:34613777:34615788:-1 gene:ENSMUSG00000015467.14 transcript:ENSMUST00000168353.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl8 description:EGF-like domain 8 [Source:MGI Symbol;Acc:MGI:1932094] MGLWAELCISLRGLSFFLVLMTGEGTRGGSFKESLGVCSKQTLLVPLRYNESYSQPVYKP YLTLCAGRRICSTYRTTYRVAWREVRREVPQTHVVCCQGWKKPHPGALTCDAICSKPCLN GGVCTGPDRCECAPGWGGKHCHVDVDECRASLTLCSHGCLNTLGSFLCSCPHPLVLGLDG RTCAGGPPESPTSASILSVAVREADSEEERALRWEVAELRGRLEKLEQWATQAGAWVRAV LPMPPEELRPEQVAELWGRGDRIESLSDQVLLLEERLGA >ENSMUSP00000095970.4 pep:known chromosome:GRCm38:8:119394878:119411102:1 gene:ENSMUSG00000074064.6 transcript:ENSMUST00000098367.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlycd description:malonyl-CoA decarboxylase [Source:MGI Symbol;Acc:MGI:1928485] MRGLGPGLRARRLLPLRSPPRPPGPRGRRLCGGLAASAMDELLRRAVPPTPAYELREKTP APAEGQCADFVSFYGGLAEASQRAELLGRLAQGFGVDHGQVAEQSAGVLQLRQQAREAAV LLQAEDRLRYALVPRYRGLFHHISKLDGGVRFLVQLRADLLEAQALKLVEGPHVREMNGV LKSMLSEWFSSGFLNLERVTWHSPCEVLQKISECEAVHPVKNWMDMKRRVGPYRRCYFFS HCSTPGEPLVVLHVALTGDISNNIQGIVKECPPTETEERNRIAAAIFYSISLTQQGLQGV ELGTFLIKRVVKELQKEFPQLGAFSSLSPIPGFTKWLLGLLNVQGKEHGRNELFTDSECQ EISAVTGNPVHESLKGFLSSGEWVKSEKLTQALQGPLMRLCAWYLYGEKHRGYALNPVAN FHLQNGAVMWRINWMADSSLKGLTSSCGLMVNYRYYLEETGPNSISYLGSKNIKASEQIL SLVAQFQNNSKL >ENSMUSP00000064929.5 pep:known chromosome:GRCm38:18:88865169:88894322:-1 gene:ENSMUSG00000056153.14 transcript:ENSMUST00000070116.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs6 description:suppressor of cytokine signaling 6 [Source:MGI Symbol;Acc:MGI:1924885] MKKISLKTFRKSFNLSKSKDETEFMVVQPQSLAGDFVKDDSLFGSCYGKDMASCDIGSED EKGKNRSKSESLMGTLKRRLSAKQKTKGKGGTASTDEDTFSSASAPGGLKDVRAPRPIRS TSLRSHHYSPTPWPLRPTSSEETCIKMEMRVKALVHAASPGPVNGVRKDLRELQPRELRD LQPEPRPESRCSPSSPGDLSLHLEEHVPVVIGLMSQDYLQYTVPLDDGMCPLEGPRSCCL DTSSPMEVSAVPLPGASGAFSEDDSHVDQDLVVGPEILVDSSVNNLLIGTTGVMLQSPRG GHDDAPPLSPLLPPMQNNPIQRNFSGLSGPDLHMAESVRCHLNFDPNSAPGVARVYDSVQ SSGPMVVTSLTEELKKLAKQGWYWGPITRWEAEGKLANVPDGSFLVRDSSDDRYLLSLSF RSHGKTLHTRIEHSNGRFSFYEQPDVEGHTSIVDLIEHSIRDSENGAFCYSRSRLPGSAT YPVRLTNPVSRFMQVRSLQYLCRFVIRQYTRIDLIQKLPLPNKMKDYLQEKHY >ENSMUSP00000114993.1 pep:known chromosome:GRCm38:18:88868174:88894216:-1 gene:ENSMUSG00000056153.14 transcript:ENSMUST00000123826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs6 description:suppressor of cytokine signaling 6 [Source:MGI Symbol;Acc:MGI:1924885] MKKISLKTFRKSFNLSKSKDETEFMVVQPQSLAGDFVKDDSLFGSCYGKDMASCDIGSED EKGKNRSKSESLMGTLKRRLSAKQKTKGKGGTASTDEDTFSSASAPGGLKDVRAPRPIRS TSLRSHHYSPTPWPLRPTSSEETCIKMEMRVKALVHAASPGPVNGVRKDLRELQPRELRD LQPEPRPESRCSPSSPGDLSLHLEEHVPVVIGLMSQDYLQYTVPLDDGMCPLEGPRSCCL DTSSPMEVSAVPLPGASGAFSEDDSHVDQDLVVGPEILVDSSVNNLLIGTTGVMLQSPRG GHDDAPPLSPLLPPMQNNPIQRNFSGLSGPDLHMAESVRCHLNFDPNSAPGVARVYDSVQ SSGPMVVTSLTEELKKLAKQGWYWGPITRWEAEGKLANVPDGSFLVRDSSDDRYLLSLSF RSHGKTLHTRIEHSNGRFSFYEQPDVEGHTSIVDLIEHSIRDSENGAFCYSRSRLPGSAT YPVRLTNPVSRFMQVRSLQYLCRFVIRQYTRIDLIQKLPLPNKMKDYLQEKHY >ENSMUSP00000118764.1 pep:known chromosome:GRCm38:18:88868495:88894352:-1 gene:ENSMUSG00000056153.14 transcript:ENSMUST00000125362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs6 description:suppressor of cytokine signaling 6 [Source:MGI Symbol;Acc:MGI:1924885] MKKISLKTFRKSFNLSKSKDETEFMVVQPQSLAGDFVKDDSLFGSCYGKDMASCDIGSED EKGKNRSKSESLMGTLKRRLSAKQKTKGKGGTASTDEDTFSSASAPGGLKDVRAPRPIRS TSLRSHHYSPTPWPLRPTSSEETCIKMEMRVKALVHAASPGPVNGVRKDLRELQPRELRD LQPEPRPESRCSPSSPGDLSLHLEEHVPVVIGLMSQDYLQYTVPLDDGMCPLEGPRSCCL DTSSPMEVSAVPLPGASGAFSEDDSHVDQDLVVGPEILVDSSVNNLLIGTTGVMLQSPRG GHDDAPPLSPLLPPMQNNPIQRNFSGLSGPDLHMAESVRCHLNFDPNSAPGVARVYDSVQ SSGPMVVTSLTEELKKLAKQGWYWGPITRWEAEGKLANVPDGSFLVRDSSDDRYLLSLSF RSHGKTLHTRIEHSNGRFSFYEQPDVEGHTSIVDLIEHSIRDSENGAFCYSRSRLPGSAT YPVRLTNPVSRFMQVRSLQYLCRFVIRQYTRIDLIQKLPLPNKMKDYLQEKHY >ENSMUSP00000122237.1 pep:known chromosome:GRCm38:18:88870250:88887247:-1 gene:ENSMUSG00000056153.14 transcript:ENSMUST00000145120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs6 description:suppressor of cytokine signaling 6 [Source:MGI Symbol;Acc:MGI:1924885] MKKISLKTFRKSFNLSKSKDETEFMVVQPQSLAGDFVKDDSLFGSCYGKDMASCDIGSED EKGKNRSKSESLMGTLKRRLSAKQKTKGKGGTASTDEDTFSSASAPGGLKDVRAPRPIRS TSLRSHHYSPTPWPLRPTSSEETCIKMEMRVKALVHAASPGPVNGVRKDLRELQPRELRD >ENSMUSP00000116148.1 pep:known chromosome:GRCm38:18:88870765:88927481:-1 gene:ENSMUSG00000056153.14 transcript:ENSMUST00000147313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs6 description:suppressor of cytokine signaling 6 [Source:MGI Symbol;Acc:MGI:1924885] MKKISLKT >ENSMUSP00000029024.3 pep:known chromosome:GRCm38:2:173659760:173707343:1 gene:ENSMUSG00000027519.10 transcript:ENSMUST00000029024.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab22a description:RAB22A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105072] MALRELKVCLLGDTGVGKSSIVWRFVEDSFDPNINPTIGASFMTKTVQYQNELHKFLIWD TAGQERFRALAPMYYRGSAAAIIVYDITKEETFSTLKNWVRELRQHGPPSIVVAIAGNKC DLTDVREVMERDAKDYADSIHAIFVETSAKNAININELFIEISRRIPSTDANPASGGKGF KLRRQPSEPKRSCC >ENSMUSP00000122799.1 pep:known chromosome:GRCm38:2:173659760:173707315:1 gene:ENSMUSG00000027519.10 transcript:ENSMUST00000142820.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab22a description:RAB22A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105072] MALRELKVCLLGDTGVGKSSIVWRFVEDSFDPNINPTIGFVHWHQCTIEGQQQPSSFTTS QKKRRFQL >ENSMUSP00000104738.3 pep:known chromosome:GRCm38:2:173659856:173701154:1 gene:ENSMUSG00000027519.10 transcript:ENSMUST00000109110.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab22a description:RAB22A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105072] MALRELKVCLLGDTGVGKSSIVWRFVEDSFDPNINPTIGASFMTKTVQYQNELHKFLIWD TAGQERLPSCIAQASSYSPFTLQETFSTLKNWVRELRQHGPPSIVVAIAGNKCDLTDVRE VMERDAKDYADSIHAIFVETSAKNAININELFIEISRRIPSTDANPASGGKGFKLRRQPS EPKRSCC >ENSMUSP00000071966.6 pep:known chromosome:GRCm38:9:109095389:109119917:1 gene:ENSMUSG00000053646.13 transcript:ENSMUST00000072093.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxnb1 description:plexin B1 [Source:MGI Symbol;Acc:MGI:2154238] MSVLGPVLLQVFWAGCVVTLRSPLPAAFTANGTHLQHLARDPTTGTLYVGATNFLFQLSP GLQLEAVVSTGPVNDSRDCLPPVIPDECPQAQPTNNPNQLLLVSPEALVVCGSVHQGICE LRSLGQIRQLLLRPERPGDTQYVAANDPAVSTVGLVAQGLVGEPLLFVGRGYTSRGVGGG IPPITTRALRPPDPQAAFSYEETAKLAVGRLSEYSHHFVSAFVRGASAYFLFLRRDLKAP SRAFRAYVSRVCLQDQHYYSYVELPLACQGGRYGLIQAAAVATSKEVARGDVLFAAFSSV APPTVDWPLSASTGASGTSVLCAFPLDEVDQLANYTRDACYTREGRAENGTKVADIAYDV LSDCAQLPVDTPDAFPCGSDHTPSPMVSCVPLEATPILELPGVQLTAVAVTMEDGHTIAF LGDSQGQLHRVYLGPGRSAAPYSKQSIQPGSPVNRDLTFDGTFEHLYVATQTTLVKVPVA PCAQHLDCDSCLAHRDPYCGWCVLLGRCSRRSECSRDQGPEQWLWSFQPELGCLRVVAVS PANISREERREVFLSVPGLPSLWPGESYFCYFGDQQSPALLTSSGVMCPSPDPSEAPVLQ RGADHISVNVELRFGAVVIASTSLSFYDCVAVTASSPSAPCRACVSSRWGCNWCVWQQLC THKASCDAGPMVASQQSPLLPLIPPARDELTPFPPTVPQTTVTPTPNSFPIEPRAPSTAS DVLPGAKPSRLSLWGPWAGPGPILSPTSTESPLHEKPLPPDPPTIPGTTVPAPTGLGPST TPEDLLASYPFPSDAAAVSPAEPGPEALPSMVALDQPPGTVPDTTFPGAPGSMKPVLDWL TKGGGELPEADEWMGGDTPAFSTSTLLSGDGDSAEHEGPPAPLILLSSLDYQYDTPGLWE LGEVNQRVSSCPCVETVQGSLLIPVHVEREVQLRGRNLWLFQDGPRSSECVLELGSREVA VEAQVECAPPPDVWCHIKCQQHQFSYEALKPELQVGLFLRWAGGLRVDSADGLHVVLYDC SVGHGDCSRCQTAMPQYDCVWCEGERPRCVAREACNEAETVATQCPAPLIHSVDPLTGPI DGGTRVTIRGSNLGQHVQDVLDMVRVAGVPCAVDAGEYDVSSSLVCITGASGEEVTGTVA VEVPGRGHGVSEFSFAYQDPKVHSIFPARGPRAGGTRLTLHGSKLLTGRLEDIRVVVGDQ PCHLLLEQQSEQLHCETGPYPVPAELPVTVLFGATERRLQHGQFKYTSDPNVTSVGPSKS FFSGGREIWVRGQDLDVVQRPRIRVTVVPRQHGQGLAQKQHVVPEKFEEPCLVNSSHLLM CRTPALPGPPWDSGVQVEFILDNMVFDFAALSPTPFSYEADPTLRSLNPEDPSTPFRHKP GSVFSVEGENLDLAMSKEEVVAMIGDGPCVVKTLTRNHLYCEPPVEQPLPHPHALREAPD ALPEFTVQMGNLRFSLGHVQYDGESPVAFPVAAQVGLGVGTSLLALGVIIIVLIYRRKSK QALRDYKKVQIQLENLESSVRDRCKKEFTDLMTEMTDLTSDLLGSGIPFLDYKVYAERVF FPGYRESPLHRDLGVPDSRRPTVEQGLGQLSNLLNSKLFLTKFIHTLESQRTFSARDRAY VASLLTVALHGKLEYFTDILRTLLSDLVAQYVAKNPKLMLRRTETVVEKLLTNWMSICLY TFVRDSVGEPLYMLFRGIKHQVDKGPVDSVTGKAKYTLNDNRLLREDVEYRPLTLNALLA VGPGAGEAQCVPVKVLDCDTISQAKEKMLDQLYKGVPLAQRPDSCTLDVEWRSGVAGHLI LSDEDVTSELQGLWRRLNTLQHYKVPDGATVALVPCLTKHILRENQDYVPGERTPMLEDV DEGGIRPWHLVKPSDEPEPPRPRRGSLRGGERERAKAIPEIYLTRLLSMKGTLQKFVDDL FQVILSTSRPVPLAVKYFFDLLDEQAQQHGISDQDTIHIWKTNSLPLRFWINIIKNPQFV FDVQTSDNMDAVLLVIAQTFMDACTLADHKLGRDSPINKLLYARDIPRYKQMVERYYADI RQTVPASDQEMNSVLAELSRNCSADLGARVALHELYKYINKYYDQIITALEEDGTAQKMQ LGYRLQQIAAAVENKVTDL >ENSMUSP00000115265.1 pep:known chromosome:GRCm38:9:109095952:109100498:1 gene:ENSMUSG00000053646.13 transcript:ENSMUST00000131462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxnb1 description:plexin B1 [Source:MGI Symbol;Acc:MGI:2154238] MSVLGPVLLQVFWAGCVVTLRSPLPAAFTANGTHLQHLARDPTTGTLYVGATNFLFQLSP GLQLEAVVSTGPVNDSRDCLPPVIPDECPQAQPTNNPNQLLLVSPEALVVCGSVHQGICE LRSLGQIRQLLLRPERPGDT >ENSMUSP00000114358.1 pep:known chromosome:GRCm38:9:109096710:109100498:1 gene:ENSMUSG00000053646.13 transcript:ENSMUST00000130366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxnb1 description:plexin B1 [Source:MGI Symbol;Acc:MGI:2154238] MSVLGPVLLQVFWAGCVVTLRSPLPAAFTANGTHLQHLARDPTTGTLYVGATNFLFQLSP GLQLEAVVSTGPVNDSRDCLPPVIPDECPQAQPTNNPNQLLLVSPEALVVCGSVHQGICE LRSLGQIRQLLLRPERPGDT >ENSMUSP00000142431.1 pep:known chromosome:GRCm38:9:109104952:109108882:1 gene:ENSMUSG00000053646.13 transcript:ENSMUST00000192988.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxnb1 description:plexin B1 [Source:MGI Symbol;Acc:MGI:2154238] XSHLFMRSPFLLTPLPYLEPLSLPPLAWDHRPHLRTSWPPTHSPQMQLQLVLYDCSVGHG DCSRCQTAMPQYDCVWCEGERPRCVAREACNEAETVATQCPAPLIHSVDPLTGPIDGGTR VTIRGSNLGQHVQDVLDMVRVAGVPCAVDAGEYDVSSSLVCITGASGEEVTGTVAVEVPG RGHGVSEFSFAYQDPKVHSIFPARGPRAGGTRLTLHGSKLLTGRLEDIRVVVGDQPCHLL LEQQSEQLHCETGPYPVPAELPVTVL >ENSMUSP00000120125.1 pep:known chromosome:GRCm38:19:5896516:5912871:-1 gene:ENSMUSG00000024826.14 transcript:ENSMUST00000136983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf2 description:D4, zinc and double PHD fingers family 2 [Source:MGI Symbol;Acc:MGI:109529] MAAVVENVVKLLGEQYYKDAMEQCHNYNARLCAERSVRLPFLDSQTGVAQSNCYIWMEKR HRGPGLASGQLYSYPARRWRKKRRAHPPEDPRLSFPSIKPDTDQTLKKEGLISQDGSSLE ALLRTDPLEKRGAPDPRVDDDSLGEFPVSNSRARKRIIEPDDFLDDLDDEDYEEDTPKRR GKGKSKSKGVSSARKKLDASILEDRDKPYACDICGKRYKNRPGLSYHYAHSHLAEEEGED KEDSRPPTPVSQRSEEQKSKKGPDGLALPNNYCDFCLGDSKINKKTGQPEELVSCSDCGR SGHPSCLQFTPVMMAAVKTYRWQCIECKCCNLCGTSENDDQLLFCDDCDRGYHMYCLTPS MSEPPEGSWSCHLCLDLLKEKASIYQNQNSS >ENSMUSP00000025746.7 pep:known chromosome:GRCm38:19:5897387:5907059:-1 gene:ENSMUSG00000024826.14 transcript:ENSMUST00000025746.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dpf2 description:D4, zinc and double PHD fingers family 2 [Source:MGI Symbol;Acc:MGI:109529] XTGVAQSNCYIWMEKRHRGPGLASGQLYSYPARRWRKKRRAHPPEDPRLSFPSIKPDTDQ TLKKEGLISQDGSSLEALLRTDPLEKRGAPDPRVDDDSLGEFPVSNSRARKRIIEPDDFL DDLDDEDYEEDTPKRRGKGKSKSKGVSSARKKLDASILEDRDKPYACDICGKRYKNRPGL SYHYAHSHLAEEEGEDKEDSRPPTPVSQRSEEQK >ENSMUSP00000113465.1 pep:known chromosome:GRCm38:19:5897387:5912792:-1 gene:ENSMUSG00000024826.14 transcript:ENSMUST00000118623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf2 description:D4, zinc and double PHD fingers family 2 [Source:MGI Symbol;Acc:MGI:109529] MAAVVENVVKLLGEQYYKDAMEQCHNYNARLCAERSVRLPFLDSQTGVAQSNCYIWMEKR HRGPGLASGQLYSYPARRWRKKRRAHPPEDPRLSFPSIKPDTDQTLKKEGLISQDGSSLE ALLRTDPLEKRGAPDPRVDDDSLGEFPVSNSRARKRIIEPDDFLDDLDDEDYEEDTPKRR GKGKSKSKGVSSARKKLDASILEDRDKPYACDNSFKQKHTSKAPQRVCGKRYKNRPGLSY HYAHSHLAEEEGEDKEDSRPPTPVSQRSEEQKSKKGPDGLALPNNYCDFCLGDSKINKKT GQPEELVSCSDCGRSGHPSCLQFTPVMMAAVKTYRWQCIECKCCNLCGTSENDDQLLFCD DCDRGYHMYCLTPSMSEPPEGSWSCHLCLDLLKEKASIYQNQNSS >ENSMUSP00000143291.1 pep:known chromosome:GRCm38:3:103643954:103646068:-1 gene:ENSMUSG00000087119.4 transcript:ENSMUST00000117271.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg4a-ps description:autophagy related 4A, pseudogene [Source:MGI Symbol;Acc:MGI:3615624] MESVMSKYENQILIFPDYLEEFPDTDELVWILGKQHPFKTEKSKLLSDISARLWFTYRRK FSPIGGTGPSSDAGWGCMLRCGQMMLAQALICRHLGRDWNWERQKEQPKEYQRILQCFLD RKDCCYSIHQMAQMGVGEGKSIGEWFGPNTVAQVIKKLTLFDEWNSLAVYVSMDNTVVIE DIKKMCCVLPVGAADPAGDFLTVSNQSRDTSVPCSAWKPLLLIVPLRLGINQINPVYVEA FKECFKMPQSLGALGGKPNNAYYFIGFLGDELIFLDPHTTQTFVDIEESGLVDDQTFHCL QSPQRMSILNLDPSVALGFFCKEEKDFDNWCSLVQKEILKENLRMFELVQKHPSHWPPFV PPAKPEVTTTGAEFIESTEQLEDFELEEDFEILSVG >ENSMUSP00000015146.9 pep:known chromosome:GRCm38:15:65787040:65873816:1 gene:ENSMUSG00000015002.16 transcript:ENSMUST00000015146.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efr3a description:EFR3 homolog A [Source:MGI Symbol;Acc:MGI:1923990] MPTRVCCCCSALRPRYKRLVDNIFPEDPKDGLVKADMEKLTFYAVSAPEKLDRIGAYLAE RLSRDVVRHRSGYVLIAMEALDQLLMACHSQSIKPFVESFLHMVAKLLESGEPKLQVLGT NSFVKFANIEEDTPSYHRRYDFFVSRFSAMCHSCHSDPEIRTEIRIAGIRGIQGVVRKTV NDELRATIWEPQHMDKIVPSLLFNMQKIEEVDSRLGPPSSPSAADKEENPAVLAESCFRE LLGRATFGNMNNAVRPVFAHLDHHKLWDPNEFAVHCFKIIMYSIQAQYSHHVIQEILGHL DARRKDSPRVRAGIIQVLLEAVAIAAKGSIGPTVLEVFNTLLKHLRLSVELEANDSQKGS VGSVTVSSKDNDEKIVQNAVIQTIGFFGSNLPDYQRSEIMMFIMGKVPVFGTSTHTLDIS QLGDLGTRRIQIMLLRSLLMVTSGYKAKTIVTALPGSFLDPLLSPSLMEDYELRQLVLEV MHNLMDRHDNRAKLRGIRIIPDVADLKIKREKICRQDTSFMKKNGQQLYRHIYLGCKEED NVQKNYELLYTSLALITIELANEEVVIDLIRLAIALQDSAIINEDNLSMFHRCGIMALVA AYLNFVSQMIAVPAFCQHVSKVIETRTMEAPYFLPEHIFRDKCMLPKSLEKHDKNLYFLT NKIAESLGGSGYSVERLTVPYVPQVTDEDRLSRRKSIVDTVSIQVDILSNSVPSDDVVSN TEEITFEALKKAIDTNGMEEQEKEKRRLVIEKFQKAPFEEIAAQCESKANLLHDRLAQIL ELTIRPPPSPSGTLTVTSGHTQYQSVPVYEMKFPDLCVY >ENSMUSP00000134385.1 pep:known chromosome:GRCm38:15:65787041:65869251:1 gene:ENSMUSG00000015002.16 transcript:ENSMUST00000173858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efr3a description:EFR3 homolog A [Source:MGI Symbol;Acc:MGI:1923990] MPTRVCCCCSALRPRYKRLVDNIFPEDPKDGLVKADMEKLTFYAVSAPEKLDRIGAYLAE RLSRDVVRHRSGYVLIAMEALDQLLMACHSQSIKPFVESFLHMVAKLLESGEPKLQVLGT NSFVKFANIEEDTPSYHRRYDFFVSRFSAMCHSCHSDPEIRTEIRIAGIRGIQGVVRKTV NDELRATIWEPQHMDKIVPSLLFNMQKIEEVDSRLGPPSSPSAADKEENPAVLAESCFRE LLGRATFGNMNNAVRPVFAHLDHHKLWDPNEFAVHCFKIIMYSIQAQYSHHVIQEILGHL DARRKDSPRVRAGIIQVLLEAVAIAAKGSIGPTVLEVFNTLLKHLRLSVELEANDSQKGS VGSVTVSSKDNDEKIVQNAVIQTIGFFGSNLPDYQRSEIMMFIMGKVPVFGTSTHTLDIS QLGDLGTRRIQIMLLRSLLMVTSGYKAKTIVTALPGSFLDPLLSPSLMEDYELRQLVLEV MHNLMDRHDNRAKLRGIRIIPDVADLKIKREKICRQDTSFMKKNGQQLYRHIYLGCKEED NVQKNYELLYTSLALITIELANEEVVIDLIRLAIALQDSAIINEDNLSMFHRCGIMALVA AYLNFVSQMIAVPAFCQHVSKVIETRTMEAPYFLPEHIFRDKCMLPKSLEKHDKNLYFLT NKIAESLGGSGYSVERLTVPYVPQVTDEDRLSRRKSIVDTVSIQVDILSNSVPSDDVVSN TEEITFEALKKAIDTNGMEEQEKEKRRLVIEKFQKAPFEEIAAQCESKANLLHDRLAQIL ELTIRQRRESMLYKTEAEPCYTQPMAWGQRRIKEKYKAVVK >ENSMUSP00000134683.1 pep:known chromosome:GRCm38:15:65787046:65825467:1 gene:ENSMUSG00000015002.16 transcript:ENSMUST00000172756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efr3a description:EFR3 homolog A [Source:MGI Symbol;Acc:MGI:1923990] MPTRVCCCCSALRPRYKRLVDNIFPEDPKDGLVKADMEKLTFYAVSAPEKLDRIGAYLAE RLSRDVVRHRSGYVLIAMEALDQLLMACHSQSIKPFVESFLHMVAKLLESGEPKLQVLGT NSFVKFANIEEDTPSYHRRYDFFVSRFSAMCHSCHSDPEIRTEYVLFYLMTYLK >ENSMUSP00000133493.1 pep:known chromosome:GRCm38:15:65787048:65819860:1 gene:ENSMUSG00000015002.16 transcript:ENSMUST00000174856.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efr3a description:EFR3 homolog A [Source:MGI Symbol;Acc:MGI:1923990] MEALDQLLMACHSQSIKPFVESFLHMVAKLLESGEPKLQVLG >ENSMUSP00000121014.1 pep:known chromosome:GRCm38:5:69573108:69590792:-1 gene:ENSMUSG00000029209.15 transcript:ENSMUST00000139632.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gnpda2 description:glucosamine-6-phosphate deaminase 2 [Source:MGI Symbol;Acc:MGI:1915230] MRLVILDNYDLASEWAAKYICNRIIKFKPGQDRYFSLGLPTGSTPLGCYKKLIEYHKSGN LSFKYVKTFNMDEYVGLPRNHPESYHSYMWNNFFKHIDIDPNNAHILDGNAADLQAECDA FEEKIKEAGGIDLFVGGIGPDGHIAFNEPGSSLVSRTRLKTLAMDTILANAKYFDGDLSK VPTMALTVGVGTVMDAREVMILITGAHKAFALYKAMEEGVNHMWTVSAFQQHPRTIFVCD EDATLELRVKTVKYFKGLMHVHNKLVDPLYSMKEGN >ENSMUSP00000031117.6 pep:known chromosome:GRCm38:5:69575001:69592340:-1 gene:ENSMUSG00000029209.15 transcript:ENSMUST00000031117.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnpda2 description:glucosamine-6-phosphate deaminase 2 [Source:MGI Symbol;Acc:MGI:1915230] MRLVILDNYDLASEWAAKYICNRIIKFKPGQDRYFSLGLPTGSTPLGCYKKLIEYHKSGN LSFKYVKTFNMDEYVGLPRNHPESYHSYMWNNFFKHIDIDPNNAHILDGNAADLQAECDA FEEKIKEAGGIDLFVGGIGPDGHIAFNEPGSSLVSRTRLKTLAMDTILANAKYFDGDLSK VPTMALTVGVGTVMDAREVMILITGAHKAFALYKAMEEGVNHMWTVSAFQQHPRTIFVCD EDATLELRVKTVKYFKGLMHVHNKLVDPLYSMKEGN >ENSMUSP00000128233.1 pep:known chromosome:GRCm38:5:69575170:69590828:-1 gene:ENSMUSG00000029209.15 transcript:ENSMUST00000166298.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnpda2 description:glucosamine-6-phosphate deaminase 2 [Source:MGI Symbol;Acc:MGI:1915230] MRLVILDNYDLASEWAAKYICNRIIKFKPGQDRYFSLGLPTGSTPLGCYKKLIEYHKSGN LSFKYVKTFNMDEYVGLPRNHPESYHSYMWNNFFKHIDIDPNNAHILDGNAADLQAECDA FEEKIKEAGGIDLFVGGPDGHIAFNEPGSSLVSRTRLKTLAMDTILANAKYFDGDLSKVP TMALTVGVGTVMDAREVMILITGAHKAFALYKAMEEGVNHMWTVSAFQQHPRTIFVCDED ATLELRVKTVKYFKGLMHVHNKLVDPLYSMKEGN >ENSMUSP00000133490.1 pep:known chromosome:GRCm38:5:69575812:69592274:-1 gene:ENSMUSG00000029209.15 transcript:ENSMUST00000173927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnpda2 description:glucosamine-6-phosphate deaminase 2 [Source:MGI Symbol;Acc:MGI:1915230] MRLVILDNYDLASEWAAKYICNRIIKFKPGQDRYFSLGLPTGSTPLGCYKKLIEYHKSGN LSFKYVKTFNMDEYVGLPRNHPESYHSYMWNNFFKHIDIDPNNAHILDGNAADLQAECDA FEEKIKEAGGIDLFVGGPDGHIAFNEPGSSLVSRTRLKTLAMDTILANAKYFDGDLSKVP TMALTVGVGTVMDAREVMILITGAHKAFALYKAMEEGVNHMWTVSAFQQHPRTIFVCDED ATLELRVKTVKYFKGLMHVHNKLVDPLYSMKEGN >ENSMUSP00000112484.1 pep:known chromosome:GRCm38:5:69576742:69592293:-1 gene:ENSMUSG00000029209.15 transcript:ENSMUST00000120789.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnpda2 description:glucosamine-6-phosphate deaminase 2 [Source:MGI Symbol;Acc:MGI:1915230] MRLVILDNYDLASEWAAKYICNRIIKFKPGQDRYFSLGLPTGSTPLGCYKKLIEYHKSGN LSFKYVKTFNMDEYVGLPRNHPESYHSYMWNNFFKHIDIDPNNAHILDGNAADLQAECDA FEEKIKEAGGIDLFVGGIGPDGHIAFNEPGSSLVSRTRLKTLAMDTILANAKYFDGDLSK VPTMALTVGVGTVMDAREVMILITGAHKAFALYKAMEEGVNHMWTVSAFQQHPRTIFVCD EDATLELRVKTVKYFKGYHSMKNYIKESQH >ENSMUSP00000121441.1 pep:known chromosome:GRCm38:5:69585001:69592289:-1 gene:ENSMUSG00000029209.15 transcript:ENSMUST00000153536.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gnpda2 description:glucosamine-6-phosphate deaminase 2 [Source:MGI Symbol;Acc:MGI:1915230] MRLVILDNYDLASEWAAKYICNRIIKFKPGQDRYFSLGLPTVSRRILL >ENSMUSP00000138510.1 pep:known chromosome:GRCm38:15:75979872:75982455:-1 gene:ENSMUSG00000098176.1 transcript:ENSMUST00000182172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc166 description:coiled-coil domain containing 166 [Source:MGI Symbol;Acc:MGI:1925902] MAPKKKRGQNAGRKQETTEQPLSERAQYLQREYALLSESLVACEQRIDEVLQDNEFLNRE AQRLREENRLYVGYVSAHALRCANAVVRVEDQNRMDLAQIRWQRAELASFYHGREDGVRA QLQEMKKRAENMTQRVQELQPYKASARAPRGPGGLQRDGRAPYRCADRRPLLPNPHPTPC RSCSWSSWRESGPWSASCCTCAWSTRSSSTG >ENSMUSP00000138115.1 pep:known chromosome:GRCm38:15:75980767:75982442:-1 gene:ENSMUSG00000098176.1 transcript:ENSMUST00000183130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc166 description:coiled-coil domain containing 166 [Source:MGI Symbol;Acc:MGI:1925902] MAPKKKRGQNAGRKQETTEQPLSERAQYLQREYALLSESLVACEQRIDEVLQDNEFLNRE AQRLREENRLYVGYVSAHALRCANAVVRVEDQNRMDLAQIRWQRAELASFYHGREDGVRA QLQEMKKRAENMTQRVQELQPYKELQLEQLARIRTLERELLHMRVEHTQLLHGVKRRFLD EKTAFEREARLQVQSLTRRSEREAARSLISHAQALKADNGYLRQELMRLLQRTQLLQDMR QQLLEQREQLRREHVDLKNLEQMHGWLQRGPGGPPLWQPPQSLQPSLRIGSTSHVLKAQA TPQSVPTSRGQSMDLSHRPSKTPSILSSESLPGLSQKAGSVIALRSPSHPGSRVSSLTPS RKDSRVSSATPSRKGSRVPSMTSGTLRSREGSRISPQPSLREVSPEIDTPAKSSSKLPTA LLEDQAPLSPQLEEAENAEDDTETVLEQA >ENSMUSP00000142094.1 pep:known chromosome:GRCm38:3:13946393:14181124:1 gene:ENSMUSG00000039717.15 transcript:ENSMUST00000192209.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralyl description:RALY RNA binding protein-like [Source:MGI Symbol;Acc:MGI:1924147] MTMYRSKRRHQRYINMAGEPKPYRPKPGSKRPLSALYRLESKEPFLSVGGYVFDYDYYRD DFYNRLFDYHGRVPPPPRAVIPLKRPRVAVTTTRRGKGVFSMKGGSRSTVGGSSSSGSKL KSDELQTIKKELTQIKTKIDSLLGRLEKIEKQQKAEAVSTDKVI >ENSMUSP00000125848.2 pep:known chromosome:GRCm38:3:13946404:14181980:1 gene:ENSMUSG00000039717.15 transcript:ENSMUST00000171075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralyl description:RALY RNA binding protein-like [Source:MGI Symbol;Acc:MGI:1924147] MTMYRSKRRHQRYINMAGEPKPYRPKPGSKRPLSALYRLESKEPFLSVGLFDYHGRVPPP PRAVIPLKRPRVAVTTTRRGKGVFSMKGGSRSTVGGSSSSGSKLKSDELQTIKKELTQIK TKIDSLLGRLEKIEKQQKAEAVSTDKVI >ENSMUSP00000104009.2 pep:known chromosome:GRCm38:3:13946411:14182287:1 gene:ENSMUSG00000039717.15 transcript:ENSMUST00000108372.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralyl description:RALY RNA binding protein-like [Source:MGI Symbol;Acc:MGI:1924147] MTMYRSKRRHQRYINMAGEPKPYRPKPGSKRPLSALYRLESKEPFLSVGGYVFDYDYYRD DFYNRLFDYHGRVPPPPRAVIPLKRPRVAVTTTRRGKGVFSMKGGSRSTVGGSSSSGSKL KSDELQTIKKELTQIKTKIDSLLGRLEKIEKQQKAEAEAQKKQLEESIELIQDECVSENA DHSTEEPAEGGQEADGGEMTDGVEEDFDEDGGHELFLQIK >ENSMUSP00000040741.8 pep:known chromosome:GRCm38:6:6557294:6578658:-1 gene:ENSMUSG00000042541.10 transcript:ENSMUST00000041111.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shfm1 description:split hand/foot malformation (ectrodactyly) type 1 [Source:MGI Symbol;Acc:MGI:109238] MSEKKQPVDLGLLEEDDEFEEFPAEDWAGLDEDEDAHVWEDNWDDDNVEDDFSNQLRAEL EKHGYKMETS >ENSMUSP00000051479.5 pep:known chromosome:GRCm38:11:53350833:53421830:1 gene:ENSMUSG00000049470.13 transcript:ENSMUST00000060945.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aff4 description:AF4/FMR2 family, member 4 [Source:MGI Symbol;Acc:MGI:2136171] MNREDRNVLRMKERERRNQEIQQGEDAFPPSSPLFAEPYKVTSKEDKLSSRIQSMLGNYD EMKDYIGDRSIPKLVAIPKPAVPTTTDEKANPNFFEQRHGGSHQSSKWTPVGPAPSTSQS QKRSSALQSGHSSQRSGAGGSGASSSGQRHDRDSYSSSRKKGQHGSEHSKSRSSSPGKPQ AVSSLSSSHSRSHGNDHHSKEHQRSKSPRDPDANWDSPSRGPFSSGQHSSQSFPPSLMSK SSSMLQKPTAYVRPMDGQESVEPKLSSEHYSSQSHGNSMTELKPSSKAHLTKLKIPSRPL DASVSGDVSCVDEILKEMTHSWPPPLTAIHTPCKTEPSKFPFPTKESQQSNFGPGEQKRY STAKTSNGHQSKSMLKDDLKLSSSEDSDGEQDCDKTMPRSTPGSNSEPSHHNSEGADNSR DDSSSHSGSESSSGSDSESESSSSDSEANEPSQSASPEPEPPPTNKWQLDNWLNKVNPHK VSPASSVDSNIPSSQAYKKEGREQGTASNYTDPGGTKETSSATPGRDSKTIQKGSESGRG RQKSPAQSDSTTQRRTVGKKQPKKPEKSAAEEPRGGLKIESETPVDMAASMPSSRHKAAT KGSRKPNIKKESKSSPRPTAEKKKYKSASKPSQKSREIIETDTSSSDSDGSESLPPSSQT PKYPESNRTPVKPSSVEEEDSFFRQRMFSPMEEKELLSPLSEPDDRYPLIVKIDLNLLTR IPGKPYKETEPPKGEKKNVPEKHSREVQKQASEKASNKGKRKHKNDDDTRASESKKPKTE DKNSSGHKPSSSRESSKQSSTKEKDLLPSPAGPILSKDSKTEHGSRKRTVSQSSSLKSSG TSSKENSGSSSKSSSSSTAKQKKTEGKGPSSSKEAKEKAPNSSSNCPPSTPTSESSKPRR TKLAFDDRNYSADHYLQEAKKLKHNADALSDRFEKAVYYLDAVVSFIECGNALEKNAQES KSPFPMYSDTVELIKYTMKLKNYLAPDATAADKRLTVLCLRCQSLLYLRLFKLKKENALK YSKTLTEHLKNSYSNSQAPSPGLGSKAVGMPSPVSPKLSPGNSGSYSSGGSSASASGSSV TIPQKIHQMAASYVQVTSNFLYATEIWDQAEQLSKEQKEFFAELDKVMGPLIFNASIMTD LARYTRQGLHWLRQDAKLIS >ENSMUSP00000120613.1 pep:known chromosome:GRCm38:11:53368073:53372520:1 gene:ENSMUSG00000049470.13 transcript:ENSMUST00000153821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aff4 description:AF4/FMR2 family, member 4 [Source:MGI Symbol;Acc:MGI:2136171] MNREDRNVLRMKERERRNQEIQQGEDAFPPSSPLFAEPYKVTSKEDKLSSRIQSMLGNYD EMKDYIGDRSIPKLVAIPKPAVPTTTDEKANPNFFEQRHGGSHQSSKWTPVGPAPSTSQS QK >ENSMUSP00000118866.1 pep:known chromosome:GRCm38:11:53372966:53398399:1 gene:ENSMUSG00000049470.13 transcript:ENSMUST00000152616.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aff4 description:AF4/FMR2 family, member 4 [Source:MGI Symbol;Acc:MGI:2136171] XSQSHGNSMTELKPSSKAHLTKLKIPSRPLDASVSGDVSCVDEILKESQQSNFGPGEQKR YSTAKTSNGHQSKSMLKDDLKLSSSEDSDGEQDCDKTMPRSTPGSNSEPSHHNSEGADNS RDDSSSHSGSESSSGSDSESESSSSDSEANEPSQSASPE >ENSMUSP00000011934.5 pep:known chromosome:GRCm38:2:76703980:76982455:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000011934.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] MTTQAPMFTQPLQSVVVLEGSTATFEAHVSGSPVPEVSWFRDGQVISTSTLPGVQISFSD GRARLMIPAVTKANSGRYSLRATNGSGQATSTAELLVTAETAPPNFSQRLQSMTVRQGSQ VRLQVRVTGIPTPVVKFYRDGAEIQSSLDFQISQEGDLYSLLIAEAYPEDSGTYSVNATN SVGRATSTAELVVQGEEVVPAKKTKTIVSTAQISETRQTRIEKKIEAHFDARSIATVEMV IDGATGQLPHKTPPRIPPKPKSRSPTPPSIAAKAQLARQQSPSPIRHSPSPVRHVRAPTP SPVRSVSPAGRISTSPIRSVKSPLLIRKTQTTTMATGPEVPPPWKQEGYVASSTEAEMRE TTMTSSTQIRREERWEGRYGVQEQVTISGAAAAAASASVSSSFTAGAITTGTKEVKQETD KSAAVATVVAAVDMARVREPAISAVEQTAQRTTTTAVHIQPAQEQARKEAEKTAVTKVVV AADKAKEQELKSRTREVMVTTQEQTHISHEQIRKETEKAFVPKVVISATKAKEQETRITG EITTKQEQKRITQETMMKETRKTVVPKVIVATPKIKEQDLVSRSREAITTKRDQVQITQE KKRKEVETTALSTIAVATAKAKEQETVLRSREAMATRQEHIQVTHGQVGVGKKAEAVATV VAAVDQARVREPREPTHVEESHSQQTTLEYGYKEHISTTKVPEQPRRPASEPHVVPQAVK PAVIQAPSETHIKTTDQMGMHISSQVKKTTDISTERLVHVDKRPRTASPHFTVSKISVPK TEHGYEASIAGSAIATLQKELSATSSTQKITKSVKAPTVKPGETRVRAEPTPSPQFPFAD MPPPDTYKSQAGIEVKKEVGVSISGSTVREEHFEVLRGREAKVTETARVPAPAEVPVTPP TLVSGLKNVTVIEGESVTLECHISGYPSPKVTWYREDYQIESSIDFQITFQGGIARLMIR EAFAEDSGRFTCSAVNEAGTVSTSCYLAVQVSEEFDKETTLTEKFATEEKRFVESRDVVM TDTSITEEQAGPGEPAAPFFISKPVVQKLVEGGSVVFECQIGGNPKPHVYWKKSGVPLTT GYRYKVSYNKQTGECRLVISMTFADDAGEYTIVIRNKHGETSASASLLEEADYEALVKTQ QEMLYQTQMSTFIQEPKVGEIAPGFAYSEYEKEYEKEQALIRKKMAKDTVMVRTFVEDQE FHISSFEERLIKEIEYRIIKTTLEELLEEDGEEKMAVDISESEAIESGFDIRIKNYRILE GMGVTFHCKMSGYPLPKIAWYKDGKRIRHGERYQMDFLQDGRASLRIPVVLPEDEGIYTA FASNIKGNAICSGKLYVEPAAPFSAPTYMPTPEAVSRIRSVSPRSLSRSPIRMSPAMSPA RMSPARMSPARMSPARMSPGRRLEETDESQLERLYKPVFVLKPASFKCLEGQTARFDLKV VGRPMPETFWFHNGQQIVNDYTHKVVIKEDGTQSLIIVPASPSDSGEWTVVAQNRAGKST ISVTLTVEAVEHQIKPAFVEKLKNVNIKEGSRLEMKVRATGNPNPDIVWLKNSDIIVPHK YPRIRIEGTRGEAALKIDSIISQDSAWYTATAINKAGRDTTRCKVNVEVEFAEPEPERKL IIPRGTYRAKEIAAPELEPLHLRYGQEQWEEGDLYDKEKQQKPFFKKKLTSLRLKRFGPA HFECRLTPIGDPTMVVEWLHDGKPLEAANRLRMINEFGYCSLDYGAAYSRDSGVITCRAT NKYGTDHTSATLIVKDEKSLVEESQLPDGKKGLQRIEELERMAHEGALTGVTTDQKEKQK PDIVLFPEPVRVLEGETARFRCRVTGYPQPKVNWYLNGQLIRKSKRFRVRYDGIHYLDIV DCKSYDTGEVKVTAENPEGVTEHKVKLEIQQREDFRSVLRRAPEPKPEFHVHEPGKLQFE VQKVDRPVDTSETKEVVKLKRAERITHEKVSEESEELRSKFKRRTEEGYYEAITAVELKS RKKDESYEELLKKTKDELLHWTKELTEEEKKALAEEGKITIPTFKPERIELSPSMEAPKI FERIQSQTVGQGSDAHFRVRVVGKPDPECEWYKNGVKIERSDRIYWYWPEDNVCELVIRD VTAEDSASIMVKAINIAGETSSHAFLLVQAKQLITFTQELQDVVAKEKDTMATFECETSE PFIKVKWYKDGIEVHAGDKYRMHSDRKVHFLSVLTIDTSDAEDYSCVLVEDENIKTTAKL IVEGAVVEFVKELQDIEVPESYSGELECIISPENIEGKWYHNDVELKSNGKYSITSRRGR QNLTVKDVTKEDQGEYSFVVDGKKTTCKLKMKPRPIAILQGLSDQKVCEGDIVQLEVKVS LENVEGVWMKDGQEVQHSDRVHIVIDKQSHMLLIEDMTKEDAGNYSFTIPALGLSTSGNV SVYSVDVITPLKDVNVIEGTKAVLECKVSVPDVTSVKWYLNDEQIKPDDRVQSIVKGTKQ RLVINRTHASDEGPYKLMVGRVETSCNLSVEKIKIIRGLRDLTCTETQNVVFEVELSHSG IDVVWNFKGKEIKPSSKYKIEAHGKIYKLTVLNMMKDDEGEYAFYAGENTTSGKLTVAGG AISTPLTDQTVAESQEAVFECEVANPESEGEWLKDGKHLALSNNFRGESDGHKRRLVIAA AKLDDAGEYTYKVATSKTSAKLKVEAVKIKKTLRNLTVTETQDAVFSVELTHPDVKGVQW IKNGVVLDSNDKYEISVKGTLYSLKIKNCAMADESVYGFKLGRLGASARLHVETVKIIKK PKDVTALENATVTFEVSVSHDTVPVKWFHKNVEIKPSDKHRLVSERKVHKLMLQSISPSD AGEYTAMVGQLECKAKLFVETLHITKTMKSIEVPETKAASFECEVSHFNVPSMWLKNGVE IEMSEKFKIVVQGKLHQLIIMNTSTEDSAEYTFVCGNDQVSATLTVTPIMITSMLKDINA EEKDTITFEVTVNYEGISYKWLKNGVEIKSTDRCQMRTKKLTHSLNIRNVHFGDAADYTF VAGKATSTATLYVEARHIEFRKHIKDIKVLEKKRAMFECEVSEPDITVQWMKDGQELQIA DRIKIQKEKYVHRLLIPSTRMSDAGKYTVVAGGNMSTANLFVEGRDVRIRSIKKEVQVIE KQRAVVEFEVNEDDVDAHWYKDGIEINFQVQERHQYVVERRIHRMFISETRHSDAGEYTF VAGRNRSSVTLYVNAPEPPQVLQELQPVTVQSGKPARFCAVISGRPQPKISWYKEEQLLS TGFKCKFLHDGQEYTLLLIEAFPEDAAVYTCEAKNDYGVATTSASLSVEVPEVVSPDQEM PVYPPAIVTPLQDTVTSEGRPARFQCQVSGTDLKVSWYCKDKKIKPSRFFRMTQFEDTYQ LEIAEAYPEDEGTYAFVANNAVGQVSSTATLRLEAPESILHERIGQQIEMEMKEIASLLS AEEDFQTYSSDLRLPNANETLELLSEPPARSTQFDSRQEGAAPVFIREISDVEISVEDVA KLSVTVTGCPKPKIQWFFNGMLLTPSADYKFVFDGDTHSLIILFTRFQDEGEYTCLASNE YGKAVCSAHLRISPRGERSTEMESGEKKALEKPKGPCPPYFFKELKPVHCGPGIPAVFEY SVHGEPAPTVLWFKEDMPLYTSVCYTIIHSPDGSGTFIVNDPQRGDSGLYLCKAQNLWGE STCAAELLVLPEDTDVPDASCKEESTLGVPGDFLETSARGPLVQGVDSRQEITAFAEGTI SKAALIAEETLQLSYERSVDDSEVGTGVTIGAQKLPPVVLSTPQGTGELPSIDGAVHTQP GRGPPPTLNLQAVQAQTTLPKEATLQFEEPEGVFPGASSAAQVSPVTIKPLITLTAEPKG NYPQSSTAAPDHALLSSVAAETLQLGEKKIPEVDKAQRALLLSQSLAEGCVESLEVPDVA VSNMRSEPQVPFQHTCTEGKILMASADTLKSTGQDVALRTEEGKSLSFPLALEEKQVLLK EEQSEVVAVPTSQTSKSEKEPEAIKGVKEVREQELLSKETLFPSMPEEQRLHLKTQVRRA LQAAVAREQANLFSEWLRNIDKVEVTAVNFTQEPKRILCTYLITSVSSLTEELTVTIEDI DPQMANLETGLKDALCSIVCEERNILMAEDPRIHEEDKIDVQGGRDHLSDAQKVETVIEA EADSKYLVSKEEVSWSKVESQLKDGDTNEVPQAETLKLAEESGTQKTSTEMSQEEAEGTL ADLCPAVLKHLVDTISEEGDTVHLTSSISNAKEVHWYFKGNLVPSDGKFKCLKEQNAYTL VIEAVKTEDEGEYVCEASNDSGKAKTSAKLTVGERAAPVIKRRIEPLEVALGHLAKFTCE IQGAPNVRFQWFKAGREIYESDKCSIRSSNYVSSLEILRTQVVDCGEYTCKASNEYGSVS CTATLTVTVPTAEKKVRKLLPEPKPQPKEEVVLKSVLRKKPEEEEPKVEPKKVEKAKKPE EPQPPPKAVEVEAPPEPTPKERKVPEPAKVPEIKPAIPLPGPEPKPKPEPEVKTMKAPPI EPAPTPIAAPVTAPVVGKKAEAKPKDEAAKPKGPIKGVAKKTPSPIEAERKKLRPGSGGE KPPDEAPFTYQLKAVPLKFVKEIKDIVLTEAESVGSSAIFECLVSPSTAITTWMKDGSNI RESPKHRFIADGKDRKLHIIDVQLSDAGEYTCVLRLGNKEKTSTAKLIVEELPVRFVKTL EEEVTVVKGQPLYLSCELNKERDVVWRKDGKIVVEKPGRIVPGVIGLMRALTINDADDTD AGTYTVTVENANNLECSSCVKVVEIIREWLVKPIRDQHVKPKGTAVFTCDIAKDTPNIKW FKGYDEIPLEPNDKTEILKEGNHLFLKVKNAMPEDIDEYAVEIEGKRYPAKLTLGEREVE LLKPIEDVTIYEKESASFDAEISEEDIPGEWKLKGELLRPSPTCEIKAEGGKRFLTLHKV KLDQAGEVLYQACNAITTAILTVKEIELDFAVPLKDVTVPEKRQARFECVLTREANVIWS KGPDIIKASDKFDIIADGKKHILVINDSQFDDEGVYTAEVEGKKTSAQLFVTGIRLKFIS PLEDQTVKEGQTATFVCELSHEKMHVVWFKNDVKLHTTRTVLMSSEGKTYKLEIRETTLD DISQIKAQVKNLSSTANLKVLEADPYFTVKLHDKTGVEKDEIILKCEVSKDVPVKWFKDG EEIVPSPKHSVKTDGLRRILKIKKAELKDKGEYVCDCGTDTTKANVTVEARLIKVEKPLY GVEVFVGETARFEIELSEPDVHGQWKLKGEPLTASPDCEIIEDGKKHVLVLYNCQLDMTG EISFQAANAKSAANLKVKELPLIFITPLSDVKVFEKDEAKFECEVSREPKTFRWLKGTQE ITGDDRFELIKDGTRHSLVIKSAAFEDEAKYMFEAEDKRTSGKLIIEGIRLKFLTPLKDV TAKERENAVFTVELSHDNIPVSWFKNDQRLHTSKRVSMHDEGKTHSITFKDLSIDDTSQI RVEAMGISSEAKLTVLEGDPYFTGKLQDYTGVEKDEVILQCEISKADAPVKWFKDGKEIK PSKNVVIKADGKKRMLILKKALKSDIGQYTCDCGTDQTSGKLDIEDREIKLVRPLYSVEV METETARFETEISEDDIHANWKLKGEALLQTPECEIKEEGKIHVLILHNCRLDQTGGVDF QAANVKSSAHLRVKPRVIGLLRPLKDVTVTAGETATFDCELSYEDIPVEWYLKGKKLEPN DKVVTRSEGRVHTLTLRDVKLEDAGEVQLTAKDFKTQANLFVKEPPVEFTKPLEDQTVEE EATAVLECEVSRENAKVKWFKNGTEILKSKKYEIVADGRVRKLIIHGCTPEDIKTYTCDA KDFKTSCNLNVVPPHVEFLRPLTDLQVKEKETARFECEISKENEKVQWFKDGAEIKKGKK YDIISKGAVRILVINKCLLNDEAEYSCEVRTARTSGMLTVLEEEAVFTKNLANLEVSEGD TIKLVCEVSKPGAEVIWYKGDEEIIETGRFEILTDGRKRILIIQNAQLEDAGSYNCRLPS SRTDSKVKVHELAAEFISKPQNLEILEGEKAEFVCTISKESFEVQWKRDDQTLESGDKYD IIADGKKRVLVVKDATLQDMGTYVVMVGAARAAAHLTVIEKLRIIVPLKDTKVKEQQEVV FNCEVNTEGAKAKWFRNEEAIFDSSKYIILQKDLVYTLRIRDARLDDQANFNVSLTNHRG ENVKSAANLIVEEEDLRIVEPLKDIETMEKKSVTFWCKVNRLNVTLKWTKNGEEVAFDNR ISYRIDKYKHSLIIKDCGFPDEGEYVVTAGQDKSVAELLIIEAPTEFVEHLEDQTVTEFD DAVFSCQLSREKANVKWYRNGREIKEGKKYKFEKDGSIHRLIIKDCRLEDECEYACGVED RKSRARLFVEEIPVEIIRPPQDILEAPGADVIFLAELNKDKVEVQWLRNNMIVVQGDKHQ MMSEGKIHRLQICDIKPRDQGEYRFIAKDKEARAKLELAAAPKIKTADQDLVVDAGQPLT MVVPYDAYPKAEAEWFKENEPLSTKTVDTTAEQTSFRISEAKKDDKGRYKIVLQNKHGKA EGFINLQVIDVPGPVRNLEVTETFDGEVSLAWEEPLTDGGSKIIGYVVERRDIKRKTWVL VTDRADSCEFTVTGLQKGGVEYLFRVSARNRVGTGEPVETDSPVEARSKYDVPGPPLNVT ITDVNRFGVSLTWEPPEYDGGAEITNYVIELRDKTSIRWDTAMTVRAEDLSATVTDVVEG QEYSFRVRAQNRIGVGKPSAATPFVKVADPIERPSPPVNLNASEQTQSSVQLTWEPPLKD GGSPILGYIIERREEGKDNWIRCNMKPVPELTYKVTGLQKGNKYLYRVSAENAAGVSDPS EILGPLTADDAFVEPTMDLSAFKDGLEVIVPNPIKILVPSTGYPRPKATWTFGDQVLEEG DRVKMKTISAYAELVISPSERTDKGIYTLTLENPVKSISGEINVNVIAPPSAPKELKFSD ITKDSVHLTWEPPDDDGGSPLTGYVVEKRDMSRKTWTKVMDFVTDLEFTVPDLVQGKEYL FKVCARNKCGPGEPAYTDEPVNMSAPATVPDPPENVKWRDRTANSIFLTWDPPKNDGGSR IKGYIVEKCPRGSDKWVACGEPVPDTKMEVTGLEEGKWYAYRVKALNRQGASKPSKPTEE IQAVDTQEAPEIFLDVKLLAGITVKAGTKIELPATVTGKPEPKITWTKADTLLKPDQRIT IENVPKKSTVTITDSKRSDTGTYIIEAVNVCGRATAVVEVNVLDKPGPPAAFDITDVTNE SCLLTWNPPRDDGGSKITNYVVERKATDSDVWHKLSSTVKDTNFKATKLTPNKEYIFRVA AENMYGVGEPVQAAPIIAKYQFDPPGPPTRLEPSDITKDAVTLTWCEPDDDGGSPITGYW VERLDPDTDKWVRCNKMPVKDTTYRVKGLTNKKKYRFRVLAENLAGPGKPSRSTEPILIK DPIDPPWPPGKPTVKDIGKTSLVLNWTKPEHDGGAKIESYVIEMLKTGTDEWVRVAEGVP TTEHLLTGLMEGQEYSFRVRAVNKAGESEPSEPSDPVLCREKLYPPSPPRWLEVINITKN TADLKWTVPEKDGGSPITNYIVEKRDVRRKGWQTVDTTVKDTKCTVTPLTEGSLYVFRVA AENAIGQSDYTEIGDSVLAKDTFTTPGPPYALTVVDVTKRHVDLKWEPPKNDGGRPIQRY IIEKKEKLGTRWVKAGKTSGPDCNFRVTDVIEGTEVQFQVRAENEAGVGHPSEPTEILSI EDPTSPPSPPLDLHVTDAGRKHIAIAWKPPEKNGGSPIIGYHVEMCPVGTEKWMRVNSRP IKDLKFKVEEGIVPDKEYVLRVRAVNAVGVSEPSEISENVVAKDPDCKPTIDLETHDIVV IEGEKLNIPVPFRAVPVPTVSWHKDGKEVKASDRLTMKNDHISAHLEVPKSVHADAGVYT ITLENKLGSATASINVKVIGLPGPCKDIKASDITKSSCKLTWEPPEFDGGSPILHYVLER REAGRRTYIPVMSGENKLSWTVKDLIPNGEYFFRVKAVNKIGGGEYIELKNPVIAQDPKQ PPDPPVDVEVHNPTAKAMTITWKPPLYDGGSKIMGYIIEKITKGEDRWKRCNEHLVPVLT YTAKGLEEGKEYQFRVRAENAAGIGEPSRATPPTKAVDPIDAPKVILRTSLEVKRGDEIA LDATISGSPYPTITWIKDENVIVPEEIKKRAAPPVRRKKGEAEEEEPFSLPLTERLSINN SKQGESQLRIRDSLRPDHGQYMIKVENDHGVAKAPCSVSVLDTPGPPINFVFEDIRKDSV LCKWEPPLDDGGSEIINYTLEKKDKTKPDSDWIVITSTLRNCKYSVTKLIEGKEYLFRVR AENRFGPGPPCVSKPLLAKDPFEPPDAPDKPIVEDVTSNSMLVKWNEPKDNGSPILGYWL EKREVNSTHWSRVNKTLLSSLKTKVDGLLEGLTYVFRVCAENAAGPGKFSPPSDPKTARD PISPPGPPVPRVADTSSTTIELEWEPPAFNGGGEIMGYFVDKQLVGTNEWSRCTEKMIKV RQFTVKEIREGADYKLRVSAVNAAGEGPPGETEPVTVAEPQEPPTVELDVSVKGGIQIMA GKTLRIPAEVTGRPVPTKVWTIEEGELDKERVIIENVGTKSELIIKNALRKDHGRYVITA TNSCGSKFAAVRVEVFDVPGPVLDLKPVVTNRKMCLLNWSDPADDGGSDITGFIIERKDA KMHTWRQPIETERSKCDITGLIEGQEYKFRVIAKNKFGCGPPVEIGPILAVDPLGPPTSP ERLTYTERTKSTITLDWKEPRSDGGSPIQGYIIEKRRHDKPDFERVNKRLCPTTSFLVEN LDEHQMYEFRVKAVNDVGESEPSLPLNVVIQDDEVPPTIKLRLAVRGDTIKVKAGEPVNI PADVTGLPMPKIEWSKNEKVIDKPTDTLNITKEEVSRSEAKTELSIPKAAREDKGTYTIT ASNRLGSVFRNVHVEVYDRPSPPRNLAVTDIKAESCYLTWDAPLDNGGSEITHYIIDKRD ASRKKSEWEEVTNTAVERRYGIWKLIPNGQYEFRVRAVNKYGISDECKSDKVVIQDPYRL PGPPGKPKVLERTKGSMLVSWTPPLDNGGSPITGYWLEKREEGGTYWSRVSRAPITKVGL KGVEFNVPRLIEGVKYQFRAMAINAAGIGPPSEPSDPAVAGDPIYPPGPPSCPEVKDKTK SSISLAWKPPAKDGGSPIKGYIVEMQEEGTTDWKPVNEPDKLLTACECVVPNLKELRKYR FRVKAVNEAGESEPSDTTGEIPATDIQEVPEVFIDIGAQDCLVCKAGSQVKIPAVIKGRP TPKSSWEFDGKAKKAMKDGVHDIPEDAQLETAENSSVIIIPECTRAHSGKYSITAKNKAG QKTANCRVKVMDAPGPPKDLKVSDITRGSCRLSWKMPDDDGGDRIKGYVIEKKTIDGKAW TKVNPNCGSTTFVVPDLISEQQYFFRVRAENRFGIGPPAETIQRTTARDPIYPPDPPIKL KIGLITKNTVHLSWKPPKNDGGSPVTHYIVECLAWDPTGKKKEAWRQCNRRDVEELEFTV EDLIEGGEYEFRVKAVNEAGVSKPSATVGPVIVKDQTCPPAIELKEFMEVEEGTDVNIVA KIKGVPFPTLTWFKAPPKKPDSKEPVVYDTHVNKQVVDDTCTLVIPQSRRSDTGLYSITA VNNLGTASKEMRLNVLGRPGPPVGPIKFESISADQMTLSWLPPKDDGGSKITNYVIEKRE ANRKTWVRVSSEPKECMYTIPKLLEGHEYVFRIMAQNKYGIGEPLDSEPETARNLFSVPG APDKPTVSSVTRNSMTVNWEEPEYDGGSPVTGYWLEMKDTTSKRWKRVNRDPIKAMTLGV SYKVTGLIEGSDYQFRVYAINAAGVGPASLPSDPVTARDPVAPPGPPFPKVTDWTKSSVD LEWSPPLKDGGSKITGYIVEYKEEGKEEWEKGKDKEVRGTKLVVTGLKEGAFYKFRVRAV NVAGVGEPGEVTDVIEMKDRIVSPDLQLDASVRDRIVVHAGGVIRIIAYVSGKPPPTVTW SMNERALPQEAAIETTAISSSMVIKNCQRSHQGVYSLLAKNEGGERKKTIIVDVLDVPGP VGIPFLSDNLTNDSCKLTWFSPEDDGGSPITNYVIQKREADRRAWTPVTYTVTRQNATVQ GLIQGKSYFFRIAAENSIGMGPFVETPNALVIRDPITVPERPEDLEVKEVTKNTVSLTWN PPKYDGGSEIINYVLESRLIGTEKFHKVTNDNLLSRKYTVKGLKEGDTYEYRVSAVNIVG QGKPSFCTKPITCKDELAPPTLDLDFRDKLTVRVGESFALTGRYSGKPKPKIDWFKDEAD VLEDDRTHIKTTPTTLALEKTKAKRSDSGKYCVVVENSTGSRKGFCQVNVVDRPGPPVGP VIFDEVTKEYMVISWKPPLDDGGSEITNYIIEKKELGKDIWMPVTSASAKTTCKVPKLLE GKDYIFRIHAENLYGISDPLVSDSMKAKDRFRVPDAPEQPVVTEVTKDSALVTWNKPNDG GKPITNYILEKRETMSKRWVRVTKEPIHPYTKYRVPDLLEGCQYEFRVSAENEIGIGDPS PPSKPVFARDPIAKPSPPINPEAIDTTCNSVELTWQPPRHDGGSKILGYIVEYQKVGDEE WRRANHTPESCPETKYKVTGLRDGQSYKFRVLAVNEAGESDPAHVPEPVLVKDRLEPPEL ILDANMAREQHIRVGDTLRLSAIIKGVPFPKVTWKKEDREAPTKAQIDVTPVGSKLEIRN AAHEDGGIYSLTVENPAGTKTVSVKVLVLDKPGPPRDLEVSEIRKDSCYLTWKEPLDDGG SVVTNYVVERKDVATAQWSPLSTTSKKKSHMAKHLTEGNQYLFRVAAENQYGRGPFVETP KPIKALDPLHPPGPPKDLHHVDVDKTEVSLVWNKPDRDGGSPITGYLVEYQEEGDKDWIK FKTVKNLDCVVTGLQQGKTYRFRVKAENIIGLGLPDTTIPIECQEKLVPPSVELDVKLIE GLVVKAGTTVRFPAIIRGVPVPTAKWTTDGTEIKTDDHYTVETDSFSSVLTIKNCLRKDT GEYQLTVSNAAGTKTVAVHLTVLDVPGPPTGPINILDVTPEYMTISWQPPKDDGGSPVIN YIVEKQDTRKGTWGVVSAGSSKLKLKVPHLQKGCEYVFRVKAENKMGVGPPLDSIPTVAK HKFSPPSPPGKPVVTDITENAATVSWTLPKSDGGSPITGYYVERREITGKWVRVNKTPIA DLKFRVTGLYEGNTYEFRVFAENLAGLSNPSPSSDPIKACRPIKPPGPPINPKLKDKSKE SADLVWTKPLSDGGSPILGYVVEYQKPGTAQWDRINKDELIRQCAFRVPGLIEGNEYRFR IRAANIVGEGEPRELAESVIAKDILHPPEVELDVTCRDVITVRVGQTIRILARVKGRPEP DITWSKEGKVLVKDKRVDLIHDLPRVELQIKEAVRADHGKYIISAKNSSGHAQGSAIVNV LDRPGPCQNLKVSNVTKENCTISWENPLDNGGSEITNFIVEYRKPNQKGWSIVASDVTKR LVKANLLANNEYYFRVCAENKVGVGPTIETKTPILAINPIDRPGEPENLHIADKGKTFVY LKWRRPDYDGGSPNLSYHVERRLKGSADWERVHKGSIKETHYMVDKCVENQIYEFRVQTK NEGGESDWVRTEEVVVKEDLQKPVLDLKLSGVLTVKAGDTIRLEAGVRGKPFPEVAWTKD KDATDLTRSPRVKIDTSAESSKFSLTKAKRSDGGKYVITATNPAGSFVAYATVNVLDKPG PVRNLKITDVSSDRCTIRWDPPEDDGGCEIQNYILEKCESKRMVWSTYSANVLTPSATVT RLIEGNEYIFRVRAENKIGTGPPTESKPVIAKTKYDRPGRPDPPEVTKVSKEEMTVVWNA PEYDGGKSITGYYLEKKEKHAVRWVPVNKSAIPERRLKVQNLLPGHEYQFRVKAENEVGI GEPSLPSRPVVAKDPIEPPGPPTNFKVVDTTKSSITLAWGKPVYDGGAPIIGYVVEMRPK IADASPDEGWKRCNAAAQLIRMEFTVTSLDENQEYEFRVCAQNQVGIGRPAELKEAIKPK EILEPPEIDLDASMRKLVVVRAGCPIRLFAIVRGRPAPKVTWRKVGIDNVVRKGQVDLVD TMAFLVIPNSTRDDSGKYSLTLVNPAGEKAVFVNVKVLDTPGPVADLKVSDVTKTSCHVS WAPPENDGGSQVTHYIVEKREAERKTWSTVTPEVKKTSFNVTNLVPGNEYFFRVTAVNEY GPGVPTDIPKPVLASDPLSEPDPPRKLEVTEMTKNSATLAWLPPLRDGGAKIDGYIISYR EEDQPADRWTEYSVVKDLSLIVTGLKEGKKYKFRVAARNAVGVSMPREAEGVYEAKEQLL PPKILMPEQITIKAGKKLRVEAHVYGKPNPICKWKKGDDEVVTSSHLAIHKADGSSVLII KDVTRKDSGYYSLTAENSSGSDTQKIKVTVMDAPGPPQPPFDISEIDADACSLSWHIPLE DGGSNITNYIVEKCDVSRGDWVTALASVTKTSCRVGKLIPGQEYIFRVRAENRFGISEPL TSPKMLAKFPFDVPSEPKNARVTKVNKDCIFVAWDRPDSDGGSPITGYLIERKERNSLLW VKANDTIVRSTEYPCAGLVEGLEYSFRIYALNKAGSSPPSKPTEYVTARMPVDPPGKPEV VDVTKNSASLIWARPKHDGGSRIIGYFVEACKLPGDKWVRCNTTPHQIPQEEYTATGLEE NAQYQFRAIAKTAVNISQPSEPSDPVTILAENVPPRIELSVEMKSLLTVKAGTNVCLDAT VFGKPMPTVSWKKDTTPIKQAEGIKMAMKRNLCTLELFSVNRKDSGDYTITAENSSGSKS ATIKLKVLDKPGPPASVKINKMYADRAMLSWEPPLEDGGSEITNYIIDKRETSRPNWAQV SATVPITSCTVEKLIEGHEYQFRICAENKYGVGDPILTEPAIAKNPYDPPGRCDPPVISN ITKDHMTVSWKAPADDGGSPITGYLVEKRETQAVNWTKVNRKPVIERTLKATGLQEGTEY EFRVTAINKAGPGKPSDASKAVYAQDPLYPPGPPAFPKVYDTTRSSVSLSWGKPAYDGGS PIIGYLVEVKRADSDHWVRCNLPEKLQKTRFEVTGLMENTEYQFRVYAVNKIGYSDPSDV PDKHCPKDILIPPEGELDAELRKTLILRAGVTMRLYVPVKGRPPPKITWSKPNVNLRERI GLDIKSTDFDTFLRCENVNKYDAGKYILTLENSCGKKEYTIVVKVLDTPGPPVNVTVKEV SKDSAYVTWDPPIIDGGSPIINYVVEKRDAERKSWSTVTTECSKTSFRVSNLEEGKSYFF RVFAENEYGIGDPGETRDAVKASETPGPVVDLKALAITKSSCTIGWKKPRSDGGSRITGY VVDFLTEENKWQRVMKSLSLQYSTKDLKEGKEYTFRVSAENENGEGTPSEIVVVAKDDVV APDLDLKDLPDLCYLAKENSNFRLKIPIKGKPAPSVSWKKGEDPLATDTRVSVESTAVNT TLVVYDCQKSDAGKYTITLKNVAGTKEGTLSIKVVGKPGIPTGPIKFDEVTAEAMTLKWG PPKDDGGSEITNYVLEKRDSVNNKWVTCASAVQKTTFRVTRLHEGIEYTFRVSAENKYGV GEGLKSEPIVAKHPFDVPDAPPPPNIVDVRHDSVSLTWTDPKKTGGSPITGYHIEFKERN SLLWKRANKTPIRMKDFKVTGLTEGLEYEFRVMAINLAGVGKPSLPSEPVVALDPIDPPG KPEVISVTRNSVTLIWTEPKYDGGHKLTGYIVEKRDLPSKSWMKANHVNVPDCAFTVTDL VEGGKYEFRIRAKNTAGAISAPSESTGTIICKDEYEAPTIVLDPTIKDGLTVKAGDSIVL SAISILGKPLPKSSWSRAGKDIRPSDIAQITSTPTSSMLTVKYATRKDAGEYTITATNPF GTKEEHVKVSVLDVPGPPGPIEISNVSAEKATLTWTPPLEDGGSPIKAYVLEKRETSRLL WTVVSEDIQACRHVVTKLIQGNEYLFRVSAVNHYGKGEPVQSEPVKMVDRFGPPGPPGKP EISNVTKNTATVSWKRPTDDGGSEITGYHVERREKKGLRWVRATKTPVSDLRCKVTGLQE GNTYEFRVSAENRAGIGPPSDASNPVLMKDVAYPPGPPSNAHVTDTTKKSASLAWGKPHY DGGLEITGYVVEHQKVGDDAWIKDTTGTALRITQFVVPDLQTKEKYNFRISAINDAGVGE PAVIPNVEIVEKEVAPDFELDAELRRTLVVRAGLSIRIFVPIKGRPAPEVTWTKDNINLK HRANIENTESFTLLIIPECNRYDTGKFVMTIENPAGKKSGFVNVRVLDTPGPVLNLRPTD ITKDSVTLHWDLPLIDGGSRITNYIVEKREATRKSYSTVTTKCHKCTYKVTGLTEGCEYF FRVMAENEYGVGEPTETTEPVRASEAPLPPDSLNIMDITKNTVSLAWPKPRHDGGSKITG YVIEAQRKGSDQWTHISTVKGLECVVRNLTEGEEYTFQVMAVNSAGRSAPRESRPVIVKE QTMLPELDLRGIYQKLVIARAGDNIKVEIPVLGRPKPTVTWKKGDQILKQTQRVNVENTA TSTILNINECVRSDSGPYPLTAKNTVGEVGDVITIQVHDIPGPPTGPIKFDEVSSDFVTF SWEPPENDGGVPISNYVVEMRQTDSTTWVELATTVIRTTYKATRLTTGVEYQFRVRAQNR YGVGPGITSASVVANYPFKVPGPPGTPQVTAVTKDSMTISWHEPLSDGGSPILGYHIERK ERNGILWQTVSKALVPGNIFKSTGLTDGIAYEFRVIAENMAGKSKPSKPSEPMFALDPID PPGKPVPLNITRHTVALKWAKPEYTGGFKITSYVVEKRDLPNGRWLKANFSNILENEFTV SGLTEDAAYEFRVIAKNAAGAISPPSEPSDAITCRDDLEAPRIMVDVRFKDTITLKAGEA FKLEADVSGRPPPTMEWTKDGKELEGTGKLEIKIADFSTHLINKDSSRTDSGAYILTATN PGGFAKHIFNVKVLDRPGPPEGPLAVSDVTSEKCVLSWLPPLDDGGAKIDHYIVQKRETS RLAWTNVATEVQVTKLKVTKLLKGNEYIFRVMAVNKYGVGEPLESEPVLAVDPYGPPDPP KNPEVTTITKDSMVVCWGHPDSDGGSEIINYIVERRDKAGQRWVKCNKKALTDLRFKVSG LTEGHEYEFRIMAENAAGISAPSATSPFYKACDTVFKPGPPGNPRVLDTSRSSISIAWNK PIYDGGSEITGYMVEIALPEEDEWQVVTPPAGLKATSYTITSLIENQEYKIRIYAMNSEG LGEPALVPGTPKAEERMLPPEIELDADLRKVVTIRACCTLRLFVPIKGRPAPEVKWAREH GESLDKASIESTSSYTLLVVGNVNRFDSGKYILTVENSSGSKSAFVNVRVLDTPGPPQNL KIKEVTKTSVTLTWEPPLLDGGSKIKNYIVEKRESTRKAYSTVATNCHKTSWKVDQLQEG CSYYFRVLAENEYGIGLPAETAESVKASERPLPPGKITLTDVTRNSVSLSWEKPEHDGGS RILGYIVEMQSKGSDRWATCATVKVTEATITGLIQGEEYSFRVSAQNEKGISDPRQLSVP VIAKDLVIPPAFKLLFNTFTVLAGEDLKIDVPFIGRPPPAVTWHKDDIPLKQTTRVNAES TENNSLLTIKEACREDVGHYTVKLTNSAGEATETLNVIVLDKPGPPTGPVKMDEVTADSV TLSWEPPKYDGGSSINNYIVEKRDTSTTAWQIVSATVARTTIKACRLKTGCEYQFRIAAE NRYGKSTYLNSEPVVAQYPFKVPGPPGTPFVTLASKDSMEVQWHEPVSDGGSKVIGYHLE RKERNSILWVKLNKTPIPQTKFKTTGLEEGIEYEFRVSAENIVGIGKPSKPSECYAAHDP CDPPGRPEAIIVTRNSVTLQWKKPTYDGGSKITGYIVEKKELPDGRWMKASFTNIIDTQF EVTGLLEDHRYEFRVIARNAAGVFSEPSESTGAITARDEVEPPRISMDPKYRDTVVVQAG ESFKIDADIYGKPIPTTQWVKGDQELSSTARLEIKSTDFATSLSVKDAVRVDSGNYILKA KNVAGEKSVTINVKVLDRPGPPEGPVAISGVTAEKCTLAWKPPLQDGGSDITNYIVERRE TSRLVWTLVDANVQTLSCKVLKLLEGNEYIFRIMAVNKYGVGEPLESESLIAKNPFVVPD APKAPEVTAVTKDSMIVVWERPASDGGSEILGYVLEKRDKEGIRWTRCHKRLIGELRLRV TGLLENHNYEFRVSAENAAGLSEPSPPSAYQKACDPIYKPGPPNNPKVMDVTRSSVFLSW TKPIYDGGCEIQGYIVEKCDVSVGEWTMCTPPTGINKTNLEVEKLLEKHEYNFRICAINK AGVGEHADVPGPVMVEEKLEAPDIDLDLELRKVINIRAGGSLRLFVPIKGRPTPEVKWGK VDGDIRDAAIIDVTSSFTSLVLDNVNRYDSGKYTLTLENSSGTKSAFVTVRVLDTPSPPV NLKVTEITKDSVSITWEPPLLDGGSKIKNYIVEKREATRKSYAAVVTNCHKNSWKIDQLQ EGCSYYFRVTAENEYGIGLPARTADPIKVAEVPQPPGKITVDDVTRNSVSLSWTKPEHDG GSKIIQYIVEMQAKNTDKWSECARVKSLDAVITNLTQGEEYLFRVIAVNEKGRSDPRSLA VPIIAKDLVIEPDVRPAFSSYSVQVGQDLKIEVPISGRPKPSISWTKDGMPLKQTTRINV TDSLDLTTLSIKETHKDDGGQYGITVSNVVGQKTAAIEIITLDKPDPPKGPVKFDEISAE SITLSWNPPLYTGGCQITNYIVQKRDTTTTVWDVVSATVARTTLKVTKLKTGTEYQFRIF AENRYGQSFALESEPVVAQYPYKEPGPPGTPFVTAISKESMVVQWHEPINNGGSPVIGYH LERKERNSILWTKVNKTIIHDTQFKALNLEEGIEYEFRVYAENIVGVGKASKNSECYVAR DPCDPPGTPEAIIVKRNEITLQWTKPVYDGGSMITGYIVEKRDLPEGRWMKASFTNVIET QFTVSGLTEDQRYEFRVIAKNAAGAISKPSDSTGPITAKDEVELPRISMDPKFRDTIVVN AGETFRLEADVHGKPLPTIEWLRGDKEIEESARCEIKNTDFKALLIVKDAIRIDGGQYIL RASNVAGSKSFPVNVKVLDRPGPPEGPVQVTGVTAEKCTLAWSPPLQDGGSDISHYVVEK RETSRLAWTVVASEVVTNSLKVTKLLEGNKYIFRIMAVNKYGVGEPLESAPVLMKNPFVL PGPPKSLEVTNIAKDSMTVCWNRPDSDGGSEIIGYIVEKRDRSGIRWIKCNKRRITDLRL RVTGLTEDHEYEFRVSAENAAGVGEPSPATVYYKACDPVFKPGPPTNAHVVDTTKNSITL AWSKPIYDGGSEILGYVVEICKADEEEWQIVTPQTGLRVTRFEIAKLIEHQEYKIRVCAL NKVGLGEAASVPGTVKPEDKLEAPELDLDSELRKGIVVRAGGSARIHIPFKGRPTPEITW SKEEGEFTDKVQIEKGINFTQLSIDNCDRNDAGKYILKLENSSGSKSAFVTVKVLDTPGP PQNLAVKEVRKDSVLLVWEPPIIDGGAKVKNYVIDKRESTRKAYANVSSKCNKTSFRVEN LTEGAIYYFRVMAENEFGVGVPTETSDAVKASEPPSPPGKVTLTDVSQTSASLMWEKPEH DGGSRILGYVVEMQPKGTEKWSVVAESKVCNAVVSGLSSGQEYQFRVKAYNEKGKSDPRV LGIPVIAKDLTIQPSFKLPFNTYSVQAGEDLKIEIPVIGRPRPKISWVKDGEPLKQTTRV NVEETATSTILHIKESSKDDFGKYSVTATNSAGTATENLSVIVLEKPGPPVGPVKFDEVS ADFVVISWEPPAYTGGCQISNYIVEKRDTTTTNWQMVSATVARTTIKISKLKTGTEYQFR IFAENRYGKSTPLDSKPVVVQYPFKEPGPPGTPFVTSISKDQMLVQWHEPVNDGGSKVTG YHLEQKEKNSILWVKLNKIPIQDTKFKTTGLDEGLEYEFRVSAENIVGIGKPSKVSECYV ARDPCDPPGRPEAIVITRNSVTLKWKKPVYDGGSKITGYIVEKKDLPDGRWMKASFTNVV ETEFTVTGLVEDQRYEFRVIARNAADNFSEPSESSGAITARDEIDAPNASLDPKYRDVII VHAGETFVLEADIRGKPIPDIIWSKDGNELEETAARMEIKSTLQKTTLIVKDCIRTDGGQ YTLKLSNVGGTKTIPITVKVLDRPGPPEGPLKVTGVTAEKCYLAWNPPLQDGGASISHYI IEKRETSRLSWTQVSNEVQALNYKVTKLLPGNEYIFRVMAVNKYGIGEALESEPVIACNP YKRPGPPSTPEASAITKDSMVLTWTRPVDDGGAEIEGYILEKRDKEGIRWTKCNKKTLTD LRFRVTGLTEGHSYEFRVAAENAAGVGEPSEPSVFYRACDALYPPGPPSNPKVTDTSRSS VSLAWNKPIYDGGAPVRGYVIELKKAAADEWTTCTPPSGLQGKQFTVTKLKENTEYNFRI CAFNTEGVGEPATIPGSVVAQERMEAPEIELDADLRKVVTLRASATLRLFVTIKGRPEPE VKWEKAEGILTERAQIEVTSSYTMLVIDNVTRFDSGRYNLTLENNSGSKTAFVNVRVLDS PSAPVNLTIREVKKDSVTLSWEPPLIDGGAKITNYIVEKRETTRKAYATITNNCTKNTFK IENLQEGCSYYFRVLASNEYGIGLPAETAEPVKVSEPPLPPGRVTLVDVTRNTATIKWEK PESDGGSKITGYVVEMQTKGSEKWSACTQVKTLETTISGLTAGEEYVFRVAAVNEKGRSD PRQLGVPVIAKDIEIKPSVELPFNTFNVKANDQLKIDIPFKGRPQATVAWKKDGQVLRET TRVNVASSKTVTTLSIKEASREDVGTYELCVSNTAGSITVPITVIVLDRPGPPGPIRIDE VSCDNVSISWNPPEYDGGCQISNYIVEKRETTSTTWQVVSQAVARTSIKIVRLTTGSEYQ FRVCAENRYGKSSYSESSAVVAEYPFSPPGPPGTPKVVHATKSTMVVSWQVPVNDGGSQV IGYHLEYKERSSILWSKANKVLIADTQMKVSGLDEGLMYEYRVYAENIAGIGKCSKACEP VPARDPCDPPGQPEVTNITRKSVSLKWSKPRYDGGAKITGYIVERRELPDGRWLKCNFTN VQETYFEVTELTEDQRYEFRVFARNAADSVSEPSESTGPITVKDDVEAPRIMMDVKFRDV IIVKAGEVLKINADIAGRPLPVISWAKDGVEIEERAKTEIVSTDYTTTLTVKDCVRRDTG QYVLTLKNVAGTRTMAVNCKVLDKPGPPAGPLEINGLTAEKCSLSWGRPQEDGGADIDYY IVEKRETSRLAWTICEAELRTTSCKVTKLLKGNEYIFRVTGVNKYGVGEPLESMAVKALD PFTTPSPPTSLEITSVTKDSMTLCWSRPETDGGSDISGYIIERREKNSLRWMRVNKKPVY DLRVKSTGLREGCEYEYRVFAENAAGLSLPSETSPLVRAEDPVFLPSPPSKPKIVDSGKT TITIGWVKPLFDGGAPITGYTVEYKKSEETDWKVAIQSFRGTEYTMSGLTTGDEYVFRVR SLNKMGASDPSDSSDPQVAKEREEEPVFDVDSEMRKTLIVKAGSSFTMTVPFRGRPIPNV SWSKPDTDLRTRAYIDSTDSRTLLTIENANRNDSGKYTLTIQNVLSAASMTFVVKVLDSP GPPANITVREVTKETAMLSWDVPENDGGAPVKNYHIEKREASKKAWVSVTNNCNRLSYKV TNLQEGAIYYFRVSGENEFGVGVPAETKEGVKITEKPSPPEKLGVTSVSKDSVSLSWLKP EHDGGSRIIHYVVEALEKGQKTWVKCAVVKTTHHVVSGLRESHEYFFRVFAENQAGLSDP RELLLPVLIKDQLEPPEIDMKNFPSHTVYVRAGSNLKVDIPISGKPLPKVTLSRDGVPLK ATMRFNTEITAENLTINLKESVTTDAGRYEITAANSSGTTKTFINIIVLDRPGPPTGPVA ISDITEESVTLKWEPPKYDGGSHVTNYIVLKRETSTAVWSEVSATVARTMIKVMKLTTGE EYQFRIKAENRFGISDHIDSVCVVVKLPYTTPGPPSTPWVSNVTRESITVGWHEPVSNGG SAVTGYHLEMKDRNSILWQKANKMIIRTTHFKVTTISAGLIYEFRVYAENAAGIGKPSHP SEPVLAIDACEPPRNVRITDISKNSVNLSWQQPAFDGGSKITGYIVERRDLPDGRWTKAS FTNVIETQFTVSGLTQNSQYEFRVFARNAVGSVSNPSEVVGPITCIDSYGGPVIDLPLEY TEVVKYRAGTSVKLRAGISGKPEPTIEWYKDDKELQTNALVCVENSTDLASILIKDANRL NSGSYELKLRNAMGSASATIRVQILDKPGPPGGPIEFKTVTAEKITLLWRPPADDGGAKI THYIVEKRETSRVVWSMVAENLEECIVTTTKIIKGNEYVFRVRAVNKYGIGEPLESEPVV AKNAFVTPGPPSIPEVTKITKNSMTVVWDRPTVDGGSEINGYFLERRDKKSLAWLKVLKE TIRDTRQKVTGLTENSDYQYRVCAVNAAGVGPFSEPSDFYKAADPIDPPGPPAKIRIADS TKSSITLGWSKPVYDGGSDVTGYVVEMKQGDEEEWTIVSTRGEVRTTEYVVSNLKPGVNY YFQVSAVNCAGQGEPITMTEPAQAKDVLEEPEIDLDVALRTSVIAKAGEDVQLLIPFKGR PPPTVTWRKDEKNLGSDTRYSIQNTDSSSLLVIPQVTRNDTGKYILTIENGVGQPKSSTV SVKVLDTPAACQKLQVKHVSLGTVTLLWDPPLIDGGSPIINYVIEKRDATKRTWSVVSHK CSGTSFKVTDLSEKTPFFFRVLAENEIGIGEPCETTEPVKAAEVPAPIRDLSMKDSTKTS VVLSWTKPDFDGGSIITDYLVERKGKGEQAWSHAGISKTCEIEIGQLKEQSVLEFRVSAR NEKGQSDPVTIGPLTVKELVITPEVDLSEIPGAQISVRIGHNVHLELPYKGKPKPSISWL KDGLPLKESEYVRFSKTENKITLSIKNSKKEHGGKYTVILDNAVCRNSFPITIITLGPPS KPKGPIRFDEIKADSAIMSWDIPEDDGGGEITCYSIEKREASQTNWKMVCSSVARTTFKV SNLVKDSEYQFRVRAENRYGVSEPLASNIIVAKHQFRIPGPPGKPVIYNVTSDGMSLTWD APVYDGGSEVTGFHVEKKERNSILWQRVNTSPISGREYRATGLIEGLDYQFRVYAENSAG LSSPSDPSKFTLAVSPVDPPGTPDYIDVTRETITLKWNPPLRDGGSKIVAYSIEKRQGSD RWVRCNFTDVSECQYTVTGLSPGDRYEFRIIARNAVGTISPPSQSSGLIMTRDENVPPTV EFGPEYFDGLVIKSGDSLRIKALVQGRPVPRVTWFKDGVEIERRMNMEITDVLGSTSLFV RDATRDHRGVYTVEAKNVSGSTKAEVTVKVQDTPGKVVGPIRFTNITGEKMTLWWEAPLN DGCAPVTHYIIEKRETSRLAWALIEDNCEALSYTAIKLITGNEYQFRISAVNKFGVGRPL ESDPVVAQIQYTIPDAPGVPEPSNVTGNSITLTWTRPESDGGSEIQHYILERREKKSTRW VKVISKRPISETRFKVTGLVEGNEYEFHVMAENAAGIGPASGISRLIKCREPVNPPSAPS VVKVTDTSKTTVSLEWARPVFDGGMEIIGYIIEMCKADLGDWHKVNTEPCVKTRYTVTDL QAGEEYKFRVSAVNGAGKGDSCEVTGTIKAVDRLSAPELDIDANFKQTHIVRAGVSIRLF IAYQGRPTPTAVWSKPDSNLSIRADIHTTDSFSTLTVENCNRNDAGKYTLTVENNSGKKS ITFTVKVLDSPGPPGPITFKDVTRGSATLMWDAPLLDGGARIHHYVIEKREASRRSWQVV SEKCTRQILKVSELTEGVPYYFRVSAENEYGVGEPYEMPEPIVATEQPAPPRRLDVVDTS KSSAVLAWLKPDHDGGSRITSYLLEMRQKGSDFWVEAGHTKQLTFTVERLVENTEYEFRV KAKNDAGYSEPREAFSSVIIKEPQIEPTADLTGITNQLITCKAGSTFTIDVPISGRPAPK VTWKLEEMRLKETDRMSIATTKDRTTLTVKDSMRGDSGRYFLTLENTAGVKTFTITVVVI GRPGPVTGPIEVSSVSAESCVLSWTEPKDDGGTEITNYIVEKRESGTTAWQLINSSVKRT QIKVTHLTKYKEYCFRVSSENRFGVSKPLESVPIVAEHPFVPPSAPTRPEVYYVSANAMS IRWEEPYHDGGSKIVGYWVEKKERNTILWVKENKVPCLECNYKVTGLVEGLEYQFRTYAL NAAGVSKASEASRPIMAQNPVDPPGRPEVTDVTRSTVSLIWSAPVYDGGSKVVGYIIERK PVSEVGDGRWLKCNYTIVSDNFFTVTALSEGDTYEFRVLAKNAAGVISKGSESTGPVTCR DEYAPPKAELDARLQGDLVTIRAGSDLVLDAAVGGKPEPKIIWTKGDKELDLCEKISLQY TGKRATAVIKYCDRSDSGKYTLTVKNASGTKSVSVMVKVLDSPGPCGKLTVSRVTEEKCT LAWSLPQEDGGAEITHYIVERRETSRLNWVIVEGECLTASYVVTRLIKNNEYTFRVRAVN KYGLGVPVESEPIVARNSFTIPSQPGIPEEVGAGKEHIIIQWTKPESDGGNEISNYLVDK REKKSLRWTRVNKDYVVYDTRLKVTSLMEGCDYQFRVTAVNAAGNSEPSEASNFISCREP SYTPGPPSAPRVVDTTKRSISLAWTKPMYDGGTDIIGYVLEMQEKDTDQWCRVHTNATIR NNEFTVPDLKMGQKYSFRVAAVNAKGMSDYSETTAEIEPVERLEIPDLELADDLKKTVIV RAGASLRLMVSVSGRPSPVITWSKKGIDLANRAIIDNTESYSLLIVDKVNRYDAGKYTIE AENQSGKKSATVLVKVYDTPGPCPSVSVKEVSRDSVTITWEIPTIDGGAPVNNYIIEKRE AAMRAFKTVTTKCSKTLYRISGLVEGTMYYFRVLPENIYGIGEPCETSDAVLVSEVPLVP TKLEVVDVTKSTVTLAWEKPLYDGGSRLTGYVLEACKAGTERWMKVVTLKPTVLEHTVIS LNEGEQYLFRVRAQNEKGVSEPREIVTPVTVQDLRVLPTIDLSTMPQKTIHVPAGRPIEL VIPITGRPPPTASWFFAGSKLRESERVTVETHTKVTKLTIRETTIRDTGEYTLELKNVTG TTSETIKVIILDKPGPPTGPIKIDEIDATSVTISWEPPELDGGAPLSGYVVEQRDAHRPG WLPVSESVTRPTFKFTRLTEGNEYVFRVAATNRFGIGSYLQSEVIECRSSISIPGPPETL QIFDVSRDGMTLTWYPPEDDGGSQVTGYIVERKEVRADRWVRVNKVPVTMTRYRSTGLIE GLEYEHRVTAINARGTGKPSRPSKPTVAMDPIAPPGKPQNPRVTDTTRTSVSLAWSVPED EGGSKVTGYLIEMQKVDQREWTKCNTTPTKIREYTLTHLPQGAEYRFRVLACNAGGPGEP AEVPGTVKVTEMLEYPDYELDERYQEGVFVRQGGVIRLTIPIKGKPFPVCKWTKEGQDIS KRAMIATSETHTELVIKEADRNDSGTYDLVLENKCGKKTVYIKVKVIGSPNTPEGPLEYD DIQARSVRVSWRPPADDGGADILGYILERREVPKAAWYTIDSRVRGTSLVVKGLKENVEY HFRVSAENQFGISKPLKSEEPVIPKTPLNPPEPPSNPPEVLDVTKSSVSLSWSRPKDDGG SRVTGYYIERKETSTDKWVRHNKTQITTTMYTVTGLVPDAEYQFRIIAQNDVGLSETSPA SEPVVCKDPFDKPSQPGELEILSISKDSVTLQWEKPECDGGKEILGYWVEYRQSGDSAWK KSNKERIKDRQFTIGGLLEATEYEFRVFAENETGLSRPRRTAMSVKTKLTSGEAPGVRKE MADVTTKLGEAAQLSCQIVGRPLPDIKWYRFGKELIQSRKYKMSSDGRTHTLTVMTDEQE DEGVYTCVATNEVGEVESSSKLLLQAAPQFHPGYPLKEKYYGAVGSTLRLHVMYIGRPVP AMTWFHGQKLLQNSEKITIENTEHYTHLVMKNVQRKTHAGKYKVQLSNAFGTVDATLDVE IQDKPDKPTGPIVIEALLKNSVVISWKPPADDGGSWITNYVVEKCEAKEGAEWQLVSSAI SVTTCRIVNLTENAGYYFRVSAQNTFGISEPLEVASIVIIKSPFEKPGVPGKPTITAVTK DSCVVAWKPPASDGGAKIRNYYLERREKKQNKWIAVTTEEIRETVFSVQNLIEGLEYEFR VKCENLGGESEWSEISEPVTPKSDVPIQAPHFKEELRNLNVRYQSNATLVCKVTGHPKPI VKWYRQGKEIIADGLKYRIQEFKGGYHQLIIASVTDDDATVYQVRATNQGGSVSGTASLE VEVPAKIHLPKTLEGMGAVHALRGEVVSIKIPFSGKPDPVITWQKGQDLIDNNGHYQVIV TRSFTSLVFSNGVERKDAGFYVVCAKNRFGIDQKTVELDVADVPDPPRGVKVSDVSRDSV NLTWTEPASDGGSKVTNYIVEKCATTAERWLRVGQARETRYTVINLFGKTSYQFRVIAEN KFGLSKPSEPSEPTVTKEDKTRAMNYDDEVDETREVTTTKASHSKTKELYEKYMIAEDLG RGEFGIVHRCVETSSKRTFMAKFVKVKGTDQVLVKKEISILNIARHRNILYLHESFESME ELVMIFEFISGLDIFERINTSAFELNEREIVSYVRQVCEALEFLHSQNIGHFDIRPENII YQTRKNSTIKIIEFGQARQLKPGDNFRLLFTAPEYYAPEVHQHDVVSTATDMWSLGTLVY VLLSGINPFLAETNQQMIENIMNAEYTFDEEAFKEISLEAMDFVDRLLVKERKSRMTASE ALQHPWLKQRIDRVSTKVIRTLKHRRYYHTLIKKDLNMVVSAARISCGGAIRSQRGVSVA KVKVASIEIGPVSGQIMHAIGEEGGYVKYVCKIENYDQSTQVTWYFGVRQLENSEKYEIT YEDGVATMYVKDITKFDDGTYRCKVVNDYGEDSSYAELFVKGVREVYDYYCRRTKKVKRR TDAMRLLERPPEFTLPLYNKTAYVGENVRFGVTITVHPEPRVTWYKSGQKIKPGDDEKKY TFESDKGLYQLTINSVTTDDDAEYTVVARNKHGEDSCKAKLTVTLHPPPTETTLRPMFKR LLANAECHEGQSVCFEIRVSGIPAPTLKWEKDGQPLSLGPHIEIVHEGLDYYALHIRDTL PEDTGYYRVTATNTAGSTSCQAHLQVERLRYVKQEFQSKEERERHVQKQIDKTLRMAEIL SGTETVPLTPVAQEALREAAILYKPAVSTKTVKGEYRLQTEEKKEERKLRMPYEVPEPRR FKQATVEEDQRIKQFVPMSDMKWYKKIRDQYEMPGKLDRVVQKRPKRIRLSRWEQFYVMP LPRITDQYRPKWRIPKLTQDDLEMVRPARRRTPSPDYDLYYYRRRRRSLGDMSDEELLLP IDDYLAMKRTEEERLRLEEELELGFSASPPSRSPPRFELSSLRYSSPPAHVKVEDRRRDF RYSTYHVPTKEETSTSYAELRERHAQASYRQPKLRQRIMAEKEEEELLRPVTTTQRLSEY KSELDYMSKEEKSKKKSKRQRQVTEITEIEEEYEISRRAQRESSSSVSRLLRRRRSLSPT YIELMRPVSELIRSHPRPAEEYEDDAERRSPTPERTRPRSPSPVSSERSLSRFERSARFD IFSRYESMKAALKTQKTSERKYEVLSQQPFTLDHAPRITLRMRSHRVPCGQNTRFILNVQ SKPTAEVKWYHNGVELQESSKIHYTNTSGVLTLEILDCQTEDGGTYRAVCTNYKGEASDY ATLDVTGGAYTTYASQRRDEEVPKSVFPELTKTEAYAVSSFKRTSELEAASSVREVKSQM TETRESLSTYEHYASAEMKSATSEEKSLEEKATVRKIKTTLAARILTKPRSITVHEGESA RFSCDTDGEPVPTVTWLREGQVVSTSARHQVTTTKYKSTFEISSVQASDEGNYSVVVENS DGKQEAQFTLTVQKARVIEKAVTSPPRVKSPEPRVKSPETVKSPKRVKSPEPVTSHPKAV SPTETKPTEKGQHLPVSAPPKITQSLKAEASKDIAKLTCAVESSALCAKEVAWYKDGKKL KENGHFQFHYSADGTYELKIHNLSESDCGEYVCEVSGEGGTSKTSFQFTGQSFKSIHEQV SSISETTKSVQKTAESPEAKKQEPIAPESISSKPVIVTGLRDTTVSSDSVAKFTIKVTGE PQPTITWTKDGKAIAQGSKYKLSSNKEEFILEILKTETSDGGLYACTVTNSAGSVSSSCK LTIKAVKDTEAQKVSTQKTSEVTSQKKASAQEEISQKALTSEEIKMSEVKSHETLAIKEE ASKVLIAEEVKRSAAASLEKSIVHEEVTKTSQASEEVKTHAEIKTLSTQMNITKGQRATL KANIAGATDVKWVLNGTELPNSEEYRYGVSGSDQTLTIKQASHREEGILSCIGKTSQGVV KCQFDLTLSEELSDAPSFITQPRSQNINEGQNVLFSCEVSGEPSPEIEWFKNNLPISISS NISVSRSRNVYTLEIRNAAVSDSGKYTIKAKNFHGQCSATASLTVLPLVEEPPREVVLKT SSDVSLHGSVSSQSVQMSASKQEASFSSFSSSSASSMTEMKFASMSAQSMSSMQESFVEM SSSSFMGKSSMTQLESSTSRMLKAGGRGIPPKIEALPSDISIDEGKVLTVACAFTGEPTP EITWSCGGRKIQNQEQQGRFHIENTDDLTTLIIMDVQKQDGGLYTLSLGNEFGSDSATVN INIRSM >ENSMUSP00000097561.2 pep:known chromosome:GRCm38:2:76703980:76982455:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000099981.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] MTTQAPMFTQPLQSVVVLEGSTATFEAHVSGSPVPEVSWFRDGQVISTSTLPGVQISFSD GRARLMIPAVTKANSGRYSLRATNGSGQATSTAELLVTAETAPPNFSQRLQSMTVRQGSQ VRLQVRVTGIPTPVVKFYRDGAEIQSSLDFQISQEGDLYSLLIAEAYPEDSGTYSVNATN SVGRATSTAELVVQGEEVVPAKKTKTIVSTAQISETRQTRIEKKIEAHFDARSIATVEMV IDGATGQLPHKTPPRIPPKPKSRSPTPPSIAAKAQLARQQSPSPIRHSPSPVRHVRAPTP SPVRSVSPAGRISTSPIRSVKSPLLIRKTQTTTMATGPEVPPPWKQEGYVASSTEAEMRE TTMTSSTQIRREERWEGRYGVQEQVTISGAAAAAASASVSSSFTAGAITTGTKEVKQETD KSAAVATVVAAVDMARVREPAISAVEQTAQRTTTTAVHIQPAQEQARKEAEKTAVTKVVV AADKAKEQELKSRTREVMVTTQEQTHISHEQIRKETEKAFVPKVVISATKAKEQETRITG EITTKQEQKRITQETIRQETEEIAASMVVVATAKSTKLEAAVGVQEETAIQQDQMHLTHE QMMKETRKTVVPKVIVATPKIKEQDLVSRSREAITTKRDQVQITQEKKRKEVETTALSTI AVATAKAKEQETVLRSREAMATRQEHIQVTHGQVGVGKKAEAVATVVAAVDQARVREPRE PTHVEESHSQQTTLEYGYKEHISTTKVPEQPRRPASEPHVVPQAVKPAVIQAPSETHIKT TDQMGMHISSQVKKTTDISTERLVHVDKRPRTASPHFTVSKISVPKTEHGYEASIAGSAI ATLQKELSATSSTQKITKSVKAPTVKPGETRVRAEPTPSPQFPFADMPPPDTYKSQAGIE VKKEVGVSISGSTVREEHFEVLRGREAKVTETARVPAPAEVPVTPPTLVSGLKNVTVIEG ESVTLECHISGYPSPKVTWYREDYQIESSIDFQITFQGGIARLMIREAFAEDSGRFTCSA VNEAGTVSTSCYLAVQVSEEFDKETTLTEKFATEEKRFVESRDVVMTDTSITEEQAGPGE PAAPFFISKPVVQKLVEGGSVVFECQIGGNPKPHVYWKKSGVPLTTGYRYKVSYNKQTGE CRLVISMTFADDAGEYTIVIRNKHGETSASASLLEEADYEALVKTQQEMLYQTQMSTFIQ EPKVGEIAPGFAYSEYEKEYEKEQALIRKKMAKDTVMVRTFVEDQEFHISSFEERLIKEI EYRIIKTTLEELLEEDGEEKMAVDISESEAIESGFDIRIKNYRILEGMGVTFHCKMSGYP LPKIAWYKDGKRIRHGERYQMDFLQDGRASLRIPVVLPEDEGIYTAFASNIKGNAICSGK LYVEPAAPFSAPTYMPTPEAVSRIRSVSPRSLSRSPIRMSPAMSPARMSPARMSPARMSP ARMSPGRRLEETDESQLERLYKPVFVLKPASFKCLEGQTARFDLKVVGRPMPETFWFHNG QQIVNDYTHKVVIKEDGTQSLIIVPASPSDSGEWTVVAQNRAGKSTISVTLTVEAVEHQI KPAFVEKLKNVNIKEGSRLEMKVRATGNPNPDIVWLKNSDIIVPHKYPRIRIEGTRGEAA LKIDSIISQDSAWYTATAINKAGRDTTRCKVNVEVEFAEPEPERKLIIPRGTYRAKEIAA PELEPLHLRYGQEQWEEGDLYDKEKQQKPFFKKKLTSLRLKRFGPAHFECRLTPIGDPTM VVEWLHDGKPLEAANRLRMINEFGYCSLDYGAAYSRDSGVITCRATNKYGTDHTSATLIV KDEKSLVEESQLPDGKKGLQRIEELERMAHEGALTGVTTDQKEKQKPDIVLFPEPVRVLE GETARFRCRVTGYPQPKVNWYLNGQLIRKSKRFRVRYDGIHYLDIVDCKSYDTGEVKVTA ENPEGVTEHKVKLEIQQREDFRSVLRRAPEPKPEFHVHEPGKLQFEVQKVDRPVDTSETK EVVKLKRAERITHEKVSEESEELRSKFKRRTEEGYYEAITAVELKSRKKDESYEELLKKT KDELLHWTKELTEEEKKALAEEGKITIPTFKPERIELSPSMEAPKIFERIQSQTVGQGSD AHFRVRVVGKPDPECEWYKNGVKIERSDRIYWYWPEDNVCELVIRDVTAEDSASIMVKAI NIAGETSSHAFLLVQAKQLITFTQELQDVVAKEKDTMATFECETSEPFIKVKWYKDGIEV HAGDKYRMHSDRKVHFLSVLTIDTSDAEDYSCVLVEDENIKTTAKLIVEGAVVEFVKELQ DIEVPESYSGELECIISPENIEGKWYHNDVELKSNGKYSITSRRGRQNLTVKDVTKEDQG EYSFVVDGKKTTCKLKMKPRPIAILQGLSDQKVCEGDIVQLEVKVSLENVEGVWMKDGQE VQHSDRVHIVIDKQSHMLLIEDMTKEDAGNYSFTIPALGLSTSGNVSVYSVDVITPLKDV NVIEGTKAVLECKVSVPDVTSVKWYLNDEQIKPDDRVQSIVKGTKQRLVINRTHASDEGP YKLMVGRVETSCNLSVEKIKIIRGLRDLTCTETQNVVFEVELSHSGIDVVWNFKGKEIKP SSKYKIEAHGKIYKLTVLNMMKDDEGEYAFYAGENTTSGKLTVAGGAISTPLTDQTVAES QEAVFECEVANPESEGEWLKDGKHLALSNNFRGESDGHKRRLVIAAAKLDDAGEYTYKVA TSKTSAKLKVEAVKIKKTLRNLTVTETQDAVFSVELTHPDVKGVQWIKNGVVLDSNDKYE ISVKGTLYSLKIKNCAMADESVYGFKLGRLGASARLHVETVKIIKKPKDVTALENATVTF EVSVSHDTVPVKWFHKNVEIKPSDKHRLVSERKVHKLMLQSISPSDAGEYTAMVGQLECK AKLFVETLHITKTMKSIEVPETKAASFECEVSHFNVPSMWLKNGVEIEMSEKFKIVVQGK LHQLIIMNTSTEDSAEYTFVCGNDQVSATLTVTPIMITSMLKDINAEEKDTITFEVTVNY EGISYKWLKNGVEIKSTDRCQMRTKKLTHSLNIRNVHFGDAADYTFVAGKATSTATLYVE ARHIEFRKHIKDIKVLEKKRAMFECEVSEPDITVQWMKDGQELQIADRIKIQKEKYVHRL LIPSTRMSDAGKYTVVAGGNMSTANLFVEGRDVRIRSIKKEVQVIEKQRAVVEFEVNEDD VDAHWYKDGIEINFQVQERHQYVVERRIHRMFISETRHSDAGEYTFVAGRNRSSVTLYVN APEPPQVLQELQPVTVQSGKPARFCAVISGRPQPKISWYKEEQLLSTGFKCKFLHDGQEY TLLLIEAFPEDAAVYTCEAKNDYGVATTSASLSVEVPEVVSPDQEMPVYPPAIVTPLQDT VTSEGRPARFQCQVSGTDLKVSWYCKDKKIKPSRFFRMTQFEDTYQLEIAEAYPEDEGTY AFVANNAVGQVSSTATLRLEAPESILHERIGQQIEMEMKEIASLLSAEEDFQTYSSDLRL PNANETLELLSEPPARSTQFDSRQEGAAPVFIREISDVEISVEDVAKLSVTVTGCPKPKI QWFFNGMLLTPSADYKFVFDGDTHSLIILFTRFQDEGEYTCLASNEYGKAVCSAHLRISP RGERSTEMESGEKKALEKPKGPCPPYFFKELKPVHCGPGIPAVFEYSVHGEPAPTVLWFK EDMPLYTSVCYTIIHSPDGSGTFIVNDPQRGDSGLYLCKAQNLWGESTCAAELLVLPEDT DVPDASCKEESTLGVPGDFLETSARGPLVQGVDSRQEITAFAEGTISKAALIAEETLQLS YERSVDDSEVGTGVTIGAQKLPPVVLSTPQGTGELPSIDGAVHTQPGRGPPPTLNLQAVQ AQTTLPKEATLQFEEPEGVFPGASSAAQVSPVTIKPLITLTAEPKGNYPQSSTAAPDHAL LSSVAAETLQLGEKKIPEVDKAQRALLLSQSLAEGCVESLEVPDVAVSNMRSEPQVPFQH TCTEGKILMASADTLKSTGQDVALRTEEGKSLSFPLALEEKQVLLKEEQSEVVAVPTSQT SKSEKEPEAIKGVKEVREQELLSKETLFPSMPEEQRLHLKTQVRRALQAAVAREQANLFS EWLRNIDKVEVTAVNFTQEPKRILCTYLITSVSSLTEELTVTIEDIDPQMANLETGLKDA LCSIVCEERNILMAEDPRIHEEDKIDVQGGRDHLSDAQKVETVIEAEADSKYLVSKEEVS WSKVESQLKDGDTNEVPQAETLKLAEESGTQKTSTEMSQEEAEGTLADLCPAVLKHLVDT ISEEGDTVHLTSSISNAKEVHWYFKGNLVPSDGKFKCLKEQNAYTLVIEAVKTEDEGEYV CEASNDSGKAKTSAKLTVGERAAPVIKRRIEPLEVALGHLAKFTCEIQGAPNVRFQWFKA GREIYESDKCSIRSSNYVSSLEILRTQVVDCGEYTCKASNEYGSVSCTATLTVTEAYPPT FLSRPKALTTFVGKAAKFLCTVSGTPVIEIIWQKDGAALSPSPDCRVTDADNKHSLELSN LTVQDRGIYSCKASNKFGADICQAELTIIDKPHFIKELEAVQSAINKKIHLECQVDEDRK VTITWSKDGQKLPAGKDYKIYFEDKIASLEIPLAKLKDSGTYTCTASNEAGSSSSSAAVA VREPPSFVKKVDPSYLMLPGESARLHCKLKGSPVIQVTWFKNNKELSESNTVRMSFVNSE AILDITDVKVDDSGTYSCEATNDVGSDSCSTEVVIKEPPSFIKTLEPADIVRGANALLQC EIAGTGPFEVNWFKDKKQIRSSKKYRLFTQKTFVYLEISSFNSADVGDYECVVANEVGKC GCVATHLLKEPPTFVKKVDDFTALAGQTVTLQAAVRGSEPISVMWMKGQEVIKEDGKIKM SFSNGVAVLTIPDVQISLGGKYTCLAENEAGSQTSVGELIVKEPAKIIERAELIQVTAGD PATLEYTVSGTPELKPKWYKDGRPLVASKKYRISFKNNIAQLKFYSAELHDSGQYTFEIS NEVGSSSCETTFTVLDRDIAPLFTKPLRNVDSVVGGACRLDCKIAGSLPMRVSWFKDGKE LTASDRYQIAFVEGTASLEISRVDMNDAGNFTCRATNSVGSKDSSGALIVQEPPSFVTKP GSRDVLPGSAVCLKSAFQGSAPLTIKWFKGDKELVSGGSCYITKETSESSLELYAVKTSD SGTYTCKVSNVAGSVECSADLFVKEPATFIEKLEPSQLLKKGDGTQLACKVTGTPPIKIT WFANDRELRESSKHKMSFAESTAVLRLTDVAIEDSGEYMCEAQNEAGSDHCTGIVIVKES PYFTKEFKSIEVLKEYDVMLLAEVAGTPPFEITWFKDNTTLRSGRKYKTFLQDQLVSLQV LKFVAADAGEYQCRVTNEVGSSTCSARVTLREPPSFIKKIEATSSLRGGTAAFQATLKGS LPITVTWLKDNDEITEDDNIRMTFENNVASLYLSGIEVKHDGKYVCQAKNDAGIQRCSAL LSVKEPATIMEEAVSIDVTQGDPATLQVKFSGTKEISAKWFKDGQELTLGPKYKISVTDT VSILKIISTEKKDSGEYTFEVQNDVGRSSCKASINVLDLIIPPSFTKKLRKMDSIKGSFI DLECIVAGSHPISIQWFKDDQEISASDKHKFSFHDNTAFLEISQLEGTDSGTYTCSATNK AGHSQCSGHLTVKEPPYFVEKPQSQDVNPGTRVQLKALVGGTAPMTIKWFKDNKELHPGA ARSVWKDDTSTILELFSAKAADSGTYICQLSNDVGTTSSKATIFVKEPPQFIKKPSPVLV LRNGQSTTFECQVTGTPEIRVSWYLDGNEITDLRKYGISFVDGLATFQISNARVENSGTY VCEARNDAGTASCSIELKVKEPPIFIRELEPVEVVKDSDVELECEVMGTTPFEVTWLKNN KEIRSGKKYTMSEKMSVFYLHITKCDPSDVGEYQCIIANEGGSCACSARVALKEPPSFIK KIENVTTVLKSSATFQSTVAGSPPISITWLKDDQILEENDNVHISFEDSVATLQVRSVDN GHSGRYTCQAKNESGIERCYAFLLVQEPAQIIEKAKSVDVTEKDPVTLECVVAGTPELKV KWLKDGKQIVPSRYFSMSFENNVASFRIQSVMKQDSGQYTFKVENDFGSSSCDAYLRVLD QDIPPSFTKKLTKMDKVLGSSIHMECKVSGSLPISAQWFKDGKEISTSAKYRLVCHENTV SLEVSNLELEDTANYTCKVSNVAGDNACSGILTVKEPPSFLVKPERQQAIPDSTVEFKAV LKGTPPFKIKWLKDDVELVSGPKCFIGLEGSTSFLNLYSVDSSKTGQYTCQVTNDVGSDS CTTMLLVTEPPKFVKKLEASKIIKAGDSARLECKITGSPEIQVVWYRNEHELTASDKYQM TFIDSVAVIQMNSLGTEDSGDFICEAQNPAGSTSCSTKVIVKEPPVFSSFPPIVETLKNT EVSLECELSGTPPFEVVWYKDKRQLRSSKKYKVASKNFHASIHILNVESTDIGEYHCKAQ NEVGSDACVCAVKLKEPPKFISKLNSLTVVAGEPAELQASIEGAQPISVQWLKEKEEVIR ESENIRISFVNNVATLQFAKVEPANAGKYICQVKNDGGVRENMATLTVLEPAVIIEKAGS MTVTVGETCALECKVAGTPELSVEWYKDGKLLTSSQKHKFSFYNKISSLKILSVEKEDAG TYTFQVQNNVGKSSCTAVVDVSDRMVPPSFTRRLKDTGGVLGTSCILECKVAGSSPISIA WFHEKTKIVSGAKYQTTFSDNVCTLQLNSLDSSDMGSYTCVAANVAGSDECRALLTVQEP PSFVKEPEPLEVLPGKNITFTSVIRGTPPFKVGWFRGARELVKGNRCNIYFEDTVAELEL FNIDISQSGEYTCVVSNNAGQASCTTRLFVKEPATFVKKLSDHSVEPGKSIILEGTYTGT LPISVTWKKDGVSITPSERCNIVTTEKTCILEILSSTKGDAGHYSCEIENEAGRDACDAL VSTLEPPYFVTELEPLEASVGDSVSLQCQVAGTPEITVSWFKGDTKLRSTPEYRTYFTNN VATLVFNKVSINDSGEYTCMAENSIGTAASKTIFRIQERQLPPSFARQLKDIEQTVGLPV TLTCRLNGSAPIQVCWYRDGVLLRDDENLQMSFVDNVATLKILQTDLSHSGQYSCSASNP LGTASSTARLTAREPKKSPFFDIKPVSIDVIAGESADFECHVTGAQPMRVTWSKDNKEIR PGGNYTITCVGNTPHLRILKVGKGDSGQYTCQATNDVGKDMCSAQLSVKEPPKFIKKLDA SKVAKQGESIQLECKISGSPEIKVVWFRNDSELHESWKYNMSFVNSVALLTINEASAEDT GDYICEAHNGVGDASCSTALKVKAPPVFTQKPPPVGALKGSDVILQCEISGTPPFEVVWV KDRKQVRSSKKFKITSKNFDTSLHIFNLEAPDIGEYHCKATNEVGSDTCACTVKFKEPPR FVKKLSDASTLIGDPVELQAVVEGFQPISVVWLKDKGEVIRESENVRISFVDNIATLQLG SPEASQSGKYVCQIKNDAGMRECSAVLTVLEPATIVEKPEPMTVTTGNPFTLECVVAGTP ELSAKWFKDGRELSSGSRHHITFVRNLASLKIPSAEMNDKGLYTFEVENRVGKSSCTVSV HVSDRVVPPSFVRRLKDTSATLGASVVLECRVSGSAPISVGWFLDGNEIISSPKCQSSFA DNVCTLTLSSLEPSDTGAYTCVAANVAGQDESSAVLTVQEPPSFEQTPDSVEVLPGMSLT FTSVIRGTPPFKVKWFKGSRELVSGEACTISLEDFVTELELLEVEPGQSGDYSCLVTNDA GSASCTTHLFVKEPATFVKRLADTSVETGSPIVLEATYSGTPPISVSWMKNEYPLSQSPN CGITTTEKSSILEILESTIEDYAQYACLIENEAGQDICEALVSVLEPPYFIEPLEHVEAA IGEPITLQCKVDGTPEIRISWYKEHTKLRSAPAYKMQFKNNVASLVINKVDHSDVGEYTC KAENSVGAVASSAVLVIKERKLPPSFARKLKDVHETLGFPVAFECRINGSEPLQVSWYKD GELLKDDANLQMSFVHHVATLQILQTDQSHVGQYNCSASNPLGTASSSAKLILSEHEVPP FFDLKPVSVDLALGESGSFKCHVTGTAPIKITWAKDNREIRPGGNYKMTLVENTATLTVL KVAKGDAGQYTCYASNVAGKDSCSAQLGVQEPPRFIKKLDQSRIVKQDEYTRYECKIGGS PEIKVLWYKDEVEIQESSKFRMSFEDSVAILEMHSLSVEDSGDYTCEARNAAGSASSSTS LKVKEPPVFRKKPFPVETLKGADVHLECELQGTPPFQVSWHKDKRELRSGKKYKIMSENL LTSIHILNVDTADIGEYQCKATNDVGSDTCVGSVTMKAPPQFVKKLTDISTIIGKEVQLQ TTIEGAEPISVAWFKDKGEIVRESDNIWISYSENIATLQFSRAEPANAGKYTCQIKNDAG MQECYATLSVLEPAAIVEKPESIKVTTGDTCTLECTVSGTPELSTKWFKDGKELTSDNKY KISFFNKVSGLKIINVVPGDSGVYSFEVQNPVGKDSCKVSIQVSDRIIPPSFTRKLKETN GLSGSSVVMECKVYGSPPISVLWFHDGNEISSGRKYQTTLTDNTCALTVNMLEEADAGDY TCIATNVAGSDECSAPLTVREPPSFVQKPDPMDVLTGSNVTFTSIVKGSPPFTVSWFKGS TELVPGARCNVSLQDSVGELELFDVDTSQSGEYTCIVSNEAGRASCTTRLFVKAPAIFVK RLNDYSIEKGKPLILEGTFSGTPPISVTWKKNGINVIASQRCNITTTEKSAILEILSSTV EDSGQYNCYIENASGKDSCSAQILILEPPYFVKQLEPVKVTVGDSASLQCQLAGTPEIGV SWYKGDTKLRPTATCKMHFKNNVATLVFTQVDSSDSGEYICRAENSVGEVSSSTFLTVQE QKLPPSFSRQLRDVQETVGLPVVFECAVSGSEPISVSWYKDGKPLKDSPNIQTSFLDNIA TLNIFKTDRSLSGQYSCTATNPIGSASSGAKLILTEGKNPPFFDIPLAPMDAVVGESADL ECHVTGTQPIKVTWAKDNREIRSGGNYQISYLENSAHLTIVKVDKGDSGQYTCYAVNEVG KDSCTAQLNIKERLIPPSFTKKLSETVEETEGNSFKLEGRVAGSQPITVAWYKNNVEIHP TSNCEIMFKNNALLLQVKRASMADAGLYTCKATNDAGSALCTSSIVIKEPKKPPVFDQHL APVTASEGDSVQLSCHVQGSEPIRIQWLKAGREVKPSDRCSFSFASGTAMLELKETAKAD SGDYVCKASNVAGSDTSKCKVTIKEKPAAAPAAKKAAVDGKLFFVSEPQSIRVVEKTTAT FIAKVGGDPIPNVKWTKGKWRQLNQGGRILIHQKGDEAKLEIRDTTKTDSGLYRCVAFNK HGEIESNVNLQVDERKKQEKIEGDLRAMLKKTPALKKGSGEEEEIDIMELLKNVDPKEYE KYARMYGITDFRGLLQAFELLKQSQEEETHRLEIEELEKSERDEKEFEELVAFIQQRLTQ TEPVTLIKDIENQTVLKDNDAIFEIDIKINYPEIKLSWYKGTEKLEPSNKYEISIDGDRH TLRVKNCQPKDQGNYRLVCGPHIASAKLTVIEPAWERHLQDVTLKEGQTCTMTCQFSVPN VKSEWFRNGRVLKPQGRVKTEVEHKVHKLTIADVRAEDQGQYTCKHEDLETSAELRIEAE PIQFTKRIQNIVVSEHQSATFECEVSFDDAIVTWYKGPTELTESQKYNFRNDGRCHYMTI HNVTPDDEGVYSVIARLEPRGEARSTAELYLTTKEIKLEMKPPDIPDSRVPIPTMPIRAV PPEEIPPAVAPSIPLLLPLPEEKKPPAKRIEVTKKGVKKDTKKVVTKPKEEAPPPPVAKK PPPPTPMIPAKASEIIDVSSKAEEVKITTITRKKEVHKEKEAVYEREEAVYEKKVHIEPW EEPYEELETEPYTEPYEEPYYEEPDEDYEEIKVEAKKQVHEEWEEDFEEGQEYYEREEGY DEGEEEWEEIYHEREIIQVQKEVHEELHEKKIPAKVPEKKVPPPKVVKKPVVEKVEKTTR RMEEEKVQVIKVPEVSKKIVPQKPSRTPVQEEIIEVKVPAVHTKKMVISEEKMFFASHTE EEVSVSVPEVQKKTVTEEKIHVAVSKKIEPPPKVPEPPKKPVPEEVVPVPIPKKVEPPAA KVPEAPKKPVPEEKKPVPIPKKKEPAAPPQVPEAPKKPAPEEKIPVPVTKKKEAPPAKVP EVQKKVVTEEKIAIITQREESPPPAVPEIPKKKVPEEKRPVPRKEEVPPPKVPVPPKKAV PEAVVPAPIPKKAPPRAEVSKKTVVEEKRFAAEEKLSVAVPQRVELMRHEEEEWTYSEEE ERVSVSVYREEERDEEEAEITEYEVLEEPEEYVVEEKMHFISKKVEVEPAKVPEKKIIPK PKVPAKIEEPPPTKVPEPPKKIVPEKKVPAPAPKKVPPAKAPEESKRPVPEKRAPAEEVG IEEPPPTKVAERHMKITQEEKVLVAVTKKEAPPRARVPEEPKKVAPEERFPKLKPRREEE PPAKVTEVRKRAVKEEKVSIEVPKREPRPTKEVTVTEEKKWSYTREEETVSEHREEEYED YEDYEEYKEFEEYEPTEEYDQYDEYAEREVEHYEEHEEYVTEPKKPVPVKPAQEPVPAKP KAPPPKVLKKAVPEEKAPLPIQKKLKPLPPKAPEEPKKVVEEKIQISITKREKQQVTEPV AKVPMKPKRVVPEAKIPAPTKEVAVPVRVPGVPKKRELEEVVVFKEEVEAHEEYIVEEEE EYVHEEEYVHKEEYVHEEEYVHKEEYIHEEEEHLHEEEETIAEEEVVPVAPVKVPVVPKK PVPEEKKPVPVPKKKEAPPAKVPEIPKKPEEKVPVPIPKKEKAPPAKVPEVPKKPVPEEK PPVPVPKKVEPPPAKVPEVPKKPVPEKKVPAPTPKKVEAPPAKVPEVPKKPIPEEKKPTA LLKKMEAPPPKAPKKREVVPVPVALPREEEEEEVPFEEVPEEEILPEEEVPSEEEAPPEE VPPEEEEVLPEEEEVLPEEEEVLPEEEEVQPEEEALPEIKPKVPKPAPVPKKTVPEKKVP VPVPKKVEPPPPPKVPEIKKKVPEKKVVVPKKEEAPPTKVPEVSKKVEERRIIPPKEEEV PPAEVYEEAEEPTPEEIPEEPPSIEEEEIVEEEEEEEEVLPPRAPEVVKKAVPEAPTPVP KKAEAPPAKVPKKIPEEKVPVPVQKKEAPPAKVPEKKKIPEKKVPVPKKEAVPPAKGKAV FEEKISVAYQQEELVQERIELELVEAKVEEAFEEEEFHEVQEYFEEEEFHEVEEFIRVEE RRFQEEHKVEEVHRVIEFLEAEEVEVYEKPKIPPKKGPEVSEKVIPPKKPPTKVIPRKEP PAKVPEVTKKTVVEEKIRAPEEPKVPAPKAPEVPKKITPEEKVREAVPKKPEVPPPKVPE VPKKIIQEEKLPVVLPEDTEIYMYEASEETVIEEEHVTLPQKARLKVAKVPAPPQTVVTE EKTYVTIRKTRETLALKESETTREAFPELKSYKAVPEIPEPPSPEDLEIIEDVLPEKRPP ASKRRKTQLPTAPEAPREMPPEMNTFEEISVEPEMLPTQVLDTYQEATVEKKTLRISRKK PELPSDEEVPEAPREVVAKKKVLPPQVPEVVPVKVPGAPKEVVSERKSLEEPPKKPAVRP VTVPEEPKEVIPEKKVSLVPPKKPAAPPVTVPEAPEEVFSEDEETLAPPQEPEAPPAKVP EAPKEVVPEKKVSVVPPKKPEAPPAKVPEAPKEAAPEKKVPVAPKKKPEAPPVKVPEAPK KVVPEKKLPVAAPKKPEAPAAEVPEVPKTAVPQKKIPEAIPPKPESPPLEVPEVPPKEVT PEKKVPAAPPTKPEIPPPKVPEAPQAAVVEEKTPEALPKKAEAAPVPVPQVQETVPEKTR PVGPPKKPEATTVPVPKVQKTIPEKTRPEAPPKRPEARTVPETVPEKTRPMAPPKKPEAT TLPVPEVQETVPEKTRPVGPPKKPEATTVPVPEVQETIPEKTRPPKKPEATPVPVPEVQE TVPEKTRPVGPPKKPEATTVSVPEVQETIPEKTRPAAPPKKPEATAVPETIPEKTRPEAP PKRPEATTVPVPEADQAVVPEKKVPRVPPKKVEAPPITVPEEPKEVIPEKKVSLVPPKKP AAPPVTVPEAPEEVFSEDEETLAPPQEPEAPPAKVPEAPKEVVPEKKVSVVPPKKPEAPP AKVPEAPKEAAPEKKVPVAPKKKPEAPPVKVPEAPKKVVPEKKLPVAAPKKPEAPAAEVP EVPKAAVPQKKIPEAIPPKPESPPPEVYEEPEEEIVPEEPPEEAVEEPVPAPPPKVTEPP KKPVPEKKAPPAVVKKPEPPPAKVPEVPKEAPPEKKVPPKKPEAPPAKVPEVPKEVVTEK KVAVPKKPEVPPAKVPEVPKKPVIEEKPAIPVVEKVASPPAEVYEEPEEVTAEEEEPAPA VEEEEYEAPPPPAPVPEEPKKVVPEKKFPVIKKPEAPPPKVPEVPKKAVPVKKVPVVKKP EPPEAEVPEVPKKLVPVKKEPVPVTKKTEVLPEKVPEAPKKITPEKKESVPVPEEPEAPP ASVEETPEETIYEEKATITIGRKETPPVEEREIEKFIQPEEPELEPEPEEIPVQEPEPEK KVIEKPKLKPRPPARPPSPPKEDVKEKMFQLKAVSKKKVPEKPEVVEKVEPAPLKVPTAE KKVRKLLPEPKPQPKEEVVLKSVLRKKPEEEEPKVEPKKVEKAKKPEEPQPPPKAVEVEA PPEPTPKERKVPEPAKVPEIKPAIPLPGPEPKPKPEPEVKTMKAPPIEPAPTPIAAPVTA PVVGKKAEAKPKDEAAKPKGPIKGVAKKTPSPIEAERKKLRPGSGGEKPPDEAPFTYQLK AVPLKFVKEIKDIVLTEAESVGSSAIFECLVSPSTAITTWMKDGSNIRESPKHRFIADGK DRKLHIIDVQLSDAGEYTCVLRLGNKEKTSTAKLIVEELPVRFVKTLEEEVTVVKGQPLY LSCELNKERDVVWRKDGKIVVEKPGRIVPGVIGLMRALTINDADDTDAGTYTVTVENANN LECSSCVKVVEIIREWLVKPIRDQHVKPKGTAVFTCDIAKDTPNIKWFKGYDEIPLEPND KTEILKEGNHLFLKVKNAMPEDIDEYAVEIEGKRYPAKLTLGEREVELLKPIEDVTIYEK ESASFDAEISEEDIPGEWKLKGELLRPSPTCEIKAEGGKRFLTLHKVKLDQAGEVLYQAC NAITTAILTVKEIELDFAVPLKDVTVPEKRQARFECVLTREANVIWSKGPDIIKASDKFD IIADGKKHILVINDSQFDDEGVYTAEVEGKKTSAQLFVTGIRLKFISPLEDQTVKEGQTA TFVCELSHEKMHVVWFKNDVKLHTTRTVLMSSEGKTYKLEIRETTLDDISQIKAQVKNLS STANLKVLEADPYFTVKLHDKTGVEKDEIILKCEVSKDVPVKWFKDGEEIVPSPKHSVKT DGLRRILKIKKAELKDKGEYVCDCGTDTTKANVTVEARLIKVEKPLYGVEVFVGETARFE IELSEPDVHGQWKLKGEPLTASPDCEIIEDGKKHVLVLYNCQLDMTGEISFQAANAKSAA NLKVKELPLIFITPLSDVKVFEKDEAKFECEVSREPKTFRWLKGTQEITGDDRFELIKDG TRHSLVIKSAAFEDEAKYMFEAEDKRTSGKLIIEGIRLKFLTPLKDVTAKERENAVFTVE LSHDNIPVSWFKNDQRLHTSKRVSMHDEGKTHSITFKDLSIDDTSQIRVEAMGISSEAKL TVLEGDPYFTGKLQDYTGVEKDEVILQCEISKADAPVKWFKDGKEIKPSKNVVIKADGKK RMLILKKALKSDIGQYTCDCGTDQTSGKLDIEDREIKLVRPLYSVEVMETETARFETEIS EDDIHANWKLKGEALLQTPECEIKEEGKIHVLILHNCRLDQTGGVDFQAANVKSSAHLRV KPRVIGLLRPLKDVTVTAGETATFDCELSYEDIPVEWYLKGKKLEPNDKVVTRSEGRVHT LTLRDVKLEDAGEVQLTAKDFKTQANLFVKEPPVEFTKPLEDQTVEEEATAVLECEVSRE NAKVKWFKNGTEILKSKKYEIVADGRVRKLIIHGCTPEDIKTYTCDAKDFKTSCNLNVVP PHVEFLRPLTDLQVKEKETARFECEISKENEKVQWFKDGAEIKKGKKYDIISKGAVRILV INKCLLNDEAEYSCEVRTARTSGMLTVLEEEAVFTKNLANLEVSEGDTIKLVCEVSKPGA EVIWYKGDEEIIETGRFEILTDGRKRILIIQNAQLEDAGSYNCRLPSSRTDSKVKVHELA AEFISKPQNLEILEGEKAEFVCTISKESFEVQWKRDDQTLESGDKYDIIADGKKRVLVVK DATLQDMGTYVVMVGAARAAAHLTVIEKLRIIVPLKDTKVKEQQEVVFNCEVNTEGAKAK WFRNEEAIFDSSKYIILQKDLVYTLRIRDARLDDQANFNVSLTNHRGENVKSAANLIVEE EDLRIVEPLKDIETMEKKSVTFWCKVNRLNVTLKWTKNGEEVAFDNRISYRIDKYKHSLI IKDCGFPDEGEYVVTAGQDKSVAELLIIEAPTEFVEHLEDQTVTEFDDAVFSCQLSREKA NVKWYRNGREIKEGKKYKFEKDGSIHRLIIKDCRLEDECEYACGVEDRKSRARLFVEEIP VEIIRPPQDILEAPGADVIFLAELNKDKVEVQWLRNNMIVVQGDKHQMMSEGKIHRLQIC DIKPRDQGEYRFIAKDKEARAKLELAAAPKIKTADQDLVVDAGQPLTMVVPYDAYPKAEA EWFKENEPLSTKTVDTTAEQTSFRISEAKKDDKGRYKIVLQNKHGKAEGFINLQVIDVPG PVRNLEVTETFDGEVSLAWEEPLTDGGSKIIGYVVERRDIKRKTWVLVTDRADSCEFTVT GLQKGGVEYLFRVSARNRVGTGEPVETDSPVEARSKYDVPGPPLNVTITDVNRFGVSLTW EPPEYDGGAEITNYVIELRDKTSIRWDTAMTVRAEDLSATVTDVVEGQEYSFRVRAQNRI GVGKPSAATPFVKVADPIERPSPPVNLNASEQTQSSVQLTWEPPLKDGGSPILGYIIERR EEGKDNWIRCNMKPVPELTYKVTGLQKGNKYLYRVSAENAAGVSDPSEILGPLTADDAFV EPTMDLSAFKDGLEVIVPNPIKILVPSTGYPRPKATWTFGDQVLEEGDRVKMKTISAYAE LVISPSERTDKGIYTLTLENPVKSISGEINVNVIAPPSAPKELKFSDITKDSVHLTWEPP DDDGGSPLTGYVVEKRDMSRKTWTKVMDFVTDLEFTVPDLVQGKEYLFKVCARNKCGPGE PAYTDEPVNMSAPATVPDPPENVKWRDRTANSIFLTWDPPKNDGGSRIKGYIVEKCPRGS DKWVACGEPVPDTKMEVTGLEEGKWYAYRVKALNRQGASKPSKPTEEIQAVDTQEAPEIF LDVKLLAGITVKAGTKIELPATVTGKPEPKITWTKADTLLKPDQRITIENVPKKSTVTIT DSKRSDTGTYIIEAVNVCGRATAVVEVNVLDKPGPPAAFDITDVTNESCLLTWNPPRDDG GSKITNYVVERKATDSDVWHKLSSTVKDTNFKATKLTPNKEYIFRVAAENMYGVGEPVQA APIIAKYQFDPPGPPTRLEPSDITKDAVTLTWCEPDDDGGSPITGYWVERLDPDTDKWVR CNKMPVKDTTYRVKGLTNKKKYRFRVLAENLAGPGKPSRSTEPILIKDPIDPPWPPGKPT VKDIGKTSLVLNWTKPEHDGGAKIESYVIEMLKTGTDEWVRVAEGVPTTEHLLTGLMEGQ EYSFRVRAVNKAGESEPSEPSDPVLCREKLYPPSPPRWLEVINITKNTADLKWTVPEKDG GSPITNYIVEKRDVRRKGWQTVDTTVKDTKCTVTPLTEGSLYVFRVAAENAIGQSDYTEI GDSVLAKDTFTTPGPPYALTVVDVTKRHVDLKWEPPKNDGGRPIQRYIIEKKEKLGTRWV KAGKTSGPDCNFRVTDVIEGTEVQFQVRAENEAGVGHPSEPTEILSIEDPTSPPSPPLDL HVTDAGRKHIAIAWKPPEKNGGSPIIGYHVEMCPVGTEKWMRVNSRPIKDLKFKVEEGIV PDKEYVLRVRAVNAVGVSEPSEISENVVAKDPDCKPTIDLETHDIVVIEGEKLNIPVPFR AVPVPTVSWHKDGKEVKASDRLTMKNDHISAHLEVPKSVHADAGVYTITLENKLGSATAS INVKVIGLPGPCKDIKASDITKSSCKLTWEPPEFDGGSPILHYVLERREAGRRTYIPVMS GENKLSWTVKDLIPNGEYFFRVKAVNKIGGGEYIELKNPVIAQDPKQPPDPPVDVEVHNP TAKAMTITWKPPLYDGGSKIMGYIIEKITKGEDRWKRCNEHLVPVLTYTAKGLEEGKEYQ FRVRAENAAGIGEPSRATPPTKAVDPIDAPKVILRTSLEVKRGDEIALDATISGSPYPTI TWIKDENVIVPEEIKKRAAPPVRRKKGEAEEEEPFSLPLTERLSINNSKQGESQLRIRDS LRPDHGQYMIKVENDHGVAKAPCSVSVLDTPGPPINFVFEDIRKDSVLCKWEPPLDDGGS EIINYTLEKKDKTKPDSDWIVITSTLRNCKYSVTKLIEGKEYLFRVRAENRFGPGPPCVS KPLLAKDPFEPPDAPDKPIVEDVTSNSMLVKWNEPKDNGSPILGYWLEKREVNSTHWSRV NKTLLSSLKTKVDGLLEGLTYVFRVCAENAAGPGKFSPPSDPKTARDPISPPGPPVPRVA DTSSTTIELEWEPPAFNGGGEIMGYFVDKQLVGTNEWSRCTEKMIKVRQFTVKEIREGAD YKLRVSAVNAAGEGPPGETEPVTVAEPQEPPTVELDVSVKGGIQIMAGKTLRIPAEVTGR PVPTKVWTIEEGELDKERVIIENVGTKSELIIKNALRKDHGRYVITATNSCGSKFAAVRV EVFDVPGPVLDLKPVVTNRKMCLLNWSDPADDGGSDITGFIIERKDAKMHTWRQPIETER SKCDITGLIEGQEYKFRVIAKNKFGCGPPVEIGPILAVDPLGPPTSPERLTYTERTKSTI TLDWKEPRSDGGSPIQGYIIEKRRHDKPDFERVNKRLCPTTSFLVENLDEHQMYEFRVKA VNDVGESEPSLPLNVVIQDDEVPPTIKLRLAVRGDTIKVKAGEPVNIPADVTGLPMPKIE WSKNEKVIDKPTDTLNITKEEVSRSEAKTELSIPKAAREDKGTYTITASNRLGSVFRNVH VEVYDRPSPPRNLAVTDIKAESCYLTWDAPLDNGGSEITHYIIDKRDASRKKSEWEEVTN TAVERRYGIWKLIPNGQYEFRVRAVNKYGISDECKSDKVVIQDPYRLPGPPGKPKVLERT KGSMLVSWTPPLDNGGSPITGYWLEKREEGGTYWSRVSRAPITKVGLKGVEFNVPRLIEG VKYQFRAMAINAAGIGPPSEPSDPAVAGDPIYPPGPPSCPEVKDKTKSSISLAWKPPAKD GGSPIKGYIVEMQEEGTTDWKPVNEPDKLLTACECVVPNLKELRKYRFRVKAVNEAGESE PSDTTGEIPATDIQEVPEVFIDIGAQDCLVCKAGSQVKIPAVIKGRPTPKSSWEFDGKAK KAMKDGVHDIPEDAQLETAENSSVIIIPECTRAHSGKYSITAKNKAGQKTANCRVKVMDA PGPPKDLKVSDITRGSCRLSWKMPDDDGGDRIKGYVIEKKTIDGKAWTKVNPNCGSTTFV VPDLISEQQYFFRVRAENRFGIGPPAETIQRTTARDPIYPPDPPIKLKIGLITKNTVHLS WKPPKNDGGSPVTHYIVECLAWDPTGKKKEAWRQCNRRDVEELEFTVEDLIEGGEYEFRV KAVNEAGVSKPSATVGPVIVKDQTCPPAIELKEFMEVEEGTDVNIVAKIKGVPFPTLTWF KAPPKKPDSKEPVVYDTHVNKQVVDDTCTLVIPQSRRSDTGLYSITAVNNLGTASKEMRL NVLGRPGPPVGPIKFESISADQMTLSWLPPKDDGGSKITNYVIEKREANRKTWVRVSSEP KECMYTIPKLLEGHEYVFRIMAQNKYGIGEPLDSEPETARNLFSVPGAPDKPTVSSVTRN SMTVNWEEPEYDGGSPVTGYWLEMKDTTSKRWKRVNRDPIKAMTLGVSYKVTGLIEGSDY QFRVYAINAAGVGPASLPSDPVTARDPVAPPGPPFPKVTDWTKSSVDLEWSPPLKDGGSK ITGYIVEYKEEGKEEWEKGKDKEVRGTKLVVTGLKEGAFYKFRVRAVNVAGVGEPGEVTD VIEMKDRIVSPDLQLDASVRDRIVVHAGGVIRIIAYVSGKPPPTVTWSMNERALPQEAAI ETTAISSSMVIKNCQRSHQGVYSLLAKNEGGERKKTIIVDVLDVPGPVGIPFLSDNLTND SCKLTWFSPEDDGGSPITNYVIQKREADRRAWTPVTYTVTRQNATVQGLIQGKSYFFRIA AENSIGMGPFVETPNALVIRDPITVPERPEDLEVKEVTKNTVSLTWNPPKYDGGSEIINY VLESRLIGTEKFHKVTNDNLLSRKYTVKGLKEGDTYEYRVSAVNIVGQGKPSFCTKPITC KDELAPPTLDLDFRDKLTVRVGESFALTGRYSGKPKPKIDWFKDEADVLEDDRTHIKTTP TTLALEKTKAKRSDSGKYCVVVENSTGSRKGFCQVNVVDRPGPPVGPVIFDEVTKEYMVI SWKPPLDDGGSEITNYIIEKKELGKDIWMPVTSASAKTTCKVPKLLEGKDYIFRIHAENL YGISDPLVSDSMKAKDRFRVPDAPEQPVVTEVTKDSALVTWNKPNDGGKPITNYILEKRE TMSKRWVRVTKEPIHPYTKYRVPDLLEGCQYEFRVSAENEIGIGDPSPPSKPVFARDPIA KPSPPINPEAIDTTCNSVELTWQPPRHDGGSKILGYIVEYQKVGDEEWRRANHTPESCPE TKYKVTGLRDGQSYKFRVLAVNEAGESDPAHVPEPVLVKDRLEPPELILDANMAREQHIR VGDTLRLSAIIKGVPFPKVTWKKEDREAPTKAQIDVTPVGSKLEIRNAAHEDGGIYSLTV ENPAGTKTVSVKVLVLDKPGPPRDLEVSEIRKDSCYLTWKEPLDDGGSVVTNYVVERKDV ATAQWSPLSTTSKKKSHMAKHLTEGNQYLFRVAAENQYGRGPFVETPKPIKALDPLHPPG PPKDLHHVDVDKTEVSLVWNKPDRDGGSPITGYLVEYQEEGDKDWIKFKTVKNLDCVVTG LQQGKTYRFRVKAENIIGLGLPDTTIPIECQEKLVPPSVELDVKLIEGLVVKAGTTVRFP AIIRGVPVPTAKWTTDGTEIKTDDHYTVETDSFSSVLTIKNCLRKDTGEYQLTVSNAAGT KTVAVHLTVLDVPGPPTGPINILDVTPEYMTISWQPPKDDGGSPVINYIVEKQDTRKGTW GVVSAGSSKLKLKVPHLQKGCEYVFRVKAENKMGVGPPLDSIPTVAKHKFSPPSPPGKPV VTDITENAATVSWTLPKSDGGSPITGYYVERREITGKWVRVNKTPIADLKFRVTGLYEGN TYEFRVFAENLAGLSNPSPSSDPIKACRPIKPPGPPINPKLKDKSKESADLVWTKPLSDG GSPILGYVVEYQKPGTAQWDRINKDELIRQCAFRVPGLIEGNEYRFRIRAANIVGEGEPR ELAESVIAKDILHPPEVELDVTCRDVITVRVGQTIRILARVKGRPEPDITWSKEGKVLVK DKRVDLIHDLPRVELQIKEAVRADHGKYIISAKNSSGHAQGSAIVNVLDRPGPCQNLKVS NVTKENCTISWENPLDNGGSEITNFIVEYRKPNQKGWSIVASDVTKRLVKANLLANNEYY FRVCAENKVGVGPTIETKTPILAINPIDRPGEPENLHIADKGKTFVYLKWRRPDYDGGSP NLSYHVERRLKGSADWERVHKGSIKETHYMVDKCVENQIYEFRVQTKNEGGESDWVRTEE VVVKEDLQKPVLDLKLSGVLTVKAGDTIRLEAGVRGKPFPEVAWTKDKDATDLTRSPRVK IDTSAESSKFSLTKAKRSDGGKYVITATNPAGSFVAYATVNVLDKPGPVRNLKITDVSSD RCTIRWDPPEDDGGCEIQNYILEKCESKRMVWSTYSANVLTPSATVTRLIEGNEYIFRVR AENKIGTGPPTESKPVIAKTKYDRPGRPDPPEVTKVSKEEMTVVWNAPEYDGGKSITGYY LEKKEKHAVRWVPVNKSAIPERRLKVQNLLPGHEYQFRVKAENEVGIGEPSLPSRPVVAK DPIEPPGPPTNFKVVDTTKSSITLAWGKPVYDGGAPIIGYVVEMRPKIADASPDEGWKRC NAAAQLIRMEFTVTSLDENQEYEFRVCAQNQVGIGRPAELKEAIKPKEILEPPEIDLDAS MRKLVVVRAGCPIRLFAIVRGRPAPKVTWRKVGIDNVVRKGQVDLVDTMAFLVIPNSTRD DSGKYSLTLVNPAGEKAVFVNVKVLDTPGPVADLKVSDVTKTSCHVSWAPPENDGGSQVT HYIVEKREAERKTWSTVTPEVKKTSFNVTNLVPGNEYFFRVTAVNEYGPGVPTDIPKPVL ASDPLSEPDPPRKLEVTEMTKNSATLAWLPPLRDGGAKIDGYIISYREEDQPADRWTEYS VVKDLSLIVTGLKEGKKYKFRVAARNAVGVSMPREAEGVYEAKEQLLPPKILMPEQITIK AGKKLRVEAHVYGKPNPICKWKKGDDEVVTSSHLAIHKADGSSVLIIKDVTRKDSGYYSL TAENSSGSDTQKIKVTVMDAPGPPQPPFDISEIDADACSLSWHIPLEDGGSNITNYIVEK CDVSRGDWVTALASVTKTSCRVGKLIPGQEYIFRVRAENRFGISEPLTSPKMLAKFPFDV PSEPKNARVTKVNKDCIFVAWDRPDSDGGSPITGYLIERKERNSLLWVKANDTIVRSTEY PCAGLVEGLEYSFRIYALNKAGSSPPSKPTEYVTARMPVDPPGKPEVVDVTKNSASLIWA RPKHDGGSRIIGYFVEACKLPGDKWVRCNTTPHQIPQEEYTATGLEENAQYQFRAIAKTA VNISQPSEPSDPVTILAENVPPRIELSVEMKSLLTVKAGTNVCLDATVFGKPMPTVSWKK DTTPIKQAEGIKMAMKRNLCTLELFSVNRKDSGDYTITAENSSGSKSATIKLKVLDKPGP PASVKINKMYADRAMLSWEPPLEDGGSEITNYIIDKRETSRPNWAQVSATVPITSCTVEK LIEGHEYQFRICAENKYGVGDPILTEPAIAKNPYDPPGRCDPPVISNITKDHMTVSWKAP ADDGGSPITGYLVEKRETQAVNWTKVNRKPVIERTLKATGLQEGTEYEFRVTAINKAGPG KPSDASKAVYAQDPLYPPGPPAFPKVYDTTRSSVSLSWGKPAYDGGSPIIGYLVEVKRAD SDHWVRCNLPEKLQKTRFEVTGLMENTEYQFRVYAVNKIGYSDPSDVPDKHCPKDILIPP EGELDAELRKTLILRAGVTMRLYVPVKGRPPPKITWSKPNVNLRERIGLDIKSTDFDTFL RCENVNKYDAGKYILTLENSCGKKEYTIVVKVLDTPGPPVNVTVKEVSKDSAYVTWDPPI IDGGSPIINYVVEKRDAERKSWSTVTTECSKTSFRVSNLEEGKSYFFRVFAENEYGIGDP GETRDAVKASETPGPVVDLKALAITKSSCTIGWKKPRSDGGSRITGYVVDFLTEENKWQR VMKSLSLQYSTKDLKEGKEYTFRVSAENENGEGTPSEIVVVAKDDVVAPDLDLKDLPDLC YLAKENSNFRLKIPIKGKPAPSVSWKKGEDPLATDTRVSVESTAVNTTLVVYDCQKSDAG KYTITLKNVAGTKEGTLSIKVVGKPGIPTGPIKFDEVTAEAMTLKWGPPKDDGGSEITNY VLEKRDSVNNKWVTCASAVQKTTFRVTRLHEGIEYTFRVSAENKYGVGEGLKSEPIVAKH PFDVPDAPPPPNIVDVRHDSVSLTWTDPKKTGGSPITGYHIEFKERNSLLWKRANKTPIR MKDFKVTGLTEGLEYEFRVMAINLAGVGKPSLPSEPVVALDPIDPPGKPEVISVTRNSVT LIWTEPKYDGGHKLTGYIVEKRDLPSKSWMKANHVNVPDCAFTVTDLVEGGKYEFRIRAK NTAGAISAPSESTGTIICKDEYEAPTIVLDPTIKDGLTVKAGDSIVLSAISILGKPLPKS SWSRAGKDIRPSDIAQITSTPTSSMLTVKYATRKDAGEYTITATNPFGTKEEHVKVSVLD VPGPPGPIEISNVSAEKATLTWTPPLEDGGSPIKAYVLEKRETSRLLWTVVSEDIQACRH VVTKLIQGNEYLFRVSAVNHYGKGEPVQSEPVKMVDRFGPPGPPGKPEISNVTKNTATVS WKRPTDDGGSEITGYHVERREKKGLRWVRATKTPVSDLRCKVTGLQEGNTYEFRVSAENR AGIGPPSDASNPVLMKDVAYPPGPPSNAHVTDTTKKSASLAWGKPHYDGGLEITGYVVEH QKVGDDAWIKDTTGTALRITQFVVPDLQTKEKYNFRISAINDAGVGEPAVIPNVEIVEKE VAPDFELDAELRRTLVVRAGLSIRIFVPIKGRPAPEVTWTKDNINLKHRANIENTESFTL LIIPECNRYDTGKFVMTIENPAGKKSGFVNVRVLDTPGPVLNLRPTDITKDSVTLHWDLP LIDGGSRITNYIVEKREATRKSYSTVTTKCHKCTYKVTGLTEGCEYFFRVMAENEYGVGE PTETTEPVRASEAPLPPDSLNIMDITKNTVSLAWPKPRHDGGSKITGYVIEAQRKGSDQW THISTVKGLECVVRNLTEGEEYTFQVMAVNSAGRSAPRESRPVIVKEQTMLPELDLRGIY QKLVIARAGDNIKVEIPVLGRPKPTVTWKKGDQILKQTQRVNVENTATSTILNINECVRS DSGPYPLTAKNTVGEVGDVITIQVHDIPGPPTGPIKFDEVSSDFVTFSWEPPENDGGVPI SNYVVEMRQTDSTTWVELATTVIRTTYKATRLTTGVEYQFRVRAQNRYGVGPGITSASVV ANYPFKVPGPPGTPQVTAVTKDSMTISWHEPLSDGGSPILGYHIERKERNGILWQTVSKA LVPGNIFKSTGLTDGIAYEFRVIAENMAGKSKPSKPSEPMFALDPIDPPGKPVPLNITRH TVALKWAKPEYTGGFKITSYVVEKRDLPNGRWLKANFSNILENEFTVSGLTEDAAYEFRV IAKNAAGAISPPSEPSDAITCRDDLEAPRIMVDVRFKDTITLKAGEAFKLEADVSGRPPP TMEWTKDGKELEGTGKLEIKIADFSTHLINKDSSRTDSGAYILTATNPGGFAKHIFNVKV LDRPGPPEGPLAVSDVTSEKCVLSWLPPLDDGGAKIDHYIVQKRETSRLAWTNVATEVQV TKLKVTKLLKGNEYIFRVMAVNKYGVGEPLESEPVLAVDPYGPPDPPKNPEVTTITKDSM VVCWGHPDSDGGSEIINYIVERRDKAGQRWVKCNKKALTDLRFKVSGLTEGHEYEFRIMA ENAAGISAPSATSPFYKACDTVFKPGPPGNPRVLDTSRSSISIAWNKPIYDGGSEITGYM VEIALPEEDEWQVVTPPAGLKATSYTITSLIENQEYKIRIYAMNSEGLGEPALVPGTPKA EERMLPPEIELDADLRKVVTIRACCTLRLFVPIKGRPAPEVKWAREHGESLDKASIESTS SYTLLVVGNVNRFDSGKYILTVENSSGSKSAFVNVRVLDTPGPPQNLKIKEVTKTSVTLT WEPPLLDGGSKIKNYIVEKRESTRKAYSTVATNCHKTSWKVDQLQEGCSYYFRVLAENEY GIGLPAETAESVKASERPLPPGKITLTDVTRNSVSLSWEKPEHDGGSRILGYIVEMQSKG SDRWATCATVKVTEATITGLIQGEEYSFRVSAQNEKGISDPRQLSVPVIAKDLVIPPAFK LLFNTFTVLAGEDLKIDVPFIGRPPPAVTWHKDDIPLKQTTRVNAESTENNSLLTIKEAC REDVGHYTVKLTNSAGEATETLNVIVLDKPGPPTGPVKMDEVTADSVTLSWEPPKYDGGS SINNYIVEKRDTSTTAWQIVSATVARTTIKACRLKTGCEYQFRIAAENRYGKSTYLNSEP VVAQYPFKVPGPPGTPFVTLASKDSMEVQWHEPVSDGGSKVIGYHLERKERNSILWVKLN KTPIPQTKFKTTGLEEGIEYEFRVSAENIVGIGKPSKPSECYAAHDPCDPPGRPEAIIVT RNSVTLQWKKPTYDGGSKITGYIVEKKELPDGRWMKASFTNIIDTQFEVTGLLEDHRYEF RVIARNAAGVFSEPSESTGAITARDEVEPPRISMDPKYRDTVVVQAGESFKIDADIYGKP IPTTQWVKGDQELSSTARLEIKSTDFATSLSVKDAVRVDSGNYILKAKNVAGEKSVTINV KVLDRPGPPEGPVAISGVTAEKCTLAWKPPLQDGGSDITNYIVERRETSRLVWTLVDANV QTLSCKVLKLLEGNEYIFRIMAVNKYGVGEPLESESLIAKNPFVVPDAPKAPEVTAVTKD SMIVVWERPASDGGSEILGYVLEKRDKEGIRWTRCHKRLIGELRLRVTGLLENHNYEFRV SAENAAGLSEPSPPSAYQKACDPIYKPGPPNNPKVMDVTRSSVFLSWTKPIYDGGCEIQG YIVEKCDVSVGEWTMCTPPTGINKTNLEVEKLLEKHEYNFRICAINKAGVGEHADVPGPV MVEEKLEAPDIDLDLELRKVINIRAGGSLRLFVPIKGRPTPEVKWGKVDGDIRDAAIIDV TSSFTSLVLDNVNRYDSGKYTLTLENSSGTKSAFVTVRVLDTPSPPVNLKVTEITKDSVS ITWEPPLLDGGSKIKNYIVEKREATRKSYAAVVTNCHKNSWKIDQLQEGCSYYFRVTAEN EYGIGLPARTADPIKVAEVPQPPGKITVDDVTRNSVSLSWTKPEHDGGSKIIQYIVEMQA KNTDKWSECARVKSLDAVITNLTQGEEYLFRVIAVNEKGRSDPRSLAVPIIAKDLVIEPD VRPAFSSYSVQVGQDLKIEVPISGRPKPSISWTKDGMPLKQTTRINVTDSLDLTTLSIKE THKDDGGQYGITVSNVVGQKTAAIEIITLDKPDPPKGPVKFDEISAESITLSWNPPLYTG GCQITNYIVQKRDTTTTVWDVVSATVARTTLKVTKLKTGTEYQFRIFAENRYGQSFALES EPVVAQYPYKEPGPPGTPFVTAISKESMVVQWHEPINNGGSPVIGYHLERKERNSILWTK VNKTIIHDTQFKALNLEEGIEYEFRVYAENIVGVGKASKNSECYVARDPCDPPGTPEAII VKRNEITLQWTKPVYDGGSMITGYIVEKRDLPEGRWMKASFTNVIETQFTVSGLTEDQRY EFRVIAKNAAGAISKPSDSTGPITAKDEVELPRISMDPKFRDTIVVNAGETFRLEADVHG KPLPTIEWLRGDKEIEESARCEIKNTDFKALLIVKDAIRIDGGQYILRASNVAGSKSFPV NVKVLDRPGPPEGPVQVTGVTAEKCTLAWSPPLQDGGSDISHYVVEKRETSRLAWTVVAS EVVTNSLKVTKLLEGNKYIFRIMAVNKYGVGEPLESAPVLMKNPFVLPGPPKSLEVTNIA KDSMTVCWNRPDSDGGSEIIGYIVEKRDRSGIRWIKCNKRRITDLRLRVTGLTEDHEYEF RVSAENAAGVGEPSPATVYYKACDPVFKPGPPTNAHVVDTTKNSITLAWSKPIYDGGSEI LGYVVEICKADEEEWQIVTPQTGLRVTRFEIAKLIEHQEYKIRVCALNKVGLGEAASVPG TVKPEDKLEAPELDLDSELRKGIVVRAGGSARIHIPFKGRPTPEITWSKEEGEFTDKVQI EKGINFTQLSIDNCDRNDAGKYILKLENSSGSKSAFVTVKVLDTPGPPQNLAVKEVRKDS VLLVWEPPIIDGGAKVKNYVIDKRESTRKAYANVSSKCNKTSFRVENLTEGAIYYFRVMA ENEFGVGVPTETSDAVKASEPPSPPGKVTLTDVSQTSASLMWEKPEHDGGSRILGYVVEM QPKGTEKWSVVAESKVCNAVVSGLSSGQEYQFRVKAYNEKGKSDPRVLGIPVIAKDLTIQ PSFKLPFNTYSVQAGEDLKIEIPVIGRPRPKISWVKDGEPLKQTTRVNVEETATSTILHI KESSKDDFGKYSVTATNSAGTATENLSVIVLEKPGPPVGPVKFDEVSADFVVISWEPPAY TGGCQISNYIVEKRDTTTTNWQMVSATVARTTIKISKLKTGTEYQFRIFAENRYGKSTPL DSKPVVVQYPFKEPGPPGTPFVTSISKDQMLVQWHEPVNDGGSKVTGYHLEQKEKNSILW VKLNKIPIQDTKFKTTGLDEGLEYEFRVSAENIVGIGKPSKVSECYVARDPCDPPGRPEA IVITRNSVTLKWKKPVYDGGSKITGYIVEKKDLPDGRWMKASFTNVVETEFTVTGLVEDQ RYEFRVIARNAADNFSEPSESSGAITARDEIDAPNASLDPKYRDVIIVHAGETFVLEADI RGKPIPDIIWSKDGNELEETAARMEIKSTLQKTTLIVKDCIRTDGGQYTLKLSNVGGTKT IPITVKVLDRPGPPEGPLKVTGVTAEKCYLAWNPPLQDGGASISHYIIEKRETSRLSWTQ VSNEVQALNYKVTKLLPGNEYIFRVMAVNKYGIGEALESEPVIACNPYKRPGPPSTPEAS AITKDSMVLTWTRPVDDGGAEIEGYILEKRDKEGIRWTKCNKKTLTDLRFRVTGLTEGHS YEFRVAAENAAGVGEPSEPSVFYRACDALYPPGPPSNPKVTDTSRSSVSLAWNKPIYDGG APVRGYVIELKKAAADEWTTCTPPSGLQGKQFTVTKLKENTEYNFRICAFNTEGVGEPAT IPGSVVAQERMEAPEIELDADLRKVVTLRASATLRLFVTIKGRPEPEVKWEKAEGILTER AQIEVTSSYTMLVIDNVTRFDSGRYNLTLENNSGSKTAFVNVRVLDSPSAPVNLTIREVK KDSVTLSWEPPLIDGGAKITNYIVEKRETTRKAYATITNNCTKNTFKIENLQEGCSYYFR VLASNEYGIGLPAETAEPVKVSEPPLPPGRVTLVDVTRNTATIKWEKPESDGGSKITGYV VEMQTKGSEKWSACTQVKTLETTISGLTAGEEYVFRVAAVNEKGRSDPRQLGVPVIAKDI EIKPSVELPFNTFNVKANDQLKIDIPFKGRPQATVAWKKDGQVLRETTRVNVASSKTVTT LSIKEASREDVGTYELCVSNTAGSITVPITVIVLDRPGPPGPIRIDEVSCDNVSISWNPP EYDGGCQISNYIVEKRETTSTTWQVVSQAVARTSIKIVRLTTGSEYQFRVCAENRYGKSS YSESSAVVAEYPFSPPGPPGTPKVVHATKSTMVVSWQVPVNDGGSQVIGYHLEYKERSSI LWSKANKVLIADTQMKVSGLDEGLMYEYRVYAENIAGIGKCSKACEPVPARDPCDPPGQP EVTNITRKSVSLKWSKPRYDGGAKITGYIVERRELPDGRWLKCNFTNVQETYFEVTELTE DQRYEFRVFARNAADSVSEPSESTGPITVKDDVEAPRIMMDVKFRDVIIVKAGEVLKINA DIAGRPLPVISWAKDGVEIEERAKTEIVSTDYTTTLTVKDCVRRDTGQYVLTLKNVAGTR TMAVNCKVLDKPGPPAGPLEINGLTAEKCSLSWGRPQEDGGADIDYYIVEKRETSRLAWT ICEAELRTTSCKVTKLLKGNEYIFRVTGVNKYGVGEPLESMAVKALDPFTTPSPPTSLEI TSVTKDSMTLCWSRPETDGGSDISGYIIERREKNSLRWMRVNKKPVYDLRVKSTGLREGC EYEYRVFAENAAGLSLPSETSPLVRAEDPVFLPSPPSKPKIVDSGKTTITIGWVKPLFDG GAPITGYTVEYKKSEETDWKVAIQSFRGTEYTMSGLTTGDEYVFRVRSLNKMGASDPSDS SDPQVAKEREEEPVFDVDSEMRKTLIVKAGSSFTMTVPFRGRPIPNVSWSKPDTDLRTRA YIDSTDSRTLLTIENANRNDSGKYTLTIQNVLSAASMTFVVKVLDSPGPPANITVREVTK ETAMLSWDVPENDGGAPVKNYHIEKREASKKAWVSVTNNCNRLSYKVTNLQEGAIYYFRV SGENEFGVGVPAETKEGVKITEKPSPPEKLGVTSVSKDSVSLSWLKPEHDGGSRIIHYVV EALEKGQKTWVKCAVVKTTHHVVSGLRESHEYFFRVFAENQAGLSDPRELLLPVLIKDQL EPPEIDMKNFPSHTVYVRAGSNLKVDIPISGKPLPKVTLSRDGVPLKATMRFNTEITAEN LTINLKESVTTDAGRYEITAANSSGTTKTFINIIVLDRPGPPTGPVAISDITEESVTLKW EPPKYDGGSHVTNYIVLKRETSTAVWSEVSATVARTMIKVMKLTTGEEYQFRIKAENRFG ISDHIDSVCVVVKLPYTTPGPPSTPWVSNVTRESITVGWHEPVSNGGSAVTGYHLEMKDR NSILWQKANKMIIRTTHFKVTTISAGLIYEFRVYAENAAGIGKPSHPSEPVLAIDACEPP RNVRITDISKNSVNLSWQQPAFDGGSKITGYIVERRDLPDGRWTKASFTNVIETQFTVSG LTQNSQYEFRVFARNAVGSVSNPSEVVGPITCIDSYGGPVIDLPLEYTEVVKYRAGTSVK LRAGISGKPEPTIEWYKDDKELQTNALVCVENSTDLASILIKDANRLNSGSYELKLRNAM GSASATIRVQILDKPGPPGGPIEFKTVTAEKITLLWRPPADDGGAKITHYIVEKRETSRV VWSMVAENLEECIVTTTKIIKGNEYVFRVRAVNKYGIGEPLESEPVVAKNAFVTPGPPSI PEVTKITKNSMTVVWDRPTVDGGSEINGYFLERRDKKSLAWLKVLKETIRDTRQKVTGLT ENSDYQYRVCAVNAAGVGPFSEPSDFYKAADPIDPPGPPAKIRIADSTKSSITLGWSKPV YDGGSDVTGYVVEMKQGDEEEWTIVSTRGEVRTTEYVVSNLKPGVNYYFQVSAVNCAGQG EPITMTEPAQAKDVLEEPEIDLDVALRTSVIAKAGEDVQLLIPFKGRPPPTVTWRKDEKN LGSDTRYSIQNTDSSSLLVIPQVTRNDTGKYILTIENGVGQPKSSTVSVKVLDTPAACQK LQVKHVSLGTVTLLWDPPLIDGGSPIINYVIEKRDATKRTWSVVSHKCSGTSFKVTDLSE KTPFFFRVLAENEIGIGEPCETTEPVKAAEVPAPIRDLSMKDSTKTSVVLSWTKPDFDGG SIITDYLVERKGKGEQAWSHAGISKTCEIEIGQLKEQSVLEFRVSARNEKGQSDPVTIGP LTVKELVITPEVDLSEIPGAQISVRIGHNVHLELPYKGKPKPSISWLKDGLPLKESEYVR FSKTENKITLSIKNSKKEHGGKYTVILDNAVCRNSFPITIITLGPPSKPKGPIRFDEIKA DSAIMSWDIPEDDGGGEITCYSIEKREASQTNWKMVCSSVARTTFKVSNLVKDSEYQFRV RAENRYGVSEPLASNIIVAKHQFRIPGPPGKPVIYNVTSDGMSLTWDAPVYDGGSEVTGF HVEKKERNSILWQRVNTSPISGREYRATGLIEGLDYQFRVYAENSAGLSSPSDPSKFTLA VSPVDPPGTPDYIDVTRETITLKWNPPLRDGGSKIVAYSIEKRQGSDRWVRCNFTDVSEC QYTVTGLSPGDRYEFRIIARNAVGTISPPSQSSGLIMTRDENVPPTVEFGPEYFDGLVIK SGDSLRIKALVQGRPVPRVTWFKDGVEIERRMNMEITDVLGSTSLFVRDATRDHRGVYTV EAKNVSGSTKAEVTVKVQDTPGKVVGPIRFTNITGEKMTLWWEAPLNDGCAPVTHYIIEK RETSRLAWALIEDNCEALSYTAIKLITGNEYQFRISAVNKFGVGRPLESDPVVAQIQYTI PDAPGVPEPSNVTGNSITLTWTRPESDGGSEIQHYILERREKKSTRWVKVISKRPISETR FKVTGLVEGNEYEFHVMAENAAGIGPASGISRLIKCREPVNPPSAPSVVKVTDTSKTTVS LEWARPVFDGGMEIIGYIIEMCKADLGDWHKVNTEPCVKTRYTVTDLQAGEEYKFRVSAV NGAGKGDSCEVTGTIKAVDRLSAPELDIDANFKQTHIVRAGVSIRLFIAYQGRPTPTAVW SKPDSNLSIRADIHTTDSFSTLTVENCNRNDAGKYTLTVENNSGKKSITFTVKVLDSPGP PGPITFKDVTRGSATLMWDAPLLDGGARIHHYVIEKREASRRSWQVVSEKCTRQILKVSE LTEGVPYYFRVSAENEYGVGEPYEMPEPIVATEQPAPPRRLDVVDTSKSSAVLAWLKPDH DGGSRITSYLLEMRQKGSDFWVEAGHTKQLTFTVERLVENTEYEFRVKAKNDAGYSEPRE AFSSVIIKEPQIEPTADLTGITNQLITCKAGSTFTIDVPISGRPAPKVTWKLEEMRLKET DRMSIATTKDRTTLTVKDSMRGDSGRYFLTLENTAGVKTFTITVVVIGRPGPVTGPIEVS SVSAESCVLSWTEPKDDGGTEITNYIVEKRESGTTAWQLINSSVKRTQIKVTHLTKYKEY CFRVSSENRFGVSKPLESVPIVAEHPFVPPSAPTRPEVYYVSANAMSIRWEEPYHDGGSK IVGYWVEKKERNTILWVKENKVPCLECNYKVTGLVEGLEYQFRTYALNAAGVSKASEASR PIMAQNPVDPPGRPEVTDVTRSTVSLIWSAPVYDGGSKVVGYIIERKPVSEVGDGRWLKC NYTIVSDNFFTVTALSEGDTYEFRVLAKNAAGVISKGSESTGPVTCRDEYAPPKAELDAR LQGDLVTIRAGSDLVLDAAVGGKPEPKIIWTKGDKELDLCEKISLQYTGKRATAVIKYCD RSDSGKYTLTVKNASGTKSVSVMVKVLDSPGPCGKLTVSRVTEEKCTLAWSLPQEDGGAE ITHYIVERRETSRLNWVIVEGECLTASYVVTRLIKNNEYTFRVRAVNKYGLGVPVESEPI VARNSFTIPSQPGIPEEVGAGKEHIIIQWTKPESDGGNEISNYLVDKREKKSLRWTRVNK DYVVYDTRLKVTSLMEGCDYQFRVTAVNAAGNSEPSEASNFISCREPSYTPGPPSAPRVV DTTKRSISLAWTKPMYDGGTDIIGYVLEMQEKDTDQWCRVHTNATIRNNEFTVPDLKMGQ KYSFRVAAVNAKGMSDYSETTAEIEPVERLEIPDLELADDLKKTVIVRAGASLRLMVSVS GRPSPVITWSKKGIDLANRAIIDNTESYSLLIVDKVNRYDAGKYTIEAENQSGKKSATVL VKVYDTPGPCPSVSVKEVSRDSVTITWEIPTIDGGAPVNNYIIEKREAAMRAFKTVTTKC SKTLYRISGLVEGTMYYFRVLPENIYGIGEPCETSDAVLVSEVPLVPTKLEVVDVTKSTV TLAWEKPLYDGGSRLTGYVLEACKAGTERWMKVVTLKPTVLEHTVISLNEGEQYLFRVRA QNEKGVSEPREIVTPVTVQDLRVLPTIDLSTMPQKTIHVPAGRPIELVIPITGRPPPTAS WFFAGSKLRESERVTVETHTKVTKLTIRETTIRDTGEYTLELKNVTGTTSETIKVIILDK PGPPTGPIKIDEIDATSVTISWEPPELDGGAPLSGYVVEQRDAHRPGWLPVSESVTRPTF KFTRLTEGNEYVFRVAATNRFGIGSYLQSEVIECRSSISIPGPPETLQIFDVSRDGMTLT WYPPEDDGGSQVTGYIVERKEVRADRWVRVNKVPVTMTRYRSTGLIEGLEYEHRVTAINA RGTGKPSRPSKPTVAMDPIAPPGKPQNPRVTDTTRTSVSLAWSVPEDEGGSKVTGYLIEM QKVDQREWTKCNTTPTKIREYTLTHLPQGAEYRFRVLACNAGGPGEPAEVPGTVKVTEML EYPDYELDERYQEGVFVRQGGVIRLTIPIKGKPFPVCKWTKEGQDISKRAMIATSETHTE LVIKEADRNDSGTYDLVLENKCGKKTVYIKVKVIGSPNTPEGPLEYDDIQARSVRVSWRP PADDGGADILGYILERREVPKAAWYTIDSRVRGTSLVVKGLKENVEYHFRVSAENQFGIS KPLKSEEPVIPKTPLNPPEPPSNPPEVLDVTKSSVSLSWSRPKDDGGSRVTGYYIERKET STDKWVRHNKTQITTTMYTVTGLVPDAEYQFRIIAQNDVGLSETSPASEPVVCKDPFDKP SQPGELEILSISKDSVTLQWEKPECDGGKEILGYWVEYRQSGDSAWKKSNKERIKDRQFT IGGLLEATEYEFRVFAENETGLSRPRRTAMSVKTKLTSGEAPGVRKEMADVTTKLGEAAQ LSCQIVGRPLPDIKWYRFGKELIQSRKYKMSSDGRTHTLTVMTDEQEDEGVYTCVATNEV GEVESSSKLLLQAAPQFHPGYPLKEKYYGAVGSTLRLHVMYIGRPVPAMTWFHGQKLLQN SEKITIENTEHYTHLVMKNVQRKTHAGKYKVQLSNAFGTVDATLDVEIQDKPDKPTGPIV IEALLKNSVVISWKPPADDGGSWITNYVVEKCEAKEGAEWQLVSSAISVTTCRIVNLTEN AGYYFRVSAQNTFGISEPLEVASIVIIKSPFEKPGVPGKPTITAVTKDSCVVAWKPPASD GGAKIRNYYLERREKKQNKWIAVTTEEIRETVFSVQNLIEGLEYEFRVKCENLGGESEWS EISEPVTPKSDVPIQAPHFKEELRNLNVRYQSNATLVCKVTGHPKPIVKWYRQGKEIIAD GLKYRIQEFKGGYHQLIIASVTDDDATVYQVRATNQGGSVSGTASLEVEVPAKIHLPKTL EGMGAVHALRGEVVSIKIPFSGKPDPVITWQKGQDLIDNNGHYQVIVTRSFTSLVFSNGV ERKDAGFYVVCAKNRFGIDQKTVELDVADVPDPPRGVKVSDVSRDSVNLTWTEPASDGGS KVTNYIVEKCATTAERWLRVGQARETRYTVINLFGKTSYQFRVIAENKFGLSKPSEPSEP TVTKEDKTRAMNYDDEVDETREVTTTKASHSKTKELYEKYMIAEDLGRGEFGIVHRCVET SSKRTFMAKFVKVKGTDQVLVKKEISILNIARHRNILYLHESFESMEELVMIFEFISGLD IFERINTSAFELNEREIVSYVRQVCEALEFLHSQNIGHFDIRPENIIYQTRKNSTIKIIE FGQARQLKPGDNFRLLFTAPEYYAPEVHQHDVVSTATDMWSLGTLVYVLLSGINPFLAET NQQMIENIMNAEYTFDEEAFKEISLEAMDFVDRLLVKERKSRMTASEALQHPWLKQRIDR VSTKVIRTLKHRRYYHTLIKKDLNMVVSAARISCGGAIRSQRGVSVAKVKVASIEIGPVS GQIMHAIGEEGGYVKYVCKIENYDQSTQVTWYFGVRQLENSEKYEITYEDGVATMYVKDI TKFDDGTYRCKVVNDYGEDSSYAELFVKGVREVYDYYCRRTKKVKRRTDAMRLLERPPEF TLPLYNKTAYVGENVRFGVTITVHPEPRVTWYKSGQKIKPGDDEKKYTFESDKGLYQLTI NSVTTDDDAEYTVVARNKHGEDSCKAKLTVTLHPPPTETTLRPMFKRLLANAECHEGQSV CFEIRVSGIPAPTLKWEKDGQPLSLGPHIEIVHEGLDYYALHIRDTLPEDTGYYRVTATN TAGSTSCQAHLQVERLRYVKQEFQSKEERERHVQKQIDKTLRMAEILSGTETVPLTPVAQ EALREAAILYKPAVSTKTVKGEYRLQTEEKKEERKLRMPYEVPEPRRFKQATVEEDQRIK QFVPMSDMKWYKKIRDQYEMPGKLDRVVQKRPKRIRLSRWEQFYVMPLPRITDQYRPKWR IPKLTQDDLEMVRPARRRTPSPDYDLYYYRRRRRSLGDMSDEELLLPIDDYLAMKRTEEE RLRLEEELELGFSASPPSRSPPRFELSSLRYSSPPAHVKVEDRRRDFRYSTYHVPTKEET STSYAELRERHAQASYRQPKLRQRIMAEKEEEELLRPVTTTQRLSEYKSELDYMSKEEKS KKKSKRQRQVTEITEIEEEYEISRRAQRESSSSVSRLLRRRRSLSPTYIELMRPVSELIR SHPRPAEEYEDDAERRSPTPERTRPRSPSPVSSERSLSRFERSARFDIFSRYESMKAALK TQKTSERKYEVLSQQPFTLDHAPRITLRMRSHRVPCGQNTRFILNVQSKPTAEVKWYHNG VELQESSKIHYTNTSGVLTLEILDCQTEDGGTYRAVCTNYKGEASDYATLDVTGGAYTTY ASQRRDEEVPKSVFPELTKTEAYAVSSFKRTSELEAASSVREVKSQMTETRESLSTYEHY ASAEMKSATSEEKSLEEKATVRKIKTTLAARILTKPRSITVHEGESARFSCDTDGEPVPT VTWLREGQVVSTSARHQVTTTKYKSTFEISSVQASDEGNYSVVVENSDGKQEAQFTLTVQ KARVIEKAVTSPPRVKSPEPRVKSPETVKSPKRVKSPEPVTSHPKAVSPTETKPTEKGQH LPVSAPPKITQSLKAEASKDIAKLTCAVESSALCAKEVAWYKDGKKLKENGHFQFHYSAD GTYELKIHNLSESDCGEYVCEVSGEGGTSKTSFQFTGQSFKSIHEQVSSISETTKSVQKT AESPEAKKQEPIAPESISSKPVIVTGLRDTTVSSDSVAKFTIKVTGEPQPTITWTKDGKA IAQGSKYKLSSNKEEFILEILKTETSDGGLYACTVTNSAGSVSSSCKLTIKAVKDTEAQK VSTQKTSEVTSQKKASAQEEISQKALTSEEIKMSEVKSHETLAIKEEASKVLIAEEVKRS AAASLEKSIVHEEVTKTSQASEEVKTHAEIKTLSTQMNITKGQRATLKANIAGATDVKWV LNGTELPNSEEYRYGVSGSDQTLTIKQASHREEGILSCIGKTSQGVVKCQFDLTLSEELS DAPSFITQPRSQNINEGQNVLFSCEVSGEPSPEIEWFKNNLPISISSNISVSRSRNVYTL EIRNAAVSDSGKYTIKAKNFHGQCSATASLTVLPLVEEPPREVVLKTSSDVSLHGSVSSQ SVQMSASKQEASFSSFSSSSASSMTEMKFASMSAQSMSSMQESFVEMSSSSFMGKSSMTQ LESSTSRMLKAGGRGIPPKIEALPSDISIDEGKVLTVACAFTGEPTPEITWSCGGRKIQN QEQQGRFHIENTDDLTTLIIMDVQKQDGGLYTLSLGNEFGSDSATVNINIRSM >ENSMUSP00000116594.1 pep:known chromosome:GRCm38:2:76814216:76932240:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000134720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] PDQEMPVYPPAIVTPLQDTVTSEGRPARFQCQVSGTDLKVSWYCKDKKIKPSRFFRMTQF EDTYQLEIAEAYPEDEGTYAFVANNAVGQVSSTATLRLEAPESILHERIGQQIEMEMKAA PVIKRRIEPLEVALGHLAKFTCEIQGAPNVRFQWFKAGREIYESDKCSIRSSNYVSSLEI LRTQVVDCGEYTCKASNEYGSVSCTATLTVTEAYPPTFLSRPKALTTFVGKAAKFLCTVS GTPVIEIIWQKDGAALSPSPDCRVTDADNKHSLELSNLTVQDRGIYSCKASNKFGADICQ AELTIIDKPHFIKELEAVQSAINKKIHLECQVDEDRKVTITWSKDGQKLPAGKDYKIYFE DKIASLEIPLAKLKDSGTYTCTASNEAGSSSSSAAVAVREPPSFVKKVDPSYLMLPGESA RLHCKLKGSPVIQVTWFKNNKELSESNTVRMSFVNSEAILDITDVKVDDSGTYSCEATND VGSDSCSTEVVIKEPPSFIKTLEPADIVRGANALLQCEIAGTGPFEVNWFKDKKQIRSSK KYRLFTQKTFVYLEISSFNSADVGDYECVVANEVGKCGCVATHLLKEPPTFVKKVDDFTA LAGQTVTLQAAVRGSEPISVMWMKGQEVIKEDGKIKMSFSNGVAVLTIPDVQISLGGKYT CLAENEAGSQTSVGELIVKEPAKIIERAELIQVTAGDPATLEYTVSGTPELKPKWYKDGR PLVASKKYRISFKNNIAQLKFYSAELHDSGQYTFEISNEVGSSSCETTFTVLDRDIAPLF TKPLRNVDSVVGGACRLDCKIAGSLPMRVSWFKDGKELTASDRYQIAFVEGTASLEISRV DMNDAGNFTCRATNSVGSKDSSGALIVQEPPSFVTKPGSRDVLPGSAVCLKSAFQGSAPL TIKWFKGDKELVSGGSCYITKETSESSLELYAVKTSDSGTYTCKVSNVAGSVECSADLFV KEPATFIEKLEPSQLLKKGDGTQLACKVTGTPPIKITWFANDRELRESSKHKMSFAESTA VLRLTDVAIEDSGEYMCEAQNEAGSDHCTGIVIVKESPYFTKEFKSIEVLKEYDVMLLAE VAGTPPFEITWFKDNTTLRSGRKYKTFLQDQLVSLQVLKFVAADAGEYQCRVTNEVGSST CSARVTLREPPSFIKKIEATSSLRGGTAAFQATLKGSLPITVTWLKDNDEITEDDNIRMT FENNVASLYLSGIEVKHDGKYVCQAKNDAGIQRCSALLSVKEPATIMEEAVSIDVTQGDP ATLQVKFSGTKEISAKWFKDGQELTLGPKYKISVTDTVSILKIISTEKKDSGEYTFEVQN DVGRSSCKASINVLDLIIPPSFTKKLRKMDSIKGSFIDLECIVAGSHPISIQWFKDDQEI SASDKHKFSFHDNTAFLEISQLEGTDSGTYTCSATNKAGHSQCSGHLTVKEPPYFVEKPQ SQDVNPGTRVQLKALVGGTAPMTIKWFKDNKELHPGAARSVWKDDTSTILELFSAKAADS GTYICQLSNDVGTTSSKATIFVKEPPQFIKKPSPVLVLRNGQSTTFECQVTGTPEIRVSW YLDGNEITDLRKYGISFVDGLATFQISNARVENSGTYVCEARNDAGTASCSIELKVKEPP IFIRELEPVEVVKDSDVELECEVMGTTPFEVTWLKNNKEIRSGKKYTMSEKMSVFYLHIT KCDPSDVGEYQCIIANEGGSCACSARVALKEPPSFIKKIENVTTVLKSSATFQSTVAGSP PISITWLKDDQILEENDNVHISFEDSVATLQVRSVDNGHSGRYTCQAKNESGIERCYAFL LVQEPAQIIEKAKSVDVTEKDPVTLECVVAGTPELKVKWLKDGKQIVPSRYFSMSFENNV ASFRIQSVMKQDSGQYTFKVENDFGSSSCDAYLRVLDQDIPPSFTKKLTKMDKVLGSSIH MECKVSGSLPISAQWFKDGKEISTSAKYRLVCHENTVSLEVSNLELEDTANYTCKVSNVA GDNACSGILTVKEPPSFLVKPERQQAIPDSTVEFKAVLKGTPPFKIKWLKDDVELVSGPK CFIGLEGSTSFLNLYSVDSSKTGQYTCQVTNDVGSDSCTTMLLVTEPPKFVKKLEASKII KAGDSARLECKITGSPEIQVVWYRNEHELTASDKYQMTFIDSVAVIQMNSLGTEDSGDFI CEAQNPAGSTSCSTKVIVKEPPVFSSFPPIVETLKNTEVSLECELSGTPPFEVVWYKDKR QLRSSKKYKVASKNFHASIHILNVESTDIGEYHCKAQNEVGSDACVCAVKLKEPPKFISK LNSLTVVAGEPAELQASIEGAQPISVQWLKEKEEVIRESENIRISFVNNVATLQFAKVEP ANAGKYICQVKNDGGVRENMATLTVLEPAVIIEKAGSMTVTVGETCALECKVAGTPELSV EWYKDGKLLTSSQKHKFSFYNKISSLKILSVEKEDAGTYTFQVQNNVGKSSCTAVVDVSD RMVPPSFTRRLKDTGGVLGTSCILECKVAGSSPISIAWFHEKTKIVSGAKYQTTFSDNVC TLQLNSLDSSDMGSYTCVAANVAGSDECRALLTVQEPPSFVKEPEPLEVLPGKNITFTSV IRGTPPFKVGWFRGARELVKGNRCNIYFEDTVAELELFNIDISQSGEYTCVVSNNAGQAS CTTRLFVKEPATFVKKLSDHSVEPGKSIILEGTYTGTLPISVTWKKDGVSITPSERCNIV TTEKTCILEILSSTKGDAGHYSCEIENEAGRDACDALVSTLEPPYFVTELEPLEASVGDS VSLQCQVAGTPEITVSWFKGDTKLRSTPEYRTYFTNNVATLVFNKVSINDSGEYTCMAEN SIGTAASKTIFRIQERQLPPSFARQLKDIEQTVGLPVTLTCRLNGSAPIQVCWYRDGVLL RDDENLQMSFVDNVATLKILQTDLSHSGQYSCSASNPLGTASSTARLTAREPKKSPFFDI KPVSIDVIAGESADFECHVTGAQPMRVTWSKDNKEIRPGGNYTITCVGNTPHLRILKVGK GDSGQYTCQATNDVGKDMCSAQLSVKEPPKFIKKLDASKVAKQGESIQLECKISGSPEIK VVWFRNDSELHESWKYNMSFVNSVALLTINEASAEDTGDYICEAHNGVGDASCSTALKVK APPVFTQKPPPVGALKGSDVILQCEISGTPPFEVVWVKDRKQVRSSKKFKITSKNFDTSL HIFNLEAPDIGEYHCKATNEVGSDTCACTVKFKEPPRFVKKLSDASTLIGDPVELQAVVE GFQPISVVWLKDKGEVIRESENVRISFVDNIATLQLGSPEASQSGKYVCQIKNDAGMREC SAVLTVLEPATIVEKPEPMTVTTGNPFTLECVVAGTPELSAKWFKDGRELSSGSRHHITF VRNLASLKIPSAEMNDKGLYTFEVENRVGKSSCTVSVHVSDRVVPPSFVRRLKDTSATLG ASVVLECRVSGSAPISVGWFLDGNEIISSPKCQSSFADNVCTLTLSSLEPSDTGAYTCVA ANVAGQDESSAVLTVQEPPSFEQTPDSVEVLPGMSLTFTSVIRGTPPFKVKWFKGSRELV SGEACTISLEDFVTELELLEVEPGQSGDYSCLVTNDAGSASCTTHLFVKEPATFVKRLAD TSVETGSPIVLEATYSGTPPISVSWMKNEYPLSQSPNCGITTTEKSSILEILESTIEDYA QYACLIENEAGQDICEALVSVLEPPYFIEPLEHVEAAIGEPITLQCKVDGTPEIRISWYK EHTKLRSAPAYKMQFKNNVASLVINKVDHSDVGEYTCKAENSVGAVASSAVLVIKERKLP PSFARKLKDVHETLGFPVAFECRINGSEPLQVSWYKDGELLKDDANLQMSFVHHVATLQI LQTDQSHVGQYNCSASNPLGTASSSAKLILSEHEVPPFFDLKPVSVDLALGESGSFKCHV TGTAPIKITWAKDNREIRPGGNYKMTLVENTATLTVLKVAKGDAGQYTCYASNVAGKDSC SAQLGVQEPPRFIKKLDQSRIVKQDEYTRYECKIGGSPEIKVLWYKDEVEIQESSKFRMS FEDSVAILEMHSLSVEDSGDYTCEARNAAGSASSSTSLKVKEPPVFRKKPFPVETLKGAD VHLECELQGTPPFQVSWHKDKRELRSGKKYKIMSENLLTSIHILNVDTADIGEYQCKATN DVGSDTCVGSVTMKAPPQFVKKLTDISTIIGKEVQLQTTIEGAEPISVAWFKDKGEIVRE SDNIWISYSENIATLQFSRAEPANAGKYTCQIKNDAGMQECYATLSVLEPAAIVEKPESI KVTTGDTCTLECTVSGTPELSTKWFKDGKELTSDNKYKISFFNKVSGLKIINVVPGDSGV YSFEVQNPVGKDSCKVSIQVSDRIIPPSFTRKLKETNGLSGSSVVMECKVYGSPPISVLW FHDGNEISSGRKYQTTLTDNTCALTVNMLEEADAGDYTCIATNVAGSDECSAPLTVREPP SFVQKPDPMDVLTGSNVTFTSIVKGSPPFTVSWFKGSTELVPGARCNVSLQDSVGELELF DVDTSQSGEYTCIVSNEAGRASCTTRLFVKAPAIFVKRLNDYSIEKGKPLILEGTFSGTP PISVTWKKNGINVIASQRCNITTTEKSAILEILSSTVEDSGQYNCYIENASGKDSCSAQI LILEPPYFVKQLEPVKVTVGDSASLQCQLAGTPEIGVSWYKGDTKLRPTATCKMHFKNNV ATLVFTQVDSSDSGEYICRAENSVGEVSSSTFLTVQEQKLPPSFSRQLRDVQETVGLPVV FECAVSGSEPISVSWYKDGKPLKDSPNIQTSFLDNIATLNIFKTDRSLSGQYSCTATNPI GSASSGAKLILTEGKNPPFFDIPLAPMDAVVGESADLECHVTGTQPIKVTWAKDNREIRS GGNYQISYLENSAHLTIVKVDKGDSGQYTCYAVNEVGKDSCTAQLNIKERLIPPSFTKKL SETVEETEGNSFKLEGRVAGSQPITVAWYKNNVEIHPTSNCEIMFKNNALLLQVKRASMA DAGLYTCKATNDAGSALCTSSIVIKEPKKPPVFDQHLAPVTASEGDSVQLSCHVQGSEPI RIQWLKAGREVKPSDRCSFSFASGTAMLELKETAKADSGDYVCKASNVAGSDTSKCKVTI KEKPAAAPAAKKAAVDGKLFFVSEPQSIRVVEKTTATFIAKVGGDPIPNVKWTKGKWRQL NQGGRILIHQKGDEAKLEIRDTTKTDSGLYRCVAFNKHGEIESNVNLQVDERKKQEKIEG DLRAMLKKTPALKKGSGEEEEIDIMELLKNVDPKEYEKYARMYGITDFRGLLQAFELLKQ SQEEETHRLEIEELEKSERDEKEFEELVAFIQQRLTQTEPVTLIKDIENQTVLKDNDAIF EIDIKINYPEIKLSWYKGTEKLEPSNKYEISIDGDRHTLRVKNCQPKDQGNYRLVCGPHI ASAKLTVIEPAWERHLQDVTLKEGQTCTMTCQFSVPNVKSEWFRNGRVLKPQGRVKTEVE HKVHKLTIADVRAEDQGQYTCKHEDLETSAELRIEAEPIQFTKRIQNIVVSEHQSATFEC EVSFDDAIVTWYKGPTELTESQKYNFRNDGRCHYMTIHNVTPDDEGVYSVIARLEPRGEA RSTAELYLTTKEIKLEMKPPDIPDSRVPIPTMPIRAVPPEEIPPAVAPSIPLLLPLPEEK KPPAKRIEVTKKGVKKDTKKVVTKPKEEAPPPPVAKKPPPPTPMIPAKASEIIDVSSKAE EVKITTITRKKEVHKEKEAVYEREEAVYEKKVHIEPWEEPYEELETEPYTEPYEEPYYEE PDEDYEEIKVEAKKQVHEEWEEDFEEGQEYYEREEGYDEGEEEWEEIYHEREIIQVQKEV HEELHEKKIPAKVPEKKVPPPKVVKKPVVEKVEKTTRRMEEEKVQVIKVPEVSKKIVPQK PSRTPVQEEIIEVKVPAVHTKKMVISEEKMFFASHTEEEVSVSVPEVQKKTVTEEKIHVA VSKKIEPPPKVPEPPKKPVPEEVVPVPIPKKVEPPAAKVPEAPKKPVPEEKKPVPIPKKK EPAAPPQVPEAPKKPAPEEKIPVPVTKKKEAPPAKVPEVQKKVVTEEKIAIITQREESPP PAVPEIPKKKVPEEKRPVPRKEEVPPPKVPVPPKKAVPEAVVPAPIPKKAPPRAEVSKKT VVEEKRFAAEEKLSVAVPQRVELMRHEEEEWTYSEEEERVSVSVYREEERDEEEAEITEY EVLEEPEEYVVEEKMHFISKKVEVEPAKVPEKKIIPKPKVPAKIEEPPPTKVPEPPKKIV PEKKVPAPAPKKVPPAKAPEESKRPVPEKRAPAEEVGIEEPPPTKVAERHMKITQEEKVL VAVTKKEAPPRARVPEEPKKVAPEERFPKLKPRREEEPPAKVTEVRKRAVKEEKVSIEVP KREPRPTKEVTVTEEKKWSYTREEETVSEHREEEYEDYEDYEEYKEFEEYEPTEEYDQYD EYAEREVEHYEEHEEYVTEPKKPVPVKPAQEPVPAKPKAPPPKVLKKAVPEEKAPLPIQK KLKPLPPKAPEEPKKVVEEKIQISITKREKQQVTEPVAKVPMKPKRVVPEAKIPAPTKEV AVPVRVPGVPKKRELEEVVVFKEEVEAHEEYIVEEEEEYVHEEEYVHKEEYVHEEEYVHK EEYIHEEEEHLHEEEETIAEEEVVPVAPVKVPVVPKKPVPEEKKPVPVPKKKEAPPAKVP EIPKKPEEKVPVPIPKKEKAPPAKVPEVPKKPVPEEKPPVPVPKKVEPPPAKVPEVPKKP VPEKKVPAPTPKKVEAPPAKVPEVPKKPIPEEKKPTALLKKMEAPPPKAPKKREVVPVPV ALPREEEEEEVPFEEVPEEEILPEEEVPSEEEAPPEEVPPEEEEVLPEEEEVLPEEEEVL PEEEEVQPEEEALPEIKPKVPKPAPVPEIKKKVPEKKVVVPKKEEAPPTKVPEVSKKVEE RRIIPPKEEEVPPAEVYEEAEEPTPEEIPEEPPSIEEEEIVEEEEEEEEVLPPRAPEVVK KAVPEAPTPVPKKAEAPPAKVPKKIPEEKVPVPVQKKEAPPAKVPEKKKIPEKKVPVPKK EAVPPAKGKAVFEEKISVAYQQEELVQERIELELVEAKVEEAFEEEEFHEVQEYFEEEEF HEVEEFIRVEERRFQEEHKVEEVHRVIEFLEAEEVEVYEKPKIPPKKGPEVSEKVIPPKK PPTKVIPRKEPPAKVPEVTKKTVVEEKIRAPEEPKVPAPKAPEVPKKITPEEKVREAVPK KPEVPPPKVPEAPREVVAKKKVLPPQVPEVVPVKVPGAPKEVVSERKSLEEPPKKPAVRP VTVPEEPKEVIPEKKVSLVPPKKPAAPPVTVPEAPKEVVPEKKVSVVPPKKPEAPPAKVP EAPKKVVPEKKLPVAAPKKPEAPAAEVPEVPKTAVPQKKIPEAIPPKPESPPLEETVPEK TRPMAPPKKPEATTLPVPEVQETVPEKTRPVGPPKKPEATTVPVPEVQETIPEKTRPAAP PKKPEATAVPETIPEKTRPEAPPKRPEATTVPVPEADQAVVPEKKVPRVPPKKVEAPPIT VPEEPKEVIPEKKVSLVPPKKPAAPPVTVPEAPEEVFSEDEETLAPPQEPEAPPAKVPEA PKEVVPEKKVSVVPPKKPEAPPAKVPEAPKEAAPEKKVPVAPKKKPEAPPVKVPEAPKKV VPEKKLPVAAPKKPEAPAAEVPEVPKAAVPQKKIPEAIPPKPESPPPEVYEEPEEEIVPE EPPEEAVEEPVPAPPPKVTEPPKKPVPEKKAPPAVVKKPEPPPAKVPEVPKEAPPEKKVP PKKPEAPPAKVPEVPKEVVTEKKVAVPKKPEVPPAKVPEVPKKPVIEEKPAIPVVEKVAS PPAEVYEEPEEVTAEEEEPAPAVEEEEYEAPPPPAPVPEEPKKVVPEKKFPVIKKPEAPP PKEPEPEKKVIEKPKLKPRPPARPPSPPKEDVKEKMFQLKAVSKKKVPEKPEVVEKVEPA PLKVPTAEKKVRKLLPEPKPQPKEEVVLKSVLRKKPEEEEPKVEPKKVEKAKKPEEPQPP PKAVEVEAPPEPTPKERKVPEPAKVPEIKPAIPLPGPEPKPKPEPEVKTMKAPPIEPAPT PIAAPVTAPVVGKKAEAKPKDEAAKPKGPIKGVAKKTPSPIEAERKKLRPGSGGEKPPDE APFTYQLKAVPLKFVKEIKDIVLTEAESVGSSAIFECLVSPSTAITTWMKDGSNIRESPK HRFIADGKDRKLHIIDVQLSDAGEYTCVLRLGNKEKTSTAKLIVEELPVRFVKTLEEEVT VVKGQPLYLSCELNKERDVVWRKDGKIVVEKPGRIVPGVIGLMRALTINDAD >ENSMUSP00000117447.1 pep:known chromosome:GRCm38:2:76814724:76889925:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000148747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] LQCQVAGTPEITVSWFKGDTKLRSTPEYRTYFTNNVATLVFNKVSINDSGEYTCMAENSI GTAASKTIFRIQERQLPPSFARQLKDIEQTVGLPVTLTCRLNGSAPIQVCWYRDGVLLRD DENLQMSFVDNVATLKILQTDLSHSGQYSCSASNPLGTASSTARLTAREPKKSPFFDIKP VSIDVIAGESADFECHVTGAQPMRVTWSKDNKEIRPGGNYTITCVGNTPHLRILKVGKGD SGQYTCQATNDVGKDMCSAQLSVKEPPKFIKKLDASKVAKQGESIQLECKISGSPEIKVV WFRNDSELHESWKYNMSFVNSVALLTINEASAEDTGDYICEAHNGVGDASCSTALKVKAP PVFTQKPPPVGALKGSDVILQCEISGTPPFEVVWVKDRKQVRSSKKFKITSKNFDTSLHI FNLEAPDIGEYHCKATNEVGSDTCACTVKFKEPPRFVKKLSDASTLIGDPVELQAVVEGF QPISVVWLKDKGEVIRESENVRISFVDNIATLQLGSPEASQSGKYVCQIKNDAGMRECSA VLTVLEPATIVEKPEPMTVTTGNPFTLECVVAGTPELSAKWFKDGRELSSGSRHHITFVR NLASLKIPSAEMNDKGLYTFEVENRVGKSSCTVSVHVSDRVVPPSFVRRLKDTSATLGAS VVLECRVSGSAPISVGWFLDGNEIISSPKCQSSFADNVCTLTLSSLEPSDTGAYTCVAAN VAGQDESSAVLTVQEPPSFEQTPDSVEVLPGMSLTFTSVIRGTPPFKVKWFKGSRELVSG EACTISLEDFVTELELLEVEPGQSGDYSCLVTNDAGSASCTTHLFVKEPATFVKRLADTS VETGSPIVLEATYSGTPPISVSWMKNEYPLSQSPNCGITTTEKSSILEILESTIEDYAQY ACLIENEAGQDICEALVSVLEPPYFIEPLEHVEAAIGEPITLQCKVDGTPEIRISWYKEH TKLRSAPAYKMQFKNNVASLVINKVDHSDVGEYTCKAENSVGAVASSAVLVIKERKLPPS FARKLKDVHETLGFPVAFECRINGSEPLQVSWYKDGELLKDDANLQMSFVHHVATLQILQ TDQSHVGQYNCSASNPLGTASSSAKLILSEHEVPPFFDLKPVSVDLALGESGSFKCHVTG TAPIKITWAKDNREIRPGGNYKMTLVENTATLTVLKVAKGDAGQYTCYASNVAGKDSCSA QLGVQEPPRFIKKLDQSRIVKQDEYTRYECKIGGSPEIKVLWYKDEVEIQESSKFRMSFE DSVAILEMHSLSVEDSGDYTCEARNAAGSASSSTSLKVKEPPVFRKKPFPVETLKGADVH LECELQGTPPFQVSWHKDKRELRSGKKYKIMSENLLTSIHILNVDTADIGEYQCKATNDV GSDTCVGSVTMKAPPQFVKKLTDISTIIGKEVQLQTTIEGAEPISVAWFKDKGEIVRESD NIWISYSENIATLQFSRAEPANAGKYTCQIKNDAGMQECYATLSVLEPAAIVEKPESIKV TTGDTCTLECTVSGTPELSTKWFKDGKELTSDNKYKISFFNKVSGLKIINVVPGDSGVYS FEVQNPVGKDSCKVSIQVSDRIIPPSFTRKLKETNGLSGSSVVMECKVYGSPPISVLWFH DGNEISSGRKYQTTLTDNTCALTVNMLEEADAGDYTCIATNVAGSDECSAPLTVREPPSF VQKPDPMDVLTGSNVTFTSIVKGSPPFTVSWFKGSTELVPGARCNVSLQDSVGELELFDV DTSQSGEYTCIVSNEAGRASCTTRLFVKAPAIFVKRLNDYSIEKGKPLILEGTFSGTPPI SVTWKKNGINVIASQRCNITTTEKSAILEILSSTVEDSGQYNCYIENASGKDSCSAQILI LEPPYFVKQLEPVKVTVGDSASLQCQLAGTPEIGVSWYKGDTKLRPTATCKMHFKNNVAT LVFTQVDSSDSGEYICRAENSVGEVSSSTFLTVQEQKLPPSFSRQLRDVQETVGLPVVFE CAVSGSEPISVSWYKDGKPLKDSPNIQTSFLDNIATLNIFKTDRSLSGQYSCTATNPIGS ASSGAKLILTEGKNPPFFDIPLAPMDAVVGESADLECHVTGTQPIKVTWAKDNREIRSGG NYQISYLENSAHLTIVKVDKGDSGQYTCYAVNEVGKDSCTAQLNIKERLIPPSFTKKLSE TVEETEGNSFKLEGRVAGSQPITVAWYKNNVEIHPTSNCEIMFKNNALLLQVKRASMADA GLYTCKATNDAGSALCTSSIVIKEPKKPPVFDQHLAPVTASEGDSVQLSCHVQGSEPIRI QWLKAGREVKPSDRCSFSFASGTAMLELKETAKADSGDYVCKASNVAGSDTSKCKVTIKE KPAAAPAAKKAAVDGKLFFVSEPQSIRVVEKTTATFIAKVGGDPIPNVKWTKGKWRQLNQ GGRILIHQKGDEAKLEIRDTTKTDSGLYRCVAFNKHGEIESNVNLQVDERKKQEKIEGDL RAMLKKTPALKKGSGEEEEIDIMELLKNVDPKEYEKYARMYGITDFRGLLQAFELLKQSQ EEETHRLEIEELEKSERDEKEFEELVAFIQQRLTQTEPVTLIKDIENQTVLKDNDAIFEI DIKINYPEIKLSWYKGTEKLEPSNKYEISIDGDRHTLRVKNCQPKDQGNYRLVCGPHIAS AKLTVIEPAWERHLQDVTLKEGQTCTMTCQFSVPNVKSEWFRNGRVLKPQGRVKTEVEHK VHKLTIADVRAEDQGQYTCKHEDLETSAELRIEAEPIQFTKRIQNIVVSEHQSATFECEV SFDDAIVTWYKGPTELTESQKYNFRNDGRCHYMTIHNVTPDDEGVYSVIARLEPRGEARS TAELYLTTKEIKLEMKPPDIPDSRVPIPTMPIRAVPPEEIPPAVAPSIPLLLPLPEEKKP PAKRIEVTKKGVKKDTKKVVTKPKEEAPPPPVPEVAKKPPPPTPMIPAKASEIIDVSSKA EEVKITTITRKKEVHKEKEAVYEREEAVYEKKVHIEPWEEPYEELETEPYTEPYEEPYYE EPDEDYEEIKVEAKKQVHEEWEEDFEEGQEYYEREEGYDEGEEEWEEIYHEREIIQVQKE VHEELHEKKIPAKVPEKKVPPPKVPEPPKKPVPEEVVPVPIPKKVEPPAAKVPEVPKKPV PEEKPPVPVPKKVEPPPAKVPEVPKKPVPEKKVPAPTPKKVEAPPAKVPEVPPKEVTPEK KVPAAPPTKPEIPPPKVPTAEKKVRKLLPEPKPQPKEEVVLKSVLRKKPEEEEPKVEPKK VEKAKKPEEPQPPPKAVEVEAPPEPTPKERKVPEPAKVPEIKPAIPLPGPEPKPKPEPEV KTMKAPPIEPAPTPIAAPVTAPVVGKKAEAKPKDEAAKPKGPIKGVAKKTPSPIEAERKK LRPGSGGEKPPDEAPFTYQLKAVPLKFVKEIKDIVLTEAESVGSSAIFE >ENSMUSP00000123202.1 pep:known chromosome:GRCm38:2:76814758:76868389:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000149616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] YKGPTELTESQKYNFRNDGRCHYMTIHNVTPDDEGVYSVIARLEPRGEARSTAELYLTTK EIKLEMKPPDIPDSRVPIPTMPIRAVPPEEIPPAVAPSIPLLLPLPEEKKPPAKRIEVTK KGVKKDTKKVVTKPKEEAPPPPVPEVAKKPPPPTPMIPAKASEIIDVSSKAEEVKITTIT RKKEVHKEKEAVYEREEAVYEKKVHIEPWEEPYEELETEPYTEPYEEPYYEEPDEDYEEI KVEAKKQVHEEWEEDFEEGQEYYEREEGYDEGEEEWEEIYHEREIIQVQKEVHEELHEKK IPAKVPEKKVPPPKVPEAPKKPAPEEKIPVPVTKKKEAPPAKVPTAEKKVRKLLPEPKPQ PKEEVVLKSVLRKKPEEEEPKVEPKKVEKAKKPEEPQPPPKAVEVEAPPEPTPKERKVPE PAKVPEIKPAIPLPGPEPKPKPEPEVKTMKAPPIEPAPTPIAAPVTAPVVGKKAEAKPKD EAAKPKGPIKGVAKKTPSPIEAERKKLRPGSGGEKPPDEAPFTYQLKAVPLKFVKEIKDI VLTE >ENSMUSP00000118492.1 pep:known chromosome:GRCm38:2:76814759:76868389:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000152185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] YKGPTELTESQKYNFRNDGRCHYMTIHNVTPDDEGVYSVIARLEPRGEARSTAELYLTTK EIKLEMKPPDIPDSRVPIPTMPIRAVPPEEIPPAVAPSIPLLLPLPEEKKPPAKRIEVTK KGVKKDTKKVVTKPKEEAPPPPVPEVAKKPPPPTPMIPAKASEIIDVSSKAEEVKITTIT RKKEVHKEKEAVYEREEAVYEKKVHIEPWEEPYEELETEPYTEPYEEPYYEEPDEDYEEI KVEAKKQVHEEWEEDFEEGQEYYEREEGYDEGEEEWEEIYHEREIIQVQKEVHEELHEKK IPAKVPEKKVPPPKVVKKPVVEKVEKTTRRMEEEKVQVIKVPEAPKKPAPEEKIPVPVTK KKEAPPAKEEEWTYSEEEERVSVSVYREEERDEEEAEITEYEVLEEPEEYVVEEKMHFIS KKVEVEPAKVPEKKIIPKPKVPAKIEEPPPTKVPEVPKKPVPEEKPPVPVPKKVEPPPAK VPEVPKKPVPEKKVPAPTPKKVEAPPAKVPTAEKKVRKLLPEPKPQPKEEVVLKSVLRKK PEEEEPKVEPKKVEKAKKPEEPQPPPKAVEVEAPPEPTPKERKVPEPAKVPEIKPAIPLP GPEPKPKPEPEVKTMKAPPIEPAPTPIAAPVTAPVVGKKAEAKPKDEAAKPKGPIKGVAK KTPSPIEAERKKLRPGSGGEKPPDEAPFTYQLKAVPLKFVKEIKDIVLTE >ENSMUSP00000115190.1 pep:known chromosome:GRCm38:2:76814759:76868389:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000130915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] YKGPTELTESQKYNFRNDGRCHYMTIHNVTPDDEGVYSVIARLEPRGEARSTAELYLTTK EIKLEMKPPDIPDSRVPIPTMPIRAVPPEEIPPAVAPSIPLLLPLPEEKKPPAKRIEVTK KGVKKDTKKVVTKPKEEAPPPPVPEVAKKPPPPTPMIPAKASEIIDVSSKAEEVKITTIT RKKEVHKEKEAVYEREEAVYEKKVHIEPWEEPYEELETEPYTEPYEEPYYEEPDEDYEEI KVEAKKQVHEEWEEDFEEGQEYYEREEGYDEGEEEWEEIYHEREIIQVQKEVHEELHEKK IPAKVPEKKVPPPKVPEAPKKPAPEEKIPVPVTKKKEAPPAKVPEVPKKPVPEEKPPVPV PKKVEPPPAKVPEVPKKPVPEKKVPAPTPKKVEAPPAKVPEVPPKEVTPEKKVPAAPPTK PEIPPPKVPTAEKKVRKLLPEPKPQPKEEVVLKSVLRKKPEEEEPKVEPKKVEKAKKPEE PQPPPKAVEVEAPPEPTPKERKVPEPAKVPEIKPAIPLPGPEPKPKPEPEVKTMKAPPIE PAPTPIAAPVTAPVVGKKAEAKPKDEAAKPKGPIKGVAKKTPSPIEAERKKLRPGSGGEK PPDEAPFTYQLKAVPLKFVKEIKDIVLTE >ENSMUSP00000121095.1 pep:known chromosome:GRCm38:2:76814759:76868389:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000155365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] YKGPTELTESQKYNFRNDGRCHYMTIHNVTPDDEGVYSVIARLEPRGEARSTAELYLTTK EIKLEMKPPDIPDSRVPIPTMPIRAVPPEEIPPAVAPSIPLLLPLPEEKKPPAKRIEVTK KGVKKDTKKVVTKPKEEAPPPPVPEVAKKPPPPTPMIPAKASEIIDVSSKAEEVKITTIT RKKEVHKEKEAVYEREEAVYEKKVHIEPWEEPYEELETEPYTEPYEEPYYEEPDEDYEEI KVEAKKQVHEEWEEDFEEGQEYYEREEGYDEGEEEWEEIYHEREIIQVQKEVHEELHEKK IPAKVPEKKVPPPKVPEAPKKPAPEEKIPVPVTKKKEAPPAKVLEEPEEYVVEEKMHFIS KKVEVEPAKVPEKKIIPKPKVPAKIEEPPPTKVPEVPKKPVPEEKPPVPVPKKVEPPPAK VPEVPKKPVPEKKVPAPTPKKVEAPPAKVPEVPPKEVTPEKKVPAAPPTKPEIPPPKVPT AEKKVRKLLPEPKPQPKEEVVLKSVLRKKPEEEEPKVEPKKVEKAKKPEEPQPPPKAVEV EAPPEPTPKERKVPEPAKVPEIKPAIPLPGPEPKPKPEPEVKTMKAPPIEPAPTPIAAPV TAPVVGKKAEAKPKDEAAKPKGPIKGVAKKTPSPIEAERKKLRPGSGGEKPPDEAPFTYQ LKAVPLKFVKEIKDIVLTE >ENSMUSP00000118602.1 pep:known chromosome:GRCm38:2:76814777:76868389:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000128071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] YKGPTELTESQKYNFRNDGRCHYMTIHNVTPDDEGVYSVIARLEPRGEARSTAELYLTTK EIKLEMKPPDIPDSRVPIPTMPIRAVPPEEIPPAVAPSIPLLLPLPEEKKPPAKRIEVTK KGVKKDTKKVVTKPKEEAPPPPVPEVAKKPPPPTPMIPAKASEIIDVSSKAEEVKITTIT RKKEVHKEKEAVYEREEAVYEKKVHIEPWEEPYEELETEPYTEPYEEPYYEEPDEDYEEI KVEAKKQVHEEWEEDFEEGQEYYEREEGYDEGEEEWEEIYHEREIIQVQKEVHEELHEKK IPAKVPEKKVPPPKVVKKPVVEKVEKTTRRMEEEKVQVIKVPEVSKKIVPQKPSRTPVQE EIIEVKVPAVHTKKMVISEEKMFFASHTEEEVSVSVPEVQKKTVTEEKIHVAVSKKIEPP PKVPEPPKKPVPEEVVPVPIPKKVEPPAAKVPEAPKKPVPEEKKPVPIPKKKEPAAPPQV PEAPKKPAPEEKIPVPVTKKKEAPPAKEEEWTYSEEEERVSVSVYREEERDEEEAEITEY EVLEEPEEYVVEEKMHFISKKVEVEPAKVPEKKIIPKPKVPAKIEEPPPTKVTEVRKRAV KEEKVSIEVPKREPRPTKEVTVTEEKKWSYTREEETVSEHREEEYEDYEDYEEYKEFEEY EPTEEYDQYDEYAEREVEHYEEHEEYVTEPKKPVPVKPAQEPVPAKPKAPPPKVPEVPKK PVPEEKPPVPVPKKVEPPPAKVPEVPKKPVPEKKVPAPTPKKVEAPPAKVPEVPPKEVTP EKKVPAAPPTKPEIPPPKVPEVPKKPVIEEKPAIPVVEKVASPPAEVYEEPEEVTAEEEE PAPAVEEEEYEAPPPPAPVPTAEKKVRKLLPEPKPQPKEEVVLKSVLRKKPEEEEPKVEP KKVEKAKKPEEPQPPPKAVEVEAPPEPTPKERKVPEPAKVPEIKPAIPLPGPEPKPKPEP EVKTMKAPPIEPAPTPIAAPVTAPVVGKKAEAKPKDEAAKPKGPIKGVAKKTPSPIEAER KKLRPGSGGEKPPDEAPFTYQLKAVPLKFVKEIK >ENSMUSP00000119894.1 pep:known chromosome:GRCm38:2:76821131:76826542:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000142251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] XYEEPEEVTAEEEEPAPAVEEEEYEAPPPPAPVPEEPKKVVPEKKFPVIKKPEAPPPKVP EVPKKAVPVKKVPVVKKPEPPEAEVPEVPKKLVPVKKEPVPVTKKTEVLPEKVPEAPKKI TPEKKESVPVPEEPEAPPASVEETPEETIYEEKATITIGRKETPPVEEREIEKFIQPEEP ELEPEPEEIPVQEPEPEKKVIEKPKLKPRPPARPPSPPKEDVKEKMFQLKAVSKKKVPEK PEVVEKVE >ENSMUSP00000117059.1 pep:known chromosome:GRCm38:2:76822530:76841153:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000137854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] IEFLEAEEVEVYEKPKIPPKKGPEVSEKVIPPKKPPTKVIPRKEPPAKVPEVPKTAVPQK KIPEAIPPKPESPPLEVPEVPPKEVTPEKKVPAAPPTKPEIPPPKVPEVPKKPVIEEKPA IPVVEKVASPPAEVYEEPEEVTAEEEEPAPAVEEEEYEAPPPPAPVPEEPKKVVPEKKFP VIKKPEAPPPKEPEPEKKVIEKP >ENSMUSP00000114666.1 pep:known chromosome:GRCm38:2:76831373:76832296:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000156257.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] XKKPEAPAAEVPEVPKTAVPQKKIPEAIPPKPESPPLEVPEVPPKEVTPEKKVPAAPPTK PEIPPPKVPEAPQAAVVEEKTPEALPKKAEA >ENSMUSP00000117534.1 pep:known chromosome:GRCm38:2:76832148:76833107:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000150741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] XEPKEVIPEKKVSLVPPKKPAAPPVTVPEAPEEVFSEDEETLAPPQEPEAPPAKVPEAPK EVVPEKKVSVVPPKKPEAPPAKVPEAPKEAAPEKKVPVAPKKKPEAPPVKVPEAPKKVVP EKKLPVAAPKKPEAPAAEVPEVPKTAVPQK >ENSMUSP00000118209.1 pep:known chromosome:GRCm38:2:76835965:76838896:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000151097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] APEVPKKITPEEKVREAVPKKPEVPPPKVPEVPKKIIQEEKLPVVLPEDTEIYMYEASEE TVIEEEHVTLPQKARLKVAKVPAPPQTVVTEEKTYVTIRKTRETLALKESETTREAFPEL KSYKAVPEIPEPPSPEDLEIIEDVLPEKRPPAS >ENSMUSP00000119089.1 pep:known chromosome:GRCm38:2:76844233:76847170:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000126515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] PEEKKPTALLKKMEAPPPKAPKKREVVPVPVALPREEEEEEVPFEEVPEEEILPEEEVPS EEEAPPEEVPPEEEEVLPEEEEVLPEEEEVLPEEEEVQPEEEALPEIKPKVPKPAPVPKK TVPEKKVPVPVPKKVEPPPPPKVPEIKKKVPEKKVVVPKKEEAPPTKVPEVSKKVEERRI IPP >ENSMUSP00000116031.1 pep:known chromosome:GRCm38:2:76849271:76870611:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000138542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] XPAWERHLQDVTLKEGQTCTMTCQFSVPNVKSEWFRNGRVLKPQGRVKTEVEHKVHKLTI ADVRAEDQGQYTCKHEDLETSAELRIEAEPIQFTKRIQNIVVSEHQSATFECEVSFDDAI VTWYKGPTELTESQKYNFRNDGRCHYMTIHNVTPDDEGVYSVIARLEPRGEARSTAELYL TTKEIKLEMKPPDIPDSRVPIPTMPIRAVPPEEIPPAVAPSIPLLLPLPEEKKPPAKRIE VTKKGVKKDTKKVVTKPKEEAPPPPVPEVAKKPPPPTPMIPAKASEIIDVSSKAEEVKIT TITRKKEVHKEKEAVYEREEAVYEKKVHIEPWEEPYEELETEPYTEPYEEPYYEEPDEDY EEIKVEAKKQVHEEWEEDFEEGQEYYEREEGYDEGEEEWEEIYHEREIIQVQKEVHEELH EKKIPAKVPEKKVPPPKVVKKPVVEKVEKTTRRMEEEKVQVIKVPEVSKKIVPQKPSRTP VQEEIIEVKVPAVHTKKMVISEEKMFFASHTEEEVSVSVPEVQKKTVTEEKIHVAVSKKI EPPPKVPEPPKKPVPEEVVPVPIPKKVEPPAAKVPEAPKKPVPEEKKPVPIPKKKEPAAP PQVPEAPKKPAPEEKIPVPVTKKKEAPPAKVPEVQKKVVTEEKIAIITQREESPPPAVPE IPKKKVPEEKRPVPRKEEVPPPKVPVPPKKAVPEAVVPAPIPKKAPPRAEVSKKTVVEEK RFAAEEKLSVAVPQRVELMRHEEEEWTYSEEEERVSVSVYREEERDEEEAEITEYEVLEE PEEYVVEEKMHFISKKVEVEPAKVPEKKIIPKPKVPAKIEEPPPTKVPEPPKKIVPEKKV PAPAPKKVPPAKAPEESKRPVPEKRAPAEEVGIEEPPPTKVAERHMKITQEEKVLVAVTK KEAPPRARVPEEPKKVAPEERFPKLKPRREEEPPAKVTEVRKRAVKEEKVSIEVPKREPR PTKEVTVTEEKKWSYTREEETVSEHREEEYEDYEDYEEYKEFEEYEPTEEYDQYDEYAER EVEHYEEHEEYVTEPKKPVPVKPAQEPVPAKPKAPPPKVLKKAVPEEKAPLPIQKKLKPL PPKAPEEPKKVVEEKIQISITKREKQQVTEPVAKVPMKPKRVVPEAKIPAPTKEVA >ENSMUSP00000097560.3 pep:known chromosome:GRCm38:2:76913944:76982455:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000099980.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] MTTQAPMFTQPLQSVVVLEGSTATFEAHVSGSPVPEVSWFRDGQVISTSTLPGVQISFSD GRARLMIPAVTKANSGRYSLRATNGSGQATSTAELLVTAETAPPNFSQRLQSMTVRQGSQ VRLQVRVTGIPTPVVKFYRDGAEIQSSLDFQISQEGDLYSLLIAEAYPEDSGTYSVNATN SVGRATSTAELVVQGEEVVPAKKTKTIVSTAQISETRQTRIEKKIEAHFDARSIATVEMV IDGATGQLPHKTPPRIPPKPKSRSPTPPSIAAKAQLARQQSPSPIRHSPSPVRHVRAPTP SPVRSVSPAGRISTSPIRSVKSPLLIRKTQTTTMATGPEVPPPWKQEGYVASSTEAEMRE TTMTSSTQIRREERWEGRYGVQEQVTISGAAAAAASASVSSSFTAGAITTGTKEVKQETD KSAAVATVVAAVDMARVREPAISAVEQTAQRTTTTAVHIQPAQEQARKEAEKTAVTKVVV AADKAKEQELKSRTREVMVTTQEQTHISHEQIRKETEKAFVPKVVISATKAKEQETRITG EITTKQEQKRITQETMMKETRKTVVPKVIVATPKIKEQDLVSRSREAITTKRDQVQITQE KKRKEVETTALSTIAVATAKAKEQETVLRSREAMATRQEHIQVTHGQVGVGKKAEAVATV VAAVDQARVREPREPTHVEESHSQQTTLEYGYKEHISTTKVPEQPRRPASEPHVVPQAVK PAVIQAPSETHIKTTDQMGMHISSQVKKTTDISTERLVHVDKRPRTASPHFTVSKISVPK TEHGYEASIAGSAIATLQKELSATSSTQKITKSVKAPTVKPGETRVRAEPTPSPQFPFAD MPPPDTYKSQAGIEVKKEVGVSISGSTVREEHFEVLRGREAKVTETARVPAPAEVPVTPP TLVSGLKNVTVIEGESVTLECHISGYPSPKVTWYREDYQIESSIDFQITFQGGIARLMIR EAFAEDSGRFTCSAVNEAGTVSTSCYLAVQVSEEFDKETTLTEKFATEEKRFVESRDVVM TDTSITEEQAGPGEPAAPFFISKPVVQKLVEGGSVVFECQIGGNPKPHVYWKKSGVPLTT GYRYKVSYNKQTGECRLVISMTFADDAGEYTIVIRNKHGETSASASLLEEADYEALVKTQ QEMLYQTQMSTFIQEPKVGEIAPGFAYSEYEKEYEKEQALIRKKMAKDTVMVRTFVEDQE FHISSFEERLIKEIEYRIIKTTLEELLEEDGEEKMAVDISESEAIESGFDIRIKNYRILE GMGVTFHCKMSGYPLPKIAWYKDGKRIRHGERYQMDFLQDGRASLRIPVVLPEDEGIYTA FASNIKGNAICSGKLYVEPAAPFSAPTYMPTPEAVSRIRSVSPRSLSRSPIRMSPAMSPA RMSPARMSPARMSPARMSPGRRLEETDESQLERLYKPVFVLKPASFKCLEGQTARFDLKV VGRPMPETFWFHNGQQIVNDYTHKVVIKEDGTQSLIIVPASPSDSGEWTVVAQNRAGKST ISVTLTVEAVEHQIKPAFVEKLKNVNIKEGSRLEMKVRATGNPNPDIVWLKNSDIIVPHK YPRIRIEGTRGEAALKIDSIISQDSAWYTATAINKAGRDTTRCKVNVEVEFAEPEPERKL IIPRGTYRAKEIAAPELEPLHLRYGQEQWEEGDLYDKEKQQKPFFKKKLTSLRLKRFGPA HFECRLTPIGDPTMVVEWLHDGKPLEAANRLRMINEFGYCSLDYGAAYSRDSGVITCRAT NKYGTDHTSATLIVKDEKSLVEESQLPDGKKGLQRIEELERMAHEGALTGVTTDQKEKQK PDIVLFPEPVRVLEGETARFRCRVTGYPQPKVNWYLNGQLIRKSKRFRVRYDGIHYLDIV DCKSYDTGEVKVTAENPEGVTEHKVKLEIQQREDFRSVLRRAPEPKPEFHVHEPGKLQFE VQKVDRPVDTSETKEVVKLKRAERITHEKVSEESEELRSKFKRRTEEGYYEAITAVELKS RKKDESYEELLKKTKDELLHWTKELTEEEKKALAEEGKITIPTFKPERIELSPSMEAPKI FERIQSQTVGQGSDAHFRVRVVGKPDPECEWYKNGVKIERSDRIYWYWPEDNVCELVIRD VTAEDSASIMVKAINIAGETSSHAFLLVQAKQLITFTQELQDVVAKEKDTMATFECETSE PFIKVKWYKDGIEVHAGDKYRMHSDRKVHFLSVLTIDTSDAEDYSCVLVEDENIKTTAKL IVEGAVVEFVKELQDIEVPESYSGELECIISPENIEGKWYHNDVELKSNGKYSITSRRGR QNLTVKDVTKEDQGEYSFVVDGKKTTCKLKMKPRPIAILQGLSDQKVCEGDIVQLEVKVS LENVEGVWMKDGQEVQHSDRVHIVIDKQSHMLLIEDMTKEDAGNYSFTIPALGLSTSGNV SVYSVDVITPLKDVNVIEGTKAVLECKVSVPDVTSVKWYLNDEQIKPDDRVQSIVKGTKQ RLVINRTHASDEGPYKLMVGRVETSCNLSVEKIKIIRGLRDLTCTETQNVVFEVELSHSG IDVVWNFKGKEIKPSSKYKIEAHGKIYKLTVLNMMKDDEGEYAFYAGENTTSGKLTVAGG AISTPLTDQTVAESQEAVFECEVANPESEGEWLKDGKHLALSNNFRGESDGHKRRLVIAA AKLDDAGEYTYKVATSKTSAKLKVEAVKIKKTLRNLTVTETQDAVFSVELTHPDVKGVQW IKNGVVLDSNDKYEISVKGTLYSLKIKNCAMADESVYGFKLGRLGASARLHVETVKIIKK PKDVTALENATVTFEVSVSHDTVPVKWFHKNVEIKPSDKHRLVSERKVHKLMLQSISPSD AGEYTAMVGQLECKAKLFVETLHITKTMKSIEVPETKAASFECEVSHFNVPSMWLKNGVE IEMSEKFKIVVQGKLHQLIIMNTSTEDSAEYTFVCGNDQVSATLTVTPIMITSMLKDINA EEKDTITFEVTVNYEGISYKWLKNGVEIKSTDRCQMRTKKLTHSLNIRNVHFGDAADYTF VAGKATSTATLYVEARHIEFRKHIKDIKVLEKKRAMFECEVSEPDITVQWMKDGQELQIA DRIKIQKEKYVHRLLIPSTRMSDAGKYTVVAGGNMSTANLFVEGRDVRIRSIKKEVQVIE KQRAVVEFEVNEDDVDAHWYKDGIEINFQVQERHQYVVERRIHRMFISETRHSDAGEYTF VAGRNRSSVTLYVNAPEPPQVLQELQPVTVQSGKPARFCAVISGRPQPKISWYKEEQLLS TGFKCKFLHDGQEYTLLLIEAFPEDAAVYTCEAKNDYGVATTSASLSVEVPEVVSPDQEM PVYPPAIVTPLQDTVTSEGRPARFQCQVSGTDLKVSWYCKDKKIKPSRFFRMTQFEDTYQ LEIAEAYPEDEGTYAFVANNAVGQVSSTATLRLEAPESILHERIGQQIEMEMKEELFEGE ADGSGDARGAFSDSEDIDHHSLMARRYANRTSSTSSWPEYFKPTFTQKLTFQYALEGEPI VFTCSLVAYPTPEMTWFHNNRPIPTGLRRVVRTETDLHQHSSSLEVKRVQGRDSGSYRFL AVNSEGSAESSASLHVIQKGQDERYLEFLKRAEQTWENTRGLGERREERIKVGLRFTGSP FNKKQDVEEKGMVRTIHFRSASPVRRADYVYNDEWSESKFDIRGCLSVGESFLDEETKMK LQRLREARKIFLEKKKLSLLEASSEVNSVTVRSEDIVGDAPLSREEGKRGFGREFAEDRH RVDNSAEGVIENPYSVPNQIHQNREPPSSVRATGDEDLQTENSPDQETFLEESLQKGYLC EHVLVGENLQAVEWFEESVTSTVIREPLPFTSNEEVHGYKSRGICESPDKVSQVLTPYPS ESLDTFVDAKETEDVDSGGGTQRGWQEGQCHASTKVEEFKVEHEEKTRSFENYFQKHPQR CPPSFLQDIESQEVYEGDSCKFVCHFQGYPQPIVTWYNNEMPVPRSQGFTTHTFENYSTL TFPSVQPQHGGSVTCVLFNQYGTVKATSMLRVKAKPRYESQPHKVPVWPDYADEEEELAL VFDQARRIHPSFSQERQEHLHMLKAHLPELPSADRELLSFPVEIQITAATPTPEQNKECR ELFELEPEVTPRDQAIQSPKHKFIFSSDITNEPPKMLQEMPRSASCREGNSVMLECLISG EPQPVVMWFHNGVLVKPNQRFEMEEVNCSYRLYINDASSQDSGRYQCVAQNDSGIAESIL DLTVEPITYREYSQLENESRIYAQYSKNQQTQVQGEPVRTHFYDHTVSPFAAQSNIKEYT IREYFQSLETVGELEKKNEVYCPTTVEKLSKSMQHASRPTDIEKPHRMEFLQCQDEEHIG ERSQSLQAGATICPFVDDFSKANIRNKVSDDVGYHGPDWGNMKGHSQSDYVLNIHSKRTS NTVQDVEDSPVPTYEEALEEERYYPGKKVRHKVIAFEKLQRAERGVVEKRRTKKSFVTAP QKKWDNREFSLTQNEPGSSNMYRAEEVASHTEADSSNIIMDLKQLSSQAHEEFEIEERGR QEEILPLDQEKFELEAPVTFDLKQFHSQIESTGMNFQGLANGQQDKSSLKTQQPASEMAN PEDVVFDLKQMYSHIEDPGEVFQGQETESKQETCHKEEISIYQSFQYAQEENTAILKPDH VPESFSEVIHGEARALFQTPSADVEEANVSETGASVENGDKTFISQLKRAASEEECLEDH EMEDGPTLKPAFGMTQGYEGTLENPRGAVHGAEVPHRRLSLSQDLPFLMTGEQQDLKEQL SESIEASGEETYHEVHVPSESSFSTMEGEKIEKSLLENLPRLEEAQTTKEGEYETSLTQY LLAEGRYEVPEVRDTKHKAQLVQSDSTTSMEVEEVTFNTVYEYYNQKQESVGRPYSPESD ISIDVGSTSSEDLSELDQFYSPPSSVENLESPKSPDLYFKPSDRIEQPVANSRAEENGER YSTPSEGEIPERYSTPSGEALERYSTPPGEALERYSTPPGEALERYSTPPGEALERFSTP PGEALERFSTPPGEALERYSTPPGEALERFSTPPGEKLERGFIPTRGPNHARNVSRNLSE LEREDSTANEHFHTPTDERSSPYETWRSDSFGTPNEAIEPKDNEMPPSFIEPLSRRKIYE NTTLGFIIEVEGLPVPGVKWYRNKSLLEPDDRIKMERVGNVCSLEIANIQKGDGGEYLCH AVNIIGEAKSFAIVDVIPQEERAVALPPPVTHQHIMEFDVANSNSSRTPSPQEIVLEVEL SEKDVKEFEKQVKIVTVPEFTPDHKSMIVSLDILPLSLVDPSAESQGQEGREFKIDLEAF EMPPRFITPICDFRIPENSSAVFKCSVIGIPPPEVRWYKEYMCIEPDDTKYVISEEKGSH SLRIQDVGPSDCATYRCRALNSAGEAICRGFLTMGDSQVSAVATRTSKVTLSSQKEELVL RSRYADSFFEFQVVDGPPRFIKGISDCHAPLGTAAYFQCLVRGSPRPTVSWYKDGKLVQG SRFSAEESGIGFHNLFITGLVKGDEGEYSCVATNNSGMARSSAILTLS >ENSMUSP00000122470.1 pep:known chromosome:GRCm38:2:76965096:76982547:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000140091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] MTTQAPMFTQPLQSVVVLEGSTATFEAHVSGSPVPEVSWFRDGQVISTSTLPGVQISFSD GRARLMIPAVTKANSGRYSLRATNGSGQATSTAELLVTAETAPPNFSQRLQSMTVRQGSQ VRLQVRVTGIPTPVVKFYRDGAEIQSSLDFQISQEGDLYSLLIAEAYPEDSGTYSVNATN SVGRATSTAELVVQGEEVVPAKKTKTIVSTAQISETRQTRIEKKIEAHFDARSIATVEMV IDGATGQLPHKTPPRIPPKPKSRSPTPPSIAAKAQLARQQSPSPIRHSPSPVRHVRAPTP SPVRSVSPAGRISTSPIRSVKSPLLIRKTQTTTMATGPEVPPPWKQEGYVASSTEAEMRE TTMTSSTQIRREERWEGRYGVQEQVTISGAAAAAASASVSSSFTAGAITTGTKEVKQETD KSAAVATVVAAVDMARVREPAISAVEQTAQRTTTTAVHIQPAQEQARKEAEKTAVTKVVV AADKAKEQELKSRTREVMVTTQEQTHISHEQIRKETEKAFVPKVVISATKAKEQETRITG EITTKQEQKRITQETIRQETEEIAASMVVVATAKSTKLEAAVGVQEETAIQQDQMHLTHE QMMKETRKTVVPKVIVATPKIKEQDLVSRSREAITTKRDQVQITQ >ENSMUSP00000107477.2 pep:known chromosome:GRCm38:2:76705031:76980182:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000111846.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] MTTQAPMFTQPLQSVVVLEGSTATFEAHVSGSPVPEVSWFRDGQVISTSTLPGVQISFSD GRARLMIPAVTKANSGRYSLRATNGSGQATSTAELLVTAETAPPNFSQRLQSMTVRQGSQ VRLQVRVTGIPTPVVKFYRDGAEIQSSLDFQISQEGDLYSLLIAEAYPEDSGTYSVNATN SVGRATSTAELVVQGEEVVPAKKTKTIVSTAQISETRQTRIEKKIEAHFDARSIATVEMV IDGATGQLPHKTPPRIPPKPKSRSPTPPSIAAKAQLARQQSPSPIRHSPSPVRHVRAPTP SPVRSVSPAGRISTSPIRSVKSPLLIRKTQTTTMATGPEVPPPWKQEGYVASSTEAEMRE TTMTSSTQIRREERWEGRYGVQEQVTISGAAAAAASASVSSSFTAGAITTGTKEVKQETD KSAAVATVVAAVDMARVREPAISAVEQTAQRTTTTAVHIQPAQEQARKEAEKTAVTKVVV AADKAKEQELKSRTREVMVTTQEQTHISHEQIRKETEKAFVPKVVISATKAKEQETRITG EITTKQEQKRITQETIRQETEEIAASMVVVATAKSTKLEAAVGVQEETAIQQDQMHLTHE QMMKETRKTVVPKVIVATPKIKEQDLVSRSREAITTKRDQVQITQEKKRKEVETTALSTI AVATAKAKEQETVLRSREAMATRQEHIQVTHGQVGVGKKAEAVATVVAAVDQARVREPRE PTHVEESHSQQTTLEYGYKEHISTTKVPEQPRRPASEPHVVPQAVKPAVIQAPSETHIKT TDQMGMHISSQVKKTTDISTERLVHVDKRPRTASPHFTVSKISVPKTEHGYEASIAGSAI ATLQKELSATSSTQKITKSVKAPTVKPGETRVRAEPTPSPQFPFADMPPPDTYKSQAGIE VKKEVGVSISGSTVREEHFEVLRGREAKVTETARVPAPAEVPVTPPTLVSGLKNVTVIEG ESVTLECHISGYPSPKVTWYREDYQIESSIDFQITFQGGIARLMIREAFAEDSGRFTCSA VNEAGTVSTSCYLAVQVSEEFDKETTLTEKFATEEKRFVESRDVVMTDTSITEEQAGPGE PAAPFFISKPVVQKLVEGGSVVFECQIGGNPKPHVYWKKSGVPLTTGYRYKVSYNKQTGE CRLVISMTFADDAGEYTIVIRNKHGETSASASLLEEADYEALVKTQQEMLYQTQMSTFIQ EPKVGEIAPGFAYSEYEKEYEKEQALIRKKMAKDTVMVRTFVEDQEFHISSFEERLIKEI EYRIIKTTLEELLEEDGEEKMAVDISESEAIESGFDIRIKNYRILEGMGVTFHCKMSGYP LPKIAWYKDGKRIRHGERYQMDFLQDGRASLRIPVVLPEDEGIYTAFASNIKGNAICSGK LYVEPAAPFSAPTYMPTPEAVSRIRSVSPRSLSRSPIRMSPAMSPARMSPARMSPARMSP ARMSPGRRLEETDESQLERLYKPVFVLKPASFKCLEGQTARFDLKVVGRPMPETFWFHNG QQIVNDYTHKVVIKEDGTQSLIIVPASPSDSGEWTVVAQNRAGKSTISVTLTVEAVEHQI KPAFVEKLKNVNIKEGSRLEMKVRATGNPNPDIVWLKNSDIIVPHKYPRIRIEGTRGEAA LKIDSIISQDSAWYTATAINKAGRDTTRCKVNVEVEFAEPEPERKLIIPRGTYRAKEIAA PELEPLHLRYGQEQWEEGDLYDKEKQQKPFFKKKLTSLRLKRFGPAHFECRLTPIGDPTM VVEWLHDGKPLEAANRLRMINEFGYCSLDYGAAYSRDSGVITCRATNKYGTDHTSATLIV KDEKSLVEESQLPDGKKGLQRIEELERMAHEGALTGVTTDQKEKQKPDIVLFPEPVRVLE GETARFRCRVTGYPQPKVNWYLNGQLIRKSKRFRVRYDGIHYLDIVDCKSYDTGEVKVTA ENPEGVTEHKVKLEIQQREDFRSVLRRAPEPKPEFHVHEPGKLQFEVQKVDRPVDTSETK EVVKLKRAERITHEKVSEESEELRSKFKRRTEEGYYEAITAVELKSRKKDESYEELLKKT KDELLHWTKELTEEEKKALAEEGKITIPTFKPERIELSPSMEAPKIFERIQSQTVGQGSD AHFRVRVVGKPDPECEWYKNGVKIERSDRIYWYWPEDNVCELVIRDVTAEDSASIMVKAI NIAGETSSHAFLLVQAKQLITFTQELQDVVAKEKDTMATFECETSEPFIKVKWYKDGIEV HAGDKYRMHSDRKVHFLSVLTIDTSDAEDYSCVLVEDENIKTTAKLIVEGAVVEFVKELQ DIEVPESYSGELECIISPENIEGKWYHNDVELKSNGKYSITSRRGRQNLTVKDVTKEDQG EYSFVVDGKKTTCKLKMKPRPIAILQGLSDQKVCEGDIVQLEVKVSLENVEGVWMKDGQE VQHSDRVHIVIDKQSHMLLIEDMTKEDAGNYSFTIPALGLSTSGNVSVYSVDVITPLKDV NVIEGTKAVLECKVSVPDVTSVKWYLNDEQIKPDDRVQSIVKGTKQRLVINRTHASDEGP YKLMVGRVETSCNLSVEKIKIIRGLRDLTCTETQNVVFEVELSHSGIDVVWNFKGKEIKP SSKYKIEAHGKIYKLTVLNMMKDDEGEYAFYAGENTTSGKLTVAGGAISTPLTDQTVAES QEAVFECEVANPESEGEWLKDGKHLALSNNFRGESDGHKRRLVIAAAKLDDAGEYTYKVA TSKTSAKLKVEAVKIKKTLRNLTVTETQDAVFSVELTHPDVKGVQWIKNGVVLDSNDKYE ISVKGTLYSLKIKNCAMADESVYGFKLGRLGASARLHVETVKIIKKPKDVTALENATVTF EVSVSHDTVPVKWFHKNVEIKPSDKHRLVSERKVHKLMLQSISPSDAGEYTAMVGQLECK AKLFVETLHITKTMKSIEVPETKAASFECEVSHFNVPSMWLKNGVEIEMSEKFKIVVQGK LHQLIIMNTSTEDSAEYTFVCGNDQVSATLTVTPIMITSMLKDINAEEKDTITFEVTVNY EGISYKWLKNGVEIKSTDRCQMRTKKLTHSLNIRNVHFGDAADYTFVAGKATSTATLYVE ARHIEFRKHIKDIKVLEKKRAMFECEVSEPDITVQWMKDGQELQIADRIKIQKEKYVHRL LIPSTRMSDAGKYTVVAGGNMSTANLFVEGRDVRIRSIKKEVQVIEKQRAVVEFEVNEDD VDAHWYKDGIEINFQVQERHQYVVERRIHRMFISETRHSDAGEYTFVAGRNRSSVTLYVN APEPPQVLQELQPVTVQSGKPARFCAVISGRPQPKISWYKEEQLLSTGFKCKFLHDGQEY TLLLIEAFPEDAAVYTCEAKNDYGVATTSASLSVEVPEVVSPDQEMPVYPPAIVTPLQDT VTSEGRPARFQCQVSGTDLKVSWYCKDKKIKPSRFFRMTQFEDTYQLEIAEAYPEDEGTY AFVANNAVGQVSSTATLRLEAPESILHERIGQQIEMEMKAAPVIKRRIEPLEVALGHLAK FTCEIQGAPNVRFQWFKAGREIYESDKCSIRSSNYVSSLEILRTQVVDCGEYTCKASNEY GSVSCTATLTVTEAYPPTFLSRPKALTTFVGKAAKFLCTVSGTPVIEIIWQKDGAALSPS PDCRVTDADNKHSLELSNLTVQDRGIYSCKASNKFGADICQAELTIIDKPHFIKELEAVQ SAINKKIHLECQVDEDRKVTITWSKDGQKLPAGKDYKIYFEDKIASLEIPLAKLKDSGTY TCTASNEAGSSSSSAAVAVREPPSFVKKVDPSYLMLPGESARLHCKLKGSPVIQVTWFKN NKELSESNTVRMSFVNSEAILDITDVKVDDSGTYSCEATNDVGSDSCSTEVVIKEPPSFI KTLEPADIVRGANALLQCEIAGTGPFEVNWFKDKKQIRSSKKYRLFTQKTFVYLEISSFN SADVGDYECVVANEVGKCGCVATHLLKEPPTFVKKVDDFTALAGQTVTLQAAVRGSEPIS VMWMKGQEVIKEDGKIKMSFSNGVAVLTIPDVQISLGGKYTCLAENEAGSQTSVGELIVK EPAKIIERAELIQVTAGDPATLEYTVSGTPELKPKWYKDGRPLVASKKYRISFKNNIAQL KFYSAELHDSGQYTFEISNEVGSSSCETTFTVLDRDIAPLFTKPLRNVDSVVGGACRLDC KIAGSLPMRVSWFKDGKELTASDRYQIAFVEGTASLEISRVDMNDAGNFTCRATNSVGSK DSSGALIVQEPPSFVTKPGSRDVLPGSAVCLKSAFQGSAPLTIKWFKGDKELVSGGSCYI TKETSESSLELYAVKTSDSGTYTCKVSNVAGSVECSADLFVKEPATFIEKLEPSQLLKKG DGTQLACKVTGTPPIKITWFANDRELRESSKHKMSFAESTAVLRLTDVAIEDSGEYMCEA QNEAGSDHCTGIVIVKESPYFTKEFKSIEVLKEYDVMLLAEVAGTPPFEITWFKDNTTLR SGRKYKTFLQDQLVSLQVLKFVAADAGEYQCRVTNEVGSSTCSARVTLREPPSFIKKIEA TSSLRGGTAAFQATLKGSLPITVTWLKDNDEITEDDNIRMTFENNVASLYLSGIEVKHDG KYVCQAKNDAGIQRCSALLSVKEPATIMEEAVSIDVTQGDPATLQVKFSGTKEISAKWFK DGQELTLGPKYKISVTDTVSILKIISTEKKDSGEYTFEVQNDVGRSSCKASINVLDLIIP PSFTKKLRKMDSIKGSFIDLECIVAGSHPISIQWFKDDQEISASDKHKFSFHDNTAFLEI SQLEGTDSGTYTCSATNKAGHSQCSGHLTVKEPPYFVEKPQSQDVNPGTRVQLKALVGGT APMTIKWFKDNKELHPGAARSVWKDDTSTILELFSAKAADSGTYICQLSNDVGTTSSKAT IFVKEPPQFIKKPSPVLVLRNGQSTTFECQVTGTPEIRVSWYLDGNEITDLRKYGISFVD GLATFQISNARVENSGTYVCEARNDAGTASCSIELKVKEPPIFIRELEPVEVVKDSDVEL ECEVMGTTPFEVTWLKNNKEIRSGKKYTMSEKMSVFYLHITKCDPSDVGEYQCIIANEGG SCACSARVALKEPPSFIKKIENVTTVLKSSATFQSTVAGSPPISITWLKDDQILEENDNV HISFEDSVATLQVRSVDNGHSGRYTCQAKNESGIERCYAFLLVQEPAQIIEKAKSVDVTE KDPVTLECVVAGTPELKVKWLKDGKQIVPSRYFSMSFENNVASFRIQSVMKQDSGQYTFK VENDFGSSSCDAYLRVLDQDIPPSFTKKLTKMDKVLGSSIHMECKVSGSLPISAQWFKDG KEISTSAKYRLVCHENTVSLEVSNLELEDTANYTCKVSNVAGDNACSGILTVKEPPSFLV KPERQQAIPDSTVEFKAVLKGTPPFKIKWLKDDVELVSGPKCFIGLEGSTSFLNLYSVDS SKTGQYTCQVTNDVEPPKFVKKLEASKIIKAGDSARLECKITGSPEIQVVWYRNEHELTA SDKYQMTFIDSVAVIQMNSLGTEDSGDFICEAQNPAGSTSCSTKVIVKEPPVFSSFPPIV ETLKNTEVSLECELSGTPPFEVVWYKDKRQLRSSKKYKVASKNFHASIHILNVESTDIGE YHCKAQNEVGSDACVCAVKLKEPPKFISKLNSLTVVAGEPAELQASIEGAQPISVQWLKE KEEVIRESENIRISFVNNVATLQFAKVEPANAGKYICQVKNDGGVRENMATLTVLEPAVI IEKAGSMTVTVGETCALECKVAGTPELSVEWYKDGKLLTSSQKHKFSFYNKISSLKILSV EKEDAGTYTFQVQNNVGKSSCTAVVDVSDRMVPPSFTRRLKDTGGVLGTSCILECKVAGS SPISIAWFHEKTKIVSGAKYQTTFSDNVCTLQLNSLDSSDMGSYTCVAANVAGSDECRAL LTVQEPPSFVKEPEPLEVLPGKNITFTSVIRGTPPFKVGWFRGARELVKGNRCNIYFEDT VAELELFNIDISQSGEYTCVVSNNAGQASCTTRLFVKEPATFVKKLSDHSVEPGKSIILE GTYTGTLPISVTWKKDGVSITPSERCNIVTTEKTCILEILSSTKGDAGHYSCEIENEAGR DACDALVSTLEPPYFVTELEPLEASVGDSVSLQCQVAGTPEITVSWFKGDTKLRSTPEYR TYFTNNVATLVFNKVSINDSGEYTCMAENSIGTAASKTIFRIQERQLPPSFARQLKDIEQ TVGLPVTLTCRLNGSAPIQVCWYRDGVLLRDDENLQMSFVDNVATLKILQTDLSHSGQYS CSASNPLGTASSTARLTAREPKKSPFFDIKPVSIDVIAGESADFECHVTGAQPMRVTWSK DNKEIRPGGNYTITCVGNTPHLRILKVGKGDSGQYTCQATNDVGKDMCSAQLSVKEPPKF IKKLDASKVAKQGESIQLECKISGSPEIKVVWFRNDSELHESWKYNMSFVNSVALLTINE ASAEDTGDYICEAHNGVGDASCSTALKVKAPPVFTQKPPPVGALKGSDVILQCEISGTPP FEVVWVKDRKQVRSSKKFKITSKNFDTSLHIFNLEAPDIGEYHCKATNEVGSDTCACTVK FKEPPRFVKKLSDASTLIGDPVELQAVVEGFQPISVVWLKDKGEVIRESENVRISFVDNI ATLQLGSPEASQSGKYVCQIKNDAGMRECSAVLTVLEPATIVEKPEPMTVTTGNPFTLEC VVAGTPELSAKWFKDGRELSSGSRHHITFVRNLASLKIPSAEMNDKGLYTFEVENRVGKS SCTVSVHVSDRVVPPSFVRRLKDTSATLGASVVLECRVSGSAPISVGWFLDGNEIISSPK CQSSFADNVCTLTLSSLEPSDTGAYTCVAANVAGQDESSAVLTVQEPPSFEQTPDSVEVL PGMSLTFTSVIRGTPPFKVKWFKGSRELVSGEACTISLEDFVTELELLEVEPGQSGDYSC LVTNDAGSASCTTHLFVKEPATFVKRLADTSVETGSPIVLEATYSGTPPISVSWMKNEYP LSQSPNCGITTTEKSSILEILESTIEDYAQYACLIENEAGQDICEALVSVLEPPYFIEPL EHVEAAIGEPITLQCKVDGTPEIRISWYKEHTKLRSAPAYKMQFKNNVASLVINKVDHSD VGEYTCKAENSVGAVASSAVLVIKERKLPPSFARKLKDVHETLGFPVAFECRINGSEPLQ VSWYKDGELLKDDANLQMSFVHHVATLQILQTDQSHVGQYNCSASNPLGTASSSAKLILS EHEVPPFFDLKPVSVDLALGESGSFKCHVTGTAPIKITWAKDNREIRPGGNYKMTLVENT ATLTVLKVAKGDAGQYTCYASNVAGKDSCSAQLGVQEPPRFIKKLDQSRIVKQDEYTRYE CKIGGSPEIKVLWYKDEVEIQESSKFRMSFEDSVAILEMHSLSVEDSGDYTCEARNAAGS ASSSTSLKVKEPPVFRKKPFPVETLKGADVHLECELQGTPPFQVSWHKDKRELRSGKKYK IMSENLLTSIHILNVDTADIGEYQCKATNDVGSDTCVGSVTMKAPPQFVKKLTDISTIIG KEVQLQTTIEGAEPISVAWFKDKGEIVRESDNIWISYSENIATLQFSRAEPANAGKYTCQ IKNDAGMQECYATLSVLEPAAIVEKPESIKVTTGDTCTLECTVSGTPELSTKWFKDGKEL TSDNKYKISFFNKVSGLKIINVVPGDSGVYSFEVQNPVGKDSCKVSIQVSDRIIPPSFTR KLKETNGLSGSSVVMECKVYGSPPISVLWFHDGNEISSGRKYQTTLTDNTCALTVNMLEE ADAGDYTCIATNVAGSDECSAPLTVREPPSFVQKPDPMDVLTGSNVTFTSIVKGSPPFTV SWFKGSTELVPGARCNVSLQDSVGELELFDVDTSQSGEYTCIVSNEAGRASCTTRLFVKA PAIFVKRLNDYSIEKGKPLILEGTFSGTPPISVTWKKNGINVIASQRCNITTTEKSAILE ILSSTVEDSGQYNCYIENASGKDSCSAQILILEPPYFVKQLEPVKVTVGDSASLQCQLAG TPEIGVSWYKGDTKLRPTATCKMHFKNNVATLVFTQVDSSDSGEYICRAENSVGEVSSST FLTVQEQKLPPSFSRQLRDVQETVGLPVVFECAVSGSEPISVSWYKDGKPLKDSPNIQTS FLDNIATLNIFKTDRSLSGQYSCTATNPIGSASSGAKLILTEGKNPPFFDIPLAPMDAVV GESADLECHVTGTQPIKVTWAKDNREIRSGGNYQISYLENSAHLTIVKVDKGDSGQYTCY AVNEVGKDSCTAQLNIKERLIPPSFTKKLSETVEETEGNSFKLEGRVAGSQPITVAWYKN NVEIHPTSNCEIMFKNNALLLQVKRASMADAGLYTCKATNDAGSALCTSSIVIKEPKKPP VFDQHLAPVTASEGDSVQLSCHVQGSEPIRIQWLKAGREVKPSDRCSFSFASGTAMLELK ETAKADSGDYVCKASNVAGSDTSKCKVTIKEKPAAAPAAKKAAVDGKLFFVSEPQSIRVV EKTTATFIAKVGGDPIPNVKWTKGKWRQLNQGGRILIHQKGDEAKLEIRDTTKTDSGLYR CVAFNKHGEIESNVNLQVDERKKQEKIEGDLRAMLKKTPALKKGSGEEEEIDIMELLKNV DPKEYEKYARMYGITDFRGLLQAFELLKQSQEEETHRLEIEELEKSERDEKEFEELVAFI QQRLTQTEPVTLIKDIENQTVLKDNDAIFEIDIKINYPEIKLSWYKGTEKLEPSNKYEIS IDGDRHTLRVKNCQPKDQGNYRLVCGPHIASAKLTVIEPAWERHLQDVTLKEGQTCTMTC QFSVPNVKSEWFRNGRVLKPQGRVKTEVEHKVHKLTIADVRAEDQGQYTCKHEDLETSAE LRIEAEPIQFTKRIQNIVVSEHQSATFECEVSFDDAIVTWYKGPTELTESQKYNFRNDGR CHYMTIHNVTPDDEGVYSVIARLEPRGEARSTAELYLTTKEIKLEMKPPDIPDSRVPIPT MPIRAVPPEEIPPAVAPSIPLLLPLPEEKKPPAKRIEVTKKGVKKDTKKVVTKPKEEAPP PPVPEVAKKPPPPTPMIPAKASEIIDVSSKAEEVKITTITRKKEVHKEKEAVYEREEAVY EKKVHIEPWEEPYEELETEPYTEPYEEPYYEEPDEDYEEIKVEAKKQVHEEWEEDFEEGQ EYYEREEGYDEGEEEWEEIYHEREIIQVQKEVHEELHEKKIPAKVPEKKVPPPKVVKKPV VEKVEKTTRRMEEEKVQVIKVPEVSKKIVPQKPSRTPVQEEIIEVKVPAVHTKKMVISEE KMFFASHTEEEVSVSVPEVQKKTVTEEKIHVAVSKKIEPPPKVPEPPKKPVPEEVVPVPI PKKVEPPAAKVPEAPKKPVPEEKKPVPIPKKKEPAAPPQVPEAPKKPAPEEKIPVPVTKK KEAPPAKVPEVQKKVVTEEKIAIITQREESPPPAVPEIPKKKVPEEKRPVPRKEEVPPPK VPVPPKKAVPEAVVPAPIPKKAPPRAEVSKKTVVEEKRFAAEEKLSVAVPQRVELMRHEE EEWTYSEEEERVSVSVYREEERDEEEAEITEYEVLEEPEEYVVEEKMHFISKKVEVEPAK VPEKKIIPKPKVPAKIEEPPPTKVPEPPKKIVPEKKVPAPAPKKVPPAKAPEESKRPVPE KRAPAEEVGIEEPPPTKVAERHMKITQEEKVLVAVTKKEAPPRARVPEEPKKVAPEERFP KLKPRREEEPPAKVTEVRKRAVKEEKVSIEVPKREPRPTKEVTVTEEKKWSYTREEETVS EHREEEYEDYEDYEEYKEFEEYEPTEEYDQYDEYAEREVEHYEEHEEYVTEPKKPVPVKP AQEPVPAKPKAPPPKVLKKAVPEEKAPLPIQKKLKPLPPKAPEEPKKVVEEKIQISITKR EKQQVTEPVAKVPMKPKRVVPEAKIPAPTKEVAVPVRESLSFVICGSVLLKCLNFIEELE NSQLKDDIFKVPGVPKKRELEEVVVFKEEVEAHEEYIVEEEEEYVHEEEYVHKEEYVHEE EYVHKEEYIHEEEEHLHEEEETIAEEEVVPVAPVKVPVVPKKPVPEEKKPVPVPKKKEAP PAKVPEIPKKPEEKVPVPIPKKEKAPPAKVPEVPKKPVPEEKPPVPVPKKVEPPPAKVPE VPKKPVPEKKVPAPTPKKVEAPPAKVPEVPKKPIPEEKKPTALLKKMEAPPPKAPKKREV VPVPVALPREEEEEEVPFEEVPEEEILPEEEVPSEEEAPPEEVPPEEEEVLPEEEEVLPE EEEVLPEEEEVQPEEEALPEIKPKVPKPAPVPEIKKKVPEKKVVVPKKEEAPPTKVPEVS KKVEERRIIPPKEEEVPPAEVYEEAEEPTPEEIPEEPPSIEEEEIVEEEEEEEEVLPPRA PEVVKKAVPEAPTPVPKKAEAPPAKVPKKIPEEKVPVPVQKKEAPPAKVPEVPKKVPEKK KIPEKKVPVPKKEAVPPAKGKAVFEEKISVAYQQEELVQERIELELVEAKVEEAFEEEEF HEVQEYFEEEEFHEVEEFIRVEERRFQEEHKVEEVHRVIEFLEAEEVEVYEKPKIPPKKG PEVSEKVIPPKKPPTKVIPRKEPPAKVPEVTKKTVVEEKIRAPEEPKVPAPKEVVPEKKV SVVPPKKPEAPPAKVPEAPKEAAPEKKVPVAPKKKPEAPPVKVPEVPKTAVPQKKIPEAI PPKPESPPLEVPEAPKEVVPEKKVSVVPPKKPEAPPAKVPEAPKEAAPEKKVPVAPKKKP EAPPVKVPEVPKAAVPQKKIPEAIPPKPESPPPEVYEEPEEEIVPEEPPEEAVEEPVPAP PPKVTEPPKKPVPEKKAPPAVVKKPEPPPAKVPEVPKEAPPEKKVPPKKPEAPPAKVPEV PKEVVTEKKVAVPKKPEVPPAKVPEVPKKPVIEEKPAIPVVEKVASPPAEVYEEPEEVTA EEEEPAPAVEEEEYEAPPPPAPVPEEPKKVVPEKKFPVIKKPEAPPPKEPEPEKKVIEKP KLKPRPPARPPSPPKEDVKEKMFQLKAVSKKKVPEKPEVVEKVEPAPLKVPTAEKKVRKL LPEPKPQPKEEVVLKSVLRKKPEEEEPKVEPKKVEKAKKPEEPQPPPKAVEVEAPPEPTP KERKVPEPAKVPEIKPAIPLPGPEPKPKPEPEVKTMKAPPIEPAPTPIAAPVTAPVVGKK AEAKPKDEAAKPKGPIKGVAKKTPSPIEAERKKLRPGSGGEKPPDEAPFTYQLKAVPLKF VKEIKDIVLTEAESVGSSAIFECLVSPSTAITTWMKDGSNIRESPKHRFIADGKDRKLHI IDVQLSDAGEYTCVLRLGNKEKTSTAKLIVEELPVRFVKTLEEEVTVVKGQPLYLSCELN KERDVVWRKDGKIVVEKPGRIVPGVIGLMRALTINDADDTDAGTYTVTVENANNLECSSC VKVVEIIREWLVKPIRDQHVKPKGTAVFTCDIAKDTPNIKWFKGYDEIPLEPNDKTEILK EGNHLFLKVKNAMPEDIDEYAVEIEGKRYPAKLTLGEREVELLKPIEDVTIYEKESASFD AEISEEDIPGEWKLKGELLRPSPTCEIKAEGGKRFLTLHKVKLDQAGEVLYQACNAITTA ILTVKEIELDFAVPLKDVTVPEKRQARFECVLTREANVIWSKGPDIIKASDKFDIIADGK KHILVINDSQFDDEGVYTAEVEGKKTSAQLFVTGIRLKFISPLEDQTVKEGQTATFVCEL SHEKMHVVWFKNDVKLHTTRTVLMSSEGKTYKLEIRETTLDDISQIKAQVKNLSSTANLK VLEADPYFTVKLHDKTGVEKDEIILKCEVSKDVPVKWFKDGEEIVPSPKHSVKTDGLRRI LKIKKAELKDKGEYVCDCGTDTTKANVTVEARLIKVEKPLYGVEVFVGETARFEIELSEP DVHGQWKLKGEPLTASPDCEIIEDGKKHVLVLYNCQLDMTGEISFQAANAKSAANLKVKE LPLIFITPLSDVKVFEKDEAKFECEVSREPKTFRWLKGTQEITGDDRFELIKDGTRHSLV IKSAAFEDEAKYMFEAEDKRTSGKLIIEGIRLKFLTPLKDVTAKERENAVFTVELSHDNI PVSWFKNDQRLHTSKRVSMHDEGKTHSITFKDLSIDDTSQIRVEAMGISSEAKLTVLEGD PYFTGKLQDYTGVEKDEVILQCEISKADAPVKWFKDGKEIKPSKNVVIKADGKKRMLILK KALKSDIGQYTCDCGTDQTSGKLDIEDREIKLVRPLYSVEVMETETARFETEISEDDIHA NWKLKGEALLQTPECEIKEEGKIHVLILHNCRLDQTGGVDFQAANVKSSAHLRVKPRVIG LLRPLKDVTVTAGETATFDCELSYEDIPVEWYLKGKKLEPNDKVVTRSEGRVHTLTLRDV KLEDAGEVQLTAKDFKTQANLFVKEPPVEFTKPLEDQTVEEEATAVLECEVSRENAKVKW FKNGTEILKSKKYEIVADGRVRKLIIHGCTPEDIKTYTCDAKDFKTSCNLNVVPPHVEFL RPLTDLQVKEKETARFECEISKENEKVQWFKDGAEIKKGKKYDIISKGAVRILVINKCLL NDEAEYSCEVRTARTSGMLTVLEEEAVFTKNLANLEVSEGDTIKLVCEVSKPGAEVIWYK GDEEIIETGRFEILTDGRKRILIIQNAQLEDAGSYNCRLPSSRTDSKVKVHELAAEFISK PQNLEILEGEKAEFVCTISKESFEVQWKRDDQTLESGDKYDIIADGKKRVLVVKDATLQD MGTYVVMVGAARAAAHLTVIEKLRIIVPLKDTKVKEQQEVVFNCEVNTEGAKAKWFRNEE AIFDSSKYIILQKDLVYTLRIRDARLDDQANFNVSLTNHRGENVKSAANLIVEEEDLRIV EPLKDIETMEKKSVTFWCKVNRLNVTLKWTKNGEEVAFDNRISYRIDKYKHSLIIKDCGF PDEGEYVVTAGQDKSVAELLIIEAPTEFVEHLEDQTVTEFDDAVFSCQLSREKANVKWYR NGREIKEGKKYKFEKDGSIHRLIIKDCRLEDECEYACGVEDRKSRARLFVEEIPVEIIRP PQDILEAPGADVIFLAELNKDKVEVQWLRNNMIVVQGDKHQMMSEGKIHRLQICDIKPRD QGEYRFIAKDKEARAKLELAAAPKIKTADQDLVVDAGQPLTMVVPYDAYPKAEAEWFKEN EPLSTKTVDTTAEQTSFRISEAKKDDKGRYKIVLQNKHGKAEGFINLQVIDVPGPVRNLE VTETFDGEVSLAWEEPLTDGGSKIIGYVVERRDIKRKTWVLVTDRADSCEFTVTGLQKGG VEYLFRVSARNRVGTGEPVETDSPVEARSKYDVPGPPLNVTITDVNRFGVSLTWEPPEYD GGAEITNYVIELRDKTSIRWDTAMTVRAEDLSATVTDVVEGQEYSFRVRAQNRIGVGKPS AATPFVKVADPIERPSPPVNLNASEQTQSSVQLTWEPPLKDGGSPILGYIIERREEGKDN WIRCNMKPVPELTYKVTGLQKGNKYLYRVSAENAAGVSDPSEILGPLTADDAFVEPTMDL SAFKDGLEVIVPNPIKILVPSTGYPRPKATWTFGDQVLEEGDRVKMKTISAYAELVISPS ERTDKGIYTLTLENPVKSISGEINVNVIAPPSAPKELKFSDITKDSVHLTWEPPDDDGGS PLTGYVVEKRDMSRKTWTKVMDFVTDLEFTVPDLVQGKEYLFKVCARNKCGPGEPAYTDE PVNMSAPATVPDPPENVKWRDRTANSIFLTWDPPKNDGGSRIKGYIVEKCPRGSDKWVAC GEPVPDTKMEVTGLEEGKWYAYRVKALNRQGASKPSKPTEEIQAVDTQEAPEIFLDVKLL AGITVKAGTKIELPATVTGKPEPKITWTKADTLLKPDQRITIENVPKKSTVTITDSKRSD TGTYIIEAVNVCGRATAVVEVNVLDKPGPPAAFDITDVTNESCLLTWNPPRDDGGSKITN YVVERKATDSDVWHKLSSTVKDTNFKATKLTPNKEYIFRVAAENMYGVGEPVQAAPIIAK YQFDPPGPPTRLEPSDITKDAVTLTWCEPDDDGGSPITGYWVERLDPDTDKWVRCNKMPV KDTTYRVKGLTNKKKYRFRVLAENLAGPGKPSRSTEPILIKDPIDPPWPPGKPTVKDIGK TSLVLNWTKPEHDGGAKIESYVIEMLKTGTDEWVRVAEGVPTTEHLLTGLMEGQEYSFRV RAVNKAGESEPSEPSDPVLCREKLYPPSPPRWLEVINITKNTADLKWTVPEKDGGSPITN YIVEKRDVRRKGWQTVDTTVKDTKCTVTPLTEGSLYVFRVAAENAIGQSDYTEIGDSVLA KDTFTTPGPPYALTVVDVTKRHVDLKWEPPKNDGGRPIQRYIIEKKEKLGTRWVKAGKTS GPDCNFRVTDVIEGTEVQFQVRAENEAGVGHPSEPTEILSIEDPTSPPSPPLDLHVTDAG RKHIAIAWKPPEKNGGSPIIGYHVEMCPVGTEKWMRVNSRPIKDLKFKVEEGIVPDKEYV LRVRAVNAVGVSEPSEISENVVAKDPDCKPTIDLETHDIVVIEGEKLNIPVPFRAVPVPT VSWHKDGKEVKASDRLTMKNDHISAHLEVPKSVHADAGVYTITLENKLGSATASINVKVI GLPGPCKDIKASDITKSSCKLTWEPPEFDGGSPILHYVLERREAGRRTYIPVMSGENKLS WTVKDLIPNGEYFFRVKAVNKIGGGEYIELKNPVIAQDPKQPPDPPVDVEVHNPTAKAMT ITWKPPLYDGGSKIMGYIIEKITKGEDRWKRCNEHLVPVLTYTAKGLEEGKEYQFRVRAE NAAGIGEPSRATPPTKAVDPIDAPKVILRTSLEVKRGDEIALDATISGSPYPTITWIKDE NVIVPEEIKKRAAPPVRRKKGEAEEEEPFSLPLTERLSINNSKQGESQLRIRDSLRPDHG QYMIKVENDHGVAKAPCSVSVLDTPGPPINFVFEDIRKDSVLCKWEPPLDDGGSEIINYT LEKKDKTKPDSDWIVITSTLRNCKYSVTKLIEGKEYLFRVRAENRFGPGPPCVSKPLLAK DPFEPPDAPDKPIVEDVTSNSMLVKWNEPKDNGSPILGYWLEKREVNSTHWSRVNKTLLS SLKTKVDGLLEGLTYVFRVCAENAAGPGKFSPPSDPKTARDPISPPGPPVPRVADTSSTT IELEWEPPAFNGGGEIMGYFVDKQLVGTNEWSRCTEKMIKVRQFTVKEIREGADYKLRVS AVNAAGEGPPGETEPVTVAEPQEPPTVELDVSVKGGIQIMAGKTLRIPAEVTGRPVPTKV WTIEEGELDKERVIIENVGTKSELIIKNALRKDHGRYVITATNSCGSKFAAVRVEVFDVP GPVLDLKPVVTNRKMCLLNWSDPADDGGSDITGFIIERKDAKMHTWRQPIETERSKCDIT GLIEGQEYKFRVIAKNKFGCGPPVEIGPILAVDPLGPPTSPERLTYTERTKSTITLDWKE PRSDGGSPIQGYIIEKRRHDKPDFERVNKRLCPTTSFLVENLDEHQMYEFRVKAVNDVGE SEPSLPLNVVIQDDEVPPTIKLRLAVRGDTIKVKAGEPVNIPADVTGLPMPKIEWSKNEK VIDKPTDTLNITKEEVSRSEAKTELSIPKAAREDKGTYTITASNRLGSVFRNVHVEVYDR PSPPRNLAVTDIKAESCYLTWDAPLDNGGSEITHYIIDKRDASRKKSEWEEVTNTAVERR YGIWKLIPNGQYEFRVRAVNKYGISDECKSDKVVIQDPYRLPGPPGKPKVLERTKGSMLV SWTPPLDNGGSPITGYWLEKREEGGTYWSRVSRAPITKVGLKGVEFNVPRLIEGVKYQFR AMAINAAGIGPPSEPSDPAVAGDPIYPPGPPSCPEVKDKTKSSISLAWKPPAKDGGSPIK GYIVEMQEEGTTDWKPVNEPDKLLTACECVVPNLKELRKYRFRVKAVNEAGESEPSDTTG EIPATDIQEVPEVFIDIGAQDCLVCKAGSQVKIPAVIKGRPTPKSSWEFDGKAKKAMKDG VHDIPEDAQLETAENSSVIIIPECTRAHSGKYSITAKNKAGQKTANCRVKVMDAPGPPKD LKVSDITRGSCRLSWKMPDDDGGDRIKGYVIEKKTIDGKAWTKVNPNCGSTTFVVPDLIS EQQYFFRVRAENRFGIGPPAETIQRTTARDPIYPPDPPIKLKIGLITKNTVHLSWKPPKN DGGSPVTHYIVECLAWDPTGKKKEAWRQCNRRDVEELEFTVEDLIEGGEYEFRVKAVNEA GVSKPSATVGPVIVKDQTCPPAIELKEFMEVEEGTDVNIVAKIKGVPFPTLTWFKAPPKK PDSKEPVVYDTHVNKQVVDDTCTLVIPQSRRSDTGLYSITAVNNLGTASKEMRLNVLGRP GPPVGPIKFESISADQMTLSWLPPKDDGGSKITNYVIEKREANRKTWVRVSSEPKECMYT IPKLLEGHEYVFRIMAQNKYGIGEPLDSEPETARNLFSVPGAPDKPTVSSVTRNSMTVNW EEPEYDGGSPVTGYWLEMKDTTSKRWKRVNRDPIKAMTLGVSYKVTGLIEGSDYQFRVYA INAAGVGPASLPSDPVTARDPVAPPGPPFPKVTDWTKSSVDLEWSPPLKDGGSKITGYIV EYKEEGKEEWEKGKDKEVRGTKLVVTGLKEGAFYKFRVRAVNVAGVGEPGEVTDVIEMKD RIVSPDLQLDASVRDRIVVHAGGVIRIIAYVSGKPPPTVTWSMNERALPQEAAIETTAIS SSMVIKNCQRSHQGVYSLLAKNEGGERKKTIIVDVLDVPGPVGIPFLSDNLTNDSCKLTW FSPEDDGGSPITNYVIQKREADRRAWTPVTYTVTRQNATVQGLIQGKSYFFRIAAENSIG MGPFVETPNALVIRDPITVPERPEDLEVKEVTKNTVSLTWNPPKYDGGSEIINYVLESRL IGTEKFHKVTNDNLLSRKYTVKGLKEGDTYEYRVSAVNIVGQGKPSFCTKPITCKDELAP PTLDLDFRDKLTVRVGESFALTGRYSGKPKPKIDWFKDEADVLEDDRTHIKTTPTTLALE KTKAKRSDSGKYCVVVENSTGSRKGFCQVNVVDRPGPPVGPVIFDEVTKEYMVISWKPPL DDGGSEITNYIIEKKELGKDIWMPVTSASAKTTCKVPKLLEGKDYIFRIHAENLYGISDP LVSDSMKAKDRFRVPDAPEQPVVTEVTKDSALVTWNKPNDGGKPITNYILEKRETMSKRW VRVTKEPIHPYTKYRVPDLLEGCQYEFRVSAENEIGIGDPSPPSKPVFARDPIAKPSPPI NPEAIDTTCNSVELTWQPPRHDGGSKILGYIVEYQKVGDEEWRRANHTPESCPETKYKVT GLRDGQSYKFRVLAVNEAGESDPAHVPEPVLVKDRLEPPELILDANMAREQHIRVGDTLR LSAIIKGVPFPKVTWKKEDREAPTKAQIDVTPVGSKLEIRNAAHEDGGIYSLTVENPAGT KTVSVKVLVLDKPGPPRDLEVSEIRKDSCYLTWKEPLDDGGSVVTNYVVERKDVATAQWS PLSTTSKKKSHMAKHLTEGNQYLFRVAAENQYGRGPFVETPKPIKALDPLHPPGPPKDLH HVDVDKTEVSLVWNKPDRDGGSPITGYLVEYQEEGDKDWIKFKTVKNLDCVVTGLQQGKT YRFRVKAENIIGLGLPDTTIPIECQEKLVPPSVELDVKLIEGLVVKAGTTVRFPAIIRGV PVPTAKWTTDGTEIKTDDHYTVETDSFSSVLTIKNCLRKDTGEYQLTVSNAAGTKTVAVH LTVLDVPGPPTGPINILDVTPEYMTISWQPPKDDGGSPVINYIVEKQDTRKGTWGVVSAG SSKLKLKVPHLQKGCEYVFRVKAENKMGVGPPLDSIPTVAKHKFSPPSPPGKPVVTDITE NAATVSWTLPKSDGGSPITGYYVERREITGKWVRVNKTPIADLKFRVTGLYEGNTYEFRV FAENLAGLSNPSPSSDPIKACRPIKPPGPPINPKLKDKSKESADLVWTKPLSDGGSPILG YVVEYQKPGTAQWDRINKDELIRQCAFRVPGLIEGNEYRFRIRAANIVGEGEPRELAESV IAKDILHPPEVELDVTCRDVITVRVGQTIRILARVKGRPEPDITWSKEGKVLVKDKRVDL IHDLPRVELQIKEAVRADHGKYIISAKNSSGHAQGSAIVNVLDRPGPCQNLKVSNVTKEN CTISWENPLDNGGSEITNFIVEYRKPNQKGWSIVASDVTKRLVKANLLANNEYYFRVCAE NKVGVGPTIETKTPILAINPIDRPGEPENLHIADKGKTFVYLKWRRPDYDGGSPNLSYHV ERRLKGSADWERVHKGSIKETHYMVDKCVENQIYEFRVQTKNEGGESDWVRTEEVVVKED LQKPVLDLKLSGVLTVKAGDTIRLEAGVRGKPFPEVAWTKDKDATDLTRSPRVKIDTSAE SSKFSLTKAKRSDGGKYVITATNPAGSFVAYATVNVLDKPGPVRNLKITDVSSDRCTIRW DPPEDDGGCEIQNYILEKCESKRMVWSTYSANVLTPSATVTRLIEGNEYIFRVRAENKIG TGPPTESKPVIAKTKYDRPGRPDPPEVTKVSKEEMTVVWNAPEYDGGKSITGYYLEKKEK HAVRWVPVNKSAIPERRLKVQNLLPGHEYQFRVKAENEVGIGEPSLPSRPVVAKDPIEPP GPPTNFKVVDTTKSSITLAWGKPVYDGGAPIIGYVVEMRPKIADASPDEGWKRCNAAAQL IRMEFTVTSLDENQEYEFRVCAQNQVGIGRPAELKEAIKPKEILEPPEIDLDASMRKLVV VRAGCPIRLFAIVRGRPAPKVTWRKVGIDNVVRKGQVDLVDTMAFLVIPNSTRDDSGKYS LTLVNPAGEKAVFVNVKVLDTPGPVADLKVSDVTKTSCHVSWAPPENDGGSQVTHYIVEK REAERKTWSTVTPEVKKTSFNVTNLVPGNEYFFRVTAVNEYGPGVPTDIPKPVLASDPLS EPDPPRKLEVTEMTKNSATLAWLPPLRDGGAKIDGYIISYREEDQPADRWTEYSVVKDLS LIVTGLKEGKKYKFRVAARNAVGVSMPREAEGVYEAKEQLLPPKILMPEQITIKAGKKLR VEAHVYGKPNPICKWKKGDDEVVTSSHLAIHKADGSSVLIIKDVTRKDSGYYSLTAENSS GSDTQKIKVTVMDAPGPPQPPFDISEIDADACSLSWHIPLEDGGSNITNYIVEKCDVSRG DWVTALASVTKTSCRVGKLIPGQEYIFRVRAENRFGISEPLTSPKMLAKFPFDVPSEPKN ARVTKVNKDCIFVAWDRPDSDGGSPITGYLIERKERNSLLWVKANDTIVRSTEYPCAGLV EGLEYSFRIYALNKAGSSPPSKPTEYVTARMPVDPPGKPEVVDVTKNSASLIWARPKHDG GSRIIGYFVEACKLPGDKWVRCNTTPHQIPQEEYTATGLEENAQYQFRAIAKTAVNISQP SEPSDPVTILAENVPPRIELSVEMKSLLTVKAGTNVCLDATVFGKPMPTVSWKKDTTPIK QAEGIKMAMKRNLCTLELFSVNRKDSGDYTITAENSSGSKSATIKLKVLDKPGPPASVKI NKMYADRAMLSWEPPLEDGGSEITNYIIDKRETSRPNWAQVSATVPITSCTVEKLIEGHE YQFRICAENKYGVGDPILTEPAIAKNPYDPPGRCDPPVISNITKDHMTVSWKAPADDGGS PITGYLVEKRETQAVNWTKVNRKPVIERTLKATGLQEGTEYEFRVTAINKAGPGKPSDAS KAVYAQDPLYPPGPPAFPKVYDTTRSSVSLSWGKPAYDGGSPIIGYLVEVKRADSDHWVR CNLPEKLQKTRFEVTGLMENTEYQFRVYAVNKIGYSDPSDVPDKHCPKDILIPPEGELDA ELRKTLILRAGVTMRLYVPVKGRPPPKITWSKPNVNLRERIGLDIKSTDFDTFLRCENVN KYDAGKYILTLENSCGKKEYTIVVKVLDTPGPPVNVTVKEVSKDSAYVTWDPPIIDGGSP IINYVVEKRDAERKSWSTVTTECSKTSFRVSNLEEGKSYFFRVFAENEYGIGDPGETRDA VKASETPGPVVDLKALAITKSSCTIGWKKPRSDGGSRITGYVVDFLTEENKWQRVMKSLS LQYSTKDLKEGKEYTFRVSAENENGEGTPSEIVVVAKDDVVAPDLDLKDLPDLCYLAKEN SNFRLKIPIKGKPAPSVSWKKGEDPLATDTRVSVESTAVNTTLVVYDCQKSDAGKYTITL KNVAGTKEGTLSIKVVGKPGIPTGPIKFDEVTAEAMTLKWGPPKDDGGSEITNYVLEKRD SVNNKWVTCASAVQKTTFRVTRLHEGIEYTFRVSAENKYGVGEGLKSEPIVAKHPFDVPD APPPPNIVDVRHDSVSLTWTDPKKTGGSPITGYHIEFKERNSLLWKRANKTPIRMKDFKV TGLTEGLEYEFRVMAINLAGVGKPSLPSEPVVALDPIDPPGKPEVISVTRNSVTLIWTEP KYDGGHKLTGYIVEKRDLPSKSWMKANHVNVPDCAFTVTDLVEGGKYEFRIRAKNTAGAI SAPSESTGTIICKDEYEAPTIVLDPTIKDGLTVKAGDSIVLSAISILGKPLPKSSWSRAG KDIRPSDIAQITSTPTSSMLTVKYATRKDAGEYTITATNPFGTKEEHVKVSVLDVPGPPG PIEISNVSAEKATLTWTPPLEDGGSPIKAYVLEKRETSRLLWTVVSEDIQACRHVVTKLI QGNEYLFRVSAVNHYGKGEPVQSEPVKMVDRFGPPGPPGKPEISNVTKNTATVSWKRPTD DGGSEITGYHVERREKKGLRWVRATKTPVSDLRCKVTGLQEGNTYEFRVSAENRAGIGPP SDASNPVLMKDVAYPPGPPSNAHVTDTTKKSASLAWGKPHYDGGLEITGYVVEHQKVGDD AWIKDTTGTALRITQFVVPDLQTKEKYNFRISAINDAGVGEPAVIPNVEIVEKEVAPDFE LDAELRRTLVVRAGLSIRIFVPIKGRPAPEVTWTKDNINLKHRANIENTESFTLLIIPEC NRYDTGKFVMTIENPAGKKSGFVNVRVLDTPGPVLNLRPTDITKDSVTLHWDLPLIDGGS RITNYIVEKREATRKSYSTVTTKCHKCTYKVTGLTEGCEYFFRVMAENEYGVGEPTETTE PVRASEAPLPPDSLNIMDITKNTVSLAWPKPRHDGGSKITGYVIEAQRKGSDQWTHISTV KGLECVVRNLTEGEEYTFQVMAVNSAGRSAPRESRPVIVKEQTMLPELDLRGIYQKLVIA RAGDNIKVEIPVLGRPKPTVTWKKGDQILKQTQRVNVENTATSTILNINECVRSDSGPYP LTAKNTVGEVGDVITIQVHDIPGPPTGPIKFDEVSSDFVTFSWEPPENDGGVPISNYVVE MRQTDSTTWVELATTVIRTTYKATRLTTGVEYQFRVRAQNRYGVGPGITSASVVANYPFK VPGPPGTPQVTAVTKDSMTISWHEPLSDGGSPILGYHIERKERNGILWQTVSKALVPGNI FKSTGLTDGIAYEFRVIAENMAGKSKPSKPSEPMFALDPIDPPGKPVPLNITRHTVALKW AKPEYTGGFKITSYVVEKRDLPNGRWLKANFSNILENEFTVSGLTEDAAYEFRVIAKNAA GAISPPSEPSDAITCRDDLEAPRIMVDVRFKDTITLKAGEAFKLEADVSGRPPPTMEWTK DGKELEGTGKLEIKIADFSTHLINKDSSRTDSGAYILTATNPGGFAKHIFNVKVLDRPGP PEGPLAVSDVTSEKCVLSWLPPLDDGGAKIDHYIVQKRETSRLAWTNVATEVQVTKLKVT KLLKGNEYIFRVMAVNKYGVGEPLESEPVLAVDPYGPPDPPKNPEVTTITKDSMVVCWGH PDSDGGSEIINYIVERRDKAGQRWVKCNKKALTDLRFKVSGLTEGHEYEFRIMAENAAGI SAPSATSPFYKACDTVFKPGPPGNPRVLDTSRSSISIAWNKPIYDGGSEITGYMVEIALP EEDEWQVVTPPAGLKATSYTITSLIENQEYKIRIYAMNSEGLGEPALVPGTPKAEERMLP PEIELDADLRKVVTIRACCTLRLFVPIKGRPAPEVKWAREHGESLDKASIESTSSYTLLV VGNVNRFDSGKYILTVENSSGSKSAFVNVRVLDTPGPPQNLKIKEVTKTSVTLTWEPPLL DGGSKIKNYIVEKRESTRKAYSTVATNCHKTSWKVDQLQEGCSYYFRVLAENEYGIGLPA ETAESVKASERPLPPGKITLTDVTRNSVSLSWEKPEHDGGSRILGYIVEMQSKGSDRWAT CATVKVTEATITGLIQGEEYSFRVSAQNEKGISDPRQLSVPVIAKDLVIPPAFKLLFNTF TVLAGEDLKIDVPFIGRPPPAVTWHKDDIPLKQTTRVNAESTENNSLLTIKEACREDVGH YTVKLTNSAGEATETLNVIVLDKPGPPTGPVKMDEVTADSVTLSWEPPKYDGGSSINNYI VEKRDTSTTAWQIVSATVARTTIKACRLKTGCEYQFRIAAENRYGKSTYLNSEPVVAQYP FKVPGPPGTPFVTLASKDSMEVQWHEPVSDGGSKVIGYHLERKERNSILWVKLNKTPIPQ TKFKTTGLEEGIEYEFRVSAENIVGIGKPSKPSECYAAHDPCDPPGRPEAIIVTRNSVTL QWKKPTYDGGSKITGYIVEKKELPDGRWMKASFTNIIDTQFEVTGLLEDHRYEFRVIARN AAGVFSEPSESTGAITARDEVEPPRISMDPKYRDTVVVQAGESFKIDADIYGKPIPTTQW VKGDQELSSTARLEIKSTDFATSLSVKDAVRVDSGNYILKAKNVAGEKSVTINVKVLDRP GPPEGPVAISGVTAEKCTLAWKPPLQDGGSDITNYIVERRETSRLVWTLVDANVQTLSCK VLKLLEGNEYIFRIMAVNKYGVGEPLESESLIAKNPFVVPDAPKAPEVTAVTKDSMIVVW ERPASDGGSEILGYVLEKRDKEGIRWTRCHKRLIGELRLRVTGLLENHNYEFRVSAENAA GLSEPSPPSAYQKACDPIYKPGPPNNPKVMDVTRSSVFLSWTKPIYDGGCEIQGYIVEKC DVSVGEWTMCTPPTGINKTNLEVEKLLEKHEYNFRICAINKAGVGEHADVPGPVMVEEKL EAPDIDLDLELRKVINIRAGGSLRLFVPIKGRPTPEVKWGKVDGDIRDAAIIDVTSSFTS LVLDNVNRYDSGKYTLTLENSSGTKSAFVTVRVLDTPSPPVNLKVTEITKDSVSITWEPP LLDGGSKIKNYIVEKREATRKSYAAVVTNCHKNSWKIDQLQEGCSYYFRVTAENEYGIGL PARTADPIKVAEVPQPPGKITVDDVTRNSVSLSWTKPEHDGGSKIIQYIVEMQAKNTDKW SECARVKSLDAVITNLTQGEEYLFRVIAVNEKGRSDPRSLAVPIIAKDLVIEPDVRPAFS SYSVQVGQDLKIEVPISGRPKPSISWTKDGMPLKQTTRINVTDSLDLTTLSIKETHKDDG GQYGITVSNVVGQKTAAIEIITLDKPDPPKGPVKFDEISAESITLSWNPPLYTGGCQITN YIVQKRDTTTTVWDVVSATVARTTLKVTKLKTGTEYQFRIFAENRYGQSFALESEPVVAQ YPYKEPGPPGTPFVTAISKESMVVQWHEPINNGGSPVIGYHLERKERNSILWTKVNKTII HDTQFKALNLEEGIEYEFRVYAENIVGVGKASKNSECYVARDPCDPPGTPEAIIVKRNEI TLQWTKPVYDGGSMITGYIVEKRDLPEGRWMKASFTNVIETQFTVSGLTEDQRYEFRVIA KNAAGAISKPSDSTGPITAKDEVELPRISMDPKFRDTIVVNAGETFRLEADVHGKPLPTI EWLRGDKEIEESARCEIKNTDFKALLIVKDAIRIDGGQYILRASNVAGSKSFPVNVKVLD RPGPPEGPVQVTGVTAEKCTLAWSPPLQDGGSDISHYVVEKRETSRLAWTVVASEVVTNS LKVTKLLEGNKYIFRIMAVNKYGVGEPLESAPVLMKNPFVLPGPPKSLEVTNIAKDSMTV CWNRPDSDGGSEIIGYIVEKRDRSGIRWIKCNKRRITDLRLRVTGLTEDHEYEFRVSAEN AAGVGEPSPATVYYKACDPVFKPGPPTNAHVVDTTKNSITLAWSKPIYDGGSEILGYVVE ICKADEEEWQIVTPQTGLRVTRFEIAKLIEHQEYKIRVCALNKVGLGEAASVPGTVKPED KLEAPELDLDSELRKGIVVRAGGSARIHIPFKGRPTPEITWSKEEGEFTDKVQIEKGINF TQLSIDNCDRNDAGKYILKLENSSGSKSAFVTVKVLDTPGPPQNLAVKEVRKDSVLLVWE PPIIDGGAKVKNYVIDKRESTRKAYANVSSKCNKTSFRVENLTEGAIYYFRVMAENEFGV GVPTETSDAVKASEPPSPPGKVTLTDVSQTSASLMWEKPEHDGGSRILGYVVEMQPKGTE KWSVVAESKVCNAVVSGLSSGQEYQFRVKAYNEKGKSDPRVLGIPVIAKDLTIQPSFKLP FNTYSVQAGEDLKIEIPVIGRPRPKISWVKDGEPLKQTTRVNVEETATSTILHIKESSKD DFGKYSVTATNSAGTATENLSVIVLEKPGPPVGPVKFDEVSADFVVISWEPPAYTGGCQI SNYIVEKRDTTTTNWQMVSATVARTTIKISKLKTGTEYQFRIFAENRYGKSTPLDSKPVV VQYPFKEPGPPGTPFVTSISKDQMLVQWHEPVNDGGSKVTGYHLEQKEKNSILWVKLNKI PIQDTKFKTTGLDEGLEYEFRVSAENIVGIGKPSKVSECYVARDPCDPPGRPEAIVITRN SVTLKWKKPVYDGGSKITGYIVEKKDLPDGRWMKASFTNVVETEFTVTGLVEDQRYEFRV IARNAADNFSEPSESSGAITARDEIDAPNASLDPKYRDVIIVHAGETFVLEADIRGKPIP DIIWSKDGNELEETAARMEIKSTLQKTTLIVKDCIRTDGGQYTLKLSNVGGTKTIPITVK VLDRPGPPEGPLKVTGVTAEKCYLAWNPPLQDGGASISHYIIEKRETSRLSWTQVSNEVQ ALNYKVTKLLPGNEYIFRVMAVNKYGIGEALESEPVIACNPYKRPGPPSTPEASAITKDS MVLTWTRPVDDGGAEIEGYILEKRDKEGIRWTKCNKKTLTDLRFRVTGLTEGHSYEFRVA AENAAGVGEPSEPSVFYRACDALYPPGPPSNPKVTDTSRSSVSLAWNKPIYDGGAPVRGY VIELKKAAADEWTTCTPPSGLQGKQFTVTKLKENTEYNFRICAFNTEGVGEPATIPGSVV AQERMEAPEIELDADLRKVVTLRASATLRLFVTIKGRPEPEVKWEKAEGILTERAQIEVT SSYTMLVIDNVTRFDSGRYNLTLENNSGSKTAFVNVRVLDSPSAPVNLTIREVKKDSVTL SWEPPLIDGGAKITNYIVEKRETTRKAYATITNNCTKNTFKIENLQEGCSYYFRVLASNE YGIGLPAETAEPVKVSEPPLPPGRVTLVDVTRNTATIKWEKPESDGGSKITGYVVEMQTK GSEKWSACTQVKTLETTISGLTAGEEYVFRVAAVNEKGRSDPRQLGVPVIAKDIEIKPSV ELPFNTFNVKANDQLKIDIPFKGRPQATVAWKKDGQVLRETTRVNVASSKTVTTLSIKEA SREDVGTYELCVSNTAGSITVPITVIVLDRPGPPGPIRIDEVSCDNVSISWNPPEYDGGC QISNYIVEKRETTSTTWQVVSQAVARTSIKIVRLTTGSEYQFRVCAENRYGKSSYSESSA VVAEYPFSPPGPPGTPKVVHATKSTMVVSWQVPVNDGGSQVIGYHLEYKERSSILWSKAN KVLIADTQMKVSGLDEGLMYEYRVYAENIAGIGKCSKACEPVPARDPCDPPGQPEVTNIT RKSVSLKWSKPRYDGGAKITGYIVERRELPDGRWLKCNFTNVQETYFEVTELTEDQRYEF RVFARNAADSVSEPSESTGPITVKDDVEAPRIMMDVKFRDVIIVKAGEVLKINADIAGRP LPVISWAKDGVEIEERAKTEIVSTDYTTTLTVKDCVRRDTGQYVLTLKNVAGTRTMAVNC KVLDKPGPPAGPLEINGLTAEKCSLSWGRPQEDGGADIDYYIVEKRETSRLAWTICEAEL RTTSCKVTKLLKGNEYIFRVTGVNKYGVGEPLESMAVKALDPFTTPSPPTSLEITSVTKD SMTLCWSRPETDGGSDISGYIIERREKNSLRWMRVNKKPVYDLRVKSTGLREGCEYEYRV FAENAAGLSLPSETSPLVRAEDPVFLPSPPSKPKIVDSGKTTITIGWVKPLFDGGAPITG YTVEYKKSEETDWKVAIQSFRGTEYTMSGLTTGDEYVFRVRSLNKMGASDPSDSSDPQVA KEREEEPVFDVDSEMRKTLIVKAGSSFTMTVPFRGRPIPNVSWSKPDTDLRTRAYIDSTD SRTLLTIENANRNDSGKYTLTIQNVLSAASMTFVVKVLDSPGPPANITVREVTKETAMLS WDVPENDGGAPVKNYHIEKREASKKAWVSVTNNCNRLSYKVTNLQEGAIYYFRVSGENEF GVGVPAETKEGVKITEKPSPPEKLGVTSVSKDSVSLSWLKPEHDGGSRIIHYVVEALEKG QKTWVKCAVVKTTHHVVSGLRESHEYFFRVFAENQAGLSDPRELLLPVLIKDQLEPPEID MKNFPSHTVYVRAGSNLKVDIPISGKPLPKVTLSRDGVPLKATMRFNTEITAENLTINLK ESVTTDAGRYEITAANSSGTTKTFINIIVLDRPGPPTGPVAISDITEESVTLKWEPPKYD GGSHVTNYIVLKRETSTAVWSEVSATVARTMIKVMKLTTGEEYQFRIKAENRFGISDHID SVCVVVKLPYTTPGPPSTPWVSNVTRESITVGWHEPVSNGGSAVTGYHLEMKDRNSILWQ KANKMIIRTTHFKVTTISAGLIYEFRVYAENAAGIGKPSHPSEPVLAIDACEPPRNVRIT DISKNSVNLSWQQPAFDGGSKITGYIVERRDLPDGRWTKASFTNVIETQFTVSGLTQNSQ YEFRVFARNAVGSVSNPSEVVGPITCIDSYGGPVIDLPLEYTEVVKYRAGTSVKLRAGIS GKPEPTIEWYKDDKELQTNALVCVENSTDLASILIKDANRLNSGSYELKLRNAMGSASAT IRVQILDKPGPPGGPIEFKTVTAEKITLLWRPPADDGGAKITHYIVEKRETSRVVWSMVA ENLEECIVTTTKIIKGNEYVFRVRAVNKYGIGEPLESEPVVAKNAFVTPGPPSIPEVTKI TKNSMTVVWDRPTVDGGSEINGYFLERRDKKSLAWLKVLKETIRDTRQKVTGLTENSDYQ YRVCAVNAAGVGPFSEPSDFYKAADPIDPPGPPAKIRIADSTKSSITLGWSKPVYDGGSD VTGYVVEMKQGDEEEWTIVSTRGEVRTTEYVVSNLKPGVNYYFQVSAVNCAGQGEPITMT EPAQAKDVLEEPEIDLDVALRTSVIAKAGEDVQLLIPFKGRPPPTVTWRKDEKNLGSDTR YSIQNTDSSSLLVIPQVTRNDTGKYILTIENGVGQPKSSTVSVKVLDTPAACQKLQVKHV SLGTVTLLWDPPLIDGGSPIINYVIEKRDATKRTWSVVSHKCSGTSFKVTDLSEKTPFFF RVLAENEIGIGEPCETTEPVKAAEVPAPIRDLSMKDSTKTSVVLSWTKPDFDGGSIITDY LVERKGKGEQAWSHAGISKTCEIEIGQLKEQSVLEFRVSARNEKGQSDPVTIGPLTVKEL VITPEVDLSEIPGAQISVRIGHNVHLELPYKGKPKPSISWLKDGLPLKESEYVRFSKTEN KITLSIKNSKKEHGGKYTVILDNAVCRNSFPITIITLGPPSKPKGPIRFDEIKADSAIMS WDIPEDDGGGEITCYSIEKREASQTNWKMVCSSVARTTFKVSNLVKDSEYQFRVRAENRY GVSEPLASNIIVAKHQFRIPGPPGKPVIYNVTSDGMSLTWDAPVYDGGSEVTGFHVEKKE RNSILWQRVNTSPISGREYRATGLIEGLDYQFRVYAENSAGLSSPSDPSKFTLAVSPVDP PGTPDYIDVTRETITLKWNPPLRDGGSKIVAYSIEKRQGSDRWVRCNFTDVSECQYTVTG LSPGDRYEFRIIARNAVGTISPPSQSSGLIMTRDENVPPTVEFGPEYFDGLVIKSGDSLR IKALVQGRPVPRVTWFKDGVEIERRMNMEITDVLGSTSLFVRDATRDHRGVYTVEAKNVS GSTKAEVTVKVQDTPGKVVGPIRFTNITGEKMTLWWEAPLNDGCAPVTHYIIEKRETSRL AWALIEDNCEALSYTAIKLITGNEYQFRISAVNKFGVGRPLESDPVVAQIQYTIPDAPGV PEPSNVTGNSITLTWTRPESDGGSEIQHYILERREKKSTRWVKVISKRPISETRFKVTGL VEGNEYEFHVMAENAAGIGPASGISRLIKCREPVNPPSAPSVVKVTDTSKTTVSLEWARP VFDGGMEIIGYIIEMCKADLGDWHKVNTEPCVKTRYTVTDLQAGEEYKFRVSAVNGAGKG DSCEVTGTIKAVDRLSAPELDIDANFKQTHIVRAGVSIRLFIAYQGRPTPTAVWSKPDSN LSIRADIHTTDSFSTLTVENCNRNDAGKYTLTVENNSGKKSITFTVKVLDSPGPPGPITF KDVTRGSATLMWDAPLLDGGARIHHYVIEKREASRRSWQVVSEKCTRQILKVSELTEGVP YYFRVSAENEYGVGEPYEMPEPIVATEQPAPPRRLDVVDTSKSSAVLAWLKPDHDGGSRI TSYLLEMRQKGSDFWVEAGHTKQLTFTVERLVENTEYEFRVKAKNDAGYSEPREAFSSVI IKEPQIEPTADLTGITNQLITCKAGSTFTIDVPISGRPAPKVTWKLEEMRLKETDRMSIA TTKDRTTLTVKDSMRGDSGRYFLTLENTAGVKTFTITVVVIGRPGPVTGPIEVSSVSAES CVLSWTEPKDDGGTEITNYIVEKRESGTTAWQLINSSVKRTQIKVTHLTKYKEYCFRVSS ENRFGVSKPLESVPIVAEHPFVPPSAPTRPEVYYVSANAMSIRWEEPYHDGGSKIVGYWV EKKERNTILWVKENKVPCLECNYKVTGLVEGLEYQFRTYALNAAGVSKASEASRPIMAQN PVDPPGRPEVTDVTRSTVSLIWSAPVYDGGSKVVGYIIERKPVSEVGDGRWLKCNYTIVS DNFFTVTALSEGDTYEFRVLAKNAAGVISKGSESTGPVTCRDEYAPPKAELDARLQGDLV TIRAGSDLVLDAAVGGKPEPKIIWTKGDKELDLCEKISLQYTGKRATAVIKYCDRSDSGK YTLTVKNASGTKSVSVMVKVLDSPGPCGKLTVSRVTEEKCTLAWSLPQEDGGAEITHYIV ERRETSRLNWVIVEGECLTASYVVTRLIKNNEYTFRVRAVNKYGLGVPVESEPIVARNSF TIPSQPGIPEEVGAGKEHIIIQWTKPESDGGNEISNYLVDKREKKSLRWTRVNKDYVVYD TRLKVTSLMEGCDYQFRVTAVNAAGNSEPSEASNFISCREPSYTPGPPSAPRVVDTTKRS ISLAWTKPMYDGGTDIIGYVLEMQEKDTDQWCRVHTNATIRNNEFTVPDLKMGQKYSFRV AAVNAKGMSDYSETTAEIEPVERLEIPDLELADDLKKTVIVRAGASLRLMVSVSGRPSPV ITWSKKGIDLANRAIIDNTESYSLLIVDKVNRYDAGKYTIEAENQSGKKSATVLVKVYDT PGPCPSVSVKEVSRDSVTITWEIPTIDGGAPVNNYIIEKREAAMRAFKTVTTKCSKTLYR ISGLVEGTMYYFRVLPENIYGIGEPCETSDAVLVSEVPLVPTKLEVVDVTKSTVTLAWEK PLYDGGSRLTGYVLEACKAGTERWMKVVTLKPTVLEHTVISLNEGEQYLFRVRAQNEKGV SEPREIVTPVTVQDLRVLPTIDLSTMPQKTIHVPAGRPIELVIPITGRPPPTASWFFAGS KLRESERVTVETHTKVTKLTIRETTIRDTGEYTLELKNVTGTTSETIKVIILDKPGPPTG PIKIDEIDATSVTISWEPPELDGGAPLSGYVVEQRDAHRPGWLPVSESVTRPTFKFTRLT EGNEYVFRVAATNRFGIGSYLQSEVIECRSSISIPGPPETLQIFDVSRDGMTLTWYPPED DGGSQVTGYIVERKEVRADRWVRVNKVPVTMTRYRSTGLIEGLEYEHRVTAINARGTGKP SRPSKPTVAMDPIAPPGKPQNPRVTDTTRTSVSLAWSVPEDEGGSKVTGYLIEMQKVDQR EWTKCNTTPTKIREYTLTHLPQGAEYRFRVLACNAGGPGEPAEVPGTVKVTEMLEYPDYE LDERYQEGVFVRQGGVIRLTIPIKGKPFPVCKWTKEGQDISKRAMIATSETHTELVIKEA DRNDSGTYDLVLENKCGKKTVYIKVKVIGSPNTPEGPLEYDDIQARSVRVSWRPPADDGG ADILGYILERREVPKAAWYTIDSRVRGTSLVVKGLKENVEYHFRVSAENQFGISKPLKSE EPVIPKTPLNPPEPPSNPPEVLDVTKSSVSLSWSRPKDDGGSRVTGYYIERKETSTDKWV RHNKTQITTTMYTVTGLVPDAEYQFRIIAQNDVGLSETSPASEPVVCKDPFDKPSQPGEL EILSISKDSVTLQWEKPECDGGKEILGYWVEYRQSGDSAWKKSNKERIKDRQFTIGGLLE ATEYEFRVFAENETGLSRPRRTAMSVKTKLTSGEAPGVRKEMADVTTKLGEAAQLSCQIV GRPLPDIKWYRFGKELIQSRKYKMSSDGRTHTLTVMTDEQEDEGVYTCVATNEVGEVESS SKLLLQAAPQFHPGYPLKEKYYGAVGSTLRLHVMYIGRPVPAMTWFHGQKLLQNSEKITI ENTEHYTHLVMKNVQRKTHAGKYKVQLSNAFGTVDATLDVEIQDKPDKPTGPIVIEALLK NSVVISWKPPADDGGSWITNYVVEKCEAKEGAEWQLVSSAISVTTCRIVNLTENAGYYFR VSAQNTFGISEPLEVASIVIIKSPFEKPGVPGKPTITAVTKDSCVVAWKPPASDGGAKIR NYYLERREKKQNKWIAVTTEEIRETVFSVQNLIEGLEYEFRVKCENLGGESEWSEISEPV TPKSDVPIQAPHFKEELRNLNVRYQSNATLVCKVTGHPKPIVKWYRQGKEIIADGLKYRI QEFKGGYHQLIIASVTDDDATVYQVRATNQGGSVSGTASLEVEVPAKIHLPKTLEGMGAV HALRGEVVSIKIPFSGKPDPVITWQKGQDLIDNNGHYQVIVTRSFTSLVFSNGVERKDAG FYVVCAKNRFGIDQKTVELDVADVPDPPRGVKVSDVSRDSVNLTWTEPASDGGSKVTNYI VEKCATTAERWLRVGQARETRYTVINLFGKTSYQFRVIAENKFGLSKPSEPSEPTVTKED KTRAMNYDDEVDETREVTTTKASHSKTKELYEKYMIAEDLGRGEFGIVHRCVETSSKRTF MAKFVKVKGTDQVLVKKEISILNIARHRNILYLHESFESMEELVMIFEFISGLDIFERIN TSAFELNEREIVSYVRQVCEALEFLHSQNIGHFDIRPENIIYQTRKNSTIKIIEFGQARQ LKPGDNFRLLFTAPEYYAPEVHQHDVVSTATDMWSLGTLVYVLLSGINPFLAETNQQMIE NIMNAEYTFDEEAFKEISLEAMDFVDRLLVKERKSRMTASEALQHPWLKQRIDRVSTKVI RTLKHRRYYHTLIKKDLNMVVSAARISCGGAIRSQRGVSVAKVKVASIEIGPVSGQIMHA IGEEGGYVKYVCKIENYDQSTQVTWYFGVRQLENSEKYEITYEDGVATMYVKDITKFDDG TYRCKVVNDYGEDSSYAELFVKGVREVYDYYCRRTKKVKRRTDAMRLLERPPEFTLPLYN KTAYVGENVRFGVTITVHPEPRVTWYKSGQKIKPGDDEKKYTFESDKGLYQLTINSVTTD DDAEYTVVARNKHGEDSCKAKLTVTLHPPPTETTLRPMFKRLLANAECHEGQSVCFEIRV SGIPAPTLKWEKDGQPLSLGPHIEIVHEGLDYYALHIRDTLPEDTGYYRVTATNTAGSTS CQAHLQVERLRYVKQEFQSKEERERHVQKQIDKTLRMAEILSGTETVPLTPVAQEALREA AILYKPAVSTKTVKGEYRLQTEEKKEERKLRMPYEVPEPRRFKQATVEEDQRIKQFVPMS DMKWYKKIRDQYEMPGKLDRVVQKRPKRIRLSRWEQFYVMPLPRITDQYRPKWRIPKLTQ DDLEMVRPARRRTPSPDYDLYYYRRRRRSLGDMSDEELLLPIDDYLAMKRTEEERLRLEE ELELGFSASPPSRSPPRFELSSLRYSSPPAHVKVEDRRRDFRYSTYHVPTKEETSTSYAE LRERHAQASYRQPKLRQRIMAEKEEEELLRPVTTTQRLSEYKSELDYMSKEEKSKKKSKR QRQVTEITEIEEEYEISRRAQRESSSSVSRLLRRRRSLSPTYIELMRPVSELIRSHPRPA EEYEDDAERRSPTPERTRPRSPSPVSSERSLSRFERSARFDIFSRYESMKAALKTQKTSE RKYEVLSQQPFTLDHAPRITLRMRSHRVPCGQNTRFILNVQSKPTAEVKWYHNGVELQES SKIHYTNTSGVLTLEILDCQTEDGGTYRAVCTNYKGEASDYATLDVTGGAYTTYASQRRD EEVPKSVFPELTKTEAYAVSSFKRTSELEAASSVREVKSQMTETRESLSTYEHYASAEMK SATSEEKSLEEKATVRKIKTTLAARILTKPRSITVHEGESARFSCDTDGEPVPTVTWLRE GQVVSTSARHQVTTTKYKSTFEISSVQASDEGNYSVVVENSDGKQEAQFTLTVQKARVIE KAVTSPPRVKSPEPRVKSPETVKSPKRVKSPEPVTSHPKAVSPTETKPTEKGQHLPVSAP PKITQSLKAEASKDIAKLTCAVESSALCAKEVAWYKDGKKLKENGHFQFHYSADGTYELK IHNLSESDCGEYVCEVSGEGGTSKTSFQFTGQSFKSIHEQVSSISETTKSVQKTAESAEA KKSEPIAPESISSKPVIVTGLRDTTVSSDSVAKFTIKVTGEPQPTITWTKDGKAIAQGSK YKLSSNKEEFILEILKTETSDGGLYACTVTNSAGSVSSSCKLTIKAVKDTEAQKVSTQKT SEVTSQKKASAQEEISQKALTSEEIKMSEVKSHETLAIKEEASKVLIAEEVKRSAAASLE KSIVHEEVTKTSQASEEVKTHAEIKTLSTQMNITKGQRATLKANIAGATDVKWVLNGTEL PNSEEYRYGVSGSDQTLTIKQASHREEGILSCIGKTSQGVVKCQFDLTLSEELSDAPSFI TQPRSQNINEGQNVLFSCEVSGEPSPEIEWFKNNLPISISSNISVSRSRNVYTLEIRNAA VSDSGKYTIKAKNFHGQCSATASLTVLPLVEEPPREVVLKTSSDVSLHGSVSSQSVQMSA SKQEASFSSFSSSSASSMTEMKFASMSAQSMSSMQESFVEMSSSSFMGKSSMTQLESSTS RMLKAGGRGIPPKIEALPSDISIDEGKVLTVACAFTGEPTPEITWSCGGRKIQNQEQQGR FHIENTDDLTTLIIMDVQKQDGGLYTLSLGNEFGSDSATVNINIRSM >ENSMUSP00000107513.2 pep:known chromosome:GRCm38:2:76703984:76982547:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000111882.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] MTTQAPMFTQPLQSVVVLEGSTATFEAHVSGSPVPEVSWFRDGQVISTSTLPGVQISFSD GRARLMIPAVTKANSGRYSLRATNGSGQATSTAELLVTAETAPPNFSQRLQSMTVRQGSQ VRLQVRVTGIPTPVVKFYRDGAEIQSSLDFQISQEGDLYSLLIAEAYPEDSGTYSVNATN SVGRATSTAELVVQGEEVVPAKKTKTIVSTAQISETRQTRIEKKIEAHFDARSIATVEMV IDGATGQLPHKTPPRIPPKPKSRSPTPPSIAAKAQLARQQSPSPIRHSPSPVRHVRAPTP SPVRSVSPAGRISTSPIRSVKSPLLIRKTQTTTMATGPEVPPPWKQEGYVASSTEAEMRE TTMTSSTQIRREERWEGRYGVQEQVTISGAAAAAASASVSSSFTAGAITTGTKEVKQETD KSAAVATVVAAVDMARVREPAISAVEQTAQRTTTTAVHIQPAQEQARKEAEKTAVTKVVV AADKAKEQELKSRTREVMVTTQEQTHISHEQIRKETEKAFVPKVVISATKAKEQETRITG EITTKQEQKRITQETIRQETEEIAASMVVVATAKSTKLEAAVGVQEETAIQQDQMHLTHE QMMKETRKTVVPKVIVATPKIKEQDLVSRSREAITTKRDQVQITQEKKRKEVETTALSTI AVATAKAKEQETVLRSREAMATRQEHIQVTHGQVGVGKKAEAVATVVAAVDQARVREPRE PTHVEESHSQQTTLEYGYKEHISTTKVPEQPRRPASEPHVVPQAVKPAVIQAPSETHIKT TDQMGMHISSQVKKTTDISTERLVHVDKRPRTASPHFTVSKISVPKTEHGYEASIAGSAI ATLQKELSATSSTQKITKSVKAPTVKPGETRVRAEPTPSPQFPFADMPPPDTYKSQAGIE VKKEVGVSISGSTVREEHFEVLRGREAKVTETARVPAPAEVPVTPPTLVSGLKNVTVIEG ESVTLECHISGYPSPKVTWYREDYQIESSIDFQITFQGGIARLMIREAFAEDSGRFTCSA VNEAGTVSTSCYLAVQVSEEFDKETTLTEKFATEEKRFVESRDVVMTDTSITEEQAGPGE PAAPFFISKPVVQKLVEGGSVVFECQIGGNPKPHVYWKKSGVPLTTGYRYKVSYNKQTGE CRLVISMTFADDAGEYTIVIRNKHGETSASASLLEEADYEALVKTQQEMLYQTQMSTFIQ EPKVGEIAPGFAYSEYEKEYEKEQALIRKKMAKDTVMVRTFVEDQEFHISSFEERLIKEI EYRIIKTTLEELLEEDGEEKMAVDISESEAIESGFDIRIKNYRILEGMGVTFHCKMSGYP LPKIAWYKDGKRIRHGERYQMDFLQDGRASLRIPVVLPEDEGIYTAFASNIKGNAICSGK LYVEPAAPFSAPTYMPTPEAVSRIRSVSPRSLSRSPIRMSPAMSPARMSPARMSPARMSP ARMSPGRRLEETDESQLERLYKPVFVLKPASFKCLEGQTARFDLKVVGRPMPETFWFHNG QQIVNDYTHKVVIKEDGTQSLIIVPASPSDSGEWTVVAQNRAGKSTISVTLTVEAVEHQI KPAFVEKLKNVNIKEGSRLEMKVRATGNPNPDIVWLKNSDIIVPHKYPRIRIEGTRGEAA LKIDSIISQDSAWYTATAINKAGRDTTRCKVNVEVEFAEPEPERKLIIPRGTYRAKEIAA PELEPLHLRYGQEQWEEGDLYDKEKQQKPFFKKKLTSLRLKRFGPAHFECRLTPIGDPTM VVEWLHDGKPLEAANRLRMINEFGYCSLDYGAAYSRDSGVITCRATNKYGTDHTSATLIV KDEKSLVEESQLPDGKKGLQRIEELERMAHEGALTGVTTDQKEKQKPDIVLFPEPVRVLE GETARFRCRVTGYPQPKVNWYLNGQLIRKSKRFRVRYDGIHYLDIVDCKSYDTGEVKVTA ENPEGVTEHKVKLEIQQREDFRSVLRRAPEPKPEFHVHEPGKLQFEVQKVDRPVDTSETK EVVKLKRAERITHEKVSEESEELRSKFKRRTEEGYYEAITAVELKSRKKDESYEELLKKT KDELLHWTKELTEEEKKALAEEGKITIPTFKPERIELSPSMEAPKIFERIQSQTVGQGSD AHFRVRVVGKPDPECEWYKNGVKIERSDRIYWYWPEDNVCELVIRDVTAEDSASIMVKAI NIAGETSSHAFLLVQAKQLITFTQELQDVVAKEKDTMATFECETSEPFIKVKWYKDGIEV HAGDKYRMHSDRKVHFLSVLTIDTSDAEDYSCVLVEDENIKTTAKLIVEGAVVEFVKELQ DIEVPESYSGELECIISPENIEGKWYHNDVELKSNGKYSITSRRGRQNLTVKDVTKEDQG EYSFVVDGKKTTCKLKMKPRPIAILQGLSDQKVCEGDIVQLEVKVSLENVEGVWMKDGQE VQHSDRVHIVIDKQSHMLLIEDMTKEDAGNYSFTIPALGLSTSGNVSVYSVDVITPLKDV NVIEGTKAVLECKVSVPDVTSVKWYLNDEQIKPDDRVQSIVKGTKQRLVINRTHASDEGP YKLMVGRVETSCNLSVEKIKIIRGLRDLTCTETQNVVFEVELSHSGIDVVWNFKGKEIKP SSKYKIEAHGKIYKLTVLNMMKDDEGEYAFYAGENTTSGKLTVAGGAISTPLTDQTVAES QEAVFECEVANPESEGEWLKDGKHLALSNNFRGESDGHKRRLVIAAAKLDDAGEYTYKVA TSKTSAKLKVEAVKIKKTLRNLTVTETQDAVFSVELTHPDVKGVQWIKNGVVLDSNDKYE ISVKGTLYSLKIKNCAMADESVYGFKLGRLGASARLHVETVKIIKKPKDVTALENATVTF EVSVSHDTVPVKWFHKNVEIKPSDKHRLVSERKVHKLMLQSISPSDAGEYTAMVGQLECK AKLFVETLHITKTMKSIEVPETKAASFECEVSHFNVPSMWLKNGVEIEMSEKFKIVVQGK LHQLIIMNTSTEDSAEYTFVCGNDQVSATLTVTPIMITSMLKDINAEEKDTITFEVTVNY EGISYKWLKNGVEIKSTDRCQMRTKKLTHSLNIRNVHFGDAADYTFVAGKATSTATLYVE ARHIEFRKHIKDIKVLEKKRAMFECEVSEPDITVQWMKDGQELQIADRIKIQKEKYVHRL LIPSTRMSDAGKYTVVAGGNMSTANLFVEGRDVRIRSIKKEVQVIEKQRAVVEFEVNEDD VDAHWYKDGIEINFQVQERHQYVVERRIHRMFISETRHSDAGEYTFVAGRNRSSVTLYVN APEPPQVLQELQPVTVQSGKPARFCAVISGRPQPKISWYKEEQLLSTGFKCKFLHDGQEY TLLLIEAFPEDAAVYTCEAKNDYGVATTSASLSVEVPEVVSPDQEMPVYPPAIVTPLQDT VTSEGRPARFQCQVSGTDLKVSWYCKDKKIKPSRFFRMTQFEDTYQLEIAEAYPEDEGTY AFVANNAVGQVSSTATLRLEAPESILHERIGQQIEMEMKAAPVIKRRIEPLEVALGHLAK FTCEIQGAPNVRFQWFKAGREIYESDKCSIRSSNYVSSLEILRTQVVDCGEYTCKASNEY GSVSCTATLTVTEAYPPTFLSRPKALTTFVGKAAKFLCTVSGTPVIEIIWQKDGAALSPS PDCRVTDADNKHSLELSNLTVQDRGIYSCKASNKFGADICQAELTIIDKPHFIKELEAVQ SAINKKIHLECQVDEDRKVTITWSKDGQKLPAGKDYKIYFEDKIASLEIPLAKLKDSGTY TCTASNEAGSSSSSAAVAVREPPSFVKKVDPSYLMLPGESARLHCKLKGSPVIQVTWFKN NKELSESNTVRMSFVNSEAILDITDVKVDDSGTYSCEATNDVGSDSCSTEVVIKEPPSFI KTLEPADIVRGANALLQCEIAGTGPFEVNWFKDKKQIRSSKKYRLFTQKTFVYLEISSFN SADVGDYECVVANEVGKCGCVATHLLKEPPTFVKKVDDFTALAGQTVTLQAAVRGSEPIS VMWMKGQEVIKEDGKIKMSFSNGVAVLTIPDVQISLGGKYTCLAENEAGSQTSVGELIVK EPAKIIERAELIQVTAGDPATLEYTVSGTPELKPKWYKDGRPLVASKKYRISFKNNIAQL KFYSAELHDSGQYTFEISNEVGSSSCETTFTVLDRDIAPLFTKPLRNVDSVVGGACRLDC KIAGSLPMRVSWFKDGKELTASDRYQIAFVEGTASLEISRVDMNDAGNFTCRATNSVGSK DSSGALIVQEPPSFVTKPGSRDVLPGSAVCLKSAFQGSAPLTIKWFKGDKELVSGGSCYI TKETSESSLELYAVKTSDSGTYTCKVSNVAGSVECSADLFVKEPATFIEKLEPSQLLKKG DGTQLACKVTGTPPIKITWFANDRELRESSKHKMSFAESTAVLRLTDVAIEDSGEYMCEA QNEAGSDHCTGIVIVKESPYFTKEFKSIEVLKEYDVMLLAEVAGTPPFEITWFKDNTTLR SGRKYKTFLQDQLVSLQVLKFVAADAGEYQCRVTNEVGSSTCSARVTLREPPSFIKKIEA TSSLRGGTAAFQATLKGSLPITVTWLKDNDEITEDDNIRMTFENNVASLYLSGIEVKHDG KYVCQAKNDAGIQRCSALLSVKEPATIMEEAVSIDVTQGDPATLQVKFSGTKEISAKWFK DGQELTLGPKYKISVTDTVSILKIISTEKKDSGEYTFEVQNDVGRSSCKASINVLDLIIP PSFTKKLRKMDSIKGSFIDLECIVAGSHPISIQWFKDDQEISASDKHKFSFHDNTAFLEI SQLEGTDSGTYTCSATNKAGHSQCSGHLTVKEPPYFVEKPQSQDVNPGTRVQLKALVGGT APMTIKWFKDNKELHPGAARSVWKDDTSTILELFSAKAADSGTYICQLSNDVGTTSSKAT IFVKEPPQFIKKPSPVLVLRNGQSTTFECQVTGTPEIRVSWYLDGNEITDLRKYGISFVD GLATFQISNARVENSGTYVCEARNDAGTASCSIELKVKEPPIFIRELEPVEVVKDSDVEL ECEVMGTTPFEVTWLKNNKEIRSGKKYTMSEKMSVFYLHITKCDPSDVGEYQCIIANEGG SCACSARVALKEPPSFIKKIENVTTVLKSSATFQSTVAGSPPISITWLKDDQILEENDNV HISFEDSVATLQVRSVDNGHSGRYTCQAKNESGIERCYAFLLVQEPAQIIEKAKSVDVTE KDPVTLECVVAGTPELKVKWLKDGKQIVPSRYFSMSFENNVASFRIQSVMKQDSGQYTFK VENDFGSSSCDAYLRVLDQDIPPSFTKKLTKMDKVLGSSIHMECKVSGSLPISAQWFKDG KEISTSAKYRLVCHENTVSLEVSNLELEDTANYTCKVSNVAGDNACSGILTVKEPPSFLV KPERQQAIPDSTVEFKAVLKGTPPFKIKWLKDDVELVSGPKCFIGLEGSTSFLNLYSVDS SKTGQYTCQVTNDVEPPKFVKKLEASKIIKAGDSARLECKITGSPEIQVVWYRNEHELTA SDKYQMTFIDSVAVIQMNSLGTEDSGDFICEAQNPAGSTSCSTKVIVKEPPVFSSFPPIV ETLKNTEVSLECELSGTPPFEVVWYKDKRQLRSSKKYKVASKNFHASIHILNVESTDIGE YHCKAQNEVGSDACVCAVKLKEPPKFISKLNSLTVVAGEPAELQASIEGAQPISVQWLKE KEEVIRESENIRISFVNNVATLQFAKVEPANAGKYICQVKNDGGVRENMATLTVLEPAVI IEKAGSMTVTVGETCALECKVAGTPELSVEWYKDGKLLTSSQKHKFSFYNKISSLKILSV EKEDAGTYTFQVQNNVGKSSCTAVVDVSDRMVPPSFTRRLKDTGGVLGTSCILECKVAGS SPISIAWFHEKTKIVSGAKYQTTFSDNVCTLQLNSLDSSDMGSYTCVAANVAGSDECRAL LTVQEPPSFVKEPEPLEVLPGKNITFTSVIRGTPPFKVGWFRGARELVKGNRCNIYFEDT VAELELFNIDISQSGEYTCVVSNNAGQASCTTRLFVKEPATFVKKLSDHSVEPGKSIILE GTYTGTLPISVTWKKDGVSITPSERCNIVTTEKTCILEILSSTKGDAGHYSCEIENEAGR DACDALVSTLEPPYFVTELEPLEASVGDSVSLQCQVAGTPEITVSWFKGDTKLRSTPEYR TYFTNNVATLVFNKVSINDSGEYTCMAENSIGTAASKTIFRIQERQLPPSFARQLKDIEQ TVGLPVTLTCRLNGSAPIQVCWYRDGVLLRDDENLQMSFVDNVATLKILQTDLSHSGQYS CSASNPLGTASSTARLTAREPKKSPFFDIKPVSIDVIAGESADFECHVTGAQPMRVTWSK DNKEIRPGGNYTITCVGNTPHLRILKVGKGDSGQYTCQATNDVGKDMCSAQLSVKEPPKF IKKLDASKVAKQGESIQLECKISGSPEIKVVWFRNDSELHESWKYNMSFVNSVALLTINE ASAEDTGDYICEAHNGVGDASCSTALKVKAPPVFTQKPPPVGALKGSDVILQCEISGTPP FEVVWVKDRKQVRSSKKFKITSKNFDTSLHIFNLEAPDIGEYHCKATNEVGSDTCACTVK FKEPPRFVKKLSDASTLIGDPVELQAVVEGFQPISVVWLKDKGEVIRESENVRISFVDNI ATLQLGSPEASQSGKYVCQIKNDAGMRECSAVLTVLEPATIVEKPEPMTVTTGNPFTLEC VVAGTPELSAKWFKDGRELSSGSRHHITFVRNLASLKIPSAEMNDKGLYTFEVENRVGKS SCTVSVHVSDRVVPPSFVRRLKDTSATLGASVVLECRVSGSAPISVGWFLDGNEIISSPK CQSSFADNVCTLTLSSLEPSDTGAYTCVAANVAGQDESSAVLTVQEPPSFEQTPDSVEVL PGMSLTFTSVIRGTPPFKVKWFKGSRELVSGEACTISLEDFVTELELLEVEPGQSGDYSC LVTNDAGSASCTTHLFVKEPATFVKRLADTSVETGSPIVLEATYSGTPPISVSWMKNEYP LSQSPNCGITTTEKSSILEILESTIEDYAQYACLIENEAGQDICEALVSVLEPPYFIEPL EHVEAAIGEPITLQCKVDGTPEIRISWYKEHTKLRSAPAYKMQFKNNVASLVINKVDHSD VGEYTCKAENSVGAVASSAVLVIKERKLPPSFARKLKDVHETLGFPVAFECRINGSEPLQ VSWYKDGELLKDDANLQMSFVHHVATLQILQTDQSHVGQYNCSASNPLGTASSSAKLILS EHEVPPFFDLKPVSVDLALGESGSFKCHVTGTAPIKITWAKDNREIRPGGNYKMTLVENT ATLTVLKVAKGDAGQYTCYASNVAGKDSCSAQLGVQEPPRFIKKLDQSRIVKQDEYTRYE CKIGGSPEIKVLWYKDEVEIQESSKFRMSFEDSVAILEMHSLSVEDSGDYTCEARNAAGS ASSSTSLKVKEPPVFRKKPFPVETLKGADVHLECELQGTPPFQVSWHKDKRELRSGKKYK IMSENLLTSIHILNVDTADIGEYQCKATNDVGSDTCVGSVTMKAPPQFVKKLTDISTIIG KEVQLQTTIEGAEPISVAWFKDKGEIVRESDNIWISYSENIATLQFSRAEPANAGKYTCQ IKNDAGMQECYATLSVLEPAAIVEKPESIKVTTGDTCTLECTVSGTPELSTKWFKDGKEL TSDNKYKISFFNKVSGLKIINVVPGDSGVYSFEVQNPVGKDSCKVSIQVSDRIIPPSFTR KLKETNGLSGSSVVMECKVYGSPPISVLWFHDGNEISSGRKYQTTLTDNTCALTVNMLEE ADAGDYTCIATNVAGSDECSAPLTVREPPSFVQKPDPMDVLTGSNVTFTSIVKGSPPFTV SWFKGSTELVPGARCNVSLQDSVGELELFDVDTSQSGEYTCIVSNEAGRASCTTRLFVKA PAIFVKRLNDYSIEKGKPLILEGTFSGTPPISVTWKKNGINVIASQRCNITTTEKSAILE ILSSTVEDSGQYNCYIENASGKDSCSAQILILEPPYFVKQLEPVKVTVGDSASLQCQLAG TPEIGVSWYKGDTKLRPTATCKMHFKNNVATLVFTQVDSSDSGEYICRAENSVGEVSSST FLTVQEQKLPPSFSRQLRDVQETVGLPVVFECAVSGSEPISVSWYKDGKPLKDSPNIQTS FLDNIATLNIFKTDRSLSGQYSCTATNPIGSASSGAKLILTEGKNPPFFDIPLAPMDAVV GESADLECHVTGTQPIKVTWAKDNREIRSGGNYQISYLENSAHLTIVKVDKGDSGQYTCY AVNEVGKDSCTAQLNIKERLIPPSFTKKLSETVEETEGNSFKLEGRVAGSQPITVAWYKN NVEIHPTSNCEIMFKNNALLLQVKRASMADAGLYTCKATNDAGSALCTSSIVIKEPKKPP VFDQHLAPVTASEGDSVQLSCHVQGSEPIRIQWLKAGREVKPSDRCSFSFASGTAMLELK ETAKADSGDYVCKASNVAGSDTSKCKVTIKEKPAAAPAAKKAAVDGKLFFVSEPQSIRVV EKTTATFIAKVGGDPIPNVKWTKGKWRQLNQGGRILIHQKGDEAKLEIRDTTKTDSGLYR CVAFNKHGEIESNVNLQVDERKKQEKIEGDLRAMLKKTPALKKGSGEEEEIDIMELLKNV DPKEYEKYARMYGITDFRGLLQAFELLKQSQEEETHRLEIEELEKSERDEKEFEELVAFI QQRLTQTEPVTLIKDIENQTVLKDNDAIFEIDIKINYPEIKLSWYKGTEKLEPSNKYEIS IDGDRHTLRVKNCQPKDQGNYRLVCGPHIASAKLTVIEPAWERHLQDVTLKEGQTCTMTC QFSVPNVKSEWFRNGRVLKPQGRVKTEVEHKVHKLTIADVRAEDQGQYTCKHEDLETSAE LRIEAEPIQFTKRIQNIVVSEHQSATFECEVSFDDAIVTWYKGPTELTESQKYNFRNDGR CHYMTIHNVTPDDEGVYSVIARLEPRGEARSTAELYLTTKEIKLEMKPPDIPDSRVPIPT MPIRAVPPEEIPPAVAPSIPLLLPLPEEKKPPAKRIEVTKKGVKKDTKKVVTKPKEEAPP PPVPEVAKKPPPPTPMIPAKASEIIDVSSKAEEVKITTITRKKEVHKEKEAVYEREEAVY EKKVHIEPWEEPYEELETEPYTEPYEEPYYEEPDEDYEEIKVEAKKQVHEEWEEDFEEGQ EYYEREEGYDEGEEEWEEIYHEREIIQVQKEVHEELHEKKIPAKVPEKKVPPPKVVKKPV VEKVEKTTRRMEEEKVQVIKVPEVSKKIVPQKPSRTPVQEEIIEVKVPAVHTKKMVISEE KMFFASHTEEEVSVSVPEVQKKTVTEEKIHVAVSKKIEPPPKVPEPPKKPVPEEVVPVPI PKKVEPPAAKVPEAPKKPVPEEKKPVPIPKKKEPAAPPQVPEAPKKPAPEEKIPVPVTKK KEAPPAKVPEVQKKVVTEEKIAIITQREESPPPAVPEIPKKKVPEEKRPVPRKEEVPPPK VPVPPKKAVPEAVVPAPIPKKAPPRAEVSKKTVVEEKRFAAEEKLSVAVPQRVELMRHEE EEWTYSEEEERVSVSVYREEERDEEEAEITEYEVLEEPEEYVVEEKMHFISKKVEVEPAK VPEKKIIPKPKVPAKIEEPPPTKVPEPPKKIVPEKKVPAPAPKKVPPAKAPEESKRPVPE KRAPAEEVGIEEPPPTKVAERHMKITQEEKVLVAVTKKEAPPRARVPEEPKKVAPEERFP KLKPRREEEPPAKVTEVRKRAVKEEKVSIEVPKREPRPTKEVTVTEEKKWSYTREEETVS EHREEEYEDYEDYEEYKEFEEYEPTEEYDQYDEYAEREVEHYEEHEEYVTEPKKPVPVKP AQEPVPAKPKAPPPKVLKKAVPEEKAPLPIQKKLKPLPPKAPEEPKKVVEEKIQISITKR EKQQVTEPVAKVPMKPKRVVPEAKIPAPTKEVAVPVRESLSFVICGSVLLKCLNFIEELE NSQLKDDIFKVPGVPKKRELEEVVVFKEEVEAHEEYIVEEEEEYVHEEEYVHKEEYVHEE EYVHKEEYIHEEEEHLHEEEETIAEEEVVPVAPVKVPVVPKKPVPEEKKPVPVPKKKEAP PAKVPEIPKKPEEKVPVPIPKKEKAPPAKVPEVPKKPVPEEKPPVPVPKKVEPPPAKVPE VPKKPVPEKKVPAPTPKKVEAPPAKVPEVPKKPIPEEKKPTALLKKMEAPPPKAPKKREV VPVPVALPREEEEEEVPFEEVPEEEILPEEEVPSEEEAPPEEVPPEEEEVLPEEEEVLPE EEEVLPEEEEVQPEEEALPEIKPKVPKPAPVPEIKKKVPEKKVVVPKKEEAPPTKVPEVS KKVEERRIIPPKEEEVPPAEVYEEAEEPTPEEIPEEPPSIEEEEIVEEEEEEEEVLPPRA PEVVKKAVPEAPTPVPKKAEAPPAKVPKKIPEEKVPVPVQKKEAPPAKVPEVPKKVPEKK KIPEKKVPVPKKEAVPPAKGKAVFEEKISVAYQQEELVQERIELELVEAKVEEAFEEEEF HEVQEYFEEEEFHEVEEFIRVEERRFQEEHKVEEVHRVIEFLEAEEVEVYEKPKIPPKKG PEVSEKVIPPKKPPTKVIPRKEPPAKVPEVTKKTVVEEKIRAPEEPKVPAPKEVVPEKKV SVVPPKKPEAPPAKVPEAPKEAAPEKKVPVAPKKKPEAPPVKVPEVPKTAVPQKKIPEAI PPKPESPPLEVPEAPKEVVPEKKVSVVPPKKPEAPPAKVPEAPKEAAPEKKVPVAPKKKP EAPPVKVPEVPKAAVPQKKIPEAIPPKPESPPPEVYEEPEEEIVPEEPPEEAVEEPVPAP PPKVTEPPKKPVPEKKAPPAVVKKPEPPPAKVPEVPKEAPPEKKVPPKKPEAPPAKVPEV PKEVVTEKKVAVPKKPEVPPAKVPEVPKKPVIEEKPAIPVVEKVASPPAEVYEEPEEVTA EEEEPAPAVEEEEYEAPPPPAPVPEEPKKVVPEKKFPVIKKPEAPPPKEPEPEKKVIEKP KLKPRPPARPPSPPKEDVKEKMFQLKAVSKKKVPEKPEVVEKVEPAPLKVPTAEKKVRKL LPEPKPQPKEEVVLKSVLRKKPEEEEPKVEPKKVEKAKKPEEPQPPPKAVEVEAPPEPTP KERKVPEPAKVPEIKPAIPLPGPEPKPKPEPEVKTMKAPPIEPAPTPIAAPVTAPVVGKK AEAKPKDEAAKPKGPIKGVAKKTPSPIEAERKKLRPGSGGEKPPDEAPFTYQLKAVPLKF VKEIKDIVLTEAESVGSSAIFECLVSPSTAITTWMKDGSNIRESPKHRFIADGKDRKLHI IDVQLSDAGEYTCVLRLGNKEKTSTAKLIVEELPVRFVKTLEEEVTVVKGQPLYLSCELN KERDVVWRKDGKIVVEKPGRIVPGVIGLMRALTINDADDTDAGTYTVTVENANNLECSSC VKVVEIIREWLVKPIRDQHVKPKGTAVFTCDIAKDTPNIKWFKGYDEIPLEPNDKTEILK EGNHLFLKVKNAMPEDIDEYAVEIEGKRYPAKLTLGEREVELLKPIEDVTIYEKESASFD AEISEEDIPGEWKLKGELLRPSPTCEIKAEGGKRFLTLHKVKLDQAGEVLYQACNAITTA ILTVKEIELDFAVPLKDVTVPEKRQARFECVLTREANVIWSKGPDIIKASDKFDIIADGK KHILVINDSQFDDEGVYTAEVEGKKTSAQLFVTGIRLKFISPLEDQTVKEGQTATFVCEL SHEKMHVVWFKNDVKLHTTRTVLMSSEGKTYKLEIRETTLDDISQIKAQVKNLSSTANLK VLEADPYFTVKLHDKTGVEKDEIILKCEVSKDVPVKWFKDGEEIVPSPKHSVKTDGLRRI LKIKKAELKDKGEYVCDCGTDTTKANVTVEARLIKVEKPLYGVEVFVGETARFEIELSEP DVHGQWKLKGEPLTASPDCEIIEDGKKHVLVLYNCQLDMTGEISFQAANAKSAANLKVKE LPLIFITPLSDVKVFEKDEAKFECEVSREPKTFRWLKGTQEITGDDRFELIKDGTRHSLV IKSAAFEDEAKYMFEAEDKRTSGKLIIEGIRLKFLTPLKDVTAKERENAVFTVELSHDNI PVSWFKNDQRLHTSKRVSMHDEGKTHSITFKDLSIDDTSQIRVEAMGISSEAKLTVLEGD PYFTGKLQDYTGVEKDEVILQCEISKADAPVKWFKDGKEIKPSKNVVIKADGKKRMLILK KALKSDIGQYTCDCGTDQTSGKLDIEDREIKLVRPLYSVEVMETETARFETEISEDDIHA NWKLKGEALLQTPECEIKEEGKIHVLILHNCRLDQTGGVDFQAANVKSSAHLRVKPRVIG LLRPLKDVTVTAGETATFDCELSYEDIPVEWYLKGKKLEPNDKVVTRSEGRVHTLTLRDV KLEDAGEVQLTAKDFKTQANLFVKEPPVEFTKPLEDQTVEEEATAVLECEVSRENAKVKW FKNGTEILKSKKYEIVADGRVRKLIIHGCTPEDIKTYTCDAKDFKTSCNLNVVPPHVEFL RPLTDLQVKEKETARFECEISKENEKVQWFKDGAEIKKGKKYDIISKGAVRILVINKCLL NDEAEYSCEVRTARTSGMLTVLEEEAVFTKNLANLEVSEGDTIKLVCEVSKPGAEVIWYK GDEEIIETGRFEILTDGRKRILIIQNAQLEDAGSYNCRLPSSRTDSKVKVHELAAEFISK PQNLEILEGEKAEFVCTISKESFEVQWKRDDQTLESGDKYDIIADGKKRVLVVKDATLQD MGTYVVMVGAARAAAHLTVIEKLRIIVPLKDTKVKEQQEVVFNCEVNTEGAKAKWFRNEE AIFDSSKYIILQKDLVYTLRIRDARLDDQANFNVSLTNHRGENVKSAANLIVEEEDLRIV EPLKDIETMEKKSVTFWCKVNRLNVTLKWTKNGEEVAFDNRISYRIDKYKHSLIIKDCGF PDEGEYVVTAGQDKSVAELLIIEAPTEFVEHLEDQTVTEFDDAVFSCQLSREKANVKWYR NGREIKEGKKYKFEKDGSIHRLIIKDCRLEDECEYACGVEDRKSRARLFVEEIPVEIIRP PQDILEAPGADVIFLAELNKDKVEVQWLRNNMIVVQGDKHQMMSEGKIHRLQICDIKPRD QGEYRFIAKDKEARAKLELAAAPKIKTADQDLVVDAGQPLTMVVPYDAYPKAEAEWFKEN EPLSTKTVDTTAEQTSFRISEAKKDDKGRYKIVLQNKHGKAEGFINLQVIDVPGPVRNLE VTETFDGEVSLAWEEPLTDGGSKIIGYVVERRDIKRKTWVLVTDRADSCEFTVTGLQKGG VEYLFRVSARNRVGTGEPVETDSPVEARSKYDVPGPPLNVTITDVNRFGVSLTWEPPEYD GGAEITNYVIELRDKTSIRWDTAMTVRAEDLSATVTDVVEGQEYSFRVRAQNRIGVGKPS AATPFVKVADPIERPSPPVNLNASEQTQSSVQLTWEPPLKDGGSPILGYIIERREEGKDN WIRCNMKPVPELTYKVTGLQKGNKYLYRVSAENAAGVSDPSEILGPLTADDAFVEPTMDL SAFKDGLEVIVPNPIKILVPSTGYPRPKATWTFGDQVLEEGDRVKMKTISAYAELVISPS ERTDKGIYTLTLENPVKSISGEINVNVIAPPSAPKELKFSDITKDSVHLTWEPPDDDGGS PLTGYVVEKRDMSRKTWTKVMDFVTDLEFTVPDLVQGKEYLFKVCARNKCGPGEPAYTDE PVNMSAPATVPDPPENVKWRDRTANSIFLTWDPPKNDGGSRIKGYIVEKCPRGSDKWVAC GEPVPDTKMEVTGLEEGKWYAYRVKALNRQGASKPSKPTEEIQAVDTQEAPEIFLDVKLL AGITVKAGTKIELPATVTGKPEPKITWTKADTLLKPDQRITIENVPKKSTVTITDSKRSD TGTYIIEAVNVCGRATAVVEVNVLDKPGPPAAFDITDVTNESCLLTWNPPRDDGGSKITN YVVERKATDSDVWHKLSSTVKDTNFKATKLTPNKEYIFRVAAENMYGVGEPVQAAPIIAK YQFDPPGPPTRLEPSDITKDAVTLTWCEPDDDGGSPITGYWVERLDPDTDKWVRCNKMPV KDTTYRVKGLTNKKKYRFRVLAENLAGPGKPSRSTEPILIKDPIDPPWPPGKPTVKDIGK TSLVLNWTKPEHDGGAKIESYVIEMLKTGTDEWVRVAEGVPTTEHLLTGLMEGQEYSFRV RAVNKAGESEPSEPSDPVLCREKLYPPSPPRWLEVINITKNTADLKWTVPEKDGGSPITN YIVEKRDVRRKGWQTVDTTVKDTKCTVTPLTEGSLYVFRVAAENAIGQSDYTEIGDSVLA KDTFTTPGPPYALTVVDVTKRHVDLKWEPPKNDGGRPIQRYIIEKKEKLGTRWVKAGKTS GPDCNFRVTDVIEGTEVQFQVRAENEAGVGHPSEPTEILSIEDPTSPPSPPLDLHVTDAG RKHIAIAWKPPEKNGGSPIIGYHVEMCPVGTEKWMRVNSRPIKDLKFKVEEGIVPDKEYV LRVRAVNAVGVSEPSEISENVVAKDPDCKPTIDLETHDIVVIEGEKLNIPVPFRAVPVPT VSWHKDGKEVKASDRLTMKNDHISAHLEVPKSVHADAGVYTITLENKLGSATASINVKVI GLPGPCKDIKASDITKSSCKLTWEPPEFDGGSPILHYVLERREAGRRTYIPVMSGENKLS WTVKDLIPNGEYFFRVKAVNKIGGGEYIELKNPVIAQDPKQPPDPPVDVEVHNPTAKAMT ITWKPPLYDGGSKIMGYIIEKITKGEDRWKRCNEHLVPVLTYTAKGLEEGKEYQFRVRAE NAAGIGEPSRATPPTKAVDPIDAPKVILRTSLEVKRGDEIALDATISGSPYPTITWIKDE NVIVPEEIKKRAAPPVRRKKGEAEEEEPFSLPLTERLSINNSKQGESQLRIRDSLRPDHG QYMIKVENDHGVAKAPCSVSVLDTPGPPINFVFEDIRKDSVLCKWEPPLDDGGSEIINYT LEKKDKTKPDSDWIVITSTLRNCKYSVTKLIEGKEYLFRVRAENRFGPGPPCVSKPLLAK DPFEPPDAPDKPIVEDVTSNSMLVKWNEPKDNGSPILGYWLEKREVNSTHWSRVNKTLLS SLKTKVDGLLEGLTYVFRVCAENAAGPGKFSPPSDPKTARDPISPPGPPVPRVADTSSTT IELEWEPPAFNGGGEIMGYFVDKQLVGTNEWSRCTEKMIKVRQFTVKEIREGADYKLRVS AVNAAGEGPPGETEPVTVAEPQEPPTVELDVSVKGGIQIMAGKTLRIPAEVTGRPVPTKV WTIEEGELDKERVIIENVGTKSELIIKNALRKDHGRYVITATNSCGSKFAAVRVEVFDVP GPVLDLKPVVTNRKMCLLNWSDPADDGGSDITGFIIERKDAKMHTWRQPIETERSKCDIT GLIEGQEYKFRVIAKNKFGCGPPVEIGPILAVDPLGPPTSPERLTYTERTKSTITLDWKE PRSDGGSPIQGYIIEKRRHDKPDFERVNKRLCPTTSFLVENLDEHQMYEFRVKAVNDVGE SEPSLPLNVVIQDDEVPPTIKLRLAVRGDTIKVKAGEPVNIPADVTGLPMPKIEWSKNEK VIDKPTDTLNITKEEVSRSEAKTELSIPKAAREDKGTYTITASNRLGSVFRNVHVEVYDR PSPPRNLAVTDIKAESCYLTWDAPLDNGGSEITHYIIDKRDASRKKSEWEEVTNTAVERR YGIWKLIPNGQYEFRVRAVNKYGISDECKSDKVVIQDPYRLPGPPGKPKVLERTKGSMLV SWTPPLDNGGSPITGYWLEKREEGGTYWSRVSRAPITKVGLKGVEFNVPRLIEGVKYQFR AMAINAAGIGPPSEPSDPAVAGDPIYPPGPPSCPEVKDKTKSSISLAWKPPAKDGGSPIK GYIVEMQEEGTTDWKPVNEPDKLLTACECVVPNLKELRKYRFRVKAVNEAGESEPSDTTG EIPATDIQEVPEVFIDIGAQDCLVCKAGSQVKIPAVIKGRPTPKSSWEFDGKAKKAMKDG VHDIPEDAQLETAENSSVIIIPECTRAHSGKYSITAKNKAGQKTANCRVKVMDAPGPPKD LKVSDITRGSCRLSWKMPDDDGGDRIKGYVIEKKTIDGKAWTKVNPNCGSTTFVVPDLIS EQQYFFRVRAENRFGIGPPAETIQRTTARDPIYPPDPPIKLKIGLITKNTVHLSWKPPKN DGGSPVTHYIVECLAWDPTGKKKEAWRQCNRRDVEELEFTVEDLIEGGEYEFRVKAVNEA GVSKPSATVGPVIVKDQTCPPAIELKEFMEVEEGTDVNIVAKIKGVPFPTLTWFKAPPKK PDSKEPVVYDTHVNKQVVDDTCTLVIPQSRRSDTGLYSITAVNNLGTASKEMRLNVLGRP GPPVGPIKFESISADQMTLSWLPPKDDGGSKITNYVIEKREANRKTWVRVSSEPKECMYT IPKLLEGHEYVFRIMAQNKYGIGEPLDSEPETARNLFSVPGAPDKPTVSSVTRNSMTVNW EEPEYDGGSPVTGYWLEMKDTTSKRWKRVNRDPIKAMTLGVSYKVTGLIEGSDYQFRVYA INAAGVGPASLPSDPVTARDPVAPPGPPFPKVTDWTKSSVDLEWSPPLKDGGSKITGYIV EYKEEGKEEWEKGKDKEVRGTKLVVTGLKEGAFYKFRVRAVNVAGVGEPGEVTDVIEMKD RIVSPDLQLDASVRDRIVVHAGGVIRIIAYVSGKPPPTVTWSMNERALPQEAAIETTAIS SSMVIKNCQRSHQGVYSLLAKNEGGERKKTIIVDVLDVPGPVGIPFLSDNLTNDSCKLTW FSPEDDGGSPITNYVIQKREADRRAWTPVTYTVTRQNATVQGLIQGKSYFFRIAAENSIG MGPFVETPNALVIRDPITVPERPEDLEVKEVTKNTVSLTWNPPKYDGGSEIINYVLESRL IGTEKFHKVTNDNLLSRKYTVKGLKEGDTYEYRVSAVNIVGQGKPSFCTKPITCKDELAP PTLDLDFRDKLTVRVGESFALTGRYSGKPKPKIDWFKDEADVLEDDRTHIKTTPTTLALE KTKAKRSDSGKYCVVVENSTGSRKGFCQVNVVDRPGPPVGPVIFDEVTKEYMVISWKPPL DDGGSEITNYIIEKKELGKDIWMPVTSASAKTTCKVPKLLEGKDYIFRIHAENLYGISDP LVSDSMKAKDRFRVPDAPEQPVVTEVTKDSALVTWNKPNDGGKPITNYILEKRETMSKRW VRVTKEPIHPYTKYRVPDLLEGCQYEFRVSAENEIGIGDPSPPSKPVFARDPIAKPSPPI NPEAIDTTCNSVELTWQPPRHDGGSKILGYIVEYQKVGDEEWRRANHTPESCPETKYKVT GLRDGQSYKFRVLAVNEAGESDPAHVPEPVLVKDRLEPPELILDANMAREQHIRVGDTLR LSAIIKGVPFPKVTWKKEDREAPTKAQIDVTPVGSKLEIRNAAHEDGGIYSLTVENPAGT KTVSVKVLVLDKPGPPRDLEVSEIRKDSCYLTWKEPLDDGGSVVTNYVVERKDVATAQWS PLSTTSKKKSHMAKHLTEGNQYLFRVAAENQYGRGPFVETPKPIKALDPLHPPGPPKDLH HVDVDKTEVSLVWNKPDRDGGSPITGYLVEYQEEGDKDWIKFKTVKNLDCVVTGLQQGKT YRFRVKAENIIGLGLPDTTIPIECQEKLVPPSVELDVKLIEGLVVKAGTTVRFPAIIRGV PVPTAKWTTDGTEIKTDDHYTVETDSFSSVLTIKNCLRKDTGEYQLTVSNAAGTKTVAVH LTVLDVPGPPTGPINILDVTPEYMTISWQPPKDDGGSPVINYIVEKQDTRKGTWGVVSAG SSKLKLKVPHLQKGCEYVFRVKAENKMGVGPPLDSIPTVAKHKFSPPSPPGKPVVTDITE NAATVSWTLPKSDGGSPITGYYVERREITGKWVRVNKTPIADLKFRVTGLYEGNTYEFRV FAENLAGLSNPSPSSDPIKACRPIKPPGPPINPKLKDKSKESADLVWTKPLSDGGSPILG YVVEYQKPGTAQWDRINKDELIRQCAFRVPGLIEGNEYRFRIRAANIVGEGEPRELAESV IAKDILHPPEVELDVTCRDVITVRVGQTIRILARVKGRPEPDITWSKEGKVLVKDKRVDL IHDLPRVELQIKEAVRADHGKYIISAKNSSGHAQGSAIVNVLDRPGPCQNLKVSNVTKEN CTISWENPLDNGGSEITNFIVEYRKPNQKGWSIVASDVTKRLVKANLLANNEYYFRVCAE NKVGVGPTIETKTPILAINPIDRPGEPENLHIADKGKTFVYLKWRRPDYDGGSPNLSYHV ERRLKGSADWERVHKGSIKETHYMVDKCVENQIYEFRVQTKNEGGESDWVRTEEVVVKED LQKPVLDLKLSGVLTVKAGDTIRLEAGVRGKPFPEVAWTKDKDATDLTRSPRVKIDTSAE SSKFSLTKAKRSDGGKYVITATNPAGSFVAYATVNVLDKPGPVRNLKITDVSSDRCTIRW DPPEDDGGCEIQNYILEKCESKRMVWSTYSANVLTPSATVTRLIEGNEYIFRVRAENKIG TGPPTESKPVIAKTKYDRPGRPDPPEVTKVSKEEMTVVWNAPEYDGGKSITGYYLEKKEK HAVRWVPVNKSAIPERRLKVQNLLPGHEYQFRVKAENEVGIGEPSLPSRPVVAKDPIEPP GPPTNFKVVDTTKSSITLAWGKPVYDGGAPIIGYVVEMRPKIADASPDEGWKRCNAAAQL IRMEFTVTSLDENQEYEFRVCAQNQVGIGRPAELKEAIKPKEILEPPEIDLDASMRKLVV VRAGCPIRLFAIVRGRPAPKVTWRKVGIDNVVRKGQVDLVDTMAFLVIPNSTRDDSGKYS LTLVNPAGEKAVFVNVKVLDTPGPVADLKVSDVTKTSCHVSWAPPENDGGSQVTHYIVEK REAERKTWSTVTPEVKKTSFNVTNLVPGNEYFFRVTAVNEYGPGVPTDIPKPVLASDPLS EPDPPRKLEVTEMTKNSATLAWLPPLRDGGAKIDGYIISYREEDQPADRWTEYSVVKDLS LIVTGLKEGKKYKFRVAARNAVGVSMPREAEGVYEAKEQLLPPKILMPEQITIKAGKKLR VEAHVYGKPNPICKWKKGDDEVVTSSHLAIHKADGSSVLIIKDVTRKDSGYYSLTAENSS GSDTQKIKVTVMDAPGPPQPPFDISEIDADACSLSWHIPLEDGGSNITNYIVEKCDVSRG DWVTALASVTKTSCRVGKLIPGQEYIFRVRAENRFGISEPLTSPKMLAKFPFDVPSEPKN ARVTKVNKDCIFVAWDRPDSDGGSPITGYLIERKERNSLLWVKANDTIVRSTEYPCAGLV EGLEYSFRIYALNKAGSSPPSKPTEYVTARMPVDPPGKPEVVDVTKNSASLIWARPKHDG GSRIIGYFVEACKLPGDKWVRCNTTPHQIPQEEYTATGLEENAQYQFRAIAKTAVNISQP SEPSDPVTILAENVPPRIELSVEMKSLLTVKAGTNVCLDATVFGKPMPTVSWKKDTTPIK QAEGIKMAMKRNLCTLELFSVNRKDSGDYTITAENSSGSKSATIKLKVLDKPGPPASVKI NKMYADRAMLSWEPPLEDGGSEITNYIIDKRETSRPNWAQVSATVPITSCTVEKLIEGHE YQFRICAENKYGVGDPILTEPAIAKNPYDPPGRCDPPVISNITKDHMTVSWKAPADDGGS PITGYLVEKRETQAVNWTKVNRKPVIERTLKATGLQEGTEYEFRVTAINKAGPGKPSDAS KAVYAQDPLYPPGPPAFPKVYDTTRSSVSLSWGKPAYDGGSPIIGYLVEVKRADSDHWVR CNLPEKLQKTRFEVTGLMENTEYQFRVYAVNKIGYSDPSDVPDKHCPKDILIPPEGELDA ELRKTLILRAGVTMRLYVPVKGRPPPKITWSKPNVNLRERIGLDIKSTDFDTFLRCENVN KYDAGKYILTLENSCGKKEYTIVVKVLDTPGPPVNVTVKEVSKDSAYVTWDPPIIDGGSP IINYVVEKRDAERKSWSTVTTECSKTSFRVSNLEEGKSYFFRVFAENEYGIGDPGETRDA VKASETPGPVVDLKALAITKSSCTIGWKKPRSDGGSRITGYVVDFLTEENKWQRVMKSLS LQYSTKDLKEGKEYTFRVSAENENGEGTPSEIVVVAKDDVVAPDLDLKDLPDLCYLAKEN SNFRLKIPIKGKPAPSVSWKKGEDPLATDTRVSVESTAVNTTLVVYDCQKSDAGKYTITL KNVAGTKEGTLSIKVVGKPGIPTGPIKFDEVTAEAMTLKWGPPKDDGGSEITNYVLEKRD SVNNKWVTCASAVQKTTFRVTRLHEGIEYTFRVSAENKYGVGEGLKSEPIVAKHPFDVPD APPPPNIVDVRHDSVSLTWTDPKKTGGSPITGYHIEFKERNSLLWKRANKTPIRMKDFKV TGLTEGLEYEFRVMAINLAGVGKPSLPSEPVVALDPIDPPGKPEVISVTRNSVTLIWTEP KYDGGHKLTGYIVEKRDLPSKSWMKANHVNVPDCAFTVTDLVEGGKYEFRIRAKNTAGAI SAPSESTGTIICKDEYEAPTIVLDPTIKDGLTVKAGDSIVLSAISILGKPLPKSSWSRAG KDIRPSDIAQITSTPTSSMLTVKYATRKDAGEYTITATNPFGTKEEHVKVSVLDVPGPPG PIEISNVSAEKATLTWTPPLEDGGSPIKAYVLEKRETSRLLWTVVSEDIQACRHVVTKLI QGNEYLFRVSAVNHYGKGEPVQSEPVKMVDRFGPPGPPGKPEISNVTKNTATVSWKRPTD DGGSEITGYHVERREKKGLRWVRATKTPVSDLRCKVTGLQEGNTYEFRVSAENRAGIGPP SDASNPVLMKDVAYPPGPPSNAHVTDTTKKSASLAWGKPHYDGGLEITGYVVEHQKVGDD AWIKDTTGTALRITQFVVPDLQTKEKYNFRISAINDAGVGEPAVIPNVEIVEKEVAPDFE LDAELRRTLVVRAGLSIRIFVPIKGRPAPEVTWTKDNINLKHRANIENTESFTLLIIPEC NRYDTGKFVMTIENPAGKKSGFVNVRVLDTPGPVLNLRPTDITKDSVTLHWDLPLIDGGS RITNYIVEKREATRKSYSTVTTKCHKCTYKVTGLTEGCEYFFRVMAENEYGVGEPTETTE PVRASEAPLPPDSLNIMDITKNTVSLAWPKPRHDGGSKITGYVIEAQRKGSDQWTHISTV KGLECVVRNLTEGEEYTFQVMAVNSAGRSAPRESRPVIVKEQTMLPELDLRGIYQKLVIA RAGDNIKVEIPVLGRPKPTVTWKKGDQILKQTQRVNVENTATSTILNINECVRSDSGPYP LTAKNTVGEVGDVITIQVHDIPGPPTGPIKFDEVSSDFVTFSWEPPENDGGVPISNYVVE MRQTDSTTWVELATTVIRTTYKATRLTTGVEYQFRVRAQNRYGVGPGITSASVVANYPFK VPGPPGTPQVTAVTKDSMTISWHEPLSDGGSPILGYHIERKERNGILWQTVSKALVPGNI FKSTGLTDGIAYEFRVIAENMAGKSKPSKPSEPMFALDPIDPPGKPVPLNITRHTVALKW AKPEYTGGFKITSYVVEKRDLPNGRWLKANFSNILENEFTVSGLTEDAAYEFRVIAKNAA GAISPPSEPSDAITCRDDLEAPRIMVDVRFKDTITLKAGEAFKLEADVSGRPPPTMEWTK DGKELEGTGKLEIKIADFSTHLINKDSSRTDSGAYILTATNPGGFAKHIFNVKVLDRPGP PEGPLAVSDVTSEKCVLSWLPPLDDGGAKIDHYIVQKRETSRLAWTNVATEVQVTKLKVT KLLKGNEYIFRVMAVNKYGVGEPLESEPVLAVDPYGPPDPPKNPEVTTITKDSMVVCWGH PDSDGGSEIINYIVERRDKAGQRWVKCNKKALTDLRFKVSGLTEGHEYEFRIMAENAAGI SAPSATSPFYKACDTVFKPGPPGNPRVLDTSRSSISIAWNKPIYDGGSEITGYMVEIALP EEDEWQVVTPPAGLKATSYTITSLIENQEYKIRIYAMNSEGLGEPALVPGTPKAEERMLP PEIELDADLRKVVTIRACCTLRLFVPIKGRPAPEVKWAREHGESLDKASIESTSSYTLLV VGNVNRFDSGKYILTVENSSGSKSAFVNVRVLDTPGPPQNLKIKEVTKTSVTLTWEPPLL DGGSKIKNYIVEKRESTRKAYSTVATNCHKTSWKVDQLQEGCSYYFRVLAENEYGIGLPA ETAESVKASERPLPPGKITLTDVTRNSVSLSWEKPEHDGGSRILGYIVEMQSKGSDRWAT CATVKVTEATITGLIQGEEYSFRVSAQNEKGISDPRQLSVPVIAKDLVIPPAFKLLFNTF TVLAGEDLKIDVPFIGRPPPAVTWHKDDIPLKQTTRVNAESTENNSLLTIKEACREDVGH YTVKLTNSAGEATETLNVIVLDKPGPPTGPVKMDEVTADSVTLSWEPPKYDGGSSINNYI VEKRDTSTTAWQIVSATVARTTIKACRLKTGCEYQFRIAAENRYGKSTYLNSEPVVAQYP FKVPGPPGTPFVTLASKDSMEVQWHEPVSDGGSKVIGYHLERKERNSILWVKLNKTPIPQ TKFKTTGLEEGIEYEFRVSAENIVGIGKPSKPSECYAAHDPCDPPGRPEAIIVTRNSVTL QWKKPTYDGGSKITGYIVEKKELPDGRWMKASFTNIIDTQFEVTGLLEDHRYEFRVIARN AAGVFSEPSESTGAITARDEVEPPRISMDPKYRDTVVVQAGESFKIDADIYGKPIPTTQW VKGDQELSSTARLEIKSTDFATSLSVKDAVRVDSGNYILKAKNVAGEKSVTINVKVLDRP GPPEGPVAISGVTAEKCTLAWKPPLQDGGSDITNYIVERRETSRLVWTLVDANVQTLSCK VLKLLEGNEYIFRIMAVNKYGVGEPLESESLIAKNPFVVPDAPKAPEVTAVTKDSMIVVW ERPASDGGSEILGYVLEKRDKEGIRWTRCHKRLIGELRLRVTGLLENHNYEFRVSAENAA GLSEPSPPSAYQKACDPIYKPGPPNNPKVMDVTRSSVFLSWTKPIYDGGCEIQGYIVEKC DVSVGEWTMCTPPTGINKTNLEVEKLLEKHEYNFRICAINKAGVGEHADVPGPVMVEEKL EAPDIDLDLELRKVINIRAGGSLRLFVPIKGRPTPEVKWGKVDGDIRDAAIIDVTSSFTS LVLDNVNRYDSGKYTLTLENSSGTKSAFVTVRVLDTPSPPVNLKVTEITKDSVSITWEPP LLDGGSKIKNYIVEKREATRKSYAAVVTNCHKNSWKIDQLQEGCSYYFRVTAENEYGIGL PARTADPIKVAEVPQPPGKITVDDVTRNSVSLSWTKPEHDGGSKIIQYIVEMQAKNTDKW SECARVKSLDAVITNLTQGEEYLFRVIAVNEKGRSDPRSLAVPIIAKDLVIEPDVRPAFS SYSVQVGQDLKIEVPISGRPKPSISWTKDGMPLKQTTRINVTDSLDLTTLSIKETHKDDG GQYGITVSNVVGQKTAAIEIITLDKPDPPKGPVKFDEISAESITLSWNPPLYTGGCQITN YIVQKRDTTTTVWDVVSATVARTTLKVTKLKTGTEYQFRIFAENRYGQSFALESEPVVAQ YPYKEPGPPGTPFVTAISKESMVVQWHEPINNGGSPVIGYHLERKERNSILWTKVNKTII HDTQFKALNLEEGIEYEFRVYAENIVGVGKASKNSECYVARDPCDPPGTPEAIIVKRNEI TLQWTKPVYDGGSMITGYIVEKRDLPEGRWMKASFTNVIETQFTVSGLTEDQRYEFRVIA KNAAGAISKPSDSTGPITAKDEVELPRISMDPKFRDTIVVNAGETFRLEADVHGKPLPTI EWLRGDKEIEESARCEIKNTDFKALLIVKDAIRIDGGQYILRASNVAGSKSFPVNVKVLD RPGPPEGPVQVTGVTAEKCTLAWSPPLQDGGSDISHYVVEKRETSRLAWTVVASEVVTNS LKVTKLLEGNKYIFRIMAVNKYGVGEPLESAPVLMKNPFVLPGPPKSLEVTNIAKDSMTV CWNRPDSDGGSEIIGYIVEKRDRSGIRWIKCNKRRITDLRLRVTGLTEDHEYEFRVSAEN AAGVGEPSPATVYYKACDPVFKPGPPTNAHVVDTTKNSITLAWSKPIYDGGSEILGYVVE ICKADEEEWQIVTPQTGLRVTRFEIAKLIEHQEYKIRVCALNKVGLGEAASVPGTVKPED KLEAPELDLDSELRKGIVVRAGGSARIHIPFKGRPTPEITWSKEEGEFTDKVQIEKGINF TQLSIDNCDRNDAGKYILKLENSSGSKSAFVTVKVLDTPGPPQNLAVKEVRKDSVLLVWE PPIIDGGAKVKNYVIDKRESTRKAYANVSSKCNKTSFRVENLTEGAIYYFRVMAENEFGV GVPTETSDAVKASEPPSPPGKVTLTDVSQTSASLMWEKPEHDGGSRILGYVVEMQPKGTE KWSVVAESKVCNAVVSGLSSGQEYQFRVKAYNEKGKSDPRVLGIPVIAKDLTIQPSFKLP FNTYSVQAGEDLKIEIPVIGRPRPKISWVKDGEPLKQTTRVNVEETATSTILHIKESSKD DFGKYSVTATNSAGTATENLSVIVLEKPGPPVGPVKFDEVSADFVVISWEPPAYTGGCQI SNYIVEKRDTTTTNWQMVSATVARTTIKISKLKTGTEYQFRIFAENRYGKSTPLDSKPVV VQYPFKEPGPPGTPFVTSISKDQMLVQWHEPVNDGGSKVTGYHLEQKEKNSILWVKLNKI PIQDTKFKTTGLDEGLEYEFRVSAENIVGIGKPSKVSECYVARDPCDPPGRPEAIVITRN SVTLKWKKPVYDGGSKITGYIVEKKDLPDGRWMKASFTNVVETEFTVTGLVEDQRYEFRV IARNAADNFSEPSESSGAITARDEIDAPNASLDPKYRDVIIVHAGETFVLEADIRGKPIP DIIWSKDGNELEETAARMEIKSTLQKTTLIVKDCIRTDGGQYTLKLSNVGGTKTIPITVK VLDRPGPPEGPLKVTGVTAEKCYLAWNPPLQDGGASISHYIIEKRETSRLSWTQVSNEVQ ALNYKVTKLLPGNEYIFRVMAVNKYGIGEALESEPVIACNPYKRPGPPSTPEASAITKDS MVLTWTRPVDDGGAEIEGYILEKRDKEGIRWTKCNKKTLTDLRFRVTGLTEGHSYEFRVA AENAAGVGEPSEPSVFYRACDALYPPGPPSNPKVTDTSRSSVSLAWNKPIYDGGAPVRGY VIELKKAAADEWTTCTPPSGLQGKQFTVTKLKENTEYNFRICAFNTEGVGEPATIPGSVV AQERMEAPEIELDADLRKVVTLRASATLRLFVTIKGRPEPEVKWEKAEGILTERAQIEVT SSYTMLVIDNVTRFDSGRYNLTLENNSGSKTAFVNVRVLDSPSAPVNLTIREVKKDSVTL SWEPPLIDGGAKITNYIVEKRETTRKAYATITNNCTKNTFKIENLQEGCSYYFRVLASNE YGIGLPAETAEPVKVSEPPLPPGRVTLVDVTRNTATIKWEKPESDGGSKITGYVVEMQTK GSEKWSACTQVKTLETTISGLTAGEEYVFRVAAVNEKGRSDPRQLGVPVIAKDIEIKPSV ELPFNTFNVKANDQLKIDIPFKGRPQATVAWKKDGQVLRETTRVNVASSKTVTTLSIKEA SREDVGTYELCVSNTAGSITVPITVIVLDRPGPPGPIRIDEVSCDNVSISWNPPEYDGGC QISNYIVEKRETTSTTWQVVSQAVARTSIKIVRLTTGSEYQFRVCAENRYGKSSYSESSA VVAEYPFSPPGPPGTPKVVHATKSTMVVSWQVPVNDGGSQVIGYHLEYKERSSILWSKAN KVLIADTQMKVSGLDEGLMYEYRVYAENIAGIGKCSKACEPVPARDPCDPPGQPEVTNIT RKSVSLKWSKPRYDGGAKITGYIVERRELPDGRWLKCNFTNVQETYFEVTELTEDQRYEF RVFARNAADSVSEPSESTGPITVKDDVEAPRIMMDVKFRDVIIVKAGEVLKINADIAGRP LPVISWAKDGVEIEERAKTEIVSTDYTTTLTVKDCVRRDTGQYVLTLKNVAGTRTMAVNC KVLDKPGPPAGPLEINGLTAEKCSLSWGRPQEDGGADIDYYIVEKRETSRLAWTICEAEL RTTSCKVTKLLKGNEYIFRVTGVNKYGVGEPLESMAVKALDPFTTPSPPTSLEITSVTKD SMTLCWSRPETDGGSDISGYIIERREKNSLRWMRVNKKPVYDLRVKSTGLREGCEYEYRV FAENAAGLSLPSETSPLVRAEDPVFLPSPPSKPKIVDSGKTTITIGWVKPLFDGGAPITG YTVEYKKSEETDWKVAIQSFRGTEYTMSGLTTGDEYVFRVRSLNKMGASDPSDSSDPQVA KEREEEPVFDVDSEMRKTLIVKAGSSFTMTVPFRGRPIPNVSWSKPDTDLRTRAYIDSTD SRTLLTIENANRNDSGKYTLTIQNVLSAASMTFVVKVLDSPGPPANITVREVTKETAMLS WDVPENDGGAPVKNYHIEKREASKKAWVSVTNNCNRLSYKVTNLQEGAIYYFRVSGENEF GVGVPAETKEGVKITEKPSPPEKLGVTSVSKDSVSLSWLKPEHDGGSRIIHYVVEALEKG QKTWVKCAVVKTTHHVVSGLRESHEYFFRVFAENQAGLSDPRELLLPVLIKDQLEPPEID MKNFPSHTVYVRAGSNLKVDIPISGKPLPKVTLSRDGVPLKATMRFNTEITAENLTINLK ESVTTDAGRYEITAANSSGTTKTFINIIVLDRPGPPTGPVAISDITEESVTLKWEPPKYD GGSHVTNYIVLKRETSTAVWSEVSATVARTMIKVMKLTTGEEYQFRIKAENRFGISDHID SVCVVVKLPYTTPGPPSTPWVSNVTRESITVGWHEPVSNGGSAVTGYHLEMKDRNSILWQ KANKMIIRTTHFKVTTISAGLIYEFRVYAENAAGIGKPSHPSEPVLAIDACEPPRNVRIT DISKNSVNLSWQQPAFDGGSKITGYIVERRDLPDGRWTKASFTNVIETQFTVSGLTQNSQ YEFRVFARNAVGSVSNPSEVVGPITCIDSYGGPVIDLPLEYTEVVKYRAGTSVKLRAGIS GKPEPTIEWYKDDKELQTNALVCVENSTDLASILIKDANRLNSGSYELKLRNAMGSASAT IRVQILDKPGPPGGPIEFKTVTAEKITLLWRPPADDGGAKITHYIVEKRETSRVVWSMVA ENLEECIVTTTKIIKGNEYVFRVRAVNKYGIGEPLESEPVVAKNAFVTPGPPSIPEVTKI TKNSMTVVWDRPTVDGGSEINGYFLERRDKKSLAWLKVLKETIRDTRQKVTGLTENSDYQ YRVCAVNAAGVGPFSEPSDFYKAADPIDPPGPPAKIRIADSTKSSITLGWSKPVYDGGSD VTGYVVEMKQGDEEEWTIVSTRGEVRTTEYVVSNLKPGVNYYFQVSAVNCAGQGEPITMT EPAQAKDVLEEPEIDLDVALRTSVIAKAGEDVQLLIPFKGRPPPTVTWRKDEKNLGSDTR YSIQNTDSSSLLVIPQVTRNDTGKYILTIENGVGQPKSSTVSVKVLDTPAACQKLQVKHV SLGTVTLLWDPPLIDGGSPIINYVIEKRDATKRTWSVVSHKCSGTSFKVTDLSEKTPFFF RVLAENEIGIGEPCETTEPVKAAEVPAPIRDLSMKDSTKTSVVLSWTKPDFDGGSIITDY LVERKGKGEQAWSHAGISKTCEIEIGQLKEQSVLEFRVSARNEKGQSDPVTIGPLTVKEL VITPEVDLSEIPGAQISVRIGHNVHLELPYKGKPKPSISWLKDGLPLKESEYVRFSKTEN KITLSIKNSKKEHGGKYTVILDNAVCRNSFPITIITLGPPSKPKGPIRFDEIKADSAIMS WDIPEDDGGGEITCYSIEKREASQTNWKMVCSSVARTTFKVSNLVKDSEYQFRVRAENRY GVSEPLASNIIVAKHQFRIPGPPGKPVIYNVTSDGMSLTWDAPVYDGGSEVTGFHVEKKE RNSILWQRVNTSPISGREYRATGLIEGLDYQFRVYAENSAGLSSPSDPSKFTLAVSPVDP PGTPDYIDVTRETITLKWNPPLRDGGSKIVAYSIEKRQGSDRWVRCNFTDVSECQYTVTG LSPGDRYEFRIIARNAVGTISPPSQSSGLIMTRDENVPPTVEFGPEYFDGLVIKSGDSLR IKALVQGRPVPRVTWFKDGVEIERRMNMEITDVLGSTSLFVRDATRDHRGVYTVEAKNVS GSTKAEVTVKVQDTPGKVVGPIRFTNITGEKMTLWWEAPLNDGCAPVTHYIIEKRETSRL AWALIEDNCEALSYTAIKLITGNEYQFRISAVNKFGVGRPLESDPVVAQIQYTIPDAPGV PEPSNVTGNSITLTWTRPESDGGSEIQHYILERREKKSTRWVKVISKRPISETRFKVTGL VEGNEYEFHVMAENAAGIGPASGISRLIKCREPVNPPSAPSVVKVTDTSKTTVSLEWARP VFDGGMEIIGYIIEMCKADLGDWHKVNTEPCVKTRYTVTDLQAGEEYKFRVSAVNGAGKG DSCEVTGTIKAVDRLSAPELDIDANFKQTHIVRAGVSIRLFIAYQGRPTPTAVWSKPDSN LSIRADIHTTDSFSTLTVENCNRNDAGKYTLTVENNSGKKSITFTVKVLDSPGPPGPITF KDVTRGSATLMWDAPLLDGGARIHHYVIEKREASRRSWQVVSEKCTRQILKVSELTEGVP YYFRVSAENEYGVGEPYEMPEPIVATEQPAPPRRLDVVDTSKSSAVLAWLKPDHDGGSRI TSYLLEMRQKGSDFWVEAGHTKQLTFTVERLVENTEYEFRVKAKNDAGYSEPREAFSSVI IKEPQIEPTADLTGITNQLITCKAGSTFTIDVPISGRPAPKVTWKLEEMRLKETDRMSIA TTKDRTTLTVKDSMRGDSGRYFLTLENTAGVKTFTITVVVIGRPGPVTGPIEVSSVSAES CVLSWTEPKDDGGTEITNYIVEKRESGTTAWQLINSSVKRTQIKVTHLTKYKEYCFRVSS ENRFGVSKPLESVPIVAEHPFVPPSAPTRPEVYYVSANAMSIRWEEPYHDGGSKIVGYWV EKKERNTILWVKENKVPCLECNYKVTGLVEGLEYQFRTYALNAAGVSKASEASRPIMAQN PVDPPGRPEVTDVTRSTVSLIWSAPVYDGGSKVVGYIIERKPVSEVGDGRWLKCNYTIVS DNFFTVTALSEGDTYEFRVLAKNAAGVISKGSESTGPVTCRDEYAPPKAELDARLQGDLV TIRAGSDLVLDAAVGGKPEPKIIWTKGDKELDLCEKISLQYTGKRATAVIKYCDRSDSGK YTLTVKNASGTKSVSVMVKVLDSPGPCGKLTVSRVTEEKCTLAWSLPQEDGGAEITHYIV ERRETSRLNWVIVEGECLTASYVVTRLIKNNEYTFRVRAVNKYGLGVPVESEPIVARNSF TIPSQPGIPEEVGAGKEHIIIQWTKPESDGGNEISNYLVDKREKKSLRWTRVNKDYVVYD TRLKVTSLMEGCDYQFRVTAVNAAGNSEPSEASNFISCREPSYTPGPPSAPRVVDTTKRS ISLAWTKPMYDGGTDIIGYVLEMQEKDTDQWCRVHTNATIRNNEFTVPDLKMGQKYSFRV AAVNAKGMSDYSETTAEIEPVERLEIPDLELADDLKKTVIVRAGASLRLMVSVSGRPSPV ITWSKKGIDLANRAIIDNTESYSLLIVDKVNRYDAGKYTIEAENQSGKKSATVLVKVYDT PGPCPSVSVKEVSRDSVTITWEIPTIDGGAPVNNYIIEKREAAMRAFKTVTTKCSKTLYR ISGLVEGTMYYFRVLPENIYGIGEPCETSDAVLVSEVPLVPTKLEVVDVTKSTVTLAWEK PLYDGGSRLTGYVLEACKAGTERWMKVVTLKPTVLEHTVISLNEGEQYLFRVRAQNEKGV SEPREIVTPVTVQDLRVLPTIDLSTMPQKTIHVPAGRPIELVIPITGRPPPTASWFFAGS KLRESERVTVETHTKVTKLTIRETTIRDTGEYTLELKNVTGTTSETIKVIILDKPGPPTG PIKIDEIDATSVTISWEPPELDGGAPLSGYVVEQRDAHRPGWLPVSESVTRPTFKFTRLT EGNEYVFRVAATNRFGIGSYLQSEVIECRSSISIPGPPETLQIFDVSRDGMTLTWYPPED DGGSQVTGYIVERKEVRADRWVRVNKVPVTMTRYRSTGLIEGLEYEHRVTAINARGTGKP SRPSKPTVAMDPIAPPGKPQNPRVTDTTRTSVSLAWSVPEDEGGSKVTGYLIEMQKVDQR EWTKCNTTPTKIREYTLTHLPQGAEYRFRVLACNAGGPGEPAEVPGTVKVTEMLEYPDYE LDERYQEGVFVRQGGVIRLTIPIKGKPFPVCKWTKEGQDISKRAMIATSETHTELVIKEA DRNDSGTYDLVLENKCGKKTVYIKVKVIGSPNTPEGPLEYDDIQARSVRVSWRPPADDGG ADILGYILERREVPKAAWYTIDSRVRGTSLVVKGLKENVEYHFRVSAENQFGISKPLKSE EPVIPKTPLNPPEPPSNPPEVLDVTKSSVSLSWSRPKDDGGSRVTGYYIERKETSTDKWV RHNKTQITTTMYTVTGLVPDAEYQFRIIAQNDVGLSETSPASEPVVCKDPFDKPSQPGEL EILSISKDSVTLQWEKPECDGGKEILGYWVEYRQSGDSAWKKSNKERIKDRQFTIGGLLE ATEYEFRVFAENETGLSRPRRTAMSVKTKLTSGEAPGVRKEMADVTTKLGEAAQLSCQIV GRPLPDIKWYRFGKELIQSRKYKMSSDGRTHTLTVMTDEQEDEGVYTCVATNEVGEVESS SKLLLQAAPQFHPGYPLKEKYYGAVGSTLRLHVMYIGRPVPAMTWFHGQKLLQNSEKITI ENTEHYTHLVMKNVQRKTHAGKYKVQLSNAFGTVDATLDVEIQDKPDKPTGPIVIEALLK NSVVISWKPPADDGGSWITNYVVEKCEAKEGAEWQLVSSAISVTTCRIVNLTENAGYYFR VSAQNTFGISEPLEVASIVIIKSPFEKPGVPGKPTITAVTKDSCVVAWKPPASDGGAKIR NYYLERREKKQNKWIAVTTEEIRETVFSVQNLIEGLEYEFRVKCENLGGESEWSEISEPV TPKSDVPIQAPHFKEELRNLNVRYQSNATLVCKVTGHPKPIVKWYRQGKEIIADGLKYRI QEFKGGYHQLIIASVTDDDATVYQVRATNQGGSVSGTASLEVEVPAKIHLPKTLEGMGAV HALRGEVVSIKIPFSGKPDPVITWQKGQDLIDNNGHYQVIVTRSFTSLVFSNGVERKDAG FYVVCAKNRFGIDQKTVELDVADVPDPPRGVKVSDVSRDSVNLTWTEPASDGGSKVTNYI VEKCATTAERWLRVGQARETRYTVINLFGKTSYQFRVIAENKFGLSKPSEPSEPTVTKED KTRAMNYDDEVDETREVTTTKASHSKTKELYEKYMIAEDLGRGEFGIVHRCVETSSKRTF MAKFVKVKGTDQVLVKKEISILNIARHRNILYLHESFESMEELVMIFEFISGLDIFERIN TSAFELNEREIVSYVRQVCEALEFLHSQNIGHFDIRPENIIYQTRKNSTIKIIEFGQARQ LKPGDNFRLLFTAPEYYAPEVHQHDVVSTATDMWSLGTLVYVLLSGINPFLAETNQQMIE NIMNAEYTFDEEAFKEISLEAMDFVDRLLVKERKSRMTASEALQHPWLKQRIDRVSTKVI RTLKHRRYYHTLIKKDLNMVVSAARISCGGAIRSQRGVSVAKVKVASIEIGPVSGQIMHA IGEEGGYVKYVCKIENYDQSTQVTWYFGVRQLENSEKYEITYEDGVATMYVKDITKFDDG TYRCKVVNDYGEDSSYAELFVKGVREVYDYYCRRTKKVKRRTDAMRLLERPPEFTLPLYN KTAYVGENVRFGVTITVHPEPRVTWYKSGQKIKPGDDEKKYTFESDKGLYQLTINSVTTD DDAEYTVVARNKHGEDSCKAKLTVTLHPPPTETTLRPMFKRLLANAECHEGQSVCFEIRV SGIPAPTLKWEKDGQPLSLGPHIEIVHEGLDYYALHIRDTLPEDTGYYRVTATNTAGSTS CQAHLQVERLRYVKQEFQSKEERERHVQKQIDKTLRMAEILSGTETVPLTPVAQEALREA AILYKPAVSTKTVKGEYRLQTEEKKEERKLRMPYEVPEPRRFKQATVEEDQRIKQFVPMS DMKWYKKIRDQYEMPGKLDRVVQKRPKRIRLSRWEQFYVMPLPRITDQYRPKWRIPKLTQ DDLEMVRPARRRTPSPDYDLYYYRRRRRSLGDMSDEELLLPIDDYLAMKRTEEERLRLEE ELELGFSASPPSRSPPRFELSSLRYSSPPAHVKVEDRRRDFRYSTYHVPTKEETSTSYAE LRERHAQASYRQPKLRQRIMAEKEEEELLRPVTTTQRLSEYKSELDYMSKEEKSKKKSKR QRQVTEITEIEEEYEISRRAQRESSSSVSRLLRRRRSLSPTYIELMRPVSELIRSHPRPA EEYEDDAERRSPTPERTRPRSPSPVSSERSLSRFERSARFDIFSRYESMKAALKTQKTSE RKYEVLSQQPFTLDHAPRITLRMRSHRVPCGQNTRFILNVQSKPTAEVKWYHNGVELQES SKIHYTNTSGVLTLEILDCQTEDGGTYRAVCTNYKGEASDYATLDVTGGAYTTYASQRRD EEVPKSVFPELTKTEAYAVSSFKRTSELEAASSVREVKSQMTETRESLSTYEHYASAEMK SATSEEKSLEEKATVRKIKTTLAARILTKPRSITVHEGESARFSCDTDGEPVPTVTWLRE GQVVSTSARHQVTTTKYKSTFEISSVQASDEGNYSVVVENSDGKQEAQFTLTVQKARVIE KAVTSPPRVKSPEPRVKSPETVKSPKRVKSPEPVTSHPKAVSPTETKPTEKGQHLPVSAP PKITQSLKAEASKDIAKLTCAVESSALCAKEVAWYKDGKKLKENGHFQFHYSADGTYELK IHNLSESDCGEYVCEVSGEGGTSKTSFQFTGQSFKSIHEQVSSISETTKSVQKTAESAEA KKQEPIAPESISSKPVIVTGLRDTTVSSDSVAKFTIKVTGEPQPTITWTKDGKAIAQGSK YKLSSNKEEFILEILKTETSDGGLYACTVTNSAGSVSSSCKLTIKAVKDTEAQKVSTQKT SEVTSQKKASAQEEISQKALTSEEIKMSEVKSHETLAIKEEASKVLIAEEVKRSAAASLE KSIVHEEVTKTSQASEEVKTHAEIKTLSTQMNITKGQRATLKANIAGATDVKWVLNGTEL PNSEEYRYGVSGSDQTLTIKQASHREEGILSCIGKTSQGVVKCQFDLTLSEELSDAPSFI TQPRSQNINEGQNVLFSCEVSGEPSPEIEWFKNNLPISISSNISVSRSRNVYTLEIRNAA VSDSGKYTIKAKNFHGQCSATASLTVLPLVEEPPREVVLKTSSDVSLHGSVSSQSVQMSA SKQEASFSSFSSSSASSMTEMKFASMSAQSMSSMQESFVEMSSSSFMGKSSMTQLESSTS RMLKAGGRGIPPKIEALPSDISIDEGKVLTVACAFTGEPTPEITWSCGGRKIQNQEQQGR FHIENTDDLTTLIIMDVQKQDGGLYTLSLGNEFGSDSATVNINIRSM >ENSMUSP00000140714.1 pep:known chromosome:GRCm38:2:76835965:76836814:-1 gene:ENSMUSG00000051747.14 transcript:ENSMUST00000190813.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttn description:titin [Source:MGI Symbol;Acc:MGI:98864] VYEASEETVIEEEHVTLPQKARLKVAKVPAPPQTVVTEEKTYVTIRKTRETLALKESETT REAFPELKSYKAVPEIPEPPSPEDLEIIEDVLPEKRPPAS >ENSMUSP00000020531.2 pep:known chromosome:GRCm38:11:32276400:32278116:1 gene:ENSMUSG00000055609.8 transcript:ENSMUST00000020531.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hba-x description:hemoglobin X, alpha-like embryonic chain in Hba complex [Source:MGI Symbol;Acc:MGI:96019] MSLMKNERAIIMSMWEKMAAQAEPIGTETLERLFCSYPQTKTYFPHFDLHHGSQQLRAHG FKIMTAVGDAVKSIDNLSSALTKLSELHAYILRVDPVNFKLLSHCLLVTMAARFPADFTP EVHEAWDKFMSILSSILTEKYR >ENSMUSP00000118167.1 pep:known chromosome:GRCm38:11:32276893:32278116:1 gene:ENSMUSG00000055609.8 transcript:ENSMUST00000145569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hba-x description:hemoglobin X, alpha-like embryonic chain in Hba complex [Source:MGI Symbol;Acc:MGI:96019] RGREDNVERTERSKLVRRGSRQADKLLKEVGWHSQSSPESSMWLLRLFCSYPQTKTYFPH FDLHHGSQQLRAHGFKIMTAVGDAVKSIDNLSSALTKLSELHAYILRVDPVNFKLLSHCL LVTMAARFPADFTPEVHEAWDKFMSILSSILTEKYR >ENSMUSP00000063784.5 pep:known chromosome:GRCm38:6:55451978:55498905:1 gene:ENSMUSG00000029778.12 transcript:ENSMUST00000070736.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcyap1r1 description:adenylate cyclase activating polypeptide 1 receptor 1 [Source:MGI Symbol;Acc:MGI:108449] MARTLQLSLTALLLLPMAIAMHSDCIFKKEQAMCLERIQRANDLMGLNESSPGCPGMWDN ITCWKPAQIGEMVLVSCPEVFRIFNPDQVWMTETIGDSGFADSNSLEITDMGVVGRNCTE DGWSEPFPHYFDACGFDDYEPESGDQDYYYLSVKALYTVGYSTSLVTLTTAMVILCRFRK LHCTRNFIHMNLFVSFMLRAISVFIKDWILYAEQDSSHCFVSTVECKAVMVFFHYCVVSN YFWLFIEGLYLFTLLVETFFPERRYFYWYTIIGWGTPTVCVTVWAVLRLYFDDAGCWDMN DSTALWWVIKGPVVGSIMVNFVLFIGIIIILVQKLQSPDMGGNESSIYFSCVQKCYCKPQ RAQQHSCKMSELSTITLRLARSTLLLIPLFGIHYTVFAFSPENVSKRERLVFELGLGSFQ GFVVAVLYCFLNGEVQAEIKRKWRSWKVNRYFTMDFKHRHPSLASSGVNGGTQLSILSKS SSQLRMSSLPADNLAT >ENSMUSP00000066902.5 pep:known chromosome:GRCm38:6:55452028:55501451:1 gene:ENSMUSG00000029778.12 transcript:ENSMUST00000070756.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcyap1r1 description:adenylate cyclase activating polypeptide 1 receptor 1 [Source:MGI Symbol;Acc:MGI:108449] MARTLQLSLTALLLLPMAIAMHSDCIFKKEQAMCLERIQRANDLMGLNESSPGCPGMWDN ITCWKPAQIGEMVLVSCPEVFRIFNPDQVWMTETIGDSGFADSNSLEITDMGVVGRNCTE DGWSEPFPHYFDACGFDDYEPESGDQDYYYLSVKALYTVGYSTSLVTLTTAMVILCRFRK LHCTRNFIHMNLFVSFMLRAISVFIKDWILYAEQDSSHCFVSTVECKAVMVFFHYCVVSN YFWLFIEGLYLFTLLVETFFPERRYFYWYTIIGWGTPTVCVTVWAVLRLYFDDAGCWDMN DSTALWWVIKGPVVGSIMVNFVLFIGIIIILVQKLQSPDMGGNESSIYLRLARSTLLLIP LFGIHYTVFAFSPENVSKRERLVFELGLGSFQGFVVAVLYCFLNGEVQAEIKRKWRSWKV NRYFTMDFKHRHPSLASSGVNGGTQLSILSKSSSQLRMSSLPADNLAT >ENSMUSP00000130742.1 pep:known chromosome:GRCm38:6:55452057:55478175:1 gene:ENSMUSG00000029778.12 transcript:ENSMUST00000166962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcyap1r1 description:adenylate cyclase activating polypeptide 1 receptor 1 [Source:MGI Symbol;Acc:MGI:108449] MARTLQLSLTALLLLPMAIAMHSDCIFKKEQAMCLERIQRANDLMGLNESSPGCPGMWDN ITCWKPAQIGEMVLVSCPEVFRIFNPDQVWMTETIGDSGFADSNSLEITVFCSLQTWGSW AGTALRMAGRSPSPITSMLVGLMTMS >ENSMUSP00000131641.1 pep:known chromosome:GRCm38:6:55452177:55460245:1 gene:ENSMUSG00000029778.12 transcript:ENSMUST00000167484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcyap1r1 description:adenylate cyclase activating polypeptide 1 receptor 1 [Source:MGI Symbol;Acc:MGI:108449] MAR >ENSMUSP00000127319.1 pep:known chromosome:GRCm38:6:55460236:55497171:1 gene:ENSMUSG00000029778.12 transcript:ENSMUST00000172084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcyap1r1 description:adenylate cyclase activating polypeptide 1 receptor 1 [Source:MGI Symbol;Acc:MGI:108449] MARTLQLSLTALLLLPMAIAMHSDCIFKKEQAMCLERIQRANDLMGLNESSPGCPGMWDN ITCWKPAQIGEMVLVSCPEVFRIFNPDQDMGVVGRNCTEDGWSEPFPHYFDACGFDDYEP ESGDQDYYYLSVKALYTVGYSTSLVTLTTAMVILCRFRKLHCTRNFIHMNLFVSFMLRAI SVFIKDWILYAEQDSSHCFVSTVECKAVMVFFHYCVVSNYFWLFIEGLYLFTLLVETFFP ERRYFYWYTIIGWGTPTVCVTVWAVLRLYFDDAGCWDMNDSTALWWVIKGPVVGSIMVNF VLFIGIIIILVQKLQSPDMGGNESSIYLRLARSTLLLIPLFGIHYTVFAFSPENVSKRER LVFELGLGSFQGFVVAVLYCFLNGEVQAEIKRKWRSWKVNRYFTMDFKHRHPSLASSGVN GGTQLSILSKSSSQLRMSSLPADNLAT >ENSMUSP00000129614.1 pep:known chromosome:GRCm38:6:55460236:55497171:1 gene:ENSMUSG00000029778.12 transcript:ENSMUST00000165857.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcyap1r1 description:adenylate cyclase activating polypeptide 1 receptor 1 [Source:MGI Symbol;Acc:MGI:108449] MARTLQLSLTALLLLPMAIAMHSDCIFKKEQAMCLERIQRANDLMGLNESSPGCPGMWDN ITCWKPAQIGEMVLVSCPEVFRIFNPDQVWMTETIGDSGFADSNSLEITDMGVVGRNCTE DGWSEPFPHYFDACGFDDYEPESGDQDYYYLSVKALYTVGYSTSLVTLTTAMVILCRFRK LHCTRNFIHMNLFVSFMLRAISVFIKDWILYAEQDSSHCFVSTVECKAVMVFFHYCVVSN YFWLFIEGLYLFTLLVETFFPERRYFYWYTIIGWGTPTVCVTVWAVLRLYFDDAGCWDMN DSTALWWVIKGPVVGSIMVNFVLFIGIIIILVQKLQSPDMGGNESSIYLTNLRLRVPKKA REDPLPVPSDQHSPPFLRLARSTLLLIPLFGIHYTVFAFSPENVSKRERLVFELGLGSFQ GFVVAVLYCFLNGEVQAEIKRKWRSWKVNRYFTMDFKHRHPSLASSGVNGGTQLSILSKS SSQLRMSSLPADNLAT >ENSMUSP00000126994.1 pep:known chromosome:GRCm38:6:55460236:55497171:1 gene:ENSMUSG00000029778.12 transcript:ENSMUST00000167234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcyap1r1 description:adenylate cyclase activating polypeptide 1 receptor 1 [Source:MGI Symbol;Acc:MGI:108449] MARTLQLSLTALLLLPMAIAMHSDCIFKKEQAMCLERIQRANDLMGLNESSPGCPGMWDN ITCWKPAQIGEMVLVSCPEVFRIFNPDQVWMTETIGDSGFADSNSLEITDMGVVGRNCTE DGWSEPFPHYFDACGFDDYEPESGDQDYYYLSVKALYTVGYSTSLVTLTTAMVILCRFRK LHCTRNFIHMNLFVSFMLRAISVFIKDWILYAEQDSSHCFVSTVECKAVMVFFHYCVVSN YFWLFIEGLYLFTLLVETFFPERRYFYWYTIIGWGTPTVCVTVWAVLRLYFDDAGCWDMN DSTALWWVIKGPVVGSIMVNFVLFIGIIIILVQKLQSPDMGGNESSIYLTNLRLRVPKKA REDPLPVPSDQHSPPFLSCVQKCYCKPQRAQQHSCKMSELSTITLRLARSTLLLIPLFGI HYTVFAFSPENVSKRERLVFELGLGSFQGFVVAVLYCFLNGEVQAEIKRKWRSWKVNRYF TMDFKHRHPSLASSGVNGGTQLSILSKSSSQLRMSSLPADNLAT >ENSMUSP00000130923.1 pep:known chromosome:GRCm38:6:55460236:55497428:1 gene:ENSMUSG00000029778.12 transcript:ENSMUST00000165786.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcyap1r1 description:adenylate cyclase activating polypeptide 1 receptor 1 [Source:MGI Symbol;Acc:MGI:108449] MARTLQLSLTALLLLPMAIAMHSDCIFKKEQAMCLERIQRANDLMGLNESSPGCPGMWDN ITCWKPAQIGEMVLVSCPEVFRIFNPDQVWMTETIGDSGFADSNSLEITDMGVVGRNCTE DGWSEPFPHYFDACGFDDYEPESGDQDYYYLSVKALYTVGYSTSLVTLTTAMVILCRFRK LHCTRNFIHMNLFVSFMLRAISVFIKDWILYAEQDSSHCFVSTVECKAVMVFFHYCVVSN YFWLFIEGLYLFTLLVETFFPERRYFYWYTIIGWGTPTVCVTVWAVLRLYFDDAGCWDMN DSTALWWVIKGPVVGSIMVNFVLFIGIIIILVQKLQSPDMGGNESSIYFCVQKCYCKPQR AQQHSCKMSELSTITLRLARSTLLLIPLFGIHYTVFAFSPENVSKRERLVFELGLGSFQG FVVAVLYCFLNGEVQAEIKRKWRSWKVNRYFTMDFKHRHPSLASSGVNGGTQLSILSKSS SQLRMSSLPADNLAT >ENSMUSP00000103494.1 pep:known chromosome:GRCm38:4:43705628:43710231:-1 gene:ENSMUSG00000046450.2 transcript:ENSMUST00000107862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr71 description:olfactory receptor 71 [Source:MGI Symbol;Acc:MGI:1860080] MEPSNRTAVSEFVLKGFSGYPALERLLFPLCSVMYLVTLLGNTAIVAVSMLDARLHTPMY FFLGNLSILDICYTSTFVPLMLVHLLSSRKTISFTGCAVQMCLSLSTGSTECLLLAVMAY DRYLAICQPLRYPVLMSHRLCLMLAGASWVLCLFKSVAETVIAMRLPFCGHHVIRHFTCE ILAVLKLTCGDTSVSDAFLLVGAILLLPIPLTLICLSYMLILATILRVPSATGRSKAFST CSAHLAVVLLFYSTIIFMYMKPKSKEARISDQVFTVLYAVVTPMLNPIIYSLRNKEVKEA ARKAWGSRWACR >ENSMUSP00000060230.1 pep:known chromosome:GRCm38:4:43705628:43706566:-1 gene:ENSMUSG00000046450.2 transcript:ENSMUST00000055401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr71 description:olfactory receptor 71 [Source:MGI Symbol;Acc:MGI:1860080] MEPSNRTAVSEFVLKGFSGYPALERLLFPLCSVMYLVTLLGNTAIVAVSMLDARLHTPMY FFLGNLSILDICYTSTFVPLMLVHLLSSRKTISFTGCAVQMCLSLSTGSTECLLLAVMAY DRYLAICQPLRYPVLMSHRLCLMLAGASWVLCLFKSVAETVIAMRLPFCGHHVIRHFTCE ILAVLKLTCGDTSVSDAFLLVGAILLLPIPLTLICLSYMLILATILRVPSATGRSKAFST CSAHLAVVLLFYSTIIFMYMKPKSKEARISDQVFTVLYAVVTPMLNPIIYSLRNKEVKEA ARKAWGSRWACR >ENSMUSP00000139509.1 pep:known chromosome:GRCm38:10:41718841:41809613:-1 gene:ENSMUSG00000019813.15 transcript:ENSMUST00000186239.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57l1 description:centrosomal protein 57-like 1 [Source:MGI Symbol;Acc:MGI:1915511] MDSELSQSMVGSYLNPPERMHLPSFTQNEAFQNCHPGTPPKMFNSPNNQALVSALKTLQE KIRRLELERTQAEDNLNLLSREAAQYKKALEEETNERNLAHQELIKQKKDISIQLSSAQS RCILLEKQLEYTKRMVLNVEREKTMILEQQAQLQREKEQDQMKLHAKLEKLHVLEKECLR LTATRQTAEDKIKCLEEKLKEEEHQRRLFQDRACELQTGFEISKILMSTVSNSKHCKEKK KQPKKTNCLKREPPQQRDHKFRTPTFERKKPFRTTSQARANPQSSGEPVSICDSLSELLM TMEEELDQMNMEHRELLRQMMQPGNHSVSEDIEQELEQLAKKMESKGDQISKLKKHQDSV RKLQEKIENSRINESSGIHGNPKGSKNLKTSPRKCVSETSSFQRDRGFQPVQVHSLQSKL RRDDIKWEQ >ENSMUSP00000140147.1 pep:known chromosome:GRCm38:10:41718841:41809868:-1 gene:ENSMUSG00000019813.15 transcript:ENSMUST00000189770.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57l1 description:centrosomal protein 57-like 1 [Source:MGI Symbol;Acc:MGI:1915511] MDSELSQSMVGSYLNPPERMHLPSFTQNEAFQNCHPGTPPKMFNSPNNQALVSALKTLQE KIRRLELERTQAEDNLNLLSREAAQYKKALEEETNERNLAHQELIKQKKDISIQLSSAQS RCILLEKQLEYTKRMVLNVEREKTMILEQQAQLQREKEQDQMKLHAKLEKLHVLEKECLR LTATRQTAEDKIKCLEEKLKEEEHQRRLFQDRACEKTNCLKREPPQQRDHKFRTPTFERK KPFRTTSQARANPQSSGEPVSICDSLSELLMTMEEELDQMNMEHRELLRQMMQPGNHSVS EDIEQELEQLAKKMESKGDQISKLKKHQDSVRKLQEKIENSRINESSGIHGNPKGSKNLK TSPRKCVSETSSFQRDRGFQPVQVHSLQSKLRRDDIKWEQ >ENSMUSP00000141031.1 pep:known chromosome:GRCm38:10:41718846:41809607:-1 gene:ENSMUSG00000019813.15 transcript:ENSMUST00000190022.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57l1 description:centrosomal protein 57-like 1 [Source:MGI Symbol;Acc:MGI:1915511] MDSELSQSMVGSYLNPPERMHLPSFTQNEAFQNCHPGTPPKMFNSPNNQEKQLEYTKRMV LNVEREKTMILEQQAQLQREKEQDQMKLHAKLEKLHVLEKECLRLTATRQTAEDKIKCLE EKLKEEEHQRRLFQDRACELQTGFEISKILMSTVSNSKHCKEKKKQPKKTNCLKREPPQQ RDHKFRTPTFERKKPFRTTSQARANPQSSGEPVSICDSLSELLMTMEEELDQMNMEHREL LRQMMQPGNHSVSEDIEQELEQLAKKMESKGDQISKLKKHQDSVRKLQEKIENSRINESS GIHGNPKGSKNLKTSPRKCVSETSSFQRDRGFQPVQVHSLQSKLRRDDIKWEQ >ENSMUSP00000140389.1 pep:known chromosome:GRCm38:10:41719225:41809871:-1 gene:ENSMUSG00000019813.15 transcript:ENSMUST00000187143.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57l1 description:centrosomal protein 57-like 1 [Source:MGI Symbol;Acc:MGI:1915511] MDSELSQSMVGSYLNPPERMHLPSFTQNEAFQNCHPGTPPKMFNSPNNQALVSALKTLQE KIRRLELERTQAEDNLNLLSREAAQYKKALEEETNERNLAHQELIKQKKDISIQLSSAQS RCILLEKQLEYTKRMVLNVEREKTMILEQQAQLQREKEQDQMKLHAKLEKLHVLEKECLR LTATRQTAEDKIKCLEEKLKEEEHQRRLFQDRACEKTNCLKREPPQQRDHKFRTPTFERK KPFRTTSQARANPQSSGEPVSICDSLSELLMTMEEELDQMNMEHRELLRQMMQPGNHSVS EDIEQELEQLAKKMESKGDQISKLKKHQDSVRKLQEKIENSRINESSGIHGNPKGSKNLK TSPRKCVSETSSFQRDRGFQPVQVHSLQSKLRRDDIKWEQ >ENSMUSP00000141089.1 pep:known chromosome:GRCm38:10:41719847:41809622:-1 gene:ENSMUSG00000019813.15 transcript:ENSMUST00000191498.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57l1 description:centrosomal protein 57-like 1 [Source:MGI Symbol;Acc:MGI:1915511] MDSELSQSMVGSYLNPPERMHLPSFTQNEAFQNCHPGTPPKMFNSPNNQALVSALKTLQE KIRRLELERTQAEDNLNLLSREAAQYKKALEEETNERNLAHQELIKQKKDISIQLSSAQS RCILLEKQLEYTKRMVLNVEREKTMILEQQAQLQREKEQDQMKLHAKLEKLHVLEKECLR LTATRQTAEDKIKCLEEKLKEEEHQRRLFQDRACEVRKLQEKIENSRINESSGIH >ENSMUSP00000140618.1 pep:known chromosome:GRCm38:10:41721173:41722911:-1 gene:ENSMUSG00000019813.15 transcript:ENSMUST00000185394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57l1 description:centrosomal protein 57-like 1 [Source:MGI Symbol;Acc:MGI:1915511] XEEELDQMNMEHRELLRQMMQPGNHSVSEDIEQELEQLAKKMESKGDQISKLKKHQDSVR RTLPVTKQTNK >ENSMUSP00000101144.3 pep:known chromosome:GRCm38:10:41718840:41745931:-1 gene:ENSMUSG00000019813.15 transcript:ENSMUST00000105505.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57l1 description:centrosomal protein 57-like 1 [Source:MGI Symbol;Acc:MGI:1915511] MDSELSQSMVGSYLNPPERMHLPSFTQNEAFQNCHPGTPPKMFNSPNNQALVSALKTLQE KIRRLELERTQAEDNLNLLSREAAQYKKALEEETNERNLAHQELIKQKKDISIQLSSAQS RCILLEKQLEYTKRMVLNVEREKTMILEQQAQLQREKEQDQMKLHAKLEKLHVLEKECLR LTATRQTAEDKIKCLEEKLKEEEHQRRLFQDRACEKTNCLKREPPQQRDHKFRTPTFERK KPFRTTSQARANPQSSGEPVSICDSLSELLMTMEEELDQMNMEHRELLRQMMQPGNHSVS EDIEQELEQLAKKMESKGDQISKLKKHQDSVRKLQEKIENSRINESSGIHGNPKGSKNLK TSPRKCVSETSSFQRDRGFQPVQVHSLQSKLRRDDIKWEQ >ENSMUSP00000019951.9 pep:known chromosome:GRCm38:10:41718846:41809607:-1 gene:ENSMUSG00000019813.15 transcript:ENSMUST00000019951.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57l1 description:centrosomal protein 57-like 1 [Source:MGI Symbol;Acc:MGI:1915511] MDSELSQSMVGSYLNPPERMHLPSFTQNEAFQNCHPGTPPKMFNSPNNQALVSALKTLQE KIRRLELERTQAEDNLNLLSREAAQYKKALEEETNERNLAHQELIKQKKDISIQLSSAQS RCILLEKQLEYTKRMVLNVEREKTMILEQQAQLQREKEQDQMKLHAKLEKLHVLEKECLR LTATRQTAEDKIKCLEEKLKEEEHQRRLFQDRACELQTGFEISKILMSTVSNSKHCKEKK KQPKKTNCLKREPPQQRDHKFRTPTFERKKPFRTTSQARANPQSSGEPVSICDSLSELLM TMEEELDQMNMEHRELLRQMMQPGNHSVSEDIEQELEQLAKKMESKGDQISKLKKHQDSV RKLQEKIENSRINESSGIHGNPKGSKNLKTSPRKCVSETSSFQRDRGFQPVQVHSLQSKL RRDDIKWEQ >ENSMUSP00000110213.1 pep:known chromosome:GRCm38:6:48427697:48444036:-1 gene:ENSMUSG00000068551.12 transcript:ENSMUST00000114566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp467 description:zinc finger protein 467 [Source:MGI Symbol;Acc:MGI:1916160] MRETLEALNSLGFSVGQPEMAPQSEPRDGFSNAQEKMSSRGESTLHSCSGHETPGQKEGI HTEQAEAPCMGSQASTPQKAEPAGSVPGDHMKFHCSLRRKHLMSPDACSSVSV >ENSMUSP00000098986.3 pep:known chromosome:GRCm38:6:48427697:48445464:-1 gene:ENSMUSG00000068551.12 transcript:ENSMUST00000101443.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp467 description:zinc finger protein 467 [Source:MGI Symbol;Acc:MGI:1916160] MRETLEALNSLGFSVGQPEMAPQSEPRDGFSNAQEKMSSRGESTLHSCSGHETPGQKEGI HTEQAEAPCMGSQASTPQKAEPAGSVPGDHMKFHCSLRRKHLMSPDACSSVSV >ENSMUSP00000110211.1 pep:known chromosome:GRCm38:6:48427697:48445567:-1 gene:ENSMUSG00000068551.12 transcript:ENSMUST00000114564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp467 description:zinc finger protein 467 [Source:MGI Symbol;Acc:MGI:1916160] MAPQSEPRDGFSNAQEKMSSRGESTLHSCSGHETPGQKEGIHTEQAEAPCMGSQASTPQK AEPAGSVPGDHMKFHCSLRRKHLMSPDACSSVSV >ENSMUSP00000110210.1 pep:known chromosome:GRCm38:6:48434150:48445373:-1 gene:ENSMUSG00000068551.12 transcript:ENSMUST00000114563.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp467 description:zinc finger protein 467 [Source:MGI Symbol;Acc:MGI:1916160] MRETLEALNSLGFSVGQPEMAPQSEPRDGFSNAQEKMSSRGESTLHSCSGHETPGQKEGI HTEQAEAPCMGSQASTPQKAEPAGSVPVLQRSQGVSHLWTVEWEKQVGPKL >ENSMUSP00000110206.1 pep:known chromosome:GRCm38:6:48436613:48445084:-1 gene:ENSMUSG00000068551.12 transcript:ENSMUST00000114559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp467 description:zinc finger protein 467 [Source:MGI Symbol;Acc:MGI:1916160] MRETLEALNSLGFSVGQPEMAPQSEPRDGFSNAQEKMSSRGESTLHSCSGHETPGQKEGI HTEQAEAPCMGSQASTPQKAEPAGSVPVLFPLLTWVSWLLRTNWSQGLREH >ENSMUSP00000110207.1 pep:known chromosome:GRCm38:6:48436613:48445090:-1 gene:ENSMUSG00000068551.12 transcript:ENSMUST00000114560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp467 description:zinc finger protein 467 [Source:MGI Symbol;Acc:MGI:1916160] MRETLEALNSLGFSVGQPEMAPQSEPRDGFSNAQEKMSSRGESTLHSCSGHETPGQKEGI HTEQAEAPCMGSQASTPQKAEPAGSVPGEEWMIRKVKVEDEDQEAEEEVEWPQHLSFLPS PFPTPDLGQLAVTYKLEPGTPGALGGIALSGWAPIPEKPYGCEECERRFRDQLTLRLHQR LHRGEGPCACPDCGRSFTQRAHMLLHQRSHRGERPFPCSECDKRFSKKAHLTRHLRTHTG ERPYPCAECGKRFSQKIHLGSHQKTHTGERPFPCTECEKRFRKKTHLIRHQRIHTGERPY QCTQCTRSFTHKQHLVRHQRVHDAASRTRSSPDIPVAPHSPTASLTPSPPGPKPFACSHC GQSFGWKKNLATHQSLHLTEGRPFGCDECALGTNVDPAAEPSACTPHAPDCGPGSGPAAP QRTTSSERSFFCPDCGRGFAHGQHLARHRRVHTGERPFACAQCGRRFGSRPNLVAHSRAH SGARPFACAQCGRRFSRKSHLGRHQAVHTGSRPHACAVCARCFSSKTNLVRHQAIHTGSR PFSCPQCAKSFSRKTHLVRHQRIHGDAALPAPASNLSAPAWSNPSEVVPPPIFF >ENSMUSP00000110208.2 pep:known chromosome:GRCm38:6:48436613:48445825:-1 gene:ENSMUSG00000068551.12 transcript:ENSMUST00000114561.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp467 description:zinc finger protein 467 [Source:MGI Symbol;Acc:MGI:1916160] MRETLEALNSLGFSVGQPEMAPQSEPRDGFSNAQEKMSSRGESTLHSCSGHETPGQKEGI HTEQAEAPCMGSQASTPQKAEPAGSVPGEEWMIRKVKVEDEDQEAEEEVEWPQHLSFLPS PFPTPDLGQLAVTYKLEPGTPGALGGIALSGWAPIPEKPYGCEECERRFRDQLTLRLHQR LHRGEGPCACPDCGRSFTQRAHMLLHQRSHRGERPFPCSECDKRFSKKAHLTRHLRTHTG ERPYPCAECGKRFSQKIHLGSHQKTHTGERPFPCTECEKRFRKKTHLIRHQRIHTGERPY QCTQCTRSFTHKQHLVRHQRVHDAASRTRSSPDIPVAPHSPTASLTPSPPGPKPFACSHC GQSFGWKKNLATHQSLHLTEGRPFGCDECALGTNVDPAAEPSACTPHAPDCGPGSGPAAP QRTTSSERSFFCPDCGRGFAHGQHLARHRRVHTGERPFACAQCGRRFGSRPNLVAHSRAH SGARPFACAQCGRRFSRKSHLGRHQAVHTGSRPHACAVCARCFSSKTNLVRHQAIHTGSR PFSCPQCAKSFSRKTHLVRHQRIHGDAALPAPASNLSAPAWSNPSEVVPPPIFF >ENSMUSP00000110205.1 pep:known chromosome:GRCm38:6:48436637:48445442:-1 gene:ENSMUSG00000068551.12 transcript:ENSMUST00000114558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp467 description:zinc finger protein 467 [Source:MGI Symbol;Acc:MGI:1916160] MRETLEALNSLGFSVGQPEMAPQSEPRDGFSNAQEKMSSRGESTLHSCSGHETPGQKEGI HTEQAEAPCMGSQASTPQKAEPAGSVPVLFPLLTWVSWLLRTNWSQGLREH >ENSMUSP00000110203.1 pep:known chromosome:GRCm38:6:48437640:48445693:-1 gene:ENSMUSG00000068551.12 transcript:ENSMUST00000114556.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp467 description:zinc finger protein 467 [Source:MGI Symbol;Acc:MGI:1916160] MAPQSEPRDGFSNAQEKMSSRGESTLHSCSGHETPGQKEGIHTEQAEAPCMGSQASTPQK AEPAGSVPVLFPLLTWVSWLLRTNWSQGLREH >ENSMUSP00000138291.1 pep:known chromosome:GRCm38:6:48439398:48445358:-1 gene:ENSMUSG00000068551.12 transcript:ENSMUST00000141449.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp467 description:zinc finger protein 467 [Source:MGI Symbol;Acc:MGI:1916160] MRETLEALNSLGPSLIPGQTAGELGQSFIHTDKRILSGTARDGSPE >ENSMUSP00000092727.3 pep:known chromosome:GRCm38:4:43727188:43728639:1 gene:ENSMUSG00000071001.4 transcript:ENSMUST00000095109.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrct1 description:histidine rich carboxyl terminus 1 [Source:MGI Symbol;Acc:MGI:1917945] MLGLLGNTTLVCWITGTALAFLMLLWLMALCLFHRSQEHDVERNRVRQARPRLFHGRRLR LPRLVHHHHHHHVTGVTSVGVHHHHHHSPHRLHHHKHHHRHHHAHGARR >ENSMUSP00000141063.2 pep:known chromosome:GRCm38:7:27984854:28014115:-1 gene:ENSMUSG00000096916.7 transcript:ENSMUST00000180502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp850 description:zinc finger protein 850 [Source:MGI Symbol;Acc:MGI:3036281] MASSNSQDMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRDIMLETYSNLIAVVGSCISK PDLIVLLEQEKELWMAVKEETDRLSPDLESDYEAQQISPDNHIYTINLPKQTIKHISETF ELKGSSFSNGHSYSTFTGLQGCQGDVDQKISNKEKMLTYTCHTLTHNTDNEYECKEYGKY FGCRSTLIQHHSVHTGEKPYECKDCGKAFRLQQHLTRHQKAHSGERPFECNECGKFFKHG SSLVQHKIIHAGVKPYECNECGKAFNRRSNFMRHQKVHYDKKPFQCKECGSAFTVLAQLI TQPQNIHNGKKSFECKQCGKIFSNGSYHIQHQSIHSSEKAFGCHVCGKAFRLQVYLSEHQ KTHTDEKPFKCNLCGSVFRRKYHLTEHQRIHTDVKLYQCKECGKLFRRRSNFMEHQSIHT NVKPFKCELCGSAFRLQSLLSQHQRIHTDEKPYQCKECGKFFRRRSNFTEHLSIHTNVKP FKCELCGSAFRLQSLLNQHQRIHTGEKPYQCKECGKFFRRSSNFTEHRSIHTNVKPFKCE LCGSAFRLQSLLSQHQRIHTGEKPYQCKECGKFFRRRSNFTEHLSIHTNVKPFKCELCGS DFRLQSLLNQHQRIHTGEKPYQCKECGKFFRRSSNFTEHLSIHTGKKPFDCNKCGKVFRL NIHLIRHQRFHNGEKVKSVERPFILRAIK >ENSMUSP00000137192.1 pep:known chromosome:GRCm38:7:27988712:28008416:-1 gene:ENSMUSG00000096916.7 transcript:ENSMUST00000180024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp850 description:zinc finger protein 850 [Source:MGI Symbol;Acc:MGI:3036281] MASSNSQDMVCGSVTFRDVAVDFSQEEWACLDATQKVLYRDIMLETYSNLIAVVGSCISK PDLIVLLEQEKELWMAVKEETDRLSPDLESDYEAQQISPDNHIYTINLPKQTIKHISETF ELKGSSFSNGHSYSTFTGLQGCQGDVDQKISNKEKMLTYTCHTLTHNTDNEYECKEYGKY FGCRSTLIQHHSVHTGEKPYECKDCGKAFRLQQHLTRHQKAHSGERPFECNECGKFFKHG SSLVQHKIIHAGVKPYECNECGKAFNRRSNFMRHQKVHYDKKPFQCKECGSAFTVLAQLI TQPQNIHNGKKSFECKQCGKIFSNGSYHIQHQSIHSSEKAFGCHVCGKAFRLQVYLSEHQ KTHTDEKPFKCNLCGSVFRRKYHLTEHQRIHTDVKLYQCKECGKLFRRRSNFMEHQSIHT NVKPFKCELCGSAFRLQSLLSQHQRIHTDEKPYQCKECGKFFRRRSNFTEHLSIHTNVKP FKCELCGSAFRLQSLLNQHQRIHTGEKPYQCKECGKFFRRSSNFTEHRSIHTNVKPFKCE LCGSAFRLQSLLSQHQRIHTGEKPYQCKECGKFFRRRSNFTEHLSIHTNVKPFKCELCGS DFRLQSLLNQHQRIHTGEKPYQCKECGKFFRRSSNFTEHLSIHTGKKPFDCNKCGKVFRL NIHLIRHQRFHNGEKVKSVERPFILRAIK >ENSMUSP00000096711.3 pep:known chromosome:GRCm38:7:27984856:27985796:-1 gene:ENSMUSG00000096916.7 transcript:ENSMUST00000099111.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp850 description:zinc finger protein 850 [Source:MGI Symbol;Acc:MGI:3036281] MQEEEPQKAKKMASCKYNTCHVAPIRKLAEHEATCVNRSSVEEEDTLGPLQVSLPLPQNE DTLQVCWLSNLDIWNVDGTNCHPKFFRVLFSKNLFVKVTPKSHGEETNAQKILRLGPGRQ TSSRRKRALECMDGLCISSE >ENSMUSP00000063272.7 pep:known chromosome:GRCm38:11:4637747:4683385:1 gene:ENSMUSG00000020412.16 transcript:ENSMUST00000070257.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascc2 description:activating signal cointegrator 1 complex subunit 2 [Source:MGI Symbol;Acc:MGI:1922702] MPALPLDQLQITHKDPKTGQPKTSAALNPEQKADRYFVLYKPPPKDNIPALVEEYLERAN FVANDLDWLLALPHDKFWCQVIFDETLQKCLDSYLHYVPRKFDEWVAPTPEVADMQNHLH RSVFLTFLRMSTHKESKDHFISPSAFGEILYNNFLFDIPKILDLCVLFGKGNSPLLQKMI GNIFTQQPSYYTDLDETIPTILQVFSNILQHCGLQGDGTSTTPQKLGERSPLTPSDMPLL ELKDIVLYLCDTSTTLWAFLDIFPLACQTFQKHDFCYRLASFYEMAIPELESAIKKRRLE DSKLLGDMWQRLSHSKKKLMEVFHIILNQICLLPILESSCDNIQGFIEEFLQIFSSLLQE KRFLRDYDTFSPVAEDISLLQQASSALDETRTAYILQAVESAWEGVDRQKIKDIKDPPRA KGSNNEVTVTAEPVSEMPSQLENLEEDEECMGAAAALGPAVSGVELDSLISQVKDLLPDL GEGFILACLEHYSYDSEQVINNILEDRLAPELSQLDRGLERQVKPDPTPLLSSRHNIFQN DEFDVFSRDSVDLSRVHKGRRKEENVRSLVNDKQAVVAQWQRYQKYSVVVEEVPLQPGEY QADDYEDEYDDTYDGNQVGANDADSDDELISRRPFTIPQVLRTKMPGEVQEEEWDEEDEV EEEAPKPDHFIQDPAVLREKAEARRMAFLARKGYRPENSTAVTGGPRGHGQSRETTQERR KKEANKAARANHNRRTMADRKRSKGMIPS >ENSMUSP00000105556.2 pep:known chromosome:GRCm38:11:4637747:4685699:1 gene:ENSMUSG00000020412.16 transcript:ENSMUST00000109930.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascc2 description:activating signal cointegrator 1 complex subunit 2 [Source:MGI Symbol;Acc:MGI:1922702] MPALPLDQLQITHKDPKTGQPKTSAALNPEQKADRYFVLYKPPPKDNIPALVEEYLERAN FVANDLDWLLALPHDKFWCQVIFDETLQKCLDSYLHYVPRKFDEWVAPTPEVADMQNHLH RSVFLTFLRMSTHKESKDHFISPSAFGEILYNNFLFDIPKILDLCVLFGKGNSPLLQKMI GNIFTQQPSYYTDLDETIPTILQVFSNILQHCGLQGDGTSTTPQKLGERSPLTPSDMPLL ELKDIVLYLCDTSTTLWAFLDIFPLACQTFQKHDFCYRLASFYEMAIPELESAIKKRRLE DSKLLGDMWQRLSHSKKKLMEVFHIILNQICLLPILESSCDNIQGFIEEFLQIFSSLLQE KRFLRDYDTFSPVAEDISLLQQASSALDETRTAYILQAVESAWEGVDRQKIKDIKDPPRA KGSNNEVTVTAEPVSEMPSQLENLEEDEECMGAAAALGPAVSGVELDSLISQVKDLLPDL GEGFILACLEHYSYDSEQVINNILEDRLAPELSQLDRGLERKEENVRSLVNDKQAVVAQW QRYQKYSVVVEEVPLQPGEYQADDYEDEYDDTYDGNQVGANDADSDDELISRRPFTIPQV LRTKMPGEVQEEEWDEEDEVEEEAPKPDHFIQDPAVLREKAEARRMAFLARKGYRPENST AVTGGPRGHGQSRETTQERRKKEANKAARANHNRRTMADRKRSKGMIPS >ENSMUSP00000059038.4 pep:known chromosome:GRCm38:4:41495604:41503076:-1 gene:ENSMUSG00000046312.4 transcript:ENSMUST00000054920.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI464131 description:expressed sequence AI464131 [Source:MGI Symbol;Acc:MGI:2140300] MSQNLQETSQAYPRHRPGSHAGPKSLKVTPRATMYTFLPDNFSPAKPKPTKELRPLLCSA VLGLLLVLAAVVAWCYYSASLRKAERLRAELLDLNRGGFSIRNQKGEQVFRLAFRSGALD LDSCSRDGALLGCSRAADGRPLHFFIQTVRPKDTVMCYRVRWEEAVPGRAVEHAMFLGDA AAHWYGGAEMRTQHWPIRLDGQQEPQPFVTSDVYSSDAAFGGILERYWLSSRAAAIKVND SVPFHLGWNSTERSMRLQARYHDTSYKPPAGRTAAPELSYRVCVGSDVTSIHKYMVRRYF NKPSRVPASEAFRDPIWSTWALHGRAVDQNKVLQFAQQIRQHRFNSSHLEIDDMYTPAYG DFNFDEGKFPNASDMFRRLRDAGFRVTLWVHPFVNYNSSSFGEGVERELFVREPTGRLPA LVRWWNGIGAVLDFTHPEAREWFQGHLRRLRLRYNVTSFKFDAGEVSYLPRDFSTYRPLS DPSVWSRRYTEMAEPFFSLAEVRVGYQSQNISCFFRLVDRDSVWGYDLGLRSLIPAVLTV SMLGYPFILPDMIGGNAVPERTAGRQDGPGPERELYVRWLEVAAFMPAMQFSIPPWQYDA EVVAIAHKFAALRASLVAPLLLELAGEITDTGDPIVRPLWWIAPGDETAHRIDSQFLIGD TLLVAPVLEPGKQERDVYLPAGKWRSYKGELFDKTPVLLTDYPVDLDEVAYFTWAS >ENSMUSP00000122357.1 pep:known chromosome:GRCm38:4:41499494:41502668:-1 gene:ENSMUSG00000046312.4 transcript:ENSMUST00000149596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI464131 description:expressed sequence AI464131 [Source:MGI Symbol;Acc:MGI:2140300] MSQNLQETSQAYPRHRPGSHAGPKSLKVTPRATMYTFLPDNFSPA >ENSMUSP00000019974.3 pep:known chromosome:GRCm38:10:10545011:10558233:-1 gene:ENSMUSG00000019832.3 transcript:ENSMUST00000019974.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab32 description:RAB32, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1915094] MAGEGLGQQGASATAAPETREHLFKVLVIGELGVGKTSIIKRYVHQLFSQHYRATIGVDF ALKVLNWDSRTLVRLQLWDIAGQERFGNMTRVYYKEALGAFVVFDISRSSTFDAVLKWKN DLDSKVHLPNGSPIPAVLLANKCDQKKDNSQSPSQMDQFCKDHGFTGWFETSAKDNINID EATRFLVENMLANQQSFPSEEIDLDRIKLVEEPPTTKPRSQCC >ENSMUSP00000023710.3 pep:known chromosome:GRCm38:15:101733950:101743109:-1 gene:ENSMUSG00000051879.3 transcript:ENSMUST00000023710.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt71 description:keratin 71 [Source:MGI Symbol;Acc:MGI:1861586] MSRQFTCKSGASNRGFSGCSAVLSGGSSSSYRAGGKGLSGGFGSRSLYSLGGGRSITLNM ASGSGKNGGFGFGRNRASGFAGSIFGSVALGPVCPAVCPPGGIHQVTVNESLLAPLNVEL DPEIQKVRAQEREQIKALNNKFASFIDKVRFLEQQNQVLQTKWELLQQLDLNNCKNNLEP ILEGHISNMRKQLETLSGDRVRLDSELRNVRDVVEDYKKKYEEEINRRTAAENEFVLLKK DVDAAYANKVELQAKVDTMDQDIKFFKCLFEAEMAQIQSHISDMSVILSMDNNRNLDLDS IIDEVRAQYEEIALKSKAEAEALYQTKFQELQLAAGRHGDDLKNTKNEITELTRFIQRLR SEIENAKKQASNLETAIADAEQRGDSALKDARAKLDELEGALHQAKEELARMLREYQELM SLKLALDMEIATYRKLLESEECRMSGEYSSPVSISIISSTSGSGGYGFRPSTVSGGYVAN STSCISGVCSVRGGENRSRGSASDYKDTLTKGSSLSTPSKKGGR >ENSMUSP00000090897.3 pep:known chromosome:GRCm38:11:32283511:32284465:1 gene:ENSMUSG00000069919.7 transcript:ENSMUST00000093209.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hba-a1 description:hemoglobin alpha, adult chain 1 [Source:MGI Symbol;Acc:MGI:96015] MVLSGEDKSNIKAAWGKIGGHGAEYGAEALERMFASFPTTKTYFPHFDVSHGSAQVKGHG KKVADALANAAGHLDDLPGALSALSDLHAHKLRVDPVNFKLLSHCLLVTLASHHPADFTP AVHASLDKFLASVSTVLTSKYR >ENSMUSP00000065821.5 pep:known chromosome:GRCm38:4:116552955:116555935:-1 gene:ENSMUSG00000055900.14 transcript:ENSMUST00000069674.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem69 description:transmembrane protein 69 [Source:MGI Symbol;Acc:MGI:3045357] MLHFIQKVSGASSKMLKNPFTVRLGAGRIDILSLKTCLLQNFSSLPPRTWLSPSFQVCMR KIQCYHVSPCNFKKQKAVLPPKKRSTITYLLDSPKPALYITLAGLIPFTAPPLLMVITKS YIPVLAFTQMAYGAGFLAFLGGIRWGFVLPESSPAKPDYINLASSMSPILFSWAAILFSE RLNEAIVTLIIGLGIALHNELFLLPHYPNWFKALRIVSTLVAFISFVVTLILENIYPEKG PKRPD >ENSMUSP00000102087.2 pep:known chromosome:GRCm38:4:116551633:116555936:-1 gene:ENSMUSG00000055900.14 transcript:ENSMUST00000106478.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem69 description:transmembrane protein 69 [Source:MGI Symbol;Acc:MGI:3045357] MLHFIQKVSGASSKMLKNPFTVRLGAGRIDILSLKTCLLQNFSSLPPRTWLSPSFQVCMR KIQCYHVSPCNFKKQKAVLPPKKRSTITYLLDSPKPALYITLAGLIPFTAPPLLMVITKS YIPVLAFTQMAYGAGFLAFLGGIRWGFVLPESSPAKPDYINLASSMSPILFSWAAILFSE RLNEAIVTLIIGLGIALHNELFLLPHYPNWFKALRIVSTLVAFISFVVTLILENIYPEKG PKRPD >ENSMUSP00000033477.4 pep:known chromosome:GRCm38:X:59999464:60030759:1 gene:ENSMUSG00000031138.4 transcript:ENSMUST00000033477.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F9 description:coagulation factor IX [Source:MGI Symbol;Acc:MGI:88384] MKHLNTVMAESPALITIFLLGYLLSTECAVFLDRENATKILTRPKRYNSGKLEEFVRGNL ERECIEERCSFEEAREVFENTEKTTEFWKQYVDGDQCESNPCLNGGICKDDISSYECWCQ VGFEGRNCELDATCNIKNGRCKQFCKNSPDNKVICSCTEGYQLAEDQKSCEPTVPFPCGR ASISYSSKKITRAETVFSNMDYENSTEAVFIQDDITDGAILNNVTESSESLNDFTRVVGG ENAKPGQIPWQVILNGEIEAFCGGAIINEKWIVTAAHCLKPGDKIEVVAGEYNIDKKEDT EQRRNVIRTIPHHQYNATINKYSHDIALLELDKPLILNSYVTPICVANREYTNIFLKFGS GYVSGWGKVFNKGRQASILQYLRVPLVDRATCLRSTTFTIYNNMFCAGYREGGKDSCEGD SGGPHVTEVEGTSFLTGIISWGEECAMKGKYGIYTKVSRYVNWIKEKTKLT >ENSMUSP00000133441.1 pep:known chromosome:GRCm38:17:34604262:34611697:1 gene:ENSMUSG00000034254.17 transcript:ENSMUST00000174041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat1 description:1-acylglycerol-3-phosphate O-acyltransferase 1 (lysophosphatidic acid acyltransferase, alpha) [Source:MGI Symbol;Acc:MGI:1932075] MELWPGAWTALLLLLLLLLSTLWFCSSSAKYFFKMAFYNGWILFLAILAIPVCAVRGRNV ENMKILRLLLLHVKYLYGIRVEVRGAHHFPPTQPYVVVSNHQSSLDLLGMMEVLPDRCVP IAKRELLWAGSAGLACWLAGIIFIDRKRTGDAISVMSEVAQTLLTQDVRVWVFPEGTRNH NGSMLPFKRGAFHLAVQA >ENSMUSP00000134242.1 pep:known chromosome:GRCm38:17:34604844:34611396:1 gene:ENSMUSG00000034254.17 transcript:ENSMUST00000173242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat1 description:1-acylglycerol-3-phosphate O-acyltransferase 1 (lysophosphatidic acid acyltransferase, alpha) [Source:MGI Symbol;Acc:MGI:1932075] MELWPGAWTALLLLLLLLLSTLWFCSSSAKYFFKMAFYNGWILFLAILAIPVCAVRGRNV ENMKILRLLLLHVKYLYGIRVEVRGAHHFPPTQPYVVVSNHQSSLDLLGMMEVLPDRCVP IAKRELLWAGSAGLACWLAGIIFIDRKRT >ENSMUSP00000133947.1 pep:known chromosome:GRCm38:17:34605861:34612443:1 gene:ENSMUSG00000034254.17 transcript:ENSMUST00000173973.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agpat1 description:1-acylglycerol-3-phosphate O-acyltransferase 1 (lysophosphatidic acid acyltransferase, alpha) [Source:MGI Symbol;Acc:MGI:1932075] MELWPGAWTALLLLLLLLLSTLWFCSSSAKYFFKMAFYNGWILFLAILAIPVCAVRGRNV ENMKILRLLLLHVKYLYGIRVEE >ENSMUSP00000048573.8 pep:known chromosome:GRCm38:17:34605861:34613449:1 gene:ENSMUSG00000034254.17 transcript:ENSMUST00000037489.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat1 description:1-acylglycerol-3-phosphate O-acyltransferase 1 (lysophosphatidic acid acyltransferase, alpha) [Source:MGI Symbol;Acc:MGI:1932075] MELWPGAWTALLLLLLLLLSTLWFCSSSAKYFFKMAFYNGWILFLAILAIPVCAVRGRNV ENMKILRLLLLHVKYLYGIRVEVRGAHHFPPTQPYVVVSNHQSSLDLLGMMEVLPDRCVP IAKRELLWAGSAGLACWLAGIIFIDRKRTGDAISVMSEVAQTLLTQDVRVWVFPEGTRNH NGSMLPFKRGAFHLAVQAQVPIIPIVMSSYQDFYSKKERRFTSPGRCQVRVLPPVSTEGL TPDDVPALADSVRHSMLTIFREISTDGLGGGDCLKKPGGAGEARL >ENSMUSP00000133876.1 pep:known chromosome:GRCm38:17:34605973:34612891:1 gene:ENSMUSG00000034254.17 transcript:ENSMUST00000174228.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agpat1 description:1-acylglycerol-3-phosphate O-acyltransferase 1 (lysophosphatidic acid acyltransferase, alpha) [Source:MGI Symbol;Acc:MGI:1932075] MELWPGAWTALLLLLLLLLSTLWFCSSSAKYFFKMAFYNGWILFLAILAIPVCAVRGRNV ENMNPTWLCPTTRVPSTCLE >ENSMUSP00000109776.3 pep:known chromosome:GRCm38:17:34609014:34613448:1 gene:ENSMUSG00000034254.17 transcript:ENSMUST00000114140.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat1 description:1-acylglycerol-3-phosphate O-acyltransferase 1 (lysophosphatidic acid acyltransferase, alpha) [Source:MGI Symbol;Acc:MGI:1932075] MELWPGAWTALLLLLLLLLSTLWFCSSSAKYFFKMAFYNGWILFLAILAIPVCAVRGRNV ENMKILRLLLLHVKYLYGIRVEVRGAHHFPPTQPYVVVSNHQSSLDLLGMMEVLPDRCVP IAKRELLWAGSAGLACWLAGIIFIDRKRTGDAISVMSEVAQTLLTQDVRVWVFPEGTRNH NGSMLPFKRGAFHLAVQAQVPIIPIVMSSYQDFYSKKERRFTSPGRCQVRVLPPVSTEGL TPDDVPALADSVRHSMLTIFREISTDGLGGGDCLKKPGGAGEARL >ENSMUSP00000134358.1 pep:known chromosome:GRCm38:17:34609605:34613418:1 gene:ENSMUSG00000034254.17 transcript:ENSMUST00000174595.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat1 description:1-acylglycerol-3-phosphate O-acyltransferase 1 (lysophosphatidic acid acyltransferase, alpha) [Source:MGI Symbol;Acc:MGI:1932075] MELWPGAWTALLLLLLLLLSTLWFCSSSAKYFFKMAFYNGWILFLAILAIPVCAVRGRNV ENMKILRLLLLHVKYLYGIRVEVRGAHHFPPTQPYVVVSNHQSSLDLLGMMEVLPDRCVP IAKRELLWAGSAGLACWLAGIIFIDRKRTGDAISVMSEVAQTLLTQDVRVWVFPEGTRNH NGSMLPFKRGAFHLAVQAQVPIIPIVMSSYQDFYSKKERRFTSPGRCQVRVLPPVSTEGL TPDDVPALADSVRHSMLTIFREISTDGLGGGDCLKKPGGAGEARL >ENSMUSP00000115777.1 pep:known chromosome:GRCm38:17:26973217:27036378:1 gene:ENSMUSG00000048731.14 transcript:ENSMUST00000133257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp1 description:gametogenetin binding protein 1 [Source:MGI Symbol;Acc:MGI:3055306] MAAPARTPRSRILGCSSMLRFLRNLVGSKGGSKSTNKPLTRSQPSSSWEQDVVSPMMGHQ GGRGRKEPRAKVHSAASSNGKREPPPRVLSAAPSNPRHDAFELGTGDSGSQTLTSKDVPK LRAQGVEVTSVPLRGTWEVLEQLPEKKGEEEEPVGEVSGASDREHFGQALETEQGCLQWV PGPLALTPGAFIKEEEDEHCPIEFGDLKPSSCKVGSTPWNYLLGLYKQLQKSAMAKAQRP AAPQLALKDGLPHEEKGEREEAVDESCPKWCAPRASSDESCPKWCAPRASTYQSPLQKKF RSTDTVGFVESELKKILSVQREARLWKVGNPEGRELLTQPDITLEEAGMVDGQHLLLEEM DEMGNWPPPD >ENSMUSP00000113880.1 pep:known chromosome:GRCm38:17:27018024:27036378:1 gene:ENSMUSG00000048731.14 transcript:ENSMUST00000122106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp1 description:gametogenetin binding protein 1 [Source:MGI Symbol;Acc:MGI:3055306] MCSRARRPSRPASRTDRPGIADMSYHTRLTQTWDVNPKSRDQPDLHAQPLQRREREHFGQ ALETEQGCLQWVPGPLALTPGAFIKEEEDEHCPIEFGDLKPSSCKVGSTPWNYLLGLYKQ LQKSAMAKAQRPAAPQLALKDGLPHEEKGEREEAVDESCPKWCAPRASSDESCPKWCAPR ASTYQSPLQKKFRSTDTVGFVESELKKILSVQREARLWKVGNPEGRELLTQPDITLEEAG MVDGQHLLLEEMDEMGNWPPPD >ENSMUSP00000051800.6 pep:known chromosome:GRCm38:17:27029259:27036378:1 gene:ENSMUSG00000048731.14 transcript:ENSMUST00000053683.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggnbp1 description:gametogenetin binding protein 1 [Source:MGI Symbol;Acc:MGI:3055306] MAAPARTPRSRILGCSSMLRFLRNLVGSKGGSKSTNKPLTRSQPSSSWEQDVVSPMMGHQ GGRGRKEPRAKVHSAASSNGKREPPPRVLSAAPSNPRHDAFELGTGDSGSQTLTSKDVPK LRAQGVEVTSVPLRGTWEVLEQLPEKKGEEEEPVGEVSGASDREHFGQALETEQGCLQWV PGPLALTPGAFIKEEEDEHCPIEFGDLKPSSCKVGSTPWNYLLGLYKQLQKSAMAKAQRP AAPQLALKDGLPHEEKGEREEAVDESCPKWCAPRASSDESCPKWCAPRASTYQSPLQKKF RSTDTVGFVESELKKILSVQREARLWKVGNPEGRELLTQPDITLEEAGMVDGQHLLLEEM DEMGNWPPPD >ENSMUSP00000018632.4 pep:known chromosome:GRCm38:11:67238029:67260446:1 gene:ENSMUSG00000057003.12 transcript:ENSMUST00000018632.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh4 description:myosin, heavy polypeptide 4, skeletal muscle [Source:MGI Symbol;Acc:MGI:1339713] MSSDAEMAVFGEAAPYLRKSEKERIEAQNKPFDAKSSVFVVDAKESYVKATVQSREGGKV TAKTEGGATVTVKDDQVFSMNPPKYDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG LFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE SGAGKTVNTKRVIQYFATIAVTGDKKKEEATSGKMQGTLEDQIISANPLLEAFGNAKTVR NDNSSRFGKFIRIHFGATGKLASADIETYLLEKSRVTFQLKAERSYHIFYQIMSNKKPEL IEMLLITTNPYDFAYVSQGEITVPSIDDQEELMATDTAVDILGFSADEKVAIYKLTGAVM HYGNMKFKQKQREEQAEPDGTEVADKAAYLTSLNSADLLKALCYPRVKVGNEYVTKGQTV QQVYNSVGALAKSMYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNTLEQLC INFTNEKLQQFFNHHMFVLEQEEYKKEGIDWEFIDFGMDLAACIELIEKPMGIFSILEEE CMFPKATDTSFKNKLYEQHLGKSNNFQKPKPAKGKAEAHFSLVHYAGTVDYNIIGWLDKN KDPLNETVVGLYQKSGLKTLAFLFSGGQAAEAEGGGGKKGGKKKGSSFQTVSALFRENLN KLMTNLKSTHPHFVRCLIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILYA DFKQRYKVLNASAIPEGQFIDSKKASEKLLGSIDIDHTQYKFGHTKVFFKAGLLGTLEEM RDEKLAQLITRTQAVCRGYLMRVEFKKMMERRESIFCIQYNVRAFMNVKHWPWMKLYFKI KPLLKSAETEKEMANMKEDFEKAKEDLAKSEAKRKELEEKMVALMQEKNDLQLQVQAEAD GLADAEERCDQLIKTKIQLEAKIKELTERAEDEEEINAELTAKKRKLEDECSELKKDIDD LELTLAKVEKEKHATENKVKNLTEEMAGLDENIAKLTKEKKALQEAHQQTLDDLQAEEDK VNTLTKAKTKLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESTMDIENDKQQL DEKLKKKEFEMSNLQSKIEDEQALGMQLQKKIKELQARIEELEEEIEAERASRAKAEKQR SDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKH ADSVAELGEQIDNLQRVKQKLEKEKSELKMEIDDLASNMETVSKAKGNLEKMCRTLEDQL SEVKTKEEEQQRLINELSTQKARLHTESGEFSRQLDEKDAMVSQLSRGKQAFTQQIEELK RQLEEESKAKNALAHALQSARHDCDLLREQYEEEQEAKAELQRAMSKANSEVAQWRTKYE TDAIQRTEELEEAKKKLAQRLQDAEEHVEAVNSKCASLEKTKQRLQNEVEDLMIDVERSN AACAALDKKQRNFDKVLAEWKQKYEETQAELEASQKESRSLSTELFKVKNAYEESLDQLE TLKRENKNLQQEISDLTEQIAEGGKHIHELEKIKKQIDQEKSELQASLEEAEASLEHEEG KILRIQLELNQVKSEIDRKIAEKDEEIDQLKRNHLRVVESMQSTLDAEIRSRNDALRIKK KMEGDLNEMEIQLNHANRQAAEAIRNLRNTQGMLKDTQLHLDDALRGQDDLKEQLAMVER RANLMQAEIEELRASLEQTERSRRVAEQELLDASERVQLLHTQNTSLINTKKKLETDISQ IQGEMEDIVQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTVKDLQHR LDEAEQLALKGGKKQIQKLEARVRELENEVENEQKRNIEAVKGLRKHERRVKELTYQTEE DRKNVLRLQDLVDKLQTKVKAYKRQAEEAEEQSNVNLAKFRKIQHELEEAEERADIAESQ VNKLRVKSREVHTKVISEE >ENSMUSP00000127514.1 pep:known chromosome:GRCm38:11:67240597:67260405:1 gene:ENSMUSG00000057003.12 transcript:ENSMUST00000170942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh4 description:myosin, heavy polypeptide 4, skeletal muscle [Source:MGI Symbol;Acc:MGI:1339713] MSSDAEMAVFGEAAPYLRKSEKERIEAQNKPFDAKSSVFVVDAKESYVKATVQSREGGKV TAKTEGGATVTVKDDQVFSMNPPKYDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG LFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE SGAGKTVNTKRVIQYFATIAVTGDKKKEEATSGKMQGTLEDQIISANPLLEAFGNAKTVR NDNSSRFGKFIRIHFGATGKLASADIETYLLEKSRVTFQLKAERSYHIFYQIMSNKKPEL IEMLLITTNPYDFAYVSQGEITVPSIDDQEELMATDTAVDILGFSADEKVAIYKLTGAVM HYGNMKFKQKQREEQAEPDGTEVADKAAYLTSLNSADLLKALCYPRVKVGNEYVTKGQTV QQVYNSVGALAKSMYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNTLEQLC INFTNEKLQQFFNHHMFVLEQEEYKKEGIDWEFIDFGMDLAACIELIEKPMGIFSILEEE CMFPKATDTSFKNKLYEQHLGKSNNFQKPKPAKGKAEAHFSLVHYAGTVDYNIIGWLDKN KDPLNETVVGLYQKSGLKTLAFLFSGGQAAEAEGGGGKKGGKKKGSSFQTVSALFRENLN KLMTNLKSTHPHFVRCLIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILYA DFKQRYKVLNASAIPEGQFIDSKKASEKLLGSIDIDHTQYKFGHTKVFFKAGLLGTLEEM RDEKLAQLITRTQAVCRGYLMRVEFKKMMERRESIFCIQYNVRAFMNVKHWPWMKLYFKI KPLLKSAETEKEMANMKEDFEKAKEDLAKSEAKRKELEEKMVALMQEKNDLQLQVQAEAD GLADAEERCDQLIKTKIQLEAKIKELTERAEDEEEINAELTAKKRKLEDECSELKKDIDD LELTLAKVEKEKHATENKVKNLTEEMAGLDENIAKLTKEKKALQEAHQQTLDDLQAEEDK VNTLTKAKTKLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESTMDIENDKQQL DEKLKKKEFEMSNLQSKIEDEQALGMQLQKKIKELQARIEELEEEIEAERASRAKAEKQR SDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKH ADSVAELGEQIDNLQRVKQKLEKEKSELKMEIDDLASNMETVSKAKGNLEKMCRTLEDQL SEVKTKEEEQQRLINELSTQKARLHTESGEFSRQLDEKDAMVSQLSRGKQAFTQQIEELK RQLEEESKAKNALAHALQSARHDCDLLREQYEEEQEAKAELQRAMSKANSEVAQWRTKYE TDAIQRTEELEEAKKKLAQRLQDAEEHVEAVNSKCASLEKTKQRLQNEVEDLMIDVERSN AACAALDKKQRNFDKVLAEWKQKYEETQAELEASQKESRSLSTELFKVKNAYEESLDQLE TLKRENKNLQQEISDLTEQIAEGGKHIHELEKIKKQIDQEKSELQASLEEAEASLEHEEG KILRIQLELNQVKSEIDRKIAEKDEEIDQLKRNHLRVVESMQSTLDAEIRSRNDALRIKK KMEGDLNEMEIQLNHANRQAAEAIRNLRNTQGMLKDTQLHLDDALRGQDDLKEQLAMVER RANLMQAEIEELRASLEQTERSRRVAEQELLDASERVQLLHTQNTSLINTKKKLETDISQ IQGEMEDIVQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTVKDLQHR LDEAEQLALKGGKKQIQKLEARVRELENEVENEQKRNIEAVKGLRKHERRVKELTYQTEE DRKNVLRLQDLVDKLQTKVKAYKRQAEEAEEQSNVNLAKFRKIQHELEEAEERADIAESQ VNKLRVKSREVHTKVISEE >ENSMUSP00000059166.6 pep:known chromosome:GRCm38:7:133637543:133672971:1 gene:ENSMUSG00000039990.15 transcript:ENSMUST00000051169.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edrf1 description:erythroid differentiation regulatory factor 1 [Source:MGI Symbol;Acc:MGI:1919831] MMGDPKEAGAEASPSGAAARGGLSLLSQADSEEPSAQGSALFLGGNEVKSRAVVKYSSAP PRTAFARLEEKTDLKLPPANWLRESAKLGPAGTTILGNSKKSKPFSSFGMAYDFIDSVGN DVDVVSDSENIKKLLKIPYSKSHVSMAVHRIGRTLLLDELDIQELFMRSSQTGDWTWLKE FYQRLIDQKWQRKKKSKEHWYQKAILSKFLYYSINGDGAAQPVPSPAEQEESSSSQQTHE SEGAAWPAPFEMPSSVSEDPSASSQGREPLEPSCIVGHVASAPKEQNLTTLFNDGENSQG LKNDFVRNILWTFEDIHMLVGSNMPIFGGGRYPAVSLRLRDNNKPINVLTGIDYWLDNLI CNVPELVMCFHVNGIVQKYEMIKTEEIPNLENSNFSTKVIKDIAQNILSFLKSNCTKEGH TYWLFKASGSDIVKLYDLTTLCEETEDKYQNPFTMPVAILLYKVACNMMMKKNQNKKHYG TIRTLLLNCVKLLDKSRHPQIIASANYMLSELFQLDEPKKEESSDSPLNENSDESYSEEE EEMADSDENGSYSTSSDPADDNKAVAIIKSVGELSVPEKYKSIHQIRPSCAFPVCHDTEE RCRLVLSYVLEGLKSVDSSIKKESDLPAADPSTPIPLKYEDESTRGGPEGLEKQMALFLD KMGSIQKGSCSGQSGMTPGSWQHKMKLQLILKSSKAYYILSDAAMSLQKYGRALRYIKLA LQSHDTYCCLCTNMLSEVLLFLSQYLTLCGDIQLMLAQNANNRAAHLEEFNYQTKEDQEI LHSLHRESSCQGFAWATDLSTDLESQLSVSCKCYEAANEILQFSDLKSQNPEHYVQVLKR MGNIRNEIGVFYMNQAAALQGERVVSKSVSAAEQQLWKKSFSCFEKGIHNFESIDDATNA ALLLCNTGRLMRVCAQAHCGAEDEFKREFSPEEGLYYSKAVDYYLKALRSLGTRDMHPIV WDSVNWELSTTYFTMATLQQDYAPLSRKAQEQIEKEVSEAMMKSLKHCDVDSATARQPLC QYRAATIHHRLASMYHSCLRNQVGDEHLRKQHRVLADLHYSKAAKLFQLLKDAPCELLRV QLERVAFAEFQMSSQNSNVGKLKTLSGALDIMVRTEHAFQLIRKELVEECDQPKNDEATP AADSSPNLNREEVIKLLSIFESRLSFLLLQSIKLMSSSKRKMSSNAEEDIVLQTNKQIYS QLLRATANRNSTLLERIEVVICLLEQLASGSSRSSGSAVP >ENSMUSP00000115641.2 pep:known chromosome:GRCm38:7:133637693:133672179:1 gene:ENSMUSG00000039990.15 transcript:ENSMUST00000128901.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edrf1 description:erythroid differentiation regulatory factor 1 [Source:MGI Symbol;Acc:MGI:1919831] MMGDPKEAGAEASPSGAAARGGLSLLSQADSEEPSAQGSALFLGGNEVKSRAVVKYSSAP PRTAFARLEEKTDLKLPPANWLRESAKLGPAGTTILGNSKKSKPFSSFGMAYDFIDSVGN DVDVVSDSENIKKLLKIPYSKSHVSMAVHRIGRTLLLDELDIQELFMRSSQTGDWTWLKE FYQRLIDQKWQRKKKSKEHWYQKAILSKFLYYSINGDGAAQPVPSPAEQEESSSSQQTHE SEGAAWPAPFEMPSSVSEDPSASSQGLKNDFVRNILWTFEDIHMLVGSNMPIFGGGRYPA VSLRLRDNNKPINVLTGIDYWLDNLICNVPELVMCFHVNGIVQKYEMIKTEEIPNLENSN FSTKVIKDIAQNILSFLKSNCTKEGHTYWLFKASGSDIVKLYDLTTLCEETEDKYQNPFT MPVAILLYKVACNMMMKKNQNKKHYGTIRTLLLNCVKLLDKSRHPQIIASANYMLSELFQ LDEPKKEESSDSPLNENSDESYSEEEEEMADSDENGSYSTSSDPADDNKAVAIIKSVGEL SVPEKYKSIHQIRPSCAFPVCHDTEERCRLVLSYVLEGLKSVDSSIKKESDLPAADPSTP IPLKYEDESTRGGPEGLEKQMALFLDKMGSIQKGSCSGQSGMTPGSWQHKMKLQLILKSS KAYYILSDAAMSLQKYGRALRYIKLALQSHDTYCCLCTNMLSEVLLFLSQYLTLCGDIQL MLAQNANNRAAHLEEFNYQTKEDQEILHSLHRESSCQGFAWATDLSTDLESQLSVSCKCY EAANEILQFSDLKSQNPEHYVQVLKRMGNIRNEIGVFYMNQAAALQGERVVSKSVSAAEQ QLWKKSFSCFEKGIHNFESIDDATNAALLLCNTGRLMRVCAQAHCGAEDEFKREFSPEEG LYYSKAVDYYLKALRSLGTRDMHPIVWDSVNWELSTTYFTMATLQQDYAPLSRKAQEQIE KEVSEAMMKSLKHCDVDSATARQPLCQYRAATIHHRLASMYHSCLRNQVGDEHLRKQHRV LADLHYSKAAKLFQLLKDAPCELLRVQLERVAFAEFQMSSQNSNVGKLKTLSGALDIMVR TEHAFQLIRKELVEECDQPKNDEATPAADSSPNLNREEVIKLLSIFESRLSFLLLQSIKL MSSSKRKMSSNAEEDIVLQTNKQIYSQLLRATANRNSTLLERIEVVICLLEQLASGSSRS SGSAVP >ENSMUSP00000134801.1 pep:known chromosome:GRCm38:7:133657105:133661881:1 gene:ENSMUSG00000039990.15 transcript:ENSMUST00000138370.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Edrf1 description:erythroid differentiation regulatory factor 1 [Source:MGI Symbol;Acc:MGI:1919831] NYQTKEDQEILHSLHRESSCQGFAWATDLSTDLESQLSVSCKCYEAANEILQFSDLKSQN PEHYVQVLKRMGNIRNEIGVFYMNQAAALQGERVGDSQL >ENSMUSP00000134967.1 pep:known chromosome:GRCm38:7:133665926:133671929:1 gene:ENSMUSG00000039990.15 transcript:ENSMUST00000176661.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edrf1 description:erythroid differentiation regulatory factor 1 [Source:MGI Symbol;Acc:MGI:1919831] XRTEHAFQLIRKELVEECDQPKNDEATPAADSSPNLNREEVIKLLSIFESRLSFLLLQSI KLMSSSKRKMSNAEEDIVLQTNKQIYSQLLRATANRNSTLLERIEVVICLLEQLASGSSR SSGSAVP >ENSMUSP00000140864.1 pep:known chromosome:GRCm38:1:75307896:75317659:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000185797.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MQMAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIVP ENKYFLTRNSSSIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRKSRRSQVGYHQVGVET YGGGIWSTWFDRDLTLAGRVIIKCPTSGRLEQRLVHIERPILRIPHLAIHLQRNINENFG PNTEIHLVPILATAVQEELEKGTPEPGPLGATDERHHSVLMSLLCTHLGLSPDSIMEMEL CLADTQPAVLGGAYEEFIFAPRLDNLHSCFCALQALIDSCASPASLARDPHVRMVTLYDN EEVGSESAQGAQSLLTELILRRISASPQRLTAFEEAIPKSFMISADMAHAVHPNYSDKHE ENHRPLFHKGPVIKVNSKQRYASNAVSESMIREVAGQVGVPLQDLMVRNDSPCGTTIGPI LASRLGLRVLDLGSPQLAMHSIRETACTTGVLQTLTLFKGFFELFPSVSRNLLVD >ENSMUSP00000140035.1 pep:known chromosome:GRCm38:1:75307940:75316993:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000185419.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIVPEN KYFLTRNSSSIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRKSRRSQVGYHQVGVETYG GGIWSTWFDRDLTLAGRVIIKCPTSGRLEQRLVHIERPILRIPHLAIHLQRNINENFGPN TEIHLVPILATAVQEELEKGTPEPGPLGATDERHHSVLMSLLCTHLGLSPDSIMEMELCL ADTQPAVLGGAYEEFIFAPRLDNLHSCFCALQALIDSCASPASLARDPHVRMVTLYDNEE VGSESAQGAQSLLTELILRRISASPQRLTAFEEAIPKSFMISADMAHAVHPNYSDKHEEN HRPLFHKGPVIKVNSKQRYASNAVSESMIREVAGQVGVPLQDLMVRNDSPCGTTIGPILA SRLGLRVLDLGSPQLAMHSIRETACTTGVLQTLTLFKVTPTWPSAPPVSG >ENSMUSP00000109235.3 pep:known chromosome:GRCm38:1:75308565:75316945:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000113605.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIVPEN KYFLTRNSSSIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRKSRRSQVGYHQVGVETYG GGIWSTWFDRDLTLAGRVIIKCPTSGRLEQRLVHIERPILRIPHLAIHLQRNINENFGPN TEIHLVPILATAVQEELEKGTPEPGPLGATDERHHSVLMSLLCTHLGLSPDSIMEMELCL ADTQPAVLGGAYEEFIFAPRLDNLHSCFCALQALIDSCASPASLARDPHVRMVTLYDNEE VGSESAQGAQSLLTELILRRISASPQRLTAFEEAIPKSFMISADMAHAVHPNYSDKHEEN HRPLFHKGPVIKVNSKQRYASNAVSESMIREVAGQVGVPLQDLMVRNDSPCGTTIGPILA SRLGLRVLDLGSPQLAMHSIRETACTTGVLQTLTLFKGFFELFPSVSRNLLVD >ENSMUSP00000139739.1 pep:known chromosome:GRCm38:1:75308565:75317149:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000187836.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIVPEN KYFLTRNSSSIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRKSRRSQVGYHQVGVETYG GGIWSTWFDRDLTLAGRVIIKCPTSGRLEQRLVHIERPILRIPHLAIHLQRNINENFGPN TEIHLVPILATAVQEELEKGTPEPGPLGATDERHHSVLMSLLCTHLGLSPDSIMEMELCL ADTQPAVLGGAYEEFIFAPRLDNLHSCFCALQALIDSCASPASLARDPHVRMVTLYDNEE VGSESAQGAQSLLTELILRRISASPQRLTAFEEAIPKSFMISADMAHAVHPNYSDKHEEN HRPLFHKGPVIKVNSKQRYASNAVSESMIREVAGQVGVPLQDLMVRNDSPCGTTIGPILA SRLGLRVLDLGSPQLAMHSIRETACTTGVLQTLTLFKGFFELFPSVSRNLLVD >ENSMUSP00000140563.1 pep:known chromosome:GRCm38:1:75314364:75316803:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000189551.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIVPEN KYFLTRNSSSIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRKSRRSQVGYHQVGVETYG GGIWSTWFDRDLTLAGRVIIKCPTSGRLEQRLVHIERPILRIPHLAIHLQRNINENFGPN TEIHLSWVEPMKSSSSLLDWTICTAASVPC >ENSMUSP00000141014.1 pep:known chromosome:GRCm38:1:75314367:75317021:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000187000.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIVPEN KYFLTRNSSSIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRKSRRSQVGYHQVGVETYG GGIWSTWFDRDLTLAGRVIIKCPTSGRLEQRLVHIERPILRIPHLAIHLQRNINENFGPN TEIHLVPILATAVQEELEKGTPEPGPLGATDERHHSVLMSLLCTHLGLSPDSIMEMELCL ADTQPAVLGGAYEEFIFAPRLDNLHSCFCAL >ENSMUSP00000140877.1 pep:known chromosome:GRCm38:1:75315202:75317313:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000187075.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIVPEN KYFLTRNSSSIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRKSRRSQVGYHQVGVETYG GGIWSTWFDRDLTLAGRVIIKCPTSGRLEQRLVHIERPILRIPHLAIHLQRNINENFGPN TEIHLVPILATAVQEELEKGTPEPGPLGATDERHHSVLMSLL >ENSMUSP00000140997.1 pep:known chromosome:GRCm38:1:75315984:75317447:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000191254.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MQMAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIVP ENKVKRKSRRSQVGYHQVGVETYGGGIWSTWF >ENSMUSP00000139532.1 pep:known chromosome:GRCm38:1:75316370:75317990:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000188652.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIVPEN KYFLTRNSSSIIAFAVGGQYVPGNG >ENSMUSP00000141187.1 pep:known chromosome:GRCm38:1:75316554:75317382:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000189282.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIV >ENSMUSP00000070821.7 pep:known chromosome:GRCm38:1:75307896:75317637:-1 gene:ENSMUSG00000026209.15 transcript:ENSMUST00000066668.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnpep description:aspartyl aminopeptidase [Source:MGI Symbol;Acc:MGI:1278328] MAMNGRARKEAIQATARELLKFVNRSPSPFHVVAECRSRLLQAGFRELKETEGWDIVPEN KYFLTRNSSSIIAFAVGGQYVPGNGFSLIGAHTDSPCLRVKRKSRRSQVGYHQVGVETYG GGIWSTWFDRDLTLAGRVIIKCPTSGRLEQRLVHIERPILRIPHLAIHLQRNINENFGPN TEIHLVPILATAVQEELEKGTPEPGPLGATDERHHSVLMSLLCTHLGLSPDSIMEMELCL ADTQPAVLGGAYEEFIFAPRLDNLHSCFCALQALIDSCASPASLARDPHVRMVTLYDNEE VGSESAQGAQSLLTELILRRISASPQRLTAFEEAIPKSFMISADMAHAVHPNYSDKHEEN HRPLFHKGPVIKVNSKQRYASNAVSESMIREVAGQVGVPLQDLMVRNDSPCGTTIGPILA SRLGLRVLDLGSPQLAMHSIRETACTTGVLQTLTLFKGFFELFPSVSRNLLVD >ENSMUSP00000136731.1 pep:known chromosome:GRCm38:7:31703779:31705757:-1 gene:ENSMUSG00000094053.2 transcript:ENSMUST00000178258.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b7 description:secretoglobin, family 2B, member 7 [Source:MGI Symbol;Acc:MGI:3782864] MKGTLLLLGLLVTGELSFQTTEACFPFFEAYASVLSGSRVWLYQELQAFDATAEEKVALE KIQDCYSEESIRNILLEPKIMEAMVASPECLSYYGLDNIRSILDYISKLLGE >ENSMUSP00000114443.1 pep:known chromosome:GRCm38:7:19359770:19369937:1 gene:ENSMUSG00000040734.14 transcript:ENSMUST00000140836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r13l description:protein phosphatase 1, regulatory (inhibitor) subunit 13 like [Source:MGI Symbol;Acc:MGI:3525053] MDSEAFQHARDLLDLNFQSLAMKHMDLKQMELDTAAAKVDELTKQLESLWSDSPAPPGAQ AGVPSRMARYSTSPVPEHFGSRGSPQKIATDGIEARFGRSESAPSLHPYSPLSP >ENSMUSP00000116351.1 pep:known chromosome:GRCm38:7:19360646:19369933:1 gene:ENSMUSG00000040734.14 transcript:ENSMUST00000127785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r13l description:protein phosphatase 1, regulatory (inhibitor) subunit 13 like [Source:MGI Symbol;Acc:MGI:3525053] MDSEAFQHARDLLDLNFQSLAMKHMDLKQMELDTAAAKVDELTKQLESLWSDSPAPPGAQ AGVPSRMARYSTSPVPEHFGSRGSPQKIATDGIEARFGRSESAPSLHPYSPLS >ENSMUSP00000047839.7 pep:known chromosome:GRCm38:7:19361216:19378533:1 gene:ENSMUSG00000040734.14 transcript:ENSMUST00000047621.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r13l description:protein phosphatase 1, regulatory (inhibitor) subunit 13 like [Source:MGI Symbol;Acc:MGI:3525053] MDSEAFQHARDLLDLNFQSLAMKHMDLKQMELDTAAAKVDELTKQLESLWSDSPAPPGAQ AGVPSRMARYSTSPVPEHFGSRGSPQKIATDGIEARFGRSESAPSLHPYSPLSPKGRPSS PRTPIYLQPDTYSSLDRAPSPRPRAFDGAGSPHGRAPSPRPGIGPVRQPGPSTPFDYLGR AGSPRGSPLAEGPQAFFPERGPSPRPPAAAYDTAGTFGSPLLGAGGSAFTPPLRAQDDST LRRRPPKAWNESDLDVAYEKKSSQTASYERLDVFTRPASPGLQLLPWRESSLDGLGASGK DHLTSATLPRNYKVSPLASDRRSDVGSYRRSLGSAGPSGTLPRSWQPVSRIPMPPSSPQP RSTPRQRPIPLSMIFKLQNAFWEHGAGRAVLPGSPIFSRAPPPKLPPQPPPQPQMQPQPQ PQPQMQPQSQAQPQTPAPQQTWSPMNEGLLKSPAELEPEPELEVLLAPVEEAGDADEGTV TRPLSPTRLQPALPPEAQTVPELEEVARVLAEIPRPLKRRGSMEQSPAVALPPTHKKQYQ QIINRLFHRHGGPGPGGPEPELSTITEGSEARAGPPAPAPPAPIPPPAPPQSSPPEQPQS MEMRSVLRKVGSPRKARRARLNPLVLLLDAALTGELDVVQQAVKEMNDPSQPNEEGITAL HNAICGANYPIVDFLIAAGANVNSPDSHGWTPLHCAASCNDTAICTALVQHGAAIFATTL SDGATAIEKCDPYREGYADCATYLADVEQSMGLMHNGVVYALWDYSAEFGDELSFREGES VTVLRRDGPEETDWWWASLHGQEGYVPRNYFGLFPRVKSQRSKI >ENSMUSP00000118309.1 pep:known chromosome:GRCm38:7:19368498:19369911:1 gene:ENSMUSG00000040734.14 transcript:ENSMUST00000132655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r13l description:protein phosphatase 1, regulatory (inhibitor) subunit 13 like [Source:MGI Symbol;Acc:MGI:3525053] MDSEAFQHARDLLDLNFQSLAMKHMDLKQMELDTAAAKVDELTKQLESLWSDSPAPPGAQ AGVPSRMARYSTSPVPEHFGSRGSPQKIATDGIEARFGRSESAPS >ENSMUSP00000023572.7 pep:known chromosome:GRCm38:16:78301496:78340752:1 gene:ENSMUSG00000022865.13 transcript:ENSMUST00000023572.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxadr description:coxsackie virus and adenovirus receptor [Source:MGI Symbol;Acc:MGI:1201679] MARLLCFVLLCGIADFTSGLSITTPEQRIEKAKGETAYLPCKFTLSPEDQGPLDIEWLIS PSDNQIVDQVIILYSGDKIYDNYYPDLKGRVHFTSNDVKSGDASINVTNLQLSDIGTYQC KVKKAPGVANKKFLLTVLVKPSGTRCFVDGSEEIGNDFKLKCEPKEGSLPLQFEWQKLSD SQTMPTPWLAEMTSPVISVKNASSEYSGTYSCTVQNRVGSDQCMLRLDVVPPSNRAGTIA GAVIGTLLALVLIGAILFCCHRKRREEKYEKEVHHDIREDVPPPKSRTSTARSYIGSNHS SLGSMSPSNMEGYSKTQYNQVPSEDFERAPQSPTLAPAKVAAPNLSRMGAVPVMIPAQSK DGSIV >ENSMUSP00000109867.2 pep:known chromosome:GRCm38:16:78301756:78359785:1 gene:ENSMUSG00000022865.13 transcript:ENSMUST00000114229.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxadr description:coxsackie virus and adenovirus receptor [Source:MGI Symbol;Acc:MGI:1201679] MARLLCFVLLCGIADFTSGLSITTPEQRIEKAKGETAYLPCKFTLSPEDQGPLDIEWLIS PSDNQIVDQVIILYSGDKIYDNYYPDLKGRVHFTSNDVKSGDASINVTNLQLSDIGTYQC KVKKAPGVANKKFLLTVLVKPSGTRCFVDGSEEIGNDFKLKCEPKEGSLPLQFEWQKLSD SQTMPTPWLAEMTSPVISVKNASSEYSGTYSCTVQNRVGSDQCMLRLDVVPPSNRAGTIA GAVIGTLLALVLIGAILFCCHRKRREEKYEKEVHHDIREDVPPPKSRTSTARSYIGSNHS SLGSMSPSNMEGYSKTQYNQVPSEDFERAPQSPTLAPAKFKYAYKTDGITVV >ENSMUSP00000103732.3 pep:known chromosome:GRCm38:7:31712665:31713978:1 gene:ENSMUSG00000078759.3 transcript:ENSMUST00000108097.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b7 description:secretoglobin, family 1B, member 7 [Source:MGI Symbol;Acc:MGI:3643480] MKLAGAVVILGAALLLLTSGGDCGICPAIKEDVRLFLNGTSEEYVEYVKQYKDDPVILEN TAKIKQCVDSTLTEEDKIHATTFIEKIEASPLC >ENSMUSP00000083317.6 pep:known chromosome:GRCm38:7:13733505:13779636:1 gene:ENSMUSG00000070811.6 transcript:ENSMUST00000086148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a2 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 2 [Source:MGI Symbol;Acc:MGI:107550] MMSDYNWFEGIPFPAISYQREILEDIRNKFVVKEEDLLILTYPKSGTNWLNEIVCLIQTK GDPKWIQTVPIWDRSPWIETEIGYPAIINKEGPRLITSHLPIHLFSKSFFSSKAKAIYLM RNPRDILVSGYFFWGNTNLVKNPGSLGTYFEWFLQGNGTTGDWKNHFTVAQAEAFDKVFQ EKMAGFPPGMFPWE >ENSMUSP00000050854.4 pep:known chromosome:GRCm38:13:64133022:64153202:-1 gene:ENSMUSG00000044934.12 transcript:ENSMUST00000059817.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp367 description:zinc finger protein 367 [Source:MGI Symbol;Acc:MGI:2442266] MIRGAPAPMAEPPPVVFCHDSPKRVLVSVIRTTPATPPCSSVGEPEPPPPLVPTSPGFSD FMVYPWRWGENAHNVTLSPGAAGGVVSAGLPVAAELPTLRGAPQSSASVAAVSGGEDEEE ASSPDSGHLKDGIRRGRPRADTVRDLINEGEHSSSRIRCNICNRVFPREKSLQAHKRTHT GERPYLCDYPDCGKAFVQSGQLKTHQRLHTGEKPFVCSENGCLSRFTHANRHCPKHPYAR LKREEPTDALSKHQSPDNKAAAEWLAKYWEMREQRTPTLKGKLVQKADQEQQDPLEYLQS DEEDDEKSGAQRRLQEQRERLHGALALIELANLTGAPLRQ >ENSMUSP00000113331.1 pep:known chromosome:GRCm38:13:64140468:64153202:-1 gene:ENSMUSG00000044934.12 transcript:ENSMUST00000117241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp367 description:zinc finger protein 367 [Source:MGI Symbol;Acc:MGI:2442266] MIRGAPAPMAEPPPVVFCHDSPKRVLVSVIRTTPATPPCSSVGEPEPPPPLVPTSPGFSD FMVYPWRWGENAHNVTLSPGAAGGVVSAGLPVAAELPTLRGAPQSSASVAAVSGGEDEEE ASSPDSGHLKDGIRRGRPRADTVRDLINEGEHSSSRIRCNICNRVFPREKSLQAHKRTHT GERPYLCDYPDCGKAFVQSGQLKTHQRLHTGEKPFVCSENGCLSRFTHANRHCPKHPYAR LKREEPTDALSKHQSPDNKAAAEWLAK >ENSMUSP00000138961.1 pep:known chromosome:GRCm38:2:154769255:154769932:-1 gene:ENSMUSG00000098640.1 transcript:ENSMUST00000184654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14214 description:predicted gene 14214 [Source:MGI Symbol;Acc:MGI:3649357] EKNFGIGQDIQPKRDLTHFVKWPCYINLQQQRAILYKQLGVPPAINQFTQALDRQTATQL LKLAHKQEKKQRLLARAEKKAAGKGDVPTKRPPVLRAGVNTNTTLVENKKAQLVVIAHDV DPSEPVVFLPALCRKMGVPYCIIKGKARLGHLVHRKTFTTVAFTQVNSEDKGALAKLVES IRTNYNDRYDKIRHHWGGNVLGSKSVARVAKLEKVKAKELATKLG >ENSMUSP00000065922.4 pep:known chromosome:GRCm38:15:101776172:101786458:-1 gene:ENSMUSG00000056605.6 transcript:ENSMUST00000071104.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt72 description:keratin 72 [Source:MGI Symbol;Acc:MGI:2146034] MSRQLTLYPGAERLGFSGCSAVISGRLSGSHASVRAGVKGAAFGSRSLFCVGGGRRLALS SAGRSGGFTLGHGGASGGRPGGFVGTVFGSAGLGPTCPSVCPPGGIPQVVVNKSLLAPLN VELDPEIQKVRAQEREQIKALNNKFASFIDKVRFLEQQNQVLETKWELLQQLDLNNSKRS LEPVHESYISNLQKQLEILSGDRVRLDSELRNMREVVEDCKKRYEVEINRRTAAENEFVV LKKDVDAAYMNKVELQAKVDSLTDDIKFFKVLFEGEIAQMQSHISDTSVILSMDNNRQLD LDSILAEVRAQYEEIAVKSKAETENMYQCKIQELQATAGQHGDDLKHTKSEITEINRLIQ RIHSEIGNMKKQCSNLETAIADAEQRGDCALKDARAKLDQLEGALQQAKEELARMLREHQ ELMNVKLALDMEIATYRKLLESEESRMAGEYPNSVSISVISSTNAGPGGAGFSVGFGASS SYNYRPLALEVKTKGSCGSELKDPPAKTSGSSGTTKKTSR >ENSMUSP00000077757.5 pep:known chromosome:GRCm38:17:27019810:27029009:-1 gene:ENSMUSG00000057789.13 transcript:ENSMUST00000078691.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bak1 description:BCL2-antagonist/killer 1 [Source:MGI Symbol;Acc:MGI:1097161] MASGQGPGPPKVGCDESPSPSEQQVAQDTEEVFRSYVFYLHQQEQETQGAAAPANPEMDN LPLEPNSILGQVGRQLALIGDDINRRYDTEFQNLLEQLQPTAGNAYELFTKIASSLFKSG ISWGRVVALLGFGYRLALYVYQRGLTGFLGQVTCFLADIILHHYIARWIAQRGGWVAALN FRRDPILTVMVIFGVVLLGQFVVHRFFRS >ENSMUSP00000025034.5 pep:known chromosome:GRCm38:17:27019812:27028578:-1 gene:ENSMUSG00000057789.13 transcript:ENSMUST00000025034.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bak1 description:BCL2-antagonist/killer 1 [Source:MGI Symbol;Acc:MGI:1097161] MASGQGPGPPKVGCDESPSPSEQQVAQDTEEVFRSYVFYLHQQEQETQGAAAPANPEMDN LPLEPNSILGQVGRQLALIGDDINRRYDTEFQNLLEQLQPTAGNAYELFTKIASRPAATC TAYLRVASAGAAWWLSWALATVWPCTSTSVV >ENSMUSP00000122521.1 pep:known chromosome:GRCm38:17:27020666:27022786:-1 gene:ENSMUSG00000057789.13 transcript:ENSMUST00000143158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bak1 description:BCL2-antagonist/killer 1 [Source:MGI Symbol;Acc:MGI:1097161] XPANPEMDNLPLEPNSILGQVGRQLALIGDDINRRYDTEFQNLLEQLQPTAGNAYELFTK IASSHVPGWTKPLSLPHPLPPTPTVLSFLPRAKTL >ENSMUSP00000044395.6 pep:known chromosome:GRCm38:13:23555087:23555830:1 gene:ENSMUSG00000052565.6 transcript:ENSMUST00000045301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h1d description:histone cluster 1, H1d [Source:MGI Symbol;Acc:MGI:107502] MSETAPAAPAAPAPVEKTPVKKKAKKTGAAAGKRKASGPPVSELITKAVAASKERSGVSL AALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTKGTGASGSFKLNKKAASGEAKPK AKKAGAAKAKKPAGAAKKPKKATGAATPKKTAKKTPKKAKKPAAAAGAKKVSKSPKKVKA AKPKKAAKSPAKAKAPKAKASKPKASKPKATKAKKAAPRKK >ENSMUSP00000043936.2 pep:known chromosome:GRCm38:X:146962513:147197274:1 gene:ENSMUSG00000041380.13 transcript:ENSMUST00000036303.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr2c description:5-hydroxytryptamine (serotonin) receptor 2C [Source:MGI Symbol;Acc:MGI:96281] MVNLGTAVRSLLVHLIGLLVWQFDISISPVAAIVTDTFNSSDGGRLFQFPDGVQNWPALS IVVIIIMTIGGNILVIMAVSMEKKLHNATNYFLMSLAIADMLVGLLVMPLSLLAILYDYV WPLPRYLCPVWISLDVLFSTASIMHLCAISLDRYVAIRNPIEHSRFNSRTKAIMKIAIVW AISIGVSVPIPVIGLRDESKVFVNNTTCVLNDPNFVLIGSFVAFFIPLTIMVITYFLTIY VLRRQTLMLLRGHTEEELRNISLNFLKCCCKKGDEEENAPNPNPDQKPRRKKKEKRPRGT MQAINNEKKASKVLGIVFFVFLIMWCPFFITNILSVLCGKACNQKLMEKLLNVFVWIGYV CSGINPLVYTLFNKIYRRAFSKYLRCDYKPDKKPPVRQIPRVAATALSGRELNVNIYRHT NERVVRKANDTEPGIEMQVENLELPVNPSNVVSERISSV >ENSMUSP00000094021.2 pep:known chromosome:GRCm38:X:146962514:147197277:1 gene:ENSMUSG00000041380.13 transcript:ENSMUST00000096299.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr2c description:5-hydroxytryptamine (serotonin) receptor 2C [Source:MGI Symbol;Acc:MGI:96281] MVNLGTAVRSLLVHLIGLLVWQFDISISPVAAIVTDTFNSSDGGRLFQFPDGVQNWPALS IVVIIIMTIGGNILVIMAVSMEKKLHNATNYFLMSLAIADMLVGLLVMPLSLLAILYDYV WPLPRYLCPVWISLDVLFSTASIMHLCAISLDRYVAIRNPIEHSRFNSRTKAIMKIAIVW AISIGVSVPIPVIGLRDESKVFVNNTTCVLNDPNFVLIGSFVAFFIPLTIMVITYFLTIY VLRRQTLMLLRGHTEEELRNISLNFLKCCCKKGDEEENAPNPNPDQKPRRKKKEKRPRGT MQAINNEKKASKVLGIVFFVFLIMWCPFFITNILSVLCGKACNQKLMEKLLNVFVWIGYV CSGINPLVYTLFNKIYRRAFSKYLRCDYKPDKKPPVRQIPRVAATALSGRELNVNIYRHT NERVVRKANDTEPGIEMQVENLELPVNPSNVVSERISSV >ENSMUSP00000138772.1 pep:known chromosome:GRCm38:X:146962514:147197277:1 gene:ENSMUSG00000041380.13 transcript:ENSMUST00000156697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr2c description:5-hydroxytryptamine (serotonin) receptor 2C [Source:MGI Symbol;Acc:MGI:96281] MVNLGTAVRSLLVHLIGLLVWQFDISISPVAAIVTDTFNSSDGGRLFQFPDGVQNWPALS IVVIIIMTIGGNILVIMAVSMEKKLHNATNYFLMSLAIADMLVGLLVMPLSLLAILYDYV WPLPRYLCPVWISLDVLFSTASIMHLCAISLDRSFSSYPCDWTEGRKQSVRE >ENSMUSP00000108450.2 pep:known chromosome:GRCm38:X:146963023:147183152:1 gene:ENSMUSG00000041380.13 transcript:ENSMUST00000112831.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr2c description:5-hydroxytryptamine (serotonin) receptor 2C [Source:MGI Symbol;Acc:MGI:96281] MVNLGTAVRSLLVHLIGLLVWQFDISISPVAAIVTDTFNSSDGGRLFQFPDGVQNWPALS IVVIIIMTIGGNILVIMAVSMEKKLHNATNYFLMSLAIADMLVGLLVMPLSLLAILYDYV WPLPRYLCPVWISLDVLFSTASIMHLCAISLDRKKNRLKSTIPTPAPFFIRIA >ENSMUSP00000144109.1 pep:known chromosome:GRCm38:5:30888694:30891207:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000200695.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] MELRCGGLLFSSRFDSGNLAHVEKVETVSSDGEGVGGVATAPASGSAASPDYEFNVWTRP DCAETEYENGNRSWFYFSVRGGTPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPSRPRWE RIRERPTFEMTETQFVLSFVHRFVEGRGATTFFAFCYPFSYSDCQDLLSQLDQRFSEN >ENSMUSP00000144188.1 pep:known chromosome:GRCm38:5:30888935:30906965:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000201917.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] MELRCGGLLFSSRFDSGNLAHVEKVETVSSDGEGVGGVATAPASGSAASPDYEFNVWTRP DCAETEYENGNRSWFYFSVRGGTPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPSRPRWE RIRERPTFEMTETQFVLSFVHRFVEGRGATTFFAFCYPFSYSDCQDLLSQLDQRFSENYS THSSPLDSIYYHRELLCYSLDGLRVDLLTITSCHGLRDDREPRLEQLFPDLGTPRPFRFT GKRIFFLSSRVHPGETPSSFVFNGFLDFILRPDDPRAQTLRRLFVFKLIPMLNPDGVVRG HYRTDSRGVNLNRQYLKPDAVLHPAIYGAKAVLLYHHVHSRLNAKSPTNQQPTLHLPPEA PLSDLEKANNLHNEAHLGQSPDGENPATWPETEPAEEKTDPVWLMPQPIPELEEPAPDTI PPKESGVAYYVDLHGHASKRGCFMYGNSFSDESTQVENMLYPKLISLNSAHFDFQGCNFS EKNMYARDRRDGQSKEGSGRVAIYKASGIIHSYTLECNYNTGRSVNSIPAACHDNGRASP PPPPAFPSRYTVELFEQVGRAMAIAALDMAECNPWPRIVLSEHSSLTNLRAWMLRHVRNS RGLTSAGNMGASKKRGARTPPKSNNSLPVSCSENALSRVRSFSTGTSTGGSSSSQQNSPQ MKNSPSFPFHGSRTAGLPGLGSSTQKVSHRVLGPVREPRCSDRRRRQQPLNHRSTTSSLA PSPTLASSGPTSSRNMGSCLLPNSLSLSGSSCSFSSSGDKPEAVMVIGKSLLGAGARIPC IRTRLQTCQRRVSARRGPGFPRLGPGWAGAHRRLAEG >ENSMUSP00000143808.1 pep:known chromosome:GRCm38:5:30888969:30906666:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000201168.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] MELRCGGLLFSSRFDSGNLAHVEKVETVSSDGEGVGGVATAPASGSAASPDYEFNVWTRP DCAETEYENGNRSWFYFSVRGGTPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPSRPRWE RIRERPTFEMTETQFVLSFVHRFVEGRGATTFFAFCYPFSYSDCQDLLSQLDQRFSENYS THSSPLDSIYYHRELLCYSLDGLRVDLLTITSCHGLRDDREPRLEQLFPDLGTPRPFRFT GKRIFFLSSRVHPGETPSSFVFNGFLDFILRPDDPRAQTLRRLFVFKLIPMLNPDGVVRG HYRTDSRGVNLNRQYLKPDAVLHPAIYGAKAVLLYHHVHSRLNAKSPTNQQPTLHLPPEA PLSDLEKANNLHNEAHLGQSPDGENPATWPETEPAEEKTDPVWLMPQPIPELEEPAPDTI PPKESGVAYYVDLHGHASKRGCFMYGNSFSDESTQVENMLYPKLISLNSAHFDFQGCNFS EKNMYARDRRDGQSKEGSGRVAIYKASGIIHSYTLECNYNTGRSVNSIPAACHDNGRASP PPPPAFPSRYTVELFEQVGRAMAIAALDMAECNPWPRIVLSEHSSLTNLRAWMLRHVRNS RGLTSAGNMGASKKRGARTPPKSNNSLPVSCSENALSRVRSFSTGTSTGGSSSSQQNSPQ MKNSPSFPFHGSRTAGLPGLGSSTQKVSHRVLGPVREPRCSDRRRRQQPLNHRSTTSSLA PSPTLASSGPTSSRNMGSCLLPNSLSLSGSSCSFSSSGDKPEAVMVIGKSLLGAGARIPC IRTRLQARPRLGRSSPPTRRGMRGSSPTSPIPQTRESSELEPGPHSATPGLPQAGPPRPR SAPAFSPISCTLSDSPSRICYSRGLLNQCEVCFVPKSPPLTISPRV >ENSMUSP00000144018.1 pep:known chromosome:GRCm38:5:30888974:30903487:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000202060.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] MELRCGGLLFSSRFDSGNLAHVEKVETVSSDGEGVGGVATAPASGSAASPDYEFNVWTRP DCAETEYENGNRSWFYFSVRGGTPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPSRPRWE RIRERPTFEMTETQFVLSFVHRFVEGRGATTFFAFCYPFSYSDCQDLLSQLDQRFSENYS THSSPLDSIYYHRELLCYSLDGLRVDLLTITSCHGLRDDREPRLEQLFPDLGTPRPFRFT GKRIFFLSSRVHPGETPSSFVFNGFLDFILRPDDPRAQTLRRLFVFKLIPMLNPDGVVRG HYRTDSRGVNLNRQYLKPDAVLHPAIYGAKAVLLYHHVHSRLNAKSPTNQQPTLHLPPEA PLSDLEKANNLHNEAHLGQSPDGENPATWPETEPAEEKTDPVWLMPQPIPELEEPAPDTI PPKESGVAYYVDLHGHASKRGCFMYGNSFSDESTQVENMLYPKLISLNSAHFDFQGCNFS EKNMYARDRRDGQSKEGSGRVAIYKASGIIHSYTLECNYNTGRSVNSIPAACHDNGRASP PPPPAFPSRYTVELFEQVGRAMAIAALDMAECNPWPRIVLSEHSSLTNLRAWMLRHVRNS RGLTSAGNMGASKKRGARTPPKSNNSLPVSCSENALSRVRSFSTGTSTGGSSSSQQNSPQ MKNSPSFPFHGSRTAGLPGLGSSTQKVSHRVLGPVREPRCSDRRRRQQPLNHRSTTSSLA PSPTLASSGPTSSRNMGSCLLPNSLSLSGRYPLPLKPELPTFFPFLPPRA >ENSMUSP00000144304.1 pep:known chromosome:GRCm38:5:30889001:30906666:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000201817.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] MELRCGGLLFSSRFDSGNLAHVEKVETVSSDGEGVGGVATAPASGSAASPDYEFNVWTRP DCAETEYENGNRSWFYFSVRGGTPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPSRPRWE RIRERPTFEMTETQFVLSFVHRFVEGRGATTFFAFCYPFSYSDCQDLLSQLDQRFSENYS THSSPLDSIYYHRELLCYSLDGLRVDLLTITSCHGLRDDREPRLEQLFPDLGTPRPFRFT GKRIFFLSSRVHPGETPSSFVFNGFLDFILRPDDPRAQTLRRLFVFKLIPMLNPDGVVRG HYRTDSRGVNLNRQYLKPDAVLHPAIYGAKAVLLYHHVHSRLNAKSPTNQQPTLHLPPEA PLSDLEKANNLHNEAHLGQSPDGENPATWPETEPAEEKTDPVWLMPQPIPELEEPAPDTI PPKESGVAYYVDLHGHASKRGCFMYGNSFSDESTQVENMLYPKLISLNSAHFDFQGCNFS EKNMYARDRRDGQSKEGSGRVAIYKASGIIHSYTLECNYNTGRSVNSIPAACHDNGRASP PPPPAFPSRYTVELFEQVGRAMAIAALDMAECNPWPRIVLSEHSSLTNLRAWMLRHVRNS RGLTSAGNMGASKKRGARTPPKSNNSLPVSCSENALSRVRSFSTGTSTGGSSSSQQNSPQ MKNSPSFPFHGSRTAGLPGLGSSTQKVSHRVLGPVREPRCSDRRRRQQPLNHRSTTSSLA PSPTLASSGPTSSRNMGSCLLPNSLSLSGSSCSFSSSGDKPEAVMVIGKSLLGAGARIPC IRTRLQTPLSLPDAPQPQPTSFGFPTRP >ENSMUSP00000143934.1 pep:known chromosome:GRCm38:5:30889006:30903487:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000201225.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] MELRCGGLLFSSRFDSGNLAHVEKVETVSSDGEGVGGVATAPASGSAASPDYEFNVWTRP DCAETEYENGNRSWFYFSVRGGTPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPSRPRWE RIRERPTFEMTETQFVLSFVHRFVEGRGATTFFAFCYPFSYSDCQDLLSQLDQRFSENYS THSSPLDSIYYHRELLCYSLDGLRVDLLTITSCHGLRDDREPRLEQLFPDLGTPRPFRFT GKRIFFLSSRVHPGETPSSFVFNGFLDFILRPDDPRAQTLRRLFVFKLIPMLNPDGVVRG HYRTDSRGVNLNRQYLKPDAVLHPAIYGAKAVLLYHHVHSRLNAKSPTNQQPTLHLPPEA PLSDLEKANNLHNEAHLGQSPDGENPATWPETEPAEEKTDPVWLMPQPIPELEEPAPDTI PPKESGVAYYVDLHGHASKRGCFMYGNSFSDESTQVENMLYPKLISLNSAHFDFQGCNFS EKNMYARDRRDGQSKEGSGRVAIYKASGIIHSYTLECNYNTGRSVNSIPAACHDNGRASP PPPPAFPSRYTVELFEQVGRAMAIAALDMAECNPWPRIVLSEHSSLTNLRAWMLRHVRNS RGLTSAGNMGASKKRGARTPPKSNNSLPVSCSENALSRVRSFSTGTSTGGSSSSQQNSPQ MKNSPSFPFHGSRTAGLPGLGSSTQKVSHRVLGPVREPRCSDRRRRQQPLNHRSTTSSLA PSPTLASSGPTSSRNMGSCLLPNSLSLSGRYPLPLKPELPTFFPFLPPRA >ENSMUSP00000110348.2 pep:known chromosome:GRCm38:5:30889006:30906666:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000114700.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] MELRCGGLLFSSRFDSGNLAHVEKVETVSSDGEGVGGVATAPASGSAASPDYEFNVWTRP DCAETEYENGNRSWFYFSVRGGTPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPSRPRWE RIRERPTFELGSKLSPCFSKPEEAGSHVESVRGRELVKMTETQFVLSFVHRFVEGRGATT FFAFCYPFSYSDCQDLLSQLDQRFSENYSTHSSPLDSIYYHRELLCYSLDGLRVDLLTIT SCHGLRDDREPRLEQLFPDLGTPRPFRFTGKRIFFLSSRVHPGETPSSFVFNGFLDFILR PDDPRAQTLRRLFVFKLIPMLNPDGVVRGHYRTDSRGVNLNRQYLKPDAVLHPAIYGAKA VLLYHHVHSRLNAKSPTNQQPTLHLPPEAPLSDLEKANNLHNEAHLGQSPDGENPATWPE TEPAEEKTDPVWLMPQPIPELEEPAPDTIPPKESGVAYYVDLHGHASKRGCFMYGNSFSD ESTQVENMLYPKLISLNSAHFDFQGCNFSEKNMYARDRRDGQSKEGSGRVAIYKASGIIH SYTLECNYNTGRSVNSIPAACHDNGRASPPPPPAFPSRYTVELFEQVGRAMAIAALDMAE CNPWPRIVLSEHSSLTNLRAWMLRHVRNSRGLTSAGNMGASKKRGARTPPKSNNSLPVSC SENALSRVRSFSTGTSTGGSSSSQQNSPQMKNSPSFPFHGSRTAGLPGLGSSTQKVSHRV LGPVREPRCSDRRRRQQPLNHRSTTSSLAPSPTLASSGPTSSRNMGSCLLPNSLSLSGSS CSFSSSGDKPEAVMVIGKSLLGAGARIPCIRTRLQTCQRRVSARRGPGFPRLGPGWAGAH RRLAEG >ENSMUSP00000144274.1 pep:known chromosome:GRCm38:5:30889326:30891869:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000200850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] MELRCGGLLFSSRFDSGNLAHVEKVETVSSDGEGVGGVATAPASGSAASPDYEFNVWTRP DCAETEYENGNRSWFYFSVRGGTPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPSRPRWE RIRERPTFELGSKLSPCFSKPEEAGSHVESVRGRELVKMTETQFVLSFVHRFVEGRGATT FFAFCYPFSYSDCQDLLSQLDQRFSENYSTHSSPLDSIYYHRELLCYSLDGL >ENSMUSP00000144441.1 pep:known chromosome:GRCm38:5:30894510:30905667:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000202109.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] XVGRAMAIAALDMAECNPWPRIVLSEHSSLTNLRAWMLRHVRNSRGLTSAGNMGASKKRG ARTPPKSNNLPVSCSENALSRVRSFSTGTSTGGSSSSQQNSPQMKNSPSFPFHGSRTAGL PGLGSSTQKVSHRVLGPVREPRCSDRRRRQQPLNHRSTTSSLAPSPTLASSGPTSSRNMG SCLLPNSLSLSGSSCSFSSSGDKPEAVMVIGKSLLGAGARIPCIRTRLQTCQRRVSARRG PGFPRLGPGWAGAHRRL >ENSMUSP00000144343.1 pep:known chromosome:GRCm38:5:30895933:30905910:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000201014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] XSSTQKVSHRVLGPVREPRCSDRRRRQQPLNHRSTTSSLAPSPTLASSGPTSSRNMGSCL LPNSLSLSGSSCSFSSSGDKPEAVMVIGKSLLGAGARIPCIRTRLQTCQRRVSARRGPGF PRLGPGWAGAHRRLAEG >ENSMUSP00000063228.4 pep:known chromosome:GRCm38:5:30888852:30896687:1 gene:ENSMUSG00000029165.16 transcript:ENSMUST00000069705.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl5 description:ATP/GTP binding protein-like 5 [Source:MGI Symbol;Acc:MGI:2441745] MELRCGGLLFSSRFDSGNLAHVEKVETVSSDGEGVGGVATAPASGSAASPDYEFNVWTRP DCAETEYENGNRSWFYFSVRGGTPGKLIKINIMNMNKQSKLYSQGMAPFVRTLPSRPRWE RIRERPTFEMTETQFVLSFVHRFVEGRGATTFFAFCYPFSYSDCQDLLSQLDQRFSENYS THSSPLDSIYYHRELLCYSLDGLRVDLLTITSCHGLRDDREPRLEQLFPDLGTPRPFRFT GKRIFFLSSRVHPGETPSSFVFNGFLDFILRPDDPRAQTLRRLFVFKLIPMLNPDGVVRG HYRTDSRGVNLNRQYLKPDAVLHPAIYGAKAVLLYHHVHSRLNAKSPTNQQPTLHLPPEA PLSDLEKANNLHNEAHLGQSPDGENPATWPETEPAEEKTDPVWLMPQPIPELEEPAPDTI PPKESGVAYYVDLHGHASKRGCFMYGNSFSDESTQVENMLYPKLISLNSAHFDFQGCNFS EKNMYARDRRDGQSKEGSGRVAIYKASGIIHSYTLECNYNTGRSVNSIPAACHDNGRASP PPPPAFPSRYTVELFEQVGRAMAIAALDMAECNPWPRIVLSEHSSLTNLRAWMLRHVRNS RGLTSAGNMGASKKRGARTPPKSNNSLPVSCSENALSRVRSFSTGTSTGGSSSSQQNSPQ MKNSPSFPFHGSRTAGLPGLGSSTQKVSHRVLGPVRGKPVWEPLQQVFGCLGHCWGERA >ENSMUSP00000044835.5 pep:known chromosome:GRCm38:15:83605003:83725021:-1 gene:ENSMUSG00000016763.14 transcript:ENSMUST00000043634.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scube1 description:signal peptide, CUB domain, EGF-like 1 [Source:MGI Symbol;Acc:MGI:1890616] MGAAAVRWHLYLLLALGARGRLVGGSGLPGAVDVDECSEGTDDCHIDAICQNTPKSYKCL CKPGYKGEGRQCEDIDECENDYYNGGCVHDCINIPGNYRCTCFDGFMLAHDGHNCLDVDE CQDNNGGCQQICVNAMGSYECQCHSGFFLSDNQHTCIHRSNETCAVNNGGCDRTCKDTAT GVRCSCPVGFTLQPDGKTCKDINECLMNNGGCDHFCRNTVGSFECGCQKGHKLLTDERTC QDIDECSFERTCDHICINSPGSFQCLCRRGYTLYGTTHCGDVDECSMNNGSCEQGCVNTK GSYECVCPPGRRLHWNQKDCVEMNGCLSRSKASAQAQLSCGKVGGVENCFLSCLGHSLFM PDSESSYILSCGVPGLQGKTLPKRNGTSSSTGPGCSDAPTTPIRQKARFKIRDAKCHLQP RSQERAKDTLRHPLLDNCHVTFVTLKCDSSKKRRRGRKSPSKEVSHITAEFEVEMKVDEA SGTCEADCMRKRAEQSLQAAIKILRKSIGRNQFYVQVLGTEYEVAQRPAKALEGTGTCGI GQILQDGKCVPCAPGTYFSGDPGQCMPCVSGTYQDMEGQLSCTPCPSSEGLGLAGARNVS ECGGQCSPGYFSADGFKPCQACPVGTYQPEPGRTGCFPCGGGLLTKHTGTASFQDCEAKV HCSPGHHYNTTTHRCIRCPVGTYQPEFGQNHCISCPGNTSTDFDGSTNVTHCKNQHCGGE LGDYTGYIESPNYPGDYPANAECVWHIAPPPKRRILIVVPEIFLPIEDECGDVLVMRKSA SPTSVTTYETCQTYERPIAFTSRSRKLWIQFKSNEANSGKGFQVPYVTYDEDYQQLIEDI VRDGRLYASENHQEILKDKKLIKALFDVLAHPQNYFKYTAQESKEMFPRSFIKLLRSKVS RFLRPYK >ENSMUSP00000075434.5 pep:known chromosome:GRCm38:15:83606506:83725021:-1 gene:ENSMUSG00000016763.14 transcript:ENSMUST00000076060.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scube1 description:signal peptide, CUB domain, EGF-like 1 [Source:MGI Symbol;Acc:MGI:1890616] MGAAAVRWHLYLLLALGARGRLVGGSGLPGAVDVDECSEGTDDCHIDAICQNTPKSYKCL CKPGYKGEGRQCEDIDECENDYYNGGCVHDCINIPGNYRCTCFDGFMLAHDGHNCLDVDE CQDNNGGCQQICVNAMGSYECQCHSGFFLSDNQHTCIHRSNEGMNCMNKDHGCAHICRET PKGGVACDCRPGFDLAQNQKDCTLTCNYGNGGCQHSCEDTDTGPMCGCHQKYALHADGRT CIETCAVNNGGCDRTCKDTATGVRCSCPVGFTLQPDGKTCKDINECLMNNGGCDHFCRNT VGSFECGCQKGHKLLTDERTCQDIDECSFERTCDHICINSPGSFQCLCRRGYTLYGTTHC GDVDECSMNNGSCEQGCVNTKGSYECVCPPGRRLHWNQKDCVEMNGCLSRSKASAQAQLS CGKVGGVENCFLSCLGHSLFMPDSESSYILSCGVPGLQGKTLPKRNGTSSSTGPGCSDAP TTPIRQKARFKIRDAKCHLQPRSQERAKDTLRHPLLDNCHVTFVTLKCDSSKKRRRGRKS PSKEVSHITAEFEVEMKVDEASGTCEADCMRKRAEQSLQAAIKILRKSIGRNQFYVQVLG TEYEVAQRPAKALEGTGTCGIGQILQDGKCVPCAPGTYFSGDPGQCMPCVSGTYQDMEGQ LSCTPCPSSEGLGLAGARNVSECGGQCSPGYFSADGFKPCQACPVGTYQPEPGRTGCFPC GGGLLTKHTGTASFQDCEAKVHCSPGHHYNTTTHRCIRCPVGTYQPEFGQNHCISCPGNT STDFDGSTNVTHCKNQHCGGELGDYTGYIESPNYPGDYPANAECVWHIAPPPKRRILIVV PEIFLPIEDECGDVLVMRKSASPTSVTTYETCQTYERPIAFTSRSRKLWIQFKSNEANSG KGFQVPYVTYDGRLPEDYQQLIEDIVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNY FKYTAQESKEMFPRSFIKLLRSKVSRFLRPYK >ENSMUSP00000016907.7 pep:known chromosome:GRCm38:15:83606506:83725021:-1 gene:ENSMUSG00000016763.14 transcript:ENSMUST00000016907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scube1 description:signal peptide, CUB domain, EGF-like 1 [Source:MGI Symbol;Acc:MGI:1890616] MGAAAVRWHLYLLLALGARGRLVGGSGLPGAVDVDECSEGTDDCHIDAICQNTPKSYKCL CKPGYKGEGRQCEDIDECENDYYNGGCVHDCINIPGNYRCTCFDGFMLAHDGHNCLDVDE CQDNNGGCQQICVNAMGSYECQCHSGFFLSDNQHTCIHRSNEGMNCMNKDHGCAHICRET PKGGVACDCRPGFDLAQNQKDCTLTCNYGNGGCQHSCEDTDTGPMCGCHQKYALHADGRT CIEKDEAAIERSQFNATSVADVDKRVKRRLLMETCAVNNGGCDRTCKDTATGVRCSCPVG FTLQPDGKTCKDINECLMNNGGCDHFCRNTVGSFECGCQKGHKLLTDERTCQDIDECSFE RTCDHICINSPGSFQCLCRRGYTLYGTTHCGDVDECSMNNGSCEQGCVNTKGSYECVCPP GRRLHWNQKDCVEMNGCLSRSKASAQAQLSCGKVGGVENCFLSCLGHSLFMPDSESSYIL SCGVPGLQGKTLPKRNGTSSSTGPGCSDAPTTPIRQKARFKIRDAKCHLQPRSQERAKDT LRHPLLDNCHVTFVTLKCDSSKKRRRGRKSPSKEVSHITAEFEVEMKVDEASGTCEADCM RKRAEQSLQAAIKILRKSIGRNQFYVQVLGTEYEVAQRPAKALEGTGTCGIGQILQDGKC VPCAPGTYFSGDPGQCMPCVSGTYQDMEGQLSCTPCPSSEGLGLAGARNVSECGGQCSPG YFSADGFKPCQACPVGTYQPEPGRTGCFPCGGGLLTKHTGTASFQDCEAKVHCSPGHHYN TTTHRCIRCPVGTYQPEFGQNHCISCPGNTSTDFDGSTNVTHCKNQHCGGELGDYTGYIE SPNYPGDYPANAECVWHIAPPPKRRILIVVPEIFLPIEDECGDVLVMRKSASPTSVTTYE TCQTYERPIAFTSRSRKLWIQFKSNEANSGKGFQVPYVTYDEDYQQLIEDIVRDGRLYAS ENHQEILKDKKLIKALFDVLAHPQNYFKYTAQESKEMFPRSFIKLLRSKVSRFLRPYK >ENSMUSP00000130131.1 pep:known chromosome:GRCm38:15:83602583:83725021:-1 gene:ENSMUSG00000016763.14 transcript:ENSMUST00000171496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scube1 description:signal peptide, CUB domain, EGF-like 1 [Source:MGI Symbol;Acc:MGI:1890616] MGAAAVRWHLYLLLALGARGRLVGGSGLPGAVDVDECSEGTDDCHIDAICQNTPKSYKCL CKPGYKGEGRQCEDIDECENDYYNGGCVHDCINIPGNYRCTCFDGFMLAHDGHNCLDVDE CQDNNGGCQQICVNAMGSYECQCHSGFFLSDNQHTCIHRSNEGMNCMNKDHGCAHICRET PKGGVACDCRPGFDLAQNQKDCTLTCNYGNGGCQHSCEDTDTGPMCGCHQKYALHADGRT CIETCAVNNGGCDRTCKDTATGVRCSCPVGFTLQPDGKTCKDINECLMNNGGCDHFCRNT VGSFECGCQKGHKLLTDERTCQDIDECSFERTCDHICINSPGSFQCLCRRGYTLYGTTHC GDVDECSMNNGSCEQGCVNTKGSYECVCPPGRRLHWNQKDCVEMNGCLSRSKASAQAQLS CGKVGGVENCFLSCLGHSLFMPDSESSYILSCGVPGLQGKTLPKRNGTSSSTGPGCSDAP TTPIRQKARFKIRDAKCHLQPRSQERAKDTLRHPLLDNCHVTFVTLKCDSSKKRRRGRKS PSKEVSHITAEFEVEMKVDEASGTCEADCMRKRAEQSLQAAIKILRKSIGRNQFYVQVLG TEYEVAQRPAKALEGTGTCGIGQILQDGKCVPCAPGTYFSGDPGQCMPCVSGTYQDMEGQ LSCTPCPSSEGLGLAGARNVSECGGQCSPGYFSADGFKPCQACPVGTYQPEPGRTGCFPC GGGLLTKHTGTASFQDCEAKVHCSPGHHYNTTTHRCIRCPVGTYQPEFGQNHCISCPGNT STDFDGSTNVTHCKNQHCGGELGDYTGYIESPNYPGDYPANAECVWHIAPPPKRRILIVV PEIFLPIEDECGDVLVMRKSASPTSVTTYETCQTYERPIAFTSRSRKLWIQFKSNEANSG KGFQVPYVTYDEDYQQLIEDIVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNYFKYT AQESKEMFPRSFIKLLRSKVSRFLRPYK >ENSMUSP00000030158.4 pep:known chromosome:GRCm38:4:41714798:41723170:-1 gene:ENSMUSG00000028447.11 transcript:ENSMUST00000030158.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn3 description:dynactin 3 [Source:MGI Symbol;Acc:MGI:1859251] MAALTDVQRLQSRVEELERWVYGPGGTRGSRKVADGLVKVQVALGNIASKRERVKILYKK IEDLIKYLDPEYIDRIAIPEASKLQFILAEEQFILSQVALLEQVNALVPVLDSASIKAVP EHAARLQRLAQIHIQQQDQCVAITEESKALLEGYNKTTMLLSKQFVQWDELLCQLEAAKQ VKPAEE >ENSMUSP00000130988.1 pep:known chromosome:GRCm38:4:41714798:41723163:-1 gene:ENSMUSG00000028447.11 transcript:ENSMUST00000171641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn3 description:dynactin 3 [Source:MGI Symbol;Acc:MGI:1859251] MAALTDVQRLQSRVEELERWVYGPGGTRGSRKVADGLVKVQVALGNIASKRERVKILYKK IEDLIKYLDPEYIDRIAIPEASKLQFILAEEQFILSQVALLEQVNALVPVLDSASIKAVP EHAARLQRLAQIHIQQQFVQWDELLCQLEAAKQVKPAEE >ENSMUSP00000136258.1 pep:known chromosome:GRCm38:11:70700548:70707568:1 gene:ENSMUSG00000020821.17 transcript:ENSMUST00000152618.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1c description:kinesin family member 1C [Source:MGI Symbol;Acc:MGI:1098260] MAGASVKVAVRVRPFNARETSQDAKCVVSMQGNTTSIINPKQSKDAPKSFTFDYSYWSHT SVEDPQFASQQQVYRDIGEEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGRQEPGQQGIVP QLCEDLFSRVNVNQSAQLSYSVEVSYMEIYCERVRDLLNPKSRGSLRVREHPILGPYVQD LSKLAVTSYADIADLMDCGNKARTVAATNMNETSSRSHAVFTIVFTQRSHDQLTGLDSEK VSKISLVDLAGSERADSSGARGMRLKEGANINKSLTTLGKVISALADLQSKKRKSDFIPY RDSVLTWLLKENLGGNSRTAMIAALSPADINYEETLSTLRYADRTKQIRCNAVINEDPNA RLIRELQEEVARLRDLLMAQGLSASALGGRAHTLAVLLPRKPVCSPCLSFFPFAQSWSVW RSTLH >ENSMUSP00000099614.1 pep:known chromosome:GRCm38:11:70700548:70731964:1 gene:ENSMUSG00000020821.17 transcript:ENSMUST00000102554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1c description:kinesin family member 1C [Source:MGI Symbol;Acc:MGI:1098260] MAGASVKVAVRVRPFNARETSQDAKCVVSMQGNTTSIINPKQSKDAPKSFTFDYSYWSHT SVEDPQFASQQQVYRDIGEEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGRQEPGQQGIVP QLCEDLFSRVNVNQSAQLSYSVEVSYMEIYCERVRDLLNPKSRGSLRVREHPILGPYVQD LSKLAVTSYADIADLMDCGNKARTVAATNMNETSSRSHAVFTIVFTQRSHDQLTGLDSEK VSKISLVDLAGSERADSSGARGMRLKEGANINKSLTTLGKVISALADLQSKKRKSDFIPY RDSVLTWLLKENLGGNSRTAMIAALSPADINYEETLSTLRYADRTKQIRCNAVINEDPNA RLIRELQEEVARLRDLLMAQGLSASALGGLKVEEGSPGGVLPPASSPPAPASPSSPPPHN GELEPSFSPSAEPQIGPEEAMERLQETEKIIAELNETWEEKLRKTEALRMEREALLAEMG VAVREDGGTVGVFSPKKTPHLVNLNEDPLMSECLLYHIKDGVTRVGQVDVDIKLTGQFIR EQHCLFRSIPQPDGEVMVTLEPCEGAETYVNGKLVTEPLVLKSGNRIVMGKNHVFRFNHP EQARLERERGVPPPPGPPSEPVDWNFAQKELLEQQGIDIKLEMEKRLQDLENQYRKEKEE ADLLLEQQRLYADSDSGEDSDKRSCEESWRLISSLREQLPPTTVQNIVKRCGLPSSGKRR APRRVYQIPQRRRLQGKDPRWATMADLKMQAVKEICYEVALADFRHGRAEIEALAALKMR ELCRTYGKPEGPGDAWRAVARDVWDTVGEEEGCGGGGGGSEEGARGAEVEDLRAHIDKLT GILQEVKLQNSSKDRELQALRDRMLRMERVIPLTQDLEDDNDESGLVTWAPPEGPEAVEE TVPNDHSPAVRPTSPPLSSWERVSRLMEEDPAFRRGRLRWLKQEQLRLQGLQGAGGRGGG LRRPPARFVPPHDCKLRFPFKSNPQHRESWPGMGSGEAPAPQPPEEVTVPPAPPNRRPPS PRRPHRSRRNSLDGGSRSRGGGSTQPEPQHLRPQKHNGYPQQPQPSPAQRPGPRYPPYTT PPRMRRQRSAPDLKESGAAV >ENSMUSP00000092075.4 pep:known chromosome:GRCm38:11:70700561:70730387:1 gene:ENSMUSG00000020821.17 transcript:ENSMUST00000094499.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1c description:kinesin family member 1C [Source:MGI Symbol;Acc:MGI:1098260] MAGASVKVAVRVRPFNARETSQDAKCVVSMQGNTTSIINPKQSKDAPKSFTFDYSYWSHT SVEDPQFASQQQVYRDIGEEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGRQEPGQQGIVP QLCEDLFSRVNVNQSAQLSYSVEVSYMEIYCERVRDLLNPKSRGSLRVREHPILGPYVQD LSKLAVTSYADIADLMDCGNKARTVAATNMNETSSRSHAVFTIVFTQRSHDQLTGLDSEK VSKISLVDLAGSERADSSGARGMRLKEGANINKSLTTLGKVISALADLQSKKRKSDFIPY RDSVLTWLLKENLGGNSRTAMIAALSPADINYEETLSTLRYADRTKQIRCNAVINEDPNA RLIRELQEEVARLRDLLMAQGLSASALGGLKVEEGSPGGVLPPASSPPAPASPSSPPPHN GELEPSFSPSAEPQIGPEEAMERLQETEKIIAELNETWEEKLRKTEALRMEREALLAEMG VAVREDGGTVGVFSPKKTPHLVNLNEDPLMSECLLYHIKDGVTRVGQVDVDIKLTGQFIR EQHCLFRSIPQPDGEVMVTLEPCEGAETYVNGKLVTEPLVLKSGNRIVMGKNHVFRFNHP EQARLERERGVPPPPGPPSEPVDWNFAQKELLEQQGIDIKLEMEKRLQDLENQYRKEKEE ADLLLEQQRLYADSDSGEDSDKRSCEESWRLISSLREQLPPTTVQNIVKRCGLPSSGKRR APRRVYQIPQRRRLQGKDPRWATMADLKMQAVKEICYEVALADFRHGRAEIEALAALKMR ELCRTYGKPEGPGDAWRAVARDVWDTVGEEEGCGGGGGGSEEGARGAEVEDLRAHIDKLT GILQEVKLQNSSKDRELQALRDRMLRMERVIPLTQDLEDDNDESGLVTWAPPEGPEAVEE TVPNDHSPAVRPTSPPLSSWERVSRLMEEDPAFRRGRLRWLKQEQLRLQGLQGAGGRGGG LRRPPARFVPPHDCKLRFPFKSNPQHRESWPGMGSGEAPAPQPPEEVTVPPAPPNRRPPS PRRPHRSRRNSLDGGSRSRGGGSTQPEPQHLRPQKHNGYPQQPQPSPAQRPGPRYPPYTT PPRMRRQRSAPDLKESGAAV >ENSMUSP00000072048.5 pep:known chromosome:GRCm38:11:70700576:70730424:1 gene:ENSMUSG00000020821.17 transcript:ENSMUST00000072187.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1c description:kinesin family member 1C [Source:MGI Symbol;Acc:MGI:1098260] MAGASVKVAVRVRPFNARETSQDAKCVVSMQGNTTSIINPKQSKDAPKSFTFDYSYWSHT SVEDPQFASQQQVYRDIGEEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGRQEPGQQGIVP QLCEDLFSRVNVNQSAQLSYSVEVSYMEIYCERVRDLLNPKSRGSLRVREHPILGPYVQD LSKLAVTSYADIADLMDCGNKARTVAATNMNETSSRSHAVFTIVFTQRSHDQLTGLDSEK VSKISLVDLAGSERADSSGARGMRLKEGANINKSLTTLGKVISALADLQSKKRKSDFIPY RDSVLTWLLKENLGGNSRTAMIAALSPADINYEETLSTLRYADRTKQIRCNAVINEDPNA RLIRELQEEVARLRDLLMAQGLSASALGGLKVEEGSPGGVLPPASSPPAPASPSSPPPHN GELEPSFSPSAEPQIGPEEAMERLQETEKIIAELNETWEEKLRKTEALRMEREALLAEMG VAVREDGGTVGVFSPKKTPHLVNLNEDPLMSECLLYHIKDGVTRVGQVDVDIKLTGQFIR EQHCLFRSIPQPDGEVMVTLEPCEGAETYVNGKLVTEPLVLKSGNRIVMGKNHVFRFNHP EQARLERERGVPPPPGPPSEPVDWNFAQKELLEQQGIDIKLEMEKRLQDLENQYRKEKEE ADLLLEQQRLVRDTWGPTEPGQYADSDSGEDSDKRSCEESWRLISSLREQLPPTTVQNIV KRCGLPSSGKRRAPRRVYQIPQRRRLQGKDPRWATMADLKMQAVKEICYEVALADFRHGR AEIEALAALKMRELCRTYGKPEGPGDAWRAVARDVWDTVGEEEGCGGGGGGSEEGARGAE VEDLRAHIDKLTGILQEVKLQNSSKDRELQALRDRMLRMERVIPLTQDLEDDNDESGLVT WAPPEGPEAVEETVPNDHSPAVRPTSPPLSSWERVSRLMEEDPAFRRGRLRWLKQEQLRL QGLQGAGGRGGGLRRPPARFVPPHDCKLRFPFKSNPQHRESWPGMGSGEAPAPQPPEEVT VPPAPPNRRPPSPRRPHRSRRNSLDGGSRSRGGGSTQPEPQHLRPQKHNGYPQQPQPSPA QRPGPRYPPYTTPPRMRRQRSAPDLKESGAAV >ENSMUSP00000123242.2 pep:known chromosome:GRCm38:11:70700650:70731964:1 gene:ENSMUSG00000020821.17 transcript:ENSMUST00000137119.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1c description:kinesin family member 1C [Source:MGI Symbol;Acc:MGI:1098260] MAGASVKVAVRVRPFNARETSQDAKCVVSMQGNTTSIINPKQSKDAPKSFTFDYSYWSHT SVEDPQFASQQQVYRDIGEEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGRQEPGQQGIVP QLCEDLFSRVNVNQSAQLSYSVEVSYMEIYCERVRDLLNPKSRGSLRVREHPILGPYVQD LSKLAVTSYADIADLMDCGNKARTVAATNMNETSSRSHAVFTIVFTQRSHDQLTGLDSEK VSKISLVDLAGSERADSSGARGMRLKEGANINKSLTTLGKVISALADLQSKKRKSDFIPY RDSVLTWLLKENLGGNSRTAMIAALSPADINYEETLSTLRYADRTKQIRCNAVINEDPNA RLIRELQEEVARLRDLLMAQGLSASALGGLKVEEGSPGGVLPPASSPPAPASPSSPPPHN GELEPSFSPSAEPQIGPEEAMERLQETEKIIAELNETWEEKLRKTEALRMEREALLAEMG VAVREDGGTVGVFSPKKTPHLVNLNEDPLMSECLLYHIKDGVTRVGQVDVDIKLTGQFIR EQHCLFRSIPQPDGEVMVTLEPCEGAETYVNGKLVTEPLVLKSGNRIVMGKNHVFRFNHP EQARLERERGVPPPPGPPSEPVDWNFAQKELLEQQGIDIKLEMEKRLQDLENQYRKEKEE ADLLLEQQRLYADSDSGEDSDKRSCEESWRLISSLREQLPPTTVQNIVKRCGLPSSGKRR APRRVYQIPQRRRLQGKDPRWATMADLKMQAVKEICYEVALADFRHGRAEIEALAALKMR ELCRTYGKPEGPGDAWRAVARDVWDTVGEEEGCGGGGGGSEEGARGAEVEDLRAHIDKLT GILQEVKLQNSSKDRELQALRDRMLRMERVIPLTQDLEDDNDESGLVTWAPPEGPEAVEE TVPNDHSPAVRPTSPPLSSWERVSRLMEEDPAFRRGRLRWLKQEQLRLQGLQGAGGRGGG LRRPPARFVPPHDCKLRFPFKSNPQHRESWPGMGSGEAPAPQPPEEVTVPPAPPNRRPPS PRRPHRSRRNSLDGGSRSRGGGSTQPEPQHLRPQKHNGYPQQPQPSPAQRPGPRYPPYTT PPRMRRQRSAPDLKESGAAV >ENSMUSP00000002081.5 pep:known chromosome:GRCm38:X:73774405:73778925:1 gene:ENSMUSG00000002007.5 transcript:ENSMUST00000002081.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpk3 description:serine/arginine-rich protein specific kinase 3 [Source:MGI Symbol;Acc:MGI:1891338] MSANAGGSGSVDCGGSSSSSQTSCGPESSGSELTPATPAPRLLQGLLGSDDEEQEDPKDY CKGGYYPVKIGDLFNGRYHVVRKLGWGHFSTVWLCWDIQRKRFVALKVVKSAGHYTETAV DEIKLLKCVRDSDPSDPKRETIVQLIDDFRISGVNGVHVCMVLEVLGHQLLKWIIKSNYQ GLPVPCVKSIVRQVLHGLDYLHTKCKIIHTDIKPENILLCVGDAYIRRLAAEATEWQQSG AQPPSRSTVSTAPQEVLIGKLSKNKRKKMRRKRKQQKRLLEERLRDLQRLEAMEAAVQAE DSSSRLERGSGSTSSSGCHPEGTRAGPSPASSSPVPGGERSLSPSSQTSGFSGSLFSTAS CSILSGSSNQRETGGLLSPSTPFGASNLLVNPLEPQNADKIKIKIADLGNACWVHKHFTE DIQTRQYRAVEVLIGAEYGPPADIWSTACMAFELATGDYLFEPHSGEDYSRDEDHIAHIV ELLGDIPPAFALSGRYSREFFNRRGELRHIPNLKHWGLYEVLMEKYEWPLEQATQFSAFL LPMMEYIPEKRASAADCLQHPWLNP >ENSMUSP00000039821.3 pep:known chromosome:GRCm38:3:92339140:92340873:1 gene:ENSMUSG00000042212.3 transcript:ENSMUST00000047477.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2d description:small proline-rich protein 2D [Source:MGI Symbol;Acc:MGI:1330347] MSYQQQQCKQPCQPPPVCPPKKCPEPCPPLKCPEPCPPPKCPEPCPPPKCPEPCPEPCPP PSCQQKCPPAQPPPPCQQKCPPKSK >ENSMUSP00000140916.1 pep:known chromosome:GRCm38:1:117965939:117986884:1 gene:ENSMUSG00000100617.1 transcript:ENSMUST00000185381.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7145 description:predicted gene 7145 [Source:MGI Symbol;Acc:MGI:3648947] MASCQVLLTFMDVALEFSKEEWECLDSAQRALYRDVMLENYNNLVSVGVAVSKSEVITFL EENKDPWIVDRNGTERRTPDQEAPDTLPERTQSPNPKTHYRISTRVTFYKCIECGKSFTK LSRLKVHQRLHTGDKPYKCNECGKSFKHLSSVKIHHRLHTGYKPYKCNECGKSFTKSSHL KVHQRLHTGDKPYKCNECGKSFTRYSDLKVHQRIHTGYKPYKCNDCGKSFTKSSHLKVHH RLHTGDKPYKCNECGKSFTQFSDLKVHQRIHTGDKPCKCNDCGKSFTKFSSLKVHQRLHT GDKPYKCNKCGKSFKHLSSAKIHHRLHTGDKPYKCNECGKSFTQVSYLKVHQRIHTGDKP >ENSMUSP00000098936.3 pep:known chromosome:GRCm38:11:32286965:32287727:1 gene:ENSMUSG00000073063.3 transcript:ENSMUST00000101387.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbq1b description:hemoglobin, theta 1B [Source:MGI Symbol;Acc:MGI:3613460] MARSQDDQWLVLALWKKMGSNVGIYATEALERTFVAFPSTKTYFPHLDLRPGSSQVKAHA QKVADALTLATQHLDDLPASLSALSDLHAHKLCVDPANFQFFSHCLLVTLARHYPGDFSP EMHASLDKFLGHVTSALVSKYR >ENSMUSP00000064652.1 pep:known chromosome:GRCm38:3:92352143:92353449:1 gene:ENSMUSG00000055030.1 transcript:ENSMUST00000068399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2e description:small proline-rich protein 2E [Source:MGI Symbol;Acc:MGI:1330346] MSYQQQQCKQPCQPPPVCPPKKCPEPCPHPQCPEPCPPPKCPEPCPEPCPPPSYQQKCPP VQPPPPCQQKCPPKSK >ENSMUSP00000027743.7 pep:known chromosome:GRCm38:1:155158715:155205659:1 gene:ENSMUSG00000026470.14 transcript:ENSMUST00000027743.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx6 description:syntaxin 6 [Source:MGI Symbol;Acc:MGI:1926235] MSMEDPFFVVKGEVQKAVNTAQGLFQRWTELLQGPSAATREEIDWTTNELRNNLRSIEWD LEDLDETISIVEANPRKFNLDATELSIRKAFITSTRQIVRDMKDQMSASSVQALAERKNR QALLGDSSSQSWNAGVADRYGRLDRELQLANSHFIEEQQAQQQLIVEQQDEQLELVSGSI GVLKNMSQRIGGELEEQAVMLDDFSHELESTQSRLDNVMKKLAKVSHMTSDRRQWCAIAI LFAVLVVVLILFLVL >ENSMUSP00000141976.1 pep:known chromosome:GRCm38:1:155158719:155201944:1 gene:ENSMUSG00000026470.14 transcript:ENSMUST00000193059.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stx6 description:syntaxin 6 [Source:MGI Symbol;Acc:MGI:1926235] MSMEDPFFVVKGEVQKAVNTAQGLFQRWTELLQGPSAATREEIDWTTNELRNNLRSIEWD LEDLDETISIVEANPRKFNLDATELSIRKAFITSTRQIVRDMKDQMSASSVQALAERKNR QALLGDSSSQSWNAGVADRYGRLDRELQLANSHFIEEQQAQQQLIVEQQDEQLELVSGSI GVLKNMSQRIGGELEEQAV >ENSMUSP00000142089.1 pep:known chromosome:GRCm38:1:155158748:155198255:1 gene:ENSMUSG00000026470.14 transcript:ENSMUST00000195302.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx6 description:syntaxin 6 [Source:MGI Symbol;Acc:MGI:1926235] MSMEDPFFVVKGEVQKAVNTAQGLFQRWTELLQGPSAATREEIDWTTNELRNNLRSIEWD LEDLDETISIVEANPRKFNLDATELSIRKAFITSTRQIVRDMKDQMSASSVQALAERKNR QALLGDSSSQSWNAGVADRYGRLDRELQLANSHFIEEQQAQQQLIVEQQDEQLELVSGSI GVLKNMSQRIGGELEEQAVMLDDFSHELESTQSRLDNVMKKLAKVSHMTSGNDVRQVKIQ YLLFIWRLLPGERKT >ENSMUSP00000141362.1 pep:known chromosome:GRCm38:1:155191503:155208256:1 gene:ENSMUSG00000026470.14 transcript:ENSMUST00000192690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx6 description:syntaxin 6 [Source:MGI Symbol;Acc:MGI:1926235] XLQLANSHFIEEQQAQQQLIVEQQDEQLELVSGSIGVLKNMSQRIGGELEEQAVMLDDFS HELESTQSRLDNVMKKLAKVSHMTSVVRRGG >ENSMUSP00000090895.3 pep:known chromosome:GRCm38:11:32296489:32297298:1 gene:ENSMUSG00000069917.7 transcript:ENSMUST00000093207.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hba-a2 description:hemoglobin alpha, adult chain 2 [Source:MGI Symbol;Acc:MGI:96016] MVLSGEDKSNIKAAWGKIGGHGAEYGAEALERMFASFPTTKTYFPHFDVSHGSAQVKGHG KKVADALANAAGHLDDLPGALSALSDLHAHKLRVDPVNFKLLSHCLLVTLASHHPADFTP AVHASLDKFLASVSTVLTSKYR >ENSMUSP00000079812.7 pep:known chromosome:GRCm38:7:6383295:6396915:1 gene:ENSMUSG00000062861.8 transcript:ENSMUST00000081022.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp28 description:zinc finger protein 28 [Source:MGI Symbol;Acc:MGI:99175] MQGMASVVSCEPWALLGRGALCTKARPGGGPAAGTVVAPGSPDRGRPRSRNSLASQDQQG AVTSGTAHKALFSRDTNFLQEINRKQEAAPTGTRHKAKSQGLVTFGDVAVVFSQEEWEWL NSEQRSLYWKVMLDNYRNLASLGLCASQPDMITSLEQGRDPWMMKRKMRKGQHLDLKAMQ ETKEFPPKDLSEETLFLAVLRKQLLPHRPKCSMVRAAWEGGAVFTTHRGLKTNSGLARDS PAQLVSAQRSFCKSVTWENCGDRGSVGQQSVQEAQDLLPRQDSHAERVTGRTWSTKLECS TFRDQDSECTFERNEQETVTPNRAFSEGRDGMCIESGRWFHLNSSDERSHNCDSGKSFSS NPVVVKETGICSGKKLFQCNECKKTFTQSSSLTVHQRIHTGEKPYKCNQCGKAFSDGSSF ARHQRCHTGKKPYECPECGKAFIQNTSLVRHWRYYHTGEKPFDCIDCGKAFSDHIGLNQH RRIHTGEKPYTCEVCHKSFRYGSSLTVHQRIHTGEKPYECEICRKAFSHHASLTQHQRVH SGEKPFKCKECGKAFRQNIHLASHWRIHTGEKPFECGECGKSFSISSQLATHQRIHTGEK PYECKVCRKAFTQKAHLAQHQKTHTGEKPYECKECGKAFSQTTHLIQHQRVHTGEKPYKC LECGKAFGDNSSCTQHRRLHTGQRPYECVECGKTFKTKSSLICHRRCHTGEKPYECSACG KAFSHRQSLSVHQRIHSGKKPYECKECRKTFIQIGHLNQHKRVHTGERTYNYKKGRRAFR QTAHFAHHQQIHSGKSPAHHSLPSTSNPVDLFSKFVWNPSSLPSS >ENSMUSP00000147010.1 pep:known chromosome:GRCm38:7:6383531:6390742:1 gene:ENSMUSG00000062861.8 transcript:ENSMUST00000207086.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp28 description:zinc finger protein 28 [Source:MGI Symbol;Acc:MGI:99175] XPRSRNSLASQDQQGAVTSGTAHKALFSRDTNFLQEINRKQEAAPTGTRHKAKSQFSV >ENSMUSP00000146936.1 pep:known chromosome:GRCm38:7:6383589:6394129:1 gene:ENSMUSG00000062861.8 transcript:ENSMUST00000208949.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp28 description:zinc finger protein 28 [Source:MGI Symbol;Acc:MGI:99175] XRAQRTPLCDPPHPHCHMHTSKIRPFTIYL >ENSMUSP00000146738.1 pep:known chromosome:GRCm38:7:6389536:6393773:1 gene:ENSMUSG00000062861.8 transcript:ENSMUST00000207465.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp28 description:zinc finger protein 28 [Source:MGI Symbol;Acc:MGI:99175] XYRNLASLGLCASQPDMITSLEQGRDPWMMKRKMRKGQHLDLKAMQETKEFPPKDLSEET LFLAVLRKQLLPHRPKCSMVRAAWEGGAVFTTHRGLKTNSGLARDSPAQLVSAQRSFCKS VTWENCGDRGSVEIRILSVRLKGMSKRQSLRTEPSQRGEMVCVSNLEDGFI >ENSMUSP00000147043.1 pep:known chromosome:GRCm38:7:6389754:6393589:1 gene:ENSMUSG00000062861.8 transcript:ENSMUST00000208338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp28 description:zinc finger protein 28 [Source:MGI Symbol;Acc:MGI:99175] XLCASQPDMITSLEQGRDPWMMKRKMRKGQHLDLKAMQETKEFPPKDLSEETLFLAVLRK QLLPHRPKCSMVRAAWEGGAVFTTHRGLKTNSGLARDSPAQLVSAQRSFCKSVTWENCGD RGSVVTVVFKVRKLRTQFHSDQSVSKHCRFQRQEAAYSVSQ >ENSMUSP00000147150.1 pep:known chromosome:GRCm38:7:6390457:6393781:1 gene:ENSMUSG00000062861.8 transcript:ENSMUST00000207809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp28 description:zinc finger protein 28 [Source:MGI Symbol;Acc:MGI:99175] XLFLAVLRKQLLPHRPKCSMVRAAWEGGAVFTTHRASSLYRKPRICFQGRTHMLNG >ENSMUSP00000112778.1 pep:known chromosome:GRCm38:17:26973167:26976205:1 gene:ENSMUSG00000079605.4 transcript:ENSMUST00000120016.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb9 description:zinc finger and BTB domain containing 9 [Source:MGI Symbol;Acc:MGI:1918022] MDASTPLPPASSSPRCNPAPQTIHIEFPHHSSSLLESLNRHRLEGKFCDVSLLVQGRELR AHKAVLAAASPYFHDKLLLGDAPRLTLPNVIEADAFEGLLQLIYSGSLHLPLDALPAHLL VASGLQMWQVVDRCSEILRELETSGGISAGGRASSLTLISTTSSGGWCIRSSPFQNPVRS SASTENSVLPESPAGGEGSELEGMLQIQVKVEEEEEQGSAAPLFQTPQPERVSGGVSQAC GSHPLPTPALPSKPSEDESSTVDPPAPPVQASQILYVNQENVECKEEIARGTKEKTKVLS GEDSEEKEELRYLLSSGGGESSGAGDPSWKPVDLHGNEILSGDGGPGGTGQAMHGPVKLG GTPPADGKCFACLCGKRFAVKPKRDRHIMLTFSLRPFGCGICNKRFKLKHHLTEHMKTHA RALHACPHCGRRFRVQAFFLRHRDLCKGQGWPTYHWTYK >ENSMUSP00000050902.1 pep:known chromosome:GRCm38:3:92365187:92366442:1 gene:ENSMUSG00000050635.1 transcript:ENSMUST00000050397.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2f description:small proline-rich protein 2F [Source:MGI Symbol;Acc:MGI:1330349] MSYQEQQCKQPCQPPPVCPPPKCPEPCSPSVCPEPCPPPKCPEPCPEPCPPPSFQQKCPP VQPPPPCQQKCPPKSK >ENSMUSP00000077314.4 pep:known chromosome:GRCm38:7:16891786:16895425:1 gene:ENSMUSG00000063594.5 transcript:ENSMUST00000078182.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng8 description:guanine nucleotide binding protein (G protein), gamma 8 [Source:MGI Symbol;Acc:MGI:109163] MSNNMAKIAEARKTVEQLKLEVNIDRMKVSQAAAELLAFCETHAKDDPLVTPVPAAENPF RDKRLFCTLL >ENSMUSP00000145567.1 pep:known chromosome:GRCm38:7:16892212:16895435:1 gene:ENSMUSG00000063594.5 transcript:ENSMUST00000205716.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng8 description:guanine nucleotide binding protein (G protein), gamma 8 [Source:MGI Symbol;Acc:MGI:109163] MSNNMAKIAEARKTVEQLKLEVNIDRMKVSQAAAELLAFCETHAKDDPLVTPVPAAENPF RDKRLFCTLL >ENSMUSP00000145533.1 pep:known chromosome:GRCm38:7:16892271:16895423:1 gene:ENSMUSG00000063594.5 transcript:ENSMUST00000205459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng8 description:guanine nucleotide binding protein (G protein), gamma 8 [Source:MGI Symbol;Acc:MGI:109163] MSNNMAKIAEARKTVEQLKLEVNIDRMKVSQAAAELLAFCETHAKDDPLVTPVPAAENPF RDKRLFCTLL >ENSMUSP00000122943.1 pep:known chromosome:GRCm38:1:175620381:175659962:1 gene:ENSMUSG00000039783.15 transcript:ENSMUST00000140474.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kmo description:kynurenine 3-monooxygenase (kynurenine 3-hydroxylase) [Source:MGI Symbol;Acc:MGI:2138151] MKVTVAHLSLCLNSTNMDGAGWRQTTTSYQTLERLQVSLSPLPTKVGALNACFLAKRNFQ VDVYEAREDIRVAKSARGRSINLALSYRGRQALKAIGLEDQIVSKGVPMKARMIHSLSGK KSAIPYGNKSQYILSISRENLNKDLLTAVESYANAKVHFGHKLSKCIPEEGVLTVLGPDK VPRDVTCDLVVGCDGAYSTVRAHLMKKPRFDYTQQYIPHGYMELTIPPKNGEKCLYDDRP SKHGQIFHMHLVHAL >ENSMUSP00000038914.8 pep:known chromosome:GRCm38:1:175631996:175660853:1 gene:ENSMUSG00000039783.15 transcript:ENSMUST00000040250.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmo description:kynurenine 3-monooxygenase (kynurenine 3-hydroxylase) [Source:MGI Symbol;Acc:MGI:2138151] MASSDTQGKRVAVIGGGLVGALNACFLAKRNFQVDVYEAREDIRVAKSARGRSINLALSY RGRQALKAIGLEDQIVSKGVPMKARMIHSLSGKKSAIPYGNKSQYILSISRENLNKDLLT AVESYANAKVHFGHKLSKCIPEEGVLTVLGPDKVPRDVTCDLVVGCDGAYSTVRAHLMKK PRFDYTQQYIPHGYMELTIPPKNGEYAMEPNCLHIWPRNAYMMIALPNMDKSFTCTLFMP FEEFERLPTRSDVLDFFQKNFPDAIPLMGEQALMRDFFLLPAQPMISVKCSPFHLKSHCV LMGDAAHAIVPFFGQGMNAGFEDCLVFDELMDKFNNNLSMCLPEFSRFRIPDDHAISDLS MYNYIEMRAHVNSRWFLFQKLLDKFLHAIMPSTFIPLYTMVAFTRIRYHEAVLRWHWQKK VINRGLFVLGSLIAIGGTYLLVHHLSLRPLEFLRRPAWMGTTGYWTRSTDISLQVPWSY >ENSMUSP00000095067.3 pep:known chromosome:GRCm38:1:175632180:175662116:1 gene:ENSMUSG00000039783.15 transcript:ENSMUST00000097458.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmo description:kynurenine 3-monooxygenase (kynurenine 3-hydroxylase) [Source:MGI Symbol;Acc:MGI:2138151] MASSDTQGKRVAVIGGGLVGALNACFLAKRNFQVDVYEAREDIRVAKSARGRSINLALSY RGRQALKAIGLEDQIVSKGVPMKARMIHSLSGKKSAIPYGNKSQYILSISRENLNKDLLT AVESYANAKVHFGHKLSKCIPEEGVLTVLGPDKVPRDVTCDLVVGCDGAYSTVRAHLMKK PRFDYTQQYIPHGYMELTIPPKNGEYAMEPNCLHIWPRNAYMMIALPNMDKSFTCTLFMP FEEFERLPTRSDVLDFFQKNFPDAIPLMGEQALMRDFFLLPAQPMISVKCSPFHLKSHCV LMGDAAHAIVPFFGQGMNAGFEDCLVFDELMDKFNNNLSMCLPEFSRFRIPDDHAISDLS MYNYIEVAFTRIRYHEAVLRWHWQKKVINRGLFVLGSLIAIGGTYLLVHHLSLRPLEFLR RPAWMGTTGYWTRSTDISLQVPWSY >ENSMUSP00000129283.1 pep:known chromosome:GRCm38:7:20101158:20102081:1 gene:ENSMUSG00000095201.1 transcript:ENSMUST00000165330.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r91 description:vomeronasal 1 receptor 91 [Source:MGI Symbol;Acc:MGI:3649070] MSVHGKSVKTTEEVALQILLLCQFVVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFGPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLILRVSVPNFANYSCYSCWFFSVLSNIHIPIKVTGPQIIDNNTDSKSNLFCST SGFIVGIVFLQFSHDATFMSIMVWTSVSMVLLLHRHHQRMQHILTPNQDPRGQAGSRATH TILMLVITFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSVCPLVLIFRDPKDP CSVLFKC >ENSMUSP00000032270.6 pep:known chromosome:GRCm38:6:129666015:129678973:-1 gene:ENSMUSG00000030167.15 transcript:ENSMUST00000032270.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc1 description:killer cell lectin-like receptor subfamily C, member 1 [Source:MGI Symbol;Acc:MGI:1336161] MSNERVTYAELKVAKNSRNQHRKPRGPRSSISVIEQEIIYSDFSFQNPSQEHPWICRNCP CKGFPSPPEKLIAGTLGLICFVLIVAVVVITTVATPYTEAKAQINSSMTRTHRDINYTLS SAQPCPHCPKEWISYSHNCYFIGMERKSWNDSLVSCISKNCSLLYIDSEEEQDFLQSLSL ISWTGILRKGRGQPWVWKEDSIFKPKIAEILHDECNCAMMSASGLTADNCTTLHPYLCKC KFPI >ENSMUSP00000130762.1 pep:known chromosome:GRCm38:6:129674834:129678928:-1 gene:ENSMUSG00000030167.15 transcript:ENSMUST00000169545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc1 description:killer cell lectin-like receptor subfamily C, member 1 [Source:MGI Symbol;Acc:MGI:1336161] MSNERVTYAELKVAKNSRNQHRKPRGPRSSISVIEQEIIYSDFSFQNPSQEHPWICRNCP CKDTEAKAQINSSMTRTHRDINYTLSSAQPCPHCPKEWISYSHNCYFIGMERKSWNDSLV SCISKNCSLLYIDSEEEQDFLQSLSLISWTGILRKGRGQPWVWKEDSIFKPKIAEILHDE CNCAMMSASGLTADNCTTLHPYLCKCKFPI >ENSMUSP00000114017.1 pep:known chromosome:GRCm38:6:129674859:129678910:-1 gene:ENSMUSG00000030167.15 transcript:ENSMUST00000118447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc1 description:killer cell lectin-like receptor subfamily C, member 1 [Source:MGI Symbol;Acc:MGI:1336161] MSNERVTYAELKVAKNSRNQHRKPRGPRSSISVIEQEIIYSDFSFQNPSQEHPWICRNCP CKGFPSPPEKLIAGTLGLICFVLIVAVVVITTVATPYINYTLSSAQPCPHCPKEWISYSH NCYFIGMERKSWNDSLVSCISKNCSLLYIDSEEEQDFLQSLSLISWTGILRKGRGQPWVW KEDSIFKPKIAEILHDECNCAMMSASGLTADNCTTLHPYLCKCKFPI >ENSMUSP00000032271.6 pep:known chromosome:GRCm38:6:129674835:129678973:-1 gene:ENSMUSG00000030167.15 transcript:ENSMUST00000032271.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrc1 description:killer cell lectin-like receptor subfamily C, member 1 [Source:MGI Symbol;Acc:MGI:1336161] MSNERVTYAELKVAKNSRNQHRKPRGPRSSISVIEQEIIYSDFSFQNPSQEHPWICRNCP CKGFPSPPEKLIAGTLGLICFVLIVAVVVITTVATPYTEAKAQINSSMTRTHRDINYTLS SAQPCPHCPKEWISYSHNCYFIGMERKSWNDSLVSCISKNCSLLYIDSEEEQDFLQSLSL ISWTGILRKGRGQPWVWKEDSIFKPKIAEILHDECNCAMMSASGLTADNCTTLHPYLCKC KFPI >ENSMUSP00000020535.1 pep:known chromosome:GRCm38:11:32300069:32300873:1 gene:ENSMUSG00000020295.1 transcript:ENSMUST00000020535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbq1a description:hemoglobin, theta 1A [Source:MGI Symbol;Acc:MGI:2685722] MARSQDDQWLVLALWKKMGSNVGIYTTEALERTFVAFPSTKTYFPHLDLRPGSSQVKAHA QKVADALTLATQHLDDLPASLSALSDLHAHKLCVDPANFQFFSCCLLVTLARHYPGDFSG EKDACLLGHVSGSCDFCTGLQVMLN >ENSMUSP00000105667.2 pep:known chromosome:GRCm38:13:51701246:51793673:-1 gene:ENSMUSG00000021451.15 transcript:ENSMUST00000110040.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4d description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4D [Source:MGI Symbol;Acc:MGI:109244] MRMCAPVRGLFLALVVVLRTAVAFAPVPRLTWEHGEVGLVQFHKPGIFNYSALLMSEDKD TLYVGAREAVFAVNALNISEKQHEVYWKVSEDKKSKCAEKGKSKQTECLNYIRVLQPLSS TSLYVCGTNAFQPTCDHLNLTSFKFLGKSEDGKGRCPFDPAHSYTSVMVGGELYSGTSYN FLGSEPIISRNSSHSPLRTEYAIPWLNEPSFVFADVIQKSPDGPEGEDDKVYFFFTEVSV EYEFVFKLMIPRVARVCKGDQGGLRTLQKKWTSFLKARLICSKPDSGLVFNILQDVFVLR APGLKEPVFYAVFTPQLNNVGLSAVCAYTLATVEAVFSRGKYMQSATVEQSHTKWVRYNG PVPTPRPGACIDSEARAANYTSSLNLPDKTLQFVKDHPLMDDSVTPIDNRPKLIKKDVNY TQIVVDRTQALDGTFYDVMFISTDRGALHKAVILTKEVHVIEETQLFRDSEPVLTLLLSS KKGRKFVYAGSNSGVVQAPLAFCEKHGSCEDCVLARDPYCAWSPAIKACVTLHQEEASSR GWIQDMSGDTSSCLDKSKESFNQHFFKHGGTAELKCFQKSNLARVVWKFQNGELKAASPK YGFVGRKHLLIFNLSDGDSGVYQCLSEERVRNKTVSQLLAKHVLEVKMVPRTPPSPTSED AQTEGSKITSKMPVASTQGSSPPTPALWATSPRAATLPPKSSSGTSCEPKMVINTVPQLH SEKTVYLKSSDNRLLMSLLLFIFVLFLCLFSYNCYKGYLPGQCLKFRSALLLGKKTPKSD FSDLEQSVKETLVEPGSFSQQNGDHPKPALDTGYETEQDTITSKVPTDREDSQRIDELSA RDKPFDVKCELKFADSDADGD >ENSMUSP00000021900.7 pep:known chromosome:GRCm38:13:51701246:51793747:-1 gene:ENSMUSG00000021451.15 transcript:ENSMUST00000021900.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4d description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4D [Source:MGI Symbol;Acc:MGI:109244] MRMCAPVRGLFLALVVVLRTAVAFAPVPRLTWEHGEVGLVQFHKPGIFNYSALLMSEDKD TLYVGAREAVFAVNALNISEKQHEVYWKVSEDKKSKCAEKGKSKQTECLNYIRVLQPLSS TSLYVCGTNAFQPTCDHLNLTSFKFLGKSEDGKGRCPFDPAHSYTSVMVGGELYSGTSYN FLGSEPIISRNSSHSPLRTEYAIPWLNEPSFVFADVIQKSPDGPEGEDDKVYFFFTEVSV EYEFVFKLMIPRVARVCKGDQGGLRTLQKKWTSFLKARLICSKPDSGLVFNILQDVFVLR APGLKEPVFYAVFTPQLNNVGLSAVCAYTLATVEAVFSRGKYMQSATVEQSHTKWVRYNG PVPTPRPGACIDSEARAANYTSSLNLPDKTLQFVKDHPLMDDSVTPIDNRPKLIKKDVNY TQIVVDRTQALDGTFYDVMFISTDRGALHKAVILTKEVHVIEETQLFRDSEPVLTLLLSS KKGRKFVYAGSNSGVVQAPLAFCEKHGSCEDCVLARDPYCAWSPAIKACVTLHQEEASSR GWIQDMSGDTSSCLDKSKESFNQHFFKHGGTAELKCFQKSNLARVVWKFQNGELKAASPK YGFVGRKHLLIFNLSDGDSGVYQCLSEERVRNKTVSQLLAKHVLEVKMVPRTPPSPTSED AQTEGSKITSKMPVASTQGSSPPTPALWATSPRAATLPPKSSSGTSCEPKMVINTVPQLH SEKTVYLKSSDNRLLMSLLLFIFVLFLCLFSYNCYKGYLPGQCLKFRSALLLGKKTPKSD FSDLEQSVKETLVEPGSFSQQNGDHPKPALDTGYETEQDTITSKVPTDREDSQRIDELSA RDKPFDVKCELKFADSDADGD >ENSMUSP00000105666.1 pep:known chromosome:GRCm38:13:51701250:51734763:-1 gene:ENSMUSG00000021451.15 transcript:ENSMUST00000110039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4d description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4D [Source:MGI Symbol;Acc:MGI:109244] MRMCAPVRGLFLALVVVLRTAVAFAPVPRLTWEHGEVGLVQFHKPGIFNYSALLMSEDKD TLYVGAREAVFAVNALNISEKQHEVYWKVSEDKKSKCAEKGKSKQTECLNYIRVLQPLSS TSLYVCGTNAFQPTCDHLNLTSFKFLGKSEDGKGRCPFDPAHSYTSVMVGGELYSGTSYN FLGSEPIISRNSSHSPLRTEYAIPWLNEPSFVFADVIQKSPDGPEGEDDKVYFFFTEVSV EYEFVFKLMIPRVARVCKGDQGGLRTLQKKWTSFLKARLICSKPDSGLVFNILQDVFVLR APGLKEPVFYAVFTPQLNNVGLSAVCAYTLATVEAVFSRGKYMQSATVEQSHTKWVRYNG PVPTPRPGACIDSEARAANYTSSLNLPDKTLQFVKDHPLMDDSVTPIDNRPKLIKKDVNY TQIVVDRTQALDGTFYDVMFISTDRGALHKAVILTKEVHVIEETQLFRDSEPVLTLLLSS KKGRKFVYAGSNSGVVQAPLAFCEKHGSCEDCVLARDPYCAWSPAIKACVTLHQEEASSR GWIQDMSGDTSSCLDKSKESFNQHFFKHGGTAELKCFQKSNLARVVWKFQNGELKAASPK YGFVGRKHLLIFNLSDGDSGVYQCLSEERVRNKTVSQLLAKHVLEVKMVPRTPPSPTSED AQTEGSKITSKMPVASTQGSSPPTPALWATSPRAATLPPKSSSGTSCEPKMVINTVPQLH SEKTVYLKSSDNRLLMSLLLFIFVLFLCLFSYNCYKGYLPGQCLKFRSALLLGKKTPKSD FSDLEQSVKETLVEPGSFSQQNGDHPKPALDTGYETEQDTITSKVPTDREDSQRIDELSA RDKPFDVKCELKFADSDADGD >ENSMUSP00000079735.4 pep:known chromosome:GRCm38:4:28813131:28947047:1 gene:ENSMUSG00000028289.12 transcript:ENSMUST00000080934.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha7 description:Eph receptor A7 [Source:MGI Symbol;Acc:MGI:95276] MVVQTRFPSWIILCYIWLLGFAHTGEAQAAKEVLLLDSKAQQTELEWISSPPSGWEEISG LDENYTPIRTYQVCQVMEPNQNNWLRTNWISKGNAQRIFVELKFTLRDCNSLPGVLGTCK ETFNLYYYETDYDTGRNIRENLYVKIDTIAADESFTQGDLGERKMKLNTEVREIGPLSKK GFYLAFQDVGACIALVSVKVYYKKCWSIVENLAVFPDTVTGSEFSSLVEVRGTCVSSAEE EAENSPRMHCSAEGEWLVPIGKCICKAGYQQKGDTCEPCGRRFYKSSSQDLQCSRCPTHS FSDREGSSRCECEDGYYRAPSDPPYVACTRPPSAPQNLIFNINQTTVSLEWSPPADNGGR NDVTYRILCKRCSWEQGECVPCGSNIGYMPQQTGLEDNYVTVMDLLAHANYTFEVEAVNG VSDLSRSQRLFAAVSITTGQAAPSQVSGVMKERVLQRSVQLSWQEPEHPNGVITEYEIKY YEKDQRERTYSTLKTKSTSASINNLKPGTVYVFQIRAVTAAGYGNYSPRLDVATLEEASG KMFEATAVSSEQNPVIIIAVVAVAGTIILVFMVFGFIIGRRHCGYSKADQEGDEELYFHS LVTNEHLSVL >ENSMUSP00000029964.5 pep:known chromosome:GRCm38:4:28813131:28967499:1 gene:ENSMUSG00000028289.12 transcript:ENSMUST00000029964.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha7 description:Eph receptor A7 [Source:MGI Symbol;Acc:MGI:95276] MVVQTRFPSWIILCYIWLLGFAHTGEAQAAKEVLLLDSKAQQTELEWISSPPSGWEEISG LDENYTPIRTYQVCQVMEPNQNNWLRTNWISKGNAQRIFVELKFTLRDCNSLPGVLGTCK ETFNLYYYETDYDTGRNIRENLYVKIDTIAADESFTQGDLGERKMKLNTEVREIGPLSKK GFYLAFQDVGACIALVSVKVYYKKCWSIVENLAVFPDTVTGSEFSSLVEVRGTCVSSAEE EAENSPRMHCSAEGEWLVPIGKCICKAGYQQKGDTCEPCGRRFYKSSSQDLQCSRCPTHS FSDREGSSRCECEDGYYRAPSDPPYVACTRPPSAPQNLIFNINQTTVSLEWSPPADNGGR NDVTYRILCKRCSWEQGECVPCGSNIGYMPQQTGLEDNYVTVMDLLAHANYTFEVEAVNG VSDLSRSQRLFAAVSITTGQAAPSQVSGVMKERVLQRSVQLSWQEPEHPNGVITEYEIKY YEKDQRERTYSTLKTKSTSASINNLKPGTVYVFQIRAVTAAGYGNYSPRLDVATLEEASG KMFEATAVSSEQNPVIIIAVVAVAGTIILVFMVFGFIIGRRHCGYSKADQEGDEELYFHF KFPGTKTYIDPETYEDPNRAVHQFAKELDASCIKIERVIGAGEFGEVCSGRLKLPGKRDV AVAIKTLKVGYTEKQRRDFLCEASIMGQFDHPNVVHLEGVVTRGKPVMIVIEFMENGALD AFLRKHDGQFTVIQLVGMLRGIAAGMRYLADMGYVHRDLAARNILVNSNLVCKVSDFGLS RVIEDDPEAVYTTTGGKIPVRWTAPEAIQYRKFTSASDVWSYGIVMWEVMSYGERPYWDM SNQDVIKAIEEGYRLPAPMDCPAGLHQLMLDCWQKDRAERPKFEQIVGILDKMIRNPSSL KTPLGTCSRPLSPLLDQSTPDFTAFCSVGEWLQAIKMERYKDNFTAAGYNSLESVARMTI DDVMSLGITLVGHQKKIMSSIQTMRAQMLHLHGTGIQV >ENSMUSP00000103829.2 pep:known chromosome:GRCm38:4:28813152:28966815:1 gene:ENSMUSG00000028289.12 transcript:ENSMUST00000108194.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha7 description:Eph receptor A7 [Source:MGI Symbol;Acc:MGI:95276] MVVQTRFPSWIILCYIWLLGFAHTGEAQAAKEVLLLDSKAQQTELEWISSPPSGWEEISG LDENYTPIRTYQVCQVMEPNQNNWLRTNWISKGNAQRIFVELKFTLRDCNSLPGVLGTCK ETFNLYYYETDYDTGRNIRENLYVKIDTIAADESFTQGDLGERKMKLNTEVREIGPLSKK GFYLAFQDVGACIALVSVKVYYKKCWSIVENLAVFPDTVTGSEFSSLVEVRGTCVSSAEE EAENSPRMHCSAEGEWLVPIGKCICKAGYQQKGDTCEPCGRRFYKSSSQDLQCSRCPTHS FSDREGSSRCECEDGYYRAPSDPPYVACTRPPSAPQNLIFNINQTTVSLEWSPPADNGGR NDVTYRILCKRCSWEQGECVPCGSNIGYMPQQTGLEDNYVTVMDLLAHANYTFEVEAVNG VSDLSRSQRLFAAVSITTGQAAPSQVSGVMKERVLQRSVQLSWQEPEHPNGVITEYEIKY YEKDQRERTYSTLKTKSTSASINNLKPGTVYVFQIRAVTAAGYGNYSPRLDVATLEEASG KMFEATAVSSEQNPVIIIAVVAVAGTIILVFMVFGFIIGRRHCGYSKADQEGDEELYFHS LYRERGDGMEKTQHNKKWMIASCSRL >ENSMUSP00000103826.1 pep:known chromosome:GRCm38:4:28813250:28967499:1 gene:ENSMUSG00000028289.12 transcript:ENSMUST00000108191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha7 description:Eph receptor A7 [Source:MGI Symbol;Acc:MGI:95276] MVVQTRFPSWIILCYIWLLGFAHTGEAQAAKEVLLLDSKAQQTELEWISSPPSGWEEISG LDENYTPIRTYQVCQVMEPNQNNWLRTNWISKGNAQRIFVELKFTLRDCNSLPGVLGTCK ETFNLYYYETDYDTGRNIRENLYVKIDTIAADESFTQGDLGERKMKLNTEVREIGPLSKK GFYLAFQDVGACIALVSVKVYYKKCWSIVENLAVFPDTVTGSEFSSLVEVRGTCVSSAEE EAENSPRMHCSAEGEWLVPIGKCICKAGYQQKGDTCEPCGRRFYKSSSQDLQCSRCPTHS FSDREGSSRCECEDGYYRAPSDPPYVACTRPPSAPQNLIFNINQTTVSLEWSPPADNGGR NDVTYRILCKRCSWEQGECVPCGSNIGYMPQQTGLEDNYVTVMDLLAHANYTFEVEAVNG VSDLSRSQRLFAAVSITTGQAAPSQVSGVMKERVLQRSVQLSWQEPEHPNGVITEYEIKY YEKDQRERTYSTLKTKSTSASINNLKPGTVYVFQIRAVTAAGYGNYSPRLDVATLEEASG KMFEATAVSSEQNPVIIIAVVAVAGTIILVFMVFGFIIGRRHCGYSKADQEGDEELYFHC TKTYIDPETYEDPNRAVHQFAKELDASCIKIERVIGAGEFGEVCSGRLKLPGKRDVAVAI KTLKVGYTEKQRRDFLCEASIMGQFDHPNVVHLEGVVTRGKPVMIVIEFMENGALDAFLR KHDGQFTVIQLVGMLRGIAAGMRYLADMGYVHRDLAARNILVNSNLVCKVSDFGLSRVIE DDPEAVYTTTGGKIPVRWTAPEAIQYRKFTSASDVWSYGIVMWEVMSYGERPYWDMSNQD VIKAIEEGYRLPAPMDCPAGLHQLMLDCWQKDRAERPKFEQIVGILDKMIRNPSSLKTPL GTCSRPLSPLLDQSTPDFTAFCSVGEWLQAIKMERYKDNFTAAGYNSLESVARMTIDDVM SLGITLVGHQKKIMSSIQTMRAQMLHLHGTGIQV >ENSMUSP00000137230.1 pep:known chromosome:GRCm38:14:3332627:3354799:1 gene:ENSMUSG00000096574.7 transcript:ENSMUST00000177786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2956 description:predicted gene 2956 [Source:MGI Symbol;Acc:MGI:3781134] MLNSSKLGVSGMFSWLLRLFQKENGDEGESRPTEKEEGILPHEKGRRKWLWRRHRSARNT STQNSKMTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRL LVEQAGHKCPVGKKRGSLRRPARTSVSQVPRNTSVI >ENSMUSP00000137105.1 pep:known chromosome:GRCm38:14:3348089:3350429:1 gene:ENSMUSG00000096574.7 transcript:ENSMUST00000178722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2956 description:predicted gene 2956 [Source:MGI Symbol;Acc:MGI:3781134] MTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNTRVL >ENSMUSP00000124473.1 pep:known chromosome:GRCm38:13:95954594:96132577:-1 gene:ENSMUSG00000051111.15 transcript:ENSMUST00000161263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sv2c description:synaptic vesicle glycoprotein 2c [Source:MGI Symbol;Acc:MGI:1922459] MEDSYKDRTSLMKGAKDIAKEVKKQTVKKVNQAVDRAQDEYTQRSYSRFQDEEDDDDYYP PGETYSGEVNDDEGSSEATEGHDEEDEIYEGEYQGIPSTNQGKDSIVSVGQPKGDEYKDR RELESERRADEEELAQQYELIIQECGHGRFQWALFFVLGMALMADGVEVFVVGFVLPSAE TDLCIPNSGSGWLGSIVYLGMMVGAFFWGGLADKVGRKQSLLICMSVNGFFAFLSSFVQG YGFFLVCRLLSGFGIGGAIPTVFSYFAEVLAREKRGEHLSWLCMFWMIGGIYASAMAWAI IPHYGWSFSMGSAYQFHSWRVFVIVCALPCVSSVVALTFMPESPRFLLEVGKHDEAWMIL KLIHDTNMRARGQPEKVFTVNKIKTPKQIDELIEIESDTGTWYRRCFVRIRTELYGIWLT FMRCFNYPVRENTIKLTIVWFTLSFGYYGLSVWFPDVIKHLQSDEYALLTRNVQKDKYAN FSINFTMENQIHTGMEYENGRFLGVKFKSVTFKDSVFKSCTFDDVTSVNTYFKNCTFIDT LFDNTDFEPYKFIDSEFQNCSFLHNKTGCQITFDDDYSAYWIYFVNFLGTLAVLPGNIVS ALLMDRIGRLTMLGGSMVLSGISCFFLWFGTSESMMIGMLCLYNGLTISAWNSLDVVTVE LYPTDRRATGFGFLNALCKAAAVLGNLIFGSLVSITKAIPILLASTVLVCGGLVGLRLPD TRTQVLM >ENSMUSP00000138317.1 pep:known chromosome:GRCm38:13:95975858:96088866:-1 gene:ENSMUSG00000051111.15 transcript:ENSMUST00000182289.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sv2c description:synaptic vesicle glycoprotein 2c [Source:MGI Symbol;Acc:MGI:1922459] MEDSYKDRTSLMKGAKDIAKEVKKQTVKKVNQAVDRAQDEYTQRSYSRFQDEEDDDDYYP PGETYSGEVNDDEGSSEATEGHDEEDEIYEGEYQGIPSTNQGKDSIVSVGQPKGDEYKDR RELESERRADEEELAQQYELIIQECGHGRFQWALFFVLGMALMADGVEVFVVGFVLPSAE TDLCIPNSGSGWLGSIVYLGMMVGAFFWGGLADKVGRKQSLLICMSVNGFFAFLSSFVQG YGFFLVCRLLSGFGIGGAIPTVFSYFAEVLAREKRGEHLSWLCMFWMIGGIYASAMAWAI IPHYGWSFSMGSAYQFHSWRVFVIVCALPCVSSVVALTFMPESPRFLLEVGKHDEAWMIL KLIHDTNMRARGQPEKVFTVNKIKTPKQIDELIEIESDTGTWYRRCFVRIRTELYGIWLT FMRCFNYPVRENTIKLTIVWFTLSFGYYGLSVWFPDVIKHLQSDEYALLTRNVQKDKYAN FSINFTMENQIHTGMEYENGRFLGVKFKSVTFKDSVFKSCTFDDVTSVNTYFKNCTFIDT LFDNTDFEPYKFIDSEFQNCSFLHNKTGCQITFDDDYSAYWIYFVNFLGTLAVLPGNIVS ALLMDRIGRLTMLGGSMVLSGISCFFLWFGTSESMMIGMLCLYNGLTISAWNSLDVVTVE LYPTDRR >ENSMUSP00000132244.2 pep:known chromosome:GRCm38:6:118011438:118091546:1 gene:ENSMUSG00000030134.11 transcript:ENSMUST00000164960.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgef1a description:RasGEF domain family, member 1A [Source:MGI Symbol;Acc:MGI:1917977] MPQTSVVFSSILGPNCNRQVQPGMGERGGGASSGSKDLIFQDGRLTSGSLEALMEHLVPT VDYYPDRTYIFTFLLSSRVFMPPHDLLARVGQICLEQRQQLEAGPEKAKLKSFSTKIVQL LKEWTEAFPYDFQDEKVMAELKAIAHRVTQCDEENGTVKKAIAQMIQSLLLSLAARSQLQ ELREKLRSPVVDKGPVLKAKPPAAQKDILGVCSDPLVLAQQLTHIELERVNSIRPEDLMQ IISHMDSLDNHRCRGDMTKTFSLEAYDNWFNCLSMLVATEVCRVVKKKHRTRMLEFFIDV ARECFNIGNFNSMMAIISGMNLSPVARLKKTWSKVKTAKFDVLEHHMDPSSNFCNYRTAL QGATQRSQTANSSREKIVIPVFNLFVKDIYFLHKIHTNHLPNGHINFKKFWEISRQIHEF MTWTQVECPFEKDKKIQSYVLTAPIYSEEALFIASFESEGPENHMEKDSWKALRTTLLNR A >ENSMUSP00000145214.1 pep:known chromosome:GRCm38:6:118066419:118090500:1 gene:ENSMUSG00000030134.11 transcript:ENSMUST00000203804.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgef1a description:RasGEF domain family, member 1A [Source:MGI Symbol;Acc:MGI:1917977] MFLEPQEAMPQTSVVFSSILGPNCNRQVQPGMGERGGGASSGSKDLIFQDGRLTSGSLEA LMEHLVPTVDYYPDRTYIFTFLLSSRVFMPPHDLLARVGQICLEQRQQLEAGPEKAKLKS FSTKIVQLLKEWTEAFPYDFQDEKVMAELKAIAHRVTQCDEYLMQPRPSQENGTVKKAIA QMIQSLLLSLAARSQLQELREKLRSPVVDKGPVLKAKPPAAQKDILGVCSDPLVLAQQLT HIELERVNSIRPEDLMQIISHMDSLDNHRCRGDMTKTFSLEAYDNWFNCLSMLVATEVCR VVKKKHRTRMLEFFIDVARECFNIGNFNSMMAIISGMNLSPVARLKKTWSKVKTAKFDVL EHHMDPSSNFCNYRTALQGATQRSQTANSSREKIVIPVFNLFVKDIYFLHKIHTNHLPNG HINFKKFWEISRQIHEFMTWTQVECPFEKDKKIQSYVLTAPIYSEEALFIASFESEGPEN HMEKDSWKALRTTLLNRA >ENSMUSP00000145077.1 pep:known chromosome:GRCm38:6:118066419:118090571:1 gene:ENSMUSG00000030134.11 transcript:ENSMUST00000203482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgef1a description:RasGEF domain family, member 1A [Source:MGI Symbol;Acc:MGI:1917977] MFLEPQEAMPQTSVVFSSILGPNCNRQVQPGMGERGGGASSGSKDLIFQDGRLTSGSLEA LMEHLVPTVDYYPDRTYIFTFLLSSRVFMPPHDLLARVGQICLEQRQQLEAGPEKAKLKS FSTKIVQLLKEWTEAFPYDFQDEKVMAELKAIAHRVTQCDEENGTVKKAIAQMIQSLLLS LAARSQLQELREKLRSPVVDKGPVLKAKPPAAQKDILGVCSDPLVLAQQLTHIELERVNS IRPEDLMQIISHMDSLDNHRCRGDMTKTFSLEAYDNWFNCLSMLVATEVCRVVKKKHRTR MLEFFIDVARECFNIGNFNSMMAIISGMNLSPVARLKKTWSKVKTAKFDVLEHHMDPSSN FCNYRTALQGATQRSQTANSSREKIVIPVFNLFVKDIYFLHKIHTNHLPNGHINFKKFWE ISRQIHEFMTWTQVECPFEKDKKIQSYVLTAPIYSEEALFIASFESEGPENHMEKDSWKA LRTTLLNRA >ENSMUSP00000060784.1 pep:known chromosome:GRCm38:4:43770050:43771009:-1 gene:ENSMUSG00000044801.1 transcript:ENSMUST00000053931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr159 description:olfactory receptor 159 [Source:MGI Symbol;Acc:MGI:1352686] MERSNKTTPVSSFILLGLSAHPKLEKTFFVLILLMYLVILLGNVVLILVSILDSHLHTPM YFFLGNLSFLDICYTTSSVPLILDSFLTPRKTISFSGCAVQMFLSFAMGATECVLLGMMA FDRYVAICNPLRYPVVMSKAAYVPMAAGSWVSGSITATVQISLAMTLPFCGDNVINHFTC EILAVLKLACADISINVISMAVANAMFLGVPVLFIFVSYIFILSTILRIPSAEGRKKAFS TCSAHLTVVLVFYGTILFMYGKPKSKDPLGADKQDLADKLISLFYGVVTPMLNPIIYSLR NKDVKAAVTNLVGQKHFKW >ENSMUSP00000043302.6 pep:known chromosome:GRCm38:3:157566892:157645888:1 gene:ENSMUSG00000040016.16 transcript:ENSMUST00000041175.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptger3 description:prostaglandin E receptor 3 (subtype EP3) [Source:MGI Symbol;Acc:MGI:97795] MASMWAPEHSAEAHSNLSSTTDDCGSVSVAFPITMMVTGFVGNALAMLLVSRSYRRRESK RKKSFLLCIGWLALTDLVGQLLTSPVVILVYLSQRRWEQLDPSGRLCTFFGLTMTVFGLS SLLVASAMAVERALAIRAPHWYASHMKTRATRAVLLGVWLSVLAFALLPVLGVGRYSVQW PGTWCFISTGPAGNETDPAREPGSVAFASAFACLGLLALVVTFACNLATIKALVSRCRAK AAVSQSSAQWGRITTETAIQLMGIMCVLSVCWSPLLIMMLKMIFNQMSVEQCKTQMGKEK ECNSFLIAVRLASLNQILDPWVYLLLRKILLRKFCQMMNNLKWTFIAVPVSLGLRISSPR EG >ENSMUSP00000134137.1 pep:known chromosome:GRCm38:3:157566900:157644756:1 gene:ENSMUSG00000040016.16 transcript:ENSMUST00000173533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptger3 description:prostaglandin E receptor 3 (subtype EP3) [Source:MGI Symbol;Acc:MGI:97795] MASMWAPEHSAEAHSNLSSTTDDCGSVSVAFPITMMVTGFVGNALAMLLVSRSYRRRESK RKKSFLLCIGWLALTDLVGQLLTSPVVILVYLSQRRWEQLDPSGRLCTFFGLTMTVFGLS SLLVASAMAVERALAIRAPHWYASHMKTRATRAVLLGVWLSVLAFALLPVLGVGRYSVQW PGTWCFISTGPAGNETDPAREPGSVAFASAFACLGLLALVVTFACNLATIKALVSRCRAK AAVSQSSAQWGRITTETAIQLMGIMCVLSVCWSPLLIMMLKMIFNQMSVEQCKTQMGKEK ECNSFLIAVRLASLNQILDPWVYLLLRKILLRKFCQIRDHTNYASSSTSLPCPGSSALMW SDQLER >ENSMUSP00000128981.1 pep:known chromosome:GRCm38:6:123492508:123533406:-1 gene:ENSMUSG00000093820.1 transcript:ENSMUST00000163607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r21 description:vomeronasal 2, receptor 21 [Source:MGI Symbol;Acc:MGI:3647953] MKLLIAFSLWIVLIVLQEQISCYLLSISASPGYYQDGDFVIGGLLSLKVSGRHNKNKFTS GNEYNLPEYVYIDFTKHYQHILAMVFAIEKINKDPNILFNMSLGFHVFNVDFTEMKAMKS SMVLLSGESPPVPNYSCRPEKTDKLVAVIGGISTGISTQISRVLSLYNVPQISYAPFDQS LGTIVQLQSPYQFPVHTAALYQGIIQLLLYFTWVWVGLVVPDDIRGELFLRDITEEMMNH GLCVAFAEKIQGILAEDKVNTKLFNEKFTLTRVIIAFGDTYSLLALAVHTTFYTTFRNVW ITTSDWDITFYFQQPKSYEYFGGGLSFTDRMDQILGFKDFLRNVQPRKYPQDIFIQDVWM VLFECPYLIDHEARQLSQCEPNGSLSTRPLHVWDMNTSPCSSKVHAAVYAIAQALHEELS LRVEGGSLDKSVLRAPLPWKLHPFLQKGQLGRSSNKENIVNKEILATEFDIFNYQSLQSG TKAQVKVGEFVFESHRVQHFSINEKLITWGKYGKGIPLSVCSQSCPLGFRKTPVEGKSFC CFDCLPCPEGEVANDTDMDQCIKCPEDQYPNKQKNNCLPKIKIFLSHEDTLGAVLVSLAI SLSAFSAMILGLFIHYRDTPIVRANNRNLSYVLLVSLMLCFFCSLIFIGQPSTVTCVLRQ MIFGVVFSVAVSAILAKTFIVVVAFTAIKPGSTLQMWMVTRLSNAIVCCGSIIQVCICAV WLGTYPPFPDADMHSEFGQIILWCNEGSTLAFYCVLGYLGFLSSLSLLIAFLARRLPESF NEAKTITFSMLVFCTVWITFVPTYLSSKGKTMVAVEILSILASSSILLLCIFLPKCYVIL LRSGGHSRKKFFK >ENSMUSP00000103676.1 pep:known chromosome:GRCm38:4:41699989:41769474:1 gene:ENSMUSG00000073889.10 transcript:ENSMUST00000108041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il11ra1 description:interleukin 11 receptor, alpha chain 1 [Source:MGI Symbol;Acc:MGI:107426] MSSSCSGLTRVLVAVATALVSSSSPCPQAWGPPGVQYGQPGRPVMLCCPGVSAGTPVSWF RDGDSRLLQGPDSGLGHRLVLAQVDSPDEGTYVCQTLDGVSGGMVTLKLGFPPARPEVSC QAVDYENFSCTWSPGQVSGLPTRYLTSYRKKTLPGAESQRESPSTGPWPCPQDPLEASRC VVHGAEFWSEYRINVTEVNPLGASTCLLDVRLQSILRPDPPQGLRVESVPGYPRRLHASW TYPASWRRQPHFLLKFRLQYRPAQHPAWSTVEPIGLEEVITDAVAGLPHAVRVSARDFLD AGTWSAWSPEAWGTPSTGPLQDEIPDWSQGHGQQLEAVVAQEDSPAPARPSLQPDPRPLD HRDPLEQVAVLASLGIFSCLGLAVGALALGLWLRLRRSGKDGPQKPGLLAPMIPVEKLPG IPNLQRTPENFS >ENSMUSP00000095736.4 pep:known chromosome:GRCm38:4:41760196:41769474:1 gene:ENSMUSG00000073889.10 transcript:ENSMUST00000098132.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il11ra1 description:interleukin 11 receptor, alpha chain 1 [Source:MGI Symbol;Acc:MGI:107426] MSSSCSGLTRVLVAVATALVSSSSPCPQAWGPPGVQYGQPGRPVMLCCPGVSAGTPVSWF RDGDSRLLQGPDSGLGHRLVLAQVDSPDEGTYVCQTLDGVSGGMVTLKLGFPPARPEVSC QAVDYENFSCTWSPGQVSGLPTRYLTSYRKKTLPGAESQRESPSTGPWPCPQDPLEASRC VVHGAEFWSEYRINVTEVNPLGASTCLLDVRLQSILRPDPPQGLRVESVPGYPRRLHASW TYPASWRRQPHFLLKFRLQYRPAQHPAWSTVEPIGLEEVITDAVAGLPHAVRVSARDFLD AGTWSAWSPEAWGTPSTGPLQDEIPDWSQGHGQQLEAVVAQEDSPAPARPSLQPDPRPLD HRDPLEQVAVLASLGIFSCLGLAVGALALGLWLRLRRSGKDGPQKPGLLAPMIPVEKLPG IPNLQRTPENFS >ENSMUSP00000103675.1 pep:known chromosome:GRCm38:4:41760454:41769474:1 gene:ENSMUSG00000073889.10 transcript:ENSMUST00000108040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il11ra1 description:interleukin 11 receptor, alpha chain 1 [Source:MGI Symbol;Acc:MGI:107426] MSSSCSGLTRVLVAVATALVSSSSPCPQAWGPPGVQYGQPGRPVMLCCPGVSAGTPVSWF RDGDSRLLQGPDSGLGHRLVLAQVDSPDEGTYVCQTLDGVSGGMVTLKLGFPPARPEVSC QAVDYENFSCTWSPGQVSGLPTRYLTSYRKKTLPGAESQRESPSTGPWPCPQDPLEASRC VVHGAEFWSEYRINVTEVNPLGASTCLLDVRLQSILRPDPPQGLRVESVPGYPRRLHASW TYPASWRRQPHFLLKFRLQYRPAQHPAWSTVEPIGLEEVITDAVAGLPHAVRVSARDFLD AGTWSAWSPEAWGTPSTGPLQDEIPDWSQGHGQQLEAVVAQEDSPAPARPSLQPDPRPLD HRDPLEQVAVLASLGIFSCLGLAVGALALGLWLRLRRSGKDGPQKPGLLAPMIPVEKLPG IPNLQRTPENFS >ENSMUSP00000103677.2 pep:known chromosome:GRCm38:4:41762309:41769474:1 gene:ENSMUSG00000073889.10 transcript:ENSMUST00000108042.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il11ra1 description:interleukin 11 receptor, alpha chain 1 [Source:MGI Symbol;Acc:MGI:107426] MSSSCSGLTRVLVAVATALVSSSSPCPQAWGPPGVQYGQPGRPVMLCCPGVSAGTPVSWF RDGDSRLLQGPDSGLGHRLVLAQVDSPDEGTYVCQTLDGVSGGMVTLKLGFPPARPEVSC QAVDYENFSCTWSPGQVSGLPTRYLTSYRKKTLPGAESQRESPSTGPWPCPQDPLEASRC VVHGAEFWSEYRINVTEVNPLGASTCLLDVRLQSILRPDPPQGLRVESVPGYPRRLHASW TYPASWRRQPHFLLKFRLQYRPAQHPAWSTVEPIGLEEVITDAVAGLPHAVRVSARDFLD AGTWSAWSPEAWGTPSTGPLQDEIPDWSQGHGQQLEAVVAQEDSPAPARPSLQPDPRPLD HRDPLEQVAVLASLGIFSCLGLAVGALALGLWLRLRRSGKDGPQKPGLLAPMIPVEKLPG IPNLQRTPENFS >ENSMUSP00000020444.8 pep:known chromosome:GRCm38:10:120227070:120232071:1 gene:ENSMUSG00000020224.14 transcript:ENSMUST00000020444.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llph description:LLP homolog, long-term synaptic facilitation (Aplysia) [Source:MGI Symbol;Acc:MGI:1913475] MAKSLRSKWKRKMRAEKRKKNAPRELNRLKSILRVDGDALMKDVEEIATVVVAKPRQEKM QCEEGRCDGADEEKDDMKMETEIKRNRKTLLDQHGQYPVWMNQRQRKRLKAKREKKRGKS RAKAAKGLAW >ENSMUSP00000118254.1 pep:known chromosome:GRCm38:10:120227186:120231348:1 gene:ENSMUSG00000020224.14 transcript:ENSMUST00000130198.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llph description:LLP homolog, long-term synaptic facilitation (Aplysia) [Source:MGI Symbol;Acc:MGI:1913475] MAKSLRSKWKRKMRAEKRKKNAPRELNRLKSILRVDGDALMKDVEEIATVVVAKPRQEKM QCEEGRCDGADEEKDDMKMETEIKRNRKTLLDQHGQYPVWMNQRQRKRLKAKREKKRGKS RAK >ENSMUSP00000109760.1 pep:known chromosome:GRCm38:X:74480287:74481399:-1 gene:ENSMUSG00000079536.1 transcript:ENSMUST00000114125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6880 description:predicted gene 6880 [Source:MGI Symbol;Acc:MGI:3644285] MQNPVEGLDGRAGLEEGEDSQLRPQAPDAQASSQNSATEGDHGNVPQSCPQDSGRPAAPG TLATPGSQAAPGSPSPGSTVGSGAAAEEAAVIPHSEQATLVPGPSGDAASTGNRSLEFSV TVPFRTAVEADMARRSLVANAHPQQVMVQQEFTANDSILAVRWTTDDPFLFRISINTFLD QLSLVMRNIQRLEFVAVVKRGRGRSRES >ENSMUSP00000053849.1 pep:known chromosome:GRCm38:3:92385685:92387324:1 gene:ENSMUSG00000046259.2 transcript:ENSMUST00000059845.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2h description:small proline-rich protein 2H [Source:MGI Symbol;Acc:MGI:1330343] MSYQQQQCKQPCQPPPVCPPPQCPEPCPPPKCPEPCPPPKCTEPCPPPKCPEPCPPPKCP EPCPPPKCPEPCPPPKCTEPCPPPSYQQKCPSVQPSPPCQQKCPPKNK >ENSMUSP00000141424.1 pep:known chromosome:GRCm38:3:92385700:92387100:1 gene:ENSMUSG00000046259.2 transcript:ENSMUST00000191886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2h description:small proline-rich protein 2H [Source:MGI Symbol;Acc:MGI:1330343] MSYQQQQCKQPCQPPPKCPSVQPSPPCQQKCPPKNK >ENSMUSP00000116604.1 pep:known chromosome:GRCm38:3:80843599:80913614:-1 gene:ENSMUSG00000028020.16 transcript:ENSMUST00000135043.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glrb description:glycine receptor, beta subunit [Source:MGI Symbol;Acc:MGI:95751] MKFSLAISFFILMSLLFEDACAKEKSSKKGKGKKKQYLCPSSHPRTWPVCPPTPPAIS >ENSMUSP00000029654.8 pep:known chromosome:GRCm38:3:80843599:80913660:-1 gene:ENSMUSG00000028020.16 transcript:ENSMUST00000029654.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrb description:glycine receptor, beta subunit [Source:MGI Symbol;Acc:MGI:95751] MKFSLAISFFILMSLLFEDACAKEKSSKKGKGKKKQYLCPSQQSPEDLARVPPNSTSNIL NRLLVSYDPRIRPNFKGIPVDVVVNIFINSFGSIQETTMDYRVNIFLRQKWNDPRLKLPS DFRGSDALTVDPTMYKCLWKPDLFFANEKSANFHDVTQENILLFIFRDGDVLVSMRLSIT LSCPLDLTLFPMDTQRCKMQLESFGYTTDDLRFIWQSGDPVQLEKIALPQFDIKKEDIEY GNCTKYYKGTGYYTCVEVIFTLRRQVGFYMMGVYAPTLLIVVLSWLSFWINPDASAARVP LGIFSVLSLASECTTLAAELPKVSYVKALDVWLIACLLFGFASLVEYAVVQVMLNNPKRV EAEKARIAKAEQADGKGGNAAKKNTVNGTGTPVHISTLQVGETRCKKVCTSKSDLRSNDF SIVGSLPRDFELSNYDCYGKPIEVNNGLGKPQAKNKKPPPAKPVIPTAAKRIDLYARALF PFCFLFFNVIYWSIYL >ENSMUSP00000142306.1 pep:known chromosome:GRCm38:3:80844961:80912089:-1 gene:ENSMUSG00000028020.16 transcript:ENSMUST00000194085.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrb description:glycine receptor, beta subunit [Source:MGI Symbol;Acc:MGI:95751] MKFSLAISFFILMSLLFEDACAKEKSSKKGKGKKKQYLCPSQQSPEDLARVPPNSTSNIL NRLLVSYDPRIRPNFKGIPVDVVVNIFINSFGSIQETTMDYRVNIFLRQKWNDPRLKLPS DFRGSDALTVDPTMYKCLWKPDLFFANEKSANFHDVTQENILLFIFRDGDVLVSMRLSIT LSCPLDLTLFPMDTQRCKMQLESFGYTTDDLRFIWQSGDPVQLEKIALPQFDIKKEDIEY GNCTKYYKGTGIFSVLSLASECTTLAAELPKVSYVKALDVWLIACLLFGFASLVEYAVVQ VMLNNPKRVEAEKARIAKAEQADGKGGNAAKKNTVNGTGTPVHISTLQVGETRCKKVCTS KSDLRSNDFSIVGSLPRDFELSNYDCYGKPIEVNNGLGKPQAKNKKPPPAKPVIPTAAKR IDLYARALFPFCFLFFNVIYWSIYL >ENSMUSP00000103372.1 pep:known chromosome:GRCm38:3:80855629:80913528:-1 gene:ENSMUSG00000028020.16 transcript:ENSMUST00000107743.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrb description:glycine receptor, beta subunit [Source:MGI Symbol;Acc:MGI:95751] MKFSLAISFFILMSLLFEDACAKEKSSKKGKGKKKQYLCPSQQSPEDLARVPPNSTSNIL NRLLVSYDPRIRPNFKGIPVDVVVNIFINSFGSIQETTMDYRVNIFLRQKWNDPRLKLPS DFRGSDALTVDPTMYKCLWKPDLFFANEKSANFHDVTQENILLFIFRDGDVLVSMRLSIT LSCPLDLTLFPMDTQRCKMQLESFGYTTDDLRFIWQSGDPVQLEKIALPQFDIKKEDIEY GNCTKYYKGTGYYTCVEVIFTLRRQVGFYMMGVYAPTLLIVVLSWLSFWINPDASAARVP LGKLPCF >ENSMUSP00000115014.1 pep:known chromosome:GRCm38:3:80862110:80913589:-1 gene:ENSMUSG00000028020.16 transcript:ENSMUST00000132330.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glrb description:glycine receptor, beta subunit [Source:MGI Symbol;Acc:MGI:95751] MKFSLAISFFILMSLLFEDACAKEKSSKKGKGKKKQYLCPSSTQCAKTALRTPPHLS >ENSMUSP00000132043.1 pep:known chromosome:GRCm38:6:123609758:123650635:-1 gene:ENSMUSG00000095486.1 transcript:ENSMUST00000170808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r22 description:vomeronasal 2, receptor 22 [Source:MGI Symbol;Acc:MGI:3647952] MKLLIAFSLWIVLIVLQEQISCYFLSISASPGYYQDGDFVIGGFFSLKLSGRHSKNKFTS GNEYNIPEYVYIDFTKHYQHILAMVFAIEKINKDPNILFNMSLGFHVFNADFTEMKAMKS SMVLLSGESPPIPNYSCRPEKTDKLVAVIGGISTGISTQISRVLSLYNVPQISYAPFDQS LGTSVQLQSPYQFTVHTAALYQGIIQLLLYFTWVWVGLVVPDDIRGELFLRDITEEMMNH GLCVAFAEKVPEILGENTVNRKRFMERFSLTRVIIAFGDTYSLLALPVHTTFYTTFHNVW ITTSDWDITFYFQQPISYKYFGGGLSFSDRMDQILGFKDFLKNIQPRKYPQDIFIQDVWI ILFECPYLIDHEARQLSQCEPNGSLSTRPLHVWDMNTSPYSSKVHAAVYAIAQALHEELS LRVEGGSLDRSALRAPLPWKLHPFLQKGQLGRSSNKENIVNKEILATEFDIFNYQSLQSG TKAQVKVGEFVFESHRVQHFSINEKLITWGKYGKGIPLSVCSQSCPLGFRKTPVEGKSFC CFDCLPCPEGEVANDTDMDQCIKCPEDQYPNKQKNNCLPKIKIFLSHEDTLGAVLVSLAI SLSAFSAMILGLFIHYRDTPIVRANNRNLSYVLLVSLMLCFFCSLIFIGQPSTVTCVLRQ MIFGVVFSVAVSAILAKTFIVVVAFTAIKPGSTLQMWMVTRLSNAIVCCGSIIQVCICAV WLGTYPPFPDADMHSEFGQIILWCNEGSTLAFYCVLGYLGFLSSLSLLIAFLARRLPESF NEAKTITFSMLVFCTVWITFVPTYLSSKGKTMVAVEILSILASSSILLLCIFLPKCYVIL LRSGGHSRKKFFK >ENSMUSP00000099446.3 pep:known chromosome:GRCm38:11:96024545:96030831:1 gene:ENSMUSG00000014351.12 transcript:ENSMUST00000103157.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gip description:gastric inhibitory polypeptide [Source:MGI Symbol;Acc:MGI:107504] MVALKTCSLLLVLLFLAVGLGEKEEVEFRSHAKFAGPRPRGPRYAEGTFISDYSIAMDKI RQQDFVNWLLAQRGKKSDWKHNITQREARALVLAGQSQGKEDKEAQESSLPKSLSDDDVL RDLLIQELLAWMVDQTELCRLRSQ >ENSMUSP00000099445.1 pep:known chromosome:GRCm38:11:96025046:96030831:1 gene:ENSMUSG00000014351.12 transcript:ENSMUST00000103156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gip description:gastric inhibitory polypeptide [Source:MGI Symbol;Acc:MGI:107504] MVALKTCSLLLVLLFLAVGLGEKEEVEFRSHAKFAGPRPRGPRYAEGTFISDYSIAMDKI RQQDFVNWLLAQRGKKSDWKHNITQREARALVLAGQSQGKEDKEAQESSLPKSLSDDDVL RDLLIQELLAWMVDQTELCRLRSQ >ENSMUSP00000015877.7 pep:known chromosome:GRCm38:6:17636983:17666972:1 gene:ENSMUSG00000015733.13 transcript:ENSMUST00000015877.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capza2 description:capping protein (actin filament) muscle Z-line, alpha 2 [Source:MGI Symbol;Acc:MGI:106222] MADLEEQLSDEEKVRIAAKFIIHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNLD QFTPVKIEGYEDQVLITEHGDLGNGKFLDPKNRICFKFDHLRKEATDPRPYEAENAIESW RTSVETALRAYVKEHYPNGVCTVYGKKVDGQQTIIACIESHQFQAKNFWNGRWRSEWKFT VTPSTTQVVGILKIQVHYYEDGNVQLVSHKDIQDSLTVSNEVQTAKEFIKIVEAAENEYQ TAISENYQTMSDTTFKALRRQLPVTRTKIDWNKILSYKIGKEMQNA >ENSMUSP00000123398.1 pep:known chromosome:GRCm38:6:17637110:17665588:1 gene:ENSMUSG00000015733.13 transcript:ENSMUST00000130606.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Capza2 description:capping protein (actin filament) muscle Z-line, alpha 2 [Source:MGI Symbol;Acc:MGI:106222] MADLEEQLSDEEKVRIAAKFIIHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNLD QFTPVKIEGYEDQVLITEHGDLGNGKFLDPKNRICFKFDHLRKEATDPRPYEAENAIESW RTSVETALRAYVKEHYPNGVCTVYGKKVDGQQTIIACIESHQFQAKNFCCCFYF >ENSMUSP00000145159.1 pep:known chromosome:GRCm38:6:17637113:17660810:1 gene:ENSMUSG00000015733.13 transcript:ENSMUST00000152005.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capza2 description:capping protein (actin filament) muscle Z-line, alpha 2 [Source:MGI Symbol;Acc:MGI:106222] MADLEEQLSDEEKVRIAAKFIIHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNLD QFTPVKIEGYEDQVLITEHGDLGNGKFLDPKNRICFKFDHLRKEATDPRPYEAENAIESW RTSVETALRAYVKEHYPNGVCTLLFLFLRNGRWRSEWKFTVTPSTTQVV >ENSMUSP00000000194.3 pep:known chromosome:GRCm38:11:82101845:82103400:1 gene:ENSMUSG00000035352.3 transcript:ENSMUST00000000194.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl12 description:chemokine (C-C motif) ligand 12 [Source:MGI Symbol;Acc:MGI:108224] MKISTLLCLLLIATTISPQVLAGPDAVSTPVTCCYNVVKQKIHVRKLKSYRRITSSQCPR EAVIFRTILDKEICADPKEKWVKNSINHLDKTSQTFILEPSCLG >ENSMUSP00000144976.1 pep:known chromosome:GRCm38:5:65107539:65168106:1 gene:ENSMUSG00000054920.12 transcript:ENSMUST00000204097.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl5 description:kelch-like 5 [Source:MGI Symbol;Acc:MGI:1919028] MEPCSSDEFFQALNHAEQTFKKMENYLRHKQLCDVILVAGDRRIPAHRLVLSSVSDYFAA MFTNDVREARQEEIKMEGVEPNSLWSLIQYAYTGRLELKEDNIECLLSTACLLQLSQVVE ACCKFLMKQLHPSNCLGIRSFADAQGCTDLHKVAHNYTMEHFMEVIRNQEFVLLPANEIA KLLASDDMNIPNEETILNALLTWVRHDLEQRRKDLSRLLAYIRLPLLAPQFLADMENNAL FRDDIECQKLIMEAMKYHLLPERRPMLQSPRTKPRKSTVGTLFAVGGMDSTKGATSIEKY DLRTNMWTPVANMNGRRLQFGVAVLDDKLYVVGGRDGLKTLNTVECYNPKTKTWSVMPPM STHRHGLGVAVLEGPMYAVGGHDGWSYLNTVERWDPQARQWNFVATMSTPRSTVGVAVLS GKLYAVGGRDGSSCLKSVECFDPHTNKWTLCAQMSKRRGGVGVTTWNGLLYAIGGHDAPT SNLTSRLSDCVERYDPKTDVWTAVASMSVSRDAVGVCLLGDKLYAVGGYDGQTYLNIVEA YDPQTNEWTQVAPLCLGRAGACVVTVKI >ENSMUSP00000098752.3 pep:known chromosome:GRCm38:5:65131075:65168188:1 gene:ENSMUSG00000054920.12 transcript:ENSMUST00000101191.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl5 description:kelch-like 5 [Source:MGI Symbol;Acc:MGI:1919028] MSGSRKEFDVKQILKIRWRWFGHQASSNSSVDSQQGEFWNRGQTGANGGRKFLDPCNLQL PLASIGYRRSSQLDFQNSPSWPMASTSDVPAFEFTAEGCAGAHWLERPEVDDGTSEEENE SDSSSSRTSNSSQTLSSCQAMEPCSSDEFFQALNHAEQTFKKMENYLRHKQLCDVILVAG DRRIPAHRLVLSSVSDYFAAMFTNDVREARQEEIKMEGVEPNSLWSLIQYAYTGRLELKE DNIECLLSTACLLQLSQVVEACCKFLMKQLHPSNCLGIRSFADAQGCTDLHKVAHNYTME HFMEVIRNQEFVLLPANEIAKLLASDDMNIPNEETILNALLTWVRHDLEQRRKDLSRLLA YIRLPLLAPQFLADMENNALFRDDIECQKLIMEAMKYHLLPERRPMLQSPRTKPRKSTVG TLFAVGGMDSTKGATSIEKYDLRTNMWTPVANMNGRRLQFGVAVLDDKLYVVGGRDGLKT LNTVECYNPKTKTWSVMPPMSTHRHGLGVAVLEGPMYAVGGHDGWSYLNTVERWDPQARQ WNFVATMSTPRSTVGVAVLSGKLYAVGGRDGSSCLKSVECFDPHTNKWTLCAQMSKRRGG VGVTTWNGLLYAIGGHDAPTSNLTSRLSDCVERYDPKTDVWTAVASMSVSRDAVGVCLLG DKLYAVGGYDGQTYLNIVEAYDPQTNEWTQVAPLCLGRAGACVVTVKI >ENSMUSP00000144732.1 pep:known chromosome:GRCm38:5:65131076:65168142:1 gene:ENSMUSG00000054920.12 transcript:ENSMUST00000204348.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl5 description:kelch-like 5 [Source:MGI Symbol;Acc:MGI:1919028] MSGSRKEFDVKQILKIRWRWFGHQASSNSSVDSQQGEFWNRGQTGANGGRKFLDPCNLQL PLASIGYRRSSQLDFQNSPSWPMASTSDVPAFEFTAEGCAGAHWLERPEVDDGTSEEENE SDSSSSRLVLSSVSDYFAAMFTNDVREARQEEIKMEGVEPNSLWSLIQYAYTGRLELKED NIECLLSTACLLQLSQVVEACCKFLMKQLHPSNCLGIRSFADAQGCTDLHKVAHNYTMEH FMEVIRNQEFVLLPANEIAKLLASDDMNIPNEETILNALLTWVRHDLEQRRKDLSRLLAY IRLPLLAPQFLADMENNALFRDDIECQKLIMEAMKYHLLPERRPMLQSPRTKPRKSTVGT LFAVGGMDSTKGATSIEKYDLRTNMWTPVANMNGRRLQFGVAVLDDKLYVVGGRDGLKTL NTVECYNPKTKTWSVMPPMSTHRHGLGVAVLEGPMYAVGGHDGWSYLNTVERWDPQARQW NFVATMSTPRSTVGVAVLSGKLYAVGGRDGSSCLKSVECFDPHTNKWTLCAQMSKRRGGV GVTTWNGLLYAIGGHDAPTSNLTSRLSDCVERYDPKTDVWTAVASMSVSRDAVGVCLLGD KLYAVGGYDGQTYLNIVEAYDPQTNEWTQVAPLCLGRAGACVVTVKI >ENSMUSP00000145269.1 pep:known chromosome:GRCm38:5:65156070:65168142:1 gene:ENSMUSG00000054920.12 transcript:ENSMUST00000203538.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl5 description:kelch-like 5 [Source:MGI Symbol;Acc:MGI:1919028] ENNALFRDDIECQKLIMEAMKYHLLPERRPMLQSPRTKPRKSTVGTLFAVGGMDSTKGAT SIEKYDLRTNMWTPVANMNGRRLQFGVAVLDDKLYVVGGRDGLKTLNTVECYNPKTKTWS VMPPMSTHRHGLGVAVLEGPMYAVGGHDGWSYLNTVERWDPQARQWNFVATMSTPRSTVG VAVLSGKLYAVGGRDGSSCLKSVECFDPHTNKWTLCAQMSKRRGGVGVTTWNGLLYAIGG HDAPTSNLTSRLSDCVER >ENSMUSP00000109607.4 pep:known chromosome:GRCm38:19:3767421:3816391:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000113974.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTRFRPIKGRQEELKEVIE RFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFKEHVFIYLRMFATDSGFEILPCNR YSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEENMLLRHGENDFSVMYSTRKNCAQ LWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEPGEEISCYYGDGFFGENNEFCECY TCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLNRLKKLGDSSKNSDSQSVSSNTDA DTTQEKDNATSNRKSSVGVKKSSKSRALTRPSMPRVPAASNSTSPKLVHTNNPRVPKKLR KPAKPLLSKIRLRNHCKRLDQKSASRKLEMGSLVLKEPKVVLYKNLPIKKEREPEGPAHA AVGSGCLTRHAAREHRQNHGRGAHSQGDSLPCTYTTRRSLRTRTGLKETTDIKLEPSPLD GYKNGILEPCPDSGQQPTPEVLEELAPETAHREEASQECPKNDSCLSRKKFRQVKPVKHL AKTEDCSPEHSFPGKDGLPDLPGSHPDQGEPSGTVRVPVSHTDSAPSPVGCSVVAPDSFT KDSFRTAQSKKKRRVTRYDAQLILENSSGIPKLTLRRRHDSSSKTNDHESDGVNSSKISI KLSKDHDSDSNLYVAKLSNGVSAGPGSSSTKLKIQLKRDEESRGPCAEGLHENGVCCSDP LSLLESQMEVDDYSQYEEDSTDESSSSEGEEEEEDCEDDFDDDFIPLPPAKRLRLIVGKD SIDIDISSRRREDQSLRLNA >ENSMUSP00000109605.2 pep:known chromosome:GRCm38:19:3767421:3816391:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000113972.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSSRHISKADSF SHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFK EHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEE NMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEP GEEISCYYGDGFFGENNEFCECYTCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLN RLKKLGDSSKNSDSQSVSSNTDADTTQEKDNATSNRKSSVGVKKSSKSRALTRPSMPRVP AASNSTSPKLVHTNNPRVPKKLRKPAKPLLSKIRLRNHCKRLDQKSASRKLEMGSLVLKE PKVVLYKNLPIKKEREPEGPAHAAVGSGCLTRHAAREHRQNHGRGAHSQGDSLPCTYTTR RSLRTRTGLKETTDIKLEPSPLDGYKNGILEPCPDSGQQPTPEVLEELAPETAHREEASQ ECPKNDSCLSRKKFRQVKPVKHLAKTEDCSPEHSFPGKDGLPDLPGSHPDQGEPSGTVRV PVSHTDSAPSPVGCSVVAPDSFTKDSFRTAQSKKKRRVTRYDAQLILENSSGIPKLTLRR RHDSSSKTNDHESDGVNSSKISIKLSKDHDSDSNLYVAKLSNGVSAGPGSSSTKLKIQLK RDEESRGPCAEGLHENGVCCSDPLSLLESQMEVDDYSQYEEDSTDESSSSEGEEEEEDCE DDFDDDFIPLPPAKRLRLIVGKDSIDIDISSRRREDQSLRLNA >ENSMUSP00000109606.1 pep:known chromosome:GRCm38:19:3767421:3818302:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000113973.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSSRHISKADSF SHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFK EHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEE NMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEP GEEISCYYGDGFFGENNEFCECYTCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLN RLKKLGDSSKNSDSQSVSSNTDADTTQEKDNATSNRKSSVGVKKSSKSRALTRPSMPRVP AASNSTSPKLVHTNNPRVPKKLRKPAKPLLSKIRLRNHCKRLDQKSASRKLEMGSLVLKE PKVVLYKNLPIKKEREPEGPAHAAVGSGCLTRHAAREHRQNHGRGAHSQGDSLPCTYTTR RSLRTRTGLKETTDIKLEPSPLDGYKNGILEPCPDSGQQPTPEVLEELAPETAHREEASQ ECPKNDSCLSRKKFRQVKPVKHLAKTEDCSPEHSFPGKDGLPDLPGSHPDQGEPSGTVRV PVSHTDSAPSPVGCSVVAPDSFTKDSFRTAQSKKKRRVTRYDAQLILENSSGIPKLTLRR RHDSSSKTNDHESDGVNSSKISIKLSKDHDSDSNLYVAKLSNGVSAGPGSSSTKLKIQLK RDEESRGPCAEGLHENGVCCSDPLSLLESQMEVDDYSQYEEDSTDESSSSEGEEEEEDCE DDFDDDFIPLPPAKRLRLIVGKDSIDIDISSRRREDQSLRLNA >ENSMUSP00000109601.2 pep:known chromosome:GRCm38:19:3767523:3806324:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000113968.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSSRHISKADSF SHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFK EHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEE NMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEP GEEISCYYGDGFFGENNEFCECYTCER >ENSMUSP00000109603.1 pep:known chromosome:GRCm38:19:3768033:3808835:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000113970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSSRHISKADSF SHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFK EHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEE NMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEP GEEISCYYGDGFFGENNEFCECYTCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLN RLKKLGDSSKNSDSQSVSSNTDADTTQEKDNASK >ENSMUSP00000115984.1 pep:known chromosome:GRCm38:19:3768149:3804502:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000152935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MPLRSRDCARGGGHGNSGFEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMN TSAFPSRSSRHISKADSFSHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGE WARHYFLNKNKMQEKLFKEHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRN DKIELLVGCIAELSEIEENMLLRHGENDFSVM >ENSMUSP00000135563.1 pep:known chromosome:GRCm38:19:3768166:3816688:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000176262.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTRFRPIKGRQEELKEVIE RFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFKEHVFIYLRMFATDSGFEILPCNR YSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEENMLLRHGENDFSVMYSTRKNCAQ LWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEPGEEISCYYGDGFFGENNEFCECY TCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLNRLKKLGDSSKNSDSQSVSSNTDA DTTQEKDNATSNRKSSVGVKKSSKSRALTRPSMPRVPAASNSTSPKLVHTNNPRVPKKLR KPAKPLLSKIRLRNHCKRLDQKSASRKLEMGSLVLKEPKVVLYKNLPIKKEREPEGPAHA AVGSGCLTRHAAREHRQNHGRGAHSQGDSLPCTYTTRRSLRTRTGLKETTDIKLEPSPLD GYKNGILEPCPDSGQQPTPEVLEELAPETAHREEASQECPKNDSCLSRKKFRQVKPVKHL AKTEDCSPEHSFPGKDGLPDLPGSHPDQGEPSGTVRVPVSHTDSAPSPVGCSVVAPDSFT KDSFRTAQSKKKRRVTRYDAQLILENSSGIPKLTLRRRHDSSSKTNDHESDGVNSSKISI KLSKDHDSDSNLYVAKLSNGVSAGPGSSSTKLKIQLKRDEESRGPCAEGLHENGVCCSDP LSLLESQMEVDDYSQYEEDSTDESSSSEGEEEEEDCEDDFDDDFIPLPPAKRLRLIVGKD SIDIDISSRRREDQSLRLNA >ENSMUSP00000134897.1 pep:known chromosome:GRCm38:19:3768169:3793167:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000176407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATS >ENSMUSP00000135756.1 pep:known chromosome:GRCm38:19:3768218:3808807:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000176926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSSRHISKADSF SHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFK EHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEE NMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEP GEEISCYYGDGFFGENNEFCECYTCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLN RLKKLGDSSKNSDSQSVSSNTDADTTQEKDNAKNFEEKGKCLHDGKCHPLCGYLNLLEVQ GQT >ENSMUSP00000135004.1 pep:known chromosome:GRCm38:19:3768233:3793185:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000176512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPY >ENSMUSP00000135590.1 pep:known chromosome:GRCm38:19:3768547:3796660:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000177355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSS >ENSMUSP00000005518.9 pep:known chromosome:GRCm38:19:3768118:3805057:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000005518.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSSRHISKADSF SHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFK EHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEE NMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEP GEEISCYYGDGFFGENNEFCECYTCER >ENSMUSP00000060162.6 pep:known chromosome:GRCm38:19:3767421:3808829:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000052699.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTSAFPSRSSRHISKADSF SHNNPVRFRPIKGRQEELKEVIERFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFK EHVFIYLRMFATDSGFEILPCNRYSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEE NMLLRHGENDFSVMYSTRKNCAQLWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEP GEEISCYYGDGFFGENNEFCECYTCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLN RLKKLGDSSKNSDSQSVSSNTDADTTQEKDNASK >ENSMUSP00000109610.2 pep:known chromosome:GRCm38:19:3767421:3818303:1 gene:ENSMUSG00000045098.18 transcript:ENSMUST00000113977.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h1 description:suppressor of variegation 4-20 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444557] MKWLGDSKNMVVNGRRNGGKLSNDHQQNQSKLQQHSGKDTLKTGRNAVERRSSRCHGNSG FEGQSRYVPSSGMSAKELCENDDLATSLVLDPYLGFQTHKMNTRFRPIKGRQEELKEVIE RFKKDEHLEKAFKCLTSGEWARHYFLNKNKMQEKLFKEHVFIYLRMFATDSGFEILPCNR YSSEQNGAKIVATKEWKRNDKIELLVGCIAELSEIEENMLLRHGENDFSVMYSTRKNCAQ LWLGPAAFINHDCRPNCKFVSTGRDTACVKALRDIEPGEEISCYYGDGFFGENNEFCECY TCERRGTGAFKSRVGLPAPAPVINSKYGLRETDKRLNRLKKLGDSSKNSDSQSVSSNTDA DTTQEKDNATSNRKSSVGVKKSSKSRALTRPSMPRVPAASNSTSPKLVHTNNPRVPKKLR KPAKPLLSKIRLRNHCKRLDQKSASRKLEMGSLVLKEPKVVLYKNLPIKKEREPEGPAHA AVGSGCLTRHAAREHRQNHGRGAHSQGDSLPCTYTTRRSLRTRTGLKETTDIKLEPSPLD GYKNGILEPCPDSGQQPTPEVLEELAPETAHREEASQECPKNDSCLSRKKFRQVKPVKHL AKTEDCSPEHSFPGKDGLPDLPGSHPDQGEPSGTVRVPVSHTDSAPSPVGCSVVAPDSFT KDSFRTAQSKKKRRVTRYDAQLILENSSGIPKLTLRRRHDSSSKTNDHESDGVNSSKISI KLSKDHDSDSNLYVAKLSNGVSAGPGSSSTKLKIQLKRDEESRGPCAEGLHENGVCCSDP LSLLESQMEVDDYSQYEEDSTDESSSSEGEEEEEDCEDDFDDDFIPLPPAKRLRLIVGKD SIDIDISSRRREDQSLRLNA >ENSMUSP00000126682.2 pep:known chromosome:GRCm38:6:123702821:123742291:1 gene:ENSMUSG00000091620.2 transcript:ENSMUST00000172391.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r23 description:vomeronasal 2, receptor 23 [Source:MGI Symbol;Acc:MGI:3646826] MKLLIAFSLLIVLIIFQEQISCFSLNIPASPGYYQDGDFVIGGLFSLRVTAGDTRSRFGF TDTIHIPEYVYGDLTKHYQHMLAMVFAIEKINKDPNILFNMTLGFSLFNVDFIEMKAVES SVSLLSGESPPIPNYSCRPEKTDKLVAVIGGISTGISTQISQILSLYNVPQISYAPFDHS LGTRVQLQSPYQFPVHTTALYQGIIQLLLYFTWVWVGLVVPDDMRGELFLRDITEEMTNH GLCVAFAEKVPEFPAKDTVNRELFMERFTLTHVIVAFGDTYSLLRFVYNIFCNTPFGNIW ITTSDWDITTLSFQQNLGYTYFGGGLSFFVHMDEILGFKDFLRDVQPRKYPHDIFIQDVW SILFECPYFEQHGIRELSQCEQNGSLSTRPLHVWDMNTSPMSYKVHAAVYAIAQALHEEL SLRVEGGSLHKAPLPWKLHPFLQKGQLRRNTNEASMNKEVWTTELDIFNYQSLQSGTKAQ VKVGEFVFGSHSVQHLSLNDKLITWGEHHSQTPLSVCSQSCPFGYRKTPIEGKPFCCYDC IPCPDGEIANETDMDQCIKCPEDQYPNKQRNQCLPKIMAFLSHEDTLGALLVCTAISLSA FAAMILGLFIHYRDTPIVRANNRNLSYLLLVSLMLCFFCSLIFIGQPGTVTCVLRQMIFG VVFSIAVSAILAKTFIVVVAFKSIKPRSTLQMWIVTRLSITIVCCGSIIQVCICAVWLGT YPPFPDVDMHSEFGHIILCCNEGSTLAFYCVLGYLGFLASLSLLIAFLVRRLPDSFNEAK TITFSMLVFCSVWISFIPTYLSSTGKTMVAVEIFCILASSAGLLGCMFLPKCYVILLRSG DHSRKKVFK >ENSMUSP00000124674.1 pep:known chromosome:GRCm38:17:49615172:49909847:1 gene:ENSMUSG00000023999.14 transcript:ENSMUST00000162854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif6 description:kinesin family member 6 [Source:MGI Symbol;Acc:MGI:1098238] MVKQTIQIFARVKPTVRKQQQGIYSIDEDEKLTHSLEIVLPRDLADGFVNNKRESYKFKF QRIFDQEAKQEIIFEIIAKPVAESTLAGYNGTIFAYGQTGSGKTFTITGGAERYSDRGII PRTLSYIFEQLQKDSSKIYTTHISYLEIYNECGYDLLDPRHEASKLEDLPKVTILEDPDQ NIHLKNLSLHQATTEEEALNLLFLGDTNRMIAETPMNQASTRSHCIFTVHLSSKEPGSAT VRHAKLHLVDLAGSERVSKTGVGGLLLTEAKYINLSLHYLEQVIIALSEKHRTHIPYRNS MMTSVLRDSLGGNCMTTMIATLSLEKRNIDESISTCRFAQRVALIKNEAILNEEIDPRLM IVRLQKEIEDLKAELAMATGEQRTEALTEAELLQLEKLIASYLEDQDPESRLEVGADMRK IHHCFHHFKKLLNDKKTLENTVSSESTRQACQEPLRDEEYTKLLGLLKQRDNEINILVNM LKKEKKKTQDALQNSSLEKSDTRPPQNSPFIAGSPAVPRTPFSSAPSHTQDLSICRHRSS LLHKKTGMREEMSLGRQEAFEIFKRDHADSVTIEDNKQVLKQRFSEAKALGESINEARSK IGQLKDAINQRHLQQVALGISENTVPASTPDPQEEKLRAQLEEEKGRYKTAFMHLKALKV EIEHLQLLMDKAKVKLQKEFEAWWAEEATSLQVNSPATNLQDAVKPFPQQDQAQLLSKKS SRDLEVENGAGRLEVCDRNARRILPSPCPNQQSQEPSGSRVLVQDRPLSSIPLTGDSQTD SDILAFIKARQSILQKKCLGSN >ENSMUSP00000125227.1 pep:known chromosome:GRCm38:17:49615139:49675385:1 gene:ENSMUSG00000023999.14 transcript:ENSMUST00000162029.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kif6 description:kinesin family member 6 [Source:MGI Symbol;Acc:MGI:1098238] MVKQTIQIFARVKPTVRKQQQGIYSIDEDEKLTHSLEIVLPRDLADGFVNNKRESYKFKF QRIFDQEAKQEIIFEIIAKPVAER >ENSMUSP00000019722.5 pep:known chromosome:GRCm38:17:56067045:56075028:-1 gene:ENSMUSG00000019578.11 transcript:ENSMUST00000019722.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn6 description:UBX domain protein 6 [Source:MGI Symbol;Acc:MGI:1913780] MKKFFQEIKADIKFKSAGPGQKLTDSAGEKTTKGKSPQLALRQPRQGPTDEAQMAAAAAL ARLEQKQPRARGPTSQDSIRNQVRKELQAEATSSNNPGAPGTNSVPEPKEEISPHLAVPG VFFICPLTGVTLRRDQRDAHIKQAILSHFSTDPVAASIMKIHTFNRDRDRVKLGVDTIAK YLDNIHLHPEEEKYQKIKLQNKVFQERINCLEGSHEFFEAIGFKKVTLPVPDQEGQEEFY VLGEDARAQPQNLARHKQQLLDAEPVRATLDRQLRVFRPSALASHFELPSDFFSLTAEEV KREQRLRTEAVERLSSLRTKAMREKEEQRELRKYTYALVRVRLPDGCLLQGTFYAREKLS ALFRFVREALQNDWLPFELRASGGQKLEENEALALNECGLVPSALLTFSWDASVLEDIRA AGAEPAKSVLRPELLAAIEQLS >ENSMUSP00000120423.1 pep:known chromosome:GRCm38:17:56070644:56074547:-1 gene:ENSMUSG00000019578.11 transcript:ENSMUST00000139371.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn6 description:UBX domain protein 6 [Source:MGI Symbol;Acc:MGI:1913780] MAAAAALARLEQKQPRARGPTSQDSIRNQVRKELQAEATSSNNPGAPGTNSVPEPKEEIS PHLAVPGVFFICPLTGVTLRRDQRDAHIKQAILSHFSTDPVAASIMKIHTFNRDRDRVKL GVDTIAKYLDNIHLHPEEEKYQKIKLQNKVFQVAA >ENSMUSP00000026858.5 pep:known chromosome:GRCm38:3:40800019:40816883:1 gene:ENSMUSG00000025758.10 transcript:ENSMUST00000026858.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk4 description:polo-like kinase 4 [Source:MGI Symbol;Acc:MGI:101783] MAACIGERIEDFKVGNLLGKGSFAGVYRAESIHTGLEVAIKMIDKKAMYKAGMVQRVQNE VKIHCQLKHPSVLELYNYFEDNNYVYLVLEMCHNGEMNRYLKNRMKPFSEREARHFMHQI ITGMLYLHSHGILHRDLTLSNILLTRNMNIKIADFGLATQLNMPHEKHYTLCGTPNYISP EIATRSAHGLESDIWSLGCMFYTLLIGRPPFDTDTVKNTLNKVVLADYEMPAFLSREAQD LIHQLLRRNPADRLSLSSVLDHPFMSRNPSPKSKDVGTVEDSMDSGHATLSTTITASSGT SLSGSLLDRRLLVGQPLPNKITVFQKNKNSSDFSSGDGSNFCTQWGNPEQEANSRGRGRV IEDAEERPHSRYLRRAHSSDRASPSNQSRAKTYSVERCHSVEMLSKPRRSLDENQHSSNH HCLGKTPFPFADQTPQMEMVQQWFGNLQMNAHLGETNEHHTVSPNRDFQDYPDLQDTLRN AWTDTRASKNADTSANVHAVKQLSAMKYMSAHHHKPEVMPQEPGLHPHSEQSKNRSMEST LGYQKPTLRSITSPLIAHRLKPIRQKTKKAVVSILDSEEVCVELLRECASEGYVKEVLQI SSDGTMITVYYPNDGRGFPLADRPPLPTDNISRYSFDNLPEKYWRKYQYASRFIQLVRSK TPKITYFTRYAKCILMENSPGADFEVWFYDGAKIHKTENLIHIIEKTGISYNLKNENEVT SLKEEVKVYMDHANEGHRICLSLESVISEEEKRSRGSSFFPIIVGRKPGNTSSPKALSAP PVDPSCCKGEQASASRLSVNSAAFPTQSPGLSPSTVTVEGLGHTATATGTGVSSSLPKSA QLLKSVFVKNVGWATQLTSGAVWVQFNDGSQLVVQAGVSSISYTSPDGQTTRYGENEKLP EYIKQKLQCLSSILLMFSNPTPNFQ >ENSMUSP00000145455.1 pep:known chromosome:GRCm38:3:40800042:40816751:1 gene:ENSMUSG00000025758.10 transcript:ENSMUST00000203895.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plk4 description:polo-like kinase 4 [Source:MGI Symbol;Acc:MGI:101783] MAACIGERIEDFKVGNLLGKGSFAGVYRAESIHTGLEVAIKMIDKKAMYKAGMVQRVQNE VKIHCQLKHPSVLELYNYFEDNNYVYLVLEMCHNGEMNRYLKNRMKPFSEREGTSCTRLS QECYIFILMAYCTGTSHSLTSYLRGI >ENSMUSP00000145277.1 pep:known chromosome:GRCm38:3:40800054:40816883:1 gene:ENSMUSG00000025758.10 transcript:ENSMUST00000203295.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk4 description:polo-like kinase 4 [Source:MGI Symbol;Acc:MGI:101783] MAACIGERIEDFKVGNLLGKGSFAGVYRAESIHTGLEVAIKMIDKKAMYKAGMVQRVQNE VKIHCQLKHPSVLELYNYFEDNNYVYLVLEMCHNGEMNRYLKNRMKPFSEREARHFMHQI ITGMLYLHSHGILHRDLTLSNILLTRNMNIKIADFGLATQLNMPHEKHYTLCGTPNYISP EIATRSAHGLESDIWSLGCMFYTLLIGRPPFDTDTVKNTLNKVVLADYEMPAFLSREAQD LIHQLLRRNPADRLSLSSVLDHPFMSRNPSPKSKDVGTVEDSMDSGHATLSTTITASSGT SLSGSLLDRRLLVGQPLPNKITVFQKNKNSSDFSSGDGSNFCTQWGNPEQEANSRGRGRV IEDAEERPHSRYLRRAHSSDRASPSNQSRAKTYSVERCHSVEMLSKPRRSLDENQHSSNH HCLGKTPFPFADQTPQMEMVQQWFGNLQMNAHLGETNEHHTVSPNRDFQDYPDLQDTLRN AWTDTRASKNADTSANVHAVKQLSAMKYMSAHHHKPEVMPQEPGLHPHSEQSKNRSMEST LGYQKPTLRSITSPLIAHRLKPIRQKTKKAVVSILDSEEITVYYPNDGRGFPLADRPPLP TDNISRYSFDNLPEKYWRKYQYASRFIQLVRSKTPKITYFTRYAKCILMENSPGADFEVW FYDGAKIHKTENLIHIIEKTGISYNLKNENEVTSLKEEVKVYMDHANEGHRICLSLESVI SEEEKRSRGSSFFPIIVGRKPGNTSSPKALSAPPVDPSCCKGEQASASRLSVNSAAFPTQ SPGLSPSTVTVEGLGHTATATGTGVSSSLPKSAQLLKSVFVKNVGWATQLTSGAVWVQFN DGSQLVVQAGVSSISYTSPDGQTTRYGENEKLPEYIKQKLQCLSSILLMFSNPTPNFQ >ENSMUSP00000126945.3 pep:known chromosome:GRCm38:3:40800254:40816417:1 gene:ENSMUSG00000025758.10 transcript:ENSMUST00000167556.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk4 description:polo-like kinase 4 [Source:MGI Symbol;Acc:MGI:101783] MAACIGERIEDFKVGNLLGKGSFAGVYRAESIHTGLEVAIKMIDKKAMYKAGMVQRVQNE VKIHCQLKHPSVLELYNYFEDNNYVYLVLEMCHNGEMNRYLKNRMKPFSEREARHFMHQI ITGMLYLHSHGILHRDLTLSNILLTRNMNIKIADFGLATQLNMPHEKHYTLCGTPNYISP EIATRSAHGLESDIWSLGCMFYTLLIGRPPFDTDTVKNTLNKVVLADYEMPAFLSREAQD LIHQLLRRNPADRLSLSSVLDHPFMSRNPSPKSKDVGTVEDSMDSGHATLSTTITASSGT SLSGSLLDRRLLVGQPLPNKITVFQKNKNSSDFSSGDGSNFCTQWGNPEQEANSRGRGRV IEDAEERPHSRYLRRAHSSDRASPSNQSRAKTYSVERCHSVEMLSKPRRSLDENQHSSNH HCLGKTPFPFADQTPQMEMVQQWFGNLQMNGETNEHHTVSPNRDFQDYPDLQDTLRNAWT DTRASKNADTSANVHAVKQLSAMKYMSAHHHKPEVMPQEPGLHPHSEQSKNRSMESTLGY QKPTLRSITSPLIAHRLKPIRQKTKKAVVSILDSEEVCVELLRECASEGYVKEVLQISSD GTMITVYYPNDGRGFPLADRPPLPTDNISRYSFDNLPEKYWRKYQYASRFIQLVRSKTPK ITYFTRYAKCILMENSPGADFEVWFYDGAKIHKTENLIHIIEKTGISYNLKNENEVTSLK EEVKVYMDHANEGHRICLSLESVISEEEKRSRGSSFFPIIVGRKPGNTSSPKALSAPPVD PSCCKGEQASASRLSVNSAAFPTQSPGLSPSTVTVEGLGHTATATGTGVSSSLPKSAQLL KSVFVKNVGWATQLTSGAVWVQFNDGSQLVVQAGVSSISYTSPDGQTTRYGENEKLPEYI KQKLQCLSSILLMFSNPTPNFQ >ENSMUSP00000144693.1 pep:known chromosome:GRCm38:3:40800781:40805013:1 gene:ENSMUSG00000025758.10 transcript:ENSMUST00000204473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk4 description:polo-like kinase 4 [Source:MGI Symbol;Acc:MGI:101783] MPSTPDTCIIEDFKVGNLLGKGSFAGVYRAESIHTGLEVAIKMIDKKAMYKAGMVQRVQN EVKIHCQLKHPSVLELYNYFEDNNYVYLVLEMCHNGEMNRYLKNRMKPFSEREAR >ENSMUSP00000145201.1 pep:known chromosome:GRCm38:3:40812738:40816882:1 gene:ENSMUSG00000025758.10 transcript:ENSMUST00000204032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk4 description:polo-like kinase 4 [Source:MGI Symbol;Acc:MGI:101783] GNTSSPKALSAPPVDPSCCKGEQASASRLSVNSAAFPTQSPGLSPSTVTVEGLGHTATAT GTGVSSSLPKSAQLLKSVFVKNVGWATQLTSGAVWVQFNDGSQLVVQAGVSSISYTSPDG QTTRYGENEKLPEYIKQKLQCLSSILLMFSNPTPNFQ >ENSMUSP00000070131.7 pep:known chromosome:GRCm38:6:71322788:71337494:1 gene:ENSMUSG00000053044.8 transcript:ENSMUST00000065248.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd8b1 description:CD8 antigen, beta chain 1 [Source:MGI Symbol;Acc:MGI:88347] MQPWLWLVFSMKLAALWSSSALIQTPSSLLVQTNHTAKMSCEVKSISKLTSIYWLRERQD PKDKYFEFLASWSSSKGVLYGESVDKKRNIILESSDSRRPFLSIMNVKPEDSDFYFCATV GSPKMVFGTGTKLTVVDVLPTTAPTKKTTLKMKKKKQCPFPHPETQKGLTCSLTTLSLLV VCILLLLAFLGVAVYFYCVRRRARIHFMKQFHK >ENSMUSP00000100739.1 pep:known chromosome:GRCm38:13:23561534:23562369:-1 gene:ENSMUSG00000069273.2 transcript:ENSMUST00000105107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h3e description:histone cluster 1, H3e [Source:MGI Symbol;Acc:MGI:2448326] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000138965.1 pep:known chromosome:GRCm38:13:96082158:96083231:1 gene:ENSMUSG00000099115.1 transcript:ENSMUST00000185178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17190 description:predicted gene 17190 [Source:MGI Symbol;Acc:MGI:4938017] MGHTYRLCDNERAPNKTFQRLCFCDPENSRVEEVDAALCAWPHKVDGHVVEPKRTVSRED SVKPGAHLTVKKIFVGGIKEDMEVYNLRDYFEKYGKIETIEVIEARQSGKKRGFSFVTFD DHDTVDNVVVQKDHTINGHNCEVKKALSKQEMQSAGSQRGRGGRSGNFMGRGGKLGDGGG NFGRRGGYCSGGGGSRGSYGSGDGRYNGLGGDGGNYGSGPGYSSRGDYGGGGPGYGNQGG RCGGGGRGYDGYSEGGNFGGGNYGGGGNYSGQQQSNYGPMKEGSFGGRSSGNPYGGGYGS GGGSGGYASRRFLNKTETATVLSRRESEVLSGKLQVTLSQSSQISLENCKNLPQKER >ENSMUSP00000120297.1 pep:known chromosome:GRCm38:15:10325380:10373749:1 gene:ENSMUSG00000094814.1 transcript:ENSMUST00000157020.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21973 description:predicted gene 21973 [Source:MGI Symbol;Acc:MGI:5439442] XGQEKSIEIPNDFTLKDTTVWIIVAVLSAVICLIMVWAVALKGYSMMTCIFPPVPGPKIK GFDTHLLE >ENSMUSP00000141137.1 pep:known chromosome:GRCm38:1:118176542:118202307:1 gene:ENSMUSG00000102030.1 transcript:ENSMUST00000186264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29106 description:predicted gene 29106 [Source:MGI Symbol;Acc:MGI:5579812] MASCQVPLTFMDVALEFSKEEWECLDSAQKALYRDVMLENYNSLVSVDREAQDTLSERTK SPNPKTQYRISTRVTFYKCIECGKSFTKLSSLKVHQRLCTGDKPYKCNECGKSFKHLSSV KIHQRLHTGYKPYKCNECGKSFTKSSNLKVHHRLHTGDKPYKCNECGKSFTQVSYLKVHQ RIHTGEKPYKCNECEKSFFQYSHLKIHHRLHTGDKPYKCNECGKSFTRNSVLKIHQRIHT GDKPYKCNECGKSFTKSSNLKVHHRLHTGDKPYKCNECGKSFTQVSYLKVHQRIHTGDKP YKCNECEKSFFQYSHLKIHHRLHTGDKPYKCNECGKSFTRNSVLKIHQRIHTGDKPYKCN ECEKSFFQYSHLKIHHRLHTGDKPYKCNECEKSFFQYSHVKVHLRLHTGDKPYKCNECGK SFTQYSDLKVHQRIHTGDKPYKCNECEKSFTRYSHLKIHHRLHTGDKPYKCNECGKSFTQ YSDLKVHHRLHTGDKPYKCNDCGKSFTKSSNLKVHHRIHTGDKPCKCNDCGKSYTKLSSL KVHQRLHTGDKPYKCNECGKSFKHLSSVKIHHRFHIGYKPYKCNECGKSFTTSSKLKVHH RLHTGDKPYKCNECGKSFKHLSSIKIHHRYHTGYKPYKCNECGKSFTNCSNLKVHHRLHT GDKPYKCNECGKSFTQDSYLKVHQRIHTGDKPYKCNE >ENSMUSP00000065786.4 pep:known chromosome:GRCm38:4:65380803:66404611:-1 gene:ENSMUSG00000028373.16 transcript:ENSMUST00000068214.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astn2 description:astrotactin 2 [Source:MGI Symbol;Acc:MGI:1889277] MAAAGARRSPGRGLGLRGRPRLGFHPGPPPPPPPPLLLLFLLLLPPPPLLAGATAAAASR EPDSPCRLKTVTVSTLPALRESDIGWSGARTGAAAGAGAGTGAGAGAAAAAASAASPGSA GSAGTAAESRLLLFVRNELPGRIAVQDDLDNTELPFFTLEMSGTAADISLVHWRQQWLEN GTLYFHVSMSSSGQLAQATAPTLQEPSEIVEEQMHILHISVMGGLIALLLLLLVFTVALY AQRRWQKRRRIPQKSASTEATHEIHYIPSVLLGPQARESFRSSRLQTHNSVIGVPIRETP ILDDYDYEEEEEPPRRANHVSREDEFGSQMTHALDSLGRPGEEKVEFEKKAAAEATQETV ESLMQKFKESFRANTPVEIGQLQPASRSSTSAGKRKRRNKSRGGISFGRTKGTSGSEADD ETQLTFYTEQYRSRRRSKGLLKSPVNKTALTLIAVSSCILAMVCGNQMSCPLTVKVTLHV PEHFIADGSSFVVSEGSYLDISDWLNPAKLSLYYQINATSPWVRDLCGQRTTDACEQLCD PDTGECSCHEGYAPDPVHRHLCVRSDWGQSEGPWPYTTLERGYDLVTGEQAPEKILRSTF SLGQGLWLPVSKSFVVPPVELSINPLASCKTDVLVTEDPADVREEAMLSTYFETINDLLS SFGPVRDCSRNNGGCTRNFKCVSDRQVDSSGCVCPEELKPMKDGSGCYDHSKGIDCSDGF NGGCEQLCLQQTLPLPYDTTSSTIFMFCGCVEEYKLAPDGKSCLMLSDVCEGPKCLKPDS KFNDTLFGEMLHGYNNRTQHVNQGQVFQMTFRENNFIKDFPQLADGLLVIPLPVEEQCRG VLSEPLPDLQLLTGDIRYDEAMGYPMVQQWRVRSNLYRVKLSTITLSAGFTNVLKILTKE SSRDELLSFIQHYGSHYIAEALYGSELTCIIHFPSKKVQQQLWLQYQKETTELGSKKELK SMPFITYLSGLLTAQMLSDDQLISGVEIRCEEKGRCPSTCHLCRRPGKEQLSPTPVLLEI NRVVPLYTLIQDNGTKEAFKNALMSSYWCSGKGDVIDDWCRCDLSAFDASGLPNCSPLPQ PVLRLSPTVEPSSTVVSLEWVDVQPAIGTKVSDYILQHKKVDEYTDTDLYTGEFLSFADD LLSGLGTSCVAAGRSHGEVPEVSIYSVIFKCLEPDGLYKFTLYAVDTRGRHSELSTVTLR TACPLVDDNKAEEIADKIYNLYNGYTSGKEQQTAYNTLMEVSASMLFRVQHHYNSHYEKF GDFVWRSEDELGPRKAHLILRRLERVSSHCSSLLRSAYIQSRVDTIPYLFCRSEEVRPAG MVWYSILKDTKITCEEKMVSMARNTYGETKGR >ENSMUSP00000081540.2 pep:known chromosome:GRCm38:4:65380803:66404483:-1 gene:ENSMUSG00000028373.16 transcript:ENSMUST00000084496.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astn2 description:astrotactin 2 [Source:MGI Symbol;Acc:MGI:1889277] MAAAGARRSPGRGLGLRGRPRLGFHPGPPPPPPPPLLLLFLLLLPPPPLLAGATAAAASR EPDSPCRLKTVTVSTLPALRESDIGWSGARTGAAAGAGAGTGAGAGAAAAAASAASPGSA GSAGTAAESRLLLFVRNELPGRIAVQDDLDNTELPFFTLEMSGTAADISLVHWRQQWLEN GTLYFHVSMSSSGQLAQATAPTLQEPSEIVEEQMHILHISVMGGLIALLLLLLVFTVALY AQRRWQKRRRIPQKSASTEATHEIHYIPSVLLGPQARESFRSSRLQTHNSVIGVPIRETP ILDDYDYEEEEEPPRRANHVSREDEFGSQMTHALDSLGRPGEEKVEFEKKGGISFGRTKG TSGSEADDETQLTFYTEQYRSRRRSKGLLKSPVNKTALTLIAVSSCILAMVCGNQMSCPL TVKVTLHVPEHFIADGSSFVVSEGSYLDISDWLNPAKLSLYYQINATSPWVRDLCGQRTT DACEQLCDPDTGECSCHEGYAPDPVHRHLCVRSDWGQSEGPWPYTTLERGYDLVTGEQAP EKILRSTFSLGQGLWLPVSKSFVVPPVELSINPLASCKTDVLVTEDPADVREEAMLSTYF ETINDLLSSFGPVRDCSRNNGGCTRNFKCVSDRQVDSSGCVCPEELKPMKDGSGCYDHSK GIDCSDGFNGGCEQLCLQQTLPLPYDTTSSTIFMFCGCVEEYKLAPDGKSCLMLSDVCEG PKCLKPDSKFNDTLFGEMLHGYNNRTQHVNQGQVFQMTFRENNFIKDFPQLADGLLVIPL PVEEQCRGVLSEPLPDLQLLTGDIRYDEAMGYPMVQQWRVRSNLYRVKLSTITLSAGFTN VLKILTKESSRDELLSFIQHYGSHYIAEALYGSELTCIIHFPSKKVQQQLWLQYQKETTE LGSKKELKSMPFITYLSGLLTAQMLSDDQLISGVEIRCEEKGRCPSTCHLCRRPGKEQLS PTPVLLEINRVVPLYTLIQDNGTKEAFKNALMSSYWCSGKGDVIDDWCRCDLSAFDASGL PNCSPLPQPVLRLSPTVEPSSTVVSLEWVDVQPAIGTKVSDYILQHKKVDEYTDTDLYTG EFLSFADDLLSGLGTSCVAAGRSHGEVPEVSIYSVIFKCLEPDGLYKFTLYAVDTRGRHS ELSTVTLRTACPLVDDNKAEEIADKIYNLYNGYTSGKEQQTAYNTLMEVSASMLFRVQHH YNSHYEKFGDFVWRSEDELGPRKAHLILRRLERVSSHCSSLLRSAYIQSRVDTIPYLFCR SEEVRPAGMVWYSILKDTKITCEEKMVSMARNTYGETKGR >ENSMUSP00000109757.1 pep:known chromosome:GRCm38:16:89787111:89857817:-1 gene:ENSMUSG00000002489.15 transcript:ENSMUST00000114122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiam1 description:T cell lymphoma invasion and metastasis 1 [Source:MGI Symbol;Acc:MGI:103306] MENRVQFYIPQPEEDIYELLYKEIEICPKVTQNIHIEKSDAAADNYGFLLSSVDEDGIRR LYVNSVKETGLASKKGLKAGDEILEINNRAAGTLNSSMLKDFLSQPSLGLLVRTYPEPEG GVELLENPPHRVDGPVDLGESPLAFLTSNPGHSLSSEQGSSAETAPEEGEGPDLESSDET DHSSKSTEQVAAFCRSLHEMSPSDSSPSPQDATSPQLATTRQLSDADKLRKVICELLETE RTYVKDLNCLMERYLKPLQKETFLTQDELDVLFGNLTEMVEFQVEFLKTLEDGVRLVPDL EKLEKVDQFKKVLFSLGGSFLYYADRFKLYSAFCASHTKVPKVLVKAKTDTAFKAFLDAQ NPRQQHSSTLESYLIKPIQRVLKYPLLLRELFALTDAESEEHYHLDVAIKTMNKVASHIN EMQKIHEEFGAVFDQLIAEQTGEKKEVADLSMGDLLLHTSVIWLNPPASLGKWKKEPELA AFVFKTAVVLVYKDGSKQKKKLVGSHRLSIYEEWDPFRFRHMIPTEALQVRALPSADAEA NAVCEIVHVKSESEGRPERVFHLCCSSPESRKDFLKSVHSILRDKHRRQLLKTESLPSAQ QYVPFGGKRLCALKGARPAMSRAVSAPSKSLGRRRRRLARNRFTIDSDAISASSPEKEPQ QPAGGGDTDRWVEEQFDLAQYEEQDDIKETDILSDDDEFCESLKGASVDRDLQEQLQAAS ISQRARGRRTLDSHASRMTQLKKQAALSGINGGLESASEEVIWVRREDFAPSRKLNTEI >ENSMUSP00000109759.1 pep:known chromosome:GRCm38:16:89787111:89974699:-1 gene:ENSMUSG00000002489.15 transcript:ENSMUST00000114124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiam1 description:T cell lymphoma invasion and metastasis 1 [Source:MGI Symbol;Acc:MGI:103306] MGNAESQNVDHEFYGEKHASLGRKHTSRSLRLSHKTRRTRHASSGKAIHRNSEVSTRSSS TPSIPQSLAENGLEPFSQEGALDDFGDPIWVDRVDMGLRPVSYTDSSVTPSVDGSIVLTA ASVQSMPDSEESRLYGDDATYLAEGGRRQCPYTSNGPTFMETASFKKKRSKSADIWREDS LEFSLSDLSQEHLTSNEEILGSAEEKDCEEARGMETEASPRQLSTCQRANSLGDLYAQKN SGVKANGGPRNRFSSYCRNLVSDIPDLAKHKMPPAAAEETPPYSNYNTLPCRKSHCLSEG ATNPQISLSKSMQGRRAKTTQDVNTGEGSEFADSGIEGATTDTDLLSRRSNATNSSYSPP TGRAFVGSDSGSSSTGDAARQGVYENFRRELEMSTTNSESLEEAGSAHSDEQSSGTLSSP GQSDILLTAAQGTVRKAGALAVKNFLVHKKNKKVESATRRKWKHYWVSLKGCTLFFYETD GRSGIDHNSVPKHAVWVENSIVQAVPEHPKKDFVFCLSNSLGDAFLFQTTSQTELENWIT AIHSACAAAVARHHHKEDTLRLLKSEIKKLEQKIDMDEKMKKMGEMQLSSVTDSKKKKTI LDQIFVWEQNLEQFQMDLFRFRCYLASLQGGELPNPKRLLAFASRPTKVAMGRLGIFSVS SFHALVAARTGEIGVRRRTQAMSRSASKRRSRFSSLWGLDTTSKKKQGRPTINQVFGEGT DAVKRSLEGIFDDTVPDGKREKEVVLPSVHQHNPDCDIWVHEYFTPSWFCLPNNQPALTV VRPGDTARDTLELICKTHQLDHSAHYLRLKFLMENRVQFYIPQPEEDIYELLYKEIEICP KVTQNIHIEKSDAAADNYGFLLSSVDEDGIRRLYVNSVKETGLASKKGLKAGDEILEINN RAAGTLNSSMLKDFLSQPSLGLLVRTYPEPEGGVELLENPPHRVDGPVDLGESPLAFLTS NPGHSLSSEQGSSAETAPEEGEGPDLESSDETDHSSKSTEQVAAFCRSLHEMSPSDSSPS PQDATSPQLATTRQLSDADKLRKVICELLETERTYVKDLNCLMERYLKPLQKETFLTQDE LDVLFGNLTEMVEFQVEFLKTLEDGVRLVPDLEKLEKVDQFKKVLFSLGGSFLYYADRFK LYSAFCASHTKVPKVLVKAKTDTAFKAFLDAQNPRQQHSSTLESYLIKPIQRVLKYPLLL RELFALTDAESEEHYHLDVAIKTMNKVASHINEMQKIHEEFGAVFDQLIAEQTGEKKEVA DLSMGDLLLHTSVIWLNPPASLGKWKKEPELAAFVFKTAVVLVYKDGSKQKKKLVGSHRL SIYEEWDPFRFRHMIPTEALQVRALPSADAEANAVCEIVHVKSESEGRPERVFHLCCSSP ESRKDFLKSVHSILRDKHRRQLLKTESLPSAQQYVPFGGKRLCALKGARPAMSRAVSAPS KSLGRRRRRLARNRFTIDSDAISASSPEKEPQQPAGGGDTDRWVEEQFDLAQYEEQDDIK ETDILSDDDEFCESLKGASVDRDLQEQLQAASISQRARGRRTLDSHASRMTQLKKQAALS GINGGLESASEEVIWVRREDFAPSRKLNTEI >ENSMUSP00000136283.1 pep:known chromosome:GRCm38:16:89787116:89798041:-1 gene:ENSMUSG00000002489.15 transcript:ENSMUST00000144691.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiam1 description:T cell lymphoma invasion and metastasis 1 [Source:MGI Symbol;Acc:MGI:103306] PSADAEANAVCEIVHVKSESEGRPERVFHLCCSSPESRKDFLKSVHSILRDKHRRQLLKT ESLPSAQQYVPFGGKRLCALKGARPAMSRAGL >ENSMUSP00000126020.2 pep:known chromosome:GRCm38:16:89787730:89818352:-1 gene:ENSMUSG00000002489.15 transcript:ENSMUST00000164263.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiam1 description:T cell lymphoma invasion and metastasis 1 [Source:MGI Symbol;Acc:MGI:103306] MSSLWKIKRKPRVESDRAGDSLYRTLHLSTEQVAAFCRSLHEMSPSDSSPSPQDATSPQL ATTRQLSDADKLRKVICELLETERTYVKDLNCLMERYLKPLQKETFLTQDELDVLFGNLT EMVEFQVEFLKTLEDGVRLVPDLEKLEKVDQFKKVLFSLGGSFLYYADRFKLYSAFCASH TKVPKVLVKAKTDTAFKAFLDAQNPRQQHSSTLESYLIKPIQRVLKYPLLLRELFALTDA ESEEHYHLDVAIKTMNKVASHINEMQKIHEEFGAVFDQLIAEQTGEKKEVADLSMGDLLL HTSVIWLNPPASLGKWKKEPELAAFVFKTAVVLVYKDGSKQKKKLVGSHRLSIYEEWDPF RFRHMIPTEALQVRALPSADAEANAVCEIVHVKSESEGRPERVFHLCCSSPESRKDFLKS VHSILRDKHRRQLLKTESLPSAQQYVPFGGKRLCALKGARPAMSRAVSAPSKSLGRRRRR LARNRFTIDSDAISASSPEKEPQQPAGGGDTDRWVEEQFDLAQYEEQDDIKETDILSDDD EFCESLKGASVDRDLQEQLQAASISQRARGRRTLDSHASRMTQLKKQAALSGINGGLESA SEEVIWVRREDFAPSRKLNTEI >ENSMUSP00000137637.1 pep:known chromosome:GRCm38:16:89791685:89799990:-1 gene:ENSMUSG00000002489.15 transcript:ENSMUST00000134021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiam1 description:T cell lymphoma invasion and metastasis 1 [Source:MGI Symbol;Acc:MGI:103306] XKKEPELAAFVFKTAVVLVYKDGSKQKKKLVGSHRLSIYEEWDPFRFRHMIPTEALQVRA LPSADAEANAVCEIVHVKSESEGRPERVFHLCCSSPESRKDFLKSVHSILRDKHRRQLLK TESLPSAQQYVPFGGKRLCALKGARPAMSRAGTVGIQTYKIPVTPAPL >ENSMUSP00000132137.1 pep:known chromosome:GRCm38:16:89787111:89974699:-1 gene:ENSMUSG00000002489.15 transcript:ENSMUST00000163370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiam1 description:T cell lymphoma invasion and metastasis 1 [Source:MGI Symbol;Acc:MGI:103306] MGNAESQNVDHEFYGEKHASLGRKHTSRSLRLSHKTRRTRHASSGKAIHRNSEVSTRSSS TPSIPQSLAENGLEPFSQEGALDDFGDPIWVDRVDMGLRPVSYTDSSVTPSVDGSIVLTA ASVQSMPDSEESRLYGDDATYLAEGGRRQCPYTSNGPTFMETASFKKKRSKSADIWREDS LEFSLSDLSQEHLTSNEEILGSAEEKDCEEARGMETEASPRQLSTCQRANSLGDLYAQKN SGVKANGGPRNRFSSYCRNLVSDIPDLAKHKMPPAAAEETPPYSNYNTLPCRKSHCLSEG ATNPQISLSKSMQGRRAKTTQDVNTGEGSEFADSGIEGATTDTDLLSRRSNATNSSYSPP TGRAFVGSDSGSSSTGDAARQGVYENFRRELEMSTTNSESLEEAGSAHSDEQSSGTLSSP GQSDILLTAAQGTVRKAGALAVKNFLVHKKNKKVESATRRKWKHYWVSLKGCTLFFYETD GRSGIDHNSVPKHAVWVENSIVQAVPEHPKKDFVFCLSNSLGDAFLFQTTSQTELENWIT AIHSACAAAVARHHHKEDTLRLLKSEIKKLEQKIDMDEKMKKMGEMQLSSVTDSKKKKTI LDQIFVWEQNLEQFQMDLFRFRCYLASLQGGELPNPKRLLAFASRPTKVAMGRLGIFSVS SFHALVAARTGEIGVRRRTQAMSRSASKRRSRFSSLWGLDTTSKKKQGRPTINQVFGEGT DAVKRSLEGIFDDTVPDGKREKEVVLPSVHQHNPDCDIWVHEYFTPSWFCLPNNQPALTV VRPGDTARDTLELICKTHQLDHSAHYLRLKFLMENRVQFYIPQPEEDIYELLYKEIEICP KVTQNIHIEKSDAAADNYGFLLSSVDEDGIRRLYVNSVKETGLASKKGLKAGDEILEINN RAAGTLNSSMLKDFLSQPSLGLLVRTYPEPEGGVELLENPPHRVDGPVDLGESPLAFLTS NPGHSLSSEQGSSAETAPEEGEGPDLESSDETDHSSKSTEQVAAFCRSLHEMSPSDSSPS PQDATSPQLATTRQLSDADKLRKVICELLETERTYVKDLNCLMERYLKPLQKETFLTQDE LDVLFGNLTEMVEFQVEFLKTLEDGVRLVPDLEKLEKVDQFKKVLFSLGGSFLYYADRFK LYSAFCASHTKVPKVLVKAKTDTAFKAFLDAQNPRQQHSSTLESYLIKPIQRVLKYPLLL RELFALTDAESEEHYHLDVAIKTMNKVASHINEMQKIHEEFGAVFDQLIAEQTGEKKEVA DLSMGDLLLHTSVIWLNPPASLGKWKKEPELAAFVFKTAVVLVYKDGSKQKKKLVGSHRL SIYEEWDPFRFRHMIPTEALQVRALPSADAEANAVCEIVHVKSESEGRPERVFHLCCSSP ESRKDFLKSVHSILRDKHRRQLLKTESLPSAQQYVPFGGKRLCALKGARPAMSRAVSAPS KSLGRRRRRLARNRFTIDSDAISASSPEKEPQQPAGGGDTDRWVEEQFDLAQYEEQDDIK ETDILSDDDEFCESLKGASVDRDLQEQLQAASISQRARGRRTLDSHASRMTQLKKQAALS GINGGLESASEEVIWVRREDFAPSRKLNTEI >ENSMUSP00000002588.3 pep:known chromosome:GRCm38:16:89787111:89960827:-1 gene:ENSMUSG00000002489.15 transcript:ENSMUST00000002588.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiam1 description:T cell lymphoma invasion and metastasis 1 [Source:MGI Symbol;Acc:MGI:103306] MGNAESQNVDHEFYGEKHASLGRKHTSRSLRLSHKTRRTRHASSGKAIHRNSEVSTRSSS TPSIPQSLAENGLEPFSQEGALDDFGDPIWVDRVDMGLRPVSYTDSSVTPSVDGSIVLTA ASVQSMPDSEESRLYGDDATYLAEGGRRQCPYTSNGPTFMETASFKKKRSKSADIWREDS LEFSLSDLSQEHLTSNEEILGSAEEKDCEEARGMETEASPRQLSTCQRANSLGDLYAQKN SGVKANGGPRNRFSSYCRNLVSDIPDLAKHKMPPAAAEETPPYSNYNTLPCRKSHCLSEG ATNPQISLSKSMQGRRAKTTQDVNTGEGSEFADSGIEGATTDTDLLSRRSNATNSSYSPP TGRAFVGSDSGSSSTGDAARQGVYENFRRELEMSTTNSESLEEAGSAHSDEQSSGTLSSP GQSDILLTAAQGTVRKAGALAVKNFLVHKKNKKVESATRRKWKHYWVSLKGCTLFFYETD GRSGIDHNSVPKHAVWVENSIVQAVPEHPKKDFVFCLSNSLGDAFLFQTTSQTELENWIT AIHSACAAAVARHHHKEDTLRLLKSEIKKLEQKIDMDEKMKKMGEMQLSSVTDSKKKKTI LDQIFVWEQNLEQFQMDLFRFRCYLASLQGGELPNPKRLLAFASRPTKVAMGRLGIFSVS SFHALVAARTGEIGVRRRTQAMSRSASKRRSRFSSLWGLDTTSKKKQGRPTINQVFGEGT DAVKRSLEGIFDDTVPDGKREKEVVLPSVHQHNPDCDIWVHEYFTPSWFCLPNNQPALTV VRPGDTARDTLELICKTHQLDHSAHYLRLKFLMENRVQFYIPQPEEDIYELLYKEIEICP KVTQNIHIEKSDAAADNYGFLLSSVDEDGIRRLYVNSVKETGLASKKGLKAGDEILEINN RAAGTLNSSMLKDFLSQPSLGLLVRTYPEPEGGVELLENPPHRVDGPVDLGESPLAFLTS NPGHSLSSEQGSSAETAPEEGEGPDLESSDETDHSSKSTEQVAAFCRSLHEMSPSDSSPS PQDATSPQLATTRQLSDADKLRKVICELLETERTYVKDLNCLMERYLKPLQKETFLTQDE LDVLFGNLTEMVEFQVEFLKTLEDGVRLVPDLEKLEKVDQFKKVLFSLGGSFLYYADRFK LYSAFCASHTKVPKVLVKAKTDTAFKAFLDAQNPRQQHSSTLESYLIKPIQRVLKYPLLL RELFALTDAESEEHYHLDVAIKTMNKVASHINEMQKIHEEFGAVFDQLIAEQTGEKKEVA DLSMGDLLLHTSVIWLNPPASLGKWKKEPELAAFVFKTAVVLVYKDGSKQKKKLVGSHRL SIYEEWDPFRFRHMIPTEALQVRALPSADAEANAVCEIVHVKSESEGRPERVFHLCCSSP ESRKDFLKSVHSILRDKHRRQLLKTESLPSAQQYVPFGGKRLCALKGARPAMSRAVSAPS KSLGRRRRRLARNRFTIDSDAISASSPEKEPQQPAGGGDTDRWVEEQFDLAQYEEQDDIK ETDILSDDDEFCESLKGASVDRDLQEQLQAASISQRARGRRTLDSHASRMTQLKKQAALS GINGGLESASEEVIWVRREDFAPSRKLNTEI >ENSMUSP00000114467.1 pep:known chromosome:GRCm38:8:119437118:119446256:1 gene:ENSMUSG00000074063.9 transcript:ENSMUST00000152420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osgin1 description:oxidative stress induced growth inhibitor 1 [Source:MGI Symbol;Acc:MGI:1919089] MTSWRHDSLGASSSEPLPVVIIGNGPSGICLSYLLSGHIPYVKPGAVHPHPLLQRKLAEA PGVSILDQDLEYLSEGLEGRSQSPVALLFDALLRPDTDFGGSIDSVLSWKRQKDRAVPHL VLGRNLPGGAWHSIEGSMVTLSQGQWMSLPDLQVKDWMRKKCRGLRNSRATAGDIAHYYR DYVIKKGLSHNFVSGAVVTAVEWAKSEHGSPEVQASSPLFQVNGYLTTKDHGHQPFSLRA RNVVLATGTFDSPAMLGIPGETLPFVHHDLSALEAALRAGTVNPTSDPVLIVGAGLSAAD AVLFARHYNIQVIHAFRRSVHDPGLVFNQLPKMLYPEYHKVQQMMRDQSILSPSPYEGYR SLPEHQPLLFKEDHQAVFQDPQGGQQLFGVSMVLVLIGSHPDLSYLPRAGADLVIDPDQP LSPKRNPIDVDPFTHESTHQEGLYALGPLAGDNFVRFVQGGALAAASSLLKKETRKPP >ENSMUSP00000095968.3 pep:known chromosome:GRCm38:8:119437162:119446256:1 gene:ENSMUSG00000074063.9 transcript:ENSMUST00000098365.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osgin1 description:oxidative stress induced growth inhibitor 1 [Source:MGI Symbol;Acc:MGI:1919089] MTSWRHDSLGASSSEPLPVVIIGNGPSGICLSYLLSGHIPYVKPGAVHPHPLLQRKLAEA PGVSILDQDLEYLSEGLEGRSQSPVALLFDALLRPDTDFGGSIDSVLSWKRQKDRAVPHL VLGRNLPGGAWHSIEGSMVTLSQGQWMSLPDLQVKDWMRKKCRSPQQQSHSR >ENSMUSP00000120477.1 pep:known chromosome:GRCm38:8:119434124:119443077:1 gene:ENSMUSG00000074063.9 transcript:ENSMUST00000131448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osgin1 description:oxidative stress induced growth inhibitor 1 [Source:MGI Symbol;Acc:MGI:1919089] MTSWRHDSLGASSSEPLPVVIIGNGPSGICLSYLLSGHIPYVKPGAVHPHPLLQRKLAEA PGVSILDQDLEYLSEGLEGRSQSPVALLFDALLRPDTDFGGSIDSVLSWKRQKDRAVPHL VL >ENSMUSP00000141236.1 pep:known chromosome:GRCm38:3:73047265:73056943:-1 gene:ENSMUSG00000048304.8 transcript:ENSMUST00000192477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slitrk3 description:SLIT and NTRK-like family, member 3 [Source:MGI Symbol;Acc:MGI:2679447] MMKPSIAEMLHRGRMLWIILLSTIALGWTTPIPLIEDSEEIDEPCFDPCYCEVKESLFHI HCDSKGFTNISQITEFWSRPFKLYLQRNSMRRLYTNSFLHLNNAVSINLGNNALQDIQTG AFNGLKILKRLYLHENKLDVFRNDTFLGLESLEYLQADYNVIKRIESGAFRNLSKLRVLI LNDNLIPVLPTNLFKAVSLTHLDLRGNRLKVLFYRGMLDHIGRSLMELQLEENPWNCTCE IVQLKSWLERIPYTALVGDITCETPFHFHGKDLREIKKTELCPLLSDSEVEASLGIPHLS SSKENAWPTKPSSMLSSVHFTASSVEYKSSNKQPKPTKQPRTPRPPSTSQALYPGPNQPP IAPYQTRPPIPIICPTGCTCNLHINDLGLTVNCKERGFNNISELLPRPLNAKKLYLSSNL IQKIYRSDFWNFSSLDLLHLGNNRISYVQDGAFINLPNLKSLFLNGNDIEKLTPGMFRGL QSLHYLYFEFNVIREIQPAAFSLMPNLKLLFLNNNLLRTLPTDAFAGTSLARLNLRKNYF LYLPVAGVLEHLNAIVQIDLNENPWDCTCDLVPFKQWIETISSVSVVGDVLCRTPENLTH RDVRTIELEVLCPEMLHIAQPGPSPPQPGDYHPNGGPTSASPYEFSPPGGPVPLSVLILS LLVLFFSAVFVAAGLFAYVLRRRRKKLPFRSKRQEGVDLTGIQMQCHRLFEDSGGNSGGS GGGGRPTLSSPEKAPPVGHVYEYIPHPVTQMCNNPIYKPREEEEVAASAAQDTGATDRGG PGTQPTGMAEVLLGSEQFAETPKENHSNYRTLLEKEKEWALAVSNSQLNTIVTVNHHHPH PHHSAVGGVSGVGGGTGGDLAGFRHHEKNGGVVLFPPGGGCGGGSLLLDRERPQPAPCTV GFVDCLYGTVPKLKELHVHPPGMQYPDLQQDARLKETLLFSAGKGFTDHQTPKSDYLDLR AKLQTKPDYLEVLEKTAYRF >ENSMUSP00000088561.4 pep:known chromosome:GRCm38:3:73048125:73057803:-1 gene:ENSMUSG00000048304.8 transcript:ENSMUST00000059407.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slitrk3 description:SLIT and NTRK-like family, member 3 [Source:MGI Symbol;Acc:MGI:2679447] MMKPSIAEMLHRGRMLWIILLSTIALGWTTPIPLIEDSEEIDEPCFDPCYCEVKESLFHI HCDSKGFTNISQITEFWSRPFKLYLQRNSMRRLYTNSFLHLNNAVSINLGNNALQDIQTG AFNGLKILKRLYLHENKLDVFRNDTFLGLESLEYLQADYNVIKRIESGAFRNLSKLRVLI LNDNLIPVLPTNLFKAVSLTHLDLRGNRLKVLFYRGMLDHIGRSLMELQLEENPWNCTCE IVQLKSWLERIPYTALVGDITCETPFHFHGKDLREIKKTELCPLLSDSEVEASLGIPHLS SSKENAWPTKPSSMLSSVHFTASSVEYKSSNKQPKPTKQPRTPRPPSTSQALYPGPNQPP IAPYQTRPPIPIICPTGCTCNLHINDLGLTVNCKERGFNNISELLPRPLNAKKLYLSSNL IQKIYRSDFWNFSSLDLLHLGNNRISYVQDGAFINLPNLKSLFLNGNDIEKLTPGMFRGL QSLHYLYFEFNVIREIQPAAFSLMPNLKLLFLNNNLLRTLPTDAFAGTSLARLNLRKNYF LYLPVAGVLEHLNAIVQIDLNENPWDCTCDLVPFKQWIETISSVSVVGDVLCRTPENLTH RDVRTIELEVLCPEMLHIAQPGPSPPQPGDYHPNGGPTSASPYEFSPPGGPVPLSVLILS LLVLFFSAVFVAAGLFAYVLRRRRKKLPFRSKRQEGVDLTGIQMQCHRLFEDSGGNSGGS GGGGRPTLSSPEKAPPVGHVYEYIPHPVTQMCNNPIYKPREEEEVAASAAQDTGATDRGG PGTQPTGMAEVLLGSEQFAETPKENHSNYRTLLEKEKEWALAVSNSQLNTIVTVNHHHPH PHHSAVGGVSGVGGGTGGDLAGFRHHEKNGGVVLFPPGGGCGGGSLLLDRERPQPAPCTV GFVDCLYGTVPKLKELHVHPPGMQYPDLQQDARLKETLLFSAGKGFTDHQTPKSDYLDLR AKLQTKPDYLEVLEKTAYRF >ENSMUSP00000121935.1 pep:known chromosome:GRCm38:15:10177646:10348556:1 gene:ENSMUSG00000005268.20 transcript:ENSMUST00000137867.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prlr description:prolactin receptor [Source:MGI Symbol;Acc:MGI:97763] MSSALAYMLLVLSISLLNGQSPPGKPEIHKCRSPDKETFTCWWNPGSDGGLPTNYSLTYS KEGEKNTYECPDYKTSGPNSCFFSKQYTSIWKIYIITVNATNEMGSSTSDPLYVDVTYIV EPEPPRNLTLEVKQLKDKKTYLWVKWLPPTITDVKTGWFTMEYEIRLKSEEADEWEIHFT GHQTQFKVFDLYPGQKYLVQTRCKPDHGYWSRWGQEKSIEIPNDFTLKDTTVWIIVAVLS AVICLIMVWAVALKGYSMMTCIFPPVPGPKIKGFDTHLLEVHNKEQLENYVY >ENSMUSP00000122219.1 pep:known chromosome:GRCm38:15:10177655:10337009:1 gene:ENSMUSG00000005268.20 transcript:ENSMUST00000124470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prlr description:prolactin receptor [Source:MGI Symbol;Acc:MGI:97763] MSSALAYMLLVLSISLLNGQSPPGKPEIHKCRSPDKETFTCWWNPGSDGGLPTNYSLTYS KEGEKNTYECPDYKTSGPNSCFFSKQYTSIWKIYIITVNATNEMGSSTSDPLYVDVTYIV EPEPPRNLTLEVKQLKDKKTYLWVKWLPPTITDVKTGWFTMEYEIRLKSEEADEWEIHFT GHQTQFKVFDLYPGQKYLVQTRCKPDHGYWSRWGQEKSIEIPNDFTLKDTTVWIIVAVLS AVICLIMVWAVALKGYSMMTCIFPPVPGPKIKGFDTHLLEKGKSEELLSALGCQDFPPTS DCEDLLVEFLEVDDNEDERLMPSHSKEYPGQGVKPTHLDPDSDSGHGSYDSHSLLSEKCE EPQAYPPAFHIPEITEKPENPEANIPPTPNPQNNTPNCHTDTSKSTTWPLPPGQHTRRSP YHSIADVCKLAGSPGDTLDSFLDKAEENVLKLSEDAGEEEVAVQEGAKSFPSDKQNTSWP PLQEKGPIVYAKPPDYVEIHKVNKDGVLSLLPKQRENHQTENPGVPETSKEYAKVSGVTD NNILVLVPDSRAQNTALLEESAKKVPPSLEQNQSEKDLASFTATSSNCRLQLGRLDYLDP TCFMHSFH >ENSMUSP00000117084.1 pep:known chromosome:GRCm38:15:10215955:10319344:1 gene:ENSMUSG00000005268.20 transcript:ENSMUST00000130720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prlr description:prolactin receptor [Source:MGI Symbol;Acc:MGI:97763] MSSALAYMLLVLSISLLNGQSPPGKPEIHKCRSPDKETFTCWWNPGSDGGLPTNYSLTYS KEGEKNTYECPDYKTSGPNSCFFSKQYTSIWKIYIITVNATNEMGSSTSDPLYVDVTYI >ENSMUSP00000122209.1 pep:known chromosome:GRCm38:15:10223986:10341986:1 gene:ENSMUSG00000005268.20 transcript:ENSMUST00000128450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prlr description:prolactin receptor [Source:MGI Symbol;Acc:MGI:97763] MSSALAYMLLVLSISLLNGQSPPGKPEIHKCRSPDKETFTCWWNPGSDGGLPTNYSLTYS KEGEKNTYECPDYKTSGPNSCFFSKQYTSIWKIYIITVNATNEMGSSTSDPLYVDVTYIV EPEPPRNLTLEVKQLKDKKTYLWVKWLPPTITDVKTGWFTMEYEIRLKSEEADEWEIHFT GHQTQFKVFDLYPGQKYLVQTRCKPDHGYWSRWGQEKSIEIPNDFTLKDTTVWIIVAVLS AVICLIMVWAVALKGYSMMTCIFPPVPGPKIKGFDTHLLEVHNKEQLENYVY >ENSMUSP00000118355.1 pep:known chromosome:GRCm38:15:10223986:10345782:1 gene:ENSMUSG00000005268.20 transcript:ENSMUST00000148257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prlr description:prolactin receptor [Source:MGI Symbol;Acc:MGI:97763] MSSALAYMLLVLSISLLNGQSPPGKPEIHKCRSPDKETFTCWWNPGSDGGLPTNYSLTYS KEGEKNTYECPDYKTSGPNSCFFSKQYTSIWKIYIITVNATNEMGSSTSDPLYVDVTYIV EPEPPRNLTLEVKQLKDKKTYLWVKWLPPTITDVKTGWFTMEYEIRLKSEEADEWEIHFT GHQTQFKVFDLYPGQKYLVQTRCKPDHGYWSRWGQEKSIEIPNDFTLKDTTVWIIVAVLS AVICLIMVWAVALKGYSMMTCIFPPVPGPKIKGFDTHLLELWCSILQLTSLVKIPTTEFL CDL >ENSMUSP00000121280.1 pep:known chromosome:GRCm38:15:10223986:10349180:1 gene:ENSMUSG00000005268.20 transcript:ENSMUST00000128921.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prlr description:prolactin receptor [Source:MGI Symbol;Acc:MGI:97763] MSSALAYMLLVLSISLLNGQSPPGKPEIHKCRSPDKETFTCWWNPGSDGGLPTNYSLTYS KEGEKNTYECPDYKTSGPNSCFFSKQYTSIWKIYIITVNATNEMGSSTSDPLYVDVTYIV EPEPPRNLTLEVKQLKDKKTYLWVKWLPPTITDVKTGWFTMEYEIRLKSEEADEWEIHFT GHQTQFKVFDLYPGQKYLVQTRCKPDHGYWSRWGQEKSIEIPNDFTLKDTTVWIIVAVLS AVICLIMVWAVALKGYSMMTCIFPPVPGPKIKGFDTHLLETGSPSKYKVDPRLALPGRFQ KLDNEGELDY >ENSMUSP00000120417.1 pep:known chromosome:GRCm38:15:10249560:10322492:1 gene:ENSMUSG00000005268.20 transcript:ENSMUST00000134410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prlr description:prolactin receptor [Source:MGI Symbol;Acc:MGI:97763] MSSALAYMLLVLSISLLNGQSPPGKPEIHKCRSPDKETFTCWWNPGSDGGLPTNYSLTYS KEGEKNTYECPDYKTSGPNSCFFSKQYTSIWKIYIITVNATNEMGSSTSDPLYVDVTYIV EPEPPRNLTLEVKQLKDKKTYLWVKWLPPTITDVK >ENSMUSP00000116211.1 pep:known chromosome:GRCm38:15:10314102:10322474:1 gene:ENSMUSG00000005268.20 transcript:ENSMUST00000127467.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prlr description:prolactin receptor [Source:MGI Symbol;Acc:MGI:97763] MCLSGCHLTNVTWCFLFQGASDLLPVARRRASLKQNMSSALAYMLLVLSISLLNGQSPPG KPEIHKCRSPDKETFTCWWNPGSDGGLPTNYSLTYSKEGEKNTYECPDYKTSGPNSCFFS KQYTSIWKIYIITVNATNEMGSSTSDPLYVDVTYIVEPEPPRNLTLEVKQLKDKKTYLWV KWLPP >ENSMUSP00000100034.3 pep:known chromosome:GRCm38:13:23570662:23571121:-1 gene:ENSMUSG00000069272.5 transcript:ENSMUST00000102969.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ae description:histone cluster 1, H2ae [Source:MGI Symbol;Acc:MGI:2448290] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000108012.2 pep:known chromosome:GRCm38:1:131797381:131821461:1 gene:ENSMUSG00000042251.12 transcript:ENSMUST00000112393.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pm20d1 description:peptidase M20 domain containing 1 [Source:MGI Symbol;Acc:MGI:2442939] MAELLASLPAWAAVLLLFFATVSGSTGPRSRENRGASRIPSQFSEEERVAIKEALKGAIQ IPTVSFSHEESNTTALAEFGEYIRKAFPTVFHSSLVQHEVVAKYSHLFTIQGSDPSLQPY MLMAHIDVVPAPEEGWEVPPFSGLERNGFIYGRGALDNKNSVMAILHALELLLIRNYSPK RSFFIALGHDEEVSGEKGAQKISALLQARGVQLAFLVDEGSFILEGFIPNLEKPVAMISV TEKGALDLMLQVNMTPGHSSAPPKETSIGILSAAVSRLEQTPMPNMFGGGPLKKTMKLLA NEFSFPINIVLRNLWLFHPIVSRIMERNPITNALVRTTTALTMFNAGIKVNVIPPLAQAT INCRIHPSQTVHEVLELVKNTVADDRVQLHVLRSFEPLPISPSDDQAMGYQLLQETIRSV FPEVDIVVPGICIANTDTRHYANITNGMYRFNPLPLNPQDFSGVHGINEKVSVQNYQNQV KFIFEFIQNADTYKEPVPHLHEL >ENSMUSP00000046079.5 pep:known chromosome:GRCm38:1:131797398:131821473:1 gene:ENSMUSG00000042251.12 transcript:ENSMUST00000048660.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pm20d1 description:peptidase M20 domain containing 1 [Source:MGI Symbol;Acc:MGI:2442939] MAELLASLPAWAAVLLLFFATVSGSTGPRSRENRGASRIPSQFSEEERVAIKEALKGAIQ IPTVSFSHEESNTTALAEFGEYIRKAFPTVFHSSLVQHEVVAKYSHLFTIQGSDPSLQPY MLMAHIDVVPAPEEGWEVPPFSGLERNGFIYGRGALDNKNSVMAILHALELLLIRNYSPK RSFFIALGHDEEVSGEKGAQKISALLQARGVQLAFLVDEGSFILEGFIPNLEKPVAMISV TEKGALDLMLQVNMTPGHSSAPPKETSIGILSAAVSRLEQTPMPNMFGGGPLKKTMKLLA NEFSFPINIVLRNLWLFHPIVSRIMERNPITNALVRTTTALTMFNAGIKVNVIPPLAQAT INCRIHPSQTVHEVLELVKNTVADDRVQLHVLRSFEPLPISPSDDQAMGYQLLQETIRSV FPEVDIVVPGICIANTDTRHYANITNGMYRFNPLPLNPQDFSGVHGINEKVSVQNYQNQV KFIFEFIQNADTYKEPVPHLHEL >ENSMUSP00000116936.1 pep:known chromosome:GRCm38:1:131812130:131816376:1 gene:ENSMUSG00000042251.12 transcript:ENSMUST00000152989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pm20d1 description:peptidase M20 domain containing 1 [Source:MGI Symbol;Acc:MGI:2442939] XQAMGYQLLQETIRSVFPEVDIVVPGICIANTDTRHYANITNGMYRFNPLPLNPQDFSGV HGINEKVSVQNYQNQVKFIFEFIQNADTYKEPVPHLHEL >ENSMUSP00000081134.6 pep:known chromosome:GRCm38:4:149979475:150003130:-1 gene:ENSMUSG00000028980.14 transcript:ENSMUST00000084117.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H6pd description:hexose-6-phosphate dehydrogenase (glucose 1-dehydrogenase) [Source:MGI Symbol;Acc:MGI:2140356] MLLAAMCLALLGCLQAQELKGHVSIILLGATGDLAKKYLWQGLFQLYLDEAGKGHSFSFH GAALTAPQQGQKLMDKVLESLSCPKDLVPSRCDELKGQFLQLSQYRQLKTVEDYQTLNKD IETQVQQDGLWEAGRIFYFSVPPFAYADIARNINSSCRPHPGAWLRVVFEKPFGHDHLSA QQLASELGSFFQEEEMYRVDHYLGKQAVAQILPFRDQNRKALDGLWNRHHVERVEIILKE TIDAEGRASFYEEYGVIRDTLQNHLTEILTLVAMELPLNISSSAAVLQHKLWAFQALRGL QKSSAILGQYQAYSGQVRRELQKPDGFQSLTPTFAGVLVHIDNLRWEGVPFILMSGKALD ERVGYVRIVFKNRAYCTQSERHWVPEQSRCLPQQIIFYIGHGELGHPAILVSRNLFKPSL PTQKWKEVQDQPGLRLFGRPLSDYYAYRPVREQDAYSTLLSHIFHCRKESFITTENLLAS WVFWTPLLDSLAFEVPRPYPGGAENGQLLDFEFSGGQLTFSQQQLEVLIPDLGSVPKPSD FQVLGARYRQSPLITAWPEELISKLASDIEAAAVQAVRHFGKFHLALSGGSSPIALFQQL ATGHYSFPWAHTHLWLVDERCVPLSDPDSNFQGLQAHLLQHVRVPYYNIHPMPVHLHQRL CAEEDQGAQTYASEISALVANSSFDLVLLGMGTDGHTASLFPQSPTGLDGDQLVVLTESP FRPHQRMSLSLPLINRAKKVAVLVMGRTKREITTLVSRVGHEPKKWPISGVVPLSGQLVW YMDYEAFLG >ENSMUSP00000030830.3 pep:known chromosome:GRCm38:4:149979475:150009023:-1 gene:ENSMUSG00000028980.14 transcript:ENSMUST00000030830.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H6pd description:hexose-6-phosphate dehydrogenase (glucose 1-dehydrogenase) [Source:MGI Symbol;Acc:MGI:2140356] MKHTGIWKMLLAAMCLALLGCLQAQELKGHVSIILLGATGDLAKKYLWQGLFQLYLDEAG KGHSFSFHGAALTAPQQGQKLMDKVLESLSCPKDLVPSRCDELKGQFLQLSQYRQLKTVE DYQTLNKDIETQVQQDGLWEAGRIFYFSVPPFAYADIARNINSSCRPHPGAWLRVVFEKP FGHDHLSAQQLASELGSFFQEEEMYRVDHYLGKQAVAQILPFRDQNRKALDGLWNRHHVE RVEIILKETIDAEGRASFYEEYGVIRDTLQNHLTEILTLVAMELPLNISSSAAVLQHKLW AFQALRGLQKSSAILGQYQAYSGQVRRELQKPDGFQSLTPTFAGVLVHIDNLRWEGVPFI LMSGKALDERVGYVRIVFKNRAYCTQSERHWVPEQSRCLPQQIIFYIGHGELGHPAILVS RNLFKPSLPTQKWKEVQDQPGLRLFGRPLSDYYAYRPVREQDAYSTLLSHIFHCRKESFI TTENLLASWVFWTPLLDSLAFEVPRPYPGGAENGQLLDFEFSGGQLTFSQQQLEVLIPDL GSVPKPSDFQVLGARYRQSPLITAWPEELISKLASDIEAAAVQAVRHFGKFHLALSGGSS PIALFQQLATGHYSFPWAHTHLWLVDERCVPLSDPDSNFQGLQAHLLQHVRVPYYNIHPM PVHLHQRLCAEEDQGAQTYASEISALVANSSFDLVLLGMGTDGHTASLFPQSPTGLDGDQ LVVLTESPFRPHQRMSLSLPLINRAKKVAVLVMGRTKREITTLVSRVGHEPKKWPISGVV PLSGQLVWYMDYEAFLG >ENSMUSP00000115647.1 pep:known chromosome:GRCm38:4:149995848:150001265:-1 gene:ENSMUSG00000028980.14 transcript:ENSMUST00000153394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H6pd description:hexose-6-phosphate dehydrogenase (glucose 1-dehydrogenase) [Source:MGI Symbol;Acc:MGI:2140356] MLLAAMCLALLGCLQAQELKGHVSIILLGATGDLAKKYLWQGLFQLYLDEAGKGHSFSFH GAALTAPQQGQKLMDKVLESLSCPKDLVPSRCDELKGQFLQLSQYRQLKTVEDYQTLNKD IETQVQQDGLWEAGRIFYFSVPPFAYADIARNINSSCRPHPGAWLRVVFEKP >ENSMUSP00000103178.1 pep:known chromosome:GRCm38:4:58943628:58958355:1 gene:ENSMUSG00000038630.8 transcript:ENSMUST00000107554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan16 description:zinc finger with KRAB and SCAN domains 16 [Source:MGI Symbol;Acc:MGI:3510405] MQGLVPSDMTTGPSALALSEQSRVPRVDTLRVQESMLRGNTDDLESFRQKFRWFCYSQEE GPRKTLNQLWELCKQWLRPDIHTKEQILELLVFEQFLRVLPGEMRIWVNSQHPGSSVEVV TLVEDLNQTLEEKEDLSTQASAVCKEEDLGEDAMVVVVMASPDPEPRESVTFEDVSVDFS RGEWKLLEPSQRELYKEVLLENLGTLEFLGSPVSKLDLISHLKWVKLPRVLEKEILKDPR PGELVKESESRRELDAFMEGLTLEKTVEYCFRDGGYGLKTEFQRRHGKPKKDHGKRSSHE NRESETEGTSSGKRVKQTSDTLKHRKASLSKMSQRSKEGKKPFSFHSALVNRRELRREKS RKCGEPEKDPRRHLSPAERKRHPKIGSLSKTETCSKCGVAFTQILENCIESSQCEKCRKN LFQDEAPNQDKEPDPEEASKCGKCGKAFEPGTKRSVCLECRKAPKASASPKPHNKADRKE KRYKCDECGKRFAELYFLTHHQRTHTGEKPYVCKHCGRPFSDYSSFYQHQRIHTGEKPYT CKECGKSFTHSSSLSKHQRIHTGEKPYKCNECGKAFRQNSCLTRHQKIHTGEKPFLCKDC GLSFRLFSSIMYHQRLHAGEKPYKCTHCEKGFPSHSRLSRHLRCHTGAKPYKCKECGKTF RQSSSLNLHIRTHTGEKPYKCDYCGAAFTRSTILIEHVKTHTNVQYECKKCGKTFKSRTT SLKHHCTQ >ENSMUSP00000027623.7 pep:known chromosome:GRCm38:1:118294778:118311416:-1 gene:ENSMUSG00000026374.14 transcript:ENSMUST00000027623.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsn description:translin [Source:MGI Symbol;Acc:MGI:109263] MSVSEIFVELQGFLAAEQDIREEIRKVVQSLEQTAREILTLLQGVHQGTGFQDIPKRCLK AREHFSTVKTHLTSLKTKFPAEQYYRFHEHWRFVLQRLVFLAAFVVYLETETLVTREAVT EILGIEPDREKGFHLDVEDYLSGVLILASELSRLSVNSVTAGDYSRPLHISTFINELDSG FRLLNLKNDSLRKRYDGLKYDVKKVEEVVYDLSIRGFNKETAAACGEK >ENSMUSP00000041839.8 pep:known chromosome:GRCm38:13:38500273:38528490:-1 gene:ENSMUSG00000038991.16 transcript:ENSMUST00000035988.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc5 description:thioredoxin domain containing 5 [Source:MGI Symbol;Acc:MGI:2145316] MPPRPGRLLQPLAGLPALATLLLLLGARKGARAQEVEADSGVEQDPHAKHLYTADMFTHG IQSAAHFVMFFAPWCGHCQRLQPTWNDLGDKYNSMEDAKVYVAKVDCTADSDVCSAQGVR GYPTLKFFKPGQEAVKYQGPRDFETLENWMLQTLNEEPATPEPEAEPPRAPELKQGLYEL SANNFELHVSQGNHFIKFFAPWCGHCKALAPTWEQLALGLEHSETVKIGKVDCTQHYAVC SEHQVRGYPTLLWFRDGKKVDQYKGKRDLESLRDYVQSQLQGSEAAPETVEPSEAPVMAA EPTGDKGTVLALTEKSFEDTIAQGITFVKFYAPWCGHCKNLAPTWEELSKKEFPGLSDVT IAEVDCTAERNVCSKYSVRGYPTLLLFRGGEKVGEHNGGRDLDSLHSFVLRQAKDEL >ENSMUSP00000124516.1 pep:known chromosome:GRCm38:13:38500273:38528824:-1 gene:ENSMUSG00000038991.16 transcript:ENSMUST00000162075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc5 description:thioredoxin domain containing 5 [Source:MGI Symbol;Acc:MGI:2145316] MEDAKVYVAKVDCTADSDVCSAQGVRGYPTLKFFKPGQEAVKYQGPRDFETLENWMLQTL NEEPATPEPEAEPPRAPELKQGLYELSANNFELHVSQGNHFIKFFAPWCGHCKALAPTWE QLALGLEHSETVKIGKVDCTQHYAVCSEHQVRGYPTLLWFRDGKKVDQYKGKRDLESLRD YVQSQLQGSEAAPETVEPSEAPVMAAEPTGDKGTVLALTEKSFEDTIAQGITFVKFYAPW CGHCKNLAPTWEELSKKEFPGLSDVTIAEVDCTAERNVCSKYSVRGYPTLLLFRGGEKVG EHNGGRDLDSLHSFVLRQAKDEL >ENSMUSP00000124401.1 pep:known chromosome:GRCm38:13:38500273:38527914:-1 gene:ENSMUSG00000038991.16 transcript:ENSMUST00000160653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc5 description:thioredoxin domain containing 5 [Source:MGI Symbol;Acc:MGI:2145316] MCGHCQRLQPTWNDLGDKYNSMEDAKVYVAKVDCTADSDVCSAQGVRGYPTLKFFKPGQE AVKYQGPRDFETLENWMLQTLNEEPATPEPEAEPPRAPELKQGLYELSANNFELHVSQGN HFIKFFAPWCGHCKALAPTWEQLALGLEHSETVKIGKVDCTQHYAVCSEHQVRGYPTLLW FRDGKKVDQYKGKRDLESLRDYVQSQLQGSEAAPETVEPSEAPVMAAEPTGDKGTVLALT EKSFEDTIAQGITFVKFYAPWCGHCKNLAPTWEELSKKEFPGLSDVTIAEVDCTAERNVC SKYSVRGYPTLLLFRGGEKVGEHNGGRDLDSLHSFVLRQAKDEL >ENSMUSP00000136957.1 pep:known chromosome:GRCm38:11:6467599:6475917:-1 gene:ENSMUSG00000094483.2 transcript:ENSMUST00000179343.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Purb description:purine rich element binding protein B [Source:MGI Symbol;Acc:MGI:1338779] MADGDSGSERGGGGGGGGGPGGFQPAPRGGGGGGGGPGGEQETQELASKRLDIQNKRFYL DVKQNAKGRFLKIAEVGAGGSKSRLTLSMAVAAEFRDSLGDFIEHYAQLGPSSPEQLAAG AEEGGGPRRALKSEFLVRENRKYYLDLKENQRGRFLRIRQTVNRGGGGFGGGPGPGGLQS GQTIALPAQGLIEFRDALAKLIDDYGGDEDELAGGPGGGAGGPGGGLYGELPEGTSITVD SKRFFFDVGCNKYGVFLRVSEVKPSYRNAITVPFKAWGKFGGAFCRYADEMKEIQERQRD KLYERRGGGSGGGDESEGEEVDED >ENSMUSP00000054887.4 pep:known chromosome:GRCm38:6:40571357:40572417:1 gene:ENSMUSG00000045514.4 transcript:ENSMUST00000051540.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr460 description:olfactory receptor 460 [Source:MGI Symbol;Acc:MGI:3030294] MLGNHTSATEFYLVGFPGSVNLRHILFATFCFFYLVTLVGNTVIIVIVCVDKRLQSPMYF FLVHLSILEILVTTVIVPVMLWGLLLPGMQSISLAGCVAQLFLQLALGTTEFSLLGAMAV DRYVAVCNPLRYSVIMNSRTCNSVVIVSWVFGFLFQIWPVYATFHLNYCKSNVVDNFFCD RGQLLKLSCNNTIFIEFILFLMAVFVLFGSLIPTIVSYTYIIATILKIPSASGRRKAFST CASHFTCVVIGYGCCLFLYVKPKQTQAADYNRVVSLMISIVTPFLNPFIFTLRNDKVIEA LRDGVKRCYHFFKS >ENSMUSP00000078239.5 pep:known chromosome:GRCm38:13:23571408:23571884:1 gene:ENSMUSG00000058385.7 transcript:ENSMUST00000079251.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bg description:histone cluster 1, H2bg [Source:MGI Symbol;Acc:MGI:2448386] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000140842.1 pep:known chromosome:GRCm38:12:103310975:103317065:1 gene:ENSMUSG00000096753.7 transcript:ENSMUST00000191218.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam181a description:family with sequence similarity 181, member A [Source:MGI Symbol;Acc:MGI:3647570] MAADSDVKMLLNFVNLASSDIKAALDKSAPCRRSVDHRKYLQKQLKRFSQKYSRLPRGLP GRVAEPHLQRGPEERPGRPPLHPCPQSSPGGGGSCTEKALGTPFREECLSKDQGFRGLNP EAARPGQVPMRKRQLPASFWEEPRPTLSYPMGLEVGLAPREASLYENKKNCKGLESLGPE TAPLPMSPRVLADTEPLKMSGVSLVGSLDAWSYCPFQSHGQPIFPGLPGVLPQGPVPSLG LWRRSLASPVDLAHFCKGVDTPGPKVYRPVVLKPIPTKPAMPPPIFNVFGYL >ENSMUSP00000139607.1 pep:known chromosome:GRCm38:12:103314953:103316318:1 gene:ENSMUSG00000096753.7 transcript:ENSMUST00000189885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam181a description:family with sequence similarity 181, member A [Source:MGI Symbol;Acc:MGI:3647570] MAADSDVKMLLNFVNLASSDIKAALDKSAPCRRSVDHRKYLQKQLKRFSQKYSRLPRGLP GRVAEPHLQRGPEERPGRPPLHPCPQSSPGGGGSCTEKALGTPFREECLSKDQGFRGLNP EAARPGQVPMRKRQLPASFWEEPRPTLSYPMGLEVGLAPR >ENSMUSP00000136681.1 pep:known chromosome:GRCm38:12:103314959:103317065:1 gene:ENSMUSG00000096753.7 transcript:ENSMUST00000179363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam181a description:family with sequence similarity 181, member A [Source:MGI Symbol;Acc:MGI:3647570] MAADSDVKMLLNFVNLASSDIKAALDKSAPCRRSVDHRKYLQKQLKRFSQKYSRLPRGLP GRVAEPHLQRGPEERPGRPPLHPCPQSSPGGGGSCTEKALGTPFREECLSKDQGFRGLNP EAARPGQVPMRKRQLPASFWEEPRPTLSYPMGLEVGLAPREASLYENKKNCKGLESLGPE TAPLPMSPRVLADTEPLKMSGVSLVGSLDAWSYCPFQSHGQPIFPGLPGVLPQGPVPSLG LWRRSLASPVDLAHFCKGVDTPGPKVYRPVVLKPIPTKPAMPPPIFNVFGYL >ENSMUSP00000045721.5 pep:known chromosome:GRCm38:4:47091909:47123070:1 gene:ENSMUSG00000039774.12 transcript:ENSMUST00000045041.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt12 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 12 [Source:MGI Symbol;Acc:MGI:2444664] MWGRAVRRRCPRGLRRGREALLALLALAGLGALLRARSRSGTVDPGPPRTPLPGRHEPVL PRPPLPADALGAHGEAVRLQLQGEELRLQEESVKQHQINIYLSDRISLHRRLPERWNPLC REVKYDYDNLPKTSVVIAFYNEAWSTLLRTVYSVLETSPDILLEEVILVDDYSDREHLKE RLANELSQLPKVRLIRASRREGLVRARLLGASAARGEVLTFLDCHCECHEGWLEPLLQRI HEKESAVVCPVIDVIDWNTFEYLGNSGEPQIGGFDWRLVFTWHVVPQRERQSMRSPIDVI RSPTMAGGLFAVSKRYFDYLGSYDTGMEVWGGENLEFSFRIWQCGGTLETHPCSHVGHVF PKQAPYSRSKALANSVRAAEVWMDEFKELYYHRNPQARLEPFGDVTERKKLRAKLQCKDF KWFLDTVYPELHVPEDRPGFFGMLQNRGLRGYCLDYNPPNENHVEGHQVLLYLCHGMGQN QFFEYTTRKEIRYNTRQPEACITVEDGKDTLVMDLCRETVPENQEFILQEDGTLVHKHSR KCVEATEKVLDNGFAPYLRDCTNSDNQRWFFKERMS >ENSMUSP00000103373.1 pep:known chromosome:GRCm38:4:47091923:47123043:1 gene:ENSMUSG00000039774.12 transcript:ENSMUST00000107744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt12 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 12 [Source:MGI Symbol;Acc:MGI:2444664] MRSPIDVIRSPTMAGGLFAVSKRYFDYLGSYDTGMEVWGGENLEFSFRIWQCGGTLETHP CSHVGHVFPKQAPYSRSKALANSVRAAEVWMDEFKELYYHRNPQARLEPFGDVTERKKLR AKLQCKDFKWFLDTVYPELHVPEDRPGFFGMLQNRGLRGYCLDYNPPNENHVEGHQVLLY LCHGMGQNQFFEYTTRKEIRYNTRQPEACITVEDGKDTLVMDLCRETVPENQEFILQEDG TLVHKHSRKCVEATEKVLDNGFAPYLRDCTNSDNQRWFFKERMS >ENSMUSP00000088285.2 pep:known chromosome:GRCm38:13:21716422:21716814:1 gene:ENSMUSG00000071516.2 transcript:ENSMUST00000070124.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ai description:histone cluster 1, H2ai [Source:MGI Symbol;Acc:MGI:2448457] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000101686.2 pep:known chromosome:GRCm38:4:128773088:128806045:-1 gene:ENSMUSG00000028799.15 transcript:ENSMUST00000106072.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp362 description:zinc finger protein 362 [Source:MGI Symbol;Acc:MGI:2652839] MSRSSPSGKGPSRMAEPRFNNPYFWPPPPTMPSQLDNLVLINKIKEQLMAEKIRPPHLPP TSASSQQPLLVPPAPAESSQAVMSLPKLQQVPGLHPQSVPQPDVALHARPATSTVTGLGL SSRTPAVSTSESTPGTGTGTSTPSTPTTTSQSRLIASSPTLISGITSPPLLDSIKTIQGH GLLGPPKSERGRKKIKAENPGGPPVLVVPYPILASGETAKEGKTYRCKVCPLTFFTKSEM QIHSKSHTEAKPHKCPHCSKSFANASYLAQHLRIHLGVKPYHCSYCDKSFRQLSHLQQHT RIHTGDRPYKCPHPGCEKAFTQLSNLQSHQRQHNKDKPYKCPNCYRAYSDSASLQIHLSA HAIKHAKAYCCSMCGRAYTSETYLMKHMSKHTVVEHLVSHHSPQRTESPGIPVRISLI >ENSMUSP00000128499.1 pep:known chromosome:GRCm38:4:128777084:128806045:-1 gene:ENSMUSG00000028799.15 transcript:ENSMUST00000170934.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp362 description:zinc finger protein 362 [Source:MGI Symbol;Acc:MGI:2652839] MAEPRFNNPYFWPPPPTMPSQLDNLVLINKIKEQLMAEKIRPPHLPPTSASSQQPLLVPP APAESSQAVMSLPKLQQVPGLHPQSVPQPDVALHARPATSTVTGLGLSSRTPAVSTSEST PGTGTGTSTPSTPTTTSQSRLIASSPTLISGITSPPLLDSIKTIQGHGLLGPPKSERGRK KIKAENPGGPPVLVVPYPILASGETAKEGKTYRCKVCPLTFFTKSEMQIHSKSHTEAKPH KCPHCSKSFANASYLAQHLRIHLGVKPYHCSYCDKSFRQLSHLQQHTRIHTGDRPYKCPH PGCEKAFTQLSNLQSHQRQHNKDKPYKCPNCYRAYSDSASLQIHLSAHAIKHAKAYCCSM CGRAYTS >ENSMUSP00000065733.7 pep:known chromosome:GRCm38:4:128773104:128794888:-1 gene:ENSMUSG00000028799.15 transcript:ENSMUST00000071108.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp362 description:zinc finger protein 362 [Source:MGI Symbol;Acc:MGI:2652839] MSRSSPSGKGPSRMAEPRFNNPYFWPPPPTMPSQLDNLVLINKIKEQLMAEKIRPPHLPP TSASSQQPLLVPPAPAESSQAVMSLPKLQQVPGLHPQSVPQPDVALHARPATSTVTGLGL SSRTPAVSTSESTPGTGTGTSTPSTPTTTSQSRLIASSPTLISGITSPPLLDSIKTIQGH GLLGPPKSERGRKKIKAENPGGPPVLVVPYPILASGETAKEGKTYRCKVCPLTFFTKSEM QIHSKSHTEAKPHKCPHCSKSFANASYLAQHLRIHLGVKPYHCSYCDKSFRQLSHLQQHT RIHTGDRPYKCPHPGCEKAFTQLSNLQSHQRQHNKDKPYKCPNCYRAYSDSASLQIHLSA HAIKHAKAYCCSMCGRAYTSETYLMKHMSKHTVVEHLVSHHSPQRTESPGIPVRISLI >ENSMUSP00000043513.2 pep:known chromosome:GRCm38:3:92407991:92409271:1 gene:ENSMUSG00000042157.2 transcript:ENSMUST00000047264.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2i description:small proline-rich protein 2I [Source:MGI Symbol;Acc:MGI:1330309] MSYQQQQCKQPCQPPPVCPPKKCPEPCPPPQCPEPCPPPKCPEPCPESCPPPSYQQKCPP VQPPPPCQQKCPPKSK >ENSMUSP00000140628.1 pep:known chromosome:GRCm38:19:11615523:11623684:-1 gene:ENSMUSG00000024680.12 transcript:ENSMUST00000186978.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a2 description:membrane-spanning 4-domains, subfamily A, member 2 [Source:MGI Symbol;Acc:MGI:95495] MDTENRSRADLALPNPQESSSAPDIELLEASPAKAAPPKQTWRTFLKKELEFLGATQILF VLSGFLSIISERKNTLYLNVTEDDGCFVASFTTVPDDRLYEELNVYSPIYSELEDKGETS SPVDS >ENSMUSP00000025583.5 pep:known chromosome:GRCm38:19:11616957:11623719:-1 gene:ENSMUSG00000024680.12 transcript:ENSMUST00000025583.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a2 description:membrane-spanning 4-domains, subfamily A, member 2 [Source:MGI Symbol;Acc:MGI:95495] MDTENRSRADLALPNPQESSSAPDIELLEASPAKAAPPKQTWRTFLKKELEFLGATQILV GLICLCFGTIVCSVLYVSDFDEEVLLLYKLGYPFWGAVLFVLSGFLSIISERKNTLYLNV TEDDGCFVASFTTELVLMMLFLTILAFCSAVLFTIYRIGQELESKKVPDDRLYEELNVYS PIYSELEDKGETSSPVDS >ENSMUSP00000127373.1 pep:known chromosome:GRCm38:19:11617193:11623643:-1 gene:ENSMUSG00000024680.12 transcript:ENSMUST00000164792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a2 description:membrane-spanning 4-domains, subfamily A, member 2 [Source:MGI Symbol;Acc:MGI:95495] MDTENRSRADLALPNPQESSSAPDIELLEASPAKAAPPKQTWRTFLKKELEFLGATQILV GLICLCFGTIVCSVLYVSDFDEEVLLLYKLGYPFWGAVLFVLSGFLSIISERKNTLYLVR GSLGANIVSSIAAGTGIAMLILNLTNNFAYMNNCKNVTEDDGCFVASFTTELVLMMLFLT ILAFCSAVLFTIYRIGQELESKKVPDDRLYEELNVYSPIYSELEDKGETSSPVDS >ENSMUSP00000139841.1 pep:known chromosome:GRCm38:19:11617473:11623659:-1 gene:ENSMUSG00000024680.12 transcript:ENSMUST00000189641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a2 description:membrane-spanning 4-domains, subfamily A, member 2 [Source:MGI Symbol;Acc:MGI:95495] MDTENRSRADLALPNPQESSSAPDIELLEASPAKAAPPKQTWRTFLKKELEFLGATQILV GLICLCFGTIVCSVLYVSDFDEEVLLLYKLGYPFWGAVLFVLSGFLSIISERKNTLYLRH RPPFGISISKIEFCTKEELVLMMLFLTILAFCSAVLFTIYRIGQELESKKVPDDRLYEEL NVYSPIYSELEDKGETSSPVDS >ENSMUSP00000100738.1 pep:known chromosome:GRCm38:13:23573736:23574196:-1 gene:ENSMUSG00000069268.4 transcript:ENSMUST00000105106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bf description:histone cluster 1, H2bf [Source:MGI Symbol;Acc:MGI:2448383] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000117273.1 pep:known chromosome:GRCm38:19:36554639:36621132:1 gene:ENSMUSG00000041180.13 transcript:ENSMUST00000139215.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hectd2 description:HECT domain containing 2 [Source:MGI Symbol;Acc:MGI:2442663] MSEAARDLSPGAPPAVAAAAPEERKGKEPEREKLPPIVTAGAAAGLDRGSKGQISTFSSF VSTVTQKKEAAENRSSPTHLALPNIRNGKI >ENSMUSP00000128387.2 pep:known chromosome:GRCm38:19:36554668:36620242:1 gene:ENSMUSG00000041180.13 transcript:ENSMUST00000169036.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hectd2 description:HECT domain containing 2 [Source:MGI Symbol;Acc:MGI:2442663] MSEAARDLSPGAPPAVAAAAPEERKGKEPEREKLPPIVTAGAAAGLDRGSKGQISTFSSF VSTVTQKKEAAENRSSPTHLALPNIRNVRDLPPICLDVRQKQRMSVEALPSEVKVPPLPE PSLPSQPKTVKDFEEDLEKAEATGNWKTVHAFYITAFDSFTELNTAFKKDATASFNTIED SGLNANLVNAVFDALLNTPQDIQKSVLKGIINSLLQEWKGPRTKDDLRAYFILLQNPQFN ITSTYVIYAHLLRQIATLVEADHHFLVHWLKKLSQKKFKQLVERLLQFVSLRLFPAKPEE FPPLTKCTWWIPSAAKVLALLNTANNLVHPPLVPYTDFYNSTLDHIDLMEEYHTWQSFGN SHSRFSFCQYPFVISIAAKKIIIQRDSEQQMISIARQSLVDKVSRRQRPDMNMLFLNMKV RRTHLVSDSLDELTRKRADLKKKLKVTFVGEAGLDMGGLTKEWFLLLIRQIFHPDYGMFT YHKDSHCHWFSSFKCDNYSEFRLVGILMGLAVYNSITLDIRFPPCCYKKLLSPPVVPSDQ STPVGICSVTIDDLCQVMPELAHGLKELLSYEGNVEEDFYSTFQVFQEEFGVIKSYNLKP GGDKIPVTNQNRREYVQLYTDFLLNKSIYKQFAAFYCGFHSVCASNALMLLRPEEVEILV CGSPELDMHALQRSTQYDGYAKTDLTIRYFWDVVLGFPLELQKKLLHFTTGSDRVPVGGM ADLNFKISKNETSTNWLPVAHTCFNQLCLPPYKSKKDLKQKLIIGISNSEGFGLE >ENSMUSP00000042646.5 pep:known chromosome:GRCm38:19:36554669:36621135:1 gene:ENSMUSG00000041180.13 transcript:ENSMUST00000047247.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hectd2 description:HECT domain containing 2 [Source:MGI Symbol;Acc:MGI:2442663] MSEAARDLSPGAPPAVAAAAPEERKGKEPEREKLPPIVTAGAAAGLDRGSKGQISTFSSF VSTVTQKKEAAENRSSPTHLALPNIRNVRDLPPICLDVRQKQRMSVEALPSEVKVPPLPE PSLPSQPKTVKDFEEDLEKAEATGNWKTVHAFYITAFDSFTELNTAFKKDATASFNTIED SGLNANLVNAVFDALLNTPQDIQKSVLKGIINSLLQEWKGPRTKDDLRAYFILLQNPQFN ITSTYVIYAHLLRQIATLVEADHHFLVHWLKKLSQKKFKQLVERLLQFVSLRLFPAKPEE FPPLTKCTWWIPSAAKVLALLNTANNLVHPPLVPYTDFYNSTLDHIDLMEEYHTWQSFGN SHRFSFCQYPFVISIAAKKIIIQRDSEQQMISIARQSLVDKVSRRQRPDMNMLFLNMKVR RTHLVSDSLDELTRKRADLKKKLKVTFVGEAGLDMGGLTKEWFLLLIRQIFHPDYGMFTY HKDSHCHWFSSFKCDNYSEFRLVGILMGLAVYNSITLDIRFPPCCYKKLLSPPVVPSDQS TPVGICSVTIDDLCQVMPELAHGLKELLSYEGNVEEDFYSTFQVFQEEFGVIKSYNLKPG GDKIPVTNQNRREYVQLYTDFLLNKSIYKQFAAFYCGFHSVCASNALMLLRPEEVEILVC GSPELDMHALQRSTQYDGYAKTDLTIRYFWDVVLGFPLELQKKLLHFTTGSDRVPVGGMA DLNFKISKNETSTNWLPVAHTCFNQLCLPPYKSKKDLKQKLIIGISNSEGFGLE >ENSMUSP00000120791.2 pep:known chromosome:GRCm38:19:36554866:36618844:1 gene:ENSMUSG00000041180.13 transcript:ENSMUST00000155594.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hectd2 description:HECT domain containing 2 [Source:MGI Symbol;Acc:MGI:2442663] XPEREKLPPIVTAGAAAGLDRGSKGQISTFSSFVSTVTQKKEAAENRSSPTHLALPNIRN VRDLPPICLDVRQKQRMSVEALPSEVKVPPLPEPSLPSQPKTVKDFEEDLEKAEATGNWK TVHAFYITAFDSFTELNTAFKKDATASFNTIEDSGLNANLVNAVFDALLNTPQDIQKSVL KGIINSLLQEWKGPRTKDDLRAYFILLQNPQFNITSTYVIYAHLLRQIATLVEADHHFLV HWLKKLSQKKFKQLVERLLQFVSLRLFPAKPEEFPPLTKCTWWIPSAAKVLALLNTANNL VHPPLVPYTDFYNSTLDHIDLMEEYHTWQSFGNSHSCKKNHYSKRLRTTDDKHRKAKSGG >ENSMUSP00000134964.1 pep:known chromosome:GRCm38:19:36585489:36618844:1 gene:ENSMUSG00000041180.13 transcript:ENSMUST00000177381.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hectd2 description:HECT domain containing 2 [Source:MGI Symbol;Acc:MGI:2442663] NANLVNAVFDALLNTPQDIQKSVLKGIINSLLQEWKGPRTKDDLRAYFILLQNPQFNITS TYVIYAHLLRQIATLVEADHHFLVHWLKKLSQKKFKQLVERLLQFVSLRLFPAKPEEFPP LTKCTWWIPSAAKVLALLNTANNLVHPPLVPYTDFYNSTLDHIDLMEEYHTWQSFGNSHS KVWWIKYLEDKDLI >ENSMUSP00000109711.1 pep:known chromosome:GRCm38:1:64690455:64725644:1 gene:ENSMUSG00000070871.10 transcript:ENSMUST00000114077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnyl1 description:cyclin Y-like 1 [Source:MGI Symbol;Acc:MGI:2138614] METRIRAAQLKGRGGAFPKLGRRAGPAEPDYESEVYEAAAGDAVAVAPAPAAAVEPAELD FGAGEGHHLQHISDREMPEDLALESNPSDHPRASTIFLSKSQTDVREKRKSNHLNHVSPG QLTKKYSSCSTIFLDDSTVSQPNLRTTIKCVTLAIYYHIKNRDANRSLDIFDERSHPLTR EKVPEEYFKHDPEHKFIYRFVRTLFSAAQLTAECAIVTLVYLERLLTYAEIDICPTNWKR IVLGAILLASKVWDDQAVWNVDYCQILKDITVEDMNEMERHFLELLQFNINVPASVYAKY YFDLRSLADDNNLNFLFAPLSKERAQNLEAISRLCEDKYKDLCRAAMRRSLSADNFIGIQ RSNAILS >ENSMUSP00000139498.1 pep:known chromosome:GRCm38:1:64690822:64723946:1 gene:ENSMUSG00000070871.10 transcript:ENSMUST00000187170.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnyl1 description:cyclin Y-like 1 [Source:MGI Symbol;Acc:MGI:2138614] MPEDLALESNPSDHPRASTIFLSKSQTDVREKRKSNHLNHVSPGQLTKKYSSCSTIFLDD STVSQPNLRTTIKCVTLAIYYHIKNRDANRSLDIFDERSHPLTREKVPEEYFKHDPEHKF IYRFVRTLFSAAQLTAECAIVTLVYLERLLTYAEIDICPTNWKRIVLGAILLASKVWDDQ AVWNVDYCQILKDITVEDMNEMERHFLELLQFNINVPASVYAKYYFDLRSLADDNNLNFL FAPLSKERAQNLEAISRLCEDKYKDLCRAAMRRSLSADNFIGIQRSNAILS >ENSMUSP00000139790.1 pep:known chromosome:GRCm38:1:64691183:64711453:1 gene:ENSMUSG00000070871.10 transcript:ENSMUST00000187638.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnyl1 description:cyclin Y-like 1 [Source:MGI Symbol;Acc:MGI:2138614] MPEDLALESNPSDHPRASTIFLSKSQTDVREKRKSNHLNHVSPGQLTKKYSSCSTIFLDD STVSQPNLRTTIKCVTLAIYYHIKN >ENSMUSP00000092499.3 pep:known chromosome:GRCm38:1:64691345:64725640:1 gene:ENSMUSG00000070871.10 transcript:ENSMUST00000094898.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnyl1 description:cyclin Y-like 1 [Source:MGI Symbol;Acc:MGI:2138614] MPEDLALESNPSDHPRASTIFLSKSQTDVREKRKSNHLNHVSPGQLTKKYSSCSTIFLDD STVSQPNLRTTIKCVTLAIYYHIKNRDANRSLDIFDERSHPLTREKVPEEYFKHDPEHKF IYRFVRTLFSAAQLTAECAIVTLVYLERLLTYAEIDICPTNWKRIVLGAILLASKVWDDQ AVWNVDYCQILKDITVEDMNEMERHFLELLQFNINVPASVYAKYYFDLRSLADDNNLNFL FAPLSKERAQNLEAISRLCEDKYKDLCRAAMRRSLSADNFIGIQRSNAILS >ENSMUSP00000143541.1 pep:known chromosome:GRCm38:3:89864059:89913196:-1 gene:ENSMUSG00000027947.11 transcript:ENSMUST00000197679.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6ra description:interleukin 6 receptor, alpha [Source:MGI Symbol;Acc:MGI:105304] MLTVGCTLLVALLAAPAVALVLGSCRALEVANGTVTSLPGATVTLICPGKEAAGNVTIHW VYSGSQNREWTTTGNTLVLRDVQLSDTGDYLCSLNDHLVGTVPLLVDVPPEEPKLSCFRK NPLVNAICEWRPSSTPSPTTKAVLFAKKINTTNGKSDFQVPCQYSQQLKSFSCQVEILEG DKVYHIVSLCVANSVGSKSSHNEAFHSLKMVQPDPPANLVVSAIPGRPRWLKVSWQHPET WDPSYYLLQFQLRYRPVWSKEFTVLLLPVAQYQCVIHDALRGVKHVVQVRGKEELDLGQW SEWSPEVTGTPWIEPRTTPAGILWNPTQVSVEDSANHEDQYESSTEATSVLAPVQESSSM SLPTFLVAGGSLAFGLLLCVFIILRLKQKWKSEAEKESKTTSPPPPPYSLGPLKPTFLLV PLLTPHSSGSDNTVNHSCLGVRDAQSPYDNSNRDYLFPR >ENSMUSP00000029559.6 pep:known chromosome:GRCm38:3:89869324:89913162:-1 gene:ENSMUSG00000027947.11 transcript:ENSMUST00000029559.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6ra description:interleukin 6 receptor, alpha [Source:MGI Symbol;Acc:MGI:105304] MLTVGCTLLVALLAAPAVALVLGSCRALEVANGTVTSLPGATVTLICPGKEAAGNVTIHW VYSGSQNREWTTTGNTLVLRDVQLSDTGDYLCSLNDHLVGTVPLLVDVPPEEPKLSCFRK NPLVNAICEWRPSSTPSPTTKAVLFAKKINTTNGKSDFQVPCQYSQQLKSFSCQVEILEG DKVYHIVSLCVANSVGSKSSHNEAFHSLKMVQPDPPANLVVSAIPGRPRWLKVSWQHPET WDPSYYLLQFQLRYRPVWSKEFTVLLLPVAQYQCVIHDALRGVKHVVQVRGKEELDLGQW SEWSPEVTGTPWIAEPRTTPAGILWNPTQVSVEDSANHEDQYESSTEATSVLAPVQESSS MSLPTFLVAGGSLAFGLLLCVFIILRLKQKWKSEAEKESKTTSPPPPPYSLGPLKPTFLL VPLLTPHSSGSDNTVNHSCLGVRDAQSPYDNSNRDYLFPR >ENSMUSP00000023143.7 pep:known chromosome:GRCm38:16:10959275:10993121:-1 gene:ENSMUSG00000022500.14 transcript:ENSMUST00000023143.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Litaf description:LPS-induced TN factor [Source:MGI Symbol;Acc:MGI:1929512] MSAPGPYQAAAGPSVVPTAPPTYEETVGVNSYYPTPPAPMPGPATGLITGPDGKGMNPPS YYTQPVPVPNANAIAVQTVYVQQPVSFYDRPVQMCCPSCSKMIVTQLSYNAGALTWLSCG SLCLLGCVAGCCFIPFCVDALQDVDHYCPNCKALLGTYKRL >ENSMUSP00000112667.1 pep:known chromosome:GRCm38:16:10960824:10975579:-1 gene:ENSMUSG00000022500.14 transcript:ENSMUST00000117360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Litaf description:LPS-induced TN factor [Source:MGI Symbol;Acc:MGI:1929512] MSAPGPYQAAAGPSVVPTAPPTYEETVGVNSYYPTPPAPMPGPATGLITGPDGKGMNPPS YYTQPVPVPNANAIAVQTVYVQQPVSFYDRPVQMCCPSCSKMIVTQLSYNAGALTWLSCG SLCLLGCVAGCCFIPFCVDALQDVDHYCPNCKALLGTYKRL >ENSMUSP00000123948.1 pep:known chromosome:GRCm38:16:10963343:11066157:-1 gene:ENSMUSG00000022500.14 transcript:ENSMUST00000162323.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Litaf description:LPS-induced TN factor [Source:MGI Symbol;Acc:MGI:1929512] MSAPGPYQAAAGPSVVPTAPPTYEETVGVNSYYPTPPAPMPGPATGLITGPDGKGMNPPS YYTQPVPVPNANAIAVQTVYVQQPVSFYDRPVQMCCP >ENSMUSP00000022135.8 pep:known chromosome:GRCm38:13:100650979:100666359:1 gene:ENSMUSG00000078941.9 transcript:ENSMUST00000022135.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak6 description:adenylate kinase 6 [Source:MGI Symbol;Acc:MGI:5510732] MKLPNILLTGTPGVGKTTLGKELASRSGLKYVNVGDLAREGQLYDGYDEEYGCPILDEDR VVDELEHQMQEGGVIVDYHGCDFFPERWFHIVFVLRTDNGVLYKRLETRGYNEKKLQDNI QCEIFQVLYEEAIASYKEEIVHQLPSNEPEQLEDNINQISKWIEQWVKDHNP >ENSMUSP00000140648.1 pep:known chromosome:GRCm38:13:100651380:100656058:1 gene:ENSMUSG00000078941.9 transcript:ENSMUST00000190729.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak6 description:adenylate kinase 6 [Source:MGI Symbol;Acc:MGI:5510732] MKLPNILLTGTPGVGKTTLGKELASRSGLKYVNVGDLAREV >ENSMUSP00000081772.6 pep:known chromosome:GRCm38:13:100651343:100656059:1 gene:ENSMUSG00000078941.9 transcript:ENSMUST00000084721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak6 description:adenylate kinase 6 [Source:MGI Symbol;Acc:MGI:5510732] MESGKMASPKSMPKDAQMMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSH AKKATVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQRNQTPLPLIKPYSGPRLPPDRY CLTAPNYRLKSLQKKAPAPAGRITVPRLSVGSVSSRPSTPTLGTPTPQTMSVSTKVGTPM SLTGQRFTVQMPASQSPAVKASIPATSTVQNVLINPSLIGSKNILITTNMVSQNTAESAN ALKRKREDDDDDDDDDDDDDYDNM >ENSMUSP00000091452.2 pep:known chromosome:GRCm38:11:104502745:104550483:-1 gene:ENSMUSG00000020687.13 transcript:ENSMUST00000093923.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc27 description:cell division cycle 27 [Source:MGI Symbol;Acc:MGI:102685] MTVLQEPVQAAIWQALNHYAYRDAVFLAERLYAEVHSEEALFLLATCYYRSGKAYKAYRL LKGHSCTTPQCKYLLAKCCVDLSKLAEGEQILSGGVFNKQKSHDDLVTEFGDSACFTLSL LGHVYCKTDRLAKGSECYQKSLSLNPFLWSPFESLCEIGEKPDPDQTFKLTSLQNFSSCL PNTCTTLVSNHSLSHRQPETVLTETPQDTIELNRLNLESSNSKYSLNTDSSVSYIDSTVI SPDNVPLGPGTAILSKQVQNKPKTGRSLLGGPTALSPLTPSFGILPLETPSPGDGSYLQN YTNTPSVIDVAPTGAPTKKSVARMGQTGTKSVFSQSGNSREVTPVLVAQTQSSGPQTSTT PQVLSPTITSPPNALPRRSSRLFTSDSSTTKENSKKLKMKFPPKIPNRKTKSKTNKGGLT QPSINDSLEITKLDSSIISEGKITTVTPQIQAFNLQKAAAEGLMSLLREMGKGYLALCSY NCKEAINILSHLPSHHYSTGWVLCQIGRAYFELSEYMQAERIFSEVRRIESFRVEGMEIY STTLWHLQKDVALSVLSKDLTDMDKNSPEAWCAAGNCFSLQREHDIAIKFFQRAIQVDPN YAYAYTLLGHEFVLTEELDKALACFRNAIRVNPRHYNAWYGLGMIYYKQEKFSLAEMHFQ KALDINPQSSVLLCHIGVVQHALKKSEKALDTLNKAIVIDPKNPLCKFHRASVLFANEKY KSALQELEELKQIVPKESLVYFLIGKVYKKLGQTHLALMNFSWAMDLDPKGANNQIKEAI DKRYLPDDEEPITQEEQIMGTDESQESSMTDADDTQLHAAESDEF >ENSMUSP00000102575.2 pep:known chromosome:GRCm38:11:104504937:104550392:-1 gene:ENSMUSG00000020687.13 transcript:ENSMUST00000106962.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc27 description:cell division cycle 27 [Source:MGI Symbol;Acc:MGI:102685] MTVLQEPVQAAIWQALNHYAYRDAVFLAERLYAEVHSEEALFLLATCYYRSGKAYKAYRL LKGHSCTTPQCKYLLAKCCVDLSKLAEGEQILSGGVFNKQKSHDDLVTEFGDSACFTLSL LGHVYCKTDRLAKGSECYQKSLSLNPFLWSPFESLCEIGEKPDPDQTFKLTSLQNFSSCL PNTCTTLVSNHSLSHRQPETVLTETPQDTIELNRLNLESSNSKYSLNTDSSVSYIDSTVI SPDNVPLGPGTAILSKQVQNKPKTGRSLLGGPTALSPLTPSFGILPLETPSPGDGSYLQN YTNTPSVIDVAPTGAPTKKTFCVLQSVARMGQTGTKSVFSQSGNSREVTPVLVAQTQSSG PQTSTTPQVLSPTITSPPNALPRRSSRLFTSDSSTTKENSKKLKMKFPPKIPNRKTKSKT NKGGLTQPSINDSLEITKLDSSIISEGKITTVTPQIQAFNLQKAAAEGLMSLLREMGKGY LALCSYNCKEAINILSHLPSHHYSTGWVLCQIGRAYFELSEYMQAERIFSEVRRIESFRV EGMEIYSTTLWHLQKDVALSVLSKDLTDMDKNSPEAWCAAGNCFSLQREHDIAIKFFQRA IQVDPNYAYAYTLLGHEFVLTEELDKALACFRNAIRVNPRHYNAWYGLGMIYYKQEKFSL AEMHFQKALDINPQSSVLLCHIGVVQHALKKSEKALDTLNKAIVIDPKNPLCKFHRASVL FANEKYKSALQELEELKQIVPKESLVYFLIGKVYKKLGQTHLALMNFSWAMDLDPKGANN QIKEAIDKRYLPDDEEPITQEEQIMGTDESQESSMTDADDTQLHAAESDEF >ENSMUSP00000102574.1 pep:known chromosome:GRCm38:11:104520254:104550478:-1 gene:ENSMUSG00000020687.13 transcript:ENSMUST00000106961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc27 description:cell division cycle 27 [Source:MGI Symbol;Acc:MGI:102685] MTVLQEPVQAAIWQALNHYAYRDAVFLAERLYAEVHSEEALFLLATCYYRSGKAYKAYRL LKGHSCTTPQCKYLLAKCCVDLSKLAEGEQILSGGVFNKQKSHDDLVTEFGDSACFTLSL LGHVYCKTDRLAKGSECYQKSLSLNPFLWSPFESLCEIGEKPDPDQTFKLTSLQNFSSCL PNTCTTLVSNHSLSHRQPETVLTETPQDTIELNRLNLESSNSKYSLNTDSSVSYIDSTVI SPDNVPLGPGTAILSKQVQNKPKTGRSLLGGPTALSPLTPSFGILPLETPSPGDGSYLQN YTNTPSVIDVAPTGAPTKKTFCVLQSVARMGQTGTKSVFSQSGNSREVTPVLVAQTQSSG PQTSTTPQVLSPTITSPPNALPRRSSRLFTSDSSTTKKV >ENSMUSP00000144315.1 pep:known chromosome:GRCm38:18:69343356:69564082:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000203002.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWG TGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGC HQQSLLGGDMDMGNPGTL >ENSMUSP00000067318.5 pep:known chromosome:GRCm38:18:69344146:69684343:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000066717.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSSDAGVHKMPGLG GSVLPTGNLADVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNS RIQSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGTLSPTKPGSQYYQYSSNNARRR PLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQD GHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPP MSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNN SFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHV LRNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGV ALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEG DENLQDTKSSEDKKLDDDKKDIKSITRSRSSNNDDEDLTPEQKAEREKERRMANNARERL RVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKR REEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000110636.3 pep:known chromosome:GRCm38:18:69344489:69689079:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000114985.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWG TGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGC HQQSLLGGDMDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLP SSVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGM LGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPAN GTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAV WSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGI MGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQS ATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDI KSITRSRSSNNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKS DKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGM GDAANHMGQM >ENSMUSP00000144519.1 pep:known chromosome:GRCm38:18:69344492:69565742:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000128706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRD LGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGTLSPTKPG SQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVSTAWFLLRTLCLLCSVWCQ >ENSMUSP00000144209.1 pep:known chromosome:GRCm38:18:69344492:69683376:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201781.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRD LGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGTLSPTKPG SQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPGYPSSK PAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSY PSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALG KALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSR IEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTSLLSAN RHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQS VSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITRSRSSNNDDEDLTPEQKAER EKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQV RERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000144221.1 pep:known chromosome:GRCm38:18:69344529:69683264:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202674.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRD LGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQSLLGGDMDMGNPGTLSPTKPGS QYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPGYPSSKP AASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYP SHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGK ALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSRI EDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTSLLSANR HSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQSV SSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDDEDLTPEQKAEREKERR MANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQVRERNL NPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000144512.1 pep:known chromosome:GRCm38:18:69345719:69683390:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202116.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWG TGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGC HQQSLLGGDMDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLP SSVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGM LGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPAN GTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAV WSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGI MGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQS ATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDI KSITSNNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQ TKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAA NHMGQM >ENSMUSP00000110633.1 pep:known chromosome:GRCm38:18:69345721:69684526:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000114982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWG TGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGC HQQSLLGGDMDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLP SSVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGM LGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPAN GTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAV WSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGI MGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQS ATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDI KSITSNNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQ TKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAA NHMGQM >ENSMUSP00000143987.1 pep:known chromosome:GRCm38:18:69345761:69683198:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202772.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWG TGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGC HQVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGM LGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPAN GTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAV WSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGI MGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQS ATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDI KSITSNNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQ TKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAA NHMGQM >ENSMUSP00000144494.1 pep:known chromosome:GRCm38:18:69345763:69636838:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201288.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWG TGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGC HQQSLLGGDMDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLP SSVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDGH >ENSMUSP00000144646.1 pep:known chromosome:GRCm38:18:69345851:69683198:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202354.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRD LGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGTLSPTKPG SQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPGYPSSK PAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSY PSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALG KALASIYSPDHTNNSFSSNPSTPVGSPPSLSGTAVWSRNGGQASSSPNYEGPLHSLQSRI EDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTSLLSANR HSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQSV SSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDDEDLTPEQKAEREKERR MANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQVRERNL NPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000144473.1 pep:known chromosome:GRCm38:18:69346173:69633655:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201235.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRD LGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGTLSPTKPG SQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPGYPSSK PAAS >ENSMUSP00000110631.2 pep:known chromosome:GRCm38:18:69346360:69683225:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000114980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWG TGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGC HQQSLLGGDMDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLP SSVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGM LGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPAN GTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAV WSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGI MGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQS ATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDI KSITRSRSSNNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKS DKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGM GDAANHMGQM >ENSMUSP00000144670.1 pep:known chromosome:GRCm38:18:69347299:69683198:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202610.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWG TGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGC HQVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGM LGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPAN GTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAV WSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGI MGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQS ATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDI KSITRSRSSNNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKS DKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGM GDAANHMGQM >ENSMUSP00000144599.1 pep:known chromosome:GRCm38:18:69348256:69564641:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201299.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRD LGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGTLSPTKPG SQYYQYSSNNARRRPLHSSAMEV >ENSMUSP00000144285.1 pep:known chromosome:GRCm38:18:69348267:69683438:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201631.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRD LGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGTLSPTKPG SQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPGYPSSK PAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSY PSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALG KALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSR IEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTSLLSAN RHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQS VSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDDEDLTPEQKAEREKER RMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQVRERN LNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000144544.1 pep:known chromosome:GRCm38:18:69348269:69564648:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202074.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRD LGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQSLLGGDMDMGNPGTLSPTKPGS QYYQYSSNNARRRPLHSSAMEVQTK >ENSMUSP00000144407.1 pep:known chromosome:GRCm38:18:69348523:69683449:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202435.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRD LGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGTLSPTKPG SQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPGYPSSK PAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSY PSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALG KALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSR IEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTSLLSAN RHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQS VSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITRSRSSNNDDEDLTPEQKAER EKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQV RERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000144655.1 pep:known chromosome:GRCm38:18:69348669:69564683:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201537.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWGTGGHPSPSRNYGDGTPYDHMTSRD LGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGTLSPTKPG SQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSS >ENSMUSP00000144080.1 pep:known chromosome:GRCm38:18:69348944:69642932:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201124.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MFSPPVSSGKNGPTSLASGHFTGSSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGT LSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDS PGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLH PHERLSYPSHSSADINSSLPP >ENSMUSP00000143875.1 pep:known chromosome:GRCm38:18:69415897:69683439:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202458.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGCHQQSLLGGDMDMGNPGTLS PTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSASTADYNRDSPG YPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPH ERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQT GDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLH SLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTS LLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPG LQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDDEDLTPEQKAE REKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQ VRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000144376.1 pep:known chromosome:GRCm38:18:69499389:69636886:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201181.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPG >ENSMUSP00000146869.1 pep:known chromosome:GRCm38:18:69500670:69636916:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000207214.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSH >ENSMUSP00000144169.1 pep:known chromosome:GRCm38:18:69500697:69683245:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201094.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANR GTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQAS SSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAM GSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPP QDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDD EDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQA VAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000144583.1 pep:known chromosome:GRCm38:18:69500836:69657751:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000200703.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSS LPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDH TNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQ >ENSMUSP00000144332.1 pep:known chromosome:GRCm38:18:69500958:69652467:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202765.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANR GTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSL >ENSMUSP00000144273.1 pep:known chromosome:GRCm38:18:69519479:69683254:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201205.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANR GTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQAS SSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAM GSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPP QDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITRSRSS NNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLI LHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQ M >ENSMUSP00000144045.1 pep:known chromosome:GRCm38:18:69519718:69642988:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000200966.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTP >ENSMUSP00000143950.1 pep:known chromosome:GRCm38:18:69519766:69683198:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201410.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANR GTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQAS SSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAM GSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPP QDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDD EDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQA VAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000143857.1 pep:known chromosome:GRCm38:18:69519776:69683277:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202937.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANR GTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQAS SSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAM GSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPP QDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITRSRSS NNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLI LHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQ M >ENSMUSP00000144397.1 pep:known chromosome:GRCm38:18:69519821:69636923:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000200813.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIP >ENSMUSP00000144154.1 pep:known chromosome:GRCm38:18:69521160:69642948:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202350.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHR >ENSMUSP00000144219.1 pep:known chromosome:GRCm38:18:69521298:69683122:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202477.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANR GTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQAS SSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAM GSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPP QDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDD EDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQA VAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000144338.1 pep:known chromosome:GRCm38:18:69521501:69657950:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000200862.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANR GTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQAS SSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAM GSLGSGYGTSLLSANRHSLM >ENSMUSP00000144647.1 pep:known chromosome:GRCm38:18:69521861:69683260:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202057.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANR GTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQAS SSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAM GSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPP QDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDD EDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKSDKPQTKLLILHQA VAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000144649.1 pep:known chromosome:GRCm38:18:69522001:69636885:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201627.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPG >ENSMUSP00000147155.1 pep:known chromosome:GRCm38:18:69522450:69642974:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000209174.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLPSSVYAPSAS TADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQ SSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTS >ENSMUSP00000110629.2 pep:known chromosome:GRCm38:18:69592988:69683445:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000114978.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MYCAYTIPGMGGNSLMYYYNGKAVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDG HHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPM STFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNS FSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVL RNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVA LRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGD ENLQDTKSSEDKKLDDDKKDIKSITRSRSSNNDDEDLTPEQKAEREKERRMANNARERLR VRDINEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRR EEEKVSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000144386.1 pep:known chromosome:GRCm38:18:69593372:69657898:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201091.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MYCAYTIPGMGGNSLMYYYNGKAVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDG HHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPM STFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNS FSSNPSTPVGSPPSLSGTAVWSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLR NHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSL >ENSMUSP00000144488.1 pep:known chromosome:GRCm38:18:69593413:69675730:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201037.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MYCAYTIPGMGGNSLMYYYNGKASYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPA NGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTA VWSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHG IMGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVAL >ENSMUSP00000147136.1 pep:known chromosome:GRCm38:18:69593419:69657838:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000209116.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MYCAYTIPGMGGNSLMYYYNGKAVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQEL SIPLLGRHQLQSSSDVHVPS >ENSMUSP00000144064.1 pep:known chromosome:GRCm38:18:69593419:69682723:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202751.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MYCAYTIPGMGGNSLMYYYNGKAVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDG HHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPM STFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNS FSSNPSTPVGSPPSLSEG >ENSMUSP00000110628.1 pep:known chromosome:GRCm38:18:69593426:69684528:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000114977.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MYCAYTIPGMGGNSLMYYYNGKAVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDG HHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPM STFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNS FSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVL RNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVA LRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGD ENLQDTKSSEDKKLDDDKKDIKSITSNNDDEDLTPEQKAEREKERRMANNARERLRVRDI NEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEK VSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000143892.1 pep:known chromosome:GRCm38:18:69593583:69651247:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000200921.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSS LPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAG >ENSMUSP00000144070.1 pep:known chromosome:GRCm38:18:69594182:69657765:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000201964.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSS LPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDH TNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSRIED >ENSMUSP00000144298.1 pep:known chromosome:GRCm38:18:69599536:69652414:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000145918.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSS LPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDH T >ENSMUSP00000143820.1 pep:known chromosome:GRCm38:18:69612444:69683198:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000202474.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MRLKQCRCSKAGLCLVDHEGRAEVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDG HHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPM STFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNS FSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVL RNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVA LRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGD ENLQDTKSSEDKKLDDDKKDIKSITSNNDDEDLTPEQKAEREKERRMANNARERLRVRDI NEAFKELGRMVQLHLKSDKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEK VSSEPPPLSLAGPHPGMGDAANHMGQM >ENSMUSP00000077577.6 pep:known chromosome:GRCm38:18:69345721:69687967:1 gene:ENSMUSG00000053477.16 transcript:ENSMUST00000078486.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf4 description:transcription factor 4 [Source:MGI Symbol;Acc:MGI:98506] MHHQQRMAALGTDKELSDLLDFSAMFSPPVSSGKNGPTSLASGHFTGSNVEDRSSSGSWG TGGHPSPSRNYGDGTPYDHMTSRDLGSHDNLSPPFVNSRIQSKTERGSYSSYGRENVQGC HQQSLLGGDMDMGNPGTLSPTKPGSQYYQYSSNNARRRPLHSSAMEVQTKKVRKVPPGLP SSVYAPSASTADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGM LGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPAN GTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAV WSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGI MGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQS ATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDI KSITRSRSSNNDDEDLTPEQKAEREKERRMANNARERLRVRDINEAFKELGRMVQLHLKS DKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSSEPPPLSLAGPHPGM GDAANHMGQM >ENSMUSP00000039819.8 pep:known chromosome:GRCm38:6:118107452:118139140:-1 gene:ENSMUSG00000042042.14 transcript:ENSMUST00000049344.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csgalnact2 description:chondroitin sulfate N-acetylgalactosaminyltransferase 2 [Source:MGI Symbol;Acc:MGI:1926002] MSRRGSILHSRTQWLLLGLALLFSLVLFMYLLECAPQTDGNASLPGVVRENYGKEYYQAL LQEQEEHYQTRATSLKRQIAQLKQELQDMSEKMRALQERKKLGANGVGYPGNREQAPSDL LEFLHSQIDRAEVSVGAKLPSEYGVVPFESFTLMKVFQLEMGLTRHPEEKPVRKDKRDEL VEVIEAGVEVINNPDEDDAQEDEEGPLGEKLIFNENDFIEGYYRTERDKGTQYELFFKKA DLMEYRHVTLFRPFGPLMKVKNELIDITRSVINIIVPLAERTEAFSQFMQNFRDVCIHQD KRIHLTVVYFGKEGLSKVKSILESVSSESDFHNYTLVSLDEEFNRGRGLNVGARAWDKGE VLMFFCDVDIYFSAEFLNSCRLNAEPGKKVFYPVVFSLYNPAIVYANQDVPPPVEQQLVH KKDSGFWRDFGFGMTCQYQSDFLSVGGFDMEVKGWGGEDVHLYRKYLHGDLIVIRTPVPG LFHLWHEKHCADELTPEQYRMCIQSKAMNEASHSHLGMMVFREEIEMHLRKQAYRTNSET AG >ENSMUSP00000116371.1 pep:known chromosome:GRCm38:6:118109336:118126406:-1 gene:ENSMUSG00000042042.14 transcript:ENSMUST00000136265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csgalnact2 description:chondroitin sulfate N-acetylgalactosaminyltransferase 2 [Source:MGI Symbol;Acc:MGI:1926002] MEYRHVTLFRPFGPLMKVKNELIDITRSVINIIVPLAERTEAFSQFMQNFRDVCIHQDKR IHLTVVYFGKEGLSKVKSILESVSSESDFHNYTLVSLDEEFNRGRGLNVGARAWDKGEVL MFFCDVDIYFSAEFLNSCRLNAEPGKKVFYPVVFSLYNPAIVYANQDVPPPVEQQLVHKK DSGFWRDFGFGMTCQYQSDFLSVGGFDMEVKGWGGEDVHLYRK >ENSMUSP00000027810.7 pep:known chromosome:GRCm38:1:175600374:175625635:-1 gene:ENSMUSG00000026526.14 transcript:ENSMUST00000027810.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fh1 description:fumarate hydratase 1 [Source:MGI Symbol;Acc:MGI:95530] MYRALRLLARSRRLLRVPSAGAAVSGEATTLPRCAPNVARMASQNSFRVEFDTFGELKVP TDKYYGAQTVRSTMNFKIGGATERMPIPVIQAFGILKRAAAEVNQEYGLDPKIASAIMKA ADEVAEGKLNDHFPLVVWQTGSGTQTNMNVNEVISNRAIEMLGGELGSKKPVHPNDHVNK SQSSNDTFPTAMHIAAAVEVHKVLLPGLQKLHDALSAKSKEFAQVIKIGRTHTQDAVPLT LGQEFSGYVQQVQYAMVRIKAAMPRIYELAAGGTAVGTGLNTRIGFAEKVAAKVAALTGL PFVTAPNKFEALAAHDALVELSGAMNTAACSLMKIANDIRFLGSGPRSGLGELILPENEP GSSIMPGKVNPTQCEAMTMVAAQVMGNHVAVTVGGSNGHFELNVFKPMMIKNVLHSARLL GDASVSFTDNCVVGIQANTERINKLMNESLMLVTALNPHIGYDKAAKIAKTAHKNGSTLK ETAIELGYLTAEQFDEWVKPKDMLGPK >ENSMUSP00000135385.1 pep:known chromosome:GRCm38:1:175601384:175609713:-1 gene:ENSMUSG00000026526.14 transcript:ENSMUST00000176740.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fh1 description:fumarate hydratase 1 [Source:MGI Symbol;Acc:MGI:95530] XTAVGTGLNTRIGFAEKVAAKVAALTGKVNPTQCEAMTMVAAQVMGNHVAVTVGGSNGHF ELNVFKPMMIKNVLHSARLLGDASVSFTDNCVVGIQANTERINKLMNESLMLVTALNPHI GYDKAAKIAKTAHKNGSTLKETAIELGYLTAEQFDEWVKPKDMLGPK >ENSMUSP00000135140.1 pep:known chromosome:GRCm38:1:175614776:175625573:-1 gene:ENSMUSG00000026526.14 transcript:ENSMUST00000154956.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fh1 description:fumarate hydratase 1 [Source:MGI Symbol;Acc:MGI:95530] MYRALRLLARSRRLLRVPSAGAAVSGEATTLPRCAPNVARMVSGAVAWTHSFQCAGQELS H >ENSMUSP00000031524.7 pep:known chromosome:GRCm38:5:115110299:115119346:-1 gene:ENSMUSG00000029545.13 transcript:ENSMUST00000031524.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acads description:acyl-Coenzyme A dehydrogenase, short chain [Source:MGI Symbol;Acc:MGI:87868] MAAALLARARGPLRRALGVRDWRRLHTVYQSVELPETHQMLRQTCRDFAEKELVPIAAQL DREHLFPTAQVKKMGELGLLAMDVPEELSGAGLDYLAYSIALEEISRACASTGVIMSVNN SLYLGPILKFGSAQQKQQWITPFTNGDKIGCFALSEPGNGSDAGAASTTAREEGDSWVLN GTKAWITNSWEASATVVFASTDRSRQNKGISAFLVPMPTPGLTLGKKEDKLGIRASSTAN LIFEDCRIPKENLLGEPGMGFKIAMQTLDMGRIGIASQALGIAQASLDCAVKYAENRNAF GAPLTKLQNIQFKLADMALALESARLLTWRAAMLKDNKKPFTKESAMAKLAASEAATAIS HQAIQILGGMGYVTEMPAERYYRDARITEIYEGTSEIQRLVIAGHLLRSYRS >ENSMUSP00000025925.4 pep:known chromosome:GRCm38:19:7557459:7588545:1 gene:ENSMUSG00000060675.13 transcript:ENSMUST00000025925.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g16 description:phospholipase A2, group XVI [Source:MGI Symbol;Acc:MGI:2179715] MLAPIPEPKPGDLIEIFRPMYRHWAIYVGDGYVIHLAPPSEIAGAGAASIMSALTDKAIV KKELLCHVAGKDKYQVNNKHDEEYTPLPLSKIIQRAERLVGQEVLYRLTSENCEHFVNEL RYGVPRSDQVRDAVKAVGIAGVGLAALGLVGVMLSRNKKQKQ >ENSMUSP00000115151.1 pep:known chromosome:GRCm38:19:7557485:7586711:1 gene:ENSMUSG00000060675.13 transcript:ENSMUST00000136756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g16 description:phospholipase A2, group XVI [Source:MGI Symbol;Acc:MGI:2179715] MLAPIPEPKPGDLIEIFRPMYRHWAIYVGDGYVIHLAPPSEIAGAGAASIMSALTDKAIV KKELLCHVAGKDKYQVNNKHDEEYTPLPLSKIIQRAERLVGQEVLYRLTSENCEHFVNEL RYGVPRSDQVRDAVKAVGIAGVGLAALGLVGVMLSRNKKQKQ >ENSMUSP00000119403.1 pep:known chromosome:GRCm38:19:7557459:7581728:1 gene:ENSMUSG00000060675.13 transcript:ENSMUST00000136465.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g16 description:phospholipase A2, group XVI [Source:MGI Symbol;Acc:MGI:2179715] MLAPIPEPKPGDLIEIFRPMYRHWAIYVGDGYVIHLAPPSEIAGAGAASIMSALTDKAIV KKELLCHVAGKDKYQVNNKHDEEYTPLPLSKIIQRAERLVGQEVLYRLTSENCEHFVNEL RYGVPRSDQVCHRPCTLTLGVRSFSQLPVAGPECGWRQNYKQDDNSLM >ENSMUSP00000123524.1 pep:known chromosome:GRCm38:19:7557477:7579133:1 gene:ENSMUSG00000060675.13 transcript:ENSMUST00000141887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g16 description:phospholipase A2, group XVI [Source:MGI Symbol;Acc:MGI:2179715] MLAPIPEPKPGDLIEIFRPMYRHWAIYVGDGYVIHLAPPSEIAGAGAASIMSALTDKAIV KKELLCHVAGKDKYQVNNKHDEEYTPLPLSKIIQRAERL >ENSMUSP00000088281.4 pep:known chromosome:GRCm38:13:23574470:23574932:1 gene:ENSMUSG00000071478.5 transcript:ENSMUST00000090776.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ad description:histone cluster 1, H2ad [Source:MGI Symbol;Acc:MGI:2448289] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000144265.1 pep:known chromosome:GRCm38:1:136467935:136527646:1 gene:ENSMUSG00000041498.13 transcript:ENSMUST00000201676.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kif14 description:kinesin family member 14 [Source:MGI Symbol;Acc:MGI:1098226] MSVHTSHSRHNIGSLEVSSSQKISASSGLVHSSRLELHLKADMSECENHDPFVNAGSKTI DINSTYVISACKKTRETPVTSDPRRLSLQRRATCGDRESSLLGSELGNRRTADTSLRLQR RHGRADYVGKWETLNPVGGNPGSDSASQASRTEAKGVNNDTRVLSSVVSVKDSNDTGLTR CKDPGPPVGASNEKVTVKDTNSRAPVGSQRQTEAMRSGHLVVQLTESKSDTPVSGGRNSH RGNAGKDTAKQVGTFGSSDTRTPVKCVLEHRWTPRHDPPPPKSPALSTPKNNGKDIPKHG STFRSASSESRTPVKCVPEHRWTPRHDLPPPKSPALSTLKNRIASPRVKPRPKSSLFANK RESSRESTLPPEENSLVQKTFTEPDSLKVENSQVTVAVRVRPFSKREKTEKASQVVFTNG EEITVEHPDMKQVYSFIYDVSFWSFDECHPGYASQTTVYETLAAPLLDRAFEGYNTCLFA YGQTGSGKSYTSATILK >ENSMUSP00000139698.1 pep:known chromosome:GRCm38:1:136467935:136531511:1 gene:ENSMUSG00000041498.13 transcript:ENSMUST00000189413.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif14 description:kinesin family member 14 [Source:MGI Symbol;Acc:MGI:1098226] MSVHTSHSRHNIGSLEVSSSQKISASSGLVHSSRLELHLKADMSECENHDPFVNAGSKTI DINSTYVISACKKTRETPVTSDPRRLSLQRRATCGDRESSLLGSELGNRRTADTSLRLQR RHGRADYVGKWETLNPVGGNPGSDSASQASRTEAKGVNNDTRVLSSVVSVKDSNDTGLTR CKDPGPPVGASNEKVTVKDTNSRAPVGSQRQTEAMRSGHLVVQLTESKSDTPVSGGRNSH RGNAGKDTAKQVGTFGSSDTRTPVKCVLEHRWTPRHDPPPPKSPALSTPKNNGKDIPKHG STFRSASSESRTPVKCVPEHRWTPRHDLPPPKSPALSTLKNRIASPRVKPRPKSSLFANK RESSRESTLPPEENSLVQKTFTEPDSLKVENSQVTVAVRVRPFSKREKTEKASQVVFTNG EEITVEHPDMKQVYSFIYDVSFWSFDECHPGYASQTTVYETLAAPLLDRAFEGYNTCLFA YGQTGSGKSYTMMGLNEEPGIIPRFCEDLFAQIAKKQTSEVSYHLEMSFFEVYNEKIHDL LVCKGENGQRKQPLRAREHPVSGPYVEGLSMNVVSSYSDIQSWLELGNKQRATAATGMND KSSRSHSVFTLVMTQTKTEVVEGEEHDHRITSRINLVDLAGSERCSTAHSSGQRLKEGVS INKSLLTLGKVISALSEQANGKRVFIPYRESTLTWLLKESLGGNSKTAMIATVSPAASNI EETLSTLRYATQARLIVNIAKVNEDMNAKLIRELKAEIEKLKAAQRSNRNIDPERYRLCR QEITSLRMKLHQQERDMAEIQRVWKEKFEQAEKRKLQETKELQKAGVTFQMDNHLPNLVN LNEDPQLSEMLLYMVKEGVTTVGKHTPSSSHDIQLSGVLIADDHCTIRNFGGTVSIVPAG EAKTYVNGTHISEPTVLHHGDRVVLGGDHYFRFNHPVEVQKGKKLSSRNNLTTSEGPKDF EFAKNELLTAQRSRLEAEIKDAQLKAKEEMMQGIQIAKEMAQQELSSQKAVYERKIQALE AELREESQRKRLEELNNQKASHKIEELERAKQHLEQEVYVNKRRLEMETLATKQALEDHR IRHARILEALEIEKQKIAEEVQMLQENRGNRDKTFTIQPNWNSMKLSTMIQEANAISDKF KKCYIFGRHDASDKGRSDTSVRVRNLQLGISTFWSLEKFESKLAAMKELYESNGGDRDED VFCDPADEWEPDITSTPVSSLSRRRSRSLMKNRRVSGCLHDIHPIQSMQSSHSSGLMEKP STIYSNSSESFLPGICKELIGSSIDFLGQSFDEEKTIADSLINNLLRLHNGVIAISKAHE EQDEESQDNLFSDRAAQALTIQVACAFEQLVVLFKHWLGDFLPCTGSARLEDELRQDIKK LGGYLQLFLQGCCSDISSMVKEAQNKVMKIIQQAVQCVGQLAVLKGSKLCVLENSSKVSS TQEFMAALQDGVTSGMKSLLDSGLETAQDLRQDLSRQSAREEVTKQMKASTVEWVGSLEN AVAEWRTKSFRTQAQEGSRQQVSKLLSLASEFLKLKSCLQQTVEMIVSALRGCPSDLHCL RSCTETICSLARKLHSDFSAHSASAGSCGNELPRADCEELESLAKSLLLCFECGESPGLS KPWESCSSNSKEEQCKSDRADCGKSGPRRACEPHGDATPAVSSGDCTPNRIQWV >ENSMUSP00000142040.1 pep:known chromosome:GRCm38:1:136476340:136479915:1 gene:ENSMUSG00000041498.13 transcript:ENSMUST00000195274.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif14 description:kinesin family member 14 [Source:MGI Symbol;Acc:MGI:1098226] VSYHLEMSFFEVYNEKIHDLLVCKGENGQRKQPSWLELGNKQRATAATGMNDKSSRSHSV FTLVMTQTK >ENSMUSP00000044257.6 pep:known chromosome:GRCm38:1:136467958:136531511:1 gene:ENSMUSG00000041498.13 transcript:ENSMUST00000047817.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif14 description:kinesin family member 14 [Source:MGI Symbol;Acc:MGI:1098226] MSVHTSHSRHNIGSLEVSSSQKISASSGLVHSSRLELHLKADMSECENHDPFVNAGSKTI DINSTYVISACKKTRETPVTSDPRRLSLQRRATCGDRESSLLGSELGNRRTADTSLRLQR RHGRADYVGKWETLNPVGGNPGSDSASQASRTEAKGVNNDTRVLSSVVSVKDSNDTGLTR CKDPGPPVGASNEKVTVKDTNSRAPVGSQRQTEAMRSGHLVVQLTESKSDTPVSGGRNSH RGNAGKDTAKQVGTFGSSDTRTPVKCVLEHRWTPRHDLPPPKSPALSTLKNRIASPRVKP RPKSSLFANKRESSRESTLPPEENSLVQKTFTEPDSLKVENSQVTVAVRVRPFSKREKTE KASQVVFTNGEEITVEHPDMKQVYSFIYDVSFWSFDECHPGYASQTTVYETLAAPLLDRA FEGYNTCLFAYGQTGSGKSYTMMGLNEEPGIIPRFCEDLFAQIAKKQTSEVSYHLEMSFF EVYNEKIHDLLVCKGENGQRKQPLRAREHPVSGPYVEGLSMNVVSSYSDIQSWLELGNKQ RATAATGMNDKSSRSHSVFTLVMTQTKTEVVEGEEHDHRITSRINLVDLAGSERCSTAHS SGQRLKEGVSINKSLLTLGKVISALSEQANGKRVFIPYRESTLTWLLKESLGGNSKTAMI ATVSPAASNIEETLSTLRYATQARLIVNIAKVNEDMNAKLIRELKAEIEKLKAAQRSNRN IDPERYRLCRQEITSLRMKLHQQERDMAEIQRVWKEKFEQAEKRKLQETKELQKAGVTFQ MDNHLPNLVNLNEDPQLSEMLLYMVKEGVTTVGKHTPSSSHDIQLSGVLIADDHCTIRNF GGTVSIVPAGEAKTYVNGTHISEPTVLHHGDRVVLGGDHYFRFNHPVEVQKGKKLSSRNN LTTSEGPKDFEFAKNELLTAQRSRLEAEIKDAQLKAKEEMMQGIQIAKEMAQQELSSQKA VYERKIQALEAELREESQRKRLEELNNQKASHKIEELERAKQHLEQEVYVNKRRLEMETL ATKQALEDHRIRHARILEALEIEKQKIAEEVQMLQENRGNRDKTFTIQPNWNSMKLSTMI QEANAISDKFKKCYIFGRHDASDKGRSDTSVRVRNLQLGISTFWSLEKFESKLAAMKELY ESNGGDRDEDVFCDPADEWEPDITSTPVSSLSRRRSRSLMKNRRVSGCLHDIHPIQSMQS SHSSGLMEKPSTIYSNSSESFLPGICKELIGSSIDFLGQSFDEEKTIADSLINNLLRLHN GVIAISKAHEEQDEESQDNLFSDRAAQALTIQVACAFEQLVVLFKHWLGDFLPCTGSARL EDELRQDIKKLGGYLQLFLQGCCSDISSMVKEAQNKVMKIIQQAVQCVGQLAVLKGSKLC VLENSSKVSSTQEFMAALQDGVTSGMKSLLDSGLETAQDLRQDLSRQSAREEVTKQMKAS TVEWVGSLENAVAEWRTKSFRTQAQEGSRQQVSKLLSLASEFLKLKSCLQQTVEMIVSAL RGCPSDLHCLRSCTETICSLARKLHSDFSAHSASAGSCGNELPRADCEELESLAKSLLLC FECGESPGLSKPWESCSSNSKEEQCKSDRADCGKSGPRRACEPHGDATPAVSSGDCTPNR IQWV >ENSMUSP00000004784.4 pep:known chromosome:GRCm38:10:79988584:79996062:1 gene:ENSMUSG00000004665.10 transcript:ENSMUST00000004784.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnn2 description:calponin 2 [Source:MGI Symbol;Acc:MGI:105093] MSSTQFNKGPSYGLSAEVKNRLLSKYDPQKEAELRSWIEGLTGLSIGPDFQKGLKDGVIL CTLMNKLQPGSVPKINRSMQNWHQLENLSNFIKAMVSYGMNPVDLFEANDLFESGNMTQV QVSLLALAGKAKTKGLQSGVDIGVKYSEKQERNFDDATMKAGQCVIGLQMGTNKCASQSG MTAYGTRRHLYDPKNHILPPMDHCTISLQMGTNKCASQVGMTAPGTRRHIYDTKLGTDKC DNSSMSLQMGYTQGANQSGQVFGLGRQIYDPKYCPQGSAADGAPAGDGQGEAPEYLAYCQ EEAGY >ENSMUSP00000101013.1 pep:known chromosome:GRCm38:10:79988623:79994684:1 gene:ENSMUSG00000004665.10 transcript:ENSMUST00000105374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnn2 description:calponin 2 [Source:MGI Symbol;Acc:MGI:105093] MSSTQFNKGPSYGLSAEVKNRLLSKYDPQKEAELRSWIEGLTGLSIGPDFQKGLKDGVIL CTLMNKLQPGSVPKINRSMQNWHQLENLSNFIKAMVSYGMNPVDLFEANDLFESGNMTQV QVSLLALAGKMGTNKCASQSGMTAYGTRRHLYDPKNHILPPMDHCTISLQMGTNKCASQV GMTAPGTRRHIYDTKLGTDKCDNSSMSLQMGYTQGANQSGQVFGLGRQIYDPKYCPQGSA ADGAPAGDGQGEAPEYLAYCQEEAGY >ENSMUSP00000029626.8 pep:known chromosome:GRCm38:3:129901425:129914103:1 gene:ENSMUSG00000027997.9 transcript:ENSMUST00000029626.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp6 description:caspase 6 [Source:MGI Symbol;Acc:MGI:1312921] MTETDGFYKSREVFDPAEQYKMDHKRRGVALIFNHERFFWHLTLPERRGTNADRDNLTRR FSDLGFEVKCFNDLRAEELLLKIHEVSTSSHIDADCFICVFLSHGEGNHVYAYDAKIEIQ TLTGLFKGDKCQSLVGKPKIFIIQACRGSQHDVPVVPLDMVDHQTDKLDNVTQVDAASVY TLPAGADFLMCYSVAEGYYSHRETVNGSWYIQDLCEMLARYGSSLEFTELLTLVNRKVSQ RRVDFCKDPDAIGKKQVPCFASMLTKKLHFCPKPSK >ENSMUSP00000033570.5 pep:known chromosome:GRCm38:X:100494291:100516125:1 gene:ENSMUSG00000031221.7 transcript:ENSMUST00000033570.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igbp1 description:immunoglobulin (CD79A) binding protein 1 [Source:MGI Symbol;Acc:MGI:1346500] MAASEDELLLPRLPELFETSKKLLEDVEVATEPTGSRTIQDKVSKGLELLEKAAGMLSQL DLFSRNEDLEEIASTDLKYLMVPALQGALTMKQVNPSKRLDHLQRAREHFVHFLTQCHCY HVAEFQLPQTKTNSAENNTASSSMAYPNLVAMASQRQAKIERYKQKKEVEHRLSALKSAV ESGQADDERVREYHLLHLRRWIAVSLEELESIDQEIKILKEKDSPREETACHSSLPEKPP MKPFILTRNKAQAKVFGTGYPSLATMTVSDWYEQHQKYGVLPDRGIAKPASADFQRAAQQ QEDQEQKDEESEEKALHRMREWDDWKDTHPRGYGNRQNMG >ENSMUSP00000074602.5 pep:known chromosome:GRCm38:6:123778971:123816280:1 gene:ENSMUSG00000072780.2 transcript:ENSMUST00000075095.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r24 description:vomeronasal 2, receptor 24 [Source:MGI Symbol;Acc:MGI:3647530] MKLLIAFSPLIVLIFFQEQISCFYLAKSASSGYYQDGDFVIGGLFSLRMTLGGHLKPKIL FKDKTDTLDVVHVDLTRHYQHILAIVFAIENINKDPHILFNMSLGFYLFNVNFIETKAME SSMGLLSGESPPIPNYTCRPEKTDKLVAVIGGISTSISTQISRVLSLYNIPQISYAPFDQ SLGTSVQLQSPYQFPVHNVALYQGIIQLLLYFTWVWVGLVVPDDMRGELFLRDITEEMTN HGLCVAFAEKVTEFSATKSVNLKHFMERVTLTGVIVAFGDTHDFLISVYLSIYYAPFGNI WITTSDWYITLPIEQNRVYRHFGGGLLFSFHMDEILGFKDFLRSVQPRKYPHDIFIQDVW SILFECPYFDKDGVRELSQCEPNGSLSTRPLHAWDMNTSPSSYKVHAAVYAIAQALHEEL SLRVEGDSLDKSVLRPPLPWKLHPFLQNSQLGRSSNEKNVVNKEVSATKLDIFNYQSLQS GTKAQVKVGEFVFESHSVQHLSLNDKLITWGEHHNQSPLSACSQSCPLGFRKTSVEGKPF CCFDCAPCPDGEIANETDMDQCIKCPEDQYPNKQRNQCLPKIMVFLSHEDTLGAVLVSLA ISLSAFSAMILGLFVCYRDTSIVRANNRNLSYLLLISLMFCFFCSLIFIGQPSTVTCVLR QMIFGVVFSVAVSAILAKTFIVVVAFKAIKPGSRLQMWMVMRLSNVIVCCGSIIQVCICA VWLGTYPPFPDVDMHSEFGHIILCCNEGSTLAFYCVLGYLGFLASLSLLIAFLVRRLPDS FNEAKTITFSMLVFCSVWISFIPTYLSSTGKTMVAVEIFSILASSAGLLGCMFLPKCYVI LLRSADLSRKKFFK >ENSMUSP00000139407.1 pep:known chromosome:GRCm38:13:100651579:100655595:1 gene:ENSMUSG00000052293.14 transcript:ENSMUST00000190165.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf9 description:TAF9 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1888697] MESGKMASPKSMPKDAQMMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSH AKKATVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQRNQTPLPLIKPYSGPRLPPDRY CLTAPNYRLKSLQKKAPAPAGRITVPRLSVGSVSSRPSTPTLGTPTPQTMSVSTKVGTPM SL >ENSMUSP00000140423.1 pep:known chromosome:GRCm38:13:100651584:100655515:1 gene:ENSMUSG00000052293.14 transcript:ENSMUST00000185767.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf9 description:TAF9 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1888697] MESGKMASPKSMPKDAQMMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSH AKKATVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQRNQTPLPLIKPYSGPRLPPDRY CLTAPNYRLKSLQKKAPAPAGRITVPRLSVGSVSS >ENSMUSP00000140051.1 pep:known chromosome:GRCm38:13:100651625:100655560:1 gene:ENSMUSG00000052293.14 transcript:ENSMUST00000187792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf9 description:TAF9 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1888697] MESGKMASPKSMPKDAQMMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSH AKKATVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQRNQTPLPLIKPYSGPRLPPDRY CLTAPNYRLKSLQKKAPAPAGRITVPRLSVGSVSSRPSTPTLGTPTPQTM >ENSMUSP00000140244.1 pep:known chromosome:GRCm38:13:100651626:100656060:1 gene:ENSMUSG00000052293.14 transcript:ENSMUST00000190594.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf9 description:TAF9 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1888697] MESGKMASPKSMPKDAQMMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSH AKKATVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQRNQTPLPLIKPYSGPRLPPDRY CLTAPNYRLKSLQKKAPAPAGRITVPRLSVGSVSSRPSTPTLGTPTPQTMSVSTKVGTPM SLTGQRFTVQMPASQSPAVKASIPATSTVQNVLINPSLIGSKNILITTNMVSQNTAESAN ALKRKREDDDDDDDDDDDDDYDNM >ENSMUSP00000132143.1 pep:known chromosome:GRCm38:13:100651607:100656059:1 gene:ENSMUSG00000052293.14 transcript:ENSMUST00000167256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf9 description:TAF9 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1888697] MESGKMASPKSMPKDAQMMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSH AKKATVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQRNQTPLPLIKPYSGPRLPPDRY CLTAPNYRLKSLQKKAPAPAGRITVPRLSVGSVSSRPSTPTLGTPTPQTMSVSTKVGTPM SLTGQRFTVQMPASQSPAVKASIPATSTVQNVLINPSLIGSKNILITTNMVSQNTAESAN ALKRKREDDDDDDDDDDDDDYDNM >ENSMUSP00000140394.1 pep:known chromosome:GRCm38:13:21717659:21718069:1 gene:ENSMUSG00000101355.1 transcript:ENSMUST00000188775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h3h description:histone cluster 1, H3h [Source:MGI Symbol;Acc:MGI:2448349] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEACEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000014917.7 pep:known chromosome:GRCm38:17:15367354:15375976:-1 gene:ENSMUSG00000014773.13 transcript:ENSMUST00000014917.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dll1 description:delta-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:104659] MGRRSALALAVVSALLCQVWSSGVFELKLQEFVNKKGLLGNRNCCRGGSGPPCACRTFFR VCLKHYQASVSPEPPCTYGSAVTPVLGVDSFSLPDGAGIDPAFSNPIRFPFGFTWPGTFS LIIEALHTDSPDDLATENPERLISRLTTQRHLTVGEEWSQDLHSSGRTDLRYSYRFVCDE HYYGEGCSVFCRPRDDAFGHFTCGDRGEKMCDPGWKGQYCTDPICLPGCDDQHGYCDKPG ECKCRVGWQGRYCDECIRYPGCLHGTCQQPWQCNCQEGWGGLFCNQDLNYCTHHKPCRNG ATCTNTGQGSYTCSCRPGYTGANCELEVDECAPSPCKNGASCTDLEDSFSCTCPPGFYGK VCELSAMTCADGPCFNGGRCSDNPDGGYTCHCPLGFSGFNCEKKMDLCGSSPCSNGAKCV DLGNSYLCRCQAGFSGRYCEDNVDDCASSPCANGGTCRDSVNDFSCTCPPGYTGKNCSAP VSRCEHAPCHNGATCHQRGQRYMCECAQGYGGPNCQFLLPEPPPGPMVVDLSERHMESQG GPFPWVAVCAGVVLVLLLLLGCAAVVVCVRLKLQKHQPPPEPCGGETETMNNLANCQREK DVSVSIIGATQIKNTNKKADFHGDHGAEKSSFKVRYPTVDYNLVRDLKGDEATVRDTHSK RDTKCQSQSSAGEEKIAPTLRGGEIPDRKRPESVYSTSKDTKYQSVYVLSAEKDECVIAT EV >ENSMUSP00000032399.5 pep:known chromosome:GRCm38:6:145216699:145250239:-1 gene:ENSMUSG00000030265.14 transcript:ENSMUST00000032399.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kras description:Kirsten rat sarcoma viral oncogene homolog [Source:MGI Symbol;Acc:MGI:96680] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNTKSFEDIHHYREQIKRVKDSEDVPMVLVGNKCDL PSRTVDTKQAQELARSYGIPFIETSAKTRQGVDDAFYTLVREIRKHKEKMSKDGKKKKKK SRTRCTVM >ENSMUSP00000145294.1 pep:known chromosome:GRCm38:6:145220221:145250239:-1 gene:ENSMUSG00000030265.14 transcript:ENSMUST00000203147.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kras description:Kirsten rat sarcoma viral oncogene homolog [Source:MGI Symbol;Acc:MGI:96680] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEGVDDAFYTLVREIRKHKEKMSKD GKKKKKKSRTRCTVM >ENSMUSP00000107339.1 pep:known chromosome:GRCm38:6:145220245:145250177:-1 gene:ENSMUSG00000030265.14 transcript:ENSMUST00000111710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kras description:Kirsten rat sarcoma viral oncogene homolog [Source:MGI Symbol;Acc:MGI:96680] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNTKSFEDIHHYREQIKRVKDSEDVPMVLVGNKCDL PSRTVDTKQAQELARSYGIPFIETSAKTRQRVEDAFYTLVREIRQYRLKKISKEEKTPGC VKIKKCVIM >ENSMUSP00000119414.1 pep:known chromosome:GRCm38:6:145220297:145250170:-1 gene:ENSMUSG00000030265.14 transcript:ENSMUST00000156486.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kras description:Kirsten rat sarcoma viral oncogene homolog [Source:MGI Symbol;Acc:MGI:96680] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIERTN >ENSMUSP00000118251.1 pep:known chromosome:GRCm38:6:145246704:145250202:-1 gene:ENSMUSG00000030265.14 transcript:ENSMUST00000155145.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kras description:Kirsten rat sarcoma viral oncogene homolog [Source:MGI Symbol;Acc:MGI:96680] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDP >ENSMUSP00000076623.5 pep:known chromosome:GRCm38:6:83165920:83200116:1 gene:ENSMUSG00000031865.16 transcript:ENSMUST00000077407.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn1 description:dynactin 1 [Source:MGI Symbol;Acc:MGI:107745] MSTEASARPLRVGSRVEVIGKGHRGTVAYVGATLFATGKWVGVILDEAKGKNDGTVQGRK YFTCDEGHGIFVRQSQIQVFEDGADTTSPETPDSSASKVLKREGADAAAKTSKLPTRPAS TGVAGPSSSLGPSGSASAGELSSSEPSTPAQTPLAAPIIPTPALTSPGAAPPLPSPSKEE EGLRAQVRDLEEKLETLRLKRSEDKAKLKELEKHKIQLEQVQEWKSKMQEQQADLQRRLK EARKEAKEALEAKERYMEEMADTADAIEMATLDKEMAEERAESLQQEVEALKERVDELTT DLEILKAEIEEKGSDGAASSYQLKQLEEQNARLKDALVRMRDLSSSEKQEHVKLQKLMEK KNQELEVVRQQRERLQEELSQAESTIDELKEQVDAALGAEEMVEMLTDRNLNLEEKVREL RETVGDLEAMNEMNDELQENARETELELREQLDMAGARVREAQKRVEAAQETVADYQQTI KKYRQLTAHLQDVNRELTNQQEASVERQQQPPPETFDFKIKFAETKAHAKAIEMELRQME VAQANRHMSLLTAFMPDSFLRPGGDHDCVLVLLLMPRLICKAELIRKQAQEKFDLSENCS ERPGLRGAAGEQLSFAAGLVYSLSLLQATLHRYEHALSQCSVDVYKKVGSLYPEMSAHER SLDFLIELLHKDQLDETVNVEPLTKAIKYYQHLYSIHLAEQPEDSTMQLADHIKFTQSAL DCMGVEVGRLRAFLQGGQEATDIALLLRDLETSCSDTRQFCKKIRRRMPGTDAPGIPAAL AFGSQVSDTLLDCRKHLTWVVAVLQEVAAAAAQLIAPLAENEGLPVAALEELAFKASEQI YGSPSSSPYECLRQSCTILISTMNKLATAMQEGEYDAERPPSKPPPVELRAAALRAEITD AEGLGLKLEDRETVIKELKKSLKIKGEELSEANVRLSLLEKKLDSAAKDADERIEKVQTR LDETQTLLRKKEKDFEETMDALQADIDQLEAEKAELKQRLNSQSKRTIEGLRGPPPSGIA TLVSGIAGGGAPGQAPGALPGPGLVKDSPLLLQQISAMRLHISQLQHENSILRGAQMKAS LAALPPLHVAKLSLPPHEGPGGNLVAGALYRKTSQLLEKLNQLSTHTHVVDITRSSPAAK SPSAQLMEQVAQLKSLSDTIEKLKDEVLKETVTQRPGATVPTDFATFPSSAFLRAKEEQQ DDTVYMGKVTFSCAAGLGQRHRLVLTQEQLHQLHSRLIS >ENSMUSP00000109546.1 pep:known chromosome:GRCm38:6:83165951:83200117:1 gene:ENSMUSG00000031865.16 transcript:ENSMUST00000113913.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn1 description:dynactin 1 [Source:MGI Symbol;Acc:MGI:107745] MSTEASARPLRVGSRVEVIGKGHRGTVAYVGATLFATGKWVGVILDEAKGKNDGTVQGRK YFTCDEGHGIFVRQSQIQVFEDGADTTSPETPDSSASKVLKREGADAAAKTSKLRGLKPK KAPTARKTTTRRPKPTRPASTGVAGPSSSLGPSGSASAGELSSSEPSTPAQTPLAAPIIP TPALTSPGAAPPLPSPSKEEEGLRAQVRDLEEKLETLRLKRSEDKAKLKELEKHKIQLEQ VQEWKSKMQEQQADLQRRLKEARKEAKEALEAKERYMEEMADTADAIEMATLDKEMAEER AESLQQEVEALKERVDELTTDLEILKAEIEEKGSDGAASSYQLKQLEEQNARLKDALVRM RDLSSSEKQEHVKLQKLMEKKNQELEVVRQQRERLQEELSQAESTIDELKEQVDAALGAE EMVEMLTDRNLNLEEKVRELRETVGDLEAMNEMNDELQENARETELELREQLDMAGARVR EAQKRVEAAQETVADYQQTIKKYRQLTAHLQDVNRELTNQQEASVERQQQPPPETFDFKI KFAETKAHAKAIEMELRQMEVAQANRHMSLLTAFMPDSFLRPGGDHDCVLVLLLMPRLIC KAELIRKQAQEKFDLSENCSERPGLRGAAGEQLSFAAGLVYSLSLLQATLHRYEHALSQC SVDVYKKVGSLYPEMSAHERSLDFLIELLHKDQLDETVNVEPLTKAIKYYQHLYSIHLAE QPEDSTMQLADHIKFTQSALDCMGVEVGRLRAFLQGGQEATDIALLLRDLETSCSDTRQF CKKIRRRMPGTDAPGIPAALAFGSQVSDTLLDCRKHLTWVVAVLQEVAAAAAQLIAPLAE NEGLPVAALEELAFKASEQIYGSPSSSPYECLRQSCTILISTMNKLATAMQEGEYDAERP PSKPPPVELRAAALRAEITDAEGLGLKLEDRETVIKELKKSLKIKGEELSEANVRLSLLE KKLDSAAKDADERIEKVQTRLDETQTLLRKKEKDFEETMDALQADIDQLEAEKAELKQRL NSQSKRTIEGLRGPPPSGIATLVSGIAGEEPQRGGAPGQAPGALPGPGLVKDSPLLLQQI SAMRLHISQLQHENSILRGAQMKASLAALPPLHVAKLSLPPHEGPGGNLVAGALYRKTSQ LLEKLNQLSTHTHVVDITRSSPAAKSPSAQLMEQVAQLKSLSDTIEKLKDEVLKETVTQR PGATVPTDFATFPSSAFLRAKEEQQDDTVYMGKVTFSCAAGLGQRHRLVLTQEQLHQLHS RLIS >ENSMUSP00000115838.1 pep:known chromosome:GRCm38:6:83166004:83189582:1 gene:ENSMUSG00000031865.16 transcript:ENSMUST00000130212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn1 description:dynactin 1 [Source:MGI Symbol;Acc:MGI:107745] MSTEASARPLRVGSRVEVIGKGHRGTVAYVGATLFATGKWVGVILDEAKGKNDGTVQGRK YFTCDEGHGIFVRQSQIQVFEDGADTTSPETPDSSASKVLKREGADAAAKTSKLPTRPAS TGVAGPSSSLGPSGSASAGELSSSEPSTPAQTPLAAPIIPTPALTSPGAAPPLPSPSKEE EGLRAQVRDL >ENSMUSP00000109552.3 pep:known chromosome:GRCm38:6:83179586:83199970:1 gene:ENSMUSG00000031865.16 transcript:ENSMUST00000113919.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn1 description:dynactin 1 [Source:MGI Symbol;Acc:MGI:107745] MAQSRRHMSSRTPSGSRMSTEASARPLRVGSRVEVIGKGHRGTVAYVGATLFATGKWVGV ILDEAKGKNDGTVQGRKYFTCDEGHGIFVRQSQIQVFEDGADTTSPETPDSSASKVLKRE GADAAAKTSKLRGLKPKKAPTARKTTTRRPKPTRPASTGVAGPSSSLGPSGSASAGELSS SEPSTPAQTPLAAPIIPTPALTSPGAAPPLPSPSKEEEGLRAQVRDLEEKLETLRLKRSE DKAKLKELEKHKIQLEQVQEWKSKMQEQQADLQRRLKEARKEAKEALEAKERYMEEMADT ADAIEMATLDKEMAEERAESLQQEVEALKERVDELTTDLEILKAEIEEKGSDGAASSYQL KQLEEQNARLKDALVRMRDLSSSEKQEHVKLQKLMEKKNQELEVVRQQRERLQEELSQAE STIDELKEQVDAALGAEEMVEMLTDRNLNLEEKVRELRETVGDLEAMNEMNDELQENARE TELELREQLDMAGARVREAQKRVEAAQETVADYQQTIKKYRQLTAHLQDVNRELTNQQEA SVERQQQPPPETFDFKIKFAETKAHAKAIEMELRQMEVAQANRHMSLLTAFMPDSFLRPG GDHDCVLVLLLMPRLICKAELIRKQAQEKFDLSENCSERPGLRGAAGEQLSFAAGLVYSL SLLQATLHRYEHALSQCSVDVYKKVGSLYPEMSAHERSLDFLIELLHKDQLDETVNVEPL TKAIKYYQHLYSIHLAEQPEDSTMQLADHIKFTQSALDCMGVEVGRLRAFLQGGQEATDI ALLLRDLETSCSDTRQFCKKIRRRMPGTDAPGIPAALAFGSQVSDTLLDCRKHLTWVVAV LQEVAAAAAQLIAPLAENEGLPVAALEELAFKASEQIYGSPSSSPYECLRQSCTILISTM NKLATAMQEGEYDAERPPSKPPPVELRAAALRAEITDAEGLGLKLEDRETVIKELKKSLK IKGEELSEANVRLSLLEKKLDSAAKDADERIEKVQTRLDETQTLLRKKEKDFEETMDALQ ADIDQLEAEKAELKQRLNSQSKRTIEGLRGPPPSGIATLVSGIAGEEPQRGGAPGQAPGA LPGPGLVKDSPLLLQQISAMRLHISQLQHENSILRGAQMKASLAALPPLHVAKLSLPPHE GPGGNLVAGALYRKTSQLLEKLNQLSTHTHVVDITRSSPAAKSPSAQLMEQVAQLKSLSD TIEKLKDEVLKETVTQRPGATVPTDFATFPSSAFLRAKEEQQDDTVYMGKVTFSCAAGLG QRHRLVLTQEQLHQLHSRLIS >ENSMUSP00000109551.1 pep:known chromosome:GRCm38:6:83179589:83200116:1 gene:ENSMUSG00000031865.16 transcript:ENSMUST00000113918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn1 description:dynactin 1 [Source:MGI Symbol;Acc:MGI:107745] MAQSRRHMSSRTPSGSRMSTEASARPLRVGSRVEVIGKGHRGTVAYVGATLFATGKWVGV ILDEAKGKNDGTVQGRKYFTCDEGHGIFVRQSQIQVFEDGADTTSPETPDSSASKVLKRE GADAAAKTSKLRGLKPKKAPTARKTTTRRPKPTRPASTGVAGPSSSLGPSGSASAGELSS SEPSTPAQTPLAAPIIPTPALTSPGAAPPLPSPSKEEEGLRAQVRDLEEKLETLRLKRSE DKAKLKELEKHKIQLEQVQEWKSKMQEQQADLQRRLKEARKEAKEALEAKERYMEEMADT ADAIEMATLDKEMAEERAESLQQEVEALKERVDELTTDLEILKAEIEEKGSDGAASSYQL KQLEEQNARLKDALVRMRDLSSSEKQEHVKLQKLMEKKNQELEVVRQQRERLQEELSQAE STIDELKEQVDAALGAEEMVEMLTDRNLNLEEKVRELRETVGDLEAMNEMNDELQENARE TELELREQLDMAGARVREAQKRVEAAQETVADYQQTIKKYRQLTAHLQDVNRELTNQQEA SVERQQQPPPETFDFKIKFAETKAHAKAIEMELRQMEVAQANRHMSLLTAFMPDSFLRPG GDHDCVLVLLLMPRLICKAELIRKQAQEKFDLSENCSERPGLRGAAGEQLSFAAGLVYSL SLLQATLHRYEHALSQCSVDVYKKVGSLYPEMSAHERSLDFLIELLHKDQLDETVNVEPL TKAIKYYQHLYSIHLAEQPEDSTMQLADHIKFTQSALDCMGVEVGRLRAFLQGGQEATDI ALLLRDLETSCSDTRQFCKKIRRRMPGTDAPGIPAALAFGSQVSDTLLDCRKHLTWVVAV LQEVAAAAAQLIAPLAENEGLPVAALEELAFKASEQIYGSPSSSPYECLRQSCTILISTM NKLATAMQEGEYDAERPPSKPPPVELRAAALRAEITDAEGLGLKLEDRETVIKELKKSLK IKGEELSEANVRLSLLEKKLDSAAKDADERIEKVQTRLDETQTLLRKKEKDFEETMDALQ ADIDQLEAEKAELKQRLNSQSKRTIEGLVKDSPLLLQQISAMRLHISQLQHENSILRGAQ MKASLAALPPLHVAKLSLPPHEGPGGNLVAGALYRKTSQLLEKLNQLSTHTHVVDITRSS PAAKSPSAQLMEQVAQLKSLSDTIEKLKDEVLKETVTQRPGATVPTDFATFPSSAFLRAK EEQQDDTVYMGKVTFSCAAGLGQRHRLVLTQEQLHQLHSRLIS >ENSMUSP00000121538.1 pep:known chromosome:GRCm38:6:83179613:83189153:1 gene:ENSMUSG00000031865.16 transcript:ENSMUST00000141680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn1 description:dynactin 1 [Source:MGI Symbol;Acc:MGI:107745] MSTEASARPLRVGSRVEVIGKGHRGTVAYVGATLFATGKWVGVILDEAKGKNDGTVQGRK YFTCDEGHGIFVRQSQIQVFEDGADTTSPETPDSSASKVLKREGADAAAKTSKLRGLKPK KAPTARKTTTRRPKPTRPASTGVAGPSSSLGPSGSASAG >ENSMUSP00000109540.1 pep:known chromosome:GRCm38:6:83185946:83200117:1 gene:ENSMUSG00000031865.16 transcript:ENSMUST00000113907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn1 description:dynactin 1 [Source:MGI Symbol;Acc:MGI:107745] MMRQAPTARKTTTRRPKPTRPASTGVAGPSSSLGPSGSASAGELSSSEPSTPAQTPLAAP IIPTPALTSPGAAPPLPSPSKEEEGLRAQVRDLEEKLETLRLKRSEDKAKLKELEKHKIQ LEQVQEWKSKMQEQQADLQRRLKEARKEAKEALEAKERYMEEMADTADAIEMATLDKEMA EERAESLQQEVEALKERVDELTTDLEILKAEIEEKGSDGAASSYQLKQLEEQNARLKDAL VRMRDLSSSEKQEHVKLQKLMEKKNQELEVVRQQRERLQEELSQAESTIDELKEQVDAAL GAEEMVEMLTDRNLNLEEKVRELRETVGDLEAMNEMNDELQENARETELELREQLDMAGA RVREAQKRVEAAQETVADYQQTIKKYRQLTAHLQDVNRELTNQQEASVERQQQPPPETFD FKIKFAETKAHAKAIEMELRQMEVAQANRHMSLLTAFMPDSFLRPGGDHDCVLVLLLMPR LICKAELIRKQAQEKFDLSENCSERPGLRGAAGEQLSFAAGLVYSLSLLQATLHRYEHAL SQCSVDVYKKVGSLYPEMSAHERSLDFLIELLHKDQLDETVNVEPLTKAIKYYQHLYSIH LAEQPEDSTMQLADHIKFTQSALDCMGVEVGRLRAFLQGGQEATDIALLLRDLETSCSDT RQFCKKIRRRMPGTDAPGIPAALAFGSQVSDTLLDCRKHLTWVVAVLQEVAAAAAQLIAP LAENEGLPVAALEELAFKASEQIYGSPSSSPYECLRQSCTILISTMNKLATAMQEGEYDA ERPPSKPPPVELRAAALRAEITDAEGLGLKLEDRETVIKELKKSLKIKGEELSEANVRLS LLEKKLDSAAKDADERIEKVQTRLDETQTLLRKKEKDFEETMDALQADIDQLEAEKAELK QRLNSQSKRTIEGLRGPPPSGIATLVSGIAGGGAPGQAPGALPGPGLVKDSPLLLQQISA MRLHISQLQHENSILRGAQMKASLAALPPLHVAKLSLPPHEGPGGNLVAGALYRKTSQLL EKLNQLSTHTHVVDITRSSPAAKSPSAQLMEQVAQLKSLSDTIEKLKDEVLKETVTQRPG ATVPTDFATFPSSAFLRAKEEQQDDTVYMGKVTFSCAAGLGQRHRLVLTQEQLHQLHSRL IS >ENSMUSP00000009329.2 pep:known chromosome:GRCm38:11:82115185:82116799:1 gene:ENSMUSG00000009185.2 transcript:ENSMUST00000009329.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl8 description:chemokine (C-C motif) ligand 8 [Source:MGI Symbol;Acc:MGI:101878] MKIYAVLLCLLLIAVPVSPEKLTGPDKAPVTCCFHVLKLKIPLRVLKSYERINNIQCPME AVVFQTKQGMSLCVDPTQKWVSEYMEILDQKSQILQP >ENSMUSP00000106464.1 pep:known chromosome:GRCm38:8:13255963:13288125:-1 gene:ENSMUSG00000038506.13 transcript:ENSMUST00000110840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d2 description:DCN1, defective in cullin neddylation 1, domain containing 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2142792] MHKLKSAQKDKVRQFMACTQASERTAIYCLTQNEWKLDEATDSFFQNPEAFHRESMKSSV DQKKLEQLYSRYKDPQDENKIGIDGIQQFCDDLSLDPASISVLVIAWKFRAATQCEFSKK EFVDGMTELGCDSTERLKALLPRLEQELKDPAKFKDLYQFTFTFAKNPGQKGLGAWPVLI DDFVEYARPVVTGGRRSPF >ENSMUSP00000145399.1 pep:known chromosome:GRCm38:8:13255964:13287830:-1 gene:ENSMUSG00000038506.13 transcript:ENSMUST00000203467.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcun1d2 description:DCN1, defective in cullin neddylation 1, domain containing 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2142792] MHKLKSAQKDKVRQFMACTQASERTAIYCLTQNEWKLDEATDSFFQNPEAFHRESMKSSV DQKKLEQLYSRPTGRKQNWNRWDSAVL >ENSMUSP00000047208.7 pep:known chromosome:GRCm38:8:13255964:13287841:-1 gene:ENSMUSG00000038506.13 transcript:ENSMUST00000045366.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d2 description:DCN1, defective in cullin neddylation 1, domain containing 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2142792] MHKLKSAQKDKVRQFMACTQASERTAIYCLTQNEWKLDEATDSFFQNPEAFHRESMKSSV DQKKLEQLYSRYKDPQDENKIGIDGIQQFCDDLSLDPASISVLVIAWKFRAATQCEFSKK EFVDGMTELGCDSTERLKALLPRLEQELKDPAKFKDLYQFTFTFAKNPGQKGLDLEMAVA YWKLVLSGRFKFLDLWNTFLLEHHKRSIPRDTWNLLLDFGNMIADDLSNYDEEGAWPVLI DDFVEYARPVVTGGRRSPF >ENSMUSP00000106462.1 pep:known chromosome:GRCm38:8:13255964:13288131:-1 gene:ENSMUSG00000038506.13 transcript:ENSMUST00000110838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d2 description:DCN1, defective in cullin neddylation 1, domain containing 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2142792] MHKLKSAQKDKVRQFMACTQASERTAIYCLTQNEWKLDEATDSFFQNPEAFHRESMKSSV DQKKLEQLYSRYKDPQDENKIGIDGIQQFCDDLSLDPASISVLVIAWKFRAATQCEFSKK EFVDGMTELGCDSTERLKALLPRLEQELKDPAKFKDLYQFTFTFAKNPGQKGLGASQKIN SKGYLEPSPRFWKHDCR >ENSMUSP00000145430.1 pep:known chromosome:GRCm38:8:13259903:13287833:-1 gene:ENSMUSG00000038506.13 transcript:ENSMUST00000203604.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d2 description:DCN1, defective in cullin neddylation 1, domain containing 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2142792] MHKLKSAQKDKVRQFMACTQASERTAIYCLTQNEWKLDEATDSFFQNPEAFHRESMKSSV DQKKLEQLYSRYKDPQDENKIGIDGIQQFCDDLSLDPASISVLVIAWKFRAATQCEFSKK EFVDGMTELGCDSTERLKALLPRLEQELKDPAKFKDLYQFTFTFAKNPGQKGLDLEMAVA YWKLVLSGRFKFLDLWNTFLLVSDCFLPFVPNQKEAFLV >ENSMUSP00000106463.3 pep:known chromosome:GRCm38:8:13255963:13288126:-1 gene:ENSMUSG00000038506.13 transcript:ENSMUST00000110839.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d2 description:DCN1, defective in cullin neddylation 1, domain containing 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2142792] MHKLKSAQKDKVRQFMACTQASERTAIYCLTQNEWKLDEATDSFFQNPEAFHRESMKSSV DQKKLEQLYSRYKDPQDENKIGIDGIQQFCDDLSLDPASISVLVIAWKFRAATQCEFSKK EFVDGMTELGCDSTERLKALLPRLEQELKDPAKFKDLYQFTFTFAKNPGQKGLDLEMAVA YWKLVLSGRFKFLDLWNTFLLEHGLSL >ENSMUSP00000027626.6 pep:known chromosome:GRCm38:1:118321839:118333822:1 gene:ENSMUSG00000026377.12 transcript:ENSMUST00000027626.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nifk description:nucleolar protein interacting with the FHA domain of MKI67 [Source:MGI Symbol;Acc:MGI:1915199] MAGLAGPAKPSLALNPQEDSQFEKALTQIQGRTKKPQQKKKEKLNRGVVYLGHLPSTLSE SHIYNYCAQFGDISRFRLSRSKRTGNSKGYAFVEFESEDVAKIVAETMDNYLFGERLLSC KFMPRKKVHKDLFSQRNALFHRPSFPAVKRYNRKRGHLQMLKMEYRFKKKEKLLRKKLAA KGIDYSFPSLVLPKPKNIAVAHRDSEGNQVLPDQKEGLSGEPRRKEKMMKEDISNNIPKK RKRSRRKKSSVDSQGPTPVCTPTFLERRKSQVMEVGGDKDDEIILKLPVPPVKEDTQKTP TSASPGGKRPRKRKSKQ >ENSMUSP00000108308.3 pep:known chromosome:GRCm38:1:118321854:118332941:1 gene:ENSMUSG00000026377.12 transcript:ENSMUST00000112688.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nifk description:nucleolar protein interacting with the FHA domain of MKI67 [Source:MGI Symbol;Acc:MGI:1915199] MAGLAGPAKPSLALNPQEDSQFEKALTQIQGRTKKTGNSKGYAFVEFESEDVAKIVAETM DNYLFGERLLSCKFMPRKKVHKDLFSQRNALFHRPSFPAVKRYNRKRGHLQMLKMEYRFK KKEKLLRKKLAAKGIDYSFPSLVLPKPKNIAVAHRDSEGNQVLPDQKEGLSGEPRRKEKM MKEDISNNIPKKRKRSRRKKSSVDSQGPTPVCTPTFLERRKSQVMEVGGDKDDEIILKLP VPPVKEDTQKTPTSASPGGKRPRKRKSKQ >ENSMUSP00000125006.1 pep:known chromosome:GRCm38:1:118322152:118329380:1 gene:ENSMUSG00000026377.12 transcript:ENSMUST00000161495.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nifk description:nucleolar protein interacting with the FHA domain of MKI67 [Source:MGI Symbol;Acc:MGI:1915199] MVYRSIRKPQQKKKEKLNRGVVYLGHLPSTLSESHIYNYCAQFGDISRFRLSRSKRTGNS KGYAFVEFESEDVAKIVAETMDNYLFGERLLSCKFMPRKKV >ENSMUSP00000088982.5 pep:known chromosome:GRCm38:2:18044083:18049051:-1 gene:ENSMUSG00000054074.9 transcript:ENSMUST00000091420.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skida1 description:SKI/DACH domain containing 1 [Source:MGI Symbol;Acc:MGI:1919918] MGDLKSGFEEVDGVRLGYLIIKGKQMFALSQVFTDLLKNIPRTTVHKRMDHLKVKKHHCD LEELRKLKAINSIAFHAAKCTLISREDVEALYTSCKTERVLKTKRRRVGRALATKAPPPE RAAAASPRPAFWKDKHQLWRGLSGAARPLPISAQSQRPGAAAARPAAHLPQIFSKYPGSH YPEIVRSPCKSSLNYETAQLQGNYVAFHSDPAYFRSLLCSKHPAAAAAAAAAAAAAAAAA AYYQTAGPQPKAATGAGGPVSLTYRCKRKRGGAKNCLLPPHAGARRLLLLPRSYKAKAAA AAAAAAAAAAAAAGATCLERFHLVNSFCPPPHHHHHHHHHHHHHHHRAQQPTPSHHPSHH HRPQPHLGSFPESCSSDSESSSYSDHAANDSDFGSSLSSSSNSVSSEEEEEEGEEEEEEE EEEEGGSGASDSSEISSEEEDSSTESDSSSGSSQVSVQSIRFRRTSFCKPPSVQAQANFL YHLASAAAATKPAAFEDAGRLPDLKSSVKAESPEEWSLQSWAPKGTPVYCPASLGSCFPE IRNDRVSEITFPHSEISSTVKRTDLTINCHAEGASSPSPKTNNVFPQQRILREARKCLQA TPTTHCAENSTIAARFLNNDSCGTTANSGKDSKIPHCPEFATDLPSLQSDPRVDTATAAA AAAAATKAESLCTGTGDKTLPFLHNIKIKVEDSSANEEYEPELITNKLKWECNDAEGEFY NMTEKKEEDALVPTAKEGFACPEKETPSLNPLAQSQGLSCTLGSPKPEDGEYKFGARVRK NYRTLVLGKRPVLQTPPVKPNLKSARSPRPTGKTETHEGTLDDFTVLNRRKKVASNVASA VKRPFNFMANFPCPPSLIIGKDGDLWPAYSLNTTKDSQPPHKAHPIWKWQLGGSAIPLPP SHKFRKFNS >ENSMUSP00000122112.1 pep:known chromosome:GRCm38:2:18047716:18048784:-1 gene:ENSMUSG00000054074.9 transcript:ENSMUST00000142856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skida1 description:SKI/DACH domain containing 1 [Source:MGI Symbol;Acc:MGI:1919918] MGDLKSGFEEVDGVRLGYLIIKGKQMFALSQVFTDLLKNIPRTTVHKRMDHLKVKKHHCD LEELRKLKAINSIAFHAAKCTLISREDVEALYTSCKTERVLKTKRRRVGRALATKAPPPE RAAAASPRPAFWKDKHQLWRGLSGAARPLPISAQSQRPGAAAARPAAHLPQIFSKYPGSH YPEIVRSPCKSSLNYETAQLQGNYVAFH >ENSMUSP00000114785.1 pep:known chromosome:GRCm38:2:18048144:18049031:-1 gene:ENSMUSG00000054074.9 transcript:ENSMUST00000152908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skida1 description:SKI/DACH domain containing 1 [Source:MGI Symbol;Acc:MGI:1919918] MGDLKSGFEEVDGVRLGYLIIKGKQMFALSQVFTDLLKNIPRTTVHKRMDHLKVKKHHCD LEELR >ENSMUSP00000068520.5 pep:known chromosome:GRCm38:2:18045253:18048449:-1 gene:ENSMUSG00000054074.9 transcript:ENSMUST00000066885.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skida1 description:SKI/DACH domain containing 1 [Source:MGI Symbol;Acc:MGI:1919918] MGDLKSGFEEVDGVRLGYLIIKGKQMFALSQVFTDLLKNIPRTTVHKRMDHLKVKKHHCD LEELRKLKAINSIAFHAAKCTLISREDVEALYTSCKTERVLKTKRRRVGRALATKAPPPE RAAAASPRPAFWKDKHQLWRGLSGAARPLPISAQSQRPGAAAARPAAHLPQIFSKYPGSH YPEIVRSPCKSSLNYETAQLQGNYVAFHSDPAYFRSLLCSKHPAAAGATCLERFHLVNSF CPPPHHHHHHHHHHHHHHHRAQQPTPSHHPSHHHRPQPHLGSFPESCSSDSESSSYSDHA ANDSDFGSSLSSSSNSVSSEEEEEEGEEEEEEEEEEEGGSGASDSSEISSEEEDSSTESD SSSGSSQVSVQSIRFRRTSFCKPPSVQAQANFLYHLASAAAATKPAAFEDAGRLPDLKSS VKAESPEEWSLQSWAPKGTPVYCPASLGSCFPEIRNDRVSEITFPHSEISSTVKRTDLTI NCHAEGASSPSPKTNNVFPQQRILREARKCLQATPTTHCAENSTIAARFLNNDSCGTTAN SGKDSKIPHCPEFATDLPSLQSDPRVDTATAAAAAAAATKAESLCTGTGDKTLPFLHNIK IKVEDSSANEEYEPELITNKLKWECNDAEGEFYNMTEKKEEDALVPTAKEGFACPEKETP SLNPLAQSQGLSCTLGSPKPEDGEYKFGARVRKNYRTLVLGKRPVLQTPPVKPNLKSARS PRPTGKTETHEGTLDDFTVLNRRKKVASNVASAVKRPFNFMANFPCPPSLIIGKDGDLWP AYSLNTTKDSQPPHKAHPIWKWQLGGSAIPLPPSHKFRKFNS >ENSMUSP00000125504.1 pep:known chromosome:GRCm38:5:145183698:145192062:-1 gene:ENSMUSG00000038690.15 transcript:ENSMUST00000161741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5j2 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit F2 [Source:MGI Symbol;Acc:MGI:1927558] MASLVPLKEKKLMEVKLGELPSWIMMRDFTPSGIAGAFRRGYDRYYNKYINVRKGSISGI SMVLAAYVVFSYCISYKELKHERRRKYH >ENSMUSP00000138039.1 pep:known chromosome:GRCm38:5:145183706:145191592:-1 gene:ENSMUSG00000038690.15 transcript:ENSMUST00000162360.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp5j2 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit F2 [Source:MGI Symbol;Acc:MGI:1927558] MASLVFCVCKHMQATVVEIGSSTCPRATPGLGQSYPEINFQSMEQVVSAAEGEEAHGSQT WRAAELDNDAGFHPQWHCRSLSERV >ENSMUSP00000039726.8 pep:known chromosome:GRCm38:5:145183709:145191592:-1 gene:ENSMUSG00000038690.15 transcript:ENSMUST00000037056.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5j2 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit F2 [Source:MGI Symbol;Acc:MGI:1927558] MEVKLGELPSWIMMRDFTPSGIAGAFRRGYDRYYNKYINVRKGSISGISMVLAAYVVFSY CISYKELKHERRRKYH >ENSMUSP00000123904.1 pep:known chromosome:GRCm38:5:145184386:145191511:-1 gene:ENSMUSG00000038690.15 transcript:ENSMUST00000161845.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5j2 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit F2 [Source:MGI Symbol;Acc:MGI:1927558] XSLVFCVCKHMQATVVEIGSSTCPRATPGLGQSYPEINFQSMEQVVSAAEGEEAHGSQTW RAAELDNDAGFHPQWHCRSLSERV >ENSMUSP00000106102.3 pep:known chromosome:GRCm38:13:21722098:21722478:1 gene:ENSMUSG00000096807.1 transcript:ENSMUST00000110476.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bm description:histone cluster 1, H2bm [Source:MGI Symbol;Acc:MGI:2448404] MPEPTKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000062277.7 pep:known chromosome:GRCm38:4:65604986:65616238:1 gene:ENSMUSG00000051675.13 transcript:ENSMUST00000050850.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim32 description:tripartite motif-containing 32 [Source:MGI Symbol;Acc:MGI:1917057] MAAAAAASHLNLDALREVLECPICMESFTEEQLRPKLLHCGHTICRQCLEKLLASSINGV RCPFCSKITRITSLTQLTDNLTVLKIIDTAGLSEAVGLLMCRGCGRRLPRQFCRSCGVVL CEPCREADHQPPGHCTLPVKEAAEERRRDFGEKLTRLRELTGELQRRKAALEGVSRDLQA RYKAVLQEYGHEERRIQEELARSRKFFTGSLAEVEKSNSQVVEEQSYLLNIAEVQAVSRC DYFLAKIKQADVALLEETADEEEPELTASLPRELTLQDVELLKVGHVGPLQIGQAVKKPR TVNMEDSWAGEEGAASSASASVTFREMDMSPEEVAPSPRASPAKQRSSEAASGIQQCLFL KKMGAKGSTPGMFNLPVSLYVTSQSEVLVADRGNYRIQVFNRKGFLKEIRRSPSGIDSFV LSFLGADLPNLTPLSVAMNCHGLIGVTDSYDNSLKVYTMDGHCVACHRSQLSKPWGITAL PSGQFVVTDVEGGKLWCFTVDRGAGVVKYSCLCSAVRPKFVTCDAEGTVYFTQGLGLNVE NRQNEHHLEGGFSIGSVGPDGQLGRQISHFFSENEDFRCIAGMCVDARGDLIVADSSRKE ILHFPKGGGYSVLIREGLTCPVGIALTPKGQLLVLDCWDHCVKIYSYHLRRYSTP >ENSMUSP00000102989.1 pep:known chromosome:GRCm38:4:65604986:65616238:1 gene:ENSMUSG00000051675.13 transcript:ENSMUST00000107366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim32 description:tripartite motif-containing 32 [Source:MGI Symbol;Acc:MGI:1917057] MAAAAAASHLNLDALREVLECPICMESFTEEQLRPKLLHCGHTICRQCLEKLLASSINGV RCPFCSKITRITSLTQLTDNLTVLKIIDTAGLSEAVGLLMCRGCGRRLPRQFCRSCGVVL CEPCREADHQPPGHCTLPVKEAAEERRRDFGEKLTRLRELTGELQRRKAALEGVSRDLQA RYKAVLQEYGHEERRIQEELARSRKFFTGSLAEVEKSNSQVVEEQSYLLNIAEVQAVSRC DYFLAKIKQADVALLEETADEEEPELTASLPRELTLQDVELLKVGHVGPLQIGQAVKKPR TVNMEDSWAGEEGAASSASASVTFREMDMSPEEVAPSPRASPAKQRSSEAASGIQQCLFL KKMGAKGSTPGMFNLPVSLYVTSQSEVLVADRGNYRIQVFNRKGFLKEIRRSPSGIDSFV LSFLGADLPNLTPLSVAMNCHGLIGVTDSYDNSLKVYTMDGHCVACHRSQLSKPWGITAL PSGQFVVTDVEGGKLWCFTVDRGAGVVKYSCLCSAVRPKFVTCDAEGTVYFTQGLGLNVE NRQNEHHLEGGFSIGSVGPDGQLGRQISHFFSENEDFRCIAGMCVDARGDLIVADSSRKE ILHFPKGGGYSVLIREGLTCPVGIALTPKGQLLVLDCWDHCVKIYSYHLRRYSTP >ENSMUSP00000119579.1 pep:known chromosome:GRCm38:4:65605249:65613616:1 gene:ENSMUSG00000051675.13 transcript:ENSMUST00000155978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim32 description:tripartite motif-containing 32 [Source:MGI Symbol;Acc:MGI:1917057] MAAAAAASHLNLDALREVLECPICMESFTEEQLRPKLLHCGHTICRQCLEKLLASSINGV RCPFCSKITRITSLTQLTDNLTVLKIIDTAGLSEAVGLLMCRGCGRRLPRQFCRSCGVVL CEPCREADHQPPGHCT >ENSMUSP00000121949.1 pep:known chromosome:GRCm38:4:65605249:65613756:1 gene:ENSMUSG00000051675.13 transcript:ENSMUST00000156922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim32 description:tripartite motif-containing 32 [Source:MGI Symbol;Acc:MGI:1917057] MAAAAAASHLNLDALREVLECPICMESFTEEQLRPKLLHCGHTICRQCLEKLLASSINGV RCPFCSKITRITSLTQLTDNLTVLKIIDTAGLSEAVGLLMCRGCGRRLPRQFCRSCGVVL CEPCREADHQPPGHCTLPVKEAAEERRRDFGEKLTRLRELTGELQRRKAALEGVSRDLQA RYK >ENSMUSP00000001319.7 pep:known chromosome:GRCm38:8:8617434:8661242:-1 gene:ENSMUSG00000001300.16 transcript:ENSMUST00000001319.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efnb2 description:ephrin B2 [Source:MGI Symbol;Acc:MGI:105097] MAMARSRRDSVWKYCWGLLMVLCRTAISRSIVLEPIYWNSSNSKFLPGQGLVLYPQIGDK LDIICPKVDSKTVGQYEYYKVYMVDKDQADRCTIKKENTPLLNCARPDQDVKFTIKFQEF SPNLWGLEFQKNKDYYIISTSNGSLEGLDNQEGGVCQTRAMKILMKVGQDASSAGSARNH GPTRRPELEAGTNGRSSTTSPFVKPNPGSSTDGNSAGHSGNNLLGSEVALFAGIASGCII FIVIIITLVVLLLKYRRRHRKHSPQHTTTLSLSTLATPKRGGNNNGSEPSDVIIPLRTAD SVFCPHYEKVSGDYGHPVYIVQEMPPQSPANIYYKV >ENSMUSP00000116027.1 pep:known chromosome:GRCm38:8:8620597:8639363:-1 gene:ENSMUSG00000001300.16 transcript:ENSMUST00000152698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efnb2 description:ephrin B2 [Source:MGI Symbol;Acc:MGI:105097] XDQADRCTIKKENTPLLNCARPDQDVKFTIKFQEFSPNLWGLEFQKNKDYYIIYASSAGS ARNHGPTRRPELEAGTNGRSSTTSPFVKPNPGSSTDGNSAGHSGNNLLGSEVALFAGIAS GCIIFIVIIITLVVLLLKYRRRHRKHSPQHTTTLSLSTLATPKRGGNNNGSEPSDVIIPL RTADSVFCPHYEKVSGDYGHPVYIVQEMPPQSPANIYY >ENSMUSP00000001544.5 pep:known chromosome:GRCm38:9:38718268:38743337:1 gene:ENSMUSG00000023186.14 transcript:ENSMUST00000001544.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa5a description:von Willebrand factor A domain containing 5A [Source:MGI Symbol;Acc:MGI:1915026] MEHHCGLITSNKETVPLKNISVTLSINEFVAAVVATLNYENEEKVPLEATFVFPMDEDSA VYSFEALVDGKKIVAELQDKMKAHSEYEEALSQGHQAYLLEEDDYSRDVFSCNVGNLQPG AKVAVTLRYVQELPLETDGALRYLLPAILNPRYQLSEQSANSCLNIQKPTVPLEDLPYTL NMTATITSQHGIERVQSNCSLSPIQYLTDDKTSAQVSLTEGHKFDRDVELLIYYNEVHSP SVAVEMGMLDMKPDSLMGAPSAMVSFYPDIPEVEASKACGEFVFLMDRSGSMDSPMSTEN NSQLRIEAAKETLLLLLKSLPMGCYFNIYGFGSSYEKFFPESVKYTQDTMEDAVKRVKAL KANLGGTEILTPLCNIYKASSIPGHPLQLFVFTDGEVSDTFSVIREVKLNSKKHRCFSFG IGQGASTSLIKNIARVSGGTAVFITGKDRMQTKALGSLKFALQCAVDNISLSWDLPPGLS VKMLSPEQLTIFRGQRLIIYAQLTGLMPQVESTGAVCLKHILQGRSLENRVTFSLQPKAN DNFTIHRLAAKSLIQTKDFGSQEASKEEKEDVMNISLQSGVLSSFTAFIAINKELNKPVQ GPLAHRVIPRPVMAGSSSMRFYSSFSGGFKGPQPRSLRVPAYDLCSAESANLVLKKSACS AIQKKKTNSSTNKSNLKKEHKAFGENAVVQLISLQKANGSWELDEDLTKILGTKSKDIKA ANPAKHEDPSAWSTVLAVVWLHANGTDLKCEWELLERKAVAWLHDHAGSSIPMLVQAANS LLKLSVNPAVFGV >ENSMUSP00000113596.1 pep:known chromosome:GRCm38:9:38718272:38742762:1 gene:ENSMUSG00000023186.14 transcript:ENSMUST00000118144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa5a description:von Willebrand factor A domain containing 5A [Source:MGI Symbol;Acc:MGI:1915026] MEHHCGLITSNKETVPLKNISVTLSINEFVAAVVATLNYENEEKVPLEATFVFPMDEDSA VYSFEALVDGKKIVAELQDKMKAHSEYEEALSQGHQAYLLEEDDYSRDVFSCNVGNLQPG AKVAVTLRYVQELPLETDGALRYLLPAILNPRYQLSEQSANSCLNIQKPTVPLEDLPYTL NMTATITSQHGIERVQSNCSLSPIQYLTDDKTSAQVSLTEGHKFDRDVELLIYYNEVHSP SVAVEMGMLDMKPDSLMGAPSAMVSFYPDIPEVEASKACGEFVFLMDRSGSMDSPMSTEN NSQLRIEAAKETLLLLLKSLPMGCYFNIYGFGSSYEKFFPESVKYTQDTMEDAVKRVKAL KANLGGTEILTPLCNIYKASSIPGHPLQLFVFTDGEVSDTFSVIREVKLNSKKHRCFSFG IGQGASTSLIKNIARVSGGTAVFITGKDRMQTKALGSLKFALQCAVDNISLSWDLPPGLS VKMLSPEQLTIFRGQRLIIYAQLTGLMPQVESTGAVCLKHILQGRSLENRVTFSLQPKAN DNFTIHRLAAKSLIQTKDFGSQEASKEEKEDVMNISLQSGVLSSFTAFIAINKELNKPVQ GPLAHRVIPRPVMAGSSSMRFYSSFSGGFKGPQPRSLRVPAYDLCSAESANLVLKKSACS AIQKKKTNSSTNKSNLKKEHKAFGENAVVQLISLQKANGSWELDEDLTKILGTKSKDIKA ANPAKHEDPSAWSTVLAVVWLHANGTDLKCEWELLERKAVAWLHDHAGSSIPMLVQAANS LLKLSVNPAVFGV >ENSMUSP00000116251.1 pep:known chromosome:GRCm38:9:38719038:38722616:1 gene:ENSMUSG00000023186.14 transcript:ENSMUST00000129598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa5a description:von Willebrand factor A domain containing 5A [Source:MGI Symbol;Acc:MGI:1915026] MEHHCGLITSNKETVPLKNISVTLSINEFVAAVVATLN >ENSMUSP00000121104.1 pep:known chromosome:GRCm38:9:38736185:38741920:1 gene:ENSMUSG00000023186.14 transcript:ENSMUST00000137972.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vwa5a description:von Willebrand factor A domain containing 5A [Source:MGI Symbol;Acc:MGI:1915026] XFYSSFSGGFKGPQPRSLRVPAYDLCSAESANLVLKKSACSAIQKKKTNSSTNKSNLKKE HKAFGENAVVQLISLQKANGSWELDEDLTKILGTKSKDIKAANPAKVRCTEKQGEECVLP EVRNITRVEVSQGPGEK >ENSMUSP00000030985.6 pep:known chromosome:GRCm38:5:35041509:35048424:1 gene:ENSMUSG00000029102.9 transcript:ENSMUST00000030985.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgfac description:hepatocyte growth factor activator [Source:MGI Symbol;Acc:MGI:1859281] MGRQAWISSLCPLPRPCPFLLLLLLLVVPRGAQPQAGRNHTEPPGPNVTATPVTPTIPVI SGNVSTSTESAPAAETEGPQSERYPPPSSSSPPGGQVLTESGQPCRFPFRYGGRMLHSCT SEGSAYRKWCATTHNYDRDRAWGYCAEVTLPVEGPAILDPCASGPCLNGGTCSSTHDHGS YHCSCPLAFTGKDCGTEKCFDETRYEYFEVGDHWARVSEGHVEQCGCMEGQARCEDTHHT ACLSSPCLNGGTCHLIVGTGTSVCTCPLGYAGRFCNIVPTEHCFLGNGTEYRGVASTAAS GLSCLAWNSDLLYQELHVDSVAAAVLLGLGPHAYCRNPDKDERPWCYVVKDNALSWEYCR LTACESLARVHSQTPEILAALPESAPAVRPTCGKRHKKRTFLRPRIIGGSSSLPGSHPWL AAIYIGNSFCAGSLVHTCWVVSAAHCFANSPPRDSITVVLGQHFFNRTTDVTQTFGIEKY VPYTLYSVFNPNNHDLVLIRLKKKGERCAVRSQFVQPICLPEAGSSFPTGHKCQIAGWGH MDENVSSYSNSLLEALVPLVADHKCSSPEVYGADISPNMLCAGYFDCKSDACQGDSGGPL VCEKNGVAYLYGIISWGDGCGRLNKPGVYTRVANYVDWINDRIRPPKRPVATS >ENSMUSP00000144344.1 pep:known chromosome:GRCm38:5:35041577:35043682:1 gene:ENSMUSG00000029102.9 transcript:ENSMUST00000202573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgfac description:hepatocyte growth factor activator [Source:MGI Symbol;Acc:MGI:1859281] MGRQAWISSLCPLPRPCPFLLLLLLLVVPRGAQPQAGRCSPSLGSRAGSLSATVAACCTP VPLREVPTGSGALQHTTMTETGPGATVQR >ENSMUSP00000144402.1 pep:known chromosome:GRCm38:5:35044349:35046963:1 gene:ENSMUSG00000029102.9 transcript:ENSMUST00000202921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgfac description:hepatocyte growth factor activator [Source:MGI Symbol;Acc:MGI:1859281] XPAVPTEHCFLGNGTEYRGVASTAASGLSCLAWNSDLLYQELHVDSVAAAVLLGLGPHAY CRNPDKDERPWCYVVKDNALSWEYCRLTACESLARVHSQTPEILAALPESAPAVRPTCGK RHKKRTFLRPRIIGGSSSLPGSHPWLAAIYIGNSFCAGSLVHTCWVVSAAHCFANSPPRD SITVVLGQHFFNRTTDVTQTFGIEKYVPYTLYSVFNPNNHDLVLIRLKKKGERCAVRSQF VQPICLPEA >ENSMUSP00000072830.5 pep:known chromosome:GRCm38:2:173719415:173722089:1 gene:ENSMUSG00000057047.5 transcript:ENSMUST00000073081.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700010B08Rik description:RIKEN cDNA 1700010B08 gene [Source:MGI Symbol;Acc:MGI:1922735] MAQNHTDVELSAPSPEPCLPACHHVSRHDENGLNLQTKYRRRKRKPWKENAALPRLSIRN SAAVSTGFSRLLLLDAVSPGLMRLPFVCSLNLATPVVHDVCC >ENSMUSP00000087479.3 pep:known chromosome:GRCm38:15:52040107:52045722:1 gene:ENSMUSG00000068522.4 transcript:ENSMUST00000090025.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aard description:alanine and arginine rich domain containing protein [Source:MGI Symbol;Acc:MGI:2181621] MGLGDYSHCRQRMSRGLYGVSGRAALWSPVFHPVHRMPCGTWRIGIEVPEHVRASSPVLE HLRRQLERAFQRAAARGRARRAREAVAAVAAAAAAAREERSRTRMECALARLRAELLELR FQNHQLARTLLDLNMKMQQLKKRQDQELASKPQSPQDKEMNSECGSA >ENSMUSP00000072556.7 pep:known chromosome:GRCm38:10:120361275:120476469:-1 gene:ENSMUSG00000056758.14 transcript:ENSMUST00000072777.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmga2 description:high mobility group AT-hook 2 [Source:MGI Symbol;Acc:MGI:101761] MSARGEGAGQPSTSAQGQPAAPVPQKRGRGRPRKQQQEPTCEPSPKRPRGRPKGSKNKSP SKAAQKKAETIGEKRPRGRPRKWPQQVVQKKPAQETEETSSQESAEED >ENSMUSP00000123998.1 pep:known chromosome:GRCm38:10:120361275:120476469:-1 gene:ENSMUSG00000056758.14 transcript:ENSMUST00000159699.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmga2 description:high mobility group AT-hook 2 [Source:MGI Symbol;Acc:MGI:101761] MSARGEGAGQPSTSAQGQPAAPVPQKRGRGRPRKQQQEPTCEPSPKRPRGRPKGSKNKSP SKAAQKKAETIGEKRPRGRPRKWPQQVVQKKPAQGGEFGERTRCHESQTSSLPHNPYGQE LIASTLMGD >ENSMUSP00000100737.1 pep:known chromosome:GRCm38:13:23575763:23576322:1 gene:ENSMUSG00000099583.1 transcript:ENSMUST00000105105.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h3d description:histone cluster 1, H3d [Source:MGI Symbol;Acc:MGI:2448322] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000055518.1 pep:known chromosome:GRCm38:7:11930311:11931285:-1 gene:ENSMUSG00000045713.1 transcript:ENSMUST00000058772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r76 description:vomeronasal 1 receptor 76 [Source:MGI Symbol;Acc:MGI:2159643] MCFSGLIPIINLFYFSIGIKVAFESIALGIFLFSQMTVGMFGNSLILFYYVILKLTGKHL MPKDLIIEHLTFANCLCIISKGIPQTLSDYGLKDFLDDFGCKLILYVYRITRGVSFYAMC LLSCFQAITISPSNSRWMMFKYRATKYFGSSCSISWLVHLFLNILTPARVSGPSYNKNIT KRMIYGSCSWFSLSNFATALYLFLLCFSDGLCLGLMAGSSVSMVSILYRHKKRVKHIHSA QHFLKVSPEERATQTILILVCTFVLSYSFSSIVAIFTTYSKYPLLWSISVFTLIEICFPI CCPFVLISNMKSRASVFLPCFCKR >ENSMUSP00000046787.8 pep:known chromosome:GRCm38:2:61804453:61814114:1 gene:ENSMUSG00000035033.15 transcript:ENSMUST00000048934.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbr1 description:T-box brain gene 1 [Source:MGI Symbol;Acc:MGI:107404] MQLEHCLSPSIMLSKKFLNVSSSYPHSGGSELVLHDHPIISTTDNLERSSPLKKITRGMT NQSDTDNFPDSKDSPGDVQRSKLSPVLDGVSELRHSFDGSAADRYLLSQSSQPQSAATAP SAMFPYPSQHGPAHPAFSIGSPSRYMAHHPVITNGAYNSLLSNSSPQGYPTAGYPYPQQY GHSYQGAPFYQFSSTQPGLVPGKAQVYLCNRPLWLKFHRHQTEMIITKQGRRMFPFLSFN ISGLDPTAHYNIFVDVILADPNHWRFQGGKWVPCGKADTNVQGNRVYMHPDSPNTGAHWM RQEISFGKLKLTNNKGASNNNGQMVVLQSLHKYQPRLHVVEVNEDGTEDTSQPGRVQTFT FPETQFIAVTAYQNTDITQLKIDHNPFAKGFRDNYDTIYTGCDMDRLTPSPNDSPRSQIV PGARYAMAGSFLQDQFVSNYAKARFHPGAGAGPGPGTDRSVPHTNGLLSPQQAEDPGAPS PQRWFVTPANNRLDFAASAYDTATDFAGNAATLLSYAAAGVKALPLQAAGCTGRPLGYYA DPSGWGARSPPQYCGAKSGSVLPCWPNSAAAAARMAGANPYLGEEAEGLAAERSPLAPAA EDAKPKDLSDSSWIETPSSIKSIDSSDSGIYEQAKRRRISPADTPVSESSSPLKSEVLAQ RDCEKNCAKDIGGYYGFYSHS >ENSMUSP00000099798.4 pep:known chromosome:GRCm38:2:61806262:61812531:1 gene:ENSMUSG00000035033.15 transcript:ENSMUST00000102737.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbr1 description:T-box brain gene 1 [Source:MGI Symbol;Acc:MGI:107404] MGRNRGFKKKSPANGPLCEGNRVYMHPDSPNTGAHWMRQEISFGKLKLTNNKGASNNNGQ MVVLQSLHKYQPRLHVVEVNEDGTEDTSQPGRVQTFTFPETQFIAVTAYQNTDITQLKID HNPFAKGFRDNYDTIYTGCDMDRLTPSPNDSPRSQIVPGARYAMAGSFLQDQFVSNYAKA RFHPGAGAGPGPGTDRSVPHTNGLLSPQQAEDPGAPSPQRWFVTPANNRLDFAASAYDTA TDFAGNAATLLSYAAAGVKALPLQAAGCTGRPLGYYADPSGWGARSPPQYCGAKSGSVLP CWPNSAAAAARMAGANPYLGEEAEGLAAERSPLAPAAEDAKPKDLSDSSWIETPSSIKSI DSSDSGIYEQAKRRRISPADTPVSESSSPLKSEVLAQRDCEKNCAKDIGGYYGFYSHS >ENSMUSP00000097652.3 pep:known chromosome:GRCm38:16:20589471:20598467:1 gene:ENSMUSG00000046613.18 transcript:ENSMUST00000100074.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa5b2 description:von Willebrand factor A domain containing 5B2 [Source:MGI Symbol;Acc:MGI:2681859] MPGLYCPTSWTPLPLTDSCVRAYAKGPCLSLRARLTYHNPQPQPVEGVFVYPLAEAEVVS GFEAEAAGRRVSFQLHSRRRSQAACCRALGPGLGTSTPRRCAQGHLVLNLAQARSTLVLP TGLVAAAGTMTVTLCSSRELPSRPDGVMHVALPTVFTPLAQPNLPGSPRSPGLCDDSPTS CFGVGSPEEERPTWEQPTATPDVFSGPARCPAPYTFSFEMLVTGPCLLAGLESPSHALRA DALPHASSAATIRVTLAEGHQCDRALEILLHPSEPHQPHLMLETGSLSSAEYEAQVRARH DFQRLQQRDSGGERQVWFLQRRFHKDILLNPVLVLNFCPDLSSKPGHLNAATRELLFLLD GSGAGHKDAIVLAVKSLPAQTLVNLAIFGTLVQPLFPESRPCSDDTVQLICESIETLQTV NGPPDMLAVLDWALGQPQHRAYPRQMFLITAASPTAATTHQALEFMRWHRGAARCFSFAL APACRQLLHDLSVLSRGQAYFLRPGERLQPKLVQALRKALEPALSDISVDWFVPDAVEAL LTPREIPALYPGDQLLGYCSLFRVDGFRSHALGVGLGWGIPGGPGGRKSLYLTRSLSLLR IL >ENSMUSP00000124117.1 pep:known chromosome:GRCm38:16:20590146:20598462:1 gene:ENSMUSG00000046613.18 transcript:ENSMUST00000149236.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vwa5b2 description:von Willebrand factor A domain containing 5B2 [Source:MGI Symbol;Acc:MGI:2681859] MPGLYCPTSWTPLPLTDSCVRAYAKGPCLSLRARLTYHNPQPQPVEGVFVYPLAEAEVVS GFEAEAAGRRVSFQLHSRRRSQAACCRALGPGLGTSTPRRCAQGHLVLNLAQARSTLVLP TGLVAAAGTMTVTLCSSRELPSRPDGVMHVALPTVFTPLAQPNLPGSPRSPGLCDDSPTS CFGVGSPEEERPTWEQPTATPDVFSGPARCPAPYTFSFEMLVTGPCLLAGLESPSHALRA DALPHASSAATIRVTLAEGHQCDRALEILLHPSEPHQPHLMLETGSLSSAEYEAQVRARH DFQRLQQRDSGGERQVWFLQRRFHKDILLNPVLVLNFCPDLSSKPGHLNAATRELLFLLD GSGAGHKDAIVLAVKSLPAQTLVNLAIFGTLVQPLFPESRPCSDTSVSRTLCS >ENSMUSP00000123727.1 pep:known chromosome:GRCm38:16:20591156:20605374:1 gene:ENSMUSG00000046613.18 transcript:ENSMUST00000159780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa5b2 description:von Willebrand factor A domain containing 5B2 [Source:MGI Symbol;Acc:MGI:2681859] MPGLYCPTSWTPLPLTDSCVRAYAKGPCLSLRARLTYHNPQPQPVEGVFVYPLAEAEVVS GFEAEAAGRRVSFQLHSRRRSQAACCRALGPGLGTSTPRRCAQGHLVLNLAQARSTLVLP TGLVAAAGTMTVTLCSSRELPSRPDGVMHVALPTVFTPLAQPNLPGSPRSPGLCDDSPTS CFGVGSPEEERPTWEQPTATPDVFSGPARCPAPYTFSFEMLVTGPCLLAGLESPSHALRA DALPHASSAATIRVTLAEGHQCDRALEILLHPSEPHQPHLMLETGSLSSAEYEAQVRARH DFQRLQQRDSGGERQVWFLQRRFHKDILLNPVLVLNFCPDLSSKPGHLNAATRELLFLLD GSGAGHKDAIVLAVKSLPAQTLVNLAIFGTLVQPLFPESRPCSDDTVQLICESIETLQTV NGPPDMLAVLDWALGQPQHRAYPRQMFLITAASPTAATTHQALEFMRWHRGAARCFSFAL APACRQLLHDLSVLSRGQAYFLRPGERLQPKLVQALRKALEPALSDISVDWFVPDAVEAL LTPREIPALYPGDQLLGYCSLFRVDGFRSHALGGQEPGWQSLAGSVFPSPEEVLSATSPG TEPTHTTEPLGTGTVSAELSSPWAVGDSEQSMEALTDPVMDPGPNPSSDTAIWRRIFQSS YIREQYVLTHCSASPEPGPGSTCSSESPGSQGPGSPSGSRPLDPPSQQGCRSLAWVEPAG SRSCPLPVPPPSPFKVGAMSAEVLGRRQRAALAGRSLSSPSGRANPVPGRARHPSLDAIP DGLGPEPGQQLGQGLDDSGNLLSPAPLDWDMLMEPSFLFKPVPSSAESAPPAECLPPQAP RCHVVIRALCGEQPMCWEVGVGLEELWGPGDGSQPESLPMREAAWDQALHRLTAASVVQD NEQLALRGRAETRAEQGKVRRSWLRAIQTSKVSSAPSCFTCPVAVDATTREVLPGALQVW SSDPAELSGMSASQDQLAAAPLSTAVHSKGHQGGCSAGAWDLDLNDNSKSALGEPISPTG DHHGLPHQPPASSRLSLGRHRRLCSSNKGQTHENSNDGSNHDYLPLVRLQEAPGSFRLDE PFCAAVCIPQERLCRASPFAAHRASLSPTSASSPWAFLSPGIGQGDSATASCSQSPSSGS EGPGQVDSGRGSDTEASEGMERQDSSDLRGRTWATAVALAWLEHRCAAAFGEWELTASKA DCWLRAQHLPDGLDLTALKAAARGLFLLLRHWDQNLQLHLLCYSPSNV >ENSMUSP00000093911.4 pep:known chromosome:GRCm38:16:20589582:20605377:1 gene:ENSMUSG00000046613.18 transcript:ENSMUST00000096197.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa5b2 description:von Willebrand factor A domain containing 5B2 [Source:MGI Symbol;Acc:MGI:2681859] MPGLYCPTSWTPLPLTDSCVRAYAKGPCLSLRARLTYHNPQPQPVEGVFVYPLAEAEVVS GFEAEAAGRRVSFQLHSRRRSQAACCRALGPGLGTSTPRRCAQGHLVLNLAQARSTLVLP TGLVAAAGTMTVTLCSSRELPSRPDGVMHVALPTVFTPLAQPNLPGSPRSPGLCDDSPTS CFGVGSPEEERPTWEQPTATPDVFSGPARCPAPYTFSFEMLVTGPCLLAGLESPSHALRA DALPHASSAATIRVTLAEGHQCDRALEILLHPSEPHQPHLMLETGSLSSAEYEAQVRARH DFQRLQQRDSGGERQVWFLQRRFHKDILLNPVLVLNFCPDLSSKPGHLNAATRELLFLLD GSGAGHKDAIVLAVKSLPAQTLVNLAIFGTLVQPLFPESRPCSDDTVQLICESIETLQTV NGPPDMLAVLDWALGQPQHRAYPRQMFLITAASPTAATTHQALEFMRWHRGAARCFSFAL APACRQLLHDLSVLSRGQAYFLRPGERLQPKLVQALRKALEPALSDISVDWFVPDAVEAL LTPREIPALYPGDQLLGYCSLFRVDGFRSHALGGQEPGWQSLAGSVFPSPEEVLSATSPG TEPTHTTEPLGTGTVSAELSSPWAVGDSEQSMEALTDPVMDPGPNPSSDTAIWRRIFQSS YIREQYVLTHCSASPEPGPGSTCSSESPGSQGPGSPSGSRPLDPPSQQGCRSLAWVEPAG SRSCPLPVPPPSPFKVGAMSAEVLGRRQRAALAGRSLSSPSGRANPVPGRARHPSLDAIP DGLGPEPGQQLGQGLDDSGNLLSPAPLDWDMLMEPSFLFKPVPSSAESAPPAECLPPQAP RCHVVIRALCGEQPMCWEVGVGLEELWGPGDGSQPESLPMREAAWDQALHRLTAASVVQD NEQLALRGRAETRAEQGRVRRSWLRAIQTSKVSSAPSCFTCPVAVDATTREVLPGALQVW SSDPAELSGMSASQDQLAAAPLSTAVHSKGHQGGCSAGAWDLDLNDNSKSALGEPISPTG DHHGLPHQPPASSRLSLGRHRRLCSSNKGQTHENSNDGSNHDYLPLVRLQEAPGSFRLDE PFCAAVCIPQERLCRASPFAAHRASLSPTSASSPWAFLSPGIGQGDSATASCSQSPSSGS EGPGQVDSGRGSDTEASEGMERQDSSDLRGRTWATAVALAWLEHRCAAAFGEWELTASKA DCWLRAQHLPDGLDLTALKAAARGLFLLLRHWDQNLQLHLLCYSPSNV >ENSMUSP00000125296.1 pep:known chromosome:GRCm38:15:31568791:31588259:1 gene:ENSMUSG00000022235.14 transcript:ENSMUST00000162532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmbl description:carboxymethylenebutenolidase-like (Pseudomonas) [Source:MGI Symbol;Acc:MGI:1916824] MANEANPCPCDIGHKLEYGGMGHEVQVEHIKAYVTRSPVDAGKAVIVVQDIFGWQLPNTR TIVPDFFVGQEPWDPAGDWSTFPAWLKSRNARKVNREVDAVLRYLRQQCHAQKIGIVGFC WGGVVVHQVMTAYPDIRAGVSVYGIIRDSEDVYNLKNPTLFIFAENDTVIPLEQ >ENSMUSP00000070314.6 pep:known chromosome:GRCm38:15:31568905:31590119:1 gene:ENSMUSG00000022235.14 transcript:ENSMUST00000070918.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmbl description:carboxymethylenebutenolidase-like (Pseudomonas) [Source:MGI Symbol;Acc:MGI:1916824] MANEANPCPCDIGHKLEYGGMGHEVQVEHIKAYVTRSPVDAGKAVIVVQDIFGWQLPNTR YMADMIARNGYTTIVPDFFVGQEPWDPAGDWSTFPAWLKSRNARKVNREVDAVLRYLRQQ CHAQKIGIVGFCWGGVVVHQVMTAYPDIRAGVSVYGIIRDSEDVYNLKNPTLFIFAENDT VIPLEQVSTLTQKLKEHCIVNYQVKTFSGQTHGFVHRKREDCSPADKPYIEEARRNLIEW LNKYV >ENSMUSP00000125020.1 pep:known chromosome:GRCm38:15:31572188:31585327:1 gene:ENSMUSG00000022235.14 transcript:ENSMUST00000161088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmbl description:carboxymethylenebutenolidase-like (Pseudomonas) [Source:MGI Symbol;Acc:MGI:1916824] MANEANPCPCDIGHKLEYGGMGHEVQVEHIKAYVTRSPVDAGKAVIVVQDIFGWQLPNTR YMADMIARNGYTTIVPDFFVGQEPWDPAGDWSTFPAWLKSRNARKVNREVDAVLRYLR >ENSMUSP00000064699.4 pep:known chromosome:GRCm38:2:173737511:173784336:1 gene:ENSMUSG00000054455.4 transcript:ENSMUST00000067530.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vapb description:vesicle-associated membrane protein, associated protein B and C [Source:MGI Symbol;Acc:MGI:1928744] MAKVEQVLSLEPQHELKFRGPFTDVVTTNLKLGNPTDRNVCFKVKTTAPRRYCVRPNSGV IDAGASLNVSVMLQPFDYDPNEKSKHKFMVQSMFAPPDTSDMEAVWKEAKPEDLMDSKLR CVFELPAENAKPHDVEINKIIPTSASKTEAPAAAKSLTSPLDDTEVKKVMEECRRLQGEV QRLREESRQLKEEDGLRVRKAMPSNSPVAALAATGKEEGLSARLLALVVLFFIVGVIIGK IAL >ENSMUSP00000100033.1 pep:known chromosome:GRCm38:13:23581598:23581990:1 gene:ENSMUSG00000061482.6 transcript:ENSMUST00000102968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4d description:histone cluster 1, H4d [Source:MGI Symbol;Acc:MGI:2448423] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000139581.1 pep:known chromosome:GRCm38:17:21879570:21909926:-1 gene:ENSMUSG00000078546.8 transcript:ENSMUST00000190066.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210404O09Rik description:RIKEN cDNA 2210404O09 gene [Source:MGI Symbol;Acc:MGI:1917331] MNASLINAPQTQITFKDVAVDFSQEEWECLDCAQRALYMDVMLENYNNLFFVENHCMCPK FEDMLDQDLQHIVHEHVNIQVKSYECHELGKIIHESTESTPSKTNLRATSVESSNLSRHE TENNRLTCKYKNHANCLNLCSTISLNQGINIGKKEYNGTKLDKVFESKEVLMLKQTKSGK KPYKCSECAKCFAQKCWFRKHQRIHTGEKPYKCSECDKSFTQKGSLSIHQMYHTGKEPYK CSECSKCFAQKCWFRKHQRIHTGEKPYKCSECGKSFTQKGSLSIHQMYHTGKKPYKCSEC EKCFIQVGDLRRHERIHTGEKPYKCNECDKCFRYKSSLRSHQRIHTGEKPYKCSECEKYF SHKGSLSIHQRIHTGEKPYKCSECDKFFTQKGSLNVHQRVHTGEKPYKCSECDKCFSYKG DLRKHQRIHTGERTLQM >ENSMUSP00000101647.2 pep:known chromosome:GRCm38:17:21879570:21908092:-1 gene:ENSMUSG00000078546.8 transcript:ENSMUST00000106026.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210404O09Rik description:RIKEN cDNA 2210404O09 gene [Source:MGI Symbol;Acc:MGI:1917331] MNASLINAPQTQITFKDVAVDFSQEEWECLDCAQRALYMDVMLENYNNLFFVENHCMCPK FEDMLDQDLQHIVHEHVNIQVKSYECHELGKIIHESTESTPSKTNLRATSVESSNLSRHE TENNRLTCKYKNHANCLNLCSTISLNQGINIGKKEYNGTKLDKVFESKEVLMLKQTKSGK KPYKCSECAKCFAQKCWFRKHQRIHTGEKPYKCSECDKSFTQKGSLSIHQMYHTGKEPYK CSECSKCFAQKCWFRKHQRIHTGEKPYKCSECGKSFTQKGSLSIHQMYHTGKKPYKCSEC EKCFIQVGDLRRHERIHTGEKPYKCNECDKCFRYKSSLRSHQRIHTGEKPYKCSECEKYF SHKGSLSIHQRIHTGEKPYKCSECDKFFTQKGSLNVHQRVHTGEKPYKCSECDKCFSYKG DLRKHQRIHTGERTLQM >ENSMUSP00000108348.2 pep:known chromosome:GRCm38:14:3395093:3403525:1 gene:ENSMUSG00000095533.7 transcript:ENSMUST00000112728.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10413 description:predicted gene 10413 [Source:MGI Symbol;Acc:MGI:3704417] VVVTATACGSYLLFALGLPAGMFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSF LRRHRSARNTSTQNSKMTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRM NTEFNIIKSQHEKTMLDMDKMTQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKV RILLNENRKLLVEQAGTQVSHGEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000136345.1 pep:known chromosome:GRCm38:14:3395156:3398129:1 gene:ENSMUSG00000095533.7 transcript:ENSMUST00000177913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10413 description:predicted gene 10413 [Source:MGI Symbol;Acc:MGI:3704417] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000116105.1 pep:known chromosome:GRCm38:X:73214333:73221960:1 gene:ENSMUSG00000067768.12 transcript:ENSMUST00000156188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4b description:X-linked lymphocyte-regulated 4B [Source:MGI Symbol;Acc:MGI:1350975] MASKIKGRPPKQPKVTPALPSNDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSVNKTVQNIEWNVDHFLKVQHERRQELYKDYSHQFLTLVMMWNIDVDQI KKQAGKLSDILDEQQKLFQQFQSIHMQKIEEFKELCDRHLKNLQAIKCCRRKAIIEEARK LMDYLEKRVTEE >ENSMUSP00000064686.3 pep:known chromosome:GRCm38:X:73214349:73222453:1 gene:ENSMUSG00000067768.12 transcript:ENSMUST00000069077.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4b description:X-linked lymphocyte-regulated 4B [Source:MGI Symbol;Acc:MGI:1350975] MASKIKGRPPKQPKVTPALPSNDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSVNKTVQNIEWNVDHFLKVQHERRQELYKDYSHQFLTLVMMWNIDVDQI KKQAGKLSDILDEQQKLFQQFQSIHMQKIEEFKELCDRHLKNLQAIKCCRRKAIIEEARK LMDYLEKRVTEETVHVNKQEAKDGVQSSLLSLLIS >ENSMUSP00000066171.4 pep:known chromosome:GRCm38:X:73214349:73222453:1 gene:ENSMUSG00000067768.12 transcript:ENSMUST00000069103.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4b description:X-linked lymphocyte-regulated 4B [Source:MGI Symbol;Acc:MGI:1350975] MASKIKGRPPKQPKVTPALPSNDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSVNKTVQNIEWNVDHFLKVQHERRQELYKDYSHQFLTLVMMWNIDVDQI KKQAGKLSDILDEQQKLFQQFQSIHMQKIEEFKELCDRHLKNLQAIKCCRRKAIIEEARK LMDYLEKRVTEETVHVNKQEAKDGVQSSLLSLLIS >ENSMUSP00000110151.1 pep:known chromosome:GRCm38:X:73214350:73220070:1 gene:ENSMUSG00000067768.12 transcript:ENSMUST00000114506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4b description:X-linked lymphocyte-regulated 4B [Source:MGI Symbol;Acc:MGI:1350975] MASKIKGRPPKQPKVTPALPSNDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSVNKTVQNIEWNVDHFLKVQHERRQELYKDYSHQFLTLVMMWNIDVDQI KKQAGKLSDILDEQQKLFQQFQSIHMQKIEEFKELCDRHL >ENSMUSP00000080511.3 pep:known chromosome:GRCm38:X:73214432:73222450:1 gene:ENSMUSG00000067768.12 transcript:ENSMUST00000081827.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4b description:X-linked lymphocyte-regulated 4B [Source:MGI Symbol;Acc:MGI:1350975] MASKIKGRPPKQPKVTPALPSNDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSVNKTVQNIEWNVDHFLKVQHERRQELYKDYSHQFLTLVMMWNIDVDQI KKQAGKLSDILDEQQKLFQQFQSIHMQKIEEFKELCDRHLKNLQAIKCCRRKAIIEEARK LMDYLEKRVTEETVHVNKQEAKDGVQSSLLSLLIS >ENSMUSP00000107354.1 pep:known chromosome:GRCm38:6:145211134:145215791:1 gene:ENSMUSG00000040370.13 transcript:ENSMUST00000111725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm5 description:LYR motif containing 5 [Source:MGI Symbol;Acc:MGI:1914886] MANSLRGEVLTLYKNLLYLGRDYPKGADYFKRRLKNVFLKNKDVEDPEKIKELIARGEFV MKELEALYFLRKYRAMKQRYYSDTKV >ENSMUSP00000107355.3 pep:known chromosome:GRCm38:6:145211156:145216539:1 gene:ENSMUSG00000040370.13 transcript:ENSMUST00000111726.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm5 description:LYR motif containing 5 [Source:MGI Symbol;Acc:MGI:1914886] MANSLRGEVLTLYKNLLYLGRDYPKGADYFKRRLKNVFLKNKDVEDPEKIKELIARGEFV MKELEALYFLRKYRAMKQRYYSDTKV >ENSMUSP00000039433.3 pep:known chromosome:GRCm38:6:145211156:145216939:1 gene:ENSMUSG00000040370.13 transcript:ENSMUST00000039729.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm5 description:LYR motif containing 5 [Source:MGI Symbol;Acc:MGI:1914886] MANSLRGEVLTLYKNLLYLGRDYPKGADYFKRRLKNVFLKNKDVEDPEKIKELIARGEFV MKELEALYFLRKYRAMKQRYYSDTKV >ENSMUSP00000107352.1 pep:known chromosome:GRCm38:6:145211175:145215771:1 gene:ENSMUSG00000040370.13 transcript:ENSMUST00000111723.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm5 description:LYR motif containing 5 [Source:MGI Symbol;Acc:MGI:1914886] MANSLRGEVLTLYKNLLYLGRDYPKGADYFKRRLKNVFLKNKDVEDPEKIKELIARGEFV MKELEALYFLRKYRAMKQRYYSDTKV >ENSMUSP00000107353.1 pep:known chromosome:GRCm38:6:145211175:145216539:1 gene:ENSMUSG00000040370.13 transcript:ENSMUST00000111724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm5 description:LYR motif containing 5 [Source:MGI Symbol;Acc:MGI:1914886] MANSLRGEVLTLYKNLLYLGRDYPKGADYFKRRLKNVFLKNKDVEDPEKIKELIARGEFV MKELEALYFLRKYRAMKQRYYSDTKV >ENSMUSP00000107350.1 pep:known chromosome:GRCm38:6:145211186:145216539:1 gene:ENSMUSG00000040370.13 transcript:ENSMUST00000111721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm5 description:LYR motif containing 5 [Source:MGI Symbol;Acc:MGI:1914886] MANSLRGEVLTLYKNLLYLGRDYPKGADYFKRRLKNVFLKNKDVEDPEKIKELIARGEFV MKELEALYFLRKYRAMKQRYYSDTKV >ENSMUSP00000107348.1 pep:known chromosome:GRCm38:6:145211200:145215734:1 gene:ENSMUSG00000040370.13 transcript:ENSMUST00000111719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm5 description:LYR motif containing 5 [Source:MGI Symbol;Acc:MGI:1914886] MANSLRGEVLTLYKNLLYLGRDYPKGADYFKRRLKNVFLKNKDVEDPEKIKELIARGEFV MKELEALYFLRKYRAMKQRYYSDTKV >ENSMUSP00000090155.5 pep:known chromosome:GRCm38:10:61098642:61147674:-1 gene:ENSMUSG00000020097.14 transcript:ENSMUST00000092498.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgpl1 description:sphingosine phosphate lyase 1 [Source:MGI Symbol;Acc:MGI:1261415] MPGTDLLKLKDFEPYLEILESYSTKAKNYVNGYCTKYEPWQLIAWSVLCTLLIVWVYELI FQPESLWSRFKKKLFKLIRKMPFIGRKIEQQVSKAKKDLVKNMPFLKVDKDYVKTLPAQG MGTAEVLERLKEYSSMDGSWQEGKASGAVYNGEPKLTELLVQAYGEFTWSNPLHPDIFPG LRKLEAEIVRMTCSLFNGGPDSCGCVTSGGTESILMACKAYRDLALEKGIKTPEIVAPES AHAAFDKAAHYFGMKIVRVALKKNMEVDVQAMKRAISRNTAMLVCSTPQFPHGVMDPVPE VAKLAVRYKIPLHVDACLGGFLIVFMEKAGYPLEKPFDFRVKGVTSISADTHKYGYAPKG SSVVMYSNEKYRTYQFFVGADWQGGVYASPSIAGSRPGGIIAACWAALMHFGENGYVEAT KQIIKTARFLKSELENIKNIFIFGDPQLSVIALGSNDFDIYRLSNMMSAKGWNFNYLQFP RSIHFCITLVHTRKRVAIQFLKDIRESVTQIMKNPKAKTTGMGAIYGMAQATIDRKLVAE ISSVFLDCLYTTDPVTQGNQMNGSPKPR >ENSMUSP00000112975.1 pep:known chromosome:GRCm38:10:61098642:61147644:-1 gene:ENSMUSG00000020097.14 transcript:ENSMUST00000122259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgpl1 description:sphingosine phosphate lyase 1 [Source:MGI Symbol;Acc:MGI:1261415] MPGTDLLKLKDFEPYLEILESYSTKAKNYVNGYCTKYEPWQLIAWSVLCTLLIVWVYELI FQPESLWSRFKKKLFKLIRKMPFIGRKIEQQVSKAKKDLVKNMPFLKVDKDYVKTLPAQG MGTAEVLERLKEYSSMDGSWQEGKASGAVYNGEPKLTELLVQAYGEFTWSNPLHPDIFPG LRKLEAEIVRMTCSLFNGGPDSCGCVTSGGTESILMACKAYRDLALEKGIKTPEIVAPES AHAAFDKAAHYFGMKIVRVALKKNMEVDVQAMKRAISRNTAMLVCSTPQFPHGVMDPVPE VAKLAVRYKIPLHVDACLGGFLIVFMEKAGYPLEKPFDFRVKGVTSISADTHKYGYAPKG SSVVMYSNEKYRTYQFFVGADWQGGVYASPSIAGSRPGGIIAACWAALMHFGENGYVEAT KQIIKTARFLKSELENIKNIFIFGDPQLSVIALGSNDFDIYRLSNMMSAKGWNFNYLQFP RSIHFCITLVHTRKRVAIQFLKDIRESVTQIMKNPKAKTTGMGAIYGMAQATIDRKLVAE ISSVFLDCLYTTDPVTQGNQMNGSPKPR >ENSMUSP00000115561.1 pep:known chromosome:GRCm38:10:61112180:61147703:-1 gene:ENSMUSG00000020097.14 transcript:ENSMUST00000155919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgpl1 description:sphingosine phosphate lyase 1 [Source:MGI Symbol;Acc:MGI:1261415] MPGTDLLKLKDFEPYLEILESYSTKAKNYVNGYCTKYEPWQLIAWSVLCTLLIVWVYELI FQPESLWSRFKKKLFKLIRKMPFIGRKIEQQVSKAKKDLVKNMPFLKVDKDYVKTLPAQG MGTAEVLERLKEYSSMDGSWQEGKASGAVYNGEPKLTELLVQAYGEFTWSNPLHPDIFPG LRKLEAEIVRMTCSLFNGG >ENSMUSP00000119550.1 pep:known chromosome:GRCm38:10:61114094:61147676:-1 gene:ENSMUSG00000020097.14 transcript:ENSMUST00000137833.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgpl1 description:sphingosine phosphate lyase 1 [Source:MGI Symbol;Acc:MGI:1261415] MPGTDLLKLKDFEPYLEILESYSTKAKNYVNGYCTKYEPWQLIAWSVLCTLLIVWVYELI FQPESLWSRFKKKLFKLIRKMPFIGRKVSKAKKDLVKNMPFLKV >ENSMUSP00000117848.1 pep:known chromosome:GRCm38:10:61098645:61147644:-1 gene:ENSMUSG00000020097.14 transcript:ENSMUST00000150258.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgpl1 description:sphingosine phosphate lyase 1 [Source:MGI Symbol;Acc:MGI:1261415] MPGTDLLKLKDFEPYLEILESYSTKAKNYVNGYCTKYEPWQLIAWSVLCTLLIVWVYELI FQPESLWSRFKKKLFKLIRKMPFIGRKIEQQVSKAKKDLVKNMPFLKVDKDYVKTLPAQG MGTAEVLERLKEYSSMDGSWQEGKASGAVYNGEPKLTELLVQAYGEFTWSNPLHPDIFPG LRKLEAEIVRMTCSLFNGGPDSCGCVTSGGTESILMACKAYRDLALEKGIKTPEIVAPES AHAAFDKAAHYFGMKIVRVALKKNMEVDVQAMKRAISRNTAMLVCSTPQFPHGVMDPVPE VAKLAVRYKIPLHVDACLGGFLIVFMEKAGYPLEKPFDFRVKGVTSISADTHKYGYAPKG SSVVMYSNEKYRTYQFFVGADWQGGVYASPSIAGSRPGGIIAACWAALMHFGENGYVEAT KQIIKTARFLKSELENIKNIFIFGDPQLSVIALGSNDFDIYRLSNMMSAKGWNFNYLQFP RRAYPLR >ENSMUSP00000115907.1 pep:known chromosome:GRCm38:10:61123327:61146898:-1 gene:ENSMUSG00000020097.14 transcript:ENSMUST00000126307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgpl1 description:sphingosine phosphate lyase 1 [Source:MGI Symbol;Acc:MGI:1261415] MPGTDLLKLKDFEPYLEILESYSTKAKNYVNGYCTKYEPWQLIAWSVLCTLLIVWVYE >ENSMUSP00000124342.1 pep:known chromosome:GRCm38:6:123822814:123853190:-1 gene:ENSMUSG00000094672.1 transcript:ENSMUST00000162046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r25 description:vomeronasal 2, receptor 25 [Source:MGI Symbol;Acc:MGI:3644645] MKLLIAFSPLVVLILFQEHISCYYLTKYASSGYYQDADFVIGGLFSLRVTDGNTFISRSG IEDTSHIPEYVFADLIKHYQHILAMVFAIEKINKDPNILFNKSLGFFLFNVNFIEMKAVE GSMALLSGESPPIPNYSCRPEKTDKLVAVIGGISTSISIQISRVLSLYKVPQISYAPFDQ ILGTRVQLQSPYQFSMHTAALYQGIVQLMLYFTWIWVGLVVPDDMRGELYLRDITEEMIS HGICVAFAEKVTEYSSKNTVNWKRFMERFTLTPVIITFGDTHSLLRIVYFVIFYNLLGNV WITTSDWYITTLPFEQNLIYTHFGGGLSFSFHMDEILGFKDFLRSVQPRKYPHDIFIRHV WSSLFGCPHYYQHRLWDLSQCEPNGSLSTRPLHAWDMNTSPMSYKVHAAVYAIAQALHEE LSLRVEGDSFDKVILQAPHPWKLHPFLQKGQVGRSTNEEYSVNKEVSAIKLDIFNYQSLQ SGTEAHVKVGEFVFESHSVQHLSLNDKIITWGEHHNQTPLSVCSQSCPLGFSKTAVEGKP FCCFDCVPCPDGEIANKTDMHQCIKCPEDQYPNKQRNQCLPKIMVFLAHEDPLGTVLVSL AISLSAFSAMILGLFICYRETPIVRANNRNLSYLLLISLKLCFFCSLIFIGQPRTVTCVL RQIIFGIVFSIVISAILAKTFIVVVAFKAIKPGSILKMGMVTRLSNAIVCCGSIIQVCIC AVWLGTYPPFPDVDMQSEFGQVILWCNEGSTLAFYCVLGYLGFLASLSLLIAFLARRLPD SFNEAKTITFSMLGFCSVWISFIPTYLSSKGKTMVAVEILSILASSAGLLGCIFLPKCYV ILLKSGGHSRKKFFK >ENSMUSP00000019464.7 pep:known chromosome:GRCm38:17:24696234:24700529:1 gene:ENSMUSG00000019320.13 transcript:ENSMUST00000019464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noxo1 description:NADPH oxidase organizer 1 [Source:MGI Symbol;Acc:MGI:1919143] MASPRHPVSAHAVALVQMDRLQTFAFSVCWSDNSDTFVRRSWDEFRQLQKTLKKTFPVEA GLLRRSEQVLPKLPDAPLLTRRGHTGRGLVRLRLLDTYVQALLATSEHILRSSALHGFFV PKPLDLEPMLPPGSLVILPTPEEPLSQPRGSLDIHSLEAQSIPCVQPFHTLDIRDRPFHT KAQEILDILLRHPSGWWLVENKDQQVAWFPAPYLEEVATCQGQESGLALQGSGRQFCTTQ AYEGSRSDELSVPSGARVHVLETSDRGWWLCRYNGRTGLLPAMSLQPEGLGSLLGRPGFP DSAGADKVAEDRTIPPVVPTRPCMSAIQSRCCSITRRALGQEQGTRVPR >ENSMUSP00000005364.5 pep:known chromosome:GRCm38:2:69219971:69227841:1 gene:ENSMUSG00000005232.11 transcript:ENSMUST00000005364.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6pc2 description:glucose-6-phosphatase, catalytic, 2 [Source:MGI Symbol;Acc:MGI:1277193] MDFLHRSGVLIIHHLQEDYRTYYGFLNFMSNVGDPRNIFSIYFPLWFQLNQNVGTKMIWV AVIGDWFNLIFKWILFGHRPYWWIQETEIYPNHSSPCLEQFPTTCETGPGSPSGHAMGSS CVWYVMVTAALSYTISRMEESSVTLHRLTWSFLWSVFWLIQISVCISRVFIATHFPHQVI LGVIGGMLVAEAFEHTPGVHMASLSVYLKTNVFLFLFALGFYLLLRLFGIDLLWSVPIAK KWCANPDWIHIDSTPFAGLVRNLGVLFGLGFAINSEMFLRSCQGENGTKPSFRLLCALTS LTTMQLYRFIKIPTHAEPLFYLLSFCKSASIPLMVVALIPYCVHMLMRPGDKKTK >ENSMUSP00000107936.2 pep:known chromosome:GRCm38:2:69219998:69227841:1 gene:ENSMUSG00000005232.11 transcript:ENSMUST00000112317.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6pc2 description:glucose-6-phosphatase, catalytic, 2 [Source:MGI Symbol;Acc:MGI:1277193] MDFLHRSGVLIIHHLQEDYRTYYGFLNFMSNVGDPRNIFSIYFPLWFQLNQNVGTKMIWV AVIGDWFNLIFKWILFGHRPYWWIQETEIYPNHSSPCLEQFPTTCETGPGSPSGHAMGSS CVWYVMVTAALSYTISRMEESSVTLHRDASSRGL >ENSMUSP00000036845.8 pep:known chromosome:GRCm38:X:100524841:100546144:1 gene:ENSMUSG00000067597.5 transcript:ENSMUST00000037541.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgat2l6 description:diacylglycerol O-acyltransferase 2-like 6 [Source:MGI Symbol;Acc:MGI:3045268] MAAFSCLDLQEGLRTLSILQWIPVYVLLGTLSILGMPYLLLFTTLWPLSVLFLVWIAYDW NTHIQDGRRSAWVRNWTLWKYFQSYFPVKLVKTHDLSPKHNYIILSHPHGILSYGAFINF ATESTGFSRVFPSITPFLATLEGIFWIPFVRDYLMSLGICPVSKLSLTHKLTQKDSGNAV IIVPGGASESLLSRPGVSMIYLKKRQGFVKLALKTGAYLVPSYSFGENETYNQETFAEGT WLRFFQKNIQKIGKRILGINLCTIHGRGLTRGSWGFLPFNHPITTVVGEPLPVPKIPDPD KETVEKYLELYISALRKLFDQHKAEYGLSKTHELKIL >ENSMUSP00000023351.4 pep:known chromosome:GRCm38:16:15848441:15863347:-1 gene:ENSMUSG00000022671.12 transcript:ENSMUST00000023351.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mzt2 description:mitotic spindle organizing protein 2 [Source:MGI Symbol;Acc:MGI:1922845] MAAAAAAAGGAALAVSTGLETATLQKLALRRKKVLGAEEMELYELAQAAGAAIDPDVFKI LVDLLNLNVAPLAVFQMLKSMCAGQRLASDPQDSVPISLSTSTSETRGRNRGGPILGNVT ISAERGSRERPIQRMPRQPSATRLPKVGGSGKSNSRSSP >ENSMUSP00000113832.1 pep:known chromosome:GRCm38:16:15848450:15863369:-1 gene:ENSMUSG00000022671.12 transcript:ENSMUST00000117136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mzt2 description:mitotic spindle organizing protein 2 [Source:MGI Symbol;Acc:MGI:1922845] MAAAAAAAGGAALAVSTGLETATLQKLALRRKKVLGAEEMELYELAQAAGAAIDPDVFKI LVDLLNLNVAPLAVFQMLKSMCAGQRLASDPQDSVPISLSTSTSETRGRNRGGPILGNVT ISAERGSRERPIQRMPRQPSATRLPKVGGSGKSNSRSSP >ENSMUSP00000093882.5 pep:known chromosome:GRCm38:14:3449379:3459287:1 gene:ENSMUSG00000072739.4 transcript:ENSMUST00000096168.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10408 description:predicted gene 10408 [Source:MGI Symbol;Acc:MGI:3704423] MFSWLLRLCQKENGDEGEIRTTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQR SKINELEELKLDMRKISNDIEEMGGILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEM IQSIIVSMQYSKELIEDNYSYSIKEDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSH GEEKRFCEEASKNICASSAKEQQCVNSSRNRNMAQTTT >ENSMUSP00000004054.6 pep:known chromosome:GRCm38:16:35983315:36037131:1 gene:ENSMUSG00000022905.12 transcript:ENSMUST00000004054.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna1 description:karyopherin (importin) alpha 1 [Source:MGI Symbol;Acc:MGI:103560] MSTPGKENFRLKSYKNKSLNPDEMRRRREEEGLQLRKQKREEQLFKRRNVATAEEETEEE VMSDGGFHEAQINNMEMAPGGVITSDMTDMIFSNSPEQQLSATQKFRKLLSKEPNPPIDE VINTPGVVARFVEFLKRKENCTLQFESAWVLTNIASGNSLQTRNVIQAGAVPIFIELLSS EFEDVQEQAVWALGNIAGDSTMCRDYVLNCNILPPLLQLFSKQNRLTMTRNAVWALSNLC RGKSPPPEFAKVSPCLNVLSWLLFVSDTDVLADACWALSYLSDGPNDKIQAVIDAGVCRR LVELLMHNDYKVVSPALRAVGNIVTGDDIQTQVILNCSALQSLLHLLSSPKESIKKEACW TISNITAGNRAQIQTVIDANMFPALISILQTAEFRTRKEAAWAITNATSGGSAEQIKYLV ELGCIKPLCDLLTVMDAKIVQVALNGLENILRLGEQEAKRNGSGINPYCALIEEAYGLDK IEFLQSHENQEIYQKAFDLIEHYFGTEDEDSSIAPQVDLSQQQYIFQQCEAPMEGFQL >ENSMUSP00000133819.1 pep:known chromosome:GRCm38:16:35983354:36019280:1 gene:ENSMUSG00000022905.12 transcript:ENSMUST00000174500.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kpna1 description:karyopherin (importin) alpha 1 [Source:MGI Symbol;Acc:MGI:103560] MSTPGKENFRLKSYKNKSLNPDEMRRRREEEGLQLRKQKREEQLFKRRNVATAEEETEEE VMSDGGFHEAQINNMEMAPGGVITSDMTDMIFSNSPEQQLSATQKFRKLLSKEPNPPIDE VINTPGVVARFVEFLKRKENCTLQW >ENSMUSP00000133318.1 pep:known chromosome:GRCm38:16:35983582:36012913:1 gene:ENSMUSG00000022905.12 transcript:ENSMUST00000173555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna1 description:karyopherin (importin) alpha 1 [Source:MGI Symbol;Acc:MGI:103560] MSTPGKENFRLKSYKNKSLNPDEMRRRREEEGLQLRKQKREEQLFKRRNVATAEEETEEE VMSDGGFHEAQINNMEMAPGGVITSDMTDMIFSNSPEQQLSATQKFRKLLSKEPNPPID >ENSMUSP00000134534.1 pep:known chromosome:GRCm38:16:36000187:36033908:1 gene:ENSMUSG00000022905.12 transcript:ENSMUST00000173696.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kpna1 description:karyopherin (importin) alpha 1 [Source:MGI Symbol;Acc:MGI:103560] MSTPGKENFRLKSYKNKSLNPDEMRRRREEEGLQLRKQKREEQLFKRRNVATAEEETEEE VMSDGGFHEAQINNMEMAPGGVITSDMTDMIFSNSPEQQLSATQKFRKLLSKEPNPPIDE VINTPGVVARFVEFLKRKENCTLQVSLAFARNQSGTGKVASNRVLIQMEKGSQAYLNLEW ET >ENSMUSP00000133852.1 pep:known chromosome:GRCm38:16:36000253:36021645:1 gene:ENSMUSG00000022905.12 transcript:ENSMUST00000174737.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kpna1 description:karyopherin (importin) alpha 1 [Source:MGI Symbol;Acc:MGI:103560] EMRRRREEEGLQLRKQKREEQLFKRRNVATAEEETEEEVMSDGGFHEAQINNMEMAPGGV ITSDMTDMIFSNSPEQQLSATQKFRKLLSKGYFQSKTA >ENSMUSP00000134037.1 pep:known chromosome:GRCm38:16:36013797:36035131:1 gene:ENSMUSG00000022905.12 transcript:ENSMUST00000172534.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kpna1 description:karyopherin (importin) alpha 1 [Source:MGI Symbol;Acc:MGI:103560] MGCGKREVSLAFARNQSGTGKVASNRVLIQMEKGSQAYLNLEWET >ENSMUSP00000133664.1 pep:known chromosome:GRCm38:16:36019380:36033868:1 gene:ENSMUSG00000022905.12 transcript:ENSMUST00000173641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna1 description:karyopherin (importin) alpha 1 [Source:MGI Symbol;Acc:MGI:103560] XQEQAVWALGNIAGDSTMCRDYVLNCNILPPLLQLFSKQNRLTMTRNAVWALSNLCRGKS PPPEFAKVSPCLNVLSWLLFVSDTDVLADACWALSYLSDGPNDKIQAVIDAGVCRRLVEL LMYLVELGCIKPLCDLLTVMDAKIVQVALNGLENILRLGEQEAKRNGSGINPYCALIEEA YGLDKIEFLQSHENQEIYQKAFDLIEHYFGTEDEDSSIAPQVDLSQQQYI >ENSMUSP00000085362.1 pep:known chromosome:GRCm38:6:129697218:129717132:-1 gene:ENSMUSG00000067610.1 transcript:ENSMUST00000088046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klri1 description:killer cell lectin-like receptor family I member 1 [Source:MGI Symbol;Acc:MGI:3530275] MLHSKRREYTANNQDVTYTELKTCKSPWKHRIPTVKQSPVVLCEEQLKYGELTFHRTPQP QPRKQAMGRKRQGPKSTVWRVVTGMLGALCVVLMTTTGILLPKLFSSQEEQCRKTSLHPL RCPKDDASCDLCSSDWIAFGNNFYCVFKENTKTWAESQSACEELNSHLVIIDSKAEVENL LLFEMDGWILHKMDGTNSSWLWRNDIKIQNTLTNDSEKKNHSCHYLRGNLFMPDECSAKK SYICEFNI >ENSMUSP00000096354.2 pep:known chromosome:GRCm38:3:105924358:105932664:-1 gene:ENSMUSG00000074342.3 transcript:ENSMUST00000098758.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:I830077J02Rik description:RIKEN cDNA I830077J02 gene [Source:MGI Symbol;Acc:MGI:3588284] MGSTSSTPKSTICTFSTTAPVTSSTPYFFNPKKEHIILAFFAGVLLTLLIVALIFLIVKS CRKCHSSAQTQDPPSEPPTKLSSLSKESLTYASMTFKPPEENSNDLTRNHSSGLEPTIYS QIKVTDSDLPLP >ENSMUSP00000142349.1 pep:known chromosome:GRCm38:3:105926417:105928016:-1 gene:ENSMUSG00000074342.3 transcript:ENSMUST00000196099.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:I830077J02Rik description:RIKEN cDNA I830077J02 gene [Source:MGI Symbol;Acc:MGI:3588284] XSTTAPVTSSTPYFFNPKGGELSMSHNVAQAGL >ENSMUSP00000136856.1 pep:known chromosome:GRCm38:14:3572023:3594220:1 gene:ENSMUSG00000095195.7 transcript:ENSMUST00000178728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3005 description:predicted gene 3005 [Source:MGI Symbol;Acc:MGI:3781183] MLNSSKLGVSGMFSWLLRLFQKENGDEGESRPTEKEEGILPHEKGRRKWLWRRHRSARNT STQNSKMTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRL LVEQAGHKCPVGKKRGSLRRPARTSVSQVPRNTSVI >ENSMUSP00000136865.1 pep:known chromosome:GRCm38:14:3428103:3589579:1 gene:ENSMUSG00000095195.7 transcript:ENSMUST00000178060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3005 description:predicted gene 3005 [Source:MGI Symbol;Acc:MGI:3781183] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNTR >ENSMUSP00000018644.2 pep:known chromosome:GRCm38:11:62248984:62266453:1 gene:ENSMUSG00000018500.2 transcript:ENSMUST00000018644.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adora2b description:adenosine A2b receptor [Source:MGI Symbol;Acc:MGI:99403] MQLETQDALYVALELVIAALAVAGNVLVCAAVGASSALQTPTNYFLVSLATADVAVGLFA IPFAITISLGFCTDFHGCLFLACFVLVLTQSSIFSLLAVAVDRYLAIRVPLRYKGLVTGT RARGIIAVLWVLAFGIGLTPFLGWNSKDSATSNCTELGDGIANKSCCPVTCLFENVVPMS YMVYFNFFGCVLPPLLIMLVIYIKIFMVACKQLQRMELMDHSRTTLQREIHAAKSLAMIV GIFALCWLPVHAINCITLFHPALAKDKPKWVMNVAILLSHANSVVNPIVYAYRNRDFRYS FHKIISRYVLCQAETKGGSGQAGAQSTLSLGL >ENSMUSP00000128435.1 pep:known chromosome:GRCm38:5:11040115:11044948:1 gene:ENSMUSG00000095710.7 transcript:ENSMUST00000163544.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8871 description:predicted pseudogene 8871 [Source:MGI Symbol;Acc:MGI:3645635] XRASVDGRETRERRKGAGLPSESNEGRRRWTWRMWRARRHTSSTAPDLSKKEFKKEKERL TTELHLLIQLRNEQRDHLIDFKESSNYNRTKPTQKKNPFYEQLRSTKDQVLSSVYKLEMG IIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMSITQNQKNKEVQIDWALIEKYLVALNL NGQTGADQQP >ENSMUSP00000132192.2 pep:known chromosome:GRCm38:5:10947805:11043682:1 gene:ENSMUSG00000095710.7 transcript:ENSMUST00000168945.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8871 description:predicted pseudogene 8871 [Source:MGI Symbol;Acc:MGI:3645635] ARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPTQKK NPFYEQLRSTKDQVLSSVYKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMSIT QNQKNKEVQIDWALIEKYLVALNLNGQTGADQQP >ENSMUSP00000126947.1 pep:known chromosome:GRCm38:14:3412614:3433800:1 gene:ENSMUSG00000096488.1 transcript:ENSMUST00000170123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10409 description:predicted gene 10409 [Source:MGI Symbol;Acc:MGI:3710610] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIVSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVDQAG HKCPVGKKRGSLRRPARTSVSQVPRNTSVK >ENSMUSP00000023211.9 pep:known chromosome:GRCm38:15:76347040:76351111:-1 gene:ENSMUSG00000022552.15 transcript:ENSMUST00000023211.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sharpin description:SHANK-associated RH domain interacting protein [Source:MGI Symbol;Acc:MGI:1913331] MSPPAGGAAVAADPASPVVLLAVHAAVRPLGAGQDAEAQPRKLQLIADPERPGRFRLGLL GTEPGAVSLEWPLEAICYTVRGPNQHELQPPPGGPGTFSVHFLDPEEAQQWAALVRDATA EGQNGSGSPAPAPAPAMCPISPPCSSMAQIPKATQPEVDLPQSSGNFKKEELATRLSQAI AGGDEKAAAQVAAVLAQHHVALNVQLMEAWFPPGPIRLQVTVEDATSVLSSSSSAHVSLK IHPHCSIAALQDQVFSEFGFPPAVQRWVIGRCLCMPERSLASYGVSQDGDPAFLYLLSAP REVSGQSLQNSKMDRKLGLFPQSLGLPHDLQPSSSSLPSPSQPGWSCPSCTFINASNRPG CEMCSTQRPCAWDPLAAAST >ENSMUSP00000124755.1 pep:known chromosome:GRCm38:15:76347054:76347684:-1 gene:ENSMUSG00000022552.15 transcript:ENSMUST00000159429.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sharpin description:SHANK-associated RH domain interacting protein [Source:MGI Symbol;Acc:MGI:1913331] XAPREVSGQSLQNSKMDRKLGLFPQSLGLPHDLQPSSSSLPSPSQPGWSCPSCTFINASN RPGCEMCSTQRPCAWDPLAAAST >ENSMUSP00000125382.1 pep:known chromosome:GRCm38:15:76347629:76350750:-1 gene:ENSMUSG00000022552.15 transcript:ENSMUST00000160560.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sharpin description:SHANK-associated RH domain interacting protein [Source:MGI Symbol;Acc:MGI:1913331] MSPPAGGAAVAADPASPVVLLAVHAAVRPLGAGQDAEAQPRKLQLIADPERPGRFRLGLL GTEPGAAVAAQPQPQPQQCAPFLHHVLLWLKYLKQPNLRWIFLKVLETSKKKSWLHACPR PLQVGMRKQRPKWQQSWRSIMWLSMSSSWRPGSHQVP >ENSMUSP00000047083.5 pep:known chromosome:GRCm38:9:25481547:25604110:1 gene:ENSMUSG00000036611.5 transcript:ENSMUST00000040677.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eepd1 description:endonuclease/exonuclease/phosphatase family domain containing 1 [Source:MGI Symbol;Acc:MGI:1914734] MGSTLGCHRSIPRDPSDLSHNRKFSAACNFSNILVNQERLNINTATEEELMTLPGVTRAV ARSIVEYREYIGGFKKVEDLALVSGVGATKLEQVKFEICVSSKGNSAQHSPSSLRRDLLA EQQPHHLTTTVPLTPRVNINTATLAQLMSVRGLSEKMALSIVDYRREHGPFRSVEDLVRM DGINAAFLDRIRHQVFAERSRPPSTHTNGGLTFTAKPHPSPTSLSLQSEDLDLPPGGPTQ IISMRPSVEAFGGMRDGRPVFRLATWNLQGCSVEKANNPGVREVVCMTLLENSIKLLAVQ ELLDKEALEKFCTELNQPILPNIRKWKGSRGCWRSIVAEKPSNQLQKGPCYSGFLWDTAA NVELRDIPGRESSPSNGHAKAVGPSPFLARFKVGSNDLTLVNLQLTALALPGAENSSKNH SDGHRLLNFALTLQETLKGEKDVVILGDFGQGPDSNDYDILRREKFHHLVPAHTFTNIST RNPQGSKSVDNIWISKSLKKVFTGHWAVVREGLTNPWIPDNWSWGGVASEHCPVLAELYM EKDWSKKEVPRNGNGVTLEPSEANIKHER >ENSMUSP00000127481.2 pep:known chromosome:GRCm38:5:10947807:10952592:1 gene:ENSMUSG00000091933.2 transcript:ENSMUST00000170064.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8857 description:predicted gene 8857 [Source:MGI Symbol;Acc:MGI:3648006] XARERRKDAGLPSESNEGRRRWTWRIWRARRHTSSTAPDVSKKKFKKEKERLTTELHLLI QLRNEQRDHLIDFKESSNYNRTKPTQKKNPFYEQLRSTKNQVLSSVHKLEMGIIEAQENI QELNKWIDYFTNLHSQLLMEKNLKMSITQNQKNKEVQIDWALIEKYLVDLNLNGRTGADQ QP >ENSMUSP00000047927.5 pep:known chromosome:GRCm38:11:110269369:110337678:-1 gene:ENSMUSG00000018800.14 transcript:ENSMUST00000043961.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca5 description:ATP-binding cassette, sub-family A (ABC1), member 5 [Source:MGI Symbol;Acc:MGI:2386607] MATAIRDVGVWRQTRTLLLKNYLIKCRTKKSSVQEILFPLFFLFWLILVSMMHPNKKYEE VSDIELSPMDKFSLSNVILGYTPVTNITSSIMQRVSTDHLPKVIVTEEYANEKELVAASL SKSSNFVGVVFKDTMSYELRFFPEMIPVSSIYMNSREGCSKTCDAAQYWSLGFTVLQASI DAAIIQLKTNVSVWSELESTKAVIMGEAAVVEIDTFPRGVILIYLVIAFSPFGYFLAIHI VAEKEKKLKEFLKIMGLHDTAFWLSWVLLYASLIFLMSLLMAVIATASSLFPQSSSIVIF LLFFLYGLSSVFFALMLTPLFKKSKHVGVVEFFVTVVFGFVGLLIVLIESFPRSLVWLFS PLCQCAFLIGIAQVMHLEDFNEGALFSNLTEGPYPLIITIIMLALDSVFYVLLAVYLDQV IPGEFGLRRSSLYFLKPSYWSKNKRNYKELSEGNINGNISLNEIVEPVSSEFIGKEAIRI SGIQKSYRKKTENVEALRNLSFDIYEGQITALLGHSGTGKSTLMNILCGLCPPSDGFASI YGHRVSEIDEMFEARKMIGICPQSDINFDVLTVEENLSILASIKGIPANNIIQEVQKVLL DLDMQAIKDNQAKKLSGGQKRKLSVGIAVLGNPKILLLDEPTAGMDPCSRHIVWNLLKYR KANRVTVFSTHFMDEADILADRKAVISQGMLKCVGSSIFLKSKWGIGYRLSMYIDRYCAT ESLSSLVRQHIPAAALLQQNDQQLVYSLPFKDMDKFSGLFSALDIHSNLGVISYGVSMTT LEDVFLKLEVEAEIDQADYSVFTQQPREEETDSKSFDEMEQSLLILSETKASSVSTMSLW KQQVSTIAKFHFLSLKRESKSVRAVLLLLLIFFAVQIFMFFLHHSFKNAVVPIKLVPDLY FLKPGDKPHKYKTSLLLQNSTDSDINGLIEFFAHQNIMVAMFNDSDYVSAAPHSAALNVV RSEKDYVFSAVFNSTMVYCLPVMMNIISNYYLYHLNVTEAIQTWSTPFIQEITDIVFKIE LYFQAALLGIIVTAMPPYFAMENAENHKIKAYTQLKLSGLLPSAYWVGQAVVDIPLFFVV LILMLGSLFAFHHGLYFYPAKFLAVVFCLIAYVPSVILFTYIASFTFKKILNTKEFWSFI YSVTALACVAITETTFFLQYAVTAVFHYTFCIAIPIYPLLGCLISFIKGSWKNMPKNENT YNPWDRLLVAVIMPYLQCILWIFLLQHYEKIHGGRSIRKDPFFRALSQKAKNKKFPEPPI NEDEDEDVKAERLKVKELMGCQCCEEKPAIMVCNLHKEYDDKKDFLHSRKTTKVATKYIS FCVKKGEILGLLGPNGAGKSTVINTLVGDVEPTSGKIFLGDYGSHSSEDDESIKCMGYCP QTNPLWPDLTLQEHFEIYGAVKGMSPGDMKEVISRITKALDLKEHLQKTVKKLPAGIKRK LCFALSMLGNPQVTLLDEPSTGMDPRAKQHMWRAIRTAFKNKKRAALLTTHYMEEAEAVC DRVAIMVSGQLRCIGTVQHLKSKFGKGYFLEIKLKDWIENLEIDRLQREIQYIFPNASRQ ESFSSILAFKIPKEDVQSLSQSFAKLEEAKRTFAIEEYSFSQATLEQVFVELTKEQEEED NSCGTLASTLWWERTQEDRVVF >ENSMUSP00000120708.1 pep:known chromosome:GRCm38:11:110286819:110337622:-1 gene:ENSMUSG00000018800.14 transcript:ENSMUST00000124714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca5 description:ATP-binding cassette, sub-family A (ABC1), member 5 [Source:MGI Symbol;Acc:MGI:2386607] MATAIRDVGVWRQTRTLLLKNYLIKCRTKKSSVQEILFPLFFLFWLILVSMMHPNKKYEE VSDIELSPMDKFSLSNVILGYTPVTNITSSIMQRVSTDHLPKVIVTEEYANEKELVAASL SKSSNFVGVVFKDTMSYELRFFPEMIPVSSIYMNSREGCSKTCDAAQYWSLGFTVLQASI DAAIIQLKTNVSVWSELESTKAVIMGEAAVVEIDTFPRGVILIYLVIAFSPFGYFLAIHI VAEKEKKLKEFLKIMGLHDTAFWLSWVLLYASLIFLMSLLMAVIATASSLFPQSSSIVIF LLFFLYGLSSVFFALMLTPLFKKSKHVGVVEFFVTVVFGFVGLLIVLIESFPRSLVWLFS PLCQCAFLIGIAQVMHLEDFNEGALFSNLTEGPYPLIITIIMLALDSVFYVLLAVYLDQV IPGEFGLRRSSLYFLKPSYWSKNKRNYKELSEGNINGNISLNEIVEPVSSEFIGKEAIRI SGIQKSYRKKTENVEALRNLSFDIYEGQITALLGHSGTGKSTLMNILCGLCPPSDGFASI YGHRVSEIDEMFEARKMIGICPQSDINFDVLTVEENLSILASIKGIPANNIIQEVQKVLL DLDMQAIKDNQAKKLSGGQKRKLSVGIAVLGNPKILLLDEPTAGMDPCSRHIVWNLLKYR KANRVTVFSTHFMDEADILADRKAVISQGMLKCVGSSIFLKSKWGIGYRLSMYIDRYCAT ESLSSLVRQHIPAAALLQQNDQQLVYSLPFKDMDKFSGLFSALDIHSNLGVISYGVSMTT LEDVFLKLEVEAEIDQADYSVFTQQPREEETDSKSFDEMEQSLLILSETKASSVSTMSLW KQQVSTIAKFHFLSLKRESKSVRAVLLLLLIFFAVQIFMFFLHHSFKNAVVPIKLVPDLY FLKPGDKPHKYKTSLLLQNSTDSDINGLIEFFAHQNIMVAMFNDSDYVSAAPHSAALNVV RSEKDYVFSAVFNSTMVYCLPVMMNIISNYYLYHLNVTEAIQTWSTPFIQEITDIVFKIE LYFQAALLGIIVTAMPPYFAMENAENHKIKAYTQLKLSGLLPSAYWVGQAVVDIPLFFVV LILMLGSLFAFHHGLYFYPAKFLAVVFCLIAYVPSVILFTYIASFTFKKILNTKEFWSFI YSVTALACVAITETTFFLQYAVTAVFHYTFCIAIPIYPLLGCLISFIKGSWKNMP >ENSMUSP00000118328.1 pep:known chromosome:GRCm38:11:110319807:110337640:-1 gene:ENSMUSG00000018800.14 transcript:ENSMUST00000134721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca5 description:ATP-binding cassette, sub-family A (ABC1), member 5 [Source:MGI Symbol;Acc:MGI:2386607] MATAIRDVGVWRQTRTLLLKNYLIKCRTKKSSVQEILFPLFFLFWLILVSMMHPNKKYEE VSDIELSPMDKFSLSNVILGYTPVTNITSSIMQRVSTDHLPKVIVTEEYANEKELVAASL SKSSNFVGVVFKDTMSYELRFFPEMIPVSSIYMNSREGCSKTCDAAQYWSLGFTVLQASI DA >ENSMUSP00000085006.4 pep:known chromosome:GRCm38:13:21735064:21735837:1 gene:ENSMUSG00000067455.5 transcript:ENSMUST00000087714.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4j description:histone cluster 1, H4j [Source:MGI Symbol;Acc:MGI:2448436] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000102769.2 pep:known chromosome:GRCm38:11:102194432:102230166:-1 gene:ENSMUSG00000008855.17 transcript:ENSMUST00000107151.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac5 description:histone deacetylase 5 [Source:MGI Symbol;Acc:MGI:1333784] MNSPNESADGMSGREPSLEILPRTPLHSIPVAVEVKPVLPGAMPSSMGGGGGGSPSPVEL RGALAGPMDPALREQQLQQELLVLKQQQQLQKQLLFAEFQKQHDHLTRQHEVQLQKHLKQ QQEMLAAKRQQELEQQRQREQQRQEELEKQRLEQQLLILRNKEKSKESAIASTEVKLRLQ EFLLSKSKEPTPGGLNHSLPQHPKCWGAHHASLDQSSPPQSGPPGTPPSYKLPLLGPYDS RDDFPLRKTASEPNLKVRSRLKQKVAERRSSPLLRRKDGTVISTFKKRAVEITGTGPGVS SVCNSAPGSGPSSPNSSHSTIAENGFTGSVPNIPTEMIPQHRALPLDSSPNQFSLYTSPS LPNISLGLQATVTVTNSHLTASPKLSTQQEAERQALQSLRQGGTLTGKFMSTSSIPGCLL GVALEGDTSPHGHASLLQHVLLLEQARQQSTLIAVPLHGQSPLVTGERVATSMRTVGKLP RHRPLSRTQSSPLPQSPQALQQLVMQQQHQQFLEKQKQQQMQLGKILTKTGELSRQPTTH PEETEEELTEQQEALLGEGALTIPREGSTESESTQEDLEEEEEEEEEEEEDCIQVKDEDG ESGPDEGPDLEESSAGYKKLFADAQQLQPLQVYQAPLSLATVPHQALGRTQSSPAAPGSM KSPTDQPTVVKHLFTTGPISQKMYAMLPCGGIGVDSDTVWNEMHSSSAVRMAVGCLVELA FKVAAGELKNGFAIIRPPGHHAEESTAMGFCFFNSVAITAKLLQQKLSVGKVLIVDWDIH HGNGTQQAFYNDPSVLYISLHRYDNGNFFPGSGAPEEVGGGPGVGYNVNVAWTGGVDPPI GDVEYLTAFRTVVMPIAQEFSPDVVLVSAGFDAVEGHLSPLGGYSVTARCFGHLTRQLMT LAGGRVVLALEGGHDLTAICDASEACVSALLSVELQPLDEAVLQQKPSVNAVATLEKVIE IQSKHWSCVQRFAAGLGCSLREAQTGEKEEAETVSAMALLSVGAEQAQAVATQEHSPRPA EEPMEQEPAL >ENSMUSP00000008999.5 pep:known chromosome:GRCm38:11:102195744:102230124:-1 gene:ENSMUSG00000008855.17 transcript:ENSMUST00000008999.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac5 description:histone deacetylase 5 [Source:MGI Symbol;Acc:MGI:1333784] MLLVPKAQGLVEMLQTIYETESCFSADGMSGREPSLEILPRTPLHSIPVAVEVKPVLPGA MPSSMGGGGGGSPSPVELRGALAGPMDPALREQQLQQELLVLKQQQQLQKQLLFAEFQKQ HDHLTRQHEVQLQKHLKQQQEMLAAKRQQELEQQRQREQQRQEELEKQRLEQQLLILRNK EKSKESAIASTEVKLRLQEFLLSKSKEPTPGGLNHSLPQHPKCWGAHHASLDQSSPPQSG PPGTPPSYKLPLLGPYDSRDDFPLRKTASEPNLKVRSRLKQKVAERRSSPLLRRKDGTVI STFKKRAVEITGTGPGVSSVCNSAPGSGPSSPNSSHSTIAENGFTGSVPNIPTEMIPQHR ALPLDSSPNQFSLYTSPSLPNISLGLQATVTVTNSHLTASPKLSTQQEAERQALQSLRQG GTLTGKFMSTSSIPGCLLGVALEGDTSPHGHASLLQHVLLLEQARQQSTLIAVPLHGQSP LVTGERVATSMRTVGKLPRHRPLSRTQSSPLPQSPQALQQLVMQQQHQQFLEKQKQQQMQ LGKILTKTGELSRQPTTHPEETEEELTEQQEALLGEGALTIPREGSTESESTQEDLEEEE EEEEEEEEDCIQVKDEDGESGPDEGPDLEESSAGYKKLFADAQQLQPLQVYQAPLSLATV PHQALGRTQSSPAAPGSMKSPTDQPTVVKHLFTTGVVYDTFMLKHQCMCGNTHVHPEHAG RIQSIWSRLQETGLLGKCERIRGRKATLDEIQTVHSEYHTLLYGTSPLNRQKLDSKKLLG PISQKMYAMLPCGGIGVDSDTVWNEMHSSSAVRMAVGCLVELAFKVAAGELKNGFAIIRP PGHHAEESTAMGFCFFNSVAITAKLLQQKLSVGKVLIVDWDIHHGNGTQQAFYNDPSVLY ISLHRYDNGNFFPGSGAPEEVGGGPGVGYNVNVAWTGGVDPPIGDVEYLTAFRTVVMPIA QEFSPDVVLVSAGFDAVEGHLSPLGGYSVTARCFGHLTRQLMTLAGGRVVLALEGGHDLT AICDASEACVSALLSVELQPLDEAVLQQKPSVNAVATLEKVIEIQSKHWSCVQRFAAGLG CSLREAQTGEKEEAETVSAMALLSVGAEQAQAVATQEHSPR >ENSMUSP00000115435.1 pep:known chromosome:GRCm38:11:102195749:102196568:-1 gene:ENSMUSG00000008855.17 transcript:ENSMUST00000140962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac5 description:histone deacetylase 5 [Source:MGI Symbol;Acc:MGI:1333784] ACVSALLSVELQPLDEAVLQQKPSVNAVATLEKVIEIQSKHWSCVQRFAAGLGCSLREAQ TGEKEEAETVSAMALLSVGAEQAQAVATQEHSPSALSVFVPTGQQRSPWSRSLPCDTLAP IPLGFIIVILFIFSIKNKKSHI >ENSMUSP00000116672.1 pep:known chromosome:GRCm38:11:102195749:102230139:-1 gene:ENSMUSG00000008855.17 transcript:ENSMUST00000124077.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hdac5 description:histone deacetylase 5 [Source:MGI Symbol;Acc:MGI:1333784] MNSPNESDGMSGREPSLEILPRTPLHSIPVAGDLGQAPSVASLSPPAAGAVELLSSWAFS LLTF >ENSMUSP00000102768.1 pep:known chromosome:GRCm38:11:102195751:102230136:-1 gene:ENSMUSG00000008855.17 transcript:ENSMUST00000107150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac5 description:histone deacetylase 5 [Source:MGI Symbol;Acc:MGI:1333784] MNSPNESDGMSGREPSLEILPRTPLHSIPVAVEVKPVLPGAMPSSMGGGGGGSPSPVELR GALAGPMDPALREQQLQQELLVLKQQQQLQKQLLFAEFQKQHDHLTRQHEVQLQKHLKQQ QEMLAAKRQQELEQQRQREQQRQEELEKQRLEQQLLILRNKEKSKESAIASTEVKLRLQE FLLSKSKEPTPGGLNHSLPQHPKCWGAHHASLDQSSPPQSGPPGTPPSYKLPLLGPYDSR DDFPLRKTASEPNLKVRSRLKQKVAERRSSPLLRRKDGTVISTFKKRAVEITGTGPGVSS VCNSAPGSGPSSPNSSHSTIAENGFTGSVPNIPTEMIPQHRALPLDSSPNQFSLYTSPSL PNISLGLQATVTVTNSHLTASPKLSTQQEAERQALQSLRQGGTLTGKFMSTSSIPGCLLG VALEGDTSPHGHASLLQHVLLLEQARQQSTLIAVPLHGQSPLVTGERVATSMRTVGKLPR HRPLSRTQSSPLPQSPQALQQLVMQQQHQQFLEKQKQQQMQLGKILTKTGELSRQPTTHP EETEEELTEQQEALLGEGALTIPREGSTESESTQEDLEEEEEEEEEEEEDCIQVKDEDGE SGPDEGPDLEESSAGYKKLFADAQQLQPLQVYQAPLSLATVPHQALGRTQSSPAAPGSMK SPTDQPTVVKHLFTTGVVYDTFMLKHQCMCGNTHVHPEHAGRIQSIWSRLQETGLLGKCE RIRGRKATLDEIQTVHSEYHTLLYGTSPLNRQKLDSKKLLGPISQKMYAMLPCGGIGVDS DTVWNEMHSSSAVRMAVGCLVELAFKVAAGELKNGFAIIRPPGHHAEESTAMGFCFFNSV AITAKLLQQKLSVGKVLIVDWDIHHGNGTQQAFYNDPSVLYISLHRYDNGNFFPGSGAPE EVGGGPGVGYNVNVAWTGGVDPPIGDVEYLTAFRTVVMPIAQEFSPDVVLVSAGFDAVEG HLSPLGGYSVTARCFGHLTRQLMTLAGGRVVLALEGGHDLTAICDASEACVSALLSVELQ PLDEAVLQQKPSVNAVATLEKVIEIQSKHWSCVQRFAAGLGCSLREAQTGEKEEAETVSA MALLSVGAEQAQAVATQEHSPR >ENSMUSP00000102770.2 pep:known chromosome:GRCm38:11:102195751:102230166:-1 gene:ENSMUSG00000008855.17 transcript:ENSMUST00000107152.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac5 description:histone deacetylase 5 [Source:MGI Symbol;Acc:MGI:1333784] MNSPNESADGMSGREPSLEILPRTPLHSIPVAVEVKPVLPGAMPSSMGGGGGGSPSPVEL RGALAGPMDPALREQQLQQELLVLKQQQQLQKQLLFAEFQKQHDHLTRQHEVQLQKHLKQ QQEMLAAKRQQELEQQRQREQQRQEELEKQRLEQQLLILRNKEKSKESAIASTEVKLRLQ EFLLSKSKEPTPGGLNHSLPQHPKCWGAHHASLDQSSPPQSGPPGTPPSYKLPLLGPYDS RDDFPLRKTASEPNLKVRSRLKQKVAERRSSPLLRRKDGTVISTFKKRAVEITGTGPGVS SVCNSAPGSGPSSPNSSHSTIAENGFTGSVPNIPTEMIPQHRALPLDSSPNQFSLYTSPS LPNISLGLQATVTVTNSHLTASPKLSTQQEAERQALQSLRQGGTLTGKFMSTSSIPGCLL GVALEGDTSPHGHASLLQHVLLLEQARQQSTLIAVPLHGQSPLVTGERVATSMRTVGKLP RHRPLSRTQSSPLPQSPQALQQLVMQQQHQQFLEKQKQQQMQLGKILTKTGELSRQPTTH PEETEEELTEQQEALLGEGALTIPREGSTESESTQEDLEEEEEEEEEEEEDCIQVKDEDG ESGPDEGPDLEESSAGYKKLFADAQQLQPLQVYQAPLSLATVPHQALGRTQSSPAAPGSM KSPTDQPTVVKHLFTTGVVYDTFMLKHQCMCGNTHVHPEHAGRIQSIWSRLQETGLLGKC ERIRGRKATLDEIQTVHSEYHTLLYGTSPLNRQKLDSKKLLGPISQKMYAMLPCGGIGVD SDTVWNEMHSSSAVRMAVGCLVELAFKVAAGELKNGFAIIRPPGHHAEESTAMGFCFFNS VAITAKLLQQKLSVGKVLIVDWDIHHGNGTQQAFYNDPSVLYISLHRYDNGNFFPGSGAP EEVGGGPGVGYNVNVAWTGGVDPPIGDVEYLTAFRTVVMPIAQEFSPDVVLVSAGFDAVE GHLSPLGGYSVTARCFGHLTRQLMTLAGGRVVLALEGGHDLTAICDASEACVSALLSVEL QPLDEAVLQQKPSVNAVATLEKVIEIQSKHWSCVQRFAAGLGCSLREAQTGEKEEAETVS AMALLSVGAEQAQAVATQEHSPRPAEEPMEQEPAL >ENSMUSP00000116646.1 pep:known chromosome:GRCm38:11:102205887:102230142:-1 gene:ENSMUSG00000008855.17 transcript:ENSMUST00000156337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac5 description:histone deacetylase 5 [Source:MGI Symbol;Acc:MGI:1333784] MPSSMGGGGGGSPSPVELRGALAGPMDPALREQQLQQELLVLKQQQQLQKQLLFAEFQKQ HDHLTRQHEVQLQKHLKQQQEMLAAKRQQELEQQRQREQQRQEELEKQRLEQQLLILRNK EKSKESAIASTEVKLRLQEFLLSKSKEPTPGGLNHSLPQHPKCWGAHHASLDQSS >ENSMUSP00000118108.1 pep:known chromosome:GRCm38:11:102206628:102218928:-1 gene:ENSMUSG00000008855.17 transcript:ENSMUST00000131254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac5 description:histone deacetylase 5 [Source:MGI Symbol;Acc:MGI:1333784] MSGREPSLEILPRTPLHSIPVAVEVKPVLPGAMPSSMGGGGGGSPSPVELRGALAGPMDP ALREQQLQQELLVLKQQQQLQKQLLFAEFQKQHDHLTRQHEVQLQKHLKQQQEMLAAKRQ QELEQQRQREQQRQEELEKQRLEQQLLILRNKEKSKES >ENSMUSP00000032238.3 pep:known chromosome:GRCm38:6:124024758:124062035:1 gene:ENSMUSG00000096630.1 transcript:ENSMUST00000032238.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r26 description:vomeronasal 2, receptor 26 [Source:MGI Symbol;Acc:MGI:2678394] MKLLTAFSPLVVLILFQEQISCYYLTKYASSGYYQDADFVIGGLFSLRVTDGDTFISRSG VEDTSHIAEYVFADLIKYYQHILAMVFAIEKINKDPNILFNKSLGFFLFNVNFIEMKAAE GSMALLSGESPPIPNYSCRPEKTDKLVAVIGGISTSISIQISRVLSLYNVPQISYAPFDQ ILGTRVQLQSPYQFSMHTAALYQGIVQLLLYFTWIWVGLVVPDDMRGELYLRDITKEMIS HGICFAFAEKVTEYSSMDTVNWKHFMERLTLTPVIITVGDTHSLLRIVYFVIFYNLSGNV WITTSDWYITTLPFEQNLIYTHFGGGLSFSFHMDEILGFKDFLRSVQPRKYPHDIFIRHV WSSLFGCPHYYQHRLWDLSQCEPNGSLSTRPLHAWDMNTSPYSYKVYAAVYAIAQALHEE LSLRVEGDSSNKCLLQAPLPWKLHPFLQKGQLGRSTNEENTVNKEVSAIKLDIFNYQSLQ SGTEAHVKVGEFVFDSHSVQHLSLNDKIITWGKHRSQTPLSVCSQSCPFGFSKTAVEGKP FCCFDCVPCPDGEIANKTDMDQCIKCPEDQYPNKQRNQCLPKIMVFLAHEDPLGTVLVSL AISLSAFSAMILGLFICYRETPIVRANNRNLSYLLLISLKLCFSCSLMFIGQPRTVTCVL RQIIFGIVFSIVISAILAKTFIVVMAFKAIKPGSILKMGMVTRLSNAIVCCGSIIQVCIC AVWLGTYPPFPDVDMHSEFGQIILWCNEGSTLAFYCVLGYLGFLASLSLLIAFLARRLPD SFNEAKTITFSMLVFCSVWISFVPAYLSSKGKTMVAVEILSILASSAGLLGCIFLPKCYV ILLKSGGHSRKKFFK >ENSMUSP00000103608.1 pep:known chromosome:GRCm38:7:43472904:43490075:-1 gene:ENSMUSG00000013367.5 transcript:ENSMUST00000107974.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iglon5 description:IgLON family member 5 [Source:MGI Symbol;Acc:MGI:2686277] MPPPAPGARLRLLAAAALAGLAVISRGLLSQSLEFSSPADNYTVCEGDNATLSCFIDEHV TRVAWLNRSNILYAGNDRWTSDPRVRLLINTPEEFSILITQVGLGDEGLYTCSFQTRHQP YTTQVYLIVHVPARIVNISSPVAVNEGGNVNLLCLAVGRPEPTVTWRQLRDGFTSEGEIL EISDIQRGQAGEYECVTHNGVNSAPDSRRVLVTVNYPPTITDVTSARTALGRAALLRCEA MAVPPADFQWYKDDRLLSSGSAEGLKVQTERTRSMLLFANVSARHYGNYTCRAANRLGAS SASMRLLRPGSLENSAPRPPGPLTLLSALSWLWWRM >ENSMUSP00000145830.1 pep:known chromosome:GRCm38:7:43474186:43478233:-1 gene:ENSMUSG00000013367.5 transcript:ENSMUST00000206336.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iglon5 description:IgLON family member 5 [Source:MGI Symbol;Acc:MGI:2686277] XPARIVNISSPVAVNEGGNVNLLCLAVGRPEPTVTWRQLRDPPTITDVTSARTALGRAAL LRCEAMAVPPADFQWYKDDRLLSSGSAEGLKVQTERTRSMLLFANVSARHYGNYTCRAAN RLGASSASMRLLRPGSLENSAPRPPGPLTLLSALSWLWWRM >ENSMUSP00000052805.5 pep:known chromosome:GRCm38:6:129729041:129740484:-1 gene:ENSMUSG00000043932.5 transcript:ENSMUST00000050385.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klri2 description:killer cell lectin-like receptor family I member 2 [Source:MGI Symbol;Acc:MGI:2443965] MHKKKHIKHGTNKQEIINIGTKSPTFQEKQRPSKTDQRSTVWREEQKKQELKVHRIFHPQ PRTGFDVGKGIDPWLTTWQMITVILATLCIILVTKVGFLIPSLFSKGEKQSRKFSLLDPL CDRNDDSSCDFCSSDWIAFGNNFYCVFRENSKTWVESQSACEELNSHLVIIDSKAEVENL LLFEMDGWILHRMDGTNSSRLWGNDIKIRNTLMNDSEKKNHSCHYLRGNIFMPDECSAKK TYICEFNI >ENSMUSP00000035257.2 pep:known chromosome:GRCm38:15:52295553:52335798:1 gene:ENSMUSG00000022315.3 transcript:ENSMUST00000037240.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a8 description:solute carrier family 30 (zinc transporter), member 8 [Source:MGI Symbol;Acc:MGI:2442682] MEFLERTYLVNDQATKMYAFPLDRELRQKPVNKDQCPGDRPEHPEAGGIYHCHNSAKATG NRSSKQAHAKWRLCAASAICFIFMVAEVVGGHVAGSLAILTDAAHLLIDLTSFLLSLFSL WLSSRPPSKRLTFGWYRAEILGALLSVLCIWVVTGVLLYLACERLLYPDYQIQAGIMITV SGCAVAANIVLTMILHQRNFGYNHKDVQANASVRAAFVHALGDVFQSISVLISALIIYFK PDYKIADPVCTFIFSILVLASTVMILKDFSILLMEGVPKGLSYNSVKEIILAVDGVISVH SLHIWSLTVNQVILSVHVATAASQDSQSVRTGIAQALSSFDLHSLTIQIESAADQDPSCL LCEDPQD >ENSMUSP00000089642.4 pep:known chromosome:GRCm38:18:22693181:22850754:-1 gene:ENSMUSG00000041923.15 transcript:ENSMUST00000092015.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol4 description:nucleolar protein 4 [Source:MGI Symbol;Acc:MGI:2441684] XSQGALPGKGWTSPEGYWISNDRTGLSQTLVFKLKSRIHHDSAAESSNGNETLGHSSAAS GGAHGREPEDSSSDGKTGLEQEEQPLNLSDSPSSAQLTSEFRIDDQGSDGKNKYKNLLIS DLKMEREARENGSKSPAHSYSSYDSGKNESVDRGAEDLSLNRGDEDEDEHDEHEDSEKVN ETDGVEAERLKAFNSRPIPSHLTSAVAESILASACESESRNAAKRMRLDKAQDEAAPADK QCKPEAAQATYSTATVPGSQEVLYINGNGTYSYHSYRGLGGLLSLDDTSSSGPTDLSMKR QLATGSGSSSSSTSRPQLSPTEINAVRQLVAGYRESAAFLLRSADELENLILQQN >ENSMUSP00000064166.6 pep:known chromosome:GRCm38:18:22694298:22850754:-1 gene:ENSMUSG00000041923.15 transcript:ENSMUST00000069215.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol4 description:nucleolar protein 4 [Source:MGI Symbol;Acc:MGI:2441684] XSQGALPGKGWTSPEGYWISNDRTGLSQTLVFKLKSRIHHDSAAESSNGNETLGHSSAAS GGAHGREPEDSSSDGKTGLEQEEQPLNLSDSPSSAQLTSEFRIDDQGSDGKNKYKNLLIS DLKMEREARENGSKSPAHSYSSYDSGKNESVDRGAEDLSLNRGDEDEDEHDEHEDSEKVN ETDGVEAERLKAFNMFVRLFVDENLDRMVPISKQPKEKIQAIIDSCRRQFPEYQERARKR IRTYLKSCRRMKRSGFEMSRPIPSHLTSAVAESILASACESESRNAAKRMRLDKAQDEAA PADKQCKPEAAQATYSTATVPGSQEVLYINGNGTYSYHSYRGLGGLLSLDDTSSSGPTDL SMKRQLATGSGSSSSSTSRPQLSPTEINAVRQLVAGYRESAAFLLRSADELENLILQQN >ENSMUSP00000080150.6 pep:known chromosome:GRCm38:18:22693381:23038656:-1 gene:ENSMUSG00000041923.15 transcript:ENSMUST00000081423.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol4 description:nucleolar protein 4 [Source:MGI Symbol;Acc:MGI:2441684] MHERLFQAPSGGLSQDGVGVDEKLSLRRVAVVEDFFDIIYSMHVETGPNGEQIRKHAGQK RTYKAISESYAFLPREAVTRFLMSCSECQKRMHLNPDGTDHKDNGKPPTLVTSMIDYNMP ITMAYMKHMKLQLLNSQQDEDESSIESDEFDMSDSTRMSAVNSDLSSNLEERMQSPQTVH GQQDDDSAAESSNGNETLGHSSAASGGAHGREPEDSSSDGKTGLEQEEQPLNLSDSPSSA QLTSEFRIDDQGSDGKNKYKNLLISDLKMEREARENGSKSPAHSYSSYDSGKNESVDRGA EDLSLNRGDEDEDEHDEHEDSEKVNETDGVEAERLKAFNMFVRLFVDENLDRMVPISKQP KEKIQAIIDSCRRQFPEYQERARKRIRTYLKSCRRMKRSGFEMSRPIPSHLTSAVAESIL ASACESESRNAAKRMRLDKAQDEAAPADKQCKPEAAQATYSTATVPGSQEVLYINGNGTY SYHSYRGLGGLLSLDDTSSSGPTDLSMKRQLATGSGSSSSSTSRPQLSPTEINAVRQLVA GYRESAAFLLRSADELENLILQQN >ENSMUSP00000095256.3 pep:known chromosome:GRCm38:18:22693634:23041653:-1 gene:ENSMUSG00000041923.15 transcript:ENSMUST00000097651.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol4 description:nucleolar protein 4 [Source:MGI Symbol;Acc:MGI:2441684] MESERDMYRQFQDWCLRTYGDSGKTKTVTRKKYERIVQLLNGSESSSTDNAKFKFWVKSK GFQLGQPDEVRGGGGGAKQVLFVRVKTTDGVGVDEKLSLRRVAVVEDFFDIIYSMHVETG PNGEQIRKHAGQKRTYKAISESYAFLPREAVTRFLMSCSECQKRMHLNPDGTDHKDNGKP PTLVTSMIDYNMPITMAYMKHMKLQLLNSQQDEDESSIESDEFDMSDSTRMSAVNSDLSS NLEERMQSPQTVHGQQDDDSAAESSNGNETLGHSSAASGGAHGREPEDSSSDGKTGLEQE EQPLNLSDSPSSAQLTSEFRIDDQGSDGKNKYKNLLISDLKMEREARENGSKSPAHSYSS YDSGKNESVDRGAEDLSLNRGDEDEDEHDEHEDSEKVNETDGVEAERLKAFNSRPIPSHL TSAVAESILASACESESRNAAKRMRLDKAQDEAAPADKQCKPEAAQATYSTATVPGSQED PQI >ENSMUSP00000127870.1 pep:known chromosome:GRCm38:18:22694524:23040078:-1 gene:ENSMUSG00000041923.15 transcript:ENSMUST00000164893.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol4 description:nucleolar protein 4 [Source:MGI Symbol;Acc:MGI:2441684] MESERDMYRQFQDWCLRTYGDSGKTKTVTRKKYERIVQLLNGSESSSTDNAKFKFWVKSK GFQLGQPDEVRGGGGGAKQVLFVRVKTTDGVGVDEKLSLRRVAVVEDFFDIIYSMHVETG PNGEQIRKHAGQKRTYKAISESYAFLPREAVTRFLMSCSECQKRMHLNPDGTDHKDNGKP PTLVTSMIDYNMPITMAYMKHMKLQLLNSQQDEDESSIESDEFDMSDSTRMSAVNSDLSS NLEERMQSPQTVHGQQDDDSAAESSNGNETLGHSSAASGGAHGREPEDSSSDGKTGLEQE EQPLNLSDSPSSAQLTSEFRIDDQGSDGKNKYKNLLISDLKMEREARENGSKSPAHSYSS YDSGKNESVDRGAEDLSLNRGDEDEDEHDEHEDSEKVNETDGVEAERLKAFNSRPIPSHL TSAVAESILASACESESRNAAKRMRLDKAQDEAAPADKQCKPEAAQATYSTATVPGSQEV LYINGNGTYSYHSYRGLGGLLSLDDTSSSGPTDLSMKRQLATGSGSSSSSTSRPQLSPTE INAVRQLVAGYRESAAFLLRSADELENLILQQN >ENSMUSP00000130950.1 pep:known chromosome:GRCm38:18:22694535:23040344:-1 gene:ENSMUSG00000041923.15 transcript:ENSMUST00000164186.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol4 description:nucleolar protein 4 [Source:MGI Symbol;Acc:MGI:2441684] MESERDMYRQFQDWCLRTYGDSGKTKTVTRKKYERIVQLLNGSESSSTDNAKFKFWVKSK GFQLGQPDEVRGGGGGAKQVLFVRVKTTDGVGVDEKLSLRRVAVVEDFFDIIYSMHVETG PNGEQIRKHAGQKRTYKAISESYAFLPREAVTRFLMSCSECQKRMHLNPDGTDHKDNGKP PTLVTSMIDYNMPITMAYMKHMKLQLLNSQQDEDESSIESDEFDMSDSTRMSAVNSDLSS NLEERMQSPQTVHGQQDDDSAAESSNGNETLGHSSAASGGAHGREPEDSSSDGKTGLEQE EQPLNLSDSPSSAQLTSEFRIDDQGSDGKNKYKNLLISDLKMEREARENGSKSPAHSYSS YDSGKNESVDRGAEDLSLNRGDEDEDEHDEHEDSEKVNETDGVEAERLKAFNMFVRLFVD ENLDRMVPISKQPKEKIQAIIDSCRRQFPEYQERARKRIRTYLKSCRRMKRSGFEMSRPI PSHLTSAVAESILASACESESRNAAKRMRLDKAQDEAAPADKQCKPEAAQATYSTATVPG SQEVLYINGNGTYSYHSYRGLGGLLSLDDTSSSGPTDLSMKRQLATGSGSSSSSTSRPQL SPTEINAVRQLVAGYRESAAFLLRSADELENLILQQN >ENSMUSP00000125860.1 pep:known chromosome:GRCm38:18:22912686:23038939:-1 gene:ENSMUSG00000041923.15 transcript:ENSMUST00000165323.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol4 description:nucleolar protein 4 [Source:MGI Symbol;Acc:MGI:2441684] MHVETGPNGEQIRKHAGQKRTYKAISESYAFLPREAVTRFLMSCSECQKRMHLNPDGTDH KDNGKPPTLVTSMIDYNMPITMAYMKHMKLQLLNSQQDEDESSIESDE >ENSMUSP00000100048.1 pep:known chromosome:GRCm38:13:21750194:21750505:-1 gene:ENSMUSG00000064288.4 transcript:ENSMUST00000102983.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4k description:histone cluster 1, H4k [Source:MGI Symbol;Acc:MGI:2448439] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000003436.5 pep:known chromosome:GRCm38:10:80583654:80590341:-1 gene:ENSMUSG00000003346.14 transcript:ENSMUST00000003436.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd17a description:abhydrolase domain containing 17A [Source:MGI Symbol;Acc:MGI:106388] MNGLSVSELCCLFCCPPCPGRIAAKLAFLPPDPTYSLVPEPEPGPGGAGAAPSGPLRTSA ATPGRWKIHLTERADFQYGQRELDTIEVFVTKSARANRIACMYVRCVPGARYTVLFSHGN AVDLGQMCSFYVGLGTRIGCNIFSYDYSGYGISSGRPSEKNLYADIDAAWQALRTRYGIS PDSIILYGQSIGTVPTVDLASRYECAAVVLHSPLTSGMRVAFPDTKKTYCFDAFPNIEKV SKITSPVLIIHGTEDEVIDFSHGLALYERCPKAVEPLWVEGAGHNDIELYSQYLERLRRF ISQELPSQRA >ENSMUSP00000139766.1 pep:known chromosome:GRCm38:10:80583655:80590324:-1 gene:ENSMUSG00000003346.14 transcript:ENSMUST00000191440.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd17a description:abhydrolase domain containing 17A [Source:MGI Symbol;Acc:MGI:106388] MNGLSVSELCCLFCCPPCPGRIAAKLAFLPPDPTYSLVPEPEPGPGGAGAAPSGPLRTSA ATPGRWKIHLTERADFQYGQRELDTIEVFVTKSARANRIACMYVRCVPGARYTVLFSHGN AVDLGQMCSFYVGLGTRIGCNIFSYDYSGYGISSGRPSEKNLYADIDAAWQALRTRYGIS PDSIILYGQSIGTVPTVDLASRYECAAVVLHSPLTSGMRVAFPDTKKTYCFDAFPK >ENSMUSP00000141177.1 pep:known chromosome:GRCm38:10:80583656:80586624:-1 gene:ENSMUSG00000003346.14 transcript:ENSMUST00000189605.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd17a description:abhydrolase domain containing 17A [Source:MGI Symbol;Acc:MGI:106388] DFQYGQRELDTIEVFVTKSARANRIACMYVRCVPGARYTVLFSHGNAVDLGQMCSFYVGL GTRIGCNIFSYDYSGYGISSGRPSEKNLYADIDAAWQALRTSIEKVSKITSPVLIIHGTE DEVIDFSHGLALYERCPKAVEPLWVEGAGHNDIELYSQYLERLRRFISQELPSQRA >ENSMUSP00000140424.1 pep:known chromosome:GRCm38:10:80586679:80590315:-1 gene:ENSMUSG00000003346.14 transcript:ENSMUST00000187646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd17a description:abhydrolase domain containing 17A [Source:MGI Symbol;Acc:MGI:106388] MNGLSVSELCCLFCCPPCPGRIAAKLAFLPPDPTYSLVPEPEPGPGGAGAAPSGPL >ENSMUSP00000118387.1 pep:known chromosome:GRCm38:7:29983955:30025111:1 gene:ENSMUSG00000074221.12 transcript:ENSMUST00000148442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp568 description:zinc finger protein 568 [Source:MGI Symbol;Acc:MGI:2142347] MERLSQMAGRRAWCAEDSVPRQEEEDRTRPSKTVTFKDVAVDLTQEEWQQMKPAQRALYR DVMLETYSNLVTVGCQVTKPDVIFKLEQAEEPWVLEEEMFWRRSPEAARGRMKSFAFKDM AKDLRFEDVVIYFSLEEWECLRHSHRNLYRAVMLDNYSNLLSLSLADTKPRVVSLLEQGK EPWMVMRNETKIWHPDWVSRTEAKDSSKIKTLQEKMAKKHTCPTLEDSKTRGDREVTREL EGQQVHQEGHLRQAAVTSVERPDSVQCTAHREAHPGGKPCSSEKSQKTSLCQPPPIEREQ LHSKAKASEHAQHGKVFNSCTSDTAVHPRPQESRKDSERKKSALAGGPDTSKPQSAQGSE RPHKCKECGKAFHTPSQLSHHQKLHVGEKPYKCQECGKAFPSNAQLSLHHRVHTDEKCFE CKECGKAFMRPSHLLRHQRIHTGEKPHKCKECGKAFRYDTQLSLHLLTHAGARRFECKDC DKVYSCASQLALHQMSHTGEKPHKCKECGKGFISDSHLLRHQSVHTGETPYKCKECGKGF RRGSELARHQRAHSGDKPYKCKECGKSFTCTTELFRHQKVHTGDRPHKCKECGKAFIRRS ELTHHERSHSGEKPYECKECGKTFGRGSELSRHQKIHTGEKPYKCQQCGKAFIRGSHLTQ HQRIHTGRRSE >ENSMUSP00000147124.1 pep:known chromosome:GRCm38:7:29984361:30015092:1 gene:ENSMUSG00000074221.12 transcript:ENSMUST00000207940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp568 description:zinc finger protein 568 [Source:MGI Symbol;Acc:MGI:2142347] MERLSQMAGRRAWCAEDSVPRQEEEDRTRPSKTVTFKDVAVDLTQEEWQQMKPAQRALYR DVMLETYSNLVTVGCQVTKPDVIFKLEQAEEPWVLEEEMFWRRSPEAARGRMKSFAFKDM AKDLRFEDVVI >ENSMUSP00000118823.1 pep:known chromosome:GRCm38:7:29985299:30028282:1 gene:ENSMUSG00000074221.12 transcript:ENSMUST00000146074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp568 description:zinc finger protein 568 [Source:MGI Symbol;Acc:MGI:2142347] MERLSQMAGRRAWCAEDSVPRQEEEDRTRPSKTVTFKDVAVDLTQEEWQQMKPAQRALYR DVMLETYSNLVTVGCQVTKPDVIFKLEQAEEPWVLEEEMFWRRSPAARGRMKSFAFKDMA KDLRFEDVVIYFSLEEWECLRHSHRNLYRAVMLDNYSNLLSLSLADTKPRVVSLLEQGKE PWMVMRNETKIWHPDWVSRTEAKDSSKIKTLQEKMAKKHTCPTLEDSKTRGDREVTRELE GQQVHQEGHLRQAAVTSVERPDSVQCTAHREAHPGGKPCSSEKSQKTSLCQPPPIEREQL HSKAKASEHAQHGKVFNSCTSDTAVHPRPQESRKDSERKKSALAGGPDTSKPQSAQGSER PHKCKECGKAFHTPSQLSHHQKLHVGEKPYKCQECGKAFPSNAQLSLHHRVHTDEKCFEC KECGKAFMRPSHLLRHQRIHTGEKPHKCKECGKAFRYDTQLSLHLLTHAGARRFECKDCD KVYSCASQLALHQMSHTGEKPHKCKECGKGFISDSHLLRHQSVHTGETPYKCKECGKGFR RGSELARHQRAHSGDKPYKCKECGKSFTCTTELFRHQKVHTGDRPHKCKECGKAFIRRSE LTHHERSHSGEKPYECKECGKTFGRGSELSRHQKIHTGEKPYKCQQCGKAFIRGSHLTQH QRIHTGRRSE >ENSMUSP00000073930.5 pep:known chromosome:GRCm38:7:29987773:30023647:1 gene:ENSMUSG00000074221.12 transcript:ENSMUST00000074322.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp568 description:zinc finger protein 568 [Source:MGI Symbol;Acc:MGI:2142347] MERLSQMAGRRAWCAEDSVPRQEEEDRTRPSKTVTFKDVAVDLTQEEWQQMKPAQRALYR DVMLETYSNLVTVGCQVTKPDVIFKLEQAEEPWVLEEEMFWRRSPEAARGRMKSFAFKDM AKDLRFEDVVIYFSLEEWECLRHSHRNLYRAVMLDNYSNLLSLSLADTKPRVVSLLEQGK EPWMVMRNETKIWHPDWVSRTEAKDSSKIKTLQEKMAKKHTCPTLEDSKTRGDREVTREL EGQQVHQEGHLRQAAVTSVERPDSVQCTAHREAHPGGKPCSSEKSQKTSLCQPPPIEREQ LHSKAKASEHAQHGKVFNSCTSDTAVHPRPQESRKDSERKKSALAGGPDTSKPQSAQGSE RPHKCKECGKAFHTPSQLSHHQKLHVGEKPYKCQECGKAFPSNAQLSLHHRVHTDEKCFE CKECGKAFMRPSHLLRHQRIHTGEKPHKCKECGKAFRYDTQLSLHLLTHAGARRFECKDC DKVYSCASQLALHQMSHTGEKPHKCKECGKGFISDSHLLRHQSVHTGETPYKCKECGKGF RRGSELARHQRAHSGDKPYKCKECGKSFTCTTELFRHQKVHTGDRPHKCKECGKAFIRRS ELTHHERSHSGEKPYECKECGKTFGRGSELSRHQKIHTGEKPYKCQQCGKAFIRGSHLTQ HQRIHTGRRSE >ENSMUSP00000137438.1 pep:known chromosome:GRCm38:7:29987773:30023647:1 gene:ENSMUSG00000074221.12 transcript:ENSMUST00000177931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp568 description:zinc finger protein 568 [Source:MGI Symbol;Acc:MGI:2142347] MERLSQMAGRRAWCAEDSVPRQEEEDRTRPSKTVTFKDVAVDLTQEEWQQMKPAQRALYR DVMLETYSNLVTVGCQVTKPDVIFKLEQAEEPWVLEEEMFWRRSPAARGRMKSFAFKDMA KDLRFEDVVIYFSLEEWECLRHSHRNLYRAVMLDNYSNLLSLSLADTKPRVVSLLEQGKE PWMVMRNETKIWHPDWVSRTEAKDSSKIKTLQEKMAKKHTCPTLEDSKTRGDREVTRELE GQQVHQEGHLRQAAVTSVERPDSVQCTAHREAHPGGKPCSSEKSQKTSLCQPPPIEREQL HSKAKASEHAQHGKVFNSCTSDTAVHPRPQESRKDSERKKSALAGGPDTSKPQSAQGSER PHKCKECGKAFHTPSQLSHHQKLHVGEKPYKCQECGKAFPSNAQLSLHHRVHTDEKCFEC KECGKAFMRPSHLLRHQRIHTGEKPHKCKECGKAFRYDTQLSLHLLTHAGARRFECKDCD KVYSCASQLALHQMSHTGEKPHKCKECGKGFISDSHLLRHQSVHTGETPYKCKECGKGFR RGSELARHQRAHSGDKPYKCKECGKSFTCTTELFRHQKVHTGDRPHKCKECGKAFIRRSE LTHHERSHSGEKPYECKECGKTFGRGSELSRHQKIHTGEKPYKCQQCGKAFIRGSHLTQH QRIHTGRRSE >ENSMUSP00000075098.5 pep:known chromosome:GRCm38:15:100353149:100383964:1 gene:ENSMUSG00000093789.2 transcript:ENSMUST00000075675.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Methig1 description:methyltransferase hypoxia inducible domain containing 1 [Source:MGI Symbol;Acc:MGI:3845761] MTLAVQAMRLVVCIVAFPMFLLNLLGMWSWVCKKCFPYFLKRFAMIYNWKMASLKRELFS NLQEFAGPSGKLTLLEVGCGTGANFKFYPPGCRVTCIDPNPNFEKFLFKSVAENRQLQFE RFVVAAGEDMHQVTDGSVDVVVCTLVLCSVKNQEKILREVCRVLKPREMSSDEWSAAEDE GQLSRLLRKSRDSPFVPVGMAGFVAVLSYGLYKLNSRREQKMSLHLIHVRVAAQGCVVGA VTLGVLYSMYKDYIRPRFFNVPKK >ENSMUSP00000135002.1 pep:known chromosome:GRCm38:15:100353166:100383837:1 gene:ENSMUSG00000093789.2 transcript:ENSMUST00000175929.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Methig1 description:methyltransferase hypoxia inducible domain containing 1 [Source:MGI Symbol;Acc:MGI:3845761] MTLAVQAMRLVVCIVAFPMFLLNLLGMWSWVCKKCFPYFLKRFAMIYNWKMASLKRELFS NLQEFAGPSGKLTLLEVGCGTGANFKFYPPGCRVTCIDPNPNFEKFLFKSVAENRQLQFE RFVVAAGEDMHQVTDGSVDVVVCTLVLCSVKNQEKILREVCRVLKPAWQALWLCCPTVFT S >ENSMUSP00000089296.5 pep:known chromosome:GRCm38:13:23583742:23621094:-1 gene:ENSMUSG00000047246.6 transcript:ENSMUST00000091704.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2be description:histone cluster 1, H2be [Source:MGI Symbol;Acc:MGI:2448380] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000061247.3 pep:known chromosome:GRCm38:13:23583743:23621124:-1 gene:ENSMUSG00000047246.6 transcript:ENSMUST00000051091.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2be description:histone cluster 1, H2be [Source:MGI Symbol;Acc:MGI:2448380] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000074310.2 pep:known chromosome:GRCm38:13:21753435:21753827:-1 gene:ENSMUSG00000063021.3 transcript:ENSMUST00000074752.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ak description:histone cluster 1, H2ak [Source:MGI Symbol;Acc:MGI:2448297] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TETHHKAKGK >ENSMUSP00000120911.1 pep:known chromosome:GRCm38:17:24697949:24707660:-1 gene:ENSMUSG00000040688.16 transcript:ENSMUST00000126319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl3 description:transducin (beta)-like 3 [Source:MGI Symbol;Acc:MGI:2384863] MAETAAGLCRFKANYAVERKIEPFYKGGKAQLDQTGHYLFCVCGTKVNILDVASGALLRS LEQEDQEDITSFDLSPDDEVLVTASRALLLAQWAWREGTVTRLWKAIHTAPVASMAFDAT STLLATGGCDGAVRVWDIVQHYGTHHFRGSPGVVHLVAFHPDPTRLLLFSSAVDTSIRVW SLQDRSCLAVLTAHYSAVTSLSFSEGGHTMLSSGRDKICIVWDLQSYQTTRTVPVFESVE ASVLLPEQPAPALGVKSSGLHFLTAGDQGILRVWEAASGQCVYTQPQMPGLRQELTHCTL ARAADLLLTVTADHNLLLYEAHSLQLQKQFAGYSEEVLDVRFLGPSDSHIVVASNSPCLK VFELQTLACQILHGHTDIVLALDVFRKGWLFASCAKDQSIRIWKMNKAGQVACVAQGSGH THSVGTICCSRLKESFLVTGSQDCTVKLWPLPEALLAKSTAADSGPVLLQAQTTRRCHDK DINSLAVSPNDKLLATGSQDRTAKLWALPQCQLLGVFTGHRRGLWNVQFSPTDQVLATAS ADGTIKLWALQDFSCLKTFEGHDASVLKVAFVSRGSQLLSSGSDGLLKLWTIKSNECVRT LDAHEDKVWGLHCSQLDDHAITGGSDSRIILWKDVTEAEQAEEQAKREEQVIKQQELDNL LHEKRYLRALGLAISLDRPHTVLTVIQAIRRDPEACEKLEATVLRLRRDQKEALLRFCVT WNTNSRHCHEAQAVLGVLLRHEAPEELLAYDGVRGSLEALLPYTERHFQRLSRTLQAATF LDFLWHNMKLSPCPAAAPPAL >ENSMUSP00000117818.1 pep:known chromosome:GRCm38:17:24700886:24702507:-1 gene:ENSMUSG00000040688.16 transcript:ENSMUST00000130633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl3 description:transducin (beta)-like 3 [Source:MGI Symbol;Acc:MGI:2384863] XAQTTRRCHDKDINSLAVSPNDKLLATGSQDRTAKLWALPQCQLLGVFTGHRRGLWNVQF SPTDQVLATASADGTIKLWALQDFSCLKVLCLSLQTFEGHDASVLKVAFVSRGSQLLSSG SDGLLKLWTIKSNECVRTLDAHEDKVWGLHCSQLDDHAITGGSDSRIILWKDVTEAEQAE EQAKREEQVIKQQELDNLLHEKRYLRALGLAISLDRPHTVLTVIQAIRRDPEACEKLEAT VLRLRRDQKEALLRFCVTWNTNSRHCHEAQAVLGVLLRHEAPEELLAYDGVRGSLEALL >ENSMUSP00000083747.6 pep:known chromosome:GRCm38:1:131827977:131848865:1 gene:ENSMUSG00000013275.9 transcript:ENSMUST00000086559.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc41a1 description:solute carrier family 41, member 1 [Source:MGI Symbol;Acc:MGI:2444823] MSSKPEPKDIHQPNGTGPTPSPCSSDGPGREPLAGTSEFLGPDGVEVVVIESRANAKGIR EEDALLENGSQSNESDDVSTDRGPAPPSPLKETSFSIGLQVLFPFLLAGFGTVAAGMVLD IVQHWEVFQKVTEVFILVPALLGLKGNLEMTLASRLSTAANIGQMDTPKELWRMITGNMA LIQVQATVVGFLASIAAVVFGWIPDGHFSIPHAFLLCASSVATAFIASLVLGMIMIGVII GSRKIGINPDNVATPIAASLGDLITLALLSGISWGLYLELKHWRYIYPLVCAFFVALLPV WVVLARRSPATREVLYSGWEPVIIAMAISSVGGLILDKTVSDPNFAGMAVFTPVINGVGG NLVAVQASRISTFLHMNGMPGENSEPTPRRCPSPCTTFFSPDVNSRSARVLFLLVVPGHL VFLYTISCMQGGHTTLTLIFIIFYMTAALLQVLILLYIADWMVHWMWGRGLDPDNFSIPY LTALGDLLGTGLLALSFHVLWLIGDRDTDVGD >ENSMUSP00000139623.1 pep:known chromosome:GRCm38:1:155212471:155244444:-1 gene:ENSMUSG00000033722.9 transcript:ENSMUST00000186156.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC034090 description:cDNA sequence BC034090 [Source:MGI Symbol;Acc:MGI:2672904] MEGMEAAAKPARRSQASRPGSTTSPTQVTPAMARDGAEQPDSGPLPRPSPCPQEDRASNL MPPKPPRTWGLQLQGPSVLESKVKALKEKMTAGKQGTDPRPTSYERPSPTKSKCHQVKTG VAWSLPDALVVPHAQNPNDGHLARHVNEKKPARNSGSKPSTPESWNEQSPWSPEAVWMPA DHEEDPVAGSGSLQESPNNRVSAVQPQRPGPCKTTPLSSQKKRRQYPLGDGIVTKEDLDS TTLTSKEDLIPRTDQPETFWRAQGLEALGSVANALSLSDRVERNRLLLQEILKVSRQSPP KAGSPDWTSWDRDASERPAGDVDWDSGTPQQDSGQSRTFVPKLEPTLSARHEEAKNLLRR ARMKAKTQPLRASHDIVPTIAQGCRNGQRSPAPELRTTSAYRENLQNGNLNDPSSIESSN GQWPKQEMPLSHVRFEDESAHEAEFRYLDRLQQRQRQVLSTVLHAVDQGPLRSKPDLTNY INRIVGNSSFHRAVGCLDQSNFPVPPPPWDNERKCPACGSCLEERCPAEERAASDLRVLR SLQAACEAEAVLLGPCNSHGLSSPFPGLHTEWIRETHITDTVATHPEEEEDSALDSTHSS DSWKDGTDARTSQSSRAGEQIRVSSPQQRWHGSRPQGGPRWSRKAEAELPCGLQAWSHLP QLDDGVVGGEGREASGHIPQGTLFPEEDAVPKPALEPKRPWSQGQLGPRSGSHCAHPEDC RSPCRTAYAVPFSKTRGSSGSGQPPDQVPESHESLKTLCTSPLQRSHEEPSAPLPASQST LTLPEEVPTPPSLRKSLCPMPPRKSVQKGHHWQEHQAEHMDSPLPVSPPRTVVLTRPQPQ PCSPQVKHPLLDLFNNNSSSSIPLGLQGPSGVAVHRNRSEKDQCCQEPVLPLESNGDGTL QDSLQSVDIATVNSTAITLALTSEEPERSQEWGGGSQRTGSSSGGHVPTGASLEASAGHR SPSSTHSDGNKKRRSSITSALGLKKLFSALGHTPQPRLGPSRSYSVEQLQPSALAPQTST PKVKRAPSLQTLHLVSSSHQHRRATSFQNLHSLLGGKGDRSSLYLVEGSGDSSGPSRPAK AFPHRALSVEDVGAPSLARTVGRVVEVFPDGTSQLQLQRPPKGTFGFHVAHGSGRRDSGL YVQAMADLDTAKLYSGLLRVGDEILEVGGAKVAGLGLAHIKELLAHAESLSIRVLRQRPV PQ >ENSMUSP00000037456.4 pep:known chromosome:GRCm38:1:155212473:155232740:-1 gene:ENSMUSG00000033722.9 transcript:ENSMUST00000035914.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC034090 description:cDNA sequence BC034090 [Source:MGI Symbol;Acc:MGI:2672904] MKAKTQPLRASHDIVPTIAQGCRNGQRSPAPELRTTSAYRENLQNGNLNDPSSIESSNGQ WPKQEMPLSHVRFEDESAHEAEFRYLDRLQQRQRQVLSTVLHAVDQGPLRSKPDLTNYIN RIVGNSSFHRAVGCLDQSNFPVPPPPWDNERKCPACGSCLEERCPAEERAASDLRVLRSL QAACEAEAVLLGPCNSHGLSSPFPGLHTEWIRETHITDTVATHPEEEEDSALDSTHSSDS WKDGTDARTSQSSRAGEQIRVSSPQQRWHGSRPQGGPRWSRKAEAELPCGLQAWSHLPQL DDGVVGGEGREASGHIPQGTLFPEEDAVPKPALEPKRPWSQGQLGPRSGSHCAHPEDCRS PCRTAYAVPFSKTRGSSGSGQPPDQVPESHESLKTLCTSPLQRSHEEPSAPLPASQSTLT LPEEVPTPPSLRKSLCPMPPRKSVQKGHHWQEHQAEHMDSPLPVSPPRTVVLTRPQPQPC SPQVKHPLLDLFNNNSSSSIPLGLQGPSGVAVHRNRSEKDQCCQEPVLPLESNGDGTLQD SLQSVDIATVNSTAITLALTSEEPERSQEWGGGSQRTGSSSGGHVPTGASLEASAGHRSP SSTHSDGNKKRRSSITSALGLKKLFSALGHTPQPRLGPSRSYSVEQLQPSALAPQTSTPK VKRAPSLQTLHLVSSSHQHRRATSFQNLHSLLGGKGDRSSLYLVEGSGDSSGPSRPAKAF PHRALSVEDVGAPSLARTVGRVVEVFPDGTSQLQLQRPPKGTFGFHVAHGSGRRDSGLYV QAMADLDTAKLYSGLLRVGDEILEVGGAKVAGLGLAHIKELLAHAESLSIRVLRQRPVPQ >ENSMUSP00000140632.1 pep:known chromosome:GRCm38:1:155226040:155232911:-1 gene:ENSMUSG00000033722.9 transcript:ENSMUST00000187096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC034090 description:cDNA sequence BC034090 [Source:MGI Symbol;Acc:MGI:2672904] MKAKTQPLRASHDIVPTIAQGCRNGQRSPAPELRTTSAYRENLQNGNLNDPSSIESSNGQ WPKQEMPLSHVRFEDESAHEAEFRYLDRLQQRQRQVLSTVLHAVDQGPLRSKPDLTNYIN RIVGNSSFHRAVGCLDQSNFPVPPPPWDNERKCPACGSC >ENSMUSP00000028583.7 pep:known chromosome:GRCm38:2:109890853:109901003:1 gene:ENSMUSG00000027162.7 transcript:ENSMUST00000028583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin7c description:lin-7 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1330839] MAALGEPVRLERDICRAIELLEKLQRSGEVPPQKLQALQRVLQSEFCNAVREVYEHVYET VDISSSPEVRANATAKATVAAFAASEGHSHPRVVELPKTEEGLGFNIMGGKEQNSPIYIS RIIPGGIADRHGGLKRGDQLLSVNGVSVEGEHHEKAVELLKAAQGKVKLVVRYTPKVLEE MESRFEKMRSAKRRQQT >ENSMUSP00000134927.1 pep:known chromosome:GRCm38:15:100364542:100383859:1 gene:ENSMUSG00000093550.7 transcript:ENSMUST00000175683.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Higd1c description:HIG1 domain family, member 1C [Source:MGI Symbol;Acc:MGI:2685767] MSSDEWSAAEDEGQLSRLLRKSRDSPFVPVGMAGFVAVLSYGLYKLNSRREQKMSLHLIH VRVAAQGCVVGAVTLGVLYSMYKDYIRPRFFNVPKK >ENSMUSP00000134848.1 pep:known chromosome:GRCm38:15:100364545:100383964:1 gene:ENSMUSG00000093550.7 transcript:ENSMUST00000177211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Higd1c description:HIG1 domain family, member 1C [Source:MGI Symbol;Acc:MGI:2685767] MSSDEWSAAEDEGQLSRLLRKSRDSPFVPVGMAGFVAVLSYGLYKLNSRREQKMSLHLIH VRVAAQGCVVGAVTLGVLYSMYKDYIRPRFFNVPKK >ENSMUSP00000089301.2 pep:known chromosome:GRCm38:13:21754123:21754503:1 gene:ENSMUSG00000095217.1 transcript:ENSMUST00000091709.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bn description:histone cluster 1, H2bn [Source:MGI Symbol;Acc:MGI:2448407] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000006900.6 pep:known chromosome:GRCm38:13:24817948:24831517:-1 gene:ENSMUSG00000006717.6 transcript:ENSMUST00000006900.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot13 description:acyl-CoA thioesterase 13 [Source:MGI Symbol;Acc:MGI:1914084] MSSMTQNLREVMKVMFKVPGFDRVLEKVTLVSAAPEKLICEMKVEEQHTNKLGTLHGGLT ATLVDSISTMALMCTERGAPGVSVDMNITYMSPAKIGEEIVITAHILKQGKTLAFASVDL TNKTTGKLIAQGRHTKHLGN >ENSMUSP00000072688.4 pep:known chromosome:GRCm38:11:62267224:62281375:-1 gene:ENSMUSG00000014243.5 transcript:ENSMUST00000072916.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zswim7 description:zinc finger SWIM-type containing 7 [Source:MGI Symbol;Acc:MGI:1916997] MAVALPEVVEELLSEMAAAVRDSARIPDELLLSLEFVFGSSAIQALDLVDRESVTLISSP SGRRVYQVLGSSGKTYTCLASCHYCSCPAFSFSVLRKSDSLLCKHLLAIYLSQLLRNCQQ LHVSDKQLTDLLMEDTRRIKGAAGTWTSKTEA >ENSMUSP00000096884.2 pep:known chromosome:GRCm38:2:146855864:146970097:1 gene:ENSMUSG00000074749.10 transcript:ENSMUST00000099278.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kiz description:kizuna centrosomal protein [Source:MGI Symbol;Acc:MGI:2684960] MPRGRGGGGGGLRQASATSAPLASPSYYERVGQLQQALRDSEKKRLDLEDKLYEYNKSDK CRAKLKCAKLKKYLKEVCESERRAQVRNQGYLKKFECVQTYVEHLTTNTEKLQKLKTEYE AEVKRMRLLSKDSLGMSDEDGAKDAVQAGINSGTAMSRGLYQPATIFMGRQMSAISSIED FSTELKSNQPTKNFSISDPHSHQQTAQSSCVTDSCVVQTNGDTQCLNKSDKIHGKTSLQT GEKAPVTSYVLSAEEQTHCLEIGSSTQHSKSNLSEGRKSAELHSSLQERLSPENSITDLK CDSSSRSEGSDREILTQEHIEVREERAGPLVPMMAASEHCTSAKKWAGEKHSAWEASSDD LDHGDSKSQKAVLKHEEEQEEGSSCSSSDLTVSVSEDDLILESLAALSNPGAKMAGKDGM QALRAAHTEPRQDSLFTEYVLQTQSFPDSKREPSPDSPRQPEKVPDCHLLKTRGQCMKEH DNSLKEEATTLLKKVLTEECDHRSAIHSNESSCSMPSILNDNNGIKEAKPALRLNSVLTR EQEVSSGCGDESKEESIAAAPGTGHREADLVPSELFQFSLGAAMKETKAYQLLKKSTLQD NSNQAEERFESQFSGLDIGGSMFTTKTAHKIASEASFSSSEGSPLSRHESKRDPVTTIKS NAFWGESDDSNSEIEAALRPRDHDMPDDFDDFYDT >ENSMUSP00000121952.1 pep:known chromosome:GRCm38:2:146855909:146889295:1 gene:ENSMUSG00000074749.10 transcript:ENSMUST00000156232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kiz description:kizuna centrosomal protein [Source:MGI Symbol;Acc:MGI:2684960] MPRGRGGGGGGLRQASATSAPLASPSYYERVGQLQQALRDSEKKRLDLEDKLYEYNKSDK CRAKLKCAKLKKYLKEVCESERRAQVRNQGYLKKFECVQTYVEHLTTNTEKLQKLKDAVQ AGINSGTAMSRGLYQPATIFMGRQMSAISSIEDFSTELKSNQPTKNFSISDPHSHQQTAQ SSCVTDSCVVQTNGDTQCLNKSDKIHG >ENSMUSP00000112603.1 pep:known chromosome:GRCm38:3:137341067:137432185:1 gene:ENSMUSG00000054690.17 transcript:ENSMUST00000122064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emcn description:endomucin [Source:MGI Symbol;Acc:MGI:1891716] MRLLQATVLFFLLSNSLCHSEDGKDVQNDSIPTPAETSTTKASVTIPGIVSVTNPNKPAD GTPPEGTTKSDVSQTSLVTTINSLTTPKHEVGTTTEGPLRNESSTMKITVPNTPTSNANS TLPGSQNKTENQSSIRTTEISVTTQLLDALPKITATSSASLTTAHTMSLLQDTEDRKIAT TPSTTPSYSSIILPVVIALVVITLLVFTLVGLYRICWKRDPGTPENGNDQPQSDKESVKL LTVKTISHESGEHSAQGKTKN >ENSMUSP00000114102.1 pep:known chromosome:GRCm38:3:137341143:137431069:1 gene:ENSMUSG00000054690.17 transcript:ENSMUST00000119475.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emcn description:endomucin [Source:MGI Symbol;Acc:MGI:1891716] MRLLQATVLFFLLSNSLCHSEDGKDVQNDSIPTPAETSTTKASVTIPGIVSVTNPNKPAD GTPPEGTTKSDVSQTSLVTTINSLTTPKHEVGTTTEGPLRNESSTMKITVPNTPTSNANS TLPGSQNKITTQLLDALPKITATSSASLTTAHTMSLLQDTEDRKIATTPSTTPSYSSIIL PVVIALVVITLLVFTLVGLYRICWKRDPGTPENGNDQPQSDKESVKLLTVKTISHESGEH SAQGKTKN >ENSMUSP00000142467.1 pep:known chromosome:GRCm38:3:137341174:137427324:1 gene:ENSMUSG00000054690.17 transcript:ENSMUST00000197511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emcn description:endomucin [Source:MGI Symbol;Acc:MGI:1891716] MRLLQATVLFFLLSNSLCHSEDGKDVQNDSIPTPAETSTTKASVTIPGIVSVTNPNKPAD GTPPEGTTKSDVSQTSLVTTINSLTTPKHEAENQSSIRTTEISVTTQLLDALPKITATSS ASLTTAHTMSLLQDTEDRKIATTPSTTPSYSSIILPVVIALVVITLLVFTLVGLYRICWK RDPGTPENGNDQPQSDKESVKLLTVKTISHESGEHSAQG >ENSMUSP00000003038.8 pep:known chromosome:GRCm38:7:141562173:141633011:1 gene:ENSMUSG00000002957.11 transcript:ENSMUST00000003038.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2a2 description:adaptor-related protein complex 2, alpha 2 subunit [Source:MGI Symbol;Acc:MGI:101920] MPAVSKGDGMRGLAVFISDIRNCKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVC KLLFIFLLGHDIDFGHMEAVNLLSSNRYTEKQIGYLFISVLVNSNSELIRLINNAIKNDL ASRNPTFMGLALHCIANVGSREMAEAFAGEIPKILVAGDTMDSVKQSAALCLLRLYRTSP DLVPMGDWTSRVVHLLNDQHLGVVTAATSLITTLAQKNPEEFKTSVSLAVSRLSRIVTSA STDLQDYTYYFVPAPWLSVKLLRLLQCYPPPDPAVRGRLTECLETILNKAQEPPKSKKVQ HSNAKNAVLFEAISLIIHHDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSEF SHEAVKTHIETVINALKTERDVSVRQRAVDLLYAMCDRSNAQQIVAEMLSYLETADYSIR EEIVLKVAILAEKYAVDYTWYVDTILNLIRIAGDYVSEEVWYRVIQIVINRDDVQGYAAK TVFEALQAPACHENLVKVGGYILGEFGNLIAGDPRSSPLIQFNLLHSKFHLCSVPTRALL LSTYIKFVNLFPEVKATIQDVLRSDSQLKNADVELQQRAVEYLRLSTVASTDILATVLEE MPPFPERESSILAKLKKKKGPSTVTDLEETKRERSIDVNGGPEPVPASTSAASTPSPSAD LLGLGAVPPAPTGPPPSSGGGLLVDVFSDSASAVAPLAPGSEDNFARFVCKNNGVLFENQ LLQIGLKSEFRQNLGRMFIFYGNKTSTQFLNFTPTLICADDLQTNLNLQTKPVDPTVDGG AQVQQVVNIECISDFTEAPVLNIQFRYGGTFQNVSVKLPITLNKFFQPTEMASQDFFQRW KQLSNPQQEVQNIFKAKHPMDTEITKAKIIGFGSALLEEVDPNPANFVGAGIIHTKTTQI GCLLRLEPNLQAQMYRLTLRTSKDTVSQRLCELLSEQF >ENSMUSP00000144090.1 pep:known chromosome:GRCm38:7:141562530:141598856:1 gene:ENSMUSG00000002957.11 transcript:ENSMUST00000201261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2a2 description:adaptor-related protein complex 2, alpha 2 subunit [Source:MGI Symbol;Acc:MGI:101920] MRCRVKIRAGKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVCKLLFIFLLGHDID FGHMEAVNLLSSNRYTEKQIGYLFISVLVNSNSELIRLINNAIKNDLASRNPTFMGLALH CIANVGSREMA >ENSMUSP00000119952.1 pep:known chromosome:GRCm38:2:174076308:174092433:1 gene:ENSMUSG00000027522.15 transcript:ENSMUST00000155000.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx16 description:syntaxin 16 [Source:MGI Symbol;Acc:MGI:1923396] MALVSGISLDPEAAIGVTKRSPPKWVDGVDEIQYDVGRIKQKMKELASLHDKHLNRPTLD DSSEEEHAIEITTQEVTQLFHRCQRAVQA >ENSMUSP00000123275.1 pep:known chromosome:GRCm38:2:174076318:174090734:1 gene:ENSMUSG00000027522.15 transcript:ENSMUST00000134876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx16 description:syntaxin 16 [Source:MGI Symbol;Acc:MGI:1923396] MALVSGISLDPEAAIGVTKRSPPKWVDGVDEVS >ENSMUSP00000120279.1 pep:known chromosome:GRCm38:2:174076322:174093526:1 gene:ENSMUSG00000027522.15 transcript:ENSMUST00000147038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx16 description:syntaxin 16 [Source:MGI Symbol;Acc:MGI:1923396] MALVSGISLDPEAAIGVTKRSPPKWVDGVDEIQYDVGRIKQKMKELASLHDKHLNRPTLD DSSEEEHAIEITTQEVTQLFHRCQRAVQALPSRARRACSEQEERLLRNVVASLAQALQEL STSFRHAQSDYLKRMKNREERSQHFFDTPVPLMDDGDDATLYGQ >ENSMUSP00000085218.3 pep:known chromosome:GRCm38:2:174076922:174099771:1 gene:ENSMUSG00000027522.15 transcript:ENSMUST00000087908.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx16 description:syntaxin 16 [Source:MGI Symbol;Acc:MGI:1923396] MATRRLTDAFLLLRNNSIQTRQLLAEQVSSHTTSSPLHSRSIAAELDELADDRMALVSGI SLDPEAAIGVTKRSPPKWVDGVDEIQYDVGRIKQKMKELASLHDKHLNRPTLDDSSEEEH AIEITTQEVTQLFHRCQRAVQALPSRARRACSEQEERLLRNVVASLAQALQELSTSFRHA QSDYLKRMKNREERSQHFFDTPVPLMDDGDDATLYGQGFTDDQLVLVEQNTLMVEERERE IRQIVQSISDLNEIFRDLGAMIVEQGTVLDRIDYNVEQSCVKTEDGLKQLHKAEQYQKKN RKMLVIVILVAVIIVLLVALVAVKSR >ENSMUSP00000116618.1 pep:known chromosome:GRCm38:2:174077066:174096967:1 gene:ENSMUSG00000027522.15 transcript:ENSMUST00000156054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx16 description:syntaxin 16 [Source:MGI Symbol;Acc:MGI:1923396] XRRLTDAFLLLRNNSIQTRQLLAEQVSSHTTSSPLHSRSIAAELDELADDRMALVSGISL DPEAAIGVTKRSPPKWVDGVDEIQYDVGRIKQKMKELASLHDKHLNRPTLDDSSEEEHAI EITTQEVTQLFHRCQRAVQALPSRARRACSEQEERLLRNVVASLAQALQELSTSFRHAQS DYLKRMKNREERSQHFFDTPVPLMDDGDDATLYGQGTVLDRIDYNVEQSCVKTEDGLKQL HKAEQYQKKNRKMLVIVI >ENSMUSP00000039543.6 pep:known chromosome:GRCm38:2:174077058:174099770:1 gene:ENSMUSG00000027522.15 transcript:ENSMUST00000044638.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx16 description:syntaxin 16 [Source:MGI Symbol;Acc:MGI:1923396] MATRRLTDAFLLLRNNSIQTRQLLAEQVSSHTTSSPLHSRSIAAECDTELADDRMALVSG ISLDPEAAIGVTKRSPPKWVDGVDEIQYDVGRIKQKMKELASLHDKHLNRPTLDDSSEEE HAIEITTQEVTQLFHRCQRAVQALPSRARRACSEQEERLLRNVVASLAQALQELSTSFRH AQSDYLKRMKNREERSQHFFDTPVPLMDDGDDATLYGQGFTDDQLVLVEQNTLMVEERER EIRQIVQSISDLNEIFRDLGAMIVEQGTVLDRIDYNVEQSCVKTEDGLKQLHKAEQYQKK NRKMLVIVILVAVIIVLLVALVAVKSR >ENSMUSP00000135213.1 pep:known chromosome:GRCm38:15:100353200:100361840:1 gene:ENSMUSG00000056487.8 transcript:ENSMUST00000176287.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl7a2 description:methyltransferase like 7A2 [Source:MGI Symbol;Acc:MGI:3026615] MTLAVQAMRLVVCIVAFPMFLLNLLGMWSWVCKKCFPYFLKRFAMIYNWKMASLKRELFS NLQEFAGPSGKLTLLEVGCGTGANFKFYPPGCRVTCIDPNPNFEKFLFKSVAENRQLQFE RFVVAAGEDMHQVTDGSVDVVVCTLVLCSVKNQEKILREVCRVLKPVRAFYFIDHVADER STWNYFWQQVLARVWFLAFDGCNLTRESWKAIEQANFSKLNLQHIQAPLPLTLVRPHIYG YAVK >ENSMUSP00000085467.5 pep:known chromosome:GRCm38:15:100353200:100361840:1 gene:ENSMUSG00000056487.8 transcript:ENSMUST00000088142.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl7a2 description:methyltransferase like 7A2 [Source:MGI Symbol;Acc:MGI:3026615] MTLAVQAMRLVVCIVAFPMFLLNLLGMWSWVCKKCFPYFLKRFAMIYNWKMASLKRELFS NLQEFAGPSGKLTLLEVGCGTGANFKFYPPGCRVTCIDPNPNFEKFLFKSVAENRQLQFE RFVVAAGEDMHQVTDGSVDVVVCTLVLCSVKNQEKILREVCRVLKPGGAFYFIDHVADER STWNYFWQQVLARVWFLAFDGCNLTRESWKAIEQANFSKLNLQHIQAPLPLTLVRPHIYG YAVK >ENSMUSP00000055892.8 pep:known chromosome:GRCm38:4:15265831:15286753:1 gene:ENSMUSG00000043252.8 transcript:ENSMUST00000062684.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem64 description:transmembrane protein 64 [Source:MGI Symbol;Acc:MGI:2140359] MRNPGGSLPHTLPRALQHAGRTGVVEQPGRWAPERTAGGDRSEDRLPRGGGASAAAAAAA AAASGALLGAYLERHGLPAASDLPAPAGALAGGPGSGGGVVVGVAEVRNWRCCCLGSTCW CRSLVLVCVLAALCFASLALVRRYLQHLLLWVESLDSLLGVLLFVVGFIVVSFPCGWGYI VLNVAAGYLYGFVLGMGLMVVGVLIGTFIAHVVCKRLLTAWVAARIQNSDKLSAVIRVVE GGSGLKVVALARLTPIPFGLQNAVFSITDVPLPSYLMASSAGLLPTQLLNSYLGTTLRTM EDVIAEQSLSGYFVFCLQIVISIGLMFYVVHRAQVELNAAIVACEMELKTSLVKGNQSDP SGSSFYNKRTLTFSGGGINIV >ENSMUSP00000130869.1 pep:known chromosome:GRCm38:7:12041299:12042219:1 gene:ENSMUSG00000095864.1 transcript:ENSMUST00000164446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r77 description:vomeronasal 1 receptor 77 [Source:MGI Symbol;Acc:MGI:3779641] MKMISENVTMGIFLFCQIIVGVLGNFSILFYYVILIFIGKHLLPKDLIIEHLTFANCLTI ILRDIPRTMSYFGFNIFLDDIGCKLIMYISRITRCMSMYATCILSCFQAITIRSSNFKWM KLKYRATKYIGPFCLVSWLFHLLLNILIPVRVSGPSSKENVTNRLSYEYCSLFTSDHVRA VLYMFLLCFSDVLCLSLMACSSVSMVSTLYKHKRQVRNIHSAQHFQKVSPEDRASKIILI FLCIFVISYSLSSLVAVIRTCSKYPVPWALNIFTLIEICFPVVCPFVLITNMKFNFSLFL PCFGKR >ENSMUSP00000030460.8 pep:known chromosome:GRCm38:4:116557658:116593882:1 gene:ENSMUSG00000034042.16 transcript:ENSMUST00000030460.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpbp1l1 description:GC-rich promoter binding protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1924360] MAQHDFVPAWLNFSTPQSSKSSTATFDKHGEHLSRGEGRFGISRRRHNSSDGFFNNGPLR TTGDSWHQPSLFRHDSVDSGVSKGAYAGTTGWHGSSRGHDGMSQRSGGSSTGNHRHWNGS FHSRKGCAFQEKTPTEIREEKKEDKVEKLQFEEEDFPSLNPEAGKQNQPCRPVGTPSGVW ENPPSAKQPSKMLVIKKISKEDPAAAFSAAFTSSGSHHANGNKVSTMVPSVYKNLVPKPA PPPSKPNAWKANRTEHKPGSLCSSRESAFTNPISVTKPVGLAAGAGLHSPKESPSSTTPP IEISSSRLTKLTRRTTDRKSEFLKTLKDERNGDCSESRDCDKLEGLRLEGSHTPEPKENG EQGCLQNGLSLPMVEEGEVLSHSLEAEHRLLKAMGWQEYPENDESCLPLTEDELKEFHLR TEQLRRNGFGKNGLLQSRSCSLSSPWRSTCIAECEDSDSETSSSQTSDDDAWK >ENSMUSP00000102083.1 pep:known chromosome:GRCm38:4:116558079:116593036:1 gene:ENSMUSG00000034042.16 transcript:ENSMUST00000106475.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpbp1l1 description:GC-rich promoter binding protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1924360] MAQHDFVPAWLNFSTPQSSKSSTATFDKHGEHLSRGEGRFGISRRRHNSSDGFFNNGPLR TTGDSWHQPSLFRHDSVDSGVSKGAYAGTTGWHGSSRGHDGMSQRSGGSSTGNHRHWNGS FHSRKGCAFQEKTPTEIREEKKEDKVEKLQFEEEDFPSLNPEAGKQNQPCRPVGTPSGVW ENPPSAKQPSKMLVIKKISKEDPAAAFSAAFTSSGSHHANGNKVSTMVPSVYKNLVPKPA PPPSKPNAWKANRTEHKPGSLCSSRESAFTNPISVTKPVGLAAGAGLHSPKESPSSTTPP IEISSSRLTKLTRRTTDRKSEFLKTLKDERNGDCSESRDCDKLEGLRLEGSHTPEPKENG EQGCLQNGLSLPMVEEGEVLSHSLEAEHRLLKAMGWQEYPENDESCLPLTEDELKEFHLR TEQLRRNGFGKNGLLQSRSCSLSSPWRSTCIAECEDSDSETSSSQTSDDDAWK >ENSMUSP00000089494.5 pep:known chromosome:GRCm38:17:21926960:21962451:-1 gene:ENSMUSG00000071267.11 transcript:ENSMUST00000091879.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp942 description:zinc finger protein 942 [Source:MGI Symbol;Acc:MGI:1920483] MNASLVNAPKGLLRFKDVAVDFSQEEWECLDCAQRALYMDVMLENYNNLFFVENLCIFPK YENGLDQDTQHIVHKHVTIQKKSYKYYEHGKIIQEYTQSVLYQDNFRDTHVESSNVNRHE TGNTREPCKYKNCVNCLNLCSTIGLNQGLHIGKKEHNGTVLNKVFDSKQILGLKQTNSGK KPYRCSECSRCFTKKCKLRQHQTIHTGEKPYKCSECDKCFFYKYSLSIHQRFHTSEKLYK CSECDKCFTFKSVLRIHQRIHTGEKPYKCSKCEKSFTRKSNLRIHQRIHTGEKPYKCSEC DKCFTFKNTHRIHQRIHTGEKPYKCSECEKSFTRKSHLRIHQRIHTGEKPYKCSECDKCF TYEHTLSNHQRIHTGQKPYKCSECDKCFTRKCNLIIHQKIHTGEKPYKCSECDKCFTHKG GLSIHQITHTGEKPYKCSECNKCFTHKGDLRRHQRIHSGEKPYKCSECDKCFTRKFSLRS HQRIHT >ENSMUSP00000073907.7 pep:known chromosome:GRCm38:17:21928186:21933022:-1 gene:ENSMUSG00000071267.11 transcript:ENSMUST00000074295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp942 description:zinc finger protein 942 [Source:MGI Symbol;Acc:MGI:1920483] MNASLVNAPKGLLRFKDVAVDFSQEEWECLDCAQRALYMDVMLENYNNLFFVENLCIFPK YENGLDQDTQHIVHKHVTIQKKSYKYYEHGKIIQEYTQSVLYQDNFRDTHVESSNVNRHE TGNTREPCKYKNCVNCLNLCSTIGLNQGLHIGKKEHNGTVLNKVFDSKQILGLKQTNSGK KPYRCSECSRCFTKKCKLRQHQTIHTGEKPYKCSECDKCFFYKYSLSIHQRFHTSEKLYK CSECDKCFTFKSVLRIHQRIHTGEKPYKCSKCEKSFTRKSNLRIHQRIHTGEKPYKCSEC DKCFTFKNTHRIHQRIHTGEKPYKCSECEKSFTRKSHLRIHQRIHTGEKPYKCSECDKCF TYEHTLSNHQRIHTGQKPYKCSECDKCFTRKCNLIIHQKIHTGEKPYKCSECDKCFTHKG GLSIHQITHTGEKPYKCSECNKCFTHKGDLRRHQRIHSGEKPYKCSECDKCFTRKFSLRS HQRIHT >ENSMUSP00000123853.1 pep:known chromosome:GRCm38:16:45610380:45621239:1 gene:ENSMUSG00000022659.13 transcript:ENSMUST00000161347.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcsam description:germinal center associated, signaling and motility [Source:MGI Symbol;Acc:MGI:102969] MGNCLQRTTRWQLDMQETPWNLRLSAKGRTCRYFRGWSCCHSVEGCSCLPWKNIRTFKAR QESPKQNEGMTSAPVQDNANETYTEELCYILVDHEAVRGRPSVNPAEGFYENISNKAERH KESSRGTETEYSVLRFPSPPQPLPSTDDEYELLMPSRFSSHAFQQPRPLTTPYETHFSYP Q >ENSMUSP00000023339.4 pep:known chromosome:GRCm38:16:45610423:45622867:1 gene:ENSMUSG00000022659.13 transcript:ENSMUST00000023339.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcsam description:germinal center associated, signaling and motility [Source:MGI Symbol;Acc:MGI:102969] MGNCLQRTTRYFRGWSCCHSVEGCSCLPWKNIRTFKARQESPKQNEGMTSAPVQDNANET YTEELCYILVDHEAVRGRPSVNPAEGFYENISNKAERHKESSRGTETEYSVLRFPSPPQP LPSTDDEYELLMPSRFSSHAFQQPRPLTTPYETHFSYPQ >ENSMUSP00000102714.2 pep:known chromosome:GRCm38:4:94907267:94928843:-1 gene:ENSMUSG00000028575.11 transcript:ENSMUST00000107097.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eqtn description:equatorin, sperm acrosome associated [Source:MGI Symbol;Acc:MGI:1915003] MDFILLIILSGVFLPDIISLQPIVGQEPGVTLSDEEQYYADEENNTDGNSVALHKLEENE MDTPANEKTGNYYKDIKQYVFTTPNIKGSEVSVTATTNLEFAVKKNYKASKPTASGEEEK PSESSRKTSTPNIPAFWTILSKAVNETAVSMDDKDQFFQPIPASDLNATNEDKLSELEEI KLKLMLGISLMTLVLLIPLLIFCFATLYKLRHLRDKSYESQYSINPELATLSYFHPTEGS NQTVLTDESSFLPPEETRFLLPEEPGKELIVERGPMQAMNEIDAQLLLNKEGSPSN >ENSMUSP00000030309.5 pep:known chromosome:GRCm38:4:94907431:94928794:-1 gene:ENSMUSG00000028575.11 transcript:ENSMUST00000030309.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eqtn description:equatorin, sperm acrosome associated [Source:MGI Symbol;Acc:MGI:1915003] MDFILLIILSGVFLPDIISLQPIVGQEPGVTLSDEEQYYADEENNTDGNSVALHKLEENE MDTPANEKTGNYYKDIKQYVFTTPNIKGSEVSVTATTNLEFAVKKNYKASKPTASGEEEK PSESSRKTSTPNIPAFWTILSKAVNETAVSMDDKDQFFQPIPASDLNATNEDKLSELEEI KLKLMLGISLMTLVLLIPLLIFCFATLYKLRHLRDKSYESQYSINPELATLSYFHPTEGV SDTSFSKSADSNSYWVHNSSEMRRSRTRRSKSKPMDFSAGSNQTVLTDESSFLPPEETRF LLPEEPGKELIVERGPMQAMNEIDAQLLLNKEGSPSN >ENSMUSP00000007005.7 pep:known chromosome:GRCm38:17:12942890:12960747:-1 gene:ENSMUSG00000023832.13 transcript:ENSMUST00000007005.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acat2 description:acetyl-Coenzyme A acetyltransferase 2 [Source:MGI Symbol;Acc:MGI:87871] MNAGSDPVVIVSAARTAIGSFNGALSTVPVHEMGTTVIKEVLQRAKVAPEEVSEVIFGHV LTAGCGQNPTRQASVGAGIPYSVPAWSCQMICGSGLKAVCLAAQSIAMGDSTIVVAGGME NMSKAPHLTHLRTGVRMGEVPLADSILCDGLTDAFHNYHMGITAENVAKKWQVSREAQDK VAVLSQNRAEHAQKAGHFDKEIVPVLVSSRKGLTEVKIDEFPRHGSNLEAMGKLKPYFLT DGTGTVTPANASGMNDGAAAVVLMKKTEAERRMLKPLARIVSWSQAGVEPSVMGVGPIPA IKQAVAKAGWSLEDVDLFEINEAFAAVSAAIAKELGLNPEKVNIDGGAIALGHPLGASGC RILVTLLHTLERVGGTRGVAALCIGGGMGVAMCVQRG >ENSMUSP00000125066.1 pep:known chromosome:GRCm38:17:12943443:12960371:-1 gene:ENSMUSG00000023832.13 transcript:ENSMUST00000159697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acat2 description:acetyl-Coenzyme A acetyltransferase 2 [Source:MGI Symbol;Acc:MGI:87871] MGTTVIKEVLQRAKVAPEEVSEVIFGHVLTAGCGQNPTRQASVGAGIPYSVPAWSCQMIC GSGLKAVCLAAQSIAMGDSTIVVAGGMENMSKAPHLTHLRTGVRMGEVPLADSILCDGLT DAFHNYHMGITAENVAKKWQVSREAQDKVAVLSQNRAEHAQKAGHFDKEIVPVLVSSRKG LTEVKIDEFPRHGSNLEAMGKLKPYFLTDGTGTVTPANASGMNDGAAAVVLMKKTEAERR MLKPLARIVSWSQAGVEPSVMGVGPIPAIKQAVAKAGWSLEDVDLFEINEAFAAVSAAIA KELGLNPEKVNIDGGAIALGHPLGASGCRILVTLLHTLERVGGTRGVAALCIGGGMGVAM CVQRG >ENSMUSP00000022271.7 pep:known chromosome:GRCm38:14:8225511:8258832:-1 gene:ENSMUSG00000021751.13 transcript:ENSMUST00000022271.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox2 description:acyl-Coenzyme A oxidase 2, branched chain [Source:MGI Symbol;Acc:MGI:1934852] MGNPGDRVSLGETWSREVHPDIDSERHSPSFSVERLTNILDGGIPNTELRRRVESLIQRD PVFNLKHLYFMTRDELYEDAVQKRFHLEKLAWSLGWSEDGPERIYADRVLAGYNNLNLHG IAMNAIRSLGSDEQIAKWGQLGKNFQIITTYAQTELGHGTYLQGLETEATYDATTQEFVI HSPTMTSIKWWPGDLGRTVTHAVVLAHLICLGARHGMHAFIVPIRSLEDHTPLPGITVGD IGPKMGFENIDNGFLRLNHVRVPRENMLSRFAEVLPDGTYQRLGTPQSNYLGMLVTRVQL LYKGFLPTLQKACTIAVRYAVIRHQSRLRPSDPEAKILEYQTQQQKLLPQLAVSYALHFM TTSLLQFFHSSYSDILKRDFSLLPELHALSTGMKAMSSDFCAQGTEICRRACGGHGYSKL SGLPTLVTQAIASCTYEGENTVLYLQVARFLMKSYLQAQVSPGSIPQKPLPQSVMYLATP RPARCPAQTAADFRCPEVYTTAWAYVSARLIRDATQHTQTLMRSGVDQYDAWNQTSVIHL QAAKAHCYFLTVRNFKEAVEKLDNEPEIQRVLQNLCDLYALNGILTNSGDFLHDGFLSGA QVDMARTAFLDLLPLIRKDAILLTDAFDFSDHCLNSALGCYDGHVYQRLFEWAQKSPANT QENPAYKKYIRPLMQSWKPKL >ENSMUSP00000126464.1 pep:known chromosome:GRCm38:14:8225511:8259353:-1 gene:ENSMUSG00000021751.13 transcript:ENSMUST00000164598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox2 description:acyl-Coenzyme A oxidase 2, branched chain [Source:MGI Symbol;Acc:MGI:1934852] MGNPGDRVSLGETWSREVHPDIDSERHSPSFSVERLTNILDGGIPNTELRRRVESLIQRD PVFNLKHLYFMTRDELYEDAVQKRFHLEKLAWSLGWSEDGPERIYADRVLAGYNNLNLHG IAMNAIRSLGSDEQIAKWGQLGKNFQIITTYAQTELGHGTYLQGLETEATYDATTQEFVI HSPTMTSIKWWPGDLGRTVTHAVVLAHLICLGARHGMHAFIVPIRSLEDHTPLPGITVGD IGPKMGFENIDNGFLRLNHVRVPRENMLSRFAEVLPDGTYQRLGTPQSNYLGMLVTRVQL LYKGFLPTLQKACTIAVRYAVIRHQSRLRPSDPEAKILEYQTQQQKLLPQLAVSYALHFM TTSLLQFFHSSYSDILKRDFSLLPELHALSTGMKAMSSDFCAQGTEICRRACGGHGYSKL SGLPTLVTQAIASCTYEGENTVLYLQVARFLMKSYLQAQVSPGSIPQKPLPQSVMYLATP RPARCPAQTAADFRCPEVYTTAWAYVSARLIRDATQHTQTLMRSGVDQYDAWNQTSVIHL QAAKAHCYFLTVRNFKEAVEKLDNEPEIQRVLQNLCDLYALNGILTNSGDFLHDGFLSGA QVDMARTAFLDLLPLIRKDAILLTDAFDFSDHCLNSALGCYDGHVYQRLFEWAQKSPANT QENPAYKKYIRPLMQSWKPKL >ENSMUSP00000130543.1 pep:known chromosome:GRCm38:14:8253542:8257871:-1 gene:ENSMUSG00000021751.13 transcript:ENSMUST00000170534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox2 description:acyl-Coenzyme A oxidase 2, branched chain [Source:MGI Symbol;Acc:MGI:1934852] MGNPGDRVSLGETWSREVHPDIDSERHSPSFSVERLTNILDGGIPNTELRRRVESLIQRD PVFNLKHLYFMTRDELYEDAVQKRFHLEKLAWSLGWSEDGPERIYADRVLAGYNNLNLHG IAMNAIRSLGSDEQIAKWGQLGKNFQIITTYAQTELGHGTYLQGLETEATYDATTQEFVI HSPTMTSIKWWPGDLGRTVTH >ENSMUSP00000078103.1 pep:known chromosome:GRCm38:7:20121656:20122579:-1 gene:ENSMUSG00000096152.1 transcript:ENSMUST00000079099.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16442 description:predicted gene 16442 [Source:MGI Symbol;Acc:MGI:3645807] MSAHGKSVKTTEEVALQLLLFCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVARSTNLCSTCVLGVHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKVSGPQITDNNTDSNRKLFCST SGFSVGIVLLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATC TILMLVVTFVSFYLLNFICIMLHALFMHSHFFIRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000031632.8 pep:known chromosome:GRCm38:5:145194946:145201868:-1 gene:ENSMUSG00000029627.12 transcript:ENSMUST00000031632.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan14 description:zinc finger with KRAB and SCAN domains 14 [Source:MGI Symbol;Acc:MGI:1914485] MAAGSGVVPPPLGAGLCTVKVEEDSPGNQESSGSGDWQNPETSRKQFRQLRYQEVAGPEE ALSRLWELCRRWLRPELLSKEQIMELLVLEQFLTILPQELQAYVRDHSPESGEEAAALAR TLQRALDRASPQGFMTFKDVAESLTWEEWEQLAAARKGFCEESTKDAGSTVVPGLETRTV NTDVILKQEILKEAEPQAWLQEVSQGMVPALTKCGDPSEDWEEKLPKAAVLLQLQGSEEQ GRTAIPLLIGVSREERDSKNNESENSGSSVLGQHIQTAEGLGTNSQCGDDHKQGFHVKCH SVKPHSSVDSAVGLLETQRQFQEDKPYKCDSCEKGFRQRSDLFKHQRIHTGEKPYQCQEC GKRFSQSAALVKHQRTHTGEKPYACPECGECFRQSSHLSRHQRTHASEKYYKCEECGEIV HVSSLFRHQRLHRGERPYKCGDCEKSFRQRSDLFKHQRTHTGEKPYACVVCGRRFSQSAT LIKHQRTHTGEKPYKCFQCGERFRQSTHLVRHQRIHQNSVS >ENSMUSP00000124196.1 pep:known chromosome:GRCm38:5:145195623:145201857:-1 gene:ENSMUSG00000029627.12 transcript:ENSMUST00000162220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan14 description:zinc finger with KRAB and SCAN domains 14 [Source:MGI Symbol;Acc:MGI:1914485] MTFKDVAESLTWEEWEQLAAARKGFCEESTKDAGSTVVPGLETRTVNTDVILKQEILKEA EPQAWLQEVSQGMVPALTKCGDPSEDWEEKLPKAAVLLQLQGSEEQGRTAIPLLIGVSRE ERDSKNNESENSGSSVLGQHIQTAEGLGTNSQCGDDHKQGFHVKCHSVKPHSSVDSAVGL LETQRQFQEDKPYKCDSCEKGFRQRSDLFKHQRIHTGEKPYQCQECGKRFS >ENSMUSP00000143630.1 pep:known chromosome:GRCm38:5:145199626:145201868:-1 gene:ENSMUSG00000029627.12 transcript:ENSMUST00000198959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan14 description:zinc finger with KRAB and SCAN domains 14 [Source:MGI Symbol;Acc:MGI:1914485] MAAGSGVVPPPLGAGLCTVKVEEDSPGNQESSGSGDWQNPETSRKQFRQLRYQEVAGPEE ALSRLWELCRRWLRPELLSKEQIMELLVLEQFLTILPQELQAYVRDHSPESGEEAAALAR TLQRALDRASPQVRLEWTLHGTREMA >ENSMUSP00000029533.1 pep:known chromosome:GRCm38:3:92418082:92419396:1 gene:ENSMUSG00000027925.2 transcript:ENSMUST00000029533.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2j-ps description:small proline-rich protein 2J, pseudogene [Source:MGI Symbol;Acc:MGI:1330345] MSYQEQQCKQVCQPPLVCPPRSAQSPVLCQSAPSLVLLQSAQSPIHCQSALSHAHLSHAS RNALLCNLLHHASKSAHPRANKGFSSLQNQKKRTESILHKSIATPPSSI >ENSMUSP00000029971.5 pep:known chromosome:GRCm38:4:34688559:34730206:-1 gene:ENSMUSG00000028294.15 transcript:ENSMUST00000029971.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap206 description:cilia and flagella associated protein 206 [Source:MGI Symbol;Acc:MGI:1916579] MPPTQAESVIKNIIREIGQECAAHGEIASETVVAFMVKAVVLDPSNGFNMDRTLIKTDVQ KLVKLCVARLLDNKNPSLDTIKMQVYFDMNYTSREDFLEEHHRVLESRLGIVSREITDNR ASAREELENLYRKIVSYVLLRSGLGSPTDIKIVREATAALQSVFPQAELATFLTLSKKDK ERQLKELTMIVTGIRLFNRDCGKGGEGIDDLPAILHEAIPTTTQHIDSQLQIAQDQAFRY TAILEKVTNNPLMAKELQPYMLKEALYNVRQYEIFLQTVLSDIITCAEEVEMMIKQLAAQ LEQLKMAVKSKTAVPTSQVFPIFVALASLWMSFQDETVLISVLSNLTTNLELFLGTHELL FPEKVMLGLLDGVVVKSDLTRIEEHMEERVELADFRTQEWLFPETTANFNKLLIQYRGFC GYTFAVTDGLLLPGNPAIGILKYKEKYYTFSTRDAAYTFAENPDHYIHLIKEKAKKNAEL IQLLELHQQFETLIPYSQMRDVDKHYIKPITKCDNGTQTDTHILPPTTMRTYEWNEWELR RKAIKLANLRQKITHSVQTDLSHMRRDNTSQVYPLKEASTQSKREGSSRVPRPQIFIAGL RGGQSKTTYGVKVNLTRAVDET >ENSMUSP00000103771.1 pep:known chromosome:GRCm38:4:34711332:34730178:-1 gene:ENSMUSG00000028294.15 transcript:ENSMUST00000108136.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap206 description:cilia and flagella associated protein 206 [Source:MGI Symbol;Acc:MGI:1916579] MPPTQAESVIKNIIREIGQECAAHGEIASETVVAFMVKAVVLDPSNGFNMDRTLIKTDVQ KLVKLCVARLLDNKNPSLDTIKMQVYFDMNYTSREDFLEEHHRVLESRLGIVSREITDNR ASAREELENLYRKIVSYVLLRSGLGSPTDIKIVREATAALQSVFPQAELATFLTLSKKDK ERQLKELTMIVTGIRLFNRDCGKGGEGIDDLPAILHEAIPTTTQHIDSQLQIAQDQAFRY TAILEKVTNNPLMAKELQPYMLKEALYNVRQYEIFLQTVLSDIITCAEEVEMMIKQLAAQ LEQLKMAVKSKTAVPTSQVFPIFVALASLWMSFQDETVLISVLSNLTTNLELFLGTHELL FPEKVMLGLLDGVVVKSDLTRIEEHMEERVELADFRTQEWLFPETTANFNKLLIQYRGFC GYTFAVTDGLLLPGNPAIGILKYKEKYYTFSTRDAAYTFAENPDHYIHLIKEKAKKNAEL IQLLELHQQFETLIPYSQVNMAFS >ENSMUSP00000124760.1 pep:known chromosome:GRCm38:4:34721385:34730195:-1 gene:ENSMUSG00000028294.15 transcript:ENSMUST00000162495.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap206 description:cilia and flagella associated protein 206 [Source:MGI Symbol;Acc:MGI:1916579] MPPTQAESVIKNIIREIGQECAAHGEIASETVVAFMRTFLKNITGS >ENSMUSP00000116947.1 pep:known chromosome:GRCm38:4:34721416:34730195:-1 gene:ENSMUSG00000028294.15 transcript:ENSMUST00000137514.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap206 description:cilia and flagella associated protein 206 [Source:MGI Symbol;Acc:MGI:1916579] MPPTQAESVIKNIIREIGQECAAHGEIASETVVAFMVKAVVLDPSNGFNMDRTLIKTDVQ KLVKLCVARLLDNKNPSLDTIKMQVYFDMNYTSREDFLEEHHRVLESRLGIVSREITDNR ASAREELENLYRKIVSYVLLRSGLGSPTDIKIVREATAALQSVFPQAELATFLTLSKKDK ERQLKELTMIVTGIRLFNRDCGKGGEGIDD >ENSMUSP00000113540.1 pep:known chromosome:GRCm38:7:80586627:80688877:-1 gene:ENSMUSG00000030527.15 transcript:ENSMUST00000122255.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crtc3 description:CREB regulated transcription coactivator 3 [Source:MGI Symbol;Acc:MGI:1917711] MAASPGSGSANPRKFSEKIALHTQRQAEETRAFEQLMTDLTLSRVQFQKLQQLRLTQYHG GSLPNVSQLRNSAPEFQPSLHQADNVRGTRHHGLVERPARNRFHPLHRRSGDKPGRQFDG NAFAASYSSQHLDESWPRQQPPWKEEKHPGFRLTSALNRTNSDSALHTSALSTKPQDPYG GGGQSAWPAPYMGFCDGENDGHAEVAAFPGPLKEENLLNVPKPLPKHLWESKEIQSLSGR PRSCDVGGGNAFPHNGQNTGLSPFLGTLNTGGSLPDLTNLHYSAPLPASLDTSDHLFGSM SVGNSVGNLPAAMTHLGIRTSSGLQSSRSNPSIQATLSKMALSSSLKCHPQPSVANASAL HPSLRLFSLSNPSLSTTNLSGPSRRRQPPVSPLTLSPGPEAHQGFSRQLSATSPLNPYPA SQMVTSEQSPLSFLPTDAQAQVSPPPPYPTPQELPQPLLQQPHAQEPPTQQPQAAPSLPQ SDFQLLTAQGSALTSFFPDVRFDQQPMRPSPAFPQQVPLVQQSHREPQDSFHLRPNPYSS CGSFPGTILTEDTNSNLFKGLSGGLSGMPEVSLDMDTPFPLEEELQIEPLSLDGLNMLSD SSMGLLDPSVEETFRADRL >ENSMUSP00000123107.1 pep:known chromosome:GRCm38:7:80592829:80609932:-1 gene:ENSMUSG00000030527.15 transcript:ENSMUST00000149176.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crtc3 description:CREB regulated transcription coactivator 3 [Source:MGI Symbol;Acc:MGI:1917711] XFCDGENDGHAEVAAFPGPLKEENLLNVPKPLPKHLWESKEVSKVLEVTLPSKPHSVRWH SPRPSSATRSRLWPTPLLCTPPSGSSPLATRLFPPQT >ENSMUSP00000117255.1 pep:known chromosome:GRCm38:7:80595782:80629626:-1 gene:ENSMUSG00000030527.15 transcript:ENSMUST00000127326.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crtc3 description:CREB regulated transcription coactivator 3 [Source:MGI Symbol;Acc:MGI:1917711] XRQFDGNAFAASYSSQHLDESWPRQQPPWKEEKHPGFRLTSALNRFL >ENSMUSP00000057308.2 pep:known chromosome:GRCm38:13:23621755:23622502:-1 gene:ENSMUSG00000051627.2 transcript:ENSMUST00000062045.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h1e description:histone cluster 1, H1e [Source:MGI Symbol;Acc:MGI:1931527] MSETAPAAPAAPAPAEKTPVKKKARKAAGGAKRKTSGPPVSELITKAVAASKERSGVSLA ALKKALAAAGYDVEKNNSRIKLGLKSLVSKGTLVQTKGTGASGSFKLNKKAASGEAKPKA KRAGAAKAKKPAGAAKKPKKAAGTATAKKSTKKTPKKAKKPAAAAGAKKAKSPKKAKATK AKKAPKSPAKAKTVKPKAAKPKTSKPKAAKPKKTAAKKK >ENSMUSP00000120228.1 pep:known chromosome:GRCm38:2:30133746:30137296:1 gene:ENSMUSG00000039678.12 transcript:ENSMUST00000136183.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d13 description:TBC1 domain family, member 13 [Source:MGI Symbol;Acc:MGI:2385326] MSSLHKSRIADFQDVLKEPSIVLEKLRELSFSALQKLAFPFWSGVEAPEGKLPVLIVQSS DCTSHLS >ENSMUSP00000048426.5 pep:known chromosome:GRCm38:2:30133746:30152013:1 gene:ENSMUSG00000039678.12 transcript:ENSMUST00000044556.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d13 description:TBC1 domain family, member 13 [Source:MGI Symbol;Acc:MGI:2385326] MSSLHKSRIADFQDVLKEPSIVLEKLRELSFSGIPCEGGLRCLCWKILLNYLPLERASWT SILAKQRGLYSQFLREMIIQPGIAKANMGVFREDVTFEDHPLNPNPDSRWNTYFKDNEVL LQIDKDVRRLCPDISFFQRATEYPCLLILDPQNEFETLRKRVEQTTLKSQTVARNRSGVT NMSSPHKNSAPSALNEYEVLPNGCEAHWEVVERILFIYAKLNPGIAYVQGMNEIVGPLYY TFATDPNSEWKEHAEADTFFCFTNLMAEIRDNFIKSLDDSQCGITYKMEKVYSTLKDKDV ELYLKLQEQSIKPQFFAFRWLTLLLSQEFLLPDVIRIWDSLFADGNRFDFLLLVCCAMLI LIREQLLEGDFTVNMRLLQDYPITDVCQILQKAKELQDSK >ENSMUSP00000120763.1 pep:known chromosome:GRCm38:2:30133806:30136676:1 gene:ENSMUSG00000039678.12 transcript:ENSMUST00000131261.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d13 description:TBC1 domain family, member 13 [Source:MGI Symbol;Acc:MGI:2385326] MSSLHKSRIADFQDVLKEPSIVLEKLRELSFSGKPPSEPFF >ENSMUSP00000106169.1 pep:known chromosome:GRCm38:15:10358532:10374383:1 gene:ENSMUSG00000089678.8 transcript:ENSMUST00000110540.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agxt2 description:alanine-glyoxylate aminotransferase 2 [Source:MGI Symbol;Acc:MGI:2146052] MSLAWRNLQKPFYLETSLRILQMRPSLSLGASRIAVPKLTLHTKHSMPPCDFSPEKYQSL AYSRVLAIHKQHLSPVDTAYFRKPLLLHQGHMEWLFDSEGNRYLDFFSGIVTVSVGHCHP LC >ENSMUSP00000106170.1 pep:known chromosome:GRCm38:15:10358537:10381186:1 gene:ENSMUSG00000089678.8 transcript:ENSMUST00000110541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agxt2 description:alanine-glyoxylate aminotransferase 2 [Source:MGI Symbol;Acc:MGI:2146052] MSLAWRNLQKPFYLETSLRILQMRPSLSLGASRIAVPKLTLHTKHSMPPCDFSPEKYQSL AYSRVLAIHKQHLSPVDTAYFRKPLLLHQGHMEWLFDSEGNRYLDFFSGIVTVSVGHCHP KVSAVAKKQIDRLWHTSSVFFHSPMHEYAEKLSALLPEPLKVIFLVNSGSEANDLAMVMA RAHSNHTDIISFRGAYHGCSPYTLGLTNVGIYKMEVPGGIGCQSVSSETLTFLQ >ENSMUSP00000106171.1 pep:known chromosome:GRCm38:15:10358537:10410153:1 gene:ENSMUSG00000089678.8 transcript:ENSMUST00000110542.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agxt2 description:alanine-glyoxylate aminotransferase 2 [Source:MGI Symbol;Acc:MGI:2146052] MSLAWRNLQKPFYLETSLRILQMRPSLSLGASRIAVPKLTLHTKHSMPPCDFSPEKYQSL AYSRVLAIHKQHLSPVDTAYFRKPLLLHQGHMEWLFDSEGNRYLDFFSGIVTVSVGHCHP KVSAVAKKQIDRLWHTSSVFFHSPMHEYAEKLSALLPEPLKVIFLVNSGSEANDLAMVMA RAHSNHTDIISFRGAYHGCSPYTLGLTNVGIYKMEVPGGIGCQSTMCPDVFRGPWGGIHC RDSPVQTVRDCSCAPDCCQAKERYIEQFKDTLNTSVATSIAGFFAEPIQGVNGVVQYPKE FLKEAFALVRERGGVCIADEVQTGFGRLGSHFWGFQTHDVLPDIVTMAKGIGNGFPMAAV VTTPEIAKSLAKRLLHFSTFGGNPLACAIGSAVLEVIEEENLQRNSQEVGTYMLLKFAKL RDEFDIVGDVRGKGLMVGIEMVQDKISRQPLPKTEVNQIHEDCKDMGLLVGRGGNFSQTF RIVPPMCVTKMEVDFAYEVFRAALIQHMERRAK >ENSMUSP00000022858.7 pep:known chromosome:GRCm38:15:10358579:10409738:1 gene:ENSMUSG00000089678.8 transcript:ENSMUST00000022858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agxt2 description:alanine-glyoxylate aminotransferase 2 [Source:MGI Symbol;Acc:MGI:2146052] MSLAWRNLQKPFYLETSLRILQMRPSLSLGASRIAVPKLTLHTKHSMPPCDFSPEKYQSL AYSRVLAIHKQHLSPVDTAYFRKPLLLHQGHMEWLFDSEGNRYLDFFSGIVTVSVGHCHP KVSAVAKKQIDRLWHTSSVFFHSPMHEYAEKLSALLPEPLKVIFLVNSGSEANDLAMVMA RAHSNHTDIISFRGAYHGCSPYTLGLTNVGIYKMEVPGGIGCQSTMCPDVFRGPWGGIHC RDSPVQTVRDCSCAPGPNGQGGRRECHSNIKCCDLCMCVCFATDCCQAKERYIEQFKDTL NTSVATSIAGFFAEPIQGVNGVVQYPKEFLKEAFALVRERGGVCIADEVQTGFGRLGSHF WGFQTHDVLPDIVTMAKGIGNGFPMAAVVTTPEIAKSLAKRLLHFSTFGGNPLACAIGSA VLEVIEEENLQRNSQEVGTYMLLKFAKLRDEFDIVGDVRGKGLMVGIEMVQDKISRQPLP KTEVNQIHEDCKDMGLLVGRGGNFSQTFRIVPPMCVTKMEVDFAYEVFRAALIQHMERRA K >ENSMUSP00000025681.7 pep:known chromosome:GRCm38:19:6306456:6325652:1 gene:ENSMUSG00000024769.7 transcript:ENSMUST00000025681.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpg description:CDC42 binding protein kinase gamma (DMPK-like) [Source:MGI Symbol;Acc:MGI:2652845] MEQRLRALEQLVRGEAGGSPGLDGLLDLLLGVHQELSSAPLRRERNVAQFLSWASPFVTK VKELRLQRDDFEILKVIGRGAFGEVAVVRQRGSGQIFAMKMLHKWEMLKRAETACFREER DVLVKGDSRWVTALHYAFQDEEYLYLVMDYYAGGDLLTLLSRFEDRLPPELAQFYLAEMV LAIHSLHQLGYVHRDVKPDNILLDMNGHIRLADFGSCLRLNNNGMVDSSVAVGTPDYISP EILQAMEEGKGHYGPQCDWWSLGVCAYELLFGETPFYAESLVETYGKIMNHEDHLQFPAD VTDVPASAQDLIRQLLCRQEERLGRGGLDDFRKHPFFEGVDWERLATSTAPYIPELRGPM DTSNFDVDDDTLNRPETLPPSSHGAFSGHHLPFVGFTYTSGSPFDVQSSELMAAPEGTPH CVEQVKVELSHKCQEPLHGPLQPQELVRLQKEVQVLQEKLAETLRDSKASLSQTDGLHAR SPAPNIQLQQEKDRLQQELTEAQAALRVQDAELCQAQNRQEEFLQRLWEAQEREAAAASQ IQALNSQLEEAWVVRRELEGQVTTLSQEVTRLQGQCKQESSQAKTVHAAPETNGIGSPEG QSQEAQLRKEVAALREQLEHACSQGISVGKEEVLCRLQEENQRLSREQERLAGELELELQ SKQRLEGERRETESNWEAQIADILSWVNDEKVSRGYLQALATKMAEELESLRNVGTQTLP TRPLDHQWKARRLQKMEASARLELQSALEAEIRAKQSLQEQLTQVQEAQRQAERRLQEAE KQSQALQQEVAELREELQARGPGDARPSTSLIPLLSFWNTEKDSAKDPGNSGEGPRSGAE AELRPEGRRSLRMGSVFPRVPAATTTPAEGPPAKPGSHTLRPRSFPSPTKCLRCTSLMLG LGRQGLGCDTCGYFCHSACASQAPPCPVPPELLRTALGVHPETGTGTAYEGFLSVPRPSG VRRGWQRVYAALSDSRLLLFDAPDPRGSLASGVLLQALDLRDPQFSATPVLAPDVIHAQS KDLPRIFRVTASQLTVPPTTCTVLLLAENEGERERWLQVLGELQRLLLDARPRPRPVYTL KEAYDNGLPLLPHALCAAVIDQERLALGTEEGLFVIHLHSNDIFQVGDCRRVQRLAVSSA AGLLAVLCGRGPSVRLFALDELESAEVAGAKIPESRGCQALVAGRILQARTPVLCVAVKR QVLCYQLGPGPGPWQRRIRELQAPAPVQSLGLLGDRLCVGAAGTFALYPLLNEAAPLALG TGLVAEELPASRGGLGEALGAVELSLSELLLLFATAGVYVDSAGRKSRSHELLWPAAPTG WGYTAPYLTVFSENALDVFDVRRAEWVQTVPLKKVRPLNPEGSLFLYGTEKVRLTYLRNP LAEKDEFDIPDLTDNSRRQLFRTKSKRRFFFRVSDELRQQQRREMLKDPFVRSKFISPPT NFNHLVHVGPTEGRPNTRDGTRAQEQKSRGARSSGPQRPHSFSEAFRRPVSTGSDGLPGE TDPLVKRKPWTSLSSESVSCPQGSLSPAASLIQVSERPRSLPPDPESESSP >ENSMUSP00000110528.1 pep:known chromosome:GRCm38:16:35938470:35972605:1 gene:ENSMUSG00000022906.15 transcript:ENSMUST00000114878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp9 description:poly (ADP-ribose) polymerase family, member 9 [Source:MGI Symbol;Acc:MGI:1933117] MAYYMDTWAAAPAERPANNSLEEHYRWQIPIKHNVFEILKSNESQLCEVLQNKFGCISTL SCPTLAGSSSPAQRVFRRTLIPGIELSVWKDDLTRHVVDAVVNAANENLLHGSGLAGSLV KTGGFEIQEESKRIIANVGKISVGGIAITGAGRLPCHLIIHAVGPRWTVTNSQTAIELLK FAIRNILDYVTKYDLRIKTVAIPALSSGIFQFPLDLCTSIILETIRLYFQDKQMFGNLRE IHLVSNEDPTVASFKSASESILGRDLSSWGGPETDPASTMTLRIGRGLTLQIVQGCIEMQ TTDVIVNSGYMQDFKSGRVAQSILRQAGVEMEKELDKVNLSTDYQEVWVTKGFKLSCQYV FHVAWHSQINKYQILKDAMKSCLEKCLKPDINSISFPALGTGLMDLKKSTAAQIMFEEVF AFAKEHKEKTLTVKIVIFPVDVETYKIFYAEMTKRSNELNLSGNSGALALQWSSGEQRRG GLEAGSPAINLMGVKVGEMCEAQEWIERLLVSLDHHIIENNHILYLGKKEHDVLSELQTS TRVSISETVSPRTATLEIKGPQADLIDAVMRIECMLCDVQEEVAGKREKNLWSLSGQGTN QQEKLDKMEESYTFQRYPASLTQELQDRKKQFEKCGLWVVQVEQIDNKVLLAAFQEKKKM MEERTPKGSGSQRLFQQVPHQFCNTVCRVGFHRMYSTSYNPVYGAGIYFTKSLKNLADKV KKTSSTDKLIYVFEAEVLTGSFCQGNSSNIIPPPLSPGALDVNDSVVDNVSSPETIVVFN GMQAMPLYLWTCTQDRTFSQHPMWSQGYSSGPGMVSSLQSWEWVLNGSSV >ENSMUSP00000023622.6 pep:known chromosome:GRCm38:16:35939011:35972605:1 gene:ENSMUSG00000022906.15 transcript:ENSMUST00000023622.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp9 description:poly (ADP-ribose) polymerase family, member 9 [Source:MGI Symbol;Acc:MGI:1933117] MFGNLREIHLVSNEDPTVASFKSASESILGRDLSSWGGPETDPASTMTLRIGRGLTLQIV QGCIEMQTTDVIVNSGYMQDFKSGRVAQSILRQAGVEMEKELDKVNLSTDYQEVWVTKGF KLSCQYVFHVAWHSQINKYQILKDAMKSCLEKCLKPDINSISFPALGTGLMDLKKSTAAQ IMFEEVFAFAKEHKEKTLTVKIVIFPVDVETYKIFYAEMTKRSNELNLSGNSGALALQWS SGEQRRGGLEAGSPAINLMGVKVGEMCEAQEWIERLLVSLDHHIIENNHILYLGKKEHDV LSELQTSTRVSISETVSPRTATLEIKGPQADLIDAVMRIECMLCDVQEEVAGKREKNLWS LSGQGTNQQEKLDKMEESYTFQRYPASLTQELQDRKKQFEKCGLWVVQVEQIDNKVLLAA FQEKKKMMEERTPKGSGSQRLFQQVPHQFCNTVCRVGFHRMYSTSYNPVYGAGIYFTKSL KNLADKVKKTSSTDKLIYVFEAEVLTGSFCQGNSSNIIPPPLSPGALDVNDSVVDNVSSP ETIVVFNGMQAMPLYLWTCTQDRTFSQHPMWSQGYSSGPGMVSSLQSWEWVLNGSSV >ENSMUSP00000110527.1 pep:known chromosome:GRCm38:16:35939012:35968226:1 gene:ENSMUSG00000022906.15 transcript:ENSMUST00000114877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp9 description:poly (ADP-ribose) polymerase family, member 9 [Source:MGI Symbol;Acc:MGI:1933117] MAYYMDTWAAAPAERPGMIASLSLSFKKAFAELFPQRRRGHSEGDYPPLRGSANNSLEEH YRWQIPIKHNVFEILKSNESQLCEVLQNKFGCISTLSCPTLAGSSSPAQRVFRRTLIPGI ELSVWKDDLTRHVVDAVVNAANENLLHGSGLAGSLVKTGGFEIQEESKRIIANVGKISVG GIAITGAGRLPCHLIIHAVGPRWTVTNSQTAIELLKFAIRNILDYVTKYDLRIKTVAIPA LSSGIFQFPLDLCTSIILETIRLYFQDKQMFGNLREIHLVSNEDPTVASFKSASESILGR DLSSWGGPETDPASTMTLRIGRGLTLQIVQGCIEMQTTDVIVNSGYMQDFKSGRVAQSIL RQAGVEMEKELDKVNLSTDYQEVWVTKGFKLSCQYVFHVAWHSQINKYQILKDAMKSCLE KCLKPDINSISFPALGTGLMDLKKSTAAQIMFEEVFAFAKEHKEKTLTVKIVIFPVDVET YKIFYAEMTKRSNELNLSGNSGALALQWSSGEQRRGGLEAGSPAINLMGVKVGEMCEAQE WIERLLVSLDHHIIENNHILYLGKKEHDVLSELQTSTRVSISETVSPRTATLEIKGPQAD LIDAVMRIECMLCDVQEEVAGKREKNLWSLSGQGTNQQEKLDKMEESYTFQRYPASLTQE LQDRKKQFEKCGLWVVQV >ENSMUSP00000123736.1 pep:known chromosome:GRCm38:16:35939061:35947686:1 gene:ENSMUSG00000022906.15 transcript:ENSMUST00000122870.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parp9 description:poly (ADP-ribose) polymerase family, member 9 [Source:MGI Symbol;Acc:MGI:1933117] MAYYMDTWAAAPAERPGMIASLSLSFKKAFAELFPQRRRGHSEGDYPPLRGSDAPYRP >ENSMUSP00000124098.1 pep:known chromosome:GRCm38:16:35948284:35956836:1 gene:ENSMUSG00000022906.15 transcript:ENSMUST00000159160.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parp9 description:poly (ADP-ribose) polymerase family, member 9 [Source:MGI Symbol;Acc:MGI:1933117] XTMTLRIGRGLTLQIVQGCIEMQTTDVIVNSGYMQDFKSGRVAQSILRQAGVEMEKELDK VNLSTDYQEVWVTKGFKLSCQYVFHVAWHSQINKYQVETGPKME >ENSMUSP00000111474.2 pep:known chromosome:GRCm38:16:13715057:13730443:-1 gene:ENSMUSG00000022683.13 transcript:ENSMUST00000115807.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g10 description:phospholipase A2, group X [Source:MGI Symbol;Acc:MGI:1347522] MLLLLLLLLLGPGPGFSEATRRSHVYKRGLLELAGTLDCVGPRSPMAYMNYGCYCGLGGH GEPRDAIDWCCYHHDCCYSRAQDAGCSPKLDRYPWKCMDHHILCGECPMPCDFPKCPLDI LQIPMAPVPLWTSREQMPRTFVQV >ENSMUSP00000023364.6 pep:known chromosome:GRCm38:16:13715059:13730983:-1 gene:ENSMUSG00000022683.13 transcript:ENSMUST00000023364.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g10 description:phospholipase A2, group X [Source:MGI Symbol;Acc:MGI:1347522] MLLLLLLLLLGPGPGFSEATRRSHVYKRGLLELAGTLDCVGPRSPMAYMNYGCYCGLGGH GEPRDAIDWCCYHHDCCYSRAQDAGCSPKLDRYPWKCMDHHILCGPAENKCQELLCRCDE ELAYCLAGTEYHLKYLFFPSILCEKDSPKCN >ENSMUSP00000122056.1 pep:known chromosome:GRCm38:10:59879575:59899296:1 gene:ENSMUSG00000020109.13 transcript:ENSMUST00000146590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb12 description:DnaJ heat shock protein family (Hsp40) member B12 [Source:MGI Symbol;Acc:MGI:1931881] MESNKDEAERCISIALKAIQSNQPERALRFLEKAQRLYPTPRVSALIESLNQKPQSTGDH PQPTDTTHTTTKKAGGTETPSANGEAGGGESAKGYTSEQVAAVKRVKQCKDYYEILGVSR SASDEDLKKAYRKLALKFHPDKNHAPGATEAFKAIGTAYAVLSNPEKRKQYDQFGDDKSQ AARHGHSHGDFHRGFEADISPEDLFNMFFGGGFPSSNVHVYSNGRMRYTYQQRQDRRDNQ GDGGLGVFVQLMPILILILVSALSQLMVSSPPYSLSPRPSVGHIHKRVTDHLNVAYYVAD TFSEEYTGSSLKTVERNVEDDYIANLRNNCWKEKQQKEGLLYRARYFGDTDMYHRAQKMG TPSCNRLSEVQASLHG >ENSMUSP00000116577.1 pep:known chromosome:GRCm38:10:59879556:59899302:1 gene:ENSMUSG00000020109.13 transcript:ENSMUST00000147914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb12 description:DnaJ heat shock protein family (Hsp40) member B12 [Source:MGI Symbol;Acc:MGI:1931881] MESNKDEAERCISIALKAIQSNQPERALRFLEKAQRLYPTPRVSALIESLNQKPQSTGDH PQPTDTTHTTTKKAGGTETPSANGEAGGGESAKGYTSEQVAAVKRVKQCKDYYEILGVSR SASDEDLKKAYRKLALKFHPDKNHAPGATEAFKAIGTAYAVLSNPEKRKQYDQFGDDKSQ AARHGHSHGDFHRGFEADISPEDLFNMFFGGGFPSSNVHVYSNGRMRYTYQQRQDRRDNQ GDGGLGVFVQLMPILILILVSALSQLMVSSPPYSLSPRPSVGHIHKRVTDHLNVAYYVAD TFSEEYTGSSLKTVERNVEDDYIANLRNNCWKEKQQKEGLLYRARYFGDTDMYHRAQKMG TPSCNRLSETMKSLENFW >ENSMUSP00000116244.1 pep:known chromosome:GRCm38:10:59879564:59898014:1 gene:ENSMUSG00000020109.13 transcript:ENSMUST00000131810.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajb12 description:DnaJ heat shock protein family (Hsp40) member B12 [Source:MGI Symbol;Acc:MGI:1931881] MESNKDEAERCISIALKAIQSNQPERALRFLEKAQRLYPTPRVSALIESLNQKPQSTGDH PQPTDTTHTTTKKAGGTETPSANGEAGGGESAKGYTSEQVAAVKSHWHSVCRTQQPREKE TVRPVW >ENSMUSP00000118088.1 pep:known chromosome:GRCm38:10:59879618:59896775:1 gene:ENSMUSG00000020109.13 transcript:ENSMUST00000142819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb12 description:DnaJ heat shock protein family (Hsp40) member B12 [Source:MGI Symbol;Acc:MGI:1931881] MESNKDEAERCISIALKAIQSNQPERALRFLEKAQRLYPTPRVSALIESLNQKPQSTGDH PQPTDTTHTTTKKAGGTETPSANGEAGGGESAKGYTSEQVAAVKRVKQCKDYYEILGVSR SASDEDLKKAYRKLALKFHPDKNHAPGATEAFKAIGTAYAVLSNPEKRKQYDQFGDDKSQ AARHGHSHGDFHRGFEADISPEDLFNMFFGGGFPSSNVHVYSNGRMRYTYQQRQDRRDNQ GDGGLGVFVQLMPILILILVSALSQLMVSSPPYSLSPRPSVGHIHKRVTDHLNVAYYVAD TFSEEYTGSSLKTVERNVEDDYIANLRNNCWKEKQQKEGLLYRARYFGDTDMYHRAQKMG TPSCNRLSEVQASLHG >ENSMUSP00000020309.6 pep:known chromosome:GRCm38:10:59879626:59898521:1 gene:ENSMUSG00000020109.13 transcript:ENSMUST00000020309.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb12 description:DnaJ heat shock protein family (Hsp40) member B12 [Source:MGI Symbol;Acc:MGI:1931881] MESNKDEAERCISIALKAIQSNQPERALRFLEKAQRLYPTPRVSALIESLNQKPQSTGDH PQPTDTTHTTTKKAGGTETPSANGEAGGGESAKGYTSEQVAAVKRVKQCKDYYEILGVSR SASDEDLKKAYRKLALKFHPDKNHAPGATEAFKAIGTAYAVLSNPEKRKQYDQFGDDKSQ AARHGHSHGDFHRGFEADISPEDLFNMFFGGGFPSSNVHVYSNGRMRYTYQQRQDRRDNQ GDGGLGVFVQLMPILILILVSALSQLMVSSPPYSLSPRPSVGHIHKRVTDHLNVAYYVAD TFSEEYTGSSLKTVERNVEDDYIANLRNNCWKEKQQKEGLLYRARYFGDTDMYHRAQKMG TPSCNRLSEVQASLHG >ENSMUSP00000113766.1 pep:known chromosome:GRCm38:6:129766568:129784270:-1 gene:ENSMUSG00000071158.9 transcript:ENSMUST00000118532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm156 description:predicted gene 156 [Source:MGI Symbol;Acc:MGI:2685002] MNDERPTYAQLKLSKKSKDKKQSTQKKKEYPWRISVVILGTVCLCLLISSTVFGYWFFQA TSNFKIQYEKDKNESAVSSMEVVHSSGLLLTAARKGCYTCQGGWSCCGGKCYFFSEEEKT WDESEASCKVLGSLLAKIDSREEQNFIQSQVNYSYWVGLHKKGSQFQWVHHKDAKLSSDL >ENSMUSP00000093058.2 pep:known chromosome:GRCm38:6:129766647:129775849:-1 gene:ENSMUSG00000071158.9 transcript:ENSMUST00000095409.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm156 description:predicted gene 156 [Source:MGI Symbol;Acc:MGI:2685002] MNDERPTYAQLKLSKKSKDKKQSTQKKKEYPWRISVVILGTVCLCLLISSTVFGYWFFQA TSNFKIQYEKDKNESAVSSMEVVHSSGLLLTAARKGCYTCQGGWSCCGGKCYFFSEEEKT WDESEASCKVLGSLLAKIDSREEQNFIQSQVNYSYWVGLHKKGSQFQWVHHKDAKLSSDL DFHTATHVADAECGYIKPKNLNVAPCHRYFYYICKRNFTCPMT >ENSMUSP00000087098.4 pep:known chromosome:GRCm38:15:75993769:75999152:1 gene:ENSMUSG00000063704.12 transcript:ENSMUST00000089669.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk15 description:mitogen-activated protein kinase 15 [Source:MGI Symbol;Acc:MGI:2652894] MCAAEVDRHVAQRYLIKRRLGKGAYGIVWKAMDRRTGEVVAIKKIFDAFRDQIDAQRTFR EIMLLKEFGGHPNIIRLLDVIPAKNDRDIYLVFESMDTDLNAVIQKGRLLKDIHKRCIFY QLLRATKFIHSGRVIHRDQKPANVLLDSACRVKLCDFGLARSLGDLPEGPGGQALTEYVA TRWYRAPEVLLSSRWYTPGVDMWSLGCILGEMLRGQPLFPGTSTFHQLELILKTIPLPSM EELQDLGSDYSALILQNLGSRPQQTLDALLPPDTPPEALDLLKRLLAFAPDKRLSAEQAL QHPYVQRFHCPDREWARESDVRLPVHEGDQLSAPEYRKRLYQIILEQSGNSRSPREEGLG VVASRAELRASPARTQSLKSGVLPQVPAETPARKRGPKPPRSPGHDPEHVEVRRQSSDPL FQLPPPGRGERPPGATGQPPSAPSGVKTQVRAMAPSLTSQAEAQAANQALIRSDPARGGG PRAVGARRVPSRLPREAPEPRPGRRMFGISVSQGAQGAARAALGGYSQAYGTVCRSALGR LPLLPGPRA >ENSMUSP00000098528.2 pep:known chromosome:GRCm38:6:124191596:124231784:-1 gene:ENSMUSG00000072778.2 transcript:ENSMUST00000100968.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r27 description:vomeronasal 2, receptor27 [Source:MGI Symbol;Acc:MGI:3761517] MKLLIAFSLVIVLISFQEKMSCFYISVPDSPGYNQDGDFLIGGFFSLRVTGREDRTEFCF NETMNMTYGSYFNLPKHYQHILAMVFAVETINKDPNILFNMSLGFYLFDVDFIVMKAMES CMVLISGERPPIPNYSCRPEKTNKPVAVIGGYSKAITAQISQVLSLYNVPQISYAPFDQS LASRVQLQSPYQFPVHTAALYQGIIQLMLYFTWVWVGLVVPDDMRGELYITELTEDMTKH GLCIAFAVRSPIFPCRNTVNLIILEEELGSLDVVLVYGDSYDFFWIVLYIPFLPFNGNVL ITNSDWYTTFPYFHNQVYEYFGGVLLFSDHMDEILGFKEFLRNLQPRKYPQNIFIQDMWS IVFECPHLYLHKVRELSQCEPNGSLSTRPLHVWDMNTSPMSYKVHAAVYAIAQALHVELS VRVEGDSFDKGVLRAPLPWKLHPFLQNGQFVRLSNEENIVNKEGSATKLDIFNYQSLQSG TEGHVKVGEFVFEPHRVQHLSLNEELIRWGEYHNMSALHVCSQTCPLGFSKIPDDWRPLC CYDCAPCPDGEFANETDMDQCIKCPEDQYPNEQRNQCLPKITAFLSHEDTLGAVLGSMAI SFAAFSAMILGLFIHYRDTPIVRANNRNLSYLLLVSLKLCFFCSLMFIGEPRRVTCLLRQ MIFGVVFSVALSAVLAKTFIVVVAFKVIKPGSTLQMRMATQISNAIVCCGSIIQVCICAV WLGTYPPFPDVDMHSEFGQIILWYNEGSTLAFYCVLGYLGFLASLSLFIAFLVRSLPDSF NEAKTITFSMLVFCSVWISFVFTYLRSKAKTMVAVEIVSILASSAGLLSFIFLPKCYVIL LRSGGYSRKKFFKSVSP >ENSMUSP00000100743.2 pep:known chromosome:GRCm38:X:73228291:73230795:1 gene:ENSMUSG00000078317.6 transcript:ENSMUST00000105111.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F8a description:factor 8-associated gene A [Source:MGI Symbol;Acc:MGI:95474] MAAGSASSLGGGAWPGSEAGDFLARYRQVSNKLKKRFLRKPNVAEAGEQFAQLARELRAQ ECLPYAAWCQLAVARCQQALFHGPGEALALTEAARLFLRQECDARQRLGCPAAYGEPLQA AASALGAAVRLHLELGQPAAAAALCLELAAALRAVGQPAAAAGHFQRAAQLHLPLMPLAA LQALGDAASCQLLARDYTGALALFTRMQRLAREHGGHPVQQLELLPQPPSGPQPPLSGPQ PRPVLGSTLPLPQPPDHAPGSVAPSPGTLGAFADVLVRCEVSRVLLLLLLQPPPAKLLPE HAQTLEKYSWEAFDGHGQDTSGQLPEELFLLLQSLVMAAQEKDTEGIKKLQVEMWPLLTA EQNHLLHLVLQETISPSGQGV >ENSMUSP00000044075.3 pep:known chromosome:GRCm38:15:94522688:94543547:-1 gene:ENSMUSG00000033356.3 transcript:ENSMUST00000049151.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus7l description:pseudouridylate synthase 7 homolog (S. cerevisiae)-like [Source:MGI Symbol;Acc:MGI:1926145] MAEDTDGGIRFNSLCFINDHVGFQGSIKTSPSDFIVIEIDEQGQLVSKATDGSLYEISKI QSEPSNSVKKPKLNIQNVSLEHKNSEGAADLPGCSDGDRSHQSDSEKENSVNSVTSKCEE ESVDLLRSLLDEKTHTSLGQFACDIKRMWNSQTELTEPSPELSLGKILDKNRRAVLHSAV RQAFPFLITVGNQGEVVVKPNRECKELCRLVSEEEALGFFKYLDAKKENSKFTFKPDPNK DHRKAVHHFLNKKFGNLVETKSFPGQHHSAGNPDSAITVRFREKARGKRSHPEGCERGKA VYTAFTLQKENLETFEAIGLLAVKLGVIPSDFSYAGLKDKRAITYQSMVVKKVTPERLKS IKEEIEKKRMNVFNIRSVGDCLRLGQLKGNHFEIIIRHLRNQLNDSANLTERILEAIENV KNKGFVNYYGPQRFGKGQKIQTDQIGLALLKNEMVKAIKLFLTPEDVDDPVNKAKQYFLR TEDAKGTLSLMPEFRVRERALLESLHRFGVTEEGCVRAWFSFPHSMRIFYIHAYSSRIWN EAASYRLAAYGPKVVEGDLICSDEDADKHFPSSKVHLVTKEEESAHTYALHQVVLPVLGY NVQYPENQVGRWYQEALSRDGLQACRFRVPALKLNVPGCYRHIVKHPRNVSHRLVHPDPA TEEARVEGPHSDDTASSLSLSFDLDASCYATVCLREMMKGDI >ENSMUSP00000120207.1 pep:known chromosome:GRCm38:15:94540735:94543486:-1 gene:ENSMUSG00000033356.3 transcript:ENSMUST00000134061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus7l description:pseudouridylate synthase 7 homolog (S. cerevisiae)-like [Source:MGI Symbol;Acc:MGI:1926145] MAEDTDGGIRFNSLCFINDHVGFQGSIKTSPSDFIVIEIDEQGQLVSKATDGSLYEISKI QSEPSNSVKKPKLNIQ >ENSMUSP00000110147.2 pep:known chromosome:GRCm38:X:73282896:73292976:-1 gene:ENSMUSG00000067764.11 transcript:ENSMUST00000114503.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr5c description:X-linked lymphocyte-regulated 5C [Source:MGI Symbol;Acc:MGI:1350981] MSNKEQKDMKKSGKHQRVHKTLPSDDFKNSDAVNPADKPAVGTSGMGSHSSGSDMQEARE PVQKKMQDFKGDDGTGLLMEKRKQFEEDVNASFRSLNENLQSILKAQQNSRQELKSLYCE RFGSVYHNWLVEMDRTRDQEEYFSFITQQQMKILQTAIEDHETKLKNAKDMCDTFLKKAN DLSKRQKTFIGGQQTIVEKEISKVQNRVIMETQDQDISMVETYLQSLIHESSEETI >ENSMUSP00000085796.3 pep:known chromosome:GRCm38:X:73285197:73290515:-1 gene:ENSMUSG00000067764.11 transcript:ENSMUST00000088450.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr5c description:X-linked lymphocyte-regulated 5C [Source:MGI Symbol;Acc:MGI:1350981] MSNKEQKDMKKSGKHQRVHKTLPSDDFKNSDAVNPADKPAVGTSGMGSHSSGSDMQEARE PVQKKMQDFKGDDGTGLLMEKRKQFEEDVNASFRSLNENLQSILKAQQNSRQELKSLYCE RFGSVYHNWLVEMDRTRDQEEYFSFITQQQMKILQTAIEDHETKLKNAKDMCDTFLKVW >ENSMUSP00000030164.7 pep:known chromosome:GRCm38:4:42979963:43000507:-1 gene:ENSMUSG00000028452.7 transcript:ENSMUST00000030164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcp description:valosin containing protein [Source:MGI Symbol;Acc:MGI:99919] MASGADSKGDDLSTAILKQKNRPNRLIVDEAINEDNSVVSLSQPKMDELQLFRGDTVLLK GKKRREAVCIVLSDDTCSDEKIRMNRVVRNNLRVRLGDVISIQPCPDVKYGKRIHVLPID DTVEGITGNLFEVYLKPYFLEAYRPIRKGDIFLVRGGMRAVEFKVVETDPSPYCIVAPDT VIHCEGEPIKREDEEESLNEVGYDDIGGCRKQLAQIKEMVELPLRHPALFKAIGVKPPRG ILLYGPPGTGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPAI IFIDELDAIAPKREKTHGEVERRIVSQLLTLMDGLKQRAHVIVMAATNRPNSIDPALRRF GRFDREVDIGIPDATGRLEILQIHTKNMKLADDVDLEQVANETHGHVGADLAALCSEAAL QAIRKKMDLIDLEDETIDAEVMNSLAVTMDDFRWALSQSNPSALRETVVEVPQVTWEDIG GLEDVKRELQELVQYPVEHPDKFLKFGMTPSKGVLFYGPPGCGKTLLAKAIANECQANFI SIKGPELLTMWFGESEANVREIFDKARQAAPCVLFFDELDSIAKARGGNIGDGGGAADRV INQILTEMDGMSTKKNVFIIGATNRPDIIDPAILRPGRLDQLIYIPLPDEKSRVAILKAN LRKSPVAKDVDLEFLAKMTNGFSGADLTEICQRACKLAIRESIESEIRRERERQTNPSAM EVEEDDPVPEIRRDHFEEAMRFARRSVSDNDIRKYEMFAQTLQQSRGFGSFRFPSGNQGG AGPSQGSGGGTGGSVYTEDNDDDLYG >ENSMUSP00000128352.1 pep:known chromosome:GRCm38:5:30905885:30907788:-1 gene:ENSMUSG00000038803.7 transcript:ENSMUST00000132253.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ost4 description:oligosaccharyltransferase 4 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914945] MITDVQLAIFANMLGVSLFLLVVLYHYVAVNNPKKQE >ENSMUSP00000126221.1 pep:known chromosome:GRCm38:5:30906518:30907725:-1 gene:ENSMUSG00000038803.7 transcript:ENSMUST00000132034.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ost4 description:oligosaccharyltransferase 4 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914945] MITDVQLAIFANMLGVSLFLLVVLYHYVAVNNPKKQE >ENSMUSP00000056027.7 pep:known chromosome:GRCm38:4:41738493:41741359:-1 gene:ENSMUSG00000036078.16 transcript:ENSMUST00000059354.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sigmar1 description:sigma non-opioid intracellular receptor 1 [Source:MGI Symbol;Acc:MGI:1195268] MPWAAGRRWAWITLILTIIAVLIQAAWLWLGTQNFVFSREEIAQLARQYAGLDHELAFSR LIVELRRLHPGHVLPDEELQWVFVNAGGWMGAMCILHASLSEYVLLFGTALGSHGHSGRY WAEISDTIISGTFHQWKEGTTKSEVFYPGETVVHGPGEATALEWGPNTWMVEYGRGVIPS TLFFALADTFFSTQDYLTLFYTLRAYARGLRLELTTYLFGQDS >ENSMUSP00000071492.6 pep:known chromosome:GRCm38:4:41738493:41741359:-1 gene:ENSMUSG00000036078.16 transcript:ENSMUST00000071561.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sigmar1 description:sigma non-opioid intracellular receptor 1 [Source:MGI Symbol;Acc:MGI:1195268] MPWAAGRRWAWITLILTIIAVLIQAAWLWLGTQNFVFSREEIAQLARQYAGLDHELAFSR LIVELRRLHPGHVLPDEELQWVFVNAGGWMGAMCILHASLSEYVLLFGTALGSHGHSGET VVHGPGEATALEWGPNTWMVEYGRGVIPSTLFFALADTFFSTQDYLTLFYTLRAYARGLR LELTTYLFGQDS >ENSMUSP00000094088.4 pep:known chromosome:GRCm38:X:100572251:100578205:1 gene:ENSMUSG00000015665.8 transcript:ENSMUST00000096361.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Awat1 description:acyl-CoA wax alcohol acyltransferase 1 [Source:MGI Symbol;Acc:MGI:3588200] MSCSMKTEHLQSLSLLQWPLSYVAMFWIVQPLLICLLFTPLWPLPTVYFVWLLLDWKTPD KGGRRSDWVRNWNVWNHIRDYFPITILKTKDLSPSENYIMGVHPHGLLTFGAFCNFCTEA TGFSKTFPGITPHLATLSWFFKIPIIRDYIMAKGLCSVSQASIDYLLSHGTGNLVGIVVG GVGEALQSVPNTTTLLLKKRKGFVRTALQHGAHLVPTFTFGETEVYDQVLFHEDSRMFKF QSLFRRIFGFYCCVFYGQGFHQDCKGLLPYHKPIITVVGEALPLPQVKNPSPEIVDKYHA LYMDALYKLFEQHKVQYGCSNTQKLIFL >ENSMUSP00000077650.5 pep:known chromosome:GRCm38:X:73107635:73117702:-1 gene:ENSMUSG00000058328.13 transcript:ENSMUST00000078574.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr5a description:X-linked lymphocyte-regulated 5A [Source:MGI Symbol;Acc:MGI:3574108] MSNKEQKAMKKSGKHQRVHKTLPSDDFKNSDEVNPADKPAVGTSGMGSHSSGSDMQEARE PVQKKMQDFKGDDGTGLLMEKKKQFEEDVNASFRSLNENLQSILKAQQNSRQELKSLYCE RFGSVYHNWLVEMDRTRDQEEYFSFITQQQMKILQTAIEDHETKLKNAKDMCDTFLKKAN DLSKRQKTFIGGQHTIVEKEISKVQNRVIMETQDQDISMVETYLQSLIHESSEETI >ENSMUSP00000110167.1 pep:known chromosome:GRCm38:X:73107635:73117702:-1 gene:ENSMUSG00000058328.13 transcript:ENSMUST00000114521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr5a description:X-linked lymphocyte-regulated 5A [Source:MGI Symbol;Acc:MGI:3574108] MSNKEQKAMKKSGKHQRVHKTLPSDDFKNSDEVNPADKPAVGTSGMGSHSSGSDMQEARE PVQKKMQDFKGDDGTGLLMEKKKQFEEDVNASFRSLNENLQSILKAQQNSRQELKSLYCE RFGSVYHNWLVEMDRTRDQEEYFSKANDLSKRQKTFIGGQHTIVEKEISKVQNRVIMETQ DQDISMVETYLQSLIHESSEETI >ENSMUSP00000110166.1 pep:known chromosome:GRCm38:X:73108409:73115363:-1 gene:ENSMUSG00000058328.13 transcript:ENSMUST00000114520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr5a description:X-linked lymphocyte-regulated 5A [Source:MGI Symbol;Acc:MGI:3574108] MSNKEQKAMKKSGKHQRVHKTLPSDDFKNSDEVNPADKPAVGTSGMGSHSSGSDMQEARE PVQKKMQDFKGDDGTGLLMEKKKQFEEDVNASFRSLNENLQSILKAQQNSRQELKSLYCE RFGSVYHNWLVEMDRTRDQEEYFSFITQQQMKILQTAIEDHETKLKNAKDMCDTFLKKAN DLSKRQKTFIGGQHTIVEKEISKVQNRVIMETQDQDISMVETYLQSLIHESSEETI >ENSMUSP00000137006.1 pep:known chromosome:GRCm38:X:73107635:73292976:-1 gene:ENSMUSG00000058328.13 transcript:ENSMUST00000179600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr5a description:X-linked lymphocyte-regulated 5A [Source:MGI Symbol;Acc:MGI:3574108] MSNKEQKAMKKSGKHQRVHKTLPSDDFKNSDEVNPADKPAVGTSGMGSHSSGSDMQEARE PVQKKMQDFKGDDGTGLLMEKKKQFEEDVNASFRSLNENLQSILKAQQNSRQELKSLYCE RFGSVYHNWLVEMDRTRDQEEYFSFITQQQMKILQTAIEDHETKLKNAKDMCDTFLKKAN DLSKRQKTFIGGQHTIVEKEISKVQNRVIMETQDQDISMVETYLQSLIHESSEETI >ENSMUSP00000059848.7 pep:known chromosome:GRCm38:4:116596730:116600266:1 gene:ENSMUSG00000034035.18 transcript:ENSMUST00000051869.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc17 description:coiled-coil domain containing 17 [Source:MGI Symbol;Acc:MGI:1915667] MADYSGESGLLSCESCDMVFRSWALLATHTKRFCIGRLTPEVTLKSQPSVAIKRGTKIMA QEKSRDQEASTSALKRLTEETAGSPGERLRVLQGTRARRMAETEAQSRALERRGEELKRR LHSVAGPKGGLPRPFDLERELRELKEEANRTRGALQTLGAHFQALQLQPRKLQDTHRAVE FCYLPLRFNPETLAAEIRILREAYVHGGGRDPEVLDKILQLQVEASALELQRSQNRKEKL SAASEEVLTVEAENRLLEAEILALQKQKVLSLSPWGSRDLPGHLSRCDNSLLPPLVAPPI PQLTSSTKAQNFHGTSKTILNGTMTRKMGLDLHFLLPASDVLGPAPYDPGAGLVIFYDFL RGLDTSWIWVQLMTSLARNGQDTGGTTALPPALCLPQPSAPGPMGNCAILASKQPVPRLP PSPLVSLICELQAWHGVTWAPQPKAWASLLLFDQDLRVLRGRWRLPLRVYPNTSLSLAQR NEIPQAGQAELFLRLVNARDTDAQTLAEINPANAHEYQYPPMVSSSSVESSFFTHSSAFA DPPPPTEEAFVSVKDKNEHLSPHQF >ENSMUSP00000099841.1 pep:known chromosome:GRCm38:4:101419277:101466995:1 gene:ENSMUSG00000028527.18 transcript:ENSMUST00000102780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak4 description:adenylate kinase 4 [Source:MGI Symbol;Acc:MGI:87979] MASKLLRAVILGPPGSGKGTVCERIAQNFGLQHLSSGHLLRENLKTGTEVGDVAKQYLEK GLLVPDHVITRLMMSELETRSAQHWLLDGFPRTLVQAEALDGICDVDLVISLNIPFETLK DRLSRRWIHPSSGRVYNLDFNPPQVQGIDDITGEPLVQQEDDKPEAVAARLRRYKDAAKP VIELYKSRGVLHQFSGTETNRIWPYVYTLFSNKITPIQSKEAY >ENSMUSP00000102559.1 pep:known chromosome:GRCm38:4:101419289:101466995:1 gene:ENSMUSG00000028527.18 transcript:ENSMUST00000106946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak4 description:adenylate kinase 4 [Source:MGI Symbol;Acc:MGI:87979] MASKLLRAVILGPPGSGKGTVCERIAQNFGLQHLSSGHLLRENLKTGTEVGDVAKQYLEK GLLVPDHVITRLMMSELETRSAQHWLLDGFPRTLVQAEALDGICDVDLVISLNIPFETLK DRLSRRWIHPSSGRVYNLDFNPPQVQGIDDITGEPLVQQEDDKPEAVAARLRRYKDAAKP VIELYKSRGVLHQFSGTETNRIWPYVYTLFSNKITPIQSKEAY >ENSMUSP00000102558.1 pep:known chromosome:GRCm38:4:101419319:101466995:1 gene:ENSMUSG00000028527.18 transcript:ENSMUST00000106945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak4 description:adenylate kinase 4 [Source:MGI Symbol;Acc:MGI:87979] MASKLLRAVILGPPGSGKGTVCERIAQNFGLQHLSSGHLLRENLKTGTEVGDVAKQYLEK GLLVPDHVITRLMMSELETRSAQHWLLDGFPRTLVQAEALDGICDVDLVISLNIPFETLK DRLSRRWIHPSSGRVYNLDFNPPQVQGIDDITGEPLVQQEDDKPEAVAARLRRYKDAAKP VIELYKSRGVLHQFSGTETNRIWPYVYTLFSNKITPIQSKEAY >ENSMUSP00000115456.1 pep:known chromosome:GRCm38:4:101419706:101460581:1 gene:ENSMUSG00000028527.18 transcript:ENSMUST00000131397.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak4 description:adenylate kinase 4 [Source:MGI Symbol;Acc:MGI:87979] MASKLLRAVILGPPGSGKGTVCERIAQNFGLQHLSSGHLLRENLKTGTEVGDVAKQYLEK GLLVPDHVITRLMMSELETRSAQHWLLDGFPRTLVQAEALDGICDVDLVISLNIP >ENSMUSP00000115454.1 pep:known chromosome:GRCm38:4:101419733:101463000:1 gene:ENSMUSG00000028527.18 transcript:ENSMUST00000133055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak4 description:adenylate kinase 4 [Source:MGI Symbol;Acc:MGI:87979] MASKLLRAVILGPPGSGKGTVCERIAQNFGLQHLSSGHLLRENLKTGTGFPRTLVQAEAL DGICDVDLVISLNIPFETLKDRLSRRWIHPSSGRVYNLDFNPPQVQGIDDITGEPLVQQE DDK >ENSMUSP00000121112.1 pep:known chromosome:GRCm38:4:101447223:101463779:1 gene:ENSMUSG00000028527.18 transcript:ENSMUST00000155749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak4 description:adenylate kinase 4 [Source:MGI Symbol;Acc:MGI:87979] XRLMMSELETRSAQHWLLDGFPRTLVQAEALDGICDVDLVISLNIPFETLKDRLSRRWIH PSSGRVYNLDFNPPQVQEDDKPEAVAARLRRYKDAAKPVIELYKSRGVLHQFSGTETNRI WPYVYTLFSNKITPIQSKEAY >ENSMUSP00000044276.5 pep:known chromosome:GRCm38:11:32347820:32428173:1 gene:ENSMUSG00000040711.7 transcript:ENSMUST00000038753.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3pxd2b description:SH3 and PX domains 2B [Source:MGI Symbol;Acc:MGI:2442062] MPPRRSIVEVKVLDVQKRRVPNKHYVYIIRVTWSSGATEAIYRRYSKFFDLQMQMLDKFP MEGGQKDPKQRIIPFLPGKILFRRSHIRDVAVKRLIPIDEYCKALIQLPPYISQCDEVLQ FFETRPEDLNPPKEEHIGKKKSGNDPTSVDPMVLEQYVVVADYQKQESSEISLSVGQVVD IIEKNESGWWFVSTAEEQGWVPATCLEGQDGVQDEFSLQPEEEEKYTVIYPYTARDQDEM NLERGAVVEVVQKNLEGWWKIRYQGKEGWAPASYLKKNSGEPLPPKLGPSSPAHSGALDL DGVSRHQNAMGREKELLNNQRDGRFEGRLVPDGDVKQRSPKMRQRPPPRRDMTIPRGLNL PKPPIPPQVEEEYYTIAEFQTTIPDGISFQAGLKVEVIEKSLSGWWYIQMEDKEGWAPAT FIDKYKKTSSASRPNFLAPLPHEMTQLRLGDAAATENNTGPEAVGPSRPLPEAPHGAVDS GMLWSKDWKGGKEAPRKASSDLSASTGYEEISDPTQEEKPSLPPRKESIIKSEEELLERE RQKMEPLRGSSPKPPGMILPMIPAKHAPLARDSRKPEPKLDKSKFPLRNDMGLECGHKVL AKEVKKPNLRPISRSKAELSEEKVDPTSQNLFMKSRPQVRPKPTPSPKTEPAQSEDHVDI YNLRSKLRPAKSQEKALLDGESHHAAGSHDTALSRSFLPGEGPGHGQDRSGRQDGLSPKE TPCRAPPRPAKTTDPGPKNVPVPVQEATLQQRPVVPPRRPPPPKKTSSSPLSCRPLPEVR GAQREESRVAPAAGRALLVPPKAKPFLSNSSVGQDDMRGKGGLGPRVTGKVGETREKAAS FLNADGPKDSLYVAVANFEGDEDTSSFQEGTVFEVREKNSSGWWFCQVLSGAPSWEGWIP SNYLRKKP >ENSMUSP00000113091.1 pep:known chromosome:GRCm38:7:4237754:4243463:1 gene:ENSMUSG00000070873.5 transcript:ENSMUST00000117550.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lilra5 description:leukocyte immunoglobulin-like receptor, subfamily A (with TM domain), member 5 [Source:MGI Symbol;Acc:MGI:3647196] MTFVFTAVLCLGLNLGQETSMSEGNPHKPTLSVQPGLVVAKGKQVTISCEVTTGAREYRL YKEGGPHPWRTRNTPKTTNKAQFLIPSIEQRSGGIYRCYYKTPTGWSEHSDPLELAVTGL YSKPSLSTQPSNVVNSGETVTLQCVSTLGFNRFVLTKEGEQKWSLIQESEFINSTGQFQG LFTVGPVTPSQRWIFRCYGYHVNSPQVWSEPSDLLEIHVSEADQPLRPSPNISDPKTVSQ PQNYTMENLIRMGASILVLVLLGVLLFEAQHSQRQTQHAAGRESSASFMVADTWE >ENSMUSP00000108005.1 pep:known chromosome:GRCm38:1:131867224:131872739:1 gene:ENSMUSG00000026433.13 transcript:ENSMUST00000112386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab29 description:RAB29, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2385107] MGSRDHLFKVLVVGDAAVGKTSLVQRYSQDSFSKHYKSTVGVDFALKVLQWSDSEMVRLQ LWDIAGQERFTSMTRLYYRDASACVIMFDVTNATTFSNSQRWKQDLDSKLTLPSGEPVPC LLLANKSDLSPWAVSRDQIDQFSKENGFTGWTETSVKENKNINEAMRVLVEKMMNNSRED VMSLSTQGNYINLQAKPSSGWTCC >ENSMUSP00000027693.7 pep:known chromosome:GRCm38:1:131867240:131872887:1 gene:ENSMUSG00000026433.13 transcript:ENSMUST00000027693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab29 description:RAB29, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2385107] MGSRDHLFKVLVVGDAAVGKTSLVQRYSQDSFSKHYKSTVGVDFALKVLQWSDSEMVRLQ LWDIAGQERFTSMTRLYYRDASACVIMFDVTNATTFSNSQRWKQDLDSKLTLPSGEPVPC LLLANKSDLSPWAVSRDQIDQFSKENGFTGWTETSVKENKNINEAMRVLVEKMMNNSRED VMSLSTQGNYINLQAKPSSGWTCC >ENSMUSP00000137659.1 pep:known chromosome:GRCm38:1:131867511:131872502:1 gene:ENSMUSG00000026433.13 transcript:ENSMUST00000125925.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab29 description:RAB29, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2385107] MGSRDHLFKVLVVGDAAVGKTSLVQRYSQDSFSKHYKSTVGGSPVV >ENSMUSP00000135302.1 pep:known chromosome:GRCm38:7:16175090:16178793:1 gene:ENSMUSG00000041420.18 transcript:ENSMUST00000176342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis3 description:Meis homeobox 3 [Source:MGI Symbol;Acc:MGI:108519] MARRYDELRHYPGITEHTTALASFSEAAPSVPRAPGPYTPHRPPQLQAPGLDSDSLKREK DDIYGHPLFPLLALVFEKCELATCSPRDGASAGLGSPPGGDVCSSDSFNEDIAAFAKQMV QAIQVLRFHLLELE >ENSMUSP00000135388.1 pep:known chromosome:GRCm38:7:16175139:16178791:1 gene:ENSMUSG00000041420.18 transcript:ENSMUST00000177540.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis3 description:Meis homeobox 3 [Source:MGI Symbol;Acc:MGI:108519] MARRYDELRHYPGITEHTTALASFSEAAPSVPRAPGPYTPHRPPQLQAPGLDSDSLKREK DDIYGHPLFPLLALVFEKCELATCSPRDGASAGLGSPPGGDVCSSDSFNEDIAAFAKQIR SERPLFSSNPELDNLMVQAIQVLRFHLLEL >ENSMUSP00000134918.1 pep:known chromosome:GRCm38:7:16175275:16186504:1 gene:ENSMUSG00000041420.18 transcript:ENSMUST00000176506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis3 description:Meis homeobox 3 [Source:MGI Symbol;Acc:MGI:108519] MARRYDELRHYPGITEHTTALASFSEAAPSVPRAPGPYTPHRPPQLQAPGLDSDSLKREK DDIYGHPLFPLLALVFEKCELATCSPRDGASAGLGSPPGGDVCSSDSFNEDIAAFAKQIR SERPLFSSNPELDNLMVQAIQVLRFHLLELEKGKMPIDLVIEDRDGSCREDLEDYAASCP SLPDQNTTWIRDHEDSGSVHLGTPGPSSGGLASQSGDNSSDQGDGLDTSVASPSSAGEDE DLDLERRRNKKRGIFPKVATNIMRAWLFQHLSHPYPSEEQKKQLAQDTGLTILQVNNWFI NARRRIVQPMIDQSNRTGQGASFNPEGQPMAGFTETQPQVTVRTPGSMGMNLNLEGEWHY L >ENSMUSP00000135103.1 pep:known chromosome:GRCm38:7:16175430:16186504:1 gene:ENSMUSG00000041420.18 transcript:ENSMUST00000177156.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Meis3 description:Meis homeobox 3 [Source:MGI Symbol;Acc:MGI:108519] MARRYDELRHYPGITEHTTALASFSEAAPSVPRAPGPYTPHRPPQLQAPGLDSDSLKREK DDIYGHPLFPLLALVFEKCELATCSPRDGASAGLGSPPGGDVCSSDSFNEDIAAFAKQIR SERPLFSSNPELDNLMVQAIQVLRFHLLELEKVHDLCDNFCHRYITCLKGKMPIDLVIED RDGSCREDLEDYAASCPSLPDQNTTWIRDHEDSGSVHLGTPGPSSGGLASQSGDNSSDQG DGLDTSVASPSSAGEDEDLDLERRRNKKRGIFPKVATNIMRAWLFQHLSHPYPSEEQKKQ LAQDTGLTILQVY >ENSMUSP00000002495.10 pep:known chromosome:GRCm38:7:16175430:16186504:1 gene:ENSMUSG00000041420.18 transcript:ENSMUST00000002495.17 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis3 description:Meis homeobox 3 [Source:MGI Symbol;Acc:MGI:108519] MARRYDELRHYPGITEHTTALASFSEAAPSVPRAPGPYTPHRPPQLQAPGLDSDSLKREK DDIYGHPLFPLLALVFEKCELATCSPRDGASAGLGSPPGGDVCSSDSFNEDIAAFAKQIR SERPLFSSNPELDNLMVQAIQVLRFHLLELEKVHDLCDNFCHRYITCLKGKMPIDLVIED RDGSCREDLEDYAASCPSLPDQNTTWIRDHEDSGSVHLGTPGPSSGGLASQSGDNSSDQG DGLDTSVASPSSAGEDEDLDLERRRNKKRGIFPKVATNIMRAWLFQHLSHPYPSEEQKKQ LAQDTGLTILQVNNWFINARRRIVQPMIDQSNRTGQGASFNPEGQPMAGFTETQPQVTVR TPGSMGMNLNLEGEWHYL >ENSMUSP00000134855.1 pep:known chromosome:GRCm38:7:16179042:16185515:1 gene:ENSMUSG00000041420.18 transcript:ENSMUST00000176446.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Meis3 description:Meis homeobox 3 [Source:MGI Symbol;Acc:MGI:108519] VVAGRILRTTQPPAPASQTRILHGSETMRTVGLYIWGPQVHPAEAWPPRVGTTQVTKHQL DMSPPGPRRWAGHKRSLSQLRRRG >ENSMUSP00000050428.6 pep:known chromosome:GRCm38:7:6410277:6441691:1 gene:ENSMUSG00000045929.8 transcript:ENSMUST00000054781.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20715 description:predicted gene 20715 [Source:MGI Symbol;Acc:MGI:5313162] MQSLRTEQTQGLLPRDSRAWEKPCHSTFPKDWEAVEVGASSCDSDEKDLSSQETGLSQEW SSVEEDDESEDSQEPRAYCVSLEVGSIYLLHDGDV >ENSMUSP00000028341.4 pep:known chromosome:GRCm38:2:25272478:25285915:1 gene:ENSMUSG00000026965.12 transcript:ENSMUST00000028341.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc2 description:anaphase promoting complex subunit 2 [Source:MGI Symbol;Acc:MGI:2139135] MEAEGVAVAAAAAAAAAAATIIASDDCDSRPGQELLVAWNTVSTGLVPPAALGLASSRTS GAVPPKEEELRAAVEVLRGHGLHSVLEEWFVEVLQNDLQGNIATEFWNAIALRENSVDEP QCLGLLLDAFGLLESRLDPYLHSLELLEKWTRLGLLMGAGAQGLREKVHTMLRGVLFFST PRTFQEMVQRLYGRFLRVYMQSKRKGEGGTDPELEGELDSRYARRRYYRLLQSPLCAGCG SDKQQCWCRQALEQFNQLSQVLHRLSLLERVCAEAVTTTLHQVTRERMEDRCRGEYERSF LREFHKWIERVVGWLGKVFLQDNPTRPTSPEAGNTLRRWRCHVQRFFYRIYATLRIEELF SIIRDFPDSRPAIEDLKYCLERTDQRQQLLVSLKVALETRLLHPGVNTCDIITLYISAIK ALRVLDPSMVILEVACEPIRRYLRTREDTVRQIVAGLTGDSDGTGDLAVELSKTDPACLE TGQDSEDDSGEPEDWVPDPVDADPVKSSSKRRSSDIISLLVSIYGSKDLFINEYRSLLAD RLLHQFSFSPEREIRNVELLKLRFGEAPMHFCEVMLKDMADSRRINANIREEDEKRPVEE QPPFGVYAVILSSEFWPPFKDEKLEVPEDIRAALDVYCKKYEKLKAMRTLSWKHTLGLVT MDVELADRTLSVAVTPVQAVVLLYFQNQASWTLEELSKVVKMPVALLRRRMSVWLQQGVL REEPPGTFSVIEEERPQDRDNMVLIDSDDESDSGMASQADQKEEELLLFWAYIQAMLTNL ESLSLERIYSMLRMFVMTGPALAEIDLQELQGYLQKKVRDQQLIYSAGVYRLPKNSN >ENSMUSP00000115177.1 pep:known chromosome:GRCm38:2:25273478:25278405:1 gene:ENSMUSG00000026965.12 transcript:ENSMUST00000129300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc2 description:anaphase promoting complex subunit 2 [Source:MGI Symbol;Acc:MGI:2139135] QAAVLVPAGTGAVQPAEPGPWIERVVGWLGKVFLQDNPTRPTSPEAGNTLRRWRCHVQRF FYRIYATLRIEELFSIIRDFPDSRPAIEDLKYCLERTDQRQQLLVSLKVALETRLLHPGV NTCDIITLYISAIKALRVLDPSMVILEVACEPIRRYLRTREDTVRQIVAGLTGDSDGTGD LAVEL >ENSMUSP00000030069.6 pep:known chromosome:GRCm38:4:58965439:58987119:-1 gene:ENSMUSG00000028378.6 transcript:ENSMUST00000030069.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgr1 description:prostaglandin reductase 1 [Source:MGI Symbol;Acc:MGI:1914353] MVQAKSWTLKKHFEGFPTDGNFELKTTELPPLNNGEVLLEALFLSVDPYMRVAAKKLKEG DRMMGEQVARVVESKNSAFPKGTIVAALLGWTSHSISDGNGLTKLPVEWPDKLPLSLALG TVGMPGLTAYFGLLDICGVKGGETVMVSAAAGAVGSVVGQIAKLKGCKVVGTAGSDEKVA YLKKLGFDVAFNYKTVKSLEEALRTASPDGYDCYFDNVGGEFSNAVILQMKTFGRIAICG AISQYNRTGPCPQGPAPEVVIYQQLRMEGFIVNRWQGEVRQKALTELMNWVSEGKVQCHE YVTEGFEKMPAAFMGMLKGENLGKTIVKA >ENSMUSP00000085244.3 pep:known chromosome:GRCm38:1:43934007:44002971:1 gene:ENSMUSG00000041763.14 transcript:ENSMUST00000087933.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpp2 description:tripeptidyl peptidase II [Source:MGI Symbol;Acc:MGI:102724] MATAATEEPFPFHGLLPKKETGASSFLCRYPEYDGRGVLIAVLDTGVDPGAPGMQVTTDG KPKIIDIIDTTGSGDVNTATEVEPKDGEIIGLSGRVLKIPANWTNPLGKYHIGIKNGYDF YPKALKERIQKERKEKIWDPIHRVALAEACRKQEEFDIANNGSSQANKLIKEELQSQVEL LNSFEKKYSDPGPVYDCLVWHDGETWRACVDSNENGDLSKCAVLRNYKEAQEYSSFGTAE MLNYSVNIYDDGNLLSIVTSGGAHGTHVASIAAGHFPEEPERNGVAPGAQILSIKIGDTR LSTMETGTGLIRAMIEVINHKCDLVNYSYGEATHWPNSGRICEVINEAVWKHNTIYVSSA GNNGPCLSTVGCPGGTTSSVIGVGAYVSPDMMVAEYSLREKLPANQYTWSSRGPSADGAL GVSISAPGGAIASVPNWTLRGTQLMNGTSMSSPNACGGIALVLSGLKANNVDYTVHSVRR ALENTAIKADNIEVFAQGHGIIQVDKAYDYLIQNTSFANRLGFTVTVGNNRGIYLRDPVQ VAAPSDHGVGIEPVFPENTENSEKISFQLHLALTSNSSWVQCPSHLELMNQCRHINIRVD PRGLREGLHYTEVCGYDIASPNAGPLFRVPITAVIAAKVNESSHYDLAFTDVHFKPGQIR RHFVEVPEGATWAEVTVCSCSSEVSAKFVLHAVQLVKQRAYRSHEFYKFCSLPEKGTLIE AFPVLGGKAIEFCIARWWASLSDVNIDYTISFHGIVCTAPQLNIHASEGINRFDVQSSLK YEDLAPCITLKSWVQTLRPVNAKTRPLGSRDVLPNNRQLYEMVLTYSFHQPKSGEVTPSC PLLCELLYESEFDSQLWIIFDQNKRQMGSGDAYPHQYSLKLEKGDYTIRLQIRHEQISDL DRLKDLPFIVSHRLSNTLSLDIHENHSLALLGKKKSSSLTLPPKYNQPFFVTSLPDDKIP KGAGPGCYLAGSLTLSKTELGKKAGQSAAKRQGKFKKDVIPVHYYLIPPPTKIKNGSKDK EKDSEKEKDLKEEFTEALRDLKIQWMTKLDSTDIYNELKETYPAYLPLYVARLHQLDAEK ERMKRLNEIVDAANAVISHIDQTALAVYIAMKTDPRPDAATIKNDMDKQKSTLIDALCRK GCALADHLLHTQPHDGAAAGDAEAKEEEGESTMESLSETYWETTKWTDLFDTKVLIFAYK HALVNKMYGRGLKFATKLVEEKPTKENWKNCIQLMKLLGWTHCASFTENWLPIMYPPDYC VF >ENSMUSP00000139918.1 pep:known chromosome:GRCm38:1:43934015:44003000:1 gene:ENSMUSG00000041763.14 transcript:ENSMUST00000188313.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpp2 description:tripeptidyl peptidase II [Source:MGI Symbol;Acc:MGI:102724] MATAATEEPFPFHGLLPKKETGASSFLCRYPEYDGRGVLIAVLDTGVDPGAPGMQVTTDG KPKIIDIIDTTGSGDVNTATEVEPKDGEIIGLSGRVLKIPANWTNPLGKYHIGIKNGYDF YPKALKERIQKERKEKIWDPIHRVALAEACRKQEEFDIANNGSSQANKLIKEELQSQVEL LNSFEKKYSDPGPVYDCLVWHDGETWRACVDSNENGDLSKCAVLRNYKEAQEYSSFGTAE MLNYSVNIYDDGNLLSIVTSGGAHGTHVASIAAGHFPEEPERNGVAPGAQILSIKIGDTR LSTMETGTGLIRAMIEVINHKCDLVNYSYGEATHWPNSGRICEVINEAVWKHNTIYVSSA GNNGPCLSTVGCPGGTTSSVIGVGAYVSPDMMVAEYSLREKLPANQYTWSSRGPSADGAL GVSISAPGGAIASVPNWTLRGTQLMNGTSMSSPNACGGIALVLSGLKANNVDYTVHSVRR ALENTAIKADNIEVFAQGHGIIQVDKAYDYLIQNTSFANRLGFTVTVGNNRGIYLRDPVQ VAAPSDHGVGIEPVFPENTENSEKISFQLHLALTSNSSWVQCPSHLELMNQCRHINIRVD PRGLREGLHYTEVCGYDIASPNAGPLFRVPITAVIAAKVNESSHYDLAFTDVHFKPGQIR RHFVEVPEGATWAEVTVCSCSSEVSAKFVLHAVQLVKQRAYRSHEFYKFCSLPEKGTLIE AFPVLGGKAIEFCIARWWASLSDVNIDYTISFHGIVCTAPQLNIHASEGINRFDVQSSLK YEDLAPCITLKSWVQTLRPVNAKTRPLGSRDVLPNNRQLYEMVLTYSFHQPKSGEVTPSC PLLCELLYESEFDSQLWIIFDQNKRQMGSGDAYPHQYSLKLEKGDYTIRLQIRHEQISDL DRLKDLPFIVSHRLSNTLSLDIHENHSLALLGKKKSSSLTLPPKYNQPFFVTSLPDDKIP KGAGPGCYLAGSLTLSKTELGKKADVIPVHYYLIPPPTKIKNGSKDKEKDSEKEKDLKEE FTEALRDLKIQWMTKLDSTDIYNELKETYPAYLPLYVARLHQLDAEKERMKRLNEIVDAA NAVISHIDQTALAVYIAMKTDPRPDAATIKNDMDKQKSTLIDALCRKGCALADHLLHTQP HDGAAAGDAEAKEEEGESTMESLSETYWETTKWTDLFDTKVLIFAYKHALVNKMYGRGLK FATKLVEEKPTKENWKNCIQLMKLLGWTHCASFTENWLPIMYPPDYCVF >ENSMUSP00000140474.1 pep:known chromosome:GRCm38:1:43934033:43990603:1 gene:ENSMUSG00000041763.14 transcript:ENSMUST00000188302.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpp2 description:tripeptidyl peptidase II [Source:MGI Symbol;Acc:MGI:102724] MATAATEEPFPFHGLLPKKETGASSFLCRYPEYDGRGVLIAVLDTGVDPGAPGMQVTTDG KPKIIDIIDTTGSGDVNTATEVEPKDGEIIGLSGRVLKIPANWTNPLGKYHIGIKNGYDF YPKALKERIQKERKEKIWDPIHRVALAEACRKQEEFDIANNGSSQANKLIKEELQSQVEL LNSFEKKYSDPGPVYDCLVWHDGETWRACVDSNENGDLSKCAVLRNYKEAQEYSSFGTAE MLNYSVNIYDDGNLLSIVTSGGAHGTHVASIAAGHFPEEPERNGVAPGAQILSIKIGDTR LSTMETGTGLIRAMIEVINHKCDLVNYSYGEATHWPNSGRICEVINEAVWKHNTIYVSSA GNNGPCLSTVGCPGGTTSSVIGVGAYVSPDMMVAEYSLREKLPANQYTWSSRGPSADGAL GVSISAPGGAIASVPNWTLRGTQLMNGTSMSSPNACGGIALVLSGLKANNVDYTVHSVRR ALENTAIKADNIEVFAQGHGIIQVDKAYDYLIQNTSFANRLGFTVTVGNNRGIYLRDPVQ VAAPSDHGVGIEPVFPENTASFSFNFKFILGSVSQPFGTHESVSAHKHTCGPQGLKRRVT LYRGMWL >ENSMUSP00000140562.1 pep:known chromosome:GRCm38:1:43934045:43990584:1 gene:ENSMUSG00000041763.14 transcript:ENSMUST00000189388.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpp2 description:tripeptidyl peptidase II [Source:MGI Symbol;Acc:MGI:102724] MATAATEEPFPFHGLLPKKETGASSFLCRYPEYDGRGVLIAVLDTGVDPGAPGMQVTTDG KPKIIDIIDTTGSGDVNTATEVEPKDGEIIGLSGRVLKIPANWTNPLGKYHIGIKNGYDF YPKALKERIQKERKEKIWDPIHRVALAEACRKQEEFDIANNGSSQANKLIKEELQSQVEL LNSFEKKYSDPGPVYDCLVWHDGETWRACVDSNENGDLSKCAVLRNYKEAQEYSSFGTAE MLNYSVNIYDDGNLLSIVTSGGAHGTHVASIAAGHFPEEPERNGVAPGAQILSIKIGDTR LSTMETGTGLIRAMIEVINHKCDLVNYSYGEATHWPNSGRICEVINEAVWKHNTIYVSSA GNNGPCLSTVGCPGGTTSSVIGVGAYVSPDMMVAEYSLREKLPANQYTWSSRGPSADGAL GVSISAPGGAIASVPNWTLRGTQLMNGTSMSSPNACGGIALVLSGLKANNVDYTVHSVRR ALENTAIKADNIEVFAQGHGIIQVDKAYDYLIQNTSFANRLGFTVTVGNNRGIYLRDPVQ VAAPSDHGVGIEPVFPENTENSEKISFQLHLALTSNSSWVQCPSHLELMNQCRHINIRVD PRGLREGLHYTEVCGYDIASPNAGPLFRVPITAVIAAKVNESSHYDLAFTDVHFKPGQIR RHFVEVPEGATWAEVTVCSCSSEVSAKFVLHAVQLVKQRAYRSHEFYKFCSLPEKGTLIE AFPVLGGKAIEFCIARWWASLSDVNIDYTISFHGIVCTAPQLNIHASEGINRFDVQSSLK YEDLAPCITLKSWVQTLRPVNAKTRPLGSRDVLPNNRQLYEMVLTYSFHQPKSGEVTPSC PLLCELLYESEFDSQLWIIFDQNKRQMGSGDAYPHQERRNQAA >ENSMUSP00000139592.1 pep:known chromosome:GRCm38:1:43971370:43977352:1 gene:ENSMUSG00000041763.14 transcript:ENSMUST00000186441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpp2 description:tripeptidyl peptidase II [Source:MGI Symbol;Acc:MGI:102724] XFEHRLGSPLLNVDKAYDYLIQNTSFANRLGFTVTVGNNRGIYLRDPVQVAAPSDHGVGI EPVFPENTENSEKISFQLHLALTSNSSWVQCPSHLELMNQCRHINIRVDPRGLREGLHYT EVCGYDIASPNAGPLFRVPITAVIAAKVNESSHYDLAFTDVHFKPGQIRRHFVEVPEGAT WAEVTVCSCSSEVSAKFVLHAVQLVKQRAYRSHEFYKFCSLPEKGTLIEAF >ENSMUSP00000140313.1 pep:known chromosome:GRCm38:1:43983285:44003000:1 gene:ENSMUSG00000041763.14 transcript:ENSMUST00000190207.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpp2 description:tripeptidyl peptidase II [Source:MGI Symbol;Acc:MGI:102724] PFFVTSLPDDKIPKGAGPGCYLAGSLTLSKTELGKKAGQSAAKRQGKFKKDVIPVHYYLI PPPTKIKNGSKDKEKDSEKEKDLKEEFTEALRDLKIQWMTKLDSTDIYNELKETYPAYLP LYVARLHQLDAEKERMKRLNEIVDAANAVISHIDQTALAVYIAMKTDPRPDAATIKNDMD KQKSTLIDALCRKGCALADHLLHTQPHDGAAAGDAEAKEEEGESTMESLSETYWETTKWT DLFDTKVLIFAYKHALVNKMYGRGLKFATKLVEEKPTKENWKNCIQVSNYTVYYFHTV >ENSMUSP00000130202.1 pep:known chromosome:GRCm38:7:6398715:6429450:1 gene:ENSMUSG00000093536.7 transcript:ENSMUST00000161855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim17 description:small integral membrane protein 17 [Source:MGI Symbol;Acc:MGI:4365374] MQSLRTEQTQGLLPRDSRAWEKPCHSTFPKDWEAVEVGASSCDSDEKDLSSQETGLSQEW SSVEEDDESEDSQGFVEWSKAPQQTTIVLVVCVLFLFLVLTGMPMMLHI >ENSMUSP00000126877.1 pep:known chromosome:GRCm38:7:6415175:6432093:1 gene:ENSMUSG00000093536.7 transcript:ENSMUST00000160218.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim17 description:small integral membrane protein 17 [Source:MGI Symbol;Acc:MGI:4365374] MQSLRTEQTQGLLPRDSRAWEKPCHSTFPKDWEAVEVGASSCDSDEKDLSSQETGLSQEW SSVEEDDESEDSQGFVEWSKAPQQTTIVLVVCVLFLFLVLTGMPMMLHI >ENSMUSP00000088194.2 pep:known chromosome:GRCm38:2:89943184:89944113:-1 gene:ENSMUSG00000068806.4 transcript:ENSMUST00000090695.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1259 description:olfactory receptor 1259 [Source:MGI Symbol;Acc:MGI:3031093] MENKRNVTEFILIGLTQNPQMQKVVFVTFLVLYMTTISGNLLIVVTIINSQALNSPMYFF LSHLSLIDTIYTSSSAPKLIADSLQENKVISFNGCMAQVYAEHIFGATEIILLTVMAYDR YVAICKPLHYMTIMSHKLCILLVGVAWTGGFLHATIQILFTVWLPFCGPNIIDHFMCDLY PLLELVCMDTHILGLFVAANSGFICLFNFLLLMGSYVIILRSLKNYSLEGRRKALSTCVS HITVVVLFFIPCIFVYLRPVTTLPLDKGVAVFYTMVAPMLNPLIYTLRNAEVKNAIKKLW RKKVTSDSN >ENSMUSP00000061126.3 pep:known chromosome:GRCm38:4:115518264:115533649:1 gene:ENSMUSG00000066072.13 transcript:ENSMUST00000058785.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a10 description:cytochrome P450, family 4, subfamily a, polypeptide 10 [Source:MGI Symbol;Acc:MGI:88611] MSVSALSPTRFADSLSGFLQVASVLGLLLLLVKAVQFYLHRQWLLKAFQQFPSPPFHWFF GHEKFKGDQELQEIVSCIENFPSAFPRWFWGSKAYLTVYDPDYMKVILGRSDPKANGAYR LLAPWIGYGLLLLNGQPWFQHRRMLTPAFHYDILKPYVKNMADSIRLMLDKWERLADQDS SIEIFQHISLMTLDTVMKCAFSHKGSVQVDGNYRTYLQAIGDLNNLFHSRVRNIFHQNDT IYKLSSNGRLAKQACQLAHDHTDGVIKLRKDQLQDEGELEKIKKKRRLDFLDILLFARME NGDSMSDKDLRAEVDTFMFEGHDTTASGVSWIFYALATHPDHQQRCREEVQSLLGDGSSI TWDHLDQIPYTTMCIKEALRLYPPVPGIVRELSTSVTFPDGRSLPKGVQVTLSIYGLHHN PKVWPNPEVFDPSRFAPDSPRHSHSFLPFSGGARNCIGKQFAMSELKVIVALTLLRFELL PDPTRVPMPLARLVLKSKNGIYLHLKKLH >ENSMUSP00000092486.3 pep:known chromosome:GRCm38:4:115518288:115533646:1 gene:ENSMUSG00000066072.13 transcript:ENSMUST00000094886.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a10 description:cytochrome P450, family 4, subfamily a, polypeptide 10 [Source:MGI Symbol;Acc:MGI:88611] MSVSALSPTRFADSLSGFLQVASVLGLLLLLVKAVQFYLHRQWLLKAFQQFPSPPFHWFF GHEKFKGDQELQEIVSCIENFPSAFPRWFWGSKAYLTVYDPDYMKVILGRSDPKANGYGL LLLNGQPWFQHRRMLTPAFHYDILKPYVKNMADSIRLMLDKWERLADQDSSIEIFQHISL MTLDTVMKCAFSHKGSVQVDGNYRTYLQAIGDLNNLFHSRVRNIFHQNDTIYKLSSNGRL AKQACQLAHDHTDGVIKLRKDQLQDEGELEKIKKKRRLDFLDILLFARMENGDSMSDKDL RAEVDTFMFEGHDTTASGVSWIFYALATHPDHQQRCREEVQSLLGDGSSITWDHLDQIPY TTMCIKEALRLYPPVPGIVRELSTSVTFPDGRSLPKGVQVTLSIYGLHHNPKVWPNPEVF DPSRFAPDSPRHSHSFLPFSGGARNCIGKQFAMSELKVIVALTLLRFELLPDPTRVPMPL ARLVLKSKNGIYLHLKKLH >ENSMUSP00000101942.1 pep:known chromosome:GRCm38:7:126950563:126970606:1 gene:ENSMUSG00000030683.11 transcript:ENSMUST00000106335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6l2 description:seizure related 6 homolog like 2 [Source:MGI Symbol;Acc:MGI:2385295] MGTPKAQHPPPSQLLLLILLSCAWIEGLPLKEDEMMPEPGSETPTVASEDLAELLHGALL RKGPEIGFLPGSDPDPTLATPPAGQTLAAPSLPRATEPGTGPLTTAVTPKGVRGAGPTAP ELLTPPPGTTAPPPPGPASPVPPLRPEGGEEETTTTIITTTTVTTTVTSPVLCNNNISEG EGFVESPDLGSTASRSVELLDCTYSIHVYPGYGIEIQVQTLNLSQEEELLVLAGGGSPGL APRLLANSSMLGEGQVLRSPTNRLLLHFQSPRVPRGNGFRIHYQAYLLSCGFPPRPAHGD VSVTDLHPGGTATFHCDSGYQLQGEETLICLNGTRPAWTGEPPSCTASCGGTIHNATLGR IVSPEPGGAAGPNLTCRWVIEAAEGRRLHLHFERVSLDEDNDRLMVRSGGSPLSPVIYDS DMDDVPERGLISDAQSLYVELLSETPANPLLLSLRFEAFEEDRCFPPFLAHGNVTTTDPE FHPGALATFSCLPGYALEPPGPPNAIECVDPTEPHWNDTEPACKAMCGGELSEPAGVVLS PDWPQSYSPGQDCVWGLHVQEEKRILLQVEILNVREGDMLTLFDGDGPSARVLAQLRGPQ PRRRLLSSGPDLTLQFQAPPGPPNPGLGQGFVLHFKEVPRNDTCPELPPPEWGWRTASHG DLIRGTVLTYQCEPGYELLGSDILTCQWDLSWSAAPPACQKIMTCADPGEITNGHRTASD AGFPVGSHVQYRCLPGYSLEGAAVLTCYSRDTGTPKWSDRVPKCALKYEPCLNPGVPENG YQTLYKHHYQAGESLRFFCYEGFELIGEVTITCVPGHPSQWTSQPPLCKVTQTTDPSRQL EGGNLALAILLPLGLVIVLGIGVYIYYTKLQGKSLFGFSGSHSYSPITVESDFSNPLYEA GDTREYEVSI >ENSMUSP00000115905.2 pep:known chromosome:GRCm38:7:126950584:126958285:1 gene:ENSMUSG00000030683.11 transcript:ENSMUST00000146017.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6l2 description:seizure related 6 homolog like 2 [Source:MGI Symbol;Acc:MGI:2385295] MGTPKAQHPPPSQLLLLILLSCAWIEGSDPDPTLATPPAGQTLAAPSLPRATEPGTGPLT TAVTPKGVRGAGPTAPELLTPPPGTTAPPPPGPASPVPPLRPEGGEEETTTTIITTTTVT TTVTSPVLCNNNISEGEGFVESPDLGSTASRSVELLDCTYSIHVYPGYGIEIQVQTLNLS QEEELLVLAGGGSPGLAPRLLANSSMLGEGQVLRSPTNRLLLHFQSPRVPRGNGFRIHYQ AYLLSC >ENSMUSP00000101940.1 pep:known chromosome:GRCm38:7:126950729:126970596:1 gene:ENSMUSG00000030683.11 transcript:ENSMUST00000106333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6l2 description:seizure related 6 homolog like 2 [Source:MGI Symbol;Acc:MGI:2385295] MGTPKAQHPPPSQLLLLILLSCAWIEGLPLKEDEMMPEPGSETPTVASEDLAELLHGALL RKGPEIGFLPGSDPDPTLATPPAGQTLAAPSLPRATEPGTGPLTTAVTPKGVRGAGPTAP ELLTPPPGTTAPPPPGPASPVPPLRPEGGEEETTTTIITTTTVTTTVTSPVLCNNNISEG EGFVESPDLGSTASRSVELLDCTYSIHVYPGYGIEIQVQTLNLSQEEELLVLAGGGSPGL APRLLANSSMLGEGQVLRSPTNRLLLHFQSPRVPRGNGFRIHYQAYLLSCGFPPRPAHGD VSVTDLHPGGTATFHCDSGYQLQGEETLICLNGTRPAWTGEPPSCTASCGGTIHNATLGR IVSPEPGGAAGPNLTCRWVIEAAEGRRLHLHFERVSLDEDNDRLMVRSGGSPLSPVIYDS DMDDVPERGLISDAQSLYVELLSETPANPLLLSLRFEAFEEDRCFPPFLAHGNVTTTDPE FHPGALATFSCLPGYALEPPGPPNAIECVDPTEPHWNDTEPACKAMCGGELSEPAGVVLS PDWPQSYSPGQDCVWGLHVQEEKRILLQVEILNVREGDMLTLFDGDGPSARVLAQLRGPQ PRRRLLSSGPDLTLQFQAPPGPPNPGLGQGFVLHFKEVPRNDTCPELPPPEWGWRTASHG DLIRGTVLTYQCEPGYELLGSDILTCQWDLSWSAAPPACQKIMTCADPGEITNGHRTASD AGFPVGSHVQYRCLPGYSLEGAAVLTCYSRDTGTPKWSDRVPKCALKYEPCLNPGVPENG YQTLYKHHYQAGESLRFFCYEGFELIGEVTITCVPGHPSQWTSQPPLCKVAYEELLDNRK LEVTQTTDPSRQLEGGNLALAILLPLGLVIVLGIGVYIYYTKLQGKSLFGFSGSHSYSPI TVESDFSNPLYEAGDTREYEVSI >ENSMUSP00000101939.1 pep:known chromosome:GRCm38:7:126950966:126970270:1 gene:ENSMUSG00000030683.11 transcript:ENSMUST00000106332.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6l2 description:seizure related 6 homolog like 2 [Source:MGI Symbol;Acc:MGI:2385295] MGTPKAQHPPPSQLLLLILLSCAWIEGLPLKEDEMMPEPGSETPTVASEDLAELLHGALL RKGPEIGFLPAPPPPGPASPVPPLRPEGGEEETTTTIITTTTVTTTVTSPVLCNNNISEG EGFVESPDLGSTASRSVELLDCTYSIHVYPGYGIEIQVQTLNLSQEEELLVLAGGGSPGL APRLLANSSMLGEGQVLRSPTNRLLLHFQSPRVPRGNGFRIHYQAYLLSCGFPPRPAHGD VSVTDLHPGGTATFHCDSGYQLQGEETLICLNGTRPAWTGEPPSCTASCGGTIHNATLGR IVSPEPGGAAGPNLTCRWVIEAAEGRRLHLHFERVSLDEDNDRLMVRSGGSPLSPVIYDS DMDDVPERGLISDAQSLYVELLSETPANPLLLSLRFEAFEEDRCFPPFLAHGNVTTTDPE FHPGALATFSCLPGYALEPPGPPNAIECVDPTEPHWNDTEPACKAMCGGELSEPAGVVLS PDWPQSYSPGQDCVWGLHVQEEKRILLQVEILNVREGDMLTLFDGDGPSARVLAQLRGPQ PRRRLLSSGPDLTLQFQAPPGPPNPGLGQGFVLHFKEVPRNDTCPELPPPEWGWRTASHG DLIRGTVLTYQCEPGYELLGSDILTCQWDLSWSAAPPACQKIMTCADPGEITNGHRTASD AGFPVGSHVQYRCLPGYSLEGAAVLTCYSRDTGTPKWSDRVPKCALKYEPCLNPGVPENG YQTLYKHHYQAGESLRFFCYEGFELIGEVTITCVPGHPSQWTSQPPLCKVAYEELLDNRK LEVTQTTDPSRQLEGGNLALAILLPLGLVIVLGIGVYIYYTKLQGKSLFGFSGSHSYSPI TVESDFSNPLYEAGDTREYEVSI >ENSMUSP00000145667.1 pep:known chromosome:GRCm38:7:126953867:126959451:1 gene:ENSMUSG00000030683.11 transcript:ENSMUST00000125669.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6l2 description:seizure related 6 homolog like 2 [Source:MGI Symbol;Acc:MGI:2385295] XQSPRVPRGNGFRIHYQAYLLSCGFPPRPAHGDVSVTDLHPGGTATFHCDSGYQLQGEET LICLNGTRPAWTGEPPSCTASCGGTIHNATLGRIVSPEPGGAAGPNLTCRWVIEAAEGRR LHLHFERVSLDEDNDR >ENSMUSP00000146086.1 pep:known chromosome:GRCm38:7:126967969:126970604:1 gene:ENSMUSG00000030683.11 transcript:ENSMUST00000155138.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sez6l2 description:seizure related 6 homolog like 2 [Source:MGI Symbol;Acc:MGI:2385295] XLALAILLPLGLVIVLGIGVYIYYTKLQGKSLFGFSGSHSYSPITVESDFSNPLYEAGDT REYEVSI >ENSMUSP00000044416.5 pep:known chromosome:GRCm38:6:113282307:113305569:1 gene:ENSMUSG00000030270.11 transcript:ENSMUST00000041203.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne9 description:copine family member IX [Source:MGI Symbol;Acc:MGI:2443052] MSLSGASERSVPATKIEITVSCRNLLDLDTFSKSDPMVVLHTQSRASQEWREFGRTEVID NTLNPDFVRKFVLDYFFEEKQNLRFDVYNVDSKANISKPKDFLGQAFLALGEVIGGQGSR VERPLTGVPGKKCGTILLTAEELSNCRDIATMQLCANKLDKKDFFGKSDPFLVFYRSNED GTFTICHKTEVVKNTLNPVWQPFSIPVRALCNGDYDRTVKIDVYDWDRDGSHDFIGEFTT SYRELSKAQNQFTVYEVLNPRKKCKKKKYTNSGTVTLLSFSVDSEFTFVDYIKGGTQLNF TVAIDFTASNGNPLQPTSLHYMSPYQLSAYAMALKAVGEIIQDYDSDKLFPAYGFGAKLP PEGRISHQFPLNNNDEDPNCAGIEGVLESYFQSLRTVQLYGPTYFAPVINQVARAAAKIS DGSQYYVLLIITDGVISDMTQTKEAIVSASSLPMSIIIVGVGPAMFEAMEELDGDDVRVS SRGRYAERDIVQFVPFRDYVDRSGNQVLSMARLAKDVLAEIPEQLLSYMRTRDIQPRPPP PASPNPTPAPEQP >ENSMUSP00000138786.1 pep:known chromosome:GRCm38:6:113282310:113304824:1 gene:ENSMUSG00000030270.11 transcript:ENSMUST00000130191.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpne9 description:copine family member IX [Source:MGI Symbol;Acc:MGI:2443052] MSLSGASERSVPATKIEITVSCRCPVSSGLCQGGERQNELALCPSV >ENSMUSP00000084252.6 pep:known chromosome:GRCm38:17:46243920:46248054:-1 gene:ENSMUSG00000067148.15 transcript:ENSMUST00000087026.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1c description:polymerase (RNA) I polypeptide C [Source:MGI Symbol;Acc:MGI:103288] MAAAQAVEEMRTRVVLGEFGVRNVHTTDFPGNYAGYDDAWDQNRFEKNFRVDVVQMDEDT LEFDMVGIDAAIANAFRRILLAEVPTMAVEKVLVYNNTSIVQDEILAHRLGLIPILADPR LFEYRNQGEEEGTEIDTLQFRLQVRCTRNPNAAKDSSDPNELYVNHKVYTRHMTWVPLGN QADVFPEGTIRPVHDDILIAQLRPGQEIDLMMHCVKGIGKDHAKFSPVATASYRLLPAIT LLEPVEGEAAEELSQCFSPGVIEVEEVQGKKVARVANARLDTFSREIFRHEKLKKAVRLA RVRDHYIFSVESTGVLPPDVLVSEAIKILMGKCRRFLDELDAVEMD >ENSMUSP00000122026.1 pep:known chromosome:GRCm38:17:46244101:46246573:-1 gene:ENSMUSG00000067148.15 transcript:ENSMUST00000124655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1c description:polymerase (RNA) I polypeptide C [Source:MGI Symbol;Acc:MGI:103288] MAVEKVLVYNNTSIVQDEILAHRLGLIPILADPRLFEYRNQGEEEGTEIDTLQFRLQVRC TRNPNAAKDSSDPNELYVNHKVYTRHMTWVPLGNQADVFPEGTIRPVHDDILIAQLRPGQ EIDLMMHCVKGIGKDHAKFSPVATASYRLLPAITLLEPVEGEAAEELSQCFSPGVIEVEE VQGKKVARVANARLDTFSREIFRHEKLKKAVRLARVRDHYIFSVESTGVLPPDVLVSEAI KILMGKCRRFLDELDAVEMD >ENSMUSP00000116998.2 pep:known chromosome:GRCm38:17:46244613:46247968:-1 gene:ENSMUSG00000067148.15 transcript:ENSMUST00000142706.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1c description:polymerase (RNA) I polypeptide C [Source:MGI Symbol;Acc:MGI:103288] MAAAQAVEEMRTRVVLGEFGVRNVHTTDFPGNYAGYDDAWDQNRFEKNFRVDVVQMDEDT LEFDMVGIDAAIANAFRRILLAEAGGWVEVSCLLCLLGQVPTMAVEKVLVYNNTSIVQDE ILAHRLGLIPILADPRLFEYRNQGEEEGTEIDTLQFRLQVRCTRNPNAAKDSSDPNELYV NHKVYTRHMTWVPLGNQADVFPEGTIRPVHDDILIAQLRPGQEIDLMMHCVKGIGKDHAK FSPVATASYRLLPAI >ENSMUSP00000133861.1 pep:known chromosome:GRCm38:17:46244835:46247968:-1 gene:ENSMUSG00000067148.15 transcript:ENSMUST00000173349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1c description:polymerase (RNA) I polypeptide C [Source:MGI Symbol;Acc:MGI:103288] MAAAQAVEEMRTRVVLGEFGVRNVHTTDFPGNYAGYDDAWDQNRFEKVPTMAVEKVLVYN NTSIVQDEILAHRLGLIPILADPRLFEYRNQGEEEGTEIDTLQFRLQVRCTRNPNAAKDS SDPNELYVNHKVYTRHMTWVPLGNQADVFPEGTIRPVHDDILIAQLRPGQ >ENSMUSP00000133597.1 pep:known chromosome:GRCm38:17:46244933:46247968:-1 gene:ENSMUSG00000067148.15 transcript:ENSMUST00000173232.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polr1c description:polymerase (RNA) I polypeptide C [Source:MGI Symbol;Acc:MGI:103288] MAAAQAVEEMRTRVVLGEFGVRNVHTTDFPGNYAGYDDAWDQNRFEKNFRVDVVQMDEDT LEFDMVGIDAAIANAFRRILLAEVPTMAVEKVLVYNNTSIEQR >ENSMUSP00000026119.7 pep:known chromosome:GRCm38:11:120530699:120538984:1 gene:ENSMUSG00000025127.15 transcript:ENSMUST00000026119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcgr description:glucagon receptor [Source:MGI Symbol;Acc:MGI:99572] MPLTQLHCPHLLLLLLVLSCLPEAPSAQVMDFLFEKWKLYSDQCHHNLSLLPPPTELVCN RTFDKYSCWPDTPPNTTANISCPWYLPWYHKVQHRLVFKRCGPDGQWVRGPRGQPWRNAS QCQLDDEEIEVQKGVAKMYSSQQVMYTVGYSLSLGALLLALVILLGLRKLHCTRNYIHGN LFASFVLKAGSVLVIDWLLKTRYSQKIGDDLSVSVWLSDGAMAGCRVATVIMQYGIIANY CWLLVEGVYLYSLLSLATFSERSFFSLYLGIGWGAPLLFVIPWVVVKCLFENVQCWTSND NMGFWWILRIPVFLALLINFFIFVHIIHLLVAKLRAHQMHYADYKFRLARSTLTLIPLLG VHEVVFAFVTDEHAQGTLRSTKLFFDLFLSSFQGLLVAVLYCFLNKEVQAELMRRWRQWQ EGKALQEERLASSHGSHMAPAGPCHGDPCEKLQLMSAGSSSGTGCVPSMETSLASSLPRL ADSPT >ENSMUSP00000122537.1 pep:known chromosome:GRCm38:4:41505009:41511467:-1 gene:ENSMUSG00000028441.12 transcript:ENSMUST00000125303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110017D15Rik description:RIKEN cDNA 1110017D15 gene [Source:MGI Symbol;Acc:MGI:1920971] XLPEKYWLSPDEEDKCCPSYLDNDRYNTWKTSPCSNYWNKYTGCLPRLSKDTGMESVRGM PLEYPPKQERLNAYEREVVVNMLNSLSRNRTLPQIVPRCGCVDPLPGRLPYQGYESPCSG RHYCLRGMDYCTTREPSTERRLRPLCSQQPTVRSVSPFGHRPGMQCAVTTPPPSFYPYPN LRWDTSHFKKTGGSQRNNYVVHPEFVSETCPVYPS >ENSMUSP00000030152.6 pep:known chromosome:GRCm38:4:41505009:41517333:-1 gene:ENSMUSG00000028441.12 transcript:ENSMUST00000030152.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110017D15Rik description:RIKEN cDNA 1110017D15 gene [Source:MGI Symbol;Acc:MGI:1920971] MFLFSRKTKTPISTYSDSYRAPTSIKEVYKDPPLWAWEANKFVTPGLTQTMHRHVDPEAL QKMTKCAAQDYTYKSSISGHPYLPEKYWLSPDEEDKCCPSYLDNDRYNTWKTSPCSNYWN KYTGCLPRLSKDTGMESVRGMPLEYPPKQERLNAYEREVVVNMLNSLSRNRTLPQIVPRC GCVDPLPGRLPYQGYESPCSGRHYCLRGMDYCTTREPSTERRLRPLCSQQPTECVALRSP ARNAMCCYNSPAIILPVSQP >ENSMUSP00000092744.4 pep:known chromosome:GRCm38:4:41505009:41517333:-1 gene:ENSMUSG00000028441.12 transcript:ENSMUST00000095126.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110017D15Rik description:RIKEN cDNA 1110017D15 gene [Source:MGI Symbol;Acc:MGI:1920971] MFLFSRKTKTPISTYSDSYRAPTSIKEVYKDPPLWAWEANKFVTPGLTQTMHRHVDPEAL QKMTKCAAQDYTYKSSISGHPYLPEKYWLSPDEEDKCCPSYLDNDRYNTWKTSPCSNYWN KYTGCLPRLSKDTGMESVRGMPLEYPPKQERLNAYGVCRPSVTGQECNVLLQLPRHHFTR IPTLDGTQVTSRRLVVPRETTM >ENSMUSP00000142789.1 pep:known chromosome:GRCm38:5:20895591:20956398:-1 gene:ENSMUSG00000039968.9 transcript:ENSMUST00000196780.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsbn1l description:round spermatid basic protein 1-like [Source:MGI Symbol;Acc:MGI:3036237] MAEPPNPARGAVALLSEKEASGKVQPSSREPPGSMSAKKVRTEEKKAPRRVNGEGGSGGN GGQLQPQSFGSPAAWSFAARPAASSSSTSRSCYSFSASPSVSSASASSSQPLPRKLLVTP SLLHAQPHRVLLPPVAPPAAAKPRRPKEKREKEKRRQHGLGAAARDENGEAKPLPRDKIK DKIKEKDKEREKKKHKVMSEIKKENGDVKILLKSGKEKPKTNIEDLQIKKVKKKKKKKHK ENEKRKRPKMYSKSIQTICSGLLSAEDQEAKSILSDNVKDCIGKNLDTKNCDSKIPDNSD FPFVSLKEPRDKNNLRRLDTLAFRQLIHIEHQPNGGAAVIHAYSNELSCLSPMEMQRFAE EFVGLVFSENESSAAFYVMGIVHGAATYLPDFLDYFSYNFPNSPVKMEILGKKDIETTTM SNFHAQVKRTYSHGTYRAGPMRQISLVGAVDEEVGDYFPEFLDMLEASPFLKCTLPWGTL SSLKLESRKDSDDGPIMWVRPGEQMIPVADMPKSPFKRKRTTNEIKNLQYLPRTSEPREM LFEDRTRAHADHIGQGFERQTTAAVGVLKAVHCGQRPDQPRVTKDVICFHAEDFLEVVQR MQLDLHEPPLSQVKLK >ENSMUSP00000142461.1 pep:known chromosome:GRCm38:5:20920142:20945504:-1 gene:ENSMUSG00000039968.9 transcript:ENSMUST00000197089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsbn1l description:round spermatid basic protein 1-like [Source:MGI Symbol;Acc:MGI:3036237] MTFYSPLIFDQALDPVSWKERLSEPLRDKIKDKIKEKDKEREKKKHKVMSEIKKENGDVK ILLKSGKEKPK >ENSMUSP00000039482.5 pep:known chromosome:GRCm38:5:20893028:20951822:-1 gene:ENSMUSG00000039968.9 transcript:ENSMUST00000036489.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsbn1l description:round spermatid basic protein 1-like [Source:MGI Symbol;Acc:MGI:3036237] MAEPPNPARGAVALLSEKEASGKVQPSSREPPGSMSAKKVRTEEKKAPRRVNGEGGSGGN GGQLQPQSFGSPAAWSFAARPAASSSSTSRSCYSFSASPSVSSASASSSQPLPRKLLVTP SLLHAQPHRVLLPPVAPPAAAKPRRPKEKREKEKRRQHGLGAAARDENGEAKPLPRDKIK DKIKEKDKEREKKKHKVMSEIKKENGDVKILLKSGKEKPKTNIEDLQIKKVKKKKKKKHK ENEKRKRPKMYSKSIQTICSGLLSAEDQEAKSILSDNVKDCIGKNLDTKNCDSKIPDNSD FPFVSLKEPRDKNNLRRLDTLAFRQLIHIEHQPNGGAAVIHAYSNELSCLSPMEMQRFAE EFVGLVFSENESSAAFYVMGIVHGAATYLPDFLDYFSYNFPNSPVKMEILGKKDIETTTM SNFHAQVKRTYSHGTYRAGPMRQISLVGAVDEEVGDYFPEFLDMLEASPFLKCTLPWGTL SSLKLESRKDSDDGPIMWVRPGEQMIPVADMPKSPFKRKRTTNEIKNLQYLPRTSEPREM LFEDRTRAHADHIGQGFERQTTAAVGVLKAVHCGQRPDQPRVTKDVICFHAEDFLEVVQR MQLDLHEPPLSQCVQWVDDAKLNQLRREGIRYARIQLYDNDIYFIPRNVVHQFKTVSAVC SLAWHVRLKFYHAEEDTCHSTSESTHEKGIASGPTSSVLGAHTENQASSDSVLSDKLPSK YESQQIKHEPGAALRIKEEPGMAPIPEKIRTPNNTEGKNSKARLDRAQFSDFHIDMDSAF ENTNKDLKEDSCPGSVSNTVDTQQHSSVRSSQDRSEDDSLC >ENSMUSP00000110662.2 pep:known chromosome:GRCm38:2:4976151:4985740:1 gene:ENSMUSG00000026668.10 transcript:ENSMUST00000115010.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucma description:upper zone of growth plate and cartilage matrix associated [Source:MGI Symbol;Acc:MGI:1915777] MSWRRVILLSSLLALVLLCMLQEGTSASVGSRQAAAEGVQEGVKQKIFMQESDASNFLKR RGKRSPKSRDEVNAENRQRLRDDELRREYYEEQRNEFENFVEEQRDEQEERTREAVEQWR QWHYDGLYPSYLYNRQNI >ENSMUSP00000027978.1 pep:known chromosome:GRCm38:2:4976181:4985745:1 gene:ENSMUSG00000026668.10 transcript:ENSMUST00000027978.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucma description:upper zone of growth plate and cartilage matrix associated [Source:MGI Symbol;Acc:MGI:1915777] MSWRRVILLSSLLALVLLCSVKQKIFMQESDASNFLKRRGKRSPKSRDEVNAENRQRLRD DELRREYYEEQRNEFENFVEEQRDEQEERTREAVEQWRQWHYDGLYPSYLYNRQNI >ENSMUSP00000141304.1 pep:known chromosome:GRCm38:2:4976184:4985645:1 gene:ENSMUSG00000026668.10 transcript:ENSMUST00000195688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucma description:upper zone of growth plate and cartilage matrix associated [Source:MGI Symbol;Acc:MGI:1915777] MSWRRVILLSSLLALVLLCSVKQKIFMQESDASNFLKRRGKRSPKSRDEVNEQEERTREA VEQWRQWHYDGLYPSYLYNRQNI >ENSMUSP00000126371.1 pep:known chromosome:GRCm38:2:4976122:4985748:1 gene:ENSMUSG00000026668.10 transcript:ENSMUST00000167607.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucma description:upper zone of growth plate and cartilage matrix associated [Source:MGI Symbol;Acc:MGI:1915777] MSWRRVILLSSLLALVLLCMLQEGTSASVGSRQAAAEGVQEGVKQKIFMQESDASNFLKR RGKRSPKSRDEVNEQEERTREAVEQWRQWHYDGLYPSYLYNRQNI >ENSMUSP00000130328.1 pep:known chromosome:GRCm38:7:6439836:6440896:1 gene:ENSMUSG00000090824.1 transcript:ENSMUST00000168341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1344 description:olfactory receptor 1344 [Source:MGI Symbol;Acc:MGI:3031178] MGPGTLNDSGTTEFLLLGLWAPPSLRPLLWASLLLAYLTTVLGNGALVGLIALDRRLHRP MYRLLTHLALLDTAYVSTTLPQALAHMTMRSARLSLVRCGTQLYVGISLGSCEAILLAAM ALDRCLAVCRPLHYATLVTAPRCAALAGASWTLGFALSVPNAVAALRLPFCPGRAAVDHF FCELPAVLRTACADTTANYRLVYGLGVPILLVPLVLILASYTWILAAVRKLPSAGSRHKA LSTCSSHLAVVGLFYGTVSAMYLRPKASSDLPARHHKLVAVFYLVVTPVLNPLIYSLRNR EVHMAARYALARLRGTRIVLH >ENSMUSP00000101190.2 pep:known chromosome:GRCm38:10:10688588:11082305:-1 gene:ENSMUSG00000019828.13 transcript:ENSMUST00000105561.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm1 description:glutamate receptor, metabotropic 1 [Source:MGI Symbol;Acc:MGI:1351338] MVRLLLIFFPMIFLEMSILPRMPDRKVLLAGASSQRSVARMDGDVIIGALFSVHHQPPAE KVPERKCGEIREQYGIQRVEAMFHTLDKINADPVLLPNITLGSEIRDSCWHSSVALEQSI EFIRDSLISIRDEKDGLNRCLPDGQTLPPGRTKKPIAGVIGPGSSSVAIQVQNLLQLFDI PQIAYSATSIDLSDKTLYKYFLRVVPSDTLQARAMLDIVKRYNWTYVSAVHTEGNYGESG MDAFKELAAQEGLCIAHSDKIYSNAGEKSFDRLLRKLRERLPKARVVVCFCEGMTVRGLL SAMRRLGVVGEFSLIGSDGWADRDEVIEGYEVEANGGITIKLQSPEVRSFDDYFLKLRLD TNTRNPWFPEFWQHRFQCRLPGHLLENPNFKKVCTGNESLEENYVQDSKMGFVINAIYAM AHGLQNMHHALCPGYVGLCDAMKPIDGRKLLDFLIKSSFVGVSGEEVWFDEKGDAPGRYD IMNLQYTEANRYDYVHVGTWHEGVLNIDDYKIQMNKSGMVRSVCSEPCLKGQIKVIRKGE VSCCWICTACKENEFVQDEFTCRACDLGWWPNAELTGCEPITIRYLEWSDIESIIAIAFS CLGILVTLFVTLIFVLYRDTPVVKSSSRELCYIILAGIFLGYVCPFTLIAKPTTTSCYLQ RLLVGLSSAMCYSALVTKTNRIARILAGSKKKICTRKPRFMSAWAQVIIASILISVQLTL VVTLIIMEPPMPILSYPSIKEVYLICNTSNLGVVAPVGYNGLLIMSCTYYAFKTRNVPAN FNEAKYIAFTMYTTCIIWLAFVPIYFGSNYKIITTCFAVSLSVTVALGCMFTPKMYIIIA KPERNVRSAFTTSDVVRMHVGDGKLPCRSNTFLNIFRRKKPGAGNAKKRQPEFSPSSQCP SAHVQL >ENSMUSP00000037255.6 pep:known chromosome:GRCm38:10:10686059:11082356:-1 gene:ENSMUSG00000019828.13 transcript:ENSMUST00000044306.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm1 description:glutamate receptor, metabotropic 1 [Source:MGI Symbol;Acc:MGI:1351338] MVRLLLIFFPMIFLEMSILPRMPDRKVLLAGASSQRSVARMDGDVIIGALFSVHHQPPAE KVPERKCGEIREQYGIQRVEAMFHTLDKINADPVLLPNITLGSEIRDSCWHSSVALEQSI EFIRDSLISIRDEKDGLNRCLPDGQTLPPGRTKKPIAGVIGPGSSSVAIQVQNLLQLFDI PQIAYSATSIDLSDKTLYKYFLRVVPSDTLQARAMLDIVKRYNWTYVSAVHTEGNYGESG MDAFKELAAQEGLCIAHSDKIYSNAGEKSFDRLLRKLRERLPKARVVVCFCEGMTVRGLL SAMRRLGVVGEFSLIGSDGWADRDEVIEGYEVEANGGITIKLQSPEVRSFDDYFLKLRLD TNTRNPWFPEFWQHRFQCRLPGHLLENPNFKKVCTGNESLEENYVQDSKMGFVINAIYAM AHGLQNMHHALCPGYVGLCDAMKPIDGRKLLDFLIKSSFVGVSGEEVWFDEKGDAPGRYD IMNLQYTEANRYDYVHVGTWHEGVLNIDDYKIQMNKSGMVRSVCSEPCLKGQIKVIRKGE VSCCWICTACKENEFVQDEFTCRACDLGWWPNAELTGCEPITIRYLEWSDIESIIAIAFS CLGILVTLFVTLIFVLYRDTPVVKSSSRELCYIILAGIFLGYVCPFTLIAKPTTTSCYLQ RLLVGLSSAMCYSALVTKTNRIARILAGSKKKICTRKPRFMSAWAQVIIASILISVQLTL VVTLIIMEPPMPILSYPSIKEVYLICNTSNLGVVAPVGYNGLLIMSCTYYAFKTRNVPAN FNEAKYIAFTMYTTCIIWLAFVPIYFGSNYKIITTCFAVSLSVTVALGCMFTPKMYIIIA KPERNVRSAFTTSDVVRMHVGDGKLPCRSNTFLNIFRRKKPGAGNANSNGKSVSWSEPGG RQAPKGQHVWQRLSVHVKTNETACNQTAVIKPLTKSYQGSGKSLTFSDASTKTLYNVEEE DNTPSTHFSPPSSPSMVVHRRGPPVATTPPLPPHLSAEETPLFLADSVIPKGLPPPLPQQ QQQPPPQPPPQQPKSLMDQLQGVVTNFGSGIPDFHAVLAGPGTPGNGLRSLYPPPPPPQH LQMLPLQLSTFREEPISPPGEDDDDDSSERFKLLQEFVYEREGNTEEDDLEEEEDLPAAS KLTPEDSPALTPPSPFRDSVASGSSVPSSPVSESVLCTPPNVTYASVILRDYKQSSSTL >ENSMUSP00000101189.1 pep:known chromosome:GRCm38:10:10688801:11080956:-1 gene:ENSMUSG00000019828.13 transcript:ENSMUST00000105560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm1 description:glutamate receptor, metabotropic 1 [Source:MGI Symbol;Acc:MGI:1351338] MVRLLLIFFPMIFLEMSILPRMPDRKVLLAGASSQRSVARMDGDVIIGALFSVHHQPPAE KVPERKCGEIREQYGIQRVEAMFHTLDKINADPVLLPNITLGSEIRDSCWHSSVALEQSI EFIRDSLISIRDEKDGLNRCLPDGQTLPPGRTKKPIAGVIGPGSSSVAIQVQNLLQLFDI PQIAYSATSIDLSDKTLYKYFLRVVPSDTLQARAMLDIVKRYNWTYVSAVHTEGNYGESG MDAFKELAAQEGLCIAHSDKIYSNAGEKSFDRLLRKLRERLPKARVVVCFCEGMTVRGLL SAMRRLGVVGEFSLIGSDGWADRDEVIEGYEVEANGGITIKLQSPEVRSFDDYFLKLRLD TNTRNPWFPEFWQHRFQCRLPGHLLENPNFKKVCTGNESLEENYVQDSKMGFVINAIYAM AHGLQNMHHALCPGYVGLCDAMKPIDGRKLLDFLIKSSFVGVSGEEVWFDEKGDAPGRYD IMNLQYTEANRYDYVHVGTWHEGVLNIDDYKIQMNKSGMVRSVCSEPCLKGQIKVIRKGE VSCCWICTACKENEFVQDEFTCRACDLGWWPNAELTGCEPITIRYLEWSDIESIIAIAFS CLGILVTLFVTLIFVLYRDTPVVKSSSRELCYIILAGIFLGYVCPFTLIAKPTTTSCYLQ RLLVGLSSAMCYSALVTKTNRIARILAGSKKKICTRKPRFMSAWAQVIIASILISVQLTL VVTLIIMEPPMPILSYPSIKEVYLICNTSNLGVVAPVGYNGLLIMSCTYYAFKTRNVPAN FNEAKYIAFTMYTTCIIWLAFVPIYFGSNYKIITTCFAVSLSVTVALGCMFTPKMYIIIA KPERNVRSAFTTSDVVRMHVGDGKLPCRSNTFLNIFRRKKPGAGNAKKRQPEFSPSSQCP SAHVQL >ENSMUSP00000033646.2 pep:known chromosome:GRCm38:X:147383476:147429192:-1 gene:ENSMUSG00000031289.10 transcript:ENSMUST00000033646.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il13ra2 description:interleukin 13 receptor, alpha 2 [Source:MGI Symbol;Acc:MGI:1277954] MAFVHIRCLCFILLCTITGYSLEIKVNPPQDFEILDPGLLGYLYLQWKPPVVIEKFKGCT LEYELKYRNVDSDSWKTIITRNLIYKDGFDLNKGIEGKIRTHLSEHCTNGSEVQSPWIEA SYGISDEGSLETKIQDMKCIYYNWQYLVCSWKPGKTVYSDTNYTMFFWYEGLDHALQCAD YLQHDEKNVGCKLSNLDSSDYKDFFICVNGSSKLEPIRSSYTVFQLQNIVKPLPPEFLHI SVENSIDIRMKWSTPGGPIPPRCYTYEIVIREDDISWESATDKNDMKLKRRANESEDLCF FVRCKVNIYCADDGIWSEWSEEECWEGYTGPDSKIIFIVPVCLFFIFLLLLLCLIVEKEE PEPTLSLHVDLNKEVCAYEDTLC >ENSMUSP00000108446.1 pep:known chromosome:GRCm38:X:147383478:147403832:-1 gene:ENSMUSG00000031289.10 transcript:ENSMUST00000112827.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il13ra2 description:interleukin 13 receptor, alpha 2 [Source:MGI Symbol;Acc:MGI:1277954] MAFVHIRCLCFILLCTITGYSLEIKVNPPQDFEILDPGLLGYLYLQWKPPVVIEKFKGCT LEYELKYRNVDSDSWKTIITRNLIYKDGFDLNKGIEGKIRTHLSEHCTNGSEVQSPWIEA SYGISDEGSLETKIQDMKCIYYNWQYLVCSWKPGKTVYSDTNYTMFFWYEGLDHALQCAD YLQHDEKNVGCKLSNLDSSDYKDFFICVNGSSKLEPIRSSYTVFQLQNIVKPLPPEFLHI SVENSIDIRMKWSTPGGPIPPRCYTYEIVIREDDISWESATDKNDMKLKRRANESEDLCF FVRCKVNIYCADDGIWSEWSEEECWEGYTGPDSKIIFIVPVCLFFIFLLLLLCLIVEKEE PEPTLSLHVDLNKEVCAYEDTLC >ENSMUSP00000079200.3 pep:known chromosome:GRCm38:X:73137232:73149450:-1 gene:ENSMUSG00000071745.1 transcript:ENSMUST00000080324.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DXBay18 description:DNA segment, Chr X, Baylor 18 [Source:MGI Symbol;Acc:MGI:99870] MDSAEYVLCGWKGQLWPARVLSRPRTPANSKRRGAPFLEVQILPVGEKMRVRSSEVRPLD KSEIISIASLAGKESRGRGSPGQTRAYRRALKVALDVLGEGTSLFQGGRAGGQRTSTVAP KVPKEQASSSSSSLGQRLCLQGRNQKGQGLSQRSPGKRGRPGQSPAMMGSQNVPAVRAGE AQAHTAVGLPRSEMQGDVLRGTRVWPSYSETPLGKAGGNPGKRKPGTSKLRSLSAPASRE GTRAKNEQQAASGPLRHISISPKALKKRVQCAGLEIRATGAQRKTALAENKDHPGPGTPK PDSKGASAAYTPPVPKLRRSLRIASQKKKPHVLCALCGLPEREPQVHSKVTNTRFKRRGA RVQKDAKATNVASAQGPSTIERGTLVWFKFQDLPFWPAVVKSVSENDKMARVLLIEGNMQ FERRGIRVPLRKLKHLDCGEKVSLVRRASRLYAQGINWCFSVIDHYREGLAHGSFLGSFM DYYTTQASYPLRRAVQEGDLHIDFPKVSYAELEDWEEETALGGKGPYKKLLPDRMRAAWD RANQKLVDFIVKRKGADQHLLDIVKGRKPSRWLDDLWKSKREVFCIETYLEDEDQLHLVA RHLQEVAKEADEALLSLARGDKVRFTMEVLFPEAIICSIAALDELTYKEAEEKYLRGPPV HYREKELFDKTILKAARKRSAARIRAARDPPVPTP >ENSMUSP00000078431.2 pep:known chromosome:GRCm38:4:43820403:43821359:-1 gene:ENSMUSG00000059448.3 transcript:ENSMUST00000079465.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr156 description:olfactory receptor 156 [Source:MGI Symbol;Acc:MGI:1352683] MEGANQSTVAEFVLLGLSDHPKLEKTFFVLILLMYLVILLGNGVLILVSILDSHLHTPMY FFLGNLSFLDICYTTSSIPLVLDGFLTPRKTISFSGCAVQMFLSFAMGATECVLLGMMAF DRYVAICNPLRYPVVMNKSAYVPMAVSSWVAGGANSLVQISLAVQLPFCGDNVINHFTCE ILAVLKLACADISINVISMGVANVIFLGVPVLFIFVSYIFILSTILRIPSAEGRKKAFST CSAHLTVVLVFYGTILFMYGKPKSKDPLGADKQDVSDKLISLFYGVLTPMLNPIIYSLRN KDVKAAVRNLVGQKCLIQ >ENSMUSP00000027809.7 pep:known chromosome:GRCm38:1:175662421:175692776:-1 gene:ENSMUSG00000026525.9 transcript:ENSMUST00000027809.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opn3 description:opsin 3 [Source:MGI Symbol;Acc:MGI:1338022] MYSGNRSGDQGYWEDGAGAEGAAPAGTRSPAPLFSPTAYERLALLLGCLALLGVGGNLLV LLLYSKFPRLRTPTHLFLVNLSLGDLLVSLFGVTFTFASCLRNGWVWDAVGCAWDGFSGS LFGFVSITTLTVLAYERYIRVVHARVINFSWAWRAITYIWLYSLAWAGAPLLGWNRYILD IHGLGCTVDWRSKDANDSSFVLFLFLGCLVVPVGIIAHCYGHILYSVRMLRCVEDLQTIQ VIKMLRYEKKVAKMCFLMAFVFLTCWMPYIVTRFLVVNGYGHLVTPTVSIVSYLFAKSST VYNPVIYIFMNRKFRRSLLQLLCFRLLRCQRPAKNLPAAESEMHIRPIVMSQKDGDRPKK KVTFNSSSIIFIITSDESLSVEDSDRSSASKVDVIQVRPL >ENSMUSP00000081748.5 pep:known chromosome:GRCm38:4:41724139:41730286:-1 gene:ENSMUSG00000066224.13 transcript:ENSMUST00000084698.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3c description:AT rich interactive domain 3C (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:3650624] MEALQRQQAARLAQGVGPLAPPRLPLPQPPLLGARTLQAPEGAIGVVGAEEEEGAEDEEG ETPLAEEETAEQSHPGARCPNSPSSQSPGIQPHEWTYEEQFKQLYELDADPKRKEFLDDL FSFMQKRGTPVNRVPIMAKQVLDLYALFRLVTAKGGLVEVINRKVWREVTRGLSLPTTIT SAAFTLRTQYMKYLYPYECETRALSSPGELQAAIDSNRREGRRQAYTAVPLFNLAGPTPR GAPGPASSHGPAPTATPNCPGPTQGSASGLPAHACAQLSPSPVKKEESGIPPPRLALPMG LASEATREKLAPEEPPEKRAVLMGPVDSPRLGAPPSFLPRGKAPLREERLDGPLNLAGSG ISSINVALEINGVVYTGILFARRQPVPASLGPTNPPPLPSTGPPSSTLP >ENSMUSP00000116411.2 pep:known chromosome:GRCm38:4:41724139:41730286:-1 gene:ENSMUSG00000066224.13 transcript:ENSMUST00000150809.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3c description:AT rich interactive domain 3C (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:3650624] MEALQRQQAARLAQGVGPLAPPRLPLPQPPLLGARTLQAPEGAIGVVGAEEEEGAEDEEG ETPLAEEETAEQSHPGARCPNSPSSQSPGIQPHEWTYEEQFKQLYELDADPKRKEFLDDL FSFMQKRGTPVNRVPIMAKQVLDLYALFRLVTAKGGLVEVINRKVWREVTRGLSLPTTIT SAAFTLRTQYMKYLYPYECETRALSSPGELQAAIDSNRREGRRQAYTAVPLFNLAGPTPR GAPGPASSHGPAPTATPNCPGPTQGSASGLPAHACAQLSPSPVKKEESGIPPPRLALPMG LASEATREKLAPEEPPEKRAVLMGPVDSPRLGAPPSFLPRGKAPLRGILFARRQPVPASL GPTNPPPLPSTGPPSSTLP >ENSMUSP00000124563.1 pep:known chromosome:GRCm38:4:41729926:41731142:-1 gene:ENSMUSG00000066224.13 transcript:ENSMUST00000159930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3c description:AT rich interactive domain 3C (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:3650624] MEALQRQQAARLAQGVGPLAPPRLPLPQPPLLGARTLQAPEGAIGVVGAEEEEGAEDEEG ETPLAEEETAEQSHPGARCPNSPSSQSPG >ENSMUSP00000127678.1 pep:known chromosome:GRCm38:4:41723836:41731142:-1 gene:ENSMUSG00000066224.13 transcript:ENSMUST00000171251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3c description:AT rich interactive domain 3C (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:3650624] MEALQRQQAARLAQGVGPLAPPRLPLPQPPLLGARTLQAPEGAIGVVGAEEEEGAEDEEG ETPLAEEETAEQSHPGARCPNSPSSQSPGIQPHEWTYEEQFKQLYELDADPKRKEFLDDL FSFMQKRGTPVNRVPIMAKQVLDLYALFRLVTAKGGLVEVINRKVWREVTRGLSLPTTIT SAAFTLRTQYMKYLYPYECETRALSSPGELQAAIDSNRREGRRQAYTAVPLFNLAGPTPR GAPGPASSHGPAPTATPNCPGPTQGSASGLPAHACAQLSPSPVKKEESGIPPPRLALPMG LASEATREKLAPEEPPEKRAVLMGPVDSPRLGAPPSFLPRGKAPLREERLDGPLNLAGSG ISSINVALEINGVVYTGILFARRQPVPASLGPTNPPPLPSTGPPSSTLP >ENSMUSP00000058894.6 pep:known chromosome:GRCm38:11:116434094:116439077:1 gene:ENSMUSG00000050628.6 transcript:ENSMUST00000057676.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubald2 description:UBA-like domain containing 2 [Source:MGI Symbol;Acc:MGI:1914635] MSVNTDELRHQVMINQFVLAAGCAADQAQQLLQAAHWQFETALSTFFQESNIPNSHHHPQ MMCTPSNTPATPPNFPDALAMFSKLRTSEGLQSSSSSPMAAVACSPPANFSPFWAASPPN HQVPWIPPSSPNTFHLHCPQPTWPPGASQGGAPQKAMAAMDGQR >ENSMUSP00000136210.1 pep:known chromosome:GRCm38:13:64481246:64497792:-1 gene:ENSMUSG00000096537.5 transcript:ENSMUST00000180282.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1190003K10Rik description:RIKEN cDNA 1190003K10 gene [Source:MGI Symbol;Acc:MGI:1916130] MNSQYMRREVFCCETCDELKSFWEKEIHKQTCYRELEEDRQGRSALRKLREEWKERLEKR LRMLDNPDDKEKQANPEN >ENSMUSP00000127684.1 pep:known chromosome:GRCm38:13:23683449:23683924:-1 gene:ENSMUSG00000069270.5 transcript:ENSMUST00000171127.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ac description:histone cluster 1, H2ac [Source:MGI Symbol;Acc:MGI:2448287] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000141685.1 pep:known chromosome:GRCm38:2:11777876:11778859:1 gene:ENSMUSG00000047909.11 transcript:ENSMUST00000130186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd16 description:ankyrin repeat domain 16 [Source:MGI Symbol;Acc:MGI:2444796] MALPGDPRRLCRLVQEGRLRDLQEELAVARGCRGPAGDTLLHCAARHGRQDILAYLVEAW SMDIEATNRDYKRPLHEAASMGHRDCVRYL >ENSMUSP00000052056.5 pep:known chromosome:GRCm38:2:11778491:11790325:1 gene:ENSMUSG00000047909.11 transcript:ENSMUST00000056108.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd16 description:ankyrin repeat domain 16 [Source:MGI Symbol;Acc:MGI:2444796] MALPGDPRRLCRLVQEGRLRDLQEELAVARGCRGPAGDTLLHCAARHGRQDILAYLVEAW SMDIEATNRDYKRPLHEAASMGHRDCVRYLLGRGAVVDSLKKADWTPLMMACTRKNLDVI QDLVEHGANPLLKNKDGWNSFHIASREGHPVILRYLLTVCPDAWKTESNIRRTPLHTAAM HGCLEAVQVLLERCHYEPDCRDNCGVTPFMDAIQCGHVSIAKLLLEQHKACSSAADSMGA QALHRAAVTGQDEAIRFLVCGLGIDVDVRAKSSQLTALHYAAKEGQTNTVQTLLSLGADI NSTDERNRSVLHLACAGQHVACTRLLLQSGLKDSEDLTGTLAQQLTRSVDILQDFDHDVK S >ENSMUSP00000115525.1 pep:known chromosome:GRCm38:2:11778564:11790325:1 gene:ENSMUSG00000047909.11 transcript:ENSMUST00000156067.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd16 description:ankyrin repeat domain 16 [Source:MGI Symbol;Acc:MGI:2444796] MALPGDPRRLCRLVQEGRLRDLQEELAVARGCRGPAGDTLLHCAARHGRQDILAYLVEAW SMDIEATNRDYKRPLHEAASMGHRDCVRYLLGRGAVVDSLKKADWTPLMMACTRKNLDVI QDLVEHGANPLLKNKDGWNSFHIASREGHPVILRNARLFGSSPGAS >ENSMUSP00000115226.2 pep:known chromosome:GRCm38:2:11779885:11789768:1 gene:ENSMUSG00000047909.11 transcript:ENSMUST00000133664.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd16 description:ankyrin repeat domain 16 [Source:MGI Symbol;Acc:MGI:2444796] LHTAAMHGCLEAVQVLLERCHYEPDCRDNCGVTPFMDAIQCGHVSIAKLLLEQHKV >ENSMUSP00000121218.2 pep:known chromosome:GRCm38:2:11784293:11790296:1 gene:ENSMUSG00000047909.11 transcript:ENSMUST00000128774.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd16 description:ankyrin repeat domain 16 [Source:MGI Symbol;Acc:MGI:2444796] XCSSAADSMGAQALHRAAVTGQDEAIRFLVCGLGIDVDVRAKSSQLTALHYAAKLQRICG VTPFMDTLQFSHVCIA >ENSMUSP00000065349.6 pep:known chromosome:GRCm38:15:101793308:101802343:-1 gene:ENSMUSG00000063661.5 transcript:ENSMUST00000063292.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt73 description:keratin 73 [Source:MGI Symbol;Acc:MGI:3607712] MNRQFTCKPGVANRGFSGCSAVLSGGSSSSYRAAGKGLSGGFSSRSLYSLRSPRSISFNV ASSSGRTGGYGFGRNRASGFAGSMFGSGALGPSNPSLCLPGGIHQVTVNKSLLAPLNVEL DPEIQKVRAQEREQIKALNNKFASFIDKVRFLEQQNQVLQTKWELLQQLDLSNCRRNLEP VYEAHISSLQKQLDSLSGDRVRLDSELRGMRDAVEDCKKRYEEEINKRTTAENEFVVLKK DVDAAYMSKVELQAKVDALDGEIKFLKCLYEGEITQMQSHISDTSVVLSMDNNRNLDLDS IIAEVRAQYEDIALKSKAEAEMVYQTKFQELQLAAGRHGDDLKHTRNEISELTRLIQRLR SEIESVKKQCSNLETAIADAEQRGDCALKDARAKLDELERALHQAKEELARMLREHQELM SMKLALDIEIATYRKLLEGEECRMSGEHTSAVSISVISSSAPGTVGAGTSFGFSSAGTYG YRQSSVAGGYGILSGGCVTGSGNCSPRGDTKNRLGSASEFKEVSGKTLALGSPSKKTMR >ENSMUSP00000080601.7 pep:known chromosome:GRCm38:16:35926511:35939151:-1 gene:ENSMUSG00000049502.16 transcript:ENSMUST00000081933.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx3l description:deltex 3-like, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2656973] MASSPDPPSPLLVRLRESIPKAHRKLEIYFQSRASGGGECSVQPVGPSAPDTYEVKFLKK ADKEKVLKKSEHEMLVHNKPVTIVLETTKKPVEDLRPRLPSLTQPVETPSSRPPSLTGSL DEALCDDIHPQDGLVSNSVDSVVQKIFLAVTAELNCDLLSKEQRASITTVCPHIIKSMEG SDGIKKVCGNFKDIEKIHHFLSEQLLEREQKRKGSEQKRKCAPQKHTPPDVEREPPDQSS IQVPVLLLEYFKHVNPGRLEFIEYKFGVNIEIQASSPNMVTVGFTSSPFGNVEEASQSFV RDFQKCSQSLKQDCISLEEHQRAKEVRQELSRCFPKLLIKGQGRTLTLLGSPADISAATE KVSQGLGLRPVKITASGYTTGIEVDSTRFKLLEPELLQEISEIEQKFNTRGKVQEKGQKT CILFVPKDKDLDLSVQSYTGFTDAFQRATWQLRTEVLSLKGLGKERARLHNTKFADNFKK EHPNVHFVTSQESVTLTGLPHHLAQAMQYVSKRMGLAPSSGEKLAMDQETPMEISSSDPH GDQQENAALPAPRGTSSSPAASKGTEDYCVICMDTISNKHVLPKCKHEFCTSCISKAMLI KPVCPVCLTSYGIQKGNQPEGTMSYSTQKGSLPGYEGCGTIVINYEIKDGIQTKEHPNPG KAYHGTRRTAYLPDNTEGRKVLDLLHEAFKHRLTFTIGYSRATGVSDVITWNDIHHKTSK FGGPANFGYPDPDYLKRVKEELKAKGIE >ENSMUSP00000110535.1 pep:known chromosome:GRCm38:16:35931861:35939151:-1 gene:ENSMUSG00000049502.16 transcript:ENSMUST00000114885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx3l description:deltex 3-like, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2656973] MASSPDPPSPLLVRLRESIPKAHRKLEIYFQSRASGGGECSVQPVGPSAPDTYEVKFLKK ADKEKVLKKSEHEMLVHNKPVTIVLETTKKPVEDLRPRLPSLTQPVETPSSRPPSLTGSL DEALCDDIHPQDGLVSNSVDSVVQKIFLAVTAELNCDLLSKEQRASITTVCPHIIKSMEG SDGIKKVCGNFKDIEKIHHFLSEQLLEREQKRKGSEQKRKCAPQKHTPPDVEREPPDQSS IQVPVLLLEYFKHVNPGRLEFIEYKFGVNIEIQASSPNMVTVGFTSSPFGNVEEASQSFV RDFQKCSQSLKQDCISLEEHQRAKEVRQELSRCFPKLLIKGQGRTLTLLGSPADISAATE KVSQGLGLRPVKITASGYTTGIEVDSTRFKLLEPELLQEISEIEQKFNTRGKVQEKGQKT CILFVPKDKDLDLSVQSYTGFTDAFQRATWQLRTEVLSLKGLGKERARLHNTKFADNFKK EHPNVHFVTSQESVTLTGLPHHLAQAMQYVSKRMGLAPSSGEKLAMDQETPMEISSSDPH GDQQENAALPAPRGTSSSPAASKGTEDYCVICMDTISNKHVLPKCKHEFCTSCISKAMLI KPVCPVCLTSYGIQKGNQPEGTMSYSTQKGSLPGYEGCGTIVINYEIKDGIQTVSVPEEH GFLFAMLELPKSNEASYSLLDNDFPRKSFIL >ENSMUSP00000140492.1 pep:known chromosome:GRCm38:4:42969631:42971298:1 gene:ENSMUSG00000028451.12 transcript:ENSMUST00000185904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700022I11Rik description:RIKEN cDNA 1700022I11 gene [Source:MGI Symbol;Acc:MGI:1914567] MTQGKGLQLLYHLAFFDCLWKQKSEEEEKEEKECLSLNPLKPYHLSKDTPIGNGFSTAPP HPSCRSEGRPRATETQEQVLIQSPSPSRSFPTFQTLTNLPVRSKRASGSSPQQTKLQLFS GLPSLYNESLKTIFLSSDGPSPLKLSICPS >ENSMUSP00000140363.1 pep:known chromosome:GRCm38:4:42969934:42971488:1 gene:ENSMUSG00000028451.12 transcript:ENSMUST00000190902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700022I11Rik description:RIKEN cDNA 1700022I11 gene [Source:MGI Symbol;Acc:MGI:1914567] MGLLQGQLTHALACRHCSSITCLHSPGNLGLQLLYHLAFFDCLWKQKSEEEEKEEKECLS LNPLKPYHLSKDTPIGNGFSTAPPHPSCRSEGRPRATETQEQVLIQSPSPSRSFPTFQTL TNLPVRSKRASGSSPQQTKLQLFSGLPSLYNESLKTIFLSSDGPSPLKLSICPSVFLNKV PFPPAYNLLLPCYHSSTYYPTPEAHILEDLEEIAPGSQLVQSPPSPPIPLVSSNLKP >ENSMUSP00000030163.5 pep:known chromosome:GRCm38:4:42969946:42974326:1 gene:ENSMUSG00000028451.12 transcript:ENSMUST00000030163.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700022I11Rik description:RIKEN cDNA 1700022I11 gene [Source:MGI Symbol;Acc:MGI:1914567] MGLLQGQLTHALACRHCSSITCLHSPGNLAILVLFMVWQIRRWWQLRGWQQLQPWCSGDK MTQGKGLQLLYHLAFFDCLWKQKSEEEEKEEKECLSLNPLKPYHLSKDTPIGNGFSTAPP HPSCRSEGRPRATETQEQVLIQSPSPSRSFPTFQTLTNLPVRSKRASGSSPQQTKLQLFS GLPSLYNESLKTIFLSSDGPSPLKLSICPSVFLNKVPFPPAYNLLLPCYHSSTYYPTPEA HILEDLEEIAPGSQLVQSPPSPPIPLVSSNLKPLLKGYKRIIPDTEVHTQWFTQNKEVPS VSENQGLYPQPELQKFRSSTFLYSSEVWRKRPGDLRLHQHNPELPFAFLLYPFNPQEVLD RFEMPWRNMKQNEHPKASETAMPTASPLPISLTECQRVNPTGDLSQVKTLCQTTVQKENL QIYELPISAPCQLTVPVTEGTGPPGTPPGYEAQWGILAYKGIPQASDPLMPASCHPSGSL SKVKNVNPKERLSAPKDVRENLGYREHPHVSKSPVSAPSPPLDTLSDYQRENPPEDGSGF KPQWECKETSGSPWASETPTLDFHVGFYEATPMCVPLGSEAQLKGTPSTENLCVYADIVS SPSLPSVSLPDFAIMGPQRILLESKALWETKEQKKHLWTSDSSCPHKTPLAPFIGPKRIN TVDDVPRSEATGKNTDNTKKCSSSEPPFLNLNPSPALVQQPLRVSPIENPLKSKAWCGHI QRKNNFLASELPAQSLSQHLLEPSPEGVLSDVEPAGGFMKNKNHCVSASPVWECSPSPNS VLKFHISEPSGDQCNCTPKESVAEWTKDSWANELPGSSFFSALSQEPHSETELVCRNVLE REASQGPNPPAVNPPQPTAWPIQPGLSEAKAEAPSSQGEAVSEVSDHPVIHAWQWSRQLK LRLNKLQQSPTFKSPGSHHSFSSSPVLNLTLESWGPSSCSQQMHPLSLHPCSSSSHPPKV QRAEALPVQAPHCLHSSSQPQAQASGRAEQRSQKSKRLKRKAMVQIPSPGLGHVKADENC SGMGEPSDTGLLVSGKRQDKTLVLLSTQKRGSSRKSKAEKCGRTARLGSPTNTRENNPAQ ACRPAEASMPRFSRKFEHKAQSSPHSALAQQLLPNAAGPQDRPRTGLVAGETQNPCPFKC CPWIPTKQQLSSLSQEAPPTRGFQKLIDKFLGVHRPLPTKSSP >ENSMUSP00000116415.1 pep:known chromosome:GRCm38:4:42969946:42983640:1 gene:ENSMUSG00000028451.12 transcript:ENSMUST00000139127.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700022I11Rik description:RIKEN cDNA 1700022I11 gene [Source:MGI Symbol;Acc:MGI:1914567] MGLLQGQLTHALACRHCSSITCLHSPGNLAILVLFMVWQIRRWWQLRGWQQLQPWCSGDK MTQGKKSTMYSSVQHKIE >ENSMUSP00000139993.1 pep:known chromosome:GRCm38:1:24011093:24026759:-1 gene:ENSMUSG00000026153.15 transcript:ENSMUST00000187619.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam135a description:family with sequence similarity 135, member A [Source:MGI Symbol;Acc:MGI:1915437] XAKEELKQLRLPGFMYSDVPLLASSAPYFSMDEEDGSEDGVHLIVCVHGLDGNSADLRLV KTYIELGLPGGRVDFLMSERNQNDTFADFDCMTDRLLDEIIQYIQIYSLTVSKISFIGHS LGNLIIRSVLTRPRFKYYLSKLHTFLSLSGPHLGTLYNSSALVNTGLHYFKNVVLVGSLQ DRYVPYHSARIEMCKTALKDKQSGQIYSEMIHNLLRPVLQSKGCNLVRYNVINALPNTAD SLIGRAAHIAVLDSEIFLEKFFLVAALKYFQ >ENSMUSP00000139754.1 pep:known chromosome:GRCm38:1:24011093:24086526:-1 gene:ENSMUSG00000026153.15 transcript:ENSMUST00000188712.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam135a description:family with sequence similarity 135, member A [Source:MGI Symbol;Acc:MGI:1915437] MTEVQAMVEFSVELNKFYNVDLFQRGFYQIRASMKIPARIPHRVEASLLHATGMTLAFPA SVHDALVCSKTFQILYKNEEVVLNDVMIFKVKMLLDERKIEETLEEISFLLSLGLHFTDG DYSSRVIGCTTPAANKLSSPCEDHLVK >ENSMUSP00000139633.1 pep:known chromosome:GRCm38:1:24011245:24100194:-1 gene:ENSMUSG00000026153.15 transcript:ENSMUST00000187752.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam135a description:family with sequence similarity 135, member A [Source:MGI Symbol;Acc:MGI:1915437] MTEVQAMVEFSVELNKFYNVDLFQRGKTFQILYKNEEVVLNDVMIFKVKMLLDERKIEET LEEISFLLSLGLHFTDGDYSADDLNALQLISSRTLKLHYSICRGLHHHANVMFDYFHLSV VSVTVHASLVALHQPLISFPRPVKTTWLNRNAPAQSKDSAIPTLESVVFGINYTKQLSPD GCSFLIAESFLHHAYHFHYTLCATLLLAFKGLHSYFITVTEEIPSCQKLDLAKASMQVLY ERLLRRTQPRTQNDTCLEEMDVEARLTELCEEVKKVENPDELAELINMNLAQLCSLLMAL WGQFLEAITLHEDLRVLLAQEHHTLRVRRFSEAFFCFEHPREAAIAYQELHAQSHLQMCT AIKNTSFCSSLPPLPIECSELDGDLNSLPIIFEDRYLDSVIEGKLAISQDNSDIPDTEHN LASTSSSNDCHDYQTTPSSGVRTLEVKSSSKESFNGEKITVKIGPWTELQEAELFVDNLL PDFEALDSNDKPKSIDIPLERDALQETKCHSTEESLTKFRSNLPAPSTKEYHVAVSSDTI KLPDTNATYASSRFSDSGVESEPSSFATHPNPEIAFETLQGPGPCNNERLFPQLLMKPDH NVKFSLGSHCTESTSALSEIQSSLTSINSLPSDDELSPDDNCKKSAVPDCHLSDSKTVFN LGTMDLPKCDDTKKSSIILQQQSVVFSGHLDNDTLAMHSLDLSTEDPLRLVFLDEDASSG VRSSWGSKPHLDAPFTGPQSQGTSSNNSTESVPTLNSKLICLGSPCVVSGSVCTDAGLSA DRTVEGKSGEPLNHKQVCSAAPVVESDPLSSSTDVVKQGLVENYFGSQSTTDVSDACAIT CHSPVSSQETCDKGISDLQQEQGKEEEEEDQEMVQNGYHEETDFSATDGTVSVHYISGNE LGEGRHEQSEKLSSNYLSAGVTVPAVCTSGCLSFPSALRESPCVKYSSRSKVDAITKQPS SISYNFSSSTSWYENSPKPQIHAFLQAKEELKQLRLPGFMYSDVPLLASSAPYFSMDEED GSEDGVHLIVCVHGLDGNSADLRLVKTYIELGLPGGRVDFLMSERNQNDTFADFDCMTDR LLDEIIQYIQIYSLTVSKISFIGHSLGNLIIRSVLTRPRFKYYLSKLHTFLSLSGPHLGT LYNSSALVNTGLWFMQKWKKSGSLLQLTCRDHSDPRQTFLYKLSNKAGLHYFKNVVLVGS LQDRYVPYHSARIEMCKTALKDKQSGQIYSEMIHNLLRPVLQSKGCNLVRYNVINALPNT ADSLIGRAAHIAVLDSEIFLEKFFLVAALKYFQ >ENSMUSP00000140766.1 pep:known chromosome:GRCm38:1:24011591:24100182:-1 gene:ENSMUSG00000026153.15 transcript:ENSMUST00000187369.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam135a description:family with sequence similarity 135, member A [Source:MGI Symbol;Acc:MGI:1915437] MTEVQAMVEFSVELNKFYNVDLFQRGFYQIRASMKIPARIPHRVEASLLHATGMTLAFPA SVHDALVCSKTFQILYKNEEVVLNDVMIFKVKMLLDERKIEETLEEISFLLSLGLHFTDG DYSADDLNALQLISSRTLKLHYSICRGLHHHANVMFDYFHLSVVSVTVHASLVALHQPLI SFPRPVKTTWLNRNAPAQSKDSAIPTLESVVFGINYTKQLSPDGCSFLIAESFLHHAYHF HYTLCATLLLAFKGLHSYFITVTEEIPSCQKLDLEEMDVEARLTELCEEVKKVENPDELA ELINMNLAQLCSLLMALWGQFLEAITLHEDLRVLLAQEHHTLRVRRFSEAFFCFEHPREA AIAYQELHAQSHLQMCTAIKNTSFCSSLPPLPIECSELDGDLNSLPIIFEDRYLDSVIEG KLAISQDNSDIPDTEHNLASTSSSNDCHDYQTTPSSGVRTLEVKSSSKESFNGEKITVKI GPWTELQEAELFVDNLLPDFEALDSNDKPKSIDIPLERDALQETKCHSTEESLTKFRSNL PAPSTKEYHVAVSSDTIKLPDTNATYASSRFSDSGVESEPSSFATHPNPEIAFETLQGPG PCNNERLFPQLLMKPDHNVKFSLGSHCTESTSALSEIQSSLTSINSLPSDDELSPDDNCK KSAVPDCHLSDSKTVFNLGTMDLPKCDDTKKSSIILQQQSVVFSGHLDNDTLAMHSLDLS TEDPLRLVFLDEDASSGVRSSWGSKPHLDAPFTGPQSQGTSSNNSTESVPTLNSKLICLG SPCVVSGSVCTDAGLSADRTVEGKSGEPLNHKQVCSAAPVVESDPLSSSTDVVKQGLVEN YFGSQSTTDVSDACAITCHSPVSSQETCDKGISDLQQEQGKEEEEEDQEMVQNGYHEETD FSATDGTVSVHYISGNELGEGRHEQSEKLSSNYLSAGVTVPAVCTSGCLSFPSALRESPC VKYSSRSKVDAITKQPSSISYNFSSSTSWYENSPKPQIHAFLQAKEELKQLRLPGFMYSD VPLLASSAPYFSMDEEDGSEDGVHLIVCVHGLDGNSADLRLVKTYIELGLPGGRVDFLMS ERNQNDTFADFDCMTDRLLDEIIQYIQIYSLTVSKISFIGHSLGNLIIRSVLTRPRFKYY LSKLHTFLSLSGPHLGTLYNSSALVNTGLWFMQKWKKSGSLLQLTCRDHSDPRQTFLYKL SNKAGLHYFKNVVLVGSLQDRYVPYHSARIEMCKTALKDKQSGQIYSEMIHNLLRPVLQS KGCNLVRYNVINALPNTADSLIGRAAHIAVLDSEIFLEKFFLVAALKYFQ >ENSMUSP00000140947.1 pep:known chromosome:GRCm38:1:24011604:24029086:-1 gene:ENSMUSG00000026153.15 transcript:ENSMUST00000186331.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam135a description:family with sequence similarity 135, member A [Source:MGI Symbol;Acc:MGI:1915437] GHLDNDTLAMHSLDLSTEDPLRLVFLDEDASSGVRSSWGSKPHLDAPFTGPQSQGTSSNN STESVPTLNSKLICLGSPCVVSGSVCTDAGLSADRTVEGKSGEPLNHKQVCSAAPVVESD PLSSSTDVVKQGLVENYFGSQSTTDVSDACAITCHSPVSSQETCDKGISDLQQEQGKEEE EEDQEMVQNGYHEETDFSATDGTVSVHYISGNELGEGRHEQSEKLSSNYLSAGVTVPAVC TSGCLSFPSALRESPCVKYSSRSKVDAITKQPSSISYNFSSSTSWYENSPKPQIHATKGD >ENSMUSP00000027337.8 pep:known chromosome:GRCm38:1:24011681:24100333:-1 gene:ENSMUSG00000026153.15 transcript:ENSMUST00000027337.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam135a description:family with sequence similarity 135, member A [Source:MGI Symbol;Acc:MGI:1915437] MTEVQAMVEFSVELNKFYNVDLFQRGFYQIRASMKIPARIPHRVEASLLHATGMTLAFPA SVHDALVCSKTFQILYKNEEVVLNDVMIFKVKMLLDERKIEETLEEISFLLSLGLHFTDG DYSADDLNALQLISSRTLKLHYSICRGLHHHANVMFDYFHLSVVSVTVHASLVALHQPLI SFPRPVKTTWLNRNAPAQSKDSAIPTLESVVFGINYTKQLSPDGCSFLIAESFLHHAYHF HYTLCATLLLAFKGLHSYFITVTEEIPSCQKLDLEEMDVEARLTELCEEVKKVENPDELA ELINMNLAQLCSLLMALWGQFLEAITLHEDLRVLLAQEHHTLRVRRFSEAFFCFEHPREA AIAYQELHAQSHLQMCTAIKNTSFCSSLPPLPIECSELDGDLNSLPIIFEDRYLDSVIED LDAPWMGIQSLQISEASKTDKHETEESSVVGLSSPELKVRPAVASSNCYTEGEKQLTKSL KGKNEESNKSKVKVTKLMKTMKPENTKKLIKQNSKDSVVLVSYKCLKTTASSDFTKCLEG SPSHSQKEGLDPTLCAGNFDPKTYTRQPSQKEASSLSANTDRSEHKSPDTENMQPDQFEL LNSGSLNLCANLSISGKLAISQDNSDIPDTEHNLASTSSSNDCHDYQTTPSSGVRTLEVK SSSKESFNGEKITVKIGPWTELQEAELFVDNLLPDFEALDSNDKPKSIDIPLERDALQET KCHSTEESLTKFRSNLPAPSTKEYHVAVSSDTIKLPDTNATYASSRFSDSGVESEPSSFA THPNPEIAFETLQGPGPCNNERLFPQLLMKPDHNVKFSLGSHCTESTSALSEIQSSLTSI NSLPSDDELSPDDNCKKSAVPDCHLSDSKTVFNLGTMDLPKCDDTKKSSIILQQQSVVFS GHLDNDTLAMHSLDLSTEDPLRLVFLDEDASSGVRSSWGSKPHLDAPFTGPQSQGTSSNN STESVPTLNSKLICLGSPCVVSGSVCTDAGLSADRTVEGKSGEPLNHKQVCSAAPVVESD PLSSSTDVVKQGLVENYFGSQSTTDVSDACAITCHSPVSSQETCDKGISDLQQEQGKEEE EEDQEMVQNGYHEETDFSATDGTVSVHYISGNELGEGRHEQSEKLSSNYLSAGVTVPAVC TSGCLSFPSALRESPCVKYSSRSKVDAITKQPSSISYNFSSSTSWYENSPKPQIHAFLQA KEELKQLRLPGFMYSDVPLLASSAPYFSMDEEDGSEDGVHLIVCVHGLDGNSADLRLVKT YIELGLPGGRVDFLMSERNQNDTFADFDCMTDRLLDEIIQYIQIYSLTVSKISFIGHSLG NLIIRSVLTRPRFKYYLSKLHTFLSLSGPHLGTLYNSSALVNTGLWFMQKWKKSGSLLQL TCRDHSDPRQTFLYKLSNKAGLHYFKNVVLVGSLQDRYVPYHSARIEMCKTALKDKQSGQ IYSEMIHNLLRPVLQSKGCNLVRYNVINALPNTADSLIGRAAHIAVLDSEIFLEKFFLVA ALKYFQ >ENSMUSP00000140078.1 pep:known chromosome:GRCm38:1:24024275:24028450:-1 gene:ENSMUSG00000026153.15 transcript:ENSMUST00000185807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam135a description:family with sequence similarity 135, member A [Source:MGI Symbol;Acc:MGI:1915437] ELGEGRHEQSEKLSSNYLSAGVTVPAVCTSGCLSFPSALRESPCVKYSSRSKVDAITKQP SSISYNFSSSTSWYENSPKPQIHADVPLLASSAPYFSMDEEDGSEDGVHLIVCVHGLDGN SADLRLVKTYIELGLPGGRVDFLMSERNQNDTFADFDCMTD >ENSMUSP00000140198.1 pep:known chromosome:GRCm38:1:24028722:24100216:-1 gene:ENSMUSG00000026153.15 transcript:ENSMUST00000186999.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam135a description:family with sequence similarity 135, member A [Source:MGI Symbol;Acc:MGI:1915437] MTEVQAMVEFSVELNKFYNVDLFQRGFYQIRASMKIPARIPHRVEASLLHATGMTLAFPA SVHDALVCSKTFQILYKNEEVVLNDVMIFKVKMLLDERKIEETLEEISFLLSLGLHFTDG DYSADDLNALQLISSRTLKLHYSICRGLHHHANVMFDYFHLSVVSVTVHASLVALHQPLI SFPRPVKTTWLNRNAPAQSKDSAIPTLESVVFGINYTKQLSPDGCSFLIAESFLHHAYHF HYTLCATLLLAFKGLHSYFITVTEEIPSCQKLDLAKASMQVLYERLLRRTQPRTQNDTCL EEMDVEARLTELCEEVKKVENPDELAELINMNLAQLCSLLMALWGQFLEAITLHEDLRVL LAQEHHTLRVRRFSEAFFCFEHPREAAIAYQELHAQSHLQMCTAIKNTSFCSSLPPLPIE CSELDGDLNSLPIIFEDRYLDSVIEGKLAISQDNSDIPDTEHNLASTSSSNDCHDYQTTP SSGVRTLEVKSSSKESFNGEKITVKIGPWTELQEAELFVDNLLPDFEALDSNDKPKSIDI PLERDALQETKCHSTEESLTKFRSNLPAPSTKEYHVAVSSDTIKLPDTNATYASSRFSDS GVESEPSSFATHPNPEIAFETLQGPGPCNNERLFPQLLMKPDHNVKFSLGSHCTESTSAL SEIQSSLTSINSLPSDDELSPDDNCKKSAVPDCHLSDSKTVFNLGTMDLPKCDDTKKSSI ILQQQSVVFSGHLDNDTLAMHSLDLSTEDPLRLVFLDEDASSGVRSSWGSKPHLDAPFTG PQSQGTSSNNSTESVPTLNSKLICLGSPCVVSGSVCTDAGLSADRTVEGKSGEPLNHKQV CSAAPVVESDPL >ENSMUSP00000100600.1 pep:known chromosome:GRCm38:1:175682237:175688353:-1 gene:ENSMUSG00000078185.2 transcript:ENSMUST00000104984.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chml description:choroideremia-like [Source:MGI Symbol;Acc:MGI:101913] MAEKLPTEFDVVIIGTGLPESILAAACSRSGQRVLHVDSRSYYGGNWASFSFTGLQSWLK DYQQNHDSEEGVTATWQDLIHETEEAISLRKKDETIQHTEVFCYASQDVEDSVQDTETLQ RSSPLEASATPADSLDSASLPKERQSAYSTSYEVPSRHTEESDRELSLPSANVEDSLEKE KYCGDKTDMHTVSGEDKGEHKLVVQDSIEQPKRNRITYSQMVKESRRFNIDLVSKPLYSQ GSLIDLLIKSNVSRYAEFKNVTRILAFWEGKVEQVPCSRADVFNSKELSMVEKRMLMKFL TFCLDYEQHSDEYQDFKQCSFSDYLKTKKLTPNLQHFILHSIAMTSESSCTTLDGLQATK TFLQCLGRFGNTPFIFPLYGHGEIPQCFCRMCAVFGGVYCLRHKVQCLVVDKDSGRCKGI IDAFGQRISANYFIVEDSYLPKETCSNVQYKQISRAVLITDQSILKTDSDQQISILVVPP LEPGTTSVRVMELCSSTMTCMKDSYLVHLTCSSSKTAREDLEPVVKQLFIPEAEAEAGKD ELRKPRLLWALYFNMRDSSGVSRSSYCGLPSNVYICSGPDWGLGSEHAVKQAETLFQEIF PSEEFCPPPPNPEDIIFEAEG >ENSMUSP00000104162.3 pep:known chromosome:GRCm38:7:13796246:13837409:-1 gene:ENSMUSG00000078798.4 transcript:ENSMUST00000108522.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a1 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 1 [Source:MGI Symbol;Acc:MGI:98430] MMSDYNWFEGIPFPAISYQREILEDIRNKFVVKEEDLLILTYPKSGTNWLIEIVCLIQTK GDPKWIQTVPIWNRSPWIETDIGYSALINKEGPRLITSHLPIHLFSKSFFSSKAKAIYLV RNPRDILVSGYFFWGNTNLVKNPGSLGTYFEWFLKGNVLFGSWFEHVRGWLSMREWDNFL VLYYEDIKKDTKGTIKKICDFLGKNLGPDELDLVLKYSSFQAMKENNMSNFSLIKEDQVT NGLKLMRKGTIGDWKNHFTVAQAEAFDKVFQEKMAGFPPGIFPWE >ENSMUSP00000078226.2 pep:known chromosome:GRCm38:4:43835532:43836488:-1 gene:ENSMUSG00000059101.3 transcript:ENSMUST00000079234.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr157 description:olfactory receptor 157 [Source:MGI Symbol;Acc:MGI:1352684] MDVSNQTTVTEFVLLGLSAHPKLEKTFFVLILSMYLVILLGNGVLILVSILDSHLHTPMY FFLGNLSFLDICYTTSSVPLVLDGFLTPRKTISFSGCAVQMFLSFAMGATECVLLGMMAF DRYVAICNPLRYPVVMNKAAYVPMAVSSWVAGGANSLVQISLAVQLPFCGDNVINHFICE ILAVLKLACADISINVISMGVANVIFLGVPVLFIFVSYIFILSTILRIPSAEGRKKAFST CSAHLTVVIIFYGTILFMYGKPKSKDPLGADKQDLADKLISLFYGLLTPMLNPIIYSLRN KDVKAAVRNLASHRCLTF >ENSMUSP00000063188.7 pep:known chromosome:GRCm38:4:11485958:11527621:1 gene:ENSMUSG00000040720.15 transcript:ENSMUST00000055372.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110037F02Rik description:RIKEN cDNA 1110037F02 gene [Source:MGI Symbol;Acc:MGI:1913435] MAVDSSMELLFLDTFKHPSAEQSSHIDVVRFPCVVYINEVRVIPPGVRAHSGLPDNRAYG ETSPHTFQLDLFFNNVSKPSAPVFDRLGSLEYDENTSIIFRPNSKVNTDGLVLRGWYNCL TLAIYGSVDRVISHDRDSPPPPPPPPPPPQPQPTLKRNLKHADGEKEDQFNGSPPRPQPR GPRTPPGPPPPDDDEDDPMSLPVSGDKEEDVPHREDYFEPISPDRNSVPQEGQYSDEGEV EEEPQEEGEDDEDDVDVEEEEDEDEDDCHTVDSIPDDEEEDEEEEGEEDEEGEGDDGYEQ ISSDEDGIADLERETFKYPNFDVEYTPEDLASVPPMTYDPYDRELAPLLYFSCPYKTTFE IEISRMKDQGPDKENSGAVEASVKLTELLDLYQEDRGAKWVTALEEIPSLIIKGLSYLQL KNTEQDSLGQLVDWTMQALNLQVAFRQPIALNVRQLKAGTKLVTSLAECGAPGVTELLQA GVINVLFDLLFADHVSSSLKLNAFKALDSVISMTEGMEAFLRSTQNEKSGYQRLLELILL DQTVRVVTAGSAILQKCHFYEILSEIKRLGDHIAEKTSAVPNHSEPDQDTDAVLERANPD YENEVEASMDMDLLESSIISEGEIEKLTNLLEEVFHVMETAPHTMTQPPVKSFPTIARIT GPPERDDPYPVLFRYLHSHHFLELVTLLLSIPITSAHQGVLQATKDVLKFLAQSQKGLLF FMSEYEATNLLIRALCHLYDQDEEEGLQSDGADDAFALWLQDSTQTLQCITELFSHFQRC TASEETDHSDLLGTLHNLYLITFNPVGRSAVGHVFSLDKNLQSLITLMEYYSKEALGDSK SKKSVAYNYACVLTLVVAQSSSGVQMLEQHAASLLKLCKADENNAKLQELGKWLEPLKNL RFEINCIPNLIEYVKQNIDNLMTAEGVGLTTALRVLCNVACPPPPVEGQQKDLKWNLAVI QLFSAEGMDTFIRVLQKLNSILTQPWRLHVNMGTTLHRVTTISMARCTLTLLKTMLTELL RGGSFEFKDMRVPSALVTLHMLLCSIPLSGRLDSDEQKIQNDIIDILLTFTQGVNEKLTI SEETLANNTWSLMLKEVLSSILKVPEGFFSGLILLSELLPLPLPMQTTQVPLHITCILS >ENSMUSP00000058078.6 pep:known chromosome:GRCm38:4:11485958:11550684:1 gene:ENSMUSG00000040720.15 transcript:ENSMUST00000059914.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110037F02Rik description:RIKEN cDNA 1110037F02 gene [Source:MGI Symbol;Acc:MGI:1913435] MAVDSSMELLFLDTFKHPSAEQSSHIDVVRFPCVVYINEVRVIPPGVRAHSGLPDNRAYG ETSPHTFQLDLFFNNVSKPSAPVFDRLGSLEYDENTSIIFRPNSKVNTDGLVLRGWYNCL TLAIYGSVDRVISHDRDSPPPPPPPPPPPQPQPTLKRNLKHADGEKEDQFNGSPPRPQPR GPRTPPGPPPPDDDEDDPMSLPVSGDKEEDVPHREDYFEPISPDRNSVPQEGQYSDEGEV EEEPQEEGEDDEDDVDVEEEEDEDEDDCHTVDSIPDDEEEDEEEEGEEDEEGEGDDGYEQ ISSDEDGIADLERETFKYPNFDVEYTPEDLASVPPMTYDPYDRELAPLLYFSCPYKTTFE IEISRMKDQGPDKENSGAVEASVKLTELLDLYQEDRGAKWVTALEEIPSLIIKGLSYLQL KNTEQDSLGQLVDWTMQALNLQVAFRQPIALNVRQLKAGTKLVTSLAECGAPGVTELLQA GVINVLFDLLFADHVSSSLKLNAFKALDSVISMTEGMEAFLRSTQNEKSGYQRLLELILL DQTVRVVTAGSAILQKCHFYEILSEIKRLGDHIAEKTSAVPNHSEPDQDTDAVLERANPD YENEVEASMDMDLLESSIISEGEIEKLTNLLEEVFHVMETAPHTMTQPPVKSFPTIARIT GPPERDDPYPVLFRYLHSHHFLELVTLLLSIPITSAHQGVLQATKDVLKFLAQSQKGLLF FMSEYEATNLLIRALCHLYDQDEEEGLQSDGADDAFALWLQDSTQTLQCITELFSHFQRC TASEETDHSDLLGTLHNLYLITFNPVGRSAVGHVFSLDKNLQSLITLMEYYSKEALGDSK SKKSVAYNYACVLTLVVAQSSSGVQMLEQHAASLLKLCKADENNAKLQELGKWLEPLKNL RFEINCIPNLIEYVKQNIDNLMTAEGVGLTTALRVLCNVACPPPPVEGQQKDLKWNLAVI QLFSAEGMDTFIRVLQKLNSILTQPWRLHVNMGTTLHRVTTISMARCTLTLLKTMLTELL RGGSFEFKDMRVPSALVTLHMLLCSIPLSGRLDSDEQKIQNDIIDILLTFTQGVNEKLTI SEETLANNTWSLMLKEVLSSILKVPEGFFSGLILLSELLPLPLPMQTTQVIEPHDISVAL NTRKLWSMHLHVQAKLLQEIVRSFSGTTCQPIQHMLRRICVQLCDLASPTALLIMRTVLD LIVEDLQSTSEDKEKQYTSQTTRLLALLDALASHKACKLAILHLINGTIKGDERYAEIFQ DLLALVRSPGDSVTRQQCVEYVTSILQSLCDQDIALILPSPSEGPASELEQLSNSLPSKE LMTAICDCLLATLANSESSYNCLLTCVRTMMFLAEHDYGLFHLKSSLRKNSSALHSLLKR VVSTFSKDTGELASASLDFMRQILNADAMGCCGDDSGLMEVEGAHPPRTMSLNAAELKQL LQSKEESPESLFLELEKLVLEHSKDDDSLESLLDNVIGLKQMLESSGEPLPLSDQDVEPV LSAPESLQNLFNNRTAYVLADVMDDQLKSMWFTPFQAEEIDTDLDLVKVDLIELSEKCCS DFDLHSELERSFLSEPSSPGRSKTTKGFKLGKHKHETFITSSGKSEYIEPAKRAHVVPPP RGRGRGGFGQGIRPHDIFRQRKQNTSRPPSMHVDDFVAAESKEVVPQDGIPPPKRPLKVS QKISSRGGFSGNRGGRGAFHSQNRFFTPPASKGNYSRREGTRGSSWSAQNTPRGNYNESR GGQSNFNRGPLPPLRPLSSTGYRPSPRDRASRGRGGLGPSWASTNSGSGGSRGKFVSGGS GRGRHVRSFTR >ENSMUSP00000103943.2 pep:known chromosome:GRCm38:4:11486043:11550684:1 gene:ENSMUSG00000040720.15 transcript:ENSMUST00000108307.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110037F02Rik description:RIKEN cDNA 1110037F02 gene [Source:MGI Symbol;Acc:MGI:1913435] MAVDSSMELLFLDTFKHPSAEQSSHIDVVRFPCVVYINEVRVIPPGVRAHSGLPDNRAYG ETSPHTFQLDLFFNNVSKPSAPVFDRLGSLEYDENTSIIFRPNSKVNTDGLVLRGWYNCL TLAIYGSVDRVISHDRDSPPPPPPPPPPPQPQPTLKRNLKHADGEKEDQFNGSPPRPQPR GPRTPPGPPPPDDDEDDPMSLPVSGDKEEDVPHREDYFEPISPDRNSVPQEGQYSDEGEV EEEPQEEGEDDEDDVDVEEEEDEDEDDCHTVDSIPDDEEEDEEEEGEEDEEGEGDDGYEQ ISSDEDGIADLERETFKYPNFDVEYTPEDLASVPPMTYDPYDRELAPLLYFSCPYKTTFE IEISRMKDQGPDKENSGAVEASVKLTELLDLYQEDRGAKWVTALEEIPSLIIKGLSYLQL KNTEQDSLGQLVDWTMQALNLQVAFRQPIALNVRQLKAGTKLVTSLAECGAPGVTELLQA GVINVLFDLLFADHVSSSLKLNAFKALDSVISMTEGMEAFLRSTQNEKSGYQRLLELILL DQTVRVVTAGSAILQKCHFYEILSEIKRLGDHIAEKTSAVPNHSEPDQDTDAVLERANPD YENEVEASMDMDLLESSIISEGEIEKLTNLLEEVFHVMETAPHTMTQPPVKSFPTIARIT GPPERDDPYPVLFRGPGFGFQHSIVAHSHQLLQFQRVQCPLLAYVGTVHTWYIDTCKQNT HDIRYLHSHHFLELVTLLLSIPITSAHQGVLQATKDVLKFLAQSQKGLLFFMSEYEATNL LIRALCHLYDQDEEEGLQSDGADDAFALWLQDSTQTLQCITELFSHFQRCTASEETDHSD LLGTLHNLYLITFNPVGRSAVGHVFSLDKNLQSLITLMEYYSKEALGDSKSKKSVAYNYA CVLTLVVAQSSSGVQMLEQHAASLLKLCKADENNAKLQELGKWLEPLKNLRFEINCIPNL IEYVKQNIDNLMTAEGVGLTTALRVLCNVACPPPPVEGQQKDLKWNLAVIQLFSAEGMDT FIRVLQKLNSILTQPWRLHVNMGTTLHRVTTISMARCTLTLLKTMLTELLRGGSFEFKDM RVPSALVTLHMLLCSIPLSGRLDSDEQKIQNDIIDILLTFTQGVNEKLTISEETLANNTW SLMLKEVLSSILKVPEGFFSGLILLSELLPLPLPMQTTQVIEPHDISVALNTRKLWSMHL HVQAKLLQEIVRSFSGTTCQPIQHMLRRICVQLCDLASPTALLIMRTVLDLIVEDLQSTS EDKEKQYTSQTTRLLALLDALASHKACKLAILHLINGTIKGDERYAEIFQDLLALVRSPG DSVTRQQCVEYVTSILQSLCDQDIALILPSPSEGPASELEQLSNSLPSKELMTAICDCLL ATLANSESSYNCLLTCVRTMMFLAEHDYGLFHLKSSLRKNSSALHSLLKRVVSTFSKDTG ELASASLDFMRQILNADAMGCCGDDSGLMEVEGAHPPRTMSLNAAELKQLLQSKEESPES LFLELEKLVLEHSKDDDSLESLLDNVIGLKQMLESSGEPLPLSDQDVEPVLSAPESLQNL FNNRTAYVLADVMDDQLKSMWFTPFQAEEIDTDLDLVKVDLIELSEKCCSDFDLHSELER SFLSEPSSPGRSKTTKGFKLGKHKHETFITSSGKSEYIEPAKRAHVVPPPRGRGRGGFGQ GIRPHDIFRQRKQNTSRPPSMHVDDFVAAESKEVVPQDGIPPPKRPLKVSQKISSRGGFS GNRGGRGAFHSQNRFFTPPASKGNYSRREGTRGSSWSAQNTPRGNYNESRGGQSNFNRGP LPPLRPLSSTGYRPSPRDRASRGRGGLGPSWASTNSGSGGSRGKFVSGGSGRGRHVRSFT R >ENSMUSP00000070117.2 pep:known chromosome:GRCm38:X:73233688:73243068:-1 gene:ENSMUSG00000031362.17 transcript:ENSMUST00000068551.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4c description:X-linked lymphocyte-regulated 4C [Source:MGI Symbol;Acc:MGI:3574099] MASKIKGRPPKQPKVTPALPSNDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSVNKTVQNIEWNVDHFLKVQHERRQELYKDYSHQFLTLVMMWNIDVDQI KKQAGKLSDILDEQQKLFQQFQSIHMQKIEEFKELCDRHLKNLQAIKCCRRKAIIEEARK LMDYLEKRVTEETVHVNKQEAKDGVQSSLLSLLFS >ENSMUSP00000110177.1 pep:known chromosome:GRCm38:X:73234079:73243027:-1 gene:ENSMUSG00000031362.17 transcript:ENSMUST00000114531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4c description:X-linked lymphocyte-regulated 4C [Source:MGI Symbol;Acc:MGI:3574099] MASKIKGRPPKQPKVTPALPSNDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSVNKTVQNIEWNVDHFLKVQHERRQELYKDYSHQFLTLVMMWNIDVDQI KKQAGKLSDILDEQQKLFQQFQSIHMQKIEEFKELCDRHLKNLQAIKCCRRKAIIEEARK LMDYLEKRVTEETVHVNKQEAKDGVQSSLLSLLFS >ENSMUSP00000110176.1 pep:known chromosome:GRCm38:X:73234079:73243130:-1 gene:ENSMUSG00000031362.17 transcript:ENSMUST00000114530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4c description:X-linked lymphocyte-regulated 4C [Source:MGI Symbol;Acc:MGI:3574099] MASKIKGRPPKQPKVTPALPSNDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSVNKTVQNIEWNVDHFLKVQHERRQELYKDYSHQFLTLVMMWNIDVDQI KKQAGKLSDILDEQQKLFQQFQSIHMQKIEEFKELCDRHLKNLQAIKCCRRKAIIEEARK LMDYLEKRVTEETVHVNKQEAKDGVQSSLLSLLFS >ENSMUSP00000110174.1 pep:known chromosome:GRCm38:X:73239672:73243049:-1 gene:ENSMUSG00000031362.17 transcript:ENSMUST00000114528.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4c description:X-linked lymphocyte-regulated 4C [Source:MGI Symbol;Acc:MGI:3574099] MASKIKGRPPKQPKVTPALPSNDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSV >ENSMUSP00000056765.4 pep:known chromosome:GRCm38:11:32455370:32516687:1 gene:ENSMUSG00000044949.4 transcript:ENSMUST00000051053.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtd2 description:ubiquitin domain containing 2 [Source:MGI Symbol;Acc:MGI:2444752] MGGCVGAQHDSSGSLNENSDGTGVALGRNQPLKKEKPKWKSDYPMTDGQLRSKRDEFWDT APAFEGRKEIWDALKAAAHAFESNDHELAQAIIDGANITLPHGALTECYDELGNRYQLPV YCLAPPINMIEEKSDIETLDIPEPPPNSGHESQLRLRLSTGKDLRLVVRSTDTVFHMKRR LHATEGVEPGSQRWFFSGRPLTDKMKLEELKIPKDYVVQVIVSQPVQTPTPVEN >ENSMUSP00000018246.4 pep:known chromosome:GRCm38:13:23684199:23692488:1 gene:ENSMUSG00000018102.4 transcript:ENSMUST00000018246.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bc description:histone cluster 1, H2bc [Source:MGI Symbol;Acc:MGI:1915274] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000028156.7 pep:known chromosome:GRCm38:2:28609455:28621945:-1 gene:ENSMUSG00000026815.14 transcript:ENSMUST00000028156.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfi1b description:growth factor independent 1B [Source:MGI Symbol;Acc:MGI:1276578] MPRSFLVKSKKAHTYHQPRAQGDELVWPPAVIPVAKEHSQSASPLLSTPLPSQTLDWNTI KQEREMLLNQSLPKMASAPEGPLVTPQPQDGESPLSESPPFYKPSFSWDTLASSYSHSYT QTPSTMQSAFLERSVRLYGSPLVPSTESPLDFRLRYSPGMDTYHCVKCNKVFSTPHGLEV HVRRSHSGTRPFACDVCGKTFGHAVSLEQHTHVHSQERSFECRMCGKAFKRSSTLSTHLL IHSDTRPYPCQFCGKRFHQKSDMKKHTYIHTGEKPHKCQVCGKAFSQSSNLITHSRKHTG FKPFSCELCTKGFQRKVDLRRHRESQHNLK >ENSMUSP00000128052.1 pep:known chromosome:GRCm38:2:28609450:28621982:-1 gene:ENSMUSG00000026815.14 transcript:ENSMUST00000164290.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfi1b description:growth factor independent 1B [Source:MGI Symbol;Acc:MGI:1276578] MPRSFLVKSKKAHTYHQPRAQGDELVWPPAVIPVAKEHSQSASPLLSTPLPSQTLDWNTI KQEREMLLNQSLPKMASAPEGPLVTPQPQDGESPLSESPPFYKPSFSWDTLASSYSHSYT QTPSTMQSAFLERSVRLYGSPLVPSTESPLDFRLRYSPGMDTYHCVKCNKVFSTPHGLEV HVRRSHSGTRPFACDVCGKTFGHAVSLEQHTHVHSQGVPAGSSPTPTLAVPGLEAPPAPD PPGPRFLRQERSFECRMCGKAFKRSSTLSTHLLIHSDTRPYPCQFCGKRFHQKSDMKKHT YIHTGEKPHKCQVCGKAFSQSSNLITHSRKHTGFKPFSCELCTKGFQRKVDLRRHRESQH NLK >ENSMUSP00000096343.1 pep:known chromosome:GRCm38:7:20138123:20139088:-1 gene:ENSMUSG00000096164.1 transcript:ENSMUST00000098747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r93 description:vomeronasal 1 receptor 93 [Source:MGI Symbol;Acc:MGI:3033490] MSVRGKSLKTTEEVALQIILLCQFGVGTMANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTVFPNNMMAFAPRNPPTELKCKLKFFSHLVTRSTNLCSTCVLSIHQFVILVP ISRGKLLLRASVPNLVSYSCYGCWFFSVLSNIHIPIKVTGPQITDNNTDSKSKFFCSTSG FTVRIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAESRATHTI LMLVVTFVSFYLLNFICIIFHTFFIHYNLFIRLLGEILAAGFPTISPLLLIFRDPKNPCL ELFHCFKPESLQNLKHRRQLH >ENSMUSP00000030642.2 pep:known chromosome:GRCm38:4:126677630:126709714:1 gene:ENSMUSG00000028837.8 transcript:ENSMUST00000030642.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb2 description:proteasome (prosome, macropain) subunit, beta type 2 [Source:MGI Symbol;Acc:MGI:1347045] MEYLIGIQGPDYVLVASDRVAASNIVQMKDDHDKMFKMSEKILLLCVGEAGDTVQFAEYI QKNVQLYKMRNGYELSPTAAANFTRRNLADCLRSRTPYHVNLLLAGYDEHEGPALYYMDY LAALAKAPFAAHGYGAFLTLSILDRYYTPTISRERAVELLRKCLEELQKRFILNLPTFSV RVIDKDGIHNLENIAFPKRDS >ENSMUSP00000018313.4 pep:known chromosome:GRCm38:15:78755882:78773475:-1 gene:ENSMUSG00000018169.12 transcript:ENSMUST00000018313.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfng description:MFNG O-fucosylpeptide 3-beta-N-acetylglucosaminyltransferase [Source:MGI Symbol;Acc:MGI:1095404] MHCRLFRGMAGALFTLLCVGLLSLRYHSSLSQRMIQGALRLNQRNPGPLELQLGDIFIAV KTTWAFHRSRLDLLLDTWVSRIRQQTFIFTDSPDERLQERLGPHLVVTNCSAEHSHPALS CKMAAEFDAFLVSGLRWFCHVDDDNYVNPKALLQLLKTFPQDRDVYVGKPSLNRPIHASE LQSKNRTKLVRFWFATGGAGFCINRQLALKMVPWASGSHFVDTSALIRLPDDCTVGYIIE CKLGGRLQPSPLFHSHLETLQLLGAAQLPEQVTLSYGVFEGKLNVIKLPGPFSHEEDPSR FRSLHCLLYPDTPWCPLLAAP >ENSMUSP00000103824.2 pep:known chromosome:GRCm38:11:82176657:82179812:-1 gene:ENSMUSG00000020702.13 transcript:ENSMUST00000108189.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl1 description:chemokine (C-C motif) ligand 1 [Source:MGI Symbol;Acc:MGI:98258] MKPTAMALMCLLLAAVWIQDVDSKSMLTVSNSCCLNTLKKELPLKFIQCYRKMGSSCPDP PAVVFRLNKGRESCASTNKTWVQNHLKKVNPC >ENSMUSP00000021043.4 pep:known chromosome:GRCm38:11:82176659:82179812:-1 gene:ENSMUSG00000020702.13 transcript:ENSMUST00000021043.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl1 description:chemokine (C-C motif) ligand 1 [Source:MGI Symbol;Acc:MGI:98258] MKPTAMALMCLLLAAVWIQDVDSKSMLTVSNSCCLNTLKKELPLKFIQCYRKMGSSCPDP PAVVVRSSGVPGLTEAEKTVTDSSE >ENSMUSP00000103668.1 pep:known chromosome:GRCm38:4:41769467:41770224:-1 gene:ENSMUSG00000073888.12 transcript:ENSMUST00000108033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] MEGLSPASSLPLLLLLLSPAPEAALPLPSSTSCCTQLYRQPLPSRLLRRIVHMELQEADG DCHLQAVVLHLARRSVCVHPQNRSLARWLERQGKRLQGTVPSLNLVLQKKMYSNPQQQN >ENSMUSP00000103670.1 pep:known chromosome:GRCm38:4:41769467:41771547:-1 gene:ENSMUSG00000073888.12 transcript:ENSMUST00000108035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] MSPTSQRLSLEAPSLPLRSWHPWNKTKQKQEALPLPSSTSCCTQLYRQPLPSRLLRRIVH MELQEADGDCHLQAVVLHLARRSVCVHPQNRSLARWLERQGKRLQGTVPSLNLVLQKKMY SNPQQQN >ENSMUSP00000103671.1 pep:known chromosome:GRCm38:4:41769467:41774097:-1 gene:ENSMUSG00000073888.12 transcript:ENSMUST00000108036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] MSRLRRYEVALEAEEEIYWGCFYFFPWLRMWRRERSPMSPTSQRLSLEAPSLPLRSWHPW NKTKQKQEALPLPSSTSCCTQLYRQPLPSRLLRRIVHMELQEADGDCHLQAVVLHLARRS VCVHPQNRSLARWLERQGKRLQGTVPSLNLVLQKKMYSNPQQQN >ENSMUSP00000103672.2 pep:known chromosome:GRCm38:4:41769467:41774142:-1 gene:ENSMUSG00000073888.12 transcript:ENSMUST00000108037.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] MSRLRRYEVALEAEEEIYWGCFYFFPWLRMWRRERSPMSPTSQRLSLEAPSLPLRSWHPW NKTKQKQEALPLPSSTSCCTQLYRQPLPSRLLRRIVHMELQEADGDCHLQAVVLHLARRS VCVHPQNRSLARWLERQGKRLQGTVPSLNLVLQKKMYSNPQQQN >ENSMUSP00000103667.2 pep:known chromosome:GRCm38:4:41773188:41774158:-1 gene:ENSMUSG00000073888.12 transcript:ENSMUST00000108032.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] MSRLRRYEVALEAEEEIYWGCFYFFPWLRMWRRERSSAHPREQKLEPLRGLMSCLSSGLR PAPQRSGRGLLCRTPTAAAQTAGALKI >ENSMUSP00000073990.3 pep:known chromosome:GRCm38:4:41769478:41770212:-1 gene:ENSMUSG00000073888.12 transcript:ENSMUST00000074387.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] MMEGLSPASSLPLLLLLLSPAPEAALPLPSSTSCCTQLYRQPLPSRLLRRIVHMELQEAD GDCHLQAVVLHLARRSVCVHPQNRSLARWLERQGKRLQGTVPSLNLVLQKKMYSNPQQQN >ENSMUSP00000133827.1 pep:known chromosome:GRCm38:4:41772895:41774101:-1 gene:ENSMUSG00000073888.12 transcript:ENSMUST00000173865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] MSRLRRYEVALEAEEEIYWGCFYFFPWLRMWRRERSSAHPREQKLEPLRGLMSCLSSGLR PAPQRSGRGLLCRTPTAAAQTAGALKI >ENSMUSP00000105752.2 pep:known chromosome:GRCm38:15:63775968:63808759:-1 gene:ENSMUSG00000079025.8 transcript:ENSMUST00000110125.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmc description:gasdermin C [Source:MGI Symbol;Acc:MGI:1933176] MSYTFDWLSKDVVKKLQGRDLRPVKCLSDATKFCLFNILQETSSRLALKTEYIPVGFTLL HLLEPNIPVPEPEVSAPIPLKHTISQKLKADLDVETIAGGEAGFVKSCGYDIEVQSKSIP NPKLESLQNRKLLDQLPTFMKTCWKDGKNLYVVTEAYEVTKDTVLEGTSNSKFAIKGIIN QLVKVGGSGQWQTEKTDSIPIQKGSVLAYKKQQLVIEDNTCVILTSANTKKKMTFPMRFV GMSGHLRYQDLVIETGSWINDIDPIGTIKEPTHLDFMCLQNEVSEQTRLLAELSKDVQEV VFSSFLHMLCDRDVLYDLMKMLELNQLGHMDGPGGKILDELRKDSSLSWINLKDLILYLL QALMVLSDTQLCLLALSVEMRLLPHQVELVKSILQPNFKYPWNIPFTLQPQLLAPLQGEG LAITYELLEECGLKMELNNPRSTWDLEAKMPLSALYGSLSFLQQLSEA >ENSMUSP00000133683.1 pep:known chromosome:GRCm38:15:63778677:63804410:-1 gene:ENSMUSG00000079025.8 transcript:ENSMUST00000173503.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmc description:gasdermin C [Source:MGI Symbol;Acc:MGI:1933176] XLLEPNIPVPEPEVSAPIPLKHTISQKLKADLDVETIAGGEAGFVKSCGYDIEVQSKSIP NPKLESLQNRKLLDQLPTFMKTCWKDGKNLYVVTEAYEVTKDTVLEGTSNSKFAIKGIIN QLVKVGGSGQWQTEKTDSIPIQKGSVLAYKKQQLVIEDNTCVILTSANTKKKMTFPMRFV GMSGHLRYQDLVIETGSWINDIDPIDFMCLQNEVS >ENSMUSP00000109306.2 pep:known chromosome:GRCm38:5:67306955:67358440:1 gene:ENSMUSG00000029221.15 transcript:ENSMUST00000113676.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a9 description:solute carrier family 30 (zinc transporter), member 9 [Source:MGI Symbol;Acc:MGI:1923690] MFPGLAAAAAAHRCSWAALCRLGGGRAATRGRSQGWKNVMTFESFTYVVPDIHPHLSIIN QVKLYSTNVQKGGQGSQTPKADKVPSLTQTVKAVLKKRDYGSKYTKNNFITGVRAINEFC LKSSDLEQLRKIRRRSPHDDTESFTVFLRSDVEAKALEVWGSLEALAREKKLRKEAEIEY RERLFRNQRILREYGDFLGNTKPRSRAVSVFLKGPGKVVMVAICINGLNCFFKFLAWIYT GSASMFSEAIHSLSDTCNQGLLALGISKSVQTPDPSHPYGFSNMRYISSLISGVGIFMMG AGLSWYHGIMGLLHPQPMESLLWAYCILAGSLVSEGATLLVAINELRRSAQAKGTTFYKY VMESRDPSTNVILLEDTAAVLGVIIAATCMGLTSITGNPLYDSLGSLGVGTLLGVVSAFL IYTNTEALLGRSIQPEQVQRLTELLESDPSVRAIHDVKATDLGLGKVRFKAEVDFDGRVV TRSYLEKQDFDQMMQEIQEVKTPEQLEAFMLKHGENIIDTLGAEVDRLEKELKKRNPEVR HVDLEIL >ENSMUSP00000124047.1 pep:known chromosome:GRCm38:5:67306955:67358443:1 gene:ENSMUSG00000029221.15 transcript:ENSMUST00000162372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a9 description:solute carrier family 30 (zinc transporter), member 9 [Source:MGI Symbol;Acc:MGI:1923690] MFPGLAAAAAAHRCSWAALCRLGGGRAATRGRSQGWKNVMTFESFTYVVPDIHPHLSIIN QVKLYSTNVQKGGQGSQTPKADKVPSLTQTVENIGAELKAPLKQDPLQVRVKAVLKKRDY GSKYTKNNFITGVRAINEFCLKSSDLEQLRKIRRRSPHDDTESFTVFLRSDVEAKALEVW GSLEALAREKKLRKEAEIEYRERLFRNQRILREYGDFLGNTKPRSRAVSVFLKGPGKVVM VAICINGLNCFFKFLAWIYTGSASMFSEAIHSLSDTCNQGLLALGISKSVQTPDPSHPYG FSNMRYISSLISGVGIFMMGAGLSWYHGIMGLLHPQPMESLLWAYCILAGSLVSEGATLL VAINELRRSAQAKGTTFYKYVMESRDPSTNVILLEDTAAVLGVIIAATCMGLTSITGNPL YDSLGSLGVGTLLGVVSAFLIYTNTEALLGRSIQPEQVQRLTELLESDPSVRAIHDVKAT DLGLGKVRFKAEVDFDGRVVTRSYLEKQDFDQMMQEIQEVKTPEQLEAFMLKHGENIIDT LGAEVDRLEKELKKRNPEVRHVDLEIL >ENSMUSP00000144067.1 pep:known chromosome:GRCm38:5:67306965:67355786:1 gene:ENSMUSG00000029221.15 transcript:ENSMUST00000202521.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc30a9 description:solute carrier family 30 (zinc transporter), member 9 [Source:MGI Symbol;Acc:MGI:1923690] MFPGLAAAAAAHRCSWAALCRLGGGRAATRGRSQGE >ENSMUSP00000144546.1 pep:known chromosome:GRCm38:5:67315700:67342163:1 gene:ENSMUSG00000029221.15 transcript:ENSMUST00000200734.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc30a9 description:solute carrier family 30 (zinc transporter), member 9 [Source:MGI Symbol;Acc:MGI:1923690] XESFTYVVPDIHPHLSIINQVKLYSTNVQKGGQGSQTPKADKVPSLTQTVI >ENSMUSP00000144579.1 pep:known chromosome:GRCm38:5:67315726:67328496:1 gene:ENSMUSG00000029221.15 transcript:ENSMUST00000202770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a9 description:solute carrier family 30 (zinc transporter), member 9 [Source:MGI Symbol;Acc:MGI:1923690] XIHPHLSIINQVKLYSTNVQKGGQGSQTPKADKVPSLTQTENIGAELKAPLKQDPLQVRV KAVLKKRDYGSKYTKNNFITGVRAINEFCLKSSDLEQLRKIRRRSPHDDTESFTVFLRSD VEAKALEVWGSLEALAREKKLR >ENSMUSP00000028350.3 pep:known chromosome:GRCm38:2:24949792:24962075:1 gene:ENSMUSG00000026974.11 transcript:ENSMUST00000028350.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd19 description:zinc finger, MYND domain containing 19 [Source:MGI Symbol;Acc:MGI:1914437] MTDFKLGIVRLGRVAGKTKYTLIDEQDIPLVESYSFEARMEVDADGNGAKIFAYAFDKNR GRGSGRLLHELLWERHRGGVAPGFQVVHLNAVTVDNRLDNLQLVPWGWRPKAEETSSKQR EQSLYWLAIQQLPTDPIEEQFPVLNVTRYYNANGDVVEEEENSCTYYECHYPPCTVIEKQ LREFNICGRCQVARYCGSQCQQKDWPAHKKHCRERKRPFQHELEPER >ENSMUSP00000141788.1 pep:known chromosome:GRCm38:2:24950210:24959266:1 gene:ENSMUSG00000026974.11 transcript:ENSMUST00000148042.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd19 description:zinc finger, MYND domain containing 19 [Source:MGI Symbol;Acc:MGI:1914437] MEVDADGNGAKIFAYAFDKNRGRGSGRLLHELLWERHRGGVAPGFQVVHLNAVTVDNRLD NLQLVPWGWRPKAEETSSKQREQSLYWLAIQQLPTDPIEEQFPVLNVTRYYNANGDVVEE EENSCTYYECHYPPCTVIEKQLREFNICGRCQVARYCGSQCQQKDWPAHKKHCRERKRPF QHELEPER >ENSMUSP00000082814.3 pep:known chromosome:GRCm38:5:145204562:145221750:1 gene:ENSMUSG00000055991.15 transcript:ENSMUST00000085671.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan5 description:zinc finger with KRAB and SCAN domains 5 [Source:MGI Symbol;Acc:MGI:107533] MIMTESRAVIHLEPPAETSQEQADLLIVKVEEEDCSWMQGYNRPVLETFYQRFKHFQYHE AAGPRDALSQLRVLCCEWLRPELHTKEQILELLVLEQFLTILPEEFQAWVREHHPESGEE AVAVIESIQRELEERRQQIATSPEVLPQKMVPPGATQESFSHQCLPVEAQPERESQNLLE ENALPVLQVSSVPLKDSQELTDSLLSDGPQKLVKTEDVADVAVSFILEEWAHLDQSQKSL GRDSRKEDCESTTPVDYEPKEGNLEFTVQQVSDAADPHWVAAERTEKNGVQRPESGEVSD LKDMVPRWQVNPTSGNPRQKRPLRSGPDVNRKQKSNGERGHRCGDCGKFFLQASNFIQHR RIHTGEKPFKCGECGKSYNQRVHLTQHQRVHTGEKPYKCQVCGKAFRVSSHLVQHHSVHS GERPYGCNECGKSFGRHSHLIEHLKRHFREKSQRCSDRRSKNTKLNIKQIPGLSEADLEL SGEVQRNACQAEGHSEGCEHQDGQQGVVMKETLGQSSSKRTDCNEFSYVHKKSSPGERPH QCNECGKSFIQSAHLIQHRRIHTGEKPFRCEECGKSYNQRVHLTQHHRVHTGEKPYACHL CGKAFRVRSHLVQHQSVHSRERPFKCNECGKGFGRRSHLAGHLRLHSRDKSHQCHECGEI FFQYVSLLEHQVLHVGQKSEKNGICEEAYSWNLTVIKDKKLELQEQPYQCDSCGKAFSYS SDLIQHYRTHSAEKPQKCDACRDSTCQCPHIKQQQKSCPSGKSHQCNECGRGFSLKSHLS QHQRIHTGEKPLQCKECGMSFSWSCSLFKHLRSHERTDP >ENSMUSP00000031601.7 pep:known chromosome:GRCm38:5:145204668:145221750:1 gene:ENSMUSG00000055991.15 transcript:ENSMUST00000031601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan5 description:zinc finger with KRAB and SCAN domains 5 [Source:MGI Symbol;Acc:MGI:107533] MIMTESRAVIHLEPPAETSQEQADLLIVKVEEEDCSWMQGYNRPVLETFYQRFKHFQYHE AAGPRDALSQLRVLCCEWLRPELHTKEQILELLVLEQFLTILPEEFQAWVREHHPESGEE AVAVIESIQRELEERRQQIATSPEVLPQKMVPPGATQESFSHQCLPVEAQPERESQNLLE ENDYEPKEGNLEFTVQQVSDAADPHWVAAERTEKNGVQRPESGEVSDLKDMVPRWQVNPT SGNPRQKRPLRSGPDVNRKQKSNGERGHRCGDCGKFFLQASNFIQHRRIHTGEKPFKCGE CGKSYNQRVHLTQHQRVHTGEKPYKCQVCGKAFRVSSHLVQHHSVHSGERPYGCNECGKS FGRHSHLIEHLKRHFREKSQRCSDRRSKNTKLNIKQIPGLSEADLELSGEVQRNACQAEG HSEGCEHQDGQQGVVMKETLGQSSSKRTDCNEFSYVHKKSSPGERPHQCNECGKSFIQSA HLIQHRRIHTGEKPFRCEECGKSYNQRVHLTQHHRVHTGEKPYACHLCGKAFRVRSHLVQ HQSVHSRERPFKCNECGKGFGRRSHLAGHLRLHSRDKSHQCHECGEIFFQYVSLLEHQVL HVGQKSEKNGICEEAYSWNLTVIKDKKLELQEQPYQCDSCGKAFSYSSDLIQHYRTHSAE KPQKCDACRDSTCQCPHIKQQQKSCPSGKSHQCNECGRGFSLKSHLSQHQRIHTGEKPLQ CKECGMSFSWSCSLFKHLRSHERTDP >ENSMUSP00000124838.1 pep:known chromosome:GRCm38:5:145205123:145218562:1 gene:ENSMUSG00000055991.15 transcript:ENSMUST00000161896.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zkscan5 description:zinc finger with KRAB and SCAN domains 5 [Source:MGI Symbol;Acc:MGI:107533] MIMTESRAVIHLEPPAETSQEQADLLIVKVEEEDCSWMQGYNRPVLETFYQRFKHFQYHE AAGPRDALSQLRVLCCEWLRPELHTKEQILELLVLEQFLTILPEEFQAWVREHHPESGEE AVAVIESIQRELEERRQQLWLLDCHKSRSTSSEDGTTRSHAGVLQSPVSTCGGPARARVT ESSGRKCPSCSPGFFRSPEGQPGADRFTPLRWAPEVGEN >ENSMUSP00000124171.1 pep:known chromosome:GRCm38:5:145207604:145218426:1 gene:ENSMUSG00000055991.15 transcript:ENSMUST00000162168.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zkscan5 description:zinc finger with KRAB and SCAN domains 5 [Source:MGI Symbol;Acc:MGI:107533] XKMVPPGATQESFSHQCLPVEAQPERESQNLLEENGLVNRPVHTAGYSGTYL >ENSMUSP00000124544.1 pep:known chromosome:GRCm38:5:145207634:145218480:1 gene:ENSMUSG00000055991.15 transcript:ENSMUST00000161881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan5 description:zinc finger with KRAB and SCAN domains 5 [Source:MGI Symbol;Acc:MGI:107533] XSFSHQCLPVEAQPERESQNLLEENALPVLQVSSVPLKDSQELTDSLLSDGPQKLVKTED VADVAVSFILEEWAHLDQSQKSLDYEPKEGNLEFTVQQVSDAADPHWVAAERTEKNGVQR PESGEVSDLKDMVPRWQVNPTSGNPRQK >ENSMUSP00000020016.4 pep:known chromosome:GRCm38:10:14715623:14718214:-1 gene:ENSMUSG00000019867.4 transcript:ENSMUST00000020016.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gje1 description:gap junction protein, epsilon 1 [Source:MGI Symbol;Acc:MGI:1923993] MSLNYIKNFYEGCVKPPTVIGQFHTLFFGSVRMFFLGVLGFAVYGNEALHFSCDPDKREI NLFCYNQFRPITPQVFWALQLVIVLLPGAIFHLYAACKSINQDCILQKPVYTVIYVLSVL LRISLEVFAFWLQIHLFGFQVKPIYLCDTESLGKKPNILKCMVPEHFEKTIFLIAMYTFT VITMVLCVAEVFEIIFRRSCFLFKR >ENSMUSP00000042574.7 pep:known chromosome:GRCm38:7:107595266:107744885:1 gene:ENSMUSG00000036528.15 transcript:ENSMUST00000040056.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp2 description:PTPRF interacting protein, binding protein 2 (liprin beta 2) [Source:MGI Symbol;Acc:MGI:894649] MTSDASHMLEAALEQMDGIIAGTKTAADFSDGTCEPGLSPPSTCLNSMPVLHLIEDLRLA LEMLALPQEREALLSQVPGPTATYIKEWFEDSLSQVNHHGAASNETYQERLARLEGDKES LILQVSVLTDQVEAQGEKIRDLEVCLEGHQVKLNAAEEMLQQELLSRTSLETQKLDLMTE VSELKLKLVGMEKEQKEQEEKQRKAEELLQELKHLKIKVEELENERNQYEWELKATKAEV AQLQEQVALKDAEIERLHSQLSRSAALHSDHAERDQEIHRLKMGMETLLVANEDKDRRIE ELTGLLNKYLRVKEIVMATQGPSERTLSINEDEIEGSFRKWNTTNKSPEEVPKQEISPRC SSPTPGPPPLPQKSLESRAQKKLSCSLEDLRRESGDKCVDGNQLSPVGEPKDSSFLAEQK YPTLPGKLSGATPNGEAAKSPPTASLQPDSSGSSQPKLNRGWSVSAPVLGDTEGGWEDIV SSASSGTESSPQSPVTPDGKRSPKGIKKFWGKIRRTQSGNFNTDAPGMAEFRRGGLRATA GPRLSRTRDTKGQKCDANAPFAQWSTERVCTWMEDFGLGQYVIFARQWVTSGHTLLTATP QDMEKELGIKHPLHRKKLVLAVKAINAKQEETSALLDHIWVTRWLDDIGLPQYKDQFHES RVDGRMLQYLTVNDLLFLKVTSQLHHLSIKCAIHVLHVNKFNPNCLHRRPADESNLSPSE VVQWSNHRVMEWLRSVDLAEYAPNLRGSGVHGGLIILEPRFTGDTLAMLLNIPPQKTLLR RHLTTKFNALIGPEAEQEKRDKMASPAYTPLTTTAKVRPRKLGFSHFGNMRKKKFDESTD YICPMEPGDAVSDSHRVYGVYRGLSPLDNHELDGLDQVGQIS >ENSMUSP00000146889.1 pep:known chromosome:GRCm38:7:107595285:107697690:1 gene:ENSMUSG00000036528.15 transcript:ENSMUST00000208956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp2 description:PTPRF interacting protein, binding protein 2 (liprin beta 2) [Source:MGI Symbol;Acc:MGI:894649] MTSDASHMLEAALEQMDGIIAGTKTAADFSDGTCEPGLSPPSTCLNSMPVLHLIEDLRLA LEMLALPQEREALLSQVPGPTATYIKEWFEDSLSQVNHHGAASNETYQERLARLEGDKES LILQVSVLTDQVEAQGEKIRDLEVCLEGHQVKLNAAEEMLQQELLSRTSLETQKLDLMTE VSELKLKLVGMEKEQKEQEEKQR >ENSMUSP00000095738.3 pep:known chromosome:GRCm38:7:107606844:107748583:1 gene:ENSMUSG00000036528.15 transcript:ENSMUST00000098134.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp2 description:PTPRF interacting protein, binding protein 2 (liprin beta 2) [Source:MGI Symbol;Acc:MGI:894649] MTSDASHMLEAALEQMDGIIAGTKTAADFSDGTCEPGLSPPSTCLNSMPVLHLIEDLRLA LEMLALPQEREALLSQVPGPTATYIKEWFEDSLSQVNHHGAASNETYQERLARLEGDKES LILQVSVLTDQVEAQGEKIRDLEVCLEGHQVKLNAAEEMLQQELLSRTSLETQKLDLMTE VSELKLKLVGMEKEQKEQEEKQRKAEELLQELKHLKIKVEELENERNQYEWELKATKAEV AQLQEQVALKDAEIERLHSQLSRSAALHSDHAERDQEIHRLKMGMETLLVANEDKDRRIE ELTGLLNKYLRVKEIVMATQGPSERTLSINEDEIEGSFRKWNTTNKSPEEVPKQEISPRC SSPTPGPPPLPQKSLESRAQKKLSCSLEDLRRESGDKCVDGNQLSPVGEPKDSSFLAEQK YPTLPGKLSGATPNGEAAKSPPTASLQPDSSGSSQPKLRDTEGGWEDIVSSASSGTESSP QSPVTPDGKRSPKGIKKFWGKIRRTQSGNFNTDAPGMAEFRRGGLRATAGPRLSRTRDTK GQKCDANAPFAQWSTERVCTWMEDFGLGQYVIFARQWVTSGHTLLTATPQDMEKELGIKH PLHRKKLVLAVKAINAKQEETSALLDHIWVTRWLDDIGLPQYKDQFHESRVDGRMLQYLT VNDLLFLKVTSQLHHLSIKCAIHVLHVNKFNPNCLHRRPADESNLSPSEVVQWSNHRVME WLRSVDLAEYAPNLRGSGVHGGLIILEPRFTGDTLAMLLNIPPQKTLLRRHLTTKFNALI GPEAEQEKRDKMASPAYTPLTTTAKVRPRKLGFSHFGNMRKKKFDESTDYICPMEPGDAV SDSHRVYGVYRGLSPLDNHELDGLDQMAPSEGTVTQIGLLSQDIHRLTTLLSQDQLLNDP PGCP >ENSMUSP00000147038.1 pep:known chromosome:GRCm38:7:107742906:107747886:1 gene:ENSMUSG00000036528.15 transcript:ENSMUST00000208159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp2 description:PTPRF interacting protein, binding protein 2 (liprin beta 2) [Source:MGI Symbol;Acc:MGI:894649] ILEPRFTGDTLAMLLNIPPQKTLLRRHLTTKFNALIGPEAEQEKRDKMASPAYTPLTTTA KVRPRKLGFSHFGNMRKKKFDESTDYICPMEPGDAVSDSHRVYGVYRGLSPLDNHELDGL DQTLLSQDQLLNDPPGCP >ENSMUSP00000136137.1 pep:known chromosome:GRCm38:X:28931821:28954702:-1 gene:ENSMUSG00000095887.7 transcript:ENSMUST00000180207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10096 description:predicted gene 10096 [Source:MGI Symbol;Acc:MGI:3710636] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000137544.1 pep:known chromosome:GRCm38:X:28931926:28953081:-1 gene:ENSMUSG00000095887.7 transcript:ENSMUST00000178672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10096 description:predicted gene 10096 [Source:MGI Symbol;Acc:MGI:3710636] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000032201.5 pep:known chromosome:GRCm38:6:118151745:118197133:-1 gene:ENSMUSG00000030110.13 transcript:ENSMUST00000032201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ret description:ret proto-oncogene [Source:MGI Symbol;Acc:MGI:97902] MAKATSGAAGLGLKLILLLPLLGEAPLGLYFSRDAYWERLYVDQPAGTPLLYVHALRDAP GEVPSFRLGQHLYGVYRTRLHENDWIRINETTGLLYLNQSLDHSSWEQLSIRNGGFPLLT IFLQVFLGSTAQREGECHWPGCTRVYFSFINDTFPNCSSFKAQDLCIPETAVSFRVRENR PPGTFYHFHMLPVQFLCPNISVKYSLLGGDSLPFRCDPDCLEVSTRWALDRELREKYVLE ALCIVAGPGANKETVTLSFPVTVYDEDDSAPTFSGGVGTASAVVEFKRKEGTVVATLQVF DADVVPASGELVRRYTNTLLSGDSWAQQTFRVEHSPIETLVQVNNNSVRATMHNYKLILN RSLSISESRVLQLAVLVNDSDFQGPGAGGILVLHFNVSVLPVTLNLPRAYSFPVNKRARR YAQIGKVCVENCQEFSGVSIQYKLQPSSINCTALGVVTSPEDTSGTLFVNDTEALRRPEC TKLQYTVVATDRQTRRQTQASLVVTVEGTSITEEVGCPKSCAVNKRRPECEECGGLGSPT GRCEWRQGDGKGITRNFSTCSPSTRTCPDGHCDAVESRDANICPQDCLRADIVGGHERGE RQGIKAGYGICNCFPDEKKCFCEPEDSQGPLCDALCRTIITAALFSLIISILLSIFCVCH HHKHGHKPPIASAEMTFCRPAQGFPISYSSSGTRRPSLDSTENQVPVDSFKIPEDPKWEF PRKNLVLGKTLGEGEFGKVVKATAFRLKGRAGYTTVAVKMLKENASQSELRDLLSEFNLL KQVNHPHVIKLYGACSQDGPLLLIVEYAKYGSLRGFLRDSRKIGPAYVSGGGSRNSSSLD HPDERVLTMGDLISFAWQISRGMQYLAEMKLVHRDLAARNILVAEGRKMKISDFGLSRDV YEEDSYVKKSKGRIPVKWMAIESLFDHIYTTQSDVWSFGVLLWEIVTLGGNPYPGIPPER LFNLLKTGHRMERPDNCSEEMYRLMLQCWKQEPDKRPVFADISKDLEKMMVKSRDYLDLA ASTPSDSLLYDDGLSEEETPLVDCNNAPLPRSLPSTWIENKLYGMSDPNWPGESPVPLTR ADGTSTGFPRYANDSVYANWMVSPSAAKLMDTFDS >ENSMUSP00000086169.3 pep:known chromosome:GRCm38:6:118154566:118197718:-1 gene:ENSMUSG00000030110.13 transcript:ENSMUST00000088790.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ret description:ret proto-oncogene [Source:MGI Symbol;Acc:MGI:97902] MAKATSGAAGLGLKLILLLPLLGEAPLGLYFSRDAYWERLYVDQPAGTPLLYVHALRDAP GEVPSFRLGQHLYGVYRTRLHENDWIRINETTGLLYLNQSLDHSSWEQLSIRNGGFPLLT IFLQVFLGSTAQREGECHWPGCTRVYFSFINDTFPNCSSFKAQDLCIPETAVSFRVRENR PPGTFYHFHMLPVQFLCPNISVKYSLLGGDSLPFRCDPDCLEVSTRWALDRELREKYVLE ALCIVAGPGANKETVTLSFPVTVYDEDDSAPTFSGGVGTASAVVEFKRKEGTVVATLQVF DADVVPASGELVRRYTNTLLSGDSWAQQTFRVEHSPIETLVQVNNNSVRATMHNYKLILN RSLSISESRVLQLAVLVNDSDFQGPGAGGILVLHFNVSVLPVTLNLPRAYSFPVNKRARR YAQIGKVCVENCQEFSGVSIQYKLQPSSINCTALGVVTSPEDTSGTLFVNDTEALRRPEC TKLQYTVVATDRQTRRQTQASLVVTVEGTSITEEVGCPKSCAVNKRRPECEECGGLGSPT GRCEWRQGDGKGITRNFSTCSPSTRTCPDGHCDAVESRDANICPQDCLRADIVGGHERGE RQGIKAGYGICNCFPDEKKCFCEPEDSQGPLCDALCRTIITAALFSLIISILLSIFCVCH HHKHGHKPPIASAEMTFCRPAQGFPISYSSSGTRRPSLDSTENQVPVDSFKIPEDPKWEF PRKNLVLGKTLGEGEFGKVVKATAFRLKGRAGYTTVAVKMLKENASQSELRDLLSEFNLL KQVNHPHVIKLYGACSQDGPLLLIVEYAKYGSLRGFLRDSRKIGPAYVSGGGSRNSSSLD HPDERVLTMGDLISFAWQISRGMQYLAEMKLVHRDLAARNILVAEGRKMKISDFGLSRDV YEEDSYVKKSKGRIPVKWMAIESLFDHIYTTQSDVWSFGVLLWEIVTLGGNPYPGIPPER LFNLLKTGHRMERPDNCSEEMYRLMLQCWKQEPDKRPVFADISKDLEKMMVKSRDYLDLA ASTPSDSLLYDDGLSEEETPLVDCNNAPLPRSLPSTWIENKLYGRISHAFTRF >ENSMUSP00000146824.1 pep:known chromosome:GRCm38:7:30036359:30051380:-1 gene:ENSMUSG00000053985.10 transcript:ENSMUST00000207873.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp14 description:zinc finger protein 14 [Source:MGI Symbol;Acc:MGI:99160] MALAQGLVTFGDVAVDFSQEEWEFLDPAQKNLYRDVMWETYSNFISLDLESRFKTDTSSS DKGICEVYSLQWELIEKIKNLSPQGSGLSDDQECKHKTGLQKEPQEGYFGQLKITSEKVT YEKHSFLSEYQRVQNGEKFYECKECRKTFIRRSTLSQHLRIHTGEKPYKCKECGQPFRQR AHLIRHHKLHTGEKPYECKDCGKAFTVLQELTQHQRLHTGEKPYECKECGKAFRVHQQLA RHQRIHTGEKPYECKECGKTFRQCTHLTRHQRLHTSEKLYECKECGKAFVCGPDLRVHQK IHFGEKPYACKDCGKSFRICQQLTVHQSIHTGEKPYECKECGKTFRLRQQLVRHQRIHTH ERPYECLECWKTFSSYSQLISHQSIHVGERPYECEECGKAFRLLSQLTQHQSIHTGEKPY ECQECRKPFRLLSQLTQHRSIHTGEKPYECKDCGKAFRLYSFLSQHQRIHTGEKPYKCKE CKKAFRQHSHLTQHQKIHSGT >ENSMUSP00000146913.1 pep:known chromosome:GRCm38:7:30036891:30051373:-1 gene:ENSMUSG00000053985.10 transcript:ENSMUST00000207072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp14 description:zinc finger protein 14 [Source:MGI Symbol;Acc:MGI:99160] MALAQGLVTFGDVAVDFSQEEWEFLDPAQKNLYRDVMWETYSNFISLDLESRFKTDTSSS DKGICEVYSLQWELIEKIKNLSPQGSGLSDDQECKHKTGLQKEPQEGYFGQLKITSEKVT YEKHSFLSEYQRVQNGEKFYECKECRKTFIRRSTLSQHLRIHTGEKPYKCKECGQPFRQR AHLIRHHKLHTGEKPYECKDCGKAFTVLQELTQHQRLHTGEKPYECKECGKAFRVHQQLA RHQRIHTGEKPYECKECGKTFRQCTHLTRHQRLHTSEKLYECKECGKAFVCGPDLRVHQK IHFGEKPYACKDCGKSFRICQQLTVHQSIHTGEKPYECKECGKTFRLRQQLVRHQRIHTH ERPYECLECWKTFSSYSQLISHQSIHVGERPYECEECGKAFRLLSQLTQHQSIHTGEKPY ECQECRKPFRLLSQLTQHRSIHTGEKPYECKDCGKAFRLYSFLSQHQRIHTGEKPYKCKE CKKAFRQHSHLTQHQKIHSGT >ENSMUSP00000076960.7 pep:known chromosome:GRCm38:7:30038053:30044734:-1 gene:ENSMUSG00000053985.10 transcript:ENSMUST00000077787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp14 description:zinc finger protein 14 [Source:MGI Symbol;Acc:MGI:99160] MALAQGLVTFGDVAVDFSQEEWEFLDPAQKNLYRDVMWETYSNFISLDLESRFKTDTSSS DKGICEVYSLQWELIEKIKNLSPQGSGLSDDQECKHKTGLQKEPQEGYFGQLKITSEKVT YEKHSFLSEYQRVQNGEKFYECKECRKTFIRRSTLSQHLRIHTGEKPYKCKECGQPFRQR AHLIRHHKLHTGEKPYECKDCGKAFTVLQELTQHQRLHTGEKPYECKECGKAFRVHQQLA RHQRIHTGEKPYECKECGKTFRQCTHLTRHQRLHTSEKLYECKECGKAFVCGPDLRVHQK IHFGEKPYACKDCGKSFRICQQLTVHQSIHTGEKPYECKECGKTFRLRQQLVRHQRIHTH ERPYECLECWKTFSSYSQLISHQSIHVGERPYECEECGKAFRLLSQLTQHQSIHTGEKPY ECQECRKPFRLLSQLTQHRSIHTGEKPYECKDCGKAFRLYSFLSQHQRIHTGEKPYKCKE CKKAFRQHSHLTQHQKIHSGT >ENSMUSP00000139695.1 pep:known chromosome:GRCm38:10:14705591:14766771:1 gene:ENSMUSG00000019865.9 transcript:ENSMUST00000191238.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmbr description:neuromedin B receptor [Source:MGI Symbol;Acc:MGI:1100525] MDMQTSGVLLWTSLKAVGIWVVSV >ENSMUSP00000140754.1 pep:known chromosome:GRCm38:10:14705621:14767296:1 gene:ENSMUSG00000019865.9 transcript:ENSMUST00000190114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmbr description:neuromedin B receptor [Source:MGI Symbol;Acc:MGI:1100525] MDMQTSGVLLWTSLKAVGIWVVSVLLAVPEAVFSEVARIGSLDNSSFTACIPYPQTDELH PKIHSVLIFLVYFLIPLVIISIYYYHIAKTLIKSAHNLPGEYNEHTKKQIVHPMKYKDRP GHSFALAERILREFLTCACIT >ENSMUSP00000020015.3 pep:known chromosome:GRCm38:10:14760157:14770850:1 gene:ENSMUSG00000019865.9 transcript:ENSMUST00000020015.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmbr description:neuromedin B receptor [Source:MGI Symbol;Acc:MGI:1100525] MPPRSLSNLSFPTEANESELVPEVWEKDFLPDSDGTTAELVIRCVIPSLYLIIISVGLLG NIMLVKIFLTNSAMRNVPNIFISNLAAGDLLLLLTCVPVDASRYFFDEWVFGKLGCKLIP AIQLTSVGVSVFTLTALSADRYRAIVNPMDMQTSGVLLWTSLKAVGIWVVSVLLAVPEAV FSEVARIGSLDNSSFTACIPYPQTDELHPKIHSVLIFLVYFLIPLVIISIYYYHIAKTLI KSAHNLPGEYNEHTKKQMETRKRLAKIVLVFVGCFVFCWFPNHVLYLYRSFNYKEIDPSL GHMIVTLVARVLSFSNSCVNPFALYLLSESFRKHFNSQLCCGRKSYPERSTSYLLSSSAV RMTSLKSNTKNVVTNSVLLNGHSTKQEIAL >ENSMUSP00000139612.1 pep:known chromosome:GRCm38:10:14760219:14770583:1 gene:ENSMUSG00000019865.9 transcript:ENSMUST00000186382.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nmbr description:neuromedin B receptor [Source:MGI Symbol;Acc:MGI:1100525] MPPRSLSNLSFPTEANESELVPEVWEKDFLPDSDGTTAELVIRCVIPSLYLIIISVGLLG NIMLVKIFLTNSAMRNVPNIFISNLAAGDLLLLLTCVPVDASRYFFDEWVFGKLGCKLIP AIQLTSVGVSVFTLTALSADRYRAIVNPMDMQTSGVLLWTSLKAVGIWVVSVLLAVPEAV FSEVARIGSLDNSSFTACIPYPQTDELHPKIHSVLIFLVYFLIPLVIISIYYYHIAKTLI KSAHNLPGEYNEHTKKQ >ENSMUSP00000140223.1 pep:known chromosome:GRCm38:10:14760219:14770583:1 gene:ENSMUSG00000019865.9 transcript:ENSMUST00000190751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmbr description:neuromedin B receptor [Source:MGI Symbol;Acc:MGI:1100525] MPPRSLSNLSFPTEANESELVPEVWEKDFLPDSDGTTAELVIRCVIPSLYLIIISVGLLG NIMLVKIFLTNSAMRNVPNIFISNLAAGDLLLLLTCVPVDASRYFFDEWVFGKLGCKLIP AIQLTSVGVSVFTLTALSADRWRHGNAWLRLFWSLWAALSSAGFPTTSSTCIGLSTTRR >ENSMUSP00000096777.4 pep:known chromosome:GRCm38:2:154871410:154892935:-1 gene:ENSMUSG00000074656.12 transcript:ENSMUST00000099173.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2s2 description:eukaryotic translation initiation factor 2, subunit 2 (beta) [Source:MGI Symbol;Acc:MGI:1914454] MSGDEMIFDPTMSKKKKKKKKPFMLDEEGDAQTEETQPSETKEVEPEPTEEKDVDADEED SRKKDASDDLDDLNFFNQKKKKKKTKKIFDIDEAEEAIKDVKIESDAQEPAEPEDDLDIM LGNKKKKKKNVKFPEEDEILEKDEALEDEDSKKDDGISFSSQTAWAGSERDYTYEELLNR VFNIMREKNPDMVAGEKRKFVMKPPQVVRVGTKKTSFVNFTDICKLLHRQPKHLLAFLLA ELGTSGSIDGNNQLVIKGRFQQKQIENVLRRYIKEYVTCHTCRSPDTILQKDTRLYFLQC ETCHSRCSVASIKTGFQAVTGKRAQLRAKAN >ENSMUSP00000125248.1 pep:known chromosome:GRCm38:2:154884375:154892795:-1 gene:ENSMUSG00000074656.12 transcript:ENSMUST00000161172.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2s2 description:eukaryotic translation initiation factor 2, subunit 2 (beta) [Source:MGI Symbol;Acc:MGI:1914454] MIFDPTMSKKKKKKKKPFMLDEEGDAQTEETQPSETKEVEPEPTEEKDVDADEEDSRKKD ASDDLDDLNFFNQKKKKKKTKKIFDIDEAEEAIKDVKIESDAQEPAEPEDDLDIMLGN >ENSMUSP00000128257.1 pep:known chromosome:GRCm38:2:154871418:154892782:-1 gene:ENSMUSG00000074656.12 transcript:ENSMUST00000166171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2s2 description:eukaryotic translation initiation factor 2, subunit 2 (beta) [Source:MGI Symbol;Acc:MGI:1914454] MSGDEMIFDPTMSKKKKKKKKPFMLDEEGDAQTEETQPSETKEVEPEPTEEKDVDADEED SRKKDASDDLDDLNFFNQKKKKKKTKKIFDIDEAEEAIKDVKIESDAQEPAEPEDDLDIM LGNKKKKKKNVKFPEEDEILEKDEALEDEDSKKDDGISFSSQTAWAGSERDYTYEELLNR VFNIMREKNPDMVAGEKRKFVMKPPQVVRVGTKKTSFVNFTDICKLLHRQPKHLLAFLLA ELGTSGSIDGNNQLVIKGRFQQKQIENVLRRYIKEYVTCHTCRSPDTILQKDTRLYFLQC ETCHSRCSVASIKTGFQAVTGKRAQLRAKAN >ENSMUSP00000039493.8 pep:known chromosome:GRCm38:8:8665075:8690521:-1 gene:ENSMUSG00000040459.11 transcript:ENSMUST00000048545.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arglu1 description:arginine and glutamate rich 1 [Source:MGI Symbol;Acc:MGI:2442985] MGRSRSRSSSRSKHTKSSKHNKKRSRSRSRSRDKERVRKRSKSRESKRNRRRESRSRSRS TNAAASRRERERASSPPDRIDIFGRTVSKRSSLDEKQKREEEEKKAEFERQRKIRQQEIE EKLIEEETARRVEELVAKRVEEELEKRKDEIEREVLRRVEEAKRIMEKQLLEELERQRQA ELAAQKAREEEERAKREELERILEENNRKIAEAQAKLAEEQLRIVEEQRKIHEERMKLEQ ERQRQQKEEQKIILGKGKSRPKLSFSLKTQD >ENSMUSP00000146939.1 pep:known chromosome:GRCm38:8:8667200:8690311:-1 gene:ENSMUSG00000040459.11 transcript:ENSMUST00000207817.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arglu1 description:arginine and glutamate rich 1 [Source:MGI Symbol;Acc:MGI:2442985] RSRSRSSSRSKHTKSSKHNKKRSRSRSRSRDKERVRKRSKSRESKRNRRRESRSRSRSTN AAASRRERERASSPPDRIDIFGRTVSKRSSLDEKQKREEEEKKAEFERQRKIRQQEIEEK LIEEETARRVEELVAKRVEEELEKRKDEIEREVLRRVEEAKRIMEKQLLEELERQRQAEL AAQKARERKLARMAAEEHTLQDTGQDSKYSTFNAD >ENSMUSP00000020209.9 pep:known chromosome:GRCm38:10:94198955:94221443:1 gene:ENSMUSG00000020022.16 transcript:ENSMUST00000020209.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa12 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 12 [Source:MGI Symbol;Acc:MGI:1913664] MELVEVLKRGVQQVTGHGGLRGLLRVFFRANDIRIGTLVGEDKYGNKYYEDNKQFFGRHR WVIYTTEMNGKNTFWDVDGSMVPPEWHRWLHCMTDDPPTTNPPTARKFIWTNHKFNVSAT PEQYVPYSTTRKKIHEWVPPSTPYK >ENSMUSP00000119625.1 pep:known chromosome:GRCm38:10:94200031:94220783:1 gene:ENSMUSG00000020022.16 transcript:ENSMUST00000135292.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufa12 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 12 [Source:MGI Symbol;Acc:MGI:1913664] XGRHRWVIYTTEMNGKNTFWDVDGSMVPPE >ENSMUSP00000136313.1 pep:known chromosome:GRCm38:10:94199004:94220948:1 gene:ENSMUSG00000020022.16 transcript:ENSMUST00000179990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa12 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 12 [Source:MGI Symbol;Acc:MGI:1913664] MQMRMELVEVLKRGVQQVTGHGGLRGLLRVFFRANDIRIGTLVGEDKYGNKYYEDNKQFF GRHRWVIYTTEMNGKNTFWDVDGSMVPPEWHRWLHCMTDDPPTTNPPTARKFIWTNHKFN VSATPEQYVPYSTTRKKIHEWVPPSTPYK >ENSMUSP00000105990.1 pep:known chromosome:GRCm38:15:36771569:36794531:-1 gene:ENSMUSG00000022285.16 transcript:ENSMUST00000110361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhaz description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, zeta polypeptide [Source:MGI Symbol;Acc:MGI:109484] MDKNELVQKAKLAEQAERYDDMAACMKSVTEQGAELSNEERNLLSVAYKNVVGARRSSWR VVSSIEQKTEGAEKKQQMAREYREKIETELRDICNDVLSLLEKFLIPNASQPESKVFYLK MKGDYYRYLAEVAAGDDKKGIVDQSQQAYQEAFEISKKEMQPTHPIRLGLALNFSVFYYE ILNSPEKACSLAKTAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDTQGDEAEAG EGGEN >ENSMUSP00000022894.7 pep:known chromosome:GRCm38:15:36770770:36794538:-1 gene:ENSMUSG00000022285.16 transcript:ENSMUST00000022894.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhaz description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, zeta polypeptide [Source:MGI Symbol;Acc:MGI:109484] MDKNELVQKAKLAEQAERYDDMAACMKSVTEQGAELSNEERNLLSVAYKNVVGARRSSWR VVSSIEQKTEGAEKKQQMAREYREKIETELRDICNDVLSLLEKFLIPNASQPESKVFYLK MKGDYYRYLAEVAAGDDKKGIVDQSQQAYQEAFEISKKEMQPTHPIRLGLALNFSVFYYE ILNSPEKACSLAKTAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDTQGDEAEAG EGGEN >ENSMUSP00000105991.3 pep:known chromosome:GRCm38:15:36771704:36793837:-1 gene:ENSMUSG00000022285.16 transcript:ENSMUST00000110362.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhaz description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, zeta polypeptide [Source:MGI Symbol;Acc:MGI:109484] MDKNELVQKAKLAEQAERYDDMAACMKSVTEQGAELSNEERNLLSVAYKNVVGARRSSWR VVSSIEQKTEGAEKKQQMAREYREKIETELRDICNDVLSLLEKFLIPNASQPESKVFYLK MKGDYYRYLAEVAAGDDKKGIVDQSQQAYQEAFEISKKEMQPTHPIRLGLALNFSVFYYE ILNSPEKACSLAKTAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDTQGDEAEAG EGGEN >ENSMUSP00000105988.1 pep:known chromosome:GRCm38:15:36790899:36794547:-1 gene:ENSMUSG00000022285.16 transcript:ENSMUST00000110359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhaz description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, zeta polypeptide [Source:MGI Symbol;Acc:MGI:109484] MDKNELVQKAKLAEQAERYDDMAACM >ENSMUSP00000120610.1 pep:known chromosome:GRCm38:15:36790844:36793210:-1 gene:ENSMUSG00000022285.16 transcript:ENSMUST00000151635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhaz description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, zeta polypeptide [Source:MGI Symbol;Acc:MGI:109484] MDKNELVQKAKLAEQAERYDDMAACMKSVTEQGAELSNEERNLLS >ENSMUSP00000115928.1 pep:known chromosome:GRCm38:15:36790712:36792414:-1 gene:ENSMUSG00000022285.16 transcript:ENSMUST00000126184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhaz description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, zeta polypeptide [Source:MGI Symbol;Acc:MGI:109484] MDKNELVQKAKLAEQAERYDDMAACMKSVTEQGAELSNEERNLLSVAYKNVVGARRSSWR VVSSIEQKTEGAEKKQQMAREYREKIET >ENSMUSP00000095966.3 pep:known chromosome:GRCm38:8:119446719:119472640:1 gene:ENSMUSG00000031837.13 transcript:ENSMUST00000098363.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Necab2 description:N-terminal EF-hand calcium binding protein 2 [Source:MGI Symbol;Acc:MGI:2152211] MCERAARLCRAGAHRLLREPPPQGRALGGLLRWVGARMGEPRAPLVPDIPSADPGPGPAA SRGGTAVILDIFRRADKNDDGKLSLEEFQLFFADGVLNEKELEGLFHTIDSDNTNHVDTK ELCDYFVEHMGDYEDVLASLETLNHSVLKAMGYTKKVYEGGSNVDQFVTRFLLKETANQI QSLLSSVESAVEAIEEQTSQIRQDHCKPSHAVNESRYGGPTPPYIPNHKLVAPEPMKSLP VATGEPKEDGLEGQISRLAELIGRLESKTLSFDLQQRLSDEEGTNMHLQLVRQEMAVCPE QLSEFLDSLRQYLRSTAEERNCFHVAAVRMADGLTFVIYEFWETEEEWKRHLQSPVCKAF RHVKVDTLSQPEALSQISVPAAWCTSGRD >ENSMUSP00000120157.1 pep:known chromosome:GRCm38:8:119452432:119470945:1 gene:ENSMUSG00000031837.13 transcript:ENSMUST00000148328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Necab2 description:N-terminal EF-hand calcium binding protein 2 [Source:MGI Symbol;Acc:MGI:2152211] MQSHVDTKELCDYFVEHMGDYEDVLASLETLNHSVLKAMGYTKKVYEGGSNVDQFVTRFL LKETANQIQSLLSSVESAVEAIEEQTSQIRQDHCKPSHAVNESRYGGPTPPYIPNHKLVA PEPMKSLPVATGEPKEDGLEGQISRLAELIGRLESKTLSFDLQQRLSDEEGTNMHLQLVR QEMAVCPEQLSEFLDSLRQYLRSTAEERNCFHVAAVRMADGLTF >ENSMUSP00000133319.1 pep:known chromosome:GRCm38:17:33909414:33911423:1 gene:ENSMUSG00000002307.15 transcript:ENSMUST00000173028.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daxx description:Fas death domain-associated protein [Source:MGI Symbol;Acc:MGI:1197015] MATDDSIIVLDDDDEDEAAAQPGPSNLPPNPASTGPGPGLSQQATGLSEPRVDGGSSNSG SRKCYKLDNEKLFEEFLELCKTETSDHPEVVPFLHKLQQRAQSVFLASAEFCNILSRVLA RSRKRPAKIYVYINELC >ENSMUSP00000078390.7 pep:known chromosome:GRCm38:17:33909445:33915589:1 gene:ENSMUSG00000002307.15 transcript:ENSMUST00000079421.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daxx description:Fas death domain-associated protein [Source:MGI Symbol;Acc:MGI:1197015] MATDDSIIVLDDDDEDEAAAQPGPSNLPPNPASTGPGPGLSQQATGLSEPRVDGGSSNSG SRKCYKLDNEKLFEEFLELCKTETSDHPEVVPFLHKLQQRAQSVFLASAEFCNILSRVLA RSRKRPAKIYVYINELCTVLKAHSIKKKLNLAPAASTTSEASGPNPPTEPPSDLTNTENT ASEASRTRGSRRQIQRLEQLLALYVAEIRRLQEKELDLSELDDPDSSYLQEARLKRKLIR LFGRLCELKDCSSLTGRVIEQRIPYRGTRYPEVNRRIERLINKPGLDTFPDYGDVLRAVE KAATRHSLGLPRQQLQLLAQDAFRDVGVRLQERRHLDLIYNFGCHLTDDYRPGVDPALSD PTLARRLRENRTLAMNRLDEVISKYAMMQDKTEEGERQKRRARLLGTAPQPSDPPKASSE SGEGPSGMASQECPTTSKAETDDDDDDDDDDDDEDNEESEEEEEEEEEEKEATEDEDEDL EQLQEDQGGDEEEEGGDNEGNESPTSPSDFFHRRNSEPAEGLRTPEGQQKRGLTETPASP PGASLDPPSTDAESSGEQLLEPLLGDESPVSQLAELEMEALPEERDISSSRKKSEDSLPT ILENGAAVVTSTSVNGRVSSHTWRDASPPSKRFRKEKKQLGSGLLGNSYIKEPMAQQDSG QNTSVQPMPSPPLASVASVADSSTRVDSPSHELVTSSLCSPSPSLLLQTPQAQSLRQCIY KTSVATQCDPEEIIVLSDSD >ENSMUSP00000133303.1 pep:known chromosome:GRCm38:17:33909498:33911512:1 gene:ENSMUSG00000002307.15 transcript:ENSMUST00000173626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daxx description:Fas death domain-associated protein [Source:MGI Symbol;Acc:MGI:1197015] MATDDSIIVLDDDDEDEAAAQPGPSNLPPNPASTGPGPGLSQQATGLSEPRVDGGSSNSG SRKCYKLDNEKLFEEFLELCKTETSDHPEVVPFLHKLQQRAQSVFLASAEFCNILSRVLA RSRKRPAKIYVYINELCTVLKAHSIKKKLNLAPAASTTSEASGPNPP >ENSMUSP00000133552.1 pep:known chromosome:GRCm38:17:33909515:33915518:1 gene:ENSMUSG00000002307.15 transcript:ENSMUST00000174541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daxx description:Fas death domain-associated protein [Source:MGI Symbol;Acc:MGI:1197015] MATDDSIIVLDDDDEDEAAAQPGPSNLPPNPASTGPGPGLSQQATGLSEPRVDGGSSNSG SRKCYKLDNEKLFEEFLELCKTETSDHPEVVPFLHKLQQRAQSVFLASAEFCNILSRVLA RSRKRPAKIYVYINELCTVLKAHSIKKKLNLAPAASTTSEASGPNPPTEPPSDLTNTENT ASEASRTRGSRRQIQRLEQLLALYVAEIRRLQEKELDLSELDDPDSSYLQEARLKRKLIR LFGRLCELKDCSSLTGRVIEQRIPYRGTRYPEVNRRIERLINKPGLDTFPDYGDVLRAVE KAATRHSLGLPRQQLQLLAQDAFRDVGVRLQERRHLDLIYNFGCHLTDDYRPGVDPALSD PTLARRLRENRTLAMNRLDEVISKYAMMQDKTEEGERQKRRARLLGTAPQPSDPPKASSE SGEGPSGMASQECPTTSKAETDDDDDDDDDDDDEDNEENNEGNESPTSPSDFFHRRNSEP AEGLRTPEGQQKRGLTETPASPPGASLDPPSTDAESSGEQLLEPLLGDESPVSQLAELEM EALPEERDISSSRKKSEDSLPTILENGAAVVTSTSVNGRVSSHTWRDASPPSKRFRKEKK QLGSGLLGNSYIKEPMAQQDSGQNTSVQPMPSPPLASVASVADSSTRVDSPSHELVTSSL CSPSPSLLLQTPQAQSLRQCIYKTSVATQCDPEEIIVLSDSD >ENSMUSP00000128504.2 pep:known chromosome:GRCm38:17:33909601:33915582:1 gene:ENSMUSG00000002307.15 transcript:ENSMUST00000170075.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daxx description:Fas death domain-associated protein [Source:MGI Symbol;Acc:MGI:1197015] MATDDSIIVLDDDDEDEAAAQPGPSNLPPNPASTGPGPGLSQQATGLSEPRVDGGSSNSG SRKCYKLDNEKLFEEFLELCKTETSDHPEVVPFLHKLQQRAQSVFLASAEFCNILSRVLA RSRKRPAKIYVYINELCTVLKAHSIKKKLNLAPAASTTSEASGPNPPTEPPSDLTNTENT ASEASRTRGSRRQIQRLEQLLALYVAEIRRLQEKELDLSELDDPDSSYLQEARLKRKLIR LFGRLCELKDCSSLTGRVIEQRIPYRGTRYPEVNRRIERLINKPGLDTFPDYGDVLRAVE KAATRHSLGLPRQQLQLLAQDAFRDVGVRLQERRHLDLIYNFGCHLTDDYRPGVDPALSD PTLARRLRENRTLAMNRLDEVISKYAMMQDKTEEGERQKRRARLLGTAPQPSDPPKASSE SGEGPSGMASQECPTTSKAETDDDDDDDDDDDDEDNEESEEEEEEEEEEKEATEDEDEDL EQLQEDQGGDEEEEGGDNEGNESPTSPSDFFHRRNSEPAEGLRTPEGQQKRGLTETPASP PGASLDPPSTDAESSGEQLLEPLLGDESPVSQLAELEMEALPEERDISSSRKKSEDSLPT ILENGAAVVTSTSVNGRVSSHTWRDASPPSKRFRKEKKQLGSGLLGNSYIKEPMAQQDSG QNTSVQPMPSPPLASVASVADSSTRVDSPSHELVTSSLCSPSPSLLLQTPQAQSLRQCIY KTSVATQCDPEEIIVLSDSD >ENSMUSP00000134158.1 pep:known chromosome:GRCm38:17:33910426:33915582:1 gene:ENSMUSG00000002307.15 transcript:ENSMUST00000174146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daxx description:Fas death domain-associated protein [Source:MGI Symbol;Acc:MGI:1197015] MATDDSIIVLDDDDEDEAAAQPGPSNLPPNPASTGPGPGLSQQATGLSEPRVDGGSSNSG SRKCYKLDNEKLFEEFLELCKTETSDHPEVVPFLHKLQQRAQSVFLASAEFCNILSRVLA RSRKRPAKIYVYINELCTVLKAHSIKKKLNLAPAASTTSEASGPNPPTEPPSDLTNTENT ASEASRTRGSRRQIQRLEQLLALYVAEIRRLQEKELDLSELDDPDSSYLQEARLKRKLIR LFGRLCELKDCSSLTGRVIEQRIPYRGTRYPEVNRRIERLINKPGLDTFPDYGDVLRAVE KAATRHSLGLPRQQLQLLAQDAFRDVGVRLQERRHLDLIYNFGCHLTDDYRPGVDPALSD PTLARRLRENRTLAMNRLDEVISKYAMMQDKTEEGERQKRRARLLGTAPQPSDPPKASSE SGEGPSGMASQECPTTSKAETDDDDDDDDDDDDEDNEESEEEEEEEEEEKEATEDEDEDL EQLQEDQGGDEEEEGGDNEGNESPTSPSDFFHRRNSEPAEGLRTPEGQQKRGLTETPASP PGASLDPPSTDAESSGEQLLEPLLGDESPVSQLAELEMEALPEERDISSSRKKSEDSLPT ILENGAAVVTSTSVNGRVSSHTWRDASPPSKRFRKEKKQLGSGLLGNSYIKEPMAQQDSG QNTSVQPMPSPPLASVASVADSSTRVDSPSHELVTSSLCSPSPSLLLQTPQAQSLRQCIY KTSVATQCDPEEIIVLSDSD >ENSMUSP00000044166.5 pep:known chromosome:GRCm38:11:53422181:53423170:-1 gene:ENSMUSG00000036216.5 transcript:ENSMUST00000036045.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leap2 description:liver-expressed antimicrobial peptide 2 [Source:MGI Symbol;Acc:MGI:2672795] MLQLKLFAVLLTCLLLLGQVNSSPVPEVSSAKRSRRMTPFWRGVSLRPIGASCRDDSECI TRLCRKRRCSLSVAQE >ENSMUSP00000030180.6 pep:known chromosome:GRCm38:4:43482081:43483734:-1 gene:ENSMUSG00000028460.6 transcript:ENSMUST00000030180.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sit1 description:suppression inducing transmembrane adaptor 1 [Source:MGI Symbol;Acc:MGI:1889342] MSRDYNCTTDDQLAWGIPSISHAWGLWALLGVVTVLLLISLAALLSQWTRGRRRNQEGQG PLSGRSAEEVPLYGNLHYLQTGRLSQEPRSEEQDPPSSGGLARGAEEAMCYTSLQLRPAQ GRIPSSGNPIKYCEVVLDSEPKPQAPGPEPELYASVCAQTRRGRASFPDQAYANSQPAPS >ENSMUSP00000141740.1 pep:known chromosome:GRCm38:1:175698787:175815366:1 gene:ENSMUSG00000026523.14 transcript:ENSMUST00000194087.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr64 description:WD repeat domain 64 [Source:MGI Symbol;Acc:MGI:1923070] MDAKDEKRFNLALQINNFKASLVEFNKLVEQTTAQKKEERAGIFIPKEDSIDYDKFYTSV QQIFGPEVKNQDVKCFYRKLCNNPDAAFDWCEIFGYFSTDEDSLTSQMDEENLVFLVSRK QRIVIAGSRRRDVIKCIVKVPQLDLLITASQKGLITVFNSQDTSWITGCDYLGQLKRIVA TTERTIIVWDYKAQGSSQEHYFVIKPMDHCLLCVCVVPLPDQLCRDDILLGDDGGFVNKF TVSSDDFGLKQAKTKKKLQTQVLDSKNFKSVKRKLHNDWVMKIRYIPALNCFGSCSLDSV HSLVLESLKRLEDNLPVREFAMPRGANSFCYCGKANVIVTGGDDKVLRLWHPNISTKPVG KLVGHMFSITEIVSNEKEQHVISLSSAKVFRVWDIQTLSVLQVFHDSQGGPGDMQIYSMV YDANHGMLITGSGVIDMYPLTRMIQDTKQVPHTHEREVNVTLYNKYFHQVLTVCSESVIK VWELETGLQIYQILDPHGLSIELTCAAIDESGYLFATGAYNGTVKIWDFGSGQEMKMMPE GKDWVEEEHGLMRLFFLKPQVKQQHLILALERNGTIKIIQGKEDDIFLTVIWELPDAMPY LQYGSHIVHLKMSTKERTMAIPFPDVELIVQKTSQQTNYSPIVDVDVNCIDVFQTEGYNL IACGTTNGMIILWNFIAASVKEIYRPEDCFSTDPELDPKRFRINDIMFLFRSPECVRRSS QDSICSSTQCDSSKGPQSSKGSKQSIHDADVKGEHTDMVGEQQSASRKQPVPISFVEPQP PLLVSAHEDGHLRLWTLEGKLIKDMLPFTKHSAISLTSLYTDSCCRVLLAGNVEGHVILC SISSFMDPPHDEKKFKQLLSWRAHSLEIIQVIYVEEKQLVLTASIDGSVRIWNSTSGHYC GYFGQRRMFDLSQTSDFILPCDVNEYPIEIKEESKFTEKTQKYEYPLIFDRERWKKMSSM SLLFKRPPLSPFEVQHDFKFFKSLSSPKIRRYALEGFLTENREAGIVFGSLPIYRVPSPT SLRFLPLIGSEVQRDSVEGVYMKKKHDKSRRKSLKRNLVPQINLASSFFPTTPK >ENSMUSP00000141384.1 pep:known chromosome:GRCm38:1:175767038:175815731:1 gene:ENSMUSG00000026523.14 transcript:ENSMUST00000194783.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr64 description:WD repeat domain 64 [Source:MGI Symbol;Acc:MGI:1923070] RMIQDTKQVPHTHEREVNVTLYNKYFHQVLTVCSESVIKVWELETGLQIYQILDPHGLSI ELTCAAIDESGYLFATGAYNGTVKIWDFGSGQEMKMMPEGKDWVEEEHGLMRLFFLKPQV KQQHLILALERNGTIKIIQGKEDDIFLTVIWELPDAMPYLQYGSHIVHLKMSTKERTMAI PFPDVELIVQKTSQQTNYSPIVDVDVNCIDVFQTEGYNLIACGTTNGMIILWNFIAASVK EIYRPEDCFSTDPELDPKRFRINDIMFLFRSPECVRRSSQDSICSSTQCDSSKGPQSSKG SKQSIHDADVKGEHTDMVGEQQSASRKQPVPISFVEPQPPLLVSAHEDGHLRLWTLEGKL IKDMLPFTKHSAISLTSLYTDSCCRVLLAGNVEGHVILCSISSFMDPPHDEKKFKQLLSW RAHSLEIIQVIYVEEKQLVLTASIDGSVRIWNSTSGHYCGYFGQRRMFDLSQTSDFILPC DVNEYPIEIKEESKFTEKTQKYEYPLIFDRERFADMLWKVS >ENSMUSP00000091846.4 pep:known chromosome:GRCm38:1:175698593:175815734:1 gene:ENSMUSG00000026523.14 transcript:ENSMUST00000094288.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr64 description:WD repeat domain 64 [Source:MGI Symbol;Acc:MGI:1923070] MDAKDEKRFNLALQINNFKASLVEFNKLVEQTTAQKKEERAGIFIPKEDSIDYDKFYTSV QQIFGPEVKNQDVKCFYRKLCNNPDAAFDWCEIFGYFSTDEDSLTSQMDEENLVFLVSRK QRIVIAGSRRRDVIKCIVKVPQLDLLITASQKGLITVFNSQMRAQTSTNVTDTSWITGCD YLGQLKRIVATTERTIIVWDYKAQGSSQEHYFVIKPMDHCLLCVCVVPLPDQLCRDDILL GDDGGFVNKFTVSSDDFGLKQAKTKKKLQTQVLDSKNFKSVKRKLHNDWVMKIRYIPALN CFGSCSLDSVHSLVLESLKRLEDNLPVREFAMPRGANSFCYCGKANVIVTGGDDKVLRLW HPNISTKPVGKLVGHMFSITEIVSNEKEQHVISLSSAKVFRVWDIQTLSVLQVFHDSQGG PGDMQIYSMVYDANHGMLITGSGVIDMYPLTRMIQDTKQVPHTHEREVNVTLYNKYFHQV LTVCSESVIKVWELETGLQIYQILDPHGLSIELTCAAIDESGYLFATGAYNGTVKIWDFG SGQEMKMMPEGKDWVEEEHGLMRLFFLKPQVKQQHLILALERNGTIKIIQGKEDDIFLTV IWELPDAMPYLQYGSHIVHLKMSTKERTMAIPFPDVELIVQKTSQQTNYSPIVDVDVNCI DVFQTEGYNLIACGTTNGMIILWNFIAASVKEIYRPEDCFSTDPELDPKRFRINDIMFLF RSPECVRRSSQDSICSSTQCDSSKGPQSSKGSKQSIHDADVKGEHTDMVGEQQSASRKQP VPISFVEPQPPLLVSAHEDGHLRLWTLEGKLIKDMLPFTKHSAISLTSLYTDSCCRVLLA GNVEGHVILCSISSFMDPPHDEKKFKQLLSWRAHSLEIIQVIYVEEKQLVLTASIDGSVR IWNSTSGHYCGYFGQRRMFDLSQTSDFILPCDVNEYPIEIKEESKFTEKTQKYEYPLIFD RERWKKMSSMSLLFKRPPLSPFEVQHDFKFFKSLSSPKIRRYALEGFLTENREAGIVFGS LPIYRVPSPTSLRFLPLIGSEVQRDSVEGVYMKKKHDKSRRKSLKRNLVPQINLASSFFP TTPK >ENSMUSP00000128678.1 pep:known chromosome:GRCm38:1:175698593:175815734:1 gene:ENSMUSG00000026523.14 transcript:ENSMUST00000171939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr64 description:WD repeat domain 64 [Source:MGI Symbol;Acc:MGI:1923070] MDAKDEKRFNLALQINNFKASLVEFNKLVEQTTAQKKEERAGIFIPKEDSIDYDKFYTSV QQIFGPEVKNQDVKCFYRKLCNNPDAAFDWCEIFGYFSTDEDSLTSQMDEENLVFLVSRK QRIVIAGSRRRDVIKCIVKVPQLDLLITASQKGLITVFNSQDTSWITGCDYLGQLKRIVA TTERTIIVWDYKAQGSSQEHYFVIKPMDHCLLCVCVVPLPDQLCRDDILLGDDGGFVNKF TVSSDDFGLKQAKTKKKLQTQVLDSKNFKSVKRKLHNDWVMKIRYIPALNCFGSCSLDSV HSLVLESLKRLEDNLPVREFAMPRGANSFCYCGKANVIVTGGDDKVLRLWHPNISTKPVG KLVGHMFSITEIVSNEKEQHVISLSSAKVFRVWDIQTLSVLQVFHDSQGGPGDMQIYSMV YDANHGMLITGSGVIDMYPLTRMIQDTKQVPHTHEREVNVTLYNKYFHQVLTVCSESVIK VWELETGLQIYQILDPHGLSIELTCAAIDESGYLFATGAYNGTVKIWDFGSGQEMKMMPE GKDWVEEEHGLMRLFFLKPQVKQQHLILALERNGTIKIIQGKEDDIFLTVIWELPDAMPY LQYGSHIVHLKMSTKERTMAIPFPDVELIVQKTSQQTNYSPIVDVDVNCIDVFQTEGYNL IACGTTNGMIILWNFIAASVKEIYRPEDCFSTDPELDPKRFRINDIMFLFRSPECVRRSS QDSICSSTQCDSSKGPQSSKGSKQSIHDADVKGEHTDMVGEQQSASRKQPVPISFVEPQP PLLVSAHEDGHLRLWTLEGKLIKDMLPFTKHSAISLTSLYTDSCCRVLLAGNVEGHVILC SISSFMDPPHDEKKFKQLLSWRAHSLEIIQVIYVEEKQLVLTASIDGSVRIWNSTSGHYC GYFGQRRMFDLSQTSDFILPCDVNEYPIEIKEESKFTEKTQKYEYPLIFDRERWKKMSSM SLLFKRPPLSPFEVQHDFKFFKSLSSPKIRRYALEGFLTENREAGIVFGSLPIYRVPSPT SLRFLPLIGSEVQRDSVEGVYMKKKHDKVKREEAPEMTEGSRRKSLKRNLVPQINLASSF FPTTPK >ENSMUSP00000079651.1 pep:known chromosome:GRCm38:X:73254540:73265390:-1 gene:ENSMUSG00000058147.1 transcript:ENSMUST00000080839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr3c description:X-linked lymphocyte-regulated 3C [Source:MGI Symbol;Acc:MGI:3047103] MSSRKRKATDTAGRHSRMDPNLSSDDSQNPGAVAAANREVLDAGREDIISSGTERQQARK EKQDLVQEFEEPRNKVLQENREKFSRIMTSSFSAMEVKIKDVLKTHCEERQKLCQDYSLQ FTNLNRKLTSDAYKLKKHAETLSNMFMEQQKFIHESLTLQKNRMKEFKSLCEKYLEKLEV LRDSRGNSIAEELRRLIATLEIKLLMLHNQQKTAAPPQSLLDVLFS >ENSMUSP00000119919.1 pep:known chromosome:GRCm38:19:3851773:3894365:1 gene:ENSMUSG00000024843.15 transcript:ENSMUST00000128694.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chka description:choline kinase alpha [Source:MGI Symbol;Acc:MGI:107760] MKTKFCTGGEAEPSPLGLLLSCGGNAAPTPGVGQQRDAAGELESKQLGGRTQPLALPPPP PPPLPLPPPPSPPLADEQPEPRTRRRAYLWCKEFLPGAWRGLREDQFHISVIRGGLSNML FQCSLPDSIASVGDEPRKVLLRLYGAILKMACPCDRAWSTLVSR >ENSMUSP00000071933.6 pep:known chromosome:GRCm38:19:3851797:3894369:1 gene:ENSMUSG00000024843.15 transcript:ENSMUST00000072055.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chka description:choline kinase alpha [Source:MGI Symbol;Acc:MGI:107760] MKTKFCTGGEAEPSPLGLLLSCGGNAAPTPGVGQQRDAAGELESKQLGGRTQPLALPPPP PPPLPLPPPPSPPLADEQPEPRTRRRAYLWCKEFLPGAWRGLREDQFHISVIRGGLSNML FQCSLPDSIASVGDEPRKVLLRLYGAILKMGAEAMVLESVMFAILAERSLGPKLFGIFPQ GRLEQFIPSRRLDTEELRLPDISAEIAEKMATFHGMKMPFNKEPKWLFGTMEKYLNQVLR LKFSREARVQQLHKILSYNLPLELENLRSLLQYTRSPVVFCHNDCQEGNILLLEGQENSE RRKLMLIDFEYSSYNYRGFDIGNHFCEWMYDYTYEKYPFFRANIQKYPSRKQQLHFISSY LTTFQNDFESLSSEEQFATKEDMLLEVNRFALASHFLWGLWSIVQAKISSIEFGYMEYAQ ARFEAYFDQKRKLGV >ENSMUSP00000025760.6 pep:known chromosome:GRCm38:19:3852076:3894364:1 gene:ENSMUSG00000024843.15 transcript:ENSMUST00000025760.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chka description:choline kinase alpha [Source:MGI Symbol;Acc:MGI:107760] MKTKFCTGGEAEPSPLGLLLSCGGNAAPTPGVGQQRDAAGELESKQLGGRTQPLALPPPP PPPLPLPPPPSPPLADEQPEPRTRRRAYLWCKEFLPGAWRGLREDQFHISVIRGGLSNML FQCSLPDSIASVGDEPRKVLLRLYGAILKMRSCNKEGSEQAQNENEFQGAEAMVLESVMF AILAERSLGPKLFGIFPQGRLEQFIPSRRLDTEELRLPDISAEIAEKMATFHGMKMPFNK EPKWLFGTMEKYLNQVLRLKFSREARVQQLHKILSYNLPLELENLRSLLQYTRSPVVFCH NDCQEGNILLLEGQENSERRKLMLIDFEYSSYNYRGFDIGNHFCEWMYDYTYEKYPFFRA NIQKYPSRKQQLHFISSYLTTFQNDFESLSSEEQFATKEDMLLEVNRFALASHFLWGLWS IVQAKISSIEFGYMEYAQARFEAYFDQKRKLGV >ENSMUSP00000116427.1 pep:known chromosome:GRCm38:19:3852101:3885864:1 gene:ENSMUSG00000024843.15 transcript:ENSMUST00000139655.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chka description:choline kinase alpha [Source:MGI Symbol;Acc:MGI:107760] XEAEPSPLGLLLSCGGNAAPTPGVGQQRDAAGELESKQLGGRTQPLALPPPPPPPLPLPP PPSPPLADEQPEPRTRRRAYLWCKEFLPGAWRGLREDQFHISVIRGGLSNMLFQCSLPDS IASVGDEPRKVLLRLYGAILKMRSCNKEGSEQAQNENEFQFPL >ENSMUSP00000119493.1 pep:known chromosome:GRCm38:19:3852363:3887450:1 gene:ENSMUSG00000024843.15 transcript:ENSMUST00000125640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chka description:choline kinase alpha [Source:MGI Symbol;Acc:MGI:107760] XGAWRGLREDQFHISVIRGGLSNMLFQCSLPDSIASVGDEPRKVLLRLYGAILKMEAPRR LLPAYRGEDYSRGHLEGLRLSSPESLCSIGLQGAEAMVLESVMFAILAERSLGPKLFGIF PQGRLEQFIPSRRLDTEELRLPDISAEIAEKMATFHGMKMPFNKEPKWLFGTMEKYLNQV LRLKFSREARVQQLHKILSYNLPLELENLRSLLQYTRSPVVFCHNDCQEG >ENSMUSP00000115861.1 pep:known chromosome:GRCm38:19:3874664:3889934:1 gene:ENSMUSG00000024843.15 transcript:ENSMUST00000153343.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chka description:choline kinase alpha [Source:MGI Symbol;Acc:MGI:107760] MARGPVIKRDPNKLRMKMNFKGLRRWFWRVLCLPFLQRGHLGQNSLASFPKADWSSLSRA GDWTLKSYVYQIFLQK >ENSMUSP00000127861.1 pep:known chromosome:GRCm38:7:20167460:20168377:-1 gene:ENSMUSG00000094011.1 transcript:ENSMUST00000164526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r94 description:vomeronasal 1 receptor 94 [Source:MGI Symbol;Acc:MGI:3647287] MSDHGKSVKTTEEVALQLLLLCQFGVGTLANVFLFVHNFSPVLTGSKQRPRQVILSHMAM ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHQFVTLVL VNRGKLILRASVPKFVSYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIVGIVFLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATHTI LMLVVIFVSFYLLNFICIIFHTLLMHSHLFIRLVSEVLAAVFPSICPLLLIFRDPKDPCS VLFNC >ENSMUSP00000108678.2 pep:known chromosome:GRCm38:5:92683435:92965314:1 gene:ENSMUSG00000029381.15 transcript:ENSMUST00000113055.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom3 description:shroom family member 3 [Source:MGI Symbol;Acc:MGI:1351655] MKTPENLEEPSATPNPSRTPTERFVYLEALLEGGAPWGFTLKGGLERGEPLIISKIEEGG KADSVSSGLQAGDEVIHINEVALSSSRREAVSLVKGSYKTLRLVVRRDVCAAPGHADPGT SKSLSSELLTCSPQHRKATWSGGVKLRLKQRCSEPATRPHSWHTTKFGETQPDVSMMQIS QGTMGPPWHQSYHSSSSTSDLSNYDHAYLRRSPDQCSSQGSMESLEPSGGYPPCHLLSPA KSTSSIDQLGHLHNKRDSAYSSFSTSSSIFEYPPPGGSARERSGSMDVISARGGLLEGMR QADIRYVKTVYDTRRGVSSEYEVNPSALLLQGRDAHASADSQGCAKWHSIPRGKGTPSPS WSQQCSGSLETATDNLPQKAGAPLPPTRSDSYAAFRHRERPSSWSSLDQKRFCRPQTNSS GSQKTPFAEDQLHTVPERSPENSPPVKSKHNYTQKAQPGQPLLPTGIYPVPSPEPHFAQV PQPSVSSNGTVYPALVKESGYTAAQGTCNKMATLDENGNQNEASRPGFAFCQPLEHNSVT PVEKRPEPTAKYIYKVHFSSVPENEDSSLKRHITPPHGHSPYPSERKNIHGGSRACSNHH SLSSPQAQALHVGDDRRPSRLSQPWEGDFQEDHNANLRQKVEREGQGQGLSGNSGRTRSA FSSLQNIPESLRRQSNVELGEAQEVHPGGRSKVEDPGRKAGASDIRGYLDRSVSYPRPEG KMNAVDSVHSADSRYEESPAPALPQTSGASQRRLSSSSSAAPQYRKPHCSVLEKVSRIEE REQGRHRPLSVGSSAYGPGYRPGRTGPTPSTSSSDLDDPKAGSVHFSESTEHLRNGEQNP PNGEAKQEEASRPQCSHLIRRAPADGRGPPARGGEPSRPEARLLRSQSTFQLYSEAEREA SWSEDRPGTPESPLLDAPFSRAYRNSIKDAQSRVLGATSFRRRDLEPGTPATSRPWRPRP ASAHVGMRSPEAAVPSSSPHTPRERHSVTPAAPQAARRGPRRRLTVEQKKRSYSEPEKMN EVGVSEEAEPTPCGPPRPAQPRFSESTVADRRRIFERDGKACSTLSLSGPELKQFQQSAL ADYIQRKTGKRPTGAACTPEAGLRERAQSAYLQAGPAAPDGPGLASACSLSSLREPEALP RKEHTHPSAADGPQAPRDRSSSFASGRLVGERRRWDPQVPRQLLSGANCEPRGVQRMDGA PGGPPSWGMVAGKAGKSKSAEDLLERSDTLAVPVHVRSRSSPTSDKKGQDVLLREGSNFG FVKDPCCLAGPGPRSLSCSDKGQNELALPLHHPTPCWNGSGCKATVASSAPPESSGAADH LKQRRAPGPRPLSAGMHGHFPDARAASLSSPLPSPVPSSYRSQLAMDQQTGQQPPSSPAS AVTQPTSPRSPELSSPAYGLGEGMWKRTSLPQRPPPPWVKWAHAVREDGLAEDTLAPEFA NLKHYRNQPSRPSSCSTSDPDTPGRISLRISESALQPSPPPRGDYDDEVFMKDLHPKVTS SPTFEALPPPPPPSPPSEEPLVNGTDDFPPPPPPQALCEVLLDGEASTEAGSGPCRIPRV MVTREGHVPGAAHSEGSQIMTATPPQTSAKGSEAESNTPSSASAQPQLNGSPGKQLCPSQ TRNLTYEPVERTQDLGKKTHAEPQKTSEDIRTEALAKEIVHQDKSLADILDPDSRMKTTM DLMEGLFPGDASVLMDSGAKRKALDITARRAGCEAKASDHKEAVSVLVNCPAYYSVSAAK AELLNKIKDMPEELQEEEGQEDVNEKKAELIGSLTHKLESLQEAKGSLLTDIKLNNALGE EVEALISELCKPNEFDKYKMFIGDLDKVVNLLLSLSGRLARVENVLRGLGEDASKEERSS LNEKRKVLAGQHEDARELKENLDRRERVVLDILANYLSAEQLQDYQHFVKMKSTLLIEQR KLDDKIKLGQEQVRCLLESLPSDFRPKAGAISLPPALTGHGTPGGTSVFGGVFPTLTSPL >ENSMUSP00000130419.1 pep:known chromosome:GRCm38:5:92683625:92964762:1 gene:ENSMUSG00000029381.15 transcript:ENSMUST00000168878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom3 description:shroom family member 3 [Source:MGI Symbol;Acc:MGI:1351655] MKTPENLEEPSATPNPSRTPTERFVYLEALLEGGAPWGFTLKGGLERGEPLIISKIEEGG KADSVSSGLQAGDEVIHINEVALSSSRREAVSLVKGSYKTLRLVVRRDVCAAPGHADPGT SKSLSSELLTCSPQHRKATWSGGVKLRLKQRCSEPATRPHSWHTTKFGETQPDVSMMQIS QGTMGPPWHQSYHSSSSTSDLSNYDHAYLRRSPDQCSSQGSMESLEPSGGYPPCHLLSPA KSTSSIDQLGHLHNKRDSAYSSFSTSSSIFEYPPPGGSARERSGSMDVISARGGLLEGMR QADIRYVKTVYDTRRGVSSEYEVNPSALLLQGRDAHASADSQGCAKWHSIPRGKGTPSPS WSQQCSGSLETATDNLPQKAGAPLPPTRSDSYAAFRHRERPSSWSSLDQKRFCRPQTNSS GSQKTPFAEDQLHTVPERSPENSPPVKSKHNYTQKAQPGQPLLPTGIYPVPSPEPHFAQV PQPSVSSNGTVYPALVKESGYTAAQGTCNKMATLDENGNQNEASRPGFAFCQPLEHNSVT PVEKRPEPTAKYIYKVHFSSVPENEDSSLKRHITPPHGHSPYPSERKNIHGGSRACSNHH SLSSPQAQALHVGDDRRPSRLSQPWEGDFQEDHNANLRQKVEREGQGQGLSGNSGRTRSA FSSLQNIPESLRRQSNVELGEAQEVHPGGRSKVEDPGRKAGASDIRGYLDRSVSYPRPEG KMNAVDSVHSADSRYEESPAPALPQTSGASQRRLSSSSSAAPQYRKPHCSVLEKVSRIEE REQGRHRPLSVGSSAYGPGYRPGRTGPTPSTSSSDLDDPKAGSVHFSESTEHLRNGEQNP PNGEAKQEEASRPQCSHLIRRAPADGRGPPARGGEPSRPEARLLRSQSTFQLYSEAEREA SWSEDRPAQPRFSESTVADRRRIFERDGKACSTLSLSGPELKQFQQSALADYIQRKTGKR PTGAACTPEAGLRERAQSAYLQAGPAAPDGPGLASACSLSSLREPEALPRKEHTHPSAAD GPQAPRDRSSSFASGRLVGERRRWDPQVPRQLLSGANCEPRGVQRMDGAPGGPPSWGMVA GKAGKSKSAEDLLERSDTLAVPVHVRSRSSPTSDKKGQDVLLREGSNFGFVKDPCCLAGP GPRSLSCSDKGQNELALPLHHPTPCWNGSGCKATVASSAPPESSGAADHLKQRRAPGPRP LSAGMHGHFPDARAASLSSPLPSPVPSSYRSQLAMDQQTGQQPPSSPASAVTQPTSPRSP ELSSPAYGLGEGMWKRTSLPQRPPPPWVKWAHAVREDGLAEDTLAPEFANLKHYRNQPSR PSSCSTSDPDTPGRISLRISESALQPSPPPRGDYDDEVFMKDLHPKVTSSPTFEALPPPP PPSPPSEEPLVNGTDDFPPPPPPQALCEVLLDGEASTEAGSGPCRIPRVMVTREGHVPGA AHSEGSQIMTATPPQTSAKGSEAESNTPSSASAQPQLNGSPGKQLCPSQTRNLTYEPVER TQDLGKKTHAEPQKTSEDIRTEALAKEIVHQDKSLADILDPDSRMKTTMDLMEGLFPGDA SVLMDSGAKRKALDITARRAGCEAKASDHKEAVSVLVNCPAYYSVSAAKAELLNKIKDMP EELQEEEGQEDVNEKKAELIGSLTHKLESLQEAKGSLLTDIKLNNALGEEVEALISELCK PNEFDKYKMFIGDLDKVVNLLLSLSGRLARVENVLRGLGEDASKEERSSLNEKRKVLAGQ HEDARELKENLDRRERVVLDILANYLSAEQLQDYQHFVKMKSTLLIEQRKLDDKIKLGQE QVRCLLESLPSDFRPKAGAISLPPALTGHGTPGGTSVFGGVFPTLTSPL >ENSMUSP00000108677.2 pep:known chromosome:GRCm38:5:92809378:92965318:1 gene:ENSMUSG00000029381.15 transcript:ENSMUST00000113054.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom3 description:shroom family member 3 [Source:MGI Symbol;Acc:MGI:1351655] MMQISQGTMGPPWHQSYHSSSSTSDLSNYDHAYLRRSPDQCSSQGSMESLEPSGGYPPCH LLSPAKSTSSIDQLGHLHNKRDSAYSSFSTSSSIFEYPPPGGSARERSGSMDVISARGGL LEGMRQADIRYVKTVYDTRRGVSSEYEVNPSALLLQGRDAHASADSQGCAKWHSIPRGKG TPSPSWSQQCSGSLETATDNLPQKAGAPLPPTRSDSYAAFRHRERPSSWSSLDQKRFCRP QTNSSGSQKTPFAEDQLHTVPERSPENSPPVKSKHNYTQKAQPGQPLLPTGIYPVPSPEP HFAQVPQPSVSSNGTVYPALVKESGYTAAQGTCNKMATLDENGNQNEASRPGFAFCQPLE HNSVTPVEKRPEPTAKYIYKVHFSSVPENEDSSLKRHITPPHGHSPYPSERKNIHGGSRA CSNHHSLSSPQAQALHVGDDRRPSRLSQPWEGDFQEDHNANLRQKVEREGQGQGLSGNSG RTRSAFSSLQNIPESLRRQSNVELGEAQEVHPGGRSKVEDPGRKAGASDIRGYLDRSVSY PRPEGKMNAVDSVHSADSRYEESPAPALPQTSGASQRRLSSSSSAAPQYRKPHCSVLEKV SRIEEREQGRHRPLSVGSSAYGPGYRPGRTGPTPSTSSSDLDDPKAGSVHFSESTEHLRN GEQNPPNGEAKQEEASRPQCSHLIRRAPADGRGPPARGGEPSRPEARLLRSQSTFQLYSE AEREASWSEDRPGTPESPLLDAPFSRAYRNSIKDAQSRVLGATSFRRRDLEPGTPATSRP WRPRPASAHVGMRSPEAAVPSSSPHTPRERHSVTPAAPQAARRGPRRRLTVEQKKRSYSE PEKMNEVGVSEEAEPTPCGPPRPAQPRFSESTVADRRRIFERDGKACSTLSLSGPELKQF QQSALADYIQRKTGKRPTGAACTPEAGLRERAQSAYLQAGPAAPDGPGLASACSLSSLRE PEALPRKEHTHPSAADGPQAPRDRSSSFASGRLVGERRRWDPQVPRQLLSGANCEPRGVQ RMDGAPGGPPSWGMVAGKAGKSKSAEDLLERSDTLAVPVHVRSRSSPTSDKKGQDVLLRE GSNFGFVKDPCCLAGPGPRSLSCSDKGQNELALPLHHPTPCWNGSGCKATVASSAPPESS GAADHLKQRRAPGPRPLSAGMHGHFPDARAASLSSPLPSPVPSSYRSQLAMDQQTGQQPP SSPASAVTQPTSPRSPELSSPAYGLGEGMWKRTSLPQRPPPPWVKWAHAVREDGLAEDTL APEFANLKHYRNQPSRPSSCSTSDPDTPGRISLRISESALQPSPPPRGDYDDEVFMKDLH PKVTSSPTFEALPPPPPPSPPSEEPLVNGTDDFPPPPPPQALCEVLLDGEASTEAGSGPC RIPRVMVTREGHVPGAAHSEGSQIMTATPPQTSAKGSEAESNTPSSASAQPQLNGSPGKQ LCPSQTRNLTYEPVERTQDLGKKTHAEPQKTSEDIRTEALAKEIVHQDKSLADILDPDSR MKTTMDLMEGLFPGDASVLMDSGAKRKALDITARRAGCEAKASDHKEAVSVLVNCPAYYS VSAAKAELLNKIKDMPEELQEEEGQEDVNEKKAELIGSLTHKLESLQEAKGSLLTDIKLN NALGEEVEALISELCKPNEFDKYKMFIGDLDKVVNLLLSLSGRLARVENVLRGLGEDASK EERSSLNEKRKVLAGQHEDARELKENLDRRERVVLDILANYLSAEQLQDYQHFVKMKSTL LIEQRKLDDKIKLGQEQVRCLLESLPSDFRPKAGAISLPPALTGHGTPGGTSVFGGVFPT LTSPL >ENSMUSP00000108674.2 pep:known chromosome:GRCm38:5:92897993:92965308:1 gene:ENSMUSG00000029381.15 transcript:ENSMUST00000113051.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom3 description:shroom family member 3 [Source:MGI Symbol;Acc:MGI:1351655] MMQISQGTMGPPWHQSYHSSSSTSDLSNYDHAYLRRSPDQCSSQGSMESLEPSGGYPPCH LLSPAKSTSSIDQLGHLHNKRDSAYSSFSTSSSIFEYPPPGGSARERSGSMDVISARGGL LEGMRQADIRYVKTVYDTRRGVSSEYEVNPSALLLQGRDAHASADSQGCAKWHSIPRGKG TPSPSWSQQCSGSLETATDNLPQKAGAPLPPTRSDSYAAFRHRERPSSWSSLDQKRFCRP QTNSSGSQKTPFAEDQLHTVPERSPENSPPVKSKHNYTQKAQPGQPLLPTGIYPVPSPEP HFAQVPQPSVSSNGTVYPALVKESGYTAAQGTCNKMATLDENGNQNEASRPGFAFCQPLE HNSVTPVEKRPEPTAKYIYKVHFSSVPENEDSSLKRHITPPHGHSPYPSERKNIHGGSRA CSNHHSLSSPQAQALHVGDDRRPSRLSQPWEGDFQEDHNANLRQKVEREGQGQGLSGNSG RTRSAFSSLQNIPESLRRQSNVELGEAQEVHPGGRSKVEDPGRKAGASDIRGYLDRSVSY PRPEGKMNAVDSVHSADSRYEESPAPALPQTSGASQRRLSSSSSAAPQYRKPHCSVLEKV SRIEEREQGRHRPLSVGSSAYGPGYRPGRTGPTPSTSSSDLDDPKAGSVHFSESTEHLRN GEQNPPNGEAKQEEASRPQCSHLIRRAPADGRGPPARGGEPSRPEARLLRSQSTFQLYSE AEREASWSEDRPGTPESPLLDAPFSRAYRNSIKDAQSRVLGATSFRRRDLEPGTPATSRP WRPRPASAHVGMRSPEAAVPSSSPHTPRERHSVTPAAPQAARRGPRRRLTVEQKKRSYSE PEKMNEVGVSEEAEPTPCGPPRPAQPRFSESTVADRRRIFERDGKACSTLSLSGPELKQF QQSALADYIQRKTGKRPTGAACTPEAGLRERAQSAYLQAGPAAPDGPGLASACSLSSLRE PEALPRKEHTHPSAADGPQAPRDRSSSFASGRLVGERRRWDPQVPRQLLSGANCEPRGVQ RMDGAPGGPPSWGMVAGKAGKSKSAEDLLERSDTLAVPVHVRSRSSPTSDKKGQDVLLRE GSNFGFVKDPCCLAGPGPRSLSCSDKGQNELALPLHHPTPCWNGSGCKATVASSAPPESS GAADHLKQRRAPGPRPLSAGMHGHFPDARAASLSSPLPSPVPSSYRSQLAMDQQTGQQPP SSPASAVTQPTSPRSPELSSPAYGLGEGMWKRTSLPQRPPPPWVKWAHAVREDGLAEDTL APEFANLKHYRNQPSRPSSCSTSDPDTPGRISLRISESALQPSPPPRGDYDDEVFMKDLH PKVTSSPTFEALPPPPPPSPPSEEPLVNGTDDFPPPPPPQALCEVLLDGEASTEAGSGPC RIPRVMVTREGHVPGAAHSEGSQIMTATPPQTSAKGSEAESNTPSSASAQPQLNGSPGKQ LCPSQTRNLTYEPVERTQDLGKKTHAEPQKTSEDIRTEALAKEIVHQDKSLADILDPDSR MKTTMDLMEGLFPGDASVLMDSGAKRKALDITARRAGCEAKASDHKEAVSVLVNCPAYYS VSAAKAELLNKIKDMPEELQEEEGQEDVNEKKAELIGSLTHKLESLQEAKGSLLTDIKLN NALGEEVEALISELCKPNEFDKYKMFIGDLDKVVNLLLSLSGRLARVENVLRGLGEDASK EERSSLNEKRKVLAGQHEDARELKENLDRRERVVLDILANYLSAEQLQDYQHFVKMKSTL LIEQRKLDDKIKLGQEQVRCLLESLPSDFRPKAGAISLPPALTGHGTPGGTSVFGGVFPT LTSPL >ENSMUSP00000133690.1 pep:known chromosome:GRCm38:5:92925407:92940455:1 gene:ENSMUSG00000029381.15 transcript:ENSMUST00000172706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom3 description:shroom family member 3 [Source:MGI Symbol;Acc:MGI:1351655] MMQISQGTMGPPWHQSYHSSSSTSDLSNYDHAYLRRSPDQCSSQGSMESLEPSGGYPPCH LLSPAKSTSSIDQLGHLHNKRDSAYSSFSTSSSIFEYPPPGGSARERSGSMDVISARGGL LEGMRQADIRYVKTVYDTRRGVSSEYEVNPSALLLQGRDAHASADSQGCAKWHSIPRGK >ENSMUSP00000140508.1 pep:known chromosome:GRCm38:19:11629496:11640805:-1 gene:ENSMUSG00000024681.11 transcript:ENSMUST00000186023.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a3 description:membrane-spanning 4-domains, subfamily A, member 3 [Source:MGI Symbol;Acc:MGI:2158468] MKPEETGGSVYQPLDESRHVQRGVLQALGAIQILNGILILALGIFLVCLQHVSHHFRHFF FFTFYTGYPLWGAVFFISSGSLTVAAGRNPTRMLMQNSFGINIASTTIAFVGTVFLSVHL AFNTQAFKGCQSSPSPDVCISLGSSSDGLVSLMLILTLLELSVTISISAMWCLGNVCGLR EAITSPPNSVESGILPEGSDSENLNTQPQASEE >ENSMUSP00000108608.2 pep:known chromosome:GRCm38:19:11629499:11640834:-1 gene:ENSMUSG00000024681.11 transcript:ENSMUST00000112984.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a3 description:membrane-spanning 4-domains, subfamily A, member 3 [Source:MGI Symbol;Acc:MGI:2158468] MKPEETGGSVYQPLDESRHVQRGVLQALGAIQILNGILILALGIFLVCLQHVSHHFRHFF FFTFYTGYPLWGAVFFISSGSLTVAAGRNPTRMLMQNSFGINIASTTIAFVGTVFLSVHL AFNTQAFKGCQSSPSPDVCISLGSSSDGLVSLMLILTLLELSVTISISAMWCLGNVCGLR EAITSPPNSVESGILPEGSDSENLNTQPQASEE >ENSMUSP00000104160.1 pep:known chromosome:GRCm38:7:13909683:13989607:-1 gene:ENSMUSG00000074377.11 transcript:ENSMUST00000108520.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a4 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 4 [Source:MGI Symbol;Acc:MGI:3645854] MMSDYTWFEGIPFPAISYQREILEDIRNRFVVKEEDLLILTYPKSGTNWLIEIVCLIQTK GDSKWIQTVSIQDRSPWLETNRGYPALINKQGPRLITSHLPIHLFSKSFFSSKAKAIYLI RNPRDILLSGYFFWGNTNLVKNPGSLRTYFEWFLKGNVIYGSWFEHVCGWLSMREWDNFL VLYYEDMKKDTKGTIKKICDFLGKKLEPDELDLVLKYSSFQAMKENNMSNYSLVSEDIIT NGFKLMRKGTTGDWKNHFTVAQAEAFDKVFQEKMAGFPPGMFPWE >ENSMUSP00000130490.1 pep:known chromosome:GRCm38:7:13909677:13989588:-1 gene:ENSMUSG00000074377.11 transcript:ENSMUST00000165167.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a4 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 4 [Source:MGI Symbol;Acc:MGI:3645854] MSDYTWFEGIPFPAISYQREILEDIRNRFVVKEEDLLILTYPKSGTNWLIEIVCLIQTKG DSKWIQTVSIQDRSPWLETNRGYPALINKQGPRLITSHLPIHLFSKSFFSSKAKAIYLIR NPRDILLSGYFFWGNTNLVKNPGSLRTYFEWFLKGNVIYGSWFEHVCGWLSMREWDNFLV LYYEDMKKDTKGTIKKICDFLGKKLEPDELDLVLKYSSFQAMKENNMSNYSLVSEDIITN GFKLMRKGTTGDWKNHFTVAQAEAFDKVFQEKMAGFPPGMFPWE >ENSMUSP00000056853.4 pep:known chromosome:GRCm38:X:74570639:74571574:-1 gene:ENSMUSG00000043715.10 transcript:ENSMUST00000059880.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1326-ps1 description:olfactory receptor 1326, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031160] MTPLKKNHTLSSEFIILGFGDLAELQFLFFGLFLIMHLITLAGHTTIVLITLIDTCLQTP MYFFLRNLSAIEICYILVIVPNMLANFLSRNQRMPFLGCALQMHLFIALGGAECFLLAAM AYDRFVAICNPLRYTLIITRALCLQMLALACISGFTLSLTLTTLIFLLPFCQSHVINHFF CDIPAVLFLACSDTQANEIAVFLVCMLILLIPFLLILFSYGFIIAAILRIHSAEGRSKAF STCAGHLLVSVMHYGCAIFIYIRPKSCYTPEQDKIVSLIYTNVTPMLYPMIYSLRNKEVK GALRRLLVNHN >ENSMUSP00000068101.4 pep:known chromosome:GRCm38:10:94293665:94298703:-1 gene:ENSMUSG00000053420.5 transcript:ENSMUST00000065826.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4792 description:predicted gene 4792 [Source:MGI Symbol;Acc:MGI:3648918] MIAGPDCFSVFNFSSFSCPTDLVHSSAFRDSKPCTHRSCTQTASVWTLGLLVPLPHTAQW PGFLPNYIPRIEPRTLFGLLWLIKAKTRCTFDICIHVIDKTGKIRGWSLESTRQVPVNQA GSGISRITSFRKQFFQLPSSQATISEDNTTLNVVHGASWVLCRHSPPLDYKLVSKWWHII GAQ >ENSMUSP00000143488.1 pep:known chromosome:GRCm38:5:136908150:136915404:1 gene:ENSMUSG00000007987.12 transcript:ENSMUST00000200157.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift22 description:intraflagellar transport 22 [Source:MGI Symbol;Acc:MGI:1914536] MLKAKILFVGPCESGKTVLANFLTESSDITEYNPTQGVRILEFENPHVTSNNKGTGCEFE LWDCGGDSKFESCWPALMKDAHGVVIVFNADIPSHLKEIEMWYSCFVQQQFLQDSHCMLV AHHKPGSGGERGSLALSPPLNKLKLVHSNLEEDPEEVRVEFIKYLKSIINSMSESRDREE MLIIT >ENSMUSP00000143017.1 pep:known chromosome:GRCm38:5:136908198:136912972:1 gene:ENSMUSG00000007987.12 transcript:ENSMUST00000199101.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift22 description:intraflagellar transport 22 [Source:MGI Symbol;Acc:MGI:1914536] MLKAKILFVGPCESGKTVLANFLTESSDITEYNPTQGVRFESCWPALMKDAHGVVIVFNA DIPSHLKEIEMWYSCFVQQQFLQDSHCMLVAHHKPGSGGERGSLALSPPLNKLKLVHSNL EEDPEEVRVEFIKYLKSIINSMSESRDREEMLIIT >ENSMUSP00000142389.1 pep:known chromosome:GRCm38:5:136908202:136912122:1 gene:ENSMUSG00000007987.12 transcript:ENSMUST00000200153.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift22 description:intraflagellar transport 22 [Source:MGI Symbol;Acc:MGI:1914536] MLKAKILFVGPCESGKTVLANFLTESSDITEYNPTQGVRILEFENPHVTSNNKGTGCEFE LWDCGGDSKFESCWPALMKDAHGVVIVFNADIPSHLKEIEMWYSCFVQQQFLQDSHCMLV AHHKPGSGGERGSLALCKHPGLSSPAFCLQCLKCVCLCVCGCVSTSIGPRAFRDNR >ENSMUSP00000008131.9 pep:known chromosome:GRCm38:5:136908243:136913181:1 gene:ENSMUSG00000007987.12 transcript:ENSMUST00000008131.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift22 description:intraflagellar transport 22 [Source:MGI Symbol;Acc:MGI:1914536] XKILFVGPCESGKTVLANFLTESSDITEYNPTQGVRILEFENPHVTSNNKGTGCEFELWD CGGDSNNSSSKTVTACLWPTTNRAPEEKGAAWLCLPP >ENSMUSP00000110162.1 pep:known chromosome:GRCm38:X:73175302:73176989:-1 gene:ENSMUSG00000079566.1 transcript:ENSMUST00000114517.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin2d description:spindlin family, member 2D [Source:MGI Symbol;Acc:MGI:1922101] MESSKLTKKRAGRKRQRSRSPALPKRNIVGCRISHKWKEGDEHITQWNGTVLDQVPVNPS LYLVKYDGIDSVHALELYKDKRVLSLKVISKRVVSSGVTDSSFADAIVGKEVNHLFEGEH GSKEEWRGMVLSQAPILDNSFYITYERDPILYTYQLLDDFKEGDLQIMEGFSDPPSLDID LELVDGLIGKHVEYTNDDGSKRDGLIIYQIETKPRVYLVKYEDDVHIHVTHLEKEL >ENSMUSP00000014687.4 pep:known chromosome:GRCm38:6:129831154:129876670:-1 gene:ENSMUSG00000014543.14 transcript:ENSMUST00000014687.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra17 description:killer cell lectin-like receptor, subfamily A, member 17 [Source:MGI Symbol;Acc:MGI:2180674] MSEQEVTYSTVRFHKSSGLQNQVRPEDNQGSREAGHKECSIPWHLIVIAFGILCVLLLVI VAVLVTNILQYKQEKHELQETLNCHHNCSTMQNDINAKEEMLRNMPLECSTGDDLLKSLN REQKRWYSETKSVLNSSKHPGGSLEIHWFCYGIKCYYFIMNKKGWRKCKQICEHYSLSLL KIDAEDELKFLQLQVTPDSYWIGFSFDKKSEKWTWIENGTSKYALNMSTYNVKSGECVFL SKTRLENNKCEHVYPCICEKRLDKFPDSLPNNS >ENSMUSP00000114108.2 pep:known chromosome:GRCm38:6:129831164:129876672:-1 gene:ENSMUSG00000014543.14 transcript:ENSMUST00000122219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra17 description:killer cell lectin-like receptor, subfamily A, member 17 [Source:MGI Symbol;Acc:MGI:2180674] MSEQEVTYSTVRFHKSSGLQNQVRPEDNQGSREAGHKECSIPWHLIVIAFGILCVLLLVI VAVLVTNILQYKQEKHELQETLNCHHNCSTMQNDINAKEEMLRNMPLECSTGDDLLKSLN REQKRWYSETKSVLNSSKHPGGSLEIHWFCYGIKCYYFIMNKKGWRKCKQICEHYSLSLL KIDAEDELKFLQLQVTPDSYWIGFSFDKKSEKWTWIENGTSKYALNMSTYNVKSGECVFL SKTRLENNKCEHVYPCICEKRLDKFPDSLPNNS >ENSMUSP00000104957.1 pep:known chromosome:GRCm38:13:100735955:100744659:-1 gene:ENSMUSG00000061474.11 transcript:ENSMUST00000109333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps36 description:mitochondrial ribosomal protein S36 [Source:MGI Symbol;Acc:MGI:1913378] MGSKMASATRVVQVVKPHAPLIKFPNRRDKPKLSASEALGSAALPSHSSAISQHSKGSTS PDLLMHQGPPDTAEIIKSLPQKYRRKPMSQEEMEFIQRGGPE >ENSMUSP00000104954.1 pep:known chromosome:GRCm38:13:100736077:100744657:-1 gene:ENSMUSG00000061474.11 transcript:ENSMUST00000078573.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps36 description:mitochondrial ribosomal protein S36 [Source:MGI Symbol;Acc:MGI:1913378] MGSKMASATRVVQVVKPHAPLIKFPNRRDKPKLSEALGSAALPSHSSAISQHSKGSTSPD LLMHQGPPDTAEIIKSLPQKYRRKPMSQEEMEFIQRGGPE >ENSMUSP00000077186.2 pep:known chromosome:GRCm38:7:12152464:12153405:1 gene:ENSMUSG00000061602.2 transcript:ENSMUST00000078039.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r78 description:vomeronasal 1 receptor 78 [Source:MGI Symbol;Acc:MGI:2159646] MKMTPASLATGIFFFFHITVGILGNSSILFHYVFMITTRKHLMPKDVIIKHLTFANCLTI ISKGIPETLSDLGFKYFLDDIGCKLVVYIYRIMRGMSLYAMCLLSCFQAITISPSNTRWI MFKHRATKYIGPSCSVSWLVYMLLNILTPARVLGPSYNKNVTNMVNYGYCSWFTSRNVAT ALYMFLLCFSDGLCLGLMACSSISMVSVLYRHKRQVKHIHSAQHFLKVSPEDQATKTILI LVCTFVISYSISSIHVIFTVYFKGSGLWGVSMFIFLELCFPIYFPFVLITSFKSSCSFFL SFCKKRWLPSNIA >ENSMUSP00000111033.1 pep:known chromosome:GRCm38:X:20617503:20650888:1 gene:ENSMUSG00000031060.16 transcript:ENSMUST00000115375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm10 description:RNA binding motif protein 10 [Source:MGI Symbol;Acc:MGI:2384310] MEYERRGGRGDRTGRYGATDRSQDDSGENRSRDHDYRDMDYRSYPREYGSQEGKHEYDDS SEEQSAEDSYEASPGSETQRRRRRRHRHSPTGPPGFPRDGDYRDQDYRTEQGEEEEEEDE EEEEEKASNIVMLRMLPQAATEDDIRGQLQSHGVQAREVRLMRNKSSGQSRGFAFVEFSH LQDATRWMEANQHSLNILGQKVSMHYSDPKPKINEDWLCNKCGVQNFKRREKCFKCGVPK SEAEQKLPLGTRLDQQALPLGGRELSQGLLPLPQPYQAQGVLTSQALSQGSEPSSENAND TIILRNLNPHSTMDSILGALAPYAVLSSSNVRVIKDKQTQLNRGFAFIQLSTIEAAQLLQ ILQALHPPLTIDGKTINVEFAKGSKRDMASNEGSRINAASVASTAIAAAQWAISQASQGG ESAWAAPEEPPVDYSYYQQDEGYGSSQGTDSLYAHGYLKNSKGPGMTGTKGDPAGTGPEA SLEAGADSVSLQAFSRAQPGAAPGLYQQSAEGSSGQSTATNSQSYTIISPAVLKAELQSP TQPSSSAFPPATSPTAPEAYSQYPVPDVSTYQYDETSGYYYDPQTGLYYDPNSQYYYNAQ SQQYLYWDGERRTYIPALEQSADGHKDTGASSKEGKEKKEKHKTKTAQQIAKDMERWARS LNKQKENFKNSFQPISALRDDERRESATADAGYAILEKKGALAERQHTSMDLPKLASDDR PSPPRGLVAAYSGESDSEEEQERGGPEREEKLTDWQKLACLLCRRQFPSKEALIRHQQLS GLHKQNLEIHRRAHLSENELEALEKNDMEQMKYRDRAAERREKYGIPEPPEPKRRKYGGI STASVDFEQPTRDGLGSDNIGSRMLQAMGWKEGSGLGRKKQGIVTPIEAQTRVRGSGLGA RGSSYGVTSTESYKETLHKTMVTRFNEAQ >ENSMUSP00000111032.1 pep:known chromosome:GRCm38:X:20617622:20650901:1 gene:ENSMUSG00000031060.16 transcript:ENSMUST00000115374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm10 description:RNA binding motif protein 10 [Source:MGI Symbol;Acc:MGI:2384310] MEYERRGGRGDRTGRYGATDRSQDDSGENRSRDHDYRDMDYRSYPREYGSQEGKHEYDDS SEEQSAEDSYEASPGSETQRRRRRRHRHSPTGPPGFPRDGDYRDQDYRTEQGEEEEEEDE EEEEEKASNIVMLRMLPQAATEDDIRGQLQSHGVQAREVRLMRNKSSGQSRGFAFVEFSH LQDATRWMEANQHSLNILGQKVSMHYSDPKPKINEDWLCNKCGVQNFKRREKCFKCGVPK SEAEQKLPLGTRLDQQALPLGGRELSQGLLPLPQPYQAQGVLTSQALSQGSEPSSENAND TIILRNLNPHSTMDSILGALAPYAVLSSSNVRVIKDKQTQLNRGFAFIQLSTIVEAAQLL QILQALHPPLTIDGKTINVEFAKGSKRDMASNEGSRINAASVASTAIAAAQWAISQASQG GESAWAAPEEPPVDYSYYQQDEGYGSSQGTDSLYAHGYLKNSKGPGMTGTKGDPAGTGPE ASLEAGADSVSLQAFSRAQPGAAPGLYQQSAEGSSGQSTATNSQSYTIISPAVLKAELQS PTQPSSSAFPPATSPTAPEAYSQYPVPDVSTYQYDETSGYYYDPQTGLYYDPNSQYYYNA QSQQYLYWDGERRTYIPALEQSADGHKDTGASSKEGKEKKEKHKTKTAQQIAKDMERWAR SLNKQKENFKNSFQPISALRDDERRESATADAGYAILEKKGALAERQHTSMDLPKLASDD RPSPPRGLVAAYSGESDSEEEQERGGPEREEKLTDWQKLACLLCRRQFPSKEALIRHQQL SGLHKQNLEIHRRAHLSENELEALEKNDMEQMKYRDRAAERREKYGIPEPPEPKRRKYGG ISTASVDFEQPTRDGLGSDNIGSRMLQAMGWKEGSGLGRKKQGIVTPIEAQTRVRGSGLG ARGSSYGVTSTESYKETLHKTMVTRFNEAQ >ENSMUSP00000111031.1 pep:known chromosome:GRCm38:X:20617783:20650901:1 gene:ENSMUSG00000031060.16 transcript:ENSMUST00000084383.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm10 description:RNA binding motif protein 10 [Source:MGI Symbol;Acc:MGI:2384310] MEYERRGGRGDRTGRYGATDRSQDDSGENRSRDHDYRDMDYRSYPREYGSQEGKHEYDDS SEEQSAEIRGQLQSHGVQAREVRLMRNKSSGQSRGFAFVEFSHLQDATRWMEANQHSLNI LGQKVSMHYSDPKPKINEDWLCNKCGVQNFKRREKCFKCGVPKSEAEQKLPLGTRLDQQA LPLGGRELSQGLLPLPQPYQAQGVLTSQALSQGSEPSSENANDTIILRNLNPHSTMDSIL GALAPYAVLSSSNVRVIKDKQTQLNRGFAFIQLSTIVEAAQLLQILQALHPPLTIDGKTI NVEFAKGSKRDMASNEGSRINAASVASTAIAAAQWAISQASQGGESAWAAPEEPPVDYSY YQQDEGYGSSQGTDSLYAHGYLKNSKGPGMTGTKGDPAGTGPEASLEAGADSVSLQAFSR AQPGAAPGLYQQSAEGSSGQSTATNSQSYTIISPAVLKAELQSPTQPSSSAFPPATSPTA PEAYSQYPVPDVSTYQYDETSGYYYDPQTGLYYDPNSQYYYNAQSQQYLYWDGERRTYIP ALEQSADGHKDTGASSKEGKEKKEKHKTKTAQQIAKDMERWARSLNKQKENFKNSFQPIS ALRDDERRESATADAGYAILEKKGALAERQHTSMDLPKLASDDRPSPPRGLVAAYSGESD SEEEQERGGPEREEKLTDWQKLACLLCRRQFPSKEALIRHQQLSGLHKQNLEIHRRAHLS ENELEALEKNDMEQMKYRDRAAERREKYGIPEPPEPKRRKYGGISTASVDFEQPTRDGLG SDNIGSRMLQAMGWKEGSGLGRKKQGIVTPIEAQTRVRGSGLGARGSSYGVTSTESYKET LHKTMVTRFNEAQ >ENSMUSP00000080738.7 pep:known chromosome:GRCm38:X:20620098:20650684:1 gene:ENSMUSG00000031060.16 transcript:ENSMUST00000082089.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm10 description:RNA binding motif protein 10 [Source:MGI Symbol;Acc:MGI:2384310] MEYERRGGRGDRTGRYGATDRSQDDSGENRSRDHDYRDMDYRSYPREYGSQEGKHEYDDS SEEQSAEIRGQLQSHGVQAREVRLMRNKSSGQSRGFAFVEFSHLQDATRWMEANQHSLNI LGQKVSMHYSDPKPKINEDWLCNKCGVQNFKRREKCFKCGVPKSEAEQKLPLGTRLDQQA LPLGGRELSQGLLPLPQPYQAQGVLTSQALSQGSEPSSENANDTIILRNLNPHSTMDSIL GALAPYAVLSSSNVRVIKDKQTQLNRGFAFIQLSTIVEAAQLLQILQALHPPLTIDGKTI NVEFAKGSKRDMASNEGSRINAASVASTAIAAAQWAISQASQGGESAWAAPEEPPVDYSY YQQDEGYGSSQGTDSLYAHGYLKNSKGPGMTGTKGDPAGTGPEASLEAGADSVSLQAFSR AQPGAAPGLYQQSAEGSSGQSTATNSQSYTIISPAVLKAELQSPTQPSSSAFPPATSPTA PEAYSQYPVPDVSTYQYDETSGYYYDPQTGLYYDPNSQYYYNAQSQQYLYWDGERRTYIP ALEQSADGHKDTGASSKEGKEKKEKHKTKTAQQIAKDMERWARSLNKQKENFKNSFQPIS ALRDDERRESATADAGYAILEKKGALAERQHTSMDLPKLASDDRPSPPRGLVAAYSGESD SEEEQERGGPEREEKLTDWQKLACLLCRRQFPSKEALIRHQQLSGLHKQNLEIHRRAHLS ENELEALEKNDMEQMKYRDRAAERREKYGIPEPPEPKRRKYGGISTASVDFEQPTRDGLG SDNIGSRMLQAMGWKEGSGLGRKKQGIVTPIEAQTRVRGSGLGARGSSYGVTSTESYKET LHKTMVTRFNEAQ >ENSMUSP00000136209.1 pep:known chromosome:GRCm38:X:20620098:20650684:1 gene:ENSMUSG00000031060.16 transcript:ENSMUST00000177738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm10 description:RNA binding motif protein 10 [Source:MGI Symbol;Acc:MGI:2384310] MEYERRGGRGDRTGRYGATDRSQDDSGENRSRDHDYRDMDYRSYPREYGSQEGKHEYDDS SEEQSAEDSYEASPGSETQRRRRRRHRHSPTGPPGFPRDGDYRDQDYRTEQGEEEEEEDE EEEEEKASNIVMLRMLPQAATEDDIRGQLQSHGVQAREVRLMRNKSSGQSRGFAFVEFSH LQDATRWMEANQHSLNILGQKVSMHYSDPKPKINEDWLCNKCGVQNFKRREKCFKCGVPK SEAEQKLPLGTRLDQQALPLGGRELSQGLLPLPQPYQAQGVLTSQALSQGSEPSSENAND TIILRNLNPHSTMDSILGALAPYAVLSSSNVRVIKDKQTQLNRGFAFIQLSTIEAAQLLQ ILQALHPPLTIDGKTINVEFAKGSKRDMASNEGSRINAASVASTAIAAAQWAISQASQGG ESAWAAPEEPPVDYSYYQQDEGYGSSQGTDSLYAHGYLKNSKGPGMTGTKGDPAGTGPEA SLEAGADSVSLQAFSRAQPGAAPGLYQQSAEGSSGQSTATNSQSYTIISPAVLKAELQSP TQPSSSAFPPATSPTAPEAYSQYPVPDVSTYQYDETSGYYYDPQTGLYYDPNSQYYYNAQ SQQYLYWDGERRTYIPALEQSADGHKDTGASSKEGKEKKEKHKTKTAQQIAKDMERWARS LNKQKENFKNSFQPISALRDDERRESATADAGYAILEKKGALAERQHTSMDLPKLASDDR PSPPRGLVAAYSGESDSEEEQERGGPEREEKLTDWQKLACLLCRRQFPSKEALIRHQQLS GLHKQNLEIHRRAHLSENELEALEKNDMEQMKYRDRAAERREKYGIPEPPEPKRRKYGGI STASVDFEQPTRDGLGSDNIGSRMLQAMGWKEGSGLGRKKQGIVTPIEAQTRVRGSGLGA RGSSYGVTSTESYKETLHKTMVTRFNEAQ >ENSMUSP00000068188.6 pep:known chromosome:GRCm38:X:20620098:20650684:1 gene:ENSMUSG00000031060.16 transcript:ENSMUST00000064911.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm10 description:RNA binding motif protein 10 [Source:MGI Symbol;Acc:MGI:2384310] MEYERRGGRGDRTGRYGATDRSQDDSGENRSRDHDYRDMDYRSYPREYGSQEGKHEYDDS SEEQSAEDSYEASPGSETQRRRRRRHRHSPTGPPGFPRDGDYRDQDYRTEQGEEEEEEDE EEEEEKASNIVMLRMLPQAATEDDIRGQLQSHGVQAREVRLMRNKSSGQSRGFAFVEFSH LQDATRWMEANQHSLNILGQKVSMHYSDPKPKINEDWLCNKCGVQNFKRREKCFKCGVPK SEAEQKLPLGTRLDQQALPLGGRELSQGLLPLPQPYQAQGVLTSQALSQGSEPSSENAND TIILRNLNPHSTMDSILGALAPYAVLSSSNVRVIKDKQTQLNRGFAFIQLSTIVEAAQLL QILQALHPPLTIDGKTINVEFAKGSKRDMASNEGSRINAASVASTAIAAAQWAISQASQG GESAWAAPEEPPVDYSYYQQDEGYGSSQGTDSLYAHGYLKNSKGPGMTGTKGDPAGTGPE ASLEAGADSVSLQAFSRAQPGAAPGLYQQSAEGSSGQSTATNSQSYTIISPAVLKAELQS PTQPSSSAFPPATSPTAPEAYSQYPVPDVSTYQYDETSGYYYDPQTGLYYDPNSQYYYNA QSQQYLYWDGERRTYIPALEQSADGHKDTGASSKEGKEKKEKHKTKTAQQIAKDMERWAR SLNKQKENFKNSFQPISALRDDERRESATADAGYAILEKKGALAERQHTSMDLPKLASDD RPSPPRGLVAAYSGESDSEEEQERGGPEREEKLTDWQKLACLLCRRQFPSKEALIRHQQL SGLHKQNLEIHRRAHLSENELEALEKNDMEQMKYRDRAAERREKYGIPEPPEPKRRKYGG ISTASVDFEQPTRDGLGSDNIGSRMLQAMGWKEGSGLGRKKQGIVTPIEAQTRVRGSGLG ARGSSYGVTSTESYKETLHKTMVTRFNEAQ >ENSMUSP00000120174.1 pep:known chromosome:GRCm38:X:73148796:73157851:1 gene:ENSMUSG00000072479.10 transcript:ENSMUST00000135742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr5b description:X-linked lymphocyte-regulated 5B [Source:MGI Symbol;Acc:MGI:3574109] MSNKEQKAMKKSGKHQRVHKTLPSDDFKNSDEVNPADKPAVGTSGMGSHSSGSDMQEARE PVQKKMQDFKGDDGTGLLMEKRKQFEEDVNASFRSLNENLQSILKAQQNSRQELKSLYCE RFGSVYHNWLVEMDRTRDQEEYFSFITQQQMKILQTAIEDHETKLKNAKDMCDTFLKKAN DLSKRQKTFIGGQQTIVE >ENSMUSP00000110164.2 pep:known chromosome:GRCm38:X:73148796:73158872:1 gene:ENSMUSG00000072479.10 transcript:ENSMUST00000114518.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr5b description:X-linked lymphocyte-regulated 5B [Source:MGI Symbol;Acc:MGI:3574109] MSNKEQKAMKKSGKHQRVHKTLPSDDFKNSDEVNPADKPAVGTSGMGSHSSGSDMQEARE PVQKKMQDFKGDDGTGLLMEKRKQFEEDVNASFRSLNENLQSILKAQQNSRQELKSLYCE RFGSVYHNWLVEMDRTRDQEEYFSFITQQQMKILQTAIEDHETKLKNAKDMCDTFLKKAN DLSKRQKTFIGGQQTIVEKEISKVQNRVIMETQDQDIAMVETYLQSLIHESSEETI >ENSMUSP00000110163.1 pep:known chromosome:GRCm38:X:73151134:73158093:1 gene:ENSMUSG00000072479.10 transcript:ENSMUST00000097221.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr5b description:X-linked lymphocyte-regulated 5B [Source:MGI Symbol;Acc:MGI:3574109] MSNKEQKAMKKSGKHQRVHKTLPSDDFKNSDEVNPADKPAVGTSGMGSHSSGSDMQEARE PVQKKMQDFKGDDGTGLLMEKRKQFEEDVNASFRSLNENLQSILKAQQNSRQELKSLYCE RFGSVYHNWLVEMDRTRDQEEYFSFITQQQMKILQTAIEDHETKLKNAKDMCDTFLKKAN DLSKRQKTFIGGQQTIVEKEISKVQNRVIMETQDQDIAMVETYLQSLIHESSEETI >ENSMUSP00000036025.4 pep:known chromosome:GRCm38:19:24683016:24861855:-1 gene:ENSMUSG00000041731.13 transcript:ENSMUST00000047666.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgm5 description:phosphoglucomutase 5 [Source:MGI Symbol;Acc:MGI:1925668] MEGSPIPVLTVPTAPYEDQRPTGGGGLRRPTGLFEGQRNYLPNFIQSVLSSIDLRDRQGC TMVVGSDGRYFSRTATEIVVQMAAANGIGRLIIGQNGILSTPAVSCIIRKIKAAGGIILT ASHCPGGPGGEFGVKFNVANGGPAPDVVSDKIYQISKTIEEYAICPDLRIDLSRLGRQEF DLENKFKPFRVEIVDPVDIYLNLLRNIFDFNAIKSLLTGPSQLKIRVDAMHGVMGPYVRK VLCDELGAPANSAINCVPLEDFGGQHPDPNLTYATTLLEAMKGGEYGFGAAFDADGDRYM ILGQNGFFVSPSDSLAIIAANLSCIPYFRQMGVRGFGRSMPTSTALDRVAKSMKVPVYET PAGWRFFSNLMDSGRCSLCGEESFGTGSDHLREKDGLWAVLVWLSIIAARKQSVEEIVRD HWAKYGRHYYCRFDYEGLEPKATYYIMRDLEALVTDKSFIGQQFAVGSHIYSIAKTDSFE YVDPVDGTVTKKQGLRIIFSDASRLIFRLSSSSGVRATIRLYAESYERDPSGHDQEPQAV LSPLIAIALKISQIHERTGRRGPTVIT >ENSMUSP00000096407.2 pep:known chromosome:GRCm38:7:14067452:14123044:-1 gene:ENSMUSG00000074375.2 transcript:ENSMUST00000098809.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a3 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 3 [Source:MGI Symbol;Acc:MGI:3645873] MMSDYYWFEGIPFPAIAYQKEILEDIRNKFVVKEEDLLILTYPKSGTHWLIEIVCLIQTR GDPKWIQTVPIWDRSPWLETDIGYLALINKEGPRLISSHLPVHLFFKSFFSSKAKAIYLI RNPRDILVSGYFFYGNTNLVKNPGSLRTYFEWFLKGNVIYGSWFEHVRGWLSMREWDNFL VLYYEDMKKDTKGTIKKICDFLGKKLEPDELDLVLKYSSFQAMKENNMSNYSLVSEDMIT NGLKLMRKGTTGDWKNHFTVAQAEAFNKVFQEKMAGFPQGMFPWE >ENSMUSP00000124204.2 pep:known chromosome:GRCm38:6:6863334:6868568:1 gene:ENSMUSG00000029754.13 transcript:ENSMUST00000160937.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx6 description:distal-less homeobox 6 [Source:MGI Symbol;Acc:MGI:101927] MMTMTTMADGLEGQDSSKSAFMEFGQQQQQQQQQQQQQQQQQQQQQQPPPPPPPPPPQPH SQQTSPAMAGAHYPLHCLHSAAAAAAAAGSHHHHHQHHHHGSPYASSGGNSYNHRSLAAY PYMSHSQHSPYLQSYHNSSAAAQTRGDDTDQQKTTVIENGEIRFNGKGKKIRKPRTIYSS LQLQALNHRFQQTQYLALPERAELAASLGLTQTQVKIWFQNKRSKFKKLLKQGSNPHESD PLPGSAALSPRSPALPPVWDVSASAKGVSMPPNSYMPGYSHWYSSPHQDTMQRPQMM >ENSMUSP00000031768.6 pep:known chromosome:GRCm38:6:6863797:6867967:1 gene:ENSMUSG00000029754.13 transcript:ENSMUST00000031768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx6 description:distal-less homeobox 6 [Source:MGI Symbol;Acc:MGI:101927] AAAQTRGDDTDQQKTTVIENGEIRFNGKGKKIRKPRTIYSSLQLQALNHRFQQTQYLALP ERAELAASLGLTQTQVKIWFQNKRSKFKKLLKQGSNPHESDPLPGSAALSPRSPALPPVW DVSASAKGVSMPPNSYMPGYSHWYSSPHQDTMQRPQMM >ENSMUSP00000128585.1 pep:known chromosome:GRCm38:6:6863334:6867970:1 gene:ENSMUSG00000029754.13 transcript:ENSMUST00000171311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx6 description:distal-less homeobox 6 [Source:MGI Symbol;Acc:MGI:101927] MMTMTTMADGLEGQDSSKSAFMEFGQQQQQQQQQQQQQQQQQQQQQQPPPPPPPPPPQPH SQQTSPAMAGAHYPLHCLHSAAAAAAAAGSHHHHHQHHHHGSPYASSGGNSYNHRSLAAY PYMSHSQHSPYLQSYHNSSAAAQTRGDDTDQQKTTVIENGEIRFNGKGKKIRKPRTIYSS LQLQALNHRFQQTQYLALPERAELAASLGLTQTQVKIWFQNKRSKFKKLLKQGSNPHESD PLPGSAALSPRSPALPPVWDVSASAKGVSMPPNSYMPGYSHWYSSPHQDTMQRPQMM >ENSMUSP00000129635.1 pep:known chromosome:GRCm38:3:94413273:94434668:1 gene:ENSMUSG00000041912.12 transcript:ENSMUST00000166032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrkh description:tudor and KH domain containing protein [Source:MGI Symbol;Acc:MGI:1919884] MSTERTSWTNLSTIQKIALGLGIPASATVAYILYRRYRESREERLTFVGEDDIEIEMRVP QEAVKLIIGRQGANIKQLRKQTGARIDVDTEDVGDERVLLISGFPVQVCKAKAAIHQILT ENTPVFEQLSVPQRSVGRIIGRGGETIRSICKASGAKITCDKESEGTLLLSRLIKISGTQ KEVAAAKHLILEKVSEDEELRKRIAHSAETRVPRKQPISVRREEVTEPGGAGEAALWKNT NSSMGPATPLEVPLRKGGGDMVVVGPKEGSWEKPNDDSFQNSGAQSSPETSMFEIPSPDF SFHADEYLEVYVSASEHPNHFWIQIIGSRSLQLDKLVSEMTQHYENSLPEDLTVHVGDIV AAPLSTNGSWYRARVLGTLENGNLDLYFVDFGDNGDCALKDLRALRSDFLSLPFQAIECS LARIAPTGEEWEEEALDEFDRLTHCADWKPLVAKISSYVQTGISTWPKIYLYDTSDEKKL DIGLELVRKGYAVELPEDMEENRTVPNMLKDMATETDDSLASILTETKKSPEEMPHTLSC LSLSEAASMSGDDNLEDDLF >ENSMUSP00000142779.1 pep:known chromosome:GRCm38:3:94413300:94425635:1 gene:ENSMUSG00000041912.12 transcript:ENSMUST00000197876.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tdrkh description:tudor and KH domain containing protein [Source:MGI Symbol;Acc:MGI:1919884] MSTERTSWTNLSTIQKIALGLGIPASATVAYILYRRYRESRVAETDRRAD >ENSMUSP00000142584.1 pep:known chromosome:GRCm38:3:94413317:94426388:1 gene:ENSMUSG00000041912.12 transcript:ENSMUST00000200486.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrkh description:tudor and KH domain containing protein [Source:MGI Symbol;Acc:MGI:1919884] MSTERTSWTNLSTIQKIALGLGIPASATVAYILYRRYRESREERLTFVGEDDIEIEMRVP QEAVKLIIGRQGANIKQLRKQTGARIDVDTEDVGDERVLLISGFPVQVCKAKAAIHQILT ENTPVFEQLSVPQRSVGRIIGRGGETIRSICKASGAKITCDKESEGTLLLSRLIKISGTQ KEVAAAKHLILEKVSEDEELRKRIAHSAETRVPRKQPISVRREEVTEPGGAGEAALWKNT NSSMGPATPLEVPLRKGGGDMVVVGPKEGSWEKPNDDSFQNSGAQSSPETSMFESM >ENSMUSP00000143256.1 pep:known chromosome:GRCm38:3:94413318:94426308:1 gene:ENSMUSG00000041912.12 transcript:ENSMUST00000196386.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrkh description:tudor and KH domain containing protein [Source:MGI Symbol;Acc:MGI:1919884] MSTERTSWTNLSTIQKIALGLGIPASATVAYILYRRYRESREERLTFVGEDDIEIEMRVP QEAVKLIIGRQGANIKQLRKQTGARIDVDTEDVGDERVLLISGFPVQGEAVRRFVLSVRL LEPKSLATKNQRERYYSHDL >ENSMUSP00000142561.1 pep:known chromosome:GRCm38:3:94413318:94431507:1 gene:ENSMUSG00000041912.12 transcript:ENSMUST00000197901.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrkh description:tudor and KH domain containing protein [Source:MGI Symbol;Acc:MGI:1919884] MSTERTSWTNLSTIQKIALGLGIPASATVAYILYRRYRESREERLTFVGEDDIEIEMRVP QEAVKLIIGRQGANIKQLRKQTGARIDVDTEDVGDERVLLISGFPVQVCKAKAAIHQILT ENTPVFEQLSVPQRSVGRIIGRGGETIRSICKASGAKITCDKESEGTLLLSRLIKISGTQ KEVAAAKHLILEKVSEDEELRKRIAHSAETRVPRKQPISVRREEVTEPGGAGEAALWKNT NSSMGPATPLEVPLRKGGGDMVVVGPKEGSWEKPNDDSFQNSGAQSSPETSMFEIPSPDF SFHADEYLEVYVSASEHPNHFWIQIIGSRSLQLDKLVSEMTQHYENSLPEDLTVHVGDIV AAPLSTNGSWYRARVLGTLENGNLDLYFVDFGDNGDCALKDLRALRSDFLSLPFQAIECS LARIAPTGEEWEEEALDEFDRLTHCADWKPLVAKISSYVQTGISTWPKIYLYDTSDEKKL DIGLELVRKGYAVELPEDMEENRTVPNMLKDMATETDDSLASILTETKKSPEEMPHTLSC LSLSEAASMSGDDNLEDDLF >ENSMUSP00000143102.1 pep:known chromosome:GRCm38:3:94422800:94431496:1 gene:ENSMUSG00000041912.12 transcript:ENSMUST00000196606.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrkh description:tudor and KH domain containing protein [Source:MGI Symbol;Acc:MGI:1919884] MSTERTSWTNLSTIQKIALGLGIPASATVAYILYRRYRESREERLTFVGEDDIEIEMRVP QEAVKLIIGRQGANIKQTGARIDVDTEDVGDERVLLISGFPVQVCKAKAAIHQILTENTP VFEQLSVPQRSVGRIIGRGGETIRSICKASGAKITCDKESEGTLLLSRLIKISGTQKEVA AAKHLILEKVSEDEELRKRIAHSAETRVPRKQPISVRREEVTEPGGAGEAALWKNTNSSM GPATPLEVPLRKGGGDMVVVGPKEGSWEKPNDDSFQNSGAQSSPETSMFEIPSPDFSFHA DEYLEVYVSASEHPNHFWIQIIGSRSLQLDKLVSEMTQHYENSLPEDLTVHVGDIVAAPL STNGSWYRARVLGTLENGNLDLYFVDFGDNGDCALKDLRALRSDFLSLPFQAIECSLARI APTGEEWEEEALDEFDRLTHCADWKPLVAKISSYVQTGISTWPKIYLYDTSDEKKLDIGL ELVRKGYAVELPEDMEENRTVPNMLKDMATETDDSLASILTETKKSPEEMPHTLSCLSLS EAASMSGDDNLEDDLF >ENSMUSP00000143135.1 pep:known chromosome:GRCm38:3:94422800:94431509:1 gene:ENSMUSG00000041912.12 transcript:ENSMUST00000197495.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrkh description:tudor and KH domain containing protein [Source:MGI Symbol;Acc:MGI:1919884] MSTERTSWTNLSTIQKIALGLGIPASATVAYILYRRYRESREERLTFVGEDDIEIEMRVP QEAVKLIIGRQGANIKQLRKQTGARIDVDTEDVGDERVLLISGFPVQASGAKITCDKESE GTLLLSRLIKISGTQKEVAAAKHLILEKVSEDEELRKRIAHSAETRVPRKQPISVRREEV TEPGGAGEAALWKNTNSSMGPATPLEVPLRKGGGDMVVVGPKEGSWEKPNDDSFQNSGAQ SSPETSMFEIPSPDFSFHADEYLEVYVSASEHPNHFWIQIIGSRSLQLDKLVSEMTQHYE NSLPEDLTVHVGDIVAAPLSTNGSWYRARVLGTLENGNLDLYFVDFGDNGDCALKDLRAL RSDFLSLPFQAIECSLARIAPTGEEWEEEALDEFDRLTHCADWKPLVAKISSYVQTGIST WPKIYLYDTSDEKKLDIGLELVRKGYAVELPEDMEENRTVPNMLKDMATETDDSLASILT ETKKSPEEMPHTLSCLSLSEAASMSGDDNLEDDLF >ENSMUSP00000041002.5 pep:known chromosome:GRCm38:3:94413318:94431491:1 gene:ENSMUSG00000041912.12 transcript:ENSMUST00000045245.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrkh description:tudor and KH domain containing protein [Source:MGI Symbol;Acc:MGI:1919884] MSTERTSWTNLSTIQKIALGLGIPASATVAYILYRRYRESREERLTFVGEDDIEIEMRVP QEAVKLIIGRQGANIKQLRKQTGARIDVDTEDVGDERVLLISGFPVQVCKAKAAIHQILT ENTPVFEQLSVPQRSVGRIIGRGGETIRSICKASGAKITCDKESEGTLLLSRLIKISGTQ KEVAAAKHLILEKVSEDEELRKRIAHSAETRVPRKQPISVRREEVTEPGGAGEAALWKNT NSSMGPATPLEVPLRKGGGDMVVVGPKEGSWEKPNDDSFQNSGAQSSPETSMFEIPSPDF SFHADEYLEVYVSASEHPNHFWIQIIGSRSLQLDKLVSEMTQHYENSLPEDLTVHVGDIV AAPLSTNGSWYRARVLGTLENGNLDLYFVDFGDNGDCALKDLRALRSDFLSLPFQAIECS LARIAPTGEEWEEEALDEFDRLTHCADWKPLVAKISSYVQTGISTWPKIYLYDTSDEKKL DIGLELVRKGYAVELPEDMEENRTVPNMLKDMATETDDSLASILTETKKSPEEMPHTLSC LSLSEAASMSGDDNLEDDLF >ENSMUSP00000146582.1 pep:known chromosome:GRCm38:15:76009890:76046981:1 gene:ENSMUSG00000102018.7 transcript:ENSMUST00000187868.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:K230010J24Rik description:RIKEN cDNA K230010J24 gene [Source:MGI Symbol;Acc:MGI:3588184] MSTKKGGPKAASGKGQALLPGSKLRATAGKPRESRQLQRKASHPSKGSFSENPQAPAAPT AEDKAAIVIQCAFRQYLARRELARRCQERQEYLDEMEKLQKEAYLALVRQEQEAARRQRE KEEAEERARREELQRRRRLLEAAFEGDLGEIRQVLKEVEQLMTREGVGYDEDGKARRLQR RVATVECEDSHGNTPLSEAAAGGQTMAIQLLAELGANPNTKGAFGRTPLYRAAFGGHLEA VEELLKIGADPRMYADDGSTPEQVASLAAVASVLQSWDLSLTEAMLKNMEAEQQRRAQEA QKHKENEAKRINIKVQQLAKEQQKCQKELQQAYCELNRRIMEHDKCERKFMGNTELTLQA IKDAEAQVDRLQQEAQKAEETLAMARLELREQTPEDEDTEPGLKCRVTELHDVLMKDVGD RIRADGRWPLVIDPSGQAATFLRYQDTNYVDTLNPEHLRPERIRLALLGALRYGKPLVFD LRQVNLFPVVQQQLEVVQTGLAQALLNRGLLAKEGYLSLLRPTDGPEYDPSQFQEARLEN FRLFFVTQVKWPPADQLQTLLPVRVQMPSGRF >ENSMUSP00000140297.2 pep:known chromosome:GRCm38:15:76034252:76037844:1 gene:ENSMUSG00000102018.7 transcript:ENSMUST00000187976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:K230010J24Rik description:RIKEN cDNA K230010J24 gene [Source:MGI Symbol;Acc:MGI:3588184] XEKLQKEAYLALVRQEQEAARRQREKEEAEERARREELQRRRRLLEAAFEGDLGEIRQVL KEVEQLMTREGVGYDEDGKARRLQRRVATVECEDSHGNTPLSEAAAGGQTMAIQLLAELG ANPNTKGAFGRTPLYRAAFGGHLEAVEELLKIGADPRMYADDGSTPEQTNPQVCKLFPST RR >ENSMUSP00000120336.1 pep:known chromosome:GRCm38:13:34162964:34178168:1 gene:ENSMUSG00000071451.9 transcript:ENSMUST00000124996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmg4 description:proteasome (prosome, macropain) assembly chaperone 4 [Source:MGI Symbol;Acc:MGI:1916916] MEESRAAADADVSLHNFSARLWEQLVHFHVMRLTDSLFLWVGATPHLRNLAVAMCSRYPG RPANRCLSATTSPTQTVTSRYS >ENSMUSP00000115881.1 pep:known chromosome:GRCm38:13:34162964:34178172:1 gene:ENSMUSG00000071451.9 transcript:ENSMUST00000147632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmg4 description:proteasome (prosome, macropain) assembly chaperone 4 [Source:MGI Symbol;Acc:MGI:1916916] MEESRAAADADVSLHNFSARLWEQLVHFHVMRLTDSLFLWVGATPHLRNLAVAMCSRYDP IPVCTSLFGDTSDTTSTGLAQRLARKTSKQVFVSYNLSNTDSNFTLLVENRIKEEMETFP EKF >ENSMUSP00000084799.6 pep:known chromosome:GRCm38:1:58445151:58463456:1 gene:ENSMUSG00000026036.17 transcript:ENSMUST00000087521.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nif3l1 description:Ngg1 interacting factor 3-like 1 (S. pombe) [Source:MGI Symbol;Acc:MGI:1929485] MLSSAHLVPTSVQRAQSWICRSSRSFMDLKALLSSLNDFASLSFAESWDNVGLLVEPSPP HTVNTLFLTNDLTEEVMDEALQKKADFILSYHPPIFRPMKHITWKTWKECLVIRALENRV AVYSPHTAYDAAPQGVNSWLAKGLGTCTTRPIHPSRAPDYPTEGAHRLEFSVNRSQDLDK VMSTLRGVGGVSVTSFPARCDGEEQTRISLNCTQKTLMQVLAFLSQDRQLYQKTEILSLE KPLLLHTGMGRLCTLDESVSLAIMIERIKTHLKLSHLRLALGVGRTLESQVKVVALCAGS GGSVLQGVEADLYLTGEMSHHDVLDAASKGINVILCEHSNTERGFLSELQEMLGVHFENK INIILSETDRDPLRVV >ENSMUSP00000109976.1 pep:known chromosome:GRCm38:1:58445267:58481816:1 gene:ENSMUSG00000026036.17 transcript:ENSMUST00000114337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nif3l1 description:Ngg1 interacting factor 3-like 1 (S. pombe) [Source:MGI Symbol;Acc:MGI:1929485] MLSSAHLVPTSVQRAQSWICRSSRSFMDLKALLSSLNDFASLSFAESWDNVGLLVEPSPP HTVNTLFLTNDLTEEVMDEALQKKADFILSYHPPIFRPMKHITWKTWKECLVIRALENRV AVYSPHTAYDAAPQGVNSWLAKGLGTCTTRPIHPSRAPDYPTEGAHRLEFSVNRSQDLDK VMSTLRGVGGVSVTSFPARCDGEEQTRISLNCTQKTLMQVLAFLSQDRQLYQKTEILSLE KPLLLHTGMGRLCTLDESVSLAIMIERIKTHLKLSHLRLALGVGRTLESQVKVVALCAGS GGSVLQGVEADLYLTGSVSLETGAGRSLF >ENSMUSP00000124713.1 pep:known chromosome:GRCm38:1:58445628:58450507:1 gene:ENSMUSG00000026036.17 transcript:ENSMUST00000129759.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nif3l1 description:Ngg1 interacting factor 3-like 1 (S. pombe) [Source:MGI Symbol;Acc:MGI:1929485] MLSSAHLVPTSVQRAQSWICRSSRSFMDLKALLSSLNDFASLSFAESWDNVGLLVEPSPP HTVNTLFLTNDLTEEVMDEALQKKADFILSYHPPIFRPMKHITWKTWKECLVIRALENRV AVYSPHTAYDAAPQGVNSWLAKGLDDPGLCQADKN >ENSMUSP00000123553.1 pep:known chromosome:GRCm38:1:58445729:58448050:1 gene:ENSMUSG00000026036.17 transcript:ENSMUST00000151272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nif3l1 description:Ngg1 interacting factor 3-like 1 (S. pombe) [Source:MGI Symbol;Acc:MGI:1929485] MLSSAHLVPTSVQRAQSWICRSSRSFMDLKALLSSLNDFASLSFAESWDNVGLLVEPSPP HTVNTLFLTNDLTEEVMDEALQKKADFILSYHPPIFRPMKHITWKTWKECLVIRALENRV AVYSPHTAYDA >ENSMUSP00000127501.1 pep:known chromosome:GRCm38:1:58447631:58462266:1 gene:ENSMUSG00000026036.17 transcript:ENSMUST00000171597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nif3l1 description:Ngg1 interacting factor 3-like 1 (S. pombe) [Source:MGI Symbol;Acc:MGI:1929485] MLSSAHLVPTSVQRAQSWICRSSRSFMDLKALLSSLNDFASLSFAESWDNVGLLVEPSPP HTVNTLFLTNDLTEEVMDEALQKKADFILSYHPPIFRPMKHITWKTWKECLVIRALENRV AVYSPHTAYDAAPQGVNSWLAKGLGTCTTRPIHPSRAPDYPTEGAHRLEFSVNRSQDLDK VMSTLRGVGGVSVTSFPARCDGEEQTRISLNCTQKTLMQVLAFLSQDRQLYQKTEILSLE KPLLLHTGMGRLCTLDESVSLAIMIERIKTHLKLSHLRLALGVGRTLESQVKVVALCAGS GGSVLQGVEADLYLTGEMSHHDVLDAASKGINVILCEHSNTERGFLSELQEMLGVHFENK INIILSETDRDPLRVV >ENSMUSP00000079356.2 pep:known chromosome:GRCm38:13:21779883:21780625:-1 gene:ENSMUSG00000058773.2 transcript:ENSMUST00000080511.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h1b description:histone cluster 1, H1b [Source:MGI Symbol;Acc:MGI:1861461] MSETAPAETAAPAPVEKSPAKKKTTKKAGAAKRKATGPPVSELITKAVSASKERGGVSLP ALKKALAAGGYDVEKNNSRIKLGLKSLVSKGTLVQTKGTGASGSFKLNKKAASGEAKPKA KKTGAAKAKKPAGATPKKPKKTAGAKKTVKKTPKKAKKPAAAGVKKVAKSPKKAKAAAKP KKAAKSPAKPKAVKSKASKPKVTKPKTAKPKAAKAKKAVSKKK >ENSMUSP00000055475.5 pep:known chromosome:GRCm38:5:115122550:115134975:-1 gene:ENSMUSG00000046562.5 transcript:ENSMUST00000060798.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc119b description:unc-119 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:2147162] MSGSNPKAATAGSQAGPGGLVAGKEEKKKAGGGVLNRLKARRQGPPHTPDDGSGAAVTEQ ELLALDTIRPEHVLRLNRVTENYLCKPEDNVYSIDFTRFKIRDLETGTVLFEIAKPCISD QDQDAEEESVDVDISVGRFVRYQFTPAFLRLRTVGATVEFTVGDRPVTGFRMIERHYFRE RLLKTFDFDFGFCIPSSRNTCEHIYEFPQLSEDVIRLMIENPYETRSDSFYFVDNKLVMH NKADYAYNGGQ >ENSMUSP00000124369.1 pep:known chromosome:GRCm38:6:38381469:38427647:1 gene:ENSMUSG00000056832.14 transcript:ENSMUST00000162554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc26 description:tetratricopeptide repeat domain 26 [Source:MGI Symbol;Acc:MGI:2444853] MMLSRAKPAVGGESPHTDKRKKKGRKIPKLEDLLSQRDFTGAITLLEFKRHVGEQEDDTN LWIGYCAFHLGDYKRALEEYENATKEENCNPEVWVNLACTYFFLGMYKQAEAAGFKAPKS RLQNRLLFHLAHKFNDEKKLMNFHQNLQDIKEDQLSLASIHYMRSHYQEAIDIYKRILLD NREYLALNVYVALCYYKLDYYDVSQEVLAVYLQQIPDSTIALNLKACNHFRLYNGKAAEA ELKSLMDNASSPFEFAKELIRHNLVVFRGGEGALQVLPPLVDVIPEARLNLVIYYLRQDD VQEAYNLIKDLEPTTPQEYILKGVVNAALGQEMGSRDHMKIAQQFFQLVGGSASECDTIP GRQCMASCFFLLKQFDDVLIYLNSFKSYFYNDDIFNFNYAQAKAATGNTSEGEEVFLLIQ SEKLKNDYIYLSWLARCYIMNKKPRLAWELYLKMETSGESFSLLQLIANDCYKMGQFYYS AKAFDVLERLDPNPEYWEGKRGACVGIFQMILAGREPKETLREVLHLLRSTGNTQVEYII RIMKKWAKENRVPI >ENSMUSP00000124271.1 pep:known chromosome:GRCm38:6:38381525:38395142:1 gene:ENSMUSG00000056832.14 transcript:ENSMUST00000161751.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc26 description:tetratricopeptide repeat domain 26 [Source:MGI Symbol;Acc:MGI:2444853] MMLSRAKPAVGGESPHTDKRKKKGRKIPKLEDLLSQRDFTGAITLLEFKRHVGEQEDDTN LWIGYCAFHLGDYKRALEEYENATKEENCNPEVWVNLACTYFFLGMYKQAEAAGFKAPKS RLQNRLLFHLAHKNLQDIKEDQLSLASIHYMRSHYQEAIDIYKRILLDNREYLALNVYVA LCYYKLDYYDVSQEVLAVYLQQIPDSTIAL >ENSMUSP00000124873.1 pep:known chromosome:GRCm38:6:38381525:38427647:1 gene:ENSMUSG00000056832.14 transcript:ENSMUST00000159145.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc26 description:tetratricopeptide repeat domain 26 [Source:MGI Symbol;Acc:MGI:2444853] MMLSRAKPAVGGESPHTDKRKKKGRKIPKLEDLLSQRDFTGAITLLEFKRHVGEQEDDTN LWIGYCAFHLGDYKRALEEYENATKEENCNPEVWVNLACTYFFLGMYKQAEAAGFKGGKN SYQCLVESVHSTGADQTHRGRPDCACGRLCCRTSEQYQGRSRTGEQDLEQLPSHSSVALQ LQKAGSKTAYCSIWLTSLMMRRN >ENSMUSP00000125097.1 pep:known chromosome:GRCm38:6:38381529:38398324:1 gene:ENSMUSG00000056832.14 transcript:ENSMUST00000160215.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc26 description:tetratricopeptide repeat domain 26 [Source:MGI Symbol;Acc:MGI:2444853] MMLSRAKPAVGGESPHTDKRKKKGRKIPKLEDLLSQRDFTGAITLLEFKRHVGEQEDDTN LWIGYCAFHLGDYKRALELQKAGSKTAYCSIWLTSLMMRRN >ENSMUSP00000101947.1 pep:known chromosome:GRCm38:7:126945567:126949159:-1 gene:ENSMUSG00000046378.11 transcript:ENSMUST00000106340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asphd1 description:aspartate beta-hydroxylase domain containing 1 [Source:MGI Symbol;Acc:MGI:2685014] MWKGGNQEAVIEGSGGELGVPGSWGLQDAACHLARASLPIMFPWPLPLGSSALTMLLGAL TSLFLWYCYRLGSQDMQALGTGSRAGGVSGMPDVCSQTGPRGLGDSGEGPRAEGLVSRRL RAYARRYSWAGMGRVRRAAQGGSGLTGGAGVMGIQRPGLLFLPDLPSSPFVPRDAQRHDV ELLQSSFPAILRDFGAVSWDFSGTTPLPRGWSPPLAPGCYQLLLYQAGRCQPSNCRRCPG AYRALRGLRSFMSANTFGNAGFSVLLPGARLEGRCGPTNARVRCHLGLKIPPGCELVVGG EPQCWAEGHCLLVDDSFLHTVAHNGSPEDGPRVVFIVDLWHPNVAGAERQALDFVFAPDP >ENSMUSP00000049848.5 pep:known chromosome:GRCm38:7:126945989:126949582:-1 gene:ENSMUSG00000046378.11 transcript:ENSMUST00000052937.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asphd1 description:aspartate beta-hydroxylase domain containing 1 [Source:MGI Symbol;Acc:MGI:2685014] MSANTFGNAGFSVLLPGARLEGRCGPTNARVRCHLGLKIPPGCELVVGGEPQCWAEGHCL LVDDSFLHTVAHNGSPEDGPRVVFIVDLWHPNVAGAERQALDFVFAPDP >ENSMUSP00000101946.1 pep:known chromosome:GRCm38:7:126946008:126949581:-1 gene:ENSMUSG00000046378.11 transcript:ENSMUST00000106339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asphd1 description:aspartate beta-hydroxylase domain containing 1 [Source:MGI Symbol;Acc:MGI:2685014] MSANTFGNAGFSVLLPGARLEGRCGPTNARVRCHLGLKIPPGCELVVGGEPQCWAEGHCL LVDDSFLHTVAHNGSPEDGPRVVFIVDLWHPNVAGAERQALDFVFAPDP >ENSMUSP00000029316.9 pep:known chromosome:GRCm38:3:54728678:54735393:-1 gene:ENSMUSG00000027752.15 transcript:ENSMUST00000029316.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc8 description:exosome component 8 [Source:MGI Symbol;Acc:MGI:1916889] MAAGFKTVEPLEYYRRFLKENCRPDGRELGEFRATTVNIGSISTADGSALVKLGNTTVIC GVKAEFAAPPVDAPDRGYVVPNVDLPPLCSSRFRTGPPGEEAQVTSQFIADVVDNSQVIK KEDLCISPGKLAWVLYCDLICLDYDGNILDACTFALLAALKNVQLPEVTINEETALAEVN LKKKSYLNVRTNPVATSFAVFDDTLLIVDPTGEEEHLSTGTLTVVTDEDGKLCCLHKPGG SGLTGAKLQDCMSRAVTRHKEVSKLLDEVIQSMRHK >ENSMUSP00000115876.1 pep:known chromosome:GRCm38:3:54729853:54734313:-1 gene:ENSMUSG00000027752.15 transcript:ENSMUST00000154787.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Exosc8 description:exosome component 8 [Source:MGI Symbol;Acc:MGI:1916889] YYRRFLKENCRPDGRELGEFRATTVNIGSISTADGSALVKLGNTTVICGVKAEFAAPPVD APDRGYVVPNVDLPPLCSSRFRTGPPGEEAQVTSQFIADVVDNLLGFYTVTSFV >ENSMUSP00000118780.1 pep:known chromosome:GRCm38:3:54731993:54735046:-1 gene:ENSMUSG00000027752.15 transcript:ENSMUST00000153224.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc8 description:exosome component 8 [Source:MGI Symbol;Acc:MGI:1916889] MAAGFKTVEPLEYYRRFLKENCRPDGRELGEFRATTVNIGSISTADGSALVKLGNTTVIC GVKAEFAAPPVDAPDRGYVVFLSVPNVDLPPLCSSRFRTGPPGEEAQVTSQFIADVVDNS QVIKKEDLCI >ENSMUSP00000105481.1 pep:known chromosome:GRCm38:11:5569697:5584283:1 gene:ENSMUSG00000020481.15 transcript:ENSMUST00000109855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd36 description:ankyrin repeat domain 36 [Source:MGI Symbol;Acc:MGI:1923639] MKKIFKGHSPWGFLSFRHAENQEPPRYLTGYMPVKRIHKAASVGDIPQVQKMLEFGDIDV NVTDRKKRTALHYACAHGQSEMVSLLLWYDCNIEARDREESTALIKATQRQHEICVKILL ENGADSNAVDIHQNTSLHYTVYNKDTTIAAKLLAFNADTEVKTKNGYTPLILAVLENKQE MVELLLQAAANINALDNCKRSALIHAVRTQSKNMISLLLQQGANASLVDIYGATAQSYAV FETFQVLSQGPGPRPELTTKEARHSFDTKDGLVPCSPRFKEDNKRMEENTDAVDELGRYN >ENSMUSP00000122397.1 pep:known chromosome:GRCm38:11:5569817:5660839:1 gene:ENSMUSG00000020481.15 transcript:ENSMUST00000118112.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd36 description:ankyrin repeat domain 36 [Source:MGI Symbol;Acc:MGI:1923639] MKKIFKGHSPWGFLSFRHAENQEPPRYLTGYMPVKRIHKAASVGDIPQVQKMLEFGDIDV NVTDRKKRTALHYACAHGQSEMVSLLLWYDCNIEARDREESTALIKATQRQHEICVKILL ENGADSNAVDIHQNTSLHYTVYNKDTTIAAKLLAFNADTEVKTKNGYTPLILAVLENKQE MVELLLQAAANINALDNCKRSALIHAVRTQSKNMISLLLQQGANASLVDIYGATAQSYAV FETFQVLSQGPGPRPELTTKEARHSFDTKDGLVPCSPRFKEDNKRMEENTDAVDELGSQT ASKHKEKELEICADGNSEVDACPECYSKTSFAHRPSNSEPIEEEDEPSLSTKPPVVQSPV LTESNLWAGYPADWPEPIKFSRQPAVYNPTEWREDMESRSVPLESKQQGAALPGKEANIQ TQQQLQTRAFKEPGANDPDLPSRENAKDELVSLLAPLEEKKPQMKEFPVTEAAKFQTDVK LEREGVLEFEEKGLEICQDSNLEAAESTESYSILRFAKPDVSDPEPTARKDEYKLDTKDE DRLERHLLAPKASQHQAKQTSPPPVQLQERSQGPEMDTASDEEDTSGLSKSAKGQILGGK LTLGENPEGKETVQCVINKPTQKGDEIYEDVNANVYLKEERPPDVSGKTHLTSDPTTLAY NMFDCGDRDVSAALVSVTLQTSPRQEEGGLGNAFPSPSSSQDVDYSGQSLTKFPLMRNKL DCENNASELGESFHKRKEKSSKNIESRKVKGKCPEVRMGEKQEGHEFKSQSQKTMKPKRT DWQLDIRHMLKSSDANRLSNAKERSHVTEVKSWGDSALASDMYRKSKGTQDLFLKPLPCK GNFEAPARTLGTASDAPPGGPTTRAFADEELRGRLSDSEFRILEEEILAWEKVHLESESV SENLLNKCEGIISDATDQQGRYLDMEQATAEVDSEGTSQLEQKNLDSSENTQPSNPDLSK TCPQAQSAPADPTTLIQPLPEEESKQTHPPSLRLKKMSEDLEINTKDDKECAPGHPEVPS VKAHGRESLTGDRRGQNRESKQSCPELQHTAADGLESICPVEDPLPGGCRSHFKVEEVSR KQQSMDLEVSELSPADEKDEPARSVPDLCIKDAKGSEKEAWSPREPVVTPEFEKSPPAGA DLLLLKDGHLLTKMDRVEGRLTKREAKEKHKTKSQVNEMEEASHGSEPRAPAPGWGSDSP LKLWNTTHSDERLIELKNSHCELLTRKPGKMGNMREQTKVKKTKTWSQHKEVAWDKLCTL R >ENSMUSP00000120499.1 pep:known chromosome:GRCm38:11:5628738:5645153:1 gene:ENSMUSG00000020481.15 transcript:ENSMUST00000137933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd36 description:ankyrin repeat domain 36 [Source:MGI Symbol;Acc:MGI:1923639] SVTLQTSPRQEEGGLGNAFPSPSSSQDVDYSGQSLTKFPLMRNKLDCENNASELGESFHK RKEKSSKNIESRKVKGKCPEVRMGEKQEGHEFKSQSQKTMKPKRTDWQLDIRHMLKSSDA NRLSNAKERSHVTEVKSWGDSALASDMYRKSKGTQDLFLKPLPCKGNFEAPARTLGTASD APPGGPTTRAFADEELRGRLSDSEFRILEEEILAWEKVHLESESVSENLLNKCEGIISDA TDQQGRYLDMEQATAEVDSEGTSQLEQKNLDSSENTQPSNPDLSKTCPQAQSAPADPTTL IQPLPEEESKQTHPPSLRLKKMSEDLEINTKDDKECAPGHPEVPSVKAHGRESLTGDRRG QNRESKQSCPELQHTAADGLESICPVEDPLPGGCRSHFKVEEVSRKQQSMDLEVSELSPA DEKDEPARSVPDLCIKDAKGSEKEAWSPREPVVTPEFEKSPPAGADLLLLKDGHLLTKMD RVEGRSEADPGEAGLQAE >ENSMUSP00000105482.1 pep:known chromosome:GRCm38:11:5569684:5689337:1 gene:ENSMUSG00000020481.15 transcript:ENSMUST00000109856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd36 description:ankyrin repeat domain 36 [Source:MGI Symbol;Acc:MGI:1923639] MKKIFKGHSPWGFLSFRHAENQEPPRYLTGYMPVKRIHKAASVGDIPQVQKMLEFGDIDV NVTDRKKRTALHYACAHGQSEMVSLLLWYDCNIEARDREESTALIKATQRQHEICVKILL ENGADSNAVDIHQNTSLHYTVYNKDTTIAAKLLAFNADTEVKTKNGYTPLILAVLENKQE MVELLLQAAANINALDNCKRSALIHAVRTQSKNMISLLLQQGANASLVDIYGATAQSYAV FETFQVLSQGPGPRPELTTKEARHSFDTKDGLVPCSPRFKEDNKRMEENTDAVDELGSFA HRPSNSEPIEEEDEPSLSTKPPVVQSPVLTESNLWAGYPADWPEPIKFSRQPAVYNPTEW REDMESRSVPLESKQQGAALPGKEANIQTQQQLQTRAFKEPGANDPDLPSRENAKDELVS LLAPLEEKKPQMKEFPVTEAAKFQTDVKLEREGVLEFEEKGLEICQDSNLEAAESTESYS ILRFAKPDVSDPEPTARKDEYKLDTKDEDRLERHLLAPKASQHQAKQTSPPPVQLQERSQ GPEMDTASDEEDTSGLSKSAKGQILGGKLTLGENPEGKETVQCVINKPTQKGDEIYEDVN ANVYLKEERPPDVSGKTHLTSDPTTLAYNMFDCGDRDVSAALVSVTLQTSPRQEEGGLGN AFPSPSSSQDVDYSGQSLTKFPLMRNKLDCENNASELGESFHKRKEKSSKNIESRKVKGK CPEVRMGEKQEGHEFKSQSQKTMKPKRTDWQLDIRHMLKSSDANRLSNAKERSHVTEVKS WGDSALASDMYRKSKGTQDLFLKPLPCKGNFEAPARTLGTASDAPPGGPTTRAFADEELR GRLSDSEFRILEEEILAWEKVHLESESVSENLLNKCEGIISDATDQQGRYLDMEQATEVD SEGTSQLEQKNLDSSENTQPSNPDLSKTCPQAQSAPADPTTLIQPLPEEESKQTHPPSLR LKKMSEDLEINTKDDKECAPGHPEVPSVKAHGRESLTGDRRGQNRESKQSCPELQHTAAD GLESICPVEDPLPGGCRSHFKVEEVSRKQQSMDLEVSELSPADEKDEPARSVPDLCIKDA KGSEKEAWSPREPVVTPEFEKSPPAGADLLLLKDGHLLTKMDRVEGRLTKREAKEKHKTK SQVNEMEEASHGSEPRAPAPGWGSDSPLKLWNTTHSDERLIELKNSHCELLTRKPGKMGN MREQTKVKKTKTWSQHKEVAWDKLCTLRFPLKQDEKQENAELTFQQKKPQLQREKEQCGG SVEWTQHSEIRTVIAELKALEKDLRQFHNLKESWETTYYKYLHMVVKLQFIEQELIGIKT EQKKCRHLLENQKNLEEEVLHLKSWVRESEIQARDQNNIENLRDTNDAAMISQMDLRIKN MESQLATRGAQRRLLEEGCLDVSTAMQKRVAWPSP >ENSMUSP00000107802.1 pep:known chromosome:GRCm38:14:30714849:30817963:1 gene:ENSMUSG00000006527.14 transcript:ENSMUST00000112184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfmbt1 description:Scm-like with four mbt domains 1 [Source:MGI Symbol;Acc:MGI:1859609] MSGEQQLDADLGSGVEVEEFSWEDYLEETGSTTVPYASFKHVDIRLQNGFAPGMKLEVAL KNDPETYWVATIITACEQLLLLRYEGYGEDRKADFWCDIRKAGLYPIGWCQQNKKTLEAP EGIRDKVSDWNAFLQQTLIGACGPPVSLLEGLRNGRNPLDLIAPGSKLECQDFRDSLSTW LVTVVENIGGRLKLRYEGLESRDGFEHWLYYLDPFLHHIGWAAQQGCDLQPPLAIKHLKS EADWQEILAKVKEEEPLPSYLFKDKQVIGTHEFSINMKLEAVDPWSPFGISPATIAKVFD DKYFLVEMDDLRPEDHTRRSFVCHANSPGIFPVQWSLKNGLHINPPPGFRSQDFDWADYL KQCGAEAAPQKCFPQSISEHQFKENMKLEAVNPLFPEEVCIATVTAVRGSYLWLQLEGSK KPVPEFIVSAESMNIFPLGWCETNGHPLSTPRRARGHKLRKIAVVQPEKQILSSRTVHEG LKNQLNSTHSVMINGKYCCPKIYFNHRCFSGPYLNKGRIAELPQCVGPGNCVLVLREVLT LLINAAYKPSRVLRELQLDKDSVWHGCGEVLKAKYKGKSYRATVEIVRTADRVTEFCRQT CIKLECCPNLFGPRMVLDTCSENCSVLTKTKYTHYYGKKKNKRIGRPPGGHSNLSCALKK SSKRRKRRKNIFVHKKKRSSASVDNTPVGSPQGSGGEDEEDADDGDEDSLTEGSTSEQQE ELQEESEVSEKKSSSSSPTQSETPTPLPPDTQTNKRDAQTSSVSDDENKPPSPKEIRIEV DERLHLDSNPLKWSVADVVRFIRSTDCAPLARIFLDQEIDGQALLLLTLPTVQECMDLKL GPAIKLCHHIERIKFAFYEQFAN >ENSMUSP00000117866.1 pep:known chromosome:GRCm38:14:30715167:30815084:1 gene:ENSMUSG00000006527.14 transcript:ENSMUST00000131706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfmbt1 description:Scm-like with four mbt domains 1 [Source:MGI Symbol;Acc:MGI:1859609] MSGEQQLDADLGSGVEVEEFSWEDYLEETGSTTVPYASFKHVDIRLQNGFAPGMKLEVAL KNDPETYWVATIITACEQLLLLRYEGYGEDRKADFWCDIRKAGLYPIGWCQQNKKTLEAP EGIRDKVSDWNAFLQQTLIGACGPPVSLLEGLRNGRNPLDLIAPGSKLECQDFRDSLSTW LVTVVENIGGRLKLRYEGLESRDGFEHWLYYLDPFLHHIGWAAQQGCDLQPPLAIKHLKS EADWQEILAKVKEEEPLPSYLFKDKQVIGTHEFSINMKLEAVDPWSPFGISPATIAKVFD DKYFLVEMDDLRPEDHTRRSFVCHANSPGIFPVQWSLKNGLHINPPPGFRSQDFDWADYL KQCGAEAAPQKCFPQSISEHQFKENMKLEAVNPLFPEEVCIATVTAVRGSYLWLQLEGSK KPVPEFIVSAESMNIFPLGWCETNGHPLSTPRRARGHKLRKIAVVQPEKQILSSRTVHEG LKNQLNSTHSVMINGKYCCPKIYFNHRCFSGPYLNKGRIAELPQCVGPGNCVLVLREVLT LLINAAYKPSRVLRELQLDKDSVWHGCGEVLKAKYKGKSYRATVEIVRTADRVTEFCRQT CIKLECCPNLFGPRMVLDTCSENCSVLTKTKYTHYY >ENSMUSP00000056744.4 pep:known chromosome:GRCm38:14:30715615:30822713:1 gene:ENSMUSG00000006527.14 transcript:ENSMUST00000054230.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfmbt1 description:Scm-like with four mbt domains 1 [Source:MGI Symbol;Acc:MGI:1859609] MSGEQQLDADLGSGVEVEEFSWEDYLEETGSTTVPYASFKHVDIRLQNGFAPGMKLEVAL KNDPETYWVATIITACEQLLLLRYEGYGEDRKADFWCDIRKAGLYPIGWCQQNKKTLEAP EGIRDKVSDWNAFLQQTLIGACGPPVSLLEGLRNGRNPLDLIAPGSKLECQDFRDSLSTW LVTVVENIGGRLKLRYEGLESRDGFEHWLYYLDPFLHHIGWAAQQGCDLQPPLAIKHLKS EADWQEILAKVKEEEPLPSYLFKDKQVIGTHEFSINMKLEAVDPWSPFGISPATIAKVFD DKYFLVEMDDLRPEDHTRRSFVCHANSPGIFPVQWSLKNGLHINPPPGFRSQDFDWADYL KQCGAEAAPQKCFPQSISEHQFKENMKLEAVNPLFPEEVCIATVTAVRGSYLWLQLEGSK KPVPEFIVSAESMNIFPLGWCETNGHPLSTPRRARGHKLRKIAVVQPEKQILSSRTVHEG LKNQLNSTHSVMINGKYCCPKIYFNHRCFSGPYLNKGRIAELPQCVGPGNCVLVLREVLT LLINAAYKPSRVLRELQLDKDSVWHGCGEVLKAKYKGKSYRATVEIVRTADRVTEFCRQT CIKLECCPNLFGPRMVLDTCSENCSVLTKTKYTHYYGKKKNKRIGRPPGGHSNLSCALKK SSKRRKRRKNIFVHKKKRSSASVDNTPVGSPQGSGGEDEEDADDGDEDSLTEGSTSEQQE ELQEESEVSEKKSSSSSPTQSETPTPLPPDTQTNKRDAQTSSVSDDENKPPSPKEIRIEV DERLHLDSNPLKWSVADVVRFIRSTDCAPLARIFLDQEIDGQALLLLTLPTVQECMDLKL GPAIKLCHHIERIKFAFYEQFAN >ENSMUSP00000107799.1 pep:known chromosome:GRCm38:14:30716491:30818346:1 gene:ENSMUSG00000006527.14 transcript:ENSMUST00000112177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfmbt1 description:Scm-like with four mbt domains 1 [Source:MGI Symbol;Acc:MGI:1859609] MSGEQQLDADLGSGVEVEEFSWEDYLEETGSTTVPYASFKHVDIRLQNGFAPGMKLEVAL KNDPETYWVATIITACEQLLLLRYEGYGEDRKADFWCDIRKAGLYPIGWCQQNKKTLEAP EGIRDKVSDWNAFLQQTLIGACGPPVSLLEGLRNGRNPLDLIAPGSKLECQDFRDSLSTW LVTVVENIGGRLKLRYEGLESRDGFEHWLYYLDPFLHHIGWAAQQGCDLQPPLAIKHLKS EADWQEILAKVKEEEPLPSYLFKDKQVIGTHEFSINMKLEAVDPWSPFGISPATIAKVFD DKYFLVEMDDLRPEDHTRRSFVCHANSPGIFPVQWSLKNGLHINPPPGFRSQDFDWADYL KQCGAEAAPQKCFPQSISEHQFKENMKLEAVNPLFPEEVCIATVTAVRGSYLWLQLEGSK KPVPEFIVSAESMNIFPLGWCETNGHPLSTPRRARGHKLRKIAVVQPEKQILSSRTVHEG LKNQLNSTHSVMINGKYCCPKIYFNHRCFSGPYLNKGRIAELPQCVGPGNCVLVLREVLT LLINAAYKPSRVLRELQLDKDSVWHGCGEVLKAKYKGKSYRATVEIVRTADRVTEFCRQT CIKLECCPNLFGPRMVLDTCSENCSVLTKTKYTHYYGKKKNKRIGRPPGGHSNLSCALKK SSKRRKRRKNIFVHKKKRSSASVDNTPVGSPQGSGGEDEEDADDGDEDSLTEGSTSEQQE ELQEESEVSEKKSSSSSPTQSETPTPLPPDTQTNKRDAQTSSVSDDENKPPSPKEIRIEV DERLHLDSNPLKWSVADVVRFIRSTDCAPLARIFLDQEIDGQALLLLTLPTVQECMDLKL GPAIKLCHHIERIKFAFYEQFAN >ENSMUSP00000118974.1 pep:known chromosome:GRCm38:14:30774097:30818345:1 gene:ENSMUSG00000006527.14 transcript:ENSMUST00000132451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfmbt1 description:Scm-like with four mbt domains 1 [Source:MGI Symbol;Acc:MGI:1859609] XNKKTLEAPEGIRDKVSDWNAFLQQTLIGACGPPVSLLEGLRNGRNPLDLIAPGSKLECQ DFRDSLSTWLVTVVENIGGRLKLRYEGLESRDGFEHWLYYLDPFLHHIGWAAQQGCDLQP PLAIKHLKSEADWQEILAKVKEEEPLPSYLFKDKQVIGTHEFSINMKLEAVDPWSPFGIS PATIAKVFDDKYFLVEMDDLRPEDHTRRSFVCHANSPGIFPVQWSLKNGLHINPPPGFRS QDFDWADYLKQCGAEAAPQKCFPQSISEHQFKENMKLEAVNPLFPEEVCIATVTAVRGSY LWLQLEGSKKPVPEFIVSAESMNIFPLGWCETNGHPLSTPRRARGHKLRKIAVVQPEKQI LSSRTVHEGLKNQLNSTHSVMINGKYCCPKIYFNHRCFSGPYLNKGRIAELPQCVGPGNC VLVLREVLTLLINAAYKPSRVLRELQLDKDSVWHGCGEVLKAKYKGKSYRATVEIVRTAD RVTEFCRQTCIKLECCPNLFGPRMVLDTCSENCSVLTKTKYTHYYGKKKNKRIGRPPGGH SNLSCALKKSSKRRKRRKNIFVHKKKRSSASVDNTPVGSPQGSGGEDEEDADDGDEDSLT EGSTSEQQEELQEESEVSEKKSSSSSPTQSETPTPLPPDTQTNKRDAQTSSVSDDENKPP SPKEIDGQALLLLTLPTVQECMDLKLGPAIKLCHHIERIKFAFYEQFAN >ENSMUSP00000129501.1 pep:known chromosome:GRCm38:7:20193710:20194633:1 gene:ENSMUSG00000094735.1 transcript:ENSMUST00000168984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r95 description:vomeronasal 1 receptor, 95 [Source:MGI Symbol;Acc:MGI:3852449] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFFHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPNELKCKLEFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000139663.1 pep:known chromosome:GRCm38:13:21782960:21783370:-1 gene:ENSMUSG00000101972.1 transcript:ENSMUST00000189457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h3i description:histone cluster 1, H3i [Source:MGI Symbol;Acc:MGI:2448350] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEACEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000136181.1 pep:known chromosome:GRCm38:9:92192936:92209772:1 gene:ENSMUSG00000095654.2 transcript:ENSMUST00000179751.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr5 description:phospholipid scramblase family, member 5 [Source:MGI Symbol;Acc:MGI:3779462] MASKDSQNQNPRSLPGFLPRATNPDQNSQEASSNPRKQARQQPGVSQPSSFLPTATLPPG LEYLSQLDLIIIHQQVELLGMILGTETSNKYEIKNSLGQRIYFAVEESICFNRNVCSTLR ACTLRITDNSGREVITVNRPLRCNSCWCPCYLQELEIQAPPGTIVGYVAQKWGPFQPKFT IQNANKEDILKIIGPCTTCGCFGDVDFEVKTVDEKVTIGKISKYWSGFVNDVFTNADNFG IHVPADLDVTLKAAMIGACFLFDFMFFEHSLAGL >ENSMUSP00000020718.4 pep:known chromosome:GRCm38:11:3517523:3527937:-1 gene:ENSMUSG00000020439.17 transcript:ENSMUST00000020718.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smtn description:smoothelin [Source:MGI Symbol;Acc:MGI:1354727] MAAAFEEQLSQALQELQTVAEAGRSAVTQAADAALATVEPVAQAAEELRAETAALSRRLD ALTRQVEVLSLRLGVPLVPDLESELEPSELLLAAADPEALFQAAEDAGTPVAHPPAFSTR RSSSGGPSRRSSLMEPDPAEPPSTTVEAANGAEQARVDKGPEGRSPLSAEELTAIEDEGV LDKMLDQTTNFEERKLIRAALRELRQRKRDGSSSSSTTTTTVQTKSFSSSSSSSSSKKMG SIFDREDQTSSRPGSLAALERRQAEKKKELMKAQSLPKTSASQARKAMIEKLEKEGSAGG PGTPRTAVQRSTSFGVPNANSIKQMLLDWCRAKTRGYEHVDIQNFSSSWSDGMAFCALVH NFFPEAFDYGQLSPQNRRQNFEMAFSSAETHADCPQLLDTEDMVRLREPDWKCVYTYIQE FYRCLVQKGLVKTKKS >ENSMUSP00000105638.1 pep:known chromosome:GRCm38:11:3517523:3537398:-1 gene:ENSMUSG00000020439.17 transcript:ENSMUST00000110011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smtn description:smoothelin [Source:MGI Symbol;Acc:MGI:1354727] MADEALAGLDEGALRKLLEVTADLAERRRIRSAIRELQRQELEREEEALASKRFRAERQD NKENWLHSQQREAEQQAALARLAGRLESMNDVEELTTLLRSAGEYEERKLIRAAIRRVRA QEIKAATLAGRLCSRLPSSGPREDSRRQAAHTLDPGKVPEPEQQEQQTEVLEPTPTPEDT SQDVTTVTLLLRAPPGGRPSSPASPHNSPTSASPEPLLEPAGAQCPAVEAPVSSEPLPHP SEAPSPEPPMSPVPSSSRGRVISKPLPGPTEPSDTLDSIRGFSNTKRADPSETKSCQRSL SVLSPRQPTPNREPTSLAGPSQFRRVGSVRDRVQKFTSDSPVVARLQDGPPRTALASPTP TRLPGPSLISTTPASSSSSNSSSPSPSDTSSHKKQRELAHSLAELQSCPQEEGPGGRGLA LRSLENRAGGPKPCSEEPSTPPPVAVGTGEPGGSMKTTFTIEIKDGRGQASTGRVLLPTG NQRAELTLGLRAPPTLLSTSSGGKNTITHISNPGTVTRLGSVTHVTTFSHASPGNRGGCN FKMEPDPAEPPSTTVEAANGAEQARVDKGPEGRSPLSAEELTAIEDEGVLDKMLDQTTNF EERKLIRAALRELRQRKRDQRDKERERRLREARARPGESRSNVATETTTRHSQRAADGST VGTVTKTERLVHSNDGTQTARTTTVESSFMRRLENGSSSSSTTTTTVQTKSFSSSSSSSS SKKMGSIFDREDQTSSRPGSLAALERRQAEKKKELMKAQSLPKTSASQARKAMIEKLEKE GSAGGPGTPRTAVQRSTSFGVPNANSIKQMLLDWCRAKTRGYEHVDIQNFSSSWSDGMAF CALVHNFFPEAFDYGQLSPQNRRQNFEMAFSSAETHADCPQLLDTEDMVRLREPDWKMLV DCVPLVEVEDMMIMGKKPDPKCVFTYVQSLYNHLRRHELRLRGKNV >ENSMUSP00000074621.3 pep:known chromosome:GRCm38:11:3517523:3539239:-1 gene:ENSMUSG00000020439.17 transcript:ENSMUST00000075118.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smtn description:smoothelin [Source:MGI Symbol;Acc:MGI:1354727] MADEALAGLDEGALRKLLEVTADLAERRRIRSAIRELQRQELEREEEALASKRFRAERQD NKENWLHSQQREAEQQAALARLAGRLESMNDVEELTTLLRSAGEYEERKLIRAAIRRVRA QEIKAATLAGRLCSRLPSSGPREDSRRQAAHTLDPGKVPEPEQQEQQTEVLEPTPTPEDT SQDVTTVTLLLRAPPGGRPSSPASPHNSPTSASPEPLLEPAGAQCPAVEAPVSSEPLPHP SEAPSPEPPMSPVPSSSRGRVISKPLPGPTEPSDTLDSIRGFSNTKRADPSETKSCQRSL SVLSPRQPTPNREPTSLAGPSQFRRVGSVRDRVQKFTSDSPVVARLQDGPPRTALASPTP TRLPGPSLISTTPASSSSSNSSSPSPSDTSSHKKQRELAHSLAELQSCPQEEGPGGRGLA LRSLENRAGGPKPCSEEPSTPPPVAVGTGEPGGSMKTTFTIEIKDGRGQASTGRVLLPTG NQRAELTLGLRAPPTLLSTSSGGKNTITHISNPGTVTRLGSVTHVTTFSHASPGNRGGCN FKMEPDPAEPPSTTVEAANGAEQARVDKGPEGRSPLSAEELTAIEDEGVLDKMLDQTTNF EERKLIRAALRELRQRKRDQRDKERERRLREARARPGESRSNVATETTTRHSQRAADGST VGTVTKTERLVHSNDGTQTARTTTVESSFMRRLENGSSSSSTTTTTVQTKSFSSSSSSSS SKKMGSIFDREDQTSSRPGSLAALERRQAEKKKELMKAQSLPKTSASQARKAMIEKLEKE GSAGGPGTPRTAVQRSTSFGVPNANSIKQMLLDWCRAKTRGYEHVDIQNFSSSWSDGMAF CALVHNFFPEAFDYGQLSPQNRRQNFEMAFSSAEMLVDCVPLVEVEDMMIMGKKPDPKCV FTYVQSLYNHLRRHELRLRGKNV >ENSMUSP00000020721.8 pep:known chromosome:GRCm38:11:3517523:3539292:-1 gene:ENSMUSG00000020439.17 transcript:ENSMUST00000020721.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smtn description:smoothelin [Source:MGI Symbol;Acc:MGI:1354727] MADEALAGLDEGALRKLLEVTADLAERRRIRSAIRELQRQELEREEEALASKRFRAERQD NKENWLHSQQREAEQQAALARLAGRLESMNDVEELTTLLRSAGEYEERKLIRAAIRRVRA QEIKAATLAGRLCSRLPSSGPREDSRRQAAHTLDPGKVPEPEQQEQQTEVLEPTPTPEDT SQDVTTVTLLLRAPPGGRPSSPASPHNSPTSASPEPLLEPAGAQCPAVEAPVSSEPLPHP SEAPSPEPPMSPVPSSSRGRVISKPLPGPTEPSDTLDSIRGFSNTKRADPSETKSCQRSL SVLSPRQPTPNREPTSLAGPSQFRRVGSVRDRVQKFTSDSPVVARLQDGPPRTALASPTP TRLPGPSLISTTPASSSSSNSSSPSPSDTSSHKKQRELAHSLAELQSCPQEEGPGGRGLA LRSLENRAGGPKPCSEEPSTPPPVAVGTGEPGGSMKTTFTIEIKDGRGQASTGRVLLPTG NQRAELTLGLRAPPTLLSTSSGGKNTITHISNPGTVTRLGSVTHVTTFSHASPGNRGGCN FKMEPDPAEPPSTTVEAANGAEQARVDKGPEGRSPLSAEELTAIEDEGVLDKMLDQTTNF EERKLIRAALRELRQRKRDQRDKERERRLREARARPGESRSNVATETTTRHSQRAADGST VGTVTKTERLVHSNDGTQTARTTTVESSFMRRLENGSSSSSTTTTTVQTKSFSSSSSSSS SKKMGSIFDREDQTSSRPGSLAALERRQAEKKKELMKAQSLPKTSASQARKAMIEKLEKE GSAGGPGTPRTAVQRSTSFGVPNANSIKQMLLDWCRAKTRGYEHVDIQNFSSSWSDGMAF CALVHNFFPEAFDYGQLSPQNRRQNFEMAFSSAETHADCPQLLDTEDMVRLREPDWKCVY TYIQEFYRCLVQKGLVKTKKS >ENSMUSP00000118750.1 pep:known chromosome:GRCm38:11:3532460:3540612:-1 gene:ENSMUSG00000020439.17 transcript:ENSMUST00000156201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smtn description:smoothelin [Source:MGI Symbol;Acc:MGI:1354727] MADEALAGLDEGALRKLLEVTADLAERRRIRSAIRELQRQELEREEEALASKRFRAERQD NKENWLHSQQREAEQQAALARLAGRLESMNDVEELTTLLRSAGEYEERKLIRAAIRRVRA QEIKAATLAGRLCSRLPSSGP >ENSMUSP00000117307.1 pep:known chromosome:GRCm38:11:3532603:3539251:-1 gene:ENSMUSG00000020439.17 transcript:ENSMUST00000136243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smtn description:smoothelin [Source:MGI Symbol;Acc:MGI:1354727] MADEALAGLDEGALRKLLEVTADLAERRRIRSAIRELQRQELEREEEALASKRFRAERQD NKENWLHSQQREAEQQAALARLAGRLESMNDVEELTTLLRSAGEYEERKLIRAAIRRVRA QE >ENSMUSP00000133155.1 pep:known chromosome:GRCm38:11:3517523:3539292:-1 gene:ENSMUSG00000020439.17 transcript:ENSMUST00000170588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smtn description:smoothelin [Source:MGI Symbol;Acc:MGI:1354727] MADEALAGLDEGALRKLLEVTADLAERRRIRSAIRELQRQELEREEEALASKRFRAERQD NKENWLHSQQREAEQQAALARLAGRLESMNDVEELTTLLRSAGEYEERKLIRAAIRRVRA QEIKAATLAGRLCSRLPSSGPREDSRRQAAHTLDPGKVPEPEQQEQQTEVLEPTPTPEDT SQDVTTVTLLLRAPPGGRPSSPASPHNSPTSASPEPLLEPAGAQCPAVEAPVSSEPLPHP SEAPSPEPPMSPVPSSSRGRVISKPLPGPTEPSDTLDSIRGFSNTKRADPSETKSCQRSL SVLSPRQPTPNREPTSLAGPSQFRRVGSVRDRVQKFTSDSPVVARLQDGPPRTALASPTP TRLPGPSLISTTPASSSSSNSSSPSPSDTSSHKKQRELAHSLAELQSCPQEEGPGGRGLA LRSLENRAGGPKPCSEEPSTPPPVAVGTGEPGGSMKTTFTIEIKDGRGQASTGRVLLPTG NQRAELTLGLRAPPTLLSTSSGGKNTITHISNPGTVTRLGSVTHVTTFSHASPGNRGGCN FKMEPDPAEPPSTTVEAANGAEQARVDKGPEGRSPLSAEELTAIEDEGVLDKMLDQTTNF EERKLIRAALRELRQRKRDQRDKERERRLREARARPGESRSNVATETTTRHSQRAADGST VGTVTKTERLVHSNDGTQTARTTTVESSFMRRLENGSSSSSTTTTTVQTKSFSSSSSSSS SKKMGSIFDREDQTSSRPGSLAALERRQAEKKKELMKAQSLPKTSASQARKAMIEKLEKE GSAGGPGTPRTAVQRSTSFGVPNANSIKQMLLDWCRAKTRGYEHVDIQNFSSSWSDGMAF CALVHNFFPEAFDYGQLSPQNRRQNFEMAFSSAETHADCPQLLDTEDMVRLREPDWKCVY TYIQEFYRCLVQKGLVKTKKS >ENSMUSP00000122157.1 pep:known chromosome:GRCm38:2:155018266:155027104:1 gene:ENSMUSG00000084897.1 transcript:ENSMUST00000130870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14226 description:predicted gene 14226 [Source:MGI Symbol;Acc:MGI:3649244] MKAPKKKSLLIIKIWLCLCLMRSISPVVGQVKKNPYRLYNYTWLIINEAGDIANATSKIE GSIPWPILRVDLCKLVLGGHNDWGTHLEFLPQEQAIDDPRQVAYTTPGCASLSRRKTLAS VLKRWGIYICPGPSHRSRTLNYKCGFAPDYFCASWGCETTGDTYWKPTSDWDLIKVRSRP DYAACASSNQTSKGWCNTLEISFTDAGRKFNWEYTRGAEWGLRIYKNEKDFGVTFKIQLL KNTPSLGSAAIGPNLFLHSSFPKKPSIPQTVTLGLPGTTIFQPTLPAGSPSSTELILSLV NASIATIHAANATQYEECWVCFSPQPPFYEGVATFGSVVTINDSSKLGWHPESHDGLTLS QVSGIGLCLLGPSMLPPQALLEVCNQTIIVEATSRYLGAPNGTYLACSTGLTTYIVTQTF LDDRDYCVVVQLLPKLTVHHAEDLLQFWKSDTDLPRNKREPISAVTLAVILGLGVAGTGT GIASLVTSQQQYTQLHLAVDRDIQELQRGLKNLKDSLVSLSEVVLQNRRGLDLVFLKEGG LCAALKEECCFYSDKTGLVQDSIDKVRASLEERKRNREKQESWYKNWFSTSPWVTTLLPT LLGPFLGILLLLSFGPWAFKRLTSFVKSQIEAALSKPVAVHYHQLDVRDSDEEDPPPTET ETATRLQFSTLAAKAESPWFLRLWRQ >ENSMUSP00000130340.1 pep:known chromosome:GRCm38:7:20204684:20205607:-1 gene:ENSMUSG00000094298.1 transcript:ENSMUST00000169774.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6164 description:predicted gene 6164 [Source:MGI Symbol;Acc:MGI:3647652] MSAHGNSLKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNDLKCKLESFSHLVVRSTNLCSTCVLSVHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKFSGPQITDNNTDWKRKLFCST SGFSVGIVFLQFVYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRSQAQSKATH TILMLVFTFVSFYLLNCICIILHALFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000067085.7 pep:known chromosome:GRCm38:X:141710998:141725263:-1 gene:ENSMUSG00000054667.7 transcript:ENSMUST00000067841.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irs4 description:insulin receptor substrate 4 [Source:MGI Symbol;Acc:MGI:1338009] MASCSFSGHQALRRLRASAAAAASAALAAVATTPLLSSGTRTALIGTGSSCPGAMWLSTA TGSRSDSESEEEDLPVGDEVCKRGYLRKQKHGHRRYFVLKLETADAPARLEYYRNARKFR HSVRAAAAAAEAAASGAAVPALIPPRRVIILYQCFSVSQRADARYRHLIALFTQDEYFAM VAENESEQESWYLLLSRLILESKRRRCGTLGALPDGEPAALAAAAAAEPPFYKDVWQVVV KPRGLGHRKELSGVFRLCLTDEEVVFVRLNTEVASVVVQLLSIRRCGHSEQYFFLEVGRS TVIGPGELWMQVDDSVVAQNMHELFLEKMRALCADEYRARCRSYSISIGAHLLTLLSTRR HLGLLPLEPGGWLRRYGLEQFCRLRAIREREEMLFTRRFISPREPPPPFRRGRGHLPRAR RSRRAASVPPSLFRRSAPSPGRIPQPEDVPNDRAREASGSSSGNTEEKDKEGEEGNRGDC IPMNNWGSGNGRGSGGGRGSSGQGSSSQGSGGRQGSGGGQGSGGQGAGGNQCSGNGQGTA GGHGSGGGGHGSGGGQRPGDGHGSGGGKNSGSGKNSDDGDRGKSVKKRSYFGKFTQSKQQ QTLPPPPPPPPAAGATGGKGKSGGRFRLYFCADRGTKERKEAKEVRDMETSGGATRGPYR ARAFDEDEDDPYVPMRPGVAAPLACSSDYMPMAPQNSSASTKRHSRSPFEDSRGYMMMFP RVSPPPPVPSAPKAPDTNKGDDSKDNDSDSDYMFMAPGAGAIPKNPPNAQGGSSSKSWSS YFSLPSPFQSSPLGQSDHSEYVPMLPGKFLGSGLHKEASFSQGTKNVSSKPSTEASFSKP EDKGSSAKPSDDVPPMNKAKEPNHLSFIAKGTQVKPKPLNPTQERREAAGSRDYINIDFI KRERLVLPSSAQGLPDMRGVVTDPAPTAFSGYLNVEFGVPFPNPTIRLSDLLRVLPGANS IPLAGTRWPFPGSAIGSIVEAGEYIEVIFNPAMTPAMSFADSAICYDAQTGQIYVVDPFS ECCMDVSLSPGRCSEPPPVARLRREEAQERRRPQSRSQSLFASTRAAVSAFPTDSLDRDF PAASAVIAAPAEAPLLAVSRALAVVSALAAAPSIGDVFAGFRAAAGVDSASARGFQPVAG AQAVREFQDLAAGWNPGALNHRARGEDLAAGAAAPPPPPRQIWVLRPQERADSEDDDDDD DDIYVRMDFARRDYRK >ENSMUSP00000053145.4 pep:known chromosome:GRCm38:11:53427922:53430827:-1 gene:ENSMUSG00000044894.14 transcript:ENSMUST00000061326.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrq description:ubiquinol-cytochrome c reductase, complex III subunit VII [Source:MGI Symbol;Acc:MGI:107807] MGREFGNLARIRHVISYSLSPFEQRAFPSYFSKGIPNVLRRTRERILRVAPPFVVVYLIY TWGNQEFEQSKRKNPAMYENDK >ENSMUSP00000104647.1 pep:known chromosome:GRCm38:11:53428953:53430434:-1 gene:ENSMUSG00000044894.14 transcript:ENSMUST00000109019.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrq description:ubiquinol-cytochrome c reductase, complex III subunit VII [Source:MGI Symbol;Acc:MGI:107807] MVKIMLAFVVVYLIYTWGNQEFEQSKRKNPAMYENDK >ENSMUSP00000104649.3 pep:known chromosome:GRCm38:11:53428953:53430827:-1 gene:ENSMUSG00000044894.14 transcript:ENSMUST00000109021.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrq description:ubiquinol-cytochrome c reductase, complex III subunit VII [Source:MGI Symbol;Acc:MGI:107807] MGREFGNLARIRHVISYSLSPFEQRAFPSYFSKGIPNVLRRTRERILRVAPPFVVVYLIY TWGNQEFEQSKRKNPAMYENDK >ENSMUSP00000039003.8 pep:known chromosome:GRCm38:1:136624901:136630053:1 gene:ENSMUSG00000041483.14 transcript:ENSMUST00000047734.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp281 description:zinc finger protein 281 [Source:MGI Symbol;Acc:MGI:3029290] MKIGSGFLSGGGGPSSSGGSGSGGSSGSASGGSGGGRRAEMEPTFPQSMVMFNHRLPPVT SFTRPAGTAAPPPQCVLSSSTSAAPAAEPPPPPAPDMTFKKEPAASAAAFPSQRTSWGFL QSLVSIKQEKPADPEEQPSHHHHHHHHYGGLFAGAEERSPGLGGGEGGSHGVIQDLSLLH QHAQQQPAQHHRDVLLSSGSRTDEHGNQEPKQDANVKKAKRPKPESQGIKAKRKPSASSK PLVGEGEGAVLSPSQKPHICDHCSAAFRSSYHLRRHVLIHTGERPFQCSQCSMGFIQKYL LQRHEKIHSREKPFGCDQCSMKFIQKYHMERHKRTHSGEKPYKCDTCQQYFSRTDRLLKH RRTCGEAIAKGAASAEPGSSNHNSMGNLAVLSQGNTSSSRRKSKSKSIAIENKEHKTGKT NESQMSNNINMQSYSVEMPTVSTSGSIIGTGIDELQKRVPKLIFKKGSRKNADKSYLNFV SPLPDVVGQKSLSGKPGGSLGIVSNNSVETISLLQSTSGKQGPISSNYDDAMQFSKKRRY LPTASSNSAFSINVGHMVSQQSVIQSAGVSVLDNEAPLSLIDSSALNAEIKSCHDKSGIP DEVLQSILDQYSGKSETQKEDPFNLTEPRVDLHTSGEHSELVQEENLSPGTQTPSNDKTS MLQEYSKYLQQAFEKSTNAGFTLGHGFQFVSLSSPLHNHTLFPEKQIYTTSPLECGFGQS VTSVLPSSLPKPPFGMLFGSQPGLYLSALDATHQQLTPSQELDDLIDSQKNLETSSAFQS SSQKLTSQKEQQKNLESSTSFQIPSQELASQIDPQKDIEPRTTYQIENFAQAFGSQFKSG SRVPMTFITNSNGEVDHRVRTSVSDFSGYTNMMSDVSEPCSTRVKTPTSQSYR >ENSMUSP00000107677.1 pep:known chromosome:GRCm38:1:136624901:136630053:1 gene:ENSMUSG00000041483.14 transcript:ENSMUST00000112046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp281 description:zinc finger protein 281 [Source:MGI Symbol;Acc:MGI:3029290] MKIGSGFLSGGGGPSSSGGSGSGGSSGSASGGSGGGRRAEMEPTFPQSMVMFNHRLPPVT SFTRPAGTAAPPPQCVLSSSTSAAPAAEPPPPPAPDMTFKKEPAASAAAFPSQRTSWGFL QSLVSIKQEKPADPEEQPSHHHHHHHHYGGLFAGAEERSPGLGGGEGGSHGVIQDLSLLH QHAQQQPAQHHRDVLLSSGSRTDEHGNQEPKQDANVKKAKRPKPESQGIKAKRKPSASSK PLVGEGEGAVLSPSQKPHICDHCSAAFRSSYHLRRHVLIHTGERPFQCSQCSMGFIQKYL LQRHEKIHSREKPFGCDQCSMKFIQKYHMERHKRTHSGEKPYKCDTCQQYFSRTDRLLKH RRTCGEAIAKGAASAEPGSSNHNSMGNLAVLSQGNTSSSRRKSKSKSIAIENKEHKTGKT NESQMSNNINMQSYSVEMPTVSTSGSIIGTGIDELQKRVPKLIFKKGSRKNADKSYLNFV SPLPDVVGQKSLSGKPGGSLGIVSNNSVETISLLQSTSGKQGPISSNYDDAMQFSKKRRY LPTASSNSAFSINVGHMVSQQSVIQSAGVSVLDNEAPLSLIDSSALNAEIKSCHDKSGIP DEVLQSILDQYSGKSETQKEDPFNLTEPRVDLHTSGEHSELVQEENLSPGTQTPSNDKTS MLQEYSKYLQQAFEKSTNAGFTLGHGFQFVSLSSPLHNHTLFPEKQIYTTSPLECGFGQS VTSVLPSSLPKPPFGMLFGSQPGLYLSALDATHQQLTPSQELDDLIDSQKNLETSSAFQS SSQKLTSQKEQQKNLESSTSFQIPSQELASQIDPQKDIEPRTTYQIENFAQAFGSQFKSG SRVPMTFITNSNGEVDHRVRTSVSDFSGYTNMMSDVSEPCSTRVKTPTSQSYR >ENSMUSP00000131047.1 pep:known chromosome:GRCm38:7:20232908:20233828:-1 gene:ENSMUSG00000094757.1 transcript:ENSMUST00000168580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4498 description:predicted gene 4498 [Source:MGI Symbol;Acc:MGI:3782683] MSVHDKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSRLVARSTNLCSTCVLSIHQFVTLFP VSRGKGKLILRASVQNLASYSCYSCWFFSVLSNIHIPIRVTGPQITDNNTDSKSNLFCST SGFIVGIVLQFAHDATFMSIMIWTSVSMILLLHRHCQRMQDILTPNQNPRGQAETRATRT ILMLVVTFVSFYLLNCICIIFHAFFIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDPC SLLFKC >ENSMUSP00000093843.2 pep:known chromosome:GRCm38:16:26581704:26725264:1 gene:ENSMUSG00000022514.14 transcript:ENSMUST00000096129.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rap description:interleukin 1 receptor accessory protein [Source:MGI Symbol;Acc:MGI:104975] MGLLWYLMSLSFYGILQSHASERCDDWGLDTMRQIQVFEDEPARIKCPLFEHFLKYNYST AHSSGLTLIWYWTRQDRDLEEPINFRLPENRISKEKDVLWFRPTLLNDTGNYTCMLRNTT YCSKVAFPLEVVQKDSCFNSAMRFPVHKMYIEHGIHKITCPNVDGYFPSSVKPSVTWYKG CTEIVDFHNVLPEGMNLSFFIPLVSNNGNYTCVVTYPENGRLFHLTRTVTVKVVGSPKDA LPPQIYSPNDRVVYEKEPGEELVIPCKVYFSFIMDSHNEVWWTIDGKKPDDVTVDITINE SVSYSSTEDETRTQILSIKKVTPEDLRRNYVCHARNTKGEAEQAAKVKQKVIPPRYTVEL ACGFGATVFLVVVLIVVYHVYWLEMVLFYRAHFGTDETILDGKEYDIYVSYARNVEEEEF VLLTLRGVLENEFGYKLCIFDRDSLPGGNTVEAVFDFIQRSRRMIVVLSPDYVTEKSISM LEFKLGVMCQNSIATKLIVVEYRPLEQPHPGIMQLKESVSFVSWKGEKSKHSGSKFWKAL RLALPLRSLSASSGWNESCSSQSDISLDHVQRRSRLKEPPELRSSERVSGAEPAPGTMSK HRGKPSAACRCCVTYCEGESHLRSKSRAEMHTHPQWETHLCKPPLQESESQWIQNGTRPE PAPQISALALRHFTDLSNNNDFYIL >ENSMUSP00000128100.2 pep:known chromosome:GRCm38:16:26581742:26724353:1 gene:ENSMUSG00000022514.14 transcript:ENSMUST00000166294.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rap description:interleukin 1 receptor accessory protein [Source:MGI Symbol;Acc:MGI:104975] MGLLWYLMSLSFYGILQSHASERCDDWGLDTMRQIQVFEDEPARIKCPLFEHFLKYNYST AHSSGLTLIWYWTRQDRDLEEPINFRLPENRISKEKDVLWFRPTLLNDTGNYTCMLRNTT YCSKVAFPLEVVQKDSCFNSAMRFPVHKMYIEHGIHKITCPNVDGYFPSSVKPSVTWYKG CTEIVDFHNVLPEGMNLSFFIPLVSNNGNYTCVVTYPENGRLFHLTRTVTVKVVGSPKDA LPPQIYSPNDRVVYEKEPGEELVIPCKVYFSFIMDSHNEVWWTIDGKKPDDVTVDITINE SVSYSSTEDETRTQILSIKKVTPEDLRRNYVCHARNTKGEAEQAAKVKQKVIPPRYTVEL ACGFGATVFLVVVLIVVYHVYWLEMVLFYRAHFGTDETILDGKEYDIYVSYARNVEEEEF VLLTLRGVLENEFGYKLCIFDRDSLPGGNTVEAVFDFIQRSRRMIVVLSPDYVTEKSISM LEFKLGVMCQNSIATKLIVVEYRPLEQPHPGIMQLKESVSFVSWKGEKSKHSGSKFWKAL RLALPLRSLSASSGWNESCSSQSDISLDHVQRRSRLKEPPELRSSERVSGAEPAPGTMSK HRGKPSAACRCCVTYCEGESHLRSKSRAEMHTHPQWETHL >ENSMUSP00000134202.1 pep:known chromosome:GRCm38:16:26581753:26702356:1 gene:ENSMUSG00000022514.14 transcript:ENSMUST00000174202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rap description:interleukin 1 receptor accessory protein [Source:MGI Symbol;Acc:MGI:104975] MGLLWYLMSLSFYGILQSHASERCDDWGLDTMRQIQVFEDEPARIKCPLFEHFLKYNYST AHSSGLTLIWYWTRQDRDLEEPINFRLPENRISKEKDVLWFRPTLLNDTGNYTCMLRNTT YCSKVAFPLEVVQKDSCFNSAMRFPVHKMYIEHGIHKITCPNVDGYFPSSVKPSVTWYKG CTEIVDFHNVLPEGMNLSFFIPLVSNNGNYTCVVTYPENGRLFHLTRTVTVKVVGSPKDA LPPQIYSPNDRVVYEKEPGEELVIPCKVYFSFIMDSHNEVWWTIDGKKPDDVTVDITINE SVSYSSTEDETRTQILSIKKVTPEDLRRNYVCHARNTKGEAEQAAKVKQKGNGCTEPMTL >ENSMUSP00000023156.6 pep:known chromosome:GRCm38:16:26581757:26717744:1 gene:ENSMUSG00000022514.14 transcript:ENSMUST00000023156.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rap description:interleukin 1 receptor accessory protein [Source:MGI Symbol;Acc:MGI:104975] MGLLWYLMSLSFYGILQSHASERCDDWGLDTMRQIQVFEDEPARIKCPLFEHFLKYNYST AHSSGLTLIWYWTRQDRDLEEPINFRLPENRISKEKDVLWFRPTLLNDTGNYTCMLRNTT YCSKVAFPLEVVQKDSCFNSAMRFPVHKMYIEHGIHKITCPNVDGYFPSSVKPSVTWYKG CTEIVDFHNVLPEGMNLSFFIPLVSNNGNYTCVVTYPENGRLFHLTRTVTVKVVGSPKDA LPPQIYSPNDRVVYEKEPGEELVIPCKVYFSFIMDSHNEVWWTIDGKKPDDVTVDITINE SVSYSSTEDETRTQILSIKKVTPEDLRRNYVCHARNTKGEAEQAAKVKQKVIPPRYTVEL ACGFGATVFLVVVLIVVYHVYWLEMVLFYRAHFGTDETILDGKEYDIYVSYARNVEEEEF VLLTLRGVLENEFGYKLCIFDRDSLPGGIVTDETLSFIQKSRRLLVVLSPNYVLQGTQAL LELKAGLENMASRGNINVILVQYKAVKDMKVKELKRAKTVLTVIKWKGEKSKYPQGRFWK QLQVAMPVKKSPRWSSNDKQGLSYSSLKNV >ENSMUSP00000133904.1 pep:known chromosome:GRCm38:16:26624156:26715068:1 gene:ENSMUSG00000022514.14 transcript:ENSMUST00000174171.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il1rap description:interleukin 1 receptor accessory protein [Source:MGI Symbol;Acc:MGI:104975] MGLLWYLMSLSFYGILQSHASERCDDWGLDTMRQIQVFEDEPARIKCPLFEHFLKYNYST AHSSGLTLIWYWTRQDRDLEEPINFRLPENRISKEKDVLWFRPTLLNDTGNYTCMLRNTT YCSKVAFPLEVVQKDSCFNSAMRFPVHKMYIEHGIHKITCPNVDGYFPSSVKPSVTWYKG CTEIVDFHNVLPEGMNLSFFIPLVSNNGNYTCVVTYPENGRLFHLTRTVTVKVVGSPKDA LPPQIYSPNDRVVYEKEPGEELVIPCKVYFSFIMDSHNEVWWTIDGKKPDDVTVDITINE SHTTKVHSRTRLWFWSHGLSGSGSHCGLPCLLAGDGPLLPSSLWNR >ENSMUSP00000133294.1 pep:known chromosome:GRCm38:16:26722434:26730117:1 gene:ENSMUSG00000022514.14 transcript:ENSMUST00000173136.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il1rap description:interleukin 1 receptor accessory protein [Source:MGI Symbol;Acc:MGI:104975] XKSISMLEFKLGVMCQNSIATKLIVVEYRPLEQPHPGIMQLKESVSFVSWKGEKSKHSGS KFWKALRLALPLRSLSASSGWNESCSSQSDISLDHVQRRSRLKEPPELRSSERVSGAEPA PGTMSKHRGKPSAACRCCVTYCEGESHLRSKSRAEMHTHPQWETHL >ENSMUSP00000085806.2 pep:known chromosome:GRCm38:X:73117047:73129262:1 gene:ENSMUSG00000067771.9 transcript:ENSMUST00000088459.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14685 description:predicted gene 14685 [Source:MGI Symbol;Acc:MGI:3710618] MDSAEYVLCGWKGQLWPARVLSRPRTPANSKRRGAPFLEVQILPVGEKMRVRSSEVRPLD KSEIISIASLAGKESRGRGSPGQTRAYRRALKVALDVLGEGTSLFQGGRAGGQRTSTVAP KVPKEQASSSSSSLGQRLCLQGRNQKGQGLSQRSPGKRGRPGQSPAMMGSQNVPAVRAGE AQAHTAVGLPRSEMQGDVLRGTRVWPSYSETPLGKAGGNPGKRKPGTSKLRSLSAPASRE GTRAKNEQQAASGPLRHISISPKALKKRVQCAGLEIRATGAQRKTALAENKDHPGPGTPK PDSKGASAAYTPPVPKLRRSLRIASQKKKPHVLCALCGLPEREPQVHSKVTNTRFKRRGA RVQKDAKATNVASAQGPSTIERGTLVWFKFQDLPFWPAVVKSVSENDKMARVLLIEGNMQ FERRGIRVPLRKLKHLDCGEKVSLVRRASRLYAQGINWCFSVIDHYREGLAHGSFLGSFM DYYTTQASYPLRRAVQEGDLHIDFPKVSYAELEDWEEETALGGKGPYKKLLPDRMRAAWD RANQKLVDFIVKRKGADQHLLDIVKGRKPSRWLDDLWKSKREVFCIETYLEDEDQLHLVA RHLQEVAKEADEALLSLARGDKVRFTMEVLFPEAIICSIAALDELTYKEAEEKYLRGPPV HYREKELFDKTILKAARKRSAARIRAARDPPVPTP >ENSMUSP00000137311.1 pep:known chromosome:GRCm38:X:73123068:73129260:1 gene:ENSMUSG00000067771.9 transcript:ENSMUST00000179117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14685 description:predicted gene 14685 [Source:MGI Symbol;Acc:MGI:3710618] MDSAEYVLCGWKGQLWPARVLSRPRTPANSKRRGAPFLEVQILPVGEKMRVRSSEVRPLD KSEIISIASLAGKESRGRGSPGQTRAYRRALKVALDVLGEGTSLFQGGRAGGQRTSTVAP KVPKEQASSSSSSLGQRLCLQGRNQKGQGLSQRSPGKRGRPGQSPAMMGSQNVPAVRAGE AQAHTAVGLPRSEMQGDVLRGTRVWPSYSETPLGKAGGNPGKRKPGTSKLRSLSAPASRE GTRAKNEQQAASGPLRHISISPKALKKRVQCAGLEIRATGAQRKTALAENKDHPGPGTPK PDSKGASAAYTPPVPKLRRSLRIASQKKKPHVLCALCGLPEREPQVHSKVTNTRFKRRGA RVQKDAKATNVASAQGPSTIERGTLVWFKFQDLPFWPAVVKSVSENDKMARVLLIEGNMQ FERRGIRVPLRKLKHLDCGEKVSLVRRASRLYAQGINWCFSVIDHYREGLAHGSFLGSFM DYYTTQASYPLRRAVQEGDLHIDFPKVSYAELEDWEEETALGGKGPYKKLLPDRMRAAWD RANQKLVDFIVKRKGADQHLLDIVKGRKPSRWLDDLWKSKREVFCIETYLEDEDQLHLVA RHLQEVAKEADEALLSLARGDKVRFTMEVLFPEAIICSIAALDELTYKEAEEKYLRGPPV HYREKELFDKTILKAARKRSAARIRAARDPPVPTP >ENSMUSP00000032234.2 pep:known chromosome:GRCm38:6:124304656:124330527:1 gene:ENSMUSG00000008845.9 transcript:ENSMUST00000032234.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd163 description:CD163 antigen [Source:MGI Symbol;Acc:MGI:2135946] MGGHRMVLLGGAGSPGCKRFVHLGFFVVAVSSLLSASAVTNAPGEMKKELRLAGGENNCS GRVELKIHDKWGTVCSNGWSMNEVSVVCQQLGCPTSIKALGWANSSAGSGYIWMDKVSCT GNESALWDCKHDGWGKHNCTHEKDAGVTCSDGSNLEMRLVNSAGHRCLGRVEIKFQGKWG TVCDDNFSKDHASVICKQLGCGSAISFSGSAKLGAGSGPIWLDDLACNGNESALWDCKHR GWGKHNCDHAEDVGVICLEGADLSLRLVDGVSRCSGRLEVRFQGEWGTVCDDNWDLRDAS VVCKQLGCPTAISAIGRVNASEGSGQIWLDNISCEGHEATLWECKHQEWGKHYCHHREDA GVTCSDGADLELRLVGGGSRCAGIVEVEIQKLTGKMCSRGWTLADADVVCRQLGCGSALQ TQAKIYSKTGATNTWLFPGSCNGNETTFWQCKNWQWGGLSCDNFEEAKVTCSGHREPRLV GGEIPCSGRVEVKHGDVWGSVCDFDLSLEAASVVCRELQCGTVVSILGGAHFGEGSGQIW GEEFQCSGDESHLSLCSVAPPLDRTCTHSRDVSVVCSRYIDIRLAGGESSCEGRVELKTL GAWGPLCSSHWDMEDAHVLCQQLKCGVAQSIPEGAHFGKGAGQVWSHMFHCTGTEEHIGD CLMTALGAPTCSEGQVASVICSGNQSQTLLPCSSLSPVQTTSSTIPKESEVPCIASGQLR LVGGGGRCAGRVEVYHEGSWGTVCDDNWDMTDANVVCKQLDCGVAINATGSAYFGEGAGA IWLDEVICTGKESHIWQCHSHGWGRHNCRHKEDAGVICSEFMSLRLTNEAHKENCTGRLE VFYNGTWGSIGSSNMSPTTVGVVCRQLGCADNGTVKPIPSDKTPSRPMWVDRVQCPKGVD TLWQCPSSPWKQRQASPSSQESWIICDNKIRLQEGHTDCSGRVEIWHKGSWGTVCDDSWD LNDAKVVCKQLGCGQAVKALKEAAFGPGTGPIWLNEIKCRGNESSLWDCPAKPWSHSDCG HKEDASIQCLPKMTSESHHGTGHPTLTALLVCGAILLVLLIVFLLWTLKRRQIQRLTVSS RGEVLIHQVQYQEMDSKADDLDLLKSSGVIQRHTEKENDNL >ENSMUSP00000108160.2 pep:known chromosome:GRCm38:6:124304700:124330527:1 gene:ENSMUSG00000008845.9 transcript:ENSMUST00000112541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd163 description:CD163 antigen [Source:MGI Symbol;Acc:MGI:2135946] MGGHRMVLLGGAGSPGCKRFVHLGFFVVAVSSLLSASAVTNAPGEMKKELRLAGGENNCS GRVELKIHDKWGTVCSNGWSMNEVSVVCQQLGCPTSIKALGWANSSAGSGYIWMDKVSCT GNESALWDCKHDGWGKHNCTHEKDAGVTCSDGSNLEMRLVNSAGHRCLGRVEIKFQGKWG TVCDDNFSKDHASVICKQLGCGSAISFSGSAKLGAGSGPIWLDDLACNGNESALWDCKHR GWGKHNCDHAEDVGVICLEGADLSLRLVDGVSRCSGRLEVRFQGEWGTVCDDNWDLRDAS VVCKQLGCPTAISAIGRVNASEGSGQIWLDNISCEGHEATLWECKHQEWGKHYCHHREDA GVTCSDGADLELRLVGGGSRCAGIVEVEIQKLTGKMCSRGWTLADADVVCRQLGCGSALQ TQAKIYSKTGATNTWLFPGSCNGNETTFWQCKNWQWGGLSCDNFEEAKVTCSGHREPRLV GGEIPCSGRVEVKHGDVWGSVCDFDLSLEAASVVCRELQCGTVVSILGGAHFGEGSGQIW GEEFQCSGDESHLSLCSVAPPLDRTCTHSRDVSVVCSRYIDIRLAGGESSCEGRVELKTL GAWGPLCSSHWDMEDAHVLCQQLKCGVAQSIPEGAHFGKGAGQVWSHMFHCTGTEEHIGD CLMTALGAPTCSEGQVASVICSGNQSQTLLPCSSLSPVQTTSSTIPKESEVPCIASGQLR LVGGGGRCAGRVEVYHEGSWGTVCDDNWDMTDANVVCKQLDCGVAINATGSAYFGEGAGA IWLDEVICTGKESHIWQCHSHGWGRHNCRHKEDAGVICSEFMSLRLTNEAHKENCTGRLE VFYNGTWGSIGSSNMSPTTVGVVCRQLGCADNGTVKPIPSDKTPSRPMWVDRVQCPKGVD TLWQCPSSPWKQRQASPSSQESWIICDNKIRLQEGHTDCSGRVEIWHKGSWGTVCDDSWD LNDAKVVCKQLGCGQAVKALKEAAFGPGTGPIWLNEIKCRGNESSLWDCPAKPWSHSDCG HKEDASIQCLPKMTSESHHGTGHPTLTALLVCGAILLVLLIVFLLWTLKRRQIQRLTVSS RGEVLIHQVQYQEMDSKADDLDLLKSSENSNNSYDFNDDGLTSLSKYLPISGIKKGSFRG TLRRKMIIYNPLRLEFKKP >ENSMUSP00000105323.3 pep:known chromosome:GRCm38:2:154791096:154867245:1 gene:ENSMUSG00000027593.15 transcript:ENSMUST00000109701.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raly description:hnRNP-associated with lethal yellow [Source:MGI Symbol;Acc:MGI:97850] MSLKIQTSNVTNKNDPKSINSRVFIGNLNTAVVKKSDVETIFSKYGRVAGCSVHKGYAFV QYANERHARAAVLGENGRVLAGQTLDINMAGEPKPNRPKGLKRAATAIYRLFDYRGRLSP VPVPRAVPVKRPRVTVPLVRRVKTTIPVKLFARSTAVTTGSAKIKLKSSELQTIKTELTQ IKSNIDALLGRLEQIAEEQKANPDGKKKGDSSSGGGGGSSGGGGSSNVGGGSSGGSGSCS SSSRLPAPQEDTASEAGTPQGEVQTRDDGDEEGLLTHSEEELEHSQDTDAEDGALQ >ENSMUSP00000114185.1 pep:known chromosome:GRCm38:2:154791106:154863836:1 gene:ENSMUSG00000027593.15 transcript:ENSMUST00000129137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raly description:hnRNP-associated with lethal yellow [Source:MGI Symbol;Acc:MGI:97850] MSLKIQTSNVTNKNDPKSINSRVFIGNLNTAVVKKSDVETIFSKYGRVAGCSVHKGYAFV QYANERHARAAVLGENGRVLAGQTLDINMAGEPKPNRPKGLKRAATAIYRLFDYRGRLSP VPVPRAVPVKRPRVTVPLVRRVKTTIPVKLFARSTAVTTGSAKIKLKSSELQTIKTELTQ IKSNIDALLGRLEQIAEEQKANPDGKKKGDS >ENSMUSP00000119108.1 pep:known chromosome:GRCm38:2:154791118:154861933:1 gene:ENSMUSG00000027593.15 transcript:ENSMUST00000125872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raly description:hnRNP-associated with lethal yellow [Source:MGI Symbol;Acc:MGI:97850] MSLKIQTSNVTNKNDPKSINSRVFIGNLNTAVVKKSDVETIFSKYGRVAGCSVHKGYAFV QYANERHARAAVLGENGRVLAGQTLDINMAGEPKPNRPKGLKRAATAIYRLFDYRGRLSP VPVPRAVPVKRPRVTVPLVRR >ENSMUSP00000029120.7 pep:known chromosome:GRCm38:2:154791133:154867244:1 gene:ENSMUSG00000027593.15 transcript:ENSMUST00000029120.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raly description:hnRNP-associated with lethal yellow [Source:MGI Symbol;Acc:MGI:97850] MSLKIQTSNVTNKNDPKSINSRVFIGNLNTAVVKKSDVETIFSKYGRVAGCSVHKGYAFV QYANERHARAAVLGENGRVLAGQTLDINMAGEPKPNRPKGLKRAATAIYSGYSFDYDYYQ DYFCARLFDYRGRLSPVPVPRAVPVKRPRVTVPLVRRVKTTIPVKLFARSTAVTTGSAKI KLKSSELQTIKTELTQIKSNIDALLGRLEQIAEEQKANPDGKKKGDSSSGGGGGSSGGGG SSNVGGGSSGGSGSCSSSSRLPAPQEDTASEAGTPQGEVQTRDDGDEEGLLTHSEEELEH SQDTDAEDGALQ >ENSMUSP00000058105.6 pep:known chromosome:GRCm38:2:154791157:154867261:1 gene:ENSMUSG00000027593.15 transcript:ENSMUST00000058089.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raly description:hnRNP-associated with lethal yellow [Source:MGI Symbol;Acc:MGI:97850] MSLKIQTSNVTNKNDPKSINSRVFIGNLNTAVVKKSDVETIFSKYGRVAGCSVHKGYAFV QYANERHARAAVLGENGRVLAGQTLDINMAGEPKPNRPKGLKRAATAIYRLFDYRGRLSP VPVPRAVPVKRPRVTVPLVRRVKTTIPVKLFARSTAVTTGSAKIKLKSSELQTIKTELTQ IKSNIDALLGRLEQIAEEQKANPDGKKKGDSSSGGGGGSSGGGGSSNVGGGSSGGSGSCS SSSRLPAPQEDTASEAGTPQGEVQTRDDGDEEGLLTHSEEELEHSQDTDAEDGALQ >ENSMUSP00000119126.1 pep:known chromosome:GRCm38:2:154791352:154864049:1 gene:ENSMUSG00000027593.15 transcript:ENSMUST00000140713.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raly description:hnRNP-associated with lethal yellow [Source:MGI Symbol;Acc:MGI:97850] MSLKIQTSNVTNKNDPKSINSRVFIGNLNTAVVKKSDVETIFSKYGRVAGCSVHKGYAFV QYANERHARAAVLGENGRVLAGQTLDINMAGEPKPNRPKGLKRAATAIYSGYSFDYDYYQ DYFCARLFDYRGRLSPVPVPRAVPVKRPRVTVPLVRRVKTTIPVKLFARSTAVTTGSAKI KLKSSELQTIKTELTQIKSNIDALLGRLEQIAEEQKANPDGKKKGDSSSGGGGGSSGGGG SSNVGGGSSGGSGSCSSSSRLPAPQEDTASEAGTPQGEVQTRDDGDEEGLLTHSEEEL >ENSMUSP00000112090.2 pep:known chromosome:GRCm38:2:154791110:154867261:1 gene:ENSMUSG00000027593.15 transcript:ENSMUST00000116389.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raly description:hnRNP-associated with lethal yellow [Source:MGI Symbol;Acc:MGI:97850] MSLKIQTSNVTNKNDPKSINSRVFIGNLNTAVVKKSDVETIFSKYGRVAGCSVHKGYAFV QYANERHARAAVLGENGRVLAGQTLDINMAGEPKPNRPKGLKRAATAIYSGYSFDYDYYQ DYFCARLFDYRGRLSPVPVPRAVPVKRPRVTVPLVRRVKTTIPVKLFARSTAVTTGSAKI KLKSSELQTIKTELTQIKSNIDALLGRLEQIAEEQKANPDGKKKGDSSSGGGGGSSGGGG SSNVGGGSSGGSGSCSSSSRLPAPQEDTASEAGTPQGEVQTRDDGDEEGLLTHSEEELEH SQDTDAEDGALQ >ENSMUSP00000032924.5 pep:known chromosome:GRCm38:7:126928879:126945631:1 gene:ENSMUSG00000030685.5 transcript:ENSMUST00000032924.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd13 description:potassium channel tetramerisation domain containing 13 [Source:MGI Symbol;Acc:MGI:1923739] MSAEASGPAPAAAECLESPSPSSVEPGSPSYSLKPLTPNSKYVKLNVGGSLHYTTLRTLT GQDTMLKAMFSGRVEVLTDAGGWVLIDRSGRHFGTILNYLRDGSVPLPESARELGELLGE ARYYLVQGLIEDCQLALQQKREKLSPLCLIPTVTSPREEQQLLASTSKPVVKLLHNRSNN KYSYTSTSDDNLLKNIELFDKLALRFHGRLLFLKDVLGDEICCWSFYGQGRKIAEVCCTS IVYATEKKQTKVEFPEARIFEETLNILIYENSRGPDLALLEATGGAAGGGGAGRGDDEEN REHRVRRIHVRRHITHDERPHGQQIVFKD >ENSMUSP00000099026.4 pep:known chromosome:GRCm38:X:73192222:73202920:1 gene:ENSMUSG00000073125.10 transcript:ENSMUST00000101486.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr3b description:X-linked lymphocyte-regulated 3B [Source:MGI Symbol;Acc:MGI:109505] MSSRKRKATDTAGRHSRMDPNLSSDDSQNPGAVAAANREVLDAGREDIISSGTERQQARK EKQDLVQEFEEPPNKVLQENRERFSRIMTSSFSAMEVKIKDVLKTHCEERQKLCQDYSLQ FTNLNRKLTSDAYKLKKHAETLSNMFMEQQKFIHESLTLQKNRMKEFKSLCEKYLEKLEV LRDSRGNSIAEELRRLIATLEIKLLMLHNQQKTAAPPQSLLDVLFS >ENSMUSP00000037304.2 pep:known chromosome:GRCm38:13:23695814:23696542:1 gene:ENSMUSG00000036211.3 transcript:ENSMUST00000041052.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h1t description:histone cluster 1, H1t [Source:MGI Symbol;Acc:MGI:1888530] MSETAPAASSTLVPAPVEEKPSSKRRGKKPGLAPARKPRGFSVSKLIPEALSTSQERAGM SLAALKKALAAAGYDVEKNNSRIKLALKRLVNKGVLVQTKGTGASGSFKLSKKAASGNDK GKGKKSASAKAKKMGLPRASRSPKSSKTKAVKKPKATPTKASGSGRKTKGAKGVQQRKSP AKARAANPNSGKAKMVMQKTDLRKAAGRK >ENSMUSP00000140388.1 pep:known chromosome:GRCm38:7:141633456:141655319:-1 gene:ENSMUSG00000048191.15 transcript:ENSMUST00000189314.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc6 description:mucin 6, gastric [Source:MGI Symbol;Acc:MGI:2663233] MLRVRQLLLLLLFRGPLIDAGAWTGDVTDSDTEDNLQSSPEKGWCSTWGAGHFSTFDGHE YNFQGMCNYIFTATCGDDVPATFSIQLRRDMEGNISRIIMELGASVVTVNKETISVRDIG VVSLPYTSNGLQITPYGQSVQLVAKQLELELVITWGPDAHLTVQVETKYMGKLCGLCGNF DGKIDNEFLSEDGKLLEAHKYATLQKLDDPNEICAHEAIPSTIILKTRYAQICNQLLTLV SPGCDVPKETLMLSCQADMAACARPGQPNCSCATLSEYSRRCSMTGQPVRNWRTPALCPM SQCPANQVYQECGEVCIKTCSNPQHSCSSPCTFGCFCPHGTLLDDISGNQSCVPVNQCPC MLNGMVYGPGEITKTACQTCQCTMGRWTCTKQPCPGHCSLEGGSFVTTFDARPYRFHGTC TYTLLQSPQLPNEGTLMAVYDKSGYSHSETSLVAIMYLSKKDKIVISEDEVITNNGDTKL LPYKTHNITIFRQTSTHLQMATTFGLELVFQMQPVFQVYITVGPQFKGQTRGLCGNFNGD TTDDFTTSMGIDEGTASLFVDSWRAGNCPAALEREMDPCSMSQLNKVCAETHCSMLLKKG SVFEKCHSVVNPQPFYKRCVYQACNYEETFPHICSALGAYAHACSARGILLWGWRNSVDN CTVPCTGNRTFSYDSQACDRTCLSLSDRETECHVSPVPVDGCNCPEGTYLNHKAECVHKA QCPCLLDDYKFVQADQSTMINGVICHCINGRLSCPRQAEMFFASCPEPKTFQSCSQSSED KFGAACAPTCQMLATGIDCVPTKCESGCVCPKGLYENSDGQCVPAEECPCDYAGVSYPGG FELHTDCKTCTCSQGRWTCQLSTQCPSTCVLYGEGHIITFDGQRFVFDGDCEYMLATDDC GANSSQPTFKVLTENVICGKSGVTCSRAIKISLGGLFITMADSNYTVSGEEPLVHLKVKP SPLNLVLDIDIPGRLNLTLVWNKHMSVSIKIRRATQDALCGLCGNANGNMKDDFETRSKY VASNELEFVNSWKESPLCGDASYAVDPCSLNTFRRSWAERKCNIINSQTFAACHSKVYHL PYYEACVRDACGCDTGGDCECLCDAVAAYAKACLDKGVCVDWRTPDFCPIYCDFYNTHTL VGENEYQYAQESNCTWHYQPCLCPGSLGSFPDTNTEGCYNCSQNEYFDHSEGTCVPCAPP TTTLPPTTTGSQPTTETTISTEFHSSTSANTPVAPSYLPGLPTPPPSAPSSTEELTVWTT PKESTVSSGEYPQTTMAATPPTSPWPPTSIPKSTPTELPVTQATSKPTASSLSSSTKTTA ELTESTTVTLLTLMPGMSTSQGKTSASYTTQHQSTSFHLTTISKWPTNGPQHFSHWKLLT FYPSIILQSRLLGLLATLASWDLQLTGGRAPDYLPGLCGQCDSNSLPGFLRLLCQFQ >ENSMUSP00000140483.1 pep:known chromosome:GRCm38:7:141634049:141655308:-1 gene:ENSMUSG00000048191.15 transcript:ENSMUST00000190907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc6 description:mucin 6, gastric [Source:MGI Symbol;Acc:MGI:2663233] MLRVRQLLLLLLFRGPLIDAGAWTGDVTDSDTEDNLQSSPEKGWCSTWGAGHFSTFDGHE YNFQGMCNYIFTATCGDDVPATFSIQLRRDMEGNISRIIMELGASVVTVNKETISVRDIG VVSLPYTSNGLQITPYGQSVQLVAKQLELELVITWGPDAHLTEGQGGDEVGTPGTLKQES KGSPAWAGSSLCIPTETNSTTPQVQVETKYMGKLCGLCGNFDGKIDNEFLSEDGKLLEAH KYATLQKLDDPNEICAHEAIPSTIILKTRYAQICNQLLTLVSPGCDVPKETLMLSCQADM AACARPGQPNCSCATLSEYSRRCSMTGQPVRNWRTPALCPMSQCPANQVYQECGEVCIKT CSNPQHSCSSPCTFGCFCPHGTLLDDISGNQSCVPVNQCPCMLNGMVYGPGEITKTACQT CQCTMGRWTCTKQPCPGHCSLEGGSFVTTFDARPYRFHGTCTYTLLQSPQLPNEGTLMAV YDKSGYSHSETSLVAIMYLSKKDKIVISEDEVITNNGDTKLLPYKTHNITIFRQTSTHLQ MATTFGLELVFQMQPVFQVYITVGPQFKGQTRGLCGNFNGDTTDDFTTSMGIDEGTASLF VDSWRAGNCPAALEREMDPCSMSQLNKVCAETHCSMLLKKGSVFEKCHSVVNPQPFYKRC VYQACNYEETFPHICSALGAYAHACSARGILLWGWRNSVDNCTVPCTGNRTFSYDSQACD RTCLSLSDRETECHVSPVPVDGCNCPEGTYLNHKAECVHKAQCPCLLDDYKFVQADQSTM INGVICHCINGRLSCPRQAEMFFASCPEPKTFQSCSQSSEDKFGAACAPTCQMLATGIDC VPTKCESGCVCPKGLYENSDGQCVPAEECPCDYAGVSYPGGFELHTDCKTCTCSQGRWTC QLSTQCPSTCVLYGEGHIITFDGQRFVFDGDCEYMLATDDCGANSSQPTFKVLTENVICG KSGVTCSRAIKISLGGLFITMADSNYTVSGEEPLVHLKVKPSPLNLVLDIDIPGRLNLTL VWNKHMSVSIKIRRATQDALCGLCGNANGNMKDDFETRSKYVASNELEFVNSWKESPLCG DASYAVDPCSLNTFRRSWAERKCNIINSQTFAACHSKVYHLPYYEACVRDACGCDTGGDC ECLCDAVAAYAKACLDKGVCVDWRTPDFCPIYCDFYNTHTLVGENEYQYAQESNCTWHYQ PCLCPGSLGSFPDTNTEGCYNCSQNEYFDHSEGTCVPCAPPTTTLPPTTTGSQPTTETTI STEFHSSTSANTPVAPSYLPGLPTPPPSAPSSTEELTVWTTPKESTVSSGEYPQTTMAAT PPTSPWPPTSIPKSTPTELPVTQATSKPTASSLSSSTKTTAELTESTTVTLLTLMPGMST SQEGTPTSKIPVTQTTTHRVPSPSITNQSTTMFQTTTVQEAEITQTLAPSTYTTNDITKT QNLFSTGKTSASYTTQHQSTSFHLTTISKWPTNGVSDTPGVHTSSGTPSSSHATHITYTP PTQVVSSITHSTGPPLGTSVQTTINFPTLSAPQTSLVTPHPGLSSSSTALTSEILKTPTS SQMVSSASPQTIFSSIHPKTTLEATTPQHTAPLITSITSSITQAQSSFSTDKTYTSQHSQ PSTMTAHQSRSLPTVTTSTKSTMGLTGTPPVHTTSGTTSSPQTPRTTHPFSTVAVSNTKH TTGVSLETSVQTTIASPTPSAPQTSLATHLPFSSTSSVTPTSEVIITPTPQHTLSSASTS TTTGNILPTTIGQTGSPHTSVPVIYTTSAITQTKTSFSTDRTSTPTSAPHLSETSAVTAH QSTPTAVSANSIKPTMSSTGTPVVHTTSGTTSSPQTPRTTHPSTTVAVSGTVHTTGLPSG TSVHTTTNFPTHSGPQSSLSTHLPLFSTLSVTPTTEGLNTPTSPHSLSVASTSMPLMTVL PTTLEGTRPPHTSVPVTYTTTAATQTKSSFSTDRTSAPHLSQPSTVTPTQSTPIPATTNS LMTTGGLTGTPPVHTTSGTTSSPQTPRTTHPFSTVAVSNTTHTTGVSLETSVQTTIASPT PSAPQTSLATHLPFSSTSSVTPTSEVIITPTPQHTLSSASTSTTTGNILPTTIGKTGSPH TSVPVIYTTSAITQTKTSFSTDRTSTSTSAPHLSETSAVTAHQSTPTAVSANSIKPTMSS TGTPVVHTTSGTTSSPQTPRTTHPSTTVAVSGTVHTTGLPSGTSVHTTTNFPTHSGPQSS LSTHLPLFSTLSVTPTTEGLNTPTSPHSLSAASTSMPLMTVLPTTLEGTRPPHTSVPVTY TTTAATQTKSSFSTDRTSTPHLSQSSTVTPTQPTPIPATTNSPMTTVGLTGTPVVHTPSG TSSIAHTPHTTHSLPTAASSSTTLSTAPQFRTSEQSTTTFPTPSAPQTSLVTSLPPFSTS SVSPTDEIHITSTNPHTVSSVSMSRPVSTILQTTIEVTTPPNTSTPVTHSTSATTEAQGS FSTERTSTSYLSHPSSTTVHQSTAGPVITSIKSTMGVTGTPPVHTTSGTTSSPQTPHSTH PISTAAISRTTGISGTPFRTPMKTTITFPTPSSLQTSMATLFPPFSTSVMSSTEIFNTPT NPHSVSSASTSRPLSTSLPTTIKGTGTPQTPVSDINTTSATTQAHSSFPTTRTSTSHLSL PSSMTSTLTPASRSASTLQYTPTPSSVSHSPLLTTPTASPPSSAPTFVSPTAASTVISSA LPTIHMTPTPSSRPTSSTGLLSTSKTTSHVPTFSSFSSKSTTAHLTSLTTQAATSGLLSS TMGMTNLPSSGSPDINHTTRPPGSSPLPTSAFLSRSTSPTGSSSPSTPVSSSNPDSSVSS PPSHPGTCSLQEEEHQITYQGCVANVTLTRCQGFCASSVSFNKDTLQLESSCGCCQPLST YKKQLSLPCPDPDAPGQQLTLTLQVFSSCVCSPLQCKN >ENSMUSP00000049941.7 pep:known chromosome:GRCm38:7:141633972:141655319:-1 gene:ENSMUSG00000048191.15 transcript:ENSMUST00000062451.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc6 description:mucin 6, gastric [Source:MGI Symbol;Acc:MGI:2663233] MLRVRQLLLLLLFRGPLIDAGAWTGDVTDSDTEDNLQSSPEKGWCSTWGAGHFSTFDGHE YNFQGMCNYIFTATCGDDVPATFSIQLRRDMEGNISRIIMELGASVVTVNKETISVRDIG VVSLPYTSNGLQITPYGQSVQLVAKQLELELVITWGPDAHLTEGQGGDEVGTPGTLKQES KGSPAWAGSSLCIPTETNSTTPQVQVETKYMGKLCGLCGNFDGKIDNEFLSEDGKLLEAH KYATLQKLDDPNEICAHEAIPSTIILKTRYAQICNQLLTLVSPGCDVPKETLMLSCQADM AACARPGQPNCSCATLSEYSRRCSMTGQPVRNWRTPALCPMSQCPANQVYQECGEVCIKT CSNPQHSCSSPCTFGCFCPHGTLLDDISGNQSCVPVNQCPCMLNGMVYGPGEITKTACQT CQCTMGRWTCTKQPCPGHCSLEGGSFVTTFDARPYRFHGTCTYTLLQSPQLPNEGTLMAV YDKSGYSHSETSLVAIMYLSKKDKIVISEDEVITNNGDTKLLPYKTHNITIFRQTSTHLQ MATTFGLELVFQMQPVFQVYITVGPQFKGQTRGLCGNFNGDTTDDFTTSMGIDEGTASLF VDSWRAGNCPAALEREMDPCSMSQLNKVCAETHCSMLLKKGSVFEKCHSVVNPQPFYKRC VYQACNYEETFPHICSALGAYAHACSARGILLWGWRNSVDNCTVPCTGNRTFSYDSQACD RTCLSLSDRETECHVSPVPVDGCNCPEGTYLNHKAECVHKAQCPCLLDDYKFVQADQSTM INGVICHCINGRLSCPRQAEMFFASCPEPKTFQSCSQSSEDKFGAACAPTCQMLATGIDC VPTKCESGCVCPKGLYENSDGQCVPAEECPCDYAGVSYPGGFELHTDCKTCTCSQGRWTC QLSTQCPSTCVLYGEGHIITFDGQRFVFDGDCEYMLATDDCGANSSQPTFKVLTENVICG KSGVTCSRAIKISLGGLFITMADSNYTVSGEEPLVHLKVKPSPLNLVLDIDIPGRLNLTL VWNKHMSVSIKIRRATQDALCGLCGNANGNMKDDFETRSKYVASNELEFVNSWKESPLCG DASYAVDPCSLNTFRRSWAERKCNIINSQTFAACHSKVYHLPYYEACVRDACGCDTGGDC ECLCDAVAAYAKACLDKGVCVDWRTPDFCPIYCDFYNTHTLVGENEYQYAQESNCTWHYQ PCLCPGSLGSFPDTNTEGCYNCSQNEYFDHSEGTCVPCAPPTTTLPPTTTGSQPTTETTI STEFHSSTSANTPVAPSYLPGLPTPPPSAPSSTEELTVWTTPKESTVSSGEYPQTTMAAT PPTSPWPPTSIPKSTPTELPVTQATSKPTASSLSSSTKTTAELTESTTVTLLTLMPGMST SQEGTPTSKIPVTQTTTHRVPSPSITNQSTTMFQTTTVQEAEITQTLAPSTYTTNDITKT QNLFSTGKTSASYTTQHQSTSFHLTTISKWPTNGVSDTPGVHTSSGTPSSSHATHITYTP PTQVVSSITHSTGPPLGTSVQTTINFPTLSAPQTSLVTPHPGLSSSSTALTSEILKTPTS SQMSRSLPTVTTSTKSTMGLTGTPPVHTTSGTTSSPQTPRTTHPFSTVAVSNTKHTTGVS LETSVQTTIASPTPSAPQTSLATHLPFSSTSSVTPTSEVIITPTPQHTLSSASTSTTTGN ILPTTIGQTGSPHTSVPVIYTTSAITQTKTSFSTDRTSTPTSAPHLSETSAVTAHQSTPT AVSANSIKPTMSSTGTPVVHTTSGTTSSPQTPRTTHPSTTVAVSGTVHTTGLPSGTSVHT TTNFPTHSGPQSSLSTHLPLFSTLSVTPTTEGLNTPTSPHSLSVASTSMPLMTVLPTTLE GTRPPHTSVPVTYTTTAATQTKSSFSTDRTSAPHLSQPSTVTPTQSTPIPATTNSLMTTG GLTGTPPVHTTSGTTSSPQTPRTTHPFSTVAVSNTTHTTGVSLETSVQTTIASPTPSAPQ TSLATHLPFSSTSSVTPTSEVIITPTPQHTLSSASTSTTTGNILPTTIGKTGSPHTSVPV IYTTSAITQTKTSFSTDRTSTSTSAPHLSETSAVTAHQSTPTAVSANSIKPTMSSTGTPV VHTTSGTTSSPQTPRTTHPSTTVAVSGTVHTTGLPSGTSVHTTTNFPTHSGPQSSLSTHL PLFSTLSVTPTTEGLNTPTSPHSLSAASTSMPLMTVLPTTLEGTRPPHTSVPVTYTTTAA TQTKSSFSTDRTSTPHLSQSSTVTPTQPTPIPATTNSPMTTVGLTGTPVVHTPSGTSSIA HTPHTTHSLPTAASSSTTLSTAPQFRTSEQSTTTFPTPSAPQTSLVTSLPPFSTSSVSPT DEIHITSTNPHTVSSVSMSRPVSTILQTTIEVTTPPNTSTPVTHSTSATTEAQGSFSTER TSTSYLSHPSSTTVHQSTAGPVITSIKSTMGVTGTPPVHTTSGTTSSPQTPHSTHPISTA AISRTTGISGTPFRTPMKTTITFPTPSSLQTSMATLFPPFSTSVMSSTEIFNTPTNPHSV SSASTSRPLSTSLPTTIKGTGTPQTPVSDINTTSATTQAHSSFPTTRTSTSHLSLPSSMT STLTPASRSASTLQYTPTPSSVSHSPLLTTPTASPPSSAPTFVSPTAASTVISSALPTIH MTPTPSSRPTSSTGLLSTSKTTSHVPTFSSFSSKSTTAHLTSLTTQAATSGLLSSTMGMT NLPSSGSPDINHTTRPPGSSPLPTSAFLSRSTSPTGSSSPSTPVSSSNPDSSVSSPPSHP GTCSLQEEEHQITYQGCVANVTLTRCQGFCASSVSFNKDTLQLESSCGCCQPLSTYKKQL SLPCPDPDAPGQQLTLTLQVFSSCVCSPLQCKN >ENSMUSP00000028209.8 pep:known chromosome:GRCm38:2:30392254:30400529:1 gene:ENSMUSG00000026856.14 transcript:ENSMUST00000028209.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dolpp1 description:dolichyl pyrophosphate phosphatase 1 [Source:MGI Symbol;Acc:MGI:1914093] MAADGQCSLPASWRPVTLTHVEYPAGDLSGHLLAYLSLSPIFVVVGFLTLIIFKRELHTI SFLGGLALNQGVNWLIKHVIQEPRPCGGPHTAVGTKYGMPSSHSQFMWFFSVYSFLFLYL RMHQTNNARFLDLLWRHVLSLGLLTAAFLVSYSRVYLLYHTWSQVFYGGVAGSLMAVAWF IITQEILTPLFPRIAAWPISEFFLIRDTSLIPNVLWFEYTVTRAEARNRQRKLGTKLQ >ENSMUSP00000119478.2 pep:known chromosome:GRCm38:2:30392416:30400527:1 gene:ENSMUSG00000026856.14 transcript:ENSMUST00000123202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dolpp1 description:dolichyl pyrophosphate phosphatase 1 [Source:MGI Symbol;Acc:MGI:1914093] MPSSHSQFMWFFSVYSFLFLYLRMHQTNNARFLDLLWRHVLSLGLLTAAFLVSYSRVYLL YHTWSQVFYGGVAGSLMAVAWFIITQEILTPLFPRIAAWPISEFFLIRDTSLIPNVLWFE YTVTRAEARNRQRKLGTKLQ >ENSMUSP00000109242.3 pep:known chromosome:GRCm38:2:30392416:30400527:1 gene:ENSMUSG00000026856.14 transcript:ENSMUST00000113612.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dolpp1 description:dolichyl pyrophosphate phosphatase 1 [Source:MGI Symbol;Acc:MGI:1914093] MAADGQCSLPASWRPVTLTHVEYPAGDLSGHLLAYLSLSPIFVVVGFLTLIIFKRELHTI SFLGGLALNQGVNWLIKHVIQEPRPCGGPHTAVGTKYGMPSSHSQFMWFFSVYSFLFLYL RMHQTNNARFLDLLWRHVLSLGLLTAAFLVSYSRPISEFFLIRDTSLIPNVLWFEYTVTR AEARNRQRKLGTKLQ >ENSMUSP00000116276.1 pep:known chromosome:GRCm38:2:30392439:30400528:1 gene:ENSMUSG00000026856.14 transcript:ENSMUST00000137248.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dolpp1 description:dolichyl pyrophosphate phosphatase 1 [Source:MGI Symbol;Acc:MGI:1914093] XAADGQCSLPASWRPVTLTHVEYPAGDLSGHLLAYLSLSPIFVVVGFLTLIIFKRELHTA HIQQWALSTGCPPAIPSSCGSSPSTPSSSCI >ENSMUSP00000115602.1 pep:known chromosome:GRCm38:2:30392445:30399684:1 gene:ENSMUSG00000026856.14 transcript:ENSMUST00000155196.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dolpp1 description:dolichyl pyrophosphate phosphatase 1 [Source:MGI Symbol;Acc:MGI:1914093] XDGQCSLPASWRPVTLTHVEYPAGDLSGHLLAYLSLSPIFVVVGFLTLIIFKRELHTAHI QQWALSTGCPPAIPSSCGSSPSTPSSSCI >ENSMUSP00000110209.2 pep:known chromosome:GRCm38:16:45656315:45693658:-1 gene:ENSMUSG00000033177.13 transcript:ENSMUST00000114562.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss7 description:transmembrane serine protease 7 [Source:MGI Symbol;Acc:MGI:2686594] MDKEKSDPSCKSSDLKISNISIQVVSVPGKLPGRRPPRKPIGKPRPRKQPKKRAPFWNVQ NKIILFTVFLFILAVTAWTLLWLYISKTESKDAFYFVGMFRITNIEFLPEYRQKESREFL SMAKTVQQVVNLVYTTSAFSKFYKQSVVADVSSNNKGGLLVHFWIVFVMPHAKGHIFCEE CVAAILKDSIQTSIINRTSVGSLQGLAVDMDSVVLNAGLRSDYSSAVGSDNGCSRYLYAD HLTLRYPLEISATSGQLMCHFKLVAIVGYLIRLSIESIQLEADNCITDSLTVYDSLLPIR SAILYRICEPTRTLMSFVSTNNLMLVILKSPYVRRLAGIRAYFEVIPEQKCESTILVKEI NSFEGKISSPYYPSYYPPKCKCTWTFQTSLSTLGIALKFYNYSITKKSAKGCEHGWWEIN EHMYCGSYMDHETIFRVPSPLVHIQLQCSSRLSDKPLLVEYGGYNISQPCPAGSFRCSSG LCVPQAQRCDGVNDCFDESDELFCVTVKPACNSSSFRQHGPLVCDGFRDCEDGQDEQNCT RSIPCTSRTFKCGNDICFRKQNAQCDGIVDCPDGSDEEGCGCSRSSSFLHRIVGGSDSQE GTWPWQVSLHFVGSAYCGASVISREWLLSAAHCFHGNRLSDPTPWTAHLGMYVQGNAKFI SPVRRIVVHEYYNSQTFDYDIALLQLSIAWPETLKQLIQPICIPPAGQKVRSGEKCWVTG WGRRHEADSKGSPVLQQAEVELIDQTVCVSTYGIITSRMLCAGVMSGKSDACKGDSGGPL SCRRKSDGKWILTGIVSWGHGCGRPNFPGVYTRVSSFVPWIHKYVPSLL >ENSMUSP00000055994.6 pep:known chromosome:GRCm38:12:113185930:113189523:1 gene:ENSMUSG00000049036.7 transcript:ENSMUST00000058491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem121 description:transmembrane protein 121 [Source:MGI Symbol;Acc:MGI:1916445] MVLPPPDRRHVCLTTLVIMGSMAVMDAYLVEQNQGPRKIGVCIIVLVGDVCFLLVLRYVA VWVGAEVRTAKRGYAMILWFLYIFVLEIKLYFIFQNYKAARRGAADPVARKALTLLLSVC VPGLFLLLVALDRMEYVRTFRKREDLRGRLFWVALDLLDLLDMQANLWEPPRTGLPLWAE GLTFFYCYMLLLVLPCVALSEVSMQGEHIAPQKMMLYPVLSLATVNVVAVLARAANMALF RDSRVSAIFVGKNVVALATKACTFLEYRRQVRDFPPPALALELQPPPSQRNSVPPPPPLH GPPVRPHGPSPTRDALDT >ENSMUSP00000112617.1 pep:known chromosome:GRCm38:8:72814599:73353540:-1 gene:ENSMUSG00000004383.17 transcript:ENSMUST00000119826.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Large description:like-glycosyltransferase [Source:MGI Symbol;Acc:MGI:1342270] MLGICRGRRKFLAASLTLLCIPAITWIYLFAGSFEDGKPVSLSPLESQAHSPRYTASSQR ERESLEVRVREVEEENRALRRQLSLAQGQSPAHHRGNHSKTYSMEEGTGDSENLRAGIVA GNSSECGQQPAVEKCETIHVAIVCAGYNASRDVVTLVKSVLFHRRNPLHFHLIADSIAEQ ILATLFQTWMVPAVRVDFYNADELKSEVSWIPNKHYSGIYGLMKLVLTKTLPANLERVIV LDTDITFATDIAELWAVFHKFKGQQVLGLVENQSDWYLGNLWKNHRPWPALGRGYNTGVI LLLLDKLRKMKWEQMWRLTAERELMGMLSTSLADQDIFNAVIKQNPFLVYQLPCFWNVQL SDHTRSEQCYRDVSDLKVIHWNSPKKLRVKNKHVEFFRNLYLTFLEYDGNLLRRELFGCP SETDVNNENLQKQLSELDEDDLCYEFRRERFTVHRTHLYFLHYEFEPSADNTDVTLVAQL SMDRLQMLEAICKHWEGPISLALYLSDAEAQQFLRYAQGSEVLMSRQNVGYHIVYKEGQF YPVNLLRNVAMKHISTPYMFLSDIDFLPMYGLYEYLRKSVIQLDLANTKKAMIVPAFETL RYRLSFPKSKAELLSMLDMGTLFTFRYHVWTKGHAPTNFAKWRTATTPYQVEWEADFEPY VVVRRDCPEYDRRFVGFGWNKVAHIMELDAQEYEFTVLPNAYMIHMPHAPSFDITKFRSN KQYRICLKTLKEEFQQDMSRRYGFAALKYLTAENNS >ENSMUSP00000004497.9 pep:known chromosome:GRCm38:8:72814599:73352556:-1 gene:ENSMUSG00000004383.17 transcript:ENSMUST00000004497.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Large description:like-glycosyltransferase [Source:MGI Symbol;Acc:MGI:1342270] MLGICRGRRKFLAASLTLLCIPAITWIYLFAGSFEDGKPVSLSPLESQAHSPRYTASSQR ERESLEVRVREVEEENRALRRQLSLAQGQSPAHHRGNHSKTYSMEEGTGDSENLRAGIVA GNSSECGQQPAVEKCETIHVAIVCAGYNASRDVVTLVKSVLFHRRNPLHFHLIADSIAEQ ILATLFQTWMVPAVRVDFYNADELKSEVSWIPNKHYSGIYGLMKLVLTKTLPANLERVIV LDTDITFATDIAELWAVFHKFKGQQVLGLVENQSDWYLGNLWKNHRPWPALGRGYNTGVI LLLLDKLRKMKWEQMWRLTAERELMGMLSTSLADQDIFNAVIKQNPFLVYQLPCFWNVQL SDHTRSEQCYRDVSDLKVIHWNSPKKLRVKNKHVEFFRNLYLTFLEYDGNLLRRELFGCP SETDVNNENLQKQLSELDEDDLCYEFRRERFTVHRTHLYFLHYEFEPSADNTDVTLVAQL SMDRLQMLEAICKHWEGPISLALYLSDAEAQQFLRYAQGSEVLMSRQNVGYHIVYKEGQF YPVNLLRNVAMKHISTPYMFLSDIDFLPMYGLYEYLRKSVIQLDLANTKKAMIVPAFETL RYRLSFPKSKAELLSMLDMGTLFTFRYHVWTKGHAPTNFAKWRTATTPYQVEWEADFEPY VVVRRDCPEYDRRFVGFGWNKVAHIMELDAQEYEFTVLPNAYMIHMPHAPSFDITKFRSN KQYRICLKTLKEEFQQDMSRRYGFAALKYLTAENNS >ENSMUSP00000056358.6 pep:known chromosome:GRCm38:9:109139447:109162041:-1 gene:ENSMUSG00000047237.13 transcript:ENSMUST00000054925.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw21 description:F-box and WD-40 domain protein 21 [Source:MGI Symbol;Acc:MGI:2443323] MEIHLPRLPLMEIFSYLDAYSLLQVAQVNKNWNELASSDVLWRKLCQKRWFYCNMVTQQL LGKETWKEFFIYRTWQEHAKSRAKPEDFIYKEIPAEYGIQAYACYISEHGLTRNGQGRSV ICMATSMNRISTWDIHEGVLTWVSPEQPASIKLLTTLPEMYIAVTVDMESTIKLWDCHNS EALATNSLISPCQSLKAVITKDGPIVLIGDTLGNLNIFRIPDLYHITRLKVFPYGISELY CSPQKKWIFLNRKHPHILPKVFYMSSLLRTSEFSAPVSTDLKFSLCQRAFWTPRREDRIT LMSIHGPKKIKKFITFDMELEKIGNKITVKEHFFASFSLQNYEERPEWYGVSDKDVIVCS TRFSLLLFDINGHCLQAFQYCPEQILRLWVDPLHVIVSCNDGFLDVYAWEERSQQLNKCY RLQYSKHLPSSGLINKTLSDDVSIIQVITIRTTPCFLMAFILKSALEN >ENSMUSP00000143095.1 pep:known chromosome:GRCm38:9:109142363:109162021:-1 gene:ENSMUSG00000047237.13 transcript:ENSMUST00000198076.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw21 description:F-box and WD-40 domain protein 21 [Source:MGI Symbol;Acc:MGI:2443323] MEIHLPRLPLMEIFSYLDAYSLLQVAQVNKNWNELASSDVLWRKLCQKRWFYCNMVTQQL LGKETWKEFFIYRTWQEHAKSRAKPEDFIYKEIPAEYGIQAYACYISEHGLTRNGQGRSV ICMATSMNRISTWDIHEGVLTWVSPEQPASIKLLTTLPEMYIAVTVDMESTIKLWDCHNS EALATNSLISPCQSLKAVITKDGPIVLIGDTLGNLNIFRIPDLYHITRLKVFPYGISELY CSPQKKWIFLNRKHPHILPKVFYMSSLLRTSEFSAPVSTDLKFSLCQRAFWTPRREDRIT LMSIHGPKKIKKFITFDMELEKIGNKITVKEHFFASFSLQNYEERPEWYGVSDKDVIVCS TRFSLLLFDINGHCLQAFQYCPEQILRLWVDPLHVIVSCNDGFLDVYAWEERSQQLNKCY RLQYSKHLPSSG >ENSMUSP00000143200.1 pep:known chromosome:GRCm38:9:109148169:109162020:-1 gene:ENSMUSG00000047237.13 transcript:ENSMUST00000199540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw21 description:F-box and WD-40 domain protein 21 [Source:MGI Symbol;Acc:MGI:2443323] MEIHLPRLPLMEIFSYLDAYSLLQVAQVNKNWNELASSDVLWRKLCQKRWFYCNMVTQQL LGKETWKEFFIYRTWQEHAKSRAKPEDFIYKEIPAEYGCFDLGKPRTAGKYQAVDYP >ENSMUSP00000009358.2 pep:known chromosome:GRCm38:2:27061636:27072179:-1 gene:ENSMUSG00000009214.9 transcript:ENSMUST00000009358.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem8c description:transmembrane protein 8C [Source:MGI Symbol;Acc:MGI:1913389] MGTVVAKLLLPTLSSLAFLPTVSIATKRRFYMEAMVYLFTMFFVAFSHACDGPGLSVLCF MRRDILEYFSIYGTALSMWVSLMALADFDEPQRSTFTMLGVLTIAVRTFHDRWGYGVYSG PIGTATLIIAVKWLKKMKEKKGLYPDKSIYTQQIGPGLCFGALALMLRFFFEEWDYTYVH SFYHCALAMSFVLLLPKVNKKAGNAGAPAKLTFSTLCCTCV >ENSMUSP00000128491.1 pep:known chromosome:GRCm38:2:27061638:27067868:-1 gene:ENSMUSG00000009214.9 transcript:ENSMUST00000163967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem8c description:transmembrane protein 8C [Source:MGI Symbol;Acc:MGI:1913389] MGKGFSHACDGPGLSVLCFMRRDILEYFSIYGTALSMWVSLMALADFDEPQRSTFTMLGV LTIAVRTFHDRWGYGVYSGPIGTATLIIAVKWLKKMKEKKGLYPDKSIYTQQIGPGLCFG ALALMLRFFFEEWDYTYVHSFYHCALAMSFVLLLPKVNKKAGNAGAPAKLTFSTLCCTCV >ENSMUSP00000141066.1 pep:known chromosome:GRCm38:15:63824346:63845177:-1 gene:ENSMUSG00000056293.12 transcript:ENSMUST00000188404.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmc2 description:gasdermin C2 [Source:MGI Symbol;Acc:MGI:2146102] MGYSFDRASKDVVKKLQGRDLRPVECLSDATKFRLFHILQETPRSGWETEDIPVGFTLLD LLEPNFPVPEPEVSAPKPFIHVQSTDLEANLNVADIARGGVGYVGYGGYNIEVQSTSIPN PKLEILQNRKLLDNLPTFMKFCRMERKNLYVVTEAYEVSKDTMLTGLSSVNLSVKGFFKQ LFKVRGKAGRSEKYSIPIPKGSVLAYKKQQLVIENNTCVILPSATKKKMTFPGTPKYASA SEPTEIYRTELQGLWINDIVPIGRIQEPAHLDFMCLQNEVYKQTEQLAELSKGVQEVVLS SILSMLYEGDRKVLYDLMNMLELNQLGHMDGPGGKILDELRKDSSNPCVDLKDLILYLLQ ALMVLSDSQLNLLAQSVEMGILPHQVELVKSILQPNFKYPWNIPFTLQPQLLAPLQGEGL AITYELLEECGLKMELNNPRSTWDLEAKMPLSALYGSLSFLQQLRKANSSSKPSLRPGYI >ENSMUSP00000140487.1 pep:known chromosome:GRCm38:15:63824482:63845162:-1 gene:ENSMUSG00000056293.12 transcript:ENSMUST00000188691.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmc2 description:gasdermin C2 [Source:MGI Symbol;Acc:MGI:2146102] MGYSFDRASKDVVKKLQGRDLRPVECLSDATKFRLFHILQETPRSGWETEDIPVGFTLLD LLEPNFPVPEPEVSAPKPFIHVQSTDLEANLNVADIARGGVGYVGYGGYNIEVQSTSIPN PKLEILQNRKLLDNLPTFMKFCRMERKNLYVVTEAYEVSKDTMLTGLSSVNLSVKGFFKQ LFKVRGKAGRSEKYSIPIPKGSVLAYKKQQLVIENNTCVILPSATKKKMTFPGTPKYASA SEPTEIYRTELQGLWINDIVPIGRIQEPAHLDFMCLQNEVYKQTEQLAELSKGVQEVVLS SILSMLYEGDRKVLYDLMNMLELNQLGHMDGPGGKILDELRKDSSNPCVDLKDLILYLLQ ALMVLSDSQLNLLAQSVEMGILPHQVELVKSILQPNFKYPWNIPFTLQPQLLAPLQGEGL AITYELLEECGLKMELNNPRSTWDLEAKMPLSALYGSLSFLQQLRKANSSSKPSLRPGYI >ENSMUSP00000087344.3 pep:known chromosome:GRCm38:15:63824346:63835949:-1 gene:ENSMUSG00000056293.12 transcript:ENSMUST00000089900.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmc2 description:gasdermin C2 [Source:MGI Symbol;Acc:MGI:2146102] MGYSFDRASKDVVKKLQGRDLRPVECLSDATKFRLFHILQETPRSGWETEDIPVGFTLLD LLEPNFPVPEPEVSAPKPFIHVQSTDLEANLNVADIARGGVGYVGYGGYNIEVQSTSIPN PKLEILQNRKLLDNLPTFMKFCRMERKNLYVVTEAYEVSKDTMLTGLSSVNLSVKGFFKQ LFKVRGKAGRSEKYSIPIPKGSVLAYKKQQLVIENNTCVILPSATKKKMTFPGTPKYASA SEPTEIYRTELQGLWINDIVPIGRIQEPAHLDFMCLQNEVYKQTEQLAELSKGVQEVVLS SILSMLYEGDRKVLYDLMNMLELNQLGHMDGPGGKILDELRKDSSNPCVDLKDLILYLLQ ALMVLSDSQLNLLAQSVEMGILPHQVELVKSILQPNFKYPWNIPFTLQPQLLAPLQGEGL AITYELLEECGLKMELNNPRSTWDLEAKMPLSALYGSLSFLQQLRKANSSSKPSLRPGYI >ENSMUSP00000134969.1 pep:known chromosome:GRCm38:11:18879817:19018985:-1 gene:ENSMUSG00000020160.18 transcript:ENSMUST00000144988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis1 description:Meis homeobox 1 [Source:MGI Symbol;Acc:MGI:104717] MAQRYDDLPHYGGMDGVGIPSTMYGDPHAARSMQPVHHLNHGPPLHSHQYPHTAHTNAMA PSMGSSVNDALKRDKDAIYGHPLFPLLALIFEKCELATCTPREPGVAGGDVCSSESFNED IAVFAKQIRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGK MPIDLVIDDREGGSKSDSEDVTRSANLTDQPSWNRDHDDTASTRSGGTPGPSSGGHTSHS GDNSSEQGDGLDNSVASPSTGDDDDPDKDKKRHKKRGIFPKVATNIMRAWLFQHLTHPYP SEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAGKSPLVTVFKSGKRKASSS HSPGGLLPGK >ENSMUSP00000099942.4 pep:known chromosome:GRCm38:11:18880428:19018714:-1 gene:ENSMUSG00000020160.18 transcript:ENSMUST00000102878.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Meis1 description:Meis homeobox 1 [Source:MGI Symbol;Acc:MGI:104717] MAQRYDDLPHYGGMDGVGIPSTMYGDPHAARSMQPVHHLNHGPPLHSHQYPHTAHTNAMA PSMGSSVNDALKRDKDAIYGHPLFPLLALIFEKCELATCTPREPGVAGGDVCSSESFNED IAVFAKQIRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGK MPIDLVIDDREGGSKSDSEDVTRSANLTDQPSWNRDHDDTASTRSGGTPGPSSGGHTSHS GDNSSEQGDGLDNSVASPSTGDDDDPDKDKKRHKKRGIFPKVATNIMRAWLFQHLTHPYP SEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAGNQPRDTL >ENSMUSP00000069277.7 pep:known chromosome:GRCm38:11:18880662:19018958:-1 gene:ENSMUSG00000020160.18 transcript:ENSMUST00000068264.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis1 description:Meis homeobox 1 [Source:MGI Symbol;Acc:MGI:104717] MAQRYDDLPHYGGMDGVGIPSTMYGDPHAARSMQPVHHLNHGPPLHSHQYPHTAHTNAMA PSMGSSVNDALKRDKDAIYGHPLFPLLALIFEKCELATCTPREPGVAGGDVCSSESFNED IAVFAKQIRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGK MPIDLVIDDREGGSKSDSEDVTRSANLTDQPSWNRDHDDTASTRSGGTPGPSSGGHTSHS GDNSSEQGDGLDNSVASPSTGDDDDPDKDKKRHKKRGIFPKVATNIMRAWLFQHLTHPYP SEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAVSQGTPYNPDGQPMGGFVM DGQQHMGIRAPGPMSGMGMNMGMEGQWHYM >ENSMUSP00000135726.1 pep:known chromosome:GRCm38:11:18880675:19018714:-1 gene:ENSMUSG00000020160.18 transcript:ENSMUST00000177417.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis1 description:Meis homeobox 1 [Source:MGI Symbol;Acc:MGI:104717] MAQRYDDLPHYGGMDGVGIPSTMYGDPHAARSMQPVHHLNHGPPLHSHQYPHTAHTNAMA PSMGSSVNDALKRDKDAIYGHPLFPLLALIFEKCELATCTPREPGVAGGDVCSSESFNED IAVFAKQIRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGK MPIDLVIDDREGGSKSDSEDVTRSANLTDQPSWNRDHDDTASTRSGGTPGPSSGGHTSHS GDNSSEQGDGLDNSVASPSTGDDDDPDKDKKRHKKRGIFPKVATNIMRAWLFQHLTHPYP SEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAVSQGTPYNPDGQPMGGFVM DGQQHMGIRAPGKTFFFFGMVLFHV >ENSMUSP00000139074.1 pep:known chromosome:GRCm38:11:18881360:19016289:-1 gene:ENSMUSG00000020160.18 transcript:ENSMUST00000177357.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Meis1 description:Meis homeobox 1 [Source:MGI Symbol;Acc:MGI:104717] XAARSMQPVHHLNHGPPLHSHQYPHTAHTNAMAPSMGSSVNDALKRDKDAIYGHPLFPLL ALIFEKCELATCTPREPGVAGGDVCSSESFNEDIAVFAKQIRAEKPLFSSNPELDNLMIQ AIQVLRFHLLELEKVHELCDNFCHRYISCLKGKMPIDLVIDDREGGSKSDSEDVTRSANL TDQPSWNRDHDDTASTRSGGTPGPSSGGHTSHSGDNSSEQAPLPF >ENSMUSP00000139219.1 pep:known chromosome:GRCm38:11:18880428:19018969:-1 gene:ENSMUSG00000020160.18 transcript:ENSMUST00000185131.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis1 description:Meis homeobox 1 [Source:MGI Symbol;Acc:MGI:104717] MAQRYDDLPHYGGMDGVGIPSTMYGDPHAARSMQPVHHLNHGPPLHSHQYPHTAHTNAMA PSMGSSVNDALKRDKDAIYGHPLFPLLALIFEKCELATCTPREPGVAGGDVCSSESFNED IAVFAKQIRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGK MPIDLVIDDREGGSKSDSEDVTRSANLTDQPSWNRDHDDTASTRSGGTPGPSSGGHTSHS GDNSSEQGDGLDNSVASPSTGDDDDPDKDKKRHKKRGIFPKVATNIMRAWLFQHLTHPYP SEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAVSQGTPYNPDGQPMGGFVM DGQQHMGIRAPGLQSMPGEYVARGGPMGVSMGQPSYTQAQMPPHPAQLRHGPPMHTYIPG HPHHPAVMMHGGQPHPGMPMSASSPSVLNTGDPTMSAQVMDIHAQ >ENSMUSP00000041113.7 pep:known chromosome:GRCm38:16:11074911:11134650:-1 gene:ENSMUSG00000022498.16 transcript:ENSMUST00000038424.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc11 description:thioredoxin domain containing 11 [Source:MGI Symbol;Acc:MGI:1923620] MSECGGRGGGGGNNSEDAEDEGGGPKGSGSLSPAGAAASLEGRIRRGLRGASLMARQRPE LLCGAVALGCALLFALKFTCSRAKDVIIPAKPPVSFFSSRSPVLDLFQGQLDYADHVRQD SEVVVLFFYAPWCGQSIAARAEIEQAASRLSDQVLFVAINCWWNQGKCRKQKHFFYFPVI HLYHRSFGPIEYKGPMSAVYIEKFVRRAMKPLLYIPSQSALLDFLSSYEPGVLGYFEFSG SPQPPGYLTFFTSALHSLKKDYLGTVRFGVITDKHLARLVSLVHSGSVYLHRHFNTSLVF PREVMNFTAENIYKWASENQETLFRWLQPHGGKSLLLNNELKKGPALFLFIPFDPLAERH PLLDEITEVALEYNNCHGDQVVERLLQHLRRVEAPVLQSLAPELPASLPDTQLMAASPCC NTVVLPQGPALSRTHNVCELCVNQTVGGTRPSSVSVPQCSFFEMAAALDSFYLKEQTFYH VVSGSIECSNFLTSYSPFSYYTACCRTISRGMASFTGSEQNVLTAPAIEFSSLEKSCEAT APSSIPHIEENRYRFPQVGLTSTAFTGLSCRTNKTLNIYLLDSNLFWLYAERLGAPSSAP VKEFATIVDVKEESHYILDPKQALMKFTLESFIQNFSVLYSPLKRHLTGSDSAQFPTQHL ITEVTTDTFWEVTLRKQDVLLLYYTQWCGFCPSLNHIFIQLARLLPEDTFTVARIDVSQN DLPWEFMVDRLPTVLFFPCNRKDLSVKYPGDLPITLPNLLRFILHHSDAASAPQDPGISP PTQDCVQSKAVLQREHISHVENAMQKLRSEMSSLRRTQEQVEGRLLSARRDGHRLLRRQR TLEQQHRLLRRHSQKLQALYLKKARELQELARASGTPLPEHTWLKILVATMERELEGQGG AKEPAPLGKARPNHSKSMGTTQLPGDTPPPSTTSSTLASETKHENRTD >ENSMUSP00000113432.1 pep:known chromosome:GRCm38:16:11085519:11134611:-1 gene:ENSMUSG00000022498.16 transcript:ENSMUST00000118362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc11 description:thioredoxin domain containing 11 [Source:MGI Symbol;Acc:MGI:1923620] MSECGGRGGGGGNNSEDAEDEGGGPKGSGSLSPAGAAASLEGRIRRGLRGASLMARQRPE LLCGAVALGCALLFALKFTCSRAKDVIIPAKPPVSFFSSRSPVLDLFQGQLDYADHVRQD SEVVVLFFYAPWCGQSIAARAEIEQAASRLSDQVLFVAINCWWNQGKCRKQKHFFYFPVI HLYHRSFGPIEYKGPMSAVYIEKFVRRAMKPLLYIPSQSALLDFLSSYEPGVLGYFEFSG SPQPPGYLTFFTSALHSLKKDYLGTVRFGVITDKHLARLVSLVHSGSVYLHRHFNTSLVF PREVMNFTAENIYKWASENQETLFRWLQPHGGKSLLLNNELKKGPALFLFIPFDPLAERH PLLDEITEVALEYNNCHGDQVVERLLQHLRRVEAPVLQSLAPELPASLPDTQLMAASPCC NTVVLPQGPALSRTHNVCELCVNQTVGGTRPSSVSVPQCSFFEMAAALDSFYLKEQTFYH VVSGSIECSNFLTSYSPFSYYTACCRTISRGMASFTGSEQNVLTAPAIEFSSLEKSCEAT APSSIPHIEENRYRFPQVGLTSTAFTGLSCRTNKTLNIYLLDSNLFWLYAERLGAPSSAP VKEFATIVDVKEESHYILDPKQALMKFTLGTVGGLPSSA >ENSMUSP00000112601.1 pep:known chromosome:GRCm38:16:11114063:11134613:-1 gene:ENSMUSG00000022498.16 transcript:ENSMUST00000118679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc11 description:thioredoxin domain containing 11 [Source:MGI Symbol;Acc:MGI:1923620] MSECGGRGGGGGNNSEDAEDEGGGPKGSGSLSPAGAAASLEGRIRRGLRGASLMARQRPE LLCGAVALGCALLFALKFTCSRAKDVIIPAKPPVSFFSSRSPVLDLFQGQLDYADHVRQD SEVVVLFFYAPWCGQSIAARAEIEQAASRLSDQVLFVAINCWWNQGKCRKQKHFFYFPVI HLYHRSFGPIEYKGPMSAVYIEKFVRRAMKPLLYIPSQSALLDFLSSYEVPVFLIACSQG FSLARTGLFVLSEF >ENSMUSP00000117426.1 pep:known chromosome:GRCm38:16:11105957:11134650:-1 gene:ENSMUSG00000022498.16 transcript:ENSMUST00000147884.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Txndc11 description:thioredoxin domain containing 11 [Source:MGI Symbol;Acc:MGI:1923620] MSECGGRGGGGGNNSEDAEDEGGGPKGSGSLSPAGAAASLEGRIRRGLRGASLMARQRPE LLCGAVALGCALLFALKFTCRVEQKT >ENSMUSP00000116563.1 pep:known chromosome:GRCm38:16:11087235:11134573:-1 gene:ENSMUSG00000022498.16 transcript:ENSMUST00000145225.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Txndc11 description:thioredoxin domain containing 11 [Source:MGI Symbol;Acc:MGI:1923620] MSECGGRGGGGGNNSEDAEDEGGGPKGSGSLSPAGAAASLEGRIRRGLRGASLMARQRPE LLCGAVALGCALLFALKFTCSRAKDVIIPAKPPVSFFSSRSPVLDLFQGQLDYADHVRQD SEVVVLFFYAPWCGQSIAARAEIEQAASRLSDQVLFVAINCWWNQGKCRKQKHFFYFPVI HLYHRSFGPIEYKGPMSAVYIEKFVRRAMKPLLYIPSQSALLDFLSSYEPGVLGYFEFSG SPQPPGYLTFFTSALHSLKKGLPQGSHELHS >ENSMUSP00000114777.1 pep:known chromosome:GRCm38:16:11104611:11134553:-1 gene:ENSMUSG00000022498.16 transcript:ENSMUST00000155221.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Txndc11 description:thioredoxin domain containing 11 [Source:MGI Symbol;Acc:MGI:1923620] MSECGGRGGGGGNNSEDAEDEGGGPKGSGSLSPAGAAASLEGRIRRGLRGASLMARQRPE LLCGAVALGCALLFALKFTCRCCLWQ >ENSMUSP00000124898.1 pep:known chromosome:GRCm38:16:16213318:16227287:1 gene:ENSMUSG00000041957.15 transcript:ENSMUST00000162150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp2 description:plakophilin 2 [Source:MGI Symbol;Acc:MGI:1914701] MAVPGSLAECGYIRTVLGQQILGHLDSSSLALPSEARLRLAGSSGRGDPAARSQRIQEQV QQTLARRGRSSAVSGNLHRTSSVPEYVYKLHVVENDFVGRQSPVTRDYDMLKAGMTATYG SRWGRAAAQYSSQKSVEERSWRQPLRRLEISPDSSPERAHYGHSEYQYAWRSHVVPGGRL TLPRYARSEILGLRQAGTARRPPGCGSFSDAVFDNGPLKPTMPTHPPGTSHSAGSLLEET TVRVSQARLQSTQSRTARSSWPRSSVRSSLREPGRMLTTAGQAAVGSGDAHGDRSVFADA QLG >ENSMUSP00000125219.1 pep:known chromosome:GRCm38:16:16213318:16248489:1 gene:ENSMUSG00000041957.15 transcript:ENSMUST00000161342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp2 description:plakophilin 2 [Source:MGI Symbol;Acc:MGI:1914701] MAVPGSLAECGYIRTVLGQQILGHLDSSSLALPSEARLRLAGSSGRGDPAARSQRIQEQV QQTLARRGRSSAVSGNLHRTSSVPEYVYKLHVVENDFVGRQSPVTRDYDMLKAGMTATYG SRWGRAAAQYSSQKSVEERSWRQPLRRLEISPDSSPERAHYGHSEYQYAWRSHVVPGGRL TLPRYARSEILGLRQAGTARRPPGCGSFSDAVFDNGPLKPTMPTHPPGTSHSAGSLLEET TVRVSQARLQSTQSRTARSSWPRSSVRSSLREPGRMLTTAGQAAVGSGDAHGDRSVFADA QLGNADIEMTLERAVNMLDADHVPVSKISAAATFIQHESFQKSEARKRVNQLRGIPKLLQ LLKLQNEDVQRAACGALRNLVFEDNDNKLEVAELNGVPRLLQVLKQTRDLETKKQITGLL WNLSSSDKLKHLMITEALLTLTESVIIPFSGWPEGDYPKANGLLDFDIFYNVTGCLR >ENSMUSP00000036890.2 pep:known chromosome:GRCm38:16:16213318:16272712:1 gene:ENSMUSG00000041957.15 transcript:ENSMUST00000039408.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp2 description:plakophilin 2 [Source:MGI Symbol;Acc:MGI:1914701] MAVPGSLAECGYIRTVLGQQILGHLDSSSLALPSEARLRLAGSSGRGDPAARSQRIQEQV QQTLARRGRSSAVSGNLHRTSSVPEYVYKLHVVENDFVGRQSPVTRDYDMLKAGMTATYG SRWGRAAAQYSSQKSVEERSWRQPLRRLEISPDSSPERAHYGHSEYQYAWRSHVVPGGRL TLPRYARSEILGLRQAGTARRPPGCGSFSDAVFDNGPLKPTMPTHPPGTSHSAGSLLEET TVRVSQARLQSTQSRTARSSWPRSSVRSSLREPGRMLTTAGQAAVGSGDAHGDRSVFADA QLGNADIEMTLERAVNMLDADHVPVSKISAAATFIQHESFQKSEARKRVNQLRGIPKLLQ LLKLQNEDVQRAACGALRNLVFEDNDNKLEVAELNGVPRLLQVLKQTRDLETKKQITGLL WNLSSSDKLKHLMITEALLTLTESVIIPFSGWPEGDYPKANGLLDFDIFYNVTGCLRNMS SAGPDGRKMMRRCDGLIDSLVHYVRGTIADYQPDDKATENCVCILHNLSYQLEAELPEKY SQSIYMQNRNIQTNSNKSIGCFGSRSRKLKEQYQDLQMPEERSNPHGIEWLWHSIVIRMY LSLIAKSTRNYTQEASLGALQNLTAGGGPIPTLVARMVVQKENGLQHTRKMLHVGDPSVK KTAVSLLRNLSRNLSLQNEIAKETLPDLVSIIPDTVPSTDLLIETTASACYTLNNLMQNS YQNARDLLNTGGLQKIMTISIGEGYAPNKASKAASVLLYSLWAHTELHHAYKKAQFKKTD FVNSRTAKAYHSLKD >ENSMUSP00000112947.1 pep:known chromosome:GRCm38:1:58430994:58445429:-1 gene:ENSMUSG00000026035.15 transcript:ENSMUST00000117069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil3 description:peptidylprolyl isomerase (cyclophilin)-like 3 [Source:MGI Symbol;Acc:MGI:1917475] MSVTLHTDVGDIKIEVFCERTPKTCENFLALCASNYYNGCVFHRNIKGFMVQTGDPTGTG RGGSSIWAKKFEDEYSEYLKHNVRGVVSMANNGPNTNGSQFFITYGKQPHLDMKYTVFGK VIDGLETLDELEKLPVNEKTYRPLNDVHIKDITIHANPFAQ >ENSMUSP00000109988.1 pep:known chromosome:GRCm38:1:58430994:58445434:-1 gene:ENSMUSG00000026035.15 transcript:ENSMUST00000114348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil3 description:peptidylprolyl isomerase (cyclophilin)-like 3 [Source:MGI Symbol;Acc:MGI:1917475] MSVTLHTDVGDIKIEVFCERTPKTCENFLALCASNYYNGCVFHRNIKGFMVQTGDPTGTG RGGSSIWAKKFEDEYSEYLKHNVRGVVSMANNGPNTNGSQFFITYGKQPHLDMKYTVFGK VIDGLETLDELEKLPVNEKTYRPLNDVHIKDITIHANPFAQ >ENSMUSP00000080378.5 pep:known chromosome:GRCm38:1:58430997:58445486:-1 gene:ENSMUSG00000026035.15 transcript:ENSMUST00000081677.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil3 description:peptidylprolyl isomerase (cyclophilin)-like 3 [Source:MGI Symbol;Acc:MGI:1917475] MSVTLHTDVGDIKIEVFCERTPKTCENFLALCASNYYNGCVFHRNIKGFMVQTGDPTGTG RGGSSIWAKKFEDEYSEYLKHNVRGVVSMANNGPNTNGSQFFITYGKQPHLDMKYTVFGK VIDGLETLDELEKLPVNEKTYRPLNDVHIKDITIHANPFAQ >ENSMUSP00000109984.2 pep:known chromosome:GRCm38:1:58433787:58445369:-1 gene:ENSMUSG00000026035.15 transcript:ENSMUST00000114345.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil3 description:peptidylprolyl isomerase (cyclophilin)-like 3 [Source:MGI Symbol;Acc:MGI:1917475] MSVTLHTDVGDIKIEVFCERTPKTCENFLALCASNYYNGCVFHRNIKGFMVQTGDPTGTG RGGSSIWAKKFEDEYSEYLKHNVRGVVSMANNGPNTNGSQFFITYGKQPHLDMKYTVFGK >ENSMUSP00000139617.1 pep:known chromosome:GRCm38:1:58434415:58445439:-1 gene:ENSMUSG00000026035.15 transcript:ENSMUST00000190048.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil3 description:peptidylprolyl isomerase (cyclophilin)-like 3 [Source:MGI Symbol;Acc:MGI:1917475] MSVTLHTDVGDIKIEVFCERTPKTCENFLALCASNYYNGCVFHRNIKGFMVQTGDPTGTG RGGSSIWAKKFEDEYSEYLKHNVRGVVSMAN >ENSMUSP00000139979.1 pep:known chromosome:GRCm38:1:58434419:58445482:-1 gene:ENSMUSG00000026035.15 transcript:ENSMUST00000185990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil3 description:peptidylprolyl isomerase (cyclophilin)-like 3 [Source:MGI Symbol;Acc:MGI:1917475] MSVTLHTDVGDIKIEVFCERTPKTCENFLALCASNYYNGCVFHRNIKGFMVQTGDPTGTG RGGSSIWAKKFEDEYSEYLKHNVRGVVSMA >ENSMUSP00000050061.7 pep:known chromosome:GRCm38:7:107748455:107758032:-1 gene:ENSMUSG00000048065.8 transcript:ENSMUST00000052438.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5r2 description:cytochrome b5 reductase 2 [Source:MGI Symbol;Acc:MGI:2444415] MSVKKKDLITLQDPEAKYPLPLIEKEQISHNTRRFRFGLPSPDHVLGLPVGNYVHLLAQI NNELVIRAYTPVSSDDDQGFVDLIIKIYFKNVHPKYPEGGKMTQYLENMKIGDTILFRGP TGRLFYNEPGCQTRAAEVKNIFIFLGTLLIKANKTSEPEKKLVHHLGMIAGGTGITPMLQ LIRHITKDTSDETRMSLLFANQTEEDILLRKELEEVATTHHKQFNLWYTLDRPPSDWKYS SGFVSADMIKEHLPPPGEDTLILVCGPPPLIQAAAHPSLEQLSYTKDMIFIY >ENSMUSP00000146504.1 pep:known chromosome:GRCm38:7:107749965:107757996:-1 gene:ENSMUSG00000048065.8 transcript:ENSMUST00000208217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5r2 description:cytochrome b5 reductase 2 [Source:MGI Symbol;Acc:MGI:2444415] MSVKKKDLITLQDPEAKYPLPLIEKEQISHNTRRFRFGLPSPDHVLGLPVGNYVHLLAQI NNELVIRAYTPVSSDDDQGFVDLIIKIYFKNVHPKYPEGGKMTQYLENMKIGDTILFRGP TGRLFYNEPGTLLIKANKTSEPEKKLVHHLGMIAGGTGITPMLQLIRHITKDTSDETRMS LLFANQTEEDILLRKELEEVATTHHKQFNLWYTLDRPPSDWKYSSGFVSADMIKEHLPPP GEDTLILVCGPPPLIQAAAHPSLEQLSYTKDMIFIY >ENSMUSP00000099933.1 pep:known chromosome:GRCm38:11:23256078:23298249:1 gene:ENSMUSG00000020290.14 transcript:ENSMUST00000102869.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo1 description:exportin 1, CRM1 homolog (yeast) [Source:MGI Symbol;Acc:MGI:2144013] MPAIMTMLADHAARQLLDFSQKLDINLLDNVVNCLYHGEGAQQRMAQEVLTHLKEHPDAW TRVDTILEFSQNMNTKYYGLQILENVIKTRWKILPRNQCEGIKKYVVGLIIKTSSDPTCV EKEKVYIGKLNMILVQILKQEWPKHWPTFISDIVGASRTSESLCQNNMVILKLLSEEVFD FSSGQITQVKAKHLKDSMCNEFSQIFQLCQFVMENSQNAPLVHATLETLLRFLNWIPLGY IFETKLISTLIYKFLNVPMFRNVSLKCLTEIAGVSVSQYEEQFETLFTLTMMQLKQMLPL NTNIRLAYSNGKDDEQNFIQNLSLFLCTFLKEHGQLLEKRLNLREALMEALHYMLLVSEV EETEIFKICLEYWNHLAAELYRESPFSTSASPLLSGSQHFDIPPRRQLYLTVLSKVRLLM VSRMAKPEEVLVVENDQGEVVREFMKDTDSINLYKNMRETLVYLTHLDYVDTEIIMTKKL QNQVNGTEWSWKNLNTLCWAIGSISGAMHEEDEKRFLVTVIKDLLGLCEQKRGKDNKAII ASNIMYIVGQYPRFLRAHWKFLKTVVNKLFEFMHETHDGVQDMACDTFIKIAQKCRRHFV QVQVGEVMPFIDEILNNINTIICDLQPQQVHTFYEAVGYMIGAQTDQTVQEHLIEKYMLL PNQVWDSIIQQATKNVDILKDPETVKQLGSILKTNVRACKAVGHPFVIQLGRIYLDMLNV YKCLSENISAAIQANGEMVTKQPLIRSMRTVKRETLKLISGWVSRSNDPQMVAENFVPPL LDAVLIDYQRNVPAAREPEVLSTMAIIVNKLGGHITAEIPQIFDAVFECTLNMINKDFEE YPEHRTNFFLLLQAVNSHCFPAFLAIPPAQFKLVLDSIIWAFKHTMRNVADTGLQILFTL LQNVAQEEAAAQSFYQTYFCDILQHIFSVVTDTSHTAGLTMHASILAYMFNLVEEGKIST PLNPGNPVNNQMFIQDYVANLLKSAFPHLQDAQVKLFVTGLFSLNQDIPAFKEHLRDFLV QIKEFAGEDTSDLFLEERETALRQAQEEKHKLQMSVPGILNPHEIPEEMCD >ENSMUSP00000099934.1 pep:known chromosome:GRCm38:11:23256055:23296233:1 gene:ENSMUSG00000020290.14 transcript:ENSMUST00000102870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo1 description:exportin 1, CRM1 homolog (yeast) [Source:MGI Symbol;Acc:MGI:2144013] MPAIMTMLADHAARQLLDFSQKLDINLLDNVVNCLYHGEGAQQRMAQEVLTHLKEHPDAW TRVDTILEFSQNMNTKYYGLQILENVIKTRWKILPRNQCEGIKKYVVGLIIKTSSDPTCV EKEKVYIGKLNMILVQILKQEWPKHWPTFISDIVGASRTSESLCQNNMVILKLLSEEVFD FSSGQITQVKAKHLKDSMCNEFSQIFQLCQFVMENSQNAPLVHATLETLLRFLNWIPLGY IFETKLISTLIYKFLNVPMFRNVSLKCLTEIAGVSVSQYEEQFETLFTLTMMQLKQMLPL NTNIRLAYSNGKDDEQNFIQNLSLFLCTFLKEHGQLLEKRLNLREALMEALHYMLLVSEV EETEIFKICLEYWNHLAAELYRESPFSTSASPLLSGSQHFDIPPRRQLYLTVLSKVRLLM VSRMAKPEEVLVVENDQGEVVREFMKDTDSINLYKNMRETLVYLTHLDYVDTEIIMTKKL QNQVNGTEWSWKNLNTLCWAIGSISGAMHEEDEKRFLVTVIKDLLGLCEQKRGKDNKAII ASNIMYIVGQYPRFLRAHWKFLKTVVNKLFEFMHETHDGVQDMACDTFIKIAQKCRRHFV QVQVGEVMPFIDEILNNINTIICDLQPQQVHTFYEAVGYMIGAQTDQTVQEHLIEKYMLL PNQVWDSIIQQATKNVDILKDPETVKQLGSILKTNVRACKAVGHPFVIQLGRIYLDMLNV YKCLSENISAAIQANGEMVTKQPLIRSMRTVKRETLKLISGWVSRSNDPQMVAENFVPPL LDAVLIDYQRNVPAAREPEVLSTMAIIVNKLGGHITAEIPQIFDAVFECTLNMINKDFEE YPEHRTNFFLLLQAVNSHCFPAFLAIPPAQFKLVLDSIIWAFKHTMRNVADTGLQILFTL LQNVAQEEAAAQSFYQTYFCDILQHIFSVVTDTSHTAGLTMHASILAYMFNLVEEGKIST PLNPGNPVNNQMFIQDYVANLLKSAFPHLQDAQVKLFVTGLFSLNQDIPAFKEHLRDFLV QIKEFAGEDTSDLFLEERETALRQAQEEKHKLQMSVPGILNPHEIPEEMCD >ENSMUSP00000117846.1 pep:known chromosome:GRCm38:11:23284644:23287451:1 gene:ENSMUSG00000020290.14 transcript:ENSMUST00000150750.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo1 description:exportin 1, CRM1 homolog (yeast) [Source:MGI Symbol;Acc:MGI:2144013] XNTLCWAIGSISGAMHEEDEKRFLVTVIKDLLGLCEQKRGKDNKAIIASNIMYIVGQYPR FLRAHWKFLKTVVNKLFEFMHETHDGVQDMACDTFIKIAQKCRRHFVQVQVGEVMPFIDE ILNNINTIICDLQPQQNVDILKDPETVKQLGSILKTNVRACKAVGHPFVIQLGRIYLDML NVYKCLSENISAAIQANGEMVTKQPLIRSMRTVKRETLKLISGWVSRSNDPQM >ENSMUSP00000123634.1 pep:known chromosome:GRCm38:11:23256589:23267714:1 gene:ENSMUSG00000020290.14 transcript:ENSMUST00000136235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo1 description:exportin 1, CRM1 homolog (yeast) [Source:MGI Symbol;Acc:MGI:2144013] MPAIMTMLADHAARQLLDFSQKLDINLLDNVVNCLYHGEGAQQRMAQEVLTHLKEHPDA >ENSMUSP00000020538.6 pep:known chromosome:GRCm38:11:23256041:23297597:1 gene:ENSMUSG00000020290.14 transcript:ENSMUST00000020538.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo1 description:exportin 1, CRM1 homolog (yeast) [Source:MGI Symbol;Acc:MGI:2144013] MPAIMTMLADHAARQLLDFSQKLDINLLDNVVNCLYHGEGAQQRMAQEVLTHLKEHPDAW TRVDTILEFSQNMNTKYYGLQILENVIKTRWKILPRNQCEGIKKYVVGLIIKTSSDPTCV EKEKVYIGKLNMILVQILKQEWPKHWPTFISDIVGASRTSESLCQNNMVILKLLSEEVFD FSSGQITQVKAKHLKDSMCNEFSQIFQLCQFVMENSQNAPLVHATLETLLRFLNWIPLGY IFETKLISTLIYKFLNVPMFRNVSLKCLTEIAGVSVSQYEEQFETLFTLTMMQLKQMLPL NTNIRLAYSNGKDDEQNFIQNLSLFLCTFLKEHGQLLEKRLNLREALMEALHYMLLVSEV EETEIFKICLEYWNHLAAELYRESPFSTSASPLLSGSQHFDIPPRRQLYLTVLSKVRLLM VSRMAKPEEVLVVENDQGEVVREFMKDTDSINLYKNMRETLVYLTHLDYVDTEIIMTKKL QNQVNGTEWSWKNLNTLCWAIGSISGAMHEEDEKRFLVTVIKDLLGLCEQKRGKDNKAII ASNIMYIVGQYPRFLRAHWKFLKTVVNKLFEFMHETHDGVQDMACDTFIKIAQKCRRHFV QVQVGEVMPFIDEILNNINTIICDLQPQQVHTFYEAVGYMIGAQTDQTVQEHLIEKYMLL PNQVWDSIIQQATKNVDILKDPETVKQLGSILKTNVRACKAVGHPFVIQLGRIYLDMLNV YKCLSENISAAIQANGEMVTKQPLIRSMRTVKRETLKLISGWVSRSNDPQMVAENFVPPL LDAVLIDYQRNVPAAREPEVLSTMAIIVNKLGGHITAEIPQIFDAVFECTLNMINKDFEE YPEHRTNFFLLLQAVNSHCFPAFLAIPPAQFKLVLDSIIWAFKHTMRNVADTGLQILFTL LQNVAQEEAAAQSFYQTYFCDILQHIFSVVTDTSHTAGLTMHASILAYMFNLVEEGKIST PLNPGNPVNNQMFIQDYVANLLKSAFPHLQDAQVKLFVTGLFSLNQDIPAFKEHLRDFLV QIKEFAGEDTSDLFLEERETALRQAQEEKHKLQMSVPGILNPHEIPEEMCD >ENSMUSP00000105178.1 pep:known chromosome:GRCm38:11:23256041:23297597:1 gene:ENSMUSG00000020290.14 transcript:ENSMUST00000109551.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo1 description:exportin 1, CRM1 homolog (yeast) [Source:MGI Symbol;Acc:MGI:2144013] MPAIMTMLADHAARQLLDFSQKLDINLLDNVVNCLYHGEGAQQRMAQEVLTHLKEHPDAW TRVDTILEFSQNMNTKYYGLQILENVIKTRWKILPRNQCEGIKKYVVGLIIKTSSDPTCV EKEKVYIGKLNMILVQILKQEWPKHWPTFISDIVGASRTSESLCQNNMVILKLLSEEVFD FSSGQITQVKAKHLKDSMCNEFSQIFQLCQFVMENSQNAPLVHATLETLLRFLNWIPLGY IFETKLISTLIYKFLNVPMFRNVSLKCLTEIAGVSVSQYEEQFETLFTLTMMQLKQMLPL NTNIRLAYSNGKDDEQNFIQNLSLFLCTFLKEHGQLLEKRLNLREALMEALHYMLLVSEV EETEIFKICLEYWNHLAAELYRESPFSTSASPLLSGSQHFDIPPRRQLYLTVLSKVRLLM VSRMAKPEEVLVVENDQGEVVREFMKDTDSINLYKNMRETLVYLTHLDYVDTEIIMTKKL QNQVNGTEWSWKNLNTLCWAIGSISGAMHEEDEKRFLVTVIKDLLGLCEQKRGKDNKAII ASNIMYIVGQYPRFLRAHWKFLKTVVNKLFEFMHETHDGVQDMACDTFIKIAQKCRRHFV QVQVGEVMPFIDEILNNINTIICDLQPQQVHTFYEAVGYMIGAQTDQTVQEHLIEKYMLL PNQVWDSIIQQATKNVDILKDPETVKQLGSILKTNVRACKAVGHPFVIQLGRIYLDMLNV YKCLSENISAAIQANGEMVTKQPLIRSMRTVKRETLKLISGWVSRSNDPQMVAENFVPPL LDAVLIDYQRNVPAAREPEVLSTMAIIVNKLGGHITAEIPQIFDAVFECTLNMINKDFEE YPEHRTNFFLLLQAVNSHCFPAFLAIPPAQFKLVLDSIIWAFKHTMRNVADTGLQILFTL LQNVAQEEAAAQSFYQTYFCDILQHIFSVVTDTSHTAGLTMHASILAYMFNLVEEGKIST PLNPGNPVNNQMFIQDYVANLLKSAFPHLQDAQVKLFVTGLFSLNQDIPAFKEHLRDFLV QIKEFAGEDTSDLFLEERETALRQAQEEKHKLQMSVPGILNPHEIPEEMCD >ENSMUSP00000029786.7 pep:known chromosome:GRCm38:3:94443325:94448708:1 gene:ENSMUSG00000028140.13 transcript:ENSMUST00000029786.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl9 description:mitochondrial ribosomal protein L9 [Source:MGI Symbol;Acc:MGI:2137211] MAASVAPGVRTLWWAGAAWLRQGGIRELFRPRIEGSTPGRDFSLSHYQSTVIVERWWKVP LAGEGRKPHLHRRHRVYKLVEDTKHRPKDNLELILTQSVDEIGVRGDLVSVKKSVGRNKL LSQGLAVYASPENRKLFEEEKSLRREGKLEKIQTKAGEATVKFLRSCHLEVGMKNNVKWE LNPEIVARHFFKNLGVVVAPHALRLPEEPITRWGEYWCDVTVNGLDTVRVPMSVVLFQKP KTKRYKHWLAQQAAKSVAPTNPQAV >ENSMUSP00000142859.1 pep:known chromosome:GRCm38:3:94443337:94451130:1 gene:ENSMUSG00000028140.13 transcript:ENSMUST00000196143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl9 description:mitochondrial ribosomal protein L9 [Source:MGI Symbol;Acc:MGI:2137211] MAASVAPGVRTLWWAGAAWLRQGGIRELFRPRIEGSTPGRDFSLSHYQSTVIVERWWKVP LAGEGRKPHLHRRHRVYKLVEDTKHRPKDNLELILTQSVDEIGVRGDLVSVKKSVGRNKL LSQGLAVYASPENRKLFEEEKSLRREGKLEKIQTKAGEATVKFLRSCHLEVGMKNNVKWE LNPEIVARHFFKNLGVVVAPHALRLPEEPITRWGEYWCDVTTRGHQGIRNRTITRLKMEA NMEADRKKILILCGFQQPQVAKTFTRLE >ENSMUSP00000037981.7 pep:known chromosome:GRCm38:18:37936972:37954988:-1 gene:ENSMUSG00000024454.15 transcript:ENSMUST00000043498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac3 description:histone deacetylase 3 [Source:MGI Symbol;Acc:MGI:1343091] MAKTVAYFYDPDVGNFHYGAGHPMKPHRLALTHSLVLHYGLYKKMIVFKPYQASQHDMCR FHSEDYIDFLQRVSPTNMQGFTKSLNAFNVGDDCPVFPGLFEFCSRYTGASLQGATQLNN KICDIAINWAGGLHHAKKFEASGFCYVNDIVIGILELLKYHPRVLYIDIDIHHGDGVQEA FYLTDRVMTVSFHKYGNYFFPGTGDMYEVGAESGRYYCLNVPLRDGIDDQSYKHLFQPVI SQVVDFYQPTCIVLQCGADSLGCDRLGCFNLSIRGHGECVEYVKSFNIPLLVLGGGGYTV RNVARCWTYETSLLVEEAISEELPYSEYFEYFAPDFTLHPDVSTRIENQNSRQYLDQIRQ TIFENLKMLNHAPSVQIHDVPADLLTYDRTDEADAEERGPEENYSRPEAPNEFYDGDHDN DKESDVEI >ENSMUSP00000042808.9 pep:known chromosome:GRCm38:2:174110349:174123070:1 gene:ENSMUSG00000039263.16 transcript:ENSMUST00000044415.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npepl1 description:aminopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:2448523] MASVGLQFQASAGDADPQSRPLLLLGQLQHLHRVPWSHVRGKLQPRVTEELWQAALATLN PNPTDSCPLYLNCATVAALPSRVSRHNSPSAAHFITRLVRTCLPPGTHRCILMVCEQTEV FASACALARAFPLFTHRSGASRRAEKRTVMVEFFLVGQDNGPVEVSTLQCLTNATEGVRL AARIVDTPCNEMNTDIFLEEIIQVGKELGITPTIIRDEQLKTKGFGGIYGVGKAALHPPA LAILSHTPDGATQTIAWVGKGIVYDTGGLSIKGKTTMPGMKRDCGGAAAVLGAFRAAIKQ GFKDNLHAVFCLAENAVGPNATRPDDIHLLYSGKTVEINNTDAEGRLVLADGVSYACKDL GADIIVDMATLTGAQGIATGKYHAAVLTNSAEWEAACVKAGRKCGDLVHPLVYCPELHFS EFTSAVADMKNSVADRDNSPSSCAGLFIASHIGFDWPGVWVHLDIAAPVHAGERATGFGV ALLLALFGRASEDPLLNLVSPLDCEVDAQEGDNMGRDSKRRRLV >ENSMUSP00000133202.1 pep:known chromosome:GRCm38:2:174111154:174120382:1 gene:ENSMUSG00000039263.16 transcript:ENSMUST00000125502.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Npepl1 description:aminopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:2448523] XAALPSRVSRHNSPSAAHFITRLVRTCLPPGTHRCILMVCEQTEVFASACALARAFPLFT HRSGASRRAEKRTVMVEFFLVGQDNGPVEVSTLQCLTNATEGVRLAARIVDTPCNEMNTD IFLEEIIQVGKELGITPTIIRDEQLKTKGFGGIYGVGKAALHPPALAILSHTPDGATQTI AWVGKGIVYDTGGLSIKGKWSLASLLGKKS >ENSMUSP00000141274.1 pep:known chromosome:GRCm38:3:92428032:92429423:-1 gene:ENSMUSG00000042165.8 transcript:ENSMUST00000192538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9774 description:predicted pseudogene 9774 [Source:MGI Symbol;Acc:MGI:3642386] MTTSGALFPSLVPGSRGSSTKYLVEFRAGKMSLKGTTVTPDKRKGLVYIQQTDDSLIHFC WKDRTSGTVEDDLIIFPDDCEFKRVPQCPSGRVYVLKFKAGSKRLFFWMQEPKTDQDEEH CRKVNECLNNPPMPGSLGASGSSGHELSALGGEGGLQSLLGNMSHSQLMQLIGPAGLGGL GGLGALTGPGLASLLGSSGPPASSSSSSSRSQSAAVTPSSSTSSARATPAPSAPAAASAT SPSPAPSSGNGTSTAASPTQPIQLSDLQSILATMNVPAWPGGSQQVDLASVLTPEIMAPI LANADVQERLLPYLPSGESLPQTADESQNTLTSPQFQQALGMFSAALASGQLGPLMSQFG LPAEAVEAANKGDVEAFAKAMQNNAKSDPKEGDTKDKKDEEEDMSLD >ENSMUSP00000045065.7 pep:known chromosome:GRCm38:3:92428066:92429423:-1 gene:ENSMUSG00000042165.8 transcript:ENSMUST00000047300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9774 description:predicted pseudogene 9774 [Source:MGI Symbol;Acc:MGI:3642386] MTTSGALFPSLVPGSRGSSTKYLVEFRAGKMSLKGTTVTPDKRKGLVYIQQTDDSLIHFC WKDRTSGTVEDDLIIFPDDCEFKRVPQCPSGRVYVLKFKAGSKRLFFWMQVDLGPSLGQA WPACWGAVDLQPAALHPAASATSPSPAPSSGNGTSTAASPTQPIQLSDLQSILATMNVPA WPGGSQQVDLASVLTPEIMAPILANADVQERLLPYLPSGESLPQTADESQNTLTSPQFQQ ALGMFSAALASGQLGPLMSQFGLPAEAVEAANKGDVEAFAKAMQNNAKSDPKEGDTKDKK DEEEDMSLD >ENSMUSP00000102581.1 pep:known chromosome:GRCm38:7:101912984:101921212:-1 gene:ENSMUSG00000064307.12 transcript:ENSMUST00000106968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc51 description:leucine rich repeat containing 51 [Source:MGI Symbol;Acc:MGI:1916608] MSSRDYMNTSVQEPPLDYSFKSVQMVQDLVTEEPRTGLRPVRHSKSGKSLTQSLWLNNNV LNDLKDFNQVVSQLLQHPENLAWIDLSFNDLTTIDPVLTTFFNLSVLYLHGNGIHRLGEV NKLAVLPRLRSLTLHGNPIEEEKGYRQYVLCNLPRITTFDFSGVTRADRSTAEVWKRMNI KPKKVRAKQDVL >ENSMUSP00000102580.1 pep:known chromosome:GRCm38:7:101912989:101921225:-1 gene:ENSMUSG00000064307.12 transcript:ENSMUST00000106967.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc51 description:leucine rich repeat containing 51 [Source:MGI Symbol;Acc:MGI:1916608] MSSRDYMNTSVQEPPLDYSFKSVQMVQDLVTEEPRTGLRPLLQHPENLAWIDLSFNDLTT IDPVLTTFFNLSVLYLHGNGIHRLGEVNKLAVLPRLRSLTLHGNPIEEEKGYRQYVLCNL PRITTFDFSGVTRADRSTAEVWKRMNIKPKKVRAKQDVL >ENSMUSP00000102578.1 pep:known chromosome:GRCm38:7:101912990:101921199:-1 gene:ENSMUSG00000064307.12 transcript:ENSMUST00000106965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc51 description:leucine rich repeat containing 51 [Source:MGI Symbol;Acc:MGI:1916608] MSSRDYMNTSVQEPPLDYSFKSVQMVQDLVTEEPRTGLRPVRHSKSGKSLTQSLWLNNNV LNDLKDFNQVVSQLLQHPENLAWIDLSFNDLTTIDPVLTTFFNLSVLYLHGNGIHRLGEV NKLAVLPRLRSLTLHGNPIEEEKGYSMCCATCPVSPRLTSVESPGPIAAQLKSGNA >ENSMUSP00000077543.3 pep:known chromosome:GRCm38:7:101912990:101933806:-1 gene:ENSMUSG00000064307.12 transcript:ENSMUST00000078448.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc51 description:leucine rich repeat containing 51 [Source:MGI Symbol;Acc:MGI:1916608] MSSRDYMNTSVQEPPLDYSFKSVQMVQDLVTEEPRTGLRPVRHSKSGKSLTQSLWLNNNV LNDLKDFNQVVSQLLQHPENLAWIDLSFNDLTTIDPVLTTFFNLSVLYLHGNGIHRLGEV NKLAVLPRLRSLTLHGNPIEEEKGYRQYVLCNLPRITTFDFSGVTRADRSTAEVWKRMNI KPKKVRAKQDVL >ENSMUSP00000102579.1 pep:known chromosome:GRCm38:7:101912990:101933868:-1 gene:ENSMUSG00000064307.12 transcript:ENSMUST00000106966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc51 description:leucine rich repeat containing 51 [Source:MGI Symbol;Acc:MGI:1916608] MSSRDYMNTSVQEPPLDYSFKSVQMVQDLVTEEPRTGLRPVRHSKSGKSLTQSLWLNNNV LNDLKDFNQVVSQLLQHPENLAWIDLSFNDLTTIDPVLTTFFNLSVLYLHGNGIHRLGEV NKLAVLPRLRSLTLHGNPIEEEKGYRQYVLCNLPRITTFDFSGVTRADRSTAEVWKRMNI KPKKVRAKQDVL >ENSMUSP00000095838.2 pep:known chromosome:GRCm38:7:101912994:101921183:-1 gene:ENSMUSG00000064307.12 transcript:ENSMUST00000098236.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc51 description:leucine rich repeat containing 51 [Source:MGI Symbol;Acc:MGI:1916608] MSSRDYMNTSVQEPPLDYSFKSVQMVQDLVTEEPRTGLRPVRHSKSGKSLTQSLWLNNNV LNDLKDFNQVVSQLLQHPENLAWIDLSFNDLTTIDPVLTTFFNLSVLYLHGNGIHRLGEV NKLAVLPRLRSLTLHGNPIEEEKGYR >ENSMUSP00000102577.1 pep:known chromosome:GRCm38:7:101913158:101933802:-1 gene:ENSMUSG00000064307.12 transcript:ENSMUST00000106964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc51 description:leucine rich repeat containing 51 [Source:MGI Symbol;Acc:MGI:1916608] MSSRDYMNTSVQEPPLDYSFKSVQMVQDLVTEEPRTGLRPVRHSKSGKSLTQSLWLNNNV LNDLKDFNQVVSQLLQHPENLAWIDLSFNDLTTIDPVLTTFFNLSVLYLHGNGIHRLGEV NKLAVLPRLRSLTLHGNPIEEEKGYR >ENSMUSP00000102576.1 pep:known chromosome:GRCm38:7:101913174:101933830:-1 gene:ENSMUSG00000064307.12 transcript:ENSMUST00000106963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc51 description:leucine rich repeat containing 51 [Source:MGI Symbol;Acc:MGI:1916608] MSSRDYMNTSVQEPPLDYSFKSVQMVQDLVTEEPRTGLRPVRHSKSGKSLTQSLWLNNNV LNDLKDFNQVVSQLLQHPENLAWIDLSFNDLTTIDPVLTTFFNLSVLYLHGNGIHRLGEV NKLAVLPRLRSLTLHGNPIEEEKGYR >ENSMUSP00000129333.1 pep:known chromosome:GRCm38:7:101912989:101920774:-1 gene:ENSMUSG00000064307.12 transcript:ENSMUST00000163903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc51 description:leucine rich repeat containing 51 [Source:MGI Symbol;Acc:MGI:1916608] MSSRDYMNTSVQEPPLDYSFKSVQMVQDLVTEEPRTGLRPVRHSKSGKSLTQSLWLNNNV LNDLKDFNQVVSQLLQHPENLAWIDLSFNDLTTIDPVLTTFFNLSVLYLHGNGIHRLGEV NKLAVLPRLRSLTLHGNPIEEEKGYR >ENSMUSP00000032824.9 pep:known chromosome:GRCm38:7:28041707:28050101:-1 gene:ENSMUSG00000030603.16 transcript:ENSMUST00000032824.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc4 description:proteasome (prosome, macropain) 26S subunit, ATPase, 4 [Source:MGI Symbol;Acc:MGI:1346093] MEEIGILVEKIQDEIPALSVSRPQTGLSFLGPEPEDLEDLYSRYKKLQQELEFLEVQEEY IKDEQKNLKKEFLHAQEEVKRIQSIPLVIGQFLEAVDQNTAIVGSTTGSNYYVRILSTID RELLKPNASVALHKHSNALVDVLPPEADSSIMMLTSDQKPDVMYADIGGMDIQKQEVREA VELPLTHFELYKQIGIDPPRGVLMYGPPGCGKTMLAKAVAHHTTAAFIRVVGSEFVQKYL GEGPRMVRDVFRLAKENAPAIIFIDEIDAIATKRFDAQTGADREVQRILLELLNQMDGFD QNVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLIFSTITSKMNLSEEVDL EDYVARPDKISGADINSICQESGMLAVRENRYIVLAKDFEKAYKTVIKKDEQEHEFYK >ENSMUSP00000147018.1 pep:known chromosome:GRCm38:7:28041757:28050048:-1 gene:ENSMUSG00000030603.16 transcript:ENSMUST00000140053.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc4 description:proteasome (prosome, macropain) 26S subunit, ATPase, 4 [Source:MGI Symbol;Acc:MGI:1346093] MEEIGILVEKIQDEIPALSVSRPQTGLSFLGPEPEDLEDLYSRYKEEVKRIQSIPLVIGQ FLEAVDQNTAIVGSTTGSNYYVRILSTIDRELLKPNASVALHKHSNALVDVLPPEADSSI MMLTSDQKPDVMYADIGGMDIQKQEVREAVELPLTHFELYKQIGIDPPRGVLMYGPPGCG KTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEIDAIA TKRFDAQTGADREVQRILLELLNQMDGFDQNVNVKVIMATNRADTLDPALLRPGRLDRKI EFPLPDRRQKRLIFSTITSKMNLSEEVDLEDYVARPDKISGADINSICQESGMLAVRENR YIVLAKDFEKAYKTVIKKDEQEHEFYK >ENSMUSP00000140272.1 pep:known chromosome:GRCm38:15:63857724:63877720:-1 gene:ENSMUSG00000055827.13 transcript:ENSMUST00000185526.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmc3 description:gasdermin C3 [Source:MGI Symbol;Acc:MGI:3580656] MGYSFDRASKDVVKKLQGRDLRPVECLSDATKFRLFHILQETPRSGWETEDIPVGFTLLD LLEPNFPVPEPEVSAPKPFIHVQSTDLEANLNVADIARGGVGYVGYGGYNIEVQSTSIPN PKLEILQNRKLLDKLPTFMKFCRMERKNLYVVTEAYEVSKDTMLTGLSSVNLLVKGFFKQ LFKVRGKAGRSEKYSIPIPKGSVLAYKKQQLVIENNTCVILPSATKKKMTFPGTPKYASA SEPTEIYRTELQGLWINDIEPIGRIQEPAHLDFKCLQYEVSEQTRLLPELSKDVQEVVLS SFLSMLYEGDRNVLHDLMKMLELSQLGHMDGPGGKILDELRKDSSNPCVDLKDLILYLLQ ALMVLSDSQLNLLARSVEMRLLPHQVELVTSILQPNFKYPWNIPFTVQPQLLAPLQGEGL AITYELLEECGLKMELNNPRSTWDLEAKMPLSALYGSLSFLQQLQKANSSFKPSLRPGYI >ENSMUSP00000139472.1 pep:known chromosome:GRCm38:15:63857727:63878558:-1 gene:ENSMUSG00000055827.13 transcript:ENSMUST00000190682.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmc3 description:gasdermin C3 [Source:MGI Symbol;Acc:MGI:3580656] MGYSFDRASKDVVKKLQGRDLRPVECLSDATKFRLFHILQETPRSGWETEDIPVGFTLLD LLEPNFPVPEPEVSAPKPFIHVQSTDLEANLNVADIARGGVGYVGYGGYNIEVQSTSIPN PKLEILQNRKLLDKLPTFMKFCRMERKNLYVVTEAYEVSKDTMLTGLSSVNLLVKGFFKQ LFKVRGKAGRSEKYSIPIPKGSVLAYKKQQLVIENNTCVILPSATKKKMTFPGTPKYASA SEPTEIYRTELQGLWINDIEPIGRIQEPAHLDFKCLQYEVSEQTRLLPELSKDVQEVVLS SFLSMLYEGDRNVLHDLMKMLELSQLGHMDGPGGKILDELRKDSSNPCVDLKDLILYLLQ ALMVLSDSQLNLLARSVEMRLLPHQVELVTSILQPNFKYPWNIPFTVQPQLLAPLQGEGL AITYELLEECGLKMELNNPRSTWDLEAKMPLSALYGSLSFLQQLQKANSSFKPSLRPGYI >ENSMUSP00000087339.5 pep:known chromosome:GRCm38:15:63858271:63869297:-1 gene:ENSMUSG00000055827.13 transcript:ENSMUST00000089894.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmc3 description:gasdermin C3 [Source:MGI Symbol;Acc:MGI:3580656] MGYSFDRASKDVVKKLQGRDLRPVECLSDATKFRLFHILQETPRSGWETEDIPVGFTLLD LLEPNFPVPEPEVSAPKPFIHVQSTDLEANLNVADIARGGVGYVGYGGYNIEVQSTSIPN PKLEILQNRKLLDKLPTFMKFCRMERKNLYVVTEAYEVSKDTMLTGLSSVNLLVKGFFKQ LFKVRGKAGRSEKYSIPIPKGSVLAYKKQQLVIENNTCVILPSATKKKMTFPGTPKYASA SEPTEIYRTELQGLWINDIEPIGRIQEPAHLDFKCLQYEVSEQTRLLPELSKDVQEVVLS SFLSMLYEGDRNVLHDLMKMLELSQLGHMDGPGGKILDELRKDSSNPCVDLKDLILYLLQ ALMVLSDSQLNLLARSVEMRLLPHQVELVTSILQPNFKYPWNIPFTVQPQLLAPLQGEGL AITYELLEECGLKMELNNPRSTWDLEAKMPLSALYGSLSFLQQLQKANSSFKPSLRPGYI >ENSMUSP00000063287.2 pep:known chromosome:GRCm38:3:92432582:92433925:1 gene:ENSMUSG00000054215.2 transcript:ENSMUST00000067102.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr2k description:small proline-rich protein 2K [Source:MGI Symbol;Acc:MGI:1330344] MSYQEQQCKQLCQPLPVYPPPKPCSPPKCPEPCPPPKCPETCPPQPCQRKCPPVLEAPCQ QKCPSKSK >ENSMUSP00000110319.1 pep:known chromosome:GRCm38:2:18064636:18212388:1 gene:ENSMUSG00000026743.16 transcript:ENSMUST00000114671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt10 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 10 [Source:MGI Symbol;Acc:MGI:1329038] MKRCELCPHKDGALKRTDNGGWAHVVCALYIPEVQFANVSTMEPIVLQSVPHDRYNKTCY ICDEQGRESKAATGACMTCNKHGCRQAFHVTCAQFAGLLCEEEGNGADNVQYCGYCKYHF SKLKKSKRGSNRSYEQSLSDSSSHSQDKHHEKEKKKYKEKDKHKQKHKKQPEPSPALVPS LTVTTEKTYTSTSNNSISGSLKRLEDTAARFTNANFQEVSAHTSSGKDVSEARGSEGKGK KSSAHSSGQRGRKPGAGRNPGTAVSASSPFPQGSFSGTPGSVKSSSGSSVQSPQDFLSFT DSDLRSDSYTHTQQPSSTKDVHKGESGSQEAAVNSFSSLVGHPVTSTVISQPKSFDNSPG ELGSSSLPTAGYKRAQTSGIEEEAVKEKKRKGNKQSKHGPGRPKGNKNQENVSHLSVSSA SPTSSVASAAGSVTSSSLQKSPTLLRNGSLQSLSVGSSPVGSEISMQYRHDGACPTTTFS ELLNAIHNGIYNSNDVAVSFPNVVSGSGSSTPVSSSHIPQQSSGHLQQVGALSPSAASSV TPAAATTQANTVSGSSLSQAPAHMYGSRLNQNPSMAVLIAQSESSQTDQDLGDNARSLGG RGSSPRGSLSPRSPVSNLQLRYDQPSNSSLETVPPVAASIEQLLERQWSEGQQFLLEQGT PGDILGMLKSLHQLQVENRRLEEQIKNLTAKKERLQLLNAQLSVPFPAITTNPSPSHQMH TYTAQTAPPPDSLNSSKSPHIGNSFLPDNSLPVLNQDLTSSGQSTSSSSALSTPPPAGQS PAQQSSGVSGVQQVNGVTVGALASGMQTVTSTIPAVSAVGGIIGALPGNQLAINGIVGAL NGVIQTPVTISQNPAPLTHTSVPPNAAHPMPAAALTNSASGLGLLSDQQRQMFIQQQQFQ QLLNSQQLTPEQHQAFLYQLMQQQHHPPELQQLQLPGPTQIPINNLLAGAQAPPLHTATT NPFLTIHGDSTSQKVTRLSDKTGPVAQEKS >ENSMUSP00000110328.2 pep:known chromosome:GRCm38:2:18064687:18210970:1 gene:ENSMUSG00000026743.16 transcript:ENSMUST00000114680.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt10 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 10 [Source:MGI Symbol;Acc:MGI:1329038] MVSSDRPVSLEDEVSHSMKEMIGGCCVCSDERGWAENPLVYCDGHGCSVAVHQACYGIVQ VPTGPWFCRKCESQERAARVRCELCPHKDGALKRTDNGGWAHVVCALYIPEVQFANVSTM EPIVLQSVPHDRYNKTCYICDEQGRESKAATGACMTCNKHGCRQAFHVTCAQFAGLLCEE EGNGADNVQYCGYCKYHFSKLKKSKRGSNRSYEQSLSDSSSHSQDKHHEKEKKKYKEKDK HKQKHKKQPEPSPALVPSLTVTTEKTYTSTSNNSISGSLKRLEDTAARFTNANFQEVSAH TSSGKDVSEARGSEGKGKKSSAHSSGQRGRKPGAGRNPGTAVSASSPFPQGSFSGTPGSV KSSSGSSVQSPQDFLSFTDSDLRSDSYTHTQQPSSTKDVHKGESGSQEAAVNSFSSLVGH PVTSTVISQPKSFDNSPGELGSSSLPTAGYKRAQTSGIEEEAVKEKKRKGNKQSKHGPGR PKGNKNQENVSHLSVSSASPTSSVASAAGSVTSSSLQKSPTLLRNGSLQSLSVGSSPVGS EISMQYRHDGACPTTTFSELLNAIHNGIYNSNDVAVSFPNVVSGSGSSTPVSSSHIPQQS SGHLQQVGALSPSAASSVTPAAATTQANTVSGSSLSQAPAHMYGSRLNQNPSMAVLIAQS ESSQTDQDLGDNARSLGGRGSSPRGSLSPRSPVSNLQLRYDQPSNSSLETVPPVAASIEQ LLERQWSEGQQFLLEQGTPGDILGMLKSLHQLQVENRRLEEQIKNLTAKKERLQLLNAQL SVPFPAITTNPSPSHQMHTYTAQTAPPPDSLNSSKSPHIGNSFLPDNSLPVLNQDLTSSG QSTSSSSALSTPPPAGQSPAQQSSGVSGVQQVNGVTVGALASGMQTVTSTIPAVSAVGGI IGALPGNQLAINGIVGALNGVIQTPVTISQNPAPLTHTSVPPNAAHPMPAAALTNSASGL GLLSDQQRQMFIQQQQFQQLLNSQQLTPEQHQAFLYQLMQQQHHPPELQQLQLPGPTQIP INNLLAGAQAPPLHTATTNPFLTIHGDSTSQKVTRLSDKTGPVAQEKS >ENSMUSP00000110317.1 pep:known chromosome:GRCm38:2:18126156:18212386:1 gene:ENSMUSG00000026743.16 transcript:ENSMUST00000114669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt10 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 10 [Source:MGI Symbol;Acc:MGI:1329038] MQYRHDGACPTTTFSELLNAIHNGIYNSNDVAVSFPNVVSGSGSSTPVSSSHIPQQSSGH LQQVGALSPSAASSVTPAAATTQANTVSGSSLSQAPAHMYGSRLNQNPSMAVLIAQSESS QTDQDLGDNARSLGGRGSSPRGSLSPRSPVSNLQLRYDQPSNSSLETVPPVAASIEQLLE RQWSEGQQFLLEQGTPGDILGMLKSLHQLQVENRRLEEQIKNLTAKKERLQLLNAQLSVP FPAITTNPSPSHQMHTYTAQTAPPPDSLNSSKSPHIGNSFLPDNSLPVLNQDLTSSGQST SSSSALSTPPPAGQSPAQQSSGVSGVQQVNGVTVGALASGMQTVTSTIPAVSAVGGIIGA LPGNQLAINGIVGALNGVIQTPVTISQNPAPLTHTSVPPNAAHPMPAAALTNSASGLGLL SDQQRQMFIQQQQFQQLLNSQQLTPEQHQAFLYQLMQQQHHPPELQQLQLPGPTQIPINN LLAGAQAPPLHTATTNPFLTIHGDSTSQKVTRLSDKTGPVAQEKS >ENSMUSP00000028076.8 pep:known chromosome:GRCm38:2:18055237:18212387:1 gene:ENSMUSG00000026743.16 transcript:ENSMUST00000028076.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt10 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 10 [Source:MGI Symbol;Acc:MGI:1329038] MVSSDRPVSLEDEVSHSMKEMIGGCCVCSDERGWAENPLVYCDGHGCSVAVHQACYGIVQ VPTGPWFCRKCESQERAARVRCELCPHKDGALKRTDNGGWAHVVCALYIPEVQFANVSTM EPIVLQSVPHDRYNKTCYICDEQGRESKAATGACMTCNKHGCRQAFHVTCAQFAGLLCEE EGNGADNVQYCGYCKYHFSKLKKSKRGSNRSYEQSLSDSSSHSQDKHHEKEKKKYKEKDK HKQKHKKQPEPSPALVPSLTVTTEKTYTSTSNNSISGSLKRLEDTAARFTNANFQEVSAH TSSGKDVSEARGSEGKGKKSSAHSSGQRGRKPGAGRNPGTAVSASSPFPQGSFSGTPGSV KSSSGSSVQSPQDFLSFTDSDLRSDSYTHTQQPSSTKDVHKGESGSQEAAVNSFSSLVGH PVTSTVISQPKSFDNSPGELGSSSLPTAGYKRAQTSGIEEEAVKEKKRKGNKQSKHGPGR PKGNKNQENVSHLSVSSASPTSSVASAAGSVTSSSLQKSPTLLRNGSLQSLSVGSSPVGS EISMQYRHDGACPTTTFSELLNAIHNGIYNSNDVAVSFPNVVSGSGSSTPVSSSHIPQQS SGHLQQVGALSPSAASSVTPAAATTQANTVSGSSLSQAPAHMYGSRLNQNPSMAVLIAQS ESSQTDQDLGDNARSLGGRGSSPRGSLSPRSPVSNLQLRYDQPSNSSLETVPPVAASIEQ LLERQWSEGQQFLLEQGTPGDILGMLKSLHQLQVENRRLEEQIKNLTAKKERLQLLNAQL SVPFPAITTNPSPSHQMHTYTAQTAPPPDSLNSSKSPHIGNSFLPDNSLPVLNQDLTSSG QSTSSSSALSTPPPAGQSPAQQSSGVSGVQQVNGVTVGALASGMQTVTSTIPAVSAVGGI IGALPGNQLAINGIVGALNGVIQTPVTISQNPAPLTHTSVPPNAAHPMPAAALTNSASGL GLLSDQQRQMFIQQQQFQQLLNSQQLTPEQHQAFLYQLMQQQHHPPELQQLQLPGPTQIP INNLLAGAQAPPLHTATTNPFLTIHGDSTSQKVTRLSDKTGPVAQEKS >ENSMUSP00000027491.5 pep:known chromosome:GRCm38:1:93135240:93145421:1 gene:ENSMUSG00000026272.6 transcript:ENSMUST00000027491.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agxt description:alanine-glyoxylate aminotransferase [Source:MGI Symbol;Acc:MGI:1329033] MFRMLAKASVTLGSRAAGWVRTMGSYQLLVPPPEALSKPLSVPTRLLLGPGPSNLAPRVL AAGSLRMIGHMQKEMLQIMEEIKQGIQYVFQTRNPLTLVVSGSGHCAMETALFNLLEPGD SFLTGTNGIWGMRAAEIADRIGARVHQMIKKPGEHYTLQEVEEGLAQHKPVLLFLVHGES STGVVQPLDGFGELCHRYQCLLLVDSVASLGGVPIYMDQQGIDIMYSSSQKVLNAPPGIS LISFNDKAKYKVYSRKTKPVSFYTDITYLAKLWGCEGETRVIHHTTPVTSLYCLRESLAL IAEQGLENCWRRHREATAHLHKHLQEMGLKFFVKDPEIRLPTITTVTVPAGYNWRDIVSY VLDHFSIEISGGLGPTEERVLRIGLLGYNATTENVDRVAEALREALQHCPKNKL >ENSMUSP00000104020.1 pep:known chromosome:GRCm38:4:4055931:4077522:-1 gene:ENSMUSG00000071019.11 transcript:ENSMUST00000108383.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdr16c6 description:short chain dehydrogenase/reductase family 16C, member 6 [Source:MGI Symbol;Acc:MGI:2685269] MNSVADTAIFFGKFLYYFLESLVFKVIPKRKKDVSGEIVLITGAGSGLGRLLAIHFASHG ATLVLWDINQEGNMETCRLVKQKGDVKVFAYKCDCSSRIEVYRVADQVKEEVGDVTILIN NAGVVTGKSFLNTPDHLVEKSFLVNAISHFWTCKAFLPAMVKANHGHLVCISSIAGLVGI NGLSDYSSSKFAAFGFAESLFLELTMIMKTKVKSTIVCPYFIKTGMFEGCTTKYPLLLPL LEQEYVAQKIFNAILEEQVYLIIPKFAYVALFLKQIISPKMMIALGEYLGVDTCMTSFTG RVKAEELQMETKRKEQ >ENSMUSP00000092773.5 pep:known chromosome:GRCm38:4:4055929:4076931:-1 gene:ENSMUSG00000071019.11 transcript:ENSMUST00000095151.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdr16c6 description:short chain dehydrogenase/reductase family 16C, member 6 [Source:MGI Symbol;Acc:MGI:2685269] MNSVADTAIFFGKFLYYFLESLVFKVIPKRKKDVSGEIVLITGAGSGLGRLLAIHFASHG ATLVLWDINQEGNMETCRLVKQKGDVKVFAYKCDCSSRIEVYRVADQVKEEVGDVTILIN NAGVVTGKSFLNTPDHLVEKSFLVNAISHFWTCKAFLPAMVKANHGHLVCISSIAGLVGI NGLSDYSSSKFAAFGFAESLFLELTMIMKTKVKSTIVCPYFIKTGMFEGCTTKYPLLLPL LEQEYVAQKIFNAILEEQVYLIIPKFAYVALFLKQIISPKMMIALGEYLGVDTCMTSFTG RVKAEELQMETKRKEQ >ENSMUSP00000143750.1 pep:known chromosome:GRCm38:3:54692807:54728636:1 gene:ENSMUSG00000027751.13 transcript:ENSMUST00000197502.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt20 description:suppressor of Ty 20 [Source:MGI Symbol;Acc:MGI:1929651] MQQAVEQALDCAEYIVESAQQRPPKRKYLSSGRKSIFQKLYDLYVEECEKEPEVKKLRRN VNLLEKLVMQETLSCLVVNLYPGNEGYSLMLRGKNGSDSETIRLPYEEGELLEYLDAEEL PPILVDLLEKSQVNIFHCGCVIAEIRDYRQSSNMKSPGYQSRHILLRPTMQTLVCDVHSI TSDNHKWTQEDKLLLESQLILATAEPLCLDPSVAVACTANRLLYNRQKMNTRPMKRCWKR YSRSSLNRQQDLSHGPPPPQLRLLDFLQKRKERKAGQHYDLKISKAGNCVDMWKRSPCNL AVPSEVDVEKYAKVEESIKSDDSQPTMWPAHDVKDDYVFECEGGNQYQKTKLTILQSLGD PLYYGKIQPWKADEENDSQMSPSHSSADDHSNWFVIGSKTDAERVVNQYQELVQNEAKCP VKMSHSSSGSAALSPGEEAEQAETSSIQSSVLGKGVKHRPPPIKLPSGSGNSSSGNYFTA QQASSFLKSPTPPPSCKPSLSRKSSVDLSQVSMLSPAALSPASSSQRSSLSPVMADSAGL TSVSVLSSAGGAQALKSGSNSMLGCDTGAIPPAGKSLCSGLLPSGGLVANTLPSAVQAAP QAGIPFGLQNTSSLRPLNLLQLPGGSLIFNTQQQQQQTQLPHFIQQPQQPAASGSQQPEE QGSEQGLTAQEQALTAQQAAVINLTGVGGFPQSQAAVLSQLGSAENRPEQSLPQQRLQLS SAFQQQQQQQQQQQQQQLQQLRFLQHQMAMAAAAAQTAQLHRHRHTGSQSKSKMKRGMPT TPKF >ENSMUSP00000143231.1 pep:known chromosome:GRCm38:3:54692823:54728762:1 gene:ENSMUSG00000027751.13 transcript:ENSMUST00000200441.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt20 description:suppressor of Ty 20 [Source:MGI Symbol;Acc:MGI:1929651] MPVTTIPLSTMVTSITTGSSLSPVMADSAGLTSVSVLSSAGGAQALKSGSNSMLGCDTGA IPPAGKSLCSGLLPSGGLVANTLPSAVQAAPQAGIPFGLQNTSSLRPLNLLQLPGGSLIF NTQQQQQQTQLPHFIQQPQQPAASGSQQPEEQGSEQGLTAQEQALTAQQAAVINLTGVGG FPQSQAAVLSQLGSAENRPEQSLPQQRLQLSSAFQQQQQQQQQQQQQQLQQLRFLQHQMA MAAAAAQTAQLHRHRHTGSQSKSKMKRGMPTTPKF >ENSMUSP00000142948.1 pep:known chromosome:GRCm38:3:54692825:54728766:1 gene:ENSMUSG00000027751.13 transcript:ENSMUST00000199674.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Supt20 description:suppressor of Ty 20 [Source:MGI Symbol;Acc:MGI:1929651] MQQAVEQALDCAEYIVESAQQRPPKRKYLSSGRKSIFQKLYDLYVEECEKEPEVKKLRRN VNLLEKLVMQETLSCLVVNLYPGNEGYSLMLRGKNGSDSETIRLPYEEGELLEYLDAEEL PPILVDLLEKSQVNIFHCGCVIAEIRDYRQSSNMKSPGYQSRHILLRPTMQTLVCDVHSI TSDNHKWTQEDKLLLESQLILATAEPLCLDPSVAVACTANRLLYNRQKMNTRPMKRCWKR YSRSSLNRQQDLSHGPPPPQLRLLDFLQKRKERKAGQHYDLKISKAGNCVDMWKRSPCNL AVPSEVDVEKYAKVEESIKSDDSQPTMWPAHDVKDDYVFECEGGNQYQKTKLTILQSLGD PLYYGKIQPWKADEENDSQMSPSHSSADDHSNWFVIGSKTDAERVVNQYQELVQNEAKCP VKMSHSSSGSAALSPGEEAEAETSSIQSSVLGKGVKHRPPPIKLPSGSGNSSSGNYFTAQ QASSFLKSPTPPPSCKPSLSRKSSVDLSQVSMLSPAALSPASSSQRHES >ENSMUSP00000143520.1 pep:known chromosome:GRCm38:3:54692835:54706886:1 gene:ENSMUSG00000027751.13 transcript:ENSMUST00000199655.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt20 description:suppressor of Ty 20 [Source:MGI Symbol;Acc:MGI:1929651] MQQAVEQALDCAEYIVESAQQRPPKRKYLSSGRKSIFQKLYDLYVEECEKEPEVKKLRRN VNLLEKLVMQETLSCLVVNLYPGNEGYSLMLRGKNGSDSETIRLPYEEGELLEYLDAEEL PPILVDLLEKSQVNIFHCGCV >ENSMUSP00000142648.1 pep:known chromosome:GRCm38:3:54692862:54707117:1 gene:ENSMUSG00000027751.13 transcript:ENSMUST00000199652.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt20 description:suppressor of Ty 20 [Source:MGI Symbol;Acc:MGI:1929651] MQQAVEQALDCAEYIVESAQQRPPKRKYLSSGRKSIFQKLYDLYVEECEKEPEVKKLRRN VNLLEKLVMQETLSCLVVNLYPGNEGYSLMLRGKNGSDSETIRLPYEEGELLEYLDAEEL PPILVDLLEKSQVNIFHCGCVIAEIRDYRQSSNMKSPGYQSRHILLRPTMQTLVCDVHSI T >ENSMUSP00000143059.1 pep:known chromosome:GRCm38:3:54693113:54728763:1 gene:ENSMUSG00000027751.13 transcript:ENSMUST00000200439.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Supt20 description:suppressor of Ty 20 [Source:MGI Symbol;Acc:MGI:1929651] MQQAVEQALDCAEYIVESAQQRPPKRKYLSSGRKSIFQKLYDLYVEECEKEPEVKKLRRN VNLLEKLVMQETLSCLVVNLYPGNEGYSLMLRGKNGSDSETIRLPYEEGELLEYLDAEEL PPILVDLLEKSQVNIFHCGCVIAEIRDYRQSSNMKSPGYQSRHILLRPTMQTLVCDVHSI TSDNHKWTQEDKLLLESQLILATAEPLCLDPSVAVACTANRLLYNRQKMNTRPMKRCWKR YSRSSLNRQQDLSHGPPPPQLRLLDFLQKRKERKAGQHYDLKISKAGNCVDMWKRSPCNL AVPSEVDVEKYAKVEESIKSDDSQPTMWPAHDVKDDYVFECEGGNQYQKTKLTILQSLGD PLYYGKIQPWKADEENDSQMSPSHSSADDHSNWFVIGSKTDAERVVNQYQELVQNEAKCP VKMSHSSSGSAALSPGEEAEQAETSSIQSSVLGKGVKHRPPPIKLPSGSGNSSSGNYFTA QQASSFLKSPTPPPSCKPSLSRKSSVDLSQVSMLSPAALSPASSSQRHES >ENSMUSP00000131454.1 pep:known chromosome:GRCm38:3:54693105:54716837:1 gene:ENSMUSG00000027751.13 transcript:ENSMUST00000170552.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt20 description:suppressor of Ty 20 [Source:MGI Symbol;Acc:MGI:1929651] MQQAVEQALDCAEYIVESAQQRPPKRKYLSSGRKSIFQKLYDLYVEECEKEPEVKQKLRR NVNLLEKLVMQETLSCLVVNLYPGNEGYSLMLRGKNGSDSETIRLPYEEGELLEYLDAEE LPPILVDLLEKSQVNIFHCGCVIAEIRDYRQSSNMKSPGYQSRHILLRPTMQTLVCDVHS ITSDNHKWTQEDKLLLESQLILATAEPLCLDPSVAVACTANRLLYNRQKMNTRPMKRCWK RYSRSSLNRQQDLSHGPPPPQLRLLDFLQKRKERKAGQHYDLKISKAGNCVDMWKRSPCN LAVPSEVDVEKYAKVEESIKSDDSQPTMWPAHDVKDDYVFECEGGNQYQKTKLTILQSLG DPLYYGKIQPWKADEENDSQMSPSHSSADDHSNWFVIGSKTDAERVVNQYQELVQNEAKC PVKMSHSSSGSAALSPGEEAEQAETSSIQSSVLGKGVKHRPPPIKLPSGSGNSSSGNYFT AQQASSFLKSPTPPPSCKPSLSRKSSVDLSQVSMLSPAALSPASSSQRHES >ENSMUSP00000029315.8 pep:known chromosome:GRCm38:3:54692823:54728762:1 gene:ENSMUSG00000027751.13 transcript:ENSMUST00000029315.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt20 description:suppressor of Ty 20 [Source:MGI Symbol;Acc:MGI:1929651] MPVTTIPLSTMVTSITTGSSLSPVMADSAGLTSVSVLSSAGGAQALKSGSNSMLGCDTGA IPPAGKSLCSGLLPSGGLVANTLPSAVQAAPQAGIPFGLQNTSSLRPLNLLQQQQQTQLP HFIQQPQQPAASGSQQPEEQGSEQGLTAQEQALTAQQAAVINLTGVGGFPQSQAAVLSQL GSAENRPEQSLPQQRLQLSSAFQQQQQQQQQQQQQQLQQLRFLQHQMAMAAAAAQTAQLH RHRHTGSQSKSKMKRGMPTTPKF >ENSMUSP00000130884.1 pep:known chromosome:GRCm38:15:89386827:89388867:1 gene:ENSMUSG00000091680.2 transcript:ENSMUST00000166926.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc7b description:kelch domain containing 7B [Source:MGI Symbol;Acc:MGI:3648212] MVLRSHPFPRQEKAQGSAPRADPESPAGPSPSTYSEDIQPGSSSEGAIFSLQDKHRPVLV TAVGSESLAEARCETQPVSLETNASLTPDPRGDETKQKSLDLLPPAAEPVASPQVPVSAQ PGPVPPTSMRQDAQPTPQPRQRRRKRSIAQSSEHILIQEVPQQPQGQVRKEGARPTDCRD ILTEKQKETQKLMAFLQKPGSWGVVEGPHKYCSQTSQPSSLVAPWPPKLDLGSCLEVLAF AQQHGELGLAQETYVLMSNNLLHVLGDPHLYRQLSGADRERILNLRTCQGQTVLGVLVLP SLYQVSRSGLTRGPPSEEAPAARSEHLHLHTYLHVFNPQENVWWPLTQVPEEVPLRGCGL CTMHNYLFLAGGIRGSGAKAVCSNKVFCYNPLTNIWSQIRPMQQARAQLKLVALDGMLYA IGGECLYSMERYDPRTDTWTLRASLPEGTFPVAHEAVVCRGEIYVTGGHLFYRLLRYSPM KDSWDECPYSASHRRSSDMVALGGFLYRFDLLRGVGAAVMRYNTVTGSWSRAASLPLSDP APLHCTVLGNTIYCLNHQVTATFTVSEGTALFQAKELQPFPLGSKGVLYPFTLTLPPKTW LQTTI >ENSMUSP00000113062.1 pep:known chromosome:GRCm38:17:35681567:35700610:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000119825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSRSYR LRYSRDGRRWMDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLR VELYGCLWRDGLLSYTAPVGQTMQLSEVMVHLNDSTYDGYTAGGLQYGGLGQLADGVVGL DDFRQSQELRVWPGYDYVGWSNQSFPTGYVEMEFEFDRLRTFQTMQVHCNNMHTLGARLP GGVECRFKRGPAMAWEGEPVRHALGGSLGDPRARAISVPLGGHVGRFLQCRFLFAGPWLL FSEISFISDVVNDSSDTFPPAPWWPPGPPPTNFSSLELEPRGQQPVAKAEGSPTAILIGC LVAIILLLLLIIALMLWRLHWRRLLSKAERRVLEEELTVHLSVPGDTILINNRPGPREPP PYQEPRPRGTPPHSAPCVPNGSALLLSNPAYRLLLATYARPPRGPGPPTPAWAKPTNTQA CSGDYMEPEKPGAPLLPPPPQNSVPHYAEADIVTLQGVTGGNTYAVPALPPGAVGDGPPR VDFPRSRLRFKEKLGEGQFGEVHLCEVEDPQDLVSSDFPISVHKGHPLLVAVKILRPDAT KNARNDFLKEVKIMSRLKDPNIIRLLGVCVQDDPLCMITDYMENGDLNQFLSARQLENKA TQGLSGDTESDQGPTISYPMLLHVGAQIASGMRYLATLNFVHRDLATRNCLVGENFTIKI ADFGMSRNLYAGDYYRVQGRAVLPIRWMAWECILMGKFTTASDVWAFGVTLWEVLMLCRS QPFGQLTDEQVIENAGEFFRDQGRQVYLSRPPACPQTLYELMLRCWSREPEQRPPFAQLH RFLADDALNTV >ENSMUSP00000112570.1 pep:known chromosome:GRCm38:17:35681567:35704599:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000117301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSRSYR LRYSRDGRRWMDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLR VELYGCLWRDGLLSYTAPVGQTMQLSEVMVHLNDSTYDGYTAGGLQYGGLGQLADGVVGL DDFRQSQELRVWPGYDYVGWSNQSFPTGYVEMEFEFDRLRTFQTMQVHCNNMHTLGARLP GGVECRFKRGPAMAWEGEPVRHALGGSLGDPRARAISVPLGGHVGRFLQCRFLFAGPWLL FSEISFISDVVNDSSDTFPPAPWWPPGPPPTNFSSLELEPRGQQPVAKAEGSPTAILIGC LVAIILLLLLIIALMLWRLHWRRLLSKAERRVLEEELTVHLSVPGDTILINNRPGPREPP PYQEPRPRGTPPHSAPCVPNGSALLLSNPAYRLLLATYARPPRGPGPPTPAWAKPTNTQA CSGDYMEPEKPGAPLLPPPPQNSVPHYAEADIVTLQGVTGGNTYAVPALPPGAVGDGPPR VDFPRSRLRFKEKLGEGQFGEVHLCEVEDPQDLVSSDFPISVHKGHPLLVAVKILRPDAT KNARNDFLKEVKIMSRLKDPNIIRLLGVCVQDDPLCMITDYMENGDLNQFLSARQLENKA TQGLSGDTESDQGPTISYPMLLHVGAQIASGMRYLATLNFVHRDLATRNCLVGENFTIKI ADFGMSRNLYAGDYYRVQGRAVLPIRWMAWECILMGKFTTASDVWAFGVTLWEVLMLCRS QPFGQLTDEQVIENAGEFFRDQGRQVYLSRPPACPQTLYELMLRCWSREPEQRPPFAQLH RFLADDALNTV >ENSMUSP00000094945.3 pep:known chromosome:GRCm38:17:35681570:35704041:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000097333.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSRSYR LRYSRDGRRWMDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLR VELYGCLWRDGLLSYTAPVGQTMQLSEVMVHLNDSTYDGYTAGGLQYGGLGQLADGVVGL DDFRQSQELRVWPGYDYVGWSNQSFPTGYVEMEFEFDRLRTFQTMQVHCNNMHTLGARLP GGVECRFKRGPAMAWEGEPVRHALGGSLGDPRARAISVPLGGHVGRFLQCRFLFAGPWLL FSEISFISDVVNDSSDTFPPAPWWPPGPPPTNFSSLELEPRGQQPVAKAEGSPTAILIGC LVAIILLLLLIIALMLWRLHWRRLLSKAERRVLEEELTVHLSVPGDTILINNRPGPREPP PYQEPRPRGTPPHSAPCVPNGSACSGDYMEPEKPGAPLLPPPPQNSVPHYAEADIVTLQG VTGGNTYAVPALPPGAVGDGPPRVDFPRSRLRFKEKLGEGQFGEVHLCEVEDPQDLVSSD FPISVHKGHPLLVAVKILRPDATKNARNDFLKEVKIMSRLKDPNIIRLLGVCVQDDPLCM ITDYMENGDLNQFLSARQLENKATQGLSGDTESDQGPTISYPMLLHVGAQIASGMRYLAT LNFVHRDLATRNCLVGENFTIKIADFGMSRNLYAGDYYRVQGRAVLPIRWMAWECILMGK FTTASDVWAFGVTLWEVLMLCRSQPFGQLTDEQVIENAGEFFRDQGRQVYLSRPPACPQT LYELMLRCWSREPEQRPPFAQLHRFLADDALNTV >ENSMUSP00000117427.1 pep:known chromosome:GRCm38:17:35682431:35700552:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000155957.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSRSYR LRYSRDGRRWMDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLR VELYGCLWRGCSMAVWASWQMAWWAWMISGRARSCGSGQAMTMWDGAIRASPRATWRWSL SLIG >ENSMUSP00000133659.1 pep:known chromosome:GRCm38:17:35685306:35701964:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000155628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSRSYR LRYSRDGRRWMDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLR VELYGCLWRDGLLSYTAPVGQTMQLSEVMVHLNDSTYDGYTAGGLQYGGLGQLADGVVGL DDFRQSQELRVWPGYDYVGWSNQSFPTGYVEMEFEFDRLRTFQTMQVHCNNMHTLGARLP GGVECRFKRGPAMAWEGEPVRHALGGSLGDPRARAISVPLGGHVGRFLQCRFLFAGPWLL FSEISFISDVVNDSSDTFPPAPWWPPGPPPTNFSSLELEPRGQQPVAKAEGSPTAILIGC LVAIILLLLLIIALMLWRLHWRRLLSKAERRVLEEELTVHLSVPGDTILINNRPGPREPP PYQEPRPRGTPPHSAPCVPNGSACSGDYMEPEKPGAPLLPPPPQNSVPHYAEADIVTLQG VTGGNTYAVPALPPGAVGDGPPRVDFPRSRLRFKEKLGEGQFGE >ENSMUSP00000120635.2 pep:known chromosome:GRCm38:17:35690031:35703977:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000148065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLRVELYGCLWRD GLLSYTAPVGQTMQLSEVMVHLNDSTYDGYTAGGLQYGGLGQLADGVVGLDDFRQSQELR VWPGYDYVGWSNQSFPTGYVEME >ENSMUSP00000117301.1 pep:known chromosome:GRCm38:17:35690059:35704621:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000134995.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSRSYR LRYSRDGRRWMDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLR VELYGCLWRDGLLSYTAPVGQTMQLSEVMVHLNDSTYDGYTAGGLQYGGLGQLADGVVGL DDFRQSQELRVWPGYDYVGWSNQS >ENSMUSP00000119397.1 pep:known chromosome:GRCm38:17:35690159:35697971:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000146472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSRSYR LRYSRDGRRWMDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLR VELYGCLWRDGLLSYTAPVGQTMQLSEVMVHLNDSTYDGYTAGGLQYGGLG >ENSMUSP00000122361.1 pep:known chromosome:GRCm38:17:35691135:35702046:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000145900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSRSYR LRYSRDGRRWMDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLR VELYGCLWRDGLLSYTAPVGQTMQLSEVMVHLNDSTYDGYT >ENSMUSP00000122191.1 pep:known chromosome:GRCm38:17:35693008:35702317:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000135078.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQ >ENSMUSP00000133047.2 pep:known chromosome:GRCm38:17:35681570:35702044:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000166980.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSRSYR LRYSRDGRRWMDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLR VELYGCLWRDGLLSYTAPVGQTMQLSEVMVHLNDSTYDGYTAGGLQYGGLGQLADGVVGL DDFRQSQELRVWPGYDYVGWSNQSFPTGYVEMEFEFDRLRTFQTMQVHCNNMHTLGARLP GGVECRFKRGPAMAWEGEPVRHALGGSLGDPRARAISVPLGGHVGRFLQCRFLFAGPWLL FSEISFISDVVNDSSDTFPPAPWWPPGPPPTNFSSLELEPRGQQPVAKAEGSPTAILIGC LVAIILLLLLIIALMLWRLHWRRLLSKAERRVLEEELTVHLSVPGDTILINNRPGPREPP PYQEPRPRGTPPHSAPCVPNGSALLLSNPAYRLLLATYARPPRGPGPPTPAWAKPTNTQA CSGDYMEPEKPGAPLLPPPPQNSVPHYAEADIVTLQGVTGGNTYAVPALPPGAVGDGPPR VDFPRSRLRFKEKLGEGQFGEVHLCEVEDPQDLVSSDFPISVHKGHPLLVAVKILRPDAT KNARNDFLKEVKIMSRLKDPNIIRLLGVCVQDDPLCMITDYMENGDLNQFLSARQLENKA TQGLSGDTESDQGPTISYPMLLHVGAQIASGMRYLATLNFVHRDLATRNCLVGENFTIKI ADFGMSRNLYAGDYYRVQGRAVLPIRWMAWECILMGKFTTASDVWAFGVTLWEVLMLCRS QPFGQLTDEQVIENAGEFFRDQGRQVYLSRPPACPQTLYELMLRCWSREPEQRPPFAQLH RFLADDALNTV >ENSMUSP00000003628.6 pep:known chromosome:GRCm38:17:35681570:35704139:-1 gene:ENSMUSG00000003534.17 transcript:ENSMUST00000003628.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr1 description:discoidin domain receptor family, member 1 [Source:MGI Symbol;Acc:MGI:99216] MGTGTLSSLLLLLLLVTIGDADMKGHFDPAKCRYALGMQDRTIPDSDISVSSSWSDSTAA RHSRLESSDGDGAWCPAGPVFPKEEEYLQVDLRRLHLVALVGTQGRHAGGLGKEFSRSYR LRYSRDGRRWMDWKDRWGQEVISGNEDPGGVVLKDLGPPMVARLVRFYPRADRVMSVCLR VELYGCLWRDGLLSYTAPVGQTMQLSEVMVHLNDSTYDGYTAGGLQYGGLGQLADGVVGL DDFRQSQELRVWPGYDYVGWSNQSFPTGYVEMEFEFDRLRTFQTMQVHCNNMHTLGARLP GGVECRFKRGPAMAWEGEPVRHALGGSLGDPRARAISVPLGGHVGRFLQCRFLFAGPWLL FSEISFISDVVNDSSDTFPPAPWWPPGPPPTNFSSLELEPRGQQPVAKAEGSPTAILIGC LVAIILLLLLIIALMLWRLHWRRLLSKAERRVLEEELTVHLSVPGDTILINNRPGPREPP PYQEPRPRGTPPHSAPCVPNGSALLLSNPAYRLLLATYARPPRGPGPPTPAWAKPTNTQA CSGDYMEPEKPGAPLLPPPPQNSVPHYAEADIVTLQGVTGGNTYAVPALPPGAVGDGPPR VDFPRSRLRFKEKLGEGQFGEVHLCEVEDPQDLVSSDFPISVHKGHPLLVAVKILRPDAT KNARNDFLKEVKIMSRLKDPNIIRLLGVCVQDDPLCMITDYMENGDLNQFLSARQLENKA TQGLSGDTESDQGPTISYPMLLHVGAQIASGMRYLATLNFVHRDLATRNCLVGENFTIKI ADFGMSRNLYAGDYYRVQGRAVLPIRWMAWECILMGKFTTASDVWAFGVTLWEVLMLCRS QPFGQLTDEQVIENAGEFFRDQGRQVYLSRPPACPQTLYELMLRCWSREPEQRPPFAQLH RFLADDALNTV >ENSMUSP00000144790.1 pep:known chromosome:GRCm38:3:94433387:94435117:-1 gene:ENSMUSG00000028141.10 transcript:ENSMUST00000204980.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz3 description:ornithine decarboxylase antizyme 3 [Source:MGI Symbol;Acc:MGI:1858170] XPLLHQDPVQLDFHFRLTPHSSAHWHGLLCDHRLFLDIPYQALDQGNRERCPAASL >ENSMUSP00000145113.1 pep:known chromosome:GRCm38:3:94433387:94436567:-1 gene:ENSMUSG00000028141.10 transcript:ENSMUST00000204913.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz3 description:ornithine decarboxylase antizyme 3 [Source:MGI Symbol;Acc:MGI:1858170] LPCNRSRPSLYSLSYIKRGKTRNYLYPFWSPFAYYLYCYKYRITLREKMLPCCYKSITYK EQEDLTLRPHCCLPCSCLPCSCLQCSESLGGLQVGRSTAQEKDHSQLKELYSLDSNTGVC GGENQCGLCVCELPNRSEGQRCPAASL >ENSMUSP00000143080.2 pep:known chromosome:GRCm38:3:94433387:94436637:-1 gene:ENSMUSG00000028141.10 transcript:ENSMUST00000199678.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz3 description:ornithine decarboxylase antizyme 3 [Source:MGI Symbol;Acc:MGI:1858170] LPCNRSRPSLYSLSYIKRGKTRNYLYPFWSPFAYYLYCYKYRITLREKMLPCCYKSITYK EQEDLTLRPHCCLPCSCLPCSCLQCSESLGGLQVGRSTAQEKDHSQLKELYSAGNLTVLS TDPLLHQDPVQLDFHFRLTPHSSAHWHGLLCDHRLFLDIPYQALDQGNRESLTATLEYVE EKTNVDSVFVNFQIDRKDRGALLRAFSYMGFEVVRPDHPALPPWDNVIFMVYPLERDLGH PGQ >ENSMUSP00000145484.1 pep:known chromosome:GRCm38:3:94433387:94443833:-1 gene:ENSMUSG00000028141.10 transcript:ENSMUST00000203883.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz3 description:ornithine decarboxylase antizyme 3 [Source:MGI Symbol;Acc:MGI:1858170] MTVLWWSGRLGITYKEQEDLTLRPHCCLPCSCLPCSCLQCSESLGGLQVGRSTAQEKDHS QLKELYSAGNLTVLSTDPLLHQDPVQLDFHFRLTPHSSAHWHGLLCDHRLFLDIPYQALD QGNRESLTATLEYVEEKTNVDSVFVNFQIDRKDRGALLRAFSYMGFEVVRPDHPALPPWD NVIFMVYPLERDLGHPGQ >ENSMUSP00000145079.1 pep:known chromosome:GRCm38:3:94433387:94443915:-1 gene:ENSMUSG00000028141.10 transcript:ENSMUST00000204548.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz3 description:ornithine decarboxylase antizyme 3 [Source:MGI Symbol;Acc:MGI:1858170] MTVLWWSGRLGITYKEQEDLTLRPHCCLPCSCLPCSCLQCSESLGGLQVGRSTAQEKDHS QLKELYSLDSNTGVCGGENQCGLCVCELPNRSEGQRCPAASL >ENSMUSP00000139408.1 pep:known chromosome:GRCm38:3:94433166:94436616:-1 gene:ENSMUSG00000028141.10 transcript:ENSMUST00000191506.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz3 description:ornithine decarboxylase antizyme 3 [Source:MGI Symbol;Acc:MGI:1858170] LPCNRSRPSLYSLSYIKRGKTRNYLYPFWSPFAYYLYCYKYRITLREKMLPCCYKSITYK EQEDLTLRPHCCLPCSCLPCSCLQCESLGGLQVGRSTAQEKDHSQLKELYSAGNLTVLST DPLLHQDPVQLDFHFRLTPHSSAHWHGLLCDHRLFLDIPYQALDQGNRESLTATLEYVEE KTNVDSVFVNFQIDRKDRGALLRAFSYMGFEVVRPDHPALPPWDNVIFMVYPLERDLGHP GQ >ENSMUSP00000138567.1 pep:known chromosome:GRCm38:7:30056034:30090410:-1 gene:ENSMUSG00000058447.8 transcript:ENSMUST00000182746.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm26920 description:predicted gene, 26920 [Source:MGI Symbol;Acc:MGI:5504035] MAQVSVLFHDVYIDFSQEEWECLTEEQRDLYRDVMLENYSNLLSMGWTRLREKFGR >ENSMUSP00000107137.1 pep:known chromosome:GRCm38:2:89974709:89978712:1 gene:ENSMUSG00000042894.9 transcript:ENSMUST00000111512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1260 description:olfactory receptor 1260 [Source:MGI Symbol;Acc:MGI:3031094] MKQINNVTEFILLGLTQNPDVQKLLLVIFALIYSLTLIGNLLIIVTVISSPTLGSPMYFF LSFLSFVDGCCSSTMAPKMIFDLLAERKTISFNGCMTQIFAEHFFGGVEIILLTAMAYDR YVAICKPLHYMITMNRRVCGFLVSTAWAGGFLHALIQILFMVWLPFCGPNIIDHFICDLF PLLKLSCTDNHIFGLFVAANSGLMCMLIFSILLTSYVLIFCSLKTHSTEEQLKALSTCAS HITVVLLFFVPCIFVYLRPMVIFPFDKAVAVFYTVITPMLNPLIYTLRNTEVKNAMRKLW NQRKPGKRFT >ENSMUSP00000119512.1 pep:known chromosome:GRCm38:2:89974719:89978142:1 gene:ENSMUSG00000042894.9 transcript:ENSMUST00000144710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1260 description:olfactory receptor 1260 [Source:MGI Symbol;Acc:MGI:3031094] MKQINNVTEFILLGLTQNPDVQKLLLVIFALIYSLTLIGNLLIIVTVISSPTLGSPMYFF LSFLSFVDGCCSSTMAPKMIFDLLAERKTISFNGCMTQIFAEHFFGGVEIILLTAMAYDR Y >ENSMUSP00000053523.1 pep:known chromosome:GRCm38:2:89977780:89978712:1 gene:ENSMUSG00000042894.9 transcript:ENSMUST00000061830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1260 description:olfactory receptor 1260 [Source:MGI Symbol;Acc:MGI:3031094] MKQINNVTEFILLGLTQNPDVQKLLLVIFALIYSLTLIGNLLIIVTVISSPTLGSPMYFF LSFLSFVDGCCSSTMAPKMIFDLLAERKTISFNGCMTQIFAEHFFGGVEIILLTAMAYDR YVAICKPLHYMITMNRRVCGFLVSTAWAGGFLHALIQILFMVWLPFCGPNIIDHFICDLF PLLKLSCTDNHIFGLFVAANSGLMCMLIFSILLTSYVLIFCSLKTHSTEEQLKALSTCAS HITVVLLFFVPCIFVYLRPMVIFPFDKAVAVFYTVITPMLNPLIYTLRNTEVKNAMRKLW NQRKPGKRFT >ENSMUSP00000123928.1 pep:known chromosome:GRCm38:14:60634243:60764549:1 gene:ENSMUSG00000021990.15 transcript:ENSMUST00000160973.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata13 description:spermatogenesis associated 13 [Source:MGI Symbol;Acc:MGI:104838] MTTAQDGHGQGATATCSASNPKAPKMVTSSACQNGGCKSSPSSDPEAGETRLSPSKLVRL FSGSRKRMNSNSERPRSVVLVGNSSTWNALASFRKMGSFKRLKSSVLQGIQNREGAEVSK EEPTGDPGRAAPNGTVAILGKGLGRCTSLGPVGDGAGSDCSDPEDTEDAFQRSTHRSRSI RRAYGLGRISLLDLGRQPVPEPAVCEIQVRDPEPSRAVPLPRRSKSIDSLSVLKSSFKRK SASNLTELQGDRQVPSRTLSSFFTDPEKPGGSERRTRRWRSPIRAKDFDRVLRLVSNVKD AAWKREAPRSAAPGPGPGLGDENPLLAPRSKLHDDYSRRTSSSVEPDARRGGSPCIPAPC TTAPYAAPEPHLDVDTAVFPLETKSAQPLGNDGPRASSPSPIPTDPEGLSQGSSEAHTGS QHPFNPVQLPTPLRPTTPKPQSPQSPGSTKCPSSLSVLSLSSADSEERTEDASHRQPGPV SLQDTVLNAAGDVGGESGDSYFPENLDGATHPEEKKEEVLVTEDDWPQGSALEEEQNEAP RISRRRWGSGRRTRPRPLSDYGQLAGRSLSIPEDAIAADPPDEDHVDRMHPASVTTTSQD PCAPSGSCRGGRRRRPISVIGGVSFYGNTQVEDVENLLVQPAARPPVPAHQVPPYKAVSA RLRPFTFSQSTPIGLDRVGRRRQMKTSNVSSDGGAESSALVDDNGSEEDFSYEELCQANP RYLQPGGEQLAINELISDGSVVCAEALWDHVTMDDQELGFKAGDVIQVLEASNKDWWWGR NEDKEAWFPASFVRLRVNQEELPENCSSSHGEEQDEDTSKARHKHPESQQQMRTNVIQEI MNTERVYIKHLKDICEGYIRQCRKHTGMFTVAQLATIFGNIEDIYKFQRKFLKDLEKQYN KEEPHLSEIGSCFLEHQEGFAIYSEYCNNHPGACVELSNLMKHSKYRHFFEACRLLQQMI DIALDGFLLTPVQKICKYPLQLAELLKYTTQEHGDYNNIKAAYEAMKNVACLINERKRKL ESIDKIARWQVSIVGWEGLDILDRSSELIHSGELTKITRQGKSQQRIFFLFDHQLVSCKK DLLRRDMLYYKGRMDMDEVELVDVEDGRDKDWSLSLRNAFKLVSKATDEVHLFCARKQED KARWLQAYADERRRVQEDQQMGMEIPENQKKLAMLNAQKAGHGKSKGYNSCPVAPPHQSL PPLHQRHITVPTSIPQQQVFALAEPKRKPSIFWHTFHKLTPFRK >ENSMUSP00000123888.1 pep:known chromosome:GRCm38:14:60732906:60764549:1 gene:ENSMUSG00000021990.15 transcript:ENSMUST00000162945.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata13 description:spermatogenesis associated 13 [Source:MGI Symbol;Acc:MGI:104838] MVARGEIARFWSLESLHMVSSDGGAESSALVDDNGSEEDFSYEELCQANPRYLQPGGEQL AINELISDGSVVCAEALWDHVTMDDQELGFKAGDVIQVLEASNKDWWWGRNEDKEAWFPA SFVRLRVNQEELPENCSSSHGEEQDEDTSKARHKHPESQQQMRTNVIQEIMNTERVYIKH LKDICEGYIRQCRKHTGMFTVAQLATIFGNIEDIYKFQRKFLKDLEKQYNKEEPHLSEIG SCFLEHQEGFAIYSEYCNNHPGACVELSNLMKHSKYRHFFEACRLLQQMIDIALDGFLLT PVQKICKYPLQLAELLKYTTQEHGDYNNIKAAYEAMKNVACLINERKRKLESIDKIARWQ VSIVGWEGLDILDRSSELIHSGELTKITRQGKSQQRIFFLFDHQLVSCKKDLLRRDMLYY KGRMDMDEVELVDVEDGRDKDWSLSLRNAFKLVSKATDEVHLFCARKQEDKARWLQAYAD ERRRVQEDQQMGMEIPENQKKLAMLNAQKAGHGKSKGYNSCPVAPPHQSLPPLHQRHITV PTSIPQQQVFALAEPKRKPSIFWHTFHKLTPFRK >ENSMUSP00000125702.1 pep:known chromosome:GRCm38:14:60634734:60691408:1 gene:ENSMUSG00000021990.15 transcript:ENSMUST00000159729.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata13 description:spermatogenesis associated 13 [Source:MGI Symbol;Acc:MGI:104838] MTTAQDGHGQGATATCSASNPKAPKMVTSSACQNGGCKSSPSSDPEAGETRLSPSKLVRL FSGSRKRMNSNSERPRSVVLVGNSSTWNALASFRKMGSFKRLKSSVLQGIQNREGAEVSK EEPTGDPGRAAPNGTVAI >ENSMUSP00000125163.1 pep:known chromosome:GRCm38:14:60634001:60691367:1 gene:ENSMUSG00000021990.15 transcript:ENSMUST00000159169.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata13 description:spermatogenesis associated 13 [Source:MGI Symbol;Acc:MGI:104838] MTTAQDGHGQGATATCSASNPKAPKMVTSSACQNGGCKSSPSSDPEAGETRLSPSKLVRL FSGSRKRMNSNSERPRSVVLVGNSSTWNALASFRKMGSFKRLKSSVLQGIQNREGAEVSK EEPT >ENSMUSP00000123744.1 pep:known chromosome:GRCm38:14:60691975:60709569:1 gene:ENSMUSG00000021990.15 transcript:ENSMUST00000160095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata13 description:spermatogenesis associated 13 [Source:MGI Symbol;Acc:MGI:104838] XPRSKLHDDYSRRTSSSVEPDARRGGSPCIPAPCTTAPYAAPEPHLDVDTAVFPLETKSA QPLGNDGPRASSPSPIPTDPEGLSQGSSEAHTGSQHPFNPVQLPTPLRPTTPKPQSPQSP GSTKCPSSLSVLSLSSADSEERTEDASHRQPGPVSLQDTVLNAAGDVGGESGDSYFPENL DGATHPEEKKEEPAARPPVPAHQVPPYKAVSARLRPFTFSQSTPIGLDRVGRRRQMKTSN >ENSMUSP00000124586.1 pep:known chromosome:GRCm38:14:60706733:60750016:1 gene:ENSMUSG00000021990.15 transcript:ENSMUST00000162131.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata13 description:spermatogenesis associated 13 [Source:MGI Symbol;Acc:MGI:104838] XWPQGSALEEEQNEAPRISRRRWGSGRRTRPRPLSDYGQLAGRSLSIPEDAIAADPPDED HVDRMHPASVTTTSQDPCAPSGSCRGGRRRRPISVIGGVSFYGNTQPAARPPVPAHQVPP YKAVSARLRPFTFSQSTPIGLDRVGRRRQMKTSNVSSDGGAESSALVDDNGSEEDFSYEE LCQANPRYLQPGGEQLAINELISDGSVVCAEALWDHVTMDDQELGFKAGDVIQVLEASNK DWWWGRNEDKEAWFPASFVRLRVNQEELPENCSSSHGEEQDEDTSKARHKHPESQQQMRT NVIQEIMNTERVYIKHLKDICEGYIRQCRKHTGMFTVAQL >ENSMUSP00000022566.7 pep:known chromosome:GRCm38:14:60634729:60764556:1 gene:ENSMUSG00000021990.15 transcript:ENSMUST00000022566.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata13 description:spermatogenesis associated 13 [Source:MGI Symbol;Acc:MGI:104838] MTTAQDGHGQGATATCSASNPKAPKMVTSSACQNGGCKSSPSSDPEAGETRLSPSKLVRL FSGSRKRMNSNSERPRSVVLVGNSSTWNALASFRKMGSFKRLKSSVLQGIQNREGAEVSK EEPTGDPGRAAPNGTVAILGKGLGRCTSLGPVGDGAGSDCSDPEDTEDAFQRSTHRSRSI RRAYGLGRISLLDLGRQPVPEPAVCEIQVRDPEPSRAVPLPRRSKSIDSLSVLKSSFKRK SASNLTELQGDRQVPSRTLSSFFTDPEKPGGSERRTRRWRSPIRAKDFDRVLRLVSNVKD AAWKREAPRSAAPGPGPGLGDENPLLAPRSKLHDDYSRRTSSSVEPDARRGGSPCIPAPC TTAPYAAPEPHLDVDTAVFPLETKSAQPLGNDGPRASSPSPIPTDPEGLSQGSSEAHTGS QHPFNPVQLPTPLRPTTPKPQSPQSPGSTKCPSSLSVLSLSSADSEERTEDASHRQPGPV SLQDTVLNAAGDVGGESGDSYFPENLDGATHPEEKKEEVLVTEDDWPQGSALEEEQNEAP RISRRRWGSGRRTRPRPLSDYGQLAGRSLSIPEDAIAADPPDEDHVDRMHPASVTTTSQD PCAPSGSCRGGRRRRPISVIGGVSFYGNTQVEDVENLLVQPAARPPVPAHQVPPYKAVSA RLRPFTFSQSTPIGLDRVGRRRQMKTSNVSSDGGAESSALVDDNGSEEDFSYEELCQANP RYLQPGGEQLAINELISDGSVVCAEALWDHVTMDDQELGFKAGDVIQVLEASNKDWWWGR NEDKEAWFPASFVRLRVNQEELPENCSSSHGEEQDEDTSKARHKHPESQQQMRTNVIQEI MNTERVYIKHLKDICEGYIRQCRKHTGMFTVAQLATIFGNIEDIYKFQRKFLKDLEKQYN KEEPHLSEIGSCFLEHQEGFAIYSEYCNNHPGACVELSNLMKHSKYRHFFEACRLLQQMI DIALDGFLLTPVQKICKYPLQLAELLKYTTQEHGDYNNIKAAYEAMKNVACLINERKRKL ESIDKIARWQVSIVGWEGLDILDRSSELIHSGELTKITRQGKSQQRIFFLFDHQLVSCKK DLLRRDMLYYKGRMDMDEVELVDVEDGRDKDWSLSLRNAFKLVSKATDEVHLFCARKQED KARWLQAYADERRRVQEDQQMGMEIPENQKKLAMLNAQKAGHGKSKGYNSCPVAPPHQSL PPLHQRHITVPTSIPQQQVFALAEPKRKPSIFWHTFHKLTPFRK >ENSMUSP00000112795.1 pep:known chromosome:GRCm38:6:129898996:129917650:-1 gene:ENSMUSG00000030173.15 transcript:ENSMUST00000118060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra5 description:killer cell lectin-like receptor, subfamily A, member 5 [Source:MGI Symbol;Acc:MGI:101903] MSEPEVTYSTVRLHKSSGLQRLVSHEEIQGPGEAGYRKCSVPWQLTVRSLGIFCFLLLVT VAVLAVKIFQYSQHKQEIHETLNHNHNCSNMQSDIKLKEEMLRNKSIDCSPGEELLESLN REQNRWYSETKTDLDSSQDTGTGVKHWFCYGTKCFYFIMSKNTWSGCKQTCQHYSLPLVK IEDEDELKFLQFQVISDSYWIGLSYDKKKKQWAWIDNGPSKLDMKTRKMNFKPGGCIFLS KTRLEDTNCNNSYFCICGKKLDHFPG >ENSMUSP00000126290.2 pep:known chromosome:GRCm38:6:129899158:129913166:-1 gene:ENSMUSG00000030173.15 transcript:ENSMUST00000169901.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra5 description:killer cell lectin-like receptor, subfamily A, member 5 [Source:MGI Symbol;Acc:MGI:101903] MQSDIKLKEEMLRNKSIDCSPGEELLESLNREQNRWYSETKTDLDSSQDTGTGVKHWFCY GTKCFYFIMSKNTWSGCKQTCQHYSLPLVKIEDEDELKFLQFQVISDSYWIGLSYDKKKK QWAWIDNGPSKLDMKTRKMNFKPGGCIFLSKTRLEDTNCNNSYFCICGKKLDHFPG >ENSMUSP00000014683.6 pep:known chromosome:GRCm38:6:129898996:129913224:-1 gene:ENSMUSG00000030173.15 transcript:ENSMUST00000014683.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra5 description:killer cell lectin-like receptor, subfamily A, member 5 [Source:MGI Symbol;Acc:MGI:101903] MSEPEVTYSTVRLHKSSGLQRLVSHEEIQGPGEAGYRKCSVPWQLTVRSLGIFCFLLLVT VAVLAVKIFQYSQHKQEIHETLNHNHNCSNMQSDIKLKEEMLRNKSIDCSPGEELLESLN REQNRWYSETKTDLDSSQDTGTGVKHWFCYGTKCFYFIMSKNTWSGCKQTCQHYSLPLVK IEDEDELKFLQFQVISDSYWIGLSYDKKKKQWAWIDNGPSKLDMKTRKMNFKPGGCIFLS KTRLEDTNCNNSYFCICGKKLDHFPG >ENSMUSP00000079647.7 pep:known chromosome:GRCm38:7:30056037:30072900:-1 gene:ENSMUSG00000098022.7 transcript:ENSMUST00000080834.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp82 description:zinc finger protein 82 [Source:MGI Symbol;Acc:MGI:1890753] MARVSVVFSDVSIAFSQEEWESLDLEQRNLYKDVMMENYHNVASLGCFISKPDVISLLEQ GKEPWKVVRQRKPCPDSETKDETNQVFSENGIYEMNLSQWKIMERIGNSGLKSLLLKNGW ESRRKQERQEDPQEGYLSQVRHTSERVSSYEKRALTTRQRIHFVEKPYECNECGKAFRVR QQLTFHHRIHTGEKPYECKECGMAFRQTAHLTRHQRLHSGEKLYECKECGQAFIYGPELR AHQKLHTGEKPYTCRECGKAFRVRGQLTLHQRIHTGEKPYVCQECGKAFRQLAHLTRHQK LNVVDRLYECKECGKDFLCGSGLRVHHKLHTGEKPYECKDCGKAFRVRQQLTLHQRSHTG EKPYECTECGKTFSRGYHLILHHRIHTGEKPYECKECWKAFSRYSQLISHQSIHIGVKPY DCKDCGKAFRLLSQLTQHQSVHAGEKPYSCKECGKSFRLRQKLALHQSIHTGEKPFECKE CRKAFRLNSSLIQHLRIHSGEKPYECKECKKAFRQHSHLTHHLKVHTVKV >ENSMUSP00000138217.1 pep:known chromosome:GRCm38:7:30056038:30072133:-1 gene:ENSMUSG00000098022.7 transcript:ENSMUST00000182546.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp82 description:zinc finger protein 82 [Source:MGI Symbol;Acc:MGI:1890753] MARVSVVFSDVSIAFSQEEWESLDLEQRNLYKDVMMENYHNVASLDSETKDETNQVFSEN GIYEMNLSQWKIMERIGNSGLKSLLLKNGWESRRKQERQEDPQEGYLSQVRHTSERVSSY EKRALTTRQRIHFVEKPYECNECGKAFRVRQQLTFHHRIHTGEKPYECKECGMAFRQTAH LTRHQRLHSGEKLYECKECGQAFIYGPELRAHQKLHTGEKPYTCRECGKAFRVRGQLTLH QRIHTGEKPYVCQECGKAFRQLAHLTRHQKLNVVDRLYECKECGKDFLCGSGLRVHHKLH TGEKPYECKDCGKAFRVRQQLTLHQRSHTGEKPYECTECGKTFSRGYHLILHHRIHTGEK PYECKECWKAFSRYSQLISHQSIHIGVKPYDCKDCGKAFRLLSQLTQHQSVHAGEKPYSC KECGKSFRLRQKLALHQSIHTGEKPFECKECRKAFRLNSSLIQHLRIHSGEKPYECKECK KAFRQHSHLTHHLKVHTVKV >ENSMUSP00000138677.1 pep:known chromosome:GRCm38:7:30057271:30072801:-1 gene:ENSMUSG00000098022.7 transcript:ENSMUST00000183115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp82 description:zinc finger protein 82 [Source:MGI Symbol;Acc:MGI:1890753] MNLSQWKIMERIGNSGLKSLLLKNGWESRRKQERQEDPQEGYLSQVRHTSERVSSYEKRA LTTR >ENSMUSP00000138642.1 pep:known chromosome:GRCm38:7:30057395:30072804:-1 gene:ENSMUSG00000098022.7 transcript:ENSMUST00000182919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp82 description:zinc finger protein 82 [Source:MGI Symbol;Acc:MGI:1890753] MNLSQWKIMERIGNSGLKSLLLK >ENSMUSP00000138469.1 pep:known chromosome:GRCm38:7:30059241:30072819:-1 gene:ENSMUSG00000098022.7 transcript:ENSMUST00000183190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp82 description:zinc finger protein 82 [Source:MGI Symbol;Acc:MGI:1890753] MARVSVVFSDVSIAFSQEEWESLDLEQRNLYKDVMMENYHNVASLGCFISKPDVISLLEQ GKEPWKVVRQRKPCPARRDGASL >ENSMUSP00000099541.4 pep:known chromosome:GRCm38:11:78180935:78183584:-1 gene:ENSMUSG00000058546.8 transcript:ENSMUST00000102483.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl23a description:ribosomal protein L23A [Source:MGI Symbol;Acc:MGI:3040672] MAPKAKKEAPAPPKAEAKAKALKAKKAVLKGVHSHKKKKIRTSPTFRRPKTLRLRRQPKY PRKSAPRRNKLDHYAIIKFPLTTESAMKKIEDNNTLVFIVDVKANKHQIKQAVKKLYDID VAKVNTLIRPDGEKKAYVRLAPDYDALDVANKIGII >ENSMUSP00000138842.1 pep:known chromosome:GRCm38:7:14222403:14254870:-1 gene:ENSMUSG00000070810.10 transcript:ENSMUST00000184731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a6 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 6 [Source:MGI Symbol;Acc:MGI:3648915] MMSDYSWFEGIPLPDMWVQKEIVEDVHNKFVVKDEDLIILTYPKSGTNWLIEIVCLIQTK GDPKWIQSVPNWERSPWLESKSGYSVLTSKEGPRLMTSHLPIHLFSKSFFSSKAKLDMDH GLNTSMAGCP >ENSMUSP00000075884.5 pep:known chromosome:GRCm38:7:14222411:14254870:-1 gene:ENSMUSG00000070810.10 transcript:ENSMUST00000076576.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a6 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 6 [Source:MGI Symbol;Acc:MGI:3648915] MMSDYSWFEGIPLPDMWVQKEIVEDVHNKFVVKDEDLIILTYPKSGTNWLIEIVCLIQTK GDPKWIQSVPNWERSPWLESKSGYSVLTSKEGPRLMTSHLPIHLFSKSFFSSKAKVIYLI RNPRDVLVSGYFFWANTNLVKNLESLGIYFEQFLKGNVRYGSWFEHIHGWLSMRERNNFL VLYYEDMKKDAKGTIKKICDFLGKNLGPDELDLVLKYSSFQAMKENNMSNYSLIKEDQIT NGLKLMRKGTTGDWKNHFTVAQTEAFDKVFQDKMVGFPPGMFPWE >ENSMUSP00000059554.8 pep:known chromosome:GRCm38:17:21962552:21994366:1 gene:ENSMUSG00000053347.14 transcript:ENSMUST00000055349.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp943 description:zinc finger prtoein 943 [Source:MGI Symbol;Acc:MGI:1921920] MNASLVNAPQGLLTFKDVAVDFSQDEWKYLDRAQRALYVDVMLENYKNLFFVESHYLTHK YENILDEDTQCIVHEHMNLQSYKCHELGKLIHEHTQSTSYRASHKNISLESSYVNRHGSM NTKEPCRCKNCVNCLNLCSIISLYQGIHIGQKEHNTELDKAFDTKHELMLKQTNSGNKPY KCSKCDKCFTRKGDLWSHQRIHTGEKPYKCSKCDKCFTRKGDVIIHERIHTGEKPYKCSE CGKCFTHKGGLRSHQRIHTGGKPYKCCECIKSFNRKRNLRIHQRIHTGEKPYKCTECTKC FTRKGDLIIHHRIHTGEKPYKCNECEKCFTVKSDLGIHQRIHTGGKPYKCSECVKSFTQK GNLRRHQRIHTGEKPYKCSECNKCFTQKDILRRHQRIHTGEKPYKCSECDKCFTQKGDLR RHQRIHTGEKPYKCSECDKCFALKSELRIHQRIHIGGKLYNCSECDKCFTQKCSLGIHQR THAAEKLYKYGECDKFLTPKCNLNIHH >ENSMUSP00000115817.1 pep:known chromosome:GRCm38:17:21962559:21992044:1 gene:ENSMUSG00000053347.14 transcript:ENSMUST00000153985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp943 description:zinc finger prtoein 943 [Source:MGI Symbol;Acc:MGI:1921920] MNASLVNAPQGLLTFKDVAVDFSQDEWKYLDRAQRALYVDVMLENYKNLFFVGKNCLLVE FLIHSLNLPSLFM >ENSMUSP00000133735.1 pep:known chromosome:GRCm38:17:21962586:22071168:1 gene:ENSMUSG00000053347.14 transcript:ENSMUST00000174015.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp943 description:zinc finger prtoein 943 [Source:MGI Symbol;Acc:MGI:1921920] MNASLVNAPQGLLTFKDVAVDFSQDEWKYLDRAQRALYVDVMLENYKNLFFVGFVQLLLL >ENSMUSP00000099919.1 pep:known chromosome:GRCm38:2:30400471:30415771:-1 gene:ENSMUSG00000026853.15 transcript:ENSMUST00000102855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crat description:carnitine acetyltransferase [Source:MGI Symbol;Acc:MGI:109501] MLAFAARTVVKPLGLLKPSSLMKVSGRFKAHQDALPRLPVPPLQQSLDYYLKALQPIVSE EEWAHTKQLVDEFQTSGGVGERLQKGLERRAKKMENWLSEWWLKTAYLQFRQPVVIYSSP GVILPKQDFVDLQGQLRFAAKLIEGVLDFKSMIDNETLPVEFLGGQPLCMNQYYQILSSC RVPGPKQDSVVNFLKSKRPPTHITVVHNYQFFELDVYHSDGTPLTSDQIFVQLEKIWNSS LQSNKEPVGILTSNHRNTWAKAYNNLIKDKVNRESVNSIQKSIFTVCLDKQVPRVSDDVY RNHVAGQMLHGGGSKFNSGNRWFDKTLQFIVAEDGSCGMVYEHAAAEGPPIVALVDHVME YTKKPELVRSPMVPLPMPKKLRFNITPEIKNDIEKAKQNLSIMIQDLDIMMLTFHHFGKD FPKSEKLSPDAFIQVALQLAYYRIYGQACATYESASLRMFHLGRTDTIRSASIDSLAFVK GMGDSTVPEQQKVELLRKAVQAHRAYTDRAIRGEAFDRHLLGLKLQAIEDLVSMPDIFMD TSYAIAMHFNLSTSQVPAKTDCVMFFGPVVPDGYGICYNPMEAHINFSVSAYNSCAETNA ARMAHYLEKALLDMRTLLQNHPRAKL >ENSMUSP00000028207.6 pep:known chromosome:GRCm38:2:30401101:30415813:-1 gene:ENSMUSG00000026853.15 transcript:ENSMUST00000028207.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crat description:carnitine acetyltransferase [Source:MGI Symbol;Acc:MGI:109501] MLAFAARTVVKPLGLLKPSSLMKVSGRFKAHQDALPRLPVPPLQQSLDYYLKALQPIVSE EEWAHTKQLVDEFQTSGGVGERLQKGLERRAKKMENWLSEWWLKTAYLQFRQPVVIYSSP GVILPKQDFVDLQGQLRFAAKLIEGVLDFKSMIDNETLPVEFLGGQPLCMNQYYQILSSC RVPGPKQDSVVNFLKSKRPPTHITVVHNYQFFELDVYHSDGTPLTSDQIFVQLEKIWNSS LQSNKEPVGILTSNHRNTWAKAYNNLIKDKVNRESVNSIQKSIFTVCLDKQVPRVSDDVY RNHVAGQMLHGGGSKFNSGNRWFDKTLQFIVAEDGSCGMVYEHAAAEGPPIVALVDHVME YTKKPELVRSPMVPLPMPKKLRFNITPEIKNDIEKAKQNLSIMIQDLDIMMLTFHHFGKD FPKSEKLSPDAFIQVALQLAYYRIYGQACATYESASLRMFHLGRTDTIRSASIDSLAFVK GMGDSTVPEQQKVELLRKAVQAHRAYTDRAIRGEAFDRHLLGLKLQAIEDLVSMPDIFMD TSYAIAMHFNLSTSQVPAKTDCVMFFGPVVPDGYGICYNPMEAHINFSVSAYNSCAETNA ARMAHYLEKALLDMRTLLQNHPRAKL >ENSMUSP00000122814.1 pep:known chromosome:GRCm38:2:30402498:30405288:-1 gene:ENSMUSG00000026853.15 transcript:ENSMUST00000155790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crat description:carnitine acetyltransferase [Source:MGI Symbol;Acc:MGI:109501] XMIQDLDIMMLTFHHFGKDFPKSEKLSPDAFIQVALQLAYYRIYGQACATYESASLRMFH LGRTDTIRSASIDSLAFVKGMGDSTVPEQQKVELLRKAVQAHRAYTDRAIRGEAFDRHLL GLKLQAIEDLVPAKTDCVMFFGPVVPDGYGICYNPMEAHINFSVSAYNSCAETNAARMAH YLEKALLDMRTLLQNHPRAKL >ENSMUSP00000099918.3 pep:known chromosome:GRCm38:2:30402578:30415524:-1 gene:ENSMUSG00000026853.15 transcript:ENSMUST00000102854.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crat description:carnitine acetyltransferase [Source:MGI Symbol;Acc:MGI:109501] MKVSGRFKAHQDALPRLPVPPLQQSLDYYLKALQPIVSEEEWAHTKQLVDEFQTSGGVGE RLQKGLERRAKKMENWLSEWWLKTAYLQFRQPVVIYSSPGVILPKQDFVDLQGQLRFAAK LIEGVLDFKSMIDNETLPVEFLGGQPLCMNQYYQILSSCRVPGPKQDSVVNFLKSKRPPT HITVVHNYQFFELDVYHSDGTPLTSDQIFVQLEKIWNSSLQSNKEPVGILTSNHRNTWAK AYNNLIKDKVNRESVNSIQKSIFTVCLDKQVPRVSDDVYRNHVAGQMLHGGGSKFNSGNR WFDKTLQFIVAEDGSCGMVYEHAAAEGPPIVALVDHVMEYTKKPELVRSPMVPLPMPKKL RFNITPEIKNDIEKAKQNLSIMIQDLDIMMLTFHHFGKDFPKSEKLSPDAFIQVALQLAY YRIYGQACATYESASLRMFHLGRTDTIRSASIDSLAFVKGMGDSTVPEQQKVELLRKAVQ AHRAYTDRAIRGEAFDRHLLGLKLQAIEDLVSMPDIFMDTSYAIAMHFNLSTSQVPAKTD CVMFFGPVVPDGYGICYNPMEAHINFSVSAYNSCAETNAARMAHYLEKALLDMRTLLQNH PRAKL >ENSMUSP00000114925.1 pep:known chromosome:GRCm38:2:30402637:30404961:-1 gene:ENSMUSG00000026853.15 transcript:ENSMUST00000154595.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crat description:carnitine acetyltransferase [Source:MGI Symbol;Acc:MGI:109501] GMGDSTVPEQQKVELLRKAVQAHRAYTDRISHPQAIRGEAFDRHLLGLKLQAIEDLVSMP DIFMDTSYAIAMHFNLSTSQVPAKTDCVMFFGPVVPDGYGICYNPMEAHINFSVSAYNSC AETNAARMAHYL >ENSMUSP00000118507.2 pep:known chromosome:GRCm38:2:30408070:30415308:-1 gene:ENSMUSG00000026853.15 transcript:ENSMUST00000132981.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crat description:carnitine acetyltransferase [Source:MGI Symbol;Acc:MGI:109501] MEDEQQREKVKPLGLLKPSSLMKVSGRFKAHQDALPRLPVPPLQQSLDYYLKALQPIVSE EEWAHTKQLVDEFQTSGGVGERLQKGLERRAKKMENWLSEWWLKTAYLQFRQPVVIYSSP GVILPKQDFVDLQGQLRFAAKLIEGVLDFKSMIDNETLPVEFLGGQPLCMNQYYQILSSC RVPGPKQDSVVNFLKSKRPPTHITV >ENSMUSP00000117317.1 pep:known chromosome:GRCm38:2:30408115:30415548:-1 gene:ENSMUSG00000026853.15 transcript:ENSMUST00000156702.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crat description:carnitine acetyltransferase [Source:MGI Symbol;Acc:MGI:109501] MLAFAARTVVSSPSRAMDGTRRAGLQQRSRKPQTGW >ENSMUSP00000117593.1 pep:known chromosome:GRCm38:2:30413141:30415518:-1 gene:ENSMUSG00000026853.15 transcript:ENSMUST00000134120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crat description:carnitine acetyltransferase [Source:MGI Symbol;Acc:MGI:109501] MEDEQQREKVKPLGLLKPSSLMKVSGRFKAHQDALPRLPVPPLQ >ENSMUSP00000122025.1 pep:known chromosome:GRCm38:2:30413162:30415333:-1 gene:ENSMUSG00000026853.15 transcript:ENSMUST00000129494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crat description:carnitine acetyltransferase [Source:MGI Symbol;Acc:MGI:109501] MPGHSALLLQHYPRPSPPPHSGEKAQRLKPPPEVKPLGLLKPSSLMKVSGRFKAHQDALP R >ENSMUSP00000119735.1 pep:known chromosome:GRCm38:2:30414595:30415407:-1 gene:ENSMUSG00000026853.15 transcript:ENSMUST00000142096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crat description:carnitine acetyltransferase [Source:MGI Symbol;Acc:MGI:109501] MLAFAARTVAVYPAVNWTALSDTGLAAKTEILLRVLAAPETLLQVVPPSVTLWDHLTA >ENSMUSP00000107134.1 pep:known chromosome:GRCm38:2:89991238:89994315:1 gene:ENSMUSG00000061295.3 transcript:ENSMUST00000111509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1261 description:olfactory receptor 1261 [Source:MGI Symbol;Acc:MGI:3031095] MSNVTEFILLGLTQDPDLQKFLFIVCLIIYLITLAGNMLISVTIFISPALATPMYFFLSY LSVIDGFYSSSIAPKMIYDLISEKSTISFNGCMTQLFVEHFFAAAEIILLMSMAYDRYVA ICKPLHYMTIMNRPLCVFLVGAAVILGFIHGGIQILFMAQLPFCGPNIIDHFMCDLIPLL ELACTDTHTLGPLIAANSGSLCLLIFSMLVASYVVILRSLRNHSAEGRRKALSTCASHVT VVVLFFVPCSYLYLRPMTSFPTDKAVTVFCTLVTPMLNPLIYTLRNEEVKRVMKKLWGRM RKAGDM >ENSMUSP00000076959.3 pep:known chromosome:GRCm38:2:89993395:89994315:1 gene:ENSMUSG00000061295.3 transcript:ENSMUST00000077785.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1261 description:olfactory receptor 1261 [Source:MGI Symbol;Acc:MGI:3031095] MSNVTEFILLGLTQDPDLQKFLFIVCLIIYLITLAGNMLISVTIFISPALATPMYFFLSY LSVIDGFYSSSIAPKMIYDLISEKSTISFNGCMTQLFVEHFFAAAEIILLMSMAYDRYVA ICKPLHYMTIMNRPLCVFLVGAAVILGFIHGGIQILFMAQLPFCGPNIIDHFMCDLIPLL ELACTDTHTLGPLIAANSGSLCLLIFSMLVASYVVILRSLRNHSAEGRRKALSTCASHVT VVVLFFVPCSYLYLRPMTSFPTDKAVTVFCTLVTPMLNPLIYTLRNEEVKRVMKKLWGRM RKAGDM >ENSMUSP00000041477.3 pep:known chromosome:GRCm38:4:41712033:41713534:-1 gene:ENSMUSG00000036114.3 transcript:ENSMUST00000038434.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpp25l description:ribonuclease P/MRP 25 subunit-like [Source:MGI Symbol;Acc:MGI:1917211] MEQYRRAGSVELPASSPMPQLPPDTLEMRVRDGSKIRNLLGLALGRLEGGSTRHVVFSGS GRAAGKAVSCAEIVKRRVPGLHQLTKLRFLQTEDSWVPTSPDTGLDPLTVRRHVPAVWVL LSRDPLDPSECGYQPPGAPPGLGSIPSPSCGPRPRRRARDTRS >ENSMUSP00000006496.8 pep:known chromosome:GRCm38:7:3703993:3706897:1 gene:ENSMUSG00000006333.14 transcript:ENSMUST00000006496.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps9 description:ribosomal protein S9 [Source:MGI Symbol;Acc:MGI:1924096] MPVARSWVCRKTYVTPRRPFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAAREL LTLDEKDPRRLFEGNALLRRLVRIGVLDEGKMKLDYILGLKIEDFLERRLQTQVFKLGLA KSIHHARVLIRQRHIRVRKQVVNIPSFIVRLDSQKHIDFSLRSPYGGGRPGRVKRKNAKK GQGGAGAGDDEEED >ENSMUSP00000104263.1 pep:known chromosome:GRCm38:7:3704038:3706895:1 gene:ENSMUSG00000006333.14 transcript:ENSMUST00000108623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps9 description:ribosomal protein S9 [Source:MGI Symbol;Acc:MGI:1924096] MPVARSWVCRKTYVTPRRPFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAAREL LTLDEKDPRRLFEGNALLRRLVRIGVLDEGKMKLDYILGLKIEDFLERRLQTQVFKLGLA KSIHHARVLIRQRHISLWS >ENSMUSP00000114894.1 pep:known chromosome:GRCm38:7:3704042:3704721:1 gene:ENSMUSG00000006333.14 transcript:ENSMUST00000139818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps9 description:ribosomal protein S9 [Source:MGI Symbol;Acc:MGI:1924096] MPVARSWVCRKTYVTPRRPFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAAR >ENSMUSP00000104265.1 pep:known chromosome:GRCm38:7:3704052:3706857:1 gene:ENSMUSG00000006333.14 transcript:ENSMUST00000108625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps9 description:ribosomal protein S9 [Source:MGI Symbol;Acc:MGI:1924096] MPVARSWVCRKTYVTPRRPFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAAREL LTLDEKDPRRLFEGNALLRRLVRIGVLDEGKMKLDYILGLKIEDFLERRLQTQVFKLGLA KSIHHARVLIRQRHIRVRKQVVNIPSFIVRLDSQKHIDFSLRSPYGGGRPGRVKRKNAKK GQGGAGAGDDEEED >ENSMUSP00000104264.1 pep:known chromosome:GRCm38:7:3704320:3706876:1 gene:ENSMUSG00000006333.14 transcript:ENSMUST00000108624.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps9 description:ribosomal protein S9 [Source:MGI Symbol;Acc:MGI:1924096] MPVARSWVCRKTYVTPRRPFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAAREL LTLDEKDPRRLFEGSASRW >ENSMUSP00000120736.1 pep:known chromosome:GRCm38:7:3704325:3706381:1 gene:ENSMUSG00000006333.14 transcript:ENSMUST00000126562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps9 description:ribosomal protein S9 [Source:MGI Symbol;Acc:MGI:1924096] MPVARSWVCRKTYVTPRRPFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAAREL LTLDEKDPRRLFEGNALLRRLVRIGVLDEGKMKLDYILGLKIEDFLERRLQTQVFKLGLA KSIHHARVLIRQRHIR >ENSMUSP00000116623.1 pep:known chromosome:GRCm38:7:3704364:3706894:1 gene:ENSMUSG00000006333.14 transcript:ENSMUST00000146927.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps9 description:ribosomal protein S9 [Source:MGI Symbol;Acc:MGI:1924096] RSWVCRKTYVTPRRPFEKSRLDQELKLIGEYGLRNKREVWRVKFTLAKIRKAARELLTLD EKDPRRLFEGNALLRRLVRIGVLDEGKMKLDYILGLKIEDFLERRLQTQVFKLGLAKSIH HARVLIRQRHISLWS >ENSMUSP00000056232.3 pep:known chromosome:GRCm38:7:12176193:12177113:1 gene:ENSMUSG00000096735.1 transcript:ENSMUST00000062811.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r79 description:vomeronasal 1 receptor 79 [Source:MGI Symbol;Acc:MGI:3704282] MKMDPGNFAIETFLFCQITVGMFGNSSILFYYIILIFTEKHLTPKDLIIEHLTFANYLTV ILRGFPQTMSYFGFKNFLDDMGCKLIVYISRITRGVSLYAMCLLSCFQAITISPNNSRWI KLKYRATKYIGPSCSVSWLVHLLLNILTPLRESGTNYKKNATNRLSYGYCSLFASDSVAT TLYISLVCFSDVFCLGLMACSSISMVSILYRHKRQVKHIHSAQHFLKVSPEDRATQTILI LLCTFVISYSFSSIVAIIRTYLNYPVIWGVNIFTSLEIFFPMFCPFVLITNMRSSSNLFL PCLGKR >ENSMUSP00000096145.5 pep:known chromosome:GRCm38:11:78176711:78180480:1 gene:ENSMUSG00000019437.17 transcript:ENSMUST00000098545.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlcd1 description:TLC domain containing 1 [Source:MGI Symbol;Acc:MGI:1915572] MPLLFHPAWPLLLGATLTFRALRRVLCRLPQPAHVQTDPLRTWRWHNLLVSFTHSIVSGI WALLCLWQTPEMLVEIETAWSASGYLLVCFSAGYFIHDTVDIVVSKQTRASWEYLVHHVM AMGAFFSGIFWKRFVGGGVLTLLVEVSNIFLTLRMMMKINNAQDLLLYKYAGQRTLGTFL LAILLMLDLMIIIYFSRLLRSDFCPERAPRRQQKDKFLTE >ENSMUSP00000126593.1 pep:known chromosome:GRCm38:11:78178130:78179622:1 gene:ENSMUSG00000019437.17 transcript:ENSMUST00000147819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlcd1 description:TLC domain containing 1 [Source:MGI Symbol;Acc:MGI:1915572] MRWPWDLSRGARTGLPLAIPITGEQTPRISPDSVWKTTQPRTAVGNPSLWQTPEMLVEIE TAWSASGYLLVCFSAGYFIHDTVDIVVSKQTRASWEYLVHHVM >ENSMUSP00000090556.7 pep:known chromosome:GRCm38:11:78178651:78181909:1 gene:ENSMUSG00000019437.17 transcript:ENSMUST00000092880.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlcd1 description:TLC domain containing 1 [Source:MGI Symbol;Acc:MGI:1915572] MRWPWDLSRGARTGLPLAIPITGEQTPRISPDSVWKTTQPRTAVGNPSLWQTPEMLVEIE TAWSASGYLLVCFSAGYFIHDTVDIVVSKQTRASWEYLVHHVMAMGAFFSGIFWKRFVGG GVLTLLVEVSNIFLTLRMMMKINNAQDLLLYKVNKYINLVMYFLFRLAPQAYLTKFFLQY AGQRTLGTFLLAILLMLDLMIIIYFSRLLRSDFCPERAPRRQQKDKFLTE >ENSMUSP00000114202.1 pep:known chromosome:GRCm38:11:78178685:78180820:1 gene:ENSMUSG00000019437.17 transcript:ENSMUST00000127587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlcd1 description:TLC domain containing 1 [Source:MGI Symbol;Acc:MGI:1915572] MPLLFHPAWPLLLGATLTFRALRRVLCRLPQPAHVQTDPLRTWRWHNLLVSFTHSIVSGI WALLCLWQTPEMLVEIETAWSASGYLLVCFSAGYFIHDTVDIVVSKQTRASWEYLVHHVM AMGAFFSGIFWKRFVGGGVLTLLVEVSNIFLTLRMMMKINNAQDLLLYKVNKYINLVMYF LFRLAPQAYLTKFFLQYAGQRTLGTFLLAILLMLDLMIIIYFSRLLRSDFCPERAPRRQQ KDKFLTE >ENSMUSP00000103975.1 pep:known chromosome:GRCm38:11:78178762:78179875:1 gene:ENSMUSG00000019437.17 transcript:ENSMUST00000108338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlcd1 description:TLC domain containing 1 [Source:MGI Symbol;Acc:MGI:1915572] MPLLFHPAWPLLLGATLTFRALRRVLCRLPQPAHVQTDPLRTWRWHNLLVSFTHSIVSGI WALLCLWQTPEMLVEIETAWSASGYLLVCFSAGYFIHDTVDIVVSKQTRASWEYLVHHVM VRENPVK >ENSMUSP00000121578.1 pep:known chromosome:GRCm38:2:126709096:126783438:-1 gene:ENSMUSG00000027364.12 transcript:ENSMUST00000136319.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp50 description:ubiquitin specific peptidase 50 [Source:MGI Symbol;Acc:MGI:1922333] MNAILQCLCSVSPLVEYFLSGKYITALKKDCSEVTTAFAYLMTDMWLGDSDCVSPEIFLS AVGSLYPAFLKKTQQDAQEFLIYVLNELHEALKKHCRRRVNEKRTGQSCCRKVPAQETSI ITRLFEGQLSYSITCLKCESCTHKNEVFTILSLPIPSDYECSLQDCLQCFFQQDTLTWSN QIYCSFCEIKQEAAVRTTISKVPKIIVFHFKRFDIQGTVKRKLRTDIHYPLTNLDLTPYI CPVFRKHPMYNLCAVVLYHLQRKIKKHHIKL >ENSMUSP00000028842.2 pep:known chromosome:GRCm38:2:126761421:126783444:-1 gene:ENSMUSG00000027364.12 transcript:ENSMUST00000028842.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp50 description:ubiquitin specific peptidase 50 [Source:MGI Symbol;Acc:MGI:1922333] MCFIDMASHRPVPADDFGAYYNLAECADYDSLPESKTQPHFQGVTGLRNLGNTCYMNAIL QCLCSVSPLVEYFLSGKYITALKKDCSEVTTAFAYLMTDMWLGDSDCVSPEIFLSAVGSL YPAFLKKTQQDAQEFLIYVLNELHEALKKHCRRRVNEKRTGQSCCRKVPAQETSIITRLF EGQLSYSITCLKCESCTHKNEVFTILSLPIPSDYECSLQDCLQCFFQQDTLTWSNQIYCS FCEIKQEAAVRTTISKVPKIIVFHFKRFDIQGTVKRKLRTDIHYPLTNLDLTPYICPVFR KHPMYNLCAVVNHFGDLDGGHYTAFCKNSVTQAWYSFDDTRVSEIPDTSVQTATAYLLFY SCQPFSIPAQKRKSQDSTPDHCKQAIRKWP >ENSMUSP00000116873.1 pep:known chromosome:GRCm38:2:126775760:126783437:-1 gene:ENSMUSG00000027364.12 transcript:ENSMUST00000130356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp50 description:ubiquitin specific peptidase 50 [Source:MGI Symbol;Acc:MGI:1922333] MCFIDMASHRPVPADDFGAYYNLDCSEVTTAFAYLMTDMWLGDSDCVSPEIFLSAVGSLY PAFLKKTQQDAQEFLIYVLNELHEALKKHCRRRVNEKRTGQSCCRKVPAQETSIITRLFE GQLSYSITCLKCESCTHKNEVFTILSLPIPSDYECSLQDCLQCFFQQDTLTWSNQIYCSF CEIKQEAAVRTTIS >ENSMUSP00000127386.2 pep:known chromosome:GRCm38:2:126709191:126709567:-1 gene:ENSMUSG00000027364.12 transcript:ENSMUST00000099423.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp50 description:ubiquitin specific peptidase 50 [Source:MGI Symbol;Acc:MGI:1922333] MIIPVRCFTCGKIVGNKWEAYLGLLQAKYTEGDALDALGLKRYCCRRMLLAHVDLIEKLL NYAPLEK >ENSMUSP00000032985.4 pep:known chromosome:GRCm38:7:99657804:99711340:-1 gene:ENSMUSG00000030737.17 transcript:ENSMUST00000032985.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco2b1 description:solute carrier organic anion transporter family, member 2b1 [Source:MGI Symbol;Acc:MGI:1351872] MPDRSTKTTMGTEDMHERKVSVEPQDSHQDAQPRGMFHNIKFFVLCHSLLQLTQLMISGY LKSSISTVEKRFGLSSQISGLLAAFNEVGNVSLILFVSYFGSRVHRPRMIGYGALLVATA GLLMALPHFISEPYRYDHSSSDNRSLDFEASLCLPTTMAPASALSNGSCSSHTETKHLTM VGIMFAAQTLLGIGGVPIQPFGISYIDDFAHHSNSPLYIGILFGITTMGPGLAYGLGSLM LRLYVDIDRMPEGGINLTPKDPRWVGAWWLGFLISSGLVVLASSPYFFFPREMPKEKHEF HFRRKVLASAASTASKGEDLSSQHEPLKKQAGLAQIAPDLTLVQFVKVFPRVLLRNLRHP IFLLVVLSQVCTSSMVAGMATFLPKFLERQFSITASFANMLLGCLTIPLVIVGIMMGGVL VKRLHLSPVQCSALCLLGSLLCLLFSVPLFFIGCSTHQIAGITQDLGAQPGPSLFPGCSE PCSCQSDDFNPVCDTSAYVEYTTPCHAGCTGRVVQEALGKSQVFYTNCSCVAGNGTVPAG SCESACSRLVLPFIVLFSLGAGLASITHTPSFMLILRGVKKEDKTLAVGMQFMLLRVLAW MPSPVIHGSAIDTTCVHWALTCGRRAVCRYYDHDLLRNRFIGLQFFFKSGSLVCFTLVLA ILRQQSREASTRTTVKSSELQQL >ENSMUSP00000102701.2 pep:known chromosome:GRCm38:7:99658389:99695809:-1 gene:ENSMUSG00000030737.17 transcript:ENSMUST00000107086.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco2b1 description:solute carrier organic anion transporter family, member 2b1 [Source:MGI Symbol;Acc:MGI:1351872] MPDRSTKTTMGTEDMHERKVSVEPQDSHQDAQPRGMFHNIKFFVLCHSLLQLTQLMISGY LKSSISTVEKRFGLSSQISGLLAAFNEVGNVSLILFVSYFGSRVHRPRMIGYGALLVATA GLLMALPHFISEPYRYDHSSSDNRSLDFEASLCLPTTMAPASALSNGSCSSHTETKHLTM VGIMFAAQTLLGIGGVPIQPFGISYIDDFAHHSNSPLYIGILFGITTMGPGLAYGLGSLM LRLYVDIDRMPEGGINLTPKDPRWVGAWWLGFLISSGLVVLASSPYFFFPREMPKEKHEF HFRRKVLASAASTASKGEDLSSQHEPLKKQAGLAQIAPDLTLVQFVKVFPRVLLRNLRHP IFLLVVLSQVCTSSMVAGMATFLPKFLERQFSITASFANMLLGCLTIPLVIVGIMMGGVL VKRLHLSPVQCSALCLLGSLLCLLFSVPLFFIGCSTHQIAGITQDLGAQPGPSLFPGCSE PCSCQSDDFNPVCDTSAYVEYTTPCHAGCTGRVVQEALGKSQVFYTNCSCVAGNGTVPAG SCESACSRLVLPFIVLFSLGAGLASITHTPSFMLILRGVKKEDKTLAVGMQFMLLRVLAW MPSPVIHGSAIDTTCVHWALTCGRRAVCRYYDHDLLRNRFIGLQFFFKSGSLVCFTLVLA ILRQQSREASTRTTVKSSELQQL >ENSMUSP00000102703.1 pep:known chromosome:GRCm38:7:99658389:99707161:-1 gene:ENSMUSG00000030737.17 transcript:ENSMUST00000107088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco2b1 description:solute carrier organic anion transporter family, member 2b1 [Source:MGI Symbol;Acc:MGI:1351872] MGPRIGEGPRMPDRSTKTTMGTEDMHERKVSVEPQDSHQDAQPRGMFHNIKFFVLCHSLL QLTQLMISGYLKSSISTVEKRFGLSSQISGLLAAFNEVGNVSLILFVSYFGSRVHRPRMI GYGALLVATAGLLMALPHFISEPYRYDHSSSDNRSLDFEASLCLPTTMAPASALSNGSCS SHTETKHLTMVGIMFAAQTLLGIGGVPIQPFGISYIDDFAHHSNSPLYIGILFGITTMGP GLAYGLGSLMLRLYVDIDRMPEGGINLTPKDPRWVGAWWLGFLISSGLVVLASSPYFFFP REMPKEKHEFHFRRKVLASAASTASKGEDLSSQHEPLKKQAGLAQIAPDLTLVQFVKVFP RVLLRNLRHPIFLLVVLSQVCTSSMVAGMATFLPKFLERQFSITASFANMLLGCLTIPLV IVGIMMGGVLVKRLHLSPVQCSALCLLGSLLCLLFSVPLFFIGCSTHQIAGITQDLGAQP GPSLFPGCSEPCSCQSDDFNPVCDTSAYVEYTTPCHAGCTGRVVQEALGKSQVFYTNCSC VAGNGTVPAGSCESACSRLVLPFIVLFSLGAGLASITHTPSFMLILRGVKKEDKTLAVGM QFMLLRVLAWMPSPVIHGSAIDTTCVHWALTCGRRAVCRYYDHDLLRNRFIGLQFFFKSG SLVCFTLVLAILRQQSREASTRTTVKSSELQQL >ENSMUSP00000121002.1 pep:known chromosome:GRCm38:7:99659857:99664932:-1 gene:ENSMUSG00000030737.17 transcript:ENSMUST00000154295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco2b1 description:solute carrier organic anion transporter family, member 2b1 [Source:MGI Symbol;Acc:MGI:1351872] XPSLFPGCSEPCSCQSDDFNPVCDTSAYVEYTTPCHAGCTGRVVQEALGKSQVFYTNCSC VAGNGTVPAGSCESACSRLVLPFIVLFSLGAGLASITHTPSFMLILRGVKKEDKTLAVGM QFMLLRVLAWMPSPVIHGSAIDTTCVHWALTCGRRAVCRYYDHDLLRNRFGHLEATEQRG QHQDHCKELGTTTAISIRTREEA >ENSMUSP00000147004.1 pep:known chromosome:GRCm38:7:99688517:99691769:-1 gene:ENSMUSG00000030737.17 transcript:ENSMUST00000208713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco2b1 description:solute carrier organic anion transporter family, member 2b1 [Source:MGI Symbol;Acc:MGI:1351872] XHNIKFFVLCHSLLQLTQLMISGYLKSSISTVEKRFGLSSQISGLLAAFNEVGNVSLILF VSYFGSRVHRPRMIGYGALLVATAGLLMALPHFISEPYRYDHSSSGKRLGRR >ENSMUSP00000146717.1 pep:known chromosome:GRCm38:7:99688879:99695593:-1 gene:ENSMUSG00000030737.17 transcript:ENSMUST00000208225.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco2b1 description:solute carrier organic anion transporter family, member 2b1 [Source:MGI Symbol;Acc:MGI:1351872] MHIKTQMAAGEGPRMPDRSTKTTMGTEDMHERKVSVEPQDSHQDAQPRGMFHNIKFFVLC HSLLQLTQLMISGYLKSSISTVEKRFGLSSQISGLLAAFNEVGNVSLILFVSYFGSRVHR PRMIGYGALLVATAGLLMALPHFISEPYRY >ENSMUSP00000123439.1 pep:known chromosome:GRCm38:7:99688879:99695628:-1 gene:ENSMUSG00000030737.17 transcript:ENSMUST00000145381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco2b1 description:solute carrier organic anion transporter family, member 2b1 [Source:MGI Symbol;Acc:MGI:1351872] MHIKTQMAAGEGPRMPDRSTKTTMGTEDMHERKVSVEPQDSHQDAQPRGMFHNIKFFVLC HSLLQLTQLMISGYLKSSISTVEKRFGLSSQISGLLAAFNEVGNVSLILFVSYFGSRVHR PRMIGYGALLVATAGLLMALPHFISEPYRY >ENSMUSP00000115872.1 pep:known chromosome:GRCm38:7:99690480:99695582:-1 gene:ENSMUSG00000030737.17 transcript:ENSMUST00000137914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco2b1 description:solute carrier organic anion transporter family, member 2b1 [Source:MGI Symbol;Acc:MGI:1351872] MHIKTQMAAGEGPRMPDRSTKTTMGTEDMHERKVSVEPQDSHQDAQPRGMFHNIKFFVLC HSLLQLTQLMISGYLKSSISTVEKR >ENSMUSP00000147218.1 pep:known chromosome:GRCm38:7:99690491:99695582:-1 gene:ENSMUSG00000030737.17 transcript:ENSMUST00000207090.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco2b1 description:solute carrier organic anion transporter family, member 2b1 [Source:MGI Symbol;Acc:MGI:1351872] MHIKTQMAAGEGPRMPDRSTKTTMGTEDMHERKVSVEPQDSHQDAQPRGMFHNIKFFVLC HSLLQLTQLMISGYLKSSIST >ENSMUSP00000023387.7 pep:known chromosome:GRCm38:16:43861407:43889685:-1 gene:ENSMUSG00000022704.15 transcript:ENSMUST00000023387.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qtrtd1 description:queuine tRNA-ribosyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:1922194] MMKLSLIKVVNGCRLGKIQNLGKAGDCTVDIPGCLLYTRTGSAPHLTHQTLRNIHGVPGI AQLTLSSLAEHHEVLAEYKKGVGSFIGMPESLFYCSLHDPVTPGPAGYVTSKVLQKSVII GVIEGGDVMEERLRSARETAKRPVGGFLLDGFQGDPAVTETRLHLLSSVTAELPEDKPRL ICGVSRPDEVLECIERGVDLFESFFPYQVTERGCALTFTFDCQLNPEETLLQQNGIQEKI KGLDQAKKIEATGCNQEMTSFEINLKEKKYQEDFDPLVRGCSCYCCKNHTRAYIHHLLMT NELLAGVLLMMHNFEHYFGFFCSIREALKNDTLAQLKELICRQMF >ENSMUSP00000115274.1 pep:known chromosome:GRCm38:16:43876783:43889655:-1 gene:ENSMUSG00000022704.15 transcript:ENSMUST00000134792.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qtrtd1 description:queuine tRNA-ribosyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:1922194] MMKLSLIKVVNGCRLGKIQNLGKAGDCTVDIPGCLLYTRTGSAPHLTHQTLRNIHGVPGI AQLTLSSLHAGITLLLFPARSSHPRPSWLCNK >ENSMUSP00000102582.1 pep:known chromosome:GRCm38:7:101898373:101903785:-1 gene:ENSMUSG00000078630.7 transcript:ENSMUST00000106969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomt description:transmembrane O-methyltransferase [Source:MGI Symbol;Acc:MGI:3769724] MSPAIALAFLPLVVTLLVRYRHHFRLLVRTVLLRGFRDCLSGLRIEERAFSYVLTHALPG DPGHILTTLDHWSSCCEYLSHMGPVKGQILMRLVEEKAPACVLELGTYCGYSTLLIARAL PPGSRLLTVERDSRTAAVAEKVIRLAGFDEQMVELIAGSSEEVIPRLRAQHQLNRADLVL LAHRPRYYLRDLQLLEAHALLPHGATVLADHVLFPGAPRFLQYTKSCGRYRCRLHHTSLP DFPAIKDGIAQLTYTGPG >ENSMUSP00000102583.1 pep:known chromosome:GRCm38:7:101899818:101906359:-1 gene:ENSMUSG00000078630.7 transcript:ENSMUST00000106970.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomt description:transmembrane O-methyltransferase [Source:MGI Symbol;Acc:MGI:3769724] MSPAIALAFLPLVVTLLVRYRHHFRLLVRTVLLRGFRDCLSGLRIEERAFSYVLTHALPG DPGHILTTLDHWSSCCEYLSHMGPVKGQILMRLVEEKAPACVLELGTYCGYSTLLIARAL PPGSRLLTVERDSRTAAVAEKVIRLAGFDEQMVELIAGSSEEVIPRLRAQHQLNRADLVL LAHRPRYYLRDLQLLEAHALLPHGATVLADHVLFPGAPRFLQYTKSCGRYRCRLHHTSLP DFPAIKDGIAQLTYTGPG >ENSMUSP00000086164.4 pep:known chromosome:GRCm38:7:30077337:30090527:-1 gene:ENSMUSG00000078768.2 transcript:ENSMUST00000088785.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp566 description:zinc finger protein 566 [Source:MGI Symbol;Acc:MGI:1919806] MAQVSVLFHDVYIDFSQEEWECLTEEQRDLYRDVMLENYSNLLSMVLESRCEAQKLFLKK ELYEIETAQWEIMRKLTRQDLQCSSLSSAREWHGHRERQRGSQERQFSELIINRDDVPTL SQCPSFRLQQIIKNKEKYCASKENREHYKHGSRFATHQIVRTTEKPYECKDCGKTFRHPS GLTHHHKIHTGKKPFECKECGKTFICGSDLTRHHRIHTGEKPYECKDCGKAFSSGSNFTR HQRIHTGEKPYECKECGKAFSSGSNFTQHQRIHTGEKPYECKECGNAFSQSSQLIKHQRI HTGEKPYECKECEKAFRSGSDLTRHQRIHTGEKPYECKICGKAYSQSSQLISHHRIHGGK KAYEDEDGGKNFIYNSQPIQHQNLYW >ENSMUSP00000079309.1 pep:known chromosome:GRCm38:2:24071721:24096595:-1 gene:ENSMUSG00000061809.8 transcript:ENSMUST00000080453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbpl2 description:TATA box binding protein like 2 [Source:MGI Symbol;Acc:MGI:2684058] MVGSLIMEEDIYLDLFLDPYTIQDDFPPAMSQLFSPGVPLDMHSLPSNPETVFHPHLGGV KKASTDFSSVDLSFLPDELTQENRDQTVTGNKLASEESCRTRDRQSQLQLPDEHGSELNL NSNSSPDPQSCLCFDDAHSNQPSPETPNSNALPVALIASMMPMNPVPGFSGIVPQLQNVV STANLACKLDLRKIALNAKNTEYNPKRFAAVIMRIREPRTTALIFSSGKVVCTGAKSEEE SRLAARKYARVVQKLGFPVRFFNFKIQNMVGSCDVKFPIRLEILALTHRQFSSSYEPELF PGLIYKMVKPQVVLLIFASGKVVLTGAKERSEIYEAFENMYPILESFKKV >ENSMUSP00000120310.1 pep:known chromosome:GRCm38:2:24071915:24096532:-1 gene:ENSMUSG00000061809.8 transcript:ENSMUST00000153338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbpl2 description:TATA box binding protein like 2 [Source:MGI Symbol;Acc:MGI:2684058] VGSLIMEEDIYLDLFLDPYTIQDDFPPAMSQLFSPGVPLDMHSLPSNPETVFHPHLGGVK KASTDFSSVDLSFLPDELTQENRDQTVTGNKLASEESCRTRDRQSQLQLPDEHGSELNLN SNSSPDPQSCLCFDDAHSNQPSPETPNSNALPVALIASMMPMNPVPGFSGIVPQLQNVVS TANLACKLDLRKIALNAKNTEYNPKRFAAVIMRIREPRTTALIFSSGKVVCTGAKSEEES RLAARKYARVVQKLGFPVRFFNFKIQNMVGSCDVKFPIRLEILALTHRQFSSYEPELFPG LIYKMVKPQVVLLIFASGKVVLTGAKERSEIYEAFENMYPILESFKKV >ENSMUSP00000035660.2 pep:known chromosome:GRCm38:13:24831679:24842153:1 gene:ENSMUSG00000035958.2 transcript:ENSMUST00000038039.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdp2 description:tyrosyl-DNA phosphodiesterase 2 [Source:MGI Symbol;Acc:MGI:1860486] MASGSSSDAAEPAGPAGRAASAPEAAQAEEDRVKRRRLQCLGFALVGGCDPTMVPSVLRE NDWQTQKALSAYFELPENDQGWPRQPPTSFKSEAYVDLTNEDANDTTILEASPSGTPLED SSTISFITWNIDGLDGCNLPERARGVCSCLALYSPDVVFLQEVIPPYCAYLKKRAASYTI ITGNEEGYFTAILLKKGRVKFKSQEIIPFPNTKMMRNLLCVNVSLGGNEFCLMTSHLEST REHSAERIRQLKTVLGKMQEAPDSTTVIFAGDTNLRDQEVIKCGGLPDNVFDAWEFLGKP KHCQYTWDTKANNNLRIPAAYKHRFDRIFFRAEEGHLIPQSLDLVGLEKLDCGRFPSDHW GLLCTLNVVL >ENSMUSP00000030556.7 pep:known chromosome:GRCm38:5:20986645:21055911:-1 gene:ENSMUSG00000028771.13 transcript:ENSMUST00000030556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn12 description:protein tyrosine phosphatase, non-receptor type 12 [Source:MGI Symbol;Acc:MGI:104673] MEQVEILRRFIQRVQAMKSPDHNGEDNFARDFMRLRRLSTKYRTEKIYPTATGEKEENVK KNRYKDILPFDHSRVKLTLKTPSQDSDYINANFIKGVYGPKAYVATQGPLANTVIDFWRM IWEYNVVIIVMACREFEMGRKKCERYWPLYGEDPITFAPFKISCENEQARTDYFIRTLLL EFQNESRRLYQFHYVNWPDHDVPSSFDSILDMISLMRKYQEHEDVPICIHCSAGCGRTGA ICAIDYTWNLLKAGKIPEEFNVFNLIQEMRTQRHSAVQTKEQYELVHRAIAQLFEKQLQL YEIHGAQKIADGNEITTGTMVSSIDSEKQDSPPPKPPRTRSCLVEGDAKEEILQPPEPHP VPPILTPSPPSAFPTVTTVWQDSDRYHPKPVLHMASPEQHPADLNRSYDKSADPMGKSES AIEHIDKKLERNLSFEIKKVPLQEGPKSFDGNTLLNRGHAIKIKSASSSVVDRTSKPQEL SAGALKVDDVSQNSCADCSAAHSHRAAESSEESQSNSHTPPRPDCLPLDKKGHVTWSLHG PENATPVPDSPDGKSPDNHSQTLKTVSSTPNSTAEEEAHDLTEHHNSSPLLKAPLSFTNP LHSDDSDSDGGSSDGAVTRNKTSISTASATVSPASSAESACTRRVLPMSIARQEVAGTPH SGAEKDADVSEESPPPLPERTPESFVLADMPVRPEWHELPNQEWSEQRESEGLTTSGNEK HDAGGIHTEASADSPPAFSDKKDQITKSPAEVTDIGFGNRCGKPKGPREPPSEWT >ENSMUSP00000116989.1 pep:known chromosome:GRCm38:5:21001288:21055753:-1 gene:ENSMUSG00000028771.13 transcript:ENSMUST00000151813.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptpn12 description:protein tyrosine phosphatase, non-receptor type 12 [Source:MGI Symbol;Acc:MGI:104673] MEQVEILRRFIQRVQAMKSPDHNGEDNFARDFMLITAELS >ENSMUSP00000142550.1 pep:known chromosome:GRCm38:5:21005362:21055897:-1 gene:ENSMUSG00000028771.13 transcript:ENSMUST00000199774.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptpn12 description:protein tyrosine phosphatase, non-receptor type 12 [Source:MGI Symbol;Acc:MGI:104673] MEQVEILRRFIQRVQAMKSPDHNGEDNFARDFMLITAELS >ENSMUSP00000117697.1 pep:known chromosome:GRCm38:5:21009444:21055725:-1 gene:ENSMUSG00000028771.13 transcript:ENSMUST00000140057.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptpn12 description:protein tyrosine phosphatase, non-receptor type 12 [Source:MGI Symbol;Acc:MGI:104673] XRFIQRVQAMKSPDHNGEDNFARDFMLITAELS >ENSMUSP00000102591.1 pep:known chromosome:GRCm38:7:101881325:101899543:1 gene:ENSMUSG00000030649.17 transcript:ENSMUST00000106978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc15 description:anaphase prompoting complex C subunit 15 [Source:MGI Symbol;Acc:MGI:1922680] MEPGLKLSLGAMSTLFPSLFPRVTETLWFNLDRPCVEETELQQQEQQHQAWLQSIAEKDN NLVPIGKPASEHYDDEEEEDDEDDEDSEEDSEDDEDMQDMDEMNDYNESPDDGEVNEVDM EGNEQDQDQWMI >ENSMUSP00000040286.7 pep:known chromosome:GRCm38:7:101896340:101899540:1 gene:ENSMUSG00000030649.17 transcript:ENSMUST00000035395.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc15 description:anaphase prompoting complex C subunit 15 [Source:MGI Symbol;Acc:MGI:1922680] MRKLRPREVKCIGQNQRARAMSTLFPSLFPRVTETLWFNLDRPCVEETELQQQEQQHQAW LQSIAEKDNNLVPIGKPASEHYDDEEEEDDEDDEDSEEDSEDDEDMQDMDEMNDYNESPD DGEVNEVDMEGNEQDQDQWMI >ENSMUSP00000102586.1 pep:known chromosome:GRCm38:7:101896416:101899480:1 gene:ENSMUSG00000030649.17 transcript:ENSMUST00000106973.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc15 description:anaphase prompoting complex C subunit 15 [Source:MGI Symbol;Acc:MGI:1922680] MSTLFPSLFPRVTETLWFNLDRPCVEETELQQQEQQHQAWLQSIAEKDNNLVPIGKPASE HYDDEEEEDDEDDEDSEEDSEDDEDMQDMDEMNDYNESPDDGEVNEVDMEGNEQDQDQWM I >ENSMUSP00000114771.1 pep:known chromosome:GRCm38:7:101896417:101901639:1 gene:ENSMUSG00000030649.17 transcript:ENSMUST00000144207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc15 description:anaphase prompoting complex C subunit 15 [Source:MGI Symbol;Acc:MGI:1922680] MEPGLKLSLGAMSTLFPSLFPRVTETLWFNLDRPCVEETELQQQEQQHQAWLQSIAEKDN NLVPIGKPASEHYDDEEEEDDEDDEDSEEDSEDDEDMQDMDEMNDYNESPDDGEVNEVDM EGNEQDQDQWMI >ENSMUSP00000120373.1 pep:known chromosome:GRCm38:7:101896961:101899042:1 gene:ENSMUSG00000030649.17 transcript:ENSMUST00000143835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc15 description:anaphase prompoting complex C subunit 15 [Source:MGI Symbol;Acc:MGI:1922680] MEPGLKLSLGAMSTLFPSLFPRVTETLWFNLDRPCVEETELQQQEQQHQAWLQSIAEKDN NLVPIGKPASEHYDDEEEEDDEDDEDSEEDSEDDEDMQDMDEMNDYNESPDDGEVNEVG >ENSMUSP00000095839.2 pep:known chromosome:GRCm38:7:101897732:101901845:1 gene:ENSMUSG00000030649.17 transcript:ENSMUST00000098237.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc15 description:anaphase prompoting complex C subunit 15 [Source:MGI Symbol;Acc:MGI:1922680] MEPGLKLSLGAMSTLFPSLFPRVTETLWFNLDRPCVEETELQQQEQQHQAWLQSIAEKDN NLVPIGKPASEHYDDEEEEDDEDDEDSEEDSEDDEDMQDMDEMNDYNESPDDGEVNEEVS AKDSGLWVGAACPPGCQLPEWSCFLEWESLMKEAWSEQFLG >ENSMUSP00000136164.1 pep:known chromosome:GRCm38:7:101897795:101901639:1 gene:ENSMUSG00000030649.17 transcript:ENSMUST00000178851.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc15 description:anaphase prompoting complex C subunit 15 [Source:MGI Symbol;Acc:MGI:1922680] MEPGLKLSLGAMSTLFPSLFPRVTETLWFNLDRPCVEETELQQQEQQHQAWLQSIAEKDN NLVPIGKPASEHYDDEEEEDDEDDEDSEEDSEDDEDMQDMDEMNDYNESPDDGEVNEVDM EGNEQDQDQWMI >ENSMUSP00000134737.1 pep:known chromosome:GRCm38:10:128548114:128549153:-1 gene:ENSMUSG00000093674.7 transcript:ENSMUST00000177163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl41 description:ribosomal protein L41 [Source:MGI Symbol;Acc:MGI:1915195] MRAKWRKKRMRRLKRKRRKMRQRSK >ENSMUSP00000135158.1 pep:known chromosome:GRCm38:10:128548114:128549162:-1 gene:ENSMUSG00000093674.7 transcript:ENSMUST00000176683.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl41 description:ribosomal protein L41 [Source:MGI Symbol;Acc:MGI:1915195] MRAKWRKKRMRRLKRKRRKMRQRSK >ENSMUSP00000135533.1 pep:known chromosome:GRCm38:10:128548114:128549305:-1 gene:ENSMUSG00000093674.7 transcript:ENSMUST00000176010.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl41 description:ribosomal protein L41 [Source:MGI Symbol;Acc:MGI:1915195] MRAKWRKKRMRRLKRKRRKMRQRSK >ENSMUSP00000135298.1 pep:known chromosome:GRCm38:10:128548120:128549102:-1 gene:ENSMUSG00000093674.7 transcript:ENSMUST00000176906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl41 description:ribosomal protein L41 [Source:MGI Symbol;Acc:MGI:1915195] MRAKWRKKRMRRFVCLPFQAEAQEKKDEAEVQVSQPVHLRRLQEQK >ENSMUSP00000147009.1 pep:known chromosome:GRCm38:11:116441329:116466241:-1 gene:ENSMUSG00000070331.14 transcript:ENSMUST00000208602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich2 description:glutamine rich 2 [Source:MGI Symbol;Acc:MGI:2684912] MPPSLVANRVSLRELADLAIGTPEVGAVNFTALHTLIVSILKSLNLQEVLIDFHYPSTET GRGTESLHGTHSAPHLPTSKEKHQSLPRLSQTPQELENQVKDLGNQVLDLTKQFKTMDNK VQGMATQIDQISVPELQQEEEIALVTQQVSLTKFSKIYESEEMMEKPEPTVKMPTEMVQL KPSRTPSLTKPTSVLQDLIEDVKILKQASELQELLQRFNELEKLVKSREEYLDLLSRRLN LLAPGGEEITMVTWEELEQVIGDGWRASKGSSDSSTATVGKHKFTSSDLLPSGMFLRAAD QALDSTGGIERISSRDQSRSTTAFRDMAREPSQVISETDRQTYARRDEQLPGQTQRGGIP RTEPFGMDQPRLIDAVMPTTTGQLGVKPPEMYGQELVPVDKAQSGGLPPSGPDKELKKLS TIQLGTVPPSTYQQGMMLPGMDREGTEQAAVRDSGQGPWPTAQQGLIAVGVDQHGLPIPG TGQQGLPPQHMDQRGLVTPGTDQRAFSPSLSDERTLVSLGLMQVSTDQQGFRQSSLTSRF IQPGAEQLRVEQRGVAQPGLDRRSSVPVGTERRASLQALAEKRSSVPIEVEQQSLAQSRA GQQGLGQPSTVSGGLIQPGTDQHLVPPGVDQPSLIQPGAFPLSLAQLGADQQGLIQTDTG QPVWVQPSTDQSGGVQPGAYLPGWVQPSVDQRDLVQVGMDQQELRQPGAVQPGLVQPGAY APGLMQVGASQDGLLQPGMDQLGLVQPGTAWSLVQPDVDQLDMAQPHGILPGLAQPRGVL PGMVQPGAVQPGVLQPGAVQPGVLQPGAVQPGVLQPGAAQPGLVQPGAAQPGLVQPGAAQ PGVLQAGAVQPGVLQAGAVQPGMVQQGPVQPGVLQAGAAQPGMVHPGAAQPGMVQPGSVQ PGMVQLGAVQPGVLQAGAVQFGMVQPGAVEPGVLRAGAVQLGMVQPGAVQLGVFRAGAVQ PGVLQAGAVQPGMVQQGPVQPGVLQAGAVQPGMVQQGPVQPGVLQAGAVQPGMVQQGPVQ PGVLQAGAVQPGMVQQGPVQPGVLQSGAVQPGMVQPGILPSGWGQPVAYSLGLGQPGIGQ YGLVQPRVDRYGFVQPGVARGLLQPSDSQRALMQPGVGQVEWIQPGMSRRDLVQPGADES GLAQGGMAQQGLVQSGMARQGLAQPGMARQGVAHPGMARQGVAHPGKARQGVAHPGKARQ GVAQPDLAQPGAPSEDKFGLAQPIVDQSAFMQPGMDQRGLVQPRMYQYGFVDPSDLQRNF LQPRGVLPRLVQPVVDQGGPLQAGLAQSRMVQPITDQRGPVQPETLQPRQVQPSTSRRGL VQSLLTPYGLMQPGAAQLGLVQPGMEQLGEERRGLLQPGMDQPGLLQPGTDQPGLLQPGA DQPGLLQPGADQPGLLQPGADQPGFLQPGADQPGFLQPGAARLDRIQPGMVEPGAGPQGL GQPDLAPPPFIHPGIFPRGIFQPATLPRGFRQPTPTQPDFVTPSIDLRFPTMEVEPQQPQ LPQLYQLPTALQTRQGILPEGVHRISGQKAKTRTVEVQYDIEEAGLPEEVQRVEVDTQVS WELSHLDSAAPTAPEQVITDSRTQDSWNYLQQFSSVSETRREQQRQESLPPSFPMAVETL RLIGELISLYLELKEQLKDLDEELAGQTDFEKIQYLITMIVKKTIPQDLAEQLKSLKTLN KEVRQDKAKLEKIQKFVDSAADTTGGKGVKPNHLSLQLGILRVTVSDIEKELNELRESQD RGKATMENSVSEASLYLQDQLDKLRTIIESMLGSSSTLLSMSITPHKSTACLVPGQIDPE ATCPACSLDVSHQVSLLVQRYEQLQDMVSGLAASRPSKKAKLQGQDEELLGHVQSAILQV QGDCEKLNITTSNLIEDHRQKQKDIEVLYQGIERLDKEKANREHLEMEIDEKADKSALAS KVSRIQFDATTEQLNHMMQELVAKMSGQEQDWQKLLDKLLAEMDSKLDRLELDPLKQMLE DRWKSLRQQLKERPPLYQADEAAAMRRQLLAHFHCLSCDRPLETTVTGQVISVTPIISSM PGHRSVRPYTVFELEQIRQQSRNLKLGSSFPRVDMSQMERSVGRLHSMHSRMLMDMEKVQ VHFGGSVKASSQMIRELLHTQCLSHPCYKRGADTADYSYSTVSRRCGGSHTLTYPYRRNR PQHLSPLEEIQIAMKHDEVDILGLDGHIYKGRMDTRLPGILGKDAPGVTKHNKAKLQQLQ QLQQLQQLQQLQQLQQAQHARPHAHRQPSLGNMISPPSRPQSAQMIADSKAVPSGQKKDR PVSSEGRLLQSNVSHSSIPTDIASLQGSQQGLNMHIDVPPGEGLEEPTRGPRSTAAH >ENSMUSP00000091437.3 pep:known chromosome:GRCm38:11:116441331:116454347:-1 gene:ENSMUSG00000070331.14 transcript:ENSMUST00000093909.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich2 description:glutamine rich 2 [Source:MGI Symbol;Acc:MGI:2684912] MENSVSEASLYLQDQLDKLRTIIESMLGSSSTLLSMSITPHKSTACLVPGQIDPEATCPA CSLDVSHQVSLLVQRYEQLQDMVSGLAASRPSKKAKLQGQDEELLGHVQSAILQVQGDCE KLNITTSNLIEDHRQKQKDIEVLYQGIERLDKEKANREHLEMEIDEKADKSALASKVSRI QFDATTEQLNHMMQELVAKMSGQEQDWQKLLDKLLAEMDSKLDRLELDPLKQMLEDRWKS LRQQLKERPPLYQADEAAAMRRQLLAHFHCLSCDRPLETTVTGQVISVTPIISSMPGHRS VRPYTVFELEQIRQQSRNLKLGSSFPRVDMSQMERSVGRLHSMHSRMLMDMEKVQVHFGG SVKASSQMIRELLHTQCLSHPCYKRGADTADYSYSTVSRRCGGSHTLTYPYRRNRPQHLS PLEEIQIAMKHDEVDILGLDGHIYKGRMDTRLPGILGKDAPGVTKHNKAKLQQLQQLQQL QQLQQLQQLQQAQHARPHAHRQPSLGNMISPPSRPQSAQMIADSKAVPSGQKKDRPVSSE GRLLQSNVSHSSIPTDIASLQGSQQGLNMHIDVPPGEGLEEPTRGPRSTAAH >ENSMUSP00000119198.2 pep:known chromosome:GRCm38:19:11647279:11660516:-1 gene:ENSMUSG00000055895.6 transcript:ENSMUST00000135994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oosp2 description:oocyte secreted protein 2 [Source:MGI Symbol;Acc:MGI:2684945] MYFRPRNYCLELQIVPLQCSASRKSVWLMPLSTEEDPKPVKSPFMTDFEATPEELGLLNA HQAASSQNKR >ENSMUSP00000113931.2 pep:known chromosome:GRCm38:19:11647280:11660559:-1 gene:ENSMUSG00000055895.6 transcript:ENSMUST00000121793.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oosp2 description:oocyte secreted protein 2 [Source:MGI Symbol;Acc:MGI:2684945] MGVSMALEVLVYLAVLVWTCAWDIDVDVSCSQDWMTVSVSAFSQNKRNPYIFADELYLGQ NCRVTQIHAHQYDFIYPVSHCGIRTKVISNEIVCFETEMYFRPRNYCLELQIVPLQCSAS RKSVWLMPLSTEEDPKPVKSPFMTDFEATPEELGLLNAHQAASSQNKR >ENSMUSP00000139859.1 pep:known chromosome:GRCm38:17:56100591:56109803:-1 gene:ENSMUSG00000002831.13 transcript:ENSMUST00000190703.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin4 description:perilipin 4 [Source:MGI Symbol;Acc:MGI:1929709] MSASGDGTRVPPKSKGKTLSSFFGSLPGFSSARNLVSHTHSSTSTKDLQTATDPSGTPAP SSKVSTNSQMAGDAAGLLQPSEQTAGDKDMGSFSVTSSEDAFSGVFGIMDAAKGMVQGGL GATQSALVGTKEAVSGGVMGAVGVAKGLVKGGLDTSKNVLTNTKDTVTTGVMGAANMAKG TVQTGLDTTKSVVMGTKDTVATGLAGAVNVAKGTIQGGLDTTKSVVMGTKDTVTTGLTGA VNVAKGVVQGGLDTTKSVVMGTKDTVTTGLTGAMNVAKGTAQMGIDTSKTVLTGTKDTVC AGATGAINVAKGAAQGGLDTTKSVLIGTKDTVTTGLTGAVNVAKGAVQGGLDTTKSVVMG TKDTVTTGLTGAMNVAKGTAQMGLGTSKTVLTGTKDTVCAGLTGAINVAKGAAQGGLDTT KSVLMGTKDTVTTGLTGAVNVAKGTIQGGLDTTKSVVMGTKDTVTTGLTGAVNVAKGTIQ GGLDTTKSVVMGTKDTVTTGLTGAVNVAKGAAQGGLDTTKSVVMGTKDTVTTGLTGAMNV AKGTAQMGLGTSKTVLTGTKDTVCAGLTGAINVAKGAAQGGLDTTKSVLMGTKDTVTTGL TGAVNVAKGTIQGGLDTTKSVVMGTKDTVTTGLTGAVNVAKGAVQGGLDTTKSVVMGTKD TVTTGLTGALNVAKGTAQMGIDTSKTVLIGTKDTVCAGATGAINMAKGAAQGGLDTTKSV LMGTKDTVTTGLTGAINVAKGSAQGGLDTTKSVLIGTKDTVTTGLTGALNVAKGTVQTGL DTSQRVLTGTKDNVYAGVTGAVNVAKGTIQGGLDTTKSVVMGTKDTVTTGLTGAVNVAKG AVQGGLDTTKSVVMGTKDTVTTGLTGAMNVAKGTAQMGIDTSKTVLTGTKDTVCAGLTGA INVAKGATQGGLDTTKSVLMGTKDTVTTGLTGAINVAKGAAQGGLDTTKSVLLGTKDTVT TGLTGAANVAKETVQMGLDTSKNILMDTKDSICAGATGAITVVKGAAQGGLDTSNAALTG TMDTAKGTVQTSLDTSKHMLIGMKDTVCAGVTSAMNMAKGIHKNTDTTRDTQSSVLAHSG NVATNAIHTGVHTVPSSLSGSHSIICHEPSIYRATNHGVGQAILTSTESLCCETSSFSDK YGLGHVTEPRADTKTLVSGMASSACAATRSVEECGQLAATGFAALPDELKGLGDIFQPMT TEEQAQLAVSESGPRVLSADRGSYYIRLGDLAPSFRQRAFEHALSHIQHNQFQARAALAQ LQEAFQMTDMTMEAACGKLCSDQSLNTMVEAVGSHEMRASVAQDRLCTLAHQLHAAYSSL VTSLQGLPEVQQQAGQARHSLCKLYGLVSSEAGSELQTEQLAQSSAGVVEAWQGLEVLLE KLQQNPPLSWLVGPFTSMPCGQL >ENSMUSP00000002908.7 pep:known chromosome:GRCm38:17:56100591:56109802:-1 gene:ENSMUSG00000002831.13 transcript:ENSMUST00000002908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin4 description:perilipin 4 [Source:MGI Symbol;Acc:MGI:1929709] MSASGDGTRVPPKSKGKTLSSFFGSLPGFSSARNLVSHTHSSTSTKDLQTATDPSGTPAP SSKVSTNSQMAGDAAGLLQPSEQTAGDKDMGSFSVTSSEDAFSGVFGIMDAAKGMVQGGL GATQSALVGTKEAVSGGVMGAVGVAKGLVKGGLDTSKNVLTNTKDTVTTGVMGAANMAKG TVQTGLDTTKSVVMGTKDTVATGLAGAVNVAKGTIQGGLDTTKSVVMGTKDTVTTGLTGA VNVAKGVVQGGLDTTKSVVMGTKDTVTTGLTGAMNVAKGTAQMGIDTSKTVLTGTKDTVC AGATGAINVAKGAAQGGLDTTKSVLIGTKDTVTTGLTGAVNVAKGAVQGGLDTTKSVVMG TKDTVTTGLTGAMNVAKGTAQMGLGTSKTVLTGTKDTVCAGLTGAINVAKGAAQGGLDTT KSVLMGTKDTVTTGLTGAVNVAKGTIQGGLDTTKSVVMGTKDTVTTGLTGAVNVAKGTIQ GGLDTTKSVVMGTKDTVTTGLTGAVNVAKGAAQGGLDTTKSVVMGTKDTVTTGLTGAMNV AKGTAQMGLGTSKTVLTGTKDTVCAGLTGAINVAKGAAQGGLDTTKSVLMGTKDTVTTGL TGAVNVAKGTIQGGLDTTKSVVMGTKDTVTTGLTGAVNVAKGAVQGGLDTTKSVVMGTKD TVTTGLTGALNVAKGTAQMGIDTSKTVLIGTKDTVCAGATGAINMAKGAAQGGLDTTKSV LMGTKDTVTTGLTGAINVAKGSAQGGLDTTKSVLIGTKDTVTTGLTGALNVAKGTVQTGL DTSQRVLTGTKDNVYAGVTGAVNVAKGTIQGGLDTTKSVVMGTKDTVTTGLTGAVNVAKG AVQGGLDTTKSVVMGTKDTVTTGLTGAMNVAKGTAQMGIDTSKTVLTGTKDTVCAGLTGA INVAKGATQGGLDTTKSVLMGTKDTVTTGLTGAINVAKGAAQGGLDTTKSVLLGTKDTVT TGLTGAANVAKETVQMGLDTSKNILMDTKDSICAGATGAITVVKGAAQGGLDTSNAALTG TMDTAKGTVQTSLDTSKHMLIGMKDTVCAGVTSAMNMAKGIHKNTDTTRDTQSSVLAHSG NVATNAIHTGVHTVPSSLSGSHSIICHEPSIYRATNHGVGQAILTSTESLCCETSSFSDK YGLGHVTEPRADTKTLVSGMASSACAATRSVEECGQLAATGFAALPDELKGLGDIFQPMT TEEQAQLAVSESGPRVLSADRGSYYIRLGDLAPSFRQRAFEHALSHIQHNQFQARAALAQ LQEAFQMTDMTMEAACGKLCSDQSLNTMVEAVGSHEMRASVAQDRLCTLAHQLHAAYSSL VTSLQGLPEVQQQAGQARHSLCKLYGLVSSEAGSELQTEQLAQSSAGVVEAWQGLEVLLE KLQQNPPLSWLVGPFTSMPCGQL >ENSMUSP00000074564.2 pep:known chromosome:GRCm38:7:12192965:12193891:1 gene:ENSMUSG00000057161.2 transcript:ENSMUST00000075053.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r80 description:vomeronasal 1 receptor 80 [Source:MGI Symbol;Acc:MGI:2159642] MAFENIALGIFLFSQITVGMIGNSSILFYYVILKFTGKHLMPKDLIIEHLTVANCLFIIS KGIPQTLSDYGLKDFLDDFGCKLIMYIYRITRGMSLYAMCLLSCFQAITISPSNSRWMKF KHRATKYLGPSCSLSWLVHSFLNILTPARVSGPSYSKNTTNRMIYGYCSWFASGNFATAL YLFLLCFCDGLCLGLMAYSSVSMVSMLYRHKKQVKHIHRAQDFLKVSPEIRAMKTILILV CTFILSYSFSSMVAIVTAYSKYPELWGVSVFTFLEICFPIFCPFVLISNMKPISNLFLPC FHNNFLKE >ENSMUSP00000132289.1 pep:known chromosome:GRCm38:2:18195654:18219557:-1 gene:ENSMUSG00000026740.12 transcript:ENSMUST00000148401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc1 description:DnaJ heat shock protein family (Hsp40) member C1 [Source:MGI Symbol;Acc:MGI:103268] XTQSQQKLLELALQQYPKGASDRWDKIAKCVPSKSKEDCIARYKLLVELVQKKKQAKS >ENSMUSP00000088980.5 pep:known chromosome:GRCm38:2:18206333:18392811:-1 gene:ENSMUSG00000026740.12 transcript:ENSMUST00000091418.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc1 description:DnaJ heat shock protein family (Hsp40) member C1 [Source:MGI Symbol;Acc:MGI:103268] MWVPGFGSARLPQRRRSGLESSSVRPLWLLLLFLLAAVRPVRAWESGDLELFDLVEEVQL NFYEFLGVQQDASSADIRKAYRKLSLTLHPDKNKDENAETQFRQLVAIYEVLKDDERRQR YDDVLINGLPDWRQPVFYYRRVRKMSNAELALLLFIILTVGHYAVVWSIYLEKQLDELLG RKKRERKKKTGSKSVDAAKLGASEKNERLLIKPQWHDLLPCKLGIWFCLTLKALPHLIQD AGQFYAKYKETKLKEKEDALARIEIETLQKQKKVKVKKPKPEFPVYMPLENTYIQSYDHG TSIEEIEEQMDDWLENRKRTQKRQAPEWTEEDLSQLTRSMVKFPGGTPGRWDKIAHELGR SVTDVTTKAKELKDSVTSSPGMTRLSELKSNGQNSRPIKIATALPDDIITQREDSAGAME DEEHEAAEGEQESATTEARPRRRKSARAAEAVTRVEPEEKLRGKRQKDFDISEQNDSSDE EKQRKERTRAAEEAWTQSQQKLLELALQQYPKGASDRWDKIAKCVPSKSKEDCIARYKLL VELVQKKKQAKS >ENSMUSP00000126321.1 pep:known chromosome:GRCm38:2:18213749:18392830:-1 gene:ENSMUSG00000026740.12 transcript:ENSMUST00000166495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc1 description:DnaJ heat shock protein family (Hsp40) member C1 [Source:MGI Symbol;Acc:MGI:103268] MWVPGFGSARLPQRRRSGLESSSVRPLWLLLLFLLAAVRPVRAWESGDLELFDLVEEVQL NFYEFLGVQQDASSADIRKAYRKLSLTLHPDKNKDENAETQFRQLVAIYEVLKDDERRQR YDDVLINGLPDWRQPVFYYRRVRKMSNAELALLLFIILTVGHYAVVWSIYLEKQLDELLG RKKRERKKKTGSKSVDAAKLGASEKNERLLIKPQWHDLLPCKLGIWFCLTLKALPHLIQD AGQFYAKYKETKLKEKEDALARIEIETLQKQKKVKVKKPKPEFPVYMPLENTYIQSYDHG TSIEEIEEQMDDWLENRKRTQKRQAPEWTEEDLSQLTRSMVKFPGGTPGRWDKIAHELGR SVTDVTTKAKELKDSVTSSPGMTRLSELKSNGQNSRPIKIATALPDDIITQREDSAGAME DEEHEAAEGEQESATTEARPRRRKSARAAEAVTRVEPEEKLRGKRQKDFDISEQNDSSDE EKQRKERTRAAEEAWTQSQQKLLELALQQYPKGASDRWDKIAKCVPSKSKEDCIARYKLL VELVQKKKQAKS >ENSMUSP00000129176.1 pep:known chromosome:GRCm38:2:18217135:18392420:-1 gene:ENSMUSG00000026740.12 transcript:ENSMUST00000163130.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc1 description:DnaJ heat shock protein family (Hsp40) member C1 [Source:MGI Symbol;Acc:MGI:103268] XWLLLLFLLAAVRPVRAWESGDLELFDLVEEVQLNFYEFLGVQQDASSADIRKAYRKLSL TLHPDKNKDENAETQFRQV >ENSMUSP00000028072.6 pep:known chromosome:GRCm38:2:18217135:18392642:-1 gene:ENSMUSG00000026740.12 transcript:ENSMUST00000028072.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc1 description:DnaJ heat shock protein family (Hsp40) member C1 [Source:MGI Symbol;Acc:MGI:103268] MWVPGFGSARLPQRRRSGLESSSVRPLWLLLLFLLAAVRPVRAWESGDLELFDLVEEVQL NFYEFLGVQQDASSADIRKAYRKLSLTLHPDKNKDENAETQFRQV >ENSMUSP00000126716.1 pep:known chromosome:GRCm38:2:18217155:18392421:-1 gene:ENSMUSG00000026740.12 transcript:ENSMUST00000168723.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc1 description:DnaJ heat shock protein family (Hsp40) member C1 [Source:MGI Symbol;Acc:MGI:103268] XWLLLLFLLAAVRPVRAWESGDLELFDLVEEVQLNFYEFLGVQQDAGQFYAKYKETKLKE KEDALARIEIETLQKQKKVKVKKPKPEFPVYMPLENTYIQSYDHGTSIEEIEEQMDDWLE NRKRTQKRQAPEWTEEDLSQLTRSMVKFPGGTPGRWDKIAHELGRSVTDVTTKAKELKDS VTSSPGMTRLSELKSNGQNSRPIKIATALPDDIITQREDSAGAMEDEEHEAAEGEQESAT TEARPRRRKSARAAEAVTRVEPEEKLRGKRQKDFDISEQNDSSDEEKQRKERTRAAEEAW TQSQQKLLELALQQYPKGASDRWDKIAKCVPSKSKEDCIARYKLLVELVQKKKQAKS >ENSMUSP00000128687.1 pep:known chromosome:GRCm38:2:18219531:18307016:-1 gene:ENSMUSG00000026740.12 transcript:ENSMUST00000164835.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc1 description:DnaJ heat shock protein family (Hsp40) member C1 [Source:MGI Symbol;Acc:MGI:103268] XWFCLTLKALPHLIQNRRKLKLKNQNQNFLCICL >ENSMUSP00000056502.8 pep:known chromosome:GRCm38:X:73778963:73786897:-1 gene:ENSMUSG00000002010.17 transcript:ENSMUST00000052761.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh3g description:isocitrate dehydrogenase 3 (NAD+), gamma [Source:MGI Symbol;Acc:MGI:1099463] MALKVAIAAGGAAKAMLKPTLLCRPWEVLAAHVAPRRSISSQQTIPPSAKYGGRHTVTMI PGDGIGPELMLHVKSVFRHACVPVDFEEVHVSSNADEEDIRNAIMAIRRNRVALKGNIET NHNLPPSHKSRNNILRTSLDLYANVIHCKSLPGVVTRHKDIDILIVRENTEGEYSSLEHE SVAGVVESLKIITKAKSLRIAEYAFKLAQESGRKKVTAVHKANIMKLGDGLFLQCCREVA AHYPQITFDSMIVDNTTMQLVSRPQQFDVMVMPNLYGNIVNNVCAGLVGGPGLVAGANYG HVYAVFETATRNTGKSIANKNIANPTATLLASCMMLDHLKLHSYATSIRKAVLASMDNEN MHTPDIGGQGTTSQAIQDIIRHIRIINGRAVEA >ENSMUSP00000083409.1 pep:known chromosome:GRCm38:7:12259759:12260679:-1 gene:ENSMUSG00000094078.1 transcript:ENSMUST00000086232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r81 description:vomeronasal 1 receptor 81 [Source:MGI Symbol;Acc:MGI:2159648] MKMTSINLPMGIFLFSQIVMGIFGNSSILFYYVIFIFSGKHLMPKDMIIEHLTLSNCLSL ISKGIPQTLSDFGFKNFLDDIGCKVIMYIYRVTRGMSLYAMCLLGCFQAITISPSNSRWI MLKHRATKYIGSSCLVSWLVNLLLNIMTLVKVTVPTYSKNVTNTNSYGYCSWFAPSNLAT ALYMFLLCFCDGLCLSLMACSSVSMMNILYKHKRQVKHIHSAQHLLKVSPEDRATQTILI LVCTFIISYTISSLRVIFTTYSNVSEIWRVSVFIFLEICFPIFCPFVLISNIKCSFRLFL PFCGKR >ENSMUSP00000120150.1 pep:known chromosome:GRCm38:10:78030022:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000145975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQGRDEQKVGQQCGVPPLPSLPSEPQVNQKNEDECAVC HDGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPPELPA ETPILVGLRSASEKTRGPSRELKASSDAAVTYVNLLAPHPAAPLLEPSALCPLLSAGNEG RPGPAPSARCSVCGDGTEVLRCAHCAAAFHWRCHFPTAAARPGTNLRCKSCSADSTPTPG TPGEAVPTSGPRPAPGLAKVGDDSASHDPVLHRDDLESLLNEHSFDGILQWAIQSMSRPL AETPPFSS >ENSMUSP00000122659.1 pep:known chromosome:GRCm38:10:78030022:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000130972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQGRDEQKVGQQCGVPPLPSLPSEPQVNQNEDECAVCH DGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPPELPAE TPILVGLRSASEKTRGPSRELKASSDAAVTYVNLLAPHPAAPLLEPSALCPLLSAGNEGR PGPAPSARCSVCGDGTEVLRCAHCAAAFHWRCHFPTAAARPGTNLRCKSCSADSTPTPGT PGEAVPTSGPRPAPGLAKVGDDSASHDPVLHRDDLESLLNEHSFDGILQWAIQSMSRPLA ETPPFSS >ENSMUSP00000114904.1 pep:known chromosome:GRCm38:10:78030022:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000128241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQVTIPGRDEQKVGQQCGVPPLPSLPSEPQVNQKNEDE CAVCHDGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPP ELPAETPILVGLRSASEKTRGPSRELKASSDAAVTYVNLLAPHPAAPLLEPSALCPLLSA GNEGRPGPAPSARCSVCGDGTEVLRCAHCAAAFHWRCHFPTAAARPGTNLRCKSCSADST PTPGTPGEAVPTSGPRPAPGLAKVGDDSASHDPVLHRDDLESLLNEHSFDGILQWAIQSM SRPLAETPPFSS >ENSMUSP00000114808.1 pep:known chromosome:GRCm38:10:78030023:78043610:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000131028.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQPRSGPKPSSGDLSRS SPRRRSV >ENSMUSP00000122190.1 pep:known chromosome:GRCm38:10:78030031:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000155021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQGRDEQKVGQQCGVPPLPSLPSEPQVNQNEDECAVCH DGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPPELPAE TPGPAPSARCSVCGDGTEVLRCAHCAAAFHWRCHFPTAAARPGTNLRCKSCSADSTPTPG TPGEAVPTSGPRPAPGLAKVGDDSASHDPVLHRDDLESLLNEHSFDGILQWAIQSMSRPL AETPPFSS >ENSMUSP00000121477.1 pep:known chromosome:GRCm38:10:78030031:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000140636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQGRDEQKVGQQCGVPPLPSLPSEPQVNQNEDECAVCH DGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPPELPAE TPDQSPLQILLCRLDSHARHTGRSCTHLWAPSSTWACQGRGRLC >ENSMUSP00000118317.1 pep:known chromosome:GRCm38:10:78030031:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000148469.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQGRDEQKVGQQCGVPPLPSLPSEPQVNQKNEDECAVC HDGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPPELPA ETPDQSPLQILLCRLDSHARHTGRSCTHLWAPSSTWACQGRGRLC >ENSMUSP00000019257.8 pep:known chromosome:GRCm38:10:78030031:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000019257.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQVTIPGRDEQKVGQQCGVPPLPSLPSEPQVNQNEDEC AVCHDGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPPE LPAETPILVGLRSASEKTRGPSRELKASSDAAVTYVNLLAPHPAAPLLEPSALCPLLSAG NEGRPGPAPSARCSVCGDGTEVLRCAHCAAAFHWRCHFPTAAARPGTNLRCKSCSADSTP TPGTPGEAVPTSGPRPAPGLAKVGDDSASHDPVLHRDDLESLLNEHSFDGILQWAIQSMS RPLAETPPFSS >ENSMUSP00000101034.2 pep:known chromosome:GRCm38:10:78030031:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000105395.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQVTIPGRDEQKVGQQCGVPPLPSLPSEPQVNQKNEDE CAVCHDGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPP ELPAETPDQSPLQILLCRLDSHARHTGRSCTHLWAPSSTWACQGRGRLC >ENSMUSP00000115162.1 pep:known chromosome:GRCm38:10:78030031:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000156417.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQVTIPGRDEQKVGQQCGVPPLPSLPSEPQVNQNEDEC AVCHDGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPPE LPAETPDQSPLQILLCRLDSHARHTGRSCTHLWAPSSTWACQGRGRLC >ENSMUSP00000101035.2 pep:known chromosome:GRCm38:10:78030031:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000105396.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQVTIPGRDEQKVGQQCGVPPLPSLPSEPQVNQNEDEC AVCHDGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPPE LPAETPGPAPSARCSVCGDGTEVLRCAHCAAAFHWRCHFPTAAARPGTNLRCKSCSADST PTPGTPGEAVPTSGPRPAPGLAKVGDDSASHDPVLHRDDLESLLNEHSFDGILQWAIQSM SRPLAETPPFSS >ENSMUSP00000123678.1 pep:known chromosome:GRCm38:10:78030071:78043572:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000143735.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQVTIPGRDEQKVGQQCGVPPLPSLPSEPQVNQLFLGS FQGCILGDFQLVTAERG >ENSMUSP00000117094.1 pep:known chromosome:GRCm38:10:78030071:78043580:-1 gene:ENSMUSG00000000731.15 transcript:ENSMUST00000154374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aire description:autoimmune regulator (autoimmune polyendocrinopathy candidiasis ectodermal dystrophy) [Source:MGI Symbol;Acc:MGI:1338803] MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAF HALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGP KAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGN GIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDP SGNLKNKARSGSSLKPVVRAKGAQVTIPGRDEQKVGQQCGVPPLPSLPSEPQVNQKNEDE CAVCHDGGELICCDGCPRAFHLACLSPPLQEIPSGLWRCSCCLQGRVQQNLSQPEVSRPP ELPAETPGPAPSARCSVCGDGTEVLRCAHCAAAFHWRCHFPTAAARPGTNLRCKSCSADS TPTPGTPGEAVPTSGPRPAPGLAKVGDDSASHDPVLHRDDLESLLNEHSFDGILQWAIQS MSRPLAETPPFSS >ENSMUSP00000007212.8 pep:known chromosome:GRCm38:16:20651652:20663414:1 gene:ENSMUSG00000006998.15 transcript:ENSMUST00000007212.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd2 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 2 [Source:MGI Symbol;Acc:MGI:1096584] MEEGGRDKTPVQSQQPSATTPSGADEKSSGKERRDAGEKDKEQELSEEDKQLQDELEMLV ERLGEKDTSLYRPALEELRRQIRSSTTSMTSVPKPLKFLRPHYGKLKEIYENMAPGENKC FAADIISVLAMTMSGERECLKYRLVGSQEELASWGHEYVRHLAGEVAKEWQELDDAEKAQ REPLLTLVKEIVPYNMAHNAEHEACDLLMEIEQVDMLEKDIDENAYAKVCLYLTSCVNYV PEPENSALLRCALGVFRKFSRFPEALRLALMLNDMELVEDIFTSCKDVVVQKQMAFMLGR HGVFLELSEDVEEYEDLTEIMSNVQLNSNFLALARELDIMEPKVPDDIYKTHLENNRFGG SGSQVDSARMNLASSFVNGFVNAAFGQDKLLTDDGNKWLYKNKDHGMLSAAASLGMILLW DVDGGLTQIDKYLYSSEDYIKSGALLACGIVNSGVRNECDPALALLSDYVLHNSNTMRLG SIFGLGLAYAGSNREDVLTLLLPVMGDSKSSMEVAGVTALACGMIAVGSCNGDVTSTILQ TIMEKSETELKDTYARWLPLGLGLNHLGKGEAIEAILAALEVVSEPFRSFANTLVDVCAY AGSGNVLKVQQLLHICSEHFDSKEKEEDKDKKEKKDKDKKEAPADMGAHQGVAVLGIALI AMGEEIGAEMALRTFGHLLRYGEPTLRRAVPLALALISVSNPRLNILDTLSKFSHDADPE VSYNSIFAMGMVGSGTNNARLAAMLRQLAQYHAKDPNNLFMVRLAQGLTHLGKGTLTLCP YHSDRQLMSQVAVAGLLTVLVSFLDVRNIILGKSHYVLYGLVAAMQPRMLVTFDEELRPL PVSVRVGQAVDVVGQAGKPKTITGFQTHTTPVLLAHGERAELATEEFLPVTPILEGFVIL RKNPNYDL >ENSMUSP00000127496.1 pep:known chromosome:GRCm38:16:20651704:20663414:1 gene:ENSMUSG00000006998.15 transcript:ENSMUST00000172207.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmd2 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 2 [Source:MGI Symbol;Acc:MGI:1096584] MEEGGRDKTPVQSQQPSATTPSGADEKSSGKERRDAGEKDKEQELTFTLFTCPGVSGRRM >ENSMUSP00000028841.7 pep:known chromosome:GRCm38:2:126707343:126759297:1 gene:ENSMUSG00000027363.15 transcript:ENSMUST00000028841.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp8 description:ubiquitin specific peptidase 8 [Source:MGI Symbol;Acc:MGI:1934029] MPAVASVPKELYLSSSLKDLNKKTEVKPEKTSTKNYIHSAQKIFKTAEECRLDRDEERAY VLYMKYVAVYNLIKKRPDFKQQQDYYLSILGPANIKKAIEEAERLSESLKLRYEEAEVRK QLEEKDRREEEQLQQQKRQEMGREDSGAAAKRSVENLLDSKTKTQRINGEKSEGAAAAER GAITAKELYTMMMDKNTSLIIMDARKIQDYQHSCILDSLSVPEEAISPGVTASWIEANLS DDSKDTWKKRGSVDYVVLLDWFSSAKDLLLGTTLRSLKDALFKWESKTVLRHEPLVLEGG YENWLLCYPQFTTNAKVTPPPRSRAEEVSVSLDFTYPSLEEPVPSKLPTQMPPPPIETNE KALLVTDQDEKLRLSTQPALAGPGAAPRAEASPIIQPAPATKSVPQVDRTKKPSVKLPED HRIKSENTDQSGRVLSDRSTKPVFPSPTTMLTDEEKARIHQETALLMEKNKQEKELWDKQ QKEQKEKLRREEQERKAGKTQDADERDSTENQHKAKDGQEKKDSKQTKTEDRELSADGAQ EATGTQRQSKSEHEASDAKVPVEGKRCPTSEAQKRPADVSPASVSGELNAGKAQREPLTR ARSEEMGRIVPGLPLGWAKFLDPITGTFRYYHSPTNTVHMYPPEMAPSSAPPSTPPTHKV KPQVPAERDREPSKLKRSYSSPDITQALQEEEKRRPAVTPMVNRENKPPCYPKAEISRLS ASQIRNLNPVFGGSGPALTGLRNLGNTCYMNSILQCLCNAPHLADYFNRNCYQDDINRSN LLGHKGEVAEEFGIIMKALWTGQYRYISPKDFKVTIGKINDQFAGSSQQDSQELLLFLMD GLHEDLNKADNRKRHKEENNEHLDDLQAAEHAWQKHKQLNESIIVALFQGQFKSTVQCLT CRRRSRTFEAFMYLSLPLASTSKCTLQDCLRLFSKEEKLTDNNRFYCSHCRARRDSLKKI EIWKLPPVLLVHLKRFSYDGRWKQKLQTSVDFPLENLDLSQYVIGPKNSLKKYNLFSVSN HYGGLDGGHYTAYCKNAARQRWFKFDDHEVSDISVSSVRSSAAYILFYTSLGPRITDVAT >ENSMUSP00000106046.2 pep:known chromosome:GRCm38:2:126707360:126759290:1 gene:ENSMUSG00000027363.15 transcript:ENSMUST00000110416.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp8 description:ubiquitin specific peptidase 8 [Source:MGI Symbol;Acc:MGI:1934029] MPAVASVPKELYLSSSLKDLNKKTEVKPEKTSTKNTKFCKFSSFLSYIHSAQKIFKTAEE CRLDRDEERAYVLYMKYVAVYNLIKKRPDFKQQQDYYLSILGPANIKKAIEEAERLSESL KLRYEEAEVRKQLEEKDRREEEQLQQQKRQEMGREDSGAAAKRSVENLLDSKTKTQRING EKSEGAAAAERGAITAKELYTMMMDKNTSLIIMDARKIQDYQHSCILDSLSVPEEAISPG VTASWIEANLSDDSKDTWKKRGSVDYVVLLDWFSSAKDLLLGTTLRSLKDALFKWESKTV LRHEPLVLEGGYENWLLCYPQFTTNAKVTPPPRSRAEEVSVSLDFTYPSLEEPVPSKLPT QMPPPPIETNEKALLVTDQDEKLRLSTQPALAGPGAAPRAEASPIIQPAPATKSVPQVDR TKKPSVKLPEDHRIKSENTDQSGRVLSDRSTKPVFPSPTTMLTDEEKARIHQETALLMEK NKQEKELWDKQQKEQKEKLRREEQERKAGKTQDADERDSTENQHKAKDGQEKKDSKQTKT EDRELSADGAQEATGTQRQSKSEHEASDAKVPVEGKRCPTSEAQKRPADVSPASVSGELN AGKAQREPLTRARSEEMGRIVPGLPLGWAKFLDPITGTFRYYHSPTNTVHMYPPEMAPSS APPSTPPTHKVKPQVPAERDREPSKLKRSYSSPDITQALQEEEKRRPAVTPMVNRENKPP CYPKAEISRLSASQIRNLNPVFGGSGPALTGLRNLGNTCYMNSILQCLCNAPHLADYFNR NCYQDDINRSNLLGHKGEVAEEFGIIMKALWTGQYRYISPKDFKVTIGKINDQFAGSSQQ DSQELLLFLMDGLHEDLNKADNRKRHKEENNEHLDDLQAAEHAWQKHKQLNESIIVALFQ GQFKSTVQCLTCRRRSRTFEAFMYLSLPLASTSKCTLQDCLRLFSKEEKLTDNNRFYCSH CRARRDSLKKIEIWKLPPVLLVHLKRFSYDGRWKQKLQTSVDFPLENLDLSQYVIGPKNS LKKYNLFSVSNHYGGLDGGHYTAYCKNAARQRWFKFDDHEVSDISVSSVRSSAAYILFYT SLGPRITDVAT >ENSMUSP00000018800.2 pep:known chromosome:GRCm38:11:104550663:104587215:1 gene:ENSMUSG00000061086.12 transcript:ENSMUST00000018800.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl4 description:myosin, light polypeptide 4 [Source:MGI Symbol;Acc:MGI:97267] MPPKKPEPKKETAKPAAAPAPAASAAPEPLKDSAFDPKSVKIDFSADQIEEFKEAFSLFD RTPTGEMKITYGQCGDVLRALGQNPTNAEVLRVLGKPKPEEMSSKTLDFEMFLPILQHIS RNKEQGTYEDFVEGLRVFDKESNGTVMGAELRHVLATLGEKMSEAEVEQLLSGQEDANGC INYEAFVKHIMSG >ENSMUSP00000114345.1 pep:known chromosome:GRCm38:11:104575446:104585748:1 gene:ENSMUSG00000061086.12 transcript:ENSMUST00000153761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl4 description:myosin, light polypeptide 4 [Source:MGI Symbol;Acc:MGI:97267] MPPKKPEPKKETAKPAAAPAPAASAAPEPLKDSAFDPKSVKIDFSADQIEEFKEAFSLFD RTPTGEMKITYGQCGDVLRALGQNPTNAEVLRVLGKPKPEEMSSKTLDFEMFLPILQHIS RNKEQGTYEDFVEGLRVFDKESNGTVMGAELRHVLATLGEKMSEAEVEQLLSGQEDANGC INYEAFVKHIMS >ENSMUSP00000102570.1 pep:known chromosome:GRCm38:11:104576985:104587215:1 gene:ENSMUSG00000061086.12 transcript:ENSMUST00000106957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl4 description:myosin, light polypeptide 4 [Source:MGI Symbol;Acc:MGI:97267] MPPKKPEPKKETAKPAAAPAPAASAAPEPLKDSAFDPKSVKIDFSADQIEEFKEAFSLFD RTPTGEMKITYGQCGDVLRALGQNPTNAEVLRVLGKPKPEEMSSKTLDFEMFLPILQHIS RNKEQGTYEDFVEGLRVFDKESNGTVMGAELRHVLATLGEKMSEAEVEQLLSGQEDANGC INYEAFVKHIMSG >ENSMUSP00000122748.1 pep:known chromosome:GRCm38:11:104577017:104585014:1 gene:ENSMUSG00000061086.12 transcript:ENSMUST00000130588.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myl4 description:myosin, light polypeptide 4 [Source:MGI Symbol;Acc:MGI:97267] MPPKKPEPKKETAKPAAAPAPAASAAPEPLKDSAFDPKSVKIDFSADQIEGECEPPHALL HFPEKEIQRGLFIV >ENSMUSP00000102569.3 pep:known chromosome:GRCm38:11:104577281:104587215:1 gene:ENSMUSG00000061086.12 transcript:ENSMUST00000106956.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl4 description:myosin, light polypeptide 4 [Source:MGI Symbol;Acc:MGI:97267] MPPKKPEPKKETAKPAAAPAPAASAAPEPLKDSAFDPKSVKIDFSADQIEEFKEAFSLFD RTPTGEMKITYGQCGDVLRALGQNPTNAEVLRVLGKPKPEEMSSKTLDFEMFLPILQHIS RNKEQGTYEDFVEGLRVFDKESNGTVMGAELRHVLATLGEKMSEAEVEQLLSGQEDANGC INYEAFVKHIMSG >ENSMUSP00000118284.1 pep:known chromosome:GRCm38:11:104584964:104595753:1 gene:ENSMUSG00000061086.12 transcript:ENSMUST00000136244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl4 description:myosin, light polypeptide 4 [Source:MGI Symbol;Acc:MGI:97267] XQLLSGQEDANGCINYEAFVKHIMSG >ENSMUSP00000112320.1 pep:known chromosome:GRCm38:X:20615326:20617619:-1 gene:ENSMUSG00000031059.9 transcript:ENSMUST00000116621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb11 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 11 [Source:MGI Symbol;Acc:MGI:1349919] MAARLLSLYGRCLSAAGAMRGLPAARVRWESSRAVIAPSGVEKKRQREPTMQWQEDPEPE DENVYAKNPDFHGYDSDPVVDVWNMRAVFFFGFSIVLVFGTTFVAYVPDYRMQEWARREA ERLVKYREVNGLPIMESNYFDPSKIQLPEDD >ENSMUSP00000027198.5 pep:known chromosome:GRCm38:1:58462771:58505109:-1 gene:ENSMUSG00000026037.14 transcript:ENSMUST00000027198.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc2 description:origin recognition complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1328306] MSTLQLKETKVPSVQFVGDDDVLSHILDREGGTKLKKEKAQLLVNPQKVIKKADCELEKS DLEVLEDQNYVKVLGRNIQESLGNGSAKDGRNKVYSFQQRKHPEEMTKLALELAKTSGKK DPLDSNDPEITKNIAQKSKGHSTSEKAPLVNNNKTEFLSTQPHNLRKRIIASRSHYDSES EYSASSSEDDEEATKDEEEDTNVARLSQKSQGQNRLLPAPVSKETLPKKKKRDKASDLVE EYFEAHSSSKVLTSDRTLQRLRRARVDQKTLHNLLRKFVPSFSAEIERLNQQHEKLFHKW MLQLHLGFNIVLYGLGSKRDLLEKFRTTMLQDSIHVVINGYFPGVSVKSILNSITEDVLS HVGTFQSVLDQRDWIINRFKEDSSLELFLLIHNLDSQMLRGDNSQQILGQLSSLHNVYLI ASIDHLNAPLMWDHAKQSLYNWLWYETTTYSPYTEETSYENSLLVKQSGSLPLSSLIHVL RSLTPNARGIFRLLMKFQLDNQDSPSYIGLSFQDFYQQCREAFLVNSDLTLRAQLTEFRD HKLIRTKKGTDGVEYLLIPVDSGILADFLEKEEEEA >ENSMUSP00000109964.1 pep:known chromosome:GRCm38:1:58464204:58501416:-1 gene:ENSMUSG00000026037.14 transcript:ENSMUST00000114325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc2 description:origin recognition complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1328306] MSTLQLKETKVPSVQFVGDDDVLSHILDREGESLGNGSAKDGRNKVYSFQQRKHPEEMTK LALELAKTSGKKDPLDSNDPEITKNIAQKSKGHSTSEKAPLVNNNKTEFLSTQPHNLRKR IIASRSHYDSESEYSASSSEDDEEATKDEEEDTNVARLSQKSQGQNRLLPAPVSKETLPK KKKRDKASDLVEEYFEAHSSSKVLTSDRTLQRLRRARVDQKTLHNLLRKFVPSFSAEIER LNQQHEKLFHKWMLQLHLGFNIVLYGLGSKRDLLEKFRTTMLQDSIHVVINGYFPGVSVK SILNSITEDVLSHVGTFQSVLDQRDWIINRFKEDSSLELFLLIHNLDSQMLRGDNSQQIL GQLSSLHNVYLIASIDHLNAPLMWDHAKQSLYNWLWYETTTYSPYTEETSYENSLLVKQS GSLPLSSLIHVLRSLTPNARGIFRLLMKFQLDNQDSPSYIGLSFQDFYQQCREAFLVNSD LTLRAQLTEFRDHKLIRTKKGTDGVEYLLIPVDSGILADFLEKEEEEA >ENSMUSP00000139869.1 pep:known chromosome:GRCm38:1:58483698:58504925:-1 gene:ENSMUSG00000026037.14 transcript:ENSMUST00000190695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc2 description:origin recognition complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1328306] MTKLALELAKTSGKKDPLDSNDPEITKNIAQKSKGHSTSEKAPLVNN >ENSMUSP00000141138.1 pep:known chromosome:GRCm38:1:58500346:58504955:-1 gene:ENSMUSG00000026037.14 transcript:ENSMUST00000191206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc2 description:origin recognition complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1328306] MSTLQLKETKVPSVQFVGDDDVLSHILDREGGTKLKKEKAQLLV >ENSMUSP00000146622.1 pep:known chromosome:GRCm38:7:20260451:20262834:-1 gene:ENSMUSG00000109166.1 transcript:ENSMUST00000190145.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-342N5.2 MEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGNFSVVKRAFHVPTSTSVAVKILQNT KEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELLDCIINVGSLEES ETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDCRGNAKLCDFGLAAEVIPGQKLAGFC GTLPYCAPELLQAEKYEGPPVDIWSLGVLLFLMVSGNLPFQGKSFVDLKQEIISANFSIP SHVPIDIFNVIIELLMINPSRRPTIHQIMRRPMIRGSEACLPPTSTQTFPGTPSHSIVRT MRVIT >ENSMUSP00000129513.1 pep:known chromosome:GRCm38:15:78775138:78803042:-1 gene:ENSMUSG00000033170.14 transcript:ENSMUST00000164826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Card10 description:caspase recruitment domain family, member 10 [Source:MGI Symbol;Acc:MGI:2146012] MLGGPGGRRAGGGANDPEGTAPSRLRSRRPSTEPGAQGSCSARGSEDAAMQGRADAGEAD EEAGAGSGSEAEEDALWERIEGVRHRLTRALNPAKLTPYLRQCRVLDEQDEEEVLSTYRF PCRANRTGRLIDILRCRGKRGFEAFLEALEFYYPEHFTLLTGQEPAQRCSMILDEEGPEG LTQFLMTEVRRLREARKSQLHREQQLQARGRALEEERAGLEQRLREQQQAQERCQRLRED WEAGSLELLRLKDENYMIAMRLAQLSEEKNSAVLRSRDLQLAVDQLKLKVSRLEEECALL RRARGPPPGAEEKEREPDGADLLSELRAENQRLTASLQELQEGLQQEMSRPGAAGSERIL LDILEHDWREAQDSRQELCQKLHAVQGELQWAEELRDKYLQEMEDLRLKHRTLLKDCDLY KHRMATVLAQLEEIEKERDQAIQSRDRIQLQYSQSLIEKDQYRKQVRGLEAERDELLTTV TSLEGTKAMLEAQLQRTQGGSCLKACASSHSLCSNLSSTWSLSEFPSPLGGPEATGEAGG SEPHTSEEATDSEKEINRLSILPFPPSAGSILRRQREEDPEPPKRSFSSMSDITGSVTLK PWSPGLSSSSSSDSVWPLGKPEGLLARGCGLDFLNRSLAIRVSGWSPPAGLDPQDKSPDS MPGLGDRWSGAVVRRVLSGPGSARTEQKEPRAEGTGLEGAGLEAEAQQRTLPWNQSSTLP FLLDSKACHSFHEALDAWAKGPGAEPFYIRANFSLPERSDPHALCVKAQEILRLVDPAHK RRQEWFCTRVDTLTLRDLDRGTVPNYQRAQQLLEVQEKYLISSRHRSPRSNLKKRALGLV RPKPAGGTAGDSAEQLPAEPCSELERSLKPYSLVRPLLVSALRPVVLLPECLAPRLIRNL LDLPSSRLDFQVCPAESLSGEEQCTSSAPGAPKAWPATAGLGSRIRAIQESVGKKHCLLE LGARGVRELVHSEVYPIVIHVEVTEKNVREIRGLLGRPGWRDSELLRQCRGSEQWLWGLP CSWVQVPAHAWGHAEELAKVVRGRILQEQARLVWVERGSSRGGSGSSSEA >ENSMUSP00000131003.1 pep:known chromosome:GRCm38:15:78776493:78802669:-1 gene:ENSMUSG00000033170.14 transcript:ENSMUST00000170584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Card10 description:caspase recruitment domain family, member 10 [Source:MGI Symbol;Acc:MGI:2146012] MQGRADAGEADEEAGAGSGSEAEEDALWERIEGVRHRLTRALNPAKLTPYLRQCRVLDEQ DEEEVLSTYRFPCRANRTGRLIDILRCRGKRGFEAFLEALEFYYPEHFTLLTGQEPAQRC SMILDEEGPEGLTQFLMTEVRRLREARKSQLHREQQLQARGRALEEERAGLEQRLREQQQ AQERCQRLREDWEAGSLELLRLKDENYMIAMRLAQLSEEKNSAVLRSRDLQLAVDQLKLK VSRLEEECALLRRARGPPPGAEEKEREPDGADLLSELRAENQRLTASLQELQEGLQQEMS RPGAAGSERILLDILEHDWREAQDSRQELCQKLHAVQGELQWAEELRDKYLQEMEDLRLK HRTLLKDCDLYKHRMATVLAQLEEIEKERDQAIQSRDRIQLQYSQSLIEKDQYRKQVRGL EAERDELLTTVTSLEGTKAMLEAQLQRTQGGSCLKACASSHSLCSNLSSTWSLSEFPSPL GGPEATGEAGGSEPHTSEEATDSEKEINRLSILPFPPSAGSILRRQREEDPEPPKRSFSS MSDITGSVTLKPWSPGLSSSSSSDSVWPLGKPEGLLARGCGLDFLNRSLAIRVSGWSPPA GLDPQDKSPDSMPGLGDRWSGAVVRRVLSGPGSARTEQKEPRAEGTGLEGAGLEAEAQQR TLPWNQSSTLPFLLDSKACHSFHEALDAWAKGPGAEPFYIRANFSLPERSDPHALCVKAQ EILRLVDPAHKRRQEWFCTRVDTLTLRDLDRGTVPNYQRAQQLLEVQEKYLISSRHRSPR SNLKKRALGLVRPKPAGGTAGDSAEQLPAEPCSELERSLKPYSLVRPLLVSALRPVVLLP ECLAPRLIRNLLDLPSSRLDFQVCPAESLSGEEQCTSSAPGAPKAWPATAGLGSRIRAIQ ESVGKKHCLLELGARGVRELVHSEVYPIVIHVEVTEKNVREIRGLLGRPGWRDSELLRQC RGSEQWLWGLPCSWVQVPAHAWGHAEELAKVVRGRILQEQARLVWVERGSSRGGSGSSSE A >ENSMUSP00000116078.1 pep:known chromosome:GRCm38:16:43889800:43964314:1 gene:ENSMUSG00000022701.17 transcript:ENSMUST00000132859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc191 description:coiled-coil domain containing 191 [Source:MGI Symbol;Acc:MGI:1922661] MMTSMKKELVNDWLDNKLKQELASDGEGESTVLSSPPVPDAHDHLKYDKFDDLCGYLEEE EESATVQKFIEHLLHKEVVASEMVEELGMEENQDKRQQKDPRLTMEMRHKQVKENRLRRE KQLESQRMADALKKAAFLEAQSLVQEEKRRKALLAKKEKEDIQREMVKLRREILERRHTV EEAWKTEKKRQEEISQKNSEGKMMESTHIHLDEEKVAKERKRKLRETLIQTFKENQQCQK RYFSAWHKLILDHRIKLGKAGTLSDWKLQLKVLRAWRDHTRSRKLERETKALENDLREEN RKQQLATEFNRKHVLRYCFGEWQRWHGSEVIKRELALAKEQTRKKMDELLKAASLGKLSS KGSSGIGLVAEAVAVMDPAVGSGEVTILSPLWERPPVESSGCTLSHSPERTTKGNCQGPL PDVSGSTPGTEQCKAVAAETSEQPGSCERPGTTRQEAEAACVRHFHRSHVYQQQLIEKQK KKLEQQQKTIQKLKENQRLAEARWAAKRAPAVTEGQNCLQSDPRGARDPQGTCQRLLKCE DSRTDCRKPLSASRRTLKQPTAPHPLLKAMEERAIQRAERRQILAEKKKKQEEDKLAQLK AQEEERQKKDAEEKEAQLERKREERRLKKMKELEKQKRIKRIQELEAVAKGHYERVLLRK KGLEPWKRLRMQSKHNIEVAEKYHSLALQRKCLLNWFQYSQETLAMKTAKADQFYSQLLY RRSIRSWLQYVSDLEKEVRRLCTYLLQKKIFKAWLTTVRELKMDSQRKLKVATEHCDRKI LMLTLEMWKAFVKLTKEERVKEERRDHLRRKVSEILPDFQMLVPP >ENSMUSP00000112569.1 pep:known chromosome:GRCm38:16:43889899:43939567:1 gene:ENSMUSG00000022701.17 transcript:ENSMUST00000122014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc191 description:coiled-coil domain containing 191 [Source:MGI Symbol;Acc:MGI:1922661] MELSRWKRLSRKLNLKRVEKASEFAISNTCSTRNADLPGRFWRQAVDLATCEETEYHDDV YEEAQELVNDWLDNKLKQELASDGEGESTVLSSPPVPDAHDHLKYDKFDDLCGYLEEEEE SATVQKFIEHLLHKEVVASEMVEELGMEENQDKRQQKDPRLTMEMRHKQVKENRLRREKQ LESQRMADALKKAAFLEAQSLVQEEKRRKALLAKKEKEDIQREMVKLRREILERRHTVEE AWKTEKKRQEEISQKNSEGKMMESTHIHLDEEKVAKERKRKLRETLIQTFKENQQCQKRY FSAWHKLILDHRIKLGKAGTLSDWKLQLKVLRAWRDHTRSRKLERETKALENDLREENRK QQLATEFNRKHVLRYCFGEWQRWHGSEVIKRELALAKEQTRKKMDELLKAASLGKLSSKG SSGIGLVAEAVAVMDPAVGSGEVHCSLCLGFMYIMDLQLSFTLL >ENSMUSP00000119428.1 pep:known chromosome:GRCm38:16:43889940:43908482:1 gene:ENSMUSG00000022701.17 transcript:ENSMUST00000151183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc191 description:coiled-coil domain containing 191 [Source:MGI Symbol;Acc:MGI:1922661] MVEELGMEENQDKRQQKDPRLTMEMRHKQVKENRLRREKQLESQRMADALKKA >ENSMUSP00000113814.1 pep:known chromosome:GRCm38:16:43939124:43964314:1 gene:ENSMUSG00000022701.17 transcript:ENSMUST00000122440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc191 description:coiled-coil domain containing 191 [Source:MGI Symbol;Acc:MGI:1922661] XAVAVMDPAVGSGEVVSSDPTRLCCPFPVTILSPLWERPPVESSGCTLSHSPERTTKGNC QGPLPDVSGSTPGTEQCKAVAAETSEQPGSCERPGTTRQEAEAACVRHFHRSHVYQQQLI EKQKKKLEQQQKTIQKLKENQRLAEARWAAKRAPAVTEGQNCLQSDPRGARDPQGTCQRL LKCEDSRTDCRKPLSASRRTLKQPTAPHPLLKAMEERAIQRAERRQILAEKKKKQEEDKL AQLKAQEEERQKKDAEEKEAQLERKREERRLKKMKELEKQKRIKRIQELEAVAKGHYERV LLRKKGLEPWKRLRMQSKHNIEVAEKYHSLALQRKCLLNWFQYSQETLAMKTAKADQFYS QLLYRRSIRSWLQYVSDLEKEVRRLCTYLLQKKIFKAWLTTVRELKMDSQRKLKVATEHC DRKILMLTLEMWKAFVKLTKEERVKEERRDHLRRKVSEILPDFQMLVPP >ENSMUSP00000137597.1 pep:known chromosome:GRCm38:16:43889902:43964314:1 gene:ENSMUSG00000022701.17 transcript:ENSMUST00000178400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc191 description:coiled-coil domain containing 191 [Source:MGI Symbol;Acc:MGI:1922661] MELSRWKRLSRKLNLKRVEKASEFAISNTCSTRNADLPGRFWRQAVDLATCEETEYHDDV YEEAQELVNDWLDNKLKQELASDGEGESTVLSSPPVPDAHDHLKYDKFDDLCGYLEEEEE SATVQKFIEHLLHKEVVASEMVEELGMEENQDKRQQKDPRLTMEMRHKQVKENRLRREKQ LESQRMADALKKAAFLEAQSLVQEEKRRKALLAKKEKEDIQREMVKLRREILERRHTVEE AWKTEKKRQEEISQKNSEGKMMESTHIHLDEEKVAKERKRKLRETLIQTFKENQQCQKRY FSAWHKLILDHRIKLGKAGTLSDWKLQLKVLRAWRDHTRSRKLERETKALENDLREENRK QQLATEFNRKHVLRYCFGEWQRWHGSEVIKRELALAKEQTRKKMDELLKAASLGKLSSKG SSGIGLVAEAVAVMDPAVGSGEVTILSPLWERPPVESSGCTLSHSPERTTKGNCQGPLPD VSGSTPGTEQCKAVAAETSEQPGSCERPGTTRQEAEAACVRHFHRSHVYQQQLIEKQKKK LEQQQKTIQKLKENQRLAEARWAAKRAPAVTEGQNCLQSDPRGARDPQGTCQRLLKCEDS RTDCRKPLSASRRTLKQPTAPHPLLKAMEERAIQRAERRQILAEKKKKQEEDKLAQLKAQ EEERQKKDAEEKEAQLERKREERRLKKMKELEKQKRIKRIQELEAVAKGHYERVLLRKKG LEPWKRLRMQSKHNIEVAEKYHSLALQRKCLLNWFQYSQETLAMKTAKADQFYSQLLYRR SIRSWLQYVSDLEKEVRRLCTYLLQKKIFKAWLTTVRELKMDSQRKLKVATEHCDRKILM LTLEMWKAFVKLTKEERVKEERRDHLRRKVSEILPDFQMLVPP >ENSMUSP00000036529.2 pep:known chromosome:GRCm38:19:11667460:11691150:-1 gene:ENSMUSG00000041857.10 transcript:ENSMUST00000048214.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oosp1 description:oocyte secreted protein 1 [Source:MGI Symbol;Acc:MGI:2149290] MKPFVGLLGLLLLLSFMKTCADDWTAISLQCADHWFHLRIRPTIFHNIFMEPDEVFLGIG CPVTTTWPNDTYEFIYRTYSCGIANKVLCDVTLLKTQLTYISKNASLQAEMSLSCVMHNQ SPHFCEAESRGDFTGDPPGWTEDMRARRDEQTVPMVQPNLSTSSEDHHVSTEPWASETSR SEAAEVPSFMDQNFSVFHFSRM >ENSMUSP00000139444.1 pep:known chromosome:GRCm38:19:11667535:11691028:-1 gene:ENSMUSG00000041857.10 transcript:ENSMUST00000186557.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oosp1 description:oocyte secreted protein 1 [Source:MGI Symbol;Acc:MGI:2149290] MKPFVGLLGLLLLLSFMKTCADDWTVRVLISVKQKVEEISLVILLDGQKI >ENSMUSP00000123469.1 pep:known chromosome:GRCm38:19:11667673:11691034:-1 gene:ENSMUSG00000041857.10 transcript:ENSMUST00000139158.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oosp1 description:oocyte secreted protein 1 [Source:MGI Symbol;Acc:MGI:2149290] MKPFVGLLGLLLLLSFMKTCADDWTAISLQCADHWFHLRIRPTIFHNIFMEPDEVFLGIG CPVTTTWPNDTYEFIYRTYSCGIANKSESSFL >ENSMUSP00000076505.2 pep:known chromosome:GRCm38:15:53064038:53346159:-1 gene:ENSMUSG00000061731.9 transcript:ENSMUST00000077273.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ext1 description:exostoses (multiple) 1 [Source:MGI Symbol;Acc:MGI:894663] MQAKKRYFILLSAGSCLALLFYFGGVQFRASRSHSRREEHSGRNGLHQPSPDHFWPRFPD ALRPFFPWDQLENEDSSVHISPRQKRDANSSIYKGKKCRMESCFDFTLCKKNGFKVYVYP QQKGEKIAESYQNILAAIEGSRFYTSDPSQACLFVLSLDTLDRDQLSPQYVHNLRSKVQS LHLWNNGRNHLIFNLYSGTWPDYTEDVGFDIGQAMLAKASISTENFRPNFDVSIPLFSKD HPRTGGERGFLKFNTIPPLRKYMLVFKGKRYLTGIGSDTRNALYHVHNGEDVLLLTTCKH GKDWQKHKDSRCDRDNTEYEKYDYREMLHNATFCLVPRGRRLGSFRFLEALQAACVPVML SNGWELPFSEVINWNQAAVIGDERLLLQIPSTIRSIHQDKILALRQQTQFLWEAYFSSVE KIVLTTLEIIQDRIFKHISRNSLIWNKHPGGLFVLPQYSSYLGDFPYYYANLGLKPPSKF TAVIHAVTPLVSQSQPVLKLLVAAAKSQYCAQIIVLWNCDKPLPAKHRWPATAVPVIVIE GESKVMSSRFLPYDNIITDAVLSLDEDTVLSTTEVDFAFTVWQSFPERIVGYPARSHFWD NSKERWGYTSKWTNDYSMVLTGAAIYHKYYHYLYSHYLPASLKNMVDQLANCEDILMNFL VSAVTKLPPIKVTQKKQYKETMMGQTSRASRWADPDHFAQRQSCMNTFASWFGYMPLIHS QMRLDPVLFKDQVSILRKKYRDIERL >ENSMUSP00000105873.1 pep:known chromosome:GRCm38:15:53083146:53196255:-1 gene:ENSMUSG00000061731.9 transcript:ENSMUST00000110244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ext1 description:exostoses (multiple) 1 [Source:MGI Symbol;Acc:MGI:894663] MGLSISRYDYREMLHNATFCLVPRGRRLGSFRFLEALQAACVPVMLSNGWELPFSEVINW NQAAVIGDERLLLQIPSTIRSIHQDKILALRQQTQFLWEAYFSSVEKIVLTTLEIIQDRI FKHISRNSLIWNKHPGGLFVLPQYSSYLGDFPYYYANLGLKPPSKFTAVIHAVTPLVSQS QPVLKLLVAAAKSQYCAQIIVLWNCDKPLPAKHRWPATAVPVIVIEGESKVMSSRFLPY >ENSMUSP00000117921.1 pep:known chromosome:GRCm38:15:53068664:53345659:-1 gene:ENSMUSG00000061731.9 transcript:ENSMUST00000133362.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ext1 description:exostoses (multiple) 1 [Source:MGI Symbol;Acc:MGI:894663] MQAKKRYFILLSAGSCLALLFYFGGCLCPCNAQQRMGVAILRSD >ENSMUSP00000140802.1 pep:known chromosome:GRCm38:Y:24455057:24457399:1 gene:ENSMUSG00000093868.2 transcript:ENSMUST00000187943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20809 description:predicted gene, 20809 [Source:MGI Symbol;Acc:MGI:5434165] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000137177.1 pep:known chromosome:GRCm38:Y:24456582:24457265:1 gene:ENSMUSG00000093868.2 transcript:ENSMUST00000179663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20809 description:predicted gene, 20809 [Source:MGI Symbol;Acc:MGI:5434165] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000133350.1 pep:known chromosome:GRCm38:7:20333142:20334065:-1 gene:ENSMUSG00000095745.1 transcript:ENSMUST00000172989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4133 description:predicted gene 4133 [Source:MGI Symbol;Acc:MGI:3782309] MSAHGKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFYPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVGRSTNLCSTCVLGVHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKVSGPQITDNNTDSKRKLFCST SGFSVGIVLLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAETRATR TILMLVVTFVSFYLLNFICIMLHVLFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000039376.6 pep:known chromosome:GRCm38:1:175880581:175913489:1 gene:ENSMUSG00000039748.11 transcript:ENSMUST00000039725.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo1 description:exonuclease 1 [Source:MGI Symbol;Acc:MGI:1349427] MGIQGLLQFIQEASEPVNVKKYKGQAVAVDTYCWLHKGAIACAEKLAKGEPTDRYVGFCM KFVNMLLSYGVKPILIFDGCTLPSKKEVERSRRERRQSNLLKGKQLLREGKVSEARDCFA RSINITHAMAHKVIKAARALGVDCLVAPYEADAQLAYLNKAGIVQAVITEDSDLLAFGCK KVILKMDQFGNGLEVDQARLGMCKQLGDVFTEEKFRYMCILSGCDYLASLRGIGLAKACK VLRLANNPDIVKVIKKIGHYLRMNITVPEDYITGFIRANNTFLYQLVFDPIQRKLVPLNA YGDDVNPETLTYAGQYVGDSVALQIALGNRDVNTFEQIDDYSPDTMPAHSRSHSWNEKAG QKPPGTNSIWHKNYCPRLEVNSVSHAPQLKEKPSTLGLKQVISTKGLNLPRKSCVLKRPR NEALAEDDLLSQYSSVSKKIKENGCGDGTSPNSSKMSKSCPDSGTAHKTDAHTPSKMRNK FATFLQRRNEESGAVVVPGTRSRFFCSSQDFDNFIPKKESGQPLNETVATGKATTSLLGA LDCPDTEGHKPVDANGTHNLSSQIPGNAAVSPEDEAQSSETSKLLGAMSPPSLGTLRSCF SWSGTLREFSRTPSPSASTTLQQFRRKSDPPACLPEASAVVTDRCDSKSEMLGETSQPLH ELGCSSRSQESMDSSCGLNTSSLSQPSSRDSGSEESDCNNKSLDNQGEQNSKQHLPHFSK KDGLRRNKVPGLCRSSSMDSFSTTKIKPLVPARVSGLSKKSGSMQTRKHHDVENKPGLQT KISELWKNFGFKKDSEKLPSCKKPLSPVKDNIQLTPETEDEIFNKPECVRAQRAIFH >ENSMUSP00000141870.1 pep:known chromosome:GRCm38:1:175880794:175887612:1 gene:ENSMUSG00000039748.11 transcript:ENSMUST00000195196.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo1 description:exonuclease 1 [Source:MGI Symbol;Acc:MGI:1349427] MGIQGLLQFIQEASEPVNVKKYKGQAVAVDTYCWLHKGAIACAEKLAKGEPTDRYVGFCM KFVNMLLSYGVKPILIFDGCTLPSKKEVERSRRERRQSNLLKGKQ >ENSMUSP00000141770.1 pep:known chromosome:GRCm38:1:175880796:175892061:1 gene:ENSMUSG00000039748.11 transcript:ENSMUST00000194306.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo1 description:exonuclease 1 [Source:MGI Symbol;Acc:MGI:1349427] MGIQGLLQFIQEASEPVNVKKYKGQAVAVDTYCWLHKGAIACAEKLAKGEPTDRYVGFCM KFVNMLLSYGVKPILIFDGCTLPSKKEVERSRRERRQSNLLKGKQLLREGKVSEARDCFA RSINITHAMAHKVIKAARALGVDCLVAPYEADAQLAYLNKAGIVQAVITEDSDLLAFGCK KVILKMDQFGNGLEVDQARLGMCKQLGDVFTEEKFRYMCILSG >ENSMUSP00000141624.1 pep:known chromosome:GRCm38:1:175880813:175892083:1 gene:ENSMUSG00000039748.11 transcript:ENSMUST00000193822.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo1 description:exonuclease 1 [Source:MGI Symbol;Acc:MGI:1349427] MGIQGLLQFIQEASEPVNVKKYKGQAVAVDTYCWLHKGAIACAEKLAKGEPTDRRRQSNL LKGKQLLREGKVSEARDCFARSINITHAMAHKVIKAARALGVDCLVAPYEADAQLAYLNK AGIVQAVITEDSDLLAFGCKKVILKMDQFGNGLEVDQARLGMCKQLGDVFTEEKFRYMCI LSGCDYLASLR >ENSMUSP00000141568.1 pep:known chromosome:GRCm38:1:175881183:175892079:1 gene:ENSMUSG00000039748.11 transcript:ENSMUST00000193858.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo1 description:exonuclease 1 [Source:MGI Symbol;Acc:MGI:1349427] MGIQGLLQFIQEASEPVNVKKYKGQAVAVDTYCWLHKGAIACAEKLAKGEPTDRRRQSNL LKGKQLLREGKVSEARDCFARSINITHAMAHKVIKAARALGVDCLVAPYEADAQLAYLNK AGIVQAVITEDSDLLAFGCKKVILKMDQFGNGLEVDQARLGMCKQLGDVFTEEKFRYMCI LSGCDYLASL >ENSMUSP00000141526.1 pep:known chromosome:GRCm38:1:175892882:175900918:1 gene:ENSMUSG00000039748.11 transcript:ENSMUST00000191680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo1 description:exonuclease 1 [Source:MGI Symbol;Acc:MGI:1349427] XKIGHYLRMNITVPEDYITGFIRANNTFLYQLVFDPIQRKLVPLNAYGDDVNPETLTYAG QYVGDSVALQIALGNRDPAHSRSHSWNEKAGQKPPGTNSIWHKNYCPRLEVNSVSHAPQL KEKPSTLGLKQVISTKGLNLPRKSCVLKRPRNEALAEDDLLSQYSSVSKKIKENGCGDGT SPNSSKMSKSCPDSGTAHKTDAHTPSKMRNKFATFLQRRNEESGAVVVPGTRSRFFCSSQ DFDNFIP >ENSMUSP00000141316.1 pep:known chromosome:GRCm38:1:175901369:175908732:1 gene:ENSMUSG00000039748.11 transcript:ENSMUST00000194636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo1 description:exonuclease 1 [Source:MGI Symbol;Acc:MGI:1349427] XRSQESMDSSCGLNTSSLSQPSSRDSGSEESDCNNKSLDNQGEQNSKQHLPHFSKKDGLR RNKVPGLCRSSSMDSFSTTKIKPLVPARVSGLSKKSGSMQTRKHHDVENKPGLQTKISEL WKNFGFKKGCSLLTFRILRKAEVVASRF >ENSMUSP00000142091.1 pep:known chromosome:GRCm38:1:175904373:175906196:1 gene:ENSMUSG00000039748.11 transcript:ENSMUST00000194816.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo1 description:exonuclease 1 [Source:MGI Symbol;Acc:MGI:1349427] XEQNSKQHLPHFSKKDGLRRNKVPGLCRSSSMDSFSTTKIKPLVPARVSGLSKKSGSMQT RKHHDVENKPGLQTKISELWKNFGFKK >ENSMUSP00000033131.6 pep:known chromosome:GRCm38:7:101905904:101911903:1 gene:ENSMUSG00000030842.11 transcript:ENSMUST00000033131.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamtor1 description:late endosomal/lysosomal adaptor, MAPK and MTOR activator 1 [Source:MGI Symbol;Acc:MGI:1913758] MGCCYSSENEDSDQDREERKLLLDPSSTPTKALNGAEPNYHSLPSARTDEQALLSSILAK TASNIIDVSAADSQGMEQHEYMDRARQYSTRLAVLSSSLTHWKKLPPLPSLTSQPHQVLA SEPIPFSDLQQVSRIAAYAYSALSQIRVDAKEELVVQFGIP >ENSMUSP00000141774.1 pep:known chromosome:GRCm38:7:101905978:101926675:1 gene:ENSMUSG00000030842.11 transcript:ENSMUST00000193465.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamtor1 description:late endosomal/lysosomal adaptor, MAPK and MTOR activator 1 [Source:MGI Symbol;Acc:MGI:1913758] MGCCYSSENEDSDQDREERKLLLDPSSTPTKALNGAEPNYHSLPSARTDEQALLSSILAK TASNIIDVSAADSQGMEQHEYMDRARQYSTRLAVLSSSLTHWKKLPPLPSLTSQPHQVLA SEPIPFSDLQQLQLFHSTSHSQ >ENSMUSP00000043551.5 pep:known chromosome:GRCm38:18:89197431:89270201:1 gene:ENSMUSG00000034028.15 transcript:ENSMUST00000037142.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd226 description:CD226 antigen [Source:MGI Symbol;Acc:MGI:3039602] MAYVTWLLAILHVHKALCEETLWDTTVRLSETMTLECVYPLTHNLTQVEWTKNTGTKTVS IAVYNPNHNMHIESNYLHRVHFLNSTVGFRNMSLSFYNASEADIGIYSCLFHAFPNGPWE KKIKVVWSDSFEIAAPSDSYLSAEPGQDVTLTCQLPRTWPVQQVIWEKVQPHQVDILASC NLSQETRYTSKYLRQTRSNCSQGSMKSILIIPNAMAADSGLYRCRSEAITGKNKSFVIRL IITDGGTNKHFILPIVGGLVSLLLVILIIIIFILYNRKRRRQVRIPLKEPRDKQSKVATN CRSPTSPIQSTDDEKEDIYVNYPTFSRRPKPRL >ENSMUSP00000095104.2 pep:known chromosome:GRCm38:18:89197498:89269161:1 gene:ENSMUSG00000034028.15 transcript:ENSMUST00000097496.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd226 description:CD226 antigen [Source:MGI Symbol;Acc:MGI:3039602] MAYVTWLLAILHVHKDSFEIAAPSDSYLSAEPGQDVTLTCQLPRTWPVQQVIWEKVQPHQ VDILASCNLSQETRYTSKYLRQTRSNCSQGSMKSILIIPNAMAADSGLYRCRSEAITGKN KSFVIRLIITDGGTNKHFILPIVGGLVSLLLVILIIIIFILYNRKRRRQVRIPLKEPRDK QSKVATNCRSPTSPIQSTDDEKEDIYVNYPTFSRRPKPRL >ENSMUSP00000100844.2 pep:known chromosome:GRCm38:7:20374493:20375410:-1 gene:ENSMUSG00000095806.1 transcript:ENSMUST00000105209.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5728 description:predicted gene 5728 [Source:MGI Symbol;Acc:MGI:3644398] MSDHAKSLKSTEEVALQLLLLCQFGVGTLANVFLFVHNFYPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHHFVTLVL VNRGKLILRASVPNFVSYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIGGIVFLQFSYDATFMSIMVWTSVSMILLLHRHRQRMQHILTPNQYRRGQAKSRATHTI LMLVVTFVSFYLLNFICIIFHTLLMHSHLFIRLVSEVLAAVFPSICPLLLIFRDPKDPCS VLFNC >ENSMUSP00000030263.2 pep:known chromosome:GRCm38:4:117932154:118134890:-1 gene:ENSMUSG00000028538.12 transcript:ENSMUST00000030263.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal3 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 3 [Source:MGI Symbol;Acc:MGI:1316659] MGLLVFVRNLLLALCLFLVLGFLYYSAWKLHLLQWEDSNSLLLSLDSAGQTLGTEYDRLG FLLKLDSKLPAELATKYANFSEGACKPGYASAMMTAIFPRFSKPAPMFLDDSFRKWARIR EFVPPFGIKGQDNLIKAILSVTKEYRLTPALDSLHCRRCIIVGNGGVLANKSLGSRIDDY DIVIRLNSAPVKGFERDVGSKTTLRITYPEGAMQRPEQYERDSLFVLAGFKWQDFKWLKY IVYKERVSASDGFWKSVATRVPKEPPEIRILNPYFIQEAAFTLIGLPFNNGLMGRGNIPT LGSVAVTMALHGCDEVAVAGFGYDMNTPNAPLHYYETVRMAAIKESWTHNIQREKEFLRK LVKARVITDLSSGI >ENSMUSP00000102018.1 pep:known chromosome:GRCm38:4:117932158:118134914:-1 gene:ENSMUSG00000028538.12 transcript:ENSMUST00000106410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal3 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 3 [Source:MGI Symbol;Acc:MGI:1316659] MGLLVFVRNLLLALCLFLVLGFLYYSAWKLHLLQWEDSNSLLLSLDSAGQTLGTEYDRLG FLLKLDSKLPAELATKYANFSEGACKPGYASAMMTAIFPRFSKPAPMFLDDSFRKWARIR EFVPPFGIKGQDNLIKAILSVTKEYRLTPALDSLHCRRCIIVGNGGVLANKSLGSRIDDY DIVIRLNSAPVKGFERDVGSKTTLRITYPEGAMQRPEQYERDSLFVLAGFKWQDFKWLKY IVYKERVSASDGFWKSVATRVPKEPPEIRILNPYFIQEAAFTLIGLPFNNGLMGRGNIPT LGSVAVTMALHGCDEVAVAGFGYDMNTPNAPLHYYETVRMAAIKESWTHNIQREKEFLRK LVKARVITDLSSGI >ENSMUSP00000095525.1 pep:known chromosome:GRCm38:4:117932160:118134882:-1 gene:ENSMUSG00000028538.12 transcript:ENSMUST00000097912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal3 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 3 [Source:MGI Symbol;Acc:MGI:1316659] MGLLVFVRNLLLALCLFLVLGFLYYSAWKLHLLQWEDSKYDRLGFLLKLDSKLPAELATK YANFSEGACKPGYASAMMTAIFPRFSKPAPMFLDDSFRKWARIREFVPPFGIKGQDNLIK AILSVTKEYRLTPALDSLHCRRCIIVGNGGVLANKSLGSRIDDYDIVIRLNSAPVKGFER DVGSKTTLRITYPEGAMQRPEQYERDSLFVLAGFKWQDFKWLKYIVYKERVSASDGFWKS VATRVPKEPPEIRILNPYFIQEAAFTLIGLPFNNGLMGRGNIPTLGSVAVTMALHGCDEV AVAGFGYDMNTPNAPLHYYETVRMAAIKESWTHNIQREKEFLRKLVKARVITDLSSGI >ENSMUSP00000114444.1 pep:known chromosome:GRCm38:4:117940354:118031882:-1 gene:ENSMUSG00000028538.12 transcript:ENSMUST00000138274.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal3 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 3 [Source:MGI Symbol;Acc:MGI:1316659] XYDRLGFLLKLDSKLPAELATKYANFSEGACKPGYASAMMTAIFPRFSKPAPMFLDDSFR KWARIREFVPPFGIKGQDNLIKAILSVTKEYRLTPALDSLHCRRCIIVGNGGVLANKSLG SRIDDYDIVIRLNSAPVKGFERDVGSKTTLRITYPEGAMQRPEQYERDSLFVLAGFKWQD FKWLKYIVYKERVPFPSKAKCRQCQVGSEQERRQKSGPDAQSASDGFWKSVATRVPKEPP EIRILNPYFIQEAAFTLIGLPFNNGLMGRG >ENSMUSP00000121051.1 pep:known chromosome:GRCm38:4:117940446:117968448:-1 gene:ENSMUSG00000028538.12 transcript:ENSMUST00000126336.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal3 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 3 [Source:MGI Symbol;Acc:MGI:1316659] MFLDDSFRKWARIREFVPPFGIKGQDNLIKAILSVTKEYRLTPALDSLHCRRCIIVGNGG VLANKSLGSRIDDYDIVIRLNSAPVKGFERDVGSKTTLRITYPEGAMQRPEQYERDSLFV LAGFKWQDFKWLKYIVYKERVSASDGFWKSVATRVPKEP >ENSMUSP00000142296.1 pep:known chromosome:GRCm38:2:11471433:11554077:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000192949.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPFRKACGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLNWIGVPTKVFNVGEYRREAVK QYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQIAVFDATNTTRERRHMILNF AKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNSAEAMDDFMKRINCYEASYQP LDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLMNIHVQPRTIYLCRHGENEYN LQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQLKSTIQTAEALRLPYEQWKA LNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTGESYQDLVQRLEPVIMELERQ ENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKLTPVAYGCRVESIYLNVESVS THRERSEDAKKGPNPLMRRNSVTPLASPEPTKKPRINSFEERVASTSAALPSCLPPEVPT QLPGQNMKSSRSGADSSQKH >ENSMUSP00000137130.2 pep:known chromosome:GRCm38:2:11471791:11502101:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000179584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSEAVKIQHFASVVRPSSYTELDFQSVESAKQDAKK GPNPLMRRNSVTPLASPEPTKKPRINSFEERVASTSAALPSCLPPEVPTQLPGQNMKSSR SGADSSQKH >ENSMUSP00000028114.6 pep:known chromosome:GRCm38:2:11471824:11502101:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000028114.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSEDAKKGPNPLMRRNSVTPLASPEPTKKPRINSFE ERVASTSAALPSCLPPEVPTQLPGQPLLGKACLRSVCHIFSKFSPY >ENSMUSP00000110495.2 pep:known chromosome:GRCm38:2:11471826:11502101:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000114846.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSEDAKKGPNPLMRRNSVTPLASPEPTKKPRINSFE ERVASTSAALPSCLPPEVPTQLPGQNMKSSRSGADSSQKH >ENSMUSP00000141445.1 pep:known chromosome:GRCm38:2:11471826:11553922:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000195779.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPFRKACGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLNWIGVPTKVFNVGEYRREAVK QYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQIAVFDATNTTRERRHMILNF AKENDFKAFFIESVCDDPTVVASNIMQKTFQGDSPGCSLVLQEVKISSPDYKDCNSAEAM DDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLMNIHV QPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQLKST IQTAEALRLPYEQWKALNEIDARRCHT >ENSMUSP00000138893.2 pep:known chromosome:GRCm38:2:11474407:11501700:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000183869.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSENMKSSRSGADSSQKH >ENSMUSP00000126305.2 pep:known chromosome:GRCm38:2:11474407:11502101:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000170196.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSEAVKIQHFASVVRPSSYTELDFQSVESAKQDAKK GPNPLMRRNSVTPLASPEPTKKPRINSFEERVASTSAALPSCLPPEVPTQLPGQPLLGKA CLT >ENSMUSP00000142079.1 pep:known chromosome:GRCm38:2:11474407:11502101:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000191668.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSEAVKIQHFASVVRPSSYTELDFQSVESAKQDAKK GPNPLMRRNSVTPLASPEPTKKPRINSFEERVASTSAALPSCLPPEVPTQLPGQPLLGKA CLRSVCHIFSKFSPY >ENSMUSP00000050926.7 pep:known chromosome:GRCm38:2:11474407:11502101:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000049849.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSEDAKKGPNPLMRRNSVTPLASPEPTKKPRINSFE ERVASTSAALPSCLPPEVPTQLPGQPLLGKACLT >ENSMUSP00000110493.1 pep:known chromosome:GRCm38:2:11477955:11502101:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000114844.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSEAVKIQHFASVVRPSSYTELDFQSVESAKQDAKK GPNPLMRRNSVTPLASPEPTKKPRINSFEERVASTSAALPSCLPPEVPTQLPGQPLLGKA CL >ENSMUSP00000097979.2 pep:known chromosome:GRCm38:2:11477967:11502101:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000100411.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSEDAKKGPNPLMRRNSVTPLASPEPTKKPRINSFE ERVASTSAALPSCLPPEVPTQLPGQPLLGKACL >ENSMUSP00000110494.3 pep:known chromosome:GRCm38:2:11475764:11502090:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000114845.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSEDAKKGPNPLMRRNSVTPLASPEPTKKPRINSFE ERVASTSAALPSCLPPEVPTQLPGQPLLGKACLRSVCHIFSKFSPY >ENSMUSP00000129122.2 pep:known chromosome:GRCm38:2:11475764:11502090:-1 gene:ENSMUSG00000026773.19 transcript:ENSMUST00000171188.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb3 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 3 [Source:MGI Symbol;Acc:MGI:2181202] MPLELTQSRVQKIWVPVDHRPSLPRSCGPKLTNSPTVIVMVGLPARGKTYISKKLTRYLN WIGVPTKVFNVGEYRREAVKQYSSYNFFRPDNEEAMRVRKQCALAALRDVKSYLTKEGGQ IAVFDATNTTRERRHMILNFAKENDFKAFFIESVCDDPTVVASNIMEVKISSPDYKDCNS AEAMDDFMKRINCYEASYQPLDPDKCDRDLSLIKVIDVGRRFLVNRVQDHIQSRIVYYLM NIHVQPRTIYLCRHGENEYNLQGKIGGDSGLSSRGKKFANALSKFVEEQNLKDLRVWTSQ LKSTIQTAEALRLPYEQWKALNEIDAGVCEELTYEEIRDTYPEEYALREQDKYYYRYPTG ESYQDLVQRLEPVIMELERQENVLVICHQAVLRCLLAYFLDKSAEEMPYLKCPLHTVLKL TPVAYGCRVESIYLNVESVSTHRERSEAVKIQHFASVVRPSSYTELDFQSVESAKQDAKK GPNPLMRRNSVTPLASPEPTKKPRINSFEERVASTSAALPSCLPPEVPTQLPGQPLLGKA CLRSVCHIFSKFSPY >ENSMUSP00000083270.5 pep:known chromosome:GRCm38:7:16906490:16910905:1 gene:ENSMUSG00000043017.9 transcript:ENSMUST00000086101.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgir description:prostaglandin I receptor (IP) [Source:MGI Symbol;Acc:MGI:99535] MMASDGHPGPPSVTPGSPLSAGGREWQGMAGSCWNITYVQDSRRHHGSFVPTSRAREDEV YHLILLALMTVIMAVCSLPLMIRGFTQAIAPDSREMGDLLAFRFNAFNPILDPWVFILFR KAVFQRLKFWLCCLCARSVHGDLQAPLSRPASGRRDPPAPTSLQAKEGSWVPLSSWGTGQ VAPLTAVPLTGGDGCSVGMPSKSEAIAACSLC >ENSMUSP00000122080.1 pep:known chromosome:GRCm38:7:16906514:16910900:1 gene:ENSMUSG00000043017.9 transcript:ENSMUST00000144408.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgir description:prostaglandin I receptor (IP) [Source:MGI Symbol;Acc:MGI:99535] MMASDGHPGPPSVTPGSPLSAGGREWQGMAGSCWNITYVQDSVGPATSTLMFVAGVVGNG LALGILGARRRSHPSAFAVLVTGLAVTDLLGTCFLSPAVFVAYARNSSLLGLAHGGTMLC DTFAFAMTFFGLASTLILFAMAVERCLALSHPYLYAQLDGPRCARFALPSIYAFCCLFCS LPLLGLGEHQQYCPGSWCFIRMRSAQPGGCAFSLAYASLMALLVTSIFFCNGSVTLSLYH MYRQQRRHHGSFVPTSRAREDEVYHLILLALMTVIMAVCSLPLMIRGFTQAIAPDSREMG DLLAFRFNAFNPILDPWVFILFRKAVFQRLKFWLCCLCARSVHGDLQAPLSRPASGRRDP PAPTSLQAKEGSWVPLSSWGTGQVAPLTAVPLTGGDGCSVGMPSKSEAIAACSLC >ENSMUSP00000137480.1 pep:known chromosome:GRCm38:7:20417942:20418865:1 gene:ENSMUSG00000096386.1 transcript:ENSMUST00000177815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r100 description:vomeronasal 1 receptor 100 [Source:MGI Symbol;Acc:MGI:3704284] MSAHGKSVKTTEEVALQILLLCQFGIGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLILRASVPNFANYSCYSCWFFSVLSNIHIPIKVTVPQIIDNNTDSKSNLFCST SGFIVGIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000125226.1 pep:known chromosome:GRCm38:19:42755105:42779978:-1 gene:ENSMUSG00000025188.15 transcript:ENSMUST00000162004.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps1 description:Hermansky-Pudlak syndrome 1 [Source:MGI Symbol;Acc:MGI:2177763] MKCVLVATEGAEVLFYWTDEEFAESLRLKLQQSEDEEEELPVLEDQLSTLLAPVIISSMT MMEKLSDTYTCFSTENDNHLYVLHLFGEYLFVAINGDHSESEGDLRRKLCVLKYLFEVHF GLVTVDGQLIRKELRPPDLEERARVWKHFQRLLGTYSYLRDREQSFAVEAVERLIHPQLC EQSIETLERHVVQAINASPERGGEEVLHAFLLVHCKLLAFYSGHGASTLRPADLLALILL VQDLQPSPGTTEEEEEEEDSDSPQRRPKSSQNIPVQQARSQSTSVPTRSSRETDTDSISL PEEYFTPAPSPGDQSSGSLVWLDGGTPPSDALQMAEDTPEGLASHSPELPSPRRIFLDAN IKENYCPLVPHTMYCLPLWPGINMVLLTKSPSTPLALILYQLLDGFSLLEKKLKEGQEAG SALRSQPFVADLRQKMDKFIKNRVGQEIQNTWLEFKSKAFSRSEPGSSWELLQVCGKLKR QLCVIYRLSFLVTAPSRGGPHLPQHLQDRAQKLMKERLLDWKDFLLVKSRRNVTMVSYLE DFPGLVHFIYVDRTTGQMVAPSLSPNEKMSSELGKGPLAAFVKAKVWALVRLARRYLQKG CTTLLFQEGDFRCSYFLWFENDMGYKLQMIEVPVLSDDSVPIGVLGGDYYRKLLRYYSKS HPSEPVRCYELLTLHLSVIPTDLLVQQASQLARRLGEASRVTLP >ENSMUSP00000125662.1 pep:known chromosome:GRCm38:19:42755198:42779976:-1 gene:ENSMUSG00000025188.15 transcript:ENSMUST00000160455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps1 description:Hermansky-Pudlak syndrome 1 [Source:MGI Symbol;Acc:MGI:2177763] MKCVLVATEGAEVLFYWTDEEFAESLRLKLQQSEDEEEELPVLEDQLSTLLAPVIISSMT MMEKLSDTYTCFSTENDNHLYVLHLFGEYLFVAINGDHSESEGDLRRKLCVLKYLFEVHF GLVTVDGQLIRKELRPPDLEERARVWKHFQRLLGTYSYLRDREQSFAVEAVERLIHPQLC EQSIETLERHVVQAINASPERGGEEVLHAFLLVHCKLLAFYSGHGASTLRPADLLALILL VQDLQPSPGTTEEEEEEEDSDSPQVPEHKGNRRRPKSSQNIPVQQARSQSTSVPTRSSRE TDTDSISLPEEYFTPAPSPGDQSSGSLVWLDGGTPPSDALQMAEDTPEGLASHSPELPSP RRIFLDANIKENYCPLVPHTMYCLPLWPGINMVLLTKSPSTPLALILYQLLDGFSLLEKK LKEGQEAGSALRSQPFVADLRQKMDKFIKNRVGQEIQNTWLEFKSKAFSRSEPGSSWELL QVCGKLKRQLCVIYRLSFLVTAPSRGGPHLPQHLQDRAQKLMKERLLDWKDFLLVKSRRN VTMVSYLEDFPGLVHFIYVDRTTGQMVAPSLSPNEKMSSELGKGPLAAFVKAKVWALVRL ARRYLQKGCTTLLFQEGDFRCSYFLWFENDMGYKLQMIEVPVLSDDSVPIGVLGGDYYRK LLRYYSKSHPSEPVRCYELLTLHLSVIPTDLLVQQASQLARRLGEASRVTLP >ENSMUSP00000071069.6 pep:known chromosome:GRCm38:19:42758081:42779965:-1 gene:ENSMUSG00000025188.15 transcript:ENSMUST00000069298.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps1 description:Hermansky-Pudlak syndrome 1 [Source:MGI Symbol;Acc:MGI:2177763] MKCVLVATEGAEVLFYWTDEEFAESLRLKLQQSEDEEEELPVLEDQLSTLLAPVIISSMT MMEKLSDTYTCFSTENDNHLYVLHLFGEYLFVAINGDHSESEGDLRRKLCVLKYLFEVHF GLVTVDGQLIRKELRPPDLEERARVWKHFQRLLGTYSYLRDREQSFAVEAVERLIHPQLC EQSIETLERHVVQAINASPERGGEEVLHAFLLVHCKLLAFYSGHGASTLRPADLLALILL VQDLQPSPGTTEEEEEEEDSDSPQVPEHKGNRRRPKSSQNIPVQQARSQSTSVPTRSSRE TDTDSISLPEEYFTPAPSPGDQSSGSLVWLDGGTPPSDALQMAEDTPEGLASHSPELPSP RRIFLDANIKENYCPLVPHTMYCLPLWPGINMVLLTKSPSTPLALILYQLLDGFSLLEKK LKEGQEAGSALRSQPFVADLRQKMDKFIKNRVGQEIQNTWLEFKSKAFSRSEPGSSWELL QVCGKLKRQLCVIYRLSFLVTAPSRGGPHLPQHLQDRAQKLMKERLLDWKDFLLVKSRRN VTMVSYLEDFPGLVHFIYVDRTTGQMVAPSLSPNEKMSSELGKGPLAAFVKAKVWSPRTR VLRQHSALSLSCSHTPAEWTGVVSTQEASFSALLI >ENSMUSP00000124209.1 pep:known chromosome:GRCm38:19:42758480:42778263:-1 gene:ENSMUSG00000025188.15 transcript:ENSMUST00000162061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps1 description:Hermansky-Pudlak syndrome 1 [Source:MGI Symbol;Acc:MGI:2177763] MKCVLVATEGAEVLFYWTDEEFAESLRLKLQQSEDEEEELPVLEDQLSTLLAPVIISSMT MMEKLSDTYTCFSTENDNHLYVLHLFGEYLFVAINGDHSESEGDLRRKLCVLKYLFEVHF GLVTVDGQLIRKELRPPDLEERARVWKHFQRLLGTYSYLRDREQSFAVEAVERLIHPQLC EQSIETLERHVVQAINASPERGGEEVLHAFLLVHCKLLAFYSGHGASTLRPADLLALILL VQDLQPSPGTTEEEEEEEDSDSPQRRPKSSQNIPVQQARSQSTSVPTRSSRETDTDSISL PEEYFTPAPSPGDQSSGSLVWLDGGTPPSDALQMAEDTPEGLASHSPELPSPRRIFLDAN IKENYCPLVPHTMYCLPLWPGINMVLLTKSPSTPLALILYQLLDGFSLLEKKLKEGQEAG SALRSQPFVADLRQKMDKFIKNRVGQEIQNTWLEFKSKAFSRSEPGSSWELLQVCGKLKR QLCVIYRLSFLVTAPSRGGPHLPQHLQDRAQKLMKERLLDWKDFLLVKSRRNVTMVSYLE DFPGLVHFIYVDRTTGQMVAPSLSPNEKMSSELGKGPLAAFVKAKVWSPRTRVLRQHSAL SLSCSHTPAEWTGVVSTQEASFSALLI >ENSMUSP00000125483.1 pep:known chromosome:GRCm38:19:42755583:42757829:-1 gene:ENSMUSG00000025188.15 transcript:ENSMUST00000161252.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hps1 description:Hermansky-Pudlak syndrome 1 [Source:MGI Symbol;Acc:MGI:2177763] XRCSYFLWFENDMVGVPSLWAGRS >ENSMUSP00000026194.8 pep:known chromosome:GRCm38:19:42755196:42778647:-1 gene:ENSMUSG00000025188.15 transcript:ENSMUST00000026194.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps1 description:Hermansky-Pudlak syndrome 1 [Source:MGI Symbol;Acc:MGI:2177763] MKCVLVATEGAEVLFYWTDEEFAESLRLKLQQSEDEEEELPVLEDQLSTLLAPVIISSMT MMEKLSDTYTCFSTENDNHLYVLHLFGEYLFVAINGDHSESEGDLRRKLCVLKYLFEVHF GLVTVDGQLIRKELRPPDLEERARVWKHFQRLLGTYSYLRDREQSFAVEAVERLIHPQLC EQSIETLERHVVQAINASPERGGEEVLHAFLLVHCKLLAFYSGHGASTLRPADLLALILL VQDLQPSPGTTEEEEEEEDSDSPQRRPKSSQNIPVQQARSQSTSVPTRSSRETDTDSISL PEEYFTPAPSPGDQSSGSLVWLDGGTPPSDALQMAEDTPEGLASHSPELPSPRRIFLDAN IKENYCPLVPHTMYCLPLWPGINMVLLTKSPSTPLALILYQLLDGFSLLEKKLKEGQEAG SALRSQPFVADLRQKMDKFIKNRVGQEIQNTWLEFKSKAFSRSEPGSSWELLQVCGKLKR QLCVIYRLSFLVTAPSRGGPHLPQHLQDRAQKLMKERLLDWKDFLLVKSRRNVTMVSYLE DFPGLVHFIYVDRTTGQMVAPSLSPNEKMSSELGKGPLAAFVKAKVWALVRLARRYLQKG CTTLLFQEGDFRCSYFLWFENDMGYKLQMIEVPVLSDDSVPIGVLGGDYYRKLLRYYSKS HPSEPVRCYELLTLHLSVIPTDLLVQQASQLARRLGEASRVTLP >ENSMUSP00000028921.5 pep:known chromosome:GRCm38:2:147012996:147078000:1 gene:ENSMUSG00000027433.5 transcript:ENSMUST00000028921.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrn2 description:5'-3' exoribonuclease 2 [Source:MGI Symbol;Acc:MGI:894687] MGVPAFFRWLSRKYPSIIVNCVEEKPKECNGVKIPVDASKPNPNDVEFDNLYLDMNGIIH PCTHPEDKPAPKNEDEMMVAIFEYIDRLFNIVRPRRLLYMAIDGVAPRAKMNQQRSRRFR ASKEGMEAAVEKQRVREEILAKGGFLPPEEIKERFDSNCITPGTEFMDNLAKCLRYYIAD RLNNDPGWKNLTVILSDASAPGEGEHKIMDYIRRQRAQPNHDPNTHHCLCGADADLIMLG LATHEPNFTIIREEFKPNKPKPCALCNQFGHEVKDCEGLPREKKGKHDELADSLPCAEGE FIFLRLNVLREYLERELTMASLPFPFDVERSIDDWVFMCFFVGNDFLPHLPSLEIREGAI DRLVNIYKNVVHKTGGYLTESGYVNLQRVQMIMLAVGEVEDSIFKKRKDDEDSFRRRQKE KRKRMKRDQPAFTPSGILTPHALGSRNSPGCQVASNPRQAAYEMRMQRNSSPSISPNTSF ASDGSPSPLGGIKRKAEDSDSEPEPEDNVRLWEAGWKQRYYKNKFDVDAADEKFRRKVVQ SYVEGLCWVLRYYYQGCASWKWYYPFHYAPFASDFEGIADMSSEFEKGTKPFKPLEQLMG VFPAASGNFLPPTWRKLMSDPDSSIIDFYPEDFAIDLNGKKYAWQGVALLPFVDERRLRA ALEEVYPDLTPEENRRNSLGGDVLFVGKLHPLRDFILELYQTGSTEPVDVPPELCHGIQG TFSLDEEAILPDQTVCSPVPMLRDLTQNTAVSINFKDPQFAEDYVFKAAMLPGARKPATV LKPGDWEKSSNGRQWKPQLGFNRDRRPVHLDQAAFRTLGHVTPRGSGTSVYTNTALPPAN YQGNNYRPLLRGQAQIPKLMSNMRPQDSWRGPPPLFQQHRFERSVGAEPLLPWNRMIQNQ NAAFQPNQYQMLGGPGGYPPRRDDHRGGRQGYPREGRKYPLPPPSGRYSWN >ENSMUSP00000140818.1 pep:known chromosome:GRCm38:1:131910534:131932679:1 gene:ENSMUSG00000026434.12 transcript:ENSMUST00000189946.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nucks1 description:nuclear casein kinase and cyclin-dependent kinase substrate 1 [Source:MGI Symbol;Acc:MGI:1934811] MSRPVRNRKVVDYSQFQESDDADEDYGRDSGPPAKKIRSSPREAKNKRRSGKNSQEDSED SEEKDVKTKKDDSHSAEDSEDEKDDHKNVRQQRQAASKAASKQREMLLEDVGSEEEPEED DEAPFQENSGSDEDFLMEDDDDSDYGSSKKKNKKMVKKSKPERKEKKMPKPRLKATVTPS PVKGKAKVGRPTASKKSKEKTPSPKEEDEEAESPPEKKSGDEGSEDEASSGED >ENSMUSP00000062576.6 pep:known chromosome:GRCm38:1:131910556:131936321:1 gene:ENSMUSG00000026434.12 transcript:ENSMUST00000062264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nucks1 description:nuclear casein kinase and cyclin-dependent kinase substrate 1 [Source:MGI Symbol;Acc:MGI:1934811] MSRPVRNRKVVDYSQFQESDDADEDYGRDSGPPAKKIRSSPREAKNKRRSGKNSQEDSED SEEKDVKTKKDDSHSAEDSEDEKDDHKNVRQQRQAASKAASKQREMLLEDVGSEEEPEED DEAPFQEKDSGSDEDFLMEDDDDSDYGSSKKKNKKMVKKSKPERKEKKMPKPRLKATVTP SPVKGKAKVGRPTASKKSKEKTPSPKEEDEEAESPPEKKSGDEGSEDEASSGED >ENSMUSP00000072580.3 pep:known chromosome:GRCm38:7:12304805:12305719:1 gene:ENSMUSG00000058132.4 transcript:ENSMUST00000072801.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r82 description:vomeronasal 1 receptor 82 [Source:MGI Symbol;Acc:MGI:2159656] MKPENMVMGIVFILQTTVGILVNSSVLLHYLVPGFTGKGLKLKTLIVKHLTLANSLSIIS RGIPQIMAEFGMKYFLDDIGCKLVLYLYRVSRGVSLYTTCLLSCFQAAIISSSTTKWMKF KHKAIKYMGPSCSLSWPMNLLLNVMILVTVTSSSNRRNATKKLTYGYCSASVSGTIATPL YMLLLSFTDVLCLSLMSWASVFMLSILHRHKKQVQYIHGVQHFLRVSPEARATQTILILA CTFVTFYSISSIMVLYSALFGNPGLWVINIISVLETCYPIFCSFVLISNNSSASRLYLHC WWKR >ENSMUSP00000140782.1 pep:known chromosome:GRCm38:7:12304802:12305719:1 gene:ENSMUSG00000058132.4 transcript:ENSMUST00000191002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r82 description:vomeronasal 1 receptor 82 [Source:MGI Symbol;Acc:MGI:2159656] KMKPENMVMGIVFILQTTVGILVNSSVLLHYLVPGFTGKGLKLKTLIVKHLTLANSLSII SRGIPQIMAEFGMKYFLDDIGCKLVLYLYRVSRGVSLYTTCLLSCFQAAIISSSTTKWMK FKHKAIKYMGPSCSLSWPMNLLLNVMILVTVTSSSNRRNATKKLTYGYCSASVSGTIATP LYMLLLSFTDVLCLSLMSWASVFMLSILHRHKKQVQYIHGVQHFLRVSPEARATQTILIL ACTFVTFYSISSIMVLYSALFGNPGLWVINIISVLETCYPIFCSFVLISNNSSASRLYLH CWWKR >ENSMUSP00000042177.8 pep:known chromosome:GRCm38:19:25236975:25434496:1 gene:ENSMUSG00000032702.16 transcript:ENSMUST00000049400.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kank1 description:KN motif and ankyrin repeat domains 1 [Source:MGI Symbol;Acc:MGI:2147707] MAYTTKVNGCAAEKADGVLNGDHNKEKKDPYFVETPYGFQLDLDFVKYVDDIQKGNTIKK LNIQKRRKPSVPCPEVRAIPGHQGVWTSTESLSSSNSDDSKQCPSFLLARSHVTSTPIPR PPAPLETSPTFAVSENRQLLPPPSPQLPRHNLHVTKTLMETRRRLEQERVTMQMAPGDFR RPRLASFGGMGSTSSLPSFVGSANHSSAIHQLQNGYQGNGDYNSYVPAAPTTSSMGSSVR HSPLSSGISTPVTNVSPMHLQHIREQMAIALKRLKELEEQVRTIPVLQVKISVLQEEKRQ LASQLKSQRASSQNEACGVRKRSYSAGNASQLELLARARRGGGELYIDYEEEEMESVEQS TQRIQEFRQLTADMQALERKIQDSSCEVASELRENGQCPSRECKSVAVGSDENMNDVVVY HRDLRPCKDTAVGTVTETRNVGISVTEAMLGVITEADKEIELQQQTIEALKEKIYRLEVQ LKETTHDREMTKLKQELQAAGSRKKVDKATMAQPLVFSKLVEALVPTRDQMVGSHVDTRE SCVGTSVQTSSVGTSCHPDCKNQVVGSELPMNWWVVKERVAMHDQCVGRSVETCDRSVGV EVSVCETGSNTEASGSDLTLLKTNLNLKDVRSIGCGDCSVDVIVCFPKECTSRSMNTEAV GQEEAAVMAVPHTTDQHTSTNLERVDQCTNTEAATLVESCTNTLLSTMDKQTSTQTVEMR TVAIGEGRVRDINPSTKTRSVGVGTVLSGNSEFDRPCAVKTKESGVGQINIQDNYLVGLK MRTIACGPPQLTVGLMGSRRSVGVGNEPVGELPEESPQPRVASGMVTGLDHYIERVQRLL AEQQTLLAENYSELAEAFGEPHSQIGSLNSQLISTLSSINSVMKSASTEELRNSDFQKAS LGKTTGNHLEYTCKCGGLRSGGLLNVQPSQPEVEAETAEGKHSRGHEQFPMQGSTLPPVN LTDDQIATGLYVCPNNENTLKSIMKKSDGNKDSNGAKKNLQFIGINGGYETTSSDESSSD GSSSSESDDECDTIGYPPEEEEEEEEKDHDTRGMAEGHHAVNIEGFKSARVEDEVQVPEC EPEKEEIRERYELSEKMLSACNLLKYNIKDPKALASKDMRICLNTLQHDWFRVSSQKSAV PAMVGDYIAAFEAVSPDVLRYIINMADGNGNTALHYSVSHSNFQIVKLLLDADVCNVDHQ NKAGYTPIMLAALAAVEAEKDMQVVEELFSCGDVNAKASQAGQTALMLAVSHGRIDMVKG LLACGADVNIQDDEGSTALMCASEHGHVEIVKLLLAQPGCNGHLEDNDGSTALSIALEAG HKDIAVLLYAHLNFSKAQSPSTPRLGRKTSPGPTHRGSFD >ENSMUSP00000116660.1 pep:known chromosome:GRCm38:19:25406699:25425984:1 gene:ENSMUSG00000032702.16 transcript:ENSMUST00000146647.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kank1 description:KN motif and ankyrin repeat domains 1 [Source:MGI Symbol;Acc:MGI:2147707] MVSLRAWEVCAPVDRRSLKWRGAEPRPWVRRQEVGLLWACEKADGVLNGDHNKEKKDPYF VETPYGFQLDLDFVKYVDDIQKGNTIKKLNIQKRRKPSVPCPEVRAIPGHQGVWTSTESL SSSNSDDSKQCPSFLLARSHVTSTPIPRPPAPLETSPTFAVSENRQLLPPPSPQLPRHNL HVTKTLMETRRRLEQERVTMQMAPGDFRRPRLASFGGMGSTSSLPSFVGSANHSSAIHQL QNGYQGNGDYNSYVPAAPTTSSMGSSVRHSPLSSGISTPVTNVSPMHLQHIREQMAIALK RLKELEEQVRTIPVLQVKISVLQEEKRQLASQLKSQRASSQNEACGVRKRSYSAGNASQL ELLARARRGGGELYIDYEEEEMESVEQSTQRIQEFRQLTADMQALERKIQDSSCEVASEL RENGQCPSRECKSVAVGSDENMNDVVVYHRDLRPCKDTAVGTVTETRNVGISVTEAMLGV ITEADKEIELQQQTIEALKEKIYRLEVQLKETTHDREMTKLKQELQAAGSRKKVDKATMA QPLVFSKLVEALVPTRDQMVGSHVDTRESCVGTSVQTSSVGTSCHPDCKNQVVGSELPMN WWVVKERVAMHDQCVGRSVETCDRSVGVEVSVCETGSNTEASGSDLTLLKTNLNLKDVRS IGCGDCSVDVIVCFPKECTSRSMNTEAVGQEEAAVMAVPHTTDQHTSTNLERVDQCTNTE AATLVESCTNTLLSTMDKQTSTQTVEMRTVAIGEGRVRDINPSTKTRSVGVGTVLSGNSE FDRPCAVKTKESGVGQINIQDNYLVGLKMRTIACGPPQLTVGLMGSRRSVGVGNEPVGEL PEESPQPRVASGMVTGLDHYIERVQRLLAEQQTLLAENYSELAEAFGEPHSQIGSLNSQL ISTLSSINSVMKSASTEELRNSDFQKASLGKTTGNHLEYTCKCGGLRSGGLLNVQPSQPE VEAETAEGKHSRGHEQFPMQGSTLPPVNLTDDQIATGLYVCPNNENTLKSIMKKSDGNKD SNGAKKNLQFIGINGGYETTSSDESSSDGSSSSESDDECDTIGYPPEEEEEEEEKDHDTR GMAEGHHAVNIEGFKSARVEDEVQVPECEPEKEEIRERYELSEKMLSACNLLKYNIKDPK ALASKDM >ENSMUSP00000083406.2 pep:known chromosome:GRCm38:7:12321180:12322175:-1 gene:ENSMUSG00000066804.2 transcript:ENSMUST00000086229.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r83 description:vomeronasal 1 receptor 83 [Source:MGI Symbol;Acc:MGI:2159647] MKMTSSNLVVGILLFSQIVMGMLGNSSILFYYVILIFTGKHLTPKDLIIEHLTFANCLSI ISKGIPQTLSDLGFKNFLDDIGCKLIMYIYRIVRGMSLYAMCLLSCFQAITISSGNSRLM ILKHRAVKYVGPSCLVSWLVHLLLNIMTPVKVIGPISSKNVTNVMSYGYCSWFTSSNVAT ALYMFLLCFCDGLCLSLMTCSSVSMVNILYKHKRQVKHIHSAQQLLKVLPEDKATQIILT LVCTFVISYSISSIRVIFTTYSEGSVLWGVSVFIFLEICFPIFCPFVLISNIKCSFKLFL PCCGKR >ENSMUSP00000035902.7 pep:known chromosome:GRCm38:4:126716006:126736240:-1 gene:ENSMUSG00000042477.7 transcript:ENSMUST00000048194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap2e description:transcription factor AP-2, epsilon [Source:MGI Symbol;Acc:MGI:2679630] MLVHTYSAMERPDGLGAAAGGTRLSSLPQAAYGPAPPLCHTPAASATADYHPPYFPPPYP QAPLPYGQGPDATAAFPHLAADPYGGLAPLAQPQPPQAAWAAPRAAARAHDEPPGLLAPP ARALGLDPRRDYAAAVPRLLHSLADGAHGLADAPLGLPGLAEPPGLEELQAIDDPGMSLL DQSVIKKVPIPSKAGSLSTLALSKDSLVGGISNPSEVFCSVPGRLSLLSSTSKYKVTVGE VQRRLSPPECLNASLLGGVLRRAKSKNGGRCLRERLEKIGLNLPAGRRKAANVTLLTSLV EGEAVHLARDFGYVCETEFPAKAAAEYLCRQHADPGELHSRKSMLLAAKQICKEFADLMA QDRSPLGNSRPALILEPGVQSCLTHFSLITHGFGGPAICAALTAFQNYLLESLKGLEKMF LSGAGGGHGESKASEKDTKHRK >ENSMUSP00000104937.2 pep:known chromosome:GRCm38:15:89390174:89410263:-1 gene:ENSMUSG00000078938.9 transcript:ENSMUST00000109314.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syce3 description:synaptonemal complex central element protein 3 [Source:MGI Symbol;Acc:MGI:1922709] MADSDPGERSYDNMLKMLSDLNKDLEKLLEEMEKISVQATWMAYDMVVMRTNPTLAESMR RLEDAFLNCKEEMEKNWQELLTETKRKQ >ENSMUSP00000131766.1 pep:known chromosome:GRCm38:15:89390174:89410503:-1 gene:ENSMUSG00000078938.9 transcript:ENSMUST00000167959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syce3 description:synaptonemal complex central element protein 3 [Source:MGI Symbol;Acc:MGI:1922709] MADSDPGERSYDNMLKMLSDLNKDLEKLLEEMEKISVQATWMAYDMVVMRTNPTLAESMR RLEDAFLNCKEEMEKNWQELLTETKRKQ >ENSMUSP00000113672.1 pep:known chromosome:GRCm38:3:157894248:157925077:-1 gene:ENSMUSG00000028179.12 transcript:ENSMUST00000118539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cth description:cystathionase (cystathionine gamma-lyase) [Source:MGI Symbol;Acc:MGI:1339968] MQKDASLSGFLPSFQHFATQAIHVGQEPEQWNSRAVVLPISLATTFKQDFPGQSSGFEYS RSGNPTRNCLEKAVAALDGAKHSLAFASGLAATITITHLLKAGDEIICMDEVYGGTNRYF RRVASEFGLKISFVDCSKTKLLEAAITPQTKLVWIETPTNPTLKLADIGACAQIVHKRGD IILVVDNTFMSAYFQRPLALGADICMCSATKYMNGHSDVVMGLVSVNSDDLNSRLRFLQN SLGAVPSPFDCYLCCRGLKTLQVRMEKHFKNGMAVARFLETNPRVEKVVYPGLPSHPQHE LAKRQCSGCPGMVSFYIKGALQHAKAFLKNLKLFTLAESLGGYESLAELPAIMTHASVPE KDRATLGINDTLIRLSVGLEDEQDLLEDLDRALKAAHP >ENSMUSP00000079137.5 pep:known chromosome:GRCm38:17:22144359:22166190:-1 gene:ENSMUSG00000063383.5 transcript:ENSMUST00000080249.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp947 description:zinc finger protein 947 [Source:MGI Symbol;Acc:MGI:3646759] MNASLLNAPQSQITFKDVAMHFSQEEWECLDCAQRALYMDVMLENYNNLFFVENHCMCPK FENMLDQDLQHIIHKHANIQVKSYECHELGKVIHEFTGSIPYKTNLRSTSVESSNLSRHE TKNNREPCKYKNHVNCFNLCSTISRNQGIKIGRKEYNSAKLDNVFDSKETLMLKQTKSGK TPYKCSECAKCFAKKCWFRKHQITHTGEKPYKCSECDKSFTQKGSLSIHQMRHSGKEPYK CSECTKCFAQKCWFRKHQRIHTGEKPYKCSECGKSFTQKSSLSIHQMYHTGKKPYKCSEC EKCFIQVGDLRRHERIHTGEKPYKCNECDKCFRYKSSLRSHQRVHKGEKPYKCSECDKYF SHKGSLSIHQRIHTGEKPYKCNECDNFFTRKDSLNVHQRVHTGEKPYKCSECDKCFSYKG DLRKHQRIHTGERTLQM >ENSMUSP00000028340.3 pep:known chromosome:GRCm38:2:25288145:25289187:1 gene:ENSMUSG00000026963.4 transcript:ENSMUST00000028340.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem210 description:transmembrane protein 210 [Source:MGI Symbol;Acc:MGI:1925467] MAPCPQPESCPAGSPLGLICLSLLLIPASAGTYCECSLGLSREALIALIVVLAGVSASCF CALVVVAIGVFRAKGDTCPGHSENRLVGPYGVQEDRIDLHTVHVESHLMDPDLDVSMMPS LDGPGLMTMTAPLEPPPPPPPPPPLPQ >ENSMUSP00000027961.5 pep:known chromosome:GRCm38:2:3488850:3512814:-1 gene:ENSMUSG00000051396.14 transcript:ENSMUST00000027961.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa14 description:heat shock protein 14 [Source:MGI Symbol;Acc:MGI:1354164] MAAIGVHLGCTSACVAVYKDGRADVVANDAGDRVTPAIVAYSEREQVVGLAAKQSRIRHV SSTVVKVKQILGRSSADPQAQKYISESKCLVIEKNGKLRYEIDTGEETKLVNPEDVARLI FSKMKETAHSVLGSDANDVVVTVPFDFGEKQKSALGEAAGAAGFNVLRLIHEPSAALLAY GIGQDHPTGKSNVLVFKLGGTSLSLSVMEVNSGMYRVLSTNTSDNIGGAHFTDTLAQYLA SEFQRLFKHDVRGNARAMMKLMNSAEVAKHSLSTLGSANCFVDSLYEGQDFDCNVSRARF ELLCSPLFNKCTEAIRELLRQTGFTADDINKVVLCGGSSRIPKLQQLIKDLFPAVDLLNS IPPDEVIPIGAAIEAGILVGKESTSGDDSVMIECSAKDILVKGVDESGADRFTVLFPSGT PLPARRQHTLQAPGRVSSVCLELYESEGKNSAKEEAKFAQVVLQDLDKKENGLRDILAVL TMKRDGSLQVTCTDQDTGKCEAITVEVAS >ENSMUSP00000119850.1 pep:known chromosome:GRCm38:2:3504527:3512757:-1 gene:ENSMUSG00000051396.14 transcript:ENSMUST00000124331.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hspa14 description:heat shock protein 14 [Source:MGI Symbol;Acc:MGI:1354164] MAAIGVHLGCTSACVAVYKDGRADVVANDAGDRVTPAIVAYSEREQVVGLAAKQSRIRHV SSTVVKVKQILGRR >ENSMUSP00000120385.1 pep:known chromosome:GRCm38:2:3506409:3512790:-1 gene:ENSMUSG00000051396.14 transcript:ENSMUST00000140494.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hspa14 description:heat shock protein 14 [Source:MGI Symbol;Acc:MGI:1354164] MAAIGVHLGCTSACVAVYKDGRADVVANDAGDRVTPAIVAYSEREQVVGLAAKQSRIRHV SSTVVKVKQILGRSQKCGPQTCLLSRVPWEERTSRLLETC >ENSMUSP00000023712.7 pep:known chromosome:GRCm38:15:101810689:101818169:-1 gene:ENSMUSG00000064201.8 transcript:ENSMUST00000023712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt2 description:keratin 2 [Source:MGI Symbol;Acc:MGI:96699] MSCQISCRSRRGGGGGGGGGFRGFSSGSAVVSGGSRRSNTSFSCISRHGGGRGGSGGGGF GSQSLVGLGGYKSISSSVAGNSGGYGGSSFGGSSGFGGGRGFGGGQGFGGSGGFGGGSGF GGGQGFGGGSRFGGGSGFGGGGFGGGSFGGGRFGGGPGGFGGPGGFPGGGIHEVSVNQSL LQPLDVKVDPEIQNVKSQEREQIKTLNNKFASFIDKVRFLEQQNQVLRTKWELLQQLDVG SRTTNLDPIFQAYIGMLKKQVDRLSAERTSQESELNNMQDLVEDFKKKYEDEINKRTSAE NDFVTIKKDVDSCYMDKTELQARLDILAQEVNFLRTLYDAELSQLQQDVTDTNVILSMDN NRNLDLDSIIAEVQNQYEMIAHKSKAESEELYHSKYEELQVTAVKHGDSLKEIKMEISEL NRTIQRLQGEISHVKKQCKGVQDSIADAEQRGEHAIKDARGKLTDLEEALQQCREDLARL LRDYQELMNTKLSLDVEIATYRKLLEGEECRMSGDFSDNVSVSITSSTISSSVASKTGFG SGGQSSGGRGSYGGRGGGGGGGSTYGSGGRSSGSRGSGSGSGGGGYSSGGGSRGGSGGGY GSGGGSRGGSGGGYGSGGGSGSGGGYSSGGGSRGGSGGGGVSSGGGSRGGSSSGGGSRGG SSSGGGGYSSGGGSRGGSSSGGAGSSSEKGGSGSGEGCGSGVTFSFR >ENSMUSP00000061991.7 pep:known chromosome:GRCm38:X:102513975:102644246:-1 gene:ENSMUSG00000034055.16 transcript:ENSMUST00000052012.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka1 description:phosphorylase kinase alpha 1 [Source:MGI Symbol;Acc:MGI:97576] MRSRSNSGVRLDGYARLVHQTILCHQNPVTGLLPASYDQKDAWVRDNVYSILAVWGLGLA YRKNADRDEDKAKAYELEQSVVKLMRGLLHCMIRQVDKVESFKYSQSTKDSLHAKYNTKT CATVVGDDQWGHLQLDATSVYLLFLAQMTASGLHIIHSLDEVNFIQNLVFYIEAAYKTAD FGIWERGDKTNQGISELNASSVGMAKAALEALDELDLFGVKGGPQSVIHVLADEVQHCQS ILNSLLPRASTSKEVDASLLSVVSFPAFAVEDSHLVELTKQEIITKLQGRYGCCRFLRDG YKTPKEDPNRLYYEPAELKLFENIECEWPLFWTYFILDGIFSGNVEQVQEYREALDAVLI KGKNGVPLLPELYSVPPDRVDEEYQNPHTVDRVPMGKLPHMWGQSLYILGSLMAEGFLAP GEIDPLNRRFSTVPKPDVVVQVSILAETEEIKAILKDKGIDVETIAEVYPIRVQPARILS HIYSSLGCNSRMKLSGRPYRLMGVLGTSKLYDIRKTIFTFTPQFIDQQQFYLALDNQMIV EMLRTDLSYLCSRWRMTGQPTITFPISHTMLDEDGTSLNSSILAALRKMQDGYFGGARIQ TGKLSEFLTTSCCTHLSFMDPGPEGKLYSEDYDEDYEDDLDSGNWMDSYDSTSNARCGDE VARYLDRLLAHTVPHPKLAPTSRKGGLDRFRAAVQTTCDLMSLVAKAKELHIQNVHMYLP TKLFQPSRPSLNLLDSPESPQDSQVPSVHVEVHLPRDQSGEVDFQSLVSQLKETSSLQEQ ADILYMLYSMKGPDWNTELYEEGGATVRELLSELYVKVGEIRHWGLIRYISGILRKKVEA LDEACTDLLSYQKHLTVGLPPEPREKTISAPLPYEALTKLIDEASEGDMSISTLTQEIMV YLAMYMRTQPGLFAEMFRLRIGLIIQVMATELAHSLRCSAEEATEGLMNLSPSAMKNLLH HILSGKEFGVERSVRPTDSNVSPAISIHEIGAVGATKTERTGIMQLKSEIKQVEFRRLSV SMESQTSGGHPSGVDLMSPSFLSPAACIAASSGSFPTVCDHQTSKDSRQGQWQRRRRLDG ALNRVPIGFYQKVWKILQKCHGLSVEGFVLPSSTTREMTPGEIKFSVHVESVLNRVPQPE YRQLLVEAILVLTMLADIEIHSIGSIIAVEKIVHIANDLFLQEQKTLGADDTMLAKDPAS GICTLLYDSAPSGRFGTMTYLSKAAATYVQEFLPHSLCAMQ >ENSMUSP00000042778.5 pep:known chromosome:GRCm38:X:102513975:102644246:-1 gene:ENSMUSG00000034055.16 transcript:ENSMUST00000043596.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka1 description:phosphorylase kinase alpha 1 [Source:MGI Symbol;Acc:MGI:97576] MRSRSNSGVRLDGYARLVHQTILCHQNPVTGLLPASYDQKDAWVRDNVYSILAVWGLGLA YRKNADRDEDKAKAYELEQSVVKLMRGLLHCMIRQVDKVESFKYSQSTKDSLHAKYNTKT CATVVGDDQWGHLQLDATSVYLLFLAQMTASGLHIIHSLDEVNFIQNLVFYIEAAYKTAD FGIWERGDKTNQGISELNASSVGMAKAALEALDELDLFGVKGGPQSVIHVLADEVQHCQS ILNSLLPRASTSKEVDASLLSVVSFPAFAVEDSHLVELTKQEIITKLQGRYGCCRFLRDG YKTPKEDPNRLYYEPAELKLFENIECEWPLFWTYFILDGIFSGNVEQVQEYREALDAVLI KGKNGVPLLPELYSVPPDRVDEEYQNPHTVDRVPMGKLPHMWGQSLYILGSLMAEGFLAP GEIDPLNRRFSTVPKPDVVVQVSILAETEEIKAILKDKGIDVETIAEVYPIRVQPARILS HIYSSLGCNSRMKLSGRPYRLMGVLGTSKLYDIRKTIFTFTPQFIDQQQFYLALDNQMIV EMLRTDLSYLCSRWRMTGQPTITFPISHTMLDEDGTSLNSSILAALRKMQDGYFGGARIQ TGKLSEFLTTSCCTHLSFMDPGPEGKLYSEDYDEDYEDDLDSGNWMDSYDSTSNDVHMYL PTKLFQPSRPSLNLLDSPESPQDSQVPSVHVEVHLPRDQSGEVDFQSLVSQLKETSSLQE QADILYMLYSMKGPDWNTELYEEGGATVRELLSELYVKVGEIRHWGLIRYISGILRKKVE ALDEACTDLLSYQKHLTVGLPPEPREKTISAPLPYEALTKLIDEASEGDMSISTLTQEIM VYLAMYMRTQPGLFAEMFRLRIGLIIQVMATELAHSLRCSAEEATEGLMNLSPSAMKNLL HHILSGKEFGVERSVRPTDSNVSPAISIHEIGAVGATKTERTGIMQLKSEIKQVEFRRLS VSMESQTSGGHPSGVDLMSPSFLSPAACIAASSGSFPTVCDHQTSKDSRQGQWQRRRRLD GALNRVPIGFYQKVWKILQKCHGLSVEGFVLPSSTTREMTPGEIKFSVHVESVLNRVPQP EYRQLLVEAILVLTMLADIEIHSIGSIIAVEKIVHIANDLFLQEQKTLGADDTMLAKDPA SGICTLLYDSAPSGRFGTMTYLSKAAATYVQEFLPHSLCAMQ >ENSMUSP00000114066.1 pep:known chromosome:GRCm38:X:102513975:102644246:-1 gene:ENSMUSG00000034055.16 transcript:ENSMUST00000119229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka1 description:phosphorylase kinase alpha 1 [Source:MGI Symbol;Acc:MGI:97576] MRSRSNSGVRLDGYARLVHQTILCHQNPVTGLLPASYDQKDAWVRDNVYSILAVWGLGLA YRKNADRDEDKAKAYELEQSVVKLMRGLLHCMIRQVDKVESFKYSQSTKDSLHAKYNTKT CATVVGDDQWGHLQLDATSVYLLFLAQMTASGLHIIHSLDEVNFIQNLVFYIEAAYKTAD FGIWERGDKTNQGISELNASSVGMAKAALEALDELDLFGVKGGPQSVIHVLADEVQHCQS ILNSLLPRASTSKEVDASLLSVVSFPAFAVEDSHLVELTKQEIITKLQGRYGCCRFLRDG YKTPKEDPNRLYYEPAELKLFENIECEWPLFWTYFILDGIFSGNVEQVQEYREALDAVLI KGKNGVPLLPELYSVPPDRVDEEYQNPHTVDRVPMGKLPHMWGQSLYILGSLMAEGFLAP GEIDPLNRRFSTVPKPDVVVQVSILAETEEIKAILKDKGIDVETIAEVYPIRVQPARILS HIYSSLGCNSRMKLSGRPYRLMGVLGTSKLYDIRKTIFTFTPQFIDQQQFYLALDNQMIV EMLRTDLSYLCSRWRMTGQPTITFPISHTMLDEDGTSLNSSILAALRKMQDGYFGGARIQ TGKLSEFLTTSCCTHLSFMDPGPEGKLYSEDYDEDYEDDLDSGNWMDSYDSTSNARCGDE VARYLDRLLAHTVPHPKLAPTSRKGGLDRFRAAVQTTCDLMSLVAKAKELHIQNVHMYLP TKLFQPSRPSLNLLDSPESPQDSQVPSVHVEVHLPRDQSGEVDFQSLVSQLKETSSLQEQ ADILYMLYSMKGPDWNTELYEEGGATVRELLSELYVKVGEIRHWGLIRYISGILRKKVEA LDEACTDLLSYQKHLTVGLPPEPREKTISAPLPYEALTKLIDEASEGDMSISTLTQEIMV YLAMYMRTQPGLFAEMFRLRIGLIIQVMATELAHSLRCSAEEATEGLMNLSPSAMKNLLH HILSGKEFGVERSVRPTDSNVSPAISIHEIGAVGATKTERTGIMQLKSEIKQSPAACIAA SSGSFPTVCDHQTSKDSRQGQWQRRRRLDGALNRVPIGFYQKVWKILQKCHGLSVEGFVL PSSTTREMTPGEIKFSVHVESVLNRVPQPEYRQLLVEAILVLTMLADIEIHSIGSIIAVE KIVHIANDLFLQEQKTLGADDTMLAKDPASGICTLLYDSAPSGRFGTMTYLSKAAATYVQ EFLPHSLCAMQ >ENSMUSP00000112529.1 pep:known chromosome:GRCm38:X:102513975:102644246:-1 gene:ENSMUSG00000034055.16 transcript:ENSMUST00000122022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka1 description:phosphorylase kinase alpha 1 [Source:MGI Symbol;Acc:MGI:97576] MRSRSNSGVRLDGYARLVHQTILCHQNPVTGLLPASYDQKDAWVRDNVYSILAVWGLGLA YRKNADRDEDKAKAYELEQSVVKLMRGLLHCMIRQVDKVESFKYSQSTKDSLHAKYNTKT CATVVGDDQWGHLQLDATSVYLLFLAQMTASGLHIIHSLDEVNFIQNLVFYIEAAYKTAD FGIWERGDKTNQGISELNASSVGMAKAALEALDELDLFGVKGGPQSVIHVLADEVQHCQS ILNSLLPRASTSKEVDASLLSVVSFPAFAVEDSHLVELTKQEIITKLQGRYGCCRFLRDG YKTPKEDPNRLYYEPAELKLFENIECEWPLFWTYFILDGIFSGNVEQVQEYREALDAVLI KGKNGVPLLPELYSVPPDRVDEEYQNPHTVDRVPMGKLPHMWGQSLYILGSLMAEGFLAP GEIDPLNRRFSTVPKPDVVVQVSILAETEEIKAILKDKGIDVETIAEVYPIRVQPARILS HIYSSLGCNSRMKLSGRPYRLMGVLGTSKLYDIRKTIFTFTPQFIDQQQFYLALDNQMIV EMLRTDLSYLCSRWRMTGQPTITFPISHTMLDEDGTSLNSSILAALRKMQDGYFGGARIQ TGKLSEFLTTSCCTHLSFMDPGPEGKLYSEDYDEDYEDDLDSGNWMDSYDSTSNARCGDE VARYLDRLLAHTVPHPKLAPTSRKGGLDRFRAAVQTTCDLMSLVAKAKELHIQNVHMYLP TKLFQPSRPSLNLLDSPESPQDSQVPSVHVEVHLPRDQSGEVDFQSLVSQLKETSSLQEQ ADILYMLYSMKGPDWNTELYEEGGATVRELLSELYVKVGEIRHWGLIRYISGILRKKVEA LDEACTDLLSYQKHLTVGLPPEPREKTISAPLPYEALTKLIDEASEGDMSISTLTQEIMV YLAMYMRTQPGLFAEMFRLRIGLIIQVMATELAHSLRCSAEEATEGLMNLSPSAMKNLLH HILSGKEFGVERSVRPTDSNVSPAISIHEIGAVGATKTERTGIMQLKSEIKQVEFHRLSV STESQTSGGHPSGVDLMSPSFLSPAACIAASSGSFPTVCDHQTSKDSRQGQWQRRRRLDG ALNRVPIGFYQKVWKILQKCHGLSVEGFVLPSSTTREMTPGEIKFSVHVESVLNRVPQPE YRQLLVEAILVLTMLADIEIHSIGSIIAVEKIVHIANDLFLQEQKTLGADDTMLAKDPAS GICTLLYDSAPSGRFGTMTYLSKAAATYVQEFLPHSLCAMQ >ENSMUSP00000113302.1 pep:known chromosome:GRCm38:X:102513975:102644246:-1 gene:ENSMUSG00000034055.16 transcript:ENSMUST00000120270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka1 description:phosphorylase kinase alpha 1 [Source:MGI Symbol;Acc:MGI:97576] MRSRSNSGVRLDGYARLVHQTILCHQNPVTGLLPASYDQKDAWVRDNVYSILAVWGLGLA YRKNADRDEDKAKAYELEQSVVKLMRGLLHCMIRQVDKVESFKYSQSTKDSLHAKYNTKT CATVVGDDQWGHLQLDATSVYLLFLAQMTASGLHIIHSLDEVNFIQNLVFYIEAAYKTAD FGIWERGDKTNQGISELNASSVGMAKAALEALDELDLFGVKGGPQSVIHVLADEVQHCQS ILNSLLPRASTSKEVDASLLSVVSFPAFAVEDSHLVELTKQEIITKLQGRYGCCRFLRDG YKTPKEDPNRLYYEPAELKLFENIECEWPLFWTYFILDGIFSGNVEQVQEYREALDAVLI KGKNGVPLLPELYSVPPDRVDEEYQNPHTVDRVPMGKLPHMWGQSLYILGSLMAEGFLAP GEIDPLNRRFSTVPKPDVVVQVSILAETEEIKAILKDKGIDVETIAEVYPIRVQPARILS HIYSSLGCNSRMKLSGRPYRLMGVLGTSKLYDIRKTIFTFTPQFIDQQQFYLALDNQMIV EMLRTDLSYLCSRWRMTGQPTITFPISHTMLDEDGTSLNSSILAALRKMQDGYFGGARIQ TGKLSEFLTTSCCTHLSFMDPGPEGKLYSEDYDEDYEDDLDSGNWMDSYDSTSNARCGDE VARYLDRLLAHTVPHPKLAPTSRKGGLDRFRAAVQTTCDLMSLVAKAKELHIQNVHMYLP TKLFQPSRPSLNLLDSPESPQDSQVPSVHVEVHLPRDQSGEVDFQSLVSQLKETSSLQEQ ADILYMLYSMKGPDWNTELYEEGGATVRELLSELYVKVGEIRHWGLIRYISGILRKKVEA LDEACTDLLSYQKHLTVGLPPEPREKTISAPLPYEALTKLIDEASEGDMSISTLTQEIMV YLAMYMRTQPGLFAEMFRLRIGLIIQVMATELAHSLRCSAEEATEGLMNLSPSAMKNLLH HILSGKEFGVERSVRPTDSNVSPAISIHEIGAVGATKTERTGIMQLKSEIKQVEFHRLSV STESQSPAACIAASSGSFPTVCDHQTSKDSRQGQWQRRRRLDGALNRVPIGFYQKVWKIL QKCHGLSVEGFVLPSSTTREMTPGEIKFSVHVESVLNRVPQPEYRQLLVEAILVLTMLAD IEIHSIGSIIAVEKIVHIANDLFLQEQKTLGADDTMLAKDPASGICTLLYDSAPSGRFGT MTYLSKAAATYVQEFLPHSLCAMQ >ENSMUSP00000109241.2 pep:known chromosome:GRCm38:X:102513975:102644246:-1 gene:ENSMUSG00000034055.16 transcript:ENSMUST00000113611.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka1 description:phosphorylase kinase alpha 1 [Source:MGI Symbol;Acc:MGI:97576] MRSRSNSGVRLDGYARLVHQTILCHQNPVTGLLPASYDQKDAWVRDNVYSILAVWGLGLA YRKNADRDEDKAKAYELEQSVVKLMRGLLHCMIRQVDKVESFKYSQSTKDSLHAKYNTKT CATVVGDDQWGHLQLDATSVYLLFLAQMTASGLHIIHSLDEVNFIQNLVFYIEAAYKTAD FGIWERGDKTNQGISELNASSVGMAKAALEALDELDLFGVKGGPQSVIHVLADEVQHCQS ILNSLLPRASTSKEVDASLLSVVSFPAFAVEDSHLVELTKQEIITKLQGRYGCCRFLRDG YKTPKEDPNRLYYEPAELKLFENIECEWPLFWTYFILDGIFSGNVEQVQEYREALDAVLI KGKNGVPLLPELYSVPPDRVDEEYQNPHTVDRVPMGKLPHMWGQSLYILGSLMAEGFLAP GEIDPLNRRFSTVPKPDVVVQVSILAETEEIKAILKDKGIDVETIAEVYPIRVQPARILS HIYSSLGCNSRMKLSGRPYRLMGVLGTSKLYDIRKTIFTFTPQFIDQQQFYLALDNQMIV EMLRTDLSYLCSRWRMTGQPTITFPISHTMLDEDGTSLNSSILAALRKMQDGYFGGARIQ TGKLSEFLTTSCCTHLSFMDPGPEGKLYSEDYDEDYEDDLDSGNWMDSYDSTSNARCGDE VARYLDRLLAHTVPHPKLAPTSRKGGLDRFRAAVQTTCDLMSLVAKAKELHIQNVHMYLP TKLFQPSRPSLNLLDSPESPQDSQVPSVHVEVHLPRDQSGEVDFQSLVSQLKETSSLQEQ ADILYMLYSMKGPDWNTELYEEGGATVRELLSELYVKVGEIRHWGLIRYISGILRKKVEA LDEACTDLLSYQKHLTVGLPPEPREKTISAPLPYEALTKLIDEASEGDMSISTLTQEIMV YLAMYMRTQPGLFAEMFRLRIGLIIQVMATELAHSLRCSAEEATEGLMNLSPSAMKNLLH HILSGKEFGVERSVRPTDSNVSPAISIHEIGAVGATKTERTGIMQLKSEIKQVEFRRLSV SMESQSPAACIAASSGSFPTVCDHQTSKDSRQGQWQRRRRLDGALNRVPIGFYQKVWKIL QKCHGLSVEGFVLPSSTTREMTPGEIKFSVHVESVLNRVPQPEYRQLLVEAILVLTMLAD IEIHSIGSIIAVEKIVHIANDLFLQEQKTLGADDTMLAKDPASGICTLLYDSAPSGRFGT MTYLSKAAATYVQEFLPHSLCAMQ >ENSMUSP00000083405.1 pep:known chromosome:GRCm38:7:12361808:12362764:-1 gene:ENSMUSG00000066803.1 transcript:ENSMUST00000086228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r84 description:vomeronasal 1 receptor 84 [Source:MGI Symbol;Acc:MGI:2159655] MWLNLFIPGEKNMAPENLPVGILFFSQTAVGILGNWSILLHYVTSVITGKSLMPKDQILK HLTLANSLVIISRVTPHIMVQLGLQYLLDDLLCKLTLYSNRVSRGISLHCTCLLSCFQAI TISLSHSRLMTLTHSVSKYMIQFCSISWLLHLLLNIKTAIDVIGPNTIKNVTRKIMLGYC SAFAFSNGVTGLHLFFVCFTDGLCLSIMVWASIFMVKILYRHKSQLQYIHSAHHPLRVSP EDKATKTILILVFTFVLSYSMSFILIIYTVVFDNPRLWIINIFTFLDTCFPTFCPFILIS NNQSTPKNALPCCSRRNL >ENSMUSP00000110546.1 pep:known chromosome:GRCm38:2:10056030:10080444:-1 gene:ENSMUSG00000025781.14 transcript:ENSMUST00000114896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5c1 description:ATP synthase, H+ transporting, mitochondrial F1 complex, gamma polypeptide 1 [Source:MGI Symbol;Acc:MGI:1261437] MATLKDITRRLKSIKNIQKITKSMKMVAAAKYARAERELKPARVYGTGSLALYEKADIKA PEDKKKHLIIGVSSDRGLCGAIHSSVAKQMKNEVAALTAAGKEVMIVGVGEKIKGILYRT HSDQFLVSFKDVGRKPPTFGDASVIALELLNSGYEFDEGSIIFNQFKSVISYKTEEKPIF SLNTIATAETMSIYDDIDADVLQNYQEYNLANLIYYSLKESTTSEQSARMTAMDNASKNA SDMIDKLTLTFNRTRQAVITKELIEIISGAAALD >ENSMUSP00000026887.7 pep:known chromosome:GRCm38:2:10056016:10080427:-1 gene:ENSMUSG00000025781.14 transcript:ENSMUST00000026887.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5c1 description:ATP synthase, H+ transporting, mitochondrial F1 complex, gamma polypeptide 1 [Source:MGI Symbol;Acc:MGI:1261437] MFSRASVVGLSACAVQPQWIQVRNMATLKDITRRLKSIKNIQKITKSMKMVAAAKYARAE RELKPARVYGTGSLALYEKADIKAPEDKKKHLIIGVSSDRGLCGAIHSSVAKQMKNEVAA LTAAGKEVMIVGVGEKIKGILYRTHSDQFLVSFKDVGRKPPTFGDASVIALELLNSGYEF DEGSIIFNQFKSVISYKTEEKPIFSLNTIATAETMSIYDDIDADVLQNYQEYNLANLIYY SLKESTTSEQSARMTAMDNASKNASDMIDKLTLTFNRTRQAVITKELIEIISGAAAL >ENSMUSP00000110547.2 pep:known chromosome:GRCm38:2:10056030:10080510:-1 gene:ENSMUSG00000025781.14 transcript:ENSMUST00000114897.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5c1 description:ATP synthase, H+ transporting, mitochondrial F1 complex, gamma polypeptide 1 [Source:MGI Symbol;Acc:MGI:1261437] MFSRASVVGLSACAVQPQWIQVRNMATLKDITRRLKSIKNIQKITKSMKMVAAAKYARAE RELKPARVYGTGSLALYEKADIKAPEDKKKHLIIGVSSDRGLCGAIHSSVAKQMKNEVAA LTAAGKEVMIVGVGEKIKGILYRTHSDQFLVSFKDVGRKPPTFGDASVIALELLNSGYEF DEGSIIFNQFKSVISYKTEEKPIFSLNTIATAETMSIYDDIDADVLQNYQEYNLANLIYY SLKESTTSEQSARMTAMDNASKNASDMIDKLTLTFNRTRQAVITKELIEIISGAAALD >ENSMUSP00000123100.1 pep:known chromosome:GRCm38:2:10063481:10080176:-1 gene:ENSMUSG00000025781.14 transcript:ENSMUST00000139810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5c1 description:ATP synthase, H+ transporting, mitochondrial F1 complex, gamma polypeptide 1 [Source:MGI Symbol;Acc:MGI:1261437] MATLKDITRRLKSIKNIQKITKSMKMVAAAKYARAERELKPARVYGTGSLALYEKADIKA PEDKKKHLIIGVSSDRGLCGAIHSSVAKQMKNEVAALTAAGKEVMIVGVGEKIKGILYRT HSDQFLVSFKDVGRKPPTFGDASVIALELLNSGY >ENSMUSP00000116508.1 pep:known chromosome:GRCm38:2:10063286:10080322:-1 gene:ENSMUSG00000025781.14 transcript:ENSMUST00000145530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5c1 description:ATP synthase, H+ transporting, mitochondrial F1 complex, gamma polypeptide 1 [Source:MGI Symbol;Acc:MGI:1261437] MATLKDITRRLKSIKNIQKITKSMKMVAAAKYARAERELKPARVYGTGSLALYEKADIKA PEDKKKHLIIGVSSDRGLCGAIHSSVAKQMKNEVAALTAAGKEVMIVGVGEKIKGILYRT HSDQFLVSFKDVGRKPPTFGDASVIALELLNSGYEFDEGSIIFNQFKSVISYKTEEKPIF SLNTIATA >ENSMUSP00000117182.1 pep:known chromosome:GRCm38:2:10064159:10080055:-1 gene:ENSMUSG00000025781.14 transcript:ENSMUST00000130067.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5c1 description:ATP synthase, H+ transporting, mitochondrial F1 complex, gamma polypeptide 1 [Source:MGI Symbol;Acc:MGI:1261437] MATLKDITRRLKSIKNIQKITKSMKMVAAAKYARAERELKPARVYGTGSLALYEKADIKA PEDKKKHLIIGVSSDRGLCGAIHSSVAKQMKNEVAALTAAG >ENSMUSP00000116368.1 pep:known chromosome:GRCm38:2:10063337:10079859:-1 gene:ENSMUSG00000025781.14 transcript:ENSMUST00000153554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5c1 description:ATP synthase, H+ transporting, mitochondrial F1 complex, gamma polypeptide 1 [Source:MGI Symbol;Acc:MGI:1261437] MATLKDITRRLKSIKNIQKITKSMKMVAAAKYARAERELKPARVYGTGSLALYEKADIKA PEDKKKHLIIGVSSDRGLCGAIHSSVAKQMKNEVAALTAAGKEVMIVGVGEKIKGILYRT HSDQFLVSFKDVGRKPPTFGDASVIALELLNSGYEFDEGSIIFNQFKSVIS >ENSMUSP00000107133.1 pep:known chromosome:GRCm38:2:90000145:90003322:1 gene:ENSMUSG00000051313.10 transcript:ENSMUST00000111508.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1262 description:olfactory receptor 1262 [Source:MGI Symbol;Acc:MGI:3031096] MSNVTEFILLGLTQDPDLQKLLFIVCLIIYLITLAGNMLISVTIFISPALATPMYFFLSY LSIIDGFYSSSITPKMIYDLISEKSTISFNGCMTQLFAEHFFAAAEIILLISMAYDRYVA ICKPLHYMTIMNRHVCIFLVVAAGIVGFVHGMIQTTFIAQLPFCGPNIINHFICDLIPLL ELACTDTHTLGPLIAANSGSMCLLIFSMLVASYVVILRSLRNHSAEGRRKALSTCASHVT VVVLFFVPCSYLYVRPVISFHIDKIVSVFYTLVTPLLNPLIYTLRNEEVKRVMKKLLGSN HVKH >ENSMUSP00000121666.1 pep:known chromosome:GRCm38:2:90000170:90003126:1 gene:ENSMUSG00000051313.10 transcript:ENSMUST00000131072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1262 description:olfactory receptor 1262 [Source:MGI Symbol;Acc:MGI:3031096] MSNVTEFILLGLTQDPDLQKLLFIVCLIIYLITLAGNMLISVTIFISPALATPMYFFLSY LSIIDGFYSSSITPKMIYDLISEKSTISFNGCMTQLFAEHFFAAAEIILLISMAYDRYVA ICKPLHYMTIMNRHVCIFLVVAAGIVGFVHGMIQTTFIAQLPFCGPNIINHFICDLIPLL ELACTDTHTLGPLIAANSGSMCLLIFSMLVASYVVILRSLRNHSAEGRRKALSTCASHVT >ENSMUSP00000052387.3 pep:known chromosome:GRCm38:2:90002408:90003322:1 gene:ENSMUSG00000051313.10 transcript:ENSMUST00000061701.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1262 description:olfactory receptor 1262 [Source:MGI Symbol;Acc:MGI:3031096] MSNVTEFILLGLTQDPDLQKLLFIVCLIIYLITLAGNMLISVTIFISPALATPMYFFLSY LSIIDGFYSSSITPKMIYDLISEKSTISFNGCMTQLFAEHFFAAAEIILLISMAYDRYVA ICKPLHYMTIMNRHVCIFLVVAAGIVGFVHGMIQTTFIAQLPFCGPNIINHFICDLIPLL ELACTDTHTLGPLIAANSGSMCLLIFSMLVASYVVILRSLRNHSAEGRRKALSTCASHVT VVVLFFVPCSYLYVRPVISFHIDKIVSVFYTLVTPLLNPLIYTLRNEEVKRVMKKLLGSN HVKH >ENSMUSP00000108156.2 pep:known chromosome:GRCm38:6:124347593:124357086:-1 gene:ENSMUSG00000079346.3 transcript:ENSMUST00000112537.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700013D24Rik description:RIKEN cDNA 1700013D24 gene [Source:MGI Symbol;Acc:MGI:1924171] MAEIDPGVSLFPSGIPWLYVVFAILFVFFLFVMFSPFLLEIDQHTKKFFLRCRCSLRNVS YKDKDNIKMKMNHLGGARCLLQARGREAPRREAAGGRGDSSVLVEMVGERTQEVCPVTAV >ENSMUSP00000092020.3 pep:known chromosome:GRCm38:4:150087365:150105927:1 gene:ENSMUSG00000047875.6 transcript:ENSMUST00000094451.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr157 description:G protein-coupled receptor 157 [Source:MGI Symbol;Acc:MGI:2442046] MPSPAPPTELLPWERAVVLLSCALSALGSGLLVATHALWPDLRSRARRLLLFLSLADLLS AASYFYGVLQDFAGTSWDCVLQGALSTFANTSSFFWTVAIALYLYLSIVRTTRGPSTDHL IWAFHLISWGVPLAITVAAVSLKKIGYDASDVSVGWCWINLEAEDRVLWMLLTGKLWEML AYILLPLLYLLVRKHINRAHQALSEYRPICEGRQLQRGSSTSTADKKLVLIPLIFICLRV WSTVRFVLTLCGSPAVQTPVLVVLHGIGNTFQGGANCIMFVLCTRAVRTRLFSLCCCCPR PSTQSPPGAPTPPKIGESQESRRTPEVPST >ENSMUSP00000029652.2 pep:known chromosome:GRCm38:3:81036416:81214040:1 gene:ENSMUSG00000028019.9 transcript:ENSMUST00000029652.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfc description:platelet-derived growth factor, C polypeptide [Source:MGI Symbol;Acc:MGI:1859631] MLLLGLLLLTSALAGQRTGTRAESNLSSKLQLSSDKEQNGVQDPRHERVVTISGNGSIHS PKFPHTYPRNMVLVWRLVAVDENVRIQLTFDERFGLEDPEDDICKYDFVEVEEPSDGSVL GRWCGSGTVPGKQTSKGNHIRIRFVSDEYFPSEPGFCIHYSIIMPQVTETTSPSVLPPSS LSLDLLNNAVTAFSTLEELIRYLEPDRWQVDLDSLYKPTWQLLGKAFLYGKKSKVVNLNL LKEEVKLYSCTPRNFSVSIREELKRTDTIFWPGCLLVKRCGGNCACCLHNCNECQCVPRK VTKKYHEVLQLRPKTGVKGLHKSLTDVALEHHEECDCVCRGNAGG >ENSMUSP00000118970.1 pep:known chromosome:GRCm38:3:81037172:81214034:1 gene:ENSMUSG00000028019.9 transcript:ENSMUST00000129285.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdgfc description:platelet-derived growth factor, C polypeptide [Source:MGI Symbol;Acc:MGI:1859631] MLLLGLLLLTSALAGQRTGTRAESNLSSKLQLSSDKEQNGRNYTQHLDWHRKEEKEH >ENSMUSP00000122047.1 pep:known chromosome:GRCm38:3:81037424:81210770:1 gene:ENSMUSG00000028019.9 transcript:ENSMUST00000143721.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdgfc description:platelet-derived growth factor, C polypeptide [Source:MGI Symbol;Acc:MGI:1859631] MLLLGLLLLTSALAGQRTGTRAESNLSSKLQLSSDKEQNGRNYTQHLDWHRKEEKEH >ENSMUSP00000129819.1 pep:known chromosome:GRCm38:7:20441670:20442593:-1 gene:ENSMUSG00000094545.1 transcript:ENSMUST00000164245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r101 description:vomeronasal 1 receptor 101 [Source:MGI Symbol;Acc:MGI:3782311] MSAHGKSVKTTEEVALQLLLLCQFGVGTVANVFLFVRNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVARSTNLCSTCVLSFHQFVTPVP LNRGKGKLVLRASVTNMACYSSYSCWFFSVLSNIHIPIKVSGPQITNNNTDSNRKLFCST SGFSVGIVLLQFAYDATFMSIMVWASVSMVLLLHRHCQRMQHILTPNQDARGQAESRATR TILMLVVTFVSFYLLNFICIMLHALFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000125252.1 pep:known chromosome:GRCm38:16:16302965:16308942:1 gene:ENSMUSG00000022792.16 transcript:ENSMUST00000159962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yars2 description:tyrosyl-tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1917370] MAAPMLRRLCRVPQSLVWLRGSRAVRPGARGMLVAPRARGLFKEFFPESGTKTELPELFD RRRAGSSPQTVYCGFDPTGDSLHVGHLLTLLGLFHFQRAGHNVIALVGGSTALLGDPSGR TKGREALSAECVRANAHALRRGLEALAANHARLFADGRPWGSFTVLDNAAWFQEQHLVDF LATVGGHFRMGTLLSRLSVQSRLKSPEGMSLAEFFYQVLQAYDFYYLFQHYGCRVQLGGS DQLGNIMSGYEFIHKLTGEDVFGITVPLITSTTGAKLGKSAGNAVWLNREKTSPFELYQF FVRQQDDSVERDRSHHAATCQRTRKASCSETTCCRSNKACSRTRRSGLC >ENSMUSP00000055277.8 pep:known chromosome:GRCm38:16:16302978:16309640:1 gene:ENSMUSG00000022792.16 transcript:ENSMUST00000059955.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yars2 description:tyrosyl-tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1917370] MAAPMLRRLCRVPQSLVWLRGSRAVRPGARGMLVAPRARGLFKEFFPESGTKTELPELFD RRRAGSSPQTVYCGFDPTGDSLHVGHLLTLLGLFHFQRAGHNVIALVGGSTALLGDPSGR TKGREALSAECVRANAHALRRGLEALAANHARLFADGRPWGSFTVLDNAAWFQEQHLVDF LATVGGHFRMGTLLSRLSVQSRLKSPEGMSLAEFFYQVLQAYDFYYLFQHYGCRVQLGGS DQLGNIMSGYEFIHKLTGEDVFGITVPLITSTTGAKLGKSAGNAVWLNREKTSPFELYQF FVRQQDDSVERYLKLFTFLPLPEIDHIMQLHVKEPEKRVAQKRLAAEVTKLVHGQEGLDS AKRCTQALYHSSIEALEVMSDQELKELFKEASFSELVLDPGTSVIDTCRKANAIPDGPRG YRMITEGGVSINHRQVTNPESVLVIGQHILKNGLSLLKIGKRNFYIIKWLQL >ENSMUSP00000124606.1 pep:known chromosome:GRCm38:16:16302981:16309632:1 gene:ENSMUSG00000022792.16 transcript:ENSMUST00000159683.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Yars2 description:tyrosyl-tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1917370] MAAPMLRRLCRVPQSLVWLRGSRAVRPGARGMLVAPRARGLFKEFFPESGTKTELPELFD RRRAGSSPQTVYCGFDPTGDSLHVGHLLTLLGLFHFQRAGHNVIALVGGSTALLGDPSGR TKGREALSAECVRANAHALRRGLEALAANHARLFADGRPWGSFTVLDNAAWFQEQHLVDF LATVGGHFRMGTLLSRLSVQSRLKSPEGMSLAEFFYQVLQAYDFYYLFQHYGCRVQLGGS DQLGNIMSGYEFIHKLTGEDVFGITVPLITSTTGAKLGKSAGNAVWLNREKTSPFELYQF FVRQQDDSVERDRSHHAATCQRTRKASCSETTCCRSNKACSRTRRSGLC >ENSMUSP00000125496.1 pep:known chromosome:GRCm38:16:16304600:16307380:1 gene:ENSMUSG00000022792.16 transcript:ENSMUST00000162734.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yars2 description:tyrosyl-tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1917370] LGKSAGNAVWLNREKTSPFELYQFFVRQQDDSVERDRSHHAATCQRTRKASCSETTCCRS NKACSRTRRCTQALYHSSIEALEVMSDQELKELFKEASFSELVLDPGTSVIDTCRKANAI PDGPRG >ENSMUSP00000088774.6 pep:known chromosome:GRCm38:2:27079379:27108981:1 gene:ENSMUSG00000036040.14 transcript:ENSMUST00000091233.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl2 description:ADAMTS-like 2 [Source:MGI Symbol;Acc:MGI:1925044] MDGRRQHPHWAWSLLAVAVVAGGAAPTEASDNSPTSNSLEGGADTTAYWWGEWTKWTACS RSCGGGVTSQERHCLQQRRKSVPGTGNRTCVGTSKRYQLCRVQECPPDGRSFREEQCVSF NSRVYDGRAYQWKPLYPDDYVHISSKPCDLHCSTVDGQRQLTVPARDGTSCKLTDLRGVC VSGKCEPIGCDGVLFSTHTLDKCGVCQGDGSSCTHVTGNYRKGNNHLGYSLVTHIPAGAR DIQIVERKKSADVLALADEAGFYFFNGNYKVDSPKNFNIAGTVVKYRRPMDVYETGIEYI VAQGPTNQGLNVMVWNQNGKSPSITFEYTLLQSPHMHHLPPVYYSFSEAASQSTESTERQ ELDSARLLGFMQHNGSLYRQTSSERLGLNSQLFQPPAPEVELGPSRGQESNEVCKQASGG VCEGPPRGKGFQDHNATGRAFSADKDDREISAHFTSHELLSANTISDQLLGTGSESEEFS LNETMNSIFAQGAPRSSPAESLYVDYEENEGPAAYLINGSYLELSSDRINTSSEAPFPNT SASPPNLAGNRTHKARTRPKARKQGVSPADMYRWKLSSHEPCSATCTTGVMSTYAMCVRY DGVEVDDSYCDALTRPEPVHEFCAGRECQPRWETSSWSECSRTCGEGHQFRIVRCWKMLS PGFDSSVYSDLCEATEAVRPEERKTCRNPACGPQWEMSEWSECTAKCGERSVVTRDIRCS EDEKLCDPSTKPVGEKNCTGPPCDRQWTVSDWGPCSGSCGQGRTIRHVYCKTSDGRVVPE SQCQTETKPLAIHPCGDKNCPAHWLAQDWERCNTTCGRGVKKRLVLCMELANGKPQIRSG PECGLARKPPEESTCFERPCFKWYTSPWSECTKTCGVGVRMRDVKCYQGTDIVRGCDPLV KPVGRQACDLQPCPTEPPDDSCQDQPGTNCALAIKVNLCGHWYYSKACCRSCRPPHS >ENSMUSP00000004986.6 pep:known chromosome:GRCm38:17:28769307:28778698:1 gene:ENSMUSG00000004864.12 transcript:ENSMUST00000004986.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk13 description:mitogen-activated protein kinase 13 [Source:MGI Symbol;Acc:MGI:1346864] MSLTRKRGFYKQDINKTAWELPKTYLAPAHVGSGAYGAVCSAIDKRTGEKVAIKKLSRPF QSEIFAKRAYRELLLLKHMHHENVIGLLDVFTPASSLRSFHDFYLVMPFMQTDLQKIMGM EFSEDKVQYLVYQMLKGLKYIHSAGIVHRDLKPGNLAVNEDCELKILDFGLARHTDTEMT GYVVTRWYRAPEVILSWMHYNQTVDIWSVGCIMAEMLTGKTLFKGKDYLDQLTQILKVTG VPGAEFVQKLKDKAAKSYIQSLPQSPKKDFTQLFPRASPQAADLLDKMLELDVDKRLTAA QALAHPFFEPFRDPEEETEAQQPFDDALEHEKLSVDEWKQHIYKEISNFSPIARKDSRRR SGMKLQ >ENSMUSP00000115659.1 pep:known chromosome:GRCm38:17:28769349:28778546:1 gene:ENSMUSG00000004864.12 transcript:ENSMUST00000129096.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mapk13 description:mitogen-activated protein kinase 13 [Source:MGI Symbol;Acc:MGI:1346864] MSLTRKRGFYKQDINKTAWELPKTYLAPAHVGSGAYGAVCSAIDKRTGEKVAIKKLSRPF QSEIFAKRAYRELLLLKHMHHENVIGLLDVFTPASSLRSFHDFYLVMPFMQTDLQKIMGM EFSEDKVQYLVYQMLKGLKYIHSAGIVHRDLKPGNLAVNEDCELKILDFGLARHTDTEMT GYVVTRWYRAPEVILSWMHYNQTGQILYSVPAPEPQEGFHTALPTRQSASCRPARQDAGA GCGQASDRCSGTGSPLL >ENSMUSP00000125732.1 pep:known chromosome:GRCm38:15:68083766:68258856:-1 gene:ENSMUSG00000022335.16 transcript:ENSMUST00000162054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfat description:zinc finger and AT hook domain containing [Source:MGI Symbol;Acc:MGI:2681865] METRTAENTAIFMCKCCNLFSPNQSELVTHVSEKHGEEGVNVDDVIIPLRPLNTPENPNP SKGGDEFLVMKRKRGRPKGSTKKPSTEEEVVENLVSPSEDGPLATEEGSRLAPSSLECSK CCRKFSNTRQLRKHICIIVLNLGNESDLDLEKTYKEDDREKASKRPRAQKTEKVQKISGK EAGQLSGAKKPIISVVLTAHEAIPGATKIIPVEAGPPETGAPPPETTAADLVPRRGYQEY AIQQTPYEQPMKSSRLGPTQLKIFTCEYCNKVFKFKHSLQAHLRIHTNEKPYKCSQCSYA SAIKANLNVHLRKHTGEKFACDYCSFTCLSKGHLKVHIERVHKKIKQHCRFCKKKYSDVK NLIKHIRDMHDPQDKKVKEALDELRLMTREGKRQLLYDCHICERKFKNELDRDRHMLVHG DKWPFACELCGHGATKYQALELHVRKHPFVYVCALCLKKFVSSIRLRSHIREVHGAAQET LVFTSSINQSFCLLEPGGDIQQEALGDQLQLAAEEFVCPEIDVRKEEACPGEAQPEVGLR ELVVPGDAHAPPPGPLATPQSESSSLSPCKLETTVVSSDLNSLGVVSDDFLLKSDTSSAE PPAAAEATSDTQHRDSAQTQGEEVTLLLAKAKSAGPDPESSPGGRQKVGALPASESDSST CLRANPTEASDLLPTVTDGGDLGVCQPDSCTPSSEHHPGSTAFMKVLDSLQKKQMNTSLC ERIRKVYGDLECEYCGKLFWYQVHFDMHVRTHTREHLYYCSQCHYSSITKNCLKRHVIQK HSNILLKCPTDGCDYSTPDKYKLQAHLKVHTELDKRSYSCPVCEKSFSEDRLIKSHIKTN HPEVSMNTISEVLGRRVQLKGLIGKRAMKCPYCDFYFMKNGSDLQRHIWAHEGVKPFKCS LCEYATRSKSNLKAHMNRHSTEKTHLCDMCGKKFKSKGTLKSHKLLHTSDGKQFKCTVCD YTAAQKPQLLRHMEQHASFKPFRCAHCHYSCNISGSLKRHYNRKHPNEEYTNVGSGELAA EALIQQGGLKCPVCSFVYGTKWEFNRHLKNKHGLKPAAETPEEPSTQYLYITEAEDVQGT QAAVAALQDLRYTSESGDRLDPTAVNILQQIIELGSETHDAAAVASVVAMAPGTVTVVKQ VTDEEPSSNHTVMIQETLQQASVELAEQHHLVVSSDDVEGIETVTVYTQGGEASEFIVYV QEAVQPVEEQVGEQPAPEL >ENSMUSP00000124974.1 pep:known chromosome:GRCm38:15:68084321:68258787:-1 gene:ENSMUSG00000022335.16 transcript:ENSMUST00000162173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfat description:zinc finger and AT hook domain containing [Source:MGI Symbol;Acc:MGI:2681865] METRTAENTAIFMCKCCNLFSPNQSELVTHVSEKHGEEGVNVDDVIIPLRPLNTPENPNP SKGGDEFLVMKRKRGRPKGSTKKPSTEEEVVENLVSPSEDGPLATEEGSRLAPSSLECSK CCRKFSNTRQLRKHICIIVLNLGEEDGDAGNESDLDLEKTYKEDDREKASKRPRAQKTEK VQKISGKEAGQLSGAKKPIISVVLTAHEAIPGATKIIPVEAGPPETGAPPPETTAADLVP RRGYQEYAIQQTPYEQPMKSSRLGPTQLKIFTCEYCNKVFKFKHSLQAHLRIHTNEKPYK CSQCSYASAIKANLNVHLRKHTGEKFACDYCSFTCLSKGHLKVHIERVHKKIKQHCRFCK KKYSDVKNLIKHIRDMHDPQDKKVKEALDELRLMTREGKRQLLYDCHICERKFKNELDRD RHMLVHGDKWPFACELCGHGATKYQALELHVRKHPFVYVCALCLKKFVSSIRLRSHIREV HGAAQETLVFTSSINQSFCLLEPGGDIQQEALGDQLQLAAEEFVCPEIDVRKEEACPGEA QPEVGLRELVVPGDAHAPPPGPLATPQSESSSLSPCKLETTVVSSDLNSLGVVSDDFLLK SDTSSAEPPAAAEATSDTQHRDSAQTQGEEVTLLLAKAKSAGPDPESSPGGRQKVGALPA SESDSSTCLRANPTEASDLLPTVTDGGDLGVCQPDSCTPSSEHHPGSTAFMKVLDSLQKK QMNTSLCERIRKVYGDLECEYCGKLFWYQVHFDMHVRTHTREHLYYCSQCHYSSITKNCL KRHVIQKHSNILLKCPTDGCDYSTPDKYKLQAHLKVHTELDKRSYSCPVCEKSFSEDRLI KSHIKTNHPEVSMNTISEVLGRRVQLKGLIGKRAMKCPYCDFYFMKNGSDLQRHIWAHEG VKPFKCSLCEYATRSKSNLKAHMNRHSTEKTHLCDMCGKKFKSKGTLKSHKLLHTSDGKQ FKCTVCDYTAAQKPQLLRHMEQHASFKPFRCAHCHYSCNISGSLKRHYNRKHPNEEYTNV GSGELAAEALIQQGGLKCPVCSFVYGTKWEFNRHLKNKHGLKVVEIDGDPKWEVTDEEPS SNHTVMIQETLQQASVELAEQHHLVVSSDDVEGIETVTVYTQGGEASEFIVYVQEAVQPV EEQVGEQPAPEL >ENSMUSP00000125257.1 pep:known chromosome:GRCm38:15:68084322:68258838:-1 gene:ENSMUSG00000022335.16 transcript:ENSMUST00000160248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfat description:zinc finger and AT hook domain containing [Source:MGI Symbol;Acc:MGI:2681865] METRTAENTAIFMCKCCNLFSPNQSELVTHVSEKHGEEGVNVDDVIIPLRPLNTPENPNP SKGGDEFLVMKRKRGRPKGSTKKPSTEEEVVENLVSPSEDGPLATEEGSRLAPSSLECSK CCRKFSNTRQLRKHICIIVLNLGEEDGDAGNESDLDLEKTYKEDDREKASKRPRAQKTEK VQKISGKEAGQLSGAKKPIISVVLTAHEAIPGATKIIPVEAGPPETGAPPPETTAADLVP RRGYQEYAIQQTPYEQPMKSSRLGPTQLKIFTCEYCNKVFKFKHSLQAHLRIHTNEKPYK CSQCSYASAIKANLNVHLRKHTGEKFACDYCSFTCLSKGHLKVHIERVHKKIKQHCRFCK KKYSDVKNLIKHIRDMHDPQDKKVKEALDELRLMTREGKRQLLYDCHICERKFKNELDRD RHMLVHGDKWPFACELCGHGATKYQALELHVRKHPFVYVCALCLKKFVSSIRLRSHIREV HGAAQETLVFTSSINQSFCLLEPGGDIQQEALGDQLQLAAEEFVCPEIDVRKEEACPGEA QPEVGLRELVVPGDAHAPPPGPLATPQSESSSLSPCKLETTVVSSDLNSLGVVSDDFLLK SDTSSAEPPAAAEATSDTQHRDSAQTQGEEVTLLLAKAKSAGPDPESSPGGRQKVGALPA SESDSSTCLRANPTEASDLLPTVTDGGDLGVCQPDSCTPSSEHHPGSTAFMKVLDSLQKK QMNTSLCERIRKVYGDLECEYCGKLFWYQVHFDMHVRTHTREHLYYCSQCHYSSITKNCL KRHVIQKHSNILLKCPTDGCDYSTPDKYKLQAHLKVHTELDKRSYSCPVCEKSFSEDRLI KSHIKTNHPEVSMNTISEVLGRRVQLKGLIGKRAMKCPYCDFYFMKNGSDLQRHIWAHEG VKPFKCSLCEYATRSKSNLKAHMNRHSTEKTHLCDMCGKKFKSKGTLKSHKLLHTSDGKQ FKCTVCDYTAAQKPQLLRHMEQHASFKPFRCAHCHYSCNISGSLKRHYNRKHPNEEYTNV GSGELAAEALIQQGGLKCPVCSFVYGTKWEFNRHLKNKHGLKVVEIDGDPKWEPAAETPE EPSTQYLYITEAEDVQGTQAAVAALQDLRYTSESGDRLDPTAVNILQQIIELGSETHDAA AVASVVAMAPGTVTVVKQVTDEEPSSNHTVMIQETLQQASVELAEQHHLVVSSDDVEGIE TVTVYTQGGEASEFIVYVQEAVQPVEEQVGEQPAPEL >ENSMUSP00000124420.1 pep:known chromosome:GRCm38:15:68179898:68258847:-1 gene:ENSMUSG00000022335.16 transcript:ENSMUST00000159430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfat description:zinc finger and AT hook domain containing [Source:MGI Symbol;Acc:MGI:2681865] METRTAENTAIFMCKCCNLFSPNQSELVTHVSEKHGEEGVNVDDVIIPLRPLNTPENPNP SKGGDEFLVMKRKRGRPKGSTKKPSTEEEVVENLVSPSEDGPLATEEGSRLAPSSLECSK CCRKFSNTRQLRKHICIIVLNLGEEDGDAGATKIIPVEAGPPETGAPPPETTAADLVPRR GYQEYAIQQTPYEQPMKSSRLGPTQLKIFTCEYCNKVFKFKHSLQAHLRIHTNEKPYKCS QCSYASAIKANLNVHLRKHTGEKFACDYCSFTCLSKGHLKVHIERVHKKIKQHCRFCKKK YSDVKNLIKHIRDMHDPQDKKVKEALDELRLMTREGKRQLLYDCHICERKFKNELDRDRH MLVHGDKWPFACELCGHGATKYQALELHVRKHPFVYVCALCLKKFVSSIRLRSHIREVHG AAQETLVFTSSINQSFCLLEPGGDIQQEALGDQLQLAAEEFVCPEIDVRKEEACPGEAQP EVGLRELVVPGDAHAPPPGPLATPQSESSSLSPCKLETTVVSSDLNSLGVVSDDFLLKSD TSSAEPPAAAEATSDTQHRDSAQTQGEEVTLLLAKAKSAGPDPESSPGGRQKVGALPASE SDSSTCLRANPTEASDLLPT >ENSMUSP00000095792.3 pep:known chromosome:GRCm38:4:32983037:33022180:1 gene:ENSMUSG00000028278.14 transcript:ENSMUST00000098190.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rragd description:Ras-related GTP binding D [Source:MGI Symbol;Acc:MGI:1098604] MSQVLGKPQPQGEDGGEDEEEDELVGLAGYEDGPESSDAELDSGPEEGVLDFSDPFSTEV KPRILLMGLRRSGKSSIQKVVFHKMSPSETLFLESTNRICREDVSNSSFVNFQIWDFPGQ IDFFDPTFDYEMIFRGTGALIFVIDSQDDYMEALARLHLTVTRAYKVNTDINFEVFIHKV DGLSDDHKIETQRDIHQRANDDLADAGLEKIHLSFYLTSIYDHSIFEAFSKVVQKLIPQL PTLENLLNIFISNSGIEKAFLFDVVSKIYIATDSTPVDMQTYELCCDMIDVVIDISCIYG LKEDGAGAPYDKDSTAIIKLNNTTVLYLKEVTKFLALVCFVREESFERKGLIDYNFHCFR KAIHEVFEVRMKMVKSRKAQSRLPKKTGATPNGTPRVLL >ENSMUSP00000029946.7 pep:known chromosome:GRCm38:4:32983155:33022180:1 gene:ENSMUSG00000028278.14 transcript:ENSMUST00000029946.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rragd description:Ras-related GTP binding D [Source:MGI Symbol;Acc:MGI:1098604] MSQVLGKPQPQGEDGGEDEEEDELVGLAGYEDGPESSDAELDSGPEEGESRRNSWMPRSW CSEATRHECWEPGLWRSSHLLGIGGGWRMPFILVLRRQRQADFFLDFSDPFSTEVKPRIL LMGLRRSGKSSIQKVVFHKMSPSETLFLESTNRICREDVSNSSFVNFQIWDFPGQIDFFD PTFDYEMIFRGTGALIFVIDSQDDYMEALARLHLTVTRAYKVNTDINFEVFIHKVDGLSD DHKIETQRDIHQRANDDLADAGLEKIHLSFYLTSIYDHSIFEAFSKVVQKLIPQLPTLEN LLNIFISNSGIEKAFLFDVVSKIYIATDSTPVDMQTYELCCDMIDVVIDISCIYGLKEDG AGAPYDKDSTAIIKLNNTTVLYLKEVTKFLALVCFVREESFERKGLIDYNFHCFRKAIHE VFEVRMKMVKSRKAQSRLPKKTGATPNGTPRVLL >ENSMUSP00000081799.5 pep:known chromosome:GRCm38:4:32983454:33022180:1 gene:ENSMUSG00000028278.14 transcript:ENSMUST00000084747.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rragd description:Ras-related GTP binding D [Source:MGI Symbol;Acc:MGI:1098604] MSWWGWRATRMGPSPRTPSWTAGRRREDDYMEALARLHLTVTRAYKVNTDINFEVFIHKV DGLSDDHKIETQRDIHQRANDDLADAGLEKIHLSFYLTSIYDHSIFEAFSKVVQKLIPQL PTLENLLNIFISNSGIEKAFLFDVVSKIYIATDSTPVDMQTYELCCDMIDVVIDISCIYG LKEDGAGAPYDKDSTAIIKLNNTTVLYLKEVTKFLALVCFVREESFERKGLIDYNFHCFR KAIHEVFEVRMKMVKSRKAQSRLPKKTGATPNGTPRVLL >ENSMUSP00000104048.1 pep:known chromosome:GRCm38:7:25295049:25297312:-1 gene:ENSMUSG00000005447.12 transcript:ENSMUST00000108410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah1b3 description:platelet-activating factor acetylhydrolase, isoform 1b, subunit 3 [Source:MGI Symbol;Acc:MGI:108414] MHQCEIWRELFSPLHALNFGIGGDSTQHVLWRLENGELEHIRPKIVVVWVGTNNHSHTAE QVTGGIKAIVQLVNKLQPQARVVVLGLLPRGQHPNPLREKNRQVNELVRAALAGYPRAHF LDADPGFVHSDGTISHHDMYDYLHLSRLGYTPVCRALHSLLLRLLAQDQGQGIPLPETAS >ENSMUSP00000005583.5 pep:known chromosome:GRCm38:7:25295049:25297986:-1 gene:ENSMUSG00000005447.12 transcript:ENSMUST00000005583.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah1b3 description:platelet-activating factor acetylhydrolase, isoform 1b, subunit 3 [Source:MGI Symbol;Acc:MGI:108414] MSGEGENPASKPTPVQDVQGDGRWMSLHHRFVADSKDKEPEVVFIGDSLVQLMHQCEIWR ELFSPLHALNFGIGGDSTQHVLWRLENGELEHIRPKIVVVWVGTNNHSHTAEQVTGGIKA IVQLVNKLQPQARVVVLGLLPRGQHPNPLREKNRQVNELVRAALAGYPRAHFLDADPGFV HSDGTISHHDMYDYLHLSRLGYTPVCRALHSLLLRLLAQDQGQGIPLPETAS >ENSMUSP00000121250.1 pep:known chromosome:GRCm38:7:25295359:25297882:-1 gene:ENSMUSG00000005447.12 transcript:ENSMUST00000148150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah1b3 description:platelet-activating factor acetylhydrolase, isoform 1b, subunit 3 [Source:MGI Symbol;Acc:MGI:108414] MSGEGENPASKPTPVQDVQGDGRWMSLHHRFVADSKDKEPEVVFIGDSLVQLMHQCEIWR ELFSPLHALNFGIGGDSTQHVLWRLENGELEHIRPKIVVVWVGTNNHSHTAEQVTGGIKA IVQLVNKLQPQARVVVLGLLPRG >ENSMUSP00000120379.1 pep:known chromosome:GRCm38:7:25295966:25297937:-1 gene:ENSMUSG00000005447.12 transcript:ENSMUST00000155118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah1b3 description:platelet-activating factor acetylhydrolase, isoform 1b, subunit 3 [Source:MGI Symbol;Acc:MGI:108414] MSGEGENPASKPTPVQDVQGDGRWMSLHHRFVADSKDKEPEVVFIGDSLVQLMHQCEIWR ELFSPLHALNFGIGGDSTQHVLWRLENGELEHIRPKIVVVWVGTNNHSHTAEQVTGGIKA IVQLVNKLQPQARVVVLVRALEEWQRKRGSGCGGTCL >ENSMUSP00000143198.1 pep:known chromosome:GRCm38:5:145231715:145238322:1 gene:ENSMUSG00000007812.16 transcript:ENSMUST00000200039.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp655 description:zinc finger protein 655 [Source:MGI Symbol;Acc:MGI:1919861] MEEVTSQEAAESPRGHFQPLENQSECLSPELRFLQDTDMEQGFSGAPPVPQVPALPHEGS PGDQAAALLTARYQEFVTFEDVAVHLTREEWGCLDPVQRELYREVMLENYGNVVSLGILL RLPTTRIHCVNSCPALSHTQASAFSGETLAVLTAGITKRWPRDRLSIGSAHPSTETPFPR L >ENSMUSP00000128969.1 pep:known chromosome:GRCm38:5:145231723:145247302:1 gene:ENSMUSG00000007812.16 transcript:ENSMUST00000167316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp655 description:zinc finger protein 655 [Source:MGI Symbol;Acc:MGI:1919861] MEEVTSQEAAESPRGHFQPLENQSECLSPELRFLQDTDMEQGFSGGFPISKPDGISEREQ DLQVFDLESKNREVIRGDCSDGETREENKLLIPKRKISEEVHSYKVRVGKFKQDIAQVPE TREVYKSEDRLERLQEILRKFLFLEREFRQITISKKTFSSEKNTEPEKSFSLDSTLDTDQ RVLRIQTTDDSKYDMNFNQNPAVGEQEPINLTENFQSTDYKESLMDLSHLNKWESMPTTD RSYKCDTCGKTFHQASALTRHQRIHTREKPYKCKECEKSFSQSSSLSRHKRIHTREKSYK CEASDKSCDAPDKSCSQSSDVLQHKKGHAKAKSYKCGTCERVFSRSVHLTQHQRTHKDMS CKCTVCGSDFCHTSYLVEHQRLHHQEKSYEYDECGLAYVKQQGIRFQEKPYSCNECGKDF RLNSHLIQHQRIHTGEKLHECNECGKSFSQTSCLIQHHKMHRKEKSYEYNNYEESFSHSS DLTLQQEVPIRERVFDCDAWEENFSQRAHLIQHERVHTKEKPYECSELGETFSQVQASFN M >ENSMUSP00000142639.1 pep:known chromosome:GRCm38:5:145231729:145237848:1 gene:ENSMUSG00000007812.16 transcript:ENSMUST00000196069.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp655 description:zinc finger protein 655 [Source:MGI Symbol;Acc:MGI:1919861] MEEVTSQEAAESPRGHFQPLENQSECLSPELRFLQDTDMEQGFSGAPPVPQVPALPHEGS PGDQAAALLTARYQAYFSAFPPPGFIV >ENSMUSP00000142754.1 pep:known chromosome:GRCm38:5:145231755:145247301:1 gene:ENSMUSG00000007812.16 transcript:ENSMUST00000199322.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp655 description:zinc finger protein 655 [Source:MGI Symbol;Acc:MGI:1919861] MEEVTSQEAAESPRGHFQPLENQSECLSPELRFLQDTDMEQGFSGAPPVPQVPALPHEGS PGDQAAALLTARYQDFQFRSLMESLSGSKIYKSLIWSLRIEKS >ENSMUSP00000142825.1 pep:known chromosome:GRCm38:5:145231756:145237412:1 gene:ENSMUSG00000007812.16 transcript:ENSMUST00000199003.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp655 description:zinc finger protein 655 [Source:MGI Symbol;Acc:MGI:1919861] MEEVTSQEAAESPRGHFQPLENQSECLSPELRFLQDTDMEQGFSGGILLRLPTTRIHCVN SCPALSHTQASAFSGETLAVLTAGITKRWPRDRLSIGSAHPSTETPFPRL >ENSMUSP00000082803.8 pep:known chromosome:GRCm38:5:145231757:145237282:1 gene:ENSMUSG00000007812.16 transcript:ENSMUST00000085661.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp655 description:zinc finger protein 655 [Source:MGI Symbol;Acc:MGI:1919861] MEEVTSQEAAESPRGHFQPLENQSECLSPELRFLQDTDMEQGFSGGVCDIRGCGCASYTR GVGMPGPCSEGALQRSDVRELWKRGLTGHTSPPSHHPDSLCEFLPSPESYPGKCFLWRNT CSPYGRNHQEMAQGSAFHRECSSQHGNSFSKIVRYHTWLMEHMFL >ENSMUSP00000095103.3 pep:known chromosome:GRCm38:18:89301082:89769528:-1 gene:ENSMUSG00000073514.3 transcript:ENSMUST00000097495.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok6 description:docking protein 6 [Source:MGI Symbol;Acc:MGI:3639495] MASNFNDIVKQGYVKIRSRKLGIFRRCWLVFKKASSKGPRRLEKFPDEKAAYFRNFHKVT ELHNIKNITRLPRETKKHAVAIIFHDETSKTFACESELEAEEWCKHLCMECLGTRLNDIS LGEPDLLAAGVQREQNERFNVYLMPTPNLDIYGECTMQITHENIYLWDIHNAKVKLVMWP LSSLRRYGRDSTWFTFESGRMCDTGEGLFTFQTREGEMIYQKVHSATLAIAEQHERLMLE MEQKARLQTSLTEPMTLSKSISLPRSAYWHHITRQNSVGEIYSLQGHGFGSSKMSRAQTF PSYAPEQSEEAQPPLSRSSSYGFSYSSSLIQ >ENSMUSP00000047128.7 pep:known chromosome:GRCm38:9:26763044:26806432:-1 gene:ENSMUSG00000036395.14 transcript:ENSMUST00000040398.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1l2 description:galactosidase, beta 1-like 2 [Source:MGI Symbol;Acc:MGI:2388283] MPKWSLRRRPGRTLGLLLLLVLSFLVLRRYLNPKVGSCDHEGALRLNWSTLVPLWLQHQR LGLRTKGPDFILEDSIFQILGGSIHYFRVPREYWRDRLLKLKACGLNTLTTYVPWNLHEP ERGKFDFSGNLDLEAFIQLAAKIGLWVILRPGPYICSEIDLGGLPSWLLQDPDMKLRTTY HGFTKAVDLYFDHLMSRVVPLQYKHGGPIIAVQVENEYGSYNKDRAYMPYIKKALEDRGI IEMLLTSDNKDGLEKGVVDGVLATINLQSQQELMALNTVLLSIQGIQPKMVMEYWTGWFD SWGGSHNILDSSEVLQTVSAIIKDGSSINLYMFHGGTNFGFINGAMHFNDYKADVTSYDY DAILTEAGDYTAKYTKLRELFGTVSGIPPPPPPELTAKMVYEPMSPALYLSLWDAIQYMD KPVTSETPINMENLPVNNGNGQAFGYVLYETTIFSSGVLSGLVRDRGQVFLNRVSIGFLD YKTTKITIPLTQGYTILRILVENRGRVNYGNNIDSQRKGLIGNLYLNNKALKNFKIYSLD MTKQFLQRFDMDNWSVIPKELTFPAFFLGALSVGIYPSDTFLKLEGWVKGVVFVNGHNLG RYWNVGPQETLYLPGVWLDKGINKVIIFEETMSGSMVQSTDIPHLGRNQYIN >ENSMUSP00000066770.6 pep:known chromosome:GRCm38:9:26763045:26806468:-1 gene:ENSMUSG00000036395.14 transcript:ENSMUST00000066560.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1l2 description:galactosidase, beta 1-like 2 [Source:MGI Symbol;Acc:MGI:2388283] MPKWSLRRRPGRTLGLLLLLVLSFLVLRRLNWSTLVPLWLQHQRLGLRTKGPDFILEDSI FQILGGSIHYFRVPREYWRDRLLKLKACGLNTLTTYVPWNLHEPERGKFDFSGNLDLEAF IQLAAKIGLWVILRPGPYICSEIDLGGLPSWLLQDPDMKLRTTYHGFTKAVDLYFDHLMS RVVPLQYKHGGPIIAVQVENEYGSYNKDRAYMPYIKKALEDRGIIEMLLTSDNKDGLEKG VVDGVLATINLQSQQELMALNTVLLSIQGIQPKMVMEYWTGWFDSWGGSHNILDSSEVLQ TVSAIIKDGSSINLYMFHGGTNFGFINGAMHFNDYKADVTSYDYDAILTEAGDYTAKYTK LRELFGTVSGIPPPPPPELTAKMVYEPMSPALYLSLWDAIQYMDKPVTSETPINMENLPV NNGNGQAFGYVLYETTIFSSGVLSGLVRDRGQVFLNRVSIGFLDYKTTKITIPLTQGYTI LRILVENRGRVNYGNNIDSQRKGLIGNLYLNNKALKNFKIYSLDMTKQFLQRFDMDNWSV IPKELTFPAFFLGALSVGIYPSDTFLKLEGWVKGVVFVNGHNLGRYWNVGPQETLYLPGV WLDKGINKVIIFEETMSGSMVQSTDIPHLGRNQYIN >ENSMUSP00000125022.1 pep:known chromosome:GRCm38:9:26763768:26806421:-1 gene:ENSMUSG00000036395.14 transcript:ENSMUST00000162702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1l2 description:galactosidase, beta 1-like 2 [Source:MGI Symbol;Acc:MGI:2388283] MPKWSLRRRPGRTLGLLLLLVLSFLVLRSWLLQDPDMKLRTTYHGFTKAVDLYFDHLMSR VVPLQYKHGGPIIAVQVENEYGSYNKDRAYMPYIKKALEDRGIIEMLLTSDNKDGLEKGV VDGVLATINLQSQQELMALNTVLLSIQGIQPKMVMEYWTGWFDSWGGSHNILDSSEVLQT VSAIIKDGSSINLYMFHGGTNFGFINGAMHFNDYKADVTSYDYDAILTEAGDYTAKYTKL RELFGTVSGIPPPPPPELTAKMVYEPMSPALYLSLWDAIQYMDKPVTSETPINMENLPVN NGNGQAFGYVLYETTIFSSGVLSGLVRDRGQVFLNRVSIGFLDYKTTKITIPLTQGYTIL RILVENRGRVNYGNNIDSQRKGLIGNLYLNNKALKNFKIYSLDMTKQFLQRFDMDNWSVI PKELTFPAFFLGALSVGIYPSDTFLKLEGWVKGVVFVNGHNLGRYWNVGPQETLYLPGVW LDKGINKVIIFEETMSGSMVQSTDIPHLGRNQYIN >ENSMUSP00000124415.1 pep:known chromosome:GRCm38:9:26765203:26802585:-1 gene:ENSMUSG00000036395.14 transcript:ENSMUST00000162252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1l2 description:galactosidase, beta 1-like 2 [Source:MGI Symbol;Acc:MGI:2388283] MLNVVSVLFLAAASACSSPAVGPSPGSSAEESHLSRLNWSTLVPLWLQHQRLGLRTKGPD FILEDSIFQILGGSIHYFRVPREYWRDRLLKLKACGLNTLTTYVPWNLHEPERGKFDFSG NLDLEAFIQLAAKIGLWVILRPGPYICSEIDLGGLPSWLLQDPDMKLRTTYHGFTKAVDL YFDHLMSRVVPLQYKHGGPIIAVQVENEYGSYNKDRAYMPYIKKALEDRGIIEMLLTSDN KDGLEKGVVDGVLATINLQSQQELMALNTVLLSIQGIQPKMVMEYWTGWFDSWGGSHNIL DSSEVLQTVSAIIKDGSSINLYMFHGGTNFGFINGAMHFNDYKADVTSYDYDAILTEAGD YTAKYTKLRELFGTVSGIPPPPPPELTAKMVYEPMSPALYLSLWDAIQYMDKPVTSETPI NMENLPVNNGNGQAFGYVLYETTIFSSGVLSGLVRDRGQVFLNRVSIGFLDYKTTKITIP LTQGYTILRILVENRGRVNYGNNIDSQRKGLIGNLYLNNKALKNFKIYSLDMTKQFLQRF DMDNWSVIPKELTFPAFFLGALSVGIYPSDTFLKLEVCRTPFLTCSLIVLGP >ENSMUSP00000123830.1 pep:known chromosome:GRCm38:9:26780694:26806422:-1 gene:ENSMUSG00000036395.14 transcript:ENSMUST00000162378.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glb1l2 description:galactosidase, beta 1-like 2 [Source:MGI Symbol;Acc:MGI:2388283] MPKWSLRRRPGRTLGLLLLLVLSFLVLRRLNWSTLVPLWLQHQRLGLRTKGPDFILEDSI FQILGGSIHYFRVPREYWRDRLLKLKACGLNTLTTYVPWNLHEPERGKFDFSGNLDLEAF IQLAAKIGLWVILRPGPYICSEIDLGGLPSWLLQDPDMKLRTTYHGFTKAVDLYFDHLMS RVVPLQALLNMKEPGSLGFLSLACPSTWSS >ENSMUSP00000121483.1 pep:known chromosome:GRCm38:11:72301629:72309061:1 gene:ENSMUSG00000040483.15 transcript:ENSMUST00000151440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xaf1 description:XIAP associated factor 1 [Source:MGI Symbol;Acc:MGI:3772572] MEADFQVCRNCKRNVASLHFMLHEAHCLRFIVLCPECEEPIPESKMKEHMEVVHQQKQCS APNTVTRIRDESIIVIPSTLAFMDSGNRRSTVSKDVRPKTKNRNSSTKRETKKQNGTVAL PLKSGLQQRADLPTGDETAYDTLQNCCQCRILLPLPILNEHQVLCSPCIPNCLLSCLGTC CSVLKHPSLASQCVAPPVPPSASVSLC >ENSMUSP00000123011.1 pep:known chromosome:GRCm38:11:72301630:72313733:1 gene:ENSMUSG00000040483.15 transcript:ENSMUST00000146233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xaf1 description:XIAP associated factor 1 [Source:MGI Symbol;Acc:MGI:3772572] MEADFQVCRNCKRNVASLHFMLHEAHCLRFIVLCPECEEPIPESKMKEHMEVVHQQTKES QQHPAKCKFCELAVQLSNLDVHESHCGSRTEHCPHCNQPITLQVLSQHKAMCLSAKGRPE EGKRIVSSPGRKTRCDLCKQMIPENTYASHMKQCSAPNTVTRIRDESIIVIPSTLAFMDS GNRRSTVSKDVRPKTKNRNSSTKRETKKQNGTVALPLKSGLQQRADLPTGDETAYDTLQN CCQCRILLPLPILNEHQEKCQRLAHQKKLQWGW >ENSMUSP00000121472.2 pep:known chromosome:GRCm38:11:72301639:72312081:1 gene:ENSMUSG00000040483.15 transcript:ENSMUST00000140842.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xaf1 description:XIAP associated factor 1 [Source:MGI Symbol;Acc:MGI:3772572] MEADFQVCRNCKRNVASLHFMLHEAHCLRFIVLCPECEEPIPESKMKEHMEVVHQQKQCS APNTVTRIRDESIIVIPSTLAFMDSGNRRSTVSKDVRPKTKNRNSSTKRETKKQNGTVAL PLKSGLQQRADLPTGDETAYDTLQNCCQCRILLPLPILNEHQEKCQRLAHQKKLQWGW >ENSMUSP00000091583.3 pep:known chromosome:GRCm38:11:72301663:72308749:1 gene:ENSMUSG00000040483.15 transcript:ENSMUST00000094041.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xaf1 description:XIAP associated factor 1 [Source:MGI Symbol;Acc:MGI:3772572] MEADFQVCRNCKRNVASLHFMLHEAHCLRFIVLCPECEEPIPESKMKEHMEVVHQQGREL YHLLEEKPVVIFANK >ENSMUSP00000036881.8 pep:known chromosome:GRCm38:17:35956819:35969761:-1 gene:ENSMUSG00000038762.15 transcript:ENSMUST00000043757.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcf1 description:ATP-binding cassette, sub-family F (GCN20), member 1 [Source:MGI Symbol;Acc:MGI:1351658] MPKGPKQQPPEPEWIGDGEGTSPADKVVKKGKKDKKTKKTFFEELAVEDKQAGEEEKLQK EKEQQQQQQQQKKKRDTRKGRRKKDVDDDSDERVLMERLKQLSVPASDEEDEVPAPIPRG RKKAKGGNVFEALIQDDSEEEEEEEENRVLKPAKPEKNRINKAVAEEPPGLRSKKGKEEK SKGKAKSKPAAADSEGEEEEEDTAKEKEPPQQGKDRDKKEAEQGSGEEKEEKEGDLKAND PYANLSKKEKKKLKKQMDYERQVESLKAANAAENDFSVSQAEVSSRQAMLENASDIKLEK FSISAHGKELFVNADLYIVAGRRYGLVGPNGKGKTTLLKHIANRALSIPPNIDVLLCEQE VVADETPAVQAVLRADTKRLRLLEEERRLQGQLEQGDDTAAEKLEKVYEELRATGAAAAE AKARRILAGLGFDPEMQNRPTQKFSGGWRMRVSLARALFMEPTLLMLDEPTNHLDLNAVI WLNNYLQGWRKTLLIVSHDQGFLDDVCTDIIHLDTQRLHYYRGNYMTFKKMYQQKQKELL KQYEKQEKKLKELKAGGKSTKQAEKQTKEVLTRKQQKCRRKNQDEESQEPPELLKRPKEY TVRFTFPDPPPLSPPVLGLHGVTFGYEGQKPLFKNLDFGIDMDSRICIVGPNGVGKSTLL LLLTGKLTPTNGEMRKNHRLKIGFFNQQYAEQLHMEETPTEYLQRSFNLPYQDARKCLGR FGLESHAHTIQICKLSGGQKARVVFAELACREPDVLILDEPTNNLDIESIDALGEAINDY KGAVIVVSHDARLITETNCQLWVVEEQGVSQIDGDFDDYKREVLEALGEVMVNRPRD >ENSMUSP00000134716.1 pep:known chromosome:GRCm38:17:35963639:35969359:-1 gene:ENSMUSG00000038762.15 transcript:ENSMUST00000174128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcf1 description:ATP-binding cassette, sub-family F (GCN20), member 1 [Source:MGI Symbol;Acc:MGI:1351658] MERLKQLSVPASDEEDEVPAPIPRGRKKAKGGNVFEALIQDDS >ENSMUSP00000134092.1 pep:known chromosome:GRCm38:17:35964254:35969761:-1 gene:ENSMUSG00000038762.15 transcript:ENSMUST00000172661.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcf1 description:ATP-binding cassette, sub-family F (GCN20), member 1 [Source:MGI Symbol;Acc:MGI:1351658] MPKGPKQQPPEPEWIGDGEGTSPADVLSAKPWGNTPLIPASGSRGRRI >ENSMUSP00000068123.7 pep:known chromosome:GRCm38:6:71373427:71379169:1 gene:ENSMUSG00000053977.13 transcript:ENSMUST00000066747.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd8a description:CD8 antigen, alpha chain [Source:MGI Symbol;Acc:MGI:88346] MASPLTRFLSLNLLLLGESIILGSGEAKPQAPELRIFPKKMDAELGQKVDLVCEVLGSVS QGCSWLFQNSSSKLPQPTFVVYMASSHNKITWDEKLNSSKLFSAMRDTNNKYVLTLNKFS KENEGYYFCSVISNSVMYFSSVVPVLQKVNSTTTKPVLRTPSPVHPTGTSQPQRPEDCRP RGSVKGTGLDFACDIYIWAPLAGICVALLLSLIITLICYHRSRKRVCKCPRPLVRQEGKP RPSEKIV >ENSMUSP00000131873.1 pep:known chromosome:GRCm38:6:71373553:71379173:1 gene:ENSMUSG00000053977.13 transcript:ENSMUST00000172321.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd8a description:CD8 antigen, alpha chain [Source:MGI Symbol;Acc:MGI:88346] MASPLTRFLSLNLLLLGESIILGSGEAKPQAPELRIFPKKMDAELGQKVDLVCEVLGSVS QGCSWLFQNSSSKLPQPTFVVYMASSHNKITWDEKLNSSKLFSAMRDTNNKYVLTLNKFS KENEGYYFCSVISNSVMYFSSVVPVLQKVNSTTTKPVLRTPSPVHPTGTSQPQRPEDCRP RGSVKGTGLDFACDIYIWAPLAGICVALLLSLIITLICYHSR >ENSMUSP00000072298.4 pep:known chromosome:GRCm38:17:22361455:22376256:1 gene:ENSMUSG00000044501.17 transcript:ENSMUST00000072477.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp758 description:zinc finger protein 758 [Source:MGI Symbol;Acc:MGI:2385044] MNSSLVNAPQGLLTFKDVAVDFSQEEWECLDCAQRALYMDVMLENYNNLFFVENHYICHK YENFLDQDTQHIVHEDINIKLKSYKCHELAKIIYESSQSTPYKTNLRDTSIESSNLNRHE TGNTREPCKYKNCVNCLNLCSSIGLNQGIHIGKKDDETFYSNQNLMLKQTKSRKKHYKCS EYDKCFIQKGDLRSHQRMHTGEKPYKCSECNKCFTLKSNLGIHQKIHTGKKPYRCFDCDK CFTNKYNLRTHQRIHSGEKPYKCSECDKCFIQKFSLITHQRIHTGEKPYKCSECDKCFTN KSDLRGHQRVHTGEKPYKCSECDKCFTHKGSLSIHHRLHSGEKPYKCSECDKCFTQKCNL LTHQRIHTGEKPYKCAECDKCFTNRSDLRSHKKIHTGEKPYKCSECDKCFTHKFSLSIHQ RLHSGEKPYKCSECDKCFTQKCNLLIHQRIHTEKKPYKCSECDKCFIQKCSLLIHQRIHT GEKPYKCAECAKCFTDKSDLINHQRIHTGEKPYKCSECDKCFTHKCSLSIHQGIHARAKL KK >ENSMUSP00000113532.1 pep:known chromosome:GRCm38:17:22361522:22377281:1 gene:ENSMUSG00000044501.17 transcript:ENSMUST00000121315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp758 description:zinc finger protein 758 [Source:MGI Symbol;Acc:MGI:2385044] MNSSLVNAPQGLLTFKDVAVDFSQEEWECLDCAQRALYMDVMLENYNNLFFVENHYICHK YENFLDQDTQHIVHEDINIKLKSYKCHELAKIIYESSQSTPYKTNLRDTSIESSNLNRHE TGNTREPCKYKNCVNCLNLCSSIGLNQGIHIGKKDDETFYSNQNLMLKQTKSRKKHYKCS EYDKCFIQKGDLRSHQRMHTGEKPYKCSECNKCFTLKSNLGIHQKIHTGKKPYRCFDCDK CFTNKYNLRTHQRIHSGEKPYKCSECDKCFIQKFSLITHQRIHTGEKPYKCSECDKCFTN KSDLRGHQRVHTGEKPYKCSECDKCFTHKGSLSIHHRLHSGEKPYKCSECDKCFTQKCNL LTHQRIHTGEKPYKCAECDKCFTNRSDLRSHKKIHTGEKPYKCSECDKCFTHKFSLSIHQ RLHSGEKPYKCSECDKCFTQKCNLLIHQRIHTEKKPYKCSECDKCFIQKCSLLIHQRIHT GEKPYKCAECAKCFTDKSDLINHQRIHTGEKPYKCSECDKCFTHKCSLSIHQGIHARAKL KKYSECDKFFI >ENSMUSP00000121288.1 pep:known chromosome:GRCm38:17:22361453:22377281:1 gene:ENSMUSG00000044501.17 transcript:ENSMUST00000149699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp758 description:zinc finger protein 758 [Source:MGI Symbol;Acc:MGI:2385044] MCTRTERWFFGPSFLRKPESSSDSVLWLRTWESQQRSPGRSQGLLTFKDVAVDFSQEEWE CLDCAQRALYMDVMLENYNNLFFVENHYICHKYENFLDQDTQHIVHEDINIKLKSYKCHE LAKIIYESSQSTPYKTNLRDTSIESSNLNRHETGNTREPCKYKNCVNCLNLCSSIGLNQG IHIGKKDDETFYSNQNLMLKQTKSRKKHYKCSEYDKCFIQKGDLRSHQRMHTGEKPYKCS ECNKCFTLKSNLGIHQKIHTGKKPYRCFDCDKCFTNKYNLRTHQRIHSGEKPYKCSECDK CFIQKFSLITHQRIHTGEKPYKCSECDKCFTNKSDLRGHQRVHTGEKPYKCSECDKCFTH KGSLSIHHRLHSGEKPYKCSECDKCFTQKCNLLTHQRIHTGEKPYKCAECDKCFTNRSDL RSHKKIHTGEKPYKCSECDKCFTHKFSLSIHQRLHSGEKPYKCSECDKCFTQKCNLLIHQ RIHTEKKPYKCSECDKCFIQKCSLLIHQRIHTGEKPYKCAECAKCFTDKSDLINHQRIHT GEKPYKCSECDKCFTHKCSLSIHQGIHARAKLKKYSECDKFFI >ENSMUSP00000086143.2 pep:known chromosome:GRCm38:17:22361453:22377280:1 gene:ENSMUSG00000044501.17 transcript:ENSMUST00000088765.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp758 description:zinc finger protein 758 [Source:MGI Symbol;Acc:MGI:2385044] MNSSLVNAPQGLLTFKDVAVDFSQEEWECLDCAQRALYMDVMLENYNNLFFVENHYICHK YENFLDQDTQHIVHEDINIKLKSYKCHELAKIIYESSQSTPYKTNLRDTSIESSNLNRHE TGNTREPCKYKNCVNCLNLCSSIGLNQGIHIGKKDDETFYSNQNLMLKQTKSRKKHYKCS EYDKCFIQKGDLRSHQRMHTGEKPYKCSECNKCFTLKSNLGIHQKIHTGKKPYRCFDCDK CFTNKYNLRTHQRIHSGEKPYKCSECDKCFIQKFSLITHQRIHTGEKPYKCSECDKCFTN KSDLRGHQRVHTGEKPYKCSECDKCFTHKGSLSIHHRLHSGEKPYKCSECDKCFTQKCNL LTHQRIHTGEKPYKCAECDKCFTNRSDLRSHKKIHTGEKPYKCSECDKCFTHKFSLSIHQ RLHSGEKPYKCSECDKCFTQKCNLLIHQRIHTEKKPYKCSECDKCFIQKCSLLIHQRIHT GEKPYKCAECAKCFTDKSDLINHQRIHTGEKPYKCSECDKCFTHKCSLSIHQGIHARAKL KKYSECDKFFI >ENSMUSP00000019808.5 pep:known chromosome:GRCm38:17:56111601:56117596:-1 gene:ENSMUSG00000011305.11 transcript:ENSMUST00000019808.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin5 description:perilipin 5 [Source:MGI Symbol;Acc:MGI:1914218] MDQRGEDTTLAPHSRMSGDQTAQDPGSSLGELDQQNVVNRVVALPLVKATCTAVSSAYNS AKDRHPLLGSACRLAEHCVCSVTTCALDHAQPLLEHLQPQLATVNDLACRGLDKLEEKLP FLQQPSDMVVTSAKDTVAKSVTGMVDLAQRGRRWSGELRRSMSQAMDMVLGKSEKLVDRF LPMTEAELAVLAAEAEGPEVGTVEEQRQQQGYFVRLGSLSARLRHLAYEHSLGKLRQSKH RTQEMLAQLQETLELIQHMQRGASPSPTFHPPKTQELWGSWSPCLENGRSHSEVELETLA LSRSLTLELQNAVDALAGCVRGLPPSAQAKVAEVQRSVDALQATFADAHCLGDVAPTALA EGRGSVARAHACVDEFLDLVLRAMPLPWLVGPFAPILVEQSEPLINLATCVDEVVGDPDP RWAHMDWPAQKRAWEAESADPGGQEAEPPRGQGKHTMMPELDF >ENSMUSP00000108695.2 pep:known chromosome:GRCm38:17:56111607:56117298:-1 gene:ENSMUSG00000011305.11 transcript:ENSMUST00000113072.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin5 description:perilipin 5 [Source:MGI Symbol;Acc:MGI:1914218] MDQRGEDTTLAPHSRMSGDQTAQDPGSSLGELDQQNVVNRVVALPLVKATCTAVSSAYNS AKDRHPLLGSACRLAEHCVCSVTTCALDHAQPLLEHLQPQLATVNDLACRGLDKLEEKLP FLQQPSDMVVTSAKDTVAKSVTGMVDLAQRGRRWSGELRRSMSQAMDMVLGKSEKLVDRF LPMTEAELAVLAAEAEGPEVGTVEEQRQQQGYFVRLGSLSARLRHLAYEHSLGKLRQSKH RTQEMLAQLQETLELIQHMQRGASPSPTFHPPKTQELWGSWSPCLENGRSHSEVELETLA LSRSLTLELQNAVDALAGCVRGLPPSAQAKVAEVQRSVDALQATFADAHCLGDVAPTALA EGRGSVARAHACVDEFLDLVLRAMPLPWLVGPFAPILVEQSEPLINLATCVDEVVGDPDP RWAHMDWPAQKRAWEAESADPGGQEAEPPRGQGKHTMMPELDF >ENSMUSP00000093426.3 pep:known chromosome:GRCm38:6:86404219:86431201:1 gene:ENSMUSG00000071337.11 transcript:ENSMUST00000095754.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tia1 description:cytotoxic granule-associated RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:107914] MEDEMPKTLYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDTAGNDPYCFVEFHEHRHAA AALAAMNGRKIMGKEVKVNWATTPSSQKKDTSSSTVVSTQRSQDHFHVFVGDLSPEITTE DIKAAFAPFGRISDARVVKDMATGKSKGYGFVSFFNKWDAENAIQQMGGQWLGGRQIRTN WATRKPPAPKSTYESNTKQLSYDEVVSQSSPNNCTVYCGGVTSGLTEQLMRQTFSPFGQI MEIRVFPDKGYSFVRFSSHESAAHAIVSVNGTTIEGHVVKCYWGKETLDMINPVQQQNQI GYPPTYGQWGQWYGNAQQIGQYVPNGWQVPAYGVYGQPWSQQGFNQTQSSAPWMGPNYSV PPPQGQNGSMLPSQPAGYRVAGFKE >ENSMUSP00000093425.2 pep:known chromosome:GRCm38:6:86404219:86433405:1 gene:ENSMUSG00000071337.11 transcript:ENSMUST00000095753.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tia1 description:cytotoxic granule-associated RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:107914] MEDEMPKTLYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDTAGNDPYCFVEFHEHRHAA AALAAMNGRKIMGKEVKVNWATTPSSQKKDTSSSTVVSTQRSQDHFHVFVGDLSPEITTE DIKAAFAPFGRISDARVVKDMATGKSKGYGFVSFFNKWDAENAIQQMGGQWLGGRQIRTN WATRKPPAPKSTYESNTKQLSYDEVVSQSSPNNCTVYCGGVTSGLTEQLMRQTFSPFGQI MEIRVFPDKGYSFVRFSSHESAAHAIVSVNGTTIEGHVVKCYWGKETLDMINPVQQQNQI GYPPTYGQWGQWYGNAQQIGQYVPNGWQVPAYGVYGQPWSQQGFNQTQSSAPWMGPNYSV PPPQGQNGSMLPSQPAGYRVAGYETQ >ENSMUSP00000144857.1 pep:known chromosome:GRCm38:6:86404296:86427753:1 gene:ENSMUSG00000071337.11 transcript:ENSMUST00000148728.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tia1 description:cytotoxic granule-associated RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:107914] MEDEMPKTLYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDTAGNDPYCFVEFHEHRHAA AALAAMNGRKIMGKEVKVNWATTPSSQKKDTSNHFHVFVGDLSPEITTEDIKAAFAPFGR ISVSLKNGQNCPG >ENSMUSP00000093424.2 pep:known chromosome:GRCm38:6:86404313:86432185:1 gene:ENSMUSG00000071337.11 transcript:ENSMUST00000095752.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tia1 description:cytotoxic granule-associated RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:107914] MEDEMPKTLYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDTAGNDPYCFVEFHEHRHAA AALAAMNGRKIMGKEVKVNWATTPSSQKKDTSNHFHVFVGDLSPEITTEDIKAAFAPFGR ISDARVVKDMATGKSKGYGFVSFFNKWDAENAIQQMGGQWLGGRQIRTNWATRKPPAPKS TYESNTKQLSYDEVVSQSSPNNCTVYCGGVTSGLTEQLMRQTFSPFGQIMEIRVFPDKGY SFVRFSSHESAAHAIVSVNGTTIEGHVVKCYWGKETLDMINPVQQQNQIGYPPTYGQWGQ WYGNAQQIGQYVPNGWQVPAYGVYGQPWSQQGFNQTQSSAPWMGPNYSVPPPQGQNGSML PSQPAGYRVAGYETQ >ENSMUSP00000118558.1 pep:known chromosome:GRCm38:6:86404314:86430771:1 gene:ENSMUSG00000071337.11 transcript:ENSMUST00000130967.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tia1 description:cytotoxic granule-associated RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:107914] MEDEMPKTLYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDTAGNDPYCFVEFHEHRHAA AALAAMNGRKIMGKEVKVNWATTPSSQKKDTSNHFHVFVGDLSPEITTEDIKAAFAPFGR ISDARVVKDMATGKSKGYGFVSFFNKWDAENAIQQMGGQWLGGRQIRTNWATRKPPAPKS TYESNTKQLSYDEVVSQSSPNNCTVYCGGVTSGLTEQLMRQTFSPFGQIMEIRVFPDKGY SFVRQTQSSAPWMGPNYSVPPPQGQNGSMLPSQPAGYRVAGYETQ >ENSMUSP00000145218.1 pep:known chromosome:GRCm38:6:86404317:86427808:1 gene:ENSMUSG00000071337.11 transcript:ENSMUST00000154438.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tia1 description:cytotoxic granule-associated RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:107914] MEDEMPKTLYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDTAGNDPYCFVEFHEHRHAA AALAAMNGRKIMGKEVKVNWATTPSSQKKDTSI >ENSMUSP00000138652.1 pep:known chromosome:GRCm38:6:86404325:86433227:1 gene:ENSMUSG00000071337.11 transcript:ENSMUST00000113712.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tia1 description:cytotoxic granule-associated RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:107914] MEDEMPKTLYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDTAGNDPYCFVEFHEHRHAA AALAAMNGRKIMGKEVKVNWATTPSSQKKDTSSSTVVSTQRSQDHFHVFVGDLSPEITTE DIKAAFAPFGRISDARVVKDMATGKSKGYGFVSFFNKWDAENAIQQMGGQWLGGRQIRTN WATRKPPAPKSTYECRCIGEEKQRWHCGEKIH >ENSMUSP00000109342.2 pep:known chromosome:GRCm38:6:86404336:86432180:1 gene:ENSMUSG00000071337.11 transcript:ENSMUST00000113713.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tia1 description:cytotoxic granule-associated RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:107914] MEDEMPKTLYVGNLSRDVTEALILQLFSQIGPCKNCKMIMDVRTAGNDPYCFVEFHEHRH AAAALAAMNGRKIMGKEVKVNWATTPSSQKKDTSNHFHVFVGDLSPEITTEDIKAAFAPF GRISDARVVKDMATGKSKGYGFVSFFNKWDAENAIQQMGGQWLGGRQIRTNWATRKPPAP KSTYESNTKQLSYDEVVSQSSPNNCTVYCGGVTSGLTEQLMRQTFSPFGQIMEIRVFPDK GYSFVRFSSHESAAHAIVSVNGTTIEGHVVKCYWGKETLDMINPVQQQNQIGYPPTYGQW GQWYGNAQQIGQYVPNGWQVPAYGVYGQPWSQQGFNQTQSSAPWMGPNYSVPPPQGQNGS MLPSQPAGYRVAGYETQ >ENSMUSP00000145407.1 pep:known chromosome:GRCm38:6:86425476:86430302:1 gene:ENSMUSG00000071337.11 transcript:ENSMUST00000123267.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tia1 description:cytotoxic granule-associated RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:107914] EVVSQSSPNNCTVYCGGVTSGLTEQLMRQTFSPFGQIMEIRVFPDKGYSFVRFSSHESAA HAIVSVNGTTIEGHVVKCYWGKETLDMINPVQQFRVVQSWIAEVT >ENSMUSP00000074471.3 pep:known chromosome:GRCm38:15:94543643:94568306:1 gene:ENSMUSG00000059883.16 transcript:ENSMUST00000074936.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak4 description:interleukin-1 receptor-associated kinase 4 [Source:MGI Symbol;Acc:MGI:2182474] MNKPLTPSTYIRNLNVGILRKLSDFIDPQEGWKKLAVAIKKPSGDDRYNQFHIRRFEALL QTGKSPTCELLFDWGTTNCTVGDLVDLLVQIELFAPATLLLPDAVPQTVKSLPPREAATV AQTHGPCQEKDRTSVMPMPKLEHSCEPPDSSSPDNRSVESSDTRFHSFSFHELKSITNNF DEQPASAGGNRMGEGGFGVVYKGCVNNTIVAVKKLGAMVEISTEELKQQFDQEIKVMATC QHENLVELLGFSSDSDNLCLVYAYMPNGSLLDRLSCLDGTPPLSWHTRCKVAQGTANGIR FLHENHHIHRDIKSANILLDKDFTAKISDFGLARASARLAQTVMTSRIVGTTAYMAPEAL RGEITPKSDIYSFGVVLLELITGLAAVDENREPQLLLDIKEEIEDEEKTIEDYTDEKMSD ADPASVEAMYSAASQCLHEKKNRRPDIAKVQQLLQEMSA >ENSMUSP00000104871.1 pep:known chromosome:GRCm38:15:94543672:94581815:1 gene:ENSMUSG00000059883.16 transcript:ENSMUST00000109248.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak4 description:interleukin-1 receptor-associated kinase 4 [Source:MGI Symbol;Acc:MGI:2182474] MNKPLTPSTYIRNLNVGILRKLSDFIDPQEGWKKLAVAIKKPSGDDRYNQFHIRRFEALL QTGKSPTCELLFDWGTTNCTVGDLVDLLVQIELFAPATLLLPDAVPQTVKSLPPREAATV AQTHGPCQEKDRTSVMPMPKLEHSCEPPDSSSPDNRSVESSDTRFHSFSFHELKSITNNF DEQPASAGGNRMGEGGFGVVYKGCVNNTIVAVKKLGAMVEISTEELKQQFDQEIKVMATC QHENLVELLGFSSDSDNLCLVYAYMPNGSLLDRLSCLDGTPPLSWHTRCKVAQGTANGIR FLHENHHIHRDIKSANILLDKDFTAKISDFGLARASARLAQTVMTSRIVGTTAYMAPEAL RGEITPKSDIYSFGVVLLELITGLAAVDENREPQLLLDIKEEIEDEEKTIEDYTDEKMSD ADPASVEAMYSAASQCLHEKKNRRPDIAKDLSV >ENSMUSP00000031490.6 pep:known chromosome:GRCm38:5:110784488:110810097:-1 gene:ENSMUSG00000029512.11 transcript:ENSMUST00000031490.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ulk1 description:unc-51 like kinase 1 [Source:MGI Symbol;Acc:MGI:1270126] MEPGRGGVETVGKFEFSRKDLIGHGAFAVVFKGRHREKHDLEVAVKCINKKNLAKSQTLL GKEIKILKELKHENIVALYDFQEMANSVYLVMEYCNGGDLADYLHTMRTLSEDTVRLFLQ QIAGAMRLLHSKGIIHRDLKPQNILLSNPGGRRANPSNIRVKIADFGFARYLQSNMMAAT LCGSPMYMAPEVIMSQHYDGKADLWSIGTIVYQCLTGKAPFQASSPQDLRLFYEKNKTLV PAIPRETSAPLRQLLLALLQRNHKDRMDFDEFFHHPFLDASTPIKKSPPVPVPSYPSSGS GSSSSSSSASHLASPPSLGEMPQLQKTLTSPADAAGFLQGSRDSGGSSKDSCDTDDFVMV PAQFPGDLVAEAASAKPPPDSLLCSGSSLVASAGLESHGRTPSPSPTCSSSPSPSGRPGP FSSNRYGASVPIPVPTQVHNYQRIEQNLQSPTQQQTARSSAIRRSGSTSPLGFGRASPSP PSHTDGAMLARKLSLGGGRPYTPSPQVGTIPERPSWSRVPSPQGADVRVGRSPRPGSSVP EHSPRTTGLGCRLHSAPNLSDFHVVRPKLPKPPTDPLGATFSPPQTSAPQPCPGLQSCRP LRGSPKLPDFLQRSPLPPILGSPTKAGPSFDFPKTPSSQNLLTLLARQGVVMTPPRNRTL PDLSEASPFHGQQLGSGLRPAEDTRGPFGRSFSTSRITDLLLKAAFGTQASDSGSTDSLQ EKPMEIAPSAGFGGTLHPGARGGGASSPAPVVFTVGSPPSGATPPQSTRTRMFSVGSSSS LGSTGSSSARHLVPGACGEAPELSAPGHCCSLADPLAANLEGAVTFEAPDLPEETLMEQE HTETLHSLRFTLAFAQQVLEIAALKGSASEAAGGPEYQLQESVVADQISQLSREWGFAEQ LVLYLKVAELLSSGLQTAIDQIRAGKLCLSSTVKQVVRRLNELYKASVVSCQGLSLRLQR FFLDKQRLLDGIHGVTAERLILSHAVQMVQSAALDEMFQHREGCVPRYHKALLLLEGLQH TLTDQADIENIAKCKLCIERRLSALLSGVYA >ENSMUSP00000143380.1 pep:known chromosome:GRCm38:5:110786000:110787945:-1 gene:ENSMUSG00000029512.11 transcript:ENSMUST00000196094.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ulk1 description:unc-51 like kinase 1 [Source:MGI Symbol;Acc:MGI:1270126] QEHTETLHSLRFTLAFAQQVLEIAALKGSASEAAGGPEYQLQESVVADQISQLSREWGWL SCCPQAYRLPLTRFELANSAFHLL >ENSMUSP00000143308.1 pep:known chromosome:GRCm38:5:110786022:110789053:-1 gene:ENSMUSG00000029512.11 transcript:ENSMUST00000198561.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ulk1 description:unc-51 like kinase 1 [Source:MGI Symbol;Acc:MGI:1270126] FSTSRITDLLLKAAFGTQASDSGSTDSLQEKPMEIAPSAGFGGTLHPGARGGGASSPAPV VFTVGSPPSGATPPQSTPLQSNWFCT >ENSMUSP00000143536.1 pep:known chromosome:GRCm38:5:110786094:110810053:-1 gene:ENSMUSG00000029512.11 transcript:ENSMUST00000200299.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ulk1 description:unc-51 like kinase 1 [Source:MGI Symbol;Acc:MGI:1270126] MEPGRGGVETVGKFEFSRKDLIGHGAFAVVFKGRHREKHDLEVAVKCINKKNLAKSQTLL GKEIKILKELKHENIVALYDFQEMANSVYLVMEYCNGGDLADYLHTMRTLSEDTVRLFLQ QIAGAMRLLHSKGIIHRDLKPQNILLSNPGGRRANPSNIRVKIADFGFARYLQSNMMAAT LCGSPMYMAPEVIMSQHYDGKADLWSIGTIVYQCLTGKAPFQASSPQDLRLFYEKNKTLV PAIPRETSAPLRQLLLALLQRNHKDRMDFDEFFHHPFLDASTPIKKSPPVPVPSYPSSGS GSSSSSSSASHLASPPSLGEMPQLQKTLTSPADAAGFLQGSRDSGGSSKDSCDTDDFVMV PAQFPGDLVAEAASAKPPPDSLLCSGSSLVASAGLESHGRTPSPSPTCSSSPSPSGRPGP FSSNRYGASVPIPVPTQVHNYQRIEQNLQSPTQQQTARSSAIRRSGSTSPLGFGRASPSP PSHTDGAMLARKLSLGGGRPYTPSPQATLFLPVGTIPERPSWSRVPSPQGADVRVGRSPR PGSSVPEHSPRTTGLGCRLHSAPNLSDFHVVRPKLPKPPTDPLGATFSPPQTSAPQPCPG LQSCRPLRGSPKLPDFLQRSPLPPILGSPTKAGPSFDFPKTPSSQNLLTLLARQGVVMTP PRNRTLPDLSEASPFHGQQLGSGLRPAEDTRGPFGRSFSTSRITDLLLKAAFGTQASDSG STDSLQEKPMEIAPSAGFGGTLHPGARGGGASSPAPVVFTVGSPPSGATPPQSTRTRMFS VGSSSSLGSTGSSSARHLVPGACGEAPELSAPGHCCSLADPLAANLEGAVTFEAPDLPEE TLMEQEHTETLHSLRFTLAFAQQVLEIAALKGSASEAAGGPEYQLQESVVADQISQLSRE WGFAEQLVLYLKVAELLSSGLQTAIDQIRAGKLCLSSTVKQVVRRLNELYKASVVSCQGL SLRLQRFFLDKQRLLDGIHGVTAERLILSHAVQMVQSAALDEMFQHREGCVPRYHKALLL LEGLQHTLTDQADIENIAKCKLCIERRLSALLSGVYA >ENSMUSP00000122261.1 pep:known chromosome:GRCm38:2:154791402:155047727:1 gene:ENSMUSG00000027596.10 transcript:ENSMUST00000137333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:a description:nonagouti [Source:MGI Symbol;Acc:MGI:87853] MDVTRLLLATLVGFLCFFTVHSHLALEETLGDDRSLRSNSSMNSLDFSSVSIVALNKKSK KISRKEAEKR >ENSMUSP00000105319.1 pep:known chromosome:GRCm38:2:154951219:155051011:1 gene:ENSMUSG00000027596.10 transcript:ENSMUST00000109697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:a description:nonagouti [Source:MGI Symbol;Acc:MGI:87853] MDVTRLLLATLVGFLCFFTVHSHLALEETLGDDRSLRSNSSMNSLDFSSVSIVALNKKSK KISRKEAEKRKRSSKKKASMKKVARPPPPSPCVATRDSCKPPAPACCDPCASCQCRFFGS ACTCRVLNPNC >ENSMUSP00000121072.1 pep:known chromosome:GRCm38:2:155013472:155050632:1 gene:ENSMUSG00000027596.10 transcript:ENSMUST00000148402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:a description:nonagouti [Source:MGI Symbol;Acc:MGI:87853] MDVTRLLLATLVGFLCFFTVHSHLALEETLGDDRSLRSNSSMNSLDFSSVSIVALNKKSK KISRKEAEKRKRSSKK >ENSMUSP00000029123.2 pep:known chromosome:GRCm38:2:155013531:155051012:1 gene:ENSMUSG00000027596.10 transcript:ENSMUST00000029123.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:a description:nonagouti [Source:MGI Symbol;Acc:MGI:87853] MDVTRLLLATLVGFLCFFTVHSHLALEETLGDDRSLRSNSSMNSLDFSSVSIVALNKKSK KISRKEAEKRKRSSKKKASMKKVARPPPPSPCVATRDSCKPPAPACCDPCASCQCRFFGS ACTCRVLNPNC >ENSMUSP00000029367.5 pep:known chromosome:GRCm38:3:73635808:73708415:-1 gene:ENSMUSG00000027792.11 transcript:ENSMUST00000029367.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bche description:butyrylcholinesterase [Source:MGI Symbol;Acc:MGI:894278] MQTQHTKVTQTHFLLWILLLCMPFGKSHTEEDFIITTKTGRVRGLSMPVLGGTVTAFLGI PYAQPPLGSLRFKKPQPLNKWPDIHNATQYANSCYQNIDQAFPGFQGSEMWNPNTNLSED CLYLNVWIPVPKPKNATVMVWIYGGGFQTGTSSLPVYDGKFLARVERVIVVSMNYRVGAL GFLAFPGNPDAPGNMGLFDQQLALQWVQRNIAAFGGNPKSITIFGESAGAASVSLHLLCP QSYPLFTRAILESGSSNAPWAVKHPEEARNRTLTLAKFTGCSKENEMEMIKCLRSKDPQE ILRNERFVLPSDSILSINFGPTVDGDFLTDMPHTLLQLGKVKKAQILVGVNKDEGTAFLV YGAPGFSKDNDSLITRKEFQEGLNMYFPGVSRLGKEAVLFYYVDWLGEQSPEVYRDALDD VIGDYNIICPALEFTKKFAELENNAFFYFFEHRSSKLPWPEWMGVMHGYEIEFVFGLPLG RRVNYTRAEEIFSRSIMKTWANFAKYGHPNGTQGNSTMWPVFTSTEQKYLTLNTEKSKIY SKLRAPQCQFWRLFFPKVLEMTGDIDETEQEWKAGFHRWSNYMMDWQNQFNDYTSKKESC TAL >ENSMUSP00000141329.1 pep:known chromosome:GRCm38:3:73701568:73708267:-1 gene:ENSMUSG00000027792.11 transcript:ENSMUST00000138216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bche description:butyrylcholinesterase [Source:MGI Symbol;Acc:MGI:894278] MQTQHTKVTQTHFLLWILLLCMPFGKSHTEEDFIITTKTGRVRGLSMPVLGGTVTAFLGI PYAQPPLGSLRFKKPQPLNKWPDIHNATQYANSCYQNIDQAFPGFQGSEMWNPNTNLSED CLYLNVWIPVPKPKNATVMVWIYGGGFQTGTSSLPVYDGKFLARVERVIVVSMN >ENSMUSP00000091318.3 pep:known chromosome:GRCm38:9:92249750:92272278:1 gene:ENSMUSG00000032369.13 transcript:ENSMUST00000093801.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr1 description:phospholipid scramblase 1 [Source:MGI Symbol;Acc:MGI:893575] MENHSKQTEAPHPGTYMPAGYPPPYPPAAFQGPSDHAAYPIPQAGYQGPPGPYPGPQPGY PVPPGGYAGGGPSGFPVQNQPAYNHPGGPGGTPWMPAPPPPLNCPPGLEYLAQIDQLLVH QQIELLEVLTGFETNNKYEIKNSLGQRVYFAVEDTDCCTRNCCGASRPFTLRILDNLGRE VMTLERPLRCSSCCFPCCLQEIEIQAPPGVPVGYVTQTWHPCLPKFTLQNEKKQDVLKVV GPCVVCSCCSDIDFELKSLDEESVVGKISKQWSGFVREAFTDADNFGIQFPLDLDVKMKA VMLGACFLIDFMFFERTGNEEQRSGAWQ >ENSMUSP00000139479.1 pep:known chromosome:GRCm38:9:92250342:92271975:1 gene:ENSMUSG00000032369.13 transcript:ENSMUST00000186364.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr1 description:phospholipid scramblase 1 [Source:MGI Symbol;Acc:MGI:893575] MENHSKQTEAPHPGTYMPAGYPPPYPPAAFQGPSDHAAYPIPQAGYQGPPGPYPGPQPGY PVPPGGYAGGGPSGFPVQNQPAYNHPGGPGGTPWMPAPPPPLNCPPGLEYLAQIDQLLVH QQIELLEVLTGFETNNKYEIKNSLGQRVYFAVEDTDCCTRNCCGASRPFTLRILDNLGRE VMTLERPLRCSSCCFPCCLQEIEIQAPPGVPVGYVTQTWHPCLPKFTLQNEKKQDVLKVV GPCVVCSCCSDIDFELKSLDEESVVGKISKQWSGFVREAFTDADNFGIQFPLDLDVKMKA VMLGACFLIDFMFFERTGNEEQRSGAWQ >ENSMUSP00000119097.1 pep:known chromosome:GRCm38:15:76047158:76062719:-1 gene:ENSMUSG00000022568.16 transcript:ENSMUST00000136390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrib description:scribbled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2145950] XEEDDKEEAVASAPSVKLTLTIVRQTGGLGISIAGGKGSTPYKGDDEGIFISRVSEEGPA ARAGVRVGDKLLEVNGVALQDAEHHEAVEALRGAGAAVQMRVWRERMVEPENAVTITPLR PEDDYSPREWRGGGLRLPLLQPETPVSLRQRHAACLVRSEKGLGFSIAGGKGSTPYRAGD GGIFISRIAEGGAAHRAGTLQVGDRVLSINGVDMTEARHDHAVSLLTAASPTISLLLERE TGGTYPPSPPPHSSPTPAATVAATVSTAVPGEPLLPRLSPSLLATALEGPYPVEEICLPR AGGPLGLSIVGGSDHSSHPFGVQDPGVFISKVLPRGLAARCGLRVGDRILAVNGQDVREA THQEAVSALLRPCLELCLLVRRDPPPPGMRELCIQKAPGEKLGISIRGGAKGHAGNPCDP TDEGIFISKVSPTGAAGRDGRLRVGLRLLEVNQQSLLGLTHAEAVQLLRSVGDTLTVLVC DGFDTSTTTALEVSPGVIANPFAAGLGHRNSLESISSIDRELSPEGPGKEKELASQALPW ESESAETTGRNLEPLKLDYRALAALPSAGSLQRGPSATTGGKTTEAPCSPGSQQTKPGVI QPLAQAWPRNSPAPRGRGGPCSPPSPDELPANVKQAYRAFAAVPTVHPPENSATQPPTPG PAASPEQLSFRERQKYFELEVRVPQAEGPPKRVSLVGADDLRKMQEEEARKLQQKRAQML REEAVTSGPDMGLASDRESPDDQQEAEQPWAVPSHAGGSSPSSPPPLGGNAPVRTAKAER RHQERLRMQSPELPAPERALSPAERRALEAEKRALWRAARMKSLEQDALRAQMVLSKSQE GRGKRGPLERLAEAPSPAPTPSPTPLEDFGLQTSASPGRLSPDFVEELRTLEASPSPGSQ EEDGEVALVLLGRPSPGAVGPEDMTLCSSRRSVRPGRRGLGPVPS >ENSMUSP00000002603.5 pep:known chromosome:GRCm38:15:76047158:76069754:-1 gene:ENSMUSG00000022568.16 transcript:ENSMUST00000002603.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrib description:scribbled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2145950] MLKCIPLWRCNRHVESVDKRHCSLQVVPEEIYRYSRSLEELLLDANQLRELPKPFFRLLN LRKLGLSDNEIQRLPPEVANFMQLVELDVSRNDIPEIPESIKFCKALEIADFSGNPLSRL PDGFTQLRSLAHLALNDVSLQALPGDVGNLANLVTLELRENLLKSLPASLSFLVKLEQLD LGGNDLEVLPDTLGALPNLRELWLDRNQLSALPPELGNLRRLVCLDVSENRLEELPVELG GLALLTDLLLSQNLLQRLPEGIGQLKQLSILKVDQNRLCEVTEAIGDCENLSELILTENL LTALPHSLGKLTKLTNLNVDRNHLEVLPPEIGGCVALSVLSLRDNRLAVLPPELAHTAEL HVLDVAGNRLRSLPFALTHLNLKALWLAENQAQPMLRFQTEDDAQTGEKVLTCYLLPQQP LPSLEDAGQQSSPSESCSDAPLSRVSVIQFEDTLEGEEDAEEAAAEKRGLQRRATPHPSE LKVMKRGIEERRNEAFVCKPDPSPPSPSEEEKRLSAESALSGGSVPSASTASEGEPEILP AEVQGLGQHEAMPAQEEYTEDDYNEPTVHFAEDTLIPREDGESEEGQPEAAWPLPSGRQR LIRKDTPHYKKHFKISKLPQPEAVVALLQGVQTDREGPTAGWHNGPHTPWAPRAHEEEEE EEEENRDEEEGEATTEEDDKEEAVASAPSVKGVSFDQANNLLIEPARIEEEELTLTIVRQ TGGLGISIAGGKGSTPYKGDDEGIFISRVSEEGPAARAGVRVGDKLLEVNGVALQDAEHH EAVEALRGAGAAVQMRVWRERMVEPENAVTITPLRPEDDYSPREWRGGGLRLPLLQPETP VSLRQRHAACLVRSEKGLGFSIAGGKGSTPYRAGDGGIFISRIAEGGAAHRAGTLQVGDR VLSINGVDMTEARHDHAVSLLTAASPTISLLLERETGGTYPPSPPPHSSPTPAATVAATV STAVPGEPLLPRLSPSLLATALEGPYPVEEICLPRAGGPLGLSIVGGSDHSSHPFGVQDP GVFISKVLPRGLAARCGLRVGDRILAVNGQDVREATHQEAVSALLRPCLELCLLVRRDPP PPGMRELCIQKAPGEKLGISIRGGAKGHAGNPCDPTDEGIFISKVSPTGAAGRDGRLRVG LRLLEVNQQSLLGLTHAEAVQLLRSVGDTLTVLVCDGFDTSTTTALEVSPGVIANPFAAG LGHRNSLESISSIDRELSPEGPGKEKELASQALPWESESAETTGRNLEPLKLDYRALAAL PSAGSLQRGPSATTGGKTTEAPCSPGSQQTKPGVIQPLAQAWPRNSPAPRGRGGPCSPPS PDELPANVKQAYRAFAAVPTVHPPENSATQPPTPGPAASPEQLSFRERQKYFELEVRVPQ AEGPPKRVSLVGADDLRKMQEEEARKLQQKRAQMLREEAVTSGPDMGLASDRESPDDQQE AEQPWAVPSHAGGSSPSSPPPLGGNAPVRTAKAERRHQERLRMQSPELPAPERALSPAER RALEAEKRALWRAARMKSLEQDALRAQMVLSKSQEGRGKRGPLERLAEAPSPAPTPSPTP LEDFGLQTSASPGRLPLSGKKFDYRAFAALPSSRPVYDIQSPDFVEELRTLEASPSPGSQ EEDGEVALVLLGRPSPGAVGPEDMTLCSSRRSVRPGRRGLGPVPS >ENSMUSP00000068056.5 pep:known chromosome:GRCm38:15:76047158:76069754:-1 gene:ENSMUSG00000022568.16 transcript:ENSMUST00000063747.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrib description:scribbled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2145950] MLKCIPLWRCNRHVESVDKRHCSLQVVPEEIYRYSRSLEELLLDANQLRELPKPFFRLLN LRKLGLSDNEIQRLPPEVANFMQLVELDVSRNDIPEIPESIKFCKALEIADFSGNPLSRL PDGFTQLRSLAHLALNDVSLQALPGDVGNLANLVTLELRENLLKSLPASLSFLVKLEQLD LGGNDLEVLPDTLGALPNLRELWLDRNQLSALPPELGNLRRLVCLDVSENRLEELPVELG GLALLTDLLLSQNLLQRLPEGIGQLKQLSILKVDQNRLCEVTEAIGDCENLSELILTENL LTALPHSLGKLTKLTNLNVDRNHLEVLPPEIGGCVALSVLSLRDNRLAVLPPELAHTAEL HVLDVAGNRLRSLPFALTHLNLKALWLAENQAQPMLRFQTEDDAQTGEKVLTCYLLPQQP LPSLEDAGQQSSPSESCSDAPLSRVSVIQFEDTLEGEEDAEEAAAEKRGLQRRATPHPSE LKVMKRGIEERRNEAFVCKPDPSPPSPSEEEKRLSAESALSGGSVPSASTASEGEPEILP AEVQGLGQHEAMPAQEEYTEDDYNEPTVHFAEDTLIPREDGESEEGQPEAAWPLPSGRQR LIRKDTPHYKKHFKISKLPQPEAVVALLQGVQTDREGPTAGWHNGPHTPWAPRAHEEEEE EEEENRDEEEGEATTEEDDKEEAVASAPSVKGVSFDQANNLLIEPARIEEEELTLTIVRQ TGGLGISIAGGKGSTPYKGDDEGIFISRVSEEGPAARAGVRVGDKLLEVNGVALQDAEHH EAVEALRGAGAAVQMRVWRERMVEPENAVTITPLRPEDDYSPREWRGGGLRLPLLQPETP VSLRQRHAACLVRSEKGLGFSIAGGKGSTPYRAGDGGIFISRIAEGGAAHRAGTLQVGDR VLSINGVDMTEARHDHAVSLLTAASPTISLLLERETGGTYPPSPPPHSSPTPAATVAATV STAVPGEPLLPRLSPSLLATALEGPYPVEEICLPRAGGPLGLSIVGGSDHSSHPFGVQDP GVFISKVLPRGLAARCGLRVGDRILAVNGQDVREATHQEAVSALLRPCLELCLLVRRDPP PPGMRELCIQKAPGEKLGISIRGGAKGHAGNPCDPTDEGIFISKVSPTGAAGRDGRLRVG LRLLEVNQQSLLGLTHAEAVQLLRSVGDTLTVLVCDGFDTSTTTALEVSPGVIANPFAAG LGHRNSLESISSIDRELSPEGPGKEKELASQALPWESESAETTGRNLEPLKLDYRALAAL PSAGSLQRGPSATTGGKTTEAPCSPGSQQPPSPDELPANVKQAYRAFAAVPTVHPPENSA TQPPTPGPAASPEQLSFRERQKYFELEVRVPQAEGPPKRVSLVGADDLRKMQEEEARKLQ QKRAQMLREEAVTSGPDMGLASDRESPDDQQEAEQPWAVPSHAGGSSPSSPPPLGGNAPV RTAKAERRHQERLRMQSPELPAPERALSPAERRALEAEKRALWRAARMKSLEQDALRAQM VLSKSQEGRGKRGPLERLAEAPSPAPTPSPTPLEDFGLQTSASPGRLSPDFVEELRTLEA SPSPGSQEEDGEVALVLLGRPSPGAVGPEDMTLCSSRRSVRPGRRGLGPVPS >ENSMUSP00000105572.2 pep:known chromosome:GRCm38:15:76047158:76069784:-1 gene:ENSMUSG00000022568.16 transcript:ENSMUST00000109946.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrib description:scribbled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2145950] MLKCIPLWRCNRHVESVDKRHCSLQVVPEEIYRYSRSLEELLLDANQLRELPKPFFRLLN LRKLGLSDNEIQRLPPEVANFMQLVELDVSRNDIPEIPESIKFCKALEIADFSGNPLSRL PDGFTQLRSLAHLALNDVSLQALPGDVGNLANLVTLELRENLLKSLPASLSFLVKLEQLD LGGNDLEVLPDTLGALPNLRELWLDRNQLSALPPELGNLRRLVCLDVSENRLEELPVELG GLALLTDLLLSQNLLQRLPEGIGQLKQLSILKVDQNRLCEVTEAIGDCENLSELILTENL LTALPHSLGKLTKLTNLNVDRNHLEVLPPEIGGCVALSVLSLRDNRLAVLPPELAHTAEL HVLDVAGNRLRSLPFALTHLNLKALWLAENQAQPMLRFQTEDDAQTGEKVLTCYLLPQQP LPSLEDAGQQSSPSESCSDAPLSRVSVIQFEDTLEGEEDAEEAAAEKRGLQRRATPHPSE LKVMKRGIEERRNEAFVCKPDPSPPSPSEEEKRLSAESALSGGSVPSASTASEGEPEILP AEVQGLGQHEAMPAQEEYTEDDYNEPTVHFAEDTLIPREDGESEEGQPEAAWPLPSGRQR LIRKDTPHYKKHFKISKLPQPEAVVALLQGVQTDREGPTAGWHNGPHTPWAPRAHEEEEE EEEENRDEEEGEATTEEDDKEEAVASAPSVKGVSFDQANNLLIEPARIEEEELTLTIVRQ TGGLGISIAGGKGSTPYKGDDEGIFISRVSEEGPAARAGVRVGDKLLEVNGVALQDAEHH EAVEALRGAGAAVQMRVWRERMVEPENAVTITPLRPEDDYSPREWRGGGLRLPLLQPETP VSLRQRHAACLVRSEKGLGFSIAGGKGSTPYRAGDGGIFISRIAEGGAAHRAGTLQVGDR VLSINGVDMTEARHDHAVSLLTAASPTISLLLERETGGTYPPSPPPHSSPTPAATVAATV STAVPGEPLLPRLSPSLLATALEGPYPVEEICLPRAGGPLGLSIVGGSDHSSHPFGVQDP GVFISKVLPRGLAARCGLRVGDRILAVNGQDVREATHQEAVSALLRPCLELCLLVRRDPP PPGMRELCIQKAPGEKLGISIRGGAKGHAGNPCDPTDEGIFISKVSPTGAAGRDGRLRVG LRLLEVNQQSLLGLTHAEAVQLLRSVGDTLTVLVCDGFDTSTTTALEVSPGVIANPFAAG LGHRNSLESISSIDRELSPEGPGKEKELASQALPWESESAETTGRNLEPLKLDYRALAAL PSAGSLQRGPSATTGGKTTEAPCSPGSQQPPSPDELPANVKQAYRAFAAVPTVHPPENSA TQPPTPGPAASPEQLSFRERQKYFELEVRVPQAEGPPKRVSLVGADDLRKMQEEEARKLQ QKRAQMLREEAVTSGPDMGLASDRESPDDQQEAEQPWAVPSHAGGSSPSSPPPLGGNAPV RTAKAERRHQERLRMQSPELPAPERALSPAERRALEAEKRALWRAARMKSLEQDALRAQM VLSKSQEGRGKRGPLERLAEAPSPAPTPSPTPLEDFGLQTSASPGRLPLSGKKFDYRAFA ALPSSRPVYDIQSPDFVEELRTLEASPSPGSQEEDGEVALVLLGRPSPGAVGPEDMTLCS SRRSVRPGRRGLGPVPS >ENSMUSP00000115329.1 pep:known chromosome:GRCm38:15:76048940:76050786:-1 gene:ENSMUSG00000022568.16 transcript:ENSMUST00000145830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrib description:scribbled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2145950] MLGDHCQNGPSATTGGKTTEAPCSPGSQQPPSPDELPANVKQAYRAFAAVPTVHPPENSA TQPPTPGPAASPEQLSFRERQKYFELEVRVPQAEGPPKRVSLVGADDLRKMQE >ENSMUSP00000114573.1 pep:known chromosome:GRCm38:15:76049203:76050786:-1 gene:ENSMUSG00000022568.16 transcript:ENSMUST00000148211.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrib description:scribbled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2145950] MLGDHCQNGPSATTGGKTTEAPCSPGSQQTKPGVIQPLAQAWPRNSPAPRGRGGPCSPPS PDELPANVKQAYRAFAAVPTVHPPENSATQ >ENSMUSP00000030826.2 pep:known chromosome:GRCm38:4:150119283:150144169:1 gene:ENSMUSG00000028976.10 transcript:ENSMUST00000030826.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a5 description:solute carrier family 2 (facilitated glucose transporter), member 5 [Source:MGI Symbol;Acc:MGI:1928369] MEEKHQEETGELTLVLALATLIAAFGSSFQYGYNVAAVNSPSEFMQQFYNDTYYDRNEEN IESFTLTLLWSLTVSMFPFGGFIGSLMVGTLVNKLGRKGALLFNNIFSILPAILMGCSQI AQSFELIIISRLLVGICAGISSNVVPMYLGELAPKNLRGALGVVPQLFITVGILVAQLFG LRSLLANEDGWPVLLGLTGVPAGLQLLLLPFFPESPRYLLIQKKDEAAAERALQTLRGWK DVHLEMEEIRKEDEAEKAAGFISVWKLFTMQSLRWQLISMIVLMAGQQLSGVNAIYYYAD QIYLSAGVKSDDVQYVTAGTGAVNVFMTILTIFVVELWGRRFLLLVGFSTCLIACLVLTA ALALQNTISWMPYISIVCVIVYVIGHALGPSPIPALLITEIFLQSSRPAAYMIGGSVHWL SNFTVGLIFPFIQMGLGPYSFIIFATICFLTTIYIFMVVPETKGRTFIEINQIFTMKNKV SDVYPKKEEELGALPHAILEQ >ENSMUSP00000079222.5 pep:known chromosome:GRCm38:7:12415153:12422751:-1 gene:ENSMUSG00000034071.14 transcript:ENSMUST00000080348.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp551 description:zinc fingr protein 551 [Source:MGI Symbol;Acc:MGI:3588205] MPRARPREETSATWSLLPLQNPMAVAMHRNIAQVPLTSEPVMELGLDVSFEDVAIHFSQE EWVLLDKAQRLLYCSVMLENLALVASLGSCTGMGDEDVTCEPAEEVVCNTSPSPQETHLL EIPVPALEEAWHQNEFLSTQPVQTLDLSRTGSGLKEDSNRHWKSYSGEKSCERDSHRATA MSSCRSHGLTEPFIRGKDGEEALSSIAGVQQQGACHSEQPSTGCLQQQATCIREQPYSSA KCGQALLNGEKHCKDGECQKGSSCKHTLVQCPSASSEKRPSECSKYEKAFSCSYKHAQHQ DSHSGKRTHEHSQHEKPFSKKCRLNTQDRICPQERPYKCTECGKAFIYKSELVYHQRHHS ARVHYECVECRKSFTYKSNLTEHQRIHTGERPYQCEQCGKSFRQNSSLFRHHRIHTGERP YECSECGKSFRQIFNLIRHRRVHTGEMPHQCADCGKAFSCKAELSQHERIHSGEKPYECS ECGKYFRQFSNLIRHRRVHTGDRPYKCSECEKSFSRKFILVQHQRVHTGERPYKCGECGT SFTRKSDLIQHQRIHTGTRPYECEECGKSFRQCSSLIQHRRVHTGEKPYECIECGKAFSQ SASLIQHQRLHTGERPYECSECGKSFSQSASLIQHQRSHSGEKPFECSDCSKPFTHKSDL IQHRRVHTGERPYECHDCEKSFSRRSNLVRHQRVHT >ENSMUSP00000112704.1 pep:known chromosome:GRCm38:7:12416949:12422488:-1 gene:ENSMUSG00000034071.14 transcript:ENSMUST00000120220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp551 description:zinc fingr protein 551 [Source:MGI Symbol;Acc:MGI:3588205] MPRARPREETSATWSLLPLQNPMAVAMHRNIAQVPLTSEPVMELGLVPVLEWGMKT >ENSMUSP00000008733.8 pep:known chromosome:GRCm38:5:29735688:29786478:1 gene:ENSMUSG00000029131.14 transcript:ENSMUST00000008733.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb6 description:DnaJ heat shock protein family (Hsp40) member B6 [Source:MGI Symbol;Acc:MGI:1344381] MVDYYEVLGVQRHASPEDIKKAYRKQALKWHPDKNPENKEEAERKFKQVAEAYEVLSDAK KRDIYDKYGKEGLNGGGGGGGIHFDSPFEFGFTFRNPDDVFREFFGGRDPFSFDFFEDPF DDFFGNRRGPRGNRSRGAGSFFSTFSGFPSFGSGFPAFDTGFTPFGSLGHGGLTSFSSTS FGGSGMGNFKSISTSTKIVNGKKITTKRIVENGQERVEVEEDGQLKSLTINGVADENALA EECQRRGQPTPALAPGPAPAPVRVPSQARPLAPTPAPTPAPTPAPAPAQTPAPSVSTRPQ KPPRPAPTAKLGSKSNWEDDEQDRQRVPGNWDAPMTSAGLKEGGKRKKQKQKEDLKKKKS TKGNH >ENSMUSP00000110488.1 pep:known chromosome:GRCm38:5:29735940:29767103:1 gene:ENSMUSG00000029131.14 transcript:ENSMUST00000114839.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb6 description:DnaJ heat shock protein family (Hsp40) member B6 [Source:MGI Symbol;Acc:MGI:1344381] MVDYYEVLGVQRHASPEDIKKAYRKQALKWHPDKNPENKEEAERKFKQVAEAYEVLSDAK KRDIYDKYGKEGLNGGGGGGGIHFDSPFEFGFTFRNPDDVFREFFGGRDPFSFDFFEDPF DDFFGNRRGPRGNRSRGAGSFFSTFSGFPSFGSGFPAFDTGFTPFGSLGHGGLTSFSSTS FGGSGMGNFKSISTSTKIVNGKKITTKRIVENGQERVEVEEDGQLKSLTINGKEHLLRLD NK >ENSMUSP00000142783.1 pep:known chromosome:GRCm38:5:29735989:29785640:1 gene:ENSMUSG00000029131.14 transcript:ENSMUST00000198694.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb6 description:DnaJ heat shock protein family (Hsp40) member B6 [Source:MGI Symbol;Acc:MGI:1344381] MVDYYEVLGVQRHASPEDIKKAYRKQALKWHPDKNPENKEEAERKFKQVAEVFVVVGVHR VQ >ENSMUSP00000012734.5 pep:known chromosome:GRCm38:5:29735995:29757969:1 gene:ENSMUSG00000029131.14 transcript:ENSMUST00000012734.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb6 description:DnaJ heat shock protein family (Hsp40) member B6 [Source:MGI Symbol;Acc:MGI:1344381] MVDYYEVLGVQRHASPEDIKKAYRKQALKWHPDKNPENKEEAERKFKQVAEAYEVLSDAK KRDIYDKYGKEGLNGGGGGGGIHFDSPFEFGFTFRNPDDVFREFFGGRDPFSFDFFGLLG DFHFQAVRIFAQEKKLLHGYYVYEVTVQPTGGFEEVASEDLEPSEELDQPCFEESVEDAL SDEHSEVLDVISSEELDLSEDESSEGCSWGQGGLLSEDLDLVSSEEEASLGDAEELSEEY EELLSEEEHSGPDPEALLVQQ >ENSMUSP00000142878.1 pep:known chromosome:GRCm38:5:29736033:29818134:1 gene:ENSMUSG00000029131.14 transcript:ENSMUST00000196528.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb6 description:DnaJ heat shock protein family (Hsp40) member B6 [Source:MGI Symbol;Acc:MGI:1344381] MVDYYEVLGVQRHASPEDIKKAYRKQALKWHPDKNPENKEEAERKFKQVAEAYEVLSDAK KRDIYDKYGKEGLNGGGGGGGIHFDSPFEFGFTFRNPDDVFREFFGGRDPFSFDFFEDPF DDFFGNRRGPRGNRSRGAGSFFSTFSGFPSFGSGFPAFDTGFTPFGSLGHGGLTSFSSTS FGGSGMGNFKSISTSTKIVNGKKITTKRIVENGQERVEVEEDGQLKSLTINDCFVVCDGH VLH >ENSMUSP00000143639.1 pep:known chromosome:GRCm38:5:29737266:29748438:1 gene:ENSMUSG00000029131.14 transcript:ENSMUST00000196785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb6 description:DnaJ heat shock protein family (Hsp40) member B6 [Source:MGI Symbol;Acc:MGI:1344381] MVDYYEVLGVQRHASPEDIKKA >ENSMUSP00000117159.1 pep:known chromosome:GRCm38:5:29764475:29781707:1 gene:ENSMUSG00000029131.14 transcript:ENSMUST00000140376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb6 description:DnaJ heat shock protein family (Hsp40) member B6 [Source:MGI Symbol;Acc:MGI:1344381] XSTFSGFPSFGSGFPAFDTGFTPFGSLGHGGLTSFSSTSFGGSGMGNFKSISTSTKIVNG KKITTKRIVENGQERVEVEEDGQLKSLTINGVADENALAEECQRRGQPTPALAPGPAPAP VRVPSQARPLAPTPAPTPAPTPAPAPAQTPAPSVSTRPQKPPRPAPTAKLGSKSNWEDDE QDRQRVPGNWDAPMTSAGVQKGDGVEHPQSVLPPGPGQQTQESGLCCCILT >ENSMUSP00000037702.1 pep:known chromosome:GRCm38:7:109727465:109731726:-1 gene:ENSMUSG00000035951.2 transcript:ENSMUST00000035372.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascl3 description:achaete-scute family bHLH transcription factor 3 [Source:MGI Symbol;Acc:MGI:1928820] MDTRSYPSPPDRLSVFAESAHLPLSRPFYLDPMVTVHLCPETPVPASYTDELPLLPFSSD TLIMNNYGDPYPFPFPMPYTNYRRCDYTYGPAFIRKRNERERQRVKCVNEGYARLRRHLP EDYLEKRLSKVETLRAAIKYISYLQSLLYPDESETKKNPRTASCGSLDPALRVI >ENSMUSP00000097025.3 pep:known chromosome:GRCm38:13:64917406:64923184:1 gene:ENSMUSG00000056223.7 transcript:ENSMUST00000070216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata31 description:spermatogenesis associated 31 [Source:MGI Symbol;Acc:MGI:1925374] MESLPSLLESIYTTWLSLSSTIWAMDMILAFVCGLGLYHLLLPFLESHLSSPPSNIKFTR KPQIQMTWQSQFKKKFRNHCRNDAKAWGECLKKLKEKEKDKLFLEEMSPGHHLNSLGNIF NSSSAKQDSTTLSPFWNLKEKSEEQVATQKLSYPKISEDHFQQKCDQLFWGLPSLHSESL VAAAWIPQTTSTLPSPFFLFNVISSVYPIQLQDKMSPMLPHTHPLSYLDLQSPHLILSPL EFQTPALNLPVLLPSSLPYNSDFGTSYSQSQSKPQYLPTEIKYNKKPSLAKQIENRSTLP LMVQKPQEAYDILAPNPSQDWVVSILPDNFPICRELREKLEQHIQKWLIQHQWNFPHKIQ VSEKMKELQNTVIGNCQTRDKPGTLQALGEHSNEGQKRKFQLEKESGKNLGPILGKISKD PIRGLEKTTAIKDLENNLKAHLGTKSGQIDQGLTPLSMRQSWLAVDDSFYEMENNLTSLK SSAKSMCSSEKLAFLKPETRQALEAHIVRFWAKHRWSLPLKILKPVKLFQLSIESLPVVL CAQTSSTTSVHRTRSAAEVVRFLGKPCLRQMIIEDSSPSPQNLLLVSSPSCKRARRRLPF GVDHEPSTALPTKPECGHISEDLTYNFMNTTSQTRTIFKKEIETREVVLLPRRTSDQNLE AYKHQEKVVSEFPHNVETELAGQPQIYTTTVLPPKRSRSMPLPVDTLTSHVLGDIVVADM DNSLVQQRPSTPKHLVSQKSQIKMLAPTYQSEGTKRQSEIKYEDRPKLTPVTEKKANFGS QYYQTLPKIAQVLPGRPPQRHLGRFLQWIHPKKTIKGHEFHPLKGNPTAAIVQNQRRQVR KIPYMDNNVTEAQELMTTVGQMLEKKMMLQHQPYASKFNQHREVPPAPMSRFSHGHMPVS YLQQRRAPSYPGSCSCQRCSVQNRHIRNQLPQRSVRFSKKPQNPRNPSHKKPQNPRNPSR LSRNAALNLVNSQNRTIVPGNSNHHLYCPRHCALQRDVCRELGHSSVVFPNRKT >ENSMUSP00000111175.2 pep:known chromosome:GRCm38:X:12036740:12080553:-1 gene:ENSMUSG00000040363.14 transcript:ENSMUST00000115513.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcor description:BCL6 interacting corepressor [Source:MGI Symbol;Acc:MGI:1918708] MLSATPLYGNVHSWMNSERVRMCGTSEDRKIPVNDGDASKARLELREETPLSHSVVDTSG AHRIDGLAALSMDRTGLIREGLRVPGNIVYSGLCGLGSEKGREATPSSLSGLGFSSERNP EMQFKPNTPETVEASAVSGKPPNGFSAIYKTPPGIQKSAVATAESLGLDRPASDKQSPLN INGASYLRLPWVNPYMEGATPAIYPFLDSPNKYSLNMYKALLPQQSYGLAQPLYSPVCTS GERFLYLPPPHYVNPHIPSSLASPMRLSTPSASAAIPPLVHCSDKSLPWKMGVNPGNPVD SHSYPHIQNSKQPRVTSAKAVNSGLPGDTALLLPPSPRPSARVHLPTQPAAETYSEFHKH YPRISTSPSVTLTKPYMTANSEFSTSRLSNGKYPKALDGGDCAQSMPGHTRKTTVQDRKD GGSPPLLEKQTVTKDVTDKPLDLSSKVVDADASKGDHMKKMAPTVLVHSRAASGLVLSGS EIPKETLSPPGNGCSIYRSEIISTAPSSWVVPGPSPNEENNGKSLSLKNKALDWAIPQQR SSSCPRMGGTDAVVTNVSGSVSSSGRPASASPAPNANANADGTKTSRSSVDTTPSVIQHV GQPSSTPAKHGGSTSSKGAKANPEPSFKASENGLPPTSIFLSPNEAFRSPAIPYPRSYLP YAAPEGIALSPLSLHGKGPVYPHPVLLPNGSLFPGHLAPKPGLPYGLHTSRPEFVTYQDA LGLGMVHPMLIPHTPIEITKEEKPERRSRSHERARYEDPTLRSRFSEMLEASSTKLHPEV PTDKNLKPNSSWNQGKTGVKSDKLVYVDLLREEADTKTDAGAPKAGLVAENVGQDTEATK PSADPVIQQRREFISLREELGRITDFHESFTFKQASSQPVFSLGKDSGAAGTNKENLGVQ VATPFLETALGSEGPAVTFGKTQEDPKPFCVGGAPPNMDVTPAYTKEGTDEAESNDGKVL KPKPSKLAKRIANSAGYVGDRFKCVTTELYADSSQLSREQRALQMEGLQEDSILCLPAAY CERAMMRFSELEMKEREGSHPATKDSEVCKFSPADWERLKGNQEKKPKSVTLEEAIADQN DSERCEYSTGNKHDLFEAPEDKDLPVEKYFLERPPVSEPPSDQGVVDTPHSPTLRLDRKR KLSGDSTHTETAVEELAEDPLKAKRRRISKDDWPEREMTNSSSNHLEDPHCNELTNLKVC IELTGLHPKKQRHLLHLRERWEQQVSAAESKPGRQSRKEVAQAVQPEVTSQGTNITEEKP GRKKAEAKGNRGWSEESLKSCDNEQGLPVLSGSPPMKSLSSTNASGKKQTQPSCTPASRL PAKQQKIKESQKTDVLCTGEDEDCQAASPLQKYTDNIEKPSGKRLCKTKHLIPQESRRSL QITGDYYVENTDTKMTVRRFRKRPEPSSDYDLSPPAKQEPKPFDRLQQLLPATQATQLPR SNSPQETTQSRPMPPEARRLIVNKNAGETLLQRAARLGYEEVVLYCLENKVCDVNHRDNA GYCALHEACARGWLNIVRHLLEYGADVNCSAQDGTRPLHDAVENDHLEIVRLLLSYGADP TLATYSGRTIMKMTHSELMEKFLTDYLNDLQGRSEDDTSGAWEFYGSSVCEPDDESGYDV LANPPGPEDPDEEEDTYSDLFEFEFAESSLLPCYNIQVSVAQGPRNWLLLSDVLKKLKMS SRIFRSNFPNLEIVTIAEAEFYRQVSTSLLFSCPKDLEAFNPESKELLDLVEFTNELQTL LGSSVEWLHPSDTGHENYW >ENSMUSP00000111174.2 pep:known chromosome:GRCm38:X:12036740:12080553:-1 gene:ENSMUSG00000040363.14 transcript:ENSMUST00000115512.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcor description:BCL6 interacting corepressor [Source:MGI Symbol;Acc:MGI:1918708] MLSATPLYGNVHSWMNSERVRMCGTSEDRKIPVNDGDASKARLELREETPLSHSVVDTSG AHRIDGLAALSMDRTGLIREGLRVPGNIVYSGLCGLGSEKGREATPSSLSGLGFSSERNP EMQFKPNTPETVEASAVSGKPPNGFSAIYKTPPGIQKSAVATAESLGLDRPASDKQSPLN INGASYLRLPWVNPYMEGATPAIYPFLDSPNKYSLNMYKALLPQQSYGLAQPLYSPVCTS GERFLYLPPPHYVNPHIPSSLASPMRLSTPSASAAIPPLVHCSDKSLPWKMGVNPGNPVD SHSYPHIQNSKQPRVTSAKAVNSGLPGDTALLLPPSPRPSARVHLPTQPAAETYSEFHKH YPRISTSPSVTLTKPYMTANSEFSTSRLSNGKYPKALDGGDCAQSMPGHTRKTTVQDRKD GGSPPLLEKQTVTKDVTDKPLDLSSKVVDADASKGDHMKKMAPTVLVHSRAASGLVLSGS EIPKETLSPPGNGCSIYRSEIISTAPSSWVVPGPSPNEENNGKSLSLKNKALDWAIPQQR SSSCPRMGGTDAVVTNVSGSVSSSGRPASASPAPNANANADGTKTSRSSVDTTPSVIQHV GQPSSTPAKHGGSTSSKGAKANPEPSFKASENGLPPTSIFLSPNEAFRSPAIPYPRSYLP YAAPEGIALSPLSLHGKGPVYPHPVLLPNGSLFPGHLAPKPGLPYGLHTSRPEFVTYQDA LGLGMVHPMLIPHTPIEITKEEKPERRSRSHERARYEDPTLRSRFSEMLEASSTKLHPEV PTDKNLKPNSSWNQGKTGVKSDKLVYVDLLREEADTKTDAGAPKAGLVAENVGQDTEATK PSADPVIQQRREFISLREELGRITDFHESFTFKQASSQPVFSLGKDSGAAGTNKENLGVQ VATPFLETALGSEGPAVTFGKTQEDPKPFCVGGAPPNMDVTPAYTKEGTDEAESNDGKVL KPKPSKLAKRIANSAGYVGDRFKCVTTELYADSSQLSREQRALQRAMMRFSELEMKEREG SHPATKDSEVCKFSPADWERLKGNQEKKPKSVTLEEAIADQNDSERCEYSTGNKHDLFEA PEDKDLPVEKYFLERPPVSEPPSDQGVVDTPHSPTLRLDRKRKLSGDSTHTETAVEELAE DPLKAKRRRISKDDWPEREMTNSSSNHLEDPHCNELTNLKVCIELTGLHPKKQRHLLHLR ERWEQQVSAAESKPGRQSRKEVAQAVQPEVTSQGTNITEEKPGRKKAEAKGNRGWSEESL KSCDNEQGLPVLSGSPPMKSLSSTNASGKKQTQPSCTPASRLPAKQQKIKESQKTDVLCT GEDEDCQAASPLQKYTDNIEKPSGKRLCKTKHLIPQESRRSLQITGDYYVENTDTKMTVR RFRKRPEPSSDYDLSPPAKQEPKPFDRLQQLLPATQATQLPRSNSPQETTQSRPMPPEAR RLIVNKNAGETLLQRAARLGYEEVVLYCLENKVCDVNHRDNAGYCALHEACARGWLNIVR HLLEYGADVNCSAQDGTRPLHDAVENDHLEIVRLLLSYGADPTLATYSGRTIMKMTHSEL MEKFLTDYLNDLQGRSEDDTSGAWEFYGSSVCEPDDESGYDVLANPPGPEDPDEEEDTYS DLFEFEFAESSLLPCYNIQVSVAQGPRNWLLLSDVLKKLKMSSRIFRSNFPNLEIVTIAE AEFYRQVSTSLLFSCPKDLEAFNPESKELLDLVEFTNELQTLLGSSVEWLHPSDTGHENY W >ENSMUSP00000068618.7 pep:known chromosome:GRCm38:X:12036740:12080553:-1 gene:ENSMUSG00000040363.14 transcript:ENSMUST00000065143.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcor description:BCL6 interacting corepressor [Source:MGI Symbol;Acc:MGI:1918708] MLSATPLYGNVHSWMNSERVRMCGTSEDRKIPVNDGDASKARLELREETPLSHSVVDTSG AHRIDGLAALSMDRTGLIREGLRVPGNIVYSGLCGLGSEKGREATPSSLSGLGFSSERNP EMQFKPNTPETVEASAVSGKPPNGFSAIYKTPPGIQKSAVATAESLGLDRPASDKQSPLN INGASYLRLPWVNPYMEGATPAIYPFLDSPNKYSLNMYKALLPQQSYGLAQPLYSPVCTS GERFLYLPPPHYVNPHIPSSLASPMRLSTPSASAAIPPLVHCSDKSLPWKMGVNPGNPVD SHSYPHIQNSKQPRVTSAKAVNSGLPGDTALLLPPSPRPSARVHLPTQPAAETYSEFHKH YPRISTSPSVTLTKPYMTANSEFSTSRLSNGKYPKALDGGDCAQSMPGHTRKTTVQDRKD GGSPPLLEKQTVTKDVTDKPLDLSSKVVDADASKGDHMKKMAPTVLVHSRAASGLVLSGS EIPKETLSPPGNGCSIYRSEIISTAPSSWVVPGPSPNEENNGKSLSLKNKALDWAIPQQR SSSCPRMGGTDAVVTNVSGSVSSSGRPASASPAPNANANADGTKTSRSSVDTTPSVIQHV GQPSSTPAKHGGSTSSKGAKANPEPSFKASENGLPPTSIFLSPNEAFRSPAIPYPRSYLP YAAPEGIALSPLSLHGKGPVYPHPVLLPNGSLFPGHLAPKPGLPYGLHTSRPEFVTYQDA LGLGMVHPMLIPHTPIEITKEEKPERRSRSHERARYEDPTLRSRFSEMLEASSTKLHPEV PTDKNLKPNSSWNQGKTGVKSDKLVYVDLLREEADTKTDAGAPKAGLVAENVGQDTEATK PSADPVIQQRREFISLREELGRITDFHESFTFKQASSQPVFSLGKDSGAAGTNKENLGVQ VATPFLETALGSEGPAVTFGKTQEDPKPFCVGGAPPNMDVTPAYTKEGTDEAESNDGKVL KPKPSKLAKRIANSAGYVGDRFKCVTTELYADSSQLSREQRALQMEGLQEDSILCLPAAY CERAMMRFSELEMKEREGSHPATKDSEVCKFSPADWERLKGNQEKKPKSVTLEEAIADQN DSERCEYSTGNKHDLFEAPEDKDLPVEKYFLERPPVSEPPSDQGVVDTPHSPTLRLDRKR KLSGDSTHTETAVEELAEDPLKAKRRRISKGLHPKKQRHLLHLRERWEQQVSAAESKPGR QSRKEVAQAVQPEVTSQGTNITEEKPGRKKAEAKGNRGWSEESLKSCDNEQGLPVLSGSP PMKSLSSTNASGKKQTQPSCTPASRLPAKQQKIKESQKTDVLCTGEDEDCQAASPLQKYT DNIEKPSGKRLCKTKHLIPQESRRSLQITGDYYVENTDTKMTVRRFRKRPEPSSDYDLSP PAKQEPKPFDRLQQLLPATQATQLPRSNSPQETTQSRPMPPEARRLIVNKNAGETLLQRA ARLGYEEVVLYCLENKVCDVNHRDNAGYCALHEACARGWLNIVRHLLEYGADVNCSAQDG TRPLHDAVENDHLEIVRLLLSYGADPTLATYSGRTIMKMTHSELMEKFLTDYLNDLQGRS EDDTSGAWEFYGSSVCEPDDESGYDVLANPPGPEDPDEEEDTYSDLFEFEFAESSLLPCY NIQVSVAQGPRNWLLLSDVLKKLKMSSRIFRSNFPNLEIVTIAEAEFYRQVSTSLLFSCP KDLEAFNPESKELLDLVEFTNELQTLLGSSVEWLHPSDTGHENYW >ENSMUSP00000116258.1 pep:known chromosome:GRCm38:X:12036740:12080553:-1 gene:ENSMUSG00000040363.14 transcript:ENSMUST00000124033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcor description:BCL6 interacting corepressor [Source:MGI Symbol;Acc:MGI:1918708] MLSATPLYGNVHSWMNSERVRMCGTSEDRKIPVNDGDASKARLELREETPLSHSVVDTSG AHRIDGLAALSMDRTGLIREGLRVPGNIVYSGLCGLGSEKGREATPSSLSGLGFSSERNP EMQFKPNTPETVEASAVSGKPPNGFSAIYKTPPGIQKSAVATAESLGLDRPASDKQSPLN INGASYLRLPWVNPYMEGATPAIYPFLDSPNKYSLNMYKALLPQQSYGLAQPLYSPVCTS GERFLYLPPPHYVNPHIPSSLASPMRLSTPSASAAIPPLVHCSDKSLPWKMGVNPGNPVD SHSYPHIQNSKQPRVTSAKAVNSGLPGDTALLLPPSPRPSARVHLPTQPAAETYSEFHKH YPRISTSPSVTLTKPYMTANSEFSTSRLSNGKYPKALDGGDCAQSMPGHTRKTTVQDRKD GGSPPLLEKQTVTKDVTDKPLDLSSKVVDADASKGDHMKKMAPTVLVHSRAASGLVLSGS EIPKETLSPPGNGCSIYRSEIISTAPSSWVVPGPSPNEENNGKSLSLKNKALDWAIPQQR SSSCPRMGGTDAVVTNVSGSVSSSGRPASASPAPNANANADGTKTSRSSVDTTPSVIQHV GQPSSTPAKHGGSTSSKGAKANPEPSFKASENGLPPTSIFLSPNEAFRSPAIPYPRSYLP YAAPEGIALSPLSLHGKGPVYPHPVLLPNGSLFPGHLAPKPGLPYGLHTSRPEFVTYQDA LGLGMVHPMLIPHTPIEITKEEKPERRSRSHERARYEDPTLRSRFSEMLEASSTKLHPEV PTDKNLKPNSSWNQGKTGVKSDKLVYVDLLREEADTKTDAGAPKAGLVAENVGQDTEATK PSADPVIQQRREFISLREELGRITDFHESFTFKQASSQPVFSLGKDSGAAGTNKENLGVQ VATPFLETALGSEGPAVTFGKTQEDPKPFCVGGAPPNMDVTPAYTKEGTDEAESNDGKVL KPKPSKLAKRIANSAGYVGDRFKCVTTELYADSSQLSREQRALQRAMMRFSELEMKEREG SHPATKDSEVCKFSPADWERLKGNQEKKPKSVTLEEAIADQNDSERCEYSTGNKHDLFEA PEDKDLPVEKYFLERPPVSEPPSDQGVVDTPHSPTLRLDRKRKLSGDSTHTETAVEELAE DPLKAKRRRISKGLHPKKQRHLLHLRERWEQQVSAAESKPGRQSRKEVAQAVQPEVTSQG TNITEEKPGRKKAEAKGNRGWSEESLKSCDNEQGLPVLSGSPPMKSLSSTNASGKKQTQP SCTPASRLPAKQQKIKESQKTDVLCTGEDEDCQAASPLQKYTDNIEKPSGKRLCKTKHLI PQESRRSLQITGDYYVENTDTKMTVRRFRKRPEPSSDYDLSPPAKQEPKPFDRLQQLLPA TQATQLPRSNSPQETTQSRPMPPEARRLIVNKNAGETLLQRAARLGYEEVVLYCLENKVC DVNHRDNAGYCALHEACARGWLNIVRHLLEYGADVNCSAQDGTRPLHDAVENDHLEIVRL LLSYGADPTLATYSGRTIMKMTHSELMEKFLTDYLNDLQGRSEDDTSGAWEFYGSSVCEP DDESGYDVLANPPGPEDPDEEEDTYSDLFEFEFAESSLLPCYNIQVSVAQGPRNWLLLSD VLKKLKMSSRIFRSNFPNLEIVTIAEAEFYRQVSTSLLFSCPKDLEAFNPESKELLDLVE FTNELQTLLGSSVEWLHPSDTGHENYW >ENSMUSP00000048024.6 pep:known chromosome:GRCm38:X:12036743:12160355:-1 gene:ENSMUSG00000040363.14 transcript:ENSMUST00000043441.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcor description:BCL6 interacting corepressor [Source:MGI Symbol;Acc:MGI:1918708] MLSATPLYGNVHSWMNSERVRMCGTSEDRKIPVNDGDASKARLELREETPLSHSVVDTSG AHRIDGLAALSMDRTGLIREGLRVPGNIVYSGLCGLGSEKGREATPSSLSGLGFSSERNP EMQFKPNTPETVEASAVSGKPPNGFSAIYKTPPGIQKSAVATAESLGLDRPASDKQSPLN INGASYLRLPWVNPYMEGATPAIYPFLDSPNKYSLNMYKALLPQQSYGLAQPLYSPVCTS GERFLYLPPPHYVNPHIPSSLASPMRLSTPSASAAIPPLVHCSDKSLPWKMGVNPGNPVD SHSYPHIQNSKQPRVTSAKAVNSGLPGDTALLLPPSPRPSARVHLPTQPAAETYSEFHKH YPRISTSPSVTLTKPYMTANSEFSTSRLSNGKYPKALDGGDCAQSMPGHTRKTTVQDRKD GGSPPLLEKQTVTKDVTDKPLDLSSKVVDADASKGDHMKKMAPTVLVHSRAASGLVLSGS EIPKETLSPPGNGCSIYRSEIISTAPSSWVVPGPSPNEENNGKSLSLKNKALDWAIPQQR SSSCPRMGGTDAVVTNVSGSVSSSGRPASASPAPNANANADGTKTSRSSVDTTPSVIQHV GQPSSTPAKHGGSTSSKGAKANPEPSFKASENGLPPTSIFLSPNEAFRSPAIPYPRSYLP YAAPEGIALSPLSLHGKGPVYPHPVLLPNGSLFPGHLAPKPGLPYGLHTSRPEFVTYQDA LGLGMVHPMLIPHTPIEITKEEKPERRSRSHERARYEDPTLRSRFSEMLEASSTKLHPEV PTDKNLKPNSSWNQGKTGVKSDKLVYVDLLREEADTKTDAGAPKAGLVAENVGQDTEATK PSADPVIQQRREFISLREELGRITDFHESFTFKQASSQPVFSLGKDSGAAGTNKENLGVQ VATPFLETALGSEGPAVTFGKTQEDPKPFCVGGAPPNMDVTPAYTKEGTDEAESNDGKVL KPKPSKLAKRIANSAGYVGDRFKCVTTELYADSSQLSREQRALQRAMMRFSELEMKEREG SHPATKDSEVCKFSPADWERLKGNQEKKPKSVTLEEAIADQNDSERCEYSTGNKHDLFEA PEDKDLPVEKYFLERPPVSEPPSDQGVVDTPHSPTLRLDRKRKLSGDSTHTETAVEELAE DPLKAKRRRISKGLHPKKQRHLLHLRERWEQQVSAAESKPGRQSRKEVAQAVQPEVTSQG TNITEEKPGRKKAEAKGNRGWSEESLKSCDNEQGLPVLSGSPPMKSLSSTNASGKKQTQP SCTPASRLPAKQQKIKESQKTDVLCTGEDEDCQAASPLQKYTDNIEKPSGKRLCKTKHLI PQESRRSLQITGDYYVENTDTKMTVRRFRKRPEPSSDYDLSPPAKQEPKPFDRLQQLLPA TQATQLPRSNSPQETTQSRPMPPEARRLIVNKNAGETLLQRAARLGYEEVVLYCLENKVC DVNHRDNAGYCALHEACARGWLNIVRHLLEYGADVNCSAQDGTRPLHDAVENDHLEIVRL LLSYGADPTLATYSGRTIMKMTHSELMEKFLTDYLNDLQGRSEDDTSGAWEFYGSSVCEP DDESGYDVLANPPGPEDPDEEEDTYSDLFEFEFAESSLLPCYNIQVSVAQGPRNWLLLSD VLKKLKMSSRIFRSNFPNLEIVTIAEAEFYRQVSTSLLFSCPKDLEAFNPESKELLDLVE FTNELQTLLGSSVEWLHPSDTGHENYW >ENSMUSP00000122829.1 pep:known chromosome:GRCm38:X:12058981:12128400:-1 gene:ENSMUSG00000040363.14 transcript:ENSMUST00000145872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcor description:BCL6 interacting corepressor [Source:MGI Symbol;Acc:MGI:1918708] MLSATPLYGNVHSWMNSERVRMCGTSEDRKIPVNDGDASKARLELREETPLSHSVVDTSG AHRIDGLAALSMDRTGLIREGLRVPGNIVYSGLCGLGSEKGREATPSSLSGLGFSSERNP EMQFK >ENSMUSP00000120046.1 pep:known chromosome:GRCm38:X:12059071:12128350:-1 gene:ENSMUSG00000040363.14 transcript:ENSMUST00000123004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcor description:BCL6 interacting corepressor [Source:MGI Symbol;Acc:MGI:1918708] MLSATPLYGNVHSWMNSERVRMCGTSEDRKIPVNDGDASKARLELREETPLSHSVVDTSG AHRIDGLAALSMDRTGLIREGLRVPGNIVYSGLCG >ENSMUSP00000087485.5 pep:known chromosome:GRCm38:3:146499807:146505572:1 gene:ENSMUSG00000068523.12 transcript:ENSMUST00000090031.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng5 description:guanine nucleotide binding protein (G protein), gamma 5 [Source:MGI Symbol;Acc:MGI:109164] MSGSSSVAAMKKVVQQLRLEAGLNRVKVSQAAADLKQFCLQNAQHDPLLTGVSSSTNPFR PQKVCSFL >ENSMUSP00000114014.1 pep:known chromosome:GRCm38:3:146499890:146505547:1 gene:ENSMUSG00000068523.12 transcript:ENSMUST00000118280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng5 description:guanine nucleotide binding protein (G protein), gamma 5 [Source:MGI Symbol;Acc:MGI:109164] MSGSSSVAAMKKVVQQLRLEAGLNRVKVSQAAADLKQFCLQNAQHDPLLTGVSSSTNPFR PQKVCSFL >ENSMUSP00000113018.1 pep:known chromosome:GRCm38:3:146500109:146505548:1 gene:ENSMUSG00000068523.12 transcript:ENSMUST00000119130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng5 description:guanine nucleotide binding protein (G protein), gamma 5 [Source:MGI Symbol;Acc:MGI:109164] MSNFCLRIVPGVSGLHLCGGPASNKEVSQAAADLKQFCLQNAQHDPLLTGVSSSTNPFRP QKVCSFL >ENSMUSP00000103492.1 pep:known chromosome:GRCm38:4:43851565:43855270:1 gene:ENSMUSG00000071000.2 transcript:ENSMUST00000107860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr155 description:olfactory receptor 155 [Source:MGI Symbol;Acc:MGI:1352681] MDRSNETAPLSGFILLGLSAHPKLEKTFFVLILMMYLVILLGNGVLILVSILDSHLHTPM YFFLGNLSFLDICYTTSSVPLILDSFLTPRKTISFSGCAVQMFLSFAMGATECVLLSMMA FDRYVAICNPLRYPVVMNKAAYVPMAASSWAGGITNSVVQTSLAMRLPFCGDNVINHFTC EILAVLKLACADISINVISMVVANMIFLAVPVLFIFVSYVFILVTILRIPSAEGRKKAFS TCSAHLTVVLVFYGTILFMYGKPKSKDPLGADKQDLADKLISLFYGVVTPMLNPIIYSLR NKDVRAAVRNLVGQKHLTE >ENSMUSP00000092726.1 pep:known chromosome:GRCm38:4:43854292:43855463:1 gene:ENSMUSG00000071000.2 transcript:ENSMUST00000095108.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr155 description:olfactory receptor 155 [Source:MGI Symbol;Acc:MGI:1352681] MDRSNETAPLSGFILLGLSAHPKLEKTFFVLILMMYLVILLGNGVLILVSILDSHLHTPM YFFLGNLSFLDICYTTSSVPLILDSFLTPRKTISFSGCAVQMFLSFAMGATECVLLSMMA FDRYVAICNPLRYPVVMNKAAYVPMAASSWAGGITNSVVQTSLAMRLPFCGDNVINHFTC EILAVLKLACADISINVISMVVANMIFLAVPVLFIFVSYVFILVTILRIPSAEGRKKAFS TCSAHLTVVLVFYGTILFMYGKPKSKDPLGADKQDLADKLISLFYGVVTPMLNPIIYSLR NKDVRAAVRNLVGQKHLTE >ENSMUSP00000061643.4 pep:known chromosome:GRCm38:13:96416446:96471160:-1 gene:ENSMUSG00000047117.12 transcript:ENSMUST00000055607.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankdd1b description:ankyrin repeat and death domain containing 1B [Source:MGI Symbol;Acc:MGI:2444730] MRAMNRTALHFAVGRNSLSAVDFLLSHKARVDVADKHGLTVIHLAAWSGSFEIMLMLVKA GADQRAKNQEGMNALHLAAQNNNLHIVDYLIHDLHLHDLNQPNERGRKPFHLAAERGHVE MIEKLIFLNLHTSEKDKDGNTALHLAAMHGHSPAVQVLLTQWSEVNESNENGETPFLLAV VGGHEECSRVLLAGGSDVNIPNKLNVSALQTATRNGHTALVNFLLGENADLQQQKESKEP PLHLAVINNRPAVVNSLLSARHDVDVLDQRRQTPLHVAADLGNVELVETLLKAGCNLKIT DKQGKTALAVAARSQHSLVVDMLIKAERYYAWREEHRESIQDSAVSSTLTFKQDHSLETR QIRTLLWNLAYRQLKKKDWQRLARLWSFTEDQIRAIEEQWSGNDSFHEHGYRALLIWLHG ALLTQLDPAKQLYEELLCAGFPELAEKIRQFKSKTDSSSKKCAVS >ENSMUSP00000138016.1 pep:known chromosome:GRCm38:13:96417095:96435952:-1 gene:ENSMUSG00000047117.12 transcript:ENSMUST00000181761.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankdd1b description:ankyrin repeat and death domain containing 1B [Source:MGI Symbol;Acc:MGI:2444730] XTATRNGHTALVNFLLGENADLQQQKESKEPPLHLAVINNRPAVVNSLLSARHDVDVLDQ KRFGSSKAKLTQAPRNVQSPKLKNTPITFLSSFSVGS >ENSMUSP00000137862.2 pep:known chromosome:GRCm38:13:96444340:96471198:-1 gene:ENSMUSG00000047117.12 transcript:ENSMUST00000181613.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankdd1b description:ankyrin repeat and death domain containing 1B [Source:MGI Symbol;Acc:MGI:2444730] MNPESAQGHGARAPMLQAARGLKANLRDVATRPWRSLARMPKPEVQDPETAAAGHEGLLA IERSFQNAAKSSNLDLMEKLFEKKVNINAVNNHGLTVIHLAAWSGSFEIMLMLVKAGADQ RAKNQEGMNALHLAAQNNNLHIVDYLIHDLHLHDLNQPNERGRKPFHLAAERGHVEMIEK LIFLNLHTSEKDKDGNTALHLAAMHG >ENSMUSP00000097318.3 pep:known chromosome:GRCm38:19:7596661:7607178:-1 gene:ENSMUSG00000024972.16 transcript:ENSMUST00000099729.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals12 description:lectin, galactose binding, soluble 12 [Source:MGI Symbol;Acc:MGI:1929094] MSTDEHLDPIPDSFILQPPVFHPVIPYGTTIFGGLYAGKMVTLQGVVPLHARRFQVDFQC GCCLHPQPDVAFRFSPRFYTVKPHVICNTHQGGLWQKEIRWPGVALQRGDSFLILFLFEN EEVKVSVNGQHFLHYRYRLPLSRVDTLDISGDILVKAVGFLNINPFVEGSREYPVGYPFL LYSPRLEVPCSRALPRGLWPGQVIVVRGLVLKEPKDFTLSLKDGTTHVPVTLRASFTDRT LAWVSSWGRKKLISAPFLFHPQRFFEVLLLCQEGGLKLALNGQGLGATSLDQKALEQLRE LRISGNVHLYCVHC >ENSMUSP00000124610.1 pep:known chromosome:GRCm38:19:7596660:7607193:-1 gene:ENSMUSG00000024972.16 transcript:ENSMUST00000159983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals12 description:lectin, galactose binding, soluble 12 [Source:MGI Symbol;Acc:MGI:1929094] MSTDEHLDPIPDSFILQPPVFHPVIPYGTTIFGGLYAGKMVTLQGVVPLHARRFQVDFQC GCCLHPQPDVAFRFSPRFYTVKPHVICNTHQGGLWQKEIRWPGVALQRGDSFLILFLFEN EEVKVSVNGQHFLHYRYRLPLSRVDTLDISGDILVKAVGFLNINPFVEGSREYPVGYPFL LYSPRLEVPCSRALPRGLWPGQVIVVRGLVLKEPKDFTLSLKDGTTHVPVTLRASFTDRT LAWVSSWGRKKLISAPFLFHPQRFFEVLLLCQEGGLKLALNGQGLGATSLDQKALEQLRE LRISGNVHLYCVHC >ENSMUSP00000078824.5 pep:known chromosome:GRCm38:19:7596714:7607103:-1 gene:ENSMUSG00000024972.16 transcript:ENSMUST00000079902.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals12 description:lectin, galactose binding, soluble 12 [Source:MGI Symbol;Acc:MGI:1929094] MSTDEHLDPIPDSFILQPPVFHPVIPYGTTIFGGLYAGKMVTLQGVVPLHARRFQVDFQC GCCLHPQPDVAFRFSPRFYTVKPHVICNTHQGGLWQKEIRWPGVALQRGDSFLILFLFEN EEVKVSVNGQHFLHYRYRLPLSRVDTLDISGDILVKAVGFLNINPFLLYSPRLEVPCSRA LPRGLWPGQVIVVRGLVLKEPKDFTLSLKDGTTHVPVTLRASFTDRTLAWVSSWGRKKLI SAPFLFHPQRFFEVLLLCQEGGLKLALNGQGLGATSLDQKALEQLRELRISGNVHLYCVH C >ENSMUSP00000035321.2 pep:known chromosome:GRCm38:5:31138063:31138829:-1 gene:ENSMUSG00000038676.6 transcript:ENSMUST00000043475.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucn description:urocortin [Source:MGI Symbol;Acc:MGI:1276123] MIQRGRATLLVALLLLAQLRPESSQWSPAAAAATGVQDPNLRWSPGVRNQGGGVRALLLL LAERFPRRAGSEPAGERQRRDDPPLSIDLTFHLLRTLLELARTQSQRERAEQNRIIFDSV GK >ENSMUSP00000144390.1 pep:known chromosome:GRCm38:5:31138063:31138829:-1 gene:ENSMUSG00000038676.6 transcript:ENSMUST00000201184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucn description:urocortin [Source:MGI Symbol;Acc:MGI:1276123] MIQRGRATLLVALLLLAQLRPESSQWSPAAAAATGVQDPNLRWSPGVRNQGGGVRALLLL LAERFPRRAGSEPAGERQRRDDPPLSIDLTFHLLRTLLELARTQSQRERAEQNRIIFDSV GK >ENSMUSP00000023087.6 pep:known chromosome:GRCm38:15:94577951:94589879:-1 gene:ENSMUSG00000022451.12 transcript:ENSMUST00000023087.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Twf1 description:twinfilin, actin-binding protein, homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1100520] MSHQTGIQASEDVKEIFARARNGKYRLLKISIENEQLVVGSCSPPSDSWEQDYDSFVLPL LEDKQPCYVLFRLDSQNAQGYEWIFIAWSPDHSHVRQKMLYAATRATLKKEFGGGHIKDE VFGTVKEDVSLHGYKKYLLSQSSPAPLTAAEEELRQIKINEVQTDVSVDTKHQTLQGVAF PISRDAFQALEKLSKKQLNYVQLEIDIKNETIILANTENTELRDLPKRIPKDSARYHFFL YKHSHEGDYLESVVFIYSMPGYTCSIRERMLYSSCKSPLLEIVERQLQMDVIRKIEIDNG DELTADFLYDEVHPKQHAHKQSFAKPKGPAGKRGIRRLIRGPAEAEATTD >ENSMUSP00000119302.1 pep:known chromosome:GRCm38:15:94584443:94589889:-1 gene:ENSMUSG00000022451.12 transcript:ENSMUST00000152590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Twf1 description:twinfilin, actin-binding protein, homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1100520] MSHQTGIQEQLVVGSCSPPSDSWEQDYDSFVLPLLEDKQPCYVLFRLDSQNAQGYEWIFI AWSPDHSHVRQKMLYAATRATLKKEFGGGHIKDEVFGTVKEDVSLHGYKKYLLS >ENSMUSP00000027068.4 pep:known chromosome:GRCm38:1:13564698:13589910:-1 gene:ENSMUSG00000025935.10 transcript:ENSMUST00000027068.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tram1 description:translocating chain-associating membrane protein 1 [Source:MGI Symbol;Acc:MGI:1919515] MAIRKKSNKNPPLLSHEFLLQNHADIVSCLAMLFLLGLMFEVTAKGAIIFVALQYNVTRP ATEEQATESASLYHYGIKDLATVLFYMLVAIIIHAIIQEYVLDKINRRMHFSKTKHSKFN ESGQLSAFYLFACVWGTFILISENYISDPTILWRAYPHNLMTFQTKFFYISQLAYWLHAF PELYFQKTKKEDIPRQLVYIGLYLFHIAGAYLLNLNHLGLVLLVLHYFVEFLFHISRLFY FSDEKYQKGFSLWAVLFVLGRLLTLILSVLTVGFGLARAENQKLDFSTGNFNVLAVRIAV LASICITQAFMMWKFINFQLRRWREHSAFQAPPVKRKPAVTKGRSSRKGTENGVNGTVTS NGADSPRNRKEKSS >ENSMUSP00000140499.1 pep:known chromosome:GRCm38:1:13578118:13589875:-1 gene:ENSMUSG00000025935.10 transcript:ENSMUST00000188377.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tram1 description:translocating chain-associating membrane protein 1 [Source:MGI Symbol;Acc:MGI:1919515] MAIRKKSNKNPPLLSHEFLLQNHADIVSCLAMLFLLGLMFEVTAKGAIIFVALQYNVTRP ATEN >ENSMUSP00000066822.7 pep:known chromosome:GRCm38:4:4133531:4138477:-1 gene:ENSMUSG00000045573.9 transcript:ENSMUST00000070375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Penk description:preproenkephalin [Source:MGI Symbol;Acc:MGI:104629] MARFLRLCTWLLALGSCLLATVQAECSQDCAKCSYRLVRPGDINFLACTLECEGQLPSFK IWETCKDLLQVSRPEFPWDNIDMYKDSSKQDESHLLAKKYGGFMKRYGGFMKKMDELYPM EPEEEANGGEILAKRYGGFMKKDADEGDTLANSSDLLKELLGTGDNRAKDSHQQESTNND EDMSKRYGGFMRSLKRSPQLEDEAKELQKRYGGFMRRVGRPEWWMDYQKRYGGFLKRFAE SLPSDEEGENYSKEVPEIEKRYGGFMRF >ENSMUSP00000122389.1 pep:known chromosome:GRCm38:4:4134112:4138819:-1 gene:ENSMUSG00000045573.9 transcript:ENSMUST00000133567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Penk description:preproenkephalin [Source:MGI Symbol;Acc:MGI:104629] MARFLRLCTWLLALGSCLLATVQAECSQDCAKCSYRLVRPGDINFLACTLECEGQLPSFK IWETCKDLLQVSRPEFPWDNIDMYKDSSKQDESHLLAKKYGGFMKRYGGFMKKMDELYPM EPEEEANGGEILAKRYGGFMKKDADEGDTLANSSDLLKELLGTGDNRAKDSHQQESTN >ENSMUSP00000059886.6 pep:known chromosome:GRCm38:19:12678166:12683851:1 gene:ENSMUSG00000045030.7 transcript:ENSMUST00000049724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1443 description:olfactory receptor 1443 [Source:MGI Symbol;Acc:MGI:3031277] MENRTEVTEFILLGVTNAPALQTPLFILFTLIYFINMTGNLGMLVLILWDSRLHTPMYIF LGNLSLVDIFYSSAVTPTVVAGLLVGNQAISYNACAAQMFLFVVFATAENFLLAAMAYDR YAAVCKPLHYTTTMTPTTCACLTMACYAGGFLNSSIHTGDTFRLYFCKSNVVHHFFCDVP AVMVLSCSDRHISEMVLLYGASFVICSALLVILISYIFIFITIFKMRSAAGYQKAMSTCV SHFTAVSIFYGTLIFMYLQPSSSHSMDTDKIVSVFYTMVIPMLNPVVYSLRNKEVKSAFK KVVEKAKYTLGF >ENSMUSP00000116004.1 pep:known chromosome:GRCm38:13:24845135:24901263:1 gene:ENSMUSG00000006711.15 transcript:ENSMUST00000141572.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:D130043K22Rik description:RIKEN cDNA D130043K22 gene [Source:MGI Symbol;Acc:MGI:3036268] MVSPPGVLSSLLLLAAMAGGSSQQCSEGRTYSDAIISPNPETIRIMRVSQTFSVGDCTAA CCDLLTCDLAWWFEGSCYLVKCMRSENCEPRTTGPIRSYLTFVRRPVQRPGQLLDYGDMM LSRGSPSGAWGDSLEDLRKDLPFLGKDGGPEETTEYSDEYKDLERGLLQPSNQQDPRGSA EYPDWSLLPSNEGGFNATATGDNSAASMEKLQDPTPHPLDQEQLQALNESTWSPTPGHSS ISSVWPSSASPLPTEEGLEGEETLQLQEQPSNSSGKEVPMPSHNPSPASLESSPATTEKN SNFTVTPRSRKHSTPTFPTSTVLTGLTPPPWPLSPTASRTVKALAVSAGDNLVLTLPDRE AELKASVEPAPPADTTYSYEWSLMSHPVDFQGKIKQENKPTLHLSQLSVGLYAFRVAVSS ENAFGEGYVNVTVMPAARVNQPPVAVVSPQTQELSVPLTSALIDGSQSTDDTEIVSYHWE EVDGPFLGEEFPADTPILRLSNLVPGNYTFRLTITDSDGATNSTTASLVIRDAVDYPPVA NAGPNQTITLPQNTIILNGNQSSDDHQIVLYEWFAGPGGESKEMVMQGAQTPYLHLSELQ EGEYTFQLMVTDSSGQQSTALVAVTVQAENNQAPVAVAGPDKELVFPVQSATLDGSRSSD DHGIVCYHWEHIRGPSAVEMENVDKAIATVTGLQVGIYHFRLTVRDQQGLSSTSTLTVAV KKENNSPPRAQAGGRHVLILPNNSITLDGSRSTDDRGIVSYLWIRDGQSPAAGTPRRMAW WS >ENSMUSP00000006893.8 pep:known chromosome:GRCm38:13:24845135:24901270:1 gene:ENSMUSG00000006711.15 transcript:ENSMUST00000006893.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D130043K22Rik description:RIKEN cDNA D130043K22 gene [Source:MGI Symbol;Acc:MGI:3036268] MVSPPGVLSSLLLLAAMAGGSSQQCSEGRTYSDAIISPNPETIRIMRVSQTFSVGDCTAA CCDLLTCDLAWWFEGSCYLVKCMRSENCEPRTTGPIRSYLTFVRRPVQRPGQLLDYGDMM LSRGSPSGAWGDSLEDLRKDLPFLGKDGGPEETTEYSDEYKDLERGLLQPSNQQDPRGSA EYPDWSLLPSNEGGFNATATGDNSAASMEKLQDPTPHPLDQEQLQALNESTWSPTPGHSS ISSVWPSSASPLPTEEGLEGEETLQLQEQPSNSSGKEVPMPSHNPSPASLESSPATTEKN SNFTVTPRSRKHSTPTFPTSTVLTGLTPPPWPLSPTASRTVKALAVSAGDNLVLTLPDRE AELKASVEPAPPADTTYSYEWSLMSHPVDFQGKIKQENKPTLHLSQLSVGLYAFRVAVSS ENAFGEGYVNVTVMPAARVNQPPVAVVSPQTQELSVPLTSALIDGSQSTDDTEIVSYHWE EVDGPFLGEEFPADTPILRLSNLVPGNYTFRLTITDSDGATNSTTASLVIRDAVDYPPVA NAGPNQTITLPQNTIILNGNQSSDDHQIVLYEWFAGPGGESKEMVMQGAQTPYLHLSELQ EGEYTFQLMVTDSSGQQSTALVAVTVQAENNQAPVAVAGPDKELVFPVQSATLDGSRSSD DHGIVCYHWEHIRGPSAVEMENVDKAIATVTGLQVGIYHFRLTVRDQQGLSSTSTLTVAV KKENNSPPRAQAGGRHVLILPNNSITLDGSRSTDDRGIVSYLWIRDGQSPAAGDVIGGSD HRAALQLTNLVEGVYTFHLLVTDSQGASDSDAATVEVLPDPKKDGLVELILQVGVEQLTE QQKETLVRQLAVLLNVLDSDVKVLKIQAHTDVSTVIVFYVQSGSPFKVLRAAAVARNLHK RLSKEKEAFLLFKVLRVDTAGCLLKCSGHGHCDPITKRCICSQLWMENLIQRYMWDGESN CEWSVFYVAALALTLTLLTGAVSWLCICCCRRRKRTKIRKKTKYTILDSMDEQERMELRP KYGIKHRSTEHNSSLMVSESEFESDQDTLFSRERMERGVLKGSLNGCARNGVSFGYYSKD R >ENSMUSP00000134222.1 pep:known chromosome:GRCm38:3:88532395:88541396:1 gene:ENSMUSG00000074480.4 transcript:ENSMUST00000172699.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mex3a description:mex3 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:1919890] MPSLVVSGIMERNGGFGELGCFGGSAKDRGLLEDERALQLALDQLCLLGLGEPPAPTAGE DGGGGGGGAPAQPAAPPQPAPPPPPAAAPAAPSAAPAAQTPQPPTAPKGANDAKLCALYK EAELRLKGSSNTTECVPVPTSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFMVT GRREDVATARREIISAAEHFSMIRASRNKSGAAFGVAPALPGQVTIRVRVPYRVVGLVVG PKGATIKRIQQQTNTYIITPSRDRDPVFEITGAPGNVERAREEIETHIAVRTGKILEYNS DGDFLAGSPDAALDSRYSDAWRVHAPGCKPLSTFRQNSLGCIGECGVDSAFEAPRLSEQG GDFGYSGYLFPGYGVGKQDVYYGVAETSPPLWAGQENATPTSVLFSSASSSSSSAKARAG PPGAHRSPATSAGPEQLTGLPRRPPGEPLQGFSKLGTGGLRSPGSGRDCMVCFESEVTAA LVPCGHNLFCMECAVRICERTDPECPVCHITATQAIRIFS >ENSMUSP00000028663.4 pep:known chromosome:GRCm38:2:91982328:92024502:-1 gene:ENSMUSG00000027230.9 transcript:ENSMUST00000028663.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb3l1 description:cAMP responsive element binding protein 3-like 1 [Source:MGI Symbol;Acc:MGI:1347062] MDAVLEPFPADRLFPGSSFLDLGDLNESDFLNNAHFPEHLDHFVENMEDFSNDLFSSFFD DPVLDEKSALLDMELDSPAPGIQAEHSYSLSGDSAPQSPLVPVKMEDTTQDVEHGAWALG NKLCSIMVKQEQSPELPVDPLAASSAMAAAAAMATPPLLGLSPMPRLPIPHQAPGEMTQL PVIKAEPPEMSQFLKVTPEDLVQMPPTPPSSHGSDSDGSQSPRSLPPSSPVRPMARSSTA ISTSPLLTAPHKLQGTSGPLLLTEEEKRTLIAEGYPIPTKLPLTKAEEKALKRVRRKIKN KISAQESRRKKKEYVECLEKKVETYTSENNELWKKVETLETANRTLLQQLQKLQTLVTSK ISRPYKMAATQTGTCLMVAALCFVLVLGSLVPCLPAFSSGSMTVKEDPIAADSVYAASQM PSRSLLFYDDGAGSWEDGRGALLPVEPPEGWELKPGGPAEQRPQDHLRHDRADSIHETTK YLRETWPEDTDDNGTSPNFSHPKEWFHDRDLGPNTTIKLS >ENSMUSP00000035332.5 pep:known chromosome:GRCm38:1:93151349:93160948:-1 gene:ENSMUSG00000034159.12 transcript:ENSMUST00000043718.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310007B03Rik description:RIKEN cDNA 2310007B03 gene [Source:MGI Symbol;Acc:MGI:1919124] MPGSEMPAPCVFAPDMAEQVPLWHHYLLAIQSRESPRVQDYQRAENILLTVLERVHALDS RFIVDYSRDLEAFQFALRSSEDPLDVEVLLGVDSEALLIEESEATEPGDGPAICRLGVLK EASGLEPWMTADIFSVSSEDRDKCCGHLVPSKVLCVLKDLLVAAIVHCKHHRLIPPGSLN AANLKEGQMRLSLLVSSGWRKIRFNVVPVVRKKHRVPALEGAQLKLGFPEGILRRIASHG VDLVPANAQHWRISTGYLLSRLLDALGSLPGHRLDSLSILDRVNLESWQGGSQNHGLTFD HLKTVLLWASTLFPAPEDWADLQGSVYRQLVVLLCCLATRKLPHFLYPEHNLLQDGGLDL GAIYQRVEHFASQPEESLRIHVTHLGPSRPPRIDNGVKALLQLPASDPTYWATAYFDFLL DKFQVFNIQDKDRISAMQNIFQKTKTMGSENS >ENSMUSP00000115971.1 pep:known chromosome:GRCm38:1:93151583:93160870:-1 gene:ENSMUSG00000034159.12 transcript:ENSMUST00000143419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310007B03Rik description:RIKEN cDNA 2310007B03 gene [Source:MGI Symbol;Acc:MGI:1919124] MPGSEMPAPCVFAPDMAEQVPLWHHYLLAIQSRESPRVQDYQRAENILLTVLERVHALDS RFIVDYSRDLEAFQFALRSSEDPLDVEVLLGVDSEALLIEESEATEPGDGPAICRLGVLK EASGLEPWMTADIFSVSSEDRDKCCGHLVPSKVLCVLKDLLVAAIVHCKHHRLIPPGSLN AANLKEGQMRLSLLVSSGWRKIRFNVVPVVRKKHRVPALEGAQLKLGFPEGILRRIASHG VDLVPANAQHWRISTGYLLSRLLDALGSLPGHRLDSLSILDRVNLESWQGGSQNHGLTFD HLKTVLLWASTLFPAPEDWADLQGSVYRQLVVLLCCLATRKLPHFLYPEHNLLQDGGLDL GAIYQRVEHFASQPEESLRIHVTHLGPSRPPRIDNGVKALLQLPASDPTYWATAYFDFLL DKFQVFNIQDKDRISAMQNIFQKTKTMGSENS >ENSMUSP00000108757.2 pep:known chromosome:GRCm38:2:34171457:34372038:-1 gene:ENSMUSG00000038718.15 transcript:ENSMUST00000113132.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx3 description:pre B cell leukemia homeobox 3 [Source:MGI Symbol;Acc:MGI:97496] MDDQSRMLQTLAGVNLAGHSVQGGMALPPPPHGHEGADGDGRKQDIGDILHQIMTITDQS LDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRGAQEEDPPDPQLMRLDNMLLAEGV SGPEKGGGSAAAAAAAAASGGSSDNSIEHSDYRAKLTQIRQIYHTELEKYEQACNEFTTH VMNLLREQSRTRPISPKEIERMVGIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRR NFSKQATEILNEYFYSHLSNPYPSEEAKEELAKKCSITVSQVSNWFGNKRIRYKKNIGKF QEEANLYAAKTAVTAAHAVAAAVQNNQTNSPTTPNSGGYPPSCYQSDGRLQ >ENSMUSP00000045281.7 pep:known chromosome:GRCm38:2:34171723:34372044:-1 gene:ENSMUSG00000038718.15 transcript:ENSMUST00000040638.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx3 description:pre B cell leukemia homeobox 3 [Source:MGI Symbol;Acc:MGI:97496] MDDQSRMLQTLAGVNLAGHSVQGGMALPPPPHGHEGADGDGRKQDIGDILHQIMTITDQS LDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRGAQEEDPPDPQLMRLDNMLLAEGV SGPEKGGGSAAAAAAAAASGGSSDNSIEHSDYRAKLTQIRQIYHTELEKYEQACNEFTTH VMNLLREQSRTRPISPKEIERMVGIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRR NFSKQATEILNEYFYSHLSNPYPSEEAKEELAKKCSITVSQVSNWFGNKRIRYKKNIGKF QEEANLYAAKTAVTAAHAVAAAVQNNQTNSPTTPNSGSSGSFNLPNSGDMFMNMQSLNGD SYQGSQVGANVQSQVDTLRHVINQTGGYSDGLGANSLYSPHNLNANGGWQDATTPSSVTS PTEGPGSVHSDTSN >ENSMUSP00000123567.1 pep:known chromosome:GRCm38:2:34172881:34371920:-1 gene:ENSMUSG00000038718.15 transcript:ENSMUST00000153278.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pbx3 description:pre B cell leukemia homeobox 3 [Source:MGI Symbol;Acc:MGI:97496] MDDQSRMLQTLAGVNLAGHSVQGGMALPPPPHGHEGADGDGRKQDIGDILHQIMTITDQS LDEAQAKKHALNCHRMKPALFSVLCEIKEKTGM >ENSMUSP00000119914.1 pep:known chromosome:GRCm38:2:34172881:34371920:-1 gene:ENSMUSG00000038718.15 transcript:ENSMUST00000143776.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pbx3 description:pre B cell leukemia homeobox 3 [Source:MGI Symbol;Acc:MGI:97496] MDDQSRMLQTLAGVNLAGHSVQGGMALPPPPHGHEGADGDGRKQDIGDILHQIMTITDQS LDEAQAKKHALNCHRMKPALFSVLCEIKEKTGM >ENSMUSP00000135838.2 pep:known chromosome:GRCm38:2:34176859:34370846:-1 gene:ENSMUSG00000038718.15 transcript:ENSMUST00000175855.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pbx3 description:pre B cell leukemia homeobox 3 [Source:MGI Symbol;Acc:MGI:97496] XCEIKEKTGLSIRGAQEEDPPDPQLMRLDNMLLAEGVSGPEKGM >ENSMUSP00000135226.1 pep:known chromosome:GRCm38:2:34213400:34373142:-1 gene:ENSMUSG00000038718.15 transcript:ENSMUST00000138021.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx3 description:pre B cell leukemia homeobox 3 [Source:MGI Symbol;Acc:MGI:97496] MGDHAVGYGKHALNCHRMKPALFSVLCEIKEKTGLSIRGAQEEDPPDPQLMRLDNMLLAE GVSGPEKGGGSAAAAAAAAASGGSSDNSIEHSDYRAKLTQIRQIYHTELEKYEQACNEFT THVMNLLR >ENSMUSP00000115710.1 pep:known chromosome:GRCm38:2:34224407:34371253:-1 gene:ENSMUSG00000038718.15 transcript:ENSMUST00000141653.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx3 description:pre B cell leukemia homeobox 3 [Source:MGI Symbol;Acc:MGI:97496] MKPALFSVLCEIKEKTGLSIRGAQEEDPPDPQLMRLDNMLLAEGVSGPEKGGGSAAAAAA AAASGGSSDNSIEHSDYRAKLTQIRQIYHTELEKYE >ENSMUSP00000114695.1 pep:known chromosome:GRCm38:2:34224534:34371150:-1 gene:ENSMUSG00000038718.15 transcript:ENSMUST00000127353.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx3 description:pre B cell leukemia homeobox 3 [Source:MGI Symbol;Acc:MGI:97496] MKPALFSVLCEIKEKTGLSIRGAQEEDPPDPQLMRLDNMLLAEGVSGPEKGGGS >ENSMUSP00000019514.9 pep:known chromosome:GRCm38:7:16915379:16924114:-1 gene:ENSMUSG00000019370.10 transcript:ENSMUST00000019514.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calm3 description:calmodulin 3 [Source:MGI Symbol;Acc:MGI:103249] MADQLTEEQIAEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADG NGTIDFPEFLTMMARKMKDTDSEEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDE EVDEMIREADIDGDGQVNYEEFVQMMTAK >ENSMUSP00000133559.1 pep:known chromosome:GRCm38:7:16915401:16917165:-1 gene:ENSMUSG00000019370.10 transcript:ENSMUST00000172594.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Calm3 description:calmodulin 3 [Source:MGI Symbol;Acc:MGI:103249] TNLGEKLTDEEVDEMIREADIDGDGQVNYEEFVQMMTAK >ENSMUSP00000134395.1 pep:known chromosome:GRCm38:7:16915937:16917203:-1 gene:ENSMUSG00000019370.10 transcript:ENSMUST00000173139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calm3 description:calmodulin 3 [Source:MGI Symbol;Acc:MGI:103249] XGYISAAELRHVMTNLGEKLTDEEVDEMIREALEANMLSLLEGDCIEWTALGSRCRCSET IWLAF >ENSMUSP00000099030.4 pep:known chromosome:GRCm38:6:40574894:40585803:-1 gene:ENSMUSG00000029915.14 transcript:ENSMUST00000101491.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec5a description:C-type lectin domain family 5, member a [Source:MGI Symbol;Acc:MGI:1345151] MNWHMIISGLIVVVIKVVGMTFFLLYFSQIFGRNDESTMPTRSYGTVCPRNWDFHQGKCF FFSFSESPWKDSMDYCATQGSTLAIVNTPEKLKYLQDIAGIENYFIGLVRQPGEKKWRWI NNSVFNGNVTNQDQNFDCVTIGLTKTYDAASCEVSYRWICEMNAK >ENSMUSP00000121848.2 pep:known chromosome:GRCm38:6:40577850:40585795:-1 gene:ENSMUSG00000029915.14 transcript:ENSMUST00000129948.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec5a description:C-type lectin domain family 5, member a [Source:MGI Symbol;Acc:MGI:1345151] MNWHMIISGLIVVVIKVVGMTFFLLYFPQVFGKSNDGFVPTESYGTTSVQNVSQIFGRND ESTMPTRSYGTVCPRNWDFHQGKCFFFSFSESPWKDSMDYCATQGSTLAIVNTPEKLKYL QDIAGIENYFIGLVRQPGEKKWRWINNSVFNGNVTNQDQNFDCVTIGLTKTYDAASCEVS YRWICEMNAK >ENSMUSP00000135240.1 pep:known chromosome:GRCm38:6:40577960:40585790:-1 gene:ENSMUSG00000029915.14 transcript:ENSMUST00000177178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec5a description:C-type lectin domain family 5, member a [Source:MGI Symbol;Acc:MGI:1345151] MNWHMIISGLIVVVIKVVGMTFFLLYFSQIFGRNDESTMPTRSYGTVCPRNWDFHQGKCF FFSFSESPWKDSMDYCATQGSTLAIVNTPEKLYLQDIAGIENYFIGLVRQPGEKKWRWIN NSVFNGNVTNQDQNFDCVTIGLTKTYDAASCEVSYRWICEMNAK >ENSMUSP00000120010.1 pep:known chromosome:GRCm38:5:100442200:100500639:-1 gene:ENSMUSG00000035310.15 transcript:ENSMUST00000144030.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lin54 description:lin-54 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2140902] MEVVPAEIAKKPRTPTSGPVITKLIFAKPINSKAVTGQTTQASPPVVTGRVLSQSTPGTP SKTITISESGVIGSTLNSTTQTPNKIAISPLKSPNKNN >ENSMUSP00000123425.1 pep:known chromosome:GRCm38:5:100442042:100498578:-1 gene:ENSMUSG00000035310.15 transcript:ENSMUST00000123572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin54 description:lin-54 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2140902] MEVVPAEVNSLLPDDIMDTAITLVDEDSIEAVIVSSPIPMETELEEIVNINSTGDSTATP ISTEPITVYSNHTNQVAVNTTVSKADSNTTVKPAFPSGLQKLGAQTPVTISANQIILNKV SQTSDLKLGNQTLKPDGQKLILTTLGKSGSPIVLALPHSQLPQAQKVTAQAQPGDAKLPP QQIKVVTIGGRPEVKPVIGVSALTPGSQLINTTTQPSVLQTQQLKTVQIAKKPRTPTSGP VITKLIFAKPINSKAVTGQTTQASPPVVTGRVLSQSTPGTPSKTITISESGVIGSTLNST TQTPNKIAISPLKSPNKTVKSAVQTITVGGMSTSQFKTIIPLATAPNVQQIQVPGSKFHY VRLVTATTASSSAQPVSQSPSVNTQPLQQAKPVVVNTTPVRMSVPFVQAQAVKQVVPKPI NSTSQIVTTSQPQQRLIMPATPLPQIQPNLTNLPPGTVLAPAPGTGNVGYAVLPAQYVTQ LQQSSYVSIASNSNFTGTSGIQTQARLPFNGIIPSESTSRPRKPCNCTKSLCLKLYCDCF ANGEFCNNCNCTNCYNNLEHENERQKAIKACLDRNPEAFKPKIGKGKEGESDRRHSKGCN CKRSGCLKNYCECYEAKIMCSSICKCIGCKNFEESPERKTLMHLADAAEVRVQQQTAAKT KLSSQISDLLTRPTPALNSAGGKLPFTFVTKEVAEATCNCLLAQAEQADKKGKSKAAAER MILEEFGRCLMSVINSAGKAKSDPCAMHC >ENSMUSP00000120644.1 pep:known chromosome:GRCm38:5:100441928:100498591:-1 gene:ENSMUSG00000035310.15 transcript:ENSMUST00000137750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin54 description:lin-54 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2140902] MEVVPAEIAKKPRTPTSGPVITKLIFAKPINSKAVTGQTTQASPPVVTGRVLSQSTPGTP SKTITISESGVIGSTLNSTTQTPNKIAISPLKSPNKTVKSAVQTITVGGMSTSQFKTIIP LATAPNVQQIQVPGSKFHYVRLVTATTASSSAQPVSQSPSVNTQPLQQAKPVVVNTTPVR MSVPFVQAQAVKQVVPKPINSTSQIVTTSQPQQRLIMPATPLPQIQPNLTNLPPGTVLAP APGTGNVGYAVLPAQYVTQLQQSSYVSIASNSNFTGTSGIQTQARLPFNGIIPSESTSRP RKPCNCTKSLCLKLYCDCFANGEFCNNCNCTNCYNNLEHENERQKAIKACLDRNPEAFKP KIGKGKEGESDRRHSKGCNCKRSGCLKNYCECYEAKIMCSSICKCIGCKNFEESPERKTL MHLADAAEVRVQQQTAAKTKLSSQISDLLTRPTPALNSAGGKLPFTFVTKEVAEATCNCL LAQAEQADKKGKSKAAAERMILEEFGRCLMSVINSAGKAKSDPCAMHC >ENSMUSP00000123544.1 pep:known chromosome:GRCm38:5:100441918:100500592:-1 gene:ENSMUSG00000035310.15 transcript:ENSMUST00000149714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin54 description:lin-54 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2140902] MEVVPAEIAKKPRTPTSGPVITKLIFAKPINSKAVTGQTTQASPPVVTGRVLSQSTPGTP SKTITISESGVIGSTLNSTTQTPNKIAISPLKSPNKTVKSAVQTITVGGMSTSQFKTIIP LATAPNVQQIQVPGSKFHYVRLVTATTASSSAQPVSQSPSVNTQPLQQAKPVVVNTTPVR MSVPFVQAQAVKQVVPKPINSTSQIVTTSQPQQRLIMPATPLPQIQPNLTNLPPGTVLAP APGTGNVGYAVLPAQYVTQLQQSSYVSIASNSNFTGTSGIQTQARLPFNGIIPSESTSRP RKPCNCTKSLCLKLYCDCFANGEFCNNCNCTNCYNNLEHENERQKAIKACLDRNPEAFKP KIGKGKEGESDRRHSKGCNCKRSGCLKNYCECYEAKIMCSSICKCIGCKNFEESPERKTL MHLADAAEVRVQQQTAAKTKLSSQISDLLTRPTPALNSAGGKLPFTFVTKEVAEATCNCL LAQAEQADKKGKSKAAAERMILEEFGRCLMSVINSAGKAKSDPCAMHC >ENSMUSP00000121137.1 pep:known chromosome:GRCm38:5:100442033:100498633:-1 gene:ENSMUSG00000035310.15 transcript:ENSMUST00000154921.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin54 description:lin-54 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2140902] MEVVPAEIAKKPRTPTSGPVITKLIFAKPINSKAVTGQTTQASPPVVTGRVLSQSTPGTP SKTITISESGVIGSTLNSTTQTPNKIAISPLKSPNKTVKSAVQTITVGGMSTSQFKTIIP LATAPNVQQIQVPGSKFHYVRLVTATTASSSAQPVSQSPSVNTQPLQQAKPVVVNTTPVR MSVPFVQAQAVKQVVPKPINSTSQIVTTSQPQQRLIMPATPLPQIQPNLTNLPPGTVLAP APGTGNVGYAVLPAQYVTQLQQSSYVSIASNSNFTGTSGIQTQARLPFNGIIPSESTSRP RKPCNCTKSLCLKLYCDCFANGEFCNNCNCTNCYNNLEHENERQKAIKACLDRNPEAFKP KIGKGKEGESDRRHSKGCNCKRSGCLKNYCECYEAKIMCSSICKCIGCKNFEESPERKTL MHLADAAEVRVQQQTAAKTKLSSQISDLLTRPTPALNSAGGKLPFTFVTKEVAEATCNCL LAQAEQADKKGKSKAAAERMILEEFGRCLMSVINSAGKAKSDPCAMHC >ENSMUSP00000121902.1 pep:known chromosome:GRCm38:5:100443552:100498523:-1 gene:ENSMUSG00000035310.15 transcript:ENSMUST00000152387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin54 description:lin-54 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2140902] MEVVPAEVNSLLPDDIMDTAITLVDEDSIEAVIVSSPIPMETELEEIVNINSTGDSTATP ISTEPITVYSNHTNQVAVNTTVSKADSNTTVKPAFPSGLQKLGAQTPVTISANQIILNKV SQTSDLKLGNQTLKPDGQKLILTTLGKSGSPIVLALPHSQLPQAQKVTAQAQPGDAKLPP QQIKVVTIGGRPEVKPVIGVSALTPGSQLINTTTQPSVLQTQQLKTVQTVKSAVQTITVG GMSTSQFKTIIPLATAPNVQQIQVPGSKFHYVRLVTATTASSSAQPVSQSPSVNTQPLQQ AKPVVVNTTPVRMSVPFVQAQAVKQVVPKPINSTSQIVTTSQPQQRLIMPATPLPQIQPN LTNLPPGTVLAPAPGTGNVGYAVLPAQYVTQLQQSSYVSIASNSNFTGTSGIQTQARLPF NGIIPSESTSRPRKPCNCTKSLCLKLYCDCFANGEFCNNCNCTNCYNNLEHENERQKAIK ACLDRNPEAFKPKIGKGKEGESDRRHSKGCNCKRSGCLKNYCECYEAKIMCSSICKCIGC KNFEESPERKTLMHLADAAEVRVQQQTAAKTKLSSQISDLLTRPTPALNSAGGKLPFTFV TKEVAEATCNCLLAQAEQADKKGKSKAAAERMILEEFGRCLMSVINSAGKAKSDPCAMHC >ENSMUSP00000119322.1 pep:known chromosome:GRCm38:5:100443552:100498523:-1 gene:ENSMUSG00000035310.15 transcript:ENSMUST00000139234.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lin54 description:lin-54 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2140902] MEVVPAEVNSLLPDDIMDTAITLVDEDSIEAVIVSSPIPMETELEEIVNINSTGDSTATP ISTEPITVYSNHTNQVAVNTTVSKADSNTTVKPAFPSGLQKLGAQTPVTISANQIILNKV SQTSDLKLGNQTLKPDGQKLILTTLGKSGSPIVLALPHSQLPQAQKVTAQAQPGDAKLPP QQIKVVTIGGRPEVKPVIGVSALTPGSQLINTTTQPSVLQTQQLKTVQVGFFHSLLLELH QRL >ENSMUSP00000118673.1 pep:known chromosome:GRCm38:5:100459586:100485411:-1 gene:ENSMUSG00000035310.15 transcript:ENSMUST00000134439.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lin54 description:lin-54 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2140902] XKLILTTLGKSGSPIVLALPHSQLPQAQKVTAQAQPGDAKLPPQQIKVVTIGGRPEVKPV IGVSALTPGSQLINTTTQPSVLQTQQLKTVQIAKKPRTPTSGPVITKLIFAKPINSKAVT GQTTQASPPVVTGFFHSLLLELHQRL >ENSMUSP00000041374.5 pep:known chromosome:GRCm38:5:100442033:100500626:-1 gene:ENSMUSG00000035310.15 transcript:ENSMUST00000046154.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin54 description:lin-54 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2140902] MEVVPAEVNSLLPDDIMDTAITLVDEDSIEAVIVSSPIPMETELEEIVNINSTGDSTATP ISTEPITVYSNHTNQVAVNTTVSKADSNTTVKPAFPSGLQKLGAQTPVTISANQIILNKV SQTSDLKLGNQTLKPDGQKLILTTLGKSGSPIVLALPHSQLPQAQKVTAQAQPGDAKLPP QQIKVVTIGGRPEVKPVIGVSALTPGSQLINTTTQPSVLQTQQLKTVQIAKKPRTPTSGP VITKLIFAKPINSKAVTGQTTQASPPVVTGRVLSQSTPGTPSKTITISESGVIGSTLNST TQTPNKIAISPLKSPNKTVKSAVQTITVGGMSTSQFKTIIPLATAPNVQQIQVPGSKFHY VRLVTATTASSSAQPVSQSPSVNTQPLQQAKPVVVNTTPVRMSVPFVQAQAVKQVVPKPI NSTSQIVTTSQPQQRLIMPATPLPQIQPNLTNLPPGTVLAPAPGTGNVGYAVLPAQYVTQ LQQSSYVSIASNSNFTGTSGIQTQARLPFNGIIPSESTSRPRKPCNCTKSLCLKLYCDCF ANGEFCNNCNCTNCYNNLEHENERQKAIKACLDRNPEAFKPKIGKGKEGESDRRHSKGCN CKRSGCLKNYCECYEAKIMCSSICKCIGCKNFEESPERKTLMHLADAAEVRVQQQTAAKT KLSSQISDLLTRPTPALNSAGGKLPFTFVTKEVAEATCNCLLAQAEQADKKGKSKAAAER MILEEFGRCLMSVINSAGKAKSDPCAMHC >ENSMUSP00000038484.8 pep:known chromosome:GRCm38:8:124671335:124721972:-1 gene:ENSMUSG00000037300.17 transcript:ENSMUST00000041614.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc13 description:tetratricopeptide repeat domain 13 [Source:MGI Symbol;Acc:MGI:2384573] MAPAGCGCGCSGSLGAAVVRPLLLLLGALACARATEHYSPLSLLKQELQHRQQQEAPAGG GCPQSGDWADQYPECESSFLNFHESDCELRGSAPCDSLLSLNTEKILSQAKSIAEQKRFP FATDNDSTNEELAIAYVLVGSGLYDEAIRHFSTMLQEAIEAFKEALKQKVDFIDAYKSLG QAYRELGNFDAATESFQKALLLNQNHVQTLQLRGMMLYHHGSLQEALKNFKRCLQLEPYN EVCQYMKGLSHVAMGQFYEGIKAQTKVMLNDPLPGQKASPEYLRVKYLREYSRYLHAHLD TPLTEYNIDSDLPGSFKDHWAKNLPFLIDGYEEQPGLQPHIRDVLHQNFEGYKPEVQELI CVADRLGSLMQYETPGFLPNKRIHRAMGLAALEVMQAVHRTWTNSKVRMNGKTRLLQWRD MFDIAVKWRRIADPDQPVLWLDQMPAPSLSRGFNNHINLIRGQVINMRYLEYFEKILHFI KDRILVYHGANNPKGLLEVREALEKVHKVEDLLPIMKQFNTKTKDGFTVNTKVPSLKDQG KEYDGFTITITGDKVGNILFSVETQTTEERTQLYHAEIDALYKDLTAKGKVLTLSAEFGE ADAVCNLILSLVYYFYNLMPLSRGSSVIAYSVIVGALMASGKEVAGKIPKGKVCWAGGGT GMAAPRPGLLAVDRMRARPHTISFLLSRPAPPLFQLVDFEAMTAPGSEAFSKIAKSWMNL KSISPSYKTLPSVSETFPTLRSMIEVLNTDSTPRCLKKL >ENSMUSP00000114043.1 pep:known chromosome:GRCm38:8:124671335:124721964:-1 gene:ENSMUSG00000037300.17 transcript:ENSMUST00000117624.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc13 description:tetratricopeptide repeat domain 13 [Source:MGI Symbol;Acc:MGI:2384573] MAPAGCGCGCSGSLGAAVVRPLLLLLGALACARATEHYSPLSLLKQELQHRQQQEAPAGG GCPQSGDWADQYPECESSFLNFHESDCELRGSAPCDSLLSLNTEKILSQAKSIAEQKRFP FATDNDSTNEELAIAYVLVGSGLYDEAIRHFSTMLQEEPDLVSAIYGRGIAYGKKGLHEA IEAFKEALKQKVDFIDAYKSLGQAYRELGNFDAATESFQKALLLNQNHVQTLQLRGMMLY HHGSLQEALKNFKRCLQLEPYNEVCQYMKGLSHVAMGQFYEGIKAQTKVMLNDPLPGQKA SPEYLRVKYLREYSRYLHAHLDTPLTEYNIDSDLPGSFKDHWAKNLPFLIDGYEEQPGLQ PHIRDVLHQNFEGYKPEVQELICVADRLGSLMQYETPGFLPNKRIHRAMGLAALEVMQAV HRTWTNSKVRMNGKTRLLQWRDMFDIAVKWRRIADPDQPVLWLDQMPAPSLSRGFNNHIN LIRGQVINMRYLEYFEKILHFIKDRILVYHGANNPKGLLEVREALEKVHKVEDLLPIMKQ FNTKTKDGFTVNTKVPSLKDQGKEYDGFTITITGDKVGNILFSVETQTTEERTQLYHAEI DALYKDLTAKGKVLTLSAEFGEADAVCNLILSLVYYFYNLMPLSRGSSVIAYSVIVGALM ASGKEVAGKIPKGKVCWAGGGTGMAAPRPGLLAVDRMRARPHTISFLLSRPAPPLFQLVD FEAMTAPGSEAFSKIAKSWMNLKSISPSYKTLPSVSETFPTLRSMIEVLNTDSTPRCLKK L >ENSMUSP00000113383.1 pep:known chromosome:GRCm38:8:124671335:124721983:-1 gene:ENSMUSG00000037300.17 transcript:ENSMUST00000118134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc13 description:tetratricopeptide repeat domain 13 [Source:MGI Symbol;Acc:MGI:2384573] MAPAGCGCGCSGSLGAAVVRPLLLLLGALACARATEHYSPLSLLKQELQHRQQQEAPAGG GCPQSGDWADQYPECESSFLNFHESDCELRGSAPCDSLLSLNTEKILSQAKSIAEQKRFP FATDNDSTNEELAIAYVLVGSGLYDEAIRHFSTMLQILSPLGRINEAVNDLTKAIQLQPS ARLYRHRGTLYFISEDYATAHEDFQQSLELNRNQPTAMLYKGLTFFHRGLLKEAIEAFKE ALKQKVDFIDAYKSLGQAYRELGNFDAATESFQKALLLNQNHVQTLQLRGMMLYHHGSLQ EALKNFKRCLQLEPYNEVCQYMKGLSHVAMGQFYEGIKAQTKVMLNDPLPGQKASPEYLR VKYLREYSRYLHAHLDTPLTEYNIDSDLPGSFKDHWAKNLPFLIDGYEEQPGLQPHIRDV LHQNFEGYKPEVQELICVADRLGSLMQYETPGFLPNKRIHRAMGLAALEVMQAVHRTWTN SKVRMNGKTRLLQWRDMFDIAVKWRRIADPDQPVLWLDQMPAPSLSRGFNNHINLIRGQV INMRYLEYFEKILHFIKDRILVYHGANNPKGLLEVREALEKVHKVEDLLPIMKQFNTKTK DGFTVNTKVPSLKDQGKEYDGFTITITGDKVGNILFSVETQTTEERTQLYHAEIDALYKD LTAKGKVLTLSAEFGEADAVCNLILSLVYYFYNLMPLSRGSSVIAYSVIVGALMASGKEV AGKIPKGKVCWAGGGTGMAAPRPGLLAVDRMRARPHTISFLLSRPAPPLFQLVDFEAMTA PGSEAFSKIAKSWMNLKSISPSYKTLPSVSETFPTLRSMIEVLNTDSTPRCLKKL >ENSMUSP00000073700.2 pep:known chromosome:GRCm38:6:130013033:130026954:-1 gene:ENSMUSG00000061769.2 transcript:ENSMUST00000074056.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra6 description:killer cell lectin-like receptor, subfamily A, member 6 [Source:MGI Symbol;Acc:MGI:101902] MSEPEVTYSTVRLHKSSRLQKLVRHEETQGPREAGYRKCSVCWQLIVKALGILCFLLLIT VAVLAVKIFQYGQHNQEIHETLNYHHNCSNMQSDFNLKEEMLTNRSIDSRPGNELLESLN REQNRGYSETKTDLDSSQDTGTGVKYWFCYRTKCYYFIMNKNTWSGCKQNCQHYSLPLVK IDDENELKFLQFQVIPDSYWIGLSYDKEKKEWAWIDNGQSKLDMKIRKMNFKPGGCVFLS KRRLEDTNCKNSHYCICGKKLDKFPH >ENSMUSP00000026990.5 pep:known chromosome:GRCm38:13:54458837:54468849:-1 gene:ENSMUSG00000025872.5 transcript:ENSMUST00000026990.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc3 description:THO complex 3 [Source:MGI Symbol;Acc:MGI:1920916] MAAPAAVLGPSALGQSGPGSMAPWCSVSSGPSRYVLGMQELFRGHSKTREFPAHSAKVHS VAWSCDGRRLASGSFDKTASVFLLEKDRLVKENNYRGHGDSVDQLCWHPSNPDLFVTASG DKTIRIWDVRTTKCIATVNTKGENINICWSPDGQTIAVGNKDDVVTFIDAKTHRSKAEEQ FKFEVNEISWNNDNNMFFLTNGNGCINILSYPELKPVQSINAHPSNCICIKFDPMGKYFA TGSADALVSLWDVDELVCVRCFSRLDWPVRTLSFSHDGKMLASASEDHFIDIAEVETGDK LWEVQCESPTFTVAWHPKRPLLAFACDDKDGKYDSSREAGTVKLFGLPNDS >ENSMUSP00000022746.6 pep:known chromosome:GRCm38:15:6813577:6874969:-1 gene:ENSMUSG00000022146.12 transcript:ENSMUST00000022746.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osmr description:oncostatin M receptor [Source:MGI Symbol;Acc:MGI:1330819] MAFSVVLHPAFLLAVLSLRASRSEVLEEPLPLTPEIHKVSFQLKLQEVNLEWTVPALTHE ELNMIFQIEISRLNISNTIWVENYSTTVKREEAVRWNWTSDIPLECVKHFIRIRALVDDT KSLPQSSWGNWSSWKEVNAKVSVEPDKSLIFPKDKVLEEGSNVTICLMYGQNVYNVSCKL QDEPIHGEQLDSHVSLLKLNNVVFLSDTGTNINCQATKGPKRIFGTVLFVSKVLEEPKNV SCETRDFKTLDCSWEPGVDTTLTWRKQRFQNYTLCESFSKRCEVSNYRNSYTWQITEGSQ EMYNFTLTAENQLRKRSVNINFNLTHRVHPKAPQDVTLKIIGATKANMTWKVHSHGNNYT LLCQVKLQYGEVIHEHNVSVHMSANYLFSDLDPDTKYKAFVRCASANHFWKWSDWTQKEF STPETAPSQALDVWRQVWSENGRRIVTLFWKPLLKSQANGKIISYNIVVENEAKPTESEH YCVWAPALSTNLSLDLQPYKIRITANNSMGASPESLMVLSNDSGHEEVKEKTIKGIKDAF NISWEPVSGDTMGYVVDWCAHSQDQRCDLQWKNLGPNTTSTTITSDDFKPGVRYNFRIFE RSVEHKARLVEKQRGYTQELAPLVNPKVEIPYSTPNSFVLRWPDYDSDFQAGFIKGYLVY VKSKEMQCNQPWERTLLPDNSVLCKYDINGSETKTLTVENLQPESLYEFFVTPYTSAGPG PNETFTKVTTPDARSHMLLQIILPMTLCVLLSIIVCYWKSQWVKEKCYPDIPNPYKSSIL SLIKSKKNPHLIMNVKDCIPDVLEVINKAEGSKTQCVGSGKLHIEDVPTKPPIVPTEKDS SGPVPCIFFENFTYDQSAFDSGSHGLIPGPLKDTAHQLGLLAPPNKFQNVLKNDYMKPLV ESPTEETSLIYVSQLASPMCGDKDTLATEPPVPVHGSEYKRQMVVPGSLASPSLKEDNSL TSTVLLGQGEQ >ENSMUSP00000135204.1 pep:known chromosome:GRCm38:15:6815037:6874969:-1 gene:ENSMUSG00000022146.12 transcript:ENSMUST00000176826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osmr description:oncostatin M receptor [Source:MGI Symbol;Acc:MGI:1330819] MAFSVVLHPAFLLAVLSLRASRSEVLEEPLPLTPEIHKVSFQLKLQEVNLEWTVPALTHE ELNMIFQIEISRLNISNTIWVENYSTTVKREEAVRWNWTSDIPLECVKHFIRIRALVDDT KSLPQSSWGNWSSWKEVNAKVSVEPDKSLIFPKDKVLEEGSNVTICLMYGQNVYNVSCKL QDEPIHGEQLDSHVSLLKLNNVVFLSDTGTNINCQATKGPKRIFGTVLFVSKVLEEPKNV SCETRDFKTLDCSWEPGVDTTLTWRKQRFQNYTLCESFSKRCEVSNYRNSYTWQITEGSQ EMYNFTLTAENQLRKRSVNINFNLTHRVHPKAPQDVTLKIIGATKANMTWKVHSHGNNYT LLCQVKLQYGEVIHEHNVSVHMSANYLFSDLDPDTKYKAFVRCASANHFWKWSDWTQKEF STPETAPSQALDVWRQVWSENGRRIVTLFWKPLLKSQANGKIISYNIVVENEAKPTESEH YCVWAPALSTNLSLDLQPYKIRITANNSMGASPESLMVLSNDSGHEVKEKTIKGIKDAFN ISWEPVSGDTMGYVVDWCAHSQDQRCDLQWKNLGPNTTSTTITSDDFKPGVRYNFRIFER SVEHKARLVEKQRGYTQELAPLVNPKVEIPYSTPNSFVLRWPDYDSDFQAGFIKGYLVYV KSKEMQCNQPWERTLLPDNSVLCKYDINGSETKTLTVENLQPESLYEFFVTPYTSAGPGP NETFTKVTTPDARSHMLLQIILPMTLCVLLSIIVCYWKSQWVKEKCYPDIPNPYKSSILS LIKSKKNPHLIMNVKDCIPDVLEVINKAEGSKTQCVGSGKLHIEDVPTKPPIVPTEKDSS GPVPCIFFENFTYDQSAFDSGSHGLIPGPLKDTAHQLGLLAPPNKFQNVLKNDYMKPLVE SPTEETSLIYVSQLASPMCGDKDTLATEPPVPVHGSEYKRQMVVPGSLASPSLKEDNSLT STVLLGQGEQ >ENSMUSP00000085311.5 pep:known chromosome:GRCm38:15:101858732:101869705:-1 gene:ENSMUSG00000067594.5 transcript:ENSMUST00000087996.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt77 description:keratin 77 [Source:MGI Symbol;Acc:MGI:3588209] MSRQFSSQSAFSSRSRRAYSSRSSSGFGGGRQALVSVSQSRRYGGDYGGGFSSRSLYSLG GSKSIFGNLVGRSASGFCQSRGPGGGFGGGIGGGIGGGRGFGGGGFGGGYGGGGRFGGGF GGAGFGFGGFGPSYPPGGIHEVTINQSLLEPLHLEVDPEIQRVKTQEREQIKTLNNKFAS FIDKVRFLEQQNQVLQTKWELLQQVNTSTRTSSLEPVFEEFISQLQRQVDVLTTEQLRQN TEIRNMQDVVEDYKNKYEDEINKRTNAENDFVVLKKDVDAAFMGKSDLQSKVDTLYGEIN FLKYLFDTELSQIQTHVSDTNVILSMDNNRSLDLDSIIDAVRAQYEIIAQKSKDEAEALY QTKYQELQITAGKHGDDLKNSKMEISELNRNIQRLRAEIANIKKQVEGMHGLISDAEERG ERALQNAKQKLQDMEEALQQAKEDLAKLLRDYQAMLGAKLSLDVEIATYRQLLEGEESRM SGALQSQVSISVQSSQVTIGGGGGGSGSYSGSSRGGGGGGGGTGSRGGGGGGGGSSYVSS SRSATKYGSGGGSSRTQILQTSTHSSRRHVVE >ENSMUSP00000130177.1 pep:known chromosome:GRCm38:3:32471588:32492231:-1 gene:ENSMUSG00000091091.2 transcript:ENSMUST00000164954.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb3 description:potassium large conductance calcium-activated channel, subfamily M, beta member 3 [Source:MGI Symbol;Acc:MGI:3612244] MQPFSIPVQITLQGGRRRQGRTALPASGKINGDPLKVHPKLPSSAGEDRAMLLGVAMMAS SVLMFFLLGTTVLKPFMLRSPREESNCTTVHTHIVDDGLDFSFTCEGSCQDHGRSPCLQV FVNLSHSGQKVLLHYDDEAIRTNPKCFYTPKCHGDRDDLLNSVLDIKEFFDHNNGTFPCF YSPDGPLGVVLRKSGHKVVFHCLFWPLLTLLGGALIVGLVRLTQHLSFQCEQYSTVVRA >ENSMUSP00000035908.7 pep:known chromosome:GRCm38:6:54039573:54300721:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000046856.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MAASSNSSLSGSSVSSDAEEYQPPIWKSYLYQLQQEAPRPKRIICPREVENRPKYYGREF HGIISREQADELLGGVEGAYILRESQRQPGCYTLALRFGNQTLNYRLFHDGKHFVGEKRF ESIHDLVTDGLITLYIETKAAEYIAKMTTNPIYEHIGYATLLREKVSRRLSRSKNESRKA SVSNEEHTPVEKISSLVRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIA QGVRCSDCGLNVHKQCSKHVPNDCQPDLKRIKKVYCCDLTTLVKAHNTQRPMVVDICIRE IEARGLKSEGLYRVSGFTEHIEDVKMAFDRDGEKADISANIYPDINIITGALKLYFRDLP IPIITYDTYSKFIEAAKISNADERLEAVHEVLMLLPPAHYETLRYLMIHLKKVTMNEKDN LMNAENLGIVFGPTLMRPPEDSTLTTLHDMRYQKLIVQILIENEDVLF >ENSMUSP00000145072.1 pep:known chromosome:GRCm38:6:54039613:54220570:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000133315.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MAASSNSSLSGSSVSSDAEEYQPPIWKSYLYQLQQEAPRPKRIICPREVSWDHLSGAG >ENSMUSP00000118990.1 pep:known chromosome:GRCm38:6:54040086:54195872:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000127323.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MAASSNSSLSGSSVSSDAEEYQPPIWKSYLYQLQQEAPRPKRIICPRELPSHSLASFHLQ FYFCGKGLKFIHQIILILIDRVDFLVSGMSLERRKDLMMRTAQ >ENSMUSP00000114476.1 pep:known chromosome:GRCm38:6:54264858:54300293:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000146114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MALQCRISSLVRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIAQGVRCS DCGLNVHKQCSKHVPNDCQPDLKRIKKVYCCDLTTLVKAHNTQRPMVVDICIREIEARGL KSEGLYRVSGFTEHIEDVKMAFDRDGEKADISANIYPDINIITGALKLYFRDLPIPIITY DTYSKFIEAAKISNADERLEAVHEVLMLLPPAHYETLRYLMIHLKKVTMNEKDNLMNAEN LGIVFGPTLMRPPEDSTLTTLHDMRYQKLIVQILIENEDVLF >ENSMUSP00000110044.2 pep:known chromosome:GRCm38:6:54267131:54300085:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000114402.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MISSLVRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIAQGVRCSDCGLN VHKQCSKHVPNDCQPDLKRIKKVYCCDLTTLVKAHNTQRPMVVDICIREIEARGLKSEGL YRVSGFTEHIEDVKMAFDRDGEKADISANIYPDINIITGALKLYFRDLPIPIITYDTYSK FIEAAKISNADERLEAVHEVLMLLPPAHYETLRYLMIHLKKVTMN >ENSMUSP00000110043.1 pep:known chromosome:GRCm38:6:54269156:54300391:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000114401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MISSLVRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIAQGVRCSDCGLN VHKQCSKHVPNDCQPDLKRIKKVYCCDLTTLVKAHNTQRPMVVDICIREIEARGLKSEGL YRVSGFTEHIEDVKMAFDRDGEKADISANIYPDINIITGALKLYFRDLPIPIITYDTYSK FIEAAKISNADERLEAVHEVLMLLPPAHYETLRYLMIHLKKVTMNEKDNLMNAENLGIVF GPTLMRPPEDSTLTTLHDMRYQKLIVQILIENEDVLF >ENSMUSP00000066078.7 pep:known chromosome:GRCm38:6:54272490:54301810:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000067741.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MCSQELWLENERKCAMVRKSKPSRKRQELLAIAFGVKVGLKGGFLWSPLKLFACSQISSL VRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIAQGVRCSDCGLNVHKQC SKHVPNDCQPDLKRIKKVYCCDLTTLVKAHNTQRPMVVDICIREIEARGLKSEGLYRVSG FTEHIEDVKMAFDRDGEKADISANIYPDINIITGALKLYFRDLPIPIITYDTYSKFIEAA KISNADERLEAVHEVLMLLPPAHYETLRYLMIHLKKVTMNEKDNLMNAENLGIVFGPTLM RPPEDSTLTTLHDMRYQKLIVQILIENEDVLF >ENSMUSP00000145231.1 pep:known chromosome:GRCm38:6:54272908:54300244:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000204921.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MCSQELWLENERKCAMVRKSKPSRKRQELLAIAFGVKVGLKGGFLWSPLKLFACSQISSL VRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIAQGVRCSDCGLNVHKQC SKHVPNDCQPDLKRIKKVYCCDLTTLVKAHNTQRPMVVDICIREIEARGLKSEGLYRVSG FTEHIEDVKMAFDREISNADERLEAVHEVLMLLPPAHYETLRYLMIHLKKVTMNEKDNLM NAENLGIVFGPTLMRPPEDSTLTTLHDMRYQKLIVQILIENEDVLF >ENSMUSP00000145008.1 pep:known chromosome:GRCm38:6:54272908:54300244:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000203091.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MCSQELWLENERKCAMVRKSKPSRKRQELLAIAFGVKVGLKGGFLWSPLKLFACSQISSL VRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIAQGVRCSDCGLNVHKQC SKHVPNDCQPDLKRIKKVYCCDLTTLVKAHNTQRPMVVDICIREIEARGLKSEGLYRVSG FTEHIEDVKMAFDRGLP >ENSMUSP00000145507.1 pep:known chromosome:GRCm38:6:54272908:54300244:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000204115.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MCSQELWLENERKCAMVRKSKPSRKRQELLAIAFGVKVGLKGGFLWSPLKLFACSQISSL VRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIAQGVRCSDCGLNVHKQC SKHVPNDCQPDLKRIKKVYCCDLTTLVKAHNTQRPMVVDICIREIEAREISNADERLEAV HEVLMLLPPAHYETLRYLMIHLKKVTMNEKDNLMNAENLGIVFGPTLMRPPEDSTLTTLH DMRYQKLIVQILIENEDVLF >ENSMUSP00000145314.1 pep:known chromosome:GRCm38:6:54272908:54300244:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000203941.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MCSQELWLENERKCAMVRKSKPSRKRQELLAIAFGVKVGLKGGFLWSPLKLFACSQISSL VRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIAQGVRCSGLKSEGLYRV SGFTEHIEDVKMAFDREISNADERLEAVHEVLMLLPPAHYETLRYLMIHLKKVTMNEKDN LMNAENLGIVFGPTLMRPPEDSTLTTLHDMRYQKLIVQILIENEDVLF >ENSMUSP00000144983.1 pep:known chromosome:GRCm38:6:54272908:54300244:1 gene:ENSMUSG00000004633.17 transcript:ENSMUST00000204746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn2 description:chimerin 2 [Source:MGI Symbol;Acc:MGI:1917243] MCSQELWLENERKCAMVRKSKPSRKRQELLAIAFGVKVGLKGGFLWSPLKLFACSQISSL VRRAALTHNDNHFNYEKTHNFKVHTFRGPHWCEYCANFMWGLIAQGVRCSGLKSEGLYRV SGFTEHIEDVKMAFDRDGEKADISANIYPDINIITGALKLYFRDLPIPIITYDTYSKFIE AAKISNADERLEAVHEVLMLLPPAHYETLRYLMIHLKKVTMNEKDNLMNAENLGIVFGPT LMRPPEDSTLTTLHDMRYQKLIVQILIENEDVLF >ENSMUSP00000027741.5 pep:known chromosome:GRCm38:1:155275701:155417329:-1 gene:ENSMUSG00000026469.14 transcript:ENSMUST00000027741.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpr1 description:xenotropic and polytropic retrovirus receptor 1 [Source:MGI Symbol;Acc:MGI:97932] MKFAEHLSAHITPEWRKQYIQYEAFKDMLYSAQDQAPSVEVTDEDTVKRYFAKFEEKFFQ TCEKELAKINTFYSEKLAEAQRRFATLQNELQSSLDVQKESSGVTTLRQRRKPVFHLSHE ERVQHRNIKDLKLAFSEFYLSLILLQNYQNLNFTGFRKILKKHDKILETSRGADWRVIHV EVAPFYTCKKINQLISETEAVVTNELEDGDRQKAMKRLRVPPLGAAQPAPAWTTFRVGLF CGIFIVLNITLVFAAVFKLETDRTVWPLIRIYRGGFLLIEFLFLLGINTYGWRQAGVNHV LIFELNPRNNLSHQHLFEIAGFLGILWCLSLLACFFAPISIIPIYVYPLALYGFMVFFLI NPTKTFYYKSRFWLLKLLFRVFTAPFHKVGFADFWLADQLNSLSVILMDLEYMICFYSFE LKWDESKGLLPNDPQEPEFCHKYSYGVRAIVQCIPAWLRFIQCLRRYRDTRRAFPHLVNA GKYSTTFFTVTFAALYSTHEEQNHSDTVVFFYLWVFFCIISSCYTLIWDLKMDWGLFDKN AGENTFLREEIVYPQKAYYYCAIIEDVILRFAWTIQISITATFKPHVGNIIATVFAPLEV FRRFVWNFFRLENEHLNNCGEFRAVRDISVAPLNADDQTLLEQMMDQEDGVRNRQKNRSW KYNQSISLRRPRLASQSKARDTKVLIEDTDDEANT >ENSMUSP00000107405.1 pep:known chromosome:GRCm38:1:155278982:155417407:-1 gene:ENSMUSG00000026469.14 transcript:ENSMUST00000111775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpr1 description:xenotropic and polytropic retrovirus receptor 1 [Source:MGI Symbol;Acc:MGI:97932] MKFAEHLSAHITPEWRKQYIQYEAFKDMLYSAQDQAPSVEVTDEDTVKRYFAKFEEKFFQ TCEKELAKINTFYSEKLAEAQRRFATLQNELQSSLDVQKESSGVTTLRQRRKPVFHLSHE ERVQHRNIKDLKLAFSEFYLSLILLQNYQNLNFTGFRKILKKHDKILETSRGADWRVIHV EVAPFYTCKKINQLISETEAVVTNELEDGDRQKAMKRLRVPPLGAAQPAPAWTTFRVGLF CGIFIVLNITLVFAAVFKLETDRTVWPLIRIYRGGFLLIEFLFLLGINTYGWRQAGVNHV LIFELNPRNNLSHQHLFEIAGFLGILWCLSLLACFFAPISIIPIYVYPLALYGFMVFFLI NPTKTFYYKSRFWLLKLLFRVFTAPFHKVGFADFWLADQLNSLSVILMDLEYMICFYSFE LKWDESKGLLPNDPQEQNHSDTVVFFYLWVFFCIISSCYTLIWDLKMDWGLFDKNAGENT FLREEIVYPQKAYYYCAIIEDVILRFAWTIQISITATFKPHVGNIIATVFAPLEVFRRFV WNFFRLENEHLNNCGEFRAVRDISVAPLNADDQTLLEQMMDQEDGVRNRQKNRSWKYNQS ISLRRPRLASQSKARDTKVLIEDTDDEANT >ENSMUSP00000107404.1 pep:known chromosome:GRCm38:1:155282668:155417415:-1 gene:ENSMUSG00000026469.14 transcript:ENSMUST00000111774.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpr1 description:xenotropic and polytropic retrovirus receptor 1 [Source:MGI Symbol;Acc:MGI:97932] MKFAEHLSAHITPEWRKQYIQYEAFKDMLYSAQDQAPSVEVTDEDTVKRYFAKFEEKFFQ TCEKELAKINTFYSEKLAEAQRRFATLQNELQSSLDVQKESSGVTTLRQRRKPVFHLSHE ERVQHRNIKDLKLAFSEFYLSLILLQNYQNLNFTGFRKILKKHDKILETSRGADWRVIHV EVAPFYTCKKINQLISETEAVVTNELEDGDRQKAMKRLRVPPLGAAQPAPAWTTFRVGLF CGIFIVLNITLVFAAVFKLETDRTVWPLIRIYRGGFLLIEFLFLLGINTYGWRQAGVNHV LIFELNPRNNLSHQHLFEIAGFLGILWCLSLLACFFAPISIIPIYVYPLALYGFMVFFLI NPTKTFYYKSRFWLLKLLFRVFTAPFHKVGFADFWLADQLNSLSVILMDLEYMICFYSFE LKWDESKGLLPNDPQEPEFCHKYSYGVRAIVQCIPAWLRFIQCLRRYRDTRRAFPHLVNA GKYSTTFFTVTFAALYSTHEEQNHSDTVVFFYLWVFFCIISSCYTLIWDLKMDWGLFDKN AGENTFLREEIVYPQKAYYYCAIIEDVILRFAWTIQISITATFKPHVGNIIATVFAPLEV FRRFVWNFFRLENEHLNNCGEFRAVRDISVAPLNADDQTLLEQMMDQEDGVRNRQKNRSW KYNQSISLRRPRLASQYVE >ENSMUSP00000141040.1 pep:known chromosome:GRCm38:7:141690340:141698782:1 gene:ENSMUSG00000025515.15 transcript:ENSMUST00000185406.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc2 description:mucin 2 [Source:MGI Symbol;Acc:MGI:1339364] MGLPLARLVAACLVLALAKGSELQKEARSRNHVCSTWGDFHYKTFDGDVYRFPGLCDYNF ASDCRDSYKEFAVHLKRGLGEAGGHSQIESILITIKDDTIYLTHKLAVVNGAMVSTPHYS SGLLIEKNDAYTKVYSRAGLSLMWNREDALMVELDSRFQNHTCGLCGDFNGMQTNYEFLS EEGIQFSAIEFGNMQKINKPEVQCEDPEAVQEPESCSEHRAECERLLTSAAFEDCQTRVP VESYVRACMHDRCQCPKGGACECSTLAEFSRQCSHAGGRPENWRTASLCPKKCPNNMVYL ESSSPCVDTCSHLEVSSLCEEHYMDGCFCPEGTVYDDITGSGCIPVSQCHCKLHGHLYMP GQEFTNDCEQCVCNAGRWVCKDLPCPETCALEGGSHITTFDGKKFTFHGDCYYVLTKSEH NDSYALLGELASCGSTDKQTCLKTVVLLTDDKKNVVAFKSGGSVLLNEMEVTLPHVAASF SIFQPSSYHIVVNTKFGLRLQIQLLPVMQLFVTLDQAAQGQVQGLCGNFNGLESDDFMTS GGMVEATGAGFANTWKAQSSCHDKLDWLDDPCSLNIESANYAEHWCSLLKRSETPFARCH LAVDPTEYYKRCKYDTCNCQNNEDCMCAALSSYARACAAKGVMLWGWRERVCNKDVHACP SSQIFMYNLTTCQQTCRSLSEGDSHCLKGFAPVEGCGCPDHTFMDEKGRCVPLAKCSCYH HGLYLEAGDVILRQEERCICRNGRLQCTQVKLIGHTCQYPKILVDCNNLTALAVRKPRPT SCQTLVAGYYHTECISGCVCPDGLLDDGRGGCVEEDKCPCIHNKDLYSSGESIKLDCNNT CTCQKGRWECTRYACHSTCSIYGSGHYITFDGKHYDFDGHCSYVAVQ >ENSMUSP00000140855.1 pep:known chromosome:GRCm38:7:141699186:141701484:1 gene:ENSMUSG00000025515.15 transcript:ENSMUST00000185823.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc2 description:mucin 2 [Source:MGI Symbol;Acc:MGI:1339364] VVKQLEEGHHVPYITREVGQYLVVEASSGIIVIWDKKTTIFIKLDPSYKGTVCGLCGNFD DQTKNDFTTRDHMVVTSELDFGNSWKEASTCPDVSHNPDPCSLNPHRRSWAEKQCSIIKS RVFKVCHSKVDPTVFYEACVHDSCSCDTGGDCDCFCSAVASYAQECTKAEACVFWRTPDL CPIFCDYYNPPDECEWHYEPCGNRSFETCRTLNGIHSNISVSYLEGCYPRCPEDRPIYDE DLKKCVTGDKCGCYIEDTRYPPGGSVPTDEICKS >ENSMUSP00000140349.1 pep:known chromosome:GRCm38:7:141702097:141702775:1 gene:ENSMUSG00000025515.15 transcript:ENSMUST00000187789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc2 description:mucin 2 [Source:MGI Symbol;Acc:MGI:1339364] XTCTNTSKIECHPDEGKILNMTQDGIFCYWEFCGPNGTVGQHFNICGSSTAIPSTTTSFT TISTPISTTPISTTITTTTVT >ENSMUSP00000139657.1 pep:known chromosome:GRCm38:7:141704165:141704611:1 gene:ENSMUSG00000025515.15 transcript:ENSMUST00000191587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc2 description:mucin 2 [Source:MGI Symbol;Acc:MGI:1339364] XPCCFWSDWINKYHPTKENGGDRETFTHVCSAPEDIECRAATDPKLSWEELGQKVQCNVS TGLICNNEDQYGIGEFELCYDYEIRVNCCYPMEYCTPSTISPTTSTTTLSTTPPTSSPTT LPTSSPVTSSATLPTTSSITSTISPTTSP >ENSMUSP00000141128.1 pep:known chromosome:GRCm38:7:141744612:141754693:1 gene:ENSMUSG00000025515.15 transcript:ENSMUST00000187945.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc2 description:mucin 2 [Source:MGI Symbol;Acc:MGI:1339364] XRPPSTSTPTSFTVPTETTTQTRPLSTTPTTLETTRTSSWGTFSSTSPITSPSTVWTHTE TQVTCCVLNEMFYGPGELVYNSTHGGTCFYVNCSLDCHLQFFNWSCPSTPSTPTPSTPTP TPSQTTTPSTTSSKSTPSTPQSTSPKSTLSTPTKTTPYGCPDFDPPRQVNETWWLCNCTM AICNHDNVVEIVPLKCDPPPMPTCANGLKPVRVPDADNCCWHWECDCYCTGWGDPHFVTF DGLYYSYQGNCTYVLVEEITPTVDNFGVYIDNYHCDANDKVSCPRTLIVRHETQEVQIKT VRMMPIEVEVQVNKQLVALPYKKYGLEVYESGINIVVNISRLEAKISYNGLSFSIRLPYK LFGNNTKGQCGTCTNNTADDCILPSGKIISDCEIAADEWLVNDPSKPHCPHKGLTTKRPA TTTPGLSLNNCTVSPVCHLIMDSLFSQCHAFVPPKHYYEACLFDSCYVPGSNMECASVQA YATLCAKEGVCIDWRNHTQGVCSVKCPPHKQYQACGPEEEPTCQPSSSQNSTLLVEGCFC PEGTTKFAPGYDVCVKTCGCVGPDNVPREFGEHFEFDCKDCVCREGGSGIVCQPKKCSGG NQTTCEEDGTYLVVETNPDDKCCNITSCKCDTKRCKAERPTCLLGFEVKTEIVPGKCCPV YSCVPKGVCVHQNAEYQPGSPVYSNKCQDCVCTNILDNSTQLNVISCTHVPCNISCSSGF ELVDVPGECCKKCQQTHCIIEGPKQQYIILKPGEIHKNPSNKCTFFSCMKINNQLISSVS NITCPDFNPSDCVSGSITYMPNGCCKTCIPQNQTRVPCSAVSVMKEISYNGCTKNISMNY CFGSCGTFAMYSAQVQGLDHRCSCCKEEKTSVRSVTLECPDGSELSHTYTHIESCLCQDT VCGLPQAQQVRTRRSSPRFLGRK >ENSMUSP00000026590.8 pep:known chromosome:GRCm38:7:141746832:141754690:1 gene:ENSMUSG00000025515.15 transcript:ENSMUST00000026590.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc2 description:mucin 2 [Source:MGI Symbol;Acc:MGI:1339364] MDSLFSQCHAFVPPKHYYEACLFDSCYVPGSNMECASVQAYATLCAKEGVCIDWRNHTQG VCSVKCPPHKQYQACGPEEEPTCQPSSSQNSTLLVEGCFCPEGTTKFAPGYDVCVKTCGC VGPDNVPREFGEHFEFDCKDCVCREGGSGIVCQPKKCSGGNQTTCEEDGTYLVVETNPDD KCCNITSCKCDTKRCKAERPTCLLGFEVKTEIVPGKCCPVYSCVPKGVCVHQNAEYQPGS PVYSNKCQDCVCTNILDNSTQLNVISCTHVPCNISCSSGFELVDVPGECCKKCQQTHCII EGPKQQYIILKPGEIHKNPSNKCTFFSCMKINNQLISSVSNITCPDFNPSDCVSGSITYM PNGCCKTCIPQNQTRVPCSAVSVMKEISYNGCTKNISMNYCFGSCGTFAMYSAQVQGLDH RCSCCKEEKTSVRSVTLECPDGSELSHTYTHIESCLCQDTVCGLPQAQQVRTRRSSPRFL GRK >ENSMUSP00000136692.1 pep:known chromosome:GRCm38:7:141695703:141697247:1 gene:ENSMUSG00000025515.15 transcript:ENSMUST00000179227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc2 description:mucin 2 [Source:MGI Symbol;Acc:MGI:1339364] DDPCSLNIESANYAEHWCSLLKRSETPFARCHLAVDPTEYYKRCKYDTCNCQNNEDCMCA ALSSYARACAAKGVMLWGWRERVCNKDVHACPSSQIFMYNLTTCQQTCRSLSEGDSHCLK GFAPVEGCGCPD >ENSMUSP00000128250.2 pep:known chromosome:GRCm38:7:141699186:141701375:1 gene:ENSMUSG00000025515.15 transcript:ENSMUST00000167366.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc2 description:mucin 2 [Source:MGI Symbol;Acc:MGI:1339364] VVKQLEEGHHVPYHTGSGSVPSGGSQLGIIVIWDKKTTIFIKLDPSYKGTVCGLCGNFDD QTKNDFTTRDHMVVTSELDFGNSWKEASTCPDVSHNPDPCSLNPHRRSWAEKQCSIIKSR VFKVCHSKVDPTVFYEACVHDSCSCDTGGDCDCFCSAVASYAQECTKAEACVFWRTPDLC PIFCDYYNPPDECEWHYEPCGNRSFETCRTLNGIHSNISVSYLEGCYPRCPEDRPIY >ENSMUSP00000114004.1 pep:known chromosome:GRCm38:6:130043731:130067271:-1 gene:ENSMUSG00000079852.4 transcript:ENSMUST00000119096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra4 description:killer cell lectin-like receptor, subfamily A, member 4 [Source:MGI Symbol;Acc:MGI:101904] MTEQEDTFSAVRFHKSSGLQNEMRLKETRKPEKARLRVCSVPWQLIVIALGILISLRLVT VAVLMTNIFQYGQQKHELKEFLKHHNNCSIMQSDINLKDELLKNKSIECNLLESLNRDQN ILCDKTRTVLDYLQHTGRGVKVYWFCYGMKCYYFVMDRKPWSRCKQSCQNSSLTLLKIDD EDELKFLQLVVPSDSCWIGLSYDNKKKDWAWIDNRPSKLALNTTKYNIRDGGCMFLSKTR LDNNYCDQSFICICGKRLDKFPH >ENSMUSP00000124067.1 pep:known chromosome:GRCm38:9:26733728:26761350:1 gene:ENSMUSG00000045994.16 transcript:ENSMUST00000160899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gat1 description:beta-1,3-glucuronyltransferase 1 (glucuronosyltransferase P) [Source:MGI Symbol;Acc:MGI:1924148] MGNEELWVQPALEMPKRRDILAIVLIVLPWTLLITVWHQSSLAPLLAVHKDEGSDPRHEA PPGADPREYCMSDRDIVEVVRTEYVYTRPPPWSDTLPTIHVVTPTYSRPVQKAELTRMAN TLLHVPNLHWLVVEDAPRRTPLTARLLRDTGLNYTHLHVETPRNYKLRGDARDPRIPRGT MQRNLALRWLRETFPRNSTQPGVVYFADDDNTYSLELFEEMRSTRRVSVWPVAFVGGLRY EAPRVNGAGKVVGWKTVFDPHRPFAIDMAGFAVNLRLILQRSQAYFKLRGVKGGYQESSL LRELVTLNDLEPKAANCTKILVWHTRTEKPVLVNEGKKGFTDPSVEI >ENSMUSP00000124438.1 pep:known chromosome:GRCm38:9:26733824:26763095:1 gene:ENSMUSG00000045994.16 transcript:ENSMUST00000159799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gat1 description:beta-1,3-glucuronyltransferase 1 (glucuronosyltransferase P) [Source:MGI Symbol;Acc:MGI:1924148] MGNEELWVQPALEMPKRRDILAIVLIVLPWTLLITVWHQSSLAPLLAVHKDEGSDPRHEA PPGADPREYCMSDRDIVEVVRTEYVYTRPPPWSDTLPTIHVVTPTYSRPVQKAELTRMAN TLLHVPNLHWLVVEDAPRRTPLTARLLRDTGLNYTHLHVETPRNYKLRGDARDPRIPRGT MQRNLALRWLRETFPRNSTQPGVVYFADDDNTYSLELFEEMRSTRRVSVWPVAFVGGLRY EAPRVNGAGKVVGWKTVFDPHRPFAIDMAGFAVNLRLILQRSQAYFKLRGVKGGYQESSL LRELVTLNDLEPKAANCTKILVWHTRTEKPVLVNEGKKGFTDPSVEI >ENSMUSP00000124752.1 pep:known chromosome:GRCm38:9:26733803:26756079:1 gene:ENSMUSG00000045994.16 transcript:ENSMUST00000161431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gat1 description:beta-1,3-glucuronyltransferase 1 (glucuronosyltransferase P) [Source:MGI Symbol;Acc:MGI:1924148] MPKRRDILAIVLIVLPWTLLITVWHQSSLAPLLAVHKDEGSDPRHEAPPGADPREYCMSD RDIVEVVRTEYVYTRPPPWSDTLPTIHVVTPTYSRPVQKAELTRMANTLLHVPNLHWLVV EDAPRRTPLTARLLRDTGLNYTHLHVETPRNYKLRGDARDPRIPRGTMQRNLALRWLRET FPRNSTQPGVVYFADDDNTYSL >ENSMUSP00000125700.1 pep:known chromosome:GRCm38:9:26751562:26761338:1 gene:ENSMUSG00000045994.16 transcript:ENSMUST00000161115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gat1 description:beta-1,3-glucuronyltransferase 1 (glucuronosyltransferase P) [Source:MGI Symbol;Acc:MGI:1924148] MPKRRDILAIVLIVLPWTLLITVWHQSSLAPLLAVHKDEGSDPRHEAPPGADPREYCMSD RDIVEVVRTEYVYTRPPPWSDTLPTIHVVTPTYSRPVQKAELTRMANTLLHVPNLHWLVV EDAPRRTPLTARLLRDTGLNYTHLHVETPRNYKLRGDARDPRIPRGTMQRNLALRWLRET FPRNSTQPGVVYFADDDNTYSLELFEEMRSTRRVSVWPVAFVGGLRYEAPRVNGAGKVVG WKTVFDPHRPFAIDMAGFAVNLRLILQRSQAYFKLRGVKGGYQESSLLRELVTLNDLEPK AANCTKILVWHTRTEKPVLVNEGKKGFTDPSVEI >ENSMUSP00000110924.2 pep:known chromosome:GRCm38:9:26733851:26757982:1 gene:ENSMUSG00000045994.16 transcript:ENSMUST00000115269.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gat1 description:beta-1,3-glucuronyltransferase 1 (glucuronosyltransferase P) [Source:MGI Symbol;Acc:MGI:1924148] MGNEELWVQPALEMPKRRDILAIVLIVLPWTLLITVWHQSSLAPLLAVHKDEGSDPRHEA PPGADPREYCMSDRDIVEVVRTEYVYTRPPPWSDTLPTIHVVTPTYSRPVQKAELTRMAN TLLHVPNLHWLVVEDAPRRTPLTARLLRDTGLNYTHLHVETPRNYKLRGDARDPRIPRGT MQRNLALRWLRETFPRNSTQPGVVYFADDDNTYSLELFEEMRSTRRVSVWPVAFVGGLRY EAPRVNGAGKVVGWKTVFDPHRPFAIDMAGFAVNLRLILQRSQAYFKLRGVKGGYQESSL LRELVTLNDLEPKAANCTKILVWHTRTEKPVLVNEGKKGFTDPSVEI >ENSMUSP00000125401.1 pep:known chromosome:GRCm38:9:26755284:26763101:1 gene:ENSMUSG00000045994.16 transcript:ENSMUST00000159527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gat1 description:beta-1,3-glucuronyltransferase 1 (glucuronosyltransferase P) [Source:MGI Symbol;Acc:MGI:1924148] MSDRDIVEVVRTEYVYTRPPPWSDTLPTIHVVTPTYSRPVQKAELTRMANTLLHVPNLHW LVVEDAPRRTPLTARLLRDTGLNYTHLHVETPRNYKLRGDARDPRIPRGTMQRNLALRWL RETFPRNSTQPGVVYFADDDNTYSLELFEEMRSTRRVSVWPVAFVGGLRYEAPRVNGAGK VVGWKTVFDPHRPFAIDMAGFAVNLRLILQRSQAYFKLRGVKGGYQESSLLRELVTLNDL EPKAANCTKILVWHTRTEKPVLVNEGKKGFTDPSVEI >ENSMUSP00000134272.1 pep:known chromosome:GRCm38:17:34850398:34856254:1 gene:ENSMUSG00000024369.16 transcript:ENSMUST00000173357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfe description:negative elongation factor complex member E, Rdbp [Source:MGI Symbol;Acc:MGI:102744] MLVIPPGLSEEEEALQKKFNKLKKKKKALLALKKQSSSGPASQGGVKRSLSEQPVVDTAT ATEQAKQLVKSGAISAIKAETKNSGFKRSRTLEGKLKDPEKGPVPTFQPFQRSMSADEDL QEPSRRPQRKSLYESFVSSSDRLRELGQDGEEAEAPGAGDGPPRGFDWSYEEHGSARSSA SPPRSRSRDRSHDRSRDRDRDKERDRDRDRDRDRDRDKDKDRDRDRDRDRDRDRERDREG PFRRSDSFPERRAPRKGNTLYVYGEDMTPTLLRGAFSPFGNIIDLSMDPPRNCAFVTYEK MESADQAVAELNGTQVESVQLKVNIARKQPMLDAATGKSVWGSLAVQNSPKGCHRDKRTQ IVYSDDL >ENSMUSP00000133934.1 pep:known chromosome:GRCm38:17:34850408:34854089:1 gene:ENSMUSG00000024369.16 transcript:ENSMUST00000173065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfe description:negative elongation factor complex member E, Rdbp [Source:MGI Symbol;Acc:MGI:102744] MLVIPPGLSEEEEALQKKFNKLKKKKKALLALKKQSSSGPASQGGVKRSLSEQPVVDTAT ATEQAKQLVKSGAISAIKAETKNSGFKRSRTLEGKLKDPEKGPVPTFQPFQRSMSADEDL QEPSRRPQRKSLYESFVSSSDRLRELGQDGEEAEAPGAGDGPPRGFDWSYEEHGSARSSA SPPRSRSRDRSHDRSRDRDRDKERDRDRDRDRDRDRDKDKDRDRDRDR >ENSMUSP00000131195.2 pep:known chromosome:GRCm38:17:34850408:34856372:1 gene:ENSMUSG00000024369.16 transcript:ENSMUST00000165953.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfe description:negative elongation factor complex member E, Rdbp [Source:MGI Symbol;Acc:MGI:102744] MLVIPPGLSEEEEALQKKFNKLKKKKKALLALKKQSSSGPASQGGVKRSLSEQPVVDTAT ATEQAKQLVKSGAISAIKAETKNSGFKRSRTLEGKLKDPEKGPVPTFQPFQRSMSADEDL QEPSRRPQRKSLYESFVSSSDRLRELGQDGEEAEAPGAGDGPPRGFDWSYEEHGSARSSA SPPRSRSRDRSHDRSRDRDRDKERDRDRDRDRDRDRDKDKDRDRDRDRDRDRDRERDREG PFRRSDSFPERRAPRKGNTLYVYGEDMTPTLLRGAFSPFGNIIDLSMDPPRNCAFVTYEK MESADQAVAELNGTQVESVQLKVNIARKQPMLDAATGKSVWGSLAVQNSPKGCHRDKRTQ IVYSDDL >ENSMUSP00000094956.4 pep:known chromosome:GRCm38:17:34850391:34856369:1 gene:ENSMUSG00000024369.16 transcript:ENSMUST00000097343.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfe description:negative elongation factor complex member E, Rdbp [Source:MGI Symbol;Acc:MGI:102744] MLVIPPGLSEEEEALQKKFNKLKKKKKALLALKKQSSSGPASQGGVKRSLSEQPVVDTAT ATEQAKQLVKSGAISAIKAETKNSGFKRSRTLEGKLKDPEKGPVPTFQPFQRSMSADEDL QEPSRRPQRKSLYESFVSSSDRLRELGQDGEEAEAPGAGDGPPRGFDWSYEEHGSARSSA SPPRSRSRDRSHDRSRDRDRDKERDRDRDRDRDRDRDKDKDRDRDRDRDRDRDRERDREG PFRRSDSFPERRAPRKGNTLYVYGEDMTPTLLRGAFSPFGNIIDLSMDPPRNCAFVTYEK MESADQAVAELNGTQVESVQLKVNIARKQPMLDAATGKSVWGSLAVQNSPKGCHRDKRTQ IVYSDDL >ENSMUSP00000008745.6 pep:known chromosome:GRCm38:3:88542029:88548300:-1 gene:ENSMUSG00000008601.12 transcript:ENSMUST00000008745.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab25 description:RAB25, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1858203] MGNRTDEDYNFVFKVVLIGESGVGKTNLLSRFTRNEFSHDSRTTIGVEFSTRTVMLGTAA VKAQIWDTAGLERYRAITSAYYRGAVGALLVFDLTKHQTYAVVERWLKELYDHAEATIVV MLVGNKSDLSQAREVPTEEACMFAENNGLLFLETSALDSTNVELAFQTVLKEIFAKVSKQ KQNSTRTSAITLGNAQAGQDPGPGEKRACCISL >ENSMUSP00000120505.1 pep:known chromosome:GRCm38:3:88542309:88548249:-1 gene:ENSMUSG00000008601.12 transcript:ENSMUST00000131775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab25 description:RAB25, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1858203] MLGTAAVKAQIWDTAGLERYRAITSAYYRGAVGALLVFDLTKHQTYAVVERWLKELYDHA EATIVVMLVGNKSDLSQAREVPTEEACMFAENNGLLFLETSALDSTNVELAFQTVLKEIF AKVSKQKQNSTRTSAITL >ENSMUSP00000053786.2 pep:known chromosome:GRCm38:9:109179227:109195975:-1 gene:ENSMUSG00000049314.11 transcript:ENSMUST00000061456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw13 description:F-box and WD-40 domain protein 13 [Source:MGI Symbol;Acc:MGI:3505590] MEIHLPSVPLMKIFSYLDAYSLLQAAQVNKDWNELASSDVLWRRLCQKRWLFCDMVTLQL LGTETWKEFFVSRTWQEHAKSRAIREDFIYKEIPAEYGVRAHPCYISGRGLTRNGQGRPV VCMVTSVNRISTWDIQEGVLTWVSPVQQVGIKLLTTLPEMHIAVTVDIQSTIKLWDCQNS EALATSNLESPCKLLKAVFTKDGPMVLIGDISGNLYIFRIPDLHLISKLKVFQCSINQLN CSPQKKWIFLNRKHPHILPKVFYMSSLLRTSEFSAPVSTILKFSLCQRAFWTPRMEDRIT LMSIRRTQKITKFITFDMKLKEIQNKMTVKEQFVASFSVPDDMGRPKWFGVSGKDVIVCS TGSSLLLFNIKGVYLQTIMYYTDWILRLWMDPIYVIVTFNNGSLVVYAWEERCQQLNGCY QLQSRRRLPQQSFINKTLCDDMSIIRVMTTHSIPSFLMAYILTSDL >ENSMUSP00000143174.1 pep:known chromosome:GRCm38:9:109179248:109195962:-1 gene:ENSMUSG00000049314.11 transcript:ENSMUST00000199118.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxw13 description:F-box and WD-40 domain protein 13 [Source:MGI Symbol;Acc:MGI:3505590] MEIHLPSVPLMKIFSYLDAYSLLQAAQVNKDWNELASSDVLWRSSGTSMLYLRAWLNKKR TRQASRLYGDFCEQDFYLGYSGGCFDLGKPSTASRYQAVDYPP >ENSMUSP00000142352.1 pep:known chromosome:GRCm38:9:109181526:109195520:-1 gene:ENSMUSG00000049314.11 transcript:ENSMUST00000199102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw13 description:F-box and WD-40 domain protein 13 [Source:MGI Symbol;Acc:MGI:3505590] MRTGMNLQAVMSYGGVRAHPCYISGRGLTRNGQGRPVVCMVTSVNRISTWDIQEGVLTWV SPVQQVGIKLLTTLPEMHIAVTVDIQSTIKLWDCQNSEALATSNLESPCKLLKAVFTKDG PMVLIGDISGNLYIFRIPDLHLISKLKVFQCSINQLNCSPQKKWIFLNRKHPHILPKVFY MSSLLRTSEFSAPVSTILKFSLCQRAFWTPRMEDRITLMSIRRTQKITKFITFDMKLKEI QNKMTVKEQFVASFSVPDDMGRPK >ENSMUSP00000104936.2 pep:known chromosome:GRCm38:15:89416405:89425863:-1 gene:ENSMUSG00000078937.8 transcript:ENSMUST00000109313.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpt1b description:carnitine palmitoyltransferase 1b, muscle [Source:MGI Symbol;Acc:MGI:1098297] MAEAHQAVAFQFTVTPDGVDFRLSREALRHIYLSGINSWKKRLIRIKNGILRGVYPGSPT SWLVVVMATVGSNYCKVDISMGLVDCIQRCLPERYGHFGTPQTEALLSMVIFSTGVWATG IFFFRQTLKLLLSYHGWMFEMHSKTSHATKIWAICVRLLSSRRPMLYSFQTSLPKLPVPS VPATIHRYLDSVRPLLDDEAYYRMETLAKEFQDKTAPRLQKYLVLKSWWATNYVSDWWEE YVYLRSRSPLMVNSNYYAMDFVLIKNTNVQAARLGNAVHAMIMYRRKLDREEIKPVMALG MVPMCSYQMERMFNTTRIPGKETDLLQHLSESRHVAVYHKGRFFKVWLYEGSRLLKPRDL EMQFQRILDDPSPPQPGEEKLAALTAGGRVEWAEARQTFFSSGKNKMSLDAIERAAFFVT LDEDSHCYNPDDETSLSLYGKALLHGNCYNRWFDKSFTLISCKNGLLGLNTEHSWADAPI IGHLWEFVLGTDTFHLGYTETGHCVGEPNTTLPPPQRLPWDIPEQCREAIENSYQVAKAL ADDVELYCFQFLPFGKGLIKKCRTSPDAFVQIALQLAHFRDKGKFCLTYEASMTRMFREG RTETVRSCTNESAAFVQAMMKGSHKKQDLQDLFRKASEKHQNMYRLAMTGAGIDRHLFCL YIVSKYLGVSSPFLAEVLSEPWSLSTSQIPQFQICMFDPKQYPNHLGAGGGFGPVADDGY GVSYMIAGENTMFFHISSKYSSSETNAQRFGNHIRQALLDIAELFKISKTDS >ENSMUSP00000128188.1 pep:known chromosome:GRCm38:15:89418765:89421401:-1 gene:ENSMUSG00000078937.8 transcript:ENSMUST00000168879.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpt1b description:carnitine palmitoyltransferase 1b, muscle [Source:MGI Symbol;Acc:MGI:1098297] XPSPPQPGEEKLAALTAGGRVEWAEARQTFFSSGKNKMSLDAIERAAFFVTLDEDSHCYN PDDETSLSLYGKALLHGNCYNRWFDKSFTLISCKNGLLGLNTEHSWADAPIIGHLWEDTV WVSQTPRCHPLSGCRGTFPSSAGKPSRTRTK >ENSMUSP00000129786.1 pep:known chromosome:GRCm38:15:89424836:89425795:-1 gene:ENSMUSG00000078937.8 transcript:ENSMUST00000168376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpt1b description:carnitine palmitoyltransferase 1b, muscle [Source:MGI Symbol;Acc:MGI:1098297] MAEAHQAVAFQFTVTPDGVDFRLSREALRHIYLSGINSWKKRLIRIKNGILRGV >ENSMUSP00000042742.5 pep:known chromosome:GRCm38:13:34179158:34345182:-1 gene:ENSMUSG00000038267.14 transcript:ENSMUST00000040336.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a23 description:solute carrier family 22, member 23 [Source:MGI Symbol;Acc:MGI:1920352] MAIDRRREAAGSGAGRQPAPAEENGSLPPGDAAASAPLGGRAGSGSSAEIQPLPALHPSG GPHSSCCAATAAPSLLLLDYDGSVLPFLGGLGGGYQKTLVVLTWIPALFIGFSQFSDSFL LDQPDFWCRGAGKGTELAGATVTGRWGDMGNWTSPSATPFSTASWGTTSNRSNSSDTPPL PSPPGKGNNDSNCDCHAWDYGIRTGLIQNVVSKWDLVCDNTWKVHIAKFSLLVGLIFGYL ITGCIADWVGRRPVLLFSTIFILIFGLTVALSVNVTMFSTLRFFEGFCLAGIILTLYALR IELCPPGKRFIITMVASFVAMAGQFLMPGLAALCRDWQVLQALIICPFLLMLLYWSIFPE SLRWLMATQQFESAKKLILYLTQKNCVSPESDIKGVMPELEKELSRRPKKVCIVKVVGTR NLWKNIVVLCVNSLTGYGIHHCFARSMMGHEVKVPLLENFYADYYTMASIALASCLAMCL VVKFLGRRGGLLLFMILTALASLLQLGLLNLIGKYSQHPDSELQLKLAVGMSDSVKDKFS IAFSIVGMFASHAVGSLSVFFCAEITPTVIRCGGLGLVLASAGFGMLTAPIIELHNQKGY FLHHIIFACCTLICIICILLLPESRNQNLPENIANGEHYTRQPLLPHKKGEQPLLLTNAE LKDYSGLHDVAAVGDGLPEGATANGMKTM >ENSMUSP00000122283.1 pep:known chromosome:GRCm38:13:34179643:34344451:-1 gene:ENSMUSG00000038267.14 transcript:ENSMUST00000148390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a23 description:solute carrier family 22, member 23 [Source:MGI Symbol;Acc:MGI:1920352] XDSFLLDQPDFWCRGAGKGTELAGATVTGRWGDMGNWTSPSATPFSTASWGTTSNRSNSS DTPPLPSPPGKGNNDSNCDCHAWDYGIRTGLIQNVVSKWDLVCDNTWKVHIAKFSLLVGL IFGYLITGCIADWVGRRPVLLFSTIFILIFGLTVALSVNVTMFSTLRFFEGFCLAGIILT LYALRIELCPPGKRFIITMVASFVAMAGQFLMPGLAALCRDWQVLQALIICPFLLMLLYW SIFPESLRWLMATQQFESAKKLILYLTQKNCVSPESDIKGVMPELEKELSRRPKKVCIVK VVGTRNLWKNIVVLCVNSLTGYGIHHCFARSMMGHEVKVPLLENFYADYYTMASIALASC LAMCLVVKFLGRRGGLLLFMILTALASLLQLGLLNLIGKYSQHPDSGMSDSVKDKFSIAF SIVGMFASHAVGSLSVFFCAEITPTVIRCGGLGLVLASAGFGMLTAPIIELHNQKGYFLH HIIFACCTLICIICILLLPESRNQNLPENIANGEHYTRQPLLPHKKGEQPLLLTNAELKD YSGLHDVAAVGDGLPEGATANGMKTM >ENSMUSP00000122376.1 pep:known chromosome:GRCm38:13:34204020:34344595:-1 gene:ENSMUSG00000038267.14 transcript:ENSMUST00000145038.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a23 description:solute carrier family 22, member 23 [Source:MGI Symbol;Acc:MGI:1920352] XATAAPSLLLLDYDGSVLPFLGGLGGGYQKTLVVLTWIPALFIGFSQFSDSFLLDQPDFW CRGAGKGTELAGATVTGRWGDMGNWTSPSATPFSTASWGTTSNRSNSSDTPPLPSPPGKG NNDSNCDCHAWDYGIRTGLIQNVVSKGWPAACAAVFHHLHPHLWTDCGTVSECDNVQHTQ VL >ENSMUSP00000033513.3 pep:known chromosome:GRCm38:X:8238668:8252406:-1 gene:ENSMUSG00000031171.11 transcript:ENSMUST00000033513.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ftsj1 description:FtsJ RNA methyltransferase homolog 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1859648] MGRTSKDKRDVYYRLAKENGWRARSAFKLLQLDEEFQLFKGVKRAVDLCAAPGSWSQVLS QKVGGQGSGQVVAVDLQAMAPLPGVIQIQGDITQLSTAKEIIQHFEGCPADLVVCDGAPD VTGLHDVDEYMQAQLLLAALNIATHVLKLGGCFVAKIFRGRDVTLLYSQLRIFFSSVLCA KPKSSRNSSIEAFAVCQGYDPPEGFIPDLTRPLLNHSYDTDFNQLDGPTRVIVPFVACGD LSAYDSDRTYSLDLDGGSEYKYTPPTQPPIAPPYQEACRLKKNGQLAKELLPQECSINSV DKLPQPLAIHTLLDPKVEDNEIHC >ENSMUSP00000111257.1 pep:known chromosome:GRCm38:X:8240420:8252310:-1 gene:ENSMUSG00000031171.11 transcript:ENSMUST00000115594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ftsj1 description:FtsJ RNA methyltransferase homolog 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1859648] MGRTSKDKRDVYYRLAKENGWRARSAFKLLQLDEEFQLFKGVKRAVDLCAAPGSWSQVLS QKVGGQGSGQVVAVDLQAMAPLPGVIQIQGDITQLSTAKEIIQHFEGCPADLVVCDGAPD VTGLHDVDEYMQAQLLLAALNIATHVLKLGGCFVAKIFRGRDVTLLYSQLRIFFSSVLCA KPKSSRNSSIEAFAVCQGYDPPEGFIPDLTRPLLNHSYDFNQLDGPTRVIVPFVACGDLS AYDSDRTYSLDPPIAPPYQEACRLKKNGQLAKELLPQECSINSVDKLPQPLAIHTLLDPK VEDNEIHC >ENSMUSP00000111258.1 pep:known chromosome:GRCm38:X:8240420:8252395:-1 gene:ENSMUSG00000031171.11 transcript:ENSMUST00000115595.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ftsj1 description:FtsJ RNA methyltransferase homolog 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1859648] MGRTSKDKRDVYYRLAKENGWRARSAFKLLQLDEEFQLFKGVKRAVDLCAAPGSWSQVLS QKVGGQGSGQVVAVDLQAMAPLPGVIQIQGDITQLSTAKEIIQHFEGCPADLVVCDGAPD VTGLHDVDEYMQAQLLLAALNIATHVLKLGGCFVAKIFRGRDVTLLYSQLRIFFSSVLCA KPKSSRNSSIEAFAVCQGYDPPEGFIPDLTRPLLNHSYDFNQLDGPTRVIVPFVACGDLS AYDSDRTYSLDLDGGSEYKYTPPTQPPIAPPYQEACRLKKNGQLAKELLPQECSINSVDK LPQPLAIHTLLDPKVEDNEIHC >ENSMUSP00000121646.1 pep:known chromosome:GRCm38:X:8244916:8246356:-1 gene:ENSMUSG00000031171.11 transcript:ENSMUST00000149063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ftsj1 description:FtsJ RNA methyltransferase homolog 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1859648] XLLNHSYDFNQLDGPTRVIVPFVACGDLSAYDSDRTYSLDLDGGSEYKYTPPTQPPIAPP YQEACRLKKNGQLAKELLPQECSINSVDKLPQPLAIHTLLDPKVEDNEIHC >ENSMUSP00000120803.1 pep:known chromosome:GRCm38:11:8826708:8973266:-1 gene:ENSMUSG00000046634.12 transcript:ENSMUST00000154153.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd1l1 description:polycystic kidney disease 1 like 1 [Source:MGI Symbol;Acc:MGI:2156538] XFSNPPPGISHTCNLSASWYHPESSSPLTQHPPRATLVTQPENVEHFAICCFPETDAQAL PALGIRVHVASGTALCLLLDFGDNCGAQMRLCTLAGATTVTGYHQYRKEGVYELKAVVHD FHRAEELGPYYVDISHGNVSVFMNSSSIHDSEALSFADSLPQQRGTVVVHCFSSISSYNV SFISQTQVASGQAWCGVTVGYKMQSVSVYTNGTVFAANTNITFVAITEETIPLEFAWYFG ENPPVMTTSRSIRRRLSVPQWYRVKVKATSRIGSVVSEPHLIRVQKRIMANRLVSTASAL VNANVSFECRLNFGTDVAYLWNFGDDTIELGSSSSSHVYSREGEFTVEVLAFNNVSSTTL RKQLFIVREPCQPPPVKNMGPAKVQIWRSQPLRLGVTFEAAILCNISQGLSYTWSFVSAE MTTVTLPTAVNTRRQTIMLPSYTLECGNYTAIAKVQIKGSMVYSNYCVGVEVRARAPVSV ISEGTHIFISTATSTFIILRGAQSYDPDNPGAALRYHWTCTAASSPRWPCFDNSTSYQVD TQAPAISFPAKWLSECCDQFLVTLTVSSRGQNSSQALMFLSTRPDLAFRFVHISWVNFRD ISVNWNEEVSLRAVCEDCGDVPDLTYSWDLFLVNATEKSAVEVPFCSTVGLLGALALGTS LKSSKSDLPSNLRAPLTPHSPEPSPTPLGWTALSNLGSISAESTAGGHHVPASGAVAGSG EPMEEYSSLSSLAEEALMTNSSEGSWPSPSSSTDFDDFEAYYSDIQEAVLSLGRQPGTST NFQEAGPSLSAEESASYGDNLLGPFLHTGRAKPTLMIDWPKALVSQAAFHGYTTSGIMGP AVTIKPFSLSSGKTYVLQASVASKHVLLGKAQLYLTVNQAPQDMSCQVRPHHGMEAYTIF SVFCMSGKPDFHYEFRYRIGNTSSHTLYRGQDTQHYFLLPAGDSSDNYKVIVSTEITDGH GSKVQPCTVAVTVLPRYHGNDCCDKELYNSTLESLSTLRLAGSYMETRNYITMITGILSR LYVESRNTSSCGQWSQIQDVLISSACKVPYTDQEGMMDSIHILRDLISFPNKLSLTSAMC IFKYTKMFLAQGQFSRRLLVDKKLRVEFVLLISGVWEAAKEDARDGDYLQEEGMKIISDM LLIHVSTGQMEFQTLLHRSPQSSIQNLGFVQVHFPSDLASLHSTTQEATQSSCYISQLMF FMKSPYLGGQVPGQVGGVMIPRLYSCESRRPILRGQLETPVTMEFGEEDYLHKRNPAMFV LLRDEVNVHRFTGLSENSQESLQIHIKFSKPVTRPFPIILLVRFSEKATPSDFLVKRVYF WDEQTVQMYVPAAPWKGANVGYLSLLDADYDRKPPNKYLAGAVNYTVHFQWIQCVFWDKT EWRSEGPYPQPGSSPEKVNCSYHHLAPVSVLRRKLNATLEVSSISEFQSHPHNLLPGIFS AFLLVLYGILVSKSRYVDCHEKKNPGFIFLEEDTLPGYQLYAVVIDTGFRSPVRFTSKVF IVLCGENGCSETKELCCPEKPLFGRNSRHTFILSIPNQLGPLQKIRLWHDSSGSSPCWFI SHVMVKELCSGQAWFFSAQCWLAVSKLGGHVLREFFCLSHGLGFWKLFYSKFTEYLEDFH IWLSLYSQPPSRSYLHTQRLAVSFCLLCVYSCLTALVTVRDHQQRPLDVGPTAITLEPFC MALLCTLLACPVAQLLSLLFRCSKEARGDMQASTQWPLRGVKTETPQGHDSSGRPDSRQP SPHPTSDLLPWNDQAWRIAASSSAVVCSPFPMEACSHKHHDLREKSHYSPPSSQAPGSGF EELGSQKSRVCLLWSSSVAWAISGSASLACGLGTGFLGYWFVPAQCMWWLYLLLLSLVCC AFITQPLMICLAALVFAWKRKHDSKFFTESLQDATKGLDLELEEHSRTRVPLSPISYSPD TAEEAERVLATRQRERHLRWAQTPSKAKLRVTGERLRRESIMQAALRDMTTHSIMLLLLL FIAYGRFCPGEISLNHAIRKAFTRKANHSLGDLSSTEDWWDWTLSTLLDELYPERTSARA WGAQPGALGGQCHLIGPPVVKLLKISAGTACTPPRPFSELVEDVLPMHSNDLDLENQNVS PGGPETCGVKKESYMHSLGKTRHEAHAALTALRASKWIDHSTRAMSVHFTLYNPPTQLFT SVILGTECLPSGGLVPSFLVESFRIFYSDSALKYLLMLSELLFLVLNVIHLCFQLWGMTT KGILSYWRKPRHWLELSMVGVAIAYYAASGHLTTLAVNITDQFHKGLYQRLVDIGLMVSW HQRARCLQGILLFLWMLKYVHLLSSLSTMTPFSAVTCFPLFRVLLVGALLLAAHYHSRWF LLFTGTLSHGTSAEAFPGLLLQFPGRSKKDSWHNCLKSDHGVMRCYYGTLFLLLATLGFR MLRATFLTVFQNRKSSHRKPLVTLKDIAVYTWHKVLTLLGLETTLEETEVATDHIYYLDE FSSLLDELLMKIDGLSDSLELSILENQWKRALESRAGDSPPVGSSEYQATGVSGPLAAES E >ENSMUSP00000136518.1 pep:known chromosome:GRCm38:11:8826775:8950539:-1 gene:ENSMUSG00000046634.12 transcript:ENSMUST00000178195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd1l1 description:polycystic kidney disease 1 like 1 [Source:MGI Symbol;Acc:MGI:2156538] LSQVQIKGSMVYSNYCVGVEVRARAPVSVISEGTHIFISTATSTFIILRGAQSYDPDNPG AALRYHWTCTAASSPRWPCFDNSTSYQVDTQAPAISFPAKWLSECCDQFLVTLTVSSRGQ NSSQALMFLSTRPDLAFRFVHISWVNFRDISVNWNEEVSLRAVCEDCGDVPDLTYSWDLF LVNATEKSAVEVPFCSTVGLLGALALGTSLKSSKSDLPSNLRAPLTPHSPEPSPTPLGWT ALSNLGSISAESTAGGHHVPASGAVAGSGEPMEEYSSLSSLAEEALMTNSSEGSWPSPSS STDFDDFEAYYSDIQEAVLSLGRQPGTSTNFQEAGPSLSAEESASYGDNLLGPFLHTGRA KPTLMIDWPKALVSQAAFHGYTTSGIMGPAVTIKPFSLSSGKTYVLQASVASKHVLLGKA QLYLTVNQAPQDMSCQVRPHHGMEAYTIFSVFCMSGKPDFHYEFRYRIGNTSSHTLYRGQ DTQHYFLLPAGDSSDNYKVIVSTEITDGHGSKVQPCTVAVTVLPRYHGNDCCDKELYNST LESLSTLRLAGSYMETRNYITMITGILSRLYVESRNTSSCGQWSQIQDVLISSACKVPYT DQEGMMDSIHILRDLISFPNKLSLTSAMCIFKYTKMFLAQGQFSRRLLVDKKLRVEFVLL ISGVWEAAKEDARDGDYLQEEGMKIISDMLLIHVSTGQMEFQTLLHRSPQSSIQNLGFVQ VHFPSDLASLHSTTQEATQSSCYISQLMFFMKSPYLGGQVPGQVGGVMIPRLYSCESRRP ILRGQLETPVTMEFGEEDYLHKRNPAMFVLLRDEVNVHRFTGLSENSQESLQIHIKFSKP VTRPFPIILLVRFSEKATPSDFLVKRVYFWDEQTVQMYVPAAPWKGANVGYLSLLDADYD RKPPNKYLAGAVNYTVHFQWIQCVFWDKTEWRSEGPYPQPGSSPEKVNCSYHHLAPVSVL RRKLNATLEVSSISEFQSHPHNLLPGIFSAFLLVLYGILVSKSRYVDCHEKKNPGFIFLE EDTLPGYQLYAVVIDTGFRSPVRFTSKVFIVLCGENGCSETKELCCPEKPLFGRNSRHTF ILSIPNQLGPLQKIRLWHDSSGSSPCWFISHVMVKELCSGQAWFFSAQCWLAVSKLGGHV LREFFCLSHGLGFWKLFYSKFTEYLEDFHIWLSLYSQPPSRSYLHTQRLAVSFCLLCVYS CLTALVTVRDHQQRPLDVGPTAITLEPFCMALLCTLLACPVAQLLSLLFRCSKEARGDMQ ASTQWPLRGVKTETPQGHDSSGRPDSRQPSPHPTSDLLPWNDQAWRIAASSSAVVCSPFP MEACSHKHHDLREKSHYSPPSSQAPGSGFEELGSQKSRVCLLWSSSVAWAISGSASLACG LGTGFLGYWFVPAQCMWWLYLLLLSLVCCAFITQPLMICLAALVFAWKRKHDSKFFTESL QDATKGLDLELEEHSRTRVPLSPISYSPDTAEEAERVLATRQRERHLRWAQTPSKAKLRV TGERLRRESIMQAALRDMTTHSIMLLLLLFIAYGRFCPGEISLNHAIRKAFTRKANHSLG DLSSTEDWWDWTLSTLLDELYPERTSARAWGAQPGALGGQCHLIGPPVVKLLKISAGTAC TPPRPFSELVEDVLPMHSNDLDLENQNVSPGGPETCGVKKESYMHSLGKTRHEAHAALTA LRASKWIDHSTRAMSVHFTLYNPPTQLFTSVILGTECLPSGGLVPSFLVESFRIFYSDSA LKYLLMLSELLFLVLNVIHLCFQLWGMTTKGILSYWRKPRHWLELSMVGVAIAYYAASGH LTTLAVNITDQFHKGLYQRLVDIGLMVSWHQRARCLQGILLFLWMLKYVHLLSSLSTMTP FSAVTCFPLFRVLLVGALLLAAHYHSRWFLLFTGTLSHGTSAEAFPGLLLQFPGRSKKDS WHNCLKSDHGVMRCYYGTLFLLLATLGFRMLRATFLTVFQNRKSSHRKPLVTLKDIAVYT WHKVLTLLGLETTLEETEVATDHIYYLDEFSSLLDELLMKIDGLSDSLELSILENQWKRA LESRAGDSPPVGSSEYQATGVSGPLAAESE >ENSMUSP00000116706.1 pep:known chromosome:GRCm38:1:132022806:132067911:-1 gene:ENSMUSG00000059149.17 transcript:ENSMUST00000126927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd4 description:major facilitator superfamily domain containing 4 [Source:MGI Symbol;Acc:MGI:2442786] MGCDGRVSELLRRNLQPTLTYWSVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQ QLCLLLGSALGGVFKRTLAQSLWALFTSTLVISLVFAVIPFCHDVKVLASVIALAGLAMG CIDTVANMQLVRIYQKDSAFFLQVLHFFVGLGALLSPLIADPFLSEANCFPANNTANATS RSHGSRVLSQHHAAAQPWINQTIPRLPPKEVTENHVSYAFWIMALINLPVPLAVLFLLSK ERLLTCAQRKPLLLSADELALETRPAEKEDTSSLAPKFQPHSGQEDLFSCCQRKNFRGAP CSFFAIHITAALVLFMTDGMMGAYSAFVYSYAVEKPLSIGHKSAGYLPSLFWGFITLGRF ISIPVSSRMRPATMVFINVVGVVVTFLMLLIFSYNVIFLFVGTASLGLFLSSTFPSMLAY TEDILQYKGCATTVLVTGAGIGEMVLQMLVGLIFQAQGSYSFLVCGVIFGCLAFIFYILL LFFHRIHPELSSGENMILIAASSTERETHHHP >ENSMUSP00000107989.2 pep:known chromosome:GRCm38:1:132026621:132067965:-1 gene:ENSMUSG00000059149.17 transcript:ENSMUST00000112370.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd4 description:major facilitator superfamily domain containing 4 [Source:MGI Symbol;Acc:MGI:2442786] MGCDGRVSELLRRNLQPTLTYWSVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQ QLCLLLGSALGGVFKRTLAQSLWALFTSTLVISLVFAVIPFCHDVKVLASVIALAGLAMG CIDTVANMQLVRIYQKDSAFFLQVLHFFVGLGALLSPLIADPFLSEANCFPANNTANATS RSHGSRVLSQHHAAAQPWINQTIPRLPPKEVTENHVSYAFWIMALINLPVPLAVLFLLSK ERLLTCAQRKPLLLSADELALETRPAEKEDTSSLAPKFQPHSGQEDLFSCCQRKNFRGAP CSFFAIHITAALVLFMTDGMMGAYSAFVYSYAVEKPLSIGHKSAGYLPSLFWGFITLGRF ISIPVSSRMRPATMVFINVVGVVVTFLMLLIFSYNVIFLFVGTASLGLFLSSTFPSMLAY TEDILQYKGCATTVLVTGAGIGEMVLQMLVGLIFQAQGSYSFLVCGVIFGCLAFIFYILL LFFHRIHPELSSGENMILIAASSTERETHHHP >ENSMUSP00000125138.1 pep:known chromosome:GRCm38:1:132026808:132067826:-1 gene:ENSMUSG00000059149.17 transcript:ENSMUST00000160656.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd4 description:major facilitator superfamily domain containing 4 [Source:MGI Symbol;Acc:MGI:2442786] SVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQQLCLLLGSALGGVFKRTLAQSL WALFTSTLVISLVFAVIPFCHDVKVLASVIALAGLAMGCIDTVANMQLVRIYQKDSAFFL QLPVPLAVLFLLSKERLLTCAQRKPLLLSADELALETRPAEKEDTSSLAPKFQPHSGQED LFSCCQRKNFRGAPCSFFAIHITAALVLFMTDGMMGAYSAFVYSYAVEKPLSIGHKSAGY LPSLFWGFITLGRFISIPVSSRMRPATMVFINVVGVVVTFLMLLIFSYNVIFLFVGTASL GLFLSSTFPSMLAYTEDILQYKGCATTVLVTGAGIGEMVLQMLVGLIFQAQGSYSFLVCG VIFGCLAFIFYILLLFFHRIHPELSSGENMILIAASSTERETHHHP >ENSMUSP00000116282.2 pep:known chromosome:GRCm38:1:132026808:132067963:-1 gene:ENSMUSG00000059149.17 transcript:ENSMUST00000144548.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd4 description:major facilitator superfamily domain containing 4 [Source:MGI Symbol;Acc:MGI:2442786] MGCDGRVSELLRRNLQPTLTYWSVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQ QLCLLLGSALGGVFKRTLAQSLWALFTSTLVISLVFAVIPFCHDVKVLASVIALAGLAMG CIDTVANMQLVRIYQKDSAFFLQVLHFFVGLGALLSPLIADPFLSEANCFPANNTANATS RSHGSRVLSQHHAAAQPWINQTIPRLPPKEVTENHVSYAFWIMALINLPVPLAVLFLLSK ERLLTCAQRKPLLLSADELALETRPAEKEDTSSLAPKFQPHSGQEDLFSCCQRKNFRGAP CSFFAIHITAALVLFMTDGMMGAYSAFVYSYAVEKPLSIGHKSAGYLPSLFWGFITLGRF ISIPVSSRMRPATMVFINVVGVVVTFLMLLIFSYNVIFLFVGTASLGLFLSSTFPSMLAY TEDILQYKGCATTVLVTGAGIGEMVLQMLVGLIFQAQGSYSFLVCGVIFGCLAFIFYILL LFFHRIHPELSSGENMILIAASSTERETHHHP >ENSMUSP00000117864.1 pep:known chromosome:GRCm38:1:132026835:132068062:-1 gene:ENSMUSG00000059149.17 transcript:ENSMUST00000146267.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd4 description:major facilitator superfamily domain containing 4 [Source:MGI Symbol;Acc:MGI:2442786] MGCDGRVSELLRRNLQPTLTYWSVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQ QLCLLLGSALGGVFKRTSFISSWALVLC >ENSMUSP00000039635.3 pep:known chromosome:GRCm38:1:132036784:132067933:-1 gene:ENSMUSG00000059149.17 transcript:ENSMUST00000046658.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd4 description:major facilitator superfamily domain containing 4 [Source:MGI Symbol;Acc:MGI:2442786] MGCDGRVSELLRRNLQPTLTYWSVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQ QLCLLLGSALGGVFKRTLAQSLWALFTSTLVISLVFAVIPFCHDVKVLASVIALAGLAMG CIDTVANMQLVRIYQKDSAFFLQVLHFFVGLGALLSPLIADPFLSEANCFPANNTANATS RSHGSRVLSQHHAAAQPWINQTIPRLPPKEVTENHVSYAFWIMALINVGVVVTFLMLLIF SYNVIFLFVGTASLGLFLSSTFPSMLAYTEDILQYKGCATTVLVTGAGIGEMVLQMLVGL IFQAQGSYSFLVCGVIFGCLAFIFYILLLFFHRIHPELSSVLTQDKALGVENPECYQR >ENSMUSP00000125558.1 pep:known chromosome:GRCm38:1:132038513:132067911:-1 gene:ENSMUSG00000059149.17 transcript:ENSMUST00000159038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd4 description:major facilitator superfamily domain containing 4 [Source:MGI Symbol;Acc:MGI:2442786] MGCDGRVSELLRRNLQPTLTYWSVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQ QLCLLLGSALGGVFKRTLAQSLWALFTSTLVISLVFAVIPFCHDVKVLASVIALAGLAMG CIDTVANMQLVRIYQKDSAFFLQVLHFFVGLGALLSPLIADPFLSEANCFPANNTANATS RSHGSRVLSQHHAAAQPWINQTIPRLPPKEVTENHVSYAFWIMALINLPVPLAVLFLLSK ERLLTCAQRKPLLLSADELALETRPAEKEDTSSLAPKFQPHSGQEDLFSCCQRKNFRGAP CSFFAIHITAALVLFMTDGMMGAYSAFVYSYAVEKPLSIGHKSAGYLPSLFWGFITLGRF ISIPVSSRMRPATMVFINVVGVVVTFLMLLIFSYNVIFLFVGTASLGLFLSSTFPSMLAY TEDILQYKGCATTVLVTGAGIGEMVLQMLVGLIFQAQGSYSFLVCGVIFGCLAFIFYILL LFFHRIHPELSSVLTQDKALGVENPECYQR >ENSMUSP00000107984.2 pep:known chromosome:GRCm38:1:132038917:132067965:-1 gene:ENSMUSG00000059149.17 transcript:ENSMUST00000112365.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd4 description:major facilitator superfamily domain containing 4 [Source:MGI Symbol;Acc:MGI:2442786] MGCDGRVSELLRRNLQPTLTYWSVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQ QLCLLLGSALGGVFKRTLAQSLWALFTSTLVISLVFAVIPFCHDVKVLASVIALAGLAMG CIDTVANMQLVRIYQKDSAFFLQVLHFFVGLGALLSPLIADPFLSEANCFPANNTANATS RSHGSRVLSQHHAAAQPWINQTIPRLPPKEVTENHVSYAFWIMALINLPVPLAVLFLLSK ERLLTCAQRKPLLLSADELALETRPAEKEDTSSLAPKFQPHSGQEDLFSCCQRKNFRGAP CSFFAIHITAALVLFMTDGMMGAYSAFVYSYAVEKPLSIGHKSAGYLPSLFWGFITLGRF ISIPVSSRMRPATMVFINVVGVVVTFLMLLIFSYNVIFLFVGTASLGLFLSSTFPSMLAY TEDILQYKDLSGPGQLQFPGLWCDLWLPGFYLLHLAPVFPQDTP >ENSMUSP00000124961.1 pep:known chromosome:GRCm38:1:132038922:132067900:-1 gene:ENSMUSG00000059149.17 transcript:ENSMUST00000161864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd4 description:major facilitator superfamily domain containing 4 [Source:MGI Symbol;Acc:MGI:2442786] MGCDGRVSELLRRNLQPTLTYWSVFFSFGLCIAFLGPTLLDLRCQTHSSLPQISWVFFSQ QLCLLLGSALGGVFKRTLAQSLWALFTSTLVISLVFAVIPFCHDVKVLASVIALAGLAMG CIDTVANMQLVRIYQKDSAFFLQLPVPLAVLFLLSKERLLTCAQRKPLLLSADELALETR PAEKEDTSSLAPKFQPHSGQEDLFSCCQRKNFRGAPCSFFAIHITAALVLFMTDGMMGAY SAFVYSYAVEKPLSIGHKSAGYLPSLFWGFITLGRFISIPVSSRMRPATMVFINVVGVVV TFLMLLIFSYNVIFLFVGTASLGLFLSSTFPSMLAYTEDILQYKGCATTVLVTGAGIGEM VLQMLVGLIFQAQGSYSFLVCGVIFGCLAFIFYILLLFFHRIHPELSSVLTQDKALGVEN PECYQ >ENSMUSP00000124786.1 pep:known chromosome:GRCm38:1:132046437:132054177:-1 gene:ENSMUSG00000059149.17 transcript:ENSMUST00000162628.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd4 description:major facilitator superfamily domain containing 4 [Source:MGI Symbol;Acc:MGI:2442786] XLTCAQRKPLLLSADELALETRPAEKEDTSSLAPKFQPHSGRLFGLCVQLCCGEAALYWT QVSWLPP >ENSMUSP00000056655.7 pep:known chromosome:GRCm38:5:139200637:139249840:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000058716.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVT TASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHD SSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGDLKGGNKAATQGNGELAAEVASS NGYTCRDCRMLSARTDALTAHSAIHGTTSRVYSRDRTLKPRGVSFYLDRTLWLAKSTSSS FASFIVQLFQVVLMKLNFETYKLKGYESRAYESQSYETKSHESEAHLGHCGRMTAGELSR VDGESLCDDCKGKKHLEIHTATHSQLPQPHRVAGAMGRLCIYTGDLLVQALRRTRAAGWS VAEAVWSVLWLAVSAPGKAASGTFWWLGSGWYQFVTLISWLNVFLLTRCLRNICKVFVLL LPLLLLLGAGVSLWGQGNFFSLLPVLNWTAMQPTQRVDDSKGMHRPGPLPPSPPPKVDHK ASQWPQESDMGQKVASLSAQCHNHDERLAELTVLLQKLQIRVDQVDDGREGLSLWVKNVV GQHLQEMGTIEPPDAKTDFMTFHHDHEVRLSNLEDVLRKLTEKSEAIQKELEETKLKAGS RDEEQPLLDRVQHLELELNLLKSQLSDWQHLKTSCEQAGARIQETVQLMFSEDQQGGSLE WLLEKLSSRFVSKDELQVLLHDLELKLLQNITHHITVTGQAPTSEAIVSAVNQAGISGIT EAQAHIIVNNALKLYSQDKTGMVDFALESGGGSILSTRCSETYETKTALLSLFGVPLWYF SQSPRVVIQPDIYPGNCWAFKGSQGYLVVRLSMKIYPTTFTMEHIPKTLSPTGNISSAPK DFAVYGLETEYQEEGQPLGRFTYDQEGDSLQMFHTLERPDQAFQIVELRVLSNWGHPEYT CLYRFRVHGEPIQ >ENSMUSP00000106507.2 pep:known chromosome:GRCm38:5:139200643:139249795:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000110883.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVT TASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHD SSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGDLKGGNKAATQGNGELAAEVASS NGYTCRDCRMLSARTDALTAHSAIHGTTSRVYSRDRTLKPRDLLVQALRRTRAAGWSVAE AVWSVLWLAVSAPGKAASGTFWWLGSGWYQFVTLISWLNVFLLTRCLRNICKVFVLLLPL LLLLGAGVSLWGQGNFFSLLPVLNWTAMQPTQRVDDSKGMHRPGPLPPSPPPKVDHKASQ WPQESDMGQKVASLSAQCHNHDERLAELTVLLQKLQIRVDQVDDGREGLSLWVKNVVGQH LQEMGTIEPPDAKTDFMTFHHDHEVRLSNLEDVLRKLTEKSEAIQKELEETKLKAGSRDE EQPLLDRVQHLELELNLLKSQLSDWQHLKTSCEQAGARIQETVQLMFSEDQQGGSLEWLL EKLSSRFVSKDELQVLLHDLELKLLQNITHHITVTGQAPTSEAIVSAVNQAGISGITEAQ AHIIVNNALKLYSQDKTGMVDFALESGGGSILSTRCSETYETKTALLSLFGVPLWYFSQS PRVVIQPDIYPGNCWAFKGSQGYLVVRLSMKIYPTTFTMEHIPKTLSPTGNISSAPKDFA VYGLETEYQEEGQPLGRFTYDQEGDSLQMFHTLERPDQAFQIVELRVLSNWGHPEYTCLY RFRVHGEPIQ >ENSMUSP00000106508.2 pep:known chromosome:GRCm38:5:139200660:139249795:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000110884.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVT TASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHD SSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGDLKGGNKAATQGNGELAAEVASS NGYTCRDCRMLSARTDALTAHSAIHGTTSRVYSRDRTLKPRGVSFYLDRTLWLAKSTSSS FASFIVQLFQVVLMKLNFETYKLKGYESRAYESQSYETKSHESEAHLGHCGRMTAGELSR VDGESLCDLLVQALRRTRAAGWSVAEAVWSVLWLAVSAPGKAASGTFWWLGSGWYQFVTL ISWLNVFLLTRCLRNICKVFVLLLPLLLLLGAGVSLWGQGNFFSLLPVLNWTAMQPTQRV DDSKGMHRPGPLPPSPPPKVDHKASQWPQESDMGQKVASLSAQCHNHDERLAELTVLLQK LQIRVDQVDDGREGLSLWVKNVVGQHLQEMGTIEPPDAKTDFMTFHHDHEVRLSNLEDVL RKLTEKSEAIQKELEETKLKAGSRDEEQPLLDRVQHLELELNLLKSQLSDWQHLKTSCEQ AGARIQETVQLMFSEDQQGGSLEWLLEKLSSRFVSKDELQVLLHDLELKLLQNITHHITV TGQAPTSEAIVSAVNQAGISGITEAQAHIIVNNALKLYSQDKTGMVDFALESGGGSILST RCSETYETKTALLSLFGVPLWYFSQSPRVVIQPDIYPGNCWAFKGSQGYLVVRLSMKIYP TTFTMEHIPKTLSPTGNISSAPKDFAVYGLETEYQEEGQPLGRFTYDQEGDSLQMFHTLE RPDQAFQIVELRVLSNWGHPEYTCLYRFRVHGEPIQ >ENSMUSP00000098086.3 pep:known chromosome:GRCm38:5:139200667:139228340:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000100517.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVT TASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHD SSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGDLKGGNKAATQGNGELAAEVASS NGYTCRDCRMLSARTDALTAHSAIHGTTSRVYSRDRTLKPRVVLGLPHPGVSQEDQEQH >ENSMUSP00000123211.1 pep:known chromosome:GRCm38:5:139200669:139223739:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000127045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MSSSSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVTTASYSSGDSQAIDS >ENSMUSP00000116364.1 pep:known chromosome:GRCm38:5:139200671:139226598:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000143562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVT TASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHD SSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGD >ENSMUSP00000077756.6 pep:known chromosome:GRCm38:5:139200680:139248549:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000078690.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVT TASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHD SSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGDLKGGNKAATQGNGELAAEVASS NGYTCRDCRMLSARTDALTAHSAIHGTTSRVYSRDRTLKPPHLGHCGRMTAGELSRVDGE SLCDDCKGKKHLEIHTATHSQLPQPHRVAGAMGRLCIYTGDLLVQALRRTRAAGWSVAEA VWSVLWLAVSAPGKAASGTFWWLGSGWYQFVTLISWLNVFLLTRCLRNICKVFVLLLPLL LLLGAGVSLWGQGNFFSLLPVLNWTAMQPTQRVDDSKGMHRPGPLPPSPPPKVDHKASQW PQESDMGQKVASLSAQCHNHDERLAELTVLLQKLQIRVDQVDDGREGLSLWVKNVVGQHL QEMGTIEPPDAKTDFMTFHHDHEVRLSNLEDVLRKLTEKSEAIQKELEETKLKAGSRDEE QPLLDRVQHLELELNLLKSQLSDWQHLKTSCEQAGARIQETVQLMFSEDQQGGSLEWLLE KLSSRFVSKDELQVLLHDLELKLLQNITHHITVTGQAPTSEAIVSAVNQAGISGITEAQA HIIVNNALKLYSQDKTGMVDFALESGGGSILSTRCSETYETKTALLSLFGVPLWYFSQSP RVVIQPDIYPGNCWAFKGSQGYLVVRLSMKIYPTTFTMEHIPKTLSPTGNISSAPKDFAV YGLETEYQEEGQPLGRFTYDQEGDSLQMFHTLERPDQAFQIVELRVLSNWGHPEYTCLYR FRVHGEPIQ >ENSMUSP00000117679.1 pep:known chromosome:GRCm38:5:139200685:139226604:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000146715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVT TASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHD SSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGDLK >ENSMUSP00000114869.1 pep:known chromosome:GRCm38:5:139211973:139225397:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000148772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MWVQQLQLWLSVEGCPHVPSPTRSVAGTLKCFLTAWSETVNMDFSRLHTYTPPQCVPENT GYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVTTASYSSGDSQAIDSHISTS RATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHDSSCSLRSATVLRHPV >ENSMUSP00000106506.1 pep:known chromosome:GRCm38:5:139212027:139248643:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000110882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVT TASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHD SSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGDLKGGNKAATQGNGELAAEVASS NGYTCRDCRMLSARTDALTAHSAIHGTTSRVYSRDRTLKPRKAASGTFWWLGSGWYQFVT LISWLNVFLLTRCLRNICKVFVLLLPLLLLLGAGVSLWGQGNFFSLLPVLNWTAMQPTQR VDDSKGMHRPGPLPPSPPPKVDHKASQWPQESDMGQKVASLSAQCHNHDERLAELTVLLQ KLQIRVDQVDDGREGLSLWVKNVVGQHLQEMGTIEPPDAKTDFMTFHHDHEVRLSNLEDV LRKLTEKSEAIQKELEETKLKAGSRDEEQPLLDRVQHLELELNLLKSQLSDWQHLKTSCE QAGARIQETVQLMFSEDQQGGSLEWLLEKLSSRFVSKDELQVLLHDLELKLLQNITHHIT VTGQAPTSEAIVSAVNQAGISGITEAQAHIIVNNALKLYSQDKTGMVDFALESGGGSILS TRCSETYETKTALLSLFGVPLWYFSQSPRVVIQPDIYPGNCWAFKGSQGYLVVRLSMKIY PTTFTMEHIPKTLSPTGNISSAPKDFAVYGLETEYQEEGQPLGRFTYDQEGDSLQMFHTL ERPDQAFQIVELRVLSNWGHPEYTCLYRFRVHGEPIQ >ENSMUSP00000119582.1 pep:known chromosome:GRCm38:5:139212267:139225361:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000129079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] MIAWSETVNMDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRM SRRSLRLVTTASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGK GLSSSTSHDSS >ENSMUSP00000122785.1 pep:known chromosome:GRCm38:5:139225276:139229939:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000135720.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] RRSASKPAFSINHLSGKGLSSSTSHDSSCSLRSATVLRHPVLDESLIREQTKVDHFWGLD DDGDLKAFITPLKTSGGNKAATQGNGELAAEVASSNGYTCRDCRMLSARTDALTAHSAIH GTTSRVYSRDRTLKPRGVSFYLDRTLWLAKSTSSSFASFIVQLFQVVLMKLNFETYKLKG YESRAYESQSYETKSHES >ENSMUSP00000114488.1 pep:known chromosome:GRCm38:5:139226743:139235625:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000135926.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] XAAEVASSNGYTCRDCRMLSARTDALTAHSAIHGTTSRVYSRDRTLKPRGVSFYLDRTLW LAKSTSSSFASFIVQLFQVVLMKLNFETYKLKGYESRAYESQSYETKSHESEGKAASGTF WWLGSGWYQFVTLISWLNVFLLTRCLRNICKVFVLLLPLLLLLGAGVSLWGQGNFFSLLP VLNWTAMQPTQRVDDSKGMHRPGPLPPSPPPKVDHKASQWPQESDMGQKVASLSAQCHNH DERLAELTVLLQKLQIR >ENSMUSP00000119587.1 pep:known chromosome:GRCm38:5:139235577:139245367:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000128817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] XERLAELTVLLQKLQIRVDQVDDGREGLSLWVKNVVGQHLQEMGTIEPPDAKTDFMTFHH DHEVRLSNLEDVLRKLTEKSEAIQKELEETKLKAGRDEEQPLLDRVQHLELELNLLKSQL SDWQHLKTSCEQAGARIQETVQLMFSEDQQGGSLEWLLEKLSSRFVSKDELQVLLHDLEL KLLQNITHHITVTGQAPTSEAIVSAVNQAGISGITEAQAHIIVNNALKLYSQDKTGMVDF ALESGGGSILSTRCSETY >ENSMUSP00000114514.1 pep:known chromosome:GRCm38:5:139241354:139248506:1 gene:ENSMUSG00000036817.14 transcript:ENSMUST00000127310.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sun1 description:Sad1 and UNC84 domain containing 1 [Source:MGI Symbol;Acc:MGI:1924303] XLLHDLELKLLQNITHHITVTGQAPTSEAIVSAVNQAGISGITEAQAHIIVNNALKLYSQ DKTGMVDFALESGARHLPRELLGVQRFPGVPGGAVVHEDLPNHIHHGTHSKDTITHW >ENSMUSP00000005218.8 pep:known chromosome:GRCm38:2:102811141:102901625:-1 gene:ENSMUSG00000005087.17 transcript:ENSMUST00000005218.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd44 description:CD44 antigen [Source:MGI Symbol;Acc:MGI:88338] MDKFWWHTAWGLCLLQLSLAHPHQQIDLNVTCRYAGVFHVEKNGRYSISRTEAADLCQAF NSTLPTMDQMKLALSKGFETCRYGFIEGNVVIPRIHPNAICAANHTGVYILVTSNTSHYD TYCFNASAPPEEDCTSVTDLPNSFDGPVTITIVNRDGTRYSKKGEYRTHQEDIDASNIID DDVSSGSTIEKSTPESYILHTYLPTEQPTGDQDDSFFIRSTLATIASTVHSKSHAAAQKQ NNWIWSWFGNSQSTTQTQEPTTSATTALMTTPETPPKRQEAQNWFSWLFQPSESKSHLHT TTKMPGTESNTNPTGWEPNEENEDETDKYPSFSGSGIDDDEDFISSTIASTPRVSARTED NQDWTQWKPNHSNPEVLLQTTTRMADIDRISTSAHGENWTPEPQPPFNNHEYQDEEETPH ATSTTPNSTAEAAATQQETWFQNGWQGKNPPTPSEDSHVTEGTTASAHNNHPSQRITTQS QEDVSWTDFFDPISHPMGQGHQTESKDTDSSHSTTLQPTAAPNTHLVEDLNRTGPLSVTT PQSHSQNFSTLHGEPEEDENHPTTSILPSSTKSGAKDARRGGSLPTDTTTSVEGYTFQYP DTMENGTLFPVTPAKTEVFGETEVTLATDSNVNVDGSLPGDRDSSKDSRGSSRTVTHGSE LAGHSSANQDSGVTTTSGPMRRPQIPEWLIILASLLALALILAVCIAVNSRRRCGQKKKL VINGGNGTVEDRKPSELNGEASKSQEMVHLVNKEPSETPDQCMTADETRNLQSVDMKIGV >ENSMUSP00000062330.7 pep:known chromosome:GRCm38:2:102812530:102901495:-1 gene:ENSMUSG00000005087.17 transcript:ENSMUST00000060516.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd44 description:CD44 antigen [Source:MGI Symbol;Acc:MGI:88338] MDKFWWHTAWGLCLLQLSLAHPHQQIDLNVTCRYAGVFHVEKNGRYSISRTEAADLCQAF NSTLPTMDQMKLALSKGFETCRYGFIEGNVVIPRIHPNAICAANHTGVYILVTSNTSHYD TYCFNASAPPEEDCTSVTDLPNSFDGPVTITIVNRDGTRYSKKGEYRTHQEDIDASNIID DDVSSGSTIEKSTPESYILHTYLPTEQPTGDQDDSFFIRSTLATTPNSTAEAAATQQETW FQNGWQGKNPPTPSEDSHVTEGTTASAHNNHPSQRITTQSQEDVSWTDFFDPISHPMGQG HQTESKDTDSSHSTTLQPTAAPNTHLVEDLNRTGPLSVTTPQSHSQNFSTLHGEPEEDEN HPTTSILPSSTKSGAKDARRGGSLPTDTTTSVEGYTFQYPDTMENGTLFPVTPAKTEVFG ETEVTLATDSNVNVDGSLPGDRDSSKDSRGSSRTVTHGSELAGHSSANQDSGVTTTSGPM RRPQIPEWLIILASLLALALILAVCIAVNSRRRCGQKKKLVINGGNGTVEDRKPSELNGE ASKSQEMVHLVNKEPSETPDQCMTADETRNLQSVDMKIGV >ENSMUSP00000097265.2 pep:known chromosome:GRCm38:2:102812547:102901510:-1 gene:ENSMUSG00000005087.17 transcript:ENSMUST00000099673.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd44 description:CD44 antigen [Source:MGI Symbol;Acc:MGI:88338] MDKFWWHTAWGLCLLQLSLAHPHQQIDLNVTCRYAGVFHVEKNGRYSISRTEAADLCQAF NSTLPTMDQMKLALSKGFETCRYGFIEGNVVIPRIHPNAICAANHTGVYILVTSNTSHYD TYCFNASAPPEEDCTSVTDLPNSFDGPVTITIVNRDGTRYSKKGEYRTHQEDIDASNIID DDVSSGSTIEKSTPESYILHTYLPTEQPTGDQDDSFFIRSTLATRDRDSSKDSRGSSRTV THGSELAGHSSANQDSGVTTTSGPMRRPQIPEWLIILASLLALALILAVCIAVNSRRRCG QKKKLVINGGNGTVEDRKPSELNGEASKSQEMVHLVNKEPSETPDQCMTADETRNLQSVD MKIGV >ENSMUSP00000106821.2 pep:known chromosome:GRCm38:2:102814120:102901350:-1 gene:ENSMUSG00000005087.17 transcript:ENSMUST00000111190.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd44 description:CD44 antigen [Source:MGI Symbol;Acc:MGI:88338] MDKFWWHTAWGLCLLQLSLAHPHQQIDLNVTCRYAGVFHVEKNGRYSISRTEAADLCQAF NSTLPTMDQMKLALSKGFETCRYGFIEGNVVIPRIHPNAICAANHTGVYILVTSNTSHYD TYCFNASAPPEEDCTSVTDLPNSFDGPVTITIVNRDGTRYSKKGEYRTHQEDIDASNIID DDVSSGSTIEKSTPESYILHTYLPTEQPTGDQDDSFFIRSTLATTQSHSQNFSTLHGEPE EDENHPTTSILPSSTKSGAKDARRGGSLPTDTTTSVEGYTFQYPDTMENGTLFPVTPAKT EVFGETEVTLATDSNVNVDGSLPGDRDSSKDSRGSSRTVTHGSELAGHSSANQDSGVTTT SGPMRRPQIPEWLIILASLLALALILAVCIAVNSRRRCGQKKKLVINGGNGTVEDRKPSE LNGEASKSQEMVHLVNKEPSETPDQCMTADETRNLQSVDMKIGV >ENSMUSP00000106822.2 pep:known chromosome:GRCm38:2:102814120:102901369:-1 gene:ENSMUSG00000005087.17 transcript:ENSMUST00000111191.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd44 description:CD44 antigen [Source:MGI Symbol;Acc:MGI:88338] MDKFWWHTAWGLCLLQLSLAHPHQQIDLNVTCRYAGVFHVEKNGRYSISRTEAADLCQAF NSTLPTMDQMKLALSKGFETCRYGFIEGNVVIPRIHPNAICAANHTGVYILVTSNTSHYD TYCFNASAPPEEDCTSVTDLPNSFDGPVTITIVNRDGTRYSKKGEYRTHQEDIDASNIID DDVSSGSTIEKSTPESYILHTYLPTEQPTGDQDDSFFIRSTLATNTDSSHSTTLQPTAAP NTHLVEDLNRTGPLSVTTPQSHSQNFSTLHGEPEEDENHPTTSILPSSTKSGAKDARRGG SLPTDTTTSVEGYTFQYPDTMENGTLFPVTPAKTEVFGETEVTLATDSNVNVDGSLPGDR DSSKDSRGSSRTVTHGSELAGHSSANQDSGVTTTSGPMRRPQIPEWLIILASLLALALIL AVCIAVNSRRRCGQKKKLVINGGNGTVEDRKPSELNGEASKSQEMVHLVNKEPSETPDQC MTADETRNLQSVDMKIGV >ENSMUSP00000106829.2 pep:known chromosome:GRCm38:2:102814130:102901350:-1 gene:ENSMUSG00000005087.17 transcript:ENSMUST00000111198.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd44 description:CD44 antigen [Source:MGI Symbol;Acc:MGI:88338] MDKFWWHTAWGLCLLQLSLAHPHQQIDLNVTCRYAGVFHVEKNGRYSISRTEAADLCQAF NSTLPTMDQMKLALSKGFETCRYGFIEGNVVIPRIHPNAICAANHTGVYILVTSNTSHYD TYCFNASAPPEEDCTSVTDLPNSFDGPVTITIVNRDGTRYSKKGEYRTHQEDIDASNIID DDVSSGSTIEKSTPESYILHTYLPTEQPTGDQDDSFFIRSTLATIASTPRVSARTEDNQD WTQWKPNHSNPEVLLQTTTRMADIDRISTSAHGENWTPEPQPPFNNHEYQDEEETPHATS TTPNSTAEAAATQQETWFQNGWQGKNPPTPSEDSHVTEGTTASAHNNHPSQRITTQSQED VSWTDFFDPISHPMGQGHQTESKDTDSSHSTTLQPTAAPNTHLVEDLNRTGPLSVTTPQS HSQNFSTLHGEPEEDENHPTTSILPSSTKSGAKDARRGGSLPTDTTTSVEGYTFQYPDTM ENGTLFPVTPAKTEVFGETEVTLATDSNVNVDGSLPGDRDSSKDSRGSSRTVTHGSELAG HSSANQDSGVTTTSGPMRRPQIPEWLIILASLLALALILAVCIAVNSRRRCGQKKKLVIN GGNGTVEDRKPSELNGEASKSQEMVHLVNKEPSETPDQCMTADETRNLQSVDMKIGV >ENSMUSP00000106823.2 pep:known chromosome:GRCm38:2:102814133:102901346:-1 gene:ENSMUSG00000005087.17 transcript:ENSMUST00000111192.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd44 description:CD44 antigen [Source:MGI Symbol;Acc:MGI:88338] MDKFWWHTAWGLCLLQLSLAHPHQQIDLNVTCRYAGVFHVEKNGRYSISRTEAADLCQAF NSTLPTMDQMKLALSKGFETCRYGFIEGNVVIPRIHPNAICAANHTGVYILVTSNTSHYD TYCFNASAPPEEDCTSVTDLPNSFDGPVTITIVNRDGTRYSKKGEYRTHQEDIDASNIID DDVSSGSTIEKSTPESYILHTYLPTEQPTGDQDDSFFIRSTLATTKSGAKDARRGGSLPT DTTTSVEGYTFQYPDTMENGTLFPVTPAKTEVFGETEVTLATDSNVNVDGSLPGDRDSSK DSRGSSRTVTHGSELAGHSSANQDSGVTTTSGPMRRPQIPEWLIILASLLALALILAVCI AVNSRRRCGQKKKLVINGGNGTVEDRKPSELNGEASKSQEMVHLVNKEPSETPDQCMTAD ETRNLQSVDMKIGV >ENSMUSP00000106825.1 pep:known chromosome:GRCm38:2:102811141:102901665:-1 gene:ENSMUSG00000005087.17 transcript:ENSMUST00000111194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd44 description:CD44 antigen [Source:MGI Symbol;Acc:MGI:88338] MDKFWWHTAWGLCLLQLSLAHPHQQIDLNVTCRYAGVFHVEKNGRYSISRTEAADLCQAF NSTLPTMDQMKLALSKGFETCRYGFIEGNVVIPRIHPNAICAANHTGVYILVTSNTSHYD TYCFNASAPPEEDCTSVTDLPNSFDGPVTITIVNRDGTRYSKKGEYRTHQEDIDASNIID DDVSSGSTIEKSTPESYILHTYLPTEQPTGDQDDSFFIRSTLATNIDRISTSAHGENWTP EPQPPFNNHEYQDEEETPHATSTTPNSTAEAAATQQETWFQNGWQGKNPPTPSEDSHVTE GTTDTDSSHSTTLQPTAAPNTHLVEDLNRTGPLSVTTPQSHSQNFSTLHGEPEEDENHPT TSILPSSTKSGAKDARRGGSLPTDTTTSVEGYTFQYPDTMENGTLFPVTPAKTEVFGETE VTLATDSNVNVDGSLPGDRDSSKDSRGSSRTVTHGSELAGHSSANQDSGVTTTSGPMRRP QIPEWLIILASLLALALILAVCIAVNSRRRCGQKKKLVINGGNGTVEDRKPSELNGEASK SQEMVHLVNKEPSETPDQCMTADETRNLQSVDMKIGV >ENSMUSP00000108838.3 pep:known chromosome:GRCm38:1:87386363:87394729:-1 gene:ENSMUSG00000079436.3 transcript:ENSMUST00000113212.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj13 description:potassium inwardly-rectifying channel, subfamily J, member 13 [Source:MGI Symbol;Acc:MGI:3781032] MDSSNCKVNAPLLSQRHRRMVTKDGHSTLQMDGAQRGLVYLRDAWGILMDMRWRWMMLVF SASFVVHWLVFAVLWYAVAEMNGDLEIDHDVPPENHTICVKHITSFTAAFSFSLETQLTI GYGTMFPSGDCPSAIALLAIQMLLGLMLEAFITGAFVAKIARPKNRAFSIRFTDLAVVAH KDGKPNLIFQVANTRPSPLTNVRVSAVLYQERENGELYQTSVDFHLDGISSEECPFFIFP LTYYHTISPSSPLATLLQHETPPHFELVVFLSAMQEGTGEICQRRTSYLPSEIMLHHRFA ALMTRGSKGEYQVKMENFDKTVPEHPTPVVSKSPHRTDLDIHINGQSIDNFQIAETGLTE >ENSMUSP00000133524.1 pep:known chromosome:GRCm38:1:87387002:87389389:-1 gene:ENSMUSG00000079436.3 transcript:ENSMUST00000174179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj13 description:potassium inwardly-rectifying channel, subfamily J, member 13 [Source:MGI Symbol;Acc:MGI:3781032] MDSSNCKVNAPLLSQRHRRMVTKDGHSTLQMDGAQRGLVYLRDAWGILMDMRWRWMMLVF SASFVVHWLVFAVLWCLCGEDCTAKK >ENSMUSP00000120588.2 pep:known chromosome:GRCm38:1:93168725:93231072:1 gene:ENSMUSG00000084989.3 transcript:ENSMUST00000138595.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crocc2 description:ciliary rootlet coiled-coil, rootletin family member 2 [Source:MGI Symbol;Acc:MGI:3045962] MSSTSSNPDDGDTTEQSQLGLDTVIKKLEDTILSPMARREDRALTVRGEGQRASPTPVPA RIREIVSSSLGEEPLSGLREPPATTSHAREESELLQEELTRLEDLLAQADAEREELASRC HMVSQRLQARLDTTEARLRKSELEHSMDLEEALSRLEASQQRSMGLSQVNTLLRQQLEHM QKANDTLARELTRATHSLVHLQRKLELQESQRLSLRQPRDILPLWRQAKALQTHLAELRA STERGLTDVQADMTRTAQRLHMACLNLDSHLRLTASSMTSDLEQRLREQAREMLQLQGQW AAEKVALQARLSEQTLLVEKLSVQKEQGERAILTLKSDIQRLKSRRSGGQLAVDELRDEV ESLHHVLASIKEVAQSDAMCPELAWSSSIEVREAQARLRSPPRSVSPHQRMSPARTSSPT SLHPALQAVQAAIERRQQREQELRLRLESSQEEAAGLREQLSGYRQELRTSQRLLQDRAQ EHEDLLGQLEAQRQEAQLSQASVHLLEREKEALETTMEELRAKADIREAETQKLEVTNAE LRRSLLLRAEQKAELAQQSERSLRELEASQGRVEQLEEKVSGLRKELATSREALSSMQLQ RDILETEKESLHGALAQAESGNADLELLVTRLKAEGMEQQDSLAKMAALLEGLSQDKGTL NHLALQLEQERDQLREQQKMLQQEQAGMREQLTQTGQQLGLIRAERRSLKETCGHLEQKQ DHLEKQVVLLGQENAQLREQVGQVTNKKQALEKQLAQSLQDQEAQMDILQEALHEKNTLS EERAQLLAKQEALERHSELVTKEAADLRAERNSLENSLFEAQRLTTQLQTQQEQLEGKAE AAQLARRALQVEIERLKSDWEVRETKLQLHLGQLQQQAAQQEQEAQLALERQELAHTEDL ARLHREKDTLSLSLAEEKEAAARWMEQQKELLTRSAADREALQGEIQNLKQERDESLLQL EHEMQQALSLKDAEKSLLSKELSGAHRELERARQEAQNQQVQAEATVTTMTKELRTLQVQ FEEAISTHQREADTLREKLREIAAERSSVRREAEELQAQLNVAHERLAELRQELQASEES REGLQREALGARRALEDEVQEKDVLQHSNTELRASIHRAEQEKASLKRSKEEQEQKLLLL QEAQVAAQKEAYELRTRLQELERAQRDTRRKLQERHRQVRTLEAENQRKRQEVSDLQAQV SRDAQHRQKNLQESLELQRQVAEAQAAHDGVQKEVLGLRQKLAEVEASGETRAKQLEGHL CESQRAEQTLQAELCRITRKLQEASNQADSLQRSLDNACSRVHVLEQELAKAEGARCNAE AQLGRLWSTLCSGLGQSRNLLASPKRPHSPTTGSSQTRPGRQRTSPPTRSYSPARWPSPV PVDPKSEVIDVAFVRDALRDLVQGLLEAQQERDNSGIQVANLSSQLSEAERERLRLQSRV EQLQRDLADAEEGQRRAESALQSAQAARALQKEALQRLETEHLASARAAGQERRRLQEQV DTLRQALEESSRPSQSLADKGRLLEQPLQQVLPHSRRDRAERRALREQTTSLRTERARLQ GELAALRTRLIQTEQETLKKEEDRAMLGAKKELLLQSLSHLHQEVDGALRQSQQLQVASL KKRLDKEVWQRQQQAHSD >ENSMUSP00000038005.5 pep:known chromosome:GRCm38:17:31386234:31476310:1 gene:ENSMUSG00000041119.11 transcript:ENSMUST00000047168.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde9a description:phosphodiesterase 9A [Source:MGI Symbol;Acc:MGI:1277179] MGAGSSSYRPKAIYLDIDGRIQKVVFSKYCNSSDIMDLFCIATGLPRNTTISLLTTDDAM VSIDPTMPANSERTPYKVRPVAVKQVSEREELIQGVLAQVAEQFSRAFKINELKAEVANH LAVLEKRVELEGLKVVEIEKCKSDIKKMREELAARNSRTNCPCKYSFLDNKKLTPRRDVP TYPKYLLSPETIEALRKPTFDVWLWEPNEMLSCLEHMYHDLGLVRDFSINPITLRRWLLC VHDNYRNNPFHNFRHCFCVTQMMYSMVWLCGLQEKFSQMDILVLMTAAICHDLDHPGYNN TYQINARTELAVRYNDISPLENHHCAIAFQILARPECNIFASVPPEGFRQIRQGMITLIL ATDMARHAEIMDSFKEKMENFDYSNEEHLTLLKMILIKCCDISNEVRPMEVAEPWVDCLL EEYFMQSDREKSEGLPVAPFMDRDKVTKATAQIGFIKFVLIPMFETVTKLFPVVEETMLR PLWESREHYEELKQLDDAMKELQKKTESLTSGAPENTTEKNRDAKDSEGHSPPN >ENSMUSP00000117911.1 pep:known chromosome:GRCm38:17:31386249:31476156:1 gene:ENSMUSG00000041119.11 transcript:ENSMUST00000143549.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde9a description:phosphodiesterase 9A [Source:MGI Symbol;Acc:MGI:1277179] MGAGSSSYRPKAIYLDIDGRIQKEHHHLPFNHRRRHGLHRSHHACEFRASV >ENSMUSP00000115188.1 pep:known chromosome:GRCm38:17:31386249:31476156:1 gene:ENSMUSG00000041119.11 transcript:ENSMUST00000131417.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde9a description:phosphodiesterase 9A [Source:MGI Symbol;Acc:MGI:1277179] MGAGSSSYRPKAIYLDIDGRIQKEHHHLPFNHRRRHGLHRSHHACEFRAHSLQGETCGCE ASV >ENSMUSP00000121003.1 pep:known chromosome:GRCm38:17:31386249:31476156:1 gene:ENSMUSG00000041119.11 transcript:ENSMUST00000134525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde9a description:phosphodiesterase 9A [Source:MGI Symbol;Acc:MGI:1277179] MGAGSSSYRPKAIYLDIDGRIQKVVFSKYCNSSDIMDLFCIATGLPRTPYKVRPVAVKQV SEREELIQGVLAQVAEQFSRAFKINELKAEVANHLAVLEKRVELEGLKVVEIEKCKSDIK KMREELAARNSRTNCPCKYSFLDNKKLTPRRDVPTYPKYLLSPETIEALRKPTFDVWLWE PNEMLSCLEHMYHDLGLVRDFSINPITLRRWLLCVHDNYRNNPFHNFRHCFCVTQMMYSM VWLCGLQEKFSQMDILVLMTAAICHDLDHPGYNNTYQINARTELAVRYNDISPLENHHCA IAFQILARPECNIFASVPPEGFRQIRQGMITLILATDMARHAEIMDSFKEKMENFDYSNE EHLTLLKMILIKCCDISNEVRPMEVAEPWVDCLLEEYFMQSDREKSEGLPVAPFMDRDKV TKATAQIGFIKFVLIPMFETVTKLFPVVEETMLRPLWESREHYEELKQLDDAMKELQKKT ESLTSGAPENTTEKNRDAKDSEGHSPPN >ENSMUSP00000117611.1 pep:known chromosome:GRCm38:17:31386254:31476159:1 gene:ENSMUSG00000041119.11 transcript:ENSMUST00000127929.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde9a description:phosphodiesterase 9A [Source:MGI Symbol;Acc:MGI:1277179] MGAGSSSYRPKAIYLDIDGRIQKVVFSKYCNSSDIMDLFCIATGLPRNTTISLLTTDDAM VSIDPTMPANSERTPYKVRPVAVKQVSEREELIQGVLAQVAEQFSRAFKINELKAEVANH LAVLEKRVELEGLKVVEIEKCKSDIKKMREELAARNSRTNCPCKYSFLDNKKLTPRRDVP TYPKYLLSPETIEALRKPTFDVWLWEPNEMLSCLEHMYHDLGLVRDFSINPITLRRWLLC VHDNYRNNPFHNFRHCFCVTQMMYSMVWLCGLQEKFSQMDILVLMTAAICHDLDHPGYNN TYQINARTELAVRYNDISPLENHHCAIAFQILARPECNIFASVPPEGFRQIRQGMITLIL ATDMARHAEIMDSFKEKMENFDYSNEEHLTLLKMILIKCCDISNEVRPMEVAEPWVDCLL EEYFMQSDREKSEGLPVAPFMDRDKVTKATAQIGFIKFVLIPMFETVTKLFPVVEETMLR PLWESREHYEELKQLDDAMKELQKKTESLTSGAPENTTEKNRDAKDSEGHSPPN >ENSMUSP00000121126.1 pep:known chromosome:GRCm38:17:31386262:31476081:1 gene:ENSMUSG00000041119.11 transcript:ENSMUST00000137927.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde9a description:phosphodiesterase 9A [Source:MGI Symbol;Acc:MGI:1277179] MGAGSSSYRPKAIYLDIDGRIQKHSLQGETCGCEASV >ENSMUSP00000118869.1 pep:known chromosome:GRCm38:17:31386274:31476159:1 gene:ENSMUSG00000041119.11 transcript:ENSMUST00000124902.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde9a description:phosphodiesterase 9A [Source:MGI Symbol;Acc:MGI:1277179] MGAGSSSYRPKAIYLDIDGRIQKVVFSKYCNSSDIMDLFCIATGLPRNTTISLLTTDDAM VSIDPTMPANSERTPYKNEKNLSRACWPRWRNNFPERLRSTS >ENSMUSP00000117364.1 pep:known chromosome:GRCm38:17:31386294:31455122:1 gene:ENSMUSG00000041119.11 transcript:ENSMUST00000141314.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde9a description:phosphodiesterase 9A [Source:MGI Symbol;Acc:MGI:1277179] AGSSSYRPKAIYLDIDGRIQKVVFSKYCNSSDIMDLFCIATGLPRNTTISLLTTDDAMVS IDPTMPANSERTRRTYPGRAGPGGGTIFQSV >ENSMUSP00000116724.1 pep:known chromosome:GRCm38:17:31433071:31459129:1 gene:ENSMUSG00000041119.11 transcript:ENSMUST00000136384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde9a description:phosphodiesterase 9A [Source:MGI Symbol;Acc:MGI:1277179] MREELAARNSRTNCPCKYSFLDNKKLTPRRDVPTYPKYLLSPETIEALRKPTFDVWLWEP NEMLSCLEHMYHDLGLVRDF >ENSMUSP00000117065.1 pep:known chromosome:GRCm38:17:31461628:31476141:1 gene:ENSMUSG00000041119.11 transcript:ENSMUST00000154392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde9a description:phosphodiesterase 9A [Source:MGI Symbol;Acc:MGI:1277179] XENHHCAIAFQILARPECNIFASVPPEGFRQIRQGMITLILATDMARHAEIMDSFKEKME NFDYSNEEHLTLSDREKSEGLPVAPFMDRDKVTKATAQIGFIKFVLIPMFETVTKLFPVV EETMLRPLWESREHYEELKQLDDAMKELQKKTESLTSGAPENTTEKNRDAKDSEGHSPPN >ENSMUSP00000055869.1 pep:known chromosome:GRCm38:X:100590154:100594869:-1 gene:ENSMUSG00000044359.1 transcript:ENSMUST00000053373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry4 description:pyrimidinergic receptor P2Y, G-protein coupled, 4 [Source:MGI Symbol;Acc:MGI:1926594] MTSADSLLFTSLGPSPSSGDGDCKFNEEFKFILLPLSYAVVFVLGLALNAPTLWLFLFRL RPWDATATYMFHLALSDTLYVLSLPTLVYYYAARNHWPFGTGFCKFVRFLFYWNLYCSVL FLTCISVHRYMGICHPLRAIRWGRPRFAGLLCLGVWLVVAGCLVPNLFFVTTNANGTTIL CHDTTLPEEFDHYVYFSSTIMVLLFGFPFLITLVCYGLMARRLYRPLPGAGQSSSRLRSL RTIAVVLTVFAVCFVPFHITRTIYYLARLLNAECRVLNIVNVVYKVTRPLASANSCLDPV LYLFTGDKYRNQLQQLCRGSTPKRRTTASSLALVTLHEESISRWADIHQDSIFPAYEGDR L >ENSMUSP00000027475.8 pep:known chromosome:GRCm38:1:87327003:87450796:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000027475.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] MAAETQTLNFGPEWLRALSSGGSITSPPLSPALPKYKLADYRYGREEMLALFLKDYKIPF DLLEKEFLPILQEEPLPPLALVPFTEEEQRNFSMSVNSAAVLRLTGRGGGGGTVVGAPRG RSSSRGRGRGRGECGFYQRSFDEVEGVFGRGGGREMHRSQSWEERGDRRFEKPGRKDVGR PNFEESGPTSVGRKHEFIRSESENWRIFREEQNGEDEDGGWRLAGSRRDGERWRPHSPDG PRSTGWREHMERRRRFEFDFRDRDDERGYRRVRSGSGSIDDDRDSLPEWCLEDAEEEMGT FDSSGAFLSLKKVQKEPIPEEQEMDFRPVEEGEERSDSDSSHNEEAKEPDKTNRREGEKT DRAGAEASEEVPQTSLSSARPGTPSDHQPQEATQFERKDEPKAEQVEKAEEENRSENSLS AKVPSRGDETVPASQQPSTPLPPDTASPLLILSPPVPTPSSASRPVETAAVEAPGMSSVS TEPDDEEGLKHLEQQAEKMVAYLQDSALDDERLTSKLQEHRAKGVSIPLMHEAMQKWYYK DPQGEIQGPFNNQEMAEWFQAGYFTMSLLVKRACDESFQPLGDIMKMWGRVPFSPGPAPP PHMGELDQERLTRQQELTALYQMQHLQYQQFLIQQQYAQVLAQQQKAALSSQQQQQLALL LQQFQALKMRMSDQNIIPSVTRSVSVPDTGSIWELQPAASQPAVWEGGSVWDLPLDTTAP GPSLEQLQQLEKAKAAKLEQERREAEMRAKREEEERKRQEELRRQQEEILRRQQEEERKR REEEELARRKQEEALRRQREQEIALRRQREEEERQQQEEALRRLEERRREEEERRKQEEL LRKQEEEAAKWAREEEEAQRRLEENRLRMEEEAARLRHEEEERKRKELELQRQKDLMRQR QQQQEALRRLQQQQQQQQLAQMKLPSSSTWGQQSNTATCQSQATLSLAEIQKLEEERERQ LREEQRRQQRELMKALQQQQQQQQQQKLSGWGNVSKPAGTTKSLLEIQQEEARQMQKQQQ QQQQQQQQHQQSNRARNSTHSNLHTSLGNSVWGSINTGPSNQWASELVSSIWSNADTKNS NMGFWDDAVKEVGPRNSTNKNKNNASLSKSVGVSNRQNKKVEEEEKLLKLFQGVNKAQDG FTQWCEQMLHALNTANNLDVPTFVSFLKEVESPYEVHDYTRAYLGDTSEAKEFAKQFLER RAKQKVNQQRQQQQQQQQQQDSVWGMNHSTLHSVFQTNQSNNQQSNFEAVQSGKKKKKQK MVRADPSLLGFSVNASSERLNMGEIETLDDY >ENSMUSP00000134077.1 pep:known chromosome:GRCm38:1:87327029:87449145:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000172794.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] MAAETQTLNFGPEWLRALSSGGSITSPPLSPALPKYKLADYRYGREEMLALFLKDYKIPF DLLEKEFLPILQEEPLPPLALVPFTEEEQRNFSMSVNSAAVLRLTGRGGGGGTVVGAPRG RSSSRGRGRGRGECGFYQRSFDEVEGVFGRGGGREMHRSQSWEERGDRRFEKPGRKDVGR PNFEESGPTSVGRKHEFIRSESENWRIFREEQNGEDEDGGWRLAGSRRDGERWRPHSPGW REHMERRRRFEFDFRDRDDERGYRRVRSGSGSIDDDRDSLPEWCLEDAEEEMGTFDSSGA FLSLKKVQKEPIPEEQEMDFRPVEEGEERSDSDSSHNEEAKEPDKTNRREGEKTDRAGAE ASEEVPQTSLSSARPGTPSDHQPQEATQFERKDEPKAEQVEKAEEENRSENSLSAKVPSR GDETVPASQQPSTPLPPDTASPLLILSPPVPTPSSASRPVETAAVEAPGMSSVSTEPDDE EGLKHLEQQAEKMVAYLQDSALDDERLTSKLQEHRAKGVSIPLMHEAMQKWYYKDPQGEI QGPFNNQEMAEWFQAGYFTMSLLVKRACDESFQPLGDIMKMWGRVPFSPGPAPPPHMGEL DQERLTRQQELTALYQMQHLQYQQFLIQQQYAQVLAQQQKAALSSQQQQQLALLLQQFQA LKMRMSDQNIIPSVTRSVSVPDTGSIWELQPAASQPAVWEGGSVWDLPLDTTAPGPSLEQ LQQLEKAKAAKLEQERREAEMRAKREEEERKRQEELRRQQEEILRRQQEEERKRREEEEL ARRKQEEALRRQREQEIALRRQREEEERQQQEEALRRLEERRREEEERRKQEELLRKQEE EAAKWAREEEEAQRRLEENRLRMEEEAARLRHEEEERKRKELELQRQKDLMRQRQQQQEA LRRLQQQQQQQQLAQMKLPSSSTWGQQSNTATCQSQATLSLAEIQKLEEERERQLREEQR RQQRELMKALQQQQQQQQQQKLSGWGNVSKPAGTTKSLLEIQQEEARQMQKQQQQQQQQQ QQHQQSNRARNSTHSNLHTSLGNSVWGSINTGPSNQWASELVSSIWSNADTKNSNMGFWD DAVKEVGPRNSTNKNKNNASLSKSVGVSNRQNKKVEEEEKLLKLFQGVNKAQDGFTQWCE QMLHALNTANNLDVPTFVSFLKEVESPYEVHDYTRAYLGDTSEAKEFAKQFLERRAKQKV NQQRQQQQQQQQQQDSVWGMNHSTLHSVFQTNQSNNQQSNFEAVQSGKKKKKQKMVRADP SLLGFSVNASSERLNMGEIETLDDY >ENSMUSP00000129046.2 pep:known chromosome:GRCm38:1:87327033:87425187:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000164992.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] MAAETQTLNFGPEWLRALSSGGSITSPPLSPALPKYKLADYRYGREEMLALFLKDYKIPF DLLEKEFLPILQEEPLPPLALVPFTEEEQRNFSMSVNSAAVLRLTGRGGGGGTVVGAPRG RSSSRGRGRPNFEESGPTSVGRKHEFIRSESENWRIFREEQNGEDEDGGWRLAGSRRDGE RWRPHSPGWREHMERRRRFEFDFRDRDDERGYRRVRSGSGSIDDDRDSLPEWCLEDAEEE MGTFDSSGAFLSLKKVQKEPIPEEQEMDFRPVEEGEERSDSDSSHNEEAKEPDKTNRREG EKTDRAGAEASEEVPQTSLSSARPGTPSDHQPQEATQFERKDEPKAEQVEKAEEENRSEN SLSAKVPSRGDETVPASQQPSTPLPPDTASPLLILSPPVPTPSSASRPVETAAVEAPGMS SVSTEPDDEEGLKHLEQQAEKMVAYLQDSALDDERLTSKLQEHRAKGVSIPLMHEAMQKW YYKDPQGEIQGPFNNQEMAEWFQAGYFTMSLLVKRACDESFQPLGDIMKMWGRVPFSPGP APPPHMGELDQERLTRQQELTALYQMQHLQYQQFLIQQQYAQVLAQQQKAALSSQQQQQL ALLLQQFQALKMRMSDQNIIPSVTRSVSVPDTGSIWELQPAASQPAVWEGGSVWDLPLDT TAPGPSLEQLQQLEKAKAAKLEQERREAEMRAKREEEERKRQEELRRQQEEILRRQQEEE R >ENSMUSP00000134193.1 pep:known chromosome:GRCm38:1:87327066:87433799:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000173173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] MAAETQTLNFGPEWLRALSSGGSITSPPLSPALPKYKLADYRYGREEMLALFLKDYKIPF DLLEKEFLPILQEEPLPPLALVPFTEEEQRNFSMSVNSAAVLRLTGRGGGGGTVVGAPRG RSSSRGRGRGRGECGFYQRSFDEVEGVFGRGGGREMHRSQSWEERGDRRFEKPGRKDVGR PNFEESGPTSVGRKHEFIRSESENWRIFREEQNGEDEDGGWRLAGSRRDGERWRPHSPGW REHMERRRRFEFDFRDRDDERGYRRVRSGSGSIDDDRDSLPEWCLEDAEEEMGTFDSSGA FLSLKKVQKEPIPEEQEMDFRPVEEGEERSDSDSSHNEEAKEPDKTNRREGEKTDRAGAA SEEVPQTSLSSARPGTPSDHQPQEATQFERKDEPKAEQVEKAEEENRSENSLSAKVPSRG DETVPASQQPSTPLPPDTASPLLILSPPVPTPSSASRPVETAAVEAPGMSSVSTEPDDEE GLKHLEQQAEKMVAYLQDSALDDERLTSKLQEHRAKGVSIPLMHEAMQKWYYKDPQGEIQ GPFNNQEMAEWFQAGYFTMSLLVKRACDESFQPLGDIMKMWGRVPFSPGPAPPPHMGELD QERLTRQQELTALYQMQHLQYQQFLIQQQYAQVLAQQQKAALSSQQQQQLALLLQQFQAL KMRMSDQNIIPSVTRSVSVPDTGSIWELQPAASQPAVWEGGSVWDLPLDTTAPGPSLEQL QQLEKAKAAKLEQERREAEMRAKREEEERKRQEELRRQQEEILRRQQEEERKRREEEELA RRKQEEALRRQREQEIALRRQREEEERQQQEEALRRLEERRREEEERRKQEELLRKQEEE AAKWAREEEEAQRRLEENRLRMEEEAARLRHEEEERK >ENSMUSP00000133392.1 pep:known chromosome:GRCm38:1:87354446:87449145:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000172964.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] MAAETQTLNFGPEWLRALSSGGSITSPPLSPALPKYKLADYRYGREEMLALFLKDYKIPF DLLEKEFLPILQEEPLPPLALVPFTEEEQRNFSMSVNSAAVLRLTGRGGGGGTVVGAPRG RSSSRGRGRGRGECGFYQRSFDEVEGVFGRGGGREMHRSQSWEERGDRRFEKPGRKDVGR PNFEESGPTSVGRKHEFIRSESENWRIFREEQNGEDEDGGWRLAGSRRDGERWRPHSPDG PRSTGWREHMERRRRFEFDFRDRDDERGYRRVRSGSGSIDDDRDSLPEWCLEDAEEEMGT FDSSGAFLSLKKVQKEPIPEEQEMDFRPVEEGEERSDSDSSHNEEAKEPDKTNRREGEKT DRAGAEASEEVPQTSLSSARPGTPSDHQPQEATQFERKDEPKAEQVEKAEEENRSENSLS AKVPSRGDETVPASQQPSTPLPPDTASPLLILSPPVPTPSSASRPVETAAVEAPGMSSVS TEPDDEEGLKHLEQQAEKMVAYLQDSALDDERLTSKLQEHRAKGVSIPLMHEAMQKWYYK DPQGEIQGPFNNQEMAEWFQAGYFTMSLLVKRACDESFQPLGDIMKMWGRVPFSPGPAPP PHMGELDQERLTRQQELTALYQMQHLQYQQFLIQQQYAQVLAQQQKAALSSQQQQQLALL LQQFQALKMRMSDQNIIPSVTRSVSVPDTGSIWELQPAASQPAVWEGGSVWDLPLDTTAP GPSLEQLQQLEKAKAAKLEQERREAEMRAKREEEERKRQEELRRQQEEILRRQQEEERKR REEEELARRKQEEALRRQREQEIALRRQREEEERQQQEEALRRLEERRREEEERRKQEEL LRKQEEEAAKWAREEEEAQRRLEENRLRMEEEAARLRHEEEERKRKELELQRQKDLMRQR QQQQEALRRLQQQQQQQQLAQMKLPSSSTWGQQSNTATCQSQATLSLAEIQKLEEERERQ LREEQRRQQRELMKALQQQQQQQQQQKLSGWGNVSKPAGTTKSLLEIQQEEARQMQKQQQ QQQQQQQQHQQSNRARNSTHSNLHTSLGNSVWGSINTGPSNQWASELVSSIWSNADTKNS NMGFWDDAVKEVGPRNSTNKNKNNASLSSHVCFFLERSGVSL >ENSMUSP00000133327.1 pep:known chromosome:GRCm38:1:87354446:87449145:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000174501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] MAAETQTLNFGPEWLRALSSGGSITSPPLSPALPKYKLADYRYGREEMLALFLKDYKIPF DLLEKEFLPILQEEPLPPLALVPFTEEEQRNFSMSVNSAAVLRLTGRGGGGGTVVGAPRG RSSSRGRGRGRGECGFYQRSFDEVEGVFGRGGGREMHRSQSWEERGDRRFEKPGRKDVGR PNFEESGPTSVGRKHEFIRSESENWRIFREEQNGEDEDGGWRLAGSRRDGERWRPHSPDG PRSTGWREHMERRRRFEFDFRDRDDERGYRRVRSGSGSIDDDRDSLPEWCLEDAEEEMGT FDSSGAFLSLKKVQKEPIPEEQEMDFRPVEEGEERSDSDSSHNEEAKEPDKTNRREGEKT DRAGAEASEEVPQTSLSSARPGTPSDHQPQEATQFERKDEPKAEQVEKAEEENRSENSLS AKVPSRGDETVPASQQPSTPLPPDTASPLLILSPPVPTPSSASRPVETAAVEAPGMSSVS TEPDDEEGLKHLEQQAEKMVAYLQDSALDDERLTSKLQEHRAKGVSIPLMHEAMQKWYYK DPQGEIQGPFNNQEMAEWFQAGYFTMSLLVKRACDESFQPLGDIMKMWGRVPFSPGPAPP PHMGELDQERLTRQQELTALYQMQHLQYQQFLIQQQYAQVLAQQQKAALSSQQQQQLALL LQQFQALKMRMSDQNIIPSVTRSVSVPDTGSIWELQPAASQPAVWEGGSVWDLPLDTTAP GPSLEQLQQLEKAKAAKLEQERREAEMRAKREEEERKRQEELRRQQEEILRRQQEEERKR REEEELARRKQEEALRRQREQEIALRRQREEEERQQQEEALRRLEERRREEEERRKQEEL LRKQEEEAAKWAREEEEAQRRLEENRLRMEEEAARLRHEEEERKRKELELQRQKDLMRQR QQQQEALRRLQQQQQQQQLAQMKLPSSSTWGQQSNTATCQSQATLSLAEIQKLEEERERQ LREEQRRQQRELMKALQQQQQQQQQQKLSGWGNVSKPAGTTKSLLEIQQEEARQMQKQQQ QQQQQQQQHQQSNRARNSTHSNLHTSLGNSVWGSINTGPSNQWASELVSSIWSNADTKNS NMGFWDDAVKEVGPRNSTNKNKNNASLSKSVGVSNRQNKKVEEEEKLLKLFQGVNKAQDG FTQWCEQMLHALNTANNLDVPTFVSFLKEVESPYEVHDYTRAYLGDTSEAKEFAKQFLER RAKQKVNQQRQQQQQQQQQQDSVWGMNHSTLHSVFQTNQSNNQQSNFEAVQSGKKKKKQK MVRADPSLLGFSVNASSERLNMGEIETLDDY >ENSMUSP00000133666.1 pep:known chromosome:GRCm38:1:87386338:87407043:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000174334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] MISEGRPNFEESGPTSVGRKHEFIRSESENWRIFREEQNGEDEDGGWRLAGSRRDGERWR PHSPDGPRSTGWREHMERRRRFEF >ENSMUSP00000134677.1 pep:known chromosome:GRCm38:1:87403667:87442020:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000173235.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] XRPNFEESGPTSVGRKHEFIRSESENWRIFREEQNGEDEDGGWRLAGSRRDGERWRPHSP DGPRSTGWREHMERRRRFEFDFRDRDDERGYRRVRSGSGSIDDDRDSLPEWCLEDAEEEM GTFDSSGAFLSLKKVQKEPIPEEQEMDFRPVEEGEERSDSDSSHNEEAKEPDKTNRREGE KTDRAGAEASEEVPQTSLSSARPGTPSDHQPQEATQFERKDEPKAEQVEKAEEENRSENS LSAKVPSRGDETVPASQQPSTPLPPDTASPLLILSPPVPTPSSASRPVETAAVEAPGMSS VSTEPDDEEGLKHLEQQAEKMVAYLQDSALDDERLTSKLQEHRAKGVSIPLMHEAMQKWY YKDPQGEIQGPFNNQEMAEWFQAGYFTMSLLVKRACDESFQPLGDIMKMWGRVPFSPGPA PPPHMGELDQERLTRQQELTALYQMQHLQYQQFLIQQQYAQVLAQQQKAALSSQQQQQLA LLLQQFQALKMRMSDQNIIPSVTRSVSVPDTGSIWELQPAASQPAVWEGGSVWDLPLDTT APGPSLEQLQQLEKAKAAKLEQERREAEMRAKREEEERKRQEELRRQQEEILRRQQEEER KRREEEELARRKQEEALRRQREQEIALRRQREEEERQQQEEALRRLEERRREEEERRKQE ELLRKQEEEAAKWAREEEEAQRRLEENRLRMEEEAARLRHEEEERKRKELELQRQKDLMR QRQQQQEALRRLQQQQQQQQLAQMKLPSSSTWGQQSNTATCQSQATLSLAEIQKLEEERE RQLREES >ENSMUSP00000134620.1 pep:known chromosome:GRCm38:1:87403726:87407701:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000172736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] RSESENWRIFREEQNGEDEDGGWRLAGSRRDGERWRPHSPDGPRSTGWREHMERRRRFEF DFRDRDDERGYRRVRSGSGSIDDDRDSLPEWCLEDAEEEMGTFDSSGAFLSLKKVQKEPI PEEQEMDFRPVEEGEERSDSDSSHNEEAKEPDKTNRREGEKTDRAGAASEEVPQTSLSSA RPGTPSDHQPQEATQFERKDEPKAEQVEKAEEENRSENSLSA >ENSMUSP00000134086.1 pep:known chromosome:GRCm38:1:87404916:87407611:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000173152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] MDRIWAEGEEKFQLVKNKQDGPRSTGWREHMERRRRFEFDFRDRDDERGYRRVRSGSGSI DDDRDSLPEWCLEDAEEEMGTFDSSGAFLSLKKVQKEPIPEEQEMDFRPVEEGEERSDSD SSHNEEAKEPDKTNRREGEKTDRAGAEASEEVPQTSLSSARPGTPSDHQPQE >ENSMUSP00000134553.1 pep:known chromosome:GRCm38:1:87404948:87410702:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000173148.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] MDRIWAEGEEKFQLVKNKQDGPRSTGWREHMERRRSAERTYS >ENSMUSP00000133416.1 pep:known chromosome:GRCm38:1:87404964:87407366:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000173663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] MDRIWAEGEEKFQLVKNKQGWREHMERRRRFEFDFRDRDDERGYRRVRSGSGSIDDDRDS LPEWCLEDAEEEMGTFDSSGAFLSLKKVQKEPIPEEQEMDFRPVEEGEERS >ENSMUSP00000134660.1 pep:known chromosome:GRCm38:1:87407379:87420342:1 gene:ENSMUSG00000048000.15 transcript:ENSMUST00000173636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf2 description:GRB10 interacting GYF protein 2 [Source:MGI Symbol;Acc:MGI:2138584] XSHNEEAKEPDKTNRREGEKTDRAGAEASEEVPQTSLSSARPGTPSDHQPQEATQFERKD EPKAEQVEKAEEENRSENSLSAKVPSRGDETVPASQQPSTPLPPDTASPLLILSPPVPTP SSASRPVETAAVEAPGMSSVSTEPDDEEGLKHLEQQAEKMVAYLQDSALDDERLTSKLQE HRAKGVSIPLMHEAMQKWYYKDPQGEIQGRIGPGTPDQTAGTHCLIPDAAPPVPAVLNPT TVCTGFGSTAESSFVISA >ENSMUSP00000115111.1 pep:known chromosome:GRCm38:10:79996494:80015572:1 gene:ENSMUSG00000035722.15 transcript:ENSMUST00000132517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca7 description:ATP-binding cassette, sub-family A (ABC1), member 7 [Source:MGI Symbol;Acc:MGI:1351646] MALGTQLMLLLWKNYTYRRRQPIQLLVELLWPLFLFFILVAVRHSHPPLEHHECHFPNKP LPSAGTVPWLQGLVCNVNNSCFQHPTPGEKPGVLSNFKDSLISRLLADTRTVLGGHSIQD MLDALGKLIPVLRAVGGGARPQESDQPTSQGSVTKLLEKILQRASLDPVLGQAQDSMRKF SDAIRDLAQELLTLPSLMELRALLRRPRGSAGSLELVSEALCSTKGPSSPGGLSLNWYEA NQLNEFMGPEVAPALPDNSLSPACSEFVGTLDDHPVSRLLWRRLKPLILGKILFAPDTNF TRKLMAQVNQTFEELALLRDLHELWGVLGPQIFNFMNDSTNVAMLQRLLDVGGTGQRQQT PRAQKKLEAIKDFLDPSRGGYSWREAHADMGRLAGILGQMMECVSLDKLEAVPSEEALVS RALELLGERRLWAGIVFLSPEHPLDPSELSSPALSPGHLRFKIRMDIDDVTRTNKIRDKF WDPGPSADPFMDLRYVWGGFVYLQDLLEQAAVRVLGGGNSRTGLYLQQMPHPCYVDDVFL RVLSRSLPLFLTLAWIYSVALTVKAVVREKETRLRETMRAMGLSRAVLWLGWFLSCLGPF LVSAALLVLVLKLGNILPYSHPVVIFLFLAAFAVATVAQSFLLSAFFSRANLAAACGGLA YFALYLPYVLCVAWRERLHLGGLLAASLLSPVAFGFGCESLALLEEQGDGAQWHNLGTGP AEDVFSLAQVSAFLLLDAVIYGLALWYLEAVCPGQYGIPEPWNFPFRRSYWCGPGPPKSS VLAPAPQDPKVLVEEPPLGLVPGVSIRGLKKHFRGCPQPALQGLNLDFYEGHITAFLGHN GAGKTTTLSILSGLFPPSSGSASILGHDVQTNMAAIRPHLGICPQYNVLFDMLTVEEHVW FYGRLKGVSAAAMGPERERLIRDVGLTLKRDTQTRHLSGGMQRKLSVAIAFVGGSRVVIM DEPTAGVDPASRRGIWELLLKYREGRTLILSTHHLDEAELLGDRVAMVAGGSLCCCGSPL FLRRHLGCGYYLTLVKSSQSLVTHDAKGDSEDPRREKKSDGNGRTSDTAFTRGTSDKSNQ APAPGAVPITPSTARILELVQQHVPGAQLVEDLPHELLLVLPYAGALDGSFAMVFQELDQ QLELLGLTGYGISDTNLEEIFLKVVEDAHREGGDSRPQLHLRTCTPQPPTGPEASVLENG ELAPQGLAPNAAQVQGWTLTCQQLRALLHKRFLLARRSRRGLFAQVVLPALFVGLALFFS LIVPPFGQYPPLQLSPAMYGPQVSFFSEDAPGDPNRMKLLEALLGEAGLQEPSMQDKDAR GSECTHSLACYFTVPEVPPDVASILASGNWTPESPSPACQCSQPGARRLLPDCPAGAGGP PPPQAVAGLGEVVQNLTGRNVSDFLVKTYPSLVRRGLKTKKWVDEVRYGGFSLGGRDPDL PTGHEVVRTLAEIRALLSPQPGNALDRILNNLTQWALGLDARNSLKIWFNNKGWHAMVAF VNRANNGLLHALLPSGPVRHAHSITTLNHPLNLTKEQLSEATLIASSVDVLVSICVVFAM SFVPASFTLVLIEERITRAKHLQLVSGLPQTLYWLGNFLWDMCNYLVAVCIVVFIFLAFQ QRAYVAPENLPALLLLLLLYGWSITPLMYPASFFFSVPSTAYVVLTCINLFIGINSSMAT FVLELLSDQNLQEVSRILKQVFLIFPHFCLGRGLIDMVRNQAMADAFERLGDKQFQSPLR WDIIGKNLLAMMAQGPLFLLITLLLQHRNRLLPQSKPRLLPPLGEEDEDVAQERERVTKG ATQGDVLVLRDLTKVYRGQRNPAVDRLCLGIPPGECFGLLGVNGAGKTSTFRMVTGDTLP SSGEAVLAGHNVAQERSAAHRSMGYCPQSDAIFDLLTGREHLELFARLRGVPEAQVAQTA LSGLVRLGLPSYADRPAGTYSGGNKRKLATALALVGDPAVVFLDEPTTGMDPSARRFLWN SLLSVVREGRSVVLTSHSMEECEALCTRLAIMVNGRFRCLGSSQHLKGRFGAGHTLTLRV PPDQPEPAIAFIRITFPGAELREVHGSRLRFQLPPGGRCTLTRVFRELAAQGRAHGVEDF SVSQTTLEEVFLYFSKDQGEEEESSRQEAEEEEVSKPGRQHPKRVSRFLEDPSSVETMI >ENSMUSP00000043090.7 pep:known chromosome:GRCm38:10:79997615:80015568:1 gene:ENSMUSG00000035722.15 transcript:ENSMUST00000043866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca7 description:ATP-binding cassette, sub-family A (ABC1), member 7 [Source:MGI Symbol;Acc:MGI:1351646] MALGTQLMLLLWKNYTYRRRQPIQLLVELLWPLFLFFILVAVRHSHPPLEHHECHFPNKP LPSAGTVPWLQGLVCNVNNSCFQHPTPGEKPGVLSNFKDSLISRLLADTRTVLGGHSIQD MLDALGKLIPVLRAVGGGARPQESDQPTSQGSVTKLLEKILQRASLDPVLGQAQDSMRKF SDAIRDLAQELLTLPSLMELRALLRRPRGSAGSLELVSEALCSTKGPSSPGGLSLNWYEA NQLNEFMGPEVAPALPDNSLSPACSEFVGTLDDHPVSRLLWRRLKPLILGKILFAPDTNF TRKLMAQVNQTFEELALLRDLHELWGVLGPQIFNFMNDSTNVAMLQRLLDVGGTGQRQQT PRAQKKLEAIKDFLDPSRGGYSWREAHADMGRLAGILGQMMECVSLDKLEAVPSEEALVS RALELLGERRLWAGIVFLSPEHPLDPSELSSPALSPGHLRFKIRMDIDDVTRTNKIRDKF WDPGPSADPFMDLRYVWGGFVYLQDLLEQAAVRVLGGGNSRTGLYLQQMPHPCYVDDVFL RVLSRSLPLFLTLAWIYSVALTVKAVVREKETRLRETMRAMGLSRAVLWLGWFLSCLGPF LVSAALLVLVLKLGNILPYSHPVVIFLFLAAFAVATVAQSFLLSAFFSRANLAAACGGLA YFALYLPYVLCVAWRERLHLGGLLAASLLSPVAFGFGCESLALLEEQGDGAQWHNLGTGP AEDVFSLAQVSAFLLLDAVIYGLALWYLEAVCPGQYGIPEPWNFPFRRSYWCGPGPPKSS VLAPAPQDPKVLVEEPPLGLVPGVSIRGLKKHFRGCPQPALQGLNLDFYEGHITAFLGHN GAGKTTTLSILSGLFPPSSGSASILGHDVQTNMAAIRPHLGICPQYNVLFDMLTVEEHVW FYGRLKGVSAAAMGPERERLIRDVGLTLKRDTQTRHLSGGMQRKLSVAIAFVGGSRVVIM DEPTAGVDPASRRGIWELLLKYREGRTLILSTHHLDEAELLGDRVAMVAGGSLCCCGSPL FLRRHLGCGYYLTLVKSSQSLVTHDAKGDSEDPRREKKSDGNGRTSDTAFTRGTSDKSNQ APAPGAVPITPSTARILELVQQHVPGAQLVEDLPHELLLVLPYAGALDGSFAMVFQELDQ QLELLGLTGYGISDTNLEEIFLKVVEDAHREGGDSRPQLHLRTCTPQPPTGPEASVLENG ELAPQGLAPNAAQVQGWTLTCQQLRALLHKRFLLARRSRRGLFAQVVLPALFVGLALFFS LIVPPFGQYPPLQLSPAMYGPQVSFFSEDAPGDPNRMKLLEALLGEAGLQEPSMQDKDAR GSECTHSLACYFTVPEVPPDVASILASGNWTPESPSPACQCSQPGARRLLPDCPAGAGGP PPPQAVAGLGEVVQNLTGRNVSDFLVKTYPSLVRRGLKTKKWVDEVRYGGFSLGGRDPDL PTGHEVVRTLAEIRALLSPQPGNALDRILNNLTQWALGLDARNSLKIWFNNKGWHAMVAF VNRANNGLLHALLPSGPVRHAHSITTLNHPLNLTKEQLSEATLIASSVDVLVSICVVFAM SFVPASFTLVLIEERITRAKHLQLVSGLPQTLYWLGNFLWDMCNYLVAVCIVVFIFLAFQ QRAYVAPENLPALLLLLLLYGWSITPLMYPASFFFSVPSTAYVVLTCINLFIGINSSMAT FVLELLSDQNLQEVSRILKQVFLIFPHFCLGRGLIDMVRNQAMADAFERLGDKQFQSPLR WDIIGKNLLAMMAQGPLFLLITLLLQHRNRLLPQSKPRLLPPLGEEDEDVAQERERVTKG ATQGDVLVLRDLTKVYRGQRNPAVDRLCLGIPPGECFGLLGVNGAGKTSTFRMVTGDTLP SSGEAVLAGHNVAQERSAAHRSMGYCPQSDAIFDLLTGREHLELFARLRGVPEAQVAQTA LSGLVRLGLPSYADRPAGTYSGGNKRKLATALALVGDPAVVFLDEPTTGMDPSARRFLWN SLLSVVREGRSVVLTSHSMEECEALCTRLAIMVNGRFRCLGSSQHLKGRFGAGHTLTLRV PPDQPEPAIAFIRITFPGAELREVHGSRLRFQLPPGGRCTLTRVFRELAAQGRAHGVEDF SVSQTTLEEVFLYFSKDQGEEEESSRQEAEEEEVSKPGRQHPKRVSRFLEDPSSVETMI >ENSMUSP00000128121.1 pep:known chromosome:GRCm38:10:79997576:80015553:1 gene:ENSMUSG00000035722.15 transcript:ENSMUST00000171637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca7 description:ATP-binding cassette, sub-family A (ABC1), member 7 [Source:MGI Symbol;Acc:MGI:1351646] MALGTQLMLLLWKNYTYRRRQPIQLLVELLWPLFLFFILVAVRHSHPPLEHHECHFPNKP LPSAGTVPWLQGLVCNVNNSCFQHPTPGEKPGVLSNFKDSLISRLLADTRTVLGGHSIQD MLDALGKLIPVLRAVGGGARPQESDQPTSQGSVTKLLEKILQRASLDPVLGQAQDSMRKF SDAIRDLAQELLTLPSLMELRALLRRPRGSAGSLELVSEALCSTKGPSSPGGLSLNWYEA NQLNEFMGPEVAPALPDNSLSPACSEFVGTLDDHPVSRLLWRRLKPLILGKILFAPDTNF TRKLMAQVNQTFEELALLRDLHELWGVLGPQIFNFMNDSTNVAMLQRLLDVGGTGQRQQT PRAQKKLEAIKDFLDPSRGGYSWREAHADMGRLAGILGQMMECVSLDKLEAVPSEEALVS RALELLGERRLWAGIVFLSPEHPLDPSELSSPALSPGHLRFKIRMDIDDVTRTNKIRDKF WDPGPSADPFMDLRYVWGGFVYLQDLLEQAAVRVLGGGNSRTGLYLQQMPHPCYVDDVFL RVLSRSLPLFLTLAWIYSVALTVKAVVREKETRLRETMRAMGLSRAVLWLGWFLSCLGPF LVSAALLVLVLKLGNILPYSHPVVIFLFLAAFAVATVAQSFLLSAFFSRANLAAACGGLA YFALYLPYVLCVAWRERLHLGGLLAASLLSPVAFGFGCESLALLEEQGDGAQWHNLGTGP AEDVFSLAQVSAFLLLDAVIYGLALWYLEAVCPGQYGIPEPWNFPFRRSYWCGPGPPKSS VLAPAPQDPKVLVEEPPLGLVPGVSIRGLKKHFRGCPQPALQGLNLDFYEGHITAFLGHN GAGKTTTLSILSGLFPPSSGSASILGHDVQTNMAAIRPHLGICPQYNVLFDMLTVEEHVW FYGRLKGVSAAAMGPERERLIRDVGLTLKRDTQTRHLSGGMQRKLSVAIAFVGGSRVVIM DEPTAGVDPASRRGIWELLLKYREGRTLILSTHHLDEAELLGDRVAMVAGGSLCCCGSPL FLRRHLGCGYYLTLVKSSQSLVTHDAKGDSEDPRREKKSDGNGRTSDTAFTRGTSDKSNQ APAPGAVPITPSTARILELVQQHVPGAQLVEDLPHELLLVLPYAGALDGSFAMVFQELDQ QLELLGLTGYGISDTNLEEIFLKVVEDAHREGGDSRPQLHLRTCTPQPPTGPEASVLENG ELAKLVLDPQAPQGLAPNAAQVQGWTLTCQQLRALLHKRFLLARRSRRGLFAQVVLPALF VGLALFFSLIVPPFGQYPPLQLSPAMYGPQVSFFSEDAPGDPNRMKLLEALLGEAGLQEP SMQDKDARGSECTHSLACYFTVPEVPPDVASILASGNWTPESPSPACQCSQPGARRLLPD CPAGAGGPPPPQAVAGLGEVVQNLTGRNVSDFLVKTYPSLVRRGLKTKKWVDEVRYGGFS LGGRDPDLPTGHEVVRTLAEIRALLSPQPGNALDRILNNLTQWALGLDARNSLKIWFNNK GWHAMVAFVNRANNGLLHALLPSGPVRHAHSITTLNHPLNLTKEQLSEATLIASSVDVLV SICVVFAMSFVPASFTLVLIEERITRAKHLQLVSGLPQTLYWLGNFLWDMCNYLVAVCIV VFIFLAFQQRAYVAPENLPALLLLLLLYGWSITPLMYPASFFFSVPSTAYVVLTCINLFI GINSSMATFVLELLSDQNLQEVSRILKQVFLIFPHFCLGRGLIDMVRNQAMADAFERLGD KQFQSPLRWDIIGKNLLAMMAQGPLFLLITLLLQHRNRLLPQSKPRLLPPLGEEDEDVAQ ERERVTKGATQGDVLVLRDLTKVYRGQRNPAVDRLCLGIPPGECFGLLGVNGAGKTSTFR MVTGDTLPSSGEAVLAGHNVAQERSAAHRSMGYCPQSDAIFDLLTGREHLELFARLRGVP EAQVAQTALSGLVRLGLPSYADRPAGTYSGGNKRKLATALALVGDPAVVFLDEPTTGMDP SARRFLWNSLLSVVREGRSVVLTSHSMEECEALCTRLAIMVNGRFRCLGSSQHLKGRFGA GHTLTLRVPPDQPEPAIAFIRITFPGAELREVHGSRLRFQLPPGGRCTLTRVFRELAAQG RAHGVEDFSVSQTTLEEVFLYFSKDQGEEEESSRQEAEEEEVSKPGRQHPKRVSRFLEDP SSVETMI >ENSMUSP00000023289.6 pep:known chromosome:GRCm38:15:89426367:89429899:-1 gene:ENSMUSG00000022617.14 transcript:ENSMUST00000023289.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chkb description:choline kinase beta [Source:MGI Symbol;Acc:MGI:1328313] MAADGTGVVGGGAVGGGLPKDGLQDAKCPEPIPNRRRASSLSRDAQRRAYQWCREYLGGA WRRARPEELSVCPVSGGLSNLLFRCSLPNHVPSVGGEPREVLLRLYGAILQGVDSLVLES VMFAILAERSLGPQLYGVFPEGRLEQYLPSRPLKTQELRDPVLSGAIATRMARFHGMEMP FTKEPRWLFGTMERYLKQIQDLPSTSLPQMNLVEMYSLKDEMNSLRKLLDDTPSPVVFCH NDIQEGNILLLSEPDSDDNLMLVDFEYSSYNYRGFDIGNHFCEWVYDYTYEEWPFYKARP TDYPTREQQLHFIRHYLAEVQKGEILSEEEQKKREEELLLEISRYSLASHFFWGLWSTLQ ASMSTIEFGYLEYAQSRFQFYFQQKGQLTSSPSS >ENSMUSP00000127191.1 pep:known chromosome:GRCm38:15:89426441:89428493:-1 gene:ENSMUSG00000022617.14 transcript:ENSMUST00000171666.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chkb description:choline kinase beta [Source:MGI Symbol;Acc:MGI:1328313] IATRMARFHGMEMPFTKEPRWLFGTMERYLKQIQDLPSTSLPQMNLVEMYSLKDEMNSLR KLLDDTPSPVVFCHNDIQEGNILLLSEPDSDDNLMLVDFEYSSYNYRGFDIGNHFCEWVY DYTYEEWPFYKARPTDYPTREQQRFRRVRSSPKRNRRNGKKNCC >ENSMUSP00000128026.1 pep:known chromosome:GRCm38:15:89427635:89429093:-1 gene:ENSMUSG00000022617.14 transcript:ENSMUST00000170460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chkb description:choline kinase beta [Source:MGI Symbol;Acc:MGI:1328313] EPREVLLRLYGAILQGVDSLVLESVMFAILAERSLGPQLYGVFPEGRLEQYLPSRPLKTQ ELRDPVLSGAIATRMARFHGMEMPFTKEPRWLFGTMERLPQMNLVEMYSLKDEMNSLRKL LDDTPSPVVFCHNDIQEGNILLLSEPDSDDNLMLVDFEYSSYNYRGFDIGNHFCEW >ENSMUSP00000049823.5 pep:known chromosome:GRCm38:11:59155369:59163739:-1 gene:ENSMUSG00000049287.5 transcript:ENSMUST00000054523.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iba57 description:IBA57 homolog, iron-sulfur cluster assembly [Source:MGI Symbol;Acc:MGI:3041174] MAAVALLRGAAVGRRSPAWHWRLSGTASHCLARGFGLLGSNPADGVAWTCFRLDGRALVR VRGPDAAPFLLGLSTNELPLSGPPTGAAQPSARAAYAHFLNVQGRTLYDVILYGLPECTE GAPSFLLECDSSVLGALQKHLSMYKIRRKVTVEPSPELHVWAVLPCVPQTSETAPLEERV EGTTMLIRDPRTARMGWRLLTQDDGPALVPRGQLGDLQDYHKYRYQQGIPEGVCDLPPGM ALPLESNLVFMNGVSFTKGCYIGQELTARTHHTGVIRKRLFPVKLEGPLPASGVSPGAIV TVTATGQAAGKFRAGQGHVGLALLRSETIKGPLHIKTSESQLVAVTAVVPDWWPTAAK >ENSMUSP00000065882.2 pep:known chromosome:GRCm38:11:59161123:59163317:-1 gene:ENSMUSG00000049287.5 transcript:ENSMUST00000069631.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iba57 description:IBA57 homolog, iron-sulfur cluster assembly [Source:MGI Symbol;Acc:MGI:3041174] MSHGRHPGCASYLHRALCLGPQPVKSEASGVIFKMGASALSLPPHFLERFRWLLLNCSAV ASAVRQLAGLPSLQLWLVNCSAAAATTAVPPPLLTSAVSAASFCYQPSPHYRKQEKKMER SLFSGLLLPHWGKAQESLPTTSLSEPSQPVCIAPERMFGPITDLPIGNLRND >ENSMUSP00000114501.1 pep:known chromosome:GRCm38:11:59161355:59163700:-1 gene:ENSMUSG00000049287.5 transcript:ENSMUST00000137433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iba57 description:IBA57 homolog, iron-sulfur cluster assembly [Source:MGI Symbol;Acc:MGI:3041174] MAAVALLRGAAVGRRSPAWHWRLSGTASHCLARGFGLLGSNPADGVAWTCFRLDGRALVR VRGPDAAPFLLGLSTNELPLSGPPTGAAQPSARAAYAHFLNVQGRTLYDVILYGLLLNCS AVASAVRQLAGLPSLQLWLVNCSAAAATTAVPPPLLTSAVSAASFCYQPSPHYRKQEKKM ERSLFSGLLLPHWGKAQESLPTTSLSEPSQPVCIA >ENSMUSP00000106723.1 pep:known chromosome:GRCm38:5:136953275:136966232:1 gene:ENSMUSG00000019054.13 transcript:ENSMUST00000111094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fis1 description:fission, mitochondrial 1 [Source:MGI Symbol;Acc:MGI:1913687] MPRDEAARNFERKFQSEQAAGSVSKSTQFEYAWCLVRSKYNEDIRRGIVLLEELLPKGSK EEQRDYVFYLAVGNYRLKEYEKALKYVRGLLQTEPQNNQAKELERLIDKAMKKDGLVGMA IVGGMALGVAGLAGLIGLAVSKSKS >ENSMUSP00000106726.1 pep:known chromosome:GRCm38:5:136953406:136966149:1 gene:ENSMUSG00000019054.13 transcript:ENSMUST00000111097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fis1 description:fission, mitochondrial 1 [Source:MGI Symbol;Acc:MGI:1913687] MDAQRRSCKELLPKGSKEEQRDYVFYLAVGNYRLKEYEKALKYVRGLLQTEPQNNQAKEL ERLIDKAMKKDGLVGMAIVGGMALGVAGLAGLIGLAVSKSKS >ENSMUSP00000019198.6 pep:known chromosome:GRCm38:5:136962077:136966234:1 gene:ENSMUSG00000019054.13 transcript:ENSMUST00000019198.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fis1 description:fission, mitochondrial 1 [Source:MGI Symbol;Acc:MGI:1913687] MEAVLNELVSVEDLKNFERKFQSEQAAGSVSKSTQFEYAWCLVRSKYNEDIRRGIVLLEE LLPKGSKEEQRDYVFYLAVGNYRLKEYEKALKYVRGLLQTEPQNNQAKELERLIDKAMKK DGLVGMAIVGGMALGVAGLAGLIGLAVSKSKS >ENSMUSP00000139939.1 pep:known chromosome:GRCm38:7:32100853:32102169:1 gene:ENSMUSG00000099581.1 transcript:ENSMUST00000187257.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b10 description:secretoglobin, family 1B, member 10 [Source:MGI Symbol;Acc:MGI:3782569] MMLAGAVVIFGVALLLLSSWGDCGICPAMKEDVHLFLNGTSEEYAEYVKQYKDDPEILEN TEKIKQCVDSTLMEEDKAHANGFIEKIEASPLC >ENSMUSP00000025598.3 pep:known chromosome:GRCm38:19:12695786:12719902:1 gene:ENSMUSG00000024694.9 transcript:ENSMUST00000025598.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Keg1 description:kidney expressed gene 1 [Source:MGI Symbol;Acc:MGI:1928492] MFCLQSSQALQVLENSLRKHLPESLKVYGTVFHINQGNPFKLKTLVDKWPDFNTVVIRPQ EEDMTDDLDHYNNTYLVYSKDPKHCQEFLGSSEVINWKQHLQIQSSQSDLGKVIESLGAT NLGKVKHKQCFLYMVCQTAKKLAPSLMDAKNLVVSRNKLTPLDQQLFKFASLDVTHAALV NSLWHFGGNEKSQKFIERCIFTFPSFCIMGPEGTPVSWTLMDHTGELRMGGTLPKYRRQS LIYHVASQQIQTLEKLGFPMYAHVDKANFTVQRMVGLLGQILLPCTWNQWNCVPL >ENSMUSP00000116555.1 pep:known chromosome:GRCm38:19:12695793:12719007:1 gene:ENSMUSG00000024694.9 transcript:ENSMUST00000138545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Keg1 description:kidney expressed gene 1 [Source:MGI Symbol;Acc:MGI:1928492] MFCLQSSQALQVLENSLRKHLPESLKGNPFKLKTLVDKWPDFNTVVIRPQEEDMTDDLDH YNNTYLVYSKDPKHCQEFLGSSEVINWKQHLQIQSSQSDLGKVIESLGATNLGKVKHKQC FLYMVCQTAKKLAPSLMDAKNLVVSRNKLTPLDQQLFKFASLDVTHAALVNSLWHFGGNE KSQKFIERCIFTFPSFCIMGPEGTPVSWTLMDHTGELRMGGTLPKYRR >ENSMUSP00000119879.1 pep:known chromosome:GRCm38:19:12695814:12719117:1 gene:ENSMUSG00000024694.9 transcript:ENSMUST00000154822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Keg1 description:kidney expressed gene 1 [Source:MGI Symbol;Acc:MGI:1928492] MFCLQSSQALQVLENSLRKHLPESLKGNPFKLKTLVDKWPDFNTVVIRPQEEDMTDDLDH YNNTYLVYSKDPKHCQEFLGSSEVINWKQHLQIQSSQSDLGKVIESLGATNLGKVKHKQC FLYMVCQTAKKLAPSLMDAKNLVVSRNKLTPLFCIMGPEGTPVSWTLMDHTGELRMGGTL PKYRRQSLIYHVASQQIQTLEKLGFPMYAHVDKANFTVQRM >ENSMUSP00000097754.1 pep:known chromosome:GRCm38:15:101884351:101892920:-1 gene:ENSMUSG00000075402.1 transcript:ENSMUST00000100179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt76 description:keratin 76 [Source:MGI Symbol;Acc:MGI:1924305] MSRQACKKSFSCGSQGFSGHSAVVSGSSRSSCVARSGAASGGACGFRSGAGSLGSHSLYS LGGSKSISISVAAGGSRAGGFSGGRSSCGSGFGSGYGGSLGGSRGMGAGFGGPSGFGGAG GFGRPGSFGPGSCPGGIQEVTINQSLLQPLNVEIDPQIGQVKAQEREQIKTLNNKFASFI DKVRFLEQQNKVLETKWELLQQQTIRSGSGPQNLEPFFESYISCLRKQLDSLLGAKGSLE GELKSMQDLVEDFKKKYEEEINRRTAAENEFVGLKKDVDGAFMNKVELQAKVDSLTDEIN FLRTLYDMELSQIQSHVSDTSVVLSMDNNRCLDLDSIIAEVKAQYEDIAQKSKAEAEALY QTKLGELQTTAGRHGDDLRSTKSEIMDLNRMIQRLRAEIENVKKQNTNMQTSIAEAEQRG ERALKDADTKFQDLQVALQKAKEDMARLLKEYQELMNVKLALDVEIATYRKLLEGEECRL SGEFQNAVSISVVSNVTSTSSSGSFRGTGGSNYGGDSSGRSGGSSSSSSRGSSSRGSSGS RLGSGGSISVSQQRMGFNSGGSQTSVGSSYKSGRGGSSSVQFSQTTSSSQQRSK >ENSMUSP00000033846.5 pep:known chromosome:GRCm38:8:18690263:18741562:-1 gene:ENSMUSG00000031465.6 transcript:ENSMUST00000033846.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angpt2 description:angiopoietin 2 [Source:MGI Symbol;Acc:MGI:1202890] MWQIIFLTFGWDLVLASAYSNFRKSVDSTGRRQYQVQNGPCSYTFLLPETDSCRSSSSPY MSNAVQRDAPLDYDDSVQRLQVLENILENNTQWLMKLENYIQDNMKKEMVEIQQNVVQNQ TAVMIEIGTSLLNQTAAQTRKLTDVEAQVLNQTTRLELQLLQHSISTNKLEKQILDQTSE INKLQNKNSFLEQKVLDMEGKHSEQLQSMKEQKDELQVLVSKQSSVIDELEKKLVTATVN NSLLQKQQHDLMETVNSLLTMMSSPNSKSSVAIRKEEQTTFRDCAEIFKSGLTTSGIYTL TFPNSTEEIKAYCDMDVGGGGWTVIQHREDGSVDFQRTWKEYKEGFGSPLGEYWLGNEFV SQLTGQHRYVLKIQLKDWEGNEAHSLYDHFYLAGEESNYRIHLTGLTGTAGKISSISQPG SDFSTKDSDNDKCICKCSQMLSGGWWFDACGPSNLNGQYYPQKQNTNKFNGIKWYYWKGS GYSLKATTMMIRPADF >ENSMUSP00000028337.6 pep:known chromosome:GRCm38:2:25289915:25291180:1 gene:ENSMUSG00000026961.6 transcript:ENSMUST00000028337.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc26 description:leucine rich repeat containing 26 [Source:MGI Symbol;Acc:MGI:2385129] MRGSFFSRLPPQLSLLLLLSLRRVWTQEDIGTAPSKSPVAPECPEACSCSLGGKANCSAL ALPAVPADLSWQVRSLLLDHNRVSALPPGAFANAGALLYLDLRENRLRSVHARAFWGLGV LQWLDLSSNQLETLPPGTFAPLRALSFLSLAGNRLALLEPSILGPLPLLRVLSLQDNSLS AIEAGLLNNLPALDVLRLHGNPWTCNCALRPLCTWLRKHPRPASETETLLCVSPRLQTLS LLTAFPDAAFKQCTQSLAARDLAVVYALGPVSFLASLAICLALGSVLTACGARRRRRRRT TVRHLLRRQLDPEGPPSLEDAGSPVTAAIQA >ENSMUSP00000139088.1 pep:known chromosome:GRCm38:4:135445420:135494506:-1 gene:ENSMUSG00000028803.18 transcript:ENSMUST00000183807.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nipal3 description:NIPA-like domain containing 3 [Source:MGI Symbol;Acc:MGI:1921802] MDGAHSAGLQLQPLPPTSGATSTSLSSSEGSFSYKENLIGALLAIFGHLVVSIALNLQKY CHIRLAGSKDPRAYFKTKTWWLGLLLLLLGELGVFASYAFAPLSLIVPLSAVSVIASAII GIIFIKEKWKPKDFVRRYVLSFVGCGLAIVGTYLLVTFAPNSHEKMTGENIARHLVSWPF LLYMLVAIVLFCLLLYFYKERNANSIVVILLLVALLGSMTVVTVKAVSGMLVLSIQGNLQ LDYPIFYVMFVCMVATAIYQATFLSEASQIYDSSLIASVGYILSTTAAITAGAIFYLDFL GEEALHICMFALGCLIAFLGVFLITRNRKKAIPFEPYISMDAMPGVFDHRNGAGLVAPVL TIQRSSASHIHCGGCSGSWGAMSYYTAKKLLNIILSRCSRRGAGGWREGTKFKRAYCS >ENSMUSP00000101482.2 pep:known chromosome:GRCm38:4:135446137:135494506:-1 gene:ENSMUSG00000028803.18 transcript:ENSMUST00000105856.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipal3 description:NIPA-like domain containing 3 [Source:MGI Symbol;Acc:MGI:1921802] MDGAHSAGLQLQPLPPTSGATSTSLSSSEGSFSYKENLIGALLAIFGHLVVSIALNLQKY CHIRLAGSKDPRAYFKTKTWWLGLLLLLLGELGVFASYAFAPLSLIVPLSAVSVIASAII GIIFIKEKWKPKDFVRRYVLSFVGCGLAIVGTYLLVTFAPNSHEKMTGENIARHLVSWPF LLYMLVAIVLFCLLLYFYKERNANSIVVILLLVALLGSMTVVTVKAVSGMLVLSIQGNLQ LDYPIFYVMFVCMVATAIYQATFLSEASQIYDSSLIASVGYILSTTAAITAGAIFYLDFL GEEALHICMFALGCLIAFLGVFLITRNRKKAIPFEPYISMDAMPGVFDHRNGAGLVAPVL TIQRSSASHIHCGGCSGSWGAMSYYTAKKLLNIILSRCSRRGAGGWREGTKFKRAYCS >ENSMUSP00000099608.3 pep:known chromosome:GRCm38:4:135448892:135494623:-1 gene:ENSMUSG00000028803.18 transcript:ENSMUST00000102549.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipal3 description:NIPA-like domain containing 3 [Source:MGI Symbol;Acc:MGI:1921802] MDGAHSAGLQLQPLPPTSGATSTSLSSSEGSFSYKENLIGALLAIFGHLVVSIALNLQKY CHIRLAGSKDPRAYFKTKTWWLGLLLLLLGELGVFASYAFAPLSLIVPLSAVSVIASAII GIIFIKEKWKPKDFVRRYVLSFVGCGLAIVGTYLLVTFAPNSHEKMTGENIARHLVSWPF LLYMLVAIVLFCLLLYFYKERNANSIVVILLLVALLGSMTVVTVKAVSGMLVLSIQGNLQ LDYPIFYVMFVCMVATAIYQATFLSEASQIYDSSLIASVGYILSTTAAITAGAIFYLDFL GEEALHICMFALGCLIAFLGVFLITRNRKKAIPFEPYISMDAMPGMQDMHAKGTTVQPDL KASFSYGALESNDSISELYTPATLPVMQEEHSSRSTPGVPYRVLEHTKKE >ENSMUSP00000118178.1 pep:known chromosome:GRCm38:4:135451886:135474454:-1 gene:ENSMUSG00000028803.18 transcript:ENSMUST00000140982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipal3 description:NIPA-like domain containing 3 [Source:MGI Symbol;Acc:MGI:1921802] XFVGCGLAIVGTYLLVTFAPNSHEKMTGENIARHLVSWPFLLYMLVAIVLFCLLLYFYKE RNANSIVVILLLVALLGSMTVVTVKAVSGMLVLSIQGNLQLDYPIFYVMFVCMVATAIYQ ATFLSEASQIYDSSLIASVGYILSTTAAITAGAIFYLDFLGEEALHICMFALGCLIAFLG VFLITRNRKKAIPFEPYISMDAMPDEGAG >ENSMUSP00000118122.1 pep:known chromosome:GRCm38:4:135479560:135494969:-1 gene:ENSMUSG00000028803.18 transcript:ENSMUST00000145020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipal3 description:NIPA-like domain containing 3 [Source:MGI Symbol;Acc:MGI:1921802] MDGAHSAGLQLQPLPPTSGATSTSLSSSEGSFSYKENLIGALLAIFGHLVVSIALNLQKY CHIRLAGSKDPRAYFKTKTWWLGLLLLLLGELGVFASYAFAPLSLIV >ENSMUSP00000121776.1 pep:known chromosome:GRCm38:4:135482477:135495038:-1 gene:ENSMUSG00000028803.18 transcript:ENSMUST00000146617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipal3 description:NIPA-like domain containing 3 [Source:MGI Symbol;Acc:MGI:1921802] MDGAHSAGLQLQPLPPTSGATSTSLSSSEGSFSYKENLIGALLAIFGHLVVSIALN >ENSMUSP00000027649.7 pep:known chromosome:GRCm38:1:136842571:136960448:-1 gene:ENSMUSG00000026398.14 transcript:ENSMUST00000027649.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr5a2 description:nuclear receptor subfamily 5, group A, member 2 [Source:MGI Symbol;Acc:MGI:1346834] MSASLDTGDFQEFLKHGLTAIASAPGSETRHSPKREEQLREKRAGLPDRHRRPIPARSRL VMLPKVETEAPGLVRSHGEQGQMPENMQVSQFKMVNYSYDEDLEELCPVCGDKVSGYHYG LLTCESCKGFFKRTVQNQKRYTCIENQNCQIDKTQRKRCPYCRFKKCIDVGMKLEAVRAD RMRGGRNKFGPMYKRDRALKQQKKALIRANGLKLEAMSQVIQAMPSDLTSAIQNIHSASK GLPLSHVALPPTDYDRSPFVTSPISMTMPPHSSLHGYQPYGHFPSRAIKSEYPDPYSSSP ESMMGYSYMDGYQTNSPASIPHLILELLKCEPDEPQVQAKIMAYLQQEQSNRNRQEKLSA FGLLCKMADQTLFSIVEWARSSIFFRELKVDDQMKLLQNCWSELLILDHIYRQVAHGKEG TIFLVTGEHVDYSTIISHTEVAFNNLLSLAQELVVRLRSLQFDQREFVCLKFLVLFSSDV KNLENLQLVEGVQEQVNAALLDYTVCNYPQQTEKFGQLLLRLPEIRAISKQAEDYLYYKH VNGDVPYNNLLIEMLHAKRA >ENSMUSP00000129071.1 pep:known chromosome:GRCm38:1:136844276:136949562:-1 gene:ENSMUSG00000026398.14 transcript:ENSMUST00000168126.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr5a2 description:nuclear receptor subfamily 5, group A, member 2 [Source:MGI Symbol;Acc:MGI:1346834] MLPKVETEAPGLVRSHGEQGQMPENMQVSQFKMVNYSYDEDLEELCPVCGDKVSGYHYGL LTCESCKGFFKRTVQNQKRYTCIENQNCQIDKTQRKRCPYCRFKKCIDVGMKLEAVRADR MRGGRNKFGPMYKRDRALKQQKKALIRANGLKLEAMSQVIQAMPSDLTSAIQNIHSASKG LPLSHVALPPTDYDRSPFVTSPISMTMPPHSSLHGYQPYGHFPSRAIKSEYPDPYSSSPE SMMGYSYMDGYQTNSPASIPHLILELLKCEPDEPQVQAKIMAYLQQEQSNRNRQEKLSAF GLLCKMADQTLFSIVEWARSSIFFRELKVDDQMKLLQNCWSELLILDHIYRQVAHGKEGT IFLVTGEHVDYSTIISHTEVAFNNLLSLAQELVVRLRSLQFDQREFVCLKFLVLFSSDVK NLENLQLVEGVQEQVNAALLDYTVCNYPQQTEKFGQLLLRLPEIRAISKQAEDYLYYKHV NGDVPYNNLLIEMLHAKRA >ENSMUSP00000142219.1 pep:known chromosome:GRCm38:1:136845314:136960380:-1 gene:ENSMUSG00000026398.14 transcript:ENSMUST00000192357.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr5a2 description:nuclear receptor subfamily 5, group A, member 2 [Source:MGI Symbol;Acc:MGI:1346834] MSASLDTGDFQEFLKHGLTAIGAGLPDRHRRPIPARSRLVMLPKVETEAPGLVRSHGEQG QMPENMQVSQFKMVNYSYDEDLEELCPVCGDKVSGYHYGLLTCESCKGFFKRTVQNQKRY TCIENQNCQIDKTQRKRCPYCRFKKCIDVGMKLEAVRADRMRGGRNKFGPMYKRDRALKQ QKKALIRANGLKLEAMSQVIQAMPSDLTSAIQNIHSASKGLPLSHVALPPTDYDRSPFVT SPISMTMPPHSSLHGYQPYGHFPSRAIKSEYPDPYSSSPESMMGYSYMDGYQTNSPASIP HLILELLKCEPDEPQVQAKIMAYLQQEQSNRNRQEKLSAFGLLCKMADQTLFSIVEWARS SIFFRELKVDDQMKLLQNCWSELLILDHIYRQVAHGKEGTIFLVTGEHVDYSTIISHTEV AFNNLLSLAQELVVRLRSLQFDQREFVCLKFLVLFSSDVKNLENLQLVEGVQEQVNAALL DYTVCNYPQQTEKFGQLLLRLPEIRAISKQAEDYLYYKHVNGDVPYNNLLIEMLHAKRA >ENSMUSP00000141495.1 pep:known chromosome:GRCm38:1:136845463:136953630:-1 gene:ENSMUSG00000026398.14 transcript:ENSMUST00000192929.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr5a2 description:nuclear receptor subfamily 5, group A, member 2 [Source:MGI Symbol;Acc:MGI:1346834] MLPKVETEAPGLVRSHGEQGQMPENMQVSQFKMVNYSYDEDLEELCPVCGDKVSGYHYGL LTCESCKGFFKRTVQNQKRYTCIENQNCQIDKTQRKRCPYCRFKKCIDVGMKLEAVRADR MRGGRNKFGPMYKRDRALKQQKKALIRANGLKLEAMSQVIQAMPSDLTSAIQNIHSASKG LPLSHVALPPTDYDRSPFVTSPISMTMPPHSSLHGYQPYGHFPSRAIKSEYPDPYSSSPE SMMGYSYMDGYQTNSPASIPHLILELLKCEPDEPQVQAKIMAYLQQEQSNRNRQEKLSAF GLLCKMADQTLFSIVEWARSSIFFRELKVDDQMKLLQNCWSELLILDHIYRQVAHGKEGT IFLVTGEHVDYSTIISHTEVAFNNLLSLAQELVVRLRSLQFDQREFVCLKFLVLFSSDVK NLENLQLVEGVQEQVNAALLDYTVCNYPQQTEKFGQLLLRLPEIRAISKQAEDYLYYKHV NGDVPYNNLLIEMLHAKRA >ENSMUSP00000141645.1 pep:known chromosome:GRCm38:1:136940985:136949173:-1 gene:ENSMUSG00000026398.14 transcript:ENSMUST00000195428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr5a2 description:nuclear receptor subfamily 5, group A, member 2 [Source:MGI Symbol;Acc:MGI:1346834] MLPKVETEAPGLVRSHGEQGQMPENMQVSQFKMVNYSYDEDLEELCPVCGDKVSGYHYGL LTCESCKGFFKRTVQNQKRYTCIENQNCQIDKTQRKRCPYCRFKKCIDVGMKLEAVRADR MRGGRNKFGPMYKRDR >ENSMUSP00000089345.2 pep:known chromosome:GRCm38:13:21786826:21787218:-1 gene:ENSMUSG00000069309.2 transcript:ENSMUST00000091751.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2an description:histone cluster 1, H2an [Source:MGI Symbol;Acc:MGI:2448300] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000109957.3 pep:known chromosome:GRCm38:2:25291181:25319095:-1 gene:ENSMUSG00000026959.13 transcript:ENSMUST00000114318.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin1 description:glutamate receptor, ionotropic, NMDA1 (zeta 1) [Source:MGI Symbol;Acc:MGI:95819] MSTMHLLTFALLFSCSFARAACDPKIVNIGAVLSTRKHEQMFREAVNQANKRHGSWKIQL NATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDHFTPTPVSYTAGFYRIPVLG LTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYNWNHIILLVSDDHEGRAAQKRL ETLLEERESKSKKRNYENLDQLSYDNKRGPKAEKVLQFDPGTKNVTALLMEARDLEARVI ILSASEDDAATVYRAAAMLNMTGSGYVWLVGEREISGNALRYAPDGIIGLQLINGKNESA HISDAVGVVAQAVHELLEKENITDPPRGCVGNTNIWKTGPLFKRVLMSSKYADGVTGRVE FNEDGDRKFANYSIMNLQNRKLVQVGIYNGTHVIPNDRKIIWPGGETEKPRGYQMSTRLK IVTIHQEPFVYVKPTMSDGTCKEEFTVNGDPVKKVICTGPNDTSPGSPRHTVPQCCYGFC VDLLIKLARTMNFTYEVHLVADGKFGTQERVNNSNKKEWNGMMGELLSGQADMIVAPLTI NNERAQYIEFSKPFKYQGLTILVKKEIPRSTLDSFMQPFQSTLWLLVGLSVHVVAVMLYL LDRFSPFGRFKVNSEEEEEDALTLSSAMWFSWGVLLNSGIGEGAPRSFSARILGMVWAGF AMIIVASYTANLAAFLVLDRPEERITGINDPRLRNPSDKFIYATVKQSSVDIYFRRQVEL STMYRHMEKHNYESAAEAIQAVRDNKLHAFIWDSAVLEFEASQKCDLVTTGELFFRSGFG IGMRKDSPWKQNVSLSILKSHENGFMEDLDKTWVRYQECDSRSNAPATLTFENMAGVFML VAGGIVAGIFLIFIEIAYKRHKDARRKQMQLAFAAVNVWRKNLQDRKSGRAEPDPKKKAT FRAITSTLASSFKRRRSSKDTQYHPTDITGPLNLSDPSVSTVV >ENSMUSP00000109949.3 pep:known chromosome:GRCm38:2:25291181:25319095:-1 gene:ENSMUSG00000026959.13 transcript:ENSMUST00000114310.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin1 description:glutamate receptor, ionotropic, NMDA1 (zeta 1) [Source:MGI Symbol;Acc:MGI:95819] MSTMHLLTFALLFSCSFARAACDPKIVNIGAVLSTRKHEQMFREAVNQANKRHGSWKIQL NATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDHFTPTPVSYTAGFYRIPVLG LTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYNWNHIILLVSDDHEGRAAQKRL ETLLEERESKSKKRNYENLDQLSYDNKRGPKAEKVLQFDPGTKNVTALLMEARDLEARVI ILSASEDDAATVYRAAAMLNMTGSGYVWLVGEREISGNALRYAPDGIIGLQLINGKNESA HISDAVGVVAQAVHELLEKENITDPPRGCVGNTNIWKTGPLFKRVLMSSKYADGVTGRVE FNEDGDRKFANYSIMNLQNRKLVQVGIYNGTHVIPNDRKIIWPGGETEKPRGYQMSTRLK IVTIHQEPFVYVKPTMSDGTCKEEFTVNGDPVKKVICTGPNDTSPGSPRHTVPQCCYGFC VDLLIKLARTMNFTYEVHLVADGKFGTQERVNNSNKKEWNGMMGELLSGQADMIVAPLTI NNERAQYIEFSKPFKYQGLTILVKKEIPRSTLDSFMQPFQSTLWLLVGLSVHVVAVMLYL LDRFSPFGRFKVNSEEEEEDALTLSSAMWFSWGVLLNSGIGEGAPRSFSARILGMVWAGF AMIIVASYTANLAAFLVLDRPEERITGINDPRLRNPSDKFIYATVKQSSVDIYFRRQVEL STMYRHMEKHNYESAAEAIQAVRDNKLHAFIWDSAVLEFEASQKCDLVTTGELFFRSGFG IGMRKDSPWKQNVSLSILKSHENGFMEDLDKTWVRYQECDSRSNAPATLTFENMAGVFML VAGGIVAGIFLIFIEIAYKRHKDARRKQMQLAFAAVNVWRKNLQDRKSGRAEPDPKKKAT FRAITSTLASSFKRRRSSKDTSTGGGRGALQNQKDTVLPRRAIEREEGQLQLCSRHRES >ENSMUSP00000109947.3 pep:known chromosome:GRCm38:2:25291181:25319095:-1 gene:ENSMUSG00000026959.13 transcript:ENSMUST00000114308.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin1 description:glutamate receptor, ionotropic, NMDA1 (zeta 1) [Source:MGI Symbol;Acc:MGI:95819] MSTMHLLTFALLFSCSFARAACDPKIVNIGAVLSTRKHEQMFREAVNQANKRHGSWKIQL NATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDHFTPTPVSYTAGFYRIPVLG LTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYNWNHIILLVSDDHEGRAAQKRL ETLLEERESKSKKRNYENLDQLSYDNKRGPKAEKVLQFDPGTKNVTALLMEARDLEARVI ILSASEDDAATVYRAAAMLNMTGSGYVWLVGEREISGNALRYAPDGIIGLQLINGKNESA HISDAVGVVAQAVHELLEKENITDPPRGCVGNTNIWKTGPLFKRVLMSSKYADGVTGRVE FNEDGDRKFANYSIMNLQNRKLVQVGIYNGTHVIPNDRKIIWPGGETEKPRGYQMSTRLK IVTIHQEPFVYVKPTMSDGTCKEEFTVNGDPVKKVICTGPNDTSPGSPRHTVPQCCYGFC VDLLIKLARTMNFTYEVHLVADGKFGTQERVNNSNKKEWNGMMGELLSGQADMIVAPLTI NNERAQYIEFSKPFKYQGLTILVKKEIPRSTLDSFMQPFQSTLWLLVGLSVHVVAVMLYL LDRFSPFGRFKVNSEEEEEDALTLSSAMWFSWGVLLNSGIGEGAPRSFSARILGMVWAGF AMIIVASYTANLAAFLVLDRPEERITGINDPRLRNPSDKFIYATVKQSSVDIYFRRQVEL STMYRHMEKHNYESAAEAIQAVRDNKLHAFIWDSAVLEFEASQKCDLVTTGELFFRSGFG IGMRKDSPWKQNVSLSILKSHENGFMEDLDKTWVRYQECDSRSNAPATLTFENMAGVFML VAGGIVAGIFLIFIEIAYKRHKDARRKQMQLAFAAVNVWRKNLQSTGGGRGALQNQKDTV LPRRAIEREEGQLQLCSRHRES >ENSMUSP00000109956.3 pep:known chromosome:GRCm38:2:25291181:25319095:-1 gene:ENSMUSG00000026959.13 transcript:ENSMUST00000114317.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin1 description:glutamate receptor, ionotropic, NMDA1 (zeta 1) [Source:MGI Symbol;Acc:MGI:95819] MSTMHLLTFALLFSCSFARAACDPKIVNIGAVLSTRKHEQMFREAVNQANKRHGSWKIQL NATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDHFTPTPVSYTAGFYRIPVLG LTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYNWNHIILLVSDDHEGRAAQKRL ETLLEERESKSKKRNYENLDQLSYDNKRGPKAEKVLQFDPGTKNVTALLMEARDLEARVI ILSASEDDAATVYRAAAMLNMTGSGYVWLVGEREISGNALRYAPDGIIGLQLINGKNESA HISDAVGVVAQAVHELLEKENITDPPRGCVGNTNIWKTGPLFKRVLMSSKYADGVTGRVE FNEDGDRKFANYSIMNLQNRKLVQVGIYNGTHVIPNDRKIIWPGGETEKPRGYQMSTRLK IVTIHQEPFVYVKPTMSDGTCKEEFTVNGDPVKKVICTGPNDTSPGSPRHTVPQCCYGFC VDLLIKLARTMNFTYEVHLVADGKFGTQERVNNSNKKEWNGMMGELLSGQADMIVAPLTI NNERAQYIEFSKPFKYQGLTILVKKEIPRSTLDSFMQPFQSTLWLLVGLSVHVVAVMLYL LDRFSPFGRFKVNSEEEEEDALTLSSAMWFSWGVLLNSGIGEGAPRSFSARILGMVWAGF AMIIVASYTANLAAFLVLDRPEERITGINDPRLRNPSDKFIYATVKQSSVDIYFRRQVEL STMYRHMEKHNYESAAEAIQAVRDNKLHAFIWDSAVLEFEASQKCDLVTTGELFFRSGFG IGMRKDSPWKQNVSLSILKSHENGFMEDLDKTWVRYQECDSRSNAPATLTFENMAGVFML VAGGIVAGIFLIFIEIAYKRHKDARRKQMQLAFAAVNVWRKNLQQYHPTDITGPLNLSDP SVSTVV >ENSMUSP00000028335.6 pep:known chromosome:GRCm38:2:25291181:25319120:-1 gene:ENSMUSG00000026959.13 transcript:ENSMUST00000028335.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin1 description:glutamate receptor, ionotropic, NMDA1 (zeta 1) [Source:MGI Symbol;Acc:MGI:95819] MSTMHLLTFALLFSCSFARAACDPKIVNIGAVLSTRKHEQMFREAVNQANKRHGSWKIQL NATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDHFTPTPVSYTAGFYRIPVLG LTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYNWNHIILLVSDDHEGRAAQKRL ETLLEERESKAEKVLQFDPGTKNVTALLMEARDLEARVIILSASEDDAATVYRAAAMLNM TGSGYVWLVGEREISGNALRYAPDGIIGLQLINGKNESAHISDAVGVVAQAVHELLEKEN ITDPPRGCVGNTNIWKTGPLFKRVLMSSKYADGVTGRVEFNEDGDRKFANYSIMNLQNRK LVQVGIYNGTHVIPNDRKIIWPGGETEKPRGYQMSTRLKIVTIHQEPFVYVKPTMSDGTC KEEFTVNGDPVKKVICTGPNDTSPGSPRHTVPQCCYGFCVDLLIKLARTMNFTYEVHLVA DGKFGTQERVNNSNKKEWNGMMGELLSGQADMIVAPLTINNERAQYIEFSKPFKYQGLTI LVKKEIPRSTLDSFMQPFQSTLWLLVGLSVHVVAVMLYLLDRFSPFGRFKVNSEEEEEDA LTLSSAMWFSWGVLLNSGIGEGAPRSFSARILGMVWAGFAMIIVASYTANLAAFLVLDRP EERITGINDPRLRNPSDKFIYATVKQSSVDIYFRRQVELSTMYRHMEKHNYESAAEAIQA VRDNKLHAFIWDSAVLEFEASQKCDLVTTGELFFRSGFGIGMRKDSPWKQNVSLSILKSH ENGFMEDLDKTWVRYQECDSRSNAPATLTFENMAGVFMLVAGGIVAGIFLIFIEIAYKRH KDARRKQMQLAFAAVNVWRKNLQDRKSGRAEPDPKKKATFRAITSTLASSFKRRRSSKDT STGGGRGALQNQKDTVLPRRAIEREEGQLQLCSRHRES >ENSMUSP00000109953.3 pep:known chromosome:GRCm38:2:25291181:25319120:-1 gene:ENSMUSG00000026959.13 transcript:ENSMUST00000114314.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin1 description:glutamate receptor, ionotropic, NMDA1 (zeta 1) [Source:MGI Symbol;Acc:MGI:95819] MSTMHLLTFALLFSCSFARAACDPKIVNIGAVLSTRKHEQMFREAVNQANKRHGSWKIQL NATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDHFTPTPVSYTAGFYRIPVLG LTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYNWNHIILLVSDDHEGRAAQKRL ETLLEERESKAEKVLQFDPGTKNVTALLMEARDLEARVIILSASEDDAATVYRAAAMLNM TGSGYVWLVGEREISGNALRYAPDGIIGLQLINGKNESAHISDAVGVVAQAVHELLEKEN ITDPPRGCVGNTNIWKTGPLFKRVLMSSKYADGVTGRVEFNEDGDRKFANYSIMNLQNRK LVQVGIYNGTHVIPNDRKIIWPGGETEKPRGYQMSTRLKIVTIHQEPFVYVKPTMSDGTC KEEFTVNGDPVKKVICTGPNDTSPGSPRHTVPQCCYGFCVDLLIKLARTMNFTYEVHLVA DGKFGTQERVNNSNKKEWNGMMGELLSGQADMIVAPLTINNERAQYIEFSKPFKYQGLTI LVKKEIPRSTLDSFMQPFQSTLWLLVGLSVHVVAVMLYLLDRFSPFGRFKVNSEEEEEDA LTLSSAMWFSWGVLLNSGIGEGAPRSFSARILGMVWAGFAMIIVASYTANLAAFLVLDRP EERITGINDPRLRNPSDKFIYATVKQSSVDIYFRRQVELSTMYRHMEKHNYESAAEAIQA VRDNKLHAFIWDSAVLEFEASQKCDLVTTGELFFRSGFGIGMRKDSPWKQNVSLSILKSH ENGFMEDLDKTWVRYQECDSRSNAPATLTFENMAGVFMLVAGGIVAGIFLIFIEIAYKRH KDARRKQMQLAFAAVNVWRKNLQDRKSGRAEPDPKKKATFRAITSTLASSFKRRRSSKDT QYHPTDITGPLNLSDPSVSTVV >ENSMUSP00000109946.1 pep:known chromosome:GRCm38:2:25291181:25319120:-1 gene:ENSMUSG00000026959.13 transcript:ENSMUST00000114307.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin1 description:glutamate receptor, ionotropic, NMDA1 (zeta 1) [Source:MGI Symbol;Acc:MGI:95819] MSTMHLLTFALLFSCSFARAACDPKIVNIGAVLSTRKHEQMFREAVNQANKRHGSWKIQL NATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDHFTPTPVSYTAGFYRIPVLG LTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYNWNHIILLVSDDHEGRAAQKRL ETLLEERESKAEKVLQFDPGTKNVTALLMEARDLEARVIILSASEDDAATVYRAAAMLNM TGSGYVWLVGEREISGNALRYAPDGIIGLQLINGKNESAHISDAVGVVAQAVHELLEKEN ITDPPRGCVGNTNIWKTGPLFKRVLMSSKYADGVTGRVEFNEDGDRKFANYSIMNLQNRK LVQVGIYNGTHVIPNDRKIIWPGGETEKPRGYQMSTRLKIVTIHQEPFVYVKPTMSDGTC KEEFTVNGDPVKKVICTGPNDTSPGSPRHTVPQCCYGFCVDLLIKLARTMNFTYEVHLVA DGKFGTQERVNNSNKKEWNGMMGELLSGQADMIVAPLTINNERAQYIEFSKPFKYQGLTI LVKKEIPRSTLDSFMQPFQSTLWLLVGLSVHVVAVMLYLLDRFSPFGRFKVNSEEEEEDA LTLSSAMWFSWGVLLNSGIGEGAPRSFSARILGMVWAGFAMIIVASYTANLAAFLVLDRP EERITGINDPRLRNPSDKFIYATVKQSSVDIYFRRQVELSTMYRHMEKHNYESAAEAIQA VRDNKLHAFIWDSAVLEFEASQKCDLVTTGELFFRSGFGIGMRKDSPWKQNVSLSILKSH ENGFMEDLDKTWVRYQECDSRSNAPATLTFENMAGVFMLVAGGIVAGIFLIFIEIAYKRH KDARRKQMQLAFAAVNVWRKNLQSTGGGRGALQNQKDTVLPRRAIEREEGQLQLCSRHRE S >ENSMUSP00000109951.1 pep:known chromosome:GRCm38:2:25291181:25319187:-1 gene:ENSMUSG00000026959.13 transcript:ENSMUST00000114312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin1 description:glutamate receptor, ionotropic, NMDA1 (zeta 1) [Source:MGI Symbol;Acc:MGI:95819] MSTMHLLTFALLFSCSFARAACDPKIVNIGAVLSTRKHEQMFREAVNQANKRHGSWKIQL NATSVTHKPNAIQMALSVCEDLISSQVYAILVSHPPTPNDHFTPTPVSYTAGFYRIPVLG LTTRMSIYSDKSIHLSFLRTVPPYSHQSSVWFEMMRVYNWNHIILLVSDDHEGRAAQKRL ETLLEERESKAEKVLQFDPGTKNVTALLMEARDLEARVIILSASEDDAATVYRAAAMLNM TGSGYVWLVGEREISGNALRYAPDGIIGLQLINGKNESAHISDAVGVVAQAVHELLEKEN ITDPPRGCVGNTNIWKTGPLFKRVLMSSKYADGVTGRVEFNEDGDRKFANYSIMNLQNRK LVQVGIYNGTHVIPNDRKIIWPGGETEKPRGYQMSTRLKIVTIHQEPFVYVKPTMSDGTC KEEFTVNGDPVKKVICTGPNDTSPGSPRHTVPQCCYGFCVDLLIKLARTMNFTYEVHLVA DGKFGTQERVNNSNKKEWNGMMGELLSGQADMIVAPLTINNERAQYIEFSKPFKYQGLTI LVKKEIPRSTLDSFMQPFQSTLWLLVGLSVHVVAVMLYLLDRFSPFGRFKVNSEEEEEDA LTLSSAMWFSWGVLLNSGIGEGAPRSFSARILGMVWAGFAMIIVASYTANLAAFLVLDRP EERITGINDPRLRNPSDKFIYATVKQSSVDIYFRRQVELSTMYRHMEKHNYESAAEAIQA VRDNKLHAFIWDSAVLEFEASQKCDLVTTGELFFRSGFGIGMRKDSPWKQNVSLSILKSH ENGFMEDLDKTWVRYQECDSRSNAPATLTFENMAGVFMLVAGGIVAGIFLIFIEIAYKRH KDARRKQMQLAFAAVNVWRKNLQQYHPTDITGPLNLSDPSVSTVV >ENSMUSP00000106099.2 pep:known chromosome:GRCm38:13:21787461:21789213:1 gene:ENSMUSG00000069308.7 transcript:ENSMUST00000110473.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bp description:histone cluster 1, H2bp [Source:MGI Symbol;Acc:MGI:2448409] MPEPVKSVPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSKILWNKFYYLPSF >ENSMUSP00000100047.1 pep:known chromosome:GRCm38:13:21787508:21787976:1 gene:ENSMUSG00000069308.7 transcript:ENSMUST00000102982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bp description:histone cluster 1, H2bp [Source:MGI Symbol;Acc:MGI:2448409] MPEPVKSVPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000037000.8 pep:known chromosome:GRCm38:8:18595131:18803189:1 gene:ENSMUSG00000039842.15 transcript:ENSMUST00000039412.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcph1 description:microcephaly, primary autosomal recessive 1 [Source:MGI Symbol;Acc:MGI:2443308] MEASGGVGGAFLKDVVAYVEVWSSKGTENYSRTFAKQLEDMGATVSKTLNKQVTHVIFKD GYQSTWDKAQKTGAKLVSVLWVEKCRMAGALVDESLFPAVNTDEHLPNLSRKKHKCMQPK DFILKTPENDKRLQKKFEKMAEELQRQKAALDDDVPVLLFESPRSLVYSSPVNVMKRRLQ DMKEKRENLSPTSSQMLEQSQQNPCVSLFETSLNISHQPLSSDESFASGSHSSFGDSCGD QERKLGRSANEMTTVTCPSSPVLRASSFYGSASPNHLRQPRPQKAPDSPSKESINCQKDA TGAVADSERKQAAGVSQGVPDEKLCLSPTMSIIEEHQVRLGPKNSSAKRKRAADLGSSPK GKLKKRYKRKSALAIQLFKSDQSPPSTIRLIPGTPDVEASSYEDYFSPDNLKERNSERLP PEAQQLASPSLFHCRGLSKWERRNMLEMCDFTCIGEKHRSISSISDLISKSASSLEKPVK EEVNTASTCLLLVETSANDSPGLCSQPGPQLRDDTGPEGSSHPDTLSSSAHHITPLKGNS TETRDPGDGKGSPKEGSTPPASASPEDEVHICNLSLGEDCNVEKSVEEKENIATGYSESV KNGPGRPDPSDSSCTGLVRPQQKPKKSEKEEKPTRTLVMTSMPSEKQTLIIQVVSTLKGF SFAPEVCETTTHVLVGKSARTLNVLMGIARGCWILSYEWVLLSLELGHWISEEPFELSET FPAAPICRLERHLSTQQYQGTLFANQPKMFIAPASSPPRAKLCELVLLCGGQVSPAPQLA SLIIGPYKGKKKARIQYLSEKWVLDSITQHKICDFNNYQLLQ >ENSMUSP00000131698.1 pep:known chromosome:GRCm38:8:18595164:18712939:1 gene:ENSMUSG00000039842.15 transcript:ENSMUST00000124910.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mcph1 description:microcephaly, primary autosomal recessive 1 [Source:MGI Symbol;Acc:MGI:2443308] MEASGGVGGAFLKDVVAYVEVWSSKGTENYSRTFAKQLEDMGATVSKTLNKQVTHVIFKD GYQSTWDKAQKTGAKLVSVLWVEKCRMAGALVDESLFPAVNTDEHLPNLSRKKMMTFLSS CLSPLAHWCTAPQ >ENSMUSP00000131616.1 pep:known chromosome:GRCm38:8:18595582:18632901:1 gene:ENSMUSG00000039842.15 transcript:ENSMUST00000146819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcph1 description:microcephaly, primary autosomal recessive 1 [Source:MGI Symbol;Acc:MGI:2443308] MEASGGVGGAFLKDVVAYVEVWSSKGTENYSRTFAKQLEDMGATVSKTLNKQVTHVIFKD GYQSTWDKAQKTGAKLVSVLWVEKCRMAGALVDESLFPAVNTDEHLPNLSRKKHKCMQPK DFILKTPENDKRLQKKFEKMAEELQRQKAALDDDVPVLLFESPRSLVYSSPVNVMKRRLQ DMKEKRENLSPTSSQMLEQSQQNPCVSLFETSLNISHQPLSSDESFASGSHSSFGDSCGD QERKLGRSANEMTTVTCPSSPVLRASSFYGSASPNHLRQPRPQKAPDSPSKESINCQKDA TGAVADSERKQAAGVSQGVPDEKLCLSPTMSIIEEHQVRLGPKNSSAKRKRAADLGSSPK GKLKKRYKRKSALAIQLFKSDQSPPSTIRLIPGTPDVEASSYEDYFSPDNLKERNSERLP PEAQQLASPSLFHCRGLSKWERRNMLEMCDFTCIGEKHRSISSISDLISKSASSLEKPVK EEVNTASTCLLLVETSANDSPGLCSQPGPQLRDDTGPEGSSHPDTLSSSAHHITPLKGNS TETRDPGDGKGSPKEGSTPPASASPEDEVHICNLSLGEDCNVEKSVEEKENIATGYSESM >ENSMUSP00000121636.1 pep:known chromosome:GRCm38:8:18625563:18631880:1 gene:ENSMUSG00000039842.15 transcript:ENSMUST00000133417.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcph1 description:microcephaly, primary autosomal recessive 1 [Source:MGI Symbol;Acc:MGI:2443308] KCMQPKDFILKTPENDKRLQKKFEKMAEELQRQKAALDDDVPVLLFESPRSLVYSSPVNV MKRRLQDMKEKRENLSPTCKWLQCRMKRMSAGELQKKGYLISTLASQMLEQSQQNPCVSL FETSLNISHQPLSSDESFASGSHSSFGDSCGDQERKLGRSANEMTTVTCPSSPVLRASSF YGSASPNHLRQPRPQKAPDSPSKESINCQKDATGAVADSERKQAAGVSQGVPDEKLCLSP TMSIIEEHQVRLGPKN >ENSMUSP00000119267.2 pep:known chromosome:GRCm38:8:18632592:18652990:1 gene:ENSMUSG00000039842.15 transcript:ENSMUST00000141244.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcph1 description:microcephaly, primary autosomal recessive 1 [Source:MGI Symbol;Acc:MGI:2443308] VEKSVEEKENIATGYSESVKNGPGRPDPSDSSCTGLVRPQQKPKKSEKEEKVVICVELPL QGSEDPGPFAQHSPGAFTCHVFHMSLTVSLFQPDGCLSFALGLYLYLMVAVI >ENSMUSP00000112671.1 pep:known chromosome:GRCm38:5:23787711:23790003:1 gene:ENSMUSG00000028999.15 transcript:ENSMUST00000120869.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rint1 description:RAD50 interactor 1 [Source:MGI Symbol;Acc:MGI:1916233] MLAADDIGEVPAAPCCPESGDETKNTDVKSKQALNP >ENSMUSP00000030852.6 pep:known chromosome:GRCm38:5:23787711:23820369:1 gene:ENSMUSG00000028999.15 transcript:ENSMUST00000030852.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rint1 description:RAD50 interactor 1 [Source:MGI Symbol;Acc:MGI:1916233] MLAADDIGEVPAAPCCPESGDETKNTDVKSDVNTAAPAGSEQLSQGGSDDALLSYVSAFI EKEVGSDLKSLKTLGKLIEQMTESKVKLEEQVLTISSEIPKRIQSALKDAEESKQLLDEF LEQEAPLFSSISSHLLMAQPWMDDLGAMITQMEEIERHLAYLKWVSQTEELSDNIQQYLM TNSVPEAASLLVTMTELDIQLQESSCTHLLSFMRATVKFWHKILKDKLTSDFEEVLAQLH WPFTSHTQSQTVGGSRPAGTPELYSSLDTLFCQLLKLQASDELLTEPKQLPEKYCLPASP PVTLPIQVMLAPLQKRFRYHFRGSRQTNVMSKPEWYLAQVLMWIGNHTQFLDEKIQPILD KVGSAVNARLEFSRGLVMLILEKLASDIPCLLYDDSLFCHLVDEVLLFERELHTVHGYPS TFASCMHILSEETCFQRWLTVERKFALQKMDSMLSSEAAWVSQYKDISDVDEMKVPDCAE VFMTLLLVITDRYKNLPTASRKLQFLELQKDLVDDFRIRLTQVMKEETRAALGFRYCAIL NAVNYISAVLADWADNVFFLQLQQAALEVFAENNVLSKLQLGQLASMESSVFDDMINLLE RLKLDMLTRQVDHVFREVKDSAKLYKKERWLSLPSQSEQAVMSLSSSACPLLLTLRDRLL QLEQQLCFSLFRIFWQMLAEKLDLYIYQEIILANHFNEGGAAQLQFDMTRNLFPLFSHYC KRPENYFKHVKEACIILNLNIGSALLLKDVLQSVSEHIPATAALNEVGVYKLAQQDVEIL LNLRTNWPNTGK >ENSMUSP00000112763.1 pep:known chromosome:GRCm38:5:23787712:23793900:1 gene:ENSMUSG00000028999.15 transcript:ENSMUST00000117783.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rint1 description:RAD50 interactor 1 [Source:MGI Symbol;Acc:MGI:1916233] MLAADDIGEVPAAPCCPESGDETKNTDVKMFCLQVCRGRVLDPLELELQTVVSWHVDTGN >ENSMUSP00000110766.2 pep:known chromosome:GRCm38:5:23787715:23820297:1 gene:ENSMUSG00000028999.15 transcript:ENSMUST00000115113.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rint1 description:RAD50 interactor 1 [Source:MGI Symbol;Acc:MGI:1916233] MLAADDIGEVPAAPCCPESGDETKNTDVKSDVNTAAPAGSEQLSQGGSDDALLSYVSAFI EKEVGSDLKSLKTLGKLIEQMTESKVKLEEQVLTISSEIPKRIQSALKDAEESKQLLDEF LEQEAPLFSSISSHLLMAQPWMDDLGAMITQMEEIERHLAYLKWVSQTEELSDFEEVLAQ LHWPFTSHTQSQTVGGSRPAGTPELYSSLDTLFCQLLKLQASDELLTEPKQLPEKYCLPA SPPVTLPIQVMLAPLQKRFRYHFRGSRQTNVMSKPEWYLAQVLMWIGNHTQFLDEKIQPI LDKVGSAVNARLEFSRGLVMLILEKLASDIPCLLYDDSLFCHLVDEVLLFERELHTVHGY PSTFASCMHILSEETCFQRWLTVERKFALQKMDSMLSSEAAWVSQYKDISDVDEMKVPDC AEVFMTLLLVITDRYKNLPTASRKLQFLELQKDLVDDFRIRLTQVMKEETRAALGFRYCA ILNAVNYISAVLADWADNVFFLQLQQAALEVFAENNVLSKLQLGQLASMESSVFDDMINL LERLKLDMLTRQVDHVFREVKDSAKLYKKERWLSLPSQSEQAVMSLSSSACPLLLTLRDR LLQLEQQLCFSLFRIFWQMLAEKLDLYIYQEIILANHFNEGGAAQLQFDMTRNLFPLFSH YCKRPENYFKHVKEACIILNLNIGSALLLKDVLQSVSEHIPATAALNEVGVYKLAQQDVE ILLNLRTNWPNTGK >ENSMUSP00000063264.5 pep:known chromosome:GRCm38:10:94514857:94590956:1 gene:ENSMUSG00000020023.17 transcript:ENSMUST00000065060.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc3 description:transmembrane and coiled coil domains 3 [Source:MGI Symbol;Acc:MGI:2442900] MPGSDTALTVDRTYSDPGRHHRCKSRVDRHDMNTLSLPLNIRRGGSDTNLNFDVPDGILD FHKVKLNADSLRQKILKVTEQIKIEQTSRDGNVAEYLKLVSSADKQQAGRIKQVFEKKNQ KSAHSIAQLQKKLEQYHRKLREIEQNGVTRSSKDISKDSLKEIHHSLKDAHVKSRTAPHC LESSKSSMPGVSLTPPVFVFNKSREFANLIRNKFGSADNIAHLKNSLEEFRPEASPRAYG GSATIVNKPKYGSDDECSSGTSGSADSNGNQSFGAGGTSTLDSQGKIAKIMEELREIKVT QTQLAEDIEALKVQFKREYGFISQTLQEERYRYERLEDQLHDLTELHQHETANLKQELAS AEEKVAYQAYERSRDIQEALESCQTRISKLELHQQEQQTLQTDAVNAKVLLGKCINVVLA FMTVILVCVSTLAKFVSPMMKSRSHILGTFFAVTLLAIFCKNWDHILCAIERIIIPR >ENSMUSP00000113122.1 pep:known chromosome:GRCm38:10:94575257:94588117:1 gene:ENSMUSG00000020023.17 transcript:ENSMUST00000121471.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc3 description:transmembrane and coiled coil domains 3 [Source:MGI Symbol;Acc:MGI:2442900] MNTLSLPLNIRRGGSDTNLNFDVPDGILDFHKVKLNADSLRQKILKVTEQIKIEQTSRDG NVAEYLKLVSSADKQQAGRIKQVFEKKNQKSAHSIAQLQKKLEQYHRKLREIEQNGVTRS SKDISKDSLKEIHHSLKDAHVKSRTAPHCLESSKSSMPGVSLTPPVFVFNKSREFANLIR NKFGSADNIAHLKNSLEEFRPEASPRAYGGSATIVNKPKYGSDDECSSGTSGSADSNGNQ SFGAGGTSTLDSQGKIAKIMEELREIKVTQTQLAEDIEALKVQFKREYGFISQTLQEERY RYERLEDQLHDLTELHQHETANLKQELASAEEKVAYQAYERSRDIQEALESCQTRISKLE LHQQEQQTLQTDAVNAKVLLGKCINVVLAFMTVILVCVSTLAKFVSPMMKSRSHILGTFF AVTLLAIFCKNWDHILCAIERIIIPR >ENSMUSP00000112401.1 pep:known chromosome:GRCm38:10:94576263:94588801:1 gene:ENSMUSG00000020023.17 transcript:ENSMUST00000117929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc3 description:transmembrane and coiled coil domains 3 [Source:MGI Symbol;Acc:MGI:2442900] MNTLSLPLNIRRGGSDTNLNFDVPDGILDFHKVKLNADSLRQKILKVTEQIKIEQTSRDG NVAEYLKLVSSADKQQAGRIKQVFEKKNQKSAHSIAQLQKKLEQYHRKLREIEQNGVTRS SKDISKDSLKEIHHSLKDAHVKSRTAPHCLESSKSSMPGVSLTPPVFVFNKSREFANLIR NKFGSADNIAHLKNSLEEFRPEASPRAYGGSATIVNKPKYGSDDECSSGTSGSADSNGNQ SFGAGGTSTLDSQGKIAKIMEELREIKVTQTQLAEDIEALKVQFKREYGFISQTLQEERY RYERLEDQLHDLTELHQHETANLKQELASAEEKVAYQAYERSRDIQEALESCQTRISKLE LHQQEQQTLQTDAVNAKVLLGKCINVVLAFMTVILVCVSTLAKFVSPMMKSRSHILGTFF AVTLLAIFCKNWDHILCAIERIIIPR >ENSMUSP00000112669.1 pep:known chromosome:GRCm38:10:94550872:94588786:1 gene:ENSMUSG00000020023.17 transcript:ENSMUST00000117460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc3 description:transmembrane and coiled coil domains 3 [Source:MGI Symbol;Acc:MGI:2442900] MNTLSLPLNIRRGGSDTNLNFDVPDGILDFHKVKLNADSLRQKILKVTEQIKIEQTSRDG NVAEYLKLVSSADKQQAGRIKQVFEKKNQKSAHSIAQLQKKLEQYHRKLREIEQNGVTRS SKDISKDSLKEIHHSLKDAHVKSRTAPHCLESSKSSMPGVSLTPPVFVFNKSREFANLIR NKFGSADNIAHLKNSLEEFRPEASPRAYGGSATIVNKPKYGSDDECSSGTSGSADSNGNQ SFGAGGTSTLDSQGKIAKIMEELREIKVTQTQLAEDIEALKVQFKREYGFISQTLQEERY RYERLEDQLHDLTELHQHETANLKQELASAEEKVAYQAYERSRDIQEALESCQTRISKLE LHQQEQQTLQTDAVNAKVLLGKCINVVLAFMTVILVCVSTLAKFVSPMMKSRSHILGTFF AVTLLAIFCKNWDHILCAIERIIIPR >ENSMUSP00000119751.1 pep:known chromosome:GRCm38:10:94312024:94578796:1 gene:ENSMUSG00000020023.17 transcript:ENSMUST00000132743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc3 description:transmembrane and coiled coil domains 3 [Source:MGI Symbol;Acc:MGI:2442900] MMLMRKEGMKVDRHDMNTLSLPLNIRRGGSDTNLNFDVPDGILDFHKVKLNADSLRQKIL KVTEQIKIEQTSRDGNVAEYLKLVSSADKQQAGRIKQVFEKKNQKSAHSIAQLQKKLEQY HRKLREIEQNGVTR >ENSMUSP00000119496.1 pep:known chromosome:GRCm38:10:94545431:94578922:1 gene:ENSMUSG00000020023.17 transcript:ENSMUST00000148823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc3 description:transmembrane and coiled coil domains 3 [Source:MGI Symbol;Acc:MGI:2442900] LILVYKRSVCSRACHPFSPDHDHKRLAVARTRAVWLKVLTGAEETTVDRHDMNTLSLPLN IRRGGSDTNLNFDVPDGILDFHKVKLNADSLRQKILKVTEQIKIEQTSRDGNVAEYLKLV SSADKQQAGRIKQVFEKKNQKSAHSIAQLQKKLEQYHRKLREIEQNGVTRSSKDISKDSL KEIHHSLKDAHVKSRTAPHCLESSKSSMPGVSL >ENSMUSP00000121456.1 pep:known chromosome:GRCm38:10:94550852:94578667:1 gene:ENSMUSG00000020023.17 transcript:ENSMUST00000148910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc3 description:transmembrane and coiled coil domains 3 [Source:MGI Symbol;Acc:MGI:2442900] XTSTGISRDSVCRSSKSSVSHHQQAQGRVSACLCTVGCLGQTGERASAVLLFGFVLWRLV DRHDMNTLSLPLNIRRGGSDTNLNFDVPDGILDFHKVKLNADSLRQKILKVTEQIKIEQT SRDGNVAEYLKLVSSADKQQA >ENSMUSP00000003459.3 pep:known chromosome:GRCm38:11:6506548:6520958:-1 gene:ENSMUSG00000020437.12 transcript:ENSMUST00000003459.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1g description:myosin IG [Source:MGI Symbol;Acc:MGI:1927091] MLAVGRMEDEEGPEYGKPDFVLLDQLTMEDFMKNLELRFEKGRIYTYIGEVLVSVNPYQE LPLYGPEAIAKYQGRELYERPPHLYAVANAAYKAMKRRSRDTCIVISGESGAGKTEASKH IMQYIAAVTNPSQRAEVERVKNVLLKSTCVLEAFGNARTNRNHNSSRFGKYMDINFDFKG DPVGGHIHSYLLEKSRVLKQHVGERNFHAFYQLLRGSEDQELQGLHLERNPAVYNFTRQG AGLNMGVHNALDSDEKSHQGVMEAMRIIGFSPDEVESIHRILAAILHLGNIEFVETEENG PQKGGLEVADEALVGYVAKLTATPRDLVLRTLLARTVASGGREVIEKSHTVAEASYARDA CAKAMYQRLFEWVVNKINSIMEPRNRDPRCDGKDTVIGVLDIYGFEVFPVNSFEQFCINY CNEKLQQLFIQLILKQEQEEYEREGIAWQTIEYFNNATIVELVEQPHRGILAVLDEACST AGPITDRIFLQTLDTHHRHHPHYSSRQLCPTDKTMEFGRDFQIKHYAGDVTYSVEGFIDK NRDSLFQDFKRLLYNSVDPTLRAMWPDGQQDITEVTKRPLTAGTLFKNSMVALVENLASK EPFYVRCIKPNEDKVAGRLDEAHCRHQVEYLGLLENVRVRRAGFASRQPYPRFLLRYKMT CEYTWPNHLLGSDRDAVSALLEQHGLQGDVAFGHSKLFIRSPRTLVTLEQSRARLIPIIV LLLQKAWRGTLARWHCRRLRAIYTIMRWFRRHKVRAHLIELQRRFQAARQPPLYGRDLVW PTPPAVLQPFQDTCRVLFSRWRARQLVKNIPPSDMIQIKAKVAAMGALQGLRQDWGCQRA WARDYLSSDTDNPTASHLFAEQLKALREKDGFGSVLFSSHVRKVNRFRKSRDRALLLTDR YLYKLEPGRQYRVMRAVPLEAVTGLSVTSGRDQLVVLHAQGYDDLVVCLHRSQPPLDNRI GELVGMLAAHCQGEGRTLEVRVSDCIPLSQRGARRLISVEPRPEQPEPDFQSSRSTFTLL WPSH >ENSMUSP00000120975.1 pep:known chromosome:GRCm38:11:6506674:6520897:-1 gene:ENSMUSG00000020437.12 transcript:ENSMUST00000144725.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myo1g description:myosin IG [Source:MGI Symbol;Acc:MGI:1927091] MLAVGRMEDEEGPEYGKPDFVLLDQLTMEDFMKNLELRGEWGREDRSQQAHHAVHCCCHQ PKPEG >ENSMUSP00000122438.1 pep:known chromosome:GRCm38:11:6517998:6520965:-1 gene:ENSMUSG00000020437.12 transcript:ENSMUST00000146536.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myo1g description:myosin IG [Source:MGI Symbol;Acc:MGI:1927091] MLAVGRMEDEEGPEYGKPDFVLLDQLTMEDFMKNLELRNCHCMGQRPLPSTRAASSMSDH LIFTPWPMLLTRQ >ENSMUSP00000122356.1 pep:known chromosome:GRCm38:11:6519047:6519504:-1 gene:ENSMUSG00000020437.12 transcript:ENSMUST00000134489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1g description:myosin IG [Source:MGI Symbol;Acc:MGI:1927091] MTPAGPLPLWDRYSWFLRPEHPGREQCGPHPLHCAEGCSGWLSLLRMAPRGLKFEKGRIY TYIGEVLVSVNPYQELPLYGPEAIAKYQGRELYERPPHL >ENSMUSP00000033333.6 pep:known chromosome:GRCm38:7:109735834:109752279:-1 gene:ENSMUSG00000031021.13 transcript:ENSMUST00000033333.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem9b description:TMEM9 domain family, member B [Source:MGI Symbol;Acc:MGI:1915254] MASLWCGNLLRLGSGLSMSCLALSVLLLAQLTGAAKNFEDVRCKCICPPYKENPGHIYNK NISQKDCDCLHVVEPMPVRGPDVEAYCLRCECKYEERSSVTIKVTIIIYLSILGLLLLYM VYLTLVEPILKRRLFGHSQLLQSDDDVGDHQPFANAHDVLARSRSRANVLNKVEYAQQRW KLQVQEQRKSVFDRHVVLS >ENSMUSP00000113175.1 pep:known chromosome:GRCm38:7:109735836:109752875:-1 gene:ENSMUSG00000031021.13 transcript:ENSMUST00000118571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem9b description:TMEM9 domain family, member B [Source:MGI Symbol;Acc:MGI:1915254] MPVRGPDVEAYCLRCECKYEERSSVTIKVTIIIYLSILGLLLLYMVYLTLVEPILKRRLF GHSQLLQSDDDVGDHQPFANAHDVLARSRSRANVLNKVEYAQQRWKLQVQEQRKSVFDRH VVLS >ENSMUSP00000121220.1 pep:known chromosome:GRCm38:7:109745354:109752246:-1 gene:ENSMUSG00000031021.13 transcript:ENSMUST00000128043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem9b description:TMEM9 domain family, member B [Source:MGI Symbol;Acc:MGI:1915254] MVRKPAAAGLGAQHVLPGAVGAAARAADRRRQVFSLLLQNFEDVRCKCICPPYKENPGHI YNKNISQKDCDCLHVVEPMPVRGPDVEAYCL >ENSMUSP00000142577.1 pep:known chromosome:GRCm38:5:25935791:25942381:-1 gene:ENSMUSG00000104824.1 transcript:ENSMUST00000196214.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21663 description:predicted gene, 21663 [Source:MGI Symbol;Acc:MGI:5435018] MALFARLCRLFQRVNVDGRETREGRKDADPPSERNEGRRRWTWRMCMAHRQTTSPVPVKS KKQFEKEEKELIKKIQLTTEETNELRDRLIYVTEGSMNKRPYHRQTRHYKELKLKEKEIM TFLHDLEMKNMEARENNQELKKEKNFYRNLHTRILLGENLIKKKLAILQQESKEIHADWA IIQQRLVELNLSGKDEQEKTSNLEAQENQVSEAARELGLATAEEDSILQNELPCQEAPAE HHPQHPSSSSDESSSDESSYSTCPEWE >ENSMUSP00000113244.1 pep:known chromosome:GRCm38:17:22424259:22456689:1 gene:ENSMUSG00000071266.13 transcript:ENSMUST00000120222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp946 description:zinc finger protein 946 [Source:MGI Symbol;Acc:MGI:1921399] MTASLLNVSKDLLRFKDVAVEFSQEEWECLDCAQRALYMDVMLENYNNLFFVVFSENDHI CYKYKNVLDEDAQQIVHQHLNIQEKSYKCHELAKITHASTQNSPYKINLRDTTVESSKLN RHRTGNIREPCKYKNCVNCLSVCSIISLNQGIHINKKEHNGTEFDKIFESKEKRMLKPTN SGKKPYKCSECEECFTQLCDLKSHQRNHIGEKLCKSSEHDNCFALKSDLRIHQPVHTRGK PYKCNECIKSFTQKSSLKRHQRIHTGEKPYKCSECNKCFSHKYILIIHQKIHTGEKPYKC NACGKCFTLKGELRIHQRIHTGEKPYKCSECDKSFTQKGQLIIHQRIHTGEKPYKCSECD KCFIKKYSLVTHQRMHTGENPYKCSECDKCFTLKSGLRIHERIHTGEKPYKCSECDKSFI KKIYLRIHQSVHIGEKLYKCSECDKCFMHKCSLSSHQRLHTGEKPYKCSICDKCFTSKGY LGSHQRIHTGEKPYQCSECDKCFTHKGSLKRHERIHTGVKLYK >ENSMUSP00000086141.6 pep:known chromosome:GRCm38:17:22424268:22456689:1 gene:ENSMUSG00000071266.13 transcript:ENSMUST00000088763.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp946 description:zinc finger protein 946 [Source:MGI Symbol;Acc:MGI:1921399] MTASLLNVSKDLLRFKDVAVEFSQEEWECLDCAQRALYMDVMLENYNNLFFVVFSENDHI CYKYKNVLDEDAQQIVHQHLNIQEKSYKCHELAKITHASTQNSPYKINLRDTTVESSKLN RHRTGNIREPCKYKNCVNCLSVCSIISLNQGIHINKKEHNGTEFDKIFESKEKRMLKPTN SGKKPYKCSECEECFTQLCDLKSHQRNHIGEKLCKSSEHDNCFALKSDLRIHQPVHTRGK PYKCNECIKSFTQKSSLKRHQRIHTGEKPYKCSECNKCFSHKYILIIHQKIHTGEKPYKC NACGKCFTLKGELRIHQRIHTGEKPYKCSECDKSFTQKGQLIIHQRIHTGEKPYKCSECD KCFIKKYSLVTHQRMHTGENPYKCSECDKCFTLKSGLRIHERIHTGEKPYKCSECDKSFI KKIYLRIHQSVHIGEKLYKCSECDKCFMHKCSLSSHQRLHTGEKPYKCSICDKCFTSKGY LGSHQRIHTGEKPYQCSECDKCFTHKGSLKRHERIHTGVKLYK >ENSMUSP00000132940.1 pep:known chromosome:GRCm38:17:22451123:22455838:1 gene:ENSMUSG00000071266.13 transcript:ENSMUST00000167740.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp946 description:zinc finger protein 946 [Source:MGI Symbol;Acc:MGI:1921399] MTASLLNVSKDLLRFKDVAVEFSQEEWECLDCAQRALYMDVMLENYNNLFFVVFSENDHI CYKYKNVLDEDAQQIVHQHLNIQEKSYKCHELAKITHASTQNSPYKINLRDTTVESSKLN RHRTGNIREPCKYKNCVNCLSVCSIISLNQGIHINKKEHNGTEFDKIFESKEKRMLKPTN SGKKPYKCSECEECFTQLCDLKSHQRNHIGEKLCKSSEHDNCFALKSDLRIHQPVHTRGK PYKCNECIKSFTQKSSLKRHQRIHTGEKPYKCSECNKCFSHKYILIIHQKIHTGEKPYKC NACGKCFTLKGELRIHQRIHTGEKPYKCSECDKSFTQKGQLIIHQRIHTGEKPYKCSECD KCFIKKYSLVTHQRMHTGENPYKCSECDKCFTLKSGLRIHERIHTGEKPYKCSECDKSFI KKIYLRIHQSVHIGEKLYKCSECDKCFMHKCSLSSHQRLHTGEKPYKCSICDKCFTSKGY LGSHQRIHTGEKPYQCSECDKCFTHKGSLKRHERIHTGVKLYK >ENSMUSP00000065000.2 pep:known chromosome:GRCm38:2:26315515:26348237:1 gene:ENSMUSG00000026930.15 transcript:ENSMUST00000066936.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpsm1 description:G-protein signalling modulator 1 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:1915089] MASPAPPVAEELPGPASRRLYSRMEASCLELALEGERLCKAGDFKAGVAFFEAAVQVGTE DLKTLSAIYSQLGNAYFYLKEYARALQFHKHDLLLARTIGDRMGEAKASGNLGNTLKVLG RFDEAIVCCQRHLDIAQEQGDKVGEARALYNIGNVYHAKGKQLSWNAAQDPGHLPPDVRE TLHRASEFYERNLSLVKELGDRAAQGRAYGNLGNTHYLLGNFTEATTFHKERLAIAKEFG DKAAERRAYSNLGNAHIFLGRFDVAAEHYKKTLQLSRQLRDQAVEAQACYSLGNTYTLLQ DYERAAEYHLRHLVIAQELADRVGEGRACWSLGNAYVSMGSPAQALTFAKKHLQISQEIG DRNGELTARMNIAHLQLALGRLTSPAAAEKPDLAGYEAQGARPKRTQRLSAETWDLLRLP LDREQNGETHHTGDWRGPGRDSLPLPMRSRKYQEGPDAIERRPREGSHSPLDSADVRVQV PRTGIPRAPSSDEECFFDLLSKFQSSRMDDQRCPLEEGQAGAAEATAAPSVEDRAAQSSV TASPQTEEFFDLIASSQSRRLDDQRASVGSLPGLRITLNNVGHLRGDGDAQEPGDEFFNM LIKYQSSRIDDQRCPPPDVLPRGPTMPDEDFFSLIQRVQAKRMDEQRVDLAGSPEQEASG LPDPQQQCPPGAS >ENSMUSP00000077686.5 pep:known chromosome:GRCm38:2:26315592:26348237:1 gene:ENSMUSG00000026930.15 transcript:ENSMUST00000078616.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpsm1 description:G-protein signalling modulator 1 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:1915089] MASPAPPVAEELPGPASRRLYSRMEASCLELALEGERLCKAGDFKAGVAFFEAAVQVGTE DLKTLSAIYSQLGNAYFYLKEYARALQFHKHDLLLARTIGDRMGEAKASGNLGNTLKVLG RFDEAIVCCQRHLDIAQEQGDKVGEARALYNIGNVYHAKGKQLSWNAAQDPGHLPPDVRE TLHRASEFYERNLSLVKELGDRAAQGRAYGNLGNTHYLLGNFTEATTFHKERLAIAKEFG DKAAERRAYSNLGNAHIFLGRFDVAAEHYKKTLQLSRQLRDQAVEAQACYSLGNTYTLLQ DYERAAEYHLRHLVIAQELADRVGEGRACWSLGNAYVSMGSPAQALTFAKKHLQISQEIG DRNGELTARMNIAHLQLALGRLTSPAAAEKPDLAGYEAQGARPKRTQRLSAETWDLLRLP LDRGIPRAPSSDEECFFDLLSKFQSSRMDDQRCPLEEGQAGAAEATAAPSVEDRAAQSSV TASPQTEEFFDLIASSQSRRLDDQRASVGSLPGLRITLNNVGHLRGDGDAQEPGDEFFNM LIKYQSSRIDDQRCPPPDVLPRGPTMPDEDFFSLIQRVQAKRMDEQRVDLAGSPEQEASG LPDPQQQCPPGAS >ENSMUSP00000067964.6 pep:known chromosome:GRCm38:2:26319773:26348237:1 gene:ENSMUSG00000026930.15 transcript:ENSMUST00000066889.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpsm1 description:G-protein signalling modulator 1 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:1915089] MLASAMEGQPLALSLAEKAVCKVVYGAPRPRPLLLPVGLELWLYVQKMRNLQRKRMEASC LELALEGERLCKAGDFKAGVAFFEAAVQVGTEDLKTLSAIYSQLGNAYFYLKEYARALQF HKHDLLLARTIGDRMGEAKASGNLGNTLKVLGRFDEAIVCCQRHLDIAQEQGDKVGEARA LYNIGNVYHAKGKQLSWNAAQDPGHLPPDVRETLHRASEFYERNLSLVKELGDRAAQGRA YGNLGNTHYLLGNFTEATTFHKERLAIAKEFGDKAAERRAYSNLGNAHIFLGRFDVAAEH YKKTLQLSRQLRDQAVEAQACYSLGNTYTLLQDYERAAEYHLRHLVIAQELADRVGEGRA CWSLGNAYVSMGSPAQALTFAKKHLQISQEIGDRNGELTARMNIAHLQLALGRLTSPAAA EKPDLAGYEAQGARPKRTQRLSAETWDLLRLPLDREQNGETHHTGDWRGPGRDSLPLPMR SRKYQEGPDAIERRPREGSHSPLDSADVRVQVPRTGIPRAPSSDEECFFDLLSKFQSSRM DDQRCPLEEGQAGAAEATAAPSVEDRAAQSSVTASPQTEEFFDLIASSQSRRLDDQRASV GSLPGLRITLNNVGHLRGDGDAQEPGDEFFNMLIKYQSSRIDDQRCPPPDVLPRGPTMPD EDFFSLIQRVQAKRMDEQRVDLAGSPEQEASGLPDPQQQCPPGAS >ENSMUSP00000115680.1 pep:known chromosome:GRCm38:2:26323591:26348237:1 gene:ENSMUSG00000026930.15 transcript:ENSMUST00000145884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpsm1 description:G-protein signalling modulator 1 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:1915089] XIVCCQRHLDIAQEQGDKVGEARALYNIGNVYHAKGKQLSWNAAQDPGHLPPDVRETLHR ASEFYERNLSLVKELGDRAAQGRAYGNLGNTHYLLGNFTEATTFHKERLAIAKEFGDKAA ERRAYSNLGNAHIFLGRFDVAAEHYKVGEGRACWSLGNAYVSMGSPAQALTFAKKHLQIS QEIGDRNGELTARMNIAHLQLALGRLTSPAAAEKPDLAGYEAQGARPKRTQRLSAETWDL LRLPLDREQNGETHHTGDWRGPGRDSLPLPMRSRKYQEGPDAIERRPREGSHSPLDSADV RVQVPRTGIPRAPSSDEECFFDLLSKFQSSRMDDQRCPLEEGQAGAAEATAAPSVEDRAA QSSVTASPQTEEFFDLIASSQSRRLDDQRASVGSLPGLRITLNNVGHLRGDGDAQEPGDE FFNMLIKYQSSRIDDQRCPPPDVLPRGPTMPDEDFFSLIQRVQAKRMDEQRVDLAGSPEQ EASGLPDPQQQCPPGAS >ENSMUSP00000109769.2 pep:known chromosome:GRCm38:2:26330391:26348237:1 gene:ENSMUSG00000026930.15 transcript:ENSMUST00000114134.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpsm1 description:G-protein signalling modulator 1 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:1915089] MQLLKAGQHSESLSGPEGDSYSGARPKRTQRLSAETWDLLRLPLDRGIPRAPSSDEECFF DLLSKFQSSRMDDQRCPLEEGQAGAAEATAAPSVEDRAAQSSVTASPQTEEFFDLIASSQ SRRLDDQRASVGSLPGLRITLNNVGHLRGDGDAQEPGDEFFNMLIKYQSSRIDDQRCPPP DVLPRGPTMPDEDFFSLIQRVQAKRMDEQRVDLAGSPEQEASGLPDPQQQCPPGAS >ENSMUSP00000120934.1 pep:known chromosome:GRCm38:2:26330434:26340531:1 gene:ENSMUSG00000026930.15 transcript:ENSMUST00000127453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpsm1 description:G-protein signalling modulator 1 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:1915089] MQLLKAGQHSESLSGPEGDSYSGARPKRTQRLSAETWDLLRLPLDREQNGETHHTGDWRG PGRDSLPLPMRSRKYQEGPDAIERRPRE >ENSMUSP00000069429.4 pep:known chromosome:GRCm38:X:7638471:7653256:1 gene:ENSMUSG00000031144.15 transcript:ENSMUST00000069520.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syp description:synaptophysin [Source:MGI Symbol;Acc:MGI:98467] MLLLADMDVVNQLVAGGQFRVVKEPLGFVKVLQWVFAIFAFATCGSYTGELRLSVECANK TESALNIEVEFEYPFRLHQVYFDAPSCVKGGTTKIFLVGDYSSSAEFFVTVAVFAFLYSM GALATYIFLQNKYRENNKGPMMDFLATAVFAFMWLVSSSAWAKGLSDVKMATDPENIIKE MPMCRQTGNTCKELRDPVTSGLNTSVVFGFLNLVLWVGNLWFVFKETGWAAPFMRAPPGA PEKQPAPGDAYGDAGYGQGPGGYGPQDSYGPQGGYQPDYGQPASGGGGGYGPQGDYGQQG YGQQGAPTSFSNQM >ENSMUSP00000118544.1 pep:known chromosome:GRCm38:X:7638722:7644294:1 gene:ENSMUSG00000031144.15 transcript:ENSMUST00000128890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syp description:synaptophysin [Source:MGI Symbol;Acc:MGI:98467] XNQVRAPAPWTEPGACRNLGGFGPRTLRGGGEGSRDTLVAGGQFRVVKEPLGFVKVLQWV FAIFAFATCGSYTGELRLSVECANKTESALNIEVEFEYPFRLHQVYFDAPSCVKGGTTKI FLVGDYSSSAEFFVTVAVFAFLYSMGALATYIFLQNKYRENNKGP >ENSMUSP00000034932.7 pep:known chromosome:GRCm38:9:92275602:92297731:1 gene:ENSMUSG00000032372.14 transcript:ENSMUST00000034932.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr2 description:phospholipid scramblase 2 [Source:MGI Symbol;Acc:MGI:1270860] MEAPRSGTYLPAGYAPQYPPAAVQGPPEHTGRPTFQTNYQVPQSGYPGPQASYTVSTSGH EGYAATRLPIQNNQTIVLANTQWMPAPPPILNCPPGLEYLNQIDQLLIHQQVELLEVLTG FETNNKFEIKNSLGQMVYVAVEDTDCCTRNCCEASRPFTLRILDHLGQEVMTLERPLKCS SCCFPCCLQEIEIQAPPGVPIGYVTQTWHPCLPKLTLQNDKRENVLKVVGPCVACTCCSD IDFEIKSLDEVTRIGKITKQWSGCVKEAFTDSDNFGIQFPLDLEVKMKAVTLGACFLIDY MFFEGCE >ENSMUSP00000119104.1 pep:known chromosome:GRCm38:9:92275746:92282192:1 gene:ENSMUSG00000032372.14 transcript:ENSMUST00000126911.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr2 description:phospholipid scramblase 2 [Source:MGI Symbol;Acc:MGI:1270860] MEAPRSGTYLPAGYAPQYPPAAVQ >ENSMUSP00000108667.1 pep:known chromosome:GRCm38:9:92289572:92297731:1 gene:ENSMUSG00000032372.14 transcript:ENSMUST00000113044.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr2 description:phospholipid scramblase 2 [Source:MGI Symbol;Acc:MGI:1270860] MVYVAVEDTDCCTRNCCEASRPFTLRILDHLGQEVMTLERPLKCSSCCFPCCLQEIEIQA PPGVPIGYVTQTWHPCLPKLTLQNDKRENVLKVVGPCVACTCCSDIDFEIKSLDEVTRIG KITKQWSGCVKEAFTDSDNFGIQFPLDLEVKMKAVTLGACFLIDYMFFEGCE >ENSMUSP00000136481.1 pep:known chromosome:GRCm38:9:92275602:92297752:1 gene:ENSMUSG00000032372.14 transcript:ENSMUST00000180154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr2 description:phospholipid scramblase 2 [Source:MGI Symbol;Acc:MGI:1270860] MEAPRSGTYLPAGYAPQYPPAAVQGPPEHTGRPTFQTNYQVPQSGYPGPQASYTVSTSGH EGYAATRLPIQNNQTIVLANTQWMPAPPPILNCPPGLEYLNQIDQLLIHQQVELLEVLTG FETNNKFEIKNSLGQMVYVAVEDTDCCTRNCCEASRPFTLRILDHLGQEVMTLERPLKCS SCCFPCCLQEIEIQAPPGVPIGYVTQTWHPCLPKLTLQNDKRENVLKVVGPCVACTCCSD IDFEIKSLDEVTRIGKITKQWSGCVKEAFTDSDNFGIQFPLDLEVKMKAVTLGACFLIDY MFFEGCE >ENSMUSP00000104421.2 pep:known chromosome:GRCm38:11:59175568:59182826:-1 gene:ENSMUSG00000043448.13 transcript:ENSMUST00000108793.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjc2 description:gap junction protein, gamma 2 [Source:MGI Symbol;Acc:MGI:2153060] MTNMSWSFLTRLLEEIHNHSTFVGKVWLTVLVVFRIVLTAVGGESIYSDEQSKFTCNTRQ PGCDNVCYDAFAPLSHVRFWVFQIVVISTPSVMYLGYAVHRLARASEQERRRALRRRPGT RRLPRAQLPPPPPGWPDTTDLGEAEPILALEEDEDEEPGAPEGPGEDTEEERAEDVAAKG GGGDGKTVVTPGPAGQHDGRRRIQREGLMRVYVAQLVVRAAFEVAFLVGQYLLYGFEVPP FFACSRQPCPHVVDCFVSRPTEKTVFLLVMYVVSCLCLLLNLCEMAHLGLGSAQDAVRGR RGASAAGPGPTPRPPPCAFPAAAAGLACPPDYSLVVRAAERARAHDQNLANLALQALRDG AAVAAVSADRDSPPCAGLNATSRGAPRVGGLASGTGSATSGGTVGEQSRPGAQEQLATKP RAGSEKGSTGSRDGKATVWI >ENSMUSP00000104418.1 pep:known chromosome:GRCm38:11:59175568:59183213:-1 gene:ENSMUSG00000043448.13 transcript:ENSMUST00000108790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjc2 description:gap junction protein, gamma 2 [Source:MGI Symbol;Acc:MGI:2153060] MTNMSWSFLTRLLEEIHNHSTFVGKVWLTVLVVFRIVLTAVGGESIYSDEQSKFTCNTRQ PGCDNVCYDAFAPLSHVRFWVFQIVVISTPSVMYLGYAVHRLARASEQERRRALRRRPGT RRLPRAQLPPPPPGWPDTTDLGEAEPILALEEDEDEEPGAPEGPGEDTEEERAEDVAAKG GGGDGKTVVTPGPAGQHDGRRRIQREGLMRVYVAQLVVRAAFEVAFLVGQYLLYGFEVPP FFACSRQPCPHVVDCFVSRPTEKTVFLLVMYVVSCLCLLLNLCEMAHLGLGSAQDAVRGR RGASAAGPGPTPRPPPCAFPAAAAGLACPPDYSLVVRAAERARAHDQNLANLALQALRDG AAVAAVSADRDSPPCAGLNATSRGAPRVGGLASGTGSATSGGTVGEQSRPGAQEQLATKP RAGSEKGSTGSRDGKATVWI >ENSMUSP00000120740.1 pep:known chromosome:GRCm38:6:145365134:145614273:-1 gene:ENSMUSG00000054966.13 transcript:ENSMUST00000148739.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lmntd1 description:lamin tail domain containing 1 [Source:MGI Symbol;Acc:MGI:1921321] MSIQVHSSGRYPRTPAQQAAVSSPKGGILSSLGEIKIAEVNIKGLFVRLVNSSNEKEVEI GNHILQQNVNGHAVSLYQFPDNITLQANSTVTVWAAASEAKPQPPTDFVWEEQSKFRSSP DCTTILCKPNGEAIAWYTPIHWKQAWEKLETDIEFERCSVVVPSMRNHMFGWITASVSST NEEKEEPIQKTPSQVYPVLYREKEIPPTVLPNKSPWCRNPNTSPHPYSSLIDSHDSDISE SSLDTQLKPQPTKPKPDPGTKKKKAKS >ENSMUSP00000107335.1 pep:known chromosome:GRCm38:6:145393459:145450767:-1 gene:ENSMUSG00000054966.13 transcript:ENSMUST00000111706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmntd1 description:lamin tail domain containing 1 [Source:MGI Symbol;Acc:MGI:1921321] MDSDSTTLIPLSRSFSQEMPIGFYQITSTQNSSTLSSRGQLASKSTILSCSHKDSSLGKQ STSSMVPRRQPQSSSDVDTYTFGNGEDYFLSLFGESKKLTAHTPQAENVSEHLSVILEEV GQFTSSSLGEIKIAEVNIKGLFVRLVNSSNEKEVEIGNHILQQNVNGHAVSLYQFPDNIT LQANSTVTVWAAASEAKPQPPTDFVWEEQSKFRSSPDCTTILCKPNGEAIAWYTPIHWKQ AWEKLETDIEFERCSVVVPSMRNHMFGWITASVSSTNEEKEEPIQKTPSQVYPVLYREKE IPPTVLPNKSPWCRNPNTSPHPYSSLIDSHDSDISESSLDTQLKPQPTKPKPDPGTKKKK AKS >ENSMUSP00000107337.2 pep:known chromosome:GRCm38:6:145397210:145434925:-1 gene:ENSMUSG00000054966.13 transcript:ENSMUST00000111708.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmntd1 description:lamin tail domain containing 1 [Source:MGI Symbol;Acc:MGI:1921321] MMKEASEPLASVTSINKQDSKVQDGEIRKEKIGTITPSKQHSSVHFFPKIMDSDSTTLIP LSRSFSQEMPIGFYQITSTQNSSTLSSRGQLASKSTILSCSHKDSSLGKQSTSSMVPRRQ PQSSSDVDTYTFGNGEDYFLSLFGESKKLTAHTPQAENVSEHLSVILEEVGQFTSSSLGE IKIAEVNIKGLFVRLVNSSNEKEVEIGNHILQQNVNGHAVSLYQFPDNITLQANSTVTVW AAASEAKPQPPTDFVWEEQSKFRSSPDCTTILCKPNGEAIAWYTPIHWKQAWEKLETDIE FERCSVVVPSMRNHMFGWITASVSSTNEEKEEPIQKTPSQVYPVLYREKEIPPTVLPNKS PWCRNPNTSPHPYSSLIDSHDSDISESSLDTQLKPQPTKPKPDPGTKKKKAKS >ENSMUSP00000123226.1 pep:known chromosome:GRCm38:6:145429997:145434081:-1 gene:ENSMUSG00000054966.13 transcript:ENSMUST00000149666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmntd1 description:lamin tail domain containing 1 [Source:MGI Symbol;Acc:MGI:1921321] MMKEASEPLASVTSINKQDSKVQDGEIRKEKIGTITPSKQHSSVHFFPKIMDSDSTTLIP LSRSFSQEMPI >ENSMUSP00000099168.3 pep:known chromosome:GRCm38:X:35404183:35427093:1 gene:ENSMUSG00000073245.10 transcript:ENSMUST00000101645.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14819 description:predicted gene 14819 [Source:MGI Symbol;Acc:MGI:3801716] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000137268.1 pep:known chromosome:GRCm38:X:35405794:35426971:1 gene:ENSMUSG00000073245.10 transcript:ENSMUST00000169396.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14819 description:predicted gene 14819 [Source:MGI Symbol;Acc:MGI:3801716] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000022842.8 pep:known chromosome:GRCm38:15:31590800:31601847:-1 gene:ENSMUSG00000022234.14 transcript:ENSMUST00000022842.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct5 description:chaperonin containing Tcp1, subunit 5 (epsilon) [Source:MGI Symbol;Acc:MGI:107185] MASVGTLAFDEYGRPFLIIKDQDRKSRLMGLEALKSHIMAAKAVANTMRTSLGPNGLDKM MVDKDGDVTITNDGATILSMMDVDHQIAKLMVELSKSQDDEIGDGTTGVVVLAGALLEEA EQLLDRGIHPIRIADGYEQAARIAIQHLDKISDKVLVDINNPEPLIQTAKTTLGSKVINS CHRQMAEIAVNAVLTVADMERRDVDFELIKVEGKVGGRLEDTKLIKGVIVDKDFSHPQMP KKVVDAKIAILTCPFEPPKPKTKHKLDVMSVEDYKALQKYEKEKFEEMIKQIKETGANLA ICQWGFDDEANHLLLQNGLPAVRWVGGPEIELIAIATGGRIVPRFSELTSEKLGFAGVVQ EISFGTTKDKMLVIEKCKNSRAVTIFIRGGNKMIIEEAKRSLHDALCVIRNLIRDNRVVY GGGAAEISCALAVSQEADKCPTLEQYAMRAFADALEVIPMALSENSGMNPIQTMTEVRAR QVKESNPALGIDCLHKGSNDMQYQHVIETLIGKKQQISLATQMVRMILKIDDIRKPGESE E >ENSMUSP00000125566.1 pep:known chromosome:GRCm38:15:31594345:31601539:-1 gene:ENSMUSG00000022234.14 transcript:ENSMUST00000161266.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct5 description:chaperonin containing Tcp1, subunit 5 (epsilon) [Source:MGI Symbol;Acc:MGI:107185] MAAKAVANTMRTSLGPNGLDKMMVDKDGDVTITNDGATILSMMDVDHQIAKLMVELSKSQ DDEIGDGTTGVVVLAGALLEEAEQLLDRGIHPIRIADGYEQAARIAIQHLDKISDKVLVD INNPEPLIQTAKTTLGSKVINSCHRQMAEIAVNAVLTVADMERRDVDFELIKVEGKVGGR LEDTKLIKGVIVDKDFSHP >ENSMUSP00000023799.7 pep:known chromosome:GRCm38:15:101929332:101940324:-1 gene:ENSMUSG00000061397.7 transcript:ENSMUST00000023799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt79 description:keratin 79 [Source:MGI Symbol;Acc:MGI:2385030] MRSSLSRQTFSTKGGFSSNSASGGGGSRMRTSYSSVTMSRGSGGGGGVRSGSSSGGFGSR SLYNLGGKNISVSMACGASSGRALGGFGSGAYVGLGASRQTFGPVCPPGGIQEVTVNQSL LTPLNVEIDPEIQRVRTQEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWALLQEQSQN TGVARSLEPFFENYLSTLRRQLDTKQSERGRLDMELRNVQDNLEDFKNKYEDEINKRTAL ENEFVLLKKDVDAAYMGRMDLHGKVDSLTQEIDFLQQLFEMELSQVQTNVSDTNVILSMD NNRNLDLDSIIAEVKAQYELIAQKSRAEAESWYQTKYEELQVTAGKHGDSLRDTKNEIAE LTRTTQRLQGEVDAAKKQCQQLQTAIAEAEQNGEMALKDAKKKLGDLDTALHQAKEDLAR MLREYQDLVSVKLALDMEIATYRKLLESEESRMSGDCPSAISISVTGNSTSVCAGGTAGF GNGLSLGGAGGASKGGFGSSVSYGAAKGGQVSGGTSILRKTTTVKTSSRRY >ENSMUSP00000098231.2 pep:known chromosome:GRCm38:15:53884906:53886597:1 gene:ENSMUSG00000072584.2 transcript:ENSMUST00000100666.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7489 description:predicted gene 7489 [Source:MGI Symbol;Acc:MGI:3647008] MPSPRSGCLLKGILSPLMPLQELKFRCHNEGQEAIFYVTQPDPRLNPCLTVDSSQEASSA IASLQAQFHTISALLGRSRQRAEGAGRSEKRQLHTSPWGYSSILTLKRVSQQKGTYGKVS WISQQIVCWNQEPNYCTLPIQVASHTQRLPKPTRPGLHKIISHMPFLDCSP >ENSMUSP00000029624.8 pep:known chromosome:GRCm38:3:129914960:129970206:-1 gene:ENSMUSG00000027994.14 transcript:ENSMUST00000029624.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc109b description:coiled-coil domain containing 109B [Source:MGI Symbol;Acc:MGI:1914065] MPGALSGRRMLPSGLCLGRWQLLRTIRARGRGDPRELPSTPQVLCMKLYGNPKYHQALHY GTVEPQDEITVTYKHGLPLVTLTLPSRKERCQFVVKPMLSTVGSFLQDLQNEDKGIKTAA IITADGSEIPASTLMDTLLMTDFKLIINKLRYDIRCHKKEEPSGEHMTELENTKSLVHRL FTILHLEEIQKRRERHLMAKIDHLQEQLRPLEQVKAAIEARSEANTSGLLWAGLALLSVQ GGALAWLTWWVYSWDIMEPVTFFLSFANSIVFFAYFIITRQNYTYSSLRSRQFLQFFHKK SQRRCFDVEQYNKLKEDLAEATESLESVRRSLRLRIQGEEASEKN >ENSMUSP00000118170.1 pep:known chromosome:GRCm38:3:129914982:129970076:-1 gene:ENSMUSG00000027994.14 transcript:ENSMUST00000153506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc109b description:coiled-coil domain containing 109B [Source:MGI Symbol;Acc:MGI:1914065] MPGALSGRRMLPSGLCLGRWQLLRTIRARGRGDPRELPSTPQVLCMKLYGNPKYHQALHY GTVEPQDEITVTYKHGLPLVTLTLPSRKERCQFVVKPMLSTVGSFLQDLQNEDKGIKTAA IITADGSEIPASTLMDTLLMTDFKLIINKLRYDIRCHKKGQSCNRSPVGSQHQWSPVGRL SSALRAGRGAGLAYLVGVLLGYHGASYLLPVVCEFHRLFCILHHNPAELHILIPPEQAVS SVLPQEIAAAVF >ENSMUSP00000115224.1 pep:known chromosome:GRCm38:3:129917080:129969989:-1 gene:ENSMUSG00000027994.14 transcript:ENSMUST00000146340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc109b description:coiled-coil domain containing 109B [Source:MGI Symbol;Acc:MGI:1914065] XGRGDPRELPSTPQVLCMKLYGNPKYHQALHYGTVEPQDDGSEIPASTLMDTLLMTDFKL IINKLRYDIRCHKKEEPSGEHMTELENTKSLVHRLFTILHLEEIQKRRERHLMAKIDHLQ EQLRPLEQVKAAIEARSEANTSGLLWAGL >ENSMUSP00000120660.1 pep:known chromosome:GRCm38:3:129926451:129970124:-1 gene:ENSMUSG00000027994.14 transcript:ENSMUST00000122961.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc109b description:coiled-coil domain containing 109B [Source:MGI Symbol;Acc:MGI:1914065] MPGALSGRRMLPSGLCLGRWQLLRTIRARGRGDPRELPSTPQK >ENSMUSP00000123446.1 pep:known chromosome:GRCm38:15:53462194:53902381:-1 gene:ENSMUSG00000058656.12 transcript:ENSMUST00000132059.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Samd12 description:sterile alpha motif domain containing 12 [Source:MGI Symbol;Acc:MGI:2444518] MAVEALHCGLNPRGIDHSAHADGIKLQIEGEGVESQSIKNRTFQKVPDQKGTPKRLQGEA ETAKGEPC >ENSMUSP00000077741.6 pep:known chromosome:GRCm38:15:53461801:53902530:-1 gene:ENSMUSG00000058656.12 transcript:ENSMUST00000078673.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd12 description:sterile alpha motif domain containing 12 [Source:MGI Symbol;Acc:MGI:2444518] MAVEALHCGLNPRGIDHSAHADGIKLQIEGEGVESQSIKNRTFQKVPDQKGTPKRLQGEA ETAKSATVKLSKPVALWTQQDVCKWLKKHCPNQYQLYSESFKQHDITGRALLRLTDKKLE RMGIAQENQRQHILQQVLQLKVREEVRNLQLLTQASVECSP >ENSMUSP00000077594.7 pep:known chromosome:GRCm38:12:72211752:72409054:-1 gene:ENSMUSG00000021087.17 transcript:ENSMUST00000078505.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn1 description:reticulon 1 [Source:MGI Symbol;Acc:MGI:1933947] MAAPPDLQDEPLSLGSPGSQWFGGRGDGEDEATAVMGARPAQQDGEPAWGSGAGAGVTSS RELCSGPARSPPVAMETASTGMAAVPDALDHSPSSTLKDGEGACYTSLISDVCYPPREDS AYFTGILQKENGHITTSESPEEPETPGPSLPEVPGMEPQGLLSSDSGIEMTPAESTEVNK ILADPLDQMKAEAYKYIDITRPQEAKGQEEQHPGLEDKDLDFKDKDTEVSTKAEGVRAPN QPAPVEGKLIKDHLFEESTFAPYIDELSDEQHRVSLVTAPVKITLTEIEPPLMTATQETI PEKQDLCLKPSPDTVPTVTVSEPEDDSPGSVTPPSSGTEPSAAESQGKGSVSEDELIAAI KEAKGLSYETTESPRPVGQVADKPKTKTRSGLPTIPSPLDQEASSAESGDSEIELVSEDP MASEDALPSGYVSFGHVSGPPPSPASPSIQYSILREEREAELDSELIIESCDASSASEES PKREQDSPPMKPGALDAIREETGSRATEERAPSHQGPVEPDPMLSFAPAAALQSRPEPSS GDGASVPEPPRSQQQKPEEEAVSSSQSPTATEIPGPLGSGLMPPLPFFNKQKAIDLLYWR DIKQTGIVFGSFLLLLFSLTQFSVVSVVAYLALAALSATISFRIYKSVLQAVQKTDEGHP FKAYLELEITLSQEQIQKYTDCLQLYVNSTLKELRRLFLVQDLVDSLKFAVLMWLLTYVG ALFNGLTLLLMAVVSMFTLPVVYVKHQAQVDQYLGLVRTHINTVVAKIQAKIPGAKRHAE >ENSMUSP00000120033.1 pep:known chromosome:GRCm38:12:72212289:72236724:-1 gene:ENSMUSG00000021087.17 transcript:ENSMUST00000137990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn1 description:reticulon 1 [Source:MGI Symbol;Acc:MGI:1933947] ARAPGAASVCSREATRAHRRRSRAATRSASSAPGGEPRRARQARTMQATADSTKMDCVWS NWKSQAIDLLYWRDIKQTGIVFGSFLLLLFSLTQFSVVSVVAYLALAALSATISFRIYKS VLQAVQKTDEGHPFKAYLELEITLSQEQIQKYTDCLQLYVNSTLKELRRLFLVQDLVDSL KFAVLMWLLTYVGALFNGLTLLLMAVVSMFTLPVVYVKHQAQVDQYLGLVRTHINTVVAK IQAKIPGAKRHAE >ENSMUSP00000021497.9 pep:known chromosome:GRCm38:12:72211753:72236724:-1 gene:ENSMUSG00000021087.17 transcript:ENSMUST00000021497.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn1 description:reticulon 1 [Source:MGI Symbol;Acc:MGI:1933947] MQATADSTKMDCVWSNWKSQAIDLLYWRDIKQTGIVFGSFLLLLFSLTQFSVVSVVAYLA LAALSATISFRIYKSVLQAVQKTDEGHPFKAYLELEITLSQEQIQKYTDCLQLYVNSTLK ELRRLFLVQDLVDSLKFAVLMWLLTYVGALFNGLTLLLMAVVSMFTLPVVYVKHQAQVDQ YLGLVRTHINTVVAKIQAKIPGAKRHAE >ENSMUSP00000035802.8 pep:known chromosome:GRCm38:4:130047840:130099277:1 gene:ENSMUSG00000040690.15 transcript:ENSMUST00000044565.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col16a1 description:collagen, type XVI, alpha 1 [Source:MGI Symbol;Acc:MGI:1095396] MLTSWAPGLWVLGLWATFSHGTNIGERCPTSQQEGLKLEHSSDPSTNVTGFNLIRRLNLM KTSAIKKIRNPKGPLILRLGAAPVTQPTRRVFPRGLPEEFALVLTVLLKKHTFRNTWYLF QVTDANGYPQISLEVNSQERSLELRAQGQDGDFVSCIFPVPQLFDLRWHKLMLSVAGRVA SVHVDCVSASSQPLGPRQSIRPGGHVFLGLDAEQGKPVSFDLQQAHIYCDPELVLEEGCC EILPGGCPPETSKSRRDTQSNELIEINPQTEGKVYTRCFCLEEPQNSKVDAQLMGRNIQK AERGTKVHQGTGVNECPPCAHSARESNVTLGPSGLKGGKGERGLTGPSGPKGEKGARGND CVRVSPDAPLQCVEGPKGEKGESGDLGPPGLPGPTGQKGQKGEKGDGGLKGLPGKPGRDG RPGEICVIGPKGQKGDPGFVGPEGLAGEPGPPGLPGPPGIGLPGTPGDPGGPPGPKGEKG SSGIPGKEGPGGKPGKPGVPGTKGEKGDPCEVCPTLPEGSQNFVGLPGKPGPKGEPGDPA PAWEGLGTVGLKGDRGDPGIQGMKGEKGEPCSSCSSGVGAQHLGPSPGHGLPGLPGTSGI PGPRGLKGEKGSFGDTGPAGVPGSPGPVGPAGIKGAKGEPCEPCTALSELQDGDMRVVHL PGPAGEKGEPGSPGFGLPGKQGKAGERGLKGQKGDAGNPGDPGTPGITGQPGISGEPGIR GPAGPKGEKGDGCTACPSLQGALTDVSGLPGKPGPKGEPGPEGVGHPGKPGQPGLPGVQG PPGPKGTQGEPGPPGTGAEGPQGEPGTQGLPGTQGLPGPRGPPGSAGEKGAQGSPGPKGA IGPMGPPGAGVSGPPGQKGSRGEKGEPGECSCPSRGEPIFSGMPGAPGLWMGSSSQPGPQ GPPGVPGPPGPPGMPGLQGVPGHNGLPGQPGLTAELGSLPIEKHLLKSICGDCAQGQTAH PAFLLEKGEKGDQGIPGVPGFDNCARCFIERERPRAEEARGDNSEGEPGCSGSPGLPGPP GMPGQRGEEGPPGMRGSPGPPGPIGLQGERGLTGLTGDKGEPGPPGQPGYPGAMGPPGLP GIKGERGYTGPSGEKGESGPPGSEGLPGPQGPAGPRGERGPQGSSGEKGDQGFQGQPGFP GPPGPPGFPGKAGAPGPPGPQAEKGSEGIRGPSGLPGSPGPPGPPGIQGPAGLDGLDGKD GKPGLRGDPGPAGPPGLMGPPGFKGKTGHPGLPGPKGDCGKPGPPGSSGRPGAEGEPGAM GPQGRPGPPGHLGPPGQPGPPGLSTVGLKGDRGVPGERGLAGLPGQPGTPGHPGPPGEPG SDGAAGKEGPPGKQGLYGPPGPKGDPGPAGQKGQAGEKGRSGMPGGPGKSGSMGPIGPPG PAGERGHPGSPGPAGNPGLPGLPGSMGDMVNYDDIKRFIRQEIIKLFDERMAYYTSRMQF PMEVAAAPGRPGPPGKDGAPGRPGAPGSPGLPGQIGREGRQGLPGMRGLPGTKGEKGDIG VGIAGENGLPGPPGPQGPPGYGKMGATGPMGQQGIPGIPGPPGPMGQPGKAGHCNPSDCF GAMPMEQQYPPMKSMKGPFG >ENSMUSP00000121209.1 pep:known chromosome:GRCm38:4:130047875:130049733:1 gene:ENSMUSG00000040690.15 transcript:ENSMUST00000132251.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col16a1 description:collagen, type XVI, alpha 1 [Source:MGI Symbol;Acc:MGI:1095396] MLTSWAPGLWVLGLWATFSHGT >ENSMUSP00000119219.1 pep:known chromosome:GRCm38:4:130047945:130051851:1 gene:ENSMUSG00000040690.15 transcript:ENSMUST00000142293.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col16a1 description:collagen, type XVI, alpha 1 [Source:MGI Symbol;Acc:MGI:1095396] MLTSWAPGLWVLGLWATFSHGTNIGERCPTSQQEGLKLEHSSDPSTNVTGFNLIRRLNLM K >ENSMUSP00000120384.1 pep:known chromosome:GRCm38:4:130047962:130099283:1 gene:ENSMUSG00000040690.15 transcript:ENSMUST00000143432.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Col16a1 description:collagen, type XVI, alpha 1 [Source:MGI Symbol;Acc:MGI:1095396] MLTSWAPGLWVLGLWATFSHGTNIGERCPTSQQEGLKLEHSSDPSTNVTGFNLIRRLNLM KTSAIKKIRNPKGPLILRLGAAPVTQPTRRVFPRGLPEEFALVLTVLLKKHTFRNTWYLF QVTDANGYPQISLEVNSQERSLELRAQGQDGDFVSCIFPVPQLFDLRWHKLMLSVAGRVA SVHVDCVSASSQPLGPRQSIRPGGHVFLGLDAEQGKPVSFDLQQAHIYCDPELVLEEGCC EILPGGCPPETSKSRRDTQSNELIEINPQTEGKVYTRCFCLEEPQNSKVDAQLMGRNIQK AERGTKVHQGTGVNECPPCAHSARESNVTLGPSGLKGGKGERGLTGPSGPKGEKGARGND CVRVSPDAPLQCVEGPKGEKGESGDLGPPGLPGPTGQKGQKGEKGDGGLKGLPGKPGRDG RPGEICVIGPKGQKGDPGFVGPEGLAGEPGPPGLPGPPGIGLPGTPGDPGGPPGPKGEKG SSGIPGKEGPGGKPGKPGVPGTKGEKGDPCEVCPTLPEGSQNFVGLPGKPGPKGEPGDPA PAWEGLGTVGLKGDRGDPGIQGMKGEKGEPCSSCSSGVGAQHLGPSPGHGLPGLPGTSGI PGPRGLKGEKGSFGDTGPAGVPGSPGPVGPAGIKGAKGEPCEPCTALSELQDGDMRVVHL PGPAGEKGEPGSPGFGLPGKQGKAGERGLKGQKGDAGNPGDPGTPGITGQPGISGEPGIR GPAGPKGEKGDGCTACPSLQGALTDVSGLPGKPGPKGEPGPEGVGHPGKPGQPGLPGVQG PPGPKGTQGEPGPPGTGAEGPQGEPGTQGLPGTQGLPGPRGPPGSAGEKGAQGSPGPKGA IGPMGPPGAGVSGPPGQKGSRGEKGEPGECSCPSRGEPIFSGMPGPPGVPGPPGPPGMPG LQGVPGHNGLPGQPGLTAELGSLPIEKHLLKSICGDCAQGQTAHPAFLLEKGEKGDQGIP GVPGFDNCARCFIERERPRAEEARGDNSEGEPGCSGSPGLPGPPGMPGQRGEEGPPGMRG SPGPPGPIGPPGFPGAVGSPGLPVRT >ENSMUSP00000121415.1 pep:known chromosome:GRCm38:4:130055010:130058581:1 gene:ENSMUSG00000040690.15 transcript:ENSMUST00000123617.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col16a1 description:collagen, type XVI, alpha 1 [Source:MGI Symbol;Acc:MGI:1095396] MCSAWMPHSSTDPRTIPLSVQVDAQLMGRNIQKAERGTKVHQGTGVNECPPCAHSARESN VTLGPSGLKGGKGERGLTGPSGPKGEKGARGNDCVRVSPDAPLQCVEGPKGEKGESGDLG PPGLPGPTG >ENSMUSP00000120339.1 pep:known chromosome:GRCm38:4:130055990:130099277:1 gene:ENSMUSG00000040690.15 transcript:ENSMUST00000143577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col16a1 description:collagen, type XVI, alpha 1 [Source:MGI Symbol;Acc:MGI:1095396] CPPCAHSARESNVTLGPSGLKGGKGERGLTGPSGPKGEKGARGNDCVRVSPDAPLQCVEG PKGEKGESGDLGPPGLPGPTGQKGQKGEKGDGGLKGLPGKPGRDGRPGEICVIGPKGQKG DPGFVGPEGLAGEPGPPGLPGPPGIGLPGTPGDPGGPPGPKGEKGSSGIPGKEGPGGKPG KPGVPGTKGEKGDPCEVCPTLPEGSQNFVGLPGKPGPKGEPGDPAPAWEGLGTVGLKGDR GDPGIQGMKGEKGEPCSSCSSGVGAQHLGPSPGHGLPGLPGTSGIPGPRGLKGEKGSFGD TGPAGVPGSPGPVGPAGIKGAKGEPCEPCTALSELQDGDMRVVHLPGPAGEKGEPGSPGF GLPGKQGKAGERGLKGQKGDAGNPGDPGTPGITGQPGISGEPGIRGPAGPKGEKGDGCTA CPSLQGALTDVSGLPGKPGPKGEPGPEGVGHPGKPGQPGLPGVQGPPGPKGTQGEPGPPG TGAEGPQGEPGTQGLPGTQGLPGPRGPPGSAGEKGAQGSPGPKGAIGPMGPPGAGVSGPP GQKGSRGEKGEPGECSCPSRGEPIFSGMPGAPGLWMGSSSQPGPQGPPGVPGPPGPPGMP GLQGVPGHNGLPGQPGLTAELGSLPIEKHLLKSICGDCAQGQTAHPAFLLEKGEKGDQGI PGVPGFDNCARCFIERERPRAEEARGDNSEGEPGCSGSPGLPGPPGMPGQRGEEGPPGMR GSPGPPGPIGPPGFPGAVGSPGLPGLQGERGLTGLTGDKGEPGPPGQPGYPGAMGPPGLP GIKGERGYTGPSGEKGESGPPGSEGLPGPQGPAGPRGERGPQGSSGEKGDQGFQGQPGFP GPPGPPGFPGKAGAPGPPGPQAEKGSEGIRGPSGLPGSPGPPGPPGIQGPAGLDGLDGKD GKPGLRGDPGPAGPPGLMGPPGFKGKTGHPGLPGPKGDCGKPGPPGSSGRPGAEGEPGAM GPQGRPGPPGHLGPQGPPGQPGPPGLSTVGLKGDRGVPGERGLAGLPGQPGTPGHPGPPG EPGSDGAAGKEGPPGKQGLYGPPGPKGDPGPAGQKGQAGEKGRSGMPGGPGKSGSMGPIG PPGPAGERGHPGSPGPAGNPGLPGLPGSMGDMVNYDDIKRFIRQEIIKLFDERMAYYTSR MQFPMEVAAAPGRPGPPGKDGAPGRPGAPGSPGLPGQIGREGRQGLPGMRGLPGTKGEKG DIGVGIAGENGLPGPPGPQGPPGYGKMGATGPMGQQGIPGIPGPPGPMGQPGKAGHCNPS DCFGAMPMEQQYPPMKSMKGPFG >ENSMUSP00000114015.1 pep:known chromosome:GRCm38:14:8296274:8309777:-1 gene:ENSMUSG00000021750.15 transcript:ENSMUST00000121887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107a description:family with sequence similarity 107, member A [Source:MGI Symbol;Acc:MGI:3041256] MYSEIQRERADIEGLMARPEYREWNSELIKPKKLLNPVKASRSHQELHRELLMNHKRGLG MDSKPELQRVLEHRRRNQLIKKKEEELEAKRMQCPFKQELLRRQQRLNQLENPPQRDEDH APEFIKVRENLRRITTLTSEERAL >ENSMUSP00000045513.8 pep:known chromosome:GRCm38:14:8296274:8309779:-1 gene:ENSMUSG00000021750.15 transcript:ENSMUST00000036070.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107a description:family with sequence similarity 107, member A [Source:MGI Symbol;Acc:MGI:3041256] MYSEIQRERADIEGLMARPEYREWNSELIKPKKLLNPVKASRSHQELHRELLMNHKRGLG MDSKPELQRVLEHRRRNQLIKKKEEELEAKRMQCPFKQELLRRQQRLNQLENPPQRDEDH APEFIKVRENLRRITTLTSEERAL >ENSMUSP00000112769.1 pep:known chromosome:GRCm38:14:8297556:8318023:-1 gene:ENSMUSG00000021750.15 transcript:ENSMUST00000120411.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107a description:family with sequence similarity 107, member A [Source:MGI Symbol;Acc:MGI:3041256] MYSEIQRERADIEGLMARPEYREWNSELIKPKKLLNPVKASRSHQELHRELLMNHKRGLG MDSKPELQRVLEHRRRNQLIKKKEEELEAKRMQCPFKQELLRRQQRLNQLENPPQRDEDH APEFIKVRENLRRITTLTSEERAL >ENSMUSP00000120854.1 pep:known chromosome:GRCm38:14:8299539:8309795:-1 gene:ENSMUSG00000021750.15 transcript:ENSMUST00000137133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107a description:family with sequence similarity 107, member A [Source:MGI Symbol;Acc:MGI:3041256] MYSEIQRERADIEGLMARPEYREWNSELIKPKKLLNPVKASRSHQELHRELLMNHKRGLG MDSKPELQRVLEHRRRNQLIKKKEEELEAKRMQCPFKQE >ENSMUSP00000133924.2 pep:known chromosome:GRCm38:5:25948253:25954417:-1 gene:ENSMUSG00000095550.2 transcript:ENSMUST00000162387.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21671 description:predicted gene, 21671 [Source:MGI Symbol;Acc:MGI:5435026] MALFARLCRLFQRANVEGRETREGRKDADLPSERNEGQRRWTWRMWMAHRQKTSPVPVTS KKQFEKEEKELIKKIQLTTEETNELRDRLIYVTKGSMNKRPYHRQTRHYKELKLKEKEIM TYLHILEMENMEARENKQELKKEKNFYRNLHTRILLEENLIKKKLAILQQESKEIHADWA IIQQRLVELNLSGKDEQEKTSNLETQEHQVSEAARELGLATAEEDSILQNELPCQEAPAE HHPQHPPSSSDESSSDESSYSTCPEWE >ENSMUSP00000018382.6 pep:known chromosome:GRCm38:11:53433299:53437904:1 gene:ENSMUSG00000018238.6 transcript:ENSMUST00000018382.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf9 description:growth differentiation factor 9 [Source:MGI Symbol;Acc:MGI:95692] MALPSNFLLGVCCFAWLCFLSSLSSQASTEESQSGASENVESEADPWSLLLPVDGTDRSG LLPPLFKVLSDRRGETPKLQPDSRALYYMKKLYKTYATKEGVPKPSRSHLYNTVRLFSPC AQQEQAPSNQVTGPLPMVDLLFNLDRVTAMEHLLKSVLLYTLNNSASSSSTVTCMCDLVV KEAMSSGRAPPRAPYSFTLKKHRWIEIDVTSLLQPLVTSSERSIHLSVNFTCTKDQVPED GVFSMPLSVPPSLILYLNDTSTQAYHSWQSLQSTWRPLQHPGQAGVAARPVKEEAIEVER SPRRRRGQKAIRSEAKGPLLTASFNLSEYFKQFLFPQNECELHDFRLSFSQLKWDNWIVA PHRYNPRYCKGDCPRAVRHRYGSPVHTMVQNIIYEKLDPSVPRPSCVPGKYSPLSVLTIE PDGSIAYKEYEDMIATRCTCR >ENSMUSP00000123646.1 pep:known chromosome:GRCm38:4:128883580:128903565:1 gene:ENSMUSG00000041000.8 transcript:ENSMUST00000147852.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trim62 description:tripartite motif-containing 62 [Source:MGI Symbol;Acc:MGI:1914775] MACSLKDELLCSICLSIYQDPVSLGCEHYFCRRCITEHWVRQEAQGARDCPECRRTFAEP ALAPSLKLANIVERYSAFPLDAILNARRAARPCQAHDKVKLFCLTDRALLCFFCDEPALH EQHQVTGIDDAFEELQGPIESGTRN >ENSMUSP00000039121.8 pep:known chromosome:GRCm38:4:128883588:128911328:1 gene:ENSMUSG00000041000.8 transcript:ENSMUST00000035667.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim62 description:tripartite motif-containing 62 [Source:MGI Symbol;Acc:MGI:1914775] MACSLKDELLCSICLSIYQDPVSLGCEHYFCRRCITEHWVRQEAQGARDCPECRRTFAEP ALAPSLKLANIVERYSAFPLDAILNARRAARPCQAHDKVKLFCLTDRALLCFFCDEPALH EQHQVTGIDDAFEELQRELKEQLQALQDSEREHTEALQLLKRQLAETKSSTKSLRTTIGE AFERLHRLLRERQKAMLEELEADTARTLTDIEQKVQRYSQQLRKVQEGAQILQERLAETD RHTFLAGVASLSERLKGKIHETNLTYEDFPTSKYTGPLQYTIWKSLFQDIHPVPAALTMD PGTAHQRLILSDDCTIVAYGNLHPQPLQDSPKRFDVEVSVLGSEAFSSGVHYWEVVVAEK TQWVIGLAHEAASRKGSIQIQPSRGFYCIVMHDGNQYSACTEPWTRLNVRDKLDKVGVFL DYDQGLLIFYNADDMSWLYTFREKFPGKLCSYFSPGQSHANGKNVQPLRINTVRI >ENSMUSP00000125112.1 pep:known chromosome:GRCm38:8:104534700:104550343:1 gene:ENSMUSG00000031883.12 transcript:ENSMUST00000159416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car7 description:carbonic anhydrase 7 [Source:MGI Symbol;Acc:MGI:103100] MSLSITNNGHSVQVDFNDSDDRTVVSGGPLEGPYRLKQLHFHWGKKRDMGSEHTVDGKSF PSELHLVHWNAKKYSTFGEAAAAPDGLAVVGVFLETGDEHPSMNRLTDALYMVRFKDTKA QFSCFNPKCLLPTSRHYWTYPGSLTTPPLSESVTWIVLREPIRISERQMEKFRSLLFTSE DDERIHMVDNFRPPQPLKGRVVKASFQA >ENSMUSP00000052136.4 pep:known chromosome:GRCm38:8:104540807:104550343:1 gene:ENSMUSG00000031883.12 transcript:ENSMUST00000056051.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car7 description:carbonic anhydrase 7 [Source:MGI Symbol;Acc:MGI:103100] MTGHHCWGYGQDDGPSNWHKLYPIAQGDRQSPINIISSQAVYSPSLQPLELFYEACMSLS ITNNGHSVQVDFNDSDDRTVVSGGPLEGPYRLKQLHFHWGKKRDMGSEHTVDGKSFPSEL HLVHWNAKKYSTFGEAAAAPDGLAVVGVFLETGDEHPSMNRLTDALYMVRFKDTKAQFSC FNPKCLLPTSRHYWTYPGSLTTPPLSESVTWIVLREPIRISERQMEKFRSLLFTSEDDER IHMVDNFRPPQPLKGRVVKASFQA >ENSMUSP00000125404.1 pep:known chromosome:GRCm38:8:104541309:104550337:1 gene:ENSMUSG00000031883.12 transcript:ENSMUST00000162761.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car7 description:carbonic anhydrase 7 [Source:MGI Symbol;Acc:MGI:103100] MSLSITNNGHSVQVDFNDSDDRTVVSGGPLEGPYRLKQLHFHWGKKRDMGSEHTVDGKSF PSELHLVHWNAKKYSTFGEAAAAPDGLAVVGVFLETGDEHPSMNRLTDALYMVRFKDTKA QFSCFNPKCLLPTSRHYWTYPGSLTTPPLSESVTWIVLREPIRISERQMEKFRSLLFTSE DDERIHMVDNFRPPQPLKGRVVKASFQA >ENSMUSP00000123025.2 pep:known chromosome:GRCm38:7:19463331:19496762:1 gene:ENSMUSG00000011263.16 transcript:ENSMUST00000137613.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc3l2 description:exocyst complex component 3-like 2 [Source:MGI Symbol;Acc:MGI:1921713] MPILKSLGVGDPKVPRAGTLPLRSSRNPFEDTPTLEEEDAELGTLPNGTSCRRRATLEKL AGLAPFRLGWTSGRRAGSPGDGQVRSFLGRVLAPGIRRSSADFGLLNRLQGFRTHGDEEP AGEAARRLAFLRLGRGPKPRRASLAERLVPAGEAAPEPPPKVPEPPKIKEPLSVLEILGL IQRRELARADEHILELEAEAPTAEAEAGAGRRARDVALLYEALQRELWALVRESLASPGP GTGAVSQLGLVLLQEEAADARRGPGAARKLRTHWAEAVARAARERLEEAAAPGARGALAG QLEALRARLLEDVAAVRGRLAPAYPAGLRAGAVYLRGYHEALAEWLGAAARRRLPLADRY ALLHWHNHVYPREILGLVDLVALENGELGPLLSAGTLRGLEDECVTDVKAQTRAALLRVL QEDEEQWGSMDYRPSNLAQDVCELLEEHTERAPHISQEFVERMAYCCLGGLAEFLQSFQQ RVERFHENPGIRELPSDTYISRTISMVNCGPPLRSLAERLARVGPPESEPAREASAIALD RVTRLCHRVLAELLFQELQPHFNKLMRRKWLSSSEALDGIVGTLGAQALALRRMQDEPYQ VLVAELHRRALVEYVRPLLRGRLRCRSARTRSRVAGRLREDAAQLQRLFRRLESQAYWLD QVVPHLAEILQLEDTPSIQVEVGVLVRDYPDIRRKHVAALLDIRGLRNTAARQEILAVAR DLELSESGALPPSRDRAFFADIPVPRTSFCLGLPLLLGRLPVSRLARPNLACLPLRPRPP SPVRARADR >ENSMUSP00000011407.7 pep:known chromosome:GRCm38:7:19489056:19496760:1 gene:ENSMUSG00000011263.16 transcript:ENSMUST00000011407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc3l2 description:exocyst complex component 3-like 2 [Source:MGI Symbol;Acc:MGI:1921713] MQDEPYQVLVAELHRRALVEYVRPLLRGRLRCRSARTRSRVAGRLREDAAQCSGCFGGWS PRLIGLTKWCHTWQRSYSWRTLRASRWRSGCWCGTTQTSGGSMWQPSLTSEVFETQLPVR RSWRWPGTWNYLKVVPYHPLGTVPSLPTSLCPAHLSVLASLSSWDASQCPGWPGPIWLVC PCGLVLHHQLEPEPIAEAPGAHVAADRPSSLPRDSLPETPTSGIGGSLDNPPSPEHPLQK GN >ENSMUSP00000026043.5 pep:known chromosome:GRCm38:19:47579678:47645246:1 gene:ENSMUSG00000025060.14 transcript:ENSMUST00000026043.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slk description:STE20-like kinase [Source:MGI Symbol;Acc:MGI:103241] MSFFNFRKIFKLGSEKKKKQYEHVKRDLNPEEFWEIIGELGDGAFGKVYKAQNKETNVLA AAKVIDTKSEEELEDYMVEIDILASCDHPNIVKLLDAFYYENNLWILIEFCAGGAVDAVM LELERPLTESQIQVVCKQTLEALNYLHDNKIIHRDLKAGNILFTLDGDIKLADFGVSAKN TRTIQRRDSFIGTPYWMAPEVVMCETSKDRPYDYKADVWSLGITLIEMAEIEPPHHELNP MRVLLKIAKSEPPTLAQPSKWSSNFKDFLRKCLEKNVDARWTTSQLLQHPFVTVDSNKPV RELIAEAKAEVTEEVEDGKEEDEEEEAENALPIPANKRASSDLSIASSEEDKLSQNACIL ESVSERTEQSTSEDKFSNKILNEKPTTDGPEKAVDEHASDVNLETGAELNDQTVGIHENG REKKRPKLENLPDTQDQQTVDVNSVSEENENNRVTLETNTDCLKPEEDRNKENQETLESK LIQSEEINDTHIQTMDLVSQETGEKEADFQAVDNEVGLTKEETQEKLGKDGTAQKVITSD RSSEVGTDEALDDTQKAAELSKAAQSGEGDEALAPTQTLAEKPTEGPEAGGAEEEPPGGE RVEDKQPEQQPAVCEAEGQLTSTSETTRATLEQPETDEVEQVSESNSIEELERLVVTGAE ARALGSEGEAAATEVDLERKENAQKVPVKAESQAPAASQPSEPHPVLIPSININSETTEN KEEMGALPKPETILPPEPEHEKGNDTDSGTGSTVENSSGDLNLSISSFLSKAKDSGSVSL QETRRQKKTLKKTRKFIVDGVEVSVTTSKIVTDSDSKTEELRFLRRQELRELRLLQKEEQ RAQQQLNGKLQQQREQIFRRFEQEMLSKKRQYDQEIENLEKQQKQTIERLEQEHTNRLRD EAKRIKGEQEKELSKFQNVLKNRKKEEQEFVQKQQQELDGSLKKIIQQQKAELANIEREC LNNKQQLMRAREAAIWELEERHLQEKHQLLKQQLKDQYFMQRHQLLKRHEKETEQMQRYN QRLIEELKNRQTQERARLPKIQRSEAKTRMAMFKKSLRINSTATPDQDREKIKQFAAQEE KRQKNERMAQHQKHESQMRDLQLQCEANVRELHQLQNEKCHLLVEHETQKLKELDEEHSQ ELKEWREKLRPRKKTLEEEFARKLQEQEVFFKMTGESECLNPSAQSRISKFYPIPTLHST GS >ENSMUSP00000049977.7 pep:known chromosome:GRCm38:19:47580019:47642455:1 gene:ENSMUSG00000025060.14 transcript:ENSMUST00000051691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slk description:STE20-like kinase [Source:MGI Symbol;Acc:MGI:103241] MSFFNFRKIFKLGSEKKKKQYEHVKRDLNPEEFWEIIGELGDGAFGKVYKAQNKETNVLA AAKVIDTKSEEELEDYMVEIDILASCDHPNIVKLLDAFYYENNLWILIEFCAGGAVDAVM LELERPLTESQIQVVCKQTLEALNYLHDNKIIHRDLKAGNILFTLDGDIKLADFGVSAKN TRTIQRRDSFIGTPYWMAPEVVMCETSKDRPYDYKADVWSLGITLIEMAEIEPPHHELNP MRVLLKIAKSEPPTLAQPSKWSSNFKDFLRKCLEKNVDARWTTSQLLQHPFVTVDSNKPV RELIAEAKAEVTEEVEDGKEEDEEEEAENALPIPANKRASSDLSIASSEEDKLSQNACIL ESVSERTEQSTSEDKFSNKILNEKPTTDGPEKAVDEHASDVNLETGAELNDQTVGIHENG REKKRPKLENLPDTQDQQTVDVNSVSEENENNRVTLETNTDCLKPEEDRNKENQETLESK LIQSEEINDTHIQTMDLVSQETGEKEADFQAVDNEVGLTKEETQEKLGKDGTAQKVITSD RSSEVGTDEALDDTQKAAELSKAAQSGEGDEALAPTQTLAEKPTEGPEAGGAEEEPPGGE RVEDKQPEQQPAVCEAEGQLTSTSETTRATLEQPETDEVEQVSESNSIEELERLVVTGAE ARALGSEGEAAATEVDLERKENAQKVPVKAESQAPAASQPSEPHPVLIPSININSETTEN KEEMGALPKPETILPPEPEHEKGNDTDSGTGSTVENSSGDLNLSISSFLSKAKDSGSVSL QETRRQKKTLKKTRKFIVDGVEVSVTTSKIVTDSDSKTEELRFLRRQELRELRLLQKEEQ RAQQQLNGKLQQQREQIFRRFEQEMLSKKRQYDQEIENLEKQQKQTIERLEQEHTNRLRD EAKRIKGEQEKELSKFQNVLKNRKKEVMNEVEKAPRELRRELTKRRKEELAQSQHAQEQE FVQKQQQELDGSLKKIIQQQKAELANIERECLNNKQQLMRAREAAIWELEERHLQEKHQL LKQQLKDQYFMQRHQLLKRHEKETEQMQRYNQRLIEELKNRQTQERARLPKIQRSEAKTR MAMFKKSLRINSTATPDQDREKIKQFAAQEEKRQKNERMAQHQKHESQMRDLQLQCEANV RELHQLQNEKCHLLVEHETQKLKELDEEHSQELKEWREKLRPRKKTLEEEFARKLQEQEV FFKMTGESECLNPSAQSRISKFYPIPTLHSTGS >ENSMUSP00000112830.1 pep:known chromosome:GRCm38:3:8925593:9004723:-1 gene:ENSMUSG00000027506.15 transcript:ENSMUST00000120143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52 description:tumor protein D52 [Source:MGI Symbol;Acc:MGI:107749] MDRGEQGLLKTEPVAEEGEDAVTMLSAPEALTEEEQEELRRELTKVEEEIQTLSQVLAAK EKHLAELKRKLGISSLQEFKQNIAKGWQDVTATNAYKKTSETLSQAGQKASAAFSSVGSV ITKKLEDVKNSPTFKSFEEKVENLKSKVGGAKPAGGDFGEVLNSTANATSTMTTEPPPEQ MTESP >ENSMUSP00000088914.5 pep:known chromosome:GRCm38:3:8928626:9004478:-1 gene:ENSMUSG00000027506.15 transcript:ENSMUST00000091355.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52 description:tumor protein D52 [Source:MGI Symbol;Acc:MGI:107749] MDRGEQGLLKTEPVAEEGEDAVTMLSAPEALTEEEQEELRRELTKVEEEIQTLSQVLAAK EKHLAELKRKLGISSLQEFKQNIAKGWQDVTATNAYKKTSETLSQAGQKASAAFSSVGSV ITKKLEDVNIRSIQHSISMPAMRNSPTFKSFEEKVENLKSKVGGAKPAGGDFGEVLNSTA NATSTMTTEPPPEQMTESP >ENSMUSP00000088913.5 pep:known chromosome:GRCm38:3:8929598:8964046:-1 gene:ENSMUSG00000027506.15 transcript:ENSMUST00000091354.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52 description:tumor protein D52 [Source:MGI Symbol;Acc:MGI:107749] MECRDMELADDYQSPFDFDSGVNKNYLYLSPSGNTSPPGSPTQNVGLLKTEPVAEEGEDA VTMLSAPEALTEEEQEELRRELTKVEEEIQTLSQVLAAKEKHLAELKRKLGISSLQEFKQ NIAKGWQDVTATNAYKKTSETLSQAGQKASAAFSSVGSVITKKLEDVKNSPTFKSFEEKV ENLKSKVGGAKPAGGDFGEVLNSTANATSTMTTEPPPEQMTESP >ENSMUSP00000091943.4 pep:known chromosome:GRCm38:3:8930788:8964048:-1 gene:ENSMUSG00000027506.15 transcript:ENSMUST00000094381.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52 description:tumor protein D52 [Source:MGI Symbol;Acc:MGI:107749] MECRDMELADDYQSPFDFDSGVNKNYLYLSPSGNTSPPGSPTQNVGLLKTEPVAEEGEDA VTMLSAPEALTEEEQEELRRELTKVEEEIQTLSQVLAAKEKHLAELKRKLGISSLQEFKQ NIAKGWQDVTATNAYKKTSETLSQAGQKASAAFSSVGSVITKKLEDVKLQAFSHSFSIRS IQHSISMPAMRNSPTFKSFEEKVENLKSKVGGAKPAGGDFGEVLNSTANATSTMTTEPPP EQMTESP >ENSMUSP00000113368.1 pep:known chromosome:GRCm38:3:8930892:8993890:-1 gene:ENSMUSG00000027506.15 transcript:ENSMUST00000121038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52 description:tumor protein D52 [Source:MGI Symbol;Acc:MGI:107749] MLSAPEALTEEEQEELRRELTKVEEEIQTLSQVLAAKEKHLAELKRKLGISSLQEFKQNI AKGWQDVTATNAYKKTSETLSQAGQKASAAFSSVGSVITKKLEDVKNSPTFKSFEEKVEN LKSKVGGAKPAGGDFGEVLNSTANATSTMTTEPPPEQMTESP >ENSMUSP00000066826.7 pep:known chromosome:GRCm38:3:8930956:9004439:-1 gene:ENSMUSG00000027506.15 transcript:ENSMUST00000063496.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52 description:tumor protein D52 [Source:MGI Symbol;Acc:MGI:107749] MDRGEQGLLKTEPVAEEGEDAVTMLSAPEALTEEEQEELRRELTKVEEEIQTLSQVLAAK EKHLAELKRKLGISSLQEFKQNIAKGWQDVTATNAYKKTSETLSQAGQKASAAFSSVGSV ITKKLEDVKLQAFSHSFRNSPTFKSFEEKVENLKSKVGGAKPAGGDFGEVLNSTANATST MTTEPPPEQMTESP >ENSMUSP00000123147.1 pep:known chromosome:GRCm38:3:8931064:8962253:-1 gene:ENSMUSG00000027506.15 transcript:ENSMUST00000145905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52 description:tumor protein D52 [Source:MGI Symbol;Acc:MGI:107749] MQKGLLKTEPVAEEGEDAVTMLSAPEALTEEEQEELRRELTKVEEEIQTLSQVLAAKEKH LAELKRKLGISSLQEFKQNIAKGWQDVTATNAYKKTSETLSQAGQKASAAFSSVGSVITK KLEDVKNSPTFKSFEEKVENLKSKVGGAKPAGGDFGEVLNSTANATSTMTTEPPPEQMT >ENSMUSP00000119899.1 pep:known chromosome:GRCm38:3:8931139:9004478:-1 gene:ENSMUSG00000027506.15 transcript:ENSMUST00000134788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52 description:tumor protein D52 [Source:MGI Symbol;Acc:MGI:107749] MDRGEQDNFTFTLCAYNIALLLLVNLRMLSRLLASGVALRVASLSLCGWLSYFFVGLLKT EPVAEEGEDAVTMLSAPEALTEEEQEELRRELTKVEEEIQTLSQVLAAKEKHLAELKRKL GISSLQEFKQNIAKGWQDVTATNAYKKTSETLSQAGQKASAAFSSVGSVITKKLEDVKNS PTFKSFEEKVENLKSKVGGAKPAGGD >ENSMUSP00000119077.1 pep:known chromosome:GRCm38:3:8944696:8988636:-1 gene:ENSMUSG00000027506.15 transcript:ENSMUST00000124956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52 description:tumor protein D52 [Source:MGI Symbol;Acc:MGI:107749] MLSAPEALTEEEQEELRRELTKVEEEIQTLSQVLAAKEKHLAELKRKLGISSLQEFKQNI AKGWQDVTATNAYKKTS >ENSMUSP00000120317.1 pep:known chromosome:GRCm38:3:8947566:9004478:-1 gene:ENSMUSG00000027506.15 transcript:ENSMUST00000155450.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpd52 description:tumor protein D52 [Source:MGI Symbol;Acc:MGI:107749] MDRGEQDNFTFTLCAYNIALLLLVNLRMLSRLLASGVALRVASLSLCGWLSYFFVGQ >ENSMUSP00000090644.4 pep:known chromosome:GRCm38:17:6601671:6609679:1 gene:ENSMUSG00000000579.14 transcript:ENSMUST00000092966.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynlt1c description:dynein light chain Tctex-type 1C [Source:MGI Symbol;Acc:MGI:3807476] MEDFQASEETAFVVDEVSSIVKEAIESAIGGNAYQHSKVNQWTTNVLEQTLSQLTKLGRP FKYIVTCVIMQKNGAGLHSASSCFWDSSTDGSCTVRWENKTMYCIVSTFGLSI >ENSMUSP00000084713.6 pep:known chromosome:GRCm38:6:145615757:145621479:1 gene:ENSMUSG00000067338.6 transcript:ENSMUST00000087445.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba3b description:tubulin, alpha 3B [Source:MGI Symbol;Acc:MGI:1095408] MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGK HVPRAVFVDLEPTVVDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIVDLVLD RIRKLADLCTGLQGFLIFHSFGGGTGSGFASLLMERLSVDYGKKSKLEFAIYPAPQVSTA VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLIGQIVSSITA SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN QMVKCDPRHGKYMACCMLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE AREDLAALEKDYEEVGVDSVEAEAEEGEEY >ENSMUSP00000098856.2 pep:known chromosome:GRCm38:5:35056766:35080964:1 gene:ENSMUSG00000044716.12 transcript:ENSMUST00000101298.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok7 description:docking protein 7 [Source:MGI Symbol;Acc:MGI:3584043] MTEAALVEGQVKLRDGKKWKSRWLVLRKPSPVAGAGVFFLSSAEGEQMSFLFDCIVRGIS PTKGPFGLRPVLPDPSSGGPSASEERVAQEALEALQLEKRLSLLSHSGRPGSGGDDRSLS SSSSEASHSDISASSRLTAWPEQSSSSAGTSQEGPGLVAAQGPGEAMLGASRPPLKPLRP RQLQEVGRQSSSDSGIATGSHSSYSGSFSSYAGSNLDVWRAGEEFGSLLSLPPGASAPEP RLCACPPGAAEYQVPTSLRHHYDTPRSLRQAPRDPSPASQGSSDHGSATDLGGQAPTGCP SSWLGARRRGQATEGPGSDAALPSPSPGESWEAGSPHAGPPPAFFLSCSICGGLKVKPPP >ENSMUSP00000109909.1 pep:known chromosome:GRCm38:5:35056862:35080964:1 gene:ENSMUSG00000044716.12 transcript:ENSMUST00000114270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok7 description:docking protein 7 [Source:MGI Symbol;Acc:MGI:3584043] MTEAALVEGQVKLRDGKKWKSRWLVLRKPSPVADCLLMLVYKDKCERSKGLRERSSLTLE DICGLEPALPYEGLAHTLAIICLSQAVMLGFDSHEAMCAWDTRIRYALGEVHRFHVTVAP GTKLESGPATLHLCNDILVLARDIPPTVMGQWKLSDLRRYGAVPNGFIFEGGTRCGYWAG VFFLSSAEGEQMSFLFDCIVRGISPTKGPFGLRPVLPDPSSGGPSASEERVAQEALEALQ LEKRLSLLSHSGRPGSGGDDRSLSSSSSEASHSDISASSRLTAWPEQSSSSAGTSQEGPG LVAAQGPGEAMLGASRPPLKPLRPRQLQEVGRQSSSDSGIATGSHSSYSGSFSSYAGSNL DVWRAGEEFGSLLSLPPGASAPEPRLCACPPGAAEYQVPTSLRHHYDTPRSLRQAPRDPS PASQGSSDHGSATDLGGQAPTGCPSSWLGARRRGQATEGPGSDAALPSPSPGESWEAGSP HAGPPPAFFLSCSICGGLKVKPPP >ENSMUSP00000116023.1 pep:known chromosome:GRCm38:5:35056888:35066485:1 gene:ENSMUSG00000044716.12 transcript:ENSMUST00000133381.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok7 description:docking protein 7 [Source:MGI Symbol;Acc:MGI:3584043] MTEAALVEGQWKSRWLVLRKPSPVADCLLMLVYKDKCERSKGLRERSSLTLEDICGLEPA LPYEGLAHTLAIICLSQAVMLGFDSHEAMCAWDTRIRYALGEVHRFHVTVAPGT >ENSMUSP00000059538.3 pep:known chromosome:GRCm38:5:35057077:35087839:1 gene:ENSMUSG00000044716.12 transcript:ENSMUST00000050709.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok7 description:docking protein 7 [Source:MGI Symbol;Acc:MGI:3584043] MLVYKDKCERSKGLRERSSLTLEDICGLEPALPYEGLAHTLAIICLSQAVMLGFDSHEAM CAWDTRIRYALGEVHRFHVTVAPGTKLESGPATLHLCNDILVLARDIPPTVMGQWKLSDL RRYGAVPNGFIFEGGTRCGYWAGVFFLSSAEGEQMSFLFDCIVRGISPTKGPFGLRPVLP DPSSGGPSASEERVAQEALEALQLEKRLSLLSHSGRPGSGGDDRSLSSSSSEASHSDISA SSRLTAWPEQSSSSAGTSQEGPGLVAAQGPGEAMLGASRPPLKPLRPRQLQEVGRQSSSD SGIATGSHSSYSGSFSSYAGSNLDVWRAGEEFGSLLSLPPGASAPEPRLCACPPGAAEYQ VPTSLRHHYDTPRSLRQAPRDPSPASQGSSDHGSATDLGGQAPTGCPSSWLGARRRGQAT EGPGSDAALPSPSPGESWEAGSPHAGPPPAFFLSCSICGGLKVMATSSPGFTVTHPGSPG RVAADSPGPERPHSEMPTYVNIPISPISRPQLHYMDLELPGASAGVRGASTSRYAQIDIA ATETAHRVGVRHAQTREERLPELEQRKKGP >ENSMUSP00000029698.8 pep:known chromosome:GRCm38:3:88549819:88553024:-1 gene:ENSMUSG00000028062.15 transcript:ENSMUST00000029698.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamtor2 description:late endosomal/lysosomal adaptor, MAPK and MTOR activator 2 [Source:MGI Symbol;Acc:MGI:1932697] MLRPKALTQVLSQANTGGVQSTLLLNNEGSLLAYSGYGDTDARVTAAIASNIWAAYDRNG NQAFNEDSLKFILMDCMEGRVAITRVANLLLCMYAKETVGFGMLKAKAQALVQYLEEPLT QVAAS >ENSMUSP00000112936.1 pep:known chromosome:GRCm38:3:88549820:88552859:-1 gene:ENSMUSG00000028062.15 transcript:ENSMUST00000119002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamtor2 description:late endosomal/lysosomal adaptor, MAPK and MTOR activator 2 [Source:MGI Symbol;Acc:MGI:1932697] MDCMEGRVAITRVANLLLCMYAKETVGFGMLKAKAQALVQYLEEPLTQVAAS >ENSMUSP00000119535.1 pep:known chromosome:GRCm38:11:5703983:5707687:-1 gene:ENSMUSG00000020477.10 transcript:ENSMUST00000154330.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps24 description:mitochondrial ribosomal protein S24 [Source:MGI Symbol;Acc:MGI:1928142] MAWSASVRGLGQRVLACSRELPGAWRTLHTSAVCAKNRAARVRVAKGNKPVSYEEAHAPH YIAHRKGWLSLHTGNLDGEDHAAERTLEDVFLRKFMMGTFPGCLADQIVLKRRANQVDIC ALVLRQLPAHKFYFLVGYSETLLSHFYKCPVRLHLQTVPSKVVYKYI >ENSMUSP00000131566.1 pep:known chromosome:GRCm38:14:32201949:32295649:1 gene:ENSMUSG00000021911.15 transcript:ENSMUST00000163350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parg description:poly (ADP-ribose) glycohydrolase [Source:MGI Symbol;Acc:MGI:1347094] MSAGPGWEPCTKRPRWGAAGTSAPTASDSRSFPGRQRRVLDPKDAPVQFRVPPSSPACVS GRAGPHRGNATSFVFKQKTITTWMDTKGPKTAESESKENNNTRIDSMMSSVQKDNFYPHK VEKLENVPQLNLDKSPTEKSSQYLNQQQTASVCKWQNEGKHAEQLLASEPPAGTPLPKQL SNANIGQSPHTDDHSDTDHEEDRDNQQFLTPIKLANTKPTVGDGQARSNCKCSGSRQSVK DCTGCQQEEVDVLPESPLSDVGAEDIGTGPKNDNKLTGQESSLGDSPPFEKESEPESPMD VDNSKNSCQDSEADEETSPVFDEQDDRSSQTANKLSSCQAREADGDLRKRYLTKGSEVRL HFQFEGENNAGTSDLNAKPSGNSSSLNVECRSSKQHGKRDSKITDHFMRISKSEDRRKEQ CEVRHQRTERKIPKYIPPNLPPEKKWLGTPIEEMRKMPRCGIHLPSLRPSASHTVTVRVD LLRAGEVPKPFPTHYKDLWDNKHVKMPCSEQNLYPVEDENGERTAGSRWELIQTALLNKF TRPQNLKDAILKYNVAYSKKWDFTALVDFWDKVLEEAEAQHLYQSILPDMVKIALCLPNI CTQPIPLLKQKMNHSVTMSQEQIASLLANAFFCTFPRRNAKMKSEYSSYPDINFNRLFEG RSSRKPEKLKTLFCYFRRVTEKKPTGLVTFTRQSLEDFPEWERCEKPLTRLHVTYEGTIE GNGRGMLQVDFANRFVGGGVTGAGLVQEEIRFLINPELIVSRLFTEVLDHNECLIITGTE QYSEYTGYAETYRWARSHEDGSEKDDWQRRCTEIVAIDALHFRRYLDQFVPEKVRRELNK AYCGFLRPGVPSENLSAVATGNWGCGAFGGDARLKALIQILAAAAAERDVVYFTFGDSEL MRDIYSMHTFLTERKLDVGE >ENSMUSP00000132454.1 pep:known chromosome:GRCm38:14:32201949:32297220:1 gene:ENSMUSG00000021911.15 transcript:ENSMUST00000170840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parg description:poly (ADP-ribose) glycohydrolase [Source:MGI Symbol;Acc:MGI:1347094] MRKMPRCGIHLPSLRPSASHTVTVRVDLLRAGEVPKPFPTHYKDLWDNKHVKMPCSEQNL YPVEDENGERTAGSRWELIQTALLNKFTRPQNLKDAILKYNVAYSKKWDFTALVDFWDKV LEEAEAQHLYQSILPDMVKIALCLPNICTQPIPLLKQKMNHSVTMSQEQIASLLANAFFC TFPRRNAKMKSEYSSYPDINFNRLFEGRSSRKPEKLKTLFCYFRRVTEKKPTGLVTFTRQ SLEDFPEWERCEKPLTRLHVTYEGTIEGNGRGMLQVDFANRFVGGGVTGAGLVQEEIRFL INPELIVSRLFTEVLDHNECLIITGTEQYSEYTGYAETYRWARSHEDGSEKDDWQRRCTE IVAIDALHFRRYLDQFVPEKVRRELNKAYCGFLRPGVPSENLSAVATGNWGCGAFGGDAR LKALIQILAAAAAERDVVYFTFGDSELMRDIYSMHTFLTERKLDVGKVYKLLLRYYNEEC RNCSTPGPDIKLYPFIYHAVESSAETTD >ENSMUSP00000022470.8 pep:known chromosome:GRCm38:14:32201949:32297550:1 gene:ENSMUSG00000021911.15 transcript:ENSMUST00000022470.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parg description:poly (ADP-ribose) glycohydrolase [Source:MGI Symbol;Acc:MGI:1347094] MSAGPGWEPCTKRPRWGAAGTSAPTASDSRSFPGRQRRVLDPKDAPVQFRVPPSSPACVS GRAGPHRGNATSFVFKQKTITTWMDTKGPKTAESESKENNNTRIDSMMSSVQKDNFYPHK VEKLENVPQLNLDKSPTEKSSQYLNQQQTASVCKWQNEGKHAEQLLASEPPAGTPLPKQL SNANIGQSPHTDDHSDTDHEEDRDNQQFLTPIKLANTKPTVGDGQARSNCKCSGSRQSVK DCTGCQQEEVDVLPESPLSDVGAEDIGTGPKNDNKLTGQESSLGDSPPFEKESEPESPMD VDNSKNSCQDSEADEETSPVFDEQDDRSSQTANKLSSCQAREADGDLRKRYLTKGSEVRL HFQFEGENNAGTSDLNAKPSGNSSSLNVECRSSKQHGKRDSKITDHFMRISKSEDRRKEQ CEVRHQRTERKIPKYIPPNLPPEKKWLGTPIEEMRKMPRCGIHLPSLRPSASHTVTVRVD LLRAGEVPKPFPTHYKDLWDNKHVKMPCSEQNLYPVEDENGERTAGSRWELIQTALLNKF TRPQNLKDAILKYNVAYSKKWDFTALVDFWDKVLEEAEAQHLYQSILPDMVKIALCLPNI CTQPIPLLKQKMNHSVTMSQEQIASLLANAFFCTFPRRNAKMKSEYSSYPDINFNRLFEG RSSRKPEKLKTLFCYFRRVTEKKPTGLVTFTRQSLEDFPEWERCEKPLTRLHVTYEGTIE GNGRGMLQVDFANRFVGGGVTGAGLVQEEIRFLINPELIVSRLFTEVLDHNECLIITGTE QYSEYTGYAETYRWARSHEDGSEKDDWQRRCTEIVAIDALHFRRYLDQFVPEKVRRELNK AYCGFLRPGVPSENLSAVATGNWGCGAFGGDARLKALIQILAAAAAERDVVYFTFGDSEL MRDIYSMHTFLTERKLDVGKVYKLLLRYYNEECRNCSTPGPDIKLYPFIYHAVESSAETT D >ENSMUSP00000128629.1 pep:known chromosome:GRCm38:14:32201971:32209233:1 gene:ENSMUSG00000021911.15 transcript:ENSMUST00000171279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parg description:poly (ADP-ribose) glycohydrolase [Source:MGI Symbol;Acc:MGI:1347094] MDTKGPKTAESESKENNNTRIDSMMSSVQKDNFYPHKVEKLENVPQLNLDKSPTEKSSQY LNQQQTASVCKWQNEGKHAEQLLASEPPAGTPLPKQLSNANIGQSPHTDDHSDTDHEEDR DNQQFLTPIKLANTKPTVGDGQARSNCKCSGSRQSVKDCTGCQQEEVDVLPESPLSDVGA EDIGTGP >ENSMUSP00000127362.1 pep:known chromosome:GRCm38:14:32202032:32297220:1 gene:ENSMUSG00000021911.15 transcript:ENSMUST00000170129.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parg description:poly (ADP-ribose) glycohydrolase [Source:MGI Symbol;Acc:MGI:1347094] MSAGPGWEPCTKRPRWGAAGTSAPTASDSRSFPGRQRRVLDPKDAPVQFRVPPSSPACVS GRAGPHRGNATSFGRPSESRRGSETFSNTLQRFVG >ENSMUSP00000130178.1 pep:known chromosome:GRCm38:14:32214399:32242260:1 gene:ENSMUSG00000021911.15 transcript:ENSMUST00000167699.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parg description:poly (ADP-ribose) glycohydrolase [Source:MGI Symbol;Acc:MGI:1347094] MPCSEQNLYPVEDENGERTAGSRWELIQTALLNKFTRPQNLKV >ENSMUSP00000109994.3 pep:known chromosome:GRCm38:X:74013914:74023918:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000114354.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] MAGGPGPGEPVVPGAQHFLYEVPPWVMCRFYKVMDALEPADWCQFAALIVRDQTELRLCE RSEQRTASVLWPWINRNARVADLVHILTHLQLLRARDIITAWHPPAPVVPPSTAAPRPSS ISAGSEAGDWSPRKLQSSASTFLSPAFPGSQTHSESELLQVPLPVSLGPPLPSSAPSSTK QSSPESPVSGLQRAHPSPFCWPFCEISQGTCNFSEELRIGEGGFGCVYRAVMRNTTYAVK RLKEEADLEWTMVKQSFLTEVEQLSRFRHPNIVDFAGYCAESGLYCLVYGFLPNGSLEDQ LHLQTQACSPLSWPQRLDILLGTARAIQFLHQDSPSLIHGDIKSSNVLLDERLMPKLGDF GLARFSRFAGAKASQSSTVARTSTVRGTLAYLPEEYIKTGRLAVDTDTFSFGVVILETLA GQRAVRTQGAKTKYLKDLIEDEAEEAGVTLKSTQPTLWVGVATDAWAAPIAAQIYKKHLD SRPGPCPPQLGLALAQLACCCMHRRAKKRPPMTQVYKRLEGLQAGPPWELEVAGHGSPSP QENSYMSTTGSAQSGDEPWQPLVVTTRAPAQAAQQLQRSPNQPVESDESVPGLSATLHSW HLTPGSHPSPASFREASCTQGGTTRESSVRSSPGFQPTTMEGSPTGSSSLLSSEPPQIII NPARQKMVQKLALYEEGVLDSLQLLSSGFFPGLDLEPEKSQGPEESDEFQS >ENSMUSP00000109992.1 pep:known chromosome:GRCm38:X:74013918:74023915:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000114352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] MAGGPGPGEPVVPGAQHFLYEVPPWVMCRFYKVMDALEPADWCQFAALIVRDQTELRLCE RSEQRTASVLWPWINRNARVADLVHILTHLQLLRARDIITAWHPPAPVVPPSTAAPRPSS ISAGSEAGDWSPRKLQSSASTFLSPAFPGSQTHSESELLQVPLPVSLGPPLPSSAPSSTK SSPESPVSGLQRAHPSPFCWPFCEISQGTCNFSEELRIGEGGFGCVYRAVMRNTTYAVKR LKEEADLEWTMVKQSFLTEVEQLSRFRHPNIVDFAGYCAESGLYCLVYGFLPNGSLEDQL HLQTQACSPLSWPQRLDILLGTARAIQFLHQDSPSLIHGDIKSSNVLLDERLMPKLGDFG LARFSRFAGAKASQSSTVARTSTVRGTLAYLPEEYIKTGRLAVDTDTFSFGVVILETLAG QRAVRTQGAKTKYLKDLIEDEAEEAGVTLKSTQPTLWVGVATDAWAAPIAAQIYKKHLDS RPGPCPPQLGLALAQLACCCMHRRAKKRPPMTQVYKRLEGLQAGPPWELEVAGHGSPSPQ ENSYMSTTGSAQSGDEPWQPLVVTTRAPAQAAQQLQRSPNQPVESDESVPGLSATLHSWH LTPGSHPSPASFREASCTQGGTTRESSVRSSPGFQPTTMEGSPTGSSSLLSSEPPQIIIN PARQKMVQKLALYEEGVLDSLQLLSSGFFPGLDLEPEKSQGPEESDEFQS >ENSMUSP00000064448.5 pep:known chromosome:GRCm38:X:74013926:74023915:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000068286.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] MAGGPGPGEPVVPGAQHFLYEVPPWVMCRFYKVMDALEPADWCQFAALIVRDQTELRLCE RSEQRTASVLWPWINRNARVADLVHILTHLQLLRARDIITAWHPPAPVVPPSTAAPRPSS ISAGSEAGDWSPRKLQSSASTFLSPAFPGSQTHSESELLQVPLPVSLGPPLPSSAPSSTK SSPESPVSGLQRAHPSPFCWPFCEISQGTCNFSEELRIGEGGFGCVYRAVMRNTTYAVKR LKEEADLEWTMVKQSFLTEVEQLSRFRHPNIVDFAGYCAESGLYCLVYGFLPNGSLEDQL HLQTQACSPLSWPQRLDILLGTARAIQFLHQDSPSLIHGDIKSSNVLLDERLMPKLGDFG LARFSRFAGAKASQSSTVARTSTVRGTLAYLPEEYIKTGRLAVDTDTFSFGVVILETLAG QRAVRTQGAKTKYLKDLIEDEAEEAGVTLKSTQPTLWVGVATDAWAAPIAAQIYKKHLDS RPGPCPPQLGLALAQLACCCMHRRAKKRPPMTQVYKRLEGLQAGPPWELEVAGHGSPSPQ ENSYMSTTGSAQSGDEPWQPLVVTTRAPAQAAQQLQRSPNQPVESDESVPGLSATLHSWH LTPGSHPSPASFREASCTQGGTTRESSVRSSPGFQPTTMEGSPTGSSSLLSSEPPQIIIN PARQKMVQKLALYEEGVLDSLQLLSSGFFPGLDLEPEKSQGPEESDEFQS >ENSMUSP00000110000.3 pep:known chromosome:GRCm38:X:74013926:74023915:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000114360.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] MAGGPGPGEPVVPGAQHFLYEVPPWVMCRFYKVMDALEPADWCQFAALIVRDQTELRLCE RSEQRTASVLWPWINRNARVADLVHILTHLQLLRARDIITAWHPPAPVVPPSTAAPRPSS ISAGSEAGDWSPRKLQSSASTFLSPAFPGSQTHSESELLQVPLPVSLGPPLPSSAPSSTK SSPESPVSGLQRAHPSPFCWPFCEISQGTCNFSEELRIGEGGFGCVYRAVMRNTTYAVKR LKEEADLEWTMVKQSFLTEVEQLSRFRHPNIVDFAGYCAESGLYCLVYGFLPNGSLEDQL HLQTQACSPLSWPQRLDILLGTARAIQFLHQDSPSLIHGDIKSSNVLLDERLMPKLGDFG LARFSRFAGAKASQSSTVARTSTVRGTLAYLPEEYIKTGRLAVDTDTFSFGVVILETLAG QRAVRTQGAKTKYLKDLIEDEAEEAGVTLKSTQPTLWVGVATDAWAAPIAAQIYKKHLDS RPGPCPPQLGLALAQLACCCMHRRAKKRPPMTQVYKRLEGLQAGPPWELEVAGHGSPSPQ ENSYMSTTGSAQSGDEPWQPLVVTTRAPAQAAQQLQRSPNQPVESDESVPGLSATLHSWH LTPGSHPSPASFREASCTQGGTTRESSVRSSPGFQPTTMEGSPTGSSSLLSSEPPQIIIN PARQKMVQKLALYEEGVLDSLQLLSSGFFPDFVDIDAIGIEAFMSELFINHI >ENSMUSP00000033769.8 pep:known chromosome:GRCm38:X:74014971:74023913:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000033769.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] MAGGPGPGEPVVPGAQHFLYEVPPWVMCRFYKVMDALEPADWCQFAALIVRDQTELRLCE RSEQRTASVLWPWINRNARVADLVHILTHLQLLRARDIITAWHPPAPVVPPSTAAPRPSS ISAGSEAGDWSPRKLQSSASTFLSPAFPGSQTHSESELLQVPLPVSLGPPLPSSAPSSTK QSSPESPVSGLQRAHPSPFCWPFCEISQGTCNFSEELRIGEGGFGCVYRAVMRNTTYAVK RLKEEADLEWTMVKQSFLTEVEQLSRFRHPNIVDFAGYCAESGLYCLVYGFLPNGSLEDQ LHLQTQACSPLSWPQRLDILLGTARAIQFLHQDSPSLIHGDIKSSNVLLDERLMPKLGDF GLARFSRFAGAKASQSSTVARTSTVRGTLAYLPEEYIKTGRLAVDTDTFSFGVVILETLA GQRAVRTQGAKTKYLKDLIEDEAEEAGVTLKSTQPTLWVGVATDAWAAPIAAQIYKKHLD SRPGPCPPQLGLALAQLACCCMHRRAKKRPPMTQRQNSQHSLLALWLYLGRCSVELSGKG LLLCWMSLRSQEQVYKRLEGLQAGPPWELEVAGHGSPSPQENSYMSTTGSAQSGDEPWQP LVVTTRAPAQAAQQLQRSPNQPVESDESVPGLSATLHSWHLTPGSHPSPASFREASCTQG GTTRESSVRSSPGFQPTTMEGSPTGSSSLLSSEPPQIIINPARQKMVQKLALYEEGVLDS LQLLSSGFFPGLDLEPEKSQGPEESDEFQS >ENSMUSP00000122519.1 pep:known chromosome:GRCm38:X:74015358:74018334:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000148317.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] XGVTLKSTQPTLWVGVATDAWAAPIAAQIYKKHLDSRPGPCPPQLGLALAQLACCCMHRR AKKRPPMTQVYKRLEGLQAGPPWELEVAGHGSPSPQENSYMSTTGSAQSGDEPWQPLVVT TRAPAQAAQQLQRSPNQPVESDESVPGLSATLHSWHLTPGSHPSPASFREASCTQGGTTR ESSVRSSPGFQPTTMEGLDLEPEKSQGPEESDEFQS >ENSMUSP00000118570.1 pep:known chromosome:GRCm38:X:74015372:74019941:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000128436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] QRAVRTQGAKTKYLKDLIEDEAEEAGVTLKSTQPTLWVGVATDAWAAPIAAQIYKKHLDS RPGPCPPQLGLALAQLACCCMHRRAKKRPPMTQAHPRAAHPCCHQNHHRSSSTQPDRRWY KSWLFMKKGSWIACNCCHQAFSQAWI >ENSMUSP00000109993.3 pep:known chromosome:GRCm38:X:74015440:74023745:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000114353.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] MAGGPGPGEPVVPGAQHFLYEVPPWVMCRFYKVMDALEPADWCQFAALIVRDQTELRLCE RSEQRTASVLWPWINRNARVADLVHILTHLQLLRARDIITAWHPPAPVVPPSTAAPRPSS ISAGSEAGDWSPRKLQSSASTFLSPAFPGSQTHSESELLQVPLPVSLGPPLPSSAPSSTK SSPESPVSGLQRAHPSPFCWPFCEISQGTCNFSEELRIGEGGFGCVYRAVMRNTTYAVKR LKEEADLEWTMVKQSFLTEVEQLSRFRHPNIVDFAGYCAESGLYCLVYGFLPNGSLEDQL HLQTQACSPLSWPQRLDILLGTARAIQFLHQDSPSLIHGDIKSSNVLLDERLMPKLGDFG LARFSRFAGAKASQSSTVARTSTVRGTLAYLPEEYIKTGRLAVDTDTFSFGVVILETLAG QRAVRTQGAKTKYLVYKRLEGLQAGPPWELEVAGHGSPSPQENSYMSTTGSAQSGDEPWQ PLVVTTRAPAQAAQQLQRSPNQPVESDESVPGLSATLHSWHLTPGSHPSPASFREASCTQ GGTTRESSVRSSPGFQPTTMEGSPTGSSSLLSSEPPQIIINPARQKMVQKLALYEEGVLD SLQLLSSGFFPGLDLEPEKSQGPEESDEFQS >ENSMUSP00000099001.2 pep:known chromosome:GRCm38:X:74015440:74023745:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000101458.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] MAGGPGPGEPVVPGAQHFLYEVPPWVMCRFYKVMDALEPADWCQFAALIVRDQTELRLCE RSEQRTASVLWPWINRNARVADLVHILTHLQLLRARDIITAWHPPAPVVPPSTAAPRPSS ISAGSEAGDWSPRKLQSSASTFLSPAFPGSQTHSESELLQVPLPVSLGPPLPSSAPSSTK SSPESPVSGLQRAHPSPFCWPFCEISQGTCNFSEELRIGEGGFGCVYRAVMRNTTYAVKR LKEEADLEWTMVKQSFLTEVEQLSRFRHPNIVDFAGYCAESGLYCLVYGFLPNGSLEDQL HLQTQACSPLSWPQRLDILLGTARAIQFLHQDSPSLIHGDIKSSNVLLDERLMPKLGDFG LARFSRFAGAKASQSSTVARTSTVRGTLAYLPEEYIKTGRLAVDTDTFSFGVVILETLAG QRAVRTQGAKTKYLKDLIEDEAEEAGVTLKSTQPTLWVGVATDAWAAPIAAQIYKKHLDS RPGPCPPQLGLALAQLACCCMHRRAKKRPPMTQENSYMSTTGSAQSGDEPWQPLVVTTRA PAQAAQQLQRSPNQPVESDESVPGLSATLHSWHLTPGSHPSPASFREASCTQGGTTRESS VRSSPGFQPTTMEGSPTGSSSLLSSEPPQIIINPARQKMVQKLALYEEGVLDSLQLLSSG FFPGLDLEPEKSQGPEESDEFQS >ENSMUSP00000121016.1 pep:known chromosome:GRCm38:X:74016548:74020988:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000138397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] XLHQDSPSLIHGDIKSSNVLLDERLMPKLGDFGLARFSRFAGAKASQSSTVARTSTVRGT LAYLPEEYIKTGRLAVDTDTFSFGVVILETLAGQRAVRTQGAKTKYLENSYMSTTGSAQS GDEPWQPLVVTTRAPAQAAQQLQRSPNQPVESDESVPGLSATLHSWHLTPGSHPSPASFR EASCTQGGTTRESSVRSSPGFQPTTMEGSPTGSSSLLSSEPPQIIINPARQKMVQKLALY EEGVLDSLQLLSSGFFP >ENSMUSP00000115508.1 pep:known chromosome:GRCm38:X:74017130:74022496:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000126583.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] YAVKRLKEEADLEWTMVKQSFLTEVEQLSRFRHPNIVDFAGYCAESGLYCLVYGFLPNGS LEDQLHLQTQACSPLSWPQRLDILLGTARAIQFLHQDSPSLIHGDIKSSNVLLDERLMPK LGDFGLARFSRFAGAKASQSSTVARTSTVRGTLAYLPEEYIKTGRLAVDTDTFSFGVKDL IEDEAEEAGVTLKSTQPTLWVGVATDAWAAPIAAQIYKKHLDSRPGPCPPQLGLALAQLA CCCMHRRAKKRPPMTQVYKRLEGLQAGPPWELEVAGHGSPSPQENSYMSTTGSAQSGDEP WQPLVVTTRAPAQAAQQLQRSPNQPVESDESVPGLSATLHSWHLTPGSHPSPASFREASC TQGGTTRESSVRSSPGFQPTTME >ENSMUSP00000117042.1 pep:known chromosome:GRCm38:X:74021000:74023091:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000132144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] XSASTFLSPAFPGSQTHSESELLQVPLPVSLGPPLPSSAPSSTKQSSPESPVSGLQRAHP SPFCWPFCEISQGTCNFSEELRIGEGGFGCVYRAVMRNTTYAVKRLKETQACSPLSWPQR LDILLGTAR >ENSMUSP00000117379.1 pep:known chromosome:GRCm38:X:74022187:74023173:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000124580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] XPSTAAPRPSSISAGSEAGDWSPRKLQSSASTFLSPAFPGSQTHSESELLQVPLPVSLGP PLPSSAPSSTKSSPESPVSGLQRAHPSPFCWPFCEISQGTCNFSEELRIGEGGFGCVYRA VMRNTTYAVKRLKESPASPSNSFKDPKPSIDLGLETTGKLGSCHLLSLPLTPPCLGLQEA DLEWTMVKQSFLTEVEQLS >ENSMUSP00000116167.1 pep:known chromosome:GRCm38:X:74022229:74022944:-1 gene:ENSMUSG00000031392.18 transcript:ENSMUST00000124417.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1 description:interleukin-1 receptor-associated kinase 1 [Source:MGI Symbol;Acc:MGI:107420] GSQTHSESELLQVPLPVSLGPPLPSSAPSSTKQSSPESPVSGLQRAHPSPFCWPFCEISQ GTCNFSEELRIGEGGFGCVYRAVMRNTTYAVKRLKESPASPSNSFKDPKPSIDLGLETTG KLGSCHLLSLPLTPPCLGLQEADLEWT >ENSMUSP00000092401.5 pep:known chromosome:GRCm38:7:16944682:16948828:1 gene:ENSMUSG00000070802.5 transcript:ENSMUST00000094807.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnmal2 description:PNMA-like 2 [Source:MGI Symbol;Acc:MGI:3645856] MTMNLLQDWCKELEVEVHRALLITGIPERLQQADIEATLSPNLQPLGNYRLRTVRAVTKE KSQAALVEFGADFDHSAIPIHIRGENGIWKILSKDRGQDARVLRQMRRLLLDERPMDDFR EIAIPLVLEAQAQAKGLGKEAKKAGSSEGAARSGRRGRRGGKRRSRSHKLTAQKGQKRSR GGRRARSESEDSSDDSLGIVIEEIYAEDLSVDEDQRALYATLQAAAKELTKKWAFRGDQE EGDGPREFLALVTVTDKAKKEEIEKDLPGTESICLNIKDEKSGVPDLVALLAVRDTPAVE VETEVDDDDEDDEDEDDEDDDEDEDDDEDDSDSESLENGEHGKEVMDSPEFVAIVAYTDP ADPSAREEMLKIASVIETLGWGDKKDKKDVLPQVLSVMAKDTSGPRVKVEEAGRQVDAMV LRKAEEDGNLLECISTLAEAENCPKGKKSGLGLLRGWTAEGHQGGLLELVALLAAQDMVE AVREEEASRWGSGGSGGRKCDHSQGGLSEVLAFLASQENLESNEESDEDSDTESEGDSED TDSEESEPGDSVSKKPRAKRARTGSKGLPPAGATAVSTASRARKARRGGRGRGRAVTPEK KTGSGVSTEDHAGNNNKKKKGSAGAGARARAGEAKGQPAAVPKSTRGKKARRGPRRAPKC R >ENSMUSP00000104723.1 pep:known chromosome:GRCm38:2:174284320:174296895:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000109095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MDRRSRAQQWRRARHNYNDLCPPIGRRAATALLWLSCSIALLRALASSNARAQQRAAQRR SFLNAHHRSAAAAAAAQVLPESSESESDHEHEEVEPELARPECLEYDQDDYETETDSETE PESDIESETEIETEPETEPETEPETEPEDERGPRGATFNQSLTQRLHALKLQSADASPRR AQPTTQEPESASEGEEPQRGPLDQDPRDPEEEPEERKEENRQPRRCKTRRPARRRDQSPE SPPRKGPIPIRRH >ENSMUSP00000104724.2 pep:known chromosome:GRCm38:2:174284320:174346744:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000109096.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MDRRSRAQQWRRARHNYNDLCPPIGRRAATALLWLSCSIALLRALASSNARAQQRAAQRR SFLNAHHRSAAAAAAAQVLPESSESESDHEHEEVEPELARPECLEYDQDDYETETDSETE PESDIESETEIETEPETEPETEPETEPEDERGPRGATFNQSLTQRLHALKLQSADASPRR AQPTTQEPESASEGEEPQRGPLDQDPRDPEEEPEERKEENRQPRRCKTRRPARRRDQSPE SPPRKGPIPIRRH >ENSMUSP00000118210.1 pep:known chromosome:GRCm38:2:174285256:174345540:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000130940.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] EEPQRGPLDQDPRDPEEEPEERKEENRQPRRCKTRRPARRRDQSPESPPRKGPIPIRRH >ENSMUSP00000122230.1 pep:known chromosome:GRCm38:2:174285358:174338084:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000130761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] RRPARRRDQSPESPPRKGPIPIRRH >ENSMUSP00000085185.4 pep:known chromosome:GRCm38:2:174297859:174302018:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000087877.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MGMFNCLHGNNMSGQHDIPPEVGEQPEQEPLEAPGAAAPGAGAGPAEEMATEPDSEPSNN EPVPDETGSEISGPPEDSKSDIQSPCQAFEEVRVGGDYSPPPEEAMPFETQQPSLGDFWP TLEQPGPSGTPSGLQAFNPAILEPGTPTGASPGLGAYTPPPEEAMPFEFNEPAQGDHSQP PLQVPDLAPGGPEALVPRALPAEPGNIRFENAGFREDYSPPPEESVPFQVGGEEFGGDSP PPGLPRVIPQIGIGGEFPTVAVPSALCLAPAENAPPLWVRGAIDRPFREAVRSPPNFACD SPPMEITRPLLEIGRASIGVDDDTAVNMDSPPIASDGPPIEVSGAPDKSECAERPPVERE AAEMEGSPTTATAVEGKVPSPERGDGSSTQPEAMDAKPAPAAQAVSTGSDAGAPTDSAML TDSQSDAGEDGTAPGTPSDLQSDPEELEEAPAVRADPDGGAAPVAPATPAESESEGSRDP AAEPASEAVPATTAESASGAAPVTQVEPAAAAVSATLAEPAARAAPITPKEPTTRAVPSA RAHPAAGAVPGAPAMSASARAAAARAAYAGPLVWGARSLSATPAARASLPARAAAAARAA SAARAVAAGRSASAAPSRAHLRPPSPEIQVADPPTPRPPPRPTAWPDKYERGRSCCRYEA SSGICEIESSSDESEEGATGCFQWLLRRNRRPGLPRSHTVGSNPVRNFFTRAFGSCFGLS ECTRSRSLSPGKAKDPMEERRKQMRKEAIEMREQKRADKKRSKLIDKQLEEEKMDYMCTH RLLLLGRKVVPSDTEGRYRPEASASASDRRLDRRGREVSPELLGWALRGSPGSIVRDRGG LGPSGCAPPPRLARLLRLRQLVVGVCWCPFSVFACA >ENSMUSP00000079341.5 pep:known chromosome:GRCm38:2:174297859:174346502:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000080493.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MGMFNCLHGNNMSGQHDIPPEVGEQPEQEPLEAPGAAAPGAGAGPAEEMATEPDSEPSNN EPVPDETGSEISGPPEDSKSDIQSPCQAFEEVRVGGDYSPPPEEAMPFETQQPSLGDFWP TLEQPGPSGTPSGLQAFNPAILEPGTPTGASPGLGAYTPPPEEAMPFEFNEPAQGDHSQP PLQVPDLAPGGPEALVPRALPAEPGNIRFENAGFREDYSPPPEESVPFQVGGEEFGGDSP PPGLPRVIPQIGIGGEFPTVAVPSALCLAPAENAPPLWVRGAIDRPFREAVRSPPNFACD SPPMEITRPLLEIGRASIGVDDDTAVNMDSPPIASDGPPIEVSGAPDKSECAERPPVERE AAEMEGSPTTATAVEGKVPSPERGDGSSTQPEAMDAKPAPAAQAVSTGSDAGAPTDSAML TDSQSDAGEDGTAPGTPSDLQSDPEELEEAPAVRADPDGGAAPVAPATPAESESEGSRDP AAEPASEAVPATTAESASGAAPVTQVEPAAAAVSATLAEPAARAAPITPKEPTTRAVPSA RAHPAAGAVPGAPAMSASARAAAARAAYAGPLVWGARSLSATPAARASLPARAAAAARAA SAARAVAAGRSASAAPSRAHLRPPSPEIQVADPPTPRPPPRPTAWPDKYERGRSCCRYEA SSGICEIESSSDESEEGATGCFQWLLRRNRRPGLPRSHTVGSNPVRNFFTRAFGSCFGLS ECTRSRSLSPGKAKDPMEERRKQMRKEAIEMREQKRADKKRSKLIDKQLEEEKMDYMCTH RLLLLGAGESGKSTIVKQMRILHVNGFNGEGGEEDPQAARSNSDGEKATKVQDIKNNLKE AIETIVAAMSNLVPPVELANPENQFRVDYILSVMNVPNFDFPPEFYEHAKALWEDEGVRA CYERSNEYQLIDCAQYFLDKIDVIKQADYVPSDQDLLRCRVLTSGIFETKFQVDKVNFHM FDVGGQRDERRKWIQCFNDVTAIIFVVASSSYNMVIREDNQTNRLQEALNLFKSIWNNRW LRTISVILFLNKQDLLAEKVLAGKSKIEDYFPEFARYTTPEDATPEPGEDPRVTRAKYFI RDEFLRISTASGDGRHYCYPHFTCAVDTENIRRVFNDCRDIIQRMHLRQYELL >ENSMUSP00000104716.1 pep:known chromosome:GRCm38:2:174297859:174346502:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000109088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MSPSPTRLAVRSVDPQKTPNLTSKAPARPSRKSEWVETTAHLRRKPCHSRHNSPAWEISG PPWSSQDHLGPHQASKPSTQRFWSPGPPLARAQAWEPIPPHQKKLCHLSSTSLPRETIAS LPCKSQTLRQEVRKHWSPELFPRSPGTSDLKTLASEKTTALPLKNLCHFRSVEKNSGAIA HPQDSRESSHKSALAASSRQSRSRVRSASLPPRTRLPSGSEAPLTDHSARLSDLLLTSHA TAPRWRSPDPCLRLAEPPLGSTTTPLSIWTAPQSQVMARPSKSREPQIRASAQRDPQLSE KQPRWKEALPPPLRWKEKSPLRREGTDLPPSLKQWMPSQPLLPKPSLPDLMLELLRIPRC SQIARAMPEKTGQPQERLQISSRILKNSKKPQLSAPILTEGQPQSPQPLLPSPSLKAAEI QPPSQPPRQSLPPRPSLPPGQPLSPRWSPQPRQSLPPWRSLPPGQPLSPPRSPLPGQSPL LEPIRPLEQSLAPQQCQPLLGQLPLGQPMQVHWSGEPGHSQLLPPLGHPFLPAQQLPPGQ PLLPAQSLLAGQPLPPPAGPILDPPAPRSRLLTRLLRGLLRGRLPGLTSTSGAEAAAGTR HRLASARSSPPVMSRKKGPPAASSGFCGETAALACPGATRSGATQSATSSPEPSEAASVY PSVPDHDPSAPGRPRILWRRGANRCAKKPLRCESRSAQIRNAASSSTSNWRRRRWTTCVH TACCF >ENSMUSP00000085184.4 pep:known chromosome:GRCm38:2:174297859:174346744:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000087876.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MGMFNCLHGNNMSGQHDIPPEVGEQPEQEPLEAPGAAAPGAGAGPAEEMATEPDSEPSNN EPVPDETGSEISGPPEDSKSDIQSPCQAFEEVRVGGDYSPPPEEAMPFETQQPSLGDFWP TLEQPGPSGTPSGLQAFNPAILEPGTPTGASPGLGAYTPPPEEAMPFEFNEPAQGDHSQP PLQVPDLAPGGPEALVPRALPAEPGNIRFENAGFREDYSPPPEESVPFQVGGEEFGGDSP PPGLPRVIPQIGIGGEFPTVAVPSALCLAPAENAPPLWVRGAIDRPFREAVRSPPNFACD SPPMEITRPLLEIGRASIGVDDDTAVNMDSPPIASDGPPIEVSGAPDKSECAERPPVERE AAEMEGSPTTATAVEGKVPSPERGDGSSTQPEAMDAKPAPAAQAVSTGSDAGAPTDSAML TDSQSDAGEDGTAPGTPSDLQSDPEELEEAPAVRADPDGGAAPVAPATPAESESEGSRDP AAEPASEAVPATTAESASGAAPVTQVEPAAAAVSATLAEPAARAAPITPKEPTTRAVPSA RAHPAAGAVPGAPAMSASARAAAARAAYAGPLVWGARSLSATPAARASLPARAAAAARAA SAARAVAAGRSASAAPSRAHLRPPSPEIQVADPPTPRPPPRPTAWPDKYERGRSCCRYEA SSGICEIESSSDESEEGATGCFQWLLRRNRRPGLPRSHTVGSNPVRNFFTRAFGSCFGLS ECTRSRSLSPGKAKDPMEERRKQMRKEAIEMREQKRADKKRSKLIDKQLEEEKMDYMCTH RLLLLGAGESGKSTIVKQMRILHVNGFNGDSEKATKVQDIKNNLKEAIETIVAAMSNLVP PVELANPENQFRVDYILSVMNVPNFDFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCA QYFLDKIDVIKQADYVPSDQDLLRCRVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWI QCFNDVTAIIFVVASSSYNMVIREDNQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQD LLAEKVLAGKSKIEDYFPEFARYTTPEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDG RHYCYPHFTCAVDTENIRRVFNDCRDIIQRMHLRQYELL >ENSMUSP00000085179.4 pep:known chromosome:GRCm38:2:174327768:174346744:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000087871.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MGCLGNSKTEDQRNEEKAQREANKKIEKQLQKDKQVYRATHRLLLLGAGESGKSTIVKQM RILHVNGFNGEGGEEDPQAARSNSDGEKATKVQDIKNNLKEAIETIVAAMSNLVPPVELA NPENQFRVDYILSVMNVPNFDFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCAQYFLD KIDVIKQADYVPSDQDLLRCRVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWIQCFND VTAIIFVVASSSYNMVIREDNQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQDLLAEK VLAGKSKIEDYFPEFARYTTPEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDGRHYCY PHFTCAVDTENIRRVFNDCRDIIQRMHLRQYELL >ENSMUSP00000104713.1 pep:known chromosome:GRCm38:2:174330006:174346744:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000109085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MGCLGNSKTEDQRNEEKAQREANKKIEKQLQKDKQVYRATHRLLLLGAGESGKSTIVKQM RILHVNGFNGDSEKATKVQDIKNNLKEAIETIVAAMSNLVPPVELANPENQFRVDYILSV MNVPNFDFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCAQYFLDKIDVIKQADYVPSD QDLLRCRVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWIQCFNDVTAIIFVVASSSYN MVIREDNQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQDLLAEKVLAGKSKIEDYFPE FARYTTPEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDGRHYCYPHFTCAVDTENIRR VFNDCRDIIQRMHLRQYELL >ENSMUSP00000104712.1 pep:known chromosome:GRCm38:2:174330097:174346744:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000109084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MGCLGNSKTEDQRNEEKAQREANKKIEKQLQKDKQVYRATHRLLLLGAGESGKSTIVKQM RILHVNGFNGDEKATKVQDIKNNLKEAIETIVAAMSNLVPPVELANPENQFRVDYILSVM NVPNFDFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCAQYFLDKIDVIKQADYVPSDQ DLLRCRVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWIQCFNDVTAIIFVVASSSYNM VIREDNQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQDLLAEKVLAGKSKIEDYFPEF ARYTTPEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDGRHYCYPHFTCAVDTENIRRV FNDCRDIIQRMHLRQYELL >ENSMUSP00000104711.1 pep:known chromosome:GRCm38:2:174330287:174338084:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000109083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MGCLGNSKTEDQRNEEKAQREANKKIEKQLQKDKQVYRATHRLLLLGAGESGKSTIVKQM RILHVNGFNGEGGEEDPQAARSNSDGVYYPH >ENSMUSP00000140174.1 pep:known chromosome:GRCm38:2:174297859:174346712:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000185956.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MGMFNCLHGNNMSGQHDIPPEVGEQPEQEPLEAPGAAAPGAGAGPAEEMATEPDSEPSNN EPVPDETGSEISGPPEDSKSDIQSPCQAFEEVRVGGDYSPPPEEAMPFETQQPSLGDFWP TLEQPGPSGTPSGLQAFNPAILEPGTPTGASPGLGAYTPPPEEAMPFEFNEPAQGDHSQP PLQVPDLAPGGPEALVPRALPAEPGNIRFENAGFREDYSPPPEESVPFQVGGEEFGGDSP PPGLPRVIPQIGIGGEFPTVAVPSALCLAPAENAPPLWVRGAIDRPFREAVRSPPNFACD SPPMEITRPLLEIGRASIGVDDDTAVNMDSPPIASDGPPIEVSGAPDKSECAERPPVERE AAEMEGSPTTATAVEGKVPSPERGDGSSTQPEAMDAKPAPAAQAVSTGSDAGAPTDSAML TDSQSDAGEDGTAPGTPSDLQSDPEELEEAPAVRADPDGGAAPVAPATPAESESEGSRDP AAEPASEAVPATTAESASGAAPVTQVEPAAAAVSATLAEPAARAAPITPKEPTTRAVPSA RAHPAAGAVPGAPAMSASARAAAARAAYAGPLVWGARSLSATPAARASLPARAAAAARAA SAARAVAAGRSASAAPSRAHLRPPSPEIQVADPPTPRPPPRPTAWPDKYERGRSCCRYEA SSGICEIESSSDESEEGATGCFQWLLRRNRRPGLPRSHTVGSNPVRNFFTRAFGSCFGLS ECTRSRSLSPGKAKDPMEERRKQMRKEAIEMREQKRADKKRSKLIDKQLEEEKMDYMCTH RLLLLGAGESGKSTIVKQMRILHVNGFNGEGGEEDPQAARSNSDGEKATKVQDIKNNLKE AIETIVAAMSNLVPPVELANPENQFRVDYILSVMNVPNFDFPPEFYEHAKALWEDEGVRA CYERSNEYQLIDCAQYFLDKIDVIKQADYVPSDQDLLRCRVLTSGIFETKFQVDKVNFHM FDVGGQRDERRKWIQCFNDVTAIIFVVASSSYNMVIREDNQTNRLQEALNLFKSIWNNRW LRTISVILFLNKQDLLAEKVLAGKSKIEDYFPEFARYTTPEDATPEPGEDPRVTRAKYFI RDEFLRISTASGDGRHYCYPHFTCAVDTENIRRVFNDCRDIIQRMHLRQYELL >ENSMUSP00000139839.1 pep:known chromosome:GRCm38:2:174297859:174346712:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000186907.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MSPSPTRLAVRSVDPQKTPNLTSKAPARPSRKSEWVETTAHLRRKPCHSRHNSPAWEISG PPWSSQDHLGPHQASKPSTQRFWSPGPPLARAQAWEPIPPHQKKLCHLSSTSLPRETIAS LPCKSQTLRQEVRKHWSPELFPRSPGTSDLKTLASEKTTALPLKNLCHFRSVEKNSGAIA HPQDSRESSHKSALAASSRQSRSRVRSASLPPRTRLPSGSEAPLTDHSARLSDLLLTSHA TAPRWRSPDPCLRLAEPPLGSTTTPLSIWTAPQSQVMARPSKSREPQIRASAQRDPQLSE KQPRWKEALPPPLRWKEKSPLRREGTDLPPSLKQWMPSQPLLPKPSLPDLMLELLRIPRC SQIARAMPEKTGQPQERLQISSRILKNSKKPQLSAPILTEGQPQSPQPLLPSPSLKAAEI QPPSQPPRQSLPPRPSLPPGQPLSPRWSPQPRQSLPPWRSLPPGQPLSPPRSPLPGQSPL LEPIRPLEQSLAPQQCQPLLGQLPLGQPMQVHWSGEPGHSQLLPPLGHPFLPAQQLPPGQ PLLPAQSLLAGQPLPPPAGPILDPPAPRSRLLTRLLRGLLRGRLPGLTSTSGAEAAAGTR HRLASARSSPPVMSRKKGPPAASSGFCGETAALACPGATRSGATQSATSSPEPSEAASVY PSVPDHDPSAPGRPRILWRRGANRCAKKPLRCESRSAQIRNAASSSTSNWRRRRWTTCVH TACCF >ENSMUSP00000104715.1 pep:known chromosome:GRCm38:2:174330089:174346712:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000109087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MGCLGNSKTEDQRNEEKAQREANKKIEKQLQKDKQVYRATHRLLLLGAGESGKSTIVKQM RILHVNGFNGEGGEEDPQAARSNSDGEKATKVQDIKNNLKEAIETIVAAMSNLVPPVELA NPENQFRVDYILSVMNVPNFDFPPEFYEHAKALWEDEGVRACYERSNEYQLIDCAQYFLD KIDVIKQADYVPSDQDLLRCRVLTSGIFETKFQVDKVNFHMFDVGGQRDERRKWIQCFND VTAIIFVVASSSYNMVIREDNQTNRLQEALNLFKSIWNNRWLRTISVILFLNKQDLLAEK VLAGKSKIEDYFPEFARYTTPEDATPEPGEDPRVTRAKYFIRDEFLRISTASGDGRHYCY PHFTCAVDTENIRRVFNDCRDIIQRMHLRQYELL >ENSMUSP00000136180.1 pep:known chromosome:GRCm38:2:174284320:174338084:1 gene:ENSMUSG00000027523.19 transcript:ENSMUST00000180362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnas description:GNAS (guanine nucleotide binding protein, alpha stimulating) complex locus [Source:MGI Symbol;Acc:MGI:95777] MDRRSRAQQWRRARHNYNDLCPPIGRRAATALLWLSCSIALLRALASSNARAQQRAAQRR SFLNAHHRSAAAAAAAQVLPESSESESDHEHEEVEPELARPECLEYDQDDYETETDSETE PESDIESETEIETEPETEPETEPETEPEDERGPRGATFNQSLTQRLHALKLQSADASPRR AQPTTQEPESASEGEEPQRGPLDQDPRDPEEEPEERKEENRQPRRCKTRRPARRRDQSPE SPPRKGPIPIRRH >ENSMUSP00000017759.2 pep:known chromosome:GRCm38:11:78522850:78536271:-1 gene:ENSMUSG00000017615.12 transcript:ENSMUST00000017759.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip1 description:tumor necrosis factor, alpha-induced protein 1 (endothelial) [Source:MGI Symbol;Acc:MGI:104961] MSGDTCLCPASGAKPKISGFKGGGLGNKYVQLNVGGSLYYTTVRALTRHDTMLKAMFSGR MEVLTDKEGWILIDRCGKHFGTILNYLRDDTITLPQSRQEIQELMAEAKYYLIQGLVSTC QTALQDKKDSYQPVCNIPIITSLREEDRLIESSTKPVVKLLYNRSNNKYSYTSNSDDHLL KNIELFDKLSLRFNGRVLFIKDVIGDEICCWSFYGQGRKLAEVCCTSIVYATEKKQTKVE FPEARIYEETLNVLLYETPRVPDNSLLEATSRSRSQASPSEDEDTFELRDRVRRIHVKRY STYDDRQLGHQSTHRD >ENSMUSP00000103912.2 pep:known chromosome:GRCm38:11:78522850:78536332:-1 gene:ENSMUSG00000017615.12 transcript:ENSMUST00000108277.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip1 description:tumor necrosis factor, alpha-induced protein 1 (endothelial) [Source:MGI Symbol;Acc:MGI:104961] MSGDTCLCPASGAKPKISGFKGGGLGNKYVQLNVGGSLYYTTVRALTRHDTMLKAMFSGR MEVLTDKEGWILIDRCGKHFGTILNYLRDDTITLPQSRQEIQELMAEAKYYLIQGLVSTC QTALQDKKDSYQPVCNIPIITSLREEDRLIESSTKPVVKLLYNRSNNKYSYTSNSDDHLL KNIELFDKLSLRFNGRVLFIKDVIGDEICCWSFYGQGRKLAEVCCTSIVYATEKKQTKVE FPEARIYEETLNVLLYETPRVPDNSLLEATSRSRSQASPSEDEDTFELRDRVRRIHVKRY STYDDRQLGHQSTHRD >ENSMUSP00000108747.1 pep:known chromosome:GRCm38:6:113307137:113324857:1 gene:ENSMUSG00000001632.16 transcript:ENSMUST00000113122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brpf1 description:bromodomain and PHD finger containing, 1 [Source:MGI Symbol;Acc:MGI:1926033] MGVDFDVKTFCHNLRATKPPYECPVETCRKVYKSYSGIEYHLYHYDHDSPPPPQQTPLRK HKKKGRQSRPANKQSPSPSEVSQSPGREVMSYAQAQRMVEVDLHGRVHRISIFDNLDVVS EDEEAPEEAPENGSNKENTETPAATPKSGKHKNKEKRKDSNHHHHSAPASAAPKLPEVVY RELEQDTPDAPPRPTSYYRYIEKSAEELDEEVEYDMDEEDYIWLDIMNERRKTEGVSPIP QEIFEYLMDRLEKESYFESHNKGDPNALVDEDAVCCICNDGECQNSNVILFCDMCNLAVH QECYGVPYIPEGQWLCRRCLQSPSRAVDCALCPNKGGAFKQTDDGRWAHVVCALWIPEVC FANTVFLEPIDSIEHIPPARWKLTCYICKQRGSGACIQCHKANCYTAFHVTCAQQAGLYM KMEPVRETGANGTSFSVRKTAYCDIHTPPGSARRLPALSHSEGEEEEDEEEDEGKSWSSE KVKKAKAKSRIKMKKARKILAEKRAAAPVVSVPCIPPHRLSKITNRLTIQRKSQFMQRLH SYWTLKRQSRNGVPLLRRLQTHLQSQRNCEQVGRDSDDKNWALKEQLKSWQRLRHDLERA RLLVELIRKREKLKRETIKIQQIAMEMQLTPFLILLRKTLEQLQEKDTGNIFSEPVPLSE VPDYLDHIKKPMDFFTMKQNLEAYRYLNFDDFEEDFNLIVSNCLKYNAKDTIFYRAAVRL REQGGAVLRQARRQAEKMGIDFETGMHIPHNLAGDEVSHHTEDVEEERLVLLENQKHLPV EEQLKLLLERLDEVNASKQSVGRSRRAKMIKKEMTALRRKLAHQRETGRDGPERHGPSGR GNLTPHPAACDKDGQTDSAAEESSSQETSKGLGPNMSSTPAHEVGRRTSVLFSKKNPKTA GPPKRPGRPPKNRESQMTPSHGGSPVGPPQLPIMGSLRQRKRGRSPRPSSSSDSDSDKST EDPPMDLPANGFSSGNQPVKKSFLVYRNDCNLPRSSSDSESSSSSSSSAASDRTSTTPSK QGRGKPSFSRGTFPEDSSEDTSGTENEAYSVGTGRGVGHSMVRKSLGRGAGWLSEDEDSP LDALDLVWAKCRGYPSYPALIIDPKMPREGMFHHGVPIPVPPLEVLKLGEQMTQEAREHL YLVLFFDNKRTWQWLPRTKLVPLGVNQDLDKEKMLEGRKSNIRKSVQIAYHRALQHRSKV QGEQSSETSDSD >ENSMUSP00000144804.1 pep:known chromosome:GRCm38:6:113307166:113324702:1 gene:ENSMUSG00000001632.16 transcript:ENSMUST00000204198.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brpf1 description:bromodomain and PHD finger containing, 1 [Source:MGI Symbol;Acc:MGI:1926033] MGVDFDVKTFCHNLRATKPPYECPVETCRKVYKSYSGIEYHLYHYDHDSPPPPQQTPLRK HKKKGRQSRPANKQSPSPSEVSQSPGREVMSYAQAQRMVEVDLHGRVHRISIFDNLDVVS EDEEAPEEAPENGSNKENTETPAATPKSGKHKNKEKRKDSNHHHHSAPASAAPKLPEVVY RELEQDTPDAPPRPTSYYRYIEKSAEELDEEVEYDMDEEDYIWLDIMNERRKTEGVSPIP QEIFEYLMDRLEKESYFESHNKGDPNALVDEDAVCCICNDGECQNSNVILFCDMCNLAVH QECYGVPYIPEGQWLCRRCLQSPSRAVDCALCPNKGGAFKQTDDGRWAHVVCALWIPEVC FANTVFLEPIDSIEHIPPARWKLTCYICKQRGSGACIQCHKANCYTAFHVTCAQQAGLYM KMEPVRETGANGTSFSVRKTAYCDIHTPPGSARRLPALSHSEGEEEEDEEEDEGKSWSSE KVKKAKAKSRIKMKKARKILAEKRAAAPVVSVPCIPPHRLSKITNRLTIQRKSQFMQRLH SYWTLKRQSRNGVPLLRRLQTHLQSQRNCEQVGRDSDDKNWALKEQLKSWQRLRHDLERA RLLVELIRKREKLKRETIKIQQIAMEMQLTPFLILLRKTLEQLQEKDTGNIFSEPVPLSE VPDYLDHIKKPMDFFTMKQNLEAYRYLNFDDFEEDFNLIVSNCLKYNAKDTIFYRAAVRL REQGGAVLRQARRQAEKMGIDFETGMHIPHNLAGDEVSHHTEDAVEEERLVLLENQKHLP VEEQLKLLLERLDEVNASKQSVGRSRRAKMIKKEMTALRRKLAHQRETGRDGPERHGPSG RGNLTPHPAACDKDGQTDSAAEESSSQETSKDLPANGFSSGNQPVKKSFLVYRNDCNLPR SSSDSESSSSSSSSAASDRTSTTPSKQGRGKPSFSRGTFPEDSSEDTSGTENEAYSVGTG RGVGHSMVRKSLGRGAGWLSEDEDSPLDALDLVWAKCRGYPSYPALIIDPKMPREGMFHH GVPIPVPPLEVLKLGEQMTQEAREHLYLVLFFDNKRTWQWLPRTKLVPLGVNQDLDKEKM LEGRKSNIRKSVQIAYHRALQHRSKVQGEQSSETSDSD >ENSMUSP00000108746.2 pep:known chromosome:GRCm38:6:113307192:113324721:1 gene:ENSMUSG00000001632.16 transcript:ENSMUST00000113121.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brpf1 description:bromodomain and PHD finger containing, 1 [Source:MGI Symbol;Acc:MGI:1926033] MGVDFDVKTFCHNLRATKPPYECPVETCRKVYKSYSGIEYHLYHYDHDSPPPPQQTPLRK HKKKGRQSRPANKQSPSPSEVSQSPGREVMSYAQAQRMVEVDLHGRVHRISIFDNLDVVS EDEEAPEEAPENGSNKENTETPAATPKSGKHKNKEKRKDSNHHHHSAPASAAPKLPEVVY RELEQDTPDAPPRPTSYYRYIEKSAEELDEEVEYDMDEEDYIWLDIMNERRKTEGVSPIP QEIFEYLMDRLEKESYFESHNKGDPNALVDEDAVCCICNDGECQNSNVILFCDMCNLAVH QECYGVPYIPEGQWLCRRCLQSPSRAVDCALCPNKGGAFKQTDDGRWAHVVCALWIPEVC FANTVFLEPIDSIEHIPPARWKLTCYICKQRGSGACIQCHKANCYTAFHVTCAQQAGLYM KMEPVRETGANGTSFSVRKTAYCDIHTPPGSARRLPALSHSEGEEEEDEEEDEGKSWSSE KVKKAKAKSRIKMKKARKILAEKRAAAPVVSVPCIPPHRLSKITNRLTIQRKSQFMQRLH SYWTLKRQSRNGVPLLRRLQTHLQSQRNCEQVGRDSDDKNWALKEQLKSWQRLRHDLERA RLLVELIRKREKLKRETIKIQQIAMEMQLTPFLILLRKTLEQLQEKDTGNIFSEPVPLSE VTELDEVPDYLDHIKKPMDFFTMKQNLEAYRYLNFDDFEEDFNLIVSNCLKYNAKDTIFY RAAVRLREQGGAVLRQARRQAEKMGIDFETGMHIPHNLAGDEVSHHTEDAVEEERLVLLE NQKHLPVEEQLKLLLERLDEVNASKQSVGRSRRAKMIKKEMTALRRKLAHQRETGRDGPE RHGPSGRGNLTPHPAACDKDGQTDSAAEESSSQETSKGLGPNMSSTPAHEVGRRTSVLFS KKNPKTAGPPKRPGRPPKNRESQMTPSHGGSPVGPPQLPIMGSLRQRKRGRSPRPSSSSD SDSDKSTEDPPMDLPANGFSSGNQPVKKSFLVYRNDCNLPRSSSDSESSSSSSSSAASDR TSTTPSKQGRGKPSFSRGTFPEDSSEDTSGTENEAYSVGTGRGVGHSMVRKSLGRGAGWL SEDEDSPLDALDLVWAKCRGYPSYPALIIDPKMPREGMFHHGVPIPVPPLEVLKLGEQMT QEAREHLYLVLFFDNKRTWQWLPRTKLVPLGVNQDLDKEKMLEGRKSNIRKSVQIAYHRA LQHRSKVQGEQSSETSDSD >ENSMUSP00000108744.1 pep:known chromosome:GRCm38:6:113307192:113324860:1 gene:ENSMUSG00000001632.16 transcript:ENSMUST00000113119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brpf1 description:bromodomain and PHD finger containing, 1 [Source:MGI Symbol;Acc:MGI:1926033] MGVDFDVKTFCHNLRATKPPYECPVETCRKVYKSYSGIEYHLYHYDHDSPPPPQQTPLRK HKKKGRQSRPANKQSPSPSEVSQSPGREVMSYAQAQRMVEVDLHGRVHRISIFDNLDVVS EDEEAPEEAPENGSNKENTETPAATPKSGKHKNKEKRKDSNHHHHSAPASAAPKLPEVVY RELEQDTPDAPPRPTSYYRYIEKSAEELDEEVEYDMDEEDYIWLDIMNERRKTEGVSPIP QEIFEYLMDRLEKESYFESHNKGDPNALVDEDAVCCICNDGECQNSNVILFCDMCNLAVH QECYGVPYIPEGQWLCRRCLQSPSRAVDCALCPNKGGAFKQTDDGRWAHVVCALWIPEVC FANTVFLEPIDSIEHIPPARWKLTCYICKQRGSGACIQCHKANCYTAFHVTCAQQAGLYM KMEPVRETGANGTSFSVRKTAYCDIHTPPGSARRLPALSHSEGEEEEDEEEDEGKSWSSE KVKKAKAKSRIKMKKARKILAEKRAAAPVVSVPCIPPHRLSKITNRLTIQRKSQFMQRLH SYWTLKRQSRNGVPLLRRLQTHLQSQRNCEQVGRDSDDKNWALKEQLKSWQRLRHDLERA RLLVELIRKREKLKRETIKIQQIAMEMQLTPFLILLRKTLEQLQEKDTGNIFSEPVPLSE VPDYLDHIKKPMDFFTMKQNLEAYRYLNFDDFEEDFNLIVSNCLKYNAKDTIFYRAAVRL REQGGAVLRQARRQAEKMGIDFETGMHIPHNLAGDEVSHHTEDAVEEERLVLLENQKHLP VEEQLKLLLERLDEVNASKQSVGRSRRAKMIKKEMTALRRKLAHQRETGRDGPERHGPSG RGNLTPHPAACDKDGQTDSAAEESSSQETSKGLGPNMSSTPAHEVGRRTSVLFSKKNPKT AGPPKRPGRPPKNRESQMTPSHGGSPVGPPQLPIMGSLRQRKRGRSPRPSSSSDSDSDKS TEDPPMDLPANGFSSGNQPVKKSFLVYRNDCNLPRSSSDSESSSSSSSSAASDRTSTTPS KQGRGKPSFSRGTFPEDSSEDTSGTENEAYSVGTGRGVGHSSKYPHPKSGVLGTQFQGLA SPPAADPPPLSRSCEVVRKSLGRGAGWLSEDEDSPLDALDLVWAKCRGYPSYPALIIDPK MPREGMFHHGVPIPVPPLEVLKLGEQMTQEAREHLYLVLFFDNKRTWQWLPRTKLVPLGV NQDLDKEKMLEGRKSNIRKSVQIAYHRALQHRSKVQGEQSSETSDSD >ENSMUSP00000108742.1 pep:known chromosome:GRCm38:6:113307224:113324841:1 gene:ENSMUSG00000001632.16 transcript:ENSMUST00000113117.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brpf1 description:bromodomain and PHD finger containing, 1 [Source:MGI Symbol;Acc:MGI:1926033] MGVDFDVKTFCHNLRATKPPYECPVETCRKVYKSYSGIEYHLYHYDHDSPPPPQQTPLRK HKKKGRQSRPANKQSPSPSEVSQSPGREVMSYAQAQRMVEVDLHGRVHRISIFDNLDVVS EDEEAPEEAPENGSNKENTETPAATPKSGKHKNKEKRKDSNHHHHSAPASAAPKLPEVVY RELEQDTPDAPPRPTSYYRYIEKSAEELDEEVEYDMDEEDYIWLDIMNERRKTEGVSPIP QEIFEYLMDRLEKESYFESHNKGDPNALVDEDAVCCICNDGECQNSNVILFCDMCNLAVH QECYGVPYIPEGQWLCRRCLQSPSRAVDCALCPNKGGAFKQTDDGRWAHVVCALWIPEVC FANTVFLEPIDSIEHIPPARWKLTCYICKQRGSGACIQCHKANCYTAFHVTCAQQAGLYM KMEPVRETGANGTSFSVRKTAYCDIHTPPGSARRLPALSHSEGEEEEDEEEDEGKSWSSE KVKKAKAKSRIKMKKARKILAEKRAAAPVVSVPCIPPHRLSKITNRLTIQRKSQFMQRLH SYWTLKRQSRNGVPLLRRLQTHLQSQRNCEQVGRDSDDKNWALKEQLKSWQRLRHDLERA RLLVELIRKREKLKRETIKIQQIAMEMQLTPFLILLRKTLEQLQEKDTGNIFSEPVPLSE VPDYLDHIKKPMDFFTMKQNLEAYRYLNFDDFEEDFNLIVSNCLKYNAKDTIFYRAAVRL REQGGAVLRQARRQAEKMGIDFETGMHIPHNLAGDEVSHHTEDVEEERLVLLENQKHLPV EEQLKLLLERLDEVNASKQSVGRSRRAKMIKKEMTALRRKLAHQRETGRDGPERHGPSGR GNLTPHPAACDKDGQTDSAAEESSSQETSKGLGPNMSSTPAHEVGRRTSVLFSKKNPKTA GPPKRPGRPPKNRESQMTPSHGGSPVGPPQLPIMGSLRQRKRGRSPRPSSSSDSDSDKST EDPPMDLPANGFSSGNQPVKKSFLVYRNDCNLPRSSSDSESSSSSSSSAASDRTSTTPSK QGRGKPSFSRGTFPEDSSEDTSGTENEAYSVGTGRGVGHSSKYPHPKSGVLGTQFQGLAS PPAADPPPLSRSCEVVRKSLGRGAGWLSEDEDSPLDALDLVWAKCRGYPSYPALIIDPKM PREGMFHHGVPIPVPPLEVLKLGEQMTQEAREHLYLVLFFDNKRTWQWLPRTKLVPLGVN QDLDKEKMLEGRKSNIRKSVQIAYHRALQHRSKVQGEQSSETSDSD >ENSMUSP00000145093.1 pep:known chromosome:GRCm38:6:113307313:113324623:1 gene:ENSMUSG00000001632.16 transcript:ENSMUST00000204626.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brpf1 description:bromodomain and PHD finger containing, 1 [Source:MGI Symbol;Acc:MGI:1926033] MGVDFDVKTFCHNLRATKPPYECPVETCRKVYKSYSGIEYHLYHYDHDSPPPPQQTPLRK HKKKGRQSRPANKQSPSPSEVSQSPGREVMSYAQAQRMVEVDLHGRVHRISIFDNLDVVS EDEEAPEEAPENGSNKENTETPAATPKSGKHKNKEKRKDSNHHHHSAPASAAPKLPEVVY RELEQDTPDAPPRPTSYYRYIEKSAEELDEEVEYDMDEEDYIWLDIMNERRKTEGVSPIP QEIFEYLMDRLEKESYFESHNKGDPNALVDEDAVCCICNDGECQNSNVILFCDMCNLAVH QECYGVPYIPEGQWLCRRCLQSPSRAVDCALCPNKGGAFKQTDDGRWAHVVCALWIPEVC FANTVFLEPIDSIEHIPPARWKLTCYICKQRGSGACIQCHKANCYTAFHVTCAQQAGLYM KMEPVRETGANGTSFSVRKTAYCDIHTPPGSARRLPALSHSEGEEEEDEEEDEGKSWSSE KVKKAKAKSRIKMKKARKILAEKRAAAPVVSVPCIPPHRLSKITNRLTIQRKSQFMQRLH SYWTLKRQSRNGVPLLRRLQTHLQSQRNCEQVGRDSDDKNWALKEQLKSWQRLRHDLERA RLLVELIRKREKLKRETIKIQQIAMEMQLTPFLILLRKTLEQLQEKDTGNIFSEPVPLSE VTELDEVPDYLDHIKKPMDFFTMKQNLEAYRYLNFDDFEEDFNLIVSNCLKYNAKDTIFY RAAVRLREQGGAVLRQARRQAEKMGIDFETGMHIPHNLAGDEVSHHTEDVEEERLVLLEN QKHLPVEEQLKLLLERLDEVNASKQSVGRSRRAKMIKKEMTALRRKLAHQRETGRDGPER HGPSGRGNLTPHPAACDKDGQTDSAAEESSSQETSKGLGPNMSSTPAHEVGRRTSVLFSK KNPKTAGPPKRPGRPPKNRESQMTPSHGGSPVGPPQLPIMGSLRQRKRGRSPRPSSSSDS DSDKSTEDPPMDLPANGFSSGNQPVKKSFLVYRNDCNLPRSSSDSESSSSSSSSAASDRT STTPSKQGRGKPSFSRGTFPEDSSEDTSGTENEAYSVGTGRGVGHSMVRKSLGRGAGWLS EDEDSPLDALDLVWAKCRGYPSYPALIIDPKMPREGMFHHGVPIPVPPLEVLKLGEQMTQ EAREHLYLVLFFDNKRTWQWLPRTKLVPLGVNQDLDKEKMLEGRKSNIRKSVQIAYHRAL QHRSKVQGEQSSETSDSD >ENSMUSP00000144820.1 pep:known chromosome:GRCm38:6:113307429:113324724:1 gene:ENSMUSG00000001632.16 transcript:ENSMUST00000203577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brpf1 description:bromodomain and PHD finger containing, 1 [Source:MGI Symbol;Acc:MGI:1926033] MGVDFDVKTFCHNLRATKPPYECPVETCRKVYKSYSGIEYHLYHYDHDSPPPPQQTPLRK HKKKGRQSRPANKQSPSPSEVSQSPGREVMSYAQAQRMVEVDLHGRVHRISIFDNLDVVS EDEEAPEEAPENGSNKENTETPAATPKSGKHKNKEKRKDSNHHHHSAPASAAPKLPEVVY RELEQDTPDAPPRPTSYYRYIEKSAEELDEEVEYDMDEEDYIWLDIMNERRKTEGVSPIP QEIFEYLMDRLEKESYFESHNKGDPNALVDEDAVCCICNDGECQNSNVILFCDMCNLAVH QECYGVPYIPEGQWLCRRCLQSPSRAVDCALCPNKGGAFKQTDDGRWAHVVCALWIPEVC FANTVFLEPIDSIEHIPPARWKLTCYICKQRGSGACIQCHKANCYTAFHVTCAQQAGLYM KMEPVRETGANGTSFSVRKTAYCDIHTPPGSARRLPALSHSEGEEEEDEEEDEGKSWSSE KVKKAKAKSRIKMKKARKILAEKRAAAPVVSVPCIPPHRLSKITNRLTIQRKSQFMQRLH SYWTLKRQSRNGVPLLRRLQTHLQSQRNCEQVGRDSDDKNWALKEQLKSWQRLRHDLERA RLLVELIRKREKLKRETIKIQQIAMEMQLTPFLILLRKTLEQLQEKDTGNIFSEPVPLSE VTELDEVPDYLDHIKKPMDFFTMKQNLEAYRYLNFDDFEEDFNLIVSNCLKYNAKDTIFY RAAVRLREQGGAVLRQARRQAEKMGIDFETGMHIPHNLAGDEVSHHTEDVEEERLVLLEN QKHLPVEEQLKLLLERLDEVNASKQSVGRSRRAKMIKKEMTALRRKLAHQRETGRDGPER HGPSGRGNLTPHPAACDKDGQTDSAAEESSSQETSKGLGPNMSSTPAHEVGRRTSVLFSK KNPKTAGPPKRPGRPPKNRESQMTPSHGGSPVGPPQLPIMGSLRQRKRGRSPRPSSSSDS DSDKSTEDPPMDLPANGFSSGNQPVKKSFLVYRNDCNLPRSSSDSESSSSSSSSAASDRT STTPSKQGRGKPSFSRGTFPEDSSEDTSGTENEAYSVGTGRGVGHSSKYPHPKSGVLGTQ FQGLASPPAADPPPLSRSCEVVRKSLGRGAGWLSEDEDSPLDALDLVWAKCRGYPSYPAL IIDPKMPREGMFHHGVPIPVPPLEVLKLGEQMTQEAREHLYLVLFFDNKRTWQWLPRTKL VPLGVNQDLDKEKMLEGRKSNIRKSVQIAYHRALQHRSKVQGEQSSETSDSD >ENSMUSP00000049549.4 pep:known chromosome:GRCm38:6:54326883:54330201:1 gene:ENSMUSG00000045725.5 transcript:ENSMUST00000059138.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr15 description:proline rich 15 [Source:MGI Symbol;Acc:MGI:1925254] MADSGGSSPWWKSLTRKKSKEVTVGVQPQVRPETGQEPSPPHSDRTSSLPENQHSNILGD PGESLRSDKLCEEKTGNSRRNLKISRSGRFKEKRKMRATLLPEGDKSPEEADFPDDPQED KQ >ENSMUSP00000091053.5 pep:known chromosome:GRCm38:11:5713417:5741150:-1 gene:ENSMUSG00000049680.17 transcript:ENSMUST00000093362.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urgcp description:upregulator of cell proliferation [Source:MGI Symbol;Acc:MGI:1919296] MASPGIEVELLVKGHSDLGEVAPEVKPSDSQTSVAIADLEWREMEGDDCGFHYGDGTNEA QDNDFPTVERSRLQEMLSLLGLETYQAQKLTLQDSLQISFDSMKNWAPQVPKDLPWHFLR KLQALNAEARNTTMVLDVPPEAWPAEKESQAEEEMMYWDPAEDVAADIYSFSELPMPDTP VNPLDLLCALLLSSDTFLQQEVLLKMSLCQFALPLVLPDSENHYHTFLLWALRGVVRTWW FQPLRSLGSFREDSVVLSRVPTFAFVRMDVSSNSKSQLLNAVLSPARRQWDCFWHRDLNL GTNPREISDGLVEISWFFPSGKEDLDVFPEPMAFLNLRGDIGSHWLQFKLLTEVSSAVFI LTDNISKKEYKLLYSMKESTTKYYFILSPYRGKRNTNLRFLNRLIPVLKIDHSHVLVKVS STDSEGFVRRIRAIMCSVARSPCRRVSVEDMAHAARKLGLRVDEDFEECQKAKDRMEKIL RKIKDVDAYKRDELRLQGEPARRAAQAEREFCQLQWVPEPPEKHRAELRRRVLELRVQQN GQEPTSGVQEFILGISSPSPSERQYFLRWMEWGLARLGQPRPRQPPETLLTLRPKLGGPS DLTEPLWPEPLGVEHFLREMGQFYEAESCLIEAGRLPAGQRRFAHFPGVAVELLLGGLPL ELVDGATLSIPVRWVTGLLKELHTRLDRRSRLVVLSALGVPGTGKSTLLNTMFGLKFATG RSCSPRGAFMQLLPVAEGFSQDLGCDQILVIDSGGLISGALASAGDRFELEASLATLLMG LSNVTVVSLAETKDIPPAVLHAFLRLEKMGHMPNYQFVYQNLHDLPVPSPKPRDRRQLLD PPSDLSRATHLEKQGGGFRTLAGLAERQHVWHIPALWHGAPPMAAVSLGYSEAIFELKRC LLENIRNGLSNQNQNIQQLIELLRRL >ENSMUSP00000113060.1 pep:known chromosome:GRCm38:11:5714971:5762371:-1 gene:ENSMUSG00000049680.17 transcript:ENSMUST00000120306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urgcp description:upregulator of cell proliferation [Source:MGI Symbol;Acc:MGI:1919296] MEGDDCGFHYGDGTNEAQDNDFPTVERSRLQEMLSLLGLETYQAQKLTLQDSLQISFDSM KNWAPQVPKDLPWHFLRKLQALNAEARNTTMVLDVPPEAWPAEKESQAEEEMMYWDPAED VAADIYSFSELPMPDTPVNPLDLLCALLLSSDTFLQQEVLLKMSLCQFALPLVLPDSENH YHTFLLWALRGVVRTWWFQPLRSLGSFREDSVVLSRVPTFAFVRMDVSSNSKSQLLNAVL SPARRQWDCFWHRDLNLGTNPREISDGLVEISWFFPSGKEDLDVFPEPMAFLNLRGDIGS HWLQFKLLTEVSSAVFILTDNISKKEYKLLYSMKESTTKYYFILSPYRGKRNTNLRFLNR LIPVLKIDHSHVLVKVSSTDSEGFVRRIRAIMCSVARSPCRRVSVEDMAHAARKLGLRVD EDFEECQKAKDRMEKILRKIKDVDAYKRDELRLQGEPARRAAQAEREFCQLQWVPEPPEK HRAELRRRVLELRVQQNGQEPTSGVQEFILGISSPSPSERQYFLRWMEWGLARLGQPRPR QPPETLLTLRPKLGGPSDLTEPLWPEPLGVEHFLREMGQFYEAESCLIEAGRLPAGQRRF AHFPGVAVELLLGGLPLELVDGATLSIPVRWVTGLLKELHTRLDRRSRLVVLSALGVPGT GKSTLLNTMFGLKFATGRSCSPRGAFMQLLPVAEGFSQDLGCDQILVIDSGGLISGALAS AGDRFELEASLATLLMGLSNVTVVSLAETKDIPPAVLHAFLRLEKMGHMPNYQFVYQNLH DLPVPSPKPRDRRQLLDPPSDLSRATHLEKQGGGFRTLAGLAERQHVWHIPALWHGAPPM AAVSLGYSEAIFELKRCLLENIRNGLSNQNQNIQQLIELLRRL >ENSMUSP00000113589.1 pep:known chromosome:GRCm38:11:5714987:5762376:-1 gene:ENSMUSG00000049680.17 transcript:ENSMUST00000118076.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urgcp description:upregulator of cell proliferation [Source:MGI Symbol;Acc:MGI:1919296] MEGDDCGFHYGDGTNEAQDNDFPTVERSRLQEMLSLLGLETYQAQKLTLQDSLQISFDSM KNWAPQVPKDLPWHFLRKLQALNAEARNTTMVLDVPPEAWPAEKESQAEEEMMYWDPAED VAADIYSFSELPMPDTPVNPLDLLCALLLSSDTFLQQEVLLKMSLCQFALPLVLPDSENH YHTFLLWALRGVVRTWWFQPLRSLGSFREDSVVLSRVPTFAFVRMDVSSNSKSQLLNAVL SPARRQWDCFWHRDLNLGTNPREISDGLVEISWFFPSGKEDLDVFPEPMAFLNLRGDIGS HWLQFKLLTEVSSAVFILTDNISKKEYKLLYSMKESTTKYYFILSPYRGKRNTNLRFLNR LIPVLKIDHSHVLVKVSSTDSEGFVRRIRAIMCSVARSPCRRVSVEDMAHAARKLGLRVD EDFEECQKAKDRMEKILRKIKDVDAYKRDELRLQGEPARRAAQAEREFCQLQWVPEPPEK HRAELRRRVLELRVQQNGQEPTSGVQEFILGISSPSPSERQYFLRWMEWGLARLGQPRPR QPPETLLTLRPKLGGPSDLTEPLWPEPLGVEHFLREMGQFYEAESCLIEAGRLPAGQRRF AHFPGVAVELLLGGLPLELVDGATLSIPVRWVTGLLKELHTRLDRRSRLVVLSALGVPGT GKSTLLNTMFGLKFATGRSCSPRGAFMQLLPVAEGFSQDLGCDQILVIDSGGLISGALAS AGDRFELEASLATLLMGLSNVTVVSLAETKDIPPAVLHAFLRLEKMGHMPNYQFVYQNLH DLPVPSPKPRDRRQLLDPPSDLSRATHLEKQGGGFRTLAGLAERQHVWHIPALWHGAPPM AAVSLGYSEAIFELKRCLLENIRNGLSNQNQNIQQLIELLRRL >ENSMUSP00000120902.1 pep:known chromosome:GRCm38:11:5718037:5741146:-1 gene:ENSMUSG00000049680.17 transcript:ENSMUST00000140922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urgcp description:upregulator of cell proliferation [Source:MGI Symbol;Acc:MGI:1919296] MEGDDCGFHYGDGTNEAQDNDFPTVERSRLQEMLSLLGLETYQAQKLTLQDSLQISFDSM KNWAPQVPKDLPWHFLRKLQALNAEARNTTMVLDVPPEAW >ENSMUSP00000133216.1 pep:known chromosome:GRCm38:11:5713417:5762376:-1 gene:ENSMUSG00000049680.17 transcript:ENSMUST00000170116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urgcp description:upregulator of cell proliferation [Source:MGI Symbol;Acc:MGI:1919296] MEGDDCGFHYGDGTNEAQDNDFPTVERSRLQEMLSLLGLETYQAQKLTLQDSLQISFDSM KNWAPQVPKDLPWHFLRKLQALNAEARNTTMVLDVPPEAWPAEKESQAEEEMMYWDPAED VAADIYSFSELPMPDTPVNPLDLLCALLLSSDTFLQQEVLLKMSLCQFALPLVLPDSENH YHTFLLWALRGVVRTWWFQPLRSLGSFREDSVVLSRVPTFAFVRMDVSSNSKSQLLNAVL SPARRQWDCFWHRDLNLGTNPREISDGLVEISWFFPSGKEDLDVFPEPMAFLNLRGDIGS HWLQFKLLTEVSSAVFILTDNISKKEYKLLYSMKESTTKYYFILSPYRGKRNTNLRFLNR LIPVLKIDHSHVLVKVSSTDSEGFVRRIRAIMCSVARSPCRRVSVEDMAHAARKLGLRVD EDFEECQKAKDRMEKILRKIKDVDAYKRDELRLQGEPARRAAQAEREFCQLQWVPEPPEK HRAELRRRVLELRVQQNGQEPTSGVQEFILGISSPSPSERQYFLRWMEWGLARLGQPRPR QPPETLLTLRPKLGGPSDLTEPLWPEPLGVEHFLREMGQFYEAESCLIEAGRLPAGQRRF AHFPGVAVELLLGGLPLELVDGATLSIPVRWVTGLLKELHTRLDRRSRLVVLSALGVPGT GKSTLLNTMFGLKFATGRSCSPRGAFMQLLPVAEGFSQDLGCDQILVIDSGGLISGALAS AGDRFELEASLATLLMGLSNVTVVSLAETKDIPPAVLHAFLRLEKMGHMPNYQFVYQNLH DLPVPSPKPRDRRQLLDPPSDLSRATHLEKQGGGFRTLAGLAERQHVWHIPALWHGAPPM AAVSLGYSEAIFELKRCLLENIRNGLSNQNQNIQQLIELLRRL >ENSMUSP00000055821.4 pep:known chromosome:GRCm38:11:5713417:5762376:-1 gene:ENSMUSG00000049680.17 transcript:ENSMUST00000053427.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urgcp description:upregulator of cell proliferation [Source:MGI Symbol;Acc:MGI:1919296] MEGDDCGFHYGDGTNEAQDNDFPTVERSRLQEMLSLLGLETYQAQKLTLQDSLQISFDSM KNWAPQVPKDLPWHFLRKLQALNAEARNTTMVLDVPPEAWPAEKESQAEEEMMYWDPAED VAADIYSFSELPMPDTPVNPLDLLCALLLSSDTFLQQEVLLKMSLCQFALPLVLPDSENH YHTFLLWALRGVVRTWWFQPLRSLGSFREDSVVLSRVPTFAFVRMDVSSNSKSQLLNAVL SPARRQWDCFWHRDLNLGTNPREISDGLVEISWFFPSGKEDLDVFPEPMAFLNLRGDIGS HWLQFKLLTEVSSAVFILTDNISKKEYKLLYSMKESTTKYYFILSPYRGKRNTNLRFLNR LIPVLKIDHSHVLVKVSSTDSEGFVRRIRAIMCSVARSPCRRVSVEDMAHAARKLGLRVD EDFEECQKAKDRMEKILRKIKDVDAYKRDELRLQGEPARRAAQAEREFCQLQWVPEPPEK HRAELRRRVLELRVQQNGQEPTSGVQEFILGISSPSPSERQYFLRWMEWGLARLGQPRPR QPPETLLTLRPKLGGPSDLTEPLWPEPLGVEHFLREMGQFYEAESCLIEAGRLPAGQRRF AHFPGVAVELLLGGLPLELVDGATLSIPVRWVTGLLKELHTRLDRRSRLVVLSALGVPGT GKSTLLNTMFGLKFATGRSCSPRGAFMQLLPVAEGFSQDLGCDQILVIDSGGLISGALAS AGDRFELEASLATLLMGLSNVTVVSLAETKDIPPAVLHAFLRLEKMGHMPNYQFVYQNLH DLPVPSPKPRDRRQLLDPPSDLSRATHLEKQGGGFRTLAGLAERQHVWHIPALWHGAPPM AAVSLGYSEAIFELKRCLLENIRNGLSNQNQNIQQLIELLRRL >ENSMUSP00000112976.1 pep:known chromosome:GRCm38:3:108202222:108210486:-1 gene:ENSMUSG00000048997.17 transcript:ENSMUST00000117409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l2 description:ataxin 7-like 2 [Source:MGI Symbol;Acc:MGI:1919772] MTLIKEDMSIFGHCPAHDDFYLVVCNHCSQVVKPQAFQKHCERRHGPLSKLYARAPPPPP APASSQKCHVVNGQGPACRAPGSTKTSSREKGQGSRSRGHQPPEKTQKDSLCQPGGLPKD SPGKVPTAPSPKEPPGRENIELVPGEGSSHRAEGSPPEKEASGARLPPKTHRKMARKEFD LNRQCGVINPETKKICTRLLTCKIHSVHQRREVPGRAKDFDVLVAELKASSRKGESPKEK SPAHKEPALERPSQEPPTAVQGVAAVAAPNTTFSSLSKQTYPYCALPRSHASSESEVDDE GPCGGDGDPGLFPFPLPRGGAQASSEESEEEGISDDLHLSPDCHYATRPPRPQAFCTFGS RLVSPGCYVFSRRLDRFCSALSSMLERHLSSHMWKKIPPAAEPPSHLVSPSLSDPLSPSP MGSCPRLPGPAPRPACPASIPPVKDSLVPSYPAGSPSVAAACSQAECMGGSQAITSPLPA NTPSPSFSKLPPSKASKSSKGKEGVEMEAPSRKRKLSPGPTTFKRTCILEPAGKGKPSSC RGLSTKTKTALNLGLNGTVGPRVKRAGPLDCRGSPHPSPIPVKASQLENRGVAGHPAKVL PTTCLSEEEVAKKRKNLATYCRPVKAKHCQAGVPPDGTCSVRRKKPGPALPFEEKCSTLK SKAH >ENSMUSP00000099693.2 pep:known chromosome:GRCm38:3:108202222:108210934:-1 gene:ENSMUSG00000048997.17 transcript:ENSMUST00000102633.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l2 description:ataxin 7-like 2 [Source:MGI Symbol;Acc:MGI:1919772] MTLIKEDMSIFGHCPAHDDFYLVVCNHCSQVVKPQAFQKHCERRHGPLSKLYARAPPPPP APASSQKCHVVNGQGPACRAPGSTKTSSREKGQGSRSRGHQPPEKTQKDSLCLFVPVVNL EKISSLPKPDAHGVRVAPPSALLSQPGGLPKDSPGKVPTAPSPKEPPGRENIELVPGEGS SHRAEGSPPEKEASGARLPPKTHRKMARKEFDLNRQCGVINPETKKICTRLLTCKIHSVH QRREVPGRAKDFDVLVAELKASSRKGESPKEKSPAHKEPALERPSQEPPTAVQGVAAVAA PNTTFSSLSKQTYPYCALPRSHASSESEVDDEGPCGGDGDPGLFPFPLPRGGAQASSEES EEEGISDDLHLSPDCHYATRPPRPQAFCTFGSRLVSPGCYVFSRRLDRFCSALSSMLERH LSSHMWKKIPPAAEPPSHLVSPSLSDPLSPSPMGSCPRLPGPAPRPACPASIPPVKDSLV PSYPAGSPSVAAACSQAECMGGSQAITSPLPANTPSPSFSKLPPSKASKSSKGKEGVEME APSRKRKLSPGPTTFKRTCILEPAGKGKPSSCRGLSTKTKTALNLGLNGTVGPRVKRAGP LDCRGSPHPSPIPVKASQLENRGVAGHPAKVLPTTCLSEEEVAKKRKNLATYCRPVKAKH CQAGVPPDGTCSVRRKKPGPALPFEEKCSTLKSKAH >ENSMUSP00000114031.1 pep:known chromosome:GRCm38:3:108202228:108210462:-1 gene:ENSMUSG00000048997.17 transcript:ENSMUST00000117784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l2 description:ataxin 7-like 2 [Source:MGI Symbol;Acc:MGI:1919772] MTLIKEDMSIFGHCPAHDDFYLVVCNHCSQVVKPQAFQKHCERRHGPLSKLYARAPPPPP APASSQKCHVVNGQGPACRAPGSTKTSSREKGQGSRSRGHQPPEKTQKDSLCLFVPVVNL EKISSLPKPDAHGVRVAPPSALLSQPGGLPKDSPGKVPTAPSPKEPPGRENIELVPGEGS SHRAEGSPPEKEASGARLPPKTHRKMARKEFDLNRQCGVINPETKKICTRLLTCKIHSVH QRREVPGRAKDFDVLVAELKASSRKGESPKEKSPAHKEPALERPSQEPPTAVQGVAAVAA PNTTFSSLSKQTYPYCALPRSHASSESEVDDEGPCGGDGDPGLFPFPLPRGGAQASSEES EEEGISDDLHLSPDCHYATRPPRPQAFCTFGSRLVSPGCYVFSRRLDRFCSALSSMLERH LSSHMWKKIPPAAEPPSHLVSPSLSDPLSPSPMGSCPRLPGPAPRPACPASIPPVKDSLV PSYPAGSPSVAAACSQAECMGGSQAITSPLPANTPSPSFSKLPPSKASKSSKGKEGVEME APSRKRKLSPGPTTFKRTCILEPAGKGKPSSCRGLSTKTKTALNLGLNGTVGPRVKRAGP LDCRGSPHPSPIPVKASQLENRGVAGHPAKVLPTTCLSEEEVAKKRKNLATYCRPVKAKH CQAGVPPDGTCSVRRKKPGPALPFEEKCSTLKSKAH >ENSMUSP00000113020.1 pep:known chromosome:GRCm38:3:108202240:108210466:-1 gene:ENSMUSG00000048997.17 transcript:ENSMUST00000119650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l2 description:ataxin 7-like 2 [Source:MGI Symbol;Acc:MGI:1919772] MTLIKEERRHGPLSKLYARAPPPPPAPASSQKCHVVNGQGPACRAPGSTKTSSREKGQGS RSRGHQPPEKTQKDSLCLFVPVVNLEKISSLPKPDAHGVRVAPPSALLSQPGGLPKDSPG KVPTAPSPKEPPGRENIELVPGEGSSHRAEGSPPEKEASGARLPPKTHRKMARKEFDLNR QCGVINPETKKICTRLLTCKIHSVHQRREVPGRAKDFDVLVAELKASSRKGESPKEKSPA HKEPALERPSQEPPTAVQGVAAVAAPNTTFSSLSKQTYPYCALPRSHASSESEVDDEGPC GGDGDPGLFPFPLPRGGAQASSEESEEEGISDDLHLSPDCHYATRPPRPQAFCTFGSRLV SPGCYVFSRRLDRFCSALSSMLERHLSSHMWKKIPPAAEPPSHLVSPSLSDPLSPSPMGS CPRLPGPAPRPACPASIPPVKDSLVPSYPAGSPSVAAACSQAECMGGSQAITSPLPANTP SPSFSKLPPSKASKSSKGKEGVEMEAPSRKRKLSPGPTTFKRTCILEPAGKGKPSSCRGL STKTKTALNLGLNGTVGPRVKRAGPLDCRGSPHPSPIPVKASQLENRGVAGHPAKVLPTT CLSEEEVAKKRKNLATYCRPVKAKHCQAGVPPDGTCSVRRKKPGPALPFEEKCSTLKSKA H >ENSMUSP00000119223.1 pep:known chromosome:GRCm38:3:108207082:108209571:-1 gene:ENSMUSG00000048997.17 transcript:ENSMUST00000127157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l2 description:ataxin 7-like 2 [Source:MGI Symbol;Acc:MGI:1919772] MTLIKEDMSIFGHCPAHDDFYLVVCNHCSQVVKPQAFQKHCERRHGPLSKLYARAPPPPP APASSQKCHVVNGQGPACRAPGSTKTSSREKGQGSRSRGHQPPEKTQKDSLCLFVPVVNL E >ENSMUSP00000000095.6 pep:known chromosome:GRCm38:11:85832551:85841948:1 gene:ENSMUSG00000000093.6 transcript:ENSMUST00000000095.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx2 description:T-box 2 [Source:MGI Symbol;Acc:MGI:98494] MREPALAASAMAYHPFHAPRPADFPMSAFLAAAQPSFFPALALPPGALGKPLPDPGLAGA AAAAAAAAAAAEAGLHVSALGPHPPAAHLRSLKSLEPEDEVEDDPKVTLEAKELWDQFHK LGTEMVITKSGRRMFPPFKVRVSGLDKKAKYILLMDIVAADDCRYKFHNSRWMVAGKADP EMPKRMYIHPDSPATGEQWMAKPVAFHKLKLTNNISDKHGFTILNSMHKYQPRFHIVRAN DILKLPYSTFRTYVFPETDFIAVTAYQNDKITQLKIDNNPFAKGFRDTGNGRREKRKQLT LPTLRLYEEHCKPERDGAESDASSCDPPPAREPPPSPSAAPSPLRLHRARAEEKPGAADS DPEPERTGEERSAAPLGRSPSRDASPARLTEPERSRERRSPERCSKEPTEGGGDGPFSLR SLEKERPEARRKDEGRKDVGEGKEPSLAPLVVQTDSASPLGAGHLPGLAFSSHLHGQQFF GPLGAGQPLFLHPGQFAMGPGAFSAMGMGHLLASVAGGSGSSGGAGPGTAAGLDAGGLGP AASAASTAAPFPFHLSQHMLASQGIPMPTFGGLFPYPYTYMAAAAAAASALPATSAAAAA AAAAGSLSRSPFLGSARPRLRFSPYQIPVTIPPSTSLLTTGLAAEGSKGGNSREPSPLPE LALRKVGGPSRGALSPSGSAKEAASELQSIQRLVSGLESQRALSPGRESPK >ENSMUSP00000145208.1 pep:known chromosome:GRCm38:6:54501173:54509361:-1 gene:ENSMUSG00000019124.10 transcript:ENSMUST00000205108.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrn1 description:secernin 1 [Source:MGI Symbol;Acc:MGI:1917188] XQGRTLRKTMLELEKQGLEAMDEILSSPEPPDPAEVGDLFYDCVDTEMKFFK >ENSMUSP00000019268.4 pep:known chromosome:GRCm38:6:54505890:54566489:-1 gene:ENSMUSG00000019124.10 transcript:ENSMUST00000019268.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrn1 description:secernin 1 [Source:MGI Symbol;Acc:MGI:1917188] MSGAPPSYSFVALPPRAKDGLVVFGKNSARPRDEVQEVVYFPAVDHDAESKVECTYISID QVPRTHAIVISRPAWLWGAEMGANEHGVCIANEAINAREPAAETEALLGMDLVRLGLERG TTAKEALDIIVSLLDEHGQGGNYYEDAHSCHSFQSAYLLVDRDEAWVLETVGKYWAAERI TEGVRCICNHLSLATKLDEEHPELRTYAQSQGWWTGDDEFNFAQVFSPADDRLDCCAGQD SLEKQEESITVQTMINILRDKASGVCIDSESFLTTASIVSVLPQNRSSPCIHYFTGTPDP SRSIFKPFIFVDDVKLVPKAQSPCFGDDDPAKKEPRFQEKPDRRHELYKAHEWARAVIES DEEQGRTLRKTMLELEKQGLEAMDEILSSPEPPDPAEVGDLFYDCVDTEMKFFK >ENSMUSP00000145469.1 pep:known chromosome:GRCm38:6:54506265:54520853:-1 gene:ENSMUSG00000019124.10 transcript:ENSMUST00000203800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrn1 description:secernin 1 [Source:MGI Symbol;Acc:MGI:1917188] XQTMINILRDKASGVCIDSESFLTTASIVSVLPQNRSSPCIHYFTGTPDPSRSIFKPFIF VDDVKLVPKAQSPCFGDDDPAKKEPRFQEKPDRRHELYKAHEWARAVIESDEEQGRTLRK TMLELEKQGLEAMDEILSSPEPPDPAEVGDLFYDCVDTEMKFFK >ENSMUSP00000118255.1 pep:known chromosome:GRCm38:6:54534525:54554455:-1 gene:ENSMUSG00000019124.10 transcript:ENSMUST00000129744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrn1 description:secernin 1 [Source:MGI Symbol;Acc:MGI:1917188] MSGAPPSYSFVALPPRAKDGLVVFGKNSARPRDEVQEVVYFPAVDHDAESKVECTYISID QVPRTHAIVISRPAWL >ENSMUSP00000094120.4 pep:known chromosome:GRCm38:X:76264304:76267738:1 gene:ENSMUSG00000071738.4 transcript:ENSMUST00000096389.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34b3 description:claudin 34B3 [Source:MGI Symbol;Acc:MGI:3648293] MPLKKCHRQMGGFALTTVAWLLCCISTGLPQWQVWHYEDPVILKPTVALVGMWRACIFHT DSNSSNTRVCYQYKYDGSIHLYIQVNQHLLLVSSFLGLFGKITTIIALSNVHMGRVRRNA TCNPFRLSGILNIIASSFLYLAVLFNYIAIMSKWGIAFPPSFNLPFQPDIRKMGSATALA IIAAVFFLLSGTICLSSNLAIGKVPHSKM >ENSMUSP00000090981.4 pep:known chromosome:GRCm38:11:20543334:20653021:1 gene:ENSMUSG00000049800.13 transcript:ENSMUST00000093292.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertad2 description:SERTA domain containing 2 [Source:MGI Symbol;Acc:MGI:1931026] MLGKGGKRKFDEHEDGLEGKIVSPSDGPSRVSYTLQRQTIFNISLMKLYNHRPLTEPSLQ KTVLINNMLRRIQEELKQEGSLRPAFTPSSQPSNSLSDSYQEAPPPAPHPCDLGSTTPLE ACLTPASLLEDDNDDTFCTLQAVHPAAPTRLSSAALPAEKDSFSSALDEIEELCPTSTST EAAHTAAPEGPKGTSSESSVQKPEGPEEGRTDDSRFMDSLPGNFEITTSTGFLTDLTLDD ILFADIDTSMYDFDPCTSASGTASKMAPVSADDLLKTLAPYSNQPVAPSQPFKMDLTELD HIMEVLVGS >ENSMUSP00000105215.2 pep:known chromosome:GRCm38:11:20631979:20653021:1 gene:ENSMUSG00000049800.13 transcript:ENSMUST00000109586.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertad2 description:SERTA domain containing 2 [Source:MGI Symbol;Acc:MGI:1931026] MLGKGGKRKFDEHEDGLEGKIVSPSDGPSRVSYTLQRQTIFNISLMKLYNHRPLTEPSLQ KTVLINNMLRRIQEELKQEGSLRPAFTPSSQPSNSLSDSYQEAPPPAPHPCDLGSTTPLE ACLTPASLLEDDNDDTFCTLQAVHPAAPTRLSSAALPAEKDSFSSALDEIEELCPTSTST EAAHTAAPEGPKGTSSESSVQKPEGPEEGRTDDSRFMDSLPGNFEITTSTGFLTDLTLDD ILFADIDTSMYDFDPCTSASGTASKMAPVSADDLLKTLAPYSNQPVAPSQPFKMDLTELD HIMEVLVGS >ENSMUSP00000105214.1 pep:known chromosome:GRCm38:11:20647155:20649065:1 gene:ENSMUSG00000049800.13 transcript:ENSMUST00000109585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertad2 description:SERTA domain containing 2 [Source:MGI Symbol;Acc:MGI:1931026] MLGKGGKRKFDEHEDGLEGKIVSPSDGPSRVSYTLQRQTIFNISLMKLYNHRPLTEPSLQ KTVLINNMLRRIQEELKQEGSLRPAFTPSSQPSNSLSDSYQEAPPPAPHPCDLGSTTPLE ACLTPASLLEDDNDDTFCTLQAVHPAAPTRLSSAALPAEKDSFSSALDEIEELCPTSTST EAAHTAAPEGPKGTSSESSVQKPEGPEEGRTDDSRFMDSLPGNFEITTSTGFLTDLTLDD ILFADIDTSMYDFDPCTSASGTASKMAPVSADDLLKTLAPYSNQPVAPSQPFKMDLTELD HIMEVLVGS >ENSMUSP00000079035.6 pep:known chromosome:GRCm38:1:155558120:155572288:1 gene:ENSMUSG00000033701.13 transcript:ENSMUST00000080138.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd6 description:acyl-Coenzyme A binding domain containing 6 [Source:MGI Symbol;Acc:MGI:1919732] MATPFLPSGATTGDSGGELSSGDDSGDMESFQTPEAEGTRSLAELFEKAAAHVQGLVQVA SREQLLYLYARFKQVKVGNCNTPKPNFFDFEGKQKWEAWKALGDSSPSQAMQEYIAAVKK LDPGWNPQVPALLEFLS >ENSMUSP00000049124.3 pep:known chromosome:GRCm38:1:155558122:155691330:1 gene:ENSMUSG00000033701.13 transcript:ENSMUST00000035560.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd6 description:acyl-Coenzyme A binding domain containing 6 [Source:MGI Symbol;Acc:MGI:1919732] MATPFLPSGATTGDSGGELSSGDDSGDMESFQTPEAEGTRSLAELFEKAAAHVQGLVQVA SREQLLYLYARFKQVKVGNCNTPKPNFFDFEGKQKWEAWKALGDSSPSQAMQEYIAAVKK LDPGWNPQVSEKKGKEGSSGFGGPVVSSLYHEETIREEDKNIFDYCRENNIDHIAKAIKS KAADVNMTDEEGRALLHWACDRGHKELVKVLLQYEAGINCQDNEGQTALHYAAACEFLDI VELLLQSGADPTLRDQDGCLPEEVTGCKAVSLLLQRHRASKA >ENSMUSP00000095136.3 pep:known chromosome:GRCm38:1:155558126:155587798:1 gene:ENSMUSG00000033701.13 transcript:ENSMUST00000097529.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd6 description:acyl-Coenzyme A binding domain containing 6 [Source:MGI Symbol;Acc:MGI:1919732] MATPFLPSGATTGDSGGELSSGDDSGDMESFQTPEAEGTRSLAELFEKAAAHVQGLVQVA SREQLLYLYARFKQVKVGNCNTPKPNFFDFEGKQKWEAWKALGDSSPSQAMQEYIAAVKK LDPGWNPQLSRNPTARIEV >ENSMUSP00000141762.1 pep:known chromosome:GRCm38:1:155686991:155688371:1 gene:ENSMUSG00000033701.13 transcript:ENSMUST00000192730.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acbd6 description:acyl-Coenzyme A binding domain containing 6 [Source:MGI Symbol;Acc:MGI:1919732] XSGADPTLRDQDGCLPEEVTGCKAVSLLLQRHRASKA >ENSMUSP00000118711.1 pep:known chromosome:GRCm38:4:8690470:8785591:1 gene:ENSMUSG00000041235.11 transcript:ENSMUST00000127476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd7 description:chromodomain helicase DNA binding protein 7 [Source:MGI Symbol;Acc:MGI:2444748] MADPGMMSLFGEDGSLFSEGLEGLGECGYPENPVNPMGQQMPIDQGFPSLQPSLHHPSPN QNQTKLTHFDHYSQYEQKMHLMDQPNRMMGSAPGNGLASPHSQYHTPPVPQVPHGGGGGG QMGVYPGIQNERHGQSFVDGGSMWGPRAVQVPDQIRAPYQQQQPQPAPSGPPAQGHPQHM QQMGSYLARGDFSMQQHGQPQQRMGQFSQGQEGLSQGSPFIATSGPGHLSHMPQQSPSMA PSLRHPVQQQFHHHPAALHGESVAHSPRFSPNPPQQGAVRPQTLNFSSRNQTVPSPTVNN SGQYSRYPYSNLNQGLVNSTGMNQNLGLTNSTPMNQSVPRYPNAVGFPSNSGQGLVHQQP IHSSGSLNQMNTQTMHPSQPQGTYASPPPMSPMKAMSNPAGTPPPQVRPGSAGMPMEVGS YPNMPHPQPSHQPPGAMGIGQRNMGPRNMQQPRSFMGMSSAPRELTGHMRPNGCPGVGLA DPQAIQERLIPGQQHPGQQPSFQQLPTCPPLQPHPGLHQSSPPHPHHQPWAQLHPSPQNT PQKVPVHQHSPSEPFLEKPVPDMTQVSAQNAQLVKSDDYLPSIEQQPQQKKKKKKNNHIA AGDSSKGFGKDDFPGGVENQELRRNSLDVSQ >ENSMUSP00000059079.3 pep:known chromosome:GRCm38:4:8691365:8867659:1 gene:ENSMUSG00000041235.11 transcript:ENSMUST00000051558.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd7 description:chromodomain helicase DNA binding protein 7 [Source:MGI Symbol;Acc:MGI:2444748] MADPGMMSLFGEDGSLFSEGLEGLGECGYPENPVNPMGQQMPIDQGFPSLQPSLHHPSPN QNQTKLTHFDHYSQYEQKMHLMDQPNRMMGSAPGNGLASPHSQYHTPPVPQVPHGGGGGG QMGVYPGIQNERHGQSFVDGGSMWGPRAVQVPDQIRAPYQQQQPQPAPSGPPAQGHPQHM QQMGSYLARGDFSMQQHGQPQQRMGQFSQGQEGLSQGSPFIATSGPGHLSHMPQQSPSMA PSLRHPVQQQFHHHPAALHGESVAHSPRFSPNPPQQGAVRPQTLNFSSRNQTVPSPTVNN SGQYSRYPYSNLNQGLVNSTGMNQNLGLTNSTPMNQSVPRYPNAVGFPSNSGQGLVHQQP IHSSGSLNQMNTQTMHPSQPQGTYASPPPMSPMKAMSNPAGTPPPQVRPGSAGMPMEVGS YPNMPHPQPSHQPPGAMGIGQRNMGPRNMQQPRSFMGMSSAPRELTGHMRPNGCPGVGLA DPQAIQERLIPGQQHPGQQPSFQQLPTCPPLQPHPGLHQSSPPHPHHQPWAQLHPSPQNT PQKVPVHQHSPSEPFLEKPVPDMTQVSAQNAQLVKSDDYLPSIEQQPQQKKKKKKNNHIA AGDSSKGFGKDDFPGGVENQELRRNSLDVSQEEKKKKKRPKVKKDPKESKEPKEKKEPKT PKAPKIPKEPKEKKAKTVTPKPKSSKKSSNKKPDSEASALKKKVNKGKTEGSENSDLDKT PPPSPAPEEDEDPGVQKRRSSRQVKRKRYTEDLEFKISDEEADDADAAGRDSPSNTSQSE QQESVDAEGPVVEKIMSSRLVKKQKESGEEVEVEEFYVKYKNFSYLHCQWASVEDLEKDK RIQQKIKRFKSKQGQSKFLSEIEDDLFNPDYVEVDRIMDFARSTDDRGEPVIHYLVKWCS LPYEDSTWELKQDIDQTKIEEFEKLMSREPETERVERPPADDWKKSESSREYKNNNKLRE YQLEGVNWLLFNWYNMRNCILADEMGLGKTIQSITFLYEIYLKGIHGPFLVIAPLSTIPN WEREFRTWTELNVVVYHGSQASRRTIQLYEMYFKDPQGRVIKGSYKFHAIITTFEMILTD CPELRNIPWRCVVIDEAHRLKNRNCKLLEGLKMMDLEHKVLLTGTPLQNTVEELFSLLHF LEPSRFPSETTFMQEFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKEETIIEVEL TNIQKKYYRAILEKNFTFLSKGGGQANVPNLLNTMMELRKCCNHPYLINGAEEKILEEFK ETHNAESPDFQLQAMIQAAGKLVLIDKLLPKLKAGGHRVLIFSQMVRCLDILEDYLIQRR YPYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNP QNDLQAQARCHRIGQSKSVKIYRLITRNSYEREMFDKASLKLGLDKAVLQSMSGRENATN GVQQLSKKEIEDLLRKGAYGALMDEEDEGSKFCEEDIDQILLRRTHTITIESEGKGSTFA KASFVASGNRTDISLDDPNFWQKWAKKAELDIDALNGRNNLVIDTPRVRKQTRLYSAVKE DELMEFSDLESDSEEKPCAKPRRPQDKSQGYARSECFRVEKNLLVYGWGRWTDILSHGRY KRQLTEQDVETICRAILVYCLNHYRGDENIKSFIWDLITPTADGQTRALLNHSGLSAPVP RGRKGKKVKAQSTQPVVHDAHWLASCNPDALFQEDSYKKHLKHHCNKVLLRVRMLYYLRQ EVIGDQAEKILEGADSSEADVWIPEPFHAEVPTDWWDREADKSLLIGVFKHGYEKYNSMR ADPALCFLERVGMPDAKAIAAEQRGTDMLADGGDGGEFDREDEDPEYKPTRAPFKDEIDE FANSPAEDKEESMEVHSSGKHSESNAELGQLYWPNTSTLTTRLRRLITAYQRSYKRQQMR QEALMKTDRRRRRPREEVRALEAEREAIISEKRQKWTRREEADFYRVVSTFGVIFDPVKQ QFDWNQFRAFARLDKKSDESLGKYFSCFVAMCRRVCRMPAKPEDEPPDLASLIEPITEER ASRTLYRIELLRKIREQVLHHPQLSDRLKLCQPSLDLPEWWECGRHDRDLLVGAAKHGVS RTDYHILNDPELSFLDAHKSFAQNRGASTVPPLNTLAMGFGQTPPVISSAHVHEEKAMEQ AEGKAEECEHSPAKERSDGKEEEEEAGGAKDGKQECEVEASSVKGELKGVEGSADPGSKS VSEKGSEEDEEEKLEDDDKSEESSQPEAGAVSRGKTFDEESNASLSTARDETRDGFYMED GDASVAQLLHERTFAFSFWPKDRVMINRLDNICEAVLKGKWPVNRRQMFDFQGLVPGYPP SAVDSPLQKRSFAELSMVSQASISASEDITTSPQLSKDDALNLSVPRQRRRRRRKVEIEA ERAAKRRNLMEMVAQLRESQVVSENGQEKVVDLSKASREATSSTSNFSSLTSKFILPNVS TPVSDAFKSQMELLQAGLSRTPTRHMLNGSLVDGEPPMKRRRGRRKNVEGLDLLFMSHKR TPLSAEDAEVTKAFEEDIETPPIRNIPSPGQLDPDTRIPVINLEDGTRLVGEDAPKNKDL VDWLKLHPTYTVDMPSYVPKNTDVLFSSFQKPKQKRHRCRNPNKLDINTLTGEERVPVVN KRNGKKMGGAMAPPMKDLPRWLEENPEFAVAPDWTDIVKQSGFVPESMFDRLLTGPVVRG EGASRRGRRPKSEIARAAAAAAAVASTSGINPLLVNSLFAGMDLTSLQNLQNLQSLQLAG LMGFPPGLATAATAGGDAKSPAAVLPLMLPGMAGLPNVFGLGGLLNNPLSAATGNTTTAS SQGEPEDGTSKAEEKGNDNEDENRDSEKSTDTVSAADSANGSVGAATAPAALPSNPLAFN PFLLSTMAPGLFYPSMFLPPGLGGLTLPGFPALAGLQNAVGTSEEKAADKAEGGPCKDGE TLEGSDAEENLDKTVESSILEDEVAQGEELDSLEGGDEIENTGNDE >ENSMUSP00000123241.1 pep:known chromosome:GRCm38:4:8710340:8751562:1 gene:ENSMUSG00000041235.11 transcript:ENSMUST00000129655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd7 description:chromodomain helicase DNA binding protein 7 [Source:MGI Symbol;Acc:MGI:2444748] MADPGMMSLFGEDGSLFSE >ENSMUSP00000127007.1 pep:known chromosome:GRCm38:4:8751438:8867224:1 gene:ENSMUSG00000041235.11 transcript:ENSMUST00000170391.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd7 description:chromodomain helicase DNA binding protein 7 [Source:MGI Symbol;Acc:MGI:2444748] MADPGMMSLFGEDGSLFSEGLEGLGECGYPENPVNPMGQQMPIDQGFPSLQPSLHHPSPN QNQTKLTHFDHYSQYEQKMHLMDQPNRMMGSAPGNGLASPHSQYHTPPVPQVPHGGGGGG QMGVYPGIQNERHGQSFVDGGSMWGPRAVQVPDQIRAPYQQQQPQPAPSGPPAQGHPQHM QQMGSYLARGDFSMQQHGQPQQRMGQFSQGQEGLSQGSPFIATSGPGHLSHMPQQSPSMA PSLRHPVQQQFHHHPAALHGESVAHSPRFSPNPPQQGAVRPQTLNFSSRNQTVPSPTVNN SGQYSRYPYSNLNQGLVNSTGMNQNLGLTNSTPMNQSVPRYPNAVGFPSNSGQGLVHQQP IHSSGSLNQMNTQTMHPSQPQGTYASPPPMSPMKAMSNPAGTPPPQVRPGSAGMPMEVGS YPNMPHPQPSHQPPGAMGIGQRNMGPRNMQQPRSFMGMSSAPRELTGHMRPNGCPGVGLA DPQAIQERLIPGQQHPGQQPSFQQLPTCPPLQPHPGLHQSSPPHPHHQPWAQLHPSPQNT PQKVPVHQHSPSEPFLEKPVPDMTQKPKQKRHRCRNPNKLDINTLTGEERVPVVNKRNGK KMGGAMAPPMKDLPRWLEENPEFAVAPDWTDIVKQSGFVPESMFDRLLTGPVVRGEGASR RGRRPKSEIARAAAAAAAVASTSGINPLLVNSLFAGMDLTSLQNLQNLQSLQLAGLMGFP PGLATAATAGGDAKSPAAVLPLMLPGMAGLPNVFGLGGLLNNPLSAATGNTTTASSQGEP EDGTSKAEEKGNDNEDENRDSEKSTDTVSAADSANGSVGAATAPAALPSNPLAFNPFLLS TMAPGLFYPSMFLPPGLGGLTLPGFPALAGLQNAVGTSEEKAADKAEGGPCKDGETLEGS DAEENLDKTVESSILEDEVAQGEELDSLEGGDEIENTGNDE >ENSMUSP00000043903.3 pep:known chromosome:GRCm38:4:8690921:8866810:1 gene:ENSMUSG00000041235.11 transcript:ENSMUST00000039267.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd7 description:chromodomain helicase DNA binding protein 7 [Source:MGI Symbol;Acc:MGI:2444748] MADPGMMSLFGEDGSLFSEGLEGLGECGYPENPVNPMGQQMPIDQGFPSLQPSLHHPSPN QNQTKLTHFDHYSQYEQKMHLMDQPNRMMGSAPGNGLASPHSQYHTPPVPQVPHGGGGGG QMGVYPGIQNERHGQSFVDGGSMWGPRAVQVPDQIRAPYQQQQPQPAPSGPPAQGHPQHM QQMGSYLARGDFSMQQHGQPQQRMGQFSQGQEGLSQGSPFIATSGPGHLSHMPQQSPSMA PSLRHPVQQQFHHHPAALHGESVAHSPRFSPNPPQQGAVRPQTLNFSSRNQTVPSPTVNN SGQYSRYPYSNLNQGLVNSTGMNQNLGLTNSTPMNQSVPRYPNAVGFPSNSGQGLVHQQP IHSSGSLNQMNTQTMHPSQPQGTYASPPPMSPMKAMSNPAGTPPPQVRPGSAGMPMEVGS YPNMPHPQPSHQPPGAMGIGQRNMGPRNMQQPRSFMGMSSAPRELTGHMRPNGCPGVGLA DPQAIQERLIPGQQHPGQQPSFQQLPTCPPLQPHPGLHQSSPPHPHHQPWAQLHPSPQNT PQKVPVHQHSPSEPFLEKPVPDMTQVSAQNAQLVKSDDYLPSIEQQPQQKKKKKKNNHIA AGDSSKGFGKDDFPGGVENQELRRNSLDVSQEEKKKKKRPKVKKDPKESKEPKEKKEPKT PKAPKIPKEPKEKKAKTVTPKPKSSKKSSNKKPDSEASALKKKVNKGKTEGSENSDLDKT PPPSPAPEEDEDPGVQKRRSSRQVKRKRYTEDLEFKISDEEADDADAAGRDSPSNTSQSE QQESVDAEGPVVEKIMSSRLVKKQKESGEEVEVEEFYVKYKNFSYLHCQWASVEDLEKDK RIQQKIKRFKSKQGQSKFLSEIEDDLFNPDYVEVDRIMDFARSTDDRGEPVIHYLVKWCS LPYEDSTWELKQDIDQTKIEEFEKLMSREPETERVERPPADDWKKSESSREYKNNNKLRE YQLEGVNWLLFNWYNMRNCILADEMGLGKTIQSITFLYEIYLKGIHGPFLVIAPLSTIPN WEREFRTWTELNVVVYHGSQASRRTIQLYEMYFKDPQGRVIKGSYKFHAIITTFEMILTD CPELRNIPWRCVVIDEAHRLKNRNCKLLEGLKMMDLEHKVLLTGTPLQNTVEELFSLLHF LEPSRFPSETTFMQEFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLAPKEETIIEVEL TNIQKKYYRAILEKNFTFLSKGGGQANVPNLLNTMMELRKCCNHPYLINGAEEKILEEFK ETHNAESPDFQLQAMIQAAGKLVLIDKLLPKLKAGGHRVLIFSQMVRCLDILEDYLIQRR YPYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNP QNDLQAQARCHRIGQSKSVKIYRLITRNSYEREMFDKASLKLGLDKAVLQSMSGRENATN GVQQLSKKEIEDLLRKGAYGALMDEEDEGSKFCEEDIDQILLRRTHTITIESEGKGSTFA KASFVASGNRTDISLDDPNFWQKWAKKAELDIDALNGRNNLVIDTPRVRKQTRLYSAVKE DELMEFSDLESDSEEKPCAKPRRPQDKSQGYARSECFRVEKNLLVYGWGRWTDILSHGRY KRQLTEQDVETICRAILVYCLNHYRGDENIKSFIWDLITPTADGQTRALLNHSGLSAPVP RGRKGKKVKAQSTQPVVHDAHWLASCNPDALFQEDSYKKHLKHHCNKVLLRVRMLYYLRQ EVIGDQAEKILEGADSSEADVWIPEPFHAEVPTDWWDREADKSLLIGVFKHGYEKYNSMR ADPALCFLERVGMPDAKAIAAEQRGTDMLADGGDGGEFDREDEDPEYKPTRAPFKDEIDE FANSPAEDKEESMEVHSSGKHSESNAELGQLYWPNTSTLTTRLRRLITAYQRSYKRQQMR QEALMKTDRRRRRPREEVRALEAEREAIISEKRQKWTRREEADFYRVVSTFGVIFDPVKQ QFDWNQFRAFARLDKKSDESLGKYFSCFVAMCRRVCRMPAKPEDEPPDLASLIEPITEER ASRTLYRIELLRKIREQVLHHPQLSDRLKLCQPSLDLPEWWECGRHDRDLLVGAAKHGVS RTDYHILNDPELSFLDAHKSFAQNRGASTVPPLNTLAMGFGQTPPVISSAHVHEEKAMEQ AEGKAEECEHSPAKERSDGKEEEEEAGGAKDGKQECEVEASSVKGELKGVEGSADPGSKS VSEKGSEEDEEEKLEDDDKSEESSQPEAGAVSRGKTFDEESNASLSTARDETRDGFYMED GDASVAQLLHERTFAFSFWPKDRVMINRLDNICEAVLKGKWPVNRRQMFDFQGLVPGYPP SAVDSPLQKRSFAELSMVSQASISASEDITTSPQLSKDDALNLSVPRQRRRRRRKVEIEA ERAAKRRNLMEMVAQLRESQVVSENGQEKVVDLSKASREATSSTSNFSSLTSKFILPNVS TPVSDAFKSQMELLQAGLSRTPTRHMLNGSLVDGEPPMKRRRGRRKNVEGLDLLFMSHKR TPLSAEDAEVTKAFEEDIETPPIRNIPSPGQLDPDTRIPVINLEDGTRLVGEDAPKNKDL VDWLKLHPTYTVDMPSYVPKNTDVLFSSFQKPKQKRHRCRNPNKLDINTLTGEERVPVVN KRNGKKMGGAMAPPMKDLPRWLEENPEFAVAPDWTDIVKQSGFVPESMFDRLLTGPVVRG EGASRRGRRPKSEIARAAAAAAAVASTSGINPLLVNSLFAGMDLTSLQNLQNLQSLQLAG LMGFPPGLATAATAGGDAKSPAAVLPLMLPGMAGLPNVFGLGGLLNNPLSAATGNTTTAS SQGEPEDGTSKAEEKGNDNEDENRDSEKSTDTVSAADSANGSVGAATAPAALPSNPLAFN PFLLSTMAPGLFYPSMFLPPGLGGLTLPGFPALAGLQNAVGTSEEKAADKAEGGPCKDGE TLEGSDAEENLDKTVESSILEDEVAQGEELDSLEGGDEIENTGNDE >ENSMUSP00000083743.5 pep:known chromosome:GRCm38:1:132007607:132026448:1 gene:ENSMUSG00000026436.15 transcript:ENSMUST00000086556.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elk4 description:ELK4, member of ETS oncogene family [Source:MGI Symbol;Acc:MGI:102853] MDSAITLWQFLLQLLQEPQNEHMICWTSNNGEFKLLQAEEVARLWGIRKNKPNMNYDKLS RALRYYYVKNIIKKVNGQKFVYKFVSYPEILKMDPLTVGRIEGDCEALNSIETSSSKDVE YGGKERPPQPGAKTSSRNDYIHSGLYSSFTLNSLNTSNKKLFKSIKIENPAEKLAEKKAQ EPTPSVIKFVTTPAKKPPIEPVAAAFATSPSLSPSSEETIQALETLVSPTLPSLETPASI SILATTFNPTPPVPSTPLPLKEPPRTPSPPLSSNPDIDTDIESVASQPMELPENLSLEPK NEDSALPEKDKTNNSSRSKKPKGLELTPALVVTGSDPSPLGILSPSLPTASLTPALFSQT PILLTPSPLLSSIHFWSTLSPFAPLSPARLQGANTLFQFPSVLNSHGPFTLSGLDGPSTP GPFSPDLQKT >ENSMUSP00000027696.3 pep:known chromosome:GRCm38:1:132007876:132023661:1 gene:ENSMUSG00000026436.15 transcript:ENSMUST00000027696.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elk4 description:ELK4, member of ETS oncogene family [Source:MGI Symbol;Acc:MGI:102853] MDSAITLWQFLLQLLQEPQNEHMICWTSNNGEFKLLQAEEVARLWGIRKNKPNMNYDKLS RALRYYYVKNIIKKVNGQKFVYKFVSYPEILKMDPLTVGRIEGDCEALNSIETSSSKDVE YGGKERPPQPGAKTSSRNDYIHSGLYSSFTLNSLNTSNKKLFKSIKIENPAEKLAEKKAQ EPTPSVIKFVTTPAKKPPIEPVAAAFATSPSLSPSSEETIQALETLVSPTLPSLETPASI SILATTFNPTPPVPSTPLPLKEPPRTPSPPLSSNPDIDTDIESVASQPMELPENLSLEPK NEDSALPEKDKTNNSSRSKKPKGLELTPALVVTGSDPSPLGILSPSLPTASLTPALFSQT PILLTPSPLLSSIHFWSTLSPFAPLSPARLQGANTLFQFPSVLNSHGPFTLSGLDGPSTP GPFSPDLQKT >ENSMUSP00000118880.1 pep:known chromosome:GRCm38:1:132008297:132018305:1 gene:ENSMUSG00000026436.15 transcript:ENSMUST00000146432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elk4 description:ELK4, member of ETS oncogene family [Source:MGI Symbol;Acc:MGI:102853] MDSAITLWQFLLQLLQEPQNEHMICWTSNNGEFKLLQAEEVARLWGIRKNKPNMNYDKLS RALRYYYVKNIIKKVNGQKFVYKFVSYPEILKMDPLTVGRIEGDCEALNSIETSSSKDVE YGGKERPPQPGAKTSSRNDYIHSGLYSSFTLNSLNTSNKKLFKSIKIENPAEKLAEKKAQ EPTPSVIKFVTTPAKKPPIEPVAAAFATSPSLSPSSEETIQALETLVSPTLPSLETPASI SILATTFNPTPPVPSTPLPLKEPPRTPSPPLSSNPDIDTDIESVASQPMELPENLSLEPK NEDSALP >ENSMUSP00000114255.1 pep:known chromosome:GRCm38:1:132017808:132032612:1 gene:ENSMUSG00000026436.15 transcript:ENSMUST00000147218.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Elk4 description:ELK4, member of ETS oncogene family [Source:MGI Symbol;Acc:MGI:102853] XHSGLYSSFTLNSLNTSNKKLFKSIKIENPAEKLAEKKAQEPTPSVIKFVTTPAKKPPIE PVAAAFATSPSLSPSSEETIQALETLVSPTLPSLETPASISILATTFNPTPPVPSTPLPL KEPPRTPSPPLSSNPDIDTDIESVASQPMELPENLSLEPKNEDSALPEKDKTNNSSRSKK PKGLELTPALVVTGSDPSPLGILSPSLPTASLTPALFSQTPILLTPSPLLSSIHFWSTLS PFAPLSPARLQGANTLFQFPSVLNSHGPFTLSGLDGPSTPGPFSPDLQKT >ENSMUSP00000021903.2 pep:known chromosome:GRCm38:13:51846678:51848468:1 gene:ENSMUSG00000021453.2 transcript:ENSMUST00000021903.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gadd45g description:growth arrest and DNA-damage-inducible 45 gamma [Source:MGI Symbol;Acc:MGI:1346325] MTLEEVRGQDTVPESTARMQGAGKALHELLLSAQRQGCLTAGVYESAKVLNVDPDNVTFC VLAADEEDEGDIALQIHFTLIQAFCCENDIDIVRVGDVQRLAAIVGADEEGGAPGDLHCI LISNPNEDTWKDPALEKLSLFCEESRSFNDWVPSITLPE >ENSMUSP00000030637.7 pep:known chromosome:GRCm38:4:126743750:126753409:-1 gene:ENSMUSG00000028833.13 transcript:ENSMUST00000030637.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncdn description:neurochondrin [Source:MGI Symbol;Acc:MGI:1347351] MSCCDLAAAGQLGKAGIMASDCEPALNQAESRNPTLERYLGALREAKNDSEQFAALLLVT KAVKAGDIDAKTRRRIFDAVGFTFPNRLLTTKEAPDGCPDHVLRALGVALLACFCSDPEL ASHPQVLNKIPILSTFLTARGDPDDAARRSMIDDTYQCLTAVAGTPRGPRHLIAGGTVSA LCQAYLGHGYGFDQALALLVGLLAAAETQCWKEAEPDLLAVLRGLSEDFQRAEDASKFEL CQLLPLFLPPTTVPPECHRDLQAGLARILGSKLSSWQRNPALKLAARLAHACGSDWIPVG SSGSKFLALLVNLACVEVRLALEETGTEVKEDVVTACYALMELGIQECTRCEQSLLKEPQ KVQLVSIMKEAIGAVIHYLLQVGPEKQKEPFVFASVRILGAWLAEETSSLRKEVCQLLPF LVRYAKTLYEEAEEASDISQQVANLAISPTTPGPSWPGDALRLLLPGWCHLTVEDGPREI LIKEGAPSLLCKYFLQQWELTSPGHDTSVLPDSVEIGLQTCCHIFLNLVVTAPGLIKRDA CFTSLMNTLMTSLPSLVQQQGRLLLAANVATLGLLMARLLSTSPALQGTPASRGFFAAAI LFLSQSHVARATPGSDQAVLALSPDYEGIWADLQELWFLGMQAFTGCVPLLPWLAPAALR SRWPQELLQLLGSVSPNSVKPEMVAAYQGVLVELARANRLCREAMRLQAGEETASHYRMA ALEQCLSEP >ENSMUSP00000101722.1 pep:known chromosome:GRCm38:4:126744731:126753427:-1 gene:ENSMUSG00000028833.13 transcript:ENSMUST00000106116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncdn description:neurochondrin [Source:MGI Symbol;Acc:MGI:1347351] MSCCDLAAAGQLGKAGIMASDCEPALNQAESRNPTLERYLGALREAKNDSEQFAALLLVT KAVKAGDIDAKTRRRIFDAVGFTFPNRLLTTKEAPDGCPDHVLRALGVALLACFCSDPEL ASHPQVLNKIPILSTFLTARGDPDDAARRSMIDDTYQCLTAVAGTPRGPRHLIAGGTVSA LCQAYLGHGYGFDQALALLVGLLAAAETQCWKEAEPDLLAVLRGLSEDFQRAEDASKFEL CQLLPLFLPPTTVPPECHRDLQAGLARILGSKLSSWQRNPALKLAARLAHACGSDWIPVG SSGSKFLALLVNLACVEVRLALEETGTEVKEDVVTACYALMELGIQECTRCEQSLLKEPQ KVQLVSIMKEAIGAVIHYLLQVGPEKQKEPFVFASVRILGAWLAEETSSLRKEVCQLLPF LVRYAKTLYEEAEEASDISQQVANLAISPTTPGPSWPGDALRLLLPGWCHLTVEDGPREI LIKEGAPSLLCKYFLQQWELTSPGHDTSVLPDSVEIGLQTCCHIFLNLVVTAPGLIKRDA CFTSLMNTLMTSLPSLVQQQGRLLLAANVATLGLLMARLLSTSPALQGTPASRGFFAAAI LFLSQSHVARATPGSDQAVLALSPDYEGIWADLQELWFLGMQAFTGCVPLLPWLAPAALR SRWPQELLQLLGSVSPNSVKPEMVAAYQGVLVELARANRLCREAMRLQAGEETASHYRMA ALEQCLSEP >ENSMUSP00000096340.1 pep:known chromosome:GRCm38:7:20473960:20474925:-1 gene:ENSMUSG00000094762.1 transcript:ENSMUST00000098744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10670 description:predicted gene 10670 [Source:MGI Symbol;Acc:MGI:3642641] MSVRGKSLKTTEEVALQIILLCQFGVGTMANDFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTVFPNNMMAFAPRNPPTELKCKLKFFSHLVTRSTNLCSTCVLSMHQFVTLVP ISRGKLLLRASVPNLVSYSCYGCWFFSVLSNIHIPIKVTGPQITDNNTDSKSKFFCSTSG FTVRIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAESRATNTI LMLVVTFVSFYLLNFICIIFHTFFIHYNLFIRLLGEILAAGFPTISPLLLIFRDPKNPCL ELFHCFKPESLQNLKHRRQLH >ENSMUSP00000052441.2 pep:known chromosome:GRCm38:X:74594328:74595275:1 gene:ENSMUSG00000051706.2 transcript:ENSMUST00000054541.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1325 description:olfactory receptor 1325 [Source:MGI Symbol;Acc:MGI:3031159] MKKMNYQEIGNYTKVTEFILVGLSRHPTSQSVVFWTLMFLYIVTLAGNSLIIFLVGGNSQ LHTPMYFFLGNLSLLDLLFSTSVVPLIMVNSLYNFSTISYSSCFTQLAFRAFLALAECFL LAIMAYDRFVAISNPLRYNLVMSSRVCIFMALLAWMAALLLTVLPILIFPISFCGQNVVN HFSCEVQAIFKLLCSNTISLQIMMIACAVISMPVPLMFILFSYLCILKAVLRIHPTKARL KAFSTCASHLIVVTIYFGTLIYIYMRPQSKISHNGDKIVSIFYAAVTPMLNPLIYTLRNK DVKAVLRRVNCGVKS >ENSMUSP00000141341.1 pep:known chromosome:GRCm38:3:32510259:32520833:1 gene:ENSMUSG00000027667.13 transcript:ENSMUST00000193287.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp639 description:zinc finger protein 639 [Source:MGI Symbol;Acc:MGI:1915028] MNEYPKKRKRKTLHPSRYSDSSGISRIADGVSGIFSDHCYSVCSMRQPDLKYFDNKDDDS DPETANDLPKFADGTKARNRNQSYLVPSPVLRILDHTVFSTEKSTEVEICDEECASPESV HQHTQEESPIEVHTSEDVPIAVEVHAISEDYDIEAENNSSESLQDQADEEPPAKLCKILD KGQALNVTAQQKWPLLRANSSGLYKCELCEFNSKYFSDLKQHVILKHKRTDSNVCRVCKE SFSTNMLLIEHAKLHEEDPYICKYCDYKTVIFENLSQHIADTHFSDHLYWCEQCDVQFSS SSELYLHFQEHSRDEQYLCQFCEHETGDPEDLHSHVVNEHARRLIELSDKCGSGGHGQCS LLSKITFDKCKNFFVCQVCGFRSRLHTNVNRHVAIEHTKIFPHVCDDCGKGFSSMLEYCK HLNSHLSEGIYLCQYCEYSTGQIDDLKIHLDFKHSADLPHKCSECLMRFGNERDLLGHLQ VHETT >ENSMUSP00000141446.1 pep:known chromosome:GRCm38:3:32510535:32520743:1 gene:ENSMUSG00000027667.13 transcript:ENSMUST00000191783.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp639 description:zinc finger protein 639 [Source:MGI Symbol;Acc:MGI:1915028] MNEYPKKRKRKTLHPSRYSDSSGISRIADGVSGIFSDHCYSVCSMRQPDLKYFDNKDDDS DPETANDLPKFADGTKARNRNQSYLVPSPVLRILDHTVFSTEKSTEVEICDEECASPESV HQHTQEESPIEVHTSEDVPIAVEVHAISEDYDIEAENNSSESLQDQADEEPPAKLCKILD KGQALNVTAQQKWPLLRANSSGLYKCELCEFNSKYFSDLKQHVILKHKRTDSNVCRVCKE SFSTNMLLIEHAKLHEEDPYICKYCDYKTVIFENLSQHIADTHFSDHLYWCEQCDVQFSS SSELYLHFQEHSRDEQYLCQFCEHETGDPEDLHSHVVNEHARRLIELSDKCGSGGHGQCS LLSKITFDKCKNFFVCQVCGFRSRLHTNVNRHVAIEHTKIFPHVCDDCGKGFSSMLEYCK HLNSHLSEGIYLCQYCEYSTGQIDDLKIHLDFKHSADLPHKCSECLMRFGNERDLLGHLQ VHETT >ENSMUSP00000142244.1 pep:known chromosome:GRCm38:3:32510664:32519566:1 gene:ENSMUSG00000027667.13 transcript:ENSMUST00000193119.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp639 description:zinc finger protein 639 [Source:MGI Symbol;Acc:MGI:1915028] MNEYPKKRKRKTLHPSRYSDSSGISRIADGVSGIFSDHCYSVCSMRQPDLKYFDNKDDDS DPETANDLPKFADGTKARNRNQSYLVPSPVLRILDHTVFSTEKSTEVEICDEE >ENSMUSP00000141559.1 pep:known chromosome:GRCm38:3:32513000:32519568:1 gene:ENSMUSG00000027667.13 transcript:ENSMUST00000192985.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp639 description:zinc finger protein 639 [Source:MGI Symbol;Acc:MGI:1915028] MRQPDLKYFDNKDDDSDPETANDLPKFADGTKARNRNQSYLVPSPVLRILDHTVFSTEKS TEVEICDEE >ENSMUSP00000142029.1 pep:known chromosome:GRCm38:3:32517080:32520517:1 gene:ENSMUSG00000027667.13 transcript:ENSMUST00000193789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp639 description:zinc finger protein 639 [Source:MGI Symbol;Acc:MGI:1915028] XHCYSVCSMRQPDLKYFDNKDDDSDPETANDLPKFADGTKARNRNQSYLVPSPVLRILDH TVFSTEKSTEVEICDEECASPETSASTLQTRTSATTFTGVSSVTCSSPQAVSSTCTSRSI AVMSSTCASSVSTRQGTLRTCTATLSTSTLAD >ENSMUSP00000029203.7 pep:known chromosome:GRCm38:3:32515295:32520685:1 gene:ENSMUSG00000027667.13 transcript:ENSMUST00000029203.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp639 description:zinc finger protein 639 [Source:MGI Symbol;Acc:MGI:1915028] MNEYPKKRKRKTLHPSRYSDSSGISRIADGVSGIFSDHCYSVCSMRQPDLKYFDNKDDDS DPETANDLPKFADGTKARNRNQSYLVPSPVLRILDHTVFSTEKSTEVEICDEECASPESV HQHTQEESPIEVHTSEDVPIAVEVHAISEDYDIEAENNSSESLQDQADEEPPAKLCKILD KGQALNVTAQQKWPLLRANSSGLYKCELCEFNSKYFSDLKQHVILKHKRTDSNVCRVCKE SFSTNMLLIEHAKLHEEDPYICKYCDYKTVIFENLSQHIADTHFSDHLYWCEQCDVQFSS SSELYLHFQEHSRDEQYLCQFCEHETGDPEDLHSHVVNEHARRLIELSDKCGSGGHGQCS LLSKITFDKCKNFFVCQVCGFRSRLHTNVNRHVAIEHTKIFPHVCDDCGKGFSSMLEYCK HLNSHLSEGIYLCQYCEYSTGQIDDLKIHLDFKHSADLPHKCSECLMRFGNERDLLGHLQ VHETT >ENSMUSP00000044936.6 pep:known chromosome:GRCm38:10:17796256:17845665:1 gene:ENSMUSG00000039891.6 transcript:ENSMUST00000037964.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlnb description:taxilin beta [Source:MGI Symbol;Acc:MGI:2671945] MEINHPDQLSVEHPTPPGDSSSLNQNGPGKQDGERCSTSGQAPEQEGSLHPEKGAHDVAE ELSRQLEDIISTYGSAASPRGKESTSETKEQPPNTEAPDNEDVDYEETTEEIDREPTAPE EPAAAKEPVSNKEQKLEKKILKGLGKEANLLMQNLNKLQAPEEKLDFLFKKYTELLDEHR TEQKKLKLLLKQQAQTQREKDQLQSEHNRAVLARSKLESLCRELQRHNKTLKEETLQRAR EEEEKRKEITSHFQTTLTDIQTQIEQQSERNMKLCQENTELAEKLKSIIDQYELREEHLD KIFKHRELQQKLVDAKLEEAQELMQEAEERHRREKEYLLNQAAEWKLQAKVLKEQETVLQ AQLTLYSGRFEEFQSTLTKSNEVFATFKQEMDKTTKKMKKLEKDTATWKARFENCNKALL DMIEEKALRAKEYECFVMKIQRLENLCRALQEERKELYKKIREAKMSEKEDQVQRTSEEE PEPSVSENEEVDAEEANSFQKAVENLATAFTILHHPEFTPDQPTERQLAVNGPQSGSDVT HQHPETARLNHPSLPADSGSPRPPVGAQAVAEGVCEATPAPTASCTPAEAELQSQGLPAE NTPGPKPHKPEANTSGQAPLSPAQGSLSVVEAKYDISPSPESEGDSAVVPGCESREQPPP EVTDIPVGPSTGLPREPDACLNGVD >ENSMUSP00000134530.1 pep:known chromosome:GRCm38:4:59003210:59041888:1 gene:ENSMUSG00000092345.1 transcript:ENSMUST00000174664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20503 description:predicted gene 20503 [Source:MGI Symbol;Acc:MGI:5141968] MAARLALRGGPGAAGQRPWLLLAPLLLVPLLARPAEALVEGLYCGTRDCYEVLGVSRSAS KAEIARAYRQLARRYHPDRYRPEPGDGPGGAPPSAEAFLLVATAYETLKVSQAAAELQQY CIQNACKDALLLGVPAGSNPFREPRSCALL >ENSMUSP00000128293.1 pep:known chromosome:GRCm38:7:20509617:20510534:-1 gene:ENSMUSG00000096663.1 transcript:ENSMUST00000163461.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r103 description:vomeronasal 1 receptor 103 [Source:MGI Symbol;Acc:MGI:3647681] MSDHGKSVKTTEEVALQLLLLCQFGVGTLANVFLFVHNFYPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIYQFVTLVL VNRGKLILRASVPNFVSYSCYSCWFFSVLSNIHISIKVTGPQITDNNTDSKSNLFCSTSG FIVGIVSLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQYRRGQAETRATRTI LMLVVTFVSFYLLNFICIIFHTLLMHSHLFIRLVSEVLAAVFPSISPLLLIFRGPKDPCS VLFNC >ENSMUSP00000109754.1 pep:known chromosome:GRCm38:X:74639119:74645635:-1 gene:ENSMUSG00000079534.1 transcript:ENSMUST00000114119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5640 description:predicted gene 5640 [Source:MGI Symbol;Acc:MGI:3645126] MDSSEYVLCGWKSQLCPARVLSRPRTPAHSKRRGVPFLEVQILPVGEKRRVRSSKVRPLA KSEIISINSLAGKESRGRGSPGQTRASRRALKVALDGLGEGSSFFQGGRAGSRRTSTLAP KVPKEQASSSSSSLGQRLRLRLRQLLPLSLCQRLHLHLRLRLHLHMHLCLQGRNQKGQGL SQRSPGKRVRPDPGPVMMGSQNVPAVPVGEAQAHTAAGLPRSEVEGDVLRGTRVWPSYSK KTPLGKAGGNPGKRKPGTGKRKLRSLSAPASRKGTRSKTEQQAASGPPRHISISPKALKQ RVRCAGLEIRAAGAQRKTALAENKDHPGLGTPKPDSKRASAACMPPVLRLRRSLRIASRK KKTHVLCALCGLPEWEPQVHSKVTNTRFKRRGARVQKDAKATNVVSAQEPSTIEQGTLVW FKFQDLPFWPAVVKSVSKIDKMARVLLIEGNMQFERRGIRVPLRKLKHLDCGEKVSLVRR ASRLYAQGINWCFSVIDHYREGLSRGSLLGSFMDYYTTQASYPLRRAVQEGDLHIDFPKV SYAELEDWEEETALGGKGPYKKLLPDRMRAAWDRANQKLVDFIVKRKGADQHLLDIVKGR KPSRWLDDLWKSKREVFCIETYLEDDDQLHLVARHLQEVAKEADEALLSLARGDKVRFTM EVLFPEAIIYSIAALDELSYKEAEEKYLRGPPVHYREKELFDKTILKAARKRSAARIRAA RDPPVPTP >ENSMUSP00000143671.1 pep:known chromosome:GRCm38:5:25965961:25972663:-1 gene:ENSMUSG00000106627.1 transcript:ENSMUST00000200447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21680 description:predicted gene, 21680 [Source:MGI Symbol;Acc:MGI:5435035] MALFARLCRLFQRANVDGRETREGRKDADLPSESNEGRRRWTWRMWMAHRQTTSPVPVTS KKQFEKEEKELIKKIQLTTKEINELRDRLIYVTKGSMNKRPYHRQTRHYKELKLKEKEIM TYLHELEMKNMEARENKQELKKEKNFYRNLHTRILLEENLIKKKLVILQQESKEVHADWA TIHQSLVELNLSGKDEQEKTSNLETQEHQVSEAARELVLATAEEDSILQNELPCQEAPAE HHPQHPPSSSDESSSDESSYSTCPEWE >ENSMUSP00000116171.1 pep:known chromosome:GRCm38:4:58995062:59020172:1 gene:ENSMUSG00000070972.13 transcript:ENSMUST00000148366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc25 description:DnaJ heat shock protein family (Hsp40) member C25 [Source:MGI Symbol;Acc:MGI:1919679] MLDHPEEYYSHYYHYYSRRLAPKVDVRVVILVSVCAISMFQYFSWWNSYNKAISYLATVP KYRIQATEIAKEQGLLKKA >ENSMUSP00000118048.2 pep:known chromosome:GRCm38:4:58995114:59022683:1 gene:ENSMUSG00000070972.13 transcript:ENSMUST00000152199.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc25 description:DnaJ heat shock protein family (Hsp40) member C25 [Source:MGI Symbol;Acc:MGI:1919679] MLDHPEEYYSHYYHYYSRRLAPKVDVRVVILVSVCAISMFQYFSWWNSYNKAISYLATVP KYRIQATEIAKEQGLLKKAKEKGKNKKSKEEIRDEEENIIKNIIKSKIDIKGGYQKPQVR DLLLFQVILAPVHLCSYIAWYCRWIYNFNIKGKEYGEEERLYIIRKSMKMSQSQFDSLED HQKEMFLKRELWIKENYEVYKQEQEEELKKKLANDPRWKRYRRWMKNEGPGRLTFVDD >ENSMUSP00000092680.3 pep:known chromosome:GRCm38:4:59003172:59025573:1 gene:ENSMUSG00000070972.13 transcript:ENSMUST00000095070.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc25 description:DnaJ heat shock protein family (Hsp40) member C25 [Source:MGI Symbol;Acc:MGI:1919679] MAARLALRGGPGAAGQRPWLLLAPLLLVPLLARPAEALVEGLYCGTRDCYEVLGVSRSAS KAEIARAYRQLARRYHPDRYRPEPGDGPGGAPPSAEAFLLVATAYETLKDEETRKDYDYM LDHPEEYYSHYYHYYSRRLAPKVDVRVVILVSVCAISMFQYFSWWNSYNKAISYLATVPK YRIQATEIAKEQGLLKKAKEKGKNKKSKEEIRDEEENIIKNIIKSKIDIKGGYQKPQVRD LLLFQVILAPVHLCSYIAWYCRWIYNFNIKGKEYGEEERLYIIRKSMKMSQSQFDSLEDH QKEMFLKRELWIKENYEVYKQEQEEELKKKLANDPRWKRYRRWMKNEGPGRLTFVDD >ENSMUSP00000123172.2 pep:known chromosome:GRCm38:4:59003182:59023740:1 gene:ENSMUSG00000070972.13 transcript:ENSMUST00000150309.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc25 description:DnaJ heat shock protein family (Hsp40) member C25 [Source:MGI Symbol;Acc:MGI:1919679] MAARLALRGGPGAAGQRPWLLLAPLLLVPLLARPAEALVEGLYCGTRDCYEVLGVSRSAS KAEIARAYRQLARRYHPDRYRPEPGDGPGGAPPSAEAFLLVATAYETLKISVVVFQSSCI CPRGLGMDGHQQKHHLPLDIRSQECIALLVEAQDRKKQV >ENSMUSP00000114320.2 pep:known chromosome:GRCm38:4:59003193:59023740:1 gene:ENSMUSG00000070972.13 transcript:ENSMUST00000153467.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc25 description:DnaJ heat shock protein family (Hsp40) member C25 [Source:MGI Symbol;Acc:MGI:1919679] MAARLALRGGPGAAGQRPWLLLAPLLLVPLLARPAEALVEGLYCGTRDCYEVLGVSRSAS KAEIARAYRQLARRYHPDRYRPEPGDGPGGAPPSAEAFLLVATAYETLKSSCICPRGLGM DGHQQKHHLPLDIRSQECIALLVEAQDRKKQV >ENSMUSP00000028363.1 pep:known chromosome:GRCm38:2:24153161:24160519:1 gene:ENSMUSG00000026985.1 transcript:ENSMUST00000028363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1f8 description:interleukin 1 family, member 8 [Source:MGI Symbol;Acc:MGI:1916927] MMAFPPQSCVHVLPPKSIQMWEPNHNTMHGSSQSPRNYRVHDSQQMVWVLTGNTLTAVPA SNNVKPVILSLIACRDTEFQDVKKGNLVFLGIKNRNLCFCCVEMEGKPTLQLKEVDIMNL YKERKAQKAFLFYHGIEGSTSVFQSVLYPGWFIATSSIERQTIILTHQRGKLVNTNFYIE SEK >ENSMUSP00000127493.1 pep:known chromosome:GRCm38:7:20533837:20534760:1 gene:ENSMUSG00000096903.1 transcript:ENSMUST00000169689.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r104 description:vomeronasal 1 receptor 104 [Source:MGI Symbol;Acc:MGI:3644682] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFASKTPPNELKCKLEFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFGST SGFIVGMVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQQMQHILTPNQDARGQAETRATH TILMLVVTFVRFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000040846.9 pep:known chromosome:GRCm38:13:41001023:41013015:1 gene:ENSMUSG00000038683.15 transcript:ENSMUST00000046951.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak1ip1 description:PAK1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1915333] MELVAGSYEQVLFGFTVQRGPAKSGHQETWTPVADFTHHSHTASLSVLASNSRYVVSGSK DETIHIYDMKRKVEHGALVHHAGTVTCLKFHGNQHLISGAEDGHICIWDVKRWKCLKTFK AHRGHVTFLSIHPSGKLALSVGTDKTLRTWNLIEGRSAFIKNIKENAHIVEWSPSGGKYI VVVQNKVDVYRLGTASVSGTITNGKRISSVTFLSDSVLAVAGDEEVVRIFDCDSLECLCE FRAHENRVKDMVSFEVPDHHVLVTASNDGFIKMWTLPQDKKVPPSLLCEAKTGARLTCLT VWLDRAANGMASLPPAAEPCPDQPKTIEKESGDTVQEETSEPNSEKSDVSGDSKQPTKGN SPVTAKKRKMATMSEKKRKKKM >ENSMUSP00000133606.1 pep:known chromosome:GRCm38:7:20544834:20545757:-1 gene:ENSMUSG00000096761.1 transcript:ENSMUST00000173886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5726 description:predicted gene 5726 [Source:MGI Symbol;Acc:MGI:3644395] MSAHGNSLKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSHLVARSTNLCSTCVLSVHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSYWFFSVLSNIHIPIKFSGPQIADNNTDSKRKLFCSS SGFSVGIVFLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAESKATH TILILVFTFVSFYLLNCICIMLHALFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLLNY >ENSMUSP00000076624.6 pep:known chromosome:GRCm38:7:19504486:19508367:-1 gene:ENSMUSG00000057667.7 transcript:ENSMUST00000077408.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bloc1s3 description:biogenesis of lysosomal organelles complex-1, subunit 3 [Source:MGI Symbol;Acc:MGI:2678952] MESSQGRRRRPGTVVPGEAAETDSELSASSSEEELYLGPSGPTRGRPTGLRVAGEAAETD SEPEPEPTVVPVDLPPLVVQRDPAETWGTEETPAMAPARSLLQLRLAESQTRLDHDVAAA VSGVYRRAGRDVAALAGRLAAAQATGLAAAHSVRLARGDLCALAERLDIVAGCRLLPDIR GVPGMEPEQDPGPRA >ENSMUSP00000023570.7 pep:known chromosome:GRCm38:16:78359860:78376810:-1 gene:ENSMUSG00000022863.14 transcript:ENSMUST00000023570.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btg3 description:B cell translocation gene 3 [Source:MGI Symbol;Acc:MGI:109532] MKNEIAAVVFFFTRLVRKHDKLKKEAVERFAEKLTQILQEKYKNHWYPEKPSKGQAYRCI RVNKFQRVDPDVLKACENSCILYSDLGLPKELTLWVDPCEVCCRYGEKNNAFIVASFENE DENKDEISKKVSRALDKVTSDYHSGSSSSDEDTSKEVDVKPSSVAATPSPVYQISELIFP PLPMWHPLPRKKPGMYRGSGHQTHYPPPVPFAYPNPGRKNKPFRPIPVTWVPPPGMHCDR NHWINPHMLAPH >ENSMUSP00000119706.1 pep:known chromosome:GRCm38:16:78364910:78377192:-1 gene:ENSMUSG00000022863.14 transcript:ENSMUST00000148124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btg3 description:B cell translocation gene 3 [Source:MGI Symbol;Acc:MGI:109532] MKNEIAAVVFFFTRLVRKHDKLKKEAVERFAEKLTQILQEKYKNHWYPEKPSKGQAYRCI RVNKFQRVDPDVLKACENSCILYSDLGLPKELTLWVDPCEVCCRYGEKNNAFIVASFENE DENKDEISKKVSRALDK >ENSMUSP00000136190.1 pep:known chromosome:GRCm38:1:131962967:131982969:1 gene:ENSMUSG00000026435.15 transcript:ENSMUST00000177943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a3 description:solute carrier family 45, member 3 [Source:MGI Symbol;Acc:MGI:1922082] MIQRLWASRLLRHRKAQLLLVNLLTFGLEVCLAAGITYVPPLLLEVGVEEKFMTMVLGIG PVLGLVSVPLLGSASDQWRGRYGRRRPFIWALSLGVLLSLFLIPRAGWLAGLLYPDTRPL ELALLILGVGLLDFCGQVCFTPLEALLSDLFRDPDHCRQAFSVYAFMISLGGCLGYLLPA IDWDTSVLAPYLGTQEECLFGLLTLIFLICMAATLFVTEEAVLGPPEPAEGLLVSAVSRR CCPCHVGLAFRNLGTLFPRLQQLCCRMPRTLRRLFVAELCSWMALMTFTLFYTDFVGEGL YQGVPRAEPGTEARRHYDEGIRMGSLGLFLQCAISLVFSLVMDRLVQKFGTRSVYLASVM TFPVAAAATCLSHSVVVVTASAALTGFTFSALQILPYTLASLYHREKQVFLPKYRGDAGG SSGEDSQTTSFLPGPKPGALFPNGHVGSGSSGILAPPPALCGASACDVSMRVVVGEPPEA RVVTGRGICLDLAILDSAFLLSQVAPSLFMGSIVQLSHSVTAYMVSAAGLGLVAIYFATQ VVFDKNDLAKYSV >ENSMUSP00000027695.6 pep:known chromosome:GRCm38:1:131970609:131982964:1 gene:ENSMUSG00000026435.15 transcript:ENSMUST00000027695.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a3 description:solute carrier family 45, member 3 [Source:MGI Symbol;Acc:MGI:1922082] MIQRLWASRLLRHRKAQLLLVNLLTFGLEVCLAAGITYVPPLLLEVGVEEKFMTMVLGIG PVLGLVSVPLLGSASDQWRGRYGRRRPFIWALSLGVLLSLFLIPRAGWLAGLLYPDTRPL ELALLILGVGLLDFCGQVCFTPLEALLSDLFRDPDHCRQAFSVYAFMISLGGCLGYLLPA IDWDTSVLAPYLGTQEECLFGLLTLIFLICMAATLFVTEEAVLGPPEPAEGLLVSAVSRR CCPCHVGLAFRNLGTLFPRLQQLCCRMPRTLRRLFVAELCSWMALMTFTLFYTDFVGEGL YQGVPRAEPGTEARRHYDEGIRMGSLGLFLQCAISLVFSLVMDRLVQKFGTRSVYLASVM TFPVAAAATCLSHSVVVVTASAALTGFTFSALQILPYTLASLYHREKQVFLPKYRGDAGG SSGEDSQTTSFLPGPKPGALFPNGHVGSGSSGILAPPPALCGASACDVSMRVVVGEPPEA RVVTGRGICLDLAILDSAFLLSQVAPSLFMGSIVQLSHSVTAYMVSAAGLGLVAIYFATQ VVFDKNDLAKYSV >ENSMUSP00000140767.1 pep:known chromosome:GRCm38:1:131976719:131977945:1 gene:ENSMUSG00000026435.15 transcript:ENSMUST00000190322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a3 description:solute carrier family 45, member 3 [Source:MGI Symbol;Acc:MGI:1922082] MIQRLWASRLLRHRKAQLLLVNLLTFGLEVCLAAGITYVPPLLLEVGVEEKFMTMVLGSA SDQWRGRYGRRRPFIWALSLGVLLSLFLIPRAGWLAGLLYPDTRPLELALLILGVGLLDF CGQVCFTPLEALLSDLFRDPDHCRQAFSVYAFMISLGGCLGYLLPAIDWDTSVLAPYLGT QEECLFGLLTLIFLICMAATLFVTEEAVLGPPEPAEGLLVS >ENSMUSP00000113022.1 pep:known chromosome:GRCm38:3:105942698:105960099:-1 gene:ENSMUSG00000000563.17 transcript:ENSMUST00000118209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5f1 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit B1 [Source:MGI Symbol;Acc:MGI:1100495] MLSRVVLSAAATAAPCLKNAAALGPGVLQATRAFHTGQPRLAPLPPLPEYGGKVRLGLIP EEFFQFLYPKTGVTGPYVLGTGLSLYFLSKEIYVITPETFSTISVVGLIVYVIKKYGASF GEFIDKLNEEKIAQLEEVKQSSMKQIQDAIDMEKAQQALVQKRHYLFDVQRNNIALALEV TYRERLHKAYKEVKNRLDYHISVQNMMRRKEEEHMIDWVEKHVVKSISVQQEKETIAKCI EDLKLLAKKAQAQPIM >ENSMUSP00000115496.1 pep:known chromosome:GRCm38:3:105942702:105959276:-1 gene:ENSMUSG00000000563.17 transcript:ENSMUST00000133320.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp5f1 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit B1 [Source:MGI Symbol;Acc:MGI:1100495] MLSRVVLSAAATAAPCLKNAAALGPGGREKGIRSAAGVRGCCGQPSIGSGNQIWSCEEQ >ENSMUSP00000143734.1 pep:known chromosome:GRCm38:3:105942898:105954181:-1 gene:ENSMUSG00000000563.17 transcript:ENSMUST00000199311.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5f1 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit B1 [Source:MGI Symbol;Acc:MGI:1100495] XPYVLGTGLSLYFLSKEIYVITPETFSTISVVGLIVYVIKKYGASFGEFIDKLNEEKIAQ LEEVKQSSMKQIQDAIDMEKAQQALVQKRHYLFDVQREKETIAKCIEDLKLLAKKAQAQP IM >ENSMUSP00000067882.5 pep:known chromosome:GRCm38:9:42412316:42472258:-1 gene:ENSMUSG00000037287.14 transcript:ENSMUST00000066148.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbcel description:tubulin folding cofactor E-like [Source:MGI Symbol;Acc:MGI:1925543] MDQPSGRSFMQVLCEKYSPENFPYRRGPGVGVHVPATPQGSPMKDRLNLPSVLVLNSCGI TCAGDEREIAAFCAHVSELDLSDNKLQDWHEVSKIVSNVPQLEFLNLSSNPLSLSVLERT CAGSFSGVRKLVLNNSKASWETVHTILQELPELEELFLCLNDYETVSCPSVCCHSLKLLH ITDNNLQDWTEIRKLGVMFPSLDTLVLANNHLNAIEEPADSLARLFPNLRSISLHKSGLQ SWEDIDKLNSFPKLEEVRLLGIPLLQPYTTEERRKLVVARLPSVSKLNGSVVTDGEREDS ERFFIRYYVDVPQEEVPFRYHELITKYGKLEPLAEVDLRPQSSAKVEVHFNDQVEEMSIR LDQTVAELKKQLKTLVQLPTSSMLLYYFDHEAPFGPEEMKYSSRALHSFGIRDGDKIFVE SKTK >ENSMUSP00000121164.1 pep:known chromosome:GRCm38:9:42415789:42472218:-1 gene:ENSMUSG00000037287.14 transcript:ENSMUST00000128959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbcel description:tubulin folding cofactor E-like [Source:MGI Symbol;Acc:MGI:1925543] MDQPSGRSFMQVLCEKYSPENFPYRRGPGVGVHVPATPQGSPMKDRLNLPSVLVLNSCGI TCAGDEREIAAFCAHVSELDLSDNKLQDWHEVSKIVSNVPQLEFLNLSSNPLSLSVLERT CAGSFSGVRKLVLNNSKASWETVHTILQELPELEELFLCLNDYETVSCPSVCCHSLKLLH ITDNNLQDWTEIRKLGVMFPSLDTLVLANNHLNAIEEPADSLARLFPNLRSISLHKSGLQ SWEDIDKLNSFPKLEEVRLLGIPLLQPYTTEERRKLVVARLPSVSKLNGSVVTDGEREDS ERFFIRYYVDVPQEEVPFRYHELITKYGKLEPLAEVDLRPQSSAKVEVHFNDQVEEMSIR LDQTVAELKKQLKTLVQLPTSSMLLYYFDHEAPFGPEEMKYSSRALHSFGIRDGDKIFVE SKTK >ENSMUSP00000116616.1 pep:known chromosome:GRCm38:9:42415675:42472265:-1 gene:ENSMUSG00000037287.14 transcript:ENSMUST00000138506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbcel description:tubulin folding cofactor E-like [Source:MGI Symbol;Acc:MGI:1925543] MDQPSGRSFMQVLCEKYSPENFPYRRGPGVGVHVPATPQGSPMKDRLNLPSVLVLNSCGI TCAGDEREIAAFCAHVSELDLSDNKLQDWHEVSKIVSNVPQLEFLNLSSNPLSLSVLERT CAGSFSGVRKLVLNNSKASWETVHTILQELPELEELFLCLNDYETVSCPSVCCHSLKLLH ITDNNLQDWTEIRKLGVMFPSLDTLVLANNHLNAIEEPADSLARLFPNLRSISLHKSGLQ SWEDIDKLNSFPKLEEVRLLGIPLLQPYTTEERRKLVVARLPSVSKLNGSVVTDGEREDS ERFFIRYYVDVPQEEVPFRYHELITKYGKLEPLAEVDLRPQSSAKVEVHFNDQVEEMSIR LDQTVAELKKQLKTLVQLPTSSMLLYYFDHEAPFGPEEMKYSSRALHSFGIRDGDKIFVE SKTK >ENSMUSP00000065125.7 pep:known chromosome:GRCm38:9:42413418:42461461:-1 gene:ENSMUSG00000037287.14 transcript:ENSMUST00000066179.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbcel description:tubulin folding cofactor E-like [Source:MGI Symbol;Acc:MGI:1925543] MASEVNASSPALNFSEKKMDQPSGRSFMQVLCEKYSPENFPYRRGPGVGVHVPATPQGSP MKDRLNLPSVLVLNSCGITCAGDEREIAAFCAHVSELDLSDNKLQDWHEVSKIVSNVPQL EFLNLSSNPLSLSVLERTCAGSFSGVRKLVLNNSKASWETVHTILQELPELEELFLCLND YETVSCPSVCCHSLKLLHITDNNLQDWTEIRKLGVMFPSLDTLVLANNHLNAIEEPADSL ARLFPNLRSISLHKSGLQSWEDIDKLNSFPKLEEVRLLGIPLLQPYTTEERRKLVVARLP SVSKLNGSVVTDGEREDSERFFIRYYVDVPQEEVPFRYHELITKYGKLEPLAEVDLRPQS SAKVEVHFNDQVEEMSIRLDQTVAELKKQLKTLVQLPTSSMLLYYFDHEAPFGPEEMKYS SRALHSFGIRDGDKIFVESKTK >ENSMUSP00000114721.1 pep:known chromosome:GRCm38:9:42412316:42457599:-1 gene:ENSMUSG00000037287.14 transcript:ENSMUST00000125995.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbcel description:tubulin folding cofactor E-like [Source:MGI Symbol;Acc:MGI:1925543] MDQPSGRSFMQVLCEKYSPENFPYRRGPGVGVHVPATPQGSPMKDRLNLPSVLVLNSCGI TCAGDEREIAAFCAHVSELDLSDNKLQDWHEVSKIVSNVPQLEFLNLSSNPLSLSVLERT CAGSFSGVRKLVLNNSKASWETVHTILQELPELEELFLCLNDYETVSCPSVCCHSLKLLH ITDNNLQDWTEIRKLGVMFPSLDTLVLANNHLNAIEEPADSLARLFPNLRSISLHKSGLQ SWEDIDKLNSFPKLEEVRLLGIPLLQPYTTEERRKLVVARLPSVSKLNGSVVTDGEREDS ERFFIRYYVDVPQEEVPFRYHELITKYGKLEPLAEVDLRPQSSAKVEVHFNDQVEEMSIR LDQTVAELKKQLKTLVQLPTSSMLLYYFDHEAPFGPEEMKYSSRALHSFGIRDGDKIFVE SKTK >ENSMUSP00000114275.1 pep:known chromosome:GRCm38:9:42449992:42507809:-1 gene:ENSMUSG00000037287.14 transcript:ENSMUST00000134374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbcel description:tubulin folding cofactor E-like [Source:MGI Symbol;Acc:MGI:1925543] MDQPSGRSFMQVLCEKYSPENFPYRRGPGVGVHVPATPQGSPMKDRLNLPSVLVLNSCGI TCAGDERE >ENSMUSP00000120240.1 pep:known chromosome:GRCm38:6:54429603:54503765:1 gene:ENSMUSG00000086040.8 transcript:ENSMUST00000132855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf3 description:WAS/WASL interacting protein family, member 3 [Source:MGI Symbol;Acc:MGI:3044681] MPVPPPPPPPPPPPPPPPPPLGAPPPPPLGAPPPPPPPGPPVSTDTPSLRKPDLKGRSAL LADIQQGTRLRKVTQINDRSAPQIEGSKGTSKEGGAAGSNARGGNTPPALGDLFAGGFPV LRPAGQRDVSGGKSGQGPGSRAPSPRLPIKAISGPLPAPASPRLGNASETHSSARPVPPR PSVPAPPPPTPPPPPPPPLPPASPIKAQLVSPPAPPTKVNPSVVPPPLPCAPPLPPPPPT PPPLPPASALSDKAVRPQLAPLHLPPIPPPLPLLPPCGYPGLHSEPNSPAQEVREPPAPP PPPPPPPPPPLPTYASCSSRTAVAPPPLPGANNSGSETPPPLPPKSPSFQTQKALPTPPG APGPQAILQKKRRGPGTSGGKLNPPPAPPARSPTTELSSKSQQPGGQLRNGGQHAIDDFE SKFTFHSMEDFPPPDEYKPCQKIYPSKVPRSRTPGSWLQAEAAGQSSDDIKSRNSQLSLK ALR >ENSMUSP00000116325.1 pep:known chromosome:GRCm38:6:54429603:54503765:1 gene:ENSMUSG00000086040.8 transcript:ENSMUST00000126637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf3 description:WAS/WASL interacting protein family, member 3 [Source:MGI Symbol;Acc:MGI:3044681] MPVPPPPPPPPPPPPPPPPPLGAPPPPPLGAPPPPPPPGPPVSTDTPSLRKPDLKGRSAL LADIQQGTRLRKVTQINDRSAPQIEGSKGTSKEGGAAGSNARGGNTPPALGDLFAGGFPV LRPAGQRDVSGGKSGQGPGSRAPSPRLPIKAISGPLPAPASPRLGNASETHSSARPVPPR PSVPAPPPPTPPPPPPPPLPPASPIKAQLVSPPAPPTKVNPSVVPPPLPCAPPLPPPPPT PPPLPPASALSDKAVRPQLAPLHLPPIPPPLPLLPPCGYPGLHSEPNSPAQEVREPPAPP PPPPPPPPPPLPTYASCSSRTAVAPPPLPGANNSGSETPPPLPPKSPSFQTQKALPTPPG APGPQAILQKKRRGPGTSGGKLNPPPAPPARSPTTELSSKSQQPGGQLRNGGQHAIGRTP GSWLQAEAAGQSSDDIKSRNSQLSLKALR >ENSMUSP00000132022.1 pep:known chromosome:GRCm38:6:54452883:54503768:1 gene:ENSMUSG00000086040.8 transcript:ENSMUST00000163746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf3 description:WAS/WASL interacting protein family, member 3 [Source:MGI Symbol;Acc:MGI:3044681] MPVPPPPPPPPPPPPPPPPPLGAPPPPPLGAPPPPPPPGPPVSTDTPSLRKPDLKGRSAL LADIQQGTRLRKVTQINDRSAPQIEGSKGTSKEGGAAGSNARGGNTPPALGDLFAGGFPV LRPAGQRDVSGGKSGQGPGSRAPSPRLPIKAISGPLPAPASPRLGNASETHSSARPVPPR PSVPAPPPPTPPPPPPPPLPPASPIKAQLVSPPAPPTKVNPSVVPPPLPCAPPLPPPPPT PPPLPPASALSDKAVRPQLAPLHLPPIPPPLPLLPPCGYPGLHSEPNSPAQEVREPPAPP PPPPPPPPPPLPTYASCSSRTAVAPPPLPGANNSGSETPPPLPPKSPSFQTQKALPTPPG APGPQAILQKKRRGPGTSGGKLNPPPAPPARSPTTELSSKSQQPGGQLRNGGQHAIGRTP GSWLQAEAAGQSSDDIKSRNSQLSLKALR >ENSMUSP00000132138.1 pep:known chromosome:GRCm38:6:54452883:54503768:1 gene:ENSMUSG00000086040.8 transcript:ENSMUST00000172046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf3 description:WAS/WASL interacting protein family, member 3 [Source:MGI Symbol;Acc:MGI:3044681] MPVPPPPPPPPPPPPPPPPPLGAPPPPPLGAPPPPPPPGPPVSTDTPSLRKPDLKGRSAL LADIQQGTRLRKVTQINDRSAPQIEGSKGTSKEGGAAGSNARGGNTPPALGDLFAGGFPV LRPAGQRDVSGGKSGQGPGSRAPSPRLPIKAISGPLPAPASPRLGNASETHSSARPVPPR PSVPAPPPPTPPPPPPPPLPPASPIKAQLVSPPAPPTKVNPSVVPPPLPCAPPLPPPPPT PPPLPPASALSDKAVRPQLAPLHLPPIPPPLPLLPPCGYPGLHSEPNSPAQEVREPPAPP PPPPPPPPPPLPTYASCSSRTAVAPPPLPGANNSGSETPPPLPPKSPSFQTQKALPTPPG APGPQAILQKKRRGPGTSGGKLNPPPAPPARSPTTELSSKSQQPGGQLRNGGQHAIDDFE SKFTFHSMEDFPPPDEYKPCQKIYPSKVPRSRTPGSWLQAEAAGQSSDDIKSRNSQLSLK ALR >ENSMUSP00000014389.5 pep:known chromosome:GRCm38:11:62458460:62514417:1 gene:ENSMUSG00000014245.8 transcript:ENSMUST00000014389.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigl description:phosphatidylinositol glycan anchor biosynthesis, class L [Source:MGI Symbol;Acc:MGI:2681271] MELVGFLCVAVAVLTWGFLRVWNSAERMRSPEQAGLPGAGSRALVVIAHPDDEAMFFAPT MLGLARLEQQVSLLCFSSGNYYNQGEIRKKELLQSCAVLGIPPSRVMIIDKRDFPDDPEV QWDTELVASTLLQHIHANGTDLVVTFDAEGVSGHSNHIALYKAVRALHSGGKLPKGCSVL TLQSVNALRKYAFLLDLPWTLLSPQDVLFVLTSKEVAQAKKAMSCHRSQLLWFRYLYVLF SRYMRINSLRFL >ENSMUSP00000140294.1 pep:known chromosome:GRCm38:5:25982030:25988650:-1 gene:ENSMUSG00000096045.7 transcript:ENSMUST00000191203.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21698 description:predicted gene, 21698 [Source:MGI Symbol;Acc:MGI:5435053] MALFARLCRLFQRVNVDGRETREGRKDADLPSERNEGRRRWTWRMCMAHGQTTSPVPVKS KKQFEKEEKELIKKIQLTTEETNELRDRLIYVTKGSMNKRPYHRQTRHYKELKLKEKEIM TFLHDLEMKNMEARENNQELKKEKNFYRNLHTRILLGENLIKKKLAILQQESKEIHADWA IIQQRLVELNLSGKDEQEKTSNLEAQENQVSEAARELGLATAEEDSILQNELPCQEAPAE HHPQHPSSSSDESSSDESSYSTCPEWE >ENSMUSP00000137405.1 pep:known chromosome:GRCm38:5:25984150:25987448:-1 gene:ENSMUSG00000096045.7 transcript:ENSMUST00000178487.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21698 description:predicted gene, 21698 [Source:MGI Symbol;Acc:MGI:5435053] VAHGQTTSPVPVKSKKQFEKEEKELIKKIQLTTEETNELRDRLIYVTKGSMNKRPYHRQT RHYKELKLKEKEIMTFLHDLEMKNMEARENNQELKKEKNFYRNLHTRILLGENLIKKKLA ILQQESKEIHADWAIIQQRLVELNLSGKDEQEKTSNLEAQENQVSEAARELGLATAEEDS ILQNELPCQEAPAEHHPQHPSSSSDESSSDESSYSTCPEWE >ENSMUSP00000121562.1 pep:known chromosome:GRCm38:10:78042287:78063622:1 gene:ENSMUSG00000000730.13 transcript:ENSMUST00000138785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3l description:DNA (cytosine-5-)-methyltransferase 3-like [Source:MGI Symbol;Acc:MGI:1859287] MGSRETPSSCSKTLETLDLETSDSSSPDADSPLEEQWLKSSPALKEDSVDVVLEDCKEPL SPSSPPTGREMIRYEVKVNRRSIEDICLCCGTLQVYTRHPLFEGGLCAPCKDKFLESLFL YDDDGHQSYCTICCSGGTLFICESPDCTRCYCFECVDILVGPGTSERINAMACWVCFLCL PFSRSGLLQRRKRWRHQLKAFHDQEGAGPMEIYKTVSAWKRQPVRVLSLFRNIDKVLKSL GFLESGSGSGGGTLKYVEDVTNVVRRDVEKWGPFDLVYGSTQPLGSSCDRCPGWYMFQFH RILQYALPRQESQRPFFWIFMDNLLLTEDDQETTTRFLQTEAVTLQDVRGRDYQNAMRVW SNIPGLKSKHAPLTPKEEEYLQAQVRSRSKLDAPKVDLLVKNCLLPLREYFKYFSQNSLP L >ENSMUSP00000000746.5 pep:known chromosome:GRCm38:10:78049842:78063622:1 gene:ENSMUSG00000000730.13 transcript:ENSMUST00000000746.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3l description:DNA (cytosine-5-)-methyltransferase 3-like [Source:MGI Symbol;Acc:MGI:1859287] MGSRETPSSCSKTLETLDLETSDSSSPDADSPLEEQWLKSSPALKEDSVDVVLEDCKEPL SPSSPPTGREMIRYEVKVNRRSIEDICLCCGTLQVYTRHPLFEGGLCAPCKDKFLESLFL YDDDGHQSYCTICCSGGTLFICESPDCTRCYCFECVDILVGPGTSERINAMACWVCFLCL PFSRSGLLQRRKRWRHQLKAFHDQEGAGPMEIYKTVSAWKRQPVRVLSLFRNIDKVLKSL GFLESGSGSGGGTLKYVEDVTNVVRRDVEKWGPFDLVYGSTQPLGSSCDRCPGWYMFQFH RILQYALPRQESQRPFFWIFMDNLLLTEDDQETTTRFLQTEAVTLQDVRGRDYQNAMRVW SNIPGLKSKHAPLTPKEEEYLQAQVRSRSKLDAPKVDLLVKNCLLPLREYFKYFSQNSLP L >ENSMUSP00000116970.1 pep:known chromosome:GRCm38:10:78049959:78063616:1 gene:ENSMUSG00000000730.13 transcript:ENSMUST00000151242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3l description:DNA (cytosine-5-)-methyltransferase 3-like [Source:MGI Symbol;Acc:MGI:1859287] MGSRETPSSCSKTLETLDLETSDSSSPDADSPLEEQWLKSSPALKEDSVDVVLEDCKEPL SPSSPPTGREMIRYEVKVNRRSIEDICLCCGTLQVYTRHPLFEGGLCAPCKDKFLESLFL YDDDGHQSYCTICCSGGTLFICESPDCTRCYCFECVDILVGPGTSERINAMACWVCFLCL PFSRSGLLQRRKRWRHQLKAFHDQEGAGPMEIYKTVSAWKRQPVRVLSLFRNIDKVLKSL GFLESGSGSGGGTLKYVEDVTNVVRRDVEKWGPFDLVYGSTQPLGSSCDRCPGWYMFQFH RILQYALPRQESQRPFFWIFMDNLLLTEDDQETTTRFLQTEAVTLQDVRGRDYQNAMRVW SNIPGLKSKHAPLTPKEEEYLQAQVRSRSKLDAPKVDLLVKNCLLPLREYFKYFSQNSLP L >ENSMUSP00000119571.1 pep:known chromosome:GRCm38:10:78055335:78063615:1 gene:ENSMUSG00000000730.13 transcript:ENSMUST00000131825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3l description:DNA (cytosine-5-)-methyltransferase 3-like [Source:MGI Symbol;Acc:MGI:1859287] MFQFHRILQYALPRQESQRPFFWIFMDNLLLTEDDQETTTRFLQTEAVTLQDVRGRDYQN AMRVWSNIPGLKSKHAPLTPKEEEYLQAQVRSRSKLDAPKVDLLVKNCLLPLREYFKYFS QNSLPL >ENSMUSP00000117827.1 pep:known chromosome:GRCm38:10:78055335:78063615:1 gene:ENSMUSG00000000730.13 transcript:ENSMUST00000139539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3l description:DNA (cytosine-5-)-methyltransferase 3-like [Source:MGI Symbol;Acc:MGI:1859287] MFQFHRILQYALPRQESQRPFFWIFMDNLLLTEDDQETTTRFLQTEAVTLQDVRGRDYQN AMRVWSNIPGLKSKHAPLTPKEEEYLQAQVRSRSKLDAPKVDLLVKNCLLPLREYFKYFS QNSLPL >ENSMUSP00000123015.1 pep:known chromosome:GRCm38:10:78055335:78063615:1 gene:ENSMUSG00000000730.13 transcript:ENSMUST00000123940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3l description:DNA (cytosine-5-)-methyltransferase 3-like [Source:MGI Symbol;Acc:MGI:1859287] MFQFHRILQYALPRQESQRPFFWIFMDNLLLTEDDQETTTRFLQTEAVTLQDVRGRDYQN AMRVWSNIPGLKSKHAPLTPKEEEYLQAQVRSRSKLDAPKVDLLVKNCLLPLREYFKYFS QNSLPL >ENSMUSP00000042705.6 pep:known chromosome:GRCm38:4:59035088:59041903:1 gene:ENSMUSG00000038607.12 transcript:ENSMUST00000041160.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng10 description:guanine nucleotide binding protein (G protein), gamma 10 [Source:MGI Symbol;Acc:MGI:1336169] MSSGASVSALQRLVEQLKLEAGVERIKVSQAAAELQQYCIQNACKDALLLGVPAGSNPFR EPRSCALL >ENSMUSP00000029271.4 pep:known chromosome:GRCm38:3:36620482:36690167:-1 gene:ENSMUSG00000027716.13 transcript:ENSMUST00000029271.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc3 description:transient receptor potential cation channel, subfamily C, member 3 [Source:MGI Symbol;Acc:MGI:109526] MSTKVKKCREPARVTLPAPEEEEDGEAEGGESQRRRRGWRGVNGGLEPPCPRAPPSPGPD ASSEGSPSRWRTAGMRDKGRRQAVRGPAFMFGARGPSLTAEEERFLDAAEYGNIPVVRKM LEESRTLNVNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARIGDALLLAISKGYVRIV EAILGHPGFAASRRLTLSPCEQELRDDDFYAYDEDGTRFSPDITPIILAAHCHKYEVVHL LLLKGARIERPHDYFCRCSDCAEKQRLDAFSHSRSRINAYKGLASPAYLSLSSEDPVLTA LELSNELAKLANIEKEFKNDYRKLSMQCKDFVVGVLDLCRDSEEVEAILNGDLESAEPLE RHGHKASLSRVKLAIKYEVKKFVAHPNCQQQLLTIWYENLSGLREQTIAIKCLVVLVVAL GLPFLAIGYWIAPCSRLGKILRSPFMKFVAHAASFIIFLGLLVFNASDRFEGITTLPNIT VIDYPKQIFRVKTTQFTWTEMLIMVWVLGMMWSECKELWLEGPREYIVQLWNVLDFGMLS IFIAAFTARFLAFLQATKAQQYVDSHVQESDLSEVTLPPEVQYFTYARDKWLPSDPQIIS EGLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVLFIMVFLAFMIGMFIL YSYYLGAKVNPAFTTVEESFKTLFWSIFGLSEVTSVVLKYDHKFIENIGYVLYGIYNVTM VVVLLNMLIAMINSSYQEIEDDSDVEWKFARSKLWLSYFDDGKTLPPPFSLVPSPKSFVY FIMRITNFSKCRRRRLQKDLELGMGNSKSRLNLFTQSNSRVFESHSFNSILNQPTRYQQI MKRLIKRYVLKAQVDKENDEVNEGELKEIKQDISSLRYELLEDKSQATEELAILIHKLSE KLNPSVLRCE >ENSMUSP00000043561.3 pep:known chromosome:GRCm38:2:24974117:24975098:-1 gene:ENSMUSG00000036850.4 transcript:ENSMUST00000045604.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl41 description:mitochondrial ribosomal protein L41 [Source:MGI Symbol;Acc:MGI:1333816] MGFLTAVTQGLVRGADRMSKWTSKRGPRTFTKSRGAKKTGIYTSDRKFVQIKEMVPEFVV PDLTGFKLKPYVNYRAPAGIDTPLTAKALFQETVAPAIEKDFKEGTFDANNLEKYGFEPT QEGKLFQLYPKNFPR >ENSMUSP00000141974.1 pep:known chromosome:GRCm38:2:24974495:24974989:-1 gene:ENSMUSG00000036850.4 transcript:ENSMUST00000194392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl41 description:mitochondrial ribosomal protein L41 [Source:MGI Symbol;Acc:MGI:1333816] MSVSSWRHLHGLLRGPGSFRAGPLRPWLLVSSAPTELIYPEPSMGFLTAVTQGLVRGADR MSKWTSKRGPRTFTKSRGAKKTGIYTSDRKFVQIKEMV >ENSMUSP00000037917.7 pep:known chromosome:GRCm38:6:130218605:130231687:-1 gene:ENSMUSG00000067599.13 transcript:ENSMUST00000049304.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra7 description:killer cell lectin-like receptor, subfamily A, member 7 [Source:MGI Symbol;Acc:MGI:101901] MSEQEVTYSTVRFHESSRLQKLVRTEEPQRPREACYREYSVPWKLIVIACGILCFLLLVT VALLAITIFQHSQQKHELQETLNCHDNCSPTQSDVNLKDELLRNKSIECRPGNDLLESLS RDQNRWYSETKTFSDSSQHTGRGFEKYWFCYGIKCYYFNMDRKTWSGCKQTCQISSLSLL KIDNEDELKFLQNLAPSDISWIGLSYDNKKKDWVWIDNGPSKLALNTTKYNIRDGLCMSL SKTRLDNGDCDKSYICICGKRLDKFPH >ENSMUSP00000085326.4 pep:known chromosome:GRCm38:6:130218804:130231638:-1 gene:ENSMUSG00000067599.13 transcript:ENSMUST00000088011.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra7 description:killer cell lectin-like receptor, subfamily A, member 7 [Source:MGI Symbol;Acc:MGI:101901] MSEQEVTYSTVRFHESSRLQKLVRTEEPQRPREACYREYSVPWKLIVIACGILCFLLLVT VALLAITIFQHSQQKHELQETLNCHDNCSPTQSDVNLKDELLRNKSIECRPGNDLLESLS RDQNRWYSETKTFSDSSQHTGRGFEKYWFCYGIKCYYFNMDRKTWSGCKQTCQISSLSLL KIDNEDELKFLQNLAPSDISWIGLSYDNKKKDWVWIDNGPSKLALNTTKYNIRDGLCMSL SKTRLDNGDCDKSYICICGKRLDKFPH >ENSMUSP00000032286.6 pep:known chromosome:GRCm38:6:130218831:130233322:-1 gene:ENSMUSG00000067599.13 transcript:ENSMUST00000032286.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra7 description:killer cell lectin-like receptor, subfamily A, member 7 [Source:MGI Symbol;Acc:MGI:101901] MSEQEVTYSTVRFHESSRLQKLVRTEEPQRPREACYREYSVPWKLIVIACGILCFLLLVT VALLAITIFQHSQQKHELQETLNCHDNCSPTQSDVNLKDELLRNKSIECRPGNDLLESLS RDQNRWYSETKTFSDSSQHTGVHKRPISKAEGKGRGFEKYWFCYGIKCYYFNMDRKTWSG CKQTCQISSLSLLKIDNEDELKFLQNLAPSDISWIGLSYDNKKKDWVWIDNGPSKLALNT TKYNIRDGLCMSLSKTRLDNGDCDKSYICICGKRLDKFPH >ENSMUSP00000107644.1 pep:known chromosome:GRCm38:6:130218605:130231677:-1 gene:ENSMUSG00000067599.13 transcript:ENSMUST00000112013.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra7 description:killer cell lectin-like receptor, subfamily A, member 7 [Source:MGI Symbol;Acc:MGI:101901] MSEQEVTYSTVRFHESSRLQKLVRTEEPQRPREACYREYSVPWKLIVIACGILCFLLLVT VALLAITIFQHSQQKHELQETLNCHDNCSPTQSDVNLKDELLRNKSIECRPGNDLLESLS RDQNRWYSETKTFSDSSQHTGVHKRPISKAEGKGRGFEKYWFCYGIKCYYFNMDRKTWSG CKQTCQISSLSLLKIDNEDELKFLQNLAPSDISWIGLSYDNKKKDWVWIDNGPSKLALNT TKYNIRDGLCMSLSKTRLDNGDCDKSYICICGKRLDKFPH >ENSMUSP00000108421.2 pep:known chromosome:GRCm38:14:3634512:3642943:1 gene:ENSMUSG00000095368.7 transcript:ENSMUST00000112802.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3012 description:predicted gene 3012 [Source:MGI Symbol;Acc:MGI:3781190] VVVTATACGSYLLFALGLPAGMFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSF LRRHRSARNTSTQNSKMTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRM NTEFNIIKSQHEKTMLDMDKMTQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKV RILLNENRKLLVEQAGTQVSHGEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000137047.1 pep:known chromosome:GRCm38:14:3634575:3637548:1 gene:ENSMUSG00000095368.7 transcript:ENSMUST00000179486.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3012 description:predicted gene 3012 [Source:MGI Symbol;Acc:MGI:3781190] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000005041.8 pep:known chromosome:GRCm38:7:5062143:5079938:1 gene:ENSMUSG00000030435.16 transcript:ENSMUST00000005041.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af2 description:U2 small nuclear ribonucleoprotein auxiliary factor (U2AF) 2 [Source:MGI Symbol;Acc:MGI:98886] MSDFDEFERQLNENKQERDKENRHRKRSHSRSRSRDRKRRSRSRDRRNRDQRSASRDRRR RSKPLTRGAKEEHGGLIRSPRHEKKKKVRKYWDVPPPGFEHITPMQYKAMQAAGQIPATA LLPTMTPDGLAVTPTPVPVVGSQMTRQARRLYVGNIPFGITEEAMMDFFNAQMRLGGLTQ APGNPVLAVQINQDKNFAFLEFRSVDETTQAMAFDGIIFQGQSLKIRRPHDYQPLPGMSE NPSVYVPGVVSTVVPDSAHKLFIGGLPNYLNDDQVKELLTSFGPLKAFNLVKDSATGLSK GYAFCEYVDINVTDQAIAGLNGMQLGDKKLLVQRASVGAKNATLSTINQTPVTLQVPGLM SSQVQMGGHPTEVLCLMNMVLPEELLDDEEYEEIVEDVRDECSKYGLVKSIEIPRPVDGV EVPGCGKIFVEFTSVFDCQKAMQGLTGRKFANRVVVTKYCDPDSYHRRDFW >ENSMUSP00000147013.1 pep:known chromosome:GRCm38:7:5062245:5079933:1 gene:ENSMUSG00000030435.16 transcript:ENSMUST00000209099.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af2 description:U2 small nuclear ribonucleoprotein auxiliary factor (U2AF) 2 [Source:MGI Symbol;Acc:MGI:98886] MSDFDEFERQLNENKQERDKENRHRKRSHSRSRSRDRKRRSRSRDRRNRDQRSASRDRRR RSKPLTRGAKEEHGGLIRSPRHEKKKKVRKYWDVPPPGFEHITPMQYKAMQAAGQIPATA LLPTMTPDGLAVTPTPVPVVGSQMTRQARRLYVGNIPFGITEEAMMDFFNAQMRLGGLTQ APGNPVLAVQINQDKNFAFLEFRSVDETTQAMAFDGIIFQGQSLKIRRPHDYQPLPGMSE NPSVYVPGVVSTVVPDSAHKLFIGGLPNYLNDDQVKELLTSFGPLKAFNLVKDSATGLSK GYAFCEYVDINVTDQAIAGLNGMQLGDKKLLVQRASVGAKNATLVSLPSTINQTPVTLQV PGLMSSQVQMGGHPTEVLCLMNMVLPEELLDDEEYEEIVEDVRDECSKYGLVKSIEIPRP VDGVEVPGCGKIFVEFTSVFDCQKAMQGLTGRKFANRVVVTKYCDPDSYHRRDFW >ENSMUSP00000147032.1 pep:known chromosome:GRCm38:7:5062275:5068165:1 gene:ENSMUSG00000030435.16 transcript:ENSMUST00000207097.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af2 description:U2 small nuclear ribonucleoprotein auxiliary factor (U2AF) 2 [Source:MGI Symbol;Acc:MGI:98886] MSDFDEFERQLNENKQERDKENRHRKRSHSRSRSRDRKRRSRSRDRRNRDQRSASRDRRR RSTGEEAHLPVSLLRPRPSSPSWREWSLCVGGCGGLGGVPLWDLEAQCWSD >ENSMUSP00000147246.1 pep:known chromosome:GRCm38:7:5067228:5079494:1 gene:ENSMUSG00000030435.16 transcript:ENSMUST00000207498.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af2 description:U2 small nuclear ribonucleoprotein auxiliary factor (U2AF) 2 [Source:MGI Symbol;Acc:MGI:98886] XAKEEHGGLIRSPRHEKKKKVRKYWDVPPPGFEHITPMQYKAMQAAGQIPATALLPTMTP DGLAVTPTPVPVVGSQMTRQARRLYVGNIPFGITE >ENSMUSP00000131458.1 pep:known chromosome:GRCm38:7:5067228:5079932:1 gene:ENSMUSG00000030435.16 transcript:ENSMUST00000165399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af2 description:U2 small nuclear ribonucleoprotein auxiliary factor (U2AF) 2 [Source:MGI Symbol;Acc:MGI:98886] MMDFFNAQMRLGGLTQAPGNPVLAVQINQDKNFAFLEFRSVDETTQAMAFDGIIFQGQSL KIRRPHDYQPLPGMSENPSVYVPGVVSTVVPDSAHKLFIGGLPNYLNDDQVKELLTSFGP LKAFNLVKDSATGLSKGYAFCEYVDINVTDQAIAGLNGMQLGDKKLLVQRASVGAKNATL STINQTPVTLQVPGLMSSQVQMGGHPTEVLCLMNMVLPEELLDDEEYEEIVEDVRDECSK YGLVKSIEIPRPVDGVEVPGCGKIFVEFTSVFDCQKAMQGLTGRKFANRVVVTKYCDPDS YHRRDFW >ENSMUSP00000054367.6 pep:known chromosome:GRCm38:11:62281484:62316426:1 gene:ENSMUSG00000042298.18 transcript:ENSMUST00000050646.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc19 description:tetratricopeptide repeat domain 19 [Source:MGI Symbol;Acc:MGI:1920045] MFRLLRWRLGRTLLRAAGRRCGGCTARLLPERTGDAGTGAERLRTRGAPARGHGVLPLLA ALAWFSRPAATAEQPGEDASDEAEAEIIQLLKQAKLSIMKDEPEAAELILHDALRLAYES DNRKAITYTYDLMANLAFIRGQLENAEQLFKATMSYLLGGGMKQEDNAIIEISLKLANIY AAQNKQEFALAGYEFCISTLEGKIEREKELAEDIMSEETANTYLLLGMCLDSCARYLLFS KQLSQAQRMYEKALQICQEIQGERHPQTIVLMSDLATTLDAQGHFDDAYIYMQRASDLAR EINHPELHMVLSNLAAILIHRERYTQAKEIYQEALKRAELKRDEVSVQHIREELAELSRK SRRLT >ENSMUSP00000098636.4 pep:known chromosome:GRCm38:11:62281482:62315246:1 gene:ENSMUSG00000042298.18 transcript:ENSMUST00000101075.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc19 description:tetratricopeptide repeat domain 19 [Source:MGI Symbol;Acc:MGI:1920045] MFRLLRWRLGRTLLRAAGRRCGGCTARLLPERTGDAGTGAERLRTRGAPARGHGVLPLLA ALAWFSRPAATAEQPGEDASDEAEAEIIQLLKQAKLSIMKDEPEAAELILHDALRLAYES DNRKAITYTYDLAEQLFKATMSYLLGGGMKQEDNAIIEISLKLANIYAAQNKQEFALAGY EFCISTLEGKIEREKELAEDIMSEETANTYLLLGMCLDSCARYLLFSKQLSQAQRMYEKA LQICQEIQGERHPQTIVLMSDLATTLDAQGHFDDAYIYMQRASDLAREINHPELHMVLSN LAAILIHRERYTQAKEIYQEALKRAELKRDEVSVQHIREELAELSRKSRRLT >ENSMUSP00000125618.1 pep:known chromosome:GRCm38:11:62283674:62328123:1 gene:ENSMUSG00000042298.18 transcript:ENSMUST00000162385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc19 description:tetratricopeptide repeat domain 19 [Source:MGI Symbol;Acc:MGI:1920045] XNRKAITYTYDLMANLAFIRGQLENAEQLFKATMSYLLGGGMKQEDNAIIEISLKLANIY AAQNKQEFALAGYEFCISTLEGKIEREKELAEDIMSEETANTYLLLGMCLDSCARYLLFS KQLSQAQRMYEKALQICQEIQGERHPQTIVLMSDLATTLDAQGHFDDAYIYMQRASDLAR EINHPELHMVLSNLAAILIHRG >ENSMUSP00000084590.4 pep:known chromosome:GRCm38:5:42067960:42216798:1 gene:ENSMUSG00000067285.4 transcript:ENSMUST00000087332.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16223 description:predicted gene 16223 [Source:MGI Symbol;Acc:MGI:3648966] CSALSSFPQRFWRQTLPSGDLQVWSCSPRGFGGERTGLTGEGGQETGVRTCLLTEDEGLK QGLSQNLCSFCSPHSHLCRLVSAESGNQDGSPRCCGKAFLGGADTYPLAACCFHLMV >ENSMUSP00000028351.3 pep:known chromosome:GRCm38:2:24962400:24972163:1 gene:ENSMUSG00000026975.10 transcript:ENSMUST00000028351.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph7 description:diphthamine biosynethesis 7 [Source:MGI Symbol;Acc:MGI:1914478] MAGSHAGTLRVLQAVDTELTADSVEWCPVEGYQHLLACGTYQLRAPRDQPALDGSEPQVR LGRLYLFSFSEHNTAKPLLEVQRRDSSAVLDMKWCHIPVSGHVLLGLANASGSIGLLRLM ECENNSYTLQPISSLALDENCLSLSMDWSTGKSVRAREQPLKIISSDSKGQLHLLMVNEG TAELQLVASWPAHHFEAWIAAFNYWQTELVYSGGDDCLLRGWDTRMLGTPVFTSKRHCMG VCSIQSSPHQEHILATGSYDEHVLLWDTRNIRQPLADVPVQGGVWRLKWHPVHHHLLLAA CMHNGFKILNCQKAIEEKQDITVLTSHEMPNSLVYGADWSWLFHSMKPTPTWFFDQNDMG VKAADHSSLKVTEEPPIHSQEQTMDRQVEGPANAHTRAELKASLLPLTEDMKNSKDCSSS SVKTRDLSHCSGGQSFDNSLLATCSFYDHVLHLWKWETNQARTLCSGTGCDLGSADH >ENSMUSP00000141547.1 pep:known chromosome:GRCm38:2:24962422:24972161:1 gene:ENSMUSG00000026975.10 transcript:ENSMUST00000126909.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dph7 description:diphthamine biosynethesis 7 [Source:MGI Symbol;Acc:MGI:1914478] MAGSHAGTLRVLQAVDTELTADSVEWCPVEGYQHLLACGTYQLRAPRDQPALDGSEPQVR LGRLYLFSFSEHNTAKPLLEVQRRDSSAVLDMK >ENSMUSP00000142067.1 pep:known chromosome:GRCm38:2:24962423:24968918:1 gene:ENSMUSG00000026975.10 transcript:ENSMUST00000135339.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dph7 description:diphthamine biosynethesis 7 [Source:MGI Symbol;Acc:MGI:1914478] MAGSHAGTLRVLQAVDTELTADSVEWCPVEGYQHLLACGTYQLRAPRDQVHDREGEATGA GEEGLLTSGA >ENSMUSP00000142315.1 pep:known chromosome:GRCm38:2:24962441:24966574:1 gene:ENSMUSG00000026975.10 transcript:ENSMUST00000143253.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dph7 description:diphthamine biosynethesis 7 [Source:MGI Symbol;Acc:MGI:1914478] MAGSHAGTLRVLQAVDTELTADSVEWCPVEGYQHLLACGTYQLRAPRDQVHDREGEATGA GEEGLLTSGA >ENSMUSP00000141890.1 pep:known chromosome:GRCm38:2:24962452:24966529:1 gene:ENSMUSG00000026975.10 transcript:ENSMUST00000153375.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dph7 description:diphthamine biosynethesis 7 [Source:MGI Symbol;Acc:MGI:1914478] MAGSHAGTLRVLQAVDTELTADSVEWCPVEGYQHLLACGTYQLRAPRDQ >ENSMUSP00000142220.1 pep:known chromosome:GRCm38:2:24962473:24969671:1 gene:ENSMUSG00000026975.10 transcript:ENSMUST00000124383.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dph7 description:diphthamine biosynethesis 7 [Source:MGI Symbol;Acc:MGI:1914478] MAGSHAGTLRVLQAVDTELTADSVEWCPVEGYQHLLACGTYQLRAPRDQ >ENSMUSP00000127566.2 pep:known chromosome:GRCm38:11:59183875:59191952:-1 gene:ENSMUSG00000020444.19 transcript:ENSMUST00000170202.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guk1 description:guanylate kinase 1 [Source:MGI Symbol;Acc:MGI:95871] MLRRPLVGLAVAALGRVPADGMAGPRPVVLSGPSGAGKSTLLKKLFQEHSSIFGFSVSHT TRNPRPGEEDGKDYYFVTREMMQRDIAAGDFIEHAEFSGNLYGTSKEAVRAVQAMNRICV LDVDLQGVRSIKKTDLCPIYIFVQPPSLDVLEQRLRLRNTETEESLAKRLAAARTDMESS KEPGLFDLVIINDDLDKAYATLKQALSEEIKKAQGTGHA >ENSMUSP00000130214.2 pep:known chromosome:GRCm38:11:59184047:59187741:-1 gene:ENSMUSG00000020444.19 transcript:ENSMUST00000170895.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guk1 description:guanylate kinase 1 [Source:MGI Symbol;Acc:MGI:95871] MEAATHQVGMAGPRPVVLSGPSGAGKSTLLKKLFQEHSSIFGFSVSHTTRNPRPGEEDGK DYYFVTREMMQRDIAAGDFIEHAEFSGNLYGTSKEAVRAVQAMNRICVLDVDLQGVRSIK KTDLCPIYIFVQPPSLDVLEQRLRLRNTETEESLAKRLAAARTDMESSKEPGLFDLVIIN DDLDKAYATLKQALSEEIKKAQGTGHA >ENSMUSP00000134236.1 pep:known chromosome:GRCm38:11:59186792:59192212:-1 gene:ENSMUSG00000020444.19 transcript:ENSMUST00000172714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guk1 description:guanylate kinase 1 [Source:MGI Symbol;Acc:MGI:95871] MAGPRPVVLSGPSGAGKSTLLKKLFQEHSSI >ENSMUSP00000006792.4 pep:known chromosome:GRCm38:7:4337724:4345164:1 gene:ENSMUSG00000062524.4 transcript:ENSMUST00000006792.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncr1 description:natural cytotoxicity triggering receptor 1 [Source:MGI Symbol;Acc:MGI:1336212] MLPTLTALLCLGLCLSQRINTEKETLPKPIIWAKPSIMVTNGNSVNIWCQGAQSASEYQL YFEGSFFALERPKPSRSMNKVRFFISQMTSHTAGIYTCFYQSGELWSKSSNPLKLVVTGL YDTPNLWVYPQPEVTLGENVTFFCQLKTATSKFFLLKERGSNHIQNKYGNIQAEFPMGPV TRAHRGTYRCFGSYNDYAWSFPSEPVTLLITGGVENSSLAPTDPTSSLDYWEFDLSTNES GLQKDSAFWDHTTQNLIRIGLACIILITLVWLLTEDWLSKRKDHEEANRLTNWECRRRWR MQHYFEEEQRNAISMMELKATPGAL >ENSMUSP00000145847.1 pep:known chromosome:GRCm38:7:4337769:4345164:1 gene:ENSMUSG00000062524.4 transcript:ENSMUST00000126417.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncr1 description:natural cytotoxicity triggering receptor 1 [Source:MGI Symbol;Acc:MGI:1336212] MVTNGNSVNIWCQGAQSASEYQLYFEGSFFALERPKPSRSMNKVRFFISQMTSHTAGIYT CFYQSGELWSKSSNPLKLVVTGLYDTPNLWVYPQPEVTLGENVTFFCQLKTATSKFFLLK ERGSNHIQNKYGNIQAEFPMGPVTRAHRGTYRCFGSYNDYAWSFPSEPVTLLITGGVENS SLAPTDPTSSLDYWEFDLSTNESGLQKDSAFWDHTTQNLIRIGLACIILITLVWLLTEDW LSKRKDHEEANRLTNWECRRRWRMQHYFEEEQRNAISMMELKATPGAL >ENSMUSP00000093762.4 pep:known chromosome:GRCm38:16:45711230:45724608:-1 gene:ENSMUSG00000022658.10 transcript:ENSMUST00000096057.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tagln3 description:transgelin 3 [Source:MGI Symbol;Acc:MGI:1926784] MANRGPSYGLSREVQEKIEQKYDADLENKLVDWIILQCAEDIEHPPPGRAHFQKWLMDGT VLCKLINSLYPPGQEPIPKISESKMAFKQMEQISQFLKAAEVYGVRTTDIFQTVDLWEGK DMAAVQRTLMALGSVAVTKDDGCYRGEPSWFHRKAQQNRRGFSEEQLRQGQNVIGLQMGS NKGASQAGMTGYGMPRQIM >ENSMUSP00000107333.1 pep:known chromosome:GRCm38:6:145746748:145821079:1 gene:ENSMUSG00000030259.12 transcript:ENSMUST00000111704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf8 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 8 [Source:MGI Symbol;Acc:MGI:1918573] MELKVWVDGVQRIVCGVTEVTTCQEVVIALAQAIGRTGRYTLIEKWRDTERHLAPHENPI VSLNKWGQYASDVQLILRRTGPSLSERPTSDSVARIPERTLYRQSLPPLAKLRPQADKSI RRREPKRKSLTFTGGAKGLTDIFGKGKETEFRQKVLSNCRATAEELKRLIRLQTGKLQAI EKQLESSEAEIRFWEQKYSCSLEEEIVRLEQRIKRNDVEIEEEEFWENELQIEQENEKQL QDQLEEIRQKVTDCEGRLKDYLAQIHTMESGLQAEKLHREVQEAQVNEEEVKGKIEKVKG EMDLQGQQSLRLENGIRAVERSLGQATKRLQDKEQELEQLTKELRQVNLQQFIQQTGTKV TVLPAEPTEIEASQADIETEAPFQSGSLKRPGSSRQLPSNLRILQNPVSSGFNPEGIYV >ENSMUSP00000122684.1 pep:known chromosome:GRCm38:6:145750571:145815188:1 gene:ENSMUSG00000030259.12 transcript:ENSMUST00000140966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf8 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 8 [Source:MGI Symbol;Acc:MGI:1918573] MELKVWVDGVQRIVCGVTEVTTCQEVVIALAQAIGRTGRYTLIEKWRDTERHLAPHENPI VSLNKWGQYASDVQLILRRT >ENSMUSP00000032388.4 pep:known chromosome:GRCm38:6:145808383:145817584:1 gene:ENSMUSG00000030259.12 transcript:ENSMUST00000032388.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf8 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 8 [Source:MGI Symbol;Acc:MGI:1918573] MELKVWVDGVQRIVCGVTEVTTCQEVVIALAQAIGRTGRYTLIEKWRDTERHLAPHENPI VSLNKWGQYASDVQLILRRTGPSLSERPTSDSVARIPERTLYRQSLPPLAKLRPQADKSI RRREPKRKSLTFTGGAKGLTDIFGKGKETEFRQKVLSNCRATAEELKRLIRLQTGKLQAI EKQLESSEAEIRFWEQKYSCSLEEEIVRLEQRIKRNDVEIEEEEFWENELQIEQENEKQL QDQLEEIRQKVTDCEGRLKDYLAQIHTMESGLQAEKLHREVQEAQVNEEEVKGKIEKVKG EMDLQGQQSLRLENGIRAVERSLGQATKRLQDKEQELEQLTKELRQVNLQQFIQQTGTKV TVLPAEPTEIEASQADIETEAPFQSGSLKRPGSSRQLPSNLRILQNPVSSGFNPEGIYV >ENSMUSP00000130671.1 pep:known chromosome:GRCm38:6:145819944:145821074:1 gene:ENSMUSG00000030259.12 transcript:ENSMUST00000058538.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf8 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 8 [Source:MGI Symbol;Acc:MGI:1918573] FICEASVGIDRSCPLTVQIDITIRGQLGIAPPSRACTVKMQLMVLAVECGSTDRQISTPP QLRQPSPAATQLLVSAKRSAETRSLHLEQHLGTSLQVRTLID >ENSMUSP00000132725.1 pep:known chromosome:GRCm38:8:104639839:104641965:-1 gene:ENSMUSG00000031879.13 transcript:ENSMUST00000164884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam96b description:family with sequence similarity 96, member B [Source:MGI Symbol;Acc:MGI:1915773] MVGGGGSGGGLLENANPLIYERSGERPVTAGEEDEEVPDSIDAREIFDLIRSINDPEHPL TLEELNVVEQVRIQVSDPESTVAVAFTPTIPHCSMATLIGLSIKVKLLRSLPQRFKMDVH ITPGTHASEHAVNKQLADKERVAAALENTHLLEVVNQCLSARS >ENSMUSP00000090921.4 pep:known chromosome:GRCm38:8:104639848:104641701:-1 gene:ENSMUSG00000031879.13 transcript:ENSMUST00000093234.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam96b description:family with sequence similarity 96, member B [Source:MGI Symbol;Acc:MGI:1915773] MVGGGGSGGGLLENANPLIYERSGERPVTAGEEDEEVPDSIDAREIFDLIRSINDPEHPL TLEELNVVEQVRIQRPREHSSSGLHTHHPTLQHGHAYWPLHQSQAAAIPSPAVQDGCTHY TRDPRLRACSEQTTCR >ENSMUSP00000138898.1 pep:known chromosome:GRCm38:7:14331436:14333557:-1 gene:ENSMUSG00000099216.1 transcript:ENSMUST00000184356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox8 description:oocyte specific homeobox 8 [Source:MGI Symbol;Acc:MGI:3645855] MQEPAYFPGFPMQNAPPTLLPTHWIFRPPMSPDFQMPSALLVHDYHQIPQSPQLPEGLQM DPDPLVPHYCQRPQPPPLPEGLQMDPGSPVNPGFQVYPLAPRVAPQVLPRPHVTGKAAIR EWRGRTRYSPEQKSTLEKFFKKSKYPSFKQRQELAKSVCVMEYQIRIWFKNRRARYFREH PQERLKARGNQGLGAHTSTGDHACINAGATGDSVTQP >ENSMUSP00000112766.1 pep:known chromosome:GRCm38:8:88199213:88259722:1 gene:ENSMUSG00000036779.12 transcript:ENSMUST00000119033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papd5 description:PAP associated domain containing 5 [Source:MGI Symbol;Acc:MGI:1917820] MQIWETTQGLRNLYFNHHCHSSGASSASGGSGSGPGSPGGTAPAPAGMFRSGERPLGGLA VPAEQRDFLPLETTNNNNNHHQPAAWARRASAGPSASPVPSAPSSPRPAAALPASESTDP ASGSSNKRKRDNKASTYGLNYSLLQPSGGRAAGGGRADGGGGVYSGTPWKRRNYNQGVVG LHEEISDFYEYMSPRPEEEKMRMEVVSRIESVIKELWPSADVQIFGSFKTGLYLPTSDID LVVFGKWENLPLWTLEEALRKHKVADEDSVKVLDKATVPIIKLTDSFTEVKVDISFNVQN GVRAADLIKDFTKKYPVLPYLVLVLKQFLLQRDLNEVFTGGIGSYSLFLMAVSFLQLHPR EDACIPNTNYGVLLIEFFELYGRHFNYLKTGIRIKDGGSYVAKDEVQKNMLDGYRPSMLY IEDPLQPGNDVGRSSYGAMQVKQAFDYAYVVLSHAVSPIAKYYPNNETESILGRIIRVTD EVATYRDWISKQWGLQNRPEPSCNGNGVTLIVDTQQLDKCNNNLSEEKEALGKCRSNASE PLSKHSSNSSSGPVSSSSATQSSSSDVDSDATPCKTPKQLLCRPPTVTRVGSQDVSLEVS QAVGKMQSTQTTNTPNNANKSQHGSARLFRSSSKGFQGTAQTSHGALMTSKQHQGKSNTQ YYHGKKRRHKRDAPLSELCR >ENSMUSP00000112608.1 pep:known chromosome:GRCm38:8:88199214:88259722:1 gene:ENSMUSG00000036779.12 transcript:ENSMUST00000118952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papd5 description:PAP associated domain containing 5 [Source:MGI Symbol;Acc:MGI:1917820] MQIWETTQGLRNLYFNHHCHSSGASSASGGSGSGPGSPGGTAPAPAGMFRSGERPLGGLA VPAEQRDFLPLETTNNNNNHHQPAAWARRASAGPSASPVPSAPSSPRPAAALPASESTDP ASGSSNKRKRDNKASTYGLNYSLLQPSGGRAAGGGRADGGGGVYSGTPWKRRNYNQGVVG LHEEISDFYEYMSPRPEEEKMRMEVVSRIESVIKELWPSADVQIFGSFKTGLYLPTSDID LVVFGKWENLPLWTLEEALRKHKVADEDSVKVLDKATVPIIKLTDSFTEVKVDISFNVQN GVRAADLIKDFTKKYPVLPYLVLVLKQFLLQRDLNEVFTGGIGSYSLFLMAVSFLQLHPR EDACIPNTNYGVLLIEFFELYGRHFNYLKTGIRIKDGGSYVAKDEVQKNMLDGYRPSMLY IEDPLQPGNDVGRSSYGAMQVKQAFDYAYVVLSHAVSPIAKYYPNNETESILGRIIRVTD EVATYRDWISKQWGLQNRPEPSCNGNGVTLIVDTQQLDKCNNNLSEEKEALGKCRSNASE PLSKHSSNSSSGPVSSSSATQSSSSDVDSDATPCKTPKQLLCRPPTVTRVGSQDVSLEVS QAVGKMQSTQTTNTPNNANKSQHGSARLFRSSSKGFQGTAQTSHGALMTSKQHQGKSNTQ YYHGKKRRHKRDAPLSELCR >ENSMUSP00000120503.1 pep:known chromosome:GRCm38:8:88251972:88257411:1 gene:ENSMUSG00000036779.12 transcript:ENSMUST00000154115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papd5 description:PAP associated domain containing 5 [Source:MGI Symbol;Acc:MGI:1917820] SSYGAMQVKQAFDYAYVVLSHAVSPIAKYYPNNETESILGRIIRVTDEVATYRDWISKQW GLQNRPEPSCNGPVSSSSATQSSSSDVDSDATPCKTPKQLLCRPPTVTRVGSQDVSLEVS QAVGKMQSTQTTNTPNNANKSQHGSARLFRSSSKGFQGTAQTSHGALMTSKQHQGKSNTQ YYHGKKRRHKRDAPLSELCR >ENSMUSP00000067971.3 pep:known chromosome:GRCm38:8:88199213:88259716:1 gene:ENSMUSG00000036779.12 transcript:ENSMUST00000066748.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papd5 description:PAP associated domain containing 5 [Source:MGI Symbol;Acc:MGI:1917820] MQIWETTQGLRNLYFNHHCHSSGASSASGGSGSGPGSPGGTAPAPAGMFRSGERPLGGLA VPAEQRDFLPLETTNNNNNHHQPAAWARRASAGPSASPVPSAPSSPRPAAALPASESTDP ASGSSNKRKRDNKASTYGLNYSLLQPSGGRAAGGGRADGGGGVYSGTPWKRRNYNQGVVG LHEEISDFYEYMSPRPEEEKMRMEVVSRIESVIKELWPSADVQIFGSFKTGLYLPTSDID LVVFGKWENLPLWTLEEALRKHKVADEDSVKVLDKATVPIIKLTDSFTEVKVDISFNVQN GVRAADLIKDFTKLHPREDACIPNTNYGVLLIEFFELYGRHFNYLKTGIRIKDGGSYVAK DEVQKNMLDGYRPSMLYIEDPLQPGNDVGRSSYGAMQVKQAFDYAYVVLSHAVSPIAKYY PNNETESILGRIIRVTDEVATYRDWISKQWGLQNRPEPSCNGNGVTLIVDTQQLDKCNNN LSEEKEALGKCRSNASEPLSKHSSNSSSGPVSSSSATQSSSSDVDSDATPCKTPKQLLCR PPTVTRVGSQDVSLEVSQAVGKMQSTQTTNTPNNANKSQHGSARLFRSSSKGFQGTAQTS HGALMTSKQHQGKSNTQYYHGKKRRHKRDAPLSELCR >ENSMUSP00000028295.8 pep:known chromosome:GRCm38:2:26348121:26352110:-1 gene:ENSMUSG00000075467.4 transcript:ENSMUST00000028295.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnlz description:DNL-type zinc finger [Source:MGI Symbol;Acc:MGI:106559] MLRTALSRMPTLLRSVRTRDSGPRRLWDLGARLKTAERLRGWAWGWASGWRSSSSAPGSG RAAALGRVEADHYQLVYTCKVCGTRSSKRISKLAYHQGVVIVTCPGCQNHHIIADNLSWF SDLKGKRNIEEILAARGEEVRRVSGDGALELILEAAVPPDTPEGDEDPPNPGKMGQS >ENSMUSP00000090148.5 pep:known chromosome:GRCm38:17:22547941:22573273:-1 gene:ENSMUSG00000095093.1 transcript:ENSMUST00000092491.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r111 description:vomeronasal 2, receptor 111 [Source:MGI Symbol;Acc:MGI:3647034] MFTLIFLFLLLNIPLLVADFIHPRCFWKMKRNENKDRNQGTGCTFVIHAVQQPVEKEYFS HILNIQTQTENRKYALALAFSINEINRNPDLLPNMSLIFKFSAHNCVWESEIMNLMLLSL QNYDIFPNYLCKEYTKCAMALTNLNWATTVTLYTILNNIISEQFLQITYGPFHPVLSDHE KFPYLHQMASDHTSLALALVSFIIHFGWNWVGLVISDSDQGIQFLSYLRREMEKYTVCFA FVNMIPVNMNLYMSRAEVYYNQIMTSSTNVVIIYGDTDSTLAVSFRMWESLGIQRLWITT SQWDVSPRMKDFTFGNKYGTFDFQQHNSEISGFKNFVQTLNSVKYPDDYLVELEWMHFNC EVSASKCKTLKNCSSNHSLEWLMVHTFDMAFIEKSYYIYNAVYAFAHALHQFTFQKFDNL PKDNGKEHNYSCKKVDPSLRKMQFTNPVGDIVNMNQRDKLQEEYDIFYIWNFPQGLGLRV KIGMFSPYLPNGQQVHLSEVIIKWTKGSTQVPTSVCSADCGPGSRKFGMDGMAACCFHCK PCPENEISNETNVDNCAQCPEDQYANTEQNHCIHKAVVFLNYEEPLGMALSLFSLCFSAF TTVILGVIVKHHNTPIVKANNRTLTYILLISLIFCFLCPLLFIGHPNSVTCILQQITFGV VFTVALSTVLAKTIVVVLAFKITASQRMMKYFLVSGASNYIILICTLIQVIVCAVWLGAS PPSVDIDAQSEHGHIIIVCHKGSVNAFYSILGYLALLSFGSFTLAFLSRNLPGAFNEAKS ITFSMLVFCSVWVTFIPVYHSTKGKVMVAVEIFSTLASSAGMLGCIFVSKCYTILFRPDR NSVEMTRVKSSFHARVS >ENSMUSP00000075440.6 pep:known chromosome:GRCm38:5:113075839:113080667:-1 gene:ENSMUSG00000029352.14 transcript:ENSMUST00000076069.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb3 description:crystallin, beta B3 [Source:MGI Symbol;Acc:MGI:102717] MAEQHGAPEQAAAGKSHGGLGGSYKVTVYELENFQGKRCELSAECPNLTDSLLEKVGSIQ VESGPWLAFERRAFRGEQFVLEKGDYPRWDAWSSSRRSDILLSLRPLHIDGPDHKLHLFE NPAFSGRKMEIVDDDVPSLWAHGFQDRVASIRVINGTWVGYEFPGYRGRQYVFERGEFRH WNEWDANQPQLQSVRRIRDQKWHKRGCFLSS >ENSMUSP00000112618.1 pep:known chromosome:GRCm38:5:113075839:113081110:-1 gene:ENSMUSG00000029352.14 transcript:ENSMUST00000118226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb3 description:crystallin, beta B3 [Source:MGI Symbol;Acc:MGI:102717] MAEQHGAPEQAAAGKSHGGLGGSYKVTVYELENFQGKRCELSAECPNLTDSLLEKVGSIQ VESGPWLAFERRAFRGEQFVLEKGDYPRWDAWSSSRRSDILLSLRPLHIDGPDHKLHLFE NPAFSGRKMEIVDDDVPSLWAHGFQDRVASIRVINGTWVGYEFPGYRGRQYVFERGEFRH WNEWDANQPQLQSVRRIRDQKWHKRGCFLSS >ENSMUSP00000113572.1 pep:known chromosome:GRCm38:5:113075839:113081584:-1 gene:ENSMUSG00000029352.14 transcript:ENSMUST00000119627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb3 description:crystallin, beta B3 [Source:MGI Symbol;Acc:MGI:102717] MAEQHGAPEQAAAGKSHGGLGGSYKVTVYELENFQGKRCELSAECPNLTDSLLEKVGSIQ VESGPWLAFERRAFRGEQFVLEKGDYPRWDAWSSSRRSDILLSLRPLHIDGPDHKLHLFE NPAFSGRKMEIVDDDVPSLWAHGFQDRVASIRVINGTWVGYEFPGYRGRQYVFERGEFRH WNEWDANQPQLQSVRRIRDQKWHKRGCFLSS >ENSMUSP00000112718.1 pep:known chromosome:GRCm38:5:113075843:113081110:-1 gene:ENSMUSG00000029352.14 transcript:ENSMUST00000120506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb3 description:crystallin, beta B3 [Source:MGI Symbol;Acc:MGI:102717] MAEQHGAPEQAAAGKSHGGLGGSYKVTVYELENFQGKRCELSAECPNLTDSLLEKVGSIQ VESGPWLAFERRAFRGEQFVLEKGDYPRWDAWSSSRRSDILLSLRPLHIDGPDHKLHLFE NPAFSGRKMEIVDDDVPSLWAHGFQDRVASIRVINGTWVGYEFPGYRGRQYVFERGEFRH WNEWDANQPQLQSVRRIRDQKWHKRGCFLSS >ENSMUSP00000113347.1 pep:known chromosome:GRCm38:5:113075847:113081583:-1 gene:ENSMUSG00000029352.14 transcript:ENSMUST00000117143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb3 description:crystallin, beta B3 [Source:MGI Symbol;Acc:MGI:102717] MAEQHGAPEQAAAGKSHGGLGGSYKVTVYELENFQGKRCELSAECPNLTDSLLEKVGSIQ VESGPWLAFERRAFRGEQFVLEKGDYPRWDAWSSSRRSDILLSLRPLHIDGPDHKLHLFE NPAFSGRKMEIVDDDVPSLWAHGFQDRVASIRVINGTWVGYEFPGYRGRQYVFERGEFRH WNEWDANQPQLQSVRRIRDQKWHKRGCFLSS >ENSMUSP00000121929.1 pep:known chromosome:GRCm38:5:113078389:113080653:-1 gene:ENSMUSG00000029352.14 transcript:ENSMUST00000140352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb3 description:crystallin, beta B3 [Source:MGI Symbol;Acc:MGI:102717] MAEQHGAPEQAAAGKSHGGLGGSYKVTVYELENFQGKRCELSAECPNLTDSLLEKVGSIQ VESGPHTRGDPVPCPLRWLAFERRAFRGEQFVLEKGDYPRWDAWSSSRRSDILLSLRPL >ENSMUSP00000115758.1 pep:known chromosome:GRCm38:5:113078472:113081579:-1 gene:ENSMUSG00000029352.14 transcript:ENSMUST00000131708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb3 description:crystallin, beta B3 [Source:MGI Symbol;Acc:MGI:102717] MAEQHGAPEQAAAGKSHGGLGGSYKVTVYELENFQGKRCELSAECPNLTDSLLEKVGSIQ VESGPWLAFERRAFRGEQF >ENSMUSP00000121559.1 pep:known chromosome:GRCm38:5:113079757:113081059:-1 gene:ENSMUSG00000029352.14 transcript:ENSMUST00000136352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb3 description:crystallin, beta B3 [Source:MGI Symbol;Acc:MGI:102717] MAEQHGAPEQAAAGKSHGGLGGSYKVTVYELENFQGKRCELSAECPNLTDSLLEKVGSIQ VESGP >ENSMUSP00000010278.5 pep:known chromosome:GRCm38:3:105959369:105969760:1 gene:ENSMUSG00000000561.14 transcript:ENSMUST00000010278.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr77 description:WD repeat domain 77 [Source:MGI Symbol;Acc:MGI:1917715] MRKDTPPPLVPPAAREWNLPPNAPACMERQLEAARYRSDGSLLLGVSSLSGRCWVGSLWF FKDPSAAPNEGFCSAGVQTEAGVADLTWVGDKGILVASDSGAVELWELDENETLIVSKFC KYEHDDIVSTVTVLSSGTQAVSGSKDCCIKIWDLAQQVSLNSYRAHAGQVTCVAASPHKD SVFLSCSEDSRILLWDTRCPKPASQMACNASGYLPTALAWHPQQSEVFVFGDENGSVSLV DTKNASCTLSSAVHSQGVTRLVFSPHSVPLLTSLSEDCSLAVLDSSLSEVFRSRAHRDFV RDATWSPLNHSLLTTVGWDHQVIHHVVPLEPLPNPGPDSVVE >ENSMUSP00000120517.1 pep:known chromosome:GRCm38:3:105959514:105970037:1 gene:ENSMUSG00000000561.14 transcript:ENSMUST00000130994.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr77 description:WD repeat domain 77 [Source:MGI Symbol;Acc:MGI:1917715] MRKDTPPPLVPPAAREWNLPPNAPACMERQLEAARWFPSARGLQPEWSLLGRFSVVFQGS >ENSMUSP00000122465.1 pep:known chromosome:GRCm38:3:105961622:105967527:1 gene:ENSMUSG00000000561.14 transcript:ENSMUST00000128005.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr77 description:WD repeat domain 77 [Source:MGI Symbol;Acc:MGI:1917715] VELWELDENETLIVSKFCKYEHDDIVSTVTVLSSGTQAVSGSKDCCIKIWDLAQQVSLNS YRAHAGQVTCVAASPHKDSVFLSCSEDSRILLWDTRCPKPASQMACNASGYLPTALAWHP QQSEVFVFGDENGSVSLVDTKNASCTLSSAVHSQGVTRLCPPPDFSQ >ENSMUSP00000101999.1 pep:known chromosome:GRCm38:11:116470845:116490348:-1 gene:ENSMUSG00000015869.16 transcript:ENSMUST00000106391.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpsap1 description:phosphoribosyl pyrophosphate synthetase-associated protein 1 [Source:MGI Symbol;Acc:MGI:1915013] MPRKLLLLPPLSACSALRVPRARPAASPAMNAARTGYRVFSANSTAACTELAKRITERLG AELGKSVVYQETNGETRVEIKESVRGQDIFIIQTIPRDVNTAVMELLIMAYALKTACARN IIGVIPYFPYSKQSKMRKRGSIVCKLLASMLAKAGLTHIITMDLHQKEIQGFFSFPVDNL RASPFLLQYIQEEIPNYRNAVIVAKSPDAAKRAQSYAERLRLGLAVIHGEAQCTELDMDD GRHSPPMVKNATVHPGLELPLMMAKEKPPITVVGDVGGRIAIIVDDIIDDVESFVAAAEI LKERGAYKIYVMATHGILSAEAPRLIEESPIDEVVVTNTVPHELQKLQCPKIKTVDISLI LSEAIRRIHNGESMAYLFRNITVDD >ENSMUSP00000115982.1 pep:known chromosome:GRCm38:11:116478060:116494202:-1 gene:ENSMUSG00000015869.16 transcript:ENSMUST00000152496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpsap1 description:phosphoribosyl pyrophosphate synthetase-associated protein 1 [Source:MGI Symbol;Acc:MGI:1915013] MTTRQPEASGAAERPILVLSRRLGAELGKSVVYQETNGETRVEIKESVRGQDIFIIQTIP RDVNTAVMELLIMAYALKTACARNIIGVIPYFPYSKQSKMRKRGSIVCKLLASMLAKAGL THIITMDLHQKEIQGFFSFPVDNLRASPFLLQYIQEEIPNYRNAVIVAKSPDAAK >ENSMUSP00000119576.1 pep:known chromosome:GRCm38:11:116478534:116490335:-1 gene:ENSMUSG00000015869.16 transcript:ENSMUST00000135196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpsap1 description:phosphoribosyl pyrophosphate synthetase-associated protein 1 [Source:MGI Symbol;Acc:MGI:1915013] MPRKLLLLPPLSACSALRVPRARPAASPAMNAARTGYRVFSANSTAACTELAKRITERLG AELGKSVVYQETNGETRVEIKESVRGQDIFIIQTIPSFYCFRDVNTAVMELLIMAYALKT ACARNIIGVIPYFPYSKQSKMRKRGSIVCKLLASMLAKAGLTHIITMDLHQKEIQGFFSF PVDNLRASPFLLQYI >ENSMUSP00000074988.3 pep:known chromosome:GRCm38:13:100759674:100775899:-1 gene:ENSMUSG00000045273.8 transcript:ENSMUST00000075550.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenph description:centromere protein H [Source:MGI Symbol;Acc:MGI:1349448] MEEQPRERSEAGAEACEEKRGLSQAAEERIEDRISLLLRLRAQTKQQLLEYKSMIDTNEE KTPEQIMQEKQIEVKIEELENEIEDVKSNIEMKSLALSRMKLSVALRDNMENMGPENCVL TDDMKHILKLQKLIMKSQEESSELEKKLLDVRKKRLQLKQASRSKLLEIQIEKNKQKEDV DKMENSEMIKTMKKKLQTEIKITTVVQHTFQGLILASKTNWAEDPALRETVLQLEKDLNT L >ENSMUSP00000071715.5 pep:known chromosome:GRCm38:3:88081971:88121048:1 gene:ENSMUSG00000028068.14 transcript:ENSMUST00000071812.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqgap3 description:IQ motif containing GTPase activating protein 3 [Source:MGI Symbol;Acc:MGI:3028642] MEPCAAGRARTAYERLTAEEMDEQRRQNVAYQYLCRLEEAKRWMEVCLKEELPSPVELEE SLRNGVLLAKLGHCFAPSVVPLKKIYDVEQLRYQATGLHFRHTDNINFWLSAVAHIGLPS IFLPETTDIYDKKNMPRVIYCIHALSLFLFRLGLAPQIHDLYGKVKFTAEELGNIASELA KYGLQLPAFSKIGGILANEFSADEAAVHAAILAINDAVERGVVEDTLVTLQNPNALLGNL REPLAAVYQELLALAKMEKAANARNHDDGQEQDIYESCLTQAEIQGHINLANVQGALEVV DDALERQNPGALLEALHDPVLALQGVRGTFADWYLEQLTSDREQKSQELGLVRLLEKEEI QAGVAVANEKGDEEQTMLQAVWRINKAIRRGVAADTVKELMCPEAQLPRVYPFASAFYQQ ELALLQKQQQGELGQEELFVAVEMLSAVVLINRALEAGDVCAFWDNLVNPATGLAQVEEE NAQRYFDALVKVQQFQGTHRGILSWNDLQAAVSQVNEQVQEETDQVLAISLINEALDQGC PEKTLSALLLPAAGLEDVSLHVAPRYHLLLVAAKRQKARVTGDPGAVLWLEEIRQGVARA NEDTSTAQRIALGVAAINQAIKEGKAAQTERVLRNPNVALRGIVPDCAKSYQQALEGAAA KKQCPGDTAFWVQHDMKDGTAYYLHLQTFQGTWEHPSGCHLNTSHLTWEEIQSVITKVTA AHDRQLLWKANVGFVIKLQARLRGFLVRQKFAESSHVFRTLLPAVIKIQAHWRGYRQRKA YQERLQHFKANLDAIIKIQAWARMWAARRRYLRRLRYFQKNVDSVVKIQAFFRARKARDD YRMLVHARHPPLCVVRKFAHLLNQSQEDFSAESELLKLQEEVVRKIRSNQQLEQDLNLMD IKIGLLVKNRITLQEVVSHCKKLTKKNKEQLSDMMILDKQKGLKSLSREKRQKLEAYQHL FYLLQTQPIYLAKLIFQMPQNKTTKFMEGVIFSLYNYASNRREAYLLLQLFRTALQEEIK SKVEQPQDVVTGNPTVVRLVVRFYRNGRGQSALQEILGKVIQDVLEDRSVSIHTDPVHIY KSWINQEEAQTGQRSHLPYDVTPEQALSHSEVQRRLDISLRSLLTLTEKFFVAISSSVDH IPYGMRYMAKVLKTTLEKKFPNATERDIYKVVGNLLYYRFLNPAVVAPDAFDIVAMAAGS TLAAPQRHALGAVAQLLQHAAAGQIFSGESRHLRVLNDYLEDLHLKFRKFICRACRVPEP EERFAIDEYSDMVAVAKPMVYITVGELIGTHRLLLEHQDQLAPGHQDPLHQLLEDLGEPP TISDLIGDNIATDGHVDLSRLEVSLTLTNKFEGLETDADHNSNQSLLLSTKQMLADLIQF HPGESLEEILTSSAPREHEEAHRRLMCWRQACDTQKPEPLRRHHSLMAHSLLSLSEKQQR VLRNLRRLQGLGLVRASDCYQGLVDELAKDICNQRRHRQRRKAEMLRLRTTLQGLDAKTI FYEEQGDYYNQYIQACLDHLAPKPKSSGKGKKQPSLHYTAAQLLEKGVLVEIEDLPVSHF RNVIFDITPGDEAGRFFVNAKFLGVDMEKFQLHYQDLLQLQYEGVAVMKLFNKAKVNVNL LIFLLNKKFLRK >ENSMUSP00000142013.1 pep:known chromosome:GRCm38:3:88082028:88108390:1 gene:ENSMUSG00000028068.14 transcript:ENSMUST00000195465.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Iqgap3 description:IQ motif containing GTPase activating protein 3 [Source:MGI Symbol;Acc:MGI:3028642] MEPCAAGRARTAYERLTAEEMDEQRRQNVAYQYLCRLEEAKRWMEVCLKEELPSPVELEE SLRNGVLLAKLGHCFAPSVVPLKKIYDVEQLRYQATGLHFRHTDNINFWLSAVAHIGLPS IFLPETTDIYDKKNMPRVIYCIHALSLFLFRLGLAPQIHDLYGKVKFTAEELGNIASELA KYGLQLPAFSKIGGILANEFSADEAAVHAAILAINDAVERGVVEDTLVTLQNPNALLGNL REPLAAVYQELLALAKMEKAANARNHDDGQEQDIYESCLTQAEIQGHINLANVQGALEVV DDALERQNPGALLEALHDPVLALQGVRGTFADWYLEQLTSDREQKSQELGLVRLLEKEEI QAGVAVANEKGDEEQTMLQAVWRINKAIRRGVAADTVKELMCPEAQLPRVYPFASAFYQQ ELALLQKQQQGELGQEELFVAVEMLSAVVLINRALEAGDVCAFWDNLVNPATGLAQVEEE NAQRYFDALVKVQQFQGTHRGILSWNDLQAAVSQVNEQVQEETDQVLAISLINEALDQGC PEKTLSALLLPAAGLEDVSLHVAPRYHLLLVAAKRQKARVTGDPGAVLWLEEIRQGVARA NEDTSTAQRSKQRGTLQGGAPHAILP >ENSMUSP00000142035.1 pep:known chromosome:GRCm38:3:88105142:88121044:1 gene:ENSMUSG00000028068.14 transcript:ENSMUST00000194440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqgap3 description:IQ motif containing GTPase activating protein 3 [Source:MGI Symbol;Acc:MGI:3028642] MDIKIGLLVKNRITLQEVVSHCKKLTKKNKEQLSDMMILDKQKGLKSLSREKRQKLEAYQ HLFYLLQTQPIYLAKLIFQMPQNKTTKFMEGVIFSLYNYASNRREAYLLLQLFRTALQEE IKSKVEQPQDVVTGNPTVVRLVVRFYRNGRGQSALQEILGKVIQDVLEDRSVSIHTDPVH IYKSWINQEEAQTGQRSHLPYDVTPEQALSHSEVQRRLDISLRSLLTLTEKFFVAISSSV DHIPYGMRYMAKVLKTTLEKKFPNATERDIYKVVGNLLYYRFLNPAVVAPDAFDIVAMAA GSTLAAPQRHALGAVAQLLQHAAAGQIFSGESRHLRVLNDYLEDLHLKFRKFICRACRVP EPEERFAIDEYSDMVAVAKPMVYITVGELIGTHRLLLEHQDQLAPGHQDPLHQLLEDLGE PPTISDLIGDNIATDGHVDLSRLEVSLTLTNKFEGLETDADHNSNQSLLLSTKQMLADLI QFHPGESLEEILTSSAPREHEEAHRRLMCWRQACDTQKPEPLRRHHSLMAHSLLSLSEKQ QRVLRNLRRLQGLGLVRASDCYQGLVDELAKDICNQRRHRQRRKAEMLRLRTTLQGLDAK TIFYEEQGDYYNQYIQACLDHLAPKPKSSGKGKKQPSLHYTAAQLLEKGVLVEIEDLPVS HFRNVIFDITPGDEAGRFFVNAKFLGVDMEKFQLHYQDLLQLQYEGVAVMKLFNKAKVNV NLLIFLLNKKFLRK >ENSMUSP00000040929.6 pep:known chromosome:GRCm38:7:16959679:16964607:1 gene:ENSMUSG00000041141.7 transcript:ENSMUST00000038163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnmal1 description:PNMA-like 1 [Source:MGI Symbol;Acc:MGI:1918941] MSEPVAMTLLEDWCRGMGMDIHRSLLVTGIPEYCSHAEIEETLNGVLLPLGTYRVLNKIF LRQENVKAALVEVSEGVNLSSIPREFPGRGGVWRVVCRDPTQDDDFLKNLNEFLDGEGRT WEDMVRLLKLNPNPPLPNSNQPPPNWAEALGLLLGAVVQVIFYMDAAIRSRDEEARAEEA AEAELMEAWASTARKRVKKEPGLGVEVGSAFKMEDRNYWKNTEDHGDPPKPLVRRPGGKI RSRRRKQKKNLKQEPICWRKSQGSNYNSNYNKVNLEAGEAAQSSEIPESVKSNKKPFVKQ EETVWKKKRVWRDPSDLPRSALPAADSPGNLEDSDQDGGPENPAKKKAMTWASNKIPVPT RKKKKMVSLGTLSYVLLDAEATKNKTAILKKGLGARRAVSVPHDAPASTSRPQKTKLGAF PRVSKDSRKL >ENSMUSP00000066897.4 pep:known chromosome:GRCm38:7:14410689:14446586:-1 gene:ENSMUSG00000030378.14 transcript:ENSMUST00000063509.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a8 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 8 [Source:MGI Symbol;Acc:MGI:1924221] MTDEFLWIEGIPFPTVYYSQEIIREVRDRFVVRDEDTIIVTYPKSGTHWLNEIVCLILTK GDPTWVQSTIANERTPWIEFENNYRILNSKEGPRLMASLLPIQLFPKSFFSSKAKVIYLI RNPRDVLVSGYHYFNALKQGKEQVPWKIYFENFLQGKSYFGSWFEHACGWISLRKRENIL VLSYEQLKKDTRNTIKKICEFLGENLESGELELVLKNISFQIMKERMISQSCLSNIEKHE FIMRKGITGDWKNHFTVAQAEAFDKAFQEKAADFPQELFSWE >ENSMUSP00000116469.1 pep:known chromosome:GRCm38:7:14416218:14446769:-1 gene:ENSMUSG00000030378.14 transcript:ENSMUST00000125941.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a8 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 8 [Source:MGI Symbol;Acc:MGI:1924221] MTDEFLWIEGIPFPTVYYSQEIIREVRDRFVVRDEDTIIVTYPKSGTHWLNEIVCLILTK GDPTWVQSTIANERTPWIEFENNYRILNSKEGPRLMASLLPIQLFPKSFFSSKAKVIYLI RNPRDVLVSGYHYFNALKQGKEQVPWKIYFENFLQGKSYFGSWFEHACGWISLRKRENIL VLSYEQLKK >ENSMUSP00000128428.2 pep:known chromosome:GRCm38:7:14410686:14438551:-1 gene:ENSMUSG00000030378.14 transcript:ENSMUST00000168252.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a8 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 8 [Source:MGI Symbol;Acc:MGI:1924221] MTDEFLWIEGIPFPTVYYSQEIIREVRDRFVVRDEDTIIVTYPKSGTHWLNEIVCLILTK GDPTWVQSTIANERTPWIEFENNYRILNSKEGPRLMASLLPIQLFPKSFFSSKAKVIYLI RNPRDVLVSGYHYFNALKQGKEQVPWKIYFENFLQGKSYFGSWFEHACGWISLRKRENIL VLSYEQLKKDTRNTIKKICEFLGENLESGELELVLKNISFQIMKERMISQSCLSNIEKHE FIMRKGITGDWKNHFTVAQAEAFDKAFQEKAADFPQELFSWE >ENSMUSP00000096421.3 pep:known chromosome:GRCm38:7:12478293:12496235:1 gene:ENSMUSG00000030386.17 transcript:ENSMUST00000098822.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp606 description:zinc finger protein 606 [Source:MGI Symbol;Acc:MGI:1914620] MAAINPWPSWGTLMDQSWGMAAPEPSVSWALCPQDPAWHMEGSAEEDGRKTGGLPVAQVE EPVTFKDVAVAFTQEEWGQLDLVQRTLYRDVMLETYGHLLSVGNQIAKPEVISLLEQGEE PWSVEQGYPKSSCPEWMRNTESKALIPAQSVSEEEQSSNGMMLERYIWDNPWLSRLGVLG YGDQLEMYHMNQSTGMRQMVFMQKQVLSQRGSEFCELGTEYSQSLNLIPSQRVSQLERFY KPDTHLESWSCNSAIMYADKVTCENSDYNKAFCQSVQPVYPAKMQTGDNLFKCTDAVKSF NHIIQFGDHKAVHTGEKLYGYKEYHQIFNQSPSLIEYPKLQIGGNQYEKYKEYENIFYFS SFMEHQKIGSVEKTYKYNEWEKVFGYDSLLTQHTSTYTAEKPYEFNKCGTSFIWSSYLIQ HKKTHPGEKPYECDKCGKVFRNRSALTKHERTHTGVKPYECNKCGKAFSWNSHLIVHTRI HTGEKPYVCNECGKSFNWNSHLIGHQRTHTGEKPFECTECGKSFSWSSHLIAHMRMHTGE KPFKCDECEKAFRDYSALSKHERTHSGAKPYKCTECGKSFSWSSHLIAHQRTHTGEKPYN CQECGKAFRERSALTKHEIIHSGIKPYECNKCGKSCSQMAHLVRHQRTHTGEKPYECNKC GKSFSQSCHLVAHRRIHTGEKPYKCNQCERSFNCSSHLIAHRRTHTGEKPYRCNECGKAF NESSSLIVHLRNHTGEKPYKCNHCEKAFCKNSSLIIHQRMHSGEKRFICNQCGRAFSGHS ALLQHQKNHSEEKL >ENSMUSP00000122197.1 pep:known chromosome:GRCm38:7:12478363:12495562:1 gene:ENSMUSG00000030386.17 transcript:ENSMUST00000151933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp606 description:zinc finger protein 606 [Source:MGI Symbol;Acc:MGI:1914620] MQEPVTFKDVAVAFTQEEWGQLDLVQRTLYRDVMLETYGHLLSVGNQIAKPEVISLLEQG EEPWSVEQGYPKSSCPEWMRNTESKALIPAQSVSEEEQSSNGMMLERYIWDNPWLSRLGV LGYGDQLEMYHMNQSTGMRQMVFMQKQVLSQRGSEFCELGTEYSQSLNLIPSQRVSQLER FYKPDTHLESWSCNSAIMYADKVTCENSDYNKAFCQSVQPVYPAKMQTGDNLFKCTDAVK SFNHIIQFGDHKAVHTGEKLYGYKEYHQIFNQSPSLIEYPKLQIGGNQYEKYKEYENIFY FSSFMEHQKIGSVEKTYKYNEWEKVFGYDSLLTQHTSTYTAEKPYEFNKCGTSFIWSSYL IQHKKTHPGEKPYECDKCGKVFRNRSALTKHERTHTGVKPYECNKCGKAFSWNSHLIVHT RIHTGEKPYVCNECGKSFNWNSHLIGHQRTHTGEKPFECTECGKSFSWSSHLIAHMRMHT GEKPFKCDECEKAFRDYSALSKHERTHSGAKPYKCTECGKSFSWSSHLIAHQRTHTGEKP YNCQECGKAFRERSALTKHEIIHSGIKPYECNKCGKSCSQMAHLVRHQRTHTGEKPYECN KCGKSFSQSCHLVAHRRIHTGEKPYKCNQCERSFNCSSHLIAHRRTHTGEKPYRCNECGK AFNESSSLIVHLRNHTGEKPYKCNHCEKAFCKNSSLIIHQRMHSGEKRFICNQCGRAFSG HSALLQHQKNHSEEKL >ENSMUSP00000032386.4 pep:known chromosome:GRCm38:6:145858243:145865558:-1 gene:ENSMUSG00000030256.11 transcript:ENSMUST00000032386.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlhe41 description:basic helix-loop-helix family, member e41 [Source:MGI Symbol;Acc:MGI:1930704] MDEGIPHLQERQLLEHRDFIGLDYSSLYMCKPKRSLKRDDTKDTYKLPHRLIEKKRRDRI NECIAQLKDLLPEHLKLTTLGHLEKAVVLELTLKHLKALTALTEQQHQKIIALQNGERSL KSPVQADLDAFHSGFQTCAKEVLQYLARFESWTPREPRCAQLVSHLHAVATQLLTPQVPS GRGSGRAPCSAGAAAASGPERVARCVPVIQRTQPGTEPEHDTDTDSGYGGEAEQGRAAVK QEPPGDSSPAPKRPKLEARGALLGPEPALLGSLVALGGGAPFAQPAAAPFCLPFYLLSPS AAAYVQPWLDKSGLDKYLYPAAAAPFPLLYPGIPAAAAAAAAAAFPCLSSVLSPPPEKAG ATAGAPFLAHEVAPPGPLRPQHAHSRTHLPRAVNPESSQEDATQPAKDAP >ENSMUSP00000107332.1 pep:known chromosome:GRCm38:6:145863505:145865302:-1 gene:ENSMUSG00000030256.11 transcript:ENSMUST00000111703.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlhe41 description:basic helix-loop-helix family, member e41 [Source:MGI Symbol;Acc:MGI:1930704] MDEGIPHLQERQLLEHRDFIGLDYSSLYMCKPKRSLKRDDTKDTYKLPHRLIEKKRRDRI NECIAQLKDLLPEHLKLTTLGHLEKAVVLELTLKHLKALTALTEQQHQKIIALQNVCGLY RWNAQEKPSSL >ENSMUSP00000038574.7 pep:known chromosome:GRCm38:3:14480697:14505830:1 gene:ENSMUSG00000039710.14 transcript:ENSMUST00000037321.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a12 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 12 [Source:MGI Symbol;Acc:MGI:2156159] MQLLRALGVFHVSMILFSATLGTGIFVTPKAVLKYSSLNIPVSLSIWAGCGLLSIMSALC NAEIATTYPLSGASYYFLKRTLGSSVAFLSLWIKLFAHFLGIGAQCLLIATSVIQCFYSG CPAPELPTKCLALAILWSFGIVSARGIKTVAWFNTVSSFIKLSVLCLISLTVLLVNGKKE NVSRFENALDAELPNASQIADAILQVSYSYLGSSVLIVIAGEIKRPTETIPKTLIYGISI VTVLYLLTNISYLAVLTSQEIIFSDSVGVTWMNRVFPSIQWISSFLISAFLLGSVSCGIV SASRVFYSASQEGEFPSIYSMLNDHHSPAVADIQIVILSSVAIISSSIIYLVKYVSLGSF CINLLQMIGLLKIRYQNPDIPRPYKVWLPFIFGSIALSLFLIFTPVIQSPSIEHVYQVVF LFCGFLCYWLQANLNGHATCFDTITCYCQLLFNISPSEDPEEQKN >ENSMUSP00000112799.1 pep:known chromosome:GRCm38:3:14480700:14505724:1 gene:ENSMUSG00000039710.14 transcript:ENSMUST00000120484.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a12 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 12 [Source:MGI Symbol;Acc:MGI:2156159] MNRVFPSIQWISSFLISAFLLGSVSCGIVSASRVFYSASQEGEFPSIYSMLNDHHSPAVA DIQIVILSSVAIISSSIIYLVKYVSLGSFCINLLQMIGLLKIRYQNPDIPRPYKVWLPFI FGSIALSLFLIFTPVIQSPSIEHVYQVVFLFCGFLCYWLQANLNGHATCFDTITCYCQLL FNISPSEDPEEQKN >ENSMUSP00000113566.1 pep:known chromosome:GRCm38:3:14480773:14505724:1 gene:ENSMUSG00000039710.14 transcript:ENSMUST00000120801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a12 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 12 [Source:MGI Symbol;Acc:MGI:2156159] MNRVFPSIQWISSFLISAFLLGSVSCGIVSASRVFYSASQEGEFPSIYSMLNDHHSPAVA DIQIVILSSVAIISSSIIYLVKYVSLGSFCINLLQMIGLLKIRYQNPDIPRPYKVWLPFI FGSIALSLFLIFTPVIQSPSIEHVYQVVFLFCGFLCYWLQANLNGHATCFDTITCYCQLL FNISPSEDPEEQKN >ENSMUSP00000140470.1 pep:known chromosome:GRCm38:7:32209173:32211145:-1 gene:ENSMUSG00000099729.1 transcript:ENSMUST00000188293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b11 description:secretoglobin, family 2B, member 11 [Source:MGI Symbol;Acc:MGI:3782867] MKGTLLLLGLLVTGELSFQTSEACVSFFEGYASVLSGSRVWLYQELQAFDATAEEKVALE KIQGCYSEERIRNILLEPKIMEAMVASPECRSYHSLNNFRSILEFISNLLGE >ENSMUSP00000113676.1 pep:known chromosome:GRCm38:13:54503779:54551290:1 gene:ENSMUSG00000043183.18 transcript:ENSMUST00000121401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Simc1 description:SUMO-interacting motifs containing 1 [Source:MGI Symbol;Acc:MGI:2442599] MEDFIVISDDSGSESSAGTRSGRARRLRRALSRTPGALPRRTVDFIDLTRENRARTKDRN GLCVIDLTRNEEENRPIATLDLTLEPVASSQKEPTSLQTCTSLSGKEVMEAHEDRGSQPA AQRIINNDPVDLDLLEENLFEGSRPPTSISQDSVYPPEPNCSSITYKGDLSFLTSLQLSS DVSSFSSTSNQRASLPCPQQDVPCQSQGLLCPLQALSCPQTASPCPPRASSCPPQALSCP PQALSCPSQTLQCQLQALPQPPQEVPCSTQNVPCPQQNMPSTPQGLSWHPRHTLYPYQDT LGLPQDVPGRPQNMSYPQDVTQLQDMPWSLHDMPLSLQDVLQSLQDVPPLLGDVPPSPEV MQLPGYVTQTSRDVIQSPAGVTQSLGSMMQSPGSVTQSLRSVMQSSGSVTQSLRSVMQSS GSVTQSLRSVMQSSGSVMQSPGGVTQSLRSVTQSPGGVMQSPGGVMQSPRDVMQSPRDVM QSPRDVMQSPRGVTQSLGSMMQSPGGVMQSLRSVMQSSGGVTQSLRSVMQSPGGVMQSPG GVTQSPRGMIKSPGMMLSPGDVIQSLNSVPQSSRDRMQSAGHVPSASGDAIQSPGGMSPT SRDRMQSPGGVSLATEDSIQLPGGVPLSSDVIQSQGGVPLSSKDRMQSPGGVPPSSGDMI QSQGGVPQSLGDAIQSAGGVPQSSGDAIQSPGGVSLATGDSIQLPGGVPLSSGDVIQSQG GVPRSSRDRMQSPGGVPPSSGGVIQSPGGVSPASGDAIQSPGSVLPASGDAIQSAGGVLL ASGDAIQSPRSVPQSPSGTLRSPGNMSESLGDTPNLSGDVSNSPQALLDLARDRPKSSPN DVQNRDTPMDISASSSSSCSANPQSRQSEFKLDKVPWLTITDSSARREKSLPQLANPGSA QIQGQIPQVGVYNRPCLHRLKYFLRPPVHHLFFQTLIPDKDTRESKGQKLEPIPHRRLRM VTNTIEENFPLGTVQFLMDFVSPQHYPPREIVAHIVQKILLSGSETVDVLKEAYMLLMKI QQLHPANAKTVEWDWKLLTYVMEEEGQTLPGRVLFLRYVVQTLEDDFQQILRRQRQHLQQ SIANTVLSCDKQPHNVRDVIKWLVKAVTENELTPPQDETQTSPRTGVLKTSSDHLSPRPN QNKNTNQLIVCQLQRMLSIAVEVDRTPTCSSNKIAEMMFGFVLDIPERSQREMFFTTMES HLLRCKVLEIIFLHSCETPTRLPLSLAQTLYFLNNSTSLLKCQSDKTQWQTWDELVEHLQ FLLSSYQHVLREHLRSSVIDRKDLIIKRIKPKPQQGDDITVLDVEKQIEAFRSRLVHILG EPLVPQLQDKVHLLKLLLFYAADLNPDTEPASEH >ENSMUSP00000112376.1 pep:known chromosome:GRCm38:13:54503779:54551290:1 gene:ENSMUSG00000043183.18 transcript:ENSMUST00000118072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Simc1 description:SUMO-interacting motifs containing 1 [Source:MGI Symbol;Acc:MGI:2442599] MEDFIVISDDSGSESSAGTRSGRARRLRRALSRTPGALPRRTVSKGQKLEPIPHRRLRMV TNTIEENFPLGTVQFLMDFVSPQHYPPREIVAHIVQKILLSGSETVDVLKEAYMLLMKIQ QLHPANAKTVEWDWKLLTYVMEEEGQTLPGRVLFLRYVVQTLEDDFQQILRRQRQHLQQS IANTVLSCDKQPHNVRDVIKWLVKAVTENELTPPQDETQTSPRTGVLKTSSDHLSPRPNQ NKNTNQLIVCQLQRMLSIAVEVDRTPTCSSNKIAEMMFGFVLDIPERSQREMFFTTMESH LLRCKVLEIIFLHSCETPTRLPLSLAQTLYFLNNSTSLLKCQSDKTQWQTWDELVEHLQF LLSSYQHVLREHLRSSVIDRKDLIIKRIKPKPQQGDDITVLDVEKQIEAFRSRLVHILGE PLVPQLQDKVHLLKLLLFYAADLNPDTEPASEH >ENSMUSP00000124921.1 pep:known chromosome:GRCm38:13:54503804:54539857:1 gene:ENSMUSG00000043183.18 transcript:ENSMUST00000159721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Simc1 description:SUMO-interacting motifs containing 1 [Source:MGI Symbol;Acc:MGI:2442599] MEDFIVISDDSGSESSAGTRSGRARRLRRALSRTPGALPRRTVGQTLPGRVLFLRYVVQT LEDDFQQILRRQRQHLQQSIANTVLSCDKQPHNVRDVIKWLVKAVTENELTPPQDETQTS PRTGVLKTSSDHLSPRPNQNKNTNQL >ENSMUSP00000124474.1 pep:known chromosome:GRCm38:13:54503809:54539856:1 gene:ENSMUSG00000043183.18 transcript:ENSMUST00000138869.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Simc1 description:SUMO-interacting motifs containing 1 [Source:MGI Symbol;Acc:MGI:2442599] MEDFIVISDDSGSESSAGTRSGRARRLRRALSRTPGALPRRTVAASSQCQDSGVGLETAH LCHGGRGTNSAWTSSLSTLRSANPGR >ENSMUSP00000103684.2 pep:known chromosome:GRCm38:4:41517691:41569509:-1 gene:ENSMUSG00000028439.14 transcript:ENSMUST00000108049.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam219a description:family with sequence similarity 219, member A [Source:MGI Symbol;Acc:MGI:1919151] MMEEIDRFQDPAAASISDRDCDAREEKQRELARKGSLKNGSMGSPVNQQPKKNNVMARTR LVVPNKGYSSLDQSPDEKPLVALDTDSDDDFDMSRYSSSGYSSAEQINQDLNIQLLKDGY RLDEIPDDEDLDLIPPKSVNPTCMCCQATSSTACHIQ >ENSMUSP00000103687.3 pep:known chromosome:GRCm38:4:41517691:41569537:-1 gene:ENSMUSG00000028439.14 transcript:ENSMUST00000108052.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam219a description:family with sequence similarity 219, member A [Source:MGI Symbol;Acc:MGI:1919151] MMEEIDRFQVPTAHSEMQPLDPAAASISDRDCDAREEKQRELARKGSLKNGSMGSPVNQQ PKKNNVMARTRLVVPNKGYSSLDQSPDEKPLVALDTDSDDDFDMSRYSSSGYSSAEQINQ DLNIQLLKDGYRLDEIPDDEDLDLIPPKSVNPTCMCCQATSSTACHIQ >ENSMUSP00000103685.1 pep:known chromosome:GRCm38:4:41517691:41569537:-1 gene:ENSMUSG00000028439.14 transcript:ENSMUST00000108050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam219a description:family with sequence similarity 219, member A [Source:MGI Symbol;Acc:MGI:1919151] MMEEIDRFQDPAAASISDRDCDAREEKQRELARKGSLKNGSMGSPVNQQPKKNNVMARTR LVVPNKGYSSLDQSPDEKPLVALDTDSDDDFDMSRYSSSGYSSAEINQDLNIQLLKDGYR LDEIPDDEDLDLIPPKSVNPTCMCCQATSSTACHIQ >ENSMUSP00000036952.8 pep:known chromosome:GRCm38:13:31558324:31560976:1 gene:ENSMUSG00000038415.9 transcript:ENSMUST00000042118.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxq1 description:forkhead box Q1 [Source:MGI Symbol;Acc:MGI:1298228] MKLEVFVPRAAHGDKMGSDLEGAGSSDVPSPLSAAGDDSLGSDGDCAANSPAAGSGAGDL EGGGGERNSSGGPSAQDGPEATDDSRTQASAAGPCAGGVGGGEGARSKPYTRRPKPPYSY IALIAMAIRDSAGGRLTLAEINEYLMGKFPFFRGSYTGWRNSVRHNLSLNDCFVKVLRDP SRPWGKDNYWMLNPNSEYTFADGVFRRRRKRLSHRTTVSASGLRPEEAPPGPAGTPQPAP AARSSPIARSPARQEERSSPASKFSSSFAIDSILSKPFRSRRDGDSALGVQLPWGAAPCP PLRAYPALLPAAPGGALLPLCAYGASEPTLLASRGTEVQPAAPLLLAPLSTAAPAKPFRG PETAGAAHLYCPLRLPTALQAAAACGPGPHLSYPVETLLA >ENSMUSP00000133598.1 pep:known chromosome:GRCm38:7:20575321:20576241:-1 gene:ENSMUSG00000095837.1 transcript:ENSMUST00000174364.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4141 description:predicted gene 4141 [Source:MGI Symbol;Acc:MGI:3782317] MSAHGKSLKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILGHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSLLVARSTNLCSTCVLSIHQFFTLFP VSRGKGKLILRASVQNLASYSFYSCWFFSVLSNIHIPIRVTGPQITDNNTDSKSNLFCST SGFIVGIVLQFAHDDTFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAESRATHT ILMLVFTFVSFYLLNCICIIFHAFSIHSCLFIRLVSEVLAAVFPSICPLLLIFRDPKDPC SVLFKC >ENSMUSP00000018645.6 pep:known chromosome:GRCm38:11:62316426:62457332:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000018645.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] MSSSGYPPNQGAFSTEQSRYPSHSVQYTFPSTRHQQEFAVPDYRSSHIEVSQASQLLQQQ QQQQQLRRRPSLLSEFHPGSDRPQERRTGYEQFHSGPSPVDHDSLESKRPRLEPVSDAHF QRVSAAVLPLVHSLPEGLRSSADAKKDSAFGSKHEAPSSPLAGQPCGDDQNASPSKLSKE ELIQSMDRVDREIAKVEQQILKLKKKQQQLEEEAAKPPEPEKPVSPPPVEQKHRSIVQII YDENRKKAEEAHKIFEGLGPKVELPLYNQPSDTKVYHENIKTNQVMRKKLILFFKRRNHA RKQREQKICQRYDQLMEAWEKKVDRIENNPRRKAKESKTREYYEKQFPEIRKQREQQERF QRVGQRGAGLSATIARSEHEISEIIDGLSEQENNEKQMRQLSVIPPMMFDAEQRRVKFIN MNGLMEDPMKVYKDRQFMNVWTDHEKEIFKDKFIQHPKNFGLIASYLERKSVPDCVLYYY LTKKNENYKALVRRNYGKRRGRNQQIARPSQEEKVEEKEEDKAEKTEKKEEEKKDDEEKD DKEDSKETTKEKDRTEATAEEPEEREQVTPRGRKTANSQGRRKGRVTRSMTSEAAAANAA AAATEEPPPPLPPPPEPISTEPVETSRWTEEEMEVAKKGLVEHGRNWAAIAKMVGTKSEA QCKNFYFNYKRRHNLDNLLQQHKQKASRKPREERDVSQCESVASTVSAQEDEDIEASNEE ENPEDSEGAENSSDTESAPSPSPVEAAKSSEDSSENAASRGNTEPVAELEATTDPAPCAS PSSAVPTTKPAERESVEAQVTDSASAETAEPMDVDHEECGAEGSSVLDPPAPTKADSVDP EMQVPENTASKGEGDAKERDLESTSEKTEARDEDVVVAEQIERPEPQSDDDSSATCSADE GVDGEPERQRVFPMDAKPSLLTPPGSILISSPIKPNPLDLPQLQHRAAVIPPMVSCTPCN IPIGTPVSGYALYQRHIKAMHESALLEEQRQRQEQVDLECRSSTSPCSTSKSPNREWEVL QPAPHQVITNLPEGVRLPTTRPTRPPPPLIPSSKTTVASEKPSFIMGGSISQGTPGTYLS SHNQAYPQEAPKPSVGSISLGLPRQQESTKAAPLTYIKQEEFSPRSQNSQPEGLLVRAQH EGVVRGTAGAVQEGSITRGTPASKISVETISSLRGSITQGTPALPQAGIPTEALVKGPVS RMPIEESSPEKVREEAASKGHVIYEGKSGHILSYDNIKNAREGTRSPRTAHEMSLKRSYE AVEGSIKQGMSMRESPVSAPLEGLICRALPRGSPHSDLKERTVLSGSIMQGTPRATAESF EDGLKYPKQIKRESPPIRAFEGAITKGKPYDGITTIKEMGRSIHEIPRQDILTQESRKTP EVVQSTRPIIEGSISQGTPIKFDNNSGQSAIKHNVKSLITGPSKLPRGMLEIVPENIKVV ERGKYEDVKAGEPVRARHTSVVSSGPSVLRSTLHEAPKAQLSPGLYDDSSARRTPVSYQN TISRGSPMMNRTSDVSSSKSASHERKSTLTPTQRESIPAKSPVPGVDPVVSHSPFDPHHR SSAAGEVYRSHLPTHLDPAMPFHRALDPAAAYLLQRQLSPTPGYPSQYQLYAMENTRQTI LNDYITSQQMQVNLRPDVTRGLSPREQPLGLPYPATRGIIDLTNMPPTILVPHAGGTSTP PMDRITYIPGTQVTFPPRPYNAASLSPGHPTHLAAAASAEREREREREKERERERERERE RERERIAAAPADLYLRPGSEQPGRPGSHGYVRSPSPSVRTQETILQQRPSVFQGTNGTSV ITPLDPTAQLRIMPLPSGGPSISQGLPASRYNTAADALAALVDAAASAPQMDVSKTKESK HEAARLEENLRSRSAAVSEQQQLEQKNLEVEKRSVQCVCTSSALPSGKAQPHASVVYSEA GKDKGPPPKSRYEEELRTRGKTTITAANFIDVIITRQIASDKDARERGSQSSDSSSSLSS HRYETASDAIEVISPASSPAPPQEKPQAYQPDMVKANQAENESTRQYEGPLHHYRSQQES PSPQQQPPLPPSSQSEGMGQVPRTHRLITLADHICQIITQDFARNQVPSQPSTSTFQTSP SALSSTPVRTKTSSRYSPESQSQTVLHPRPGPRVSPENLVDKSRGSRPGKSPERSHIPSE PYEPISPPQGPAVHEKQDSMLLLSQRGVDPAEQRSDSRSPGSISYLPSFFTKLESTSPMV KSKKQEIFRKLNSSGGGDSDMAAAQPGTEIFNLPAVTTSGAVSSRSHSFADPASNLGLED IIRKALMGSFDDKVEDHGVVMSHPVGIMPGSASTSVVTSSEARRDEGEPSPHAGVCKPKL INKSNSRKSKSPIPGQSYLGTERPSSVSSVHSEGDYHRQTPGWAWEDRPSSTGSTQFPYN PLTIRMLSSTPPTQIACAPSAITQAAPHQQNRIWEREPAPLLSAQYETLSDSDD >ENSMUSP00000122654.2 pep:known chromosome:GRCm38:11:62316690:62378517:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000155712.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] KASRKPREERDVSQCESVASTVSAQEDEDIEASNEEENPEDSEGAENSSDTESAPSPSPV EAAKSSEDSSENAASRGNTEPVAELEATTDPAPCASPSSAVPTTKPAERESVEAQVTDSA SAETAEPMDVDHEECGAEGSSVLDPPAPTKADSVDPEMQVPENTASKGEGDAKERDLEST SEKTEARDEDVVVAEQIERPEPQSDDDSSATCSADEGVDGEPERQRVFPMDAKPSLLTPP GSILISSPIKPNPLDLPQLQHRAAVIPPMVSCTPCNIPIGTPVSGYALYQRHIKAMHESA LLEEQRQRQEQVDLECRSSTSPCSTSKSPNREWEVLQPAPHQVITNLPEGVRLPTTRPTR PPPPLIPSSKTTVASEKPSFIMGGSISQGTPGTYLSSHNQAYPQEAPKPSVGSISLGLPR QQESTKAAPLTYIKQEEFSPRSQNSQPEGLLVRAQHEGVVRGTAGAVQEGSITRGTPASK ISVETISSLRGSITQGTPALPQAGIPTEALVKGPVSRMPIEESSPEKVREEAASKGHVIY EGKSGHILSYDSLICRALPRGSPHSDLKERTVLSGSIMQGTPRATAESFEDGLKYPKQIK RESPPIRAFEGAITKGKPYDGITTIKEMGRSIHEIPRQDILTQESRKTPEVVQSTRPIIE GSISQGTPIKFDNNSGQSAIKHNVKSLITGPSKLPRGMLEIVPENIKVVERGKYEDVKAG EPVRARHTSVVSSGPSVLRSTLHEAPKAQLSPGLYDDSSARRTPVSYQNTISRGSPMMNR TSDVSSSKSASHERKSTLTPTQRESIPAKSPVPGVDPVVSHSPFDPHHRSSAAGEVYRSH LPTHLDPAMPFHRALDPAAAAYLLQRQLSPTPGYPSQYQLYAMENTRQTILNDYITSQQM QVNLRPDVTRGLSPREQPLGLPYPATRGIIDLTNMPPTILVPHAGGTSTPPMDRITYIPG TQVTFPPRPYNAASLSPGHPTHLAAAASAEREREREREKERERERERERERERERIAAAP ADLYLRPGSEQPGRPGSHGYVRSPSPSVRTQETILQQRPSVFQGTNGTSVITPLDPTAQL RIMPLPSGGPSISQGLPASRYNTAADALAALVDAAASAPQMDVSKTKESKHEAARLEENL RSRSAAVSEQQQLEQKNLEVEKRSVQCVCTSSALPSGKAQPHASVVYSEAGKDKGPPPKS RYEEELRTRGKTTITAANFIDVIITRQIASDKDARERGSQSSDSSSSLSSHRYETASDAI EVISPASSPAPPQEKPQAYQPDMVKANQAENESTRQYEGPLHHYRSQQESPSPQQQPPLP PSSQSEGMGQVPRTHRLITLADHICQIITQDFARNQVPSQPSTSTFQTSPSALSSTPVRT KTSSRYSPESQSQTVLHPRPGPRVSPENLVDKSRGSRPGKSPERSHIPSEPYEPISPPQG PAVHEKQDSMLLLSQRGVDPAEQRSDSRSPGSISYLPSFFTKLESTSPMVKSKKQEIFRK LNSSGGGDSDMAAAQPGTEIFNLPAVTTSGAVSSRSHSFADPASNLGLEDIIRKALMGSF DDKVEDHGVVMSHPVGIMPGSASTSVVTSSEARRDEGEPSPHAGVCKPKLINKSNSRKSK SPIPGQSYLGTERPSSVSSVHSEGDYHRQTPGWAWEDRPSSTGSTQFPYNPLTIRMLSST PPTQIACAPSAITQAAPHQQNRIWEREPAPLLSAQYETLSDSDD >ENSMUSP00000124175.1 pep:known chromosome:GRCm38:11:62317601:62321221:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000159315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] SGAVSSRSHSFADPASNLGLEDIIRKALMGSFDDKVEDHGVVMSHPVGIMPGSASTSVVT SSEARRDEGEPSPHAEGDYHRQTPGWAWEDRPSSTGSTQFPYNPLTIRMLSSTPPTQIAC APSAITQAAPHQQNRIWEREPAPLLSAQYETLSDSDD >ENSMUSP00000125458.1 pep:known chromosome:GRCm38:11:62317797:62359015:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000156740.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] XLQPAPHQVITNLPEGVRLPTTRPTRPPPPLIPSSKTTVASEKPSFIMGGSISQGTPGTY LSSHNQAYPQEAPKPSVGSISLGLPRQQESTKAAPLTYIKQEEFSPRSQNSQPEGLLVRA QHEGVVRGTAGAVQEGSITRGTPASKISVETISSLRGSITQGTPALPQAGIPTEALVKGP VSRMPIEESSPEKVREEAASKGHVIYEGKSGHILSYDSLICRALPRGSPHSDLKERTVLS GSIMQGTPRATAESFEDGLKYPKQIKRESPPIRAFEGAITKGKPYDGITTIKEMGRSIHE IPRQDILTQESRKTPEVVQSTRPIIEGSISQGTPIKFDNNSGQSAIKHNVKSLITGPSKL PRGMLEIVPENIKVVERGKYEDVKAGEPVRARHTSVVSSGPSVLRSTLHEAPKAQLSPGL YDDSSARRTPVSYQNTISRGSPMMNRTSDVSSSKSASHERKSTLTPTQRESIPAKSPVPG VDPVVSHSPFDPHHRSSAAGEVYRSHLPTHLDPAMPFHRALDPAAAAYLLQRQLSPTPGY PSQYQLYAMENTRQTILNDYITSQQMQVNLRPDVTRGLSPREQPLGLPYPATRGIIDLTN MPPTILVPHAGGTSTPPMDRITYIPGTQVTFPPRPYNAASLSPGHPTHLAAAASAERERE REREKERERERERERERERERIAAAPADLYLRPGSEQPGRPGSHGYVRSPSPSVRTQETI LQQRPSVFQGTNGTSVITPLDPTAQLRIMPLPSGGPSISQGLPASRYNTAADALAALVDA AASAPQMDVSKTKESKHEAARLEENLRSRSAAVSEQQQLEQKNLEVEKRSVQCVCTSSAL PSGKAQPHASVVYSEAGKDKGPPPKSRYEEELRTRGKTTITAANFIDVIITRQIASDKDA RERGSQSSDSSSSLSSHRYETASDAIEVISPASSPAPPQEKPQAYQPDMVKANQAENEST RQYEGPLHHYRSQQESPSPQQQPPLPPSSQSEGMGQVPRTHRLITLADHICQIITQDFAR NQVPSQPSTSTFQTSPSALSSTPVRTKTSSRYSPESQSQTVLHPRPGPRVSPENLVDKSR GRPGKSPERSHIPSEPYEPISPPQGPAVHEKQDSMLLLSQRGVDPAEQRSDSRSPGSISY LPSFFTKLESTSPMVKSKKQEIFRKLNSSGGGDSDMAAAQPGTEIFNLPAVTTSGAVSSR SHSFADPASNLGLEDIIRKALMGSFDDKVEDHGVVMSHPVGIMPGSASTSVVTSSEARRD EGEPSPHAGVCKPKLINKSNSRKSKSPIPGQSYLGTERPSSVSSVHSEGDYHRQTPGWAW EDRPSSTGSTQFPYNPLTIRMLSSTPPTQIACAPSAITQAAPHQQNRIWEREPAPLLSAQ YETLSDSDD >ENSMUSP00000098628.3 pep:known chromosome:GRCm38:11:62317797:62438481:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000101067.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] MSSSGYPPNQGAFSTEQSRYPSHSVQYTFPSTRHQQEFAVPDYRSSHIEVSQASQLLQQQ QQQQQLRRRPSLLSEFHPGSDRPQERRTGYEQFHSGPSPVDHDSLESKRPRLEPVSDAHF QRVSAAVLPLVHSLPEGLRSSADAKKDSAFGSKHEAPSSPLAGQPCGDDQNASPSKLSKE ELIQSMDRVDREIAKVEQQILKLKKKQQQLEEEAAKPPEPEKPVSPPPVEQKHRSIVQII YDENRKKAEEAHKIFEGLGPKVELPLYNQPSDTKVYHENIKTNQVMRKKLILFFKRRNHA RKQREQKICQRYDQLMEAWEKKVDRIENNPRRKAKESKTREYYEKQFPEIRKQREQQERF QRVGQRGAGLSATIARSEHEISEIIDGLSEQENNEKQMRQLSVIPPMMFDAEQRRVKFIN MNGLMEDPMKVYKDRQFMNVWTDHEKEIFKDKFIQHPKNFGLIASYLERKSVPDCVLYYY LTKKNENYKALVRRNYGKRRGRNQQIARPSQEEKVEEKEEDKAEKTEKKEEEKKDDEEKD DKEDSKETTKEKDRTEATAEEPEEREQVTPRGRKTANSQGRRKGRVTRSMTSEAAAANAA AAATEEPPPPLPPPPEPISTEPVETSRWTEEEMEVAKKGLVEHGRNWAAIAKMVGTKSEA QCKNFYFNYKRRHNLDNLLQQHKQKVSRAVVASRKPREERDVSQCESVASTVSAQEDEDI EASNEEENPEDSEAVPTTKPAERESVEAQVTDSASAETAEPMDVDHEECGAEGSSVLDPP APTKADSVDPEMQVPENTASKGEGDAKERDLESTSEKTEARDEDVVVAEQIERPEPQSDD DSSATCSADEGVDGEPERQRVFPMDAKPSLLTPPGSILISSPIKPNPLDLPQLQHRAAVI PPMVSCTPCNIPIGTPVSGYALYQRHIKAMHESALLEEQRQRQEQVDLECRSSTSPCSTS KSPNREWEVLQPAPHQVITNLPEGVRLPTTRPTRPPPPLIPSSKTTVASEKPSFIMGGSI SQGTPGTYLSSHNQAYPQEAPKPSVGSISLGLPRQQESTKAAPLTYIKQEEFSPRSQNSQ PEGLLVRAQHEGTAGAVQEGSITRGTPASKISVETISSLRGSITQGTPALPQAGIPTEAL VKGPVSRMPIEESSPEKVREEAASKGHVIYEGKSGHILSYDNIKNAREGTRSPRTAHEMS LKRSYEAVEGSIKQGLICRALPRGSPHSDLKERTVLSGSIMQGTPRATAESFEDGLKYPK QIKRESPPIRAFEGAITKGKPYDGITTIKEMGRSIHEIPRQDILTQESRKTPEVVQSTRP IIEGSISQGTPIKFDNNSGQSAIKHNVKSLITGPSKLPRGMLEIVPENIKVVERGKYEDV KAGEPVRARHTSVVSSGPSVLRSTLHEAPKAQLSPGLYDDSSARRTPVSYQNTISRGSPM MNRTSDVSSSKSASHERKSTLTPTQRESIPAKSPVPGVDPVVSHSPFDPHHRSSAAGEVY RSHLPTHLDPAMPFHRALDPAAAAYLLQRQLSPTPGYPSQYQLYAMENTRQTILNDYITS QQMQVNLRPDVTRGLSPREQPLGLPYPATRGIIDLTNMPPTILVPHAGGTSTPPMDRITY IPGTQVTFPPRPYNAASLSPGHPTHLAAAASAEREREREREKERERERERERERERERIA AAPADLYLRPGSEQPGRPGSHGYVRSPSPSVRTQETILQQRPSVFQGTNGTSVITPLDPT AQLRIMPLPSGGPSISQGLPASRYNTAADALAALVDAAASAPQMDVSKTKESKHEAARLE ENLRSRSAAVSEQQQLEQKNLEVEKRSVQCVCTSSALPSGKAQPHASVVYSEAGKDKGPP PKSRYEEELRTRGKTTITAANFIDVIITRQIASDKDARERGSQSSDSSSSLSSHRYETAS DAIEVISPASSPAPPQEKPQAYQPDMVKANQAENESTRQYEGPLHHYRSQQESPSPQQQP PLPPSSQSEGMGQVPRTHRLITLADHICQIITQDFARNQVPSQPSTSTFQTSPSALSSTP VRTKTSSRYSPESQSQTVLHPRPGPRVSPENLVDKSRGRPGKSPERSHIPSEPYEPISPP QGPAVHEKQDSMLLLSQRGVDPAEQRSDSRSPGSISYLPSFFTKLESTSPMVKSKKQEIF RKLNSSGGGDSDMAAAQPGTEIFNLPAVTTSGAVSSRSHSFADPASNLGLEDIIRKALMG SFDDKVEDHGVVMSHPVGIMPGSASTSVVTSSEARRDEGEPSPHAGVCKPKLINKSNSRK SKSPIPGQSYLGTERPSSVSSVHSEGDYHRQTPGWAWEDRPSSTGSTQFPYNPLTIRMLS STPPTQIACAPSAITQAAPHQQNRIWEREPAPLLSAQYETLSDSDD >ENSMUSP00000125317.1 pep:known chromosome:GRCm38:11:62344785:62392659:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000151498.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] LSVIPPMMFDAEQRRVKFINMNGLMEDPMKVYKDRQFMNVWTDHEKEIFKDKFIQHPKNF GLIASYLERKSVPDCVLYYYLTKKNENYKALVRRNYGKRRGRNQQIARPSQEEKVEEKEE DKAEKTEKKEEEKKDDEEKDDKEDSKETTKEKDRTEATAEEPEEREQVTPRGRKTANSQG RRKGRVTRSMTSEAAAANAAAAATEEPPPPLPPPPEPISTEPVETSRWTEEEMEVAKKGL VEHGRNWAAIAKMVGTKSEAQCKNFYFNYKRRHNLDNLLQQHKQKVSRAVVASRKPREER DVSQCESVASTVSAQEDEDIEASNEEENPEDSEVEAAKSSEDSSENAASRGNTEPVAELE ATTDPAPCASPSSAVPTTKPAERESVEAQVTDSASAETAEPMDVDHEECGAEGSSVLDPP APTKADSVDPEMQVPENTASKGEGDAKERDLESTSEKTEARDEDVVVAEQIERPEPQSDD DSSATCSADEGVDGEPERQRVFPMDAKPSLLTPPGSILISSPIKPNPLDLPQLQHRAAVI PPMVSCTPCNIPIGTPVSGYALYQRHIKAMHESALLEEQRQRQEQVDLECRSSTSPCSTS KSPNREWEGKSVAYMPYAEVKRALEQEAHMHSTAARSASPCRLSPREVSKAASQPDMSAA RYSVPPVLQPAPHQVITNLPEGVRLPTTRPTRPPPPLIPSSKTTVASEKPSFIMGGSISQ GTPGTYLSSHNQAYPQEAPKPSVGSISLGLPRQQESTKAAPLTYIKQEEFSPRSQNSQPE GLLVRAQHEGVVRGTAGAVQEGSITRGTPASKISVETISSLRGSITQGTPALPQAGIPTE ALVKGPVSRMPIEESSPEKVREEAASKGHVIYEGKSGHILSYDNIKNAREGTRSPRTAHE MSLKRSYEAVEGSIKQGMSMRESPVSAPLEGLICRALPRGSPHSDLKERTVLSGSIMQGT PRATAESFEDGLKYPKQIKRESPPIRAFEGAIT >ENSMUSP00000124045.1 pep:known chromosome:GRCm38:11:62373319:62383205:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000161288.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] XTEEPPPPLPPPPEPISTEPVETSRWTEEEMEVAKKGLVEHGRNWAAIAKMVGTKSEAQC KNFYFNYKRRHNLDNLLQQHKQKVSRAVVASRKPREERDVSQCESVASTVSAQEDEDIEA SNEEENPEDSEVEAAKSSEDSSENAASRGNTEPVAELEATTDPAPCASPSSAVPTTKPAE RESVEAQVTDSASAETAEPMDVDHEECGAEGSSVLDP >ENSMUSP00000124120.1 pep:known chromosome:GRCm38:11:62373364:62384843:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000161699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] XQIARPSQEEKVEEKEEDKAEKTEKKEEEKKDDEEKDDKEDSKETTKEKDRTEATAEEPE EREQVTPRGRKTANSQGRRKGRVTRSMTSEAAAANAAAAATEEPPPPLPPPPEPISTEPV ETSRWTEEEMEVAKKGLVEHGRNWAAIAKMVGTKSEAQCKNFYFNYKRRHNLDNLLQQHK QKASRKPREERDVSQCESVASTVSAQEDEDIEASNEEENPEDSEAVPTTKPAERESVEAQ VTDSASAETAEPMDV >ENSMUSP00000124698.1 pep:known chromosome:GRCm38:11:62373384:62383354:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000162236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] XRTEATAEEPEEREQVTPRGRKTANSQGRRKGRVTRSMTSEAAAANAAAAATEEPPPPLP PPPEPISTEPVETSRWTEEEMEVAKKGLVEHGRNWAAIAKMVGTKSEAQCKNFYFNYKRR HNLDNLLQQHKQKVSRAVVASRKPREERDVSQCESVASTVSAQEDEDIEASNEEENPEDS EGAENSSDTESAPSPSPVEAAKSSEDSSENAASRGNTEPVAELEATTDPAPCASPSSAVP TTKPAERESVEAQVTDSASAE >ENSMUSP00000121806.2 pep:known chromosome:GRCm38:11:62373386:62457806:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000127471.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] MSSSGYPPNQGAFSTEQSRYPSHSVQYTFPSTRHQQEFAVPDYRSSHIEVSQASQLLQQQ QQQQQLRRRPSLLSEFHPGSDRPQERRTGYEQFHSGPSPVDHDSLESKRPRLEPVSDAHF QRVSAAVLPLVHSLPEGLRSSADAKKDSAFGSKHEAPSSPLAGQPCGDDQNASPSKLSKE ELIQSMDRVDREIAKVEQQILKLKKKQQQLEEEAAKPPEPEKPVSPPPVEQKHRSIVQII YDENRKKAEEAHKIFEGLGPKVELPLYNQPSDTKVYHENIKTNQVMRKKLILFFKRRNHA RKQREQKICQRYDQLMEAWEKKVDRIENNPRRKAKESKTREYYEKQFPEIRKQREQQERF QRVGQRGAGLSATIARSEHEISEIIDGLSEQENNEKQMRQLSVIPPMMFDAEQRRVKFIN MNGLMEDPMKVYKDRQFMNVWTDHEKEIFKDKFIQHPKNFGLIASYLERKSVPDCVLYYY LTKKNENYKALVRRNYGKRRGRNQQQIARPSQEEKVEEKEEDKAEKTEKKEEEKKDDEEK DDKEDSKETTKEKDRTEATAEEPEEREQVTPRGRKTANSQGRRKGRVTRSMTSEAAAANA AAAATEEPPPPLPPPPEPISTEPVETSRWTEEEMEVAKKGLVEHGRNWAAIAKMVGTKSE AQCKNFYFNYKRRHNLDNLLQQHKQKASRKPREERDVSQCESVASTVSAQEDEDIEASNE EENPEDSEVEAAKSSEDSSENAASRGNTEPVAELEATTDPAPCASPSSAVPTTKPAERES VEAQVTDSASA >ENSMUSP00000122647.1 pep:known chromosome:GRCm38:11:62384757:62438515:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000155486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] MSSSGYPPNQGAFSTEQSRYPSHSVQYTFPSTRHQQEFAVPDYRSSHIEVSQASQLLQQQ QQQQQLRRRPSLLSEFHPGSDRPQERRTGYEQFHSGPSPVDHDSLESKRPRLEPVSDAHF QRVSAAVLPLVHSLPEGLRSSADAKKDSAFGSKHEAPSSPLAGQPCGDDQNASPSKLSKE ELIQSMDRVDREIAKVEQQILKLKKKQQQLEEEAAKPPEPEKPVSPPPVEQKHRSIVQII YDENRKKAEEAHKIFEGLGPKVELPLYNQPSDTKVYHENIKTGVPARRMMKNQVMRKKLI LFFKRRNHARKQREQKICQRYDQLMEAWEKKVDRIENNPRRKAKESKTREYYEKQFPEIR KQREQQERFQRVGQRGAGLSATIARSEHEISEIIDGLSEQENNEKQMRQLSVIPPMMFDA EQRRVKFINMNGLMEDPMKVYKDRQFMNVWTDHEKEIFKDKFIQHPKNFGLIASYLERKS VPDCVLYYYLTKKNENYKALVRRNYGKRRGRNQQIARPSQEEKVEEKEEDKAEKTEKKEE E >ENSMUSP00000068974.4 pep:known chromosome:GRCm38:11:62401383:62457323:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000069456.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] MSSSGYPPNQGAFSTEQSRYPSHSVQYTFPSTRHQQEFAVPDYRSSHIEVSQASQLLQQQ QQQQQLRRRPSLLSEFHPGSDRPQERRTGYEQFHSGPSPVDHDSLESKRPRLEPVSDAHF QRVSAAVLPLVHSLPEGLRSSADAKKDSAFGSKHEAPSSPLAGQPCGDDQNASPSKLSKE ELIQSMDRVDREIAKVEQQILKLKKKQQQLEEEAAKPPEPEKPVSPPPVEQKHRSIVQII YDENRKKAEEAHKIFEGLGPKVELPLYNQPSDTKVYHENIKTGVPARRMMK >ENSMUSP00000123822.1 pep:known chromosome:GRCm38:11:62419730:62458541:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000141447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] MSSSGYPPNQGAFSTEQSRYPSHSVQYTFPSTRHQQEFAVPDYRSSHIEVSQASQLLQQQ QQQQQLRRRPSLLSEFHPGSDRPQERRTGYEQFHSGPSPVDHDSLESKRPRLEPVSDAHF QRVSAAVLPLVHSLPEGLRSSADAKKDSAFGSKHEAPSSPLAG >ENSMUSP00000098627.3 pep:known chromosome:GRCm38:11:62316426:62438515:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000101066.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] MSSSGYPPNQGAFSTEQSRYPSHSVQYTFPSTRHQQEFAVPDYRSSHIEVSQASQLLQQQ QQQQQLRRRPSLLSEFHPGSDRPQERRTGYEQFHSGPSPVDHDSLESKRPRLEPVSDAHF QRVSAAVLPLVHSLPEGLRSSADAKKDSAFGSKHEAPSSPLAGQPCGDDQNASPSKLSKE ELIQSMDRVDREIAKVEQQILKLKKKQQQLEEEAAKPPEPEKPVSPPPVEQKHRSIVQII YDENRKKAEEAHKIFEGLGPKVELPLYNQPSDTKVYHENIKTNQVMRKKLILFFKRRNHA RKQREQKICQRYDQLMEAWEKKVDRIENNPRRKAKESKTREYYEKQFPEIRKQREQQERF QRVGQRGAGLSATIARSEHEISEIIDGLSEQENNEKQMRQLSVIPPMMFDAEQRRVKFIN MNGLMEDPMKVYKDRQFMNVWTDHEKEIFKDKFIQHPKNFGLIASYLERKSVPDCVLYYY LTKKNENYKALVRRNYGKRRGRNQQIARPSQEEKVEEKEEDKAEKTEKKEEEKKDDEEKD DKEDSKETTKEKDRTEATAEEPEEREQVTPRGRKTANSQGRRKGRVTRSMTSEAAAANAA AAATEEPPPPLPPPPEPISTEPVETSRWTEEEMEVAKKGLVEHGRNWAAIAKMVGTKSEA QCKNFYFNYKRRHNLDNLLQQHKQKASRKPREERDVSQCESVASTVSAQEDEDIEASNEE ENPEDSEGAENSSDTESAPSPSPVEAAKSSEDSSENAASRGNTEPVAELEATTDPAPCAS PSSAVPTTKPAERESVEAQVTDSASAETAEPMDVDHEECGAEGSSVLDPPAPTKADSVDP EMQVPENTASKGEGDAKERDLESTSEKTEARDEDVVVAEQIERPEPQSDDDSSATCSADE GVDGEPERQRVFPMDAKPSLLTPPGSILISSPIKPNPLDLPQLQHRAAVIPPMVSCTPCN IPIGTPVSGYALYQRHIKAMHESALLEEQRQRQEQVDLECRSSTSPCSTSKSPNREWEVL QPAPHQVITNLPEGVRLPTTRPTRPPPPLIPSSKTTVASEKPSFIMGGSISQGTPGTYLS SHNQAYPQEAPKPSVGSISLGLPRQQESTKAAPLTYIKQEEFSPRSQNSQPEGLLVRAQH EGVVRGTAGAVQEGSITRGTPASKISVETISSLRGSITQGTPALPQAGIPTEALVKGPVS RMPIEESSPEKVREEAASKGHVIYEGKSGHILSYDNIKNAREGTRSPRTAHEMSLKRSYE AVEGSIKQGMSMRESPVSAPLEGLICRALPRGSPHSDLKERTVLSGSIMQGTPRATAESF EDGLKYPKQIKRESPPIRAFEGAITKGKPYDGITTIKEMGRSIHEIPRQDILTQESRKTP EVVQSTRPIIEGSISQGTPIKFDNNSGQSAIKHNVKSLITGPSKLPRGMLEIVPENIKVV ERGKYEDVKAGEPVRARHTSVVSSGPSVLRSTLHEAPKAQLSPGLYDDSSARRTPVSYQN TISRGSPMMNRTSDVSSSKSASHERKSTLTPTQRESIPAKSPVPGVDPVVSHSPFDPHHR SSAAGEVYRSHLPTHLDPAMPFHRALDPAAAYLLQRQLSPTPGYPSQYQLYAMENTRQTI LNDYITSQQMQVNLRPDVTRGLSPREQPLGLPYPATRGIIDLTNMPPTILVPHAGGTSTP PMDRITYIPGTQVTFPPRPYNAASLSPGHPTHLAAAASAEREREREREKERERERERERE RERERIAAAPADLYLRPGSEQPGRPGSHGYVRSPSPSVRTQETILQQRPSVFQGTNGTSV ITPLDPTAQLRIMPLPSGGPSISQGLPASRYNTAADALAALVDAAASAPQMDVSKTKESK HEAARLEENLRSRSAAVSEQQQLEQKNLEVEKRSVQCVCTSSALPSGKAQPHASVVYSEA GKDKGPPPKSRYEEELRTRGKTTITAANFIDVIITRQIASDKDARERGSQSSDSSSSLSS HRYETASDAIEVISPASSPAPPQEKPQAYQPDMVKANQAENESTRQYEGPLHHYRSQQES PSPQQQPPLPPSSQSEGMGQVPRTHRLITLADHICQIITQDFARNQVPSQPSTSTFQTSP SALSSTPVRTKTSSRYSPESQSQTVLHPRPGPRVSPENLVDKSRGSRPGKSPERSHIPSE PYEPISPPQGPAVHEKQDSMLLLSQRGVDPAEQRSDSRSPGSISYLPSFFTKLESTSPMV KSKKQEIFRKLNSSGGGDSDMAAAQPGTEIFNLPAVTTSGAVSSRSHSFADPASNLGLED IIRKALMGSFDDKVEDHGVVMSHPVGIMPGSASTSVVTSSEARRDEGEPSPHAGVCKPKL INKSNSRKSKSPIPGQSYLGTERPSSVSSVHSEGDYHRQTPGWAWEDRPSSTGSTQFPYN PLTIRMLSSTPPTQIACAPSAITQAAPHQQNRIWEREPAPLLSAQYETLSDSDD >ENSMUSP00000038900.8 pep:known chromosome:GRCm38:11:62317797:62359791:-1 gene:ENSMUSG00000018501.17 transcript:ENSMUST00000037575.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor1 description:nuclear receptor co-repressor 1 [Source:MGI Symbol;Acc:MGI:1349717] MSAARYSVPPVLQPAPHQVITNLPEGVRLPTTRPTRPPPPLIPSSKTTVASEKPSFIMGG SISQGTPGTYLSSHNQAYPQEAPKPSVGSISLGLPRQQESTKAAPLTYIKQEEFSPRSQN SQPEGLLVRAQHEGVVRGTAGAVQEGSITRGTPASKISVETISSLRGSITQGTPALPQAG IPTEALVKGPVSRMPIEESSPEKVREEAASKGHVIYEGKSGHILSYDSLICRALPRGSPH SDLKERTVLSGSIMQGTPRATAESFEDGLKYPKQIKRESPPIRAFEGAITKGKPYDGITT IKEMGRSIHEIPRQDILTQESRKTPEVVQSTRPIIEGSISQGTPIKFDNNSGQSAIKHNV KSLITGPSKLPRGMLEIVPENIKVVERGKYEDVKAGEPVRARHTSVVSSGPSVLRSTLHE APKAQLSPGLYDDSSARRTPVSYQNTISRGSPMMNRTSDVSSSKSASHERKSTLTPTQRE SIPAKSPVPGVDPVVSHSPFDPHHRSSAAGEVYRSHLPTHLDPAMPFHRALDPAAAAYLL QRQLSPTPGYPSQYQLYAMENTRQTILNDYITSQQMQVNLRPDVTRGLSPREQPLGLPYP ATRGIIDLTNMPPTILVPHAGGTSTPPMDRITYIPGTQVTFPPRPYNAASLSPGHPTHLA AAASAEREREREREKERERERERERERERERIAAAPADLYLRPGSEQPGRPGSHGYVRSP SPSVRTQETILQQRPSVFQGTNGTSVITPLDPTAQLRIMPLPSGGPSISQGLPASRYNTA ADALAALVDAAASAPQMDVSKTKESKHEAARLEENLRSRSAAVSEQQQLEQKNLEVEKRS VQCVCTSSALPSGKAQPHASVVYSEAGKDKGPPPKSRYEEELRTRGKTTITAANFIDVII TRQIASDKDARERGSQSSDSSSSLSSHRYETASDAIEVISPASSPAPPQEKPQAYQPDMV KANQAENESTRQYEGPLHHYRSQQESPSPQQQPPLPPSSQSEGMGQVPRTHRLITLADHI CQIITQDFARNQVPSQPSTSTFQTSPSALSSTPVRTKTSSRYSPESQSQTVLHPRPGPRV SPENLVDKSRGRPGKSPERSHIPSEPYEPISPPQGPAVHEKQDSMLLLSQRGVDPAEQRS DSRSPGSISYLPSFFTKLESTSPMVKSKKQEIFRKLNSSGGGDSDMAAAQPGTEIFNLPA VTTSGAVSSRSHSFADPASNLGLEDIIRKALMGSFDDKVEDHGVVMSHPVGIMPGSASTS VVTSSEARRDEGEPSPHAGVCKPKLINKSNSRKSKSPIPGQSYLGTERPSSVSSVHSEGD YHRQTPGWAWEDRPSSTGSTQFPYNPLTIRMLSSTPPTQIACAPSAITQAAPHQQNRIWE REPAPLLSAQYETLSDSDD >ENSMUSP00000094994.3 pep:known chromosome:GRCm38:17:22601148:22619133:1 gene:ENSMUSG00000094921.1 transcript:ENSMUST00000097381.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r112 description:vomeronasal 2, receptor 112 [Source:MGI Symbol;Acc:MGI:3644292] MFTLIFLFLLLNIPLHVADFIHPRCFWKMKRNENKDRNQGTECTFVIQAVQQPVEKEYFS HILNIQTQTENRKYALALAFSINEINRNPDLLPNMSLIFKFSAENCVWENKLMSLMHSSL QNYDILPNYLCEEYTECVMALTSLNWATTVTLYTILNNFMSEQFLQITYGPFHPVLSDHE KFPYLHQMASDHTSLALALVSFIIHFGWNWVGLVISDSDQGIQFLSYLRREMEKYTVCFA FVNMIPVNMNLYMSRAEVYYNQIMTSSTNVVIIYGDTDSTLAVSFRMWESLGIQRLWITT SQWDVSPSMKDFTFGNKYGTFDFQQHNSEISGFKNFVQTLNSVKCPDEYLVELEWMHFNC EVSASKCKPLKNCSSSYSFDWLMEHTFDMAFIEKSYYIYNAVYAFAHALHQFTFQKFDNL PKDNGKKHNYSCKKVDSSLRKTQFTNPVGDIVNMNQKGELQEEYDIFYIWNFPQGLGLRI KIGMFSPYFPNGQQVHLSEVMIRWAKGSTQVPTSVCSADCGPGSRKFEMDGMAACCFHCK PCPENEISNETNVDNCVQCPEDQYANTEQNHCIHKAVVFLSYEEPLGMALSLLSLFFSAF TTVILGVIVKHHNTPIVKANNRTLTYILLISLIFCFLCPLLFIGHPNSVTCFLQQITFGV VFTVALSTVLAKTITVVLAFKITASQRMMKYFLVSGASNYIILICTLIQVIVCAVWLGAS PPFVDIDAQSEHGHIIIVCHKGSVNAFYSILGYLALLSFGSFTLAFLSRNLPGAFNEAKS ITFSMLVFCSVWVTFIPVYHSTKGKVMVAVEIFSTLASSAGILGCIFVSKCYTILFRPDR NSVEMTRVKSSFHAHIS >ENSMUSP00000104641.2 pep:known chromosome:GRCm38:11:53457205:53467766:1 gene:ENSMUSG00000018387.12 transcript:ENSMUST00000109013.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom1 description:shroom family member 1 [Source:MGI Symbol;Acc:MGI:1919024] MEALGTGRDRTSQASATESLDLRRLSTRADSAYSSFSTASGDPETRTPSPGTERLPYLDW DYVRVVWGSQSPTSKDAVLSTTQRPVQAVAGHSDPRSPEVQGSPGPLNRQDTPLLYALAA EAEATAHTAEPPSPPASRDAYRQRLQGAQRRVLRETSFQRKEFRMSLPGRLRPAVPTRLP TAHVRSASSSQELGEEEPARTAVPALAAAGRGRLSSQQRQCCFSEPGKLHRVGWSGGPTG EDLRKDYSTQELQRGMHAKSKGLLETQSLSSTELNSGPADLGNAHRPAGRSQSVSGEVMG PCKGSERTVATVQAVPQRADIRRPLLHTKLSRSLTQKEVTGVCPGEALQTKPAGCGRRIS ETSVSTPGPSLPEDDVFLREAKTPSPQDSQGLPTSTSYRQYENDLSKKAGQIAVSAERPL HETPGITGTEDCGQAVNGSVDLSRPTSIPETTNDDIPTFDTNGTTDPSAATEKKPLKPPP VDVLRPSDSETPGSPHHTSLTWGQFDSKTTWPSRHFEALVQELARLDPSLSRTLAAQPGP EPPQGLLDGLFPVEEIRSAMRPALEEMGEKAAGASEEGSCGHHLTQDLQTSQEASRSENS TPDPDQSSGQEFPEGNSTQAKKVELARLLQKMLQDLHAEQERLRGTAADWTQRNGALEAA VSQACTPRELERFRRFMTDLERVLGLLLLLGSRLVRVNLALARAGSNSDPDERASLLQRL QLLQRQQEEAKELKEHVARREQTLRQVLERELPAEHLRSYCVLLASKARILSQQRSLDDR IRFLKDQLDTIWSDLSHHPLSPRLTWAPAIRPLNKQPFLATLI >ENSMUSP00000018531.5 pep:known chromosome:GRCm38:11:53457230:53467298:1 gene:ENSMUSG00000018387.12 transcript:ENSMUST00000018531.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom1 description:shroom family member 1 [Source:MGI Symbol;Acc:MGI:1919024] MEALGTGRDRTSQASATESLDLRRLSTRADSAYSSFSTASGDPETRTPSPGTERLPYLDW DYVRVVWGSQSPTSKDAVLSTTQRPVQAVAGHSDPRSPEVQGSPGPLNRQDTPLLYALAA EAEATAHTAEPPSPPASRDAYRQRLQGAQRRVLRETSFQRKEFRMSLPGRLRPAVPTRLP TAHVRSASSSQELGEEEPARTAVPALAAAGRGRLSSQQRQCCFSEPGKLHRVGWSGGPTG EDLRKDYSTQELQRGMHAKSKGLLETQSLSSTELNSGPADLGNAHRPAGRSQSVSGEVMG PCKGSERTVATVQAVPQRADIRRPLLHTKLSSTSYRQYENDLSKKAGQIAVSAERPLHET PGITGTEDCGQAVNGSVDLSRPTSIPETTNDDIPTFDTNGTTDPSAATEKKPLKPPPVDV LRPSDSETPGSPHHTSLTWGQFDSKTTWPSRHFEALVQELARLDPSLSRTLAAQPGPEPP QGLLDGLFPVEEIRSAMRPALEEMGEKAAGASEEGSCGHHLTQDLQTSQEASRSENSTPD PDQSSGQEFPEGNSTQAKKVELARLLQKMLQDLHAEQERLRGTAADWTQRNGALEAAVSQ ACTPRELERFRRFMTDLERVLGLLLLLGSRLVRVNLALARAGSNSDPDERASLLQRLQLL QRQQEEAKELKEHVARREQTLRQVLERELPAEHLRSYCVLLASKARILSQQRSLDDRIRF LKDQLDTIWSDLSHHPLSPRLTWAPAIRPLNKQPFLATLI >ENSMUSP00000090802.4 pep:known chromosome:GRCm38:11:53457279:53467302:1 gene:ENSMUSG00000018387.12 transcript:ENSMUST00000093114.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom1 description:shroom family member 1 [Source:MGI Symbol;Acc:MGI:1919024] MEALGTGRDRTSQASATESLDLRRLSTRADSAYSSFSTASGDPETRTPSPGTERLPYLDW DYVRVVWGSQSPTSKDAVLSTTQRPVQAVAGHSDPRSPEVQGSPGPLNRQDTPLLYALAA EAEATAHTAEPPSPPASRDAYRQRLQGAQRRVLRETSFQRKEFRMSLPGRLRPAVPTRLP TAHVRSASSSQELGEEEPARTAVPALAAAGRGRLSSQQRQCCFSEPGKLHRVGWSGGPTG EDLRKDYSTQELQRGMHAKSKGLLETQSLSSTELNSGPADLGNAHRPAGRSQSVSGEVMG PCKGSERTVATVQAVPQRADIRRPLLHTKLSRSLTQKEVTGVCPGEALQTKPAGCGRRIS ETSVSTPGPSLPEDDVFLREAKTPSPQDSQGLPTSTSYRQYENDLSKKAGQIAVSAERPL HETPGITGTEDCGQAVNGSVDLSRPTSIPETTNDDIPTFDTNGTTDPSAATEKKPLKPPP VDVLRPSDSETPGSPHHTSLTWGQFDSKTTWPSRHFEALVQELARLDPSLSRTLAAQPGP EPPQGLLDGLFPVEEIRSAMRPALEEMGEKAAGASEEGSCGHHLTQDLQTSQEASRSENS TPDPDQSSGQEFPEGNSTQAKKVELARLLQKMLQDLHAEQERLRGTAADWTQRNGALEAA VSQACTPRELERFRRFMTDLERVLGLLLLLGSRLVRVNLALARAGSNSDPDERVK >ENSMUSP00000104164.3 pep:known chromosome:GRCm38:7:14465159:14492926:-1 gene:ENSMUSG00000094156.1 transcript:ENSMUST00000108524.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2a7 description:sulfotransferase family 2A, dehydroepiandrosterone (DHEA)-preferring, member 7 [Source:MGI Symbol;Acc:MGI:3645246] MGFNKEIIGKIRHSFVMREDTVVLSYPKSGMTWLVEIVCLIQKKGDTKWIQSVPIWDRSP WLENESGYFELVNKEGPRLMTSHLPIHLFHKSLFSSKTKIIYIFRNPRDILVSGYFFWSK TNLMKNPGSLETYFEWFLKGNVPYGSWFEHIRGWLSMREEKNFLLLCYDDFKKLLPIHPL FVVHVYHDDGDDDGGGGGDHDDDTRGTIEKICEFLGKKLEPDEMNLILKYSSFQAMKENK MSNFSIVRKDIATNDLQLLREGATGDWKNHFTVALAEAFDKVYQEKMAGFPIGLFPWE >ENSMUSP00000027740.7 pep:known chromosome:GRCm38:1:155698031:155742027:-1 gene:ENSMUSG00000026468.14 transcript:ENSMUST00000027740.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx4 description:LIM homeobox protein 4 [Source:MGI Symbol;Acc:MGI:101776] MMQSAAVPAEGAVKGLPEMLGVPMQQIPQCAGCNQHILDKFILKVLDRHWHSSCLKCADC QMQLADRCFSRAGSVYCKEDFFKRFGTKCTACQQGIPPTQVVRKAQDFVYHLHCFACIIC NRQLATGDEFYLMEDGRLVCKEDYETAKQNDDSEAGAKRPRTTITAKQLETLKNAYKNSP KPARHVREQLSSETGLDMRVVQVWFQNRRAKEKRLKKDAGRHRWGQFYKSVKRSRGGSKQ EKESSAEDCGVSDSELSFREDQILSELGHTNRIYGNVGDVTGGQLMNGSFSMDGTGQSYQ DLRDGSPYGIPQSPSSISSLPSHAPLLNGLDYTVDSNLGIIAHAGQGVSQTLRAMAGGPT SDLSTGSSVGYPDFPTSPASWLDEMDHPPF >ENSMUSP00000141662.1 pep:known chromosome:GRCm38:1:155704625:155751684:-1 gene:ENSMUSG00000026468.14 transcript:ENSMUST00000195275.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx4 description:LIM homeobox protein 4 [Source:MGI Symbol;Acc:MGI:101776] MQLADRCFSRAGSVYCKEDFFKRFGTKCTACQQGIPPTQVVRKAQDFVYHLHCFACIICN RQLATGDEFYLMEDGRLVCKEDYETAKQNDDSEAGAKRPRTTITAKQLETLKNAYKNSPK PARHVREQLSSETGLDMRVVQVWFQNRRAKEKRLKKDAGRHRWGQFYKSVKRSRGGSKQE KESSAEDCGVSDSELSFRGEQGWRGEAEALGETLGDTNPGPQEG >ENSMUSP00000121859.1 pep:known chromosome:GRCm38:5:5437827:5457378:-1 gene:ENSMUSG00000079666.8 transcript:ENSMUST00000128151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700015F17Rik description:RIKEN cDNA 1700015F17 gene [Source:MGI Symbol;Acc:MGI:2686532] CIWCREEKVCKKYCFPYSDCKFNSIFWANCNGIWPFMQDMDKFPSTTGMLQVTGDL >ENSMUSP00000111107.1 pep:known chromosome:GRCm38:5:5437827:5479143:-1 gene:ENSMUSG00000079666.8 transcript:ENSMUST00000115447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700015F17Rik description:RIKEN cDNA 1700015F17 gene [Source:MGI Symbol;Acc:MGI:2686532] MCWLRAWSHILLPVFLSVALIQLIFNLSDHKTKTHHHWKRKIEAKYVEKECAQKETCPVC TRDKRCIWCREEKVCKKYCFPYSDCKFNSIFWANCNVDLFGIVMLILIVILALAFLWYCL AYYFYMQQHMALYARHGQVPVYNWDAPGDW >ENSMUSP00000122651.1 pep:known chromosome:GRCm38:5:5437832:5479080:-1 gene:ENSMUSG00000079666.8 transcript:ENSMUST00000156282.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700015F17Rik description:RIKEN cDNA 1700015F17 gene [Source:MGI Symbol;Acc:MGI:2686532] MCWLRAWSHILLPVFLSVALIQLIFNLSDHKTKTHHHWKRKIEAKYVEKEEDEICWISNI ME >ENSMUSP00000060205.2 pep:known chromosome:GRCm38:11:32522751:32527579:-1 gene:ENSMUSG00000044056.3 transcript:ENSMUST00000054327.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab9 description:EF-hand calcium binding domain 9 [Source:MGI Symbol;Acc:MGI:1916556] MKLTPGCFLWYLYMDKIYCLLSLRNVKALMVYFHLLDVHHRNTLNDVLFFHFLQHVTNLN KSQIGMIFDLLDWTAVGEIGFDQFYVLICILLAHQDHLEDHFMYRHSRPVFELLDLDGEM NIGAANFQNYRFLFNIKKQELRDLFHDFDITGDRLLNYKEFKLYTIFCTDKSIDRKKRRK DREAAREREKEKGKDKEKYLHLKKIYSSMLSHRSIL >ENSMUSP00000105002.1 pep:known chromosome:GRCm38:11:32523340:32532081:-1 gene:ENSMUSG00000044056.3 transcript:ENSMUST00000109377.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab9 description:EF-hand calcium binding domain 9 [Source:MGI Symbol;Acc:MGI:1916556] MIFDLLDWTAVGEIGFDQFYVLICILLAHQDHLEDHFMYRHSRPVFELLDLDGEMNIGAA NFQNYRFLFNIKKQELRDLFHDFDITGDRVSGEIWELWAEGHGLCQQWERCG >ENSMUSP00000080921.6 pep:known chromosome:GRCm38:4:47208161:47313163:1 gene:ENSMUSG00000028339.17 transcript:ENSMUST00000082303.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col15a1 description:collagen, type XV, alpha 1 [Source:MGI Symbol;Acc:MGI:88449] MTHRRTAQGRRPRWLLSIISALLSAVLQTRAATGSASQVHLDLTVLIGVPLPSSVSFTTG YGGFPAYSFGPGANVGRPARTLIPPTFFRDFAIGVAVKPNSAQGGVLFAITDAFQKVIYL GLRLSSVEDGRQRVILYYTEPGSHVSREAAVFSVPVMTNRWNRFAVTVQGEEVALFMDCE EQSQVRFQRSSWPLTFEPSAGIFVGNAGAMGLERFTGSIQQLTIYSDPRTPEELCEAQES SASGEASGFQEMDEVAEIMEAVTYTQAPPKESHVDPISVPPTSSSPAEDSELSGEPVPEG TPETNLSIIGHSSPEQGSGEILNDTLEVHAMDGDPGTDDGSGDGALLNVTDGQGLSATAT GEASVPVTTVLEAENGSMPTGSPTLAMFTQSIREVDTPDPENLTTTASGDGEVPTSTDGD TEADSSPTGGPTLKPREEATLGSHGEEWLTPAVSKMPLKAFEEEEASGTAIDSLDVIFTP TVVLEQVSRRPTDIQATFTPTVVLEETSGAPTDTQDALTPTVAPEQMFTAEPTDGGDLVA STEEAEEEGSGSMPPSGPPLPTPTVTPKRQVTLVGVEAEGSGPVGGLDEGSGSGDIVGNE DLLRGPPGPPGPPGSPGIPGKPGTDVFMGPPGSPGEDGAPGEPGPQGPEGQPGLDGASGQ QGMKGEKGARGPNGSAGEKGDPGNRGLPGPPGKNGEVGTPGVMGPPGPPGPPGPPGPGCT TELGFEGPKGEKGEQGAKGERGADGTSTMGPPGPRGPPGHVEVLSSSLINITNGSMNFSD IPELMGPPGPDGVPGLPGFPGPRGPKGDTGVPGFPGLKGEQGEKGEPGAILTGDVPLEMM KGRKGEPGIHGAPGPMGPKGPPGHKGEFGLPGRPGRPGLNGLKGAKGDRGVTLPGPPGLP GPPGPPGPPGAVVNIKGAVFPIPARPHCKTPVGTAHPGDPELVTFHGVKGEKGSWGLPGS KGEKGDQGAQGPPGPPVDPAYLRHFLNSLKGENEDASFRGESSNNLFVSGPPGLPGYPGL VGQKGEAVVGPQGPPGIPGLPGPPGFGRPGVPGPPGPPGPPGPPAILGAAVALPGPPGPP GQPGLPGSRNLVTALSDMGDMLQKAHLVIEGTFIYLRDSGEFFIRVRDGWKKLQLGELIP IPADSPPPPALSSNPYQPQPPLNPILSANYERPVLHLVALNTPVAGDIRADFQCFQQARA AGLLSTFRAFLSSHLQDLSTVVRKAERFGLPIVNLKGQVLFNNWDSIFSGDGGQFNTHIP IYSFDGRDVMTDPSWPQKVVWHGSNPHGVRLVDKYCEAWRTTDMAVTGFASPLSTGKILD QKAYSCANRLIVLCIENSFMTDTRK >ENSMUSP00000099981.4 pep:known chromosome:GRCm38:4:47208161:47313167:1 gene:ENSMUSG00000028339.17 transcript:ENSMUST00000102917.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col15a1 description:collagen, type XV, alpha 1 [Source:MGI Symbol;Acc:MGI:88449] MTHRRTAQGRRPRWLLSIISALLSAVLQTRAATGSASQVHLDLTVLIGVPLPSSVSFTTG YGGFPAYSFGPGANVGRPARTLIPPTFFRDFAIGVAVKPNSAQGGVLFAITDAFQKVIYL GLRLSSVEDGRQRVILYYTEPGSHVSREAAVFSVPVMTNRWNRFAVTVQGEEVALFMDCE EQSQVRFQRSSWPLTFEPSAGIFVGNAGAMGLERFTGSIQQLTIYSDPRTPEELCEAQES SASGEASGFQEMDEVAEIMEAVTYTQAPPKESHVDPISVPPTSSSPAEDSELSGEPVPEG TPETNLSIIGHSSPEQGSGEILNDTLEVHAMDGDPGTDDGSGDGALLNVTDGQGLSATAT GEASVPVTTVLEAENGSMPTGSPTLAMFTQSIREVDTPDPENLTTTASGDGEVPTSTDGD TEADSSPTGGPTLKPREEATLGSHGEEWLTPAVSKMPLKAFEEEEASGTAIDSLDVIFTP TVVLEQVSRRPTDIQATFTPTVVLEETSGAPTDTQDALTPTVAPEQMFTAEPTDGGDLVA STEEAEEEGSGSMPPSGPPLPTPTVTPKRQVTLVGVEAEGSGPVGGLDEGSGSGDIVGNE DLLRGPPGPPGPPGSPGIPGKPGTDVFMGPPGSPGEDGAPGEPGPQGPEGQPGLDGASGQ QGMKGEKGARGPNGSAGEKGDPGNRGLPGPPGKNGEVGTPGVMGPPGPPGPPGPPGPGCT TELGFEIEGSGDVRLLSKPTISGPTSPSGPKGEKGEQGAKGERGADGTSTMGPPGPRGPP GHVEVLSSSLINITNGSMNFSDIPELMGPPGPDGVPGLPGFPGPRGPKGDTGVPGFPGLK GEQGEKGEPGAILTGDVPLEMMKGRKGEPGIHGAPGPMGPKGPPGHKGEFGLPGRPGRPG LNGLKGAKGDRGVTLPGPPGLPGPPGPPGPPGAVVNIKGAVFPIPARPHCKTPVGTAHPG DPELVTFHGVKGEKGSWGLPGSKGEKGDQGAQGPPGPPVDPAYLRHFLNSLKGENEDASF RGESSNNLFVSGPPGLPGYPGLVGQKGEAVVGPQGPPGIPGLPGPPGFGRPGVPGPPGPP GPPGPPAILGAAVALPGPPGPPGQPGLPGSRNLVTALSDMGDMLQKAHLVIEGTFIYLRD SGEFFIRVRDGWKKLQLGELIPIPADSPPPPALSSNPYQPQPPLNPILSANYERPVLHLV ALNTPVAGDIRADFQCFQQARAAGLLSTFRAFLSSHLQDLSTVVRKAERFGLPIVNLKGQ VLFNNWDSIFSGDGGQFNTHIPIYSFDGRDVMTDPSWPQKVVWHGSNPHGVRLVDKYCEA WRTTDMAVTGFASPLSTGKILDQKAYSCANRLIVLCIENSFMTDTRK >ENSMUSP00000119292.1 pep:known chromosome:GRCm38:4:47288057:47293222:1 gene:ENSMUSG00000028339.17 transcript:ENSMUST00000140413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col15a1 description:collagen, type XV, alpha 1 [Source:MGI Symbol;Acc:MGI:88449] MHHIAPRTKKEAPETWSSTLGSRELSVLGQVWKHGEKGEPGAILTGDVPLEMMKGRKGEP GIHGAPGPMGPKGPPGHKGEFGLPGRPGRPGLNGLKGAKGDRGVTLPGPPGLPGPPGPPG P >ENSMUSP00000103359.2 pep:known chromosome:GRCm38:4:47288069:47313167:1 gene:ENSMUSG00000028339.17 transcript:ENSMUST00000107731.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col15a1 description:collagen, type XV, alpha 1 [Source:MGI Symbol;Acc:MGI:88449] MEDTCTSRQGEKGEPGAILTGDVPLEMMKGRKGEPGIHGAPGPMGPKGPPGHKGEFGLPG RPGRPGLNGLKGAKGDRGVTLPGPPGLPGPPGPPGPPGAVVNIKGAVFPIPARPHCKTPV GTAHPGDPELVTFHGVKGEKGSWGLPGSKGEKGDQGAQGPPGPPVDPAYLRHFLNSLKGE NEDASFRGESSNNLFVSGPPGLPGYPGLVGQKGEAVVGPQGPPGIPGLPGPPGFGRPGVP GPPGPPGPPGPPAILGAAVALPGPPGPPGQPGLPGSRNLVTALSDMGDMLQKAHLVIEGT FIYLRDSGEFFIRVRDGWKKLQLGELIPIPADSPPPPALSSNPYQPQPPLNPILSANYER PVLHLVALNTPVAGDIRADFQCFQQARAAGLLSTFRAFLSSHLQDLSTVVRKAERFGLPI VNLKGQVLFNNWDSIFSGDGGQFNTHIPIYSFDGRDVMTDPSWPQKVVWHGSNPHGVRLV DKYCEAWRTTDMAVTGFASPLSTGKILDQKAYSCANRLIVLCIENSFMTDTRK >ENSMUSP00000118637.1 pep:known chromosome:GRCm38:4:47288287:47299258:1 gene:ENSMUSG00000028339.17 transcript:ENSMUST00000146967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col15a1 description:collagen, type XV, alpha 1 [Source:MGI Symbol;Acc:MGI:88449] MMKGRKGEPGIHGAPGPMGPKGPPGHKGEFGLPGRPGRPGLNGLKGAKGDRGVTLPGPPG LPGPPGPPGPPGAVVNIKGAVFPIPARPHCKTPVGTAHPGDPELVTFHGVKGEKGSWGLP GSKGEKGDQGAQGPPGPPVDPAYLR >ENSMUSP00000103358.2 pep:known chromosome:GRCm38:4:47303722:47313162:1 gene:ENSMUSG00000028339.17 transcript:ENSMUST00000107730.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col15a1 description:collagen, type XV, alpha 1 [Source:MGI Symbol;Acc:MGI:88449] MNSVALPGPPGPPGQPGLPGSRNLVTALSDMGDMLQKAHLVIEGTFIYLRDSGEFFIRVR DGWKKLQLGELIPIPADSPPPPALSSNPYQPQPPLNPILSANYERPVLHLVALNTPVAGD IRADFQCFQQARAAGLLSTFRAFLSSHLQDLSTVVRKAERFGLPIVNLKGQVLFNNWDSI FSGDGGQFNTHIPIYSFDGRDVMTDPSWPQKVVWHGSNPHGVRLVDKYCEAWRTTDMAVT GFASPLSTGKILDQKAYSCANRLIVLCIENSFMTDTRK >ENSMUSP00000124043.1 pep:known chromosome:GRCm38:6:38433950:38512763:1 gene:ENSMUSG00000038538.17 transcript:ENSMUST00000160583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubn2 description:ubinuclein 2 [Source:MGI Symbol;Acc:MGI:2444236] MAEPRRVAFISLSPVRRREADFAGAEREPPRLEPQPYREPARAEPAPRADAQPPARDKPL PQREVSRAEPPMALQREPPRPEPPPPPLPLQTPPPRESASRAEPPPRPPKETVRLELVLK DPTDESCVEFSYPELLLCGEQRKKLVHTEDPFTDEHKERQEVEMLAKKFEMKYGGKARKH RKDRLQDLIDIGFGYDETDPFIDNSEAYDELVPASLTTKYGGFYINTGTLQFRQASDTEE DDFTDNQKHKPPKVPKIKEDDIEVKKRKRKEEGEKEKKPRKKVPKQLGVVALNSHKSEKK KKRYKDSLSLAAMIRKFQKEKDALKKESTPKVPVTPSSSSLPKPPCVTTALGDDIPDLGL NSADPDLPIFVSTNEHELFQEAENALEMLDDFDFDRLLDATSDGSPLSESGGENGNTTHP TFPSQVVPKVVPTLPEGLPVLLEKRIEDLRVAAKLFDEEGRKKFFTQDMNNILLDIELQL QELGPVIRSGVYSHLEAFVPCNKETLVKRLKKLHLNVQDDRLREPLQKLKLAVSNVMPEQ LFKYQEDCQARSQAKCAKLQADEEREKNGSDDDDDEKPGKRVIGPRKKFHWDDTIRTLLC NLVEIKLGCYELEPNKSQSAEDYLKSFMETEVKPLWPKGWMQARMLFKESRSVHNHLTSA PAKKKVIPASKPKVKECSPKKDPKAPASVVASGGGPSTSSSTSIVASASSSSAPAQETIC LDDSLDEDLSFPSASLDLVSEALAVINNGNKGPSVGSRLNVPTTKPRPGLREEKLASIMS KLPLATPKKLDSTQTAHSSSLIAGHTGPVPKKPQDLAHTGISSGLIAGSSIQNPKVSLEP LPARLLQQGLQRSSQIHASSSSQTHVSSSQAQAAASSHALGTSEAQDASSLTQVTKVHQH SAVQQNYVSPLQATISKSQTNPVVKLSNNPQLSCSSQLLKTSDKPLMYRLPLSTPSPGNG SQGPHPLVSRTAPSTTTSSNYLAKAMVSQISTQGFKSPFSMAASPKLAASPKPATSPKPL PSPKPSVSPKPSLSAKPSISTKQISKSNPAPKPAVCPSSSSPNTLVAQSSHSTSNNPVHK QPSGMNISRQSPTLNLLPSNRTSGLPTTKTLQAPSKLTNSSSTGTAGKNSLSGIPMNVPA SRGSNLNSSGANRTSLSGGTGSGTQGATKPLSTPHRPTSASGSSVVTASVQSTAGASLLA NASPLTLMTSPLSVTNQTVTPFGMLGGLVPVTMPFQFPLELLGFGTDTAGVTATSGSTSA ALHHSLTQNLLKSLQPGAQHAAALPHSPLPAHLQQAFNDGGQSKGDTKLPRKPQ >ENSMUSP00000036188.8 pep:known chromosome:GRCm38:6:38434173:38502579:1 gene:ENSMUSG00000038538.17 transcript:ENSMUST00000039127.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubn2 description:ubinuclein 2 [Source:MGI Symbol;Acc:MGI:2444236] MAEPRRVAFISLSPVRRREADFAGAEREPPRLEPQPYREPARAEPAPRADAQPPARDKPL PQREVSRAEPPMALQREPPRPEPPPPPLPLQTPPPRESASRAEPPPRPPKETVRLELVLK DPTDESCVEFSYPELLLCGEQRKKLVHTEDPFTDEHKERQEVEMLAKKFEMKYGGKARKH RKDRLQDLIDIGFGYDETDPFIDNSEAYDELVPASLTTKYGGFYINTGTLQFRQASDTEE DDFTDNQKHKPPKVPKIKEDDIEVKKRKRKEEGEKEKKPRKKVPKQLGVVALNSHKSEKK KKRYKDSLSLAAMIRKFQKEKDALKKESTPKVPVTPSSSSLPKPPCVTTALGDDIPDLGL NSADPDLPIFVSTNEHELFQEAENALEMLDDFDFDRLLDATSDGSPLSESGGENGNTTHP TFPSQVVPKVVPTLPEGLPVLLEKRIEDLRVVSAAKLFDEEGRKKFFTQDMNNILLDIEL QLQELGPVIRSGVYSHLEAFVPCNKETLVKRLKKLHLNVQDDRLREPLQKLKLAVSNVMP EQLFKYQEDCQARSQAKCAKLQADEEREKNGSDDDDDEKPGKRVIGPRKKFHWDDTIRTL LCNLVEIKLGCYELEPNKSQSAEDYLKSFMETEVKPLWPKGWMQARMLFKESRSVHNHLT SAPAKKKVIPASKPKVKECSPKKDPKAPASVVASGGGPSTSSSTSIVASASSSSAPAQET ICLDDSLDEDLSFPSASLDLVSEALAVINNGNKGPSVGSRLNVPTTKPRPGLREEKLASI MSKLPLATPKKLDSTQTAHSSSLIAGHTGPVPKKPQDLAHTGISSGLIAGSSIQNPKVSL EPLPARLLQQGLQRSSQIHASSSSQTHVSSSQAQAAASSHALGTSEAQDASSLTQVTKVH QHSAVQQNYVSPLQATISKSQTNPVVKLSNNPQLSCSSQLLKTSDKPLMYRLPLSTPSPG NGSQGPHPLVSRTAPSTTTSSNYLAKAMVSQISTQGFKSPFSMAASPKLAASPKPATSPK PLPSPKPSVSPKPSLSAKPSISTKQISKSNPAPKPAVCPSSSSPNTLVAQSSHSTSNNPV HKQPSGMNISRQSPTLNLLPSNRTSGLPTTKTLQAPSKLTNSSSTGTAGKNSLSGIPMNV PASRGSNLNSSGANRTSLSGGTGSGTQGATKPLSTPHRPTSASGSSVVTASVQSTAGASL LANASPLTLMTSPLSVTNQTVTPFGMLGGLVPVTMPFQFPLELLGFGTDTAGVTATSGST SAALHHSLTQNLLKSLQPGAQHAAALPHSPLPAHLQQAFNDGGQSKGDTKLPRKPQ >ENSMUSP00000124352.1 pep:known chromosome:GRCm38:6:38440533:38524791:1 gene:ENSMUSG00000038538.17 transcript:ENSMUST00000162593.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubn2 description:ubinuclein 2 [Source:MGI Symbol;Acc:MGI:2444236] FEMKYGGKARKHRKDRLQDLIDIGFGYDETDPFIDNSEAYDELVPASLTTKYGGFYINTG TLQFRQASDTEEDDFTDNQKHKPPKVPKIKEDDIEVKKRKRKEEGEKEKKPRKKVPKQLG VVALNSHKSEKKKKRYKDSLSLAAMIRKFQKEKDALKKESTPKVPVTPSSSSLPKPPCVT TALGDDIPDLGLNSADPDLPIFVSTNEHELFQEAENALEMLDDFDFDRLLDATSDGSPLS ESGGENGNTTHPTFPSQVVPKVVPTLPEGLPVLLEKRIEDLRVAAKLFDEEGRKKFFTQD MNNILLDIELQLQELGPVIRSGVYSHLEAFVPCNKETLVKRLKKLHLNVQDDRLREPLQK LKLAVSNVMPEQLFKYQEDCQARSQAKCAKLQADEEREKNGSDDDDDEKPGKRVIGPRKK FHWDDTIRTLLCNLVEIKLGCYELEPNKSQSAEDYLKSFMETEVKPLWPKGWMQARMLFK ESRSVHNHLTSAPAKKKVIPASKPKVKAVLVKTLPVRSFPTMLKECSPKKDPKAPASVVA SGGGPSTSSSTSIVASASSSSAPAQETICLDDSLDEDLSFPSASLDLVSEALAVINNGNK GPSVGSRLNVPTTKPRPGLREEKLASIMSKLPLATPKKLDSTQTAHSSSLIAGHTGPVPK KPQDLAHTGISSGLIAGSSIQNPKVSLEPLPARLLQQGLQRSSQIHASSSSQTHVSSSQA QAAASSHALGTSEAQDASSLTQVTKVHQHSAVQQNYVSPLQATISKSQTNPVVKLSNNPQ LSCSSQLLKTSDKPLMYRLPLSTPSPGNGSQGPHPLVSRTAPSTTTSSNYLAKAMVSQIS TQGFKSPFSMAASPKLAASPKPATSPKPLPSPKPSVSPKPSLSAKPSISTKQISKSNPAP KPAVCPSSSSPNTLVAQSSHSTSNNPVHKQPSGMNISRQSPTLNLLPSNRTSGLPTTKTL QAPSKLTNSSSTGTAGKNSLSGIPMNVPASRGSNLNSSGANRTSLSGGTGSGTQGATKPL STPHRPTSASGSSVVTASVQPSS >ENSMUSP00000123836.1 pep:known chromosome:GRCm38:6:38491004:38524825:1 gene:ENSMUSG00000038538.17 transcript:ENSMUST00000159447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubn2 description:ubinuclein 2 [Source:MGI Symbol;Acc:MGI:2444236] XSEAQDASSLTQVTKVHQHSAVQQNYVSPLQATISKSQTNPVVKLSNNPQLSCSSQLLKT SDKPLMYRLPLSTPSPGNGSQGPHPLVSRTAPSTTTSSNYLAKAMVSQISTQGFKSPFSM AASPKLAASPKPATSPKPLPSPKPSVSPKPSLSAKPSISTKQISKSNPAPKPAVCPSSSS PNTLVAQSSHSTSNNPVHKQPSGMNISRQSPTLNLLPSNRTSGLPTTKTLQAPSKLTNSS STGTAGKNSLSGIPMNVPASRGSNLNSSGANRTSLSGGTGSGTQGATKPLSTPHRPTSAS GSSVVTASVQFYQKPQRLRTKQ >ENSMUSP00000057461.7 pep:known chromosome:GRCm38:6:86438374:86470388:1 gene:ENSMUSG00000046679.16 transcript:ENSMUST00000050497.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87436 description:expressed sequence C87436 [Source:MGI Symbol;Acc:MGI:2141787] MEPNSQRTKVPAFLSDLGKATLRGIRKCPRCGTFNGTRGLSCKNKTCGTIFRYGARKQPS IEAVKIITGSDLQVYSVRQRDRGPDYRCFVELGVSETAIQTVDGTIITQLSSGRCYVPSC LKAATQGIVENQCQHIKLAVTCQAEATPLTLKSSVLNALQAAPETKQSLWQLATEPTGPL VQRVTKNIMVVKCKASQKHNLGYLHASFMQKISSRSLPERRFFCSCQTLRPHKSSVPKAE AAPKCIHFFACLCAFASDETLAQEFSDFLNFDASGLKEIIVPHLGCHAESSVSACESAAS KPRKRKKDEVSGAQVNSSLMPQDAVNSNLRKSGLKRPVVTSSLKRHVCGQLLDEAQVTLS FQDWLASVTERIHQTMHYQFDGKPEPLVFHIPQSFFDALQQRISIGSAKKRLPNSTTAFV RKDALPLGTFSKYTWHITNILQVKQILDTPEMPLEITRSFIQNRDGTYELFKCPKVEVEN IAESYGRIEKQPVLRPLELKTFLKVGNTSPDQKEPTPFIIEWIPDILPQSKIGELRIKFE YGHHRNGHVADYQDPRPPMDQPLELAPLTTITFP >ENSMUSP00000144724.1 pep:known chromosome:GRCm38:6:86438389:86445821:1 gene:ENSMUSG00000046679.16 transcript:ENSMUST00000203568.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87436 description:expressed sequence C87436 [Source:MGI Symbol;Acc:MGI:2141787] MEPNSQRTKVPAFLSDLGKATLRGIRKCPRCGTFNGTRGLSCKNKTCGTIFRYGARKQPS IEAVKIITGSDLQVYSVRQRDRGPDYRCFVELGVSETAIQTVDGTIITQLSSGRCYVPSC LKAAT >ENSMUSP00000109330.1 pep:known chromosome:GRCm38:6:86438692:86470388:1 gene:ENSMUSG00000046679.16 transcript:ENSMUST00000113700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87436 description:expressed sequence C87436 [Source:MGI Symbol;Acc:MGI:2141787] MEPNSQRTKVPAFLSDLGKATLRGIRKCPRCGTFNGTRGLSCKNKTCGTIFRYGARKQPS IEAVKIITGSDLQVYSVRQRDRGPDYRCFVELGVSETAIQTVDGTIITQLSSGRCYVPSC LKAATQGIVENQCQHIKLAVTCQAEATPLTLKSSVLNALQAAPETKQSLWQLATEPTGPL VQRVTKNIMVVKCKASQKHNLGYLHASFMQKISSRSLPERRFFCSCQTLRPHKSSVPKAE AAPKCIHFFACLCAFASDETLAQEFSDFLNFDASGLKEIIVPHLGCHAESSVSACESAAS KPRKRKKDEVSGAQVNSSLMPQDAVNSNLRKSGLKRPVVTSSLKRHVCGQLLDEAQVTLS FQDWLASVTERIHQTMHYQFDGKPEPLVFHIPQSFFDALQQRISIGSAKKRLPNSTTAFV RKDALPLGTFSKYTWHITNILQVKQILDTPEMPLEITRSFIQNRDGTYELFKCPKVEVEN IAESYGRIEKQPVLRPLELKTFLKVGNTSPDQKEPTPFIIEWIPDILPQSKIGELRIKFE YGHHRNGHVADYQDPRPPMDQPLELAPLTTITFP >ENSMUSP00000115916.1 pep:known chromosome:GRCm38:6:86438692:86470388:1 gene:ENSMUSG00000046679.16 transcript:ENSMUST00000141972.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:C87436 description:expressed sequence C87436 [Source:MGI Symbol;Acc:MGI:2141787] MEPNSQRTKVPAFLSDLGKATLRGIRKCPRCGTFNGTRGLSCKNKTCGTIFRYGARKQPS IEAVKIITGSDLQVYSVRQRDRGPDYRCFVELGVSETAIQTVDGTIITQLSSGRCYVPSC LKAATQGIVENQCQHIKLAVTCQAEATPLTLKSSVLNALQAAPETKQSLWQLATEPTGPL VQRVTKNIMVVKCKASQKHNLGYLHASFMQKISSRSLPERRFFCSCQTLRPHKSSVPKAE AAPKCIHFFACLCAFASDETLAQEFSDFLNFDASGLKEIIVPHLGCHAESSVSACESAAS KPRKRKKDEVSGAQVNSSLMPQDAVNSNLRKSGLKRPVVTSSLKRHVCGQLLDEAQVTLS FQDWLASVTERIHQTMHYQFDGPTKWS >ENSMUSP00000109328.1 pep:known chromosome:GRCm38:6:86438727:86470388:1 gene:ENSMUSG00000046679.16 transcript:ENSMUST00000113698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87436 description:expressed sequence C87436 [Source:MGI Symbol;Acc:MGI:2141787] MEPNSQRTKVPAFLSDLGKATLRGIRKCPRCGTFNGTRGLSCKNKTCGTIFRYGARKQPS IEAVKIITGSDLQVYSVRQRDRGPDYRCFVELGVSETAIQTVDGTIITQLSSGRCYVPSC LKAATQGIVENQCQHIKLAVTCQAEATPLTLKSSVLNALQAAPETKQSLWQLATEPTGPL VQRVTKNIMVVKCKASQKHNLGYLHASFMQKISSRSLPERRFFCSCQTLRPHKSSVPKAE AAPKCIHFFACLCAFASDETLAQEFSDFLNFDASGCHAESSVSACESAASKPRKRKKDEV SGAQVNSSLMPQDAVNSNLRKSGLKRPVVTSSLKRHVCGQLLDEAQVTLSFQDWLASVTE RIHQTMHYQFDGKPEPLVFHIPQSFFDALQQRISIGSAKKRLPNSTTAFVRKDALPLGTF SKYTWHITNILQVKQILDTPEMPLEITRSFIQNRDGTYELFKCPKVEVENIAESYGRIEK QPVLRPLELKTFLKVGNTSPDQKEPTPFIIEWIPDILPQSKIGELRIKFEYGHHRNGHVA DYQDPRPPMDQPLELAPLTTITFP >ENSMUSP00000121520.1 pep:known chromosome:GRCm38:6:86443813:86473500:1 gene:ENSMUSG00000046679.16 transcript:ENSMUST00000133753.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:C87436 description:expressed sequence C87436 [Source:MGI Symbol;Acc:MGI:2141787] MEPNSQRTKVPAFLSDLGKATLRGIRKCPRCGTFNGTRGLSCKNKTCGTIFRYGARKQPS IEAVKIITGSDLQVYSVRQRDRGPDYRCFVELGVSETAIQTVDGTIITQLSSGRCYVPSC LKAATQGIVENQCQHIKLAVTCQAEATPLTLKSSVLNALQAAPETKQSLWQLATEPTGPL VQRVTKNIMVVKCKASQKHNLGYLHASFMQKISSRSLPERRFFCSCQTLRPHKSSVPKAE AAPKCIHFFACLCAFASDETLAQEFSDFLNFDASGLKEIIVPHLGCHAESSVSACESAAS KPRKRKKDEVSGAQVNSSLMPQDAVNSNLRKSGLKRPVVTSSLKRHVCGQLLDEAQVTLS FQDWLASVTERIHQTMHYQFDGPTKWS >ENSMUSP00000058617.2 pep:known chromosome:GRCm38:3:92436809:92438789:-1 gene:ENSMUSG00000048455.9 transcript:ENSMUST00000062160.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr1b description:small proline-rich protein 1B [Source:MGI Symbol;Acc:MGI:106659] MSSHQQKQPCTAPPQLHEQQVKQPCQPPPPEPCVSQVKTPCDTKVPEPCHPKAPEPCHPK APEPCHPKAPEPCHPKAPEPCHPKAPEPCHPKAPEPCHPKAPEPCHPKAPEPCHPKVPEP CLPKAPEPCQPIVPEPCPSTVTPILAQQKTKQK >ENSMUSP00000125387.1 pep:known chromosome:GRCm38:15:76351294:76354372:1 gene:ENSMUSG00000022553.15 transcript:ENSMUST00000161527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maf1 description:MAF1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916127] MKLLENSSFEAINSQLTVETGDAHIIGRIESYSCKMAGDDKHMFKQFCQEGQPHVLEALS PPQTSGLSPSRLSKSQGGEDESPLSDKCSRKTLFYLIATLNESFRPDYDFSTARSHEFSR EPSLRWVVNAVNCSLFSAVREDFKALKPQLWNAVDEEICLAECDIYSYNPDLDSDPFGED GSLWSFNYFFYNKRLKRIVFFSCRSISGSTYTPSEAGNALDLELGAEEADEESGGGGGEG RAEETSTMEEDRVPVICM >ENSMUSP00000124893.1 pep:known chromosome:GRCm38:15:76351294:76354373:1 gene:ENSMUSG00000022553.15 transcript:ENSMUST00000160853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maf1 description:MAF1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916127] MKLLENSSFEAINSQLTVETGDAHIIGRIESYSCKMAGDDKHMFKQFCQEGQPHVLEALS PPQTSGLSPSRLSKSQGGEDESPLSDKCSRKTLFYLIATLNESFRPDYDFSTARSHEFSR EPSLRWVVNAVNCSLFSAVREDFKALKPQLWNAVDEEICLAECDIYSYNPDLDSDPFGED GSLWSFNYFFYNKRLKRIVFFSCRSISGSTYTPSEAGNALDLELGAEEADEESGGGGGEG RAEETSTMEEDRVPVICM >ENSMUSP00000023212.8 pep:known chromosome:GRCm38:15:76351306:76354373:1 gene:ENSMUSG00000022553.15 transcript:ENSMUST00000023212.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maf1 description:MAF1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916127] MKLLENSSFEAINSQLTVETGDAHIIGRIESYSCKMAGDDKHMFKQFCQEGQPHVLEALS PPQTSGLSPSRLSKSQGGEDESPLSDKCSRKTLFYLIATLNESFRPDYDFSTARSHEFSR EPSLRWVVNAVNCSLFSAVREDFKALKPQLWNAVDEEICLAECDIYSYNPDLDSDPFGED GSLWSFNYFFYNKRLKRIVFFSCRSISGSTYTPSEAGNALDLELGAEEADEESGGGGGEG RAEETSTMEEDRVPVICM >ENSMUSP00000124242.1 pep:known chromosome:GRCm38:15:76351327:76354380:1 gene:ENSMUSG00000022553.15 transcript:ENSMUST00000160172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maf1 description:MAF1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916127] MKLLENSSFEAINSQLTVETGDAHIIGRIESYSCKMAGDDKHMFKQFCQEGQPHVLEALS PPQTSGLSPSRLSKSQGGEDESPLSDKCSRKTLFYLIATLNESFRPDYDFSTARSHEFSR EPSLRWVVNAVNCSLFSAVREDFKALKPQLWNAVDEEICLAECDIYSYNPDLDSDPFGED GSLWSFNYFFYNKRLKRIVFFSCRSIRVPVICM >ENSMUSP00000124110.1 pep:known chromosome:GRCm38:15:76352006:76353186:1 gene:ENSMUSG00000022553.15 transcript:ENSMUST00000160914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maf1 description:MAF1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916127] MSRVGGAPSQPQYIVLEIEVEPGHAMLMHRIISLSASAEFSGAGSAALIPLAQESPFPKD MKLLENSSFEAINSQLTVETGDAHIIGRIESYSCKMAGDDKHMFKQFCQEGQPHVLEALS PPQTSGLSPSRLSKSQGGEDESPLSDKCSRKTLFYLIATLNESFRPDYDFSTARSHEFSR EPSLRWVVNAVNCSLFSAVRED >ENSMUSP00000125332.1 pep:known chromosome:GRCm38:15:76353415:76354115:1 gene:ENSMUSG00000022553.15 transcript:ENSMUST00000161072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maf1 description:MAF1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916127] XKRLKRIVFFSCRSISGSTYTPSEAGNALDLELGAEEADEESGGGGGEGRAEETSTMEED RCGFQ >ENSMUSP00000139065.1 pep:known chromosome:GRCm38:X:141874505:141874870:-1 gene:ENSMUSG00000081607.2 transcript:ENSMUST00000182079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15294 description:predicted gene 15294 [Source:MGI Symbol;Acc:MGI:3708114] MGVNDEKYDNSLKIVSNASCTTNCLAPLAKVIHDNFDNVEGLMTTVHAITATQKTVDGPS GKLWREGCGSAQNIIPACTGAAKAVGKVIPELNRKLTGRAFRVSECIRYGSNMPPEEICQ I >ENSMUSP00000145196.1 pep:known chromosome:GRCm38:13:65044613:65052760:-1 gene:ENSMUSG00000090658.6 transcript:ENSMUST00000203968.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss47 description:protease, serine 47 [Source:MGI Symbol;Acc:MGI:2685120] MPCGPGPLFWPLLLLLPIKPSIPNASKVSGIAPGRPQPQQGWEPSASRNQYPVNRPGLFE RQWAGNERVEAIKSRDCVSNRLHGIVSTVCGKPKMVGKVFGGQDTLAGQWPWQASLLYRG VHLCGAVLIDTHWLASTAHCFRNKSQAPEDYEVLLGNNQLYQETKHTQKISVNHIVSHPD FEKFHSFGSDIAMLQLHLPINFTSYVVPACLPSKDTQLSNHTSCWITGWGMLSEDTKLLP PFSLQEGEVGIIDNEFCNALYGQTPGQSRNYVYEEMLCAGGLSTGKSICRGDSGGPLICY HNSTWVLVGLASWGLDCRHPIYPSVFTRVAYFTDWISQVKRLTPLPEPVSVSLHTELQPT PLKAAGSPQPCNILMAAQIWFLMLFILEAPQWTPE >ENSMUSP00000145271.1 pep:known chromosome:GRCm38:13:65044922:65051847:-1 gene:ENSMUSG00000090658.6 transcript:ENSMUST00000182457.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss47 description:protease, serine 47 [Source:MGI Symbol;Acc:MGI:2685120] PLRDCVSNRLHGIVSTVCGKPKMVGKVFGGQDTLAGQWPWQASLLYRGVHLCGAVLIDTH WLASTAHCFRNKSQAPEDYEVLLGNNQLYQETKHTQKISVNHIVSHPDFEKFHSFGSDIA MLQLHLPINFTSYVVPACLPSKDTQLSNHTSCWITGWGMLSEDTKLLPPFSLQEGEVGII DNEFCNALYGQTPGQSRNYVYEEMLCAGGLSTGKSICRGDSGGPLICYHNSTWVLVGLAS WGLDCRHPIYPSVFTRVAYFTDWISQVKRLTPLPEP >ENSMUSP00000000573.2 pep:known chromosome:GRCm38:3:105973711:105987423:1 gene:ENSMUSG00000074340.9 transcript:ENSMUST00000000573.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ovgp1 description:oviductal glycoprotein 1 [Source:MGI Symbol;Acc:MGI:106661] MGRLLLLAGLVLLMKHSDGTAYKLVCYFTNWAHSRPGPASIMPHDLDPFLCTHLIFAFAS MSNNQIVAKNLQDENVLYPEFNKLKERNRELKTLLSIGGWNFGTSRFTAMLSTLANREKF IDSVISFLRIHGFDGLDLFFLYPGLRGSPPHDRWNFLFLIEELQFAFEREALLTQHPRLL LSAAVSGIPSIIHTSYDALLLGRRLDFINVLSYDLHGSWEKFTGHNSPLFSLPEDSKSSA YAMNYWRKLGTPADKLIMGFPTYGRNFYLLKESKNGLQTASMGPASPGKYTKQAGFLAYY EVCSFVQRAKKHWIDYQYVPYAFKGKEWLGYDDTISFSYKAMYVKREHFGGAMVWTLDMD DVRGTFCGNGPFPLVHILNELLVQTESNSTPLPQFWFTSSVNASGPGSENTALTEVLTTD TIKILPPGGEAMTTEVHRRYENMTTVPSDGSVTPGGTASPRKHAVTPENNTMAAEAKTMS TLDFFSKTTTGVSKTTTGISKTTTGVSKTTTGVSKATAGISKTIPEISKATAGVSKTTTG VSKTTTGISKTITGVSKTTTGISKTTTGISKTTTGVSKITTGVSKTTTGISKTTTGISQT TTGISKTTTDISKTTTGISKTTPGISKTTPGMTVIVQTQANEAETTATMDHQSVTPTEMD TTLFYLKTMTPSEKETSRKKTMVLEKATVSPREMSATPNGQSKTLKWASLITEVETYSQD G >ENSMUSP00000132424.1 pep:known chromosome:GRCm38:3:105974083:105986115:1 gene:ENSMUSG00000074340.9 transcript:ENSMUST00000163626.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ovgp1 description:oviductal glycoprotein 1 [Source:MGI Symbol;Acc:MGI:106661] MKHSDGTAYKLVCYFTNWAHSRPGPASIMPHDLDPFLCTHLIFAFASMSNNQIVAKNLQD ENVLYPEFNKLKERNRELKTLLSIGGWNFGTSRFTAMLSTLANREKFIDSVISFLRIHGF DGLDLFFLYPGLRGSPPHDRWNFLFLIEELQFAFEREALLTQHPRLLLSAAVSGIPSIIH TSYDALLLGRFVPLSREQKNTGLIINMSRMLLRGRSGLAMMTLSVSVTRQCM >ENSMUSP00000040591.6 pep:known chromosome:GRCm38:13:24907579:24937661:-1 gene:ENSMUSG00000035936.6 transcript:ENSMUST00000037615.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh5a1 description:aldhehyde dehydrogenase family 5, subfamily A1 [Source:MGI Symbol;Acc:MGI:2441982] MATCFLLRSFWAARPALPPPGRFRPEPAGTPRRSYASGPGGLHADLLRGDSFVGGRWLPA PATFPVYDPASGAKLGTVADCGVPEARAAVRAAYDAFNSWKGVSVKERSLLLRKWYDLMI QNKDDLAKIITAESGKPLKEAQGEILYSALFLEWFSEEARRIYGDIIYTSAKDKRGLVLK QPVGVAAIITPWNFPSAMITRKVGAALAAGCTVVVKPAEDTPYSALALAQLANQAGIPAG VYNVIPCSRNKAKEVGEVLCTDPLVSKISFTGSTATGKILLHHAANSVKRVSMELGGLAP FIVFDSANVDQAVAGAMASKFRNAGQTCVCSNRFLVQRGIHDSFVTKFAEAMKKSLRVGN GFEEGTTQGPLINEKAVEKVEKQVNDAVAKGATVVTGGKRHQSGGNFFEPTLLSNVTRDM LCITEETFGPLAPVIKFDKEEEAVAIANAAEVGLAGYFYSQDPAQIWRVAEQLEVGMVGV NEGLISSVECPFGGVKQSGLGREGSKYGIDEYLEVKYVCYGGL >ENSMUSP00000124052.1 pep:known chromosome:GRCm38:5:21884454:22344702:-1 gene:ENSMUSG00000042453.14 transcript:ENSMUST00000161356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reln description:reelin [Source:MGI Symbol;Acc:MGI:103022] MERGCWAPRALVLAVLLLLATLRARAATGYYPRFSPFFFLCTHHGELEGDGEQGEVLISL HIAGNPTYYVPGQEYHVTISTSTFFDGLLVTGLYTSTSIQSSQSIGGSSAFGFGIMSDHQ FGNQFMCSVVASHVSHLPTTNLSFVWIAPPAGTGCVNFMATATHRGQVIFKDALAQQLCE QGAPTEATAYSHLAEIHSDSVILRDDFDSYQQLELNPNIWVECSNCEMGEQCGTIMHGNA VTFCEPYGPRELTTTCLNTTTASVLQFSIGSGSCRFSYSDPSITVSYAKNNTADWIQLEK IRAPSNVSTVIHILYLPEEAKGESVQFQWKQDSLRVGEVYEACWALDNILVINSAHREVV LEDNLDPVDTGNWLFFPGATVKHSCQSDGNSIYFHGNEGSEFNFATTRDVDLSTEDIQEQ WSEEFESQPTGWDILGAVVGADCGTVESGLSLVFLKDGERKLCTPYMDTTGYGNLRFYFV MGGICDPGVSHENDIILYAKIEGRKEHIALDTLTYSSYKVPSLVSVVINPELQTPATKFC LRQKSHQGYNRNVWAVDFFHVLPVLPSTMSHMIQFSINLGCGTHQPGNSVSLEFSTNHGR SWSLLHTECLPEICAGPHLPHSTVYSSENYSGWNRITIPLPNAALTRDTRIRWRQTGPIL GNMWAIDNVYIGPSCLKFCSGRGQCTRHGCKCDPGFSGPACEMASQTFPMFISESFGSAR LSSYHNFYSIRGAEVSFGCGVLASGKALVFNKDGRRQLITSFLDSSQSRFLQFTLRLGSK SVLSTCRAPDQPGEGVLLHYSYDNGITWKLLEHYSYVNYHEPRIISVELPDDARQFGIQF RWWQPYHSSQGEDVWAIDEIVMTSVLFNSISLDFTNLVEVTQSLGFYLGNVQPYCGHDWT LCFTGDSKLASSMRYVETQSMQIGASYMIQFSLVMGCGQKYTPHMDNQVKLEYSANHGLT WHLVQEECLPSMPSCQEFTSASIYHASEFTQWRRVTVVLPQKTWSGATRFRWSQSYYTAQ DEWALDNIYIGQQCPNMCSGHGSCDHGVCRCDQGYQGTECHPEAALPSTIMSDFENPSSW ESDWQEVIGGEVVKPEQGCGVVSSGSSLYFSKAGKRQLVSWDLDTSWVDFVQFYIQIGGE SAACNKPDSREEGILLQYSNNGGIQWHLLAEMYFSDFSKPRFVYLELPAAAKTPCTRFRW WQPVFSGEDYDQWAVDDIIILSEKQKQVIPVVNPTLPQNFYEKPAFDYPMNQMSVWLMLA NEGMAKNDSFCATTPSAMVFGKSDGDRFAVTRDLTLKPGYVLQFKLNIGCTSQFSSTAPV LLQYSHDAGMSWFLVKEGCFPASAGKGCEGNSRELSEPTVYYTGDFEEWTRITIAIPRSL ASSKTRFRWIQESSSQKNVPPFGLDGVYISEPCPSYCSGHGDCISGVCFCDLGYTAAQGT CVSNTPNHSEMFDRFEGKLSPLWYKITGGQVGTGCGTLNDGRSLYFNGLGKREARTVPLD TRNIRLVQFYIQIGSKTSGITCIKPRARNEGLVVQYSNDNGILWHLLRELDFMSFLEPQI ISIDLPREAKTPATAFRWWQPQHGKHSAQWALDDVLIGVNDSSQTGFQDKFDGSIDLQAN WYRIQGGQVDIDCLSMDTALIFTENIGKPRYAETWDFHVSASSFLQFEMNMGCSKPFSGA HGIQLQYSLNNGKDWQLVTEECVPPTIGCVHYTESSTYTSERFQNWRRVTVYLPLATNSP RTRFRWIQTNYTVGADSWAIDNVILASGCPWMCSGRGICDSGRCVCDRGFGGPFCVPVVP LPSILKDDFNGNLHPDLWPEVYGAERGNLNGETIKSGTCLIFKGEGLRMLISRDLDCTNT MYVQFSLRFIAKGTPERSHSILLQFSVSGGVTWHLMDEFYFPQTTSILFINVPLPYGAQT NATRFRLWQPYNNGKKEEIWIIDDFIIDGNNLNNPVLLLDTFDFGPREDNWFFYPGGNIG LYCPYSSKGAPEEDSAMVFVSNEVGEHSITTRDLSVNENTIIQFEINVGCSTDSSSADPV RLEFSRDFGATWHLLLPLCYHSSSLVSSLCSTEHHPSSTYYAGTTQGWRREVVHFGKLHL CGSVRFRWYQGFYPAGSQPVTWAIDNVYIGPQCEEMCYGHGSCINGTKCICDPGYSGPTC KISTKNPDFLKDDFEGQLESDRFLLMSGGKPSRKCGILSSGNNLFFNEDGLRMLVTRDLD LSHARFVQFFMRLGCGKGVPDPRSQPVLLQYSLNGGLSWSLLQEFLFSNSSNVGRYIALE MPLKARSGSTRLRWWQPSENGHFYSPWVIDQILIGGNISGNTVLEDDFSTLDSRKWLLHP GGTKMPVCGSTGDALVFIEKASTRYVVTTDIAVNEDSFLQIDFAASCSVTDSCYAIELEY SVDLGLSWHPLVRDCLPTNVECSRYHLQRILVSDTFNKWTRITLPLPSYTRSQATRFRWH QPAPFDKQQTWAIDNVYIGDGCLDMCSGHGRCVQGSCVCDEQWGGLYCDEPETSLPTQLK DNFNRAPSNQNWLTVSGGKLSTVCGAVASGLALHFSGGCSRLLVTVDLNLTNAEFIQFYF MYGCLITPSNRNQGVLLEYSVNGGITWNLLMEIFYDQYSKPGFVNILLPPDAKEIATRFR WWQPRHDGLDQNDWAIDNVLISGSADQRTVMLDTFSSAPVPQHERSPADAGPVGRIAFEM FLEDKTSVNENWLFHDDCTVERFCDSPDGVMLCGSHDGREVYAVTHDLTPTENWIMQFKI SVGCKVPEKIAQNQIHVQFSTDFGVSWSYLVPQCLPADPKCSGSVSQPSVFFPTEGWKRI TYPLPESLTGNPVRFRFYQKYSDVQWAIDNFYLGPGCLDNCGGHGDCLKEQCICDPGYSG PNCYLTHSLKTFLKERFDSEEIKPDLWMSLEGGSTCTECGVLAENTALYFGGSTVRQAIT QDLDLRGAKFLQYWGRIGSENNMTSCHRPVCRKEGVLLDFSTDGGITWTLLHEMDFQKYI SVRHDYILLPEGALTNTTRLRWWQPFVISNGLVVSGVERAQWALDNILIGGAEINPSQLV DTFDDEGSSHEENWSFYPNAVRTAGFCGNPSFHLYWPNKKKDKTHNALSSRELIIQPGYM MQFKIVVGCEATSCGDLHSVMLEYTKDARSDSWQLVQTQCLPSSSNSIGCSPFQFHEATI YNAVNSSSWKRITIQLPDHVSSSATQFRWIQKGEETEKQSWAIDHVYIGEACPKLCSGHG YCTTGAVCICDESFQGDDCSVFSHELPSYIKDNFESARVTEANWETIQGGVIGSGCGQLA PYAHGDSLYFNGCQIRQAATKPLDLTRASKIMFVLQIGSPAQTDSCNSDLSGPHTVDKAV LLQYSVNNGITWHVIAQHQPKDFTQAQRVSYNVPLEARMKGVLLRWWQPRHNGTGHDQWA LDHVEVVLVSTRKQNYMMNFSRQHGLRHFYNRRRRSLRRYP >ENSMUSP00000058025.7 pep:known chromosome:GRCm38:5:21885250:22344690:-1 gene:ENSMUSG00000042453.14 transcript:ENSMUST00000062372.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reln description:reelin [Source:MGI Symbol;Acc:MGI:103022] MERGCWAPRALVLAVLLLLATLRARAATGYYPRFSPFFFLCTHHGELEGDGEQGEVLISL HIAGNPTYYVPGQEYHVTISTSTFFDGLLVTGLYTSTSIQSSQSIGGSSAFGFGIMSDHQ FGNQFMCSVVASHVSHLPTTNLSFVWIAPPAGTGCVNFMATATHRGQVIFKDALAQQLCE QGAPTEATAYSHLAEIHSDSVILRDDFDSYQQLELNPNIWVECSNCEMGEQCGTIMHGNA VTFCEPYGPRELTTTCLNTTTASVLQFSIGSGSCRFSYSDPSITVSYAKNNTADWIQLEK IRAPSNVSTVIHILYLPEEAKGESVQFQWKQDSLRVGEVYEACWALDNILVINSAHREVV LEDNLDPVDTGNWLFFPGATVKHSCQSDGNSIYFHGNEGSEFNFATTRDVDLSTEDIQEQ WSEEFESQPTGWDILGAVVGADCGTVESGLSLVFLKDGERKLCTPYMDTTGYGNLRFYFV MGGICDPGVSHENDIILYAKIEGRKEHIALDTLTYSSYKVPSLVSVVINPELQTPATKFC LRQKSHQGYNRNVWAVDFFHVLPVLPSTMSHMIQFSINLGCGTHQPGNSVSLEFSTNHGR SWSLLHTECLPEICAGPHLPHSTVYSSENYSGWNRITIPLPNAALTRDTRIRWRQTGPIL GNMWAIDNVYIGPSCLKFCSGRGQCTRHGCKCDPGFSGPACEMASQTFPMFISESFGSAR LSSYHNFYSIRGAEVSFGCGVLASGKALVFNKDGRRQLITSFLDSSQSRFLQFTLRLGSK SVLSTCRAPDQPGEGVLLHYSYDNGITWKLLEHYSYVNYHEPRIISVELPDDARQFGIQF RWWQPYHSSQGEDVWAIDEIVMTSVLFNSISLDFTNLVEVTQSLGFYLGNVQPYCGHDWT LCFTGDSKLASSMRYVETQSMQIGASYMIQFSLVMGCGQKYTPHMDNQVKLEYSANHGLT WHLVQEECLPSMPSCQEFTSASIYHASEFTQWRRVTVVLPQKTWSGATRFRWSQSYYTAQ DEWALDNIYIGQQCPNMCSGHGSCDHGVCRCDQGYQGTECHPEAALPSTIMSDFENPSSW ESDWQEVIGGEVVKPEQGCGVVSSGSSLYFSKAGKRQLVSWDLDTSWVDFVQFYIQIGGE SAACNKPDSREEGILLQYSNNGGIQWHLLAEMYFSDFSKPRFVYLELPAAAKTPCTRFRW WQPVFSGEDYDQWAVDDIIILSEKQKQVIPVVNPTLPQNFYEKPAFDYPMNQMSVWLMLA NEGMAKNDSFCATTPSAMVFGKSDGDRFAVTRDLTLKPGYVLQFKLNIGCTSQFSSTAPV LLQYSHDAGMSWFLVKEGCFPASAGKGCEGNSRELSEPTVYYTGDFEEWTRITIAIPRSL ASSKTRFRWIQESSSQKNVPPFGLDGVYISEPCPSYCSGHGDCISGVCFCDLGYTAAQGT CVSNTPNHSEMFDRFEGKLSPLWYKITGGQVGTGCGTLNDGRSLYFNGLGKREARTVPLD TRNIRLVQFYIQIGSKTSGITCIKPRARNEGLVVQYSNDNGILWHLLRELDFMSFLEPQI ISIDLPREAKTPATAFRWWQPQHGKHSAQWALDDVLIGVNDSSQTGFQDKFDGSIDLQAN WYRIQGGQVDIDCLSMDTALIFTENIGKPRYAETWDFHVSASSFLQFEMNMGCSKPFSGA HGIQLQYSLNNGKDWQLVTEECVPPTIGCVHYTESSTYTSERFQNWRRVTVYLPLATNSP RTRFRWIQTNYTVGADSWAIDNVILASGCPWMCSGRGICDSGRCVCDRGFGGPFCVPVVP LPSILKDDFNGNLHPDLWPEVYGAERGNLNGETIKSGTCLIFKGEGLRMLISRDLDCTNT MYVQFSLRFIAKGTPERSHSILLQFSVSGGVTWHLMDEFYFPQTTSILFINVPLPYGAQT NATRFRLWQPYNNGKKEEIWIIDDFIIDGNNLNNPVLLLDTFDFGPREDNWFFYPGGNIG LYCPYSSKGAPEEDSAMVFVSNEVGEHSITTRDLSVNENTIIQFEINVGCSTDSSSADPV RLEFSRDFGATWHLLLPLCYHSSSLVSSLCSTEHHPSSTYYAGTTQGWRREVVHFGKLHL CGSVRFRWYQGFYPAGSQPVTWAIDNVYIGPQCEEMCYGHGSCINGTKCICDPGYSGPTC KISTKNPDFLKDDFEGQLESDRFLLMSGGKPSRKCGILSSGNNLFFNEDGLRMLVTRDLD LSHARFVQFFMRLGCGKGVPDPRSQPVLLQYSLNGGLSWSLLQEFLFSNSSNVGRYIALE MPLKARSGSTRLRWWQPSENGHFYSPWVIDQILIGGNISGNTVLEDDFSTLDSRKWLLHP GGTKMPVCGSTGDALVFIEKASTRYVVTTDIAVNEDSFLQIDFAASCSVTDSCYAIELEY SVDLGLSWHPLVRDCLPTNVECSRYHLQRILVSDTFNKWTRITLPLPSYTRSQATRFRWH QPAPFDKQQTWAIDNVYIGDGCLDMCSGHGRCVQGSCVCDEQWGGLYCDEPETSLPTQLK DNFNRAPSNQNWLTVSGGKLSTVCGAVASGLALHFSGGCSRLLVTVDLNLTNAEFIQFYF MYGCLITPSNRNQGVLLEYSVNGGITWNLLMEIFYDQYSKPGFVNILLPPDAKEIATRFR WWQPRHDGLDQNDWAIDNVLISGSADQRTVMLDTFSSAPVPQHERSPADAGPVGRIAFEM FLEDKTSVNENWLFHDDCTVERFCDSPDGVMLCGSHDGREVYAVTHDLTPTENWIMQFKI SVGCKVPEKIAQNQIHVQFSTDFGVSWSYLVPQCLPADPKCSGSVSQPSVFFPTEGWKRI TYPLPESLTGNPVRFRFYQKYSDVQWAIDNFYLGPGCLDNCGGHGDCLKEQCICDPGYSG PNCYLTHSLKTFLKERFDSEEIKPDLWMSLEGGSTCTECGVLAENTALYFGGSTVRQAIT QDLDLRGAKFLQYWGRIGSENNMTSCHRPVCRKEGVLLDFSTDGGITWTLLHEMDFQKYI SVRHDYILLPEGALTNTTRLRWWQPFVISNGLVVSGVERAQWALDNILIGGAEINPSQLV DTFDDEGSSHEENWSFYPNAVRTAGFCGNPSFHLYWPNKKKDKTHNALSSRELIIQPGYM MQFKIVVGCEATSCGDLHSVMLEYTKDARSDSWQLVQTQCLPSSSNSIGCSPFQFHEATI YNAVNSSSWKRITIQLPDHVSSSATQFRWIQKGEETEKQSWAIDHVYIGEACPKLCSGHG YCTTGAVCICDESFQGDDCSVFSHELPSYIKDNFESARVTEANWETIQGGVIGSGCGQLA PYAHGDSLYFNGCQIRQAATKPLDLTRASKIMFVLQIGSPAQTDSCNSDLSGPHTVDKAV LLQYSVNNGITWHVIAQHQPKDFTQAQRVSYNVPLEARMKGVLLRWWQPRHNGTGHDQWA LDHVEVVLTRKQNYMMNFSRQHGLRHFYNRRRRSLRRYP >ENSMUSP00000124077.1 pep:known chromosome:GRCm38:5:22060313:22134669:-1 gene:ENSMUSG00000042453.14 transcript:ENSMUST00000162876.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Reln description:reelin [Source:MGI Symbol;Acc:MGI:103022] XGEQCGTIMHGNAVTFCEPYGPRELTTTCLNTTTASVLQFSIEPLPM >ENSMUSP00000056287.3 pep:known chromosome:GRCm38:3:92456502:92458720:-1 gene:ENSMUSG00000045539.3 transcript:ENSMUST00000058142.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr3 description:small proline-rich protein 3 [Source:MGI Symbol;Acc:MGI:1330237] MSSYQQKQPFVPPPQPEQHQVKQPCQPPPQGKFVPIATSEPCHTDVPQPGNTKIPEPCST KVPEPGNTVVLEPDYTTMPGPCSTNITEPDYTTIPGPCSTNITEPDYTTIPGPCSTNIPG PDRTVVPGSCSTNITEPDYTTIPGPSSTKIPDPGCAMVPGPSPSSTSEPSSEPCSINVRE PGYMNASEPTHAKVPDQGYTKIPDQGSSKVPEPCQSRVPEVCPPTVTPVSAKQKTKQK >ENSMUSP00000101530.1 pep:known chromosome:GRCm38:4:133220810:133224555:1 gene:ENSMUSG00000028865.13 transcript:ENSMUST00000105910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd164l2 description:CD164 sialomucin-like 2 [Source:MGI Symbol;Acc:MGI:1916905] MAAPGPRALRAALCGGCCCLLLCAQLVLAGKGARGFGRGALLRLNVWPTTQGGCKHLGHC EHCVDRAHNFSICVWQQCGPEEPGHCVAQAEVVKEGCSIYNHSESCPASHHHSTEEPKPS TTGSPPIPEDHSPGFDGASFIGGIVLVLSLQATAFFVLRFLKAKDSTYQTLI >ENSMUSP00000099771.3 pep:known chromosome:GRCm38:2:69238282:69342614:-1 gene:ENSMUSG00000027048.15 transcript:ENSMUST00000102710.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb11 description:ATP-binding cassette, sub-family B (MDR/TAP), member 11 [Source:MGI Symbol;Acc:MGI:1351619] MSDSVILRSVKKFGEENHAFESDGFHNNDKKSRLQDKKKGEGARVGFFELFRFSSSKDNW LMFMGSVCALLHGMAQPGMIIVFGILTDIFVEYDIERQELSIPEKVCMNNTIVWINSSFN QNMTNGTSCGLVDINSEVIKFSGIYAGVGVAVLILGYFQIRLWVITGARQIRKMRKFYFR RIMRMEIGWFDCTSVGELNSRFSDDINKIDEAIADQMALFLQRLSTALSGLLLGFYRGWK LTLVILAVSPLIGIGAAVIGLSVAKFTELELKAYAKAGSIADEVLSSIRTVAAFGGENKE VERYEKNLMFAQRWGIWKGMVMGFFTGYMWCLIFFCYALAFWYGSRLVLDEGEYTPGTLI QIFLCVIIAAMNIGNASSCLEIFSTGCSAASSIFQTIDRQPVMDCMSGDGYKLDRIKGEI EFHNVTFHYPSRPEVKILNNLSMVIKPGETTAFVGSSGAGKSTALQLIQRFYDPCEGMVT LDGHDIRSLNIRWLRDQIGIVEQEPVLFSTTIAENIRLGREEATMEDIVQAAKDANAYNF IMALPQQFDTLVGEGGGQMSGGQKQRVAIARALIRKPKILLLDMATSALDNESEAKVQGA LNKIQHGHTIISVAHRLSTVRSADVIIGFEHGTAVERGTHEELLERKGVYFMLVTLQSQE DNTHKETGIKGKDTTEGDTPERTFSRGSYQDSLRASIRQRSKSQLSHLSHEPPLAIGDHK SSYEDRKDNDVLVEEVEPAPVRRILKYNISEWPYILVGALCAAINGAVTPIYSLLFSQIL KTFSLVDKEQQRSEIYSMCLFFVILGCVSLFTQFLQGYNFAKSGELLTKRLRKFGFKAML RQDIGWFDDLKNNPGVLTTRLATDASQVQGATGSQVGMMVNSFTNIFVAVLIAFLFNWKL SLVISVFFPFLALSGAVQTKMLTGFASQDKEILEKAGQITNEALSNIRTVAGIGVEGRFI KAFEVELEKSYKTAIRKANVYGLCYAFSQGISFLANSAAYRYGGYLIVYEDLNFSYVFRV VSSIAMSATAVGRTFSYTPSYAKAKISAARFFQLLDRKPPIDVYSGAGEKWDNFQGKIDF IDCKFTYPSRPDIQVLNGLSVSVDPGQTLAFVGSSGCGKSTSIQLLERFYDPDQGTVMID GHDSKKVNVQFLRSNIGIVSQEPVLFDCSIMDNIKYGDNTKEISVERAIAAAKQAQLHDF VMSLPEKYETNVGIQGSQLSRGEKQRIAIARAIVRDPKILLLDEATSALDTESEKTVQLA LDKAREGRTCIVIAHRLSTIQNSDIIAVMSQGVVIEKGTHKKLMDQKGAYYKLVITGAPI S >ENSMUSP00000099770.1 pep:known chromosome:GRCm38:2:69238289:69342600:-1 gene:ENSMUSG00000027048.15 transcript:ENSMUST00000102709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb11 description:ATP-binding cassette, sub-family B (MDR/TAP), member 11 [Source:MGI Symbol;Acc:MGI:1351619] MSDSVILRSVKKFGEENHAFESDGFHNNDKKSRLQDKKKGEGARVGFFELFRFSSSKDNW LMFMGSVCALLHGMAQPGMIIVFGILTDIFVEYDIERQELSIPEKVCMNNTIVWINSSFN QNMTNGTSCGLVDINSEVIKFSGIYAGVGVAVLILGYFQIRLWVITGARQIRKMRKFYFR RIMRMEIGWFDCTSVGELNSRFSDDINKIDEAIADQMALFLQRLSTALSGLLLGFYRGWK LTLVILAVSPLIGIGAAVIGLSVAKFTELELKAYAKAGSIADEVLSSIRTVAAFGGENKE VERYEKNLMFAQRWGIWKGMVMGFFTGYMWCLIFFCYALAFWYGSRLVLDEGEYTPGTLI QIFLCVIIAAMNIGNASSCLEIFSTGCSAASSIFQTIDRQPVMDCMSGDGYKLDRIKGEI EFHNVTFHYPSRPEVKILNNLSMVIKPGETTAFVGSSGAGKSTALQLIQRFYDPCEGMVT LDGHDIRSLNIRWLRDQIGIVEQEPVLFSTTIAENIRLGREEATMEDIVQAAKDANAYNF IMALPQQFDTLVGEGGGQMSGGQKQRVAIARALIRKPKILLLDMATSALDNESEAKVQGA LNKIQHGHTIISVAHRLSTVRSADVIIGFEHGTAVERGTHEELLERKGVYFMLVTLQSQE DNTHKETGIKGKDTTEGDTPERTFSRGSYQDSLRASIRQRSKSQLSHLSHEPPLAIGDHK SSYEDRKDNDVLVEEVEPAPVRRILKYNISEWPYILVGALCAAINGAVTPIYSLLFSQIL KTFSLVDKEQQRSEIYSMCLFFVILGCVSLFTQFLQGYNFAKSGELLTKRLRKFGFKAML RQDIGWFDDLKNNPGVLTTRLATDASQVQGATGSQVGMMVNSFTNIFVAVLIAFLFNWKL SLVISVFFPFLALSGAVQTKMLTGFASQDKEILEKAGQITNEALSNIRTVAGIGVEGRFI KAFEVELEKSYKTAIRKANVYGLCYAFSQGISFLANSAAYRYGGYLIVYEDLNFSYVFRV VSSIAMSATAVGRTFSYTPSYAKAKISAARFFQLLDRKPPIDVYSGAGEKWDNFQGKIDF IDCKFTYPSRPDIQVLNGLSVSVDPGQTLAFVGSSGCGKSTSIQLLERFYDPDQGTVMID GHDSKKVNVQFLRSNIGIVSQEPVLFDCSIMDNIKYGDNTKEISVERAIAAAKQAQLHDF VMSLPEKYETNVGIQGSQLSRGEKQRIAIARAIVRDPKILLLDEATSALDTESEKTVQLA LDKAREGRTCIVIAHRLSTIQNSDIIAVMSQGVVIEKGTHKKLMDQKGAYYKLVITGAPI S >ENSMUSP00000137017.1 pep:known chromosome:GRCm38:2:69238289:69342616:-1 gene:ENSMUSG00000027048.15 transcript:ENSMUST00000180142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb11 description:ATP-binding cassette, sub-family B (MDR/TAP), member 11 [Source:MGI Symbol;Acc:MGI:1351619] MSDSVILRSVKKFGEENHAFESDGFQNNSEKHHLQDKKKGEGARVGFFELFRFSSSKDNW LMFMGSVCALLHGMAQPGMIIVFGILTDIFVEYDIERQELSIPEKVCMNNTIVWINSSFN QNMTNGTSCGLVDINSEVIKFSGIYAGVGVAVLILGYFQIRLWVITGARQIRKMRKFYFR RIMRMEIGWFDCTSVGELNSRFSDDINKIDEAIADQMALFLQRLSTALSGLLLGFYRGWK LTLVILAVSPLIGIGAAVIGLSVAKFTELELKAYAKAGSIADEVLSSIRTVAAFGGENKE VERYEKNLMFAQRWGIWKGMVMGFFTGYMWCLIFFCYALAFWYGSRLVLDEGEYTPGTLI QIFLCVIIAAMNIGNASSCLEIFSTGCSAASSIFQTIDRQPVMDCMSGDGYKLDRIKGEI EFHNVTFHYPSRPEVKILNNLSMVIKPGETTAFVGSSGAGKSTALQLIQRFYDPCEGMVT LDGHDIRSLNIRWLRDQIGIVEQEPVLFSTTIAENIRLGREEATMEDIVQAAKDANAYNF IMALPQQFDTLVGEGGGQMSGGQKQRVAIARALIRKPKILLLDMATSALDNESEAKVQGA LNKIQHGHTIISVAHRLSTVRSADVIIGFEHGTAVERGTHEELLERKGVYFMLVTLQSQE DNTHKETGIKGKDTTEGDTPERTFSRGSYQDSLRASIRQRSKSQLSHLSHEPPLAIGDHK SSYEDRKDNDVLVEEVEPAPVRRILKYNISEWPYILVGALCAAINGAVTPIYSLLFSQIL KTFSLVDKEQQRSEIYSMCLFFVILGCVSLFTQFLQGYNFAKSGELLTKRLRKFGFKAML RQDIGWFDDLKNNPGVLTTRLATDASQVQGATGSQVGMMVNSFTNIFVAVLIAFLFNWKL SLVISVFFPFLALSGAVQTKMLTGFASQDKEILEKAGQITNEALSNIRTVAGIGVEGRFI KAFEVELEKSYKTAIRKANVYGLCYAFSQGISFLANSAAYRYGGYLIVYEDLNFSYVFRV VSSIAMSATAVGRTFSYTPSYAKAKISAARFFQLLDRKPPIDVYSGAGEKWDNFQGKIDF IDCKFTYPSRPDIQVLNGLSVSVDPGQTLAFVGSSGCGKSTSIQLLERFYDPDQGTVMID GHDSKKVNVQFLRSNIGIVSQEPVLFDCSIMDNIKYGDNTKEISVERAIAAAKQAQLHDF VMSLPEKYETNVGIQGSQLSRGEKQRIAIARAIVRDPKILLLDEATSALDTESEKTVQLA LDKAREGRTCIVIAHRLSTIQNSDIIAVMSQGVVIEKGTHKKLMDQKGAYYKLVITGAPI S >ENSMUSP00000067421.6 pep:known chromosome:GRCm38:4:56866923:56947437:-1 gene:ENSMUSG00000055296.14 transcript:ENSMUST00000068792.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem245 description:transmembrane protein 245 [Source:MGI Symbol;Acc:MGI:2445107] MADRGGPAEAPSPRGSPRPESRAPRTVGPGETPRTAALALRFDKPIKQAFYNTGAVLFVC LCCGAAVLVYFILEAFLRPLLWAVLCGTFLHPFKSSLTRLGRLWLRRLHRAHTPIVLAAL LLPLCFADYGVEALGEQALRRRRLLLLLGAGGPLLYGLYCLGSYLGVQVLLAHAGALICR GLDYFSSLWIWTLVVGYVLMVSFKWNASTQRYLRAVSIPVWMILLFHIASLAGSWRIPVF LVIVFLMSVGTLYEKQNEKESAGAELPGQVISMAASTLANLAISITGYESSTEDQPSDPP TEPTDKGEPPPALSASSSSSSRSSPSSPSPTLGRQRPEMGTFLRKKKTSDIYFVSLVWAI IAVQLWLNLWIVQLLPVPVAVWIIKKLVIHFGVVGFLEKRCHAWWQVIECFLKERQEALA PWPIIGLGKFLLKVDSKLWHWLNKKMIIWLEKMLDKIISIFIIFLLVIGTLLLALLLTAK VHQESVHMIEVTSSLINETLANHPEWANWLPEAQVVQRALNSAANNVYQYGREWITHKLH KILGDKVNNTAVIEKQVLELWDRLYHSWFVKNVTHSGRHKGHKMHVSRQNSWLGDILDWQ DIASFVHENIETFLSILESLWIVMSRNVSLLFTTVTTLLTILFYSGTALLNFVLSLIIFL TTLFYLLSSSDEYYKPVKWVISLTPLSQPGPSSNIIGQSVEEAIRGVFDASLKMAGFYGL YTWLTHTIFGINIVFIPSALAAILGAVPFLGTYWAAVPAVLDLWLTQGLGCKAILLLVFH LLPTYFVDTAIYSDISGGGHPYLTGLAVAGGAYYLGLEGAIIGPILLCILVVASNIYSAM LVSPTNSMPTPNQTPWPAQTQRTFRDISEDLKSSVD >ENSMUSP00000117449.1 pep:known chromosome:GRCm38:4:56879876:56923458:-1 gene:ENSMUSG00000055296.14 transcript:ENSMUST00000132816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem245 description:transmembrane protein 245 [Source:MGI Symbol;Acc:MGI:2445107] EKRCHAWWQVIECFLKERQEALAPWPIIGLGKFLLKVDSKMIIWLEKMLDKIISIFIIFL LVIGTLLLALLLTAKVHQESVHMIEVTSSLINETLANHPEWANWLPEAQVVQRALNSAAN NVYQYGREWITHKLHKILGDKVNNTAVIEKQVLELWDRLYHSWFVKNVTHSGRHKGHKMH VSRQNSWLGDILDWQDIASFVHENIETFLSILESLWIVMSRNVSLLFTTVTTLLTILFYS GTALLNFVLSLIIFLTTLFYLLSSSDEYYKPVKWVISLTPLSQPGPSSNIIGQSVEEAIR GVFDASLKMAGFYGLYTWLTHTIFGINIVFIPSALAAILGAVPFLGTYWAAVPAVLDLWL TQGLGCKAILLLVFHLLPTYFVDTAIYSDISGGGHPYLTGLAVAGGAYYLGLEGAIIGPI LLCILVVASNIYSAMLVSPTNSMPTPNQTPWPAQTQRTFRDISEDLKSSVD >ENSMUSP00000103234.3 pep:known chromosome:GRCm38:4:56880247:56947411:-1 gene:ENSMUSG00000055296.14 transcript:ENSMUST00000107609.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem245 description:transmembrane protein 245 [Source:MGI Symbol;Acc:MGI:2445107] MADRGGPAEAPSPRGSPRPESRAPRTVGPGETPRTAALALRFDKPIKQAFYNTGAVLFVC LCCGAAVLVYFILEAFLRPLLWAVLCGTFLHPFKSSLTRLGRLWLRRLHRAHTPIVLAAL LLPLCFADYGVEALGEQALRRRRLLLLLGAGGPLLYGLYCLGSYLGVQVLLAHAGALICR GLDYFSSLWIWTLVVGYVLMVSFKWNASTQRYLRAVSIPVWMILLFHIASLAGSWRIPVF LVIVFLMSVGTLYEKQNEKESAGAELPGQVISMAASTLANLAISITGYESSTEDQPSDPP TEPTDKGEPPPALSASSSSSSRSSPSSPSPTLGRQRPEMGTFLRKKKTSDIYFVSLVWAI IAVQLWLNLWIVQLLPVPVAVWIIKKLVIHFGVVGFLEKRCHAWWQVIECFLKERQEALA PWPIIGLGKFLLKVDSKMIIWLEKMLDKIISIFIIFLLVIGTLLLALLLTAKVHQESVHM IEVTSSLINETLANHPEWANWLPEAQVVQRALNSAANNVYQYGREWITHKLHKILGDKVN NTAVIEKQVLELWDRLYHSWFVKNVTHSGRHKGHKMHVSRQNSWLGDILDWQDIASFVHE NIETFLSILSFGFQIWKMKILESLWIVMSRNVSLLFTTVTTLLTILFYSGTALLNFVLSL IIFLTTLFYLLSSSDEYYKPVKWVISLTPLSQPGPSSNIIGQSVEEAIRGVFDASLKMAG FYGLYTWLTHTIFGINIVFIPSALAAILGAVPFLGTYWAAVPAVLDLWLTQGLGCKAILL LVFHLLPTYFVDTAIYSDISGGGHPYLTGLAVAGGAYYLGLEGAIIGPILLCILVVASNI YSAMLVSPTNSMPTPNQTPWPAQTQRTFRDISEDLKSSVD >ENSMUSP00000145740.1 pep:known chromosome:GRCm38:7:4363965:4397744:-1 gene:ENSMUSG00000078810.4 transcript:ENSMUST00000206928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gp6 description:glycoprotein 6 (platelet) [Source:MGI Symbol;Acc:MGI:1889810] MSPASPTFFCIGLCVLQVIQTQSGPLPKPSLQAQPSSLVPLGQSVILRCQGPPDVDLYRL EKLKPEKYEDQDFLFIPTMERSNAGRYRCSYQNGSHWSLPSDQLELIATGVYAKPSLSAH PSSAVPQGRDVTLKCQSPYSFDEFVLYKEGDTGSYKRPEKWYRANFPIITVTAAHSGTYR CYSFSSSSPYLWSAPSDPLVLVVTGLSATPSQVPTEESFPVTESSRRPSILPTNKISTTE KPMNITASPEGLSPPFGFAHQHYAKGNLVRICLGATIIIILLGLLAEDWHSRKKCLQHRM RALQRPLPPLPLA >ENSMUSP00000104231.3 pep:known chromosome:GRCm38:7:4368833:4397728:-1 gene:ENSMUSG00000078810.4 transcript:ENSMUST00000108590.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gp6 description:glycoprotein 6 (platelet) [Source:MGI Symbol;Acc:MGI:1889810] MSPASPTFFCIGLCVLQVIQTQSGPLPKPSLQAQPSSLVPLGQSVILRCQGPPDVDLYRL EKLKPEKYEDQDFLFIPTMERSNAGRYRCSYQNGSHWSLPSDQLELIATGVYAKPSLSAH PSSAVPQGRDVTLKCQSPYSFDEFVLYKEGDTGSYKRPEKWYRANFPIITVTAAHSGTYR CYSFSSSSPYLWSAPSDPLVLVVTGLSATPSQVPTEESFPVTEKPMNITASPEGLSPPFG FAHQHYAKGNLVRICLGATIIIILLGLLAEDWHSRKKCLQHRMRALQRPLPPLPLA >ENSMUSP00000121493.1 pep:known chromosome:GRCm38:15:102116528:102136234:-1 gene:ENSMUSG00000036966.15 transcript:ENSMUST00000154032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spryd3 description:SPRY domain containing 3 [Source:MGI Symbol;Acc:MGI:2446175] MRRTRRPRFVLMNKMDDLNLHYRFLNWRRRIREIREVRAFRYQERFKHILVDGDTLSYHG NSGEVGCYVASRPLTKDSNYFEVSIVDSGVRGTIAVGLVPQYYSLDHQPGWLPDSVAYHA DDGKLYNGRAKGRQFGSKCNSGDRIGCGIEPVSFDVQTAQIFFTKNGKRVGSTIMPMSPD GLFPAVGMHSLGEEVRLHLNAELGREDDSVMMVDSYEDEWGRLHDVRVCGTLLEYLGKGK SIVDVGLAQARHPLSTRSHYFEVEIVDPGEKCYIALGLARKDYPKNRHPGWSRGSVAYHA DDGKIFHGSGVGDPFGPRCYKGDIMGCGIMFPRDYILDSEGDSDDSCDTVILSPTARAVR NVRNVMYLHQEGEEEEEEEEEEEDGEEIEQEHEGKKVVVFFTRNGKIIGKKDAVVPSGGF FPTIGMLSCGEKVKVDLHPLSG >ENSMUSP00000010241.6 pep:known chromosome:GRCm38:19:8757073:8770922:1 gene:ENSMUSG00000010097.13 transcript:ENSMUST00000010241.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf1 description:nuclear RNA export factor 1 [Source:MGI Symbol;Acc:MGI:1858330] MADEGKSYNEHDDRVSFPQRRKKGRGPFRWKCGEGNRRSGRGGSGVQSSRFEEDDGDVAM NDPQDGPRVRYNPYTNRPNRRGDGWHDRDRIHITVRRDRAPAERGGAGTSQDGTTKNWFK ITIPYGRKYDKTWLLSMIQSKCSVPFNPIEFHYENTRAHFFVEDATTASALKGVNHKIQD RENRRISIIINASAPPYTVQNELKPEQIEQLKLIMSKRYDGNQQALDLKGLRSDPDLVAQ NIDVVLNRRSCMAATLRIIEENIPELLSLNLSSNRLYKLDDMSSIVQKAPNLKTLNLSGN ELKTERELDKIKGLKLEELWLDRNPMCDNFGDQSSYISAIRERFPKLLRLDGHELPPPIS FDVEAPTMLPPCKGSYFGTENLKSLVLRFLQQYYVIYDSGDRQGLLYAYHDGACCSLSIP YNPQNPVRKNLAEYVKDSRNVKKLKEPTQRFRLLKHTRLNVVAFLNELPKTQHDVNAFVV DISAQTSTLLCFSVNGVFKEVDGKSRDSLRAFTRTFIAVPASNSGLCIVNDELFVRNASP EEIQRAFAMSAPTPSSSPVPTLSPEQQEMLQAFSTQSGMNLEWSQKCLQDNNWDYTRSAQ AFTLLKAKGEIPEVAFMK >ENSMUSP00000139124.1 pep:known chromosome:GRCm38:19:8757107:8772456:1 gene:ENSMUSG00000010097.13 transcript:ENSMUST00000184970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf1 description:nuclear RNA export factor 1 [Source:MGI Symbol;Acc:MGI:1858330] MADEGKSYNEHDDRVSFPQRRKKGRGPFRWKCGEGNRRSGRGGSGVQSSRFEEDDGDVAM NDPQDGPRVRYNPYTNRPNRRGDGWHDRDRIHITVRRDRAPAERGGAGTSQDGTTKNWFK ITIPYGRKYDKTWLLSMIQSKCSVPFNPIEFHYENTRAHFFVEDATTASALKGVNHKIQD RENRRISIIINASAPPYTVQNELKPEQIEQLKLIMSKRYDGNQQALDLKGLRSDPDLVAQ NIDVVLNRRSCMAATLRIIEENIPELLSLNLSSNRLYKLDDMSSIVQKAPNLKTLNLSGN ELKTERELDKIKGLKLEELWLDRNPMCDNFGDQSSYIRSVVASVSPPGDIHPWEAELMHP DQCPSTGEHAALSWNHLFFGRRIMYSFLPVCCLLALSQISSFFSPDFCSLVLAFLLLS >ENSMUSP00000139050.1 pep:known chromosome:GRCm38:19:8757150:8763739:1 gene:ENSMUSG00000010097.13 transcript:ENSMUST00000184756.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nxf1 description:nuclear RNA export factor 1 [Source:MGI Symbol;Acc:MGI:1858330] MADEGKSYNEHDDRVSFPQRRKKGRGPFRWKCGEGNRRSGRGGSGVQSSRFEEDDGDVAM NDPQDGPRQSLYQPA >ENSMUSP00000139351.1 pep:known chromosome:GRCm38:19:8757150:8765291:1 gene:ENSMUSG00000010097.13 transcript:ENSMUST00000183939.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf1 description:nuclear RNA export factor 1 [Source:MGI Symbol;Acc:MGI:1858330] MIQSKCSVPFNPIEFHYENTRAHFFVEDATTASALKGVNHKIQDRENRRISIIINASAPP YTVQNELKPEQIEQLKLIMSKRYDGNQQALDLKGLRSDPDLVAQNIDVVLNRRSCMAATL RIIEENIPELLSLNLSSNRLYKLDDMSSIVQKAPNLKTLNLSGNELKTERELDKIKGLKL EELWLDRNPMCDNFGDQSSYIRSVVASVSPPGDIHPWEAELMHPDQCPSTGEHAALSWNH LFFGRRIMYSFLPVCCLLALSQISSFFSPDFCSLVLAFLLLS >ENSMUSP00000139090.1 pep:known chromosome:GRCm38:19:8764934:8767396:1 gene:ENSMUSG00000010097.13 transcript:ENSMUST00000184663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf1 description:nuclear RNA export factor 1 [Source:MGI Symbol;Acc:MGI:1858330] RELDKIKGLKLEELWLDRNPMCDNFGDQSSYISAIRERFPKLLRLDGHELPPPISFDVEA PTMLPPCKQYYVIYDSGDR >ENSMUSP00000139229.1 pep:known chromosome:GRCm38:19:8768635:8770849:1 gene:ENSMUSG00000010097.13 transcript:ENSMUST00000184826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf1 description:nuclear RNA export factor 1 [Source:MGI Symbol;Acc:MGI:1858330] XIAVPASNSGPEEIQRAFAMSAPTPSSSPVPTLSPEQQEMLQAFSTQSGMNLEWSQKCLQ DNNWDYTRSAQAFTLLKAKGEIPEVAFMK >ENSMUSP00000010248.3 pep:known chromosome:GRCm38:19:8770996:8772475:1 gene:ENSMUSG00000010097.13 transcript:ENSMUST00000010248.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf1 description:nuclear RNA export factor 1 [Source:MGI Symbol;Acc:MGI:1858330] MVASVPLRNVSHLLSVLRSQNVPRYLQNGVPRDVLLFRHERGRFFAILGLFCAGQGIFWT SLAVAALSRPLSRVPAEAPNRSYQDLRSALWRYGLAVGCGTMGVLVLGAGLLYSLRSVRS VMLLAGGQQVTLTTYAPFGLGTCFTVPLNQISCMAHRGEVPAMLPLKVKGRRFYFLLDKA GHFPNTQLFDNTVGAYRSL >ENSMUSP00000099885.3 pep:known chromosome:GRCm38:11:32533305:32624587:1 gene:ENSMUSG00000020272.8 transcript:ENSMUST00000102821.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk10 description:serine/threonine kinase 10 [Source:MGI Symbol;Acc:MGI:1099439] MAFANFRRILRLSTFEKRKSREYEHVRRDLDPNDVWEIVGELGDGAFGKVYKAKNKETGA LAAAKVIETKSEEELEDYIVEIEILATCDHPYIVKLLGAYYYDGKLWIMIEFCPGGAVDA IMLELDRGLTEPQIQVVCRQMLEALNFLHGKRIIHRDLKAGNVLMTLEGDIRLADFGVSA KNLKTLQKRDSFIGTPYWMAPEVVLCETMKDAPYDYKADIWSLGITLIEMAQIEPPHHEL NPMRVLLKIAKSDPPTLLTPSKWSVEFRDFLKIALDKNPETRPSAAQLLQHPFVSRVTSN KALRELVAEAKAEVMEEIEDGREDGEEEDAVDAVPPLVNHTQDSANVTQPSLDSNKLLQD SSTPLPPSQPQEPVSGSCSQPSGDGPLQTTSPADGLSKNDNDLKVPVPLRKSRPLSMDAR IQMDEEKQIPDQDENPSPAASKSQKANQSRPNSSALETLGGEALTNGGLELPSSVTPSHS KRASDCSNLSTSESMDYGTSLSADLSLNKETGSLSLKGSKLHNKTLKRTRRFVVDGVEVS ITTSKIISEDEKKDEEMRFLRRQELRELRLLQKEEHRNQTQLSSKHELQLEQMHKRFEQE INAKKKFYDVELENLERQQKQQVEKMEQDHSVRRKEEAKRIRLEQDRDYAKFQEQLKQMK KEVKSEVEKLPRQQRKESMKQKMEEHSQKKQRLDRDFVAKQKEDLELAMRKLTTENRREI CDKERDCLSKKQELLRDREAALWEMEEHQLQERHQLVKQQLKDQYFLQRHDLLRKHEKER EQMQRYNQRMMEQLKVRQQQEKARLPKIQRSDGKTRMAMYKKSLHINGAGSASEQREKIK QFSQQEEKRQKAERLQQQQKHENQMRDMVAQCESNMSELQQLQNEKCHLLVEHETQKLKA LDESHNQSLKEWRDKLRPRKKALEEDLNQKKREQEMFFKLSEEAEPRPTTPSKASNFFPY SSGDAS >ENSMUSP00000127384.1 pep:known chromosome:GRCm38:14:3652030:3673215:1 gene:ENSMUSG00000079402.9 transcript:ENSMUST00000167430.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3020 description:predicted gene 3020 [Source:MGI Symbol;Acc:MGI:3781198] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIVSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVDQAG HKCPVGKKRGSLRRPARTSVSQVPRNTSVK >ENSMUSP00000108420.3 pep:known chromosome:GRCm38:14:3667518:3669854:1 gene:ENSMUSG00000079402.9 transcript:ENSMUST00000112801.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3020 description:predicted gene 3020 [Source:MGI Symbol;Acc:MGI:3781198] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIVSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVDQAG HKCPVGKKRGSLRRPARTSVSQVPRNTSLK >ENSMUSP00000026989.8 pep:known chromosome:GRCm38:13:54551218:54565435:-1 gene:ENSMUSG00000025871.18 transcript:ENSMUST00000026989.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4833439L19Rik description:RIKEN cDNA 4833439L19 gene [Source:MGI Symbol;Acc:MGI:1921162] MASRQPEVPALAPSGPLGKMSLPIGMCRRAFSYDDALEDPAPMTPPPSDMGSIPWKPVIP ERKYQHLDKTEEGAASVSSLAVTPSPATDSSDKAPVVKAKATHVIMSSLITKQTQESIQR FEQQAGLRDAGYTPHKGLTTEETKYLRVAEALHKLKLQSGETAKEEKHPASAQSTPSSTP HASPKQKSRGWFPSGSSTALPAPNPHTMDPGSGNDRNSADKWSLFGPRPLQKSDSGFAIQ AYKGAPRPSPMEVMRAQATRVGEDPATFKPPKMDVPMVEGKKQPLRTHNLKPRDLNVLTP TGF >ENSMUSP00000119874.1 pep:known chromosome:GRCm38:13:54551223:54565303:-1 gene:ENSMUSG00000025871.18 transcript:ENSMUST00000153065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4833439L19Rik description:RIKEN cDNA 4833439L19 gene [Source:MGI Symbol;Acc:MGI:1921162] MSLPIGMCRRAFSYDDALEDPAPMTPPPSDMGSIPWKPVIPERKYQHLDKTEEGAASVSS LAVTPSPATDSSDKAPVVKAKATHVIMSSLITKQTQESIQRFEQQAGLRDAGYTPHKGLT TEETKYLRVAEALHKLKLQSGETAKEEKHPASAQSTPSSTPHASPKQKSRGWFPSGSSTA LPAPNPHTMDPGSGNDRNSADKWSLFGPRPLQKSDSGFAIQAYKGAPRPSPMEVMRAQAT RVGEDPATFKPPKMDVPMVEGKKQPLRTHNLKPRDLNVLTPTGF >ENSMUSP00000120615.1 pep:known chromosome:GRCm38:13:54556587:54565330:-1 gene:ENSMUSG00000025871.18 transcript:ENSMUST00000143144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4833439L19Rik description:RIKEN cDNA 4833439L19 gene [Source:MGI Symbol;Acc:MGI:1921162] MASRQPEVPALAPSGPLGKMSLPIGMCRRAFSYDDALEDPAPMTPPPSDMGSIPWKPVIP ERKYQHLDKTEEGAASVSSLAVTPSPATDSSDKAPVVKAKATHVIMSSLITKQTQESIQR FEQQAGLRDAGYT >ENSMUSP00000121083.1 pep:known chromosome:GRCm38:13:54561693:54565356:-1 gene:ENSMUSG00000025871.18 transcript:ENSMUST00000132136.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4833439L19Rik description:RIKEN cDNA 4833439L19 gene [Source:MGI Symbol;Acc:MGI:1921162] MASRQPEVPALAPSGPLGKMSLPIGMCRRAFSYDDALEDPAPMTPPPSDMGSIPWKPVIP ERKYQHLDK >ENSMUSP00000121916.1 pep:known chromosome:GRCm38:13:54561708:54565325:-1 gene:ENSMUSG00000025871.18 transcript:ENSMUST00000126295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4833439L19Rik description:RIKEN cDNA 4833439L19 gene [Source:MGI Symbol;Acc:MGI:1921162] MSLPIGMCRRAFSYDDALEDPAPMTPPPSDMGSIPWKPVIPERKY >ENSMUSP00000065282.6 pep:known chromosome:GRCm38:16:45729725:45742955:-1 gene:ENSMUSG00000033157.16 transcript:ENSMUST00000066983.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd10 description:abhydrolase domain containing 10 [Source:MGI Symbol;Acc:MGI:2442422] MAAWAPCRRWGWAAVSFGRHPGLSASLARKPPRAWWLSACRQKASLSFLNRSELPNLAYK RLKGKTPGIIFIPGYLSNMNGIKAVAVEEFCKSLGHAFIRFDYSGIGSSDGNLAECTVGK WRKDVLSILDDVAEGPQILVGSSLGGWLMLHAAIARPEKVIALIGIATAADGLVTQYHAL PVETQKEIEMKGEWTLPSRYNKEGYFRIPYSFIKEAEHHCLLHSPIPVTCPVRLLHGMKD EIVPWQRSLQVADRIVSPDVDVILRKQGDHRMKEKADIHLLICTIDDLIDKLSTVVP >ENSMUSP00000120653.1 pep:known chromosome:GRCm38:16:45731551:45742902:-1 gene:ENSMUSG00000033157.16 transcript:ENSMUST00000128348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd10 description:abhydrolase domain containing 10 [Source:MGI Symbol;Acc:MGI:2442422] XSWEDGGLGPLSEVGLGGCVLRPAPGPERVTSSEAPTGMVALRFDYSGIGSSDGNLAECT VGKWRKDVLSILDDVAEGPQILVGSSLGGWLMLHAAIARPEKVIALIGIATAADGLVTQY HALPVEVLAYSFNPSTWETETQKEIEMKGEWTLPSRYNKEGYFRIPYSFIKEAEHHCLLH SPIPVTCPVRLLHGMKDEIVPWQRSLQVADRIVSPDVDVILRKQGDHRMKEKADIHLLIC TIDDLIDKLSTVVP >ENSMUSP00000064913.6 pep:known chromosome:GRCm38:19:11697055:11711858:1 gene:ENSMUSG00000055933.13 transcript:ENSMUST00000069760.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oosp3 description:oocyte secreted protein 3 [Source:MGI Symbol;Acc:MGI:2684943] MKAFVASGLLLLIFGMWRCSGIEPVSMECDYFTFRVIAKRALFYPDDLIGPDELLLGASC PVTSIRPDELEFYYDIHSCGTFIQHAFDGTIVNTWLTYMPRNISIYAELQLQCVIPRISQ DELDNKQSSDECVGESESIDVGNLDFHPPPQCWFLVLKRYCIICGHFHFPNNWLIPYHGW KDESFQRLWPSLFHR >ENSMUSP00000112874.1 pep:known chromosome:GRCm38:19:11697078:11711874:1 gene:ENSMUSG00000055933.13 transcript:ENSMUST00000119053.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oosp3 description:oocyte secreted protein 3 [Source:MGI Symbol;Acc:MGI:2684943] MPRNISIYAELQLQCVIPRISQDELDNKQSSDECVGESESIDVGNLDFHPPPQCWFLVLK RYCIICGHFHFPNNWLIPYHGWKDESFQRLWPSLFHR >ENSMUSP00000138596.1 pep:known chromosome:GRCm38:17:22739944:22751509:1 gene:ENSMUSG00000098164.1 transcript:ENSMUST00000182063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5493 description:predicted gene 5493 [Source:MGI Symbol;Acc:MGI:3644511] MTASLVNTPQCLLTFRDVAVEFSVEEWECLNCSQRALYTDVMMESYSNLFLLENFLKCEE VLDQGTKHIAHKHEQRPKLVLTFHIKILKLQS >ENSMUSP00000106095.1 pep:known chromosome:GRCm38:13:21806612:21810197:-1 gene:ENSMUSG00000069307.5 transcript:ENSMUST00000110469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bq description:histone cluster 1, H2bq [Source:MGI Symbol;Acc:MGI:3702051] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000089343.2 pep:known chromosome:GRCm38:13:21806412:21810199:-1 gene:ENSMUSG00000069307.5 transcript:ENSMUST00000091749.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bq description:histone cluster 1, H2bq [Source:MGI Symbol;Acc:MGI:3702051] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSNFSRQNFSV >ENSMUSP00000101531.1 pep:known chromosome:GRCm38:4:133209340:133211857:-1 gene:ENSMUSG00000049649.8 transcript:ENSMUST00000105911.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr3 description:G-protein coupled receptor 3 [Source:MGI Symbol;Acc:MGI:101908] MMWGAGSSMAWFSAGSGSVNVSSVDPVEEPTGPATLLPSPRAWDVVLCISGTLVSCENAL VVAIIVGTPAFRAPMFLLVGSLAVADLLAGLGLVLHFAADFCIGSPEMSLMLVGVLAMAF TASIGSLLAITVDRYLSLYNALTYYSETTVTRTYVMLALVWVGALGLGLVPVLAWNCRDG LTTCGVVYPLSKNHLVVLAIAFFMVFGIMLQLYAQICRIVCRHAQQIALQRHLLPASHYV ATRKGIATLAVVLGAFAACWLPFTVYCLLGDADSPRLYTYLTLLPATYNSMINPVIYAFR NQDVQKVLWAICCCCSTSKIPFRSRSPSDV >ENSMUSP00000062083.8 pep:known chromosome:GRCm38:4:133210367:133212536:-1 gene:ENSMUSG00000049649.8 transcript:ENSMUST00000052090.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr3 description:G-protein coupled receptor 3 [Source:MGI Symbol;Acc:MGI:101908] MMWGAGSSMAWFSAGSGSVNVSSVDPVEEPTGPATLLPSPRAWDVVLCISGTLVSCENAL VVAIIVGTPAFRAPMFLLVGSLAVADLLAGLGLVLHFAADFCIGSPEMSLMLVGVLAMAF TASIGSLLAITVDRYLSLYNALTYYSETTVTRTYVMLALVWVGALGLGLVPVLAWNCRDG LTTCGVVYPLSKNHLVVLAIAFFMVFGIMLQLYAQICRIVCRHAQQIALQRHLLPASHYV ATRKGIATLAVVLGAFAACWLPFTVYCLLGDADSPRLYTYLTLLPATYNSMINPVIYAFR NQDVQKVLWAICCCCSTSKIPFRSRSPSDV >ENSMUSP00000116032.1 pep:known chromosome:GRCm38:4:133211070:133212313:-1 gene:ENSMUSG00000049649.8 transcript:ENSMUST00000151025.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr3 description:G-protein coupled receptor 3 [Source:MGI Symbol;Acc:MGI:101908] MMWGAGSSMAWFSAGSGSVNVSSVDPVEEPTGPATLLPSPRAWDVVLCISGTLVSCENAL VVAIIVGTPAFRAPMFLLVGSLAVADLLAGLGLVLH >ENSMUSP00000054389.8 pep:known chromosome:GRCm38:15:54745702:54754039:1 gene:ENSMUSG00000037362.8 transcript:ENSMUST00000050027.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nov description:nephroblastoma overexpressed gene [Source:MGI Symbol;Acc:MGI:109185] MSLFLRKRCLCLGFLLFHLLSQVSASLRCPSRCPPKCPSISPTCAPGVRSVLDGCSCCPV CARQRGESCSEMRPCDQSSGLYCDRSADPNNQTGICMVPEGDNCVFDGVIYRNGEKFEPN CQYFCTCRDGQIGCLPRCQLDVLLPGPDCPAPRKVAVPGECCEKWTCGSDEQGTQGTLGG LALPAYRPEATVGVEVSDSSINCIEQTTEWSACSKSCGMGVSTRVTNRNRQCEMVKQTRL CIVRPCEQEPEEVTDKKGKKCLRTKKSLKAIHLQFENCTSLYTYKPRFCGVCSDGRCCTP HNTKTIQVEFQCLPGEIIKKPVMVIGTCTCYSNCPQNNEAFLQDLELKTSRGEI >ENSMUSP00000051965.8 pep:known chromosome:GRCm38:6:33249085:33973979:1 gene:ENSMUSG00000029763.17 transcript:ENSMUST00000052266.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc4 description:exocyst complex component 4 [Source:MGI Symbol;Acc:MGI:1096376] MAAEAAGGKYRSTVSKSKDPSGLLISVIRTLSTSDDVEDRENEKGRLEEAYEKCDRDLDE LIVQHYTELTTAIRTYQSITERITNSRNKIKQVKENLLSCKMLLHCKRDELRKLWIEGIE HKHVLNLLDEIENIKQVPQKLEQCMASKHYLSATDMLVSAVESLEGPLLQVEGLSDLRLE LHSKKMNLHLVLIEELHRHLYIKSTSRVVQRNKEKGKMSSHGKDPSPGPLIDVSNIPTPR KFLDASQYSAAGGSSVREMNLQDVKEDLECDPEENSTLFMGILIQGLARLKKIPETVKAI KERLEQELKQIVKRSTTQVADSAYQRGESLTVDNQPRLLLELLELLFDKFNAVATAHSVV LGYLQDSVGTQLTQQEEIKLYDMADVWVKIQDVLQMLLTEYLDMKNTRTASEPSAQLSYA STGREFAAFFAKKKPQRPKNSLFKFESSSHAISMSAYLREQRRELYSRSGELQGGPDDNL IEGGGTKFVCKPGARNITVIFHPLLRFIQEIEHALGLGPAKQCPLREFLTVYIKSIFLNQ VLAEINKEIEGVTKTSDPLKILANADTMKVLGVQRPLLQSTIIVEKTVQDLMNLMHDLSA YSDQFLNMVCVKLQEYKDTCSTAYRGIVQSEEKLVISASWAKDDDISRLLKSLPNWTNMA QPKQLRPKREEEEDFIRAAFGKESEVLIGNLGDKLIPPQDILRDVSDLKALANMHESLEW LAGRTKSAFSNLSTSQMLSPAQESHVNMDLPPVSEQIMQTLSELAKTFQDMADRCLLVLH LEVRVHCFHYLIPLAKEGNYAIVANVESMDYDPLVVKLNKDISAMEEAMSASLQQHKFQY IFEGLGHLISCILINGAQYFRRISESGIKKMCRNIFVLQQNLTNITMSREADLDFARQYY EMLYNTADELLNLVVDQGVKYTELEYIHALTLLHRSQTGVGDQTTQNTRLQRLKEIICEQ AAIKQATKDKKITTV >ENSMUSP00000087859.4 pep:known chromosome:GRCm38:6:33249128:33477149:1 gene:ENSMUSG00000029763.17 transcript:ENSMUST00000090381.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc4 description:exocyst complex component 4 [Source:MGI Symbol;Acc:MGI:1096376] MAAEAAGGKYRSTVSKSKDPSGLLISVIRTLSTSDDVEDRENEKGRLEEAYEKCDRDLDE LIVQHYTELTTAIRTYQSITERITNSRNKIKQVKENLLSCKMLLHCKRDELRKLWIEGIE HKHVLNLLDEIENIKQVPQKLEQCMASKHYLSATDMLVSAVESLEGPLLQVEGLSDLRLE LHSKKMNLHLVLIEELHRHLYIKSTSRVVQRNKEKGKMSSHGKDPSPGPLIDVSNIPTPR KFLDASQYSAAGGSSVREMNLQDVKEDLECDPEENSTLFMGILIQGLARLKKIPETVKAI KERLEQELKQIVKRSTTQVADSAYQRGESLTVDNQPRLLLELLELLFDKFNAVATAHSVV LGYLQDSVGTQLTQQEEIKLYDMADVWVKIQDVLQMLLTEYLDMKNTRTASEPSAQLSYA STGREFAAFFAKKKPQRPKNSLFKFESSSHAISMSAYLREQRRELYSRSGELQVFPACFL QCYLIPEGRDLMETSRIGLKVARSLPVHTFCGCRPLYLSHLL >ENSMUSP00000110732.1 pep:known chromosome:GRCm38:6:33249132:33580909:1 gene:ENSMUSG00000029763.17 transcript:ENSMUST00000115080.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc4 description:exocyst complex component 4 [Source:MGI Symbol;Acc:MGI:1096376] MAAEAAGGKYRSTVSKSKDPSGLLISVIRTLSTSDDVEDRENEKGRLEEAYEKCDRDLDE LIVQHYTELTTAIRTYQSITERITNSRNKIKQVKENLLSCKMLLHCKRDELRKLWIEGIE HKHVLNLLDEIENIKQVPQKLEQCMASKHYLSATDMLVSAVESLEGPLLQVEGLSDLRLE LHSKKMNLHLVLIEELHRHLYIKSTSRVVQRNKEKGKMSSHGKDPSPGPLIDVSNIPTPR KFLDASQYSAAGGSSVREMNLQDVKEDLECDPEENSTLFMGILIQGLARLKKIPETVKAI KERLEQELKQIVKRSTTQVADSAYQRGESLTVDNQPRLLLELLELLFDKFNAVATAHSVV LGYLQDSVGTQLTQQEEIKLYDMADVWVKIQDVLQMLLTEYLDMKNTRTASEPSAQLSYA STGREFAAFFAKKKPQRPKNSLFKFESSSHAISMSAYLREQRRELYSRSGELQGGPDDNL IEGGGTKFVCKPGARNITVIFHPLLR >ENSMUSP00000107331.1 pep:known chromosome:GRCm38:6:145931641:145965223:1 gene:ENSMUSG00000030255.13 transcript:ENSMUST00000111702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sspn description:sarcospan [Source:MGI Symbol;Acc:MGI:1353511] MLCVSYQVDERTCVQFSMKVFYFLLSALGLMVCMLAVAFAAHHYSLLAQFTCETSLDSCQ CKLPSSEPLSRAFVYRDVTDCTSVTGTFKLFLIIQMVLNLVCGLVCLLACFVMWKHRYQV FYVGVGLRSLMASDGQLPKA >ENSMUSP00000032383.7 pep:known chromosome:GRCm38:6:145934122:145965223:1 gene:ENSMUSG00000030255.13 transcript:ENSMUST00000032383.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sspn description:sarcospan [Source:MGI Symbol;Acc:MGI:1353511] MGRKPSPRAQELPEEEARTCCGCRFPLLLALLQLALGIAVTVLGFLMASISPSLLVRDTP FWAGIIVCVVAYLGLFMLCVSYQVDERTCVQFSMKVFYFLLSALGLMVCMLAVAFAAHHY SLLAQFTCETSLDSCQCKLPSSEPLSRAFVYRDVTDCTSVTGTFKLFLIIQMVLNLVCGL VCLLACFVMWKHRYQVFYVGVGLRSLMASDGQLPKA >ENSMUSP00000107330.1 pep:known chromosome:GRCm38:6:145953429:145965223:1 gene:ENSMUSG00000030255.13 transcript:ENSMUST00000111701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sspn description:sarcospan [Source:MGI Symbol;Acc:MGI:1353511] MLCVSYQVDERTCVQFSMKVFYFLLSALGLMVCMLAVAFAAHHYSLLAQFTCETSLDSCQ CKLPSSEPLSRAFVYRDVTDCTSVTGTFKLFLIIQMVLNLVCGLVCLLACFVMWKHRYQV FYVGVGLRSLMASDGQLPKA >ENSMUSP00000019625.5 pep:known chromosome:GRCm38:11:67277124:67308634:1 gene:ENSMUSG00000055775.16 transcript:ENSMUST00000019625.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh8 description:myosin, heavy polypeptide 8, skeletal muscle, perinatal [Source:MGI Symbol;Acc:MGI:1339712] MSAGSDAEMAIFGEAAPYLRKSEKERIEAQNKPFDAKTSVFVAEPKESYVKSVIQSKDGG KVTVKTESGATLTVKEDQVFPMNPPKYDKIEDMAMMTHLHEPGVLYNLKERYAAWMIYTY SGLFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILIT GESGAGKTVNTKRVIQYFATIAVTGDKKKEESGKMQGTLEDQIISANPLLEAFGNAKTVR NDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQITSNKKPEL IEMLLITTNPYDYAFVSQGEITVPSIDDQEELMATDSAIDILGFSPEEKVSIYKLTGAVM HYGNMKFKQKQREEQAEPDGTEVADKAAYLQCLNSADLLKALCYPRVKVGNEYVTKGQTV QQVYNAVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLC INFTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPLGIFSILEEE CMFPKATDTSFKNKLYDQHLGKSNNFQKPKPTKGKAEAHFSLVHYAGTVDYNITGWLDKN KDPLNDTVVGLYQKSAMKTLASLFSTYASAEADGGAKKGAKKKGSSFQTVSALFRENLNK LMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRILYGD FKQRYKVLNASAIPEGQFIDSKKASEKLLGSIDIDHTQYKFGHTKVFFKAGLLGLLEEMR DEKLAQIITRTQAVCRGYLMRVEYQKMLLRRESIFCIQYNVRAFMNVKHWPWMKLFFKIK PLLKSAETEKEMATMKEEFQKTKDELAKSEAKRKELEEKMVTLLKEKNDLQLQVQSEADS LADAEERCEQLIKNKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDL ELTLAKVEKEKHATENKVKNLTEEMAGLDENIAKLTKEKKALQEAHQQTLDDLQAEEDKV NTLTKAKTKLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESTMDIENDKQQLD EKLKKKEFEISNLISKIEDEQAVEIQLQKKIKELQARIEELEEEIEAERASRAKAEKQRS DLSRELEEISERLEEAGGATSAQVEMNKKRETEFQKLRRDLEEATLQHEATAAALRKKHA DSVAELGEQIDNLQRVKQKLEKEKSELKMEIDDLSSNAEAIAKAKGNLEKMCRTLEDQVS ELKSKEEEQQRLINELTAQRARLQTEAGEYSRQLDEKDALVSQLSRSKQASTQQIEELKR QLEEETKAKNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYET DAIQRTEELEEAKKKLAQRLQAAEEHVEAVNAKCASLEKTKQRLQNEVEDLMIDVERTNA ACAALDKKQRNFDKVLSEWRQKYEETQAELESCQKESRTLSTELFKVKNAYEESLDHLET LRRENKNLQQEISDLTEQIAEGGKHIHELEKIKKQVEQEKCEIQAALEEAEASLEHEEGK ILRIQLELNQVKSEIDRKIAEKDEEIDQLKRNHVRVVETMQSTLDAEIRSRNDALRVKKK MEGDLNEMEIQLNHANRLAAESLRNYRNTQGILKDTQLHLDDALRGQEDLKEQLAIVERR ANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLENDVSQL QSEVEEVIQESRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTVKDLQHRL DEAEQLALKGGKKQIQKLEARVRELEGEVENEQKRNAEAVKGLRKHERRVKELTYQTEED RKNVLRLQDLVDKLQAKVKSYKRQAEEAEEQSNANLAKFRKLQHELEEAEERADIAESQV NKLRVKSREVHTKISAE >ENSMUSP00000104325.2 pep:known chromosome:GRCm38:11:67277418:67282148:1 gene:ENSMUSG00000055775.16 transcript:ENSMUST00000108685.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh8 description:myosin, heavy polypeptide 8, skeletal muscle, perinatal [Source:MGI Symbol;Acc:MGI:1339712] MSAGSDAEMAIFGEAAPYLRKSEKERIEAQNKPFDAKTSVFVAEPKESYVKSVIQSKDGG KVTVKTESGATLTVKEDQVFPMNPPKYDKIEDMAMMTHLHEPGVLYNLKERYAAWMIYTY SGLFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTVISTLGCERG HSGIHWPAQANRASK >ENSMUSP00000113807.1 pep:known chromosome:GRCm38:19:4114446:4125858:-1 gene:ENSMUSG00000024847.14 transcript:ENSMUST00000117831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aip description:aryl-hydrocarbon receptor-interacting protein [Source:MGI Symbol;Acc:MGI:109622] MADLIARLREDGIQKRVIQEGRGELPDFQDGTKATFHFRTLHSDNEGSVIDDSRTRGKPM ELIVGKKFKLPVWETIVCTMREGEIAQFLCDIKHVVLYPLVAKSLRNIAEGKDPLEGQRH CCGIAQMHEHSSLGHADLDALQQNPQPLIFHIEMLKVESPGTYQQDPWAMTDEEKAKAVP VIHQEGNRLYREGQVKEAAAKYYDAIACLKNLQMKEQPGSPDWIQLDLQITPLLLNYCQC KLVAQEYYEVLDHCSSILNKYDDNVKAYFKRGKAHAAVWNAQEAQADFAKVLELDPALAP VVSRELRALETRIRQKDEEDKARFRGIFSH >ENSMUSP00000025767.7 pep:known chromosome:GRCm38:19:4114464:4121575:-1 gene:ENSMUSG00000024847.14 transcript:ENSMUST00000025767.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aip description:aryl-hydrocarbon receptor-interacting protein [Source:MGI Symbol;Acc:MGI:109622] MADLIARLREDGIQKRVIQEGRGELPDFQDGTKATFHFRTLHSDNEGSVIDDSRTRGKPM ELIVGKKFKLPVWETIVCTMREGEIAQFLCDIKHVVLYPLVAKSLRNIAEGKDPLEGQRH CCGIAQMHEHSSLGHADLDALQQNPQPLIFHIEMLKVESPGTYQQDPWAMTDEEKAKAVP VIHQEGNRLYREGQVKEAAAKYYDAIACLKNLQMKEQPGSPDWIQLDLQITPLLLNYCQC KLVAQEYYEVLDHCSSILNKYDDNVKAYFKRGKAHAAVWNAQEAQADFAKVLELDPALAP VVSRELRALETRIRQKDEEDKARFRGIFSH >ENSMUSP00000114096.1 pep:known chromosome:GRCm38:19:4115447:4125848:-1 gene:ENSMUSG00000024847.14 transcript:ENSMUST00000121402.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aip description:aryl-hydrocarbon receptor-interacting protein [Source:MGI Symbol;Acc:MGI:109622] MADLIARLREDGIQKRVIQEGRGELPDFQDGTKATFHFRTLHSDNEGSVIDDSRTRGKPM ELIVGKKFKLPVWETIVCTMREGEIAQFLCDIKHVVLYPLVAKSLRNIAEGKDPLEGQRH CCGIAQMHEHSSLGHADLDALQQNPQPLIFHIEMLKVESPGTYQQDPWAMTD >ENSMUSP00000104144.1 pep:known chromosome:GRCm38:11:72314444:72319416:1 gene:ENSMUSG00000070388.3 transcript:ENSMUST00000108504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo39 description:F-box protein 39 [Source:MGI Symbol;Acc:MGI:3505735] MDEDCEVTQLQEQSCWATLPDVCLRRVFWWLGDRDRSRAALVCRKWNQIMYSADLWRYRT ITFSGRPSRVHASEFESALWYIKKFGRYLEHLEIKFLNPYNAVLTKKFQVTMRGLLSCLG KSNNRLRSLSIQHLELDRLVWRNSIRGSLIKSLSFFLKKMGKHLDHLSLKGARLTVEQGC HILNSLSYMQNENMASELNIEDFFSHHLAVYGSSQFNKAMATFRNLTFLTLNYNCISDEL LETLSENNAGTLRTMNIKCHVHDPHGQVVWGMSWAKLARQASNLKVNFFFERVMKYERLA RILLQEIPVRSISLRSCYFSDPDWSMRPTLTDLLPTFRNTLQKLTFEFNNNHESLDEQLH LLILACRKLFYFKIWAFLDVKFVERILKSQEEGQCSLHTLKVRIYTNRYETNEEDRTLRE IYRKYRKLIDSELNYFVVAYPMM >ENSMUSP00000028178.7 pep:known chromosome:GRCm38:2:58267453:58357895:-1 gene:ENSMUSG00000026834.13 transcript:ENSMUST00000028178.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1c description:activin A receptor, type IC [Source:MGI Symbol;Acc:MGI:2661081] MTPARGSALSLALLLVALAADLAAGLKCVCLLCDSSNFTCQTEGACWASVMLTNGKEQVI KSCVSLPELNAQVFCHSSNNVTKTECCFTDFCNNITLHLPTASPNAPRLGPTELTVVITV PVCLLSIAAMLTIWACQDRQCTYRKTKRHNVEEALAEYSLVNAGKTLKDLIYDATASGSG SGLPLLVQRTIARTIVLQEIVGKGRFGEVWHGRWCGEDVAVKIFSSRDERSWFREAEIYQ TVMLRHENILGFIAADNKDNGTWTQLWLVSEYHEQGSLYDYLNRNIVTVAGMVKLALSIA SGLAHLHMEIVGTQGKPAIAHRDIKSKNILVKKCDTCAIADLGLAVKHDSIMNTIDIPQN PKVGTKRYMAPEMLDDTMNLSIFESFKRADIYSVGLVYWEIARRCSVGGVVEEYQLPYYD MVPSDPSIEEMRKVVCDQKLRPNLPNQWQSCEALRVMGRIMRECWYANGAARLTALRVKK TISQLCVKEDCKA >ENSMUSP00000097663.1 pep:known chromosome:GRCm38:2:58271822:58324807:-1 gene:ENSMUSG00000026834.13 transcript:ENSMUST00000100085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1c description:activin A receptor, type IC [Source:MGI Symbol;Acc:MGI:2661081] MLTNGKEQVIKSCVSLPELNAQVFCHSSNNVTKTECCFTDFCNNITLHLPTGLPLLVQRT IARTIVLQEIVGKGRFGEVWHGRWCGEDVAVKIFSSRDERSWFREAEIYQTVMLRHENIL GFIAADNKDNGTWTQLWLVSEYHEQGSLYDYLNRNIVTVAGMVKLALSIASGLAHLHMEI VGTQGKPAIAHRDIKSKNILVKKCDTCAIADLGLAVKHDSIMNTIDIPQNPKVGTKRYMA PEMLDDTMNLSIFESFKRADIYSVGLVYWEIARRCSVGGVVEEYQLPYYDMVPSDPSIEE MRKVVCDQKLRPNLPNQWQSCEALRVMGRIMRECWYANGAARLTALRVKKTISQLCVKED CKA >ENSMUSP00000108227.2 pep:known chromosome:GRCm38:2:58274735:58357752:-1 gene:ENSMUSG00000026834.13 transcript:ENSMUST00000112608.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1c description:activin A receptor, type IC [Source:MGI Symbol;Acc:MGI:2661081] MTPARGSALSLALLLVALAADLAAGLKCVCLLCDSSNFTCQTEGACWASVMLTNGKEQVI KSCVSLPELNAQVFCHSSNNVTKTECCFTDFCNNITLHLPTGLPLLVQRTIARTIVLQEI VGKGRFGEVWHGRWCGEDVAVKIFSSRDERSWFREAEIYQTVMLRHENILGFIAADNKDN GTWTQLWLVSEYHEQGSLYDYLNRNIVTVAGMVKLALSIASGLAHLHMEIVGTQGKPAIA HRDIKSKNILVKKCDTCAIADLGLAVKHDSIMNTIDIPQNPKVGTKRYMAPEMLDDTMNL SIFESFKRADIYSVGLVYWEIARRCSVGGVVEEYQLPYYDMVPSDPSIEEMRKVVCDQKL RPNLPNQWQSCEALRVMGRIMRECWYANGAARLTALRVKKTISQLCVKEDCKA >ENSMUSP00000108226.2 pep:known chromosome:GRCm38:2:58274735:58357752:-1 gene:ENSMUSG00000026834.13 transcript:ENSMUST00000112607.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1c description:activin A receptor, type IC [Source:MGI Symbol;Acc:MGI:2661081] MTPARGSALSLALLLVALAADLAAGLKCVCLLCDSSNFTCQTEGACWASVMLTNGKEQVI KSCVSLPELNAQVFCHSSNNVTKTECCFTDFCNNITLHLPTDNGTWTQLWLVSEYHEQGS LYDYLNRNIVTVAGMVKLALSIASGLAHLHMEIVGTQGKPAIAHRDIKSKNILVKKCDTC AIADLGLAVKHDSIMNTIDIPQNPKVGTKRYMAPEMLDDTMNLSIFESFKRADIYSVGLV YWEIARRCSVGGVVEEYQLPYYDMVPSDPSIEEMRKVVCDQKLRPNLPNQWQSCEALRVM GRIMRECWYANGAARLTALRVKKTISQLCVKEDCKA >ENSMUSP00000119776.1 pep:known chromosome:GRCm38:2:58296135:58324807:-1 gene:ENSMUSG00000026834.13 transcript:ENSMUST00000154453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1c description:activin A receptor, type IC [Source:MGI Symbol;Acc:MGI:2661081] MLTNGKEQVIKSCVSLPELNAQVFCHSSNNVTKTECCFTDFCNNITLHLPTASPNAPRLG PT >ENSMUSP00000140455.1 pep:known chromosome:GRCm38:7:14560699:14562362:-1 gene:ENSMUSG00000100586.1 transcript:ENSMUST00000185220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r90 description:vomeronasal 1 receptor 90 [Source:MGI Symbol;Acc:MGI:3612342] MSSQNEAMKTTEEVALQIVLLCQFGIGTMANILLFIHNFSPLLTGCQLRPKQVICTNMAV SSILILFMTVFPNNVFVVQRNLMNDLQCKLEYFLRMVARSINLCSTCVLSTYQFVTLLPG NFGEMMVRGRRSKVISYSCCSCWLFGVLYNVYIPMKVSGPQNTHNKTDIKSKWFCVTSGF SVGIMFLWFAHDAIFISIMIWTSVSMVLLLYRHYQRLQYIFPPHQGTRGYAEIRAAHSIA MLVVTFVSFYLLHCICFILHIVFVDSRLWLRHVGEVLTSSFPTISPLLLIFRDPSYPCSL IFNYRKPVI >ENSMUSP00000089339.5 pep:known chromosome:GRCm38:13:21810465:21810944:1 gene:ENSMUSG00000094248.1 transcript:ENSMUST00000091745.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ao description:histone cluster 1, H2ao [Source:MGI Symbol;Acc:MGI:2448302] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000029838.6 pep:known chromosome:GRCm38:3:146505956:146521429:-1 gene:ENSMUSG00000028187.10 transcript:ENSMUST00000029838.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpf1 description:ribosome production factor 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1917535] MAKAGEKSVGGGKRGLKRKAAAEEPQEAAAASDGTAESGVQPAKAAAFPPGFSISEIKNK QRRHLMFTRWKQQQRKEKLAAKKKLKKEREALGDKAPPKPVPKTIDNQRVYDETTVDPND EEVAYDEATDEFASYFNRQTSPKILITTSDRPHGRTVRLCEQLSTVIPDSHVYYRRGLAL KKIIPQCIARDFTDLIVINEDRKTPNGLILSHLPNGPTAHFKMSSVRLRKEIKRRGKDPT EHVPEIILNNFTTRLGHSIGRMFASLFPHNPQFIGRQVATFHNQRDYIFFRFHRYIFKSE KKVGIQELGPRFTLKLRSLQKGTFDSKYGEYEWVHKPREMDTSRRKFHL >ENSMUSP00000142765.1 pep:known chromosome:GRCm38:3:146506344:146508224:-1 gene:ENSMUSG00000028187.10 transcript:ENSMUST00000199174.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpf1 description:ribosome production factor 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1917535] XGLILSHLPNGPTAHFKMSSVRLRKEIKRRGKDPTEHVPEIILNNFTTRLGHSIGRMFAS LFPHNPQFIGRQVATFHNQRDYIFFRFHRYIFKSEKKVGIQELGPRFTLKLRSLQKGTFD SKYGEYEWVHKVCAYCSKAHFNCVLTY >ENSMUSP00000143279.1 pep:known chromosome:GRCm38:3:146510795:146521411:-1 gene:ENSMUSG00000028187.10 transcript:ENSMUST00000199079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpf1 description:ribosome production factor 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1917535] MAKAGEKSVGGGKRGLKRKAAAEEPQEAAAASDGTAESGVQPAKAAAFPPGFSISEIKNK QRRHLMFTRWKQQQRKEKLAAKKKLKKEREALGDKAPPKPVPKTIDNQRVYDETTVDPND EEVAYDEATDEFASYFNRQTSPKILITTSDRPHGRTVRLCEQLSTVIPDSHVYYRRGLAL KKIIPQCIARDFTDLIVINEDRKTPSILWISF >ENSMUSP00000140214.1 pep:known chromosome:GRCm38:7:32222840:32224156:1 gene:ENSMUSG00000101638.1 transcript:ENSMUST00000186031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b11 description:secretoglobin, family 1B, member 11 [Source:MGI Symbol;Acc:MGI:5581331] MKLVAAVVILGAALLLLTSGGDCGICPAIKEDVRLFLNGTSEEYVEYVKQYKDDPVILEN TAKINQCVDSTLTEKDMTHTTTFLKKIEDSLLC >ENSMUSP00000020831.6 pep:known chromosome:GRCm38:11:57482993:57518617:-1 gene:ENSMUSG00000020523.14 transcript:ENSMUST00000020831.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam114a2 description:family with sequence similarity 114, member A2 [Source:MGI Symbol;Acc:MGI:1917629] MSDKDPPESPVVTGVASTLKDENCEPVEKPEDKSQPVVSTRKRPETKPSSDLEASALPAQ ETASKDVPQTGWGYWGSWGKSLLSSASATVATVGQGISNVIEKAETSLGIPSPTEISAEV KQAAGEKNAGENGSLLVAAPFGMLSTISTAVQSTGKSVISGGLDALEFIGKKTMDVIAEG DPGFKRTKGLMNRTSTLSQVLREAKDKEEQRPSNEVTMETDKKTHYGLLFDEFQGLSHLE ALEMLSRESEIKVKSILSSLSGEELQTTRLELEQLKEVFSLAEFCEEEEEERQGDDNFTK EITDLFAQLHVSSRPEKLARARNTAYKWIRTSLARPVAEKEEGEKESEAGNTEEAQKHSI EDIHAFAIRSLAELTACSIELFHKTAALVLHGQKQEVTALERSQTLSQMTVMLCKDLASL SKEFTTCLTTAGVREKADVLNPVITAVFLEASNSASYIQDAFQLLLPVLQISLIESKTES STCEPQSRDL >ENSMUSP00000104478.1 pep:known chromosome:GRCm38:11:57483792:57518603:-1 gene:ENSMUSG00000020523.14 transcript:ENSMUST00000108850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam114a2 description:family with sequence similarity 114, member A2 [Source:MGI Symbol;Acc:MGI:1917629] MSDKDPPESPVVTGVASTLKDENCEPVEKPEDKSQPVVSTRKRPETKPSSDLEASALPAQ VSLAVAKETASKDVPQTGWGYWGSWGKSLLSSASATVATVGQGISNVIEKAETSLGIPSP TEISAEVKQAAGEKNAGENGSLLVAAPFGMLSTISTAVQSTGKSVISGGLDALEFIGKKT MDVIAEGDPGFKRTKGLMNRTSTLSQVLREAKDKEEQRPSNEVTMETDKKTHYGLLFDEF QGLSHLEALEMLSRESEIKVKSILSSLSGEELQTTRLELEQLKEVFSLAEFCEEEEEERQ GDDNFTKEITDLFAQLHVSSRPEKLARARNTAYKWIRTSLARPVAEKEEGEKESEAGNTE EAQKHSIEDIHAFAIRSLAELTACSIELFHKTAALVLHGQKQEVTALERSQTLSQMTVML CKDLASLSKEFTTCLTTAGVREKADVLNPVITAVFLEASNSASYIQDAFQLLLPVLQISL IESKTESSTCEPQSRDL >ENSMUSP00000053391.2 pep:known chromosome:GRCm38:2:24186476:24193568:1 gene:ENSMUSG00000044103.4 transcript:ENSMUST00000057567.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1f9 description:interleukin 1 family, member 9 [Source:MGI Symbol;Acc:MGI:2449929] MENNEKKNIVYGSDVEMEHERAGLFVSSAMFSKHPFSTHISGRETPDFGEVFDLDQQVWI FRNQALVTVPRSHRVTPVSVTILPCKYPESLEQDKGIAIYLGIQNPDKCLFCKEVNGHPT LLLKEEKILDLYHHPEPMKPFLFYHTRTGGTSTFESVAFPGHYIASSKTGNPIFLTSKKG EYYNINFNLDIKS >ENSMUSP00000118180.1 pep:known chromosome:GRCm38:13:65064663:65112975:-1 gene:ENSMUSG00000038212.15 transcript:ENSMUST00000155487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hiatl1 description:hippocampus abundant transcript-like 1 [Source:MGI Symbol;Acc:MGI:1913881] MSTDGESPEEPRWKAVASPKASTMPEKRGSAQAASGSWLQGFGHPSVYHAAFVIFLEFFA WGLLTTPMLTVLHETFPQHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWGRKPFLLGTVF FTCFPIPLMRINPWWYFGMISVSGVFSVTFSVIFAYVADFTQEHERSTAYGWVSATFAAS LVSSPAIGTYLSANYGDSLVVLVATLVALLDICFILIAVPESLSEKIRPASWGAQISWKQ ADPFASLKKVGKDSTVLLICITVFLSYLPEAGQYSSFFLYLRQVIGFGSVKIVAFIAMVG ILSIVAQTVFLSKLMRSLGNKNTVLLGLGFQMLQLAWYGFGSQAWMMWAAGTVAAMSSIT FPAVSALISRNAESDQQGVAQGIVTGIRGLCNGLGPALYGFIFYMFHVELSELGPKLNSD DDPLQGAFIPGPPFLFGACIVLMSFLVALFIPEYRKTSGVQKHNNSTSGSLSTPPERGSD EDIEPLLQDSSIWELSFEEPGNQCTEL >ENSMUSP00000062566.8 pep:known chromosome:GRCm38:13:65065051:65112982:-1 gene:ENSMUSG00000038212.15 transcript:ENSMUST00000054730.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hiatl1 description:hippocampus abundant transcript-like 1 [Source:MGI Symbol;Acc:MGI:1913881] MSTDGESPEEPRWKAVASPKASTMPEKRGSAQAASGSWLQGFGHPSVYHAAFVIFLEFFA WGLLTTPMLTVLHETFPQHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWGRKPFLLGTVF FTCFPIPLMRINPWWYFGMISVSGVFSVTFSVIFAYVADFTQEHERSTAYGWVSATFAAS LVSSPAIGTYLSANYGDSLVVLVATLVALLDICFILIAVPESLSEKIRPASWGAQISWKQ ADPFASLKKVGKDSTVLLICITVFLSYLPEAGQYSSFFLYLRQVIGFGSVKIVAFIAMVG ILSIVAQTVFLSKLMRSLGNKNTVLLGLGFQMLQLAWYGFGSQAWMMWAAGTVAAMSSIT FPAVSALISRNAESDQQGVAQGIVTGIRGLCNGLGPALYGFIFYMFHVELSELGPKLNSD DDPLQGAFIPGPPFLFGACIVLMSFLVALFIPEYRKTSGVQKHNNSTSGSLSTPPERGSD EDIEPLLQDSSIWELSFEEPGNQCTEL >ENSMUSP00000127556.1 pep:known chromosome:GRCm38:2:27110380:27142491:-1 gene:ENSMUSG00000009216.7 transcript:ENSMUST00000151224.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam163b description:family with sequence similarity 163, member B [Source:MGI Symbol;Acc:MGI:1926106] MTAGTVVITGGILATVILLCIIAVLCYCRLQYYCCKKDESEEDEEEPDFAVHSHLPPLHS NRNLVLTNGPALYPAATTSFSQKSPQARALCRSCSHYEPPTFFLQEPEDEDFEGVRNGGG RVAYKSISQEDVELPSASFGGLQALNPNRLSAMREAFSRSRSVSTDV >ENSMUSP00000122353.2 pep:known chromosome:GRCm38:7:141788972:141819231:1 gene:ENSMUSG00000037974.15 transcript:ENSMUST00000155534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc5ac description:mucin 5, subtypes A and C, tracheobronchial/gastric [Source:MGI Symbol;Acc:MGI:104697] MGVGRRKLVPFWVLALALACSQCTGQAQQDSLKSYHEHRSDVPHPQGHVGTPLNRVTIIP PLKTIPVVRAFNPGHTRRVCSTWGNFHYKTFDGQVFYFPGLCNYVFSAHCGDAYEDFNIQ LRRVQESNTTTLSRVTMKLDGLVVELTKSSVLVNNHPVQLPFSQSGVLIELSNGYLKVVA RLGLLFVWNEDDSLLLELDTKYTNKTCGLCGDFNGSPKSNEFLSNNVRLTPLEFGNLQKM DGPTEQCQDPLPVPQKNCSARSGICEMILKGELFSGCAALVDISSYVEACRQDVCLCESL DPSDCICHTLAEYSRQCAHAGGQPQDWRGPNLCSQTCPLNMQHQECGSPCVDTCSNPQHS QVCEDHCIAGCFCPEGMVLDDINQMGCVPVSQCACLYNGTLYAPGTNYSTDCTKCTCSGG QWSCQDIPCAGTCSVMGGSHMSTFDGRQYTVHGDCTYVLSKPCDSNAFTVLVELRKCGLT ESETCLKTVTLNLGGGQTVIMVKATGEVFVNQIYTQLPVSTANATFFRPSTFFIVGETNL GLQLEIQLSPIMQTSVRLKPGLRGLTCGLCGNFNSMQADDFQTISGVVEGTAAAFFNTFK TQAACPNVKNIFQDPCSLSVENEKYAQHWCSLLTNASGPFSQCHATVNPSTFFSNCMYDT CNCEKSEDCMCAALSSYVRACAAKGVLLSDWRDGICTKPTITCPKSMTYQYHISTCQPTC RALNEKDVTCHVSFIPVDGCTCPKGTFLDDLGKCVQATSCPCYYKGSTVPNGESVQDSGA ICTCTQGALTCIGGPAPTPVCDAPMIYFDCHNATPGDTGAGCQKSCHTLDMTCYSSECVP GCVCPNGLVADGNGGCVVTEDCPCVHNEATYRPGETIQVGCNNCTCENRMWQCTDKPCLA TCAVYGDGHYITFDGQRYSFNGDCEYTLLQDNCGGNGSSQDAFRVITENIPCGTTGTTCS KSIKIFLGNYELKLSDSKMEVVQKDVGQEPPYFVHQMGNYLVVETDIGLVLLWDKKTSIF LRLSPEFKGRVCGLCGNFDDNAINDFTTRSQSVVSDMLEFGNSWKLSPSCPDVLVPKDPC TANPYRKSWAQKQCSIINSETFSACHAHVEPAKYYEACVNDACACDSGGDCECFCTTVAA YAQACHEVGVCVSWRTPDICPLFCDYYNPEGQCEWHYQPCGAPCMRTCQNPTGQCLQDLR GLEGCYPKCPPTAPIFDEGTMQCVSNCTVTFPCRVNGKLYRPGASVPSDKNCDSCICTES GVRCTHNAGACVCTYNGQQFHPGEIIYHTTDGIGGCISAHCRANGTIERSVDTCNSTTPT PPTTFSFSTPPVMTSMQPSSTHSSPTPSVGSSGASSKAASTTSSILSVKSPVTAPMTMST SASAVTTSGCREECLWSPWMDVSRPGRGIDSGDFDTLENLRAHGYPICQVPKAVECRAEA SPGVPLPELQQHLECSTTVGLICYNSDQLSGLCDNYQIKVQCCTPVSCPTSQTTHVISSS RTTNLDNTTSSVPVTSTEHPYSSTVTSGSSTHTPGLSPSSSVPSSPTPASSTPAPVSSTT VKTTLPITSPTPEPTPAISSVSISTSGSTMPSSETTHECKQELCNWTNWLDGSYPGSGRN SGDFDTFVNLRSKGYKFCEKPRNVECRAQFFPNTPLEELGQNVTCSREEGLICLNKNQLP PMCYNYEIRIECCTVVNNCSTASVTTHPTSHGVSTKTETNWTTHVYSSPTKDTSSHSATI DTKTWTSGISHTTTQPVTTHCQLQCNWTKWFDTDFPVPGPHGGDLETYSNIERSGERLCH REEITQLQCRAKNYPEREMEDLGQVVKCDPSVGLVCNNRDQGGDSGMCLNYEVRLLCCHI PEGCSMTTHVTLLSSTSEIVTSSTPGTTSMHVASSTSMPQTSSPNTGKTSTISTTQTSSP NTGKTSTTSTTQTSSPNTGKTSTISTTQTSSPNTGKTSTTSTTQTSSPNTGKTSTISTTQ TSSPNTGKASTPSTPHTSSPNTGKTSTISTTQTSSPNTGKTSTTSTTQTSSPNTGKTSTI STTQTSSPNTGKASTPSTPHTSSPNTGKTSTISTTQTSSPNTGKASTPSTPQTSSPNTGK TSTISTTQTSSPNTGKGSTPSTPQTSSPNTGKTSTISTTQTSSPNTGKTSTTSTTQTSSP NTGKTSTISTTQTSSPNTGKASTPSTPHTSSPNTGKTSTISTTQTSSPNTGKASTPSTPQ TSSPNTGKTSTISTTQTSSPNTGKGSTPSTPQTSSPNTGKTSTTSTTQTSSPNTGKASTI STTQTISTSGSTMPSSETTHECKQELCNWTNWLDGSYPGSGRNSGDFDTFVNLRSKGYKF CEKPRNVECRAQFFPNTPLEELGQNVTCSREEGLICLNKNQLPPMCYNYEIRIECCTVVN NCSTASVTTHPTSHGVSTKTETNWTTHVYSSPTKDTSSHSATIDTKTWTSGISHTTTQPV TTHCQLQCNWTKWFDTDFPVPGPHGGDLETYSNIERSGERLCHREEITQLQCRAKNYPER EMEDLGQVVKCDPSVGLVCNNRDQGGDSGMCLNYEVRLLCCHIPEDCPRTDQTSPVTLSH KPSSAVVSPSSVSPSLSTSHRVHSTTPCFCSVSGQLYPLGSIIYNQTDLDGHCYYAMCSQ DCQVVKRVSQDCPSTMPPPATTLSTSTTPPVTGRDRCNVFPPRLRGETWPMPNCSQATCE GNNVISLSPRQCPELNEPSCANGYPPLKVDDQDGCCQHYQCQCVCSGWGDPHYITFDGTY YTFLDNCTYVLVQQIVPVFGYFRVLIDNYYCDVGDSVSCPQSIIVEYHQDRVVLTRRPVS GVMTNQIIFNNKVVSPGFQQNGIVTSRVGIKMYVTIQEIGVRVMFSGLIFSVEVPFNLFA NNTEGQCGTCTNDKKDECRLPGGSIASSCSEMSLHWKVPNQPSCQGPPPTPTSVVPRPSP TPCPPSPLCELILSNTFKLCHDVIPPLQFYQGCLFDYCHMLDLEVVCSGLELYASLCAAQ GVCIPWRSQTNNTCSFTCPDNQVYQPCGPSNPHYCYRDDSISPSLTLQEAGPKTEGCFCP DSTTLFSTNDSICVPSCQWCLGPRGEPVEPGHTISIDCQDCICKEATLTCQKKACPQPTC PEPGFVPVPVALEAGQCCPQFSCACNSSHCPPPLHCPKNSSLIVTYEEGACCPTQNCSSQ KGCEVNGTLYQPGDVVSSSLCERCLCEVSSNPLSDVFMVSCETELCNTQCPKGSEYQAMP GQCCGKCIPKTCPFKNNSGSTYFYQPGELWAEPGNPCVTHKCEKFQDVLMVVTMKTECPK INCPQGQAQLREDGCCYDCPLPNQQKCTVHQRQQIIRQQNCSSEGPVSISYCQGNCGDSI SMYSLEANKVEHTCECCQELQTSQRNVTLRCDDGSSQTFSYTQVEKCGCLGQQCHALGDT SHAESSEQEFKSKESEEHGQQLAFRVSEDMLGPFQ >ENSMUSP00000039699.7 pep:known chromosome:GRCm38:7:141789011:141818886:1 gene:ENSMUSG00000037974.15 transcript:ENSMUST00000041924.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc5ac description:mucin 5, subtypes A and C, tracheobronchial/gastric [Source:MGI Symbol;Acc:MGI:104697] MGVGRRKLVPFWVLALALACSQCTGQAQQDSLKSYHEHRSDVPHPQGHVGTPLNRVTIIP PLKTIPVVRAFNPGHTRRVCSTWGNFHYKTFDGQVFYFPGLCNYVFSAHCGDAYEDFNIQ LRRVQESNTTTLSRVTMKLDGLVVELTKSSVLVNNHPVQLPFSQSGVLIELSNGYLKVVA RLGLLFVWNEDDSLLLELDTKYTNKTCGLCGDFNGSPKSNEFLSNNVRLTPLEFGNLQKM DGPTEQCQDPLPVPQKNCSARSGICEMILKGELFSGCAALVDISSYVEACRQDVCLCESL DPSDCICHTLAEYSRQCAHAGGQPQDWRGPNLCSQTCPLNMQHQECGSPCVDTCSNPQHS QVCEDHCIAGCFCPEGMVLDDINQMGCVPVSQCACLYNGTLYAPGTNYSTDCTNTCSGGQ WSCQDIPCAGTCSVMGGSHMSTFDGRQYTVHGDCTYVLSKPCDSNAFTVLVELRKCGLTE SETCLKTVTLNLGGGQTEIMVKATGEVFVNQIYTQLPVSTANATFFRPSTFFIVGETNLG LQLEIQLSPIMQTSVRLKPGLRGLTCGLCGNFNSMQADDFQTISGVVEGTAAAFFNTFKT QAACPNVKNIFQDPCSLSVENEKYAQHWCSLLTNASGPFSQCHATVNPSTFFSNCMYDTC NCEKSEDCMCAALSSYVRACAAKGVLLSDWRDGICTKPTITCPKSMTYQYHISTCQPTCR ALNEKDVTCHVSFIPVDGCTCPKGTFLDDLGKCVQATSCPCYYKGSTVPNGESVQDSGAI CTCTQGALTCIGGPAPTPVCDAPMIYFDCHNATPGDTGAGCQKSCHTLDMTCYSSECVPG CVCPNGLVADGNGGCVVTEDCPCVHNEATYRPGETIQVGCNNCTCENRMWQCTDKPCLAT CAVYGDGHYITFDGQRYSFNGDCEYTLLQDNCGGNGSSQDAFRVITENIPCGTTGTTCSK SIKIFLGNYELKLSDSKMEVVQKDVGQEPPYFVHQMGNYLVVETDIGLVLLWDKKTSIFL RLSPEFKGRVCGLCGNFDDNAINDFTTRSQSVVSDMLEFGNSWKLSPSCPDVLVPKDPCT ANPYRKSWAQKQCSIINSETFSACHAHVEPAKYYEACVNDACACDSGGDCECFCTTVAAY AQACHEVGVCVSWRTPDICPLFCDYYNPEGQCEWHYQPCGAPCMRTCQNPTGQCLQDLRG LEGCYPKCPPTAPIFDEGTMQCVSNCTVTFPCRVNGKLYRPGASVPSDKNCDSCICTESG VRCTHNAGACVCTYNGQQFHPGEIIYHTTDGIGGCISAHCRANGTIERSVDTCNSTTPTP PTTFSFSTPPVMTSMQPSSTHSSPTPSVGSSGASSKAASTTSSILSVKSPVTAPMTMSTS ASAVTTSGCREECLWSPWMDVSRPGRGIDSGDFDTLENLRAHGYPICQVPKAVECRAEAS PGVPLPELQQHLECSTTVGLICYNSDQLSGLCDNYQIKVQCCTPVSCPTSQTTHVISSSR TTNLDNTTSSVPVTSTEHPYSSTVTSGSSTHTPGLSPSSSVPSSPTPASSTPAPVSSTTV KTTLPITSPTPEPTPAISSVSISTSGSTMPSSETTHECKQELCNWTNWLDGSYPGSGRNS GDFDTFVNLRSKGYKFCEKPRNVECRAQFFPNTPLEELGQNVTCSREEGLICLNKNQLPP MCYNYEIRIECCTVVNNCSTASVTTHPTSHGVSTKTETNWTTHVYSSPTKDTSSHSATID TKTWTSGISHTTTQPVTTHCQLQCNWTKWFDTDFPVPGPHGGDLETYSNIERSGERLCHR EEITQLQCRAKNYPEREMEDLGQVVKCDPSVGLVCNNRDQGGDSGMCLNYEVRLLCCHIP EDCPRTDQTSPVTLSHKPSSAVVSPSSVSPSLSTSHRVHSTTPCFCSVSGQLYPLGSIIY NQTDLDGHCYYAMCSQDCQVVKRVSQDCPSTMPPPATTLSTSTTPPVTGRDRCNVFPPRL RGETWPMPNCSQATCEGNNVISLSPRQCPELNEPSCANGYPPLKVDDQDGCCQHYQCQCV CSGWGDPHYITFDGTYYTFLDNCTYVLVQQIVPVFGYFRVLIDNYYCDVGDSVSCPQSII VEYHQDRVVLTRRPVSGVMTNQIIFNNKVVSPGFQQNGIVTSRVGIKMYVTIQEIGVRVM FSGLIFSVEVPFNLFANNTEGQCGTCTNDKKDECRLPGGSIASSCSEMSLHWKVPNQPSC QGPPPTPTSVVPRPSPTPCPPSPLCELILSNTFKLCHDVIPPLQFYQGCLFDYCHMLDLE VVCSGLELYASLCAAQGVCIPWRSQTNNTCSFTCPDNQVYQPCGPSNPHYCYRDDSISPS LTLQEAGPKTEGCFCPDSTTLFSTNDSICVPSCQWCLGPRGEPVEPGHTISIDCQDCICK EATLTCQKKACPQPTCPEPGFVPVPVALEAGQCCPQFSCACNSSHCPPPLHCPKNSSLIV TYEEGACCPTQNCSSQKGCEVNGTLYQPGDVVSSSLCERCLCEVSSNPLSDVFMVSCETE LCNTQCPKGSEYQAMPGQCCGKCIPKTCPFKNNSGSTYFYQPGELWAEPGNPCVTHKCEK FQDVLMVVTMKTECPKINCPQGQAQLREDGCCYDCPLPNQQKCTVHQRQQIIRQQNCSSE GPVSISYCQGNCGDSISMYSLEANKVEHTCECCQELQTSQRNVTLRCDDGSSQTFSYTQV EKCGCLGQQCHALGDTSHAESSEQEFKSKESEEHGQQLAFRVSEDMLGPFQ >ENSMUSP00000131681.1 pep:known chromosome:GRCm38:7:141789011:141819135:1 gene:ENSMUSG00000037974.15 transcript:ENSMUST00000163321.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc5ac description:mucin 5, subtypes A and C, tracheobronchial/gastric [Source:MGI Symbol;Acc:MGI:104697] MGVGRRKLVPFWVLALALACSQCTGQAQQDSLKSYHEHRSDVPHPQGHVGTPLNRVTIIP PLKTIPVVRAFNPGHTRRVCSTWGNFHYKTFDGQVFYFPGLCNYVFSAHCGDAYEDFNIQ LRRVQESNTTTLSRVTMKLDGLVVELTKSSVLVNNHPVQLPFSQSGVLIELSNGYLKVVA RLGLLFVWNEDDSLLLELDTKYTNKTCGLCGDFNGSPKSNEFLSNNVRLTPLEFGNLQKM DGPTEQCQDPLPVPQKNCSARSGICEMILKGELFSGCAALVDISSYVEACRQDVCLCESL DPSDCICHTLAEYSRQCAHAGGQPQDWRGPNLCSQTCPLNMQHQECGSPCVDTCSNPQHS QVCEDHCIAGCFCPEGMVLDDINQMGCVPVSQCACLYNGTLYAPGTNYSTDCTNTCSGGQ WSCQDIPCAGTCSVMGGSHMSTFDGRQYTVHGDCTYVLSKPCDSNAFTVLVELRKCGLTE SETCLKTVTLNLGGGQTEIMVKATGEVFVNQIYTQLPVSTANATFFRPSTFFIVGETNLG LQLEIQLSPIMQTSVRLKPGLRGLTCGLCGNFNSMQADDFQTISGVVEGTAAAFFNTFKT QAACPNVKNIFQDPCSLSVENEKYAQHWCSLLTNASGPFSQCHATVNPSTFFSNCMYDTC NCEKSEDCMCAALSSYVRACAAKGVLLSDWRDGICTKPTITCPKSMTYQYHISTCQPTCR ALNEKDVTCHVSFIPVDGCTCPKGTFLDDLGKCVQATSCPCYYKGSTVPNGESVQDSGAI CTCTQGALTCIGGPAPTPVCDAPMIYFDCHNATPGDTGAGCQKSCHTLDMTCYSSECVPG CVCPNGLVADGNGGCVVTEDCPCVHNEATYRPGETIQVGCNNCTCENRMWQCTDKPCLAT CAVYGDGHYITFDGQRYSFNGDCEYTLLQDNCGGNGSSQDAFRVITENIPCGTTGTTCSK SIKIFLGNYELKLSDSKMEVVQKDVGQEPPYFVHQMGNYLVVETDIGLVLLWDKKTSIFL RLSPEFKGRVCGLCGNFDDNAINDFTTRSQSVVSDMLEFGNSWKLSPSCPDVLVPKDPCT ANPYRKSWAQKQCSIINSETFSACHAHVEPAKYYEACVNDACACDSGGDCECFCTTVAAY AQACHEVGVCVSWRTPDICPLFCDYYNPEGQCEWHYQPCGAPCMRTCQNPTGQCLQDLRG LEGCYPKCPPTAPIFDEGTMQCVSNCTVTFPCRVNGKLYRPGASVPSDKNCDSCICTESG VRCTHNAGACVCTYNGQQFHPGEIIYHTTDGIGGCISAHCRANGTIERSVDTCNSTTPTP PTTFSFSTPPVMTSMQPSSTHSSPTPSVGSSGASSKAASTTSSILSVKSPVTAPMTMSTS ASAVTTSGCREECLWSPWMDVSRPGRGIDSGDFDTLENLRAHGYPICQVPKAVECRAEAS PGVPLPELQQHLECSTTVGLICYNSDQLSGLCDNYQIKVQCCTPVSCPTSQTTHVISSSR TTNLDNTTSSVPVTSTEHPYSSTVTSGSSTHTPGLSPSSSVPSSPTPASSTPAPVSSTTV KTTLPITSPTPEPTPAISSVSISTSGSTMPSSETTHECKQELCNWTNWLDGSYPGSGRNS GDFDTFVNLRSKGYKFCEKPRNVECRAQFFPNTPLEELGQNVTCSREEGLICLNKNQLPP MCYNYEIRIECCTVVNNCSTASVTTHPTSHGVSTKTETNWTTHVYSSPTKDTSSHSATID TKTWTSGISHTTTQPVTTHCQLQCNWTKWFDTDFPVPGPHGGDLETYSNIERSGERLCHR EEITQLQCRAKNYPEREMEDLGQVVKCDPSVGLVCNNRDQGGDSGMCLNYEVRLLCCHIP EDCPRTDQTSPVTLSHKPSSAVVSPSSVSPSLSTSHRVHSTTPCFCSVSGQLYPLGSIIY NQTDLDGHCYYAMCSQDCQVVKRVSQDCPSTMPPPATTLSTSTTPPVTGRDRCNVFPPRL RGETWPMPNCSQATCEGNNVISLSPRQCPELNEPSCANGYPPLKVDDQDGCCQHYQCQCV CSGWGDPHYITFDGTYYTFLDNCTYVLVQQIVPVFGYFRVLIDNYYCDVGDSVSCPQSII VEYHQDRVVLTRRPVSGVMTNQIIFNNKVVSPGFQQNGIVTSRVGIKMYVTIQEIGVRVM FSGLIFSVEVPFNLFANNTEGQCGTCTNDKKDECRLPGGSIASSCSEMSLHWKVPNQPSC QGPPPTPTSVVPRPSPTPCPPSPLCELILSNTFKLCHDVIPPLQFYQGCLFDYCHMLDLE VVCSGLELYASLCAAQGVCIPWRSQTNNTCSFTCPDNQVYQPCGPSNPHYCYRDDSISPS LTLQEAGPKTEGCFCPDSTTLFSTNDSICVPSCQWCLGPRGEPVEPGHTISIDCQDCICK EATLTCQKKACPQPTCPEPGFVPVPVALEAGQCCPQFSCACNSSHCPPPLHCPKNSSLIV TYEEGACCPTQNCSSQKGCEVNGTLYQPGDVVSSSLCERCLCEVSSNPLSDVFMVSCETE LCNTQCPKGSEYQAMPGQCCGKCIPKTCPFKNNSGSTYFYQPGELWAEPGNPCVTHKCEK FQDVLMVVTMKTECPKINCPQGQAQLREDGCCYDCPLPNQQKCTVHQRQQIIRQQNCSSE GPVSISYCQGNCGDSISMYSLEANKVEHTCECCQELQTSQRNVTLRCDDGSSQTFSYTQV EKCGCLGQQCHALGDTSHAESSEQEFKSKESEEHGQQLAFRVSEDMLGPFQ >ENSMUSP00000052200.5 pep:known chromosome:GRCm38:7:30094794:30107622:1 gene:ENSMUSG00000049421.13 transcript:ENSMUST00000050735.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp260 description:zinc finger protein 260 [Source:MGI Symbol;Acc:MGI:1347071] MLESLQPESHLLHDEPDPGESVYECNECKETFSLEQNFVEHKKTHSGEKSPECTGCGEES SQASSLTLHLRSRPRRESYKCGECGKAFSQRGNFLSHQKQHTEERPSESKKTPVPMTTTV RNQRNTGNKPYACKECGKAFNGKSYLKEHEKIHTGEKPFECSQCGRAFSQKQYLIKHQNI HSGKKPFKCNECGKAFSQKENLIIHQRIHTGEKPYECKGCGKAFIQKSSLIRHQRSHTGE KPYTCKECGKAFSGKSNLTEHEKIHIGEKPYKCNECGTIFRQKQYLIKHHNIHTGEKPYE CNKCGKAFSRITSLIVHVRIHTGDKPYECKICGKAFCQSSSLTVHMRSHTGEKPYGCNEC GKAFSQFSTLALHMRIHTGEKPYQCSECGKAFSQKSHHIRHQRIHIH >ENSMUSP00000122253.1 pep:known chromosome:GRCm38:7:30095169:30104759:1 gene:ENSMUSG00000049421.13 transcript:ENSMUST00000130526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp260 description:zinc finger protein 260 [Source:MGI Symbol;Acc:MGI:1347071] MLESLQPESHLLHDEPDPGESVYECNE >ENSMUSP00000103835.1 pep:known chromosome:GRCm38:7:30095185:30107614:1 gene:ENSMUSG00000049421.13 transcript:ENSMUST00000108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp260 description:zinc finger protein 260 [Source:MGI Symbol;Acc:MGI:1347071] MLESLQPESHLLHDEPDPGESVYECNECKETFSLEQNFVEHKKTHSGEKSPECTGCGEES SQASSLTLHLRSRPRRESYKCGECGKAFSQRGNFLSHQKQHTEERPSESKKTPVPMTTTV RNQRNTGNKPYACKECGKAFNGKSYLKEHEKIHTGEKPFECSQCGRAFSQKQYLIKHQNI HSGKKPFKCNECGKAFSQKENLIIHQRIHTGEKPYECKGCGKAFIQKSSLIRHQRSHTGE KPYTCKECGKAFSGKSNLTEHEKIHIGEKPYKCNECGTIFRQKQYLIKHHNIHTGEKPYE CNKCGKAFSRITSLIVHVRIHTGDKPYECKICGKAFCQSSSLTVHMRSHTGEKPYGCNEC GKAFSQFSTLALHMRIHTGEKPYQCSECGKAFSQKSHHIRHQRIHIH >ENSMUSP00000108149.1 pep:known chromosome:GRCm38:6:124396817:124414433:-1 gene:ENSMUSG00000005069.12 transcript:ENSMUST00000112530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5 description:peroxisomal biogenesis factor 5 [Source:MGI Symbol;Acc:MGI:1098808] MAMRELVEGECGGANPLMKLATHFTQDKALRQEGLRPGPWPPGASAAETVSKPLGVGTED ELVSEFLQDQNATLVSRAPQTFKMDDLLAEMQEIEQSNFRQAPQRAPGVADLALSENWAQ EFLAAGDAVDVAQDYNETDWSQEFIAEVTDPLSVSPARWAEEYLEQSEEKLWLGDQEGSS TADRWYDEYHPEEDLQHTASDFVSKVDDPKLANSEIGEGQVSLESAAGSGGAQAEQWAAE FIQQQGTSEAWVDQFTRPGNKIAALQVEFERAKSAIESDVDFWDKLQAELEEMAKRDAEA HPWLSDYDDLTSASYDKGYQFEEENPLRDHPQPFEEGLHRLEEGDLPNAVLLFEAAVQQD PKHMEAWQYLGTTQAENEQELLAISALRRCLELKPDNRTALMALAVSFTNESLQRQACET LRDWLRYSPAYAHLVAPGEEGATGAGPSKRILGSLLSDSLFLEVKDLFLAAVRLDPTSID PDVQCGLGVLFNLSGEYDKAVDCFTAALSVRPNDYLMWNKLGATLANGNQSEEAVAAYRR ALELQPGYIRSRYNLGISCINLGAHREAVEHFLEALNMQRKSRGPRGEGGAMSENIWSTL RLALSMLGQSDAYGAADARDLSALLAMFGLPQ >ENSMUSP00000108150.1 pep:known chromosome:GRCm38:6:124396817:124414863:-1 gene:ENSMUSG00000005069.12 transcript:ENSMUST00000112531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5 description:peroxisomal biogenesis factor 5 [Source:MGI Symbol;Acc:MGI:1098808] MAMRELVEGECGGANPLMKLATHFTQDKALRQEGLRPGPWPPGASAAETVSKPLGVGTED ELVSEFLQDQNATLVSRAPQTFKMDDLLAEMQEIEQSNFRQAPQRAPGVADLALSENWAQ EFLAAGDAVDVAQDYNETDWSQEFIAEVTDPLSVSPARWAEEYLEQSEEKLWLGDQEGSS TADRWYDEYHPEEDLQHTASDFVSKVDDPKLANSEGTSEAWVDQFTRPGNKIAALQVEFE RAKSAIESDVDFWDKLQAELEEMAKRDAEAHPWLSDYDDLTSASYDKGYQFEEENPLRDH PQPFEEGLHRLEEGDLPNAVLLFEAAVQQDPKHMEAWQYLGTTQAENEQELLAISALRRC LELKPDNRTALMALAVSFTNESLQRQACETLRDWLRYSPAYAHLVAPGEEGATGAGPSKR ILGSLLSDSLFLEVKDLFLAAVRLDPTSIDPDVQCGLGVLFNLSGEYDKAVDCFTAALSV RPNDYLMWNKLGATLANGNQSEEAVAAYRRALELQPGYIRSRYNLGISCINLGAHREAVE HFLEALNMQRKSRGPRGEGGAMSENIWSTLRLALSMLGQSDAYGAADARDLSALLAMFGL PQ >ENSMUSP00000079398.5 pep:known chromosome:GRCm38:6:124396817:124415067:-1 gene:ENSMUSG00000005069.12 transcript:ENSMUST00000080557.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5 description:peroxisomal biogenesis factor 5 [Source:MGI Symbol;Acc:MGI:1098808] MAMRELVEGECGGANPLMKLATHFTQDKALRQEGLRPGPWPPGASAAETVSKPLGVGTED ELVSEFLQDQNATLVSRAPQTFKMDDLLAEMQEIEQSNFRQAPQRAPGVADLALSENWAQ EFLAAGDAVDVAQDYNETDWSQEFIAEVTDPLSVSPARWAEEYLEQSEEKLWLGDQEGSS TADRWYDEYHPEEDLQHTASDFVSKVDDPKLANSEGTSEAWVDQFTRPGNKIAALQVEFE RAKSAIESDVDFWDKLQAELEEMAKRDAEAHPWLSDYDDLTSASYDKGYQFEEENPLRDH PQPFEEGLHRLEEGDLPNAVLLFEAAVQQDPKHMEAWQYLGTTQAENEQELLAISALRRC LELKPDNRTALMALAVSFTNESLQRQACETLRDWLRYSPAYAHLVAPGEEGATGAGPSKR ILGSLLSDSLFLEVKDLFLAAVRLDPTSIDPDVQCGLGVLFNLSGEYDKAVDCFTAALSV RPNDYLMWNKLGATLANGNQSEEAVAAYRRALELQPGYIRSRYNLGISCINLGAHREAVE HFLEALNMQRKSRGPRGEGGAMSENIWSTLRLALSMLGQSDAYGAADARDLSALLAMFGL PQ >ENSMUSP00000049132.5 pep:known chromosome:GRCm38:6:124396832:124415037:-1 gene:ENSMUSG00000005069.12 transcript:ENSMUST00000035861.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5 description:peroxisomal biogenesis factor 5 [Source:MGI Symbol;Acc:MGI:1098808] MAMRELVEGECGGANPLMKLATHFTQDKALRQEGLRPGPWPPGASAAETVSKPLGVGTED ELVSEFLQDQNATLVSRAPQTFKMDDLLAEMQEIEQSNFRQAPQRAPGVADLALSENWAQ EFLAAGDAVDVAQDYNETDWSQEFIAEVTDPLSVSPARWAEEYLEQSEEKLWLGDQEGSS TADRWYDEYHPEEDLQHTASDFVSKVDDPKLANSEFLKFVRQIGEGQVSLESAAGSGGAQ AEQWAAEFIQQQGTSEAWVDQFTRPGNKIAALQVEFERAKSAIESDVDFWDKLQAELEEM AKRDAEAHPWLSDYDDLTSASYDKGYQFEEENPLRDHPQPFEEGLHRLEEGDLPNAVLLF EAAVQQDPKHMEAWQYLGTTQAENEQELLAISALRRCLELKPDNRTALMALAVSFTNESL QRQACETLRDWLRYSPAYAHLVAPGEEGATGAGPSKRILGSLLSDSLFLEVKDLFLAAVR LDPTSIDPDVQCGLGVLFNLSGEYDKAVDCFTAALSVRPNDYLMWNKLGATLANGNQSEE AVAAYRRALELQPGYIRSRYNLGISCINLGAHREAVEHFLEALNMQRKSRGPRGEGGAMS ENIWSTLRLALSMLGQSDAYGAADARDLSALLAMFGLPQ >ENSMUSP00000108151.1 pep:known chromosome:GRCm38:6:124396816:124415067:-1 gene:ENSMUSG00000005069.12 transcript:ENSMUST00000112532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5 description:peroxisomal biogenesis factor 5 [Source:MGI Symbol;Acc:MGI:1098808] MAMRELVEGECGGANPLMKLATHFTQDKALRQEGLRPGPWPPGASAAETVSKPLGVGTED ELVSEFLQDQNATLVSRAPQTFKMDDLLAEMQEIEQSNFRQAPQRAPGVADLALSENWAQ EFLAAGDAVDVAQDYNETDWSQEFIAEVTDPLSVSPARWAEEYLEQSEEKLWLGDQEGSS TADRWYDEYHPEEDLQHTASDFVSKVDDPKLANSEFLKFVRQIGEGQVSLESAAGSGGAQ AEQWAAEFIQQQGTSEAWVDQFTRPGNKIAALQVEFERAKSAIESDVDFWDKLQAELEEM AKRDAEAHPWLSDYDDLTSASYDKGYQFEEENPLRDHPQPFEEGLHRLEEGDLPNAVLLF EAAVQQDPKHMEAWQYLGTTQAENEQELLAISALRRCLELKPDNRTALMALAVSFTNESL QRQACETLRDWLRYSPAYAHLVAPGEEGATGAGPSKRILGSLLSDSLFLEVKDLFLAAVR LDPTSIDPDVQCGLGVLFNLSGEYDKAVDCFTAALSVRPNDYLMWNKLGATLANGNQSEE AVAAYRRALELQPGYIRSRYNLGISCINLGAHREAVEHFLEALNMQRKSRGPRGEGGAMS ENIWSTLRLALSMLGQSDAYGAADARDLSALLAMFGLPQ >ENSMUSP00000054856.4 pep:known chromosome:GRCm38:11:4701973:4704342:-1 gene:ENSMUSG00000059534.8 transcript:ENSMUST00000058407.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcr10 description:ubiquinol-cytochrome c reductase, complex III subunit X [Source:MGI Symbol;Acc:MGI:1913402] MSSPTIPSRLYSLLFRRTSTFALTIAVGALFFERAFDQGADAIYEHINEGKLWKHIKHKY ENKE >ENSMUSP00000034398.5 pep:known chromosome:GRCm38:9:13807794:13827107:-1 gene:ENSMUSG00000031922.12 transcript:ENSMUST00000034398.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57 description:centrosomal protein 57 [Source:MGI Symbol;Acc:MGI:1915551] MAAASVSAASDSQFSSVLAEPSRSNGNMVRHSSSPYVLYPPDKPFLNSDLRRSPNKPTFA YPESNSRAIFSALKNLQDKIRRLELERIQAEESVKTLSRETIEYKKVLDEQIQERENSKN EESKHNQELASQLVAAENKCNLLEKQLEYMRNMIKHAEMERTSVLEKQVSLERERQHDQT HVQSQLEKLDLLEQEYNKLTAMQALAEKKMQELESKLREEEQERKRMQARAAELQSGLEA NRLIFEDKTTSCVSTSTRKIKKKKSKPPEKKGSRTYFGAQPHYRLCLGDMPFVAGTSTSP SHAVVANVQHVLHLMKHHSKALCNDRVVNSVPLAKQACSRVSKSKKSVVPPSSSVNEELS DVLQTLQDEFGQMSFDHQQLTKLIQESPTVELKDNLECELEALVGRMEAKANQITKVRKY QAQLEKQNIDKQKKELKANKKTLDEEGNSSGRSSGVPRTASKKDLAKQRPGEKSRKNLQL LKDMQTIQNSLQSSNLCWDY >ENSMUSP00000114749.1 pep:known chromosome:GRCm38:9:13807792:13826991:-1 gene:ENSMUSG00000031922.12 transcript:ENSMUST00000142494.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep57 description:centrosomal protein 57 [Source:MGI Symbol;Acc:MGI:1915551] MAAASVSAASDSQFSSVLAEPSRSNGNMVRHSSSPYVLYPPDKPFLNSDLRRSPNKPTFA YPESNSRAIFSALKNLQDKIRRLELERIQAEESVKTLSRETIEYKKVLDEQIQERENSKN EESKHNQELASQLVAAENKCNLLEKQLEYMRNMIKHAEMERTSVLEKQVSLERERQHDQT HVQSQLEKLDLLEQEYNKLTAMQALAEKKMQELESKLREEEQERKRMQARAAELQSGLEA NRLIFEDKTTSCVSTSTRKIKKKKSKPPEKKGSRTYFGAQPHYRLCLGDMPFVAGT >ENSMUSP00000114940.1 pep:known chromosome:GRCm38:9:13813432:13827053:-1 gene:ENSMUSG00000031922.12 transcript:ENSMUST00000144484.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep57 description:centrosomal protein 57 [Source:MGI Symbol;Acc:MGI:1915551] MAAASVSAASDSQFSVRGGNRVGSRWACTALRVASLFRGPAIWRQPSSRRMAGCPRPRAT PGGCNCVFWSTSFSTLRSLVLSAGALERCPEPGWCTLRPANTKLCRSRERNGPSRKLTNR AS >ENSMUSP00000114665.1 pep:known chromosome:GRCm38:9:13807795:13827052:-1 gene:ENSMUSG00000031922.12 transcript:ENSMUST00000148086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57 description:centrosomal protein 57 [Source:MGI Symbol;Acc:MGI:1915551] MVRHSSSPYVLYPPDKPFLNSDLRRSPNKPTFAYPESNSRAIFSALKNLQDKIRRLELER IQAEESVKTLSRETIEYKKVLDEQIQERENSKNEESKHNQELASQLVAAENKCNLLEKQL EYMRNMIKHAEMERTSVLEKQVSLERERQHDQTHVQSQLEKLDLLEQEYNKLTAMQALAE KKMQELESKLREEEQERKRMQARAAELQSGLEANRLIFEDKTTSCVSTSTRKIKKKKSKP PEKKGSRTYFGAQPHYRLCLGDMPFVAGTSTSPSHAVVANVQHVLHLMKHHSKALCNDRV VNSVPLAKQACSRVSKSKKSVVPPSSSVNEELSDVLQTLQDEFGQMSFDHQQLTKLIQES PTVELKDNLECELEALVGRMEAKANQITKVRKYQAQLEKQNIDKQKKELKANKKTLDEEG NSSGRSSGVPRTASKKDLAKQRPGEKSRKNLQLLKDMQTIQNSLQSSNLCWDY >ENSMUSP00000119081.1 pep:known chromosome:GRCm38:9:13808184:13818686:-1 gene:ENSMUSG00000031922.12 transcript:ENSMUST00000124883.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57 description:centrosomal protein 57 [Source:MGI Symbol;Acc:MGI:1915551] MRNMIKHAEMERTSVLEKQVSLERERQHDQTHVQSQLEKLDLLEQEYNKLTAMQALAEKK MQELESKLREEEQERKRMQARAAELQSGLEANRLIFEDKTTSCVSTSTRKIKKKKSKPPE KKGSRTYFGAQPHYRLCLGDMPFVAGTSTSPSHAVVANVQHVLHLMKHHSKALCNDRVVN SVPLAKQACSRVSKSKKSVVPPSSSVNEELSDVLQTLQDEFGQMSFDHQQLTKLIQESPT VELKDNLECELEALVGRMEAKANQITKVRKYQAQLEKQNIDKQKKELKANKKTLDEEGNS SGRSSGVPRTASKKDLAKQRPGEKSRKNLQLLKDMQTIQNSLQSSNLCWDY >ENSMUSP00000115338.1 pep:known chromosome:GRCm38:9:13808816:13818665:-1 gene:ENSMUSG00000031922.12 transcript:ENSMUST00000150893.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57 description:centrosomal protein 57 [Source:MGI Symbol;Acc:MGI:1915551] MRNMIKHAEMERTSVLEKQVSLERERQHDQTHVQSQLEKLDLLEQEYNKLTAMQALAEKK MQELESKLREEEQERKRMQARAAELQSGLEANRLIFEDKTTSCVSTSTRKIKKKKSKPPE KKGSRTYFGAQPHYRLCLGDMPFVAGTSTSPSHAVVANVQHVLHLMKHHSKALCNDRVVN SVPLAKQACSRVSKSKKSVVPPSSSVNEELSDVLQTLQDEFGQMSFDHQQLTKLIQESPT VELKDNLECELEALVGRMEAKANQITKVRKYQAQLEKQNIDK >ENSMUSP00000116847.1 pep:known chromosome:GRCm38:9:13810720:13818909:-1 gene:ENSMUSG00000031922.12 transcript:ENSMUST00000151878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57 description:centrosomal protein 57 [Source:MGI Symbol;Acc:MGI:1915551] XIQERENSKNEESKHNQELASQLVAAENKCNLLEKQLEYMRNMIKHAEMERTSVLEKQVS LERERQHDQTHVQSQLEKLDLLEQEYNKLTAMQALAEKKMQELESKLREEEQERKRMQAR AAESGLEANRLIFEDKTTSCVSTSTRKIKKKKSKPPEKKGSRTYFGAQPHYRLCLGDMPF VAGTSTSPSHAVVANVQHVLHLMKHHSKALCNDRVVNSVPLAKQACSRVSK >ENSMUSP00000116931.1 pep:known chromosome:GRCm38:9:13807825:13826955:-1 gene:ENSMUSG00000031922.12 transcript:ENSMUST00000147115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep57 description:centrosomal protein 57 [Source:MGI Symbol;Acc:MGI:1915551] MAAASVSAASDSQFSSVLAEPSRSNGNMVRHSSSPYVLYPPDKPFLNSDLRRSPNKPTFA YPESNSRAIFSALKNLQDKIRRLELERIQAEESVKTLSRETIEYKKVLDEQIQERENSKN EESKHNQELASQLVAAENKCNLLEKQLEYMRNMIKHAEMERTSVLEKQVSLERERQHDQT HVQSQLEKLDLLEQEYNKLTAMQALAEKKMQELESKLREEEQERKRMQARAAELQSGLEA NRLIFEDKTTSCVSTSTRKIKKKKSKPPEKSTSPSHAVVANVQHVLHLMKHHSKALCNDR VVNSVPLAKQACSRVSKSKKSVVPPSSSVNEELSDVLQTLQDEFGQMSFDHQQLTKLIQE SPTVELKDNLECELEALVGRMEAKANQITKVRKYQAQLEKQNIDKQKKELKANKKTLDEE GNSSGRSSGVPRTASKKDLAKQRPGEKSRKNLQLLKDMQTIQNSLQSSNLCWDY >ENSMUSP00000116713.1 pep:known chromosome:GRCm38:9:13808549:13827025:-1 gene:ENSMUSG00000031922.12 transcript:ENSMUST00000134746.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep57 description:centrosomal protein 57 [Source:MGI Symbol;Acc:MGI:1915551] MAAASVSAASDSQFSSVLAEPSRSNGNMVRHSSSPYVLYPPDKPFLNSDLRRSPNKPTFA YPESNSRAIFSALKNLQDKIRRLELERIQAEESVKTLSRETIEYKKVLDEQIQERENSKN EESKHNQELASQLVAAENKCNLLEKQLEYMRNMIKHAEMERTSVLEKQVSLERERQHDQT HVQSQLEKLDLLEQEYNKLTAMQALAEVSQ >ENSMUSP00000025585.3 pep:known chromosome:GRCm38:19:11747554:11763447:1 gene:ENSMUSG00000024682.3 transcript:ENSMUST00000025585.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gif description:gastric intrinsic factor [Source:MGI Symbol;Acc:MGI:1202394] MAWLTLYLLSVLWAVAGTSTRAQSSCSVPPDQQPWVDGLQALMENSVTDSDFPNPSILIA MNLAGAYNVEAQKLLTYQLMASDSADLTSGQLALTVMALTSSCRDPGSKVSTLLKKMENW SPSSPGAESSAFYGPGLAILALCQKSSEATLPIAVRFAKTLMMEPSPFNVDTGAVATLAL TCMYNKIPVGSQENYRDLFGQALKAIVEKISLRIKADGIIGDIYSTGLAMQALSVTPEQP TKKWDCEKTMHTILNEIKQGKFQNPMSIAQILPSLKGKTYLDVPQVTCGPDHEVPPTLTD YPTPVPTSVSNITVIYTINNQLRGVDLLFNVTIEVSVKSGSVLLAVLEEAQRKNSMFKFE TTMTSWGLIVSSINNIAENVNHKTYWEFLSGKTPLDEGVAYYIPFNHEHITANFTQY >ENSMUSP00000099671.3 pep:known chromosome:GRCm38:11:68691915:68816632:1 gene:ENSMUSG00000020900.15 transcript:ENSMUST00000102611.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh10 description:myosin, heavy polypeptide 10, non-muscle [Source:MGI Symbol;Acc:MGI:1930780] MAQRTGLEDPERYLFVDRAVIYNPATQADWTAKKLVWIPSERHGFEAASIKEERGDEVMV ELAENGKKAMVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKDRYYSGLIYTYSGL FCVVINPYKNLPIYSENIIEMYRGKKRHEMPPHIYAISESAYRCMLQDREDQSILCTGES GAGKTENTKKVIQYLAHVASSHKGRKDHNIPGELERQLLQANPILESFGNAKTVKNDNSS RFGKFIRINFDVTGYIVGANIETYLLEKSRAVRQAKDERTFHIFYQLLSGAGEHLKSDLL LEGFNNYRFLSNGYIPIPGQQDKDNFQETMEAMHIMGFSHEEILSMLKVVSSVLQFGNIS FKKERNTDQASMPENTVAQKLCHLLGMNVMEFTRAILTPRIKVGRDYVQKAQTKEQADFA VEALAKATYERLFRWLVHRINKALDRTKRQGASFIGILDIAGFEIFELNSFEQLCINYTN EKLQQLFNHTMFILEQEEYQREGIEWNFIDFGLDLQPCIDLIERPANPPGVLALLDEECW FPKATDKTFVEKLVQEQGSHSKFQKPRQLKDKADFCIIHYAGKVDYKADEWLMKNMDPLN DNVATLLHQSSDRFVAELWKDVDRIVGLDQVTGMTETAFGSAYKTKKGMFRTVGQLYKES LTKLMATLRNTNPNFVRCIIPNHEKRAGKLDPHLVLDQLRCNGVLEGIRICRQGFPNRIV FQEFRQRYEILTPNAIPKGFMDGKQACERMIRALELDPNLYRIGQSKIFFRAGVLAHLEE ERDLKITDIIIFFQAVCRGYLARKAFAKKQQQLSALKVLQRNCAAYLKLRHWQWWRVFTK VKPLLQVTRQEEELQAKDEELLKVKEKQTKVEGELEEMERKHQQLLEEKNILAEQLQAET ELFAEAEEMRARLAAKKQELEEILHDLESRVEEEEERNQILQNEKKKMQAHIQDLEEQLD EEEGARQKLQLEKVTAEAKIKKMEEEVLLLEDQNSKFIKEKKLMEDRIAECSSQLAEEEE KAKNLAKIRNKQEVMISDLEERLKKEEKTRQELEKAKRKLDGETTDLQDQIAELQAQVDE LKVQLTKKEEELQGALARGDDETLHKNNALKVARELQAQIAELQEDFESEKASRNKAEKQ KRDLSEELEALKTELEDTLDTTAAQQELRTKREQEVAELKKALEDETKNHEAQIQDMRQR HATALEELSEQLEQAKRFKANLEKNKQGLETDNKELACEVKVLQQVKAESEHKRKKLDAQ VQELHAKVSEGDRLRVELAEKANKLQNELDNVSTLLEEAEKKGIKFAKDAAGLESQLQDT QELLQEETRQKLNLSSRIRQLEEEKNSLQEQQEEEEEARKNLEKQVLALQSQLADTKKKV DDDLGTIESLEEAKKKLLKDVEALSQRLEEKVLAYDKLEKTKNRLQQELDDLTVDLDHQR QIVSNLEKKQKKFDQLLAEEKGISARYAEERDRAEAEAREKETKALSLARALEEALEAKE EFERQNKQLRADMEDLMSSKDDVGKNVHELEKSKRALEQQVEEMRTQLEELEDELQATED AKLRLEVNMQAMKAQFERDLQTRDEQNEEKKRLLLKQVRELEAELEDERKQRALAVASKK KMEIDLKDLEAQIEAANKARDEVIKQLRKLQAQMKDYQRELEEARASRDEIFAQSKESEK KLKSLEAEILQLQEELASSERARRHAEQERDELADEIANSASGKSALLDEKRRLEARIAQ LEEELEEEQSNMELLNDRFRKTTLQVDTLNTELAAERSAAQKSDNARQQLERQNKELKAK LQELEGAVKSKFKATISALEAKIGQLEEQLEQEAKERAAANKLVRRTEKKLKEIFMQVED ERRHADQYKEQMEKANARMKQLKRQLEEAEEEATRANASRRKLQRELDDATEANEGLSRE VSTLKNRLRRGGPISFSSSRSGRRQLHIEGASLELSDDDTESKTSDVNDTQPPQSE >ENSMUSP00000018887.8 pep:known chromosome:GRCm38:11:68692112:68816612:1 gene:ENSMUSG00000020900.15 transcript:ENSMUST00000018887.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh10 description:myosin, heavy polypeptide 10, non-muscle [Source:MGI Symbol;Acc:MGI:1930780] MAQRTGLEDPERYLFVDRAVIYNPATQADWTAKKLVWIPSERHGFEAASIKEERGDEVMV ELAENGKKAMVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKDRYYSGLIYTYSGL FCVVINPYKNLPIYSENIIEMYRGKKRHEMPPHIYAISESAYRCMLQDREDQSILCTGES GAGKTENTKKVIQYLAHVASSHKGRKDHNIPQESPKPVKPQGELERQLLQANPILESFGN AKTVKNDNSSRFGKFIRINFDVTGYIVGANIETYLLEKSRAVRQAKDERTFHIFYQLLSG AGEHLKSDLLLEGFNNYRFLSNGYIPIPGQQDKDNFQETMEAMHIMGFSHEEILSMLKVV SSVLQFGNISFKKERNTDQASMPENTVAQKLCHLLGMNVMEFTRAILTPRIKVGRDYVQK AQTKEQADFAVEALAKATYERLFRWLVHRINKALDRTKRQGASFIGILDIAGFEIFELNS FEQLCINYTNEKLQQLFNHTMFILEQEEYQREGIEWNFIDFGLDLQPCIDLIERPANPPG VLALLDEECWFPKATDKTFVEKLVQEQGSHSKFQKPRQLKDKADFCIIHYAGKVDYKADE WLMKNMDPLNDNVATLLHQSSDRFVAELWKDEIQTIQRASFYDSVSGLHEPPVDRIVGLD QVTGMTETAFGSAYKTKKGMFRTVGQLYKESLTKLMATLRNTNPNFVRCIIPNHEKRAGK LDPHLVLDQLRCNGVLEGIRICRQGFPNRIVFQEFRQRYEILTPNAIPKGFMDGKQACER MIRALELDPNLYRIGQSKIFFRAGVLAHLEEERDLKITDIIIFFQAVCRGYLARKAFAKK QQQLSALKVLQRNCAAYLKLRHWQWWRVFTKVKPLLQVTRQEEELQAKDEELLKVKEKQT KVEGELEEMERKHQQLLEEKNILAEQLQAETELFAEAEEMRARLAAKKQELEEILHDLES RVEEEEERNQILQNEKKKMQAHIQDLEEQLDEEEGARQKLQLEKVTAEAKIKKMEEEVLL LEDQNSKFIKEKKLMEDRIAECSSQLAEEEEKAKNLAKIRNKQEVMISDLEERLKKEEKT RQELEKAKRKLDGETTDLQDQIAELQAQVDELKVQLTKKEEELQGALARGDDETLHKNNA LKVARELQAQIAELQEDFESEKASRNKAEKQKRDLSEELEALKTELEDTLDTTAAQQELR TKREQEVAELKKALEDETKNHEAQIQDMRQRHATALEELSEQLEQAKRFKANLEKNKQGL ETDNKELACEVKVLQQVKAESEHKRKKLDAQVQELHAKVSEGDRLRVELAEKANKLQNEL DNVSTLLEEAEKKGIKFAKDAAGLESQLQDTQELLQEETRQKLNLSSRIRQLEEEKNSLQ EQQEEEEEARKNLEKQVLALQSQLADTKKKVDDDLGTIESLEEAKKKLLKDVEALSQRLE EKVLAYDKLEKTKNRLQQELDDLTVDLDHQRQIVSNLEKKQKKFDQLLAEEKGISARYAE ERDRAEAEAREKETKALSLARALEEALEAKEEFERQNKQLRADMEDLMSSKDDVGKNVHE LEKSKRALEQQVEEMRTQLEELEDELQATEDAKLRLEVNMQAMKAQFERDLQTRDEQNEE KKRLLLKQVRELEAELEDERKQRALAVASKKKMEIDLKDLEAQIEAANKARDEVIKQLRK LQAQMKDYQRELEEARASRDEIFAQSKESEKKLKSLEAEILQLQEELASSERARRHAEQE RDELADEIANSASGKSALLDEKRRLEARIAQLEEELEEEQSNMELLNDRFRKTTLQVDTL NTELAAERSAAQKSDNARQQLERQNKELKAKLQELEGAVKSKFKATISALEAKIGQLEEQ LEQEAKERAAANKLVRRTEKKLKEIFMQVEDERRHADQYKEQMEKANARMKQLKRQLEEA EEEATRANASRRKLQRELDDATEANEGLSREVSTLKNRLRRGGPISFSSSRSGRRQLHIE GASLELSDDDTESKTSDVNDTQPPQSE >ENSMUSP00000104313.1 pep:known chromosome:GRCm38:11:68692081:68746483:1 gene:ENSMUSG00000020900.15 transcript:ENSMUST00000108673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh10 description:myosin, heavy polypeptide 10, non-muscle [Source:MGI Symbol;Acc:MGI:1930780] MAQRTGLEDPERYLFVDRAVIYNPATQADWTAKKLVWIPSERHGFEAASIKEERGDEVMV ELAENGKKAMVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKDRYYSGLIYTYSGL FCVVINPYKNLPIYSENIIEMYRGKKRHEMPPHIYAISESAYRCMLQDREDQSILCTGES GAGKTENTKKVIQYLAHVASSHKGRKDHNIPQESPKPVKPQASDFLCASQS >ENSMUSP00000090661.5 pep:known chromosome:GRCm38:11:68692316:68816624:1 gene:ENSMUSG00000020900.15 transcript:ENSMUST00000092984.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh10 description:myosin, heavy polypeptide 10, non-muscle [Source:MGI Symbol;Acc:MGI:1930780] MRMEIWGWGCRDQLLVALDPWKRGVGRGNYFWVLLFTMAQRTGLEDPERYLFVDRAVIYN PATQADWTAKKLVWIPSERHGFEAASIKEERGDEVMVELAENGKKAMVNKDDIQKMNPPK FSKVEDMAELTCLNEASVLHNLKDRYYSGLIYTYSGLFCVVINPYKNLPIYSENIIEMYR GKKRHEMPPHIYAISESAYRCMLQDREDQSILCTGESGAGKTENTKKVIQYLAHVASSHK GRKDHNIPGELERQLLQANPILESFGNAKTVKNDNSSRFGKFIRINFDVTGYIVGANIET YLLEKSRAVRQAKDERTFHIFYQLLSGAGEHLKSDLLLEGFNNYRFLSNGYIPIPGQQDK DNFQETMEAMHIMGFSHEEILSMLKVVSSVLQFGNISFKKERNTDQASMPENTVAQKLCH LLGMNVMEFTRAILTPRIKVGRDYVQKAQTKEQADFAVEALAKATYERLFRWLVHRINKA LDRTKRQGASFIGILDIAGFEIFELNSFEQLCINYTNEKLQQLFNHTMFILEQEEYQREG IEWNFIDFGLDLQPCIDLIERPANPPGVLALLDEECWFPKATDKTFVEKLVQEQGSHSKF QKPRQLKDKADFCIIHYAGKVDYKADEWLMKNMDPLNDNVATLLHQSSDRFVAELWKDVD RIVGLDQVTGMTETAFGSAYKTKKGMFRTVGQLYKESLTKLMATLRNTNPNFVRCIIPNH EKRAGKLDPHLVLDQLRCNGVLEGIRICRQGFPNRIVFQEFRQRYEILTPNAIPKGFMDG KQACERMIRALELDPNLYRIGQSKIFFRAGVLAHLEEERDLKITDIIIFFQAVCRGYLAR KAFAKKQQQLSALKVLQRNCAAYLKLRHWQWWRVFTKVKPLLQVTRQEEELQAKDEELLK VKEKQTKVEGELEEMERKHQQLLEEKNILAEQLQAETELFAEAEEMRARLAAKKQELEEI LHDLESRVEEEEERNQILQNEKKKMQAHIQDLEEQLDEEEGARQKLQLEKVTAEAKIKKM EEEVLLLEDQNSKFIKEKKLMEDRIAECSSQLAEEEEKAKNLAKIRNKQEVMISDLEERL KKEEKTRQELEKAKRKLDGETTDLQDQIAELQAQVDELKVQLTKKEEELQGALARGDDET LHKNNALKVARELQAQIAELQEDFESEKASRNKAEKQKRDLSEELEALKTELEDTLDTTA AQQELRTKREQEVAELKKALEDETKNHEAQIQDMRQRHATALEELSEQLEQAKRFKANLE KNKQGLETDNKELACEVKVLQQVKAESEHKRKKLDAQVQELHAKVSEGDRLRVELAEKAN KLQNELDNVSTLLEEAEKKGIKFAKDAAGLESQLQDTQELLQEETRQKLNLSSRIRQLEE EKNSLQEQQEEEEEARKNLEKQVLALQSQLADTKKKVDDDLGTIESLEEAKKKLLKDVEA LSQRLEEKVLAYDKLEKTKNRLQQELDDLTVDLDHQRQIVSNLEKKQKKFDQLLAEEKGI SARYAEERDRAEAEAREKETKALSLARALEEALEAKEEFERQNKQLRADMEDLMSSKDDV GKNVHELEKSKRALEQQVEEMRTQLEELEDELQATEDAKLRLEVNMQAMKAQFERDLQTR DEQNEEKKRLLLKQVRELEAELEDERKQRALAVASKKKMEIDLKDLEAQIEAANKARDEV IKQLRKLQAQMKDYQRELEEARASRDEIFAQSKESEKKLKSLEAEILQLQEELASSERAR RHAEQERDELADEIANSASGKSALLDEKRRLEARIAQLEEELEEEQSNMELLNDRFRKTT LQVDTLNTELAAERSAAQKSDNARQQLERQNKELKAKLQELEGAVKSKFKATISALEAKI GQLEEQLEQEAKERAAANKLVRRTEKKLKEIFMQVEDERRHADQYKEQMEKANARMKQLK RQLEEAEEEATRANASRRKLQRELDDATEANEGLSREVSTLKNRLRRGGPISFSSSRSGR RQLHIEGASLELSDDDTESKTSDVNDTQPPQSE >ENSMUSP00000064668.3 pep:known chromosome:GRCm38:11:6105691:6200415:-1 gene:ENSMUSG00000053838.14 transcript:ENSMUST00000066496.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudcd3 description:NudC domain containing 3 [Source:MGI Symbol;Acc:MGI:2144158] MEPGAAELYDQALLGILQHVGNVQDFLRVLFGFLYRKTDFYRLLRHPSDRMGFPPGAAQA LVLQVFKTFDHMARQDDEKRKKELEEKIRKKEEEAKALPAAETEKVAVPVPVQEVEIDAA ADLSGPQEVEKEEPPGSQDPEHTVTHGLEKAEAPGTVSSAAEGPKDPPVLPRIQEQFQKN PDSYNGAIRENYIWSQDYTDLEVRVPVPKHVMKGKQVSVALSSGTIRVAMVEENGERVLM EGKLTHKINTESSLWSLEPGRCVLVNLSKVGEYWWSAILEGEEPIDIDKINKERSMATVD EEEQAVLDRLTFDYHQKLQGKPQSHELKVHEMLKKGWDAEGSPFRGQRFDPAMFNISPGA VQF >ENSMUSP00000123108.1 pep:known chromosome:GRCm38:11:6106458:6193476:-1 gene:ENSMUSG00000053838.14 transcript:ENSMUST00000135631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudcd3 description:NudC domain containing 3 [Source:MGI Symbol;Acc:MGI:2144158] VFKTFDHMARQDDEKRKKELEEKIRKKEEEAKALPAAETEKVAVPVPVQEVEIDAAADLS GPQEVEKEEPPGSQDPEHTVTHGLEKAEAPGTVSSAAEGPKDPPVLPRIQEQFQKNPDSY NGAIRENYIWSQDYTDLEVRVPVPKHVMKGKQVNLSKVGEYWWSAILEGEEPIDIDKINK ERSMATVDEEEQAVLDRLTFDYHQKLQGKPQSHELKVHEMLKKGWDAEGSPFRGQRFDPA MFNISPGAVQF >ENSMUSP00000106196.1 pep:known chromosome:GRCm38:12:69803750:69893009:-1 gene:ENSMUSG00000034761.15 transcript:ENSMUST00000110567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k5 description:mitogen-activated protein kinase kinase kinase kinase 5 [Source:MGI Symbol;Acc:MGI:1925503] MEAPLRPAADILRRNPQHDYELVQRVGSGTYGDVYKARNVHTGELAAVKIIKLEPGDDFS LIQQEIFMVKECKHCNIVAYFGSYLSREKLWICMEYCGGGSLQDIYHVTGPLSEMQIAYV CRETLQGLAYLHTKGKMHRDIKGANILLTDHGDVKLADFGVAAKITATIAKRKSFIGTPY WMAPEVAAVEKNGGYNQLCDIWAVGITAIELGELQPPMFDLHPMRALFLMSKSNFQPPKL KDKTKWSSTFHNFVKIALTKNPKKRPTAERLLTHTFVGQPGLSRALAVELLDKPHAIIRH TIRSTNRNSRAERTASEINFDKLQFEPPLRKETEARDEMGLSSEPNFILHWNPFVDGANT GRSTSKRAIPPPLPPKPRVNTYPEDSLPDEEKSSTIKRCPDLEARAPQVLRRQSSPSCVP VAETSSSIGNGDGISKLISENTEGSAQAPQLPRKKDKRDFPKPTINGLPPTPKVLMGACF SKVFDGCPLKINCATSWIHPDTKDQYIIFGTEDGIYTLNLNELHEATMEQLFPRKCTWLY VINNTLMSLSEGKTFQLYSHNLIALFEQAKKPGLAAHIQTHRFPDRILPRKFALTTKIPD TKGCHKCCIVRNPYTGHKYLCGALQSGIVLLQWYEPMQKFMLIKHFDFPLPSPLNVFEML VIPEQEYPMVCVAISKGSDSSQVVQFETINLNSASSWFTEIGAGSQQLDSIHVTQLERDT VLVCLDKFVKIVNLQGKLKSSKKLASELSFDFRIESVVCLQDSVLAFWKHGMQGKSFKSD EVTQEISDETRVFRLLGSDRVVVLESRPTENPAAHSNLYILAGHENSY >ENSMUSP00000106199.1 pep:known chromosome:GRCm38:12:69803750:69893200:-1 gene:ENSMUSG00000034761.15 transcript:ENSMUST00000110570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k5 description:mitogen-activated protein kinase kinase kinase kinase 5 [Source:MGI Symbol;Acc:MGI:1925503] MEAPLRPAADILRRNPQHDYELVQRVGSGTYGDVYKARNVHTGELAAVKIIKLEPGDDFS LIQQEIFMVKECKHCNIVAYFGSYLSREKLWICMEYCGGGSLQDIYHVTGPLSEMQIAYV CRETLQGLAYLHTKGKMHRDIKGANILLTDHGDVKLADFGVAAKITATIAKRKSFIGTPY WMAPEVAAVEKNGGYNQLCDIWAVGITAIELGELQPPMFDLHPMRALFLMSKSNFQPPKL KDKTKWSSTFHNFVKIALTKNPKKRPTAERLLTHTFVGQPGLSRALAVELLDKVSNPDNH APYSEGDEDDLEPHAIIRHTIRSTNRNSRAERTASEINFDKLQFEPPLRKETEARDEMGL SSEPNFILHWNPFVDGANTGRSTSKRAIPPPLPPKPRVNTYPEDSLPDEEKSSTIKRCPD LEARAPQVLRRQSSPSCVPVAETSSSIGNGDGISKLISENTEGSAQAPQLPRKKDKRDFP KPTINGLPPTPKVLMGACFSKVFDGCPLKINCATSWIHPDTKDQYIIFGTEDGIYTLNLN ELHEATMEQLFPRKCTWLYVINNTLMSLSEGKTFQLYSHNLIALFEQAKKPGLAAHIQTH RFPDRILPRKFALTTKIPDTKGCHKCCIVRNPYTGHKYLCGALQSGIVLLQWYEPMQKFM LIKHFDFPLPSPLNVFEMLVIPEQEYPMVCVAISKGSDSSQVVQFETINLNSASSWFTEI GAGSQQLDSIHVTQLERDTVLVCLDKFVKIVNLQGKLKSSKKLASELSFDFRIESVVCLQ DSVLAFWKHGMQGKSFKSDEVTQEISDETRVFRLLGSDRVVVLESRPTENPAAHSNLYIL AGHENSY >ENSMUSP00000126006.1 pep:known chromosome:GRCm38:12:69803759:69893103:-1 gene:ENSMUSG00000034761.15 transcript:ENSMUST00000171211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k5 description:mitogen-activated protein kinase kinase kinase kinase 5 [Source:MGI Symbol;Acc:MGI:1925503] MVKECKHCNIVAYFGSYLSREKLWICMEYCGGGSLQDIYHVTGPLSEMQIAYVCRETLQG LAYLHTKGKMHRDIKGANILLTDHGDVKLADFGVAAKITATIAKRKSFIGTPYWMAPEVA AVEKNGGYNQLCDIWAVGITAIELGELQPPMFDLHPMRALFLMSKSNFQPPKLKDKTKWS STFHNFVKIALTKNPKKRPTAERLLTHTFVGQPGLSRALAVELLDKVSNPDNHAPYSEGD EDDLEPHAIIRHTIRSTNRNSRAERTASEINFDKLQFEPPLRKETEARDEMGLSSEPNFI LHWNPFVDGANTGRSTSKRAIPPPLPPKPRVNTYPEDSLPDEEKSSTIKRCPDLEARAPQ VLRRQSSPSCVPVAETSSSIGNGDGISKLISENTEGSAQAPQLPRKKDKRDFPKPTINGL PPTPKVLMGACFSKVFDGCPLKINCATSWIHPDTKDQYIIFGTEDGIYTLNLNELHEATM EQLFPRKCTWLYVINNTLMSLSAGKTFQLYSHNLIALFEQAKKPGLAAHIQTHRFPDRIL PRKFALTTKIPDTKGCHKCCIVRNPYTGHKYLCGALQSGIVLLQWYEPMQKFMLIKHFDF PLPSPLNVFEMLVIPEQEYPMVCVAISKGSDSSQVVQFETINLNSASSWFTEIGAGSQQL DSIHVTQLERDTVLVCLDKFVKIVNLQGKLKSSKKLASELSFDFRIESVVCLQDSVLAFW KHGMQGKSFKSDEVTQEISDETRVFRLLGSDRVVVLESRPTENPAAHSNLYILAGHENSY >ENSMUSP00000047812.7 pep:known chromosome:GRCm38:12:69803759:69893163:-1 gene:ENSMUSG00000034761.15 transcript:ENSMUST00000049239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k5 description:mitogen-activated protein kinase kinase kinase kinase 5 [Source:MGI Symbol;Acc:MGI:1925503] MEAPLRPAADILRRNPQHDYELVQRVGSGTYGDVYKARNVHTGELAAVKIIKLEPGDDFS LIQQEIFMVKECKHCNIVAYFGSYLSREKLWICMEYCGGGSLQDIYHVTGPLSEMQIAYV CRETLQGLAYLHTKGKMHRDIKGANILLTDHGDVKLADFGVAAKITATIAKRKSFIGTPY WMAPEVAAVEKNGGYNQLCDIWAVGITAIELGELQPPMFDLHPMRALFLMSKSNFQPPKL KDKTKWSSTFHNFVKIALTKNPKKRPTAERLLTHTFVGQPGLSRALAVELLDKVSNPDNH APYSEGDEDDLEPHAIIRHTIRSTNRNSRAERTASEINFDKLQFEPPLRKETEARDEMGL SSEPNFILHWNPFVDGANTGRSTSKRAIPPPLPPKPRVNTYPEDSLPDEEKSSTIKRCPD LEARAPQVLRRQSSPSCVPVAETSSSIGNGDGISKLISENTEGSAQAPQLPRKKDKRDFP KPTINGLPPTPKVLMGACFSKVFDGCPLKINCATSWIHPDTKDQYIIFGTEDGIYTLNLN ELHEATMEQLFPRKCTWLYVINNTLMSLSAGKTFQLYSHNLIALFEQAKKPGLAAHIQTH RFPDRILPRKFALTTKIPDTKGCHKCCIVRNPYTGHKYLCGALQSGIVLLQWYEPMQKFM LIKHFDFPLPSPLNVFEMLVIPEQEYPMVCVAISKGSDSSQVVQFETINLNSASSWFTEI GAGSQQLDSIHVTQLERDTVLVCLDKFVKIVNLQGKLKSSKKLASELSFDFRIESVVCLQ DSVLAFWKHGMQGKSFKSDEVTQEISDETRVFRLLGSDRVVVLESRPTENPAAHSNLYIL AGHENSY >ENSMUSP00000147065.1 pep:known chromosome:GRCm38:7:20593051:20599021:-1 gene:ENSMUSG00000109060.1 transcript:ENSMUST00000207881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-342N5.5 MMEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRREITYLVMEYASEGELQDRIIKVGSLEE SETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDCRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAVKYEGPPVDIWSLGVLLFLMLSGNLPFQGRSFVDLKQEIISANFSI PSHVSIDISNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000099826.2 pep:known chromosome:GRCm38:11:51289920:51583918:1 gene:ENSMUSG00000063564.13 transcript:ENSMUST00000102765.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col23a1 description:collagen, type XXIII, alpha 1 [Source:MGI Symbol;Acc:MGI:2653243] MGAGERAAGGGGAQDPGAGCGSRALSALCLLLSVGSAAACLLLGAQAAALHGRVAALEQE RELLRHAGPSGALAAWAETHLERLLREKLDGVAKLRTVREAPSECICPPGPPGRRGKPGR RGDPGPPGQSGRDGYPGPLGLDGKPGLPGPKGEKGTPGDFGPRGAQGQDGVAGPPGPPGP PGARGPPGDTGKDGPRGAQGPAGPRGEPGQDGEMGPKGPPGPKGEPGIPGKKGDDGMANQ PGLPGPPGPKGEPGDVGPRGENGVDGIPGLKGEPGHPGVDGATGPRGAPGLKGEQGDTVV IDYDGRILDALKGPPGPQGAPGPPGIPGAKGELGLPGAPGIDGEKGPKGPKGDPGEPGPA GPKGETGEMGLSGLPGADGPKGEKGESASDHLQESLAQIIVEPGPPGPPGPPGPMGLQGI QGPKGLDGAKGEKGTSGERGPHGLPGPVGPPGLIGLPGTKGEKGRPGEPGLDGFPGPRGE KGDRSERGEKGERGVPGRKGVKGQKGEPGPPGLDQPCPVGPDGLPVPGCWHK >ENSMUSP00000119825.1 pep:known chromosome:GRCm38:11:51574432:51580299:1 gene:ENSMUSG00000063564.13 transcript:ENSMUST00000151098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col23a1 description:collagen, type XXIII, alpha 1 [Source:MGI Symbol;Acc:MGI:2653243] GRPGEPGLDGFPGPRGEKGDRSERGEKGERGVPGRKGVKGQKGEPGPPGLDQPCPVEHPR CGGRQGAPGSPGLVKGIRPCPVGPDGLPVPGCWHK >ENSMUSP00000029649.2 pep:known chromosome:GRCm38:3:81932601:81956725:1 gene:ENSMUSG00000028015.3 transcript:ENSMUST00000029649.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctso description:cathepsin O [Source:MGI Symbol;Acc:MGI:2139628] MKPQLVNLLLLCCCCLGRHGVAGTWSWSHQREAAALRESLHRHRYLNSFPHENSTAFYGV NQFSYLFPEEFKALYLGSKYAWAPRYPAEGQRPIPNVSLPLRFDWRDKHVVNPVRNQEMC GGCWAFSVVSAIESARAIQGKSLDYLSVQQVIDCSFNNSGCLGGSPLCALRWLNETQLKL VADSQYPFKAVNGQCRHFPQSQAGVSVKDFSAYNFRGQEDEMARALLSFGPLVVIVDAMS WQDYLGGIIQHHCSSGEANHAVLITGFDRTGNTPYWMVRNSWGSSWGVEGYAHVKMGGNV CGIADSVAAVFV >ENSMUSP00000100546.2 pep:known chromosome:GRCm38:13:21811746:21812147:1 gene:ENSMUSG00000069306.5 transcript:ENSMUST00000104941.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4m description:histone cluster 1, H4m [Source:MGI Symbol;Acc:MGI:2448441] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000116236.1 pep:known chromosome:GRCm38:11:85846790:85850333:-1 gene:ENSMUSG00000069785.4 transcript:ENSMUST00000146433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11444 description:predicted gene 11444 [Source:MGI Symbol;Acc:MGI:3651161] XWEPEGEHLERTYINEEKGSLYQQDLENWVVDSPLLCLSSFQSGVRAMSKRNTNLGWKDG SAGKSTDCSSNGPEFKSQQPHGGSQPSLVTNLTPGVSEDGYSVLTHNKDHRAGTLEALGK NTGLGRFCDLSSTGTGLSLGFSLWNGSSHSKNEKEMCLAWGGGPQLLLSS >ENSMUSP00000084735.5 pep:known chromosome:GRCm38:8:70282827:70286530:1 gene:ENSMUSG00000003573.15 transcript:ENSMUST00000087467.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer3 description:homer scaffolding protein 3 [Source:MGI Symbol;Acc:MGI:1347359] MSTAREQPIFSTRAHVFQIDPTTKRNWIPAGKHALTVSYFYDATRNVYRIISIGGAKAII NSTVTPNMTFTKTSQKFGQWADSRANTVYGLGFASEQQLTQIYLYAFVCICMHLYVHRPC VC >ENSMUSP00000117033.1 pep:known chromosome:GRCm38:8:70282827:70294361:1 gene:ENSMUSG00000003573.15 transcript:ENSMUST00000140212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer3 description:homer scaffolding protein 3 [Source:MGI Symbol;Acc:MGI:1347359] MSTAREQPIFSTRAHVFQIDPTTKRNWIPAGKHALTVSYFYDATRNVYRIISIGGAKAII NSTVTPNMTFTKTSQKFGQWADSRANTVYGLGFASEQQLTQFAEKFQEVKEAARLAREKS QDGGEFTSTGLALASHQVPPSPLVSTNGPGEEKLFRSQSADTPGPTERERLKKMLSEGSV GEVQWEAEFFALQDSNQRLAGALREANAAATQWRQQLEVQRAEAELLRQRVAELEAQVAV EPVRAGEKEATSQSVEQLEARVQTKDQTLKNQSTGTREAPDTAEREETQQQVQDLETRNA ELEQQLRSMECNLEEARAERERARAEVGRAAQLLDVRLFELSELREGLARLAEAAP >ENSMUSP00000003669.7 pep:known chromosome:GRCm38:8:70285246:70294353:1 gene:ENSMUSG00000003573.15 transcript:ENSMUST00000003669.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer3 description:homer scaffolding protein 3 [Source:MGI Symbol;Acc:MGI:1347359] MSTAREQPIFSTRAHVFQIDPTTKRNWIPAGKHALTVSYFYDATRNVYRIISIGGAKAII NSTVTPNMTFTKTSQKFGQWADSRANTVYGLGFASEQQLTQFAEKFQEVKEAARLAREKS QDGGEFTSTGLALASHQVPPSPLVSTNGPGEEKLFRSQSADTPGPTERERLKKMLSEGSV GEVQWEAEFFALQDSNQRLAGALREANAAATQWRQQLEVQRAEAELLRQRVAELEAQVAV EPVRAGEKEATSQSVEQLEARVQTKDQEIQTLKNQSTGTREAPDTAEREETQQQVQDLET RNAELEQQLRSMECNLEEARAERERARAEVGRAAQLLDVRLFELSELREGLARLAEAAP >ENSMUSP00000137560.1 pep:known chromosome:GRCm38:8:70291076:70293469:1 gene:ENSMUSG00000003573.15 transcript:ENSMUST00000135368.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer3 description:homer scaffolding protein 3 [Source:MGI Symbol;Acc:MGI:1347359] XALREANAAATQWRQQLEVQRAEAELLRQRDLETRNAELEQQLRSMECNLEEARAERERA RAEVGRAAQLLDVRLFELSELREGLARLAEAAP >ENSMUSP00000105751.2 pep:known chromosome:GRCm38:8:70282999:70294361:1 gene:ENSMUSG00000003573.15 transcript:ENSMUST00000110124.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer3 description:homer scaffolding protein 3 [Source:MGI Symbol;Acc:MGI:1347359] MSTAREQPIFSTRAHVFQIDPTTKRNWIPAGKHALTVSYFYDATRNVYRIISIGGAKAII NSTVTPNMTFTKTSQKFGQWADSRANTVYGLGFASEQQLTQFAEKFQEVKEAARLAREKS QDGGEFTSTGLALASHQVPPSPLVSTNGPGEEKLFRSQSADTPGPTERERLKKMLSEGSV GEVQWEAEFFALQDSNQRLAGALREANAAATQWRQQLEVQRAEAELLRQRVAELEAQVAV EPVRAGEKEATSQSVEQLEARVQTKDQEIQTLKNQSTGTREAPDTAEREETQQQVQDLET RNAELEQQLRSMECNLEEARAERERARAEVGRAAQLLDVRLFELSELREGLARLAEAAP >ENSMUSP00000100050.1 pep:known chromosome:GRCm38:2:4989714:5012344:-1 gene:ENSMUSG00000026669.14 transcript:ENSMUST00000102985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm10 description:minichromosome maintenance deficient 10 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1917274] MDVEEDDLCLLTSLLEENEAVLPCSSEKDKSLSLGDGDPDEFDELFDADGDGESYTEEAG SGEEGKTGNQEERLATLFGDVEDLTDDEVATSKVGNSGPPPAPSQEKTSEELQDELKKLQ EQMKSLQEQLKAASIKQPPGTAPLQEPPDSSLQPLLKEKRIRRIQESACFSAELDVPTLP KAKRVARKPKTPAESSSRMRTPAQPLQVSSSFLEPNHSSSSRSSTPSPQAVPGNKCSRTI RNQNTVSPGNSGDRPQQVSQVSVEAFSGLRLRRPRVSSTEMSRKMAGRKLIRLPQIKEKM ATENLEETDWVTFGVILRKVTPQSATSGQTFSIWKLNDLHDLTQCVSLFLFGDVHKDLWK TEQGTVIGLLNANPMKPKDGLKEVCLSIDHPQKVLIMGEAMDLGACKAKKKNGEPCTQTV NLHDCEYCQYHIQAQYKKLSAKRTDLQSTFSGGRIPKKFRKGTSLKERLCQDGFYYGGVS SESFAASRAAAIAPKKKVQTTLTNLVVRGTNSIIQETKQKLGIPQKSLSCSEEFRELMAL PTFGARNLQKHLARAKASGSSKPAIQSISASALLKQQKQQMLEMRKRRSEDIQKRFLQSS SEVQSPAVPSSSRQAAAQSPRTGAEFPRLEGTATPRMPKLGRGISEGDDVLFFDDSPPPR PKLSAAAEAKKLAAIAKLRAKGQILTKVDPNNTVRKQMDGRAMLGVKERVENSNTVSPEE ELEPARKKRREQLAYLESEEFQKILKAKSKHTDILKEAEAELQKSYFEPLVKKEQMEEKM RATREVKCRVVTCRTCTYTHFKPLETCVSEQHNLHWHDGVKRFFKCPCGNRTISLDKLPN KHCRNCGLYKWERDGMLKEKTGPKIGGETLLPRGEEHAKFLNSLK >ENSMUSP00000027980.7 pep:known chromosome:GRCm38:2:4990724:5012791:-1 gene:ENSMUSG00000026669.14 transcript:ENSMUST00000027980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm10 description:minichromosome maintenance deficient 10 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1917274] MDVEEDDLCLLTSLLEENEAVLPCSSEKDKSLSLGDGDPDEFDELFDADGDGESYTEEAG SGEEGKTGNQEERLATLFGDVEDLTDDEVATSKVGNSGPPPAPSQEKTSEELQDELKKLQ EQMKSLQEQLKAASIKQPPGTAPLQEPPDSSLQPLLKEKRIRRIQESACFSAELDVPTLP KAKRVARKPKTPAESSSRMRTPAQPLQVSSSFLEPNHSSSSRSSTPSPQAVPGNKCSRTI RNQNTVSPGNSGDRPQQVSQVSVEAFSGLRLRRPRVSSTEMSRKMAGRKLIRLPQIKEKM ATENLEETDWVTFGVILRKVTPQSATSGQTFSIWKLNDLHDLTQCVSLFLFGDVHKDLWK TEQGTVIGLLNANPMKPKDGLKEVCLSIDHPQKVLIMGEAMDLGACKAKKKNGEPCTQTV NLHDCEYCQYHIQAQYKKLSAKRTDLQSTFSGGRIPKKFRKGTSLKERLCQDGFYYGGVS SESFAASRAAAIAPKKKVQTTLTNLVVRGTNSIIQETKQKLGIPQKSLSCSEEFRELMAL PTFGARNLQKHLARAKASGSSKPAIQSISASALLKQQKQQMLEMRKRRSEDIQKRFLQSS SEVQSPAVPSSSRQAAAQSPRTGAEFPRLEGTATPRMPKLGRGISEGDDVLFFDDSPPPR PKLSAAAEAKKLAAIAKLRAKGQILTKVDPNNTVRKQMDGRAMLGVKERVENSNTVSPEE ELEPARKKRREQLAYLESEEFQKILKAKSKHTDILKEAEAELQKSYFEPLVKKEQMEEKM RATREVKCRVVTCRTCTYTHFKPLETCVSEQHNLHWHDGVKRFFKCPCGNRTISLDKLPN KHCRNCGLYKWERDGMLKEKTGPKIGGETLLPRGEEHAKFLNSLK >ENSMUSP00000129651.1 pep:known chromosome:GRCm38:17:35972541:35978971:-1 gene:ENSMUSG00000038500.15 transcript:ENSMUST00000172429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr3 description:proline-rich polypeptide 3 [Source:MGI Symbol;Acc:MGI:1922460] MANGKPGDPKSAFHRGPPGSRGRMIPPLLSLPPPPRGRGYIRGGLGPRSSPYGRGWWGIN AEPPFPGPGHGGPSRESFYKEARNPRRLRSWSLVKNTYPPKDSPQMMEDKSDRPVCRHFS KKGHCRYEDHCAFYHPGVNGPPL >ENSMUSP00000052166.7 pep:known chromosome:GRCm38:17:35972541:35980236:-1 gene:ENSMUSG00000038500.15 transcript:ENSMUST00000055454.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr3 description:proline-rich polypeptide 3 [Source:MGI Symbol;Acc:MGI:1922460] MPKRKKQDQPPPLPQQQQHLALSERDEPGDEEDERPMGPPSLLGPPPMANGKPGDPKSAF HRGPPGSRGRMIPPLLSLPPPPRGRGYIRGGLGPRSSPYGRGWWGINAEPPFPGPGHGGP SRESFYKEARNPRRLRSWSLVKNTYPPKDSPQMMEDKSDRPVCRHFSKKGHCRYEDHCAF YHPGVNGPPL >ENSMUSP00000134373.1 pep:known chromosome:GRCm38:17:35973504:35978559:-1 gene:ENSMUSG00000038500.15 transcript:ENSMUST00000173585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr3 description:proline-rich polypeptide 3 [Source:MGI Symbol;Acc:MGI:1922460] MANGKPGDPKSAFHRGPPGSRGRMIPPLLSLPPPPRGRGYIRGGLGPRSSPYGRGWWGIN AEPPFPGPGHGGPSRESFYKEARNPRRLRSWSLVKNTYPPKDSPQMMEDKSDRPVCRHFS KKGHCRYEDHCAFYHPGVNGPPL >ENSMUSP00000134021.1 pep:known chromosome:GRCm38:17:35974760:35979526:-1 gene:ENSMUSG00000038500.15 transcript:ENSMUST00000173872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr3 description:proline-rich polypeptide 3 [Source:MGI Symbol;Acc:MGI:1922460] MPKRKKQDQPPPLPQQQQHLALSERDEPGDEEDERPMGPPSLLGPPPMANGKPGDPKSAF HRGPPGSRGRMIPPLL >ENSMUSP00000125802.2 pep:known chromosome:GRCm38:17:35977760:35979448:-1 gene:ENSMUSG00000038500.15 transcript:ENSMUST00000165613.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr3 description:proline-rich polypeptide 3 [Source:MGI Symbol;Acc:MGI:1922460] MPKRKKQDQPPPLPQQQQHLALSERDEPGDEEDERPMGQPSVNYSPEGRRGEKNYDGPTV KDHPAFWALPPWLTGSLVIPSQNVNISS >ENSMUSP00000134115.1 pep:known chromosome:GRCm38:17:35977996:35979808:-1 gene:ENSMUSG00000038500.15 transcript:ENSMUST00000172900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr3 description:proline-rich polypeptide 3 [Source:MGI Symbol;Acc:MGI:1922460] MPKRKKQDQPPPLPQQQQHLALSERDEPGDEEDERPMGQPSVNYSPEGRRGEKNYDGPTV KDHPAFWALPPWLTGSLVIPSQNVNISS >ENSMUSP00000134505.1 pep:known chromosome:GRCm38:17:35978164:35979808:-1 gene:ENSMUSG00000038500.15 transcript:ENSMUST00000174849.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr3 description:proline-rich polypeptide 3 [Source:MGI Symbol;Acc:MGI:1922460] MPKRKKQDQPPPLPQQQQHLALSERDEPGDEEDERPMGQPSVNYSPEGRRGEKNYDGPTV KDHPAFWALPPWLTGSLVIPSQVRRNWALIHVLSPGEGMDP >ENSMUSP00000133895.1 pep:known chromosome:GRCm38:17:35978419:35979679:-1 gene:ENSMUSG00000038500.15 transcript:ENSMUST00000173724.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr3 description:proline-rich polypeptide 3 [Source:MGI Symbol;Acc:MGI:1922460] MPKRKKQDQPPPLPQQQQHLALSERDEPGDEEDERPMGPPSLLGPP >ENSMUSP00000100044.1 pep:known chromosome:GRCm38:13:21831767:21832196:-1 gene:ENSMUSG00000069305.3 transcript:ENSMUST00000102979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4n description:histone cluster 1, H4n [Source:MGI Symbol;Acc:MGI:4843992] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000032288.4 pep:known chromosome:GRCm38:6:130363917:130386874:-1 gene:ENSMUSG00000079853.5 transcript:ENSMUST00000032288.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra1 description:killer cell lectin-like receptor, subfamily A, member 1 [Source:MGI Symbol;Acc:MGI:101907] MSEQEVTYSMVRFHKSAGLQKQVRPEETKGPREAGYRRCSFHWKFIVIALGIFCFLLLVA VSVLAIKIFQYDQQKKLQEFLNHHNNCSNMQSDINLKDEMLKNKSIECDLLESLNRDQNR LYNKTKTVLDSLQHTGRGDKVYWFCYGMKCYYFVMDRKTWSGCKQTCQSSSLSLLKIDDE DELKFLQLVVPSDSCWVGLSYDNKKKDWAWIDNRPSKLALNTRKYNIRDGGCMLLSKTRL DNGNCDQVFICICGKRLDKFPH >ENSMUSP00000123079.1 pep:known chromosome:GRCm38:X:6873484:6948363:1 gene:ENSMUSG00000062393.13 transcript:ENSMUST00000145302.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkk description:diacylglycerol kinase kappa [Source:MGI Symbol;Acc:MGI:3580254] XKILKEGPLLKNCNSFRRWKLRYCLVQGQKLHFAHHPSFAHFETIDLSQVVLAESSCRNL CHGFCVITPHRKVSLVAPTRQDMEEWINIIKTVQQGEIRQIPAAENNPFLVGMHYWYSSS NPRSHFCNVCRENIPALSRDAVTCEVCQVKSHKFCALRANKDCKWNTLSVTDDLLLPADE IQTMPHQWVEGNIPAGSQCVVCHKSCGSHHRLQDFRCLWCGSTVHGACQKRFSKECSFGS RRSSIVPPTALSDPRGDGQLVVSPDFWNLDWPLTCSCPLLIFINSKSGDHQGIIFLRKFK QYLNPSQVFDLAKGGPEAGIAMFKNFARFRVLVCGGDGSVSWVLSTIDAYGLHDRCQLAI IPLGTGNDLARVLGWGAVWSKGTSPLDILSRVEQAHVRILDRWSVMIRETPRQAPLLKGQ VAMDIPRFEAAAIKNVESATTELNKILKAKYPTEMVIATRFLCSAVEDFVEDIVKAWHQI KQNSTAVESVILKSDLMYDKLSVLIDLLAEDAVAASAERTATAYGSRSQADGKPFVPQLD HIARAKLELAERAQKLQQSLKLIIFQVEQVLDEESRQSLSVKNFTSSLFLGDGDDDDSDD YDQSPRHRSRCDILCSIPSLRNEDLDNLDLEHLHIAPETIRFKEKCVMNNYFGIGLDAKI SLEFNSRREEHPEQYNSRLKNKIWYGLLGSKELLQRSYRKLEERIHLECDGEAVSLPNLQ GIVVLNITSYAGGVNFWGRNRATTEYDVPAINDGKLEVVAIFGSVQMAMSRIVNLQQHRI AQCHEVVITIDGEDGVPVQVDGEAWIQKPGLIKIKYKNVAQMLMRDRDFENSMKTWESKH TEIQAVQPPHLDFQESQDSLSDGEYAQMQHLARLAENLISRLTDLSKVHQHVSVLMDSVN ASANILNDVFYSQDSGNEAGAASCIPIETLSRTDAVDVTFSLKGLYDDTKAFLDENLLRD AEDRAMLQTALDAMNTELRRILAIGWLSQIFFPEEQASDTRSLSRRFRIKFPKLGKKKQR EEGEKPKSSQRFPGFLGKFWRRRNRSNRAKADDPPTPSSSQL >ENSMUSP00000067591.7 pep:known chromosome:GRCm38:X:6779306:6948362:1 gene:ENSMUSG00000062393.13 transcript:ENSMUST00000067410.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkk description:diacylglycerol kinase kappa [Source:MGI Symbol;Acc:MGI:3580254] MERAPESWPEPTPESCLRMSPALHLLQVVPGEKSGTASPVLLPLPSPKPPITWSRIKKIL KEGPLLKNCNSFRRWKLRYCLVQGQKLHFAHHPSFAHFETIDLSQVVLAESSCRNLCHGF CVITPHRKVSLVAPTRQDMEEWINIIKTVQQGEIRQIPAAENNPFLVGMHYWYSSSNPRS HFCNVCRENIPALSRDAVTCEVCQVKSHKFCALRANKDCKWNTLSVTDDLLLPADEIQTM PHQWVEGNIPAGSQCVVCHKSCGSHHRLQDFRCLWCGSTVHGACQKRFSKECSFGSRRSS IVPPTALSDPRGDGQLVVSPDFWNLDWPLTCSCPLLIFINSKSGDHQGIIFLRKFKQYLN PSQVFDLAKGGPEAGIAMFKNFARFRVLVCGGDGSVSWVLSTIDAYGLHDRCQLAIIPLG TGNDLARVLGWGAVWSKGTSPLDILSRVEQAHVRILDRWSVMIRETPRQAPLLKGQVAMD IPRFEAAAIKNVESATTELNKILKAKYPTEMVIATRFLCSAVEDFVEDIVKAWHQIKQNS TAVESVILKSDLMYDKLSVLIDLLAEDAVAASAERTATAYGSRSQADGKPFVPQLDHIAR AKLELAERAQKLQQSLKLIIFQVEQVLDEESRQSLSVKNFTSSLFLGDGDDDDSDDYDQS PRHRSRCDILCSIPSLRNEDLDNLDLEHLHIAPETIRFKEKCVMNNYFGIGLDAKISLEF NSRREEHPEQYNSRLKNKIWYGLLGSKELLQRSYRKLEERIHLECDGEAVSLPNLQGIVV LNITSYAGGVNFWGRNRATTEYDVPAINDGKLEVVAIFGSVQMAMSRIVNLQQHRIAQCH EVVITIDGEDGVPVQVDGEAWIQKPGLIKIKYKNVAQMLMRDRDFENSMKTWESKHTEIQ AVQPPHLDFQESQDSLSDGEYAQMQHLARLAENLISRLTDLSKVHQHVSVLMDSVNASAN ILNDVFYSQDSGNEAGAASCIPIETLSRTDAVDVTFSLKGLYDDTKAFLDENLLRDAEDR AMLQTALDAMNTELRRILAIGWLSQIFFPEEQASDTRSLSRRFRIKFPKLGKKKQREEGE KPKSSQRFPGFLGKFWRRRNRSNRAKADDPPTPSSSQL >ENSMUSP00000044116.6 pep:known chromosome:GRCm38:7:109758055:109782025:-1 gene:ENSMUSG00000034825.14 transcript:ENSMUST00000041460.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrip3 description:nuclear receptor interacting protein 3 [Source:MGI Symbol;Acc:MGI:1925843] MAQLSVIPGSAAAWTGLLTEGGRKETDMREAASLRQQRRMKQAVQFIHKDSADLLPLDGL KKLGSSKDTQPHNILQRRLMETNLSKLRSTRVPWASKTNKFNQAKSEGLKKCEDEDMILV SCQCAGKDVKALVDTGCQYNLISSACVDRLGLKDHVKSHKHEGEKLSLPRHLKVVGQIEH LMITVGSLRLDCQAAVVDDNEKSLSLGLQTLRSLKCIINLDKHRLIVGKTDKEEIPFVET VSVNDDNTSEA >ENSMUSP00000033331.6 pep:known chromosome:GRCm38:7:109758059:109781545:-1 gene:ENSMUSG00000034825.14 transcript:ENSMUST00000033331.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrip3 description:nuclear receptor interacting protein 3 [Source:MGI Symbol;Acc:MGI:1925843] MFYSGLLTEGGRKETDMREAASLRQQRRMKQAVQFIHKDSADLLPLDGLKKLGSSKDTQP HNILQRRLMETNLSKLRSTRVPWASKTNKFNQAKSEGLKKCEDEDMILVSCQCAGKDVKA LVDTGCQYNLISSACVDRLGLKDHVKSHKHEGEKLSLPRHLKVVGQIEHLMITVGSLRLD CQAAVVDDNEKSLSLGLQTLRSLKCIINLDKHRLIVGKTDKEEIPFVETVSVNDDNTSEA >ENSMUSP00000147090.1 pep:known chromosome:GRCm38:7:109766520:109781543:-1 gene:ENSMUSG00000034825.14 transcript:ENSMUST00000207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrip3 description:nuclear receptor interacting protein 3 [Source:MGI Symbol;Acc:MGI:1925843] MFYSGLLTEGGRKETDMREAASLRQQRRMKQAVQFIHKDSADLLPLDGLKKLGSSKDTRR LMETNLSKLRSTRVPWASKTNKFNQAKSE >ENSMUSP00000117673.1 pep:known chromosome:GRCm38:9:92309377:92343683:1 gene:ENSMUSG00000074139.8 transcript:ENSMUST00000150594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700057G04Rik description:RIKEN cDNA 1700057G04 gene [Source:MGI Symbol;Acc:MGI:1925709] MAINTTSYGVPPGLEYLLH >ENSMUSP00000096077.1 pep:known chromosome:GRCm38:9:92309377:92357876:1 gene:ENSMUSG00000074139.8 transcript:ENSMUST00000098477.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700057G04Rik description:RIKEN cDNA 1700057G04 gene [Source:MGI Symbol;Acc:MGI:1925709] MAINTTSYGVPPGLEYLLHIDHIMIQQQFEFVEAVLGFETANRYKINDKLGQKVYYAAED FNFLTLNCCGAIRPFTMRIFDNSGREVITLRRPLRCDCCCCPCCLQQIEIQAPPGVPVGY VIQTWHPCRPKFTVQNEEKQDVLKIIGPICVCNIGGSIDFEIKSLDEEFVVGRISKHWSG ILKEILTDVDTFGIQFPLDLDVKMKAVMLGACFLIDFMFFESGGGQRPKLFW >ENSMUSP00000139734.1 pep:known chromosome:GRCm38:9:92343505:92357819:1 gene:ENSMUSG00000074139.8 transcript:ENSMUST00000185580.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700057G04Rik description:RIKEN cDNA 1700057G04 gene [Source:MGI Symbol;Acc:MGI:1925709] MRIFDNSGREVITLRRPLRCDCCCCPCCLQQIEIQAPPGVPVGYVIQTWHPCRPKFTVQN EEKQDVLKIIGPICVCNIGGSIDFEIKSLDEEFVVGRISKHWSGILKEILTDVDTFGIQF PLDLDVKMKAVMLGACFLIDFMFFESGGGQRPKLFW >ENSMUSP00000140686.1 pep:known chromosome:GRCm38:9:92351085:92357876:1 gene:ENSMUSG00000074139.8 transcript:ENSMUST00000135182.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700057G04Rik description:RIKEN cDNA 1700057G04 gene [Source:MGI Symbol;Acc:MGI:1925709] XITLRRPLRCDCCCCPCCLQQIEIQAPPGVPVGYVIQTWHPCRPKFTVQNEEKQDVLKII GPICVCNIGGSIDFEGEAV >ENSMUSP00000062811.5 pep:known chromosome:GRCm38:5:110829070:110839777:-1 gene:ENSMUSG00000043510.12 transcript:ENSMUST00000056937.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hscb description:HscB iron-sulfur cluster co-chaperone [Source:MGI Symbol;Acc:MGI:2141135] MWGCGARALLGVWEVRLAGFLGRRLLGSNAAAGKSIAPQCWNCGHAREVGCGDEFFCSHC RALQPPDPTRDYFSLMNCNRSFRVDVTKLQHRYQQLQRLVHPDFFSQKSQTEKHFSDKHS TLVNDAYKTLQAPLTRGLYLLKLQGIEIPEGTDYKADSQFLVEIMEINERLADAQSEAAM EEIEATVRAKQKEFTDNINSAFEQGDFEKAKELLTKMRYFSNIEEKIKLSKTPL >ENSMUSP00000118876.1 pep:known chromosome:GRCm38:5:110829080:110836320:-1 gene:ENSMUSG00000043510.12 transcript:ENSMUST00000144850.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hscb description:HscB iron-sulfur cluster co-chaperone [Source:MGI Symbol;Acc:MGI:2141135] XQKSQTEKHFSDKHSTLVNDAYKTLQAPLTRGLYLVS >ENSMUSP00000120926.1 pep:known chromosome:GRCm38:5:110829080:110839575:-1 gene:ENSMUSG00000043510.12 transcript:ENSMUST00000145318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hscb description:HscB iron-sulfur cluster co-chaperone [Source:MGI Symbol;Acc:MGI:2141135] GDEFFCSHCRALQPPDPTRDYFSLMNCNRSFRVDVTKLQHRYQQLQRLVHPDFFSQKSQT EKHFSDKHSTLVNDAYKTLQAPLTRGLYLVS >ENSMUSP00000109132.3 pep:known chromosome:GRCm38:19:6334979:6340891:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000113504.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT LYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI YKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLRFYDGI CKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEAREGRR RGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPA PAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYT LSFLKRQRKGL >ENSMUSP00000078306.5 pep:known chromosome:GRCm38:19:6335013:6340889:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000079327.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT LYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI YKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLRFYDGI CKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEAREGRR RGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPA PAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYT LSFLKRQRKGL >ENSMUSP00000058149.8 pep:known chromosome:GRCm38:19:6335039:6340889:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000056391.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT LYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI YKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLRFYDGI CKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEAREGRR RGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPA PAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYT LSFLKRQRKGL >ENSMUSP00000109129.1 pep:known chromosome:GRCm38:19:6335039:6340889:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000113501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWSWLYLKGSYMRCDRKMEVAFMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLER YPMALGNLADLEELEPTPGRPDPLTLYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVR EALQAWADTATVIQDYNYCREDEEIYKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQ GTQGQGSALQDPECFAHLLRFYDGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKV HIVSREAEAAEAEEPWGDEAREGRRRGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPP RKTSGTVPGTTRGGQEVGNAAQAPAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSA IKLQLTAQSQVQMKKQKVSTPSDYTLSFLKRQRKGL >ENSMUSP00000109128.1 pep:known chromosome:GRCm38:19:6335047:6340783:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000113500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT LYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI YKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLRFYDGI CKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEAREGRR RGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPA PAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYT LSFLKRQRKGL >ENSMUSP00000133085.1 pep:known chromosome:GRCm38:19:6335064:6340463:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000166909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTSRPI GTSL >ENSMUSP00000077272.5 pep:known chromosome:GRCm38:19:6335111:6340889:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000078137.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT LYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI YKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQVRQKVHIVSREAEAAEAEEPWGDEA REGRRRGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNA AQAPAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVST PSDYTLSFLKRQRKGL >ENSMUSP00000109131.3 pep:known chromosome:GRCm38:19:6335461:6340172:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000113503.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGWSQTGTKLDSSGVAFAVVGACQALGLRDVHL ALSEDHAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKME VAFMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGR PDPLTLYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCR EDEEIYKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLR FYDGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEA REGRRRGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNA AQAPAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVST PSDYTLSFLKRQRKGL >ENSMUSP00000126655.1 pep:known chromosome:GRCm38:19:6336910:6338408:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000170132.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] VNAGVAERSWLYLKGSYMRCDRKMEVAFMVCAINPSIDLHTDSLELLQLQQLLWLLYDLG HLERYPMALGNLADLEELEPTPGRPDPLTLYHKGIASAKTYYQDEHIYPYMYLAGYHCRN RNVREALQAWADTAT >ENSMUSP00000128607.1 pep:known chromosome:GRCm38:19:6339847:6340812:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000170292.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] XGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPAPAASPPPEGPVLTFQSEKMKGMK ELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYTLSFLKRQRKGL >ENSMUSP00000109130.3 pep:known chromosome:GRCm38:19:6334979:6340880:1 gene:ENSMUSG00000024947.16 transcript:ENSMUST00000113502.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MPPPAAMGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVI PTNVPELTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSS RELVKKVSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVH LALSEDHAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKM EVAFMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPG RPDPLTLYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYC REDEEIYKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLL RFYDGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDE AREGRRRGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGN AAQAPAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVS TPSDYTLSFLKRQRKGL >ENSMUSP00000009875.4 pep:known chromosome:GRCm38:X:7822289:7838280:1 gene:ENSMUSG00000009731.4 transcript:ENSMUST00000009875.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnd1 description:potassium voltage-gated channel, Shal-related family, member 1 [Source:MGI Symbol;Acc:MGI:96671] MAAGVATWLPFARAAAVGWLPLAQQPLPPAPEVKASRGDEVLVVNVSGRRFETWKNTLDR YPDTLLGSSEKEFFYDAESGEYFFDRDPDMFRHVLNFYRTGRLHCPRQECIQAFDEELAF YGLVPELVGDCCLEEYRDRKKENAERLAEDEEAEQAGEGPALPAGSSLRQRLWRAFENPH TSTAALVFYYVTGFFIAVSVIANVVETIPCRGTPRWPSKEQSCGDRFPTAFFCMDTACVL IFTGEYLLRLFAAPSRCRFLRSVMSLIDVVAILPYYIGLFVPKNDDVSGAFVTLRVFRVF RIFKFSRHSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGTSKTNFTSIP AAFWYTIVTMTTLGYGDMVPSTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQNQR ADKRRAQQKVRLARIRLAKSGTTNAFLQYKQNGGLEDSGSGDGQMLCVRSRSAFEQQHHH LLHCLEKTTCHEFTDELTFSEALGAVSLGGRTSRSTSVSSQPMGPGSLFSSCCSRRVNRR AIRLANSTASVSRGSMQELDTLAGLRRSPAPQTRSSLNAKPHDSLDLNCDSRDFVAAIIS IPTPPANTPDESQPSSPSGGGGSGGTPNTTLRNSSLGTPCLLPETVKISSL >ENSMUSP00000030198.6 pep:known chromosome:GRCm38:4:43875530:43944806:1 gene:ENSMUSG00000028476.13 transcript:ENSMUST00000030198.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reck description:reversion-inducing-cysteine-rich protein with kazal motifs [Source:MGI Symbol;Acc:MGI:1855698] MASVRASPRSALLLLLAAAGVAEVTGGLAPGSAGAVCCNHSKDNQMCRDVCEQIFSSKSE SRLKHLLQRAPDYCPETMVEIWSCMNSSLPGVFKKSDGWVGLGCCELAIGLECRQACKQA SSKNDISKVCRKEYENALFSCISRNEMGSVCCSYAGHHTNCREFCQAIFRTDSSPGPSQI KAVENYCASISPQLIHCVNNYTQSYPMRNPTDSLYCCDRAEDHACQNACKRILMSKKTEM EIVDGLIEGCKTQPLPQDPLWQCFLESSQSVHPGVTVHPPPSTGLDGAKLHCCSKANTST CRELCTKLYSMSWGNTQSWQEFDRICEYNPVEVSMLTCLADVREPCQLGCTNLTYCTNFN NRPTELFRSCTAQSDQGAMSDMKLWEKGSIKMPFISIPVLDIKTCQPEMWKAVACSLQIK PCHSKSRGSIICKSDCVEILKKCGDQNKFPEEHTAESICEFLSPADDLESCIPLDTYLRP SALGNIIEEVTHPCNPNPCPANELCEVNRKGCPSADPCLPYSCVQGCKLGEASDFIVRQG TLIQVPSSAGEVGCYKICSCGQSGLLENCMEMHCIDLQKSCIVGGKRKSHGTSFTIDCNV CSCFAGNLVCSTRLCLSEHSSDDDRRTFTGLPCNCADQFVPVCAQNGRTYPSACIARCVG LQDHQFEFGPCISKNPCNPNLCPKSQRCVPKPQVCLTTFDKFGCSQYECVPRQLTCDQAR DPVCDTDHMEHSNLCTLYQRGKSLSYRGPCQPFCRAKEPVCGHNGETYSSVCAAYSDRVA VDYYGPCQAVGVLSEYSAVAECAAVKCPSLSAIGCKPIIPPGACCPLCAGMLRVLFDKEK LDTIAKVTSKKPITVVEILQKVRMHVSVPQCDVFGYLSIESEIVILIIPVDHYPKALQIE ACNKEAEKIESLINSDSPTLASHVPLSALIISQVQVSSSLPSSAVVGRPLFHSLLLLLSL GLTVHLLWTRP >ENSMUSP00000000910.6 pep:known chromosome:GRCm38:2:27165233:27183200:1 gene:ENSMUSG00000000889.8 transcript:ENSMUST00000000910.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbh description:dopamine beta hydroxylase [Source:MGI Symbol;Acc:MGI:94864] MQAHLSHQPCWSSLPSPSVREAASMYGTAVAIFLVILVAALRGSEPPESPFPYHIPLDPE GILELSWNVSYVQEIIHFQLQVQGLRAGVLFGMSDRGEMENADLIMLWTDGDRAYFADAW SDRKGQIHLDSQQDYQLLQAQRTRDGLSLLFKRPFVTCDPKDYVIEDDTVHLVYGILEEP FQSLEAINTSGLHTGLQRVQLLKSEVPTPSMPEDVQTMDIRAPDILIPDNETTYWCYITE LPPRFPRHHIIMYEAIVTEGNEALVHHMEVFQCAAESEDFPQFNGPCDSKMKPDRLNYCR HVLAAWALGAKAFYYPKEAGVPFGGPGSSPFLRLEVHYHNPRKIQGRQDSSGIRLHYTAT LRRYDAGIMELGLVYTPLMAIPPQETAFVLTGYCTDKCTQMALQDSGIHIFASQLHTHLT GRKVVTVLARDGQERKVVNRDNHYSPHFQEIRMLKKVVTVYPGDVLITSCTYNTENKTLA TVGGFGILEEMCVNYVHYYPQTELELCKSAVDDGFLQKYFHMVNRFSSEEVCTCPQASVP QQFSSVPWNSFNRDMLKALYDYAPISMHCNKTSAVRFPGEWNLQPLPKITSTLEEPTPRC PIRQTQSPANPTVPITTEADAE >ENSMUSP00000111083.1 pep:known chromosome:GRCm38:16:20733127:20738152:1 gene:ENSMUSG00000006958.13 transcript:ENSMUST00000115423.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrd description:chordin [Source:MGI Symbol;Acc:MGI:1313268] MPSLPAPPAPRLLLGLLLLGSRPASGTGPEPPALPIRSEKEPLPVRGAAGCSFGGKVYAL DETWHPDLGEPFGVMRCVLCACEAPQWARRGRGPGRVSCKNIKPQCPTLACRQPRQLPGH CCQTCPQERSNLDPQPAGLVFEYPRDPEHRSYSDRGEPGVGERTRADGHTDFVALLTGPR SQAVARARVSLLRSSLRFSVSYQRLDRPSRVRFTDPTGNILFEHPATPTQDGLVCGVWRA VPRLSVRLLRAEQLRVALVTSTHPSGEVWGPLIWQGALAAETFSAILTLEDPLQRGVGGI ALLTLSDTEDSLHFLLLFRGLLGGLAQAPLKLQILHQGQLLRELQANTSAQEPGFAEVLP SLTDQEMDWLELGELQMVLEKAGGPELRISGYITTRQSCDVLQSVLCGADALIPVQTGAA GSASFILLGNGSLIYQVQVVGTGSEVVAMTLETKPQRKNQRTVLCHMAGLQPGGHMAVGM CSGLGARGAHMLLQNELFLNVGTKDFPDGELRGHVTALCYSGHSARYDRLPVPLAGALVL PPVRSQAAGHAWLSLDTHCHLHYEVLLAGLGGSEQGTVTAHLLGPPGMPGPQRLLKGFYG SEVKS >ENSMUSP00000007171.6 pep:known chromosome:GRCm38:16:20733127:20742384:1 gene:ENSMUSG00000006958.13 transcript:ENSMUST00000007171.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrd description:chordin [Source:MGI Symbol;Acc:MGI:1313268] MPSLPAPPAPRLLLGLLLLGSRPASGTGPEPPALPIRSEKEPLPVRGAAGCSFGGKVYAL DETWHPDLGEPFGVMRCVLCACEAPQWARRGRGPGRVSCKNIKPQCPTLACRQPRQLPGH CCQTCPQERSNLDPQPAGLVFEYPRDPEHRSYSDRGEPGVGERTRADGHTDFVALLTGPR SQAVARARVSLLRSSLRFSVSYQRLDRPSRVRFTDPTGNILFEHPATPTQDGLVCGVWRA VPRLSVRLLRAEQLRVALVTSTHPSGEVWGPLIWQGALAAETFSAILTLEDPLQRGVGGI ALLTLSDTEDSLHFLLLFRGLLGGLAQAPLKLQILHQGQLLRELQANTSAQEPGFAEVLP SLTDQEMDWLELGELQMVLEKAGGPELRISGYITTRQSCDVLQSVLCGADALIPVQTGAA GSASFILLGNGSLIYQVQVVGTGSEVVAMTLETKPQRKNQRTVLCHMAGLQPGGHMAVGM CSGLGARGAHMLLQNELFLNVGTKDFPDGELRGHVTALCYSGHSARYDRLPVPLAGALVL PPVRSQAAGHAWLSLDTHCHLHYEVLLAGLGGSEQGTVTAHLLGPPGMPGPQRLLKGFYG SEAQGVVKDLEPVLLRHLAQGTASLLITTKSSPRGELRGQVHIASQCEAGGLRLASEGVQ MPLAPNGEAATSPMLPAGPGPEAPVPAKHGSPGRPRDPNTCFFEGQQRPHGARWAPNYDP LCSLCICQRRTVICDPVVCPPPSCPHPVQALDQCCPVCPEKQRSRDLPSLPNLEPGEGCY FDGDRSWRAAGTRWHPVVPPFGLIKCAVCTCKGATGEVHCEKVQCPRLACAQPVRANPTD CCKQCPVGSGTNAKLGDPMQADGPRGCRFAGQWFPENQSWHPSVPPFGEMSCITCRCGAG VPHCERDDCSPPLSCGSGKESRCCSHCTAQRSSETRTLPELEKEAEHS >ENSMUSP00000138259.1 pep:known chromosome:GRCm38:16:20733127:20742384:1 gene:ENSMUSG00000006958.13 transcript:ENSMUST00000153299.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chrd description:chordin [Source:MGI Symbol;Acc:MGI:1313268] MPSLPAPPAPRLLLGLLLLGSRPASGTGPEPPALPIRSEKEPLPVRGAAGCSFGGKVYAL DETWHPDLGEPFGVMRCVLCACEAPQWARRGRGPGRVSCKNIKPQCPTLACRQPRQLPGH CCQTCPQERSNLDPQPAGLVFEYPRDPEHRSYSDRGEPGVGERTRADGHTDFVALLTGPR SQAVARARVSLLRSSLRFSVSYQRLDRPSRVRFTDPTGNILFEHPATPTQDGLPSGRKAL TL >ENSMUSP00000112838.1 pep:known chromosome:GRCm38:9:119483410:119579016:-1 gene:ENSMUSG00000032511.17 transcript:ENSMUST00000117911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn5a description:sodium channel, voltage-gated, type V, alpha [Source:MGI Symbol;Acc:MGI:98251] MANFLLPRGTSSFRRFTRESLAAIEKRMAEKQARGSATSQESREGLPEEEAPRPQLDLQA SKKLPDLYGNPPRELIGEPLEDLDPFYSTQKTFIVLNKGKTIFRFSATNALYVLSPFHPV RRAAVKILVHSLFSMLIMCTILTNCVFMAQHDPPPWTKYVEYTFTAIYTFESLVKILARG FCLHAFTFLRDPWNWLDFSVIVMAYVSENIKLGNLSALRTFRVLRALKTISVIPGLKTIV GALIQSVKKLADVMVLTVFCLSVFALIGLQLFMGNLRHKCVRNFTELNGTNGSVEADGIV WNSLDVYLNDPANYLLKNGTTDVLLCGNSSDAGTCPEGYRCLKAGENPDHGYTSFDSFAW AFLALFRLMTQDCWERLYQQTLRSAGKIYMIFFMLVIFLGSFYLVNLILAVVAMAYEEQN QATIAETEEKEKRFQEAMEMLKKEHEALTIRGVDTVSRSSLEMSPLAPVTNHERRSKRRK RLSSGTEDGGDDRLPKSDSEDGPRALNQLSLTHGLSRTSMRPRSSRGSIFTFRRRDQGSE ADFADDENSTAGESESHRTSLLVPWPLRRPSTQGQPGFGTSAPGHVLNGKRNSTVDCNGV VSLLGAGDAEATSPGSHLLRPIVLDRPPDTTTPSEEPGGPQMLTPQAPCADGFEEPGARQ RALSAVSVLTSALEELEESHRKCPPCWNRFAQHYLIWECCPLWMSIKQKVKFVVMDPFAD LTITMCIVLNTLFMALEHYNMTAEFEEMLQVGNLVFTGIFTAEMTFKIIALDPYYYFQQG WNIFDSIIVILSLMELGLSRMGNLSVLRSFRLLRVFKLAKSWPTLNTLIKIIGNSVGALG NLTLVLAIIVFIFAVVGMQLFGKNYSELRHRISDSGLLPRWHMMDFFHAFLIIFRILCGE WIETMWDCMEVSGQSLCLLVFLLVMVIGNLVVLNLFLALLLSSFSADNLTAPDEDGEMNN LQLALARIQRGLRFVKRTTWDFCCGLLRRRPKKPAALATHSQLPSCIAAPRSPPPPEVEK APPARKETRFEEDKRPGQGTPGDTEPVCVPIAVAESDTDDQEEDEENSLGTEEEESSKQQ ESQVVSGGHEPPQEPRAWSQVSETTSSEAEASTSQADWQQEREAEPRAPGCGETPEDSYS EGSTADMTNTADLLEQIPDLGEDVKDPEDCFTEGCVRRCPCCMVDTTQAPGKVWWRLRKT CYRIVEHSWFETFIIFMILLSSGALAFEDIYLEERKTIKVLLEYADKMFTYVFVLEMLLK WVAYGFKKYFTNAWCWLDFLIVDVSLVSLVANTLGFAEMGPIKSLRTLRALRPLRALSRF EGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFGRCINQTEGDLPLNYTI VNNKSECESFNVTGELYWTKVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVDSRGYEEQ PQWEDNLYMYIYFVVFIIFGSFFTLNLFIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNA MKKLGSKKPQKPIPRPLNKYQGFIFDIVTKQAFDVTIMFLICLNMVTMMVETDDQSPEKV NILAKINLLFVAIFTGECIVKMAALRHYYFTNSWNIFDFVVVILSIVGTVLSDIIQKYFF SPTLFRVIRLARIGRILRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMA NFAYVKWEAGIDDMFNFQTFANSMLCLFQITTSAGWDGLLSPILNTGPPYCDPNLPNSNG SRGNCGSPAVGILFFTTYIIISFLIVVNMYIAIILENFSVATEESTEPLSEDDFDMFYEI WEKFDPEATQFIEYLALSDFADALSEPLRIAKPNQISLINMDLPMVSGDRIHCMDILFAF TKRVLGESGEMDALKIQMEEKFMAANPSKISYEPITTTLRRKHEEVSATVIQRAFRRHLL QRSVKHASFLFRQQAGSSGLSDEDAPEREGLIAYMMNENFSRRSGPLSSSSISSTSFPPS YDSVTRATSDNLPVRASDYSRSEDLADFPPSPDRDRESIV >ENSMUSP00000113272.1 pep:known chromosome:GRCm38:9:119484324:119579016:-1 gene:ENSMUSG00000032511.17 transcript:ENSMUST00000120420.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn5a description:sodium channel, voltage-gated, type V, alpha [Source:MGI Symbol;Acc:MGI:98251] MANFLLPRGTSSFRRFTRESLAAIEKRMAEKQARGSATSQESREGLPEEEAPRPQLDLQA SKKLPDLYGNPPRELIGEPLEDLDPFYSTQKTFIVLNKGKTIFRFSATNALYVLSPFHPV RRAAVKILVHSLFSMLIMCTILTNCVFMAQHDPPPWTKYVEYTFTAIYTFESLVKILARG FCLHAFTFLRDPWNWLDFSVIVMAYTTEFVDLGNVSALRTFRVLRALKTISVISGLKTIV GALIQSVKKLADVMVLTVFCLSVFALIGLQLFMGNLRHKCVRNFTELNGTNGSVEADGIV WNSLDVYLNDPANYLLKNGTTDVLLCGNSSDAGTCPEGYRCLKAGENPDHGYTSFDSFAW AFLALFRLMTQDCWERLYQQTLRSAGKIYMIFFMLVIFLGSFYLVNLILAVVAMAYEEQN QATIAETEEKEKRFQEAMEMLKKEHEALTIRGVDTVSRSSLEMSPLAPVTNHERRSKRRK RLSSGTEDGGDDRLPKSDSEDGPRALNQLSLTHGLSRTSMRPRSSRGSIFTFRRRDQGSE ADFADDENSTAGESESHRTSLLVPWPLRRPSTQGQPGFGTSAPGHVLNGKRNSTVDCNGV VSLLGAGDAEATSPGSHLLRPIVLDRPPDTTTPSEEPGGPQMLTPQAPCADGFEEPGARQ RALSAVSVLTSALEELEESHRKCPPCWNRFAQHYLIWECCPLWMSIKQKVKFVVMDPFAD LTITMCIVLNTLFMALEHYNMTAEFEEMLQVGNLVFTGIFTAEMTFKIIALDPYYYFQQG WNIFDSIIVILSLMELGLSRMGNLSVLRSFRLLRVFKLAKSWPTLNTLIKIIGNSVGALG NLTLVLAIIVFIFAVVGMQLFGKNYSELRHRISDSGLLPRWHMMDFFHAFLIIFRILCGE WIETMWDCMEVSGQSLCLLVFLLVMVIGNLVVLNLFLALLLSSFSADNLTAPDEDGEMNN LQLALARIQRGLRFVKRTTWDFCCGLLRRRPKKPAALATHSQLPSCIAAPRSPPPPEVEK APPARKETRFEEDKRPGQGTPGDTEPVCVPIAVAESDTDDQEEDEENSLGTEEEESSKQE SQVVSGGHEPPQEPRAWSQVSETTSSEAEASTSQADWQQEREAEPRAPGCGETPEDSYSE GSTADMTNTADLLEQIPDLGEDVKDPEDCFTEGCVRRCPCCMVDTTQAPGKVWWRLRKTC YRIVEHSWFETFIIFMILLSSGALAFEDIYLEERKTIKVLLEYADKMFTYVFVLEMLLKW VAYGFKKYFTNAWCWLDFLIVDVSLVSLVANTLGFAEMGPIKSLRTLRALRPLRALSRFE GMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFGRCINQTEGDLPLNYTIV NNKSECESFNVTGELYWTKVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVDSRGYEEQP QWEDNLYMYIYFVVFIIFGSFFTLNLFIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAM KKLGSKKPQKPIPRPLNKYQGFIFDIVTKQAFDVTIMFLICLNMVTMMVETDDQSPEKVN ILAKINLLFVAIFTGECIVKMAALRHYYFTNSWNIFDFVVVILSIVGTVLSDIIQKYFFS PTLFRVIRLARIGRILRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMAN FAYVKWEAGIDDMFNFQTFANSMLCLFQITTSAGWDGLLSPILNTGPPYCDPNLPNSNGS RGNCGSPAVGILFFTTYIIISFLIVVNMYIAIILENFSVATEESTEPLSEDDFDMFYEIW EKFDPEATQFIEYLALSDFADALSEPLRIAKPNQISLINMDLPMVSGDRIHCMDILFAFT KRVLGESGEMDALKIQMEEKFMAANPSKISYEPITTTLRRKHEEVSATVIQRAFRRHLLQ RSVKHASFLFRQQAGSSGLSDEDAPEREGLIAYMMNENFSRRSGPLSSSSISSTSFPPSY DSVTRATSDNLPVRASDYSRSEDLADFPPSPDRDRESIV >ENSMUSP00000118250.1 pep:known chromosome:GRCm38:9:119562537:119563503:-1 gene:ENSMUSG00000032511.17 transcript:ENSMUST00000138934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn5a description:sodium channel, voltage-gated, type V, alpha [Source:MGI Symbol;Acc:MGI:98251] MANFLLPRGTSSFRRFTRESLAAIEKRMA >ENSMUSP00000066228.6 pep:known chromosome:GRCm38:9:119483408:119562678:-1 gene:ENSMUSG00000032511.17 transcript:ENSMUST00000065196.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn5a description:sodium channel, voltage-gated, type V, alpha [Source:MGI Symbol;Acc:MGI:98251] MANFLLPRGTSSFRRFTRESLAAIEKRMAEKQARGSATSQESREGLPEEEAPRPQLDLQA SKKLPDLYGNPPRELIGEPLEDLDPFYSTQKTFIVLNKGKTIFRFSATNALYVLSPFHPV RRAAVKILVHSLFSMLIMCTILTNCVFMAQHDPPPWTKYVEYTFTAIYTFESLVKILARG FCLHAFTFLRDPWNWLDFSVIVMAYTTEFVDLGNVSALRTFRVLRALKTISVISGLKTIV GALIQSVKKLADVMVLTVFCLSVFALIGLQLFMGNLRHKCVRNFTELNGTNGSVEADGIV WNSLDVYLNDPANYLLKNGTTDVLLCGNSSDAGTCPEGYRCLKAGENPDHGYTSFDSFAW AFLALFRLMTQDCWERLYQQTLRSAGKIYMIFFMLVIFLGSFYLVNLILAVVAMAYEEQN QATIAETEEKEKRFQEAMEMLKKEHEALTIRGVDTVSRSSLEMSPLAPVTNHERRSKRRK RLSSGTEDGGDDRLPKSDSEDGPRALNQLSLTHGLSRTSMRPRSSRGSIFTFRRRDQGSE ADFADDENSTAGESESHRTSLLVPWPLRRPSTQGQPGFGTSAPGHVLNGKRNSTVDCNGV VSLLGAGDAEATSPGSHLLRPIVLDRPPDTTTPSEEPGGPQMLTPQAPCADGFEEPGARQ RALSAVSVLTSALEELEESHRKCPPCWNRFAQHYLIWECCPLWMSIKQKVKFVVMDPFAD LTITMCIVLNTLFMALEHYNMTAEFEEMLQVGNLVFTGIFTAEMTFKIIALDPYYYFQQG WNIFDSIIVILSLMELGLSRMGNLSVLRSFRLLRVFKLAKSWPTLNTLIKIIGNSVGALG NLTLVLAIIVFIFAVVGMQLFGKNYSELRHRISDSGLLPRWHMMDFFHAFLIIFRILCGE WIETMWDCMEVSGQSLCLLVFLLVMVIGNLVVLNLFLALLLSSFSADNLTAPDEDGEMNN LQLALARIQRGLRFVKRTTWDFCCGLLRRRPKKPAALATHSQLPSCIAAPRSPPPPEVEK APPARKETRFEEDKRPGQGTPGDTEPVCVPIAVAESDTDDQEEDEENSLGTEEEESSKQQ ESQVVSGGHEPPQEPRAWSQVSETTSSEAEASTSQADWQQEREAEPRAPGCGETPEDSYS EGSTADMTNTADLLEQIPDLGEDVKDPEDCFTEGCVRRCPCCMVDTTQAPGKVWWRLRKT CYRIVEHSWFETFIIFMILLSSGALAFEDIYLEERKTIKVLLEYADKMFTYVFVLEMLLK WVAYGFKKYFTNAWCWLDFLIVDVSLVSLVANTLGFAEMGPIKSLRTLRALRPLRALSRF EGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFGRCINQTEGDLPLNYTI VNNKSECESFNVTGELYWTKVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVDSRGYEEQ PQWEDNLYMYIYFVVFIIFGSFFTLNLFIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNA MKKLGSKKPQKPIPRPLNKYQGFIFDIVTKQAFDVTIMFLICLNMVTMMVETDDQSPEKV NILAKINLLFVAIFTGECIVKMAALRHYYFTNSWNIFDFVVVILSIVGTVLSDIIQKYFF SPTLFRVIRLARIGRILRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMA NFAYVKWEAGIDDMFNFQTFANSMLCLFQITTSAGWDGLLSPILNTGPPYCDPNLPNSNG SRGNCGSPAVGILFFTTYIIISFLIVVNMYIAIILENFSVATEESTEPLSEDDFDMFYEI WEKFDPEATQFIEYLALSDFADALSEPLRIAKPNQISLINMDLPMVSGDRIHCMDILFAF TKRVLGESGEMDALKIQMEEKFMAANPSKISYEPITTTLRRKHEEVSATVIQRAFRRHLL QRSVKHASFLFRQQAGSSGLSDEDAPEREGLIAYMMNENFSRRSGPLSSSSISSTSFPPS YDSVTRATSDNLPVRASDYSRSEDLADFPPSPDRDRESIV >ENSMUSP00000120751.1 pep:known chromosome:GRCm38:10:80642617:80656494:-1 gene:ENSMUSG00000003344.14 transcript:ENSMUST00000126980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd2 description:BTB (POZ) domain containing 2 [Source:MGI Symbol;Acc:MGI:1933831] MAAGGSGRASCPPGVGAGAGSLGPSANAAAAPSNAAACAPVPPPPPSPPPGPGTDAQAVG DERDDAGPGALLREPVYNWQATKPTVQERFAFLFNNEVLCDVHFLVGKGLSSQRVPAHRF VLAVGSAVFDAMFNGGMATTSTEIELPDVEPAAFLALLKFLYSDEVQIGPETVMTTLYTA KKYAVPALEAHCVEFLKKHLRADNAFMLLTQARLFDEPQLASLCLESIDKNTADAIAAEG FTDIDLDTLVAVLERDTLGIREVRLFNAVVRWSEAECQRQQLQVTPENKRKVLGKALSLI RFPLMTIEEFAAGPAQSGILVDREVVSLFLHFTVNPKPRVEFIDRPRCCLRGKECSINRF QQVESRWGYSGTSDRIRFSVNKRIFVVGFGLYGSIHGPTDYQVNIQIIHTDSNTVLGQND TGFSCDGSASTFRVMFKEPVEVLPNVNYTACATLKGPDSHYGTKGLRKVTHESPTTGAKT CFTFCYAAGNNNGTSVEDGQIPEVIFYT >ENSMUSP00000120780.1 pep:known chromosome:GRCm38:10:80644638:80656138:-1 gene:ENSMUSG00000003344.14 transcript:ENSMUST00000131876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd2 description:BTB (POZ) domain containing 2 [Source:MGI Symbol;Acc:MGI:1933831] XAHRFVLAVGSAVFDAMFNGGMATTSTEIELPDVEPAAFLALLKFLYSDEVQIGPETVMT TLYTAKKYAVPALEAHCVEFLKKHLRADNAFMLLTQARLFDEPQLASLCLESIDKNTADA IAAEGFTDIDLDTLVAVLERDTLGIREVRLFNAVVRWSEAECQRQQLQVTPENKRKVLGK ALSLIRFPLMTIEEFAAASPLPAGPAQSGILVDREVVSLFLHFTVNPKPRVEFIDRPRCC LRGKECSINRFQQVES >ENSMUSP00000003434.7 pep:known chromosome:GRCm38:10:80642617:80657071:-1 gene:ENSMUSG00000003344.14 transcript:ENSMUST00000003434.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd2 description:BTB (POZ) domain containing 2 [Source:MGI Symbol;Acc:MGI:1933831] MTTPSVAPPPRRSDKMAAGGSGRASCPPGVGAGAGSLGPSANAAAAPSNAAACAPVPPPP PSPPPGPGTDAQAVGDERDDAGPGALLREPVYNWQATKPTVQERFAFLFNNEVLCDVHFL VGKGLSSQRVPAHRFVLAVGSAVFDAMFNGGMATTSTEIELPDVEPAAFLALLKFLYSDE VQIGPETVMTTLYTAKKYAVPALEAHCVEFLKKHLRADNAFMLLTQARLFDEPQLASLCL ESIDKNTADAIAAEGFTDIDLDTLVAVLERDTLGIREVRLFNAVVRWSEAECQRQQLQVT PENKRKVLGKALSLIRFPLMTIEEFAAGPAQSGILVDREVVSLFLHFTVNPKPRVEFIDR PRCCLRGKECSINRFQQVESRWGYSGTSDRIRFSVNKRIFVVGFGLYGSIHGPTDYQVNI QIIHTDSNTVLGQNDTGFSCDGSASTFRVMFKEPVEVLPNVNYTACATLKGPDSHYGTKG LRKVTHESPTTGAKTCFTFCYAAGNNNGTSVEDGQIPEVIFYT >ENSMUSP00000053751.7 pep:known chromosome:GRCm38:3:92483952:92485895:-1 gene:ENSMUSG00000050359.7 transcript:ENSMUST00000054599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr1a description:small proline-rich protein 1A [Source:MGI Symbol;Acc:MGI:106660] MSSHQQKQPCTVPPQLHQQQVKQPCQPPPQEPCAPKTKDPCHPVPEPCNPKGPEPCHPKA PEPCHPKAPEPCNPKVPEPCQPKVPEPCQPKVPEPCNPKVPEPCQPKAPEPCHPKAPEPC HPVVPEPCPSTVTPSPYQQKTKQK >ENSMUSP00000084450.3 pep:known chromosome:GRCm38:17:35979851:35989462:1 gene:ENSMUSG00000024429.9 transcript:ENSMUST00000087200.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnl1 description:guanine nucleotide binding protein-like 1 [Source:MGI Symbol;Acc:MGI:95764] MPRKKPFSVKQKKKQLQDKRERKRGLQDGLRSSSNSRSGSRERREEQTDTSDGESVTHHI RRLNQQPSQGLGPRGYDPNRYRLHFERDSREEVERRKRAAREQVLQPVSAEVLELDIREV YQPGSVLDFPRRPPWSYEMSKEQLMSQEERSFQEYLGKIHGAYTSEKLSYFEHNLETWRQ LWRVLEMSDIVLLITDIRHPVVNFPPALYEYVTGELGLALVLVLNKVDLAPPALVVAWKH YFHQCYPQLHIVLFTSFPRDTRTPQEPGGVLKKNRRRGKGWTRALGPEQLLRACEAITVG KVDLSSWREKIARDVAGASWGNVSGEEEEEEDGPAVLVEQLTDSAMEPTGPSRERYKDGV VTIGCIGFPNVGKSSLINGLVGRKVVSVSRTPGHTRYFQTYFLTPSVKLCDCPGLIFPSL LPRQLQVLAGIYPIAQIQEPYTSVGYLASRIPVQALLHLRHPEAEDPSAEHPWCAWDICE AWAEKRGYKTAKAARNDVYRAANSLLRLAVDGRLSLCFYPPGYSEQRGTWESHPETAELV LSQGRVGPAGDEEEEEEEELSSSCEEEGEEDRDADEEGEGDEDTPTSDPGSCLTARNPYA LLGEDEC >ENSMUSP00000103682.1 pep:known chromosome:GRCm38:11:85886422:85916095:1 gene:ENSMUSG00000000094.12 transcript:ENSMUST00000108047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx4 description:T-box 4 [Source:MGI Symbol;Acc:MGI:102556] MLQDKGLSESEEAFRAPGPALGEASNTSTTNAPEPALATPGLSGAALSSPPGQGADVAAA AAAAAEQTIENIKVGLHEKELWKKFHEAGTEMIITKAGRRMFPSYKVKVTGMNPKTKYIL LIDIVPADDHRYKFCDNKWMVAGKAEPAMPGRLYVHPDSPATGAHWMRQLVSFQKLKLTN NHLDPFGHIILNSMHKYQPRLHIVKADENNAFGSKNTAFCTHVFPETSFISVTSYQNHKI TQLKIENNPFAKGFRGSDDSDLRVARLQSKEYPVISKSIMRQRLVSSQLSAKPDVSPLHS AHQALQHYQYENGAHMQFAAAEPQDLPLNTFPTQRDSSLFYHCLKRRDSARHLDLPCKRS YLETPSSVGDDHYFRSPPPYDQQMLSPSYCSEVTPREACMYSSSGPEIAGVSAVDDLPPP PLSCNMWTSVSPYTSYSVQTMETVPYQPFPAHFTATTVMPRLPTIAAQSAQPPGNAHFSV YNQLSQSQVRERGPSASFPRERGLPGMCERKPPSPHLNTANEFLYSQSFSLTRESSLQYH SGMGTVENWTDG >ENSMUSP00000103680.2 pep:known chromosome:GRCm38:11:85896765:85916095:1 gene:ENSMUSG00000000094.12 transcript:ENSMUST00000108045.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx4 description:T-box 4 [Source:MGI Symbol;Acc:MGI:102556] MFPSYKVKVTGMNPKTKYILLIDIVPADDHRYKFCDNKWMVAGKAEPAMPGRLYVHPDSP ATGAHWMRQLVSFQKLKLTNNHLDPFGHIILNSMHKYQPRLHIVKADENNAFGSKNTAFC THVFPETSFISVTSYQNHKITQLKIENNPFAKGFRGSDDSDLRVARLQSKEYPVISKSIM RQRLVSSQLSAKPDVSPLHSAHQALQHYQYENGAHMQFAAAEPQDLPLNTFPTQRDSSLF YHCLKRRDSARHLDLPCKRSYLETPSSVGDDHYFRSPPPYDQQMLSPSYCSEVTPREACM YSSSGPEIAGVSAVDDLPPPPLSCNMWTSVSPYTSYSVQTMETVPYQPFPAHFTATTVMP RLPTIAAQSAQPPGNAHFSVYNQLSQSQVRERGPSASFPRERGLPGMCERKPPSPHLNTA NEFLYSQSFSLTRESSLQYHSGMGTVENWTDG >ENSMUSP00000000096.5 pep:known chromosome:GRCm38:11:85890063:85916097:1 gene:ENSMUSG00000000094.12 transcript:ENSMUST00000000096.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx4 description:T-box 4 [Source:MGI Symbol;Acc:MGI:102556] MLQDKGLSESEEAFRAPGPALGEASNTSTTNAPEPALATPGLSGAALSSPPGQGADVAAA AAAAAEQTIENIKVGLHEKELWKKFHEAGTEMIITKAGRRMFPSYKVKVTGMNPKTKYIL LIDIVPADDHRYKFCDNKWMVAGKAEPAMPGRLYVHPDSPATGAHWMRQLVSFQKLKLTN NHLDPFGHIILNSMHKYQPRLHIVKADENNAFGSKNTAFCTHVFPETSFISVTSYQNHKI TQLKIENNPFAKGFRGSDDSDLRVARLQSKEYPVISKSIMRQRLVSSQLSAKPDVSPLHS AHQALQHYQYENGAHMQFAAAEPQDLPLNTFPTQRDSSLFYHCLKRRDSARHLDLPCKRS YLETPSSVGDDHYFRSPPPYDQQMLSPSYCSEVTPREACMYSSSGPEIAGVSAVDDLPPP PLSCNMWTSVSPYTSYSVQTMETVPYQPFPAHFTATTVMPRLPTIAAQSAQPPGNAHFSV YNQLSQSQVRERGPSASFPRERGLPGMCERKPPSPHLNTANEFLYSQSFSLTRESSLQYH SGMGTVENWTDG >ENSMUSP00000051559.1 pep:known chromosome:GRCm38:3:92500263:92500493:-1 gene:ENSMUSG00000045566.1 transcript:ENSMUST00000062129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprr4 description:small proline-rich protein 4 [Source:MGI Symbol;Acc:MGI:2654508] MPSHQHQNQQQCTPQAQQQQVKQPCQPPPTKCQEACVPKTKDPCVPQAKKQCPARSTTNP AQEKCPAQQDPKCKQK >ENSMUSP00000080088.5 pep:known chromosome:GRCm38:13:21833026:21833507:-1 gene:ENSMUSG00000094777.2 transcript:ENSMUST00000081342.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ap description:histone cluster 1, H2ap [Source:MGI Symbol;Acc:MGI:3710573] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000014476.5 pep:known chromosome:GRCm38:6:130115226:130129898:-1 gene:ENSMUSG00000089727.2 transcript:ENSMUST00000014476.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra8 description:killer cell lectin-like receptor, subfamily A, member 8 [Source:MGI Symbol;Acc:MGI:102968] MSEQEVTFPTMRFHKSSGLNSQVRLEGTQRSRKAGLRVCSVPWQLIVIALGILCSLRLVI VAVFVTKFFQYSQHKQEINETLNHRHNCSNMQRDFNLKEEMLTNKSIDCRPSYELLEYIK REQERWDSETKSVSDSSRDTGRGVKYWFCYGTKCYYFIMNKTTWSGCKANCQHYSVPIVK IEDEDELKFLQRHVILESYWIGLSYDKKKKEWAWIHNGQSKLDMKIKKMNFTSRGCVFLS KARIEDTDCNTPYYCICGKKLDKFPD >ENSMUSP00000082013.2 pep:known chromosome:GRCm38:4:4762484:4793355:-1 gene:ENSMUSG00000066324.2 transcript:ENSMUST00000084949.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impad1 description:inositol monophosphatase domain containing 1 [Source:MGI Symbol;Acc:MGI:1915720] MAPMGIRLSPLGVAVFFLLGLGVLYHLYSGFLAGRFSLFGLGSEPAAGEAEVASDGGTVD LREMLAVAVLAAERGGDEVRRVRESNVLHEKSKGKTREGADDKMTSGDVLSNRKMFYLLK TAFPNVQINTEEHVDASDKEVIVWNRKIPEDILKEIAAPKEVPAESVTVWIDPLDATQEY TEDLRKYVTTMVCVAVNGKPVLGVIHKPFSEYTAWAMVDGGSNVKARSSYNEKTPKIIVS RSHAGMVKQVALQTFGNQTSIIPAGGAGYKVLALLDVPDMTQEKADLYIHVTYIKKWDIC AGNAILKALGGHMTTLNGEEISYTGSDGIEGGLLASIRMNHQALVRKLPDLEKSGH >ENSMUSP00000106093.1 pep:known chromosome:GRCm38:13:21833758:21837530:1 gene:ENSMUSG00000069303.5 transcript:ENSMUST00000110467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2br description:histone cluster 1 H2br [Source:MGI Symbol;Acc:MGI:3710645] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000136792.1 pep:known chromosome:GRCm38:13:21833743:21836665:1 gene:ENSMUSG00000069303.5 transcript:ENSMUST00000180288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2br description:histone cluster 1 H2br [Source:MGI Symbol;Acc:MGI:3710645] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSNFSRQNFSV >ENSMUSP00000008004.9 pep:known chromosome:GRCm38:8:70292866:70302489:-1 gene:ENSMUSG00000057788.13 transcript:ENSMUST00000008004.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx49 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 49 [Source:MGI Symbol;Acc:MGI:2136689] MAGFAEIGLSSWLVEQCRQLGLKQPTPVQLGCIPAILEGRDCLGCAKTGSGKTAAFVLPI LQKLSEDPYGIFCLVLTPTRELAYQIAEQFRVLGKPLGLKDCIIVGGMDMVAQALELSRK PHVVIATPGRLADHLRSSNTFNMKKIQFLVMDEADRLLEQGCTDFTTDLETILAAVPARR QTLLFSATLTDTLKELQGLATNEPFFWEAQATVRTVEQLDQRYLLVPEKVKDAYLVHLVQ TFQDQLEDCSIIIFTNTCKTCQILCMMLRKFNFPTVALHSMMKQKERFAALAKFKSSIYR ILIATDVASRGLDIPTVQVVINHNTPGLPKIYIHRVGRTARAGRQGQAITLVTQYDIHLL HAIEEQIKQQLAELVVEEAEVLQILTQVNVVRRECEIKLEASHFDEKKEINKRKQMILEG KDPDLEAKRKAELAKIKQQNRRFKEKVGQTLRRQKAGSTVRRSRPPRSRPQEPAQAEAQD >ENSMUSP00000108555.1 pep:known chromosome:GRCm38:19:12763660:12765632:-1 gene:ENSMUSG00000079415.2 transcript:ENSMUST00000112933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntf description:ciliary neurotrophic factor [Source:MGI Symbol;Acc:MGI:88439] MAFAEQSPLTLHRRDLCSRSIWLARKIRSDLTALMESYVKHQGLNKNISLDSVDGVPVAS TDRWSEMTEAERLQENLQAYRTFQGMLTKLLEDQRVHFTPTEGDFHQAIHTLTLQVSAFA YQLEELMALLEQKVPEKEADGMPVTIGDGGLFEKKLWGLKVLQELSQWTVRSIHDLRVIS SHHMGISAHESHYGAKQM >ENSMUSP00000115380.1 pep:known chromosome:GRCm38:2:28641228:28672507:1 gene:ENSMUSG00000026812.16 transcript:ENSMUST00000156857.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsc1 description:tuberous sclerosis 1 [Source:MGI Symbol;Acc:MGI:1929183] MAQLANIGELLSMLDSSTLGVRDDVTAIFKESLNSERGPMLVNTLVDYYLETNSQPVLHI LTTLQEPHDKHLLDKINEYVGKAATRLSILSLLGHVVRLQPSWKHKLSQAPLLPSLLKCL KMDTDVVVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVTEVYLVHL HASVYALFHRLYGMYPCNFVSFLRSHYSMKENVETFEEVVKPMMEHVRIHPELVTGSKDH ELDPRRWKTLETHDVVIECAKISLDPTEASYEDGYSVSHQLSACFPYRSADVTTSPYVDT QNSYGGSTSTPSSSSRLMLFSPPGQLPQSLSSPSTRLLPEPLQVRCHSACLHLLYLPVKL GYWSRFSM >ENSMUSP00000028155.5 pep:known chromosome:GRCm38:2:28641237:28691167:1 gene:ENSMUSG00000026812.16 transcript:ENSMUST00000028155.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc1 description:tuberous sclerosis 1 [Source:MGI Symbol;Acc:MGI:1929183] MAQLANIGELLSMLDSSTLGVRDDVTAIFKESLNSERGPMLVNTLVDYYLETNSQPVLHI LTTLQEPHDKHLLDKINEYVGKAATRLSILSLLGHVVRLQPSWKHKLSQAPLLPSLLKCL KMDTDVVVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVTEVYLVHL HASVYALFHRLYGMYPCNFVSFLRSHYSMKENVETFEEVVKPMMEHVRIHPELVTGSKDH ELDPRRWKTLETHDVVIECAKISLDPTEASYEDGYSVSHQLSACFPYRSADVTTSPYVDT QNSYGGSTSTPSSSSRLMLFSPPGQLPQSLSSPSTRLLPEPLQASLWSPSAVCGMTTPPT SPGNVPADLSHPYSKAFGTTGGKGTPSGTPATSPPPAPPCPQDDCVHGSAAQASATAPRK EERADSSRPYLHRQSNDRGLEDPPGSKGSVTLRNLPDFLGDLASEEDSIEKDKEEAAISK ELSEITTAEADPVVPRGGFDSPFYRDSLSGSQRKTHSAASGTQGSSVNPEPLHSSLDKHG PDTPKQAFTPIDPPSGSADVSPAGDRDRQTSLETSILTPSPCKIPPQRGVSFGSGQLPPY DHLFEVALPKTACHFVSKKTEELLKKVKGNPEEDCVPSTSPMEVLDRLIEQGAGAHSKEL SRLSLPSKSVDWTHFGGSPPSDELRTLRDQLLLLHNQLLYERFKRQQHALRNRRLLRKVI RAAALEEHNAAMKDQLKLQEKDIQMWKVSLQKEQARYSQLQEQRDTMVTQLHSQIRQLQH DREEFYNQSQELQTKLEDCRNMIAELRVELKKANNKVCHTELLLSQVSQKLSNSESVQQQ MEFLNRQLLVLGEVNELYLEQLQSKHPDTTKEVEMMKTAYRKELEKNRSHLLQQNQRLDA SQRRVLELESLLAKKDHLLLEQKKYLEDVKSQASGQLLAAESRYEAQRKITRVLELEILD LYGRLEKDGRLRKLEEDRAEAAEAAEERLDCCSDGCTDSLVGHNEEASGHNGETRTSRPG GTRASCGGRVTGGSSSSSSELSTPEKPPSQRFSSRWEPALGEPSSSIPTTVGSLPSSKSF LGMKARELFRNKSESQCDEDSVTMSSSSLSETLKTELGKDSGTENKTSLSLDAPHPSSPN SDNVGQLHIMDYNETHPEHS >ENSMUSP00000120888.1 pep:known chromosome:GRCm38:2:28641241:28688548:1 gene:ENSMUSG00000026812.16 transcript:ENSMUST00000133565.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsc1 description:tuberous sclerosis 1 [Source:MGI Symbol;Acc:MGI:1929183] MAQLANIGELLSMLDSSTLGVRDDVTAIFKESLNSERGPMLVNTLVDYYLETNSQPVLHI LTTLQEPHDKHLLDKINEYVGKAATRLSILSLLGHVVRLQPSWKHKLSQAPLLPSLLKCL KMDTDVVVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVTEVYLVHL HASVYALFHRLYGMYPCNFVSFLRSHYSMKENVETFEEVVKPMMEHVRIHPELVTGSKDH ELDPRRWKTLETHDVVIECAKISLDPTEASYEDGYSVSHQLSACFPYRSADVTTSPYVDT QNSYGGSTSTPSSSSRLMLFSPPGQLPQSLSSPSTRLLPEPLQASLWSPSAVCGMTTPPT SPGNVPADLSHPYSKAFGTTAGGKGTPSGTPATSPPPAPPCPQDDCVHGSAAQASATAPR KEERADSSRPYLHRQSNDRGLVVSLSLQRIHLEAKVPLL >ENSMUSP00000109500.1 pep:known chromosome:GRCm38:2:28641241:28691167:1 gene:ENSMUSG00000026812.16 transcript:ENSMUST00000113869.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc1 description:tuberous sclerosis 1 [Source:MGI Symbol;Acc:MGI:1929183] MAQLANIGELLSMLDSSTLGVRDDVTAIFKESLNSERGPMLVNTLVDYYLETNSQPVLHI LTTLQEPHDKHLLDKINEYVGKAATRLSILSLLGHVVRLQPSWKHKLSQAPLLPSLLKCL KMDTDVVVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVTEVYLVHL HASVYALFHRLYGMYPCNFVSFLRSHYSMKENVETFEEVVKPMMEHVRIHPELVTGSKDH ELDPRRWKTLETHDVVIECAKISLDPTEASYEDGYSVSHQLSACFPYRSADVTTSPYVDT QNSYGGSTSTPSSSSRLMLFSPPGQLPQSLSSPSTRLLPEPLQASLWSPSAVCGMTTPPT SPGNVPADLSHPYSKAFGTTAGGKGTPSGTPATSPPPAPPCPQDDCVHGSAAQASATAPR KEERADSSRPYLHRQSNDRGLEDPPGSKGSVTLRNLPDFLGDLASEEDSIEKDKEEAAIS KELSEITTAEADPVVPRGGFDSPFYRDSLSGSQRKTHSAASGTQGSSVNPEPLHSSLDKH GPDTPKQAFTPIDPPSGSADVSPAGDRDRQTSLETSILTPSPCKIPPQRGVSFGSGQLPP YDHLFEVALPKTACHFVSKKTEELLKKVKGNPEEDCVPSTSPMEVLDRLIEQGAGAHSKE LSRLSLPSKSVDWTHFGGSPPSDELRTLRDQLLLLHNQLLYERFKRQQHALRNRRLLRKV IRAAALEEHNAAMKDQLKLQEKDIQMWKVSLQKEQARYSQLQEQRDTMVTQLHSQIRQLQ HDREEFYNQSQELQTKLEDCRNMIAELRVELKKANNKVCHTELLLSQVSQKLSNSESVQQ QMEFLNRQLLVLGEVNELYLEQLQSKHPDTTKEVEMMKTAYRKELEKNRSHLLQQNQRLD ASQRRVLELESLLAKKDHLLLEQKKYLEDVKSQASGQLLAAESRYEAQRKITRVLELEIL DLYGRLEKDGRLRKLEEDRAEAAEAAEERLDCCSDGCTDSLVGHNEEASGHNGETRTSRP GGTRASCGGRVTGGSSSSSSELSTPEKPPSQRFSSRWEPALGEPSSSIPTTVGSLPSSKS FLGMKARELFRNKSESQCDEDSVTMSSSSLSETLKTELGKDSGTENKTSLSLDAPHPSSP NSDNVGQLHIMDYNETHPEHS >ENSMUSP00000109498.2 pep:known chromosome:GRCm38:2:28641243:28691167:1 gene:ENSMUSG00000026812.16 transcript:ENSMUST00000113867.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc1 description:tuberous sclerosis 1 [Source:MGI Symbol;Acc:MGI:1929183] MAQLANIGELLSMLDSSTLGVRDDVTAIFKESLNSERGPMLVNTLVDYYLETNSQPVLHI LTTLQEPHDKHLLDKINEYVGKAATRLSILSLLGHVVRLQPSWKHKLSQAPLLPSLLKCL KMDTDVVVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVTEVYLVHL HASVYALFHRLYGMYPCNFVSFLRSHYSMKENVETFEEVVKPMMEHVRIHPELVTGSKDH ELDPRRWKTLETHDVVIECAKISLDPTEASYEDGYSVSHQLSACFPYRSADVTTSPYVDT QNSYGGSTSTPSSSSRLMLFSPPGQLPQSLSSPSTRLLPEPLQASLWSPSAVCGMTTPPT SPGNVPADLSHPYSKAFGTTGGKGTPSGTPATSPPPAPPCPQDDCVHGSAAQASATAPRK EERADSSRPYLHRQSNDRGLEDPPGSKGSVTLRNLPDFLGDLASEEDSIEKDKEEAAISK ELSEITTAEADPVVPRGGFDSPFYRDSLSGSQRKTHSAASGTQGSSVNPEPLHSSLDKHG PDTPKQAFTPIDPPSGSADVSPAGDRDRQTSLETSILTPSPCKIPPQRGVSFGSGQLPPY DHLFEVALPKTACHFVSKKTEELLKKVKGNPEEDCVPSTSPMEVLDRLIEQGAGAHSKEL SRLSLPSKSVDWTHFGDELRTLRDQLLLLHNQLLYERFKRQQHALRNRRLLRKVIRAAAL EEHNAAMKDQLKLQEKDIQMWKVSLQKEQARYSQLQEQRDTMVTQLHSQIRQLQHDREEF YNQSQELQTKLEDCRNMIAELRVELKKANNKVCHTELLLSQVSQKLSNSESVQQQMEFLN RQLLVLGEVNELYLEQLQSKHPDTTKEVEMMKTAYRKELEKNRSHLLQQNQRLDASQRRV LELESLLAKKDHLLLEQKKYLEDVKSQASGQLLAAESRYEAQRKITRVLELEILDLYGRL EKDGRLRKLEEDRAEAAEAAEERLDCCSDGCTDSLVGHNEEASGHNGETRTSRPGGTRAS CGGRVTGGSSSSSSELSTPEKPPSQRFSSRWEPALGEPSSSIPTTVGSLPSSKSFLGMKA RELFRNKSESQCDEDSVTMSSSSLSETLKTELGKDSGTENKTSLSLDAPHPSSPNSDNVG QLHIMDYNETHPEHS >ENSMUSP00000109501.2 pep:known chromosome:GRCm38:2:28658567:28691167:1 gene:ENSMUSG00000026812.16 transcript:ENSMUST00000113870.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc1 description:tuberous sclerosis 1 [Source:MGI Symbol;Acc:MGI:1929183] MAQLANIGELLSMLDSSTLGVRDDVTAIFKESLNSERGPMLVNTLVDYYLETNSQPVLHI LTTLQEPHDKHLLDKINEYVGKAATRLSILSLLGHVVRLQPSWKHKLSQAPLLPSLLKCL KMDTDVVVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVTEVYLVHL HASVYALFHRLYGMYPCNFVSFLRSHYSMKENVETFEEVVKPMMEHVRIHPELVTGSKDH ELDPRRWKTLETHDVVIECAKISLDPTEASYEDGYSVSHQLSACFPYRSADVTTSPYVDT QNSYGGSTSTPSSSSRLMLFSPPGQLPQSLSSPSTRLLPEPLQASLWSPSAVCGMTTPPT SPGNVPADLSHPYSKAFGTTGGKGTPSGTPATSPPPAPPCPQDDCVHGSAAQASATAPRK EERADSSRPYLHRQSNDRGLEDPPGSKGSVTLRNLPDFLGDLASEEDSIEKDKEEAAISK ELSEITTAEADPVVPRGGFDSPFYRDSLSGSQRKTHSAASGTQGSSVNPEPLHSSLDKHG PDTPKQAFTPIDPPSGSADVSPAGDRDRQTSLETSILTPSPCKIPPQRGVSFGSGQLPPY DHLFEVALPKTACHFVSKKTEELLKKVKGNPEEDCVPSTSPMEVLDRLIEQGAGAHSKEL SRLSLPSKSVDWTHFGGSPPSDELRTLRDQLLLLHNQLLYERFKRQQHALRNRRLLRKVI RAAALEEHNAAMKDQLKLQEKDIQMWKVSLQKEQARYSQLQEQRDTMVTQLHSQIRQLQH DREEFYNQSQELQTKLEDCRNMIAELRVELKKANNKVCHTELLLSQVSQKLSNSESVQQQ MEFLNRQLLVLGEVNELYLEQLQSKHPDTTKEVEMMKTAYRKELEKNRSHLLQQNQRLDA SQRRVLELESLLAKKDHLLLEQKKYLEDVKSQASGQLLAAESRYEAQRKITRVLELEILD LYGRLEKDGRLRKLEEDRAEAAEAAEERLDCCSDGCTDSLVGHNEEASGHNGETRTSRPG GTRASCGGRVTGGSSSSSSELSTPEKPPSQRFSSRWEPALGEPSSSIPTTVGSLPSSKSF LGMKARELFRNKSESQCDEDSVTMSSSSLSETLKTELGKDSGTENKTSLSLDAPHPSSPN SDNVGQLHIMDYNETHPEHS >ENSMUSP00000046524.7 pep:known chromosome:GRCm38:17:49992257:50190674:-1 gene:ENSMUSG00000039316.14 transcript:ENSMUST00000044503.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rftn1 description:raftlin lipid raft linker 1 [Source:MGI Symbol;Acc:MGI:1923688] MGCSLNKLEKREEKRPGNIYSTLKRPQVETKVDVTYEYCFLEFTTLTAAELPRSSATRLA SLRDLPDQLLELYQQGFSLAALHPFVQPTRRQEKILLEHIFRAILVKKTNRSQKAELHDE GYTLELDYCSSLEHLADQKLIPEFIKKVQEAASQGLKFVSVVPQYQPSVSSAGSRRLKPV ANSVEDARDVKCTLGDRSSLENDTPKAAETDAATAGVNRRPETKPGSTGDVPSAQQPGIP SPSAENEAGEFPLRGLQPALDRSEGDPSNGPEELPSRKMEIFAFFNRPKSQQKCRQYYPV TIPLQVSKNGQTVSSLDASWLEHMSDHFRKGGVLVNAVFQLGMANDSFYGLTDGVFIFEA VSTEDNRTTQGYDAIVVEQWTVLEGTEVQTDYMPLLNSLAAYGWQLTCVLPTPILKTTRE GNVSTKQIVFLQRPCLPQKTKKRESKFQWRFSRNEIHGRQTRKSKGKLSASNKQQAEENE KNLEDQFSKAGDVGNCVLGAPQWGRASEVREQRQGSAAVQNGPAGHNRDSVALRHSNPRA EAELAAGPTPTEAN >ENSMUSP00000108820.1 pep:known chromosome:GRCm38:17:49993260:50094332:-1 gene:ENSMUSG00000039316.14 transcript:ENSMUST00000113195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rftn1 description:raftlin lipid raft linker 1 [Source:MGI Symbol;Acc:MGI:1923688] MEIFAFFNRPKSQQKCRQYYPVTIPLQVSKNGQTVSSLDASWLEHMSDHFRKGGVLVNAV FQLGMANDSFYGLTDGVFIFEAVSTEDNRTTQGYDAIVVEQWTVLEGTEVQTDYMPLLNS LAAYGWQLTCVLPTPILKTTREGNVSTKQIVFLQRPCLPQKTKKRESKFQWRFSRNEIHG RQTRKSKGKLSASNKQQAEENEKNLEDQFSKAGDVGNCVLGAPQWGRASEVREQRQGSAA VQNGPAGHNRDSVALRHSNPRAEAELAAGPTPTEAN >ENSMUSP00000141882.1 pep:known chromosome:GRCm38:1:40074079:40112136:1 gene:ENSMUSG00000026073.13 transcript:ENSMUST00000191671.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1r2 description:interleukin 1 receptor, type II [Source:MGI Symbol;Acc:MGI:96546] MFILLVLVTGVSAFTTPTVVHTGKVSESPITSEKPTVHGDNCQFRGREFKSELRLEGEPV VLRCPLAPHSDISSSSHSFLTWSKLDSSQLIPRDEPRMWVKGNILWILPAVQQDSGTYIC TFRNASHCEQMSVELKVFKNTEASLPHVSYLQISALSTTGLLVCPDLKEFISSNADGKIQ WYK >ENSMUSP00000027243.7 pep:known chromosome:GRCm38:1:40084698:40125231:1 gene:ENSMUSG00000026073.13 transcript:ENSMUST00000027243.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1r2 description:interleukin 1 receptor, type II [Source:MGI Symbol;Acc:MGI:96546] MFILLVLVTGVSAFTTPTVVHTGKVSESPITSEKPTVHGDNCQFRGREFKSELRLEGEPV VLRCPLAPHSDISSSSHSFLTWSKLDSSQLIPRDEPRMWVKGNILWILPAVQQDSGTYIC TFRNASHCEQMSVELKVFKNTEASLPHVSYLQISALSTTGLLVCPDLKEFISSNADGKIQ WYKGAILLDKGNKEFLSAGDPTRLLISNTSMDDAGYYRCVMTFTYNGQEYNITRNIELRV KGTTTEPIPVIISPLETIPASLGSRLIVPCKVFLGTGTSSNTIVWWLANSTFISAAYPRG RVTEGLHHQYSENDENYVEVSLIFDPVTREDLHTDFKCVASNPRSSQSLHTTVKEVSSTF SWSIALAPLSLIILVVGAIWMRRRCKRRAGKTYGLTKLRTDNQDFPSSPN >ENSMUSP00000141804.1 pep:known chromosome:GRCm38:1:40084792:40125219:1 gene:ENSMUSG00000026073.13 transcript:ENSMUST00000195770.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il1r2 description:interleukin 1 receptor, type II [Source:MGI Symbol;Acc:MGI:96546] MFILLVLVTGVSAFTTPTVVHTGKVSESPITSEKPTETHPTVSKCLWNSRSLRILKHLCL MSPTCKSQLSPPPGY >ENSMUSP00000141785.1 pep:known chromosome:GRCm38:1:40085985:40102436:1 gene:ENSMUSG00000026073.13 transcript:ENSMUST00000194913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1r2 description:interleukin 1 receptor, type II [Source:MGI Symbol;Acc:MGI:96546] MF >ENSMUSP00000145002.1 pep:known chromosome:GRCm38:6:86426658:86445630:1 gene:ENSMUSG00000107539.1 transcript:ENSMUST00000204137.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:RP23-281H4.10 MASGASGMEMHNRLASMCLTVGKYLPMECMASHGASRGSIRPSLLHRGWDPITVCHHLKG RMAACCLVSLLGIEWPGMKPSENRLQNGSQWLEATGRAAKSST >ENSMUSP00000104098.3 pep:known chromosome:GRCm38:7:19394437:19404104:-1 gene:ENSMUSG00000040714.14 transcript:ENSMUST00000108458.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc3 description:kinesin light chain 3 [Source:MGI Symbol;Acc:MGI:1277971] MSVQVAAPGSTGLGPERLNPEELVRQTRQVVQGLEALRAEHHSLAGHLAEALAGPGPVAG VELLEEKQQVVNHSLEAIELGLGEAQVLLALSAHVSVLEAEKQRLRAQARRLAQENTWLR EELEETQRRLRASEEAVAQLEEEKSHLQFLGQLRQYDPPEESQRPESPPRRDSLASLFPS EEEEKKGPEAAGAAAAQQGGYEIPARLRTLHNLVIQYAGQGRYEVAVPLCRQALEDLERS SGHCHPDVATMLNILALVYRDQNKYKEATELLHDALQIREQTLGPEHPAVAATLNNLAVL YGKRGRYREAEPLCQRALEIREKVLGADHPDVAKQLNNLALLCQNQGKFQDVERHYARAL SIYEALGGPQDPNVAKTKNNLASAYLKQNKYQQAEELYKEILSQEALPAPLGAPQGGTAG DTQQQVLRRSSSFSKLRESIRRGSEKLVSRLRGEGMAGAAGMKRAMSLNMLNVDGPRAAR TQLSQLSTRHLSEAPRTLSISTQDLSPR >ENSMUSP00000038091.3 pep:known chromosome:GRCm38:7:19394440:19399878:-1 gene:ENSMUSG00000040714.14 transcript:ENSMUST00000047170.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc3 description:kinesin light chain 3 [Source:MGI Symbol;Acc:MGI:1277971] MSVQVAAPGSTGLGPERLNPEELVRQTRQVVQGLEALRAEHHSLAGHLAEALAGPGPVAG VELLEEKQQVVNHSLEAIELGLGEAQVLLALSAHVSVLEAEKQRLRAQARRLAQENTWLR EELEETQRRLRASEEAVAQLEEEKSHLQFLGQLRQYDPPEESQRPESPPRRDSLASLFPS EEEEKKGPEAAGAAAAQQGGYEIPARLRTLHNLVIQYAGQGRYEVAVPLCRQALEDLERS SGHCHPDVATMLNILALVYRDQNKYKEATELLHDALQIREQTLGPEHPAVAATLNNLAVL YGKRGRYREAEPLCQRALEIREKVLGADHPDVAKQLNNLALLCQNQGKFQDVERHYARAL SIYEALGGPQDPNVAKTKNNLASAYLKQNKYQQAEELYKEILSQEALPAPLGAPQGGTAG DTQQQVLRRSSSFSKLRESIRRGSEKLVSRLRGEGMAGAAGMKRAMSLNMLNVDGPRAAR TQLSQLSTRHLSEAPRTLSISTQDLSPR >ENSMUSP00000104099.2 pep:known chromosome:GRCm38:7:19394440:19399921:-1 gene:ENSMUSG00000040714.14 transcript:ENSMUST00000108459.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc3 description:kinesin light chain 3 [Source:MGI Symbol;Acc:MGI:1277971] MSVQVAAPGSTGLGPERLNPEELVRQTRQVVQGLEALRAEHHSLAGHLAEALAGPGPVAG VELLEEKQQVVNHSLEAIELGLGEAQVLLALSAHVSVLEAEKQRLRAQARRLAQENTWLR EELEETQRRLRASEEAVAQLEEEKSHLQFLGQLRQYDPPEESQRPESPPRRDSLASLFPS EEEEKKGPEAAGAAAAQQGGYEIPARLRTLHNLVIQYAGQGRYEVAVPLCRQALEDLERS SGHCHPDVATMLNILALVYRDQNKYKEATELLHDALQIREQTLGPEHPAVAATLNNLAVL YGKRGRYREAEPLCQRALEIREKVLGADHPDVAKQLNNLALLCQNQGKFQDVERHYARAL SIYEALGGPQDPNVAKTKNNLASAYLKQNKYQQAEELYKEILSQEALPAPLGAPQGGTAG DTQQQVLRRSSSFSKLRESIRRGSEKLVSRLRGEGMAGAAGMKRAMSLNMLNVDGPRAAR TQLSQLSTRHLSEAPRTLSISTQDLSPR >ENSMUSP00000104097.1 pep:known chromosome:GRCm38:7:19394564:19403783:-1 gene:ENSMUSG00000040714.14 transcript:ENSMUST00000108457.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc3 description:kinesin light chain 3 [Source:MGI Symbol;Acc:MGI:1277971] MSVQVAAPGSTGLGPERLNPEELVRQTRQVVQGLEALRAEHHSLAGHLAEALAGPGPVAG VELLEEKQQVVNHSLEAIELGLGEAQVLLALSAHVSVLEAEKQRLRAQARRLAQENTWLR EELEETQRRLRASEEAVAQLEEEKSHLQFLGQLRQYDPPEESQRPESPPRRDSLASLFPS EEEEKKGPEAAGAAAAQQGGYEIPARLRTLHNLVIQYAGQGRYEVAVPLCRQALEDLERS SGHCHPDVATMLNILALVYRDQNKYKEATELLHDALQIREQTLGPEHPAVAATLNNLAVL YGKRGRYREAEPLCQRALEIREKVLGADHPDVAKQLNNLALLCQNQGKFQDVERHYARAL SIYEALGGPQDPNVAKTKNNLASAYLKQNKYQQAEELYKEILSQEALPAPLGAPQGGTAG DTQQQVLRRSSSFSKLRESIRRGSEKLVSRLRGEGMAGAAGMKRAMSLNMLNVDGPRAAR TQLSTRHLSEAPRTLSISTQDLSPR >ENSMUSP00000124424.1 pep:known chromosome:GRCm38:19:12763660:12796126:-1 gene:ENSMUSG00000024695.15 transcript:ENSMUST00000142247.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp91 description:zinc finger protein 91 [Source:MGI Symbol;Acc:MGI:104854] MPGETEEPRSPEQQDQEGGPAAAADAASEELRPGAAAAPAAPAETASSRVLRGGRDRGRT AAAAAAAAAAVSRRRKAEYPRRRRSSPSNRPPDGPGHQPAAAKPPSPAQGKKSPRLQCIE KLTTDKDPKEEKEDDSVLPQEVSITTTRASRSWRSSSRTSISRLRDSENTRSSRSKTGSL QLVCKTEPITDQLDYDVPEEHQSPGGISSDEEEEEEEEMLISEEEIPFKDDPRDETYKPH LERETPKPRRKSGKVKEEKEKKEIKVEVEVEVKEEENEIREDEEPPRKRGRRRKDDKSPR LPKRRKKPPIQYVRCEMEGCGTVLAHPRYLQHHIKYQHLLKKKYVCPHPSCGRLFRLQKQ LLRHAKHHTDQRDYICEYCARAFKSSHNLAVHRMIHTGEKPLQCEICGFTCRQKASLNWH MKKHDADSFYQFSCNICGKKFEKKDSVVAHKAKSHPEVLIAEALAANAGALITSTDILGT NPEPLTQPADGQGLPLLPEPLGNSTAGECLLLEAEGMSKSYCSGTERLI >ENSMUSP00000037971.8 pep:known chromosome:GRCm38:19:12767020:12796126:-1 gene:ENSMUSG00000024695.15 transcript:ENSMUST00000038627.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp91 description:zinc finger protein 91 [Source:MGI Symbol;Acc:MGI:104854] MPGETEEPRSPEQQDQEGGPAAAADAASEELRPGAAAAPAAPAETASSRVLRGGRDRGRT AAAAAAAAAAVSRRRKAEYPRRRRSSPSNRPPDGPGHQPAAAKPPSPAQGKKSPRLQCIE KLTTDKDPKEEKEDDSVLPQEVSITTTRASRSWRSSSRTSISRLRDSENTRSSRSKTGSL QLVCKTEPITDQLDYDVPEEHQSPGGISSDEEEEEEEEMLISEEEIPFKDDPRDETYKPH LERETPKPRRKSGKVKEEKEKKEIKVEVEVEVKEEENEIREDEEPPRKRGRRRKDDKSPR LPKRRKKPPIQYVRCEMEGCGTVLAHPRYLQHHIKYQHLLKKKYVCPHPSCGRLFRLQKQ LLRHAKHHTDQRDYICEYCARAFKSSHNLAVHRMIHTGEKPLQCEICGFTCRQKASLNWH MKKHDADSFYQFSCNICGKKFEKKDSVVAHKAKSHPEVLIAEALAANAGALITSTDILGT NPEPLTQPADGQGLPLLPEPLGNSTAGECLLLEAEGMSKSYCSGTERVSLMADGKIFVGS GSSGGTEGLVMNSDILGATTEVLIEDTDSTGP >ENSMUSP00000070602.5 pep:known chromosome:GRCm38:3:137550045:137552622:-1 gene:ENSMUSG00000055138.9 transcript:ENSMUST00000068546.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4861 description:predicted gene 4861 [Source:MGI Symbol;Acc:MGI:3643777] MNTLCSIPVSLLTLVAGQNWIYHIRKKRMSAAKVQNQNLSGMEWKASRRGKCWRTDTGLR KATLVFTLVILGTYVSDSLLLVLRLGTGRACWSRTTEPPPSHRFCF >ENSMUSP00000046297.2 pep:known chromosome:GRCm38:2:3513065:3526376:1 gene:ENSMUSG00000039496.8 transcript:ENSMUST00000036350.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdnf description:cerebral dopamine neurotrophic factor [Source:MGI Symbol;Acc:MGI:3606576] MRCISPTALVTFCAGFCISNPVLAQGLEAGVGPRADCEVCKEFLDRFYNSLLSRGIDFSA DTIEKELLNFCSDAKGKENRLCYYLGATTDAATKILGEVTRPMSVHIPAVKICEKLKKMD SQICELKYGKKLDLASVDLWKMRVAELKQILQRWGEECRACAEKSDYVNLIRELAPKYVE IYPQTEL >ENSMUSP00000107629.2 pep:known chromosome:GRCm38:6:130323289:130337574:-1 gene:ENSMUSG00000067591.12 transcript:ENSMUST00000111998.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra3 description:killer cell lectin-like receptor, subfamily A, member 3 [Source:MGI Symbol;Acc:MGI:101905] MSEPEVTYSTVRLHKSSGLQKLVRHEETQGPREVGNRKCSAPWQLIVKALGILCFLLLVT VAVLAVKIFQYNQHKQEINETLNHHHNCSNMQRAFNLKEEMLTNKSIDCRPSNETLEYIK REQDRWDSKTKTVLDSSRDTGRGVKYWFCYSTKCYYFIMNKTTWSGCKANCQHYSVPILK IEDEDELKFLQRHVIPENYWIGLSYDKKKKEWAWIDNGPSKLDMKIRKMNFKSRGCVFLS KARIEDIDCNIPYYCICGKKLDKFPD >ENSMUSP00000085333.4 pep:known chromosome:GRCm38:6:130323393:130337502:-1 gene:ENSMUSG00000067591.12 transcript:ENSMUST00000088017.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra3 description:killer cell lectin-like receptor, subfamily A, member 3 [Source:MGI Symbol;Acc:MGI:101905] MSEPEVTYSTVRLHKSSGLQKLVRHEETQGPREVGNRKCSAPWQLIVKALGILCFLLLVT VAVLAVKIFQYNQHKQEINETLNHHHNCSNMQRAFNLKEEMLTNKSIDCRPSNETLEYIK REQDRWDSKTKTVLDSSRDTGRGVKYWFCYSTKCYYFIMNKTTWSGCKANCQHYSVPILK IEDEDELKFLQRHVIPENYWIGLSYDKKKKEWAWIDNGPSKLDMKIRKMNFKSRGCVFLS KARIEDIDCNIPYYCICGKKLDKFPD >ENSMUSP00000103407.2 pep:known chromosome:GRCm38:3:75037907:75143772:-1 gene:ENSMUSG00000034151.13 transcript:ENSMUST00000107778.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbbx description:zinc finger, B-box domain containing [Source:MGI Symbol;Acc:MGI:2674085] MAHSTGSENPKTKRKVCGQCENKAALLVCLECGEDYCSGCFAKMHQKGALKFHRTTLLQP KSQILSNILIAAHQFIKEENPPNEGNHPAEASSKSQQKPKALLQNSSEVGATASEGGEGE NPRCKVMWEMPFDEEASAQSFREALTQWRAGHQEENKEEEVHAKKPVFIFLDSVEECEVQ TNLKMWTEPINIEFTEDSLSYMETLWLKKYRRTPQEQLRTMLPNTFTHPHQATAEAQCTQ NENESDSDVEEIKIKSPPLFMPVEKLNIERPPPSLTIVELDDTHGAEFEDPGIAVPYRVE LADSESQLSSTFTDYQTCFPCENEIHHHLIFNKGKADLLSLCLRTNSRYHKDDIKDFGNT VESCTYSDEFKKIGETTSFEKNETEKNIDPQNNKDSVDSCMSLGSKGSLPTIDSSTSFIE ENSSQDTNTSLKCSNQNERSNLGNSKTAKEPLFQKVTIRKKLIKERYQGPKKFYSVDKNE RLDVFPSSSIKGSHSCSEITSTVSEDKMWIPLSSLSGYAGSADALCDQQSDENPLPNMQQ QKSGQKSQRPSTANIPISNPDQKDTSCLLFSYTRSKSAAAQMPSRAASEISEIEYIDVTD QSELFGGNTADRQALDTLEKELNILRKPADPSEDPHSLPSEELQAFNDNFLTLSQMSKDL LEMSRESDPHGAESSSSGRNPEIQPLLSLGDYSTDEEEDEFLDKQHVIKLPWEKRA >ENSMUSP00000043970.6 pep:known chromosome:GRCm38:3:75037918:75143730:-1 gene:ENSMUSG00000034151.13 transcript:ENSMUST00000039269.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbbx description:zinc finger, B-box domain containing [Source:MGI Symbol;Acc:MGI:2674085] MAHSTGSENPKTKRKVCGQCENKAALLVCLECGEDYCSGCFAKMHQKGALKFHRTTLLQP KSQILSNILIAAHQFIKEENPPNEGNHPAEASSKSQQKPKALLQNSSEVGATASEGGEGE NPRCKVMWEMPFDEEASAQSFREALTQWRAGHQEENKEEEVHAKKPDSVEECEVQTNLKM WTEPINIEFTEDSLSYMETLWLKKYRRTPQEQLRTMLPNTFTHPHQATAEAQCTQNENES DSDVEEIKIKSPPLFMPVEKLNIERPPPSLTIVELDDTHGAEFEDPGIAVPYRVELADSE SQLSSTFTDYQTCFPCENEIHHHLIFNKGKADLLSLCLRTNSRYHKDDIKDFGNTVESCT YSDEFKKIGETTSFEKNETEKNIDPQNNKDSVDSCMSLGSKGSLPTIDSSTSFIEENSSQ DTNTSLKCSNQNERSNLGNSKTAKEPLFQKVTIRKKLIKERYQGPKKFYSVDKNERLDVF PSSSIKGSHSCSEITSTVSEDKMWIPLSSLSGYAGSADALCDQQSDENPLPNMQQQKSGQ KSQRPSTANIPISNPDQKDTSCLLFSYTRSKSAAAQMPSRAASEISEIEYIDVTDQSELF GGNTADRQALDTLEKELNILRKPADPSEDPHSLPSEELQAFNDNFLTLSQMSKDLLEMSR ESDPHGAESSSSGRNPEIQPLLSLGDYSTDEEEDEFLDKQHVIKLPWEKRA >ENSMUSP00000103405.2 pep:known chromosome:GRCm38:3:75061361:75143703:-1 gene:ENSMUSG00000034151.13 transcript:ENSMUST00000107776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbbx description:zinc finger, B-box domain containing [Source:MGI Symbol;Acc:MGI:2674085] MAHSTGSENPKTKRKVCGQCENKAALLVCLECGEDYCSGCFAKMHQKGALKFHRTTLLQP KSQILSNILIAAHQFIKEENPPNEGNHPAEASSKSQQKPKALLQNSSEVGATASEGGEGE NPRCKVMWEMPFDEEASAQSFREALTQWRAGHQEENKEEEVHAKKPDSVEECEVQTNLKM WTEPINIEFTEDSLSYMETLWLKKYRRTPQEQLRTMLPNTFTHPHQATAEAQCTQNENES DSDVEEIKIKSPPLFMPVEKLNIERPPPSLTIVELDDTHGAEFEDPGIAVPYRVELADSE SQLSSTFTDYQTCFPCENEIHHHLIFNKGKADLLSLCLRTNSRYHKDDIKDFGNTVESCT YSDEFKKIGETTSFEKNETEKNIDPQNNKDSVDSCMSLGSKGSLPTIDSSTSFIEENSSQ DTNTSLKCSNQNERSNLGNSKTAKEPLFQKVTIRKKLIKERYQGPKKFYSVDKNERLDVF PSSSIKGSHSCSEITSTVSEDKMWIPLSSLSGYAGSADALCDQQSDENPLPNMQQQKSGT VNGKAEVSCWWLTLFHCLILSKLLVSENFVQFYFILQDKGR >ENSMUSP00000103404.1 pep:known chromosome:GRCm38:3:75078562:75143772:-1 gene:ENSMUSG00000034151.13 transcript:ENSMUST00000107775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbbx description:zinc finger, B-box domain containing [Source:MGI Symbol;Acc:MGI:2674085] MAHSTGSENPKTKRKVCGQCENKAALLVCLECGEDYCSGCFAKMHQKGALKFHRTTLLQP KSQILSNILIAAHQFIKEENPPNEGNHPAEASSKSQQKPKALLQNSSEVGATASEGGEGE NPRCKVMWEMPFDEEASAQSFREALTQWRAGHQEENKEEEVHAKKPVFIFLDSVEECEVQ TNLKMWTEPINIEFTEDSLSYMETLWLKKYRRTPQEQLRTMLPNTFTHPHQATAEAQCTQ NENESDSDVEEIKIKSPPLFMPVEKLNIERPPPSLTIVELDDTHGAEFEDPGIAVPYRVE LADSESQLSSTFTDYQTCFPCENEIHHHLIFNKGKADLLSLCLRTNSRYHKDDIKAQILA TLWSLALILMNSKK >ENSMUSP00000122459.1 pep:known chromosome:GRCm38:3:75112153:75165034:-1 gene:ENSMUSG00000034151.13 transcript:ENSMUST00000124618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbbx description:zinc finger, B-box domain containing [Source:MGI Symbol;Acc:MGI:2674085] MNTKDFVVIPWGKPGSSVKLKYKGVQELQMEKIQLELENQKMEKKLREFQSTWNKGKEEL TTSGYHWKSGNVSKLRNLSRMTSQSKGNPTKLSAGKVKLKLLKEEIQVPAKQLSYKMAHS TGSENPKTKRKVCGQCENKAALLVCLECGEDYCSGCFAKMHQKGALKFHRTTLLQPKSQI LSNILIAAHQFIKEENPPN >ENSMUSP00000034058.6 pep:known chromosome:GRCm38:8:61487734:61503482:1 gene:ENSMUSG00000031641.13 transcript:ENSMUST00000034058.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbr4 description:carbonyl reductase 4 [Source:MGI Symbol;Acc:MGI:2384567] MDKVCAVFGGSRGIGRAVAQLMAQKGYRLAIVSRNLEVAKVTAGELGGNHLAFRCDVAKE QDVQSTFQEMEKHLGPVNFLVNAAGINRDSLLVRTKTEDMISQLHTNLLGSMLTCKAAMK TMIQQGGSIVNVGSIIGLKGNVGQSAYSATKGGLVGFSRSLAKEVARKKIRVNVVAPGFI RTDMTRHLKEEHFKKNIPLGRFGETLEVAHAVVFLLESPYITGHVLIVDGGLQLTV >ENSMUSP00000117069.1 pep:known chromosome:GRCm38:8:61487735:61491587:1 gene:ENSMUSG00000031641.13 transcript:ENSMUST00000126575.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbr4 description:carbonyl reductase 4 [Source:MGI Symbol;Acc:MGI:2384567] MDKVCAVFGGSRGIGRAVAQLMAQKGYRLAIVSRNLEVAKVTAGELGGNHLAFRCDVAKE QDVQSTFQEMEKHLGPVNFLVNAAGINSTCGVCGFPQERKEGIKSLELVIREPVSYLELH LHSLDSLLVRTKTEDMIS >ENSMUSP00000008748.6 pep:known chromosome:GRCm38:3:88553758:88569725:1 gene:ENSMUSG00000008604.11 transcript:ENSMUST00000008748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubqln4 description:ubiquilin 4 [Source:MGI Symbol;Acc:MGI:2150152] MAEPSGAETRPQIRVTVKTPKDKEEIVICDQASVKEFKEEISRRFKAQQDQLVLIFAGKI LKDGDTLSQHGIKDGLTVHLVIKTPQKAQDPVTAAASPPSTPDSASAPSTTPASPAAAPV QPCSSGNTTSDAGSGGGPSPVAAEGPSSATASILSGFGGILGLGSLGLGSANFMELQQQM QRQLMSNPEMLSQIMENPLVQDMMSNPDLMRHMIMANPQMQQLMERNPEISHMLNNPELM RQTMELARNPAMMQEMMRNQDRALSNLESVPGGYNALRRMYTDIQEPMFTAAREQFGNNP FSSLAGNSDNSSSQPLRTENREPLPNPWSPSPPTSQAPGSGGEGTGGSGTSQVHPTVSNP FGINAASLGSGMFNSPEMQALLQQISENPQLMQNVISAPYMRTMMQTLAQNPDFAAQMMV NVPLFAGNPQLQEQLRLQLPVFLQQMQNPESLSILTNPRAMQALLQIQQGLQTLQTEAPG LVPSLGSFGTPRTSVPLAGSNSGSSAEAPTSSPGVPATSPPSAGSNAQQQLMQQMIQLLS GSGNSQVPMPEVRFQQQLEQLNSMGFINREANLQALIATGGDINAAIERLLGSQLS >ENSMUSP00000142145.1 pep:known chromosome:GRCm38:3:88553796:88556838:1 gene:ENSMUSG00000008604.11 transcript:ENSMUST00000192962.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ubqln4 description:ubiquilin 4 [Source:MGI Symbol;Acc:MGI:2150152] MAEPSGAETRPQIRVTVKTPKDKEEIVICDQASVKEARSSRMGTP >ENSMUSP00000092748.2 pep:known chromosome:GRCm38:4:34743784:34756263:-1 gene:ENSMUSG00000071015.2 transcript:ENSMUST00000095129.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm136 description:predicted gene 136 [Source:MGI Symbol;Acc:MGI:2684982] MIRNPNYTDFVCCAVCNKIIPPAPFGETFKRIYDYKPFKTRFYTHKDILDIGASILNKEE EFRETVFKEQIKKAEAKVWEKAELLQKQAVDQAVEDAEARHKFEIRVLEEQHQKDLKALE DKTKVNMIQQMKEELNREHTAAEQRMVHRIQRIMMECHQEKMEAVKKAREEERRIAQKAI EEEKSKVLEEFVTTGVTVIKDKKTSLGQLIKAKEHEMTIYYGMAQRQKQEEVQEVLQEAE KTHQATLDNMMGKLVNTQGELLSVAKQLGIMTNWKDFLEEELQETRAAFQKYINYTFPRL SPGHADFILPERKKTPSILAKENEPRTD >ENSMUSP00000090528.2 pep:known chromosome:GRCm38:11:82388900:82446327:1 gene:ENSMUSG00000020701.12 transcript:ENSMUST00000092852.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem132e description:transmembrane protein 132E [Source:MGI Symbol;Acc:MGI:2685490] MAPGMSGRRGAALLCLSVLLAHAASRSHPASPSPPGTQASPILPVSYRLSHTRLAFFLKE ARPLTPAAINGSLQRSEPFVVFQTKELPVLNVSLGPFSTSQVVARELLQPSSTLDIPERL TVNWKVRAFIVRARVPASQPVAQVLFYVAGRDWDDFGVTERLPCVRLHAFRDAREHPLLR IGSISLFRPPPRRAVQEHRLDSNLMIRLPDRPLRPGEVLSILLYLAPNSSSAASPSVEHF TLRVKAKKGVTLLGTKSRSGQWRVTSELLTGAKHSTATVDVAWALDTPLPPWEGQGPLEI LQLDFEMENFTSQSVKRRIMWHIDYRGHSALPDLERAVTELTVIQRDVQAILPLAMDTEI INTAILTGRTVAIPVKVIAIEVTGLVLDVSDLVECQSHSEDIIKVSSSCDYVFVSGKESR GSMNARVTFRYDVLSAPLEMTVWVPKLPLHIELSDARLSQVKGWRVPILPDRRSARESED EEEEEEERRQSANRGCTLQYQHATLQVFTQFHTTSSEGTDQVVTMLGPDWLVEVTDLVSD FMRVGDPRVAHLVDSNTLAGLEPGTTPFKVVSPLTEAVLGETLLTVTEEKVSITQLQAQV VASLTLSLRPSPGSSHTILATTAAQPTLSLVKQEALLSLWLSYSDGTTAPLSLYSSRDYG LLVSSLDERVATVTQDKAFPLVVAEAEGSGDLLRAELTISESCQKTKRKSVLATTPVSLR VHFGRDEEDPTYDYPGPSQPGPGGGEDEARGAGPPGTAIPAGEVPGLGTAGPVPPTEDYL PLPTGFLQMPRGLTDLEIGMYALLGVFCLAILVFLINCIVFVLRYRHKRIPPEGQTSMDH SHHWVFLGNGQPLRVQGELSPPAGSALETVPACCHGDHHSSGSSQTSVQSQVHGRGDGSS GGSARDQTEDPASSPTSKRKRVKFTTFTTLPTEELAYDSVPAGEEEDEEEDLGWGCPDVA GTTRPTPPPDLHNYMRRIKDIA >ENSMUSP00000052484.4 pep:known chromosome:GRCm38:11:82388900:82446332:1 gene:ENSMUSG00000020701.12 transcript:ENSMUST00000054245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem132e description:transmembrane protein 132E [Source:MGI Symbol;Acc:MGI:2685490] MAPGMSGRRGAALLCLSVLLAHAASRSHPASPSPPGTQASPILPVSYRLSHTRLAFFLKE ARPLTPAAINGSLQRSEPFVVFQTKELPVLNVSLGPFSTSQVVARELLQPSSTLDIPERL TVNWKVRAFIVRARVPASQPVAQVLFYVAGRDWDDFGVTERLPCVRLHAFRDAREVRSSC RLGGALATCLVRAELPLAWFGPPAPAAPPSARRKSPDGLEPEAAAESQQVELYYTLHAPD ASGGCGSARRGPGPGPGAAARAESPTQHPLLRIGSISLFRPPPRRAVQEHRLDSNLMIRL PDRPLRPGEVLSILLYLAPNSSSAASPSVEHFTLRVKAKKGVTLLGTKSRSGQWRVTSEL LTGAKHSTATVDVAWALDTPLPPWEGQGPLEILQLDFEMENFTSQSVKRRIMWHIDYRGH SALPDLERAVTELTVIQRDVQAILPLAMDTEIINTAILTGRTVAIPVKVIAIEVTGLVLD VSDLVECQSHSEDIIKVSSSCDYVFVSGKESRGSMNARVTFRYDVLSAPLEMTVWVPKLP LHIELSDARLSQVKGWRVPILPDRRSARESEDEEEEEEERRQSANRGCTLQYQHATLQVF TQFHTTSSEGTDQVVTMLGPDWLVEVTDLVSDFMRVGDPRVAHLVDSNTLAGLEPGTTPF KVVSPLTEAVLGETLLTVTEEKVSITQLQAQVVASLTLSLRPSPGSSHTILATTAAQPTL SLVKQEALLSLWLSYSDGTTAPLSLYSSRDYGLLVSSLDERVATVTQDKAFPLVVAEAEG SGDLLRAELTISESCQKTKRKSVLATTPVSLRVHFGRDEEDPTYDYPGPSQPGPGGGEDE ARGAGPPGTAIPAGEVPGLGTAGPVPPTEDYLPLPTGFLQMPRGLTDLEIGMYALLGVFC LAILVFLINCIVFVLRYRHKRIPPEGQTSMDHSHHWVFLGNGQPLRVQGELSPPAGSALE TVPACCHGDHHSSGSSQTSVQSQVHGRGDGSSGGSARDQTEDPASSPTSKRKRVKFTTFT TLPTEELAYDSVPAGEEEDEEEDLGWGCPDVAGTTRPTPPPDLHNYMRRIKDIA >ENSMUSP00000139707.1 pep:known chromosome:GRCm38:Y:15882421:15884771:-1 gene:ENSMUSG00000095172.2 transcript:ENSMUST00000188422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20822 description:predicted gene, 20822 [Source:MGI Symbol;Acc:MGI:5434178] MTSLKKKSRRKPSSHALGNIVGCRISHGWKEGNEPVTHWKAIILGQLTTNPSLYLVKYEG IDSVYGQEVHSDERILNLKVLPHKVVFLQVRDVHLASALVGREVQHKFDGKDGSEDNWSG MVLAQVPFLQDFFFYISYKKDPVLYHYQLLDDYKVGNLHIIPETFLAEARSGDDNDFLIG TCVQYTRDDGCKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000130886.1 pep:known chromosome:GRCm38:Y:15882559:15883245:-1 gene:ENSMUSG00000095172.2 transcript:ENSMUST00000165756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20822 description:predicted gene, 20822 [Source:MGI Symbol;Acc:MGI:5434178] MTSLKKKSRRKPSSHALGNIVGCRISHGWKEGNEPVTHWKAIILGQLTTNPSLYLVKYEG IDSVYGQEVHSDERILNLKVLPHKVVFLQVRDVHLASALVGREVQHKFDGKDGSEDNWSG MVLAQVPFLQDFFFYISYKKDPVLYHYQLLDDYKVGNLHIIPETFLAEARSGDDNDFLIG TCVQYTRDDGCKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000101256.2 pep:known chromosome:GRCm38:4:154983115:155010984:-1 gene:ENSMUSG00000029055.17 transcript:ENSMUST00000105631.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch2 description:phospholipase C, eta 2 [Source:MGI Symbol;Acc:MGI:2443078] MGGLAWGPSRAAGSSWVNASGTWEQPLRGFSGLQGGRRRGRGEKGIPEEPLCQLTPQLGL SLRVPFGLGDYGLDMPGPQPSAASQTTGAVACLAEVLLWVGGSVVVSPRWQLSLVVERCM SAMQEGTQMVKLRGSSKGLVRFYYLDEHRSCLRWRPSRKNEKAKISIDSIQEVSEGRQSE IFQRYPDSSFDPNCCFSIYHGSHRESLDLVSPSSEEARTWVTGLRYLMAGISDEDSLARR QRTRDQWLKQTFDEADKNGDGSLSISEVLQLLHKLNVNLPRQRVKQMFREADTDDHQGTL GFEEFCAFYKMMSTRRDLYLLMLTYSNHKDHLDASDLQRFLEVEQKMNGVTLESCQNIIE QFEPCLENKSKGMLGIDGFTNYTRSPAGDIFNPEHNRVHQDMTQPLSHYFITSSHNTYLV GDQLMSQSRVDMYAWVLQAGCRCVEVDCWDGPDGEPIVHHGYTLTSKILFKDVIETINKY AFIKNEYPVILSIENHCSVVQQKKMAQYLTDILGDKLDLSSVSSEDATMLPSPQMLKGKI LVKGKKLPANISEDAEEGEVSDEDSADEMEDDCKLLNGDASTNRKRVENIAKKKLDSLIK ESKIRDCEDPNDFSVSTLSPSGKLGRKAEAKKGQSKVEEDVEAGEDSGVSRQNSRLFMSS FSKRKKKGSKIKKVASVEEGDETLDSPGSQSRGTARQKKTMKLSRALSDLVKYTKSVGTH DVEIEVVSSWQVSSFSETKAHQILQQKPTQYLRFNQHQLSRIYPSSYRVDSSNYNPQPFW NAGCQMVALNYQSEGRMLQLNRAKFSANGDCGYVLKPQCMCQGVFNPNSEDPLPGQLKKQ LALRIISGQQLPKPRDSVLGDRGEIIDPFVEVEVIGLPVDCSKEQTRVVDDNGFNPMWEE TLVFTVHMPEIALVRFLVWDHDPIGRDFIGQRTLAFSSIMPGYRHVYLEGMEEASIFVHV AVSDISGKVKQTLGLKGLFLRGTKPGSLDSHAAGQPLPRPSVSQRLLRRTASAPTKSQKP SRKGFPELALGTQDAGSEGAADDVAPSSPNPALEAPTQERSGSSSPRDTRLFPLQRPISP LCSLEPIAEEPALGPGLPLQAAAPTGPSQEGSQCPVGLGAKVTSSQQTSLGAFGTLQLRI GGGRENEEPPLRPHNGGISSGPREGTSGRQTDSKSRSRVPGHLPVVRRAKSEGQVLSELS PTPAVYSDATGTDRLWQRLEPGSHRDSVSSSSSMSSNDTVIDLSLPSLGLCRSRESIPGV SLGRLTSRPCLASAARPDLPPVTKSKSNPNLRVAGGLPTAPDELQPRPLAPRLTGHHPRP PWHHLTLVGLRDCPVSAKSKSLGDLTADDFAPSFQGSTSSLSCGLGSLGVAHQVLEPGIR RDALTEQLRWLTGFQQAGDITSPTSLGPAGDGSVGGPSFLRRSSSRSQSRVRAIASRARQ AQERQQRLRGQDSRGPPEEERGTPEGACSVGHEGCVDVPMPAKGAPEQVCGAADGQLLLR L >ENSMUSP00000122139.2 pep:known chromosome:GRCm38:4:154983367:154989791:-1 gene:ENSMUSG00000029055.17 transcript:ENSMUST00000124517.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch2 description:phospholipase C, eta 2 [Source:MGI Symbol;Acc:MGI:2443078] XEVIGLPVDCSKEQTRVVDDNGFNPMWEETLVFTVHMPEIALVRFLVWDHDPIGRDFIGQ RTLAFSSIMPGYRHVYLEGMEEASIFVHVAVSDISGKVKQTLGLKGLFLRGTKPGSLDSH AAGQPLPRPSVSQRLLRRTASAPTKSQKPSRKGFPELALGTQDAGSEGAADDVAPSSPNP ALEAPTQERSGSSSPRVRD >ENSMUSP00000135209.1 pep:known chromosome:GRCm38:4:154983367:155007007:-1 gene:ENSMUSG00000029055.17 transcript:ENSMUST00000175982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch2 description:phospholipase C, eta 2 [Source:MGI Symbol;Acc:MGI:2443078] XARTWVTGLRYLMAGISDEDSLARRQRTRDQWLKQTFDEADKNGDGSLSISEVLQLLHKL NVNLPRQRVKQMFREADTDDHQGTLGFEEFCAFYKMMSTRRDLYLLMLTYSNHKDHLDAS DLQRFLEVEQKMNGVTLESCQNIIEQFEPCLENKSKGMLGIDGFTNYTRSPAGDIFNPEH NRVHQDMTQPLSHYFITSSHNTYLVGDQLMSQSRVDMYAWVLQAGCRCVEVDCWDGPDGE PIVHHGYTLTSKILFKDVIETINKYAFIKNEYPVILSIENHCSVVQQKKMAQYLTDILGD KLDLSSVSSEDATMLPSPQMLKGKILVKGKKLPANISEDAEEGEVSDEDSADEMEDDCKL LNGDASTNRKRVENIAKKKLDSLIKESKIRDCEDPNDFSVSTLSPSGKLGRKAEAKKGQS KVEEDVEAGEDSGVSRQNSRLFMSSFSKRKKKGSKIKKVASVEEGDETLDSPGSQSRGTA RQKKTMKLSRALSDLVKYTKSVGTHDVEIEVVSSWQVSSFSETKAHQILQQKPTQYLRFN QHQLSRIYPSSYRVDSSNYNPQPFWNAGCQMGVFNPNSEDPLPGQLKKQLALRIISGQQL PKPRDSVLGDRGEIIDPFVEVEVIGLPVDCSKEQTRVVDDNGFNPMWEETLVFTVHMPEI ALVRFLVWDHDPIGRDFIGQRTLAFSSIMPGYRHVYLEGMEEASIFVHVAVSDISGKVKQ TLGLKGLFLRGTKPGSLDSHAAGQPLPRPSVSQRLLRRTASAPTKSQKPSRKGFPELALG TQDAGSEGAADDVAPSSPNPALEAPTQERSGSSSPRDTRLFPLQRPISPLCSLEPIAEEP ALGPGLPLQAAAPTGPSQEGSQCPVGLGAKVTSSQQTSLGAFGTLQLRIGGGRENEEPPL RPHNGGISSGPREGTSGRQTDSKSRSRVPGHLPVVRRAKSEGQVLSELSPTPAVYSDATG TDRLWQRLEPGSHRDSVSSSSSMSSNDTVIDLSLPSLGLCRSRESIPGVSLGRLTSRPCL ASAARPDLPPVTKSKSNPNLRVAGGLPTAPDELQPRPLAPRLTGHHPRPPWHHLTLVGLR DCPVSAKSKSLGDLTADDFAPSFQGSTSSLSCGLGSLGVAHQVLEPGIRRDALTEQLRWL TGFQQAGDITSPTSLGPAGDGSVGGPSFLRRSSSRSQSRVRAIASRARQAQERQQRLRGQ DSRGPPEEERGTPEGACSVGHEGCVDVPMPAKGAPEQVCGAADGQLLLRL >ENSMUSP00000134750.1 pep:known chromosome:GRCm38:4:154983367:155019432:-1 gene:ENSMUSG00000029055.17 transcript:ENSMUST00000176194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch2 description:phospholipase C, eta 2 [Source:MGI Symbol;Acc:MGI:2443078] MEEPGPPGGLSQDQVERCMSAMQEGTQMVKLRGSSKGLVRFYYLDEHRSCLRWRPSRKNE KAKISIDSIQEVSEGRQSEIFQRYPDSSFDPNCCFSIYHGSHRESLDLVSPSSEEARTWV TGLRYLMAGISDEDSLARRQRTRDQWLKQTFDEADKNGDGSLSISEVLQLLHKLNVNLPR QRVKQMFREADTDDHQGTLGFEEFCAFYKMMSTRRDLYLLMLTYSNHKDHLDASDLQRFL EVEQKMNGVTLESCQNIIEQFEPCLENKSKGMLGIDGFTNYTRSPAGDIFNPEHNRVHQD MTQPLSHYFITSSHNTYLVGDQLMSQSRVDMYAWVLQAGCRCVEVDCWDGPDGEPIVHHG YTLTSKILFKDVIETINKYAFIKNEYPVILSIENHCSVVQQKKMAQYLTDILGDKLDLSS VSSEDATMLPSPQMLKGKILVKGKKLPANISEDAEEGEVSDEDSADEMEDDCKLLNGDAS TNRKRVENIAKKKLDSLIKESKIRDCEDPNDFSVSTLSPSGKLGRKAEAKKGQSKVEEDV EAGEDSGVSRQNSRLFMSSFSKRKKKGSKIKKVASVEEGDETLDSPGSQSRGTARQKKTM KLSRALSDLVKYTKSVGTHDVEIEVVSSWQVSSFSETKAHQILQQKPTQYLRFNQHQLSR IYPSSYRVDSSNYNPQPFWNAGCQMVALNYQSEGRMLQLNRAKFSANGDCGYVLKPQCMC QGVFNPNSEDPLPGQLKKQLALRIISGQQLPKPRDSVLGDRGEIIDPFVEVEVIGLPVDC SKEQTRVVDDNGFNPMWEETLVFTVHMPEIALVRFLVWDHDPIGRDFIGQRTLAFSSIMP GYRHVYLEGMEEASIFVHVAVSDISGKVKQTLGLKGLFLRGTKPGSLDSHAAGQPLPRPS VSQRLLRRTASAPTKSQKPSRKGFPELALGTQDAGSEGAADDVAPSSPNPALEAPTQERS GSSSPRGKAPGGEATEERTLAQVRSPNAPEGPGPAGMAATCMKCVVGSCAGMDVEGLQRE QQPSPGPAGSHMAISHQPRARVDSLGGPCCSPSPRATPGRSKEAPKGPRARRQGPGGGSV SSDSSSPDSPGSPKVAPCQPEGAHRQQGALQGEMNALFVQKLEEIRSHSPMFSTVRD >ENSMUSP00000122704.2 pep:known chromosome:GRCm38:4:154983377:155010984:-1 gene:ENSMUSG00000029055.17 transcript:ENSMUST00000139976.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch2 description:phospholipase C, eta 2 [Source:MGI Symbol;Acc:MGI:2443078] MGGLAWGPSRAAGSSWVNASGTWEQPLRGFSGLQGGRRRGRGEKGIPEEPLCQLTPQLGL SLRVPFGLGDYGLDMPGPQPSAASQTTGAVACLAEVLLWVGGSVVVSPRWQLSLVVERCM SAMQEGTQMVKLRGSSKGLVRFYYLDEHRSCLRWRPSRKNEKAKISIDSIQEVSEGRQSE IFQRYPDSSFDPNCCFSIYHGSHRESLDLVSPSSEEARTWVTGLRYLMAGISDEDSLARR QRTRDQWLKQTFDEADKNGDGSLSISEVLQLLHKLNVNLPRQRVKQMFREADTDDHQGTL GFEEFCAFYKMMSTRRDLYLLMLTYSNHKDHLDASDLQRFLEVEQKMNGVTLESCQNIIE QFEPCLENKSKGMLGIDGFTNYTRSPAGDIFNPEHNRVHQDMTQPLSHYFITSSHNTYLV GDQLMSQSRVDMYAWVLQAGCRCVEVDCWDGPDGEPIVHHGYTLTSKILFKDVIETINKY AFIKNEYPVILSIENHCSVVQQKKMAQYLTDILGDKLDLSSVSSEDATMLPSPQMLKGKI LVKGKKLPANISEDAEEGEVSDEDSADEMEDDCKLLNGDASTNRKRVENIAKKKLDSLIK ESKIRDCEDPNDFSVSTLSPSGKLGRKAEAKKGQSKVEEDVEAGEDSGVSRQNSRLFMSS FSKRKKKGSKIKKVASVEEGDETLDSPGSQSRGTARQKKTMKLSRALSDLVKYTKSVGTH DVEIEVVSSWQVSSFSETKAHQILQQKPTQYLRFNQHQLSRIYPSSYRVDSSNYNPQPFW NAGCQMVALNYQSEGRMLQLNRAKFSANGDCGYVLKPQCMCQGVFNPNSEDPLPGQLKKQ LALRIISGQQLPKPRDSVLGDRGEIIDPFVEVEVIGLPVDCSKEQTRVVDDNGFNPMWEE TLVFTVHMPEIALVRFLVWDHDPIGRDFIGQRTLAFSSIMPGYRHVYLEGMEEASIFVHV AVSDISGKVKQTLGLKGLFLRGTKPGSLDSHAAGQPLPRPSVSQRLLRRTASAPTKSQKP SRKGFPELALGTQDAGSEGAADDVAPSSPNPALEAPTQERSGSSSPRGKAPGGEATEERT LAQVRSPNAPEGPGPAGMAATCMKCVVGSCAGMDVEGLQREQQPSPGPAGSHMAISHQPR ARVDSLGGPCCSPSPRATPGRSKEAPKGPRARRQGPGGGSVSSDSSSPDSPGSPKVAPCQ PEGAHRQQGALQGEMNALFVQKLEEIRSHSPMFSTVRD >ENSMUSP00000118292.2 pep:known chromosome:GRCm38:4:154983662:155043154:-1 gene:ENSMUSG00000029055.17 transcript:ENSMUST00000135665.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch2 description:phospholipase C, eta 2 [Source:MGI Symbol;Acc:MGI:2443078] MDAGAAPQKHMERCMSAMQEGTQMVKLRGSSKGLVRFYYLDEHRSCLRWRPSRKNEKAKI SIDSIQEVSEGRQSEIFQRYPDSSFDPNCCFSIYHGSHRESLDLVSPSSEEARTWVTGLR YLMAGISDEDSLARRQRTRDQWLKQTFDEADKNGDGSLSISEVLQLLHKLNVNLPRQRVK QMFREADTDDHQGTLGFEEFCAFYKMMSTRRDLYLLMLTYSNHKDHLDASDLQRFLEVEQ KMNGVTLESCQNIIEQFEPCLENKSKGMLGIDGFTNYTRSPAGDIFNPEHNRVHQDMTQP LSHYFITSSHNTYLVGDQLMSQSRVDMYAWVLQAGCRCVEVDCWDGPDGEPIVHHGYTLT SKILFKDVIETINKYAFIKNEYPVILSIENHCSVVQQKKMAQYLTDILGDKLDLSSVSSE DATMLPSPQMLKGKILVKGKKLPANISEDAEEGEVSDEDSADEMEDDCKLLNGDASTNRK RVENIAKKKLDSLIKESKIRDCEDPNDFSVSTLSPSGKLGRKAEAKKGQSKVEEDVEAGE DSGVSRQNSRLFMSSFSKRKKKGSKIKKVASVEEGDETLDSPGSQSRGTARQKKTMKLSR ALSDLVKYTKSVGTHDVEIEVVSSWQVSSFSETKAHQILQQKPTQYLRFNQHQLSRIYPS SYRVDSSNYNPQPFWNAGCQMVALNYQSEGRMLQLNRAKFSANGDCGYVLKPQCMCQGVF NPNSEDPLPGQLKKQLALRIISGQQLPKPRDSVLGDRGEIIDPFVEVEVIGLPVDCSKEQ TRVVDDNGFNPMWEETLVFTVHMPEIALVRFLVWDHDPIGRDFIGQRTLAFSSIMPGYRH VYLEGMEEASIFVHVAVSDISGKVKQTLGLKGLFLRGTKPGSLDSHAAGQPLPRPSVSQR LLRRTASAPTKSQKPSRKGFPELALGTQDAGSEGAADDVAPSSPNPALEAPTQERSGSSS PRDTRLFPLQRPISPLCSLEPIAEEPALGPGLPLQAAAPTGPSQEGSQCPVGLGAKVTSS QQTSLGAFGTLQLRIGGGRENEEPPLRPHNGGISSGPREGTSGRQTDSKSRSRVPGHLPV VRRAKSEGQVLSELSPTPAVYSDATGTDRLWQRLEPGSHRDSVSSSSSMSSNDTVIDLSL PSLGLCRSRESIPGVSLGRLTSRPCLASAARPDLPPVTKSKSNPNLRVAGGLPTAPDELQ PRPLAPRLTGHHPRPPWHHLTLVGLRDCPVSAKSKSLGDLTADDFAPSFQGSTSSLSCGL GSLGVAHQVLEPGIRRDALTEQLRWLTGFQQAGDITSPTSLGPAGDGSVGGPSFLRRSSS RSQSRVRAIASRARQAQERQQRLRGQDSRGPPEEERGTPEGACSVGHEGCVDVPMPAKGA PEQVCGAADGQLLLRL >ENSMUSP00000141152.1 pep:known chromosome:GRCm38:4:154985699:155054752:-1 gene:ENSMUSG00000029055.17 transcript:ENSMUST00000186598.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch2 description:phospholipase C, eta 2 [Source:MGI Symbol;Acc:MGI:2443078] MDSSIGPASLPEDPGPDLGSRWLFLSANVLPVVERCMSAMQEGTQMVKLRGSSKGLVRFY YLDEHRSCLRWRPSRKNEKAKISIDSIQEVSEGRQSEIFQRYPDSSIDPFVEVEVIGLPV DCSKEQTRVVDDNGFNPMWEETLVFTVHMPEIALVRFLVWDHDPIGRDFIGQRTLAFSSI MPGYRHVYLEGMEEASIFVHVAVSDISGKVKQTLGLKGLFLRGTKPGSLDSHAAGQPLPR PSVSQRLLRRTASAPTKSQKPSRKGFPELALGTQDAGSEGAADDVAPSSPNPALEAPTQE RSGSSSPRGKAPGGEATEERTLAQVRSPNAPEGPGPAGMAATCMKCVVGSCAGMDVEGLQ REQQPSPGPAGSHMAISHQPRARVDSLGGPCCSPSPRATPGRSKEAPKGPRARRQGPGGG SVSSDSSSPDSPGSPKVAPCQPEGAHRQQGALQGEMNALFVQKLEEIRSHSPMFSTGKAC RSAASHALYTWHA >ENSMUSP00000119864.2 pep:known chromosome:GRCm38:4:154998144:155010984:-1 gene:ENSMUSG00000029055.17 transcript:ENSMUST00000145662.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch2 description:phospholipase C, eta 2 [Source:MGI Symbol;Acc:MGI:2443078] MGGLAWGPSRAAGSSWVNASGTWEQPLRGFSGLQGGRRRVERCMSAMQEGTQMVKLRGSS KGLVRFYYLDEHRSCLRWRPSRKNEKAKISIDSIQEVSEGRQSEIFQRYPDSSFDPNCCF SIYHGSHRESLDLVSPSSEEARTWVTGLRYLMAGISDEDSLARRQRTRDQWLKQTFDEAD KNGDGSLSISEVLQLLHKLNVNLPRQRVKQMFREADTDDHQGTLGFEEFCAFYKMMSTRR DLYLLMLTYSNHKDHLDASDLQRFLEVEQKMNGVTLESCQNIIEQFEPCLENKSKGMLGI DGFTNYTRSPAGDIFNPEHNRVHQDMTQPLSHYFITSSHNTYLVGDQLMSQSRVDMYAWV LQAGCRCVEVDCWDGPDGEPIVHHGYTLTSKILFKDVIETINKYAFIKNEYPVILSIENH CSVVQQKKMAQYLTDILGDKLDLSSVSSEDATMLPSPQMLKGKILVKGKKLPANISEDAE EGEVSDEDSADEMEDDCKLLNGDVSGSPGSACREPGVGPQHPRPILSTKGIYLPQRERGQ GVRDKDRRWRVREKGKGTREGARGICPGGRGDKGLPLDREETDRQTWPIGKWWFTNVKK >ENSMUSP00000118629.2 pep:known chromosome:GRCm38:4:155007071:155056784:-1 gene:ENSMUSG00000029055.17 transcript:ENSMUST00000131173.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch2 description:phospholipase C, eta 2 [Source:MGI Symbol;Acc:MGI:2443078] MDSSIGPASLPEDPGPDLGSRWLFLSANVLPVVERCMSAMQEGTQMVKLRGSSKGLVRFY YLDEHRSCLRWRPSRKNEKAKISIDSIQEVSEGRQSEIFQRYPDSSFDPNC >ENSMUSP00000115440.1 pep:known chromosome:GRCm38:4:155009077:155019428:-1 gene:ENSMUSG00000029055.17 transcript:ENSMUST00000126098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch2 description:phospholipase C, eta 2 [Source:MGI Symbol;Acc:MGI:2443078] MPTLQEGSEVLFCRVRCSCPAATVPDFKSNPGDVWRRVERCMSAMQEGTQMVKLRGSS >ENSMUSP00000111418.1 pep:known chromosome:GRCm38:X:6979652:7041619:-1 gene:ENSMUSG00000051592.14 transcript:ENSMUST00000115752.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnb3 description:cyclin B3 [Source:MGI Symbol;Acc:MGI:2183443] MPPPLLPKRSKLETEKAQSNKITPREEQQSEKIGKSNHAASSSSSSTQGAVKRRSVFEDV TNASHSQCVQSKEDNIELKSHVSKRTKKGVGEVTQKKIKSSKMGHVTSLSNMEKEFILDI PNKPKTLTTEEPSVFQKTLVLNEEPATKETCLMRKTLKSCAFHQETLLMEKPLTLLVETE DYNEFDTELMTSKKKDKPEDPTIIEEMTDLKKSVIRKVTLTSSPLWLKNKHVVQEEKPVI QEKSSFKKISLVSNVVTTKEKPPVKKPHFRKKKPTTEMKSLLQEPSLEEKYNTQEDASIL KKPQVLQENTNNKDATLTEPVTFKGKHSANEATHTKKPSSSKNNPDPQGKGTNLRPLRVH PVTYENEPMSSKKSTTKKKDSHFHGPSVLPDKHSPQMEVSTVKKSLALPNPTTEEKMLHF PVATVLEKQHNMGEAPCLKKPSPLRKQQQLPKRRRFFSNSAVQETVIRKPLFFKMSTTEK DPPSQWPSALPKKHISPGELSKQKKQHVSPKHNMEEDSQCWLDSAFKKQLSREEPASTHT PLKLEMQQAITKETGFHLRNPLVLPTVTSEAKSLTKEPPSFREQNTSLLKRKSTTHTITL QQAQSEWQEMTDEDRNLFSIKPGSHRKEPIPEFLQNPLPPNENCLISQKLSHSMPFASQK TTSQERAHRKESVASNDDKNFFSQDLFSPFSSADEDTLKFHKSLDFQEQVDRKNDSHKKM FDSQDSVSEEESFLRKLFCKDRCSSTEELSQERTVALEQEFLLIKILNENTSSDVDEPLS HQSPHIQNHSDTTKEALEASEALEAPEALETLEALVASEDLEEPLNILEELSTENMVALM KMLVTEDESTKDSFSGNYTAAREAHAEKSLSLEETSINEAATLKESLSSQEKHRAELVTV LKELLVLMKNPSLKRVALAFQENPSNNVETLLREVLALVENSTADESTLQEKPSTKTDVT PKELLALEENSSNKKANPMDSLSFDHKPDTEMGEIARMVLTDEEYNIDTLYERVLALSQG LIAADQLSFTDLQNFEETKIVDEEEFFKSFLVFENKNSPNMSSNAFESRTDNSSAIMPSS KAFNPVENSNPYVSSSKSFKSTLGAKETEITIQDDSDSLERIEKEGQDPLLNTIYAKDVF NYLKEREEKFLVQKYMDGQMELTSDMRAILVDWLVEIQGSFQMTHETLYLAVKIMDLYLM KAQCKKNHLQLLGSTTYMIAAKFEESYPPSLSEFLFICEDMYEKSDMVSLESSILQTLNF DINIPTAYNFLRRYASCIHASMKTLTLSRFICEMTLQEYEYIEERPSKLAAASFILALYM RNLSNCVPTLEYFTGYKMAELHILVRKLNHLLNFRSHSILKNVFEKYSEETYFEVAKIPP LSKQDLENLLNCALFH >ENSMUSP00000052272.7 pep:known chromosome:GRCm38:X:6979652:7030936:-1 gene:ENSMUSG00000051592.14 transcript:ENSMUST00000056725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnb3 description:cyclin B3 [Source:MGI Symbol;Acc:MGI:2183443] MPPPLLPKRSKLETEKAQSNKITPREEQQSEKIGKSNHAASSSSSSTQGAVKRRSVFEDV TNASHSQCVQSKEDNIELKSHVSKRTKKGVGEVTQKKIKSSKMGHVTSLSNMEKEFILDI PNKPKTLTTEEPSVFQKTLVLNEEPATKETCLMRKTLKSCAFHQETLLMEKPLTLLVETE DYNEFDTELMTSKKKDKPEDPTIIEEMTDLKKSVIRKVTLTSSPLWLKNKHVVQEEKPVI QEKSSFKKISLVSNVVTTKEKPPVKKPHFRKKKPTTEMKSLLQEPSLEEKYNTQEDASIL KKPQVLQENTNNKDATLTEPVTFKGKHSANEATHTKKPSSSKNNPDPQGKGTNLRPLRVH PVTYENEPMSSKKSTTKKKDSHFHGPSVLPDKHSPQMEVSTVKKSLALPNPTTEEKMLHF PVATVLEKQHNMGEAPCLKKPSPLRKQQQLPKRRRFFSNSAVQETVIRKPLFFKMSTTEK DPPSQWPSALPKKHISPGELSKQKKQHVSPKHNMEEDSQCWLDSAFKKQLSREEPASTHT PLKLEMQQAITKETGFHLRNPLVLPTVTSEAKSLTKEPPSFREQNTSLLKRKSTTHTITL QQAQSEWQEMTDEDRNLFSIKPGSHRKEPIPEFLQNPLPPNENCLISQKLSHSMPFASQK TTSQERAHRKESVASNDDKNFFSQDLFSPFSSADEDTLKFHKSLDFQEQVDRKNDSHKKM FDSQDSVSEEESFLRKLFCKDRCSSTEELSQERTVALEQEFLLIKILNENTSSDVDEPLS HQSPHIQNHSDTTKEALEASEALEAPEALETLEALVASEDLEEPLNILEELSTENMVALM KMLVTEDESTKDSFSGNYTAAREAHAEKSLSLEETSINEAATLKESLSSQEKHRAELVTV LKELLVLMKNPSLKRVALAFQENPSNNVETLLREVLALVENSTADESTLQEKPSTKTDVT PKELLALEENSSNKKANPMDSLSFDHKPDTEMGEIARMVLTDEEYNIDTLYERVLALSQG LIAADQLSFTDLQNFEETKIVDEEEFFKSFLVFENKNSPNMSSNAFESRTDNSSAIMPSS KAFNPVENSNPYVSSSKSFKSTLGAKETEITIQDDSDSLERIEKEGQDPLLNTIYAKDVF NYLKEREEKFLVQKYMDGQMELTSDMRAILVDWLVEIQGSFQMTHETLYLAVKIMDLYLM KAQCKKNHLQLLGSTTYMIAAKFEESYPPSLSEFLFICEDMYEKSDMVSLESSILQTLNF DINIPTAYNFLRRYASCIHASMKTLTLSRFICEMTLQEYEYIEERPSKLAAASFILALYM RNLSNCVPTLEYFTGYKMAELHILVRKLNHLLNFRSHSILKNVFEKYSEETYFEVAKIPP LSKQDLENLLNCALFH >ENSMUSP00000107651.1 pep:known chromosome:GRCm38:6:130269194:130281928:-1 gene:ENSMUSG00000072718.6 transcript:ENSMUST00000112020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra10 description:killer cell lectin-like receptor subfamily A, member 10 [Source:MGI Symbol;Acc:MGI:1321093] MSELEVTYSTVNLHKSSGLQKLVRHEETQGPREAGNRKCSIYWQLIVKALGILCFLLLVI VAVLAVKIFQYSQHKQEINETLNHHHNCSNMQRDFNLKEEMLTNKSIDCRPSNELLEYIK REQDRWNSETNTILDSSRDTGGGVKYWFCYSTKCYYFIMNKTTWSGCKANCQHYSVPIVK IEDEDELKFLQRHVIPESYWIGLSYDKKKKEWAWIDNGPSKLDMKIRKMNFKSRGCVFLS KARIEDTDCNIPYYCICWKKLDKFPD >ENSMUSP00000075756.5 pep:known chromosome:GRCm38:16:20725158:20730627:-1 gene:ENSMUSG00000022847.14 transcript:ENSMUST00000076422.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thpo description:thrombopoietin [Source:MGI Symbol;Acc:MGI:101875] MELTDLLLAAMLLAVARLTLSSPVAPACDPRLLNKLLRDSHLLHSRLSQCPDVDPLSIPV LLPAVDFSLGEWKTQTEQSKAQDILGAVSLLLEGVMAARGQLEPSCLSSLLGQLSGQVRL LLGALQGLLGTQLPLQGRTTAHKDPNALFLSLQQLLRGKVRFLLLVEGPTLCVRRTLPTT AVPSSTSQLLTLNKFPNRTSGLLETNFSVTARTAGPGLLSRLQGFRVKITPGQLNQTSRS PVQISGYLNRTHGPVNGTHGLFAGTSLQTLEASDISPGAFNKGSLAFNLQGGLPPSPSLA PDGHTPFPPSPALPTTHGSPPQLHPLFPDPSTTMPNSTAPHPVTMYPHPRNLSQET >ENSMUSP00000111097.2 pep:known chromosome:GRCm38:16:20725158:20734511:-1 gene:ENSMUSG00000022847.14 transcript:ENSMUST00000115437.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thpo description:thrombopoietin [Source:MGI Symbol;Acc:MGI:101875] MELTDLLLAAMLLAVARLTLSSPVAPACDPRLLNKLLRDSHLLHSRLSQCPDVDPLSIPV LLPAVDFSLGEWKTQTEQSKAQDILGAVSLLLEGVMAARGQLEPSCLSSLLGQLSGQVRL LLGALQGLLGTQLPLQGRTTAHKDPNALFLSLQQLLRGKVRFLLLVEGPTLCVRRTLPTT AVPSSTSQLLTLNKFPNRTSGLLETNFSVTARTAGPGLLSRLQGFRVKITPGQLNQTSRS PVQISGYLNRTHGPVNGTHGLFAGTSLQTLEASDISPGAFNKGSLAFNLQGGLPPSPSLA PDGHTPFPPSPALPTTHGSPPQLHPLFPDPSTTMPNSTAPHPVTMYPHPRNLSQET >ENSMUSP00000141296.1 pep:known chromosome:GRCm38:3:92532505:92534511:-1 gene:ENSMUSG00000102308.1 transcript:ENSMUST00000193521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310046K23Rik description:RIKEN cDNA 2310046K23 gene [Source:MGI Symbol;Acc:MGI:1924218] MSQQKQKQCAPPQQCCPPPQQCAPPQQCCPPPQQCAPPQQCCPPPQQCAPPQQCCPPPQQ TKQVCQPPPKCQQKCPPPQQCQKSKQK >ENSMUSP00000033486.5 pep:known chromosome:GRCm38:X:7667941:7671390:-1 gene:ENSMUSG00000031146.6 transcript:ENSMUST00000033486.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plp2 description:proteolipid protein 2 [Source:MGI Symbol;Acc:MGI:1298382] MADSERLSAPGCWLACTSFSRTKKGILLFAEIILCLVILICFSASTTSAYSSLSVIEMIC AAVLLVFYTCDLHSKISFINWPWTDFFRSLIATILYLITSIVVLVEGRGSSRVVAGILGL LATLLFGYDAYITFPLKQQRHTAAPTDPTDGP >ENSMUSP00000029440.8 pep:known chromosome:GRCm38:3:103731665:103738001:-1 gene:ENSMUSG00000027848.12 transcript:ENSMUST00000029440.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfml3 description:olfactomedin-like 3 [Source:MGI Symbol;Acc:MGI:1914877] MGPSAPLLLLFFLSWTGPLQGQQHHLVEYMERRLAALEERLAQCQDQSSRHAAELRDFKN KMLPLLEVAEKERETLRTEADSISGRVDRLEREVDYLETQNPALPCVELDEKVTGGPGAK GKGRRNEKYDMVTDCSYTVAQVRSMKILKRFGGSVGLWTKDPLGPAEKIYVLDGTQNDTA FVFPRLRDFTLAMAARKASRIRVPFPWVGTGQLVYGGFLYYARRPPGGPGGGGELENTLQ LIKFHLANRTVVDSSVFPAESLIPPYGLTADTYIDLAADEEGLWAVYATRDDDRHLCLAK LDPQTLDTEQQWDTPCPRENAEAAFVICGTLYVVYNTRPASRARIQCSFDASGTLAPERA ALSYFPRRYGAHASLRYNPRERQLYAWDDGYQIVYKLEMKKKEEEV >ENSMUSP00000142417.1 pep:known chromosome:GRCm38:3:103731680:103732553:-1 gene:ENSMUSG00000027848.12 transcript:ENSMUST00000169286.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfml3 description:olfactomedin-like 3 [Source:MGI Symbol;Acc:MGI:1914877] MYAVQAWCPQRPEEGVGPQELQIQMVVSVMWVLGIESRSPLKEQPIF >ENSMUSP00000025929.4 pep:known chromosome:GRCm38:19:7612555:7639243:1 gene:ENSMUSG00000024973.16 transcript:ENSMUST00000025929.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrasls5 description:HRAS-like suppressor family, member 5 [Source:MGI Symbol;Acc:MGI:1913977] MIPGLGGPWSAPPCRRVVPAGPSGMGLSPAASGEFGIRLSRVPWPRPTQISKTSSTESSD TQSATGQSTVPHSDSASSQALLVQFLPKQLKQDRRLEQARSFQQGEKPETSLELTPSKKR TELIPTSNSEIESTQKNQAVEGNPRPRPGDLIEIFRIGYEHWAIYVEDDCVVHLAPPSEF EAGSITSIFSNRAVVKYSRLEDVLHGCSWKINNKLDGTYLPLPVDKIMQRTKNMINKIVQ YSLIEGNCEHFVNDLRYGVPRSQQVEHALVEGAKAAGAVLSAVVDSIRPKPITA >ENSMUSP00000118096.1 pep:known chromosome:GRCm38:19:7612605:7639642:1 gene:ENSMUSG00000024973.16 transcript:ENSMUST00000148558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrasls5 description:HRAS-like suppressor family, member 5 [Source:MGI Symbol;Acc:MGI:1913977] MIPGLGGPWSAPPCRRVVPAGPSGMGLSPAASGEFGIRLSRVPWPRPTQISKTSSTESSD TQSATGQSTVPHSDSASSQALLVQFLPKQLKQDRRLEQARSFQQGEKPETSLELTPSKKR TELIPTSNSEIESTQKNQAVEGNPRPRPGDLIEIFRIGYEHWAIYVEDDCVVHLAPPSEF EAGSITSIFSNRAVVKYSRLEDVLHGCSWKINNKLDGTYLPLPVDKIMQRTKNMINKIVQ YSLIEGNCEHFVNDLRYGVPRSQQVYFVELGNHRKHSENLLRTFPVMKNSPQKLFLLFSA ISAFLHISQSCLFRPTVSLGGGGVRGHQGKLRLQGQLDFN >ENSMUSP00000022666.7 pep:known chromosome:GRCm38:14:96105259:96519102:-1 gene:ENSMUSG00000022076.9 transcript:ENSMUST00000022666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl1 description:kelch-like 1 [Source:MGI Symbol;Acc:MGI:2136335] MSGSGRKDFDVKHILRLRWKLFSHPSPASSSPAGGSCLQQDSGGGSFEHWGPSQSRLLKN QEKGSVSAFWKKPSSSSSSSSSSSSSASSSPFNPLNGTLLPVATRLQQGAPGQGTQQPAR TLFYVESLEEEVVTGMDFSGPEDKGLALKELQAEPASSIQATGEGCGHRLTSTNHSLTPQ SDLDSSSSEEFYQAVHHAEQSFRKMENYLKQQQLCDVILIVGNRKIPAHRLVLSSVSDYF AAMFTSDVCEAKQEEIKMEGIDPNALWDLVQFAYTGCLELKEDTIENLLAAACLLQLPQV VEVCCHFLMKLLHPSNCLGIRAFADAQGCIELMKVAHSYTMENIMEVIRNQEFLLLPAEE LHKLLASDDVNVPDEETIFHALMMWVKYDMQRRCSDLSMLLAFIRLPLLPPQILADLENH ALFKNDLECQKLILEAMKYHLLPERRTLMQSPRTKPRKSTVGTLYAVGGMDNNKGATTIE KYDLRTNLWIQAGMMNGRRLQFGVAVIDDKLFVIGGRDGLKTLNTVECYNPKTKTWTVLP PMSTHRHGLGVTVLEGPIYAVGGHDGWSYLNTVERWDPQSQQWTYVASMSIARSTVGVAA LNGKLYSVGGRDGSSCLSSMEYYDPHTNKWSMCAPMCKRRGGVGVATCDGFLYAVGGHDA PASNHCSRLLDYVERYDPKTDTWTMVAPLSMPRDAVGVCLLGDRLYAVGGYDGQTYLNTM ESYDPQTNEWTQMASLNIGRAGACVVVIKQP >ENSMUSP00000104047.1 pep:known chromosome:GRCm38:7:25301411:25304132:1 gene:ENSMUSG00000058741.4 transcript:ENSMUST00000080288.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr19 description:proline rich 19 [Source:MGI Symbol;Acc:MGI:3648539] MDPRGPVPQPFQQLQKPGRIRRRKTRRERNKALVSSHRPLARQDPPISSRDPCVILQDPV ASAAPKLVVITQGRLSREHRGLFNHEVKSLDVARLLNRGALESHTPQLPTKPSCSPVGVQ EPDLQSKGKENKVPGGSGPGPPNSPDLPVLGQLLEELQYQLIVPQAFPRRNLVQESRDTI IRTLQGCHGCVPDLALVLRGCQLPLPEAKPRVPERQRMASSCMEVPEHAPREGKQRTQQA TKGCDFAIPHTCNSTTPAHRGSQVQPPGHQLPFLSSASSPSGAAWGPPTAFDMLKSIWLI ATPPPPPPQPWDVRPPQPLPQPPSPLLPRTSALDWSPNPPAPLPSLSWVVTQSSPEAWSF PPMRLY >ENSMUSP00000107663.1 pep:known chromosome:GRCm38:6:130178675:130193112:-1 gene:ENSMUSG00000033024.16 transcript:ENSMUST00000112032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra9 description:killer cell lectin-like receptor subfamily A, member 9 [Source:MGI Symbol;Acc:MGI:1321153] MNEPEVTYSTVRLHKSSGLQKLVRHEETQGPREAGNRKCSVSWQLIVKALGILCFLLLVI VAVLTIKIFQYSQHKQEINETLNHYHNCSNMQSDFNLKEEMLTNKSIDCRPSNELLDYIK REQDRWNSETKTVLDSSRDTGRGVKHWFCYGTKCYYFIMNKTTWSGCKANCQHYSVPIVK IEDEDELKFLQRHVIPESYWIGLSYDKKKKEWAWIDNGQSKLDMKTRKMNFKSRGCVFLS KARIEDTDCNIPYYCICGKKLDKFPD >ENSMUSP00000071485.2 pep:known chromosome:GRCm38:6:130178753:130193112:-1 gene:ENSMUSG00000033024.16 transcript:ENSMUST00000071554.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra9 description:killer cell lectin-like receptor subfamily A, member 9 [Source:MGI Symbol;Acc:MGI:1321153] MNEPEVTYSTVRLHKSSGLQKLVRHEETQGPREAGNRKCSVSWQLIVKALGILCFLLLVI VAVLTIKIFQYSQHKQEINETLNHYHNCSNMQSDFNLKEEMLTNKSIDCRPSNELLDYIK REQDRWNSETKTVLDSSRDTGRGVKHWFCYGTKCYYFIMNKTTWSGCKANCQHYSVPIVK IEDEDELKFLQRHVIPESYWIGLSYDKKKKEWAWIDNGQSKLDMKTRKMNFKSRGCVFLS KARIEDTDCNIPYYCICGKKLDKFPD >ENSMUSP00000109858.1 pep:known chromosome:GRCm38:16:78544012:78576657:-1 gene:ENSMUSG00000022864.13 transcript:ENSMUST00000114220.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D16Ertd472e description:DNA segment, Chr 16, ERATO Doi 472, expressed [Source:MGI Symbol;Acc:MGI:1196400] MNEEEQFVSIDLNDDNICSVCKLGTDKDTLSFCHICFELNLEGVPKSNLLHTKSVRGHKD CFEKYHLIANQDCSRSKLSKSTYEGVKTIVSKKINWIVQYAQNKNLDLESECSKTSQHPL LNFRHKPEKKLLPQFDSQVPKYSAKGSAGNAGSISSYAQRILEHRENTDFRLGLLEDADA LWTHSHSQAQKTEETSSGPEGTIQTQNPHYSREELNSMTLAEVVQLSAKLQQRIQEVFEE LTHQVQEKDSLASELHVRHVAIEQLLKNCSKLPCLQVGRTGTRSHLPMNH >ENSMUSP00000109857.1 pep:known chromosome:GRCm38:16:78544689:78576657:-1 gene:ENSMUSG00000022864.13 transcript:ENSMUST00000114219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D16Ertd472e description:DNA segment, Chr 16, ERATO Doi 472, expressed [Source:MGI Symbol;Acc:MGI:1196400] MNEEEQFVSIDLNDDNICSVCKLGTDKDTLSFCHICFELNLEGVPKSNLLHTKSVRGHKD CFEKYHLIANQDCSRSKLSKSTYEGVKTIVSKKINWIVQYAQNKNLDLESECSKTSQHPL LNFRHKPEKKLLPQFDSQVPKYSAKGSAGNAGSISSYAQRILEHRENTDFRLGLLEDADA LWTHSHSQAQKTEETSSGPEGTIQTQNPHYSREELNSMTLAEVVQLSAKLQQRIQEVFEE LTHQVQEKDSLASELHVRHVAIEQLLKNCSKLPCLQVGRTGTRSHLPMNH >ENSMUSP00000109856.1 pep:known chromosome:GRCm38:16:78544961:78576657:-1 gene:ENSMUSG00000022864.13 transcript:ENSMUST00000114218.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D16Ertd472e description:DNA segment, Chr 16, ERATO Doi 472, expressed [Source:MGI Symbol;Acc:MGI:1196400] MNEEEQFVSIDLNDDNICSVCKLGTDKDTLSFCHICFELNLEGVPKSNLLHTKSVRGHKD CFEKYHLIANQDCSRSKLSKSTYEGVKTIVSKKINWIVQYAQNKNLDLESECSKTSQHPL LNFRHKPEKKLLPQFDSQVPKYSAKGSAGNAGSISSYAQRILEHRENTDFRLGLLEDADA LWTHSHSQAQKTEETSSGPEGTIQTQNPHYSREELNSMTLAEVVQLSAKLQQRIQEVFEE LTHQVQEKDSLASELHVRHVAIEQLLKNCSKLPCLQVGRTGTRSHLPMNH >ENSMUSP00000146183.1 pep:known chromosome:GRCm38:13:65144261:65145208:1 gene:ENSMUSG00000108426.1 transcript:ENSMUST00000206324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr465-ps1 description:olfactory receptor 465, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030299] MTDTITNTTEYMEFLLMGYPDEQVLQTLCATLFFLIYLGALVGNFLIITITTIDQHLQSP MYFFLKNLSLIDICYISVTVPKSIMNSVTNTHSISFLGCVLQVFCVIFLAGTEFALLLVM SYDRYAAICFPLHYEAIMNKEACVQMVAAAWLSGCVYGSVHATGTFSVHFCGPNVVYQFF CDIPSLLRLACFGDQILEYVFIITSCCFAFMCFILMVISYVHIFTIILRIPSIQGRFKIF STCIPHLTVVTLFLSSGFVAYLGSAVKSPSSLNLFMSVFYSLLPPSLNPVIYSFRNSDVK VALHNIFGEKMTTRF >ENSMUSP00000047693.9 pep:known chromosome:GRCm38:3:63696234:63851039:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000048134.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] MSVMQSGTQMIKLKRGTKGLVRLFYLDEHRTRLRWRPSRKSEKAKILIDSIYKVTEGRQS EIFHRQAEGNFDPSCCFTIYHGNHMESLDLITSNPEEARTWITGLKYLMAGISDEDSLAK RQRTHDQWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVNLPRRKVRQMFQEADTDENQGT LTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELAQFLKVEQKMSNVTLDYCLDII MKFEVSEENKVKNVLGIEGFTNFMRSPACDVFNPLHHEVYQDMDQPLCNYYIASSHNTYL TGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVVHHGYTLTSKILFRDVVETINK HAFVKNEFPVILSIENHCSIQQQRKIAQYLKGILQDKLDLSSVDTGECRQLPSPQSLKGK ILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLHYSNGTTEHQVESFIRKKLESL LKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQNLDVKESGKKSHGRSLMANFGKHKKA TKSRSKSYSTDDEDDSLQNPGKEGGQLYRLGRRRRTMKLCRELSDLVVYTNSVAAQDIVD DGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYPSAYRIDSSNFNPLPYWNAGCQ LVALNYQSEGRMMQINRAKFKANGNCGYILKPQQMCKGTFNPFSGDPLPANPKKQLILKV ISGQQLPKPPDSMFGDRGEIIDPFVEVEIIGLPVDCCKDQTRVVDDNGFNPVWEETLTFT VHMPEIALVRFLVWDHDPIGRDFVGQRTVTFSSLVPGYRHVYLEGLTEASIFVHITINEI FGKNRQLQGLKGLFNKNPRHASSENNSHYVRKRSIGDRILRRTASAPAKGRKKSKVGFQE MVEIKDSVSEASRDQDGVLRRTTRSLQVRPVSMPVDKSLLGALSLPISEAAKDTDGKENC LAGDKDDRRKGAATRKDPHFSNFNKKLSSSSSALLHKDANQGPTASVSNPEQCGGRGAKS ERIKPNMTNDCQENHNPPKFLSPRKHLALDPATKGLQERLHGMKTNEKEHAEGFLGEKSM LSGSVLSQSSLEVENLEGSRAKGRAATSFSLSDVSALCSDIPDLHSTAILQDTEISNLID DVTLTNENQSGSSISALIGQFEESNHPANVTVVSHLSTSGASGSAPFQTPFKHGLSQGNQ KASFLCSSPELNKLSSVETTKLANNAVPCGVIGSPISTPKPGDDPSDKAKTRVIEGNLPG FPDASPGQFPKSPTHGEDHSQVMNSPALSTELAIEDIIADPALSINSAESSLVEIDGESE NLSLTTCDYREEAPSQLVSPLKLQQSQEMVEHIQRGLRNGYCKETLLPSEIFNNIPGVKN HSISHLTYQGAGFVYNHFSSSDAKTNQICEPQQPRAPDMHAPTPTPSTHAPLAALKLPSP CKSKSLGDLTSEDIACNFESKYQCISRSFVTNGIRDKSVTMKTKSLEPLDALTEQLRKLV SFDQEDSCQVLYSKQDVNQCPRALVRKLSSRSQSRVRNIASRAKEKQEAGKQKAMAQSTR GGVVLRSKPPAPALAVNRHSTGSYIASYLRNMKAGGLEGRGIPEGACTALRYGYMDQFCS DNSVLQTEPSSEDKPEIYFLLRL >ENSMUSP00000081122.5 pep:known chromosome:GRCm38:3:63696237:63850991:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000084105.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] MADLEVYKNLSPEKVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHRTRLRWRPSRKSE KAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDLITSNPEEARTWI TGLKYLMAGISDEDSLAKRQRTHDQWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVNLPR RKVRQMFQEADTDENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELAQFL KVEQKMSNVTLDYCLDIIMKFEVSEENKVKNVLGIEGFTNFMRSPACDVFNPLHHEVYQD MDQPLCNYYIASSHNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVVHHG YTLTSKILFRDVVETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYLKGILQDKLDLSS VDTGECRQLPSPQSLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLHYSN GTTEHQVESFIRKKLESLLKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQNLDVKESG KKSHGRSLMANFGKHKQKATKSRSKSYSTDDEDDSLQNPGKEGGQLYRLGRRRRTMKLCR ELSDLVVYTNSVAAQDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYPSA YRIDSSNFNPLPYWNAGCQLVALNYQSEGRMMQINRAKFKANGNCGYILKPQQMCKGTFN PFSGDPLPANPKKQLILKVISGQQLPKPPDSMFGDRGEIIDPFVEVEIIGLPVDCCKDQT RVVDDNGFNPVWEETLTFTVHMPEIALVRFLVWDHDPIGRDFVGQRTVTFSSLVPGYRHV YLEGLTEASIFVHITINEIFGKWSPLILNPSYTILHFLGATKNRQLQGLKGLFNKNPRHA SSENNSHYVRKRSIGDRILRRTASAPAKGRKKSKVGFQEMVEIKDSVSEASRDQDGVLRR TTRSLQVRPVSMPVDKSLLGALSLPISEAAKDTDGKENCLAGDKDDRRKGAATRKDPHFS NFNKKLSSSSSALLHKDANQGPTASVSNPEQCGGRGAKSERIKPNMTNDCQENHNPPKFL SPRKHLALDPATKGLQERLHGMKTNEKEHAEGFLGEKSMLSGSVLSQSSLEVENLEGSRA KGRAATSFSLSDVSALCSDIPDLHSTAILQDTEISNLIDDVTLTNENQSGSSISALIGQF EESNHPANVTVVSHLSTSGASGSAPFQTPFKHGLSQGNQKASFLCSSPELNKLSSVETTK LANNAVPCGVIGSPISTPKPGDDPSDKAKTRVIEGNLPGFPDASPGQFPKSPTHGEDHSQ VMNSPALSTELAIEDIIADPALSINSAESSLVEIDGESENLSLTTCDYREEAPSQLVSPL KLQQSQEMVEHIQRGLRNGYCKETLLPSEIFNNIPGVKNHSISHLTYQGAGFVYNHFSSS DAKTNQICEPQQPRAPDMHAPTPTPSTHAPLAALKLPSPCKSKSLGDLTSEDIACNFESK YQCISRSFVTNGIRDKSVTMKTKSLEPLDALTEQLRKLVSFDQEDSCQVLYSKQDVNQCP RALVRKLSSRSQSRVRNIASRAKEKQEAGKQKAMAQSTRGGVVLRSKPPAPALAVNRHST GSYIASYLRNMKAGGLEGRGIPEGACTALRYGYMDQFCSDNSVLQTEPSSEDKPEIYFLL RL >ENSMUSP00000124463.2 pep:known chromosome:GRCm38:3:63696237:63851257:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000162269.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] MADLEVYKNLSPEKVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHRTRLRWRPSRKSE KAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDLITSNPEEARTWI TGLKYLMAGISDEDSLAKRQRTHDQWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVNLPR RKVRQMFQEADTDENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELAQFL KVEQKMSNVTLDYCLDIIMKFEVSEENKVKNVLGIEGFTNFMRSPACDVFNPLHHEVYQD MDQPLCNYYIASSHNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVVHHG YTLTSKILFRDVVETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYLKGILQDKLDLSS VDTGECRQLPSPQSLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLHYSN GTTEHQVESFIRKKLESLLKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQNLDVKESG KKSHGRSLMANFGKHKQKATKSRSKSYSTDDEDDSLQNPGKEGGQLYRLGRRRRTMKLCR ELSDLVVYTNSVAAQDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYPSA YRIDSSNFNPLPYWNAGCQLVALNYQSEGRMMQINRAKFKANGNCGYILKPQQMCKGTFN PFSGDPLPANPKKQLILKVISGQQLPKPPDSMFGDRGEIIDPFVEVEIIGLPVDCCKDQT RVVDDNGFNPVWEETLTFTVHMPEIALVRFLVWDHDPIGRDFVGQRTVTFSSLVPGYRHV YLEGLTEASIFVHITINEIFGKWSPLILNPSYTILHFLGATKNRQLQGLKGLFNKNPRHA SSENNSHYVRKRSIGDRILRRTASAPAKGRKKSKVGFQEMVEIKDSVSEASRDQDGVLRR TTRSLQVRPVSMPVDKSLLGALSLPISEAAKDTDGKENCLVQI >ENSMUSP00000124632.2 pep:known chromosome:GRCm38:3:63696237:63851283:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000159676.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] MADLEVYKNLSPEKVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHRTRLRWRPSRKSE KAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDLITSNPEEARTWI TGLKYLMAGISDEDSLAKRQRTHDQWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVNLPR RKVRQMFQEADTDENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELAQFL KVEQKMSNVTLDYCLDIIMKFEVSEENKVKNVLGIEGFTNFMRSPACDVFNPLHHEVYQD MDQPLCNYYIASSHNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVVHHG YTLTSKILFRDVVETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYLKGILQDKLDLSS VDTGECRQLPSPQSLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLHYSN GTTEHQVESFIRKKLESLLKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQNLDVKESG KKSHGRSLMANFGKHKQKATKSRSKSYSTDDEDDSLQNPGKEGGQLYRLGRRRRTMKLCR ELSDLVVYTNSVAAQDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYPSA YRIDSSNFNPLPYWNAGCQLVALNYQSEGRMMQINRAKFKANGNCGYILKPQQMCKGTFN PFSGDPLPANPKKQLILKVISGQQLPKPPDSMFGDRGEIIDPFVEVEIIGLPVDCCKDQT RVVDDNGFNPVWEETLTFTVHMPEIALVRFLVWDHDPIGRDFVGQRTVTFSSLVPGYRHV YLEGLTEASIFVHITINEIFGKWSPLILNPSYTILHFLGATKNRQLQGLKGLFNKNPRHA SSENNSHYVRKRSIGDRILRRTASAPAKGRKKSKVGFQEMVEIKDSVSEASRDQDGVLRR TTRSLQVRPVSMPVDKSLLGALSLPISEAAKDTDGKENCLDLNRKQRKQETRMTEEREPQ LEKTHIFQISTKSYPPPPVRSSTKMPTKGQLPVYQTQNSVEDEVQRVRGSNQI >ENSMUSP00000058524.7 pep:known chromosome:GRCm38:3:63696238:63850991:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000059973.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] MADLEVYKNLSPEKVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHRTRLRWRPSRKSE KAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDLITSNPEEARTWI TGLKYLMAGISDEDSLAKRQRTHDQWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVNLPR RKVRQMFQEADTDENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELAQFL KVEQKMSNVTLDYCLDIIMKFEVSEENKVKNVLGIEGFTNFMRSPACDVFNPLHHEVYQD MDQPLCNYYIASSHNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVVHHG YTLTSKILFRDVVETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYLKGILQDKLDLSS VDTGECRQLPSPQSLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLHYSN GTTEHQVESFIRKKLESLLKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQNLDVKESG KKSHGRSLMANFGKHKKATKSRSKSYSTDDEDDSLQNPGKEGGQLYRLGRRRRTMKLCRE LSDLVVYTNSVAAQDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYPSAY RIDSSNFNPLPYWNAGCQLVALNYQSEGRMMQINRAKFKANGNCGYILKPQQMCKGTFNP FSGDPLPANPKKQLILKVISGQQLPKPPDSMFGDRGEIIDPFVEVEIIGLPVDCCKDQTR VVDDNGFNPVWEETLTFTVHMPEIALVRFLVWDHDPIGRDFVGQRTVTFSSLVPGYRHVY LEGLTEASIFVHITINEIFGKWSPLILNPSYTILHFLGATKNRQLQGLKGLFNKNPRHAS SENNSHYVRKRSIGDRILRRTASAPAKGRKKSKVGFQEMVEIKDSVSEASRDQDGVLRRT TRSLQVRPVSMPVDKSLLGALSLPISEAAKDTDGKENCLAGDKDDRRKGAATRKDPHFSN FNKKLSSSSSALLHKDANQGPTASVSNPEQCGGRGAKSERIKPNMTNDCQENHNPPKFLS PRKHLALDPATKGLQERLHGMKTNEKEHAEGFLGEKSMLSGSVLSQSSLEVENLEGSRAK GRAATSFSLSDVSALCSDIPDLHSTAILQDTEISNLIDDVTLTNENQSGSSISALIGQFE ESNHPANVTVVSHLSTSGASGSAPFQTPFKHGLSQGNQKASFLCSSPELNKLSSVETTKL ANNAVPCGVIGSPISTPKPGDDPSDKAKTRVIEGNLPGFPDASPGQFPKSPTHGEDHSQV MNSPALSTELAIEDIIADPALSINSAESSLVEIDGESENLSLTTCDYREEAPSQLVSPLK LQQSQEMVEHIQRGLRNGYCKETLLPSEIFNNIPGVKNHSISHLTYQGAGFVYNHFSSSD AKTNQICEPQQPRAPDMHAPTPTPSTHAPLAALKLPSPCKSKSLGDLTSEDIACNFESKY QCISRSFVTNGIRDKSVTMKTKSLEPLDALTEQLRKLVSFDQEDSCQVLYSKQDVNQCPR ALVRKLSSRSQSRVRNIASRAKEKQEAGKQKAMAQSTRGGVVLRSKPPAPALAVNRHSTG SYIASYLRNMKAGGLEGRGIPEGACTALRYGYMDQFCSDNSVLQTEPSSEDKPEIYFLLR L >ENSMUSP00000135424.1 pep:known chromosome:GRCm38:3:63696731:63899472:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000177143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] MNYWNGEKRNCVQYRRHFLVDNRVFHVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHR TRLRWRPSRKSEKAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDL ITSNPEEARTWITGLKYLMAGISDEDSLAKRQRTHDQWVKQTFEEADKNGDGLLNIEEIH QLMHKLNVNLPRRKVRQMFQEADTDENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKK DHLTVEELAQFLKVEQKMSNVTLDYCLDIIMKFEVSEENKVKNVLGIEGFTNFMRSPACD VFNPLHHEVYQDMDQPLCNYYIASSHNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCW DGPDGEPVVHHGYTLTSKILFRDVVETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYL KGILQDKLDLSSVDTGECRQLPSPQSLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEI EDECKFKLHYSNGTTEHQVESFIRKKLESLLKESQIRDKEDPDSFTVRALLKATHEGLNA HLKQNLDVKESGKKSHGRSLMANFGKHKKATKSRSKSYSTDDEDDSLQNPGKEGGQLYRL GRRRRTMKLCRELSDLVVYTNSVAAQDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYN QKQLTRIYPSAYRIDSSNFNPLPYWNAGCQLVALNYQSEGRMMQINRAKFKANGNCGYIL KPQQMCKGTFNPFSGDPLPANPKKQLILKVISGQQLPKPPDSMFGDRGEIIDPFVEVEII GLPVDCCKDQTRVVDDNGFNPVWEETLTFTVHMPEIALVRFLVWDHDPIGRDFVGQRTVT FSSLVPGYRHVYLEGLTEASIFVHITINEIFGKNRQLQGLKGLFNKNPRHASSENNSHYV RKRSIGDRILRRTASAPAKGRKKSKVGFQEMVEIKDSVSEASRDQDGVLRRTTRSLQVRP VSMPVDKSLLGALSLPISEAAKDTDGKENCLAGDKDDRRKGAATRKDPHFSNFNKKLSSS SSALLHKDANQGPTASVSNPEQCGGRGAKSERIKPNMTNDCQENHNPPKFLSPRKHLALD PATKGLQERLHGMKTNEKEHAEGFLGEKSMLSGSVLSQSSLEVENLEGSRAKGRAATSFS LSDVSALCSDIPDLHSTAILQDTEISNLIDDVTLTNENQSGSSISALIGQFEESNHPANV TVVSHLSTSGASGSAPFQTPFKHGLSQGNQKASFLCSSPELNKLSSVETTKLANNAVPCG VIGSPISTPKPGDDPSDKAKTRVIEGNLPGFPDASPGQFPKSPTHGEDHSQVMNSPALST ELAIEDIIADPALSINSAESSLVEIDGESENLSLTTCDYREEAPSQLVSPLKLQQSQEMV EHIQRGLRNGYCKETLLPSEIFNNIPGVKNHSISHLTYQGAGFVYNHFSSSDAKTNQICE PQQPRAPDMHAPTPTPSTHAPLAALKLPSPCKSKSLGDLTSEDIACNFESKYQCISRSFV TNGIRDKSVTMKTKSLEPLDALTEQLRKLVSFDQEDSCQVLYSKQDVNQCPRALVRKLSS RSQSRVRNIASRAKEKQEAGKQKAMAQSTRGGVVLRSKPPAPALAVNRHSTGSYIASYLR NMKAGGLEGRGIPEGACTALRYGYMDQFCSDNSVLQTEPSSEDKPEIYFLLRL >ENSMUSP00000135562.1 pep:known chromosome:GRCm38:3:63698933:63702043:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000176861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] XGDRILRRTASAPAKGRKKSKVGFQEMVEIKDSVSEASRDQDGVLRRTTRSLQVRPVSMP VDKSLLGALSLPISEAAKDTDGKENCLGDKDDRRKGAATRKDPHFSNFNKKLSSSSSALL HKDANQGPTASVSNPEQCGGRGAKSERIKPNMTNDCQENHNPPKFLSPRKHLALDPATKG LQERLHGMKTNEKEHAEGFLGEKSMLSGSVLSQSSLEVENLEGSRAKGRAATSFSLSDVS ALCSDIPDLHSTAILQDTEISNLIDDVTL >ENSMUSP00000135353.1 pep:known chromosome:GRCm38:3:63701816:63851359:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000175947.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] MADLEVYKNLSPEKVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHRTRLRWRPSRKSE KAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDLITSNPEEARTWI TGLKYLMAGISDEDSLAKRQRTHDQWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVNLPR RKVRQMFQEADTDENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELAQFL KVEQKMSNVTLDYCLDIIMKFEVSEENKVKNVLGIEGFTNFMRSPACDVFNPLHHEVYQD MDQPLCNYYIASSHNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVVHHG YTLTSKILFRDVVETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYLKGILQDKLDLSS VDTGECRQLPSPQSLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLHYSN GTTEHQVESFIRKKLESLLKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQNLDVKESG KKSHGRSLMANFGKHKKATKSRSKSYSTDDEDDSLQNPGKEGGQLYRLGRRRRTMKLCRE LSDLVVYTNSVAAQDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYPSAY RIDSSNFNPLPYWNAGCQLVALNYQSEGRMMQINRAKFKANGNCGYILKPQQMCKGTFNP FSGDPLPANPKKQLILKVISGQQLPKPPDSMFGDRGEIIDPFVEVEIIGLPVDCCKDQTR VVDDNGFNPVWEETLTFTVHMPEIALVRFLVWDHDPIGRDFVGQRTVTFSSLVPGYRHVY LEGLTEASIFVHITINEIFGKNRQLQGLKGLFNKNPRHASSENNSHYVRKRSIGDRILRR TASAPAKGRKKSKVGFQEMVEIKDSVSEASRDQDGVLRRTTRSLQVRPVSMPVDKSLLGA LSLPISEAA >ENSMUSP00000124491.1 pep:known chromosome:GRCm38:3:63781377:63899442:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000159188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] MNYWNGEKRNCVQYRRHFLVDNRVFHVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHR TRLRWRPSRKSEKAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDL ITSNPEEARTWITGL >ENSMUSP00000125581.2 pep:known chromosome:GRCm38:3:63869450:63899373:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000161052.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] MNYWNGEKRNCVQYRRHFLVDNRVFHGELLYLCS >ENSMUSP00000123921.3 pep:known chromosome:GRCm38:3:63696234:63850991:-1 gene:ENSMUSG00000036834.16 transcript:ENSMUST00000160638.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plch1 description:phospholipase C, eta 1 [Source:MGI Symbol;Acc:MGI:2683547] MADLEVYKNLSPEKVERCMSVMQSGTQMIKLKRGTKGLVRLFYLDEHRTRLRWRPSRKSE KAKILIDSIYKVTEGRQSEIFHRQAEGNFDPSCCFTIYHGNHMESLDLITSNPEEARTWI TGLKYLMAGISDEDSLAKRQRTHDQWVKQTFEEADKNGDGLLNIEEIHQLMHKLNVNLPR RKVRQMFQEADTDENQGTLTFEEFCVFYKMMSLRRDLYLLLLSYSDKKDHLTVEELAQFL KVEQKMSNVTLDYCLDIIMKFEVSEENKVKNVLGIEGFTNFMRSPACDVFNPLHHEVYQD MDQPLCNYYIASSHNTYLTGDQLLSQSKVDMYARVLQEGCRCVEVDCWDGPDGEPVVHHG YTLTSKILFRDVVETINKHAFVKNEFPVILSIENHCSIQQQRKIAQYLKGILQDKLDLSS VDTGECRQLPSPQSLKGKILVKGKKLPYHLGDDAEEGEVSDEDSADEIEDECKFKLHYSN GTTEHQVESFIRKKLESLLKESQIRDKEDPDSFTVRALLKATHEGLNAHLKQNLDVKESG KKSHGRSLMANFGKHKQKATKSRSKSYSTDDEDDSLQNPGKEGGQLYRLGRRRRTMKLCR ELSDLVVYTNSVAAQDIVDDGTTGNVLSFSETRAHQVVQQKSEQFMIYNQKQLTRIYPSA YRIDSSNFNPLPYWNAGCQLVALNYQSEGRMMQINRAKFKANGNCGYILKPQQMCKGTFN PFSGDPLPANPKKQLILKVISGQQLPKPPDSMFGDRGEIIDPFVEVEIIGLPVDCCKDQT RVVDDNGFNPVWEETLTFTVHMPEIALVRFLVWDHDPIGRDFVGQRTVTFSSLVPGYRHV YLEGLTEASIFVHITINEIFGKWSPLILNPSYTILHFLGATKNRQLQGLKGLFNKNPRHA SSENNSHYVRKRSIGDRILRRTASAPAKGRKKSKVGFQEMVEIKDSVSEASRDQDGVLRR TTRSLQVRPVSMPVDKSLLGALSLPISEAAKDTDGKENCLGLSQGLHKQETRMTEEREPQ LEKTHIFQISTKSYPPPPVRSSTKMPTKGQLPVYQTQNSVEDEVQRVRGSNQI >ENSMUSP00000098964.1 pep:known chromosome:GRCm38:X:76393350:76397979:1 gene:ENSMUSG00000073085.2 transcript:ENSMUST00000101419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34b4 description:claudin 34B4 [Source:MGI Symbol;Acc:MGI:3588232] MLIKNRCNSQMGGFALTTVAWLICCISIGLPQWRVWHFQDPVVFKSTTAFVGMWRVCTLQ YDEDFRNMRICHQYNYHDTFLPLNIRMIQQLLLVSSFFGLVGKVTTIIALWNVCQGRVHR KATYNPFGLSGILNIISSSFICLAILINYVSIIFQEGIIFPPSFNIPSQPDTQEIGSAMA LAFIAAVLFLLSGTILLTSNFPVDKPVCSKY >ENSMUSP00000137037.1 pep:known chromosome:GRCm38:X:76393452:76397888:1 gene:ENSMUSG00000073085.2 transcript:ENSMUST00000178974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34b4 description:claudin 34B4 [Source:MGI Symbol;Acc:MGI:3588232] MLIKNRCNSQMGGFALTTVAWLICCISIGLPQWRVWHFQDPVVFKSTTAFVGMWRVCTLQ YDEDFRNMRICHQYNYHDTFLPLNIRMIQQLLLVSSFFGLVGKVTTIIALWNVCQGRVHR KATYNPFGLSGILNIISSSFICLAILINYVSIIFQEGIIFPPSFNIPSQPDTQEIGSAMA LAFIAAVLFLLSGTILLTSNFPVDKPVCSKY >ENSMUSP00000130718.1 pep:known chromosome:GRCm38:5:25999022:26004798:-1 gene:ENSMUSG00000091049.8 transcript:ENSMUST00000168875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1979 description:predicted gene 1979 [Source:MGI Symbol;Acc:MGI:3780148] MALFARLCRLFQRANVDGRETREGRKDADLPPESNEGRRRWTWRMWMAHRQKTSPVPVTS KKQFEKEEKELIKKIQLTTEETNELRDRLIYVTEGSMNKRPYHRQTRHYKELKLKEKEIM TYLHELEMKNMEARENKQELKKEKNFYRNLHTRILLEENLIKKKLAILQQESKEIHADWA IIQQRLVELNLSGKDEQEKTSNLETQEHQVSEAARELGLATAEEDSILQNELPCQEAPAE HHPQHPPSSSDESSSDESSYSTCPEWE >ENSMUSP00000130782.2 pep:known chromosome:GRCm38:5:26000172:26003597:-1 gene:ENSMUSG00000091049.8 transcript:ENSMUST00000170224.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1979 description:predicted gene 1979 [Source:MGI Symbol;Acc:MGI:3780148] VAHRQKTSPVPVTSKKQFEKEEKELIKKIQLTTEETNELRDRLIYVTEGSMNKRPYHRQT RHYKELKLKEKEIMTYLHELEMKNMEARENKQELKKEKNFYRNLHTRILLEENLIKKKLA ILQQESKEIHADWAIIQQRLVELNLSGKDEQEKTSNLETQEHQVSEAARELGLATAEEDS ILQNELPCQEAPAEHHPQHPPSSSDESSSDESSYSTCPEWE >ENSMUSP00000062692.3 pep:known chromosome:GRCm38:13:65152226:65153152:1 gene:ENSMUSG00000049806.3 transcript:ENSMUST00000058907.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr466 description:olfactory receptor 466 [Source:MGI Symbol;Acc:MGI:3030300] MTNFTRVSEFILLGFRGGPGIQMLLFLIFLFLYVIAVVGNFGMIIIIRMDAHLHTPMYAF LQSLSFLDICYSSTIAPRALINCLKQDHTISFSGCATQFFFLSLFGTTEAFLLAAMAYDR FIAICNPLLYSVSMSHWVCGLLVSGSYSWGAVNAVTQTTMTFTLTFCGSNEINDFFCDVP PLLSLSCSDTFINQLVLLALCGSIIVSTFLTVFVSYIYIISTILKIRTVQGRQKAFSTCA SHLIGVCLFFGTVFFMYAQPSAVSSMEQSKVVSIFYTIVIPMLNPLIYSLRNKDVKQALK RSKQRFCS >ENSMUSP00000118580.1 pep:known chromosome:GRCm38:19:5482345:5488016:-1 gene:ENSMUSG00000024906.9 transcript:ENSMUST00000133436.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mus81 description:MUS81 endonuclease homolog (yeast) [Source:MGI Symbol;Acc:MGI:1918961] XRHTRFVFQKALRSLQRYPLPLRSGKEAKILQHFGDRLCRMLDEKLKQHLASGGDHAPSS PSGKKGASKGPPEQVQDSSMPVPTQPQAGSTSVGYWPAQNSGAREILLQLYREHLNSDGH SFLTKEELLQKCAQKTPRVVPGSSKPWPALRSLLHRNLILGTHRPARYALTPEGLELAQK LAEAEGLSTRHAGFRPEEHHGEDSAVPEALSEPGTTEGAVQQRPLELRPSEYRVLLCVDI GETRGAGHRPEMLRELQRLRVPHTVRKLHVGDFVWVAQETRPRDPERPGELVLDHIVERK RLDDLCSSIIDGRFREQKFRLKRCGLGHRVYLVEEHGSVHNLSLPESTLLQAVTNTQVID GFFVKRTMDIKESAGYLALLTKGLERLYQ >ENSMUSP00000114895.1 pep:known chromosome:GRCm38:19:5482832:5488402:-1 gene:ENSMUSG00000024906.9 transcript:ENSMUST00000124334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mus81 description:MUS81 endonuclease homolog (yeast) [Source:MGI Symbol;Acc:MGI:1918961] MAEPVRLGRKRPLPVCPNPLFVRWLTEWRDEAASRGRHTRFVFQKALRSLQRYPLPLRSG KEAKILQHFGDRLCRMLDEKLKQHLASGGDHAPSSPSGKKGASKGPPEQVQDSSMPVPTQ PQAGSTSVGYWPAQNSGAREILLQLYREHLNSDGHSFLTKEELLQKCAQKTPRVVPGSSK PWPALRSLLHRNLILGTHRPARYALTPEGLELAQKLAEAEGLSTRHAGFRPEEHHGEDSA VPEALSEPGTTEGAVQQRPLELRPSEYRVLLCVDIGETRGAGHRPEMLRELQRLRVPHTV RKLHVGDFVWVAQETRPRDPERPGELVLDHIVERKRLDDLCSSIIDGRFREQKFRLKRCG LGHRVYLVEEHGSVHNLSLPESTLLQAVTNTQVIDGFFVKRTMDIKESAGYLALLTKGLE RLYQGHTLRSRPWGAPGAAESEAKPSTNPLCSLLTFSDFNAEAVKNKAQSVREVFARQLM QVRGLSGEKAAAVVDRYSTPASLLAAYDACATAKEQEMLLSTIKCGRLQRNLGPALSRTL YQLYCSHSPLS >ENSMUSP00000025841.3 pep:known chromosome:GRCm38:19:5482858:5488285:-1 gene:ENSMUSG00000024906.9 transcript:ENSMUST00000025841.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mus81 description:MUS81 endonuclease homolog (yeast) [Source:MGI Symbol;Acc:MGI:1918961] MAEPVRLGRKRPLPVCPNPLFVRWLTEWRDEAASRGRHTRFVFQKALRSLQRYPLPLRSG KEAKILQHFGDRLCRMLDEKLKQHLASGGDHAPSSPSGKKGASKGPPEQVQDSSMPVPTQ PQAGSTSVGYWPAQNSGAREILLQLYREHLNSDGHSFLTKEELLQKCAQKTPRVVPGSSK PWPALRSLLHRNLILGTHRPARYALTPEGLELAQKLAEAEGLSTRHAGFRPEEHHGEDSA VPEALSEPGTTEGAVQQRPLELRPSEYRVLLCVDIGETRGAGHRPEMLRELQRLRVPHTV RKLHVGDFVWVAQETRPRDPERPGELVLDHIVERKRLDDLCSSIIDGRFREQKFRLKRCG LGHRVYLVEEHGSVHNLSLPESTLLQAVTNTQVIDGFFVKRTMDIKESAGYLALLTKGLE RLYQGHTLRSRPWGAPGAAESEAKPSTNPLCSLLTFSDFNAEAVKNKAQSVREVFARQLM QVRGLSGEKAAAVVDRYSTPAR >ENSMUSP00000121435.1 pep:known chromosome:GRCm38:19:5485488:5488266:-1 gene:ENSMUSG00000024906.9 transcript:ENSMUST00000126471.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mus81 description:MUS81 endonuclease homolog (yeast) [Source:MGI Symbol;Acc:MGI:1918961] MAEPVRLGRKRPLPVCPNPLFVRWLTEWRDEAASRGRHTRFVFQKLSSPLCSPGIALPPT VPATIAQREGSQDTPALRRQALPHAGRKAEAAPSIRR >ENSMUSP00000033485.7 pep:known chromosome:GRCm38:X:7657260:7667958:1 gene:ENSMUSG00000031145.15 transcript:ENSMUST00000033485.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle3 description:prickle homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1859635] MFARGSRRRRSGRAPPEAEDPARGQPCNSCREQCPGFLLHGWRKICQHCKCPREEHAVRT VPVDLERIMCRLISDFQRHSISDDDSGCASEEYAWVPPGLKPEQVYQFFSCLPEDKVPYV NSPGEKYRIKQLLHQLPPHDSEAQYCTALEEEEKKELRAFSQQRKRENLGRATVRIFPVT ITGAICEECGKQIGGGDIAVFASRAGLGACWHPQCFVCTTCQELLVDLIYFYHAGKVYCG RHHAECLRPRCQACDEIIFSPECTEAEGRHWHMGHFCCFECEASLGGQRYVMRQSRPHCC ACYEARHAEYCDGCGEHIGLDQGQMAYEGQHWHASDRCFCCSRCSRPLLGRPFLPRRGLI FCSRACSLGSETTAPGPGRRSWSAGTVTTPLTTSTASFSATEGTSETASKGTCTKAEPAA GPEEPSHFLRGAPHRHSMPELGLRSAPEPPTESPGHPAPHPDDNAFGRQSTPRVSFRDPL VSEGGPRRTLSAPPAQRRRPRSPPPRTPSCHHHHHHRRRRQRHRRRGSHHHHHHPGRHGH HRCDLGSGSDSGSCSSSPSSPSSESSEDDGFFLGERIPLPPHLCRPRTTQDTSTETFNSP AQPLVQESHPVMPRQTRDKNCIVA >ENSMUSP00000127892.1 pep:known chromosome:GRCm38:X:7658533:7668186:1 gene:ENSMUSG00000031145.15 transcript:ENSMUST00000129662.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle3 description:prickle homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1859635] MCRLISDFQRHSISDDDSGCASEEYAWVPPGLKPEQVYQFFSCLPEDKVPYVNSPGEKYR IKQLLHQLPPHDSEAQYCTALEEEEKKELRAFSQQRKRENLGRATVRIFPVTITGAICEE CGKQIGGGDIAVFASRAGLGACWHPQCFVCTTCQELLVDLIYFYHAGKVYCGRHHAECLR PRCQACDEIIFSPECTEAEGRHWHMGHFCCFECEASLGGQRYVMRQSRPHCCACYEARHA EYCDGCGEHIGLDQGQMAYEGQHWHASDRCFCCSRCSRPLLGRPFLPRRGLIFCSRACSL GSETTAPGPGRRSWSAGTVTTPLTTSTASFSATEGTSETASKGTCTKAEPAAGPEEPSHF LRGAPHRHSMPELGLRSAPEPPTESPGHPAPHPDDNAFGRQSTPRVSFRDPLVSEGGPRR TLSAPPAQRRRPRSPPPRTPSCHHHHHHRRRRQRHRRRGSHHHHHHPGRHGHHRCDLGSG SDSGSCSSSPSSPSSESSEDDGFFLGERIPLPPHLCRPRTTQDTSTETFNSPAQPLVQES HPVMPRQTRDKNCIVA >ENSMUSP00000010698.6 pep:known chromosome:GRCm38:11:74770830:74817894:1 gene:ENSMUSG00000010554.14 transcript:ENSMUST00000010698.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl16 description:methyltransferase like 16 [Source:MGI Symbol;Acc:MGI:1914743] MALSKSMHARNRYKDKPPDFAYLASKYPDFKQHIQINLNGRVSLNFKDPEAVRALTCTLL REDFGLSIDIPLERLIPTVPLRLNYIHWVEDLIGHQDSDKTTLRRGIDIGTGASCIYPLL GATLNGWYFLATEVDDMCFNYAKKNVEQNNLSDLIKVVKVPQKTLLMDALKEESEIVYDF CMCNPPFFANQLEAKGVNSRNSRRPPPSSVNTGGITEIMAEGGELEFVKRIIHDSLQLKK RLRVSSLSTKEAVAMLSTPLLAEVQSYILSVFPRLFRIIISFRWYSCMLGKKCSLAPLKE ELRIQGVPKVTFTEFCQGRTMRWALAWSFYDDVTVPSPPSKRRKLEKPRKPITFVVLESV MKELSLKASSLGSETAEGIVVVTTWIEKILTDLKVQHKRIPCGREEVSLFLTAIENSWIH LRRKRRERVRQLREVPRAPEDVILALEERKSTPKELSSGQDVAHSPQESALCGLDVPGGE AAADGGHCLSQKLLCQEETPEATEDERDEERGGMEAMESCKGSSNGAQDGEASEKGDRLD GAAGRYLFKCLVNIKKEAGDAVVEMHWVEGQNRDLMNQLCTYVRNQILRLVAS >ENSMUSP00000114682.1 pep:known chromosome:GRCm38:11:74770830:74828525:1 gene:ENSMUSG00000010554.14 transcript:ENSMUST00000141755.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl16 description:methyltransferase like 16 [Source:MGI Symbol;Acc:MGI:1914743] MALSKSMHARNRYKDKPPDFAYLASKYPDFKQHIQINLNGRVSLNFKDPEAVRALTCTLL REDFGLSIDIPLERLIPTVPLRLNYIHWVEDLIGHQDSDKTTLRRGIDIGTGASCIYPLL GATLNGWYFLATEVDDMCFNYAKKNVEQNNLSDLIKVVKVPQKTLLMDALKEESEIVYDF CMCNPPFFANQLEAKGVNSRNSRRPPPSSVNTGGITEIMAEGGELEFVKRIIHDSLQLKK RLRWYSCMLGKKCSLAPLKEELRIQGVPKVTFTEFCQGRTMRWALAWSFYDDVTVPSPPS KRRKLEKPRKPITFVVLESVMKELSLKASSLGSETAEGIVVVTTWIEKILTDLKVQHKRI PCGREEVSLFLTAIENSWIHLRRKRRERVRQLREVPRAPEDVILALEERKSTPKELSSGQ DVAHSPQESALCGLDVPGGEAAADGGHCLSQKLLCQEETPEATEDERDEERGGMEAMESC KGSSNGAQDGEASEKGDRLDGAAGRYLFKCLVNIKKEAGDAVVEMHWVEGQNRDLMNQLC TYVRNQILRLVAS >ENSMUSP00000122272.1 pep:known chromosome:GRCm38:11:74770916:74773621:1 gene:ENSMUSG00000010554.14 transcript:ENSMUST00000128504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl16 description:methyltransferase like 16 [Source:MGI Symbol;Acc:MGI:1914743] MALSKSMHARNRYKDKPPDFAYLASKYPDFKQH >ENSMUSP00000090590.5 pep:known chromosome:GRCm38:11:74770925:74817894:1 gene:ENSMUSG00000010554.14 transcript:ENSMUST00000092912.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl16 description:methyltransferase like 16 [Source:MGI Symbol;Acc:MGI:1914743] MALSKSMHARNRYKDKPPDFAYLASKYPDFKQHIQINLNGRVSLNFKDPEAVRALTCTLL REDFGLSIDIPLERLIPTVPLRLNYIHWVEDLIGHQDSDKTTLRRGIDIGTGASCIYPLL GATLNGWYFLATEVDDMCFNYAKKNVEQNNLSDLIKVVKVPQKTLLMDALKEESEIVYDF CMCNPPFFANQLEAKISWPWYQDRKHKGKATDWAI >ENSMUSP00000138687.1 pep:known chromosome:GRCm38:7:32325286:32327245:-1 gene:ENSMUSG00000097982.1 transcript:ENSMUST00000183195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b12 description:secretoglobin, family 2B, member 12 [Source:MGI Symbol;Acc:MGI:3645177] MKGILLLLGLLITGELSFQTTEACVPFFEVYASVLSGSRVWLYHELQSFDATAEEKVALE KIQGCYREERLRNILLEPKIMEAMVASPECRSYYSLDNFRSILDFISNLLGE >ENSMUSP00000092399.3 pep:known chromosome:GRCm38:7:16992708:16997516:1 gene:ENSMUSG00000041117.8 transcript:ENSMUST00000094805.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc8 description:coiled-coil domain containing 8 [Source:MGI Symbol;Acc:MGI:3612184] MLQIGEDVDYLLIPREVRLAGGVWRVISKPATKEAEFRERLIQFLQEEGRTLEDVARIIE KSTPHPPQPRKRTKELRVRRVPQMVTPPLRLVVGTYDSSNGSDSELSDFDTSKVKGNRSS SGRTRKVRKMPVSYLGSKFLGSDVESEDDQELVEAFLRRGEKPSAPPPRRRVNLPVPMFE NNLGPQPSKADRWREYVSQVSWGKLKQRVKGWAPRSGSEVGQTQQASTAAERAGEMRHSQ ASSDDDSSRNTGDRSDQMLGTRRWKPKIKWVSLRRCRKEQVPPFAQGTGMPAEEHPEAAE NQGAEAAANQRAEPLASPRAEAAASPRAETAADPRVEAVASPRAEAAASPRAEAVADPRA EAAASPRAEAAASPRTEAAASLRAEAVASPRAEAAASPRAEAAADPRAEAAASPIAEAAA NQKAELVDSPRAETAADPRAEAAASPRAEAVADPRVEAAASPIAEAAANQKAELVDSPRA ETAADPRAEAAASPRAEAAADPRAEVAASPRAEAAASPRAEAEASPRAEAAASPKAEAEA NLRVEAAAYLRAGVPPDQRAEAIDSQRAEGPANQRTGATENQRVEVLADQRAGVLHDQRE EAGPQGIQEASAGSGSRAQKQVKTVRFQTPGRFSWFRMRRRVFWHTPRLPTLPRRVPRAG EARSLRVLRADIRADVEHREQEEQL >ENSMUSP00000096939.2 pep:known chromosome:GRCm38:10:94790866:94944578:-1 gene:ENSMUSG00000074785.4 transcript:ENSMUST00000099337.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxnc1 description:plexin C1 [Source:MGI Symbol;Acc:MGI:1890127] MEVSRRKTPPRPPYPAAPLPLIAYLLALAAPARGADEPVWRSEQAIGAIAASRADGVFVA SGSCLDQLDYSLKNRLSRLYRDQAGNCTEPVSLAPPARPRPGSSFSKLLLPYREGATGLE GLLLTGWTFDRGACEVRPLGNLNRSSLRNGTEVVSCHPQGSTAGVVYRASGTDLWYLAVA ATYVLPEPETANRCNPAASDRDTAIALKNTEGRSLATQELGRLKLRGSAGSLHFVDAFLW NGSVYFPYYPYNYTSGAATGWPSMARIAQSTEVLFQGQAALDCDHGHPEGRRLLLSSSLV EAVDIWAGVFSAATGEGQERRSPATTALCLFRMSEIQAHARSCSWDFQATEHNCKEGDRP ERVQPIASSTLIHSDLTSVYGTVVMNRTVLFLGTGDGQLLKVVLGENLTSNCPEVIYEIK EETPVFYKLVPHPMKNIYIYLTAGKEVRRIPVANCSKRKSCSECLAAADPHCGWCLPLQR CTFQGDCTHAGSFENWLDISSGPKKCPKIQILRSLRERTTVTIVGSISARHSECVVKNAD TGKLLCQGRSQLNWTCACNIPSRPSYNVLVVNATFSFPSWNLSERFNFTNCASLKECPAC IRSGCAWCKRDKKCIHPFTPCEPSDYERNQELCQVAVEKSPKDSGGGRVKESKRNRTDGA VQVFYIKAIEPQKISTLGKSNVIVTGANFTQASNITMILRGTSTCERDVIRVSHVLNDTH MKFSLPSSRKEMKDVCIQFDGGTCSSAGALSYIALPHCSLIVPATTWISGGQNITIMGRN FDVIDNLIISHELKGNANVNINVSEYCAATFCRFLAPNLKSSKVRTNVAVKLRVQDTYLD CGTLQYLEDPRFTGYRVESEIDTELEVKIQKENDNFNISKDDIDITLFHGENKQFNCSFE NITRNQDLTTILCKIKSIKNANTIASSSKKVRVKLGNLELYVEQESVPSTWYFLIALPIL LAIVIVVAVVVTRYKSKELSRKQSQQLELLESELRKEIRDGFAELQMDKLDVVDSFGTVP FLDYKHFALRTFFPESGGFTHIFTEDMHNRDANDKNESLTALDALICNKSFLVTVIHTLE KQKNFSVKDRCLFASFLTIALQTKLVYLTSILEVLTRDLMEQCSNMQPKLMLRRTESVVE KLLTNWMSVCLSGFLRETVGEPFYLLVTTLNQKINKGPVDVITCKALYTLNEDWLLWQVP EFNTVALNVVFEKIPENESADVCRNISVNVLDCDTIGQAKEKVFQAFLSKNGSPYGLQLN EIGLELQVGTRQKELLDIDSSSVILEDGITKLNTIGHYEISNGSTIKVFKKIANFTSDVE YSDDHCHLILPDSEAFQVVQGKRHRGKHKFKVKEMYLTKLLSTKVAIHSVLEKLFRSIWS LPNSRAPFAIKYFFDFLDAQAENKKITDPDVVHIWKTNSLPLRFWVNILKNPQFVFDIKK TPHIDSCLSVIAQAFMDAFSLTEQQLGKEAPTNKLLYAKDIPTYKEEVKSYYKAIRDLPP LSSLEMEEFLTQESKKHENEFNEEVALTEIYKYIVKYFDEILNKLERERGLEEAQKQLLH VKVLFDEKKKCKWM >ENSMUSP00000138038.1 pep:known chromosome:GRCm38:10:94854452:94871048:-1 gene:ENSMUSG00000074785.4 transcript:ENSMUST00000181244.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plxnc1 description:plexin C1 [Source:MGI Symbol;Acc:MGI:1890127] XKLLCQGRSQLNWTCACNIPSRPSYNKVFRSKPEHLHLTVGDSKEIIGPHPRVRRSGFLR CLSCQCDLLLSVLELIRKIQLHQLCVIKRMPGVYQKRLCLV >ENSMUSP00000118793.1 pep:known chromosome:GRCm38:8:13550733:13562461:-1 gene:ENSMUSG00000031452.14 transcript:ENSMUST00000134023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700029H14Rik description:RIKEN cDNA 1700029H14 gene [Source:MGI Symbol;Acc:MGI:1913751] MEKDPAAHRKHRPGPGALPSGITPAYLKAASDGAELQRSRSVGGLHQKGDPPVCIRKLLR KELDSEDQGKDPRSDTDDGTYRVSLEDDRKKGSQDEVEKMDLKCAKMDPEKSDSEASATG EQDDACKGRCISAAEEQHSESTKLDHLLEKQKPSVFVEIDLGDHTEEEVITCAIKEEKRL PNIGDLSEDEIRTSWVCCIPYHTKKKAKENSSALEKNHQSSQDKTPLTQPLVELSGD >ENSMUSP00000033830.8 pep:known chromosome:GRCm38:8:13551011:13562405:-1 gene:ENSMUSG00000031452.14 transcript:ENSMUST00000033830.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700029H14Rik description:RIKEN cDNA 1700029H14 gene [Source:MGI Symbol;Acc:MGI:1913751] MEKDPAAHRKHRPGPGALPSGITPAYLKAASDGAELQRSRSVGGLHQKGDPPVCIRKLLR KELDSEDQGKDPRSDTDDGTYRVSLEDDRKKGSQDEVEKMDLKCAKMDPEKSDSEASATG EQDDACKGRCISAAEEQHSESTKLDHLLEKQKPSVFVEIDLGDHTEEEVITCAIKEEKRL PNIGDLSEDEIRTSWVCCIPYHTKKKAKENSSALEKELQ >ENSMUSP00000120739.2 pep:known chromosome:GRCm38:8:13551032:13562404:-1 gene:ENSMUSG00000031452.14 transcript:ENSMUST00000151400.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700029H14Rik description:RIKEN cDNA 1700029H14 gene [Source:MGI Symbol;Acc:MGI:1913751] MEKDPAAHRKHRPGPGALPSGITPAYLKAASDGAELQRSRSVGGLHQKGDPPVCIRKLLR KELDSEDQGKDPRSDTDDGTYRVSLEDDRKKGSQDEVEKMDLKCAKMDPEKSDSEASATG EQDDACKGRCISAAEEQHSESTKLDHLLEKQKPSVFVEIDLGDHTEEEVITCAIKEEKRL PNIGDLSEDEIRTSWVCCIPYHTKKKAKENSSALEKGQSDSTQRIPKARDPLPIQGQSQP >ENSMUSP00000130250.1 pep:known chromosome:GRCm38:8:13555955:13562405:-1 gene:ENSMUSG00000031452.14 transcript:ENSMUST00000132787.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700029H14Rik description:RIKEN cDNA 1700029H14 gene [Source:MGI Symbol;Acc:MGI:1913751] MEKDPAAHRKHRPGPGALPSGITPAYLKAASDGAELQRSRSVGGLHQKGDPPVCIRKLLR KELDSEDQGKDPRSDTDDGT >ENSMUSP00000140119.1 pep:known chromosome:GRCm38:8:13550733:13562448:-1 gene:ENSMUSG00000031452.14 transcript:ENSMUST00000187391.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700029H14Rik description:RIKEN cDNA 1700029H14 gene [Source:MGI Symbol;Acc:MGI:1913751] MEKDPAAHRKHRPGPGALPSGITPAYLKAASDGAELQRSRSVGGLHQKGDPPVCIRKLLR KELDSEDQGKDPRSDTDDGTYRVSLEDDRKKGSQDEVEKMDLKCAKMDPEKSDSEASATG EQDDACKGRCISAAEEQHSESTKLDHLLEKQKPSVFVEIDLGDHTEEEVITCAIKEEKRL PNIGDLSEDEIRTSWVCCIPYHTKKKAKENSSALEKELQ >ENSMUSP00000110371.2 pep:known chromosome:GRCm38:2:14604306:14987908:1 gene:ENSMUSG00000057914.15 transcript:ENSMUST00000114723.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb2 description:calcium channel, voltage-dependent, beta 2 subunit [Source:MGI Symbol;Acc:MGI:894644] MVQSDTSKSPPVAAVAQESQMELLESAAPAGALGAQSYGKGARRKNRFKGSDGSTSSDTT SNSFVRQGSADSYTSRPSDSDVSLEEDREAVRREAERQAQAQLEKAKTKPVAFAVRTNVR YSAAQEDDVPVPGMAISFEAKDFLHVKEKFNNDWWIGRLVKEGCEIGFIPSPVKLENMRL QHEQRAKQGKFYSSKSGGNSSSSLGDIVPSSRKSTPPSSAIDIDATGLDAEENDIPANHR SPKPSANSVTSPHSKEKRMPFFKKTEHTPPYDVVPSMRPVVLVGPSLKGYEVTDMMQKAL FDFLKHRFEGRISITRVTADISLAKRSVLNNPSKHAIIERSNTRSSLAEVQSEIERIFEL ARTLQLVVLDADTINHPAQLSKTSLAPIIVYVKISSPKVLQRLIKSRGKSQAKHLNVQMV AADKLAQCPPQESFDVILDENQLEDACEHLADYLEAYWKATHPPSGNLPNPLLSRTLASS TLPLSPTLASNSQGSQGDQRPDRSAPRSASQAEEEPCLEPVKKSQHRSSSATHQNHRSGT GRGLSRQETFDSETQESRDSAYVEPKEDYSHEHVDRYVPHREHNHREETHSSNGHRHRES RHRSRDMGRDQDHNECIKQRSRHKSKDRYCDKEGEVISKRRNEAGEWNRDVYIRQ >ENSMUSP00000141221.1 pep:known chromosome:GRCm38:2:14824092:14986211:1 gene:ENSMUSG00000057914.15 transcript:ENSMUST00000193800.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb2 description:calcium channel, voltage-dependent, beta 2 subunit [Source:MGI Symbol;Acc:MGI:894644] MLDRQLVSSQTQSSIPGGSADSYTSRPSDSDVSLEEDREAVRREAERQAQAQLEKAKTKP VAFAVRTNVRYSAAQEDDVPVPGMAISFEAKDFLHVKEKFNNDWWIGRLVKEGCEIGFIP SPVKLENMRLQHEQRAKQGKFYSSKSGGNSSSSLGDIVPSSRKSTPPSSAIDIDATGLDA EENDIPANHRSPKPSANSVTSPHSKEKRMPFFKKTEHTPPYDVVPSMRPVVLVGPSLKGY EVTDMMQKALFDFLKHRFEGRISITRVTADISLAKRSVLNNPSKHAIIERSNTRSSLAEV QSEIERIFELARTLQLVVLDADTINHPAQLSKTSLAPIIVYVKISSPKVLQRLIKSRGKS QAKHLNVQMVAADKLAQCPPQESFDVILDENQLEDACEHLADYLEAYWKATHPPSGNLPN PLLSRTLASSTLPLSPTLASNSQGSQGDQRPDRSAPRSASQAEEEPCLEPVKKSQHRSSS ATHQNHRSGTGRGLSRQETFDSETQESRDSAYVEPKEDYSHEHVDRYVPHREHNHREETH SSNGHRHRESRHRSRDMGRDQDHNECIKQRSRHKSKDRYCDKEGEVISKRRNEAGEWNRD VYIRQ >ENSMUSP00000110367.1 pep:known chromosome:GRCm38:2:14873669:14986149:1 gene:ENSMUSG00000057914.15 transcript:ENSMUST00000114719.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb2 description:calcium channel, voltage-dependent, beta 2 subunit [Source:MGI Symbol;Acc:MGI:894644] MKATWIRLLKRAKGGRLKSSDICGSADSYTSRPSDSDVSLEEDREAVRREAERQAQAQLE KAKTKPVAFAVRTNVRYSAAQEDDVPVPGMAISFEAKDFLHVKEKFNNDWWIGRLVKEGC EIGFIPSPVKLENMRLQHEQRAKQGKFYSSKSGGNSSSSLGDIVPSSRKSTPPSSAIDID ATGLDAEENDIPANHRSPKPSANSVTSPHSKEKRMPFFKKTEHTPPYDVVPSMRPVVLVG PSLKGYEVTDMMQKALFDFLKHRFEGRISITRVTADISLAKRSVLNNPSKHAIIERSNTR SSLAEVQSEIERIFELARTLQLVVLDADTINHPAQLSKTSLAPIIVYVKISSPKVLQRLI KSRGKSQAKHLNVQMVAADKLAQCPPQESFDVILDENQLEDACEHLADYLEAYWKATHPP SGNLPNPLLSRTLASSTLPLSPTLASNSQGSQGDQRPDRSAPRSASQAEEEPCLEPVKKS QHRSSSATHQNHRSGTGRGLSRQETFDSETQESRDSAYVEPKEDYSHEHVDRYVPHREHN HREETHSSNGHRHRESRHRSRDMGRDQDHNECIKQRSRHKSKDRYCDKEGEVISKRRNEA GEWNRDVYIRQ >ENSMUSP00000142281.1 pep:known chromosome:GRCm38:2:14874421:14896721:1 gene:ENSMUSG00000057914.15 transcript:ENSMUST00000195841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb2 description:calcium channel, voltage-dependent, beta 2 subunit [Source:MGI Symbol;Acc:MGI:894644] MSFSSALHRTVGLMIKELVEASRKDTFWVRQTPTPAAHPIQMCLWKRTGRQYVEKLSGRH RHSWKKQREEVA >ENSMUSP00000141742.1 pep:known chromosome:GRCm38:2:14960776:14981372:1 gene:ENSMUSG00000057914.15 transcript:ENSMUST00000193522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb2 description:calcium channel, voltage-dependent, beta 2 subunit [Source:MGI Symbol;Acc:MGI:894644] XKFYSSKSGGNSSSSLGDIVPSSRKSTPPSSAKQKQKSTEHTPPYDVVPSMRPVVLVGPS LKGYEVTDMMQKALFDFLKHRFEGRISITRVTADISLAKRSVLNNPSKHAIIERSNTRSS LAEVQSEIERIFELARTLQLVVL >ENSMUSP00000097150.4 pep:known chromosome:GRCm38:16:90719312:90727404:-1 gene:ENSMUSG00000022978.11 transcript:ENSMUST00000099554.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mis18a description:MIS18 kinetochore protein homolog A (S. pombe) [Source:MGI Symbol;Acc:MGI:1913828] MSSESPLLEKRLSEDSSRYLRLQKWANMSSADALGLEKERPEEKAAAAENPLVFLCARCR RPLGDSLTWVASQEDTNCILLRSVSCNVSVDKEPKLSKCRDEDGCILEALYCTGCSLSLG YVYRCTPKNLDYKRDLFCLSVEAVESYTLGSSEKQIVSEDKELFNLESRVEIEKSIKQME EVLTALQKKLREVESKLSLASRGS >ENSMUSP00000142367.1 pep:known chromosome:GRCm38:5:145280500:145286462:1 gene:ENSMUSG00000070420.4 transcript:ENSMUST00000200246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan25 description:zinc finger and SCAN domain containing 25 [Source:MGI Symbol;Acc:MGI:3647079] MLKERLEMAGDPQQQMGAPVVKLEKELPWGRGGEDSSPEAFRLRFRQFRYQEAAGPQEAL RELQELCRRWLRPELHTKEQILELLVLEQFLTILPREFYTWIREHSPDSGKALVAMVEEL MGSTEAKAVPCHAQGEQLVTALGGASWQSGIHLGPVEVKPEWGIPQGEGVQSLGPSTTE >ENSMUSP00000143449.1 pep:known chromosome:GRCm38:5:145283352:145291277:1 gene:ENSMUSG00000070420.4 transcript:ENSMUST00000199563.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zscan25 description:zinc finger and SCAN domain containing 25 [Source:MGI Symbol;Acc:MGI:3647079] MLKERLEMAGDPQQQMGAPVVKLEKELPWGRGGEDSSPEAFRLRFRQFRYQEAAGPQEAL RELQELCRRWLRPELHTKEQILELLVLEQFLTILPREFYTWIREHSPDSGKALVAMVEEL MGSTEAKAVGSMPCPGRAAGHSPWRSIVAIRHPPGAGRSQT >ENSMUSP00000091664.3 pep:known chromosome:GRCm38:5:145283352:145291278:1 gene:ENSMUSG00000070420.4 transcript:ENSMUST00000094116.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan25 description:zinc finger and SCAN domain containing 25 [Source:MGI Symbol;Acc:MGI:3647079] MLKERLEMAGDPQQQMGAPVVKLEKELPWGRGGEDSSPEAFRLRFRQFRYQEAAGPQEAL RELQELCRRWLRPELHTKEQILELLVLEQFLTILPREFYTWIREHSPDSGKALVAMVEEL MGSTEAKAVPCHAQGEQLVTALGGASWQSGIHLGPVEVKPEWGIPQGEGVQSLGPSTTEQ LSQGPGDGTQAFQEQALPILHVGPGLPSVSARDQEMAAGFLAATSQGLGPFKDMTLGFPE EEWRHVAPAQIDCFGEYVDSQDCGVLPGAGSKEKEAKPQQEDLKRAFVGLTSDGFGEADI QIPGPGGTCEQEPGSSGTSLPGLPAPQHGVPLPDTLNTHNSFWKPFQCPECGKGFSRSSN LVRHQRTHEEEKSFGCVECGKGFTLREYLTKHQRTHLGKRPYVCGECWKTFSQRHHLEVH QRSHTGEKPYKCSDCWKGFSRRQHLLVHRRTHTGEKPYTCECGKSFSRNANLAVHRRAHT GEKPYGCQVCGKRFSKGERLVRHQRIHTGEKPYHCPACGRSFNQRSILNRHQKTQHRQEP PVQ >ENSMUSP00000107749.2 pep:known chromosome:GRCm38:5:115142981:115158179:-1 gene:ENSMUSG00000048578.11 transcript:ENSMUST00000112121.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlec description:malectin [Source:MGI Symbol;Acc:MGI:1924015] MLRPRGAEGTAVALLRLLLLLLLLGPKLRGPGLGVVGAAGAGLPESVIWAVNAGGEAHVD VHGIHFRKDPLEGRVGRASDYGMKLPILRSTPEDQILYQTERYNEETFGYEVPVKEEGDY VLVLKFAEVYFAQSQQKVFDVRLNGHVVVKDLDIFDRVGHSTAHDEIIPMSIRKGKLSVR GEVSTFTGKLYIEFVKGYYDNPKVCALYILAGTVDDVPKLQPHPGLEKKEEEEEEEEYDE GSNLKRQTNKNRVQSGPRTPNPYASDNSSLMFPILVAFGVFIPTLFCLCRL >ENSMUSP00000054380.9 pep:known chromosome:GRCm38:7:19382010:19395694:1 gene:ENSMUSG00000030400.17 transcript:ENSMUST00000062831.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc2 description:excision repair cross-complementing rodent repair deficiency, complementation group 2 [Source:MGI Symbol;Acc:MGI:95413] MKLNVDGLLVYFPYDYIYPEQFSYMLELKRTLDAKGHGVLEMPSGTGKTVSLLALIVAYQ RAYPLEVTKLIYCSRTVPEIEKVIEELRKLLSFYEQQEGEKLPFLGLALSSRKNLCIHPE VTPLRFGKDVDGKCHSLTASYVRAQYQQDASLPHCRFYEEFDIHGRQMPLPAGIYNLDDL KALGQRQGWCPYFLARYSILHANVVVYSYHYLLDPKIADLVSKELARKAVVVFDEAHNID NVCIDSMSVNLTRRTLDRCQSNLDTLQKTVLRIKETDEQRLRDEYRRLVEGLREASVARE TDAHLANPVLPDEVLQEAVPGSIRTAEHFLGFLRRLLEYVKWRLRVQHVVQESPPAFLSG LAQRVCIQRKPLRFCAERLRSLLHTLEIADLADFSPLTLLANFATLVSTYAKGFTIIIEP FDDRTPTIANPVLHFSCMDASLAIKPVFERFQSVIITSGTLSPLDIYPKILDFHPVTMAT FTMTLARVCLCPMIIGRGNDQVAISSKFETREDIAVIRNYGNLLLEMSAVVPDGIVAFFT SYQYMESTVASWYEQGILENIQRNKLLFIETQDGAETSVALEKYQEACENGRGAILLSVA RGKVSEGIDFVHHYGRAVIMFGVPYVYTQSRILKARLEYLRDQFQIRENDFLTFDAMRHA AQCVGRAIRGKTDYGLMVFADKRFARADKRGKLPRWIQEHLTDSNLNLTVDEGVQVAKYF LRQMAQPFHREDQLGLSLLSLEQLQSEETLQRIEQIAQQL >ENSMUSP00000104101.1 pep:known chromosome:GRCm38:7:19382031:19395692:1 gene:ENSMUSG00000030400.17 transcript:ENSMUST00000108461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc2 description:excision repair cross-complementing rodent repair deficiency, complementation group 2 [Source:MGI Symbol;Acc:MGI:95413] MKTLDRCQSNLDTLQKTVLRIKETDEQRLRDEYRRLVEGLREASVARETDAHLANPVLPD EVLQEAVPGSIRTAEHFLGFLRRLLEYVKWRLRVQHVVQESPPAFLSGLAQRVCIQRKPL RFCAERLRSLLHTLEIADLADFSPLTLLANFATLVSTYAKGFTIIIEPFDDRTPTIANPV LHFSCMDASLAIKPVFERFQSVIITSGTLSPLDIYPKILDFHPVTMATFTMTLARVCLCP MIIGRGNDQVAISSKFETREDIAVIRNYGNLLLEMSAVVPDGIVAFFTSYQYMESTVASW YEQGILENIQRNKLLFIETQDGAETSVALEKYQEACENGRGAILLSVARGKVSEGIDFVH HYGRAVIMFGVPYVYTQSRILKARLEYLRDQFQIRENDFLTFDAMRHAAQCVGRAIRGKT DYGLMVFADKRFARADKRGKLPRWIQEHLTDSNLNLTVDEGVQVAKYFLRQMAQPFHRED QLGLSLLSLEQLQSEETLQRIEQIAQQL >ENSMUSP00000104100.1 pep:known chromosome:GRCm38:7:19382040:19395694:1 gene:ENSMUSG00000030400.17 transcript:ENSMUST00000108460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc2 description:excision repair cross-complementing rodent repair deficiency, complementation group 2 [Source:MGI Symbol;Acc:MGI:95413] MKLNVDGLLVYFPYDYIYPEQFSYMLELKRTLDAKGHGVLEMPSGTGKTVSLLALIVAYQ RVIEELRKLLSFYEQQEGEKLPFLGLALSSRKNLCIHPEVTPLRFGKDVDGKCHSLTASY VRAQYQQDASLPHCRFYEEFDIHGRQMPLPAGIYNLDDLKALGQRQGWCPYFLARYSILH ANVVVYSYHYLLDPKIADLVSKELARKAVVVFDEAHNIDNVCIDSMSVNLTRRTLDRCQS NLDTLQKTVLRIKETDEQRLRDEYRRLVEGLREASVARETDAHLANPVLPDEVLQEAVPG SIRTAEHFLGFLRRLLEYVKWRLRVQHVVQESPPAFLSGLAQRVCIQRKPLRFCAERLRS LLHTLEIADLADFSPLTLLANFATLVSTYAKGFTIIIEPFDDRTPTIANPVLHFSCMDAS LAIKPVFERFQSVIITSGTLSPLDIYPKILDFHPVTMATFTMTLARVCLCPMIIGRGNDQ VAISSKFETREDIAVIRNYGNLLLEMSAVVPDGIVAFFTSYQYMESTVASWYEQGILENI QRNKLLFIETQDGAETSVALEKYQEACENGRGAILLSVARGKVSEGIDFVHHYGRAVIMF GVPYVYTQSRILKARLEYLRDQFQIRENDFLTFDAMRHAAQCVGRAIRGKTDYGLMVFAD KRFARADKRGKLPRWIQEHLTDSNLNLTVDEGVQVAKYFLRQMAQPFHREDQLGLSLLSL EQLQSEETLQRIEQIAQQL >ENSMUSP00000117840.1 pep:known chromosome:GRCm38:7:19382269:19394461:1 gene:ENSMUSG00000030400.17 transcript:ENSMUST00000129249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc2 description:excision repair cross-complementing rodent repair deficiency, complementation group 2 [Source:MGI Symbol;Acc:MGI:95413] XAARLNVDGLLVYFPYDYIYPEQFSYMLELKRTLDAKGHGVLEMPSGTGKTVSLLALIVA YQRAYPLEVTKLIYCSRTVPEIEKVIEELRKLLSFYEQQEGEKLPFLGLALSSRKNLCIH PEILHANVVVYSYHYLLDPKIADLVSKELARKAVVVFDEAHNIDNVCIDSMSVNLTRRTL DRCQSNLDTLQKTVLRIKETDEQRLRDEYRRLVEGLREASVARETDAHLANPVLPDEVLQ EAVPGSIRTAEHFLGFLRRLLEYVKWRLRVQHVVQESPPAFLSGLAQRVCIQRKPLRFCA ERLRSLLHTLEIADLADFSPLTLLANFATLVSTYAKGFTIIIEPFDDRTPTIANPVLHFS CMDASLAIKPVFERFQSVIITSGTLSPLDIYPKILDFHPVTMATFTMTLARVCLCPMIIG RGNDQVAISSKFETREDIAVIRNYGNLLLEMSAVVPDGIVAFFTSYQYMESTVASWYEQG ILENIQRNKLLFIETQDGAETSVALEKYQEACENGRGAILLSVARGKVSEGIDFVHHYGR AVIMFGVPYVYTQSRILKARLEYLRDQFQIRENDFLTFDAMRHAAQCVGRAIRGKTDYGL MVFADKRFARADKRGKLPRWIQEHLTDSNLNLTVDEGVQVAKYFLRQMAQPFHREDQLGL SLLSLEQLQSEETLQRIEQIAQQL >ENSMUSP00000136232.1 pep:known chromosome:GRCm38:7:20668918:20669841:1 gene:ENSMUSG00000095275.1 transcript:ENSMUST00000179079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r107 description:vomeronasal 1 receptor 107 [Source:MGI Symbol;Acc:MGI:3780017] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPNELKCKLDFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000051246.7 pep:known chromosome:GRCm38:13:34627840:34652671:-1 gene:ENSMUSG00000021411.15 transcript:ENSMUST00000053459.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxdc1 description:PX domain containing 1 [Source:MGI Symbol;Acc:MGI:1914145] MASAVFEGTSLVNMFVRGCWVNGIRRLIVSRRGDEEEFFEIRTEWSDRSVLYLHRSFADL GRLCKRLRDAFPEDRSELARTPLRQGLLAIKGAHDIETRLNEVEKLLETVISMPCKYSRS EVVLTFFERSPLDQVLKNDNVHKIQPSFQSPVKISEIMRSNGFCLANTETIVIDHSIPNG KDQLLDADSTEHLFENGGEFTSELEDGDDPEAYVTNLSYYHLVPFETDILD >ENSMUSP00000021847.8 pep:known chromosome:GRCm38:13:34627840:34652681:-1 gene:ENSMUSG00000021411.15 transcript:ENSMUST00000021847.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pxdc1 description:PX domain containing 1 [Source:MGI Symbol;Acc:MGI:1914145] MASAVFEGTSLVNMFVRGCWVNGIRRLIVSRRGDEEEFFEIRTEWSDRSVLYLHRSFADL GRLCKRLRDAFPEDRSELARTPLRQGLLAIKGAHDIETRLNEVEKLLETVISMPCKYSRS EVVLTFFERSPLDQVLKNDNVHKIQPSFQSPVKISEAKEGTGALRTGVVDGCEPPGGCWE LSVGLLEEHQVFLTAERIFSPRKAFLRNHEVQWILFGKHRDHSH >ENSMUSP00000117791.2 pep:known chromosome:GRCm38:13:34627841:34652681:-1 gene:ENSMUSG00000021411.15 transcript:ENSMUST00000125037.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pxdc1 description:PX domain containing 1 [Source:MGI Symbol;Acc:MGI:1914145] MASAVFEGTSLVNMFVRGCWVNGIRRLIVSRRGDEEEFFEIRTEWSDRSVLYLHRSFADL GRLCKRLRDAFPEDRSELARTPLRQGLLAIKGAHDIETRLNEVEKLLETVISMPLFQV >ENSMUSP00000117295.1 pep:known chromosome:GRCm38:13:34628868:34648568:-1 gene:ENSMUSG00000021411.15 transcript:ENSMUST00000152392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxdc1 description:PX domain containing 1 [Source:MGI Symbol;Acc:MGI:1914145] MPCKYSRSEVVLTFFERSPLDQVLKNDNVHKIQPSFQSPVKISEIMRSNGFCLANTETIV IDHSIPNGKDQLLDADSTEHLFENGGE >ENSMUSP00000109899.2 pep:known chromosome:GRCm38:2:25459482:25461094:-1 gene:ENSMUSG00000047617.11 transcript:ENSMUST00000114261.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC029214 description:cDNA sequence BC029214 [Source:MGI Symbol;Acc:MGI:2442831] MAPPLLSLPLCILPPGSGSPRLVCYCERDSGGDGDRDDFNLYVTDAAELWSTCFSPDSLA RLKARFGLSGAEDIHSRFRAACQQQAVTVSLQEDRALITLSGDTPALAFDLSKVPSPEAA PRLQALTLSLAEHVCNLERRLAAAEETITSPKKNTQPAGTQFLPELDHQRGSSGPGVRRR CPGESLINPGFKSKKPAAGVDFDET >ENSMUSP00000120533.1 pep:known chromosome:GRCm38:2:25459815:25461031:-1 gene:ENSMUSG00000047617.11 transcript:ENSMUST00000151239.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC029214 description:cDNA sequence BC029214 [Source:MGI Symbol;Acc:MGI:2442831] MAPPLLSLPLCILPPGSGSPRLVCYCERDSGGDGDRDDFNLYVTDAAELWSTCFSPDSLA RLKARFGLSGAEDIHSRFRAACQQQAVTVSLQEDRALITLSGDTPALAFDLSKVPSPEAA PRLQALTLSLAEHVCNLERRLAELDHQRGSSGPGVRR >ENSMUSP00000034913.4 pep:known chromosome:GRCm38:11:120542888:120549727:-1 gene:ENSMUSG00000061111.8 transcript:ENSMUST00000034913.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam195b description:family with sequence similarity 195, member B [Source:MGI Symbol;Acc:MGI:2384752] MTSSPVSRVVYNGKRNSSPRSPTNSSEIFTPAHEENVRFIYEAWQGVERDLRSQLSSGER CLVEEYVEKVPNPSLKTFKPIDLSDLKRRNTQDAKKS >ENSMUSP00000127050.1 pep:known chromosome:GRCm38:14:3688783:3698000:1 gene:ENSMUSG00000090364.1 transcript:ENSMUST00000170639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3043 description:predicted gene 3043 [Source:MGI Symbol;Acc:MGI:3781221] MFSWLLRLCQKENGDEGEIRTTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQR SKINELEELKLDMRKISNDIEEMGGILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEM IQSIIVSMQYSKELIEDNYSYSIKEDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSH GEEKRFCEEASKNICASSAKEQQCVNSSRNRNMAQTTT >ENSMUSP00000058896.7 pep:known chromosome:GRCm38:3:137623672:137628333:1 gene:ENSMUSG00000046818.7 transcript:ENSMUST00000053855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddit4l description:DNA-damage-inducible transcript 4-like [Source:MGI Symbol;Acc:MGI:1920534] MVATGSLSSKNPASISELLDGGYHPGSLLSDFDYWDYVVPEPNLNEVVFEETTCQNLVKM LENCLSRSKQTKLGCSKVLVPEKLTQRIAQDVLRLSSTEPCGLRGCVMHVNLEIENVCKK LDRIVCDASVVPTFELTLVFKQESCPWTSLKDFFFSRGRFSSGLKRTLILSSGFRLVKKK LYSLIGTTVIEEC >ENSMUSP00000127567.1 pep:known chromosome:GRCm38:3:137624037:137626174:1 gene:ENSMUSG00000046818.7 transcript:ENSMUST00000165845.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddit4l description:DNA-damage-inducible transcript 4-like [Source:MGI Symbol;Acc:MGI:1920534] MVATGSLSSKNPASISELLDGGYHPGSLLSDFDYWDYVVPEPNLNEVVFEETTCQNLVKM LENCLSRSKQTKLGCSKVLVPEKLTQRIAQDVLRLSSTEP >ENSMUSP00000099796.3 pep:known chromosome:GRCm38:2:62046462:62326730:1 gene:ENSMUSG00000026904.17 transcript:ENSMUST00000102735.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a10 description:solute carrier family 4, sodium bicarbonate cotransporter-like, member 10 [Source:MGI Symbol;Acc:MGI:2150150] MEIKDQGAQMEPLLPTRNDEEAVVDRGGTRSILKTHFEKEDLEGHRTLFIGVHVPLGGRK SHRRHRHRGHKHRKRDRERDSGLEDGRESPSFDTPSQRVQFILGTEDDDEEHLPHDLFTE LDEICWREGEDAEWRETARWLKFEEDVEDGGERWSKPYVATLSLHSLFELRSCILNGTVL LDMHANTIEEIADMVLDQQVSSGQLNEDVRHRVHEALMKQHHHQNQKKLANRIPIVRSFA DIGKKQSEPNSMDKNAGQVVSPQSAPACAENKNDVSRENSTVDFSKVDLHFMKKIPPGAE ASNILVGELEFLDRTVVAFVRLSPAVLLQGLAEVPIPSRFLFILLGPLGKGQQYHEIGRS IATLMTDEVFHDVAYKAKDRNDLVSGIDEFLDQVTVLPPGEWDPSIRIEPPKNVPSQEKR KIPAVPNGTAAHGEAEPHGGHSGPELQRTGRIFGGLILDIKRKAPFFWSDFRDAFSLQCL ASFLFLYCACMSPVITFGGLLGEATEGRISAIESLFGASMTGIAYSLFGGQPLTILGSTG PVLVFEKILFKFCKEYGLSYLSLRASIGLWTATLCIILVATDASSLVCYITRFTEEAFAS LICIIFIYEALEKLFELSETYPINMHNDLELLTQYSCNCMEPHSPSNDTLKEWRESNLSA SDIIWGNLTVSECRSLHGEYVGRACGHGHPYVPDVLFWSVILFFSTVTMSATLKQFKTSR YFPTKVRSIVSDFAVFLTILCMVLIDYAIGIPSPKLQVPSVFKPTRDDRGWFVTPLGPNP WWTIIAAIIPALLCTILIFMDQQITAVIINRKEHKLKKGCGYHLDLLMVAVMLGVCSIMG LPWFVAATVLSITHVNSLKLESECSAPGEQPKFLGIREQRVTGLMIFILMGSSVFMTSIL KFIPMPVLYGVFLYMGASSLKGIQLFDRIKLFWMPAKHQPDFIYLRHVPLRKVHLFTVIQ MSCLGLLWIIKVSRAAIVFPMMVLALVFVRKLMDFLFTKRELSWLDDLMPESKKKKLEDA EKEEEQSMLAMEDEGTVQLPLEGHYRDDPSVINISDEMSKTAMWGNLLVTADNSKEKESR FPSKSSPS >ENSMUSP00000108099.2 pep:known chromosome:GRCm38:2:62046645:62326730:1 gene:ENSMUSG00000026904.17 transcript:ENSMUST00000112480.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a10 description:solute carrier family 4, sodium bicarbonate cotransporter-like, member 10 [Source:MGI Symbol;Acc:MGI:2150150] MEIKDQGAQMEPLLPTRNDEEAVVDRGGTRSILKTHFEKEDLEGHRTLFIGVHVPLGGRK SHRRHRHRGHKHRKRDRERDSGLEDGRESPSFDTPSQRVQFILGTEDDDEEHLPHDLFTE LDEICWREGEDAEWRETARWLKFEEDVEDGGERWSKPYVATLSLHSLFELRSCILNGTVL LDMHANTIEEIADMVLDQQVSSGQLNEDVRHRVHEALMKQHHHQNQKKLANRIPIVRSFA DIGKKQSEPNSMDKNAGQVVSPQSAPACAENKNDVSRENSTVDFSKGLGGQQKGHTSPCG MKQRLDKGPPHQQEREVDLHFMKKIPPGAEASNILVGELEFLDRTVVAFVRLSPAVLLQG LAEVPIPSRFLFILLGPLGKGQQYHEIGRSIATLMTDEVFHDVAYKAKDRNDLVSGIDEF LDQVTVLPPGEWDPSIRIEPPKNVPSQEKRKIPAVPNGTAAHGEAEPHGGHSGPELQRTG RIFGGLILDIKRKAPFFWSDFRDAFSLQCLASFLFLYCACMSPVITFGGLLGEATEGRIS AIESLFGASMTGIAYSLFGGQPLTILGSTGPVLVFEKILFKFCKEYGLSYLSLRASIGLW TATLCIILVATDASSLVCYITRFTEEAFASLICIIFIYEALEKLFELSETYPINMHNDLE LLTQYSCNCMEPHSPSNDTLKEWRESNLSASDIIWGNLTVSECRSLHGEYVGRACGHGHP YVPDVLFWSVILFFSTVTMSATLKQFKTSRYFPTKVRSIVSDFAVFLTILCMVLIDYAIG IPSPKLQVPSVFKPTRDDRGWFVTPLGPNPWWTIIAAIIPALLCTILIFMDQQITAVIIN RKEHKLKKGCGYHLDLLMVAVMLGVCSIMGLPWFVAATVLSITHVNSLKLESECSAPGEQ PKFLGIREQRVTGLMIFILMGSSVFMTSILKFIPMPVLYGVFLYMGASSLKGIQLFDRIK LFWMPAKHQPDFIYLRHVPLRKVHLFTVIQMSCLGLLWIIKVSRAAIVFPMMVLALVFVR KLMDFLFTKRELSWLDDLMPESKKKKLEDAEKEEEQSMLAMEDEGTVQLPLEGHYRDDPS VINISDEMSKTAMWGNLLVTADNSKEKESRFPSKSSPS >ENSMUSP00000061411.8 pep:known chromosome:GRCm38:2:62046585:62326730:1 gene:ENSMUSG00000026904.17 transcript:ENSMUST00000054484.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a10 description:solute carrier family 4, sodium bicarbonate cotransporter-like, member 10 [Source:MGI Symbol;Acc:MGI:2150150] MEIKDQGAQMEPLLPTRNDEEAVVDRGGTRSILKTHFEKEDLEGHRTLFIGVHVPLGGRK SHRRHRHRGHKHRKRDRERDSGLEDGRESPSFDTPSQRVQFILGTEDDDEEHLPHDLFTE LDEICWREGEDAEWRETARWLKFEEDVEDGGERWSKPYVATLSLHSLFELRSCILNGTVL LDMHANTIEEIADMVLDQQVSSGQLNEDVRHRVHEALMKQHHHQNQKKLANRIPIVRSFA DIGKKQSEPNSMDKNAGQVVSPQSAPACAENKNDVSRENSTVDFSKVDLHFMKKIPPGAE ASNILVGELEFLDRTVVAFVRLSPAVLLQGLAEVPIPSRFLFILLGPLGKGQQYHEIGRS IATLMTDEVFHDVAYKAKDRNDLVSGIDEFLDQVTVLPPGEWDPSIRIEPPKNVPSQEKR KIPAVPNGTAAHGEAEPHGGHSGPELQRTGRIFGGLILDIKRKAPFFWSDFRDAFSLQCL ASFLFLYCACMSPVITFGGLLGEATEGRISAIESLFGASMTGIAYSLFGGQPLTILGSTG PVLVFEKILFKFCKEYGLSYLSLRASIGLWTATLCIILVATDASSLVCYITRFTEEAFAS LICIIFIYEALEKLFELSETYPINMHNDLELLTQYSCNCMEPHSPSNDTLKEWRESNLSA SDIIWGNLTVSECRSLHGEYVGRACGHGHPYVPDVLFWSVILFFSTVTMSATLKQFKTSR YFPTKVRSIVSDFAVFLTILCMVLIDYAIGIPSPKLQVPSVFKPTRDDRGWFVTPLGPNP WWTIIAAIIPALLCTILIFMDQQITAVIINRKEHKLKKGCGYHLDLLMVAVMLGVCSIMG LPWFVAATVLSITHVNSLKLESECSAPGEQPKFLGIREQRVTGLMIFILMGSSVFMTSIL KFIPMPVLYGVFLYMGASSLKGIQLFDRIKLFWMPAKHQPDFIYLRHVPLRKVHLFTVIQ MSCLGLLWIIKVSRAAIVFPMMVLALVFVRKLMDFLFTKRELSWLDDLMPESKKKKLEDA EKEEEQSMLAMEDEGTVQLPLEGHYRDDPSVINISDEMSKTAMWGNLLVTADNSKEKESR FPSKSNESRKEKKADSGKGVDRETCL >ENSMUSP00000114022.2 pep:known chromosome:GRCm38:1:37026596:37076996:1 gene:ENSMUSG00000050122.18 transcript:ENSMUST00000117172.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3b description:von Willebrand factor A domain containing 3B [Source:MGI Symbol;Acc:MGI:1918103] MAEQSLLSSERWLQLHGLKSKKLTLKQILSQIGFPQSEDYVTSLRRLVASRYADGLFPQI YTAEDGRLYNLTAKTELICQFVDYLTQAVESYKQRMDWLTSGSRQIFGVILEQRVTIVLD FGDVLMEELNLCRDALKMVLQEQVALITRFNMIWVSAEPVKWQEYAILVTEHSIAAAISW IEKMTFEPKPVREVSGLDALLEAGKDKTIEAIYYFVVGDVPQEFQKLLLTGVLEIPYPVC TVSFNARGEETIAFLKDLSTKTHSRFHAFAERTECEEFSAFSTEGVDGSMTWNSRKLKGR LPPEFQMHFLKTLALDGEIIWKNMAASIIMTNLKSHYIVRAGN >ENSMUSP00000141690.1 pep:known chromosome:GRCm38:1:37029304:37063649:1 gene:ENSMUSG00000050122.18 transcript:ENSMUST00000124404.7 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Vwa3b description:von Willebrand factor A domain containing 3B [Source:MGI Symbol;Acc:MGI:1918103] MAEQSLLSSERWLQLHGLKSKKLTLKQILSQIGFPQSEDYVTSLRRLVASRYADGLFPQI YTAEDGRLYNLTAKTELICQFVDYLTQAVESYKQRMDWLTSGSRQIFGVILEQRVTIVLD FGDVLMEELNLCRDALKMVLQEQVALITRFNMIWVSAEPVKWQEYAILVTEHSIAAAISW IEKMTFEPKPVREVSGLDALLEAGKDKTIEAIYYFVVGDVPQEFQKLLLTGVLEIPYPVC TVSFNARGEETIAFLKDLSTKTHSRFHAFAERTECEEFSAFSTEGVDGSMTWNSRKLKGR LPPGTWNPEGAVSLVTLVISSALQYSLEIKDEIGTT >ENSMUSP00000069700.7 pep:known chromosome:GRCm38:1:37029316:37076995:1 gene:ENSMUSG00000050122.18 transcript:ENSMUST00000067178.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3b description:von Willebrand factor A domain containing 3B [Source:MGI Symbol;Acc:MGI:1918103] MAEQSLLSSERWLQLHGLKSKKLTLKQILSQIGFPQSEDYVTSLRRLVASRYADGLFPQI YTAEDGRLYNLTAKTELICQFVDYLTQAVESYKQRMDWLTSGSRQIFGVILEQRVTIVLD FGDVLMEELNLCRDALKMVLQEQVALITRFNMIWVSAEPVKWQEYAILVTEHSIAAAISW IEKMTFEPKPVREVSGLDALLEAGKDKTIEAIYYFVVGDVPQEFQKLLLTGVLEIPYPVC TVSFNARGEETIAFLKDLSTKTHSRFHAFAERTECEEFSAFSTEGVDGSMTWNSRKLKGR LPPEFQMHFLKTLALDGEIIWKNMAASIIMTNLKSHYIVRAGN >ENSMUSP00000125460.1 pep:known chromosome:GRCm38:1:37029384:37076725:1 gene:ENSMUSG00000050122.18 transcript:ENSMUST00000162449.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3b description:von Willebrand factor A domain containing 3B [Source:MGI Symbol;Acc:MGI:1918103] MAEQSLLSSERWLQLHGLKSKKLTLKQILSQIGFPQSEDYVTSLRRLVASRYADGLFPQI YTAEDGRLYNLTAKTELICQFVDYLTQAVESYKQRMDWLTSGSRQIFGVILEQRVTIVLD FGDVLMEELNLCRDALKMVLQEQVALITRFNMIWVSAEPVKWQEYAILVTEHSIAAAISW IEKMTFEPKPVREVSGLDALLEAGKDKTIEAIYYFVVGDVPQEFQKLLLTGVLEIPYPVC TVSFNARGEETIAFLKDLSTKTHSRFHAFAERTECEEFSAFSTEGVDGSMTWNSRKLKGR LPPEFQMHFLKTLALDGEIIWKNMAASIIMTNLKSHYIVRAGN >ENSMUSP00000027289.8 pep:known chromosome:GRCm38:1:37035708:37186609:1 gene:ENSMUSG00000050122.18 transcript:ENSMUST00000027289.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vwa3b description:von Willebrand factor A domain containing 3B [Source:MGI Symbol;Acc:MGI:1918103] MAEQSLLSSERWLQLHGLKSKKLTLKQILSQIGFPQSEDYVTSLRRLVASRYADGLFPQI YTAEDGRLYNLTAKTELICQFVDYLTQAVESYKQRMDWLTSGSRQIFGVILEQRVTIVLD FGDVLMEELNLCRDALKMVLQEQVALITRFNMIWVSAEPVKWQEYAILVTEHSIAAAISW IEKMTFEPKPVREVSGLDALLEAGKDKTIEAIYYFVVGDVPQEFQKLLLTGVLEIPYPVC TVSFNARGEETIAFLKDLSTKTHSRFHAFAERTECEEFSAFSTEGVDGSMTWNSRKLKGR LPPGAGVREDVFLIWREMEEACRILAQVRSLVDKAPQSDVSPADCKSRPAESKENAEDTW ESQKWLQKYGLKAQKLTFYDVLAHCSFRHADGVVDIKAKPENESVQTSADTNKKTIHAKY CSRFIHIPWKDGSLVHVNITKEKYKWYRERIHTALAMIQRRIKWLQNGSHILFGKARGEC IYVLIDTSCSMKSRLNLVKDKIIQFIQEQLRYKSKFNFVTFDGQAIAWREKLAEVNEDNL KQAQSWIRGIKVGSSTNTLNALKIAFADEETQVIYLLTDGRPDQPPEMVMDHVKLFQNIP ICAISFSYNDEVANEFLKELAALTGGEFRAYNFGCKDPIQDTQDEDLNLLLQEMEQGHSD LEKMQELYMESLVVDWWYNADKDTDSKINQNEPPQKPHLQLQEQQQQRQLQLQLQEPHLS SQQQRNERPADEQVSR >ENSMUSP00000142139.1 pep:known chromosome:GRCm38:1:37135508:37141336:1 gene:ENSMUSG00000050122.18 transcript:ENSMUST00000195450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3b description:von Willebrand factor A domain containing 3B [Source:MGI Symbol;Acc:MGI:1918103] XMESLVVDWWYNADKDTDSKHQKEILSMVSTPEERANSQPDADSLASSPPNVSTGSWKFL EEKTQKKKILHAESTKTSLLRSHISNFKSSSNKDSSNSSSRSHTSALSNRGKRARPPTLE APFIFLPTGLCLADLGEICLRELRK >ENSMUSP00000132886.1 pep:known chromosome:GRCm38:1:37153849:37187605:1 gene:ENSMUSG00000050122.18 transcript:ENSMUST00000169057.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3b description:von Willebrand factor A domain containing 3B [Source:MGI Symbol;Acc:MGI:1918103] MDVQGVRSRSTKLVFPLAHVCNNSNKMVLINPHGINLNIYKQNVEQAIKSYEKCLNKIVW QALSEEEKEKLDAIKPIQYLENKDVLNKALERLNWPISLKELSVLENEILVGKMYIQQAL ELQETSRKNYQSKTLQEQQKLQGYQRRKSNQEN >ENSMUSP00000025755.4 pep:known chromosome:GRCm38:19:25505618:25604329:1 gene:ENSMUSG00000024837.15 transcript:ENSMUST00000025755.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrt1 description:doublesex and mab-3 related transcription factor 1 [Source:MGI Symbol;Acc:MGI:1354733] MPNDDTFGKPSTPTEVPHAPGAPPQGKAGGYSKAAGAMAGAAGGSGAGGSGGASGSGPSG LGSGSKKSPRLPKCARCRNHGYASPLKGHKRFCMWRDCQCKKCSLIAERQRVMAAQVALR RQQAQEEELGISHPIPLPSAAELLVKRENNASNPCLMAENSSSAQPPPASTPTPAASEGR MVIQDIPAVTSRGHMENTSDLVSDPAYYSSFYQPSLFPYYNNLYNYPQYSMALSAESSSG EVGNSLGGSPVKNSLRSLPAPYVPAQTGNQWQMKTSESRHPVSSQYRMHSYYGPPSYLGQ SMSQIFTFEEGPSYSEAKASVFSPPSSQDSGLVSLSSSSPMSNESSKGVLECESASSEPS SYAVNQVLEEDEDE >ENSMUSP00000084803.4 pep:known chromosome:GRCm38:19:25505706:25603491:1 gene:ENSMUSG00000024837.15 transcript:ENSMUST00000087525.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrt1 description:doublesex and mab-3 related transcription factor 1 [Source:MGI Symbol;Acc:MGI:1354733] MPNDDTFGKPSTPTEVPHAPGAPPQGKAGGYSKAAGAMAGAAGGSGAGGSGGASGSGPSG LGSGSKKSPRLPKCARCRNHGYASPLKGHKRFCMWRDCQCKKCSLIAERQRVMAAQVALR RQQAQEEELGISHPIPLPSAAELLVKRENNASNPCLMAENSSSAQPPPASTPTPAASDED LREPPPSELPVPDALILRASLLPGPEHVPDLYLRGRPLLLRSQSQCVLAPQQSRFWLGLP LQQLSDEQRELEGSSGM >ENSMUSP00000052507.5 pep:known chromosome:GRCm38:4:56957173:56990391:-1 gene:ENSMUSG00000045589.7 transcript:ENSMUST00000053681.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frrs1l description:ferric-chelate reductase 1 like [Source:MGI Symbol;Acc:MGI:2442704] MAGQPLRRPAWVPLLLRLLLAGIAACDASPADDSAGPGGRGPRGRARGDAGADEAVPRHD SSYGTFASEFYDLRYLSEEGYPFPTAPPVDPFAKIKVEDCGRTKGCFRYGKPGCNAETCD YFLSYRMIGADVEFELSADTDGWVAVGFSSDKKMGGDDVMACVHDDNGRVRIQHFYNVGQ WAKEVQRNPARDEEGVFENNRVTCRFKRPVNVPRDETIVDLHLSWYYLFAWGPAIQGAIT RHDIDSPPASERVVSIYKYEDIFMPSAAYQTFSSPFCLLLIVALTFYLLMGTP >ENSMUSP00000121657.1 pep:known chromosome:GRCm38:4:56960136:56990136:-1 gene:ENSMUSG00000045589.7 transcript:ENSMUST00000128276.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Frrs1l description:ferric-chelate reductase 1 like [Source:MGI Symbol;Acc:MGI:2442704] ARGDAGADEAVPRHDSSYGTFASEFYDLRYLSEEDTGSQAVMQKPVTTSLATG >ENSMUSP00000100061.3 pep:known chromosome:GRCm38:14:8431192:8666240:-1 gene:ENSMUSG00000021747.11 transcript:ENSMUST00000102996.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930452B06Rik description:RIKEN cDNA 4930452B06 gene [Source:MGI Symbol;Acc:MGI:1926154] MFKNEYQGGAFVEIFSAQGKNPGAKWKILGSPSVIWKEFDKEVKSFVFVLEGSSQTNRIQ LPKENKQILGLIQRFLVLQIYIPLGQDFSTELLITDLGNIKRRLYLSTVHKEVSSTPLHA KIPLFMIQRKIWCNLCIDLVAFTSEIFKGAVFQSLDGIIVSANCKLRKIFTLKSKPQETA DKDDEPTDIIPRSCQLATDVPHVTQLLNMTKLRQTEIKFGGHPLRSAESEQFISSGAGSV QNSKGQDVCHIAFGSRVLGPPPLSGRRNNLRQSTETVRSIRYKNSQLCQQPTEERSVNST EVSALLADQQGEKGSARPLKQTTPLPAKLPIPYPHPPQEPSADKGSNRRRLRLKSSSGDR TEAHCGCSSGNNRSEEDVVMALNSIPQLRTEPVRASTPEPPAPEQPDEWIFPESGGLTSD PAWSSPPLLLDEDSHTASNLWLETSKDSEHDQAEETQRAPKDIFTFSSRPRSAPHGKSHD MSPKGCPFTLDTQDDSQVTKEDPKLEGGFYGSESSEEEYNWRNYQPSQMSESELQMLASL RRQQNEDLEDTGAPHGLSASQVDHCNVSISTSSDDTTTWNSCLPPPVNQGRHYQKEMNPP SPSNPRDWLNMLSPPIVPPSQQPVEQSLDSSASLSVQGEEDPRVEEDEEVLTLLYDPCLN CYFDPQTGKYYELV >ENSMUSP00000058950.5 pep:known chromosome:GRCm38:8:106009621:106011882:-1 gene:ENSMUSG00000045538.6 transcript:ENSMUST00000058579.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx28 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 28 [Source:MGI Symbol;Acc:MGI:1919236] MALAGPSRLLALAVRLLLEPRRNLVVRGSDQSLPVVRVPRALQRRQEQRQSGRGSLQRPV LVRPGPLLVSARRPELNQPARLTLGRWERAPLASRGWKHRRSRQDHFSIERVQQEAPALR NLSSRGSFVDLGLEPRVLLALQEAVPEVVQPTSVQSKTIPPLLRGRHLLCAAETGSGKTL SYLLPLFQRLLRGSDLDSRSFTAPRGLVLVPSRELAEQVQAVAQSLGGYLGLQVIELGGG LGMSRLKLQLYRRPAADVLVATPGALWKALKSQLISLQHLNFIVLDEVDTLLDESFLELV DYILEKSPIAESPAELEDPFNPKAQLVLVGATFPEGLNQLLSKVTSPDSLTTITSSKLHC LMPHVRQTFMRLKGADKVTELVQILKQQDKASKTEPSGTVLVFCNSASTVNWLGYILDDH KIQHLRLQGQMPASMRAGIFQSFQKGSQNILVCTDIASRGLDSVHVEVVINYDFPPTLQD YIHRAGRVGRVGSEVPGSVISFVTHPWDVSLVQKIELAARRRRSLPGLASSVGDPLPQKA >ENSMUSP00000115492.1 pep:known chromosome:GRCm38:3:113605067:113629900:-1 gene:ENSMUSG00000027981.14 transcript:ENSMUST00000153853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnpc3 description:RNA-binding region (RNP1, RRM) containing 3 [Source:MGI Symbol;Acc:MGI:1914475] XQSVRVLSDKGRLKHTAFATFPNEKAAIKALTRLHQLKLLGHTLVVEFAKEQDRVHSPCS TSNTEKKKRLDDTVENDKEKKEPDILTVENGIAPNHGLTFPLNSCLKYMYPPPSSTILAN IVNALASVPKFYVQVLHLMNKMNLPTPFGPITARPPMYEDYMPLHAPLPPTSPQPPEEPP LPDEDEDLSSKESEYESSDEEDRQRMNRLMELANLQPKRPKTEKPRHVRKKRKIKDMLNI PSSASHSLHPVLLPSDVFDQPQSVGNKKIEFNISTNMPAAFNKDLETQPNNEEENSDSPD TGLDSNTGFGKIFPKPNLNITEEITEDSDEIPSQFISRKELEKGRISREEMETLSVFRSY EPGEPNCRIYVKNLARHVQEKDLKFIFGRYVDFSSETQRIMFDIRLMKEGRMKGQAFVGL PNEKAAAKALKEANGYVLFGKPMVVQFARSARPKQDSKEGKRK >ENSMUSP00000089792.3 pep:known chromosome:GRCm38:3:113605067:113630149:-1 gene:ENSMUSG00000027981.14 transcript:ENSMUST00000092154.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnpc3 description:RNA-binding region (RNP1, RRM) containing 3 [Source:MGI Symbol;Acc:MGI:1914475] MAGPEPPMPLSRGGPGSASLSPPRGDRTLLVRHLPAELTAEEKEDLLKYFGAQSVRVLSD KGRLKHTAFATFPNEKAAIKALTRLHQLKLLGHTLVVEFAKEQDRVHSPCSTSNTEKKKR LDDTVENDKEKKEPDILTVENGIAPNHGLTFPLNSCLKYMYPPPSSTILANIVNALASVP KFYVQVLHLMNKMNLPTPFGPITARPPMYEDYMPLHAPLPPTSPQPPEEPPLPDEDEDLS SKESEYESSDEEDRQRMNRLMELANLQPKRPKTEKPRHVRKKRKIKDMLNIPSSASHSLH PVLLPSDVFDQPQSVGNKKIEFNISTNMPAAFNKDLETQPNNEEENSDSPDTGLDSNTGF GKIFPKPNLNITEEITEDSDEIPSQFISRKELEKGRISREEMETLSVFRSYEPGEPNCRI YVKNLARHVQEKDLKFIFGRYVDFSSETQRIMFDIRLMKEGRMKGQAFVGLPNEKAAAKA LKEANGYVLFGKPMVVQFARSARPKQDSKEGKRK >ENSMUSP00000102146.1 pep:known chromosome:GRCm38:3:113605464:113630149:-1 gene:ENSMUSG00000027981.14 transcript:ENSMUST00000106536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnpc3 description:RNA-binding region (RNP1, RRM) containing 3 [Source:MGI Symbol;Acc:MGI:1914475] MAGPEPPMPLSRGGPGSASLSPPRGDRTLLVRHLPAELTAEEKEDLLKYFGAQSVRVLSD KGRLKHTAFATFPNEKAAIKALTRLHQLKLLGHTLVVEFAKEQDRVHSPCSTSNTEKKKR LDDTVENDKEKKEPDILTVENGIAPNHGLTFPLNSCLKYMYPPPSSTILANIVNALASVP KFYVQVLHLMNKMNLPTPFGPITARPPMYEDYMPLHAPLPPTSPQPPEEPPLPDEDEDLS SKESEYESSDEEDRQRMNRLMELANLQPKRPKTEKPRHVRKKRKIKDMLNIPSSASHSLH PVLLPSDVFDQPQSVGNKKIEFNISTNMPAAFNKDLETQPNNEEENSDSPDTGLDSNTGF GKIFPKPNLNITEEITEDSDEIPSQFISRKELEKGRISREEMETLSVFRSYEPGEPNCRI YVKNLARHVQEKDLKFIFGRYVDFSSETQRIMFDIRLMKEGRMKGQAFVGLPNEKAAAKA LKEANGYVLFGKPMVVQFARSARPKQDSKEGKRK >ENSMUSP00000102145.1 pep:known chromosome:GRCm38:3:113606336:113630149:-1 gene:ENSMUSG00000027981.14 transcript:ENSMUST00000106535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnpc3 description:RNA-binding region (RNP1, RRM) containing 3 [Source:MGI Symbol;Acc:MGI:1914475] MAGPEPPMPLSRGGPGSASLSPPRGDRTLLVRHLPAELTAEEKEDLLKYFGAQSVRVLSD KGRLKHTAFATFPNEKAAIKALTRLHQLKLLGHTLVVEFAKEQDRVHSPCSTSNTEKKKR LDDTVENDKEKKEPDILTVENGIAPNHGLTFPLNSCLKYMYPPPSSTILANIVNALASVP KFYVQVLHLMNKMNLPTPFGPITARPPMYEDYMPLHAPLPPTSPQPPEEPPLPDEDEDLS SKESEYESSDEEDRQRMNRLMELANLQPKRPKTEKPRHVRKKRKIKDMLNIPSSASHSLH PVLLPSDVFDQPQSVGNKKIEFNISTNMPAAFNKDLETQPNNEEENSDSPDTGLDSNTGF GKIFPKPNLNITEEITEDSDEIPSQFISRKELEKGRISREEMETLSVFRSYEPGEPNCRI YVKNLARHVQEKDLKFIFGRYVDFSSETQRIMFDIRLMKEGRMKGQAFVGLPNEKAAAKA LKEANGYVLFGKPMVVFARSARPKQDSKEGKRK >ENSMUSP00000066690.2 pep:known chromosome:GRCm38:2:147083316:147085445:-1 gene:ENSMUSG00000054160.2 transcript:ENSMUST00000067020.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx2-4 description:NK2 homeobox 4 [Source:MGI Symbol;Acc:MGI:97349] MSLSPKHTTPFSVSDILSPIEETYKKFGGVMDGAPPGLGAPLGAAAYRAPPSGPSSQAAA VAAGMQPPHAMAGHNAAAAAAAAAAAAAAAATYHMPPGVSQFPHSAMGSYCNGGLGNMGE LPAYTDGMRGGAAAAATGWYGANTDPRYSSISRFMGPSAGVNVAGMGSLTGIADAAKSLA PLHAAAPRRKRRVLFSQAQVYELERRFKQQKYLSAPEREHLASMIHLTPTQVKIWFQNHR YKMKRQAKDKAAQQLQQEGGLGPPPPPPPPSPRRVAVPVLVKDGKPCQNGAGTPTPGQGG QQPQAPTPAPELEELSPSPPALHGPGGGLAALDAATGDYGGGVLGANLLYGRTW >ENSMUSP00000120375.1 pep:known chromosome:GRCm38:8:105991337:106015842:1 gene:ENSMUSG00000031901.12 transcript:ENSMUST00000142898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dus2 description:dihydrouridine synthase 2 [Source:MGI Symbol;Acc:MGI:1913619] M >ENSMUSP00000034375.4 pep:known chromosome:GRCm38:8:106011507:106053819:1 gene:ENSMUSG00000031901.12 transcript:ENSMUST00000034375.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dus2 description:dihydrouridine synthase 2 [Source:MGI Symbol;Acc:MGI:1913619] MIVNSLSLCYHNKLILAPMVRVGTLPMRLLALDYGADIVYCEELIDLKMLQCKRVVNEVL STVDFVAPDDRVVFRTCEREQSRVVFQMGTSDAERALAVARLVENDVAGIDVNMGCPKEY STKGGMGAALLSDPDKIEKILSTLVKGTHRPVTCKIRILPSLEDTLNLVKRIERTGISAI AVHGRNRDERPQHPVSCEVIRAIAETLSIPVIANGGSHDHIQQHVDIEDFRQATAASSVM VARAAMWNPSIFLKDGLRPLEEVMQKYIRYAVQYDNHYTNTKYCLCQMLREQLESPQGRL LHAAQSSQEICEAFGLGAFYEETIRELDARRADLLAKTPEAVEEPAEDTSGIIKMAIRFD RRAYPPQITPKMCLLEWCRREKLPQPVYETVQRTIDRMFCSVVTVAEQKYQSTLWDKSKK LAEQTAAIVCLRSQGLPEGRLGEESPSLNKRKREAPDQDPGGPRVQEPALPGEICKKPFV TLDSSEENLLEGC >ENSMUSP00000113781.2 pep:known chromosome:GRCm38:8:106011507:106053840:1 gene:ENSMUSG00000031901.12 transcript:ENSMUST00000119736.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dus2 description:dihydrouridine synthase 2 [Source:MGI Symbol;Acc:MGI:1913619] MIVNSLSLCYHNKLILAPMVRVGTLPMRLLALDYGADIVYCEELIDLKMLQCKRVVNEVL STVDFVAPDDRVVFRTCEREQSRVVFQMRK >ENSMUSP00000103098.1 pep:known chromosome:GRCm38:11:98927818:98974162:1 gene:ENSMUSG00000037992.16 transcript:ENSMUST00000107474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rara description:retinoic acid receptor, alpha [Source:MGI Symbol;Acc:MGI:97856] MASNSSSCPTPGGGHLNGYPVPPYAFFFPPMLGGLSPPGALTSLQHQLPVSGYSTPSPAT IETQSSSSEEIVPSPPSPPPLPRIYKPCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNM VYTCHRDKNCIINKVTRNRCQYCRLQKCFDVGMSKESVRNDRNKKKKEAPKPECSESYTL TPEVGELIEKVRKAHQETFPALCQLGKYTTNNSSEQRVSLDIDLWDKFSELSTKCIIKTV EFAKQLPGFTTLTIADQITLLKAACLDILILRICTRYTPEQDTMTFSDGLTLNRTQMHNA GFGPLTDLVFAFANQLLPLEMDDAETGLLSAICLICGDRQDLEQPDKVDMLQEPLLEALK VYVRKRRPSRPHMFPKMLMKITDLRSISAKGAERVITLKMEIPGSMPPLIQEMLENSEGL DTLSGQSGGGTRDGGGLAPPPGSCSPSLSPSSHRSSPATQSP >ENSMUSP00000103099.2 pep:known chromosome:GRCm38:11:98937698:98974162:1 gene:ENSMUSG00000037992.16 transcript:ENSMUST00000107475.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rara description:retinoic acid receptor, alpha [Source:MGI Symbol;Acc:MGI:97856] MASNSSSCPTPGGGHLNGYPVPPYAFFFPPMLGGLSPPGALTSLQHQLPVSGYSTPSPAT IETQSSSSEEIVPSPPSPPPLPRIYKPCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNM VYTCHRDKNCIINKVTRNRCQYCRLQKCFDVGMSKESVRNDRNKKKKEAPKPECSESYTL TPEVGELIEKVRKAHQETFPALCQLGKYTTNNSSEQRVSLDIDLWDKFSELSTKCIIKTV EFAKQLPGFTTLTIADQITLLKAACLDILILRICTRYTPEQDTMTFSDGLTLNRTQMHNA GFGPLTDLVFAFANQLLPLEMDDAETGLLSAICLICGDRQDLEQPDKVDMLQEPLLEALK VYVRKRRPSRPHMFPKMLMKITDLRSISAKGAERVITLKMEIPGSMPPLIQEMLENSEGL DTLSGQSGGGTRDGGGLAPPPGSCSPSLSPSSHRSSPATQSP >ENSMUSP00000069744.3 pep:known chromosome:GRCm38:11:98937718:98974942:1 gene:ENSMUSG00000037992.16 transcript:ENSMUST00000068133.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rara description:retinoic acid receptor, alpha [Source:MGI Symbol;Acc:MGI:97856] MASNSSSCPTPGGGHLNGYPVPPYAFFFPPMLGGLSPPGALTSLQHQLPVSGYSTPSPAT IETQSSSSEEIVPSPPSPPPLPRIYKPCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNM VYTCHRDKNCIINKVTRNRCQYCRLQKCFDVGMSKESVRNDRNKKKKEAPKPECSESYTL TPEVGELIEKVRKAHQETFPALCQLGKYTTNNSSEQRVSLDIDLWDKFSELSTKCIIKTV EFAKQLPGFTTLTIADQITLLKAACLDILILRICTRYTPEQDTMTFSDGLTLNRTQMHNA GFGPLTDLVFAFANQLLPLEMDDAETGLLSAICLICGDRQDLEQPDKVDMLQEPLLEALK VYVRKRRPSRPHMFPKMLMKITDLRSISAKGAERVITLKMEIPGSMPPLIQEMLENSEGL DTLSGQSGGGTRDGGGLAPPPGSCSPSLSPSSHRSSPATQSP >ENSMUSP00000103097.2 pep:known chromosome:GRCm38:11:98960412:98974942:1 gene:ENSMUSG00000037992.16 transcript:ENSMUST00000107473.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rara description:retinoic acid receptor, alpha [Source:MGI Symbol;Acc:MGI:97856] MYESVEVGGLTPAPNPFLVVDFYNQNRACLLQEKGLPAPGPYSTPLRTPLWNGSNHSIET QSSSSEEIVPSPPSPPPLPRIYKPCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNMVYT CHRDKNCIINKVTRNRCQYCRLQKCFDVGMSKESVRNDRNKKKKEAPKPECSESYTLTPE VGELIEKVRKAHQETFPALCQLGKYTTNNSSEQRVSLDIDLWDKFSELSTKCIIKTVEFA KQLPGFTTLTIADQITLLKAACLDILILRICTRYTPEQDTMTFSDGLTLNRTQMHNAGFG PLTDLVFAFANQLLPLEMDDAETGLLSAICLICGDRQDLEQPDKVDMLQEPLLEALKVYV RKRRPSRPHMFPKMLMKITDLRSISAKGAERVITLKMEIPGSMPPLIQEMLENSEGLDTL SGQSGGGTRDGGGLAPPPGSCSPSLSPSSHRSSPATQSP >ENSMUSP00000129791.1 pep:known chromosome:GRCm38:11:98939711:98974942:1 gene:ENSMUSG00000037992.16 transcript:ENSMUST00000164748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rara description:retinoic acid receptor, alpha [Source:MGI Symbol;Acc:MGI:97856] MASNSSSCPTPGGGHLNGYPVPPYAFFFPPMLGGLSPPGALTSLQHQLPVSGYSTPSPAT IETQSSSSEEIVPSPPSPPPLPRIYKPCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNM VYTCHRDKNCIINKVTRNRCQYCRLQKCFDVGMSKESVRNDRNKKKKEAPKPECSESYTL TPEVGELIEKVRKAHQETFPALCQLGKYTTNNSSEQRVSLDIDLWDKFSELSTKCIIKTV EFAKQLPGFTTLTIADQITLLKAACLDILILRICTRYTPEQDTMTFSDGLTLNRTQMHNA GFGPLTDLVFAFANQLLPLEMDDAETGLLSAICLICGDRQDLEQPDKVDMLQEPLLEALK VYVRKRRPSRPHMFPKMLMKITDLRSISAKGAERVITLKMEIPGSMPPLIQEMLENSEGL DTLSGQSGGGTRDGGGLAPPPGSCSPSLSPSSHRSSPATQSP >ENSMUSP00000020683.3 pep:known chromosome:GRCm38:11:8993137:9011191:-1 gene:ENSMUSG00000020413.11 transcript:ENSMUST00000020683.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hus1 description:HUS1 checkpoint clamp component [Source:MGI Symbol;Acc:MGI:1277962] MKFRAKIVDLACLNHFTRVSNMIAKLAKTCTLRISPEKLNFILCDKLASGGVSMWCELEQ ENFFSEFQMEGVSEENNEIYLELTSENLSRALKTAQNSRALKIKLTNKHFPCLTVSVELQ VSSSSSSRIVVHDIPIKVLPRRLWKDLQEPSIPDCDVSICLPALKMMKSVVEKMRNISNQ LVIEANLKGELNLKIETELVCVTTHFKDLENPLLPSDSVSQNRHPEDMAKVHIDIKKLLQ FLAGQQVTPTKAVCNIVNNRTVHFDLLLEDVSLQYFIPALS >ENSMUSP00000114339.1 pep:known chromosome:GRCm38:11:8993137:9011160:-1 gene:ENSMUSG00000020413.11 transcript:ENSMUST00000129115.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hus1 description:HUS1 checkpoint clamp component [Source:MGI Symbol;Acc:MGI:1277962] MKFRAKIVDLACLNHFTRVSNMIAKLAKTCTLRISPEKLNFILCDKLASGGVSMWCELEQ ENFFSEFQMEGVSEENNEIYLELTSENLSRALKTAQNSRALKIKLTNKHFPCLTVSVELQ VSSSSSSRIVVHDIPIKVLPRRLWKDLQEPSIPDCDVSICLPALKMMKSVVEKMRNISNQ LVIEANLKGELNLKIETELVCVTTHFKDLENPLLPSDSVSQNRHPEDMAKVHIDIKKLLQ FLAGQQVTPTKAVCNIVNNRTVHFDLLLEDVSLQYFIPALS >ENSMUSP00000059106.7 pep:known chromosome:GRCm38:4:150148972:150168482:1 gene:ENSMUSG00000062064.6 transcript:ENSMUST00000059893.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a7 description:solute carrier family 2 (facilitated glucose transporter), member 7 [Source:MGI Symbol;Acc:MGI:3650865] MEDKEIGTPLPLPHSEARLQPTLVLTTLSAAFGSVFQYGYNIAVINTPHKVGTSNRWGPV FQVLKSFYNDTHFERHGTFMDESTLLLLWSCTVSMFPLGGLLGSLVVGLMVNKWGRKGTL LINNVFAITSAVLMGVSKVARAFELIILSRVLVGICAGIAYSTLPMYLGELAPQNLRGAL GTMTEVFVIIGVLLAQIFSLQAILGNATGWPILLALTGVPAVIQLLSLPFFPESPRYTLI EKGDEETARQALRRLRGQNYNVEAEMEEMRTEERTEQAEGRLSVLNLFTFRPLRWQLISI VVLMAGQQLSGINAVNYYADVIYTSAGVDPTQSQYVTLGSGVINLVMTLVSAVIIERLGR RILLLSGYAICCSACLVLTVALLLQSTAPELSYLSIVCVFSYIVGHSIGPSPVPSVVRTE IVLQSSRTAAFTVDGAVHWLTNFIVGLTFPSIQVAIGAYSFLVFAGVCILTAAYIYVVIP ETKGRTFVEINCAFAKRNGVEFPEEKEVATAKPHTPSLPTKETAF >ENSMUSP00000089781.5 pep:known chromosome:GRCm38:10:120781096:120899101:-1 gene:ENSMUSG00000051236.13 transcript:ENSMUST00000092143.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msrb3 description:methionine sulfoxide reductase B3 [Source:MGI Symbol;Acc:MGI:2443538] MSAFNLLHLVTKSQPVAPRACGLPSGSCRDKKNCKVVFSQQELRKRLTPLQYHVTQEKGT ESAFEGEYTHHKDPGIYKCVVCGTPLFKSETKFDSGSGWPAFHDVISSEAIEFTDDFSYG MHRVETSCSQCGAHLGHIFDDGPRPTGKRYCINSASLSFTPADSSEAEGSGIKESGSPAA ADRAEL >ENSMUSP00000115269.1 pep:known chromosome:GRCm38:10:120783894:120871152:-1 gene:ENSMUSG00000051236.13 transcript:ENSMUST00000130950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msrb3 description:methionine sulfoxide reductase B3 [Source:MGI Symbol;Acc:MGI:2443538] MSAFNLLHLVTKSQPVAPRACGLPSGWPAFHDVISSEAIEFTDDFSYGMHRVETSCSQCG AHLGHIFDDGPRPTGKRYCINSASLSFTPADSSEAEGSGIKESGSPAAADRAEL >ENSMUSP00000137063.1 pep:known chromosome:GRCm38:7:20702296:20703216:-1 gene:ENSMUSG00000095768.1 transcript:ENSMUST00000179511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r111 description:vomeronasal 1 receptor 111 [Source:MGI Symbol;Acc:MGI:3645811] MSVHDKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGYKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSRLVARSTNLCSTCVLSIHQFVTLFP LSRGKGKLILRASVQNLASYSCYSCWFFSVLSNIHIPIRVTGPQITDNNTDSKSNLFCST SGFIVGIVLQFAHDATFMSIMIWTSVSMILLLHRHCQRMQDILTPNQNPRGQAETRATRT ILMLVVTFVSFYLLNCICIIFHAFFIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDPC SVLFKC >ENSMUSP00000078503.6 pep:known chromosome:GRCm38:9:109217432:109234754:-1 gene:ENSMUSG00000061701.11 transcript:ENSMUST00000079548.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw20 description:F-box and WD-40 domain protein 20 [Source:MGI Symbol;Acc:MGI:3584372] MEVHLPRLPLMKIFSYLDAYSLLQAAQVNKNWNELASSDVLWRKLCQKRWLFCDMVTLQL LGTETWKQFFVFRTWQEHAKTRAKPEDFTYKEIPAEYGFRANACYISGCGLTRNVQDRSV ICMVSSMTKLSTWDIREGIMTWVSTEQPAYIKLLTTLPEMHIAVTVDTHSTIKLWDCHNR EALATNCLFSSCKLLKAVFSKDDPIVLVGDISGNLYIFRIPDLHLISKVNVFPYGIDELH CSPQKKWVFLIMKHPRVLTKVVYMNSLLRTSEFSAPVSTILKFSLCDKAFWTPRREDRIT QMSRGVPPRPTKFATFDMKLEEIGNKVTVQEYLVASFSLQDYEASPEWMGVSNKDVIVCS TGSSLLLFNIHGLRLQTFHYCPEEILRLWVDPLHVIVTCNDGSMDVYAWEERSLLLRKCY RLQNRRNLPPESFIFKTLCDDVSIIGVMTNSPAPCFLMAYTLASALEN >ENSMUSP00000143126.1 pep:known chromosome:GRCm38:9:109221811:109234724:-1 gene:ENSMUSG00000061701.11 transcript:ENSMUST00000197329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw20 description:F-box and WD-40 domain protein 20 [Source:MGI Symbol;Acc:MGI:3584372] MEVHLPRLPLMKIFSYLDAYSLLQAAQVNKNWNELASSDVLWRKLCQKRWLFCDMVTLQL LGTETWKQFFVFRTWQEHAKTRAKPEDFTYKEIPAEYGFRANACYISGCGLTRNVQDRSV ICMVSSMTKLSTWDIREVGDISGNLYIFRIPDLHLISKVNVFPYGIDELHCSPQKKWVFL IMKHPRVLTKVVYMNSLLRTSEFSAPVSTILKFSLCDKAFWTPRREDRITQMSRGVPPRP TKFATFDMKLEEIGNKVTVQEYLVASFSLQDY >ENSMUSP00000114529.1 pep:known chromosome:GRCm38:17:24718116:24721720:1 gene:ENSMUSG00000044533.15 transcript:ENSMUST00000152407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps2 description:ribosomal protein S2 [Source:MGI Symbol;Acc:MGI:105110] MADDAGAAGGPGGPGGPGLGGRGGFRGGFGSGLRGRGRGRGRGRGRGRGARGGKAEDKEW IPVTKLGRLVKDMKIKSLEEIYLFSLPIKESEIIDFFLGASLKDEVLKIMPVQKQTRAGQ RTRFKAFVAIGDYNGHVGLGVKCSKEVATAIRGAIILAKLSIVPVRRGYWGNKIGKPHTV PCKVTGRCGSVLVRLIPAPRGTGIVSAPVPKKLLMMAGIDDCYTSARGCTATLGNFAKAT FDAISKTYSYLTPDLWKETVFTKSPYQVF >ENSMUSP00000092502.3 pep:known chromosome:GRCm38:17:24720110:24721929:1 gene:ENSMUSG00000044533.15 transcript:ENSMUST00000054289.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps2 description:ribosomal protein S2 [Source:MGI Symbol;Acc:MGI:105110] MADDAGAAGGPGGPGGPGLGGRGGFRGGFGSGLRGRGRGRGRGRGRGRGARGGKAEDKEW IPVTKLGRLVKDMKIKSLEEIYLFSLPIKESEIIDFFLGASLKDEVLKIMPVQKQTRAGQ RTRFKAFVAIGDYNGHVGLGVKCSKEVATAIRGAIILAKLSIVPVRRGYWGNKIGKPHTV PCKVTGRCGSVLVRLIPAPRGTGIVSAPVPKKLLMMAGIDDCYTSARGCTATLGNFAKAT FDAISKTYSYLTPDLWKETVFTKSPYQEFTDHLVKTHTRVSVQRTQAPAVATT >ENSMUSP00000120715.1 pep:known chromosome:GRCm38:17:24720251:24721925:1 gene:ENSMUSG00000044533.15 transcript:ENSMUST00000146867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps2 description:ribosomal protein S2 [Source:MGI Symbol;Acc:MGI:105110] MADDAGAAGGPGGPGGPGLGGRGGFRGGFGSGLRGRGRGRGRGRGRGRGARGGKAEDKEW IPVTKLGRLVKDMKIKSLEEIYLFSLPIKESEIIDFFLGASLKDEVLKIMPVQKQTRAGQ RTRFKAFVAIGDYNGHVGLGVKCSKEVATAIRGAIILAKLSIVPVRRGYWGNKIGKPHTV PCKVTGRCGSVLVRLIPAPRGTGIVSAPVPKKLLMMAGIDDCYTSARGCTATLGNFAKAT FDAISKTYSYLTPDLWKETVFTKSPYQVFGFGIHGSSCENPHQSLCSEDPGSSCGYHIRV FI >ENSMUSP00000131474.1 pep:known chromosome:GRCm38:17:24720063:24721926:1 gene:ENSMUSG00000044533.15 transcript:ENSMUST00000170715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps2 description:ribosomal protein S2 [Source:MGI Symbol;Acc:MGI:105110] MADDAGAAGGPGGPGGPGLGGRGGFRGGFGSGLRGRGRGRGRGRGRGRGARGGKAEDKEW IPVTKLGRLVKDMKIKSLEEIYLFSLPIKESEIIDFFLGASLKDEVLKIMPVQKQTRAGQ RTRFKAFVAIGDYNGHVGLGVKCSKEVATAIRGAIILAKLSIVPVRRGYWGNKIGKPHTV PCKVTGRCGSVLVRLIPAPRGTGIVSAPVPKKLLMMAGIDDCYTSARGCTATLGNFAKAT FDAISKTYSYLTPDLWKETVFTKSPYQEFTDHLVKTHTRVSVQRTQAPAVATT >ENSMUSP00000019833.4 pep:known chromosome:GRCm38:6:38534823:38539449:1 gene:ENSMUSG00000019689.4 transcript:ENSMUST00000019833.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110001J03Rik description:RIKEN cDNA 1110001J03 gene [Source:MGI Symbol;Acc:MGI:1913367] MAALGSPARTLRGLLRELRYLNAATGRPYRDTAAYRYLVKAFRAHRVTSEKLCRAQHELH FQAATYLCLLSSIRQHVALHQEFHGKGERSVEESAGLVGLQLPRQPGGKGWEP >ENSMUSP00000033278.1 pep:known chromosome:GRCm38:7:133674270:133680061:-1 gene:ENSMUSG00000030981.9 transcript:ENSMUST00000033278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp21 description:matrix metallopeptidase 21 [Source:MGI Symbol;Acc:MGI:2664387] MLAASVLRLTLPLCWLVAPQPTQPERLFHSRDRSDLEPSPLSQAKPIADLHDAQSFLLKY GWSEIPSPKESAGVPVGFTLAQAVRRFQKANRLPASGELDSPTLAAMNKPRCGVPDTRLP PRAALPTPPALLTSLGLRPRARQKRFLQMLFPKPDGQQEDASDTGASRAFSKKTLTWRLV GDAYSSQLSGDEQRYIFRLAFRMWSEVTPLDFREDRTAPGTMVDIKLGFGRGRHLGCPRV FDGSGQEFAHAWRLGEIHFDDDEHFTPLSSDTGISLLKVAVHEIGHVLGLPHTYRVGSIM QPNYIPQEPAFELDWADRKAIQRLYGSCKGSFDTVFDWIRKERNQYGEVRVRFNTYFFRN SWYWLYENRNNRTRYGDPLQILTGWRGIPTQSIDAYVHVWSWGKDERYFFKGSQYWRYDS ENDQAHTEDEQGRSYPKLISEGFPGIPSPLDTAFYDRRQQLIYFFKESLVFAFDVNRNQV LNSYPKKMSQVFPAIMPQNHPFRNLDSAYYSYAHNSIFFFKGNSYWKVVSDKDKQQNTRL PLNGLFPKKPVSEKWFDVCDVHTSTLNM >ENSMUSP00000113853.1 pep:known chromosome:GRCm38:7:133674356:133680061:-1 gene:ENSMUSG00000030981.9 transcript:ENSMUST00000122136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp21 description:matrix metallopeptidase 21 [Source:MGI Symbol;Acc:MGI:2664387] MLAASVLRLTLPLCWLVAPQPTQPERLFHSRDRSDLEPSPLSQAKPIADLHDAQSFLLKY GWSEIPSPKESAGVPVGFTLAQAVRRFQKANRLPASGELDSPTLAAMNKPRCGVPDTRLP PRAALPTPPALLTSLGLRPRARQKRFLQMLFPKPDGQQEDASDTGASRAFSKKTLTWRLV GDAYSSQLSGDEQRYIFRLAFRMWSEVTPLDFREDRTAPGTMVDIKLGFGRGRHLGCPRV FDGSGQEFAHAWRLGEIHFDDDEHFTPLSSDTGISLLKVAVHEIGHVLGLPHTYRVGSIM QPNYIPQEPAFELDWADRKAIQRLYGSCKGSFDTVFDWIRKERNQYGEVRVRFNTYFFRN SWYWLYENRNNRTRYGDPLQILTGWRGIPTQSIDAYVHVWSWGKDERYFFKGICI >ENSMUSP00000036739.3 pep:known chromosome:GRCm38:16:43980355:44016436:-1 gene:ENSMUSG00000036292.13 transcript:ENSMUST00000036174.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1c description:GRAM domain containing 1C [Source:MGI Symbol;Acc:MGI:2443024] MEHLLSVEENVQPRSPGRSSVDDAGERDEKFSKAVSFTQESVSRASETEPLDGNSPKRGL GKEDSQSERNVRKSPSLASEKRISRAPSKSLDLNKNEYLSLDKSSTSDSVDEENIPEKDL QGRLYINRVFHISAERMFELLFTSSHFMQRFANSRNIIDVVSTPWTVESGGNQLRTMTYT IVLSNPLTGKYTAATEKQTLYKESREAQFYLVDSEVLTHDVPYHDYFYTLNRYCIVRSAK QRCRLRVSTDLKYRKQPWGLIKSLIEKNSWSSLESYFKKLESDLLMEESVLSQSIEDAGK HSSLRRRRRTLNRTAEPVPKLSSQRSSTDLGFEAKVDVTGKRKTVDSYDTALIVVMSIFL LLLVLLNVTLFLKLSKIEHATQSFYQLHLQGEKSLNLVSDRFSRTENIQKNKDQAHRLKG VLQDSIVMLEQLKSSLIMLQKTFDLLNKNKSGVAVES >ENSMUSP00000110325.1 pep:known chromosome:GRCm38:16:43980355:44027945:-1 gene:ENSMUSG00000036292.13 transcript:ENSMUST00000114677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1c description:GRAM domain containing 1C [Source:MGI Symbol;Acc:MGI:2443024] MEHLLSVEENVQPRSPGRSSVDDAGERDEKFSKAVSFTQESVSRASETEPLDGNSPKRGL GKEDSQSERNVRKSPSLASEKRISRAPSKSLDLNKNEYLSLDKSSTSDSVDEENIPEKDL QGRLYINRVFHISAERMFELLFTSSHFMQRFANSRNIIDVVSTPWTVESGGNQLRTMTYT IVLSNPLTGKYTAATEKQTLYKESREAQFYLVDSEVLTHDVPYHDYFYTLNRYCIVRSAK QRCRLRVSTDLKYRKQPWGLIKSLIEKNSWSSLESYFKKLESDLLMEESVLSQSIEDAGK HSSLRRRRRTLNRTAEPVPKLSSQRSSTDLGFEAKVDVTGKRKTVDSYDTALIVVMSIFL LLLVLLNVTLFLKLSKIEHATQSFYQLHLQGEKSLNLVSDRFSRTENIQKNKDQAHRLKG VLQDSIVMLEQLKSSLIMLQKTFDLLNKNKSGVAVES >ENSMUSP00000137426.1 pep:known chromosome:GRCm38:16:43980350:44013039:-1 gene:ENSMUSG00000036292.13 transcript:ENSMUST00000179565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1c description:GRAM domain containing 1C [Source:MGI Symbol;Acc:MGI:2443024] MEHLLSVEENVQPRSPGRSSVDDAGERDEKFSKAVSFTQESVSRASETEPLDGNSPKRGL GKEDSQSERNVRKSPSLASEKRISRAPSKSLDLNKNEYLSLDKSSTSDSVDEENIPEKDL QGRLYINRVFHISAERMFELLFTSSHFMQRFANSRNIIDVVSTPWTVESGGNQLRTMTYT IVLSNPLTGKYTAATEKQTLYKESREAQFYLVDSEVLTHDVPYHDYFYTLNRYCIVRSAK QRCRLRVSTDLKYRKQPWGLIKSLIEKNSWSSLESYFKKLESDLLMEESVLSQSIEDAGK HSSLRRRRRTLNRTAEPVPKLSSQRSSTDLGFEAKVDVTGKRKTVDSYDTALIVVMSIFL LLLVLLNVTLFLKLSKIEHATQSFYQLHLQGEKSLNLVSDRFSRTENIQKNKDQAHRLKG VLQDSIVMLEQLKSSLIMLQKTFDLLNKNKSGVAVES >ENSMUSP00000028355.4 pep:known chromosome:GRCm38:2:24420560:24475599:-1 gene:ENSMUSG00000026976.15 transcript:ENSMUST00000028355.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax8 description:paired box 8 [Source:MGI Symbol;Acc:MGI:97492] MPHNSIRSGHGGLNQLGGAFVNGRPLPEVVRQRIVDLAHQGVRPCDISRQLRVSHGCVSK ILGRYYETGSIRPGVIGGSKPKVATPKVVEKIGDYKRQNPTMFAWEIRDRLLAEGVCDND TVPSVSSINRIIRTKVQQPFNLPMDSCVATKSLSPGHTLIPSSAVTPPESPQSDSLGSTY SINGLLGIAQPGNDNKRKMDDSDQDSCRLSIDSQSSSSGPRKHLRTDTFSQHHLEALECP FERQHYPEAYASPSHTKGEQGLYPLPLLNSALDDGKATLTSSNTPLGRNLSTHQTYPVVA DPHSPFAIKQETPELSSSSSTPSSLSSSAFLDLQQVGSGGPAGASVPPFNAFPHAASVYG QFTGQALLSGREMVGPTLPGYPPHIPTSGQGSYASSAIAGMVAGSEYSGNAYSHTPYSSY SEAWRFPNSSLLSSPYYYSSTSRPSAPPTSATAFDHL >ENSMUSP00000115194.2 pep:known chromosome:GRCm38:2:24421520:24475097:-1 gene:ENSMUSG00000026976.15 transcript:ENSMUST00000149294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax8 description:paired box 8 [Source:MGI Symbol;Acc:MGI:97492] MPHNSIRSGHGGLNQLGGAFVNGRPLPEVVRQRIVDLAHQGVRPCDISRQLRVSHGCVSK ILGRYYETGSIRPGVIGGSKPKVATPKVVEKIGDYKRQNPTMFAWEIRDRLLAEGVCDND TVPSVSSINRIIRTKVQQPFNLPMDSCVATKSLSPGHTLIPSSAVTPPESPQSDSLGSTY SINGLLGIAQPGNDNKRKMDDSDQDSCRLSIDSQSSSSGPRKHLRTDTFSQHHLEALECP FERQHYPEAYASPSHTKGEQGLYPLPLLNSALDDGKATLTSSNTPLGRNLSTHQTYPVVA APPFWICSKSALGAQQVPRSHPSMPFPMLPPCMGSSRARPSSQGERWWGPRCLDTHPTSP PVDRAAMPLLLSQAWWQVLHIITALHQGQAHHPPLPQPLTICSCHGDSGKNQ >ENSMUSP00000134343.3 pep:known chromosome:GRCm38:2:24421531:24475564:-1 gene:ENSMUSG00000026976.15 transcript:ENSMUST00000153601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax8 description:paired box 8 [Source:MGI Symbol;Acc:MGI:97492] MPHNSIRSGHGGLNQLGGAFVNGRPLPEVVRQRIVDLAHQGVRPCDISRQLRVSHGCVSK ILGRYYETGSIRPGVIGGSKPKVATPKVVEKIGDYKRQNPTMFAWEIRDRLLAEGVCDND TVPSVSSINRIIRTKVQQPFNLPMDSCVATKSLSPGHTLIPSSAVTPPESPQSDSLGSTY SINGLLGIAQPGNDNKRKMDDSDQDSCRLSIDSQSSSSGPRKHLRTDTFSQHHLEALECP FERQHYPEAYASPSHTKGEQGERWWGPRCLDTHPTSPPVDRAAMPLLLSQAWWQEVNILA MPTATPRIPPTVRPGASPTPAC >ENSMUSP00000133316.2 pep:known chromosome:GRCm38:2:24429527:24475574:-1 gene:ENSMUSG00000026976.15 transcript:ENSMUST00000136228.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax8 description:paired box 8 [Source:MGI Symbol;Acc:MGI:97492] MPHNSIRSGHGGLNQLGGAFVNGRPLPEVVRQRIVDLAHQGVRPCDISRQLRVSHGCVSK ILGSRYYETGSIRPGVIGGSKPKVATPKVVEKIGDYKRQNPTMFAWEIRDRLLAEGVCDN DTVPSVSSINRIIRTKVQQPFNLPMDSCVATKSLSPGHTLIPSSAVTPPESPQSDSLGST YSINGLLGIAQPGNDNKRKMDDSDQDSCRLSIDSQSSSSGPRKHLRTDTFSQHHLEALEC PFERQHYPEAYASPSHTKGEQGLYPLPLLNSALDDGKATLTSSNTPLGRNLSTHQTYPVV ADPHSPFAIKQETPELSSSSSTPSSLSSSAFLDLQQVGSGGPAGASVPPFNAFPHAASVY GQFTGQALLSGREMVGPTLPGYPPHIPTSGQGSYASSAIAGMVA >ENSMUSP00000120319.1 pep:known chromosome:GRCm38:2:24441177:24475215:-1 gene:ENSMUSG00000026976.15 transcript:ENSMUST00000153535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax8 description:paired box 8 [Source:MGI Symbol;Acc:MGI:97492] MPHNSIRSGHGGLNQLGGAFVNGRPLPEVVRQRIVDLAHQGVRPCDISRQLRVSHGCVSK ILGSRYYETGSIRPGVIGGSKPKVATPKVVEKIGDYKRQNPTMFAWEIRDRLLAEGVCDN DTVPSVSSINRIIRTKVQQPFNLPMDSCVATKSLSPGHTLIPSSAVTPP >ENSMUSP00000059780.4 pep:known chromosome:GRCm38:3:92570902:92573735:-1 gene:ENSMUSG00000049128.7 transcript:ENSMUST00000053107.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ivl description:involucrin [Source:MGI Symbol;Acc:MGI:96626] MSHQHTLPVTVPAVVQGPLKTVCSPDHIQQEQAKQPTPHPTQCQVFTEIQEKGFPKHEEK RPNPVKDLPDQKCEHQQQPGPQKQQLQVKKSQQELQEQELHLEKQQLPQEPQGLLCLEQQ QQQEPQMQEQHLRQQQQQQQQQQQQQQQQQQQETQEQGLCLGQQKQQQQQQDMLVPQELH LRQHQEKLQDPELHLGQQQKTPEEQKLIPGEKQQELHLGQRHQEPQEQELHLGQKQKQKL HEPELQLGKQQHQKPSEPELPLGKQQQESPEPELPLGKQQQQESPEPELQLGKQQQSHEP DMAGDQKEKQKLHKPELYLRKQQYQESPDPELSLGKQQHQECQEPELQLEEKQHQKPPEP ELHLGKQQESHEPDMAEDLEEKQKLGEPELHLGKQQQQQIEREGYQGPKSLGQSLKQEKA SRKQQLDYSHLEQEKELSDQPLDQALVKKGKQLERKKHELENRTQQEK >ENSMUSP00000140440.1 pep:known chromosome:GRCm38:7:20724525:20730624:-1 gene:ENSMUSG00000095190.3 transcript:ENSMUST00000191073.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10668 description:predicted gene 10668 [Source:MGI Symbol;Acc:MGI:3642587] MTEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELQDRIIKVGSLEE SETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDYRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAEKYEGPPVDIWSLGVLLFLMVSGNLPFQGRYFVDLKQEIISANFSI PSHVSIDILNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000137013.1 pep:known chromosome:GRCm38:7:20724919:20725824:-1 gene:ENSMUSG00000095190.3 transcript:ENSMUST00000179491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10668 description:predicted gene 10668 [Source:MGI Symbol;Acc:MGI:3642587] MTEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELQDRIIKVGSLEE SETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDYRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAEKYEGPPVDIWSLGVLLFLMVSGNLPFQGRYFVDLKQEIISANFSI PSHVSIDILNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000139594.1 pep:known chromosome:GRCm38:7:32334182:32335501:1 gene:ENSMUSG00000101520.1 transcript:ENSMUST00000188355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b12 description:secretoglobin, family 1B, member 12 [Source:MGI Symbol;Acc:MGI:3645736] MKLAGAMVILGAALLLLTSGGDCGICPAIKEDVRLFLNGTSEAYVEYVKQYKDDPVTLEN TAKIKQCVDSTLTEEDRAHATTFIEKIEASPLC >ENSMUSP00000133944.1 pep:known chromosome:GRCm38:7:20771185:20772078:1 gene:ENSMUSG00000094284.1 transcript:ENSMUST00000174538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r112 description:vomeronasal 1 receptor 112 [Source:MGI Symbol;Acc:MGI:3649076] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLILRASVPNFANYSCYSCWFFSVLSNIHIPIKVTGPQIIDNNTYDKSNLFCST SGFIVGIVFLQISHDATFMSIMVWTSVSMVLLLLTPNQDARGQAETRATHTILMLVVTFV SFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPNDPCSVLFKC >ENSMUSP00000142023.1 pep:known chromosome:GRCm38:3:92583867:92586204:-1 gene:ENSMUSG00000074435.10 transcript:ENSMUST00000194965.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smcp description:sperm mitochondria-associated cysteine-rich protein [Source:MGI Symbol;Acc:MGI:96945] MSDPSKTNQCPPPCCPPKPCCPPKPCCPQKPPCCPKSPCCPPKSPCCPPKPCPCPPPCPC PCPATCPCPLKPPCCPQKCSCCPKKCTCCPQPPPCCAQPTCCSSENKTESDSDTSGQTLE KGSQSPQSPPGAQGNWNQKKSNK >ENSMUSP00000096487.5 pep:known chromosome:GRCm38:3:92583867:92588997:-1 gene:ENSMUSG00000074435.10 transcript:ENSMUST00000098888.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smcp description:sperm mitochondria-associated cysteine-rich protein [Source:MGI Symbol;Acc:MGI:96945] MSDPSKTNQCPPPCCPPKPCCPPKPCCPQKPPCCPKSPCCPPKSPCCPPKPCPCPPPCPC PCPATCPCPLKPPCCPQKCSCCPKKCTCCPQPPPCCAQPTCCSSENKTESDSDTSGQTLE KGSQSPQSPPGAQGNWNQKKSNK >ENSMUSP00000109688.1 pep:known chromosome:GRCm38:X:76582610:76602924:-1 gene:ENSMUSG00000079525.1 transcript:ENSMUST00000114054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34d description:claudin 34D [Source:MGI Symbol;Acc:MGI:1922237] MARKHAKWQIGGFAAGAIAWFLCIVSMGLPQWRVWFFQDPMDSKHGMTLVGMWKTCIYHQ ESNSTFNRMCYKYTYQDDFIPLDIRVAQHLLLIASFLGIIATISVIIALWKLNSGRLRKK ATFNPFRLPGILNIIASSFVFLSILYNYLSIIRKDGIAFPPSFHTPSFPDHQKIGTALAM ATLSCFLFLVGGIIPLSFTLPPRSRVRYTI >ENSMUSP00000061851.9 pep:known chromosome:GRCm38:2:155059310:155074497:-1 gene:ENSMUSG00000027597.15 transcript:ENSMUST00000054607.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcy description:S-adenosylhomocysteine hydrolase [Source:MGI Symbol;Acc:MGI:87968] MSDKLPYKVADIGLAAWGRKALDIAENEMPGLMRMREMYSASKPLKGARIAGCLHMTVET AVLIETLVALGAEVRWSSCNIFSTQDHAAAAIAKAGIPVFAWKGETDEEYLWCIEQTLHF KDGPLNMILDDGGDLTNLIHTKYPQLLSGIRGISEETTTGVHNLYKMMSNGILKVPAINV NDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKVAVVAGYGDVGKGCAQALRGFGARVI ITEIDPINALQAAMEGYEVTTMDEACKEGNIFVTTTGCVDIILGRHFEQMKDDAIVCNIG HFDVEIDVKWLNENAVEKVNIKPQVDRYWLKNGRRIILLAEGRLVNLGCAMGHPSFVMSN SFTNQVMAQIELWTHPDKYPVGVHFLPKKLDEAVAEAHLGKLNVKLTKLTEKQAQYLGMP INGPFKPDHYRY >ENSMUSP00000116774.1 pep:known chromosome:GRCm38:2:155065660:155074447:-1 gene:ENSMUSG00000027597.15 transcript:ENSMUST00000137242.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcy description:S-adenosylhomocysteine hydrolase [Source:MGI Symbol;Acc:MGI:87968] MSDKLPYKVADIGLAAWGRKALDIAENEMPGLMRMREMYSASKPLKGARIAGCLHMTVET AVLIETLVALGAEDHAAAAIAKAGIPVFAWKGETD >ENSMUSP00000133307.1 pep:known chromosome:GRCm38:7:20787285:20788208:1 gene:ENSMUSG00000091638.2 transcript:ENSMUST00000173723.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r113 description:vomeronasal 1 receptor 113 [Source:MGI Symbol;Acc:MGI:3647303] MSVHGNSLKTTEEVALQILLLCQFGVGTVANVCLFVHNFSPVLTGSKQRPRQVILRHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKFSGPQITDNNTDSKRKLFCST SGFSVGIVFLQFAYDATFMSIMVWTSVSMVLLLHRHHQRMQHILTPNQNPRGQAESKATR TILMLVFTFVSFYLLNCICIMLHALFMHTHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000126041.1 pep:known chromosome:GRCm38:7:20787285:20788208:1 gene:ENSMUSG00000091638.2 transcript:ENSMUST00000168794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r113 description:vomeronasal 1 receptor 113 [Source:MGI Symbol;Acc:MGI:3647303] MSVHGNSLKTTEEVALQILLLCQFGVGTVANVCLFVHNFSPVLTGSKQRPRQVILRHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKFSGPQITDNNTDSKRKLFCST SGFSVGIVFLQFAYDATFMSIMVWTSVSMVLLLLTPNQNPRGQAESKATRTILMLVFTFV SFYLLNCICIMLHALFMHTHFFVRLVSEILTAVFPSISPLLLIFRDPKDPCSVLFNC >ENSMUSP00000014421.8 pep:known chromosome:GRCm38:5:90227166:90366577:-1 gene:ENSMUSG00000055204.14 transcript:ENSMUST00000014421.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd17 description:ankyrin repeat domain 17 [Source:MGI Symbol;Acc:MGI:1932101] MEKATVPAAAEGEGSPPAAAAVAAPPAAAAAEVGGGARPASSPRGMVRVCDLLLKKKPPQ QQQQQQPPHHKAKRNRTCRPPSSSESSSDSDNSGGGGGGGGGGGGGTSSNNSEEEEDDDD EEEEVSEVESFILDQDDLENPMLETASKLLLSGTADGADLRTVDPETQARLEALLEAAGI GKLSTADGKAFADPEVLRRLTSSVSCALDEAAAALTRMRAESTANAGQSDNRSLAEACSE GDVNAVRKLLIEGRSVNEHTEEGESLLCLACSAGYYELAQVLLAMHANVEDRGIKGDITP LMAAANGGHVKIVKLLLAHKADVNAQSSTGNTALTYACAGGYVDVVKVLLESGASIEDHN ENGHTPLMEAGSAGHVEVARLLLENGAGINTHSNEFKESALTLACYKGHLEMVRFLLEAG ADQEHKTDEMHTALMEACMDGHVEVARLLLDSGAQVNMPADSFESPLTLAACGGHVELAA LLIERGASLEEVNDEGYTPLMEAAREGHEEMVALLLGQGANINAQTEETQETALTLACCG GFLEVADFLIKAGADIELGCSTPLMEAAQEGHLELVKYLLAAGANVHATTATGDTALTYA CENGHTDVADVLLQAGADLEHESEGGRTPLMKAARAGHVCTVQFLISKGANVNRTTANND HTVLSLACAGGHLAVVELLLAHGADPTHRLKDGSTMLIEAAKGGHTSVVCYLLDYPNNLL AAPPPDVTQLTPPSHDLNRAPRVPVQALPMVVPPQEPDKPPANLAATLPVRSKAASKQKS NSHLPANSQDVQGYITNQSPESIVEEAQGKLTELEQRIKEAIEKNAQLQSLELAHADQLT KEKIEELNKTREEQIQKKQKILEELQKVERELQLKTQQQLKKQYLEVKAQRIQLQQQQQQ SCQHLGLFTSVGVGEQLSEGDYARLQQVDPVLLKDEPQQTAAQMGFAPIQPLAMPQALPL ATGPLPPGSIANLTELQGVIVGQPVLGQAQLAGLGQGILTETQQGLMVASPAQTLNDTLD DIMAAVSGRASAMSNTPTHSIAASVSQPQTPTPSPIISPSAMLPIYPAIDIDAQTESNHD TALTLACAGGHEELVQTLLERGASIEHRDKKGFTPLILAATAGHVGVVEILLDNGADIEA QSERTKDTPLSLACSGGRQEVVELLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLN AGAEINSRTGSKLGISPLMLAAMNGHTAAVKLLLDMGSDINAQIETNRNTALTLACFQGR TEVVSLLLDRKANVEHRAKTGLTPLMEAASGGYAEVGRVLLDKGADVNAPPVPSSRDTAL TIAADKGHYKFCELLIGKGAHIDVRNKKGNTPLWLAANGGHLDVVQLLVQATADVDAADN RKITPLMAAFRKGHVKVVRYLVKEVNQFPSDSECMRYIATITDKEMLKKCHLCMESIVQA KDRQAAEANKNASILLEELDLEKLREESRRLALAAKREKRKEKRRKKKEEQRRKLEEIEA KNKENFELQAAQEKEKLKVEEEPEVLTEPPSATTTTTIGISATWTTLAGSHGKRNNTITT TSSKRKNRKNKITPENVQIIFDDPLPISYSQPEKVNGESKSSSTSESGDSDNMRISSCSD ESSNSNSSRKSNNHASAVVTTTMASKKQPSVLVTFPKEERKSVSGKASIKLSETVNEGTS NSLSTCTKSGPSPLSSPNGKLTVASPKRGPKREEGWKEVVRRSKKVSVPSTVISRVIGRG GCNINAIRECTGAHIDIDKQKDKTGDRIITIRGGTESTRQATQLINALIKDPDKEIDELI PKNRLKSSTANSKIGSSAPTTTAANSSLMGIKMTTVALSSTSQTATALTVPAISSASTHK TIKNPVNNVRPGFPVSLPLAYPPPQFAHALLAAQTFQQIRPPRLPMTHFGGTFPPAQSTW GPFPVRPLSPARATNSPKPHMVPRHSNQNSSGSQVNSAGSLTSSPTTTASSSASAVPGTT SNGSPSSPSVRRQLFVTVVKTSNATTTTVTTTASNNSTAPTNATYPMPTAKEHYPVSSPS SPSPPAQPGGVSRNSPLDCGSASPNKGASASEQEASSPPVVEPANSRPPHSSSSSGSSSG HSTQQQPPGSVPQEPRPPLQQSQVPSPDVRMTVPPTATSSAPVAVPSTAPVTYPMPQTQM GCSQPPKMEAPAIRPPSHATAAPHKTPAPVQSSSASVLNVNHIKRPHSVPSSVQLPSTLS TQSACQNSVHPANKPVAPNFSAPLPFGPFSTLFENNPTNAHAFWGGPVVSSQSTPESMLS GKSSYLPNSDPLHQSDTSKAPGFRPPLQRPAPSPSGIVNMDTPYGSVTPSSTHLGNFASS LSGGQMYGPGAPLGGAPLGGAPTAANFNRQHFSPLSLLTPCSSASNESPAQSVSSGVRAP SPAPSSVPLGSEKPSSVSQDRKVPVPIGTERSARIRQTGTSAPSVIGSNLSTSVGHSGIW SFEGIGGNQDKVDWCNPGMGNPMIHRPMSDPGVFSQHQAMERDSTGIVTPSGTFHQHVPA GYMDFPKVGSMPFSVYGNAMLPPVAPIADGAGGPIFNGPHSAEPSWNSLIKMVSSSTENN GPQTVWTGPWAPHMNSVHMNQLG >ENSMUSP00000128960.3 pep:known chromosome:GRCm38:5:90228297:90366564:-1 gene:ENSMUSG00000055204.14 transcript:ENSMUST00000168058.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd17 description:ankyrin repeat domain 17 [Source:MGI Symbol;Acc:MGI:1932101] MEKATVPAAAEGEGSPPAAAAVAAPPAAAAAEVGGGARPASSPRGMVRVCDLLLKKKPPQ QQQQQQPPHHKAKRNRTCRPPSSSESSSDSDNSGGGGGGGGGGGGGTSSNNSEEEEDDDD EEEEVSEVESFILDQDDLENPMLETASKLLLSGTADGADLRTVDPETQARLEALLEAAGI GKLSTADGKAFADPEVLRRLTSSVSCALDEAAAALTRMRAESTANAGQSDNRSLAEACSE GDVNAVRKLLIEGRSVNEHTEEGESLLCLACSAGYYELAQVLLAMHANVEDRGIKGDITP LMAAANGGHVKIVKLLLAHKADVNAQSSTGNTALTYACAGGYVDVVKVLLESGASIEDHN ENGHTPLMEAGSAGHVEVARLLLENGAGINTHSNEFKESALTLACYKGHLEMVRFLLEAG ADQEHKTDEMHTALMEACMDGHVEVARLLLDSGAQVNMPADSFESPLTLAACGGHVELAA LLIERGASLEEVNDEGYTPLMEAAREGHEEMVALLLGQGANINAQTEETQETALTLACCG GFLEVADFLIKAGADIELGCSTPLMEAAQEGHLELVKYLLAAGANVHATTATGDTALTYA CENGHTDVADVLLQAGADLEHESEGGRTPLMKAARAGHVCTVQFLISKGANVNRTTANND HTVLSLACAGGHLAVVELLLAHGADPTHRLKDGSTMLIEAAKGGHTSVVCYLLDYPNNLL AAPPPDVTQLTPPSHDLNRAPRVPVQALPMVVPPQEPDKPPANLAATLPVRSKAASKQKS NSHLPANSQDVQGYITNQSPESIVEEAQGKLTELEQRIKEAIEKNAQLQSLELAHADQLT KEKIEELNKTREEQIQKKQKILEELQKVERELQLKTQQQLKKQYLEVKAQRIQLQQQQQQ SCQHLGLFTSVGVGEQLSEGDYARLQQVDPVLLKDEPQQTAAQMGFAPIQPLAMPQALPL ATGPLPPGSIANLTELQGVIVGQPVLGQAQLAGLGQGILTETQQGLMVASPAQTLNDTLD DIMAVSGRASAMSNTPTHSIAASVSQPQTPTPSPIISPSAMLPIYPAIDIDAQTESNHDT ALTLACAGGHEELVQTLLERGASIEHRDKKGFTPLILAATAGHVGVVEILLDNGADIEAQ SERTKDTPLSLACSGGRQEVVELLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNA GAEINSRTGSKLGISPLMLAAMNGHTAAVKLLLDMGSDINAQIETNRNTALTLACFQGRT EVVSLLLDRKANVEHRAKTGLTPLMEAASGGYAEVGRVLLDKGADVNAPPVPSSRDTALT IAADKGHYKFCELLIGKGAHIDVRNKKGNTPLWLAANGGHLDVVQLLVQATADVDAADNR KITPLMAAFRKGHVKVVRYLVKEVNQFPSDSECMRYIATITDKEMLKKCHLCMESIVQAK DRQAAEANKNASILLEELDLEKLREESRRLALAAKREKRKEKRRKKKEEQRRKLEEIEAK NKENFELQAAQEKEKLKVEEEPEVLTEPPSATTTTTIGISATWTTLAGSHGKRNNTITTT SSKRKNRKNKITPENVQIIFDDPLPISYSQPEKVNGESKSSSTSESGDSDNMRISSCSDE SSNSNSSRKSNNHASAVVTTTMASKKQPSVLVTFPKEERKSVSGKASIKLSETVNEGTSN SLSTCTKSGPSPLSSPNGKLTVASPKRGPKREEGWKEVVRRSKKVSVPSTVISRVIGRGG CNINAIRECTGAHIDIDKQKDKTGDRIITIRGGTESTRQATQLINALIKDPDKEIDELIP KNRLKSSTANSKIGSSAPTTTAANSSLMGIKMTTVALSSTSQTATALTVPAISSASTHKT IKNPVNNVRPGFPVSLPLAYPPPQFAHALLAAQTFQQIRPPRLPMTHFGGTFPPAQSTWG PFPVRPLSPARATNSPKPHMVPRHSNQNSSGSQVNSAGSLTSSPTTTASSSASAVPGTTS NGSPSSPSVRRQLFVTVVKTSNATTTTVTTTASNNSTAPTNATYPMPTAKEHYPVSSPSS PSPPAQPGGVSRNSPLDCGSASPNKGASASEQEASSPPVVEPANSRPPHSSSSSGSSSGH STQQQPPGSVPQEPRPPLQQSQVPSPDVRMTVPPTATSSAPVAVPSTAPVTYPMPQTQMG CSQPPKMEAPAIRPPSHATAAPHKTPAPVQSSSASVLNVNHIKRPHSVPSSVQLPSTLST QSACQNSVHPANKPVAPNFSAPLPFGPFSTLFENNPTNAHAFWGGPVVSSQSTPESMLSG KSSYLPNSDPLHQSDTSKAPGFRPPLQRPAPSPSGIVNMDTPYGSVTPSSTHLGNFASSL SGGQMYGPGAPLGGAPLGGAPTAANFNRQHFSPLSLLTPCSSASNESPAQSVSSGVRAPS PAPSSVPLGSEKPSSVSQDRKVPVPIGTERSARIRQTGTSAPSVIGSNLSTSVGHSGIWS FEGIGGNQDKVDWCNPGMGNPMIHRPMSDPGVFSQHQAMERDSTGIVTPSGTFHQHVPAG YMDFPKVGSMPFSVYGNAMLPPVAPIADGAGGPIFNGPHSAEPSWNSLIKMVSSSTENNG PQTVWTGPWAPHMNSVHMNQLG >ENSMUSP00000080587.6 pep:known chromosome:GRCm38:5:90228465:90366228:-1 gene:ENSMUSG00000055204.14 transcript:ENSMUST00000081914.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd17 description:ankyrin repeat domain 17 [Source:MGI Symbol;Acc:MGI:1932101] MEKATVPAAAEGEGSPPAAAAVAAPPAAAAAEVGGGARPASSPRGMVRVCDLLLKKKPPQ QQQQQQPPHHKAKRNRTCRPPSSSESSSDSDNSGGGGGGGGGGGGGTSSNNSEEEEDDDD EEEEVSEVESFILDQDDLENPMLETASKLLLSGTADGADLRTVDPETQARLEALLEAAGI GKLSTADGKAFADPEVLRRLTSSVSCALDEAAAALTRMRAESTANAGQSDNRSLAEACSE GDVNAVRKLLIEGRSVNEHTEEGESLLCLACSAGYYELAQVLLAMHANVEDRGIKGDITP LMAAANGGHVKIVKLLLAHKADVNAQSSTGNTALTYACAGGYVDVVKVLLESGASIEDHN ENGHTPLMEAGSAGHVEVARLLLENGAGINTHSNEFKESALTLACYKGHLEMVRFLLEAG ADQEHKTDEMHTALMEACMDGHVEVARLLLDSGAQVNMPADSFESPLTLAACGGHVELAA LLIERGASLEEVNDEGYTPLMEAAREGHEEMVALLLGQGANINAQTEETQETALTLACCG GFLEVADFLIKAGADIELGCSTPLMEAAQEGHLELVKYLLAAGANVHATTATGDTALTYA CENGHTDVADVLLQAGADLEHESEGGRTPLMKAARAGHVCTVQFLISKGANVNRTTANND HTVLSLACAGGHLAVVELLLAHGADPTHRLKDGSTMLIEAAKGGHTSVVCYLLDYPNNLL AAPPPDVTQLTPPSHDLNRAPRVPVQALPMVVPPQEPDKPPANLAATLPVRSKAVSGRAS AMSNTPTHSIAASVSQPQTPTPSPIISPSAMLPIYPAIDIDAQTESNHDTALTLACAGGH EELVQTLLERGASIEHRDKKGFTPLILAATAGHVGVVEILLDNGADIEAQSERTKDTPLS LACSGGRQEVVELLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNAGAEINSRTGS KLGISPLMLAAMNGHTAAVKLLLDMGSDINAQIETNRNTALTLACFQGRTEVVSLLLDRK ANVEHRAKTGLTPLMEAASGGYAEVGRVLLDKGADVNAPPVPSSRDTALTIAADKGHYKF CELLIGKGAHIDVRNKKGNTPLWLAANGGHLDVVQLLVQATADVDAADNRKITPLMAAFR KGHVKVVRYLVKEVNQFPSDSECMRYIATITDKEMLKKCHLCMESIVQAKDRQAAEANKN ASILLEELDLEKLREESRRLALAAKREKRKEKRRKKKEEQRRKLEEIEAKNKENFELQAA QEKEKLKVEEEPEVLTEPPSATTTTTIGISATWTTLAGSHGKRNNTITTTSSKRKNRKNK ITPENVQIIFDDPLPISYSQPEKVNGESKSSSTSESGDSDNMRISSCSDESSNSNSSRKS NNHASAVVTTTMASKKQPSVLVTFPKEERKSVSGKASIKLSETVNEGTSNSLSTCTKSGP SPLSSPNGKLTVASPKRGPKREEGWKEVVRRSKKVSVPSTVISRVIGRGGCNINAIRECT GAHIDIDKQKDKTGDRIITIRGGTESTRQATQLINALIKDPDKEIDELIPKNRLKSSTAN SKIGSSAPTTTAANSSLMGIKMTTVALSSTSQTATALTVPAISSASTHKTIKNPVNNVRP GFPVSLPLAYPPPQFAHALLAAQTFQQIRPPRLPMTHFGGTFPPAQSTWGPFPVRPLSPA RATNSPKPHMVPRHSNQNSSGSQVNSAGSLTSSPTTTASSSASAVPGTTSNGSPSSPSVR RQLFVTVVKTSNATTTTVTTTASNNSTAPTNATYPMPTAKEHYPVSSPSSPSPPAQPGGV SRNSPLDCGSASPNKGASASEQEASSPPVVEPANSRPPHSSSSSGSSSGHSTQQQPPGSV PQEPRPPLQQSQVPSPDVRMTVPPTATSSAPVAVPSTAPVTYPMPQTQMGCSQPPKMEAP AIRPPSHATAAPHKTPAPVQSSSASVLNVNHIKRPHSVPSSVQLPSTLSTQSACQNSVHP ANKPVAPNFSAPLPFGPFSTLFENNPTNAHAFWGGPVVSSQSTPESMLSGKSSYLPNSDP LHQSDTSKAPGFRPPLQRPAPSPSGIVNMDTPYGSVTPSSTHLGNFASSLSGGQMYGPGA PLGGAPLGGAPTAANFNRQHFSPLSLLTPCSSASNESPAQSVSSGVRAPSPAPSSVPLGS EKPSSVSQDRKVPVPIGTERSARIRQTGTSAPSVIGSNLSTSVGHSGIWSFEGIGGNQDK VDWCNPGMGNPMIHRPMSDPGVFSQHQAMERDSTGIVTPSGTFHQHVPAGYMDFPKVGSM PFSVYGNAMLPPVAPIADGAGGPIFNGPHSAEPSWNSLIKMVSSSTENNGPQTVWTGPWA PHMNSVHMNQLG >ENSMUSP00000142575.1 pep:known chromosome:GRCm38:5:90228741:90339743:-1 gene:ENSMUSG00000055204.14 transcript:ENSMUST00000197021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd17 description:ankyrin repeat domain 17 [Source:MGI Symbol;Acc:MGI:1932101] MVETAAEMEAYVLEDILEVESFILDQDDLENPMLETASKLLLSGTADGADLRTVDPETQA RLEALLEAAGIGKLSTADGKAFADPEVLRRLTSSVSCALDEAAAALTRMRAESTANAGQS DNRSLAEACSEGDVNAVRKLLIEGRSVNEHTEEGESLLCLACSAGYYELAQVLLAMHANV EDRGIKGDITPLMAAANGGHVKIVKLLLAHKADVNAQSSTGNTALTYACAGGYVDVVKVL LESGASIEDHNENGHTPLMEAGSAGHVEVARLLLENGAGINTHSNEFKESALTLACYKGH LEMVRFLLEAGADQEHKTDEMHTALMEACMDGHVEVARLLLDSGAQVNMPADSFESPLTL AACGGHVELAALLIERGASLEEVNDEGYTPLMEAAREGHEEMVALLLGQGANINAQTEET QETALTLACCGGFLEVADFLIKAGADIELGCSTPLMEAAQEGHLELVKYLLAAGANVHAT TATGDTALTYACENGHTDVADVLLQAGADLEHESEGGRTPLMKAARAGHVCTVQFLISKG ANVNRTTANNDHTVLSLACAGGHLAVVELLLAHGADPTHRLKDGSTMLIEAAKGGHTSVV CYLLDYPNNLLAAPPPDVTQLTPPSHDLNRAPRVPVQALPMVVPPQEPDKPPANLAATLP VRSKAASKQKSNSHLPANSQDVQGYITNQSPESIVEEAQGKLTELEQRIKEAIEKNAQLQ SLELAHADQLTKEKIEELNKTREEQIQKKQKILEELQKVERELQLKTQQQLKKQYLEVKA QRIQLQQQQQQSCQHLGLFTSVGVGEQLSEGDYARLQQVDPVLLKDEPQQTAAQMGFAPI QPLAMPQALPLATGPLPPGSIANLTELQGVIVGQPVLGQAQLAGLGQGILTETQQGLMVA SPAQTLNDTLDDIMAAVSGRASAMSNTPTHSIAASVSQPQTPTPSPIISPSAMLPIYPAI DIDAQTESNHDTALTLACAGGHEELVQTLLERGASIEHRDKKGFTPLILAATAGHVGVVE ILLDNGADIEAQSERTKDTPLSLACSGGRQEVVELLLARGANKEHRNVSDYTPLSLAASG GYVNIIKILLNAGAEINSRTGSKLGISPLMLAAMNGHTAAVKLLLDMGSDINAQIETNRN TALTLACFQGRTEVVSLLLDRKANVEHRAKTGLTPLMEAASGGYAEVGRVLLDKGADVNA PPVPSSRDTALTIAADKGHYKFCELLIGKGAHIDVRNKKGNTPLWLAANGGHLDVVQLLV QATADVDAADNRKITPLMAAFRKGHVKVVRYLVKEVNQFPSDSECMRYIATITDKEMLKK CHLCMESIVQAKDRQAAEANKNASILLEELDLEKLREESRRLALAAKREKRKEKRRKKKE EQRRKLEEIEAKNKENFELQAAQEKEKLKVEEEPEVLTEPPSATTTTTIGISATWTTLAG SHGKRNNTITTTSSKRKNRKNKITPENVQIIFDDPLPISYSQPEKVNGESKSSSTSESGD SDNMRISSCSDESSNSNSSRKSNNHASAVVTTTMASKKQPSVLVTFPKEERKSVSGKASI KLSETVNEGTSNSLSTCTKSGPSPLSSPNGKLTVASPKRGPKREEGWKEVVRRSKKVSVP STVISRVIGRGGCNINAIRECTGAHIDIDKQKDKTGDRIITIRGGTESTRQATQLINALI KDPDKEIDELIPKNRLKSSTANSKIGSSAPTTTAANSSLMGIKMTTVALSSTSQTATALT VPAISSASTHKTIKNPVNNVRPGFPVSLPLAYPPPQFAHALLAAQTFQQIRPPRLPMTHF GGTFPPAQSTWGPFPVRPLSPARATNSPKPHMVPRHSNQNSSGSQVNSAGSLTSSPTTTA SSSASAVPGTTSNGSPSSPSVRRQLFVTVVKTSNATTTTVTTTASNNSTAPTNATYPMPT AKEHYPVSSPSSPSPPAQPGGVSRNSPLDCGSASPNKGASASEQEASSPPVVEPANSRPP HSSSSSGSSSGHSTQQQPPGSVPQEPRPPLQQSQVPSPDVRMTVPPTATSSAPVAVPSTA PVTYPMPQTQMGCSQPPKMEAPAIRPPSHATAAPHKTPAPVQSSSASVLNVNHIKRPHSV PSSVQLPSTLSTQSACQNSVHPANKPVAPNFSAPLPFGPFSTLFENNPTNAHAFWGGPVV SSQSTPESMLSGKSSYLPNSDPLHQSDTSKAPGFRPPLQRPAPSPSGIVNMDTPYGSVTP SSTHLGNFASSLSGGQMYGPGAPLGGAPLGGAPTAANFNRQHFSPLSLLTPCSSASNESP AQSVSSGVRAPSPAPSSVPLGSEKPSSVSQDRKVPVPIGTERSARIRQTGTSAPSVIGSN LSTSVGHSGIWSFEGIGGNQDKVDWCNPGMGNPMIHRPMSDPGVFSQHQAMERDSTGIVT PSGTFHQHVPAGYMDFPKVGSMPFSVYGNAMLPPVAPIADGAGGPIFNGPHSAEPSWNSL IKMVSSSTENNGPQTVWTGPWAPHMNSVHMNQLG >ENSMUSP00000067647.2 pep:known chromosome:GRCm38:4:135495987:135537803:1 gene:ENSMUSG00000028801.14 transcript:ENSMUST00000063707.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stpg1 description:sperm tail PG rich repeat containing 1 [Source:MGI Symbol;Acc:MGI:1926056] MSQKFANLDNVVLRADLDKPKKHADRVQKGFTAAYPTQSSIPYRHRTSIIPESEKKGFNS QARRFYSKKDDVPGPGFYNVIHQSPVFSSASLSKRGTCTFPSTCARLGPIISKNPAANAY TIRSDLASKKDFSNSCSSMFQLPSFMKVEKSETPAPNHYNASIASCRQKNNVCARAGFLS KTPRGFIPSSASGGPAPGHYNVNESLVRQSPKFLMSCFKSRTGRGLKPTSIGPGPGYYNP NDQTKILKKSYFPKTTLLNFSAHPLPLPPKPPLPGPGQYEIVNYEGPPKHFISSASFVSN TSRWPMKSSKPNLPGPATYKPEIPGKQSFLYNEDNKWVPAV >ENSMUSP00000015481.5 pep:known chromosome:GRCm38:2:30171493:30174069:1 gene:ENSMUSG00000015337.5 transcript:ENSMUST00000015481.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Endog description:endonuclease G [Source:MGI Symbol;Acc:MGI:1261433] MRALRAGLTLALGAGLGAAAEHWRRREGKAPGLLGRVPLLPVVAADLPALPGGPAGGTGE LAKYGLPGVAQLRSRESYVLSYDPRTRGALWVLEQLRPERLRGDGDRSACDFREDDSVHA YHRATNADYRGSGFDRGHLAAAANHRWSQRAMDDTFYLSNVAPQVPHLNQNAWNNLERYS RSLTRTYQNVYVCTGPLFLPRTEADGKSYVKYQVIGKNHVAVPTHFFKVLILEAAGGQIE LRSYVMPNAPVDETIPLERFLVPIESIERASGLLFVPNILARAGNLKAITAGSK >ENSMUSP00000096333.1 pep:known chromosome:GRCm38:7:20811221:20812186:-1 gene:ENSMUSG00000094085.1 transcript:ENSMUST00000098737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r114 description:vomeronasal 1 receptor 114 [Source:MGI Symbol;Acc:MGI:3642588] MSVRGKSLKTTEEVALQIILLCQFGVGTMANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTVFPNNMMAFAPRNPPTELKCKLKFFSHLVTRSTNLCSTCVLSIHQFVILVP ISRGKLLLRASVPNLVSYSCYGCWFFSVLSNIHIPIKVTGPQITDNNTDSKSKFFCSTSG FTVRIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAESRATQTI LIVVVTFVSFYLLNFICIIFHTFFIHYNLFIRLLGEILAAGFPTISPLLLIFRDPKNPCL ELFHCFKPESLQNLKHRRQLH >ENSMUSP00000109904.2 pep:known chromosome:GRCm38:2:25456838:25458776:1 gene:ENSMUSG00000015093.15 transcript:ENSMUST00000114265.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clic3 description:chloride intracellular channel 3 [Source:MGI Symbol;Acc:MGI:1916704] MAETTKLQLFVKASEDGESVGHCPSCQRLFMVLLLKGVPFTLTTVDTRRALDVLKDFAPG SQLPILLYDGDVKTDTLQIEEFLEETLGPPDFPSLAPRYRESNTAGNDIFHKFSAFIKNP VPTQDNALYQQLLRALTRLDSYLRAPLDHELAQEPHLRESHRRFLDGDQFTLADCSLLPK LHIVDTVCAHFRQLPIPAELSCVRRYLDSALQKKEFKYTCPHSAEILAAYQPAVHPR >ENSMUSP00000099982.2 pep:known chromosome:GRCm38:2:25456849:25458776:1 gene:ENSMUSG00000015093.15 transcript:ENSMUST00000102918.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clic3 description:chloride intracellular channel 3 [Source:MGI Symbol;Acc:MGI:1916704] MAETTKLQLFASEDGESVGHCPSCQRLFMVLLLKGVPFTLTTVDTRRALDVLKDFAPGSQ LPILLYDGDVKTDTLQIEEFLEETLGPPDFPSLAPRYRESNTAGNDIFHKFSAFIKNPVP TQDNALYQQLLRALTRLDSYLRAPLDHELAQEPHLRESHRRFLDGDQFTLADCSLLPKLH IVDTVCAHFRQLPIPAELSCVRRYLDSALQKKEFKYTCPHSAEILAAYQPAVHPR >ENSMUSP00000038438.8 pep:known chromosome:GRCm38:8:119479602:119501698:-1 gene:ENSMUSG00000034224.14 transcript:ENSMUST00000036748.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a8 description:solute carrier family 38, member 8 [Source:MGI Symbol;Acc:MGI:2685433] MEGQPRGSRGPLEKPLPAATHPTLSSLGAVFILLKSALGAGLLNFPWAFYKAGGMLPTFL VALVSLVFLISGLVILGYAASVSGQTTYQGVVRELCGPAMGKLCEICFLTNLLMISVAFL RVIGDQLEKLCDSLLPDAPQPWYAAQNFTLPLISMLVIFPLSALREIALQKYTSILGTLA ACYLALVITVQYYLWPQGLIRQPGPLLSPSPWTSVFSVFPTICFGFQCHEAAVSIYCSMW NQSLSHWTLVSVLSLLACCLVYTLTGVYGFLTFGPEVSADILMSYPGNDTAIIVARVLFA VSIVTVYPIVLFLGRSVMQDFWKKSYWATRGPPVLADPSGPWVRLPLTFLWVVVTLTMAL FLPDLSEIISIIGGVSSFFIFIFPGLCLICAVDTEPMGPRVKCCLEAWGILSVLVGTFIF GQSTAVAMVELL >ENSMUSP00000123094.1 pep:known chromosome:GRCm38:8:119496085:119501695:-1 gene:ENSMUSG00000034224.14 transcript:ENSMUST00000133821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a8 description:solute carrier family 38, member 8 [Source:MGI Symbol;Acc:MGI:2685433] MEGQPRGSRGPLEKPLPAATHPTLSSLGAVFILLKSALGAGLLNFPWAFYKAGGMLPTFL VALVSLVFLISGLVILGYAASVSGQTTYQGVVRELCGPAMGKLCEICFLTNLLMISVAFL RVIGDQLEKLCDSLLPDAPQPWYAAQNFTLPLISMLVIFPLSALR >ENSMUSP00000119987.1 pep:known chromosome:GRCm38:8:119494200:119501294:-1 gene:ENSMUSG00000034224.14 transcript:ENSMUST00000138061.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a8 description:solute carrier family 38, member 8 [Source:MGI Symbol;Acc:MGI:2685433] MEGQPRGSRGPLEKPLPAATHPTLSSLGAVFILLKSALGAGLLNFPWAFYKAGGMLPTFL VALVSLVFLISGLVILGYAASVSGQTTYQGVVRELCGPAMGKLCEICFLTNLLMISVAFL RVIGDQLEKLCDSLLPDAPQPWYAAQNFTLPLISMLVIFPLSALREIALQKYTSILGTLA ACYLALVITVQYYLWPQGLIRQPGPL >ENSMUSP00000121251.1 pep:known chromosome:GRCm38:8:119485521:119496987:-1 gene:ENSMUSG00000034224.14 transcript:ENSMUST00000132838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a8 description:solute carrier family 38, member 8 [Source:MGI Symbol;Acc:MGI:2685433] LTAVNPSPASPLTSDHLACDSRSLYPQAACRSLACRLHLPSLLVHISHLAGPLVLLLRVC DSLLPDAPQPWYAAQNFTLPLISMLVIFPLSALREIALQKYTSILGTLAACYLALVITVQ YYLWPQGLIRQPGPLLSPSPWTSVFSVFPTICFGFQCHEAAVSIYCSMWNQSLSHWTLVS VLSLLACCLVYTLTGVYGFLTFGPEVSADILMSYPGNDTAIIVARVLFAVSIVTVYPIVL FLGRSVMQDFWKKSYWATRGPPVLADPSGPWVRLPLTFLWVVVTLTMAL >ENSMUSP00000034346.7 pep:known chromosome:GRCm38:8:104734003:104741630:1 gene:ENSMUSG00000055730.15 transcript:ENSMUST00000034346.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces2a description:carboxylesterase 2A [Source:MGI Symbol;Acc:MGI:2142491] MPLARLPGWLCVVACGLLLLLQHVHGQDSASPIRNTHRGQVRGSFVHVKDTKSGVHAFLG IPFAKPPVGLLRFAPPEDPEPWSGVRDGTSQPAMCLQPDIMNLEDAKEMNLILPPISMSE DCLYLNIYTPTHAQEGSNLPVMVWIHGGGLVVGSASMNDVSKLAATEEIVIVAIQYRLGV LGFFSTGDQHARGNWGYLDQVAALRWVQKNIAYFGGNRDRVTIFGVSAGGTSVSSHILSP MSKGLFHGAIMQSGVALLPDLISDTSEVVYKTVANLSGCEATDSEALIHCLRAKSKQEIL AINQVFKMIPAVVDGEFLPKHPQELLTSMDFHPVPSIIGVNTDECGWGVPMFMGLDHIIK NITRETLPAVLKNTAARMMLPPECSHLLVEEYMGDTEDPETLQAQFREMLGDFMFVIPAL QVAHFQRSQAPVYFYEFQHLSSFIKHVRPSHVKADHGDDVAFVFGSYLWDMNLDLTEEEE LLKRMMMKYWANFARNGNPNSEGLPSWPVLDHDEQYLQLDTQPAVGRALKARRLQFWTKT LPQKIQELKGSQDKHAEL >ENSMUSP00000127346.2 pep:known chromosome:GRCm38:8:104734018:104741634:1 gene:ENSMUSG00000055730.15 transcript:ENSMUST00000164182.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces2a description:carboxylesterase 2A [Source:MGI Symbol;Acc:MGI:2142491] MPLARLPGWLCVVACGLLLLLQHVHGQDSASPIRNTHRGQVRGSFVHVKDTKSGVHAFLG IPFAKPPVGLLRFAPPEDPEPWSGVRDGTSQPAMCLQPDIMNLEDAKEMNLILPPISMSE DCLYLNIYTPTHAQEGSNLPVMVWIHGGGLVVGSASMNDVSKLAATEEIVIVAIQYRLGV LGFFSTGDQHARGNWGYLDQVAALRWVQKNIAYFGGNRDRVTIFGVSAGGTSVSSHILSP MSKGLFHGAIMQSGVALLPDLISDTSEVVYKVFKMIPAVVDGEFLPKHPQELLTSMDFHP VPSIIGVNTDECGWGVPMFMGLDHIIKNITRETLPAVLKNTAARMMLPPECSHLLVEEYM GDTEDPETLQAQFREMLGDFMFVIPALQVAHFQRSQAPVYFYEFQHLSSFIKHVRPSHVK ADHGDDVAFVFGSYLWDMNLDLTEEEELLKRMMMKYWANFARNGNPNSEGLPSWPVLDHD EQYLQLDTQPAVGRALKARRLQFWTKTLPQKIQELKGSQDKHAEL >ENSMUSP00000034034.2 pep:known chromosome:GRCm38:8:74873173:74893506:1 gene:ENSMUSG00000031621.9 transcript:ENSMUST00000034034.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isx description:intestine specific homeobox [Source:MGI Symbol;Acc:MGI:1918847] MAGPTIHRDMEKSSGYCEAPENLGLSFSIEAILKKPTERRSLPRPQSICKEDSRQTTIPG SKLERPPQDQPQEEKKNKRRVRTTFTTEQLQELEKLFHFTHYPDIHVRSQLASRINLPEA RVQIWFQNQRAKWRKQEKSGNLSAPQQPGEAGLALPSNMDVSGPVLTPTAMTTLVPPTEC CLLSQTQLPSSWFPTQIPLVPWHPWDLQPLPGPLTQHPCVPTFMFPPLHPKWGSICATST >ENSMUSP00000134368.1 pep:known chromosome:GRCm38:8:74873540:74893147:1 gene:ENSMUSG00000031621.9 transcript:ENSMUST00000174427.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isx description:intestine specific homeobox [Source:MGI Symbol;Acc:MGI:1918847] MAGPTIHRDMEKSSGYCEAPENLGLSFSIEAILKKPTERRSLPRPQSICKEDSRQTTIPG SKLERPPQDQPQEEKKNKRRVRTTFTTEQLQELEKLFHFTHYPDIHVRSQLASRINLPEA RVQIWFQNQRAKWRKQEKSGNLSAPQQPGSCADTHCYDYIGTSHRMLPTLSDSAPFKLVP YTDSPCPMAPMGPTAPAWPSHPASLCPYLHVPTPTPQVGQHLCNFNIGTDFSLSKQATLL SQ >ENSMUSP00000097793.4 pep:known chromosome:GRCm38:2:30173471:30178450:-1 gene:ENSMUSG00000039660.16 transcript:ENSMUST00000100220.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D2Wsu81e description:DNA segment, Chr 2, Wayne State University 81, expressed [Source:MGI Symbol;Acc:MGI:106544] MAERPRKRPCGPGEHGQRVEWRKWKQQKKEEKKKWKDLKIMKKLERQRAQEEEAKRQEEE EEAAAQRSNQGRPYTLSVALPGSILDNAQSPELRTYLAGQIARACTIFCVDEIVVFDEEG QDTKSVEGEFRGVGKKGQACVQLARILQYLECPQYLRKAFFPKHQDLQFAGILNPLDSPH HMRQDEESEFREGVVVDRPTKAGHGSLVNCGMKKEVKIDKKLDPGLRVTVRLNQQQLPEC KTYKGTVVSSQDPRTKAGLYWGYTVRLASCLSAVFAEAPFQDGYDLTIGTSERGSDVASA QLPSFRHALVVFGGLQGLEAAVDADPNLEVADPSVLFDFYVNTCLSQGSRTIRTEEAILI SLAALQPGLTQVGSRPASPLSGPRM >ENSMUSP00000101308.2 pep:known chromosome:GRCm38:4:150187015:150198470:-1 gene:ENSMUSG00000028972.11 transcript:ENSMUST00000105683.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car6 description:carbonic anhydrase 6 [Source:MGI Symbol;Acc:MGI:1333786] MFNPSLKPLSLVNYEKENLEFTMTNNGHTVSIDLPPSMYLETSDGTEFISKAFHFHWGGR DWELSGSEHTIDGIRSIMEAHFVHFNKEYGTYENAKDQKNGLAVLAVLFKIDEYAENTYY SDIISALKNIEKPGETTTLKDTTIRNLLPKDVHHYYTYPGSLTTPPCTENVQWFVLRDKV TLSKAQVVTIENSVMDHNNNTIQNGYRSTQPNNHRVVEANFLNVQDMYSSYHLYLKNMQK EILQPKKQKKTKKNRHFWSRK >ENSMUSP00000030817.4 pep:known chromosome:GRCm38:4:150187015:150201332:-1 gene:ENSMUSG00000028972.11 transcript:ENSMUST00000030817.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car6 description:carbonic anhydrase 6 [Source:MGI Symbol;Acc:MGI:1333786] MRALVSVVSLFFLGIQAHSDWSYSGDDGVGESQWSEQYPSCGGERQSPIDVKTEEVMFNP SLKPLSLVNYEKENLEFTMTNNGHTVSIDLPPSMYLETSDGTEFISKAFHFHWGGRDWEL SGSEHTIDGIRSIMEAHFVHFNKEYGTYENAKDQKNGLAVLAVLFKIDEYAENTYYSDII SALKNIEKPGETTTLKDTTIRNLLPKDVHHYYTYPGSLTTPPCTENVQWFVLRDKVTLSK AQVVTIENSVMDHNNNTIQNGYRSTQPNNHRVVEANFLNVQDMYSSYHLYLKNMQKEILQ PKKQKKTKKNRHFWSRK >ENSMUSP00000064323.6 pep:known chromosome:GRCm38:2:90940397:91019497:1 gene:ENSMUSG00000005506.16 transcript:ENSMUST00000068726.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf1 description:CUGBP, Elav-like family member 1 [Source:MGI Symbol;Acc:MGI:1342295] MNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLRELFEQYGAVYEINILRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQMKPADSEKNNAVEDRKLFIGMISKKCT ENDIRVMFSSFGQIEECRILRGPDGLSRGCAFVTFTTRTMAQTAIKAMHQAQTMEGCSSP MVVKFADTQKDKEQKRMAQQLQQQMQQISAASVWGNLAGLNTLGPQYLALYLQLLQQTAS SGNLNTLSSLHPMGGLNAMQLQNLAALAAAASAAQNTPSGTNALTTSSSPLSVLTSSAGS SPSSSSSNSVNPIASLGALQTLAGATAGLNVGSLAGMAALNGGLGSSGLSNGTGSTMEAL TQAYSGIQQYAAAALPTLYNQNLLTQQSIGAAGSQKEGPEGANLFIYHLPQEFGDQDLLQ MFMPFGNVVSAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQSMNGFQIGMKRLKVQLKRS KNDSKPY >ENSMUSP00000070438.7 pep:known chromosome:GRCm38:2:90940543:91019497:1 gene:ENSMUSG00000005506.16 transcript:ENSMUST00000068747.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf1 description:CUGBP, Elav-like family member 1 [Source:MGI Symbol;Acc:MGI:1342295] MNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLRELFEQYGAVYEINILRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQMKPADSEKNNAVEDRKLFIGMISKKCT ENDIRVMFSSFGQIEECRILRGPDGLSRGCAFVTFTTRTMAQTAIKAMHQAQTMEGCSSP MVVKFADTQKDKEQKRMAQQLQQQMQQISAASVWGNLAGLNTLGPQYLALYLQLLQQTAS SGNLNTLSSLHPMGGLNAMQLQNLAALAAAASAAQNTPSGTNALTTSSSPLSVLTSSGSS PSSSSSNSVNPIASLGALQTLAGATAGLNVGSLAGMAALNGGLGSSGLSNGTGSTMEALT QAYSGIQQYAAAALPTLYNQNLLTQQSIGAAGSQKEGPEGANLFIYHLPQEFGDQDLLQM FMPFGNVVSAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQSMNGFQIGMKRLKVQLKRSK NDSKPY >ENSMUSP00000107079.1 pep:known chromosome:GRCm38:2:90987620:91019497:1 gene:ENSMUSG00000005506.16 transcript:ENSMUST00000111452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf1 description:CUGBP, Elav-like family member 1 [Source:MGI Symbol;Acc:MGI:1342295] MAAFKLDFLPEMMVDHCSLNSSPVSKKMNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLR ELFEQYGAVYEINILRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQ MKPADSEKNNAVEDRKLFIGMISKKCTENDIRVMFSSFGQIEECRILRGPDGLSRGCAFV TFTTRTMAQTAIKAMHQAQTMEGCSSPMVVKFADTQKDKEQKRMAQQLQQQMQQISAASV WGNLAGLNTLGPQYLALYLQLLQQTASSGNLNTLSSLHPMGGLNAMQLQNLAALAAAASA AQNTPSGTNALTTSSSPLSVLTSSGSSPSSSSSNSVNPIASLGALQTLAGATAGLNVGSL AGMAALNGGLGSSGLSNGTGSTMEALTQAYSGIQQYAAAALPTLYNQNLLTQQSIGAAGS QKEGPEGANLFIYHLPQEFGDQDLLQMFMPFGNVVSAKVFIDKQTNLSKCFGFVSYDNPV SAQAAIQSMNGFQIGMKRLKVQLKRSKNDSKPY >ENSMUSP00000107076.1 pep:known chromosome:GRCm38:2:90998579:91019497:1 gene:ENSMUSG00000005506.16 transcript:ENSMUST00000111449.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf1 description:CUGBP, Elav-like family member 1 [Source:MGI Symbol;Acc:MGI:1342295] MNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLRELFEQYGAVYEINILRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQMKPADSEKNNAVEDRKLFIGMISKKCT ENDIRVMFSSFGQIEECRILRGPDGLSRGCAFVTFTTRTMAQTAIKAMHQAQTMEGCSSP MVVKFADTQKDKEQKRMAQQLQQQMQQISAASVWGNLAGLNTLGPQYLALYLQLLQQTAS SGNLNTLSSLHPMGGLNAMQLQNLAALAAAASAAQNTPSGTNALTTSSSPLSVLTSSGSS PSSSSSNSVNPIASLGALQTLAGATAGLNVGSLAGMAALNGGLGSSGLSNGTGSTMEALT QAYSGIQQYAAAALPTLYNQNLLTQQSIGAAGSQKEGPEGANLFIYHLPQEFGDQDLLQM FMPFGNVVSAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQSMNGFQIGMKRLKVQLKRSK NDSKPY >ENSMUSP00000107075.1 pep:known chromosome:GRCm38:2:90998579:91019497:1 gene:ENSMUSG00000005506.16 transcript:ENSMUST00000111448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf1 description:CUGBP, Elav-like family member 1 [Source:MGI Symbol;Acc:MGI:1342295] MNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLRELFEQYGAVYEINILRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQMKPADSEKNNAVEDRKLFIGMISKKCT ENDIRVMFSSFGQIEECRILRGPDGLSRGCAFVTFTTRTMAQTAIKAMHQAQTMEGCSSP MVVKFADTQKDKEQKRMAQQLQQQMQQISAASVWGNLAGLNTLGPQYLALLQQTASSGNL NTLSSLHPMGGLNAMQLQNLAALAAAASAAQNTPSGTNALTTSSSPLSVLTSSAGSSPSS SSSNSVNPIASLGALQTLAGATAGLNVGSLAGMAALNGGLGSSGLSNGTGSTMEALTQAY SGIQQYAAAALPTLYNQNLLTQQSIGAAGSQKEGPEGANLFIYHLPQEFGDQDLLQMFMP FGNVVSAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQSMNGFQIGMKRLKVQLKRSKNDS KPY >ENSMUSP00000005643.7 pep:known chromosome:GRCm38:2:90940397:91019490:1 gene:ENSMUSG00000005506.16 transcript:ENSMUST00000005643.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf1 description:CUGBP, Elav-like family member 1 [Source:MGI Symbol;Acc:MGI:1342295] MAAFKLDFLPEMMVDHCSLNSSPVSKKMNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLR ELFEQYGAVYEINILRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQ MKPADSEKNNAVEDRKLFIGMISKKCTENDIRVMFSSFGQIEECRILRGPDGLSRGCAFV TFTTRTMAQTAIKAMHQAQTMEGCSSPMVVKFADTQKDKEQKRMAQQLQQQMQQISAASV WGNLAGLNTLGPQYLALYLQLLQQTASSGNLNTLSSLHPMGGLNAMQLQNLAALAAAASA AQNTPSGTNALTTSSSPLSVLTSSGSSPSSSSSNSVNPIASLGALQTLAGATAGLNVGSL AGMAALNGGLGSSGLSNGTGSTMEALTQAYSGIQQYAAAALPTLYNQNLLTQQSIGAAGS QKEGPEGANLFIYHLPQEFGDQDLLQMFMPFGNVVSAKVFIDKQTNLSKCFGFVSYDNPV SAQAAIQSMNGFQIGMKRLKVQLKRSKNDSKPY >ENSMUSP00000107082.2 pep:known chromosome:GRCm38:2:90987746:91019490:1 gene:ENSMUSG00000005506.16 transcript:ENSMUST00000111455.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf1 description:CUGBP, Elav-like family member 1 [Source:MGI Symbol;Acc:MGI:1342295] MAAFKLDFLPEMMVDHCSLNSSPVSKKMNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLR ELFEQYGAVYEINILRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQ MKPADSEKNNAVEDRKLFIGMISKKCTENDIRVMFSSFGQIEECRILRGPDGLSRGCAFV TFTTRTMAQTAIKAMHQAQTMEGCSSPMVVKFADTQKDKEQKRMAQQLQQQMQQISAASV WGNLAGLNTLGPQYLALYLQLLQQTASSGNLNTLSSLHPMGGLNAMQLQNLAALAAAASA AQNTPSGTNALTTSSSPLSVLTSSGSSPSSSSSNSVNPIASLGALQTLAGATAGLNVGSL AGMAALNGGLGSSGLSNGTGSTMEALTQAYSGIQQYAAAALPTLYNQNLLTQQSIGAAGS QKEGPEGANLFIYHLPQEFGDQDLLQMFMPFGNVVSAKVFIDKQTNLSKCFGFVSYDNPV SAQAAIQSMNGFQIGMKRLKVQLKRSKNDSKPY >ENSMUSP00000107078.3 pep:known chromosome:GRCm38:2:90940397:91019490:1 gene:ENSMUSG00000005506.16 transcript:ENSMUST00000111451.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf1 description:CUGBP, Elav-like family member 1 [Source:MGI Symbol;Acc:MGI:1342295] MNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLRELFEQYGAVYEINILRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQMKPADSEKNNAVEDRKLFIGMISKKCT ENDIRVMFSSFGQIEECRILRGPDGLSRGCAFVTFTTRTMAQTAIKAMHQAQTMEGCSSP MVVKFADTQKDKEQKRMAQQLQQQMQQISAASVWGNLAGLNTLGPQYLALYLQLLQQTAS SGNLNTLSSLHPMGGLNAMQLQNLAALAAAASAAQNTPSGTNALTTSSSPLSVLTSSGSS PSSSSSNSVNPIASLGALQTLAGATAGLNVGSLAGMAALNGGLGSSGLSNGTGSTMEALT QAYSGIQQYAAAALPTLYNQNLLTQQSIGAAGSQKEGPEGANLFIYHLPQEFGDQDLLQM FMPFGNVVSAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQSMNGFQIGMKRLKVQLKRSK NDSKPY >ENSMUSP00000136109.1 pep:known chromosome:GRCm38:2:90940397:91019490:1 gene:ENSMUSG00000005506.16 transcript:ENSMUST00000177642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf1 description:CUGBP, Elav-like family member 1 [Source:MGI Symbol;Acc:MGI:1342295] MNGTLDHPDQPDLDAIKMFVGQVPRTWSEKDLRELFEQYGAVYEINILRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNMKVLPGMHHPIQMKPADSEKNNAVEDRKLFIGMISKKCT ENDIRVMFSSFGQIEECRILRGPDGLSRGCAFVTFTTRTMAQTAIKAMHQAQTMEGCSSP MVVKFADTQKDKEQKRMAQQLQQQMQQISAASVWGNLAGLNTLGPQYLALYLQLLQQTAS SGNLNTLSSLHPMGGLNAMQLQNLAALAAAASAAQNTPSGTNALTTSSSPLSVLTSSGSS PSSSSSNSVNPIASLGALQTLAGATAGLNVGSLAGMAALNGGLGSSGLSNGTGSTMEALT QAYSGIQQYAAAALPTLYNQNLLTQQSIGAAGSQKEGPEGANLFIYHLPQEFGDQDLLQM FMPFGNVVSAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQSMNGFQIGMKRLKVQLKRSK NDSKPY >ENSMUSP00000043890.2 pep:known chromosome:GRCm38:16:90738324:90749785:1 gene:ENSMUSG00000039956.8 transcript:ENSMUST00000038197.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrap description:melanocortin 2 receptor accessory protein [Source:MGI Symbol;Acc:MGI:1924287] MANGTDASVPLTSYEYYLDYIDLIPVDEKKLKANKHSIVIALWLSLATFVVLLFLILLYM SWSGSPQMRHSPQPQPICSWTHSFNLPLCLRRASLQTTEEPGRRAGTDQWLTQQSPSASA PGPLALP >ENSMUSP00000110827.2 pep:known chromosome:GRCm38:X:37571405:37576163:1 gene:ENSMUSG00000095741.3 transcript:ENSMUST00000115173.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2f description:reproductive homeobox 2F [Source:MGI Symbol;Acc:MGI:3770275] MERQSINYLLDVGPEEDEENANGVKTLMVLLAGDGRNEGESGRGLPGSGASAAEGYRAGE ISAGGPAAQVADLMDDSNQEDLGATACDQEKEKQPEEPVPDSMGDLENVKRVSGPWSTVN PVRVLVPKFRHLWRHSFNVLQLQELESIFQCNHYISTKEANRLARSMGVSEATVQEWFLK RREKYRSYKRL >ENSMUSP00000139352.1 pep:known chromosome:GRCm38:X:37571829:37576160:1 gene:ENSMUSG00000095741.3 transcript:ENSMUST00000184546.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2f description:reproductive homeobox 2F [Source:MGI Symbol;Acc:MGI:3770275] XMDDSNQEDLGATACDQEKEKQPEEPVPDSMGDLENVKRVSGPWSTVNPVRVLVPKFRHL WRHSFNVLQLQELESIFQCNHYISTKEANGF >ENSMUSP00000026121.2 pep:known chromosome:GRCm38:11:120549979:120551132:-1 gene:ENSMUSG00000025129.2 transcript:ENSMUST00000026121.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r27 description:protein phosphatase 1, regulatory subunit 27 [Source:MGI Symbol;Acc:MGI:1915951] MPSRTVRYARYSPRQRRRRMLADRSVRFPNDVLFLDHIRQGDLEQVGRFIRARKVSLDTI HPSGLAALHEAVLSGNLECVKLLVKYGADIHQRDETGWTPLHIACSDGYPDIARYLISLG ADRDAANDDGDLPSDLIDPDFKDLVELFKGTSMD >ENSMUSP00000061928.7 pep:known chromosome:GRCm38:5:5505109:5514873:-1 gene:ENSMUSG00000046798.14 transcript:ENSMUST00000060947.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn12 description:claudin 12 [Source:MGI Symbol;Acc:MGI:1929288] MGCRDVHAATVLSFLCGIASVAGLFAGTLLPNWRKLRLITFNRNEKNLTIYTGLWVKCAR YDGSSDCLMYDRTWYLSVDQLDLRVLQFALPLSIVIAMGALLLCLIGMCNTAFNSSVPNI KLAKCLVNSAGCHLVAGLLFFLAGTVSLSPSIWAIFYNSHLNRKFEPVFTFDYAVFVTIA SSGGLFMTALLLFVWYCACKSLSSPFWQPLYSHAPGMHTYSQPYSSRSRLSAIEIDIPVV SHST >ENSMUSP00000111106.1 pep:known chromosome:GRCm38:5:5505111:5514825:-1 gene:ENSMUSG00000046798.14 transcript:ENSMUST00000115446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn12 description:claudin 12 [Source:MGI Symbol;Acc:MGI:1929288] MGCRDVHAATVLSFLCGIASVAGLFAGTLLPNWRKLRLITFNRNEKNLTIYTGLWVKCAR YDGSSDCLMYDRTWYLSVDQLDLRVLQFALPLSIVIAMGALLLCLIGMCNTAFNSSVPNI KLAKCLVNSAGCHLVAGLLFFLAGTVSLSPSIWAIFYNSHLNRKFEPVFTFDYAVFVTIA SSGGLFMTALLLFVWYCACKSLSSPFWQPLYSHAPGMHTYSQPYSSRSRLSAIEIDIPVV SHST >ENSMUSP00000111105.1 pep:known chromosome:GRCm38:5:5505223:5514730:-1 gene:ENSMUSG00000046798.14 transcript:ENSMUST00000115445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn12 description:claudin 12 [Source:MGI Symbol;Acc:MGI:1929288] MGCRDVHAATVLSFLCGIASVAGLFAGTLLPNWRKLRLITFNRNEKNLTIYTGLWVKCAR YDGSSDCLMYDRTWYLSVDQLDLRVLQFALPLSIVIAMGALLLCLIGMCNTAFNSSVPNI KLAKCLVNSAGCHLVAGLLFFLAGTVSLSPSIWAIFYNSHLNRKFEPVFTFDYAVFVTIA SSGGLFMTALLLFVWYCACKSLSSPFWQPLYSHAPGMHTYSQPYSSRSRLSAIEIDIPVV SHST >ENSMUSP00000122400.1 pep:known chromosome:GRCm38:5:5508408:5514789:-1 gene:ENSMUSG00000046798.14 transcript:ENSMUST00000125110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn12 description:claudin 12 [Source:MGI Symbol;Acc:MGI:1929288] MGCRDV >ENSMUSP00000136988.1 pep:known chromosome:GRCm38:5:5505015:5514789:-1 gene:ENSMUSG00000046798.14 transcript:ENSMUST00000179804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn12 description:claudin 12 [Source:MGI Symbol;Acc:MGI:1929288] MGCRDVHAATVLSFLCGIASVAGLFAGTLLPNWRKLRLITFNRNEKNLTIYTGLWVKCAR YDGSSDCLMYDRTWYLSVDQLDLRVLQFALPLSIVIAMGALLLCLIGMCNTAFNSSVPNI KLAKCLVNSAGCHLVAGLLFFLAGTVSLSPSIWAIFYNSHLNRKFEPVFTFDYAVFVTIA SSGGLFMTALLLFVWYCACKSLSSPFWQPLYSHAPGMHTYSQPYSSRSRLSAIEIDIPVV SHST >ENSMUSP00000025666.7 pep:known chromosome:GRCm38:19:7673061:7711310:-1 gene:ENSMUSG00000024757.7 transcript:ENSMUST00000025666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a19 description:solute carrier family 22 (organic anion transporter), member 19 [Source:MGI Symbol;Acc:MGI:2442751] MAFQDLIIQIGSLGRFQILHMIFVLICHALSAPHTLLENFTAAIPSHRCWVPILDNDTAS DNGSRILSQDDLLRISIPLDSNLRPDKCRRYIQPQWHLLHLNGTFPTVTEPDTEPCVDGW VYDQSTFLSTTVTQWDLVCGSQALNSVAKFIYMTGIFIGYIMGGHLSDKFGRKLIFTCSL LKMAITETCVAFAPSFLIYCSLRFLSGIFSSTLRTNSALLILEWTSPKFQALVMALIFIA SGVGQTLLGGLAFAFRNWHHLQLALSVPMFLLLIPTRWLSESARWLIMANKPQKSLKELK KAACVNRIKNSGDALTLEVVKTIMKEELEAAQTKPSPLDLFRTPNLRKRICLLSFVRFVS VMSLLGLLINIQYLSNNVFLLQCLYGVVCIPANVLGNFSMNYMGRRMTQLIFMSVLGISI LAVVFLPQEMQILRVFLSTLGGAISSASITSTLVHANELVPTIIRATALGVVGIAGSAGG ALSPLLMILTTYSASLPWIIYGILPFLGGLVALLLPETKNQPLPDSIQDIENKRKSSKEA KKDVVAKVTPL >ENSMUSP00000067046.5 pep:known chromosome:GRCm38:6:29060220:29073877:1 gene:ENSMUSG00000059201.12 transcript:ENSMUST00000069789.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lep description:leptin [Source:MGI Symbol;Acc:MGI:104663] MCWRPLCRFLWLWSYLSYVQAVPIQKVQDDTKTLIKTIVTRINDISHTQSVSAKQRVTGL DFIPGLHPILSLSKMDQTLAVYQQVLTSLPSQNVLQIANDLENLRDLLHLLAFSKSCSLP QTSGLQKPESLDGVLEASLYSTEVVALSRLQGSLQDILQQLDVSPEC >ENSMUSP00000130087.1 pep:known chromosome:GRCm38:6:29065462:29070972:1 gene:ENSMUSG00000059201.12 transcript:ENSMUST00000169505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lep description:leptin [Source:MGI Symbol;Acc:MGI:104663] MCWRPLCRFLWLWSYLSYVQAVPIQKVQDDTKTLIKTIVTRINDISHTQSVSAKQRVTGL DFIPGLHPILSLSKMDQTLAVYQQVLTSLPSQNVLQIA >ENSMUSP00000113877.1 pep:known chromosome:GRCm38:8:105984944:105991749:-1 gene:ENSMUSG00000053687.14 transcript:ENSMUST00000117555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpep2 description:dipeptidase 2 [Source:MGI Symbol;Acc:MGI:2442042] MPLVLRQFYQNGLQDANLRNFTHGQTSLDRLKDGLVGAQFWSAYVPCQTQDRDALRLTLE QIDLIRRICASYSELELVTSVKALNSTQKLACLIGVEGGHSLDNSLAVLRSFYLLGVRYL TLTHTCNTPWAETSSKGVHAFYSSVTGLTSFGEKVVAEMNRLGMMVDLSHVSDAAARRAL EVSQAPVIFSHSAARAVCPNARNLPDDLLQLLKKNGGIVMVTFSVGVLPCNPLANVSTVA DHFDHIRSVIGSEFIGIGGDYDGTKQFPQGLEDVSTYPVLIEELLRRGWNEQELQGILRG NLLRVFRQVEQVRDKSKWQSPLEDMIPEEQLDSACHSALRPQKQHPEKNQPETPEYHILK FSHSKSSPHIVPSLAIVATLLGLIV >ENSMUSP00000080659.5 pep:known chromosome:GRCm38:8:105984945:105996423:-1 gene:ENSMUSG00000053687.14 transcript:ENSMUST00000081998.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpep2 description:dipeptidase 2 [Source:MGI Symbol;Acc:MGI:2442042] MAWHGETYCLIGGYRVYGDAPLPTPAKAEQEEKPVPRRAPKRQRVQEESDQDLGCPGAKV PRLKLKHGGKGLSRPSSVPACSALSKMSLTGLKGHWVLGHGLSVFLLVLLLLGPSQPLIW TQTKPGFSGASTTSSIPRALTKPDISSIPTTPGNPNFPDLRDRARALMQEFPLIDGHNDM PLVLRQFYQNGLQDANLRNFTHGQTSLDRLKDGLVGAQFWSAYVPCQTQDRDALRLTLEQ IDLIRRICASYSELELVTSVKVKWIYSGTQKLACLIGVEGGHSLDNSLAVLRSFYLLGVR YLTLTHTCNTPWAETSSKGVHAFYSSVTGLTSFGEKVVAEMNRLGMMVDLSHVSDAAARR ALEVSQAPVIFSHSAARAVCPNARNLPDDLLQLLKKNGGIVMVTFSVGVLPCNPLANVST VAEKGQQKHQFTPPRDTHFVSVIGSEFIGIGGDYDGTKQFPQGLEDVSTYPVLIEELLRR GWNEQELQGILRGNLLRVFRQVEQVRDKSKWQSPLEDMIPEEQLDSACHSALRPQKQHPE KNQPETPEYHILKFSHSKSSPHIVPSLAIVATLLGLIV >ENSMUSP00000034373.7 pep:known chromosome:GRCm38:8:105984950:105991759:-1 gene:ENSMUSG00000053687.14 transcript:ENSMUST00000034373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpep2 description:dipeptidase 2 [Source:MGI Symbol;Acc:MGI:2442042] MSLTGLKGHWVLGHGLSVFLLVLLLLGPSQPLIWTQTKPGFSGASTTSSIPRALTKPDIS SIPTTPGNPNFPDLRDRARALMQEFPLIDGHNDMPLVLRQFYQNGLQDANLRNFTHGQTS LDRLKDGLVGAQFWSAYVPCQTQDRDALRLTLEQIDLIRRICASYSELELVTSVKALNST QKLACLIGVEGGHSLDNSLAVLRSFYLLGVRYLTLTHTCNTPWAETSSKGVHAFYSSVTG LTSFGEKVVAEMNRLGMMVDLSHVSDAAARRALEVSQAPVIFSHSAARAVCPNARNLPDD LLQLLKKNGGIVMVTFSVGVLPCNPLANVSTVADHFDHIRSVIGSEFIGIGGDYDGTKQF PQGLEDVSTYPVLIEELLRRGWNEQELQGILRGNLLRVFRQVEQVRDKSKWQSPLEDMIP EEQLDSACHSALRPQKQHPEKNQPETPEYHILKFSHSKSSPHIVPSLAIVATLLGLIV >ENSMUSP00000103729.3 pep:known chromosome:GRCm38:7:32441541:32442722:1 gene:ENSMUSG00000078757.4 transcript:ENSMUST00000108094.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b29 description:secretoglobin, family 1B, member 29 [Source:MGI Symbol;Acc:MGI:3644231] MKLAGAVVILGAALLLLTSGGDCGICPAIKEDVRLFLNGTSEEYVEYVKQYKDDPVILEN TAKIKQCVDSTLTEEDKIHATTFIEKIEASPLC >ENSMUSP00000002090.2 pep:known chromosome:GRCm38:X:73787062:73790826:1 gene:ENSMUSG00000002014.12 transcript:ENSMUST00000002090.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr4 description:signal sequence receptor, delta [Source:MGI Symbol;Acc:MGI:1099464] MAAMASFGALALLLLSGLSCCSEACLEPQITPSYYTTSDAVISTETVFIVEISLTCKNRV QNMALYADVSGKQFPVTRGQDVGRYQVSWSLEHKSAHAGTYEVRFFDEESYSLLRKAQRN NEDVSIIPPLFTVSVDHRGTWNGPWVSTEVLAAVIGIVIYYLAFSAKSHIQA >ENSMUSP00000131386.1 pep:known chromosome:GRCm38:X:73787028:73790824:1 gene:ENSMUSG00000002014.12 transcript:ENSMUST00000166518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr4 description:signal sequence receptor, delta [Source:MGI Symbol;Acc:MGI:1099464] MAAMASFGALALLLLSGLSCCSAEACLEPQITPSYYTTSDAVISTETVFIVEISLTCKNR VQNMALYADVSGKQFPVTRGQDVGRYQVSWSLEHKSAHAGTYEVRFFDEESYSLLRKAQR NNEDVSIIPPLFTVSVDHRGTWNGPWVSTEVLAAVIGIVIYYLAFSAKSHIQA >ENSMUSP00000071464.6 pep:known chromosome:GRCm38:14:97786853:98169543:-1 gene:ENSMUSG00000055639.16 transcript:ENSMUST00000071533.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dach1 description:dachshund 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277991] MAVPAALIPPTQLVPPQPPISTSASSSGTTTSTSSATSSPAPSIGPPASSGPTLFRPEPI ASSASSSAAATVTSPGGGGGGSGGGGGSGGNGGGGGSNCNPSLAAGSSGGGVSAGGGGAS STPITASTGSSSSSSSSSSSSSSSSSSSSSSSSSSSCGPLPGKPVYSTPSPVENTPQNNE CKMVDLRGAKVASFTVEGCELICLPQAFDLFLKHLVGGLHTVYTKLKRLEITPVVCNVEQ VRILRGLGAIQPGVNRCKLISRKDFETLYNDCTNASSRPGRPPKRTQSVTSPENSHIMPH SVPGLMSPGIIPPTGLTAAAAAAAAATNAAIAEAMKVKKIKLEAMSNYHASNNQHGADSE NGDMNSSVGSSGGSWDKETLHSPPSQGSQAPVAHARMPAAFSLPVSHPLNHLQHSHLPPN GLELPFMMMPHPLIPVSLPPASVTMAMSQMNHLSTIANMAAAAQVQSPPSRVETSVIKER VPDSPSPAPSLEEGRRPGSHPSSHRSSSVSSSPARTESSSDRIPVHQNGLSMNQMLMGLS PNVLPGPKEGDLAGHDMGHESKRIHIEKDETPLSTPTARDSIDKLSLTGHGQPLPPGFPS PFLFPDGLSSIETLLTNIQGLLKVAIDNARAQEKQVQLEKTELKMDFLRERELRETLEKQ LAMEQKNRAIVQKRLKKEKKAKRKLQEALEFETKRREQAEQTLKQAASADSLRVLNDSLT PEIEADRSGGRADAERTIQDGRLYLKTTVMY >ENSMUSP00000064970.7 pep:known chromosome:GRCm38:14:97786853:98169543:-1 gene:ENSMUSG00000055639.16 transcript:ENSMUST00000069334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dach1 description:dachshund 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277991] MAVPAALIPPTQLVPPQPPISTSASSSGTTTSTSSATSSPAPSIGPPASSGPTLFRPEPI ASSASSSAAATVTSPGGGGGGSGGGGGSGGNGGGGGSNCNPSLAAGSSGGGVSAGGGGAS STPITASTGSSSSSSSSSSSSSSSSSSSSSSSSSSSCGPLPGKPVYSTPSPVENTPQNNE CKMVDLRGAKVASFTVEGCELICLPQAFDLFLKHLVGGLHTVYTKLKRLEITPVVCNVEQ VRILRGLGAIQPGVNRCKLISRKDFETLYNDCTNASSRPGRPPKRTQSVTSPENSHIMPH SVPGLMSPGIIPPTGLTAAAAAAAAATNAAIAEAMKVKKIKLEAMSNYHASNNQHGADSE NGDMNSSVGLELPFMMMPHPLIPVSLPPASVTMAMSQMNHLSTIANMAAAAQVQSPPSRV ETSVIKERVPDSPSPAPSLEEGRRPGSHPSSHRSSSVSSSPARTESSSDRIPVHQNGLSM NQMLMGLSPNVLPGPKEGDLAGHDMGHESKRIHIEKDETPLSTPTARDSIDKLSLTGHGQ PLPPGFPSPFLFPDGLSSIETLLTNIQGLLKVAIDNARAQEKQVQLEKTELKMDFLRERE LRETLEKQLAMEQKNRAIVQKRLKKEKKAKRKLQEALEFETKRREQAEQTLKQAASADSL RVLNDSLTPEIEADRSGGRADAERTIQDGRLYLKTTVMY >ENSMUSP00000025940.6 pep:known chromosome:GRCm38:14:60768134:60780869:1 gene:ENSMUSG00000071347.3 transcript:ENSMUST00000025940.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf9 description:C1q and tumor necrosis factor related protein 9 [Source:MGI Symbol;Acc:MGI:3045252] MRIWWLLLVMGACTRSVFSQDTCRQGHSGIPGNPGHNGLPGRDGRDGAKGDKGDAGEPGH PGGPGKDGIRGEKGEPGADGRVEAKGIKGDPGSRGSPGKHGPKGSIGPTGEQGLPGETGP QGQKGDKGEVGPTGPEGLMGSTGPLGPKGLPGPMGPIGKPGPRGEAGPMGPQGEPGVRGM RGWKGDRGEKGKVGEAPLVPKSAFTVGLTVISKFPPPDAPIKFDKILYNELNHYNVATGK FTCHVAGVYYFTYHITVFSRNVQVSLVKNGVKVLHTKDSYMSSEDQASGGIVQELKLGDE VWMQVTGGERFNGLFADEDDDTTFTGFLLFSSS >ENSMUSP00000104414.1 pep:known chromosome:GRCm38:11:59202486:59205927:1 gene:ENSMUSG00000036860.14 transcript:ENSMUST00000108786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl55 description:mitochondrial ribosomal protein L55 [Source:MGI Symbol;Acc:MGI:1914462] MPLAILLSACTSVRSLLRHCGVRAALPTPRHLHTSPWRADCSRASLTRLRRQAYARLYPV LLVKQDGSTIHIRYREPRRMLAMPLDLDALSPEERRARFRKREAQLQQKREEEPEVVDSF DTERYKQFWTKTKK >ENSMUSP00000104415.2 pep:known chromosome:GRCm38:11:59202489:59205888:1 gene:ENSMUSG00000036860.14 transcript:ENSMUST00000108787.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl55 description:mitochondrial ribosomal protein L55 [Source:MGI Symbol;Acc:MGI:1914462] MPLAILLSACTSVRSLLRHCGVRAALPTPRHLHTSPWRADCSRASLTRLRRQAYARLYPV LLVKQDGSTIHIRYREPRRMLAMPLDLDALSPEERRARFRKREAQLQQKREEEPEVVDSF DTERYKQFWTKTKK >ENSMUSP00000048814.5 pep:known chromosome:GRCm38:11:59202492:59206134:1 gene:ENSMUSG00000036860.14 transcript:ENSMUST00000045697.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl55 description:mitochondrial ribosomal protein L55 [Source:MGI Symbol;Acc:MGI:1914462] MPLAILLSLLRHCGVRAALPTPRHLHTSPWRADCSRASLTRLRRQAYARLYPVLLVKQDG STIHIRYREPRRMLAMPLDLDALSPEERRARFRKREAQLQQKREEEPEVVDSFDTERYKQ FWTKTKK >ENSMUSP00000104413.1 pep:known chromosome:GRCm38:11:59202505:59206002:1 gene:ENSMUSG00000036860.14 transcript:ENSMUST00000108785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl55 description:mitochondrial ribosomal protein L55 [Source:MGI Symbol;Acc:MGI:1914462] MPLAILLSLLRHCGVRAALPTPRHLHTSPWRADCSRASLTRLRRQAYARLYPVLLVKQDG STIHIRYREPRRMLAMPLDLDALSPEERRARFRKREAQLQQKREEEPEVVDSFDTERYKQ FWTKTKK >ENSMUSP00000104412.3 pep:known chromosome:GRCm38:11:59202538:59205888:1 gene:ENSMUSG00000036860.14 transcript:ENSMUST00000108784.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl55 description:mitochondrial ribosomal protein L55 [Source:MGI Symbol;Acc:MGI:1914462] MPLAILLSACTSVRSLLRHCGVRAALPTPRHLHTSPWRADCSRASLTRLRRQAYARLYPV LLVKQDGSTIHIRYREPRRMLAMPLDLDALSPEERRARFRKREAQLQQKREEEPEVVDSF DTERYKQFWTKTKK >ENSMUSP00000100769.3 pep:known chromosome:GRCm38:X:14211148:14211661:1 gene:ENSMUSG00000078346.3 transcript:ENSMUST00000105137.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5382 description:predicted gene 5382 [Source:MGI Symbol;Acc:MGI:3643774] MAKIMQRRRRQKRARSQRGELPLSLVDRFLREEIHSSRLSSSALPFLTSVLEYLTSNILE LAGEVAHTTGRKCVAPEDVHLVVQNNEQLRQLFKSGGTSVNEPPEPDDN >ENSMUSP00000133931.1 pep:known chromosome:GRCm38:12:34984761:34986436:1 gene:ENSMUSG00000092305.1 transcript:ENSMUST00000134550.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prps1l1 description:phosphoribosyl pyrophosphate synthetase 1-like 1 [Source:MGI Symbol;Acc:MGI:1922706] MPNIKLFSGSSHQDLSQKITERLGLELSKVVTKKFSNQETCVEIGESVRGEDVYIVQSGC GEINDSLMELLIMINACKIASASRVTAVIPCFPYARQDKKDKSRAPISAKLVANMLSIAG ADHIITMDLHASQIQGFFDIPVDNLYAEPAVLKWIKENISDWRNCTIVSPDAGGAKRVTS IADHLNVDFALIHKERKKANEVDRMVLVGDVKDRVAILVDDMADTCGTICYAADKLLSEG ATRVYAILTHGIFSGPAIARINGACFEAVVVTNTIPQEDKMKQCSKIQVIDIAMILAEAI RRTHNGESVSYLFSHVPL >ENSMUSP00000105596.3 pep:known chromosome:GRCm38:2:147177546:147186101:-1 gene:ENSMUSG00000027434.11 transcript:ENSMUST00000109970.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx2-2 description:NK2 homeobox 2 [Source:MGI Symbol;Acc:MGI:97347] MSLTNTKTGFSVKDILDLPDTNDEDGSVAEGPEEESEGPEPAKRAGPLGQGALDAVQSLP LKSPFYDSSDNPYTRWLASTEGLQYSLHGLAASAPPQDSSSKSPEPSADESPDNDKETQG GGGDAGKKRKRRVLFSKAQTYELERRFRQQRYLSAPEREHLASLIRLTPTQVKIWFQNHR YKMKRARAEKAFQNRRMDARIEAAVPIVSARWSDWIRKQFQVGRLTQAWEHNLVICGFEG CLGKVHLQ >ENSMUSP00000069666.5 pep:known chromosome:GRCm38:2:147183083:147186404:-1 gene:ENSMUSG00000027434.11 transcript:ENSMUST00000067075.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx2-2 description:NK2 homeobox 2 [Source:MGI Symbol;Acc:MGI:97347] MSLTNTKTGFSVKDILDLPDTNDEDGSVAEGPEEESEGPEPAKRAGPLGQGALDAVQSLP LKSPFYDSSDNPYTRWLASTEGLQYSLHGLAASAPPQDSSSKSPEPSADESPDNDKETQG GGGDAGKKRKRRVLFSKAQTYELERRFRQQRYLSAPEREHLASLIRLTPTQVKIWFQNHR YKMKRARAEKGMEVTPLPSPRRVAVPVLVRDGKPCHALKAQDLAAATFQAGIPFSAYSAQ SLQHMQYNAQYSSASTPQYPTAHPLVQAQQWTW >ENSMUSP00000086074.5 pep:known chromosome:GRCm38:17:22849030:22867134:-1 gene:ENSMUSG00000059142.15 transcript:ENSMUST00000088696.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp945 description:zinc finger protein 945 [Source:MGI Symbol;Acc:MGI:2445132] MTASLVNAPQELLTFKEVAVDFSQEEWECLDCAQRALYMDVMLENYNNLLFVENNHHVCV KHDEVLGQGSKYSAPEHVNIQEKSNKWKECGKMIHESSKSTPYQTNNRDASIESSNRHKT ENAREPCKYKDCVNGLNLYPITCHNQTVYLEIKEHTKTLYDEAFLSKYKLMLKGNNSGMK SHKCRKSGKFFTMTSSLSGHPRDHAREKPYQCTKCSKSFLHLSHLQLHYKIHFGGYPYKC TECGKCFYHASGFERHCRIHATKNTYKCSFCSKSFICCSGLRKHQKIHEENRLFECNQDT RSFCTFSYRECHYRADIRKKCYRCKECGKSLSTSSGLKIHQRIHTGERPYKCGDCDKSFT QKSNLRMHQKIHTGENTYKCSECDKCFTQRCLLRTHQRIHSGEKPYKCSECGKAFAGGSY LRSHQRIHSEKKPYKCSDCDKSFHRKDHLRIHQRIHTGEKPYKCSECDKCFTQKGSVRIH QRIHTGEKPYKCSKCDKSFTKKDCLKIHQIIHTGEEPYKCSECDTSFNRKDHLRTHQRFH TGERIYKCSECDESFTQKINLRIHQKIHTGDNSYKCSGCDKSFNRRNHLRTHERIHAGEK PYNCREFENSFIQTGSLRIHQRLHSRKKLYKCRECDKSFTSSSYVRIHQRIHSGEKPYKC SECGKCFTSGSYLRMHQRIHTGDKPYKCSDCDKCFTQKCSLRIHQRSHTGEKPYKCGNCD RSFTSGSYLRIHQRIHRGEKPHKCSECDKSFTQKGYLRIHQRIHKGEKSHKGS >ENSMUSP00000117978.1 pep:known chromosome:GRCm38:17:22852456:22867103:-1 gene:ENSMUSG00000059142.15 transcript:ENSMUST00000150092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp945 description:zinc finger protein 945 [Source:MGI Symbol;Acc:MGI:2445132] MVKREETIVKNPETWKRAIRRMTASLVNAPQELLTFKEVAVDFSQEEWECLDCAQRALYM DVMLENYNNLLFVENNHHVCVKHDEVLGQGSKYSAPEHVNIQEKSNKWKECGKMIHESSK STPYQTNNRDASIESSNRHKTENAREPCKYKDCVNGLNLYPITCHNQTVYLEIKEHT >ENSMUSP00000124123.2 pep:known chromosome:GRCm38:17:22846697:22867134:-1 gene:ENSMUSG00000059142.15 transcript:ENSMUST00000160457.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp945 description:zinc finger protein 945 [Source:MGI Symbol;Acc:MGI:2445132] MVKREETIVKNPETWKRAIRRMTASLVNAPQELLTFKEVAVDFSQEEWECLDCAQRALYM DVMLENYNNLLFVENNHHVCVKHDEVLGQGSKYSAPEHVNIQEKSNKWKECGKMIHESSK STPYQTNNRDASIESSNRHKTENAREPCKYKDCVNGLNLYPITCHNQTVYLEIKEHTKTL YDEAFLSKYKLMLKGNNSGMKSHKCRKSGKFFTMTSSLSGHPRDHAREKPYQCTKCSKSF LHLSHLQLHYKIHFGGYPYKCTECGKCFYHASGFERHCRIHATKNTYKCSFCSKSFICCS GLRKHQKIHEENRLFECNQDTRSFCTFSYRECHYRADIRKKCYRCKECGKSLSTSSGLKI HQRIHTGERPYKCGDCDKSFTQKSNLRMHQKIHTGENTYKCSECDKCFTQRCLLRTHQRI HSGEKPYKCSECGKAFAGGSYLRSHQRIHSEKKPYKCSDCDKSFHRKDHLRIHQRIHTGE KPYKCSECDKCFTQKGSVRIHQRIHTGEKPYKCSKCDKSFTKKDCLKIHQIIHTGEEPYK CSECDTSFNRKDHLRTHQRFHTGERIYKCSECDESFTQKINLRIHQKIHTGDNSYKCSGC DKSFNRRNHLRTHERIHAGEKPYNCREFENSFIQTGSLRIHQRLHSRKKLYKCRECDKSF TSSSYVRIHQRIHSGEKPYKCSECGKCFTSGSYLRMHQRIHTGDKPYKCSDCDKCFTQKC SLRIHQRSHTGEKPYKCGNCDRSFTSGSYLRIHQRIHRGEKPHKCSECDKSFTQKGYLRI HQRIHKGEKSHKGS >ENSMUSP00000070073.7 pep:known chromosome:GRCm38:7:3884242:3898120:-1 gene:ENSMUSG00000074417.9 transcript:ENSMUST00000070639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14548 description:predicted gene 14548 [Source:MGI Symbol;Acc:MGI:3709645] MSCTFTARLCLGLTLSLWIPVLTGSLPKPILRVQPHSVVSRRTKVTFLCEETIGANEYHL YKDGKLYKTVTKNKQKPANKAEFSLSNVDLSNAGQYRCSYNTQDESSGYSDPLELVVTGD YWTPSLSAQASPVVTSGGYVTLQCESWHNNHRFILTVEGPQKLSWMQDSQYNNSTRKYHA LFSVGPVTPNQRWIFRCYSYDRNRPYVWSPPSESVELLVSGNLQKPTIKAEPGSVITSKR AMTIWCQGNLDAEVYFLHNEKSQKTQSTQTLQQPGNKGKFFIPSVTQQHAGQYRCYCYSS AGWSQPSDTLELVVTGIYEYYEPRLSVLPSPVVTAGGNMTLHCASDFHYDKFILTKEDKK FTSSLDTEHISSSRQYRALFIIGPTTPTHTGTFRCYGYYKNAPQLWSVPSALQQILISGL SKKPSLLTHQGHILDPGMTLTLQCYSDINYDRFALHKVGGADIMQHSSQQTDTGFSVANF TLGYVSSSTGGQYRCYGAHNLSSEWSASSEPLDILITGQLPLTPSLSVQPNHTVHSGETV SLLCWSMDSVDTFILSKEGSAQQPLRLKSKSHDQQSQAEFSMSAVTSHLSGTYRCYGAQD SSFYLLSSASAPVELTVSGTIESSTWPPKRPIPPIPTENQDHTMENLIRMGMAVLVLIVL SILATEAWRSHRQTHPVAGN >ENSMUSP00000120237.1 pep:known chromosome:GRCm38:2:131491496:131520243:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000129143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEVYNMTQEFFRHGKPVNAESQNSVGVFTREKVRNRI RDDPDDTEATKRLKL >ENSMUSP00000028806.5 pep:known chromosome:GRCm38:2:131491764:131525183:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000028806.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEVYNMTQEFFRHGKPVNAESQNSVGVFTREKVRNRI RDDPDDTEATKRLKLAMIQQYLKVESCESSSHSIDEVSLSAFGEWTEIPGAHHIIPSGFM RVVELLAEGIPPHVIQLGKPVRCIHWDQASAHPRGPEIEPRGEGDHNHDTGEGGQSGENP QQGRWDEDEPWPVVVECEDCEVIPADHVIVTVSLGVLKRQYTSFFRPCLPTEKVAAIHRL GIGTTDKIFLEFEEPFWGPECNSLQFVWEDEAESCTLTYPPELWYRKICGFDVLYPPERY GHVLSGWICGEEALVMERCDDEAVAEICTEMLRQFTGNPNIPKPRRILRSAWGSNPYFRG SYSYTQVGSSGADVEKLAKPLPYTESSKTAPMQVLFSGEATHRKYYSTTHGALLSGQREA ARLIEMYRDLFQQGP >ENSMUSP00000139099.1 pep:known chromosome:GRCm38:2:131491923:131520282:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000183575.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGSLVTTRRHHL >ENSMUSP00000139278.1 pep:known chromosome:GRCm38:2:131491943:131525172:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000183947.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEVYNMTQEFFRHGKPVNAESQNSVGVFTREKVRNRI RDDPDDTEATKRLKLAMIQQYLKSAMAMC >ENSMUSP00000105808.2 pep:known chromosome:GRCm38:2:131491943:131525172:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000110179.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEVYNMTQEFFRHGKPVNAESQNSVGVFTREKVRNRI RDDPDDTEATKRLKLAMIQQYLKGTPIYQNLGESCAQPGAATHTSGVPIPTHRWAQVGRM WRS >ENSMUSP00000105818.2 pep:known chromosome:GRCm38:2:131491943:131525172:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000110189.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEVYNMTQEFFRHGKPVNAESQNSVGVFTREKVRNRI RDDPDDTEATKRLKLAMIQQYLKVESCESSSHSIDEVSLSAFGEWTEIPGAHHIIPSGFM RVVELLAEGIPPHVIQLGKPVRCIHWDQASAHPRGPEIEPRGEGDHNHDTGEGGQSGENP QQGRWDEDEPWPVVVECEDCEVIPADHVIVTVSLGVLKRQYTSFFRPCLPTEKVAAIHRL GIGTTDKIFLEFEEPFWGPECNSLQFVWEDEAESCTLTYPPELWYRKICGFDVLYPPERY GHVLSGWICGEEALVMERCDDEAVAEICTEMLRQFTAHAGALLRGGHTPQVLLHHPRCSA LWPARGRPAHRDVPRPLPAGALKGVLTAKCVP >ENSMUSP00000105811.2 pep:known chromosome:GRCm38:2:131491943:131525172:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000110182.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEPMQVLFSGEATHRKYYSTTHGALLSGQREAARLIE MYRDLFQQGP >ENSMUSP00000105812.2 pep:known chromosome:GRCm38:2:131491943:131525172:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000110183.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEVYNMTQEFFRHGKPVNAESQNSVGVFTREKVRNRI RDDPDDTEATKRLKLAMIQQYLKPMQVLFSGEATHRKYYSTTHGALLSGQREAARLIEMY RDLFQQGP >ENSMUSP00000105815.2 pep:known chromosome:GRCm38:2:131491943:131525172:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000110186.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEVYNMTQEFFRHGKPVNAESQNSVGVFTREKVRNRI RDDPDDTEATKRLKLAMIQQYLKVESCESSSHSIDEVSLSAFGEWTEIPGAHHIIPSGFM RVVELLAEGIPPHVIQLGKPVRCIHWDQASAHPRGPEIEPRGEGDHNHDTGEGGQSGENP QQGRWDEDEPWPVVVECEDCEVIPADHVIVTVSLGVLKRQYTSFFRPCLPTEKVAAIHRL GIGTTDKIFLEFEEPFWGPECNSLQFVWEDEAESCTLTYPPELWYRKICGFDVLYPPERY GHVLSGWICGEEALVMERCDDEAVAEICTEMLRQFTGNPNIPKPRRILRSAWGSNPYFRG SYSYTQVGSSGADVEKLAKPLPYTESSKTAHRSSTEQQPGHLLPSKCPEQSLDPSRGSIK PMQVLFSGEATHRKYYSTTHGALLSGQREAARLIEMYRDLFQQGP >ENSMUSP00000105817.1 pep:known chromosome:GRCm38:2:131491943:131525172:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000110188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEVYNMTQEFFRHGKPVNAESQNSVGVFTREKVRNRI RDDPDDTEATKRLKLAMIQQYLKVESCESSSHSIDEVSLSAFGEWTEIPGAHHIIPSGFM RVVELLAEGIPPHVIQLGKPVRCIHWDQASAHPRGPEIEPRGEGDHNHDTGEGGQSGENP QQGRWDEDEPWPVVVECEDCEVIPADHVIVTVSLGVLKRQYTSFFRPCLPTEKVAAIHRL GIGTTDKIFLEFEEPFWGPECNSLQFVWEDEAESCTLTYPPELWYRKICGFDVLYPPERY GHVLSGWICGEEALVMERCDDEAVAEICTEMLRQFTGGLKWGGCGEASQAPALHRELQDS AHAGALLRGGHTPQVLLHHPRCSALWPARGRPAHRDVPRPLPAGALKGVLTAKCVP >ENSMUSP00000105810.1 pep:known chromosome:GRCm38:2:131491964:131525922:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000110181.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEVYNMTQEFFRHGKPVNAESQNSVGVFTREKVRNRI RDDPDDTEATKRLKLAMIQQYLKEPFWGPECNSLQFVWEDEAESCTLTYPPELWYRKICG FDVLYPPERYGHVLSGWICGEEALVMERCDDEAVAEICTEMLRQFTGNPNIPKPRRILRS AWGSNPYFRGSYSYTQVGSSGADVEKLAKPLPYTESSKTAPMQVLFSGEATHRKYYSTTH GALLSGQREAARLIEMYRDLFQQGP >ENSMUSP00000105809.1 pep:known chromosome:GRCm38:2:131491993:131525167:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000110180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEASSHI GGRVQSVRLGDTTFELGATWIHGSHGNPIYQLAEANGLLEETTDGERSVGRISLYSKNGV ACYLTNRGCRIPKDVVEEFSDLYNEVYNMTQEFFRHGKPVNAESQNSVGVFTREKVRNRI RDDPDDTEATKRLKLAMIQQYLKVESCESSSHSIDEVSLSAFGEWTEIPGAHHIIPSGFM RVVELLAEGIPPHVIQLGKPVRCIHWDQASAHPRGPEIEPRERYGHVLSGWICGEEALVM ERCDDEAVAEICTEMLRQFTGNPNIPKPRRILRSAWGSNPYFRGSYSYTQVGSSGADVEK LAKPLPYTESSKTAPMQVLFSGEATHRKYYSTTHGALLSGQREAARLIEMYRDLFQQGP >ENSMUSP00000114956.1 pep:known chromosome:GRCm38:2:131492264:131512177:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000131698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] MQSCESSGDSADDPLSRGLRRRGQPRVVVIGAGLAGLAAARALLEQGFTDVTVLEA >ENSMUSP00000139111.1 pep:known chromosome:GRCm38:2:131520186:131524879:1 gene:ENSMUSG00000027333.18 transcript:ENSMUST00000183881.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smox description:spermine oxidase [Source:MGI Symbol;Acc:MGI:2445356] XRNRIRDDPDDTEATKRLKLAMIQQYLKGTPIYQNLGESCAQPGAATHTSGVPIPTHRWA QVGRMWRS >ENSMUSP00000038150.8 pep:known chromosome:GRCm38:17:27057304:27122223:1 gene:ENSMUSG00000042644.8 transcript:ENSMUST00000049308.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr3 description:inositol 1,4,5-triphosphate receptor 3 [Source:MGI Symbol;Acc:MGI:96624] MNEMSSFLHIGDIVSLYAEGSVNGFISTLGLVDDRCVVEPAAGDLDNPPKKFRDCLFKVC PMNRYSAQKQYWKAKQTKQDKEKIADVVLLQKLQHAAQMEQKQNDTENKKVHGDVVKYGS VIQLLHMKSNKYLTVNKRLPALLEKNAMRVTLDATGNEGSWLFIQPFWKLRSNGDNVVVG DKVILNPVNAGQPLHASNYELSDNAGCKEVNSVNCNTSWKINLFMQFRDHLEEVLKGGDV VRLFHAEQEKFLTCDEYRGKLQVFLRTTLRQSATSATSSNALWEVEVVHHDPCRGGAGHW NGLYRFKHLATGNYLAAEENPSYKGDVSDPKAAGLGAQGRTGRRNAGEKIKYRLVAVPHG NDIASLFELDPTTLQKTDSFVPRNSYVRLRHLCTNTWIQSTNAPIDVEEERPIRLMLGTC PTKEDKEAFAIVSVPVSEIRDLDFANDASSMLASAVEKLNEGFISQNDRRFVIQLLEDLV FFVSDVPNNGQNVLDIMVTKPNRERQKLMREQNILKQIFGILKAPFRDKGGEGPLVRLEE LSDQKNAPYQYMFRLCYRVLRHSQEDYRKNQEHIAKQFGMMQSQIGYDILAEDTITALLH NNRKLLEKHITKTEVETFVSLVRKNREPRFLDYLSDLCVSNRIAIPVTQELICKCVLDPK NSDILIQTELRPVKEMAQSHEYLSIEYSEEEVWLTWTDRNNEHHEKSVRQLAQEARAGNA HDENVLSYYRYQLKLFARMCLDRQYLAIDEISKQLGVELLFLCMADEMLPFDLRASFCHL MLHVHVDRDPQELVTPVKFARLWTEIPTAITIKDYDSNLNASRDDKKNKFASTMEFVEDY LNNVVSEAVPFANDEKNILTFEVVSLAHNLIYFGFYSFSELLRLTRTLLGIIDCIQAPAA MLQAYEEPGGKNVRRSIQGVGHMMSTMVLSRKQSVFGASSLPAGVGVPEQLDRSKFEDNE HTVVMETKLKILEILQFILNVRLDYRISYLLSVFKKEFVEVFPMQDSGADGTAPAFDSST ATMNLDRIGEQAEAMFGVGKTSSMLEVDDEGGRMFLRVLLHLTMHDYPSLVSGALQLLFK HFSQRQEAMHTFKQVQLLISAQDVENYKVIKSELDRLRTMVEKSELWVDKKGSVKGEEVE AGATKDKKERPSDEEGFLQPHGEKSSENYQIVKGILERLNKMCGVGEQMRKKQQRLLKNM DAHKVMLDLLQIPYDKSDNKMLEILRYTHQFLQKFCAGNPGNQALLHKHLQLFLTPGLLE AETMQHIFLNNYQLCSEISEPVLQHFVHLLATHGRHVQYLDFLHTVIKAEGKYVKKCQDM IMTELTNAGDDVVVFYNDKASLAHLLDMMKAARDGVEDHSPLMYHISLVDLLAACAEGKN VYTEIKCTSLLPLEDVVTVVTHEDCITEVKMAYVNFVNHCYVDTEVEMKEIYTSNHIWTL FENFTLDMALVCNKREKRLSDPTLEKYVLTVVLDTISAFFSSPFSENSTSLQTHQTIVVQ LLQSTTRLLECPWLQQQHKGSVEACVRTLAMVAKSRAILLPMDLDAHMSALLSSGGSCSA AAQRSAANYKTATRTFPRVIPTANQWDYKNIIEKLQDIIMALEERLKPLVQAELSVLVDM LHWPELLFPEGSEAYQRCESGGFLSKLIRHTKGLMESEEKLCVKVLRTLQQMLLKKSKFG DRGNQLRKMLLQNYLQNRKSGARGELTDPTGSGLDQDWSAIAATQCRLDKEGATKLVCDL ITSTKNEKIFQESIGLAIRLLDGGNTEIQKSFYNLMTSDKKSERFFKVLHDRMKRAQQET KSTVAVNMSDLGSQPREDREPADPATKGRVSSFSMPSSSRYLLGLGLHRGHDMSERAQNN EMGTSVLIMRPILRFLQLLCENHNRDLQNFLRCQNNKTNYNLVCETLQFLDIMCGSTTGG LGLLGLYINEDNVGLVIQTLETLTEYCQGPCHENQTCIVTHESNGIDIITALILNDISPL CKYRMDLVLQLKDNASKLLLALMESRHDSENAERILISLRPQELVDVIKKAYLQEEEREN SEVSPREVGHNIYILALQLSRHNKQLQHLLKPVRRIQEEEAEGISSMLSLNNKQLSQMLK SSAPAQEEEEDPLAYYENHTSQIEIVRQDRSMEQIVFPVPAICQFLTEETKHRLFTTTEQ DEQGSKVSDFFDQSSFLHNEMEWQRRLRSMPLIYWFSRRMTLWGSISFNLAVFINIIIAF FYPYVEGASTGVLGSPLISLLFWILICFSIAALFTKRYSVRPLIVALILRSIYYLGIGPT LNILGALNLTNKIVFVVSFVGNRGTFIRGYKAMVMDMEFLYHVGYILTSVLGLFAHELFY SILLFDLIYREETLFNVIKSVTRNGRSILLTALLALILVYLFSIVGFLFLKDDFILEVDR LPGNHSRASPLGMPHGAATFMGTCSGDKMDCVSEVSVPEILEEDEEPDSTERACDTLLMC IVTVMNHGLRNGGGVGDILRKPSKDESLFPARVVYDLLFFFIVIIIVLNLIFGVIIDTFA DLRSEKQKKEEILKTTCFICGLERDKFDNKTVSFEEHIKLEHNMWNYLYFIVLVRVKNKT DYTGPESYVAQMIKNKNLDWFPRMRAMSLVSGEGEGEQNEIRILQEKLGSTMKLVSHLTS QLNELKEQMTEQRKRRQRLGFVDVQNCMSR >ENSMUSP00000103767.1 pep:known chromosome:GRCm38:4:34768664:34778270:-1 gene:ENSMUSG00000028295.14 transcript:ENSMUST00000108132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim8 description:small integral membrane protein 8 [Source:MGI Symbol;Acc:MGI:1913541] MSSAPDPPTVKKEPLKEKNFENPGLRGAHTTTLFRAVNPELFIKPNKPVMAFGLVTLSLC VAYIGYLHATQENRKDLYEAIDSEGHRYMRRKTSKWD >ENSMUSP00000103768.3 pep:known chromosome:GRCm38:4:34768664:34778337:-1 gene:ENSMUSG00000028295.14 transcript:ENSMUST00000108133.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim8 description:small integral membrane protein 8 [Source:MGI Symbol;Acc:MGI:1913541] MSSAPDPPTVKKEPLKEKNFENPGLRGAHTTTLFRAVNPELFIKPNKPVMAFGLVTLSLC VAYIGYLHATQENRKDLYEAIDSEGHRYMRRKTSKWD >ENSMUSP00000103766.1 pep:known chromosome:GRCm38:4:34768664:34778349:-1 gene:ENSMUSG00000028295.14 transcript:ENSMUST00000108131.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim8 description:small integral membrane protein 8 [Source:MGI Symbol;Acc:MGI:1913541] MSSAPDPPTVKKEPLKEKNFENPGLRGAHTTTLFRAVNPELFIKPNKPVMAFGLVTLSLC VAYIGYLHATQENRKDLYEAIDSEGHRYMRRKTSKWD >ENSMUSP00000103769.1 pep:known chromosome:GRCm38:4:34768664:34778364:-1 gene:ENSMUSG00000028295.14 transcript:ENSMUST00000108134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim8 description:small integral membrane protein 8 [Source:MGI Symbol;Acc:MGI:1913541] MSSAPDPPTVKKEPLKEKNFENPGLRGAHTTTLFRAVNPELFIKPNKPVMAFGLVTLSLC VAYIGYLHATQENRKDLYEAIDSEGHRYMRRKTSKWD >ENSMUSP00000029972.3 pep:known chromosome:GRCm38:4:34768664:34778423:-1 gene:ENSMUSG00000028295.14 transcript:ENSMUST00000029972.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim8 description:small integral membrane protein 8 [Source:MGI Symbol;Acc:MGI:1913541] MSSAPDPPTVKKEPLKEKNFENPGLRGAHTTTLFRAVNPELFIKPNKPVMAFGLVTLSLC VAYIGYLHATQENRKDLYEAIDSEGHRYMRRKTSKWD >ENSMUSP00000114114.2 pep:known chromosome:GRCm38:5:128600844:128604093:1 gene:ENSMUSG00000081683.5 transcript:ENSMUST00000117102.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd10 description:frizzled class receptor 10 [Source:MGI Symbol;Acc:MGI:2136761] MQHPGPRLWLVLQVMIGSCTAISSMDLERPGDGKCQPVEIPMCKDIGYNTTRMPNLMGHE NQREAAIQLHEFAPLVEYGCHSHLRFFLCSLYAPMCTEQVSTPIPACRVMCEQARLKCSP IMEQFKFRWPDSLDCSKLPNKNDPNYLCMEAPNNGSDEPSRGSGMFPPLFRPQRPHSAQE HPLKDGGPGRAGCDNPGKFHHVEKSESCAPLCTPGVDVYWSRDDKRFAVVWLAIWSVLCF FSSAFTVLTFLIDPSRFRYPERPIIFLSMCYCVYSVGYIIRLFAGAESIACDRDSGQLYV IQEGLESTGCTLVFLVLYYFGMASSLWWVVLTLTWFLAAGKKWGHEAIEANSSYFHLAAW AIPAVKTILILVMRRVAGDELTGVCYVGSMDVNALTGFVLVPLACYLVIGTSFILSGFVA LFHIRRVMKTGGENTDKLEKLMVRIGVFSLLYTVPATCVIACYFYERLNMDYWKMLATQH KCKMNNQTKTPDCLMTTSIPAVEVFMVKVSMLLVVGITSGVWVWTSKTLQSWQHVCSRGL KRKSRRKPASVVTSAGIYKKAQHPQKPHLGKYELPAQPSACV >ENSMUSP00000035658.8 pep:known chromosome:GRCm38:1:155778158:155812889:-1 gene:ENSMUSG00000033684.14 transcript:ENSMUST00000035325.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qsox1 description:quiescin Q6 sulfhydryl oxidase 1 [Source:MGI Symbol;Acc:MGI:1330818] MRRCGRLSGPPSLLLLLLLLSPLLFSGPGAYAARLSVLYSSSDPLTLLDADSVRPTVLGS SSAWAVEFFASWCGHCIAFAPTWKELANDVKDWRPALNLAVLDCAEETNSAVCREFNIAG FPTVRFFQAFTKNGSGATLPGAGANVQTLRMRLIDALESHRDTWPPACPPLEPAKLNDID GFFTRNKADYLALVFEREDSYLGREVTLDLSQYHAVAVRRVLNTESDLVNKFGVTDFPSC YLLLRNGSVSRVPVLVESRSFYTSYLRGLPGLTRDAPPTTATPVTADKIAPTVWKFADRS KIYMADLESALHYILRVEVGKFSVLEGQRLVALKKFVAVLAKYFPGQPLVQNFLHSINDW LQKQQKKRIPYSFFKAALDSRKEDAVLTEKVNWVGCQGSEPHFRGFPCSLWVLFHFLTVQ ANRYSEAHPQEPADGQEVLQAMRSYVQFFFGCRDCADHFEQMAAASMHQVRSPSNAILWL WTSHNRVNARLSGALSEDPHFPKVQWPPRELCSACHNELNGQVPLWDLGATLNFLKAHFS PANIVIDSSASRHTGRRGSPEATPELVMDTLKLESRNSVLGHEQAASAESPGATALDVPA EKPEASGPQELYTGLRMGGASPGQGPPERMEDHQRDMQENAPGQQHLSKRDTEALFLPEV NHLQGPLELRRGGRSPKQLAPILEEEPEALAIQGQGQWLQVLGGGISHLDISLCVGLYSV SFMGLLAMYTYFRARLRTPKGHASYPTA >ENSMUSP00000107394.2 pep:known chromosome:GRCm38:1:155778159:155812851:-1 gene:ENSMUSG00000033684.14 transcript:ENSMUST00000111764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qsox1 description:quiescin Q6 sulfhydryl oxidase 1 [Source:MGI Symbol;Acc:MGI:1330818] MRRCGRLSGPPSLLLLLLLLSPLLFSGPGAYAARLSVLYSSSDPLTLLDADSVRPTVLGS SSAWAVEFFASWCGHCIAFAPTWKELANDVKDWRPALNLAVLDCAEETNSAVCREFNIAG FPTVRFFQAFTKNGSGATLPGAGANVQTLRMRLIDALESHRDTWPPACPPLEPAKLNDID GFFTRNKADYLALVFEREDSYLGREVTLDLSQYHAVAVRRVLNTESDLVNKFGVTDFPSC YLLLRNGSVSRVPVLVESRSFYTSYLRGLPGLTRDAPPTTATPVTADKIAPTVWKFADRS KIYMADLESALHYILRVEVGKFSVLEGQRLVALKKFVAVLAKYFPGQPLVQNFLHSINDW LQKQQKKRIPYSFFKAALDSRKEDAVLTEKVNWVGCQGSEPHFRGFPCSLWVLFHFLTVQ ANRYSEAHPQEPADGQEVLQAMRSYVQFFFGCRDCADHFEQMAAASMHQVRSPSNAILWL WTSHNRVNARLSGALSEDPHFPKVQWPPRELCSACHNELNGQVPLWDLGATLNFLKAHFS PANIVIDSSASRHTGRRGSPEATPELVMDTLKLESRNSVLGHEQAASAESPGATALDVPA EKPEASGPQELYTGLRMGGASPGQGPPERMEDHQRDMQENAPGQQHLSKRDTEALFLPEL L >ENSMUSP00000142301.1 pep:known chromosome:GRCm38:1:155778162:155812844:-1 gene:ENSMUSG00000033684.14 transcript:ENSMUST00000194632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qsox1 description:quiescin Q6 sulfhydryl oxidase 1 [Source:MGI Symbol;Acc:MGI:1330818] MRRCGRLSGPPSLLLLLLLLSPLLFSGPGAYAARLSVLYSSSDPLTLLDADSVRPTVLGS SSAWAVEFFASWCGHCIAFAPTWKELANDVKDWRPALNLAVLDCAEETNSAVCREFNIAG FPTVRFFQAFTKNGSGATLPGAGANVQTLRMRLIDALESHRDTWPPACPPLEPAKLNDID GFFTRNKADYLALVFEREDSYLGREVTLDLSQYHAVAVRRVLNTESDLVNKFGVTDFPSC YLLLRNGSVSRVPVLVESRSFYTSYLRGLPGLTRDAPPTTATPVTADKIAPTVWKFADRS KIYMADLESALHYILRVEVGKFSVLEGQRLVALKKFVAVLAKYFPGQPLVQNFLHSINDW LQKQQKKRIPYSFFKAALDSRKEDAVLTEKVNWVGCQGSEPHFRGFPCSLWVLFHFLTVQ ANRYSEAHPQEPADGQEVLQAMRSYVQFFFGCRDCADHFEQMAAASMHQVRSPSNAILWL WTSHNRVNARLSGALSEDPHFPKVQWPPRELCSACHNELNGQVPLWDLGATLNFLKAHFS PANIVIDSSASRHTGRRGSPEATPELLL >ENSMUSP00000112676.1 pep:known chromosome:GRCm38:7:25306108:25316182:1 gene:ENSMUSG00000043843.16 transcript:ENSMUST00000119703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem145 description:transmembrane protein 145 [Source:MGI Symbol;Acc:MGI:3607779] MEPSRAPVLRRLLPPLLLLLLPLYPRTRAKYVRGNLSSKEDWVFLTRFCFLSDYGRLDFR FRYPEAKCCQNILLYFDDPSQWPAVYKARDKDCLAKESVIRPENNQVINLTTQYAWSGCQ VVSEEGTRYLSCSSGRSFRSGDGLQLEYEMVLTNGKSFWTRHFSADEFGILETDVTFLLI FTLIFVLSCYFGYLLKGRQLLHTTYKMFMAAAGVEVLSLLFFCIYWGQYATDGIGNGSVK ILAKLLFSSSFLIFLLTLILLGKGFTVTRGRISHSGSVKLSVYMTLYTLTHVVLLIYEAE FFDPGQVLYTYESPAGYGLIGLQVAAYVWFCYAVLVSLRHYPEKQPFYVPFFAAYTLWFF AVPVMALIANFGIPKWAREKIVNGIQLGIHLYAHGVFLIMTRPSAANKNFPYHVRTSQIA SAGVPGPGGSQSADKAFPQHVYGNVTFISDSVPNFTELFSIPPPTSSPLPRMAPESGLPL FRDLRPPDPLRDL >ENSMUSP00000104046.1 pep:known chromosome:GRCm38:7:25306108:25316195:1 gene:ENSMUSG00000043843.16 transcript:ENSMUST00000108409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem145 description:transmembrane protein 145 [Source:MGI Symbol;Acc:MGI:3607779] MEPSRAPVLRRLLPPLLLLLLPLYPRTRAKYVRGNLSSKEDWVFLTRFCFLSDYGRLDFR FRYPEAKCCQNILLYFDDPSQWPAVYKARDKDCLAKESVIRPENNQVINLTTQYAWSGCQ VVSEEGTRYLSCSSGRSFRSVRERWWYIALSKCGGDGLQLEYEMVLTNGKSFWTRHFSAD EFGILETDVTFLLIFTLIFVLSCYFGYLLKGRQLLHTTYKMFMAAAGVEVLSLLFFCIYW GQYATDGIGNGSVKILAKLLFSSSFLIFLLTLILLGKGFTVTRGRISHSGSVKLSVYMTL YTLTHVVLLIYEAEFFDPGQVLYTYESPAGYGLIGLQVAAYVWFCYAVLVSLRHYPEKQP FYVPFFAAYTLWFFAVPVMALIANFGIPKWAREKIVNGIQLGIHLYAHGVFLIMTRPSAA NKNFPYHVRTSQIASAGVPGPGGSQSADKAFPQHVYGNVTFISDSVPNFTELFSIPPPTS SAGKQVEETAVAAAVAPRGRVVTMAEPGAASPPPPARFSKAVDSGWDGPTPSYQPLVPQT AAPHTGFTEYFSMHTAGGPAPPV >ENSMUSP00000107132.1 pep:known chromosome:GRCm38:2:90014932:90015852:1 gene:ENSMUSG00000059112.4 transcript:ENSMUST00000111507.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1263 description:olfactory receptor 1263 [Source:MGI Symbol;Acc:MGI:3031097] MSNVTEFILLGLTQDPDLQKLLFIVCLIIYLITLAGNMLISVTIFISPALATPMYFFLSY LSIIDGFYSSSIAPKMIYDLISEKSTISFNGCMTQLFAEHFFAADEIILLIAMAYDRYVA ICKPLHYMTIMSQPLCVFLVGAAVILGFIHGAIQTLFMAQLPFCGPNTINHFMCDLIPLL ELACTDTHTLGPLIAANSGSLCLLTFSMLVASYVVILRSLRNHSAEGRRKALSTCASHVT VVVLFFVPCSYLYLRPMTSFPTDKAVTVFCTLVTPMLNPLIYTLRNEEVKRVMKKLWGRM RKAGDM >ENSMUSP00000022176.8 pep:known chromosome:GRCm38:13:96648967:96670936:-1 gene:ENSMUSG00000021670.14 transcript:ENSMUST00000022176.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcr description:3-hydroxy-3-methylglutaryl-Coenzyme A reductase [Source:MGI Symbol;Acc:MGI:96159] MLSRLFRMHGLFVASHPWEVIVGTVTLTICMMSMNMFTGNNKICGWNYECPKFEEDVLSS DIIILTITRCIAILYIYFQFQNLRQLGSKYILGIAGLFTIFSSFVFSTVVIHFLDKELTG LNEALPFFLLLIDLSRASALAKFALSSNSQDEVRENIARGMAILGPTFTLDALVECLVIG VGTMSGVRQLEIMCCFGCMSVLANYFVFMTFFPACVSLVLELSRESREGRPIWQLSHFAR VLEEEENKPNPVTQRVKMIMSLGLVLVHAHSRWIADPSPQNSTAEQAKVSLGLDEDVSKR IEPSVSLWQFYLSKMISMDIEQVITLSLAFLLAVKYIFFEQAETESTLSLKNPITSPVVT SKKAQDNCCRREPLLVRRNQKLSSVEEDPGANQERKVEVIKPLVVEAETTSRATFVLGAS VASPPSALGTQEPGIELPIEPRPNEECLQILENAEKGAKFLSDAEIIQLVNAKHIPAYKL ETLMETHERGVSIRRQLLSTKLAEPSSLQYLPYRDYNYSLVMGACCENVIGYMPIPVGVA GPLCLDGKEYQVPMATTEGCLVASTNRGCRAISLGGGASSRVLADGMTRGPVVRLPRACD SAEVKTWLETPEGFAVIKEAFDSTSRFARLQKLHVTMAGRNLYIRFQSRTGDAMGMNMIS KGTEKALLKLQEFFPDMQILAVSGNYCTDKKPAAINWIEGRGKTVVCEAVIPAKVVREVL KTTTEAMVDVNINKNLVGSAMAGSIGGYNAHAANIVTAIYIACGQDAAQNVGSSNCITLM EASGPTNEDLYISCTMPSIEIGTVGGGTNLLPQQACLQMLGVQGACKDNPGENARQLARI VCGTVMAGELSLMAALAAGHLVRSHMVHNRSKINLQDLQGTCTKKAA >ENSMUSP00000126087.1 pep:known chromosome:GRCm38:13:96650276:96666763:-1 gene:ENSMUSG00000021670.14 transcript:ENSMUST00000168855.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hmgcr description:3-hydroxy-3-methylglutaryl-Coenzyme A reductase [Source:MGI Symbol;Acc:MGI:96159] MLSRLFRMHGLFVASHPWEVIVGTVTLTICMMSMNMFTGYGESTAEASGVLS >ENSMUSP00000128642.1 pep:known chromosome:GRCm38:13:96650443:96652803:-1 gene:ENSMUSG00000021670.14 transcript:ENSMUST00000169945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcr description:3-hydroxy-3-methylglutaryl-Coenzyme A reductase [Source:MGI Symbol;Acc:MGI:96159] XQEFFPDMQILAVSGNYCTDKKPAAINWIEGRGKTVVCEAVIPAKVVREVLKTTTEAMVD VNINKNLVGSAMAGSIGGYNAHAANIVTAIYIACGQMLGVQGACKDNPGENARQLARIVC GTVMAGELSLMAALAAGHLVRSHMVHNRSKINLQDLQGTCTKKAA >ENSMUSP00000128939.1 pep:known chromosome:GRCm38:13:96651354:96666710:-1 gene:ENSMUSG00000021670.14 transcript:ENSMUST00000170287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcr description:3-hydroxy-3-methylglutaryl-Coenzyme A reductase [Source:MGI Symbol;Acc:MGI:96159] MLSRLFRMHGLFVASHPWEVIVGTVTLTICMMSMNMFTGNNKICGWNYECPKFEEDVLSS DIIILTITRCIAILYIYFQFQNLRQLGSKYILGIAGLFTIFSSFVFSTVVIHFLDKELTG LNEALPFFLLLIDLSRASALAKFALSSNSQDEVRENIARGMAILGPTFTLDALVECLVIG VGTMSGVRQLEIMCCFGCMSVLANYFVFMTFFPACVSLVLELSRESREGRPIWQLSHFAR VLEEEENKPNPVTQRVKMIMSLGLVLVHAHSRWIADPSPQNSTAEQAKVSLGLDEDVSKR IEPSVSLWQFYLSKMISMDIEQVITLSLAFLLAVKYIFFEQAETESTLSLKNPITSPVVT SKKAQDNCCRREPLLVRRNQKLSSVEEDPGANQERKVEVIKPLVVEAETTSRATFVLGAS VASPPSALGTQEPGIELPIEPRPNEECLQILENAEKGAKFLSDAEIIQLVNAKHIPAYKL ETLMETHERGVSIRRQLLSTKLAEPSSLQYLPYRDYNYSLVMGACCENVIGYMPIPVGVA GPLCLDGKEYQVPMATTEGCLVASTNRGCRAISLGGGASSRVLADGMTRGPVVRLPRACD SAEVKTWLETPEGFAVIKEAFDSTSRFARLQKLHVTMAGRNLYIRFQSRTGDAMGMNMIS KGTEKALLKLQEFFPDMQILAVSGNYCTDKKPAAINWIEGRGKTVVCEAVIPAKVVREVL KTTTEAMVDVNINKNLVGSAMAGSIGGYNAHAANIVTAIYIACGQDAAQNVGSSNCITLM EASGPTNEDLYISCTMPSIEIGTVGGGTNLLPQQACLQV >ENSMUSP00000132155.1 pep:known chromosome:GRCm38:13:96652121:96656667:-1 gene:ENSMUSG00000021670.14 transcript:ENSMUST00000169202.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcr description:3-hydroxy-3-methylglutaryl-Coenzyme A reductase [Source:MGI Symbol;Acc:MGI:96159] THERGVSIRRQLLSTKLAEPSSLQYLPYRDYNYSLLGGGASSRVLADGMTRGPVVRLPRA CDSAEVKTWLETPEGFAVIKEAFDSTSRFARLQKLHVTMAGRNLYIRFQSRTGDAMGMNM ISKGTEKALLKLQEFFPDMQILAVSGNYCTDKKPAAINWIEGRGKTVVCEAVIPAKVVRE VLKTTTEAMVDVNINKNLVGSAMAGSIGGYNAHAANIVT >ENSMUSP00000132749.1 pep:known chromosome:GRCm38:13:96660149:96670823:-1 gene:ENSMUSG00000021670.14 transcript:ENSMUST00000169196.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcr description:3-hydroxy-3-methylglutaryl-Coenzyme A reductase [Source:MGI Symbol;Acc:MGI:96159] MLSRLFRMHGLFVASHPWEVIVGTVTLTICMMSMNMFTGNNKICGWNYECPKFEEDVLSS DIIILTITRCIAILYIYFQFQNLRQLGSKYILGIAGLFTIFSSFVFSTVVIHFLDKELTG LNEALPFFLLLIDLSRASALAKFALSSNSQDEVRENIARGMAILGPTFTLDALVECLVIG VGTMSGVRQLEIMCCFGCMSVLANYFVFMT >ENSMUSP00000128294.1 pep:known chromosome:GRCm38:13:96666115:96669654:-1 gene:ENSMUSG00000021670.14 transcript:ENSMUST00000169966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcr description:3-hydroxy-3-methylglutaryl-Coenzyme A reductase [Source:MGI Symbol;Acc:MGI:96159] MLSRLFRMHGLFVASHPWEVIVGTVTLTICMMSMNMFTGNNKICGWNYECPKFEEDVLSS DIIILTITRCIAILYIYFQFQNLRQLGSKYIL >ENSMUSP00000126959.1 pep:known chromosome:GRCm38:13:96666120:96670482:-1 gene:ENSMUSG00000021670.14 transcript:ENSMUST00000171537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcr description:3-hydroxy-3-methylglutaryl-Coenzyme A reductase [Source:MGI Symbol;Acc:MGI:96159] MRGSKDCEATMLSRLFRMHGLFVASHPWEVIVGTVTLTICMMSMNMFTGNNKICGWNYEC PKFEEDVLSSDIIILTITRCIAILYIYFQFQNLRQLGSKY >ENSMUSP00000077546.6 pep:known chromosome:GRCm38:7:3711409:3720382:-1 gene:ENSMUSG00000058818.13 transcript:ENSMUST00000078451.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pirb description:paired Ig-like receptor B [Source:MGI Symbol;Acc:MGI:894311] MSCTFTALLRLGLTLSLWIPVLTGSLPKPILRVQPDSVVSRRTKVTFLCEETIGANEYRL YKDGKLYKTVTKNKQKPENKAEFSFSNVDLSNAGQYRCSYSTQYKSSGYSDLLELVVTGH YWTPSLLAQASPVVTSGGYVTLQCESWHNDHKFILTVEGPQKLSWTQDSQYNYSTRKYHA LFSVGPVTPNQRWICRCYSYDRNRPYVWSPPSESVELLVSGNLQKPTIKAEPGSVITSKR AMTIWCQGNLDAEVYFLHNEKSQKTQSTQTLQEPGNKGKFFIPSVTLQHAGQYRCYCYGS AGWSQPSDTLELVVTGIYEYYEPRLSVLPSPVVTAGGNMTLHCASDFPYDKFILTKEDKK FGNSLDTEHISSSGQYRALFIIGPTTPTHTGAFRCYGYYKNAPQLWSVPSALQQILISGL SKKPSLLTHQGHILDPGMTLTLQCFSDINYDRFALHKVGGADIMQHSSQQTDTGFSVANF TLGYVSSSTGGQYRCYGAHNLSSEWSASSEPLDILITGQLPLTPSLSVQPNHTVHSGETV SLLCWSMDSVDTFILSKEGSAQQPLRLKSKSHDQQSQAEFSMSAVTSHLSGTYRCYGAQD SSFYLLSSASAPVELTVSGPIETSTPPPTMSMPLGGLHMYLKALIGVSVAFILFLFIFIF ILLRRRHRGKFRKDVQKEKDLQLSSGAEEPITRKGELQKRPNPAAATQEESLYASVEDMQ TEDGVELNSWTPPEEDPQGETYAQVKPSRLRKAGHVSPSVMSREQLNTEYEQAEEGQGAN NQAAESGESQDVTYAQLCSRTLRQGAAASPLSQAGEAPEEPSVYATLAAARPEAVPKDME Q >ENSMUSP00000076961.6 pep:known chromosome:GRCm38:17:56162477:56173955:1 gene:ENSMUSG00000044469.7 transcript:ENSMUST00000077788.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8l1 description:tumor necrosis factor, alpha-induced protein 8-like 1 [Source:MGI Symbol;Acc:MGI:1913693] MDTFSTKSLALQAQKKVLSKMASKAMVAVFVDNTSSEVLDELYQATKEFTRSRKEAQRVV KNLVKVAVKLAVLLRADQLDSNELAQLQRFRGRVRSLAMTALSFHQVDFTFDRRVLATGL LECRDLLHQAIGPHLTAKSHGRINHIFSHFANGDFLAALYSPAEPYRSHLCRICDGLGRM LDEGGI >ENSMUSP00000143508.1 pep:known chromosome:GRCm38:10:80665327:80676293:-1 gene:ENSMUSG00000020190.13 transcript:ENSMUST00000200082.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk2 description:MAP kinase-interacting serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894279] MVQKRTAELQGFHRSFKGQNPFELAFSLDLAQHRDSDFSPQCEARPDMPSSQPIDIPDAK KRGRKKKRCRATDSFSGRFEDVYQLQEDVLGEGAHARVQTCVNLITNQEYAVKIIEKQLG HIRSRVFREVEMLYQCQGHRNVLELIEFFEEEDRFYLVFEKMRGGSILSHIHRRRHFNEL EASVVVQDVASALDFLHNKGIAHRDLKPENILCEHPNQVSPVKICDFDLGSGIKLNGDCS PISTPELLTPCGSAEYMAPEVVEAFSEEASIYDKRCDLWSLGVILYILLSGYPPFVGHCG SDCGWDRGEACPACQNMLFESIQEGKYEFPDKDWSHISFAAKDLISKLLVRDAKQRLSAA QVLQHPWVQGCAPENTLPTPLVLQRNSCAKDLTSFAAEAIAMNRQLAQCEEDAGQDQPVV IRATSRCLQLSPPSQSKLAQRRQRASLSATPVVLVGDRA >ENSMUSP00000143679.1 pep:known chromosome:GRCm38:10:80669923:80672291:-1 gene:ENSMUSG00000020190.13 transcript:ENSMUST00000197276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk2 description:MAP kinase-interacting serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894279] MPPCWGQNPFELAFSLDLAQHRDSDFSPQCEARPDMPSSQPIDIPDAKKRGRKKKRCRAT DSFSGRFEDVYQLQEDVLGEGAHARVQTCVNLITNQEYAVKIIEKQLGHIRSRVFREV >ENSMUSP00000142388.1 pep:known chromosome:GRCm38:10:80671610:80672251:-1 gene:ENSMUSG00000020190.13 transcript:ENSMUST00000198819.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk2 description:MAP kinase-interacting serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894279] MPSSQPIDIPDAKKRGRKKKRCRATDSFSGRFEDVYQLQEDVLGEGAHA >ENSMUSP00000143655.1 pep:known chromosome:GRCm38:10:80671946:80678112:-1 gene:ENSMUSG00000020190.13 transcript:ENSMUST00000199949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk2 description:MAP kinase-interacting serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894279] MVQKRTAELQGFHRSFKGQNPFELAFSLDLAQHRDSDFSP >ENSMUSP00000003433.6 pep:known chromosome:GRCm38:10:80665332:80671976:-1 gene:ENSMUSG00000020190.13 transcript:ENSMUST00000003433.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk2 description:MAP kinase-interacting serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894279] MPSSQPIDIPDAKKRGRKKKRCRATDSFSGRFEDVYQLQEDVLGEGAHARVQTCVNLITN QEYAVKIIEKQLGHIRSRVFREVEMLYQCQGHRNVLELIEFFEEEDRFYLVFEKMRGGSI LSHIHRRRHFNELEASVVVQDVASALDFLHNKGIAHRDLKPENILCEHPNQVSPVKICDF DLGSGIKLNGDCSPISTPELLTPCGSAEYMAPEVVEAFSEEASIYDKRCDLWSLGVILYI LLSGYPPFVGHCGSDCGWDRGEACPACQNMLFESIQEGKYEFPDKDWSHISFAAKDLISK LLVRDAKQRLSAAQVLQHPWVQGCAPENTLPTPLVLQRNSCAKDLTSFAAEAIAMNRQLA QCEEDAGQDQPVVIRATSRCLQLSPPSQSKLAQRRQRASLSATPVVLVGDRA >ENSMUSP00000118333.1 pep:known chromosome:GRCm38:4:33031416:33052363:1 gene:ENSMUSG00000028277.13 transcript:ENSMUST00000124992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j1 description:ubiquitin-conjugating enzyme E2J 1 [Source:MGI Symbol;Acc:MGI:1926245] METRYNLKSPAVKRLMKEAAELKDPTDHYHAQPLEDNLFEWHFTVRGPPDSDFDGGVYHG RIVLPPEYPMKPPSIILLTANGRFEVGKKICLSISGHHPETWQPSWSIRTALLAIIGFMP TKGEGAIGSLDYTPEERRALAKKSQDFCCEGCGSAMKDVLLPLKSGSGSSQADQEAKELA RQISFKAEVNSSGKTIAESDLNQCFSLNDSQDDLPTTFQGATASTSYGAQNPSGAPLPQP TQPAPKNTSMSPRQRRAQQQSQRRPSTSPDVLQGQPPRAHHTEHGGSAMLIIILTLALAA LIFRRIYLANEYIFDFEL >ENSMUSP00000115757.1 pep:known chromosome:GRCm38:4:33036728:33052363:1 gene:ENSMUSG00000028277.13 transcript:ENSMUST00000135924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j1 description:ubiquitin-conjugating enzyme E2J 1 [Source:MGI Symbol;Acc:MGI:1926245] XEAAELKDPTDHYHAQPLEDNLFEWHFTVRGPPDSDFDGGVYHGRIVLPPEYPMKPPSII LLTANGRFEVGKKICLSISGHHPETWQPSWSIRTALLAIIGFMPTKGEGAIGSLDYTPEE RRALAKKSQDFCCEGCGSAMKDVLLPLKSGSGSSQADQEAKELARQISFKYGAQNPSGAP LPQPTQPAPKNTSMSPRQRRAQQQSQRRPSTSPDVLQGQPPRAHHTEHGGSAMLIIILTL ALAALIFRRIYLANEYIFDFEL >ENSMUSP00000029944.6 pep:known chromosome:GRCm38:4:33031528:33045199:1 gene:ENSMUSG00000028277.13 transcript:ENSMUST00000029944.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j1 description:ubiquitin-conjugating enzyme E2J 1 [Source:MGI Symbol;Acc:MGI:1926245] MKPPSIILLTANGRFEVGKKICLSISGHHPETWQPSWSIRTALLAIIGFMPTKGEGAIGS LDYTPEERRALAKKSQDFCCEGCGSAMKDVLLPLKSGSGSSQADQEAKELARQISFKAEV NSSGKTIAESDLNQCFSLNDSQDDLPTTFQGATASTS >ENSMUSP00000135173.1 pep:known chromosome:GRCm38:13:21945094:21960485:1 gene:ENSMUSG00000006720.16 transcript:ENSMUST00000176511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp184 description:zinc finger protein 184 (Kruppel-like) [Source:MGI Symbol;Acc:MGI:1922244] MAGLSFADSASLHEGRPLLLPSSFRESVTFKDVVVNFTQEEWKHLDPIQRDLFRDVTLEN YTHLVSIGLQVSKPDMISQLEQGTEPWTEDSCIPVGPLEDWKKRAGNSVSSLELDISEEH LFSETVVTNSKRDDGSLEKLQANQQMLPREVQITEKTAPTCESNLSVSSSFITQTEVALD QPSTKTRAKQNSHPVKKEKLCKCNECGKAFTYCSALIRHQRTHTGEKPYKCNECNKAFSR SENLINHQRIHTGDKPYKCDQCGKGFIEGPSLTQHQRIHTGEKPYKCDECGKAFSQRTHL VQHQRIHTGEKPYTCTECGKSFSQRGHFMEHQKIHTGEKPFKCEECEKTFTRSTHLTQHQ KIHTGEKTYKCNECGKAFNGPSTFIRHHMIHTGEKPYECNECGKAFSQHSNLTQHQKTHT GEKPYDCAECGKAFSYWSSLAQHLKIHTGEKPYKCSDCGKAFSYCSSLTQHRRIHTREKP FECSECGKAFSYLSNLNQHQKTHTQEKAYECKECGKAFIRSSSLAKHERIHTGEKPYQCH ECGKTFSYGSSLIQHKKIHTGERPYKCNECGRAFNQKIHLTQHKRIHTGAKPYACPKCGK TFRHCSSLAQHQKTHTEEKPYQCNKCEKTFSQNSRLTQHQRIHTGEKPYKCSECDKCFTG SVHLTEHRSTHTGEKPYNSECPQTFSQSTYLTQHQKIHSGEKLLGCEDCEKAFQCHSALT KHQRLHPAVAAVGTSLT >ENSMUSP00000135404.1 pep:known chromosome:GRCm38:13:21945112:21960603:1 gene:ENSMUSG00000006720.16 transcript:ENSMUST00000176580.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp184 description:zinc finger protein 184 (Kruppel-like) [Source:MGI Symbol;Acc:MGI:1922244] MAGLSFADSASLHEGRPLLLPSSFRESVTFKDVVVNFTQEEWKHLDPIQRDLFRDVTLEN YTHLVSIDRFLFFLPLSRAPGFQT >ENSMUSP00000100043.1 pep:known chromosome:GRCm38:13:21945112:21960779:1 gene:ENSMUSG00000006720.16 transcript:ENSMUST00000102978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp184 description:zinc finger protein 184 (Kruppel-like) [Source:MGI Symbol;Acc:MGI:1922244] MAGLSFADSASLHEGRPLLLPSSFRESVTFKDVVVNFTQEEWKHLDPIQRDLFRDVTLEN YTHLVSIGLQVSKPDMISQLEQGTEPWTEDSCIPVGPLEDWKKRAGNSVSSLELDISEEH LFSETVVTNSKRDDGSLEKLQANQQMLPREVQITEKTAPTCESNLSVSSSFITQTEVALD QPSTKTRAKQNSHPVKKEKLCKCNECGKAFTYCSALIRHQRTHTGEKPYKCNECNKAFSR SENLINHQRIHTGDKPYKCDQCGKGFIEGPSLTQHQRIHTGEKPYKCDECGKAFSQRTHL VQHQRIHTGEKPYTCTECGKSFSQRGHFMEHQKIHTGEKPFKCEECEKTFTRSTHLTQHQ KIHTGEKTYKCNECGKAFNGPSTFIRHHMIHTGEKPYECNECGKAFSQHSNLTQHQKTHT GEKPYDCAECGKAFSYWSSLAQHLKIHTGEKPYKCSDCGKAFSYCSSLTQHRRIHTREKP FECSECGKAFSYLSNLNQHQKTHTQEKAYECKECGKAFIRSSSLAKHERIHTGEKPYQCH ECGKTFSYGSSLIQHKKIHTGERPYKCNECGRAFNQKIHLTQHKRIHTGAKPYACPKCGK TFRHCSSLAQHQKTHTEEKPYQCNKCEKTFSQNSRLTQHQRIHTGEKPYKCSECDKCFTG SVHLTEHRSTHTGEKPYNSECPQTFSQSTYLTQHQKIHSGEKLLGCEDCEKAFQCHSALT KHQRLHPAVAAVGTSLT >ENSMUSP00000135102.1 pep:known chromosome:GRCm38:13:21945137:21950329:1 gene:ENSMUSG00000006720.16 transcript:ENSMUST00000152258.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp184 description:zinc finger protein 184 (Kruppel-like) [Source:MGI Symbol;Acc:MGI:1922244] MAGLSFADSASLHEGRPLLLPSSFRESVTFKDVVVNFTQEEWKHLDPIQRDLFRDVTLEN YTHLVSIGLQVSKPDMISQLEQGTEPWTEDSCIPVGPLE >ENSMUSP00000006903.6 pep:known chromosome:GRCm38:13:21945793:21960339:1 gene:ENSMUSG00000006720.16 transcript:ENSMUST00000006903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp184 description:zinc finger protein 184 (Kruppel-like) [Source:MGI Symbol;Acc:MGI:1922244] MAGLSFADSASLHEGRPLLLPSSFRESVTFKDVVVNFTQEEWKHLDPIQRDLFRDVTLEN YTHLVSIGLQVSKPDMISQLEQGTEPWTEDSCIPVGPLEDWKKRAGNSVSSLELDISEEH LFSETVVTNSKRDDGSLEKLQANQQMLPREVQITEKTAPTCESNLSVSSSFITQTEVALD QPSTKTRAKQNSHPVKKEKLCKCNECGKAFTYCSALIRHQRTHTGEKPYKCNECNKAFSR SENLINHQRIHTGDKPYKCDQCGKGFIEGPSLTQHQRIHTGEKPYKCDECGKAFSQRTHL VQHQRIHTGEKPYTCTECGKSFSQRGHFMEHQKIHTGEKPFKCEECEKTFTRSTHLTQHQ KIHTGEKTYKCNECGKAFNGPSTFIRHHMIHTGEKPYECNECGKAFSQHSNLTQHQKTHT GEKPYDCAECGKAFSYWSSLAQHLKIHTGEKPYKCSDCGKAFSYCSSLTQHRRIHTREKP FECSECGKAFSYLSNLNQHQKTHTQEKAYECKECGKAFIRSSSLAKHERIHTGEKPYQCH ECGKTFSYGSSLIQHKKIHTGERPYKCNECGRAFNQKIHLTQHKRIHTGAKPYACPKCGK TFRHCSSLAQHQKTHTEEKPYQCNKCEKTFSQNSRLTQHQRIHTGEKPYKCSECDKCFTG SVHLTEHRSTHTGEKPYNSECPQTFSQSTYLTQHQKIHSGEKLLGCEDCEKAFQCHSALT KHQRLHPAVAAVGTSLT >ENSMUSP00000087608.6 pep:known chromosome:GRCm38:14:31641057:31668579:1 gene:ENSMUSG00000021900.10 transcript:ENSMUST00000090147.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btd description:biotinidase [Source:MGI Symbol;Acc:MGI:1347001] MRSRFMVCIMSGARTAPALFFLGCSALALGVSSASQEHREAEYYVAAVYEHPSVLSPNPL ELVSRQEALELMKQNLDVYEQQVMAAAQKGVQIIVFPEDGIHGFNFTRTSIYPFLDFMPS PKLVRWNPCLEPFRFNDTEVLQRLSCMAIKGGMFLVANLGTKQPCLSSDPGCPQDGRYQF NTNVVFSDNGTLVDRYRKHNLYFEAAFDTPANVDLITFDTPFAGKFGVFTCFDILFFDPA VRLLRDFEVKHIVYPTAWMNQLPLLAAIEIQKAFATAFGVNVLAANIHHPTLGMTGSGIH TPLKSFWYHDMDDPKGHLIIAQVATNPQGLTGTGNTTSEMDPSHRKFLKILSGDPYCEKD AQEVHCDEAAKWNVNVPPTFHSEMMYDNFTLVPVWGTEGHLQVCSNSLCCHLLYERPTLS KELYALGVFDGLHTVHGTYYIQTCALVKCGGLGFDTCGQEITEAEGLFDFHLWGNFSTLY IFPLFLTSGMTLDTPDQLGWENDHYFLRKRGLSSGLVTAALYGRLYERK >ENSMUSP00000035163.3 pep:known chromosome:GRCm38:9:103250521:103288297:-1 gene:ENSMUSG00000033688.9 transcript:ENSMUST00000035163.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1300017J02Rik description:RIKEN cDNA 1300017J02 gene [Source:MGI Symbol;Acc:MGI:1919025] MRLLICALLCLGTLGLCLALPEKTIRWCVVSDHEATKCSSFRDNMKKVLPAGGPAVTCVR KMSHPECIRDISANKVDAVTVDGALVAEADLPHHSLKPIMAEYYGSKDDPKTHYYVVAMA KKGTGFQLNQLRGKKSCHTGLGWSAGWYVPLSTLLPSGSRETAAATFFSSSCVPCADGKM FPSLCQLCAGKGTDKCACSSREPYFGSWGALKCLQDGTADVSFVKHLTVFEAMPTKADRD QYELLCMDNTRRPVEEYEQCYLARVPSHVVVARSVDGKEDSIQELLRVAQEHFGKDKSSP FQLFGSPHGEDLLFTDAAHGLLRVPRKIDISLYLGYEFLSAFRNLKRGLEDSQRVKWCAV GQQERTKCDQWSAVSGGALACATEETPEDCIAATMKGEADAMSLDGGFAYVAGHCGLVPV LAENYLSTHSSGRLGSKCVNAPLEGYYVVAVVKKSDVGITWKSLQGKKSCHTAVGTSEGW NVPMGLIYNQTGSCKFDAFFSRSCAPGSDPDSPLCALCVGGNNPAHMCAANNAEGYHGSS GALRCLVEKGDVAFMKHPTVLQNTDGKNPEPWAKGLKHEDFELLCLDGTRKPVTEAQSCH LARVPNRAVFSRKDKADFVRRILFNQQELFGRNGFEYMMFQMFESSAKDLLFSDDTECLS NLQNKTTYKTYLGPQYLTLMDNFRQCLSSELLDACTFHKY >ENSMUSP00000115867.1 pep:known chromosome:GRCm38:9:103250624:103288224:-1 gene:ENSMUSG00000033688.9 transcript:ENSMUST00000123530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1300017J02Rik description:RIKEN cDNA 1300017J02 gene [Source:MGI Symbol;Acc:MGI:1919025] MRLLICALLCLGTLGLCLALPEKTIRWCVVSDHEATKCSSFRDNMKKVLPAGGPAVTCVR KMSHPECIRDISANKVDAVTVDGALVAEADLPHHSLKPIMAEYYGSKDDPKTHYYVVAMA KKGTGFQLNQLRGKKSCHTGLGWSAGWYVPLSTLLPSGSRETAAATFFSSSCVPCADGKM FPSLCQLCAGKGTDKCACSSREPYFGSWGALKCLQDGTADVSFVKHLTVFEAMPTKADRD QYELLCMDNTRRPVEEYEQCYLARVPSHVVVARSVDGKEDSIQELLRVAQEHFGKDKSSP FQLFGSPHGEDLLFTDAAHGLLRVPRKIDISLYLGYEFLSAFRNLKRVSTHSSGRLGSKC VNAPLEGYYVVAVVKKSDVGITWKSLQGKKSCHTAVGTSEGWNVPMGLIYNQTGSCKFDA FFSRSCAPGSDPDSPLCALCVGGNNPAHMCAANNAEGYHGSSGALRCLVEKGDVAFMKHP TVLQNTDGKNPEPWAKGLKHEDFELLCLDGTRKPVTEAQSCHLARVPNRAVFSRKDKADF VRRILFNQQELFGRNGFEYMMFQMFESSAKDLLFSDDTECLSNLQNKTTYKTYLGPQYLT LMDNFRQCLSSELLDACTFHKY >ENSMUSP00000123519.1 pep:known chromosome:GRCm38:9:103251837:103266225:-1 gene:ENSMUSG00000033688.9 transcript:ENSMUST00000154357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1300017J02Rik description:RIKEN cDNA 1300017J02 gene [Source:MGI Symbol;Acc:MGI:1919025] XCVNAPLEGYYVVAVVKKSDVGITWKSLQGKKSCHTAVGTSEGWNVPMGLIYNQTGSCKF DAFFSRSCAPGSDPDSPLCALCVGGNNPAHMCAANNAEGYHGSSGALRCRHRCLVEKGDV AFMKHPTVLQNTDGKNPEPWAKGLKHEDFELLCLDGTRKPVTEAQSCHLARVPNRAVFSR KDKADFVRRILFNQQELFGRNGFEYMMFQMFESSAKDLLFSDDTECLSNLQNKTTYKTYL GP >ENSMUSP00000116504.1 pep:known chromosome:GRCm38:9:103282561:103305082:-1 gene:ENSMUSG00000033688.9 transcript:ENSMUST00000142540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1300017J02Rik description:RIKEN cDNA 1300017J02 gene [Source:MGI Symbol;Acc:MGI:1919025] MKGLCLALPEKTIRWCVVSDHEATKCSSFRDNMKKVLP >ENSMUSP00000032541.4 pep:known chromosome:GRCm38:7:12512517:12556323:1 gene:ENSMUSG00000030385.4 transcript:ENSMUST00000032541.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2900092C05Rik description:RIKEN cDNA 2900092C05 gene [Source:MGI Symbol;Acc:MGI:1920340] MHKVWSSSMFLFWFLLGCLLHVCLPYADELYTVEKTTDLSGSRQLETSKSSMGQPRGLLA ILRPTEVIIPAPRPTVKPSEFDTNKVIPRRPGLVHVISVSSIAFVIALLCGLMLSYVIYR LVKVEEKQQLAMLYENIEIPLVDEKEASEDDGYDESQLHPENEELGKFISSVIKTKRMEN LRRK >ENSMUSP00000031304.7 pep:known chromosome:GRCm38:5:118027743:118061878:1 gene:ENSMUSG00000029359.13 transcript:ENSMUST00000031304.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tesc description:tescalcin [Source:MGI Symbol;Acc:MGI:1930803] MGAAHSASEEVRELEGKTGFSSDQIEQLHRRFKQLSGDQPTIRKENFNNVPDLELNPIRS KIVRAFFDNRNLRKGSSGLADEINFEDFLTIMSYFRPIDTTLGEEQVELSRKEKLKFLFH MYDSDSDGRITLEEYRNVVEELLSGNPHIEKESARSIADGAMMEAASVCVGQMEPDQVYE GITFEDFLKIWQGIDIETKMHIRFLNMETIALCH >ENSMUSP00000138436.1 pep:known chromosome:GRCm38:5:118027765:118061845:1 gene:ENSMUSG00000029359.13 transcript:ENSMUST00000124648.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tesc description:tescalcin [Source:MGI Symbol;Acc:MGI:1930803] MGAAHSASEEVRELEGKTGFSSDQIEQLHRRFKQLSGDQPTIRKENFNNVPDLELNPIRS KIVRAFFDNRNLRKGSSGLADEINFEDFLTIMSYFRPIDTTLGEEQVELSRKEKLKFLFH MYDSDSDGRITLEEYRNVKWWRSCSRETLTLKRSRLGPLQTGP >ENSMUSP00000098303.3 pep:known chromosome:GRCm38:5:122643911:122684289:1 gene:ENSMUSG00000029468.17 transcript:ENSMUST00000100737.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx7 description:purinergic receptor P2X, ligand-gated ion channel, 7 [Source:MGI Symbol;Acc:MGI:1339957] MPACCSWNDVLQYETNKVTRIQSTNYGTVKWVLHMIVFSYISFALVSDKLYQRKEPVISS VHTKVKGIAEVTENVTEGGVTKLGHSIFDTADYTFPLQGNSFFVMTNYVKSEGQVQTLCP EYPRRGAQCSSDRRCKKGWMDPQSKGIQTGRCVPYDKTRKTCEVSAWCPTEEEKEAPRPA LLRSAENFTVLIKNNIHFPGHNYTTRNILPTMNGSCTFHKTWDPQCSIFRLGDIFQEAGE NFTEVAVQGGIMGIEIYWDCNLDSWSHHCRPRYSFRRLDDKNTDESFVPGYNFRYAKYYK ENNVEKRTLIKAFGIRFDILVFGTGGKFDIIQLVVYIGSTLSYFGLATVCIDLLINTYSS AFCRSGVYPYCKCCEPCTVNEYYYRKKCESIMEPKPTLKYVSFVDEPHIRMVDQQLLGKS LQVVKGQEVPRPQMDFSDLSRLSLSLHDSPLTPGQSEEIQLLHEEVAPKSGDSPSWCQCG NCLPSRLPEQRRALEELCCRRKPGRCITTSKLFHKLVLSRDTLQLLLLYQDPLLVLGEEA TNSRLRHRAYRCYATWRFGSQDMADFAILPSCCRWRIRKEFPKTEGQYSGFKYPY >ENSMUSP00000112440.1 pep:known chromosome:GRCm38:5:122643911:122684297:1 gene:ENSMUSG00000029468.17 transcript:ENSMUST00000121489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx7 description:purinergic receptor P2X, ligand-gated ion channel, 7 [Source:MGI Symbol;Acc:MGI:1339957] MPACCSWNDVLQYETNKVTRIQSTNYGTVKWVLHMIVFSYISFALVSDKLYQRKEPVISS VHTKVKGIAEVTENVTEGGVTKLGHSIFDTADYTFPLQGNSFFVMTNYVKSEGQVQTLCP EYPRRGAQCSSDRRCKKGWMDPQSKGIQTGRCVPYDKTRKTCEVSAWCPTEEEKEAPRPA LLRSAENFTVLIKNNIHFPGHNYTTRNILPTMNGSCTFHKTWDPQCSIFRLGDIFQEAGE NFTEVAVQGGIMGIEIYWDCNLDSWSHHCRPRYSFRRLDDKNTDESFVPGYNFRYAKYYK ENNVEKRTLIKAFGIRFDILVFGTGGKFDIIQLVVYIGSTLSYFGLATVCIDLLINTYSS AFCRSGVYPYCKCCEPCTVNEYYYRKKCESIMEPKPTLKYVSFVDEPHIRMVDQQLLGKS LQVVKGQEVPT >ENSMUSP00000031425.8 pep:known chromosome:GRCm38:5:122643939:122691432:1 gene:ENSMUSG00000029468.17 transcript:ENSMUST00000031425.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx7 description:purinergic receptor P2X, ligand-gated ion channel, 7 [Source:MGI Symbol;Acc:MGI:1339957] MPACCSWNDVLQYETNKVTRIQSTNYGTVKWVLHMIVFSYISFALVSDKLYQRKEPVISS VHTKVKGIAEVTENVTEGGVTKLGHSIFDTADYTFPLQGNSFFVMTNYVKSEGQVQTLCP EYPRRGAQCSSDRRCKKGWMDPQSKGIQTGRCVPYDKTRKTCEVSAWCPTEEEKEAPRPA LLRSAENFTVLIKNNIHFPGHNYTTRNILPTMNGSCTFHKTWDPQCSIFRLGDIFQEAGE NFTEVAVQGGIMGIEIYWDCNLDSWSHHCRPRYSFRRLDDKNTDESFVPGYNFRYAKYYK ENNVEKRTLIKAFGIRFDILVFGTGGKFDIIQLVVYIGSTLSYFGLATVCIDLLINTYSS AFCRSGVYPYCKCCEPCTVNEYYYRKKCESIMEPKPTLKYVSFVDEPHIRMVDQQLLGKS LQVVKGQEVPVRVLCLHVCMRT >ENSMUSP00000083425.5 pep:known chromosome:GRCm38:5:122644009:122655262:1 gene:ENSMUSG00000029468.17 transcript:ENSMUST00000086247.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx7 description:purinergic receptor P2X, ligand-gated ion channel, 7 [Source:MGI Symbol;Acc:MGI:1339957] MPACCSWNDVLQYETNKVTRIQSTNYGTVKWVLHMIVFSYISFALVSDKLYQRKEPVISS VHTKVKGIAEVTENVTEGGVTKLGHSIFDTADYTFPLQGNSFFVMTNYVKSEGQVQTLCP EDLSNLQESNQTSKPLLRNPRAKKEEGLSLELA >ENSMUSP00000030986.8 pep:known chromosome:GRCm38:5:35091501:35105766:-1 gene:ENSMUSG00000029103.16 transcript:ENSMUST00000030986.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrpap1 description:low density lipoprotein receptor-related protein associated protein 1 [Source:MGI Symbol;Acc:MGI:96829] MAPRRERVSTLPRLQLLVLLLLPLMLVPQPIAGHGGKYSREKNEPEMAAKRESGEEFRME KLNQLWEKAKRLHLSPVRLAELHSDLKIQERDELNWKKLKVEGLDKDGEKEAKLIHNLNV ILARYGLDGRKDAQMVHSNALNEDTQDELGDPRLEKLWHKAKTSGKFSSEELDKLWREFL HYKEKIQEYNVLLDTLSRAEEGYENLLSPSDMAHIKSDTLISKHSELKDRLRSINQGLDR LRKVSHQGYGSTTEFEEPRVIDLWDLAQSANFTEKELESFREELKHFEAKIEKHNHYQKQ LEISHQKLKHVESIGDPEHISRNKEKYVLLEEKTKELGYKVKKHLQDLSSRVSRARHNEL >ENSMUSP00000120233.1 pep:known chromosome:GRCm38:5:35093069:35102514:-1 gene:ENSMUSG00000029103.16 transcript:ENSMUST00000153664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrpap1 description:low density lipoprotein receptor-related protein associated protein 1 [Source:MGI Symbol;Acc:MGI:96829] XELHSDLKIQERDELNWKKLKDRLRSINQGLDRLRKVSHQGYGSTTEFEEPRVIDLWDLA QSANFTEKELESFREELKHFEAKIEKHNHYQKQLEISHQKLKHVESIGDPEHISRNKEKY VLLEEKTKELGYKVKKHLQDLSSRVSRARHNEL >ENSMUSP00000062542.2 pep:known chromosome:GRCm38:19:11828077:11829024:-1 gene:ENSMUSG00000048292.2 transcript:ENSMUST00000061235.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1417 description:olfactory receptor 1417 [Source:MGI Symbol;Acc:MGI:3031251] MEEGNQTGMVLFHFRPFSKLPEVQMLIFVLFLMMYLVSIGGNMSIVLTIWTNRCLHTPMY FFLANLASLEIFYSSTIAPLTLASILSTERTVVSLAGCGAQMFFFIFLGSADCILLAVMA YDRFVAICHPLRYTLIMSWHLCVQLALGSLLLGFILAMQLTVLIFRLPFCSSKEISLFYC DVLPVMRLACADTHVHEATLFVVSVIVLTIPFLLITLSYVFIVDAILKIRSAEGRHKAFS TCSSHLTVVLLQYGCGSLIYLCPSSSYSPERGQVVSVVYTFITPVLNPLIYSMRNRELKD ALRKVVISFLLLEKQ >ENSMUSP00000141471.1 pep:known chromosome:GRCm38:3:88575876:88583873:1 gene:ENSMUSG00000041355.13 transcript:ENSMUST00000192688.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr2 description:signal sequence receptor, beta [Source:MGI Symbol;Acc:MGI:1913506] MRLLAVVVLALLAVSQAEEGARLLASKSLLNRYAVEGRDLTLQYNIYNVGSSAALDVELS DDSFPPEDFGIVSGMLNVKWDRIAPASNVSHTVVLRPLKAGYFNFTSATITYLAQED >ENSMUSP00000141389.1 pep:known chromosome:GRCm38:3:88575939:88579983:1 gene:ENSMUSG00000041355.13 transcript:ENSMUST00000193069.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr2 description:signal sequence receptor, beta [Source:MGI Symbol;Acc:MGI:1913506] MRLLAVVVLALLAVSQAEEGARLLASKSLLNRYAV >ENSMUSP00000141591.1 pep:known chromosome:GRCm38:3:88575949:88579976:1 gene:ENSMUSG00000041355.13 transcript:ENSMUST00000194604.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr2 description:signal sequence receptor, beta [Source:MGI Symbol;Acc:MGI:1913506] MRLLAVVVLALLAVSQAEEGARLLASKSLLNR >ENSMUSP00000141441.1 pep:known chromosome:GRCm38:3:88579608:88588419:1 gene:ENSMUSG00000041355.13 transcript:ENSMUST00000195014.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr2 description:signal sequence receptor, beta [Source:MGI Symbol;Acc:MGI:1913506] MRLLAVVVLALLAVSQAEEGARLLASKSLLNRYAVEGRDLTLQYNIYNVGSSAALDVELS DDSFPPEDFGIVSGMLNVKWDRIAPASNVSHTVVLRPLKAGYFNFTSATITYLAQEDGPV VIGSTSAPGQGGILAQREFDRRFSPHFLDWAAFGVMTLPSIGIPLLLWYSSKRKYDTPKP KKN >ENSMUSP00000141325.1 pep:known chromosome:GRCm38:3:88579641:88588019:1 gene:ENSMUSG00000041355.13 transcript:ENSMUST00000193934.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr2 description:signal sequence receptor, beta [Source:MGI Symbol;Acc:MGI:1913506] MRLLAVVVLALLAVSQAEEGARLLASKSLLNRYAVEGRDLTLQYNIYNVGSSAALDVELS DDSFPPEDFGIVSVVLRPLKAGYFNFTSATITYLAQEDGPVVIGSTSAPGQGGILAQREF DRRFSPHFLDWAAFGVMTLPSIGIPLLLWYSSKRKYDTPKPKKN >ENSMUSP00000141922.1 pep:known chromosome:GRCm38:3:88579667:88588033:1 gene:ENSMUSG00000041355.13 transcript:ENSMUST00000192495.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr2 description:signal sequence receptor, beta [Source:MGI Symbol;Acc:MGI:1913506] MRLLAVVVLALLAVSQAEEGARLLASKSLLNRYAVEGRDLTLQYNIYNVGSSAALDVELS DDSFPPEDFGIVSGFRHLFFILNPWASASNVSHTVVLRPLKAGYFNFTSATITYLAQEDG PVVIGSTSAPGQGGILAQREFDRRFSPHFLDWAAFGVMTLPSIGIPLLLWYSSKRKYDTP KPKKN >ENSMUSP00000045456.7 pep:known chromosome:GRCm38:3:88579671:88588419:1 gene:ENSMUSG00000041355.13 transcript:ENSMUST00000035785.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr2 description:signal sequence receptor, beta [Source:MGI Symbol;Acc:MGI:1913506] MRLLAVVVLALLAVSQAEEGARLLASKSLLNRYAVEGRDLTLQYNIYNVGSSAALDVELS DDSFPPEDFGIVSGMLNVKWDRIAPASNVSHTVVLRPLKAGYFNFTSATITYLAQEDGPV VIGSTSAPGQGGILAQREFDRRFSPHFLDWAAFGVMTLPSIGIPLLLWYSSKRKYDTPKP KKN >ENSMUSP00000019723.7 pep:known chromosome:GRCm38:17:56175744:56183920:-1 gene:ENSMUSG00000019579.13 transcript:ENSMUST00000019723.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mydgf description:myeloid derived growth factor [Source:MGI Symbol;Acc:MGI:2156020] MAAPSGGFWTAVVLAAAALKLAAAVSEPTTVPFDVRPGGVVHSFSQDVGPGNKFTCTFTY ASQGGTNEQWQMSLGTSEDSQHFTCTIWRPQGKSYLYFTQFKAELRGAEIEYAMAYSKAA FERESDVPLKSEEFEVTKTAVSHRPGAFKAELSKLVIVAKAARSEL >ENSMUSP00000128588.1 pep:known chromosome:GRCm38:14:31552905:31640878:-1 gene:ENSMUSG00000021884.17 transcript:ENSMUST00000167175.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hacl1 description:2-hydroxyacyl-CoA lyase 1 [Source:MGI Symbol;Acc:MGI:1929657] MPESNSAEGSDRSEEQVSGAKVIAQALKTQDVEYMFGVVGIPVTEIALAAQELGIKYIGM RNEQAACYAASAVGYLTGRPGVCLVVSGPGLIHALGGMANANMNCWPLIVIGGSSERNQE AMGAFQEFPQIQGVLHATSS >ENSMUSP00000114922.1 pep:known chromosome:GRCm38:14:31598730:31640944:-1 gene:ENSMUSG00000021884.17 transcript:ENSMUST00000156431.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hacl1 description:2-hydroxyacyl-CoA lyase 1 [Source:MGI Symbol;Acc:MGI:1929657] MPESNSAEGSDRSEEQVSGAKVIAQALKTQDVEYMFGVVGIPVTEIALAAQELGIKYIGM RNEQAACYAASAVGYLTGRPGVCLVVSGPGLIHALGGMANANMNCWPLIVIGGSSERNQE AMGAFQEFPQVEACRLYTKFSARPSTIELIPFIIEKAVRSSIYGRPGACYIDIPADFVTL QANVTSIKYKECCMPPPVSMAETSAVCAAASVLRDAKQPLLIIGKGAAYSHAEDSIRKLV EQCSLPFLPTPMGKGVVPDNHPNCVGAARSRALQSADVIVLFGARLNWILHFGLPPRYQA DVKFIQIDICAEELGNNVRPSVILLGDIDAVSKQLLEQFDKTPWQCPPDSQWWKTLREKM KSNEAISKELASQKSLPMNYYTVFYHVQEQLPRDSFIVSEGANTMDIGRTMLQNCLPRHR LDAGSFGTMGVGLGFAIAAALVAKDRSPGQRVICVEGDSAFGFSGMEVETICRYNLPIIL LVVNNNGIYQGFDADTWEKMLHFQEAATTVPPMCLLPNSHYEQVMTAFGGKGYFVRTPEE LQHSLRQALQDTSKPCLLNIMIEPQSTRKAQDFHWLTRSNM >ENSMUSP00000022437.8 pep:known chromosome:GRCm38:14:31607226:31640964:-1 gene:ENSMUSG00000021884.17 transcript:ENSMUST00000022437.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacl1 description:2-hydroxyacyl-CoA lyase 1 [Source:MGI Symbol;Acc:MGI:1929657] MPESNSAEGSDRSEEQVSGAKVIAQALKTQDVEYMFGVVGIPVTEIALAAQELGIKYIGM RNEQAACYAASAVGYLTGRPGVCLVVSGPGLIHALGGMANANMNCWPLIVIGGSSERNQE AMGAFQEFPQVEACRLYTKFSARPSTIELIPFIIEKAVRSSIYGRPGACYIDIPADFVTL QANVTSIKYKECCMPPPVSMAETSAVCAAASVLRDAKQPLLIIGKGAAYSHAEDSIRKLV EQCSLPFLPTPMGKGVVPDNHPNCVGAARSRALQSADVIVLFGARLNWILHFGLPPRYQA DVKFIQIDICAEELGNNVRPSVILLGDIDAVSKQLLEQFDKTPWQCPPDSQWWKTLREKM KSNEAISKELASQKSLPMNYYTVFYHVQEQLPRDSFIVSEGANTMDIGRTMLQNCLPRHR LDAGSFGTMGVGLGFAIAAALVAKDRSPGQRVICVEGDSAFGFSGMEVETICRYNLPIIL LVVNNNGIYQGFDADTWEKMLHFQEAATTVPPMCLLPNSHYEQVMTAFGGKGYFVRTPEE LQHSLRQALQDTSKPCLLNIMIEPQSTRKAQDFHWLTRSNM >ENSMUSP00000132913.1 pep:known chromosome:GRCm38:14:31608011:31640878:-1 gene:ENSMUSG00000021884.17 transcript:ENSMUST00000167066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacl1 description:2-hydroxyacyl-CoA lyase 1 [Source:MGI Symbol;Acc:MGI:1929657] MPESNSAEGSDRSEEQVSGAKVIAQALKTQDVEYMFGVVGIPVTEIALAAQELGIKYIGM RNEQAACYAASAVGYLTGRPGVCLVVSGPGLIHALGGMANANMNCWPLIVIGGSSERNQE AMGAFQEFPQAVRSSIYGRPGACYIDIPADFVTLQANVTSIKYKECCMPPPVSMAETSAV CAAASVLRDAKQPLLIIGKGAAYSHAEDSIRKLVEQCSLPFLPTPMGKGVVPDNHPNCVG AARSRALQSADVIVLFGARLNWILHFGLPPRYQADVKFIQIDICAEELGNNVRPSVILLG DIDAVSKQELASQKSLPMNYYTVFYHVQEQLPRDSFIVSEGANTMDIGRTMLQNCLPRHR LDAGSFGTMGVGLGFAIAAALVAKDRSPGQRVICVEGDSAFGFSGMEVETICRYNLPIIL LVVNNNGIYQGFDADTWEKMLHFQEAATTVPPMCLLPNSHYEQVMTAFGGKGYFVRTPEE LQHSLRQALQDTSKPCLLNIMIEPQSTRKAQDFHWLTRSNM >ENSMUSP00000129090.1 pep:known chromosome:GRCm38:14:31608011:31640878:-1 gene:ENSMUSG00000021884.17 transcript:ENSMUST00000165955.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hacl1 description:2-hydroxyacyl-CoA lyase 1 [Source:MGI Symbol;Acc:MGI:1929657] MPESNSAEGSDRSEEQVSGAKVIAQALKTQDVEYMFGVVGIPVTEIALAAQELGIKYIGM RNEQAACYAASAVGYLTGRPGVCLVVSGPGLIHALGGMANANMNC >ENSMUSP00000130268.1 pep:known chromosome:GRCm38:14:31608011:31640878:-1 gene:ENSMUSG00000021884.17 transcript:ENSMUST00000171414.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hacl1 description:2-hydroxyacyl-CoA lyase 1 [Source:MGI Symbol;Acc:MGI:1929657] MPESNSAEGSDRSEEQVSGAKVIAQALKTQDVEYMFGVVGIPVTEIALAAQELGIKYIGM RNEQAACYAASAVGYLTGRPGVCLVVSGPGLIHALGGMANANMNCWLKLADCIPSSRPGQ AP >ENSMUSP00000120452.2 pep:known chromosome:GRCm38:14:31608011:31640912:-1 gene:ENSMUSG00000021884.17 transcript:ENSMUST00000127204.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacl1 description:2-hydroxyacyl-CoA lyase 1 [Source:MGI Symbol;Acc:MGI:1929657] MPESNSAEGSDRSEEQVSGAKVIAQALKTQDVEYMFGVVGIPVTEIALAAQELGIKYIGM RNEQAACYAASAVGYLTGRPLIVIGGSSERNQEAMGAFQEFPQVEACRLYTKFSARPSTI ELIPFIIEKAVRSSIYGRPGACYIDIPADFVTLQANVTSIKYKECCMPPPVSMAETSAVC AAASVLRDAKQPLLIIGKGAAYSHAEDSIRKLVEQCSLPFLPTPMGKGVVPDNHPNCVGA ARSRALQSADVIVLFGARLNWILHFGLPPRYQADVKFIQIDICAEELGNNVRPSVILLGD IDAVSKQLLEQFDKTPWQCPPDSQWWKTLREKMKSNEAISKELASQKSLPMNYYTVFYHV QEQLPRDSFIVSEGANTMDIGRTMLQNCLPRHRLDAGSFGTMGVGLGFAIAAALVAKDRS PGQRVICVEGDSAFGFSGMEVETICRYNLPIILLVVNNNGIYQGFDADTWEKMLHFQEAA TTVPPMCLLPNSHYEQVMTAFGGKGYFVRTPEELQHSLRQALQDTSKPCLLNIMIEPQST RKAQDFHWLTRSNM >ENSMUSP00000114879.1 pep:known chromosome:GRCm38:14:31626426:31641172:-1 gene:ENSMUSG00000021884.17 transcript:ENSMUST00000134626.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hacl1 description:2-hydroxyacyl-CoA lyase 1 [Source:MGI Symbol;Acc:MGI:1929657] MPESNSAEGSDRSEEQVSGAKVIAQALKTQDVEYMFGVVGIPVTEIALAAQELGIKYIGM RNEQAALDCDWWFF >ENSMUSP00000125890.1 pep:known chromosome:GRCm38:14:31629767:31641257:-1 gene:ENSMUSG00000021884.17 transcript:ENSMUST00000128629.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hacl1 description:2-hydroxyacyl-CoA lyase 1 [Source:MGI Symbol;Acc:MGI:1929657] MCHPLHTGLSCIATLVEDKTKDVEYMFGVVGIPVTEIALAAQELGIKYIGMRNEQAALDC DWWFF >ENSMUSP00000078800.3 pep:known chromosome:GRCm38:19:11854930:11856001:-1 gene:ENSMUSG00000060556.3 transcript:ENSMUST00000079875.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1418 description:olfactory receptor 1418 [Source:MGI Symbol;Acc:MGI:3031252] MEEGNQTGMVLFHFRPFSKLPEVQMLIFVLFLMMYLVSIGGNMSIVLTIWTNRCLHTPMY FFLANLASLEIFYSSTIAPLTLASILSTERTVVSLAGCGAQMFFFIFLGSADCILLAVMA YDRFVAICHPLRYTLIMSWHLCVQLALGSLLLGFILAMQLTVLIFQLPFCSSKEISLFYC DVLPVMRLACADTHVHEATLFVVSVIVLTIPFLLITLSYVFIVDAILKIRSAEGRHKAFS TCSSHLTVVLLQYGCTSLIYLCPSSSYSPERGQVVSVVYTFITPVLNPLIYSMRNRELKD ALRRVIMKLVLIQTQEAL >ENSMUSP00000055246.1 pep:known chromosome:GRCm38:11:98982180:98983016:-1 gene:ENSMUSG00000047197.1 transcript:ENSMUST00000062931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjd3 description:gap junction protein, delta 3 [Source:MGI Symbol;Acc:MGI:2384150] MGEWAFLGSLLDAVQLQSPLVGRLWLVIMLIFRILVLATVGGAVFEDEQEEFVCNTLQPG CRQTCYDRAFPVSHYRFWLFHILLLSAPPVLFVIYSMHQASKEAGGAQLAPPCARGRAEA PCSPCALRARRARRCYLLSVALRLLAELAFLGGQALLYGFRVDPHYACAGPPCPHTVDCF VSRPTEKTVFVVFYFAVGLLSALLSVAELGHLLWKGRQRAKLLPPPPPSPSLPSQRGDPD PFGPPAYAHRSPAGDSEGEGGSGHSKASLATVRQDLAI >ENSMUSP00000048183.7 pep:known chromosome:GRCm38:7:98121559:98178274:-1 gene:ENSMUSG00000035547.14 transcript:ENSMUST00000040971.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn5 description:calpain 5 [Source:MGI Symbol;Acc:MGI:1100859] MFSCAKAYEDQNYSALKRACLRKKVLFEDPLFPATDDSLYYKGTPGPTVRWKRPKDICDD PRLFVDGISSHDLHQGQVGNCWFVAACSSLASRESLWQKVIPDWKEQEWNPEKPDSYAGI FHFNFWRFGEWVDVIVDDRLPTVNNQLIYCHSNSKNEFWCALVEKAYAKLAGCYQALDGG NTADALVDFTGGVSEPIDLTEGDLATDEAKRNQLFERVLKVHSRGGLISASIKAVTAADM EARLACGLVKGHAYAVTDVRKVRLGHGLLAFFKSEKLDMIRLRNPWGEREWTGPWSDTSE EWQKVSKSEREKMGVTVQDDGEFWMTFEDMCRYFTDIIKCRLINTSYLSIHKTWEEARLH GAWTRHEDPQQNRSGGCINHKDTFFQNPQYVFEVKKPEDEVLISIQQRPKRSTRREGKGE NLAIGFDIYKVEENRQYRMHSLQHKAASSIYINSRSVFLRTELPEGRYVIIPTTFEPGHT GEFLLRVFTDVPSNCRELRLDEPPRTCWSSLCGYPQQVAQVHVLGAAGLKDSPTGANSYV IIKCEGEKVRSAVQRGTSTPEYNVKGIFYRKKLAQPITVQVWNHRVLKDEFLGQVHLKTA PDDLQDLHTLHLQDRSSRQPSDLPGIVAVRVLCSASLTAV >ENSMUSP00000102729.1 pep:known chromosome:GRCm38:7:98123661:98162342:-1 gene:ENSMUSG00000035547.14 transcript:ENSMUST00000107112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn5 description:calpain 5 [Source:MGI Symbol;Acc:MGI:1100859] MFSCAKAYEDQNYSALKRACLRKKVLFEDPLFPATDDSLYYKGTPGPTVRWKRPKDICDD PRLFVDGISSHDLHQGQVGNCWFVAACSSLASRESLWQKVIPDWKEQEWNPEKPDSYAGI FHFNFWRFGEWVDVIVDDRLPTVNNQLIYCHSNSKNEFWCALVEKAYAKLAGCYQALDGG NTADALVDFTGGVSEPIDLTEGDLATDEAKRNQLFERVLKVHSRGGLISASIKAVTAADM EARLACGLVKGHAYAVTDVRKVRLGHGLLAFFKSEKLDMIRLRNPWGEREWTGPWSDTSE EWQKVSKSEREKMGVTVQDDGEFWMTFEDMCRYFTDIIKCRLINTSYLSIHKTWEEARLH GAWTRHEDPQQNRSGGCINHKDTFFQNPQYVFEVKKPEDEVLISIQQRPKRSTRREGKGE NLAIGFDIYKVEENRQYRMHSLQHKAASSIYINSRSVFLRTELPEGRYVIIPTTFEPGHT GEFLLRVFTDVPSNCRELRLDEPPRTCWSSLCGYPQQVAQVHVLGAAGLKDSPTGANSYV IIKCEGEKVRSAVQRGTSTPEYNVKGIFYRKKLAQPITVQVWNHRVLKDEFLGQVHLKTA PDDLQDLHTLHLQDRSSRQPSDLPGIVAVRVLCSASLTAV >ENSMUSP00000116697.1 pep:known chromosome:GRCm38:7:98161981:98164962:-1 gene:ENSMUSG00000035547.14 transcript:ENSMUST00000155056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn5 description:calpain 5 [Source:MGI Symbol;Acc:MGI:1100859] MAKVPPLLGDVVTMFSCAKAYEDQNYSALKRACLRKKVLFEDPLFP >ENSMUSP00000121200.1 pep:known chromosome:GRCm38:7:98161998:98165441:-1 gene:ENSMUSG00000035547.14 transcript:ENSMUST00000129430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn5 description:calpain 5 [Source:MGI Symbol;Acc:MGI:1100859] MFSCAKAYEDQNYSALKRACLRKKVLF >ENSMUSP00000045272.8 pep:known chromosome:GRCm38:16:20605451:20610768:-1 gene:ENSMUSG00000033809.14 transcript:ENSMUST00000045918.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg3 description:asparagine-linked glycosylation 3 (alpha-1,3-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:1098592] MAAGLRKRGQPASVGQPAGIWKQWLQRAWQERYLLLREPRYTLLVASCLCIAEVGITFWV IHRVAYTEIDWKAYMAQVEGFINGTYDYTQLQGDTGPLVYPAGFLYIFTGLFYATDRGTD IPMAQNIFAVLYLVTLVLVFLIYHQTSKVPPFVFFFMCCASYRVHSIFVLRLFNDPVAMA LLFLSINLFLAQCWSWGCCCFSLAVSVKMNVLLFAPGLLFLLLTQFGFRGALPKLAICAA LQVVLGLPFLLENPIGYLSRSFDLGRQFLFQWTVNWRFLPETIFLHRAFHLALLAAHLSL LLLFALCRWHRTGESILALLKDPSKRKVPPQALTPNQIVSILFTSNFIGICFSRSLHYQF YVWYFHTLPYLLWAMPARWLTHLLRLLVLGLIELSWNTYPSTSFSSAALHLCHAVVLLQL WLSPESFPKSIQPSRKTH >ENSMUSP00000120559.1 pep:known chromosome:GRCm38:16:20605472:20610731:-1 gene:ENSMUSG00000033809.14 transcript:ENSMUST00000127454.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg3 description:asparagine-linked glycosylation 3 (alpha-1,3-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:1098592] XWAAETRPAGFCRPAGRNLETMATACLARTLPTAAGTALHIAGGLLPLHSRGGHHLLGHS QGGIYPAGFLYIFTGLFYATDRGTDIPMAQNIFAVLYLVTLVLVFLIYHQTSKPGCLGED ECAALRPGIAIPSSYSVWLPWCPPQAGHLCCSSGGAGIALPAGEPHWLPIPLL >ENSMUSP00000123378.1 pep:known chromosome:GRCm38:16:20605473:20610747:-1 gene:ENSMUSG00000033809.14 transcript:ENSMUST00000123774.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg3 description:asparagine-linked glycosylation 3 (alpha-1,3-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:1098592] MAAGLRKRGQPASVGQPAGIWKQWLQRAWQERYLLLREPRYTLLVASCLCIAEVGITFWV IHRVASTQLGFCTSLRGYSMLLTGALISLWPRTSLLYSTLSPWCLFS >ENSMUSP00000113433.1 pep:known chromosome:GRCm38:7:4424770:4445601:-1 gene:ENSMUSG00000008435.15 transcript:ENSMUST00000119485.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rdh13 description:retinol dehydrogenase 13 (all-trans and 9-cis) [Source:MGI Symbol;Acc:MGI:1918732] MSRFLLPVSVVGTVIGGTVLLKDYVAGGACPSKATIPGKTVIVTGANTGIGKQTALELAK RGGNVILACRDMEKCEVAAKDIRGETLNPRVRAERLDLASLKSIREFARKVIKGHFLLTN LLLDKLKASAPSRIINLSSLAHVAGHIDFEDLNWQMKKYDTKAAYCQSKLAVVLFTKELS HRLQGSGVTVNALHPGVARTELGRHTGMHNSAFSGFMLGPFFWLLFKSPQLAAQPSTYLA VAEELENVSGKYFDGLREKAPSPEAEDEEVARRLWTESARLVGLAMAHGSPGRGHAIPR >ENSMUSP00000008579.7 pep:known chromosome:GRCm38:7:4425667:4445649:-1 gene:ENSMUSG00000008435.15 transcript:ENSMUST00000008579.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh13 description:retinol dehydrogenase 13 (all-trans and 9-cis) [Source:MGI Symbol;Acc:MGI:1918732] MSRFLLPVSVVGTVIGGTVLLKDYVAGGACPSKATIPGKTVIVTGANTGIGKQTALELAK RGGNVILACRDMEKCEVAAKDIRGETLNPRVRAERLDLASLKSIREFARKVIKEEERVDI LVNNAAVMRCPHWTTEDGFEMQFGVNYLGHFLLTNLLLDKLKASAPSRIINLSSLAHVAG HIDFEDLNWQMKKYDTKAAYCQSKLAVVLFTKELSHRLQGSGVTVNALHPGVARTELGRH TGMHNSAFSGFMLGPFFWLLFKSPQLAAQPSTYLAVAEELENVSGKYFDGLREKAPSPEA EDEEVARRLWTESARLVGLAMAHGSPGRGHAIPR >ENSMUSP00000114390.1 pep:known chromosome:GRCm38:7:4435033:4445181:-1 gene:ENSMUSG00000008435.15 transcript:ENSMUST00000138798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh13 description:retinol dehydrogenase 13 (all-trans and 9-cis) [Source:MGI Symbol;Acc:MGI:1918732] MRDYVAGGACPSKATIPGKTVIVTGANTGIGKQTALELAKRGGNVILACRDMEKCEVAAK DIRGETLNPRVRAERLDLASLKSIREFARKVIKEEERVDILVNNAAVMRCPHWTT >ENSMUSP00000104991.1 pep:known chromosome:GRCm38:11:32642724:32746569:1 gene:ENSMUSG00000020271.17 transcript:ENSMUST00000109366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw11 description:F-box and WD-40 domain protein 11 [Source:MGI Symbol;Acc:MGI:2144023] MEPDSVIEDKTIELMNTSVMEDQNEDESPKKSALWQISNGTSSVIVSRKRPSEGNYQKEK DLCIKYFDQWSESDQVEFVEHLISRMCHYQHGHINSYLKPMLQRDFITALPEQGLDHIAE NILSYLDARSLCAAELVCKEWQRVISEGMLWKKLIERMVRTDPLWKGLSERRGWDQYLFK NRPTDGPPNSFYRSLYPKIIQDIETIESNWRCGRHNLQRIQCRSENSKGVYCLQYDDDKI ISGLRDNSIKIWDKSSLECLKVLTGHTGSVLCLQYDERVIVTGSSDSTVRVWDVNTGEVL NTLIHHNEAVLHLRFSNGLMVTCSKDRSIAVWDMASATDITLRRVLVGHRAAVNVVDFDD KYIVSASGDRTIKVWSTSTCEFVRTLNGHKRGIACLQYRDRLVVSGSSDNTIRLWDIECG ACLRVLEGHEELVRCIRFDNKRIVSGAYDGKIKVWDLQAALDPRAPASTLCLRTLVEHSG RVFRLQFDEFQIISSSHDDTILIWDFLNVPPSAQNETRSPSRTYTYISR >ENSMUSP00000090893.6 pep:known chromosome:GRCm38:11:32642866:32746789:1 gene:ENSMUSG00000020271.17 transcript:ENSMUST00000093205.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw11 description:F-box and WD-40 domain protein 11 [Source:MGI Symbol;Acc:MGI:2144023] MEPDSVIEDKTIELMCSVPRSLWLGCANLVESMCALSCLQSMPSVRCLQISNGTSSVIVS RKRPSEGNYQKEKDLCIKYFDQWSESDQVEFVEHLISRMCHYQHGHINSYLKPMLQRDFI TALPEQGLDHIAENILSYLDARSLCAAELVCKEWQRVISEGMLWKKLIERMVRTDPLWKG LSERRGWDQYLFKNRPTDGPPNSFYRSLYPKIIQDIETIESNWRCGRHNLQRIQCRSENS KGVYCLQYDDDKIISGLRDNSIKIWDKSSLECLKVLTGHTGSVLCLQYDERVIVTGSSDS TVRVWDVNTGEVLNTLIHHNEAVLHLRFSNGLMVTCSKDRSIAVWDMASATDITLRRVLV GHRAAVNVVDFDDKYIVSASGDRTIKVWSTSTCEFVRTLNGHKRGIACLQYRDRLVVSGS SDNTIRLWDIECGACLRVLEGHEELVRCIRFDNKRIVSGAYDGKIKVWDLQAALDPRAPA STLCLRTLVEHSGRVFRLQFDEFQIISSSHDDTILIWDFLNVPPSAQNETRSPSRTYTYI SR >ENSMUSP00000116834.1 pep:known chromosome:GRCm38:11:32642904:32720574:1 gene:ENSMUSG00000020271.17 transcript:ENSMUST00000143290.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxw11 description:F-box and WD-40 domain protein 11 [Source:MGI Symbol;Acc:MGI:2144023] XVIEDKTIELMLPKCMFGILLKKAGYSKRKESWKMLDCCLFSD >ENSMUSP00000075721.7 pep:known chromosome:GRCm38:11:32642875:32746816:1 gene:ENSMUSG00000020271.17 transcript:ENSMUST00000076383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw11 description:F-box and WD-40 domain protein 11 [Source:MGI Symbol;Acc:MGI:2144023] MEPDSVIEDKTIELMCSVPRSLWLGCANLVESMCALSCLQSMPSVRCLQNTSVMEDQNED ESPKKSALWQISNGTSSVIVSRKRPSEGNYQKEKDLCIKYFDQWSESDQVEFVEHLISRM CHYQHGHINSYLKPMLQRDFITALPEQGLDHIAENILSYLDARSLCAAELVCKEWQRVIS EGMLWKKLIERMVRTDPLWKGLSERRGWDQYLFKNRPTDGPPNSFYRSLYPKIIQDIETI ESNWRCGRHNLQRIQCRSENSKGVYCLQYDDDKIISGLRDNSIKIWDKSSLECLKVLTGH TGSVLCLQYDERVIVTGSSDSTVRVWDVNTGEVLNTLIHHNEAVLHLRFSNGLMVTCSKD RSIAVWDMASATDITLRRVLVGHRAAVNVVDFDDKYIVSASGDRTIKVWSTSTCEFVRTL NGHKRGIACLQYRDRLVVSGSSDNTIRLWDIECGACLRVLEGHEELVRCIRFDNKRIVSG AYDGKIKVWDLQAALDPRAPASTLCLRTLVEHSGRVFRLQFDEFQIISSSHDDTILIWDF LNVPPSAQNETRSPSRTYTYISR >ENSMUSP00000069631.3 pep:known chromosome:GRCm38:2:69380445:69404533:1 gene:ENSMUSG00000027068.6 transcript:ENSMUST00000063690.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs9 description:dehydrogenase/reductase (SDR family) member 9 [Source:MGI Symbol;Acc:MGI:2442798] MLFWLLALLFLCAFLWNYKGQLKIADIADKYVFITGCDTGFGNLAARTFDKKGFRVIAAC LTESGSAALKAKTSERLHTVLLDVTDPENVKKTAQWVKSHVGEKGLWGLINNAGVLGVLA PTDWLTVDDYREPIEVNLFGLINVTLNMLPLVKKARGRVINVSSIGGRLAFGGGGYTPSK YAVEGFNDSLRRDMKAFGVHVSCIEPGLFKTELADPIKTTEKKLAIWKHLSPDIKQQYGE GYIEKSLHRLKSNTSSVNLDLSLVVGCMDHALTSLFPKTRYIAGKDAKTFWIPLSHMPAV LQDFLLLKQKVELANPKAV >ENSMUSP00000144881.1 pep:known chromosome:GRCm38:6:93675455:94283917:-1 gene:ENSMUSG00000045095.16 transcript:ENSMUST00000204347.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi1 description:membrane associated guanylate kinase, WW and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1203522] MSKVIQKKNHWTGRVHECTVKRGPQGELGVTVLGGAEHGEFPYVGAVAAAEAAGLPGGGE GPKLAEGELLLEVQGVRVSGLPRYDVLGVIDSCKEAVTFKAVRQGGRLNKDLRHFLNQRF QKGSPDHELQQTIRDNLYRHAVPCTTRSPREGEVPGVDYSFLTVKEFLDLEQSGTLLEVG TYEGNYYGTPKPPSQPVSGKVITTDALHSLQSGSKQSTPKRTKSYNDMQNAGIVHPENEE EEDVPEMNSSFTADSGDQDEHTLQEATLPPVNSSILAAPITDPSQKFPQYLPLSAEDNLG PLPENWEMAYTENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEECEDDEGVHTEELDSELE LPAGWEKIEDPVYGVYYVDHINRKTQYENPVLEAKRKKQLEQQQQQQQPQPPQPEEWTED HASVVPPVAPSHPPSNPEPARETPLQGKPFFTRNPSELKGKFIHTKLRKSSRGFGFTVVG GDEPDEFLQIKSLVLDGPAALDGKMETGDVIVSVNDTCVLGHTHAQVVKIFQSIPIGASV DLELCRGYPLPFDPDDPNTSLVTSVAILDKEPIIVNGQETYDSPASHSSKTGKVSSMKDA RPSSPADVASNSSHGYPNDTVSLASSIATQPELITVHIVKGPMGFGFTIADSPGGGGQRV KQIVDSPRCRGLKEGDLIVEVNKKNVQALTHNQVVDMLIECPKGSEVTLLVQRGGLPVPK KSPKSQPLERKDSQNSSQHSVSSHRSLHTASPSHGIQVLPEYLPADAPAPDQTDSSGQKK PDPFKIWAQSRSMYENRPMSPSPASGLSKGERDREINSTNFGECQIPDYQEQDIFLWRKE TGFGFRILGGNEPGEPIYIGHIVPLGAADTDGRLRSGDELICVDGTPVIGKSHQLVVQLM QQAAKQGHVNLTVRRKVVFAVPKAENEVPSPASSHHSSNQPASLTEEKRTPQGSQNSLNT VSSGSGSTSGIGSGGGGGSGVVSAVLQPYDVEIRRGENEGFGFVIVSSVSRPEAGTTFAG NACVAMPHKIGRIIEGSPADRCGKLKVGDRILAVNGCSITNKSHSDIVNLIKEAGNTVTL RIIPGDESSNATLLTNAEKIATITTTHAPSQQGTQETRTTTKPKQDSQFEFKGPQAAQEQ DFYTVELERGAKGFGFSLRGGREYNMDLYVLRLAEDGPAERCGKMRIGDEILEINGETTK NMKHSRAIELIKNGGRRVRLFLRRGDGSVPEYAMIPPKIAACMRNEKLGEACFYLMGHNQ TTTPAATGTAPPPVHKVFRK >ENSMUSP00000091283.5 pep:known chromosome:GRCm38:6:93678036:93913690:-1 gene:ENSMUSG00000045095.16 transcript:ENSMUST00000093769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi1 description:membrane associated guanylate kinase, WW and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1203522] MQNAGIVHPENEEEEDVPEMNSSFTADSGDQDEHTLQEATLPPVNSSILAAPITDPSQKF PQYLPLSAEDNLGPLPENWEMAYTENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEECEDD EGVHTEELDSELELPAGWEKIEDPVYGVYYVDHINRKTQYENPVLEAKRKKQLEQQQQQQ QPQPPQPEEWTEDHASVVPPVAPSHPPSNPEPARETPLQGKPFFTRNPSELKGKFIHTKL RKSSRGFGFTVVGGDEPDEFLQIKSLVLDGPAALDGKMETGDVIVSVNDTCVLGHTHAQV VKIFQSIPIGASVDLELCRGYPLPFDPDDPNTSLVTSVAILDKEPIIVNGQETYDSPASH SSKTGKVSSMKDARPSSPADVASNSSHGYPNDTVSLASSIATQPELITVHIVKGPMGFGF TIADSPGGGGQRVKQIVDSPRCRGLKEGDLIVEVNKKNVQALTHNQVVDMLIECPKGSEV TLLVQRGGLPVPKKSPKSPLERKDSQNSSQHSVSSHRSLHTASPSHGIQVLPEYLPADAP APDQTDSSGQKKPDPFKIWAQSRSMYENRPMSPSPASGLSKGERDREINSTNFGECQIPD YQEQDIFLWRKETGFGFRILGGNEPGEPIYIGHIVPLGAADTDGRLRSGDELICVDGTPV IGKSHQLVVQLMQQAAKQGHVNLTVRRKVVFAVPKAENEVPSPASSHHSSNQPASLTEEK RTPQGSQNSLNTVSSGSGSTSGIGSGGGGGSGVVSAVLQPYDVEIRRGENEGFGFVIVSS VSRPEAGTTFAGNACVAMPHKIGRIIEGSPADRCGKLKVGDRILAVNGCSITNKSHSDIV NLIKEAGNTVTLRIIPGDESSNATLLTNAEKIATITTTHAPSQQGTQETRTTTKPKQDSQ FEFKGPQAAQEQDFYTVELERGAKGFGFSLRGGREYNMDLYVLRLAEDGPAERCGKMRIG DEILEINGETTKNMKHSRAIELIKNGGRRVRLFLRRGDGSVPEYDPSSDRNGPSTGAQGV PEVRPGPPDHRPHPALESSYPPELHKSSQHAEKRAHAKDPKGNREHSKQPNEHHTWNGTS RKQDSGACRPKDRPPDAWREAQPERTATNGSKRRSPEKRREGTRSADNTLERREKHEKRR EISPERKRERSPTRRKDSSPSRRRRSLERLLDQRRSPERRRGGSPERRAKSTDRRRARSP ERRRERSLDKRNRDDKVGHREREEAGLKLEAGRSPRNPPEQRRRPYKECSTDLSI >ENSMUSP00000145515.1 pep:known chromosome:GRCm38:6:93681478:93913554:-1 gene:ENSMUSG00000045095.16 transcript:ENSMUST00000203688.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi1 description:membrane associated guanylate kinase, WW and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1203522] MQNAGIVHPENEEEEDVPEMNSSFTADSGDQDEHTLQEATLPPVNSSILAAPITDPSQKF PQYLPLSAEDNLGPLPENWEMAYTENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEECEDD EGVHTEELDSELELPAGWEKIEDPVYGVYYVDHINRKTQYENPVLEAKRKKQLEQQQQQQ QPQPPQPEEWTEDHASVVPPVAPSHPPSNPEPARETPLQGKPFFTRNPSELKGKFIHTKL RKSSRGFGFTVVGGDEPDEFLQIKSLVLDGPAALDGKMETGDVIVSVNDTCVLGHTHAQV VKIFQSIPIGASVDLELCRGYPLPFDPDDPNTSLVTSVAILDKEPIIVNGQETYDSPASH SSKTGKVSSMKDARPSSPADVASNSSHGYPNDTVSLASSIATQPELITVHIVKGPMGFGF TIADSPGGGGQRVKQIVDSPRCRGLKEGDLIVEVNKKNVQALTHNQVVDMLIECPKGSEV TLLVQRGGLPVPKKSPKSQPLERKDSQNSSQHSVSSHRSLHTASPSHGIQVLPEYLPADA PAPDQTDSSGQKKPDPFKIWAQSRSMYENRPMSPSPASGLSKGERDREINSTNFGECQIP DYQEQDIFLWRKETGFGFRILGGNEPGEPIYIGHIVPLGAADTDGRLRSGDELICVDGTP VIGKSHQLVVQLMQQAAKQGHVNLTVRRKVVFAVPKAENEVPSPASSHHSSNQPASLTEE KRTPQGSQNSLNTVSSGSGSTSGIGSGGGGGSGVVSAVLQPYDVEIRRGENEGFGFVIVS SVSRPEAGTTFGNACVAMPHKIGRIIEGSPADRCGKLKVGDRILAVNGCSITNKSHSDIV NLIKEAGNTVTLRIIPGDESSNATLLTNAEKIATITTTHAPSQQGTQETRTTTKPKQDSQ FEFKGPQAAQEQDFYTVELERGAKGFGFSLRGGREYNMDLYVLRLAEDGPAERCGKMRIG DEILEINGETTKNMKHSRAIELIKNGGRRVRLFLRRGDGSVPEYGGSNYENIPSFPGMTP >ENSMUSP00000144769.1 pep:known chromosome:GRCm38:6:93682067:93912963:-1 gene:ENSMUSG00000045095.16 transcript:ENSMUST00000204532.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Magi1 description:membrane associated guanylate kinase, WW and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1203522] MQNAGIVHPENEEEEDVPEMNSSFTADSGDQDEHTLQEATLPPVNSSILAAPITDPSQKF PQYLPLSAEDNLGPLPENWEMAYTENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEECEDD EGVHTEELDSELELPAGWEKIEDPVYGVYYVDHINRKTQYENPVLEAKRKKQLEQQQQQQ QPQPPQPEEWTEDHASVVPPVAPSHPPSNPEPARETPLQGKPFFTRNPSELKGKFIHTKL RKSSRGFGFTVVGGDEPDEFLQIKSLVLDGPAALDGKMETGDVIVSVNDTCVLGHTHAQV VKIFQSIPIGASVDLELCRGYPLPFDPDDPNTSLVTSVAILDKEPIIVNGQETYDSPASH SSKTGKVSSMKDARPSSPADVASNSSHGYPNDTVSLASSIATQPELITVHIVKGPMGFGF TIADSPGGGGQRVKQIVDSPRCRGLKEGDLIVEVNKKNVQALTHNQVVDMLIECPKGSEV TLLVQRGATGEERQPE >ENSMUSP00000145244.1 pep:known chromosome:GRCm38:6:93683011:94283895:-1 gene:ENSMUSG00000045095.16 transcript:ENSMUST00000203519.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi1 description:membrane associated guanylate kinase, WW and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1203522] MSKVIQKKNHWTGRVHECTVKRGPQGELGVTVLGGAEHGEFPYVGAVAAAEAAGLPGGGE GPKLAEGELLLEVQGVRVSGLPRYDVLGVIDSCKEAVTFKAVRQGGRLNKDLRHFLNQRF QKGSPDHELQQTIRDNLYRHAVPCTTRSPREGEVPGVDYSFLTVKEFLDLEQSGTLLEVG TYEGNYYGTPKPPSQPVSGKVITTDALHSLQSGSKQSTPKRTKSYNDMQNAGIVHPENEE EEDVPEMNSSFTADSGDQDEHTLQEATLPPVNSSILAAPITDPSQKFPQYLPLSAEDNLG PLPENWEMAYTENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEECEDDEGVHTEELDSELE LPAGWEKIEDPVYGVYYVDHINRKTQYENPVLEAKRKKQLEQQQQQQQPQPPQPEEWTED HASVVPPVAPSHPPSNPEPARETPLQGKPFFTRNPSELKGKFIHTKLRKSSRGFGFTVVG GDEPDEFLQIKSLVLDGPAALDGKMETGDVIVSVNDTCVLGHTHAQVVKIFQSIPIGASV DLELCRGYPLPFDPDDPNTSLVTSVAILDKEPIIVNGQETYDSPASHSSKTGKVSSMKDA RPSSPADVASNSSHGYPNDTVSLASSIATQPELITVHIVKGPMGFGFTIADSPGGGGQRV KQIVDSPRCRGLKEGDLIVEVNKKNVQALTHNQVVDMLIECPKGSEVTLLVQRGGLPVPK KSPKSPLERKDSQNSSQHSVSSHRSLHTASPSHGIQVLPEYLPADAPAPDQTDSSGQKKP DPFKIWAQSRSMYENRLPDYQEQDIFLWRKETGFGFRILGGNEPGEPIYIGHIVPLGAAD TDGRLRSGDELICVDGTPVIGKSHQLVVQLMQQAAKQGHVNLTVRRKVVFAVPKAENEVP SPASSHHSSNQPASLTEEKRTPQGSQNSLNTVSSGSGSTSGIGSGGGGGSGVVSAVLQPY DVEIRRGENEGFGFVIVSSVSRPEAGTTFESSNATLLTNAEKIATITTTHAPSQQGTQET RTTTKPKQDSQFEFKGPQAAQEQDFYTVELERGAKGFGFSLRGGREYNMDLYVLRLAEDG PAERCGKMRIGDEILEINGETTKNMKHSRAIELIK >ENSMUSP00000145251.1 pep:known chromosome:GRCm38:6:93773616:93912504:-1 gene:ENSMUSG00000045095.16 transcript:ENSMUST00000205116.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi1 description:membrane associated guanylate kinase, WW and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1203522] MQNAGIVHPENEEEEDVPEMNSSFTADSGDQDEHTLQEATLPPVNSSILAAPITDPSQKF PQYLPLSAEDNLGPLPENWEMAYTENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEEC >ENSMUSP00000145434.1 pep:known chromosome:GRCm38:6:93785303:93792539:-1 gene:ENSMUSG00000045095.16 transcript:ENSMUST00000204788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi1 description:membrane associated guanylate kinase, WW and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1203522] TPKPPSQPVSGKVITTDALHSLQSGSKQSTPKRTKSYNDMQNAGIVHPENEEEEDVPEMN SSFTADSGDQDEHTLQEATLPPVNSSILAAPITDPSQKFPQYLPLSAEDNLGPLPENWEM AYTENGEVYFIE >ENSMUSP00000145200.1 pep:known chromosome:GRCm38:6:93792404:93913256:-1 gene:ENSMUSG00000045095.16 transcript:ENSMUST00000204167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi1 description:membrane associated guanylate kinase, WW and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1203522] MQNAGI >ENSMUSP00000086730.5 pep:known chromosome:GRCm38:6:93675455:94283322:-1 gene:ENSMUSG00000045095.16 transcript:ENSMUST00000089317.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi1 description:membrane associated guanylate kinase, WW and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1203522] MSKVIQKKNHWTGRVHECTVKRGPQGELGVTVLGGAEHGEFPYVGAVAAAEAAGLPGGGE GPKLAEGELLLEVQGVRVSGLPRYDVLGVIDSCKEAVTFKAVRQGGRLNKDLRHFLNQRF QKGSPDHELQQTIRDNLYRHAVPCTTRSPREGEVPGVDYSFLTVKEFLDLEQSGTLLEVG TYEGNYYGTPKPPSQPVSGKVITTDALHSLQSGSKQSTPKRTKSYNDMQNAGIVHPENEE EEDVPEMNSSFTADSGDQDEHTLQEATLPPVNSSILAAPITDPSQKFPQYLPLSAEDNLG PLPENWEMAYTENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEECEDDEGVHTEELDSELE LPAGWEKIEDPVYGVYYVDHINRKTQYENPVLEAKRKKQLEQQQQQQQPQPPQPEEWTED HASVVPPVAPSHPPSNPEPARETPLQGKPFFTRNPSELKGKFIHTKLRKSSRGFGFTVVG GDEPDEFLQIKSLVLDGPAALDGKMETGDVIVSVNDTCVLGHTHAQVVKIFQSIPIGASV DLELCRGYPLPFDPDDPNTSLVTSVAILDKEPIIVNGQETYDSPASHSSKTGKVSSMKDA RPSSPADVASNSSHGYPNDTVSLASSIATQPELITVHIVKGPMGFGFTIADSPGGGGQRV KQIVDSPRCRGLKEGDLIVEVNKKNVQALTHNQVVDMLIECPKGSEVTLLVQRGGLPVPK KSPKSQPLERKDSQNSSQHSVSSHRSLHTASPSHGIQVLPEYLPADAPAPDQTDSSGQKK PDPFKIWAQSRSMYENRPMSPSPASGLSKGERDREINSTNFGECQIPDYQEQDIFLWRKE TGFGFRILGGNEPGEPIYIGHIVPLGAADTDGRLRSGDELICVDGTPVIGKSHQLVVQLM QQAAKQGHVNLTVRRKVVFAVPKAENEVPSPASSHHSSNQPASLTEEKRTPQGSQNSLNT VSSGSGSTSGIGSGGGGGSGVVSAVLQPYDVEIRRGENEGFGFVIVSSVSRPEAGTTFGR IIEGSPADRCGKLKVGDRILAVNGCSITNKSHSDIVNLIKEAGNTVTLRIIPGDESSNAT LLTNAEKIATITTTHAPSQQGTQETRTTTKPKQDSQFEFKGPQAAQEQDFYTVELERGAK GFGFSLRGGREYNMDLYVLRLAEDGPAERCGKMRIGDEILEINGETTKNMKHSRAIELIK NGGRRVRLFLRRGDGSVPEYDPSSDRNGPSTGAQGVPEVRPGPPDHRPHPALESSYPPEL HKSSQHAEKRAHAKDPKGNREHSKQPNEHHTWNGTSRKQDSGACRPKDRPPDAWREAQPE RTATNGSKRRSPEKRREGTRSADNTLERREKHEKRREISPERKRERSPTRRKDSSPSRRR RSLERLLDQRRSPERRRGGSPERRAKSTDRRRARSPERRRERSLDKRNRDDKVGHREREE AGLKLEAGRSPRNPPEQRRRPYKECSTDLSI >ENSMUSP00000062085.8 pep:known chromosome:GRCm38:6:93675455:94283322:-1 gene:ENSMUSG00000045095.16 transcript:ENSMUST00000055224.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi1 description:membrane associated guanylate kinase, WW and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1203522] MSKVIQKKNHWTGRVHECTVKRGPQGELGVTVLGGAEHGEFPYVGAVAAAEAAGLPGGGE GPKLAEGELLLEVQGVRVSGLPRYDVLGVIDSCKEAVTFKAVRQGGRLNKDLRHFLNQRF QKGSPDHELQQTIRDNLYRHAVPCTTRSPREGEVPGVDYSFLTVKEFLDLEQSGTLLEVG TYEGNYYGTPKPPSQPVSGKVITTDALHSLQSGSKQSTPKRTKSYNDMQNAGIVHPENEE EEDVPEMNSSFTADSGDQDEHTLQEATLPPVNSSILAAPITDPSQKFPQYLPLSAEDNLG PLPENWEMAYTENGEVYFIDHNTKTTSWLDPRCLNKQQKPLEECEDDELPAGWEKIEDPV YGVYYVDHINRKTQYENPVLEAKRKKQLEQQQQQQQPQPPQPEEWTEDHASVVPPVAPSH PPSNPEPARETPLQGKPFFTRNPSELKGKFIHTKLRKSSRGFGFTVVGGDEPDEFLQIKS LVLDGPAALDGKMETGDVIVSVNDTCVLGHTHAQVVKIFQSIPIGASVDLELCRGYPLPF DPDDPNTSLVTSVAILDKEPIIVNGQETYDSPASHSSKTGKVSSMKDARPSSPADVASNS SHGYPNDTVSLASSIATQPELITVHIVKGPMGFGFTIADSPGGGGQRVKQIVDSPRCRGL KEGDLIVEVNKKNVQALTHNQVVDMLIECPKGSEVTLLVQRGGLPVPKKSPKSPLERKDS QNSSQHSVSSHRSLHTASPSHGIQVLPEYLPADAPAPDQTDSSGQKKPDPFKIWAQSRSM YENRLPDYQEQDIFLWRKETGFGFRILGGNEPGEPIYIGHIVPLGAADTDGRLRSGDELI CVDGTPVIGKSHQLVVQLMQQAAKQGHVNLTVRRKVVFAVPKAENEVPSPASSHHSSNQP ASLTEEKRTPQGSQNSLNTVSSGSGSTSGIGSGGGGGSGVVSAVLQPYDVEIRRGENEGF GFVIVSSVSRPEAGTTFESSNATLLTNAEKIATITTTHAPSQQGTQETRTTTKPKQDSQF EFKGPQAAQEQDFYTVELERGAKGFGFSLRGGREYNMDLYVLRLAEDGPAERCGKMRIGD EILEINGETTKNMKHSRAIELIKNGGRRVRLFLRRGDGSVPEYAMIPPKIAACMRNEKLG EACFYLMGHNQTTTPAATGTAPPPVHKVFRK >ENSMUSP00000023807.6 pep:known chromosome:GRCm38:15:102144362:102149511:1 gene:ENSMUSG00000023046.6 transcript:ENSMUST00000023807.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp6 description:insulin-like growth factor binding protein 6 [Source:MGI Symbol;Acc:MGI:96441] MTWDGLPTQPLLMLLMLLFAAGSGSALAGCPGCGAGMQTGCRGGCVEEEDAGSPADGCTE AGGCLRREGQPCGVYSPKCAPGLQCQPRENEEAPLRALLIGQGRCQRARGPSEETTKESK PQGGASRSRDTNHRDRQKNPRTSAAPIRPNPVQDSEMGPCRRHLDSVLQQLQTEVFRGGA RGLYVPNCDLRGFYRKQQCRSSQGNRRGPCWCVDPMGQPLPVSPDGQGSTQCSARSSG >ENSMUSP00000006293.3 pep:known chromosome:GRCm38:16:17451987:17486255:1 gene:ENSMUSG00000006134.3 transcript:ENSMUST00000006293.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crkl description:v-crk avian sarcoma virus CT10 oncogene homolog-like [Source:MGI Symbol;Acc:MGI:104686] MSSARFDSSDRSAWYMGPVTRQEAQTRLQGQRHGMFLVRDSSTCPGDYVLSVSENSRVSH YIINSLPNRRFKIGDQEFDHLPALLEFYKIHYLDTTTLIEPAPRYPSPPVGSVSAPNLPT AEENLEYVRTLYDFPGNDAEDLPFKKGELLVIIEKPEEQWWSARNKDGRVGMIPVPYVEK LVRSSPHGKHGNRNSNSYGIPEPAHAYAQPQTTTPLPTVASTPGAAINPLPSTQNGPVFA KAIQKRVPCAYDKTALALEVGDIVKVTRMNINGQWEGEVNGRKGLFPFTHVKIFDPQNPD DNE >ENSMUSP00000097347.1 pep:known chromosome:GRCm38:2:90021138:90022064:-1 gene:ENSMUSG00000075069.1 transcript:ENSMUST00000099758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1264 description:olfactory receptor 1264 [Source:MGI Symbol;Acc:MGI:3031098] MEIPHNITEFFMLGLSQRPEIQRLLFVVFLVIYAVTVCGNMLIVVTVTFSSSLASPMYFF LSNLSFIDTCYSSSLAPKLIADSLYEGTTLSYEGCMAQLFGAHFLGGVEIILLTVMAYDR YVAICKPLHYTTTMTRHLCVVLVAVAWLGGFLHSLVQILLIFQLPFCGPNVINHFVCDLY PLLELACTNTYVIGLLVVANSGVICLLNFLMLAASYIVILHSLRSHSAEGRRKALSTCGA HFTVVTMFFVPCIFSYMRPSTTLPIDKNMAVFYGILTPMLNPLIYTLRNEEVKDAMRKLF TRSEVVGA >ENSMUSP00000124591.1 pep:known chromosome:GRCm38:10:109682660:109823585:-1 gene:ENSMUSG00000020181.15 transcript:ENSMUST00000161582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav3 description:neuron navigator 3 [Source:MGI Symbol;Acc:MGI:2183703] TEVNGRAIPNLTSRPSPMTWRLGQACPRLQAGDAPSMGAGYSRSGTSRFIHTDPSRFMYT TPLRRAAVSRLGNMSQIDMSEKASSDLDVSSEVDVGGYMSDGDILGKSLRADDINSGYMT DGGLNLYTRSLNRVPDTATSRDVIQRGVHDVTVDADSWDDSSSVSSGLSDTLDNISTDDL NTTSSISSYSNITVPSRKNTQLKTDAEKRSTTDETWDSPEELKKAEGDCDSHGDGAAKWK GATSGLAEDSEKTGQKASLSVSQTGSWRRGMSAQGGTPATARQKTSTSALKTPGKTDDAK ASEKGKTPLKGSSLQRSPSDAGKSSGDEGKKPPSGIGRSTASSSFGYKKPSGVGASTMIT SSGATITSGSATLGKIPKSAAIGGKSNAGRKTSLDGSQNQDDVVLHVSSKTTLQYRSLPR PSKSSTSGIPGRGGHRSSTSSIDSNVSSKSAGATTSKLREPTKIGSGRSSPVTVNQTDKE KEKVAVSDSESVSLSGSPKSSPTSASACGTQGLRQPGSKYPDIASPTFRRLFGAKAGGKS ASAPNTEGAKSSSVVLSPSTSLARQGSLESPSSGTGSMGSAGGLSGSSSPLFNKPSDLTT DVISLSHSLASSPASVHSFTSGGLVWAANLSSSSAGSKDTPSYQSMTSLHTSSESIDLPL SHHGSLSGLTTGTHEVQSLLMRTGSVRSTLSESMQLDRNTLPKKGLRYTPSSRQANQEEG KEWLRSHSTGGLQDTGNQSPLVSPSAMSSSATGKYHFSNLVSPTNLSQFNLPAPSMMRSS SIPAQDSSFDLYDDAQLCGSATSLEERPRAVSHSGSFRDSMEEVHGSSLSLVSSTSSLYS TAEEKAHSEQIHKLRRELVASQEKVATLTSQLSANAHLVAAFEKSLGNMTGRLQSLTMTA EQKESELIELRETIEMLKAQNSAAQAAIQGALNGPDHPPKDLRIRRQHSSESVSSINSAT SHSSIGSGNDADSKKKKKKNWLRSSFKQAFGKKKSTKPPSSHSDIEELTDSSLPASPKLP HNAGESGSSSMKPSQSASAICECTEAEAEIILQLKSELREKELKLTDIRLEALSSAHHLD QIREAMNRMQNEIEILKAENDRLKAETGNTAKPARPPSDSSSTASSSSSRQSLGLSLNNL NITESVTSDILLDDTGDATGHKDGRSVKIIVSISKGYGRAKDQKSQAYLIGSIGVSGKTK WDVLDGVIRRLFKEYVFRIDTSSSLGLSSDCIASYCIGDLIRSHNLEVPELLPCGYLVGD NNIITVNLKGVEENSLDSFVFDTLIPKPITQRYFNLLMEHHRIILSGPSGTGKTYLANKL AEYVITKSGRKKTEDAIATFNVDHKSSKELQQYLANLAEQCSADNNGVELPVVIILDNLH HVGSLSDIFNGFLNCKYNKCPYIIGTMNQGVSSSPNLELHHNFRWVLCANHTEPVKGFLG RYLRRKLIEMEIERNIRNNDLVKIIDWIPKTWHHLNSFLETHSSSDVTIGPRLFLPCPMD VEGSRVWFMDLWNYSLVPYVLEAVREGLQMYGKRAPWEDPSKWVLDTYPWSSASLPQEGP ALLQLRPEDVGYEACTSTKEATTSKHIPQTDTEGDPLMNMLMKLQEAANYPSTQSCDGDS VSHREDILDTSIESTL >ENSMUSP00000032719.7 pep:known chromosome:GRCm38:10:109682660:110000219:-1 gene:ENSMUSG00000020181.15 transcript:ENSMUST00000032719.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav3 description:neuron navigator 3 [Source:MGI Symbol;Acc:MGI:2183703] MPVLGVASKLRQPAVGPKPVHAALPIPNLGISVSRRCSSRPLEFATPERSMLSCQLTLKS TCEFGEKKALQGTAKEIEDSKIYTDWANHYLAKSGHKRLIKDLQQDIADGVLLADIIQII ANEKVEDINGCPRSQSQMIENVDVCLSFLAARGVNVQGLSAEEIRNGNLKAILGLFFSLS RYKQQQHHQQQYYQSLVELQQRVTHTAPQSEASQAKTQQDMQSSLTARYAAQSKHSGIAT SQKKPTRLPGPSRVPAASSSNKAQGASNLNRRSQSFNSIDKNKPPNYANGNEKDSPKGPQ PSSGINGNTQPPSTSGQPPASAIPSPSASKPWRSKSMNVKHSATSTMLTVKQPSPATSPT PSSDRLKPPVTEGVKSAPSGQKSMLEKFKLVNARTALRPPQAPSSGPNDGGREDDAFSES GEMEGFNSGLNSGGSTNSSPKVSPKLTPPKAGSKNFSNKKSLLQPKEKEEKTRDKNKACA EKSGKEEKDQVTTEAAPKKTSKIASLIPKGSKTAAAKKESLIPSSSGIPKPGSKVPTPKQ TISPGSAASKESEKFRTSKGSSSQAFPKAITAEKASTPSLSTPLDGREAGQASPSSSCVM QVTHSSGQSPGNGAVQLPQQQQHSHPNTATVAPFIYRAHSENEGTSLPPADSCTSPTKMD SSYSKTAKQCLEEISGEDPEARRMRTVKNIADLRQNLEETMSSLRGTQISHSTLETTFDT TVTTEVNGRAIPNLTSRPSPMTWRLGQACPRLQAGDAPSMGAGYSRSGTSRFIHTDPSRF MYTTPLRRAAVSRLGNMSQIDMSEKASSDLDVSSEVDVGGYMSDGDILGKSLRADDINSG YMTDGGLNLYTRSLNRVPDTATSRDVIQRGVHDVTVDADSWDDSSSVSSGLSDTLDNIST DDLNTTSSISSYSNITVPSRKNTQLKTDAEKRSTTDETWDSPEELKKAEGDCDSHGDGAA KWKGATSGLAEDSEKTGQKASLSVSQTGSWRRGMSAQGGTPATARQKTSTSALKTPGKTD DAKASEKGKTPLKGSSLQRSPSDAGKSSGDEGKKPPSGIGRSTASSSFGYKKPSGVGAST MITSSGATITSGSATLGKIPKSAAIGGKSNAGRKTSLDGSQNQDDVVLHVSSKTTLQYRS LPRPSKSSTSGIPGRGGHRSSTSSIDSNVSSKSAGATTSKLREPTKIGSGRSSPVTVNQT DKEKEKVAVSDSESVSLSGSPKSSPTSASACGTQGLRQPGSKYPDIASPTFRRLFGAKAG GKSASAPNTEGAKSSSVVLSPSTSLARQGSLESPSSGTGSMGSAGGLSGSSSPLFNKPSD LTTDVISLSHSLASSPASVHSFTSGGLVWAANLSSSSAGSKDTPSYQSMTSLHTSSESID LPLSHHGSLSGLTTGTHEVQSLLMRTGSVRSTLSESMQLDRNTLPKKGLRYTPSSRQANQ EEGKEWLRSHSTGGLQDTGNQSPLVSPSAMSSSATGKYHFSNLVSPTNLSQFNLPAPSMM RSSSIPAQDSSFDLYDDAQLCGSATSLEERPRAVSHSGSFRDSMEEVHGSSLSLVSSTSS LYSTAEEKAHSEQIHKLRRELVASQEKVATLTSQLSANAHLVAAFEKSLGNMTGRLQSLT MTAEQKESELIELRETIEMLKAQNSAAQAAIQGALNGPDHPPKDLRIRRQHSSESVSSIN SATSHSSIGSGNDADSKKKKKKNWVNSRGSELRSSFKQAFGKKKSTKPPSSHSDIEELTD SSLPASPKLPHNAGESGSSSMKPSQSASAICECTEAEAEIILQLKSELREKELKLTDIRL EALSSAHHLDQIREAMNRMQNEIEILKAENDRLKAETGNTAKPARPPSDSSSTASSSSSR QSLGLSLNNLNITESVTSDILLDDTGDATGHKDGRSVKIIVSISKGYGRAKDQKSQAYLI GSIGVSGKTKWDVLDGVIRRLFKEYVFRIDTSSSLGLSSDCIASYCIGDLIRSHNLEVPE LLPCGYLVGDNNIITVNLKGVEENSLDSFVFDTLIPKPITQRYFNLLMEHHRIILSGPSG TGKTYLANKLAEYVITKSGRKKTEDAIATFNVDHKSSKELQQYLANLAEQCSADNNGVEL PVVIILDNLHHVGSLSDIFNGFLNCKYNKCPYIIGTMNQGVSSSPNLELHHNFRWVLCAN HTEPVKGFLGRYLRRKLIEMEIERNIRNNDLVKIIDWIPKTWHHLNSFLETHSSSDVTIG PRLFLPCPMDVEGSRVWFMDLWNYSLVPYVLEAVREGLQMYGKRAPWEDPSKWVLDTYPW SSASLPQEGPALLQLRPEDVGYEACTSTKEATTSKHIPQTDTEGDPLMNMLMKLQEAANY PSTQSCDGDSVSHREDILDTSIESTL >ENSMUSP00000124856.1 pep:known chromosome:GRCm38:10:109755132:109764840:-1 gene:ENSMUSG00000020181.15 transcript:ENSMUST00000163071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav3 description:neuron navigator 3 [Source:MGI Symbol;Acc:MGI:2183703] GSSSPLFNKPSDLTTDVISLSHSLASSPASVHSFTSGGLVWAANLSSSSAGSKDTPSYQS MTSLHTSSESIDLPLSHHGSLSGLTTGTHEVQSLLMRTGSVRSTLSERYTPSSRQANQEE GKEWLRSHSTGGLQDTGNQSPLVSPSAMSSSATGKYHFSNLVSP >ENSMUSP00000030181.5 pep:known chromosome:GRCm38:4:43493362:43495921:1 gene:ENSMUSG00000028461.12 transcript:ENSMUST00000030181.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc107 description:coiled-coil domain containing 107 [Source:MGI Symbol;Acc:MGI:1913423] MEGAGPVLSILGLLLVSAPFGVLGERPSADLGAHPERGSQVSPGTTEPRRQPPPKDQRER ARAGSLSLGALYTAAVVAFVLFKCLQGPDEAAVLREEKNKKKSSQSEQQLVQLTQQLAQT EQHLNHLMTQLDPLFEQVTTLVGTQRELLDTKLKTIHHLLQDCQPGTGVEVPEPEASIPF TEDLGKEDQEAGNSQAWEEPITWSPETRNLAPSWEVEQGLRRRWHKTVTKGPAVNGEQPL KV >ENSMUSP00000103555.2 pep:known chromosome:GRCm38:4:43493389:43495921:1 gene:ENSMUSG00000028461.12 transcript:ENSMUST00000107922.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc107 description:coiled-coil domain containing 107 [Source:MGI Symbol;Acc:MGI:1913423] MEGAGPVLSILGLLLVSAPFGVLGERPSADLGAHPERGSQVSPGTTEPRRQPPPKDQRER ARAGSLSLGALYTAAVVAFVLFKCLQGPDEAAVLREEKNKKKSSQSEQQLVQLTQQLAQT EQHLNHLMTQLDPLFEQVTTLVGTQRELLDTKLKTIHHLLQDCQPGTGVEVPEPGKRLGG FYGWRAMAVKLEQVGLSFTEASIPFTEDLGKEDQEAGNSQAWEEPITWSPETRNLAPSWE VEQGLRRRWHKTVTKGPAVNGEQPLKV >ENSMUSP00000119036.1 pep:known chromosome:GRCm38:7:19508670:19516138:1 gene:ENSMUSG00000002043.17 transcript:ENSMUST00000136873.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trappc6a description:trafficking protein particle complex 6A [Source:MGI Symbol;Acc:MGI:1914341] MADAVLFEFLHTEMVAELWAPDPDPGSGAAPGDTGL >ENSMUSP00000116737.1 pep:known chromosome:GRCm38:7:19508710:19516145:1 gene:ENSMUSG00000002043.17 transcript:ENSMUST00000147114.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trappc6a description:trafficking protein particle complex 6A [Source:MGI Symbol;Acc:MGI:1914341] MADAVLFEFLHTEMVAELWAPDPDPGSGAAPGDTGL >ENSMUSP00000002112.8 pep:known chromosome:GRCm38:7:19508712:19516145:1 gene:ENSMUSG00000002043.17 transcript:ENSMUST00000002112.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc6a description:trafficking protein particle complex 6A [Source:MGI Symbol;Acc:MGI:1914341] MADAVLFEFLHTEMVAELWAPDPDPGSGGKRRSLSVLEGLGFRVGQALGERLPLETPAFR EELDALKFLCRDLWAAMFQKHMDGLRTNHQGTYVLQDNSFPLLVTMGSGPQYLEEAPKFL AFTCGLLCGALHTLGFQSLVTASVASLPACKFQVVIQKS >ENSMUSP00000104095.1 pep:known chromosome:GRCm38:7:19508726:19516141:1 gene:ENSMUSG00000002043.17 transcript:ENSMUST00000108455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc6a description:trafficking protein particle complex 6A [Source:MGI Symbol;Acc:MGI:1914341] MADAVLFEFLHTEMVAELWAPDPDPGSGGKRRSLSVLEGLGFRVGQALGERLPLETPAFR EELDALKFLCRDLWAAMFQKHMDGLRTNHQGTYVLQDNSFPLLVTMGSGPQYLEEAPKFL AFTCGLLCGALHTLGFQSLVTASVASLPACRLEAGAHRLWGGHMCFRQGNERAGRVPGGG TIP >ENSMUSP00000120406.1 pep:known chromosome:GRCm38:7:19514420:19516136:1 gene:ENSMUSG00000002043.17 transcript:ENSMUST00000135972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc6a description:trafficking protein particle complex 6A [Source:MGI Symbol;Acc:MGI:1914341] RLPLETPAFREELDALKFLCRDLWAAMFQKHMDGLRTNHQFLAFTCGLLCGALHTLGFQS LVTASVASLPACKFQVVIQKS >ENSMUSP00000147048.1 pep:known chromosome:GRCm38:7:44863067:44864940:-1 gene:ENSMUSG00000038502.16 transcript:ENSMUST00000207416.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptov1 description:prostate tumor over expressed gene 1 [Source:MGI Symbol;Acc:MGI:1933946] XWSGVMEWQEPRPEPNSRSKRWLPSHVYVNQGEILRTDQWPRRLFMQLIPQQLLTTLVPL FRNSRLVQFHFTKDMETLKSLCRIMDNGFAGCVHFSYKASCEVRVLMLLYSSEKKIFIGL IPHDQSNFVNGIRRVIANQQQVLQRSLEQEQQQRGMGG >ENSMUSP00000035281.9 pep:known chromosome:GRCm38:7:44863068:44869788:-1 gene:ENSMUSG00000038502.16 transcript:ENSMUST00000046575.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptov1 description:prostate tumor over expressed gene 1 [Source:MGI Symbol;Acc:MGI:1933946] MVRPRRAPHRSGAGGPLGGRGRPPRPLVVRAVRSRSWPGGPRGPQPPRIRARSAPPMEGA RVFGALGPIGPSSPGLTLGGLAVNEHRLSNKLLAWSGVLEWQEKRRPFSDSTAKLKRTLP CQAYVNQGENLETDQWPQKLIMQLIPQQLLTTLGPLFRNSQLAQFHFTNRDCDSLKGLCR IMGNGFAGCMLFPHISPCEVRVLMLLYSSKKKIFMGLIPYDQSGFVNAIRQVITTRKQAV GPGGVHSGPVQIVNNKFLAWSGVMEWQEPRPEPNSRSKRWLPSHVYVNQGEILRTDQWPR RLFMQLIPQQLLTTLVPLFRNSRLVQFHFTKDMETLKSLCRIMDNGFAGCVHFSYKASCE VRVLMLLYSSEKKIFIGLIPHDQSNFVNGIRRVIANQQQVLQRSLEQEQQQRGMGG >ENSMUSP00000147094.1 pep:known chromosome:GRCm38:7:44863535:44864980:-1 gene:ENSMUSG00000038502.16 transcript:ENSMUST00000208410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptov1 description:prostate tumor over expressed gene 1 [Source:MGI Symbol;Acc:MGI:1933946] XSGPVQIVNNKFLAWSGVMEWQEPRPEPNSRSKRWLPSHVYVNQGEILRTDQWPRRLFMQ LIPQQLLTTLVPLFRNSRLVQFHFTKDMETLKSLCRIMDNGFSNFVNGIRRVIANQQQVL QRSLEQEQQQRG >ENSMUSP00000146761.1 pep:known chromosome:GRCm38:7:44863535:44867083:-1 gene:ENSMUSG00000038502.16 transcript:ENSMUST00000209018.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptov1 description:prostate tumor over expressed gene 1 [Source:MGI Symbol;Acc:MGI:1933946] QLIPQQLLTTLVPLFRNSRLVQFHFTKDMETLKSLCRIMDNGFSNFVNGIRRVIANQQQV LQRSLEQEQQQRG >ENSMUSP00000146633.1 pep:known chromosome:GRCm38:7:44863557:44864914:-1 gene:ENSMUSG00000038502.16 transcript:ENSMUST00000207363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptov1 description:prostate tumor over expressed gene 1 [Source:MGI Symbol;Acc:MGI:1933946] XPRPEPNSRSKRWLPSHVYVNQGEILTDQWPRRLFMQLIPQQLLTTLVPLFRNSRLVQFH FTKDMETLKSLCRIMDNGFAGCVHFSYKASCEVRVLMLLYSSEKKIFIGLIPHDQSNFVN GIRRVIANQQQVLQRSL >ENSMUSP00000146587.1 pep:known chromosome:GRCm38:7:44864612:44869406:-1 gene:ENSMUSG00000038502.16 transcript:ENSMUST00000208682.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptov1 description:prostate tumor over expressed gene 1 [Source:MGI Symbol;Acc:MGI:1933946] XGPRGPQPPRIRARSAPPMEGARVFGALGPIGPSSPGLTLGGLAVNEHRLSNKLLAWSGV LEWQEKRRPFSDSTAKLKRTLPCQAYVNQGENLETDQWPQKLIMQLIPQQLLTTLGPLFR NSQLAQFHFTNRDCDSLKGLCRIMGNGFAACSSPTFLLARCACSCSCTRLRRRSSWASSP TTRAASSTPYDRSSPPANRPWAPGVYTLDLFRLSTTNSWHGVVSWSGRSPGLSPTAGPRG GCHPTSM >ENSMUSP00000079190.1 pep:known chromosome:GRCm38:2:90036921:90037850:1 gene:ENSMUSG00000059910.3 transcript:ENSMUST00000080311.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1265 description:olfactory receptor 1265 [Source:MGI Symbol;Acc:MGI:3031099] MADIHNVTEFLFLGLSSNKEVEIVCFVIFLLLYMAIVLGNLLMVVTVVASRSLGSPMYFF LGYLSFVEICYSSTTAPKLILDLLAEKKSISVWGCMTQLFFMHFFGGAEIFLLTVMAYDR YVAICKPLHYTSIMNRNVCAVLVGTAWIGGFVHSFAQILLIFPLPFCGPNIIDHYFCDLL PLLKLACSDTFLIGLLIVANAGTLSVISFVVLLASYVVILFHLRTQSAEGRRKALSTCGS HVTVVILFFGPCVFIYLRPSDTLPVDKMIAVFYTVITPLLNPLIYSLRNAKVKKTMKSLW FRTMKVDEK >ENSMUSP00000032237.6 pep:known chromosome:GRCm38:6:118383381:118419474:-1 gene:ENSMUSG00000030138.8 transcript:ENSMUST00000032237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bms1 description:BMS1, ribosome biogenesis factor [Source:MGI Symbol;Acc:MGI:2446132] METKDHKKHRKKHSGPKAEKKKKRHLQDLQLGDEEDARKRNPKAFAVQSAVRMARSFHRT QDLKTKKHHIPVVDRTPLEPPPIVVVVMGPPKVGKSTLIRCLIRNFTRQKLSEIRGPVTI VSGKKRRLTIIECGCDINVMIDLAKVADLVLMLIDASFGFEMETFEFLNICQVHGFPKIM GVLTHLDSFKHNKQLKKTKKRLKHRFWTEVYPGAKLFYLSGMVHGEYQNQEIHNLGRFIT VMKFRPLTWQTSHPYILADRMEDLTNPEAIRTNVKCDRKVSLYGYLRGAYLKNNSQIHMP GVGDFVASDVSFLPDPCALPEQQKKRCLNEKEKLVYAPLSGVGGVLYDKDAVYVDLGGSH GFQAAEETGPTHELVQSLISTHASIDAKMASSRVTLFSDSKPLGSEDIDNQGLWMPKEEK QVDVETGRVRRKAIFGDTEDESGDEESEDEEDMSEADGMEPGSSDDEAEEAEEEGAESSS GNYMMGRGRKRPKLEEQEEDSEADSPAFADSDDDLERSSGGEEEAEEADESSADGASTGE RDAGECGSVGEASEESPSAAHQWRPHADAQKPSPVRKAALTTSDSGHCTAEEAFPSEDES EDSELSAEEEDSDHREVRGKLAHPLRDSGQKPASESLVDETSDIESLLKEEEEYKEDNSS SVETSGALKWKEDLSRKAAEAFLRQQQAAPNLRKLIYGTVTEDNEDEDGDPAEELGGLFH VSQPDRGCKHKADSLDCSRFPVEAPHDWDLEEVMNSIRDCFVTGKWEDDKDAAKILAEEE ELYGDFEDLETGDVHKGKPGPDTQSEDIEEEEVKEETDPSEEESARKKHLDKKRKLKELF DAEYDEGESTYFDDLKGEMQRQAQLNQAEFEDQEDETRVQYEGFRPGMYVRIEIENIPCE FVQNFDPHYPIILGGLGNSEGTVGYVQMRIKKHRWYKKILKSRDPIIFSVGWRRFQTIPL YYIEDHNGRQRLLKYTPQHMHCGATFWGPITPQGTGFLAIQSVSGVMPEFRIAATGVVLD LDKSIKIVKKLKLTGFPFKIFKNTSFIKGMFNSALEVAKFEGAVIRTVSGIRGQIKKALR APEGAFRASFEDKLLMSDIVFMRTWYPVSIPAFYNPVTSLLKPVGEKDTWSGMRTTHQLR LAHGIKLKANKDSLYKPILRQKKHFNSLHIPKALQKALPFKNKPKTQAKAGKVPRDRQRP AVIREPHERKVLALLDALSTIHSQKMKKAKEQRRLHNKEHVKMKQKEEEDKLRRQKDLRK KLFRMQGQKEKRSQKSSLRGAEDT >ENSMUSP00000070368.5 pep:known chromosome:GRCm38:3:113555710:113577830:-1 gene:ENSMUSG00000074264.12 transcript:ENSMUST00000067980.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amy1 description:amylase 1, salivary [Source:MGI Symbol;Acc:MGI:88019] MKFFLLLSLIGFCWAQYDPHTQYGRTAIVHLFEWRWVDIAKECERYLAPNGFAGVQVSPP NENIVVHSPSRPWWERYQPISYKICSRSGNEDEFRDMVNRCNNVGVRIYVDAVINHMCGV GAQAGQSSTCGSYFNPNNRDFPGVPYSGFDFNDGKCRTASGGIENYQDAAQVRDCRLSGL LDLALEKDYVRTKVADYMNHLIDIGVAGFRLDASKHMWPGDIKAILDKLHNLNTKWFSQG SRPFIFQEVIDLGGEAVSSNEYFGNGRVTEFKYGAKLGKVMRKWDGEKMSYLKNWGEGWG LMPSDRALVFVDNHDNQRGHGAGGASILTFWDARLYKMAVGFMLAHPYGFTRVMSSYYWP RNFQNGKDVNDWVGPPNNNGKTKEVSINPDSTCGNDWICEHRWRQIRNMVAFRNVVNGQP FANWWDNDSNQVAFGRGNKGFIVFNNDDWALSETLQTGLPAGTYCDVISGDKVDGNCTGI KVYVGNDGKAHFSISNSAEDPFIAIHAESKI >ENSMUSP00000102150.1 pep:known chromosome:GRCm38:3:113555953:113574762:-1 gene:ENSMUSG00000074264.12 transcript:ENSMUST00000106540.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amy1 description:amylase 1, salivary [Source:MGI Symbol;Acc:MGI:88019] MKFFLLLSLIGFCWAQYDPHTQYGRTAIVHLFEWRWVDIAKECERYLAPNGFAGVQVSPP NENIVVHSPSRPWWERYQPISYKICSRSGNEDEFRDMVNRCNNVGVRIYVDAVINHMCGV GAQAGQSSTCGSYFNPNNRDFPGVPYSGFDFNDGKCRTASGGIENYQDAAQVRDCRLSGL LDLALEKDYVRTKVADYMNHLIDIGVAGFRLDASKHMWPGDIKAILDKLHNLNTKWFSQG SRPFIFQEVIDLGGEAVSSNEYFGNGRVTEFKYGAKLGKVMRKWDGEKMSYLKNWGEGWG LMPSDRALVFVDNHDNQRGHGAGGASILTFWDARLYKMAVGFMLAHPYGFTRVMSSYYWP RNFQNGKDVNDWVGPPNNNGKTKEVSINPDSTCGNDWICEHRWRQIRNMVAFRNVVNGQP FANWWDNDSNQVAFGRGNKGFIVFNNDDWALSETLQTGLPAGTYCDVISGDKVDGNCTGI KVYVGNDGKAHFSISNSAEDPFIAIHAESKI >ENSMUSP00000120493.2 pep:known chromosome:GRCm38:3:113562690:113574272:-1 gene:ENSMUSG00000074264.12 transcript:ENSMUST00000142505.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amy1 description:amylase 1, salivary [Source:MGI Symbol;Acc:MGI:88019] MKFFLLLSLIGFCWAQYDPHTQYGRTAIVHLFEWRWVDIAKECERYLAPNGFAGVQVSPP NENIVVHSPSRPWWERYQPISYKICSRSGNEDEFRDMVNRCNNVGVRIYVDAVINHMCGV GAQAGQSSTCGSYFNPNNRDFPGVPYSGFDFNDGKCRTASGGIENYQDAAQVRDCRLSGL LDLALEKDYVRTKVADYMNHLIDIGVAGFRLDASKHMWPGDIKAILDKLHNLNTKWFSQG SRPFIFQEVIDLGGEAVSSNEYFGNGRVTEFK >ENSMUSP00000133875.1 pep:known chromosome:GRCm38:3:113564987:113606699:-1 gene:ENSMUSG00000074264.12 transcript:ENSMUST00000174147.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amy1 description:amylase 1, salivary [Source:MGI Symbol;Acc:MGI:88019] MKFFLLLSLIGFCWAQYDPHTQYGRTAIVHLFEWRWVDIAKECERYLAPNGFAGVQVSPP NENIVVHSPSRPWWERYQPISYKICSRSGNEDEFRDMVNRCNNVGVRIYVDAVINHMCGV GAQAGQSSTCG >ENSMUSP00000046789.2 pep:known chromosome:GRCm38:13:31625816:31631403:1 gene:ENSMUSG00000038402.2 transcript:ENSMUST00000042054.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxf2 description:forkhead box F2 [Source:MGI Symbol;Acc:MGI:1347479] MSTEGGPPPPPPRPPPAPLRRACSPAPGALQAALMSPPPAATLESTSSSSSSSSASCASS SSNSVSASAGACKSAASSGGAGAGSGGTKKATSGLRRPEKPPYSYIALIVMAIQSSPSKR LTLSEIYQFLQARFPFFRGAYQGWKNSVRHNLSLNECFIKLPKGLGRPGKGHYWTIDPAS EFMFEEGSFRRRPRGFRRKCQALKPMYHRVVSGLGFGASLLPQGFDFQAPPSAPLGCHGQ GGYGGLDMMPAGYDTGAGAPGHAHPHHLHHHHVPHMSPNPGSTYMASCPVPAGPAGVGAA AGGGGGGGDYGPDSSSSPVPSSPAMASAIECHSPYTSPAAHWSSPGASPYLKQPPALTPS SNPAASAGLHPSMSSYSLEQSYLHQNAREDLSVGLPRYQHHSTPVCDRKDFVLNFNGISS FHPSASGSYYHHHHQSVCQDIKPCVM >ENSMUSP00000107131.1 pep:known chromosome:GRCm38:2:90051414:90063594:-1 gene:ENSMUSG00000075068.2 transcript:ENSMUST00000111506.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr140 description:olfactory receptor 140 [Source:MGI Symbol;Acc:MGI:2177523] MDSPRNVTEFFMLGLSQNPQVQRMLFGLFLLVFLVSVGGNMLIIITITFSPTLGSPMYFF LSYLSFIDTCYSSCMTPKLIADSLHEGRAISFEGCLAQFFVAHLLGGTEIILLTVMAYDR YVAICKPLHYTTTMTRHVCIVLVAVAWLGGILHSTAQLFLVLQLPFCGPNVINHFVCDLY PLLELACTDTYVIGLLVVANSGVICLLNFLMLAASYIVILRTLRSHSAEGRRKALSTCGA HFTVVALFFVPCIFIYMRPSSTLSIDKIVAVFYCILTPMFNPLIYTLRNAEVKNAMKNLW RK >ENSMUSP00000097346.1 pep:known chromosome:GRCm38:2:90051414:90052322:-1 gene:ENSMUSG00000075068.2 transcript:ENSMUST00000099757.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr140 description:olfactory receptor 140 [Source:MGI Symbol;Acc:MGI:2177523] MDSPRNVTEFFMLGLSQNPQVQRMLFGLFLLVFLVSVGGNMLIIITITFSPTLGSPMYFF LSYLSFIDTCYSSCMTPKLIADSLHEGRAISFEGCLAQFFVAHLLGGTEIILLTVMAYDR YVAICKPLHYTTTMTRHVCIVLVAVAWLGGILHSTAQLFLVLQLPFCGPNVINHFVCDLY PLLELACTDTYVIGLLVVANSGVICLLNFLMLAASYIVILRTLRSHSAEGRRKALSTCGA HFTVVALFFVPCIFIYMRPSSTLSIDKIVAVFYCILTPMFNPLIYTLRNAEVKNAMKNLW RK >ENSMUSP00000128692.1 pep:known chromosome:GRCm38:7:20844098:20844985:-1 gene:ENSMUSG00000091435.1 transcript:ENSMUST00000169374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r115 description:vomeronasal 1 receptor 115 [Source:MGI Symbol;Acc:MGI:3645605] MSDHGKSVKTTEEVALQLLLLCQFGVGTLANVFLFVHNFSPVLTGSKQRPRQVILSHMAM ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHQFVTLVL VNRGKLILRASVPKFVSYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIVGIVFLQFAYDATFMSIMVWTSVSIILLLHRHRQRMQHILTPNQNPRGQAETRATRTI LMLVVTFVSFYLLNCICIIFHAFYIHSRLFIRLVSEVLAAVFPSICLHNGVLLSY >ENSMUSP00000052196.2 pep:known chromosome:GRCm38:13:31806691:31810643:1 gene:ENSMUSG00000050295.3 transcript:ENSMUST00000062292.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxc1 description:forkhead box C1 [Source:MGI Symbol;Acc:MGI:1347466] MQARYSVSSPNSLGVVPYLGGEQSYYRAAAAAAGGGYTAMPAPMSVYSHPAHAEQYPGSM ARAYGPYTPQPQPKDMVKPPYSYIALITMAIQNAPDKKITLNGIYQFIMDRFPFYRDNKQ GWQNSIRHNLSLNECFVKVPRDDKKPGKGSYWTLDPDSYNMFENGSFLRRRRRFKKKDAV KDKEEKGRLHLQEPPPPQAGRQPAPAPPEQAEGSAPGPQPPPVRIQDIKTENGTCPSPPQ PLSPAAALGSGSAATVPKIESPDSSSSSLSSGSSPPGSLPSARPLSLDAAEPAPPPQPAP PPHHSQGFSVDNIMTSLRGSPQGSAAELGSGLLASAAASSRAGIAPPLALGAYSPGQSSL YSSPCSQSSSAGSSGGGGGGGGGGGGSSSAAGTGGAATYHCNLQAMSLYAAGERGGHLQG PAGGAGSAAVDDPLPDYSLPPATSSSSSSLSHGGGGQEASHHPASHQGRLTSWYLNQAGG DLGHLASAAAAAAAAGYPGQQQNFHSVREMFESQRIGLNNSPVNGNSSCQMAFPASQSLY RTSGAFVYDCSKF >ENSMUSP00000096196.3 pep:known chromosome:GRCm38:7:30121942:30134950:1 gene:ENSMUSG00000074220.10 transcript:ENSMUST00000098596.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp382 description:zinc finger protein 382 [Source:MGI Symbol;Acc:MGI:3588204] MGRPGRKPRGRARPGLFPFPKEELRQGGSSPANLNAMSKGPVSFKDVTVDFTQEEWQRLD PAQKALYRDVMLENYCHFISVGFHITKPDMIRKLEQGEELWTERIFPSQSYLEEEEVLVK FSDYQDKPPKSIVIIKHKKLIKERSSVYGEALGKNRVVSKTLFEYKSDGKVLKNISEFIS RDINPAMGKLGGSKEWEGSILTSKQEKTHPASILHKQIGRALSSEWDLAQHQKTQIPEQR FEYNKCDSSFLMTGVEFPHGRAHRGGGNFNYSKDDITLFEKSDLGIHPHDLMEKKCSSYN KYGELLCRKSVFVMHPSSQMDERPFQCPYCGNSFRRKSYLIEHERIHTGEKPYICCQCGR AFRQKTALTLHEKTHTEGKPYLCVDCGKSFRQKATLTRHHKAHTGEKAYECTQCGSAFGK KSYLIDHQRTHTGEKPYQCTECGKAFIQKTTLTVHQRTHTGEKPYICSECGKSFCQKTTL TLHQRIHTGEKPYICSDCGKSFRQKAILTVHYRIHTGEKSNGCPQCGKAFSRKSNLIRHQ KIHTGEKPYECQECGKFFSCKSNLITHQKTHKTETMRFQ >ENSMUSP00000123508.1 pep:known chromosome:GRCm38:7:30121970:30130816:1 gene:ENSMUSG00000074220.10 transcript:ENSMUST00000153792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp382 description:zinc finger protein 382 [Source:MGI Symbol;Acc:MGI:3588204] MGRPGRKPRGRARPGLFPFPKEELRQGGSSPANLNAMSKDELPHCALTGTSVIQGRDRGL HPGGVATTGPCPESPLQGCDARKLLSF >ENSMUSP00000128742.1 pep:known chromosome:GRCm38:7:20872256:20873179:1 gene:ENSMUSG00000095973.1 transcript:ENSMUST00000164288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r116 description:vomeronasal 1 receptor 116 [Source:MGI Symbol;Acc:MGI:3648873] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFFHNFSPVLTGSKQRPRQVFLSHMAV ANALTLFLTIFPNNMSAFAPKTPPNELKCKLEFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000047325.7 pep:known chromosome:GRCm38:2:109917647:110014257:1 gene:ENSMUSG00000050199.13 transcript:ENSMUST00000046548.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgr4 description:leucine-rich repeat-containing G protein-coupled receptor 4 [Source:MGI Symbol;Acc:MGI:1891468] MPGPLRLLCFFALGLLGSAGPSGAAPPLCAAPCSCDGDRRVDCSGKGLTAVPEGLSAFTQ ALDISMNNITQLPEDAFKNFPFLEELQLAGNDLSFIHPKALSGLKELKVLTLQNNQLKTV PSEAIRGLSALQSLRLDANHITSVPEDSFEGLVQLRHLWLDDNILTEVPVRPLSNLPTLQ ALTLALNNISSIPDFAFTNLSSLVVLHLHNNKIKSLSQHCFDGLDNLETLDLNYNNLDEF PQAIKALPSLKELGFHSNSISVIPDGAFAGNPLLRTIHLYDNPLSFVGNSAFHNLSDLHS LVIRGASLVQWFPNLAGTVHLESLTLTGTKISSIPDDLCQNQKMLRTLDLSYNDIRDLPS FNGCRALEEISLQRNQISLIKETTFQGLTSLRILDLSRNLIREIHSGAFAKLGTITNLDV SFNELTSFPTEGLNGLNQLKLVGNFQLKDALAARDFANLRSLSVPYAYQCCAFWGCDSYA NLNTEDNSPQDHSVTKEKGATDAANATSTAESEEHSQIIIHCTPSTGAFKPCEYLLGSWM IRLTVWFIFLVALLFNLLVILTVFASCSSLPASKLFIGLISVSNLLMGIYTGILTFLDAV SWGRFAEFGIWWETGSGCKVAGSLAVFSSESAVFLLTLAAVERSVFAKDVMKNGKSSHLR QFQVAALVALLGAAIAGCFPLFHGGQYSASPLCLPFPTGETPSLGFTVTLVLLNSLAFLL MAIIYTKLYCNLEKEDPSENSQSSMIKHVAWLIFTNCIFFCPVAFFSFAPLITAISISPE IMKSVTLIFFPLPACLNPVLYVFFNPKFKDDWKLLKRRVTRKHGSVSVSISSQGGCGEQD FYYDCGMYSHLQGNLTVCDCCESFLLTKPVSCKHLIKSHSCPVLTVASCQRPEAYWSDCG TQSAHSDYADEEDSFVSDSSDQVQACGRACFYQSRGFPLVRYAYNLPRVRD >ENSMUSP00000106666.2 pep:known chromosome:GRCm38:2:109917647:110014257:1 gene:ENSMUSG00000050199.13 transcript:ENSMUST00000111037.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgr4 description:leucine-rich repeat-containing G protein-coupled receptor 4 [Source:MGI Symbol;Acc:MGI:1891468] MPGPLRLLCFFALGLLGSAGPSGAAPPLCAAPCSCDGDRRVDCSGKGLTAVPEGLSAFTQ ALQLAGNDLSFIHPKALSGLKELKVLTLQNNQLKTVPSEAIRGLSALQSLRLDANHITSV PEDSFEGLVQLRHLWLDDNILTEVPVRPLSNLPTLQALTLALNNISSIPDFAFTNLSSLV VLHLHNNKIKSLSQHCFDGLDNLETLDLNYNNLDEFPQAIKALPSLKELGFHSNSISVIP DGAFAGNPLLRTIHLYDNPLSFVGNSAFHNLSDLHSLVIRGASLVQWFPNLAGTVHLESL TLTGTKISSIPDDLCQNQKMLRTLDLSYNDIRDLPSFNGCRALEEISLQRNQISLIKETT FQGLTSLRILDLSRNLIREIHSGAFAKLGTITNLDVSFNELTSFPTEGLNGLNQLKLVGN FQLKDALAARDFANLRSLSVPYAYQCCAFWGCDSYANLNTEDNSPQDHSVTKEKGATDAA NATSTAESEEHSQIIIHCTPSTGAFKPCEYLLGSWMIRLTVWFIFLVALLFNLLVILTVF ASCSSLPASKLFIGLISVSNLLMGIYTGILTFLDAVSWGRFAEFGIWWETGSGCKVAGSL AVFSSESAVFLLTLAAVERSVFAKDVMKNGKSSHLRQFQVAALVALLGAAIAGCFPLFHG GQYSASPLCLPFPTGETPSLGFTVTLVLLNSLAFLLMAIIYTKLYCNLEKEDPSENSQSS MIKHVAWLIFTNCIFFCPVAFFSFAPLITAISISPEIMKSVTLIFFPLPACLNPVLYVFF NPKFKDDWKLLKRRVTRKHGSVSVSISSQGGCGEQDFYYDCGMYSHLQGNLTVCDCCESF LLTKPVSCKHLIKSHSCPVLTVASCQRPEAYWSDCGTQSAHSDYADEEDSFVSDSSDQVQ ACGRACFYQSRGFPLVRYAYNLPRVRD >ENSMUSP00000097345.2 pep:known chromosome:GRCm38:2:90118667:90119596:-1 gene:ENSMUSG00000075067.3 transcript:ENSMUST00000099756.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1269 description:olfactory receptor 1269 [Source:MGI Symbol;Acc:MGI:3031103] MADIHNVTEFIFLGLSSNQEVQKVCFVIFLLLYMAIVLGNLLMVVTVVASRSLGSPMYFF LGYLSFVEICYSSTTAPKLILDLLAEKKSISVWGCMTQLFFMHFFGGTEIFLLTMMAYDR YVAICKPLHYTSIMNQSVCAVLMGTAWIGGFVHSFAQILLIFPLPFCGPNIIDHYFCDLL PVLKLACSDTFLIGLLIVVNGGTLSVISFVVLLASYGVILFHLRTQSAEGRRKALSTCGS HVTVVILFFGPCVFIYLRPSDTLPVDKMIAVFYTVITPLLNPLIYSLRNAEVKKAMKSLW FRTMKVDEK >ENSMUSP00000075788.5 pep:known chromosome:GRCm38:2:73341506:73386572:-1 gene:ENSMUSG00000041762.16 transcript:ENSMUST00000076463.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr155 description:G protein-coupled receptor 155 [Source:MGI Symbol;Acc:MGI:1915776] MDSYFSAKNSTLAGDMNATWPASHGFNATGDPPSMSITRLFPALLECFGIVLCGYIAGRA NIITSTQAKGLGNFVSRFALPALLFKNMVVLNFSNVDWAFLYSVLIGKASVFFIVCVLTL LVASPESRFSKAGLFPIFATQSNDFALGYPIVEALYQSTYPEYLQYIYLVAPISLMMLNP IGFIFCEIQKSKDTQNASQNKAKIVGLGFLRVLQNPIVFMVFVGIAFNFILDKKIPVYME NFLDGLANSFSGSALFYLGLTMVGKIRRLKKSAFVVLTLLITAKLLVLPLLCREMVELLD KGDSVVNHTSLSNYAFLYGVFPVAPGVAIFATQFNMEVEIITSGMVISTFVSAPIMYVSA WLLTFPTMDAKPLAYAIQNVSFDISIISLVSLIWSLSILLLSKKYKQLPHMLTANLLIAQ TIVCAGMMIWNFVKEKNFVGQILVFVLLYSSLYSTYLWTGLLAVSLFLLKKRESVQLPVG IIIISGWGIPALLVGVLLITGKHNGDSIDSAFFYGKEQMITTAVTLFCSILIAGVSLMCM NRTTQAGHYEGFGQSQNHKPVEPGSTAFEENPAPTNEPELFPSSIPETSCCSCSLGNGEL RCPSIEPVTNSSASGPMPSSFEKTDHCVSRCDSQSCILAQEEEQYLQSGDPQLTRHVLLC LLLIIGLFANLSSCLWWLFNHETGRLYVELQFFCAVFNFGQGFISFGIFGLDKHLIILPF KRRLEFLWNNKEAAADRESPVSEEIKMTCQQFVHYHRDLCIRNIVRERRCGAKTSAGTFC GCDLVNWLIEVGLASDRGEAVIYGDRLVQGGVIQHITNEYEFRDEYLFYRFLQKSPEQSP PARTLRDHQEESYKEIGHSSPPSVSPKT >ENSMUSP00000107675.1 pep:known chromosome:GRCm38:2:73341958:73386412:-1 gene:ENSMUSG00000041762.16 transcript:ENSMUST00000112044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr155 description:G protein-coupled receptor 155 [Source:MGI Symbol;Acc:MGI:1915776] MDSYFSAKNSTLAGDMNATWPASHGFNATGDPPSMSITRLFPALLECFGIVLCGYIAGRA NIITSTQAKGLGNFVSRFALPALLFKNMVVLNFSNVDWAFLYSVLIGKASVFFIVCVLTL LVASPESRFSKAGLFPIFATQSNDFALGYPIVEALYQSTYPEYLQYIYLVAPISLMMLNP IGFIFCEIQKSKDTQNASQNKAKIVGLGFLRVLQNPIVFMVFVGIAFNFILDKKIPVYME NFLDGLANSFSGSALFYLGLTMVGKIRRLKKSAFVVLTLLITAKLLVLPLLCREMVELLD KGDSVVNHTSLSNYAFLYGVFPVAPGVAIFATQFNMEVEIITSGMVISTFVSAPIMYVSA WLLTFPTMDAKPLAYAIQNVSFDISIISLVSLTIVCAGMMIWNFVKEKNFVGQILVFVLL YSSLYSTYLWTGLLAVSLFLLKKRESVQLPVGIIIISGWGIPALLVGVLLITGKHNGDSI DSAFFYGKEQMITTAVTLFCSILIAGVSLMCMNRTTQAGHYEGFGQSQNHKPVEPGSTAF EENPAPTNEPELFPSSIPETSCCSCSLGNGELRCPSIEPVTNSSASGPMPSSFEKTDHCV SRCDSQSCILAQEEEQYLQSGDPQLTRHVLLCLLLIIGLFANLSSCLWWLFNHETGRLYV ELQFFCAVFNFGQGFISFGIFGLDKHLIILPFKRRLEFLWNNKEAAADRESPVSEEIKMT CQQFVHYHRDLCIRNIVRERRCGAKTSAGTFCGCDLVNWLIEVGLASDRGEAVIYGDRLV QGGVIQHITNEYEFRDEYLFYRFLQKSPEQSPPARTLRDHQEESYKEIGHSSPPSVSPKT >ENSMUSP00000107674.1 pep:known chromosome:GRCm38:2:73342990:73386443:-1 gene:ENSMUSG00000041762.16 transcript:ENSMUST00000112043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr155 description:G protein-coupled receptor 155 [Source:MGI Symbol;Acc:MGI:1915776] MDSYFSAKNSTLAGDMNATWPASHGFNATGDPPSMSITRLFPALLECFGIVLCGYIAGRA NIITSTQAKGLGNFVSRFALPALLFKNMVVLNFSNVDWAFLYSVLIGKASVFFIVCVLTL LVASPESRFSKAGLFPIFATQSNDFALGYPIVEALYQSTYPEYLQYIYLVAPISLMMLNP IGFIFCEIQKSKDTQNASQNKAKIVGLGFLRVLQNPIVFMVFVGIAFNFILDKKIPVYME NFLDGLANSFSGSALFYLGLTMVGKIRRLKKSAFVVLTLLITAKLLVLPLLCREMVELLD KGDSVVNHTSLSNYAFLYGVFPVAPGVAIFATQFNMEVEIITSGMVISTFVSAPIMYVSA WLLTFPTMDAKPLAYAIQNVSFDISIISLVSLIWSLSILLLSKKYKQLPHMLTANLLIAQ TIVCAGMMIWNFVKEKNFVGQILVFVLLYSSLYSTYLWTGLLAVSLFLLKKRESVQLPVG IIIISGWGIPALLVGVLLITGKHNGDSIDSAFFYGKEQMITTAVTLFCSILIAGVSLMCM NRTTQAGHYEGFGQSQNHKPVEPGSTAFEENPAPTNEPELFPSSIPETSCCSCSLGNGEL RCPSIEPVTNSSASGPMPSSFEKTDHCVSRCDSQSCILAQEEEQYLQSGDPQLTRHVLLC LLLIIGLFANLSSCLWWLFNHETGRLYVELQFFCAVFNFGQGFISFGIFGLDKHLIILPF KRRLEFLWNNKEAAADRESPVSEEIKMTCQQFVHYHRDLCIRNIVRERRCGAKTSAGTFC GCDLVNWLIEVGLASDRGEAVIYGDRLVQGGVIQHITNEYEFRDEYLFYRFLQKSPEQSP PARTLRDHQEESYKEIGHSSPPSVSPKT >ENSMUSP00000114294.1 pep:known chromosome:GRCm38:2:73347647:73362511:-1 gene:ENSMUSG00000041762.16 transcript:ENSMUST00000131430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr155 description:G protein-coupled receptor 155 [Source:MGI Symbol;Acc:MGI:1915776] XVEPGSTAFEENPAPTNEPELFPSSIPETSCCSCSLGNGELRCPSIEPVTNSSASGPMPS SFEKTDHCVSRCDSQSCILAQEEEQYLQSGDPQLTRHVLLCLLLIIGLFANLSSCLWWLF NHETGRLYVELQFFCAVFNFGQGFISFGIFGLDKHLIILPFKRRLEFLWNNKEAAADRES PVSEEIKMTCQQFVHYHRDLCIRNIVRERRYRICCSKK >ENSMUSP00000125174.1 pep:known chromosome:GRCm38:16:16416917:16560219:-1 gene:ENSMUSG00000022788.16 transcript:ENSMUST00000161861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd4 description:FYVE, RhoGEF and PH domain containing 4 [Source:MGI Symbol;Acc:MGI:2183747] MEESNPAPTSCTSKGKHSKVSDLISHFEGGSVLSSYIDLQKDSTMNLNIPQTLGQPGLTS SPPRKFLPQHSPQKQENDPDQTQGQHGCLANGVVAAQNQMECEDEKETTLSPEMAIQTAA ASPDTHVLNGERNETITDSASSIANSHDENASDSSCRTPGTDLGLPSKEGEPGMDAELQE RENGVNTMGLDTLDQHHEVKETNEQKLHKIATELLLTERAYVSRLDLLDQVFYCKLLEEA NRGSFPAEMVNKIFSNISSINAFHSKFLLPELEKRMQEWETTPRIGDILQKLAPFLKMYG EYVKGFDNAVELVKTMTERVPQFKSVTEEIQKQKICGSLTLQHHMLEPIQRIPRYEMLLK DYLKKLSPDSPDWNDAKKSLEIISTAASHSNSAIRKMENLKKLLEIYEMLGEEEDIVNPS NELIKEGQILKLAARNTSAQERYLFLFNNMLLYCVPRFSLVGSKFTVRTRVGIDGMKIVE THNEEYPHTFQISGKERTLELQASSEQDKEEWIKALQESIDAFHQRHETFRNAIAKENDI PLEVSTAELGKRAPRWIRDNEVTMCMKCKESFNALTRRRHHCRACGHVVCWKCSDYKAQL EYDGGRLNKVCKDCYQIISGFTDSEEKKRRGILEIESAEVSGNSEVCSFLQYMEKSKPWQ KIWCVIPKQDPLVLYMYGAPQDVRAQATIPLLGYVVDDMPKSADLPHSFKLTQSKSVHSF AADNEELKQKWLKIILLAVTGETPDGPSEHLATLNNLPGPKKKSEC >ENSMUSP00000125736.1 pep:known chromosome:GRCm38:16:16422563:16599978:-1 gene:ENSMUSG00000022788.16 transcript:ENSMUST00000162671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd4 description:FYVE, RhoGEF and PH domain containing 4 [Source:MGI Symbol;Acc:MGI:2183747] MEESNPAPTSCTSKGKHSKVSDLISHFEGGSVLSSYIDLQKDSTMNLNIPQTLGQPGLTS SPPRKFLPQHSPQKQENDPDQTQGQHGCLANGVVAAQNQMECEDEKETTLSPEMAIQTAA ASPDTHVLNGERNETITDSASSIANSHDENASDSSCRTPGTDLGLPSKEGEPGMDAELQE RENGVNTMGLDTLDQHHEVKETNEQKLHKIATELLLTERAYVSRLDLLDQVFYCKLLEEA NRGSFPAEMVNKIFSNISSINAFHSKFLLPELEKRMQEWETTPRIGDILQKLAPFLKMYG EYVKGFDNAVELVKTMTERVPQFKSVTEEIQKQKICGSLTLQHHMLEPIQRIPRYEMLLK DYLKKLSPDSPDWNDAKKSLEIISTAASHSNSAIRKMENLKKLLEIYEMLGEEEDIVNPS NELIKEGQILKLAARNTSAQERYLFLFNNMLLYCVPRFSLVGSKFTVRTRVGIDGMKIVE THNEEYPHTFQISGKERTLELQASSEQDKEEWIKALQESIDAFHQRHETFRNAIAKENDI PLEVSTAELGKRAPRWIRDNEVTMCMKCKESFNALTRRRHHCRACGHVVCWKCSDYKAQL EYDGGRLNKVCKDCYQIISGFTDSEEKKRRGILEIESAEVSGNSEVCSFLQYMEKSKPWQ KIWCVIPKQDPLVLYMYGAPQDVRAQATIPLLGYVVDDMPKSADLPHSFKLTQSKSVHSF AADNEELKQKWLKIILLAVTGETPDGPSEHLATLNNLPGPKKKSEC >ENSMUSP00000069573.7 pep:known chromosome:GRCm38:16:16422602:16527411:-1 gene:ENSMUSG00000022788.16 transcript:ENSMUST00000069284.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd4 description:FYVE, RhoGEF and PH domain containing 4 [Source:MGI Symbol;Acc:MGI:2183747] MEESNPAPTSCTSKGKHSKVSDLISHFEGGSVLSSYIDLQKDSTMNLNIPQTLGQPGLTS SPPRKFLPQHSPQKQENDPDQTQGQHGCLANGVVAAQNQMECEDEKETTLSPEMAIQTAA ASPDTHVLNGERNETITDSASSIANSHDENASDSSCRTPGTDLGLPSKEGEPGMDAELQE RENGVNTMGLDTLDQHHEVKETNEQKLHKIATELLLTERAYVSRLDLLDQVFYCKLLEEA NRGSFPAEMVNKIFSNISSINAFHSKFLLPELEKRMQEWETTPRIGDILQKLAPFLKMYG EYVKGFDNAVELVKTMTERVPQFKSVTEEIQKQKICGSLTLQHHMLEPIQRIPRYEMLLK DYLKKLSPDSPDWNDAKKSLEIISTAASHSNSAIRKMENLKKLLEIYEMLGEEEDIVNPS NELIKEGQILKLAARNTSAQERYLFLFNNMLLYCVPRFSLVGSKFTVRTRVGIDGMKIVE THNEEYPHTFQISGKERTLELQASSEQDKEEWIKALQESIDAFHQRHETFRNAIAKENDI PLEVSTAELGKRAPRWIRDNEVTMCMKCKESFNALTRRRHHCRACGHVVCWKCSDYKAQL EYDGGRLNKVCKDCYQIISGFTDSEEKKRRGILEIESAEVSGNSEVCSFLQYMEKSKPWQ KIWCVIPKQDPLVLYMYGAPQDVRAQATIPLLGYVVDDMPKSADLPHSFKLTQSKSVHSF AADNEELKQKWLKIILLAVTGETPDGPSEHLATL >ENSMUSP00000125649.1 pep:known chromosome:GRCm38:16:16462014:16600549:-1 gene:ENSMUSG00000022788.16 transcript:ENSMUST00000159542.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd4 description:FYVE, RhoGEF and PH domain containing 4 [Source:MGI Symbol;Acc:MGI:2183747] MEESNPAPTSCTSKGKHSKVSDLISHFEGGSVLSSYIDLQKDSTMNLNIPQTLGQPGLTS SPPRKFLPQHSPQKQENDPDQTQGQHGCLANGVVAAQNQMECEDEKETTLSPEMAIQTAA ASPDTHVLNGERNETITDSASSIANSHDENASDSSCRTPGTDLGLPSKEGEPGMDAELQE RENGVNTMGLDTLDQHHEVKETNEQKLHKIATELLLTERAYVSRLDLLDQVFYCKLLEEA NRGSFPAEMVNKIFSNISSINAFHSKFLLPELEKRMQEWETTPRIGDILQKLAPFLKMYG EYVKGFDNAVELVKTMTERVPQFKSVTEEIQKQKICGSLTLQHHMLEPIQRIPRYEMLLK DYLKKLSPDSPDWNDAKKSLEIISTAASHSNSA >ENSMUSP00000123763.2 pep:known chromosome:GRCm38:16:16435706:16504222:-1 gene:ENSMUSG00000022788.16 transcript:ENSMUST00000161188.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd4 description:FYVE, RhoGEF and PH domain containing 4 [Source:MGI Symbol;Acc:MGI:2183747] MEESNPAPTSCTSKGKHSKVSDLISHFEGGSVLSSYIDLQKDSTMNLNIPQTLGQPGLTS SPPRKFLPQHSPQKQENDPDQTQGQHGCLANGVVAAQNQMECEDEKETTLSPEMAIQTAA ASPDTHVLNGERNETITDSASSIANSHDENASDSSCRTPGTDLGLPSKEGEPGMDAELQE RENGVNTMGLDTLDQHHEVKETNEQKLHKIATELLLTERAYVSRLDLLDQVFYCKLLEEA NRGSFPAEMVNKIFSNISSINAFHSKFLLPELEKRMQEWETTPRIGDILQKLAPFLKMYG EYVKGFDNAVELVKTMTERVPQFKSVTEEIQKQKICGSLTLQHHMLEPIQRIPRYEMLLK DYLKKLSPDSPDWNDAKKSLEIISTAASHSNSAIRKMENLKKLLEIYEMLGEEEDIVNPS NELIKEGQILKLAARNTSAQERYLFLFNNMLLYCVPRFSLVGSKFTVRTRVGIDGMKIVE THNEEYPHTFQISGKERTLELQASSEQDKEEWIKALQESIDAFHQRHETFRNAIAKENDI PLEVSTAELGKRAPRWIRDNEVTMCMKCKESFNALTRRRHHCRACGHVSEASSLSQLLEM VYR >ENSMUSP00000021853.5 pep:known chromosome:GRCm38:13:34946614:34963810:-1 gene:ENSMUSG00000021416.11 transcript:ENSMUST00000021853.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci3 description:enoyl-Coenzyme A delta isomerase 3 [Source:MGI Symbol;Acc:MGI:1916373] MPKPGVFNFVNKATWDARNALGSLPKETARKNYVDLVSSLSSSSEAPSQGKRGADEKARE SKDILVTSEDGITKITFNRPTKKNAISFQMYLDIMHALKNASTDNSVITVFTGTGDYYSS GNDLKNLINDAGEIQDVVATSTKILREFVNCFIDFPKPLVAVVNGPAVGIAVTILALFDA VFASDRATFHTPFSQLSQIPEACSTYMFPKIMGPTKAAEMLLFGKKLTAREAWAQGLVTE VFPESTFETEVWTRLKTYSKLSPNVMRISKELIRKHEKQKLYTVNAEECAAALERMPREE YAKALRNFLFRKAKAKL >ENSMUSP00000132701.1 pep:known chromosome:GRCm38:13:34948084:34963788:-1 gene:ENSMUSG00000021416.11 transcript:ENSMUST00000164155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci3 description:enoyl-Coenzyme A delta isomerase 3 [Source:MGI Symbol;Acc:MGI:1916373] MPKPGVFNFVNKATWDARNALGSLPKETARKNYVDLVSSLSSSSEAPSQGKRGADEKARE SKDILVTSEDGITKITFNRPTKKNAISFQMYLDIMHALKNASTDNSVITVFTGTGDYYSS GNDLKNLINDAGEIQDVVATSTKILREFVNCFIDFPKPLVAVVNGPAVGIAVTILALFDA VFASDRATFHTPFSQLSQIPEACSTYMFPKIMGPTKAAEMLLFGKKLTAREAWAQGLVTE VFPESTFETEVWTRLKTYSK >ENSMUSP00000135381.1 pep:known chromosome:GRCm38:15:88890633:88954418:-1 gene:ENSMUSG00000022388.14 transcript:ENSMUST00000177180.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll8 description:tubulin tyrosine ligase-like family, member 8 [Source:MGI Symbol;Acc:MGI:1922902] MSCPPTPNPPFRPPSHTRVLRTPPLPPWVCLNSKSLSTGVGGQKNQLREASMENGERKKL SSTLSDGDHKEENKLKQGIPQDLSSSPKLDRYKIARQLTEKAIKERKIFSIYGHYPVIRA TLRRKGWVEKKFNFFPKALQNLGSEDKSAETKENQEIALERFDDIHDVMVGKERDPIPPL DYQEGCCGLPQPDL >ENSMUSP00000104996.1 pep:known chromosome:GRCm38:15:88913898:88954410:-1 gene:ENSMUSG00000022388.14 transcript:ENSMUST00000109371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll8 description:tubulin tyrosine ligase-like family, member 8 [Source:MGI Symbol;Acc:MGI:1922902] MSCPPTPNPPFRPPSHTRVLRTPPLPPWVCLNSKSLSTGVGGQKNQLREASMENGERKKL SSTLSDGDHKEENKLKQGIPQDLSSSPKLDRYKIARQLTEKAIKERKIFSIYGHYPVIRA TLRRKGWVEKKFNFFPKALQNLGSEDKSAETKENQEIALERFDDIHDVMSRLVKNEIPYL LWTIKRDVVDYHSLTCDQMLNHYGKTASFTTKIGLCLNMRSLPWYVQANPNTFFPRCYGL CTESEKQEFLDDFRRTVAASILKWVVLHQNYCSKVKGKSKKEEAKNSDPSPKKDPENPDL KLPSLSGQVVDTACKVCQAYLGQLEHEDIDVSEASTEALSEEEWNDLTQQYYLLVHGNAS ITDSKSYFAQCQALLSKISSVNPQTEIDGIRNIWIIKPAAKSRGRDIVCMDRVENILSLV AADSQTTKDNKWVVQKYIETPMLIYDTKFDIRQWFLVTDWNPLTIWFYKESYLRFSTQRF SLDKLDSAIHLCNNSIQRRLKNDKERSPLLPCHNMWTSTRFQEYLQKRGRGGTWGSIIYP SMKRAVTNAMRVAQDHVEARKNSFELYGADFILGRDFKPWLIEINSSPTMHPSTPVTAQL CAQVQEDTIKVVVDRKLDRNCDIGNFELLWRQPAVELPPFNGSDLCVEGISVKKAKKQMP PIASVGLSESLLDAPPKVRSARALMETVIRPPRTTVRQDWKREEAKVLSTTWSMPVMDAE VRGRAKPIYAFEVNDYQHVDNKSHKSGYTRVQSSKVPGVTLTSAQHPALFAQTMKPTQMT SSPPPTASGNHRDSSPFCPIVFEELWLHPNSQRRPSSCILQSRAQGWIRGIP >ENSMUSP00000134858.1 pep:known chromosome:GRCm38:15:88913954:88954059:-1 gene:ENSMUSG00000022388.14 transcript:ENSMUST00000177254.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll8 description:tubulin tyrosine ligase-like family, member 8 [Source:MGI Symbol;Acc:MGI:1922902] XPNPPFRPPSHTRVLRTPPLPPWVCLNSKSLSTGVGGQKNQLREASMENGERKKLSSTLS DGDHKEENKLKQGIPQDLSSSPKLDRYKIARQLTEKAIKERKIFSIYGHYPVIRATLRRK GWVEKKFNFFPKALQNLGSEDKSAETKENQEIALERFDDIHDVMVGKERDPIPPLDYQEG CCGLPQPDL >ENSMUSP00000137839.1 pep:known chromosome:GRCm38:14:3846245:3856085:1 gene:ENSMUSG00000093887.8 transcript:ENSMUST00000180934.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3033 description:predicted gene 3033 [Source:MGI Symbol;Acc:MGI:3781211] XEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQRSKINELEELKLDMRKISNDMEEMG GILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEMIQSIIVSMQYSKELIEDNYSYSIK EDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSLGKKRGSVRRPARTSVPQVPRSSSV >ENSMUSP00000108412.3 pep:known chromosome:GRCm38:14:3847027:3855230:1 gene:ENSMUSG00000093887.8 transcript:ENSMUST00000112792.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3033 description:predicted gene 3033 [Source:MGI Symbol;Acc:MGI:3781211] MRKISNDMEEMGGILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEMIQSIIVSMQYSK ELIEDNYSYSIKEDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSGEEKRFCEEASKN ICASSAKEQQCVNSSRNRNMAQTTT >ENSMUSP00000097344.3 pep:known chromosome:GRCm38:2:90138211:90139137:-1 gene:ENSMUSG00000075066.5 transcript:ENSMUST00000099755.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr32 description:olfactory receptor 32 [Source:MGI Symbol;Acc:MGI:109303] MAFVNVTELIITGLFQDPDVQKVCFVLFLPVYLATVLGNGLIVVTVNISKSLYSPMYFFL NYLSLVEILYSSTVVPKFITDLLNKIKTISPKGCLAQIFFFHFFGVTEILLLTVMAYDRY VAICKPLYYTTIMSRPKCHRLVAGSWVGGFFHSIIQIFITLPLPFCGPNVIDHYFCDLHP LFKLACTDTFVVGVIMFVNSGLFSVFSFLFLVSSYIVILYNLRNHSAEGRRKALSTCASH IMVVVLFFGPAIFLYLRPASTYTEDKLVAVFYTVITPMLNPIIYTLRNAEVKNAVRKLWG KKVSSSLE >ENSMUSP00000128438.1 pep:known chromosome:GRCm38:14:3931756:3940185:1 gene:ENSMUSG00000096901.7 transcript:ENSMUST00000168306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3029 description:predicted gene 3029 [Source:MGI Symbol;Acc:MGI:3781207] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000136511.1 pep:known chromosome:GRCm38:14:3931819:3934803:1 gene:ENSMUSG00000096901.7 transcript:ENSMUST00000179327.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3029 description:predicted gene 3029 [Source:MGI Symbol;Acc:MGI:3781207] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000026122.4 pep:known chromosome:GRCm38:11:120560298:120573253:-1 gene:ENSMUSG00000025130.11 transcript:ENSMUST00000026122.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4hb description:prolyl 4-hydroxylase, beta polypeptide [Source:MGI Symbol;Acc:MGI:97464] MLSRALLCLALAWAARVGADALEEEDNVLVLKKSNFEEALAAHKYLLVEFYAPWCGHCKA LAPEYAKAAAKLKAEGSEIRLAKVDATEESDLAQQYGVRGYPTIKFFKNGDTASPKEYTA GREADDIVNWLKKRTGPAATTLSDTAAAESLVDSSEVTVIGFFKDVESDSAKQFLLAAEA IDDIPFGITSNSGVFSKYQLDKDGVVLFKKFDEGRNNFEGEITKEKLLDFIKHNQLPLVI EFTEQTAPKIFGGEIKTHILLFLPKSVSDYDGKLSSFKRAAEGFKGKILFIFIDSDHTDN QRILEFFGLKKEECPAVRLITLEEEMTKYKPESDELTAEKITEFCHRFLEGKIKPHLMSQ EVPEDWDKQPVKVLVGANFEEVAFDEKKNVFVEFYAPWCGHCKQLAPIWDKLGETYKDHE NIIIAKMDSTANEVEAVKVHSFPTLKFFPASADRTVIDYNGERTLDGFKKFLESGGQDGA GDDEDLDLEEALEPDMEEDDDQKAVKDEL >ENSMUSP00000128796.1 pep:known chromosome:GRCm38:11:120560945:120572841:-1 gene:ENSMUSG00000025130.11 transcript:ENSMUST00000168360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4hb description:prolyl 4-hydroxylase, beta polypeptide [Source:MGI Symbol;Acc:MGI:97464] MLSRALLCLALAWAARVGADALEEEDNVLVLKKSNFEEALAAHKYLLVEFYAPWCGHCKQ LAPIWDKLGETYKDHENIIIAKMDSTANEVEAVKVHSFPTLKFFPASADRTVIDYNGERT LDGFKKFLESGGQDGAGDDEDLDLEEALEPDMEEDDDQKAVKDEL >ENSMUSP00000137082.1 pep:known chromosome:GRCm38:4:11579665:11587591:1 gene:ENSMUSG00000094595.1 transcript:ENSMUST00000180239.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fsbp description:fibrinogen silencer binding protein [Source:MGI Symbol;Acc:MGI:5301008] MVGKARSSNFTLSEKLDLLNLVKPYVKILEEHTNKNSVIVEKNRCWDVIAVNYNAIGVDR PPRTAQGLRSLYKRLKEYAKQELLQQKEAQSEFKSSVSEPTKQVMEMIPQIASFGLIRDR SHIQSANLDETAQAGTSSLQVMVDHHPVAITVEVKQEEDIKPSPPLVSNPQLSDTLEQRE EHELMHVVEGSESPSLSSVDMRMTSSPSSVPRRDEIFHQESGEHFRSLLRCDPQVLQMLK EEHQIILENQKNFGLYVQEKRDGLRRRQRLEEELLRAKIEVEKLKATRLRRDLPEYSSL >ENSMUSP00000119114.1 pep:known chromosome:GRCm38:13:65278814:65297795:1 gene:ENSMUSG00000021514.17 transcript:ENSMUST00000126879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp369 description:zinc finger protein 369 [Source:MGI Symbol;Acc:MGI:2176229] MDPGEGRSAFCLLPLENTEDSMASTLPTTWPHESVKFEDVSLRFTEEEWALLDRQQKCLY REIMMENLNNMISVEHHFSKANVMPQLEEVEDCWPMQREIPQDTLPECSGHSLDPEMNSF PAESPLMKIKVVEVLTLNKDMAGPRNALIQSLYPESGEDLNPGNLKPAQQPSKHLTDTEA SRQKFRHFQYEESAGPQKSVSQLRKLCHQWLQPSTRSKKQILELLVLEQFLNALPEKLRV WVESQHPEDCKAVVALLENMTSVSKDDAWLACSSEATDELKEKRKDVATLPVTVPPEAPV TFQDVAVDFSQEEWQLLGPMQRTKYHDVMLETLGNLVSVGWEPTLGNRELTPDSPIPVVK PIHDPNPKDLSRNRTQSTLFESISPDEVQGRHTIESNPVGLLQEKGHPQQKLSESSKSQN QTCIDKSQCSLNEVLPRNHVKVKQKGTGKGKGRTNTISMTGGLRIRNQQKDSVEWRDRSG STPVTHGSSIKNQQLGSEQGKTQTSRDPITLAVPAEFYQEATGSEEGRFRNSSNAMAADA PPKIHQKGPEWHKAGKSNNSMLQGSSAQNHQMGSRAGRARDNSILTHVKIHQKGYKEGKI QGNNNYLKHVKPHQKGSKEERLRELSTCQKHVPYVKQHLKTSGRGKGRKINASIKCGPYI KTYYRGSDVGRLRRANNCRKAISRHAQQISFIKIHKGSQICQCSECGKMFRNARYFSVHK KIHTGERPYMCMSCGKAFVQSSSLTQHLRIHSGERPFECSECGRTFSDRSAASQHLRTHT GAKPYQCQHCGKAFRQSSHLTRHVRIHTGERPYVCTKCGKAFTQSSNLIGHQKTHRKKFK KQPKL >ENSMUSP00000116221.1 pep:known chromosome:GRCm38:13:65278814:65304221:1 gene:ENSMUSG00000021514.17 transcript:ENSMUST00000130799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp369 description:zinc finger protein 369 [Source:MGI Symbol;Acc:MGI:2176229] MDPGEGRSAFCLLPLENTEDSMASTLPTTWPHESVKFEDVSLRFTEEEWALLDRQQKCLY REIMMENLNNMISVEHHFSKANVMPQLEEVEDCWPMQREIPQDTLPECSGHSLDPEMNSF PAESPLMKIKVVEVLTLNKDMAGPRNALIQSLYPESGEDLNPGNLKPAQQPSKHLTDTEA SRQKFRHFQYEESAGPQKSVSQLRKLCHQWLQPSTRSKKQILELLVLEQFLNALPEKLRV WVESQHPEDCKAVVALLENMTSVSKDDAWLACSSEATDELKEKRKDVATLPVTVPPEAPV TFQDVAVDFSQEEWQLLGPMQRTKYHDVMLETLGNLVSVALGQVHVEDGGAGPNRNGSQP LVRRSSFIPLPAGTRPSAILWS >ENSMUSP00000119796.1 pep:known chromosome:GRCm38:13:65278903:65284970:1 gene:ENSMUSG00000021514.17 transcript:ENSMUST00000155732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp369 description:zinc finger protein 369 [Source:MGI Symbol;Acc:MGI:2176229] MASTLPTTWPHESVKFEDVSLRFTEEEWALLDRQQKCLYREIMMENLNNMISVEHHFSKA NVMPQLEEVE >ENSMUSP00000132491.1 pep:known chromosome:GRCm38:14:3963547:3970207:1 gene:ENSMUSG00000091756.1 transcript:ENSMUST00000164696.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3095 description:predicted gene 3095 [Source:MGI Symbol;Acc:MGI:3781271] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSMELIEDNYSYSPAESRTWHRPGNDLPQREVLEEEH >ENSMUSP00000104255.3 pep:known chromosome:GRCm38:7:3836812:3845051:-1 gene:ENSMUSG00000089942.9 transcript:ENSMUST00000108615.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pira2 description:paired-Ig-like receptor A2 [Source:MGI Symbol;Acc:MGI:1195970] MSCTFTALLCLGLTLSLWIPVLTGSLPKPILRVQPDSVVSRRTKVTFLCEETIGANEYRL YKDGKLYKTVTKNKQKPANKAEFSFSNVDLSNAGQYECSYSTQYKSSGYSDPLKLVVTED YWTPSLSAQASPVVTSGGYVTLQCESWPSYDRFILTVAGPQKFSWTQDSQYNYSTRKNHA LFSVGPVTPNQRWIFRCYSYDRNRPYVFSAPSESVELLVSGNLQKPTIKAEPGSVITSKR AMTIWCQGNLDAEVYFLHNEKSQKTQSTQTLQEPGNKGRFFIPSVTQQHAGQYRCYCYSS AGWSQPSDTLELVVTGIYEYYEPRLSVLPSPVVTAGGNMTLHCASDFHYDKFILTKEDKK FTSSLDTEHISSSRQYRALFIIGPTTPTHTGTFRCYGYYKNAPQLWSVPSALQQILISGL SKKPSLLTHQGHTLDPGMTLTLQCFSDINYDRYALHKVGRADIMQHSSQQTDTGFSVANF TLGYVSSSTGGQYRCYGAHNLSSEWSASSEPLDILITGQLPLTPSLSVQPNHTVHSGETV SLLCWSMDSVDTFILSKEGSAQQPLRLKSKSHDQQSQAEFSMSAVTSHLSGTYRCYGAQD SSFYLLSSASAPVELTVSETIESSTWSPKRPIPPIPTENKDHTMENLIRMGMAVLVLIVL SILATEAWRSHRQTHPAAGN >ENSMUSP00000113857.1 pep:known chromosome:GRCm38:7:3838202:3845051:-1 gene:ENSMUSG00000089942.9 transcript:ENSMUST00000119469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pira2 description:paired-Ig-like receptor A2 [Source:MGI Symbol;Acc:MGI:1195970] MSCTFTALLCLGLTLSLWIPVLTGSLPKPILRVQPDSVVSRRTKVTFLCEETIGANEYRL YKDGKLYKTVTKNKQKPANKAEFSFSNVDLSNAGQYECSYSTQYKSSGYSDPLKLVVTED YWTPSLSAQASPVVTSGGYVTLQCESWPSYDRFILTVAGPQKFSWTQDSQYNYSTRKNHA LFSVGPVTPNQRWIFRCYSYDRNRPYVFSAPSESVELLVSGNLQKPTIKAEPGSVITSKR AMTIWCQGNLDAEVYFLHNEKSQKTQSTQTLQEPGNKGRFFIPSVTQQHAGQYRCYCYSS AGWSQPSDTLELVVTGIYEYYEPRLSVLPSPVVTAGGNMTLHCASDFHYDKFILTKEDKK FTSSLDTEHISSSRQYRALFIIGPTTPTHTGTFRCYGYYKNAPQLWSVPSALQQILISGL SKKPSLLTHQGHTLDPGMTLTLQCFSDINYDRYALHKVGRADIMQHSSQQTDTGFSVANF TLGYVSSSTGGQYRCYGAHNLSSEWSASSEPLDILITGQLPLTPSLSVQPNHTVHSGETV SLLCWSMDSVDTFILSKEGSAQQPLRLKSKSHDQQSQAEFSMSAVTSHLSGTYRCYGAQD SSFYLLSSASAPVELTVSATENKDHTMENLIRMGMAVLVLIVLSILATEAWRSHRQTHPA AGN >ENSMUSP00000113852.1 pep:known chromosome:GRCm38:13:52583173:52648792:1 gene:ENSMUSG00000021457.14 transcript:ENSMUST00000120135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syk description:spleen tyrosine kinase [Source:MGI Symbol;Acc:MGI:99515] MAGSAVDSANHLTYFFGNITREEAEDYLVQGGMTDGLYLLRQSRNYLGGFALSVAHNRKA HHYTIERELNGTYAISGGRAHASPADLCHYHSQEPDGLICLLKKPFNRPPGVQPKTGPFE DLKENLIREYVKQTWNLQGQALEQAIISQKPQLEKLIATTAHEKMPWFHGNISRDESEQT VLIGSKTNGKFLIRARDNSGSYALCLLHEGKVLHYRIDRDKTGKLSIPEGKKFDTLWQLV EHYSYKPDGLLRVLTVPCQKIGAQMGHPGSPNAHPVTWSPGGIISRIKSYSFPKPGHKKP APPQGSRPESTVSFNPYEPTGGPWGPDRGLQREALPMDTEVYESPYADPEEIRPKEVYLD RSLLTLEDNELGSGNFGTVKKGYYQMKKVVKTVAVKILKNEANDPALKDELLAEANVMQQ LDNPYIVRMIGICEAESWMLVMEMAELGPLNKYLQQNRHIKDKNIIELVHQVSMGMKYLE ESNFVHRDLAARNVLLVTQHYAKISDFGLSKALRADENYYKAQTHGKWPVKWYAPECINY YKFSSKSDVWSFGVLMWEAFSYGQKPYRGMKGSEVTAMLEKGERMGCPAGCPREMYDLMN LCWTYDVENRPGFTAVELRLRNYYYDVVN >ENSMUSP00000060828.6 pep:known chromosome:GRCm38:13:52596853:52648782:1 gene:ENSMUSG00000021457.14 transcript:ENSMUST00000055087.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syk description:spleen tyrosine kinase [Source:MGI Symbol;Acc:MGI:99515] MAGSAVDSANHLTYFFGNITREEAEDYLVQGGMTDGLYLLRQSRNYLGGFALSVAHNRKA HHYTIERELNGTYAISGGRAHASPADLCHYHSQEPDGLICLLKKPFNRPPGVQPKTGPFE DLKENLIREYVKQTWNLQGQALEQAIISQKPQLEKLIATTAHEKMPWFHGNISRDESEQT VLIGSKTNGKFLIRARDNSGSYALCLLHEGKVLHYRIDRDKTGKLSIPEGKKFDTLWQLV EHYSYKPDGLLRVLTVPCQKIGAQMGHPGSPNAHPVTWSPGGIISRIKSYSFPKPGHKKP APPQGSRPESTVSFNPYEPTGGPWGPDRGLQREALPMDTEVYESPYADPEEIRPKEVYLD RSLLTLEDNELGSGNFGTVKKGYYQMKKVVKTVAVKILKNEANDPALKDELLAEANVMQQ LDNPYIVRMIGICEAESWMLVMEMAELGPLNKYLQQNRHIKDKNIIELVHQVSMGMKYLE ESNFVHRDLAARNVLLVTQHYAKISDFGLSKALRADENYYKAQTHGKWPVKWYAPECINY YKFSSKSDVWSFGVLMWEAFSYGQKPYRGMKGSEVTAMLEKGERMGCPAGCPREMYDLMN LCWTYDVENRPGFTAVELRLRNYYYDVVN >ENSMUSP00000112914.1 pep:known chromosome:GRCm38:13:52583437:52643614:1 gene:ENSMUSG00000021457.14 transcript:ENSMUST00000118756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syk description:spleen tyrosine kinase [Source:MGI Symbol;Acc:MGI:99515] MAGSAVDSANHLTYFFGNITREEAEDYLVQGGMTDGLYLLRQSRNYLGGFALSVAHNRKA HHYTIERELNGTYAISGGRAHASPADLCHYHSQEPDGLICLLKKPFNRPPGVQPKTGPFE DLKENLIREYVKQTWNLQGQALEQAIISQKPQLEKLIATTAHEKMPWFHGNISRDESEQT VLIGSKTNGKFLIRARDNSGSYALCLLHEGKVLHYRIDRDKTGKLSIPEGKKFDTLWQLV EHYSYKPDGLLRVLTVPCQKIGAQMGHPGSPNAHPVPAPPQGSRPESTVSFNPYEPTGGP WGPDRGLQREALPMDTEVYESPYADPEEIRPKEVYLDRSLLTLEDNELGSGNFGTVKKGY YQMKKVVKTVAVKILKNEANDPALKDELLAEANVMQQLDNPYIVRMIGICEAESWMLVME MAELGPLNKYLQQNRHIKDKNIIELVHQVSMGMKYLEESNFVHRDLAARNVLLVTQHYAK ISDFGLSKALRADENYYKAQTHGKWPVKWYAPECINYYKFSSKSDVWSFGVLMWEAFSYG QKPYRGMKGSEVTAMLEKGERMGCPAGCPREMYDLMNLCWTYE >ENSMUSP00000097343.2 pep:known chromosome:GRCm38:2:90149090:90150004:-1 gene:ENSMUSG00000075065.3 transcript:ENSMUST00000099754.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1270 description:olfactory receptor 1270 [Source:MGI Symbol;Acc:MGI:3031104] MARENNVTELIITGLFQDPNVQKVCFVLFLPVYLATVLGNGLIVAMVIVSKSLHSPMYIF LSSLSLVEICYSSTVVPKFITDLLAKVKTISLKGCLAQIFFFHFLGVAEIFLLVVMAYDR YVAICKPLHYMNIMSRQVCHVLVAVSWLGGFLHSIIQVLISIQLPFCGPNVIDHYFCDLQ PLFKLACTDTFVESVIVMANSGLIALCSFLVLVSSYVIILVNLRKHSAEGRRKALSTCAS HITVVVLFFGPAIFLYMRPSSTFTEDKLVAVFYTVITPMLNPIIYTLRNAEVKNAVRKLW GKRI >ENSMUSP00000112538.1 pep:known chromosome:GRCm38:17:24264259:24351029:-1 gene:ENSMUSG00000035435.15 transcript:ENSMUST00000121226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca17 description:ATP-binding cassette, sub-family A (ABC1), member 17 [Source:MGI Symbol;Acc:MGI:3625331] MEVLKKLKLLLWKNFILKRRKTLITLLEMLMPLLFCAIVLYLRLNSMPRKKSSTNYPAVD VSLLPVYFYNYPLKSKFQLAYIPSKSETLKAVTEVVEQTFAVDFEVLGFPSVPLFEDYII KDPKSFYILVGIIFHHDFNSSNEPLPLVVKYDLRFSYVQRNFVSPPRHLFFQEEIEGWCT AFLYPPNLSQAPREFSYADGGNPGYNKEGFLAIQHAVDKAIMRHHAPKAALNMFKDLHVL VQRFPFGPHIQDPFLVILQNEFPLLLMLSFICVELIITNSVLSEKERKQKEYMSMMGVES WLHWVAWFITFFISVSITVSVMTVLFCTKINRVAVFRNSNPTLIFIFLMCFAIATIFFAF MMSTFFQRAHVGTVIGGTVFFFTYLPYMYITFSYHQRTYTQKILSCLFSNVAMATGVRFI SLFEAEGTGIQWRNIGSVWGDFSFAQVLGMLLLDSFLYCLIAFLVESLFPRKFGIPKSWY IFAKKPVPEIPPLLNIGDPEKPSKGNFMQDEPTNQMNTIEIQHLYKVFYSGRSKRTAIRD LSMNLYKGQVTVLLGHNGAGKTTVCSVLTGLITPSKGHAYIHGCEISKDMVQIRKSLGWC PQHDILFDNFTVTDHLYFYGQLKGLSPQDCHEQTQEMLHLLGLKDKWNSRSKFLSGGMKR KLSIGIALIAGSKVLILDEPTSGLDSPSRRAIWDLLQQQKGDRTVLLTTHFMDEADLLGD RIAILAKGELQCCGSPSFLKQKYGAGYYMTIIKTPLCDTSKLSEVIYHHIPNAVLESNIG EEMIVTLPKKTIHRFEALFNDLELRQTELGISTFATSVTTMEEVFIRVCKLADPSTNVLT EKRHSLHPLPRHHRVPVDRIKCLHSGTFPVSTEQPMRLNTGFCLLCQQFYAMLLKKITYS RRNWMLVLSVQVLLPLAIIMLSLTFFNFKLRKLDNVPLELTLQTYGQTIVPFFIAENSHL DPQLSDDFVKMLVAAGQVPLRIQGSVEDFLLKKAKEAPEGFDKLYVVAASFEDVNNHTTV KALFNNQAYHSPSLALTLVDNLLFKLLSGANASITTTNYPQPQTAIEVSESILYQGPKGH YLVVNFLFGIAFLSSSFSILTVGEKSVKSKSLQFVSGVSTAVFWLSALLWDLISFLVPTL LLVLVFLWYKEEAFAHHESIPAVVLIMMLYGWAVIPLVYTVSFSFNTPGSACVKLVVMLT FLSISPVVLVTVTSEKDLGYTELSDSLDHIFLILPGHCLGMALSNLYYNFELKKFCSAKN LSDIDCNDVLEGYVVQENIYAWESLGIGKYLTALAVLGPVYITMLFLTEANAFYVLKSRL SGFFPSFWKEKSGMIFDVAEPEDEDVLEEAETIKRYLETLVKKNPLVVKEVSKVYKDKVP LLAVNKVSFVVKEEECFGLLGLNGAGKTSIFNMLTSEQPITSGDAFVKGFNIKSDIAKVR QWIGYCPEFDALLNFMTGREMLVMYARIRGIPECHIKACVDLILENLLMCVCADKLVKTY SGGNKRMLSTGIALVGEPAVILLDEPSTGMDPVARRLLWDTVERVRESGKTIVITSHSME ECEALCTRLAIMVQGQFKCLGSPQHLKSKFGISYSLQAKVRRKWQQQMLEEFKAFVDLTF PGSNLEDEHQNMLQYYLPGPNLSWAKVFSIMEQAKKDYMLEDYSISQLSLEDIFLNFTRP ESSTKEQIQQEQAVLASPSPPSNSRPISSPPSRLSSPTPKPLPSPPPSEPILL >ENSMUSP00000046218.6 pep:known chromosome:GRCm38:17:24264279:24347252:-1 gene:ENSMUSG00000035435.15 transcript:ENSMUST00000039324.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca17 description:ATP-binding cassette, sub-family A (ABC1), member 17 [Source:MGI Symbol;Acc:MGI:3625331] MEVLKKLKLLLWKNFILKRRKTLITLLEMLMPLLFCAIVLYLRLNSMPRKKSSTNYPAVD VSLLPVYFYNYPLKSKFQLAYIPSKSETLKAVTEVVEQTFAVDFEVLGFPSVPLFEDYII KDPKSFYILVGIIFHHDFNSSNEPLPLVVKYDLRFSYVQRNFVSPPRHLFFQEEIEGWCT AFLYPPNLSQAPREFSYADGGNPGYNKEGFLAIQHAVDKAIMRHHAPKAALNMFKDLHVL VQRFPFGPHIQDPFLVILQNEFPLLLMLSFICVELIITNSVLSEKERKQKEYMSMMGVES WLHWVAWFITFFISVSITVSVMTVLFCTKINRVAVFRNSNPTLIFIFLMCFAIATIFFAF MMSTFFQRAHVGTVIGGTVFFFTYLPYMYITFSYHQRTYTQKILSCLFSNVAMATGVRFI SLFEAEGTGIQWRNIGSVWGDFSFAQVLGMLLLDSFLYCLIAFLVESLFPRKFGIPKSWY IFAKKPVPEIPPLLNIGDPEKPSKGNFMQDEPTNQMNTIEIQHLYKVFYSGRSKRTAIRD LSMNLYKGQVTVLLGHNGAGKTTVCSVLTGLITPSKGHAYIHGCEISKDMVQIRKSLGWC PQHDILFDNFTVTDHLYFYGQLKGLSPQDCHEQTQEMLHLLGLKDKWNSRSKFLSGGMKR KLSIGIALIAGSKVLILDEPTSGLDSPSRRAIWDLLQQQKGDRTVLLTTHFMDEADLLGD RIAILAKGELQCCGSPSFLKQKYGAGYYMTIIKTPLCDTSKLSEVIYHHIPNAVLESNIG EEMIVTLPKKTIHRFEALFNDLELRQTELGISTFATSVTTMEEVFIRVCKLADPSTNVLT EKRHSLHPLPRHHRVPVDRIKCLHSGTFPVSTEQPMRLNTGFCLLCQQFYAMLLKKITYS RRNWMLVLSVQVLLPLAIIMLSLTFFNFKLRKLDNVPLELTLQTYGQTIVPFFIAENSHL DPQLSDDFVKMLVAAGQVPLRIQGSVEDFLLKKAKEAPEGFDKLYVVAASFEDVNNHTTV KALFNNQAYHSPSLALTLVDNLLFKLLSGANASITTTNYPQPQTAIEVSESILYQGPKGH YLVVNFLFGIAFLSSSFSILTVGEKSVKSKSLQFVSGVSTAVFWLSALLWDLISFLVPTL LLVLVFLWYKEEAFAHHESIPAVVLIMMLYGWAVIPLVYTVSFSFNTPGSACVKLVVMLT FLSISPVVLVTVTSEKDLGYTELSDSLDHIFLILPGHCLGMALSNLYYNFELKKFCSAKN LSDIDCNDVLEGYVVQENIYAWESLGIGKYLTALAVLGPVYITMLFLTEANAFYVLKSRL SGFFPSFWKEKSGMIFDVAEPEDEDVLEEAETIKRYLETLVKKNPLVVKEVSKVYKDKVP LLAVNKVSFVVKEEECFGLLGLNGAGKTSIFNMLTSEQPITSGDAFVKGFNIKSDIAKVR QWIGYCPEFDALLNFMTGREMLVMYARIRGIPECHIKACVDLILENLLMCVCADKLVKTY SGGNKRMLSTGIALVGEPAVILLDEPSTGMDPVARRLLWDTVERVRESGKTIVITSHSME ECEALCTRLAIMVQGQFKCLGSPQHLKSKFGISYSLQAKVRRKWQQQMLEEFKAFVDLTF PGSNLEDEHQNMLQYYLPGPNLSWAKVFSIMEQAKKDYMLEDYSISQLSLEDIFLNFTRP ESSTKEQIQQEQAVLASPSPPSNSRPISSPPSRLSSPTPKPLPSPPPSEPILL >ENSMUSP00000138813.1 pep:known chromosome:GRCm38:16:97447035:97462907:-1 gene:ENSMUSG00000000386.14 transcript:ENSMUST00000135184.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mx1 description:MX dynamin-like GTPase 1 [Source:MGI Symbol;Acc:MGI:97243] MTLKWSSLILQRWKRPLTRIKRLIKTYIQKQETINLVVVPSNVDIATTEALSMAQEVDPE GDRTIGVLTKPDLVDRGAEGKVLDVMRNLVYPLKKGYMIVKCRGQQDIQEQLSLTEAFQK EQVFFKDHSYFSILLEDGKATVPCLAERLTEELTSHICRWSKLPS >ENSMUSP00000138532.1 pep:known chromosome:GRCm38:16:97447037:97462905:-1 gene:ENSMUSG00000000386.14 transcript:ENSMUST00000155233.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mx1 description:MX dynamin-like GTPase 1 [Source:MGI Symbol;Acc:MGI:97243] MDSVNNLCRHYEEKVRPCIDLIDTLRALGVEQDLALPAIAVIGDQSSGKSSVLEALSGVA LPRGSGIVTRCPLVLKLRKLKEGEEWRGKVSYDDIEVELSDPSEVEEAINKGQNFIAGVG LGISDKLISLDVSSPNVPDLTLIDLPGITRVAVGNQPADIGRQIKRLIKTYIQKQETINL VVVPSNVDIATTEALSMAQEVDPEGDRTIGVLTKPDLVDRGAEGKVLDVMRNLVYPLKKG YMIVKCRGQQDIQEQLSLTEAFQKEQVFFKDHSYFSILLEDGKATVPCLAERLTEELTSH ICRWSKLPS >ENSMUSP00000109397.1 pep:known chromosome:GRCm38:16:97447037:97462906:-1 gene:ENSMUSG00000000386.14 transcript:ENSMUST00000113768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mx1 description:MX dynamin-like GTPase 1 [Source:MGI Symbol;Acc:MGI:97243] MDSVNNLCRHYEEKVRPCIDLIDTLRALGVEQDLALPAIAVIGDQSSGKSSVLEALSGVA LPRGSGIVTRCPLVLKLRKLKEGEEWRGKVSYDDIEVELSDPSEVEEAINKGQNFIAGVG LGISDKLISLDVSSPNVPDLTLIDLPGITRVAVGNQPADIGRQIKRLIKTYIQKQETINL VVVPSNVDIATTEALSMAQEVDPEGDRTIEMVQTAFVKILSNDFGDFLNLCCTAKSKIKE IRLNQEKEAENLIRLHFQMEQIVYCQDQVYKETLKTIREKEAEKEKTKALINPATFQNNS QFPQKGLTTTEMTQHLKAYYQECRRNIGRQIPLIIQYFILKTFGEEIEKTMLQLLQDTSK CSWFLEEQSDTREKKKFLKRRLLRLDEARQKLAKFSD >ENSMUSP00000114709.1 pep:known chromosome:GRCm38:16:97457300:97462905:-1 gene:ENSMUSG00000000386.14 transcript:ENSMUST00000142883.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mx1 description:MX dynamin-like GTPase 1 [Source:MGI Symbol;Acc:MGI:97243] MDSVNNLCRHYEEKVRPCIDLIDTLRALGVEQDLALPAIAVIGDQSSGKSSVLEALSGVA LPRGS >ENSMUSP00000023655.6 pep:known chromosome:GRCm38:16:97447037:97462906:-1 gene:ENSMUSG00000000386.14 transcript:ENSMUST00000023655.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mx1 description:MX dynamin-like GTPase 1 [Source:MGI Symbol;Acc:MGI:97243] MDSVNNLCRHYEEKVRPCIDLIDTLRALGVEQDLALPAIAVIGDQSSGKSSVLEALSGVA LPRGSGIVTRCPLVLKLRKLKEGEEWRGKVSYDDIEVELSDPSEVEEAINKGQNFIAGVG LGISDKLISLDVSSPNVPDLTLIDLPGITRVAVGNQPADIGRQIKRLIKTYIQKQETINL VVVPSNVDIATTEALSMAQEVDPEGDRTIGVLTKPDLVDRGAEGKVLDVMRNLVYPLKKG YMIVKCRGQQDIQEQLSLTEAFQKEQVFFKDHSYFSILLEDGKATVPCLAERLTEELTSH ICVSRVCISKCLSSLGLSASSLYSSFTDDVYQEEITKDPPMDNKELWFSQSILWFCQVTV STTILHDIEALVTSVTTFTDRTKFSRGKELKEISDTCVAAEPQSTPPSVFTRGKGKHRER IRRKLFFSHINITFLSSLYRMVQTAFVKILSNDFGDFLNLCCTAKSKIKEIRLNQEKEAE NLIRLHFQMEQIVYCQDQVYKETLKTIREKEAEKEKTKALINPATFQNNSQFPQKGLTTT EMTQHLKAYYQECRRNIGRQIPLIIQYFILKTFGEEIEKTMLQLLQDTSKCSWFLEEQSD TREKKKFLKRRLLRLDEARQKLAKFSD >ENSMUSP00000007993.9 pep:known chromosome:GRCm38:6:29123576:29165006:-1 gene:ENSMUSG00000029701.15 transcript:ENSMUST00000007993.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm28 description:RNA binding motif protein 28 [Source:MGI Symbol;Acc:MGI:2655711] MAGLTLFVGRLPPSARSDQLEELFSQVGPVKQCFVVTEKGSKACRGFGYVTFSMLEDVQR ALKEITTFEGCKIDVTVAKKKLRNKSKETRKNENAESPKKEPKHKKAKVADKKARLIIRN LSFKCSEDDLKAVFTHYGTVLEVNIPKKPDGKMRGFAFVQFKNLLEAGKALKGANMKEIK GRTVAVDWAVAKDKYKDAQHASAPGVKKSSDRKPKESGKKNCRVEEQVEDSDDEEDDDSH DDEEERESTIASPVSVHKRAVKRAAPEESIEEDDSYEDSDLEEGGSSYDEGTVDSESSAE DQEDEDVPVSEKKKRKLPSDVTEGKTVFIRNLSFDSEEEALGEVLQQFGDLKYVRVVLHP DTEHSKGCAFAQFMTQEAAQKCLAAASLEAEGGGLKLDGRQLKVDLAVTRDEAAKLQTKK VKKPTGTRNLYLAREGLIRAGTKAAEGVSAADMAKRERFELLKHQKLKNQNIFVSQTRLC LHNLPKAVDDKQLRKLLLEATRGEKGVRIKECRVMRDLKGVHGKMKGQSLGYAFAEFQKH EHALRALRHFNNNPEIFGSQKRPIVEFSLEDRRKLKVKELRIQRSLQKMESKPVTSKPQK EQKEVGKDKQQKVVQSATQDQSKAPGEQKGKAGSTSWSGFQTKAEVEQVELPDGKKRRKV LALPSHRGPKIRLRDKGKVKSLPSRKPKPQMGQRKQKKQQLASSVQAPKRKAKENKAEAR FNQLVEQYKQKLLGPSKGAPLMKRSKWFDS >ENSMUSP00000127856.1 pep:known chromosome:GRCm38:6:29125181:29131302:-1 gene:ENSMUSG00000029701.15 transcript:ENSMUST00000164563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm28 description:RNA binding motif protein 28 [Source:MGI Symbol;Acc:MGI:2655711] LKVKELRIQRSLQKMESKPVTSKPQKEQKEVGKDKQQKVVQSATQDQSKAPGEQKGKAGS TSWSGFQTKAEVEQVELPDGKKRRKVLALPSHRGPKIRRLKERLRRIRQKPASTSWLNNI SRSCWALLKERPS >ENSMUSP00000024983.5 pep:known chromosome:GRCm38:17:25016391:25099487:1 gene:ENSMUSG00000024169.15 transcript:ENSMUST00000024983.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift140 description:intraflagellar transport 140 [Source:MGI Symbol;Acc:MGI:2146906] MALYFDHRIKAPDTPSSPSHITWHPTHPFLAVASISPSSGGNVDIYLEQGEPVPDTHIER SFQATSLCWHPTRLILAIGWETGEVIMFNKQDKEQHTVPLPHTTDIAILSWSTSGSCLVS GDKLGVLLLWRLDQRGRVQGTPLLKHEYGKALTHCIFRLPPPGEDLVQLAKAAVSGDEKA LDMFNWRKSSFGSFLKTGSQEGLSFFVSLMDGTVHYVDEKGKTAQVASTDSSIQTLFYIE RREALVVVTENLLLSLYVVTPEGEAEEVMKVKLSGKTGCRADITLIEGSLLVTAIGEPVL RFWDLERGENYILSLQEKFGFEKGESINCVCFCKAKGLLAAGTNKGRVAMWKKVPSFPNG RGAEGKDMWALQTPTELEGNITQIKWGSRKNLLAVSSTESVSILSEQAMSSHFHQQVAAV QISPSLVNVSFLSTGGTHSLHTDMHISGVFATKDAVAVWNGKQVAIFEPSGSTLRNAGTF LCETSVLAMHEESIYTVEPNRLQVRTWQGTVKQLLLFSETEGSPCFLDVCGTFLVAGTDL AHFKSFDLSRREAKVHCSCKNLAQLVPDVGSITSLRCNANGNKISILLSKVNNSPDSKIY IYDVEMDTVNVFNFTTGQIGQIQTLPFNEPPTNETRSFMDKSLAGYTPVNHFWDQSEPRL FVCEALQEAPGAQPQAVDKQPRVEEGTCHKEEVLILSFFASEEHGFLLHDSFPRPSTYQS LLGMEVPHYYFTKKPGEADKEDRVDSGYYHIPQMVAKRPLRDFVGLEDCDKSTRDAMLNF SFFVTIGDMDEAFKSIKLIKSEAVWENMARMCVKTQRLDVAKVCLGNMGHARGARALREA EQEPELEARVAMLAIQLGMLEEAEQLYKKCKRYDLLNKFYQASDQWQKAVEVAELHDRVH LRTTYYNYAKHLEASADCGQALSYYEKSDTHRFEVPRMLSEDLQSLELYINRMKDKTLWR WWAQYLESQAEMDTALRYYELAQDYFSLVRIHCFQGNIQKAAEIANETGDWAASYHLARQ YESQDEVKQAVHFYTRAQAFNNAIRLCKENGLDDQLMNLALLSSPEDMIEAARYYEEKGE QMDRAVMLYHKAGHFSKALELAFTTQQFAALQLIAEDLDEKSDPALLARCSDFCIEHRQF EKAVELLLAAKKYHEALQLCLEQNMTITEDMAEKMTVSKDSKDMSEESRRELLEQIANCC MRQGNYHLATKKYTQAGNKLKAMRALLKSGDTEKIVFFAGVSRQKEIYIMAANYLQSLDW RKEPEIMKSIISFYTKGRALDLLAGFYDACAQVEIDEYQNYDKAHGALTEAYKCLSKAKT KNPLDQETKLAQLQSKMTLVKRFIQARRTYTEDPKESLRQCELLLEEPDLDSTIRVGDVY GFLVEHHVQMEEYQMAYKYLEEMRKRLPSANMSYYVDQRTVDTVHQGLGLLPPSRIMPER VRHNSMEDHKEVYEEVIEEVDNDP >ENSMUSP00000116163.1 pep:known chromosome:GRCm38:17:25016091:25092801:1 gene:ENSMUSG00000024169.15 transcript:ENSMUST00000137386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift140 description:intraflagellar transport 140 [Source:MGI Symbol;Acc:MGI:2146906] MALYFDHRIKAPDTPSSPSHITWHPTHPFLAVASISPSSGGNVDIYLEQGEPVPDTHIER SFQATSLCWHPTRLILAIGWETGEVIMFNKQDKEQHTVPLPHTTDIAILSWSTSGSCLVS GDKLGVLLLWRLDQRGRVQGTPLLKHEYGKALTHCIFRLPPPGEDLVQLAKAAVSGDEKA LDMFNWRKSSFGSFLKTGSQEGLSFFVSLMDGTVHYVDEKGKTAQVASTDSSIQTLFYIE RREALVVVTENLLLSLYVVTPEGEAEEVMKVKLSGKTGCRADITLIEGSLLVTAIGEPVL RFWDLERGENYILSLQEKFGFEKGESINCVCFCKAKGLLAAGTNKGRVAMWKKVPSFPNG RGAEGKDMWALQTPTELEGNITQIKWGSRKNLLAVSSTESVSILSEQAMSSHFHQQVAAV QISPSLVNVSFLSTGGTHSLHTDMHISGVFATKDAVAVWNGKQVAIFEPSGSTLRNAGTF LCETSVLAMHEESIYTVEPNRLQVRTWQGTVKQLLLFSETEGSPCFLDVCGTFLVAGTDL AHFKSFDLSRREAKVHCSCKNLAQLVPDVGSITSLRCNANGNKISILLSKVNNSPDSKIY IYDVEMDTVNVFNFTTGQIGQIQTLPFNEPPTNETRSFMDKSLAGYTPVNHFWDQSEPRL FVCEALQEAPGAQPQAVDKQPRVEEGTCHKEEVLILSFFASEEHGFLLHDSFPRPSTYQS LLGMEVPHYYFTKKPGEADKEDRVDSGYYHIPQMVAKRPLRDFVGLEDCDKSTRDAMLNF SFFVTIGDMDEAFKSIKLIKSEAVWENMARMCVKTQRLDVAKVCLGNMGHARGARALREA EQEPELEARVAMLAIQLGMLEEAEQLYKKCKRYDLLNKFYQASDQWQKAVEVAELHDRVH LRTTYYNYAKHLEASADCGQALSYYEKSDTHRFEVPRMLSEDLQSLELYINRMKDKTLWR WWAQYLESQAEMDTALRYYELAQDYFSLVRIHCFQGNIQKAAEIANETGDWAASYHLARQ YESQDEVKQAVHFYTRAQAFNNAIRLCKENGLDDQLMNLALLSSPEDMIEAARYYEEKGE QMDRAVMLYHKAGHFSKALELAFTTQQFAALQLIAEDLDEKSDPALLARCSDFCIEHRQF EKAVELLLAAKKYHEALQLCLEQNMTITEDMAEKMTVSKDSKDMSEESRRELLEQIANCC MRQGNYHLATKKYTQAGNKLKAMRALLKSGDT >ENSMUSP00000116689.1 pep:known chromosome:GRCm38:17:25025876:25037004:1 gene:ENSMUSG00000024169.15 transcript:ENSMUST00000156945.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift140 description:intraflagellar transport 140 [Source:MGI Symbol;Acc:MGI:2146906] MVKLSGKTGCRADITLIEGSLLVTAIGEPVLRFWDLERGENYILSLQEKFGFEKGESINC VCFCKAKGLLAAGTNKGRVAMWKKVPSFPNGRGAEGKDMWALQTPTELEGNITQIKWGSR KNLLAVSSTESVSILSEQAMSSHFHQQVAAVQISPSLVNVSFLSTGGTHSLHTDMHISGV FATKDAVAVWNGKQVAIFEPSGSTLRNAGTFLCETSVLAMHEES >ENSMUSP00000138028.1 pep:known chromosome:GRCm38:14:3884172:3921640:1 gene:ENSMUSG00000096218.2 transcript:ENSMUST00000181779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2916 description:predicted gene 2916 [Source:MGI Symbol;Acc:MGI:3781094] MPGPEASTTLRASLEELSLGPVRFPLLLQDQERIRPTVNQSRRGPRSWSIKCPPLDRWGP SSIDLPRLITAEIQVTAHLTKLKARSEERETLDSHWTTTIGSEIMRNYCKQTDALMSLRS CKRDSCL >ENSMUSP00000136852.1 pep:known chromosome:GRCm38:14:3892557:3920672:1 gene:ENSMUSG00000096218.2 transcript:ENSMUST00000178392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2916 description:predicted gene 2916 [Source:MGI Symbol;Acc:MGI:3781094] MPGPEASTTLRASLEELSLGPVRFPLLLQDQERIRPTVNQSRRGPRSWSIKCPPLDRWGP SSIDLPRLITAEIQVTAHLTKLKARSEERETLDSHWTTTIGSEIMRNYCKQTDALMSLRS CKRDSCL >ENSMUSP00000092767.5 pep:known chromosome:GRCm38:4:11558942:11585744:1 gene:ENSMUSG00000078773.11 transcript:ENSMUST00000095145.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad54b description:RAD54 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3605986] MRRSAAPSQVQGKSFKKTRFIPPGRSNADVSKEITKMSPDPKLFQGAEQSQNDPGVCSSN PCPSEGIPREVGDGTRVDPLPPVQTWMRLPKLVPVRCR >ENSMUSP00000103942.2 pep:known chromosome:GRCm38:4:11558949:11578957:1 gene:ENSMUSG00000078773.11 transcript:ENSMUST00000108306.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad54b description:RAD54 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3605986] MRRSAAPSQVQGKSFKKTRFIPPGRSNADVSKEITKMSPDPKLFQGAEQSQNDPGVCSSN PCPSEGIPREVGDGTRVDPLPPVLRRWRLKLGP >ENSMUSP00000066977.6 pep:known chromosome:GRCm38:4:11558972:11615805:1 gene:ENSMUSG00000078773.11 transcript:ENSMUST00000070755.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad54b description:RAD54 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3605986] MRRSAAPSQVQGKSFKKTRFIPPGRSNADVSKEITKMSPDPKLFQGAEQSQNDPGVCSSN PCPSEGIPREVGDGTRVDPLPPVHSASKEITESKAQEEEASSLLKYFSVVWCKASKKKHK KWEGDAILIVRGRSFTLKDLEGKDIGRGIGYKFKDLENVEEGQTLIIGGKEIEILGTISS DDFNSGKCFQHGSGSPAVPSSQAARKCFSNPFKSVCQSTQAQGKRWNDCRPRHNPCTPNA LVMPRPDENHQRMFNRHCSPIVDVVIDPHLVHHLRPHQKDGIIFLYECVMGMRAVGKCGA ILADEMGLGKTLQCISLIWTLQCQGPYGGKPVIKKTLIVTPGSLVNNWRKEFQKWLGSER IKIFTVDQDHKVEEFINSTFHSVLIISYEMLLRSLDQIKTIPFGLLICDEGHRLKNSSIK TTTALSSLSCEKTVILTGTPVQNDLQEFFALVDFVNPGILGSLSSYRKIYEEPIIISREP SSSKEERELGERRATELTRLTGRFILRRTQEVINKYLPPKIENVVFCRPGALQIELYRKL LRSQSVRFCLQGLLENSAHLICIGALKKLCNHPCLLFSSVKGKEFSSSCEENEERNLCQG LLSVFPAGYNPLQFSEEESGKLQVLVKLLAVIHELRPTEKVILVSNYRQTLNVLEEVCKR HGYACARLDGQTPVSQRQHIVDSFNSKYSTDFIFLLSSKAGGVGLNLIGGSHLILYDIDW NPATDIQAMSRVWRDGQKHPVHIYRLLTTGTIEEKIYQRQISKQGLSGAVVDLTRSSEHI QFSVEELKNLFTLHESSHCVTHDLLDCECTGEKGHTEDASEGPVASRQCQFGPQKSDALR PLSMSQLKQWKHFSGDHLNLPDPFLERIRENVSFFFQNITNQAPAV >ENSMUSP00000136561.1 pep:known chromosome:GRCm38:4:11595893:11606144:1 gene:ENSMUSG00000078773.11 transcript:ENSMUST00000178725.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad54b description:RAD54 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3605986] XKRWNDCRPRHNPCTPNALVMPRPDENHQRMFNRHCSPIVDVVIDPHLVHHLRPHQKDGI IFLYECVMGMRAVGKCGAILADEMGLGKTLQCISLIWTLQCQGPYGGKPVIKKTLIVTPG SLVNNWRKEFQKWLGSERIKIFTVDQIKLRLSHLAF >ENSMUSP00000126836.1 pep:known chromosome:GRCm38:14:3810074:3830685:1 gene:ENSMUSG00000096793.7 transcript:ENSMUST00000170480.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3002 description:predicted gene 3002 [Source:MGI Symbol;Acc:MGI:3781180] MLNSSKLGVSGMFSWLLRLFQKENGDEGVTRPTEKEEGILSHEKGRRKWLWRRHRSARNT STQNSKMTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRL LVEQAGHKCPVGKKRGSLRRPARTSVSQVPRNTSVK >ENSMUSP00000137351.1 pep:known chromosome:GRCm38:14:3825596:3966518:1 gene:ENSMUSG00000096793.7 transcript:ENSMUST00000178256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3002 description:predicted gene 3002 [Source:MGI Symbol;Acc:MGI:3781180] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSR >ENSMUSP00000125324.1 pep:known chromosome:GRCm38:13:34924409:34953197:1 gene:ENSMUSG00000021415.13 transcript:ENSMUST00000160279.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933417A18Rik description:RIKEN cDNA 4933417A18 gene [Source:MGI Symbol;Acc:MGI:1914011] MDVDIDPEQLFTEKMPKNYAQPDVLNHTFDLLSNLHKLLPNHLVEVLHSYRSEEDKNKCE KPEFSGLEKILARHQLPKEISLSPKPSLMPSWRRRIINNISGNWKKCHLWQKSTYEPPMG TIVARWTKKNLQPTEDLKSVIQRLSALGPIISVTPSGRQSAVVVFRDITSACKAVSAFQS MSGGSMFQCSWQHRFMAKNKTWSRKCTSKVHLEKRESTVGEPQELHN >ENSMUSP00000125206.1 pep:known chromosome:GRCm38:13:34924409:34955875:1 gene:ENSMUSG00000021415.13 transcript:ENSMUST00000160905.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4933417A18Rik description:RIKEN cDNA 4933417A18 gene [Source:MGI Symbol;Acc:MGI:1914011] MDVDIDPEQLFTEKMPKNYAQPDVLNHTFDLLSNLHKLLPNHLVEVLHSYRSEEDKNKCE KPEFSGLEKILARHQLPKEISLSPKPSLMPSWRRRIINNISGNWKKCHLWQKSTYEPPMG TIVARWTKKNLQPTEDLKSVIQRLSALGPIISVTPSGRQSAVVVFRDITSACKAVSAFQS MSGGSMFQCSWQHRFMAKNKTWSRKCTSKVHLEKRESTVGEPQELHN >ENSMUSP00000071989.8 pep:known chromosome:GRCm38:13:100778650:100786570:-1 gene:ENSMUSG00000041431.16 transcript:ENSMUST00000072119.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnb1 description:cyclin B1 [Source:MGI Symbol;Acc:MGI:88302] MALRVTRNTKINAENKAKVSMAGAKRVPVTVTAASKPGLRPRTALGDIGNKVSEELQARV PLKREAKTLGTGKGTVKALPKPVEKVPVCEPEVELAEPEPEPELEHVREEKLSPEPILVD NPSPSPMETSGCAPAEEYLCQAFSDVILAVSDVDADDGADPNLCSEYVKDIYAYLRQLEE EQSVRPKYLQGREVTGNMRAILIDWLIQVQMKFRLLQETMYMTVSIIDRFMQNSCVPKKM LQLVGVTAMFIASKYEEMYPPEIGDFAFVTNNTYTKHQIRQMEMKILRVLNFSLGRPLPL HFLRRASKVGEVDVEQHTLAKYLMELSMLDYDMVHFAPSQIAAGAFCLALKILDNGEWTP TLQHYLSYSEDSLLPVMQHLAKNVVMVNCGLTKHMTVKNKYAASKHAKISTLAQLNCTLV QNLSKAVTKA >ENSMUSP00000088841.7 pep:known chromosome:GRCm38:13:100778732:100786486:-1 gene:ENSMUSG00000041431.16 transcript:ENSMUST00000091295.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnb1 description:cyclin B1 [Source:MGI Symbol;Acc:MGI:88302] MALRVTRNTKINAENKAKVSMAGAKRVPVTVTAASKPGLRPRTALGDIGNKVSEELQARV PLKREAKTLGTGKGTVKALPKPVEKVPVCEPEVELAEPEPEPELEHVREEKLSPEPILEE QSVRPKYLQGREVTGNMRAILIDWLIQVQMKFRLLQETMYMTVSIIDRFMQNSCVPKKML QLVGVTAMFIASKYEEMYPPEIGDFAFVTNNTYTKHQIRQMEMKILRVLNFSLGRPLPLH FLRRASKVGEVDVEQHTLAKYLMELSMLDYDMVHFAPSQIAAGAFCLALKILDNGEWTPT LQHYLSYSEDSLLPVMQHLAKNVVMVNCGLTKHMTVKNKYAASKHAKISTLAQLNCTLVQ NLSKAVTKA >ENSMUSP00000133962.1 pep:known chromosome:GRCm38:13:100779678:100786402:-1 gene:ENSMUSG00000041431.16 transcript:ENSMUST00000174038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnb1 description:cyclin B1 [Source:MGI Symbol;Acc:MGI:88302] MALRVTRNTKINAENKAKVSMAGAKRVPVTVTAASKPGLRPRTALGDIGNKVSEELQARV PLKREAKTLGTGKGTVKALPKPVEKVPVCEPEVELAEPEPEPELEHVREEKLSPEPILVD NPSPSPMETSGCAPAEEYLCQAFSDVILAVSDVDADDGADPNLCSEYVKDIYAYLRQLEE EQSVRPKYLQGREVTGNMRAILIDWLIQVQMKFRLLQETMYMTVSIIDRFMQNSCVPKKM LQLVGVTAMFIASKYEEMYPPEIGDFAFVTNNTYTKHQIRQMEMKILRVLNFSLGRPLPL HFLRRASKVGEVDVEQHTLAKYLMELSMLDYDMVHFAPSQIAAGAFCLALKILDNGEWTV KNKYAASKHAKISTLAQLNCTLVQNLSKAVTKA >ENSMUSP00000068807.7 pep:known chromosome:GRCm38:6:118427319:118455528:-1 gene:ENSMUSG00000030145.14 transcript:ENSMUST00000069292.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp248 description:zinc finger protein 248 [Source:MGI Symbol;Acc:MGI:1919970] MNKSQEQVSFKDVCVDFTKEEWYLLDPAQKVLYRDVILENYSNFVSVGHCVTKPDVIFKI EQGEDPWIPENGFQCHPERKWKVDDLLESNQENQDGHFWPLMFTNKITNLEGGGNTRETP SPDIDSVSSRSFLLKVCDSCEMNLKNVSAVIISKKNYSRKKLNEFNIHKKPLPDSRREKI PVGIKSCDYDGERDGVRLCRDLARPVSHQPFEYNETGQGFCEEVALCTSQNSQLGETLYK HTECGRTFVDSLKLSVSQKTRLHTELHECSICRKSFYVDLQFGHHTALSGSSPYERNEYE KLFCDGTAFIVHQEAYTRKIAQEYKVSNRTWGKPALFKHQIIQMGGKPYEYYENRKNFSK KSHPTQPRRPHVGEKTFECGECGKMFWEKSNLTQHQRTHTGEKPYECTECGKAFCQKPHL TNHQRTHTGEKPYECKQCGKTFCVKSNLTEHQRTHTGEKPYECNACRKSFCHRSALTVHQ RTHTGEKPFICSECGKSFCVKSNLIVHQRTHTGEKPYKCNECGKTFCEKSALTKHQRTHT GEKPYECHVCGKTFSQRSVLTKHLRIHTRVKALLAS >ENSMUSP00000124539.1 pep:known chromosome:GRCm38:6:118428687:118455498:-1 gene:ENSMUSG00000030145.14 transcript:ENSMUST00000161519.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp248 description:zinc finger protein 248 [Source:MGI Symbol;Acc:MGI:1919970] MFTNKITNLEGGGNTRETPSPDIDSVSSRSFLLKVCDSCEMNLKNVSAVIISKKNYSRKK LNEFNIHKKPLPDSRREKIPVGIKSCDYDGERDGVRLCRDLARPVSHQPFEYNETGQGFC EEVALCTSQNSQLGETLYKHTECGRTFVDSLKLSVSQKTRLHTELHECSICRKSFYVDLQ FGHHTALSGSSPYERNEYEKLFCDGTAFIVHQEAYTRKIAQEYKVSNRTWGKPALFKHQI IQMGGKPYEYYENRKNFSKKSHPTQPRRPHVGEKTFECGECGKMFWEKSNLTQHQRTHTG EKPYECTECGKAFCQKPHLTNHQRTHTGEKPYECKQCGKTFCVKSNLTEHQRTHTGEKPY ECNACRKSFCHRSALTVHQRTHTGEKPFICSECGKSFCVKSNLIVHQRTHTGEKPYKCNE CGKTFCEKSALTKHQRTHTGEKPYECHVCGKTFSQRSVLTKHLRIHTRVKALLAS >ENSMUSP00000125400.1 pep:known chromosome:GRCm38:6:118433383:118455065:-1 gene:ENSMUSG00000030145.14 transcript:ENSMUST00000159403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp248 description:zinc finger protein 248 [Source:MGI Symbol;Acc:MGI:1919970] MNKSQEQVSFKDVCVDFTKEE >ENSMUSP00000072133.6 pep:known chromosome:GRCm38:5:26017278:26022916:-1 gene:ENSMUSG00000067700.5 transcript:ENSMUST00000072286.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5862 description:predicted gene 5862 [Source:MGI Symbol;Acc:MGI:3645135] MALFARLCRLFQRVNVDGRETREGRKDADLPSERNEGRRRWTWRMCMAHRQTTSPVPVKS KKQFEKEEKELIKKIQLTTEETNELRDRLIYVTEGSMNKRPYHRQTRHYKELKLKEKEIM TYLHDLEMKNMEARENNQELKKEKNFYRNLHTRILLEENLIKKKLVILQQESKEVHADWA TIHQSLVELNLSGKDEQEKTSNLETQEHQVSEAARELGLATAEEDSILQNELPCQEAPAE HHPQHPPSSSDESSSDESSYSTCPEWE >ENSMUSP00000109752.1 pep:known chromosome:GRCm38:X:74740927:74742188:1 gene:ENSMUSG00000079532.1 transcript:ENSMUST00000114117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6890 description:predicted gene 6890 [Source:MGI Symbol;Acc:MGI:3645826] MQDPVEGLDGRAGLAEGEDSQLRPQAPDAQASSQNSATEGDHGNVPRSCPQDSGRPAAPG TLATPGSQAAPGSPSPGNTVGSGAAAEEAAVIPHSEQATLVPGRNGDTASTRNRHLEFSV TVPFRTAMEAGMARRSLVANARPQQVMVQQEFTANDSILAVRWTTDDPVLFRISINTFLD QLSLVMRNIQRLEFVAVVKRGRGRSRES >ENSMUSP00000081572.3 pep:known chromosome:GRCm38:4:62408797:62426990:1 gene:ENSMUSG00000066148.3 transcript:ENSMUST00000084524.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf4 description:PRP4 pre-mRNA processing factor 4 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1917302] MASSRASSTTTKTKAPDDLVAPVVKKPHIYYGSLEEKERERLAKGESGILGKEGLKAGIE AGNINITSGEVFEIEEHISERQAEVLAEFERRKRARQINVSTDDSEVKACLRALGEPITL FGEGPAERRERLRNILSVVGTDALKKTKKDDEKSKKSKEEYQQTWYHEGPNSLKVARLWI ANYSLPRAMKRLEEARLHKEIPETTRTSQMQELHKSLRSLNNFCSQIGDDRPISYCHFSP NSKMLATACWSGLCKLWSVPDCSLLHTLRGHNTNVGAIVFHPKSTVSLDQKDVNLASCAA DGSVKLWSLDSDEPVADIEGHTVRVARVMWHPSGRFLGTTCYDRSWRLWDLEAQEEILHQ EGHSMGVYDIAFHQDGSLAGTGGLDAFGRVWDLRTGRCIMFLEGHLKEIYGINFSPNGYH IATGSGDNTCKVWDLRQRRCVYTIPAHQNLVTGVKFEPIHGDFLLTGAYDNTAKIWTHPG WSPLKTLAGHEGKVMGLDISSDGQLIATCSYDRTFKLWMAE >ENSMUSP00000123238.1 pep:known chromosome:GRCm38:10:18055940:18125132:1 gene:ENSMUSG00000019854.16 transcript:ENSMUST00000126390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reps1 description:RalBP1 associated Eps domain containing protein [Source:MGI Symbol;Acc:MGI:1196373] MEGLTLSDAEQKYYSDLFSYCDIESTKKVVVNGRVLELFRAAQLPNDVVLQIMELCGATR LGYFGRSQFYIALKLVAVAQSGFPLRVESINTVKDLPLPRFVASKNEQESRLAASYSSDS ENQGSYSGVIPPPPGRGQVKKGPGSHDAVQPRPSAEQQEPVSPVVSPQQSPPTSPHTWRK HSRHPSGGNSERPLTGPGPFWSPFGDAQAGSSAGDAVWSGQSPPPPQDNWVSFADTPPTS ALLTMHPASVQDQTTVRTVASAATANEIRRQSSSYEDPWKITDEQRQYYVNQFKTIQPDL NGFIPGSAAKEFFTKSKLPILELSHIWELSDFDKDGALTLDEFCAAFHLVVARKNGYDLP EKLPESLMPKLIDLEDSADVGEQPGEVGYSGSPAEAPPSKSPSMPSLNQTWPELNQSSEQ WETFSERSSSSQTLTQFDSNIAPADPDTAIVHPVPIRMTPSKIHMQEMELKRTSSDHTNP TSPLLVKPSDLSEENKINSSVKFPSGNTVDGYSSSDSFPSDPEQIGSSVTRQRSHSGTSP DNTAPPPPPPRPQPSHSRSSSLDMNRTFAVTTGQQQAGVVAHPPAVPPRPQPSQAPGPSV HRPVDADGLITHTSTSPQQIPEQPNFADFSQFEVFAASNVSEEQDSEAEKHPEVLPAEKA SDPSSSLRAAQADSKAEEKTATNVPANVSKGTTPLAPPPKPVRRRLKSEDELRPDVDEHT QKTGVLAAVLTSQPSIPRSVGKDKKAIQASIRRNKETNTVLARLNSELQQQLKDVLEERI SLEVQLEQLRPFSHL >ENSMUSP00000119651.1 pep:known chromosome:GRCm38:10:18056004:18125144:1 gene:ENSMUSG00000019854.16 transcript:ENSMUST00000150029.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reps1 description:RalBP1 associated Eps domain containing protein [Source:MGI Symbol;Acc:MGI:1196373] XSDLFSYCDIESTKKVVVNGRVLELFRAAQLPNDVVLQIMELCGATRLGYFGRSQFYIAL KLVAVAQSGFPLRVESINTVKDLPLPRFVASKNEQESRLAASYSSDSENQGSYSGVIPPP PGRGQVKKGPGSHDAVQPRPSAEQQEPVSPVVSPQQSPPTSPHTWRKHSRHPSGGNSERP LTGPGPFWSPFGDAQAGSSAGDAVWSGQSPPPPQDNWVSFADTPPTSALLTMHPASVQDQ TTVRTVASAATANEIRRQSSSYEDPWKITDEQRQYYVNQFKTIQPDLNGFIPGSAAKEFF TKSKLPILELSHIWELSDFDKDGALTLDEFCAAFHLVVARKNGYDLPEKLPESLMPKLID LEDSADVGEQPGEVGYSGSPAEAPPSKSPSMPSLNQTWPELNQSSEDTAIVHPVPIRMTP SKIHMQEMELKRTSSDHTNPTSPLLVKPSDLSEENKINSSVKFPSGNTVDGYSSSDSFPS DPEQIGSSVTRQRSHSGTSPDNTAPPPPPPRPQPSHSRSSSLDMNRTFAVTTGQQQAGVV AHPPAVPPRPQPSQAPGPSVHRPVDADGLITHTSTSPQQIPEQPNFADFSQFEVFAASNV SEEQDSEAEKHPEVLPAEKASDPSSSLRAAQADSKAEEKTATNVPANVSKGTTPLAPPPK PVRRRLKSEDELRPDVDEHTQKTGVLAAVLTSQPSIPRSVGKDKKAIQASIRRNKETNTV LARLNSELQQQLKDVLEERISLEVQLEQLRPFSHL >ENSMUSP00000119629.1 pep:known chromosome:GRCm38:10:18055906:18125144:1 gene:ENSMUSG00000019854.16 transcript:ENSMUST00000155284.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Reps1 description:RalBP1 associated Eps domain containing protein [Source:MGI Symbol;Acc:MGI:1196373] MEGLTLSDAEQKYYSDLFSYCDIESTKKVVVNGRVLELFRAAQLPNDVVLQIMELCGATR LGYFGRSQFYIALKLVAVAQSGFPLRVESINTVKDLPLPRFVASKNEQESRLAASYSSDS ENQGSYSGVIPPPPGRGQVKKGPGSHDAVQPRPSAEQQEPVSPVVSPQQSPPTSPHTWRK HSRHPSGGNSERPLTGPGPFWSPFGDAQAGNSQTLIKMAH >ENSMUSP00000119358.1 pep:known chromosome:GRCm38:10:18055861:18125130:1 gene:ENSMUSG00000019854.16 transcript:ENSMUST00000154718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reps1 description:RalBP1 associated Eps domain containing protein [Source:MGI Symbol;Acc:MGI:1196373] MEGLTLSDAEQKYYSDLFSYCDIESTKKVVVNGRVLELFRAAQLPNDVVLQIMELCGATR LGYFGRSQFYIALKLVAVAQSGFPLRVESINTVKDLPLPRFVASKNEQESRLAASYSSDS ENQGSYSGVIPPPPGRGQVKKGPGSHDAVQPRPSAEQQEPVSPVVSPQQSPPTSPHTWRK HSRHPSGGNSERPLTGPGPFWSPFGDAQAGSSAGDAVWSGQSPPPPQDNWVSFADTPPTS ALLTMHPASVQDQTTVRTVASAATANEIRRQSSSYEDPWKITDEQRQYYVNQFKTIQPDL NGFIPGSAAKEFFTKSKLPILELSHIWELSDFDKDGALTLDEFCAAFHLVVARKNGYDLP EKLPESLMPKLIDLEDSADVGEQPGEVGYSGSPAEAPPSKSPSMPSLNQTWPELNQSSED TAIVHPVPIRMTPSKIHMQEMELKRTSSDHTNPTSPLLVKPSDLSEENKINSSVKFPSGN TVGQQQAGVVAHPPAVPPRPQPSQAPGPSVHRPVDADGLITHTSTSPQQIPEQPNFADFS QFEVFAASNVSEEQDSEAEKHPEVLPAEKASDPSSSLRAAQADSKAEEKTATNVPANVSK GTTPLAPPPKPVRRRLKSEDELRPDVDEHTQKTGVLAAVLTSQPSIPRSVGKDKKAIQAS IRRNKETNTVLARLNSELQQQLKDVLEERISLEVQLEQLRPFSHL >ENSMUSP00000114387.1 pep:known chromosome:GRCm38:10:18093171:18104187:1 gene:ENSMUSG00000019854.16 transcript:ENSMUST00000155959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reps1 description:RalBP1 associated Eps domain containing protein [Source:MGI Symbol;Acc:MGI:1196373] XPVSPVVSPQQSPPTSPHTWRKHSRHPSGGNSERPLTGPGPFWSPFGDAQAGSSAGDAVW SGQSPPPPQDNWDQTTVRTVASAATANEIRRQSSSYEDPWKITDEQRQYYVNQFKTIQPD LNGFIPGSAAKEFFTKSKLPILELSHIWELSDFDKDGALTLDEFCAAFHLVVARKNGYDL PEKLPESLMPKLIDLEDSADVGEQPGEVGYS >ENSMUSP00000117431.1 pep:known chromosome:GRCm38:10:18094531:18117734:1 gene:ENSMUSG00000019854.16 transcript:ENSMUST00000155892.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reps1 description:RalBP1 associated Eps domain containing protein [Source:MGI Symbol;Acc:MGI:1196373] XFKTIQPDLNGFIPGSAAKEFFTKSKLPILELSHIWELSDFDKDGALTLDEFCAAFHLVV ARKNGYDLPEKLPESLMPKLIDLEDSADVGEQPGEVGYSGSPAEAPPSKSPSMPSLNQTW PELNQSSEWETFSERSSSSQTLTQFDSNIAPADPDTAIVHPVPIRMTPSKIHMQEMELKR TSSDHTNPTSPLLVKPSDLSEENKINSSVKFPSGNTVDGYSSSDSFPSDPEQIGSSVTRQ >ENSMUSP00000130501.1 pep:known chromosome:GRCm38:10:18055940:18125155:1 gene:ENSMUSG00000019854.16 transcript:ENSMUST00000164556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reps1 description:RalBP1 associated Eps domain containing protein [Source:MGI Symbol;Acc:MGI:1196373] MEGLTLSDAEQKYYSDLFSYCDIESTKKVVVNGRVLELFRAAQLPNDVVLQIMELCGATR LGYFGRSQFYIALKLVAVAQSGFPLRVESINTVKDLPLPRFVASKNEQESRLAASYSSDS ENQGSYSGVIPPPPGRGQVKKGPGSHDAVQPRPSAEQQEPVSPVVSPQQSPPTSPHTWRK HSRHPSGGNSERPLTGPGPFWSPFGDAQAGSSAGDAVWSGQSPPPPQDNWVSFADTPPTS ALLTMHPASVQDQTTVRTVASAATANEIRRQSSSYEDPWKITDEQRQYYVNQFKTIQPDL NGFIPGSAAKEFFTKSKLPILELSHIWELSDFDKDGALTLDEFCAAFHLVVARKNGYDLP EKLPESLMPKLIDLEDSADVGEQPGEVGYSGSPAEAPPSKSPSMPSLNQTWPELNQSSED TAIVHPVPIRMTPSKIHMQEMELKRTSSDHTNPTSPLLVKPSDLSEENKINSSVKFPSGN TVDGYSSSDSFPSDPEQIGSSVTRQRSHSGTSPDNTAPPPPPPRPQPSHSRSSSLDMNRT FAVTTGQQQAGVVAHPPAVPPRPQPSQAPGPSVHRPVDADGLITHTSTSPQQIPEQPNFA DFSQFEVFAASNVSEEQDSEAEKHPEVLPAEKASDPSSSLRAAQADSKAEEKTATNVPAN VSKGTTPLAPPPKPVRRRLKSEDELRPDVDEHTQKTGVLAAVLTSQPSIPRSVGKDKKAI QASIRRNKETNTVLARLNSELQQQLKDVLEERISLEVQLEQLRPFSHL >ENSMUSP00000074238.3 pep:known chromosome:GRCm38:11:51600100:51606847:-1 gene:ENSMUSG00000020358.17 transcript:ENSMUST00000074669.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpab description:heterogeneous nuclear ribonucleoprotein A/B [Source:MGI Symbol;Acc:MGI:1330294] MSDAAEEQPMETTGATENGHEAAPEGEAPVEPSAAAAAPAASAGSGGGTTTAPSGNQNGA EGDQINASKNEEDAGKMFVGGLSWDTSKKDLKDYFTKFGEVVDCTIKMDPNTGRSRGFGF ILFKDSSSVEKVLDQKEHRLDGRVIDPKKAMAMKKDPVKKIFVGGLNPEATEEKIREYFG QFGEIEAIELPIDPKLNKRRGFVFITFKEEDPVKKVLEKKFHTVSGSKCEIKVAQPKEVY QQQQYGSGGRGNRNRGNRGSGGGQGSTNYGKSQRRGGHQNNYKPY >ENSMUSP00000098807.2 pep:known chromosome:GRCm38:11:51600869:51606847:-1 gene:ENSMUSG00000020358.17 transcript:ENSMUST00000101249.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpab description:heterogeneous nuclear ribonucleoprotein A/B [Source:MGI Symbol;Acc:MGI:1330294] MSDAAEEQPMETTGATENGHEAAPEGEAPVEPSAAAAAPAASAGSGGGTTTAPSGNQNGA EGDQINASKNEEDAGKMFVGGLSWDTSKKDLKDYFTKFGEVVDCTIKMDPNTGRSRGFGF ILFKDSSSVEKVLDQKEHRLDGRVIDPKKAMAMKKDPVKKIFVGGLNPEATEEKIREYFG QFGEIEAIELPIDPKLNKRRGFVFITFKEEDPVKKVLEKKFHTVSGSKCEIKVAQPKEVY QQQQYGSGGRGNRNRGNRGSGGGQSQSWNQGYGNYWNQGYGYQQGYGPGYGGYDYSPYGY YGYGPGYDYSK >ENSMUSP00000104731.3 pep:known chromosome:GRCm38:11:51601174:51606847:-1 gene:ENSMUSG00000020358.17 transcript:ENSMUST00000109103.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpab description:heterogeneous nuclear ribonucleoprotein A/B [Source:MGI Symbol;Acc:MGI:1330294] MSDAAEEQPMETTGATENGHEAAPEGEAPVEPSAAAAAPAASAGSGGGTTTAPSGNQNGA EGDQINASKNEEDAGKMFVGGLSWDTSKKDLKDYFTKFGEVVDCTIKMDPNTGRSRGFGF ILFKDSSSVEKVLDQKEHRLDGRVIDPKKAMAMKKDPVKKIFVGGLNPEATEEKIREYFG QFGEIEAIELPIDPKLNKRRGFVFITFKEEDPVKKVLEKKFHTVSGSKCEIKVAQPKEVY QQQQYGSGGRGNRNRGNRGSGGGQSQSWNQGYGNYWNQGYGYQQGYGPGYGGYDYSPYGY YGYGPGYDYSQGSTNYGKSQRRGGHQNNYKPY >ENSMUSP00000047012.7 pep:known chromosome:GRCm38:5:118064965:118155464:-1 gene:ENSMUSG00000032867.8 transcript:ENSMUST00000049474.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw8 description:F-box and WD-40 domain protein 8 [Source:MGI Symbol;Acc:MGI:1923041] MDDHNLEEFRRHWQEELAQSQALRRRRRLEAGERRSPRRPEAGARGEPASGYLGLAQGLL EGAGRPPAPRPGRGGDRKDTSSRSRSPPDRDATEPEPLVDQLIRDLNELDDVPFFDVRLP YELAINIFQYLNRRELGLCAQVSKTWKVIAEDEVLWYRLCRQEGHLPHSRFSDYTCWKLI LQECLAKEHTLRANWKNRKGAVSELEHVPDAVLCDVRSHDGVVIAGYTSGDVRVWDTRTW DYVAPFLESESEEEDPGMQPYVSFVRINSSLAVAAYEDGILNIWDLRTGRFPIFRFEHDA RIQALALSQEKPIVATASAFDVVMLYPNEEGHWHVASEFEVQKLVDYLEIVPNTGRYPVA IATAGDLVYLLKADDSARTLHYVYGQPATCLDVSASQVAFGVKSLGWVYEGNKILVYSLE AERCLSKLGNALGDFTCVNIRDSPPNLMVSGNMDRRVRIHDLRSDKIALSLSAHQLGVSA VQMDDWKVVSGGEEGLVSVWDYRMNQKLWEVHSRHPVRYLSFNSHSLITANVPYEKVLRN SDLDNFACHRRHRGLIHAYEFAVDQLAFQSPLPVCRLPRDIMAGYSYDLALSFPHDSI >ENSMUSP00000078415.2 pep:known chromosome:GRCm38:5:26032846:26039558:-1 gene:ENSMUSG00000073119.3 transcript:ENSMUST00000079447.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4a description:spermatogenesis associated glutamate (E)-rich protein 4A [Source:MGI Symbol;Acc:MGI:1922907] MALFARLCRLFQRANVNGRETREGRKDANLPPESNEGRRRWTWRMWMAHRQTTSSVPVTS KKQFEKEEKELIKKIQLTTKETNELRDRLIYVTEGSMNKRPYHRQTRHYKELKLKEKEIM TYLHNLEMENMEARENKQEFKKEKNFYRNLHTRILLEENLIKKKLAILQQESKEIHADWA IIQERLVELNLSCKDEQEKTSNLETQENQVSEAARELGLATAEEDSILQNELPCQEAPAE HHTQNPPSSSDESSSDESSYSTCPAWE >ENSMUSP00000095882.2 pep:known chromosome:GRCm38:7:98143359:98145502:-1 gene:ENSMUSG00000074006.3 transcript:ENSMUST00000098281.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Omp description:olfactory marker protein [Source:MGI Symbol;Acc:MGI:97436] MAEDGPQKQQLEMPLVLDQDLTQQMRLRVESLKQRGEKKQDGEKLIRPAESVYRLDFIQQ QKLQFDHWNVVLDKPGKVTITGTSQNWTPDLTNLMTRQLLDPAAIFWRKEDSDAMDWNEA DALEFGERLSDLAKIRKVMYFLITFGEGVEPANLKASVVFNQL >ENSMUSP00000121707.2 pep:known chromosome:GRCm38:7:3816781:3825650:-1 gene:ENSMUSG00000074419.11 transcript:ENSMUST00000153846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15448 description:predicted gene 15448 [Source:MGI Symbol;Acc:MGI:3705216] MSCTFTARLCLGLTLSLWIPVLTGSLPKPILRVQPDSVVSRWTKVTFLCEETIGANEYRL YKDGKLYKTVTKNKQKPANKAEFSFSNVDLSNAGQYECSYSTQYKSSGYSDLLELVVTED YWTPSLSAQASPVVTSGGYVTLQCESSQSYHRFILTVEGPQNLIWRQDSQYNYSTRKYHA LFSVGPVTPNQRWICRCYSYDRNRPYVWSPPSESVELLVSGNLQKPTIKAEPSSVITSER AMTIWCQGNLDAEVYFLHNEKSQKTESTQTLQQPGNKGKFFIPSVTQQHAGQYRCYCYSS AGWSEPSDTLELVVTGIYEHYELRLSALPSTVVTAGGNMTLHCASHSHYDKFILMKEDKK FTNSLDTVYISSSRQYQALFIIGPTTPTHTGTFRCYGYYKNAPQLWSVPSDLQQILISGL SKKPSLLTHQGHILDPGMTLTLQCFSDINYDRFALHKVGGADIMQHSSQQTDTGFSMANF TLGYVSSSTGGQYRCYGAHNLSSEWSASSDPVDVLILIPGQLPITPSLLVKPNHTVHSGE TVSLLCWSMDPVETFILSKEGSAQQPLRLKSKSHDQQSQAEFSMSAVTSHLSGTYRCYGA QDSSFYLLSSASSPVELTVSGTIESSTWSPKRPIPPIPTENQDHTTENLIRMGMAVVVLI VLSILATEAWRSHRQTHPAAGN >ENSMUSP00000104260.1 pep:known chromosome:GRCm38:7:3816781:3825687:-1 gene:ENSMUSG00000074419.11 transcript:ENSMUST00000108620.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15448 description:predicted gene 15448 [Source:MGI Symbol;Acc:MGI:3705216] MSCTFTARLCLGLTLSLWIPVLTGSLPKPILRVQPDSVVSRWTKVTFLCEETIGANEYRL YKDGKLYKTVTKNKQKPANKAEFSFSNVDLSNAGQYECSYSTQYKSSGYSDLLELVVTED YWTPSLSAQASPVVTSGGYVTLQCESSQSYHRFILTVEGPQNLIWRQDSQYNYSTRKYHA LFSVGPVTPNQRWICRCYSYDRNRPYVWSPPSESVELLVSGNLQKPTIKAEPSSVITSER AMTIWCQGNLDAEVYFLHNEKSQKTESTQTLQQPGNKGKFFIPSVTQQHAGQYRCYCYSS AGWSEPSDTLELVVTGIYEHYELRLSALPSTVVTAGGNMTLHCASHSHYDKFILMKEDKK FTNSLDTVYISSSRQYQALFIIGPTTPTHTGTFRCYGYYKNAPQLWSVPSDLQQILISGQ LPITPSLLVKPNHTVHSGETVSLLCWSMDPVETFILSKEGSAQQPLRLKSKSHDQQSQAE FSMSAVTSHLSGTYRCYGAQDSSFYLLSSASSPVELTVSATENQDHTTENLIRMGMAVVV LIVLSILATEAWRSHRQTHPAAGN >ENSMUSP00000104259.2 pep:known chromosome:GRCm38:7:3816797:3825669:-1 gene:ENSMUSG00000074419.11 transcript:ENSMUST00000108619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15448 description:predicted gene 15448 [Source:MGI Symbol;Acc:MGI:3705216] MSCTFTARLCLGLTLSLWIPVLTGSLPKPILRVQPDSVVSRWTKVTFLCEETIGANEYRL YKDGKLYKTVTKNKQKPANKAEFSFSNVDLSNAGQYECSYSTQYKSSGYSDLLELVVTED YWTPSLSAQASPVVTSGGYVTLQCESSQSYHRFILTVEGPQNLIWRQDSQYNYSTRKYHA LFSVGPVTPNQRWICRCYSYDRNRPYVWSPPSESVELLVSGNLQKPTIKAEPSSVITSER AMTIWCQGNLDAEVYFLHNEKSQKTESTQTLQQPGNKGKFFIPSVTQQHAGQYRCYCYSS AGWSEPSDTLELVVTGIYEHYELRLSALPSTVVTAGGNMTLHCASHSHYDKFILMKEDKK FTNSLDTVYISSSRQYQALFIIGPTTPTHTGTFRCYGYYKNAPQLWSVPSDLQQILISGL SKKPSLLTHQGHILDPGMTLTLQCFSDINYDRFALHKVGGADIMQHSSQQTDTGFSMANF TLGYVSSSTGGQYRCYGAHNLSSEWSASSDPVDVLILIPGQLPITPSLLVKPNHTVHSGE TVSLLCWSMDPVETFILSKEGSAQQPLRLKSKSHDQQSQAEFSMSAVTSHLSGTYRCYGA QDSSFYLLSSASSPVELTVSATENQDHTTENLIRMGMAVVVLIVLSILATEAWRSHRQTH PAAGN >ENSMUSP00000092515.4 pep:known chromosome:GRCm38:7:3821525:3825641:-1 gene:ENSMUSG00000074419.11 transcript:ENSMUST00000094911.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15448 description:predicted gene 15448 [Source:MGI Symbol;Acc:MGI:3705216] MSCTFTARLCLGLTLSLWIPVLTGSLPKPILRVQPDSVVSRWTKVTFLCEETIGANEYRL YKDGKLYKTVTKNKQKPANKAEFSFSNVDLSNAGQYECSYSTQYKSSGYSDLLELVVTED YWTPSLSAQASPVVTSGGYVTLQCESSQSYHRFILTVEGPQNLIWRQDSQYNYSTRKYHA LFSVGPVTPNQRWICRCYSYDRNRPYVWSPPSESVELLVSGNLQKPTIKAEPSSVITSER AMTIWCQGNLDAEVYFLHNEKSQKTESTQTLQQPGNKGKFFIPSVTQQHAGQYRCYCYSS AGWSEPSDTLELVVTGIYEHYELRLSALPSTVVTAGGNMTLHCASHSHYDKFILMKEDKK FTNSLDTVYISSSRQYQALFIIGPTTPTHTGTFRCYGYYKNAPQLWSVPSDLQQILISGQ LPITPSLLVKPNHTVHSGETVSLLCWSMDPVETFILSKEGSAQQPLRLKSKSHDQQSQAE FSMSAVTSHLSGTYRCYGAQDSSFYLLSSASSPVELTVS >ENSMUSP00000140974.1 pep:known chromosome:GRCm38:7:3821242:3825641:-1 gene:ENSMUSG00000074419.11 transcript:ENSMUST00000189095.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15448 description:predicted gene 15448 [Source:MGI Symbol;Acc:MGI:3705216] MSCTFTARLCLGLTLSLWIPVLTGSLPKPILRVQPDSVVSRWTKVTFLCEETIGANEYRL YKDGKLYKTVTKNKQKPANKAEFSFSNVDLSNAGQYECSYSTQYKSSGYSDLLELVVTED YWTPSLSAQASPVVTSGGYVTLQCESSQSYHRFILTVEGPQNLIWRQDSQYNYSTRKYHA LFSVGPVTPNQRWICRCYSYDRNRPYVWSPPSESVELLVSGNLQKPTIKAEPSSVITSER AMTIWCQGNLDAEVYFLHNEKSQKTESTQTLQQPGNKGKFFIPSVTQQHAGQYRCYCYSS AGWSEPSDTLELVVTGIYEHYELRLSALPSTVVTAGGNMTLHCASHSHYDKFILMKEDKK FTNSLDTVYISSSRQYQALFIIGPTTPTHTGTFRCYGYYKNAPQLWSVPSDLQQILISGL SKKPSLLTHQGHILDPGMTLTLQCFSDINYDRFALHKVGGADIMQHSSQQTDTGFSMANF TLGYVSSSTGGQYRCYGAHNLSSEWSASSDPVDVLILRQLPITPSLLVKPNHTVHSGETV SLLCWSMDPVETFILSKEGSAQQPLRLKSKSHDQQSQAEFSMSAVTSHLSGTYRCYGAQD SSFYLLSSASSPVELTVSGTIESSTWSPKRPIPPI >ENSMUSP00000055104.8 pep:known chromosome:GRCm38:17:56269319:56276786:-1 gene:ENSMUSG00000047123.8 transcript:ENSMUST00000058136.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ticam1 description:toll-like receptor adaptor molecule 1 [Source:MGI Symbol;Acc:MGI:2147032] MDNPGPSLRGAFGILGALERDRLTHLKHKLGSLCSGSQESKLLHAMVLLALGQDTEARVS LESLKMNTVAQLVAHQWADMETTEGPEEPPDLSWTVARLYHLLAEENLCPASTRDMAYQV ALRDFASQGDHQLGQLQNEAWDRCSSDIKGDPSGFQPLHSHQGSLQPPSASPAVTRSQPR PIDTPDWSWGHTLHSTNSTASLASHLEISQSPTLAFLSSHHGTHGPSKLCNTPLDTQEPQ LVPEGCQEPEEISWPPSVETSVSLGLPHEISVPEVSPEEASPILPDALAAPDTSVHCPIE CTELSTNSRSPLTSTTESVGKQWPITSQRSPQVPVGDDSLQNTTSSSPPAQPPSLQASPK LPPSPLSSASSPSSYPAPPTSTSPVLDHSETSDQKFYNFVVIHARADEQVALRIREKLET LGVPDGATFCEEFQVPGRGELHCLQDAIDHSGFTILLLTASFDCSLSLHQINHALMNSLT QSGRQDCVIPLLPLECSQAQLSPDTTRLLHSIVWLDEHSPIFARKVANTFKTQKLQAQRV RWKKAQEARTLKEQSIQLEAERQNVAAISAAYTAYVHSYRAWQAEMNKLGVAFGKNLSLG TPTPSWPGCPQPIPSHPQGGTPVFPYSPQPPSFPQPPCFPQPPSFPQPPSFPLPPVSSPQ SQSFPSASSPAPQTPGPQPLIIHHAQMVQLGVNNHMWGHTGAQSSDDKTECSENPCMGPL TDQGEPLLETPE >ENSMUSP00000027071.5 pep:known chromosome:GRCm38:1:13623330:13660546:-1 gene:ENSMUSG00000025937.6 transcript:ENSMUST00000027071.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lactb2 description:lactamase, beta 2 [Source:MGI Symbol;Acc:MGI:2442551] MAAALQRIEQLSSRVVRVLGCNPGPMTLQGTNTYLVGTGSRRILIDTGEPSVPEYISCLK QALVEFDTAIQEILVTHWHSDHSGGIVDICKNINNDTTYCIKKLRRNPQREEIIGNGEQQ FIYIENGDVVKTEGATLRVLYTPGHTDDHMALLLEEENAIFSGDCILGEGTTIFEDLYDY MNSLNNLLKIKANIIYPGHGPVIHNAEAKILEYISHRNNREEQIISLFRDNFEKSFTVTE LRTMIYKDVPENLHKMAEHNLLLHLRKLEKDGKIFYTTTPVKKWKAVL >ENSMUSP00000043325.3 pep:known chromosome:GRCm38:11:49663610:49665118:1 gene:ENSMUSG00000064057.12 transcript:ENSMUST00000043873.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb3a1 description:secretoglobin, family 3A, member 1 [Source:MGI Symbol;Acc:MGI:1915912] MKLTTTFLVLCVALLSDSGVAFFMDSLAKPAVEPVAALAPAAEAVAGAVPSLPLSHLAIL RFILASMGIPLDPLIEGSRKCVTELGPEAVGAVKSLLGVLTMFG >ENSMUSP00000075387.4 pep:known chromosome:GRCm38:11:49663610:49665118:1 gene:ENSMUSG00000064057.12 transcript:ENSMUST00000076006.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb3a1 description:secretoglobin, family 3A, member 1 [Source:MGI Symbol;Acc:MGI:1915912] MSPQSARHSVAFFMDSLAKPAVEPVAALAPAAEAVAGAVPSLPLSHLAILRFILASMGIP LDPLIEGSRKCVTELGPEAVGAVKSLLGVLTMFG >ENSMUSP00000092613.3 pep:known chromosome:GRCm38:5:26051883:26058581:-1 gene:ENSMUSG00000073117.2 transcript:ENSMUST00000095004.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7347 description:predicted gene 7347 [Source:MGI Symbol;Acc:MGI:3645983] MALFARLCRLFQRVNVDGRETREGRKDADLPSERNEGRRRWTWRMCMAHRQTTSPVPVKS KKQFEKEEKELIKKIQLTTKETNELRDRLIYVTEGSMNKRPYHRQTRHYKELKLKEKEIM TFLHDLEMKNMEARENNQELKKEKNFYRNLHTRILLGENLIKKKLAILQQESKEIHADWA IIQQRLVELNLSGKDEQEKTSNLEAQENQVSEAARELGLATAEEDSILQNELPCQEAPAE HHPQHPSSSSDESSSDESSYSTCPEWE >ENSMUSP00000075876.2 pep:known chromosome:GRCm38:6:40612315:40613310:-1 gene:ENSMUSG00000058250.2 transcript:ENSMUST00000076565.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r138 description:taste receptor, type 2, member 138 [Source:MGI Symbol;Acc:MGI:2681306] MLSLTPVLTVSYEAKISFLFLSAMEFAVGILANAFIVLVNVWDVVKKQPLNNCDIALLCL SITRLFLQGLLLLDAIQLACFQQMKDPLSHNYQAILTLWMIANQVSLWLAACLSLLYCSK IVRFSHTFPLHVASWVSRRFLQMLLVVLLLSCICTALCLWDFFCRSHSTVTSLLHLNSTE FSLQIAKLNFFYSFIFCNVGSVPPSLAFLVSSGVLVISLGSHMRTMKSQTSSSGDPSLEA HIRAIIFLISFFCFYVVSFCAALISIPLLMLWHNKGGVMICIGMMAACPSGHAAILISGN AKLRRAIETMLFWFQSRQKVRPVHKVPPRTL >ENSMUSP00000033692.7 pep:known chromosome:GRCm38:X:103630586:103631670:1 gene:ENSMUSG00000031330.8 transcript:ENSMUST00000033692.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc13 description:zinc finger, CCHC domain containing 13 [Source:MGI Symbol;Acc:MGI:1922314] MSSKSCFKCGHSGHWARECPKGGTRGRTARGRTRGPQCSTANQSDVCYRCGETGHYAKDC DLLQDTCYNCGRRGHIAKDCTQAKREREQCCYICSQPGHLARDCNRQEEQKCYTCGEFGH IQKDCTQIKCYRCGENGHMAVNCSKTSEVSCYRCGESGHLARECPIEATA >ENSMUSP00000121227.1 pep:known chromosome:GRCm38:15:65876053:65901561:-1 gene:ENSMUSG00000015001.17 transcript:ENSMUST00000156996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oc90 description:otoconin 90 [Source:MGI Symbol;Acc:MGI:1313269] XHALDTPNPQELPPGLSKNINITFFNGVFKNVESVAEIFDCLGSHFTWLQAVFTNFPLLL QFVNSMRCVTGLCPRDFEDYGCACRFEMEGMPVDESDICCFQHRRCYEEAVEMDCLQDPA KLSADVDCTNKQITCESEDPCERLLCTCDKAAVECLAQSGINSSLNFLDASFCLPQTPET TSGKAATLLPRGIPEKPTDTSQIALSGEESVQDLQDTQASRTTSSPGSAEIIALAKGTTH SAGIKPLRLGVSSVDNGSQEAAGKAACDRLAFVHLGDGDSMTAMLQLGEMLFCLTSHCPE EFETYGCYCGREGRGEPRDTLDRCCLSHHCCLEQMRQVGCLHGRRSQSSVVCEDHMAKCV GQSLCEKLLCACDQMAAECMASAFFNQSLKSPDGAECQGEPVSCEDGMLQGTLASSVDSS SEENSEEAPPQMERLRRFLEKPPGPLGARPLGGK >ENSMUSP00000078709.7 pep:known chromosome:GRCm38:15:65876053:65912254:-1 gene:ENSMUSG00000015001.17 transcript:ENSMUST00000079776.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oc90 description:otoconin 90 [Source:MGI Symbol;Acc:MGI:1313269] MIMLLMVGMLMAPCVGAHALDTPNPQELPPGLSKNINITFFNGVFKNVESVAEIFDCLGS HFTWLQAVFTNFPLLLQFVNSMRCVTGLCPRDFEDYGCACRFEMEGMPVDESDICCFQHR RCYEEAVEMDCLQDPAKLSADVDCTNKQITCESEDPCERLLCTCDKAAVECLAQSGINSS LNFLDASFCLPQTPETTSGKAATLLPRESVQDLQDTQASRTTSSPGSAEIIALAKGTTHS AGIKPLRLGVSSVDNGSQEAAGKAACDRLAFVHLGDGDSMTAMLQLGEMLFCLTSHCPEE FETYGCYCGREGRGEPRDTLDRCCLSHHCCLEQMRQVGCLHGRRSQSSVVCEDHMAKCVG QSLCEKLLCACDQMAAECMASAFFNQSLKSPDGAECQGEPVSCEDGMLQGTLASSVDSSS EENSEEAPPQMERLRRFLEKPPGPLGARPLGGK >ENSMUSP00000062865.4 pep:known chromosome:GRCm38:15:65876053:65912397:-1 gene:ENSMUSG00000015001.17 transcript:ENSMUST00000060522.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oc90 description:otoconin 90 [Source:MGI Symbol;Acc:MGI:1313269] MIMLLMVGMLMAPCVGAHALDTPNPQELPPGLSKNINITFFNGVFKNVESVAEIFDCLGS HFTWLQAVFTNFPLLLQFVNSMRCVTGLCPRDFEDYGCACRFEMEGMPVDESDICCFQHR RCYEEAVEMDCLQDPAKLSADVDCTNKQITCESEDPCERLLCTCDKAAVECLAQSGINSS LNFLDASFCLPQTPETTSGKAATLLPRGIPEKPTDTSQIALSGEVAGEVRADTLTTLSRT KSVQDLQDTQASRTTSSPGSAEIIALAKGTTHSAGIKPLRLGVSSVDNGSQEAAGKACDR LAFVHLGDGDSMTAMLQLGEMLFCLTSHCPEEFETYGCYCGREGRGEPRDTLDRCCLSHH CCLEQMRQVGCLHGRRSQSSVVCEDHMAKCVGQSLCEKLLCACDQMAAECMASAFFNQSL KSPDGAECQGEPVSCEDGMLQGTLASSVDSSSEENSEEAPPQMERLRRFLEKPPGPLGAR PLGGK >ENSMUSP00000114254.1 pep:known chromosome:GRCm38:15:65876392:65892438:-1 gene:ENSMUSG00000015001.17 transcript:ENSMUST00000135442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oc90 description:otoconin 90 [Source:MGI Symbol;Acc:MGI:1313269] XEEAVEMDCLQDPAKLSADVDCTNKQITCESEDPCERLLCTCDKAAVECLAQSGINSSLN FLDASFCLPQTPETTSGKAATLLPRESVQDLQDTQASRTTSSPGSAEIIALAKGTTHSAG IKPLRLGVSSVDNGSQEAAGKACDRLAFVHLGDGDSMTAMLQLGEMLFCLTSHCPEEFET YGCYCGREGRGEPRDTLDRCCLSHHCCLEQMRQVGCLHGRRSQSSVVCEDHMAKCVGQSL CEKLLCACDQMAAECMASAFFNQSLKSPDGAECQGEPVSCEDGMLQGTLASSVDSSSEE >ENSMUSP00000118937.1 pep:known chromosome:GRCm38:15:65876520:65889638:-1 gene:ENSMUSG00000015001.17 transcript:ENSMUST00000147776.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oc90 description:otoconin 90 [Source:MGI Symbol;Acc:MGI:1313269] XNSSLNFLDASFCLPQTPETTSGKAATLLPRGIPEKPTDTSQIALSGEESVQDLQDTQAS RTTSSPGSAEIIALAKGTTHSAGIKPLRLGVSSVDNGSQEAAGKACDRLAFVHLGDGDSM TAMLQLGEMLFCLTSHCPEEFETYGCYCGREGRGEPRDTLDRCCLSHHCCLEQMRQVGCL HGRRSQSSVVCEDHMAKCVGQSLCEKLLCACDQMAAECMA >ENSMUSP00000025904.5 pep:known chromosome:GRCm38:19:6906706:6910106:-1 gene:ENSMUSG00000024953.16 transcript:ENSMUST00000025904.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx5 description:peroxiredoxin 5 [Source:MGI Symbol;Acc:MGI:1859821] MLQLGLRVLGCKASSVLRASTCLAGRAGRKEAGWECGGARSFSSSAVTMAPIKVGDAIPS VEVFEGEPGKKVNLAELFKGKKGVLFGVPGAFTPGCSKTHLPGFVEQAGALKAKGAQVVA CLSVNDVFVIEEWGRAHQAEGKVRLLADPTGAFGKATDLLLDDSLVSLFGNRRLKRFSMV IDNGIVKALNVEPDGTGLTCSLAPNILSQL >ENSMUSP00000135084.1 pep:known chromosome:GRCm38:19:6906750:6908301:-1 gene:ENSMUSG00000024953.16 transcript:ENSMUST00000149261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx5 description:peroxiredoxin 5 [Source:MGI Symbol;Acc:MGI:1859821] MWGRQDIQESEDRNGPLNRQALFLLGVSTGSIKVYLCGCGSLVSLHSVLPWSLFLQVGDA IPSVEVFEGEPGKKVNLAELFKGKKGVLFGVPGAFTPGCSKTHLPGFVEQAGALKAKGAQ VVACLSVNDVFVIEEWGRAHQAEGKVRLLADPTGAFGKATDLLLDDSLVSLFGNRRLKRF SMVIDNGIVKALNVEPDGTGLTCSLAPNILSQL >ENSMUSP00000134521.1 pep:known chromosome:GRCm38:19:6906846:6909617:-1 gene:ENSMUSG00000024953.16 transcript:ENSMUST00000173091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx5 description:peroxiredoxin 5 [Source:MGI Symbol;Acc:MGI:1859821] MLQLGLRVLGCKASSVLRASTCLAGRAGRKEAGWECGGARSFSSSAVTMAPIKVGDAIPS VEVFEGEPGKKVNLAELFKGKKGVLFGVPGAFTPGCSKVRLLADPTGAFGKATDLLLDDS LVSLFGNRRLKRFSMVIDNGIVKALNVEPDGTGLTCSLAPNILSQL >ENSMUSP00000098808.5 pep:known chromosome:GRCm38:11:51584757:51603254:1 gene:ENSMUSG00000020359.13 transcript:ENSMUST00000101250.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phykpl description:5-phosphohydroxy-L-lysine phospholyase [Source:MGI Symbol;Acc:MGI:1920197] MAADTRAKAVTLDLRRRLLSSSCRLFFPEDPVKIIRGQGQYLYDEQGREYLDCINNVAHV GHCHPTVVQAAHEQNLVLNTNSRYLHDNIVDYAQRLSETLPEQLSVFYFLNSGSEANDLA LRLARQYTGHQDVVVLDHAYHGHLSSLIDISPYKFRNLGGQKEWVHVAPLPDTYRGPYRE DHPNPAEAYANEVKHVISSAQQKGRKTVLKNQFPKMTIVEHAFNPALGRQT >ENSMUSP00000132190.1 pep:known chromosome:GRCm38:11:51584757:51604489:1 gene:ENSMUSG00000020359.13 transcript:ENSMUST00000167797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phykpl description:5-phosphohydroxy-L-lysine phospholyase [Source:MGI Symbol;Acc:MGI:1920197] MAADTRAKAVTLDLRRRLLSSSCRLFFPEDPVKIIRGQGQYLYDEQGREYLDCINNVAHV GHCHPTVVQAAHEQNLVLNTNSRYLHDNIVDYAQRLSETLPEQLSVFYFLNSGSEANDLA LRLARQYTGHQDVVVLDHAYHGHLSSLIDISPYKFRNLGGQKEWVHVAPLPDTYRGPYRE DHPNPAEAYANEVKHVISSAQQKGRKIAAFFAESLPSVSGQIIPPAGYFSQVAEHIHRAG GLFVADEIQVGFGRIGKHFWAFQLEGEDFVPDIVTMGKSIGNGHPVACMATTQAVSRAFE ATGVEYFNTFGGNPVSCAVGLAVLDVLKTEQLQAHATNVGSFLLEHLTQQKAKHPIIGDV RGTGLFIGVDLIKDETLRTPATEEAEYLVSRLKENYILLSIDGPGKNILKFKPPMCFNVD NAQHVVAKLDDILTDMEEKVRSCETLRIKHPPEDTHPTQILLTRQQD >ENSMUSP00000020625.6 pep:known chromosome:GRCm38:11:51584789:51601328:1 gene:ENSMUSG00000020359.13 transcript:ENSMUST00000020625.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phykpl description:5-phosphohydroxy-L-lysine phospholyase [Source:MGI Symbol;Acc:MGI:1920197] MAADTRAKAVTLDLRRRLLSSSCRLFFPEDPVKIIRGQGQYLYDEQGREYLDCINNVAHV GHCHPTVVQAAHEQNLVLNTNSRYLHDNIVDYAQRLSETLPEQLSVFYFLNSGSEANDLA LRLARQYTGHQDVVVLDHAYHGHLSSLIDISPYKFRNLGGQKEWVHVAPLPDTYRGPYRE DHPNPAEAYANEVKHVISSAQQKGRKIAAFFAESLPSVSGQIIPPAGYFSQVAEHIHRAG GLFVADEIQVGFGRIGKHFWAFQLEGEDFVPDIVTMGKSIGNGHPVACMATTQAVSRAFE ATGVEYFNTFGGNPVSCAVGLAVLDVLKTEQLQAHATNVGSFLLEHLTQQKAKHPIIGDV RGTGLFIGVDLIKDETLRTPATEEAEYLVSRLKENYILLSIDGPGKNILKFKPPMCFNVD NAQHVVAKLDDILTDMEEKVRSCETLRIKHPPGSTGPGCRAATGNRPS >ENSMUSP00000062460.2 pep:known chromosome:GRCm38:19:12861742:12862870:1 gene:ENSMUSG00000046272.3 transcript:ENSMUST00000059675.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1444 description:olfactory receptor 1444 [Source:MGI Symbol;Acc:MGI:3031278] MTSMENITEVTEFILLGLTDDPNLQVPLLLIFLFIYLVTLIGNGGMMVIIFSDSHLHTPM YFFLSNLSFVDLGYSSAVAPKMVAALQSGNKVISYNGCAAQFFFFVGFATVECYLLASMA YDRHAAVCRPLHYTTTMTTGVCTILTIGSYTCGFLNASIHAADTFKLSFCGSNKINHFFC DIPPLLALACSSTHISKLVVFFVVGFNVFFTLLVIIISYFFIYIAIQNMKSSEGRKKAFS TCASHLTAVSIFYGTIIFMYLQPSSGQSMDTDKIASVFYTVVIPMLNPLIYSLRNREVKS ALWKILNRFYPASFSVSRK >ENSMUSP00000097515.3 pep:known chromosome:GRCm38:10:41810574:41908424:1 gene:ENSMUSG00000038332.12 transcript:ENSMUST00000099931.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sesn1 description:sestrin 1 [Source:MGI Symbol;Acc:MGI:2155278] MAEGENEARWEGLCSRDTTTRETALENIRQIVLRKTEYLRSAKETLSRPSDGLSNAVSLD GMNKLLAHLLMLSKRCPFKDVRERSGLILKSVEELGIRIPRPLGHGPSRFIPEKEMLQVG SEDAQMHALFADSFAALGRLDNITLVMVFHPQYLESFLKTQHYLLQMDGPLPLHYRHYIG IMAAARHQCSYLVNLHVSDFLHVGGDPKWLNGLENAPQKLQNLGELNKVLAHRPWLITKE HIEGLLKAEEHSWSLAELVHAVVLLTHYHSLASFTFGCGISPEIHCDGGHTFRPPSVSNY CICDITNGNHSVDEMQVNSAGNASVSDSFFEVEALMEKMRQLQECREEEEASQEEMASRF EMEKRESMFVFSSDDDEVTPARDVSRHFEDTSYGYKDFSRHGMHVPTFRVQDYCWEDHGY SLVNRLYPDVGQLIDEKFHIAYNLTYNTMAMHKDVDTSMLRRAIWNYIHCMFGIRYDDYD YGEINQLLDRSFKVYIKTVVCTPEKVTKRMYDSFWRQFKHSEKVHVNLLLIEARMQAELL YALRAITRYMT >ENSMUSP00000043034.6 pep:known chromosome:GRCm38:10:41887439:41908424:1 gene:ENSMUSG00000038332.12 transcript:ENSMUST00000041438.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sesn1 description:sestrin 1 [Source:MGI Symbol;Acc:MGI:2155278] MRLAAASNEAYAASLAVSELLSCHQCGGDRGQDEELGIRIPRPLGHGPSRFIPEKEMLQV GSEDAQMHALFADSFAALGRLDNITLVMVFHPQYLESFLKTQHYLLQMDGPLPLHYRHYI GIMAAARHQCSYLVNLHVSDFLHVGGDPKWLNGLENAPQKLQNLGELNKVLAHRPWLITK EHIEGLLKAEEHSWSLAELVHAVVLLTHYHSLASFTFGCGISPEIHCDGGHTFRPPSVSN YCICDITNGNHSVDEMQVNSAGNASVSDSFFEVEALMEKMRQLQECREEEEASQEEMASR FEMEKRESMFVFSSDDDEVTPARDVSRHFEDTSYGYKDFSRHGMHVPTFRVQDYCWEDHG YSLVNRLYPDVGQLIDEKFHIAYNLTYNTMAMHKDVDTSMLRRAIWNYIHCMFGIRYDDY DYGEINQLLDRSFKVYIKTVVCTPEKVTKRMYDSFWRQFKHSEKVHVNLLLIEARMQAEL LYALRAITRYMT >ENSMUSP00000131401.2 pep:known chromosome:GRCm38:6:48448229:48501235:1 gene:ENSMUSG00000029797.12 transcript:ENSMUST00000169350.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sspo description:SCO-spondin [Source:MGI Symbol;Acc:MGI:2674311] MLPLALLFGMLWTQANGHWCEQIETVHVEEEVTPRQEDLVPCTSLYHYSRLGWQLDLSWS GRVGLTRPPALGLCAIYKPPETRPATWNRTVRACCPGWGGAHCTDALAETSPKGHCFVTW HCQPLAGSANSSAGSLEECCAQPWGHSWWNSSSQMCLSCSGQHRPGNASSEGLLQPLAGA VGQLWSQRQRPSATCATWSGFHYQTFDGHHYHFLGQCTYLLAGAMDSTWAVHLRPSVHCP QHRHCWLVQVVMGPEEVLIQDGEVSVKGQPVPVGEPQLLHGMSLQWQGDWLVLSGGLGVV VRLDRSSSISISVDHEFWGRTQGLCGLYNGRPEDDFVEPGGGLATLAATFGNSWKLPGSE PGCLDAVEVAWGCESLLGGTLTDLEAVKLQAQAQDMCHQLLEGPFWQCHGQVQPDEYHET CLFAYCVGATAGNGPEGQLEAVCATFANYAQACARQHIYVHWRKPGFCERVCPGGQLYSD CVSSCPPSCSAVAQGEEGSCGKECVSGCECPTGLFWDGALCVPAAHCPCYHRRQRYAPGD TVKQQCNPCVCQDGRWHCAQALCPAECAVGGDGHYFTFDGRSFFFRGTPGCHYSLVQDSV KGQLLVVLEHGACDTGSCLHALSVFLGNTHIQLRYSGAVLVDGEDVDLPWIGVEGFNISW ASSTFLLLHWPGAWVLWGVAEPAAYITLDPRHAYQVQGLCGTFTWKQQDDFLTPAGDIET SVTAFASKFQVSGDGRCPLVDKSPLFCSSYSQHLTFTEAACAILHGHAFQECHGLVDREP FRLRCLEAVCGCAPGRDCLCPVLSAYTRHCAQEGVLLQWRNETLCPVSCPGGQVYQECAP VCGHHCGEPEDCKELGICVAGCNCPPGLLWDLEGQCVPPSMCHCQFGGHRYTINTTTVRD CSHCICQERGLWNCTAHHCPRQWALCPRELIYVPGACLLTCDSPRANHSCWAGSTDGCVC PPGTVLLDKHCVSPDLCPCRHNGQWYPPNATIQEDCNICVCQGQRWHCTGQRCSGWCQAS GAPHYVTFDGLVFTFPGACEYLLVREAGGRFSVSVQNLPCGASGLTCTKALVVRLDSTVV HMLRGQAVTVNGVSIRLPKVYTGPGLSLHHAGLFLLLTTRLGLTLLWDGGTRVLVQLSPH FHGRVAGLCGNFDSDASNDLRSRQGVLEPTAELTAHSWRLNPLCPEPGDLPHPCTVNAHR ANWARARCEVILQPIFAPCHTEVPPQQYYEWCVYDACGCDTGGDCECLCSAIATYADECA RHRHHVRWRSQELCPLQCEGGQVYEPCGSTCPPTCHDHHSELRWHCQVITCVEGCFCPEG TLLHGGACMKLAACPCEWQGSFFPPGTVLQKDCGNCTCQGSQWHCDRGGAPCEDMEPGCA EGETLCRENGHCVPLEWLCDNQDDCGDGSDEEGCATSVCGEGQMSCQSGHCLPLSLICDG QDDCGDGTDEQGCLCPHGSLACADGRCLPPALLCNGHPDCLDAADEESCLGPVSCISGEV SCVDGTCVRTIQLCDGVWDCPDGADEGPSHCSLPSLPTPPGGIGQNPSTSSLDTAPSPVG STSPASPCSLLEFQCNSGECTPRGWRCDQEEDCTDGSDELDCGGPCMLYQVPCAHSPHCV SPGQLCDGVTQCPDGSDEDPDVCEEQSASGGANRTGAPCPEFSCPDGTCIDFLLVCDGNP DCELADETEPSLDEQGCGAWGSWGPWAPCSQTCGSGTRSRNRNCSTSSLQVLQNCPGLQH QSQACFTEACPVDGEWSSWSPWSPCSEPCGGTTTRHRQCRPPQNGGQDCALLPGSTHSTR QTSPCPQEGCLNATCFGELVFRTCAPCPLTCDDISGQAACPPDRPCSSPGCWCPDGKVLN TEGQCVRPRQCPCLVDGAHYWPGQRIKMDCQLCFCQDGQPHRCRPNPECAVDCGWSSWSP WAECLGPCSSQSLQWSFRSPNNPRLSGHGRQCRGIHRKARRCQTEACEGCEQWGLMYNVG ERWRGGPCMVCECLHSSITHCSPYCPIGSCPQGWVLVEGMGESCCHCALPEKNQTVIHMT TPAPAPASAPSPQIGAHLVTYVLPPTADACYSPLGLAGLPMWAPSQHWEHITRADPVEAP MAGPGPREGASAEWHTQPLYLQLDLRRPRNLTGIIVQRAGSSAAYVSTLSLQFSSDNLQW HNYVNSLSSTLSPPKPSPESSNHMAPEVWTFDQMVQARYIRVWPHSGHLRDNNQHDIFLW VELLGLSPLAPLCPGSRHRCASGECAPKGGPCDGAVDCDDGSDEEGCGSLHASTTSRVHP MTRTPALSPTQPGKFPREGLPDTEPQQPKQESSLPGAGVSGLIPASEGTLPVSGQPMQTL SATSTFPPGAKSLHPGMAAVTVHPPHSVTPGAPVGQTVSPRPFPPMPCGPGQVPCDVLGC VEQEQLCDGREDCLDGSDEQHCASAEPFTVPTTALPGLPASKALCSPSQLRCGSGECLPF EHRCDLQVNCQDGSDEDNCVDCVLAPWSGWSDCSRSCGLGLIFQHRELLRLPLPGGSCLL DQFRSQSCFVQACPVAGAWAEWGPWTACSVSCGGGHQSRQRSCVDPPPKNGGAPCPGPSH EKAPCNLQLCPGDTDCEPGLVHVNAELCQKGLVPPCPPSCLDPEANRSCSGHCMEGCRCP PGLLLQDSHCLPLSECPCLVGQKLIQPRLAFLLDNCSQCICEKGTLLCKPGACSQSCGWS AWSPWTACDRSCGSGVRARFRSPTNPPVAFGGSPCEGDRQELQACYTDCGTEIPGWTPWT SWSSCSQSCLVPGGDPGWRQRSRLCPSSRDTFCPGEATQEEPCSPPVCPVPSAWGLWASW STCSASCNGGIQTRGRSCSGSAPGNPVCLGPHTQTRDCNMHPCTAQCPGNMVFRSAEQCL EEGGPCPQLCLAQDPGVECTGSCAPSCNCPPGLFLHNASCLPRSQCPCQLHGQLYAPGAV AHLDCNNCTCISGEMVCTSKRCPVACGWSPWTPWSPCSQSCNVGIRRRFRAGTEPPAAFG GAECQGPNLDAEFCSLRPCRGPGAAWSSWTPCSVPCGGGYRNRTQGSGPHSPIEFSTCSL QPCAGPVPGVCPEDQQWLDCAQGPASCAHLSIPGEANQTCHPGCYCLSGMLLLNNVCVPV QDCPCAHRGRLYSPGSAVHLPCENCSCISGLITNCSSWPCEEGQPAWSSWTPWSVCSASC NPARRHRHRFCARPPHRAPFSLVLLTTVAAPTTLCPGPEAEEEPCLLPGCNQAGGWSPWS PWSGCSRSCGGGLRSRTRACDQPSPQGLGDFCEGPQAQGEACQAQPCPVTNCSAMEGAEY SPCGPPCPRSCDDLVHCVWRCQPGCYCPLGKVLSADGAICVKPSYCSCLDLLTGKRHHAG TQLMRPDGCNHCTCMEGRLNCTDLPCQVSGDWCPWSKWTACSQPCRGQTRTRSRACVCPA PQHGGSPCPEESGGTGVQHQMEACPNATACPVDGAWSPWGPWSSCDACLGQSYRSRVCSH PPISDGGKPCLGGYQQSRPCRNSSTLCTDCGGGQDLLPCGQPCPHSCQDLSLGSTCQPGS AGCQSGCGCPPGQLSQDGLCVFPVDCHCHFQPRAMGIPENRSRSVGSTLSSWESLEPGEV VTGPCDNCTCVAGILQCHEVPSCPGPGIWSSWGPWEKCSVSCGGGEQLRSRQCARPPCPG LAQQSRICHIHVCRGCPAGRLYRECQPSDGCPFSCAHVTGQVACFSERCKEGCHCPEGTF QHHVACVQECPCVLTVLLLQELGLASAALGSYPTLLGDEGKPLGPGVELLPGQMLQTDCG NCSCVHGKLSCSMVECSRVHGSFGPWGMWSLCSRSCGGLGTRTRTRQCVLPTLAPGGLSC RGPLQDLEYCFSPECPGTAGSTVEPVTGLAGGWGPWSPWSPCSHSCTDPAHPAWRSRTRL CLANCTVGDSSQERPCNLPSCAALLPCPGPGCGSGNCFWTSWAPWEPCSRSCGVGQQRRL RAYHPPGPGGHWCPDILTAYQERRFCNLRACPVPGGWSHWSPWSWCDRSCGGGRSLRSRS CSSPPPKNGGTSCVGERHHVRPCNPMPCEEGCPAGMEMVSCANHCPYSCSDLQEGGMCQE DQACQLGCRCSEGFLEQDGGCVPVGHCECTDAQGRSWAPGSQHQDACNNCSCQAGQLSCT AQLCSPPAHCAWSHWSAWSSCSHSCGPQGQQSRFRSSTSGSWALECQKEQSQSQPCPEVP CPPLCLHEAHLHELGDNWLHGECQQCSCTPEGAICKDTDCAVPRGWTLWSSWSYCSVSCG GGSQVRTRSCTVSAPPHGSLSCEGPDTQTRHCGQQLCLQKLERCSWGPWGPCSRSCGTGL ASRSGSCPCLLTKEDSKCNDTFLGLDTQACYSGPCQDDCTWGDWSSWTRCSCKVLVQQRY RHQVPAPGQAGEGTPCTRLDGHFRPCTIGNCSEDSCPPPFEFQSCGSPCAGLCATHLNHR LCQDLPPCQPGCYCPKGLLEQAGSCILPEQCNCWHISGEGARVTLAPGDRLQLGCKECVC RRGELQCSSQGCEGLLPLTGWSEWSPCGPCLPQSALAPASRTALEGHWPLNTSDLPPPSV TLLASEQYRHRLCLDPETRRPWAGDPALCTVPLSQQRLCPDPGACNDTCQWGPWGPWSPC QMPCSGGFKLRWRVARDTSAGECPGPWAQTESCNMGSCPGESCETRDTVFTLDCANQCPR SCADLWDGVQCLQGPCSPGCRCPPGQLVQDGHCVPISSCRCGLPSANASWELAPTQVVQL DCHNCTCINGTLMCPHLECPVLGPWSAWSECSAVCGKGTMVRHRSCEEHPDREPCQALDL QQWQECNLQACPECPPGQVLSTCATMCPSLCSHLWPGTICVREPCQLGCGCPGGQLLYNG TCIPPEACPCTQFSLPWGLTLPLEEQARELPSGTVLTRNCTHCTCQGGAFICSLTDCQEC APGEIWQHGKLGPCEKTCPEMNMTQAWSNCTEAQAPGCVCQLGYFRSQTGLCVPEDHCEC WHHGSPHLPGSEWQEACESCRCLHGKSVCIRHCPELSCAQGEVIMQEPGSCCPICQQDTL STEEEEPVSCRYLTELRNLTKGPCHLDQIEVSYCSGHCRSSTNVMTEEPYLQSQCDCCSY RLDPDSPVRILNLLCPDGHTEPVVLPVIHSCQCSACQGGDFSKH >ENSMUSP00000139484.1 pep:known chromosome:GRCm38:6:48488042:48501250:1 gene:ENSMUSG00000029797.12 transcript:ENSMUST00000185370.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sspo description:SCO-spondin [Source:MGI Symbol;Acc:MGI:2674311] GHWCPDILTAYQERRFCNLRACPVPGGWSHWSPWSWCDRSCGGGRSLRSRSCSSPPPKNG GTSCVGERHHVRPCNPMPCEEGCPAGMEMVSCANHCPYSCSDLQEGGMCQEDQACQLGCR CSEGFLEQDGGCVPVGHCECTDAQGRSWAPGSQHQDACNNCSCQAGQLSCTAQLCSPPAH CAWSHWSAWSSCSHSCGPQGQQSRFRSSTSGSWALECQKEQSQSQPCPEVPCPPLCLHEA HLHELGDNWLHGECQQCSCTPEGAICKDTDCAVPRGWTLWSSWSYCSVSCGGGSQVRTRS CTVSAPPHGSLSCEGPDTQTRHCGQQLCLQKLERCSWGPWGPCSRSCGTGLASRSGSCPC LLTKEDSKCNDTFLGLDTQACYSGPCQDDCTWGDWSSWTRCSCKVLVQQRYRHQVPAPGQ AGEGTPCTRLDGHFRPCTIGNCSEDSCPPPFEFQSCGSPCAGLCATHLNHRLCQDLPPCQ PGCYCPKGLLEQAGSCILPEQCNCWHISGEGARVTLAPGDRLQLGCKECVCRRGELQCSS QGCEGLLPLTGWSEWSPCGPCLPQSALAPASRTALEGHWPLNTSDLPPPSVTLLASEQYR HRLCLDPETRRPWAGDPALCTVPLSQQRLCPDPGACNDTCQWGPWGPWSPCQMPCSGGFK LRWRVARDTSAGECPGPWAQTESCNMGSCPGESCETRDTVFTLDCANQCPRSCADLWDGV QCLQGPCSPGCRCPPGQLVQDGHCVPISSCRCGLPSANASWELAPTQVVQLDCHNCTCIN GTLMCPHLECPVLGPWSAWSECSAVCGKGTMVRHRSCEEHPDREPCQALDLQQWQECNLQ ACPECPPGQVLSTCATMCPSLCSHLWPGTICVREPCQLGCGCPGGQLLYNGTCIPPEACP CTQFSLPWGLTLPLEEQARELPSGTVLTRNCTH >ENSMUSP00000140642.1 pep:known chromosome:GRCm38:6:48493780:48496206:1 gene:ENSMUSG00000029797.12 transcript:ENSMUST00000188970.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sspo description:SCO-spondin [Source:MGI Symbol;Acc:MGI:2674311] PCQMPCSGGFKLRWRVARDTSAGECPGPWAQTESCNMGSCPGCRCPPGQLVQDGHCVPIS SCRCGLPSANASWELAPTQVVQLDCHNCTCINGTLMCPHLECPVLGPWSAWSECSAVCGK GTMVRHRSCEEHPDREPCQALDLQQWQECNLQACPECPPGQVLSTCATMCPSLCSHLWP >ENSMUSP00000047991.5 pep:known chromosome:GRCm38:6:48448229:48501250:1 gene:ENSMUSG00000029797.12 transcript:ENSMUST00000043676.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sspo description:SCO-spondin [Source:MGI Symbol;Acc:MGI:2674311] MLPLALLFGMLWTQANGHWCEQIETVHVEEEVTPRQEDLVPCTSLYHYSRLGWQLDLSWS GRVGLTRPPALGLCAIYKPPETRPATWNRTVRACCPGWGGAHCTDALAETSPKGHCFVTW HCQPLAGSANSSAGSLEDPADELGVWPLTLNDPILFPGMSLQWQGDWLVLSGGLGVVVRL DRSSSISISVDHEFWGRTQGLCGLYNGRPEDDFVEPGGGLATLAATFGNSWKLPGSEPGC LDAVEVAWGCESLLGGTLTDLEAVKLQAQAQDMCHQLLEGPFWQCHGQVQPDEYHETCLF AYCVGATAGNGPEGQLEAVCATFANYAQACARQHIYVHWRKPGFCERVCPGGQLYSDCVS SCPPSCSAVAQGEEGSCGKECVSGCECPTGLFWDGALCVPAAHCPCYHRRQRYAPGDTVK QQCNPCVCQDGRWHCAQALCPAECAVGGDGHYFTFDGRSFFFRGTPGCHYSLVQDSVKGQ LLVVLEHGACDTGSCLHALSVFLGNTHIQLRYSGAVLVDGEDVDLPWIGVEGFNISWASS TFLLLHWPGAWVLWGVAEPAAYITLDPRHAYQVQGLCGTFTWKQQDDFLTPAGDIETSVT AFASKFQVSGDGRCPLVDKSPLFCSSYSQHLTFTEAACAILHGHAFQECHGLVDREPFRL RCLEAVCGCAPGRDCLCPVLSAYTRHCAQEGVLLQWRNETLCPVSCPGGQVYQECAPVCG HHCGEPEDCKELGICVAGCNCPPGLLWDLEGQCVPPSMCHCQFGGHRYTINTTTVRDCSH CICQERGLWNCTAHHCPRQWALCPRELIYVPGACLLTCDSPRANHSCWAGSTDGCVCPPG TVLLDKHCVSPDLCPCRHNGQWYPPNATIQEDCNICVCQGQRWHCTGQRCSGWCQASGAP HYVTFDGLVFTFPGACEYLLVREAGGRFSVSVQNLPCGASGLTCTKALVVRLDSTVVHML RGQAVTVNGVSIRLPKVYTGPGLSLHHAGLFLLLTTRLGLTLLWDGGTRVLVQLSPHFHG RVAGLCGNFDSDASNDLRSRQGVLEPTAELTAHSWRLNPLCPEPGDLPHPVNAHRANWAR ARCEVILQPIFAPCHTEVPPQQYYEWCVYDACGCDTGGDCECLCSAIATYADECARHRHH VRWRSQELCPLQCEGGQVYEPCGSTCPPTCHDHHSELRWHCQVITCVEGCFCPEGTLLHG GACMKLAACPCEWQGSFFPPGTVLQKDCGNCTCQGSQWHCDRGGAPCEDMEPGCAEGETL CRENGHCVPLEWLCDNQDDCGDGSDEEGCATSVCGEGQMSCQSGHCLPLSLICDGQDDCG DGTDEQGCLCPHGSLACADGRCLPPALLCNGHPDCLDAADEESCLGPVSCISGEVSCVDG TCVRTIQLCDGVWDCPDGADEGPSHCSLPSLPTPPGGIGQNPSTSSLDTAPSPVGSTSPA SPCSLLEFQCNSGECTPRGWRCDQEEDCTDGSDELDCGGPCMLYQVPCAHSPHCVSPGQL CDGVTQCPDGSDEDPDVCEEQSASGGANRTGAPCPEFSCPDGTCIDFLLVCDGNPDCELA DETEPSLDEQGCGAWGSWGPWAPCSQTCGSGTRSRNRNCSTSSLQVLQNCPGLQHQSQAC FTEACPVDGEWSSWSPWSPCSEPCGGTTTRHRQCRPPQNGGQDCALLPGSTHSTRQTSPC PQEGCLNATCFGELVFRTCAPCPLTCDDISGQAACPPDRPCSSPGCWCPDGKVLNTEGQC VRPRQCPCLVDGAHYWPGQRIKMDCQLCFLDCGWSSWSPWAECLGPCSSQSLQWSFRSPN NPRLSGHGRQCRGIHRKARRCQTEACEGCEQWGLMYNVGERWRGGPCMVCECLHSSITHC SPYCPIGSCPQGWVLVEGMGESCCHCALPEKNQTVIHMTTPAPAPASAPSPQIGAHLVTY VLPPTADACYSPLGLAGLPMWAPSQHWEHITRADPVEAPMAGPGPREGASAEWHTQPLYL QLDLRRPRNLTGIIVQRAGSSAAYVSTLSLQFSSDNLQWHNYVNSLSSTLSPPKPSPESS NHMAPEVWTFDQMVQARYIRVWPHSGHLRDNNQHDIFLWVELLGLSPLAPLCPGSRHRCA SGECAPKGGPCDGAVDCDDGSDEEGCGSLHASTTSRTPALSPTQPGKFPREVSEDLRQGA EAMTSHSPPSSGETAGLIPASEGTLPVSGQPMQTLSATSTFPPGAKSLHPGMAAVTVHPP HSVTPGAPVGQTVSPRPFPPMPCGPGQVPCDVLGCVEQEQLCDGREDCLDGSDEQHCASA EPFTVPTTALPGLPASKALCSPSQLRCGSGECLPFEHRCDLQVNCQDGSDEDNCVDCVLA PWSGWSDCSRSCGLGLIFQHRELLRLPLPGGSCLLDQFRSQSCFVQACPVAGAWAEWGPW TACSVSCGGGHQSRQRSCVDPPPKNGGAPCPGPSHEKAPCNLQLCPGDTDCEPGLVHVNA ELCQKGLVPPCPPSCLDPEANRSCSGHCMEGCRCPPGLLLQDSHCLPLSECPCLVGQKLI QPRLAFLLDNCSQCICEKGTLLCKPGACSQSCGWSAWSPWTACDRSCGSGVRARFRSPTN PPVAFGGSPCEGDRQELQACYTDCGTEIPGWTPWTSWSSCSQSCLVPGGDPGWRQRSRLC PSSRDTFCPGEATQEEPCSPPVCPVPSAWGLWASWSTCSASCNGGIQTRGRSCSGSAPGN PVCLGPHTQTRDCNMHPCTAQCPGNMVFRSAEQCLEEGGPCPQLCLAQDPGVECTGSCAP SCNCPPGLFLHNASCLPRSQCPCQLHGQLYAPGAVAHLDCNNCTCISGEMVCTSKRCPVA CGWSPWTPWSPCSQSCNVGIRRRFRAGTEPPAAFGGAECQGPNLDAEFCSLRPCRGPGAA WSSWTPCSVPCGGGYRNRTQGSGPHSPIEFSTCSLQPCAGPVPGVCPEDQQWLDCAQGPA SCAHLSIPGEANQTCHPGCYCLSGMLLLNNVCVPVQDCPCAHRGRLYSPGSAVHLPCENC SCISGLITNCSSWPCEEGQPAWSSWTPWSVCSASCNPARRHRHRFCARPPHRAPFSLVLL TTVAAPTTLCPGPEAEEEPCLLPGCNQAGGWSPWSPWSGCSRSCGGGLRSRTRACDQPSP QGLGDFCEGPQAQGEACQAQPCPVTNCSAMEGAEYSPCGPPCPRSCDDLVHCVWRCQPGC YCPLGKVLSADGAICVKPSYCSCLDLLTGKRHHAGTQLMRPDGCNHCTCMEGRLNCTDLP CQVSGDWCPWSKWTACSQPCRGQTRTRSRACVCPAPQHGGSPCPEESGGTGVQHQMEACP NATACPVDGAWSPWGPWSSCDACLGQSYRSRVCSHPPISDGGKPCLGGYQQSRPCRNSST LCTDCGGGQDLLPCGQPCPHSCQDLSLGSTCQPGSAGCQSGCGCPPGQLSQDGLCVFPVD CHCHFQPRAMGIPENRSRSVGSTLSSWESLEPGEVVTGPCDNCTCVAGILQCHEVPSCPG PGIWSSWGPWEKCSVSCGGGEQLRSRQCARPPCPGLAQQSRICHIHVCRETGCPAGRLYR ECQPSDGCPFSCAHVTGQVACFSERCKEGCHCPEGTFQHHVACVQECPCVLTVLLLQELG LASAALGSYPTLLGDEGKPLGPGVELLPGQMLQTDCGNCSCVHGKLSCSMVECSRVHGSF GPWGMWSLCSRSCGGLGTRTRTRQCVLPTLAPGGLSCRGPLQDLEYCFSPECPGTAGSTV EPVTGLAGGWGPWSPWSPCSHSCTDPAHPAWRSRTRLCLANCTVGDSSQERPCNLPSCAA LLPCPGPGCGSGNCFWTSWAPWEPCSRSCGVGQQRRLRAYHPPGPGGHWCPDILTAYQER RFCNLRACPVPGGWSHWSPWSWCDRSCGGGRSLRSRSCSSPPPKNGGTSCVGERHHVRPC NPMPCEEGCPAGMEMVSCANHCPYSCSDLQEGGMCQEDQACQLGCRCSEGFLEQDGGCVP VGHCECTDAQGRSWAPGSQHQDACNNCSCQAGQLSCTAQLCSPPAHCAWSHWSAWSSCSH SCGPQGQQSRFRSSTSGSWALECQKEQSQSQPCPEVPCPPLCLHEAHLHELGDNWLHGEC QQCSCTPEGAICKDTDCAVPRGWTLWSSWSYCSVSCGGGSQVRTRSCTVSAPPHGSLSCE GPDTQTRHCGQQLCLQKLERCSWGPWGPCSRSCGTGLASRSGSCPCLLTKEDSKCNDTFL GLDTQACYSGPCQDDCTWGDWSSWTRCSCKVLVQQRYRHQVPAPGQAGEGTPCTRLDGHF RPCTIGNCSEDSCPPPFEFQSCGSPCAGLCATHLNHRLCQDLPPCQPGCYCPKGLLEQAG SCILPEQCNCWHISGEGARVTLAPGDRLQLGCKECVCRRGELQCSSQGCEGLLPLTGWSE WSPCGPCLPQSALAPASRTALEGHWPLNTSDLPPPSVTLLASEQYRHRLCLDPETRRPWA GDPALCTVPLSQQRLCPDPGACNDTCQWGPWGPWSPCQMPCSGGFKLRWRVARDTSAGEC PGPWAQTESCNMGSCPGESCETRDTVFTLDCANQCPRSCADLWDGVQCLQGPCSPGCRCP PGQLVQDGHCVPISSCRCGLPSANASWELAPTQVVQLDCHNCTCINGTLMCPHLECPVLG PWSAWSECSAVCGKGTMVRHRSCEEHPDREPCQALDLQQWQECNLQACPECPPGQVLSTC ATMCPSLCSHLWPGTICVREPCQLGCGCPGGQLLYNGTCIPPEACPCTQFSLPWGLTLPL EEQARELPSGTVLTRNCTHCTCQGGAFICSLTDCQECAPGEIWQHGKLGPCEKTCPEMNM TQAWSNCTEAQAPGCVCQLGYFRSQTGLCVPEDHCECWHHGSPHLPGSEWQEACESCRCL HGKSVCIRHCPELSCAQGEVIMQEPGSCCPICQQDTLKEEPVSCRYLTELRNLTKGPCHL DQIEVSYCSGHCRSSTNVMTEEPYLQSQCDCCSYRLDPDSPVRILNLLCPDGHTEPVVLP VIHSCQCSACQGGDFSKH >ENSMUSP00000022121.6 pep:known chromosome:GRCm38:13:91796533:91807705:-1 gene:ENSMUSG00000021621.15 transcript:ENSMUST00000022121.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc9 description:zinc finger, CCHC domain containing 9 [Source:MGI Symbol;Acc:MGI:1916335] MTRWARVTTSNSKRPLSATSWEDMKKGSVERADQSLPNRKQCQSSRLPLRNDSPQAKRKK NKKKKEYLNEDVNGFMEYLKQNSQVLHNGQLIAADSQEVREEIAVALKKDSRREGRRLKR QAAKKNAMVCFHCRQPGHGIADCPAVLESQDMGTGICYRCGSTEHEMSKCRANVDPALGE FPFAKCFVCGEMGHLSRSCPDNTKGVYADGGSCKLCGSVEHFKKDCRENQNSDRIITVGR WAKGMSADYEDVLDVPKLQKPKTKVPKVVNF >ENSMUSP00000065158.8 pep:known chromosome:GRCm38:13:91796534:91800980:-1 gene:ENSMUSG00000021621.15 transcript:ENSMUST00000070886.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc9 description:zinc finger, CCHC domain containing 9 [Source:MGI Symbol;Acc:MGI:1916335] ADCPAVLESQDMGTGICYRCGSTEHEMSKCRANVDPALGEFPFAKCFVCGEMGHLSRSCP DNTKGVYADDRIITVGRWAKGMSADYEDVLDVPKLQKPKTKVPKVVNF >ENSMUSP00000114086.1 pep:known chromosome:GRCm38:4:128930233:128962420:-1 gene:ENSMUSG00000028789.16 transcript:ENSMUST00000119354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azin2 description:antizyme inhibitor 2 [Source:MGI Symbol;Acc:MGI:2442093] MELVQHIGVPASKIICANPCKQVAQIKYAAKHGVRLLSFDNEVELAKVVKSHPSAKMVLC IATQDSHSLNHLSLRFGASLKSCRHLLENAKKSHVEVVGVSFHIGSGCPDPQAYAQSIAD ARLVFQMGEELGHTMNILDLGGGFPGLEGAKVRFEEMASVINSALDLYFPEGCGVDILAE LGRYYVTSAFTVAVSIVAKREVLDQASREEQTGAAPKSIVYYLDEGVYGVFNSVLFDNTC PTPALQKKPSADQPLYSSSLWGPAVEGCDCVAEGLWLPQLQVGDWLVFDNMGAYTVDTKS LLGGTQARRVTYAMSRLACSWKY >ENSMUSP00000101683.1 pep:known chromosome:GRCm38:4:128932022:128962428:-1 gene:ENSMUSG00000028789.16 transcript:ENSMUST00000106068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azin2 description:antizyme inhibitor 2 [Source:MGI Symbol;Acc:MGI:2442093] MAGYLSESDFVMVEEGFSTRDLLEELTLGASQATSGKVAAFFVADLGAVVRKHFCFLKHL PRVRPFYAVGCNSSLGVLKVLAELGLGFSCANKAEMELVQHIGVPASKIICANPCKQVAQ IKYAAKHGVRLLSFDNEVELAKVVKSHPSAKMVLCIATQDSHSLNHLSLRFGASLKSCRH LLENAKKSHVEVVGVSFHIGSGCPDPQAYAQSIADARLVFQMGEELGHTMNILDLGGGFP GLEGAKVRFEEMASVINSALDLYFPEGCGVDILAELGRYYVTSAFTVAVSIVAKREVLDQ ASREEQTGAAPKSIVYYLDEGVYGVFNSVLFDNTCPTPALQKKPSADQPLYSSSLWGPAV EGCDCVAEGLWLPQLQVGDWLVFDNMGAYTVDTKSLLGGTQARRVTYAMSRLAWEALRGQ LLPAEEDQDAEGVCKPLSCGWEITDTLCVGPVFTPASIM >ENSMUSP00000030581.3 pep:known chromosome:GRCm38:4:128932022:128962439:-1 gene:ENSMUSG00000028789.16 transcript:ENSMUST00000030581.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azin2 description:antizyme inhibitor 2 [Source:MGI Symbol;Acc:MGI:2442093] MAGYLSESDFVMVEEGFSTRDLLEELTLGASQATSGKVAAFFVADLGAVVRKHFCFLKHL PRVRPFYAVGCNSSLGVLKVLAELGLGFSCANKAEMELVQHIGVPASKIICANPCKQVAQ IKYAAKHGVRLLSFDNEVELAKVVKSHPSAKMVLCIATQDSHSLNHLSLRFGASLKSCRH LLENAKKSHVEVVGVSFHIGSGCPDPQAYAQSIADARLVFQMGEELGHTMNILDLGGGFP GLEGAKVRFEEMASVINSALDLYFPEGCGVDILAELGRYYVTSAFTVAVSIVAKREVLDQ ASREEQTGAAPKSIVYYLDEGVYGVFNSVLFDNTCPTPALQKKPSADQPLYSSSLWGPAV EGCDCVAEGLWLPQLQVGDWLVFDNMGAYTVDTKSLLGGTQARRVTYAMSRLAWEALRGQ LLPAEEDQDAEGVCKPLSCGWEITDTLCVGPVFTPASIM >ENSMUSP00000122240.1 pep:known chromosome:GRCm38:4:128946139:128961398:-1 gene:ENSMUSG00000028789.16 transcript:ENSMUST00000147731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azin2 description:antizyme inhibitor 2 [Source:MGI Symbol;Acc:MGI:2442093] EELTLGASQATSAEMELVQHIGVPASKIICANPCKQVAQIKYAAKHGVRLLSFDNEVELA KVVKSHPSAKMVLCIATQDSHSLNHLSLRFGASLKSCRHLLENAKKSHVEVVGVSFHIGS GCPDPQAYAQSIADARLVFQMGEELGHTMNILDLGGGFPGLEGAKVRFEEMASVINSALD LYFPEGCGVDILAELGRYYVTSAFTVAVSIVAKREVLDQASREEQTGAAPKSIV >ENSMUSP00000145890.1 pep:known chromosome:GRCm38:7:3908280:3915501:-1 gene:ENSMUSG00000030427.17 transcript:ENSMUST00000206077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lilra6 description:leukocyte immunoglobulin-like receptor, subfamily A (with TM domain), member 6 [Source:MGI Symbol;Acc:MGI:1195969] MTIWCQGNLDAEVYFLHNERSQKTQSTQTLQEPGNKGKFFIPSVRRQHAGQYRCYCYSSA GWSQPSDTLELVVTGIYEHYEPRLSALPSPVVTAGGKMTLHCASHGHYDKFILTKEDKKF TSSQDTEHISSSRQYRALFIIGPTTPTHTGAFRCYGYYKNTPLLWSVPSALQQILISGQL PLTPSLSVQPNHTVHSGETVSLLCWSMDSVDTFILSKEGSAQQPLRLKSKSHDQQSQAEF SMSAVTSHLSGTYRCYGAQDSSFYLLSSASAPVELTVSATENQDHTTENLIRMGMAVVVL IVLSILATEAWQSHRQTHPAAGN >ENSMUSP00000042636.8 pep:known chromosome:GRCm38:7:3908585:3915485:-1 gene:ENSMUSG00000030427.17 transcript:ENSMUST00000038176.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lilra6 description:leukocyte immunoglobulin-like receptor, subfamily A (with TM domain), member 6 [Source:MGI Symbol;Acc:MGI:1195969] MSCTFTALLCLGLTLRLWIPVLTGSLPKPILRVQPDSVVQVWTKVTFLCEETIGANEYRL YKDGKLYKTVTKNKQKPANKAEFSFSNVHLRNAGQYRCSYNTQDESSGYSDPLVLVVTGH YWTPSLSAQASPVVTSGGYVTLQCESSQSYHRFILTVEGQQKVSWTQDSQYNISTGKYHA LFSVGPVTPNQRWICRCYSYDRNRPYVWSPPSESVELLVSGNLQKTTIKAEPGSVIASKR AMTIWCQGNLDAEVYFLHNERSQKTQSTQTLQEPGNKGKFFIPSVRRQHAGQYRCYCYSS AGWSQPSDTLELVVTGIYEHYEPRLSALPSPVVTAGGKMTLHCASHGHYDKFILTKEDKK FTSSQDTEHISSSRQYRALFIIGPTTPTHTGAFRCYGYYKNTPLLWSVPSALQQILISGL SKKPSLLTHQGHILDPGMTLTLQCYSDINYDRFALHKVGGADIMQHSSQQTDTGFSVANF TLGYVSSYTGGQYRCYGAHNLSSEWSASSDPLDILISGQLPLTPSLSVQPNHTVHSGETV SLLCWSMDSVDTFILSKEGSAQQPLRLKSKSHDQQSQAEFSMSAVTSHLSGTYRCYGAQD SSFYLLSSASAPVELTVSATENQDHTTENLIRMGMAVVVLIVLSILATEAWQSHRQTHPA AGN >ENSMUSP00000088188.4 pep:known chromosome:GRCm38:7:3908615:3915503:-1 gene:ENSMUSG00000030427.17 transcript:ENSMUST00000090689.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lilra6 description:leukocyte immunoglobulin-like receptor, subfamily A (with TM domain), member 6 [Source:MGI Symbol;Acc:MGI:1195969] MSCTFTALLCLGLTLRLWIPVLTGSLPKPILRVQPDSVVQVWTKVTFLCEETIGANEYRL YKDGKLYKTVTKNKQKPANKAEFSFSNVHLRNAGQYRCSYNTQDESSGYSDPLVLVVTGH YWTPSLSAQASPVVTSGGYVTLQCESSQSYHRFILTVEGQQKVSWTQDSQYNISTGKYHA LFSVGPVTPNQRWICRCYSYDRNRPYVWSPPSESVELLVSGNLQKTTIKAEPGSVIASKR AMTIWCQGNLDAEVYFLHNERSQKTQSTQTLQEPGNKGIYEHYEPRLSALPSPVVTAGGK MTLHCASHGHYDKFILTKEDKKFTSSQDTEHISSSRQYRALFIIGPTTPTHTGAFRCYGY YKNTPLLWSVPSALQQILISGLSKKPSLLTHQGHILDPGMTLTLQCYSDINYDRFALHKV GGADIMQHSSQQTDTGFSVANFTLGYVSSYTGGQYRCYGAHNLSSEWSASSDPLDILISG QLPLTPSLSVQPNHTVHSGETVSLLCWSMDSVDTFILSKEGSAQQPLRLKSKSHDQQSQA EFSMSAVTSHLSGTYRCYGAQDSSFYLLSSASAPVELTVSATENQDHTTENLIRMGMAVV VLIVLSILATEAWQSHRQTHPAAGN >ENSMUSP00000035164.3 pep:known chromosome:GRCm38:9:103305215:103350428:1 gene:ENSMUSG00000032555.9 transcript:ENSMUST00000035164.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Topbp1 description:topoisomerase (DNA) II binding protein 1 [Source:MGI Symbol;Acc:MGI:1920018] MSRNDQEPFLVKFLKSSDNSECFFKALESIKELQSEDYLQIITDEEALKIRENDKSLYIC DRFSGTVFDHLKQLGCRIVGPQVVTFCMRHQQCVPRAEHPVYNMIMSDVTVSCTSLDKDK REEVHKYVQMMGGRVYRDLNVSVTHLIAGEVGSKKYLVAANLKKPILLPSWIKTLWEKSQ EKKITKYTDVNMEDFKCPIFLGCIICVTGLNGIHRKTVQQLTAKHGGQYMGQLKMNECTH LIVQEPKGQKYECARRWNVHCVTLQWFHDSIEKGFCQDESIYKAETRVEAKMVPDTSTPT AQSNAESHTLADVSHISNINGSCVNETMFGSTTSKLECSLENLENLDISMFQAPEDLLDG CRIYLCGFSGRKLDKLRRLINSGGGVRFNQLNEDVTHVIVGDYDDDVRQFWSKSSHRPHV VGAKWLLECFTKGYILPEESYIHTNYQPAGIAVSDQPGNQTAVLDKSGSFSKSALVPAER LQQADEDLLAQYGNDDSTMVEAKLSEALEPEVGPCPGSAHREPCDDSTHISVQEENKSSV SHCILDDSTVREEGLFSQKSFLVLGFSVENKCNIVDIIREHAGKIVSLPSRIVADYAVVP LLGCEVDVTVGEVVTNTWLVTCIDNQTLVDPKSNPLFTPVSVMSGVTPLEDCVISFSQCV GAERDSLVFLANHLGASVQEFFVRKANAKKGMLASTHLIVKEPTGSKYEAAKKWSLPAVN ISWLLETARIGKRADENHFLVDNAPKQEQVLETKIPNGVSSNPDLPAHPDAHLEIHRKKA VTPLDMNRFQSRAFRAVISQQRGQDPTFPPVRQPLTKEPSLHLDTPSKFLSKDKLFKPSF DVTDALAALETPNAASQKRKLSSPLSEVIVRNLTVALANSSRNTDSHSASPQLKGAHLEE EETRKPLDSVVVCVSKKLSKKQSELNGVAASLGAEYRWSFDETVTHFIYQGRANDSNREY KSAKERGVHIVSEHWLLECAQEYKHLPESLYPHTYNPKMSLDINTVQDGRLCNSRAPLAV SASKDDGPDHLSVEGNETNTMGTNDKESPLLNGSGRDDCKGALTQALEMRENFQKQLQEI MSATCIVKTPAQKTCMSRSSCNSASSTPDSARSVRSGRSRVLEALRQSRQAVPDVNTEPS QNEQIIWDDPTAREERARLASNLQWPSDPTQHSELQVEIKMPDDSPSRKPVYHSEIAEQA SCVTQAPGHPGSEEPEPPVAERPLIPEPQAPAVASPLAKPPVAPQPADKIETQEETHRKV KKQYVFQMSSLNSQERIDYCRLIKDLGGSVIEKQCSDPSCTHMVVGYPLRNEKYLASMAA GKWVLHRSYLDACKTAGRFVQEEDYEWGSSSILDALPDVTEHQQKLALAAMRWRKRIQQS QESGIVEGAFSGWKAILRVDRPREAGFKRLLQAGGAKVLSGHPEPLLKDATHLFCDFNKL KPDDCRVFIAEATAQNMVCLKTEYIADYLMLESPPCADNYRVSEAALFHNKKGGPGLPQK RKTPAENVVKRPRVH >ENSMUSP00000139773.1 pep:known chromosome:GRCm38:9:103305503:103311520:1 gene:ENSMUSG00000032555.9 transcript:ENSMUST00000187065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Topbp1 description:topoisomerase (DNA) II binding protein 1 [Source:MGI Symbol;Acc:MGI:1920018] MSRNDQEPFLVKFLKSSDNSECFFKALESIKELQSEDYLQIITDEEALKIRENDKSLYIC DRFSGTVFDHLKQLGCRIVGPQVVTFCMRHQQCVPRAEHPVYNMIMSDVTVSCTSLDKDK REEVHKYVQM >ENSMUSP00000140906.1 pep:known chromosome:GRCm38:9:103332729:103342041:1 gene:ENSMUSG00000032555.9 transcript:ENSMUST00000185721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Topbp1 description:topoisomerase (DNA) II binding protein 1 [Source:MGI Symbol;Acc:MGI:1920018] XRKLSSPLSEVIVRNLTVALANSSRNTDSHSASPQLKGAHLEEEETRKPLDSVVVCVSKK LSKKQSELNGVAASLGAEYRWSFDETVTHFIYQGRANDSNREYKSAKERGVHIVSEHWLL EPDHLSVEGNETNTMGTNDKESPLLNGSGRDDCKGALTQALEMRENFQKQLQEIMSATCI VKTPAQKTCMSRSSCNSASSTPDSARSVRSGRSRVLEALRQSRQAVPDVNTEPSQNEQII WDDPTAREERARLASNL >ENSMUSP00000140862.1 pep:known chromosome:GRCm38:9:103343634:103346727:1 gene:ENSMUSG00000032555.9 transcript:ENSMUST00000185305.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Topbp1 description:topoisomerase (DNA) II binding protein 1 [Source:MGI Symbol;Acc:MGI:1920018] QAPAVASPLAKPPVAPQPADKIETQEETHRKVKKQYVFQMSSLNSQERIDYCRLIKDLGR RLRVGK >ENSMUSP00000023435.5 pep:known chromosome:GRCm38:16:56805161:56886166:-1 gene:ENSMUSG00000022754.5 transcript:ENSMUST00000023435.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem45a description:transmembrane protein 45a [Source:MGI Symbol;Acc:MGI:1913122] MGSFKGHALPGSFFFAMGFWWTMKNILKSVYKRQTRTCYLNSKTLLRRTEIWEGVVVLLM SLTGIAGEQFISGGPALILHKDGQWNQILGWHHTTMYLFFGLQGITQIICFTTNVLPLSS SKLMLSIAIFVETFMFYNHTHGREMIDIFVHQLLVFVGTFSGLVAFLEFLVKNNALLELL RCSLLMFQGTWFWQMAFVLYPPSGSATWNLSDIQNKMFLSMCFCWHYASILILIGVKYAL ANWLVKSRLRKGCTSEVGLLKHADREQESEEEV >ENSMUSP00000116978.1 pep:known chromosome:GRCm38:16:56825714:56831885:-1 gene:ENSMUSG00000022754.5 transcript:ENSMUST00000135672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem45a description:transmembrane protein 45a [Source:MGI Symbol;Acc:MGI:1913122] MGSFKGHALPGSFFFAMGFWWTMKNILKSVYKRQTRTCYLNSKTLLR >ENSMUSP00000125857.1 pep:known chromosome:GRCm38:5:43233170:43289724:1 gene:ENSMUSG00000039782.14 transcript:ENSMUST00000169035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb2 description:cytoplasmic polyadenylation element binding protein 2 [Source:MGI Symbol;Acc:MGI:2442640] MRDFGFGVLHTALLRSGSPRSSPGGSAYRPFAAGPPATPFGPLSPPPLPVTGFSEAASPF AIPSGGGAASSAAASSSSPLVAHPQAVQDELLLGLTQQPTRPLSGAAAAEQLPSHHPGGG TNAGVTHLLPSQDFKPSLHHPSSSSASSCCCCRTSSPQDFSKRQQQQLSSQKRKELSPPH RPHPPDAKPPPPPPPLHCPGRFSPTPPTPPPPPGPLLQSAPLAQRSQPFSLPHTQHLPPQ DFAQRQRPVDLPQLPQLPPSPPAAPRRRHGGAGSPRQTPAAGEGSAAEPPNAGLPPSTPP VNPAPGSMESPNHPLLNSPSTLLPGAALGTSAFSSLQSPDLPHPGGGGGGGPPGGGGGSA SPPPLPGFGTPWSVQTASPPPPQPPPATQQQPPQQPQPPQQPPQPQPPGSSAATPGSGGG AGGSLSAMPPPSPDSENGFYPGLPSSMNPAFFPSFSPVSPHGCAGLSVPAGGGGGGGGFG GPFSAPTVPAPPAMNLPQQQPPAAAPQQPQSRRSPVSPQLQQQHQAAAAAFLQQRNSYNH HQPLLKQSPWSNHQNSGWGTASMSWGAMHGRDHRRSGNMGIPGTMNQISPLKKPFSGNVI APPKFTRSTPSLTPKSWIEDNVFRTDNNSNTLLPLQDRSRMYDSLNMHSLENSLIDIMRA EHDPLKGRLSYPHPGTDNLLMLNARSYGRRRGRSSLFPIDDSLLDDGHSDQVGVLNSPTC YSAHQNGERIERFSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGY AFLLFQEESSVQALIDACIEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLD PRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIA AISARFVQLQHGDIDKRVEVKPYVLDDQMCDECQGARCGGKFAPFFCANVTCLQYYCEFC WANIHSRAGREFHKPLVKEGADRPRQIHFRWN >ENSMUSP00000130921.2 pep:known chromosome:GRCm38:5:43233463:43289723:1 gene:ENSMUSG00000039782.14 transcript:ENSMUST00000166713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb2 description:cytoplasmic polyadenylation element binding protein 2 [Source:MGI Symbol;Acc:MGI:2442640] MRDFGFGVLHTALLRSGSPRSSPGGSAYRPFAAGPPATPFGPLSPPPLPVTGFSEAASPF AIPSGGGAASSAAASSSSPLVAHPQAVQDELLLGLTQQPTRPLSGAAAAEQLPSHHPGGG TNAGVTHLLPSQDFKPSLHHPSSSSASSCCCCRTSSPQDFSKRQQQQLSSQKRKELSPPH RPHPPDAKPPPPPPPLHCPGRFSPTPPTPPPPPGPLLQSAPLAQRSQPFSLPHTQHLPPQ DFAQRQRPVDLPQLPQLPPSPPAAPRRRHGGAGSPRQTPAAGEGSAAEPPNAGLPPSTPP VNPAPGSMESPNHPLLNSPSTLLPGAALGTSAFSSLQSPDLPHPGGGGGGGPPGGGGGSA SPPPLPGFGTPWSVQTASPPPPQPPPATQQQPPQQPQPPQQPPQPQPPGSSAATPGSGGG AGGSLSAMPPPSPDSENGFYPGLPSSMNPAFFPSFSPVSPHGCAGLSVPAGGGGGGGGFG GPFSAPTVPAPPAMNLPQQQPPAAAPQQPQSRRSPVSPQLQQQHQAAAAAFLQQRNSYNH HQPLLKQSPWSNHQNSGWGTASMSWGAMHGRDHRRSGNMGIPGTMNQISPLKKPFSGNVI APPKFTRSTPSLTPKSWIEDNVFRTDNNSNTLLPLQVRSSLQLPAWGSDSLQDSWCTAAG TSRIDQDRSRMYDSLNMHSLENSLIDIMRAEHDPLKGRLSYPHPGTDNLLMLNARSYGRR RGRSSLFPIDDSLLDDGHSDQVGVLNSPTCYSAHQNGERIERFSRKVFVGGLPPDIDEDE ITASFRRFGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQALIDACIEEDGKLYLCVS SPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGV CYAGIDTDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHGDIDKRVEVKPYVLDDQMC DECQGARCGGKFAPFFCANVTCLQYYCEFCWANIHSRAGREFHKPLVKEGADRPRQIHFR WN >ENSMUSP00000109699.2 pep:known chromosome:GRCm38:5:43233959:43289724:1 gene:ENSMUSG00000039782.14 transcript:ENSMUST00000114065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb2 description:cytoplasmic polyadenylation element binding protein 2 [Source:MGI Symbol;Acc:MGI:2442640] XQLSSQKRKELSPPHRPHPPDAKPPPPPPPLHCPGRFSPTPPTPPPPPGPLLQSAPLAQR SQPFSLPHTQHLPPQDFAQRQRPVDLPQLPQLPPSPPAAPRRRHGGAGSPRQTPAAGEGS AAEPPNAGLPPSTPPVNPAPGSMESPNHPLLNSPSTLLPGAALGTSAFSSLQSPDLPHPG GGGGGGPPGGGGGSASPPPLPGFGTPWSVQTASPPPPQPPPATQQQPPQQPQPPQQPPQP QPPGSSAATPGSGGGAGGSLSAMPPPSPDSENGFYPGLPSSMNPAFFPSFSPVSPHGCAG LSVPAGGGGGGGGFGGPFSAPTVPAPPAMNLPQQQPPAAAPQQPQSRRSPVSPQLQQQHQ AAAAAFLQQRNSYNHHQPLLKQSPWSNHQNSGWGTASMSWGAMHGRDHRRSGNMGIPGTM NQISPLKKPFSGNVIAPPKFTRSTPSLTPKSWIEDNVFRTDNNSNTLLPLQVRSSLQLPA WGSDSLQDSWCTAAGTSRIDQDRSRMYDSLNMHSLENSLIDIMRAEHDPLKGRLSYPHPG TDNLLMLNGRSSLFPIDDSLLDDGHSDQVGVLNSPTCYSAHQNGERIERFSRKVFVGGLP PDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQALIDACIEEDG KLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIM DRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHGDIDKRVEVKPY VLDDQMCDECQGARCGGKFAPFFCANVTCLQYYCEFCWANIHSRAGREFHKPLVKEGADR PRQIHFRWN >ENSMUSP00000109700.2 pep:known chromosome:GRCm38:5:43235038:43281210:1 gene:ENSMUSG00000039782.14 transcript:ENSMUST00000114066.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb2 description:cytoplasmic polyadenylation element binding protein 2 [Source:MGI Symbol;Acc:MGI:2442640] AAAAAFLQQRNSYNHHQPLLKQSPWSNHQNSGWGTASMSWGAMHGRDHRRSGNMGIPGTM NQISPLKKPFSGNVIAPPKFTRSTPSLTPKSWIEDNVFRTDNNSNTLLPLQDRSRMYDSL NMHSLENSLIDIMRAEHDPLKGRLSYPHPGTDNLLMLNGRSSLFPIDDSLLDDGHSDQVG VLNSPTCYSAHQNGERIERFSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKS YFPPKGYAFLLFQEESSVQALIDACIEEDGKLYLCVSSP >ENSMUSP00000026859.5 pep:known chromosome:GRCm38:3:40818103:40846885:-1 gene:ENSMUSG00000025759.11 transcript:ENSMUST00000026859.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd8 description:major facilitator superfamily domain containing 8 [Source:MGI Symbol;Acc:MGI:1919425] MANLGSEAEREPLLGPGSPGSREWSEIETQEHYKSRWKSVRILYLTMFLSSVGFSIVIMS IWPYLQKIDQTADASFLGWVIASYSLGQMVASPLFGLWSNYRPRKEPLIVSISISVAANC LYAYVHVPAAHNKYYMLIARGLVGFGAGNVAVVRSYIAGATSLQERTNAMANTSTCQALG FILGPVFQTCFALIGEKGVTWDIIKLQVNMYTAPVLLAAFLGILNIILILFILREHRVDD LGRQCKSVNFQEENTDEPQIPEGSIDQVAVVATNIVFFVVLFIFAVYETILTPLTLDMYA WTQEQAVLYDGILLVAFGVEAVLVFMGVKLLSKKIGERAILLGGFVVVWVGFFILLPWGN QFPKIQWEDLHNSSTPNTTFGEIIIGLWNSSREDHSEQPTGCPIEQTWCLYTPVIHLAQF LTAAVLIGTGYPACSVMSYTLYSKVLGPKPQGIYMGWLTTSGSAARILGPVFISHVYTYL GPRWAFSLVCGIVVLTILLIGAVYKRLVAFSVRYMRIQE >ENSMUSP00000145074.1 pep:known chromosome:GRCm38:3:40819508:40846802:-1 gene:ENSMUSG00000025759.11 transcript:ENSMUST00000204054.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd8 description:major facilitator superfamily domain containing 8 [Source:MGI Symbol;Acc:MGI:1919425] MANLGSEAEREPLLGPGSPGSRLIRQLMQVFWAGLLLHIVLAKW >ENSMUSP00000145129.1 pep:known chromosome:GRCm38:3:40826933:40846694:-1 gene:ENSMUSG00000025759.11 transcript:ENSMUST00000204399.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd8 description:major facilitator superfamily domain containing 8 [Source:MGI Symbol;Acc:MGI:1919425] XGSEAEREPLLGPGSPGSREWSEIETQEHYKSRWKSVRILYLTMFLSSVGFSIVIMSIWP YLQKAEKRTSYRLHLYFGGSQLPICVCPRASCS >ENSMUSP00000144842.1 pep:known chromosome:GRCm38:3:40832014:40846534:-1 gene:ENSMUSG00000025759.11 transcript:ENSMUST00000204907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd8 description:major facilitator superfamily domain containing 8 [Source:MGI Symbol;Acc:MGI:1919425] MFLSSVGFSIVIMSIWPYLQKIDQTADASFLGWVIASYSLGQMVASPLFGLWSNYRPRKE PLIVSISISVAANCLYAYVHVPAAHNKYYMLIARGLVGFGAGNVAVVRSYIAGATSLQER TNAMANTSTCQALGFILGPVFQTCFALIGEKGVTWDIIKLQVNMYTAPVLLAAFLGILNI ILILFIL >ENSMUSP00000145458.1 pep:known chromosome:GRCm38:3:40837089:40846816:-1 gene:ENSMUSG00000025759.11 transcript:ENSMUST00000204017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd8 description:major facilitator superfamily domain containing 8 [Source:MGI Symbol;Acc:MGI:1919425] MANLGSEAEREPLLGPGSPGSRFFYCDNVHLAISSK >ENSMUSP00000120085.1 pep:known chromosome:GRCm38:1:155844964:155973255:-1 gene:ENSMUSG00000033671.17 transcript:ENSMUST00000138762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep350 description:centrosomal protein 350 [Source:MGI Symbol;Acc:MGI:1921331] MRSSKSKEVPLPNPRNSQSKETIQDVTTSWDALSQTKAALRHIENKLEVTPTSTAVIDSV MDTKKSASATRKISRKDGRCLDDSWASAPTSKFSKPRKEKSRSPLRATTLESNVKKNNRV EFREPLVSYRETHGTPFSLSPSHLESKHVYCIHEEKPESGKQMVVSREDRNIQCCDFESA QPSVISDTVVRFLNDGPAIDALHSSECLMKMGVHVRTEDEMPNRTKGSENNSKPSLNNME HDVDPKVMLLSDSSPSSSACNSQRSDISKRQQHDIKLEKLKERIRKQWEHSEEINGQAQT LGHIDHPVMVVNVDNSVTTKVRKVATAPPAPAYKGFNPSETKIRTPDGKVWQEAEFQSMS RELYRDLALQFTDDTSVKEKPVEKSKEKKVVKPVRKIQKVTQLSNPECKTGSSRLISTSS WRDGQKLVKKILGPAPKMEQKERRPTSNDRSGRERVAKFGGHIGRAESDPKLDVSHKQLP RSSARSRSSRAWSETNIVKSALSLPDNKQEESAALNKDFLPVEIRGILDDLQLDSSAQAV RQEAGEGQNQKSSAPEQVPRSHSPVKRKPDKITANEDPPVISKKRHYDTDEVRQYIVRQQ EERRRRQHEEKKAQKEATEQKNKRLQELYRRQREAFSKAKTVPPSDPLVSRRLQETYSKL LLEKTLLEEPARQHVTQDIQARPGYQPSGESDKENKIQERPPSASSSSDLSLSEPPQPLA RRDLMEPTWMQPDRLSPRVHSSQAQPLAGTTENLLSHLLHLEHVGILHKDYESVLPAKKS HNTASGPLTFTPQPYLTSQAPHPDALLKPSTSQYKTKLDRIEALKATAASLSSRIESEAK KLAGASINYGSVWNTECDVKLAPQENGPWTKAISPPVKEDIEDAFSARIQKMLGTCVSHA AFDDELPGVGSLSEYKKLPEMIRPQSAISSLRMKSPSPKPGGLLAQLCRRQTDSSSSDIQ ACSQERAKRSLCSSIDSVSEGPLLSEGSLSEEEERRDARPLLKVAEILKEKEFCAGERNS YEPIKEFQKEAEKFLPLFGHIGGTQSKGPWEELAKGSPHSVINIFTKSYQLYGKGFEDRG TLVSRPLNATATPLSSVSYEDDFVSSPGSGTLTERKSTLESQVDGSSLGVQEEHLSRQFA CDLASVDATSQHSSGARSAGSTRSSSASKGKKGKKDKMDWLDSLTGSAQNPLIDEEKVQS DSERGSHPSRKLGTGSKLAVGDSEQTLDAESTLEDLSGHSVSGSSDKGRSQKTPTSPLSP SSQKLLQFDLPGTSLERSKSSVIVPPTTTGFKPTAAFTDVNKTEMASAPGPQRFSPAGLQ HRMAAELSYLSALEESVRQLSDVERVRGIALAQQESVSLAQIIKAQQQRHERDLALLKLK AEQEALECQRQLEETRNKTAQVHAESLQQVVKSQREVTEVLQEATCKIAAQQSETARLTT DAARQICEMAELTRTHLADAITTSGVPLATLYDHQRQHFPDFMRKLRTKAETDRISHSAS HSQSKEGAVDSKRQKFSPSRDSYSESSRYKSHDYRSSGSSRQDSPSVPPSKENEKPFHGE KMESSVDEQLQTAADDSLRSDSIPSLPDEKDSTSIATEYSLKFDESMTEDEIEEKSFRSL LPSESHRRFNMEKKRGHHDDSDEDASPDKTALSSTKELSMPFSGGQDSFSKFTMEMVRQY MKEEEVRAAHQSSLLRLREKALKEKTKAELAWLEHQKKHLRDKGEDDKMPPLRKKQRGLL LRLQQEKAEIKRLQEANKAARKERQLILKQQEEIERIRQTTIKLQEKLKSAGEKKLGSLA DDDEAEDNKAASPGPPGLETRSPSPISISSSETSSIMQKLKSMRSRMDEKFLTKREQKLM QRRQHAEELLEWKRRLDAEEAEIQQMEKQALAAWDKELVKPRTPKKEQESQRTEQKGIAS EEGSPMPSYSPRNSESCIPEDLGSPSDLCVPSEARVQAQPGSPEHSTLTEEMVFSQELES TSPSKHSPPKSCLSMSKQESSKASHRTEGHCHLPVKSHQPCYSWSDESLSMTQSETTSDQ SDIEGRIRALKDELRKRKSVVEQLKREQRKRQKERLKAQEASLLRQLETYDEFIKKTEGE LSQDLDISPTSKFQMKTLSSVSEKPKIKPHPLHRSETAKTWKSVTESERSRGSLASIAEH VDSSLSCSERAISERSLSAYAKRGVELDSRIEHLQASSPDLSSRKAQTESRDSLESAPSL SPVKELNAPDRIYDVSEAKAEDTSQKSEIQEIESMKLESSEVEDACCKQSGGSEVLLKLD LASETLSSKELPSDSANVQQDLDKPATETSHEKEEALKEDQSNHSTDDRSPDIQSAGGIP EQGCRESGDSTCSGQLSVPKESSYSEDFEVSSFRKGISADEISKDDSEGSSPSSLRKDSQ SHRDRSQLTRSSRSRATGSGSDEEISECLGEKSLSVHSGVHSERLLELRSPTELMKSKER SDVGHEQGGTEPLPLAATEELLDFHIGDRVLIGSVQPGTLRFKGETDFAKGFWAGVELDK PEGNNNGTYDGIVYFVCKDKHGIFAPPQKISHLLENFDDTDINEDEESYSDEQYQPYNQE QKDIKCLKDRENNIAEYFCEKSLPSMHNTDASVDKDRSLNIETDTSEVLEVHGHQQPSVD PLISYKENKVLVSDATESVPAAAGAATSDNTFSGESKQQQLAEKEENFYSQVLEKPSTPL LDLLTREKNQLEAQLKSSISEEKKSKQQLETVSLLTDSLLQVFVKDTVSQLQQVKKARNE KIQLSNQEFLDQKKVPPQDLPQNTEEQSPSVPSCFLRSELEDEKEEISSPDMCPRPESPV FGASGQEELAKRLAELEISREFLSALDDQDWFDEDFGLSSSHKIQKNKAEETIVPLMAEP KRAPQKPCETLLAVPHTAEEVESLVHNAAEELWKWKELGQDLHGLSLPTTFLGGASKGLD IGSTSRRVYKQAVFDLTKEIFEEIFAEDPNVNQPVWMKPCRINSSYFRRVKNPNNLDEIK HFITTEVLKLLSLKKEPNHKTDWQKMMKFGRKKRDRVDHILVQELHEEEAQWVNYDEDEL CVKMQLADGIFETLIKDTIDVLNQISEKQGRMLLV >ENSMUSP00000121043.2 pep:known chromosome:GRCm38:1:155935870:155972890:-1 gene:ENSMUSG00000033671.17 transcript:ENSMUST00000124495.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep350 description:centrosomal protein 350 [Source:MGI Symbol;Acc:MGI:1921331] MDTKKSASATRKISRKDGRCLDDSWASAPTSKFSKPRKEKSRSPLRATTLESNVKKNNRV EFREPLVSYRETHGTPFSLSPSHLESKHVYCIHEEKPESGKQMVVSREDRNIQCCDFESA QPSVISDTVVRFLNDGPAIDALHSSECLMKMGVHVRTEDEMPNRTKGSENNSKPSLNNME HDVDPKVMLLSDSSPSSSACNSQRSDISKRQQHDIKLEKLKERIRKQWEHSEEINGQAQT LGHIDHPVMVVNVDNSVTTKVRKVATAPPAPAYKGFNPSETKIRTPDGKVWQEAEFQSMS RELYRDLALQFTDDTSVKEKPVEKSKEKKVVKPVRKIQKVTQLSNPECKTGSSRLISTSS WRDGQKLVKKILGPAPKMEQK >ENSMUSP00000028361.4 pep:known chromosome:GRCm38:2:24215418:24225702:1 gene:ENSMUSG00000026984.4 transcript:ENSMUST00000028361.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1f6 description:interleukin 1 family, member 6 [Source:MGI Symbol;Acc:MGI:1859324] MNKEKELRAASPSLRHVQDLSSRVWILQNNILTAVPRKEQTVPVTITLLPCQYLDTLETN RGDPTYMGVQRPMSCLFCTKDGEQPVLQLGEGNIMEMYNKKEPVKASLFYHKKSGTTSTF ESAAFPGWFIAVCSKGSCPLILTQELGEIFITDFEMIVVH >ENSMUSP00000107129.1 pep:known chromosome:GRCm38:2:90221567:90224471:-1 gene:ENSMUSG00000075064.3 transcript:ENSMUST00000111504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1506 description:olfactory receptor 1506 [Source:MGI Symbol;Acc:MGI:3031340] MADIHNVTEFFFLGLSSNQEVQRVCFVIFLFLYMAIVLGNLLMVVIVAVSRNLGSPMYFF LSSLSFVEICYSSTTAPKLIVDLLAEKKSISVWGCMAQLFFMHFFGGIEMFLLMMMAYDR YVAICKPLHYTSIMNRQVCTVLVGMAWMGGFVHSLAQVLLIFRLPFCGPNIIDHYFCDVL PVLKLVCSDTFLIGLLIVVNGGTLTVISFVVLLSSYAVILFHLRTQSAEGRRKALSTCGS HVTVVVIFFAPCVFIYLRPTATLPIDKMVTVFYTVITPLLNPIIYSLRNAEVKKVIKILC TRATKVDKK >ENSMUSP00000119667.1 pep:known chromosome:GRCm38:2:147361925:147367878:1 gene:ENSMUSG00000037034.15 transcript:ENSMUST00000126068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax1 description:paired box 1 [Source:MGI Symbol;Acc:MGI:97485] MQYYFIKPKVKYTSFLTFACASWTISCSRAVEITKPQPGKLKRHFAFCTPTFAPPHPASC AGTCAAKPGPDPTGGDKLFPVRRISPNRMKFTLGLGSRAWRVSWERAAAAAAGPGAGGAL GSGSLRVSSRRGPRLARALPLCLSGGGGARALPDCAGPSPRRSGARQLAGPRAMEQTYGE VNQLGGVFVNGRPLPNAIRLRIVELAQLGIRPCDISRQLRVSHGCVSKILARYNETGSIL PGAIGGSKPRVTTPNVVKHIRDYKQGDPGIFAWEIRDRLLADGVCDKYNVPSVSSISRIL RNKIGSLAQPGPYEASKQPPPQPALPYNHIYQYPYPSPVSPTGTKMGTHPGVPGSAGHVS IPRSWPSAHSVSNILGIRTFMEQTG >ENSMUSP00000105594.2 pep:known chromosome:GRCm38:2:147364994:147375053:1 gene:ENSMUSG00000037034.15 transcript:ENSMUST00000109968.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax1 description:paired box 1 [Source:MGI Symbol;Acc:MGI:97485] MKFTLGLGSRAWRVSWERAAAAAAGPGAGGALGSGSLRVSSRRGPRLARALPLCLSGGGG ARALPDCAGPSPRRSGARQLAGPRAMEQTYGEVNQLGGVFVNGRPLPNAIRLRIVELAQL GIRPCDISRQLRVSHGCVSKILARYNETGSILPGAIGGSKPRVTTPNVVKHIRDYKQGDP GIFAWEIRDRLLADGVCDKYNVPSVSSISRILRNKIGSLAQPGPYEASKQPPPQPALPYN HIYQYPYPSPVSPTGTKMGTHPGVPGSAGHVSIPRSWPSAHSVSNILGIRTFMEQTGALA GSEGAAYSPKMEDWAGVNRAAFPTSPAVNGLEKPALEADIKYTQSASSLSAVGGFLPACA YPASNQHGVYSAPAAGYLSPGPPWPPAQAPPLTPHGAGVAVHGGELAAAMTFKHREGTDR KPPSPGGKATDALGSLHGLPIPASTS >ENSMUSP00000104142.1 pep:known chromosome:GRCm38:11:72344722:72361296:-1 gene:ENSMUSG00000020799.16 transcript:ENSMUST00000108502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt1 description:tektin 1 [Source:MGI Symbol;Acc:MGI:1333819] MAKLLQSPPRFLPEEWYIANKSQYHRAEAQRSQSERLVAESQRLVEEIEKTTRKSQSDVN KKLEQRLEEVRFWKKELDDKLEQLVNQTDDLLTYKTRLERSLESYKEPLHITEKCLEYRE KRVGIDLVHDVVEQELQKEADIIHGVMNLLIRTLEESTEQIRLNRSAKYNLEKDLRDKFT AITIDDVCFSLNNNSPNINFSEKVVRIEPNSVSLEDWLDFSNANVEKADKQLNNSTALKT LVDQILSQTANDLRRQCEVVDEAFINGLKETKDARNKLADHLAKVMEEIASQEKNIMALE NAITQQEGPAKVAHTRLETRTHRPNVELCRDIAQYRLIKEIQEINHNVARLKETLAQAQT QLKALYRRQLALQEEIQVKENTIYIDQVLCMEMRKSIPPRDGDDHGAWEGGIRAEAIC >ENSMUSP00000104143.2 pep:known chromosome:GRCm38:11:72344722:72361873:-1 gene:ENSMUSG00000020799.16 transcript:ENSMUST00000108503.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt1 description:tektin 1 [Source:MGI Symbol;Acc:MGI:1333819] MAKLLQSPPRFLPEEWYIANKSQYHRAEAQRSQSERLVAESQRLVEEIEKTTRKSQSDVN KKLEQRLEEVRFWKKELDDKLEQLVNQTDDLLTYKTRLERSLESYKEPLHITEKCLEYRE KRVGIDLVHDVVEQELQKEADIIHGVMNLLIRTLEESTEQIRLNRSAKYNLEKDLRDKFT AITIDDVCFSLNNNSPNINFSEKVVRIEPNSVSLEDWLDFSNANVEKADKQLNNSTALKT LVDQILSQTANDLRRQCEVVDEAFINGLKETKDARNKLADHLAKVMEEIASQEKNIMALE NAITQQEGPAKVAHTRLETRTHRPNVELCRDIAQYRLIKEIQEINHNVARLKETLAQAQT QLKALYRRQLALQEEIQVKENTIYIDQVLCMEMRKSIPPRDGDDHGAWEGGIRAEAIC >ENSMUSP00000021155.3 pep:known chromosome:GRCm38:11:72344729:72362442:-1 gene:ENSMUSG00000020799.16 transcript:ENSMUST00000021155.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt1 description:tektin 1 [Source:MGI Symbol;Acc:MGI:1333819] MAKLLQSPPRFLPEEWYIANKSQYHRAEAQRSQSERLVAESQRLVEEIEKTTRKSQSDVN KKLEQRLEEVRFWKKELDDKLEQLVNQTDDLLTYKTRLERSLESYKEPLHITEKCLEYRE KRVGIDLVHDVVEQELQKEADIIHGVMNLLIRTLEESTEQIRLNRSAKYNLEKDLRDKFT AITIDDVCFSLNNNSPNINFSEKVVRIEPNSVSLEDWLDFSNANVEKADKQLNNSTALKT LVDQILSQTANDLRRQCEVVDEAFINGLKETKDARNKLADHLAKVMEEIASQEKNIMALE NAITQQEGPAKVAHTRLETRTHRPNVELCRDIAQYRLIKEIQEINHNVARLKETLAQAQT QLKALYRRQLALQEEIQVKENTIYIDQVLCMEMRKSIPPRDGDDHGAWEGGIRAEAIC >ENSMUSP00000100561.2 pep:known chromosome:GRCm38:11:53476573:53480274:-1 gene:ENSMUSG00000044352.6 transcript:ENSMUST00000104955.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sowaha description:sosondowah ankyrin repeat domain family member A [Source:MGI Symbol;Acc:MGI:2687280] MALAAAAAAAAAAAGVSQAAVLGFLREHGGQVRNSELLSRFKPLLDAGDPRGRAARRDRF KQFVNNVAVVKELDGVKFVVLRKKPRPPEGPEAPLPSSPGVPAALAQCAAVPAEDNCAPG APHSPQRSGEPPEDSSAPSELQHTPETLPSEVTQVEAPSGSAPQPGGPEDPALPRSSELA RPASVPSGLALTSTESPGPEPAPPTAQVPPQKPCMLPVRCVVPGPAALRIRAEEQGLRRQ RSEEPSPRGSPMLLRRLSVEESGLGLHLGPGRSPHLRRLSRAGPRLLSPDTEEMPVAPLP SPAVPLEPTEHEWLVRTASGRWSHQLHGLLLRDRGLAAKRDFMSGFTALHWAAKNGDREM ALQLVEVARRGGAPVDVNARSHGGYTPLHLAALHGHEDAAVLLVVRLGAQVHVRDYSGRR AYQYLRPGSSYALRRLLGDPGLRSMMEPDAASGGSGSLVSRHPVQVAATILSSTTSAFLG VLADDLMLQDLARGLKKSSSFSKFLGASPMAPRKKTKIRGGLPSFTEISHRSTPGPLAGL VPSLPPPT >ENSMUSP00000078672.2 pep:known chromosome:GRCm38:15:98312589:98313548:-1 gene:ENSMUSG00000062037.2 transcript:ENSMUST00000079736.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr285 description:olfactory receptor 285 [Source:MGI Symbol;Acc:MGI:3030119] MRNFSVVSEFILLGLSDDAQVQALLFVAFLVIYVLTLTGNTMILLVIRVDAHLRSPMYFF LGHLSFLDLLYSSVSTPKMLENLVSETKTIPVKGCLAQAFFVFAIGGTEALLLAVMAYDR YAAICHPLLYGQMMNDWFCQVLVWGSWILAILNSLINTLLAVSLDFCHYGTIHNYNCEFP SLFPLSCSDVSTNATAIVCTFVIHASGTFLLVVCSYGCIFSTILNMSSTRGRSKAFSTCS SHLTIVTLYFGSACLRYVMPTSGSPMETFFSLQYSVITPMLNPFVYSLKNKEVKMAMRKL LARCCQHFGEVDQRHRVKS >ENSMUSP00000107658.2 pep:known chromosome:GRCm38:14:31523082:31577383:-1 gene:ENSMUSG00000057606.14 transcript:ENSMUST00000112027.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Colq description:collagen-like tail subunit (single strand of homotrimer) of asymmetric acetylcholinesterase [Source:MGI Symbol;Acc:MGI:1338761] MVVLNPMTLGIYLQLFFCSIVSQPTFINSVVPISAALPGLDQKKRGSHKACCLLMPPPPP LFPPPFFRGSRSPLLSPDMKNLLELEASPSPCIQGSLGSPGPPGPQGPPGLPGKTGPKGE KGDLGRPGRKGRPGPPGVPGMPGPVGWPGPEGPRGEKGDLGMMGLPGSRGPMGSKGFPGS RGEKGSRGERGDLGPKGEKGFPGFPGMLGQKGEMGPKGESGLAGHRGPTGRPGKRGKQGQ KGDSGIMGPPGKPGPSGQPGRQGPPGPPGPPSAGQLVMGLKGERGFPGPPGRCLCGPPVN VNNPSYGDSMYGRGSPRVPAIFVVNNQEELERLNTQNAIAFRRDQRSLYFKDSLGWLPIQ LTPFYPVGYTVKQPGTCGDGVLQPGEECDDGNPDVSDGCIDCHRAYCGDGYRHQGVEDCD GSDFGYLTCETYLPGSYGDLRCTQYCSIDSTPCRYFT >ENSMUSP00000121065.1 pep:known chromosome:GRCm38:14:31523160:31558140:-1 gene:ENSMUSG00000057606.14 transcript:ENSMUST00000150054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Colq description:collagen-like tail subunit (single strand of homotrimer) of asymmetric acetylcholinesterase [Source:MGI Symbol;Acc:MGI:1338761] MMTGPSFTHLLLIISGLLCHSAGYLALPGLDQKKRGSHKACCLLMPPPPPLFPPPFFRGS RSPLLSPDMKNLLELEASPSPCIQGSLGSPGPPGPQGPPGLPGKTGPKGEKGDLGRPGRK GRPGPPGVPGMPGPVGWPGPEGPRGEKGDLGMMGLPGSRGPMGSKGFPGSRGEKGSRGER GDLGPKGEKGFPGFPGMLGQKGEMGPKGESGLAGHRGPTGRPGKRGKQGQKGDSGIMGPP GKPGPSGQPGRQGPPGPPGPPSAGQLVMGLKGERGFPGPPGRCLCGPPVNVNNPSYGDSM YGRGSPRVPAIFVVNNQEELERLNTQNAIAFRRDQRSLYFKDSLGWLPIQLTPFYPVGYT VKQPGTCGDGVLQPGEECDDGNPDVSDGCIDCHRAYCGDGYRHQGVEDCDGSDFGYLTCE TYLPGSYGDLRCTQYCSIDSTPCRYFT >ENSMUSP00000116916.1 pep:known chromosome:GRCm38:14:31552909:31591343:-1 gene:ENSMUSG00000057606.14 transcript:ENSMUST00000124014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Colq description:collagen-like tail subunit (single strand of homotrimer) of asymmetric acetylcholinesterase [Source:MGI Symbol;Acc:MGI:1338761] MPPPPPLFPPPFFRGSRSPLLSPDMKNLLELEASPSPCIQGSLGSP >ENSMUSP00000085163.2 pep:known chromosome:GRCm38:19:11870146:11871305:-1 gene:ENSMUSG00000067545.2 transcript:ENSMUST00000087857.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1419 description:olfactory receptor 1419 [Source:MGI Symbol;Acc:MGI:3031253] MEEENQTGVVYFHFRPFSTNSTVASLVFVGFLLLYLGSLIGNLTIGLTVWQDHSLHTPMY FFLFVLATLELGYSTNIAPLTLASILSMGKMLISLPSCGAQMFFFILLGGSDCVLLAIMA YDRYVAICHPLHYSLIMSWQLCGQMALGSLGLGFLLSLPLTILICHLPFCGHNEIYHFFC DMPAVMRLACTDTHIHQAALFAISVAAVAIPFLLICLSYGCIVATILRMTSAEGKRRAFS TCSSHLLVVVLQYGCCTLIYLRPSSSYSPEEGRAVSVVYTFFSPLLNPLIYSLRNQEVTD AVKRLLTRMFWFRKPERFLPGGNYSLNKGEHSMMEKKLKINRP >ENSMUSP00000009220.4 pep:known chromosome:GRCm38:11:4704678:4737669:1 gene:ENSMUSG00000009076.10 transcript:ENSMUST00000009220.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat5 description:zinc finger, matrin type 5 [Source:MGI Symbol;Acc:MGI:1914428] MGKRYFCDYCDRSFQDNLHNRKKHLNGLQHLKAKKVWYDMFRDAAAILLDEQNKRPCRKF LLTGQCDFGSNCRFSHMSEQDLQELSVQVEEERRAREWPLETAELPEGHLEDWLEKRAKR LSSAPSSRAEPIRTTVFQYPVGWPPMQELPPSLRAPPPGGWSVLSKVQWG >ENSMUSP00000145864.1 pep:known chromosome:GRCm38:15:98340022:98340987:-1 gene:ENSMUSG00000051793.4 transcript:ENSMUST00000206647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr284 description:olfactory receptor 284 [Source:MGI Symbol;Acc:MGI:3030118] MTDLIFCFCFVFFSAAMRNHSAVHEFVLLGLSTDPHIQPALFVLFLLVYLLTVVGNSLML LVIVADSHLHTPMYFFLRQLSFLDLCHSSVTAPKMLENLLSEEKTILVESCLAQAFFVFA TGGTEACLLAAMAYDRYVAIGSPLLYSQVMSSQLCVGLVWLSWCLAVVDALLNTLPAVSL DFCEDQTISHFSCELSSLFPLSCSDTAANFTLLLCSSVVHFFGTLVMIVCSYGRIVTTVL RVSSSTGRSKAFSTCLSHLTTVILFYGSGFISYLLPASGSPLEKVFSLQYSVITPMLNPL IYSLKNKEVKAALGRMIRKHF >ENSMUSP00000065626.3 pep:known chromosome:GRCm38:15:98340022:98340939:-1 gene:ENSMUSG00000051793.4 transcript:ENSMUST00000063289.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr284 description:olfactory receptor 284 [Source:MGI Symbol;Acc:MGI:3030118] MRNHSAVHEFVLLGLSTDPHIQPALFVLFLLVYLLTVVGNSLMLLVIVADSHLHTPMYFF LRQLSFLDLCHSSVTAPKMLENLLSEEKTILVESCLAQAFFVFATGGTEACLLAAMAYDR YVAIGSPLLYSQVMSSQLCVGLVWLSWCLAVVDALLNTLPAVSLDFCEDQTISHFSCELS SLFPLSCSDTAANFTLLLCSSVVHFFGTLVMIVCSYGRIVTTVLRVSSSTGRSKAFSTCL SHLTTVILFYGSGFISYLLPASGSPLEKVFSLQYSVITPMLNPLIYSLKNKEVKAALGRM IRKHF >ENSMUSP00000120440.1 pep:known chromosome:GRCm38:14:67715937:67739661:1 gene:ENSMUSG00000034327.17 transcript:ENSMUST00000152243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd9 description:potassium channel tetramerisation domain containing 9 [Source:MGI Symbol;Acc:MGI:2145579] MLAHMFKDKGVWGNKQDHRGAFLIDRSPEYFEPILNYLRHGQLIVNDGINLLGVLEEARF FGIDSLIEHLEVAIKNSQPPEDHSPISRKEFVRFLLATPTKSELRCQGLNFSGADLSRLD LRYINFKMANLSRCNLAHANLCCANLERADLSGSVLDCANLQGVKMLCSNAEGASLRLCN FEDPSGLKANLEGANLKGVDMEGSQMTGINLRVATLKNAKLKNCNLRGATLAGTDLEVSL PSLKF >ENSMUSP00000077200.6 pep:known chromosome:GRCm38:14:67716098:67742310:1 gene:ENSMUSG00000034327.17 transcript:ENSMUST00000078053.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd9 description:potassium channel tetramerisation domain containing 9 [Source:MGI Symbol;Acc:MGI:2145579] MRRVTLFLNGSPSNGKVVAVYGTLSDLLSVASSKLGIKATSVYNGKGGLIDDIALIRDDD VLFVCEGEPFIDPQTDARPPGGLLGSHTDWLTLNVGGRYFTTTRSTLVNKEPDSMLAHMF KDKGVWGNKQDHRGAFLIDRSPEYFEPILNYLRHGQLIVNDGINLLGVLEEARFFGIDSL IEHLEVAIKNSQPPEDHSPISRKEFVRFLLATPTKSELRCQCANLQGVKMLCSNAEGASL RLCNFEDPSGLKANLEGANLKGVDMEGSQMTGINLRVATLKNAKLKNCNLRGATLAGTDL ENCDLSGCDLQEANLRGSNVKGAIFEEMLTPLHMSQSVR >ENSMUSP00000122956.1 pep:known chromosome:GRCm38:14:67716140:67729696:1 gene:ENSMUSG00000034327.17 transcript:ENSMUST00000145542.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd9 description:potassium channel tetramerisation domain containing 9 [Source:MGI Symbol;Acc:MGI:2145579] MLAHMFKDKGVWGNKQDHRGAFLIDRSPEYFEPILNYLRH >ENSMUSP00000116807.1 pep:known chromosome:GRCm38:14:67716151:67729607:1 gene:ENSMUSG00000034327.17 transcript:ENSMUST00000125212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd9 description:potassium channel tetramerisation domain containing 9 [Source:MGI Symbol;Acc:MGI:2145579] MLAHMFKDKG >ENSMUSP00000114489.1 pep:known chromosome:GRCm38:14:67716262:67740469:1 gene:ENSMUSG00000034327.17 transcript:ENSMUST00000150768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd9 description:potassium channel tetramerisation domain containing 9 [Source:MGI Symbol;Acc:MGI:2145579] MRRVTLFLNGSPSNGKVVAVYGTLSDLLSVASSKLGIKATSVYNGKGGLIDDIALIRDDD VLFVCEGEPFIDPQTDARPPGGLLGSHTDWLTLNVGGRYFTTTRSTLVNKEPDSMLAHMF KDKGVWGNKQDHRGAFLIDRSPEYFEPILNYLRHGQLIVNDGINLLGVLEEARFFGIDSL IEHLEVAIKNSQPPEDHSPISRKEFVRFLLATPTKSELRCQGLNFSGADLSRLDLRYINF KMANLSRCNLAHANLCCANLERADLSGSVLDCANLQGVKMLCSNAEGASLRLCNFEDPSG LKANLEGANLKGVDMEGSQMTGINLRVATLKNAKLKNCNLRGATLAGTDLENCDLSGCDL QEANLRGSNVKGAIFEEMLTPLHMSQSVR >ENSMUSP00000115002.1 pep:known chromosome:GRCm38:14:67716285:67742161:1 gene:ENSMUSG00000034327.17 transcript:ENSMUST00000156700.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kctd9 description:potassium channel tetramerisation domain containing 9 [Source:MGI Symbol;Acc:MGI:2145579] MRRVTLFLNGSPSNGKVVAVYGTLSDLLSVASSKLGIKATSVYNGKGGLIDDIALIRDDD VLFVCEGEPFIDPQTDARPPGGLLGSHTDWLTLNVGGRYFTTTSITLPGCSMHLFERTAE LKCTLDLNMFVCKDT >ENSMUSP00000072563.2 pep:known chromosome:GRCm38:19:11895607:11897050:1 gene:ENSMUSG00000060878.3 transcript:ENSMUST00000072784.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1420 description:olfactory receptor 1420 [Source:MGI Symbol;Acc:MGI:3031254] MEIINKTAKVQFFFRPFSPDPGVQMVIFVTFLVMYLTSLSGNATIAVIVHINHSLHTPMY FFLANLAVLEIFYTSSIAPLALANLLSMGKTPVSITGCGTQMFFFVFLGGADCVLLAVMA YDRFVAICYPLRYTLIMSWSLCVEMMVGSLVLGFLLSLPLTILIFHLPFCHNNEIYHFYC DMPAVIRLACGDTHVHRTALYIISFIVLSIPLTLISISYVFIITAILRIRSAEGRHRAFS TCSSHIVVVLLQYGCTSFIYLSPSSSYSPEMGRMVSVVYTFITPILNPLIYSMRNKELKD ALRKALRKF >ENSMUSP00000058588.7 pep:known chromosome:GRCm38:7:30161269:30169727:-1 gene:ENSMUSG00000037029.8 transcript:ENSMUST00000062181.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp146 description:zinc finger protein 146 [Source:MGI Symbol;Acc:MGI:1347092] MSQLSQQRILSGGSPFACKVCGKLFSHKSNLTEHEHFHSREKPFECNECGKAFSQKQYVI KHQSTHSGEKLFECSDCGKAFSQKENLLTHQKIHTGEKPFECKDCGKAFIQKSNLIRHQR THTGEKPFICKECGKTFSGKSNLTEHEKIHIGEKPFKCNECGTAFGQKKYLIKHQNIHTG EKPYECNECGKAFSQRTSLIVHVRIHSGDKPYECNVCGKAFSQSSSLTVHVRSHTGEKPY GCNECGKAFSQFSTLALHLRIHTGKKPYQCSECGKAFSQKSHHIRHQKIHTH >ENSMUSP00000085591.7 pep:known chromosome:GRCm38:19:6909698:6911049:1 gene:ENSMUSG00000038812.16 transcript:ENSMUST00000088257.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt112 description:tRNA methyltransferase 11-2 [Source:MGI Symbol;Acc:MGI:1914924] MKLLTHNLLSSHVRGVGTRGFPLRLQATEVRINPVEFNPEFVARMIPKVEWAALVQAADT LNLAEVPKEPTEGYEHDETFLRKMHHVLLEVDVLEGTLQCPESGRLFPISRGIPNMLLND EETET >ENSMUSP00000112250.3 pep:known chromosome:GRCm38:19:6909747:6911028:1 gene:ENSMUSG00000038812.16 transcript:ENSMUST00000116551.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt112 description:tRNA methyltransferase 11-2 [Source:MGI Symbol;Acc:MGI:1914924] MKLLTHNLLSSHVRGVGTRGFPLRLQATEVRINPVEFNPEFVARMIPKVEWAALVQAADT LNLAEVPKEPTEGYEHDETFLRKMHHVLLEVDVLEGTLQCPESGRLFPISRGIPNMLLND EETET >ENSMUSP00000134000.1 pep:known chromosome:GRCm38:19:6910164:6911028:1 gene:ENSMUSG00000038812.16 transcript:ENSMUST00000174786.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt112 description:tRNA methyltransferase 11-2 [Source:MGI Symbol;Acc:MGI:1914924] MKLLTHNLLSSHVRGVGTRGFPLRLQATEVRINPVEFNPEFVARMIPKVEWAALVQAADT LNLAEVPKEPTEGYEHDETFLRKMHHVLLEVRILGHRLPRPPTEATGA >ENSMUSP00000026425.6 pep:known chromosome:GRCm38:10:128557766:128565934:-1 gene:ENSMUSG00000025364.12 transcript:ENSMUST00000026425.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pa2g4 description:proliferation-associated 2G4 [Source:MGI Symbol;Acc:MGI:894684] MSGEDEQQEQTIAEDLVVTKYKMGGDIANRVLRSLVEASSSGVSVLSLCEKGDAMIMEET GKIFKKEKEMKKGIAFPTSISVNNCVCHFSPLKSDQDYILKEGDLVKIDLGVHVDGFIAN VAHTFVIGVAQGTQVTGRKADVIKAAHLCAEAALRLVKPGNQNTQVTEAWNKVAHSFNCT PIEGMLSHQLKQHVIDGEKTIIQNPTDQQKKDHEKAEFEVHEVYAVDVLVSSGEGKAKDA GQRTTIYKRDPSKQYGLKMKTSRAFFSEVERRFDAMPFTLRAFEDEKKARMGVVECAKHE LLQPFNVLYEKEGEFVAQFKFTVLLMPNGPMRITSGPFEPDLYKSEMEVQDAELKALLQS SASRKTQKKKKKKASKTVENATSGETLEENGAGD >ENSMUSP00000114434.1 pep:known chromosome:GRCm38:10:128559438:128565827:-1 gene:ENSMUSG00000025364.12 transcript:ENSMUST00000131728.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pa2g4 description:proliferation-associated 2G4 [Source:MGI Symbol;Acc:MGI:894684] MSGEDEQQEQTIAEDLVVTKYKMGGDIANRVLRSLVEASSSGVSVLSLCEKGDAMIMEET GKIFKKEKEMKKGIAFPTSISVNNCSDQDYILKEGDLVKIDLGVHVDGFIANVAHTFVIG VAQGTQVTGRKADVIKAAHLCAEAALRLVKPGNQNTQVTEAWNKVAHSFNCTPIEGMLSH QLKQHVIDGEKTIIQNPTDQQKKDHEKAEFERDPSKQYGLKMKTSRAFFSEVERRFDAMP FTLRAFEDEKKARMGVVECAKHELLQPFNVLYEKE >ENSMUSP00000045126.7 pep:known chromosome:GRCm38:8:74993356:75031972:1 gene:ENSMUSG00000034518.13 transcript:ENSMUST00000041759.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgxb4 description:HMG box domain containing 4 [Source:MGI Symbol;Acc:MGI:1918073] MAYDDSMKKEDCFDGDHSFEDIGLAAGRSQREKKRSYKDFLREEEEVAAQVRSSSKKKSK DSDLFFLGTDTHKKKRKHSPDDYYYGDISTLESSQKKKKKSSPQSPDTAMDLLKAITSPL AAGAKSSKKAGEKSSSSSSHPESRKEHHKKKGNGSSGELSLDDSNAHKSKKMKPLYVNTE TLTLREPDGLKMKLILSPKEKGSSSVEEEAFQYPAQQASLKKPSKKLARDEQGALLLGHE LQSLLKTARKKHKSSSDSHSSPGPEGCGSDVSQLPEPHSANLDITGLDPILVESESSSAG ELEAGELVIDDSYREIKKKKKSKKSKKKKDKDRHKEKRHSRTKRSSAREHGTAREHGLIP GPASSVPTLPLPALHTDGHGEKKKKREDKDRDRERGEKPKKKNMSAYQVFCKEYRVTIVA DHPGIDFGELSKKLAEVWKQLPEKDKLIWKQKAQYLQHKQNKAEATTVKRKASSAEGSMK VRASSVGVLSPQKKSPPTTMLLPASPAKAPETEPIDVAAHLQLLGESLSLIGHRLQETEG MVAVSGSLSVLLDSIICALGPLACLTTQLPELNGCPKQVLSNTLDNIAYIMPGL >ENSMUSP00000133586.1 pep:known chromosome:GRCm38:8:74993679:75031978:1 gene:ENSMUSG00000034518.13 transcript:ENSMUST00000145919.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hmgxb4 description:HMG box domain containing 4 [Source:MGI Symbol;Acc:MGI:1918073] MAYDDSMKKEDCFDGDHSFEDIGLAAGRSQREKKRSYKDFLREEEEVAAQVRSSSKKKSK DSDLFFLGTDTHKKKRKHSPDDYYYGGQWFLF >ENSMUSP00000105566.1 pep:known chromosome:GRCm38:8:75016222:75031913:1 gene:ENSMUSG00000034518.13 transcript:ENSMUST00000109940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgxb4 description:HMG box domain containing 4 [Source:MGI Symbol;Acc:MGI:1918073] MPKKKNMSAYQVFCKEYRVTIVADHPGIDFGELSKKLAEVWKQLPEKDKLIWKQKAQYLQ HKQNKAEATTVKRKASSAEGSMKVRASSVGVLSPQKKSPPTTMLLPASPAKAPETEPIDV AAHLQLLGESLSLIGHRLQETEGMVAVSGSLSVLLDSIICALGPLACLTTQLPELNGCPK QVLSNTLDNIAYIMPGL >ENSMUSP00000029722.6 pep:known chromosome:GRCm38:3:86137940:86142702:-1 gene:ENSMUSG00000028081.6 transcript:ENSMUST00000029722.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps3a1 description:ribosomal protein S3A1 [Source:MGI Symbol;Acc:MGI:1202063] MAVGKNKRLTKGGKKGAKKKVVDPFSKKDWYDVKAPAMFNIRNIGKTLVTRTQGTKIASD GLKGRVFEVSLADLQNDEVAFRKFKLITEDVQGKNCLTNFHGMDLTRDKMCSMVKKWQTM IEAHVDVKTTDGYLLRLFCVGFTKKRNNQIRKTSYAQHQQVRQIRKKMMEIMTREVQTND LKEVVNKLIPDSIGKDIEKACQSIYPLHDVFVRKVKMLKKPKFELGKLMELHGEGGSSGK AAGDETGAKVERADGYEPPVQESV >ENSMUSP00000113902.1 pep:known chromosome:GRCm38:10:61171954:61188836:1 gene:ENSMUSG00000020096.19 transcript:ENSMUST00000122261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbata description:thymus, brain and testes associated [Source:MGI Symbol;Acc:MGI:1923820] MTTEVNQLSEHPLVSPKAEPQPETKPENLPRSHGDVGLQKETVVPGIVDFELIHEELKTT KPQTSQPTPSAYRFGRLSHHSFFSRHHPQPQRVTHIQDIAGKPVCVVRDEFSLSALTQPT FLSRCLMGMPTISVPIGDPQSNRNPQLSTSDTWRKKLKDLASRVTVFTKEIQPKPDEQKE EPPLREPPPREQGAKYSAETGRLIPASSQALTRRNRQGQRVHPSSKDGGVQASILQDQEL LILELLCQILQTDSLRAIQFWLLYAPSKEKDLALGLLQTAVAQLIPQPLSSIPAEKLWNH LQELQEPQETQEAAYSPSLKKTRSPPLPKTDKPEYIGKAQVLLVHPSEDPEEKTTKAES >ENSMUSP00000113253.1 pep:known chromosome:GRCm38:10:61171964:61180683:1 gene:ENSMUSG00000020096.19 transcript:ENSMUST00000121297.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbata description:thymus, brain and testes associated [Source:MGI Symbol;Acc:MGI:1923820] MTTEVNQLSEHPLVSPKAEPQPETKPENLPRSHGDVGLQKETVVPGIVDFELIHEELKTT KPQTSQPTPSAYRFGRLSHHSFFSRHHPQPQRVTHIQDIAGKPVCVVRDEFSLSALTQPT FLSRCLMGMPTISVPIGDPQSNRNPQLSTSDTWRKKLKDLASRVTVFTKEIQPKPDEVGV AQRMEPRKKRPS >ENSMUSP00000036422.5 pep:known chromosome:GRCm38:10:61171986:61188841:1 gene:ENSMUSG00000020096.19 transcript:ENSMUST00000035894.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbata description:thymus, brain and testes associated [Source:MGI Symbol;Acc:MGI:1923820] MTTEVNQLSEHPLVSPKAEPQPETKPENLPRSHGDVGLQKETVVPGIVDFELIHEELKTT KPQTSQPTPSAYRFGRLSHHSFFSRHHPQPQRVTHIQVTGREDLEHSLPLTTSFQLLQAP GVQPMDLTPSADIAGKPVCVVRDEFSLSALTQPTFLSRCLMGMPTISVPIGDPQSNRNPQ LSTSDTWRKKLKDLASRVTVFTKEIQPKPDEQKEEPPLREPPPREQGAKYSAETGRLIPA SSQALTRRNRQGQRVHPSSKDGGVQASILQDQELLILELLCQILQTDSLRAIQFWLLYAP SKEKDLALGLLQTAVAQLIPQPLSSIPAEKLWNHLQELQEPQETQEAAYSPSLKKTRSPP LPKTDKPEYIGKAQVLLVHPSEDPEEKTTKAES >ENSMUSP00000118942.1 pep:known chromosome:GRCm38:10:61174370:61183343:1 gene:ENSMUSG00000020096.19 transcript:ENSMUST00000131879.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbata description:thymus, brain and testes associated [Source:MGI Symbol;Acc:MGI:1923820] MTTEVNQLSEHPLVSPKAEPQPETKPENLPRSHGDVGLQKETVVPGIVDFELIHEELKTT KPQTSQPTPSAYRFGRLSHHSFFSRHHPQPQRVTHIQAPSSSWGPAHGSHSLCRYRWEAC LRGQGRVLSVGLDSAHILIPLSDGDAHHLCPHWGSTVQSEPPAFYF >ENSMUSP00000119957.1 pep:known chromosome:GRCm38:10:61175942:61188839:1 gene:ENSMUSG00000020096.19 transcript:ENSMUST00000126831.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbata description:thymus, brain and testes associated [Source:MGI Symbol;Acc:MGI:1923820] HHPQPQRVTHIQDIAGKPVCVVRDEFSLSALTQPTFLSRCLMGMPTISVPIGDPQSNRNP QLSTSDTWRKKLKDLASRVTVFTKEIQPKPDEKEEPPLREPPPREQGAKYSAETGRLIPA SSQALTRRNRQGQRVHPSSKDGGVQASILQDQELLDS >ENSMUSP00000078227.5 pep:known chromosome:GRCm38:10:61175262:61180679:1 gene:ENSMUSG00000020096.19 transcript:ENSMUST00000079235.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbata description:thymus, brain and testes associated [Source:MGI Symbol;Acc:MGI:1923820] LFLGNVYKGSLAPRRDEVTSPKAEPQPETKPENLPRSHGDVGLQKETVVPGIVDFELIHE ELKTTKPQTSQPTPSAYRFGRLSHHSFFSRHHPQPQRVTHIQVTGREDLEHSLPLTTSFQ LLQAPGVQPMDLTPSADIAGKPVCVVRDEFSLSALTQPTFLSRCLMGMPTISVPIGDPQS NRNPQLSTSDTWRKKLKDLASRVTVFTKEIQPKPDEVGVAQRMEPRKKRPS >ENSMUSP00000121612.2 pep:known chromosome:GRCm38:11:20685084:20727607:-1 gene:ENSMUSG00000049659.15 transcript:ENSMUST00000146722.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aftph description:aftiphilin [Source:MGI Symbol;Acc:MGI:1923012] MEPDIIRMYSSSPPPLDNGAEDDEEDEFGEFGGFSEVSPSGVGFVDFDTPDYTRPKEDFV PSNHFMPIHEYSEDVDSLTSFKSVQNGNDKDITAELSTPVKSQSDVVLSTTSKEMIPSKT LDPSIDGMESLEDLDKVVVQGPSTGQLRSFSPGDFRTDKNIVHQTKQLESCNGEKPPCLE ILTNGFAGLETVNPQGTDDLDNVADSKGSKPLNTCGTECILESAASHATEFADFSTFSQT ERTQLEEIECPVLNDGDTLTIQGNSKGPRVKELNCVKEVTLDGSFEDTGNTEREHQVCVS EIHAVADRGLSVEKQDLQTLQQDEFLNSRIQSEAWSLVDSSENSEAITKERCKMEKNDLF ASKCADLSMDSVKTSDVNEIGSSKEENRKLTNPKSPDPDPTGQNALDDSAASMKNGDSGN GFVTCHDTNEDDFGDFGTANGTTPPFVTSTQDSMSDVTFEDSSEHFLHLSEPGDDFGEFE DTNAVSCQEEMRFTESDLRQTSDGLSEECPLAGESGGKDSKPDSKLKNGQDSEFGDFDSV PNTQGSAFQDSDDFADFSSAGPSQAVDWNAFEDEQKDGCSWAAFGDQQETESHHLKEVWQ SQRTDETMGTLGTPKMHSVSSAASKGAVASGHLQEPGTSVQTALLNRLERIFEACFPSVF VPDVEEEVSSLKHLLETHSSPAKTREALADRGELRGVWTELQDIHDAHGLRYQWGGSHSN KKLLCSLGIDTRNILFTGNKKQPVIVPMYAAGLGMLEPTKEPLKPLSAAEKIASIGQTTV MTPEINTCTSDPFQESLPPVQFDWSSSGLTNPLDASGGSTLLNLDFFGPVDDSSSSSSTI PGVDPELYELTTAKLETSTSSLRVTDAFAKLMSTVEKTSTSTRKPKREEHLSEEAMKVIA SLPDLTFMHAKVLMFPATLTPSMSSQEQADA >ENSMUSP00000036778.5 pep:known chromosome:GRCm38:11:20685084:20741589:-1 gene:ENSMUSG00000049659.15 transcript:ENSMUST00000035350.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aftph description:aftiphilin [Source:MGI Symbol;Acc:MGI:1923012] MEPDIIRMYSSSPPPLDNGAEDDEEDEFGEFGGFSEVSPSGVGFVDFDTPDYTRPKEDFV PSNHFMPIHEYSEDVDSLTSFKSVQNGNDKDITAELSTPVKSQSDVVLSTTSKEMIPSKT LDPSIDGMESLEDLDKVVVQGPSTGQLRSFSPGDFRTDKNIVHQTKQLESCNGEKPPCLE ILTNGFAGLETVNPQGTDDLDNVADSKGSKPLNTCGTECILESAASHATEFADFSTFSQT ERTQLEEIECPVLNDGDTLTIQGNSKGPRVKELNCVKEVTLDGSFEDTGNTEREHQVCVS EIHAVADRGLSVEKQDLQTLQQDEFLNSRIQSEAWSLVDSSENSEAITKERCKMEKNDLF ASKCADLSMDSVKTSDVNEIGSSKEENRKLTNPKSPDPDPTGQNALDDSAASMKNGDSGN GFVTCHDTNEDDFGDFGTANGTTPPFVTSTQDSMSDVTFEDSSEHFLHLSEPGDDFGEFE DTNAVSCQEEMRFTESDLRQTSDGLSEECPLAGESGGKDSKPDSKLKNGQDSEFGDFDSV PNTQGSAFQDSDDFADFSSAGPSQAVDWNAFEDEQKDGCSWAAFGDQQETESHHLKEVWQ SQRTDETMGTLGTPKMHSVSSAASKGAVASGHLQEPGTSVQTALLNRLERIFEACFPSVF VPDVEEEVSSLKHLLETHSSPAKTREALADRGELRGVWTELQDIHDAHGLRYQWGGSHSN KKLLCSLGIDTRNILFTGNKKQPVIVPMYAAGLGMLEPTKEPLKPLSAAEKIASIGQTTV MTPEINTCTSDPFQESLPPVQFDWSSSGLTNPLDGVDPELYELTTAKLETSTSSLRVTDA FAKLMSTVEKTSTSTRKPKREEHLSEEAMKVIASLPDLTFMHAKVLMFPATLTPSMSSQE QADA >ENSMUSP00000135450.1 pep:known chromosome:GRCm38:11:20685716:20727142:-1 gene:ENSMUSG00000049659.15 transcript:ENSMUST00000177014.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aftph description:aftiphilin [Source:MGI Symbol;Acc:MGI:1923012] RTDKNIVHQTKQLESCNGEKPPCLEILTNGFAGLETVNPQGTDDLDNVADSKGSKPLNTC GTECILESAASHATEFADFSTFSQTERTQLEEIECPVLNDGDTLTIQGNSKGPRVKELNC VKEVTLDGSFEDTGNTEREHQVCVSEIHAVADRGLSVEKQDLQTLQQDEFLNSRIQSEAW SLVDSSENSEAITKERCKMEKNDLFASKCADLSMDSVKTSDVNEIGSSKEENRKLTNPKS PDPDPTGQNALDDSAASMKNGDSGNGFVTCHDTNEDDFGDFGTANGTTPPFVTSTQDSMS DVTFEDSSEHFLHLSEPGDDFGEFEDTNAVSCQEEMRFTESDLRQTSDGLSEECPLAGES GGKDSKPDSKLKNGQDSEFGDFDSVPNTQGSAFQDSDDFADFSSAGPSQAVDWNAFEDEQ KDGCSWAAFGDQQETESHHLKEVWQSQRTDETMGTLGTPKMHSVSSAASKGAVASGHLQE PGTSVQTALLNRLERIFEACFPSVFVPDVEEEVSSLKHLLETHSSPAKTREALADRGELR GVWTELQDIHDAHGLRYQWGGSHSNKKLLCSLGIDTRNILFTGNKKQPVIVPMYAAGLGM LEPTKEPLKPLSAAEKIASIGQTTVMTPEINTCTSDPFQESLPPVQFDWSSSGLTNPLDG TSLRRASSTRARAKKATQFRQTSLC >ENSMUSP00000134991.1 pep:known chromosome:GRCm38:11:20685742:20741455:-1 gene:ENSMUSG00000049659.15 transcript:ENSMUST00000177543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aftph description:aftiphilin [Source:MGI Symbol;Acc:MGI:1923012] MEPDIIRMYSSSPPPLDNGAEDDEEDEFGEFGGFSEVSPSGVGFVDFDTPDYTRPKEDFV PSNHFMPIHEYSEDVDSLTSFKSVQNGNDKDITAELSTPVKSQSDVVLSTTSKEMIPSKT LDPSIDGMESLEDLDKVVVQGPSTGQLRSFSPGDFRTDKNIVHQTKQLESCNGEKPPCLE ILTNGFAGLETVNPQGTDDLDNVADSKGSKPLNTCGTECILESAASHATEFADFSTFSQT ERTQLEEIECPVLNDGDTLTIQGNSKGPRVKELNCVKEVTLDGSFEDTGNTEREHQVCVS EIHAVADRGLSVEKQDLQTLQQDEFLNSRIQSEAWSLVDSSENSEAITKERCKMEKNDLF ASKCADLSMDSVKTSDVNEIGSSKEENRKLTNPKSPDPDPTGQNALDDSAASMKNGDSGN GFVTCHDTNEDDFGDFGTANGTTPPFVTSTQDSMSDVTFEDSSEHFLHLSEPGDDFGEFE DTNAVSCQEEMRFTESDLRQTSDGLSEECPLAGESGGKDSKPDSKLKNGQDSEFGDFDSV PNTQGSAFQDSDDFADFSSAGPSQAVDWNAFEDEQKDGCSWAAFGDQQETESHHLKEVWQ SQRTDETMGTLGTPKMHSVSSAASKGAVASGHLQEPGTSVQTALLNRLERIFEACFPSVF VPDVEEEVSSLKHLLETHSSPAKTREALADRGELRGVWTELQDIHDAHGLRYQWGGSHSN KKLLCSLGIDTRNILFTGNKKQPVIVPMYAAGLGMLEPTKEPLKPLSAAEKIASIGQTTV MTPEINTCTSDPFQESLPPVQFDWSSSGLTNPLDGNQKERST >ENSMUSP00000134791.1 pep:known chromosome:GRCm38:11:20686118:20726172:-1 gene:ENSMUSG00000049659.15 transcript:ENSMUST00000176782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aftph description:aftiphilin [Source:MGI Symbol;Acc:MGI:1923012] XEDTNAVSCQEEMRFTESDLRQTSDGLSEECPLAGESGGKDSKPDSKLKNGQDSEFGDFD SVPNTQGSAFQDSDDFADFSSAGPSQAVDWNAFEDEQKDGCSWAAFGDQQETESHHLKEV WQSQRTDETMGTLGTPKMHSVSSAASKGAVASGHLQEPGTSVQGMLEPTKEPLKPLSAAE KIASIGQTTVMTPEINTCTSDPFQESLPPVQFDWSSSGLTNPLDGVDPELYELTTAKLET STSSLRVTDAFAKLMSTVEKTSTSTRKPKREEHLSEEAMKVIASLPDLTFMHA >ENSMUSP00000100568.2 pep:known chromosome:GRCm38:11:29822395:29825668:-1 gene:ENSMUSG00000078157.1 transcript:ENSMUST00000104962.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931440F15Rik description:RIKEN cDNA 4931440F15 gene [Source:MGI Symbol;Acc:MGI:2441689] MDLHTAVYNAAHDGKLPLLQKLLASRGREELEELLGEVAGGGTPLLIAARRGHLDVVEYL VDHCGASVEASGSVHFDGETIEGAPPLWAASAAGHLAVVRSLLHRGASVNRTTCTNSTPL RAACFNGHLDVVRCLVGEHKADLEVANRHGHTCLMISCYKGHREIARYLLERGAQVNRRS AKGNTALHDCAESGSLEILQLLLSCHARMERDGYGMTPLLAASITGHTNIVEYLIQEQPS HEQLSGTELPGEGSSQMAGNHCSTPEDAEQYESCCPTSREAAVEALELLGATYVDKKRDL LGALKHWRRAMELRHQGGGFLPKPEPQQLVLAYDYSREVTTPQELEALITDPDEMRMQAL LIRERILGPSHPDTSYYIRYRGAVYADSGNFERCIRLWKYALDMQQNNLEPLSPMTASSF LSFAELFSYVLQDHSAKGNLGMQLDFADLIGVLSKGVREVERALQLPKEPDDSAQFTKAI AIILHLLYLLEKVECTPRQEHLKHQTVYRLLKCAPRGKNGFTPLHMAVDKETTNVGQYHV GVFPSLQVVKVLLDCGADPDSRDFDNNSPLHIAAQNNCPAIMDALIEAGAHMDATNTFKK TAYELLDSKLLAKSTVQPFNYVTLQCLAARALDRNKVPYKGFIPEELEAFIQLH >ENSMUSP00000141045.1 pep:known chromosome:GRCm38:3:40900196:40902543:-1 gene:ENSMUSG00000074619.5 transcript:ENSMUST00000099123.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700034I23Rik description:RIKEN cDNA 1700034I23 gene [Source:MGI Symbol;Acc:MGI:1920547] MTTNTSTTTTATVARSISSFTDPHHFPSHNHIIRMASASQGHFDAYEALDLTEFAKKQPW WRKLFGQESRSAGEKYSVATQLLIGGVTGWCTGFIFQKVGKLAATAVGGGFFLLQLANHT GYIKVDWSRVEKDMKKAKEQLTIRKSAQIPTEVKSKAEEVVCFVKKNVLVTGGFFGGFLL GMAS >ENSMUSP00000051080.5 pep:known chromosome:GRCm38:11:29743048:30026033:-1 gene:ENSMUSG00000044072.14 transcript:ENSMUST00000058902.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml6 description:echinoderm microtubule associated protein like 6 [Source:MGI Symbol;Acc:MGI:2442895] MADRTAPRCQLRLEWVYGYRGHQCRNNLYYTAGKEVVYFVAGVGVVYNTREHSQKFFLGH NDDIISLALHPDKTLIATGQVGKEPYICIWNSYNVHTVSILKDVHTHGVACLAFDSDGQH LASVGLDAKNTVCIWDWRKGKLLASATGHSDRIFDISWDPYQPNRMVSCGVKHIKFWTLC GNALTAKRGIFGKTGDLQTILCLACAKEDITYSGALNGDIYVWKGLTLVRTIQGAHSAGI FSLYACEEGFATGGRDGCIRLWDTDFKPITKIDLRETEQGYKGLSIRSVCWKADRLLAGT QDSEIFEVIVRERDKPMLILQGHCEGELWALALHPKKPLAVTGSDDRSVRLWSLADHALI ARCNMEEAVRSVSFSPDGSQLALGMKDGSFIVLRVRDMTEVVHIKDRKEVIHEMKFSPDG SYLAVGSNDGPVDVYAVAQRYKKIGECNKSLSFITHIDWSLDSKYLQTNDGAGERLFYKM PSGKPLTSKEEIKGIPWASWTCVRGPEVSGIWPKYTEVIDINSVDANYNSSVLVSGDDFG LVKLFKFPCLKKGAKFRKYVGHSAHVTNVRWSHDFQWVLSTGGADHSVFQWRFIPEAVSN GVLETTPQEGGADSYSEESDSDFSDVPELDSDIEQETQINYDRQVYKEDLPQLKQQSKEK NHAVPFLKREKAPEDSLKLQFIHGYRGYDCRNNLFYTQAGEVVYHIAAVAVVYNRQQHAQ RLYLGHDDDILSLTIHPVKDYVATGQVGRDAAVHVWDTQTLKCLSLLKGHHQRGVCALDF SADGKCLVSVGLDDFHSVVFWDWKKGEKIATTRGHKDKIFVVKCNPQHADKLVTVGIKHI KFWQQAGGGFTSKRGSFGSAGKLETMMCVSYGRMEDLVFSGAATGDIFIWKDVLLLKTVK AHDGPVFAMYALDKGFVTGGKDGIVELWDDMFERCLKTYAIKRTALSTSSKGLLLEDNPS IRAITLGHGHILVGTKNGEILEIDKSGPMTLLVQGHMEGEVWGLAAHPLLPICATVSDDK TLRIWELSSQHRMLAVRKLKKGGRCCAFSPDGKALAVGLNDGSFLVVNADTVEDMLSFHH RKEMISDIKFSKDTGKYLAVASHDNFVDIYNVLTSKRVGICKGASSYITHIDWDSRGKLL QVNSGAKEQLFFEAPRGRKHTIRPSEAEKIEWDTWTCVLGPTCEGIWPAHSDVTDVNAAN LTKDGSLLATGDDFGFVKLFSYPVKGQHARFKKYVGHSAHVTNVRWLHNDSVLLTVGGAD TALMIWTREFVGTQESKLVDSEESDTDAEEDGGYDSDVAREKAIDYTTKIYAVSIREMEG TKPHQQLKEVSMEERPPVSRAAPQPEKLQKNNITKKKKLVEELALDHVFGYRGFDCRNNL HYLNDGADIIFHTAAAGIVQNLSTGSQSFYLEHTDDILCLTVNQHPKYRNVVATSQIGTT PSIHIWDAMTKHTLSMLRCFHTKGVNYINFSATGKLLVSVGVDPEHTITVWRWQEGTKVA SRGGHLERIFVVEFRPDSDTQFVSVGVKHMKFWTLAGSALLYKKGVIGSMEAAKMQTMLS VAFGANNLTFTGAINGDVYVWKEHFLIRLVAKAHTGPVFTMYTTLRDGLIVTGGKERPTK EGGAVKLWDQEMKRCRAFQLETGQLVECVRSVCRGKGKILVGTKDGEIIEVGEKSAASNI LIDGHMEGEIWGLATHPSKDMFISASNDGTARIWDLADKKLLNKVNLGHAARCAAYSPDG EMVAIGMKNGEFVILLVNTLKVWGKKRDRKSAIQDIRISPDNRFLAVGSSEQTVDFYDLT QGTSLNRIGYCKDIPSFVIQMDFSADSKYIQVSTGAYKRQVHEVPLGKQVTEAMVVEKIT WASWTSVLGDEVIGIWPRNADKADVNCACVTHAGLNIVTGDDFGLLKLFDFPCTEKFAKH KRYFGHSAHVTNIRFSSDDKYVVSTGGDDCSVFVWRCL >ENSMUSP00000116197.2 pep:known chromosome:GRCm38:11:29749956:29760049:-1 gene:ENSMUSG00000044072.14 transcript:ENSMUST00000137689.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eml6 description:echinoderm microtubule associated protein like 6 [Source:MGI Symbol;Acc:MGI:2442895] RNVVATSQIGTTPSIHIWDAMTKHTLSMLRCFHTKGVNYINFSATGKLLVSVGVDPEHTI TVWRWQEGNTLGFMLLLQGSPVE >ENSMUSP00000105078.2 pep:known chromosome:GRCm38:11:29809343:29821662:-1 gene:ENSMUSG00000044072.14 transcript:ENSMUST00000109452.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eml6 description:echinoderm microtubule associated protein like 6 [Source:MGI Symbol;Acc:MGI:2442895] XLKLQFIHGLHQYSCFASTIPALFMCCSFNHCASWTCASVTEAMTVETICSTHKLEKWCT TLLQLLWYTTGNSTPRGYTWGTMMTFSA >ENSMUSP00000024984.6 pep:known chromosome:GRCm38:17:25057702:25081181:-1 gene:ENSMUSG00000024168.7 transcript:ENSMUST00000024984.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem204 description:transmembrane protein 204 [Source:MGI Symbol;Acc:MGI:3039635] MTLQKLVATAVLVALVSLILNNAAAFTPNWVYQTLEDGRKRSVGLWKSCWLVDRGKGVTS PGTRTGQVDTHDCEVLGWGSESAGFQESRGTVKLQFDMMRACNLVATAALVVGQITFILG LTGLPLMSPESQCWEEAMAAAFQLASFVLVIGLVTFYRIGPYTNLSWSCYLNIGACLLAT LAAAMLIWNILHRREDCMAPRVIVISRSLTARFRRGLDNDYVESPC >ENSMUSP00000119536.1 pep:known chromosome:GRCm38:17:25057904:25078224:-1 gene:ENSMUSG00000024168.7 transcript:ENSMUST00000153745.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem204 description:transmembrane protein 204 [Source:MGI Symbol;Acc:MGI:3039635] MMRACNLVATAALVVGQITFILGLTGLPLMSPESQCWEEAMAAAFQLASFVLVIGLVTFY RIGPYTNLSWSCYLNIGACLLATLAAAMLIWNILHRREDCMAPRVIVISRSLTARFRRGL DNDYVESPC >ENSMUSP00000115292.1 pep:known chromosome:GRCm38:5:31140654:31154251:-1 gene:ENSMUSG00000107283.3 transcript:ENSMUST00000154241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17 description:MpV17 mitochondrial inner membrane protein [Source:MGI Symbol;Acc:MGI:97138] MALWRAYQRALAAHPWKVQVLTAGSLMGVGDMISQQLVERRGLQQHQAGRTLTMVSLGCG FVGPVVGGWYKVLDHLIPGTTKVHALKKMLLDQGGFAPCFLGCFLPLVGILNGMSAQDNW AKLKRDYPDALITNYYLWPAVQLANFYLVPLHYRLAVVQCVAIVWNSYLSWKAHQF >ENSMUSP00000143843.1 pep:known chromosome:GRCm38:5:31140663:31154222:-1 gene:ENSMUSG00000107283.3 transcript:ENSMUST00000200744.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17 description:MpV17 mitochondrial inner membrane protein [Source:MGI Symbol;Acc:MGI:97138] MALWRAYQRALAAHPWKVQVLTAGSLMGVGDMISQQLVERRGLQQHQAGRTLTMVSLGCG FVGPVVGGWYKVLDHLIPGTTKVHALKKMLLDQGGFAPCFLGCFLPLVGILNGMSAQDNW AKLKRLWPAVQLANFYLVPLHYRLAVVQCVAIVWNSYLSWKAHQF >ENSMUSP00000144324.1 pep:known chromosome:GRCm38:5:31141096:31154193:-1 gene:ENSMUSG00000107283.3 transcript:ENSMUST00000200833.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17 description:MpV17 mitochondrial inner membrane protein [Source:MGI Symbol;Acc:MGI:97138] MALWRAYQRALAAHPWKVQVLTAGSLMGVGDMISQQLVERRGLQQHQAGRTLTMVSLGCG FVGPVVGGWYKVLDHLIPGTTKVHALKKMLLDQGGFAPCFLGCFLPLVGILNGMSAQDNW AKLKRDYPDALITNYYVGCCPVCCYCLELLPILEGTSVLSVPCSMVCTLY >ENSMUSP00000144119.1 pep:known chromosome:GRCm38:5:31141458:31154228:-1 gene:ENSMUSG00000107283.3 transcript:ENSMUST00000202241.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17 description:MpV17 mitochondrial inner membrane protein [Source:MGI Symbol;Acc:MGI:97138] MALWRAYQRALAAHPWKVQVLTAGSLMGVGDMISQQLVERRGLQQHQAGRTLTMVSLGCG FVGPVVGGWYKVLDHLIPGTTKVHALKKMLLDQGGFAPCFLGCFLPLVGILNGMSAQDNW AKLKRDYPDALITNYYVRLWPAVQLANFYLVPLHYRLAVVQCVAIVWNSYLSWKAHQF >ENSMUSP00000144198.1 pep:known chromosome:GRCm38:5:31141516:31154152:-1 gene:ENSMUSG00000107283.3 transcript:ENSMUST00000201353.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17 description:MpV17 mitochondrial inner membrane protein [Source:MGI Symbol;Acc:MGI:97138] MALWRAYQRALAAHPWKVQVLTAGSLMGVGDMISQQLVERRGLQQHQAGRTLTMVSLGCG FVGPVVGGWYKVLDHLIPGTTKVHALKKMLLDQGGFAPCFLGCFLPLVGILNGMSAQDNW AKLKRDYPDALITNYYLWPAVQLANFYLVPLHYRLAVVQCVAIVWNSYLSWKAHQF >ENSMUSP00000144331.1 pep:known chromosome:GRCm38:5:31141541:31154192:-1 gene:ENSMUSG00000107283.3 transcript:ENSMUST00000200864.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17 description:MpV17 mitochondrial inner membrane protein [Source:MGI Symbol;Acc:MGI:97138] MALWRAYQRALAAHPWKVQVLTAGSLMGVGDMISQQLVERRGLQQHQAGRTLTMVSLGCG FVGPVVGGWYKVLDHLIPGTTKVHALKKMLLDQGGFAPCFLGCFLPLVGILNGMSAQDNW AKLKRDYPDALITNYYLWPAVQLANFYLVPLHYRLAVVQCVAIVWNSYLSWKAHQF >ENSMUSP00000144600.1 pep:known chromosome:GRCm38:5:31141609:31146040:-1 gene:ENSMUSG00000107283.3 transcript:ENSMUST00000201171.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17 description:MpV17 mitochondrial inner membrane protein [Source:MGI Symbol;Acc:MGI:97138] XRGLQQHQAGRTLTMVSLGCGFVGPVVGGWYKVLDHLIPGTTKVHALKKMLLDQDYPDAL ITNYYLWPAVQLANFYLVPLHYRLAVVQCVAIVWNSYLSWKAHQF >ENSMUSP00000144593.1 pep:known chromosome:GRCm38:5:31144274:31154221:-1 gene:ENSMUSG00000107283.3 transcript:ENSMUST00000201491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17 description:MpV17 mitochondrial inner membrane protein [Source:MGI Symbol;Acc:MGI:97138] MALWRAYQRALAAHPWKVQVLTAGSLMGVGDMISQQLVERRGLQQHQAGRTLTMVSLGCG FVGPVVGGWYKVLDHLIPGTTKVHALKKMLLDQGGFAPCFLGCFLPLVGILNGMSAQDNW AKLKRDYPDALITNYYLWPAVQLANFYLVPLHYRYGRSLPHP >ENSMUSP00000051239.5 pep:known chromosome:GRCm38:15:98378096:98379197:-1 gene:ENSMUSG00000056184.4 transcript:ENSMUST00000057386.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr283 description:olfactory receptor 283 [Source:MGI Symbol;Acc:MGI:3030117] MRNHSTVPEFILLGLSADAQVQALLFVLFLVIYLLTLMGNLMLLLVVKVDRHLHTPMYFF LGQLSFLDLCHSSVSVPKLLENLLSVKKTISVEGCLAQVFFVFATGGTESCLLAVMAYDR YVAISSPLLYGQVMSRQLCAGLVWGSWSLAFLDAFINILVALNLDFCEAQNIHHFICELP SLYPLSCSDVSASFTTLLCSSFIHFFGNFLLILLSYIRILLTILGISSASGRSKAFSTCS SHLTAVSFFYGSGLLRYLMPNSGSTQELIFSLQYSVITPMLNPLIYSLKNKEVKAAVRRT VTKYLQCFK >ENSMUSP00000103171.1 pep:known chromosome:GRCm38:4:59043753:59138983:-1 gene:ENSMUSG00000038598.9 transcript:ENSMUST00000107547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI481877 description:expressed sequence AI481877 [Source:MGI Symbol;Acc:MGI:2140313] MALNGRTMFPAFKYYAIDYLQEDIIKERLYRDALLLQIPSCLNQDKNIIDDKYRTPWTRA IPVQEMEDNSVLEQWRTRFCVEGVPEKKTVTGVMINGTFEEIVPSSNPNSPPGIENDKLF PSKDYVDDFIPVKCSLYYPGVKAEHQGLLIDEEMIFMNKAMDNHLPTVNGLLSRLKLYLV KDPFLDFKEELSGKDNFTEYFSVQECSEPFVRDFHMAEETFCKKKLPSVFPSGFKSLIST NPKQEILILPPSKLKKPLNSIPKIMDSVDESECFKGDITSKHEFDTEDIKCNSTENLTFA SLCEPECSEPGDLEMPPTHLILPRQHSAVSSLHMGFQTFPFSATCKINLLSAGESANKYC MLWQLGGCRNSWVSFLLTVPRFQEPSSQYSLADMRNIFSVKGDSLVINPAKAKGWRQARL HPIMAETLAHLKAYLCHNGLSSQETKLEIFLPTKVFQLESWLELKSRPLPIVPISEKSTD VHQLHPQKRPIPSSEKEVPHLCLSGESISVKKSKVEANPKNDQEPEARIMQKPENSCVGL GCSSQVPSAESASSSQIQASYDKKQDDLDLLSEFIILRSKHQTFPSEADVDVKSHDHDQN NEFQDKEKYSLTLQEESLVASNSKAPEERCEERTDGVIEIPASDTQCQAYCLLEATANPI LKELVCLCTYPAANWKFATVNFDQTRFFLKEQEKKINDATHPDKNDDRKMTFRHAALLHL LITIRDVLLTCNLDTALGYLSNAKDIYKSVLDSRLDNIWRQLKILQFIKEKRPKSNYKIQ ELQCQILSRLQNQQQMKVLIIIRMDSDGEKHLLIKTLKKIEGLTMTVLRSNDRKKILETT SILKGTNACVVVHNHSIGADFPWSSFSLVVEYNHVGHSCWAEHCQLLDIPFLAFKVAVPD TALQRDALLDGFGGFLLKIPIPYVFFASEGLLNTPEILRLLESNYNITLVERCCCGSLKL FGSTECYVVVTVDEHTAIVVQDLEELHHEKASDNIIMRLMALSFQYSCCWIILYSKETLN SQYHLTEETLRHLAQVYAALVSSGLKSEELDVKLIIAPGVEETALIIRQIADHNLMTSTR DPHEWLDKSWVEVSPSKEEMSLLDFPCINPLVAQLMLHRAPSLHWLLIATPAELQELLPQ VPGKVLKHFCSITSLFKISSPSMTKSSQISSLQEDMNQTDLFISQSSAPIIQEQEEYYPY EDSEGTSSSPVELRATPCMLPSAAPHSQRGCWEDPSCGPDPVQNNPSLMNAESKKVTWPS VPSWSDSESDVFSLARTQVSCEPIMTLTDSQRRGTNGFVNCPEAKGPRNMQVSTPVFLPE NSQSHLHWDFKKNSCRKQIYSFNPSCGTEQTTYNKWYSWKDDFSSNQPECLWDEMEDVTY RNANAGTRETFWRELPAVPSWDSPCASDSNANQRGFKGLDFCQRAGNYLGQRSLPVSSSN WGDYKTPTDLMYSQVPQPKKRRLMYEKVPGRVDGQTRLKFL >ENSMUSP00000085134.1 pep:known chromosome:GRCm38:19:12035808:12036740:-1 gene:ENSMUSG00000067529.1 transcript:ENSMUST00000087831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1423 description:olfactory receptor 1423 [Source:MGI Symbol;Acc:MGI:3031257] MELRNDTRVKEFIFLGLTQSQHLSLVLCLVLCFVYVTTLLGNLLIMIIVTFESRLHTPMY FLLRNLAVLDICFSSITAPKVLVDLLAKKKTISYAKCMTQMFFFHLLGGADIFSLSVMAF DRYMAISKPLHYVTIMSSKRCTALIAASWVGGFVHSIVQISLLLPLPFCGPNVLDTFYCD VPQVLKLACTDTFVLELLMISNNGLVTTLWFIFLLVSYMVILMMLRSQAGEDRRKAISTC TSHITVVTLHFVPCIYVYARPFTALPTDKAISVTFTVISPLLNPLIYTLRNQEMKSAIRR LKRKLTPLEK >ENSMUSP00000009219.2 pep:known chromosome:GRCm38:11:4736892:4746778:-1 gene:ENSMUSG00000009075.2 transcript:ENSMUST00000009219.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp7 description:calcium binding protein 7 [Source:MGI Symbol;Acc:MGI:2183437] MPFHPVTAALMYRGIYTVPNLLSEQRPVDIPEDELEEIREAFKVFDRDGNGFISKQELGT AMRSLGYMPNEVELEVIIQRLDMDGDGQVDFEEFVTLLGPKLSTSGIPEKFHGTDFDTVF WKCDMQKLTVDELKRLLYDTFCEHLSMKDIENIIMTEEESHLGTAEECPVDVETCSNQQI RQTCVRKSLICAFAIAFIISVMLIAANQVLRSGMK >ENSMUSP00000025906.3 pep:known chromosome:GRCm38:19:6910977:6921808:-1 gene:ENSMUSG00000024955.13 transcript:ENSMUST00000025906.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrra description:estrogen related receptor, alpha [Source:MGI Symbol;Acc:MGI:1346831] MSSQVVGIEPLYIKAEPASPDSPKGSSETETEPPVTLASGPAPARCLPGHKEEEDGEGAG SGEQGSGKLVLSSLPKRLCLVCGDVASGYHYGVASCEACKAFFKRTIQGSIEYSCPASNE CEITKRRRKACQACRFTKCLRVGMLKEGVRLDRVRGGRQKYKRRPEVDPLPFPGPFPAGP LAVAGGPRKTAPVNALVSHLLVVEPEKLYAMPDPASPDGHLPAVATLCDLFDREIVVTIS WAKSIPGFSSLSLSDQMSVLQSVWMEVLVLGVAQRSLPLQDELAFAEDLVLDEEGARAAG LGDLGAALLQLVRRLQALRLEREEYVLLKALALANSDSVHIEDAEAVEQLREALHEALLE YEAGRAGPGGGAERRRAGRLLLTLPLLRQTAGKVLAHFYGVKLEGKVPMHKLFLEMLEAM MD >ENSMUSP00000134587.1 pep:known chromosome:GRCm38:19:6912452:6921761:-1 gene:ENSMUSG00000024955.13 transcript:ENSMUST00000173635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrra description:estrogen related receptor, alpha [Source:MGI Symbol;Acc:MGI:1346831] MLKEGVRLDRVRGGRQKYKRRPEVDPLPFPGPFPAGPLAVAGGPRKTAPVNALVSHLLVV EPEKLYAMPDPASPDGHLPAVATLCDLFDREIVVTISWAKSIPGFSSLSLSDQMSVLQSV WMEVLVLGVAQRSLPLQDELAFAEDLVLDEEGARAAGLGDL >ENSMUSP00000133916.1 pep:known chromosome:GRCm38:19:6920079:6921051:-1 gene:ENSMUSG00000024955.13 transcript:ENSMUST00000172975.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrra description:estrogen related receptor, alpha [Source:MGI Symbol;Acc:MGI:1346831] MSSQVVGIEPLYIKAEPASPDSPKGSSETETEPPVTLASGPAPARCLPGHKEEEDGEGAG SGEQGSGKLVLSSLPKRLCLVCGDVASGYHYGVASCEACKAFF >ENSMUSP00000124430.1 pep:known chromosome:GRCm38:3:40894145:40938138:1 gene:ENSMUSG00000037818.16 transcript:ENSMUST00000159774.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd18 description:abhydrolase domain containing 18 [Source:MGI Symbol;Acc:MGI:1915468] MGVSKLDILYRRLLLTKLFIRGWGRPEDLKRLFEFRKMIGNRERCQNLVSSDYPVHIDKV EEQSDCKILDGHFVSPMAHYVPGIMPIESVVARFQFIVPKEWNSRYRPVCIHLAGTGDHH YWRRRTLMARPMIKEARMASLLLENPYYGCRKPKDQVRSSLKNVSDLFVMGGALILESAA LLHWLEREGYGPLGMTGISMGGHMASLAVSNWPKPMPLIPCLSWSTASGVFTTGVLSKSI NWRELEKQYYTQTVYEEEIIHMLEYCGTDSFKMGHEFMNHLPSNADKLTNLNLVSRTLNL DMTDQVVSPKDAKCHKSGKTSISAPSNGQLLQDTAKMECLNQTLSTNKSWFASYNPQSFH LLNREQRRSNRQKESLIFMKGVMDECTHVANFSVPVDPSLIIVVQAKEDAYIPRTGVRSL QEIWPGCEIRYLEGGHISAYLFKQGLFRQAIYDAFERFLHKYAN >ENSMUSP00000145313.1 pep:known chromosome:GRCm38:3:40894263:40917098:1 gene:ENSMUSG00000037818.16 transcript:ENSMUST00000203472.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd18 description:abhydrolase domain containing 18 [Source:MGI Symbol;Acc:MGI:1915468] MAHYVPGIMPIESVVARFQFIVPKEWNSRYRPVCIHLAGTGDHHYWRRRTLMARPMIKEA RMASLLLENPYYILL >ENSMUSP00000145007.1 pep:known chromosome:GRCm38:3:40894277:40938136:1 gene:ENSMUSG00000037818.16 transcript:ENSMUST00000204496.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd18 description:abhydrolase domain containing 18 [Source:MGI Symbol;Acc:MGI:1915468] MGVSKLDILYRRLLLTKLFIRGWGRPEDLKRLFEFRKMIGNRERCQNLVSSDYPVHIDKA PEGSIIQH >ENSMUSP00000144946.1 pep:known chromosome:GRCm38:3:40894289:40917067:1 gene:ENSMUSG00000037818.16 transcript:ENSMUST00000203214.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd18 description:abhydrolase domain containing 18 [Source:MGI Symbol;Acc:MGI:1915468] MGVSKLDILYRRLLLTKLFIRGWGRPEDLKRLFEFRKMIGNRERCQNLVSSDYPVHIDKI PVYRA >ENSMUSP00000145006.1 pep:known chromosome:GRCm38:3:40894306:40923778:1 gene:ENSMUSG00000037818.16 transcript:ENSMUST00000203650.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd18 description:abhydrolase domain containing 18 [Source:MGI Symbol;Acc:MGI:1915468] MAHYVPGIMPIESVVARFQFIVPKEWNSRYRPVCIHLAGTGDHHYWRRRTLMARPMIKEA RMASLLLENPYYGCRKPKDQVRSSLKNVSDLFVMGGALILESAALLHWLEREGYGPLGMT GISMGGHVSF >ENSMUSP00000145095.1 pep:known chromosome:GRCm38:3:40894324:40937154:1 gene:ENSMUSG00000037818.16 transcript:ENSMUST00000205065.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd18 description:abhydrolase domain containing 18 [Source:MGI Symbol;Acc:MGI:1915468] MGVSKLDILYRRLLLTKLFIRGWGRPEDLKRLFEFRKMIGNRERCQNLVSSDYPVHIDKV EEQSDCKILDGHFVSPMAHYVPGIMPIESVVARFQFIVPKEWNSRYRPVCIHLAGTGDHH YWRRRTLMARPMIKEARMASLLLENPYYILL >ENSMUSP00000145150.1 pep:known chromosome:GRCm38:3:40894345:40917420:1 gene:ENSMUSG00000037818.16 transcript:ENSMUST00000203892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd18 description:abhydrolase domain containing 18 [Source:MGI Symbol;Acc:MGI:1915468] MGVSKLDILYRRLLLTKLFIRGWGRPEDLKRLFEFRKMIGNRERCQNLVSSDYPVHIDKV EEQSDCKILDGHFVSPMAHYVPGIMPIESVVARFQFIVPKEWNSRYRPVCIHLAGTGDHH YWRRRTLMARPMIKEARMASLLLENPYYILL >ENSMUSP00000103712.3 pep:known chromosome:GRCm38:3:40894322:40937137:1 gene:ENSMUSG00000037818.16 transcript:ENSMUST00000108077.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd18 description:abhydrolase domain containing 18 [Source:MGI Symbol;Acc:MGI:1915468] MGVSKLDILYRRLLLTKLFIRGWGRPEDLKRLFEFRKMIGNRERCQNLVSSDYPVHIDKV EEQSDCKILDGHFVSPMAHYVPGIMPIESVVARFQFIVPKEWNSRYRPVCIHLAGTGDHH YWRRRTLMARPMIKEARMASLLLENPYYGCRKPKDQVRSSLKNVSDLFVMGGALILESAA LLHWLEREGYGPLGMTGISMGGHMASLAVSNWPKPMPLIPCLSWSTASGVFTTGVLSKSI NWRELEKQYYTQTVYEEEIIHMLEYCGTDSFKMGHEFMNHLPSNADKLTNLNLVSRTLNL DMTDQVVSPKDAKCHKSGKTSISAPSNGQLLQDTAKMECLNQTLSTNKSWFASYNPQSFH LLNREQRRSNRQKESLIFMKGVMDECTHVANFSVPVDPSLIIVVQAKEDAYIPRTGVRSL QEIWPGCEIRYLEGGHISAYLFKQGLFRQAIYDAFERFLHKYAN >ENSMUSP00000103713.2 pep:known chromosome:GRCm38:3:40846970:40936307:1 gene:ENSMUSG00000037818.16 transcript:ENSMUST00000108078.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd18 description:abhydrolase domain containing 18 [Source:MGI Symbol;Acc:MGI:1915468] MGVSKLDILYRRLLLTKLFIRGWGRPEDLKRLFEFRKMIGNRERCQNLVSSDYPVHIDKV EEQSDCKILDGHFVSPMAHYVPGIMPIESVVARFQFIVPKEWNSRYRPVCIHLAGTGDHH YWRRRTLMARPMIKEARMASLLLENPYYGCRKPKDQVRSSLKNVSDLFVMGGALILESAA LLHWLEREGYGPLGMTGISMGGHMASLAVSNWPKPMPLIPCLSWSTASGVFTTGVLSKSI NWRELEKQYYTQTVYEEEIIHMLEYCGTDSFKMGHEFMNHLPSNADKLTNLNLVSRTLNL DMTDQVVSPKDAKCHKSGKTSISAPSNGQLLQDTAKMECLNQTLSTNKSWFASYNPQSFH LLNREQRRSNRQKESLIFMKGVMDECTHVANFSVPVDPSLIIVVQAKEDAYIPRTGVRSL QEIWPGCEIRYLEGGHISAYLFKQGLFRQAIYDAFERFLHKYAN >ENSMUSP00000013497.1 pep:known chromosome:GRCm38:7:43492044:43505938:-1 gene:ENSMUSG00000013353.10 transcript:ENSMUST00000013497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406B18Rik description:RIKEN cDNA 4931406B18 gene [Source:MGI Symbol;Acc:MGI:1921304] MRWGLTSLLLLYLGLLSPVLVDVKKTAMEDGLCSMVPCVIEFYKAPFRNPMAVSYRLNEN ISFRVGTSHPSAPMGDLSTEEVEYCILMTHSMLRRKYMTNSLYVGLGTQKDLTQNPELHI PESSVAGEPVTLSCTIQSTCQEPNALFLSWKGPIMSSNTTISIHPSSALAIELKPEDQGT TLRCHLKLSLDNLSSSKVVKLQLVSPTRLLNYSCLLKRTLTCSCSFHGIPTPLVQWWVGG TPVSVNRIDGILHLTTTTLEPWTNSTIHLIWEPEIIRRLRCEGKNQYGVHASRIFLIPDK SSVSSVFLRGLIQGIVYGAIASALFLFFLVVLVMKMLNWWEENQTCKNKEAPTPVKPVLG GANAAEV >ENSMUSP00000129928.1 pep:known chromosome:GRCm38:7:43493852:43505632:-1 gene:ENSMUSG00000013353.10 transcript:ENSMUST00000168213.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4931406B18Rik description:RIKEN cDNA 4931406B18 gene [Source:MGI Symbol;Acc:MGI:1921304] MRWGLTSLLLLYLGLLSPVLVDVKKTAMEDGLCSMVPCVIEFYKAPFRNPMAVSYRLNEN ISFRVGTSHPSAPMGDLSTEEVEYCILMTHSMLRRKYMTNSLYVGLGTQKVPASRFLPQV PVVIPLNDGV >ENSMUSP00000129888.1 pep:known chromosome:GRCm38:7:43494598:43505927:-1 gene:ENSMUSG00000013353.10 transcript:ENSMUST00000171174.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4931406B18Rik description:RIKEN cDNA 4931406B18 gene [Source:MGI Symbol;Acc:MGI:1921304] MRWGLTSLLLLYLGLLSPVLVDVKKTAMEDGLCSMVPCVIEFYKAPFRNPMAVSYRLNEN ISFRVGTSHPSAPMGDLSTEEVEYCILMTHSMLRRKYMTNSLYVGLGTQKDLTQNPELHI PESSVAGEPVTLSCTIQSTCQEPNALFLSWKGPIMSSNTTISIHPSSALAIELKPEDQGT TLRCHLKLSLDNLSSSKVVKLQLVSPTRLLNYSCLLKRTLTCSCSFHGIPTPLVQWWVGG TPVSVNRIDGILHLTTTTLEPWTNSTIHLIWEPEIIRRLRCEGKNQYGVHASRIFLIPDK SSVSSVFLRGLIQGIVYGAIASALFLFFLVVLVMKMLNWWEENQTCKNKEAPTPVKPVLG GANAAEV >ENSMUSP00000126619.1 pep:known chromosome:GRCm38:7:43496664:43504222:-1 gene:ENSMUSG00000013353.10 transcript:ENSMUST00000163474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406B18Rik description:RIKEN cDNA 4931406B18 gene [Source:MGI Symbol;Acc:MGI:1921304] MRWGLTSLLLLYLGLLSPVLVDVKKTAMEDGLCSMVPCVIEFYKAPFRNPMAVSYRLNEN ISFRVGTSHPSAPMGDLSTEEVEYCILMTHSMLRRKYMTNSLYVGLGTQKAPTRLLNYSC LLKRTLTCSCSFHGIPTPLVQWWVGGTPVSVNRIDGILHLTTTTLEPWTNSTIHLIWEPE IIRRLRCEGKNQYGVHASRIFLIPDKSSVSSVFLRGLIQGIVYGAIASALFLFFLVVLVS P >ENSMUSP00000131071.1 pep:known chromosome:GRCm38:7:43498395:43505940:-1 gene:ENSMUSG00000013353.10 transcript:ENSMUST00000163619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406B18Rik description:RIKEN cDNA 4931406B18 gene [Source:MGI Symbol;Acc:MGI:1921304] MRWGLTSLLLLYLDLTQNPELHIPESSVAGEPVTLSCTIQSTCQEPNALFLSWKGPIMSS NTTISIHPSSALAIELKPEDQGTTLRCHLKLSLDNLSSSKVVKLQLVCECYGYYQGL >ENSMUSP00000129976.1 pep:known chromosome:GRCm38:7:43501239:43505694:-1 gene:ENSMUSG00000013353.10 transcript:ENSMUST00000168883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406B18Rik description:RIKEN cDNA 4931406B18 gene [Source:MGI Symbol;Acc:MGI:1921304] MRWGLTSLLLLYLGLLSPVLVDVKKTAMEDGLCSMV >ENSMUSP00000139468.1 pep:known chromosome:GRCm38:7:43494598:43505927:-1 gene:ENSMUSG00000013353.10 transcript:ENSMUST00000191516.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406B18Rik description:RIKEN cDNA 4931406B18 gene [Source:MGI Symbol;Acc:MGI:1921304] MRWGLTSLLLLYLGLLSPVLVDVKKTAMEDGLCSMVPCVIEFYKAPFRNPMAVSYRLNEN ISFRVGTSHPSAPMGDLSTEEVEYCILMTHSMLRRKYMTNSLYVGLGTQKDLTQNPELHI PESSVAGEPVTLSCTIQSTCQEPNALFLSWKGPIMSSNTTISIHPSSALAIELKPEDQGT TLRCHLKLSLDNLSSSKVVKLQLVSPTRLLNYSCLLKRTLTCSCSFHGIPTPLVQWWVGG TPVSVNRIDGILHLTTTTLEPWTNSTIHLIWEPEIIRRLRCEGKNQYGVHASRIFLIPDK SSVSSVFLRGLIQGIVYGAIASALFLFFLVVLVMKMLNWWEENQTCKNKEAPTPVKPVLG GANAAEV >ENSMUSP00000121239.1 pep:known chromosome:GRCm38:11:49671503:49712723:-1 gene:ENSMUSG00000020362.13 transcript:ENSMUST00000145353.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot6 description:CCR4-NOT transcription complex, subunit 6 [Source:MGI Symbol;Acc:MGI:2144529] MPKEKYEPPDPRRMYTIMSSEEAANGKKSHWAELEISGKVRSLSSSLWSLTHLTALHLSD NSLSCIPSDIAKLHNLVYLDLSHNQIQSLPAELGNMVSLRELHLNYNQLRVLPFELGKLF QLQTLSLKGNPLTQDILNLCLEPDGTRRLLNYLLDNLSGTAKRISTEQPPPRSWIMLQEP DRTRPTALFSVMCYNVLCDKYATRQLYGYCPSWALNWDYRKKAIIQEILSCNADIISLQE VETEQYYSFFLVELKERGYNGFFSPKSRARTMSEQERKHVDGCAIFFKTEKFTLVQKHTV EFNQLAMANSEGSEAMLNRVMTKDNIGVAVLLELRKELIEMSSGKPHLGTEKQLILVANA HMHWDPEYSDVKLVQTMMFLSEVKNIIDKASRSLKSSVLGECGTIPLVLCADLNSLPDSG VVEYLSTGGVETNHKDFKELRYNESLTNFSCNGKNGMTNGRITHGFKLKSAYENGLMPYT NYTFDFKGIIDYIFYSKPQLNTLAILGPLDHHWLVENNISGCPHPLIPSDHFSLFAQLEL LLPFLPQVNGIHLPGRR >ENSMUSP00000020624.6 pep:known chromosome:GRCm38:11:49674713:49712710:-1 gene:ENSMUSG00000020362.13 transcript:ENSMUST00000020624.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot6 description:CCR4-NOT transcription complex, subunit 6 [Source:MGI Symbol;Acc:MGI:2144529] MPKEKYEPPDPRRMYTIMSSEEAANGKKSHWAELEISGKVRSLSSSLWSLTHLTALHLSD NSLSCIPSDIAKLHNLVYLDLSHNQIQSLPAELGNMVSLRELHLNYNQLRVLPFELGKLF QLQTLSLKGNPLTQDILNLCLEPDGTRRLLNYLLDNLSVSTEQPPPRSWIMLQEPDRTRP TALFSVMCYNVLCDKYATRQLYGYCPSWALNWDYRKKAIIQEILSCNADIISLQEVETEQ YYSFFLVELKERGYNGFFSPKSRARTMSEQERKHVDGCAIFFKTEKFTLVQKHTVEFNQL AMANSEGSEAMLNRVMTKDNIGVAVLLELRKELIEMSSGKPHLGTEKQLILVANAHMHWD PEYSDVKLVQTMMFLSEVKNIIDKASRSLKSSVLGECGTIPLVLCADLNSLPDSGVVEYL STGGVETNHKDFKELRYNESLTNFSCNGKNGMTNGRITHGFKLKSAYENGLMPYTNYTFD FKGIIDYIFYSKPQLNTLAILGPLDHHWLVENNISGCPHPLIPSDHFSLFAQLELLLPFL PQVNGIHLPGRR >ENSMUSP00000142807.1 pep:known chromosome:GRCm38:5:128702524:128747334:1 gene:ENSMUSG00000029423.10 transcript:ENSMUST00000200192.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piwil1 description:piwi-like RNA-mediated gene silencing 1 [Source:MGI Symbol;Acc:MGI:1928897] MSHLTPDTKKMTGRARARARGRARGQETVQHVGAAASQQPGYIPPRPQQSPTEGDLVGRG RQRGMVVGATSKSQELQISAGFQELSLAERGGRRRDFHDLGVNTRQNLDHVKESKTGSSG IIVKLSTNHFRLTSRPQWALYQYHIDYNPLMEARRLRSALLFQHEDLIGRCHAFDGTILF LPKRLQHKVTEVFSQTRNGEHVRITITLTNELPPTSPTCLQFYNIIFRRLLKIMNLQQIG RNYYNPSDPIDIPNHRLVIWPGFTTSILQYENNIMLCTDVSHKVLRSETVLDFMFNLYQQ TEEHKFQEQVSKELIGLIVLTKYNNKTYRVDDIDWDQNPKSTFKKADGSEVSFLEYYRKQ YNQEITDLKQPVLVSQPKRRRGPGGTLPGPAMLIPELCYLTGLTDKMRNDFNVMKDLAVH TRLTPEQRQREVGRLIDYIHKDDNVQRELRDWGLSFDSNLLSFSGRILQSEKIHQGGKTF DYNPQFADWSKET >ENSMUSP00000083222.3 pep:known chromosome:GRCm38:5:128736174:128755474:1 gene:ENSMUSG00000029423.10 transcript:ENSMUST00000086056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piwil1 description:piwi-like RNA-mediated gene silencing 1 [Source:MGI Symbol;Acc:MGI:1928897] MTGRARARARGRARGQETVQHVGAAASQQPGYIPPRPQQSPTEGDLVGRGRQRGMVVGAT SKSQELQISAGFQELSLAERGGRRRDFHDLGVNTRQNLDHVKESKTGSSGIIVKLSTNHF RLTSRPQWALYQYHIDYNPLMEARRLRSALLFQHEDLIGRCHAFDGTILFLPKRLQHKVT EVFSQTRNGEHVRITITLTNELPPTSPTCLQFYNIIFRRLLKIMNLQQIGRNYYNPSDPI DIPNHRLVIWPGFTTSILQYENNIMLCTDVSHKVLRSETVLDFMFNLYQQTEEHKFQEQV SKELIGLIVLTKYNNKTYRVDDIDWDQNPKSTFKKADGSEVSFLEYYRKQYNQEITDLKQ PVLVSQPKRRRGPGGTLPGPAMLIPELCYLTGLTDKMRNDFNVMKDLAVHTRLTPEQRQR EVGRLIDYIHKDDNVQRELRDWGLSFDSNLLSFSGRILQSEKIHQGGKTFDYNPQFADWS KETRGAPLISVKPLDNWLLIYTRRNYEAANSLIQNLFKVTPAMGIQMKKAIMIEVDDRTE AYLRALQQKVTSDTQIVVCLLSSNRKDKYDAIKKYLCTDCPTPSQCVVARTLGKQQTVMA IATKIALQMNCKMGGELWRVDMPLKLAMIVGIDCYHDTTAGRRSIAGFVASINEGMTRWF SRCVFQDRGQELVDGLKVCLQAALRAWSGCNEYMPSRVIVYRDGVGDGQLKTLVNYEVPQ FLDCLKSVGRGYNPRLTVIVVKKRVNARFFAQSGGRLQNPLPGTVIDVEVTRPEWYDFFI VSQAVRSGSVSPTHYNVIYDSSGLKPDHIQRLTYKLCHVYYNWPGVIRVPAPCQYAHKLA FLVGQSIHREPNLSLSNRLYYL >ENSMUSP00000142386.1 pep:known chromosome:GRCm38:5:128737490:128754589:1 gene:ENSMUSG00000029423.10 transcript:ENSMUST00000195959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piwil1 description:piwi-like RNA-mediated gene silencing 1 [Source:MGI Symbol;Acc:MGI:1928897] MTGRARARARGRARGQETVQHVGAAASQQPGYIPPRPQQSPTEGDLVGRGRQRGMVVGAT SKSQELQISAGFQELSLAERGGRRRDFHDLGVNTRQNLDHVKESKTGSSGIIVKLSTNHF RLTSRPQWALYQYHIDYNPLMEARRLRSALLFQHEDLIGRCHAFDGTILFLPKRLQHKVT EVFSQTRNGEHVRITITLTNELPPTSPTCLQFYNIIFRRLLKIMNLQQIGRNYYNPSDPI DIPNHRLVIWPGFTTSILQYENNIMLCTDVSHKVLRSETVLDFMFNLYQQTEEHKFQEQV SKELIGLIVLTKYNNKTYRVDDIDWDQNPKSTFKKADGSEVSFLEYYRKQYNQEITDLKQ PVLVSQPKRRRGPGGTLPGPAMLIPELCYLTGLTDKMRNDFNVMKDLAVHTRLTPEQRQR EVGRLIDYIHKDDNVQRELRDWGLSFDSNLLSFSGRILQSEKIHQGGKTFDYNPQFADWS KETRGAPLISVKPLDNWLLIYTRRNYEAANSLIQNLFKVTPAMGIQMKKAIMIEVDDRTE AYLRALQQKVTSDTQIVVCLLSSNRKDKYDAIKKYLCTDCPTPSQCVVARTLGKQQTVMA IATKIALQMNCKMGGELWRVDMPLKLAMIVGIDCYHDTTAGRRSIAGFVASINEGMTRWF SRCVFQDRGQELVDGLKVCLQAALRAWSGCNEYMPSRVIVYRDGVGDGQLKTLVNYEVPQ FLDCLKSVGRGYNPRLTVIVVKKRVNARFFAQSGGRLQNPLPGTVIDVEVTRPEWYDFFI VSQAVRSGSVSPTHYNVIYDSSGLKPDHIQRLTYKLCHVYYNWPVSVLLWTTYPG >ENSMUSP00000030851.6 pep:known chromosome:GRCm38:5:23838944:23844161:-1 gene:ENSMUSG00000028998.6 transcript:ENSMUST00000030851.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm7 description:translocase of outer mitochondrial membrane 7 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1913419] MVKLSKEAKQRLQQLFKGGQFAIRWGFIPLVIYLGFTRGADPGMPEPSVLSLLWG >ENSMUSP00000030142.3 pep:known chromosome:GRCm38:4:57061726:57143437:-1 gene:ENSMUSG00000028434.12 transcript:ENSMUST00000030142.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l4b description:erythrocyte membrane protein band 4.1 like 4b [Source:MGI Symbol;Acc:MGI:1859149] MLRFLRRTFGRRSMQRYARGAAGRGAAGLGDERDGGPRGGPAAAASSSVLPAAPGGSVFP AGGGPLLTGGAAVHISASGAAKATLYCRVFLLDGTEVSVDLPKHAKGQDLFDQIVYHLDL VETDYFGLQFLDSAQVTHWLDHAKPIKKQMKVGPAYALHFRVKYYSSEPNNLREEFTRYL FVLQLRHDILSGKLKCPYETAVELAALCLQAELGECELPEHTPELVSEFRFIPNQTEAME FDIFQRWKEYRGKSPAQAELSYLNKAKWLEMYGVDMHVVRGRDGCEYSLGLTPTGILIFE GANKIGLFFWPKITKMDFKKSKLTLVVVEDDDQGREQEHTFVFRLDSARTCKHLWKCAVE HHAFFRLRTPSNSKSARSDFIRLGSRFRFSGRTEYQATHGSRLRRTSTFERKPSKRYPSR RHSTFKASNPVIAAQLCSKANPEVHNYQPQYHPDVHPSQPRWRPHSPNVSNHSICKQNKP CFQDDRPHWKTSASGDGSHFDYVQDQNQRNLGGAYSVTYRDKLMTAL >ENSMUSP00000092687.3 pep:known chromosome:GRCm38:4:56991972:57143437:-1 gene:ENSMUSG00000028434.12 transcript:ENSMUST00000095076.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l4b description:erythrocyte membrane protein band 4.1 like 4b [Source:MGI Symbol;Acc:MGI:1859149] MLRFLRRTFGRRSMQRYARGAAGRGAAGLGDERDGGPRGGPAAAASSSVLPAAPGGSVFP AGGGPLLTGGAAVHISASGAAKATLYCRVFLLDGTEVSVDLPKHAKGQDLFDQIVYHLDL VETDYFGLQFLDSAQVTHWLDHAKPIKKQMKVGPAYALHFRVKYYSSEPNNLREEFTRYL FVLQLRHDILSGKLKCPYETAVELAALCLQAELGECELPEHTPELVSEFRFIPNQTEAME FDIFQRWKEYRGKSPAQAELSYLNKAKWLEMYGVDMHVVRGRDGCEYSLGLTPTGILIFE GANKIGLFFWPKITKMDFKKSKLTLVVVEDDDQGREQEHTFVFRLDSARTCKHLWKCAVE HHAFFRLRTPSNSKSARSDFIRLGSRFRFSGRTEYQATHGSRLRRTSTFERKPSKRYPSR RHSTFKASNPVIAAQLCSKANPEVHNYQPQYHPDVHPSQPRWRPHSPNVSYPLPSPALSP TERLPFSLEENGGTPFAPKTSGRHHHQHQHQHHSNYGLSLTLENKEAPLRSPNASKALTK LSPGTPALYSEAAAHLKKLELETVKAVAPWPALHININKAEEKKVSEKTLQTPLLPSPVA DHVKCNILKAQLENASRVNAQIGKEEPSFVNINKKSNLQDTNVRSPIPIRVEAAQPAVEK PEIKPPRVRRLTRQYSFDEDDLPPDLAEAVGATTATTTTTTTTTMSATQVSVSLASPKIQ KVSSPQKSEVKSPLSPGAKSPSDHGGSLTLGPGDLLMDFTEATPLAEPASSPHCAHSRCS PPLSLPMKEETTGVCMYRPIKTRLIKTFPAEPVMNPFPDPFTTGPQFPADFRENKLQCCP GQSSPLIPTVTLRPLTETVATVQTIYTSRKPVSLATSAETLRQELEREKMMKRLLMTEL >ENSMUSP00000037625.4 pep:known chromosome:GRCm38:4:56995678:57027676:-1 gene:ENSMUSG00000028434.12 transcript:ENSMUST00000044022.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l4b description:erythrocyte membrane protein band 4.1 like 4b [Source:MGI Symbol;Acc:MGI:1859149] IGKEEPSFVNINKKSNLQDTNVRSPIPIRVEAAQPAVEKPEIKPPRVRRLTRQYSFDEDD LPPDLAEAVGATTATTTTTTTTTMSATQVSVSLASPKIQKVSSPQKSEVKSPLSPGAKSP SDHGGSLTLGPGDLLMDFTEATPLADFRENKLQCCPGQSSPLIPTVTLRPLTETVATVQT IYTSRKPVSLATSAETLRQELEREKMMKRLLMTEL >ENSMUSP00000007251.7 pep:known chromosome:GRCm38:17:35089291:35102987:1 gene:ENSMUSG00000007036.15 transcript:ENSMUST00000007251.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd16a description:abhydrolase domain containing 16A [Source:MGI Symbol;Acc:MGI:99476] MAKLLSCVLGPRLYKIYRERDTDRAASSVPETPTAVPAASSSSWDTYYQPRALEKHADSI LALASVFWSISYYSSPFAFFYLYRKGYLSLSKVVPFSHYAGTLLLLLAGVACLRGIGRWT NPQYRQFITILEATHRNQSAENKRQLANYNFDFRSWPVDFHWEEPSSRKGSRGGPSRRGV ALLRPEPLHRGTADTFLNRVKKLPCQITSYLVAHTLGRRMLYPGSVYLLQKALMPVLLQG QARLVEECNGRRAKLLACDGNEIDTMFVDRRGTAEPQGQKLVICCEGNAGFYEVGCVSTP LEAGYSVLGWNHPGFAGSTGVPFPQNEANAMDVVVQFAIHRLGFQPQDIVIYAWSIGGFT ATWAAMSYPDISAVILDASFDDLVPLALKVMPDSWRALVTRTVRQHLNLNNSEQLCRFQG PVLLVRRTKDEIITTTVPEDIMSNRGNDLLLKLLQFRYPRVMVEEGLRAVRQWLEASSQL EEASIYSRWEVEEDWCVSVLRSYQAEHGPDFPWSVGEDMSADGRRQLALFLARKHLHNFE ATHCTPLPAQHFQMPWHL >ENSMUSP00000133505.1 pep:known chromosome:GRCm38:17:35089314:35102956:1 gene:ENSMUSG00000007036.15 transcript:ENSMUST00000173846.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd16a description:abhydrolase domain containing 16A [Source:MGI Symbol;Acc:MGI:99476] MAKLLSCVLGPRLYKIYRERDTDRAASSVPETPTAVPAASSSSWDTYYQPRALEKHADSI LALVT >ENSMUSP00000082811.4 pep:known chromosome:GRCm38:7:30169922:30180209:1 gene:ENSMUSG00000066647.6 transcript:ENSMUST00000085668.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5113 description:predicted gene 5113 [Source:MGI Symbol;Acc:MGI:3644651] MPGMWEGLQTACAAQATSAIRASTLVTDPWHVRTVESRSSYLIQHQRILMSVRSVRKPLS VSPSSLTIREFIHTRSPAYAGSAEWLLFVVHNLLNITEFILVSNPMSVESVGRPLSVALS SLTTTEFIPTGVLNISGVLIQ >ENSMUSP00000143127.1 pep:known chromosome:GRCm38:9:109270790:109276126:-1 gene:ENSMUSG00000105589.4 transcript:ENSMUST00000198048.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw14 description:F-box and WD-40 domain protein 14 [Source:MGI Symbol;Acc:MGI:1354703] GNKVTIQGYLTASFSLAEDEGTPDHFGVSDKDVIVCSTRFSLLLFDINGLRLQTFHYCPE EIWRLWVDPVHVIVTCDAGSLDIYAWEKRSLLLRKCYRLRNRNYQQMSGLASSVILSSEE AKFGGEHLSS >ENSMUSP00000143404.1 pep:known chromosome:GRCm38:9:109270836:109287676:-1 gene:ENSMUSG00000105589.4 transcript:ENSMUST00000198844.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw14 description:F-box and WD-40 domain protein 14 [Source:MGI Symbol;Acc:MGI:1354703] MEIHLPSVPMMEILSYLDAYSLLQAAQVNKNWNELASSDVLWRKLCQKRWLYCYMFTLPL HGLETWKQFFFNKTWQEHAKTRAKPEDFTYKEFPMEFEFRAHPWYISRHGLTRNGQGKSA VCMTSMNRISTWDIHEGAMTWESPKQPSYIVWMTTLPEMQIAVTIDMQSTIKLWDCHNKE VLASKTGLFFSCKLLQSEFTKDGPIVLVGDACGNLYIFRIPDLHLISKVNVFPYSIDELY FSPQKKWVFLIGHRLNDLTKVFYMSSLLRPSEFSAPVSTVLEVPLIRKVFWTPRREDRIT LMSLILPENITKFDTFDMKLEGIGNKVTIQGYLTASFSLAEDEGTPDHFGVSDKDVIVCS TRFSLLLFDINGLRLQTFHYCPEEIWRLWVDPVHVIVTCDAGSLDIYAWEKRSLLLRKCY RLRNRNYQQMSGYIIKTLCDDMSIISVANNSPKPCCLMAYTLNVCS >ENSMUSP00000107672.4 pep:known chromosome:GRCm38:9:109271126:109287671:-1 gene:ENSMUSG00000105589.4 transcript:ENSMUST00000112041.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw14 description:F-box and WD-40 domain protein 14 [Source:MGI Symbol;Acc:MGI:1354703] MEIHLPSVPMMEILSYLDAYSLLQAAQVNKNWNELASSDVLWRKLCQKRWLYCYMFTLPL HGLETWKQFFFNKTWQEHAKTRAKPEDFTYKEFPMEFEFRAHPWYISRHGLTRNGQGKSA VCMTSMNRISTWDIHEGAMTWESPKQPSYIVWMTTLPEMQIAVTIDMQSTIKLWDCHNKE VLASKTGLFFSCKLLQSEFTKDGPIVLVFYMSSLLRPSEFSAPVSTVLEVPLIRKVFWTP RREDRITLMSLILPENITKFDTFDMKLEGIGNKVTIQGYLTASFSLAEDEGTPDHFGVSD KDVIVCSTRFSLLLFDINGLRLQTFHYCPEEIWRLWVDPVHVIVTCDAGSLDIYAWEKRS LLLRKCYRLRNRNYQQMSGYIIKTLCDDMSIISVANNSPKPCCLMAYTLNVCS >ENSMUSP00000142991.1 pep:known chromosome:GRCm38:9:109285270:109287082:-1 gene:ENSMUSG00000105589.4 transcript:ENSMUST00000198928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw14 description:F-box and WD-40 domain protein 14 [Source:MGI Symbol;Acc:MGI:1354703] MFTLPLHGLETWKQFFFNKTWQEHAKTRAKPEDFTYKEFPMEFEFRAHPWYISRHGLTRN GQGKSAVCMTSMNRIS >ENSMUSP00000050962.6 pep:known chromosome:GRCm38:X:7067519:7078609:1 gene:ENSMUSG00000050089.13 transcript:ENSMUST00000057101.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap4 description:A kinase (PRKA) anchor protein 4 [Source:MGI Symbol;Acc:MGI:102794] MIAYCGTTTMSDDIDWLHSRRGVCKVDLYSPKGQQDQDRKVICFVDVSTLNVEDKDSKGA AGSRSEGELNLETLEEKEIIVIKDTEKQDQSKTEGSVCLFKQAPSDPISVLNWLLNDLQK YALGFQHALSPSASSCKHKVGDLEGDYSKIPSENCYSVYADQVNFDYLNKGPQNLRLEMA ASKNTNNNQSPSNPATKSPSNQRSVATPEGECSMDDLSFYVNRLSSLVIQMARKEIKDKL EGGSKCLHHSMYTSGDKGKTSPRSAVSKIASEMAHEAVELTSSEMRGNGEDCRDGRKTFL YSEMCNKNKCGEKQQMCPKDSKEFADSISKGLMVYANQVASDMMVSVMKTLKVHSCGKPI PACVVLKRVLLKHTKEIVSDLIDSCMKNLHNITGVLMTDSDFVSAVKRNLFNHGKQNAAD IMEAMLKRLVSALLGEKKETKSQSLAYATLKAGTNDPKCKNQSLEFSAMKAEMKGKDKCK SKADPCCKSLTSAERVSEHILKESLTMWNNQKQGNQGKVTNKVCCTSKDEKREKISPSTD SLAKDLIVSALMLIQYHLTQQAKGKDPCEEECPGSSMGYMSQSAQYEKCGGGQSSKSLSM KHFETRGAPGPSTCMKENQLESQKMDMSNMVLSLIQKLLSESPFSCDELTESDNKRCCDP RSSKAAPMAKRPEEQCQDNAELDFISGMKQMNRQFIDQLVESVMKLCLIMAKYSNNGAAL AELEEQAALVGSGSRCGRDAMMSQNYSETPGPEVIVNNQCSTTNLQKQLQAVLQWIAASQ FNVPMLYFMGDDDGQLEKLPEVSAKAAEKGYSVGDLLQEVMKFAKERQLDEAVGNMARKQ LLDWLLANL >ENSMUSP00000111416.1 pep:known chromosome:GRCm38:X:7067520:7078604:1 gene:ENSMUSG00000050089.13 transcript:ENSMUST00000115750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap4 description:A kinase (PRKA) anchor protein 4 [Source:MGI Symbol;Acc:MGI:102794] MSDDIDWLHSRRGVCKVDLYSPKGQQDQDRKVICFVDVSTLNVEDKDSKGAAGSRSEGEL NLETLEEKEIIVIKDTEKQDQSKTEGSVCLFKQAPSDPISVLNWLLNDLQKYALGFQHAL SPSASSCKHKVGDLEGDYSKIPSENCYSVYADQVNFDYLNKGPQNLRLEMAASKNTNNNQ SPSNPATKSPSNQRSVATPEGECSMDDLSFYVNRLSSLVIQMARKEIKDKLEGGSKCLHH SMYTSGDKGKTSPRSAVSKIASEMAHEAVELTSSEMRGNGEDCRDGRKTFLYSEMCNKNK CGEKQQMCPKDSKEFADSISKGLMVYANQVASDMMVSVMKTLKVHSCGKPIPACVVLKRV LLKHTKEIVSDLIDSCMKNLHNITGVLMTDSDFVSAVKRNLFNHGKQNAADIMEAMLKRL VSALLGEKKETKSQSLAYATLKAGTNDPKCKNQSLEFSAMKAEMKGKDKCKSKADPCCKS LTSAERVSEHILKESLTMWNNQKQGNQGKVTNKVCCTSKDEKREKISPSTDSLAKDLIVS ALMLIQYHLTQQAKGKDPCEEECPGSSMGYMSQSAQYEKCGGGQSSKSLSMKHFETRGAP GPSTCMKENQLESQKMDMSNMVLSLIQKLLSESPFSCDELTESDNKRCCDPRSSKAAPMA KRPEEQCQDNAELDFISGMKQMNRQFIDQLVESVMKLCLIMAKYSNNGAALAELEEQAAL VGSGSRCGRDAMMSQNYSETPGPEVIVNNQCSTTNLQKQLQAVLQWIAASQFNVPMLYFM GDDDGQLEKLPEVSAKAAEKGYSVGDLLQEVMKFAKERQLDEAVGNMARKQLLDWLLANL >ENSMUSP00000111417.1 pep:known chromosome:GRCm38:X:7068213:7078591:1 gene:ENSMUSG00000050089.13 transcript:ENSMUST00000115751.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap4 description:A kinase (PRKA) anchor protein 4 [Source:MGI Symbol;Acc:MGI:102794] MSDDIDWLHSRRGVCKVDLYSPKGQQDQDRKVICFVDVSTLNVEDKDSKGAAGSRSEGEL NLETLEEKEIIVIKDTEKQDQSKTEGSVCLFKQAPSDPISVLNWLLNDLQKYALGFQHAL SPSASSCKHKVGDLEGDYSKIPSENCYSVYADQVNFDYLNKGPQNLRLEMAASKNTNNNQ SPSNPATKSPSNQRSVATPEGECSMDDLSFYVNRLSSLVIQMARKEIKDKLEGGSKCLHH SMYTSGDKGKTSPRSAVSKIASEMAHEAVELTSSEMRGNGEDCRDGRKTFLYSEMCNKNK CGEKQQMCPKDSKEFADSISKGLMVYANQVASDMMVSVMKTLKVHSCGKPIPACVVLKRV LLKHTKEIVSDLIDSCMKNLHNITGVLMTDSDFVSAVKRNLFNHGKQNAADIMEAMLKRL VSALLGEKKETKSQSLAYATLKAGTNDPKCKNQSLEFSAMKAEMKGKDKCKSKADPCCKS LTSAERVSEHILKESLTMWNNQKQGNQGKVTNKVCCTSKDEKREKISPSTDSLAKDLIVS ALMLIQYHLTQQAKGKDPCEEECPGSSMGYMSQSAQYEKCGGGQSSKSLSMKHFETRGAP GPSTCMKENQLESQKMDMSNMVLSLIQKLLSESPFSCDELTESDNKRCCDPRSSKAAPMA KRPEEQCQDNAELDFISGMKQMNRQFIDQLVESVMKLCLIMAKYSNNGAALAELEEQAAL VGSGSRCGRDAMMSQNYSETPGPEVIVNNQCSTTNLQKQLQAVLQWIAASQFNVPMLYFM GDDDGQLEKLPEVSAKAAEKGYSVGDLLQEVMKFAKERQLDEAVGNMARKQLLDWLLANL >ENSMUSP00000141278.1 pep:known chromosome:GRCm38:3:92604718:92607492:-1 gene:ENSMUSG00000103523.1 transcript:ENSMUST00000195278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210017I01Rik description:RIKEN cDNA 2210017I01 gene [Source:MGI Symbol;Acc:MGI:3588251] MSSQQHQQKCKLSANCPPKCPQQGPQVPTSCLPLSAPPAPACCVSTCYISGLGSSCSLIS HRFPRFYLRQPQSSECPEKEAAECSSCCHNPGNCS >ENSMUSP00000060424.6 pep:known chromosome:GRCm38:15:95623563:95655960:-1 gene:ENSMUSG00000045608.6 transcript:ENSMUST00000054244.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbx2 description:developing brain homeobox 2 [Source:MGI Symbol;Acc:MGI:107445] MDGPDTPSIPVLKTLSGSADRSRTLPEEPLRAKDSPLSPDPVRALSLSLRTRSPRLPGQR ARTMLPSAVAAQAGAYWDVVASSALFGLPAPGFGSLGKSFLIENLLRAGAGPTHAPPPPR PAPGPECPQLRPLPASPVPLKLCPAGPFGVRWAFQMPPGRAPGERDSAFQPSAPVPSKPF LLSAPPFYSACCGGSCRRPASPTAFSREEHGLPLLTQDSNSKARRGILRRAVFSEEQRKA LEKMFQKQKYISKTDRRKLAVSLGLKESQVKIWFQNRRMKWRNSKEKEVLSSRCLQEVSL QEDRLARPAVGCPPQCPSIWEVSQPHSSPSWREETPESAERLTQENSGVLEADSLRGTLY LCPEKGPRDKHGLQSTI >ENSMUSP00000102366.2 pep:known chromosome:GRCm38:7:107781544:107801208:-1 gene:ENSMUSG00000048236.8 transcript:ENSMUST00000106755.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ovch2 description:ovochymase 2 [Source:MGI Symbol;Acc:MGI:3045251] MPISKDKLILILGMVCLEQGHSETLSSIRNPDCGQSLVKPQPQNYFSLFSRIVGGSQVEK GSYPWQVSLKQKQKHICGGTIISSQWVITAAHCMANRNIALTLNVTAGEHDLSQAEPGEQ TLAIETIIIHPQFSTRKPMIYDIALLKMAGTFQFGQFVRPVCLPEPGEHFNAGFICTTAG WGRLSEGGRLPQVLQQVNLPILTQEECEAVLLTLKNPITGKTFLCTGSPDGGRDACQGDS GGSLMCQNRKGAWTLAGVTSWGLGCGRSWRNNARKKEQGSPGIFTDLRRVLPWILKHIQT GHRRKSTKALCSEPDGLISGSEGELHFPESLHLYYESKQLCVWTFLVPEDMHMLLNLSHL DIESCHHNYLAMYSLEDRLVGKFCGENLPSSILIGSSSIRLRFISDATDYATGFNLTYKA LKPRYHPDSGCRSLTILFEEGTIQSLHYPEDYSNMASCTWIFQAPNYCLIKLSFQSLEIE ENGDCSSDYVTVHSDVEKEKEIARFCDYVIPSPVLSSSSVMLISFQSDENGTARGFQADI SFISRADLNISISEDESVPLETWDLPPGAMEIFDAERDTHTKPPYEEDIGEMPAIDSGLL KQGERRGKH >ENSMUSP00000027377.8 pep:known chromosome:GRCm38:1:72857932:72874884:-1 gene:ENSMUSG00000026185.8 transcript:ENSMUST00000027377.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp5 description:insulin-like growth factor binding protein 5 [Source:MGI Symbol;Acc:MGI:96440] MVISVVLLLLAAYAVPAQGLGSFVHCEPCDEKALSMCPPSPLGCELVKEPGCGCCMTCAL AEGQSCGVYTERCAQGLRCLPRQDEEKPLHALLHGRGVCLNEKSYGEQTKIERDSREHEE PTTSEMAEETYSPKVFRPKHTRISELKAEAVKKDRRKKLTQSKFVGGAENTAHPRVIPAP EMRQESEQGPCRRHMEASLQEFKASPRMVPRAVYLPNCDRKGFYKRKQCKPSRGRKRGIC WCVDKYGMKLPGMEYVDGDFQCHAFDSSNVE >ENSMUSP00000023806.6 pep:known chromosome:GRCm38:15:102150526:102163474:1 gene:ENSMUSG00000023045.12 transcript:ENSMUST00000023806.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Soat2 description:sterol O-acyltransferase 2 [Source:MGI Symbol;Acc:MGI:1332226] MQPKVPQLRRREGLGEEQEKGARGGEGNARTHGTPDLVQWTRHMEAVKTQFLEQAQRELA ELLDRALWEAMQAYPKQDRPLPSAAPDSTSKTQELHPGKRKVFITRKSLIDELMEVQHFR TIYHMFIAGLCVLIISTLAIDFIDEGRLMLEFDLLLFSFGQLPLALMTWVPMFLSTLLVP YQTLWLWARPRAGGAWMLGASLGCVLLAAHAVVLCVLPVHVSVRHELPPASRCVLVFEQV RLLMKSYSFLRETVPGIFCVRGGKGISPPSFSSYLYFLFCPTLIYRETYPRTPSIRWNYV AKNFAQVLGCLLYACFILGRLCVPVFANMSREPFSTRALLLSILHATGPGIFMLLLIFFA FLHCWLNAFAEMLRFGDRMFYRDWWNSTSFSNYYRTWNVVVHDWLYSYVYQDGLWLLGRR ARGVAMLGVFLVSAVVHEYIFCFVLGFFYPVMLMLFLVFGGLLNFTMNDRHTGPAWNILM WTFLFMGQGIQVSLYCQEWYARRHCPLPQTTFWGMVTPRSWSCHP >ENSMUSP00000124628.1 pep:known chromosome:GRCm38:15:102151116:102163132:1 gene:ENSMUSG00000023045.12 transcript:ENSMUST00000160465.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Soat2 description:sterol O-acyltransferase 2 [Source:MGI Symbol;Acc:MGI:1332226] XTHGTPDLVQWTRHMEAVKTQFLEQAQRELAELLDRALWEAMQAYPKQDRPLPSAAPDST SKTQELHPGKRKVFITRKSLIDELMEVQHFRTIYHMFIAGLCVLIISTLAIDFIDEGRLM LEFDLLLFSFGQLPLALMTWVPMFLSTLLVPYQTLWLWARPRAGGAWMLGASLGCVLLAA HAVVLCVLPVHVSVRHELPPASRCVLVFEQVRLLMKSYSFLRETVPGIFCVRGGEGISPP SFSSYLYFLFCPTLIYRETYPRSWAVCSMPASSWAASVSLSLPT >ENSMUSP00000003183.5 pep:known chromosome:GRCm38:7:17004640:17027916:-1 gene:ENSMUSG00000003099.11 transcript:ENSMUST00000003183.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp5c description:protein phosphatase 5, catalytic subunit [Source:MGI Symbol;Acc:MGI:102666] MAMAEGERTECAETPRDEPPADGTLKRAEELKTQANDYFKAKDYENAIKFYSQAIELNPG NAIYYGNRSLAYLRTECYGYALGDATRAIELDKKYIKGYYRRAASNMALGKFRAALRDYE TVVKVKPNDKDAKMKYQECSKIVKQKAFERAIAGDEHRRSVVDSLDIESMTIEDEYSGPK LEDGKVTITFMKDLMQWYKDQKKLHRKCAYQILVQVKEVLCKLSTLVETTLKETEKITVC GDTHGQFYDLLNIFELNGLPSETNPYIFNGDFVDRGSFSVEVILTLFGFKLLYPDHFHLL RGNHETDNMNQIYGFEGEVKAKYTAQMYELFSEVFEWLPLAQCINGKVLIMHGGLFSEDG VTLDDIRKIERNRQPPDSGPMCDLLWSDPQPQNGRSVSKRGVSCQFGPDVTKAFLEENQL DYIIRSHEVKAEGYEVAHGGRCVTVFSAPNYCDQMGNKASYIHLQGSDLRPQFHQFTAVP HPNVKPMAYANTLLQLGMM >ENSMUSP00000122783.1 pep:known chromosome:GRCm38:7:17004753:17027809:-1 gene:ENSMUSG00000003099.11 transcript:ENSMUST00000142597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp5c description:protein phosphatase 5, catalytic subunit [Source:MGI Symbol;Acc:MGI:102666] XMAEGERTECAETPRDEPPADGTLKRAEELKTQANDYFKAKDYENAIKFYSQAIELNPGN AIYYGNRSLAYLRTECYGYALGDATRAIELDKKYIKGYYRRAASNMALGKFRAALRDYET VVKVKPNDKDAKMKYQECSKIVKQKAFERAIAGDEHRRSVVDSLDIESMTIEDEYSGPKL EDGKVTITFMKDLMQWYKDQKKLHRKCAYQTEKITVCGDTHGQFYDLLNIFELNGLPSET NPYIFNGDFVDRGSFSVEVILTLFGFKLLYPDHFHLLRGNHETDNMNQIYGFEGEVKAKY TAQMYELFSEVFEWLPLAQCINGKVLIMHGGLFSEDGVTLDDIRKIERNRQPPDSGPMCD LLWSDPQPQNGRSVSKRGVSCQFGPDVTKAFLEENQLDYIIRSHEVKAEGYEVAHGGRCV TVFSAPNYCDQMGNKASYIHLQGSDLRPQFHQFTAVPHPNVKPMAYANTLLQLGMM >ENSMUSP00000036696.7 pep:known chromosome:GRCm38:13:31819579:32338712:-1 gene:ENSMUSG00000038372.13 transcript:ENSMUST00000041859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmds description:GDP-mannose 4, 6-dehydratase [Source:MGI Symbol;Acc:MGI:1891112] MAQAPAKCPSYPGSGDGEMGKLRKVALITGITGQDGSYLAEFLLEKGYEVHGIVRRSSSF NTGRIEHLYKNPQAHIEGNMKLHYGDLTDSTCLVKIINEVKPTEIYNLGAQSHVKISFDL AEYTADVDGVGTLRLLDAIKTCGLINSVKFYQASTSELYGKVQEIPQKETTPFYPRSPYG AAKLYAYWIVVNFREAYNLFAVNGILFNHESPRRGANFVTRKISRSVAKIYLGQLECFSL GNLDAKRDWGHAKDYVEAMWLMLQNDEPEDFVIATGEVHSVREFVEKSFMHIGKTIVWEG KNENEVGRCKETGKVHVTVDLKYYRPTEVDFLQGDCSKAQQKLNWKPRVAFDELVREMVQ ADVELMRTNPNA >ENSMUSP00000136223.1 pep:known chromosome:GRCm38:13:32225232:32338624:-1 gene:ENSMUSG00000038372.13 transcript:ENSMUST00000179717.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gmds description:GDP-mannose 4, 6-dehydratase [Source:MGI Symbol;Acc:MGI:1891112] MAQAPAKCPSYPGSGDGEMGKLRKVALITGITGQDGSYLAEFLLEKGSME >ENSMUSP00000138112.1 pep:known chromosome:GRCm38:10:59987409:60003135:-1 gene:ENSMUSG00000020107.10 transcript:ENSMUST00000182898.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc16 description:anaphase promoting complex subunit 16 [Source:MGI Symbol;Acc:MGI:1289325] MAASSSSSSAGGVSGSSVTGSGFSVSDLAPPRKALFTYPKGAGEMLEDGSERFLCESVFS YQVASTLKQVKHDQQVARMEKLAGLVEELEADEWRFKPIEQLLGFTPSSG >ENSMUSP00000020307.4 pep:known chromosome:GRCm38:10:59987908:60003111:-1 gene:ENSMUSG00000020107.10 transcript:ENSMUST00000020307.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc16 description:anaphase promoting complex subunit 16 [Source:MGI Symbol;Acc:MGI:1289325] MSARSSLSYTNEERLLLEGMAASSSSSSAGGVSGSSVTGSGFSVSDLAPPRKALFTYPKG AGEMLEDGSERFLCESVFSYQVASTLKQVKHDQQVARMEKLAGLVEELEADEWRFKPIEQ LLGFTPSSG >ENSMUSP00000138227.1 pep:known chromosome:GRCm38:10:59988423:60002995:-1 gene:ENSMUSG00000020107.10 transcript:ENSMUST00000182116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc16 description:anaphase promoting complex subunit 16 [Source:MGI Symbol;Acc:MGI:1289325] MEKLAGLVEELEADEWRFKPIEQLLGFTPSSG >ENSMUSP00000138384.1 pep:known chromosome:GRCm38:10:59988479:60003086:-1 gene:ENSMUSG00000020107.10 transcript:ENSMUST00000182912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc16 description:anaphase promoting complex subunit 16 [Source:MGI Symbol;Acc:MGI:1289325] MEKLAGLVEELEADEWRFKPIEQLLGFTPSSG >ENSMUSP00000138579.1 pep:known chromosome:GRCm38:10:59988586:60002769:-1 gene:ENSMUSG00000020107.10 transcript:ENSMUST00000182152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc16 description:anaphase promoting complex subunit 16 [Source:MGI Symbol;Acc:MGI:1289325] MSARSSLSYTNEERLLLEGMAASSSSSSAGGVSGSSVTGSGFSVSDLAPPRKALFTYPKG AGEMLEDGSERFLCESVFSYQVASTLKQVKHDQQVARMEKLAGLVEELEADEWRFKPIEQ LLGFTPSSG >ENSMUSP00000002080.5 pep:known chromosome:GRCm38:X:73793359:73824969:-1 gene:ENSMUSG00000002006.12 transcript:ENSMUST00000002080.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd4 description:PDZ domain containing 4 [Source:MGI Symbol;Acc:MGI:2443483] MGCNMCVVQKPEEQYKVMLQVNGKELSKLSQEQTLEALRASKEPLVIQVLRRSPRLRGDS SCHDLQLVDSGTQTDITFEHIMALGKLRPPTPPMGILEPYVLSELPPISHEYYDPAEFME GGPQEAERMDELEYEEVELCKNSHQDKLGLMVCYRTDEEEDLGIYVGEVNPNSIAAKDGR IREGDRIIQINGMDVQNREEAVAILSQEENTNISLLVARPESQLAKRWKDSDRDDFLDDF GSENEGDLRARKLKSPPVQQIGNDEKGAPDGGPGLNNSQDLDSGVGRTDESTRNEESSEH DLLGDEPPSTTNTPGSLRKFGLQGDALQSRDFHFSMDSLLAEGAGLGGADLPGLTDEEYE RYRELLEIKCHLENGNQLGIFFSRASSGNSALDVNRNESLGHEMAMLEEELRHLEFKCRN ILRAQKMQQLRERCMKAWLLEEESLYDLAASEPKKHELSDISELPEKSDKDSTSAYNTGE SCRSTPLLVEPLPESPLKRSGAGNSNLNRTPSGPPVTTHLKGAPSPGSPAKFRSLSRDPE VGRRQHTEERVRRSTKTSVTLERVGPEGSPYLSRRHRGQEIEQYHSCVQLAPPRTLEDLG HGSLSLASGPRVGGVAAAAVEAPRMEWKVKVRSDGTRYVAKRPVRDRLLKARALKIREER SGMTTDDDAVSEMKMGRYWSKEERKQHLIRAREQRKRREFMMQSRLECLREQQNGDSKPE LNIIALSHRKTMKKRNKKILDNWITIQEMLAHGARSADGKRIYNPLLSVTTV >ENSMUSP00000110081.2 pep:known chromosome:GRCm38:X:73793359:73824969:-1 gene:ENSMUSG00000002006.12 transcript:ENSMUST00000114438.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd4 description:PDZ domain containing 4 [Source:MGI Symbol;Acc:MGI:2443483] MGCNMCVVQKPEEQYKVMLQEVELCKNSHQDKLGLMVCYRTDEEEDLGIYVGEVNPNSIA AKDGRIREGDRIIQINGMDVQNREEAVAILSQEENTNISLLVARPESQLAKRWKDSDRDD FLDDFGSENEGDLRARKLKSPPVQQIGNDEKGAPDGGPGLNNSQDLDSGVGRTDESTRNE ESSEHDLLGDEPPSTTNTPGSLRKFGLQGDALQSRDFHFSMDSLLAEGAGLGGADLPGLT DEEYERYRELLEIKCHLENGNQLGIFFSRASSGNSALDVNRNESLGHEMAMLEEELRHLE FKCRNILRAQKMQQLRERCMKAWLLEEESLYDLAASEPKKHELSDISELPEKSDKDSTSA YNTGESCRSTPLLVEPLPESPLKRSGAGNSNLNRTPSGPPVTTHLKGAPSPGSPAKFRSL SRDPEVGRRQHTEERVRRSTKTSVTLERVGPEGSPYLSRRHRGQEIEQYHSCVQLAPPRT LEDLGHGSLSLASGPRVGGVAAAAVEAPRMEWKVKVRSDGTRYVAKRPVRDRLLKARALK IREERSGMTTDDDAVSEMKMGRYWSKEERKQHLIRAREQRKRREFMMQSRLECLREQQNG DSKPELNIIALSHRKTMKKRNKKILDNWITIQEMLAHGARSADGKRIYNPLLSVTTV >ENSMUSP00000113673.1 pep:known chromosome:GRCm38:7:16197147:16222037:-1 gene:ENSMUSG00000006019.15 transcript:ENSMUST00000121123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx34 description:DEAH (Asp-Glu-Ala-His) box polypeptide 34 [Source:MGI Symbol;Acc:MGI:1918973] MPPPRTREGRGHRDRDHHRAPREEEAPEKWDWNCPETRCLLEDVFFRDEDYIRRGSEECQ KFWAFFERLQRFQHLKTSQKKEKDPGMPKHGIAALADLPLTYDPRYRINLSILSPDTRGR HGPGRGLPPERVSEFRRALLHYLDFQQKQAFGRLVKLQRERAALPIAQYGNRILQTLKEH QVVVVAGDTGCGKSTQVPQYLLAAGFSHVACTQPRRIACISLAKRVGFESLSQYGSQVGY QIRFESTRSAATKIVFLTVGLLLRQIQREPSLPQYQVLIVDEVHERHLHNDFLLGVLQRL LPQRPDLKVILMSATINISLFSSYFSHAPVVQVPGRLFPITVVYQPQEADQTASKSEKLD PRPFLRVLEAIDNKYPPEERGDLLVFLSGMAEITTVLDAAQAYASLTQRWVVLPLHSALS VSDQDKVFDVAPAGVRKCILSTNIAETSVTIDGIRFVVDSGKVKEMSYDPQAKLQRLQEF WISQASAEQRKGRAGRTGPGVCYRLYAESDYDAFAPYPVPEIRRVALDALVLQMKSMSVG DPRTFPFIEPPPPASVETAILYLQEQGALDSSEALTPIGSLLAQLPVDVVIGKMLILGSM FSLAEPVLTIAAALSVQSPFTRSAQSNLDCATARRPLESDQGDPFTLFNVFNAWVQVKSE RSGNSRKWCRRRGVEEHRLYEMANLRRQFKELLEDHGLLSGAQVVAPGDSYSRLQQRRER RALHQLKRQHEEGGGRRRKVLRLQEDGCSSDEEDREGSTSQRADSVDIQDVKFKLRHNLE QLQAAASSAQDLTRDQLALLKLVLGRGLYPQLAVPDAFNSGRKDSDQIFHTQAKQGTVLH PTCVFANSPEVLHTQGQEASGQEGSQDGRDQMSCKHQLLAFVSLLETNKPYLVNCVRIPA LQSLLLFSRSIDTNGDCSRLVADGWLELQLADSESAVRLLATSLRLRVHWESALDRQLAR QAQRRKLEQEEDMGSPAVSPQEVAALSRELLQFMVAKVPYRLRRLTGLEAQNLYVGPQTI TTAPSLPGLFGNSTLSPHPTKGGYAVSDYLTYNCLTSDTDLYSDCLRSFWTCPHCGLHMP FTPLERIAHENTCPEAPGDDPGKSEAAPAPPQKTSALQRPYHCQVCGQDFLFTPTEVLRH RRQHV >ENSMUSP00000112865.1 pep:known chromosome:GRCm38:7:16197221:16221993:-1 gene:ENSMUSG00000006019.15 transcript:ENSMUST00000118795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx34 description:DEAH (Asp-Glu-Ala-His) box polypeptide 34 [Source:MGI Symbol;Acc:MGI:1918973] MPPPRTREGRGHRDRDHHRAPREEEAPEKWDWNCPETRCLLEDVFFRDEDYIRRGSEECQ KFWAFFERLQRFQHLKTSQKKEKDPGMPKHGIAALADLPLTYDPRYRINLSILSPDTRGR HGPGRGLPPERVSEFRRALLHYLDFQQKQAFGRLVKLQRERAALPIAQYGNRILQTLKEH QVVVVAGDTGCGKSTQVPQYLLAAGFSHVACTQPRRIACISLAKRVGFESLSQYGSQVGY QIRFESTRSAATKIVFLTVGLLLRQIQREPSLPQYQVLIVDEVHERHLHNDFLLGVLQRL LPQRPDLKVILMSATINISLFSSYFSHAPVVQVPGRLFPITVVYQPQEADQTASKSEKLD PRPFLRVLEAIDNKYPPEERGDLLVFLSGMAEITTVLDAAQAYASLTQRWVVLPLHSALS VSDQDKVFDVAPAGVRKCILSTNIAETSVTIDGIRFVVDSGKVKEMSYDPQAKLQRLQEF WISQASAEQRKGRAGRTGPGVCYRLYAESDYDAFAPYPVPEIRRVALDALVLQMKSMSVG DPRTFPFIEPPPPASVETAILYLQEQGALDSSEALTPIGSLLAQLPVDVVIGKMLILGSM FSLAEPVLTIAAALSVQSPFTRSAQSNLDCATARRPLESDQGDPFTLFNVFNAWVQVKSE RSGNSRKWCRRRGVEEHRLYEMANLRRQFKELLEDHGLLSGAQVVAPGDSYSRLQQRRER RALHQLKRQHEEGGGRRRKVLRLQEDGCSSDEEDREGSTSQRADSVDIQDVKFKLRHNLE QLQAAASSAQDLTRDQLALLKLVLGRGLYPQLAVPDAFNSGRKDSDQIFHTQAKQGTVLH PTCVFANSPEVLHTQGQEASGQEGSQDGRDQMSCKHQLLAFVSLLETNKPYLVNCVRIPA LQSLLLFSRSIDTNGDCSRLVADGWLELQLADSESAVRLLATSLRLRVHWESALDRQLAR QAQRRKLEQEEDMGSPAVSPQEVAALSRELLQFMVAKVPYRLRRLTGLEAQNLYVGPQTI TTAPSLPGLFGNSTLSPHPTKGGYAVSDYLTYNCLTSDTDLYSDCLRSFWTCPHCGLHMP FTPLERIAHENTCPEAPGDDPGKSEAAPAPPQKTSALQRPYHCQVCGQDFLFTPTEVLRH RRQHV >ENSMUSP00000113393.1 pep:known chromosome:GRCm38:7:16197221:16221993:-1 gene:ENSMUSG00000006019.15 transcript:ENSMUST00000119102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx34 description:DEAH (Asp-Glu-Ala-His) box polypeptide 34 [Source:MGI Symbol;Acc:MGI:1918973] MPPPRTREGRGHRDRDHHRAPREEEAPEKWDWNCPETRCLLEDVFFRDEDYIRRGSEECQ KFWAFFERLQRFQHLKTSQKKEKDPGMPKHGIAALADLPLTYDPRYRINLSILSPDTRGR HGPGRGLPPERVSEFRRALLHYLDFQQKQAFGRLVKLQRERAALPIAQYGNRILQTLKEH QVVVVAGDTGCGKSTQVPQYLLAAGFSHVACTQPRRIACISLAKRVGFESLSQYGSQVGY QIRFESTRSAATKIVFLTVGLLLRQIQREPSLPQYQVLIVDEVHERHLHNDFLLGVLQRL LPQRPDLKVILMSATINISLFSSYFSHAPVVQVPGRLFPITVVYQPQEADQTASKSEKLD PRPFLRVLEAIDNKYPPEERGDLLVFLSGMAEITTVLDAAQAYASLTQRWVVLPLHSALS VSDQDKVFDVAPAGVRKCILSTNIAETSVTIDGIRFVVDSGKVKEMSYDPQAKLQRLQEF WISQASAEQRKGRAGRTGPGVCYRLYAESDYDAFAPYPVPEIRRVALDALVLQMKSMSVG DPRTFPFIEPPPPASVETAILYLQEQGALDSSEALTPIGSLLAQLPVDVVIGKMLILGSM FSLAEPVLTIAAALSVQSPFTRSAQSNLDCATARRPLESDQGDPFTLFNVFNAWVQVKSE RSGNSRKWCRRRGVEEHRLYEMANLRRQFKELLEDHGLLSGAQVVAPGDSYSRLQQRRER RALHQLKRQHEEGGGRRRKVLRLQEDGCSSDEEDREGSTSQRADSVDIQDVKFKLRHNLE QLQAAASSAQDLTRDQLALLKLVLGRGLYPQLAVPDAFNSGRKDSDQIFHTQAKQGTVLH PTCVFANSPEVLHTQGQEASGQEGSQDGRDQMSCKHQLLAFVSLLETNKPYLVNCVRIPA LQSLLLFSRSIDTNGDCSRLVADGWLELQLADSESAVRLLATSLRLRVHWESALDRQLAR QAQRRKLEQEEDMGSPAVSPQEVAALSRELLQFMVAKVPYRLRRLTGLEAQNLYVGPQTI TTAPSLPGLFGNSTLSPHPTKGGYAVSDYLTYNCLTSDTDLYSDCLRSFWTCPHCGLHMP FTPLERIAHENTCPEAPGDDPGKSEAAPAPPQKTSALQRPYHCQVCGQDFLFTPTEVLRH RRQHV >ENSMUSP00000126915.1 pep:known chromosome:GRCm38:7:16197221:16222032:-1 gene:ENSMUSG00000006019.15 transcript:ENSMUST00000163968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx34 description:DEAH (Asp-Glu-Ala-His) box polypeptide 34 [Source:MGI Symbol;Acc:MGI:1918973] MPPPRTREGRGHRDRDHHRAPREEEAPEKWDWNCPETRCLLEDVFFRDEDYIRRGSEECQ KFWAFFERLQRFQHLKTSQKKEKDPGMPKHGIAALADLPLTYDPRYRINLSILSPDTRGR HGPGRGLPPERVSEFRRALLHYLDFQQKQAFGRLVKLQRERAALPIAQYGNRILQTLKEH QVVVVAGDTGCGKSTQVPQYLLAAGFSHVACTQPRRIACISLAKRVGFESLSQYGSQVGY QIRFESTRSAATKIVFLTVGLLLRQIQREPSLPQYQVLIVDEVHERHLHNDFLLGVLQRL LPQRPDLKVILMSATINISLFSSYFSHAPVVQVPGRLFPITVVYQPQEADQTASKSEKLD PRPFLRVLEAIDNKYPPEERGDLLVFLSGMAEITTVLDAAQAYASLTQRWVVLPLHSALS VSDQDKVFDVAPAGVRKCILSTNIAETSVTIDGIRFVVDSGKVKEMSYDPQAKLQRLQEF WISQASAEQRKGRAGRTGPGVCYRLYAESDYDAFAPYPVPEIRRVALDALVLQMKSMSVG DPRTFPFIEPPPPASVETAILYLQEQGALDSSEALTPIGSLLAQLPVDVVIGKMLILGSM FSLAEPVLTIAAALSVQSPFTRSAQSNLDCATARRPLESDQGDPFTLFNVFNAWVQVKSE RSGNSRKWCRRRGVEEHRLYEMANLRRQFKELLEDHGLLSGAQVVAPGDSYSRLQQRRER RALHQLKRQHEEGGGRRRKVLRLQEDGCSSDEEDREGSTSQRADSVDIQDVKFKLRHNLE QLQAAASSAQDLTRDQLALLKLVLGRGLYPQLAVPDAFNSGRKDSDQIFHTQAKQGTVLH PTCVFANSPEVLHTQGQEASGQEGSQDGRDQMSCKHQLLAFVSLLETNKPYLVNCVRIPA LQSLLLFSRSIDTNGDCSRLVADGWLELQLADSESAVRLLATSLRLRVHWESALDRQLAR QAQRRKLEQEEDMGSPAVSPQEVAALSRELLQFMVAKVPYRLRRLTGLEAQNLYVGPQTI TTAPSLPGLFGNSTLSPHPTKGGYAVSDYLTYNCLTSDTDLYSDCLRSFWTCPHCGLHMP FTPLERIAHENTCPEAPGDDPGSEEAAPAPPQKTSALQRPYHCQVCGQDFLFTPTEVLRH RRQHV >ENSMUSP00000092410.2 pep:known chromosome:GRCm38:7:16197224:16221993:-1 gene:ENSMUSG00000006019.15 transcript:ENSMUST00000094816.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx34 description:DEAH (Asp-Glu-Ala-His) box polypeptide 34 [Source:MGI Symbol;Acc:MGI:1918973] MPPPRTREGRGHRDRDHHRAPREEEAPEKWDWNCPETRCLLEDVFFRDEDYIRRGSEECQ KFWAFFERLQRFQHLKTSQKKEKDPGMPKHGIAALADLPLTYDPRYRINLSILSPDTRGR HGPGRGLPPERVSEFRRALLHYLDFQQKQAFGRLVKLQRERAALPIAQYGNRILQTLKEH QVVVVAGDTGCGKSTQVPQYLLAAGFSHVACTQPRRIACISLAKRVGFESLSQYGSQVGY QIRFESTRSAATKIVFLTVGLLLRQIQREPSLPQYQVLIVDEVHERHLHNDFLLGVLQRL LPQRPDLKVILMSATINISLFSSYFSHAPVVQVPGRLFPITVVYQPQEADQTASKSEKLD PRPFLRVLEAIDNKYPPEERGDLLVFLSGMAEITTVLDAAQAYASLTQRWVVLPLHSALS VSDQDKVFDVAPAGVRKCILSTNIAETSVTIDGIRFVVDSGKVKEMSYDPQAKLQRLQEF WISQASAEQRKGRAGRTGPGVCYRLYAESDYDAFAPYPVPEIRRVALDALVLQMKSMSVG DPRTFPFIEPPPPASVETAILYLQEQGALDSSEALTPIGSLLAQLPVDVVIGKMLILGSM FSLAEPVLTIAAALSVQSPFTRSAQSNLDCATARRPLESDQGDPFTLFNVFNAWVQVKSE RSGNSRKWCRRRGVEEHRLYEMANLRRQFKELLEDHGLLSGAQVVAPGDSYSRLQQRRER RALHQLKRQHEEGGGRRRKVLRLQEDGCSSDEEDREGSTSQRADSVDIQDVKFKLRHNLE QLQAAASSAQDLTRDQLALLKLVLGRGLYPQLAVPDAFNSGRKDSDQIFHTQAKQGTVLH PTCVFANSPEVLHTQGQEASGQEGSQDGRDQMSCKHQLLAFVSLLETNKPYLVNCVRIPA LQSLLLFSRSIDTNGDCSRLVADGWLELQLADSESAVRLLATSLRLRVHWESALDRQLAR QAQRRKLEQEEDMGSPAVSPQEVAALSRELLQFMVAKVPYRLRRLTGLEAQNLYVGPQTI TTAPSLPGLFGNSTLSPHPTKGGYAVSDYLTYNCLTSDTDLYSDCLRSFWTCPHCGLHMP FTPLERIAHENTCPEAPGDDPGSEEAAPAPPQKTSALQRPYHCQVCGQDFLFTPTEVLRH RRQHV >ENSMUSP00000084399.4 pep:known chromosome:GRCm38:X:157818435:157820369:1 gene:ENSMUSG00000047485.6 transcript:ENSMUST00000087157.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl34 description:kelch-like 34 [Source:MGI Symbol;Acc:MGI:2685234] MSYFLSYCKTHGSSLLTGYQALRAEGFLCDVTLEAEGSEFPAHRSLLACSSDYFRALFKS HTQESRARVIHLRVPSAAGLQRLLDFIYTAWLPLSMDTVEDTLEAASYLQVTEALGLCAH YLERQLAPENCCFIANVAARFGLARTLGAAECCIVRHLGGLLLMGTGPSGLLELNPISMK AVLGAPDVARVPETRLLGLALAWLQQEPKVQRLAHSSTLLGCIRFGLVPAGVLRRIYSGS GLILPTRIKSLIIQALNYHTAPSSQPLLQGEQTSVRSPQTRILLVGGLRAREMVTEEIMG LPEVVQNRHPAPQPEEEEGQEEEEMEEKEWELTQDVVSFDVYNHSWHSLTQLPTPLLGHS VCTTGNFLFVLGGESLPGSPSSSLAAGSRSITALVHRYDPRFHTWTQVPAMREARAYFWC GVVGESLLAVGGLGICGEALASVEMYDLRRDRWMAAGQLPRAVHGHAGAVGDHGVVYISG GKAGRGEGGTSSLRDMYSLSPGERVWSKRAPMGTARYGHYLVVLRGAVFAFLGRYEPFSE IERYDPCTDQWTRLRSLPYDRFCYGLAVVEETVLLLGGLKWRDSRQVPTRNVVGYDLDLD RWEDIGCVLPWAWSGLQCAVLQLPEGREETREGETGEVPDLVLD >ENSMUSP00000020949.5 pep:known chromosome:GRCm38:11:110399122:110513641:1 gene:ENSMUSG00000020623.11 transcript:ENSMUST00000020949.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k6 description:mitogen-activated protein kinase kinase 6 [Source:MGI Symbol;Acc:MGI:1346870] MSQSKGKKRNPGLKIPKEAFEQPQTSSTPPRDLDSKACISIGNQNFEVKADDLEPIVELG RGAYGVVEKMRHVPSGQIMAVKRIRATVNSQEQKRLLMDLDVSMRTVDCPFTVTFYGALF REGDVWICMELMDTSLDKFYKQVIDKGQTIPEDILGKIAVSIVKALEHLHSKLSVIHRDV KPSNVLINTLGQVKMCDFGISGYLVDSVAKTIDAGCKPYMAPERINPELNQKGYSVKSDI WSLGITMIELAILRFPYDSWGTPFQQLKQVVEEPSPQLPADKFSADFVDFTSQCLKKNSK ERPTYPELMQHPFFTVHESKAADVASFVKLILGD >ENSMUSP00000097831.1 pep:known chromosome:GRCm38:11:110399122:110525522:1 gene:ENSMUSG00000020623.11 transcript:ENSMUST00000100260.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k6 description:mitogen-activated protein kinase kinase 6 [Source:MGI Symbol;Acc:MGI:1346870] MSQSKGKKRNPGLKIPKEAFEQPQTSSTPPRDLDSKACISIGNQNFEVKADDLEPIVELG RGAYGVVEKMRHVPSGQIMAVKRIRATVNSQEQKRLLMDLDVSMRTVDCPFTVTFYGALF REGDVWICMELMDTSLDKFYKQVIDKGQTIPEDILGKIAVSIVKALEHLHSKLSVIHRDV KPSNVLINTLGQVKMCDFGISGYLVDSVAKTIDAGCKPYMAPERINPELNQKGYSVKSDI WSLGITMIELAILRFPYDSWGTPFQQLKQVVEEPSPQLPADKFSADFVDFTSQ >ENSMUSP00000099907.3 pep:known chromosome:GRCm38:11:29692947:29742916:1 gene:ENSMUSG00000020458.16 transcript:ENSMUST00000102843.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4 description:reticulon 4 [Source:MGI Symbol;Acc:MGI:1915835] MEDIDQSSLVSSSADSPPRPPPAFKYQFVTEPEDEEDEEDEEEEEDDEDLEELEVLERKP AAGLSAAPVPPAAAPLLDFSSDSVPPAPRGPLPAAPPTAPERQPSWERSPAASAPSLPPA AAVLPSKLPEDDEPPARPPAPAGASPLAEPAAPPSTPAAPKRRGSGSVDETLFALPAASE PVIPSSAEKIMDLKEQPGNTVSSGQEDFPSVLFETAASLPSLSPLSTVSFKEHGYLGNLS AVASTEGTIEETLNEASRELPERATNPFVNRESAEFSVLEYSEMGSSFNGSPKGESAMLV ENTKEEVIVRSKDKEDLVCSAALHNPQESPATLTKVVKEDGVMSPEKTMDIFNEMKMSVV APVREEYADFKPFEQAWEVKDTYEGSRDVLAARANMESKVDKKCFEDSLEQKGHGKDSES RNENASFPRTPELVKDGSRAYITCDSFSSATESTAANIFPVLEDHTSENKTDEKKIEERK AQIITEKTSPKTSNPFLVAIHDSEADYVTTDNLSKVTEAVVATMPEGLTPDLVQEACESE LNEATGTKIAYETKVDLVQTSEAIQESIYPTAQLCPSFEEAEATPSPVLPDIVMEAPLNS LLPSTGASVAQPSASPLEVPSPVSYDGIKLEPENPPPYEEAMSVALKTSDSKEEIKEPES FNAAAQEAEAPYISIACDLIKETKLSTEPSPEFSNYSEIAKFEKSVPDHCELVDDSSPES EPVDLFSDDSIPEVPQTQEEAVMLMKESLTEVSETVTQHKHKERLSASPQEVGKPYLESF QPNLHITKDAASNEIPTLTKKETISLQMEEFNTAIYSNDDLLSSKEDKMKESETFSDSSP IEIIDEFPTFVSAKDDSPKEYTDLEVSNKSEIANVQSGANSLPCSELPCDLSFKNTYPKD EAHVSDEFSKSRSSVSKVPLLLPNVSALESQIEMGNIVKPKVLTKEAEEKLPSDTEKEDR SLTAVLSAELNKTSVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSV TISFRIYKGVIQAIQKSDEGHPFRAYLESEVAISEELVQKYSNSALGHVNSTIKELRRLF LVDDLVDSLKFAVLMWVFTYVGALFNGLTLLILALISLFSIPVIYERHQAQIDHYLGLAN KSVKDAMAKIQAKIPGLKRKAE >ENSMUSP00000099906.3 pep:known chromosome:GRCm38:11:29692947:29742916:1 gene:ENSMUSG00000020458.16 transcript:ENSMUST00000102842.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4 description:reticulon 4 [Source:MGI Symbol;Acc:MGI:1915835] MEDIDQSSLVSSSADSPPRPPPAFKYQFVTEPEDEEDEEDEEEEEDDEDLEELEVLERKP AAGLSAAPVPPAAAPLLDFSSDSVPPAPRGPLPAAPPTAPERQPSWERSPAASAPSLPPA AAVLPSKLPEDDEPPARPPAPAGASPLAEPAAPPSTPAAPKRRGSGSVDETLFALPAASE PVIPSSAVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTISFRIY KGVIQAIQKSDEGHPFRAYLESEVAISEELVQKYSNSALGHVNSTIKELRRLFLVDDLVD SLKFAVLMWVFTYVGALFNGLTLLILALISLFSIPVIYERHQAQIDHYLGLANKSVKDAM AKIQAKIPGLKRKAE >ENSMUSP00000077875.4 pep:known chromosome:GRCm38:11:29692947:29744331:1 gene:ENSMUSG00000020458.16 transcript:ENSMUST00000078830.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4 description:reticulon 4 [Source:MGI Symbol;Acc:MGI:1915835] MEDIDQSSLVSSSADSPPRPPPAFKYQFVTEPEDEEDEEDEEEEEDDEDLEELEVLERKP AAGLSAAPVPPAAAPLLDFSSDSVPPAPRGPLPAAPPTAPERQPSWERSPAASAPSLPPA AAVLPSKLPEDDEPPARPPAPAGASPLAEPAAPPSTPAAPKRRGSGSVVVDLLYWRDIKK TGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTISFRIYKGVIQAIQKSDEGHPFRAY LESEVAISEELVQKYSNSALGHVNSTIKELRRLFLVDDLVDSLKFAVLMWVFTYVGALFN GLTLLILALISLFSIPVIYERHQAQIDHYLGLANKSVKDAMAKIQAKIPGLKRKAE >ENSMUSP00000099905.1 pep:known chromosome:GRCm38:11:29693774:29742916:1 gene:ENSMUSG00000020458.16 transcript:ENSMUST00000102841.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4 description:reticulon 4 [Source:MGI Symbol;Acc:MGI:1915835] MAPPLAGGGQKGGAASEAWVPSLFVGVSGSTCTAAKSLVPIPARSSRLSAARNETLFALP AASEPVIPSSAEKIMDLKEQPGNTVSSGQEDFPSVLFETAASLPSLSPLSTVSFKEHGYL GNLSAVASTEGTIEETLNEASRELPERATNPFVNRESAEFSVLEYSEMGSSFNGSPKGES AMLVENTKEEVIVRSKDKEDLVCSAALHNPQESPATLTKVVKEDGVMSPEKTMDIFNEMK MSVVAPVREEYADFKPFEQAWEVKDTYEGSRDVLAARANMESKVDKKCFEDSLEQKGHGK DSESRNENASFPRTPELVKDGSRAYITCDSFSSATESTAANIFPVLEDHTSENKTDEKKI EERKAQIITEKTSPKTSNPFLVAIHDSEADYVTTDNLSKVTEAVVATMPEGLTPDLVQEA CESELNEATGTKIAYETKVDLVQTSEAIQESIYPTAQLCPSFEEAEATPSPVLPDIVMEA PLNSLLPSTGASVAQPSASPLEVPSPVSYDGIKLEPENPPPYEEAMSVALKTSDSKEEIK EPESFNAAAQEAEAPYISIACDLIKETKLSTEPSPEFSNYSEIAKFEKSVPDHCELVDDS SPESEPVDLFSDDSIPEVPQTQEEAVMLMKESLTEVSETVTQHKHKERLSASPQEVGKPY LESFQPNLHITKDAASNEIPTLTKKETISLQMEEFNTAIYSNDDLLSSKEDKMKESETFS DSSPIEIIDEFPTFVSAKDDSPKEYTDLEVSNKSEIANVQSGANSLPCSELPCDLSFKNT YPKDEAHVSDEFSKSRSSVSKVPLLLPNVSALESQIEMGNIVKPKVLTKEAEEKLPSDTE KEDRSLTAVLSAELNKTSVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALA LLSVTISFRIYKGVIQAIQKSDEGHPFRAYLESEVAISEELVQKYSNSALGHVNSTIKEL RRLFLVDDLVDSLKFAVLMWVFTYVGALFNGLTLLILALISLFSIPVIYERHQAQIDHYL GLANKSVKDAMAKIQAKIPGLKRKAE >ENSMUSP00000053754.4 pep:known chromosome:GRCm38:11:29718563:29742916:1 gene:ENSMUSG00000020458.16 transcript:ENSMUST00000060992.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4 description:reticulon 4 [Source:MGI Symbol;Acc:MGI:1915835] MDDQKKRWKDKVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTIS FRIYKGVIQAIQKSDEGHPFRAYLESEVAISEELVQKYSNSALGHVNSTIKELRRLFLVD DLVDSLKFAVLMWVFTYVGALFNGLTLLILALISLFSIPVIYERHQAQIDHYLGLANKSV KDAMAKIQAKIPGLKRKAE >ENSMUSP00000126413.1 pep:known chromosome:GRCm38:11:29692948:29742111:1 gene:ENSMUSG00000020458.16 transcript:ENSMUST00000170731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4 description:reticulon 4 [Source:MGI Symbol;Acc:MGI:1915835] MEDIDQSSLVSSSADSPPRPPPAFKYQFVTEPEDEEDEEDEEEEEDDEDLEELEVLERKP AAGLSAAPVPPAAAPLLDFSSDSVPPAPRGPLPAAPPTAPERQPSWERSPAASAPSLPPA AAVLPSKLPEDDEPPARPPAPAGASPLAEPAAPPSTPAAPKRRGSGSVVVDLLYWRDIKK TGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTISFRIYKGVIQAIQKSDEGHPFRAY LESEVAISEELVQKYSNSALGHVNSTIKELRRLFLVDDLVDSLKFAVLMWVFTYVGALFN GLTLLILALISLFSIPVIYERHQAQIDHYLGLANKSVKDAMAKIQAKIPGLKRKAE >ENSMUSP00000023568.7 pep:known chromosome:GRCm38:16:78930948:78951733:1 gene:ENSMUSG00000022860.14 transcript:ENSMUST00000023568.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chodl description:chondrolectin [Source:MGI Symbol;Acc:MGI:2179069] MIRIASLLLGAALLCAQGAFARRVVSGQKVCFADVKHPCYKMAYFHELSSRVSFQEARLA CESEGGVLLSLENEAEQKLIESMLQNLTKPGTGISDGDFWIGLLRSGDGQTSGACPDLYQ WSDGSSSQFRNWYTDEPSCGSEKCVVMYHQPTANPGLGGPYLYQWNDDRCNMKHNYICKY EPEIHPTEPAEKPYLTNQPEETHENVVVTEAGIIPNLIYVIIPTIPLLLLILVALGTCCF QMLHKSKGRSKTSPNQSTLWISKSTRKESGMEV >ENSMUSP00000063961.6 pep:known chromosome:GRCm38:16:78930948:78949904:1 gene:ENSMUSG00000022860.14 transcript:ENSMUST00000069148.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chodl description:chondrolectin [Source:MGI Symbol;Acc:MGI:2179069] MIRIASLLLGAALLCAQGAFARRVVSGQKVCFADVKHPCYKMAYFHELSSRVSFQEARLA CESEGGVLLSLENEAEQKLIESMLQNLTKPGTGISDGDFWIGLLRSGDGQTSGACPDLYQ WSDGSSSQFRNWYTDEPSCGSEKCVVMYHQPTANPGLGGPYLYQWNDDRCNMKHNYICKY EPEIHPTEPAEKPYLTNQPEETHENVVVTEAGIIPNLIYVIIPTIPLLLLILVALGTCCF QMLHKRKARRHFIKDSTPLSSECLAESLNSNLVHMAGSLIPYHFQNNSPSLN >ENSMUSP00000109854.1 pep:known chromosome:GRCm38:16:78930948:78947155:1 gene:ENSMUSG00000022860.14 transcript:ENSMUST00000114216.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chodl description:chondrolectin [Source:MGI Symbol;Acc:MGI:2179069] MIRIASLLLGAALLCAQGAFARRVVSGQKVCFADVKHPCYKMAYFHELSSRVSFQEARLA CESEGGVLLSLENEAEQKLIESMLQNLTKPGTGISDGDFWIGLLRSGDGQTSGACPDLYQ WSDGSSSQFRNWYTDEPSCGSEKCVVMYHQPTANPGLGGPYLYQWNDDRCNMKHNYICKY EPEIHPTEPAEKPYLTNQPEETHENVVVTEAGIIPNLIYVIIPTIPLLLLILVALGTCCF QMLHKR >ENSMUSP00000099667.3 pep:known chromosome:GRCm38:4:126753770:126870070:1 gene:ENSMUSG00000028830.14 transcript:ENSMUST00000102607.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU040320 description:expressed sequence AU040320 [Source:MGI Symbol;Acc:MGI:2140475] MEKRLGVKPSPASWVLPGYCWQTSVKLPRSLYLLYSFFCFSVLWLSTDADESRCQQGKTL YGAGLRTEGENHLRLLAGSLPFHACRAACCRDSACHALWWLEGMCFQADCSKPQSCQPFR TDSSNSMLIIFQKSQTTDDLGLLPEDDEPHLLRLGWGRTSWRRQSLLGAPLTLSVPSSHH QSLLRDRQKRDLSVVPTHGAMQHSKVNHSEEAGALSPTSAEVRKTITVAGSFTSNHTTQT PEWPKNVSIHPEPSEHSSPVSGTPQVKSTEHSPTDAPLPVAPSYSYATPTPQASSQSTSA PHPVVKELVVSAGKSVQITLPKNEVQLNAFVLPEAEPGETYTYDWQLITHPTDYSGEVER KHSQSLQLSKLTPGLYEFKVTVDGQNAHGEGYVNVTVKPEPRKNRPPVAVVSPQFQEISL PTTSTIIDGSQSTDDDKIVQYHWEELKGPLREEKISEDTAILKLSKLVPGNYTFSLTVVD SDGATNSTTASLTVNKAVDYPPVANAGPNQVITLPQNSITLFGNQSTDDHGITSYEWSLS PSSKGKVVEMQGVRTPALQLSAMQEGDYTYQLTVTDTAGQQATAQVTVIVQPENNKPPQA DAGPDKELTLPVDSTTLDGSKSTDDQRVVSYLWEQSRGPDGVQLENANSSVATVTGLQVG TYVFTLTVKDERNLQSQSSVNVIVKEEINKPPVAKIAGNVVVTLPTSTAELDGSRSSDDK GIVSYLWTRDETSPAAGEVLNHSDHHPVLFLSNLVEGTYTFHLKVTDAKGESDTDRTTVE VKPDPRKSNLVEIILDVNVSQLTERLKGMLIRQIGVLLGVLDSDIIVQKIQPYTEQSTKM LFFVQNDPPHQLFKGHEVAAMLKSELQKQKADFLIFRALEISTVTCQLNCSDHGHCDSFT KRCVCDPFWMENFIKVQLRDGDSNCEWSVLYVIIASFVIVVALGILSWTTICCCKRQKGK PKRKSRYKILDATDQESLELKPTSRAGSKQKGPTLSSSLMHSESELDSDDAIFTWPDREK GKLLALHWCRSQSLQHIGWWCRAPVCHETSSTNSTPGSDSGFCKGGKNLSSDYRTRTKSA LVHGK >ENSMUSP00000099668.3 pep:known chromosome:GRCm38:4:126753555:126870070:1 gene:ENSMUSG00000028830.14 transcript:ENSMUST00000102608.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU040320 description:expressed sequence AU040320 [Source:MGI Symbol;Acc:MGI:2140475] MEKRLGVKPSPASWVLPGYCWQTSVKLPRSLYLLYSFFCFSVLWLSTDADESRCQQGKTL YGAGLRTEGENHLRLLAGSLPFHACRAACCRDSACHALWWLEGMCFQADCSKPQSCQPFR TDSSNSMLIIFQKSQTTDDLGLLPEDDEPHLLRLGWGRTSWRRQSLLGAPLTLSVPSSHH QSLLRDRQKRDLSVVPTHGAMQHSKVNHSEEAGALSPTSAEVRKTITVAGSFTSNHTTQT PEWPKNVSIHPEPSEHSSPVSGTPQVKSTEHSPTDAPLPVAPSYSYATPTPQASSQSTSA PHPVVKELVVSAGKSVQITLPKNEVQLNAFVLPEAEPGETYTYDWQLITHPTDYSGEVER KHSQSLQLSKLTPGLYEFKVTVDGQNAHGEGYVNVTVKPEPRKNRPPVAVVSPQFQEISL PTTSTIIDGSQSTDDDKIVQYHWEELKGPLREEKISEDTAILKLSKLVPGNYTFSLTVVD SDGATNSTTASLTVNKAVDYPPVANAGPNQVITLPQNSITLFGNQSTDDHGITSYEWSLS PSSKGKVVEMQGVRTPALQLSAMQEGDYTYQLTVTDTAGQQATAQVTVIVQPENNKPPQA DAGPDKELTLPVDSTTLDGSKSTDDQRVVSYLWEQSRGPDGVQLENANSSVATVTGLQVG TYVFTLTVKDERNLQSQSSVNVIVKEEINKPPVAKIAGNVVVTLPTSTAELDGSRSSDDK GIVSYLWTRDETSPAAGEVLNHSDHHPVLFLSNLVEGTYTFHLKVTDAKGESDTDRTTVE VKPDPRKSNLVEIILDVNVSQLTERLKGMLIRQIGVLLGVLDSDIIVQKIQPYTEQSTKM LFFVQNDPPHQLFKGHEVAAMLKSELQKQKADFLIFRALEISTVTCQLNCSDHGHCDSFT KRCVCDPFWMENFIKVQLRDGDSNCEWSVLYVIIASFVIVVALGILSWTTICCCKRQKGK PKRKSRYKILDATDQESLELKPTSRAGSKQKGPTLSSSLMHSESELDSDDAIFTWPDREK GKLLALHWCRSQSLQHIGWWCRAPVCHETSSTNSTPGSDSGFCKGGKNLSSDYRTRTKSA LVHGK >ENSMUSP00000037802.4 pep:known chromosome:GRCm38:4:126753770:126854336:1 gene:ENSMUSG00000028830.14 transcript:ENSMUST00000047431.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU040320 description:expressed sequence AU040320 [Source:MGI Symbol;Acc:MGI:2140475] MEKRLGVKPSPASWVLPGYCWQTSVKLPRSLYLLYSFFCFSVLWLSTDADESRCQQGKTL YGAGLRTEGENHLRLLAGSLPFHACRAACCRDSACHALWWLEGMCFQADCSKPQSCQPFR TDSSNSMLIIFQKSQTTDDLGLLPEDDEPHLLRLGWGRTSWRRQSLLGAPLTLSVPSSHH QSLLRDRQKRDLSVVPTHGAMQHSKVNHSEEAGALSPTSAEVRKTITVAGSFTSNHTTQT PEWPKNVSIHPEPSEHSSPVSGTPQVKSTEHSPTDAPLPVAPSYSYATPTPQASSQSTSA PHPVVKELVVSAGKSVQITLPKNEVQLNAFVLPEAEPGETYTYDWQLITHPTDYSGEVER KHSQSLQLSKLTPGLYEFKVTVDGQNAHGEGYVNVTVKPEPRKNRPPVAVVSPQFQEISL PTTSTIIDGSQSTDDDKIVQYHWEELKGPLREEKISEDTAILKLSKLVPGNYTFSLTVVD SDGATNSTTASLTVNKAVDYPPVANAGPNQVITLPQNSITLFGNQSTDDHGITSYEWSLS PSSKGKVVEMQGVRTPALQLSAMQEGDYTYQLTVTDTAGQQATAQVTVIVQPENNKPPQA DAGPDKELTLPVDSTTLDGSKSTDDQRVVSYLWEQSRGPDGVQLENANSSVATVTGLQVG TYVFTLTVKDERNLQSQSSVNVIVKEEINKPPVAKIAGNVVVTLPTSTAELDGSRSSDDK GIVSYLWTRDETSPAAGEVLNHSDHHPVLFLSNLVEGTYTFHLKVTDAKGESDTDRTTVE VKPDPRKSNLVEIILDVNVSQLTERLKGMLIRQIGVLLGVLDSDIIVQKIQPYTEQSTKM LFFVQNDPPHQLFKGHEVAAMLKSELQKQKADFLIFRALEISTVTCQLNCSDHGHCDSFT KRCVCDPFWMENFIKVQLRDGDSNCEWSVLYVIIASFVIVVALGILSWTTICCCKRQKGK PKRKSRYKILDATDQESLELKPTSRAGSKQKGPTLSSSLMHSESELDSDDAIFTWPDREK GKLLYGQNGSVPNGQTPLKSRSAREEIL >ENSMUSP00000122352.1 pep:known chromosome:GRCm38:4:126753553:126792005:1 gene:ENSMUSG00000028830.14 transcript:ENSMUST00000154640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU040320 description:expressed sequence AU040320 [Source:MGI Symbol;Acc:MGI:2140475] MEKRLGVKPSPASWVLPGYCWQTSVKLPRSLYLLYSFFCFSVLWLSTDADESRCQQGKTL YGAGLRTEGENHLRLLAGSLPFHACRAACCRDSACHALWWLEGMCFQADCSKPQSCQPFR TDSS >ENSMUSP00000117842.1 pep:known chromosome:GRCm38:4:126753544:126791894:1 gene:ENSMUSG00000028830.14 transcript:ENSMUST00000148935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU040320 description:expressed sequence AU040320 [Source:MGI Symbol;Acc:MGI:2140475] MEKRLGVKPSPASWVLPGYCWQTSVKLPRSLYLLYSFFCFSVLWLSTDADESRCQQGKTL YGAGLRTEGENHLRLLAGSLPFHACRA >ENSMUSP00000119146.1 pep:known chromosome:GRCm38:4:126753794:126791908:1 gene:ENSMUSG00000028830.14 transcript:ENSMUST00000132660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU040320 description:expressed sequence AU040320 [Source:MGI Symbol;Acc:MGI:2140475] MEKRLGVKPSPASWVLPGYCWQTSVKLPRSLYLLYSFFCFSVLWLSTDADESRCQQGKTL YGAGLRTEGENHLRLLAGSLPFHACRAACCRD >ENSMUSP00000021617.7 pep:known chromosome:GRCm38:12:103321142:103356001:-1 gene:ENSMUSG00000021200.14 transcript:ENSMUST00000021617.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb2 description:ankyrin repeat and SOCS box-containing 2 [Source:MGI Symbol;Acc:MGI:1929743] MATEISTRGRQRAIGHEEYSLYSSLSEEELLQMAIEQSLADKTRGPTPAEASASSQTNHQ PGHFHPWTRSPSSPENPPARAPLGLFQGVMQKYSSNLFKTSQMAAMDPVLKAIKEGDEEA LKIMIQDGKNLAEPNKEGWLPLHEAAYYGQLGCLKVLQQAYPGTIDQRTLQEETALYLAT CREHLDCLLSLLQAGAEPDISNKSRETPLYKACERKNAEAVRILVRYNADANHRCNRGWT ALHESVSRNDLEVMEILVSGGAKVEAKNVYSITPLFVAAQSGQLEALRFLAKHGADINTQ ASDSASALYEASKNEHEDVVEFLLSQGADANKANKDGLLPLHVASKKGNYRIVQMLLPVT SRTRVRRSGISPLHLAAERNHDAVLEALLAARFDVNAPLAPERARLYEDRRSSALYFAVV NNNVYATELLLLAGADPNRDVISPLLVAIRHGCLRTMQLLLDHGANIDAYIATHPTAFPA TIMFAMKCLSLLKFLMDLGCDGEPCFSCLYGNGPHPPAPRPGRFHDAPVDDKAPSVVQFC EFLSAPEVSRWAGPIIDVLLDYVGNVQLCSRLKEHIDSFEDWAVIKEKAEPPRPLAHLCR LRVRKAIGKYRIKLLDTLPLPGRLIRYLKYENTQ >ENSMUSP00000117595.1 pep:known chromosome:GRCm38:12:103321146:103338373:-1 gene:ENSMUSG00000021200.14 transcript:ENSMUST00000149431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb2 description:ankyrin repeat and SOCS box-containing 2 [Source:MGI Symbol;Acc:MGI:1929743] MTRFSYAEYFALFHSGSAPSRSPSSPENPPARAPLGLFQGVMQKYSSNLFKTSQMAAMDP VLKAIKEGDEEALKIMIQDGKNLAEPNKEGWLPLHEAAYYGQLGCLKVLQQAYPGTIDQR TLQEETALYLATCREHLDCLLSLLQAGAEPDISNKSRETPLYKACERKNAEAVRILVRYN ADANHRCNRGWTALHESVSRNDLEVMEILVSGGAKVEAKNVYSITPLFVAAQSGQLEALR FLAKHGADINTQASDSASALYEASKNEHEDVVEFLLSQGADANKANKDGLLPLHVASKKG NYRIVQMLLPVTSRTRVRRSGISPLHLAAERNHDAVLEALLAARFDVNAPLAPERARLYE DRRSSALYFAVVNNNVYATELLLLAGADPNRDVISPLLVAIRHGCLRTMQLLLDHGANID AYIATHPTAFPATIMFAMKCLSLLKFLMDLGCDGEPCFSCLYGNGPHPPAPRPGRFHDAP VDDKAPSVVQFCEFLSAPEVSRWAGPIIDVLLDYVGNVQLCSRLKEHIDSFEDWAVIKEK AEPPRPLAHLCRLRVRKAIGKYRIKLLDTLPLPGRLIRYLKYENTQ >ENSMUSP00000124205.1 pep:known chromosome:GRCm38:17:12966796:12992497:-1 gene:ENSMUSG00000060475.12 transcript:ENSMUST00000159551.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wtap description:Wilms tumour 1-associating protein [Source:MGI Symbol;Acc:MGI:1926395] MTNEEPLPKKVRLSETDFKVMARDELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEE KLKQQQQESARRENILVMRLATKEQEMQECTTQIQYLKQVQQPSVAQLRSTMVDPAINLF FLKMKGELEQTKDKLEQAQNELSAWKFTPDSQTGKKLMAKCRMLIQENQELGRQLSQGRI AQLEAELALQKKYSEELKSSQDELNDFIIQLDEEVEGMQSTILVLQQQLKETRQQLAQYQ QQQSQASAPSTSRTTSSEPVDQAEVTSKDCSRLANGPSNGSSSRQRTSGSGFHREGSTPE DDFPSSSGNGNKASNSSEERTGRGGSSYINPLSAGYESVDSPTGSENSLTHHSNDTDSSH DPQEEKAVSGKGNRTVGSRHVQNGLDSNVNVQGAVL >ENSMUSP00000007007.7 pep:known chromosome:GRCm38:17:12966799:12992259:-1 gene:ENSMUSG00000060475.12 transcript:ENSMUST00000007007.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wtap description:Wilms tumour 1-associating protein [Source:MGI Symbol;Acc:MGI:1926395] MTNEEPLPKKVRLSETDFKVMARDELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEE KLKQQQQESARRENILVMRLATKEQEMQECTTQIQYLKQVQQPSVAQLRSTMVDPAINLF FLKMKGELEQTKDKLEQAQNELSAWKFTPDSQTGKKLMAKCRMLIQENQELGRQLSQGRI AQLEAELALQKKYSEELKSSQDELNDFIIQLDEEVEGMQSTILVLQQQLKETRQQLAQYQ QQQSQASAPSTSRTTSSEPVDQAEVTSKDCSRLANGPSNGSSSRQRTSGSGFHREGSTPE DDFPSSSGNGNKASNSSEERTGRGGSSYINPLSAGYESVDSPTGSENSLTHHSNDTDSSH DPQEEKAVSGKGNRTVGSRHVQNGLDSNVNVQGAVL >ENSMUSP00000123961.1 pep:known chromosome:GRCm38:17:12972685:12992192:-1 gene:ENSMUSG00000060475.12 transcript:ENSMUST00000159986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wtap description:Wilms tumour 1-associating protein [Source:MGI Symbol;Acc:MGI:1926395] MTNEEPLPKKVRLSETDFKVMARDELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEE KLKQQQQESARRENILVMRLATKEQEMQECTTQIQYLKQVQQPSVAQLRSTMVDPAINLF FLKMKGELEQTKDKLEQAQNELSAWKFTPDR >ENSMUSP00000124138.1 pep:known chromosome:GRCm38:17:12973552:12992546:-1 gene:ENSMUSG00000060475.12 transcript:ENSMUST00000160781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wtap description:Wilms tumour 1-associating protein [Source:MGI Symbol;Acc:MGI:1926395] MTNEEPLPKKVRLSETDFKVMARDELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEE KLKQQQQESARRENILVMRLATKEQEMQECTTQIQYLKQVQQPSVAQLRSTMVDPAINLF FLKMKGELEQTKDKLEQAQNELSAWKFTPDR >ENSMUSP00000125337.1 pep:known chromosome:GRCm38:17:12974997:12983473:-1 gene:ENSMUSG00000060475.12 transcript:ENSMUST00000159104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wtap description:Wilms tumour 1-associating protein [Source:MGI Symbol;Acc:MGI:1926395] DELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEEKLKQQQQESARRENILVMRLATK EQEMQECTTQIQYLKQVQQPSVAQLRSTMVDPAINLFFLKMKGELEQTKDKLEQAQNELS AWKFTPDSFSLPLPLASEA >ENSMUSP00000125419.1 pep:known chromosome:GRCm38:17:12980855:12986241:-1 gene:ENSMUSG00000060475.12 transcript:ENSMUST00000162395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wtap description:Wilms tumour 1-associating protein [Source:MGI Symbol;Acc:MGI:1926395] MTNEEPLPKKVRLSETDFKVMARDELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEE KLKQQQQESARRENILVMRL >ENSMUSP00000035100.5 pep:known chromosome:GRCm38:9:119937606:119967793:1 gene:ENSMUSG00000032514.11 transcript:ENSMUST00000035100.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc21a description:tetratricopeptide repeat domain 21A [Source:MGI Symbol;Acc:MGI:1921302] MSSDDSSLMASIIYYSQEKYFHHVLQAANVGLERYSSDPALQFFRAYGILGEDHIHDAIS ELEGIQSHPDTSLCAVIALLSAHKSCDTIDIEAVQELESSLKEIRRSASSTALYYASLFL WFMGHHDKAREYVDHMLKVSSGSKEGYVLKGWVDLTSSKPHVVKKSIKYLEQGTQDTKDV LGLMGKATYFMTQQNFSGALEVANQVTLACSNFLPALVLKMKLFLARQDWDQTIETGQRI LEKDENNIDAWQILAVHELVKEGNTDRAADRVRNLIKALETGEPHNPNLHLKKILVISRL CGRHQVVHRLVSGFLERIFMATPSCALVATELGYLFILHQQVKEACLWYKEAMKLEENRL AALAGSIWCQILQGQLEEAAHQLEFLKEVQQSLGKSELLVFLQALVAAKKQRLEQEATAL LKEAVEVHFSSMQGLALSPEYFEKLDPLFLVCIAKEYLHFCPKQPRSPGQLVSPLLKQVA MILSPVVKVAPAMMEPLYVTAQVKFLSGELENAQSTLQRCLELDPTFVDAHLLMSQIYLA QGNFAMCSHCLELGVSHNFQVRDHPLYHFIKARALNKTGDYAEAIKTLKMIIKVPTSKAE EGRKSQSPSVRPSERASILLELVEALRLNGELHEATKIMQDAINEFSGTPEEMRITVANV DLALSKGNVDLALNMLRGITPKQPCYTEAKEKMASIYLHTRKDVRLYIGCYVELCEHLPG PHSSLLLGDAFMNIQEPEKALEVYDEAYRKNPHDASLVSRIGQAYVKTHQYAKAINYYEA AQKISGQDFLCCELAELLLKLKKYHKAEKVLKQALERDSGVKDIPSMINEVKCLLLLAKV YKSHKKEEVMETLNLALDLQSRILKRVPLEQSEMIPFQNQLAASICIQIGEHHLAEKDYD SALKSYKDALAYSPTDSKVVLELAHLYLLLGQLDLCEQRCAPLLEMEQTHERAAVMLADL MFRRQNYETAINLYHQVLEKAPDNFLVLNKLVDLLRRSGKLEEAPAFFELAKKVSSRVPL EPGFNYCQGIYFWHIGQPNEALRFLNKARKDSTWGQLATCYMVQICLNPDNEIVGGEAFE SLVGDSNSASRKESQQHGVRTAEKLLREFYPHSESGQTQLRLLQNLCLLATREKANVEVA LGAFIEMAQAEKDSIPALLAMAQAYILLKQVPKARTQLKRLAKVPWTVDEAEDLEKSWLL LADIYCQGGKFDLALELLRRCLQYNKSCCRAYEYMGFIMEKEQSYKDAATNYELAWKYSH QANPAIGFKLAFNYLKDKKFVDAIEVCHSVLTEHPKYPKIREEILEKAQGSLRP >ENSMUSP00000001079.8 pep:known chromosome:GRCm38:3:129982759:130060907:-1 gene:ENSMUSG00000001052.15 transcript:ENSMUST00000001079.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec24b description:Sec24 related gene family, member B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2139764] MSAPAGSPHPAAGARMPPKLGGAVSGLAPPQQNGPAQSPMQVPSGYGLPHQNYMVPSGHY SQGPGKMTSLPLDSQCDSYYSRPYTVPTQNSGTPSSANQPGAQQMYGRGPSAPHMGGSMP GSFQGIPASASHSYSSASQPYSSLGNRYSSPTTYSANASVASQGYPSTCSHYPISTVSNV VYPNVSYPSLPASEPYGQMFTSQSAPPPARPVKESYSGPSTALTYPSRPPPPPSQHQQQQ QQQQQQQQQQQSHSGYSSLPWSGPALPPAQDSLIRNQMGSLATANSHPTNNENVQPPKSS SVVSTVLPGPSSTRMPPAPSHPVGPVPSAPPPPEQMQTKGMQYGDYGNNQASSTATPLSS ASDDEEEQEEDEEAGVDSSSTTSSASPLPNSYDALEGGSYPDMHSSSASSPVPDHALEPS PTLAQALSAAPTPPAAQPAKVAKPFGYGYPALQPAYQNAAPPPMPAAHPSGPAYTGYPQH YPGVNQLSSGLGGLSLQSSPQPESLRPVNLTQEKNILPPTPIWAPVPNLSAELSKLNCSP DSFRCTLTSIPQTQALLNKAKLPLGLLLHPFRDLTQLPVITSNTIVRCRSCRTYINPFVS FIDQRRWKCNLCYRVNDVPEEFLYNPLTRSYGEPHKRPEVQNSTVEFIASSDYMLRPPQP AVYLFVLDVSHNAVEAGYLTVLCQSLLENLDKLPGDSRTRIGFMTFDSTIHFYNLQEGLS QPQMLIVSDIDDVFLPTPDSLLVNLYESKELIKDLLNALPSMFINTRETHSALGPALQAA FKLMSPTGGRVSVFQTQLPSLGAGLLQSREDPNQRSSTKVVHHLGPATDFYKKLALDCSG QQTAVDLFLLSSQYSDLASLACMSKYSAGCIFYYPSFHSTHNPSQAEKLQKDLKRYLTRK IGFEAVMRIRCTKGLSMHTFHGNFFVRSTDLLSLANINPDAGFAVQLSIEESLTDTALVC FQTALLYTSSKGERRIRVHTLCLPVVSSLADVYAGVDVQAAVCLLANMAVDRSVSSSLSD ARDALVNAVVDPLSAYSSAVASVPRSTLTAPSSLKLLPLYVLALLKQKAFRTGTSTRLDD RVYAMCQMKSQPLVHLMKMIHPNLYRIDRLTDEGAIHVNDRVVPQPPLQKLSAEKLTREG AFLMDCGSVFYIWVGKGCDSNFIENVLGYPDFASIPQKMTHLPELDTLPSERTRSFVTWL RDSRPLSPVLHLVKDESPAKTDFFQHLLEDRTEAALSYYEFLIHIQQQVCK >ENSMUSP00000132861.1 pep:known chromosome:GRCm38:3:129990412:130040863:-1 gene:ENSMUSG00000001052.15 transcript:ENSMUST00000165873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec24b description:Sec24 related gene family, member B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2139764] PPPPPSQHQQQQQQQQQQQQQQQSHSGYSSLPWSGPALPPAQDSLIRNQMGSLATANSHP TNNENVQPPKSSSVVSTVLPGPSSTRMPPAPSHPVGPVPSAPPPPEQMQTKGVDSSSTTS SASPLPNSYDALEGGSYPDMHSSSASSPVPDHALEPSPTLAQALSAAPTPPAAQPAKVAK PFGYGYPALQPAYQNAAPPPMPAAHPSGPAYTGYPQHYPGVNQLSSGLGGLSLQSSPQPE SLRPVNLTQEKNILPPTPIWAPVPNLSAELSKLNCSPDSFRCTLTSIPQTQALLNKAKLP LGLLLHPFRDLTQLPVITSNTIVRCRSCRTYINPFVSFIDQRRWKCNLCYRVNDVPEEFL YNPLTRSYGEPHKRPEVQNSTVEFIASSDYMLRPPQPAVYLFVLDVSHNAVEAGYLTVLC QSLLENLDKLPGDSRTRIGFMTFDSTIHFYNLQEGLSQPQMLIVSDIDDVFLPTPDSLLV NLYESKELIKDLLNALPSMFINTRETHSALGPALQAAFKLMSPTGGRVSVFQTQLPSLGA GLLQSREDPNQRSSTKVVHHLGPATDFYKKLALDCSGQQTAVDLFLLSSQYSDLASLACM SKYSAGCIFYYPSFHSTHNPSQAEKLQKDLKRYLTRKIGFEAVMRIRCTKGLSMHTFHGN FFVRSTDLLSLANINPDAGFAVQLSIEESLTDTALVCFQTALLYTSSKGERRIRVHTLCL PVVSSLADVYAGVDVQAAVCLLANMAVDRSVSSSLSDARDALVNA >ENSMUSP00000126923.1 pep:known chromosome:GRCm38:3:130007411:130041139:-1 gene:ENSMUSG00000001052.15 transcript:ENSMUST00000168644.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec24b description:Sec24 related gene family, member B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2139764] ASQPYSSLGNRYSSPTTYSANASVASQGYPSTCSHYPISTVSNVVYPNVSYPSLPASEPY GQMFTSQSAPPPARPVKESYSGPSTALTYPSRPPPPPSQHQQQQQQQQQQQQQQQSHSGY SSLPWSGPALPPAQDSLIRNQMGSLATANSHPTNNENVQPPKSSSVVSTVLPGPSSTRMP PAPSHPVGPVPSAPPPPEQMQTKVRFPVPSLAGCGKWRPVNRDPGLWGACIGRMRERPPE KFANKGVDSSSTTSSASPLPNSYDALEGGSYPDMHSSSASSPVPDHALEPSPTLAQALSA APTPPAAQPAKVAKPFGYGYPALQPAYQNAAPPPMPAAHPSGPAYTGYPQHYPGVNQLSS GLGGLSLQSSPQPESLRPVNLTQEKNILPPTPIWAPVPNLSAELSKLNCSP >ENSMUSP00000022122.3 pep:known chromosome:GRCm38:13:91853387:91876885:-1 gene:ENSMUSG00000021622.3 transcript:ENSMUST00000022122.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckmt2 description:creatine kinase, mitochondrial 2 [Source:MGI Symbol;Acc:MGI:1923972] MASAFSKLLTGRNASLLFTTLGTSALTTGYLLNRQKVSADAREQHKLFPPSADYPDLRKH NNCMAECLTPTIYAKLRNKMTPSGYTLDQCIQTGVDNPGHPFIKTVGMVAGDEESYEVFA DLFDPVIKLRHNGYDPRVMKHPTDLDASKITHGQFDERYVLSSRVRTGRSIRGLSLPPAC SRAERREVENVAITALEGLKGDLAGRYYKLSEMTEQDQQRLIDDHFLFDKPVSPLLTCAG MARDWPDARGIWHNYDKTFLIWINEEDHTRVISMEKGGNMKRVFERFCRGLKEVERLIQE RGWEFMWNERLGYILTCPSNLGTGLRAGVHVRIPKLSKDPRFSKILENLRLQKRGTGGVD TAAVADVYDISNIDRIGRSEVELVQIVIDGVNYLVDCEKKLERGQDIKVPPPLPQFGRK >ENSMUSP00000020719.6 pep:known chromosome:GRCm38:11:59208321:59210738:1 gene:ENSMUSG00000020441.6 transcript:ENSMUST00000020719.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310033P09Rik description:RIKEN cDNA 2310033P09 gene [Source:MGI Symbol;Acc:MGI:1915112] MFGSNRGGVRGGQDQFNWEDVKTDKQRENYLGNSLMAPVGRWQKGRDLTWYAKDRAPCTG PSREEELAAVREAEREALLAALGYKNVRKQPTGLSKEDFVEICKREGGDPEEKGVDRLLG LGSASGSAGRVALSREDKEAAKLGLSVFTHHRVDSEGPSTAPTAPRKKPRAEDKVEPDAE SHKKSKKEKKKKKKKHKKHKKKKDKEHKREADSCSSSPSPPRPRHQRHSDFSPCSKRKRE HSQDSGRNPSRRRQDRSSDD >ENSMUSP00000112989.1 pep:known chromosome:GRCm38:16:57266139:57292865:-1 gene:ENSMUSG00000022753.15 transcript:ENSMUST00000119407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem30c description:transmembrane protein 30C [Source:MGI Symbol;Acc:MGI:1918277] MEMMPQYDLSRLPENTALKQQTLPTQQLNLSASVVLSIFFITGGFCLSIGIILLLSAKST KKIEINYTKTCANCAQLRENSSNFDKACNCSLPFYLPEKMEGDVYMYYKLYGFYQNLYQY ILSRSNSQLVGKDIWDTTNCDPFQVSHNDTPIIPCGAIANSIFNDTITLSYNLNSSTQIE VPMLKSGLTWWTDKYVKFRNPRSSNFTSTFAGSSKPLHWAKPIYELDLDDPGNNGFLNED FIVWMRTAAFPTFKKLYRRLKRVHAFAEGLPAGNYSLSISYNFPVTMFQGEKSIVLSTLT WIGGGGLFLGLTYTVTGALTLLASFAILTIHLMLKRSKLNFL >ENSMUSP00000113896.1 pep:known chromosome:GRCm38:16:57269309:57292851:-1 gene:ENSMUSG00000022753.15 transcript:ENSMUST00000120112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem30c description:transmembrane protein 30C [Source:MGI Symbol;Acc:MGI:1918277] MEMMPQYDLSRLPENTALKQQTLPTQQLNLSASVVLSIFFITGGFCLSIGIILLLSAKST KKIEINYTKTCANCAQLRENSSNFDKACNCSLPFYLPEKMEGDVYMYYKLYGFYQNLYQY ILSRSNSQLVGKDIWDTTNCDPFQVSHNDTPIIPCGAIANSIFNDTITLSYNLNSSTQIE VPMLKSGLTWWTDKYVKFRNPRSSNFTSTFAGSSKPLHWAKPIYELDLDDPGNNGFLNED FIVWMRTAAFPTFKKLYRRLKRVHAFAEGLPAGNYSLSISYSILLCHAPSSE >ENSMUSP00000023434.8 pep:known chromosome:GRCm38:16:57266410:57292851:-1 gene:ENSMUSG00000022753.15 transcript:ENSMUST00000023434.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem30c description:transmembrane protein 30C [Source:MGI Symbol;Acc:MGI:1918277] MEMMPQYDLSRLPENTALKQQTLPTQQLNLSASVVLSIFFITGGFCLSIGIILLLSAKST KKIEINYTKTCANCAQLRENSSNFDKACNCSLPFYLPEKMEGDVYMYYKLYGFYQNLYQY ILSRSNSQLVGKDIWDTTNCDPFQVSHNDTPIIPCGAIANSIFNDTITLSYNLNSSTQIE VPMLKSGLTWWTDKYVKFRNPRSSNFTSTFAGSSKPLHWAKPIYELDLDDPGNNGFLNED FIVWMRTAAFPTFKKLYRRLKRVHAFAEGLPAGNYSLSISYNFPVTMFQGEKSIVLSTLT WIGGGGLFLGLTYTVTGALTLLASFAILTIHLMLKRSKLNFL >ENSMUSP00000123633.1 pep:known chromosome:GRCm38:X:147470380:147554081:-1 gene:ENSMUSG00000031290.14 transcript:ENSMUST00000136789.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch2 description:leucine-rich repeats and calponin homology (CH) domain containing 2 [Source:MGI Symbol;Acc:MGI:2147870] XLSGAILSPRNMAASQGGGGNSGGGGCSGGGSGGGGGAAGGGGGGGGGGGGGAGAGGGGG CGGTVAVPIPVPTLFGQPFPNGPQWHPGSLQPQHTVRSLDRALEEAGNSGILSLSGRKLR EFPGSGYDLTDTTQADLSRNRFTEIPSDVWLFAPLETLNLYHNCIKTIPEAIKNLQMLTY LNISRNLLSTLPKYLFDLPLKVLVVSNNKLVSIPEEIGKLKDLMELDVSCNEIQVLPQQM GKLHSLKELNIRRNNLHVLPDELGDLPLVKLDFSCNKVTEIPVCYRKLHHLQVIILDNNP LQVPPAQICLKGKVHIFKYLNIQACCRMDKKPDSLDLPSLNKRMPSQPLTDSMEDFYPNK NHGPDSGIGSDNGEKRLSTTEPSDDDTISLHSQVSESNREQTSRNDSHITGSKPDSQKDQ EVYDFIDPNTEDVAVPEEGDTHIGSFVSFLKGKEKSSEKSQKNEEPPNEKKVDKEQLLPE EEDDDLKEVTDLRKIAAQLLKQEQKNRILNHSTSVMRNKLKQTVECEKSVPADEGNSPLS PLAWQPLENQKDQIVDQQWPESQPIIWQNEERRRSKQIRKEYFKYKSTRKNSSGNENEEQ ESDNAHMSAQSPVSSEEYDRSDGFSHGPFGLKPRSAFSRASRQEYGAADPGFTMRRKMEH LREEREQIRQLRNNLESRLKVILPDDIGAALMDGVVLCHLANHIRPRSVASIHVPSPAVP KLSMAKCRRNVENFLDACKKLGVSQERLCLPHHILEERGLVKVGVTVQALLELPTTKASQ LSMA >ENSMUSP00000117458.1 pep:known chromosome:GRCm38:X:147472813:147519063:-1 gene:ENSMUSG00000031290.14 transcript:ENSMUST00000137665.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch2 description:leucine-rich repeats and calponin homology (CH) domain containing 2 [Source:MGI Symbol;Acc:MGI:2147870] XPLQVPPAQICLKGKVHIFKYLNIQACCRMDKKPDSLDLPSLNKRMPSQPLTDSMEDFYP NKNHGPDSGIGSDNGEKRLSTTEPSDDDTISLHSQVSESNREQTSRNDSHITGSKPDSQK DQEVYDFIDPNTEDVAVPEEGDTHIGSFVSFLKGKEKSSEKSQKNEEPPNEKKVDKEQLL PEEEDDDLKEVTDLRKIAAQLLKQEQKNSVPADEGNSPLSPLAWQPLENQKDQIVDQQWP ESQPIIWQNEERRRSKQIRKEYFKYKSTRKNSSGNENEEQESDNAHMSAQSPVSSEEYDR SDGFSHGPFGLKPRSAFSRASRQEYGAADPGFTMRRKMEHLREEREQIRQLRNNLESRLK VILPDDIGAALMDGVVLCHLANHIRPRSVASIHVPSPAVPKLSMAKCRRNVENFLDACKK LGVSQERLCLPHHILEERGLVKVGVTVQALLELPTTKASQLSMA >ENSMUSP00000121128.1 pep:known chromosome:GRCm38:X:147470375:147512162:-1 gene:ENSMUSG00000031290.14 transcript:ENSMUST00000152838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch2 description:leucine-rich repeats and calponin homology (CH) domain containing 2 [Source:MGI Symbol;Acc:MGI:2147870] IFKYLNIQACCRMDKKPDSLDLPSLNKRMPSQPLTDSMEDFYPNKNHGPDSGIGSDNGEK RLSTTEPSDDDTISLHSQVSESNREQTSRNDSHITGSKPDSQKDQEVYDFIDPNTEDVAV PEEGDTHIGSFVSFLKGKEKSSEKSQKNEEPPNEKKVDKEQLLPEEEDDDLKEVTDLRKI AAQLLKQEQKNRILNHSTSVMRNKLKQTVECEKSVPADEGNSPLSPLAWQPLENQKDQIV DQQWPESQPIIWQNEERRRSKQIRKEYFKYKSTRKNSSGNENEEEYDRSDGFSHGPFGLK PRSAFSRASRQEYGAADPGFTMRRKMEHLREEREQIRQLRNNLESRLKVILPDDIGAALM DGVVLCHLANHIRPRSVASIHVPSPAVPKLSMAKCRRNVENFLDACKKLGVSQERLCLPH HILEERGLVKVGVTVQALLELPTTKASQLSMA >ENSMUSP00000108438.2 pep:known chromosome:GRCm38:X:147470387:147554050:-1 gene:ENSMUSG00000031290.14 transcript:ENSMUST00000112819.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch2 description:leucine-rich repeats and calponin homology (CH) domain containing 2 [Source:MGI Symbol;Acc:MGI:2147870] MAASQGGGGNSGGGGCSGGGSGGGGGAAGGGGGGGGGGGGGAGAGGGGGCGGTVAVPIPV PTLFGQPFPNGPQWHPGSLQPQHTVRSLDRALEEAGNSGILSLSGRKLREFPGSGYDLTD TTQADLSRNRFTEIPSDVWLFAPLETLNLYHNCIKTIPEAIKNLQMLTYLNISRNLLSTL PKYLFDLPLKVLVVSNNKLVSIPEEIGKLKDLMELDVSCNEIQVLPQQMGKLHSLKELNI RRNNLHVLPDELGDLPLVKLDFSCNKVTEIPVCYRKLHHLQVIILDNNPLQVPPAQICLK GKVHIFKYLNIQACCRMDKKPDSLDLPSLNKRMPSQPLTDSMEDFYPNKNHGPDSGIGSD NGEKRLSTTEPSDDDTISLHSQVSESNREQTSRNDSHITGSKPDSQKDQEVYDFIDPNTE DVAVPEEGDTHIGSFVSFLKGKEKSSEKSQKNEEPPNEKKVDKEQLLPEEEDDDLKEVTD LRKIAAQLLKQEQKNRILNHSTSVMRNKLKQTVECEKSVPADEGNSPLSPLAWQPLENQK DQIVDQQWPESQPIIWQNEERRRSKQIRKEYFKYKSTRKNSSGNENEEQESDNAHMSAQS PVSSEEYDRSDGFSHGPFGLKPRSAFSRASRQEYGAADPGFTMRRKMEHLREEREQIRQL RNNLESRLKVILPDDIGAALMDGVVLCHLANHIRPRSVASIHVPSPAVPKLSMAKCRRNV ENFLDACKKLGVSQERLCLPHHILEERGLVKVGVTVQALLELPTTKASQLSMA >ENSMUSP00000046943.4 pep:known chromosome:GRCm38:3:75242370:75270078:-1 gene:ENSMUSG00000034139.4 transcript:ENSMUST00000039047.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpini2 description:serine (or cysteine) peptidase inhibitor, clade I, member 2 [Source:MGI Symbol;Acc:MGI:1915181] MNKTILWSFLLFFSGSQTSRATDQKIADFAVDLYKAISLSHKNNIIFSPLGTTMLLGMVQ LGAKGKAQQQILKTLRMRGTPAGEEFSVLKSLFSAISKKKQEFTFNLASALYLQEGFIVK ETYLHSNKEFFQSATKLVDFLDAKTSAQAISTWVESKTDGKIKNMFSEEEFGPLTRLVLV NAIYFKGDWKQKFRKEDTEMTDFTKKDGSTVKVPMMKALLRAQYGYFSQSSMTCQVLELP YKADEFSLVIILPTEDTSIEEVENQVTAPHVRRWFSELHEEEVEVSLPRFKIEQKLDLKE ALYSLNVTEIFSGGCDLSGITDSSEVYVSRVMQKVFFEINEDGSEAAASTGINIPAIMSL TQTQFLANHPFLFILKHIRTESILFMGKVTDPDIQTTKGRDLDSL >ENSMUSP00000128278.1 pep:known chromosome:GRCm38:7:80711583:80803416:-1 gene:ENSMUSG00000030536.10 transcript:ENSMUST00000167377.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqgap1 description:IQ motif containing GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:1352757] MSAAEEVDGLGVVRPHYGSVLDNERLTAEEMDERRRQNVAYEYLCHLEEAKRWMEACLGE DLPPTTELEEGLRNGVYLAKLGNFFSPKVVSLKKIYDREQTRYKATGLHFRHTDNVIQWL NAMDEIGLPKIFYPETTDIYDRKNMPRCIYCIHALSLYLFKLGLAPQIQDLYGKVDFTEE EINNMKIELEKYGIQMPAFSKIGGILANELSVDEAALHAAVIAINEAIDRRVAADTFTAL KNPNAMLVNLEEGLAPTYQDVLYQAKQDKMTNAKNRTENSDRERDVYEELLTQAEIQGNV NKVNTSSALANISLALEQGCAVTLLKALQSLALGLRGLQTQNSDWYMKQLQSDLQQKRQS GQTDPLQKEEVQAGVDAANSAAQQYQRRLAAVAAINAAIQKGIAEKTVLELMNPEAQLPQ VYPFAADLYQKELATLQQQSPEHSLTHPELTVAVEMLSSVALINRALESGDMTTVWKQLS SSVTGLTNIEEENCQRYLDELMKLKAQAHAENNAFITWNDIQACVDHVNLVVHEEHERIL AIGLINEALDEGDAQKTLQALQIPAAKLEGVLAEVAQHYQDTLIRAKREKAQETQDESAV LWLDEIQGGIWQSNKDTQEAQRFALGISAINEAVDSGDVGRTLSALRSPDVGLYGVIPEC GETYQSDLAEAKKKRLAAGDNNSKWVKHWVKGGYHYYHNLETQAGGWAEPPDFVQNSVQL SREEIQSSISGVTAAYNREQLWLANEGLITKLQACCRGYLVRQEFRSRMNFLKKQIPAIT CIQSQWRGYKQKKAYQDRLAYLHSHKDEVVKIQSLARMHQARKRYRDRLQYFRDHINDII KIQAFIRANKARDDYKTLINAEDPPMIVVRKFVHLLDQSDQDFQEELDLMKMREEVITLI RSNQQLENDLNLMDIKIGLLVKNKITLQDVVSHSKKLTKKNKEQLSDMMMINKQKGGLKA LSKEKREKLEAYQHLFYLLQTNPTYLAKLIFQMPQNKSTKFMDSVIFTLYNYASNQREEY LLLRLFQTALQEEIKSKVDQIQEIVTGNPTVIKMVVSFNRGARGQNALRQILAPVVKEIM DDKSLNIKTDPVDIYKSWVNQMESQTGEASKLPYDVTPEQALSHEEVKTRLDNSIRNMRA VTDKFLSAIVSSVDKIPYGMRFIAKVLKDSLHEKFPDAGEDELLKIIGNLLYYRYMNPAI VAPDAFDIIDLSAGGQLTTDQRRNLGSIAKMLQHAASNKMFLGDNAHLSIINEYLSQSYQ KFRRFFQVACDVPELQDKFNVDEYSDLVTLTKPVIYISIGEIINTHTLLLDHQDAIAPEH NDPIHELLDDLGEVPTIESLIGESCGNSNDPNKEALAKTEVSLTLTNKFDVPGDENAEMD ARTILLNTKRLIVDVIRFQPGETLTEILETPATNEQEAEHQRAMQRRAIRDAKTPDKMKK SKPMKEDNNLSLQEKKEKIQTGLKKLTELGTVDPKNRYQELINDIAKDIRNQRRYRQRRK AELVKLQQTYSALNSKATFYGEQVDYYKSYIKTCLDNLASKGKVSKKPREMKGKKSKKIS LKYTAARLHEKGVLLEIEDLQANQFKNVIFEIGPTEEVGDFEVKAKFMGVQMETFMLHYQ DLLQLQYEGVAVMKLFDRAKVNVNLLIFLLNKKFYGK >ENSMUSP00000145991.1 pep:known chromosome:GRCm38:7:80726779:80730248:-1 gene:ENSMUSG00000030536.10 transcript:ENSMUST00000205606.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Iqgap1 description:IQ motif containing GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:1352757] XIPYGMRFIAKVLKDSLHEKFPDAGEDELLKFCAFLQ >ENSMUSP00000145556.1 pep:known chromosome:GRCm38:7:80762539:80816819:-1 gene:ENSMUSG00000030536.10 transcript:ENSMUST00000205813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqgap1 description:IQ motif containing GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:1352757] VGWSQVSGMSGIQGPGKQLRCQQRPMAELEGSLGGGVLSLAVLDNERLTAEEMDERRRQN VAYEYLCHLEEAKRWMEACLGEDLPPTTELEEGLRNGVYLAKLGNFFSPKVVSLKKIYDR EQTRYKATGLHFRHTDNVIQWLNAMDEIGLPKIFYPETTDIYDRKNMPRCIYCIHALSLY LFKLGLA >ENSMUSP00000146126.1 pep:known chromosome:GRCm38:7:80768359:80825974:-1 gene:ENSMUSG00000030536.10 transcript:ENSMUST00000205304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqgap1 description:IQ motif containing GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:1352757] MSGIKELSEELRCQQRPMAELEGSLGGGVLSLAVLDNERLTAEEMDERRRQNVAYEYLCH LEEAKRWMEACLGEDLPPTTELEEGLRNGVYLAKLGNFFSP >ENSMUSP00000138932.1 pep:known chromosome:GRCm38:7:14659496:14665996:1 gene:ENSMUSG00000055942.13 transcript:ENSMUST00000183788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox7 description:oocyte specific homeobox 7 [Source:MGI Symbol;Acc:MGI:3646231] MAEGPSLHPKLQVASNIPIEISSQIPQELAKNLPFQMSQSPLVTPGSTMQSSLSVPERNL LQQESEGPSRQSGCMPLSDKYVNKQTGLLASRNFRKERIVYSKEQQRLLQKHFDECQYPK EKKIVELAVLIGVTKMEIKKWFKNNRAKYRQMNLQNIKQALPESNGSSKAVSESTHFPGS IPVVASDNGESICSGTFGEDSIPKFNCSQESSLYCFQA >ENSMUSP00000069239.6 pep:known chromosome:GRCm38:7:14659733:14665996:1 gene:ENSMUSG00000055942.13 transcript:ENSMUST00000069740.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox7 description:oocyte specific homeobox 7 [Source:MGI Symbol;Acc:MGI:3646231] MAEGPSLHPKLQVASNIPIEISSQIPQELAKNLPFQMSQSPLVTPGSTMQSSLSVPERNL LQQESEGPSRQSGCMPLSDKYVNKQTGLLASRNFRKERIVYSKEQQRLLQKHFDECQYPK EKKIVELAVLIGVTKMEIKKWFKNNRAKYRQMNLQNIKQALPESNGSSKAVSESTHFPGS IPVVASDNGESICSGTFGEDSIPKFNCSQESSLYCFQA >ENSMUSP00000138871.1 pep:known chromosome:GRCm38:7:14659745:14664574:1 gene:ENSMUSG00000055942.13 transcript:ENSMUST00000183424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox7 description:oocyte specific homeobox 7 [Source:MGI Symbol;Acc:MGI:3646231] MAEGPSLHPKLQVASNIPIEISSQIPQELAKNLPFQMSQSPLVTPGSTMQSSLSVPERNL LQQESEGPSRQSGCMPLSDKYVNKQTGLLASRNFRKERIVYSKEQQRLLQKHFDECQYPK EKKIVELAVLIGVTKME >ENSMUSP00000107671.2 pep:known chromosome:GRCm38:9:109322886:109339659:-1 gene:ENSMUSG00000054087.11 transcript:ENSMUST00000112040.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw14 description:F-box and WD-40 domain protein 14 [Source:MGI Symbol;Acc:MGI:1354703] MEIHLPSVPMMEILSYLDAYSLLQAAQVNKNWNELASSDVLWRKLCQKRWLYCYMFTLPL HGLETWKQFFFDKTWQEHAKTRAKPEDFTYKEFPVEFEFRAHPWYISRHGLTRNGQEKSA VCMTSMNRISTWDIHEGAMTWESPKQPSYIVWMTTLPEMQIAVTIDMQSTIKLWDCHNKE VLASKTGLFFGCKLLQSEFTKDGPIVLVGDACGNLYIFRIPDLHLISKVNVFPYSIDELY FSPQKKWVFLIGHRLNDLTKVFYMSSLLRPSEFSAPVSTVLKVPVIRKVFWTPRREDRIT LMSLILPKNITKFDTFDMKLEGIGNKVTIQGYLTASFSLAEDEGRPDYFGVSDKDVIVCS TRFSLLLFDINGLRLQTFHYCPEEIWRLWVDPVHVIVTCDAGSLDIYAWEKRSLLLRKCY RLRNRNYQQMSGLASSVILSSEEAKFGGEHLSS >ENSMUSP00000107670.2 pep:known chromosome:GRCm38:9:109323234:109339659:-1 gene:ENSMUSG00000054087.11 transcript:ENSMUST00000112039.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw14 description:F-box and WD-40 domain protein 14 [Source:MGI Symbol;Acc:MGI:1354703] MEIHLPSVPMMEILSYLDAYSLLQAAQVNKNWNELASSDVLWRKLCQKRWLYCYMFTLPL HGLETWKQFFFDKTWQEHAKTRAKPEDFTYKEFPVEFEFRAHPWYISRHGLTRNGQEKSA VCMTSMNRISTWDIHEGAMTWESPKQPSYIVWMTTLPEMQIAVTIDMQSTIKLWDCHNKE VLASKTGLFFGCKLLQSEFTKDGPIVLVGDACGNLYIFRIPDLHLISKVNVFPYSIDELY FSPQKKWVFLIGHRLNDLTKVFYMSSLLRPSEFSAPVSTVLKVPVIRKVFWTPRREDRIT LMSLILPKNITKFDTFDMKLEGIGNKVTIQGYLTASFSLAEDEGRPDYFGVSDKDVIVCS TRFSLLLFDINGLRLQTFHYCPEEIWRLWVDPVHVIVTCDAGSLDIYAWEKRSLLLRKCY RLRNRNYQQMSGYIIKTLCDDMSIISVANNSPKPCCLMAYTLSVCS >ENSMUSP00000143361.1 pep:known chromosome:GRCm38:9:109323237:109339654:-1 gene:ENSMUSG00000054087.11 transcript:ENSMUST00000200156.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw14 description:F-box and WD-40 domain protein 14 [Source:MGI Symbol;Acc:MGI:1354703] MEIHLPSVPMMEILSYLDAYSLLQAAQVNKNWNELASSDVLWRKLCQKRWLYCYMFTLPL HGLETWKQFFFDKTWQEHAKTRAKPEDFTYKEFPVEFEFRAHPWYISRHGLTRNGQEKSA VCMTSMNRISTWDIHEGAMTWESPKQPSYIVWMTTLPEMQIAVTIDMQSTIKLWDCHNKE VLASKTGLFFGCKLLQSEFTKDGPIVLVFYMSSLLRPSEFSAPVSTVLKVPVIRKVFWTP RREDRITLMSLILPKNITKFDTFDMKLEGIGNKVTIQGYLTASFSLAEDEGRPDYFGVSD KDVIVCSTRFSLLLFDINGLRLQTFHYCPEEIWRLWVDPVHVIVTCDAGSLDIYAWEKRS LLLRKCYRLRNRNYQQMSGYIIKTLCDDMSIISVANNSPKPCCLMAYTLSVCS >ENSMUSP00000143357.1 pep:known chromosome:GRCm38:9:109330842:109339642:-1 gene:ENSMUSG00000054087.11 transcript:ENSMUST00000196351.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw14 description:F-box and WD-40 domain protein 14 [Source:MGI Symbol;Acc:MGI:1354703] MEIHLPSVPMMEILSYLDAYSLLQAAQVNKNWNELASSDVLWRKLCQKRWLYCYMFTLPL HGLETWKQFFFDKTWQEHAKTRAKPEDFTYKEFPVEFAVIHAYFVAEGREMYLLQLELSL NCLVSTYCWGFLAEFRAHPWYISRHGLTRNGQEKSAVCMTSMNRISTWDIHEGAMTWESP KQPSYIVWMTTLPEMQIAVTIDMQSTIKLWDCHN >ENSMUSP00000109398.1 pep:known chromosome:GRCm38:X:100605497:100618493:1 gene:ENSMUSG00000060890.11 transcript:ENSMUST00000113769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arr3 description:arrestin 3, retinal [Source:MGI Symbol;Acc:MGI:2159617] MSTVFKKTSSNGKFSIYLGKRDFVDDVDTVEPIDGVVLVDPEYLEGRKLFVRLTCAFRYG RDDLDVIGLTFRKDLYVQTKQVAPAEPTSIQGPLTALQERLLHKLGVNAYPFTLQMVANL PCSVTLQPGPEDSGKPCGVDFEVKSFCAENLEEKIPKSDSVQLVVRKVQFSALEPGPGPS AQTIRSFFLSSQPLQLQAWMDREVHYHGEAISVHVSINNYTNKVIRRIKIAVVQTTDVVL YSLDKYTKTVFVQEFTETVAANSSFSQTFAVTPLLAANCQKQGLALDGKLKHEDTNLASS TILRPGMNKELLGILVSYKVRVNLVVSYGGILGGLPASDVGVELPVILIHPKPSPGERAV ATSSEDIVIEEFMQHNSQTQS >ENSMUSP00000132811.1 pep:known chromosome:GRCm38:X:100605647:100611944:1 gene:ENSMUSG00000060890.11 transcript:ENSMUST00000164940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arr3 description:arrestin 3, retinal [Source:MGI Symbol;Acc:MGI:2159617] MSTVFKKTSSNGKFSIYLGKRDFVDDVDTVEPIDGVVLVDPEYLEGRKSVFVRLTCAFRY GRDDLDVIGLTFRKDLYVQTKQVAPAEPTSIQGPLTALQERLLHKLGVNAYPFTL >ENSMUSP00000031249.3 pep:known chromosome:GRCm38:5:104079111:104113733:-1 gene:ENSMUSG00000029309.7 transcript:ENSMUST00000031249.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sparcl1 description:SPARC-like 1 [Source:MGI Symbol;Acc:MGI:108110] MKAVLLLLCALGTAVAIPTSTRFLSDHSNPTTATLVTPEDATVPIAGVEATADIENHPSD KAEKPSALNSEEETHEQSTEQDKTYSFEVDLKDEEDGDGDLSVDPTEGTLTLDLQEGTSE PQQKSLPENGDFPATVSTSYVDPNQRANITKGKESQEQPVSDSHQQPNESSKQTQDLKAE ESQTQDPDIPNEEEEEEEDEEEEEEEEPEDIGAPSDNQEEGKEPLEEQPTSKWEGNREQS DDTLEESSQPTQISKTEKHQSEQGNQGQESDSEAEGEDKAAGSKEHIPHTEQQDQEGKAG LEAIGNQKDTDEKAVSTEPTDAAVVPRSHGGAGDNGGGDDSKHGAGDDYFIPSQEFLEAE RMHSLSYYLKYGGGEETTTGESENRREAADNQEAKKAESSPNAEPSDEGNSREHSAGSCT NFQCKRGHICKTDPQGKPHCVCQDPETCPPAKILDQACGTDNQTYASSCHLFATKCRLEG TKKGHQLQLDYFGACKSIPACTDFEVAQFPLRMRDWLKNILMQLYEPNPKHGGYLNEKQR SKVKKIYLDEKRLLAGDHPIELLLRDFKKNYHMYVYPVHWQFNELDQHPADRILTHSELA PLRASLVPMEHCITRFFEECDPNKDKHITLKEWGHCFGIKEEDIDENLLF >ENSMUSP00000143177.1 pep:known chromosome:GRCm38:5:104092840:104113439:-1 gene:ENSMUSG00000029309.7 transcript:ENSMUST00000199947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sparcl1 description:SPARC-like 1 [Source:MGI Symbol;Acc:MGI:108110] MKAVLLLLCALGTAVAIPTSTRFLSDHSNPTTATLVTPEDATVPIAGVEATADIENHPSD KAEKPSALNSEEETHEQSTEQDKTYSFEVDLKDEEDGDGDLSVDPTEGTLTLDLQEGTSE PQQKSLPENGDFPATVSTSYVDPNQRANITKGKESQEQPVSDSHQQPNESSKQTQDLKAE ESQTQDPDIPNEEEEEEEDEEEEEEEEPEDIGAPSDNQEEGKEPLEEQPTSKWEGNREQ >ENSMUSP00000133482.1 pep:known chromosome:GRCm38:5:25271798:25300075:-1 gene:ENSMUSG00000038056.15 transcript:ENSMUST00000174734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2c description:lysine (K)-specific methyltransferase 2C [Source:MGI Symbol;Acc:MGI:2444959] LMQEVDRQRALQQRMEMEQHCLMGAELANRTPVSQMPFYGSDRPCDFLQPPRPLQQSPQH QQQIGPVLQQQNVQQGSVNSPPNQTFMQTNEQRQVGPPSFVPDSPSASGGSPNFHSVKPG HGNLPGSSFQQSPLRPPFTPILPGTSPVANSNVPCGQDPAVTQGQNYSGSSQSLIQLYSD IIPEEKGKKKRTRKKKKDDDAESGKAPSTPHSDCAAPLTPGLSETTSTPAVSSPSELPQQ RQQEPVEPVPVPTPNVSAGQPCIESENKLPNSEFIKETSNQQTHVNAEADKPSVETPNKT EEIKLEKAETQPSQEDTKVEEKTGNKIKDIVAGPVSSIQCPSHPVGTPTTKGDTGNELLK HLLKNKKASSLLTQKPEGTLSSDESSTKDGKLIEKQSPAEGLQTLGAQMQGGFGGGNSQL PKTDGASENKKQRSKRTQRTGEKAAPRSKKRKKDEEEKQAMYSSSDSFTHLKQQLSLLPL MEPIIGVNFAHFLPYGSGQFNSGTRLLGTFGSAALEGVSDYYSQLIYKKMANGFATTEEL AGKAGVLVSHEVARALGPKPFQLPFRPQDDLLARAIAQGPKTVDVPASLPTPPHNNHEEL RIQDHYGDRDTPDSFVPSSSPESVVGVEVNKYPDLSLVKEEPPEPVPSPIIPILPSISGK NSESRRNDIKTEPGTLFFTSPFGSSPNGPRSGLISVAITLHPTAAENISSVVAAFSDLLH VRIPNSYEVSNAPDVPPMGLVSSHRVNPSLEYRQHLLLRGPPPGSANPPRLATSYRLKQP NVPFPPTSNGLSGYKDSSHGPAEGASLRPQWCCHCKVVILGSGVRKSCKDLTFVNKGSRE NTKRMEKDIVFCSNNCFILYSSAAQAKNSDNKESLPSLPQSPMKEPSKAFHQYSNNISTL DVHCLPQFQEKVSPPASPPISFPPAFEAAKVESKPDELKVTVKLKPRLRTVPVGLEDCRP LNKKWRGMKWKKWSIHIVIPKGTFKPPCEDEIDEFLKKLGTCLKPDPVPKDCRKCCFCHE EGDGLTDGPARLLNLDLDLWVHLNCALWSTEVYETQAGALINVELALRRGLQMKCVFCHK TGATSGCHRFRCTNIYHFTCATKAQCMFFKDKTMLCPMHKPKGIHEQQLSYFAVFRRVYV QRDEVRQIASIVQRGERDHTFRVGSLIFHTIGQLLPQQMQAFHSPKALFPVGYEASRLYW STRYANRRCRYLCSIEEKDGRPVFVIRIVEQGHEDLVLSDSSPKDVWDKILEPVACVRKK SEMLQLFPAYLKGEDLFGLTVSAVARIAESLPGVEACENYTFRYGRNPLMELPLAVNPTG CARSEPKMSAHVKRFVLRPHTLNSTSTSKSFQSTVTGELNAPYSKQFVHSKSSQYRRMKT EWKSNVYLARSRIQGLGLYAARDIEKHTMVIEYIGTIIRNEVANRKEKLYESQNRGVYMF RMDNDHVIDATLTGGPARYINHSCAPNCVAEVVTFERGHKIIISSNRRIQKGEELCYDYK FDFEDDQHKIPCHCGAVNCRKWMN >ENSMUSP00000133941.1 pep:known chromosome:GRCm38:5:25272426:25308550:-1 gene:ENSMUSG00000038056.15 transcript:ENSMUST00000172556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2c description:lysine (K)-specific methyltransferase 2C [Source:MGI Symbol;Acc:MGI:2444959] XFDAITDPIMKAKMVALKGINKVMAQNSLGMPPMVMSRFPFMGPSVAGTQNNDGQTLVPQ AVAQDGSITHQISRPNPPNFGPGFVNDSQRKQYEEWLQETQQLLQMQQKYLEEQIGAHRK SKKALSAKQRTAKKAGREFPEEDAEQLKHVTEQQSMVQKQLEQIRKQQKEHAELIEDYRI KQQQQQQQCALAPPILMPGVQPQPPLVPGATSLTMSQPNFPMVPQQLQHQQHTAVISGHT SPARMPSLPGWQSNSASAHLPLNPPRIQPPIAQLSLKTCTPAPGTVSSANPQNGPPPRVE FDDNNPFSESFQERERKERLREQQERQRVQLMQEVDRQRALQQRMEMEQHCLMGAELANR TPVSQMPFYGSDRPCDFLQPPRPLQQSPQHQQQIGPVLQQQNVQQGSVNSPPNQTFMQTN EQRQVGPPSFVPDSPSASGGSPNFHSVKPGHGNLPGSSFQQSPLRPPFTPILPGTSPVAN SNVPCGQDPAVTQGQNYSGSSQSLIQLYSDIIPEEKGKKKRTRKKKKDDDAESGKAPSTP HSDCAAPLTPGLSETTSTPAVSSPSELPQQRQQEPVEPVPVPTPNVSAGQPCIESENKLP NSEFIKETSNQQTHVNAEADKPSVETPNKTEEIKLEKAETQPSQEDTKVEEKTGNKIKDI VAGPVSSIQCPSHPVGTPTTKGDTGNELLKHLLKNKKASSLLTQKPEGTLSSDESSTKDG KLIEKQSPAEGLQNNLSNPPTPPASLPPTPPPMACQKMANGFATTEELAGKAGVLVSHEV ARALGPKPFQLPFRPQDDLLARAIAQGPKTVDVPASLPTPPHNNHEELRIQDHYGDRDTP DSFVPSSSPESVVGVEVNKYPDLSLVKEEPPEPVPSPIIPILPSISGKNSESRRNDIKTE PGTLFFTSPFGSSPNGPRSGLISVAITLHPTAAENISSVVAAFSDLLHVRIPNSYEVSNA PDVPPMGLVSSHRVNPSLEYRQHLLLRGPPPGSANPPRLATSYRLKQPNVPFPPTSNGLS GYKDSSHGPAEGASLRPQWCCHCKVVILGSGVRKSCKDLTFVNKGSRENTKRMEKDIVFC SNNCFILYSSAAQAKNSDNKESLPSLPQSPMKEPSKAFHQYSNNISTLDVHCLPQFQEKV SPPASPPISFPPAFEAAKVESKPDELKVTVKLKPRLRTVPVGLEDCRPLNKKWRGMKWKK WSIHIVIPKGTFKPPCEDEIDEFLKKLGTCLKPDPVPKDCRKCCFCHEEGDGLTDGPARL LNLDLDLWVHLNCALWSTEVYETQAGALINVELALRRGLQMKCVFCHKTGATSGCHRFRC TNIYHFTCATKAQCMFFKDKTMLCPMHKPKGIHEQQLSYFAVFRRVYVQRDEVRQIASIV QRGERDHTFRVGSLIFHTIGQLLPQQMQAFHSPKALFPVGYEASRLYWSTRYANRRCRYL CSIEEKDGRPVFVIRIVEQGHEDLVLSDSSPKDVWDKILEPVACVRKKSEMLQLFPAYLK GEDLFGLTVSAVARIAESLPGVEACENYTFRYGRNPLMELPLAVNPTGCARSEPKMSAHV KRPHTLNSTSTSKSFQSTVTGELNAPYSKQFVHSKSSQYRRMKTEWKSNVYLARSRIQGL GLYAARDIEKHTMVIEYIGTIIRNEVANRKEKLYESQNRGVYMFRMDNDHVIDATLTGGP ARYINHSCAPNCVAEVVTFERGHKIIISSNRRIQKGEELCYDYKFDFEDDQHKIPCHCGA VNCRKWMN >ENSMUSP00000043874.7 pep:known chromosome:GRCm38:5:25273609:25498745:-1 gene:ENSMUSG00000038056.15 transcript:ENSMUST00000045291.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2c description:lysine (K)-specific methyltransferase 2C [Source:MGI Symbol;Acc:MGI:2444959] MSSEEDRSAEQQQPPPAPPEEPGAPAPSPAAADKRPRGRPRKDGASPFQRARKKPRSRGK STVEDEDSMDGLETTETENIVETEIKEQSVEEDAETEVDSSKQPVSALQRSVSEESANSL VSVGVEAKISEQLCAFCYCGEKSSLGQGDLKQFRVTPGLTLPWKDQPSNKDIDDNSSGTC EKIQNYAPRKQRGQRKERPPQQSAVSCVSVSTQTACEDQAGKLWDELSLVGLPDAIDVQA LFDSTGTCWAHHRCVEWSLGICQMEEPLLVNVDKAVVSGSTERCAFCKHLGATIKCCEEK CTQMYHYPCAAGAGTFQDFSHFFLLCPEHIDQAPERSKEDANCAVCDSPGDLLDQFFCTT CGQHYHGMCLDIAVTPLKRAGWQCPECKVCQNCKQSGEDSKMLVCDTCDKGYHTFCLQPV MKSVPTNGWKCKNCRICIECGTRSSTQWHHNCLICDTCYQQQDNLCPFCGKCYHPELQKD MLHCNMCKRWVHLECDKPTDQELDSQLKEDYICMYCKHLGAEIDPLHPGNEVEMPELPTD YASGMEIEGTEDEVVFLEQTVNKDVSDHQCRPGIVPDAVQVYTEEPQKSNPLESPDTVGL ITSESSDNKMNPDLANEIAHEVDTEKTEMLSKGRHVCEEDQNEDRMEVTENIEVLPHQTI VPQEDLLLSEDSEVASKELSPPKSAPETAAPEALLSPHSERSLSCKEPLLTERVQEEMEQ KENSEFSTGCVDFEMTLAVDSCDKDSSCQGDKYVELPAEEESTFSSATDLNKADVSSSST LCSDLPSCDMLHGYPPAFNSAAGSIMPTTYISVTPKIGMGKPAITKRKFSPGRPRSKQGA WSNHNTVSPPSWAPDTSEGREIFKPRQLSGSAIWSIKVGRGSGFPGKRRPRGAGLSGRGG RGRSKLKSGIGAVVLPGVSAADISSNKDEEENSMHNTVVLFSSSDKFTLQQDMCVVCGSF GQGAEGRLLACSQCGQCYHPYCVSIKITKVVLSKGWRCLECTVCEACGKATDPGRLLLCD DCDISYHTYCLDPPLQTVPKGGWKCKWCVWCRHCGATSAGLRCEWQNNYTQCAPCASLSS CPVCCRNYREEDLILQCRQCDRWMHAVCQNLNTEEEVENVADIGFDCSMCRPYMPVSNVP SSDCCDSSLVAQIVTKVKELDPPKTYTQDGVCLTESGMSQLQSLTVTAPRRKRTKPKLKL KIINQNSVAVLQTPPDIQSEHSRDGEMDDSREGELMDCDGKSESSPEREAGDDETKGIEG TDAIKKRKRKPYRPGIGGFMVRQRSRTGQGKAKRSVVRKDSSGSISEQLPSRDDGWREQL PDTLVDEPVSVAENTDKIKKRYRKRKNKLEETFPAYLQEAFFGKDLLDTSRQNKLSVDNL SEDAAQLSFKTGFLDPSSDPLLSSSSTSAKPGTQGTADDPLADISEVLNTDDDILGIISD DLAKSVDHSDIGPTTADASSLPQPGVSQSSRPLTEEQLDGILSPELDKMVTDGAILGKLY KIPELGGKDVEDLFTAVLSPATTQPAPLPQPPPPPQLLPMHNQDVFSRMPLMNGLIGPSP HLPHNSLPPGSGLGTFPAIAQSPYTDVRDKSPAFNAIASDPNSSWAPTTPSMEGENDTLS NAQRSTLKWEKEEALGEMATVAPVLYTNINFPNLKEEFPDWTTRVKQIAKLWRKASSQER APYVQKARDNRAALRINKVQMSNDSMKRQQQQDSIDPSSRIDSDLFKDPLKQRESEHEQE WKFRQQMRQKSKQQAKIEATQKLEQVKNEQQQQQQQQQQQQQQQLASQHLLVAPGSDTPS SGAQSPLTPQAGNGNVSPAQTFHKDLFSKHLPGTPASTPSDGVFVKPQPPPPPSTPSRIP VQESLSQSQNSQPPSPQMFSPGSSHSRPPSPVDPYAKMVGTPRPPPGGHSFPRRNSVTPV ENCVPLSSVPRPIHMNETSATRPSPARDLCASSMTNSDPYAKPPDTPRPMMTDQFSKPFS LPRSPVISEQSTKGPLTTGTSDHFTKPSPRTDAFQRQRLPDPYAGPSLTPAPLGNGPFKT PLHPPPSQDPYGSVSQTSRRLSVDPYERPALTPRPVDNFSHSQSNDPYSHPPLTPHPAMT ESFTHASRAFPQPGTISRSASQDPYSQPPGTPRPLIDSYSQTSGTARSNPDPYSQPPGTP RPNTIDPYSQQPPTPRPSPQTDMFVSSVANQRHTDPYTHHLGPPRPGISVPYSQPPAVPR PRTSEGFTRPSSARPALMPNQDPFLQAAQNRVPGLPGPLIRPPDTCSQTPRPPGPGRIDT FTHASSSAVRDPYDQPPVTPRPHSESFGTSQVVHDLVDRPVPGSEGNFSTSSNLPVSSQG QQFSSVSQLPGPVPTSGGTDTQNTVNMSQADTEKLRQRQKLREIILQQQQQKKIASRQEK GPQDTAVVPHPVPLPHWQPESINQAFTRPPPPYPGSTRSPVIPPLGPRYAVFPKDQRGPY PPEVAGMGMRPHGFRFGFPGAGHGPMPSQDRFHVPQQIQGSGIPPHIRRPMSMEMPRPSN NPPLNNPVGLPQHFPPQGLPVQQHNILGQAFIELRHRAPDGRSRLPFAASPSSVIESPSH PRHGNFLPRPDFPGPRHTDPIRQPSQCLSNQLPVHPNLEQVPPSQQEQGHPAHQSSIVMR PLNHPLSGEFSEAPLSTSTPAETSPDNLEIAGQSSAGLEEKLDSDDPSVKELDVKDLEGV EVKDLDDEDLENLNLDTEDGKGDDLDTLDNLETNDPNLDDLLRSGEFDIIAYTDPELDLG DKKSMFNEELDLNVPIDDKLDNQCASVEPKTRDQGDKTMVLEDKDLPQRKSSVSSEIKTE ALSPYSKEEIQSEIKNHDDSRGDADTACSQAASAQTNHSDRGKTALLTTDQDMLEKRCNQ ENAGPVVSAIQGSTPLPARDVMNSCDITGSTPVLSSLLSNEKCDDSDIRPSGSSPPSLPI SPSTHGSSLPPTLIVPPSPLLDNTVNSNVTVVPRINHAFSQGVPVNPGFIQGQSSVNHNL GTGKPTNQTVPLTNQSSTMSGPQQLMIPQTLAQQNRERPLLLEEQPLLLQDLLDQERQEQ QQQRQMQAMIRQRSEPFFPNIDFDAITDPIMKAKMVALKGINKVMAQNSLGMPPMVMSRF PFMGPSVAGTQNNDGQTLVPQAVAQDGSITHQISRPNPPNFGPGFVNDSQRKQYEEWLQE TQQLLQMQQKYLEEQIGAHRKSKKALSAKQRTAKKAGREFPEEDAEQLKHVTEQQSMVQK QLEQIRKQQKEHAELIEDYRIKQQQQQQQCALAPPILMPGVQPQPPLVPGATSLTMSQPN FPMVPQQLQHQQHTAVISGHTSPARMPSLPGWQSNSASAHLPLNPPRIQPPIAQLSLKTC TPAPGTVSSANPQNGPPPRVEFDDNNPFSESFQERERKERLREQQERQRVQLMQEVDRQR ALQQRMEMEQHCLMGAELANRTPVSQMPFYGSDRPCDFLQPPRPLQQSPQHQQQIGPVLQ QQNVQQGSVNSPPNQTFMQTNEQRQVGPPSFVPDSPSASGGSPNFHSVKPGHGNLPGSSF QQSPLRPPFTPILPGTSPVANSNVPCGQDPAVTQGQNYSGSSQSLIQLYSDIIPEEKGKK KRTRKKKKDDDAESGKAPSTPHSDCAAPLTPGLSETTSTPAVSSPSELPQQRQQEPVEPV PVPTPNVSAGQPCIESENKLPNSEFIKETSNQQTHVNAEADKPSVETPNKTEEIKLEKAE TQPSQEDTKVEEKTGNKIKDIVAGPVSSIQCPSHPVGTPTTKGDTGNELLKHLLKNKKAS SLLTQKPEGTLSSDESSTKDGKLIEKQSPAEGLQTLGAQMQGGFGGGNSQLPKTDGASEN KKQRSKRTQRTGEKAAPRSKKRKKDEEEKQAMYSSSDSFTHLKQQNNLSNPPTPPASLPP TPPPMACQKMANGFATTEELAGKAGVLVSHEVARALGPKPFQLPFRPQDDLLARAIAQGP KTVDVPASLPTPPHNNHEELRIQDHYGDRDTPDSFVPSSSPESVVGVEVNKYPDLSLVKE EPPEPVPSPIIPILPSISGKNSESRRNDIKTEPGTLFFTSPFGSSPNGPRSGLISVAITL HPTAAENISSVVAAFSDLLHVRIPNSYEVSNAPDVPPMGLVSSHRVNPSLEYRQHLLLRG PPPGSANPPRLATSYRLKQPNVPFPPTSNGLSGYKDSSHGPAEGASLRPQWCCHCKVVIL GSGVRKSCKDLTFVNKGSRENTKRMEKDIVFCSNNCFILYSSAAQAKNSDNKESLPSLPQ SPMKEPSKAFHQYSNNISTLDVHCLPQFQEKVSPPASPPISFPPAFEAAKVESKPDELKV TVKLKPRLRTVPVGLEDCRPLNKKWRGMKWKKWSIHIVIPKGTFKPPCEDEIDEFLKKLG TCLKPDPVPKDCRKCCFCHEEGDGLTDGPARLLNLDLDLWVHLNCALWSTEVYETQAGAL INVELALRRGLQMKCVFCHKTGATSGCHRFRCTNIYHFTCATKAQCMFFKDKTMLCPMHK PKGIHEQQLSYFAVFRRVYVQRDEVRQIASIVQRGERDHTFRVGSLIFHTIGQLLPQQMQ AFHSPKALFPVGYEASRLYWSTRYANRRCRYLCSIEEKDGRPVFVIRIVEQGHEDLVLSD SSPKDVWDKILEPVACVRKKSEMLQLFPAYLKGEDLFGLTVSAVARIAESLPGVEACENY TFRYGRNPLMELPLAVNPTGCARSEPKMSAHVKRFVLRPHTLNSTSTSKSFQSTVTGELN APYSKQFVHSKSSQYRRMKTEWKSNVYLARSRIQGLGLYAARDIEKHTMVIEYIGTIIRN EVANRKEKLYESQNRGVYMFRMDNDHVIDATLTGGPARYINHSCAPNCVAEVVTFERGHK IIISSNRRIQKGEELCYDYKFDFEDDQHKIPCHCGAVNCRKWMN >ENSMUSP00000134442.1 pep:known chromosome:GRCm38:5:25315737:25498702:-1 gene:ENSMUSG00000038056.15 transcript:ENSMUST00000173073.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2c description:lysine (K)-specific methyltransferase 2C [Source:MGI Symbol;Acc:MGI:2444959] MSSEEDRSAEQQQPPPAPPEEPGAPAPSPAAADKRPRGRPRKDGASPFQRARKKPRSRGK STVEDEDSMDGLETTETENIVETEIKEQSVEEDAETEVDSSKQPVSALQRSVSEESANSL VSVGVEAKISEQLCAFCYCGEKSSLGQGDLKQFRVTPGLTLPWKDQPSNKDIDDNSSGTC EKIQNYAPRKQRGQRKERPPQQSAVSCVSVSTQTACEDQAGKLWDELSLVGLPDAIDVQA LFDSTGTCWAHHRCVEWSLGICQMEEPLLVNVDKAVVSGSTERCAFCKHLGATIKCCEEK CTQMYHYPCAAGAGTFQDFSHFFLLCPEHIDQAPERSKEDANCAVCDSPGDLLDQFFCTT CGQHYHGMCLDIAVTPLKRAGWQCPECKVCQNCKQSGEDSKMLVCDTCDKGYHTFCLQPV MKSVPTNGWKCKNCRICIECGTRSSTQWHHNCLICDTCYQQQDNLCPFCGKCYHPELQKD MLHCNMCKRWVHLECDKPTDQELDSQLKEDYICMYCKHLGAEIDPLHPGNEVEMPELPTD YASGMEIEGTEDEVVFLEQTVNKDVSDHQCRPGIVPDAVQVYTEEPQKSNPLESPDTVGL ITSESSDNKMNPDLANEIAHEVDTEKTEMLSKGRHVCEEDQNEDRMEVTENIEVLPHQTI VPQEDLLLSEDSEVASKELSPPKSAPETAAPEALLSPHSERSLSCKEPLLTERVQEEMEQ KENSEFSTGCVDFEMTLAVDSCDKDSSCQGDKYVELPAEEESTFSSATDLNKADVSSSST LCSDLPSCDMLHGYPPAFNSAAGSIMPTTYISVTPKIGMGKPAITKRKFSPGRPRSKQGR GSGFPGKRRPRGAGLSGRGGRGRSKLKSGIGAVVLPGVSAADISSNKDEEENSMHNTVVL FSSSDKFTLQQDMCVVCGSFGQGAEGRLLACSQCGQCYHPYCVSIKITKVVLSKGWRCLE CTVCEACGKATDPGRLLLCDDCDISYHTYCLDPPLQTVPKGGWKCKWCVWCRHCGATSAG LRCEWQNNYTQCAPCASLSSCPVCCRNYREEDLILQCRQCDRWMHAVCQNLNTEEEVENV ADIGFDCSMCRPYMPVSNVPSSDCCDSSLVAQIVTKVKELDPPKTYTQDGVCLTESGMSQ LQSLTVTAPRRKRTKPKLKLKIINQNSVAVLQTPPDIQSEHSRDGEMDDSREGELMDCDG KSESSPEREAGDDETKGIEGTDAIKKRKRKPYRPGIGGFMVRQRSRTGQGKAKRSVVRKD SSGSISEQLPSRDDGWREQLPDTLVDEPVSVAENTDKIKKRYRKRKNKLEETFPAYLQEA FFGKDLLDTSRQNKLSVDNLSEDAAQLSFKTGFLDPSSDPLLSSSSTSAKPGTQDIGPTT ADASSLPQPGVSQSSRPLTEEQLDGILSPELDKMVTDGAILGKLYKIPELGGKDVEDLFT AVLSPATTQPAPLPQPPPPPQLLPMHNQDVFSRMPLMNGLIGPSPHLPHNSLPPGSGLGT FPAIAQSPYTDVRDKSPAFNAIASDPNSSWAPTTPSMEGENDTLSNAQRSTLKWEKEEAL GEMATVAPVLYTNINFPNLKEEFPDWTTRVKQIAKLWRKASSQERAPYVQKARDNRAALR INKVQMSNDSMKRQQQQDSIDPSSRIDSDLFKDPLKQRESEHEQEWKFRQQMRQKSKQQA KIEATQKLEQVKNEQQQQQQQQQQQQQQQLASQHLL >ENSMUSP00000133304.1 pep:known chromosome:GRCm38:5:25372836:25498755:-1 gene:ENSMUSG00000038056.15 transcript:ENSMUST00000173174.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2c description:lysine (K)-specific methyltransferase 2C [Source:MGI Symbol;Acc:MGI:2444959] MSSEEDRSAEQQQPPPAPPEEPGAPAPSPAAADKRPRGRPRKDGASPFQRARKKPRSRGK STVEDEDSMDGLETTETENIVETEIKEQSVEEDAETEVDSSKQPVSALQRSVSEESANSL VSVGVEAKISEQLCAFCYCGEKSSLGQGDLKQFRVTPGLTLPWKDQPSNKDIDDNSSGTC EKIQNYAPRKQRGQRKERPPQQSAVSCVSVSTQTACEDQAGKLWDELSLVGLPDAIDVQA LFDSTGTCWAHHRCVEWSLGICQMEEPLLVNVDKAVVSGSTERCAFCKHLGATIKCCEEK CTQMYHYPCAAGAGTFQDFSHFFLLCPEHIDQAPERSKEDANCAVCDSPGDLLDQFFCTT CGQHYHGMCLDIAVTPLKRAGWQCPECKVCQNCKQSGEDSKMLVCDTCDKGYHTFCLQPV MKSVPTNGWKCKNCRICIECGTRSSTQWHHNCLICDTCYQQQDNLCPFCGKCYHPELQKD MLHCNMCKRWVHLECDKPTDQELDSQLKEDYICMYCKHLGAEIDPLHPGNEVEMPELPTD YASGMEIEGTEDEVVFLEQTVNKDVSDHQCRPGIVPDVQVYTEEPQKSNPLESPDTVGLI TSESSDNKMNPDLANEIAHEVDTEKTEMLSKGRHVCEEDQNEDRMEVTENIEVLPHQTIV PQEDLLLSEDSEVASKELSPPKSAPETAAPEALLSPHSERSLSCKEPLLTERVQEEMEQK ENSEFSTGCVDFEMTLAVDSCDKDSSCQGDKYVELPAEEESTFSSATDLNKADVSSSSTL CSDLPSCDMLHGYPPAFNSAAGSIMPTTYISVTP >ENSMUSP00000029645.8 pep:known chromosome:GRCm38:3:81957090:81976202:-1 gene:ENSMUSG00000028011.16 transcript:ENSMUST00000029645.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdo2 description:tryptophan 2,3-dioxygenase [Source:MGI Symbol;Acc:MGI:1928486] MSGCPFAGNSVGYTLKNVSMEDNEEDRAQTGVNRASKGGLIYGNYLQLEKILNAQELQSE VKGNKIHDEHLFIITHQAYELWFKQILWELDSVREIFQNGHVRDERNMLKVIARMHRVVV IFKLLVQQFSVLETMTALDFNDFREYLSPASGFQSLQFRLLENKIGVLQSLRVPYNRKHY RDNFGGDYNELLLKSEQEQTLLQLVEAWLERTPGLEPNGFNFWGKFEKNILKGLEEEFLR IQAKTDSEEKEEQMAEFRKQKEVLLCLFDEKRHDYLLSKGERRLSYRALQGALMIYFYRE EPRFQVPFQLLTSLMDIDTLMTKWRYNHVCMVHRMLGTKAGTGGSSGYHYLRSTVSDRYK VFVDLFNLSTYLVPRHWVPKMNPIIHKFLYTAEYSDSSYFSSDESD >ENSMUSP00000141237.1 pep:known chromosome:GRCm38:3:81958791:81976202:-1 gene:ENSMUSG00000028011.16 transcript:ENSMUST00000193879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdo2 description:tryptophan 2,3-dioxygenase [Source:MGI Symbol;Acc:MGI:1928486] MEDNEEDRAQTGVNRASKGGLIYGNYLQLEKILNAQELQSEVKGNKIHDEHLFIITHQAY ELWFKQILWELDSVREIFQNGHVRDERNMLKVIARMHRVVVIFKLLVQQFSVLETMTALD FNDFREYLSPASGFQSLQFRLLENKIGVLQSLRVPYNRKHYRDNFGGDYNELLLKSEQEQ TLLQLVEAWLERTPGLEPNGFNFWGKFEKNILKGLEEEFLRIQAKTDSEEKEEQMAEFRK QKEVLLCLFDEKRHDYLLSKGERRLSYRALQGALMIYFYREEPRFQVPFQLLTSLMDIDT LMTKWRYNHVCMVHRMLGTKAGTGGSSGYHYLRSTVSDRYKVFVDLFNLSTYLVPRHWVP KMNPIIHKFLYTAEYSDSSYFSSDESD >ENSMUSP00000042582.4 pep:known chromosome:GRCm38:9:39587396:39604124:-1 gene:ENSMUSG00000038112.15 transcript:ENSMUST00000042485.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW551984 description:expressed sequence AW551984 [Source:MGI Symbol;Acc:MGI:2143322] MVRSGGLLTSIRDPVALKSIAVTLSINDFVAGVSATLNYENEEKSPLEAFFVFPMDEDSA VYSFEAFVDGKKIVAELQDKYQAHKRYEEALSGGYQAYLLEEDKCSRDVFCCNVGNLQPG SKVSLTLRYVQELPLEDDGALRYVLPAILNPRYHLSDGREDSNLDMKTPIVPLEELPYTL SMVATISSQLGISTIQSNCPLNPIEYTGDNKTSAQVSLAEGHKFDRDVELLIFYRKVHSP SVAVEMGMSGRPSDSLMGAPSAMVSFYPDIPEVETTTKCGEFVFLMDRSRSMNSPMSSKD KSQLRIDAAKETLILLLKSLPMGCYFNIYGFGATHEEFFPDSVMYNQETMQIAVKKVKRL LADLGGTELLTPLRKIFRKPPIPGHPLQVFVFTDGEVVETFSVIREVMFQSKKHRCFSFG IGEGASTSLIKNLARVSGGTAEFITGNDRMQSKALRSLRRSLQSVVEDVSLSWNLPPKMF APMLSPEQTSIFRGQRLIIYSLLIGKIPKEEATGEVCLSYKLHGKSYEEKVTFSLQPKPD DNLTIHRLAAKSMIQSKDFGFKETSLMYKRDVLDISLESGVMSSFTAFVAINKELNEPIQ GPLVHRDIPRPILLGAGPNRPSDGGYPKTLFCASAPVTLPPWLKGSGKCVATILMPNGTP QQRQNSQKKKCVVHTDTRPELPHLAKYDSYPQGSKEHSIAQLIYLQNADGSWDMNEDLAK ILSTSLEDITAACPTEVLEPSAWATMLAVIWLHANGKELKDEWELLERKAVVWLHNNSVR GFNKLIRTANKLLKTSLSPSIFKL >ENSMUSP00000113212.1 pep:known chromosome:GRCm38:9:39587510:39603687:-1 gene:ENSMUSG00000038112.15 transcript:ENSMUST00000119722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW551984 description:expressed sequence AW551984 [Source:MGI Symbol;Acc:MGI:2143322] MVRSGGLLTSIRDPVALKSIAVTLSINDFVAGVSATLNYENEEKSPLEAFFVFPMDEDSA VYSFEAFVDGKKIVAELQDKYQAHKRYEEALSGGYQAYLLEEDKCSRDVFCCNVGNLQPG SKVSLTLRYVQELPLEDDGALRYVLPAILNPRYHLSDGREDSNLDMKTPIVPLEELPYTL SMVATISSQLGISTIQSNCPLNPIEYTGDNKTSAQVSLAEGHKFDRDVELLIFYRKVHSP SVAVEMGMSGRPSDSLMGAPSAMVSFYPDIPEVETTTKCGEFVFLMDRSRSMNSPMSSKD KSQLRIDAAKETLILLLKSLPMGCYFNIYGFGATHEEFFPDSVMYNQETMQIAVKKVKRL LADLGGTELLTPLRKIFRKPPIPGHPLQVFVFTDGEVVETFSVIREVMFQSKKHRCFSFG IGEGASTSLIKNLARVSGGTAEFITGNDRMQSKALRSLRRSLQSVVEDVSLSWNLPPKMF APMLSPEQTSIFRGQRLIIYSLLIGKIPKEEATGEVCLSYKLHGKSYEEKVTFSLQPKPD DNLTIHRLAAKSMIQSKDFGFKETSLMYKRDVLDISLESGVMSSFTAFVAINKELNEPIQ GPLVHRDIPRPILLGAGPNRPSDGGYPKTLFCASAPVTLPPWLKGSGKCVATILMPNGTP QQRQNSQKKKCVVHTDTRPELPHLAKYDSYPQGSKEHSIAQLIYLQNADGSWDMNEDLAK ILSTSLEDITAACPTEVLEPSAWATMLAVIWLHANGKELKDEWELLERKAVVWLHNNSVR GFNKLIRTANKLLKTSLSPSIFKL >ENSMUSP00000117328.1 pep:known chromosome:GRCm38:9:39599658:39604403:-1 gene:ENSMUSG00000038112.15 transcript:ENSMUST00000141370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW551984 description:expressed sequence AW551984 [Source:MGI Symbol;Acc:MGI:2143322] MVRSGGLLTSIRDPVALKSIAVTLSINDFVAGVSATLNYENEEKSPLEAFFVFPMDEDSA VYSFEAFVDGKKIVAELQDKYQAHKRYEEALSGGYQAYLLEEDKCSRDVFCCNVGNLQPG SKVSLTLRYVQELPLEDDGALRYVLPAILNPRYHLSDGREDSNLDMKTPIVPLEELPYTL SMVATISSQLGISTIQSNCPLNPIEYTGDN >ENSMUSP00000117262.1 pep:known chromosome:GRCm38:9:39600557:39603378:-1 gene:ENSMUSG00000038112.15 transcript:ENSMUST00000130829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW551984 description:expressed sequence AW551984 [Source:MGI Symbol;Acc:MGI:2143322] MVRSGGLLTSIRDPVALKSIAVTLSINDFVAGVSATLNYENEEKSPLEAFFV >ENSMUSP00000103079.1 pep:known chromosome:GRCm38:4:62427540:62435252:-1 gene:ENSMUSG00000063851.12 transcript:ENSMUST00000107455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf183 description:ring finger protein 183 [Source:MGI Symbol;Acc:MGI:1923322] MSEPQGQELRAECPVCWNPFNNTFHTPKVLDCCHSFCVECLAHLSLVTPARRRLLCPLCR QPTVLASGQPVTDLPTDTAMLTLLRLEPHHVILEGHQLCLKDQPKSRYFLRQPRVYTLDL GAEPGSQTGLPQDTAPDTRPVPIPSHYSLRECVRNPHFRIFAYLMAVILSVTLLLIFSIF WTKQFFWGMG >ENSMUSP00000103078.1 pep:known chromosome:GRCm38:4:62427542:62434726:-1 gene:ENSMUSG00000063851.12 transcript:ENSMUST00000107454.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf183 description:ring finger protein 183 [Source:MGI Symbol;Acc:MGI:1923322] MSEPQGQELRAECPVCWNPFNNTFHTPKVLDCCHSFCVECLAHLSLVTPARRRLLCPLCR QPTVLASGQPVTDLPTDTAMLTLLRLEPHHVILEGHQLCLKDQPKSRYFLRQPRVYTLDL GAEPGSQTGLPQDTAPDTRPVPIPSHYSLRECVRNPHFRIFAYLMAVILSVTLLLIFSIF WTKQFFWGMG >ENSMUSP00000078389.6 pep:known chromosome:GRCm38:4:62427987:62428559:-1 gene:ENSMUSG00000063851.12 transcript:ENSMUST00000079420.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf183 description:ring finger protein 183 [Source:MGI Symbol;Acc:MGI:1923322] MSEPQGQELRAECPVCWNPFNNTFHTPKVLDCCHSFCVECLAHLSLVTPARRRLLCPLCR QPTVLASGQPVTDLPTDTAMLTLLRLEPHHVILEGHQLCLKDQPKSRYFLRQPRVYTLDL GAEPGSQTGLPQDTAPDTRPVPIPSHYSLRECVRNPHFRIFAYLMAVILSVTLLLIFSIF WTKQFFWGMG >ENSMUSP00000079905.6 pep:known chromosome:GRCm38:11:59211414:59228270:-1 gene:ENSMUSG00000048076.14 transcript:ENSMUST00000061242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf1 description:ADP-ribosylation factor 1 [Source:MGI Symbol;Acc:MGI:99431] MGNIFANLFKGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN ISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELMRMLAEDELRDAV LLVFANKQDLPNAMNAAEITDKLGLHSLRHRNWYIQATCATSGDGLYEGLDWLSNQLRNQ K >ENSMUSP00000126120.1 pep:known chromosome:GRCm38:11:59211412:59228162:-1 gene:ENSMUSG00000048076.14 transcript:ENSMUST00000163300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf1 description:ADP-ribosylation factor 1 [Source:MGI Symbol;Acc:MGI:99431] MGNIFANLFKGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN ISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELMRMLAEDELRDAV LLVFANKQDLPNAMNAAEITDKLGLHSLRHRNWYIQATCATSGDGLYEGLDWLSNQLRNQ K >ENSMUSP00000082543.2 pep:known chromosome:GRCm38:7:44708742:44719834:1 gene:ENSMUSG00000066500.5 transcript:ENSMUST00000085422.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo2 description:IZUMO family member 2 [Source:MGI Symbol;Acc:MGI:1922760] MPLALALVLLCGLGGPGAWGCLQCDQSVLLELRQLRDAIVTKRFHLEGLQARAQALLLSM EGPFFRDYAMNAFVGKVVVDQLEKVATSFKNQAQYIKANSKTDVPLLEELVSFREHAIKE LKGALRDYEMKACDHKTCQDGQFRMTLKFQDDNKPRNMVLVGDLVTVGLAILTFLVILIA ACTYRQNRKLLLK >ENSMUSP00000146467.1 pep:known chromosome:GRCm38:7:44708742:44719844:1 gene:ENSMUSG00000066500.5 transcript:ENSMUST00000209004.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Izumo2 description:IZUMO family member 2 [Source:MGI Symbol;Acc:MGI:1922760] MPLALALVLLCGLGGPGAWGCLQCDQSVLLELRQLRDAIVTKRFHLEGLQARAQALLLSM EGPFFRDYAMNAFVGKSWINWKKWRHPSRTKPSI >ENSMUSP00000146985.1 pep:known chromosome:GRCm38:7:44709055:44719843:1 gene:ENSMUSG00000066500.5 transcript:ENSMUST00000207182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo2 description:IZUMO family member 2 [Source:MGI Symbol;Acc:MGI:1922760] VVDQLEKVATSFKNQAQYIKANSKTDVPLLEELVSFREHAIKELKGALRDYEMKACDHKT CHSFKAEVLDCLYCKKTMPKCVKKKYCFEDGQFRMTLKFQDDNKPRNMVLVGDLVTVGLA ILTFLVILIAACTYRQNRKLLLK >ENSMUSP00000039151.2 pep:known chromosome:GRCm38:17:35108279:35111991:1 gene:ENSMUSG00000034482.2 transcript:ENSMUST00000037849.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g5c description:lymphocyte antigen 6 complex, locus G5C [Source:MGI Symbol;Acc:MGI:2148974] MLFMAGPAASWSLRPLGLHGVPQALCAVLLTVLVMKTLVLGDTKLEDLHPQSLPLNKYLN CYRCLLETEELGCLLGSDTCLTPLGSSCVTLHIKNSSGFNVMVSDCYSKEQMVHCSYTRA SPVFGFWIFYQCCFLDFCNNPDNRKNSMH >ENSMUSP00000138264.1 pep:known chromosome:GRCm38:6:6877805:6882068:-1 gene:ENSMUSG00000029755.10 transcript:ENSMUST00000142635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx5 description:distal-less homeobox 5 [Source:MGI Symbol;Acc:MGI:101926] MTGVFDRRVPSIRSGDFQAPFPTSAAMHHPSQESPTLPESSATDSDYYSPAGAAPHGYCS PTSASYGKALNPYQYQYHGVNGSAAGYPAKAYADYGYASPYHQYGGAYNRVPSATSQPAF SWPLYREGFRRLSTSPCQNARSWPPL >ENSMUSP00000052559.8 pep:known chromosome:GRCm38:6:6877805:6882085:-1 gene:ENSMUSG00000029755.10 transcript:ENSMUST00000052609.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx5 description:distal-less homeobox 5 [Source:MGI Symbol;Acc:MGI:101926] MTGVFDRRVPSIRSGDFQAPFPTSAAMHHPSQESPTLPESSATDSDYYSPAGAAPHGYCS PTSASYGKALNPYQYQYHGVNGSAAGYPAKAYADYGYASPYHQYGGAYNRVPSATSQPEK EVAEPEVRMVNGKPKKVRKPRTIYSSFQLAALQRRFQKTQYLALPERAELAASLGLTQTQ VKIWFQNKRSKIKKIMKNGEMPPEHSPSSSDPMACNSPQSPAVWEPQGSSRSLSHHPHAH PPTSNQSPASSYLENSASWYPSAASSINSHLPPPGSLQHPLALASGTLY >ENSMUSP00000140269.1 pep:known chromosome:GRCm38:15:63891264:63912297:-1 gene:ENSMUSG00000055748.12 transcript:ENSMUST00000188108.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmc4 description:gasdermin C4 [Source:MGI Symbol;Acc:MGI:1921798] MGYSFDRASKDVVKKLQGRDLRPVECLSDATKFRLFHILQETPRSGWETEDIPVGFTLLD LLEPNFPVPEPEVSAPKPFIHVQSTDLEANLNVADIARGGVGYVGYGGYNIEVQSTSIPN PKLEILQNRKLLDKLPTFMKFCRMERKNLYVVTEAYEVSKDTMLTGLSSVNLLVKGFFKQ LFKVRGKAGRSEKYSIPIPKGSVLAYKKQQLVIENNTCVILPSATKKKMTFPDRPLKLYD LPVTLRYQEEVIETGSWIDDIDPIGTIEEPANLNFMCLQHEVSEQTQLLAELSKDVQEVV FSSFLHMLSDRDVLYDLMKMLELNQLGHMDGPGGKILDELRKDSSTPHDVLKDLNLYLLQ ALLVLSDTQLCLLAQSVKMGLLPHQVELVKSILQTNFKYSSNTPFTLQPQLLAPLQGEGL AITYELLEECGLKMELNNPRSTWDLEAKMPLSALYGSLSFLQQLQKANSSSKPSLSPGYI >ENSMUSP00000066072.6 pep:known chromosome:GRCm38:15:63891265:63902936:-1 gene:ENSMUSG00000055748.12 transcript:ENSMUST00000063530.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmc4 description:gasdermin C4 [Source:MGI Symbol;Acc:MGI:1921798] MGYSFDRASKDVVKKLQGRDLRPVECLSDATKFRLFHILQETPRSGWETEDIPVGFTLLD LLEPNFPVPEPEVSAPKPFIHVQSTDLEANLNVADIARGGVGYVGYGGYNIEVQSTSIPN PKLEILQNRKLLDKLPTFMKFCRMERKNLYVVTEAYEVSKDTMLTGLSSVNLLVKGFFKQ LFKVRGKAGRSEKYSIPIPKGSVLAYKKQQLVIENNTCVILPSATKKKMTFPDRPLKLYD LPVTLRYQEEVIETGSWIDDIDPIGTIEEPANLNFMCLQHEVSEQTQLLAELSKDVQEVV FSSFLHMLSDRDVLYDLMKMLELNQLGHMDGPGGKILDELRKDSSTPHDVLKDLNLYLLQ ALLVLSDTQLCLLAQSVKMGLLPHQVELVKSILQTNFKYSSNTPFTLQPQLLAPLQGEGL AITYELLEECGLKMELNNPRSTWDLEAKMPLSALYGSLSFLQQLQKANSSSKPSLSPGYI >ENSMUSP00000110704.2 pep:known chromosome:GRCm38:2:3613758:3779787:1 gene:ENSMUSG00000026655.14 transcript:ENSMUST00000115052.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107b description:family with sequence similarity 107, member B [Source:MGI Symbol;Acc:MGI:1913790] MLSDGPLENIDPKDSCLIPRNIMAEPDYIEDDNPELIRPQKLINPVKSSRNHQDLHRELL MNQKRGLAPQNKPELQKVMEKRRRDQVIKQKEEEAQKKKSDLEIELLKRQQKLEQLELEK QKLQEEQENAPEFVKVKGNLRRTGQEVAQAQES >ENSMUSP00000110707.2 pep:known chromosome:GRCm38:2:3704013:3779617:1 gene:ENSMUSG00000026655.14 transcript:ENSMUST00000115055.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107b description:family with sequence similarity 107, member B [Source:MGI Symbol;Acc:MGI:1913790] MAEPDYIEDDNPELIRPQKLINPVKSSRNHQDLHRELLMNQKRGLAPQNKPELQKVMEKR RRDQVIKQKEEEAQKKKSDLEIELLKRQQKLEQLELEKQKLQEEQENAPEFVKVKGNLRR TGQEVAQAQES >ENSMUSP00000110706.2 pep:known chromosome:GRCm38:2:3704807:3779617:1 gene:ENSMUSG00000026655.14 transcript:ENSMUST00000115054.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107b description:family with sequence similarity 107, member B [Source:MGI Symbol;Acc:MGI:1913790] MAEPDYIEDDNPELIRPQKLINPVKSSRNHQDLHRELLMNQKRGLAPQNKPELQKVMEKR RRDQVIKQKEEEAQKKKSDLEIELLKRQQKLEQLELEKQKLQEEQENAPEFVKVKGNLRR TGQEVAQAQES >ENSMUSP00000027965.4 pep:known chromosome:GRCm38:2:3713458:3782142:1 gene:ENSMUSG00000026655.14 transcript:ENSMUST00000027965.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107b description:family with sequence similarity 107, member B [Source:MGI Symbol;Acc:MGI:1913790] MAEPDYIEDDNPELIRPQKLINPVKSSRNHQDLHRELLMNQKRGLAPQNKPELQKVMEKR RRDQVIKQKEEEAQKKKSDLEIELLKRQQKLEQLELEKQKLQEEQENAPEFVKVKGNLRR TGQEVAQAQES >ENSMUSP00000110705.2 pep:known chromosome:GRCm38:2:3713478:3779617:1 gene:ENSMUSG00000026655.14 transcript:ENSMUST00000115053.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107b description:family with sequence similarity 107, member B [Source:MGI Symbol;Acc:MGI:1913790] MAEPDYIEDDNPELIRPQKLINPVKSSRNHQDLHRELLMNQKRGLAPQNKPELQKVMEKR RRDQVIKQKEEEAQKKKSDLEIELLKRQQKLEQLELEKQKLQEEQENAPEFVKVKGNLRR TGQEVAQAQES >ENSMUSP00000135757.1 pep:known chromosome:GRCm38:2:3713478:3779921:1 gene:ENSMUSG00000026655.14 transcript:ENSMUST00000177125.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam107b description:family with sequence similarity 107, member B [Source:MGI Symbol;Acc:MGI:1913790] MAEPDYIEDDNPELIRPQKLINPVKSSRNHQDLHRELLMNQKRSCPSE >ENSMUSP00000135325.1 pep:known chromosome:GRCm38:2:3770708:3772971:1 gene:ENSMUSG00000026655.14 transcript:ENSMUST00000177037.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107b description:family with sequence similarity 107, member B [Source:MGI Symbol;Acc:MGI:1913790] MGSLTHYVQDGPLENIDPKDSCLIPRNIMAEPDYIEDDNPELIRPQKLINPVKSSRNHQD LHRELLMN >ENSMUSP00000135846.1 pep:known chromosome:GRCm38:2:3773946:3779625:1 gene:ENSMUSG00000026655.14 transcript:ENSMUST00000176254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam107b description:family with sequence similarity 107, member B [Source:MGI Symbol;Acc:MGI:1913790] MEKRRRDQVIKQKEEEAQKKKSDLEIELLKRQQKLEQLELEKQKLQEEQENAPEFVKVKG NLRRTGQEVAQAQES >ENSMUSP00000036505.4 pep:known chromosome:GRCm38:19:45578254:45660312:-1 gene:ENSMUSG00000040913.10 transcript:ENSMUST00000046869.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw4 description:F-box and WD-40 domain protein 4 [Source:MGI Symbol;Acc:MGI:1354698] MAEDAAEDAAAAAVEPATRPAAGPALWRLPEELLLLICSYLDTRALGRLAQVCRWLRRFT SCDLLWRPIARASLNTGFTRLGTDLMAGIPVKERVKLSQNWRLGRCRDRILLKWRYSQMP WMQLQDASLYLSQANFILAYQFRPDGASLNRRPFRVFSGHDEDVCHFVLANSHIVSAGGD GKIGVHKIHSTFTVKYSAHEQEVNCVDCKGGIIVSGSRDRTAKVWPLASGRLGQCLHTIQ TEDRVWSIAISPLLSSFVTGTACCGHFSPLRIWDLNSGQLITHLGSDFPPGAGVLDVMYE SPSTLLSCGYDTYVRYWDLRTSTRKCVMEWEEPHDSTFYCLQTDGNHLLATGSSYYGLVR LWDRRQRACLHAFSLTSTPLSSPVYCLRFTTRHLYAALSYNLHVLDFQNP >ENSMUSP00000125641.1 pep:known chromosome:GRCm38:19:45578449:45593499:-1 gene:ENSMUSG00000040913.10 transcript:ENSMUST00000160018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw4 description:F-box and WD-40 domain protein 4 [Source:MGI Symbol;Acc:MGI:1354698] MYESPSTLLSCGYDTYVRYWDLRTSTRQTATTCWPQAPPTTVSCGCGTDASGLACMPSH >ENSMUSP00000124998.1 pep:known chromosome:GRCm38:19:45578614:45593467:-1 gene:ENSMUSG00000040913.10 transcript:ENSMUST00000162433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw4 description:F-box and WD-40 domain protein 4 [Source:MGI Symbol;Acc:MGI:1354698] MYESPSTLLSCGYDTYVRYWDLRTSTRKCVMEWEEPHDSTFYCLQTDGNHLLATGSSYYG LVRLWDRRQRACLHAFSLTSTPLSSPVYCLRFTTRHLYAALSYNLHVLDFQNP >ENSMUSP00000124604.1 pep:known chromosome:GRCm38:19:45578795:45591842:-1 gene:ENSMUSG00000040913.10 transcript:ENSMUST00000160003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw4 description:F-box and WD-40 domain protein 4 [Source:MGI Symbol;Acc:MGI:1354698] MEWEEPHDSTFYCLQTDGNHLLATGSSYYGLVRLWDRRQRACLHAFSLTSTPLSSPVYCL RFTTRHLYAALSYNLHVLDFQNP >ENSMUSP00000124675.1 pep:known chromosome:GRCm38:19:45578841:45591842:-1 gene:ENSMUSG00000040913.10 transcript:ENSMUST00000162879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw4 description:F-box and WD-40 domain protein 4 [Source:MGI Symbol;Acc:MGI:1354698] MYESPSTLLSCGYDTYVRYWDLRTSTRKCVMEWEEPHDSTFYCLQTDGNHLLATGSSYYG LVRLWDRRQRACLHAFSLTSTPLSSPVYCLRFTTRHLYAALS >ENSMUSP00000125136.1 pep:known chromosome:GRCm38:19:45578912:45592661:-1 gene:ENSMUSG00000040913.10 transcript:ENSMUST00000160438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw4 description:F-box and WD-40 domain protein 4 [Source:MGI Symbol;Acc:MGI:1354698] MLFCDWNSLLWALLTSENLGPQQGEPGPGALPSVLRDSSGRWSHLACFFLPSGQLITHLG SDFPPGAGVLDVMYESPSTLLSCGYDTYVRYWDLRTSTRKCVMEWEEPHDSTFYCLQTDG NHLLATGSSYYGLVRLWDRRQRACLHAFSLT >ENSMUSP00000124084.1 pep:known chromosome:GRCm38:19:45630875:45640803:-1 gene:ENSMUSG00000040913.10 transcript:ENSMUST00000159590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw4 description:F-box and WD-40 domain protein 4 [Source:MGI Symbol;Acc:MGI:1354698] MAGIPVKERVKLSQNWRLGRCRDRILLKWRYSQMPWMQLQDASLYLSQANFILAYQFRPD GASLNRRPFRVFSGHDEDVCHFVLANSHIVSAGGDGKIGVHKIHSTFTVKYSAHEQEVNC VDCKGGIIVSGSRDRTAKVWPLASGRLGQCLHTIQTEDRVWSIAIS >ENSMUSP00000114595.1 pep:known chromosome:GRCm38:3:92620081:92621660:-1 gene:ENSMUSG00000086848.3 transcript:ENSMUST00000138403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce6a description:late cornified envelope 6A [Source:MGI Symbol;Acc:MGI:1925632] MSQQKPQLSELPNAPIYSPPKGPNLSLSTCSTSCGTSCSAGCSSYSKRLRLQNTVSHKEI HHPQPRCLRGSTTYHCKEEEC >ENSMUSP00000128725.2 pep:known chromosome:GRCm38:3:92620085:92621180:-1 gene:ENSMUSG00000086848.3 transcript:ENSMUST00000170676.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce6a description:late cornified envelope 6A [Source:MGI Symbol;Acc:MGI:1925632] MSQQKPQLSELPNAPIYSPPKGPNLSLSTCSTSCGTSCSAGCSSYSKRLRLQNTVSHKEI HHPQPRCLRGSTTYHCKEEEC >ENSMUSP00000034085.7 pep:known chromosome:GRCm38:8:88331059:88362194:-1 gene:ENSMUSG00000031660.13 transcript:ENSMUST00000034085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd7 description:bromodomain containing 7 [Source:MGI Symbol;Acc:MGI:1349766] MGKKHKKHKSDRHFYEEYVEKPLKLVLKVGGSEVTELSTGSSGHDSSLFEDRSDHDKHKD RKRKKRKKGEKQAPGEEKGRKRRRVKEDKKKRDRDRAENEVDRDLQCHVPIRLDLPPEKP LTSSLAKQEEVEQTPLQEALNQLMRQLQRKDPSAFFSFPVTDFIAPGYSMIIKHPMDFST MKEKIKNNDYQSIEELKDNFKLMCTNAMIYNKPETIYYKAAKKLLHSGMKILSQERIQSL KQSIDFMSDLQKTRKQKERTDACQSGEDSGCWQREREDSGDAETQAFRSPAKDNKRKDKD VLEDKWRSSNSEREHEQIERVVQESGGKLTRRLANSQCEFERRKPDGTTTLGLLHPVDPI VGEPGYCPVRLGMTTGRLQSGVNTLQGFKEDKRNRVTPVLYLNYGPYSSYAPHYDSTFAN ISKDDSDLIYSTYGEDSDLPNNFSISEFLATCQDYPYVMADSLLDVLTKGGHSRSLQDLD MSSPEDEGQTRALDTAKEAEITQIEPTGRLESSSQDRLTALQAVTTFGAPAEVFDSEEAE VFQRKLDETTRLLRELQEAQNERLSTRPPPNMICLLGPSYREMYLAEQVTNNLKELTQQV TPGDVVSIHGVRKAMGISVPSPIVGNSFVDLTGECEEPKETSTAECGPDAS >ENSMUSP00000010044.7 pep:known chromosome:GRCm38:11:59248033:59290752:-1 gene:ENSMUSG00000009900.7 transcript:ENSMUST00000010044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt3a description:wingless-type MMTV integration site family, member 3A [Source:MGI Symbol;Acc:MGI:98956] MAPLGYLLVLCSLKQALGSYPIWWSLAVGPQYSSLSTQPILCASIPGLVPKQLRFCRNYV EIMPSVAEGVKAGIQECQHQFRGRRWNCTTVSNSLAIFGPVLDKATRESAFVHAIASAGV AFAVTRSCAEGSAAICGCSSRLQGSPGEGWKWGGCSEDIEFGGMVSREFADARENRPDAR SAMNRHNNEAGRQAIASHMHLKCKCHGLSGSCEVKTCWWSQPDFRTIGDFLKDKYDSASE MVVEKHRESRGWVETLRPRYTYFKVPTERDLVYYEASPNFCEPNPETGSFGTRDRTCNVS SHGIDGCDLLCCGRGHNARTERRREKCHCVFHWCCYVSCQECTRVYDVHTCK >ENSMUSP00000043543.8 pep:known chromosome:GRCm38:17:24722060:24724478:-1 gene:ENSMUSG00000040048.14 transcript:ENSMUST00000045602.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb10 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 10 [Source:MGI Symbol;Acc:MGI:1915592] MPDSWDKDVYPEPPSRTPAPSPQTSLPNPITYLTKAYDLVVDWPVTLVREFIERQHAKNR TYYYHRQYRRVPDITECKEGDVLCIYEAEMQWRRDFKVDQEIMNIIQERLKACQQREGEN YQQNCAKELEQFTKVTKAYQDRYLDLGAYYSARKCLAKQKQRMLEERKAARQEAAA >ENSMUSP00000120091.1 pep:known chromosome:GRCm38:17:24722405:24724412:-1 gene:ENSMUSG00000040048.14 transcript:ENSMUST00000135708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb10 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 10 [Source:MGI Symbol;Acc:MGI:1915592] MPDSWDKDVYPEPPSRTPAPSPQTSLPNPITYLTKAYDLVVDWPVTLVRAASADRPRFKV FSSAEFIERQHAKNRTYYYHRQYRRVPDITECKEGDVLCIYEAEMQWRRDFKVDQEIMNI IQERLKACQQREGENYQQNCAKELEQFTK >ENSMUSP00000092553.5 pep:known chromosome:GRCm38:5:26082574:26089291:-1 gene:ENSMUSG00000073116.4 transcript:ENSMUST00000094946.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10471 description:predicted gene 10471 [Source:MGI Symbol;Acc:MGI:3641900] MWMGETREGRKDADLPSKSNEGRRRWTWRMWMAHRQKTSPVPVTSKKQFEKEEKELIKKI QLTTEETNELRDRLIYVTEGSMNKRPYHRQTRHYKELKLKEKEIMTFLHNLEMENMEARE NKQELKKEKNFYRNLHTRILLEENLIKKKLAILQQESKEIHADWAIIHQRLVELNLSGKD EQEKTSNLETQEHQVSEAARELGLATAEEDSILQNELPCQEAPAEHHPQHPPSSSDESSS DESSYSTCPEWE >ENSMUSP00000141042.1 pep:known chromosome:GRCm38:15:98398419:98413590:1 gene:ENSMUSG00000099353.6 transcript:ENSMUST00000191037.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700031M16Rik description:RIKEN cDNA 1700031M16 gene [Source:MGI Symbol;Acc:MGI:1920552] MTSKQQRSTSIEQTKPQERDLLITETLWEQVLMAFKGIQKELQEDARIRGMSTRSMALVP PVPPKTGPLKQARDIFLMNICF >ENSMUSP00000141076.1 pep:known chromosome:GRCm38:15:98398426:98416264:1 gene:ENSMUSG00000099353.6 transcript:ENSMUST00000189907.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700031M16Rik description:RIKEN cDNA 1700031M16 gene [Source:MGI Symbol;Acc:MGI:1920552] MTSKQQRSTSIEQTKPQERDLLITETLWEQVLMAFKGIQKELQEDARIRGMSTRSMALVP PVPPKTGFKPPDLSTHIPK >ENSMUSP00000140120.1 pep:known chromosome:GRCm38:15:98398445:98416135:1 gene:ENSMUSG00000099353.6 transcript:ENSMUST00000189724.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700031M16Rik description:RIKEN cDNA 1700031M16 gene [Source:MGI Symbol;Acc:MGI:1920552] MTSKQQRSTSIEQTKPQERDLLITETLWEQVLMAFKGIQKELQEDARIRGMSTRSMALVP PVPPKTGSFKPPDLSTHIPK >ENSMUSP00000139999.1 pep:known chromosome:GRCm38:15:98398445:98416268:1 gene:ENSMUSG00000099353.6 transcript:ENSMUST00000191513.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700031M16Rik description:RIKEN cDNA 1700031M16 gene [Source:MGI Symbol;Acc:MGI:1920552] MTSKQQRSTSIEQTKPQERDLLITETLWEQVLMAFKGIQKELQEDARIRGMSTRSMALVP PVPPKTGSFKPPDLSTHIPK >ENSMUSP00000023774.5 pep:known chromosome:GRCm38:15:100387898:100423055:-1 gene:ENSMUSG00000023030.16 transcript:ENSMUST00000023774.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc11a2 description:solute carrier family 11 (proton-coupled divalent metal ion transporters), member 2 [Source:MGI Symbol;Acc:MGI:1345279] MVLDPKEKMPDDGASGDHGDSASLGAINPAYSNSSLPHSTGDSEEPFTTYFDEKIPIPEE EYSCFSFRKLWAFTGPGFLMSIAYLDPGNIESDLQSGAVAGFKLLWVLLLATIVGLLLQR LAARLGVVTGLHLAEVCHRQYPKVPRIILWLMVELAIIGSDMQEVIGSAIAINLLSAGRV PLWGGVLITIADTFVFLFLDKYGLRKLEAFFGFLITIMALTFGYEYITVKPSQSQVLRGM FVPSCPGCRTPQVEQAVGIVGAVIMPHNMYLHSALVKSRQVNRANKQEVREANKYFFIES CIALFVSFIINVFVVSVFAEAFFEKTNKQVVEVCKNNSSPHADLFPSDNSTLAVDIYKGG VVLGCYFGPAALYIWAVGILAAGQSSTMTGTYSGQFVMEGFLNLKWSRFARVILTRSIAI IPTLLVAVFQDVEHLTGMNDFLNVLQSLQLPFALIPILTFTSLRPVMSEFSNGIGWRIAG GILVLIVCSINMYFVVVYVQELGHVALYVVAAVVSVAYLTFVFYLGWQCLIALGLSFLDC GRSYRLGLTAQPELYLLNTVDADSVVSR >ENSMUSP00000116463.1 pep:known chromosome:GRCm38:15:100392563:100423002:-1 gene:ENSMUSG00000023030.16 transcript:ENSMUST00000138843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc11a2 description:solute carrier family 11 (proton-coupled divalent metal ion transporters), member 2 [Source:MGI Symbol;Acc:MGI:1345279] MVLDPKEKMPDDGASGDHGDSASLGAINPAYSNSSLPHSTGDSEEPFTTYFDEKIPIPEE EYSCFSFRKLWAFTGPGFLMSIAYLDPGNIESDLQSGAVAGFKLLWVLLLATIVGLLLQR LAARLGVVTGLHLAEVCHRQYPKVPRIILWLMVELAIIGSDMQEVIGSAIAINLLSAGRV PLWGGVLITIADTFVFLFLDKYGLRKLEAFFGFLITIMALTFGYEYITVKPSQSQVLRGM FVPSCPGCRTPQVEQAVGIVGAVIMPHNMYLHSALVKSRQVNRANKQEVREANKYFFIES CIALFVSFIINVFVVSVFAEAFFEKTNKQVVEVCKNNSSPHADLFPSDNSTLAVDIYKGG VVLGCYFGPAALYIWAVGILAAGQSSTMTGTYSGQFVMEGFLNLKWSRFARVILTRSIAI IPTLLVAVFQDVEHLTGMNDFLNVLQSLQLPFALIPILTFTSLRPVMSEFSNGIGWRIAG GILVLIVCSINMYFVVVYVQELGHVALYVVAAVVSVAYLTFVFYLGWQCLIALGLSFLDC GRSVSISKVLLSEDTSGGNIK >ENSMUSP00000114702.1 pep:known chromosome:GRCm38:15:100397594:100403243:-1 gene:ENSMUSG00000023030.16 transcript:ENSMUST00000124324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc11a2 description:solute carrier family 11 (proton-coupled divalent metal ion transporters), member 2 [Source:MGI Symbol;Acc:MGI:1345279] INVFVVSVFAEAFFEKTNKQVVEVCKNNSSPHADLFPSDNSTLAVDIYKGGVVLGCYFGP AALYIWAVGILAAGQSSTMTGTYSGQFVMEGFLNLKWSRFARVILTRSIAIIPTLLVAVF QDVEHLTGMNDFLNVLQSLQLPFALIPILTFTSLRPVMSEFSNGIGWRIAGGILVLIVCS INMYFVVVYVQELGHVALYVVAAVVSVAYLTFVFYLVSPV >ENSMUSP00000119056.1 pep:known chromosome:GRCm38:15:100406306:100425072:-1 gene:ENSMUSG00000023030.16 transcript:ENSMUST00000123461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc11a2 description:solute carrier family 11 (proton-coupled divalent metal ion transporters), member 2 [Source:MGI Symbol;Acc:MGI:1345279] MVLDPKEKMPDDGASGDHGDSASLGAINPAYSNSSLPHSTGDSEEPFTTYFDEKIPIPEE EYSCFSFRKLWAFTGPGFLMSIAYLDPGNIESDLQSGAVAGFKLLWVLLLATIVGLLLQR LAARLGVVTGLHLAEVCHRQYPKVPRIILWLMVELAIIGSDMQEVIGSAI >ENSMUSP00000115283.1 pep:known chromosome:GRCm38:15:100408140:100422969:-1 gene:ENSMUSG00000023030.16 transcript:ENSMUST00000136168.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc11a2 description:solute carrier family 11 (proton-coupled divalent metal ion transporters), member 2 [Source:MGI Symbol;Acc:MGI:1345279] MALLGTMETLPALAPSTLPTATHPSHIPLETLRSPSPPTLMRKSPFLRRSTLVLAFVNSG RSRGLAFL >ENSMUSP00000115357.1 pep:known chromosome:GRCm38:15:100409269:100424214:-1 gene:ENSMUSG00000023030.16 transcript:ENSMUST00000154331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc11a2 description:solute carrier family 11 (proton-coupled divalent metal ion transporters), member 2 [Source:MGI Symbol;Acc:MGI:1345279] MGKKQPRAAAAAPNCELKSYSKSTDPQVSTMVLDPKEKMPDDGASGDHGDSASLGAINPA YSNSSLPHSTGDSEEPFTTYFDEKIPIPEEEYSCFSFRKLWAFTGPGFLM >ENSMUSP00000115019.1 pep:known chromosome:GRCm38:15:100410114:100424092:-1 gene:ENSMUSG00000023030.16 transcript:ENSMUST00000154676.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc11a2 description:solute carrier family 11 (proton-coupled divalent metal ion transporters), member 2 [Source:MGI Symbol;Acc:MGI:1345279] MVLDPKEKMPDDGASGDHGDSASLGAINPAYSNSSLPHSTGDSEEPFTTY >ENSMUSP00000073776.5 pep:known chromosome:GRCm38:3:92646535:92648307:-1 gene:ENSMUSG00000057609.6 transcript:ENSMUST00000074142.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1a1 description:late cornified envelope 1A1 [Source:MGI Symbol;Acc:MGI:1914377] MSCQQNQQQCQPPPKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSSGGCC SSGGCCSSGGGGCCLSHHRPRRSLRRHRHSSGCCSSGGSSGCCGSSGGSSGCCGSSGGSS GCCGSSGGSSGCCGSSQQSGGCC >ENSMUSP00000115127.1 pep:known chromosome:GRCm38:13:41114307:41146658:1 gene:ENSMUSG00000038651.14 transcript:ENSMUST00000124093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycp2l description:synaptonemal complex protein 2-like [Source:MGI Symbol;Acc:MGI:2685114] MSTLQSLIIDGFHGNGFHMIEEYLQQKESHVPQKYNHLLLHHLDRLIKEELDKNEFQNCS LLLKCIQRFFRDDPDHEEPLLIQQGLIPKMVSWFEIITGLLITKVLASEALLTNALEDFL DTALIISRHSRKATVQMLDSFILRLGFLVAEDSVKPSIQQETLATLNCILNAAPQEERRK LSSAEGACCLMKELARTILTVGDYSQQVALSEALCRMSVGRQRNDLAMQWFENTALAEAF KKIKNREFETDCRQFLNFLNNRLGNQRRVYSFPCLAAFADGQEMRKPADEKLEEFWIDFN LGSQSVTFYIDNAESALWEPVKLLKEAMVKFIIIENDRIKMFIVYLKQPIVISKREAKKI EIHFDRQLGILQASIQALGEDKQAPSFQTSVLVKLFSGLEKEDGEIPGRCEREAEDAEES TLLPELVDAEADRCLITRCFNVQTVPGVS >ENSMUSP00000121296.1 pep:known chromosome:GRCm38:13:41148796:41171562:1 gene:ENSMUSG00000038651.14 transcript:ENSMUST00000141292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycp2l description:synaptonemal complex protein 2-like [Source:MGI Symbol;Acc:MGI:2685114] XTNTEERSLENSKQDEPEQVTSEYDYPLDMQEPSIQNQASDLNNIKEDSAFARDREQDRR MPFNDRNHDLLESNEDSSLSTNERSWTQNHKRKSLRTYSQRKKQRVSSLRILPLSPIRSG HAPEKDEAELVPLWKGISRRNDSTLLKISETKLRGSSVLLTSGASTQKIEPRSPHPSSGP SSLEYLEVEENIPEIVNRESFMGNSSFKHKLENLGHREIPDGSVAALKQSRLEDAPGSPV VTGNSTHS >ENSMUSP00000114266.1 pep:known chromosome:GRCm38:13:41153388:41171684:1 gene:ENSMUSG00000038651.14 transcript:ENSMUST00000145810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycp2l description:synaptonemal complex protein 2-like [Source:MGI Symbol;Acc:MGI:2685114] VCSHGNVPTVCSLSVLPLSPIRSGHAPEKDEAELVPLWKGISRRNDSTLLKISETKLRGS SVLLTSGASTQKIGLEKPERRGSEPSSSTKKTRVTDGLHWREPRSPHPSSGPSSLEYLEV EENIPEIVNRESFMGNSSFKHKLENLGHREIPDGSVAALKQSRLEDAPGSPVVTGNSTHS PSS >ENSMUSP00000026045.7 pep:known chromosome:GRCm38:19:47646344:47692094:-1 gene:ENSMUSG00000025064.14 transcript:ENSMUST00000026045.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col17a1 description:collagen, type XVII, alpha 1 [Source:MGI Symbol;Acc:MGI:88450] MDVTKKSKRDGTEVTERIVTETVTTRLTSLPPKGSTSNGYAKTGSLGGGSRLEKQSLTHG SSGYINSSGSIRGNASTSSYRRTHSPASTLPNSPGSTFERKAHMTRHGTYEGSSSGNSSP EYPRKELASSSTRGRSQTRESEIRVRLQSASPSTRWTELDEVKRLLKGSRSASASPTRNT SNTLPIPKKGTVETKTVTASSHSVSGTYDSAILDTNFPPHMWSSTLPAGSSLGTYQNNIT AQSTSLLNTNAYSTGSVFGVPNNMASCSPTLHPGLSSCSSVFGMQNNLAPSSSVLSHGTT TASTAYGAKKNVPQPPTVTSTGVSTSATCTTSVQSDDLLHKDCKFLILEKDNTPAKKEME LLIMTKDSGKVFTASPATISSTSFSEDTLKKEKQAAYAADTCLKADVNGDLNTVSTKSKM TSAENHGYDRGGGGGRGKGGGAGGGGGGGGASGGGGAWGAAPAWCPCGSCCSWWKWLLGL LLTWLLLLGLLFGLIALAEEVRKLKARVEELEKTKVLYHDVQMDKSNRDRLQAEAPSLGP GLGKAELDGYSQEAIWLFVRNKLMTEQENGNLRGSPGPKGDMGSQGPKGDRGLPGTPGIP GPLGHPGPEGPKGQKGSIGDPGMEGPIGQRGLAGPMGPRGEPGPPGSGEKGDRGIAGEQG PQGLPGVPGPPGLRGHSGSPGPQGPPGAVGPQGLRGDVGLPGVKGDKGLMGPPGPKGDQG EKGPRGLTGEPGIRGLPGAVGEPGAKGAMGPAGADGQQGSRGEQGLTGMPGTRGPPGPAG DPGKPGLTGPQGPQGLPGSPGRPGTKGEPGAPGRVMTSEGSSTITVPGPPGPPGAMGPPG PPGTPGPAGPAGLPGQQGPRGEPGLAGDSFLSSGSSISEVLSAQGVDLRGPPGPPGPRGP PGPSIPGPPGPRGPPGEGVPGPPGPPGSFLTDSETFFTGPPGPPGPPGPKGDQGDPGVPG TPGISGGLSHGASSSTLYMQGPPGPPGPPGPPGSLSSSGQDIQHYIAEYMQSDNIRTYLS GVQGPPGPPGPPGPVITITGETFDYSQLASQVVSYLRSSGYGAGLSSASSSEDILAMLRR NDVWQYLRQNLVGPPGPPGPPGVSGDGSLLSLDYGELSRHILNYMSSSGISFGHPGPPGP PGLPGTSYEELLTMLRGSDYRNIIGPPGPPGPPGMPGNAWSSISVEDLSSYLHTAGLSSI PGPPGPPGPPGPRGPPGVSAALSTYAAENSDNFRSELISYLTSPDVRSFIVGPPGPPGPQ GPPGDGHLRENYNWSSNSSARRGTSYSSSTGTGGTNGGSLGEGGAYGAGDGGPYGTDIGP GGGYGAAAGGGIYGTNGDSFRDGFTGDLDYNKLAVRVSESMQRQGLLQGMAYTVQGPPGP QGPPGISRVFSAYSNVTQDLMDFFQTYGTIPGPPGQKGDVGTPGPKGDRGPAGPRGPPGP PGPRGNKGEKGDKGDQVYTGRRKRSIAIKP >ENSMUSP00000084141.5 pep:known chromosome:GRCm38:19:47646344:47692094:-1 gene:ENSMUSG00000025064.14 transcript:ENSMUST00000086923.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col17a1 description:collagen, type XVII, alpha 1 [Source:MGI Symbol;Acc:MGI:88450] MDVTKKSKRDGTEVTERIVTETVTTRLTSLPPKGSTSNGYAKTGSLGGGSRLEKQSLTHG SSGYINSSGSIRGNASTSSYRRTHSPASTLPNSPGSTFERKAHMTRHGTYEGSSSGNSSP EYPRKELASSSTRGRSQTRESEIRVRLQSASPSTRWTELDEVKRLLKGSRSASASPTRNT SNTLPIPKKGTVETKTVTASSHSVSGTYDSAILDTNFPPHMWSSTLPAGSSLGTYQNNIT AQSTSLLNTNAYSTGSVFGVPNNMASCSPTLHPGLSSCSSVFGMQNNLAPSSSVLSHGTT TASTAYGAKKNVPQPPTVTSTGVSTSATCTTSVQSDDLLHKDCKFLILEKDNTPAKKEME LLIMTKDSGKVFTASPATISSTSFSEDTLKKEKQAAYAADTCLKADVNGDLNTVSTKSKM TSAENHGYDRGGGGGRGKGGGAGGGGGGGGASGGGGAWGAAPAWCPCGSCCSWWKWLLGL LLTWLLLLGLLFGLIALAEEVRKLKARVEELEKTKVLYHDVQMDKSNRDRLQAEAPSLGP GLGKAELDGYSQEAIWLFVRNKLMTEQENGNLRGSPGPKGDMGSQGPKGDRGLPGTPGIP GPLGHPGPEGPKGQKGSIGDPGMEGPIGQRGLAGPMGPRGEPGPPGSGEKGDRGIAGEQG PQGLPGVPGPPGLRGHSGSPGPQGPPGAVGPQGLRGDVGLPGVKGDKGLMGPPGPKGDQG EKGPRGLTGEPGIRGLPGAVGEPGAKGAMGPAGADGQQGSRGEQGLTGMPGTRGPPGPAG DPGKPGLTGPQGPQGLPGSPGRPGTKGEPGAPGRVMTSEGSSTITVPGPPGPPGAMGPPG PPGTPGPAGPAGLPGQQGPRGEPGLAGDSFLSSGSSISEVLSAQGVDLRGPPGPPGPRGP PGPSIPGPPGPRGPPGEGVPGPPGPPGSFLTDSETFFTGPPGPPGPPGPKGDQGDPGVPG TPGISGGLSHGASSSTLYMQGPPGPPGPPGPPGSLSSSGQDIQHYIAEYMQSDNIRTYLS GVQGPPGPPGPPGPVITITGETFDYSQLASQVVSYLRSSGYGAGLSSASSSEDILAMLRR NDVWQYLRQNLVGPPGPPGPPGVSGDGSLLSLDYGELSRHILNYMSSSGISFGHPGPPGP PGLPGTSYEELLTMLRAAGLSSIPGPPGPPGPPGPRGPPGVSAALSTYAAENSDNFRSEL ISYLTSPDVRSFIVGPPGPPGPQGPPGDGHLRENYNWSSNSSARRGTSYSSSTGTGGTNG GSLGEGGAYGAGDGGPYGTDIGPGGGYGAAAGGGIYGTNGDSFRDGFTGDLDYNKLAVRV SESMQRQGLLQGMAYTVQGPPGPQGPPGISRVFSAYSNVTQDLMDFFQTYGTIPGPPGQK GDVGTPGPKGDRGPAGPRGPPGPPGPRGNKGEKGDKGDQVYTGRRKRSIAIKP >ENSMUSP00000006217.3 pep:known chromosome:GRCm38:11:96034885:96047430:1 gene:ENSMUSG00000006058.10 transcript:ENSMUST00000006217.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snf8 description:SNF8, ESCRT-II complex subunit, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1343161] MHRRGVGAGAIAKKKLAEAKYKERGTVLAEDQLAQMSKQLDMFKTNLEEFASKHKQEIRK NPEFRVQFQDMCATIGVDPLASGKGFWSEMLGVGDFYYELGVQIIEVCLALKHRNGGLIT LEELHQQVLKGRGKFAQDVSQDDLIRAIKKLKALGTGFGIIPVGGTYLIQSVPAELNMDH TVVLQLAEKNGYVTVSEIKTSLKWETERARQVLEHLLKEGLAWLDLQAPGEAHYWLPALF TDLYSQEISAEEAKEAFP >ENSMUSP00000103328.3 pep:known chromosome:GRCm38:11:96034965:96047430:1 gene:ENSMUSG00000006058.10 transcript:ENSMUST00000107700.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snf8 description:SNF8, ESCRT-II complex subunit, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1343161] MHRRGVGAGAIAKKKLAEAKYKERGTVLAEDQLAQMSKQLDMFKTNLEEFASKHKQEIRK NPEFRVQFQDMCATIGVDPLASGKGFWSEMLGVGDFYYELGVQIIEVCLALKHRNGGLIT LEELHQQVLKGRGKFAQDVSQDDLIRAIKKLKALGTGFGIIPVGGTYLIQSVPAELNMDH TVVLQLAEEHLLKEGLAWLDLQAPGEAHYWLPALFTDLYSQEISAEEAKEAFP >ENSMUSP00000029531.3 pep:known chromosome:GRCm38:3:92655650:92656926:-1 gene:ENSMUSG00000027923.3 transcript:ENSMUST00000029531.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1b description:late cornified envelope 1B [Source:MGI Symbol;Acc:MGI:1915970] MSCQQNQQQCQPPPKCTPKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSS GGCCSSGGCCSSGGGGCCLSHHRPRRSLRRHRHSSGCCSSGGSSGCCGSSGGSSGCCGSS GGSSGCCGSSQQSGGCC >ENSMUSP00000147092.1 pep:known chromosome:GRCm38:7:19517838:19525056:1 gene:ENSMUSG00000060621.5 transcript:ENSMUST00000207576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkpd1 description:NTPase, KAP family P-loop domain containing 1 [Source:MGI Symbol;Acc:MGI:1916797] MQKNYNVHFTKNARTPNERYLLDPELGHQKGCCRQWYQDPVATHTHGPYQLSPQAHWQQT YHSHRGGSGCRRCPQPLIPQRQRRQQQQRQPPPLPPSPLRQRLGPVRGAQKGSPAIAAAR MEPASAPKPVTSTPTAVSATASSGPALPSAAGALLEPSEPTEARPLPAPAACGSFTSYGA DILTEDDVYCSCLAKTLCHVPVPVTVGFYAPFGCRLHLMLDKIMTLMQQEAAQRESEELQ RVQWQPRRVRGWGVPKLLWFLVFLQPVITELHLRRRNVRFLFIRFSAWQYAGTDKLWAGL VTTLCEGIRHHYGALPFSVYSVLGNKPCGPRDGLCQREWHCRRRVCLALLALLAALCLGV GLLYLSLGGHAPGHGERGVLKALGGAATTLSGSGLLMAVYSVGKHLFVSQRKKIERLVSR EKFGSQLGFMCEVKKEVELLTDFLCFLEIYQRRRLRVVLEVTGLDTCYPERVVGVLNAIN TLLSDSHAPFIFILVVDPSILAACLESAGNMKGTADNGYLFLNRTVTLPFSVPIMGRRTK LQFLHDAVRSRDDLLFRELTIKLQPQSPGNLGAGEGTQLLAVETQGDAERTQGRVDAEAA RRIQEALCCLHDEGDCLYEYVPDNVVSMRRIVNTVPITVRLLQQQQQQQPDRVGPTPRHA VAWVVLANQWPCRLSWVLQCLEDRQQAGGAPEGRSRLWDVFCDNSRELHTMTKALQNVLD LDGDPELFERFLGTDFPFTVAEAQSLLRCTVNLDHSIRRRMGLIRAVSALKPPSPPKSPS QDGPQASPRAIIAAGTSHAGQGSGHSKEAHQTRDRTHGGKPRPMA >ENSMUSP00000077943.4 pep:known chromosome:GRCm38:7:19518731:19525050:1 gene:ENSMUSG00000060621.5 transcript:ENSMUST00000078908.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkpd1 description:NTPase, KAP family P-loop domain containing 1 [Source:MGI Symbol;Acc:MGI:1916797] MQKNYNVHFTKNARTPNERYLLDPELGHQKGCCRQWYQDPVATHTHGPYQLSPQAHWQQT YHSHRGGSGCRRCPQPLIPQRQRRQQQQRQPPPLPPSPLRQRLGPVRGAQKGSPAIAAAR MEPASAPKPVTSTPTAVSATASSGPALPSAAGALLEPSEPTEARPLPAPAACGSFTSYGA DILTEDDVYCSCLAKTLCHVPVPVTVGFYAPFGCRLHLMLDKIMTLMQQEAAQRESEELQ RVQWQPRRVRGWGVPKLLWFLVFLQPVITELHLRRRNVRFLFIRFSAWQYAGTDKLWAGL VTTLCEGIRHHYGALPFSVYSVLGNKPCGPRDGLCQREWHCRRRVCLALLALLAALCLGV GLLYLSLGGHAPGHGERGVLKALGGAATTLSGSGLLMAVYSVGKHLFVSQRKKIERLVSR EKFGSQLGFMCEVKKEVELLTDFLCFLEIYQRRRLRVVLEVTGLDTCYPERVVGVLNAIN TLLSDSHAPFIFILVVDPSILAACLESAGNMKGTADNGYLFLNRTVTLPFSVPIMGRRTK LQFLHDAVRSRDDLLFRELTIKLQPQSPGNLGAGEGTQLLAVETQGDAERTQGRVDAEAA RRIQEALCCLHDEGDCLYEYVPDNVVSMRRIVNTVPITVRLLQQQQQQQPDRVGPTPRHA VAWVVLANQWPCRLSWVLQCLEDRQQAGGAPEGRSRLWDVFCDNSRELHTMTKALQNVLD LDGDPELFERFLGTDFPFTVAEAQSLLRCTVNLDHSIRRRMGLIRAVSALKPPSPPKSPS QDGPQASPRAIIAAGTSHAGQGSGHSKEAHQTRDRTHGGKPRPMA >ENSMUSP00000123810.1 pep:known chromosome:GRCm38:6:118461950:118479320:-1 gene:ENSMUSG00000072623.6 transcript:ENSMUST00000161170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp9 description:zinc finger protein 9 [Source:MGI Symbol;Acc:MGI:99210] MTKYKGPVTLRDVAVEFSKAEWKLLTPAQKSLYKNVMLETFSNLFSVGYRVVKPSVIAKL KKGKEPWPLEEFFRKSRGDKRRTAHDPRAKHQEQQAGNARKGELTKHQKTPTRHESYDCS ECGKSFCQKSSLLAHQETHTKKSYKCEKCGQAFYKNEDLTIHQKVHTRDKTYPCKECNKI FYHLSSLTRHLRIHAGEKPYECSQCDKSFYQKPHLTEHQKTHTGEKPFECKECGKFFYVK AYLLVHQKTHTGEKPFQCKECGKFFSQKSHLTVHQRTHTGEKPYKCKECGKLFSRNSHLK THQRTHTGEKPYKCKECGNCFYQKSALTVHQRTHTGEKPFECSKCGKHFYYKSDLTKHER KHTGEKPYECAECGKSFSVNSVLRLHERTHTGEKPYECEICGKSFSQKSHFVIHQRKHTG EKPYECQECGEGFIQKSQLTSHQKTHSKKGKANK >ENSMUSP00000096193.2 pep:known chromosome:GRCm38:7:30184144:30186030:1 gene:ENSMUSG00000074218.3 transcript:ENSMUST00000098594.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox7a1 description:cytochrome c oxidase subunit VIIa 1 [Source:MGI Symbol;Acc:MGI:1316714] MRALRVSQALVRSFSSSTRSHLENRVAEKQKLFQADNDLPVHLKGGGMDNVLYRLTMTLT LGGTAYCLYCLGWASFPHKK >ENSMUSP00000146960.1 pep:known chromosome:GRCm38:7:30184194:30186078:1 gene:ENSMUSG00000074218.3 transcript:ENSMUST00000208441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox7a1 description:cytochrome c oxidase subunit VIIa 1 [Source:MGI Symbol;Acc:MGI:1316714] MPNLNMPGSGVLEQVSQALVRSFSSSTRSHLENRVAEKQKLFQADNDLPVHLKGGGMDNV LYRLTMTLTLGGTAYCLYCLGWASFPHKK >ENSMUSP00000111349.1 pep:known chromosome:GRCm38:16:17489611:17507485:1 gene:ENSMUSG00000022763.16 transcript:ENSMUST00000115685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aifm3 description:apoptosis-inducing factor, mitochondrion-associated 3 [Source:MGI Symbol;Acc:MGI:1919418] MGGCFSKPKPVELKIEVVLPEKERGKEELSASGKGSPRGYQGNGTARHFHAEERLPTPQP YPSPQDCVEATVCHVKDLENGQMREVELGWGKVLLVKDNGEFHALGHKCPHYGAPLVKGV LSRGRVRCPWHGACFNISTGDLEDFPGLDSLHKFQVKIEKEKVTIRASKQALQLQRRTKV MAKCISPSAGHSSSTNVLIVGAGAAGLVCAETLRQEGFSDRIVLCTLDRHLPYDRAKLSK SLDAQPEQLALRPKEFFRAYGIEMLTEAQVVTVDVRNKKVVFKDGFKLEYSKLLLAPGSS PKTLTCKGKDVENVFTIRTPEDANRVLRLARGRNAVVVGAGFLGMEVAAYLTEKAHSVSV VELEETPFRRFLGERVGRALMKMFENNRVKFYMQTEVSELRAQEGKLQEVVLKSSKVLRA DVCVLGIGAVPATGFLRQSGIGLDSRGFIPVNKMMQTNVPGVFAAGDAVTFPLAWRNNRK VNIPHWQMAHAQGRVAAQNMLAQEAEINTVPYLWTAMFGKSLRYAGYGEGFDDVIIQGDL EELKFVAFYTKSDEVIAVASMNYDPIVSKVAEVLASGRAIRKREVELFMLHSKTGDMSWL TGKGS >ENSMUSP00000023448.6 pep:known chromosome:GRCm38:16:17489663:17507485:1 gene:ENSMUSG00000022763.16 transcript:ENSMUST00000023448.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aifm3 description:apoptosis-inducing factor, mitochondrion-associated 3 [Source:MGI Symbol;Acc:MGI:1919418] MGGCFSKPKPVELKIEVVLPEKERGKEELSASGKGSPRGYQGNGTARHFHAEERLPTPQP YPSPQDCVEATVCHVKDLENGQMREVELGWGKVLLVKDNGEFHALGHKCPHYGAPLVKGV LSRGRVRCPWHGACFNISTGDLEDFPGLDSLHKFQVKIEKEKVTIRASKQALQLQRRTKV MAKCISPSAGHSSSTNVLIVGAGAAGLVCAETLRQEGFSDRIVLCTLDRHLPYDRAKLSK SLDAQPEQLALRPKEFFRAYGIEMLTEAQVVTVDVRNKKVVFKDGFKLEYSKLLLAPGSS PKTLTCKGKDVENVFTIRTPEDANRVLRLARGRNAVVVGAGFLGMEVAAYLTEKAHSVSV VELEETPFRRFLGERVGRALMKMFENNRVKFYMQTEVSELRAQEGKLQEVVLKSSKVLRA DVCVLGIGAVPATGFLRQSGIGLDSRGFIPVNKMMQTNVPGVFAAGDAVTFPLAWRNNRK VNIPHWQMAHAQGRVAAQNMLAQEAEINTVPYLWTAMFGKSLRYAGYGEGFDDVIIQGDL EELKFVAFYTKSDEVIAVASMNYDPIVSKVAEVLASGRAIRKREVETGDMSWLTGKGS >ENSMUSP00000098957.2 pep:known chromosome:GRCm38:5:31151142:31179911:-1 gene:ENSMUSG00000101678.3 transcript:ENSMUST00000101411.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29609 description:predicted gene 29609 [Source:MGI Symbol;Acc:MGI:5580315] MDTCGVGYVALGEADPVGSMIVVDSPGQEELSQLDVKASETSGVEASIEMSLPPPLPGFE DSSDRRLPPDQESLTRLEQQDLSSEMSKVSNTRASKPSGRRGGRTARGAKRPQQRKPPST PLVPGLLDQSNPLSTPMPKKRSQKSKGDLLLLKLSKGLDQPESPHPKRPPEDFETPSGER PRRRAAQVALLYLQELAEELSTALPAPPLSGPKSPKVSSPTKPKKTRQASSQGEEDGSAR DEDFVLQVEGEDEEESEAPSENSSDPEPVAPRSTPRGPAAGKQKPHCRGMAPNGLPNYIM APVWKCLHLTKDLREQHHSFWEFAEWIPVAWKWQLLSELEAAPYLPQEEKSPLFSVQREG IPEDGTIYRINRFSSITAHPERWDVSFFTGGPLWALDWCPVPEGSAASQYVALFSSPDMN ETHPLSQLHSGPGLLQLWGLGTLQQESCPGNRAHFVYGIACDSGCIWDLKFCPSGAWEHP ETLRKAPLLPRLGLLALACSDGKVLLFSLPHPEALLAQQPPDAMKPAIYKVQCLATLQVG SVQASDPSECGQCLSLAWMPTRPHHHLAAGYYNGMVVFWNLPTNSPLQRIRLSDGSLKLY PFQCFLAHDQAVRTIQWCKANSHFLVSAGSDRKIKFWDLRRPYEPINCIKRFLSTELSWL LPYNGVTVAQDNCYASYGLCGIHYIDAGYLGFKAYFTAPRKGTVWSLSGSDWLGTVAAGD ISGELIAAILPDMASNPINVKKPAERRFPIYKADLIPYQDSPEDQDYSSTSSETPNPPKA RTYTETINHHYLLFQDTDLSSFHNLLRREPMLRMQEGEGHSQLCLDRLQLEAIHKPGPQA DGRGGARYGSPWTSFGHVREGSAQSWHSGSMALWRAYQRALAAHPWKVQVLTAGCLDQPT NKRWGWA >ENSMUSP00000106722.1 pep:known chromosome:GRCm38:5:136966616:136975858:1 gene:ENSMUSG00000001739.14 transcript:ENSMUST00000111093.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn15 description:claudin 15 [Source:MGI Symbol;Acc:MGI:1913103] MSVAVETFGFFMSALGLLMLGLTLSNSYWRVSTVHGNVITTNTIFENLWYSCATDSLGVS NCWDFPSMLALSGYVQGCRALMITAILLGFLGLFLGMVGLRCTNVGNMDLSKKAKLLAIA GTLHILAGACGMVAISWYAVNITTDFFNPLYAGTKYELGPALYLGWSASLLSILGGICVF STCCCSSKEEPATRAGLPYKPSTVVIPRATSDESDISFGKYGKNAYV >ENSMUSP00000001790.4 pep:known chromosome:GRCm38:5:136967869:136975844:1 gene:ENSMUSG00000001739.14 transcript:ENSMUST00000001790.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn15 description:claudin 15 [Source:MGI Symbol;Acc:MGI:1913103] MSVAVETFGFFMSALGLLMLGLTLSNSYWRVSTVHGNVITTNTIFENLWYSCATDSLGVS NCWDFPSMLALSGYVQGCRALMITAILLGFLGLFLGMVGLRCTNVGNMDLSKKAKLLAIA GTLHILAGACGMVAISWYAVNITTDFFNPLYAGTKYELGPALYLGWSASLLSILGGICVF STCCCSSKEEPATRAGLPYKPSTVVIPRATSDESDISFGKYGKNAYV >ENSMUSP00000058933.2 pep:known chromosome:GRCm38:19:12883855:12884855:1 gene:ENSMUSG00000045126.2 transcript:ENSMUST00000049624.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1445 description:olfactory receptor 1445 [Source:MGI Symbol;Acc:MGI:3031279] MENSTEVTEFILTGLTDNPELQIPLFIVFLLIYLSTVLGNLGMVGLILLDSHLHTPMYLF LSHLSLVDFGYSSAVTPKVMPGLLSIDKTITHNACGTQFFFFVSFITTESFLLAAMAYDR YAAVCKPLQYTTTMTTNTCACLTIGSYVCGVLNSSIHTGNIFRLSFCKFNVIDHFFCDAP PLLALSCSDTSVSEMVILFVVGFNDIFSIVVIPISYLFIFITILRMRSSEGRQKAFSTCA SHLTVVFIFYGSGIFMYLQPSSSHTMGTDKMASVFYTMIIPMLNPLVYSLRNKEVKSAFK KAVEKAKISLAFTF >ENSMUSP00000139965.1 pep:known chromosome:GRCm38:Y:17093873:17095892:1 gene:ENSMUSG00000095520.2 transcript:ENSMUST00000191358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20877 description:predicted gene, 20877 [Source:MGI Symbol;Acc:MGI:5434233] MTSLKKKSRRKPSSQALGNKVGCRISHGWKEGNVPATHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDKRILNLKVLPHKIVFPQVRDVHLTSALVGIEVQHKFERKHGSEKNWSG MVLAQVPFLKDWFYISYKKDLVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDSDFLIGT WVQYTRDDGSRKFGKVVYTFLANSNVYFIKFHGDLHIFVYTLVSNIT >ENSMUSP00000097682.4 pep:known chromosome:GRCm38:Y:17093879:17095887:1 gene:ENSMUSG00000095520.2 transcript:ENSMUST00000100105.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20877 description:predicted gene, 20877 [Source:MGI Symbol;Acc:MGI:5434233] MTSLKKKSRRKPSSQALGNKVGCRISHGWKEGNVPATHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDKRILNLKVLPHKIVFPQVRDVHLTSALVGIEVQHKFERKHGSEKNWSG MVLAQVPFLKDWFYISYKKDLVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDSDFLIGT WVQYTRDDGSRKFGKVVYTFLANSNVYFIKFHGDLHIFVYTLVSNIT >ENSMUSP00000101661.1 pep:known chromosome:GRCm38:4:129425765:129440853:-1 gene:ENSMUSG00000048485.12 transcript:ENSMUST00000106046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb8b description:zinc finger and BTB domain containing 8b [Source:MGI Symbol;Acc:MGI:2387181] MKGGVSVLSARWRWLEPGARAPGPSRAAAAGGEMEAQSYCAKLLGELNEQRKRDFFCDCS IIVEGRIFKAHRNILFANSGYFRALLLHYIQDSGRHSTASLDIVTSDAFSTILDFLYSGK LDLCGENVIEVMSAASYLQMNEVVNFCKTYIRSSLDICRKMEKEAAVAAAMAAAAAAAAA AAHQIDSESPSSGLEGTSCGTKSFVSSPVDGEGSLDCTISSCDDCHPLELVAKDSQGSGV SDNDLCVVPRRVEPKVEFDVARVEVEADEQLQQYAAPLAHMEEGLPSNQALDLTYNSYHV KQFLEALLRNGAVQSKDDLDCHSSRGLEGRLEGPGVAMSSVMDVQNDWYREDAGDVLVVP IKLHRCPFCPYTAKQKGILKRHIRSHTGERPYPCETCGKRFTRQEHLRSHALSVHRSSRP IICKGCRRTFTSHLSQGLRRFGLCDSCTCVTDPQEEEDDLMPVNLSLVEASSESHEKSDT DDWPIYIESGEENDPTAEDSDDKPHIRPNLPAPETLT >ENSMUSP00000058157.5 pep:known chromosome:GRCm38:4:129425765:129440818:-1 gene:ENSMUSG00000048485.12 transcript:ENSMUST00000053042.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb8b description:zinc finger and BTB domain containing 8b [Source:MGI Symbol;Acc:MGI:2387181] MEAQSYCAKLLGELNEQRKRDFFCDCSIIVEGRIFKAHRNILFANSGYFRALLLHYIQDS GRHSTASLDIVTSDAFSTILDFLYSGKLDLCGENVIEVMSAASYLQMNEVVNFCKTYIRS SLDICRKMEKEAAVAAAMAAAAAAAAAAAHQIDSESPSSGLEGTSCGTKSFVSSPVDGEG SLDCTISSCDDCHPLELVAKDSQGSGVSDNDLCVVPRRVEPKVEFDVARVEVEADEQLQQ YAAPLAHMEEGLPSNQALDLTYNSYHVKQFLEALLRNGAVQSKDDLDCHSSRGLEGRLEG PGVAMSSVMDVQNDWYREDAGDVLVVPIKLHRCPFCPYTAKQKGILKRHIRSHTGERPYP CETCGKRFTRQEHLRSHALSVHRSSRPIICKGCRRTFTSHLSQGLRRFGLCDSCTCVTDP QEEEDDLMPVNLSLVEASSESHEKSDTDDWPIYIESGEENDPTAEDSDDKPHIRPNLPAP ETLT >ENSMUSP00000059705.5 pep:known chromosome:GRCm38:5:35278319:35281763:1 gene:ENSMUSG00000045318.6 transcript:ENSMUST00000049545.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra2c description:adrenergic receptor, alpha 2c [Source:MGI Symbol;Acc:MGI:87936] MASPALAAALAAAAAEGPNGSDAGEWGSGGGANASGTDWVPPPGQYSAGAVAGLAAVVGF LIVFTVVGNVLVVIAVLTSRALRAPQNLFLVSLASADILVATLVMPFSLANELMAYWYFG QVWCGVYLALDVLFCTSSIVHLCAISLDRYWSVTQAVEYNLKRTPRRVKATIVAVWLISA VISFPPLVSFYRRPDGAAYPQCGLNDETWYILSSCIGSFFAPCLIMGLVYARIYRVAKLR TRTLSEKRGPAGPDGASPTTENGLGKAAGENGHCAPPRTEVEPDESSAAERRRRRGALRR GGRRREGAEGDTGSADGPGPGLAAEQGARTASRSPGPGGRLSRASSRSVEFFLSRRRRAR SSVCRRKVAQAREKRFTFVLAVVMGVFVLCWFPFFFSYSLYGICREACQLPEPLFKFFFW IGYCNSSLNPVIYTVFNQDFRRSFKHILFRRRRRGFRQ >ENSMUSP00000102543.1 pep:known chromosome:GRCm38:4:101496648:101642799:1 gene:ENSMUSG00000028528.16 transcript:ENSMUST00000106930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc6 description:DnaJ heat shock protein family (Hsp40) member C6 [Source:MGI Symbol;Acc:MGI:1919935] MEPSYGGGLFDMVKGGAGRLFSNLKDNLKDTLKDTSSRVIQSVSSYTKGDLDFTYVTSRI IVMSFPVDSVDIGFRNQVDDIRSFLDSRHLDHYTVYNLSPKSYRTAKFHSRVSECSWPIR QAPSLHNLFAVCRNMYNWLLQNPKNVCVVHCLDGRAASSILVGAMFIFCNLYSTPGPAVR LLYAKRPGIGLSPSHRRYLGYMCDLLADKPYRPHFKPLTIKAITVSPVPFFNKQRNGCRP YCDVLIGETKIYSTCTDFERMKEYRVQDGKIFIPLNITVQGDVIVSMYHLRSTIGSRLQA KVTNTQIFQLQFHSGFIPLDTTVLKFTKPELDACDVPEKYPQLFQVTLDIEVQPQDKVID LTPPWEHYCTKDVNPSILFSSQQEHQDTLALGGQAPADLPPDHPRNLGQGGFFASLCWQD QKSEKSRCEEDHAALVNQESEQSDDELLTLSSPHGNAEGDKPHGAKKPGKKQQEPAAPPP PEEVDLLGLEGSDVSTNFSSLAAPPSNSELLSDLFGGVGATGPAQAGQAGVEDVFHPSGP VSAQSTPRRTATSASASPTLRVGEGATFDPFGAPAKPPGQDLLGSFLNTSSASSDPFLQP TRSPSPTVHASSTPAVNIQPDIAGGWDWHTKPGGFGMGSKSAATSPTGSSHGTPTHQSKP QTLDPFADLGTLGSSSFASKPTTPTGLGGGFPPLSSPQKASPQPMGGGWQQPAGYNWQQT QSKPQSSMPHSSPQNRPNYNVSFSAMPAGQSERGKGSTNLEGKQKAADFEDLLSSQGFNA HKDKKGPRTIAEMRKEEMAKEMDPEKLKILEWIEGKERNIRALLSTMHTVLWAGETKWKP VGMADLVTPEQVKKVYRRAVLVVHPDKATGQPYEQYAKMIFMELNDAWSEFENQGQKPLY >ENSMUSP00000114840.1 pep:known chromosome:GRCm38:4:101496685:101606603:1 gene:ENSMUSG00000028528.16 transcript:ENSMUST00000154120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc6 description:DnaJ heat shock protein family (Hsp40) member C6 [Source:MGI Symbol;Acc:MGI:1919935] MEPSYGGGLFDMVKGGAGRLFSNLKDNLKDTLKDTSSRVIQSVSSYTKGDLDFTYVTSRI IVMSFPVDSVDIGFRNQVDDIRSFLDSRHLDHYTVYNLSPKSYRTAKFHSRVSECS >ENSMUSP00000044251.5 pep:known chromosome:GRCm38:4:101507871:101642799:1 gene:ENSMUSG00000028528.16 transcript:ENSMUST00000038207.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc6 description:DnaJ heat shock protein family (Hsp40) member C6 [Source:MGI Symbol;Acc:MGI:1919935] MTNPKSGVAESAGLACSRAAAGENRMKDSENKGASSPDMEPSYGGGLFDMVKGGAGRLFS NLKDNLKDTLKDTSSRVIQSVSSYTKGDLDFTYVTSRIIVMSFPVDSVDIGFRNQVDDIR SFLDSRHLDHYTVYNLSPKSYRTAKFHSRVSECSWPIRQAPSLHNLFAVCRNMYNWLLQN PKNVCVVHCLDGRAASSILVGAMFIFCNLYSTPGPAVRLLYAKRPGIGLSPSHRRYLGYM CDLLADKPYRPHFKPLTIKAITVSPVPFFNKQRNGCRPYCDVLIGETKIYSTCTDFERMK EYRVQDGKIFIPLNITVQGDVIVSMYHLRSTIGSRLQAKVTNTQIFQLQFHSGFIPLDTT VLKFTKPELDACDVPEKYPQLFQVTLDIEVQPQDKVIDLTPPWEHYCTKDVNPSILFSSQ QEHQDTLALGGQAPADLPPDHPRNLGQGGFFASLCWQDQKSEKSRCEEDHAALVNQESEQ SDDELLTLSSPHGNAEGDKPHGAKKPGKKQQEPAAPPPPEEVDLLGLEGSDVSTNFSSLA APPSNSELLSDLFGGVGATGPAQAGQAGVEDVFHPSGPVSAQSTPRRTATSASASPTLRV GEGATFDPFGAPAKPPGQDLLGSFLNTSSASSDPFLQPTRSPSPTVHASSTPAVNIQPDI AGGWDWHTKPGGFGMGSKSAATSPTGSSHGTPTHQSKPQTLDPFADLGTLGSSSFASKPT TPTGLGGGFPPLSSPQKASPQPMGGGWQQPAGYNWQQTQSKPQSSMPHSSPQNRPNYNVS FSAMPAGQSERGKGSTNLEGKQKAADFEDLLSSQGFNAHKDKKGPRTIAEMRKEEMAKEM DPEKLKILEWIEGKERNIRALLSTMHTVLWAGETKWKPVGMADLVTPEQVKKVYRRAVLV VHPDKATGQPYEQYAKMIFMELNDAWSEFENQGQKPLY >ENSMUSP00000119542.1 pep:known chromosome:GRCm38:4:101507976:101611351:1 gene:ENSMUSG00000028528.16 transcript:ENSMUST00000149047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc6 description:DnaJ heat shock protein family (Hsp40) member C6 [Source:MGI Symbol;Acc:MGI:1919935] MEPSYGGGLFDMVKGGAGRLFSNLKDNLKDTLKDTSSRVIQSVSSYTKGDLDFTYVTSRI IVMSFPVDSVDIGFRNQVDDIRSFLDSRHLDHYTVYNLSPKSYRTAKFHSRVSECSWPIR QAPSLHNLFAVCRNMYNWLLQNPKNVCVVHCLDGRAASSILVGAMFIFCNLYSTPGPAVR LLYAKRPGIGLSPS >ENSMUSP00000092560.4 pep:known chromosome:GRCm38:4:101550480:101642799:1 gene:ENSMUSG00000028528.16 transcript:ENSMUST00000094953.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc6 description:DnaJ heat shock protein family (Hsp40) member C6 [Source:MGI Symbol;Acc:MGI:1919935] MEPSYGGGLFDMVKGGAGRLFSNLKDNLKDTLKDTSSRVIQSVSSYTKGDLDFTYVTSRI IVMSFPVDSVDIGFRNQVDDIRSFLDSRHLDHYTVYNLSPKSYRTAKFHSRVSECSWPIR QAPSLHNLFAVCRNMYNWLLQNPKNVCVVHCLDGRAASSILVGAMFIFCNLYSTPGPAVR LLYAKRPGIGLSPSHRRYLGYMCDLLADKPYRPHFKPLTIKAITVSPVPFFNKQRNGCRP YCDVLIGETKIYSTCTDFERMKEYRVQDGKIFIPLNITVQGDVIVSMYHLRSTIGSRLQA KVTNTQIFQLQFHSGFIPLDTTVLKFTKPELDACDVPEKYPQLFQVTLDIEVQPQDKVID LTPPWEHYCTKDVNPSILFSSQQEHQDTLALGGQAPADLPPDHPRNLGQGGFFASLCWQD QKSEKSRCEEDHAALVNQESEQSDDELLTLSSPHGNAEGDKPHGAKKPGKKQQEPAAPPP PEEVDLLGLEGSDVSTNFSSLAAPPSNSELLSDLFGGVGATGPAQAGQAGVEDVFHPSGP VSAQSTPRRTATSASASPTLRVGEGATFDPFGAPAKPPGQDLLGSFLNTSSASSDPFLQP TRSPSPTVHASSTPAVNIQPDIAGGWDWHTKPGGFGMGSKSAATSPTGSSHGTPTHQSKP QTLDPFADLGTLGSSSFASKPTTPTGLGGGFPPLSSPQKASPQPMGGGWQQPAGYNWQQT QSKPQSSMPHSSPQNRPNYNVSFSAMPAGQSERGKGSTNLEGKQKAADFEDLLSSQGFNA HKDKKGPRTIAEMRKEEMAKEMDPEKLKILEWIEGKERNIRALLSTMHTVLWAGETKWKP VGMADLVTPEQVKKVYRRAVLVVHPDKATGQPYEQYAKMIFMELNDAWSEFENQGQKPLY >ENSMUSP00000102542.3 pep:known chromosome:GRCm38:4:101508000:101642798:1 gene:ENSMUSG00000028528.16 transcript:ENSMUST00000106929.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc6 description:DnaJ heat shock protein family (Hsp40) member C6 [Source:MGI Symbol;Acc:MGI:1919935] MEPSYGGGLFDMVKGGAGRLFSNLKDNLKDTLKDTSSRVIQSVSSYTKGDLDFTYVTSRI IVMSFPVDSVDIGFRNQVDDIRSFLDSRHLDHYTVYNLSPKSYRTAKFHSRVSECSWPIR QAPSLHNLFAVCRNMYNWLLQNPKNVCVVHCLDGRAASSILVGAMFIFCNLYSTPGPAVR LLYAKRPGIGLSPSHRRYLGYMCDLLADKPYRPHFKPLTIKAITVSPVPFFNKQRNGCRP YCDVLIGETKIYSTCTDFERMKEYRVQDGKIFIPLNITVQGDVIVSMYHLRSTIGSRLQA KVTNTQIFQLQFHSGFIPLDTTVLKFTKPELDACDVPEKYPQLFQVTLDIEVQPQDKVID LTPPWEHYCTKDVNPSILFSSQQEHQDTLALGGQAPADLPPDHPRNLGQGGFFASLCWQD QKSEKSRCEEDHAALVNQESEQSDDELLTLSSPHGNAEGDKPHGAKKPGKKQQEPAAPPP PEEVDLLGLEGSDVSTNFSSLAAPPSNSELLSDLFGGVGATGPAQAGQAGVEDVFHPSGP VSAQSTPRRTATSASASPTLRVGEGATFDPFGAPAKPPGQDLLGSFLNTSSASSDPFLQP TRSPSPTVHASSTPAVNIQPDIAGGWDWHTKPGGFGMGSKSAATSPTGSSHGTPTHQSKP QTLDPFADLGTLGSSSFASKPTTPTGLGGGFPPLSSPQKASPQPMGGGWQQPAGYNWQQT QSKPQSSMPHSSPQNRPNYNVSFSAMPAGQSERGKGSTNLEGKQKAADFEDLLSSQGFNA HKDKKGPRTIAEMRKEEMAKEMDPEKLKILEWIEGKERNIRALLSTMHTVLWAGETKWKP VGMADLVTPEQVKKVYRRAVLVVHPDKATGQPYEQYAKMIFMELNDAWSEFENQGQKPLY >ENSMUSP00000102546.1 pep:known chromosome:GRCm38:4:101550594:101642782:1 gene:ENSMUSG00000028528.16 transcript:ENSMUST00000106933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc6 description:DnaJ heat shock protein family (Hsp40) member C6 [Source:MGI Symbol;Acc:MGI:1919935] MSLLGSYRKKTSSDGYESLQLVDSHGDSSARGAAAGTQRATAGAVRSPARQPPHRASTTD SSGASSPDMEPSYGGGLFDMVKGGAGRLFSNLKDNLKDTLKDTSSRVIQSVSSYTKGDLD FTYVTSRIIVMSFPVDSVDIGFRNQVDDIRSFLDSRHLDHYTVYNLSPKSYRTAKFHSRV SECSWPIRQAPSLHNLFAVCRNMYNWLLQNPKNVCVVHCLDGRAASSILVGAMFIFCNLY STPGPAVRLLYAKRPGIGLSPSHRRYLGYMCDLLADKPYRPHFKPLTIKAITVSPVPFFN KQRNGCRPYCDVLIGETKIYSTCTDFERMKEYRVQDGKIFIPLNITVQGDVIVSMYHLRS TIGSRLQAKVTNTQIFQLQFHSGFIPLDTTVLKFTKPELDACDVPEKYPQLFQVTLDIEV QPQDKVIDLTPPWEHYCTKDVNPSILFSSQQEHQDTLALGGQAPADLPPDHPRNLGQGGF FASLCWQDQKSEKSRCEEDHAALVNQESEQSDDELLTLSSPHGNAEGDKPHGAKKPGKKQ QEPAAPPPPEEVDLLGLEGSDVSTNFSSLAAPPSNSELLSDLFGGVGATGPAQAGQAGVE DVFHPSGPVSAQSTPRRTATSASASPTLRVGEGATFDPFGAPAKPPGQDLLGSFLNTSSA SSDPFLQPTRSPSPTVHASSTPAVNIQPDIAGGWDWHTKPGGFGMGSKSAATSPTGSSHG TPTHQSKPQTLDPFADLGTLGSSSFASKPTTPTGLGGGFPPLSSPQKASPQPMGGGWQQP AGYNWQQTQSKPQSSMPHSSPQNRPNYNVSFSAMPAGQSERGKGSTNLEGKQKAADFEDL LSSQGFNAHKDKKGPRTIAEMRKEEMAKEMDPEKLKILEWIEGKERNIRALLSTMHTVLW AGETKWKPVGMADLVTPEQVKKVYRRAVLVVHPDKATGQPYEQYAKMIFMELNDAWSEFE NQGQKPLY >ENSMUSP00000045683.7 pep:known chromosome:GRCm38:19:45560615:45578279:1 gene:ENSMUSG00000041035.8 transcript:ENSMUST00000047057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpcd description:deleted in primary ciliary dyskinesia [Source:MGI Symbol;Acc:MGI:1924407] MAVTSWLEVLRSAEKTALLQDGKRMVHYLFPDGKEMAEEYDEKTSELLVRKWRVKNALGA LGQWQLEVGEPVPSGAGSLGSELIKESNANPIFMRKDTKTSFQWRIRNLPYPKDVYSVSV AQKERCVIVRTTNKKYYKKFSIPDLDRHQLPLEDSALSFAHANCTLIISYQKPKEVMAAE SELQKELKKVKTAHGSAGDCKTQ >ENSMUSP00000062110.7 pep:known chromosome:GRCm38:5:44175154:44226622:-1 gene:ENSMUSG00000046985.11 transcript:ENSMUST00000055128.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tapt1 description:transmembrane anterior posterior transformation 1 [Source:MGI Symbol;Acc:MGI:2683537] MAGVCDAAAPGEGGGGGADGPERTGRGEAEQPGGGGHGPAPQHTETLGFYESDRRREKRR GRAELSLLRFLSAELTRGYFLEHNEAKYTERRERVYTCMRIPRELEKLMFFGIFLCLDAF LYVFTLLPLRVFLALFRLLTLPCYGLRDRRLLQPAQVCDILKGVILVICYFMMHYVDYSM MYHLIRGQSVIKLYIIYNMLEVADRLFSSFGQDILDALYWTATEPKERKRAHIGVIPHFF MAVLYVFLHAILIMVQATTLNVAFNSHNKSLLTIMMSNNFVEIKGSVFKKFEKNNLFQMS NSDIKERFTNYVLLLIVCLRNMEQFSWNPDHLWVLFPDVCMVIASEIAVDIVKHAFITKF NDITADVYSEYRASLAFDLVSSRQKNAYTDYSDSVARRMGFIPLPLAVLLIRVVTSSIKV QGILSYACVILFYFGLISLKILNSIVLLGKSCQYVKEAKMEEKLFNPPPASTPGKPSSKS QSKGKPSQGLSTEENLSASVTSQPGHQKENVIPLLVTSNSDQFLTTPDGDEKDITQENSE LKHRSSKKDLLEIDRFTICGNRID >ENSMUSP00000143625.1 pep:known chromosome:GRCm38:5:44175159:44226617:-1 gene:ENSMUSG00000046985.11 transcript:ENSMUST00000199374.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tapt1 description:transmembrane anterior posterior transformation 1 [Source:MGI Symbol;Acc:MGI:2683537] MAGVCDAAAPGEGGGGGADGPERTGRGEAEQPGGGGHGPAPQHTETLGFYESDRRREKRR GRAAHVLWNIFVPRCLSVCVHPASFKSLSGAIQAPHSALLWLKGPTSASARTGV >ENSMUSP00000143407.1 pep:known chromosome:GRCm38:5:44188682:44218212:-1 gene:ENSMUSG00000046985.11 transcript:ENSMUST00000197266.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tapt1 description:transmembrane anterior posterior transformation 1 [Source:MGI Symbol;Acc:MGI:2683537] XSAELTRGYFLEHNEAKYTERRERVYTCMRIPRELEKLMFFGIFLCLDAFLYVFTLLPLR VFLALFRLLTLPCYGLRPKGGALSLPL >ENSMUSP00000085325.5 pep:known chromosome:GRCm38:5:31156005:31180144:-1 gene:ENSMUSG00000106864.3 transcript:ENSMUST00000088010.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c2 description:general transcription factor IIIC, polypeptide 2, beta [Source:MGI Symbol;Acc:MGI:1919002] MDTCGVGYVALGEADPVGSMIVVDSPGQEELSQLDVKASETSGVEASIEMSLPPPLPGFE DSSDRRLPPDQESLTRLEQQDLSSEMSKVSNTRASKPSGRRGGRTARGAKRPQQRKPPST PLVPGLLDQSNPLSTPMPKKRSQKSKGDLLLLKLSKGLDQPESPHPKRPPEDFETPSGER PRRRAAQVALLYLQELAEELSTALPAPPLSGPKSPKVSSPTKPKKTRQASSQGEEDGSAR DEDFVLQVEGEDEEESEAPSENSSDPEPVAPRSTPRGPAAGKQKPHCRGMAPNGLPNYIM APVWKCLHLTKDLREQHHSFWEFAEWIPVAWKWQLLSELEAAPYLPQEEKSPLFSVQREG IPEDGTIYRINRFSSITAHPERWDVSFFTGGPLWALDWCPVPEGSAASQYVALFSSPDMN ETHPLSQLHSGPGLLQLWGLGTLQQESCPGNRAHFVYGIACDSGCIWDLKFCPSGAWEHP ETLRKAPLLPRLGLLALACSDGKVLLFSLPHPEALLAQQPPDAMKPAIYKVQCLATLQVG SVQASDPSECGQCLSLAWMPTRPHHHLAAGYYNGMVVFWNLPTNSPLQRIRLSDGSLKLY PFQCFLAHDQAVRTIQWCKANSHFLVSAGSDRKIKFWDLRRPYEPINCIKRFLSTELSWL LPYNGVTVAQDNCYASYGLCGIHYIDAGYLGFKAYFTAPRKGTVWSLSGSDWLGTVAAGD ISGELIAAILPDMASNPINVKKPAERRFPIYKADLIPYQDSPEDQDYSSTSSETPNPPKA RTYTETINHHYLLFQDTDLSSFHNLLRREPMLRMQEGEGHSQLCLDRLQLEAIHKVRFSP NLDSYGWLVSGGQSGLVRIHFVRGLTSPLAHRVQLESRANFNAMFQPSFPTEGPGFSPSS HCLLPNP >ENSMUSP00000144489.1 pep:known chromosome:GRCm38:5:31156521:31180117:-1 gene:ENSMUSG00000106864.3 transcript:ENSMUST00000202639.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c2 description:general transcription factor IIIC, polypeptide 2, beta [Source:MGI Symbol;Acc:MGI:1919002] MDTCGVGYVALGEADPVGSMIVVDSPGQEELSQLDVKASETSGVEASIEMSLPPPLPGFE DSSDRRLPPDQESLTRLEQQDLSSEMSKVSNTRASKPSGRRGGRTARGAKRPQQRKPPST PLVPGLLDQSNPLSTPMPKKRSQKSKGDLLLLKLSKGLDQPESPHPKRPPEDFETPSGER PRRRAAQVVSLPNPGWPRTCDPPTSASRVLGLQACATTPGSPSHFSQTSPRALLYLQELA EELSTALPAPPLSGPKSPKVSSPTKPKKTRQASSQGEEDGSARDEDFVLQVEGEDEEESE APSENSSDPEPVAPRSTPRGPAAGKQKPHCRGMAPNGLPNYIMAPVWKCLHLTKDLREQH HSFWEFAEWIPVAWKWQLLSELEAAPYLPQEEKSPLFSVQREGIPEDGTIYRINRFSSIT AHPERWDVSFFTGGPLWALDWCPVPEGSAASQYVALFSSPDMNETHPLSQLHSGPGLLQL WGLGTLQQESCPGNRAHFVYGIACDSGCIWDLKFCPSGAWEHPETLRKAPLLPRLGLLAL ACSDGKVLLFSLPHPEALLAQQPPDAMKPAIYKVQCLATLQVGSVQASDPSECGQCLSLA WMPTRPHHHLAAGYYNGMVVFWNLPTNSPLQRIRLSDGSLKLYPFQCFLAHDQAVRTIQW CKANSHFLVSAGSDRKIKFWDLRRPYEPINCIKRFLSTELSWLLPYNGVTVAQDNCYASY GLCGIHYIDAGYLGFKAYFTAPRKGTVWSLSGSDWLGTVAAGDISGELIAAILPDMASNP INVKKPAERRFPIYKADLIPYQDSPEDQDYSSTSSETPNPPKARTYTETINHHYLLFQDT DLSSFHNLLRREPMLRMQEGEGHSQLCLDRLQLEAIHKVRFSPNLDSYGWLVSGGQSGLV RIHFVRGLTSPLAHRVQLESRANFNAMFQPSFPTEGPGFSPSSHCLLPNP >ENSMUSP00000144427.1 pep:known chromosome:GRCm38:5:31173014:31174501:-1 gene:ENSMUSG00000106864.3 transcript:ENSMUST00000200871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c2 description:general transcription factor IIIC, polypeptide 2, beta [Source:MGI Symbol;Acc:MGI:1919002] MDTCGVGYVALGEADPVGSMIVVDSPGQEELSQLDVKASETSGVEASIEMSLPPPLPGFE DSSDRRLPPDQESLTRLEQQGHFYTFRN >ENSMUSP00000144675.1 pep:known chromosome:GRCm38:5:31173391:31179911:-1 gene:ENSMUSG00000106864.3 transcript:ENSMUST00000201468.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c2 description:general transcription factor IIIC, polypeptide 2, beta [Source:MGI Symbol;Acc:MGI:1919002] MDTCGVGYVALGEADPVGSMIVVDSPGQEELSQLDVKASETSGVEASIEMSLPPPLPGFE DSSDRRLPPDQESLTRLEQQDLSSEMSKVSNTRASKPSGRRGGRTARGAKRPQQRKPPST PLVPGLLDQSNPLSTPMPKKRSQKSKGDLLLLKLSKGLDQPESPHPKRPPEDFETPSGER PRRRAAQVVSLPNPGWPRTCDPPTSASRVLGLQACATTPGSPSHFSQT >ENSMUSP00000144212.1 pep:known chromosome:GRCm38:5:31173804:31179849:-1 gene:ENSMUSG00000106864.3 transcript:ENSMUST00000201428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c2 description:general transcription factor IIIC, polypeptide 2, beta [Source:MGI Symbol;Acc:MGI:1919002] MDTCGVGYVALGEADPVGSMIVVDSPGQEELSQLDVKASETSGVEASIEMSLPPPLPGFE DSSDRRLPPDQESLTRLEQQDLSSEMSKVSNTRASKPSGRRGGRTARGAKRPQQRKPPST PLVPGLLDQSNPLSTPMPKKRSQKSKGDLLLLKLSKGLDQPESPHPKRPPEDFETP >ENSMUSP00000144249.1 pep:known chromosome:GRCm38:5:31173921:31174821:-1 gene:ENSMUSG00000106864.3 transcript:ENSMUST00000202300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c2 description:general transcription factor IIIC, polypeptide 2, beta [Source:MGI Symbol;Acc:MGI:1919002] MDTCGVGYVALGEADPVGSMIVVDSPGQEELSQLDVKASETSGVEASIEMSLPPPLPGFE DSSDRRLPPDQESLTRLEQQDLSSEMSKVSNTRASKPSGRRGGRTARGAKRPQQRKPPST PLVPGLLDQSNPLSTP >ENSMUSP00000108449.1 pep:known chromosome:GRCm38:6:118501308:118562226:-1 gene:ENSMUSG00000007827.10 transcript:ENSMUST00000112830.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd26 description:ankyrin repeat domain 26 [Source:MGI Symbol;Acc:MGI:1917887] MKKIFGFRSKGPSPLGPSARPRSNCVGFGRESASGSHVPRYHIHDKDMGKIHKAASVGDV AKVQHILILGKSGVNDRDKKDRTALHLACAYGHPEVVTLLVERKCEIDARDSESSTALIK AVQCQEEECAAILLDHGADPNVMDSSGNTALHYAVYSENTSMAAKLLAHNANIEAKNKDD LTPMLLAVKENKQHIVEFLVKKKASIHAVDQLGSNRQMFEYDGKRLQRSENSNPVDNGSE DGSLTRSYNTPGPADSWPTSDEEDYNFDNKNVPKINLTELWTAAQQSRKNQTKCGFEELD NGARFDDSDSPSESEDAIEVEPAPSVRVQTLSPSRQSPDPVEGATELAIEGEENGTDVIE SASQEQPNHDNLTRADGWHKSNKSEMMSALGLGEDEDEHSPWDSESISESVSLKDVGHFS GTADQTGKRRAHGQIEDVTYIPSCMSGSRSFKMAKLEESRNVGLPVAHMEAPRKYAIMEP TIERRAPVLNKTETVGMTDAQTFKSEPESVSREEQTRLSGSEDSQQKVEEKRKYKNNEAE PSGNLYSGAADGGADVKPQSGDTENQQSPREGSEGRGSGPALLMKEAKKMENEKWVSREP ARTAMSERTGLPTGGWPQMQDGSCWSDTDQSEARPTKKTSSKHNKDSGQTAAVDNLDDFT ESSETASEDHELQGPDSESILCAIEHLRLECKDTASLLKIRDAVYSYKRLIELKRSHCEL LTGKLKRMENKYKGLQKEMSETEEVKSRLEHEKVGWEQELCRLRFALKQEEEKRRSADQL SEKTSEQLRRKGEQCQSEVEARQQLEASLRTLEMELKTVKSHLNQVLEERNETQRQLSRE QNARMLQDGILASHLCKQKEIEMTQKKMTSEVSVSHEKEKDLLHKNQRLQDEVAVLRLEM DTIKSHNQEKEKRYLEDIKIANEKNDNLQRMVKLNEETFTKTIFQYTGQLNSLKAENTML SSKLDNEKQNKERLETDVESFRSRLASALHDHAEIQTAKRDLEIAFQRARDEWFRVKDKM NFDMSNLRDNNEVLSQQLSKTERKLNSLEIEFHHTKDELREKTLALKHAQRDLSQTQCQM KEVEHMFQDEQGKVSKFMGKQESIEERLAQLQSENTLLRQQLDDAANKAESKDKTIVNIQ DQFQDVLTRFQAESQRHSLRLEDRNQELVSECSHLRERLCQYENEKAEREVVVRQLQQEL ADTLKKQSMSEASLEVSSRYRSNLEEEARDLKKKLGQLRSQLQEARDQHREAVHHAEKME DHLQKLELEKSKFEITIKKQSEEIDQLQENLSRVNLSEEDKEKLQKLTELKESLECTVDQ EQKRSSALEKELAEFKEVLKMTKKELNEYENRELNLRQDIKNNHLEMDIPVSTLIKKIDD LTAKLETASSKCLHLGKKNQLLQQELLLMRTIQKKCGKLEKNKKQLEQEVVNLRSHMEKN MVEHSQAQQYAREVEERARQDLVEKLKQVNLFLQAQAASQESLEQLRENSNASVRSQMEL RIKDLESQLYRMKAQEDFDKIELEKYKQLYQEEFRARKSLSSKLNKTSEKLEEASSKLLL EEQQNRSLLSTLSTRPVVECPCVGSFHNSLVFNRTLIPRENIVVPTSGLQPSNKRVEIYL TKMHQELEKSINRELKEATAELESEFCRVSPLGSATKASQDQLSDASQEFIDILKKKYMI C >ENSMUSP00000029530.5 pep:known chromosome:GRCm38:3:92668613:92670315:-1 gene:ENSMUSG00000068890.8 transcript:ENSMUST00000029530.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1a2 description:late cornified envelope 1A2 [Source:MGI Symbol;Acc:MGI:1920972] MSCQQNQQQCQPPPKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSSGGCC SSGGCCSSGGCCSSGGGGCCLSHHRPRRSLRRHRHSSGCCSSGGSSGCCGSSGGSGGCCG SSGGSSGCCGSSGGSSGCCGSSQQSGGCC >ENSMUSP00000062734.6 pep:known chromosome:GRCm38:4:5644121:5801101:1 gene:ENSMUSG00000049119.14 transcript:ENSMUST00000054857.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam110b description:family with sequence similarity 110, member B [Source:MGI Symbol;Acc:MGI:1916593] MPTETLQTGSMVKPVSPAGTFTSAVPLRILNKGPDYFRRQAEPNPKRLSAVERLEADKAK YVKSQEVINAKQEPVKPAVLAKPPVCPGTKRALGSPTLKVFGNHAKTESGVQRETLKLEI LKNIINSSEGSSSGSGHKHSSRNWPPHRDTTDLHRHSFAESLKVYPTPGHGSPQESSSHV SRRLLEQSAETFLHVSHSSSDIRKVTSVKPLKAIPCSSSAPPLPPKPKVAAMKSPEADQV EPACGVSRRPSLQRSKSDLSDRYFRVDADVERFFNYCGLDPEELENLGMENFARANSDII SLNFRSASMISSDCEQSQDSNSDLRNDDSANDRVPYGISAIERNARIIKWLYSIKQARES QKVSHV >ENSMUSP00000104017.1 pep:known chromosome:GRCm38:4:5724312:5801101:1 gene:ENSMUSG00000049119.14 transcript:ENSMUST00000108380.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam110b description:family with sequence similarity 110, member B [Source:MGI Symbol;Acc:MGI:1916593] MPTETLQTGSMVKPVSPAGTFTSAVPLRILNKGPDYFRRQAEPNPKRLSAVERLEADKAK YVKSQEVINAKQEPVKPAVLAKPPVCPGTKRALGSPTLKVFGNHAKTESGVQRETLKLEI LKNIINSSEGSSSGSGHKHSSRNWPPHRDTTDLHRHSFAESLKVYPTPGHGSPQESSSHV SRRLLEQSAETFLHVSHSSSDIRKVTSVKPLKAIPCSSSAPPLPPKPKVAAMKSPEADQV EPACGVSRRPSLQRSKSDLSDRYFRVDADVERFFNYCGLDPEELENLGMENFARANSDII SLNFRSASMISSDCEQSQDSNSDLRNDDSANDRVPYGISAIERNARIIKWLYSIKQARES QKVSHV >ENSMUSP00000122351.1 pep:known chromosome:GRCm38:4:5772879:5798845:1 gene:ENSMUSG00000049119.14 transcript:ENSMUST00000156582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam110b description:family with sequence similarity 110, member B [Source:MGI Symbol;Acc:MGI:1916593] MPTETLQTGSMVKPVSPAGTFTSAVPLRILNKGPDYFRRQAEPNPKRLSAVERLEADKAK YVKSQEVINAKQEPVKPAVLAKPPVCP >ENSMUSP00000127942.1 pep:known chromosome:GRCm38:4:5772879:5799946:1 gene:ENSMUSG00000049119.14 transcript:ENSMUST00000171403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam110b description:family with sequence similarity 110, member B [Source:MGI Symbol;Acc:MGI:1916593] MPTETLQTGSMVKPVSPAGTFTSAVPLRILNKGPDYFRRQAEPNPKRLSAVERLEADKAK YVKSQEVINAKQEPVKPAVLAKPPVCPGTKRALGSPTLKVFGNHAKTESGVQRETLKLEI LKNIINSSEGSSSGSGHKHSSRNWPPHRDTTDLHRHSFAESLKVYPTPGHGSPQESSSHV SRRLLEQSAETFLHVSHSSSDIRKVTSVKPLKAIPCSSSAPPLPPKPKVAAMKSPEADQV EPACGVSRRPSLQRSKSDLSDRYFRVDADVERFFNYCGLDPEELENLGMENFARANSDII SLNFRSASMISSDCEQSQDSNSDLRNDDSANDRVPYGISAIERNARIIKWLYSIKQARES QKVSHV >ENSMUSP00000069697.2 pep:known chromosome:GRCm38:5:26098668:26105362:-1 gene:ENSMUSG00000051940.2 transcript:ENSMUST00000063524.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5031410I06Rik description:RIKEN cDNA 5031410I06 gene [Source:MGI Symbol;Acc:MGI:3036277] MALFARLCRLFQRVNVDGRETREGRKDADLPSERNEGRRRWTWRMCMAHRQTTSPVPVKS KKQFEKEEKELIKKIQLTTEETNELRDRLIYVTEGSMNKRPYHRQTRHYKELKLKEKEIM TFLHDLEMKNMEARENNQELKKEKNFYRNLHTRILLGENLIKKKLAILQQESKEIHADWA IIQQRLVELNLSGKDEQEKTSNLEAQENQVSEAARELGLATAEEDSILQNELPCQEAPAE HHPQHPSSSSDESSSDESSYSTCPEWE >ENSMUSP00000131580.1 pep:known chromosome:GRCm38:7:20883198:20884121:-1 gene:ENSMUSG00000096737.1 transcript:ENSMUST00000166937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r117 description:vomeronasal 1 receptor 117 [Source:MGI Symbol;Acc:MGI:3648880] MSAHGNSLKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNDLKCKLESFSHLVVRSTNLCSTCVLSVHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKFSGPQITDNNTDWKRKLFCST SGFSVGIVFLQFAYDATFMSIMVWTSVSMVLLLHRHHQRMQHILTPNQNPRSQAQSKATH TILMLVFTFVSFYLLNCICIILHALFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000113607.1 pep:known chromosome:GRCm38:19:7781041:7802578:-1 gene:ENSMUSG00000053303.14 transcript:ENSMUST00000120522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a26 description:solute carrier family 22 (organic cation transporter), member 26 [Source:MGI Symbol;Acc:MGI:2385316] MAFQDLLNLVGSHGRFQILQMAFLLICNVITMPHVILENFTAANLGHRCWVHIIDNDTNS NNNSGILSQDDLLRISIPLDSNLRPEKCRRFVQPQWHLLQFNGSFSNMTEPDTEPCMDGW VYDQRTFLSTTVTEWNLVCGSQELNSVAKFIFLIGVLAGHFVGGHLSDKFGRKLLFRCAL LQMAITGTCTALAPTFFIYCLLRFLTGLCIIPINTNSVLLKWTSPKTQALVTTLSMSSHH FGGLILAGLAFAFQNWHHLQLAISVPIFVLLIPTSRWLTESARWLIVTNKPQKALQELRK VASKNGIKNSEDVLTMEVVRTIMKDEIAIPRTKPSLRDLFHMPNLRKRLCLLCLLRFLQI SPTVGINMNLQHLKGNVFLTQSLTSAFSIPATALGGFLLNYIGRRISQLLPCLLFGIFLL SIVSVPQEMQTLLVVLITLAGGSSAMIYNSNVLYSSELMPTVIRATALGVIGICGGVGAA LSPLIMILTLYSASLPWIIYGVLSILGGLLPLILPETKNQPLPDSIQDVECGWESSKQKK EEDVIIKVTQF >ENSMUSP00000064809.7 pep:known chromosome:GRCm38:19:7781980:7802667:-1 gene:ENSMUSG00000053303.14 transcript:ENSMUST00000065634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a26 description:solute carrier family 22 (organic cation transporter), member 26 [Source:MGI Symbol;Acc:MGI:2385316] MAFQDLLNLVGSHGRFQILQMAFLLICNVITMPHVILENFTAANLGHRCWVHIIDNDTNS NNNSGILSQDDLLRISIPLDSNLRPEKCRRFVQPQWHLLQFNGSFSNMTEPDTEPCMDGW VYDQRTFLSTTVTEWNLVCGSQELNSVAKFIFLIGVLAGHFVGGHLSDKFGRKLLFRCAL LQMAITGTCTALAPTFFIYCLLRFLTGLCIIPINTNSVLLMLEWTSPKTQALVTTLSMSS HHFGGLILAGLAFAFQNWHHLQLAISVPIFVLLIPTRWLTESARWLIVTNKPQKALQELR KVASKNGIKNSEDVLTMEVVRTIMKDEIAIPRTKPSLRDLFHMPNLRKRLCLLCLLRFLQ ISPTVGINMNLQHLKGNVFLTQSLTSAFSIPATALGGFLLNYIGRRISQLLPCLLFGIFL LSIVSVPQEMQTLLVVLITLAGGSSAMIYNSNVLYSSELMPTVIRATALGVIGICGGVGA ALSPLIMILTLYSASLPWIIYGVLSILGGLLPLILPETKNQPLPDSIQDVECGWESSKQK KEEDVIIKVTQF >ENSMUSP00000029524.3 pep:known chromosome:GRCm38:3:92685499:92687210:-1 gene:ENSMUSG00000103243.1 transcript:ENSMUST00000029524.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1d description:late cornified envelope 1D [Source:MGI Symbol;Acc:MGI:1916861] MSCQQSQQQCQPPPKCTPKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSS GGCCSSGGCCSSGGCCSSGGGGCCLSHHRPHRSLRRHRHSSGCCSSGGSSGCCGSSGGSS GCCGSSQQSGDCC >ENSMUSP00000039806.4 pep:known chromosome:GRCm38:11:9048594:9069356:1 gene:ENSMUSG00000040978.4 transcript:ENSMUST00000043285.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11992 description:predicted gene 11992 [Source:MGI Symbol;Acc:MGI:3651127] MRNTSKEVQSTSYRYAPCDWYYHLPVKRSEKPVGAPPASQIPGLSDLRDSPNVNLPRARR YWIKETDSEYVKLAKQGGRPDLLKHFAPGTRQGSPVAYSLPDWYIHHSKPPTSHQREVPV VSIPDYMVYEEFNPDQANGSYESRQGPFDFDRKTIWQREAEELENVKRKVKLPAINSKNP SKTGTPVSHKEPERSRLSLPPMPGQKNSSPTNFSKLISNGYKDEWLQQQKAEADRRTPKT SRASVSSKSTEDSKSNQDTETPENPETPEGSEKTPDAEVSSPSESTPAELK >ENSMUSP00000045573.3 pep:known chromosome:GRCm38:3:92679247:92680918:1 gene:ENSMUSG00000042092.5 transcript:ENSMUST00000047055.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1c description:late cornified envelope 1C [Source:MGI Symbol;Acc:MGI:1920969] MSCQQSQQQCQPPPKCTPKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSS GGCCSSGGCCSSGGGGCCLSHHRPRRSLHRHRHSSGCCSSGGSSGCCGSSGGSSGCCGSS GGSSGCCGSSGGSSGCCGSSQQSGDCC >ENSMUSP00000112615.1 pep:known chromosome:GRCm38:10:88518279:88605152:-1 gene:ENSMUSG00000020061.17 transcript:ENSMUST00000121629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybpc1 description:myosin binding protein C, slow-type [Source:MGI Symbol;Acc:MGI:1336213] MPEPTKKEENEVSAPAPPPEEPNKEKEAGTAPAKEWSLGESPAGGEEQDKQNANSQLSTL FVEKPQTGSVKVGANITFVAKVRAEDLLRKPTVKWFKGKWMDLASKAGKHLQLKETFERQ TRVYTFEMQIIKAKENYAGNYRCEVTYKDKFDSCSFDLEVHESTGTTPNIDIRSAFKRSG EGQEDAGELDFSGLLKRREVKQQEEEPEIDVWELLKNANPNEYEKIAFQYGITDLRGMLK RLKRMRRVEKKSAAFAKILDPAYQVDKGGKVRFVVELADPKLEVKWFKNGQEIRPSTKYI FEHKGNERIMFINNCSLTDDSEYYVTAGDEKCSTELFVREPPIMVTKQLEDMNAYCGERV EMEVEVSEDDANVKWFKNGEEIFPGPKSRYKIKVEGKKHTLIIEGATKADSAEYSAMTTG GQSSAKLSVDLRPLKITTPLTDQTVKLGKEVCLKCEISENVPGKWTKNGLPVQEGERLKV VHKGRIHKLVIANALIEDEGEYVFTPDAYNVPLSAKVHVIDPPKIILDGLDADNTVTVIA GSKLRLEIPVTGEPPPKAIWSRADKAIMEGSGRIRAESYPDSSTLVIDVAERDDSGVYNI NLKNEAGEAHASIKIKVVDIPDPPVAPNVTEVGDDWCIMNWEPPAYDGGSPILGYFIERK KKQSSRWMRLNFDLCKETTFEPKKMIEGVAYEVRIFAVNAIGISKPSMPSKPFVPLAVTS PPTLLAVDSVTDSSVTMKWRPPDQIGAAGLDGYVLEYCFEGTEDWIVANTDLIDKTKFTI NGLPTDAKIFVRVKAINAAGASEPKYYSQPILVKEIIEPPKIRIPRHLKQTYIRRVGEAV NLVIPFQGKPRPELTWKKDGEEIDKNQINIRNSETDTIIFIRKAERSHSGKYDLQVKVDK YVENASIDIQIVDRPGPPQTVTIEDVWGENVALTWTPPKDDGNAAITGYTIQKADKKSME WFTVIEHYHRTNATITELVIGNEYYFRVFAENMCGLSEDATMTKESAVIAKDGKIYKNPV YEDFNFTEAPMFTQPLVNTYAIAGYNATLNCSVRGNPKPKITWMKNKVAIVDDPRYRMFS NQGVCTLEIRKPSPYDGGTYCCKAVNDLGTVEIECKLEVKVVAQ >ENSMUSP00000112699.1 pep:known chromosome:GRCm38:10:88518339:88605025:-1 gene:ENSMUSG00000020061.17 transcript:ENSMUST00000119185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybpc1 description:myosin binding protein C, slow-type [Source:MGI Symbol;Acc:MGI:1336213] MPEPTKKEENEVSAPAPPPEEWSLGESPAGGEEQDKQNANSQLSTLFVEKPQTGSVKVGA NITFVAKVRAEDLLRKPTVKWFKGKWMDLASKAGKHLQLKETFERQTRVYTFEMQIIKAK ENYAGNYRCEVTYKDKFDSCSFDLEVHESTGTTPNIDIRSAFKRSGEGQEDAGELDFSGL LKRREVKQQEEEPEIDVWELLKNANPNEYEKIAFQYGITDLRGMLKRLKRMRRVEKKSAA FAKILDPAYQVDKGGKVRFVVELADPKLEVKWFKNGQEIRPSTKYIFEHKGNERIMFINN CSLTDDSEYYVTAGDEKCSTELFVREPPIMVTKQLEDMNAYCGERVEMEVEVSEDDANVK WFKNGEEIFPGPKSRYKIKVEGKKHTLIIEGATKADSAEYSAMTTGGQSSAKLSVDLRPL KITTPLTDQTVKLGKEVCLKCEISENVPGKWTKNGLPVQEGERLKVVHKGRIHKLVIANA LIEDEGEYVFTPDAYNVPLSAKVHVIDPPKIILDGLDADNTVTVIAGSKLRLEIPVTGEP PPKAIWSRADKAIMEGSGRIRAESYPDSSTLVIDVAERDDSGVYNINLKNEAGEAHASIK IKVVDIPDPPVAPNVTEVGDDWCIMNWEPPAYDGGSPILGYFIERKKKQSSRWMRLNFDL CKETTFEPKKMIEGVAYEVRIFAVNAIGISKPSMPSKPFVPLAVTSPPTLLAVDSVTDSS VTMKWRPPDQIGAAGLDGYVLEYCFEGTEDWIVANTDLIDKTKFTINGLPTDAKIFVRVK AINAAGASEPKYYSQPILVKEIIEPPKIRIPRHLKQTYIRRVGEAVNLVIPFQGKPRPEL TWKKDGEEIDKNQINIRNSETDTIIFIRKAERSHSGKYDLQVKVDKYVENASIDIQIVDR PGPPQTVTIEDVWGENVALTWTPPKDDGNAAITGYTIQKADKKSMEWFTVIEHYHRTNAT ITELVIGNEYYFRVFAENMCGLSEDATMTKESAVIAKDGKIYKNPVYEDFNFTEAPMFTQ PLVNTYAIAGYNATLNCSVRGNPKPKITWMKNKVAIVDDPRYRMFSNQGVCTLEIRKPSP YDGGTYCCKAVNDLGTVEIECKLEVKVIYQGVNTPGQPVSLEGQQQS >ENSMUSP00000119024.1 pep:known chromosome:GRCm38:10:88526373:88553422:-1 gene:ENSMUSG00000020061.17 transcript:ENSMUST00000156573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybpc1 description:myosin binding protein C, slow-type [Source:MGI Symbol;Acc:MGI:1336213] XFKNGEEIFPGPKSRYKIKVEGKKHTLIIEGATKADSAEYSAMTTGGQSSAKLSVDLRPL KITTPLTDQTVKLGKEVCLKCEISENVPGKWTKNGLPVQEGERLKVVHKGRIHKLVIANA LIEDEGEYVFTPDAYNVPLSAKVHVIDPPKIILDGLDADNTVTVIAGSKLRLEIPVTGEP PPKAIWSRADKAIMEGSGRIRAESYPDSSTLVIDVAERDDSGVYNINLKNEAGEAHASIK IKVVDIPDPPVAPNVTEVGDDWCIMNWEPPAYDGGSPILGYFIERKKKQSSRWMRLNFDL CKETTFEPKKMIEGVAYEVRIFAVNAIGISKPSMPSKPFVPLAVTSPPTLLAVDSVTDSS VTMKWRPPDQIGAAGLDGYVLEYCFEGSTSAKQSNENGETACDLPAEDWIVANTDLIDKT KFTINGLPTDAKIFVRVKAINAAGASEPKYYSQPILVKEIIEPPKIRIPRHLKQTYIRRV GEAVNLVIPFQGKPRPELTWKKDGEEIDKNQINIRNSETDTIIFIRKAERSHSGKYDLQV KVDKYVENASIDIQIVDRPGPPQTVTIEDVWGENVALTWTPPKDDGNAAITGYTIQKADK KSMEWFTVIEHYHRTNATITELVIGN >ENSMUSP00000122472.1 pep:known chromosome:GRCm38:10:88519387:88536482:-1 gene:ENSMUSG00000020061.17 transcript:ENSMUST00000153964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybpc1 description:myosin binding protein C, slow-type [Source:MGI Symbol;Acc:MGI:1336213] XEDWIVANTDLIDKTKFTINGLPTDAKIFVRVKAINAAGASEPKYYSQPILVKEIIEPPK IRIPRHLKQTYIRRVGEAVNLVIPFQGKPRPELTWKKDGEEIDKNQINIRNSETDTIIFI RKAERSHSGKYDLQVKVDKYVENASIDIQIVDRPGPPQTVTIEDVWGENVALTWTPPKDD GNAAITGYTIQKADKKSMEWFTVIEHYHRTNATITELVIGNEYYFRVFAENMCGLSEDAT MTKESAVIAKDGKIYKNPVYEDFNFTEAPMFTQPLVNTYAIAGYNATLNCSVRGNPKPKI TWMKNKVAIVDDPRYRMFSNQGVCTLEIRKPSPYDGGTYCCKAVNDLGTVEIECKLEVKA SD >ENSMUSP00000091061.4 pep:known chromosome:GRCm38:11:4938754:4948064:-1 gene:ENSMUSG00000020396.8 transcript:ENSMUST00000093369.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nefh description:neurofilament, heavy polypeptide [Source:MGI Symbol;Acc:MGI:97309] MMSFGSADALLGAPFAPLHGGGSLHYSLSRKAGPGGTRSAAGSSSGFHSWARTSVSSVSA SPSRFRGAASSTDSLDTLSNGPEGCVVAAVAARSEKEQLQALNDRFAGYIDKVRQLEAHN RSLEGEAAALRQQQAGRAAMGELYEREVREMRGAVLRLGAARGQLRLEQEHLLEDIAHVR QRLDEEARQREEAEAAARALARFAQEAEAARVELQKKAQALQEECGYLRRHHQEEVGELL GQIQGCGAAQAQAQAEARDALKCDVTSALREIRAQLEGHAVQSTLQSEEWFRVRLDRLSE AAKVNTDAMRSAQEEITEYRRQLQARTTELEALKSTKESLERQRSELEDRHQADIASYQD AIQQLDSELRNTKWEMAAQLREYQDLLNVKMALDIEIAAYRKLLEGEECRIGFGPSPFSL TEGLPKIPSISTHIKVKSEEMIKVVEKSEKETVIVEGQTEEIRVTEGVTEEEDKEAQGQE GEEAEEGEEKEEEEGAAATSPPAEEAASPEKETKSRVKEEAKSPGEAKSPGEAKSPAEAK SPGEAKSPGEAKSPGEAKSPAEPKSPAEPKSPAEAKSPAEPKSPATVKSPGEAKSPSEAK SPAEAKSPAEAKSPAEAKSPAEAKSPAEAKSPAEAKSPATVKSPGEAKSPSEAKSPAEAK SPAEAKSPAEAKSPAEVKSPGEAKSPAEPKSPAEAKSPAEVKSPAEAKSPAEVKSPGEAK SPAAVKSPAEAKSPAAVKSPGEAKSPGEAKSPAEAKSPAEAKSPIEVKSPEKAKTPVKEG AKSPAEAKSPEKAKSPVKEDIKPPAEAKSPEKAKSPVKEGAKPPEKAKPLDVKSPEAQTP VQEEAKHPTDIRPPEQVKSPAKEKAKSPEKEEAKTSEKVAPKKEEVKSPVKEEVKAKEPP KKVEEEKTLPTPKTEAKESKKDEAPKEAPKPKVEEKKETPTEKPKDSTAEAKKEEAGEKK KAVASEEETPAKLGVKEEAKPKEKTETTKTEAEDTKAKEPSKPTETEKPKKEEMPAAPEK KDTKEEKTTESRKPEEKPKMEAKVKEDDKSLSKEPSKPKTEKAEKSSSTDQKESQPPEKT TEDKATKGEK >ENSMUSP00000097341.1 pep:known chromosome:GRCm38:2:90252069:90252986:-1 gene:ENSMUSG00000075063.1 transcript:ENSMUST00000099752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr142 description:olfactory receptor 142 [Source:MGI Symbol;Acc:MGI:2177525] MANKNNVTELIFTGLFQDPEVQKVCFVLFLPVYLATLLGNSLILVAVSISKTLHSPMYFF LSSLSLVEICYSSTIVPKFITDLLAKVKTISLKGCLTQIFFSHFFGVVEVILLVVMAYDR YVAICKPLHYMNIMSRQVCHMLVAGSWLGGFIHSIIQIIITIPLPFCGPNVIDHYFCDLQ QLFKLACTDTFMEGFIVMANSGLISIVSLFILVSSYAVILISLRKRSAEGRRKALSTCAS HITVVILFFVPGAFIYMRPSSTFTEDKLVSVFYTVITPMLNPIVYTLRNTEMKNAIRMSW KQKDS >ENSMUSP00000138833.1 pep:known chromosome:GRCm38:X:37580992:37585496:1 gene:ENSMUSG00000095510.8 transcript:ENSMUST00000184451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3f description:reproductive homeobox 3F [Source:MGI Symbol;Acc:MGI:3770277] MSMKPERSMSNWIHSNVEPAGRNLFQVNGHRSALLPELPQDYHRASRSVNGCETKMDNTQ GTKVLPAEEARNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRNKEDGG TKGGEKNEQEVREQIPEHVEGESDQAEALRQVPRRRLHHRFTQWQLDELERIFRMNYFLS LEARKQLARWMGVNEAIVKRWFQKRREKYRWYKRL >ENSMUSP00000138815.1 pep:known chromosome:GRCm38:X:37581481:37585496:1 gene:ENSMUSG00000095510.8 transcript:ENSMUST00000185008.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3f description:reproductive homeobox 3F [Source:MGI Symbol;Acc:MGI:3770277] RASRSVNGCETKMDNTQGTKVLPAEEARNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGEMVSEEERKIQVV >ENSMUSP00000139277.1 pep:known chromosome:GRCm38:X:37581481:37585496:1 gene:ENSMUSG00000095510.8 transcript:ENSMUST00000183696.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3f description:reproductive homeobox 3F [Source:MGI Symbol;Acc:MGI:3770277] RASRSVNGCETKMDNTQGTKVLPAEEARNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGGEKNEQEVREQIPEHVEGESDQAEALRQVPRRRLHHRFTQ WQLDELERIFRMNYFLSLEARDGFRRGEKNTGGIRGYKVSEVLLLLLRTSFMKTVEEPCS ANITKATERNELLSSPNNMLLNSYI >ENSMUSP00000110826.4 pep:known chromosome:GRCm38:X:37581352:37585496:1 gene:ENSMUSG00000095510.8 transcript:ENSMUST00000115172.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3f description:reproductive homeobox 3F [Source:MGI Symbol;Acc:MGI:3770277] MSMKPERSMSNWIHSNVEPAGRNLFQVNGHRSALLPELPQDYHRASRSVNGCETKMDNTQ GTKVLPAEEARNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRNKEDGG TKGGEKNEQEVREQIPEHVEGESDQAEALRQVPRRRLHHRFTQWQLDELERIFRMNYFLS LEARKQLARWMGVNEAIVKRWFQKRREKYRWYKRL >ENSMUSP00000112556.2 pep:known chromosome:GRCm38:8:84132828:84147865:-1 gene:ENSMUSG00000036686.16 transcript:ENSMUST00000117424.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cc2d1a description:coiled-coil and C2 domain containing 1A [Source:MGI Symbol;Acc:MGI:2384831] MHKRNGPQAPPGRGAVTARQLGLLVDFSPDGLMIPEDGINEEELEAEFLALVGGQPQALE KLKGQGPLPMEAIEKMARLCMRDLDEDEEGTDEDDVEADEDLLAELNEVLGEEQKAVEPL MPVAQTLENLLVSAKKGNIINEADIPPPVASGKGAAAGHSHTQATSQLASVSPPAPESSG TLEAPSTTTPTSAKPQLPPDPCSPLARLQSLQHEYKLAALRAKHQDDTATATRHLRIAKS FDPVLEALSRGELVDLSRLPPPPDQLSPEPPLPAAQPLTSASTLTRPEVPQPPRNLLEAL EQRMERYHVAAAQAKAKGDQRKARMHERIVKQYQDAIRAHKAGRAVDVAELPVPPGFPPM QGLESAEPSQQSLVGVLETAMRLANHDEGSDDEEEETPKKNTPAASTTQLKSSPSKAPPS GPAPAGKAAPKGTSNRAQQQLAFLEGRKKQLLQAALRAKQKNDVEGAKMHLRQAKGLEPM LEASRNGLPVDIAKVPPAPVNKDDFVLVQRPGPGLSQEAVRRYGELTKLLRQQHEMCLNH STQFTHLGNIAETIKFEKLAEDCKRSMDTLKQAFARSLPTPAARFEQRTFSVIKVFPDLS NSDMLLFIVKGINLPTPTGLSPSDLDAFVRFDFPYPNVEEAQKDKTSVIKNTDSPEFKEQ FKLCINRGHRGFRRAIQTKGIKFEVVHKGGLFKTDRVLGTAQLKLGTLETACEVHEILEV LDGRRPTGGRLEVMVRIREPLTAQQLETTTERWLVIDHIPAAMPTVTGPKAKAPLIPASS REAGNRSARPLHSLSVLAFDQERLERKILALRQARRPVPPEVAQQYQDVVQRSQWQRAQL EQGGAALRREYASHLERQLHFYTEAARRLGYDGSREAAKEALYRRNLVESELQRLRR >ENSMUSP00000046449.8 pep:known chromosome:GRCm38:8:84132828:84147936:-1 gene:ENSMUSG00000036686.16 transcript:ENSMUST00000040383.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cc2d1a description:coiled-coil and C2 domain containing 1A [Source:MGI Symbol;Acc:MGI:2384831] MHKRNGPQAPPGRGAVTARQLGLLVDFSPDGLMIPEDGINEEELEAEFLALVGGQPQALE KLKGQGPLPMEAIEKMARLCMRDLDEDEEGTDEDDVEADEDLLAELNEVLGEEQKAVEPL MPVAQPKPSGPNPGVEATLQERLTLYQSALESARQAGDSAKMRRYDRGLKTLENLLVSAK KGNIINEADIPPPVASGKGAAAGHSHTQATSQLASVSPPAPESSGTLEAPSTTTPTSAKP QLPPDPCSPLARLQSLQHEYKLAALRAKHQDDTATATRHLRIAKSFDPVLEALSRGELVD LSRLPPPPDQLSPEPPLPAAQPLTSASTLTRPEVPQPPRNLLEALEQRMERYHVAAAQAK AKGDQRKARMHERIVKQYQDAIRAHKAGRAVDVAELPVPPGFPPMQGLESAEPSQQSLVG VLETAMRLANHDEGSDDEEEETPKKQNTPAASTTQLKSSPSKAPPSGPAPAGKAAPKGTS NRAQQQLAFLEGRKKQLLQAALRAKQKNDVEGAKMHLRQAKGLEPMLEASRNGLPVDIAK VPPAPVNKDDFVLVQRPGPGLSQEAVRRYGELTKLLRQQHEMCLNHSTQFTHLGNIAETI KFEKLAEDCKRSMDTLKQAFARSLPTPAARFEQRTFSVIKVFPDLSNSDMLLFIVKGINL PTPTGLSPSDLDAFVRFDFPYPNVEEAQKDKTSVIKNTDSPEFKEQFKLCINRGHRGFRR AIQTKGIKFEVVHKGGLFKTDRVLGTAQLKLGTLETACEVHEILEVLDGRRPTGGRLEVM VRIREPLTAQQLETTTERWLVIDHIPAAMPTVTGPKAKAPLIPASSREAGNRSARPLHSL SVLAFDQERLERKILALRQARRPVPPEVAQQYQDVVQRSQWQRAQLEQGGAALRREYASH LERQLHFYTEAARRLGYDGSREAAKEALYRRNLVESELQRLRR >ENSMUSP00000097340.1 pep:known chromosome:GRCm38:2:90265511:90266428:-1 gene:ENSMUSG00000075062.3 transcript:ENSMUST00000099751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1271 description:olfactory receptor 1271 [Source:MGI Symbol;Acc:MGI:3031105] MVHENNVTELIFTGLFQDPEVQKVCFVLFLPVYLATLLGNGLIFVTVSISKTLHSPMYFF LSSLSLVEICYSSTVAPKFITDLLAKVKTISLKGCLTQIFFFHFFGVVEIILLVMMAYDR YVAICKPLHYMIIMSRQVCHMLVAGSWLGGLIHSIIQIIITIPLPFCGPNVIDHYFCDLH PLLKLACSDTFMERFIVMANSGLFSIISLFILVSSYAVILISLRKRSAEGRRKALSTCAS HITVVILYFGPGAFIYMRPSSAFTEDKLVSVFYTVITPMLNPIVYTLRNTEMKNAIRMFW SQKDK >ENSMUSP00000146623.1 pep:known chromosome:GRCm38:9:18495518:18622235:-1 gene:ENSMUSG00000109564.1 transcript:ENSMUST00000207857.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc16 description:mucin 16 [Source:MGI Symbol;Acc:MGI:1920982] XYHHQYWTPKTSTTNPSLVPFTLNFTITNLHHTEGMASQGSEIFNSTERILNSLLKPMFK NSSIGHLYSGCRLITLRPEKDGTATGVDAVCTLHSDPLGLQLDREKMYWELSHNTQGVTK LGSFTLEKDSLYINVPGSPLVPFIINFTITNLEYEEGMSHAGSWKFNATEKILQRLLWSL FNKTSIGLLYSDCRLALLRPEKDGAATGVDAICTHHAGPIGPGLDSERVFWELCNLTNNV TKLGPYTLDKNSLYINGYTHQIQSITSPTTSPSLLPFTLNFTITNLQHTEGMAFQGSEIF NNTERILNSLLKPIFKNSSIGHLYYGCRLISLRPEKDGTATGVDAVCTLHSDPLGLQLDR EKMYWELSHNTQGVTKLGSFTLEKDSLYINVPLSSLVPFTINFTITNLKYEEGMNHPGSW KFNATERILQRLLWPLFNKTSISLRYSNCRLALLSERVFWELCNLTNNVTQLGPYTLDKN SLYINGEQWPCYTHQIQSITSPTAGPVLEHFTINFTIINLKFEEDMSHPGSRKFNITERT LQRLLRILFKKSSVGALYSGCKLSLLRPENDGAGTGVDAVCTHYPDPTGLGLDRKMLYGE ISRLTYGVKRLGPYTLNDKSLYVNGYTHQIVATTTQMAMMTIASMGMINNSPSPTAGSGL VPFTINFTVTNLKYVENMHHPGSRKFNTTERILQKLLKALFSKTSVGPLYSGCRLILLRL EHNGTATGVDAICTYHPNISNQGLDRERVYWELKRLNITQLGPYIVDENSLYINGYTQQI LSTTSRPSVMATVSEGMPNISSTPTATSPVLVPFTINFTITNLEFKKDMGRPGSRKFNVT ERTLQSLLRPLFIKTSVGQLYSGCRITLLRPEKNRAATGVDATCTYHLDPAGHRLESEQI YEELSNLTQSVTQLGPYILDQNSLYVNGYTDQILATTPRALSSALLRCTLNFTITNLPHT NDMWVPGSAKFNKVEKILKLLLKPLFQNTSVGLLYSGCRLTSLRPKKDGEATRVDMVCTY HPNTTGTGLDREQLYLELEQLTHNITQLGPYSLDQNSLYVNGYTHQTAVTSPSKSPLEIF TFNFTITNLLYTENMQPPGSKKFNTIEKILQGLLGSLFKNTSIGPLYTGCRLTSLSSRKD GKATEVDTVCSYYADHMGPGLATEQLYLELSNLTHGVTKLGPYTLDQDSLYVNDYTYSAS SIFHNTSSLVPYSTNNSTGNGTVMVSITLNFTITNLHYTEEMGHPGSLKFNSTKWTLHYW LDTLLNKTSIAPLYSGCRLASLRSDDHRAATEVDVICNFHSYSMSPGVNQDQLFWELSHG THGITHLGPYTLDQNSLYINGNSFGAAAPNTTTGELSEELFTVNFTINNLRYSADMSQIG SPKFNITDTLMQHLLGPLFQRSSLGPLYTGCRVATLRSMKNGAQTQVDVLCTYRRVFNSQ GLPAKPIFYDLSWQTHGITKLGPYSLDKDSLFINGYNEPGPDVPPTTPEPATTILPSPTT SLQPESNTVMWHKPETFTINFTISNLPYSVDMISGSAIFNSTENVLQRLLGPLFQNDSFN SSCRLTSLRPEKNGMFTGVVTTCTYQNDPAHPGMDIQGLYSELSHLSHGVTQLGNYTLEE HSLYVNGYPILGPDILTTTPEPSTTILPFTLTSVQPESTTARAHHLKTITINFTISNLPY SAEMNYSSAMFNSTESVLQHLLRPLFQNNSFNASCRLTSLRPEKNQNSTNVNVICSYQHY SAHPGLHTQELYSELSHLTHGFTQLGNYTLDKDSLYVNGYNEIGTKEPTTNPETATTMLP SPSILVQTESTTAVGYHLKTVTVSFTISNLPYSAHMNNGSAVFNSTETVLKHLLEPLLHN GSFNSSCRLDSLRPEKNGTATAVEAICTYYHNAANSGIDTQELYSELSHLTYGITQLGNY TLDQESLHVSGYNEPHPEEPPTTPEPTTTMQPSPSTALHPEPTTGHHLKILTINFTISNL PYLSNMSNGSAMFNSTESVVQYLLSHLFQNGSFNSSCTLDSLRSRKNGTATGVNVICIYH HDPENPEMDIHGLYLEINNLTHGITQLGNYSLDNGSLYINGYNEHGVEELYTAPESPSTI VPSSSTFVKGEPTVAMGNLKTFTLNFTISNLPYSTDMSSGSAMFNSTERILQHLIRPLVQ NESLYSNCRLASLRPKKNGTATGVNAICSYHQNPDHPELDTQELYTKLTQLTQGVTQLGS YMLDQNSIYVNGYTHQTAETTSSGYVPLNITIQGKYQLNFCIINWNLNNTDPTSSEYITL ERDIEDKVTTLYTGSQLKEVFQSCLVTNMTSGSTVVTLEALFSSHLDPNLVKQVFLNKTL NASSHWLGATYQLKDLHVIDMKTSILLPAEIPTTSSSSQHFNLNFTITNLPYSQDIAQPS TTKYQQTKRSIENALNQLFRNSSIKSYFSDCQVLAFRSVSNNNNHTGVDSLCNFSPLARR VDRVAIYEEFLRMTHNGTQLLNFTLDRKSVFVDGYSQNRDDDVMKNSGLPFWAIILICLA VLLVLITCLMCCFLVTVCRRKKEGDYQVQRHRLAYYLSHLDLRKLQ >ENSMUSP00000147104.1 pep:known chromosome:GRCm38:9:18495518:18674530:-1 gene:ENSMUSG00000109564.1 transcript:ENSMUST00000208663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc16 description:mucin 16 [Source:MGI Symbol;Acc:MGI:1920982] MGEEDVACSAHRHRHRYLLTLTTSLLLAYGPASAFQEPHSSSGPMTSVLRPIPKTNTRKL DTSTTVTASTLHWRSNIPSKLAAMKGTRNSKKAVNIINSTSSTPDVTFGSVLLKTTLSTK IPETTGKSTGYPSMTTSNFTRTETHNGTALNSTLLSDTSTTVTVAETNITEIISTTEHTP NFTEVPFTNSVLVSRTESTNNSISYPITNQVIKAEEISTGMPTGPPNSIISKEITTSQIE HFVVTPNIIASQGIPATTSGAGMHSSVSHNYSLLETSTLLKSDKVPSRTNSSPLEGISSE SSWKTTVRTSSSSPVKLSSQRHLPITHHTTKQSWTTAQIQSSTLEEVDLITEYSLNHTEV PMNLSLLEPSTKDMSTGNISFTTLHESISEDTSFGITIGTPSSSITSKLQQLSNSHNGHS VVTSSMVTSKSPSTSASINVTNSSRVQTFPHPEAMDPPKNITSGPQTHNLWEESVFEDST MPHESHSPSAISSIRDTHSTSFTGSVAYVITVSKDTIPTDLSTRSEPVISSIQSLNGSPQ KSFNIAQVSKISQMTSVSSVTKGNKKNGSSTPKLKHTIIPTPISSEHEYFTTILARSEDT TLSSPQSISFTTAEIGKPTDSSLMKAWKSSSKYEDIHSTKEHRISQTNVPTTLSLVTSVA KDISAGSTFLSKLHHSISEDTSTGISTKYSRSTTTTESEQTATSHREHTVVTSNMVTSKD LSTTSSNTETQHSGTQTFTLSESMVNSTNINPATTLRTNSVWEGSMPTSSTRTPDEAPSH SPVSANADRYSTPSSVSASIVTISKEPNPTRLYVIPKSVTPSIPSSNSTQFSKIPQTTSV SLLGSVEGMKKSTFKHAITPISVSLEKESFTTILPTMEQARLSPSQSVSSERTDTERGIS FPLRTAWTDFSTSEQINTSTEYSTSHTELSTSPSPLESRTGLTSADSISISDLQSTLQDT SSGTSISIPASTVSAESEEMKSRPMGLYPVISDILTYPDSSSTVSVKGTHSSGTHTFPHS ESRAPFNNLASASWTPTPEKGTISTDSTKTQESLSLTADTYMPHTHSSHSPDSVVSFFTS SKDSIPTDSYTSLGPVTVYVPSWSSTSQSSSNTVHASQMSQMNTFSSVTSGSNMGDSHSV PEFKYTTTSVSVPSELSTEKKTFPTTLPTTEVATLIPTKSTSYENTSSGTKTVTLLTTLT QANSPEKVHSSTDFIRHPSEVPNSSSTPVSSTRSMSPDNAILPTLWHFTSEHTGFTPEDM TSGTVTKCYDSNTITESQEVSTSQIRDSNVTSNIALSLKTSTMSSESDNFMSFSPAPTIT ETSTFVSQSYEVPSIATFSTLKGSPLGNSPGYLNESSLTSSVTSTGENNTPSPSPVVSVF TSSKEKITTRLDKPLHNLSTSFSNWTTNTHTSQETSQATEFSQTSSASSVNSEIITEVSS SIPQPKFSVSESIRAGRTLTRSPLYSSESEDITPGSISRLITYPSTEHIGLTSIFGTANS GSLLSDSLSRDIAATVPMKEFSSPEPQTSPHLSWISESHSFEEQSQTSSLSKGKHTSTDP TSTMIEKTTLSPVTSTSQGQNVSSLDFLAALVTSIHETNSNGQDTSATSGSNSIQSLDTI GLSTPGSSYNMKTFQTSHISPKNPATRVETDSPAMHPQGTVLVNSVPSRITEIMISQSNW DTISPSMPLSSPVHAETQKAFPITSIEQETTRSEDTDLMKKHSSGLLTVSTSTYPGISRT EANSTDTTSTSNSVSFAKTTDTSVGNASSSSTLFFPRTDISQVVNVEESSNITTLLNTSD PITYSGALSTVTQTFLHSDSISHPSSSELLSWTDSPLHEATSSVNSIETIHTSSFPVTSP SEGQGLFFPSSEETTTEELDTNLAFFKTSFPSWSNSELAISKDTRTSQKSYNSTKTEVSP VNITTSEPPAQYTVSPETLSADSTASSLLIIPTKISASASPLLPQRSNSTSFPKTSFPTS ELFKTTDILDRSLETGISLPPNLRSTSLEILGLSEVVTDTEKHHSSSSFTVTNVNTITVG HELSSSVSTLSESSRDADTSGTPPSVWVTTILTSLPNHLETTRSNTDSFSHLTSGLRDTS ISLQTNKPSPLESTLHLPSSETDVATAVNIPTPYQSPSPKFTDIPIATATILNPSSSIVE STGATSFPEYDFTMLSRENIHVPRTDILPSAETTLIDTTATLSPRGMTFFATTSNPTGIS AVTSESSHSMIQSSHLFATIVKKPPSSISTPLPYPISTTTDTAASSSLQRITTPSEMEDG MQYHTDISNGAVHGDTAGLGKGSLASTSSANHKDLTTEGTVKADTTTRALKASSIFTPNT KMSTTISTPPTHIKTSKKTTNINTTEMIITASDASHNLLEMAPSFSTGLRENISMVARTS PSSYSRKPEITIPQIPSLKTKTIPNVNTLTVSSAESDTISWITHALEITSAIAKTTKNAS QVELDSKVSTATSSRGKDRSTLSTAAVSSAIPDLASIEQEDRLFGPTISISSSIPDMKNL EGYSSKEDIGTSKPILTHSPSEPYNTISLISHAGGKTDSPMSTIAVSPDVTEIMRPLFTS SGRRIHTRNPTQGQSVTTSWITHPGTEATSRVLTTTISPNNQEVETSKSANPSKLQTTTS LITDSKEQPSFTMSTLAVSLGVIEGTPSPVINRGTERNSRTATLDFFLDLPETTTSWTTN PEKEAISGILTTKYAPSVTDRMTTINLNHTPPEPQNITSLATHYVRHSLITSTKVVHPDI EEVKPSQVTSSVTESHVMTSNMAFSPGKHETINPFVTHPRRKVTSGILTAAISPSVTNMM TFTPVKHSPSEPQPIESLDTNAEGHPSASMSTQALSPGMREMMIPQAPNYGRETYITTST LPVSVGQIESTASLVTHAEKEATLGVLPTTVSTISPNMMASTELMSSGPHTTASLVTHNG EQHSSDMSTLPASSGITKIKQSLDTTTGKESQSVITTLAVSLGIPKTKSINTTSNVPDMM ISKSWNHTSSNVHTITSLVTHAGTQSSFSKSTKAASPSITKLTASLFTDTDTENVAITAL PTSSGQMEKRAPSVTKPEKETISDVLSITTSTSVQQMMISPTVIPSEPHTTVSFDTHVGG QPSSTMSILPVTSDSTKIISSLGTRSGTESHSVTSTMDISQGQLETTASGDTHTEREGNS LTLSTVISTSAPDIMTSTNENQTSSDRQTIISLLTLAGEDTSLSVPSQGGSPGIMKVTPS LFTDVGTEGYAITSTLPVFSGQPDTRTPWDKHSETKVTTVVLPTTISTSVPEIMTSPTPI PSEAHTTVSLITHAGGAPSLAMSTLPVSGALTENIPSKVTYRGKEGNSVTSALDVSWDQK ESTAPWVSYQAKEGPSEVLSTNISTRAPDMVFSTNKNQTSSDTQTMTSLITQTGEQTSLS ESTQGVSSAITKMTQSIFNDLGTESYEMTSTLPASSHQSETRTKWITHSKIEQMSGVLST TNSSSAPKMMTSTPITSELHATVSLVTHVGAQHSSTMSALTASPGKTEIMPSEQTHTGTE IHSVTSTLDVSAGQEELTTAWVTQSEKERTSGVLSTAISLSVPNMTSATLNNNSLDIRTI TAFMKHPAGKSSLSMSTEGISPRITEVTPSLFTDLGTESYAMTSTMPASSGQSETRKSWN TNSEMEATPGVLSTSITTNVSEMITSSTSFPSEPHTTVSLVTQLGGNSSSPMSALPASPG RTEIKSSLVTYPDTESYSVTSTLDIFTGEAESTTPASTHTGREGTSETVTRNTSTSVPDM MTSITKRHSASNTQIMESLVDSMEIHHGGKPSLSVSSQHVTPDITEVTQSLFTNLGYERN TVIPTLPVSPGQPDTQATWVIHSEKEATSRVLPTSTSPHVSEPKASPTDIPSEPQNTVSL LTQVGGQSSSAMYILQTSTGRTKIKPSVVTQPGTESFAMLSTPDISQGQTESATPWPTHP PKKGTSEVLSTTISNSSPATMTSITKNQTSFDSQNITSFITHAEVQPSLSVSMQDISTGK AKVSTSQFTDLGRENCAMTSMLPALSGQVETKAPWDTNSEMEGIFGPVSTIISSSVPEMM TSPNVIPSEPHTKVPLSNHDVGQPTSIMSTLHVLPSIPEFKPKLDTSYGAESQSLTSTMG IFVDQARSTNTWATHTGRKDTSGIESRLISTSAPEMMTSITKNQTSSETKTITPYVIQAG GESTSGTVSKIISTSAPEKMNSVTKNQTSSDTQITHAGEQPSLSVSTQDISPRITEVIPS EFTDIGPKRFAMTSTLPASPGQAETNGTITPWNSNSEMEATSGPLSTTILPGVQEMMTSP SVFPSEQQTTVPLVSHYVGQPTSVMSTLPFSPGRTENMPSLVSSSGIQSHSVTSIKDTSV NKAVSTTTWATHTGRENTSGTESTLISTSAPETITSITKHQTSADTQTIMSFITHPAGQP SLSVSPYGASPGITVVTPSQFSDLGTESYAMASTLPASPGQAESKTPWDTHSEMEATSGP VPTTISPGVLETMTSPTVIPSEPPTKVPLVTHVVGPSTSSMSTLHVSPGIPEITPSVVTS YGPESHSATSTQVISIDQAGSTTTWSAHTGRGNTSGTESTLISSSGSEMMTSIKKIQTSS ETQTITSFITHAGGESTSGTVSTVISTSAPEMVNSLTKNQTSSDTQITHAGEQPSLSVST QDVSPRITEVTPSEFTDLSSNNYAMASTLPSSPGLEETKTPWNSNSEMEATSGHLSTTIS HGVPQMMTATTVIPSQPHSTLPIVTHIPGQQTSVMSIFPFSPGRTERMPSLFPSPSRENH LVSSTQDISIDQAVSTTPWPNHTGNKITSGTKSTLISTSSAETMTSITKNQTSSATQTSF ISHAAGESSLSGSTYGVSFGITQLSPSQFTDLGTKNYAMTSTFPASPSEIETKAPWNTNS KMEASSGPLSTTISSGVSEMRTAPTVASSETHTKVALVTHIIGQLTSVGSTLPFSSGKIE NMPSLVSSSGIQSHSGTSIQDTSVNQAVSTTTWATHTGRENTSGTESTLISTSAPETITS ITKHQTSSDTQTITSFITHSAGQPSLSVSPYGASPGITVVTPSQFSDLGTESNTMTSTLP ASPGQAESKTPWDTHSEMEATSGPVPTTISPGVLETMTSPTVIPSEPPTKVPLVTHVVGP STSSMSTLHVSPGIPEISPSVVTSYVPESLSVASTMSIFIDQAGSTTTWSAQTGRGNTSG TESTLLSTSAPELMTSLTRNPNSSETEAITSFITHPGEESTSGTVSTIISSRAPDVMTSV TKNQTSSETQTITSFITHHGGQPSLSVSTQGVSPRITVVTPSEFTDLSSKSYAMTSSLPT SPGLEETKTPWVSSSELEATSGPLSTTILPGVQEMMTSPSVFPSEQQTTVPLVSHYVGQP TSVMSTLPFSPGRTESIPSVFPSSGTESHSVTSTQDTSIDQAVSITPWSTLTGRGHTLGT ESPLISTSAPETITSITKNGTSSDTQTITSFITHHGGQPSLSVSTQGVSPGITEVTLSQF TDFSTKNYAMTSTLPASPGQAESKTLWNTNSEMETNSGPLSSTISSVVQEMMTSPTVISS EPHTNVPLVSSDVVQSSSSMSTLSVSPGTPEITPSVVTSYVSESHSLPSTLPVSSSQSKS PAQWITHTGHEGASGNLSPTISPSVPEIMTVTTLNHTLPKSLTITSLSTQVGVQPSLNRT TTAFSPSIPKLTSLSTNLGIESHHTTVSLSPSLSETIASLVTHSQKEASLGVLTTTIPST ILDTMTSPSLLTSESYTTISLTTPLEGQPSSSMSTLAVSPGVTEKIPLLVTSSSTNTHSV SSTLHASPGQLETPALMVTPPEREATSSILRTDISPSIPDLMASKTHNPSEHTTISSVTY VGGQSSPSISTLTKFPSIKDIMTPLATTSVVETHKTAPTLSVPADQRETTALWVIHSGKE LMSTVSSPPTLPGDSERTETWLILSAKTSTPHPTITSTFSYNDITSSVSEVETSSATTLS SGASEEAASLSGHTGTETSTSTLTVTPSSTSPGTTGLQPSSPSKEYTLTMSSSLPVFPDH STTSKFGIATSKNIETSPSLSTVRLSDFVSDVISPAMTLSSESSTHAPTDLETTRETTHS ATTSSKPTGDKTTTSLHESSSALLTTRRISTLSPENVTSRPSYHHQYWTPKTSTTNPSLV PFTLNFTITNLHHTEGMASQGSEIFNSTERILNSLLKPMFKNSSIGHLYSGCRLITLRPE KDGTATGVDAVCTLHSDPLGLQLDREKMYWELSHNTQGVTKLGSFTLEKDSLYINGYTHQ IQSITSPMPLSSLVPFTINFTITNLKYEEGMNHPGSWKFNATERILQRLLWPLFNKTSIS LRYSNCRLALLSERVFWELCNLTNNVTQLGPYTLDKNSLYINGYTHQIQSITSPTAGPVL EHFTINFTIINLKFEEDMSHPGSRKFNITERTLQRLLRILFKKSSVGALYSGCKLSLLRP ENDGAGTGVDAVCTHYPDPTGLGLDRKMLYGEISRLTYGVKRLGPYTLNDKSLYVNGYTH QIVATTTQMAMMTIASMGMINNSPSPTAGSGLVPFTINFTVTNLKYVENMHHPGSRKFNT TERILQKLLKALFSKTSVGPLYSGCRLILLRLEHNGTATGVDAICTYHPNISNQGLDRER VYWELKRLNITQLGPYIVDENSLYINGYTQQILSTTSRPSVMATVSEGMPNISSTPTATS PVLVPFTINFTITNLEFKKDMGRPGSRKFNVTERTLQSLLRPLFIKTSVGQLYSGCRITL LRPEKNRAATGVDATCTYHLDPAGHRLESEQIYEELSNLTQSVTQLGPYILDQNSLYVNG YTDQILATTPRALSSALLRCTLNFTITNLPHTNDMWVPGSAKFNKVEKILKLLLKPLFQN TSVGLLYSGCRLTSLRPKKDGEATRVDMVCTYHPNTTGTGLDREQLYLELEQLTHNITQL GPYSLDQNSLYVNGYTHQTAVTSPSKSPLEIFTFNFTITNLLYTENMQPPGSKKFNTIEK ILQGLLGSLFKNTSIGPLYTGCRLTSLSSRKDGKATEVDTVCSYYADHMGPGLATEQLYL ELSNLTHGVTKLGPYTLDQDSLYVNDYTYSASSIFHNTSSLVPYSTNNSTGNGTVMVSIT LNFTITNLHYTEEMGHPGSLKFNSTKWTLHYWLDTLLNKTSIAPLYSGCRLASLRSDDHR AATEVDVICNFHSYSMSPGVNQDQLFWELSHGTHGITHLGPYTLDQNSLYINGNSFGAAA PNTTTGELSEELFTVNFTINNLRYSADMSQIGSPKFNITDTLMQHLLGPLFQRSSLGPLY TGCRVATLRSMKNGAQTQVDVLCTYRRVFNSQGLPAKPIFYDLSWQTHGITKLGPYSLDK DSLFINGYNEPGPDVPPTTPEPATTILPSPTTSLQPESNTVMWHKPETFTINFTISNLPY SVDMISGSAIFNSTENVLQRLLGPLFQNDSFNSSCRLTSLRPEKNGMFTGVVTTCTYQND PAHPGMDIQGLYSELSHLSHGVTQLGNYTLEEHSLYVNGYPILGPDILTTTPEPSTTILP FTLTSVQPESTTARAHHLKTITINFTISNLPYSAEMNYSSAMFNSTESVLQHLLRPLFQN NSFNASCRLTSLRPEKNQNSTNVNVICSYQHYSAHPGLHTQELYSELSHLTHGFTQLGNY TLDKDSLYVNGYNEIGTKEPTTNPETATTMLPSPSILVQTESTTAVGYHLKTVTVSFTIS NLPYSAHMNNGSAVFNSTETVLKHLLEPLLHNGSFNSSCRLDSLRPEKNGTATAVEAICT YYHNAANSGIDTQELYSELSHLTYGITQLGNYTLDQESLHVSGYNEPHPEEPPTTPEPTT TMQPSPSTALHPEPTTGHHLKILTINFTISNLPYLSNMSNGSAMFNSTESVVQYLLSHLF QNGSFNSSCTLDSLRSRKNGTATGVNVICIYHHDPENPEMDIHGLYLEINNLTHGITQLG NYSLDNGSLYINGYNEHGVEELYTAPESPSTIVPSSSTFVKGEPTVAMGNLKTFTLNFTI SNLPYSTDMSSGSAMFNSTERILQHLIRPLVQNESLYSNCRLASLRPKKNGTATGVNAIC SYHQNPDHPELDTQELYTKLTQLTQGVTQLGSYMLDQNSIYVNGYTHQTAETTSSGYVPL NITIQGKYQLNFCIINWNLNNTDPTSSEYITLERDIEDKVTTLYTGSQLKEVFQSCLVTN MTSGSTVVTLEALFSSHLDPNLVKQVFLNKTLNASSHWLGATYQLKDLHVIDMKTSILLP AEIPTTSSSSQHFNLNFTITNLPYSQDIAQPSTTKYQQTKRSIENALNQLFRNSSIKSYF SDCQVLAFRSVSNNNNHTGVDSLCNFSPLARRVDRVAIYEEFLRMTHNGTQLLNFTLDRK SVFVDGYSQNRDDDVMKNSGLPFWAIILICLAVLLVLITCLMCCFLVTVCRRKKEGDYQV QRHRLAYYLSHLDLRKLQ >ENSMUSP00000146740.1 pep:known chromosome:GRCm38:9:18605226:18622235:-1 gene:ENSMUSG00000109564.1 transcript:ENSMUST00000207509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc16 description:mucin 16 [Source:MGI Symbol;Acc:MGI:1920982] XYHHQYWTPKTSTTNPSLVPFTLNFTITNLHHTEGMASQGSEIFNSTERILNSLLKPMFK NSSIGHLYSGCRLITLRPEKDGTATGVDAVCTLHSDPLGLQLDREKMYWELSHNTQGVTK LGSFTLEKDSLYINGFTHIKTSASTLNTPVTSSLFFTETSTAKPTLSTVPGSPLVPFIIN FTITNLEYEEGMSHAGSWKFNATEKILQRLLWSLFNKTSIGLLYSDCRLALLRPEKDGAA TGVDAICTHHAGPIGPGLDSERVFWELCNLTNNVTKLGPYTLDKNSLYINGYTHQIQSIT SPTTSPSLLPFTLNFTITNLQHTEGMAFQGSEIFNNTERILNSLLKPIFKNSSIGHLYYG CRLISL >ENSMUSP00000034653.6 pep:known chromosome:GRCm38:9:18495455:18512885:-1 gene:ENSMUSG00000109564.1 transcript:ENSMUST00000034653.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc16 description:mucin 16 [Source:MGI Symbol;Acc:MGI:1920982] MTSGSTVVTLEALFSSHLDPNLVKQVFLNKTLNASSHWLGATYQLKDLHVIDMKTSILLP AEIPTTSSSSQHFNLNFTITNLPYSQDIAQPSTTKYQQTKRSIENALNQLFRNSSIKSYF SDCQVLAFRSVSNNNNHTGVDSLCNFSPLARRVDRVAIYEEFLRMTHNGTQLLNFTLDRK SVFVDGYSQNRDDDVMKNSGLPFWAIILICLAVLLVLITCLMCCFLVTVCRRKKEGDYQV QRHRLAYYLSHLDLRKLQ >ENSMUSP00000064714.7 pep:known chromosome:GRCm38:5:120511168:120534024:1 gene:ENSMUSG00000032754.14 transcript:ENSMUST00000068326.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8b1 description:solute carrier family 8 (sodium/lithium/calcium exchanger), member B1 [Source:MGI Symbol;Acc:MGI:2180781] MASRWLALLWAPVFLCVALILETASGTGDPSTKAHGHIQFSAGSVNQTAMADCRAVCGLN TSDRCDFVRRNPDCRSEAGYLDYLEGIFCYFPPNLLPLAITLYVFWLLYLFLILGVTAAK FFCPNLSAISTNLKLSHNVAGVTFLAFGNGAPDIFSALVAFSDPRTAGLAIGALFGAGVL VTTVVAGGITILHPFMAASRPFLRDIAFYMVAVFLTFTALYLGRITLTWALGYLGLYVFY VVTVIICTWVYQRQRSRSLVHSISETPELLSESEEDQMSSNTNSYDYGDEYRPLLLGRET TVQILIQALNPLDYRKWRTQSISWRVLKVVKLPVEFLLLLTVPVVDPDKDDRNWKRPLNC LQLVISPLVLVLTLQSGVYGIYEIGGLLPVWAVVVIVGTALASVTFFATSNREPPRLHWL FAFLGFLTSALWINAAATEVVNILRSLGVIFRLSNTVLGLTLLAWGNSIGDAFSDFTLAR QGYPRMAFSACFGGIIFNILVGVGLGCLLQIIRNHVVEVKLEPDGLLVWVLASALGLSLI FSLVSVPLQCFQLSKAYGLCLLLFYICFLVVVLLTEFGVIHLKKA >ENSMUSP00000107521.2 pep:known chromosome:GRCm38:5:120511235:120534019:1 gene:ENSMUSG00000032754.14 transcript:ENSMUST00000111890.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8b1 description:solute carrier family 8 (sodium/lithium/calcium exchanger), member B1 [Source:MGI Symbol;Acc:MGI:2180781] MASRWLALLWAPVFLCVALILETASGTGDPSTKAHGHIQFSAGSVNQTAMADCRAVCGLN TSDRCDFVRRNPDCRSEAGYLDYLEGIFCYFPPNLLPLAITLYVFWLLYLFLILGVTAAK FPHGVTFLAFGNGAPDIFSALVAFSDPRTAGLAIGALFGAGVLVTTVVAGGITILHPFMA ASRPFLRDIAFYMVAVFLTFTALYLGRITLTWALGYLGLYVFYVVTVIICTWVYQRQRSR SLVHSISETPELLSESEEDQMSSNTNSYDYGDEYRPLLLGRETTVQILIQALNPLDYRKW RTQSISWRVLKVVKLPVEFLLLLTVPVVDPDKDDRNWKRPLNCLQLVISPLVLVLTLQSG VYGIYEIGGLLPVWAVVVIVGTALASVTFFATSNREPPRLHWLFAFLGFLTSALWINAAA TEVVNILRSLGVIFRLSNTVLGLTLLAWGNSIGDAFSDFTLARQGYPRMAFSACFGGIIF NILVGVGLGCLLQIIRNHVVEVKLEPDGLLVWVLASALGLSLIFSLVSVPLQCFQLSKAY GLCLLLFYICFLVVVLLTEFGVIHLKKA >ENSMUSP00000075428.5 pep:known chromosome:GRCm38:5:120511252:120534020:1 gene:ENSMUSG00000032754.14 transcript:ENSMUST00000076051.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8b1 description:solute carrier family 8 (sodium/lithium/calcium exchanger), member B1 [Source:MGI Symbol;Acc:MGI:2180781] MASRWLALLWAPVFLCVALILETASGTGDPSTKAHGHIQFSAGSVNQTAMADCRAVCGLN TSDRCDFVRRNPDCRSEAGYLDYLEGIFCYFPPNLLPLAITLYVFWLLYLFLILGVTAAK FFCPNLSAISTNLKLSHNVAGVTFLAFGNGAPDIFSALVAFSDPRTAGLAIGALFGAGVL VTTVVAGGITILHPFMAASRPFLRDIAFYMVAVFLTFTALYLGRITLTWALGYLGLYVFY VVTVIICTWVYQRQRSRSLVHSISETPELLSESEEDQMSSNTNSYDYGDEYRPLLLGRET TVQILIQALNPLDYRKWRTQSISWRVLKVVKLPVEFLLLLTVPVVDPDKDDRNWKRPLNC LQLVISPLVLVLTLQSGVYGIYEIGGLLPVWAVVVIVGTALASVTFFATSNREPPRLHWL FAFLGFLTSALWINAAATEVVNILRSLGVIFRLSNTVLGLTLLAWGNSIGAGARRITGVG AGQCPGPQLDLLPGLRAASVFPAQQGLRPLPPPLLHLFPCCGPAHRVWGDSPEEGVTEAA WPRGVGAILLAS >ENSMUSP00000120947.1 pep:known chromosome:GRCm38:5:120511270:120513188:1 gene:ENSMUSG00000032754.14 transcript:ENSMUST00000147496.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8b1 description:solute carrier family 8 (sodium/lithium/calcium exchanger), member B1 [Source:MGI Symbol;Acc:MGI:2180781] MASR >ENSMUSP00000117260.1 pep:known chromosome:GRCm38:5:120512868:120519751:1 gene:ENSMUSG00000032754.14 transcript:ENSMUST00000140329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8b1 description:solute carrier family 8 (sodium/lithium/calcium exchanger), member B1 [Source:MGI Symbol;Acc:MGI:2180781] MASRWLALLWAPVFLCVALILETASGTGDPSTKAHGHIQFSAGSVNQTAMADCRAVCGLN TSDRCDFVRRNPDCRSEAGYLDYLEGIFCYFPP >ENSMUSP00000107520.1 pep:known chromosome:GRCm38:5:120513143:120533057:1 gene:ENSMUSG00000032754.14 transcript:ENSMUST00000111889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8b1 description:solute carrier family 8 (sodium/lithium/calcium exchanger), member B1 [Source:MGI Symbol;Acc:MGI:2180781] MASRWLALLWAPVFLCVALILETASGTGDPSTKAHGHIQFSAGSVNQTAMADCRAVCGLN TSDRCDFVRRNPDCRSEAGYLDYLEGIFCYFPPNLLPLAITLYVFWLLYLFLILGVTAAK FFCPNLSAISTNLKLSHNVAGVTFLAFGNGAPDIFSALVAFSDPRTAGLAIGALFGYLGL YVFYVVTVIICTWVYQRQRSRSLVHSISETPELLSESEEDQMSSNTNSYDYGDEYRPLLL GRETTVQILIQALNPLDYRKWRTQSISWRVLKVVKLPVEFLLLLTVPVVDPDKDDRNWKR PLNCLQLVISPLVLVLTLQSGVYGIYEIGGLLPVWAVVVIVGTALASVTFFATSNREPPR LHWLFAFLGFLTSALWINAAATEVVNILRSLGVIFRLSNTVLGLTLLAWGNSIGDAFSDF TLARQGYPRMAFSACFGGIIFNILVGVGLGCLLQIIRNHVVEVKLEPDGLLVWVLASALG LSLIFSLVSVPLQCFQLSKAYGLCLLLFYICFLVVVLLTEFGVIHLKKA >ENSMUSP00000079994.2 pep:known chromosome:GRCm38:19:12889615:12890658:-1 gene:ENSMUSG00000057817.4 transcript:ENSMUST00000081236.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1446 description:olfactory receptor 1446 [Source:MGI Symbol;Acc:MGI:3031280] MTIMKNRTEVTEFILLGLTNDPGMQLPLFITFLLIYTITVVGNLGMILLIVLDSRLHTPM YIFLGNLSLVDFCYSSAVTPTVMTELLIGKKVISYNDCAAQMFFFGAFATVENYLLASMA YDRYAAVCKPLHYATIMTKSVYTRIITASYGISFMSASIHIADIFTLSFCKSNVIHHFFC DVPAIMALTCFDNQVRELVLLYIESLDVFFALIVICTSYMLIFVTILKMHSASGHHKAIS TCASHFTAVSIFYGTVIFMYLQPSSNHSMDIDKVTSVFYTMVIPMLNPLVYSMRNKEVKN AFIKLILH >ENSMUSP00000146482.1 pep:known chromosome:GRCm38:19:12890062:12893524:-1 gene:ENSMUSG00000057817.4 transcript:ENSMUST00000207319.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1446 description:olfactory receptor 1446 [Source:MGI Symbol;Acc:MGI:3031280] MTIMKNRTEVTEFILLGLTNDPGMQLPLFITFLLIYTITVVGNLGMILLIVLDSRLHTPM YIFLGNLSLVDFCYSSAVTPTVMTELLIGKKVISYNDCAAQMFFFGAFATVENYLLASMA YDRYAAVCKPLHYATIMTKSVYTRIITASYGISFMSASIHIADIFTLSFCK >ENSMUSP00000146402.1 pep:known chromosome:GRCm38:19:12890307:12893524:-1 gene:ENSMUSG00000057817.4 transcript:ENSMUST00000207741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1446 description:olfactory receptor 1446 [Source:MGI Symbol;Acc:MGI:3031280] MTIMKNRTEVTEFILLGLTNDPGMQLPLFITFLLIYTITVVGNLGMILLIVLDSRLHTPM YIFLGNLSLVDFCYSSAVTPTVMTELLIG >ENSMUSP00000099973.1 pep:known chromosome:GRCm38:11:9016054:9048991:-1 gene:ENSMUSG00000040985.13 transcript:ENSMUST00000102909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun3 description:Sad1 and UNC84 domain containing 3 [Source:MGI Symbol;Acc:MGI:3041199] MPKEQQELLKKESQTLENNFREILFLIEQIDVLKALLKDMKDGVHNHSLPVHRDAVQDQA TTDVLDEEMSNLVHYVLKKFRGDQIQLADYALKSAGASVIEAGTSESYKNNKAKLYWHGI GFLNYEMPPDMILQPDVHPGKCWAFPGSQGHILIKLARKIIPTAVTMEHISEKVSPSGNI SSAPKEFSVYGVMKKCEGEEIFLGQFIYNKMEATIQTFELQNEASESLLCVKLQILSNWG HPKYTCLYRFRVHGIPSDYT >ENSMUSP00000045199.5 pep:known chromosome:GRCm38:11:9016054:9039589:-1 gene:ENSMUSG00000040985.13 transcript:ENSMUST00000043377.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun3 description:Sad1 and UNC84 domain containing 3 [Source:MGI Symbol;Acc:MGI:3041199] MLTRSWKIILSTVFISTFLLVGLLNHQWLKETEFPQKPRQLYTVIAEYGSRLYNYQARLR MPKEQQELLKKESQTLENNFREILFLIEQIDVLKALLKDMKDGVHNHSLPVHRDAVQDQA TTDVLDEEMSNLVHYVLKKFRGDQIQLADYALKSAGASVIEAGTSESYKNNKAKLYWHGI GFLNYEMPPDMILQPDVHPGKCWAFPGSQGHILIKLARKIIPTAVTMEHISEKVSPSGNI SSAPKEFSVYGVMKKCEGEEIFLGQFIYNKMEATIQTFELQNEASESLLCVKLQILSNWG HPKYTCLYRFRVHGIPSDYT >ENSMUSP00000097339.1 pep:known chromosome:GRCm38:2:90281647:90282573:-1 gene:ENSMUSG00000075061.1 transcript:ENSMUST00000099750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1272 description:olfactory receptor 1272 [Source:MGI Symbol;Acc:MGI:3031106] MANKNNITELIFTGLFQDPEVQKVCFVLFLPVYLATLLGNSLIVVAVSISKTLHSPMYFF LSSLSLVEICYSSTIVPKFITDLLVKVKTISLKGCLAQIFFSHFLGVAEILLLVVMAYDR YVAICKPLHYMNIMSRQVCHMLVGGSWLGGLIHSIIQIIITIPLPFCGPNVIDHYFCDLQ PLFKLACTDTFMEGVVVMANSGLISIISLFILVSSYAIILISLRKHSAEGRRKALSTCAS HITVVILFFGPATFLYLRPSSSFTEDKLVAVFYTVITPMLNPIIYTLRNAEVKNAMKKLW GKRNPETE >ENSMUSP00000027374.5 pep:known chromosome:GRCm38:1:73015074:73015909:-1 gene:ENSMUSG00000026182.6 transcript:ENSMUST00000027374.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnp1 description:transition protein 1 [Source:MGI Symbol;Acc:MGI:98784] MSTSRKLKTHGMRRGKNRAPHKGVKRGGSKRKYRKSVLKSRKRGDDASRNYRSHL >ENSMUSP00000113103.1 pep:known chromosome:GRCm38:10:120923413:120979332:-1 gene:ENSMUSG00000048661.14 transcript:ENSMUST00000119944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lemd3 description:LEM domain containing 3 [Source:MGI Symbol;Acc:MGI:3580376] MAAATAAAAPQQLSDEELFSQLRRYGLSPGPVTESTRPVYLKKLKKLREEEQQQQQQQQQ QQHRAGGRGNKTRNSNNNNTATAMGGRPGSGDLAYLRSPAGLGRLSASAAESPVAGGSGG AAAVPAAGSKVLLGFSSDESDVEASPREQAGGGGARRDRAALQYRGLRAPPAPPAAGEVT GGHPGERRKPHSWWGARRPAGPEPQPPAAGSDGAAEDADEELADGEDRDPEAEEPLWASR TVNGSRLLPYSSCREHYSDSEEEEEEGEEDGDVAPARQVLKDDSLARHRPRRSHSKPFSA LTAKSGGSRQETSVQGGGALAMNDRAAAAGSLDRSRNLEEAAAEPGGGGGGGCGCDPVDS IPRYRAGAKKLAPLLSPPSPDGDSTLESPTGPLLKTNNHIGGGAFGVDSPGLYANSLPPG ATAAAAPGTLRINHANHTGSNHTYLKTAYGKPKLCEPEEELLQQFKREEVSPTGSFSAHY LSMFLLTAACLFFLILGLTYLGMRGTGVPEDGGLIKNPFDETFGKIQESEKNLLMSTLYK LHDRLAQIAGDHECGSSSQRMLSVQEAAAYLKNLGPEYEDVFNTSLLWIFKNGKDVGIRC VGYGPEEDLTNITDVQFLQSTRPQMPFWCRFRRAFITVTHRLLLLCLGVVLVCVALRYMR YRWTKEEEETRQMYDMVVKIIDVLRSHNEACQETKDLQPYMPLPHVRDSLIQPQDRKKMK KVWDRAVDFLAANESRVRTETRRVGGADFLVWRWIQPSASCDKTLVIPSKVWQGQAFHLD RRNSPPNSLTPCLKIRNMFDPVMEIGDHWHLAIQEAILEKCSDNDGIVHIAVDRNSREGC VYVKCLSPEYAGKAFKALHGSWFDGKLVTVKYLRLDRYHHRFPQALTCNTPLKPANKHMN SLSHLRLRTGLANSQGSS >ENSMUSP00000112661.1 pep:known chromosome:GRCm38:10:120923852:120979332:-1 gene:ENSMUSG00000048661.14 transcript:ENSMUST00000119093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lemd3 description:LEM domain containing 3 [Source:MGI Symbol;Acc:MGI:3580376] MAAATAAAAPQQLSDEELFSQLRRYGLSPGPVTESTRPVYLKKLKKLREEEQQQQQQQQQ QQHRAGGRGNKTRNSNNNNTATAMGGRPGSGDLAYLRSPAGLGRLSASAAESPVAGGSGG AAAVPAAGSKVLLGFSSDESDVEASPREQAGGGGARRDRAALQYRGLRAPPAPPAAGEVT GGHPGERRKPHSWWGARRPAGPEPQPPAAGSDGAAEDADEELADGEDRDPEAEEPLWASR TVNGSRLLPYSSCREHYSDSEEEEEEGEEDGDVAPARQVLKDDSLARHRPRRSHSKPFSA LTAKSGGSRQETSVQGGGALAMNDRAAAAGSLDRSRNLEEAAAEPGGGGGGGCGCDPVDS IPRYRAGAKKLAPLLSPPSPDGDSTLESPTGPLLKTNNHIGGGAFGVDSPGLYANSLPPG ATAAAAPGTLRINHANHTGSNHTYLKTAYGKPKLCEPEEELLQQFKREEVSPTGSFSAHY LSMFLLTAACLFFLILGLTYLGMRGTGVPEDGGLIKNPFDETFGKIQESEKNLLMSTLYK LHDRLAQIAGDHECGSSSQRMLSVQEAAAYLKVHLVFKITAENLCFKTLSDASNNLGPEY EDVFNTSLLWIFKNGKDVGIRCVGYGPEEDLTNITDVQFLQSTRPQMPFWCRFRRAFITV THRLLLLCLGVVLVCVALRYMRYRWTKEEEETRQMYDMVVKIIDVLRSHNEACQETKDLQ PYMPLPHVRDSLIQPQDRKKMKKVWDRAVDFLAANESRVRTETRRVGGADFLVWRWIQPS ASCDKTLVIPSKVWQGQAFHLDRRNSPPNSLTPCLKIRNMFDPVMEIGDHWHLAIQEAIL EKCSDNDGIVHIAVDRNSREGCVYVKCLSPEYAGKAFKALHGSWFDGKLVTVKYLRLDRY HHRFPQALTCNTPLKPANKHMNSLSHLRLRTGLANSQGSS >ENSMUSP00000055267.5 pep:known chromosome:GRCm38:5:93041123:93045022:-1 gene:ENSMUSG00000045314.5 transcript:ENSMUST00000061328.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sowahb description:sosondowah ankyrin repeat domain family member B [Source:MGI Symbol;Acc:MGI:1925338] MAGELSQEALLDFLCQAGGRVRNAELLSHFKSFLRDPHVSPGQLQERRERFKGFVNSVAA VRQDPDGTKYVVLKRRYRDLLGEEGLQRPGPVDGKPRGHRRRDPEPQKPPAGAGTQVDVG GSAQKVAGPDPSPEDSPNQRTPEPEAAQARGRCAAVGTRGRCCWECQQNGWGQSTGQPLP EDLGDPAVACEKPERAAPARDDLGAPGWLREGEAAEHAPGSVPPVWPPTAVEAAGSPTSP PALPPHPAPSREPLELFTAGSPNHSTQQLQQQHQRTQEWVARYLQVPEPEIQAPTRAWSV LPDNFLQLPSETAFGVSETSSALPEPALSFHSLLPVVLDESSESWPGNAPSTVFRSIRCQ LSLQDLNDFVEQESHGSEESSSGPKESPGTPEEGLQPVLRTSSWGELRDPVEDLFLPPND SPCLIRRDLGYRGNAPSFRKVPTVAKSLGDHPQEPFPALKFRRSVRRSSRTGRTKSPSSS DEEHPDEDLWKRSRRPPRSRKPSKAGALPSPRVDAVLVQKLADANAVASQPHSSWIPSRD GSAALIPHRPSEHRSPLVPLDTREHEWIVRLASGSWLHVLTLFWEDPQLALHRDFLTGYT ALHWIAKHGDLCALQDLVSGAQKAGIALDVNVRSSCGYTPLHLAAIHGHQGVIKLLVQRL ASRVNIRDCSGKKPWQYLNSNVSGETWQLLGAPRGKPIFPVYSLVQSSSPARKVKSREVS RNVTRKTSLAALLKTQHNKWKLASQYEKIHTSKEREEYSD >ENSMUSP00000068896.7 pep:known chromosome:GRCm38:11:98992943:99024189:-1 gene:ENSMUSG00000020914.17 transcript:ENSMUST00000068031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top2a description:topoisomerase (DNA) II alpha [Source:MGI Symbol;Acc:MGI:98790] MELSPLQPVNENMLMNKKKNEDGKKRLSIERIYQKKTQLEHILLRPDTYIGSVELVTQQM WVYDEDVGINYREVTFVPGLYKIFDEILVNAADNKQRDPKMSCIRVTIDPENNVISIWNN GKGIPVVEHKVEKIYVPALIFGQLLTSSNYDDDEKKVTGGRNGYGAKLCNIFSTKFTVET ASREYKKMFKQTWMDNMGRAGDMELKPFSGEDYTCITFQPDLSKFKMQSLDKDIVALMVR RAYDIAGSTKDVKVFLNGNSLPVKGFRSYVDLYLKDKVDETGNSLKVIHEQVNPRWEVCL TMSERGFQQISFVNSIATSKGGRHVDYVADQIVSKLVDVVKKKNKGGVAVKAHQVKNHMW IFVNALIENPTFDSQTKENMTLQAKSFGSTCQLSEKFIKAAIGCGIVESILNWVKFKAQI QLNKKCSAVKHTKIKGIPKLDDANDAGSRNSTECTLILTEGDSAKTLAVSGLGVVGRDKY GVFPLRGKILNVREASHKQIMENAEINNIIKIVGLQYKKNYEDEDSLKTLRYGKIMIMTD QDQDGSHIKGLLINFIHHNWPSLLRHRFLEEFITPIVKVSKNKQEIAFYSLPEFEEWKSS TPNHKKWKVKYYKGLGTSTSKEAKEYFADMKRHRIQFKYSGPEDDAAISLAFSKKQVDDR KEWLTNFMEDRRQRKLLGLPEDYLYGQSTSYLTYNDFINKELILFSNSDNERSIPSMVDG LKPGQRKVLFTCFKRNDKREVKVAQLAGSVAEMSSYHHGEMSLMMTIINLAQNFVGSNNL NLLQPIGQFGTRLHGGKDSASPRYIFTMLSPLARLLFPPKDDHTLRFLYDDNQRVEPEWY IPIIPMVLINGAEGIGTGWSCKIPNFDVREVVNNIRRLLDGEEPLPMLPSYKNFKGTIEE LASNQYVINGEVAILDSTTIEISELPIRTWTQTYKEQVLEPMLNGTEKTPSLITDYREYH TDTTVKFVIKMTEEKLAEAERVGLHKVFKLQSSLTCNSMVLFDHVGCLKKYDTVLDILRD FFELRLKYYGLRKEWLLGMLGAESSKLNNQARFILEKIDGKIVIENKPKKELIKVLIQRG YDSDPVKAWKEAQQKVPDEEENEESDTETSTSDSAAEAGPTFNYLLDMPLWYLTKEKKDE LCKQRNEKEQELNTLKQKSPSDLWKEDLAVFIEELEVVEAKEKQDEQVGLPGKAGKAKGK KAQMCADVLPSPRGKRVIPQVTVEMKAEAEKKIRKKIKSENVEGTPAEDGAEPGSLRQRI EKKQKKEPGAKKQTTLPFKPVKKGRKKNPWSDSESDVSSNESNVDVPPRQKEQRSAAAKA KFTVDLDSDEDFSGLDEKDEDEDFLPLDATPPKAKIPPKNTKKALKTQGSSMSVVDLESD VKDSVPASPGVPAADFPAETEQSKPSKKTVGVKKTATKSQSSVSTAGTKKRAAPKGTKSD SALSARVSEKPAPAKAKNSRKRKPSSSDSSDSDFERAISKGATSKKAKGEEQDFPVDLED TIAPRAKSDRARKPIKYLEESDDDDDLF >ENSMUSP00000125956.1 pep:known chromosome:GRCm38:7:20911427:20912347:-1 gene:ENSMUSG00000094589.1 transcript:ENSMUST00000172322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r118 description:vomeronasal 1 receptor 118 [Source:MGI Symbol;Acc:MGI:3645425] MSVHDKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSRLVARSTNLCSTCVLSVHQFVTLFP VSRGKGKLILRASVQNLASYSCYSCWFFSVLSNIHIPIRVTGPQITDNNTDSKSNLFCST SGFIVGIVLQFAHDATFMSIMIWTSVSMILLLHRHCQRMQDILTPNQNPRGQAETRATRT ILMLVVTFVSFYLLNCICIIFHAFFIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDPC SLLFKC >ENSMUSP00000057532.4 pep:known chromosome:GRCm38:5:118169748:118189478:1 gene:ENSMUSG00000046607.6 transcript:ENSMUST00000054836.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrk description:harakiri, BCL2 interacting protein (contains only BH3 domain) [Source:MGI Symbol;Acc:MGI:1201608] MCPCPRHRGRGPPAVCGCGDARPGLRWAAAQVTALRLQALGDELHRRAMRRRARPRDPLP ALLPALRARWPWLCAAAQVAALAAWLLGRRSA >ENSMUSP00000028129.7 pep:known chromosome:GRCm38:2:32972990:32982082:-1 gene:ENSMUSG00000026791.14 transcript:ENSMUST00000028129.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a8 description:solute carrier family 2, (facilitated glucose transporter), member 8 [Source:MGI Symbol;Acc:MGI:1860103] MSPEDPQETQPLLRPPEARTPRGRRVFLASFAAALGPLSFGFALGYSSPAIPSLRRTAPP ALRLGDNAASWFGAVVTLGAAAGGILGGWLLDRAGRKLSLLLCTVPFVTGFAVITAARDV WMLLGGRLLTGLACGVASLVAPVYISEIAYPAVRGLLGSCVQLMVVTGILLAYVAGWVLE WRWLAVLGCVPPTLMLLLMCYMPETPRFLLTQHQYQEAMAALRFLWGSEEGWEEPPVGAE HQGFQLALLRRPGIYKPLIIGISLMVFQQLSGVNAIMFYANSIFEEAKFKDSSLASVTVG IIQVLFTAVAALIMDRAGRRLLLALSGVIMVFSMSAFGTYFKLTQSLPSNSSHVGLVPIA AEPVDVQVGLAWLAVGSMCLFIAGFAVGWGPIPWLLMSEIFPLHVKGVATGICVLTNWFM AFLVTKEFSSVMEMLRPYGAFWLTAAFCALSVLFTLTVVPETKGRTLEQVTAHFEGR >ENSMUSP00000141959.1 pep:known chromosome:GRCm38:2:32973585:32982017:-1 gene:ENSMUSG00000026791.14 transcript:ENSMUST00000153484.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a8 description:solute carrier family 2, (facilitated glucose transporter), member 8 [Source:MGI Symbol;Acc:MGI:1860103] MSPEDPQETQPLLRPPEARTPRGRRVFLASFAAALGPLSFGFALGYSSPAIPSLRRTAPP ALRLGDNAASWFGAVVTLGAAAGGILGGWLLDRAGRKLSLLLCTVPFVTGFAVITAARDV WMLLGGRLLTGLACGVASLVAPVYISEIAYPAVRGLLGSCVQLMVVTGILLAYVAGWVLE WRWLAVLGCVPPTLMLLLMCYMPETPRFLLTQHQYQEAMAALRFLWGSEEGWEEPPVGAE HQGFQLALLRRPGIYKPLIIGISLMVFQQLSGVNAIMFYANSIFEEAKFKALRWAGDPSP GSSCQRSSLCMSRVWLPASVSSPTGSWPF >ENSMUSP00000141969.1 pep:known chromosome:GRCm38:2:32973585:32982017:-1 gene:ENSMUSG00000026791.14 transcript:ENSMUST00000194066.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc2a8 description:solute carrier family 2, (facilitated glucose transporter), member 8 [Source:MGI Symbol;Acc:MGI:1860103] MSPEDPQETQPLLRPPEARLGPRVALAGRAGLCAPHPHAAAHVLHARDPTFSPHSTPVPG GHGCLALPVGL >ENSMUSP00000142100.1 pep:known chromosome:GRCm38:2:32973585:32982017:-1 gene:ENSMUSG00000026791.14 transcript:ENSMUST00000193695.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc2a8 description:solute carrier family 2, (facilitated glucose transporter), member 8 [Source:MGI Symbol;Acc:MGI:1860103] MSPEDPQETQPLLRPPEARTPRGRRVFLASFAAALGPLSFGFALGYSSPAIPSLRRTAPP ALRLGDNAASWFGAVVTLGAAAGGILGGWLLDRAGRKLSLLLCTVPFVTGFAVITAARDV WMLLGGRLLTGLACGVASLVAPVYISEIAYPAVRGLLGSCVQLMVVTGILLAYVAGWVLE WRWLAVLGCVPPTLMLLLMCYMPETPRFLLTQHQYQEAMAALRFLWGSEEGWEEPPVGAE HQGFQLALLRRPGIYKPLIIGISLMVFQQLSGVNAIMFYANSIFEEAKFKV >ENSMUSP00000141879.1 pep:known chromosome:GRCm38:2:32975927:32982071:-1 gene:ENSMUSG00000026791.14 transcript:ENSMUST00000195863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a8 description:solute carrier family 2, (facilitated glucose transporter), member 8 [Source:MGI Symbol;Acc:MGI:1860103] MVFQQLSGVNAIMFYANSIFEEAKFKDSSLASVTVGIIQVLFTAVAALIMDRAGRRLLLA >ENSMUSP00000106420.1 pep:known chromosome:GRCm38:2:119763304:119787504:-1 gene:ENSMUSG00000034032.15 transcript:ENSMUST00000110793.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap1 description:RNA polymerase II associated protein 1 [Source:MGI Symbol;Acc:MGI:1916175] MMLSRPKPGESEVDLLRFQSQFLEAGAAPAVQLVKGSRRHGDAPPDRLPPQDHRDVVMLD NLPDLPPALLPAPAKRARPSPGHPLPHDEDPEERLNRHDQHITAVLSKIVERDTSSVTVT LPVPSGVAFPPVFHRSQERQVKPAASGKRSIFAQEIAARRVSGNRVTSAEQVVPSLDTPE GAVPCETPSFRDRSNQLPGRSHGFHRPNLVTGKGLRSKVAEQEVQTIHEENVARLQAMDP EEILKEQQQLLAQLDPSLVAFLRSHSQVQEQTGTKATKKQSPKRPSVLVTKEEPVTSTRT REPRTGDKLEEKPEATVEDKMEDKLQPRTPALKLPMTPSKDWLHMDTVELDKLHWTQDLP PLRRQQTQERMQARFSLQGELLAPDVDLPTHLGLHHHGEEAERAGYSLQELFHLTRSQVS QQRALALQVLSQIVGRAQAGEFGDRLVGSVLRLLLDAGFLFLLRFSLDDRVDSVIAAAVR ALRTLLVAPGDEELLDRTFSWYHGASVFPLMPSQDDKEDEDEDEELETEKVKRKTPEEGS RPPPDLARHDVIKGLLATNLLPRLRYVLEVTCPGPSVILDILAVLIRLARHSLESAMRVL ECPRLMETIVQEFLPTSWSPIGVGPTPSLYKVPCASAMKLLRVLASAGRNIAARLLSGFD VRSRLCRFIAEAPHDLALPPEEAEILTTEAFRLWAVAASYGQGGDLYRELYPVLLRALQT LPTELSAHPLQPLAMQRVAALFTLLTQLTLAASSIPPEPASGPAESCVPAIPSSVTWTQV SGLKPLVEPCLKQTLKFLPRPDVWNALGPVPSACLLFLGAYYQAWSRQSHLCPEDWLQDM ERLLDESLLPLLSQPPLGSLWDSLRDCSPLCNPLSCASNPEALPSLVSLGCAGGCPPLSV AGSASPFPFLTALLSLINTLVQSHKGLCGQLSAVLTAPGLQNYFLQCVAPAPAPQLTPFS AWALHHEYHLQYLVLSFAHKAATLQPEPAASTALHHAVALVLLSRLLPGSEYLAQELLLS CVFRLEFLPESASGGPEAADFSDGLSLGSSGDPQCRRGALLVQACRDLPSIRSCYLAHCS PARASLLSSQALYCGELLRVSSLLLPVPKEPLLATDWPFQPLIHLYHRASDTPSGPPAAD TVGVAMRVLQWVLVLESWRPEVLWAVPPAARLARLMCVYLVDSELFRETPIQRLVAALLA RLCRPQVLPNLKLDCPLPGLTSFPDLYASFLDHFEAVSFGDHLFGALVLLPLQRRFSVTL RLALFGEHVGVLRALGLPLTQLPVPLECYTEPAEDSLPLLQLYFRALVTGTLRARWCPIL YTVAVAHVNSFIFCQDPKSSDEVKTARRSMLQRTWLLTDEGLRQHLLHYKLPNSSLPEGF ELYSQLPRLRQQCLQTLPTEGLQNGGVKT >ENSMUSP00000097127.2 pep:known chromosome:GRCm38:2:119763959:119787528:-1 gene:ENSMUSG00000034032.15 transcript:ENSMUST00000099529.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap1 description:RNA polymerase II associated protein 1 [Source:MGI Symbol;Acc:MGI:1916175] MMLSRPKPGESEVDLLRFQSQFLEAGAAPAVQLVKGSRRHGDAPPDRLPPQDHRDVVMLD NLPDLPPALLPAPAKRARPSPGHPLPHDEDPEERLNRHDQHITAVLSKIVERDTSSVTVT LPVPSGVAFPPVFHRSQERQVKPAASGKRSIFAQEIAARRVSGNRVTSAEQVVPSLDTPE GAVPCETPSFRDRSNQLPGRSHGFHRPNLVTGKGLRSKVAEQEVQTIHEENVARLQAMDP EEILKEQQQLLAQLDPSLVAFLRSHSQVQEQTGTKATKKQSPKRPSVLVTKEEPVTSTRT REPRTGDKLEEKPEATVEDKMEDKLQPRTPALKLPMTPSKDWLHMDTVELDKLHWTQDLP PLRRQQTQERMQARFSLQGELLAPDVDLPTHLGLHHHGEEAERAGYSLQELFHLTRSQVS QQRALALQVLSQIVGRAQAGEFGDRLVGSVLRLLLDAGFLFLLRFSLDDRVDSVIAAAVR ALRTLLVAPGDEELLDRTFSWYHGASVFPLMPSQDDKEDEDEDEELETEKVKRKTPEEGS RPPPDLARHDVIKGLLATNLLPRLRYVLEVTCPGPSVILDILAVLIRLARHSLESAMRVL ECPRLMETIVQEFLPTSWSPIGVGPTPSLYKVPCASAMKLLRVLASAGRNIAARLLSGFD VRSRLCRFIAEAPHDLALPPEEAEILTTEAFRLWAVAASYGQGGDLYRELYPVLLRALQT LPTELSAHPLQPLAMQRVAALFTLLTQLTLAASSIPPEPASGPAESCVPAIPSSVTWTQV SGLKPLVEPCLKQTLKFLPRPDVWNALGPVPSACLLFLGAYYQAWSRQSHLCPEDWLQDM ERLLDESLLPLLSQPPLGSLWDSLRDCSPLCNPLSCASNPEALPSLVSLGCAGGCPPLSV AGSASPFPFLTALLSLINTLVQSHKGLCGQLSAVLTAPGLQNYFLQCVAPAPAPQLTPFS AWALHHEYHLQYLVLSFAHKAATLQPEPAASTALHHAVALVLLSRLLPGSEYLAQELLLS CVFRLEFLPESASGGPEAADFSDGLSLGSSGDPQCRRGALLVQACRDLPSIRSCYLAHCS PARASLLSSQALYCGELLRVSSLLLPVPKEPLLATDWPFQPLIHLYHRASDTPSGPPAAD TVGVAMRVLQWVLVLESWRPEVLWAVPPAARLARLMCVYLVDSELFRETPIQRLVAALLA RLCRPQVLPNLKLDCPLPGLTSFPDLYASFLDHFEAVSFGDHLFGALVLLPLQRRFSVTL RLALFGEHVGVLRALGLPLTQLPVPLECYTEPAEDSLPLLQLYFRALVTGTLRARWCPIL YTVAVAHVNSFIFCQDPKSSDEVKTARRSMLQRTWLLTDEGLRQHLLHYKLPNSSLPEGF ELYSQLPRLRQQCLQTLPTEGLQNGGVKT >ENSMUSP00000037275.5 pep:known chromosome:GRCm38:2:119763960:119787537:-1 gene:ENSMUSG00000034032.15 transcript:ENSMUST00000048493.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap1 description:RNA polymerase II associated protein 1 [Source:MGI Symbol;Acc:MGI:1916175] MMLSRPKPGESEVDLLRFQSQFLEAGAAPAVQLVKGSRRHGDAPPDRLPPQDHRDVVMLD NLPDLPPALLPAPAKRARPSPGHPLPHDEDPEERLNRHDQHITAVLSKIVERDTSSVTVT LPVPSGVAFPPVFHRSQERQVKPAASGKRSIFAQEIAARRVSGNRVTSAEQVVPSLDTPE GAVPCETPSFRDRSNQLPGRSHGFHRPNLVTGKGLRSKVAEQEVQTIHEENVARLQAMDP EEILKEQQQLLAQLDPSLVAFLRSHSQVQEQTGTKATKKQSPKRPSVLVTKEEPVTSTRT REPRTGDKLEEKPEATVEDKMEDKLQPRTPALKLPMTPSKDWLHMDTVELDKLHWTQDLP PLRRQQTQERMQARFSLQGELLAPDVDLPTHLGLHHHGEEAERAGYSLQELFHLTRSQVS QQRALALQVLSQIVGRAQAGEFGDRLVGSVLRLLLDAGFLFLLRFSLDDRVDSVIAAAVR ALRTLLVAPGDEELLDRTFSWYHGASVFPLMPSQDDKEDEDEDEELETEKVKRKTPEEGS RPPPDLARHDVIKGLLATNLLPRLRYVLEVTCPGPSVILDILAVLIRLARHSLESAMRVL ECPRLMETIVQEFLPTSWSPIGVGPTPSLYKVPCASAMKLLRVLASAGRNIAARLLSGFD VRSRLCRFIAEAPHDLALPPEEAEILTTEAFRLWAVAASYGQGGDLYRELYPVLLRALQT LPTELSAHPLQPLAMQRVAALFTLLTQLTLAASSIPPEPASGPAESCVPAIPSSVTWTQV SGLKPLVEPCLKQTLKFLPRPDVWNALGPVPSACLLFLGAYYQAWSRQSHLCPEDWLQDM ERLLDESLLPLLSQPPLGSLWDSLRDCSPLCNPLSCASNPEALPSLVSLGCAGGCPPLSV AGSASPFPFLTALLSLINTLVQSHKGLCGQLSAVLTAPGLQNYFLQCVAPAPAPQLTPFS AWALHHEYHLQYLVLSFAHKAATLQPEPAASTALHHAVALVLLSRLLPGSEYLAQELLLS CVFRLEFLPESASGGPEAADFSDGLSLGSSGDPQCRRGALLVQACRDLPSIRSCYLAHCS PARASLLSSQALYCGELLRVSSLLLPVPKEPLLATDWPFQPLIHLYHRASDTPSGPPAAD TVGVAMRVLQWVLVLESWRPEVLWAVPPAARLARLMCVYLVDSELFRETPIQRLVAALLA RLCRPQVLPNLKLDCPLPGLTSFPDLYASFLDHFEAVSFGDHLFGALVLLPLQRRFSVTL RLALFGEHVGVLRALGLPLTQLPVPLECYTEPAEDSLPLLQLYFRALVTGTLRARWCPIL YTVAVAHVNSFIFCQDPKSSDEVKTARRSMLQRTWLLTDEGLRQHLLHYKLPNSSLPEGF ELYSQLPRLRQQCLQTLPTEGLQNGGVKT >ENSMUSP00000138619.1 pep:known chromosome:GRCm38:2:119763963:119787500:-1 gene:ENSMUSG00000034032.15 transcript:ENSMUST00000136419.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpap1 description:RNA polymerase II associated protein 1 [Source:MGI Symbol;Acc:MGI:1916175] MMLSRPKPGESEVDLLRFQSQFLEAGAAPAVQLVKGSRRHGDAPPDRLPPQDHRDVVMLD NLPDLPPALLPAPAKRARPSPGHPLPHDEDPEERLNRHDQHITAVLSKIVERDTSSVTVT LPVPSGVAFPPVFHRSQERQKQPASWEESWLPQTKSSHRKGAQEQGG >ENSMUSP00000138873.1 pep:known chromosome:GRCm38:2:119763966:119787520:-1 gene:ENSMUSG00000034032.15 transcript:ENSMUST00000184294.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpap1 description:RNA polymerase II associated protein 1 [Source:MGI Symbol;Acc:MGI:1916175] MMLSRPKPGESEVDLLRFQSQFLEAGAAPAVQLVKGSRRHGDAPPDRLPPQDHRDVVMLD NLPDLPPALLPAPAKRARPSPGHPLPHDEDPEERLNRHDQHITAVLSKIVERDTSSVTVT LPVPSGVAFPPVFHRSQERQVKPAASGKRSIFAQEIAARRVSGNRVTSAEQVVPSLDTPE GAVPCETPSFRDRSNQLPGRSHGFHRPNLVTGKGLRSKVAEQEVQTIHEENVARLQAMDP EEILKEQQQLLAQLDPSLVAFLRSHSQVQEQTGTKATKKQSPKRPSVLVTKEEPVTSTRT REPRTGDKLEEKPEATVEDKMEDKLQPRTPALKLPMTPSKDWLHMDTVELDKLHWTQDLP PLRRQQTQERMQARFSLQGELLAPDVDLPTHLGLHHHGEEAERAGYSLQELFHLTRSQVS QQRALALQVLSQIVGRAQAGEFGDRLVGSVLRLLLDAGFLFLLRFSLDDRVDSVIAAAVR ALRTLLVAPGDEELLDRTFSWYHGASVFPLMPSQDDKEDEDEDEELETEKVKRKTPEEGS RPPPDLARHDVIKGLLATNLLPRLRYVLEVTCPGPSVILDILAVLIRLARHSLESAMRVL ECPRLMETIVQEFLPTSWSPIGVGPTPSLYKVPCASAMKLLRVLASAGRNIAARLLSGFD VRSRLCRFIAEAPHDLALPPEEAEILTTEAFRLWAVAASYGQGGDLYRELYPVLLRALQT LPTELSAHPLQPLAMQRVAALFTLLTQLTLAASSIPPEPASGPAESCVPAIPSSVTWTQV SGLKPLVEPCLKQTLKFLPRPDVWNALGPVPSACLLFLGAYYQAWSRQSHLCPEDWLQDM ERLLDESLLPLLSQPPLGSLWDSLSCLLC >ENSMUSP00000111082.1 pep:known chromosome:GRCm38:X:16522869:16523689:1 gene:ENSMUSG00000023257.3 transcript:ENSMUST00000115422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cypt1 description:cysteine-rich perinuclear theca 1 [Source:MGI Symbol;Acc:MGI:3616441] MAQMAKKVHWSSAAAGAAAAAKISKLEKTTKRFKLIKKRNPSSKLPKRSSHSLLCSLSRS CCCCRCRCCCYCRCCRCCCSRSRRFRSRTTLKFFQITEKGEQSLQRRIRRQLTRSQLELI EPEPTMALEPSEITVAFFSHKNANVSDPEEVPPCLDSDPFPNGDLASS >ENSMUSP00000024026.2 pep:known chromosome:GRCm38:X:16522872:16523688:1 gene:ENSMUSG00000023257.3 transcript:ENSMUST00000024026.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cypt1 description:cysteine-rich perinuclear theca 1 [Source:MGI Symbol;Acc:MGI:3616441] MAQMAKKVHWSSAAAGAAAAAKISKLEKTTKRFKLIKKRNPSSKLPKRSSHSLLCSLSRS CCCCRCRCCCYCRCCRCCCSRSRRFRSRTTLKVRDPKFFQITEKGEQSLQRRIRRQLTRS QLELIEPEPTMALEPSEITVAFFSHKNANVSDPEEVPPCLDSDPFPNGDLASS >ENSMUSP00000100032.1 pep:known chromosome:GRCm38:13:23698060:23698454:-1 gene:ENSMUSG00000060678.4 transcript:ENSMUST00000102967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4c description:histone cluster 1, H4c [Source:MGI Symbol;Acc:MGI:2448421] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000127126.1 pep:known chromosome:GRCm38:7:21011532:21012455:-1 gene:ENSMUSG00000094010.1 transcript:ENSMUST00000164683.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r119 description:vomeronasal 1 receptor 119 [Source:MGI Symbol;Acc:MGI:2686293] MSAHGKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFYPVLTGSKQKPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVARSTNLCSNCVLGVHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKVSGPQITDNNTDSKRKLFCST SGFSVGIVLLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAETRATR TILMLVVTFVSFYLLNFICIMLHALFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000063384.7 pep:known chromosome:GRCm38:3:89939481:89960629:-1 gene:ENSMUSG00000060671.12 transcript:ENSMUST00000069805.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b2 description:ATPase, class I, type 8B, member 2 [Source:MGI Symbol;Acc:MGI:1859660] MTVPKEIPEKWARAGAPPSWSQKKPSWGTEEERRARANDREYNEKFQYASNCIKTSKYNI VTFLPVNLFEQFQEVANTYFLFLLILQLIPQISSLSWFTTIVPLVLVLTITAVKDATDDY FRHKSDNQVNNRHSQVLINGVLQQEQWMNVCVGDIIKLENNQFVAADLLLLSSSEPHGLC YIETAELDGETNMKVRQAIPVTSELGDVSQLARFDGEVICEPPNNKLDKFSGTLYWKENK FPLSNQNMLLRGCVLRNTEWCFGLVIFAGPDTKLMQNSGRTKFKRTSIDRLMNTLVLWIF GFLVCMGVILAIGNAIWEHEVGTRFQVYLPWDEAVDSAFFSGFLSFWSYIIILNTVVPIS LYVSVEVIRLGHSYFINWDKKMFCMKKRTPAEARTTTLNEELGQVEYIFSDKTGTLTQNI MVFNKCSINGHSYGDVFDVLGHKAELGERPEPVDFSFNPLADKKFLFWDSSLLEAVKMGD PHTHEFFRLLSLCHTVMSEEKNEGELYYKAQSPDEGALVTAARNFGFVFRSRTPKTITVH ELGTAITYQLLAILDFNNIRKRMSVIVRNPEGKIRLYCKGADTILLDRLHPPTQELLSST TDHLNEYAGDGLRTLVLAYKDLDEEYYEEWARRRLQASLAQDSREDRLASIYEEVESDMM LLGATAIEDKLQQGVPETIALLTLANIKIWVLTGDKQETAVNIGYSCKMLTDDMTEVFVV TGHTVLEVREELRKARKKMVDSSHAVGNGFTYQGNLSSSKLTSVLEAVAGEYALVINGHS LAHALEADMELEFLETACACKAVICCRVTPLQKAQVVELVKKYKKAVTLAIGDGANDVSM IKTAHIGVGISGQEGIQAVLASDYSFSQFKFLQRLLLVHGRWSYLRMCKFLCYFFYKNFA FTMVHFWFGFFCGFSAQTVYDQYFITLYNIVYTSLPVLAMGVFDQDVPEQRSMEYPKLYE PGQLNLLFNKREFFICIAQGIYTSVLMFFIPYGVFAEATRDDGTQLADYQSFAVTVATSL VIVVSVQIGLDTGYWTAINHFFIWGSLAVYFAILFAMHSNGLFDMFPNQFRFVGNAQNTL AQPTVWLTIALTTAVCIMPVVAFRFLRLSLKPDLSDTVRYTQLVRKKQKAQHRCMRRVGR TGSRRSGYAFSHQEGFGELIMSGKNMRLSSLALSSFSTRSSSSWIESLRRKKSDSANSPS GGAEKPLKG >ENSMUSP00000128423.1 pep:known chromosome:GRCm38:3:89940849:89963508:-1 gene:ENSMUSG00000060671.12 transcript:ENSMUST00000168276.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b2 description:ATPase, class I, type 8B, member 2 [Source:MGI Symbol;Acc:MGI:1859660] MALCAKKRPPEEERRARANDREYNEKFQYASNCIKTSKYNIVTFLPVNLFEQFQEVANTY FLFLLILQLIPQISSLSWFTTIVPLVLVLTITAVKDATDDYFRHKSDNQVNNRHSQVLIN GVLQQEQWMNVCVGDIIKLENNQFVAADLLLLSSSEPHGLCYIETAELDGETNMKVRQAI PVTSELGDVSQLARFDGEVICEPPNNKLDKFSGTLYWKENKFPLSNQNMLLRGCVLRNTE WCFGLVIFAGPDTKLMQNSGRTKFKRTSIDRLMNTLVLWIFGFLVCMGVILAIGNAIWEH EVGTRFQVYLPWDEAVDSAFFSGFLSFWSYIIILNTVVPISLYVSVEVIRLGHSYFINWD KKMFCMKKRTPAEARTTTLNEELGQVEYIFSDKTGTLTQNIMVFNKCSINGHSYGDVFDV LGHKAELGERPEPVDFSFNPLADKKFLFWDSSLLEAVKMGDPHTHEFFRLLSLCHTVMSE EKNEGELYYKAQSPDEGALVTAARNFGFVFRSRTPKTITVHELGTAITYQLLAILDFNNI RKRMSVIVRNPEGKIRLYCKGADTILLDRLHPPTQELLSSTTDHLNEYAGDGLRTLVLAY KDLDEEYYEEWARRRLQASLAQDSREDRLASIYEEVESDMMLLGATAIEDKLQQGVPETI ALLTLANIKIWVLTGDKQETAVNIGYSCKMLTDDMTEVFVVTGHTVLEVREELRKARKKM VDSSHAVGNGFTYQGNLSSSKLTSVLEAVAGEYALVINGHSLAHALEADMELEFLETACA CKAVICCRVTPLQKAQVVELVKKYKKAVTLAIGDGANDVSMIKTAHIGVGISGQEGIQAV LASDYSFSQFKFLQRLLLVHGRWSYLRMCKFLCYFFYKNFAFTMVHFWFGFFCGFSAQTV YDQYFITLYNIVYTSLPVLAMGVFDQDVPEQRSMEYPKLYEPGQLNLLFNKREFFICIAQ GIYTSVLMFFIPYGVFAEATRDDGTQLADYQSFAVTVATSLVIVVSVQIGLDTGYWTAIN HFFIWGSLAVYFAILFAMHSNGLFDMFPNQFRFVGNAQNTLAQPTVWLTIALTTAVCIMP VVAFRFLRLSLKPDLSDTVRYTQLVRKKQKAQHRCMRRVGRTGSRRSGYAFSHQEGFGEL IMSGKNMRLSSLALSSFSTRSSSSWIESLRRKKSDSANSPSGGAEKPLKG >ENSMUSP00000132201.1 pep:known chromosome:GRCm38:3:89941197:89943757:-1 gene:ENSMUSG00000060671.12 transcript:ENSMUST00000166502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b2 description:ATPase, class I, type 8B, member 2 [Source:MGI Symbol;Acc:MGI:1859660] GIYTSVLMFFIPYGVFAEATRDDGTQLADYQSFAVTVATSLVIVVSVQIGLDTGYWTAIN HFFIWGSLAVYFAILFAMHSNGLFDMFPNQFRFVGPLHPAGKEEAEGAAPLHAASGPHRL SALWLCLLSSGRFWGAHYVWQEHAA >ENSMUSP00000130545.1 pep:known chromosome:GRCm38:3:89945188:89946472:-1 gene:ENSMUSG00000060671.12 transcript:ENSMUST00000171941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b2 description:ATPase, class I, type 8B, member 2 [Source:MGI Symbol;Acc:MGI:1859660] XLANIKIWVLTGDKQAVNIGYSCKMLTDDMTEVFVVTGHTVLEVREELRKARKKMVDSSH AVGNGFTYQGNLSSSKLTSVLEAVAGEYALVINGHSLAHALEADMELEFLETACACKAVI CCRVTPLQKAQVVELVKKYKKAVTLAIGDGANDVSMIKTAHIGVGISGQ >ENSMUSP00000127720.1 pep:known chromosome:GRCm38:3:89946463:89948402:-1 gene:ENSMUSG00000060671.12 transcript:ENSMUST00000170739.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp8b2 description:ATPase, class I, type 8B, member 2 [Source:MGI Symbol;Acc:MGI:1859660] EEKNEGELYYKAQSPDEGALVTAARNFGFVFRSRTPKTITVHELGTAITYQLLAILDFNN IRKRMSVIGVCRGRAEDPGVGLQRPG >ENSMUSP00000126142.1 pep:known chromosome:GRCm38:3:89954270:89959554:-1 gene:ENSMUSG00000060671.12 transcript:ENSMUST00000170696.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b2 description:ATPase, class I, type 8B, member 2 [Source:MGI Symbol;Acc:MGI:1859660] MNVCVGDIIKLENNQFVAADLLLLSSSEPHGLCYIETAELDGETNMKVRQAIPVTSELGD VSQLARFDGEVICEPPNNKLDKFSGTLYWKENKFPLSNQNMLLRGCVLRNTEWCFGLVIF AGPDTKLM >ENSMUSP00000128726.1 pep:known chromosome:GRCm38:3:89958007:89963114:-1 gene:ENSMUSG00000060671.12 transcript:ENSMUST00000168880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b2 description:ATPase, class I, type 8B, member 2 [Source:MGI Symbol;Acc:MGI:1859660] MALCAKKRPPEEERRARANDREYNEKFQYASNCIKTSKYNIVTFLPVNLFEQFQEVANTY FLFLLILQLIPQISSLSWFTTIVPLVLVLTITAVKDATDDYFRHKSDNQVNN >ENSMUSP00000129641.1 pep:known chromosome:GRCm38:3:89958554:89961815:-1 gene:ENSMUSG00000060671.12 transcript:ENSMUST00000171422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b2 description:ATPase, class I, type 8B, member 2 [Source:MGI Symbol;Acc:MGI:1859660] MTVPKEIPEKWARAGAPPSWSQKKPSWGTEEERRARANDREYNEKFQYASNCIKTSKYNI VTFLPVNLFEQF >ENSMUSP00000103019.1 pep:known chromosome:GRCm38:3:89939481:89963508:-1 gene:ENSMUSG00000060671.12 transcript:ENSMUST00000107396.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b2 description:ATPase, class I, type 8B, member 2 [Source:MGI Symbol;Acc:MGI:1859660] MALCAKKRPPEEERRARANDREYNEKFQYASNCIKTSKYNIVTFLPVNLFEQFQEVANTY FLFLLILQLIPQISSLSWFTTIVPLVLVLTITAVKDATDDYFRHKSDNQVNNRHSQVLIN GVLQQEQWMNVCVGDIIKLENNQFVAADLLLLSSSEPHGLCYIETAELDGETNMKVRQAI PVTSELGDVSQLARFDGEVICEPPNNKLDKFSGTLYWKENKFPLSNQNMLLRGCVLRNTE WCFGLVIFAGPDTKLMQNSGRTKFKRTSIDRLMNTLVLWIFGFLVCMGVILAIGNAIWEH EVGTRFQVYLPWDEAVDSAFFSGFLSFWSYIIILNTVVPISLYVSVEVIRLGHSYFINWD KKMFCMKKRTPAEARTTTLNEELGQVEYIFSDKTGTLTQNIMVFNKCSINGHSYGDVFDV LGHKAELGERPEPVDFSFNPLADKKFLFWDSSLLEAVKMGDPHTHEFFRLLSLCHTVMSE EKNEGELYYKAQSPDEGALVTAARNFGFVFRSRTPKTITVHELGTAITYQLLAILDFNNI RKRMSVIVRNPEGKIRLYCKGADTILLDRLHPPTQELLSSTTDHLNEYAGDGLRTLVLAY KDLDEEYYEEWARRRLQASLAQDSREDRLASIYEEVESDMMLLGATAIEDKLQQGVPETI ALLTLANIKIWVLTGDKQETAVNIGYSCKMLTDDMTEVFVVTGHTVLEVREELRLAALTF PLCACPAVLPQFLPCPHRKARKKMVDSSHAVGNGFTYQGNLSSSKLTSVLEAVAGEYALV INGHSLAHALEADMELEFLETACACKAVICCRVTPLQKAQVVELVKKYKKAVTLAIGDGA NDVSMIKTAHIGVGISGQEGIQAVLASDYSFSQFKFLQRLLLVHGRWSYLRMCKFLCYFF YKNFAFTMVHFWFGFFCGFSAQTVYDQYFITLYNIVYTSLPVLAMGVFDQDVPEQRSMEY PKLYEPGQLNLLFNKREFFICIAQGIYTSVLMFFIPYGVFAEATRDDGTQLADYQSFAVT VATSLVIVVSVQIGLDTGYWTAINHFFIWGSLAVYFAILFAMHSNGLFDMFPNQFRFVGN AQNTLAQPTVWLTIALTTAVCIMPVVAFRFLRLSLKPDLSDTVRYTQLVRKKQKAQHRCM RRVGRTGSRRSGYAFSHQEGFGELIMSGKNMRLSSLALSSFSTRSSSSWIESLRRKKSDS ANSPSGGAEKPLKG >ENSMUSP00000085900.3 pep:known chromosome:GRCm38:1:13668771:13701723:1 gene:ENSMUSG00000067813.3 transcript:ENSMUST00000088542.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xkr9 description:X Kell blood group precursor related family member 9 homolog [Source:MGI Symbol;Acc:MGI:2686466] MKYTKCNFMMSVLGIIIYVTDLVADIVLSVRYFHDGQYVLGVLTLSFVLCGTLIVHCFSY SWLKADLEKAGQENERYFLLLHCLQGGVFTRYWFALRTGYHVVFKHSDRKSNFMEEQTDP HKEAIDMATDLSMLRLFETYLEGCPQLILQLYAFLECGQANLSQCMVIMVSCCAISWSTV DYQIALRKSLPDKNLLRGLWPKLMYLFYKLLTLLSWMLSVVLLLFVDVRVALLLLLFLWI TGFIWAFINHTQFCNSVSMEFLYRIVVGFILVFTFFNIKGQNTKCPMSCYYTVRVLGTLG ILTVFWIYPLSIFNSDYFIPISATIVLALLLGIIFLGVYYGNFHPNRNVEPQLDETDGKA PQRDCRIRYFLMD >ENSMUSP00000101803.3 pep:known chromosome:GRCm38:11:120578104:120581624:-1 gene:ENSMUSG00000025132.13 transcript:ENSMUST00000106197.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgdia description:Rho GDP dissociation inhibitor (GDI) alpha [Source:MGI Symbol;Acc:MGI:2178103] MAEQEPTAEQLAQIAAENEEDEHSVNYKPPAQKSIQEIQELDKDDESLRKYKEALLGRVA VSADPNVPNVIVTRLTLVCSTAPGPLELDLTGDLESFKKQSFVLKEGVEYRIKISFRVNR EIVSGMKYIQHTYRKGVKIDKTDYMVGSYGPRAEEYEFLTPMEEAPKGMLARGSYNIKSR FTDDDKTDHLSWEWNLTIKKEWKD >ENSMUSP00000063714.5 pep:known chromosome:GRCm38:11:120578109:120581380:-1 gene:ENSMUSG00000025132.13 transcript:ENSMUST00000067936.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgdia description:Rho GDP dissociation inhibitor (GDI) alpha [Source:MGI Symbol;Acc:MGI:2178103] MAEQEPTAEQLAQIAAENEEDEHSVNYKPPAQKSIQEIQELDKDDESLRKYKEALLGRVA VSADPNVPNVIVTRLTLVCSTAPGPLELDLTGDLESFKKQSFVLKEGVEYRIKISFRVNR EIVSGMKYIQHTYRKGVKIDKTDYMVGSYGPRAEEYEFLTPMEEAPKGMLARGSYNIKSR FTDDDKTDHLSWEWNLTIKKEWKD >ENSMUSP00000060233.8 pep:known chromosome:GRCm38:7:19530800:19563076:-1 gene:ENSMUSG00000051403.9 transcript:ENSMUST00000058444.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r37 description:protein phosphatase 1, regulatory subunit 37 [Source:MGI Symbol;Acc:MGI:2687042] MEIPPQEAPPGPGADADADAEAETEEASAEAESPTGTSPPADGRLKAAAKRVTFPSDEDI VSGAVEPKDPWRHAQNVTVDEVISAYRQACQKLNCRQIPKLLRQLQEFTDLEQRINCLDL KGEKLDYKTCEALEEVFKRLQFKVVDLEQTNLDEDGASALFDMIEYYESATHLNISFNKH IGTRGWQAAAHMMRKTSCLQYLDARNTPLLDHSAPFVARALRIRSSLAVLHLENASLSGR PLMLLATALKMNMNLRELYLADNKLNGLQDSAQLGNLLKFNCSLQILDLRNNHVLDSGLA YICEGLKEQRKGLVTLVLWNNQLTHTGMAFLGMALPHTQSLETLNLGHNPIGNEGVRNLK NGLISNRSVLRLGLASTKLTCEGAVAVAEFIAESPRLLRLDLRENEIKTGGLMALSLALK VNHSLLRLDLDREPKKEPVKSFIETQKALLAEIQNGCKRNFVLVREREEKQQLQLSASMP EITITAPQPLEESGELPAVGSQNGAPRPGPGPDSDSDSDSDREEQEEEEEDQRNQQRDEG GNDQSSLASCPALIPSTDSLGPGDKSPPSSPSSPTEQRISVSSPGRGHKVFVVTRVESPP ERPEPPVPPTFVSSPPPSPPSPPASPPSQTMDTQDPESSEAQPQLEPSQAGQPLPNGLKP EFALALPPEPPPGLEAKGGSCSLEHALHRSQGVSKLEELLLEASQEAPRDTL >ENSMUSP00000100837.2 pep:known chromosome:GRCm38:7:21052867:21053784:-1 gene:ENSMUSG00000093986.1 transcript:ENSMUST00000105202.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r120 description:vomeronasal 1 receptor 120 [Source:MGI Symbol;Acc:MGI:3647340] MSDHAKSLKSTEEVALQLLLLCQFGVGTLANVFLFVHNFYPVLTGSKQRPRKVILSHMAV ANALTIFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARNTNLCSTCVLSIHHFVTLVL VNRGKLILRASVPNFVSYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIGGIVFLQFSYDATFMSIMVWTSVSMILLLHRHRQRMQHILTPNQYRRGQAESRATHTI LMLVVTFVSFYLLNFICIIFHTLLMHSHLFTRLVSEVLAAVFPSISPLLLIFRDPKDPCS VILNC >ENSMUSP00000109880.2 pep:known chromosome:GRCm38:17:34112023:34121747:-1 gene:ENSMUSG00000024335.19 transcript:ENSMUST00000114242.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd2 description:bromodomain containing 2 [Source:MGI Symbol;Acc:MGI:99495] MLQNVTPHKLPGEGNAGLLGLGPEAAAPGKRIRKPSLLYEGFESPTMASVPALQLAPANP PPPEVSNPKKPGRVTNQLQYLHKVVMKALWKHQFAWPFRQPVDAVKLGLPDYHKIIKQPM DMGTIKRRLENNYYWAASECMQDFNTMFTNCYIYNKPTDDIVLMAQTLEKIFLQKVASMP QEEQELVVTIPKNSHKKGAKLAALQGSITSAHQVPAVSSVSHTALYTPPPEIPTTVLNIP HPSVISSPLLKSLHSAGPPLLAVSAAPPAQPLAKKKGVKRKADTTTPTPTAILAPGSPAS PPGSLEPKAARLPPMRRESGRPIKPPRKDLPDSQQQHQSSKKGKLSEQLKHCNGILKELL SKKHAAYAWPFYKPVDASALGLHDYHDIIKHPMDLSTVKRKMENRDYRDAQEFAADVRLM FSNCYKYNPPDHDVVAMARKLQDVFEFRYAKMPDEPLEPGPLPVSTALPPGLTKSSSESS SEESSSESSSEEEEEEEEDEDEEESESSDSEEERAHRLAELQEQLRAVHEQLAALSQGPI SKPKRKREKKEKKKKRKAEKHRGRIGIDEDDKGPRAPRPPQPKKSKKAGGGGSNATTLSH PGFGTSGGSSNKLPKKSQKTAPPVLPTGYDSEEEEESRPMSYDEKRQLSLDINKLPGEKL GRVVHIIQAREPSLRDSNPEEIEIDFETLKPSTLRELERYVLSCLRKKPRKPYTIRKPVG KTKEELALEKKRELEKRLQDVSGQLNSTKKPPKKASEKTESSAQQVAVSRLSASSSSSDS SSSSSSSSSSDTSDSDSG >ENSMUSP00000025193.6 pep:known chromosome:GRCm38:17:34112023:34122317:-1 gene:ENSMUSG00000024335.19 transcript:ENSMUST00000025193.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd2 description:bromodomain containing 2 [Source:MGI Symbol;Acc:MGI:99495] MLQNVTPHKLPGEGNAGLLGLGPEAAAPGKRIRKPSLLYEGFESPTMASVPALQLAPANP PPPEVSNPKKPGRVTNQLQYLHKVVMKALWKHQFAWPFRQPVDAVKLGLPDYHKIIKQPM DMGTIKRRLENNYYWAASECMQDFNTMFTNCYIYNKPTDDIVLMAQTLEKIFLQKVASMP QEEQELVVTIPKNSHKKGAKLAALQGSITSAHQVPAVSSVSHTALYTPPPEIPTTVLNIP HPSVISSPLLKSLHSAGPPLLAVSAAPPAQPLAKKKGVKRKADTTTPTPTAILAPGSPAS PPGSLEPKAARLPPMRRESGRPIKPPRKDLPDSQQQHQSSKKGKLSEQLKHCNGILKELL SKKHAAYAWPFYKPVDASALGLHDYHDIIKHPMDLSTVKRKMENRDYRDAQEFAADVRLM FSNCYKYNPPDHDVVAMARKLQDVFEFRYAKMPDEPLEPGPLPVSTALPPGLTKSSSESS SEESSSESSSEEEEEEEEDEDEEESESSDSEEERAHRLAELQEQLRAVHEQLAALSQGPI SKPKRKREKKEKKKKRKAEKHRGRIGIDEDDKGPRAPRPPQPKKSKKAGGGGSNATTLSH PGFGTSGGSSNKLPKKSQKTAPPVLPTGYDSEEEEESRPMSYDEKRQLSLDINKLPGEKL GRVVHIIQAREPSLRDSNPEEIEIDFETLKPSTLRELERYVLSCLRKKPRKPYTIRKPVG KTKEELALEKKRELEKRLQDVSGQLNSTKKPPKKASEKTESSAQQVAVSRLSASSSSSDS SSSSSSSSSSDTSDSDSG >ENSMUSP00000128835.1 pep:known chromosome:GRCm38:17:34112030:34120411:-1 gene:ENSMUSG00000024335.19 transcript:ENSMUST00000154232.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brd2 description:bromodomain containing 2 [Source:MGI Symbol;Acc:MGI:99495] MLQNVTPHKLPGEGNAGLLGLGPEAAAPGKRIRKPSLLYEGFESPTMASVPALQLAPANP PPPEVSNPKKPGRVTNQLQYLHKVVMKALWKHQFAWPFRQPVDAVKLGLPIPTPGWERTT VAKILSFFLSLMQPMDSHPQRIITKL >ENSMUSP00000092990.6 pep:known chromosome:GRCm38:17:34112363:34118489:-1 gene:ENSMUSG00000024335.19 transcript:ENSMUST00000095347.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd2 description:bromodomain containing 2 [Source:MGI Symbol;Acc:MGI:99495] MDLSTVKRKMENRDYRDAQEFAADVRLMFSNCYKYNPPDHDVVAMARKLQDVFEFRYAKM PDEPLEPGPLPVSTALPPGLTKSSSESSSEESSSESSSEEEEEEEEDEDEEESESSDSEE ERAHRLAELQEQLRAVHEQLAALSQGPISKPKRKREKKEKKKKRKAEKHRGRIGIDEDDK GPRAPRPPQPKKSKKAGGGGSNATTLSHPGFGTSGGSSNKLPKKSQKTAPPVLPTGYDSE EEEESRPMSYDEKRQLSLDINKLPGEKLGRVVHIIQAREPSLRDSNPEEIEIDFETLKPS TLRELERYVLSCLRKKPRKPYTIRKPVGKTKEELALEKKRELEKRLQDVSGQLNSTKKPP KKASEKTESSAQQVAVSRLSASSSSSDSSSSSSSSSSSDTSDSDSG >ENSMUSP00000134608.1 pep:known chromosome:GRCm38:17:34113761:34114977:-1 gene:ENSMUSG00000024335.19 transcript:ENSMUST00000173032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd2 description:bromodomain containing 2 [Source:MGI Symbol;Acc:MGI:99495] XFYKPVDASALGLHDYHDIIKHPMDLSTVKDVFEFRYAKMPDEPLEPGPLPVSTALPPGL TKSSSESSSEESSSESSSEEEEEEEEDEDEEESESSDSEEERAHRLAELQEQLRAVHEQL AALSQGPISKPKRKRE >ENSMUSP00000138431.1 pep:known chromosome:GRCm38:17:34113796:34115076:-1 gene:ENSMUSG00000024335.19 transcript:ENSMUST00000173204.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brd2 description:bromodomain containing 2 [Source:MGI Symbol;Acc:MGI:99495] XQSSKKGKLSEQLKHCNGILKELLSKKHAAYAWPFYKPVDASALGLHDYHDIIKHPMDLS TVKT >ENSMUSP00000117359.1 pep:known chromosome:GRCm38:17:34114085:34121988:-1 gene:ENSMUSG00000024335.19 transcript:ENSMUST00000151986.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd2 description:bromodomain containing 2 [Source:MGI Symbol;Acc:MGI:99495] MLQNVTPHKLPGEGNAGLLGLGPEAAAPGKRIRKPSLLYEGFESPTMASVPALQLAPANP PPPEVSNPKKPGRVTNQLQYLHKVVMKALWKHQFAWPFRQPVDAVKLGLPDYHKIIKQPM DMGTIKRRLENNYYWAASECMQDFNTMFTNCYIYNKPTDDIVLMAQTLEKIFLQKVASMP QEEQELVVTIPKNSHKKGAKLAALQGSITSAHQVPAVSSVSHTALYTPPPEIPTTVLNIP HPSVISSPLLKSLHSAGPPLLAVSAAPPAQPLAKKKGVKRKADTTTPTPTAILAPGSPAS PPGSLEPKAARLPPMRRESGRPIKPPRKDLPDSQQQHQSSKKGKLSEQLKHCNGILKELL SKKHAAYAWPFYKPVDASALGLHDYHDIIKHPMDLSTVKRKMENRDYRDAQEFAADVRLM FSNCYKYNPPDHDVVAMARKLQDVFEFRYAKMPDEPLEPGPLPVSTALPPGLT >ENSMUSP00000110824.1 pep:known chromosome:GRCm38:X:37602894:37607704:-1 gene:ENSMUSG00000079630.2 transcript:ENSMUST00000115170.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox4f description:reproductive homeobox 4F [Source:MGI Symbol;Acc:MGI:3613392] MEHQNTNYLLHEGLVKDKEKLNGRKTQTVLPLDGEGRNEGESGLGQSGATAVEGDKAEEL SGEGGPAAGDADLMDNSNQEDQDTSGSAQEEEKLPEEPVLKDAVVIDKVQPIPVLVSGVR PKSVWVQQRSLHYNFQWWQLQELERIFQQNHFIRAEERRHLARWIGVSEARVMTWFKKRR EHFRRGQSQLGMNDDAPVGSHSTFL >ENSMUSP00000128144.2 pep:known chromosome:GRCm38:5:11127634:11132487:1 gene:ENSMUSG00000094025.7 transcript:ENSMUST00000170260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8879 description:predicted gene 8879 [Source:MGI Symbol;Acc:MGI:3648905] MWRARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPT QKKNPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKM SITQNKKNKEVEIDWALIEKYLVDLNLNGQTGADQQP >ENSMUSP00000136711.1 pep:known chromosome:GRCm38:5:11128868:11131221:1 gene:ENSMUSG00000094025.7 transcript:ENSMUST00000178863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8879 description:predicted gene 8879 [Source:MGI Symbol;Acc:MGI:3648905] ARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPTQKK NPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMSIT QNKKNKEVEIDWALIEKYLVDLNLNGQTGADQQP >ENSMUSP00000000128.3 pep:known chromosome:GRCm38:11:59306928:59333552:1 gene:ENSMUSG00000000126.11 transcript:ENSMUST00000000128.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt9a description:wingless-type MMTV integration site family, member 9A [Source:MGI Symbol;Acc:MGI:2446084] MLDGSLLARWLAAAFGLTLLLAALRPSAAYFGLTGSEPLTILPLTLETEAAAQAHYKACD RLKLERKQRRMCRRDPGVAETLVEAVSMSALECQYQFRFERWNCTLEGRYRASLLKRGFK ETAFLYAISSAGLTHALAKACSAGRMERCTCDEAPDLENREAWQWGGCGDNLKYSSKFVK EFLGRRSSKDLRARVDFHNNLVGVKASWAGVETTCKCHGVSGSCTVRTCWRQLAPFHEVG KHLKHKYETSLKVGSTTNEATGEAGAISPPRGRASGSGGGDPLPRTPELVHLDDSPSFCL AGRFSPGTAGRRCHREKNCESICCGRGHNTQSRVVTRPCQCQVRWCCYVECRQCTQREEV YTCKG >ENSMUSP00000104411.3 pep:known chromosome:GRCm38:11:59306930:59333552:1 gene:ENSMUSG00000000126.11 transcript:ENSMUST00000108783.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt9a description:wingless-type MMTV integration site family, member 9A [Source:MGI Symbol;Acc:MGI:2446084] MLDGSLLARWLAAAFGLTLLLAALRPSAAYFGLTGSEPLTILPLTLETEAAAQAHYKACD RLKLERKQRRMCRRDPGVAETLVEAVSMSALECQYQFRFERWNCTLEGRYRASLLKRGFK ETAFLYAISSAGLTHALAKACSAGRMERCTCDEAPDLENREAWQWGGCGDNLKYSSKFVK EFLGRRSSKDLRARVDFHNNLVGVKVIKAGVETTCKCHGVSGSCTVRTCWRQLAPFHEVG KHLKHKYETSLKVGSTTNEATGEAGAISPPRGRASGSGGGDPLPRTPELVHLDDSPSFCL AGRFSPGTAGRRCHREKNCESICCGRGHNTQSRVVTRPCQCQVRWCCYVECRQCTQREEV YTCKG >ENSMUSP00000108566.1 pep:known chromosome:GRCm38:1:93299211:93305863:-1 gene:ENSMUSG00000026273.13 transcript:ENSMUST00000112944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf4 description:mitochondrial transcription termination factor 4 [Source:MGI Symbol;Acc:MGI:1918355] MASLGRQVPEWHRLLALSWACLVRQTPHLREQKQMSPSLSCKLTTVPGRGSFQEFSSITP QKYMQEPENRTRLVQCLHEEQKPCVDPESLEPEKVIRSLQDMGFAEAHIHSLFSIQPSVH PQQLLGIVSELLLLGLNPEPVFNALKKNPQLLKLSSMQMKRRSSYLRKLGLGEGKLKRVL SVCPEVFTMHQRDIDRVVKVLREKCLFTAQHITDVLHRCPTVLQEDPNELEYKFQPVPLS RSFKFLKNSWIKRRRRSLRAMHLRRKRKRRKRRSYCDTALGCQRSFSYSRSAGDLHLDLL KHF >ENSMUSP00000027492.7 pep:known chromosome:GRCm38:1:93301205:93305915:-1 gene:ENSMUSG00000026273.13 transcript:ENSMUST00000027492.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf4 description:mitochondrial transcription termination factor 4 [Source:MGI Symbol;Acc:MGI:1918355] MASLGRQVPEWHRLLALSWACLVRQTPHLREQKQMSPSLSCKLTTVPGRGSFQEFSSITP QKYMQEPENRTRLVQCLHEEQKPCVDPESLEPEKVIRSLQDMGFAEAHIHSLFSIQPSVH PQQLLGIVSELLLLGLNPEPVFNALKKNPQLLKLSSMQMKRRSSYLRKLGLGEGKLKRVL SVCPEVFTMHQRDIDRVVKVLREKCLFTAQHITDVLHRCPTVLQEDPNELEYKFQYAYFR MGLTHLDIVRTNFLQYSITKIKQRHIYLERLGRYQTPDKKGQTQIPNPSLRNILRVSEAE FLARTACSSVEEFQVFKKLLDQEEEEESESHASEEEEEEEEEEELL >ENSMUSP00000108564.1 pep:known chromosome:GRCm38:1:93301917:93305864:-1 gene:ENSMUSG00000026273.13 transcript:ENSMUST00000112942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf4 description:mitochondrial transcription termination factor 4 [Source:MGI Symbol;Acc:MGI:1918355] MASLGRQVPEWHRLLALSWACLVRQTPHLREQKQMSPSLSCKLTTVPGRGSFQEFSSITP QKYMQEPENRTRLVQCLHEEQKPCVDPESLEPEKVIRSLQDMGFAEAHIHSLFSIQPSVH PQQLLGIVSELLLLGLNPEPVFNALKKNPQLLKLSSMQMKRRSSYLRKLGLGEGKLKRVL SVCPEVFTMHQRDIDRVVKVLREKCLFTAQHITDVLHRCPTVLQEDPNELEYKFQVRVSD >ENSMUSP00000133600.1 pep:known chromosome:GRCm38:5:145345279:145390512:1 gene:ENSMUSG00000070419.11 transcript:ENSMUST00000174696.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp3a57 description:cytochrome P450, family 3, subfamily a, polypeptide 57 [Source:MGI Symbol;Acc:MGI:3646373] MELIPNLSIQTWVLLVTSLVFFYIYGTYSHGLFKKLGIPGPKPLPLFGNIINYLDGMWKF DDDCYKKYGKIWGFYEGPQPILAIMDPEIIKIVLVKECYSVFTNRRPFGPVGFLKKSITI SEDEEWKRLRTLLSPTFTSSKLKEYLWSL >ENSMUSP00000078251.7 pep:known chromosome:GRCm38:5:145345287:145390926:1 gene:ENSMUSG00000070419.11 transcript:ENSMUST00000079268.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp3a57 description:cytochrome P450, family 3, subfamily a, polypeptide 57 [Source:MGI Symbol;Acc:MGI:3646373] MELIPNLSIQTWVLLVTSLVFFYIYGTYSHGLFKKLGIPGPKPLPLFGNIINYLDGMWKF DDDCYKKYGKIWGFYEGPQPILAIMDPEIIKIVLVKECYSVFTNRRPFGPVGFLKKSITI SEDEEWKRLRTLLSPTFTSSKLKEMFPIMRQYGDILVRNLRREEEKEEPINMKDIFGAYS MDVITGTSCGVNIDSLNNPQDPFVQKIKKILKFKFFDPFLLSVVLFPFLTPIYEMLNLSI FPRQSMNFFKKFVKKMKKDRLDYNQKNRVDFLQLMMNTQNSKGQESLKALSDLEMAAQTL IFIFGGYDGTSTSISFIMYELATHPDVQKKLQDEIDRALPNKAPVTYDALMDMEYLDMVV NESLRLYPVVSRIDRFSKKDVEIHGVFIPKGTIVMIPIYPLHRDPEYWPEPEDFCPERFS KENKGNIDPYIYMPFGNGPRNCIGMRFALISVKLAVTGVLQNFTVQPCEETQIPVKISRQ PIFRPEKPIILKFVSRDKPRTGP >ENSMUSP00000083620.4 pep:known chromosome:GRCm38:1:45374321:45503282:-1 gene:ENSMUSG00000026042.16 transcript:ENSMUST00000086430.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col5a2 description:collagen, type V, alpha 2 [Source:MGI Symbol;Acc:MGI:88458] MMANWVGARPLLILSVLLGYCVSIKAQEQENDEYDEEIACTQHGQMYLNRDIWKPSPCQI CVCDNGAILCDKIECPEVLNCANPITPTGECCPVCPQTGGGDTSFGRGRKGQKGEPGLVP VVTGIRGRPGPAGPPGSQGPRGDRGPKGRPGPRGPQGIDGEPGVPGQPGAPGPPGHPSHP GPDGMSRPFSAQMAGLDEKSGLGSQVGLMPGSVGPVGPRGPQGLQGQQGGVGPAGPPGEP GEPGPMGPIGSRGPEGPPGKPGEDGEPGRNGNTGEVGFSGSPGARGFPGAPGLPGLKGHR GHKGLEGPKGEIGAPGAKGEAGPTGPMGAMGPLGPRGMPGERGRLGPQGAPGKRGAHGMP GKPGPMGPLGIPGSSGFPGNPGMKGEAGPTGARGPEGPQGQRGETGPPGPAGSQGLPGAV GTDGTPGAKGPTGSAGTSGPPGLAGPPGSPGPQGSTGPQGIRGQSGDPGVPGFKGEAGPK GEPGPHGIQGPIGPPGEEGKRGPRGDPGTVGPPGPMGERGAPGNRGFPGSDGLPGPKGAQ GERGPVGSSGPKGGQGDPGRPGEPGLPGARGLTGNPGVQGPEGKLGPLGAPGEDGRPGPP GSIGIRGQPGSMGLPGPKGSSGDLGKPGEAGNAGVPGQRGAPGKDGEVGPSGPVGPPGLA GERGEQGPPGPTGFQGLPGPPGPPGEGGKAGDQGVPGEPGAVGPLGPRGERGNPGERGEP GITGLPGEKGMAGGHGPDGPKGNPGPTGTIGDTGPPGLQGMPGERGIAGTPGPKGDRGGI GEKGAEGTAGNDGARGLPGPLGPPGPAGPTGEKGEPGPRGLVGPPGSRGNPGSRGENGPT GAVGFAGPQGPDGQPGVKGEPGEPGQKGDAGSPGPQGLAGSPGPHGPHGVPGLKGGRGTQ GPPGATGFPGSAGRVGPPGPAGAPGPAGPAGEPGKEGPPGLRGDPGSHGRVGDRGPAGPP GSPGDKGDPGEDGQPGPDGPPGPAGTTGQRGIVGMPGQRGERGMPGLPGPAGTPGKVGPT GATGDKGPPGPVGPPGSNGPVGEPGPEGPAGNDGTPGRDGAVGERGDRGDPGPAGLPGSQ GAPGTPGPVGAPGDAGQRGEPGSRGPVGPPGRAGKRGLPGPQGPRGDKGDNGDRGDRGQK GHRGFTGLQGLPGPPGPNGEQGSAGIPGPFGPRGPPGPVGPSGKEGNPGPLGPIGPPGVR GSVGEAGPEGPPGEPGPPGPPGPPGHLTAALGDIMGHYDENMPDPLPEFTEDQAAPDDTN KTDPGIHVTLKSLSSQIETMRSPDGSKKHPARTCDDLKLCHPTKQSGEYWIDPNQGSAED AIKVYCNMETGETCISANPASVPRKTWWASKSPDNKPVWYGLDMNRGSQFTYGDYQSPNT AITQMTFLRLLSKEASQNLTYICRNTVGYMDDQAKNLKKAVVLKGSNDLEIKGEGNIRFR YTVLQDTCSKRNGNVGKTIFEYRTQNVARLPIIDVGPVDIGNADQEFGLDIGPVCFM >ENSMUSP00000059291.5 pep:known chromosome:GRCm38:13:108316332:108389585:1 gene:ENSMUSG00000021697.12 transcript:ENSMUST00000051594.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc1b description:DEP domain containing 1B [Source:MGI Symbol;Acc:MGI:2145425] MEHRVVGPGPYRATRLWNETVELFRARMPLRRHRCRFKSYEHCFTASEAVDWLHELLRNS QNFGPEVTRKQTVQLLGKFLKNHVIEDIKGKWGQEDFEDNRHLYRFPPSSPLKPYPKKPL YQRDVIKFPEWNDPPPGTSQENIPVRPIVMNSEMWFKRHSIAIGEVPACRLVHRRQLTEA NVEEIWKSTTLSYLQKILGLDSLEEVLNTKLVSSKFIIHNVYSVSKQGVVILDDKSKELP HWVLSAMKCLANWPNCTDWKQPMYLGFEKDVFKTIADYYGHLKEPLLTFHLFDAFVSVLG LLPKEKTAIEAFQLCCLLLPPENRRKLQLLMRMMARICLNKEMPPLSDGFGTRTLMVQTF SRCILCSKDEVDLDELLAARLVTFLMDNYQEILKVPLALQTSIEERVAHLRRVQIKYPGA DMDITLSAPSFCRQISPEEFEYQRAYGSQEPLAALLEEVIADDKLSSKEKKKKLKQFQKS YPEVYQERFPTPESEALLFPEKPKAKPQLFMWALRKPFQPFQRTRSFRM >ENSMUSP00000131707.1 pep:known chromosome:GRCm38:13:108316380:108407782:1 gene:ENSMUSG00000021697.12 transcript:ENSMUST00000163307.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Depdc1b description:DEP domain containing 1B [Source:MGI Symbol;Acc:MGI:2145425] MEHRVVGPGPYRATRLWNETVELFRARMPLRRHRCRFKSYEHCFTASEAVDWLHELLRNS QNFGPEVTRKQTVQLLGKFLKNHVIEDIKGKWGQEDFEDNRHLYRFPPSSPLKPYPKKPL YQRDVIKFPEWNDPPPGTSQENIPVRPIVMNSEMWFKRHSIAIGEVPACRLVHRRQLTEA NVEEIWKSTTLSYLQKILGLDSLEEVLNTKLVSSKFIIHNVYSVSKQGVVILDDKSKELP HWVLSAMKCLANWPNCTDWKQPMYLGFEKDVFKTIADYYGHLKEPLLTFHLFDAFVSVLG LLPKEKTAIEAFQLCCLLLPPENRRKLQLLMRMMARICLNKEMPPLSDGFGTRTLMVQTF SRCILCSKDEVDLDELLAARLVTFLMDNYQEILKVPLALQTSIEERVAHLRRVQIKYPGA DMDITLSAPSFCRQISPEEFEYQRAYGSQEPLAALLEEVIADDKLSSKEKKKKLKQFQKS YPEVYQERFPTPESEALLFPEKPKAKPQLFMWALRKPFQPFQRTRSFRM >ENSMUSP00000132972.1 pep:known chromosome:GRCm38:13:108316422:108388702:1 gene:ENSMUSG00000021697.12 transcript:ENSMUST00000171178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc1b description:DEP domain containing 1B [Source:MGI Symbol;Acc:MGI:2145425] MEHRVVGPGPYRATRLWNETVELFRARMPLRRHRCRFKSYEHCFTASEAVDWLHELLRNS QNFGPEVTRKQTVQLLGKFLKNHVIEDIKGKWGQEDFEDNRHLYRFPPSSPLKPYPKKPL YQRDVIKFPEWNDPPPGTSQENIPVRPIVMNSEMWFKRHSIAIGEVPACRLVHRRQLTEA NVEEIWKSTTLSYLQKILGLDSLEEVLNTKLVSSKFIIHNVYSVSKQGVVILDDKSKELP HWVLSAMKCLANWPNCTDWKQPMYLGFEKDVFKTIADYYGHLKEPLLTFHLFDAFVSVLG LLPKEKTAIEAFQLCCLLLPPENRRKLQLLMRMMARICLNKEMPPLSDGFGTRTLMVQTF SRCILCSKDEVDLDELLAARLVTFLMDNYQEILKVPLALQTSIEERVAHLRRVQFQKSYP EVYQERFPTPESEALLFPEKPKAKPQLFMWALRKPFQPFQRTRSFRM >ENSMUSP00000098655.2 pep:known chromosome:GRCm38:12:103388682:103406339:1 gene:ENSMUSG00000021203.15 transcript:ENSMUST00000101094.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otub2 description:OTU domain, ubiquitin aldehyde binding 2 [Source:MGI Symbol;Acc:MGI:1915399] MALPSSLQDLIPRFHLRRHPRGLFCASSCQRSHTESSGKTPTLPSVLRLVLPTPKSPLVA CSQLRRLLQHPPGRCPSCSHCPIPKPSCLQSETSFNLISEKCDILSILRDHPENRIYQRK IQELSKRFTSIRKTKGDGNCFYRALGYSYLESLLGKSREILKFKERVLQTPNDLLAAGFE EHKFRNFFNAFYSVVELVEKDSSVSSLLKVFNDQSSSDRIVQFLRLLTSAFIRNRADFFR HFIDEEMDIKDFCTHEVEPMAMECDHVQITALSQALNIALQVEYVDEMDTALNHHVFPEA AIPSVYLLYKTSHYNILYAAEKH >ENSMUSP00000021620.6 pep:known chromosome:GRCm38:12:103388698:103406345:1 gene:ENSMUSG00000021203.15 transcript:ENSMUST00000021620.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otub2 description:OTU domain, ubiquitin aldehyde binding 2 [Source:MGI Symbol;Acc:MGI:1915399] MSETSFNLISEKCDILSILRDHPENRIYQRKIQELSKRFTSIRKTKGDGNCFYRALGYSY LESLLGKSREILKFKERVLQTPNDLLAAGFEEHKFRNFFNAFYSVVELVEKDSSVSSLLK VFNDQSSSDRIVQFLRLLTSAFIRNRADFFRHFIDEEMDIKDFCTHEVEPMAMECDHVQI TALSQALNIALQVEYVDEMDTALNHHVFPEAAIPSVYLLYKTSHYNILYAAEKH >ENSMUSP00000115673.1 pep:known chromosome:GRCm38:12:103388985:103402901:1 gene:ENSMUSG00000021203.15 transcript:ENSMUST00000148371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otub2 description:OTU domain, ubiquitin aldehyde binding 2 [Source:MGI Symbol;Acc:MGI:1915399] XRAVPSGTRSQKIQPGTDPCCLVATLGGPQAPTLSPDACGWLSETSFNLISEKCDILSIL RDHPENRIYQRKIQELSKRFTSIRKTKGDGNCFYRALGYSYLESLLGKSREILKFKERVL QTPNDLLAAGFEEHKFRNFFNA >ENSMUSP00000137162.1 pep:known chromosome:GRCm38:12:103392654:103406350:1 gene:ENSMUSG00000021203.15 transcript:ENSMUST00000179684.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otub2 description:OTU domain, ubiquitin aldehyde binding 2 [Source:MGI Symbol;Acc:MGI:1915399] MALPSSLQDLIPRFHLRRHPRGLFCASSCQRSHTESSGKTPTLPSVLRLVLPTPKSPLVA CSQLRRLLQHPPGRCPSCSHCPIPKPSCLQSETSFNLISEKCDILSILRDHPENRIYQRK IQELSKRFTSIRKTKGDGNCFYRALGYSYLESLLGKSREILKFKERVLQTPNDLLAAGFE EHKFRNFFNAFYSVVELVEKDSSVSSLLKVFNDQSSSDRIVQFLRLLTSAFIRNRADFFR HFIDEEMDIKDFCTHEVEPMAMECDHVQITALSQALNIALQVEYVDEMDTALNHHVFPEA AIPSVYLLYKTSHYNILYAAEKH >ENSMUSP00000049473.7 pep:known chromosome:GRCm38:19:5970234:5986143:-1 gene:ENSMUSG00000037451.7 transcript:ENSMUST00000041827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a20 description:solute carrier family 22 (organic anion transporter), member 20 [Source:MGI Symbol;Acc:MGI:2685809] MAFTDLLDALGGVGRFQLVYTALLLLPCGLLACHTFLQNFTAAAPPHHCQHPANYTEPTT NVSGVWLRAAIPLNQHGDPEPCRRYVEPQWALLKPNASSHGVATEGCKDGWVYDRSIFPS TIVMEWDLVCEARTLRDLAQSIYMSGVLVGAALFGGLADRLGRKAPLVWSYLQLAVSGAA TAYVGSFSAYCVFRFLMGMTFSGIILNSLSLVVEWMPTRGRTVAGILLGFSFTLGQLILA GVAYLIRPWRWLQFAVSAPFLVFFLYSWWLPESSRWLLLHGKAQQAVQNLQKVAMMNGRK AEGERLTTEVVSSYIQDEFASVRTSNSILDLFRTPAIRRVTCCLMGVWFSNSVAYYGLAM DLQKFGLSIYLVQALFGIIDIPAMLVATTTMIYVGRRATVSSFLILAGLMVIANMFMPED LQTLRTVQAALGKGCLASSFICVYLFTGELYPTEIRQMGMGFASVNARLGGLVAPLITTL GEISPVLPPVSFGATSVLAGMAVACFLTETRNVPLVETIAAMERRVKQGRSKRDTEQKSE EISLQQLGASPLKETI >ENSMUSP00000088801.4 pep:known chromosome:GRCm38:3:32529465:32579239:1 gene:ENSMUSG00000027668.13 transcript:ENSMUST00000091257.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn1 description:mitofusin 1 [Source:MGI Symbol;Acc:MGI:1914664] MAETVSPLKHFVLAKKAITAIFGQLLEFVTEGSHFVEATYRNPELDRIASEDDLVEIQGY RNKLAVIGEVLSRRHMKVAFFGRTSSGKSSVINAMLWDKVLPSGIGHTTNCFLSVEGTDG DKAYLMTEGSDEKKSVKTVNQLAHALHMDKDLKAGCLVHVFWPKAKCALLRDDLVLVDSP GTDVTTELDIWIDKFCLDADVFVLVANSESTLMNTEKHFFHKVNERLSKPNIFILNNRWD ASASEPEYMEDVRRQHMERCLHFLVEELKVVSPSEARNRIFFVSAKEVLNSRKHKAQGMP EGGGALAEGFQARLQEFQNFEQTFEECISQSAVKTKFEQHTIRAKQILDTVKNILDSVNV AAAEKRVYSMEEREDQIDRLDFIRNQMNLLTLDVKKKIKEVTEEVANKVSCAMTDEICRL SVLVDEFCSEFHPTPSVLKVYKSELNKHIEDGMGRNLADRCTNEVNASILQSQQEIIENL KPLLPAGIQNKLHTLIPCKKFDLSYDLNCHKLCSDFQEDIVFRFSLGWSSLVHRFLGSTN AQRVLLGLSEPIFQVPRSLASTPTAPSNPAAPDNAAQEELMITLITGLASLTSRTSMGII VVGGVIWKTVGWKLISVTLSMYGALYLYERLTWTTRAKERAFKQQFVNYATEKLQMIVSF TSANCSHQVQQEMATTFARLCQQVDVTQKHLEEEIARLSKEIDQLEKIQNNSKLLRNKAV QLESELENFSKQFLHPSSGES >ENSMUSP00000116380.1 pep:known chromosome:GRCm38:3:32529539:32546659:1 gene:ENSMUSG00000027668.13 transcript:ENSMUST00000147350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn1 description:mitofusin 1 [Source:MGI Symbol;Acc:MGI:1914664] MAETVSPLKHFVLAKKAITAIFGQLLEFVTEGSHFVEATYRNPELDRIASEDDLVEIQGY RNKLAVIGEVLSRRHMKVAFFGRTSSGKSSVINAMLWDKVLPSGIGHTTNCFLSVEGTDG DKAYLMTEGSDEKKSVKTVNQLAHALHMDKDLKAGCLVHVFWPKAKCALLRDDLVLVDSP GTDVTTELDIWIDKFCLDADVFVLVANSESTLMNTEKHFFHKVNERLSKPNIFILNNRW >ENSMUSP00000117411.1 pep:known chromosome:GRCm38:3:32531545:32544097:1 gene:ENSMUSG00000027668.13 transcript:ENSMUST00000137565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn1 description:mitofusin 1 [Source:MGI Symbol;Acc:MGI:1914664] MAETVSPLKHFVLAKKAITAIFGQLLEFVTEGSHFVEATYRNPELDRIASEDDLVEIQGY RNKLAVIGEVLSRRHMKVAFFGRTSSGKSSVINAMLWDKVLPSGIGHTTNCFLSVEGTDG DKAYLMTEGSDEKKSVKTVNQLAHALHMDKDLKAGCLVHVFWPKAKCALLRDDLVLVDSP GTDVTTELD >ENSMUSP00000113251.1 pep:known chromosome:GRCm38:3:32531554:32577353:1 gene:ENSMUSG00000027668.13 transcript:ENSMUST00000118286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn1 description:mitofusin 1 [Source:MGI Symbol;Acc:MGI:1914664] MAETVSPLKHFVLAKKAITAIFGQLLEFVTEGSHFVEATYRNPELDRIASEDDLVEIQGY RNKLAVIGEVLSRRHMKVAFFGRTSSGKSSVINAMLWDKVLPSGIGHTTNCFLSVEGTDG DKAYLMTEGSDEKKSVKTVNQLAHALHMDKDLKAGCLVHVFWPKAKCALLRDDLVLVDSP GTDVTTELDIWIDKFCLDADVFVLVANSESTLMNTEKHFFHKVNERLSKPNIFILNNRWD ASASEPEYMEDVRRQHMERCLHFLVEELKVVSPSEARNRIFFVSAKEVLNSRKHKAQGMP EGGGALAEGFQARLQEFQNFEQTFEECISQSAVKTKFEQHTIRAKQILDTVKNILDSVNV AAAEKRVYSMEEREDQIDRLDFIRNQMNLLTLDVKKKIKEVTEEVANKVSCAMTDEICRL SVLVDEFCSEFHPTPSVLKVYKSELNKHIEDGMGRNLADRCTNEVNASILQSQQEIIENL KPLLPAGIQNKLHTLIPCKKFDLSYDLNCHKLCSDFQEDIVFRFSLGWSSLVHRFLGSTN AQRVLLGLSEPIFQVPRSLASTPTAPSNPAAPDNAAQEELMITLITGLASLTSRTSMGII VVGGVIWKTVGWKLISVTLSMYGALYLYERLTWTTRAKERAFKQQFVNYATEKLQMIVSF TSANCSHQVQQEMATTFARLCQQVDVTQKHLEEEIARLSKEIDQLEKIQNNSKLLRNKAV QLESELENFSKQFLHPSSGES >ENSMUSP00000120960.1 pep:known chromosome:GRCm38:3:32561546:32570330:1 gene:ENSMUSG00000027668.13 transcript:ENSMUST00000151320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn1 description:mitofusin 1 [Source:MGI Symbol;Acc:MGI:1914664] NILDSVNVAAAEKRVYSMEEREDQIDRLDFIRNQMNLLTLDVKKKIKEVTEEVANKVSCA MTDEICRLSVLVDEFCSEFHPTPSVLKVYKSELNKHIEDGMGRNLADRCTNEVNASILQS QQEIIENLKPLLPAGIQNKLHTLIPCKKFDLSYDLNCHKLCSDFQEDIVFRFSLGWSSLV HRFLGSTNAQRVLLGLSEPIFQVPRSLASTPTAPSNPAAPDNAAQEELMITLITGLASLT SRTSMGIIVVGGVIWKTVGWKLISVTLSMYGALYLYERLTWTTRAKERAFKQQFVNYATE KLQMIVSFTSANCSHQVQQ >ENSMUSP00000085569.3 pep:known chromosome:GRCm38:5:26114764:26121421:-1 gene:ENSMUSG00000067698.4 transcript:ENSMUST00000088236.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10220 description:predicted gene 10220 [Source:MGI Symbol;Acc:MGI:3798181] MALFARLCRLFQRVNVDGRETREGRKDADLPSERNEGRRRWTWRMCMAHRQTTSPVPVKS KKQFEKEEKELIKKIQLTTEETNELRDRLIYVTEGSMNKRPYHRQTRHYKELKLKEKEIM TYLHDLEMKNMEARENNQELKKEKNFYRNLHTRILLGENLIKKKLAILQQESKEIHADWA IIQQRLVELNLSGKDEQEKTSNLEAQENQVSEAARKLGLATAEEDSILQNELPCQEAPAE HHPQHPPSSSDESSSDESSYSTCPSWE >ENSMUSP00000028106.4 pep:known chromosome:GRCm38:2:12106632:12301922:-1 gene:ENSMUSG00000026768.10 transcript:ENSMUST00000028106.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga8 description:integrin alpha 8 [Source:MGI Symbol;Acc:MGI:109442] MSAGTHCGPPGNRAPPFARLCCVSAALGMLWSPACLAFNLDVDKLTVYSGPEGSYFGYSL DFYIPDARTASVLVGAPKANTSQPDIVEGGAVYYCPWPSERSAQCKQIPFDTTNNRKIRV NGTKEPIEFKSNQWFGATVRAHKGKVVACAPLYHWRTLKPNPAKDPVGTCYVAIQNFSAY AEHSPCRNSNADPEGQGYCQAGFSLDFYKNGDLIVGGPGSFYWQGQVITVSIADIIANYS FKDILRKLAAEKQTDVAPASYDDSYLGYSVAAGEFTGDSQQELVAGIPRGAQNFGYVSII NSTDMTFIQNFTGEQMASYFGYTVVVSDVNNDGMDDILVGAPLFMEREFESNPREVGQVY LYLQASALLFQDPQVLTGTETFGRFGSSVAHLGDLNQDGYNDIAIGVPFAGKDQRGKVLI YNGNPRGLHSKPSQVLQGIWGSQTIPSGFGFSLRGDADIDKNDYPDLLVGAFGKGKVAVY RARPVVTVDAQLLLHPMIINLENKTCQIPEFPTPVACFSVRVCASIAGQSISNTIALLAE VQLDFLKQKGAIKRTLFLHNHQSHFTFPFVMKQQKSLHCQDFMVYLRDETEFRDKLSPIN ISLNYSLDDSTFKDSLEVKPILNHYRDNVVTEQAHILVDCGEDNLCVPDLKLSARPDKHQ IIIGDENHLMLIINARNEGEGAYEAELFVIIPEEADYVGIERNNKGLRPLSCEYKMENVT RMVVCDLGNPMVTGTNFSLGLRFAVPRLEKTNMSINFDLQIRSSNKDNPDSNFERVQINI TAIAQVEIRGVSHPPQIVLPIHNWEPEKKPHKEEEVGPLVEHIYELHNIGPSTISDSILD VGWPFSARDEFLLYIFHLQTLGPLQCQTNPEINPQDIKPAASPEDTPELSAFLRNATIPH LVRKRDVPVVQLHRQSPARILNCTNIDCLQISCAVGRLGGGESAVLKVRSRLWAHTFLKR KNDHYALASLVSFEVKKMPYKEQPAKLPAGSTAVKTSVIWATPNVSFSIPLWVIILAILL GLLVLAILTLALWKCGFFDRARPPQDEMTDREQLTSDKTPEA >ENSMUSP00000134154.1 pep:known chromosome:GRCm38:2:12107479:12301892:-1 gene:ENSMUSG00000026768.10 transcript:ENSMUST00000172791.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itga8 description:integrin alpha 8 [Source:MGI Symbol;Acc:MGI:109442] MSAGTHCGPPGNRAPPFARLCCVSAALGMLWSPACLAFNLDVDKLTVYSGPEGSYFGYSL DFYIPDARTASVLVGAPKANTSQPDIVEGGAVYYCPWPSERSAQCKQIPFDTTNNRKIRV NGTKEPIEFKSNQWFGATVRAHKGKVVACAPLYHWRTLKPNPAKDPVGTCYVAIQNFSAY AEHSPCRNSNADPEGQGYCQAGFSLDFYKNGDLIVGGPGSFYWQGQVITVSIADIIANYS FKDILRKLAAEKQTDVAPASYDDSYLGYSVAAGEFTGDSQQELVAGIPRGAQNFGYVSII NSTDMTFIQNFTGEQMASYFGYTVVVSDVNNDGMDDILVGAPLFMEREFESNPREVGQVY LYLQASALLFQDPQVLTGTETFGRFGSSVAHLGDLNQDGYNDIAIGVPFAGKDQRGKVLI YNGNPRGLHSKPSQVLQGIWGSQTIPSGFGFSLRGDADIDKNDYPDLLVGAFGKGKVAVY RARPVVTVDAQLLLHPMIINLENKTCQIPEFPTPVACFSVRVCASIAGQSISNTIALLAE VQLDFLKQKGAIKRTLFLHNHQSHFTFPFVMKQQKSLHCQDFMVYLRTLA >ENSMUSP00000134238.1 pep:known chromosome:GRCm38:2:12109070:12132552:-1 gene:ENSMUSG00000026768.10 transcript:ENSMUST00000148055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga8 description:integrin alpha 8 [Source:MGI Symbol;Acc:MGI:109442] NCTNIDCLQISCAVGRLGGGESAVLKVRSRLWAHTFLKRKNDHYALASLVSFEVKKMPYK EQPAKLPAGSTAVKTSVIWATPNVSFSIPLWVIILAILLGLLVLAILTLALWKLVFLFSS VDSLIEQDLLRMK >ENSMUSP00000050832.7 pep:known chromosome:GRCm38:1:93235841:93301065:1 gene:ENSMUSG00000047793.13 transcript:ENSMUST00000062202.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sned1 description:sushi, nidogen and EGF-like domains 1 [Source:MGI Symbol;Acc:MGI:3045960] MRLGAAWALLLAAALGLGTRGVRAAVALADFYPFGTKRGDTVTPKQDDGGSGLQPLSVPF PFFGAEHSGLYVNNNGIISFLKEVSQFTPVAFPIAKDRCVVAAFWADVDNRRAGDVYYRE ATDPAMLNRATEDIRRYFPELPDFSATWVFVATWYRVTFFGGSSSSPVNTFQTVLITDGR FSFTIFNYESILWTTGTHASSGGDTDGLGGIAAQAGFNAGDGHRYFNIPGSRTADMAEVE TTTNVGVPGRWAFRIDDAQVRVGGCGHTTSVCLVLRPCLNGGKCIDDCVTGNPSYTCSCL AGFTGRRCHLDVNECASHPCQNGGTCTHGVNSFSCQCPAGFKGPTCESAQSPCDNKVCQN GGQCQAESSSAVCVCQAGYTGATCETDVDECSSDPCQNGGSCVDLVGNYSCICVEPFEGP QCETGSYLVPSPCLSNPCQNGGTCVDADEGYVCECPEGFMGLDCRERILNDCDCRNGGRC LGANTTLCQCPPGFFGLLCEFEVTATPCNMNTQCPDGGYCMEYGGSYLCVCHTDHNISHS LPSPCDSDPCFNGGSCDAHEDSYTCECPRGFHGRHCEKARPHLCSSGPCRNGGTCKEMGD EYRCTCPYRFTGRHCEIGKPDSCASGPCHNGGTCFHYIGKYKCDCPPGFSGRHCEIAPSP CFRSPCMNGGTCEDLGTDFSCYCQPGYTGHRCQAEVDCGHPEEVEHATMRFNGTHVGSVA LYTCEPGFSLSALSHIRVCQPQGVWSQPPQCIEVDECRSQPCLHGGSCQDLIADYQCLCS PGYEGVHCELETDECQAQPCRNGGSCRDLPRAFICQCPEGFVGIHCETEVDACASSPCQH GGRCEDGGGAYLCVCPEGFFGYNCETVSDPCFSSPCGSRGYCLASNGSHSCTCKVGYTGK DCTKELLPPTALRVERVEESGVSISWSPPEGTTARQVLDGYAVTYASSDGSSRRTDFVDR SRSSHQLRALAAGRAYNISVFSVKRNTNNKNDISRPAALLTRTRPRPIEDFEVTNISANA ISVQWALHRIQHATVSRVRVSILYPEASAVQSTEVDRSVDRLTFGDLLPGRRYTVRLTTL SGPGGAEYPTESLASAPLNVWTRPLPPANLTASRVTATSAHMVWDTPAPGISLEAYVINV TTSQSTKSRYIPNGKLVSYTVRDLMPGRRYQLSVTAVQSTEQGQLHSEPAHLYIITSPRD GTDRRWHHGGHHSRMLRNRPAPVRLPELRLLNDHSAPETPTQSSRFSELVDGRGRVSARF GGLPSRAVTVRSQPTTPVPLKNTEAPEQVHLALQLPKNSSKDTESTPGSCSEDACQNGGT CVPGADAHSCDCRPGFKGRHCELACEKVPRPCTRLFSETKSFPVWEGDICHHVYKKVYKV HQDVCFKERCQSTSLRKPKQETK >ENSMUSP00000132455.1 pep:known chromosome:GRCm38:1:93281237:93295787:1 gene:ENSMUSG00000047793.13 transcript:ENSMUST00000163688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sned1 description:sushi, nidogen and EGF-like domains 1 [Source:MGI Symbol;Acc:MGI:3045960] XTDECQAQPCRNGGSCRDLPRAFICQCPEGFVGIHCETEVDACASSPCQHGGRCEDGGGA YLCVCPEGFFGYNCETVSDPCFSSPCGSRGYCLASNGSHSCTCKVGYTGKDCTKELLPPT ALRVERVEESGVSISWSPPEGTTARQVLDGYAVTYASSDGSSRRTDFVDRSRSSHQLRAL AAGRAYNISVFSVKRNTNNKNDISRPAALLTRTRPRPIEDFEVTNISANAISVQWALHRI QHATVSRVRVSILYPEASAVQSTEVDRSVDRLTFGDLLPGRRYTVRLTTLSGPGGAEYPT ESLASAPLNVWTRPLPPANLTASRVTATSAHMVWDTPAPGISLEAYVINVTTSQSTKSRY IPNGKLVSYTVRDLMPGRRYQLSVTAVQSTEQGQLHSEPAHLYIITSPRDGTDRRWHHGG HHSRMLRNRPAPVRLPELRLLNDHSAPETPTQSSRFSELVDGRGRVSARFGGLPSRAVTV RSRTPGSCSEDACQNGGTCVPGADAHSCDCRPGFKGRHCELACEKVPRPCTRLFSETKSF PVWEGDICHHVYKKVYKVHQDVCFKERCQSTSL >ENSMUSP00000124017.1 pep:known chromosome:GRCm38:14:9550100:11162035:-1 gene:ENSMUSG00000060579.12 transcript:ENSMUST00000160340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhit description:fragile histidine triad gene [Source:MGI Symbol;Acc:MGI:1277947] MLSLLWNTSPQCNQMQDVGAFKIVFYLIGWTNLKVPWDNLLGHTSARTLHLALRTPRSFY CETMSFRFGQHLIKPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERFRDLHPDEVAD LFQVTQRVGTVVEKHFQGTSITFSMQDGPEAGQTVKHVHVHVLPRKAGDFPRNDNIYDEL QKHDREEEDSPAFWRSEKEMAAEAEALRVYFQA >ENSMUSP00000124073.1 pep:known chromosome:GRCm38:14:9550100:11162032:-1 gene:ENSMUSG00000060579.12 transcript:ENSMUST00000162278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhit description:fragile histidine triad gene [Source:MGI Symbol;Acc:MGI:1277947] MSFRFGQHLIKPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERFRDLHPDEVADLFQ VTQRVGTVVEKHFQGTSITFSMQDGPEAGQTVKHVHVHVLPRKAGDFPRNDNIYDELQKH DREEEDSPAFWRSEKEMAAEAEALRVYFQA >ENSMUSP00000123820.1 pep:known chromosome:GRCm38:14:9870107:11162035:-1 gene:ENSMUSG00000060579.12 transcript:ENSMUST00000160956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhit description:fragile histidine triad gene [Source:MGI Symbol;Acc:MGI:1277947] MSFRFGQHLIKPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERFRDLHPDEVAD >ENSMUSP00000124957.1 pep:known chromosome:GRCm38:14:9573488:11125591:-1 gene:ENSMUSG00000060579.12 transcript:ENSMUST00000161895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhit description:fragile histidine triad gene [Source:MGI Symbol;Acc:MGI:1277947] MSFRFGQHLIKPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERFRDLHPDEVADLFQ VTQRVGTVVEKHFQGTSITFSMQDGPEAGQTVKHVHVHVLPRKAGDFPRNDNIYDELQKH DREE >ENSMUSP00000124500.1 pep:known chromosome:GRCm38:14:9763787:11125562:-1 gene:ENSMUSG00000060579.12 transcript:ENSMUST00000162817.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhit description:fragile histidine triad gene [Source:MGI Symbol;Acc:MGI:1277947] XKSQESQEFTYISLTNEEGILKHFREEHTLSLNYWKSNSRRGRLVPWDNLLGHTSARTLH LALRTPRSFYCETMSFRFGQHLIKPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERF RDLHPDEVADLFQVTQRVGTVVEKHFQGTSITFSMQDGPEAGQTVKHVHVHVL >ENSMUSP00000123874.1 pep:known chromosome:GRCm38:14:9550092:10453458:-1 gene:ENSMUSG00000060579.12 transcript:ENSMUST00000161302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhit description:fragile histidine triad gene [Source:MGI Symbol;Acc:MGI:1277947] MSFRFGQHLIKPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERFRDLHPDEVADLFQ VTQRVGTVVEKHFQGTSITFSMQDGPEAGQTVKHVHVHVLPRKAGDFPRNDNIYDELQKH DREEEDSPAFWRSEKEMAAEAEALRVYFQA >ENSMUSP00000136011.1 pep:known chromosome:GRCm38:14:9550393:10421597:-1 gene:ENSMUSG00000060579.12 transcript:ENSMUST00000179394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhit description:fragile histidine triad gene [Source:MGI Symbol;Acc:MGI:1277947] MSFRFGQHLIKPSVVFLKTELSFALVNRKPVVPGHVLVCPLRPVERFRDLHPDEVADLFQ VTQRVGTVVEKHFQGTSITFSMQDGPEAGQTVKHVHVHVLPRKAGDFPRNDNIYDELQKH DREEEDSPAFWRSEKEMAAEAEALRVYFQA >ENSMUSP00000122196.1 pep:known chromosome:GRCm38:13:55027880:55100300:-1 gene:ENSMUSG00000025878.16 transcript:ENSMUST00000127195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uimc1 description:ubiquitin interaction motif containing 1 [Source:MGI Symbol;Acc:MGI:103185] MPRRKKKIKEASESQNLEKKDLETSSCVSIKKKRRLEDLLIVISDSDGEETKEENGLQKT KTKQSNRSKCLAKRKVAHMSEEEQFALALKMSEQEAREVNNQEEKEEELLRKAIAESLNS CWSSAASATRSRPLAAELSSHSHQENTKDSGTTEGIWQLVPPSLCKGSHVSQGNEAEQRK EPWDHNENTEEEPVSGSSGSWDQSSQPVFENENVKCFDRCTGHLAEHTQCGKPQESTGSG YAFSKAVQGRGDTSRQCLPIPADTKGLQDTGGTVHYYWGIPFCPAGVDPNQYTNVILCQL EVYQKSLKMAQRQLVKKRGFGEPVLPRPPFLIQNECGQEDQTSDKNEGISEDMGDEAKEE RQESRASVWHSETKDFQKSPIKSLKQKLLLEEEPTTSRGQSSQGLFVEETSEEGLKSSEG DNSVPTTQSIAALTSKRSLVLMPESSAEETTVCPETQLSFLEPLDLNREDSPDSRELPIE VRMAVGDKQVANREDCMKENPPPAVSSSTRVSCPLCNQDFPPTKIEQHAMYCNGLMEQET VLTRRRREAKNKSDGRTAAQPALDANRKEKCYLCKSLVPLGEYQCHVEACLQLAKVDRED GIEGTRRPRVCAPVEGKQQQRLKKSKDKGHSQGRLLSLLEQSEHRTTGVEKKPKYSEVRT FRMPSPEVEEASCSREMQSTLSQLNLNESPIKSFVPVSEATNCLVDFKEQFAFRSRTKSG RGRRRKS >ENSMUSP00000120935.1 pep:known chromosome:GRCm38:13:55028195:55100254:-1 gene:ENSMUSG00000025878.16 transcript:ENSMUST00000148702.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uimc1 description:ubiquitin interaction motif containing 1 [Source:MGI Symbol;Acc:MGI:103185] MPRRKKKIKEASESQNLEKKDLETSSCVSIKKKRRLEDLLIVISDSDGEETKEENGLQKT KTKQSNRSKCLAKRKVAHMSEEEQFALALKMSEQEAREVNNQEEKEEELLRKAIAESLNS CWSSAASATRSRPLAAELSSHSHQENTKDSGTTEGIWQLVPPSLCKGSHVSQGNEAEQRK EPWDHNENTEEEPVSGSSGSWDQSSQPVFENENVKCFDRCTGHLAEHTQCGKPQESTGSG YAFSKAVQGRGDTSRQCLPIPADTKGLQDTGGTVHYYWGIPFCPAGVDPNQYTNVILCQL EVYQKSLKMAQRQLVKKRGFGEPVLPRPPFLIQNECGQEDQTSDKNEGISEDMGDEAKEE RQESRASVWHSETKDFQKSPIKSLKQKLLLEEEPTTSRGQHCSFDQ >ENSMUSP00000097095.3 pep:known chromosome:GRCm38:13:55028295:55100300:-1 gene:ENSMUSG00000025878.16 transcript:ENSMUST00000099496.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uimc1 description:ubiquitin interaction motif containing 1 [Source:MGI Symbol;Acc:MGI:103185] MPRRKKKIKEASESQNLEKKDLETSSCVSIKKKRRLEDLLIVISDSDGEETKEENGLQKT KTKQSNRSKCLAKRKVAHMSEEEQFALALKMSEQEAREVNNQEEKEEELLRKAIAESLNS SQGLFVEETSEEGLKSSEGDNSVPTTQSIAALTSKRSLVLMPESSAEETTVCPETQLSFL EPLDLNREDSPDSRELPIEVRMAVGDKQVANREDCMKENPPPAVSSSTRVSCPLCNQDFP PTKIEQHAMYCNGLMEQETVLTRRRREAKNKSDGRTAAQPALDANRKEKCYLCKSLVPLG EYQCHVEACLQLAKVDREDGIEGTRRPRVCAPVEGKQQQRLKKSKDKGHSQGRLLSLLEQ SEHRTTGVEKKPKYSEVRTFRMPSPEVEEASCSREMQSTLSQLNLNESPIKSFVPVSEAT NCLVDFKEQFAFRSRTKSGRGRRRKS >ENSMUSP00000026997.5 pep:known chromosome:GRCm38:13:55027880:55100295:-1 gene:ENSMUSG00000025878.16 transcript:ENSMUST00000026997.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uimc1 description:ubiquitin interaction motif containing 1 [Source:MGI Symbol;Acc:MGI:103185] MPRRKKKIKEASESQNLEKKDLETSSCVSIKKKRRLEDLLIVISDSDGEETKEENGLQKT KTKQSNRSKCLAKRKVAHMSEEEQFALALKMSEQEAREVNNQEEKEEELLRKAIAESLNS CWSSAASATRSRPLAAELSSHSHQENTKDSGTTEGVWQLVPPSLCKGSHVSQGNEAEQRK EPWDHNENTEEEPVSGSSGSWDQSSQPVFENENVKCFDRCTGHLAEHTQCGKPQESTGSG YAFSKAVQGRGDTSRQCLPIPADTKGLQDTGGTVHYYWGIPFCPAGVDPNQYTNVILCQL EVYQKSLKMAQRQLVKKRGFGEPVLPRPPFLIQNECGQEDQTSDKNEGISEDMGDEAKEE RQESRASVWHSETKDFQKSPIKSLKQKLLLEEEPTTSRGQSSQGLFVEETSEEGLKSSEG DNSVPTTQSIAALTSKRSLVLMPESSAEETTVCPETQLSFLEPLDLNREDSPDSRELPIE VRMAVGDKQVANREDCMKENPPPAVSSSTRVSCPLCNQDFPPTKIEQHAMYCNGLMEQET VLTRRRREAKNKSDGRTAAQPALDANRKEKCYLCKSLVPLGEYQCHVEACLQLAKVDRED GIEGTRRPRVCAPVEGKQQQRLKKSKDKGHSQGRLLSLLEQSEHRTTGVEKKPKYSEVRT FRMPSPEVEEASCSREMQSTLSQLNLNESPIKSFVPVSEATNCLVDFKEQFAFRSRTKSG RGRRRKS >ENSMUSP00000105590.1 pep:known chromosome:GRCm38:2:148042877:148045948:-1 gene:ENSMUSG00000037025.11 transcript:ENSMUST00000109964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxa2 description:forkhead box A2 [Source:MGI Symbol;Acc:MGI:1347476] MHSASSMLGAVKMEGHEPSDWSSYYAEPEGYSSVSNMNAGLGMNGMNTYMSMSAAAMGGG SGNMSAGSMNMSSYVGAGMSPSLAGMSPGAGAMAGMSGSAGAAGVAGMGPHLSPSLSPLG GQAAGAMGGLAPYANMNSMSPMYGQAGLSRARDPKTYRRSYTHAKPPYSYISLITMAIQQ SPNKMLTLSEIYQWIMDLFPFYRQNQQRWQNSIRHSLSFNDCFLKVPRSPDKPGKGSFWT LHPDSGNMFENGCYLRRQKRFKCEKQLALKEAAGAASSGGKKTAPGSQASQAQLGEAAGS ASETPAGTESPHSSASPCQEHKRGGLSELKGAPASALSPPEPAPSPGQQQQAAAHLLGPP HHPGLPPEAHLKPEHHYAFNHPFSINNLMSSEQQHHHSHHHHQPHKMDLKAYEQVMHYPG GYGSPMPGSLAMGPVTNKAGLDASPLAADTSYYQGVYSRPIMNSS >ENSMUSP00000045918.3 pep:known chromosome:GRCm38:2:148042877:148046969:-1 gene:ENSMUSG00000037025.11 transcript:ENSMUST00000047315.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxa2 description:forkhead box A2 [Source:MGI Symbol;Acc:MGI:1347476] MLGAVKMEGHEPSDWSSYYAEPEGYSSVSNMNAGLGMNGMNTYMSMSAAAMGGGSGNMSA GSMNMSSYVGAGMSPSLAGMSPGAGAMAGMSGSAGAAGVAGMGPHLSPSLSPLGGQAAGA MGGLAPYANMNSMSPMYGQAGLSRARDPKTYRRSYTHAKPPYSYISLITMAIQQSPNKML TLSEIYQWIMDLFPFYRQNQQRWQNSIRHSLSFNDCFLKVPRSPDKPGKGSFWTLHPDSG NMFENGCYLRRQKRFKCEKQLALKEAAGAASSGGKKTAPGSQASQAQLGEAAGSASETPA GTESPHSSASPCQEHKRGGLSELKGAPASALSPPEPAPSPGQQQQAAAHLLGPPHHPGLP PEAHLKPEHHYAFNHPFSINNLMSSEQQHHHSHHHHQPHKMDLKAYEQVMHYPGGYGSPM PGSLAMGPVTNKAGLDASPLAADTSYYQGVYSRPIMNSS >ENSMUSP00000134081.1 pep:known chromosome:GRCm38:2:148043542:148046896:-1 gene:ENSMUSG00000037025.11 transcript:ENSMUST00000172928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxa2 description:forkhead box A2 [Source:MGI Symbol;Acc:MGI:1347476] MLGAVKMEGHEPSDWSSYYAEPENQQRWQNSIRHSLSFNDCFLKVPRSPDKPGKGSFWTL HPDSGNMFENGCYLRRQKRFKCEKQLALKEAAGAASSGGKKTAPGSQASQAQLGEAAGSA SETPAGTESPHSSASPCQEHKRGGLSELKGAPASALSPPEPAPSPGQQQQAAAHLLGPPH HPGLPPEAHLKPEHHYAFNHPFSINNLMSSEQQHHHSHHHHQPHKMDLKAYEQVMHYPGG YGSPMPGSLAMGPVTNKAGLDASPLAADTSYYQGV >ENSMUSP00000114783.1 pep:known chromosome:GRCm38:15:100422147:100427475:1 gene:ENSMUSG00000087444.1 transcript:ENSMUST00000132119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5475 description:predicted gene 5475 [Source:MGI Symbol;Acc:MGI:3646320] MPSSPEPFFWDLPLHLEKKGARSVCVSVQVCSSAGQRPWAVATYLAHSWEQLLPPLAASS PWCRTGLAFQSSAASIYAEEYASPWLERTLKVLLLTIFSWKIGKSFFRVLQNTCGAKEIT AWQERCLQRKPSELTWSRRGSHTKVEGKVEGKVEGKVEGKVEGKVEGKDGFLSSL >ENSMUSP00000114462.1 pep:known chromosome:GRCm38:15:100423193:100428150:1 gene:ENSMUSG00000087444.1 transcript:ENSMUST00000148928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5475 description:predicted gene 5475 [Source:MGI Symbol;Acc:MGI:3646320] MPSSPEPFFWDLPLHLEKKGARSVCVSVQVCSSAGQRPWAVATYLAHSWEQLLPPLAASS PWCRTGLAFQSSAASIYAEEYASPWLERTLKLRDIMKQSIQEP >ENSMUSP00000094238.4 pep:known chromosome:GRCm38:15:7206578:7398351:-1 gene:ENSMUSG00000042961.13 transcript:ENSMUST00000096494.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egflam description:EGF-like, fibronectin type III and laminin G domains [Source:MGI Symbol;Acc:MGI:2146149] MDLISTFSLHFLLLACSLPPGAVSLRTALRKSGKVGPPLDIKLGALNCTAFSIQWKTPKR SGSSIIGYTVFYSEVGSDKSLRERSHNVPVGQDTLITEEVIGDLKPGTEYQVSVAAYSQT GKGRLSFPRHVTTLSQDSCLPPAAPQQPHVLVVSDSEVALSWRPGENEGSAPIQSYSVEF IRPDFDKSWTIIQERLQMDSMVIKGLDPDTNYQFAVKAMNAHGFSPRSWPSNTVRTLGPG EAGSGHYGPGYITNPGVSEDDDGSEDELDLDVSFEEVKPLPATKVGNKKFSVESKKTSVS NSVMGSRLAQPTSASLHETTVAIPPTPAQRKGKNSVAMMSRLFDMSCDETLCSADSFCVN DYAWGGSRCHCNLGKGGEACSEDIFIQYPQFFGHSYVTFEPLKNSYQAFQVTLEFRAEAE DGLLLYCGESEHGRGDFMSLALIRRSLHFRFNCGTGIAIIISETKIKLGAWHTVTLYRDG LNGMLQLNNGTPVTGQSQGQYSKITFRTPLYLGGAPSAYWLVRATGTNRGFQGCVQSLSV NGKKIDMRPWPLGKALNGADVGECSSGICDEASCIHGGTCAAIKADSYICLCPLGFRGRH CEDAFALTIPQFRESLRSYAATPWPLEPQHYLSFTEFEITFRPDSGDGVLLYSYDTGSKD FLSINMAAGHVEFRFDCGSGTGVLRSEAPLTLGQWHDLRVSRTAKNGILQVDKQKVVEGM AEGGFTQIKCNTDIFIGGVPNYDDVKKNSGILHPFSGSIQKIILNDRTIHVKHDFTSGVN VENAAHPCVGAPCAHGGSCRPRKEGYECDCPLGFEGLNCQKECGNHCLNTIIEAIEIPQF IGRSYLTYDNPNILKRVSGSRSNAFMRFKTTAKDGLLLWRGDSPMRPNSDFISLGLRDGA LIFSYNLGSGVASIMVNGSFSDGRWHRVKAVRDGQSGKITVDDYGARTGKSPGLMRQLNI NGALYVGGMKEIALHTNRQYLRGLVGCISHFTLSTDYHISLVEDAVDGKNINTCGAK >ENSMUSP00000055599.3 pep:known chromosome:GRCm38:15:7206120:7398304:-1 gene:ENSMUSG00000042961.13 transcript:ENSMUST00000058593.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egflam description:EGF-like, fibronectin type III and laminin G domains [Source:MGI Symbol;Acc:MGI:2146149] MDLISTFSLHFLLLACSLPPGAVSLRTALRKSGKVGPPLDIKLGALNCTAFSIQWKTPKR SGSSIIGYTVFYSEVGSDKSLRERSHNVPVGQDTLITEEVIGDLKPGTEYQVSVAAYSQT GKGRLSFPRHVTTLSQDSCLPPAAPQQPHVLVVSDSEVALSWRPGENEGSAPIQSYSVEF IRPDFDKSWTIIQERLQMDSMVIKGLDPDTNYQFAVKAMNAHGFSPRSWPSNTVRTLGPG EAGSGHYGPGYITNPGVSEDDDGSEDELDLDVSFEEVKPLPATKVGNKKFSVESKKTSVS NSVMGSRLAQPTSASLHETTVAIPPTPAQRKGKNSVAMMSRLFDMSCDETLCSADSFCVN DYAWGGSRCHCNLGKGGEACSEDIFIQYPQFFGHSYVTFEPLKNSYQAFQVTLEFRAEAE DGLLLYCGESEHGRGDFMSLALIRRSLHFRFNCGTGIAIIISETKIKLGAWHTVTLYRDG LNGMLQLNNGTPVTGQSQGQYSKITFRTPLYLGGAPSAYWLVRATGTNRGFQGCVQSLSV NGKKIDMRPWPLGKALNGADVGECSSGICDEASCIHGGTCAAIKADSYICLCPLGFRGRH CEDAFALTIPQFRESLRSYAATPWPLEPQHYLSFTEFEITFRPDSGDGVLLYSYDTGSKD FLSINMAAGHVEFRFDCGSGTGVLRSEAPLTLGQWHDLRVSRTAKNGILQVDKQKVVEGM AEGGFTQIKCNTDIFIGGVPNYDDVKKNSGILHPFSGSIQKIILNDRTIHVKHDFTSGVN VENAAHPCVGAPCAHGGSCRPRKEGYECDCPLGFEGLNCQKAIIEAIEIPQFIGRSYLTY DNPNILKRVSGSRSNAFMRFKTTAKDGLLLWRGDSPMRPNSDFISLGLRDGALIFSYNLG SGVASIMVNGSFSDGRWHRVKAVRDGQSGKITVDDYGARTGKSPGLMRQLNINGALYVGG MKEIALHTNRQYLRGLVGCISHFTLSTDYHISLVEDAVDGKNINTCGAK >ENSMUSP00000125188.1 pep:known chromosome:GRCm38:15:7206583:7398395:-1 gene:ENSMUSG00000042961.13 transcript:ENSMUST00000160207.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Egflam description:EGF-like, fibronectin type III and laminin G domains [Source:MGI Symbol;Acc:MGI:2146149] MDLISTFSLHFLLLACSLPPGAVSLRTALRKSGGTSS >ENSMUSP00000118015.1 pep:known chromosome:GRCm38:11:78536361:78541737:1 gene:ENSMUSG00000001105.15 transcript:ENSMUST00000128788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift20 description:intraflagellar transport 20 [Source:MGI Symbol;Acc:MGI:1915585] MAKDILGEAGLHFDELNKLRVLDPEVTQQTVELKEECKDFVDKIGQFQKIVGGLIELVDQ LAKEAENEKMKAIGARNLLKSIAKQREAQQQQLQALIAEKKTQLERYRVEYEALCKVEAE QNEFIDQFIFQK >ENSMUSP00000051699.8 pep:known chromosome:GRCm38:11:78536428:78541376:1 gene:ENSMUSG00000001105.15 transcript:ENSMUST00000050366.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift20 description:intraflagellar transport 20 [Source:MGI Symbol;Acc:MGI:1915585] MAKDILGEAGLHFDELNKLRVLDPEVTQQTVELKEECKDFVDKIGQFQKIVGGLIELVDQ LAKEAENEKMKAIGARNLLKSIAKQREAQQQQLQALIAEKKTQLERYRVEY >ENSMUSP00000103910.1 pep:known chromosome:GRCm38:11:78536443:78541489:1 gene:ENSMUSG00000001105.15 transcript:ENSMUST00000108275.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift20 description:intraflagellar transport 20 [Source:MGI Symbol;Acc:MGI:1915585] MAKDILGEAGLHFDELNKLRVLDPEVTQQTVELKEECKDFVDKIGQFQKIVGGLIELVDQ LAKEAENEKMKAIGARNLLKSIAKQREAQQQQLQALIAEKKTQLERYRVEYEALCKVEAE QNEFIDQFIFQK >ENSMUSP00000113007.1 pep:known chromosome:GRCm38:14:61138491:61199666:1 gene:ENSMUSG00000048279.17 transcript:ENSMUST00000119509.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sacs description:sacsin [Source:MGI Symbol;Acc:MGI:1354724] METEETRWVRVTVLRGCVGCRTVAVPATATGRDLKERIFAETSFPVAEQRLWRGDREIPD WIKIGDLTSKTCHLFVNLQSKGLKGGGRFGQTTPPLVDFLKDILRRYPEGGQILKELIQN AEDAGATEVKFLYDETQYGTETLWSKDMAQYQGSALYVYNNAVFTPEDWHGIQEIARSRK KDDPLKVGRFGIGFNSVYHITDVPCIFSGDQIGMLDPHQTLFGPHESGQCWNLKDDIKEI NELPDQFAPFIGVFGSTKETFTNGSFPGTFFRFPLRLQPSQLSSNLYTKQKVLELFDSFR ADADTVLLFLKSVQAVSLHVREADGTEKLVFRVTASENKALKHERPNSIKILGTAISNYC KKIPSNSVTCVTYHINIVLEDESTKDAQKTSWLVCNSVGGRGISSKLDSLADELKFVPII GLAMPLSGKDEENGAISDFSGKAFCFLPLPPGEESRTGLPVHISGFFGLTDNRRSIKWRE LDQWRDPAALWNEYLIVNVVPKTYATLILDSIKRLETEKSSDFPLSVDTIYKLWPEASKV KAHWHPVLGPLFSELFQHAVIYSIGGEWVKLEQVHFSELDGSLESTRSVLNYLQSSGKQI AKVPGNLAAAVQLSAASATSSASPVRKVTPAWVRQVLRKCAHLGSAEEKLHLLEFVLSDQ AYSELLGLELLPLQSGAFVPFSSSVSDQDVVYITSEEFPRSLFPGLEARLILENLKPHLL AALKEAAQTRAQQLLWGYSGRITD >ENSMUSP00000113925.1 pep:known chromosome:GRCm38:14:61172990:61195663:1 gene:ENSMUSG00000048279.17 transcript:ENSMUST00000121091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sacs description:sacsin [Source:MGI Symbol;Acc:MGI:1354724] MGDRWVRVTVLRGCVGCRTVAVPATATGRDLKERIFAETSFPVAEQRLWRGDREIPDWIK IGDLTSKTCHLFVNLQSKGLKGGGRFGQTTPPLVDFLKDILRRYPEGGQILKELIQNAED AGATEVKFLYDETQYGTETLWSKDMAQYQGSALYVYNNAVFTPEDWHGIQEIARSRKKDD PLKVGRFGIGFNSVYHITDVPCIFSGDQIGMLDPHQTLFGPHESGQCWNLKDDIKEINEL PDQFAPFIGVFGSTKETFTNGSFPGTFFRFPLRLQPSQLSSNLYTKQKVLELFDSFRADA DTVLLFLKSVQAVSLHVREADGTEKLVFRVTASENKALKHERPNSIKILGTAISNYCKKI PSNSVTCVTYHINIVLEDESTKDAQKTSWLVCNSVGGRGISSKLDSLADELKFVPIIGLA MPLSGKDEENGAISDFSGKAFCFLPLPPGEESRTGLPVHISGFFGLTDNRRSIKWRELDQ WRDPAALWNEYLIVNVVPKTYATLILDSIKRLETEKSSDFPLSVDTIYKLWPEASKVKAH WHPVLGPLFSELFQHAVIYSIGGEWVKLEQVHFSELDGSLESTRSVLNYLQSSGKQIAKV PGNLAAAVQLSAASATSSASPVRKVTPAWVRQVLRKCAHLGSAEEKLHLLEFVLSDQAYS ELLGLELLPLQSGAFVPFSSSVSDQDVVYITSEEFPRSLFPGLEARLILENLKPHLLAAL KEAAQTRGIITIQSCSYIFGCEPNH >ENSMUSP00000113377.1 pep:known chromosome:GRCm38:14:61148052:61215497:1 gene:ENSMUSG00000048279.17 transcript:ENSMUST00000119943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sacs description:sacsin [Source:MGI Symbol;Acc:MGI:1354724] METEETRWVRVTVLRGCVGCRTVAVPATATGRDLKERIFAETSFPVAEQRLWRGDREIPD WIKIGDLTSKTCHLFVNLQSKGLKGGGRFGQTTPPLVDFLKDILRRYPEGGQILKELIQN AEDAGATEVKFLYDETQYGTETLWSKDMAQYQGSALYVYNNAVFTPEDWHGIQEIARSRK KDDPLKVGRFGIGFNSVYHITDVPCIFSGDQIGMLDPHQTLFGPHESGQCWNLKDDIKEI NELPDQFAPFIGVFGSTKETFTNGSFPGTFFRFPLRLQPSQLSSNLYTKQKVLELFDSFR ADADTVLLFLKSVQAVSLHVREADGTEKLVFRVTASENKALKHERPNSIKILGTAISNYC KKIPSNSVTCVTYHINIVLEDESTKDAQKTSWLVCNSVGGRGISSKLDSLADELKFVPII GLAMPLSGKDEENGAISDFSGKAFCFLPLPPGEESRTGLPVHISGFFGLTDNRRSIKWRE LDQWRDPAALWNEYLIVNVVPKTYATLILDSIKRLETEKSSDFPLSVDTIYKLWPEASKV KAHWHPVLGPLFSELFQHAVIYSIGGEWVKLEQVHFSELDGSLESTRSVLNYLQSSGKQI AKVPGNLAAAVQLSAASATSSASPVRKVTPAWVRQVLRKCAHLGSAEEKLHLLEFVLSDQ AYSELLGLELLPLQSGAFVPFSSSVSDQDVVYITSEEFPRSLFPGLEARLILENLKPHLL AALKEAAQTRGRPCTQLQLLNPERFARLIKEVMNTFWPGRELVVQWYPFSEDKRHPSLSW LKMVWKNLYIHFSEDLTLFDEMPLIPRTLLNEDQTCVELIRLRIPSVVILDDETEAQLPE FLADIVQKLGGIVLKRLDTSIQHPLVKKYIHSPLPSAILQIMEKIPLQKLCNQIASLLPT HKDALRKFLASLTDTSEKEKRIIQELTIFKRINHSSDQGISSYTKLKGCKVLDHTAKLPT DLRLSVSVIDSSDEATIRLANMLKIEKLKTTSCLKFVLKDIGNAFYTQEEVTQLMLWILE NLSSLKNENSNVLDWLMPLKFIHMSQGHVVAAGDLFDPDIEVLRDLFYNEEEACFPPTIF TSPDILHSLRQIGLKNESSLKEKDVVQVARKIEALQVSSCQNQDVLMKKAKTLLLVLNKN QTLLQSSEGKMALKKIKWVPACKERPPNYPGSLVWKGDLCNLCAPPDMCDAAHAVLVGSS LPLVESVHVNLEQALSIFTKPTINAVLKHFKTVVDWYTSKTFSDEDYYQFQHILLEIYGF MHDHLSEGKDSFKALKFPWVWTGKNFCPLAQAVIKPTHDLDLQPYLYNVPKTMAKFHQLF KACGSIEELTSDHISMVIQKVYLKSDQELSEEESKQNLHLMLNIMRWLYSNQIPASPNTP VPIYHSRNPSKLVMKPIHECCYCDIKVDDLNDLLEDSVEPIILVHEDIPMKTAEWLKVPC LSTRLINPENMGFEQSGQREPLTVRIKNILEEYPSVSDIFKELLQNADDANATECSFMID MRRNMDIRENLLDPGMAACHGPALWSFNNSEFSDSDFLNITRLGESLKRGEVDKVGKFGL GFNSVYHITDIPIIMSREFMIMFDPNINHISKHIKDRSNPGIKINWSKQQKRLRKFPNQF KPFIDVFGCQLPLAVEAPYSYNGTLFRLSFRTQQEAKVSEVSSTCYNTADIYSLVDEFSL CGHRLIIFTQSVNSMYLKYLKIEETNPSLAQDTIIIKKKVCPSKALNAPVLSVLKEAAKL MKTCSSSNKKLPTDVPKSSCILQITVEEFHHVFRRIADLQSPLFRGPDDDPATLFEMAKS GQSKKPSDELPQKTVDCTTWLICTCMDTGEALKFSLNESGRRLGLVPCGAVGVLLHETQE QKWTVKPHIGEVFCYLPLRIKTGLPIHINGCFAVTSNRKEIWKTDTKGRWNTTFMRHVIV KAYLQALSVLRDLAIGGELTDYTYYAVWPDPDLVHDDFSVICKGFYEDIAHGKGKELTRV FSDGSMWVSMKNVRFLDDSILQRKDVGSAAFKIFLKYLKKTGSKNLCAVELPSSVKAGFE EAGCKQILLENTFSEKQFFSEVFFPNIQEIEAELRDPLMNFVLNEKLDEFSGILRVTPCV PCSLEGHPLVLPSRLIHPEGRVAKLFDTKDGRFPYGSTQDYLNPIILIKLVQLGMAKDDI LWDDMLERAESVAEINKSDHAAACLRSSILLSLIDEKLKIKDPRAKDFAAKYQTIPFLPF LTKPAGFSLEWKGNSFKPETMFAATDIYTAEYQDIVCLLQPILNENSHSFRGCGSVSLAV KEFLGLLKKPTVDLVINQLKQVAKSVDDGITLYQENITNACYKYLHEAVLQNEMAKATII EKLKPFCFILVENVYVESEKVSFHLNFEAAPYLYQLPNKYKNNFRELFESVGVRQSFTVE DFALVLESIDQERGKKQITEENFQLCRRIISEGIWSLIREKRQEFCEKNYGKILLPDTNL LLLPAKSLCYNDCPWIKVKDSTVKYCHADIPREVAVKLGAIPKRHKALERYASNICFTAL GTEFGQKEKLTSRIKSILNAYPSEKEMLKELLQNADDAKATEICFVFDPRQHPVDRIFDD KWAPLQGPALCVYNNQPFTEDDVRGIQNLGKGTKEGNPCKTGQYGIGFNSVYHITDCPSF ISGNDILCIFDPHARYAPGATSVSPGRMFRDLDADFRTQFSDVLDLYLGNHFKLDNCTMF RFPLRNAEMAQVSEISSVPSSDRMVQNLLDKLRSDGAELLMFLNHMEKISICEIDKATGG LNVLYSVKGKITDGDRLKRKQFHASVIDSVTKKRQLKDIPVQQITYTMDTEDSEGNLTTW LICNRSGFSSMEKVSKSVISAHKNQDITLFPRGGVAACITHNYKKPHRAFCFLPLSLETG LPFHVNGHFALDSARRNLWRDDNGVGVRSDWNNSLMTALIAPAYVELLIQLKKRYFPGSD PTLSVLQNTPIHVVKDTLKKFLSFFPVNRLDLQPDLYCLVKALYSCIHEDMKRLLPVVRA PNIDGSDLHSAVIITWINMSTSNKTRPFFDNLLQDELQHLKNADYNITTRKTVAENVYRL KHLLLEIGFNLVYNCDETANLYHCLVDADIPVSYVTPADVRSFLMTFSSPDTNCHIGKLP CRLQQTNLKLFHSLKLLVDYCFKDAEESEFEVEGLPLLITLDSVLQIFDGKRPKFLTTYH ELIPSRKDLFMNTLYLKYSSVLLNCKVAKVFDISSFADLLSSVLPREYKTKNCAKWKDNF ASESWLKNAWHFISESVSVTDDQEEPKPAFDVIVDILKDWALLPGTKFTVSTSQLVVPEG DVLIPLSLMHIAVFPNAQSDKVFHALMKAGCIQLALNKICSKDSALVPLLSCHTANIDSP ASILKAVHYMVQTSTFRTEKLMENDFEALLMYFNCNLSHLMSQDDIKILKSLPCYKSISG RYMSIAKFGTCYVLTKSIPSAEVEKWTQSSSSAFLEEKVHLKELYEVLGCVPVDDLEVYL KHLLPKIENLSYDAKLEHLIYLKNRLASIEEPSEIKEQLFEKLESLLIIHDANNRLKQAK HFYDRTVRVFEVMLPEKLFIPKEFFKKLEQVIKPKNQAAFMTSWVEFLRNIGLKYALSQQ QLLQFAKEISVRANTENWSKETLQSTVDILLHHIFQERMDLLSGNFLKELSLIPFLCPER APAEYIRFHPQYQEVNGTLPLIKFNGAQVNPKFKQCDVLQLLWTSCPILPEKATPLSIKE QEGSDLAPQEQLEQVLNMLNVNLDPPLDKVINNCRNICNITTLDEEMVKTRAKVLRSIYE FLSAEKREFRFQLRGVAFVMVEDGWKLLKPEEVVINLEYEADFKPYLYKLPLELGTFHQL FKHLGTEDIISTKQYVEVLSRIFKSSEGKQLDPNEMRTVKRVVSGLFKSLQNDSVKVRSD LENARDLALYLPSQDGKLVKSSILVFDDAPHYKSRIQGNIGVQMLVDLSQCYLGKDHGFH TKLIMLFPQKLRPRLLSSILEEQLDEETPKVCQFGALCSLQGRLQLLLSSEQFITGLIRI MKHENDNAFLANEEKAIRLCKALREGLKVSCFEKLQTTLRVKGFNPIPHSRSETFAFLKR FGNAVILLYIQHSDSKDINFLLALAMTLKSATDNLISDTSYLIAMLGCNDIYRISEKLDS LGVKYDSSEPSKLELPMPGTPIPAEIHYTLLMDPMNVFYPGEYVGYLVDAEGGDIYGSYQ PTYTYAIIVQEVEREDADNTSFLGKIYQIDIGYSEYKIVSSLDLYKFSRPDESSQNRDSA PTTPTSPTEFLTPGLRSIPPLFSGKESHKSPSTKHHSPRKLKVNALPEILKEVTSVVEQA WKLPESERKKIIRRLYLKWHPDKNPENHDIANEVFKHLQNEINRLEKQAFLDQNADRASR RTFSTSASRFQSDKYSFQRFYTSWNQEATSHKSERQQQSKEKCPPSAGQTYSQRFFVPPT FKSVGNPVEARRWLRQARANFSAARNDLHKNANEWVCFKCYLSTKLALIAADYAVRGKSD KDVKPTALAQKIEEYSQQLEGLTNDVHTLEAYGVDSLKTRYPDLLPFPQIPNDRFTSEVA MRVMECTACIIIKLENFIQQKV >ENSMUSP00000086816.3 pep:known chromosome:GRCm38:14:61138457:61240695:1 gene:ENSMUSG00000048279.17 transcript:ENSMUST00000089394.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sacs description:sacsin [Source:MGI Symbol;Acc:MGI:1354724] METEETRWVRVTVLRGCVGCRTVAVPATATGRDLKERIFAETSFPVAEQRLWRGDREIPD WIKIGDLTSKTCHLFVNLQSKGLKGGGRFGQTTPPLVDFLKDILRRYPEGGQILKELIQN AEDAGATEVKFLYDETQYGTETLWSKDMAQYQGSALYVYNNAVFTPEDWHGIQEIARSRK KDDPLKVGRFGIGFNSVYHITDVPCIFSGDQIGMLDPHQTLFGPHESGQCWNLKDDIKEI NELPDQFAPFIGVFGSTKETFTNGSFPGTFFRFPLRLQPSQLSSNLYTKQKVLELFDSFR ADADTVLLFLKSVQAVSLHVREADGTEKLVFRVTASENKALKHERPNSIKILGTAISNYC KKIPSNSVTCVTYHINIVLEDESTKDAQKTSWLVCNSVGGRGISSKLDSLADELKFVPII GLAMPLSGKDEENGAISDFSGKAFCFLPLPPGEESRTGLPVHISGFFGLTDNRRSIKWRE LDQWRDPAALWNEYLIVNVVPKTYATLILDSIKRLETEKSSDFPLSVDTIYKLWPEASKV KAHWHPVLGPLFSELFQHAVIYSIGGEWVKLEQVHFSELDGSLESTRSVLNYLQSSGKQI AKVPGNLAAAVQLSAASATSSASPVRKVTPAWVRQVLRKCAHLGSAEEKLHLLEFVLSDQ AYSELLGLELLPLQSGAFVPFSSSVSDQDVVYITSEEFPRSLFPGLEARLILENLKPHLL AALKEAAQTRGYVKHDLLTTLERISLAYSGNKNSDSPSRRSPSAVTCK >ENSMUSP00000071419.2 pep:known chromosome:GRCm38:19:12900749:12901861:-1 gene:ENSMUSG00000060303.3 transcript:ENSMUST00000071484.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1447 description:olfactory receptor 1447 [Source:MGI Symbol;Acc:MGI:3031281] MTIMKNRTEVTEFILLGLTNDPGLQLPLFITFLLIYTITVVGNLGMILLIVLDSRLHTPM YIFLGNLSLVDFCYSTAVTPTVMNGLLIGNKVISYNDCAAQMFFFGAFATVENYLLASMA YDRYAAVCKPLHYATIMTKSMYTWLITGSYVISFTNSSIHIADIFTLSFCKSNVIHHFFC DVPAIMALTCFDNQVREHVLLYIESLNVFFALIVICTSYMLIFVTILKMHSASGHHKAIS TCASHFTAVSIFYGTVIFMYLQPSSNHSMDTDKVTSVFYTMVIPMLNPLVYSLRNKEVKN AFIKLILHY >ENSMUSP00000147020.1 pep:known chromosome:GRCm38:19:12901450:12903730:-1 gene:ENSMUSG00000060303.3 transcript:ENSMUST00000208343.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1447 description:olfactory receptor 1447 [Source:MGI Symbol;Acc:MGI:3031281] MTIMKNRTEVTEFILLGLTNDPGLQLPLFITFLLIYTITVVGNLGMILLIVLDSRLHTPM YIFLGNLSLVDFCYSTAVTPTVMNGLLIGNKVISYNDCAAQMFFFGAFAT >ENSMUSP00000124182.1 pep:known chromosome:GRCm38:15:71922900:71954399:-1 gene:ENSMUSG00000079022.9 transcript:ENSMUST00000159410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col22a1 description:collagen, type XXII, alpha 1 [Source:MGI Symbol;Acc:MGI:1916950] MRGEPGELGEPGLPGEVGMRGPQGPPGLPGPAGPVGAPGLRGERGERGPPGEKGERGLDG FPGKPGETGEQGRPGPPGVAGLQGEKGDVGPAGPPGVPGSVVQREGLKGEQGAPGPRGHQ GLPGPPGAPGLIGPEGRDGPPGPPGLRGKKGEMGPPGTPGALG >ENSMUSP00000125069.1 pep:known chromosome:GRCm38:15:71795795:72034227:-1 gene:ENSMUSG00000079022.9 transcript:ENSMUST00000159993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col22a1 description:collagen, type XXII, alpha 1 [Source:MGI Symbol;Acc:MGI:1916950] MPVFTSRRAMAVPRGNPLACLLWILLLWGGDGGCQAQRAGCKSVQYDLVFLLDTSSSVGK EDFEKVRQWVANLVDTFEVGPGHTRVGVVRYSDRPTTAFELGHFNSREEVKAAARRITYH GGNTNTGDALRYITSRSFSAQAGGRPGNRAFKQVAILLTDGRSQDLVLDAAAAAHAAGIR IFAVGVGAALKEELDEIASEPKSAHVFHVSDFNAIDKIRGKLRRRLCENVLCPSVRVEGD RFKHTNGGTKEITGFDLMDLFSVKEILGKRENGAQSSYVRMGSFPVVQRTEDVFPQGLPD EYAFVTTFRFRKTSRKEDWYIWQVIDQYGIPQVSIRLDGENKAVEYNAVGAMKDAVRVVF RGPRVDDLFDRDWHKMALSIQAQNVSLYIDCLLVQTLPIEERENIDIQGKTVIGKRLYDS VPIDFDLQRIVIYCDSRHAELETCCDIPLGPCQVTVVTEPPPAPPQLPTPGSEQIGFLKT INCSCPPGEKGERGFAGPLGLPGQKGDAGPIGLMGAPGPKGEKGDSGRGPFIHGEKGEKG SLGPPGPPGRDGSKGMRGEPGELGEPGLPGEVGMRGPQGPPGLPGPAGPVGAPGLRGERG ERGPPGEKGERGLDGFPGKPGETGEQGRPGPPGVAGLQGEKGDVGPAGPPGVPGSVVQRE GLKGEQGAPGPRGHQGLPGPPGAPGLIGPEGRDGPPGPPGLRGKKGEMGPPGTPGALGPQ GPPGPPGVPGPPGPGGPPGLPGELGFPGKPGPAGHAGTPGKDGLNGPPGLPGSKGEPGDS GESGVPGMPGPRGEVGERGLAGHPGEKGEVGLPGAPGFPGVHGEKGDQGEKGELGLPGLK GARGEKGEVGPAGPPGLPGSPSVFTPHPRMPGEQGPKGEKGDPGEPGALGPQGHPGELGP RGPIGPPGAKGHDGAQGPPGAAGNPGAPGPAGPPGLSGPPGSLGSPGVRGAPGKDGERGE KGTAGEEGSPGPAGPRGDPGAPGLPGPPGKGKDGEPGLRGPPGLPGPLGIKGDRGTPGIP GSPGSRGDPGIGVAGPPGPSGRPGDKGPPGSRGLPGFPGPQGPAGQDGAPGNPGERGPPG KPGPSSLLSPEDINLLVKDVCNDCPPGPPGLPGLPGFKGDKGLPGKQGREGTEGKKGDTG PPGPPGPPGVAGPQGSQGERGAEGEVGQKGEQGHPGVPGFMGPPGNPGPPGADGNAGVAG PPGPQGPQGKEGPPGPQGPSGIPGVPGEEGKQGRDGKPGPPGEPGKTGEPGLSGAEGARG PPGFKGHTGDPGPPGLRGEPGIAGPSGRDGSPGKDGDTGPAGPQGPRGTRGPPGSSGSPG SPGDPGRPGALGQKGNKGESGSPGLPGFQGPRGPPGEAGEVGAPGKEGAPGKPGEPGSKG ERGDPGIKGDKGPPGGKGQPGDPGTPGHKGHTGLMGPQGQPGESGPPGPPGPPGQPGFPG LRGESPSMDTLRRLIQEELGKQLEAKLAYLLAQMPPAHMKSSQGRPGPPGPPGKDGLPGR TGPMGEPGRPGQGGLEGPSGPMGPKGERGAKGDPGTPGVGLRGEMGPPGIPGQPGEPGYA KDGLPGSPGPQGETGLAGHPGPPGPPGPPGLCDPSQCAYFASLAARPSNVKGP >ENSMUSP00000124270.1 pep:known chromosome:GRCm38:15:71868881:71897616:-1 gene:ENSMUSG00000079022.9 transcript:ENSMUST00000160513.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col22a1 description:collagen, type XXII, alpha 1 [Source:MGI Symbol;Acc:MGI:1916950] GPQGHPGELGPRGPIGPPGAKGHDGAQGPPGAAGNPGAPGPAGPPGLSGPPGSLGSPGVR GAPGKDGERGEKGTAGEEGSPGPAGPRGDPGAPGLPGPPGKGKDGEPGLRGPPGLPGPLG IKGDRGTPGIPGSPGSRGDPGIGVAGPPGPSGRPGDKGPPGSRGLPGFPGPQGPAGQDGA PGNPGERGPPGKPVCHLPGLDEC >ENSMUSP00000132429.1 pep:known chromosome:GRCm38:5:11183072:11187810:1 gene:ENSMUSG00000095918.7 transcript:ENSMUST00000171093.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5861 description:predicted gene 5861 [Source:MGI Symbol;Acc:MGI:3644254] RERRKDAGLPSESNEGRRRWTWRIWRARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQL RNEQRDHLIDFKESSNYNRTKPTQKKNPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQE LNKWIDYFTNLHSQLLMEKNLKMSITQNQKNKEVEIDWALIEKYLVDVNLNGRTGADQQP >ENSMUSP00000127608.2 pep:known chromosome:GRCm38:5:11184207:11187808:1 gene:ENSMUSG00000095918.7 transcript:ENSMUST00000168407.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5861 description:predicted gene 5861 [Source:MGI Symbol;Acc:MGI:3644254] ARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPTQKK NPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMSIT QNQKNKEVEIDWALIEKYLVDVNLNGRTGADQQP >ENSMUSP00000090790.2 pep:known chromosome:GRCm38:8:119575235:119598454:1 gene:ENSMUSG00000031831.6 transcript:ENSMUST00000093100.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaaf1 description:dynein, axonemal assembly factor 1 [Source:MGI Symbol;Acc:MGI:1915520] MHPEVSEPPVDSVAEPSLEESAGDHGDAGPGIRKEEISETKETCAGPCTTSCPSQQQPSG DNGSEGFCTHSRDDREDRGPRMTKQFLQKLCKQHKLYVTPALNDTLYLHFKGFDRIENLE EYTGLRCLWLECNGIQRIENLQAQSELRCLFLQVNLLHKIENLEPLQKLDALNLSNNYIK TIENLSCLPVLNTLQMAHNRLETVADIEHLRECLRLCVLDLSHNALSDPEILSVLESMPC LRVLNLMGNPVTKHIPNYRRTVTVRLKHLTYLDDRPVFPKDRACAEAWARGGYAAEKEER RQWESREHKKITDSLEALAMIKRRAEERKKARDRGETPLPDSEGSIPTSPEAEEKQPMGE IQKKMELFVEESFEAKDELFPETPGGEKELHVVVVNGAVENPDLSGSLAHNQTPVVVTPE ESTSPVAATDGARTEDIEAVAVEIKERLFIDDLPDLEDAEGTDVSVEDQIKETDIPRIQA ISSLSDDSDPELDELSLSTSEATPTGATGALSHIFAISKGPSTAATVPFTDICKPIATTD LESQGQDCGAAASRPLIQELNDEPAEEAANQPLPPQTCASDPALAHPSEDGDSDSQLPAA TLLGDGAEDEAESSVHPKEPSTRVGLEDIEFGLD >ENSMUSP00000031633.4 pep:known chromosome:GRCm38:5:145436309:145469723:-1 gene:ENSMUSG00000038656.5 transcript:ENSMUST00000031633.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp3a16 description:cytochrome P450, family 3, subfamily a, polypeptide 16 [Source:MGI Symbol;Acc:MGI:106099] MNLFSALSLDTLVLLAIILVLLYRYGTCTHGLFKKQGIPGPKPLPFLGTVLNYYKGLWKF DMECYEKYGKTWGLFDGQIPLFVITDPETIKNVLVKECFSVFTNRQDFFPVGIMSKSISL AKDEEWKRYRALLSPTFTSGNLKEMFPVIEQYGDILVKYLRQEAEKGKPVAVKDVLGAYS MDVIISTTFGVNIDSLNNPEDPFVENAKKVLRFDYFDPLSLSVALFPFLTPIYEMLNICM FPKDSIEFFKKFVDRMTENRLDSKQKHRVDFIYLMMEAYNKSKDKDSHKALSEIEITAQS IIFIFAGYETTSSILSFTVYSLATHPDIQKKLQEEIDEALPNKAPPTYDTVMAMEYLDMV LNETLRLYPITNRLQRVCKKDVEINGIYIPKGSTVIIPSYVLHHDPQHWPEPEEFQPERF SKENKGSIDPYVYLPFGNGPRNCIGMRFALMNMKLALIKVLQNFSFQPCKETQIPLKLSR ELLLQPVKPIVLKVVPRDAVITGA >ENSMUSP00000004505.2 pep:known chromosome:GRCm38:11:6211013:6230143:-1 gene:ENSMUSG00000020447.5 transcript:ENSMUST00000004505.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npc1l1 description:NPC1-like 1 [Source:MGI Symbol;Acc:MGI:2685089] MAAAWQGWLLWALLLNSAQGELYTPTHKAGFCTFYEECGKNPELSGGLTSLSNISCLSNT PARHVTGDHLALLQRVCPRLYNGPNDTYACCSTKQLVSLDSSLSITKALLTRCPACSENF VSIHCHNTCSPDQSLFINVTRVVQRDPGQLPAVVAYEAFYQRSFAEKAYESCSRVRIPAA ASLAVGSMCGVYGSALCNAQRWLNFQGDTGNGLAPLDITFHLLEPGQALADGMKPLDGKI TPCNESQGEDSAACSCQDCAASCPVIPPPPALRPSFYMGRMPGWLALIIIFTAVFVLLSV VLVYLRVASNRNKNKTAGSQEAPNLPRKRRFSPHTVLGRFFESWGTRVASWPLTVLALSF IVVIALSVGLTFIELTTDPVELWSAPKSQARKEKAFHDEHFGPFFRTNQIFVTAKNRSSY KYDSLLLGPKNFSGILSLDLLQELLELQERLRHLQVWSHEAQRNISLQDICYAPLKPHNT SLTDCCVNSLLQYFQNNHTLLLLTANQTLNGQTSLVDWKDHFLYCANAPLTYKDGTALAL SCIADYGAPVFPFLAVGGYQGTDYSEAEALIITFSINNYPADDPRMAHAKLWEEAFLKEM QSFQRSTADKFQIAFSAERSLEDEINRTTIQDLPVFAISYLIVFLYISLALGSYSRWSRV AVDSKATLGLGGVAVVLGAVVAAMGFYSYLGVPSSLVIIQVVPFLVLAVGADNIFIFVLE YQRLPRMPGEQREAHIGRTLGSVAPSMLLCSLSEAICFFLGALTSMPAVRTFALTSGLAI IFDFLLQMTAFVALLSLDSKRQEASRPDVVCCFSSRNLPPPKQKEGLLLCFFRKIYTPFL LHRFIRPVVLLLFLVLFGANLYLMCNISVGLDQDLALPKDSYLIDYFLFLNRYLEVGPPV YFDTTSGYNFSTEAGMNAICSSAGCESFSLTQKIQYASEFPNQSYVAIAASSWVDDFIDW LTPSSSCCRIYTRGPHKDEFCPSTDTSFNCLKNCMNRTLGPVRPTTEQFHKYLPWFLNDT PNIRCPKGGLAAYRTSVNLSSDGQIIASQFMAYHKPLRNSQDFTEALRASRLLAANITAE LRKVPGTDPNFEVFPYTISNVFYQQYLTVLPEGIFTLALCFVPTFVVCYLLLGLDIRSGI LNLLSIIMILVDTIGLMAVWGISYNAVSLINLVTAVGMSVEFVSHITRSFAVSTKPTRLE RAKDATIFMGSAVFAGVAMTNFPGILILGFAQAQLIQIFFFRLNLLITLLGLLHGLVFLP VVLSYLGPDVNQALVLEEKLATEAAMVSEPSCPQYPFPADANTSDYVNYGFNPEFIPEIN AASSSLPKSDQKF >ENSMUSP00000045770.5 pep:known chromosome:GRCm38:4:66827584:66842800:1 gene:ENSMUSG00000039005.13 transcript:ENSMUST00000048096.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr4 description:toll-like receptor 4 [Source:MGI Symbol;Acc:MGI:96824] MMPPWLLARTLIMALFFSCLTPGSLNPCIEVVPNITYQCMDQKLSKVPDDIPSSTKNIDL SFNPLKILKSYSFSNFSELQWLDLSRCEIETIEDKAWHGLHHLSNLILTGNPIQSFSPGS FSGLTSLENLVAVETKLASLESFPIGQLITLKKLNVAHNFIHSCKLPAYFSNLTNLVHVD LSYNYIQTITVNDLQFLRENPQVNLSLDMSLNPIDFIQDQAFQGIKLHELTLRGNFNSSN IMKTCLQNLAGLHVHRLILGEFKDERNLEIFEPSIMEGLCDVTIDEFRLTYTNDFSDDIV KFHCLANVSAMSLAGVSIKYLEDVPKHFKWQSLSIIRCQLKQFPTLDLPFLKSLTLTMNK GSISFKKVALPSLSYLDLSRNALSFSGCCSYSDLGTNSLRHLDLSFNGAIIMSANFMGLE ELQHLDFQHSTLKRVTEFSAFLSLEKLLYLDISYTNTKIDFDGIFLGLTSLNTLKMAGNS FKDNTLSNVFANTTNLTFLDLSKCQLEQISWGVFDTLHRLQLLNMSHNNLLFLDSSHYNQ LYSLSTLDCSFNRIETSKGILQHFPKSLAFFNLTNNSVACICEHQKFLQWVKEQKQFLVN VEQMTCATPVEMNTSLVLDFNNSTCYMYKTIISVSVVSVIVVSTVAFLIYHFYFHLILIA GCKKYSRGESIYDAFVIYSSQNEDWVRNELVKNLEEGVPRFHLCLHYRDFIPGVAIAANI IQEGFHKSRKVIVVVSRHFIQSRWCIFEYEIAQTWQFLSSRSGIIFIVLEKVEKSLLRQQ VELYRLLSRNTYLEWEDNPLGRHIFWRRLKNALLDGKASNPEQTAEEEQETATWT >ENSMUSP00000102988.2 pep:known chromosome:GRCm38:4:66827613:66930284:1 gene:ENSMUSG00000039005.13 transcript:ENSMUST00000107365.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr4 description:toll-like receptor 4 [Source:MGI Symbol;Acc:MGI:96824] MMPPWLLARTLIMALFFSCLTPGSLNPCIEVVPNITYQCMDQKLSKVPDDIPSSTKNIDL SFNPLKILKSYSFSNFSELQWLDLSREMNTESKSSEAHALALSHILSPCQPSRRKLRVKL GSLSYQRAEEGVRSSEIGYSCLHVDTRHDINAVD >ENSMUSP00000055664.2 pep:known chromosome:GRCm38:19:12919363:12920307:-1 gene:ENSMUSG00000048456.2 transcript:ENSMUST00000054737.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1448 description:olfactory receptor 1448 [Source:MGI Symbol;Acc:MGI:3031282] MENSTEVTEFILAGLTDDPKLQIPLFIVFLLIYLSTVLGNLGMVGLILLDSHLHTPMYLF LSHLSLVDFGYSSAVTPKVMSGLLSIDKTISHNTCGTQFFFFVGFITTESFLLAAMAYDR YAAVCKPLHYTTTMTTNTCACLTIGSYVCGFLNSSIHTGNIFRLSFCKFNVIDHFFCDAP PLLALSCSDTYISETVIFFVVGFNALFSIVVITISYLLIFITILRMRSSEGRHKAFSTCA SHLTAVSIFYGTVIFMYLQPSSSHTMGTDKMASVFYTMVIPMLNPLVYSLRNKEVKGAFK KAVGNAKSALTFLF >ENSMUSP00000030279.8 pep:known chromosome:GRCm38:4:99193934:99259787:1 gene:ENSMUSG00000028550.15 transcript:ENSMUST00000030279.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg4c description:autophagy related 4C, cysteine peptidase [Source:MGI Symbol;Acc:MGI:2651854] MEASGTDEVDKLKTKFISAWNNMKYSWVLKTKTYFSRNSPVLLLGKCYHFKYEDESKMLP ARSGCAIEDHVIAGNVEEFRKDFISRIWLTYREEFPQIEASALTTDCGWGCTLRTGQMLL AQGLILHFLGRAWTWPDALHIENADSDSWTSNTVKKFTASFEASLSGDRELRTPAVSLKE TSGKCPDDHAVRNEAYHRKIISWFGDSPVAVFGLHRLIEFGKKSGKKAGDWYGPAVVAHI LRKAVEEARHPDLQGLTIYVAQDCTVYNSDVIDKQTDSVTAGDARDKAVIILVPVRLGGE RTNTDYLEFVKGVLSLEYCVGIIGGKPKQSYYFAGFQDDSLIYMDPHYCQSFVDVSIKDF PLETFHCPSPKKMSFRKMDPSCTIGFYCRNVQDFERASEEITKMLKISSKEKYPLFTFVN GHSKDFDFTSTAASEEDLFSEDERKNFKRFSTEEFVLL >ENSMUSP00000137035.1 pep:known chromosome:GRCm38:4:99194147:99259783:1 gene:ENSMUSG00000028550.15 transcript:ENSMUST00000180278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg4c description:autophagy related 4C, cysteine peptidase [Source:MGI Symbol;Acc:MGI:2651854] MEASGTDEVDKLKTKFISAWNNMKYSWVLKTKTYFSRNSPVLLLGKCYHFKYEDESKMLP ARSGCAIEDHVIAGNVEEFRKDFISRIWLTYREEFPQIEASALTTDCGWGCTLRTGQMLL AQGLILHFLGRAWTWPDALHIENADSDSWTSNTVKKFTASFEASLSGDRELRTPAVSLKE TSGKCPDDHAVRNEAYHRKIISWFGDSPVAVFGLHRLIEFGKKSGKKAGDWYGPAVVAHI LRKAVEEARHPDLQGLTIYVAQDCTVYNSDVIDKQTDSVTAGDARDKAVIILVPVRLGGE RTNTDYLEFVKGVLSLEYCVGIIGGKPKQSYYFAGFQDDSLIYMDPHYCQSFVDVSIKDF PLETFHCPSPKKMSFRKMDPSCTIGFYCRNVQDFERASEEITKMLKISSKEKYPLFTFVN GHSKDFDFTSTAASEEDLFSEDERKNFKRFSTEEFVLL >ENSMUSP00000142963.1 pep:known chromosome:GRCm38:5:108132875:108161493:1 gene:ENSMUSG00000056531.11 transcript:ENSMUST00000197718.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc18 description:coiled-coil domain containing 18 [Source:MGI Symbol;Acc:MGI:1922974] MEFISSDYCTKDNDNEEESLLANVASLRHELKITEWSLHNLGEELSSVSPSENSEYVCSP SRSERLILEELTQPSHLGRLIYPPYKKVCKTSDSTDYQKKSRDQVSFSSVSMDQEVKNLR EKLHKLRQQNACLVTQNHSLMTKIESVHFELTQSKAKIAMLESAQEQAANIPILEEQIIN LEAEVSAQDKVLR >ENSMUSP00000036507.7 pep:known chromosome:GRCm38:5:108132914:108233628:1 gene:ENSMUSG00000056531.11 transcript:ENSMUST00000047677.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc18 description:coiled-coil domain containing 18 [Source:MGI Symbol;Acc:MGI:1922974] MEFISSDYCTKDNDNEEESLLANVASLRHELKITEWSLHNLGEELSSVSPSENSEYVCSP SRSERLILEELTQPSHLGRLIYPPYKKVCKTSDSTDYQKKSRDQVSFSSVSMDQEVKNLR EKLHKLRQQNACLVTQNHSLMTKIESVHFELTQSKAKIAMLESAQEQAANIPILEEQIIN LEAEVSAQDKVLREAEDKLEQSQKMVIEKEHSLQEAKEECIKLKVDLLEQSKQGKRAERQ RNEALYNAEELSKAFQLYKEKVAEKLEKVQDEEEILERNLSNCEKENKRLQEKCNLYKSE LEILKEKFRELKEEHYSGKEKLMIMAMKNSEVMSQLTESRQCILKLERELEDKDEIVREK FSLLNENRELKVRVATQNERLELCQQDIDNSRVELKSLEKLITQIPLKREIVGFRSSLCK HQRSSVSDKEDKCIGCCEANKLMISELRIKLAIREAEIQKLHANLTVNQLSQNVANDSQE CGKVNTLETEPVKLGGSQVESIKDGDQQTVNKQYEREKQRLATGIEELRAKLTQIEAENS DLKVNMAHRTSQFQLIQEELLEKASNASKLENEMTKKCSQLLILEKQLEEKIIAYSSIAA KNAELEQELMEKNEKIRSLESNINTEHEKICFAFEKAKKIHLEQHKEMEKQIEQLETQLE KRDQQFKEQEKTMSILQQDILCKQHHLESLDRLLTESKVEMEKENMKKDEALKALQIHVS EETIKVRQLDSALEICKEELALHLNQLERNKEKFERQLKKKSEEVYCLQKELKIKTHNLE ETSEQNAILQHTLQQQQQMLQQETMRNGELEDTQSKLEKQVSKQEQELQKQRESSTEKLR KMEEKYETAIREVDLKRQKIIELTGTARQAKLEMDQYKEELSKMEKEIIHLKRDGENKSM QLSQLDMVLDQTKTELEKTTNSVKELERLQHHTETELTETMQKREALENELQNAHGELKS TLRQLQELRDVLQKAQLSLEEKYTTIKDLTAELRECKMEIEDKKQELIEMDQALKERNWE LKQRAAQVTHLDMTIREHRGEMEQKIIKLEGTLEKSELELKECNKQVESLNEKLQNAKEQ LREKEFIMLQNEQEISQLKKEIERTQQRMKEMESVIKEQEDYIATQYKEVIDLGQELRLT QEQMQNTHSELVEARRQEVQAQREIERLAGELEDIKQLSKEKEAHGNRLAEELGASQVRE AHLEARMQAEIKKLSSEVDSLKEAYQIEMISHQENHAKWKLSAESQKTSVQQLNEQLEKA KQELEEAQDTVSNLHQQVQDRNEVIEAANEALLIKESELTRLQAKISGHEKTEDTKYLPA PFTTLTEIIPDSQHPNFAKHSQISLFKCRKLRRSISASDLSFKSHGNDDLSEELLQDLKK MQFEQTSAIESGHKDLPLTHSESFKPLPYNLEDDSSESNDFSTLSGMLRYINKEVRLLKK SSLQTATGLSQGGKL >ENSMUSP00000096445.3 pep:known chromosome:GRCm38:7:5080235:5098178:1 gene:ENSMUSG00000035203.16 transcript:ENSMUST00000098845.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn1 description:epsin 1 [Source:MGI Symbol;Acc:MGI:1333763] MSTSSLRRQMKNIVHNYSEAEIKVREATSNDPWGPSSSLMSEIADLTYNVVAFSEIMSMI WKRLNDHGKNWRHVYKAMTLMEYLIKTGSERVSQQCKENMYAVQTLKDFQYVDRDGKDQG VNVREKAKQLVALLRDEDRLREERAHALKTKEKLAQTATASSAAVGSGPPPEAEQAWPQS SGEEELQLQLALAMSKEEADQPPSCGPEDDVQLQLALSLSREEHDKEERIRRGDDLRLQM AIEESKRETGGKEESSLMDLADVFTTPAPPQASDPWGGPASVPTAVPVAAAASDPWGGPA VPPAADPWGGAAPTPASGDPWRPAAPTGPSVDPWGGTPAPAAGEGPTPDPWGSSDGGAPV SGPPSSDPWAPAPAFSDPWGGSPAKPSSNGTAVGGFDTEPDEFSDFDRLRTALPTSGSST GELELLAGEVPARSPGAFDMSGVGGSLAESVGSPPPAATPTPTPPTRKTPESFLGPNAAL VDLDSLVSRPGPTPPGSKASNPFLPSGAPPTGPSVTNPFQPAPPATLTLNQLRLSPVPPV PGAPPTYISPLGGGPGLPPMMPPGPPAPNTNPFLL >ENSMUSP00000116571.1 pep:known chromosome:GRCm38:7:5080240:5089961:1 gene:ENSMUSG00000035203.16 transcript:ENSMUST00000146317.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn1 description:epsin 1 [Source:MGI Symbol;Acc:MGI:1333763] MSTSSLRRQMKNIVHNYSEAEIKVREATSNDPWGPSSSLMSEIADLTYNVVAFSEIMSMI WKRLNDHGKNWRHVYKAMTLMEYLIKTGSE >ENSMUSP00000122594.1 pep:known chromosome:GRCm38:7:5080268:5083916:1 gene:ENSMUSG00000035203.16 transcript:ENSMUST00000153169.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn1 description:epsin 1 [Source:MGI Symbol;Acc:MGI:1333763] MSTSSLRRQMKNIVHNYSEAEIKVREATSNDPWGPSSSLMSEIADLTYNVVAFS >ENSMUSP00000043340.6 pep:known chromosome:GRCm38:7:5080293:5098176:1 gene:ENSMUSG00000035203.16 transcript:ENSMUST00000045277.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn1 description:epsin 1 [Source:MGI Symbol;Acc:MGI:1333763] MSTSSLRRQMKNIVHNYSEAEIKVREATSNDPWGPSSSLMSEIADLTYNVVAFSEIMSMI WKRLNDHGKNWRHVYKAMTLMEYLIKTGSERVSQQCKENMYAVQTLKDFQYVDRDGKDQG VNVREKAKQLVALLRDEDRLREERAHALKTKEKLAQTATASSAAVGSGPPPEAEQAWPQS SGEEELQLQLALAMSKEEADQPPSCGPEDDVQLQLALSLSREEHDKEERIRRGDDLRLQM AIEESKRETGGKEESSLMDLADVFTTPAPPQASDPWGGPASVPTAVPVAAAASDPWGGPA VPPAADPWGGAAPTPASGDPWRPAAPTGPSVDPWGGTPAPAAGEGPTPDPWGSSDGGAPV SGPPSSDPWAPAPAFSDPWGGSPAKPSSNGTAVGGFDTEPDEFSDFDRLRTALPTSGSST GELELLAGEVPARSPGAFDMSGVGGSLAESVGSPPPAATPTPTPPTRKTPESFLGPNAAL VDLDSLVSRPGPTPPGSKASNPFLPSGAPPTGPSVTNPFQPAPPATLTLNQLRLSPVPPV PGAPPTYISPLGGGPGLPPMMPPGPPAPNTNPFLL >ENSMUSP00000146638.1 pep:known chromosome:GRCm38:7:5083755:5098176:1 gene:ENSMUSG00000035203.16 transcript:ENSMUST00000208634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn1 description:epsin 1 [Source:MGI Symbol;Acc:MGI:1333763] MSTSSLRRQMKNIVHNYSEAEIKVREATSNDPWGPSSSLMSEIADLTYNVVAFSEIMSMI WKRLNDHGKNWRHVYKAMTLMEYLIKTGSERVSQQCKENMYAVQTLKDFQYVDRDGKDQG VNVREKAKQLVALLRDEDRLREERAHALKTKEKLAQTATASSAAVGSGPPPEAEQAWPQS SGEEELQLQLALAMSKEEADQPPSCGPEDDVQLQLALSLSREEHDKEERIRRGDDLRLQM AIEESKRETGGKEESSLMDLADVFTTPAPPQASDPWGGPASVPTAVPVAAAASDPWGGPA VPPAADPWGGAAPTPASGDPWRPAAPTGPSVDPWGGTPAPAAGEGPTPDPWGSSDGGAPV SGPPSSDPWAPAPAFSDPWGGSPAKPSSNGTAAVGGFDTEPDEFSDFDRLRTALPTSGSS TGELELLAGEVPARSPGAFDMSGVGGSLAESVGSPPPAATPTPTPPTRKTPESFLGPNAA LVDLDSLVSRPGPTPPGSKASNPFLPSGAPPTGPSVTNPFQPAPPATLTLNQLRLSPVPP VPGAPPTYISPLGGGPGLPPMMPPGPPAPNTNPFLL >ENSMUSP00000099484.4 pep:known chromosome:GRCm38:11:84910950:84916366:-1 gene:ENSMUSG00000020526.12 transcript:ENSMUST00000103195.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit3 description:zinc finger, HIT type 3 [Source:MGI Symbol;Acc:MGI:3051596] MASLNCRTAVCVVCLEKPKYRCPTCRVPYCSVPCFQKHKEQCSSEARPVEKRRAGPPVRS EESKDDDSSVADFLNSDEEEDRVSLQNLKNLGESETLRSLLLNPHLRQLMISLDQGDNKA KLMRACMQEPLFVEFADCCLGIVEPSQKRDS >ENSMUSP00000097100.3 pep:known chromosome:GRCm38:10:80016653:80031472:1 gene:ENSMUSG00000035697.14 transcript:ENSMUST00000099501.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmha1 description:histocompatibility (minor) HA-1 [Source:MGI Symbol;Acc:MGI:1917969] MFSRKKRELMKTPSISKKNRAGSPNPQSSSGELPRKDWTEAPGLEPPATSLSTVAKGTGT LKRPTSLSRHASAAGFPLSGTATWTLGRGYRSPLSAASPAELPTEGAFPDGVEDISTLLA DVARFAEGLEKLKECVLQDDLLEARRPLAHECLGEALRVMRQVISRYPLLNTVETLTAAG TLIAKVKAFHYECNNESDKREFEKALETIAVSFSCTVSEFLLGEVDSSTLLAVPPGDPSQ SMENLYGAGTEGPPHNVEECEEGCLPPEEVDMLLQRCEGGVDAALQYAKDMARYMKDLIS YLEKRTTLEMEFAKGLQKVVHNCRQSVTHEPHMPLLSIYSLALEQDLEFGHGMVQAAGTL QTQTFMQPLTLRRLEHERRRKEIKESWHRAQRKLQEAEANLRKAKQGYKQRCEDHDKARL QVAKAEEEQQGTGPGAGTAASKALDKRRRLEEEAKNKAEEAMATYRTCVADAKTQKQELE DTKVTALRQIQEVIRQSDQTIKSATISYYQLMHMQTAPLPVNFQMLCESSKLYDPGQQYA SHVRQLQRGEEPDVRYDFEPYVSNNSWSPIMRTRKGSFNPGDASGPEAAGSPPEEGGTSE AAPNKDHRGGRGHQVHKSWPISISDTEVGLDTSSGDLKKFDRTSSSGTMSSSEELGDQEA GLVASAFDSADLNGMDPELPVAMPSGPFRHVGLSKAARTHRLRKLRTPAKCRECNSYVYF QGAECEECCLACHKKCLETLAIQCGHKKLQGRLQLFGQDFSQAALSTPDGVPFIVKKCVC EIERRALHTKGIYRVNGVKTRVEKLCQAFENGKELVELSQASPHDISNVLKLYLRQLPEP LISFRFYHELVGLAKDSLKAEAEAKAASRGRQGGSESEAATLAMVGRLRELMQDLPAENR ATLLYLLKHLRRIVEMEQDNKMTPGNLGIVFGPTLLRPRPTDATVSLSSLVDYPHQARVI ETLIVHYGLVFEEEPEEAPGSQEGASTQCGQLESAEGIVFPLQEEAEDGSRESHAASNDS DSELEDASDPLSSSDASALHRLSFLEQTEAGLEEGPQSHSGSEEQLEGEDGAPGPWLCHF NTNQSNNTSRAPLPTMRLRGGQITGGTSQERQPQFV >ENSMUSP00000101012.1 pep:known chromosome:GRCm38:10:80016916:80031469:1 gene:ENSMUSG00000035697.14 transcript:ENSMUST00000105373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmha1 description:histocompatibility (minor) HA-1 [Source:MGI Symbol;Acc:MGI:1917969] MGIACNYNTMLGPRWGNRASYSPHQAEWPATRSGLNLGGRITELPRKDWTEAPGLEPPAT SLSTVAKGTGTLKRPTSLSRHASAAGFPLSGTATWTLGRGYRSPLSAASPAELPTEGAFP DGVEDISTLLADVARFAEGLEKLKECVLQDDLLEARRPLAHECLGEALRVMRQVISRYPL LNTVETLTAAGTLIAKVKAFHYECNNESDKREFEKALETIAVSFSCTVSEFLLGEVDSST LLAVPPGDPSQSMENLYGAGTEGPPHNVEECEEGCLPPEEVDMLLQRCEGGVDAALQYAK DMARYMKDLISYLEKRTTLEMEFAKGLQKVVHNCRQSVTHEPHMPLLSIYSLALEQDLEF GHGMVQAAGTLQTQTFMQPLTLRRLEHERRRKEIKESWHRAQRKLQEAEANLRKAKQGYK QRCEDHDKARLQVAKAEEEQQGTGPGAGTAASKALDKRRRLEEEAKNKAEEAMATYRTCV ADAKTQKQELEDTKVTALRQIQEVIRQSDQTIKSATISYYQLMHMQTAPLPVNFQMLCES SKLYDPGQQYASHVRQLQRGEEPDVRYDFEPYVSNNSWSPIMRTRKGSFNPGDASGPEAA GSPPEEGGTSEAAPNKDHRGGRGHQVHKSWPISISDTEVGLDTSSGDLKKFDRTSSSGTM SSSEELGDQEAGLVASAFDSADLNGMDPELPVAMPSGPFRHVGLSKAARTHRLRKLRTPA KCRECNSYVYFQGAECEECCLACHKKCLETLAIQCGHKKLQGRLQLFGQDFSQAALSTPD GVPFIVKKCVCEIERRALHTKGIYRVNGVKTRVEKLCQAFENGKELVELSQASPHDISNV LKLYLRQLPEPLISFRFYHELVGLAKDSLKAEAEAKAASRGRQGGSESEAATLAMVGRLR ELMQDLPAENRATLLYLLKHLRRIVEMEQDNKMTPGNLGIVFGPTLLRPRPTDATVSLSS LVDYPHQARVIETLIVHYGLVFEEEPEEAPGSQEGASTQCGQLESAEGIVFPLQEEAEDG SRESHAASNDSDSELEDASDPLSSSDASALHRLSFLEQTEAGLEEGPQSHSGSEEQLEGE DGAPGPWLCHFNTNQSNNTSRAPLPTMRLRGGQITGGTSQERQPQFV >ENSMUSP00000041019.6 pep:known chromosome:GRCm38:10:80019653:80031468:1 gene:ENSMUSG00000035697.14 transcript:ENSMUST00000043311.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmha1 description:histocompatibility (minor) HA-1 [Source:MGI Symbol;Acc:MGI:1917969] MCVCGTLHPALDHDPLCCQTRARDLLEARRPLAHECLGEALRVMRQVISRYPLLNTVETL TAAGTLIAKVKAFHYECNNESDKREFEKALETIAVSFSCTVSEFLLGEVDSSTLLAVPPG DPSQSMENLYGAGTEGPPHNVEECEEGCLPPEEVDMLLQRCEGGVDAALQYAKDMARYMK DLISYLEKRTTLEMEFAKGLQKVVHNCRQSVTHEPHMPLLSIYSLALEQDLEFGHGMVQA AGTLQTQTFMQPLTLRRLEHERRRKEIKESWHRAQRKLQEAEANLRKAKQGYKQRCEDHD KARLQVAKAEEEQQGTGPGAGTAASKALDKRRRLEEEAKNKAEEAMATYRTCVADAKTQK QELEDTKVTALRQIQEVIRQSDQTIKSATISYYQLMHMQTAPLPVNFQMLCESSKLYDPG QQYASHVRQLQRGEEPDVRYDFEPYVSNNSWSPIMRTRKGSFNPGDASGPEAAGSPPEEG GTSEAAPNKDHRGGRGHQVHKSWPISISDTEVGLDTSSGDLKKFDRTSSSGTMSSSEELG DQEAGLVASAFDSADLNGMDPELPVAMPSGPFRHVGLSKAARTHRLRKLRTPAKCRECNS YVYFQGAECEECCLACHKKCLETLAIQCGHKKLQGRLQLFGQDFSQAALSTPDGVPFIVK KCVCEIERRALHTKGIYRVNGVKTRVEKLCQAFENGKELVELSQASPHDISNVLKLYLRQ LPEPLISFRFYHELVGLAKDSLKAEAEAKAASRGRQGGSESEAATLAMVGRLRELMQDLP AENRATLLYLLKHLRRIVEMEQDNKMTPGNLGIVFGPTLLRPRPTDATVSLSSLVDYPHQ ARVIETLIVHYGLVFEEEPEEAPGSQEGASTQCGQLESAEGIVFPLQEEAEDGSRESHAA SNDSDSELEDASDPLSSSDASALHRLSFLEQTEAGLEEGPQSHSGSEEQLEGEDGAPGPW LCHFNTNQSNNTSRAPLPTMRLRGGQITGGTSQERQPQFV >ENSMUSP00000116569.1 pep:known chromosome:GRCm38:13:23702034:23705688:-1 gene:ENSMUSG00000006611.14 transcript:ENSMUST00000151243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hfe description:hemochromatosis [Source:MGI Symbol;Acc:MGI:109191] XTVCAIFLVGILFLILRKRKASGGTMGGYVLTDCE >ENSMUSP00000089298.6 pep:known chromosome:GRCm38:13:23703840:23710854:-1 gene:ENSMUSG00000006611.14 transcript:ENSMUST00000091706.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hfe description:hemochromatosis [Source:MGI Symbol;Acc:MGI:109191] MSLSAGLPVRPLLLLLLLLWSVAPQALPPRSHSLRYLFMGASEPDLGLPLFEARGYVDDQ LFVSYNHESRRAEPRAPWILEQTSSQLWLHLSQSLKGWDYMFIVDFWTIMGNYNHSKVTK LGVVSESHILQVVLGCEVHEDNSTSGFWRYGYDGQDHLEFCPKTLNWSAAEPGAWATKVE WDEHKIRAKQNRDYLEKDCPEQLKRLLELGRGVLGQQVPTLVKVTRHWASTGTSLRCQAL DFFPQNITMRWLKDNQPLDAKDVNPEKVLPNGDETYQGWLTLAVAPGDETRFTCQVEHPG LDQPLTASWEPLQSQAMIIGIISGVTVCAIFLVGILFLILRKRKASGGTMGGYVLTDCE >ENSMUSP00000089299.6 pep:known chromosome:GRCm38:13:23704386:23710714:-1 gene:ENSMUSG00000006611.14 transcript:ENSMUST00000091707.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hfe description:hemochromatosis [Source:MGI Symbol;Acc:MGI:109191] MSLSAGLPVRPLLLLLLLLWSVAPQALPPLTKLGVVSESHILQVVLGCEVHEDNSTSGFW RYGYDGQDHLEFCPKTLNWSAAEPGAWATKVEWDEHKIRAKQNRDYLEKDCPEQLKRLLE LGRGVLGQQVPTLVKVTRHWASTGTSLRCQALDFFPQNITMRWLKDNQPLDAKDVNPEKV LPNGDETYQGWLTLAVAPGDETRFTCQVEHPGLDQPLTASWEPLQSQAMIIGIISGVTVC AIFLVGILFLILRKRKASGGTMGGYVLTDCE >ENSMUSP00000006787.7 pep:known chromosome:GRCm38:13:23704386:23710714:-1 gene:ENSMUSG00000006611.14 transcript:ENSMUST00000006787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hfe description:hemochromatosis [Source:MGI Symbol;Acc:MGI:109191] MSLSAGLPVRPLLLLLLLLWSVAPQALPPLPTLVKVTRHWASTGTSLRCQALDFFPQNIT MRWLKDNQPLDAKDVNPEKVLPNGDETYQGWLTLAVAPGDETRFTCQVEHPGLDQPLTAS WEPLQSQAMIIGIISGVTVCAIFLVGILFLILRKRKASGGTMGGYVLTDCE >ENSMUSP00000117677.1 pep:known chromosome:GRCm38:17:34856412:34882042:-1 gene:ENSMUSG00000092511.7 transcript:ENSMUST00000146299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20547 description:predicted gene 20547 [Source:MGI Symbol;Acc:MGI:5142012] MAPLLALFYLLQLGPGLAALFCNQNVNITGGNFTLSHGWAPGSLLIYSCPLGRYPSPAWR KCQSNGQWLTPRSSSHHTLRSSRMVKAVCKPSHCPNPGISVGTARTGLNFDLGDKVRYRC SSSNMVLTGSAERECQSNGVWSGSEPICRQPYSYDFPEDVASALDTSLTNLLGATNPTQN LLTNHENATGTNTYEVLIRVYSMMQSQMDRLGMETSAWKEIRHTIILLTDGKSNMGDSPK KAVTRIRELLSIEQNRDDYLDIYAIGVGKLDVDWKELNELGSKKDGERHAFILQDAKALQ QIFEHMLDVSKLTDTICGVGNMSANASDQERTPWQVTFKPKSKETCQGSLISDQWVLTAA HCFHDIQMEDHHLWRVNVGDPTSQHGKEFLVEDVIIAPGFNVHAKRKQGISEFYADDIAL LKLSRKVKMSTHARPICLPCTVGANMALRRSPGSTCKDHETELLSQQKVPAHFVALNGNR LNINLRTGPEWTRCIQAVSQNKNIFPSLTNVSEVVTDQFLCSGMEEEDDNPCKGVSATPV LEARPQVSCSLEGVEIKGGSFQLLQGGQALEYLCPSGFYPYPVQTRTCRSTGSWSDLQTR DQKIVQKAECRAIRCPRPQDFENGEFWPRSPFYNLSDQISFQCYDGYVLRGSANRTCQEN GRWDGQTAICDDGAGYCPNPGIPIGTRKVGSQYRLEDIVTYHCSRGLVLRGSQKRKCQEG GSWSGTEPSCQDSFMYDSPQEVAEAFLSSLTETIEGADAEDGHSPGEQQKRKIVLDPSGS MNIYLVLDGSDSIGSSNFTGAKRCLTNLIEKVASYGVRPRYGLLTYATVPKVLVRVSDER SSDADWVTEKLNQISYEDHKLKSGTNTKRALQAVYSMMSWAGDAPPEGWNRTRHVIIIMT DGLHNMGGNPVTVIQDIRALLDIGRDPKNPREDYLDVYVFGVGPLVDSVNINALASKKDN EHHVFKVKDMEDLENVFYQMIDETKSLSLCGMVWEHKKGNDYHKQPWQAKISVTRPLKGH ETCMGAVVSEYFVLTAAHCFMVDDQKHSIKVSVGGQRRDLEIEEVLFHPKYNINGKKAEG IPEFYDYDVALVKLKNKLKYGQTLRPICLPCTEGTTRALRLPQTATCKQHKEQLLPVKDV KALFVSEQGKSLTRKEVYIKNGDKKASCERDATKAQGYEKVKDASEVVTPRFLCTGGVDP YADPNTCKGDSGGPLIVHKRSRFIQVGVISWGVVDVCRDQRRQQLVPSYARDFHINLFQV LPWLKDKLKDEDLGFL >ENSMUSP00000120864.1 pep:known chromosome:GRCm38:17:34856426:34872507:-1 gene:ENSMUSG00000092511.7 transcript:ENSMUST00000129891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20547 description:predicted gene 20547 [Source:MGI Symbol;Acc:MGI:5142012] XHENATGTNTYEVLIRVYSMMQSQMDRLGMETSAWKEIRHTIILLTDGKSNMGDSPKKAV TRIRELLSIEQNRDDYLDVSKLTDTICGVGNMSANASDQERTPWQVTFKPKSKETCQGSL ISDQWVLTAAHCFHDIQMEDHHLWRVNVGDPTSQHGKEFLVEDVIIAPGFNVHAKRKQGI SEFYADDIALLKLSRKVKMSTHARPICLPCTVGANMALRRSPGSTCKDHETELLSQQKVP AHFVALNGNRLNINLRTGPEWTRCIQAVSQNKNIFPSLTNVSEVVTDQFLCSGMEEEDDN PCKGGPAIRCPRPQDFENGEFWPRSPFYNLSDQISFQCYDGYVLRGSANRTCQENGRWDG QTAICDDGAGYCPNPGIPIGTRKVGSQYRLEDIVTYHCSRGLVLRGSQKRKCQEGGSWSG TEPSCQDSFMYDSPQEVAEAFLSSLTETIEGADAEDGHSPGEQQKRKIVLDPSGSMNIYL VLDGSDSIGSSNFTGAKRCLTNLIEKVASYGVRPRYGLLTYATVPKVLVRVSDERSSDAD WVTEKLNQISYEDHKLKSGTNTKRALQAVYSMMSWAGDAPPEGWNRTRHVIIIMTDGLHN MGGNPVTVIQDIRALLDIGRDPKNPREDYLDVYVFGVGPLVDSVNINALASKKDNEHHVF KVKDMEDLENVFYQMIDETKSLSLCGMVWEHKKGNDYHKQPWQAKISVTRPLKGHETCMG AVVSEYFVLTAAHCFMVDDQKHSIKVSVGGQRRDLEIEEVLFHPKYNINGKKAEGIPEFY DYDVALVKLKNKLKYGQTLRPICLPCTEGTTRALRLPQTATCKQHKEQLLPVKDVKALFV SEQGKSLTRKEVYIKNGDKKASCERDATKAQGYEKVKDASEVVTPRFLCTGGVDPYADPN TCKGDSGGPLIVHKRSRFIQVGVISWGVVDVCRDQRRQQLVPSYARDFHINLFQVLPWLK DKLKDEDLGFL >ENSMUSP00000073781.6 pep:known chromosome:GRCm38:5:26257691:26264308:1 gene:ENSMUSG00000059645.6 transcript:ENSMUST00000074148.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7361 description:predicted gene 7361 [Source:MGI Symbol;Acc:MGI:3805965] MALFARLCRLFQRANVDGRETREGRKDAGLPSERNEGRRRGTWRMWMAHRQTTSPVPVTS KKQFEKEEKELIKKIQLTTEETNELRDRLIYVTEGSMNKRPYHRQTRHYKELKLKEKEIM TFLNDLEMENMEARENNQELKKEKNFYRNLHSRILLEENLIKKKLAILQQESKEIQADWA IIHQLLVELNLSGKDEQEKTSNLETQEHQVSEAARELGLATAEEDSILQKELPCQEAPAE HHPQHPPSSSDESSSDESSYSTCPEWE >ENSMUSP00000033156.4 pep:known chromosome:GRCm38:7:122133041:122149044:1 gene:ENSMUSG00000030868.6 transcript:ENSMUST00000033156.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn5 description:dynactin 5 [Source:MGI Symbol;Acc:MGI:1891689] MELGELLYNKSEYIETASGNKVSRQSVLCGSQNIVLNGKTIIMNDCIIRGDLANVRVGRH CVVKSRSVIRPPFKKFSKGVAFFPLHIGDHVFIEEDCVVNAAQIGSYVHVGKNCVIGRRC VLKDCCKILDNTVLPPETVVPPFTVFSGCPGLFSGELPECTQELMIDVTKSYYQKFLPLT QV >ENSMUSP00000134876.1 pep:known chromosome:GRCm38:7:122133072:122135134:1 gene:ENSMUSG00000030868.6 transcript:ENSMUST00000176193.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dctn5 description:dynactin 5 [Source:MGI Symbol;Acc:MGI:1891689] MELGELLYNKSEYIETVRVWRPCRRWQSRDPRGLDGRPSRPGCTGSRSLSPFLG >ENSMUSP00000135530.1 pep:known chromosome:GRCm38:7:122143809:122148581:1 gene:ENSMUSG00000030868.6 transcript:ENSMUST00000176295.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dctn5 description:dynactin 5 [Source:MGI Symbol;Acc:MGI:1891689] CCKILDNTVLPPETVVPPFTVFSGCPENHGSIPSTHMITHSCP >ENSMUSP00000090789.6 pep:known chromosome:GRCm38:8:119597975:119605222:-1 gene:ENSMUSG00000031832.14 transcript:ENSMUST00000093099.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf1c description:TATA box binding protein (Tbp)-associated factor, RNA polymerase I, C [Source:MGI Symbol;Acc:MGI:109576] MDFPGTLRPSLFKAGPLGMTDGPDLSFMCSWRDALTLPGSQPQNCKDPTLSFAKNLLWEP STPGPLPLLMPPDPDPWDPGVTAQDFLFRGGHCYQYQSQAVLDVTEQLSRFLWDHGDIAF APLGRLMLENFRLEGNRGYSKKMTIVSAKKLLQDLGGHQPWGCPWASLSRRLRRFSIVGG PVLSRSVSLLMGKLLHEELAMRWEQLLMDEAFTGGALAWLPGRTARAGQLVYPSGGALDK LYFQEVSVTSGGNPRILENPGHVQLRGPVRQVVTSTVQGETLLAVRSDYHCATWKIDKQG PPALLQVMQVEKGATGISLSPHLSGELAICSRSGAVCLWTPQAGLQTIYKDTETLAFRDP SPWRWADFTAHPRVLTVGDRTGVKMVDIQGPPGCGLLLFRAGAEAACQKGERVLLAQYLG QPGQTPPSLHLICTQFSIYLMDERLPLVPMLKWDHGLPSAPLLARLLPPASPGHPRPLLL GGQGGQLQLLHITGEGTSMPQLAGPPQSLPSITESLSAFPLLEPKKQQLLQERLEAPVIG LAAVPLCASAPGLLLFQLSAAGDVFYQHLRLLQASSPRKVPEQATAPSVDQVSTPSWTPQ ASARCSRWLEDLMELSPTRPLWVAPTFSHRRFLGHMERQKSQETMPQKLRAAMAKGQLLR PGDLSTLPRAEPPPAPQCSQQDELTERLTEAWEGRVTAWWRRHRGETSETQTQSKRPKRR TQLSSTFSSFTSYLDSPDASSAPRSQDLSTSEARLQSPRVPPSQELTQEVWGQGVKRERR QTLRDHTDKLPLKRDTPGPVATPPSQASSLQTMSFRQQTPVHSGSQPPQKKPRMGF >ENSMUSP00000118480.1 pep:known chromosome:GRCm38:8:119597975:119605222:-1 gene:ENSMUSG00000031832.14 transcript:ENSMUST00000147964.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Taf1c description:TATA box binding protein (Tbp)-associated factor, RNA polymerase I, C [Source:MGI Symbol;Acc:MGI:109576] MDFPGTLRPSLFKAGPLGMTDGPDLSFMCSWRDALTLPGSQPQNCKDPTLSFAKNLLWEP STPGPLPLLMPPDPDPWDPGVTAQDFLFRGGHCYQYQSQAVLDVTEQLSRFLWDHGDIAF APLGRLMLENFRLEGNRGYSKKMTIVSAKKLLQDLGGHQPWGQVANERAAMDWPAVRCGR TWDTDQVSLGFPQPPAASLLHRWGSCPEQVSVTTHGEATA >ENSMUSP00000105588.2 pep:known chromosome:GRCm38:2:148395344:148396767:1 gene:ENSMUSG00000037014.4 transcript:ENSMUST00000109962.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sstr4 description:somatostatin receptor 4 [Source:MGI Symbol;Acc:MGI:105372] MNAPATLPPGVEDTTWTPGINASWAPDEEEEDAMGSDGTGTAGMVTIQCIYALVCLVGLV GNALVIFVILRYAKMKTATNIYLLNLAVADELFMLSVPFVASAAALRHWPFGAVLCRAVL SVDGLNMFTSVFCLTVLSVDRYVAVVHPLRAATYRRPSVAKLINLGVWLASLLVTLPIAV FADTRPARGGEAVACNLHWPHPAWSAVFVIYTFLLGFLLPVLAIGLCYLLIVGKMRAVAL RAGWQQRRRSEKKITRLVLMVVTVFVLCWMPFYVVQLLNLFVTSLDATVNHVSLILSYAN SCANPILYGFLSDNFRRSFQRVLCLRCCLLETTGGAEEEPLDYYATALKSRGGAGCICPP LPCQQEPVQAEPGCKQVPFTKTTTF >ENSMUSP00000124179.1 pep:known chromosome:GRCm38:16:57353093:57573126:1 gene:ENSMUSG00000043336.14 transcript:ENSMUST00000159816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Filip1l description:filamin A interacting protein 1-like [Source:MGI Symbol;Acc:MGI:1925999] MRSRSSNAEGSAPKQIPRHSKFQDSIQDMKHRTHKKDPSCESEGVLPRPVSEKSHTGKGH HTEDLSRDDLLFLLSILEGELQARDEVIGILRAEKIDLALLEAQYGFVTPKKVLEALQRD AFQAKSAPWQEDIYEKPMNELDKVVEKHKESHRRILEQLLMVERSHRQTIMEMEEEKRKH KEYMKKSDEFINLLEQECERLKKLIDQETASQEKKEQEKEKRIKTLKEELTKLKSFALMV VDEQQRLTAQLALQRQKIQALTTSAKETQGKLALAEARAQEEEQKATRLEKELQTQTTEF HQNQDKIMAKLTNEDSQNRQLRQKLAALSRQIDELEETNRSLRKAEEELQDIKDKINKGE YGNSGIMDEVDELRKRVLDMEGKDEELIKMEEQCRDLNKRLEKETVQSKDFKLEVDKLSV RITALEKLEDALDKSKQECYSLKCNLEKEKMTTKQLSEELESLNARIKELEAIESRLEKT EITLKDDLTKLKTLTVMLVDERKTMSEKLKQTEDKLQSTTSQLQAEQNKVTTVTEKLIEE TKRALKSKTDAEEKMYSVTKERDDLRNKLKAEEEKGHDLLSKVTILKNRLQSLEAIEKDF VKNKLNQDSSKSTAALHQENNKIKELSQEVENLKLKLKDMKAIEDDLMKTEDEYETLERR YANERDKAQFLSQELEHAKMELAKYKLAEKTESSHEQWLFRRLQEEEAKSGHLSREVDAL KEKIHEYMATEDLICHLQGDHSLLQKKLNQQENRNRDLGREIENLTKELERYRHFSKSLR PSLNERRISDPQVFSKEVQTEAADSEPPDYKSLIPLERAVINGQFYEENEDQDDDPNEEE SVLSFRCSQSSSLPMNRKLWIPWMKSKEGHPQNGKIQTKSNGNFVQPGDLVLSHTPGQPL HIKVTPDHIQNTATLEITSPTTESPHSYTSTAVIPNCGTPKQRITILQNASITPIKSKSS TESLMNLEQSMSPVTMATFARAQTPESCGSVTPERTMSPIQVLAMTGSPSSPEQGCSPEP IEISAKHAIFRVSPDRQSSWQFQRSNSNSSSVITTEDNKIHIHLGSPYMQAVAGPMRPAS PSAPLQDNRTQGLTNGALNKTTNKVTSSITITPTATPLPRQSQITVSNIYN >ENSMUSP00000133252.1 pep:known chromosome:GRCm38:16:57353277:57515690:1 gene:ENSMUSG00000043336.14 transcript:ENSMUST00000099667.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Filip1l description:filamin A interacting protein 1-like [Source:MGI Symbol;Acc:MGI:1925999] MRSRSSNAEGSAPKQIPRHSKFQDSIQDMKHRTHKKDPSCESEGVLPRPVSEKSHTGKGH HTEDLSRDDLLFLLSILEGELQARDEVIGILRAEKIDLALLEAQYGFVTPKKVLEALQRD AFQAKSAPWQEDIYEKPMNELDKVVEKHKESHRRILEQLLMVERSHRQTIMEMEEEKRKH KEYMKKSDEFINLLEQECERI >ENSMUSP00000124069.1 pep:known chromosome:GRCm38:16:57549242:57572804:1 gene:ENSMUSG00000043336.14 transcript:ENSMUST00000159414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Filip1l description:filamin A interacting protein 1-like [Source:MGI Symbol;Acc:MGI:1925999] MVVDEQQRLTAQLALQRQKIQALTTSAKETQGKLALAEARAQEEEQKATRLEKELQTQTT EFHQNQDKIMAKLTNEDSQNRQLRQKLAALSRQIDELEETNRSLRKAEEELQDIKDKINK GEYGNSGIMDEVDELRKRVLDMEGKDEELIKMEEQCRDLNKRLEKETVQSKDFKLEVDKL SVRITALEKLEDALDKSKQECYSLKCNLEKEKMTTKQLSEELESLNARIKELEAIESRLE KTEITLKDDLTKLKTLTVMLVDERKTMSEKLKQTEDKLQSTTSQLQAEQNKVTTVTEKLI EETKRALKSKTDAEEKMYSVTKERDDLRNKLKAEEEKGHDLLSKVTILKNRLQSLEAIEK DFVKNKLNQDSSKSTAALHQENNKIKELSQEVENLKLKLKDMKAIEDDLMKTEDEYETLE RRYANERDKAQFLSQELEHAKMELAKYKLAEKTESSHEQWLFRRLQEEEAKSGHLSREVD ALKEKIHEYMATEDLICHLQGDHSLLQKKLNQQENRNRDLGREIENLTKELERYRHFSKS LRPSLNERRISDPQVFSKEVQTEAADSEPPDYKSLIPLERAVINGQFYEENEDQDDDPNE EESVLSFRCSQSSSLPMNRKLWIPWMKSKEGHPQNGKIQTKSNGNFVQPGDLVLSHTPGQ PLHIKVTPDHIQNTATLEITSPTTESPHSYTSTAVIPNCGTPKQRITILQNASITPIKSK SSTESLMNLEQSMSPVTMATFARAQTPESCGSVTPERTMSPIQVLAMTGSPSSPEQGCSP EPIEISAKHAIFRVSPDRQSSWQFQRSNSNSSSVITTEDNKIHIHLGSPYMQAVAGPMRP ASPSAPLQDNRTQGLTNGALNKTTNKVTSSITITPTATPLPRQSQITVSNIYN >ENSMUSP00000099513.3 pep:known chromosome:GRCm38:2:126791565:126876230:-1 gene:ENSMUSG00000027365.14 transcript:ENSMUST00000103224.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm7 description:transient receptor potential cation channel, subfamily M, member 7 [Source:MGI Symbol;Acc:MGI:1929996] MSQKSWIESTLTKRECVYIIPSSKDPHRCLPGCQICQQLVRCFCGRLVKQHACFTASLAM KYSDVKLGEHFNQAIEEWSVEKHTEQSPTDAYGVINFQGGSHSYRAKYVRLSYDTKPEII LQLLLKEWQMELPKLVISVHGGMQKFELHPRIKQLLGKGLIKAAVTTGAWILTGGVNTGV AKHVGDALKEHASRSSRKICTIGIAPWGVIENRNDLVGRDVVAPYQTLLNPLSKLNVLNN LHSHFILVDDGTVGKYGAEVRLRRELEKTINQQRIHARIGQGVPVVALIFEGGPNVILTV LEYLQESPPVPVVVCEGTGRAADLLAYIHKQTEEGGNLPDAAEPDIISTIKKTFNFGQSE AVHLFQTMMECMKKKELITVFHIGSEDHQDIDVAILTALLKGTNASAFDQLILTLAWDRV DIAKNHVFVYGQQWLVGSLEQAMLDALVMDRVSFVKLLIENGVSMHKFLTIPRLEELYNT KQGPTNPMLFHLIRDVKQGNLPPGYKITLIDIGLVIEYLMGGTYRCTYTRKRFRLIYNSL GGNNRRSGRNTSSSTPQLRKSHETFGNRADKKEKMRHNHFIKTAQPYRPKMDASMEEGKK KRTKDEIVDIDDPETKRFPYPLNELLIWACLMKRQVMARFLWQHGEESMAKALVACKIYR SMAYEAKQSDLVDDTSEELKQYSNDFGQLAVELLEQSFRQDETMAMKLLTYELKNWSNST CLKLAVSSRLRPFVAHTCTQMLLSDMWMGRLNMRKNSWYKVILSILVPPAILMLEYKTKA EMSHIPQSQDAHQMTMEDSENNFHNITEEIPMEVFKEVKILDSSDGKNEMEIHIKSKKLP ITRKFYAFYHAPIVKFWFNTLAYLGFLMLYTFVVLVKMEQLPSVQEWIVIAYIFTYAIEK VREVFMSEAGKISQKIKVWFSDYFNVSDTIAIISFFVGFGLRFGAKWNYINAYDNHVFVA GRLIYCLNIIFWYVRLLDFLAVNQQAGPYVMMIGKMVANMFYIVVIMALVLLSFGVPRKA ILYPHEEPSWSLAKDIVFHPYWMIFGEVYAYEIDVCANDSTLPTICGPGTWLTPFLQAVY LFVQYIIMVNLLIAFFNNVYLQVKAISNIVWKYQRYHFIMAYHEKPVLPPPLIILSHIVS LFCCVCKRRKKDKTSDGPKLFLTEEDQKKLHDFEEQCVEMYFDEKDDKFNSGSEERIRVT FERVEQMSIQIKEVGDRVNYIKRSLQSLDSQIGHLQDLSALTVDTLKTLTAQKASEASKV HNEITRELSISKHLAQNLIDDVPVRPLWKKPSAVNTLSSSLPQGDRESNNPFLCNIFMKD EKDPQYNLFGQDLPVIPQRKEFNIPEAGSSCGALFPSAVSPPELRQRRHGVEMLKIFNKN QKLGSSPNSSPHMSSPPTKFSVSTPSQPSCKSHLESTTKDQEPIFYKAAEGDNIEFGAFV GHRDSMDLQRFKETSNKIRELLSNDTPENTLKHVGAAGYSECCKTSTSLHSVQAESCSRR ASTEDSPEVDSKAALLPDWLRDRPSNREMPSEGGTLNGLASPFKPVLDTNYYYSAVERNN LMRLSQSIPFVPVPPRGEPVTVYRLEESSPSILNNSMSSWSQLGLCAKIEFLSKEEMGGG LRRAVKVLCTWSEHDILKSGHLYIIKSFLPEVINTWSSIYKEDTVLHLCLREIQQQRAAQ KLTFAFNQMKPKSIPYSPRFLEVFLLYCHSAGQWFAVEECMTGEFRKYNNNNGDEIIPTN TLEEIMLAFSHWTYEYTRGELLVLDLQGVGENLTDPSVIKAEEKRSCDMVFGPANLGEDA IKNFRAKHHCNSCCRKLKLPDLKRNDYTPDKIIFPQDESSDLNLQSGNSTKESEATNSVR LML >ENSMUSP00000028843.5 pep:known chromosome:GRCm38:2:126791571:126876117:-1 gene:ENSMUSG00000027365.14 transcript:ENSMUST00000028843.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm7 description:transient receptor potential cation channel, subfamily M, member 7 [Source:MGI Symbol;Acc:MGI:1929996] MSQKSWIESTLTKRECVYIIPSSKDPHRCLPGCQICQQLVRCFCGRLVKQHACFTASLAM KYSDVKLGEHFNQAIEEWSVEKHTEQSPTDAYGVINFQGGSHSYRAKYVRLSYDTKPEII LQLLLKEWQMELPKLVISVHGGMQKFELHPRIKQLLGKGLIKAAVTTGAWILTGGVNTGV AKHVGDALKEHASRSSRKICTIGIAPWGVIENRNDLVGRDVVAPYQTLLNPLSKLNVLNN LHSHFILVDDGTVGKYGAEVRLRRELEKTINQQRIHARIGQGVPVVALIFEGGPNVILTV LEYLQESPPVPVVVCEGTGRAADLLAYIHKQTEEGGNLPDAAEPDIISTIKKTFNFGQSE AVHLFQTMMECMKKKELITVFHIGSEDHQDIDVAILTALLKGTNASAFDQLILTLAWDRV DIAKNHVFVYGQQWLVGSLEQAMLDALVMDRVSFVKLLIENGVSMHKFLTIPRLEELYNT KQGPTNPMLFHLIRDVKQGNLPPGYKITLIDIGLVIEYLMGGTYRCTYTRKRFRLIYNSL GGNNRRSGRNTSSSTPQLRKSHETFGNRADKKEKMRHNHFIKTAQPYRPKMDASMEEGKK KRTKDEIVDIDDPETKRFPYPLNELLIWACLMKRQVMARFLWQHGEESMAKALVACKIYR SMAYEAKQSDLVDDTSEELKQYSNDFGQLAVELLEQSFRQDETMAMKLLTYELKNWSNST CLKLAVSSRLRPFVAHTCTQMLLSDMWMGRLNMRKNSWYKVILSILVPPAILMLEYKTKA EMSHIPQSQDAHQMTMEDSENNFHNITEEIPMEVFKEVKILDSSDGKNEMEIHIKSKKLP ITRKFYAFYHAPIVKFWFNTLAYLGFLMLYTFVVLVKMEQLPSVQEWIVIAYIFTYAIEK VREVFMSEAGKISQKIKVWFSDYFNVSDTIAIISFFVGFGLRFGAKWNYINAYDNHVFVA GRLIYCLNIIFWYVRLLDFLAVNQQAGPYVMMIGKMVANMFYIVVIMALVLLSFGVPRKA ILYPHEEPSWSLAKDIVFHPYWMIFGEVYAYEIDVCANDSTLPTICGPGTWLTPFLQAVY LFVQYIIMVNLLIAFFNNVYLQVKAISNIVWKYQRYHFIMAYHEKPVLPPPLIILSHIVS LFCCVCKRRKKDKTSDGPKLFLTEEDQKKLHDFEEQCVEMYFDEKDDKFNSGSEERIRVT FERVEQMSIQIKEVGDRVNYIKRSLQSLDSQIGHLQDLSALTVDTLKTLTAQKASEASKV HNEITRELSISKHLAQNLIDDVPVRPLWKKPSAVNTLSSSLPQGDRESNNPFLCNIFMKD EKDPQYNLFGQDLPVIPQRKEFNIPEAGSSCGALFPSAVSPPELRQRRHGVEMLKIFNKN QKLGSSPNSSPHMSSPPTKFSVSTPSQPSCKSHLESTTKDQEPIFYKAAEGDNIEFGAFV GHRDSMDLQRFKETSNKIRELLSNDTPENTLKHVGAAGYSECCKTSTSLHSVQESCSRRA STEDSPEVDSKAALLPDWLRDRPSNREMPSEGGTLNGLASPFKPVLDTNYYYSAVERNNL MRLSQSIPFVPVPPRGEPVTVYRLEESSPSILNNSMSSWSQLGLCAKIEFLSKEEMGGGL RRAVKVLCTWSEHDILKSGHLYIIKSFLPEVINTWSSIYKEDTVLHLCLREIQQQRAAQK LTFAFNQMKPKSIPYSPRFLEVFLLYCHSAGQWFAVEECMTGEFRKYNNNNGDEIIPTNT LEEIMLAFSHWTYEYTRGELLVLDLQGVGENLTDPSVIKAEEKRSCDMVFGPANLGEDAI KNFRAKHHCNSCCRKLKLPDLKRNDYTPDKIIFPQDESSDLNLQSGNSTKESEATNSVRL ML >ENSMUSP00000119232.1 pep:known chromosome:GRCm38:2:126792898:126797846:-1 gene:ENSMUSG00000027365.14 transcript:ENSMUST00000136964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm7 description:transient receptor potential cation channel, subfamily M, member 7 [Source:MGI Symbol;Acc:MGI:1929996] XFLSKEEMGGGLRRAVKVLCTWSEHDILKSGHLYIIKSFLPEVINTWSSIYKEDTVLHLC LREIQQQRAAQKLTFAFNQMKPKSIPYSPRFLEVFLLYCHSAGQWFAVEECMTGEFRKYN NNNGDEIIPTNTLEEIMLAFSHWTYEYTRGELLVLDLQETRGCQKRAADPLELQFLDCPL EFQAVVSLCVGAGKSRVGENLTDPSVIKAEEKRSCDMVFGPANLGEDAIKNFRAKHHCNS CCRKLKLPDLKRNDYTPDKIIFPQDESS >ENSMUSP00000099950.3 pep:known chromosome:GRCm38:2:27188393:27247266:-1 gene:ENSMUSG00000009614.16 transcript:ENSMUST00000102886.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sardh description:sarcosine dehydrogenase [Source:MGI Symbol;Acc:MGI:2183102] MASLSRVLRVAATCPRGRAAWNLGLQPLATEARPTTEKSVPYQRTLKEEAQGASVVPQGP SQPLPSTANVVVIGGGSLGCQTLYHLAKLGVGGAVLLERERLTSGTTWHTAGLLWQLRPS DVEVELLAHTRQVVSRDLEEETGLHTGWIQNGGLFIASNQQRLNEYKRLMSLGKAYGIES HVLSPAETKSLYPLMNVDDLYGTLYVPQDGTMDPAGTCTTLTRAAVARGAQVIENCAVTG IRVRTDDFGVRRVAAVETEHGSIQTPCVVNCAGVWASKVGRMAGVKVPLVAMHHAYVVTE RIEGIQNMPNVRDHDASVYLRLQGDALSVGGYEANPIFWEEVSDKFAFGLFDLDWDVFTQ HIEGAINRVPVLEKTGIKSTVCGPESFTPDHKPLMGEAPELRGFFLGCGFNSAGMMLGGG CGQELAHWIVHGRPEKDMYSYDIRRFHHSLTDHTRWIRERSHESYAKNYSVVFPHDEPLA GRNMRRDPLHEELLGQGCVFQERQGWERPGWFNPQETAQVLDYDYYGAYGNQAHKDYTYS RLLGDEYTFDFPPHHHMIQKECLACRGAAAVFNMSYFGKFYLLGVDARKAADWLFSADVN RPPGSTVYTCMLNQRGGTESDLTVSRLAPGTQASPLVPAFEGDCYYLAVGGAVAQHNWSH INTVLQDQEFRCQLMDSSEDLGMLSIQGPASRDILQDVLDADLSNEAFPFSTHQLVRAAG HLVRAIRLSFVGELGWELHVPRASCLPVYRAVMAAGARHGLVNAGYRAIDSLSIEKGYRH WHADLRPDDSPLEAGLAFTCKLKTSVPFLGREALEKQRATGLRRRLICLTVEEEVPMFGL EAIWRNGQVVGHVRRADFGFTVNKTIAYGYIRDPSGGPVSLDFVKNGEYALERMGVTYAA QVHLKSPFDPDNKRVKGIY >ENSMUSP00000120478.1 pep:known chromosome:GRCm38:2:27241469:27246848:-1 gene:ENSMUSG00000009614.16 transcript:ENSMUST00000149733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sardh description:sarcosine dehydrogenase [Source:MGI Symbol;Acc:MGI:2183102] MASLSRVLRVAATCPRGRAAWNLGLQPLATEARPTTEKSVPYQRTLKEEAQGASVVPQGP SQPLPSTANVVVIGGGSLGCQTLYHLAKLGVGGAVLLERERLTSGTTWHTAGLLWQLRPS DVEVELLAHTRQVVSRDLEEETGLHTGWIQNGGLFIASNQQRLNEYKRLMSLGKAYGIES HVLSPAETKSLYPLMNVDDLYGT >ENSMUSP00000119866.1 pep:known chromosome:GRCm38:2:27241487:27248337:-1 gene:ENSMUSG00000009614.16 transcript:ENSMUST00000139312.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sardh description:sarcosine dehydrogenase [Source:MGI Symbol;Acc:MGI:2183102] MASLSRVLRVAATCPRGRAAWNLGLQPLATEARPTTEKSVPYQRTLKEEAQGASVVPQGP SQPLPSTANVVVIGGGSLGCQTLYHLAKLGVGGAVLLERERLTSGTTWHTAGLLWQLRPS DVEVELLAHTRQVVSRDLEEETGLHTGWIQNGGLFIASNQQRLNEYKRLMSLGKAYGIES HVLSPAETKSLYPLMNV >ENSMUSP00000120170.1 pep:known chromosome:GRCm38:2:27244286:27247414:-1 gene:ENSMUSG00000009614.16 transcript:ENSMUST00000129975.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sardh description:sarcosine dehydrogenase [Source:MGI Symbol;Acc:MGI:2183102] MASLSRVLRVAATCPRGRAAWNLGLQPLATEARPTTEKSVPYQRTLKEEAQGASVVPQGP SQPLPSTANVVV >ENSMUSP00000096877.3 pep:known chromosome:GRCm38:2:148404466:148408188:-1 gene:ENSMUSG00000074743.4 transcript:ENSMUST00000099270.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thbd description:thrombomodulin [Source:MGI Symbol;Acc:MGI:98736] MLGIFFLGVLAPASLGLSALAKLQPTGSQCVEHECFALFQGPATFLDASQACQRLQGHLM TVRSSVAADVISLLLSQSSMDLGPWIGLQLPQGCDDPVHLGPLRGFQWVTGDNHTSYSRW ARPNDQTAPLCGPLCVTVSTATEAAPGEPAWEEKPCETETQGFLCEFYFTASCRPLTVNT RDPEAAHISSTYNTPFGVSGADFQTLPVGSSAAVEPLGLELVCRAPPGTSEGHWAWEATG AWNCSVENGGCEYLCNRSTNEPRCLCPRDMDLQADGRSCARPVVQSCNELCEHFCVSNAE VPGSYSCMCETGYQLAADGHRCEDVDDCKQGPNPCPQLCVNTKGGFECFCYDGYELVDGE CVELLDPCFGSNCEFQCQPVSPTDYRCICAPGFAPKPDEPHKCEMFCNETSCPADCDPNS PTVCECPEGFILDEGSVCTDIDECSQGECFTSECRNFPGSYECICGPDTALAGQISKDCD PIPVREDTKEEEGSGEPPVSPTPGSPTGPPSARPVHSGVLIGISIASLSLVVALLALLCH LRKKQGAARAELEYKCASSAKEVVLQHVRTDRTLQKF >ENSMUSP00000146361.1 pep:known chromosome:GRCm38:19:12930849:12935684:1 gene:ENSMUSG00000049498.4 transcript:ENSMUST00000208624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1449 description:olfactory receptor 1449 [Source:MGI Symbol;Acc:MGI:3031283] MSYLENSTKVTTFILLGLTDIPELQVPLFVTFSLIYLITLIGNLGIIVLIWLDFRLHTPM YIFLSHLSLADCVYSSAVTPKVMVGFLTGDKVISYGGCVAQMFFFVAFASVDCFLLAVMA FDRHAAVCKPLHYTTTMTTSVCARMVIACYSWGLFESAIHTGFTFSLPYCANVVHHFFCD IPPILALSCSDIYVNEIVLFILASFNVFFALIVILTSYAFIFIAILRMHSAEGRKKAFST CASHLTAVTIFYGTVIFMYLQPSSSHSMDNDQMASVFYTTIVPMLNPVVYSLRNKEVHNA FKKVVEKMNTLLNS >ENSMUSP00000056181.2 pep:known chromosome:GRCm38:19:12934737:12935684:1 gene:ENSMUSG00000049498.4 transcript:ENSMUST00000056005.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1449 description:olfactory receptor 1449 [Source:MGI Symbol;Acc:MGI:3031283] MSYLENSTKVTTFILLGLTDIPELQVPLFVTFSLIYLITLIGNLGIIVLIWLDFRLHTPM YIFLSHLSLADCVYSSAVTPKVMVGFLTGDKVISYGGCVAQMFFFVAFASVDCFLLAVMA FDRHAAVCKPLHYTTTMTTSVCARMVIACYSWGLFESAIHTGFTFSLPYCANVVHHFFCD IPPILALSCSDIYVNEIVLFILASFNVFFALIVILTSYAFIFIAILRMHSAEGRKKAFST CASHLTAVTIFYGTVIFMYLQPSSSHSMDNDQMASVFYTTIVPMLNPVVYSLRNKEVHNA FKKVVEKMNTLLNS >ENSMUSP00000048360.6 pep:known chromosome:GRCm38:3:30597073:30599939:-1 gene:ENSMUSG00000037737.6 transcript:ENSMUST00000047630.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actrt3 description:actin related protein T3 [Source:MGI Symbol;Acc:MGI:1923902] MSGYQPPVVIDNGSGMIKAGLAGTREPQFVYPNILGRSKGHTADSRQELCVGDQAQERRS FLSISYPVERGLISSWGDMEIMWKHIYDYNLNLNASDGPVLVTEPALNPLADRQHISEVF FENLGVPAFYMSAQAVLALFAAGFTTGLVLNSGAGITQCVPIFEGYCLSHGVKQLNVAGS DLTSYLMMLLKGDGIMLLRTGDRKVVTDIKENACYVAMNYEDEMTKDSNLEKIYTLPDGK TVKLHKQLFHCPEALFSPYLVNVDAPGIDKMCFGSIMKCDTDLRNSFFSNIILSGGSTSF PGLDKRLIKDVAKLAPANTAVQVIAPPERKISVWMGGSILASLSAFQDMWITAAEFEEVG PNIVHQRCF >ENSMUSP00000123536.1 pep:known chromosome:GRCm38:17:34862604:34882026:-1 gene:ENSMUSG00000024371.14 transcript:ENSMUST00000152417.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2 description:complement component 2 (within H-2S) [Source:MGI Symbol;Acc:MGI:88226] MAPLLALFYLLQLGPASHCPNPGISVGTARTGLNFDLGDKVRYRCSSSNMVLTGSAEREC QSNGVWSGSEPICRQPYSYDFPEDVASALDTSLTNLLGATNPTQNLLTKSLGRKIIIQRS GHLNLYLLLDASQSVTEKDFDIFKKSAELMVERIFSFEVNVSVAIITFASQPKTIMSILS ERSQDVTEVITSLDSASYKDHENATGTNTYEVLIRVYSMMQSQMDRLGMETSAWKEIRHT IILLTDGKSNMGDSPKKAVTRIRELLSIEQNRDDYLDIYAIGVGKLDVDWKELNELGSKK DGERHAFILQDAKALQQIFEHMLDVSKLTDTICGVGNMSANASDQERTPWQVTFKPKSKE TCQGSLISDQWVLTAAHCFHDIQMEDHHLWRVNVGDPTSQHGKEFLVEDVIIAPGFNVHA KRKQGISEFYADDIALLKLSRKVKMSTHARPICLPCTVGANMALRRSPGSTCKDHETELL SQQKVPAHFVALNGNRLNINLRTGPEWTRCIQAVSQNKNIFPSLTNVSEVVTDQFLCSGM EEEDDNPCKGESGGAVFLGRRYRFFQVGLVSWGLFDPCHGSSNKNLRKKPPRGVLPRDFH ISLFRLQPWLRQHLDGVLDFLPL >ENSMUSP00000025230.8 pep:known chromosome:GRCm38:17:34862605:34882100:-1 gene:ENSMUSG00000024371.14 transcript:ENSMUST00000025230.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2 description:complement component 2 (within H-2S) [Source:MGI Symbol;Acc:MGI:88226] MAPLLALFYLLQLGPGLAALFCNQNVNITGGNFTLSHGWAPGSLLIYSCPLGRYPSPAWR KCQSNGQWLTPRSSSHHTLRSSRMVKAVCKPVRCLAPSSFENGIYFPRLVSYPVGSNVSF ECEQDFTLRGSPVRYCRPNGLWDGETAVCDNGASHCPNPGISVGTARTGLNFDLGDKVRY RCSSSNMVLTGSAERECQSNGVWSGSEPICRQPYSYDFPEDVASALDTSLTNLLGATNPT QNLLTKSLGRKIIIQRSGHLNLYLLLDASQSVTEKDFDIFKKSAELMVERIFSFEVNVSV AIITFASQPKTIMSILSERSQDVTEVITSLDSASYKDHENATGTNTYEVLIRVYSMMQSQ MDRLGMETSAWKEIRHTIILLTDGKSNMGDSPKKAVTRIRELLSIEQNRDDYLDIYAIGV GKLDVDWKELNELGSKKDGERHAFILQDAKALQQIFEHMLDVSKLTDTICGVGNMSANAS DQERTPWQVTFKPKSKETCQGSLISDQWVLTAAHCFHDIQMEDHHLWRVNVGDPTSQHGK EFLVEDVIIAPGFNVHAKRKQGISEFYADDIALLKLSRKVKMSTHARPICLPCTVGANMA LRRSPGSTCKDHETELLSQQKVPAHFVALNGNRLNINLRTGPEWTRCIQAVSQNKNIFPS LTNVSEVVTDQFLCSGMEEEDDNPCKGESGGAVFLGRRYRFFQVGLVSWGLFDPCHGSSN KNLRKKPPRGVLPRDFHISLFRLQPWLRQHLDGVLDFLPL >ENSMUSP00000120009.3 pep:known chromosome:GRCm38:17:34862606:34895547:-1 gene:ENSMUSG00000024371.14 transcript:ENSMUST00000148431.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2 description:complement component 2 (within H-2S) [Source:MGI Symbol;Acc:MGI:88226] MVLTGSAERECQSNGVWSGSEPICRQPYSYDFPEDVASALDTSLTNLLGATNPTQNLLTN HENATGTNTYEVLIRVYSMMQSQMDRLGMETSAWKEIRHTIILLTDGKSNMGDSPKKAVT RIRELLSIEQNRDDYLDIYAIGVGKLDVDWKELNELGSKKDGERHAFILQDAKALQQIFE HMLDVSKLTDTICGVGNMSANASDQERTPWQVTFKPKSKETCQGSLISDQWVLTAAHCFH DIQMEDHHLWRVNVGDPTSQHGKEFLVEDVIIAPGFNVHAKRKQGISEFYADDIALLKLS RKVKMSTHARPICLPCTVGANMALRRSPGSTCKDHETELLSQQKVPAHFVALNGNRLNIN LRTGPEWTRCIQAVSQNKNIFPSLTNVSEVVTDQFLCSGMEEEDDNPCKGESGGAVFLGR RYRFFQVGLVSWGLFDPCHGSSNKNLRKKPPRGVLPRDFHISLFRLQPWLRQHLDGVLDF LPL >ENSMUSP00000114241.1 pep:known chromosome:GRCm38:17:34863672:34864412:-1 gene:ENSMUSG00000024371.14 transcript:ENSMUST00000134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2 description:complement component 2 (within H-2S) [Source:MGI Symbol;Acc:MGI:88226] XTCQGSLISDQWVLTAAHCFHDIQMEDHHLWRVNVGDPTSQHGKEFLVEDVIIAPGFNVH AKRKQGISEFYADDIALLKLSRKVKMSTHARPICLPCTVGANMALRRSPGSTCKDHGECW RLEVLGGLQPELAGIRFLQSASRDRAAVLKLSKEICTNTHFSPMAETELLSQQKVPAHFV >ENSMUSP00000146171.1 pep:known chromosome:GRCm38:7:31042513:31051403:-1 gene:ENSMUSG00000036578.7 transcript:ENSMUST00000206341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd7 description:FXYD domain-containing ion transport regulator 7 [Source:MGI Symbol;Acc:MGI:1889006] MATPTQSPTNVPEETDPFFYDYATVQTVGMTLATIMFVLGIIIILSKKVKCRKADSSPTC KSCKSELPSSAPGGGGV >ENSMUSP00000073555.4 pep:known chromosome:GRCm38:7:31042513:31051467:-1 gene:ENSMUSG00000036578.7 transcript:ENSMUST00000073892.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd7 description:FXYD domain-containing ion transport regulator 7 [Source:MGI Symbol;Acc:MGI:1889006] MATPTQSPTNVPEETDPFFYDYATVQTVGMTLATIMFVLGIIIILSKKVKCRKADSRSES PTCKSCKSELPSSAPGGGGV >ENSMUSP00000108142.1 pep:known chromosome:GRCm38:6:124430759:124462744:-1 gene:ENSMUSG00000008153.11 transcript:ENSMUST00000112523.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clstn3 description:calsyntenin 3 [Source:MGI Symbol;Acc:MGI:2178323] MENDNTVLLNPPLFALDKDAPLRYAGEICGFRLHGSGVPFEAVILDKATGEGLIRAKEPV DCEAQKEHTFTIQAYDCGEGPDGTNTKKSHKATVHVRVNDVNEFAPVFVERLYRAAVTEG KLYDRILRVEAIDGDCSPQYSQICYYEILTPNTPFLIDNDGNIENTEKLQYSGEKLYKFT VTAYDCGKKRAADDAEVEIQVKPTCKPSWQGWNKRIEYAPGAGSLALFPGIRLETCDEPL WNIQATIELQTSHVAKGCDRDNYSERALRKLCGAATGEVDLLPMPGPNANWTAGLSVHYS QDSSLIYWFNGTQAVQVPLGGPAGLGSGPQDGFSDHFTLSFWMKHSVTPSKGKKEEETIV CNTVQNEDGYSHYSLTVHGCRIAFLYWPLLESARPVKFLWKLEQVCDDEWHHYALNLEFP TVTLYTDGISFDPALIHDNGLIHPPRREPALMIGACWTEEKNKEKKGGENSTDTASGDPL LIHHYFHGYLAGFSVRSGRLESREVIECLYACREGLDYRDFESLGKGMKVHVNPSQSLLT LEGDDVETFNHALQHVAYMNTLRFATPGVRPLRLTTAVKCFSEESCVSIPEVEGYVVVLQ PDAPQILLSGTAHFARPAVDFEGPEGVPLFPDLQITCSISHQVEAKADESWQGTVTDTRM SDEIVHNLDGCEISLVGDDLDPERESLLLDMASLQQRGLELTNTSAYLTIAGVETITVYE EILRQARYQLRHGAALYARKFRLSCSEMNGRYSSNEFIVEVNVLHSMNRVAHPSHVLSSQ QFLHRGHQPPPEMAGHSLASSHRNSMVPSAATLIIVVCVGFLVLMVILGLVRIHSLHRRV SGTGGPSGASTDPKDPDLFWDDSALTIIVNPMESYQNQQTCVAGVAGGQQEEEDSSDSEA ADSPSSDERRIIESPPHRY >ENSMUSP00000008297.4 pep:known chromosome:GRCm38:6:124430759:124464794:-1 gene:ENSMUSG00000008153.11 transcript:ENSMUST00000008297.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clstn3 description:calsyntenin 3 [Source:MGI Symbol;Acc:MGI:2178323] MTLLLVSLLLASLLQISSGNKANKHKPWIEAEYQGIVMENDNTVLLNPPLFALDKDAPLR YAGEICGFRLHGSGVPFEAVILDKATGEGLIRAKEPVDCEAQKEHTFTIQAYDCGEGPDG TNTKKSHKATVHVRVNDVNEFAPVFVERLYRAAVTEGKLYDRILRVEAIDGDCSPQYSQI CYYEILTPNTPFLIDNDGNIENTEKLQYSGEKLYKFTVTAYDCGKKRAADDAEVEIQVKP TCKPSWQGWNKRIEYAPGAGSLALFPGIRLETCDEPLWNIQATIELQTSHVAKGCDRDNY SERALRKLCGAATGEVDLLPMPGPNANWTAGLSVHYSQDSSLIYWFNGTQAVQVPLGGPA GLGSGPQDGFSDHFTLSFWMKHSVTPSKGKKEEETIVCNTVQNEDGYSHYSLTVHGCRIA FLYWPLLESARPVKFLWKLEQVCDDEWHHYALNLEFPTVTLYTDGISFDPALIHDNGLIH PPRREPALMIGACWTEEKNKEKKGGENSTDTASGDPLLIHHYFHGYLAGFSVRSGRLESR EVIECLYACREGLDYRDFESLGKGMKVHVNPSQSLLTLEGDDVETFNHALQHVAYMNTLR FATPGVRPLRLTTAVKCFSEESCVSIPEVEGYVVVLQPDAPQILLSGTAHFARPAVDFEG PEGVPLFPDLQITCSISHQVEAKADESWQGTVTDTRMSDEIVHNLDGCEISLVGDDLDPE RESLLLDMASLQQRGLELTNTSAYLTIAGVETITVYEEILRQARYQLRHGAALYARKFRL SCSEMNGRYSSNEFIVEVNVLHSMNRVAHPSHVLSSQQFLHRGHQPPPEMAGHSLASSHR NSMVPSAATLIIVVCVGFLVLMVILGLVRIHSLHRRVSGTGGPSGASTDPKDPDLFWDDS ALTIIVNPMESYQNQQTCVAGVAGGQQEEEDSSDSEAADSPSSDERRIIESPPHRY >ENSMUSP00000145422.1 pep:known chromosome:GRCm38:6:124461610:124464101:-1 gene:ENSMUSG00000008153.11 transcript:ENSMUST00000150774.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clstn3 description:calsyntenin 3 [Source:MGI Symbol;Acc:MGI:2178323] MENDNTVLLNPPLFALDKDAPLRYAGEICGFRLHGSGVPFEAVILDKATGEGLIRAKEPV DCEA >ENSMUSP00000077106.5 pep:known chromosome:GRCm38:14:61221059:61258490:-1 gene:ENSMUSG00000035296.13 transcript:ENSMUST00000077954.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgcg description:sarcoglycan, gamma (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:1346524] MVREQYTTVTEGTHIERPENQHIYKIGIYGWRKRCLYLFVLLLLAILVVNLALTIWILKV MWFSPIGMGHLHVTADGLRLEGESEFLFPLYAKEIRSRVDSSLLLQSTQNVTVSARNSEG EVTGRVKVGAQMVEVQSQHFQINSEDGKPLFSAEEQDVVVGTGRLRVTGPEGALFEHSVE TPLVRADPFQDLRLESPTRSLSMDAPRGVHVKANAGKLEALSQMDIILQSSEGVLVLDAE TVGLTKLKQGTQGPAGSSNGFYEICACPDGKLYLSMAGEVTTCEEHSHVCL >ENSMUSP00000112576.1 pep:known chromosome:GRCm38:14:61221444:61258424:-1 gene:ENSMUSG00000035296.13 transcript:ENSMUST00000121148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgcg description:sarcoglycan, gamma (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:1346524] MVREQYTTVTEGTHIERPENQHIYKIGIYGWRKRCLYLFVLLLLAILVVNLALTIWILKV MWFSPIGMGHLHVTADGLRLEGESEFLFPLYAKEIRSRVDSSLLLQSTQNVTVSARNSEG EVTGRVKVGAQMVEVQSQHFQINSEDGKPLFSAEEQDVVVGTGRLRVTGPEGALFEHSVE TPLVRADPFQDLRLESPTRSLSMDAPRGVHVKANAGKLEALSQMDIILQSSEGVLVLDAE TVGLTKLKQGTQGPAGSSNGFYEICACPDGKLYLSMAGEVTTCEEHSHVCL >ENSMUSP00000117191.1 pep:known chromosome:GRCm38:14:61222816:61232440:-1 gene:ENSMUSG00000035296.13 transcript:ENSMUST00000129886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgcg description:sarcoglycan, gamma (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:1346524] XVVVGTGRLRVTGPEGALFEHSVETPLVRADPFQDLRLESPTRSLSMDAPRGVHVKANAG KLEALSQMDIILQSSEGVPTVGPIIPVAIPHD >ENSMUSP00000064547.2 pep:known chromosome:GRCm38:11:84876315:84880195:-1 gene:ENSMUSG00000045140.8 transcript:ENSMUST00000067058.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigw description:phosphatidylinositol glycan anchor biosynthesis, class W [Source:MGI Symbol;Acc:MGI:1917575] MSQKQLKEAFVRNLSGTSVLEVTQGLCFPAFCILCRGLWIIFSQHVCSFSNTWSTRFLMD FVVLIVPLVITLTVLSSFILLENLTVIVWGAWLLYQIYHRRTCYAKVPVQKVFANFLKIS LESEYNPAITCYRVINSVFTAIAILAVDFPLFPRRFAKTELYGTGAMDFGVGGFIFGAAM VCPEVRRKSIEESRFNYLRKSLYSVWPLVFLGMGRLVIIKSIGYQEHSTEYGIHWNFFFT IIVVRLVTSLLLIIFPLNKSWIVAVSITVVYQLALDYTPLKRILLYGTDGSGTRVGFLNA NREGIISTLGYVTIHMAGVQTGLYVLKGRAQVRDWIKATCWVFSVAVGFFISLHIVQVNI EAVSRRMANLAFCLWVVASSLMLLSCLLLSGIILSFAQFLIKGSLVPCSWKLIQSPTTHK NHSESLILEAEKNQPSLCLITALNRNQLFFFLLSNITTGLINLTMDTLHTGALWTLVVLS IYMFTNCLVIYVLDLQGKTIKFW >ENSMUSP00000103715.2 pep:known chromosome:GRCm38:11:84876843:84880285:-1 gene:ENSMUSG00000045140.8 transcript:ENSMUST00000108080.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigw description:phosphatidylinositol glycan anchor biosynthesis, class W [Source:MGI Symbol;Acc:MGI:1917575] MSQKQLKEAFVRNLSGTSVLEVTQGLCFPAFCILCRGLWIIFSQHVCSFSNTWSTRFLMD FVVLIVPLVITLTVLSSFILLENLTVIVWGAWLLYQIYHRRTCYAKVPVQKVFANFLKIS LESEYNPAITCYRVINSVFTAIAILAVDFPLFPRRFAKTELYGTGAMDFGVGGFIFGAAM VCPEVRRKSIEESRFNYLRKSLYSVWPLVFLGMGRLVIIKSIGYQEHSTEYGIHWNFFFT IIVVRLVTSLLLIIFPLNKSWIVAVSITVVYQLALDYTPLKRILLYGTDGSGTRVGFLNA NREGIISTLGYVTIHMAGVQTGLYVLKGRAQVRDWIKATCWVFSVAVGFFISLHIVQVNI EAVSRRMANLAFCLWVVASSLMLLSCLLLSGIILSFAQFLIKGSLVPCSWKLIQSPTTHK NHSESLILEAEKNQPSLCLITALNRNQLFFFLLSNITTGLINLTMDTLHTGALWTLVVLS IYMFTNCLVIYVLDLQGKTIKFW >ENSMUSP00000138033.1 pep:known chromosome:GRCm38:17:35113948:35115428:-1 gene:ENSMUSG00000043807.6 transcript:ENSMUST00000172854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g5b description:lymphocyte antigen 6 complex, locus G5B [Source:MGI Symbol;Acc:MGI:2385809] MVITIYKNTTVRFHVRGCGQHHSYRCQERHVIYQSDYLYKADCCQYDYCNSWSSAQHQST LRGSPGSHLGMPLSASQIKQFYQALNLSLPQPGFHAHKVSEGLESLILPPELGLSIADLR QIYLFLNSSGLLVLPWDRP >ENSMUSP00000052133.4 pep:known chromosome:GRCm38:17:35113982:35115428:-1 gene:ENSMUSG00000043807.6 transcript:ENSMUST00000062657.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g5b description:lymphocyte antigen 6 complex, locus G5B [Source:MGI Symbol;Acc:MGI:2385809] MRARVLVGMLTMVGFAMGKAPVARVRTCHLCLLEDPSLGCISGSEKCTISLPSPCMVITI YKNTTVRFHVRGCGQHHSYRCQERHVIYQSDYLYKADCCQYDYCNSWSSAQHQSTLRGSP GSHLGMPLSASQIKQFYQALNLSLPQPGFHAHKVSEGLESLILPPELGLSIADLRQIYLF LNSSGLLVLPWDRP >ENSMUSP00000112998.2 pep:known chromosome:GRCm38:8:13566950:13677603:-1 gene:ENSMUSG00000031453.15 transcript:ENSMUST00000117551.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasa3 description:RAS p21 protein activator 3 [Source:MGI Symbol;Acc:MGI:1197013] MAVEEEGLRVFQSVRIKIGEAKNLPSYPGPNKMRDCYCTVNLDQEEVFRTKIVEKSLCPF YGEDFYCEIPRSFRHLSFYIFDRDVFRRDSIIGKVAIQKEDLQRYHNRDTWFQLQHVDAD SEVQGKVHLELRLSEVITDTGVVCHKLAARIFECQGLPIVNGQCDPYATVTLAGPFRSEA KKTKVKKKTNNPQFDEVFYFEVTRPCSYSKKSHFDFEEEDVDKLEIRVDLWNASNLKFGD EFLGELRLPLKILRHSSSYEAWYFLQPRDNGNKSLKPDDLGSLRLNVVYTEDHVFSSEYY SPLRDLLLKSADVEPVSASAAHILGEVCRDKQEAAIPLVRLLLHYGRVVPFISAIASAEV KRTQDPNTIFRGNSLTSKCIDETMKLAGMHYLHVTLKPTIEEICQSHKSCEIDPVKLKDG ENLENNMESLRQYVDRIFTVITKSGVSCPTVMCDIFFSLREAAAKRFQDDLDVRYTAVSS FIFLRFFAPAILSPNLFQLTPHHTDPQTSRTLTLISKTIQTLGSLSKSKSASFKESYMAT FYEFFNEQKYADAVKNFLDLISSSGRRDPKSIEQPILLKEGFMIKRAQGRKRFGMKNFKK RWFRLTNHEFTYQKSKGDQPLCNIPIENILAVERLEEESFRMKNMFQVIQPERALYIQAN NCVEAKDWIDILTKVSQCNQKRLTVFHPSAYLNGHWLCCRASSDTAAGCTPCTGGLPANI QLDIDGDRETERIYSLFNLYMGKLEKMQEACGSKSVYDGPEQEEYSTFVIDDPQETYKTL KQVIAGVGTLEQEHAQYRRDKFKKTRYGSQEHPIGDKSFQNYIRQQSEISTHSI >ENSMUSP00000122968.1 pep:known chromosome:GRCm38:8:13595810:13677451:-1 gene:ENSMUSG00000031453.15 transcript:ENSMUST00000154454.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rasa3 description:RAS p21 protein activator 3 [Source:MGI Symbol;Acc:MGI:1197013] MAVEEEGLRVFQSVRIKIGSTLSPPSVLPCLYHPRQLLL >ENSMUSP00000056956.4 pep:known chromosome:GRCm38:7:6460511:6461455:1 gene:ENSMUSG00000048620.4 transcript:ENSMUST00000056120.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1336 description:olfactory receptor 1336 [Source:MGI Symbol;Acc:MGI:3031170] MEKSLELGNVTRVQEFVLLGLSTRLGIRDALFVIFLTLYLLTLLENTLIIYLICSHSELH KPMYFFLGNLSCLEMCYVSVTMPTLLMGLWTGPCHVPFTGCMTQLFFFISLICTECTLLA SMAYDRYVAICRPLHYPLLMRPQVCLGLALSSWLGGLLVSVIKTACIASLSYCGPNVLNH FFCDVSPLLNLSCTHVALTELVDFISAIVILWGSLLVAMASYVAIGRTVLGMPSAAARHK AFSTCASHLVVVGIFYSATIFIYARPSRIEAMDLNKVLSVIYTVVTPMCNPVIYCLRNRE VQSAFHRTMRWSSV >ENSMUSP00000138475.1 pep:known chromosome:GRCm38:19:7864388:7966000:-1 gene:ENSMUSG00000067656.13 transcript:ENSMUST00000182102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a27 description:solute carrier family 22, member 27 [Source:MGI Symbol;Acc:MGI:3042283] MSFQELLNQVGSLGRFQILQIVFLLLLNAIVVPHIAMENFTAAIPNHRCWVPILDNDTAS DNGSRILSQDDLLRISIPLDSNLRPEKCRRFAQPQWHLLHLNGTFSNVSEPDTEPCVDGW VYDRSNFLSTIVTEWDLVCESQALNSVTKFSFMIGLFIGGIICGHLSDRWLSESARWLIV TNKPQKGLKELRKVAHMNGMKNSGDLTMEIVRTSMKAELEAAKTKPSLRDLFHTSILRKR ICVLSFMRLFFTVSIFGLAVHLQHLSSNIILLQFLISALAILVSVIGPFVLNHIGRRITY LVLMSLRGIFILIAVFVPQEMQTLRIIMATLAEGISSLCVGVSRLHTNELLPTTLRATAV GVIGFFGNSGSFLSPLFMLLATYYANMPWIFYGGFSIFNAFTVFLLPETKNQPLPDSTHD VGNDWKESRKGKKEDPIIKVTRF >ENSMUSP00000093991.1 pep:known chromosome:GRCm38:19:7864388:7966027:-1 gene:ENSMUSG00000067656.13 transcript:ENSMUST00000075619.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a27 description:solute carrier family 22, member 27 [Source:MGI Symbol;Acc:MGI:3042283] MSFQELLNQVGSLGRFQILQIVFLLLLNAIVVPHIAMENFTAAIPNHRCWVPILDNDTAS DNGSRILSQDDLLRISIPLDSNLRPEKCRRFAQPQWHLLHLNGTFSNVSEPDTEPCVDGW VYDRSNFLSTIVTEWDLVCESQALNSVTKFSFMIGLFIGGIICGHLSDRLGRKFILTCAL LQFAITETCVAFAPSFFIYCSLRFLAGLSVEPILVNSHLLMLEWTSPKFLTMMAALLSCA PNIGYMISAGLAFLFRIWHHLQLTMSVPIFFFLILTRWLSESARWLIVTNKPQKGLKELR KVAHMNGMKNSGDLTMEIVRTSMKAELEAAKTKPSLRDLFHTSILRKRICVLSFMRLFFT VSIFGLAVHLQHLSSNIILLQFLISALAILVSVIGPFVLNHIGRRITYLVLMSLRGIFIL IAVFVPQEMQTLRIIMATLAEGISSLCVGVSRLHTNELLPTTLRATAVGVIGFFGNSGSF LSPLFMLLATYYANMPWIFYGGFSIFNAFTVFLLPETKNQPLPDSTHDVGNDWKESRKGK KEDPIIKVTRF >ENSMUSP00000026125.2 pep:known chromosome:GRCm38:11:120592121:120598365:-1 gene:ENSMUSG00000025134.2 transcript:ENSMUST00000026125.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alyref description:Aly/REF export factor [Source:MGI Symbol;Acc:MGI:1341044] MADKMDMSLDDIIKLNRSQRGGRGGGRGRGRAGSQGGRGGAVQAAARVNRGGGPMRNRPA IARGAAGGGRNRPAPYSRPKQLPDKWQHDLFDSGFGGGAGVETGGKLLVSNLDFGVSDAD IQELFAEFGTLKKAAVHYDRSGRSLGTADVHFERKADALKAMKQYNGVPLDGRPMNIQLV TSQIDTQRRPAQSINRGGMTRNRGSGGFGGGGTRRGTRGGSRGRGRGTGRNSKQQLSAEE LDAQLDAYNARMDTS >ENSMUSP00000086745.4 pep:known chromosome:GRCm38:16:57624258:57754737:-1 gene:ENSMUSG00000068196.4 transcript:ENSMUST00000089332.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col8a1 description:collagen, type VIII, alpha 1 [Source:MGI Symbol;Acc:MGI:88463] MAVPPRPLQLLGILFIISLNSVRLIQAGAYYGIKPLPPQIPPQIPPQIPQYQPLGQQVPH MPLGKDGLSMGKEMPHMQYGKEYPHLPQYMKEIPPVPRMGKEVVPKKGKGEVPLASLRGE QGPRGEPGPRGPPGPPGLPGHGMPGIKGKPGPQGYPGIGKPGMPGMPGKPGAMGMPGAKG EIGPKGEIGPMGIPGPQGPPGPHGLPGIGKPGGPGLPGQPGAKGERGPKGPPGPPGLQGP KGEKGFGMPGLPGLKGPPGMHGPPGPVGLPGVGKPGVTGFPGPQGPLGKPGPPGEPGPQG LIGVPGVQGPPGMPGVGKPGQDGIPGQPGFPGGKGEQGLPGLPGPPGLPGVGKPGFPGPK GDRGIGGVPGVLGPRGEKGPIGAPGMGGPPGEPGLPGIPGPMGPPGAIGFPGPKGEGGVV GPQGPPGPKGEPGLQGFPGKPGFLGEVGPPGMRGLPGPIGPKGEGGHKGLPGLPGVPGLL GPKGEPGIPGDQGLQGPPGIPGIVGPSGPIGPPGIPGPKGEPGLPGPPGFPGVGKPGVAG LHGPPGKPGALGPQGQPGLPGPPGPPGPPGPPAVMPTPSPQGEYLPDMGLGIDGVKPPHA YAGKKGKHGGPAYEMPAFTAELTVPFPPVGAPVKFDKLLYNGRQNYNPQTGIFTCEVPGV YYFAYHVHCKGGNVWVALFKNNEPMMYTYDEYKKGFLDQASGSAVLLLRPGDQVFLQMPS EQAAGLYAGQYVHSSFSGYLLYPM >ENSMUSP00000091502.4 pep:known chromosome:GRCm38:11:84880148:84911226:1 gene:ENSMUSG00000020527.14 transcript:ENSMUST00000093969.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo19 description:myosin XIX [Source:MGI Symbol;Acc:MGI:1913446] MLQQVNGHSLGSDAEGRASLKGDLREFLGGEIPLHQLDDLTKVNPVTLETVLRCLQARYT EDIFYTNAGCTLVALNPFKHVPQLYAPELMQEYHAAPQPQKLKPHIFTVGEQTYRNVKSL IEPVNQSIVVSGESGAGKTWTSRCLMKFYAVVAASPTSCENHKIAERIEQRILNSNPVME AFGNACTLRNSNSSRFGKFIQLQLNRAQQMTGAAVQTYLLEKTRVACQASSERNFHIFYQ ICKGATKDERLQWHLPEGTAFSWLPNPESSLEEDCFEVTREAMLHLGIDTPTQNNIFKVL AGLLHLGNVHFVDSEDEALPCQVMDDTKVSVRTSALLLQLPEKMLLESMQIRTIKAGKQQ QVFQKPCSRAECDTRRDCLAKLIYARLFDWLVSVINSSICADSKSWTAFIGLLDVYGFES FPNNSLEQLCINYANEKLQQHFVAHYLRAQQEEYEVEGLEWSFVNYQDNQTCLDLLEGSP ISICSLINEECRLNRPSSAAQLQTRIESTLAGRPCLGHNKLSREPSFVVVHFAGPVRYHT AGLVEKNKDPVPPELTELLQQSQDPLLTMLFPANPEEKTQEELSGQSRAPALTVVSKFKA SLEQLLQVLHNTTPHYIRCIKPNSQSQPQTFLQEEVLNQLEACGLVETIHISAAGFPIRV SHQNFIERYKLLRRLGPRMSSGLGGLEPAEGSSEQPLCAKEATLQPLLQDILHALPALIQ TAATPSDPAKNTQIPLYCGRTKIFMTDSMLELLECGRAQMLEQCARCIQCGWRRHRLQKQ EKQRRAAVLIQAAFRSWLTRKHIRRLHIAATVIKHAWHKWRIRMACLASKELDGMEEKPM PQAPGTLRSSMSPAHTRFLGAIIHLWPLGLVLANSADGVRGFQRKLVAHACLRLPSDRPS NKVQTPQQDQAGITSIRALPQGSIKFHCRKSPLQYADICPDPSASCVTGFNQILLESHRP VQV >ENSMUSP00000020837.6 pep:known chromosome:GRCm38:11:84880367:84893055:1 gene:ENSMUSG00000020527.14 transcript:ENSMUST00000020837.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo19 description:myosin XIX [Source:MGI Symbol;Acc:MGI:1913446] MLQQVNGHSLGSDAEGRASLKGDLREFLGGEIPLHQLDDLTKVNPVTLETVLRCLQARYT EDIFYTNAGCTLVALNPFKHVPQLYAPELMQEYHAAPQPQKLKPHIFTVGEQTYRNVKSL IEPVNQSIVVSGESGAGKTWTSRCLMKFYAVVAASPTSCENHKIAERIEQRILNSNPVME AFGKLSVLALPSGAISSATRPPLPRC >ENSMUSP00000146519.1 pep:known chromosome:GRCm38:7:6467318:6474508:1 gene:ENSMUSG00000054938.5 transcript:ENSMUST00000207658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1346 description:olfactory receptor 1346 [Source:MGI Symbol;Acc:MGI:3031180] MERSLQLANRSDDQDFILLGLSASKDIKDGLFVIFLTLYLLIFLENMLVIYLISSHHELL HKPMYFFLGNLSCLEMCYVSVTMPTLLVGLRSSPYHVSFSFCMAQLFLFMSLIGTKCTLL ASMAYDRYVAIC >ENSMUSP00000050553.5 pep:known chromosome:GRCm38:7:6474088:6475138:1 gene:ENSMUSG00000054938.5 transcript:ENSMUST00000056144.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1346 description:olfactory receptor 1346 [Source:MGI Symbol;Acc:MGI:3031180] MERSLQLANRSDDQDFILLGLSASKDIKDGLFVIFLTLYLLIFLENMLVIYLISSHHELL HKPMYFFLGNLSCLEMCYVSVTMPTLLVGLRSSPYHVSFSFCMAQLFLFMSLIGTKCTLL ASMAYDRYVAICCPLHYSVIMRPQVCWGLALSSWVGGLLVSAIKTTCIASLSYCGPNVLN HFFCDVSPLLNLSCTHVALTELIDFISAIIIFCGSLLVALASYVAIGRVLIKMPSAAASH KALSTCASHLLVMGLFYSVVLFMYSRPSHVKSTDLNKVLSVIYTVATPMCSPIIYCLRNR EVHAVLRRTPCLC >ENSMUSP00000085132.1 pep:known chromosome:GRCm38:19:12058809:12059750:-1 gene:ENSMUSG00000067528.1 transcript:ENSMUST00000087830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1424 description:olfactory receptor 1424 [Source:MGI Symbol;Acc:MGI:3031258] MEMENYTRIKELIFLGLTQSQQVSAVLFLFLLLVYVTTLLGNLLIMVTVTCESRLHTPMY FLLRNLSVADICFSSITAPKVLVDLTSNRKTISFNGCLTQMFFFHLIGGVDAFSLSVMAL DRYVAISKPLHYVTIMSRGRCIGLIVASWVGGFAHSIVQISLLLTLPFCGPNVLDTFYCD VPQVIKLACTDIVVLELLMISNNGMLTTLWFFLLLVSYMVILLLLKSQSGEGKKKAISTC TTHITVVTLHFVPCIYVYARPFTALPTDKVISVTFTVISPLLNPLIYTLRNQEMKSAMRR LRKKFRFSHWIEK >ENSMUSP00000078526.6 pep:known chromosome:GRCm38:6:146108299:146502178:-1 gene:ENSMUSG00000030287.15 transcript:ENSMUST00000079573.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr2 description:inositol 1,4,5-triphosphate receptor 2 [Source:MGI Symbol;Acc:MGI:99418] MSDKMSSFLYIGDIVSLYAEGSVNGFISTLGLVDDRCVVHPEAGDLANPPKKFRDCLFKV CPMNRYSAQKQYWKAKQAKQGNHTEAALLKKLQHAAELEQKQNESENRKLLGEIVKYSNV IQLLHIKSNKYLTVNKRLPALLEKNAMRVSLDAAGNEGSWFYIHPFWKLRSEGDNVNAVN CNTSWKITLFMKFSSYREDVLKGGDVVRLFHAEQEKFLTCDDYEKKQHIFLRTTLRQSAT SATSSKALWEIEVVHHDPCRGGAGQWNSLFRFKHLATGNYLAAELNPDYRDAQNEGKNVK DGEIPTPKKKRQAGEKIMYTLVSVPHGNDIASLFELDATTLQRADCLVPRNSYVRLRHLC TNTWVTSTTIPIDTEEERPVMLKIGTCQTKEDKEAFAIVCVPLSEVRDLDFANDANKVLA TTVKKLENGSITQNERRFVTKLLEDLIFFVADVTNNGQDVLDVVITKPNRERQKLMREQN ILAQVFGILKAPFKEKAGEGSMLRLEDLGDQRYAPYKYVLRLCYRVLRHSQQDYRKNQEY IAKNFCVMQSQIGYDILAEDTITALLHNNRKLLEKHITAKEIETFVSLLRRNREPRFLDY LSDLCVSNSTAIPVTQELICKFMLSPGNADILIQTKLVSMQVENPMESSILPDDIDDEEV WLYWIDSNKEPHGKAIRHLAQEAREGTKADLEVLTYYRYQLNLFARMCLDRQYLAINQIS TQLSVDLILRCVSDESLPFDLRASFCRLMLHMHVDRDPQESVVPVRYARLWTEIPTKITI HEYDSITDSSRNDMKRKFALTMEFVEEYLKEVVNQPFPFGDKEKNKLTFEVVHLARNLIY FGFYSFSELLRLTRTLLAILDIVQAPMSSYFERLSKFQDGSNNVMRTIHGVGEMMTQMVL SRGSIFPVSVPDAQPIVHPSKQASPGEQEDVTVMDTKLKVIEILQFILSVRLDYRISYML SIYKKEFGDNNDNGDPSASGTPDTLLPSALVPDIDEIAAQAETMFAGRKEKTPVQLDDEG GRTFLRVLIHLIMHDYAPLLSGALQLLFKHFSQRAEVLQAFKQVQLLVSNQDVDNYKQIK ADLDQLRLTVEKSELWVEKSGSYENGDVGEGQAKGGEEANEESNLLSPVQDGAKTPQIDS NKGNNYRIVKEILIRLSKLCVQNKKCRNQHQRLLKNMGAHSVVLDLLQIPYEKTDEKMNE VMDLAHTFLQNFCRGNPQNQVLLHKHLNLFLTPGLLEAETMRHIFMNNYHLCNEISERVV QHFVHCIETHGRHVEYLRFLQTIVKADGKYVKKCQDMVMTELINGGEDVLIFYNDRASFP ILLNMMCSERARGDESGPLAYHITLVELLAACTEGKNVYTEIKCNSLLPLDDIVRVVTHD DCIPEVKIAYVNFVNHCYVDTEVEMKEIYTSNHIWKLFENFLVDMARVCNTTTDRKHADT FLERCVTESVMNIVSGFFNSPFSDNSTSLQTHQPVFIQLLQSAFRIYNCTWPNPAQKASV ESCIRALAEVAKNRGIAIPVDLDSQVNTLFMKNHSSTVQRAAMGWRLSARSGPRFKEALG GPAWDYRNIIEKLQDVVASLEQQFSPMMQAEFSVLVDVLYSPELLFPEGSDARIRCGAFM SKLINHTKKLMEKEEKLCIKILQTLREMLEKKDSFMEEGSTLRRILLNRYFKGDHSISVN GPLSGAYAKTAQVGGSFSGQDSDKKGISMSDIQCLLDKEGASELVIDVIVNTKNDRIFSE GILLGIALLEGGNTQTQYSFYQQLHEQKKSEKFFKVLYDRMKAAQKEIRSTVTVNTIDLG SKKREEDSDVMALGPRMRVRDSSLHLREGMKGQLTEASSATSKAYCVYRREMDPEIDTMC PGQEAGSAEEKSAEEVTMSPAITIMRPILRFLQLLCENHNRELQNFLRNQNNKTNYNLVC ETLQFLDCICGSTTGGLGLLGLYINERNVALVNQTLESLTEYCQGPCHENQTCIATHESN GIDIIIALILNDINPLGKYRMDLVLQLKNNASKLLLAIMESRHDSENAERILFNMRPREL VDVMKNAYNQGLECDHGDEEGGDDGVSPKDVGHNIYILAHQLARHNKLLQQMLKPGSDPE EGDEALKYYANHTAQIEIVRHDRTMEQIVFPVPNICEFLTRESKYRVFNTTERDEQGSKV NDFFQQTEDLYNEMKWQKKIRNNPALFWFSRHISLWGSISFNLAVFINLAVALFYPFGDD GDEGTLSPMFSVLLWVAVAICTSMLFFFSKPVGIRPFLVSVMLRSIYTIGLGPTLILLGA ANLCNKIVFLVSFVGNRGTFTRGYRAVILDMAFLYHVAYVLVCMLGLFVHEFFYSFLLFD LVYREETLLNVIKSVTRNGRSIILTAVLALILVYLFSIIGFLFLKDDFTMEVDRLKNRTP VTGNHGVPTMTLSSMMETCQKENCSPTIPSSNTAGEEGEDGIERTCDTLLMCIVTVLNQG LRNGGGVGDVLRRPSKDEPLFAARVVYDLLFFFIVIIIVLNLIFGVIIDTFADLRSEKQK KEEILKTTCFICGLERDKFDNKTVSFEEHIKSEHNMWHYLYFIVLVKVKDPTEYTGPESY VAQMITEKNLDWFPRMRAMSLVSNEGDSEQNEIRNLQEKLESTMSLVKQLSGQLAELKEQ MTEQRKNKQRLGFLGSNTPHVNHHMPPH >ENSMUSP00000049584.8 pep:known chromosome:GRCm38:6:146111480:146501912:-1 gene:ENSMUSG00000030287.15 transcript:ENSMUST00000053273.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr2 description:inositol 1,4,5-triphosphate receptor 2 [Source:MGI Symbol;Acc:MGI:99418] MSDKMSSFLYIGDIVSLYAEGSVNGFISTLGLVDDRCVVHPEAGDLANPPKKFRDCLFKV CPMNRYSAQKQYWKAKQAKQGNHTEAALLKKLQHAAELEQKQNESENRKLLGEIVKYSNV IQLLHIKSNKYLTVNKRLPALLEKNAMRVSLDAAGNEGSWFYIHPFWKLRSEGDNIVVGD KVVLMPVNAGQPLHASNVELLDNPGCKEVNAVNCNTSWKITLFMKFSSYREDVLKGGDVV RLFHAEQEKFLTCDDYEKKQHIFLRTTLRQSATSATSSKALWEIEVVHHDPCRGGAGQWN SLFRFKHLATGNYLAAELNPDYRDAQNEGKNVKDGEIPTPKKKRQAGEKIMYTLVSVPHG NDIASLFELDATTLQRADCLVPRNSYVRLRHLCTNTWVTSTTIPIDTEEERPVMLKIGTC QTKEDKEAFAIVCVPLSEVRDLDFANDANKVLATTVKKLENGSITQNERRFVTKLLEDLI FFVADVTNNGQDVLDVVITKPNRERQKLMREQNILAQVFGILKAPFKEKAGEGSMLRLED LGDQRYAPYKYVLRLCYRVLRHSQQDYRKNQEYIAKNFCVMQSQIGYDILAEDTITALLH NNRKLLEKHITAKEIETFVSLLRRNREPRFLDYLSDLCVSNSTAIPVTQELICKFMLSPG NADILIQTKLVSMQVENPMESSILPDDIDDEEVWLYWIDSNKEPHGKAIRHLAQEAREGT KADLEVLTYYRYQLNLFARMCLDRQYLAINQISTQLSVDLILRCVSDESLPFDLRASFCR LMLHMHVDRDPQESVVPVRYARLWTEIPTKITIHEYDSITDSSRNDMKRKFALTMEFVEE YLKEVVNQPFPFGDKEKNKLTFEVVHLARNLIYFGFYSFSELLRLTRTLLAILDIVQAPM SSYFERLSKFQDGSNNVMRTIHGVGEMMTQMVLSRGSIFPVSVPDAQPIVHPSKQASPGE QEDVTVMDTKLKVIEILQFILSVRLDYRISYMLSIYKKEFGDNNDNGDPSASGTPDTLLP SALVPDIDEIAAQAETMFAGRKEKTPVQLDDEGGRTFLRVLIHLIMHDYAPLLSGALQLL FKHFSQRAEVLQAFKQVQLLVSNQDVDNYKQIKADLDQLRLTVEKSELWVEKSGSYENGD VGEGQAKGGEEANEESNLLSPVQDGAKTPQIDSNKGNNYRIVKEILIRLSKLCVQNKKCR NQHQRLLKNMGAHSVVLDLLQIPYEKTDEKMNEVMDLAHTFLQNFCRGNPQNQVLLHKHL NLFLTPGLLEAETMRHIFMNNYHLCNEISERVVQHFVHCIETHGRHVEYLRFLQTIVKAD GKYVKKCQDMVMTELINGGEDVLIFYNDRASFPILLNMMCSERARGDESGPLAYHITLVE LLAACTEGKNVYTEIKCNSLLPLDDIVRVVTHDDCIPEVKIAYVNFVNHCYVDTEVEMKE IYTSNHIWKLFENFLVDMARVCNTTTDRKHADTFLERCVTESVMNIVSGFFNSPFSDNST SLQTHQPVFIQLLQSAFRIYNCTWPNPAQKASVESCIRALAEVAKNRGIAIPVDLDSQVN TLFMKNHSSTVQRAAMGWRLSARSGPRFKEALGGPAWDYRNIIEKLQDVVASLEQQFSPM MQAEFSVLVDVLYSPELLFPEGSDARIRCGAFMSKLINHTKKLMEKEEKLCIKILQTLRE MLEKKDSFMEEGSTLRRILLNRYFKGDHSISVNGPLSGAYAKTAQVGGSFSGQDSDKKGI SMSDIQCLLDKEGASELVIDVIVNTKNDRIFSEGILLGIALLEGGNTQTQYSFYQQLHEQ KKSEKFFKVLYDRMKAAQKEIRSTVTVNTIDLGSKKREEDSDVMALGPRMRVRDSSLHLR EGMKGQLTEASSATSKAYCVYRREMDPEIDTMCPGQEAGSAEEKSAEEVTMSPAITIMRP ILRFLQLLCENHNRELQNFLRNQNNKTNYNLVCETLQFLDCICGSTTGGLGLLGLYINER NVALVNQTLESLTEYCQGPCHENQTCIATHESNGIDIIIALILNDINPLGKYRMDLVLQL KNNASKLLLAIMESRHDSENAERILFNMRPRELVDVMKNAYNQGLECDHGDEEGGDDGVS PKDVGHNIYILAHQLARHNKLLQQMLKPGSDPEEGDEALKYYANHTAQIEIVRHDRTMEQ IVFPVPNICEFLTRESKYRVFNTTERDEQGSKVNDFFQQTEDLYNEMKWQKKIRNNPALF WFSRHISLWGSISFNLAVFINLAVALFYPFGDDGDEGTLSPMFSVLLWVAVAICTSMLFF FSKPVGIRPFLVSVMLRSIYTIGLGPTLILLGAANLCNKIVFLVSFVGNRGTFTRGYRAV ILDMAFLYHVAYVLVCMLGLFVHEFFYSFLLFDLVYREETLLNVIKSVTRNGRSIILTAV LALILVYLFSIIGFLFLKDDFTMEVDRLKNRTPVTGNHGVPTMTLSSMMETCQKENCSPT IPSSNTAGEEGEDGIERTCDTLLMCIVTVLNQGLRNGGGVGDVLRRPSKDEPLFAARVVY DLLFFFIVIIIVLNLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKFDNKTVSFE EHIKSEHNMWHYLYFIVLVKVKDPTEYTGPESYVAQMITEKNLDWFPRMRAMSLVSNEGD SEQNEIRNLQEKLESTMSLVKQLSGQLAELKEQMTEQRKNKQRLGFLGSNTPHVNHHMPP H >ENSMUSP00000144726.1 pep:known chromosome:GRCm38:6:146180261:146227543:-1 gene:ENSMUSG00000030287.15 transcript:ENSMUST00000203292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr2 description:inositol 1,4,5-triphosphate receptor 2 [Source:MGI Symbol;Acc:MGI:99418] XDINPLGKYRMDLVLQLKLARHNKLLQQMLKPGSDPEEGDEALKYYANHTAQIEIVRHDR TMEQIVFPVPNICEFLTRESKYRVFNTTERDEQGSKVNDFFQQTEDLYNEMKWQKKIRNN PALFWFSRHISLWGSISFNLAVFINLAVALFYPFGDDGDEGTLSPMFSVLLWVAVAICTS MLFFFSKPVGIR >ENSMUSP00000121773.1 pep:known chromosome:GRCm38:6:146357700:146396986:-1 gene:ENSMUSG00000030287.15 transcript:ENSMUST00000131890.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr2 description:inositol 1,4,5-triphosphate receptor 2 [Source:MGI Symbol;Acc:MGI:99418] HGNDIASLFELDATTLQRADCLVPRNSYVRLRHLCTNTWVTSTTIPIDTEEERPVMLKIG TCQTKEDKEAFAIVCVPLSEVRDLDFANDANKVLATTVKKLENGSITQNERRFVTKLLED LIFFVADVTNNGQDVLDVVITKPNRERQKLMREQNILAQVFGILKAPFKEKAGEGSMLRL EDLGDQRYAPYKYVLRLCYRVLRHSQQDYRKNQEYIAKNFCVMQSQIGYDILAEDTITAL LHNNRKLLEKHITAKEIETFVSLLRRNREPRFLDYLSDLCVSNSTAIPVTQELICKFMLS PGNADILIQTKLVSMQVENPMESSILPDDIDDEEVWLYWIDSNKEPHGKAIRHLAQEARE GTKADLEVLTYYRYQLNLFARMCLDRQYLAINQISTQLSVDLILRCVSDESLPFDLRASF CRLMLHMHVDRDPQESVVPVRYARLWTEIPTKITIHEYDSITDSSRNDMKRKFALTMEFV EEYLKEVVNQPFPFGDKEKNKLTFEVVHLARNLIYFGFYSFSELLRLTRTLLAILDIVQA PMSSYFERLSKFQDGSNNVMRTIHGVGEMMTQMVLSRGSIFPVSVPDAQPIVHPSKQASP GEQEDVTVMDTKLKVIEILQTLRATLQQPLELH >ENSMUSP00000119110.2 pep:known chromosome:GRCm38:6:146373123:146502223:-1 gene:ENSMUSG00000030287.15 transcript:ENSMUST00000139732.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itpr2 description:inositol 1,4,5-triphosphate receptor 2 [Source:MGI Symbol;Acc:MGI:99418] MSDKMSSFLYIGDIVSLYAEGSVNGFISTLGLPVQGVPYESILCPEAILESQAGETGEPY RGCPAEEAPACCRAGTKTE >ENSMUSP00000100832.2 pep:known chromosome:GRCm38:7:21097569:21098513:-1 gene:ENSMUSG00000094680.1 transcript:ENSMUST00000105197.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r121 description:vomeronasal 1 receptor 121 [Source:MGI Symbol;Acc:MGI:3648662] MSVRGKSLKTTEEVALQIILLCQFGVGTMANVFLFVHNSSPVLTGSKQRPRQVILSHMAV ANALTLFLTVFPNNMMAFAPRNPPTELKCKLKFFSHLVTRSTNLCSTCVLSIHQFVTLVP ISRGKLLLRASVPNLVSYSCYGCWFFSVLSNIHIPIKVTGPQITDNNTDSKSKFFCSTSG FTVRIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAESRATHTI LMLVVTFVSFYLLNFICIIFHTFFIHYNLFIRLLGEILAAGFPTISPLLLIFRDPKNPCL ELFHCFKPESLQNL >ENSMUSP00000056041.7 pep:known chromosome:GRCm38:17:36038407:36042690:-1 gene:ENSMUSG00000056116.18 transcript:ENSMUST00000058801.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-T22 description:histocompatibility 2, T region locus 22 [Source:MGI Symbol;Acc:MGI:95956] MSWVLRAAVVCALLLQLDARPSWTRIPLGSHSLRYFYTAVSRPGLGEPWFIIVGYVDDMQ VLRFSSKEETPRMAPWLEQEEADNWEQQTRIVTIQGQLSERNLMTLVHFYNKSMDDSHTL QWLQGCDVEPDRHLCLWYNQLAYDSEDLPTLNENPSSCTVGNSTVPHISQDLKSHCSDLL QKYLEKGKERLLRSDPPKAHVTRHPRPEGDVTLRCWALGFYPADITLTWQLNGEELTQDM ELVETRPAGDGTFQKWAAVVVPLGKEQSYTCHVYHEGLPEPLILRWGGRGRRDTQEAGRD SPQDSSKTVVDDEEMGVCFWKIKSCKTCLGHSPGTSVGESLLSP >ENSMUSP00000134019.1 pep:known chromosome:GRCm38:17:36038409:36042683:-1 gene:ENSMUSG00000056116.18 transcript:ENSMUST00000173280.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:H2-T22 description:histocompatibility 2, T region locus 22 [Source:MGI Symbol;Acc:MGI:95956] MSWVLRAAVVCALLLQLDARPSWTRIPLGISTPLCPGLALGSPGS >ENSMUSP00000078927.5 pep:known chromosome:GRCm38:17:36038409:36042705:-1 gene:ENSMUSG00000056116.18 transcript:ENSMUST00000080015.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-T22 description:histocompatibility 2, T region locus 22 [Source:MGI Symbol;Acc:MGI:95956] MSWVLRAAVVCALLLQLDARPSWTRIPLGSHSLRYFYTAVSRPGLGEPWFIIVGYVDDMQ VLRFSSKEETPRMAPWLEQEEADNWEQQTRIVTIQGQLSERNLMTLVHFYNKSMDDSHTL QWLQGCDVEPDRHLCLWYNQLAYDSEDLPTLNENPSSCTVGNSTVPHISQDLKSHCSDLL QKYLEKGKERLLRSDPPKAHVTRHPRPEGDVTLRCWALGFYPADITLTWQLNGEELTQDM ELVETRPAGDGTFQKWAAVVVPLGKEQSYTCHVYHEGLPEPLILRWEPAWYQKPWIWIVA MVFILFIICLCVVCICMKKNAGGRGRRDTQEAGRDSPQDSSKTVVDDEEMGVCFWKIKSC KTCLGHSPGTSVGESLLSP >ENSMUSP00000077111.6 pep:known chromosome:GRCm38:17:36038409:36042747:-1 gene:ENSMUSG00000056116.18 transcript:ENSMUST00000077960.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-T22 description:histocompatibility 2, T region locus 22 [Source:MGI Symbol;Acc:MGI:95956] MSWVLRAAVVCALLLQLDARPSWTRIPLGSHSLRYFYTAVSRPGLGEPWFIIVGYVDDMQ VLRFSSKEETPRMAPWLEQEEADNWEQQTRIVTIQGQLSERNLMTLVHFYNKSMDDSHTL QWLQGCDVEPDRHLCLWYNQLAYDSEDLPTLNENPSSCTVGNSTVPHISQDLKSHCSDLL QKYLEKGKERLLRSDPPKAHVTRHPRPEGDVTLRCWALGFYPADITLTWQLNGEELTQDM ELVETRPAGDGTFQKWAAVVVPLGKEQSYTCHVYHEGLPEPLILRWEPAWYQKPWIWIVA MVFILFIICLCVVCICMKKNAGGRGRRDTQEAGRDSPQDSSKTVVDDEEMGVCFWKIKSC KTCLGHSPGTSVGESLLSP >ENSMUSP00000131706.1 pep:known chromosome:GRCm38:7:21133211:21134128:-1 gene:ENSMUSG00000094001.1 transcript:ENSMUST00000164409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r122 description:vomeronasal 1 receptor 122 [Source:MGI Symbol;Acc:MGI:3647341] MSDHGKSVKTTEEVALQILLLCQFGVGTLANVFLFVHNFYPVLTGSKQRPRQVILSHMSV ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIYQFVTLVL VNRGKLILKASVPNFVSYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIVGIVFLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAETRATRTI LMLVFTFVSFYLLNFICIIFHTLLMHSHFFIRLVSEVLAAVFPSISPFLLIFRDPKDPCS VLFNC >ENSMUSP00000031519.7 pep:known chromosome:GRCm38:5:115168689:115186308:-1 gene:ENSMUSG00000029544.16 transcript:ENSMUST00000031519.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp1 description:calcium binding protein 1 [Source:MGI Symbol;Acc:MGI:1352750] MGNCVKSPLRNLSRKMRQEEKTSYMAVQTSEDGLADGGELHGPLMMLAQNCAVMHNLLGP ACIFLRKGFAENRQPDRSLRPEEIEELREAFREFDKDKDGYINCRDLGNCMRTMGYMPTE MELIELSQQINMNLGGHVDFDDFVELMGPKLLAETADMIGVKELRDAFREFDTNGDGEIS TSELREAMRKLLGHQVGHRDIEEIIRDVDLNGDGRVDFEEFVRMMSR >ENSMUSP00000107741.1 pep:known chromosome:GRCm38:5:115168689:115194381:-1 gene:ENSMUSG00000029544.16 transcript:ENSMUST00000112113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp1 description:calcium binding protein 1 [Source:MGI Symbol;Acc:MGI:1352750] MGGGDGAAFKRPGDGARLQRVLGLGSRRAPRSLPSGGPAPPPPGHASAGPAAMSSHIAKS ESKTSLLKAAAASGGSRAPRHSSARDPGLRGRRLPGPCPGSPPPCGDPSSRRPLCRPVPR DEGARGSRRGLPQAHCRPRETLPPARGRDGEERGLAPALGLRGSLRSRGRGDPAPAGTPE ADPFLHRLRPMLSSAFGQDRSLRPEEIEELREAFREFDKDKDGYINCRDLGNCMRTMGYM PTEMELIELSQQINMNLGGHVDFDDFVELMGPKLLAETADMIGVKELRDAFREFDTNGDG EISTSELREAMRKLLGHQVGHRDIEEIIRDVDLNGDGRVDFEEFVRMMSR >ENSMUSP00000107740.1 pep:known chromosome:GRCm38:5:115168946:115186121:-1 gene:ENSMUSG00000029544.16 transcript:ENSMUST00000112112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp1 description:calcium binding protein 1 [Source:MGI Symbol;Acc:MGI:1352750] MGNCVKSPLRNLSRKDRSLRPEEIEELREAFREFDKDKDGYINCRDLGNCMRTMGYMPTE MELIELSQQINMNLGGHVDFDDFVELMGPKLLAETADMIGVKELRDAFREFDTNGDGEIS TSELREAMRKLLGHQVGHRDIEEIIRDVDLNGDGRVDFEEFVRMMSR >ENSMUSP00000138183.1 pep:known chromosome:GRCm38:5:115168971:115186056:-1 gene:ENSMUSG00000029544.16 transcript:ENSMUST00000145197.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cabp1 description:calcium binding protein 1 [Source:MGI Symbol;Acc:MGI:1352750] MGNCVKSPLRNLSRKMRQEEKTSYMAVQTSEDGLADGGELHGPLMMLAQNCAVMHNLLGP ACIFLRKGFAENRQPVRQIVTTRGD >ENSMUSP00000126979.1 pep:known chromosome:GRCm38:7:12581470:12606544:-1 gene:ENSMUSG00000096002.1 transcript:ENSMUST00000170412.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r53 description:vomeronasal 2, receptor 53 [Source:MGI Symbol;Acc:MGI:3644480] MWSYRVAQSFVFAIEEINRSAHLLPNLTLGFSIRNSGDSVHGALYETMGFLTGQEEPIPN YTCQHGSPQAALVGDTRSSLSVSMARLLGLYKFPQVSYSSSLPSLSDKIQFPSFIRTLTS DLTCSHAVTQLVIHFQWSWVIILAHDDDFGQQASSLATQMLTPAGVCIEYHLHVPSHQSF GKIEETVQKMQKCTARVVLVFLSNSNFQLILHGLLAVPVSGQVWVSMDTLHMALALTIPG ISQVLQGTFGLLYHSSRAIGFPEFLAHLRPSQTPEDMFIKKFWEFTFDCTWPYQNSTVTE GVQFCTGNESLKNKPHPFPEVSRIDAAYTAVYSIAHALHNMLACEHQERKGTNSHNFHSW QLLHNLRNVYFKTSDGNKIMFDANGDLVTQFDIFQGKKTPAGVFHLVHVGLIDPQASSGN KMMVQLKQDLQVSSLNAEITVPTSICSESCLPGFSQVPRLGAPHCCFDCSPCPEGQFTDQ RDMKRCLLCPKEQYSSHTRDHCLPRTEIFLAFEEPLGFILALVALLLAGLAVLVLGVFLK HRDTPVVRANNRTLSYFLLISLSLCALCALLFLGRPTVTTCLLRQTIFAVVFTVAVSSVL AKTLTVVLAFRVTRPRSRIQVCLSSGASTSVVLIASLIQVVLCGIWLITSPPFPDRDMIS EPRHTVIQCHEGSGANFFCVLGFLGFLAGGTFSVAFLARGLPDVFNETKFLTFSMLLFCS VWTAFLPLYHSARGKSTVAVEIFSILASTAGLLGGIFIPKCYIILLKPEKNTPAWLRQGH QVQHNRQCEMLQRRCVSSGESLGSSL >ENSMUSP00000138947.1 pep:known chromosome:GRCm38:X:37623318:37628612:-1 gene:ENSMUSG00000080933.4 transcript:ENSMUST00000119965.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3g description:reproductive homeobox 3G [Source:MGI Symbol;Acc:MGI:3770313] MDSTQGTKVLPAEEGRNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRN KEDGGTKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQWQLDELERIFRM NYFLSLEARKQLARWMGVNEAIVKRWFQKRREQYRWYKRL >ENSMUSP00000142235.1 pep:known chromosome:GRCm38:1:37214434:37241940:1 gene:ENSMUSG00000026114.14 transcript:ENSMUST00000191981.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga3 description:cyclic nucleotide gated channel alpha 3 [Source:MGI Symbol;Acc:MGI:1341818] MAKVNTQCSQPSPTQLSIKNADRDLDHVENGLGRSHSPCEETSSTLQQGIAMETRGLAGS ARSVVTSQGPA >ENSMUSP00000142175.1 pep:known chromosome:GRCm38:1:37218379:37262184:1 gene:ENSMUSG00000026114.14 transcript:ENSMUST00000195272.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga3 description:cyclic nucleotide gated channel alpha 3 [Source:MGI Symbol;Acc:MGI:1341818] MAKVNTQCSQPSPTQLSIKNADRDLDHVENGLGRSHSPCEETSSTLQQGIAMETRGLAGS ARSVVTSQGPARVSRLIISIRAWASRHLHDEDQTPDSFLDRFHGSELKEVSTRESNAQPN PGEQKPPDGGEGRKEEPIVVDPSSNIYYRWLTAIALPVFYNWCLLVCRACFDELQSEHLT LWLVLDYSADVLYVLDMLVRARTGFLEQGLMVRDTKRLWKHYTKTLHFKLDILSLIPTDL AYLKLGVNYPELRFNRLLKFSRLFEFFDRTETRTNYPNVFRIGNLVLYTLIIIHWNACIY FAISKFIGFGTDSWVYPNTSKPEYARLSRKYIYSLYWSTLTLTTIGETPPPVKDEEYLFV VIDFLVGILIFATIVGNVGSMISNMNAPRVEFQAKIDSVKQYMQFRKVTKDLETRVIRWF DYLWANRKTVDEKEVLKNLPDKLKAEIAINVHLDTLKKVRIFQDCEAGLLVELVLKLRPT VFSPGDYICKKGDIGREMYIIKEGKLAVVADDGVTQFVVLSDGSYFGEISILNIKGSKSG NRRTANIRSIGYSDLFCLSKDDLMEALTEYPDAKRALEEKGRQILMKDNLIDEDLVAARV DTRDVEEKVEYLESSLDILQTRFARLLAEYSASQMKLKQRLTRLESQMNRRCCGFSPDRE NSEDASKTD >ENSMUSP00000142075.1 pep:known chromosome:GRCm38:1:37218379:37262184:1 gene:ENSMUSG00000026114.14 transcript:ENSMUST00000194195.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga3 description:cyclic nucleotide gated channel alpha 3 [Source:MGI Symbol;Acc:MGI:1341818] MAKVNTQCSQPSPTQLSIKNADRDLDHVENGLGRVSRLIISIRAWASRHLHDEDQTPDSF LDRFHGSELKEVSTRESNAQPNPGEQKPPDGGEGRKEEPIVVDPSSNIYYRWLTAIALPV FYNWCLLVCRACFDELQSEHLTLWLVLDYSADVLYVLDMLVRARTGFLEQGLMVRDTKRL WKHYTKTLHFKLDILSLIPTDLAYLKLGVNYPELRFNRLLKFSRLFEFFDRTETRTNYPN VFRIGNLVLYTLIIIHWNACIYFAISKFIGFGTDSWVYPNTSKPEYARLSRKYIYSLYWS TLTLTTIGETPPPVKDEEYLFVVIDFLVGILIFATIVGNVGSMISNMNAPRVEFQAKIDS VKQYMQFRKVTKDLETRVIRWFDYLWANRKTVDEKEVLKNLPDKLKAEIAINVHLDTLKK VRIFQDCEAGLLVELVLKLRPTVFSPGDYICKKGDIGREMYIIKEGKLAVVADDGVTQFV VLSDGSYFGEISILNIKGSKSGNRRTANIRSIGYSDLFCLSKDDLMEALTEYPDAKRALE EKGRQILMKDNLIDEDLVAARVDTRDVEEKVEYLESSLDILQTRFARLLAEYSASQMKLK QRLTRLESQMNRRCCGFSPDRENSEDASKTD >ENSMUSP00000027288.7 pep:known chromosome:GRCm38:1:37219205:37263384:1 gene:ENSMUSG00000026114.14 transcript:ENSMUST00000027288.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga3 description:cyclic nucleotide gated channel alpha 3 [Source:MGI Symbol;Acc:MGI:1341818] MAKVNTQCSQPSPTQLSIKNADRDLDHVENGLGRVSRLIISIRAWASRHLHDEDQTPDSF LDRFHGSELKEVSTRESNAQPNPGEQKPPDGGEGRKEEPIVVDPSSNIYYRWLTAIALPV FYNWCLLVCRACFDELQSEHLTLWLVLDYSADVLYVLDMLVRARTGFLEQGLMVRDTKRL WKHYTKTLHFKLDILSLIPTDLAYLKLGVNYPELRFNRLLKFSRLFEFFDRTETRTNYPN VFRIGNLVLYTLIIIHWNACIYFAISKFIGFGTDSWVYPNTSKPEYARLSRKYIYSLYWS TLTLTTIGETPPPVKDEEYLFVVIDFLVGILIFATIVGNVGSMISNMNAPRVEFQAKIDS VKQYMQFRKVTKDLETRVIRWFDYLWANRKTVDEKEVLKNLPDKLKAEIAINVHLDTLKK VRIFQDCEAGLLVELVLKLRPTVFSPGDYICKKGDIGREMYIIKEGKLAVVADDGVTQFV VLSDGSYFGEISILNIKGSKSGNRRTANIRSIGYSDLFCLSKDDLMEALTEYPDAKRALE EKGRQILMKDNLIDEDLVAARVDTRDVEEKVEYLESSLDILQTRFARLLAEYSASQMKLK QRLTRLESQMNRRCCGFSPDRENSEDASKTD >ENSMUSP00000057509.2 pep:known chromosome:GRCm38:16:31933851:31946046:1 gene:ENSMUSG00000045625.4 transcript:ENSMUST00000052174.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigz description:phosphatidylinositol glycan anchor biosynthesis, class Z [Source:MGI Symbol;Acc:MGI:2443822] MMVAAQVIWGSFSLLRVLWCLLPQTGYIHPDEFFQSPEVMAEDILGVQATRPWEFYPSSS CRTVVFPLLTSGSTFWLLRLWEELGLWPGLVSGYMLLVGPRFLLTALSFALDWAVYDLAP LWGADRWNALCLLSGSYVTLVFYTRTFSNTIEGLLFTWLLVLVSPGVVRSPTSKKPTPGP RWHRYLLGVILAAGFFNRPTFLAFALAPLSLWGIHRASELGGIRALIQEALVLLPGAALA AVLCVATDSWYFSSLSRSTGVFLTPANFLYYNLDPQNLARHGTHARLTHLAVNGFLLFGV LHAQALQAAWQQLHACLRASPQTGLSRVRGARGLLSSSKSYLLLFYFTPLLLLSAFSHQE ARFLIPLLVPLVLLCSPQTQPIPWKGTLVLFNILGALIFGCLHQGGLVPGLKYLEQIVHT PDLSGTTTHYTLLFTHTYMPPQHLLHLSGLGSPVEVVDMGGAEDRVLCQALNNFSRQPAC QLAGEPWPCRLFVVTPGTNRHALEKCRFPLKNETLLFPHLTLEDPPALSSLLSGAWRKHL SLHVIELETPVVTKQPKAQP >ENSMUSP00000062006.7 pep:known chromosome:GRCm38:16:97471084:97504936:-1 gene:ENSMUSG00000022938.7 transcript:ENSMUST00000049721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3b description:family with sequence similarity 3, member B [Source:MGI Symbol;Acc:MGI:1270150] MRPVATGIFKALVFIFSSLCAWYSGYLLAELIPDVPLSSTLYNIRSIGERPVLKAPAPKR QKCDHWSPCPPDTYAYRLLSGGGRDKYAKICFEDEVLIGEKTGNVARGINIAVVNYETGK VIATKYFDMYEGDNSGPMAKFIQSTPSKSLLFMVTHDDGSSKLKAQAKDAIEALGSKEIK NMKFRSSWVFVAAKGFELPSEIEREKINHSDQSRNRYAGWPAEIQIEGCIPKGLR >ENSMUSP00000000291.2 pep:known chromosome:GRCm38:11:74830920:74845725:1 gene:ENSMUSG00000000282.12 transcript:ENSMUST00000000291.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mnt description:max binding protein [Source:MGI Symbol;Acc:MGI:109150] MSIETLLEAARFLEWQAQQQQRAREEQERLRLEREREREQEQKRASNLARLAHALPVEEP RIEAPPLPLSPPAPPPAPPPPLATPAPLTVIPIPVVTNSPQSLPPPPPLPPAAQPLPLAP RQPALVSTPGLSIKEPVTLPTRPQVPTPAPLLPDAKTTVAPTGSPKPLQPLPAPILTIAP HPGVQPQLAPQQPPPPTLGTLKLAPAEEAKSSEQKKRPGGIGTREVHNKLEKNRRAHLKE CFETLKRNIPNVDDKKTSNLSVLRTALRYIQSLKRKEKEYEHEMERLAREKIATQQRLAE LKHELSQWMDVLEIDRVLRQTGQPEDDQASTSTASEGEDNVDEEMEGDRAGLGPPKLNHR PQPELLKSALPTPSTAPAPLPTHPHPHPHPVALSPAHLPVQQQQPPQQKTPLPAPPPPPA TPTQTLVPAPAHLVATAGGGSTVIAHTATTHASVIQTVNHVLQGPGGKHIAHIAPSAPSP AVQLAPATPPIGHITVHPATLNHVAHLGSQLPLYPQPVAVSQPVAVSHIAHTLSHQQVNG TAGLGPPATVMAKPAVGAQVVHHPQLVGQTVLNPVTMVTMPSFPVSTLKLA >ENSMUSP00000118435.1 pep:known chromosome:GRCm38:11:74837468:74843775:1 gene:ENSMUSG00000000282.12 transcript:ENSMUST00000132150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mnt description:max binding protein [Source:MGI Symbol;Acc:MGI:109150] NRRAHLKECFETLKRNIPNVDDKKTSNLSVLRTALRYIQSLKRKEKEYEHEMERLAREKI ATQQRLAELKHELSQWMDVLEIDRVLRQTGQPEDDQASLGEGGAETLSQGKGGAPRELAA GRKSCCRRTWQTGGCFWWTFLPTQPGASSGAAPSPCFVPWKSALWLTFSAFPFPGLPSCA VAAIALSGEVAELSGFPSPASESCGTS >ENSMUSP00000096876.3 pep:known chromosome:GRCm38:2:148436640:148443563:-1 gene:ENSMUSG00000027435.8 transcript:ENSMUST00000099269.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd93 description:CD93 antigen [Source:MGI Symbol;Acc:MGI:106664] MAISTGLFLLLGLLGQPWAGAAADSQAVVCEGTACYTAHWGKLSAAEAQHRCNENGGNLA TVKSEEEARHVQQALTQLLKTKAPLEAKMGKFWIGLQREKGNCTYHDLPMRGFSWVGGGE DTAYSNWYKASKSSCIFKRCVSLILDLSLTPHPSHLPKWHESPCGTPEAPGNSIEGFLCK FNFKGMCRPLALGGPGRVTYTTPFQATTSSLEAVPFASVANVACGDEAKSETHYFLCNEK TPGIFHWGSSGPLCVSPKFGCSFNNGGCQQDCFEGGDGSFRCGCRPGFRLLDDLVTCASR NPCSSNPCTGGGMCHSVPLSENYTCRCPSGYQLDSSQVHCVDIDECQDSPCAQDCVNTLG SFHCECWVGYQPSGPKEEACEDVDECAAANSPCAQGCINTDGSFYCSCKEGYIVSGEDST QCEDIDECSDARGNPCDSLCFNTDGSFRCGCPPGWELAPNGVFCSRGTVFSELPARPPQK EDNDDRKESTMPPTEMPSSPSGSKDVSNRAQTTGLFVQSDIPTASVPLEIEIPSEVSDVW FELGTYLPTTSGHSKPTHEDSVSAHSDTDGQNLLLFYILGTVVAISLLLVLALGILIYHK RRAKKEEIKEKKPQNAADSYSWVPERAESQAPENQYSPTPGTDC >ENSMUSP00000083386.5 pep:known chromosome:GRCm38:7:12615233:12636134:-1 gene:ENSMUSG00000096593.1 transcript:ENSMUST00000086210.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r54 description:vomeronasal 2, receptor 54 [Source:MGI Symbol;Acc:MGI:3704110] MWGYRVTQSFVFAIEEINRSAHLLPNLTLGFSIRNSGDSVHGALYETMGFLTGQKEPIPN YTCQHGSPQAALVGDTRSSLSVSMARLLGLYKFPQVSYSSSLPSLSDKIQFPSFLRTLTS DITSSHAVTQLIIHFQWSWVIILAQDDDFGQQASSLATQQLSPAGVCIEYHLHVPSYQSL GKIEETVQKMQKCTAKVVLVFLSNLNFQLILRGLLGVPVSGQVWVSKGTLHMALALTIPG ISQVLQGTFGLLYHSSRAIGFPEFLAHLRPSQTPEDMFIKKFWEFTFDCTWPYQNSTVTE GVQFCTGNESLKNKPHPFPEVSKIDAAYTAVYSIAHALHNMLACEHQERKGTNSHNFHSW QLLHALKKVHFKTLDGIKIMFDANGDLVTKFDIFQGQKTPAGVFHLVHVGMIDPQVSSGN KMMVQLKEDLQVSSLNAEETVPTSICSESCLPGFSQVPRLGAPHCCFDCSPCPEGQFTDQ RDMKRCLLCPKEQYSSHTRDHCLPRTEIFLAFEEPLGFVLALVALLLAGLAVLVLGVFLK HRDTPVVRANNRTLSYFLLISLSLCALCALLFLGRPTVTTCLLRQTTFAVVFTVAVSSVL AKTLTVVLAFRVTRPRSRIQICLSPGTSTFVVLIASLIQVVLCGVWLATSPPFPDKDMIS EPQHIVIQCQEGSGATFFCVLGYLGFLAGGTFSVAFLARGLPDIFNETKFLTFSMLLFCS VWTAFLPLYHSARGKSTVAVEIFSILASTAGLLGGIFIPKCYIILLKPEKNTPAWLKQGY CAQQEKQGEMLQRRYKFSGESPEPNI >ENSMUSP00000137902.1 pep:known chromosome:GRCm38:4:41638144:41640324:-1 gene:ENSMUSG00000028445.7 transcript:ENSMUST00000127306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enho description:energy homeostasis associated [Source:MGI Symbol;Acc:MGI:1916888] MGAAISQGALIAIVCNGLVGFLLLLLWVILCWACHSRSADVDSLSESSPNSSPGPCPEKA PPPQKPSHEGSYLLQP >ENSMUSP00000021028.4 pep:known chromosome:GRCm38:11:104608000:104670476:1 gene:ENSMUSG00000020689.4 transcript:ENSMUST00000021028.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb3 description:integrin beta 3 [Source:MGI Symbol;Acc:MGI:96612] MRAQWPGQLWAALLALGALAGVVVGESNICTTRGVNSCQQCLAVSPVCAWCSDETLSQGS PRCNLKENLLKDNCAPESIEFPVSEAQILEARPLSSKGSGSSAQITQVSPQRIALRLRPD DSKIFSLQVRQVEDYPVDIYYLMDLSFSMKDDLSSIQTLGTKLASQMRKLTSNLRIGFGA FVDKPVSPYMYISPPQAIKNPCYNMKNACLPMFGYKHVLTLTDQVSRFNEEVKKQSVSRN RDAPEGGFDAIMQATVCDEKIGWRNDASHLLVFTTDAKTHIALDGRLAGIVLPNDGHCHI GTDNHYSASTTMDYPSLGLMTEKLSQKNINLIFAVTENVVSLYQNYSELIPGTTVGVLSD DSSNVLQLIVDAYGKIRSKVELEVRDLPEELSLSFNATCLNNEVIPGLKSCVGLKIGDTV SFSIEAKVRGCPQEKEQSFTIKPVGFKDSLTVQVTFDCDCACQAFAQPSSPRCNNGNGTF ECGVCRCDQGWLGSMCECSEEDYRPSQQEECSPKEGQPICSQRGECLCGQCVCHSSDFGK ITGKYCECDDFSCVRYKGEMCSGHGQCNCGDCVCDSDWTGYYCNCTTRTDTCMSTNGLLC SGRGNCECGSCVCVQPGSYGDTCEKCPTCPDACSFKKECVECKKFNRGTLHEENTCSRYC RDDIEQVKELTDTGKNAVNCTYKNEDDCVVRFQYYEDTSGRAVLYVVEEPECPKGPDILV VLLSVMGAILLIGLATLLIWKLLITIHDRKEFAKFEEERARAKWDTANNPLYKEATSTFT NITYRGT >ENSMUSP00000095766.2 pep:known chromosome:GRCm38:4:34803113:34882930:-1 gene:ENSMUSG00000039967.14 transcript:ENSMUST00000098163.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp292 description:zinc finger protein 292 [Source:MGI Symbol;Acc:MGI:1353423] MADDEAEQERLSGGGCAAELRRLGERLQELERRLCESREPAVEAAAAYCRQLCQTLLEYA EKWKTSEDPLPLLEVYTVAIQSYVKARPYLTSECESVALVLERLALSCVELLLCLPVELS DKQWEQFQTLVQVAHETLMESGSCELQFLATLAQETGVWKNAVLSTILSQEPLDKEKAFE GPILLDMRIKHLIKTNQLSQATALAKLCSDHPEIGTKGSFKQTYLVCLCTSSPSEKLIEE ISEVDCKDALEMICNLESEGDEKSALVLCTAFLSRQLQQGDMYCAWELTLFWSKLQQRVE PSVQVYLERCRQLSLLTKTVYHIFFLIKVINSETEGAGLATCIELCVKALRLESTENTEV KISICKTISCLLPEDLEVKRACQLSEFLIEPTVDAYYAVEMLYNQPDQKYDEENLPIPNS LRCELLLVLKTQWPFDPEFWDWKTLKRQCLALMGEEASIVSSIDELNDSEVYEKVDYQGE RGDTSVNGLSAAGLGTDSGLLMDTGDEKQKKKEIKELKDRGFISARFRNWQAYMQYCLLC DKEFLGHRIVRHAQKHYKDGIYSCPICAKNFNSKDSFVPHVTLHVKQSSKERLAAMKPLR RLGRPPKITATHENQKTNINTVAKQEQRPIKKNSLYSTDFIVFNDNDGSDDENDDKDKSY EPEVIPVQKPVPVNEFNCPVTFCKKGFKYFKNLIAHVKGHKDSEDAKRFLEMQSKKVICQ YCRRHFVSVTHLNDHLQMHCGSKPYICIQMKCKAGFNSYAELLAHRKEHQVFRAKCLFPK CGRIFSQAYLLYDHEAQHYNTYTCKFTGCGKVYRSQSEMEKHQDGHSHPETGLPPEDQLQ PSGNDVNPDSGATAAGGRSENSIDKNLGSNRSADWEKNRAEPAVTKHGQISAAELRQANI PLSNGLETRDNTTVLRTNEVAVSIKVSVNHGVEGDFGKQENLTMEGTGEPLITDVHKPGI GAGVQLCHPGFQEKKGHECLNEAQNSLSNSESLKMDDLNPQSLERQVNTLMTFSVQNEAG LEDNSQICKFECGGDVKTSSSLYDLPLKTLESITFVQSQPDLSSPLGSPSVPPKAPGQKF SCQVEGCTRTYNSSQSIGKHMKTAHPDQYAAFKLQRKTKKGQKSNNLNTPNHGKCVYFLP SQVSSSNHAFFTPQTKANGNPACSAQVQHVSPSIFPAHLASVSAPLLPSVESVLSPNIPS QDKHGQDGILCSQMENLSNAPLPAQMEDLTKTVLPLNIDSGSDPFLPLPTENSSLFSSPA DSENNSVFSQLENSTNHYPSQTDGNINSSFLKGGSSENGVFPSQVSSADDFSSTSAQPST PKKVKKDRGRGPNGKERKPKHNKRAKWPAIIRDGKFICSRCYRAFTNPRSLGGHLSKRSY CKPLDGAEIAQELLQTNRQPSLLASMILSTSAVNMQQPQQSNFNPETCFKDPSFLQLLNV ENRPTFLPSTFPRCDVSNFNASVSQEGSEIIKQALETAGIPSTFESAEMLSQVVPIGSVS DAAQVSAAGMPGPPVTPLLQTVCHPNTSPSNQNQTPNSKTLKECNSLPLFTTNDLLLKTI ENGLCSNSFSSSTEPPQNFTNNSAHVSVISGPQNTRSSHLNKKGNSASKKRKKVAPAVSV SNTSQNVLPTDLPVGLPAKNLPVPDTNTRSDMTPDCEPRALVENLTQKLNNIDNHLFITD VKENCKASLEPHTMLTPLTLKTENGDSRMMPLSSCTPVNSDLQISEDNVIQNFEKTLEII KTAMNSQILEVKSGSQGTGETTQNAQINYSMQLPSVNSIPDNKLPDASQCSSFLTVMPTK SEALHKEDQIQDILEGLQNLKLENDTSAPASQSMLMNKSVALSPTPTKSTPNIVVQPVPE VIHVQLNDRVNKPFVCQNQGCNYSAMTKDALFKHYGKIHQYTPEMILEIKKNQLKFAPFK CVVPSCTKTFTRNSNLRAHCQLVHHFTIEEMVKLKIKRPYGRKSQSENLSSPQNNQVKKQ PSMAEETKTESQPAFKVPAATGDAALANATVIPEKQLAEKKSPEKPESSSQPVTSSAEQY NANLANLKTKGRKNKRHRKEKEEKREKNPVSQAFELPTKYSSYRPYCCVHQGCFAAFTIQ QNLILHYQAVHKSNLPTFSAEVEEESEAVKESEETEPKQSMKEFRCQVSDCSRIFQAITG LIQHYMKLHEMTPEEIESMTAAVDVGKFPCDQLECKLSFTTYLSYVVHLEVDHGIGTRTS KAEEDGIYKCDCEGCDRIYATRSNLLRHIFNKHNDKHKAHLIRPRKLTGQENISSKANQE KSKSKHRTTKPNRSGKDGMKMPKTKRKKKSNLENKSAKVVQIEENKPYSLKRGKHVYSIK ARNDALAECTSKFVTQYPCMIKGCTSVVTSESNIIRHYKCHKLSRAFTSQHRNILIVFKR YGNPQGKEISEQEDEKNDKKDPDSSVLEKNDNSEPAAAPQEEGRKGEKDEMDELTELFIT KLINEDSTNAENQGNTTLKGNNEFQEHDSCTSERQKPGNLKRVYKEKNTVQSKKRKIDKT EPEVSLVVNNTRKEEEPAVAVQTTEEHPASFDWSSFKPMGFEASFLKFLEESAVKQKKNS DRDHSNSGSKRGSHSSSRRHVDKAAVAGSSHVCSCKDSEIFVQFANPSKLQCSENVKIVL DKTLKDRSELVLKQLQEMKPTVSLKKLEVLSNNPDRTVLKEISIGKATGRGQY >ENSMUSP00000037233.5 pep:known chromosome:GRCm38:4:34803113:34882960:-1 gene:ENSMUSG00000039967.14 transcript:ENSMUST00000047950.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp292 description:zinc finger protein 292 [Source:MGI Symbol;Acc:MGI:1353423] MADDEAEQERLSGGGCAAELRRLGERLQELERRLCESREPAVEAAAAYCRQLCQTLLEYA EKWKTSEDPLPLLEVYTVAIQSYVKARPYLTSECESVALVLERLALSCVELLLCLPVELS DKQWEQFQTLVQVAHETLMESGSCELQFLATLAQETGVWKNAVLSTILSQEPLDKEKVNE FLAFEGPILLDMRIKHLIKTNQLSQATALAKLCSDHPEIGTKGSFKQTYLVCLCTSSPSE KLIEEISEVDCKDALEMICNLESEGDEKSALVLCTAFLSRQLQQGDMYCAWELTLFWSKL QQRVEPSVQVYLERCRQLSLLTKTVYHIFFLIKVINSETEGAGLATCIELCVKALRLEST ENTEVKISICKTISCLLPEDLEVKRACQLSEFLIEPTVDAYYAVEMLYNQPDQKYDEENL PIPNSLRCELLLVLKTQWPFDPEFWDWKTLKRQCLALMGEEASIVSSIDELNDSEVYEKV DYQGERGDTSVNGLSAAGLGTDSGLLMDTGDEKQKKKEIKELKDRGFISARFRNWQAYMQ YCLLCDKEFLGHRIVRHAQKHYKDGIYSCPICAKNFNSKDSFVPHVTLHVKQSSKERLAA MKPLRRLGRPPKITATHENQKTNINTVAKQEQRPIKKNSLYSTDFIVFNDNDGSDDENDD KDKSYEPEVIPVQKPVPVNEFNCPVTFCKKGFKYFKNLIAHVKGHKDSEDAKRFLEMQSK KVICQYCRRHFVSVTHLNDHLQMHCGSKPYICIQMKCKAGFNSYAELLAHRKEHQVFRAK CLFPKCGRIFSQAYLLYDHEAQHYNTYTCKFTGCGKVYRSQSEMEKHQDGHSHPETGLPP EDQLQPSGNDVNPDSGATAAGGRSENSIDKNLGSNRSADWEKNRAEPAVTKHGQISAAEL RQANIPLSNGLETRDNTTVLRTNEVAVSIKVSVNHGVEGDFGKQENLTMEGTGEPLITDV HKPGIGAGVQLCHPGFQEKKGHECLNEAQNSLSNSESLKMDDLNPQSLERQVNTLMTFSV QNEAGLEDNSQICKFECGGDVKTSSSLYDLPLKTLESITFVQSQPDLSSPLGSPSVPPKA PGQKFSCQVEGCTRTYNSSQSIGKHMKTAHPDQYAAFKLQRKTKKGQKSNNLNTPNHGKC VYFLPSQVSSSNHAFFTPQTKANGNPACSAQVQHVSPSIFPAHLASVSAPLLPSVESVLS PNIPSQDKHGQDGILCSQMENLSNAPLPAQMEDLTKTVLPLNIDSGSDPFLPLPTENSSL FSSPADSENNSVFSQLENSTNHYPSQTDGNINSSFLKGGSSENGVFPSQVSSADDFSSTS AQPSTPKKVKKDRGRGPNGKERKPKHNKRAKWPAIIRDGKFICSRCYRAFTNPRSLGGHL SKRSYCKPLDGAEIAQELLQTNRQPSLLASMILSTSAVNMQQPQQSNFNPETCFKDPSFL QLLNVENRPTFLPSTFPRCDVSNFNASVSQEGSEIIKQALETAGIPSTFESAEMLSQVVP IGSVSDAAQVSAAGMPGPPVTPLLQTVCHPNTSPSNQNQTPNSKTLKECNSLPLFTTNDL LLKTIENGLCSNSFSSSTEPPQNFTNNSAHVSVISGPQNTRSSHLNKKGNSASKKRKKVA PAVSVSNTSQNVLPTDLPVGLPAKNLPVPDTNTRSDMTPDCEPRALVENLTQKLNNIDNH LFITDVKENCKASLEPHTMLTPLTLKTENGDSRMMPLSSCTPVNSDLQISEDNVIQNFEK TLEIIKTAMNSQILEVKSGSQGTGETTQNAQINYSMQLPSVNSIPDNKLPDASQCSSFLT VMPTKSEALHKEDQIQDILEGLQNLKLENDTSAPASQSMLMNKSVALSPTPTKSTPNIVV QPVPEVIHVQLNDRVNKPFVCQNQGCNYSAMTKDALFKHYGKIHQYTPEMILEIKKNQLK FAPFKCVVPSCTKTFTRNSNLRAHCQLVHHFTIEEMVKLKIKRPYGRKSQSENLSSPQNN QVKKQPSMAEETKTESQPAFKVPAATGDAALANATVIPEKQLAEKKSPEKPESSSQPVTS SAEQYNANLANLKTKGRKNKRHRKEKEEKREKNPVSQAFELPTKYSSYRPYCCVHQGCFA AFTIQQNLILHYQAVHKSNLPTFSAEVEEESEAVKESEETEPKQSMKEFRCQVSDCSRIF QAITGLIQHYMKLHEMTPEEIESMTAAVDVGKFPCDQLECKLSFTTYLSYVVHLEVDHGI GTRTSKAEEDGIYKCDCEGCDRIYATRSNLLRHIFNKHNDKHKAHLIRPRKLTGQENISS KANQEKSKSKHRTTKPNRSGKDGMKMPKTKRKKKSNLENKSAKVVQIEENKPYSLKRGKH VYSIKARNDALAECTSKFVTQYPCMIKGCTSVVTSESNIIRHYKCHKLSRAFTSQHRNIL IVFKRYGNPQGKEISEQEDEKNDKKDPDSSVLEKNDNSEPAAAPQEEGRKGEKDEMDELT ELFITKLINEDSTNAENQGNTTLKGNNEFQEHDSCTSERQKPGNLKRVYKEKNTVQSKKR KIDKTEPEVSLVVNNTRKEEEPAVAVQTTEEHPASFDWSSFKPMGFEASFLKFLEESAVK QKKNSDRDHSNSGSKRGSHSSSRRHVDKAAVAGSSHVCSCKDSEIFVQFANPSKLQCSEN VKIVLDKTLKDRSELVLKQLQEMKPTVSLKKLEVLSNNPDRTVLKEISIGKATGRGQY >ENSMUSP00000127981.1 pep:known chromosome:GRCm38:17:22943184:22958814:1 gene:ENSMUSG00000092111.1 transcript:ENSMUST00000170322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r113 description:vomeronasal 2, receptor 113 [Source:MGI Symbol;Acc:MGI:3648972] MFTLILLFLLLNIPLIVADFIDPRCFWRIKQNKDEDGNLGSGCSFFIQAVQWPVEKEYFS SILNTQTHTENHKYALVLAFSINEVNRNSDLLPNMSLIFTFSALICDYESQLKSLIHLSL QNHEIFPNYICNDDVCAVALTGLNWTTTLTLYTILNNFISHQFLHLTYGPFHPVLSDHEE FPYLYQMASEDTSLALAMVSFIIHFSWNWVGLAISDNDKGIQFLSYLRREMEKNTVCFAF VSMIPVNMHLYMTRTEVYYNQIMTSSTNVVIIYGDTDSTLAVSFRMWESLDIKRIWVTTS QWAITTGKKDFTFNNLYGTFAFGHHHGEISGFKNFVQTLNLLKYSDEYLVKLEWMYFNCE VSAPKCKTLKNCSSNHPLEWLMVRTFDMAFTEGSYAIYNAVYAVAHALHELTFQKFDNLP TDNVKEQNYACNKLGSFLRKIHFTNPVEDRVNMNQRNKLQENYDIFYVWNFPQGLGLKVK IGIFSPYIINGQQLHLSEDMLEWARGSTQMLTSVCSADCGPGFRKFGKNGMPACCFDCSP CPENEISNETNVELCVPCPEDQYANTEQNHCIHKALIFLTYKDPLGMALSLMALCFSAFT AVVLLVFVKHHSTPIVKANNRTLTYILLISLIFCFLCPLLFIGHPNSVTCILQQVTFGVA FTVAVSTVLAKTITILLAFRVTAPQRMMKYFLVSGTYIYIIPICTLIQVIVCAVWLGAAP PSVDIDARSEHGHIIIVCNKGSVSAFYCVLGYLACLAFGSFTLAFLSRNLPSTFNEAKSI TFSMLVFCSVWVTFLPVYHSTKGKVMVAVEIFSTLASGAGMLICIFVPKCYIILFMPDRN SLQMIRKKSSSHAHLS >ENSMUSP00000100028.3 pep:known chromosome:GRCm38:4:41569775:41638158:1 gene:ENSMUSG00000061322.15 transcript:ENSMUST00000102963.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaic1 description:dynein, axonemal, intermediate chain 1 [Source:MGI Symbol;Acc:MGI:1916172] MPSKQIRKQSISVTRGARRRDEDSGTDVGEGTDEWSQSKATVRPPDQLELTDAELKEEFT RILTANNPHAPQNIVRYSFKEGTYKLIGFVNQMAVHFSQVGNLIPKDSDEGRRQHYRDEM VAGSQESIKVVTSEAENLEEEEEPKEGEGEAEAEAEAGSQTDIPAAAETTEKVIEEELMA PVQPKERKLTNQFNFSERASQTFNNPLRDRECQMEPPPRTNFSATANQWEIYDAYVDELE KQEKTKEKEKAKTPVAKKTEKMAMRKLTSMESQSDDITKVTQAAKIVERMVNQNTYDDVA QDFKYYEDTADEYRDQEGTLLPLWKFQNDKAKRLAVTALCWNPKYKDLFAVGHGSYDFMK QSRGMLLLYSMKNPSFPEYMFSSESGIMCLDVHVDHPYLVVVGYYDGNVAIYNLKKPHSQ PCFRSTSKSGKHTDPVWQVKWQKDDMDHNLNFFSVSSDGRIVSWTLVKSELVHIDIIKLK TEGSTTEIPEGLQLHTVGCGTAFDFHKEIDYMFLVGTEEGKIYKCSKSYSSQFLDTYDAH NMAVDAVLWNPYHTKVFMSCSSDWTVKIWDHTIKTPMFIYDLNSAVGDVAWAPYSSTVFA AVTTDGKAHVFDLAVNKYEAICNQPVVAKKKNKITHVQFNPIHPIIIVGDDRGHIICLKL SPNLRKMPKEKKGQEVQKGPAVEIAKLDKLLNLVREVKTKT >ENSMUSP00000113929.1 pep:known chromosome:GRCm38:4:41633348:41638158:1 gene:ENSMUSG00000061322.15 transcript:ENSMUST00000119127.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaic1 description:dynein, axonemal, intermediate chain 1 [Source:MGI Symbol;Acc:MGI:1916172] MAHVFDLAVNKYEAICNQPVVAKKKNKITHVQFNPIHPIIIVGDDRGHIICLKLSPNLRK MPKEKKGQEVQKGPAVEIAKLDKLLNLVREVKTKT >ENSMUSP00000144706.1 pep:known chromosome:GRCm38:3:23798102:24783622:-1 gene:ENSMUSG00000102758.3 transcript:ENSMUST00000203414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naaladl2 description:N-acetylated alpha-linked acidic dipeptidase-like 2 [Source:MGI Symbol;Acc:MGI:2685867] MGENEAGLPNMSLQGKKMAYQKVKADLRTSGHSQYLDNDELQATALDLEWDMEKELEEPG FDQFQPDSAEHQNLGHSETLDLHLDSIQPATSPKGRFQRLQEESDCVTHYTRSAPKNNHC SFCYMLKILCIATTLFIFGIIIGYYAHMNCPSDASPSGTNNPQLYQDILKTIKAEDIKKS FRNWLQLYDSKDDMEIAKKIKSHWIALGLEDTQFINYSVLLDFPGSSPGTITLSSTGQCF YPDGQPCREEARGGHSQDLFFSYAAYSAKGTLQGDVIDVSYGTMDDLKRITKLKNTTNQI ALLKLGKLPLLYKISLLEKAGFGGALLYIDPCDAAESSVLSSEPFVVTLNPGGDPSTPGY PSHDGSFRKSRTNLTSLLVQPISPSLVKMLISLPKLKMDKDACSPLELPHNEKRTVSLQV QTVTQFKTVSNVVGYLRGLTSPDRYIIVGSNHHAGYSYKGQEWASSTAVITAFIEAMMLR VKKGWRPERTIVFCSWGGTPWGKIGSYEWGEDFVKILQKNAVAYIGLHSPIRGNSSLYPV VSPSLQQLVIEKIKFTCMQRAQCLGANVSSVQIQGDADYFINHLGVPTVQFAYEDIKTLE GPSFLSEAIFPTHATKIEEVDPSFSLHEAITKLSGEVILQIANEPILPFNALDIALGVQN SLKGNEPSVPHLLALASRLRESAELFQSDEMRPANDPKERAPSRVRMLNDILQDLEKNFL VQWVPPGFYRNILYHLDEKTNQFSILKEAWEHCNPQTSNETFQEALSNVLNSINSAHISF KVGLDVFESVLLGKN >ENSMUSP00000134048.1 pep:known chromosome:GRCm38:13:66418114:66441118:-1 gene:ENSMUSG00000074832.10 transcript:ENSMUST00000173583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410141K09Rik description:RIKEN cDNA 2410141K09 gene [Source:MGI Symbol;Acc:MGI:1924053] MDAMTFDDVHVNFTKEEWNLLEPSQMNLYKDVMLETYWNLTSIGYKWEDHHIEEPCQSSR RHTRPHEGARFHDTESSVQPPKEGRKQRPRISKRP >ENSMUSP00000134352.2 pep:known chromosome:GRCm38:13:66429720:66441078:-1 gene:ENSMUSG00000074832.10 transcript:ENSMUST00000172579.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410141K09Rik description:RIKEN cDNA 2410141K09 gene [Source:MGI Symbol;Acc:MGI:1924053] MDAMTFDDVHVNFTKEEWNLLEPSQMNLYKDVMLETYWNLTSIGYKWEDHHIEEPCQSSR RHTRHVRSHSWKKLYECNQCGKAFTSHSSLRYHKRTHTGEKPYECNHCGKAFARPAHLQR HKRTHTGEKPYECNQCSKAFSCHSGLRYHKRTHTGEKPYECNQCGKAFARPADLQCHKRT HTGEKPYECNQCGKAFARPANLQCHKRTHTGEKPYECNQCGKAFSCQGGLRYHKRTHTGE KPYECNQCGKAFARPSHLQCHKRTHTGEKPYECNQCGKAFSSHISLRYHKRTHTGEKPYE CNQCGKAFSGHSGLRYHKRTHTGEKPYECNQCGKAFATPSHLQCHKRTHTGEKPYECNQC SKAFARPAHLQHHKRTHTGEKPYECNQCGKAFARLSHLQCHKRTHTGEKPYECNQCGKAF SCHNSLRYHKGTHTGEKPYECNQCGKALATSTHLQCHKRTHTGE >ENSMUSP00000089126.4 pep:known chromosome:GRCm38:13:66418245:66433895:-1 gene:ENSMUSG00000074832.10 transcript:ENSMUST00000091541.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410141K09Rik description:RIKEN cDNA 2410141K09 gene [Source:MGI Symbol;Acc:MGI:1924053] MDAMTFDDVHVNFTKEEWNLLEPSQMNLYKDVMLETYWNLTSIGYKWEDHHIEEPCQSSR RHTRPHEGARFHDTESSVQPPKEGRKQRPRISKRP >ENSMUSP00000077273.6 pep:known chromosome:GRCm38:4:9449079:9669162:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000078139.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAPRKNAKGGGGNSSSSGSGSGSGSGSPSTGSSGSSSSPGARREAKHGGHKNGRRGGISG GSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGVYDADGDGDFDVDDAKVLLGLKE RSPSERTFPPEEEAETHAELEEQAPEGADIQNVEDEVKEQIQSLLQESVHTDHDLEADGL AGEPQPEVEDFLTVTDSDDRFEDLEPGTVHEEIEDTYHVEDTASQNHPNDMEEMTNEQEN SDPSEAVTDAGVLLPHAEEVRHQDYDEPVYEPSEHEGVAISDNTIDDSSIISEEINVASV EEQQDTPPVKKKKPKLLNKFDKTIKAELDAAEKLRKRGKIEEAVNAFEELVRKYPQSPRA RYGKAQCEDDLAEKQRSNEVLRRAIETYQEAADLPDAPTDLVKLSLKRRSERQQFLGHMR GSLLTLQRLVQLFPSDTTLKNDLGVGYLLLGDNDSAKKVYEEVLNVTPNDGFAKVHYGFI LKAQNKISESIPYLKEGIESGDPGTDDGRFYFHLGDAMQRVGNKEAYKWYELGHKRGHFA SVWQRSLYNVNGLKAQPWWTPRETGYTELVKSLERNWKLIRDEGLMVMDKAKGLFLPEDE NLREKGDWSQFTLWQQGRKNENACKGAPKTCALLEKFSETTGCRRGQIKYSIMHPGTHVW PHTGPTNCRLRMHLGLVIPKEGCKIRCANETRTWEEGKVLIFDDSFEHEVWQDASSFRLI FIVDVWHPELTPQQRRSLPAI >ENSMUSP00000103977.2 pep:known chromosome:GRCm38:4:9449079:9669162:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000108340.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAPRKNAKGGGGNSSSSGSGSGSGSGSPSTGSSGSSSSPGARREAKHGGHKNGRRGGISG GSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGVYDADGDGDFDVDDAKVLLGLKE RSPSERTFPPEEEAETHAELEEQAPEGADIQNVEDEVKEQIQSLLQESVHTDHDLEADGL AGEPQPEVEDFLTVTDSDDRFEDLEPGTVHEEIEDTYHVEDTASQNHPNDMEEMTNEQEN SEEVRHQDYDEPVYEPSEHEGVAISDNTIDDSSIISEEINVASVEEQQDTPPVKKKKPKL LNKFDKTIKAELDAAEKLRKRGKIEEAVNAFEELVRKYPQSPRARYGKAQCEDDLAEKQR SNEVLRRAIETYQEAADLPDAPTDLVKLSLKRRSERQQFLGHMRGSLLTLQRLVQLFPSD TTLKNDLGVGYLLLGDNDSAKKVYEEVLNVTPNDGFAKVHYGFILKAQNKISESIPYLKE GIESGDPGTDDGRFYFHLGDAMQRVGNKEAYKWYELGHKRGHFASVWQRSLYNVNGLKAQ PWWTPRETGYTELVKSLERNWKLIRDEGLMVMDKAKGLFLPEDENLREKGDWSQFTLWQQ GRKNENACKGAPKTCALLEKFSETTGCRRGQIKYSIMHPGTHVWPHTGPTNCRLRMHLGL VIPKEGCKIRCANETRTWEEGKVLIFDDSFEHEVWQDASSFRLIFIVDVWHPELTPQQRR SLPAI >ENSMUSP00000103976.1 pep:known chromosome:GRCm38:4:9449079:9669344:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000108339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MVIALLGVWTSVAVVWFDLVDYEEVLGKLGVYDADGDGDFDVDDAKVLLGLKERSPSERT FPPEEEAETHAELEEQAPEGADIQNVEDEVKEQIQSLLQESVHTDHDLEADGLAGEPQPE VEDFLTVTDSDDRFEDLEPGTVHEEIEDTYHVEDTASQNHPNDMEEMTNEQENSEEVRHQ DYDEPVYEPSEHEGVAISDNTIDDSSIISEEINVASVEEQQDTPPVKKKKPKLLNKFDKT IKAELDAAEKLRKRGKIEEAVNAFEELVRKYPQSPRARYGKAQCEDDLAEKQRSNEVLRR AIETYQEAADLPDAPTDLVKLSLKRRSERQQFLGHMRGSLLTLQRLVQLFPSDTTLKNDL GVGYLLLGDNDSAKKVYEEVLNVTPNDGFAKVHYGFILKAQNKISESIPYLKEGIESGDP GTDDGRFYFHLGDAMQRVGNKEAYKWYELGHKRGHFASVWQRSLYNVNGLKAQPWWTPRE TGYTELVKSLERNWKLIRDEGLMVMDKAKGLFLPEDENLREKGDWSQFTLWQQGRKNENA CKGAPKTCALLEKFSETTGCRRGQIKYSIMHPGTHVWPHTGPTNCRLRMHLGLVIPKEGC KIRCANETRTWEEGKVLIFDDSFEHEVWQDASSFRLIFIVDVWHPELTPQQRRSLPAI >ENSMUSP00000103970.1 pep:known chromosome:GRCm38:4:9575267:9643717:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000108333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAEDKEAKHGGHKNGRRGGISGGSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGV YDADGDGDFDVDDAKVLLEGPGGLAKRKTKAKGLKERSPSERTFPPEEEAETHAELEEQA PEGADLEADGLAGEPQPEVEDFLTVTDSDDRFEDLEPGTVHEEIEDTYHVEDTASQNHPN DMEEMTNEQENSDPSEAVTDAGVLLPHAEEVRHQDYDEPVYEPSEHEGVAISDNTIDDSS IISEEINVASVEEQQDTPPDT >ENSMUSP00000103971.1 pep:known chromosome:GRCm38:4:9575267:9643717:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000108334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAEDKEAKHGGHKNGRRGGISGGSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGV YDADGDGDFDVDDAKVLLGLKERSPSERTFPPEEEAETHAELEEQAPEGADIQNVEDEVK EQIQSLLQESVHTDHDLEADGLAGEPQPEVEDFLTVTDSDDRFEDLEPGTVHEEIEDTYH VEDTASQNHPNDMEEMTNEQENSDPSEAVTDAGVLLPHAEEVRHQDYDEPVYEPSEHEGV AISDNTIDDSSIISEEINVASVEEQQDTPPDT >ENSMUSP00000103972.1 pep:known chromosome:GRCm38:4:9575267:9643717:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000108335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAEDKEAKHGGHKNGRRGGISGGSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGV YDADGDGDFDVDDAKVLLDLEADGLAGEPQPEVEDFLTVTDSDDRFEDLEPGTVHEEIED TYHVEDTASQNHPNDMEEMTNEQENSDPSEAVTDAGVLLPHAEEVRHQDYDEPVYEPSEH EGVAISDNTIDDSSIISEEINVASVEEQQDTPPDT >ENSMUSP00000081978.4 pep:known chromosome:GRCm38:4:9575267:9669162:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000084915.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAPRKNAKGGGGNSSSSGSGSGSGSGSPSTGSSGSSSSPGARREAKHGGHKNGRRGGISG GSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGVYDADGDGDFDVDDAKVLLGLKE RSPSERTFPPEEEAETHAELEEQAPEGADIQNVEDEVKEQIQSLLQESVHTDHDLEADGL AGEPQPEVEDFLTVTDSDDRFEDLEPGTVHEEIEDTYHVEDTASQNHPNDMEEMTNEQEN SDPSEAVTDAGVLLPHAEEVRHQDYDEPVYEPSEHEGVAISDNTIDDSSIISEEINVASV EEQQDTPPDT >ENSMUSP00000103974.1 pep:known chromosome:GRCm38:4:9575267:9669162:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000108337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAPRKNAKGGGGNSSSSGSGSGSGSGSPSTGSSGSSSSPGARREAKHGGHKNGRRGGISG GSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGVYDADGDGDFDVDDAKVLLGLKE RSPSERTFPPEEEAETHAELEEQAPEGADIQNVEDEVKEQIQSLLQESVHTDHDLEADGL AGEPQPEVEDFLTVTDSDDRFEDLEPGTVHEEIEDTYHVEDTASQNHPNDMEEMTNEQEN SEEVRHQDYDEPVYEPSEHEGVAISDNTIDDSSIISEEINVASVEEQQDTPPDT >ENSMUSP00000116874.1 pep:known chromosome:GRCm38:4:9607797:9643717:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000152526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAEDKEAKHGGHKNGRRGGISGGSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGV YDADGDGDFDVDDAKVLLEGPGGLAKRKTKAKGLKERSPSERTFPPEEEAETHAELEEQA PEGADIQNVEDEVKEQIQSLLQESVHTDH >ENSMUSP00000081975.5 pep:known chromosome:GRCm38:4:9610843:9669162:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000084912.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAPRKNAKGGGGNSSSSGSGSGSGSGSPSTGSSGSSSSPGARREAKHGGHKNGRRGGISG GSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLAKAKDFRYNLSEVLQGKLGVYDADGDG DFDVDDAKVLLGLKERSPSERTFPPEEEAETHAELEEQAPEGA >ENSMUSP00000100069.3 pep:known chromosome:GRCm38:4:9620909:9643717:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000103004.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAEDKEAKHGGHKNGRRGGISGGSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGV YDADGDGDFDVDDAKVLLEGPGGLAKRKTKAKAKEPIKEELKKERGKAVPSKNEERRQGK KEQEDRGKGRKKPDSDTSQKASAAGKRDRDKEKASSDKSSKSKESWKKAVETKAVSSKVA ARDKDRRGRSSSGHAHVAKENGQKRKN >ENSMUSP00000049018.6 pep:known chromosome:GRCm38:4:9621696:9669162:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000038564.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAPRKNAKGGGGNSSSSGSGSGSGSGSPSTGSSGSSSSPGARREAKHGGHKNGRRGGISG GSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGVYDADGDGDFDVDDAKVLLEGPG GLAKRKTKAKAKEPIKEELKKERGKAVPSKNEERRQGKKEQEDRGKGRKKPDSDTSQKAS AAGKRDRDKEKASSDKSSKSKESWKKAVETKAVSSKVAARDKDRRGRSSSGHAHVAKENG QKRKN >ENSMUSP00000116899.1 pep:known chromosome:GRCm38:4:9629023:9669162:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000146441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAPRKNAKGGGGNSSSSGSGSGSGSGSPSTGSSGSSSSPGARREAKHGGHKNGRRGGISG GSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLAKAKDFRYNLSEVLQGKLGVYDADGDG DFDVDDAKVLLGLTKDGSNENIDSLEEVLTILAEESSDWFYGFLSFLYDIMTPFEMLEEE EEESETADGVDGTSQNEGVQGKTCVILDLHNQ >ENSMUSP00000095876.2 pep:known chromosome:GRCm38:4:9630547:9669162:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000098275.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MAPRKNAKGGGGNSSSSGSGSGSGSGSPSTGSSGSSSSPGARREAKHGGHKNGRRGGISG GSFFTWFMVIALLGVWTSVAVVWFDLVDYEEVLGKLGVYDADGDGDFDVDDAKVLLGLTK DGSNENIDSLEEVLTILAEESSDWFYGFLSFLYDIMTPFEMLEEEEEESETADGVDGTSQ NEGVQGKTCVILDLHNQ >ENSMUSP00000118518.1 pep:known chromosome:GRCm38:4:9630926:9669321:-1 gene:ENSMUSG00000028207.18 transcript:ENSMUST00000131605.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asph description:aspartate-beta-hydroxylase [Source:MGI Symbol;Acc:MGI:1914186] MVIALLGVWTSVAVVWFDLVDYEEVLAKAKDFRYNLSEVLQGKLGVYDADGDGDFDVDDA KVLLGLTKDGSNE >ENSMUSP00000133483.1 pep:known chromosome:GRCm38:7:12651706:12684991:-1 gene:ENSMUSG00000091045.8 transcript:ENSMUST00000172743.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r55 description:vomeronasal 2, receptor 55 [Source:MGI Symbol;Acc:MGI:3757950] MWGYRVAQSFVFAIEEINRSAHLLPNLTLGFSIRNSGDSVHGALYETMGFLTGQEEPIPN YTCQHGSPQAALVGDTRSSLSVSMARLLGLYKFSQVSYSSSLPSLSDKIQFPSFLRTLTS DITSSHAVTQLIIHFQWSWVIILAQDDDFGQQASSLATQQLSPAGVCIEYHLHVPSHQSL GKIEETVQKMQKCTSKVVLVFLSNSNFQLILHGLLGVPVSGQVWVSKGTLHMALALTIPG ISQVLQGTFGLLYHSSRAIGFPEFLAHLRPSQTPEDMFIKKFWEFTFDCTWPYQNSTVTE GVQFCTGNESLKNKPHPFPEVSKIDAAYTAVYSIAHALHNMLACEHQERKGTNSHNFHSW QLLHALKKVHFKTLDGIKIMFDANGDLVTKFDIFQGQKTPAGVFHLVHVGMIDPQVSSGN KMMVQLKEDLQVSSLNAEKTVVLESSPSKDNNRKKPIQGRKPCPRKSKKCYRNGVYVSPT DMKRCLLCPKEQYSSHTRDHCLPRTEIFLAFEEPLGFILALVALLLAGLAVLVLGVFLKH RDTPVVRANNRTLSYFLLISLSLCALCALLFLGRPTVTTCLLRQTTFAVVFTVAVSSVLA KTLTVVLAFKVTRPRSRIQICLSPGTSTLVVLIASLIQVVLCGVWLATFPPFPDKDMLSE PQHIVIQCHDGSGATFFCVLGYLGFLAGGTFSVAFLARDLPDVFNETKFLTFSMLLFCSV WTAFLPLYYSARGKSTVAVEIFSILASTAGLLGGIFIPKCYIILLKPEKNTPSWLKQGHH I >ENSMUSP00000132834.1 pep:known chromosome:GRCm38:7:12665463:12684991:-1 gene:ENSMUSG00000091045.8 transcript:ENSMUST00000167771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r55 description:vomeronasal 2, receptor 55 [Source:MGI Symbol;Acc:MGI:3757950] MWGYRVAQSFVFAIEEINRSAHLLPNLTLGFSIRNSGDSVHGALYETMGFLTGQEEPIPN YTCQHGSPQAALVGDTRSSLSVSMARLLGLYKFSQVSYSSSLPSLSDKIQFPSFLRTLTS DITSSHAVTQLIIHFQWSWVIILAQDDDFGQQASSLATQQLSPAGVCIEYHLHVPSHQSL GKIEETVQKMQKCTSKVVLVFLSNSNFQLILHGLLGVPVSGQVWVSKGTLHMALALTIPG ISQVLQGTFGLLYHSSRAIGFPEFLAHLRPSQTPEDMFIKKFWEFTFDCTWPYQNSTVTE GVQFCTGNESLKNKPHPFPEVSKIDAAYTAVYSIAHALHNMLACEHQERKGTNSHNFHSW QLLHALKKVHFKTLDGIKIMFDANGDLVTKFDIFQGQKTPAGVFHLVHVGMIDPQVSSGN KMMVQLKEDLQVSSLNAEKTVVLESSPSKDNNRKKPIQGRKPCPRKSKK >ENSMUSP00000112719.1 pep:known chromosome:GRCm38:4:62452632:62470887:-1 gene:ENSMUSG00000028391.16 transcript:ENSMUST00000120095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr31 description:WD repeat domain 31 [Source:MGI Symbol;Acc:MGI:1918604] MLLLDGQLKPALPQKVFCRLWAVMGKLQSKLRHSTYLYSRPDGIIEERVQTKAPQEYIPV HVDTVSVIATLNSDLCISGGKDKTAVAYNWKTGRMVKRFTGHEREITKIACIPKANQFFS ASRDKTVLMWDLQGSSHPRQQLSGHAMVVTGLAVSPDSSQLCTGSRDNSLLLWDVGTGQC VERASVSRNLVTHLCWVPSEPYIVQTSEDKTIRLWDSRGLQMAHMFPTKQHIQTHCEVSV DGHTCISCSSGFGGEGCEATLWDLRQTRDRMCEYKGHFQTVASCVFLPKSTALMPMIATS AHDSKVKIWNQDTGACLSTLSLDGSGPLTSLAVGDISSLLCASFYRGVHLLRVDHSQGLE LQEVAAF >ENSMUSP00000120684.1 pep:known chromosome:GRCm38:4:62453634:62460408:-1 gene:ENSMUSG00000028391.16 transcript:ENSMUST00000132263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr31 description:WD repeat domain 31 [Source:MGI Symbol;Acc:MGI:1918604] CTGSRDNSLLLWDVGTGQCVERASVSRNLVTHLCWVPSEPYIVQTSEDKTIRLWDSRGLQ MAHMFPTKQHIQTHCEVSVDGHTCISCSSGFGGEGCEATLASPPCLWMDQDP >ENSMUSP00000030087.7 pep:known chromosome:GRCm38:4:62453634:62470887:-1 gene:ENSMUSG00000028391.16 transcript:ENSMUST00000030087.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr31 description:WD repeat domain 31 [Source:MGI Symbol;Acc:MGI:1918604] MLLLDGQLKPALPQKVFCRLWAVMGKLQSKLRHSTYLYSRPDGIIEERVQTKAPQEYIPV HVDTVSVIATLNSDLCISGGKDKTAVAYNWKTGRMVKRFTGHEREITKIACIPKANQFFS ASRDKTVLMWDLQGSSHPRQQLSGHAMVVTGLAVSPDSSQLCTGSRDNSLLLWDVGTGQC VERASVSRNLVTHLCWVPSEPYIVQTSEDKTIRLWDSRGLQMAHMFPTKQHIQTHCEVSV DGHTCISCSSGFGGEGCEATLWDLRQTRDRMCEYKGHFQTVASCVFLPKSTALMPMIATS AHDSKVKIWNQDTGACLSTLSLDGSGPLTSLAVGDISSLLCASFYRGVHLLRVDHSQGLE LQEVAAF >ENSMUSP00000103076.1 pep:known chromosome:GRCm38:4:62453634:62470887:-1 gene:ENSMUSG00000028391.16 transcript:ENSMUST00000107452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr31 description:WD repeat domain 31 [Source:MGI Symbol;Acc:MGI:1918604] MLLLDGQLKPALPQKVFCRLWAVMGKLQSKLRHSTYLYRPDGIIEERVQTKAPQEYIPVH VDTVSVIATLNSDLCISGGKDKTAVAYNWKTGRMVKRFTGHEREITKIACIPKANQFFSA SRDKTVLMWDLQGSSHPRQQLSGHAMVVTGLAVSPDSSQLCTGSRDNSLLLWDVGTGQCV ERASVSRNLVTHLCWVPSEPYIVQTSEDKTIRLWDSRGLQMAHMFPTKQHIQTHCEVSVD GHTCISCSSGFGGEGCEATLWDLRQTRDRMCEYKGHFQTVASCVFLPKSTALMPMIATSA HDSKVKIWNQDTGACLSTLSLDGSGPLTSLAVGDISSLLCASFYRGVHLLRVDHSQGLEL QEVAAF >ENSMUSP00000123624.1 pep:known chromosome:GRCm38:4:62463344:62470896:-1 gene:ENSMUSG00000028391.16 transcript:ENSMUST00000155522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr31 description:WD repeat domain 31 [Source:MGI Symbol;Acc:MGI:1918604] MLLLDGQLKPALPQKVFCRLWAVMGKLQSKLRHSTYLYSRPDGIIEERVQTKAPQEYIPV HVDTVSVIATLNSDLCISGGKDK >ENSMUSP00000116182.1 pep:known chromosome:GRCm38:4:62463999:62470874:-1 gene:ENSMUSG00000028391.16 transcript:ENSMUST00000135811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr31 description:WD repeat domain 31 [Source:MGI Symbol;Acc:MGI:1918604] MLLLDGQLKPALPQ >ENSMUSP00000127830.1 pep:known chromosome:GRCm38:10:116485165:116549163:-1 gene:ENSMUSG00000020166.14 transcript:ENSMUST00000164088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot2 description:CCR4-NOT transcription complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1919318] MVRTDGHTLSEKRNYQMLASPSTSGQLSQFGASLYGQQSALGLPMRGMSNNTPQLNRSLS QGTQLPSHVTPTTGVPTMSLHTPPSPSRGILPMNPRNMMNHSQVGQGIGIPSRTNSMSSS GLGSPNRSSPSIICMPKQQPSRQPFTVNSMSGFGMNRNQAFGMNNSLSSNIFNGTDGSEN VTGLDLSDFPALADRNRREGSGNPTPLINPLAGRAPYVGMVTKPANEQSQDFSIHNEDFP ALPGSSYKDPTSSNDDSKSNLSTSGKTTSSTDGPKFPGDKSSTTQNNNQQKKGIQVLPDG RVTNIPQGMVTDQFGMIGLLTFIRAAETDPGMVHLALGSDLTTLGLNLNSPENLYPKFAS PWASSPCRPQDIDFHVPSEYLTNIHIRDKLAAIKLGRYGEDLLFYLYYMNGGDVLQLLAA VELFNRDWRYHKEERVWITRAPGMEPTMKTNTYERGTYYFFDCLNWRKVAKEFHLEYDKL EERPHLPSTFNYNPAQQAF >ENSMUSP00000130192.1 pep:known chromosome:GRCm38:10:116485165:116581496:-1 gene:ENSMUSG00000020166.14 transcript:ENSMUST00000169576.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cnot2 description:CCR4-NOT transcription complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1919318] MVRTDGHTLSEKRNYQSRRIPSFIMFWLDSFLIVCWSLNFRAMVSTLL >ENSMUSP00000100902.1 pep:known chromosome:GRCm38:10:116485166:116581500:-1 gene:ENSMUSG00000020166.14 transcript:ENSMUST00000105267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot2 description:CCR4-NOT transcription complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1919318] MVRTDGHTLSEKRNYQVTNSMFGASRKKFVEGVDSDYHDENMYYSQSSMFPHRSEKDMLA SPSTSGQLSQFGASLYGQQSALGLPMRGMSNNTPQLNRSLSQGTQLPSHVTPTTGVPTMS LHTPPSPSRGILPMNPRNMMNHSQVGQGIGIPSRTNSMSSSGLGSPNRSSPSIICMPKQQ PSRQPFTVNSMSGFGMNRNQAFGMNNSLSSNIFNGTDGSENVTGLDLSDFPALADRNRRE GSGNPTPLINPLAGRAPYVGMVTKPANEQSQDFSIHNEDFPALPGSSYKDPTSSNDDSKS NLSTSGKTTSSTDGPKFPGDKSSTTQNNNQQKKGIQVLPDGRVTNIPQGMVTDQFGMIGL LTFIRAAETDPGMVHLALGSDLTTLGLNLNSPENLYPKFASPWASSPCRPQDIDFHVPSE YLTNIHIRDKLAAIKLGRYGEDLLFYLYYMNGGDVLQLLAAVELFNRDWRYHKEERVWIT RAPGMEPTMKTNTYERGTYYFFDCLNWRKVAKEFHLEYDKLEERPHLPSTFNYNPAQQAF >ENSMUSP00000100900.1 pep:known chromosome:GRCm38:10:116485509:116581501:-1 gene:ENSMUSG00000020166.14 transcript:ENSMUST00000105265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot2 description:CCR4-NOT transcription complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1919318] MRGMSNNTPQLNRSLSQGTQLPSHVTPTTGVPTMSLHTPPSPSRGILPMNPRNMMNHSQV GQGIGIPSRTNSMSSSGLGSPNRSSPSIICMPKQQPSRQPFTVNSMSGFGMNRNQAFGMN NSLSSNIFNGTDGSENVTGLDLSDFPALADRNRREGSGNPTPLINPLAGRAPYVGMVTKP ANEQSQDFSIHNEDFPALPGSSYKDPTSSNDDSKSNLSTSGKTTSSTDGPKFPGDKSSTT QNNNQQKKGIQVLPDGRVTNIPQGMVTDQFGMIGLLTFIRAAETDPGMVHLALGSDLTTL GLNLNSPENLYPKFASPWASSPCRPQDIDFHVPSEYLTNIHIRDKLAAIKLGRYGEDLLF YLYYMNGGDVLQLLAAVELFNRDWRYHKEERVWITRAPGMEPTMKTNTYERGTYYFFDCL NWRKVAKEFHLEYDKLEERPHLPSTFNYNPAQQAF >ENSMUSP00000132152.1 pep:known chromosome:GRCm38:10:116485787:116581511:-1 gene:ENSMUSG00000020166.14 transcript:ENSMUST00000169921.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot2 description:CCR4-NOT transcription complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1919318] MVRTDGHTLSEKRNYQVTNSMFGASRKKFVEGVDSDYHDENMYYSQSSMFPHRSEKDMLA SPSTSGQLSQFGASLYGQQSALGLPMRGMSNNTPQLNRSLSQGTQLPSHVTPTTGVPTMS LHTPPSPSRGILPMNPRNMMNHSQVGQGIGIPSRTNSMSSSGLGSPNRSSPSIICMPKQQ PSRQPFTVNSMSGFGMNRNQAFGMNNSLSSNIFNGTDGSENVTGLDLSDFPALADRNRRE GSGNPTPLINPLAGRAPYVGMVTKPANEQSQDFSIHNEDFPALPGSSYKDPTSSNDDSKS NLSTSGKTTSSTDGPKFPGDKSSTTQNNNQQKKGIQVLPDGRVTNIPQGMVTDQFGMIGL LTFIRAAETDPGMVHLALGSDLTTLGLNLNSPENLYPKFASPWASSPCRPQDIDFHVPSE YLTNIHIRDKLAAIKLGRYGEDLLFYLYYMNGGDVLQLLAAVELFNRDWRYHKEERVWIT RAPGMEPTMKTNTYERGTYYFFDCLNWRKVAKEFHLEYDKLEERPHLPSTFNYNPAQQAF >ENSMUSP00000128837.1 pep:known chromosome:GRCm38:10:116486197:116581502:-1 gene:ENSMUSG00000020166.14 transcript:ENSMUST00000167706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot2 description:CCR4-NOT transcription complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1919318] MVRTDGHTLSEKRNYQVTNSMFGASRKKFVEGVDSDYHDENMYYSQSSMFPHRSEKDMLA SPSTSGQLSQFGASLYGQQSALGLPMRGMSNNTPQLNRSLSQGTQLPSHVTPTTGVPTMS LHTPPSPSRGILPMNPRNMMNHSQVGQGIGIPSRTNSMSSSGLGSPNRSSPSIICMPKQQ PSRQPFTVNSMSGFGMNRNQAFGMNNSLSSNIFNGTDGSENVTGLDLSDFPALPGSSYKD PTSSNDDSKSNLSTSGKTTSSTDGPKFPGDKSSTTQNNNQQKKGIQVLPDGRVTNIPQGM VTDQFGMIGLLTFIRAAETDPGMVHLALGSDLTTLGLNLNSPENLYPKFASPWASSPCRP QDIDFHVPSEYLTNIHIRDKLAAIKLGRYGEDLLFYLYYMNGGDVLQLLAAVELFNRDWR YHKEERVWITRAPGMEPTMKTNTYERGTYYFFDCLNWRKVAKEFHLEYDKLEERPHLPST FNYNPAQQAF >ENSMUSP00000128720.1 pep:known chromosome:GRCm38:10:116504040:116581465:-1 gene:ENSMUSG00000020166.14 transcript:ENSMUST00000169507.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cnot2 description:CCR4-NOT transcription complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1919318] MVRTDGHTLSEKRNYQPPALSFPIPRVANVERSCTGDKQHVWCFKKEVCRGGGQRLP >ENSMUSP00000020374.5 pep:known chromosome:GRCm38:10:116509791:116581511:-1 gene:ENSMUSG00000020166.14 transcript:ENSMUST00000020374.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot2 description:CCR4-NOT transcription complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1919318] MVRTDGHTLSEKRNYQVTNSMFGASRKKFVEGVDSDYHDENMYYSQSSMFPHRSEKDMLA SPSTSGQLSQFGASLYGQQRFLKRGSMKNLIHYSASCQKEIGIYLEYVH >ENSMUSP00000132315.1 pep:known chromosome:GRCm38:10:116485161:116581511:-1 gene:ENSMUSG00000020166.14 transcript:ENSMUST00000168036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot2 description:CCR4-NOT transcription complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1919318] MVRTDGHTLSEKRNYQMLASPSTSGQLSQFGASLYGQQSALGLPMRGMSNNTPQLNRSLS QGTQLPSHVTPTTGVPTMSLHTPPSPSRGILPMNPRNMMNHSQVGQGIGIPSRTNSMSSS GLGSPNRSSPSIICMPKQQPSRQPFTVNSMSGFGMNRNQAFGMNNSLSSNIFNGTDGSEN VTGLDLSDFPALADRNRREGSGNPTPLINPLAGRAPYVGMVTKPANEQSQDFSIHNEDFP ALPGSSYKDPTSSNDDSKSNLSTSGKTTSSTDGPKFPGDKSSTTQNNNQQKKGIQVLPDG RVTNIPQGMVTDQFGMIGLLTFIRAAETDPGMVHLALGSDLTTLGLNLNSPENLYPKFAS PWASSPCRPQDIDFHVPSEYLTNIHIRDKLAAIKLGRYGEDLLFYLYYMNGGDVLQLLAA VELFNRDWRYHKEERVWITRAPGMEPTMKTNTYERGTYYFFDCLNWRKVAKEFHLEYDKL EERPHLPSTFNYNPAQQAF >ENSMUSP00000125457.1 pep:known chromosome:GRCm38:10:11149427:11188150:1 gene:ENSMUSG00000090112.8 transcript:ENSMUST00000159810.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Shprh description:SNF2 histone linker PHD RING helicase [Source:MGI Symbol;Acc:MGI:1917581] MSSRRKRAPPMKVDEERQQQLHWNMHEDLRSEPLTMTVGEQACSDADSSSDCIIIDEGPP ESALHRDKKRRSETVSVLEATEEETRLSVTLNVTVSPYRVDNSWKAFLGDFALQLLPKES LVEHFSERTFTLSPSESSSQFLIYVHSECKNVEKQENVLEGSAGVCSKGIRVESSFSSDM LQDLAWLQKRRGIKLYQRPDGTHTIKVGIYILEAGLTRLDFMSDAGSRMKKFNQLMKRVM EKLHNFIIPDVLEEEEEGSESEPEGQDIDELYHFVKQTHQQETRSVQVDVQHPALIPVLR PYQREAVNWMLQQEQFRSAPPADNSLHFLWREIVTPDGLKLYYNPYTGCIIRDFPHAGPQ LLGGILADEMGLGKTVEVLALILTHTRQDVKQDALTLPEGKVVNYFIPTHCPREKVKNRE IQDTEYEPKEKVHCPPTRVMILTAVKEMNGKKGVSILSIYKYVSSIFRYDVQRNRGLLKR MLKCLIFEGLVKQIKGHGFSGTFTLGKNYKEDVFDKTKKQAVGSPRKIEKELRKSVNKDA DSEYLPSNTSDDDEPYYYYCKAGKSRSKLKKPALLTKKGKGQSVHLDSQGDAPAAGVCAS TDVHVSENTCVSEDKQTQEAKDCAESPNPAAEELAQSNTSSPCETSDYRFECICGEFDQI GHKPRVQCLKCHLWQHAKCVNYEEKNLKVKPFYCPHCLVAMEPVSTRATLIISPSSICHQ WVDEINRHVRSSSLRVLVYQGVKKHGFLQPHFLAEQDIVIITYDVLRSELNYVNIPHSNS EDGRRLRNQKRYMAIPSPLVAVEWWRICLDEAQMVECPTVKAAEMAQRLSGINRWCISGT PVQRGLEDLFGLVVFLGIEPYCVKHWWIRLLYHPYCKKNPQHLYSFIAKIMWRSAKKDVI DQIQIPPQTEEMHWLHFSPVERHFYHRQHEVCCQDAIVKLRKISDWALKLSSLDRRTVSS ILYPLLRLRQACCHPQAVRGEFLPLQKSTMTMEELLTSLQKKCGTECEEAHRQLVCALNG LAGIHIIKGRR >ENSMUSP00000039422.6 pep:known chromosome:GRCm38:10:11149430:11217595:1 gene:ENSMUSG00000090112.8 transcript:ENSMUST00000044053.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shprh description:SNF2 histone linker PHD RING helicase [Source:MGI Symbol;Acc:MGI:1917581] MSSRRKRAPPMKVDEERQQQLHWNMHEDLRSEPLTMTVGEQACSDADSSSDCIIIDEGPP ESALHRDKKRRSETVSVLEATEEETRLSVTLNVTVSPYRVDNSWKAFLGDFALQLLPKES LVEHFSERTFTLSPSESSSQFLIYVHSECKNVEKQENVLEGSAGVCSKGIRVESSFSSDM LQDLAWLQKRRGIKLYQRPDGTHTIKVGIYILEAGLTRLDFMSDAGSRMKKFNQLMKRVM EKLHNFIIPDVLEEEEEGSESEPEGQDIDELYHFVKQTHQQETRSVQVDVQHPALIPVLR PYQREAVNWMLQQEQFRSAPPADNSLHFLWREIVTPDGLKLYYNPYTGCIIRDFPHAGPQ LLGGILADEMGLGKTVEVLALILTHTRQDVKQDALTLPEGKVVNYFIPTHCPREKVKNRE IQDTEYEPKEKVHCPPTRVMILTAVKEMNGKKGVSILSIYKYVSSIFRYDVQRNRGLLKR MLKCLIFEGLVKQIKGHGFSGTFTLGKNYKEDVFDKTKKQAVGSPRKIEKELRKSVNKDA DSEYLPSNTSDDDEPYYYYCKAGKSRSKLKKPALLTKKGKGQSVHLDSQGDAPAAGVCAS TDVHVSENTCVSEDKQTQEAKDCAESPNPAAEELAQSNTSSPCETSDYRFECICGEFDQI GHKPRVQCLKCHLWQHAKCVNYEEKNLKVKPFYCPHCLVAMEPVSTRATLIISPSSICHQ WVDEINRHVRSSSLRVLVYQGVKKHGFLQPHFLAEQDIVIITYDVLRSELNYVNIPHSNS EDGRRLRNQKRYMAIPSPLVAVEWWRICLDEAQMVECPTVKAAEMAQRLSGINRWCISGT PVQRGLEDLFGLVVFLGIEPYCVKHWWIRLLYHPYCKKNPQHLYSFIAKIMWRSAKKDVI DQIQIPPQTEEMHWLHFSPVERHFYHRQHEVCCQDAIVKLRKISDWALKLSSLDRRTVSS ILYPLLRLRQACCHPQAVRGEFLPLQKSTMTMEELLTSLQKKCGTECEEAHRQLVCALNG LAGIHIIKGEYALAAELYREVLRSSEEHKGKLKTDSLQRLHATHNLMELLGAKHPGIPPT LRDGRLEEEAKQLREHYMSKCNTEVAEAQQALQPVQQSIRELQRKIHSNSPWWLNVIHRA MEFSVDEELVQRVRNEISSNYKQQTDKLSMSEKFRDCRGLQFLLTTQMEELHKFQKLVRE AVKKLEKPPSREVIESATVCHLRPARLPLNCCVFCKADELFTEYESKLFFNTVKGQTAIF EEMIEDEEGLVDDRVPTTTRGLWAVSETERSMKAILSFARSHRFDVEYVDEGSVSMDLFE AWKKEYKLLHEYWMTLRNRVSAVDELAMATERLRVRHPKEPKPNPPVHHIIEPHEVEQNR IKLVNDKAVATSQLQKKLGQLLYLTNLEKSQDKTSGGINPEPCPICARQLGKQWAVLTCG HCFCNECTSIIIEQYSVGSHRSSIKCAICRQTTSHKEVSYVFTSEKANQEDDIPVKGSHS TKVEAVVRTLMKIQLRDPGAKALVFSTWQDVLDIISKALTDNNMEFTQISRIKTFQENLS AFKYDPHINILLLPLHTGSNGLTIIEATHVLLVEPILNPAHELQAIGRVHRIGQTKPTIV HRFLIKATIEERMQAMLKTAERSHTSSSGKHSEASVLTVAGLADLFTKENEELE >ENSMUSP00000125849.1 pep:known chromosome:GRCm38:10:11149524:11209552:1 gene:ENSMUSG00000090112.8 transcript:ENSMUST00000054814.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shprh description:SNF2 histone linker PHD RING helicase [Source:MGI Symbol;Acc:MGI:1917581] MSSRRKRAPPMKVDEERQQQLHWNMHEDLRSEPLTMTVGEQACSDADSSSDCIIIDEGPP ESALHRDKKRRSETVSVLEATEEETRLSVTLNVTVSPYRVDNSWKAFLGDFALQLLPKES LVEHFSERTFTLSPSESSSQFLIYVHSECKNVEKQENVLEGSAGVCSKGIRVESSFSSDM LQDLAWLQKRRGIKLYQRPDGTHTIKVGIYILEAGLTRLDFMSDAGSRMKKFNQLMKRVM EKLHNFIIPDVLEEEEEGSESEPEGQDIDELYHFVKQTHQQETRSVQVDVQHPALIPVLR PYQREAVNWMLQQEQFRSAPPADNSLHFLWREIVTPDGLKLYYNPYTGCIIRDFPHAGPQ LLGGILADEMGLGKTVEVLALILTHTRQDVKQDALTLPEGKVVNYFIPTHCPREKVKNRE IQDTEYEPKEKVHCPPTRVMILTAVKEMNGKKGVSILSIYKYVSSIFRYDVQRNRGLLKR MLKCLIFEGLVKQIKGHGFSGTFTLGKNYKEDVFDKTKKQAVGSPRKIEKELRKSVNKDA DSEYLPSNTSDDDEPYYYYCKAGKSRSKLKKPALLTKKGKGQSVHLDSQGDAPAAGVCAS TDVHVSENTCVSEDKQTQEAKDCAESPNPAAEELAQSNTSSPCETSDYRFECICGEFDQI GHKPRVQCLKCHLWQHAKCVNYEEKNLKVKPFYCPHCLVAMEPVSTRATLIISPSSICHQ WVDEINRHVRSSSLRVLVYQGVKKHGFLQPHFLAEQDIVIITYDVLRSELNYVNIPHSNS EDGRRLRNQKRYMAIPSPLVAVEWWRICLDEAQMVECPTVKAAEMAQRLSGINRWCISGT PVQRGLEDLFGLVVFLGIEPYCVKHWWIRLLYHPYCKKNPQHLYSFIAKIMWRSAKKDVI DQIQIPPQTEEMHWLHFSPVERHFYHRQHEVCCQDAIVKLRKISDWALKLSSLDRRTVSS ILYPLLRLRQACCHPQAVRGEFLPLQKSTMTMEELLTSLQKKCGTECEEAHRQLVCALNG LAGIHIIKGEYALAAELYREVLRSSEEHKGKLKTDSLQRLHATHNLMELLGAKHPGIPPT LRDGRLEEEAKQLREHYMSKCNTEVAEAQQALQPVQQSIRELQRKIHSNSPWWLNVIHRA MEFSVDEELVQRVRNEISSNYKQQTDKLSMSEKFRDCRGLQFLLTTQMEELHKFQKLVRE AVKKLEKPPSREVIESATVCHLRPARLPLNCCVFCKADELFTEYESKLFFNTVKGQTAIF EEMIEDEEGLVDDRVPTTTRGLWAVSETERSMKAILSFARSHRFDVEYVDEGSVSMDLFE AWKKEYKLLHEYWMTLRNRVSAVDELAMATERLRVRHPKEPKPNPPVHHIIEPHEVEQNR IKLVNDKAVATSQLQKKLGQLLYLTNLEKSQDKTSGGINPEPCPICARQLGKQWAVLTCG HCFCNECTSIIIEQYSVGSHRSSIKCAICRQTTSHKEVSYVFTSEKANQEDDIPVKGSHS TKVEAVVRTLMKIQLRDPGAKALVFSTWQDVLDIISKALTDNNMEFTQISRIKTFQENLS AFKYDPHINILLLPLHTGSNGLTIIEATHVLLVEPILNPAHELQAIGRVHRIGQTK >ENSMUSP00000132870.1 pep:known chromosome:GRCm38:10:11149524:11209552:1 gene:ENSMUSG00000090112.8 transcript:ENSMUST00000159541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shprh description:SNF2 histone linker PHD RING helicase [Source:MGI Symbol;Acc:MGI:1917581] MSSRRKRAPPMKVDEERQQQLHWNMHEDLRSEPLTMTVGEQACSDADSSSDCIIIDEGPP ESALHRDKKRRSETVSVLEATEEETRLSVTLNVTVSPYRVDNSWKAFLGDFALQLLPKES LVEHFSERTFTLSPSESSSQFLIYVHSECKNVEKQENVLEGSAGVCSKGIRVESSFSSDM LQDLAWLQKRRGIKLYQRPDGTHTIKVGIYILEAGLTRLDFMSDAGSRMKKFNQLMKRVM EKLHNFIIPDVLEEEEEGSESEPEGQDIDELYHFVKQTHQQETRSVQVDVQHPALIPVLR PYQREAVNWMLQQEQFRSAPPADNSLHFLWREIVTPDGLKLYYNPYTGCIIRDFPHAGPQ LLGGILADEMGLGKTVEVLALILTHTRQDVKQDALTLPEGKVVNYFIPTHCPREKVKNRE IQDTEYEPKEKVHCPPTRVMILTAVKEMNGKKGVSILSIYKYVSSIFRYDVQRNRGLLKR MLKCLIFEGLVKQIKGHGFSGTFTLGKNYKEDVFDKTKKQAVGSPRKIEKELRKSVNKDA DSEYLPSNTSDDDEPYYYYCKAGKSRSKLKKPALLTKKGKGQSVHLDSQGDAPAAGVCAS TDVHVSENTCVSEDKQTQEAKDCAESPNPAAEELAQSNTSSPCETSDYRFECICGEFDQI GHKPRVQCLKCHLWQHAKCVNYEEKNLKVKPFYCPHCLVAMEPVSTRATLIISPSSICHQ WVDEINRHVRSSSLRVLVYQGVKKHGFLQPHFLAEQDIVIITYDVLRSELNYVNIPHSNS EDGRRLRNQKRYMAIPSPLVAVEWWRICLDEAQMVECPTVKAAEMAQRLSGINRWCISGT PVQRGLEDLFGLVVFLGIEPYCVKHWWIRLLYHPYCKKNPQHLYSFIAKIMWRSAKKDVI DQIQIPPQTEEMHWLHFSPVERHFYHRQHEVCCQDAIVKLRKISDWALKLSSLDRRTVSS ILYPLLRLRQACCHPQAVRGEFLPLQKSTMTMEELLTSLQKKCGTECEEAHRQLVCALNG LAGIHIIKGEYALAAELYREVLRSSEEHKGKLKTDSLQRLHATHNLMELLGAKHPGIPPT LRDGRLEEEAKQLREHYMSKCNTEVAEAQQALQPVQQSIRELQRKIHSNSPWWLNVIHRA MEFSVDEELVQRVRNEISSNYKQQTDKLSMSEKFRDCRGLQFLLTTQMEELHKFQKLVRE AVKKLEKPPSREVIESATVCHLRPARLPLNCCVFCKADELFTEYESKLFFNTVKGQTAIF EEMIEDEEGLVDDRVPTTTRGLWAVSETERSMKAILSFARSHRFDVEYVDEGSVSMDLFE AWKKEYKLLHEYWMTLRNRVSAVDELAMATERLRVRHPKEPKPNPPVHHIIEPHEVEQNR IKLVNDKAVATSQLQKKLGQLLYLTNLEKSQDKTSGGINPEPCPICARQLGKQWAVLTCG HCFCNECTSIIIEQYSVGSHRSSIKCAICRQTTSHKEVSYVFTSEKANQEDDIPVKGSHS TKVEAVVRTLMKIQLRDPGAKALVFSTWQDVLDIISKALTDNNMEFTQISRIKTFQENLS AFKYDPHINILLLPLHTGSNGLTIIEATHVLLVEPILNPAHELQAIGRVHRIGQTKSIRG LECLKTYRYTSTHDHTLSTYLVLLSI >ENSMUSP00000125127.1 pep:known chromosome:GRCm38:10:11164345:11170063:1 gene:ENSMUSG00000090112.8 transcript:ENSMUST00000160461.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Shprh description:SNF2 histone linker PHD RING helicase [Source:MGI Symbol;Acc:MGI:1917581] AVGSPRKIEKELRKSVNKDADSEYLPSNTSDDDEPYYYYCKAGKSRSKLKKPALLTKKGK GQSVHLDSQGDAPAAGVCASTDVHVSENTCVSEDKQTQEAKDCAESPNPAAEELAQSNTS SPCETSDYRFECICGEFDQIGHKPRVQCLKCHLWQHAKCVNYEEKNLKVKPFYCPHCLVA MEPVSTRATLIISPSSICHQWVDEINRHVRSSSLRVLQ >ENSMUSP00000101714.2 pep:known chromosome:GRCm38:4:126861939:126968135:-1 gene:ENSMUSG00000042446.16 transcript:ENSMUST00000106108.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym4 description:zinc finger, MYM-type 4 [Source:MGI Symbol;Acc:MGI:1915035] MAEREVETGPRKRFEQKSDAVFDEIVENCGVMDTEMSEDTDHNLTPTLASMSYGMPNQTG SENSLLDEDDYFLNSGDLAGIPVVSSDNEDEQDCSSKDNLVSSVHTDGSLEVERRAAHQE SDNENEIQIQNQLKKDFPKQFDQVSVFKSIRKDFCLVRENSKETFSGKEKNRDLTYHERE KRLDKPHKGLDSRLKSSFFDKAANQVEETLHTHLPQNPETNFRDSSYPFASKESIGSELG NSFASNIRIKEEPLDDEYDRAVAPQQGLLDRVKDEPDNAQEYSHGQQQKTQEGELKISAV FSVSGSPLAPQLTTGFQPSLASPGMNKMLPSVPATAVRVSCSGCKKILQKGQTAYQRKGS TQLFCSTLCLTGYTVPPARPPPPLTKKTCSSCSKDILNPKDVISAQFENSTTSKDFCSQS CLSTYELKKKPIVTINTNSISTKCSMCQKNAVIRHEVNYQNVVHKLCSDACFSKFRSANN LTMNCCENCGGYCYSGSGQCHVLQIEGQSKKFCSSMCVTSYKQKSAKITPCALCKSLRSS AEMIENTNSLGKTELFCSVNCLSAYRVKMVTSAGVQVQCNSCKTSAIPQYHLAMSDGSIR NFCSYSCVVAFQNLFNKPTGMNSSVVPLSQGQVIVSIPTGSSASAGGGSTPAVSPTSINS SAAAGLQRLAAQSQHVGFARSVVKLRCQHCNRLFATKPELLDYKGKMFQFCGKNCCDEYK KINNVMAMCEYCKIEKIIKETVRFSGADKSFCSEGCKLLYKHDLGKRWGSHCKMCSYCLQ TSPKLIQNNLGGKVEDFCCEECMSKYTVLFYQMAKCDGCKRQGKLSESLKWRGDIKHFCN LLCILMFCHQQTVCDPPLQNNAVASISMVQAASAGPPSLRKDSTPVIANVVSLASAPAAQ PTANTNSVLQGAVPTVTAKIIGDASTQTDALKLPPSQPPRLLKNKALLCKPITQTKATSC KPHTQNKECQTDTPSEPQVMVVPVPVPVFVPIPLHLYTQYTPVPFGIPVPMPVPMFIPSS MDNDEKATEGIEDIKEKLATHPFEADLLEMAEMIAEDEEKEKTLSQGESQTSEQELFLDT KIFEKDQGSTYSGDLESEAVSTPHSWEEELNHYALKSNAVQDADSELKPFSKGETEQDLE ADFPSESFDPLNKGQGIQARSRTRRRHRDGFPQPRRRGRKKSVVPVEPRSLIQGALQGCS VSGMTLKYMYGVNAWKNWVQWKNAKDEQGDLKCGGGELASASPCSDSLGSAQDHALSQES SEQGCKARSVKLKEDILSCTFSELSLGLCQFIQEVRRPNGEKYDPDSILYLCLGIQQYLF ENGRIDNIFTEPYSRFMIELTKLLKIWEPTILPNGYMFSRIEEEHLWECKQLGAYSPIVL LNTLLFFNTKYFQLRNVTEHLKLSFAHVMRRTRTLKYSTKMTYLRFFPPLQKPESEPDKV TIGKRKRNEDDEAPVGVEMAENTDNPLRCPVRLYEFYLSKCSESVKQRSDVFYLQPERSC VPNSPMWYSTFPIDPGTLDTMLTRILMVREVHEELAKAKSEDSDAELSD >ENSMUSP00000120813.1 pep:known chromosome:GRCm38:4:126861939:126923148:-1 gene:ENSMUSG00000042446.16 transcript:ENSMUST00000135003.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym4 description:zinc finger, MYM-type 4 [Source:MGI Symbol;Acc:MGI:1915035] XPLDDEYDRAVAPQQGLLDRVKDEPDNAQEYSHGQQQKTQEGELKISAVFSVSGSPLAPQ LTTGFQPSLASPGMNKMLPSVPATAVRVSCSGCKKILQKGQTAYQRKGSTQLFCSTLCLT GYTVPPARPPPPLTKKTCSSCSKDILNPKDVISAQFENSTTSKDFCSQSCLSTYELKKKP IVTINTNSISTKCSMCQKNAVIRHEVNYQNVVHKLCSDACFSKFRSANNLTMNCCENCGG YCYSGSGQCHVLQIEGQSKKFCSSMCVTSYKQNLFNKPTGMNSSVVPLSQGQVIVSIPTG SSASAGGGSTPAVSPTSINSSAAAGLQRLAAQSQHVGFARSVVKLRCQHCNRLFATKPEL LDYKGKMFQFCGKNCCDEYKKINNVMAMCEYCKIEKIIKETVRFSGADKSFCSEGCKLLY KHDLGKRWGSHCKMCSYCLQTSPKLIQNNLGGKVEDFCCEECMSKYTVLFYQMAKCDGCK RQGKLSESLKWRGDIKHFCNLLCILMFCHQQTVCDPPLQNNAVASISMVQAASAGPPSLR KDSTPVIANVVSLASAPAAQPTANTNSVLQGAVPTVTAKIIGDASTQTDALKLPPSQPPR LLKNKALLCKPITQTKATSCKPHTQNKECQTDTPSEPQVMVVPVPVPVFVPIPLHLYTQY TPVPFGIPVPMPVPMFIPSSMDNDEKATEGIEDIKEKLATHPFEADLLEMAEMIAEDEEK EKTLSQGESQTSEQELFLDTKIFEKDQGSTYSGDLESEAVSTPHSWEEELNHYALKSNAV QDADSELKPFSKGETEQDLEADFPSESFDPLNKGQGIQARSRTRRRHRDGFPQPRRRGRK KSVVPVEPRSLIQGALQGCSVSGMTLKYMYGVNAWKNWVQWKNAKDEQGDLKCGGGELAS ASPCSDSLGSAQDHALSQESSEQGCKARSVKLKEDILSCTFSELSLGLCQFIQEVRRPNG EKYDPDSILYLCLGIQQYLFENGRIDNIFTEPYSRFMIELTKLLKIWEPTILPNGYMFSR IEEEHLWECKQLGAYSPIVLLNTLLFFNTKYFQLRNVTEHLKLSFAHVMRRTRTLKYSTK MTYLRFFPPLQKPESEPDKVTIGKRKRNEDDEAPVGVEMAENTDNPLRCPVRLYEFYLSK CSESVKQRSDVFYLQPERSCVPNSPMWYSTFPIDPGTLDTMLTRILMVREVHEELAKAKS EDSDAELSD >ENSMUSP00000031190.4 pep:known chromosome:GRCm38:5:108268897:108280526:1 gene:ENSMUSG00000029265.4 transcript:ENSMUST00000031190.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dr1 description:down-regulator of transcription 1 [Source:MGI Symbol;Acc:MGI:1100515] MASSSGNDDDLTIPRAAINKMIKETLPNVRVANDARELVVNCCTEFIHLISSEANEICNK SEKKTISPEHVIQALESLGFGSYISEVKEVLQECKTVALKRRKASSRLENLGIPEEELLR QQQELFAKARQQQAELAQQEWLQMQQAAQQAQLAAASASASTQAGSSQDEEDDDDI >ENSMUSP00000118945.1 pep:known chromosome:GRCm38:17:34856374:34859025:-1 gene:ENSMUSG00000090231.10 transcript:ENSMUST00000141295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfb description:complement factor B [Source:MGI Symbol;Acc:MGI:105975] XFYQMIDETKSLSLCGMVWEHKKGNDYHKQPWQAKISVTRPLKGHETCMGAVVSEYFVLT AAHCFMVDDQKHSIKVSVGGQRRDLEIEEVLFHPKYNINGKKAEGIPEFYDYDVALVKLK NKLKYGQTLRPICLPCTEGTTRALRLPQTATCKQHKEQLLPVKDVKALFVSEQGKSLTRK EVYIKNGDKKASCERDATKAQGYEKVKDASEVVTPRFLCTGGVDPYADPNTCKASLFYRR FRGPSHCSQEKPLHSSWCD >ENSMUSP00000119977.1 pep:known chromosome:GRCm38:17:34856374:34862518:-1 gene:ENSMUSG00000090231.10 transcript:ENSMUST00000128767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfb description:complement factor B [Source:MGI Symbol;Acc:MGI:105975] MESPQLCLVLLVLGFSSGGVSATPVLEARPQVSCSLEGVEIKGGSFQLLQGGQALEYLCP SGFYPYPVQTRTCRSTGSWSDLQTRDQKIVQKAECRAIRCPRPQDFENGEFWPRSPFYNL SDQISFQCYDGYVLRGSANRTCQENGRWDGQTAICDDGAGYCPNPGIPIGTRKVGSQYRL EDIVTYHCSRGLVLRGSQKRKCQEGGSWSGTEPSCQDSFMYDSPQEVAEAFLSSLTETIE GADAEDGHSPGEQQKRKIVLDPSGSMNIYLVLDGSDSIGSSNFTGAKRCLTNLIEKVASY GVRPRYGLLTYATVPKVLVRVSDERSSDADWVTEKLNQISYEDHKLKSGTNTKRALQAVY SMMSWAGDAPPEGWNRTRHVIIIMTDGLHNMGGNPVTVIQDIRALLDIGRDPKNPREDYL DVYVFGVGPLVDSVNINALASKKDNEHHVFKVKDMEDLENVFYQMIDETKSLSLCGMVWE HKKGNDYHKQPWQAKISVTRPLKGHETCMGAVVSEYFVLTAAHCFMVDDQKHSIKVSVGG QRRDLEIEEVLFHPKYNINGKKAEGIPEFYDYDVALVKLKNKLKYGQTLRPICLPCTEGT TRALRLPQTATCKQHKEQLLPVKDVKALFVSEQGKSLTRKEVYIKNGDKKASCERDATKA QGYEKVKDASEVVTPRFLCTGGVDPYADPNTCKGDSGGPLIVHKRSRFIQVGVISWGVVD VCRDQRRQQLVPSYARDFHINLFQVLPWLKDKLKDEDLGFL >ENSMUSP00000120990.1 pep:known chromosome:GRCm38:17:34856379:34862173:-1 gene:ENSMUSG00000090231.10 transcript:ENSMUST00000154526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfb description:complement factor B [Source:MGI Symbol;Acc:MGI:105975] MESPQLCLVLLVLGFSSGGVSATPVLEARPQVSCSLEGVEIKGGSFQLLQGGQALEYLCP SGFYPYPVQTRTCRSTGSWSDLQTRDQKIVQKAECRAIRCPRPQDFENGEFWPRSPFYNL SDQISFQCYDGYVLRGSANRTCQENGRWDGQTAICDDGAGYCPNPGIPIGTRKVGSQYRL EDIVTYHCSRGLVLRGSQKRKCQEGGSWSGTEPSCQDSFMYDSPQEVAEAFLSSLTETIE GADAEDGHSPGEQQKRKIVLDPSGSMNIYLVLDGSDSIGSSNFTGAKRCLTNLIEKVASY GVRPRYGLLTYATVPKVLVRVSDERSSDADWVTEKLNQISYEDHKLKSGTNTKRALQAVY SMMSWAGDAPPEGWNRTRHVIIIMTDGLHNMGGNPVTVIQDIRALLDIGRDPKNPREDYL DVYVFGVGPLVDSVNINALASKKDNEHHVFKVKDMEDLENVFYQMIDETKSLSLCGMVWE HKKGNDYHKQPWQAKISVTRPLKGHETCMGAVVSEYFVLTAAHCFMVDDQKHSIKVSVGG QRRDLEIEEVLFHPKYNINGKKAEGIPEFYDYDVALVKLKNKLKYGQTLRPICLPCTEGT TRALRLPQTATCKQHKEQLLPVKDVKALFVSEQGKSLTRKEVYIKNGDKPVVREMLQRPK AMRRSKMPLRWSLHGSSAQEGWIPMLTPTHAKEIPGALSLFTREAASFKLV >ENSMUSP00000116497.1 pep:known chromosome:GRCm38:17:34856391:34858142:-1 gene:ENSMUSG00000090231.10 transcript:ENSMUST00000153400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfb description:complement factor B [Source:MGI Symbol;Acc:MGI:105975] GHETCMGAVVSEYFVLTAAHCFMVDDQKHSIKVSVGGQRRDLEIEEVLFHPKYNINGKKA EGIPEFYDYDVALVKLKNKLKYGQTLRPICLPCTEGTTRALRLPQTATCKQHKEQLLPVK DVKALFVSEQGKSLTRKEVYIKNGDKKASCERDATKAQGYEKVKDASEVVTPRFLCTGGV DPYADPNTCKGEVALWLCCKSTGPASFPYSFSLLQEIPGALSLFTREAASFKLV >ENSMUSP00000135304.1 pep:known chromosome:GRCm38:17:34856412:34859310:-1 gene:ENSMUSG00000090231.10 transcript:ENSMUST00000176332.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfb description:complement factor B [Source:MGI Symbol;Acc:MGI:105975] XPVTVIQDIRALLDIGRDPKNPREDYLDVYVFGVGPLVDSVNINALASKKDNEHHVFKVK DMEDLENVFYQMIGGQRRDLEIEEVLFHPKYNINGKKAEGIPEFYDYDVALVKLKNKLKY GQTLRPICLPCTEGTTRALRLPQTATCKQHKEQLLPVKDVKALFVSEQGKSLTRKEVYIK NGDKKASCERDATKAQGYEKVKDASEVVTPRFLCTGGVDPYADPNTCKGDSGGPLIVHKR SRFIQVGVISWGVVDVCRDQRRQQLVPSYARDFHINLFQVLPWLKDKLKDEDLGFL >ENSMUSP00000118360.1 pep:known chromosome:GRCm38:17:34856574:34859041:-1 gene:ENSMUSG00000090231.10 transcript:ENSMUST00000133127.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfb description:complement factor B [Source:MGI Symbol;Acc:MGI:105975] XDLENVFYQMIDETKSLSLCGMVWEHKKGNDYHKQPWQAKISVTGVRGGTWRLKRSCSTP NTILMGKRQKGSLSSMIMMWP >ENSMUSP00000025229.4 pep:known chromosome:GRCm38:17:34856384:34862514:-1 gene:ENSMUSG00000090231.10 transcript:ENSMUST00000025229.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfb description:complement factor B [Source:MGI Symbol;Acc:MGI:105975] MTMESPQLCLVLLVLGFSSGGVSATPVLEARPQVSCSLEGVEIKGGSFQLLQGGQALEYL CPSGFYPYPVQTRTCRSTGSWSDLQTRDQKIVQKAECRAIRCPRPQDFENGEFWPRSPFY NLSDQISFQCYDGYVLRGSANRTCQENGRWDGQTAICDDGAGYCPNPGIPIGTRKVGSQY RLEDIVTYHCSRGLVLRGSQKRKCQEGGSWSGTEPSCQDSFMYDSPQEVAEAFLSSLTET IEGADAEDGHSPGEQQKRKIVLDPSGSMNIYLVLDGSDSIGSSNFTGAKRCLTNLIEKVA SYGVRPRYGLLTYATVPKVLVRVSDERSSDADWVTEKLNQISYEDHKLKSGTNTKRALQA VYSMMSWAGDAPPEGWNRTRHVIIIMTDGLHNMGGNPVTVIQDIRALLDIGRDPKNPRED YLDVYVFGVGPLVDSVNINALASKKDNEHHVFKVKDMEDLENVFYQMIDETKSLSLCGMV WEHKKGNDYHKQPWQAKISVTRPLKGHETCMGAVVSEYFVLTAAHCFMVDDQKHSIKVSV GGQRRDLEIEEVLFHPKYNINGKKAEGIPEFYDYDVALVKLKNKLKYGQTLRPICLPCTE GTTRALRLPQTATCKQHKEQLLPVKDVKALFVSEQGKSLTRKEVYIKNGDKKASCERDAT KAQGYEKVKDASEVVTPRFLCTGGVDPYADPNTCKGDSGGPLIVHKRSRFIQVGVISWGV VDVCRDQRRQQLVPSYARDFHINLFQVLPWLKDKLKDEDLGFL >ENSMUSP00000135660.2 pep:known chromosome:GRCm38:17:34856384:34862514:-1 gene:ENSMUSG00000090231.10 transcript:ENSMUST00000176203.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfb description:complement factor B [Source:MGI Symbol;Acc:MGI:105975] MTMESPQLCLVLLVLGFSSGGVSATPVLEARPQVSCSLEGVEIKGGSFQLLQGGQALEYL CPSGFYPYPVQTRTCRSTGSWSDLQTRDQKIVQKAECRAIRCPRPQDFENGEFWPRSPFY NLSDQISFQCYDGYVLRGSANRTCQENGRWDGQTAICDDGAGYCPNPGIPIGTRKVGSQY RLEDIVTYHCSRGLVLRGSQKRKCQEGGSWSGTEPSCQDSFMYDSPQEVAEAFLSSLTET IEGADAEDGHSPGEQQKRKIVLDPSGSMNIYLVLDGSDSIGSSNFTGAKRCLTNLIEKVA SYGVRPRYGLLTYATVPKVLVRVSDERSSDADWVTEKLNQISYEDHKLKSGTNTKRALQA VYSMMSWAGDAPPEGWNRTRHVIIIMTDGLHNMGGNPVTVIQDIRALLDIGRDPKNPRED YLDVYVFGVGPLVDSVNINALASKKDNEHHVFKVKDMEDLENVFYQMIDETKSLSLCGMV WEHKKGNDYHKQPWQAKISVTRPLKGHETCMGAVVSEYFVLTAAHCFMVDDQKHSIKVSV GGQRRDLEIEEVLFHPKYNINGKKAEGIPEFYDYDVALVKLKNKLKYGQTLRPICLPCTE GTTRALRLPQTATCKQHKEQLLPVKDVKALFVSEQGKSLTRKEVYIKNGDKPVVREMLQR PKAMRRSKMPLRWSLHGSSAQEGWIPMLTPTHAKEIPGALSLFTREAASFKLV >ENSMUSP00000129566.1 pep:known chromosome:GRCm38:7:12693998:12733105:-1 gene:ENSMUSG00000090762.1 transcript:ENSMUST00000163852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r56 description:vomeronasal 2, receptor 56 [Source:MGI Symbol;Acc:MGI:3695438] MWGYRVAQSFVFAIEEINRSTHLLPNLTLGFSIRNSGDSAHGALYETMGFLTGQEEPIPN YTCQHGSPQAALVGDTRSSLSVSMARLLGLYKFPQVSYSSSLPSLSDKIQFPSFIRTLTS DLTSSHAVTQLIIHFQWSWVIILAHDDDFGQQASSLASQVLTPAGVCIEFYLYVPSHQSL GKIEETVRKMQKCTARVVLVFLSNYNFQLILYGLLAVPVSGQVWVSKDTLHMALALTIPG ISQVLQGTFGLLYHSSRAIGFPEFLAHLRPSQTPEDMFIKKFWEFTFDCMWPYQNITVTE GVQFCTGNESLKNKPHPFPEVSKIDAAYTAVYSIAHALHDMISYEHQDGKGTNSQDFQPW QLLHVLRKVHFKTPDGSEIMFDANGDLVTKFDIFQGQKTPDGVFHLVCVGLIDPQASSGN KMMVQKKMLKYQMKHAQVPTSVCSESCLPGFSQVPRLGAPHCCFDCSPCPEGQFADQRDM KRCLLCPKEQYSSHTRDHCLPRTEIFLAFEEPLGFILALVALLLAGLAVLVLGVFLKHRD TPVVRANNRTLSYFLLISLSLCALCALLFLGRPTVTTCLLRQTTFAVVFTVAVSSVLAKT LTVVLAFKVTRPRSRIQICLSPGTSTLVVLIASLIQVVLCGVWLATFPPFPDKDMLSEPQ HIVIQCHDGSGATFFCVLGYLGFLAGGTFSVAFLARDLPDVFNETKFLTFSMLLFCSVWT AFLPLYYSARGKSTVAVEIFSILASTAGLLGGIFIPKCCIILLKPEKNTSSWLRQGHHV >ENSMUSP00000116756.2 pep:known chromosome:GRCm38:3:108211472:108226648:-1 gene:ENSMUSG00000027887.11 transcript:ENSMUST00000141387.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sypl2 description:synaptophysin-like 2 [Source:MGI Symbol;Acc:MGI:1328311] MSSTESPGRTSDKSPRQQVDRLLLGLRWQRLEEPLGFIKVLQWLFAIFAFGSCGSYSGET GALVLCNNEAKDVSSIIVLFGYPFRLYQVQYEMPLCDQDSTSKTMNLMGDFSAPAEFFVT LGIFSFFYTMAALVIYLRFHKLYTENKRFPLVDFCVTVSFTFFWLVAAAAWGKGLTDVKG ATRPSSLTAAMSVCHGEEAVCSAGATPSMGLANLSVLFGFINFFLWAGNCWFVFKETPWH GQGQDQGQGPSQESAAEQGAVEKQ >ENSMUSP00000104989.1 pep:known chromosome:GRCm38:11:32818529:32824562:-1 gene:ENSMUSG00000020270.9 transcript:ENSMUST00000109365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim23 description:small integral membrane protein 23 [Source:MGI Symbol;Acc:MGI:1916601] MTIQKTGCRGREAAEVVEQRRRSHHCDDRKQTLLALLILVLYLGMGISGSSWEVSGQTKD CNHFQNPVTPQAGAPA >ENSMUSP00000020508.2 pep:known chromosome:GRCm38:11:32820373:32824605:-1 gene:ENSMUSG00000020270.9 transcript:ENSMUST00000020508.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim23 description:small integral membrane protein 23 [Source:MGI Symbol;Acc:MGI:1916601] MTIQKTGCRGREAAEVVEQRRRSHHCDDRKQTLLALLILVLYLGMGISGSSWEVSGQTKD CNHFQNPVTPQGFEYQTKEPAEEPLRTLRKWLKINLHGFLEKLEKEVRELEQLVRDLEFW LDALLGDLRPEDPCFT >ENSMUSP00000039094.6 pep:known chromosome:GRCm38:15:31602118:31617535:1 gene:ENSMUSG00000039065.10 transcript:ENSMUST00000042702.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam173b description:family with sequence similarity 173, member B [Source:MGI Symbol;Acc:MGI:1915323] MERVGTPEEERQAGPVLPTSLESDSSKRTSWGFLITGVVGGALLTVYAVATPFITPALRK VCLPFVPATSKQVENVVRMLRHRRGPLVDIGSGDGRIVIAAAKEGFPAVGYELNPWLVWY SRYRAWRAGVHGSAKFYISDLWKVTFAQYSNVVIFGVPQMMPQLEKKLELELEDGARVIA CRFPFPRWTPDHTTGEGIDTVWAYDMSAQRGRGGRPNQEWVGQKNLSETAGLQASSSETR SKLLDVE >ENSMUSP00000124619.1 pep:known chromosome:GRCm38:15:31602118:31618609:1 gene:ENSMUSG00000039065.10 transcript:ENSMUST00000161061.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam173b description:family with sequence similarity 173, member B [Source:MGI Symbol;Acc:MGI:1915323] MLIHRLTRLCLSWFLQLKGQLGDPGDRGVIIVYLLALCMCLQERLRKKDRRALFFLRVWN PTVQRGPVGDS >ENSMUSP00000005431.5 pep:known chromosome:GRCm38:5:33739673:33782817:-1 gene:ENSMUSG00000005299.6 transcript:ENSMUST00000005431.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Letm1 description:leucine zipper-EF-hand containing transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1932557] MASILLRSCRGRGPARLAPPRAASPRGSLRDRACLSCTRTLGLTSRESVLSRCCTPAHPV YLCFKGEPLSCWTQRPECQGTAARTTWTPASARLVVTGPQYLPVRGWHSSSPLGEDSVIE KSLKSLKDKNKKLEEGGPVYSPPAQVVVRKSLGQKVLDELRHYYHGFRLLWIDTKIAARM LWRILNGHTLTRRERRQFLRICADLFRLVPFLVFVVVPFMEFLLPVVVKLFPNMLPSTFE TQSIKEERLKKELRVKLELAKFLQDTIEEMALKNKAAKGNATKDFSAFFQKIRETGERPS NEEIMRFSKLFEDELTLDNLTRPQLVALCKLLELQSIGTNNFLRFQLTMRLRSIKADDKL ISEEGVDSLTVKELQAACRARGMRALGVTEDRLKGQLKQWLDLHLHHEIPTSLLILSRAM YLPDTLSPADQLKSTLQTLPEIVAKEAQVKVAEVEGEKVDNKAKLEATLQEEAAIQQEHL EELKRASEAVKDIQPEVAEATLPGRPGPEPQPPVDDVILPSEVLTDTAPVLEGLKGEEIT KEEIDILSDACSKLQEQKKSLTKEKEELELLKEDVQDYSEDLQEIKKELSKTGEEKYIEE SAASKRLSKRVQQMIGQIDGLITQLETTQQDGKLGPSQSTPTGESVISITELISAMKQIK HIPEHKLISLTSALDDNKDGNINIDDLVKVIDLVNKEDVQISTTQVAEIVATLEKEEKIE EKEKAKEKAEKEAAEVKN >ENSMUSP00000144491.1 pep:known chromosome:GRCm38:5:33761712:33778644:-1 gene:ENSMUSG00000005299.6 transcript:ENSMUST00000200827.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Letm1 description:leucine zipper-EF-hand containing transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1932557] XSCTRTLGLTSRE >ENSMUSP00000143805.1 pep:known chromosome:GRCm38:5:33776455:33782737:-1 gene:ENSMUSG00000005299.6 transcript:ENSMUST00000148451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Letm1 description:leucine zipper-EF-hand containing transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1932557] MASILLRSCRGRGPARLAPPRAASPRGSLRDRACLSCTRTLGLTSRE >ENSMUSP00000033419.5 pep:known chromosome:GRCm38:X:35888832:36076562:1 gene:ENSMUSG00000031093.14 transcript:ENSMUST00000033419.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock11 description:dedicator of cytokinesis 11 [Source:MGI Symbol;Acc:MGI:1923224] MAEVRKFTKRLSKPGTAAELRQSVSEAVRGSVVLEKAKLVEPLDYENVITQRKTQIYSDP LRDLLMFPMEDISISVIGRQRRTVQSTVPEDAEKRAQSLFVKECIKTYSTDWHVVNYKYE DFSGDFRMLPCKSLRPEKIPNHVFEIDEDCEKDEDSSSLCSQKGGVIKQGWLHKANVNST ITVTMKVFKRRYFYLTQLPDGSYILNSYKDEKNSKESKGCIYLDACIDVVQCPKMRRHAF ELKMLDKYSHYLAAETEQEMEEWLIMLKKIIQINTDSLVQEKKDTVEAIQEEETSSQGKA ENIMASLERSMHPELMKYGRETEQLNKLSRGDGRQNLFSFDSEVQRLDFSGIEPDVKPFE EKCNKRFMVNCHDLTFNILGHIGDNAKGPPTNVEPFFINLALFDVKNNCKISADFHVDLN PPSVREMLWGTSTQLSNDGNAKGFSPESLIHGIAESQLCYIKQGIFSVTNPHPEIFLVVR IEKVLQGNITHCAEPYIKNSDPIKTAQKVHRTAKQVCSRLGQYRMPFAWAARPIFKDVQG SLDLDGRFSPLYKQDSSKLSNEDILKLLSEYKKPEKTKLQIIPGQLSITVECVPVDLPNC ITSSYVPLKPFEKNCQNITVEVEEFVPEMTKYCYPFTIYKNHLYVYPLQLKYDSQKSFAK ARNIAVCVEFRDSDESDASALKCIYGKPAGSVFTTNAYAVVSHHNQNPEFYDEIKIELPI HLHQKHHLLFTFYHVSCEINTKGTTKKQDTVETPVGFAWVPLLKDGRVITLEQQLPVSAN LPPGYLNVNDAESRRQSNADIKWVDGAKPLLKIKTHLESTIYTQDLHVHKFFHHCQLIQS GSKEVPGELIKYLKCLHAMEIQVMIQFLPVILMQLFRVLTNMTHEDDVPINCTMVLLHIV SKCHEEGLESYLRSFIKYSFRPEKPSTLQAQLIHETLATTMIAILKQSADFLAINKLLKY SWFFFEIIAKSMATYLLEENKIKLPRGQRFPEAYHHVLHSLLLAIIPHVTIRYAEIPDES RNGNYSLASFLKRCLTLMDRGFVFNLINDYISGFSPKDPKVLAEYKFEFLQTICNHEHYI PLNLPMAFAKPKLQRVQDSNLEYSLSDEYCKHHFLVGLLLRETSIALQDNYEIRYTAISV IKNLLIKHAFDTRYQHKNQQAKIAQLYLPFVGLLLENIQRLAGRDTLYSCAAMPSSASRD EFPCGFVSPTNRGSLASDKDTAYGSFQNGHGIKREDSRGSLIPEGATGFPDPGSTSENTR QSSSRSSVSQYNRLDQYEIRNLLMCYLYIVKMISEDTLLTYWNKVSPQELINILVLLEVC LFHFRYMGKRNIARVHDAWLSKHFGIDRKSQTMPALRNRSGVMQARLQHLSSLESSFTLN HSSATTEADIFHQALLEGNTATEVSLTVLDTISFFTQCFKNQLLNNDGHNPLMKKVFDIH LAFLKNGQSEVSLKHVFASLRSFISKFPSAFFKGRVNMCAAFCYEVLKCCTSKISSTRNE ASALLYLLMRNNFEYTKRKTFLRTHLQIIIAVSQLIADVALSGGSRFQESLFIINNFANS DRPMKATAFPTEVKDLTKRIRTVLMATAQMKEHEKDPEMLIDLQYSLAKSYASTPELRKT WLDSMAKIHIKNGDFSEAAMCYVHVAALVAEFLHRKKLFPSGCSAFKKITPNIDEEGAMK EDAGMMDVHYSEEVLLELLEQCVDGLWKAERYEVISEISKLIIPIYEKRREFEKLTQVYR TLHGAYTKILEVMHTKKRLLGTFFRVAFYGQSFFEEEDGKEYIYKEPKLTGLSEISLRLV KLYGEKFGTENVKIIQDSDKVNAKELDPKFAHIQVTYVKPYFDDKELTERKTEFERNHNI NRFVFEAPYTLSGKKQGCIEEQCKRRTILTTSNSFPYVKKRIPINCEQQVNLKPIDVATD EIKDKTAELHKLCSSVDVDMIQLQLKLQGCVSVQVNAGPLAYARAFLNESQANKYPPKKV NELKDMFRKFIQACSIALELNERLIKEDQIEYHEGLKSNFRDMVKELSDIIHEQILQEDT MHSPWMNNTLHVFCAISGTSSNRGYGSPRYAEV >ENSMUSP00000110921.1 pep:known chromosome:GRCm38:X:35947397:36076556:1 gene:ENSMUSG00000031093.14 transcript:ENSMUST00000115266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock11 description:dedicator of cytokinesis 11 [Source:MGI Symbol;Acc:MGI:1923224] MKVFKRRYFYLTQLPDGSYILNSYKDEKNSKESKGCIYLDACIDVVQCPKMRRHAFELKM LDKYSHYLAAETEQEMEEWLIMLKKIIQINTDSLVQEKKDTVEAIQEEETSSQGKAENIM ASLERSMHPELMKYGRETEQLNKLSRGDGRQNLFSFDSEVQRLDFSGIEPDVKPFEEKCN KRFMVNCHDLTFNILGHIGDNAKGPPTNVEPFFINLALFDVKNNCKISADFHVDLNPPSV REMLWGTSTQLSNDGNAKGFSPESLIHGIAESQLCYIKQGIFSVTNPHPEIFLVVRIEKV LQGNITHCAEPYIKNSDPIKTAQKVHRTAKQVCSRLGQYRMPFAWAARPIFKDVQGSLDL DGRFSPLYKQDSSKLSNEDILKLLSEYKKPEKTKLQIIPGQLSITVECVPVDLPNCITSS YVPLKPFEKNCQNITVEVEEFVPEMTKYCYPFTIYKNHLYVYPLQLKYDSQKSFAKARNI AVCVEFRDSDESDASALKCIYGKPAGSVFTTNAYAVVSHHNQNPEFYDEIKIELPIHLHQ KHHLLFTFYHVSCEINTKGTTKKQDTVETPVGFAWVPLLKDGRVITLEQQLPVSANLPPG YLNVNDAESRRQSNADIKWVDGAKPLLKIKTHLESTIYTQDLHVHKFFHHCQLIQSGSKE VPGELIKYLKCLHAMEIQVMIQFLPVILMQLFRVLTNMTHEDDVPINCTMVLLHIVSKCH EEGLESYLRSFIKYSFRPEKPSTLQAQLIHETLATTMIAILKQSADFLAINKLLKYSWFF FEIIAKSMATYLLEENKIKLPRGQRFPEAYHHVLHSLLLAIIPHVTIRYAEIPDESRNGN YSLASFLKRCLTLMDRGFVFNLINDYISGFSPKDPKVLAEYKFEFLQTICNHEHYIPLNL PMAFAKPKLQRVQDFFSFAVDRLTSVDSNLEYSLSDEYCKHHFLVGLLLRETSIALQDNY EIRYTAISVIKNLLIKHAFDTRYQHKNQQAKIAQLYLPFVGLLLENIQRLAGRDTLYSCA AMPSSASRDEFPCGFVSPTNRGSLASDKDTAYGSFQNGHGIKREDSRGSLIPEGATGFPD PGSTSENTRQSSSRSSVSQYNRLDQYEIRNLLMCYLYIVKMISEDTLLTYWNKVSPQELI NILVLLEVCLFHFRYMGKRNIARVHDAWLSKHFGIDRKSQTMPALRNRSGVMQARLQHLS SLESSFTLNHSSATTEADIFHQALLEGNTATEVSLTVLDTISFFTQCFKNQLLNNDGHNP LMKKVFDIHLAFLKNGQSEVSLKHVFASLRSFISKFPSAFFKGRVNMCAAFCYEVLKCCT SKISSTRNEASALLYLLMRNNFEYTKRKTFLRTHLQIIIAVSQLIADVALSGGSRFQESL FIINNFANSDRPMKATAFPTEVKDLTKRIRTVLMATAQMKEHEKDPEMLIDLQYSLAKSY ASTPELRKTWLDSMAKIHIKNGDFSEAAMCYVHVAALVAEFLHRKKLFPSGCSAFKKITP NIDEEGAMKEDAGMMDVHYSEEVLLELLEQCVDGLWKAERYEVISEISKLIIPIYEKRRE FEKLTQVYRTLHGAYTKILEVMHTKKRLLGTFFRVAFYGQSFFEEEDGKEYIYKEPKLTG LSEISLRLVKLYGEKFGTENVKIIQDSDKVNAKELDPKFAHIQVTYVKPYFDDKELTERK TEFERNHNINRFVFEAPYTLSGKKQGCIEEQCKRRTILTTSNSFPYVKKRIPINCEQQVN LKPIDVATDEIKDKTAELHKLCSSVDVDMIQLQLKLQGCVSVQVNAGPLAYARAFLNESQ ANKYPPKKVNELKDMFRKFIQACSIALELNERLIKEDQIEYHEGLKSNFRDMVKELSDII HEQILQEDTMHSPWMNNTLHVFCAISGTSSNRGYGSPRYAEV >ENSMUSP00000110919.1 pep:known chromosome:GRCm38:X:36041238:36076227:1 gene:ENSMUSG00000031093.14 transcript:ENSMUST00000115264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock11 description:dedicator of cytokinesis 11 [Source:MGI Symbol;Acc:MGI:1923224] MRNNFEYTKRKTFLRTHLQIIIAVSQLIADVALSGGSRFQESLFIINNFANSDRPMKATA FPTEVKDLTKRIRTVLMATAQMKEHEKDPEMLIDLQYSLAKSYASTPELRKTWLDSMAKI HIKNGDFSEAAMCYVHVAALVAEFLHRKKLFPSGCSAFKKITPNIDEEGAMKEDAGMMDV HYSEEVLLELLEQCVDGLWKAERYEVISEISKLIIPIYEKRREFEKLTQVYRTLHGAYTK ILEVMHTKKRLLGTFFRVAFYGQSFFEEEDGKEYIYKEPKLTGLSEISLRLVKLYGEKFG TENVKIIQDSDKVNAKELDPKFAHIQVTYVKPYFDDKELTERKTEFERNHNINRFVFEAP YTLSGKKQGCIEEQCKRRTILTTSNSFPYVKKRIPINCEQQVNLKPIDVATDEIKDKTAE LHKLCSSVDVDMIQLQLKLQGCVSVQVNAGPLAYARAFLNESQANKYPPKKVNELKDMFR KFIQACSIALELNERLIKEDQIEYHEGLKSNFRDMVKELSDIIHEQL >ENSMUSP00000038329.3 pep:known chromosome:GRCm38:2:148672626:148676027:1 gene:ENSMUSG00000036992.10 transcript:ENSMUST00000047177.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxt1 description:NTF2-related export protein 1 [Source:MGI Symbol;Acc:MGI:1929619] MASVDFKTYVDQACRAAEEFVNVYYTTMDKRRRLLSRLYMGTATLVWNGNAVSGQESLSE FFEMLPSSEFQISVVDCQPVHDDATPSQTTVLVVICGTVKFEGNKQRDFNQNFILTAQAS PSNTVWKIASDCFRFQDWAS >ENSMUSP00000105587.1 pep:known chromosome:GRCm38:2:148672601:148676027:1 gene:ENSMUSG00000036992.10 transcript:ENSMUST00000109961.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxt1 description:NTF2-related export protein 1 [Source:MGI Symbol;Acc:MGI:1929619] MASVDFKTYVDQACRAAEEFVNVYYTTMDKRRRLLSRLYMGTATLVWNGNAVSGQESLSE FFEMLPSSEFQISVVDCQPVHDDATPSQTTVLVVICGTVKFEGNKQRDFNQNFILTAQAS PSNTVWKIASDCFRFQDWAS >ENSMUSP00000125323.1 pep:known chromosome:GRCm38:8:124863072:124886728:1 gene:ENSMUSG00000031985.9 transcript:ENSMUST00000161986.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnpat description:glyceronephosphate O-acyltransferase [Source:MGI Symbol;Acc:MGI:1343460] MDVPSSSSSRFSVGSASPSSVLLYAKDLKKWDEFEDLLEERRHISDFKFAMKCYTPPLYR GITPCKPGDIKSIVLSSEEINYVIKQLSRESLTGVDVLREEASEILEEMSHKLRIGAIRF FAFVLSKIFKQIFSKLQRAVQEHPVVLLPSHRSYIDFLMLSFILYSYDLPVPVIAAGMDF LGMRVVSELLRMSGAFFMRRTFGGNKLYWAVFSEYVKTMLRCGYAPVEFFLEGTRSRAAK TLTPKFGLLNIVMEPFFKREVFDTYFVPISISYDKILEESLYAYEILGVPKPKESTTGLL KARRILSENFGSIHVYFGDPVSLRSLAAGRLNRNTYNLVPRCIPQKQPEDVQAFVTEVAY KMQLLQIENLALSPWLLVVTILLQNQLSMDFDALVEKTLWLKGVTQVFGGFLLWPDNKLP EEVVQSSILLHSNLASLVKDQVVLKMNSGSSQVVNGLVPEHIALLMCSAYRNQLLNIFAR PSLVALALHMTPGLRKEDVFSCFSFLRNVFSDEFIFLPGNTLRDFEEGCYLLCKAEAMQM AGKDIILTDKGTAVLQFLTSLFKPFVESYQLLCRYLLHEEDYFGE >ENSMUSP00000034466.3 pep:known chromosome:GRCm38:8:124863033:124890057:1 gene:ENSMUSG00000031985.9 transcript:ENSMUST00000034466.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnpat description:glyceronephosphate O-acyltransferase [Source:MGI Symbol;Acc:MGI:1343460] MDVPSSSSSRFSVGSASPSSVLLYAKDLKKWDEFEDLLEERRHISDFKFAMKCYTPPLYR GITPCKPGDIKSIVLSSEEINYVIKQLSRESLTGVDVLREEASEILEEMSHKLRIGAIRF FAFVLSKIFKQIFSKVCVNEEGIQKLQRAVQEHPVVLLPSHRSYIDFLMLSFILYSYDLP VPVIAAGMDFLGMRVVSELLRMSGAFFMRRTFGGNKLYWAVFSEYVKTMLRCGYAPVEFF LEGTRSRAAKTLTPKFGLLNIVMEPFFKREVFDTYFVPISISYDKILEESLYAYEILGVP KPKESTTGLLKARRILSENFGSIHVYFGDPVSLRSLAAGRLNRNTYNLVPRCIPQKQPED VQAFVTEVAYKMQLLQIENLALSPWLLVVTILLQNQLSMDFDALVEKTLWLKGVTQVFGG FLLWPDNKLPEEVVQSSILLHSNLASLVKDQVVLKMNSGSSQVVNGLVPEHIALLMCSAY RNQLLNIFARPSLVALALHMTPGLRKEDVFSCFSFLRNVFSDEFIFLPGNTLRDFEEGCY LLCKAEAMQMAGKDIILTDKGTAVLQFLTSLFKPFVESYQLLCRYLLHEEDYFGEKEYLV AARKFTRQLLDQGSSQCYDALSSELQKNALAAFVRLGVVEKKKVDSKYVYYVNGPATSKL EEMLGCKKPIGKPATAKL >ENSMUSP00000135186.1 pep:known chromosome:GRCm38:17:23061367:23077425:1 gene:ENSMUSG00000095658.1 transcript:ENSMUST00000175853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r-ps130 description:vomeronasal 2, receptor, pseudogene 130 [Source:MGI Symbol;Acc:MGI:3761689] MFTLILLFLLLNIPLIVADFIYSRCFWRIKQNKDKDGNLGSGCALFIQAVQWPVEKEYFS PILNTQTYTENYKYILVFMFSINEVNRNSDLLPNMSLIFTFSALSCNESQLKSFIHLSLQ DHDYFPNYICNDYICGVALVGLKWETTLTLYTILNNFMSHQFLFLNYGPFHPAMSDHEEF PYIYQMASEDTSLALAMVSFIIHFSWNWVGLAISDNDEGIQFLSHLRREMEKNTVCFAFV SMIPVNMHLYMTRTEVYYNQIMTSSTNVVIIYGDTDSTLAVSFRMWESLDIKRIWVTTSQ WDIITSKKDFTFNNLYGTFAFGHHHGEISGFKNFVQTLDLLKFSDEYLVKLEWMYFNCED SAPKCKTLKNCSSNHPLEWLMVRTFDMAFTEGSYAIYNAVYAVAHALHELTFQKFDNLRP DNVKGQKYACNKLGSFLRKIHFTNPVGDRVNMNQRNKLQENYDIFYVWNFPQGLRLKVKI GIFSPYFINGQQLHLSEDMLEWARGSTQMLTSVCSADCGPGFRKFRRNGMPACCFDCRPC PENEISNETNVELCVPCPEDQYANTEQNNCIQRAVIFLTYKDPLGMALSLMALCFSAFTA VVLLVFVKHHSTPIVKANNRTLTYILLISLIFCFLCPLLFIGHPNSVTCVLQQVTFGVAF TVAVPTVLAKTITIVLAFRVTAPQRMMKYFLVSGASIYIIPICTLIQVIVCAVWLGAAPP SVDIDARSEHGHIIIVCNKGSVSAFYCVLGYLACLAFGSFTLAFLSRNLPSTFNEAKSIT FSMLVFCSVWVTFLPVYHSTKGKVMVAVEIFSTLASGAGMLICIFVPKCYIILFMPDRNS LQMIRKKSSSHAHLS >ENSMUSP00000143887.1 pep:known chromosome:GRCm38:1:175920329:175922225:1 gene:ENSMUSG00000104158.2 transcript:ENSMUST00000201297.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm38100 description:predicted gene, 38100 [Source:MGI Symbol;Acc:MGI:5611328] MSPALFLCQRCKEPLKLLQQQGGPLEVQHHANTPTEIPVSAESQVRTSGRPHSDGGRVSQ GSALCTFTLLTSGGPDSEGGTTSQGNACCTFTLLGESASMRTMNTIQNTVLETFEILSDQ KVVDHPLCVDCTDHLLMQLDDQLALLASDNQKYKSFQDRELLVSEEEREALHAELCAELS SLEQEEARLTQELEDLDGHHARVAAELRAAQAESKELYKQHEQHRVEYSVFKMEQLELMD QLSSVENQLTYALSQQYRLRQTNIFNATFTISDEGPLGVINNFRLGCLPGVRVGWTEISS AWGQTVLLLFSLSKIAGLQFQRYQLVPFGDHSYLKSLTGDGVLPLFSDGSHSVFLNNKFD CGMKAFLDCLQQFVEEIERDERCPCLPYRIHVKEGLMEDVWDSGECCSIRTHLNTEEEWS RALKFMLSDLKLILAWASLRFSRVQRP >ENSMUSP00000144267.1 pep:known chromosome:GRCm38:1:175920370:175921698:1 gene:ENSMUSG00000104158.2 transcript:ENSMUST00000194391.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm38100 description:predicted gene, 38100 [Source:MGI Symbol;Acc:MGI:5611328] MSPALFLCQRCKEPLKLLQQQGGPLEVQHHANTPTEIPVSAESQVRTSGRPHSDGGRVSQ GSALCTFTLLTSGGPDSEGGTTSQGNACCTFTLLGESASMRTMNTIQNTVLETFEILSDQ KVVDHPLCVDCTDHLLMQLDDQLALLASDNQKYKSFQDRELLVSEEEREALHAELCAELS SLEQEEARLTQELEDLDGHHARVAAELRAAQAESKELYKQHEQHRVEYSVFKMEQLELMD QLSSVENQLTYALSQQYRLRQTNIFNATFTISDEGPLGVINNFRLGCLPGVRVGWTEISS AWGQTVLLLFSLSKIAGLQFQRYQLVPFGDHSYLKSLTGDGVLPLFSDGSHSVFLNNKFD CGMKAFLDCLQQFVEEIERDERCPCLPYRIHVKEGLMEDVWDSGECCSIRTHLNTEEEWS RALKFMLSDLKLILAWASLRYC >ENSMUSP00000128276.1 pep:known chromosome:GRCm38:7:141839070:141873084:1 gene:ENSMUSG00000066108.7 transcript:ENSMUST00000165147.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc5b description:mucin 5, subtype B, tracheobronchial [Source:MGI Symbol;Acc:MGI:1921430] MGSRNWSWALVWASVALLMVWPAESQGQAELSSEHLELTGDSQRVYSDSISSSTRHVTFI PPITVFPSMSALHLAHNGRVCSTWGDFHYKTFDGDVFRFPGLCNYVFSSHCGATYEDFNI QLRRGLEGSRPTVTYVLLRAQGLVIELSNGSVLVNGHREKLPYSRAGLLMEKSSGYVKIS IRLVLTFLWNEEDSALLELDSKYINQTCGLCGDFNGLPAVSEFYTHNTRLTPVQFGNLQK LDGPTEQCQDVLPSAVSNCTDTEDICRRTLLGPAFDKCTALVDVSMYLDACVQDLCRCPT CPCATFAEYSRQCAHAGGYPQNWRHPDLCNWTCPFNMEYRECSSPCVDTCSNPQRSQLCE DHCMDGCFCPPGTVLDDVRHLGCLPLEQCHCTHGGRIYAPGESFNTSCRSCTCFGGLWKC KDLPCPGTCSVQGGSHIFTFDEKLYNVHGDCSYILTKKCADSSFTVLVDLRKCGVTDTEN CLKAVTLNLNSGDTVIRIQVNGAVFLNSIFTQMPLSAGNITIFKPSSFFIIVQTGMGLQL QVQLVPLMQVFVRLDRSYQGQMCGLCGNFNQNQADDFTALSGVVEGTGAAFSNTWKTQAS CPNSKNTYEDPCSYSVENENFAREWCSMLTESSGVFSACHATVSPVPFYSNCLFDTCNCE NSEDCMCAALSSYVFACAAKGVLLSGWRDKVCYKYTNNCPQTKGYFYSVSSCQPTCRSLS EADVTCSVPFVTVDGCTCPEGTFLNDKDHCVPVEECPCYFHGTVVAPREVVTDNGVVCSC TNGKLTCLGALMQKSTECQAPMVYLDCNNASVGHHGAECLKSCHTLDVECFSTQCVSGCV CPSGLVADGNGGCIAEEDCPCIHNEVTYRPGEIIRVDCNNCTCKNRRWECTNKPCMGACV AYGDGHFVTFDGERYIFEGSCEYTLAQDYCRGNTSTNGTFRIVTENVPCGTTGTTCSKTI KIFVESYELILHEGNFKVVEQGPSGDPPYKIRFMGIFLVIEIRSGIVVSWDRKTSVFVRL QQHYKGRVCGLCGNFDDNAINDFTTRSQSVVGDVLEFGNSWKFSPSCPDAPVPKDPCIAN PYRKSWAQKKCSIINSATFAACHSQVDSTKYYEACVHDVCACDSGGDCECFCTAVAAYAQ ACRDVGVCLSWRTPDICPLFCDYYNPHGECEWHYQPCGAPCLKTCRNPTGHCLVDLPGLE GCYPQCPASQPFFNEDQMKCVAQCGCYDDNGNYHDIGTQVPTAENCQSCLCTPGGLQCVY NLTACTCTYEGRTYNYNDVVYNTTDGLGACLVAICKDNGTIARTTEVCHEVPSTTPFTFT TTLAPLATNGSASTVSTVCVHEVCQWSIWYDESHPEAGMSGGDFETFENLRKKGHQVCQT PVGIECRAVKFPSMDLEKLGQKVNCDPSSGLSCFNSEQSPPLCHDYELRVLCCDYVPCGS SLTLGISTTPSQPDTSRRPSSPTLTTHTASSESQSWTREEKKTSVDKSYSSRQTVPFTSN SRLTSTSMSSASSLPLSNPTWTTTLKATDENTMLSSRTTAVFTSQTRSTSRPSTVTQPIS SPETSSTTCQPQCQWTKWIDVDYPSSSINGGDIETYENIRANGEKICEKPQDIRCMAQNH PGVNVDELGQKVKCDVSSGLVCYNKDQGGTFQMCYNYLIKVLCCSVSHCQGSTTPEGSVS TTETATSTPQTLSSKPLPNTSSFETWSPSGPTTSMEASEMPTFWTLRTSVSSPPTTPLTV TSVSTQHPKSLESSGSPSVVTARSTSQGYSLPPSSPHTTNSLSATTSKASLSSMTTISTS LTTPGPSSPPHGGTTTHPVTTASTTRAVTETLSSRPHTKTTSELPTVSSASSTAGPGTQT FSTTCQPQCQWTKWIDVDYPSSSINGGDIETYENIRANGEKICEKPQDIRCMAQNYPGVN VDKLGQKVKCDVSSGLVCYNKDQGGTFPMCYNYLIKVLCCSVSHCQGSTTPEGSVSTTET TTATPQTLSSKPLPNTSSIETWSPSGPTTSMEASEMPTFWTLRTSVSSPPTTPLTVTSVS TQHPKSLESSGSPSVVTARSTSPGHSQPPSSPYATNSLSATTSKASLSSMTTISTSLTTP GPSSPPHGGTTTHPVTTASTTRAVTETLSSRPHTKTTSELPTVSSVSSTAGPGTQTGSTS RPNTVTQPASSPETSSTTCQPQCQWTKWIDVDYPSSSINGGDIETYENIRANGEKICEKP QDIRCMAQNHPGVNVDELGQKVKCDVSSGLVCYNKDQGGTFQMCYNYLIKVLCCSVSHCQ GSTTPEGSVSTTETTTATPQTLSSKPLPNTSSIETWSPSGPTTSMEASEMPTFWTLRTSV SSPPTTPLTVTSVSTQHPKSLESSGSPSVVTARSTSPGHSQPPSSPYATNSLSATTSKAS LSSMTTISTSLTTPGPSSPPHGGTTTHPVTTASTTRAVTETLSSRPHTKTTSELPTVSSV SSTAGPGTQTGSTSRPNTVTQPASSPETSSTTCQPQCQWTKWIDVDYPSSSINGGDIETY ENIRANGEKICEKPQDIRCMAQNHPGVNVDELGQKVKCDVSSGLVCYNKDQGGTFQMCYN YLIKVLCCSVSHCQRSTTPAGSAPTTGTAPTATSGTATHTSWPASSMPTSTTESPSSSGK TTILTTASSLATGTPRETQTSSLLTTGLSSALTTTGTTSTGCKSQCTWTDWLDSDKPQPG QFEGDIETYYHIQNKTGIKICKKPVDIECEAVLFPNISFQKLGQEVVCNVDFGLICRNSK QSDNQICFNYHIRVLCCEEDTSCISTTGLLSTTSTSAPGFPSTVSTSTTSKLPSEEPIPS TSFTTQSRPSTPRTEKIVSIATARTTGSFPVSSLSTFPASPTSLLPTSEEPHSGKPSTSS WTASSNVPSTAKPTTSTGRQFPRTKTTLWNSLPSSTPTMATRSIHPISTTMTTKNPQTLV TSDISKSNSMLPSRPHITASSVTSTSGSQISKSYSSTSLTTAGPSSPPHGGTTTHPVTTA STTRAVTETLSSRPHTKTTSELPTVSSVSSTAGPGTQTGSTSRPSIVTQPVSSPETSSTT CQPQCQWTRWINVDYPSSSINGGDIETYENIRANGEKICEKPQDIRCMAQNYPGVNVDEL GQKVKCDVNSGLVCYNKDQGGTFKMCYNYLIKVLCCSVSHCQGSTTPEGSVSTTETASSI PQTLSSKPLPNTSSFETWSPSGPTTSMEASEMPTFWTLRTSVSSPPTTPLTVTSVSTQHP KSLESSGSPSVVTARSTSQGHSLPPSSPHTTNSLSATTSKASLSSMTTISTSLTTSEPSS PPHGGTTTHPVTAASTTRAVTETLSSRPHTKTTSELPTVPSASSTAGPGTQTGSTSRPST VTQPASSPETSSTTCQPQCQWTKWIDVDYPSSSINGGDIETYENIRTNGEKICEKPQDIR CMAQNHPGVNVDELGQKVKCDVNSGLVCYNKDQGGTFQMCYNYLIKVLCCSVSHCQGSTT TPGSAPMTGSATTATQTLSSMPLPNTSLTVTSPHSASTTAATSITETSSPRSVSSIHTTP KIFPETLQSTTGLTTQQTSSTGIWTSMLTGTAGTVSSTSLSTSLESTTGITEVSTSMPMT STLPVTVTNATTPQGTTLCQPKCKWTEWFDVDSPTSGSVKGDMETYENIRASGKTLCQAP EKIECRAENYPAVSIDKVGQVVSCNLETGLVCKNEDQKDDFKMCFNYNIRVLCCDDYSHC PTTTYTTIKSPLAPFTTSVSSSMESTFQTNRPASPSGPSTQASTWSTLPDSGCTPRCKWT EWFDADYPNPGPRGGDFEVYAVFREVGYIFCDQPKDIECRSEKEPDRPLETLEQVVQCDV RFGLICKNINQSGPLQYCDNYHVRLLCCDNYSHCTSSPMATMSTALSSSHLPSTHTSLAL NTTTFFPGLSSSSEPHSSSLMSTHTSTMLTTETSPIATGPTVAPSSTSGTPYTPKVSPSS QVTFSVSTAFSSVFSTPRPVFSSHASSPSPCFCQAFGQLFLPGDIIYNKTDGAGCQFYAI CNQYCDVDRFQGTCPSSSPPMSSTTVPLPPLLPGCDNAIPPRQVNESWTLENCTVARCQG NNQIILLEPEPVDNVTCANRHLPIKVWDQEPCHFHYECECFCSGWGHSHYLTFDGTSYTF LDNCTSVLMREIHPRHGNLSILAHSYYCGATTNVTSCPRALSVYYNSMEIILTISTTESG KDESLIIWDQMWIRSGFSKNGVTVSLSGATTMSVNISTIGTIITFDGNIFQIWLPYRYFS SNTEGQCGTCTNSQIDDCRRPDGTIASDCQDMAKEWLVPGNSSSSCLAQPSPLPSTTPQT PVSSTLTSTPCPSAPLCELMLSQVFAECHRLIPPDAFFRSCVSDHCNANITDMLCQSLEA YAALCRAQGVCTNWRNATGGLCDLSCPPTKEYRPCGPLHPASCNSRTQDSTTGMLAEGCF CPENQLLFNSRMDICVSECPCVGPDGLPKFPGEHWISNCQACVCEPGSVSVQCEPVKCES QDKPPQCTEAGFVTVTWPSADNPCCPETLCVCNSTTCPKSLPTCEPGYELVQTHENSSCC PSYSCRPKLCTYNDTIYGVGTTFPGGPCHTCTCLSDGDQEPKVECKETNCTTSCPQGFKY TLVPEQCCGECLQNACLTPEGHAVQPNETWVNSPVDNCTAYHCMAENGVYVLTPIPTSCP DVSNCTGTLRKTGCCYSCEKEDSSTCQVHVNATVLRYKGCETEVNITFCEGSCSGISKYS MEAQAMERQCTCCQESKVHDVAVTMQCPDGTVIQHTYTHIDECNCAPACSSLPGTSMIAS >ENSMUSP00000125412.2 pep:known chromosome:GRCm38:10:41914990:42018436:-1 gene:ENSMUSG00000071324.11 transcript:ENSMUST00000160262.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc2 description:armadillo repeat containing 2 [Source:MGI Symbol;Acc:MGI:1916449] MLSSNDQKLEKLDSFYRPPVSKQRTSAEIISEARNALRTVRTQRPFTPREDQRKLFGPAS SRSPENRPPSSFSLHASSFELSDSKPISGTRLRPLELKPKAPASPGTEDACLSFPKAPLD PAKIRKISGARARFYRAASQGMLLPDRSPPAAHSKTVDESSKPVSVGSSTARRNGTHLTA SSATGQLKSPPLLTCDQGFQETTEQEVSLLSQLRRGGDPGKRRARASSCPSSSDLSRKET RAASRASSQEQETDTEVDEVFWKARIVPILHELENEEDIEEMCAACTQLHRTLEEARMLG KKFKRRTVLLKALYKLVDADSDPLSLKLAKLILALKVSGKNLLNVCKLIFKISRNEKNDT LMQEDNILESLLEVLRAEELQSNTEAFLYCMGALKFVSGSPGFLTEMVNKGAVEILAQLI KEMTEDTEKHGVCLPDSGHLLVQTTATLRNLVDSPLTRSKLLNMGAFPHLCTVMEQHADD KDICTNIARIFSKLTSYRDCCAALASYSRCYALFLSLLNKYQKNQDLVIRIVFILGNLTA KSNQARELFSRETGSVETLLTLFQSFYHHKENSPKLQLSEAKPQAEAEDVLVKLTRVLAN IAIHPRIGPVLAANPRVVGLLLRTLESKSLGDCEELVINTIAAINNLSFYQVKSSVLQHR KLYVAELLLRLLVSNNMERILEAVRVFGNLSQDHDVCNFLMQKNVHKFMITLLEAKHQDI CFSACGVLLNLTVDKEKRAILKEGGGIKKLVDCLRDFGPSDWQLACLVCKTLWNFSENIT NASECFGDDVANTLLILLSTFLDEELALNGSFDQDLESYHRLHWETEFKPVAQQLLQRIQ SHHSLLEPLPVPSF >ENSMUSP00000124049.1 pep:known chromosome:GRCm38:10:41916807:42018442:-1 gene:ENSMUSG00000071324.11 transcript:ENSMUST00000161927.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Armc2 description:armadillo repeat containing 2 [Source:MGI Symbol;Acc:MGI:1916449] MLSSNDQKLEKLDSFYRPPVSKQRTSAEIISEARNALRTVRTQRPFTPREDQRKLFGPAS SRSPENRPPSSFRNPKLQHLPAQRMPASPSLKLHWTLQR >ENSMUSP00000125635.1 pep:known chromosome:GRCm38:10:41986614:41993166:-1 gene:ENSMUSG00000071324.11 transcript:ENSMUST00000161167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc2 description:armadillo repeat containing 2 [Source:MGI Symbol;Acc:MGI:1916449] GTHLTASSATGQLKSPPLLTCDQGFQETTEQEVSLLSQLRRGGEIF >ENSMUSP00000125583.1 pep:known chromosome:GRCm38:10:41999808:42018380:-1 gene:ENSMUSG00000071324.11 transcript:ENSMUST00000162405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc2 description:armadillo repeat containing 2 [Source:MGI Symbol;Acc:MGI:1916449] MLSSNDQKLEKLDSFYRPPVSKQRTSAEIISEARNALRTVRTQRPFTPREDQRKLFGPAS SRSPENRPPSSFRNPKLQHLPAQRMPASPSLKLHWTLQR >ENSMUSP00000125719.1 pep:known chromosome:GRCm38:10:42000349:42018382:-1 gene:ENSMUSG00000071324.11 transcript:ENSMUST00000161081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc2 description:armadillo repeat containing 2 [Source:MGI Symbol;Acc:MGI:1916449] MLSSNDQKLEKLDSFYRPPVSKQRTSAEIISEARNALRTVRTQRPFTPREDQRKLFGPAS SRSPENRPPSSFSLHASSFELSDSKPISGTRLRPLELKPKAPASPGTEDACLSFPKAPLD PAKIRKISGARARFYRAASQGMLLPDR >ENSMUSP00000093397.4 pep:known chromosome:GRCm38:10:41915000:42018382:-1 gene:ENSMUSG00000071324.11 transcript:ENSMUST00000095729.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc2 description:armadillo repeat containing 2 [Source:MGI Symbol;Acc:MGI:1916449] MLSSNDQKLEKLDSFYRPPVSKQRTSAEIISEARNALRTVRTQRPFTPREDQRKLFGPAS SRSPENRPPSSFSLHASSFELSDSKPISGTRLRPLELKPKAPASPGTEDACLSFPKAPLD PAKIRKISGARARFYRAASQGMLLPDRSPPAAHSKTVDESSKPVSVGSSTARRNGTHLTA SSATGQLKSPPLLTCDQGFQETTEQEVSLLSQLRRGGDPGKRRARASSCPSSSDLSRKET RAASRASSQEQETDTEVDEVFWKARIVPILHELENEEDIEEMCAACTQLHRTLEEARMLG KKFKRRTVLLKALYKLVDADSDPLSLKLAKLILALKVSGKNLLNVCKLIFKISRNEKNDT LMQEDNILESLLEVLRAEELQSNTEAFLYCMGALKFVSGSPGFLTEMVNKGAVEILAQLI KEMTEDTEKHGVCLPDSGHLLVQTTATLRNLVDSPLTRSKLLNMGAFPHLCTVMEQHADD KDICTNIARIFSKLTSYRDCCAALASYSRCYALFLSLLNKYQKNQDLVIRIVFILGNLTA KSNQARELFSRETGSVETLLTLFQSFYHHKENSPKLQLSEAKPQAEAEDVLVKLTRVLAN IAIHPRIGPVLAANPRVVGLLLRTLESKSLGDCEELVINTIAAINNLSFYQVKSSVLQHR KLYVAELLLRLLVSNNMERILEAVRVFGNLSQDHDVCNFLMQKNVHKFMITLLEAKHQDI CFSACGVLLNLTVDKEKRAILKEGGGIKKLVDCLRDFGPSDWQLACLVCKTLWNFSENIT NASECFGDDVANTLLILLSTFLDEELALNGSFDQDLESYHRLHWETEFKPVAQQLLQRIQ SHHSLLEPLPVPSF >ENSMUSP00000050277.2 pep:known chromosome:GRCm38:15:32177289:32178294:1 gene:ENSMUSG00000045267.3 transcript:ENSMUST00000057633.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r119 description:taste receptor, type 2, member 119 [Source:MGI Symbol;Acc:MGI:2681253] MEGHMLFFLLVVVVQFLTGVLANGLIVVVNAIDLIMWKKMAPLDLLLFCLATSRIILQLC ILFAQLGLSCLVRHTLFADNVTFVYIINELSLWFATWLGVFYCAKIATIPHPLFLWLKMR ISRLVPWLILASVVYVTVTTFIHSRETSELPKQIFISFSSKNTTRVRPAHATLLSVFVFG LTLPFLIFTVAVLLLLSSLWNHSRQMRTMVGTREPSRHALVSAMLSILSFLILYLSHDMV AVLICTQGLHFGSRTFAFCLLVIGMYPSLHSIVLILGNPKLKRNAKMFIVHCKCCHCARA WVTSRNPRLSDLPVPATHHSANKTSCSEACTMPS >ENSMUSP00000135881.1 pep:known chromosome:GRCm38:12:85086385:85097081:-1 gene:ENSMUSG00000042320.16 transcript:ENSMUST00000177289.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prox2 description:prospero homeobox 2 [Source:MGI Symbol;Acc:MGI:1920672] MDPPAAVLLPPQSRTCTHLAEETCMDQERSPATAEAGRDSFPSGQLPSSSLTEADWFWDE HIQAKRARVETIVRGMCLSPSSSVSGRARESLRCPEKGRERKRKQSLPMHQGPLKSSPAW ERGPKKGGTRVKEQLHLLKQQLRHLQEHVLQATEPRAPAQSPGGTEPRSSPRARPRNSCS SGAWTVENEPHQSSSKDLCGAVKPGAAEVLQYSEEPMLCPSGPRALVETLRKELSRAVSQ AVDSVLQQVLFDPQRHLTQQERSCQGLASEGRNQPSPPGRSAYKDPLALATLPRKIQPQA GVPLGNSTLARPLDSPMCPVSPRGVPRSYQSPLPNCPLTNVPSHTWENQMLRQLLGRGPD GQWSGSPPQDAAFQSHTSPESAQQPWGLSQQQLPLSLTPVHLESRPLPPPVKMEQGVLRG VADSLPFSSIHIQEGLSPGHLKKAKLMFFFTRYPSSSLLKAYFPDVQFNRCITSQMIKWF SNFREFYYIQMEKYARQALSDGITNAQALAVLRDSELFRVLNTHYNKGNDFEVPDCFLEI AALTLKEFFRAVLAGKDSDPSWKKPIYKVISKLDSDVPEMLKSPSFLPGLFPS >ENSMUSP00000105878.2 pep:known chromosome:GRCm38:12:85086814:85106431:-1 gene:ENSMUSG00000042320.16 transcript:ENSMUST00000110249.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prox2 description:prospero homeobox 2 [Source:MGI Symbol;Acc:MGI:1920672] MDPPAAVLLPPQSRTCTHLAEETCMDQERSPATAEAGRDSFPSGQLPSSSLTEADWFWDE HIQAKRARVETIVRGMCLSPSSSVSGRARESLRCPEKGRERKRKQSLPMHQGPLKSSPAW ERGPKKGGTRVKEQLHLLKQQLRHLQEHVLQATEPRAPAQSPGGTEPRSSPRARPRNSCS SGAWTVENEPHQSSSKDLCGAVKPGAAEVLQYSEEPMLCPSGPRALVETLRKELSRAVSQ AVDSVLQQVLFDPQRHLTQQERSCQGLASEGRNQPSPPGRSAYKDPLALATLPRKIQPQA GVPLGNSTLARPLDSPMCPVSPRGVPRSYQSPLPNCPLTNVPSHTWENQMLRQLLGRGPD GQWSGSPPQDAAFQSHTSPESAQQPWGLSQQQLPLSLTPVHLESRPLPPPVKMEQGVLRG VADSLPFSSIHIQEGLSPGHLKKAKLMFFFTRYPSSSLLKAYFPDVQFNRCITSQMIKWF SNFREFYYIQMEKYARQALSDGITNAQALAVLRDSELFRVLNTHYNKGNDFEVPDCFLEI AALTLKEFFRAVLAGKDSDPSWKKPIYKVISKLDSDVPEMLKSPSFLPGLFPS >ENSMUSP00000104324.1 pep:known chromosome:GRCm38:11:67321658:67371586:1 gene:ENSMUSG00000060180.12 transcript:ENSMUST00000108684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh13 description:myosin, heavy polypeptide 13, skeletal muscle [Source:MGI Symbol;Acc:MGI:1339967] MSSDAEMAIFGEAAPYLRKPEKERIEAQNRPFDSKKACFAVDDKEMYVKGMIQSRENDKV IVKTLDDRELTLNSDQVFPMNPPKFDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG LFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRDNQSILITGE SGAGKTVNTKRVIQYFATIAVTGDKKKEQQPGKMQGTLEDQIIQANPLLEAFGNAKTVRN DNSSRFGKFIRIHFGATGKLASADIETYLLEKSRVTFQLSSERSYHIFYQIMSNKKPELI DLLLISTNPFDFPFVSQGEVTVASIDDSEELLATDNAIDILGFSPEEKVGIYKLTGAVMH YGNMKFKQKQREEQAEPDGTEVADKAGYLMGLNSAEMLKGLCCPRVKVGNEYVTKGQNVQ QVTNSVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCI NFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEEC MFPKATDTSFKNKLYDQHLGKSNNFQKPKPTKGKAEAHFSLVHYAGTVDYNIAGWLDKNK DPLNETVVGLYQKSSLKLLSFLFSNYAGAEAGDSAGGKKGGKKKGSSFQTVSAVFRENLN KLMTNLRSTHPHFVRCLIPNETKTPGVMDHYLVMHQLRCNGVLEGIRICRKGFPSRILYA DFKQRYRILNASAIPEGQFIDSKNASEKLLNSIDVDREQFRFGHTKVFFKAGLLGLLEEM RDEKLVTLMTRTQAVCRGYLMRVEFKKMMERRESIFCIQYNVRSFMNVKHWPWMNLFFKI KPLLKSAEAEKEMATMKEDFERAKEDLARSEARRKELEEKMVSLLQEKNDLQLQVQSETE NLMDAEERCEGLIKSKIQLEAKVKELNERLEEEEEMNSELVAKKRNLEDKCSSLKRDIDD LELTLTKVEKEKHATENKVKNLSEEMTALEETISKLTKEKKSLQEAHQQTLDDLQVEEDK VNGLIKINVKLEQQTDDLEGSLEQEKKLRADLERVKRKLEGDLKMSQESIMDLENDTQQL EEKLKKKEFEMSQLQTRIDDEQVLSLQLQKKIKELQARTEELEEEIEAEHTVRAKIEKQR SDLARELEEISERLEEASGATSAQIEMNKKRESEFQKLRRDLEEATLQHEATAATLRKKH ADTVAELGEQIDNLQRVKQKLEKEKSELKMEIDDMASNIETVSKSKSNMERMCRSVEDQF NEIKAKDDQQTQLIHDLNMQKARLQTQNGELSHQVEEKESLVSQLTKSKQALTQQLEELK RQLEEETKAKNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYE TDAIQRTEELEEAKKKLAQRLQEAEENTEASNSKCASLEKTKQRLQGEVDDLMLDLERAN TACATLDKKQRNFDKVLAEWKQKLDESQAELEAAQKESRSLSTEIFKMRNAYEEVVDQLE TLRRENKNLQEEISDLTEQIAETGKNLQEVEKTKKQVEQEKSDLQAALEEVEGSLEHEES KILRVQLELSQVKSELDRKVTEKDEEIEQIKRNSQRAVEAMQSVLDAEIRSRNDALRLKK KMEGDLNEMEIQLSHANRQVAETQKHLRTVQGQLKDSQLHLDDAQRSNEDLKEQLAIVER RNGLLQEELEEMKVALEQTERTRRLSEQELLDSSDRVQLLHSQNTSLINTKKKLEADLAQ CQAEVENSIQESRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHR LDEAEQLALKGGKKQIQKLEARVRELESELDAEQKRGAEALKGAHKYERKVKEMTYQAEE DRKNILRLQDLVDKLQAKVKSYKRQAEEAEEQANTQLSRCRRVQHELEEAEERADIAESQ VNKLRAKSRDVGGQKMEE >ENSMUSP00000137731.1 pep:known chromosome:GRCm38:11:67321780:67371586:1 gene:ENSMUSG00000060180.12 transcript:ENSMUST00000180845.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh13 description:myosin, heavy polypeptide 13, skeletal muscle [Source:MGI Symbol;Acc:MGI:1339967] MSSDAEMAIFGEAAPYLRKPEKERIEAQNRPFDSKKACFAVDDKEMYVKGMIQSRENDKV IVKTLDDRELTLNSDQVFPMNPPKFDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG LFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRDNQSILITGE SGAGKTVNTKRVIQYFATIAVTGDKKKEQQPGKMQGTLEDQIIQANPLLEAFGNAKTVRN DNSSRFGKFIRIHFGATGKLASADIETYLLEKSRVTFQLSSERSYHIFYQIMSNKKPELI DLLLISTNPFDFPFVSQGEVTVASIDDSEELLATDNAIDILGFSPEEKVGIYKLTGAVMH YGNMKFKQKQREEQAEPDGTEVADKAGYLMGLNSAEMLKGLCCPRVKVGNEYVTKGQNVQ QVTNSVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCI NFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEEC MFPKATDTSFKNKLYDQHLGKSNNFQKPKPTKGKAEAHFSLVHYAGTVDYNIAGWLDKNK DPLNETVVGLYQKSSLKLLSFLFSNYAGAEAGDSAGGKKGGKKKGSSFQTVSAVFRENLN KLMTNLRSTHPHFVRCLIPNETKTPGVMDHYLVMHQLRCNGVLEGIRICRKGFPSRILYA DFKQRYRILNASAIPEGQFIDSKNASEKLLNSIDVDREQFRFGHTKVFFKAGLLGLLEEM RDEKLVTLMTRTQAVCRGYLMRVEFKKMMERRESIFCIQYNVRSFMNVKHWPWMNLFFKI KPLLKSAEAEKEMATMKEDFERAKEDLARSEARRKELEEKMVSLLQEKNDLQLQVQSETE NLMDAEERCEGLIKSKIQLEAKVKELNERLEEEEEMNSELVAKKRNLEDKCSSLKRDIDD LELTLTKVEKEKHATENKVKNLSEEMTALEETISKLTKEKKSLQEAHQQTLDDLQVEEDK VNGLIKINVKLEQQTDDLEGSLEQEKKLRADLERVKRKLEGDLKMSQESIMDLENDTQQL EEKLKKKEFEMSQLQTRIDDEQVLSLQLQKKIKELQARTEELEEEIEAEHTVRAKIEKQR SDLARELEEISERLEEASGATSAQIEMNKKRESEFQKLRRDLEEATLQHEATAATLRKKH ADTVAELGEQIDNLQRVKQKLEKEKSELKMEIDDMASNIETVSKSKSNMERMCRSVEDQF NEIKAKDDQQTQLIHDLNMQKARLQTQNGELSHQVEEKESLVSQLTKSKQALTQQLEELK RQLEEETKAKNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYE TDAIQRTEELEEAKKKLAQRLQEAEENTEASNSKCASLEKTKQRLQGEVDDLMLDLERAN TACATLDKKQRNFDKVLAEWKQKLDESQAELEAAQKESRSLSTEIFKMRNAYEEVVDQLE TLRRENKNLQEEISDLTEQIAETGKNLQEVEKTKKQVEQEKSDLQAALEEVEGSLEHEES KILRVQLELSQVKSELDRKVTEKDEEIEQIKRNSQRAVEAMQSVLDAEIRSRNDALRLKK KMEGDLNEMEIQLSHANRQVAETQKHLRTVQGQLKDSQLHLDDAQRSNEDLKEQLAIVER RNGLLQEELEEMKVALEQTERTRRLSEQELLDSSDRVQLLHSQNTSLINTKKKLEADLAQ CQAEVENSIQESRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHR LDEAEQLALKGGKKQIQKLEARVRELESELDAEQKRGAEALKGAHKYERKVKEMTYQAEE DRKNILRLQDLVDKLQAKVKSYKRQAEEAEEQANTQLSRCRRVQHELEEAEERADIAESQ VNKLRAKSRDVGGQKMEE >ENSMUSP00000137888.1 pep:known chromosome:GRCm38:11:67364785:67369204:1 gene:ENSMUSG00000060180.12 transcript:ENSMUST00000181027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh13 description:myosin, heavy polypeptide 13, skeletal muscle [Source:MGI Symbol;Acc:MGI:1339967] XFGRPVGSWFTLKLCHHSWFPLKDSQLHLDDAQRSNEDLKEQLAIVERRNGLLQEELEEM KVALEQTERTRRLSEQELLDSSDRVQLLHSQNTSLINTKKKLEADLAQCQAEVENSIQES RNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDEAEQLALKGG KKQIQKLEARVRELESELDAEQKRGAEALKGAHKYERKVKEMT >ENSMUSP00000080584.5 pep:known chromosome:GRCm38:11:67327103:67371502:1 gene:ENSMUSG00000060180.12 transcript:ENSMUST00000081911.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh13 description:myosin, heavy polypeptide 13, skeletal muscle [Source:MGI Symbol;Acc:MGI:1339967] MSSDAEMAIFGEAAPYLRKPEKERIEAQNRPFDSKKACFAVDDKEMYVKGMIQSRENDKV IVKTLDDRELTLNSDQVFPMNPPKFDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG LFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRDNQSILITGE SGAGKTVNTKRVIQYFATIAVTGDKKKEQQPGKMQGTLEDQIIQANPLLEAFGNAKTVRN DNSSRFGKFIRIHFGATGKLASADIETYLLEKSRVTFQLSSERSYHIFYQIMSNKKPELI DLLLISTNPFDFPFVSQGEVTVASIDDSEELLATDNAIDILGFSPEEKVGIYKLTGAVMH YGNMKFKQKQREEQAEPDGTEVADKAGYLMGLNSAEMLKGLCCPRVKVGNEYVTKGQNVQ QVTNSVGALAKAVYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLCI NFTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLAACIELIEKPMGIFSILEEEC MFPKATDTSFKNKLYDQHLGKSNNFQKPKPTKGKAEAHFSLVHYAGTVDYNIAGWLDKNK DPLNETVVGLYQKSSLKLLSFLFSNYAGAEAGDSAGGKKGGKKKGSSFQTVSAVFRENLN KLMTNLRSTHPHFVRCLIPNETKTPGVMDHYLVMHQLRCNGVLEGIRICRKGFPSRILYA DFKQRYRILNASAIPEGQFIDSKNASEKLLNSIDVDREQFRFGHTKVFFKAGLLGLLEEM RDEKLVTLMTRTQAVCRGYLMRVEFKKMMERRESIFCIQYNVRSFMNVKHWPWMNLFFKI KPLLKSAEAEKEMATMKEDFERAKEDLARSEARRKELEEKMVSLLQEKNDLQLQVQSETE NLMDAEERCEGLIKSKIQLEAKVKELNERLEEEEEMNSELVAKKRNLEDKCSSLKRDIDD LELTLTKVEKEKHATENKVKNLSEEMTALEETISKLTKEKKSLQEAHQQTLDDLQVEEDK VNGLIKINVKLEQQTDDLEGSLEQEKKLRADLERVKRKLEGDLKMSQESIMDLENDTQQL EEKLKKKEFEMSQLQTRIDDEQVLSLQLQKKIKELQARTEELEEEIEAEHTVRAKIEKQR SDLARELEEISERLEEASGATSAQIEMNKKRESEFQKLRRDLEEATLQHEATAATLRKKH ADTVAELGEQIDNLQRVKQKLEKEKSELKMEIDDMASNIETVSKSKSNMERMCRSVEDQF NEIKAKDDQQTQLIHDLNMQKARLQTQNGELSHQVEEKESLVSQLTKSKQALTQQLEELK RQLEEETKAKNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYE TDAIQRTEELEEAKKKLAQRLQEAEENTEASNSKCASLEKTKQRLQGEVDDLMLDLERAN TACATLDKKQRNFDKVLAEWKQKLDESQAELEAAQKESRSLSTEIFKMRNAYEEVVDQLE TLRRENKNLQEEISDLTEQIAETGKNLQEVEKTKKQVEQEKSDLQAALEEVEGSLEHEES KILRVQLELSQVKSELDRKVTEKDEEIEQIKRNSQRAVEAMQSVLDAEIRSRNDALRLKK KMEGDLNEMEIQLSHANRQVAETQKHLRTVQGQLKDSQLHLDDAQRSNEDLKEQLAIVER RNGLLQEELEEMKVALEQTERTRRLSEQELLDSSDRVQLLHSQNTSLINTKKKLEADLAQ CQAEVENSIQESRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHR LDEAEQLALKGGKKQIQKLEARVRELESELDAEQKRGAEALKGAHKYERKVKEMTYQAEE DRKNILRLQDLVDKLQAKVKSYKRQAEEAEEQANTQLSRCRRVQHELEEAEERADIAESQ VNKLRAKSRDVGGQKMEE >ENSMUSP00000110314.2 pep:known chromosome:GRCm38:16:44085402:44139305:-1 gene:ENSMUSG00000052459.13 transcript:ENSMUST00000114666.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1a description:ATPase, H+ transporting, lysosomal V1 subunit A [Source:MGI Symbol;Acc:MGI:1201780] MDFSKLPKIRDEDKESTFGYVHGVSGPVVTACDMAGAAMYELVRVGHSELVGEIIRLEGD MATIQVYEETSGVSVGDPVLRTGKPLSVELGPGIMGAIFDGIQRPLSDISSQTQSIYIPR GVNVSALSRDIKWEFIPSKNLRVGSHITGGDIYGIVNENSLIKHKIMLPPRNRGSVTYIA PPGNYDASDVVLELEFEGVKEKFSMVQVWPVRQVRPVTEKLPANHPLLTGQRVLDALFPC VQGGTTAIPGAFGCGKTVISQSLSKYSNSDVIIYVGCGERGNEMSEVLRDFPELTMEVDG KVESIMKRTALVANTSNMPVAAREASIYTGITLSEYFRDMGYHVSMMADSTSRWAEALRE ISGRLAEMPADSGYPAYLGARLASFYERAGRVKCLGNPEREGSVSIVGAVSPPGGDFSDP VTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYMRALDEYYDKHFTEFVPLRTKAK EILQEEEDLAEIVQLVGKASLAETDKITLEVAKLIKDDFLQQNGYTPYDRFCPFYKTVGM LSNMISFYDMARRAVETTAQSDNKITWSIIREHMGEILYKLSSMKFKDPVKDGEAKIKAD YAQLLEDMQNAFRSLED >ENSMUSP00000066886.6 pep:known chromosome:GRCm38:16:44085645:44139196:-1 gene:ENSMUSG00000052459.13 transcript:ENSMUST00000063661.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1a description:ATPase, H+ transporting, lysosomal V1 subunit A [Source:MGI Symbol;Acc:MGI:1201780] MDFSKLPKIRDEDKESTFGYVHGVSGPVVTACDMAGAAMYELVRVGHSELVGEIIRLEGD MATIQVYEETSGVSVGDPVLRTGKPLSVELGPGIMGAIFDGIQRPLSDISSQTQSIYIPR GVNVSALSRDIKWEFIPSKNLRVGSHITGGDIYGIVNENSLIKHKIMLPPRNRGSVTYIA PPGNYDASDVVLELEFEGVKEKFSMVQVWPVRQVRPVTEKLPANHPLLTGQRVLDALFPC VQGGTTAIPGAFGCGKTVISQSLSKYSNSDVIIYVGCGERGNEMSEVLRDFPELTMEVDG KVESIMKRTALVANTSNMPVAAREASIYTGITLSEYFRDMGYHVSMMADSTSRWAEALRE ISGRLAEMPADSGYPAYLGARLASFYERAGRVKCLGNPEREGSVSIVGAVSPPGGDFSDP VTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYMRALDEYYDKHFTEFVPLRTKAK EILQEEEDLAEIVQLVGKASLAETDKITLEVAKLIKDDFLQQNGYTPYDRFCPFYKTVGM LSNMISFYDMARRAVETTAQSDNKITWSIIREHMGEILYKLSSMKFKDPVKDGEAKIKAD YAQLLEDMQNAFRSLED >ENSMUSP00000116923.1 pep:known chromosome:GRCm38:16:44109500:44139630:-1 gene:ENSMUSG00000052459.13 transcript:ENSMUST00000137557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1a description:ATPase, H+ transporting, lysosomal V1 subunit A [Source:MGI Symbol;Acc:MGI:1201780] MDFSKLPKIRDEDKESTFGYVHGVSGPVVTACDMAGAAMYELVRVGHSELVGEIIRLEGD MATIQVYEETSGVSVGDPVLRTGKPLSVELGPGIMGAIFDGIQRPLSDISSQTQSIYIPR GVNVSALSRDIKWEFIPSKNLRVGSHITGGDIYGIVNENSLIKHKIMLPPRNRGSVTYIA PPGNYDASDVVLELEFEGVKEKFSMVQVWPVRQVRPVTEKLPANHPLLTGQRVLDAL >ENSMUSP00000118429.1 pep:known chromosome:GRCm38:16:44109623:44139018:-1 gene:ENSMUSG00000052459.13 transcript:ENSMUST00000124102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1a description:ATPase, H+ transporting, lysosomal V1 subunit A [Source:MGI Symbol;Acc:MGI:1201780] MDFSKLPKIRDEDKESTFGYVHGVSGPVVTACDMAGAAMYELVRVGHSELVGEIIRLEGD MATIQVYEETSGVSVGDPVLRTGKPLSVELGPGIMGAIFDGIQRPLSDISSQTQSIYIPR GVNVSALSRDIKWEFIPSKNLRVGSHITGGDIYGIVNENSLIKHKIMLPPRNRGSVTYIA PPGNYDASDVVLELEF >ENSMUSP00000118726.1 pep:known chromosome:GRCm38:16:44111693:44139705:-1 gene:ENSMUSG00000052459.13 transcript:ENSMUST00000147025.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1a description:ATPase, H+ transporting, lysosomal V1 subunit A [Source:MGI Symbol;Acc:MGI:1201780] MDFSKLPKIRDEDKESTFGYVHGVSGPVVTACDMAGAAMYELVRVGHSELVGEIIRLEGD MATIQVYEETSGVSVGD >ENSMUSP00000086411.5 pep:known chromosome:GRCm38:14:72537946:72710003:-1 gene:ENSMUSG00000033487.14 transcript:ENSMUST00000089017.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc3a description:fibronectin type III domain containing 3A [Source:MGI Symbol;Acc:MGI:1196463] MAEHPPLLDTAQILSSDISLLSAPIVSADGTQQVILVQVNPGEAFTIRREDGQFQCITGP AQVPMMSPNGSVPPIYVPPGYAPQVIEDNGVRRVVVVPQSPEFHPGGHTVIHRSPHPPLP GFIPVPTMMPPPPRHMYSPVTGAGDMATQYMPQYQSSQVYADVDAHSTHGRSNFRDERSS KTYERLQKKLKDRQGTQKDKMSSPPPSPQKCPSPISEHNGLIKGQNASGGNTGSARNRSG RGRSCTQVDPEMEEKDEETKAFEAFLSNIVKPVASDIQARTVLLTWSPPSSFINGEVNET AVPELFNYEVLVSSTGKEGKYRSVYIGEETSVTLNDLKPATDYHAKVQAESNSIKGIPSE AESFTTLSCEPDPPNAPRIANRTKNSLTLQWKAPSDNGSKIQSFILEWDEGKGNGEFCQC YMGSQKQFKITKLSPAMGCKFRLSAKNDYGVSDFSEEVLYYTSGCAPSVPASPVLTKAGV TWLSLQWTKPSGTPSDEGISYILEMEEETSGYGFKPKYDGEDLAYTVKNLRRSTKYKFKV IAYNSEGKSNPSEVVEFSTCPDKPGVPVKPSVKGKIHSHGFKITWDPPKDNGGAPINKYV VEMAEGSNGNKWDMIYSGTTREHLCDRLTPGCYYRLRVYCISDGGQSAVSESLLVQTPAV PPGPCLPPRLQGRPKAKEIQLRWGPPQVDGGSPISCYAVEMTPADKDEPRDVYQGSEVEC TVGSLLPGKTYSFRLRAANRIGFGPFSEKYDITTAPGPPDQCRPPQVTCRSATCAQVNWE IPLSNGTDVTEYRLEWGGVEGSMQMCYCGPGLSCELKGLSPATTYYCRVQAMSVVGAGPF SEVVACVTPPSVPAIVTCLQEISDDDIEYPHYSPSTCLAISWKEPYDHGSEILAYSIDLG DKQPLTVGKMTSYIIDSLQPDTTYRIRIQALNSLGAGPFSHTIKLKTKPLPPDPPRLECV AFNHQNLKLKWGEGTPKTLSTDAVQYHLQMEDRNGRFVSLYRGPCHTYKVQRLSESTSYK FCIQACNEAGEGPLSQEYVFTTPKSLPAALKAPKIEKINDHICEITWEYLQPMKGDPVIY NLQVMVGKDSEFKQIYKGPDTSFRYSSLQLNCEYRFRVCAIRQCQDPTGHQDLVGPYSTT VFFISQRTEPPASSNKDSVDSARTRRTLSDEQCAAVILVVFAFFSILIAFIIQYFVIK >ENSMUSP00000124637.1 pep:known chromosome:GRCm38:14:72564742:72709542:-1 gene:ENSMUSG00000033487.14 transcript:ENSMUST00000162478.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc3a description:fibronectin type III domain containing 3A [Source:MGI Symbol;Acc:MGI:1196463] MAEHPPLLDTAQILSSDISLLSAPIVSADGTQQVILVQVNPGEAFTIRREDGQFQCITGP AQVPMMSPNGSVPPIYVPPGYAPQVIEDNGVRRVVVVPQSPEFHPGGHTVIHRSPHPPLP GFIPVPTMMPPPPRHMYSPVTGAGDMATQYMPQYQSSQVYADVDAHSTHGRSNFRDERSS KTYERLQKKLKDRQGTQKDKMSSPPPSPQKCPSPISEHNGLIKGQNASGGNTGSARNRSG RGRSCTQVDPEMEEKDEETKAFEAFLSNIVKPVASDIQARTVLLTWSPPSSFINGEVNET AVPELFNYEVLVSSTGKEGKYRSVYIGEETSVTLNDLKPATDYHAKVQAESNSIKGIPSE AESFTTLSCEPDPPNAPRIANRTKNSLTLQWKAPSDNGSKIQSFILEWDEGKGNGEFCQC YMGSQKQFKITKLSPAMGCKFRLSAKNDYGVSDFSEEVLYYTSGCAPSVPASPVLTKAGV TWLSLQWTKPSGTPSDEGISYILEMEEETSGYGFKPKYDGEDLAYTVKNLRRSTKYKFKV >ENSMUSP00000124218.1 pep:known chromosome:GRCm38:14:72539530:72602962:-1 gene:ENSMUSG00000033487.14 transcript:ENSMUST00000162825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc3a description:fibronectin type III domain containing 3A [Source:MGI Symbol;Acc:MGI:1196463] XLFAGCCSCRNKMSGPAQVPMMSPNGSVPPIYVPPGYAPQVIEDNGVRRVVVVPQSPEFH PGGHTVIHRSPHPPLPGFIPVPTMMPPPPRHMYSPVTGAGDMATQYMPQYQSSQVYADVD AHSTHGRSNFRDERSSKTYERLQKKLKDRQGTQKDKMSSPPPSPQKCPSPISEHNGLIKG QNASGGNTGSARNRSGRGRSCTQVDPEMEEKDEETKAFEAFLSNIVKPVASDIQARTVLL TWSPPSSFINGEVNETAVPELFNYEVLVSSTGKEGKYRSVYIGEETSVTLNDLKPATDYH AKVQAESNSIKGIPSEAESFTTLSCEPDPPNAPRIANRTKNSLTLQWKAPSDNGSKIQSF ILEWDEGKGNGEFCQCYMGSQKQFKITKLSPAMGCKFRLSAKNDYGVSDFSEEVLYYTSG CAPSVPASPVLTKAGVTWLSLQWTKPSGTPSDEGISYILEMEEETSGYGFKPKYDGEDLA YTVKNLRRSTKYKFKVIAYNSEGKSNPSEVVEFSTCPDKPGVPVKPSVKGKIHSHGFKIT WDPPKDNGGAPINKYVVEMAEGSNGNKWDMIYSGTTREHLCDRLTPGCYYRLRVYCISDG GQSAVSESLLVQTPAVPPGPCLPPRLQGRPKAKEIQLRWGPPQVDGGSPISCYAVEMTPA DKDEPRDVYQGSEVECTVGSLLPGKTYSFRLRAANRIGFGPFSEKYDITTAPGPPDQCRP PQVTCRSATCAQVNWEIPLSNGTDVTEYRLEWGGVEGSMQMCYCGPGLSCELKGLSPATT YYCRVQAMSVVGAGPFSEVVACVTPPSVPAIVTCLQEISDDDIEYPHYSPSTCLAISWKE PYDHGSEILAYSIDLGDKQPLTVGKMTSYIIDSLQPDTTYRIRIQALNSLGAGPFSHTIK LKTKPLPPDPPRLECVAFNHQNLKLKWGEGTPKTLSTDAVQYHLQMEDRNGRFVSLYRGP CHTYKVQRLSESTSYKFCIQACNEAGEGPLSQEYVFTTPKSLPAALKAPKIEKINDHICE ITWEYLQPMKGDPVIYNLQVMVGKDSEFKQIYKGPDTSFRYSSLQLNCEYRFRVCAIRQC QDPTGHQDLVGPYSTTVFFISQRTEPPASSNKDSVDSARTRRTLSDEQCAAVILVVFAFF SILIAFIIQYFVIK >ENSMUSP00000125489.1 pep:known chromosome:GRCm38:14:72574566:72652076:-1 gene:ENSMUSG00000033487.14 transcript:ENSMUST00000161550.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fndc3a description:fibronectin type III domain containing 3A [Source:MGI Symbol;Acc:MGI:1196463] VNPGEAFTIRREDGQFQCITGPAQVPMMSPNGSVPPIYVPPGYAPQVIEDNGVRRVVVVP QSPEFHPGGHTVIHRSPHPPLPGFIPVPTMMPPPPRHMYSPVTGAGDMATQYMPQYQSSQ VYADVGLRHSGKDCPAHLVATIQLH >ENSMUSP00000112137.2 pep:known chromosome:GRCm38:12:35497974:35535038:-1 gene:ENSMUSG00000019256.17 transcript:ENSMUST00000116436.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahr description:aryl-hydrocarbon receptor [Source:MGI Symbol;Acc:MGI:105043] MSSGANITYASRKRRKPVQKTVKPIPAEGIKSNPSKRHRDRLNTELDRLASLLPFPQDVI NKLDKLSVLRLSVSYLRAKSFFDVALKSTPADRNGGQDQCRAQIRDWQDLQEGEFLLQAL NGFVLVVTADALVFYASSTIQDYLGFQQSDVIHQSVYELIHTEDRAEFQRQLHWALNPDS AQGVDEAHGPPQAAVYYTPDQLPPENASFMERCFRCRLRCLLDNSSGFLAMNFQGRLKYL HGQNKKGKDGALLPPQLALFAIATPLQPPSILEIRTKNFIFRTKHKLDFTPIGCDAKGQL ILGYTEVELCTRGSGYQFIHAADILHCAESHIRMIKTGESGMTVFRLLAKHSRWRWVQSN ARLIYRNGRPDYIIATQRPLTDEEGREHLQKRSTSLPFMFATGEAVLYEISSPFSPIMDP LPIRTKSNTSRKDWAPQSTPSKDSFHPSSLMSALIQQDESIYLCPPSSPALLDSHFLMGS VSKCGSWQDSFAAAGSEAALKHEQIGHAQDVNLALSGGPSELFPDNKNNDLYSIMRNLGI DFEDIRSMQNEEFFRTDSTAAGEVDFKDIDITDEILTYVQDSLNNSTLLNSACQQQPVTQ HLSCMLQERLQLEQQQQLQQPPPQALEPQQQLCQMVCPQQDLGPKHTQINGTFASWNPTP PVSFNCPQQELKHYQLFSSLQGTAQEFPYKPEVDSVPYTQNFAPCNQPLLPEHSKSVQLD FPGRDFEPSLHPTTSNLDFVSCLQVPENQSHGINSQSAMVSPQAYYAGAMSMYQCQPGPQ RTPVDQTQYSSEIPGSQAFLSKVQS >ENSMUSP00000106434.2 pep:known chromosome:GRCm38:12:35504860:35534623:-1 gene:ENSMUSG00000019256.17 transcript:ENSMUST00000110811.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ahr description:aryl-hydrocarbon receptor [Source:MGI Symbol;Acc:MGI:105043] MSSGANITYASRKRRKPVQKTVKPIPAEGIKSNPSKRHRDRLNTELDRLASLLPFPQDVI NKLDKLSVLRLSVSYLRAKSFFDGAEWLCAGCHSRCLGLLCFLHYPRLPGLSAV >ENSMUSP00000109389.3 pep:known chromosome:GRCm38:17:36005695:36020560:-1 gene:ENSMUSG00000053835.17 transcript:ENSMUST00000113760.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-T24 description:histocompatibility 2, T region locus 24 [Source:MGI Symbol;Acc:MGI:95958] MWALIFWLLSHPQDGGARSHSLHYCYSAVTEPGPGVPSFFASGFLDNQPFIHYDSRSMKA EPCADWLRENAQYFTHETEVFTNRMKIFQLSLRNIRQYYNSSGTQSQRADGFRQQAGPHT LQFTYGCEMRYNRTTGHWQYGYDGSDYLTLDLGSMQYIAATFIAGYTKRKWENNEYWLEK EKTYLEKECILWLQRYLTMGGKNFTRTDPPKTTVTHQFKPKENVTLRCWALGFYPADITL TWQLNGEELTQDTELVETRPSGDGTFQKWAAVVVPSGEEQRYTCHVQHEALTQPLVLKWE PLQLTTPTTGVYARGSCSPQATLLSVLAFPLFGIVLVFGLTRYKTQVRRKNWPAPSVPEE ERL >ENSMUSP00000133476.1 pep:known chromosome:GRCm38:17:36006269:36020525:-1 gene:ENSMUSG00000053835.17 transcript:ENSMUST00000174063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-T24 description:histocompatibility 2, T region locus 24 [Source:MGI Symbol;Acc:MGI:95958] MWALIFWLLSHPQDGGARSHSLHYCYSAVTEPGPGVPSFFASGFLDNQPFIHYDSRSMKA EPCADWLRENAQYFTHETEVFTNRMKIFQLSLRNIRQYYNSSGTQSQRADGFRQQAGQKK ELACSICS >ENSMUSP00000137325.1 pep:known chromosome:GRCm38:16:3945007:3976545:-1 gene:ENSMUSG00000049871.13 transcript:ENSMUST00000180200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrc3 description:NLR family, CARD domain containing 3 [Source:MGI Symbol;Acc:MGI:2444070] MAGSLAENQIGNKGAKALARSLLVNRSLITLDLRSNSIGPPGAKALADALKINRTLTSLS LQSNVIKDDGVMCVAEALVSNQTISMLQLQKNLIGLIGAQQMADALKQNRSLKALMFSSN TIGDRGAIALAEALKVNQILENLDLQSNSISDMGVTVLMRALCSNQTLSSLNLRENSISP EGAQALTQALCRNNTLKHLDLTANLLHDRGAQAIAVAVGENHSLTHLHLQWNFIQAGAAR ALGQALQLNRTLTTLDLQENAIGDEGASSVAGALKVNTTLIALYLQVASIGSQGAQALGE ALTVNRTLEILDLRGNDVGAAGAKALANALKLNSSLRRLNLQENSLGMDGAIFVASALSE NHGLHHINLQGNPIGESAARMISEAIKTNAPTCTVEI >ENSMUSP00000137628.1 pep:known chromosome:GRCm38:16:3945610:3976632:-1 gene:ENSMUSG00000049871.13 transcript:ENSMUST00000177551.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrc3 description:NLR family, CARD domain containing 3 [Source:MGI Symbol;Acc:MGI:2444070] MQAEPFSTLEQPPWQEGDNIGSPGSVLALYSQLLAANTDSTRKQEVWTDRETCLAYSVGS PAEQVKALVDLLAGKGSQLLQVRDKMPDSPLGSQSNESRIPKHSEALLSRVGNDPELGSP SHRLASLMLVEGLTDLQLKEHDFTQVEATRGVWHPARVITLDRLFLPLSRVSIPPRVSLT IGVAGVGKTTLVRHFVHCWARGQVGKGFSRVLPLTFRDLNTYEKLSADRLIQSIFSSIGE ASLVATAPDRVLLVLDGLDECKTPLEFSNTMACSDPKKEIQVDHLITNIIRGNLFPEISV WITSRPSAAGQIPGGLVDRMTEIRGLTEEEIKVCLEQMFPEEQNLLGQVLSQVQANRALY LMCTVPAFCRLTGLALGHLYRTRLAVQDIELPLPQTLCELYSWYFRMALGGEGQDKEKVS PRIKQVTQGARKMVGTLGRLAFHGLVKKKYVFYEQDMKAFGVDLALLQNTLCSCLLQREE TLASSVAYCFIHLSLQEFVAATYYYSASKRAIFDLFTESGMSWPRLGFLAHFRCAAQRAT QAKDGRLDVFLRFLSGLLSPRVNTLLAGSLLSQGEHQSYRDQVAEVLQGFLHPDAAVCAR AINVLYCLSELRHTELACSVEEAMRSGTLAGMTSPSHRTALAYLLQMSDICSPEADFSLC LSQHVLQSLLPQLLYCQSLRLDNNQFQDPVMELLGSVLSGKDCRIRKISLAENQIGNKGA KALARSLLVNRSLITLDLRSNSIGPPGAKALADALKINRTLTSLSLQSNVIKDDGVMCVA EALVSNQTISMLQLQKNLIGLIGAQQMADALKQNRSLKALMFSSNTIGDRGAIALAEALK VNQILENLDLQSNSISDMGVTVLMRALCSNQTLSSLNLRENSISPEGAQALTQALCRNNT LKHLDLTANLLHDRGAQAIAVAVGENHSLTHLHLQWNFIQAGAARALGQALQLNRTLTTL DLQENAIGDEGASSVAGALKVNTTLIALYLQVASIGSQGAQALGEALTVNRTLEILDLRG NDVGAAGAKALANALKLNSSLRRLNLQENSLGMDGAIFVASALSENHGLHHINLQGNPIG ESAARMISEAIKTNAPTCTVEI >ENSMUSP00000031189.7 pep:known chromosome:GRCm38:5:108312609:108348233:1 gene:ENSMUSG00000029263.15 transcript:ENSMUST00000031189.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigg description:phosphatidylinositol glycan anchor biosynthesis, class G [Source:MGI Symbol;Acc:MGI:3576484] MRLGSGAFAASCVAIEVIGVAIFIRGFFPAPVRSSARPEHDAETPAPEPVAGVRSNWTKL PPPLFSKVVIVLIDALRDDFVFGSKGVKYMPYTTYLVEKGASHSFVAEAKPPTVTMPRIK ALMTGSLPGFVDVIRNLNSPVLLEDNVLRQAKAAGKRIIFYGDETWVKLFPKHFVEYDGT TSFFVSDYIEVDKNVTRHLDKVLKRGDWDVLILHYLGLDHIGHISGPNSPLIGHKLSEMD SVLMKIHTSLLSKDRETLLPSLLVLCGDHGMSETGSHGASSTEEVSTPLLLISSAFERKP GDIRHPKHVQQTDLAATLAIGLGLPIPKDSVGSLLFPVIEGKPMREQLRFLHLNTLQLSK LLQENVPSYEKDPGFEQFKMAEKLHGNWVKLHLEENHSDILLGLGTKVLRHYLGALKTLS LSLSTQVAQYDMYSMAVLALFLLSTPHVLCRKAELDVPLLSPVFSLLFYLVFLVLSAIHV LVCTSSESSCYLCSLSWLAVGAVMLLVSALFCAILSALIRMVIDSTLLKKNAADASSGWS EVNLLLLLGTVGHVLSLGASSFVEEEHQTWYFLINTLCLALSQETCRSYFLGDECEPQRH SHVEQQCVNLLACPLQDSTSYNTPESGTAGKRVSLLEAQGSCKWWTVLASPWLVLLCCRL LRSLNQTGVQGAHRPDFSHWLTSSDHKVQLSGLAALSLVVIFMLVQRRCSLVSKVALALG LLGVFCYRAAIGIVQFPWQSDNKGISKGIIEARFVYVFVLGILFTGTKDLLKAQVIATDF KTKTVGLWEMHSGLVLLAALLLRPHNLPVLAFSLLIQTVMTKFIWKPLRHDAAEITVMHY WFGQAFFYFQGNSNNIATIDISAGFVGLDTYMEVPATFLTVFGTYVGPVLWASHLVYFLS SEGNNSALSRSCFCYALICSVPVATYIVLVTSLRYHLFIWSVFSPKLLYEGMHLLITAAI CAVFTATNQTRHRRA >ENSMUSP00000113818.1 pep:known chromosome:GRCm38:5:108312609:108349355:1 gene:ENSMUSG00000029263.15 transcript:ENSMUST00000119014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigg description:phosphatidylinositol glycan anchor biosynthesis, class G [Source:MGI Symbol;Acc:MGI:3576484] MRLGSGAFAASCVAIEVIGVAIFIRGFFPAPVRSSARPEHDAETPAPEPVAGVRSNWTKL PPPLFSKVVIVLIDALRDDFVFGSKGVKYMPYTTYLVEKGASHSFVAEAKPPTVTMPRIK ALMTGSLPGFVDVIRNLNSPVLLEDNVLRQAKAAGKRIIFYGDETWVKLFPKHFVEYDGT TSFFVSDYIEVDKNVTRHLDKVLKRGDWDVLILHYLGLDHIGHISGPNSPLIGHKLSEMD SVLMKIHTSLLSKDRETLLPSLLVLCGDHGMSETGSHGASSTEEVSTPLLLISSAFERKP GDIRHPKHVQQTDLAATLAIGLGLPIPKDSVGSLLFPVIEGKPMREQLRFLHLNTLQLSK LLQENVPSYEKDPGFEQFKMAEKLHGNWVKLHLEENHSDILLGLGTKVLRHYLGALKTLS LSLSTQVAQYDMYSMAVGTLIVLEVLALFLLSTPHVLCRKAELDVPLLSPVFSLLFYLVF LVLSAIHVLVCTSSESSCYLCSLSWLAVGAVMLLVSALFCAILSALIRMVIDSTLLKKNA ADASSGWSEVNLLLLLGTVGHVLSLGASSFVEEEHQTWYFLINTLCLALSQETCRSYFLG DECEPQRHSHVEQQCVNLLACPLQDSTSYNTPESGTAGKRVSLLEAQGSCKWWTVLASPW LVLLCCRLLRSLNQTGVQGAHRPDFSHWLTSSDHKVQLSGLAALSLVVIFMLVQRRCSLV SKVALALGLLGVFCYRAAIGIVQFPWQSDNKGISKGIIEARFVYVFVLGILFTGTKDLLK AQVIATDFKTKTVGLWEMHSGLVLLAALLLRPHNLPVLAFSLLIQTVMTKFIWKPLRHDA AEITVMHYWFGQAFFYFQGNSNNIATIDISAGFVGLDTYMEVPATFLTVFGTYVGPVLWA SHLVYFLSSEGNNSALSRSCFCYALICSVPVATYIVLVTSLRYHLFIWSVFSPKLLYEGM HLLITAAICAVFTATNQTRHRRA >ENSMUSP00000112984.1 pep:known chromosome:GRCm38:5:108312636:108348238:1 gene:ENSMUSG00000029263.15 transcript:ENSMUST00000118910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigg description:phosphatidylinositol glycan anchor biosynthesis, class G [Source:MGI Symbol;Acc:MGI:3576484] MRLGSGAFAASCVAIEVIGVAIFIRGFFPAPVRSSARPEHDAETPAPEPVAGVRSNWTKL PPPLFSKVVIVLIDALRDDFVFGSKGVKYMPYTTYLVEKGASHSFVAEAKPPTVTMPRIK DRETLLPSLLVLCGDHGMSETGSHGASSTEEVSTPLLLISSAFERKPGDIRHPKHVQQTD LAATLAIGLGLPIPKDSVGSLLFPVIEGKPMREQLRFLHLNTLQLSKLLQENVPSYEKDP GFEQFKMAEKLHGNWVKLHLEENHSDILLGLGTKVLRHYLGALKTLSLSLSTQVAQYDMY SMAVGTLIVLEVLALFLLSTPHVLCRKAELDVPLLSPVFSLLFYLVFLVLSAIHVLVCTS SESSCYLCSLSWLAVGAVMLLVSALFCAILSALIRMVIDSTLLKKNAADASSGWSEVNLL LLLGTVGHVLSLGASSFVEEEHQTWYFLINTLCLALSQETCRSYFLGDECEPQRHSHVEQ QCVNLLACPLQDSTSYNTPESGTAGKRVSLLEAQGSCKWWTVLASPWLVLLCCRLLRSLN QTGVQGAHRPDFSHWLTSSDHKVQLSGLAALSLVVIFMLVQRRCSLVSKVALALGLLGVF CYRAAIGIVQFPWQSDNKGISKGIIEARFVYVFVLGILFTGTKDLLKAQVIATDFKTKTV GLWEMHSGLVLLAALLLRPHNLPVLAFSLLIQTVMTKFIWKPLRHDAAEITVMHYWFGQA FFYFQGNSNNIATIDISAGFVGLDTYMEVPATFLTVFGTYVGPVLWASHLVYFLSSEGNN SALSRSCFCYALICSVPVATYIVLVTSLRYHLFIWSVFSPKLLYEGMHLLITAAICAVFT ATNQTRHRRA >ENSMUSP00000124635.1 pep:known chromosome:GRCm38:19:28258851:28680077:-1 gene:ENSMUSG00000052942.13 transcript:ENSMUST00000162022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glis3 description:GLIS family zinc finger 3 [Source:MGI Symbol;Acc:MGI:2444289] MNGRSCGMNLHRTSRTPQGPGLLGGQHIPPIRAHAGTPCSSSCASTPSPSIGSLANSLHL KMSSGAGMAPQSNMAASPIHLPALSPRRQLLANGKPQFQVTPAGVMAAPHTIKPKQQEFG DPFSPNPEKGALGFGPQCKSIGKGSCNNLVVTSSPMMVQRLGPISPPASQVSTACKQISP SLPRAVNAANLNRPPSDTRSVILQESLVSTTLSLTESQSALSVKQEWSQSYRAFPSLSSS HSSQNGTDLGDLLSLPPGTPVSGNSVSNSLPPYLFGMENSHSPYPSPRHSATRAHSTRSK KRALSLSPLSDGIGIDFNTIIRTSPTSLVAYINGPRASPANLSPQSEVYGHFLGVRGSCI PQSCAVASGQKGILVASGGHTLPGYGEDGTLEYERMQQLEHGGLQPGPVNNMVLQPGLPG QDGQTANMLKTERLEEFPASALDLPSALPLPLPPPQGPPPPYHAHPHLHHPELLPHTQSL SLAQTGLEEDGEMEDSGGKHCCRWIDCSALYDQQEELVRHIEKVHIDQRKGEDFTCFWTG CPRRYKPFNARYKLLIHMRVHSGEKPNKCTFEGCKKAFSRLENLKIHLRSHTGEKPYLCQ HPGCQKAFSNSSDRAKHQRTHLDTKPYACQIPGCTKRYTDPSSLRKHVKAHSSREQQARK KLRSSTELHPDLLTDCLAVQPLQPATSPGDAADHTVGHSPGPGPGPGPGAELYSAPIFAS NHSTRSGTAAGAGPPPHPVSHPSPGHNVQGSPHNPSSQLPPLTAVDAGAERFAPPTPSPH HISPGRVPAPPSLLQRAQAPHSQQPPGSLLKPYQPETNSSFQPNGIHVHGFYGQLQTFCP PHYPDSQRTVPPSGSCSMVPSFEDCLVPTSMGQAGFDVFHRAFSTHSGITVYDLPSASSS LFGESLRSGPEDPTFLQLSAVDRCPSQLSSVYTEG >ENSMUSP00000108231.2 pep:known chromosome:GRCm38:19:28349573:28680077:-1 gene:ENSMUSG00000052942.13 transcript:ENSMUST00000112612.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glis3 description:GLIS family zinc finger 3 [Source:MGI Symbol;Acc:MGI:2444289] MNGRSCGMNLHRTSRTPQGPGLLGGQHIPPIRAHAGTPCSSSCASTPSPSIGSLANSLHL KMSSGAGMAPQSNMAASPIHLPALSPRRQLLANGKPQFQVTPAGVMAAPHTIKPKQQEFG DPFSPNPEKGALGFGPQCKSIGKGSCNNLVVTSSPMMVQRLGPISPPASQVSTACKQISP SLPRAVNAANLNRPPSDTRSVILQESLVSTTLSLTESQSALSVKQEWSQSYRAFPSLSSS HSSQNGTDLGDLLSLPPGTPVSGNSVSNSLPPYLFGMENSHSPYPSPRHSATRAHSTRSK KRALSLSPLSDGIGIDFNTIIRTSPTSLVAYINGPRASPANLSPQSEVYGHFLGVRGSCI PQSCAVASGQKGILVASGGHTLPGYGEDGTLEYERMQQLEHGGLQPGPVNNMVLQPGLPG QDGQTANMLKTERLEEFPASALDLPSALPLPLPPPQGPPPPYHAHPHLHHPELLPHTQSL SLAQTGLEEDGEMEDSGGKHCCRWIDCSALYDQQEELVRHIEKVHIDQRKGEDFTCFWTG CPRRYKPFNARYKLLIHMRVHSGEKPNKCTFEGCKKAFSRLENLKIHLRSHTGEKPYLCQ HPGCQKAFSNSSDRAKHQRTHLDTKPYACQIPGCTKRYTDPSSLRKHVKAHSSREQQARK KLTNICASSHW >ENSMUSP00000066953.7 pep:known chromosome:GRCm38:19:28262364:28679666:-1 gene:ENSMUSG00000052942.13 transcript:ENSMUST00000065113.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glis3 description:GLIS family zinc finger 3 [Source:MGI Symbol;Acc:MGI:2444289] MNGRSCGMNLHRTSRTPQGPGLLGGQHIPPIRAHAGTPCSSSCASTPSPSIGSLANSLHL KMSSGAGMAPQSNMAASPIHLPALSPRRQLLANGKPQFQVTPAGVMAAPHTIKPKQQEFG DPFSPNPEKGPLFCKSLWCPRL >ENSMUSP00000124143.1 pep:known chromosome:GRCm38:19:28531847:28678690:-1 gene:ENSMUSG00000052942.13 transcript:ENSMUST00000160376.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glis3 description:GLIS family zinc finger 3 [Source:MGI Symbol;Acc:MGI:2444289] MNGRSCGMNLHRTSRTPQGPGLLGGQHIPPIRAHAGTPCSSSCASTPSPSIGSLANSLHL KMSSGAGMAPQSNMAASPIHLPALSPRRQLLANGKPQFQVTPAGVMAAPHTIKPKQQEFG DPFSPNPEKGPLFCKSLWCPRL >ENSMUSP00000125721.1 pep:known chromosome:GRCm38:19:28531847:28678690:-1 gene:ENSMUSG00000052942.13 transcript:ENSMUST00000161328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glis3 description:GLIS family zinc finger 3 [Source:MGI Symbol;Acc:MGI:2444289] MNGRSCGMNLHRTSRTPQGPGLLGGQHIPPIRAHAGTPCSSSCASTPSPSIGSLANSLHL KMSSGAGMAPQSNMAASPIHLPALSPRRQLLANGKPQFQVTPAGVMAAPHTIKPKQQEFG DPFSPNPEKGALGFGPQCKSIGKGSCNNLVVTSSPMMVQRLGPISPPASQVSTACKQISP SLPRAVNAANLNRPPSDTRSVILQESLVSTTLSLTESQSALSVKQEWSQSYRAFPSLSSS HSSQN >ENSMUSP00000124823.1 pep:known chromosome:GRCm38:19:28531847:28666685:-1 gene:ENSMUSG00000052942.13 transcript:ENSMUST00000159178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glis3 description:GLIS family zinc finger 3 [Source:MGI Symbol;Acc:MGI:2444289] MNGRSCGMNLHRTSRTPQGPGLLGGQHIPPIRAHAGTPCSSSCASTPSPSIGSLANSLHL KMSSGAGMAPQSNMAASPIHLPALSPRRQLLANGKPQFQVTPAGVMAAPHTIKPKQQEFG DPFSPNPEKGALGFGPQCKSIGKGSCNNLVVTSSPMMVQRLGPISPPASQVSTACKQISP SLPRAVNAANLNRPPSDTRSVILQESLVSTTLSLTESQSALSVKQEWSQSYRAFPSLSSS HSSQN >ENSMUSP00000146552.1 pep:known chromosome:GRCm38:19:12073695:12074630:-1 gene:ENSMUSG00000067526.2 transcript:ENSMUST00000207681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1425 description:olfactory receptor 1425 [Source:MGI Symbol;Acc:MGI:3031259] MENYTRVKELIFLGLTQSQEVSMVLFLFLLLVYVTTLLGNLLIMVTVTCESRLHTPMYFL LRNLSVADICFSSITAPKVLVDLLSDRKTISFNGCLTQMFFFHLIGGVDVFSLSVMALDR YVAISKPLHYVTIMSRGRCIGLIVASWVGGFAHSIVQISLLLTLPFCGPNVLDTFYCDVP QVIKLACTDIFVLELLMISNNGMLTTLWFFLLLVSYMVILLLLKSQSGEGKKKAISTCTS HITVITLHFVPCIYVYARPFTALPTDKAISVTFTVISPLLNPLIYTLRNQEMKSAMRRLR KRLGPSYWIER >ENSMUSP00000085130.1 pep:known chromosome:GRCm38:19:12073695:12074633:-1 gene:ENSMUSG00000067526.2 transcript:ENSMUST00000087828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1425 description:olfactory receptor 1425 [Source:MGI Symbol;Acc:MGI:3031259] EMENYTRVKELIFLGLTQSQEVSMVLFLFLLLVYVTTLLGNLLIMVTVTCESRLHTPMYF LLRNLSVADICFSSITAPKVLVDLLSDRKTISFNGCLTQMFFFHLIGGVDVFSLSVMALD RYVAISKPLHYVTIMSRGRCIGLIVASWVGGFAHSIVQISLLLTLPFCGPNVLDTFYCDV PQVIKLACTDIFVLELLMISNNGMLTTLWFFLLLVSYMVILLLLKSQSGEGKKKAISTCT SHITVITLHFVPCIYVYARPFTALPTDKAISVTFTVISPLLNPLIYTLRNQEMKSAMRRL RKRLGPSYWIER >ENSMUSP00000127234.2 pep:known chromosome:GRCm38:18:37955079:37958545:1 gene:ENSMUSG00000044024.15 transcript:ENSMUST00000163128.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rell2 description:RELT-like 2 [Source:MGI Symbol;Acc:MGI:1918044] MSEPQPDLEPPQHGLYMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDDAQLQ PPEDDDVNEDTVERIVRCIIQNEDSE >ENSMUSP00000130051.2 pep:known chromosome:GRCm38:18:37955139:37958545:1 gene:ENSMUSG00000044024.15 transcript:ENSMUST00000168056.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rell2 description:RELT-like 2 [Source:MGI Symbol;Acc:MGI:1918044] MSEPQPDLEPPQHGLYMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDDAQLQ PPEDDDVNEDTVERIVRCIIQNEVWMPPPACRTEPPPIITQCTLALQLLASTAAAARGPH LSVRVALRKEKAVPDLERPLFFQWADSE >ENSMUSP00000135176.1 pep:known chromosome:GRCm38:18:37955139:37959120:1 gene:ENSMUSG00000044024.15 transcript:ENSMUST00000176902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rell2 description:RELT-like 2 [Source:MGI Symbol;Acc:MGI:1918044] MLGDSEGEGTVQLSSVDATSSLQDGAPSHHHTVHLGSAAPCIHCSRSKRPPLVRQGRSKE GKSRPRPGETTVFSVGRFRVTHIEKRYGLHEHRDGSPTDRSWGSGGGQEPGGSQAAGGGQ PRTGTAAIERLLPEPPPSQAAATHSVQNGRLQDASLVPCTLEGTPGTSAELNLGPRGRDP SPGLSSQEANGQPTKLDTSGQQPQSSLC >ENSMUSP00000135556.1 pep:known chromosome:GRCm38:18:37955147:37958545:1 gene:ENSMUSG00000044024.15 transcript:ENSMUST00000176104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rell2 description:RELT-like 2 [Source:MGI Symbol;Acc:MGI:1918044] MSEPQPDLEPPQHGLYMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDDAQLQ PPEDDDVNEDTVERIVRCIIQNEANAEALKEMLGDSEGEGTVQLSSVDATSSLQDGAPSH HHTVHLGSAAPCIHCSRSKRPPLVRQGRSKEGKSRPRPGETTVFSVGRFRVTHIEKRYGL HEHRDGSPTDRSWGSGGGQEPGGSQAAGGGQPRTGTAAIERLLPEPPPSQAAATHSVQNG RLQDASLVPCTLEGTPGTSAELNLGPRGRDPSPGLSSQEANGQPTKLDTSGQQESLPPEA GGM >ENSMUSP00000070280.2 pep:known chromosome:GRCm38:18:37955559:37959179:1 gene:ENSMUSG00000044024.15 transcript:ENSMUST00000070709.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rell2 description:RELT-like 2 [Source:MGI Symbol;Acc:MGI:1918044] MSEPQPDLEPPQHGLYMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDDAQLQ PPEDDDVNEDTVERIVRCIIQNEANAEALKEMLGDSEGEGTVQLSSVDATSSLQDGAPSH HHTVHLGSAAPCIHCSRSKRPPLVRQGRSKEGKSRPRPGETTVFSVGRFRVTHIEKRYGL HEHRDGSPTDRSWGSGGGQEPGGSQAAGGGQPRTGTAAIERLLPEPPPSQAAATHSVQNG RLQDASLVPCTLEGTPGTSAELNLGPRGRDPSPGLSSQEANGQPTKLDTSGQQESLPPEA GGM >ENSMUSP00000135615.1 pep:known chromosome:GRCm38:18:37955563:37959171:1 gene:ENSMUSG00000044024.15 transcript:ENSMUST00000177058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rell2 description:RELT-like 2 [Source:MGI Symbol;Acc:MGI:1918044] MSEPQPDLEPPQHGLYMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDDAQLQ PPEDDDVNEDTVERIVRCIIQNEANAEALKEMLGDSEGEGTVQLSSVDATSSLQDGAPSH HHTVHLGSAAPCIHCSRSKRPPLVRQGRSKEGKSRPRPGETTVFSVGRFRVTHIEKRYGL HEHRDGSPTDRSWGSGGGQEPGGSQAAGGGQPRTGTAAIERLLPEPPPSQAAATHSVQNG RLQDASLVPCTLEGTPGTSAELNLGPRGRDPSPGLSSQEANGQPTKLDTSGQQESLPPEA GGM >ENSMUSP00000129880.2 pep:known chromosome:GRCm38:18:37955588:37957678:1 gene:ENSMUSG00000044024.15 transcript:ENSMUST00000169360.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rell2 description:RELT-like 2 [Source:MGI Symbol;Acc:MGI:1918044] MSEPQPDLEPPQHGLYMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDDAQLQ PPEDDDVNEDTVERIVRCIIQNEANAEALKEMLGDSEGEGTVQLSSVDATSSLQDGAPSH HHTVHLGSAAPCIHC >ENSMUSP00000129299.2 pep:known chromosome:GRCm38:18:37955589:37958545:1 gene:ENSMUSG00000044024.15 transcript:ENSMUST00000163591.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rell2 description:RELT-like 2 [Source:MGI Symbol;Acc:MGI:1918044] MLGDSEGEGTVQLSSVDATSSLQDGAPSHHHTVHLGSAAPCIHCSRSKRPPLVRQGRSKE GKSRPRPGETTVFSVGRFRVTHIEKRYGLHEHRDGSPTDRSWGSGGGQEPGGSQAAGGGQ PRTGTAAIERLLPEPPPSQAAATHSVQNGRLQDASLVPCTLEGTPGTSAELNLGPRGRDP SPGLSSQEANGQPTKLDTSGQQESLPPEAGGM >ENSMUSP00000089552.5 pep:known chromosome:GRCm38:18:37955624:37959163:1 gene:ENSMUSG00000044024.15 transcript:ENSMUST00000091932.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rell2 description:RELT-like 2 [Source:MGI Symbol;Acc:MGI:1918044] MSEPQPDLEPPQHGLYMLFLLVLVFFLMGLVGFMICHVLKKKGYRCRTSRGSEPDDAQLQ PPEDDDVNEDTVERIVRCIIQNEANAEALKEMLGDSEGEGTVQLSSVDATSSLQDGAPSH HHTVHLGSAAPCIHCSRSKRPPLVRQGRSKEGKSRPRPGETTVFSVGRFRVTHIEKRYGL HEHRDGSPTDRSWGSGGGQEPGGSQAAGGGQPRTGTAAIERLLPEPPPSQAAATHSVQNG RLQDASLVPCTLEGTPGTSAELNLGPRGRDPSPGLSSQEANGQPTKLDTSGQQV >ENSMUSP00000128949.2 pep:known chromosome:GRCm38:18:37955704:37958320:1 gene:ENSMUSG00000044024.15 transcript:ENSMUST00000169498.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rell2 description:RELT-like 2 [Source:MGI Symbol;Acc:MGI:1918044] MLGDSEGEGTVQLSSVDATSSLQDGAPSHHHTVHLGSAAPCIHCSRSKRPPLVRQGRSKE GKSRPRPGETTVFSVGRFRVTHIEKRYGLHEHRDGSPTDRSWGSGGGQEPGGSQAAGGGQ PRTGTAAIERLLPEPPPSQAAATHSVQNGRLQDASLVPCTLEGTPGTSAELNLGPRGRD >ENSMUSP00000079460.4 pep:known chromosome:GRCm38:9:109378400:109404296:-1 gene:ENSMUSG00000070324.6 transcript:ENSMUST00000080626.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw22 description:F-box and WD-40 domain protein 22 [Source:MGI Symbol;Acc:MGI:3643290] MEVYLPSLPMMKILSYLDAYSLLQVAQVNKNWNELASSDVLWRRLCQKRWFYCDMVTLPL HGKETWKQFFIYRTWQEHAKSRAKPEDFTYKEIPVKFEFWAHPCYISRHGLTRNGQGKSA ICMVTSVNRISTWDIHEGAMTWVSPVQPSYIMQMTTLPEMHIAVTVDMQSTIKLWDCHNR EVLATKALSFSCQLLQAEFTKDGPIVLVGDVCGNLYIFRIPDLYLISKVNVFPYSIDELY CSPQKKWVFLMGKRLHDLIKVFYMSSLLRPSEFSAPVSTVLEVPLIRKVFWTPRREDRIT LISTVSPQNNTKFDTFDMKLEGIGNKVTIQEYLTASFSLAEREGKPDYFGVSDKDVIVCS TGSSLLLFDINGLRLQTFQYCPEEIWKLWVDPVHVIVTCNDGSLDVYAWEERSLLLRKCY RLLNRRYQPPSAFIIETLCDDVSIILVMTNSPNPCCLIAYTLNVCS >ENSMUSP00000142792.1 pep:known chromosome:GRCm38:9:109378744:109404296:-1 gene:ENSMUSG00000070324.6 transcript:ENSMUST00000197213.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxw22 description:F-box and WD-40 domain protein 22 [Source:MGI Symbol;Acc:MGI:3643290] MEVYLPSLPMMKILSYLDAYSLLQVAQVNKNWNELASSDVLWRVL >ENSMUSP00000122192.1 pep:known chromosome:GRCm38:7:25317164:25365917:1 gene:ENSMUSG00000045039.9 transcript:ENSMUST00000128119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf8 description:multiple EGF-like-domains 8 [Source:MGI Symbol;Acc:MGI:2446294] MALGGALALALALALAVLGPLSLRVLAGDCKGQRQVLREAPGFVTDGAGNYSVNGNCEWL IEAPSPQHRILLDFLFLDTECTYDYLFVYDGDSPQGPLLASLSGSTRPPPIEASSGKMLL HLFSDANYNLLGFNASFRFSLCPGGCQNHGQCKSPGVCVCEPGWGGPDCGLQECSAYCGS HGTCASTLGPCRCEPGFLGRACDLHLWENQGAGWWHSVSAGDPAFSARIGAAGAFLSPPG LLAVFGGQDLNKALGDLVLYNFSTNTWESWDLTPAPAARHSHVAVAWAGLLVLMGGELAN GLLTNDVWAFSPLGGGHWELLAPPASSSSGPPGLAGHAAALVDDIWLYVSGGRTQHDLFS SGLFRFRLDHTSRGYWEQVIPAGGRPPAATGHSMVFHAPSRTLLVHGGHRPSTARFSVRV NSTELFHVERRVWTTLKGRDGLQGPRERAFHTASVLGNYMVVYGGNVHTHYQEEKCYEDG IFFYHLGCHQWVSGAELAPPGTPEGRAAPPSGRYSHVAAVLGGSVLLVAGGYSGRPRGDL MAYKVPPFVFQAPALDYHLDYCSMYTDHSVCSRDPECSWCQGACQAAPPPGTPSGACPAA SCLGLGRLLSDCQACLAFSSPTAPPRGPGALGWCVHNESCLPRPEQARCRGEQISGTVGW WGPAPVFVTSLEACVTQSFLPGLHLLTFQQPPNASQPDKVSIVRSTTITLTPSPETDVSL VYRGFIHPLLPGGPGGPGAEDVAVWARAQRLHVLARMARGPDTENMEEVGRWVAQQEKET RRLQRPGSDRLFPLPGRGNKYAVEIRGQLNGSAGPGHSELTLLWDRTGVPGGSEISFFFL EPYRSSACTSYSSCLGCLADQGCGWCLNSATCHLRQGRAHCEDDGSGESLLVLVPALCPL CEEHRDCHACTQDPFCEWHQSTNRKGDAACSRRGRGRGALKNPEECPPLCSQRLTCEDCL ANSSQCAWCQSTHTCFLFAAYLARYPHGGCRGWDDSVHSEPRCRSCGGFLTCHECLQSHE CGWCGNEDNPTLGRCLQGDFSGPLGGGNCSLWVGEGLGLPVALPARWAYARCPDVDECRL GLARCHPRATCLNTPLSYECHCQRGYQGDGITHCNRTCLEDCGHGVCSGPPDFTCVCDLG WTSDLPPPTPAPGPPAPRCSRDCGCSFHSHCRRRGPGYCDECQDWTWGEHCERCRPGSFG NATGSGGCRPCQCNGHGDPRRGHCDNLTGLCFCQDHTEGAHCQICSPGYYGDPRAGGSCF RECGGRALLTNVSSVALGSRRFGGLLPPGGGAARAGPGLSYCVWVVSATEALQPCVPGTL CPPLTLTFSPDSSTPCTLSYVLAFDGFPRFLDTGVVQSDRSLIAAFCGQRRDRPLTVQAL SGLLVLHWEANGSSSWGFNASVGSARCGSGGPGSCPVPQECVPQDGAAGAGLCRCPQGWA GPHCRMALCPENCNAHTGAGICNQSLGVCICAEGFGGPDCATKLDGGQLVWETLMDSRLS ADTASRFLHRLGHTMVEGPDATLWMFGGLGLPQGLLGNLYRYSVSERRWTQMLAGAEDGG PGPSPRSFHAAAYVPAGRGAMYLLGGLTAGGVTRDFWVLNLTTLQWRQEKPPQNMELPAV AGHTLTARRGLSLLLVGGYSPENGFNQQLLEYQLATGTWVSGAQSGTPPTGLYGHSAVYH EATDSLYVFGGFRFHVELAAPSPELYSLHCPDRTWSLLAPSQGAKPRPRLFHASALLGDT MVVLGGRSDPDEFSSDVLLYQVNCNTWLLPALTRPAFVGSPMEESVAHAVAAVGSRLYIS GGFGGVALGRLLALTLPPDPCRLLPSPEACNQSGACTWCHGACLSGDQAHRLGCGVPPCS PMPRSPEECRRLRTCSECLARHPRTLQPGDGEASIPRCKWCTNCPEGACIGRNGSCTSEN DCRINQREVFWAGNCSEAACGAADCEQCTREGKCMWTRQFKRTGETRRILSVQPTYDWTC FSHSLLNVSPMPVESSPPLPCPTPCHLLPNCTSCLASKGADGGWQHCVWSSSLQQCLSPS YLPLRCMAGGCGRLLRGPESCSLGCAQATQCALCLRRPHCGWCAWGGQDGGGHCMEGGLS GPRDGLTCGRPGASWAFLSCPPEDECANGHHDCNETQNCHDQPHGYECSCKTGYTMDNVT GVCRPVCAQGCVNGSCVEPDHCRCHFGFVGRNCSTECRCNRHSECAGVGAQDHCLLCRNH TKGSHCEQCLPLFVGSALGGGTCRPCHAFCRGNSHVCVSRKELEMARKEPEKYSLDPEEI ETWVAEGPSEDEAVCVNCQNNSYGDRCESCLHGYFLLDGKCTKCQCNGHADTCNEQDGTG CPCQNNTETGTCQGSSPSDRRDCYKYQCAKCRESFHGSPLGGQQCYRLISVEQECCLDPT SQTNCFHEPKRRALGPGRTVLFGVQPKFTNVDIRLTLDVTFGAVDLYVSTSYDTFVVRVA PDTGVHTVHIQPPPPPPPPPPPADGVPRVAADLGGLGTGSGSGSPVEPRVREVWPRGLIT YVTVTEPSAVLVVRSVRDRLVITYPHEHHALKSSRFYLLLLGVGDPNGPGANGSADSQGL LFFRQDQAHIDLFVFFSVFFSCFFLFLSLCVLLWKAKQALDQRQEQRRHLQEMTKMASRP FAKVTVCFPPDPAGPAPAWKPAGLPPPAFRRSEPFLAPLLLTGAGGPWGPMGGGCCPPAL PATTAGLRAGPITLEPTEDGMAGVATLLLQLPGGPHAPNGACLGSALVTLRHRLHEYCGG SGGAGGSGHGGGGGRKGLLSQDNLTSMSL >ENSMUSP00000046131.5 pep:known chromosome:GRCm38:5:21372642:21378374:1 gene:ENSMUSG00000039899.5 transcript:ENSMUST00000035799.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgl2 description:fibrinogen-like protein 2 [Source:MGI Symbol;Acc:MGI:103266] MRLPGWLWLSSAVLAACRAVEEHNLTEGLEDASAQAACPARLEGSGRCEGSQCPFQLTLP TLTIQLPRQLGSMEEVLKEVRTLKEAVDSLKKSCQDCKLQADDHRDPGGNGGNGAETAED SRVQELESQVNKLSSELKNAKDQIQGLQGRLETLHLVNMNNIENYVDNKVANLTVVVNSL DGKCSKCPSQEHMQSQPVQHLIYKDCSDHYVLGRRSSGAYRVTPDHRNSSFEVYCDMETM GGGWTVLQARLDGSTNFTREWKDYKAGFGNLEREFWLGNDKIHLLTKSKEMILRIDLEDF NGLTLYALYDQFYVANEFLKYRLHIGNYNGTAGDALRFSRHYNHDLRFFTTPDRDNDRYP SGNCGLYYSSGWWFDSCLSANLNGKYYHQKYKGVRNGIFWGTWPGINQAQPGGYKSSFKQ AKMMIRPKNFKP >ENSMUSP00000125691.1 pep:known chromosome:GRCm38:17:15499888:15504605:1 gene:ENSMUSG00000014767.16 transcript:ENSMUST00000159197.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbp description:TATA box binding protein [Source:MGI Symbol;Acc:MGI:101838] MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ QQQQQQQQQQAVATAAASVQQSTSQQPTQGASGQTPQLFHSQTLTTAPLPGTTPLYPSPM TPMTPITPA >ENSMUSP00000114007.2 pep:known chromosome:GRCm38:17:15499922:15514372:1 gene:ENSMUSG00000014767.16 transcript:ENSMUST00000119879.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbp description:TATA box binding protein [Source:MGI Symbol;Acc:MGI:101838] MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ QQQQQQQQQQAVATAAASVQQSTSQQPTQGASGQTPQLFHSQTLTTAPLPGTTPLYPSPM TPMTPITPATPASESSGIVPQLQNIVSTVNLGCKLDLKTIALRARNAEYNPKRFAAVIMR IREPRTTALIFSSGKMVCTGAKSEEQSRLAARKYARVVQKLGFPAKFLDFKIQNMVGSCD VKFPIRLEGL >ENSMUSP00000124317.1 pep:known chromosome:GRCm38:17:15499888:15528379:1 gene:ENSMUSG00000014767.16 transcript:ENSMUST00000162505.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbp description:TATA box binding protein [Source:MGI Symbol;Acc:MGI:101838] MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ QQQQQQQQQQAVATAAASVQQSTSQQPTQGASGQTPQLFHSQTLTTAPLPGTTPLYPSPM TPMTPITPATPASESSGIVPQLQNIVSTVNLGCKLDLKTIALRARNAEYNPKRFAAVIMR IREPRTTALIFSSGKMVCTGAKSEEQSRLAARKYARVVQKLGFPAKFLDFKIQNMVGSCD VKFPIRLEGLVLTHQQFSSYEPELFPGLIYRMIKPRIVLLIFVSGKVVLTGAKVRAEIYE AFENIYPILKGFRKTT >ENSMUSP00000113999.1 pep:known chromosome:GRCm38:17:15499910:15517427:1 gene:ENSMUSG00000014767.16 transcript:ENSMUST00000118001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbp description:TATA box binding protein [Source:MGI Symbol;Acc:MGI:101838] MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ QQQQQQQQQQAVATAAASVQQSTSQQPTQGASGQTPQLFHSQTLTTAPLPGTTPLYPSPM TPMTPITPATPASESSGIVPQLQNIVSTVNLGCKLDLKTIALRARNAEYNPKRFAAVIMR IREPRTTALIFSSGKMVCTGAKSYEPELFPGLIYRMIKPRIVLLIFVSGKVVLTGAKVRA EIYEAFENIYPILKGFRKTT >ENSMUSP00000014911.5 pep:known chromosome:GRCm38:17:15499888:15517427:1 gene:ENSMUSG00000014767.16 transcript:ENSMUST00000014911.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbp description:TATA box binding protein [Source:MGI Symbol;Acc:MGI:101838] MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ QQQQQQQQQQAVATAAASVQQSTSQQPTQGASGQTPQLFHSQTLTTAPLPGTTPLYPSPM TPMTPITPATPASESSGIVPQLQNIVSTVNLGCKLDLKTIALRARNAEYNPKRFAAVIMR IREPRTTALIFSSGKMVCTGAKSEEQSRLAARKYARVVQKLGFPAKFLDFKIQNMVGSCD VKFPIRLEGLVLTHQQFSSYEPELFPGLIYRMIKPRIVLLIFVSGKVVLTGAKVRAEIYE AFENIYPILKGFRKTT >ENSMUSP00000112794.1 pep:known chromosome:GRCm38:17:15499960:15517427:1 gene:ENSMUSG00000014767.16 transcript:ENSMUST00000117593.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbp description:TATA box binding protein [Source:MGI Symbol;Acc:MGI:101838] MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ QQQQQQQQQQAVATAAASVQQSTSQQPTQGASGQTPQLFHSQTLTTAPLPGTTPLYPSPM TPMTPITPATPASESSGIVPQLQNIVSTVNLGCKLDLKTIALRARNAEYNPKRFAAVIMR IREPRTTALIFSSGKMVCTGAKSEEQSRLAARKYARVVQKLGFPAKFLDFKIQNMVGSCD VKFPIRLEGLVLTHQQFSSYEPELFPGLIYRMIKPRIVLLIFVSGKVVLTGAKVRAEIYE AFENIYPILKGFRKTT >ENSMUSP00000122696.1 pep:known chromosome:GRCm38:17:15499912:15507359:1 gene:ENSMUSG00000014767.16 transcript:ENSMUST00000143924.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbp description:TATA box binding protein [Source:MGI Symbol;Acc:MGI:101838] MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ QQQQQQQQQQAVATAAASVQQSTSQQPTQGASGQTPQLFHSQTLTTAPLPGTTPLYPSPM TPMTPITPATPASESSGIVPQLQNIVST >ENSMUSP00000120484.2 pep:known chromosome:GRCm38:17:15499901:15507454:1 gene:ENSMUSG00000014767.16 transcript:ENSMUST00000147081.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbp description:TATA box binding protein [Source:MGI Symbol;Acc:MGI:101838] MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ QQQQQQQQQQAVATAAASVQQSTSQQPTQGASGQTPQLFHSQTLTTAPLPGTTPLYPSPM TPMTPITPATPASESSGIVPQLQNIVSTVNLGCKLDLKTIALRARNAEYNPKVRPIFMHF >ENSMUSP00000117551.1 pep:known chromosome:GRCm38:17:15499928:15504447:1 gene:ENSMUSG00000014767.16 transcript:ENSMUST00000155051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbp description:TATA box binding protein [Source:MGI Symbol;Acc:MGI:101838] MDQNNSLPPYAQGLASPQGAMTPGIPIFSPMMPYGTGLTPQPIQNTNSLSILEEQQRQQQ QQQQQQQQQQAVATAA >ENSMUSP00000114471.1 pep:known chromosome:GRCm38:17:15504583:15516868:1 gene:ENSMUSG00000014767.16 transcript:ENSMUST00000153480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbp description:TATA box binding protein [Source:MGI Symbol;Acc:MGI:101838] PMTPITPATPASESSGIVPQLQSVYFERPRKLQKKNIVSTVNLGCKLDLKTIALRARNAE YNPKRFAAVIMRIREPRTTALIFSSGKMVCTGAKSEEQSRLAARKYARVVQKLGFPAKFL DFKIQNMVGSCDVKFPIRLEGLVLTHQQFSSYEPELFPGLIYRMIKPRIVLLIFVSGKVV LTGAKVRAEIYEAFENIYPILKGFRKTT >ENSMUSP00000099739.4 pep:known chromosome:GRCm38:17:36029976:36032855:-1 gene:ENSMUSG00000067212.8 transcript:ENSMUST00000102678.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-T23 description:histocompatibility 2, T region locus 23 [Source:MGI Symbol;Acc:MGI:95957] MLLFAHLLQLLVSATVPTQSSPHSLRYFTTAVSRPGLGEPRFIIVGYVDDTQFVRFDSDA ENPRMEPRARWIEQEGPEYWERETWKARDMGRNFRVNLRTLLGYYNQSNDESHTLQWMYG CDVGPDGRLLRGYCQEAYDGQDYISLNEDLRSWTANDIASQISKHKSEAVDEAHQQRAYL QGPCVEWLHRYLRLGNETLQRSDPPKAHVTHHPRSEDEVTLRCWALGFYPADITLTWQLN GEELTQDMELVETRPAGDGTFQKWAAVVVPLGKEQYYTCHVYHEGLPEPLTLRWEPPPST VSNMVIIAVLVVLGAVIILGAVVAFVMKRRRHIGVKGCYAHVLGSKSFQTSDWPQKA >ENSMUSP00000131735.1 pep:known chromosome:GRCm38:13:34977748:34994144:-1 gene:ENSMUSG00000021417.14 transcript:ENSMUST00000171229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci2 description:enoyl-Coenzyme A delta isomerase 2 [Source:MGI Symbol;Acc:MGI:1346064] MAAVTWSRARCWCPSVLQVFRLQVAKLHLGRPTMRASQQDFENALNQVKLLKKDPGNEVK LRLYALYKQATEGPCNMPKPGMLDFVNKAKWDAWNALGSLPKETARQNYVDLVSSLSSSS EAPSQGKRGADEKARESKDILVTSEDGITKITFNRPTKKNAISFQMYRDIILALKNASTD NTVMAVFTGTGDYYCSGNDLTNFTSATGGIEEAASNGAVLLRDFVNSFIDFPKPLVAVVN GPAVGISVTLLGLFDAVFASDRATFHTPFSQLGQSPEACSSYTFPKMMGSAKAAEMLLFG KKLTAREAWAQGLVTEVFPESTFETEVWTRLKTYAKLPPNAMRISKELIRKNEKEKLYAV NAEECTTLQARWLSEECMNAIMSFVSRKPKL >ENSMUSP00000021854.6 pep:known chromosome:GRCm38:13:34977749:34994127:-1 gene:ENSMUSG00000021417.14 transcript:ENSMUST00000021854.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci2 description:enoyl-Coenzyme A delta isomerase 2 [Source:MGI Symbol;Acc:MGI:1346064] MRASQQDFENALNQVKLLKKDPGNEVKLRLYALYKQATEGPCNMPKPGMLDFVNKAKWDA WNALGSLPKETARQNYVDLVSSLSSSSEAPSQGKRGADEKARESKDILVTSEDGITKITF NRPTKKNAISFQMYRDIILALKNASTDNTVMAVFTGTGDYYCSGNDLTNFTSATGGIEEA ASNGAVLLRDFVNSFIDFPKPLVAVVNGPAVGISVTLLGLFDAVFASDRATFHTPFSQLG QSPEACSSYTFPKMMGSAKAAEMLLFGKKLTAREAWAQGLVTEVFPESTFETEVWTRLKT YAKLPPNAMRISKELIRKNEKEKLYAVNAEECTTLQARWLSEECMNAIMSFVSRKPKL >ENSMUSP00000105880.2 pep:known chromosome:GRCm38:13:34977757:34994132:-1 gene:ENSMUSG00000021417.14 transcript:ENSMUST00000110251.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci2 description:enoyl-Coenzyme A delta isomerase 2 [Source:MGI Symbol;Acc:MGI:1346064] MLVSEVFRLQVAKLHLGRPTMRASQQDFENALNQVKLLKKDPGNEVKLRLYALYKQATEG PCNMPKPGMLDFVNKAKWDAWNALGSLPKETARQNYVDLVSSLSSSSEAPSQGKRGADEK ARESKDILVTSEDGITKITFNRPTKKNAISFQMYRDIILALKNASTDNTVMAVFTGTGDY YCSGNDLTNFTSATGGIEEAASNGAVLLRDFVNSFIDFPKPLVAVVNGPAVGISVTLLGL FDAVFASDRATFHTPFSQLGQSPEACSSYTFPKMMGSAKAAEMLLFGKKLTAREAWAQGL VTEVFPESTFETEVWTRLKTYAKLPPNAMRISKELIRKNEKEKLYAVNAEECTTLQARWL SEECMNAIMSFVSRKPKL >ENSMUSP00000129428.1 pep:known chromosome:GRCm38:13:34986067:35027094:-1 gene:ENSMUSG00000021417.14 transcript:ENSMUST00000170538.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci2 description:enoyl-Coenzyme A delta isomerase 2 [Source:MGI Symbol;Acc:MGI:1346064] MRASQQDFENALNQVKLLKKDPGNEVKLRLYALYKQATEGPCNMPKPGMLDFVNKAKWDA WNALGSLPKETARQNYVDLVSSLSSSSEAPSQGKRGADEKARESKDILVTSEDGITKITF NRPTKKNAISFQMYRDIILALKNASTDNTVMAVFTGTGDYYCSGNDLTNFTSATGGIEEA ASNGAVLLRDFVNSFIDFPKPLVAVVNGPAVGISVTLLGLFDAVFASDR >ENSMUSP00000126500.1 pep:known chromosome:GRCm38:13:34986106:35027094:-1 gene:ENSMUSG00000021417.14 transcript:ENSMUST00000163280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci2 description:enoyl-Coenzyme A delta isomerase 2 [Source:MGI Symbol;Acc:MGI:1346064] MRASQQDFENALNQVKLLKKDPGNEVKLRLYALYKQATEGPCNMPKPGMLDFVNKAKWDA WNALGSLPKETARQNYVDLVSSLSSSSEAPSQGKRGADEKARESKDILVTSEDGITKITF NRPTKKNAISFQMYRDIILALKNASTDNTVMAVFTGTGDYYCSGNDLTNFTSATGGIEEA ASNGAVLLRDFVNSFIDFPKPLVAVVNGPAVGISVT >ENSMUSP00000129477.1 pep:known chromosome:GRCm38:13:34986148:34994123:-1 gene:ENSMUSG00000021417.14 transcript:ENSMUST00000170989.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci2 description:enoyl-Coenzyme A delta isomerase 2 [Source:MGI Symbol;Acc:MGI:1346064] MRASQQDFENALNQVKLLKKDPGNEVKLRLYALYKQATEGPCNMPKPGMLDFVNKAKWDA WNALGSLPKETARQNYVDLVSSLSSSSEAPSQGKRGADEKARESKDILVTSEDGITKITF NRPTKKNAISFQMYRDIILALKNASTDNTVMAVFTGTGDYYCSGNDLTNFTSATGGIEEA ASNGAVLLRDFVNSFIDFPKPL >ENSMUSP00000130076.1 pep:known chromosome:GRCm38:13:34986157:34994132:-1 gene:ENSMUSG00000021417.14 transcript:ENSMUST00000167036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci2 description:enoyl-Coenzyme A delta isomerase 2 [Source:MGI Symbol;Acc:MGI:1346064] MRASQQDFENALNQVKLLKKDPGNEVKLRLYALYKQATEGPCNMPKPGMLDFVNKAKWDA WNALGSLPKETARQNYVDLVSSLSSSSEAPSQGKRGADEKARESKDILVTSEDGITKITF NRPTKKNAISFQMYRDIILALKNASTDNTVMAVFTGTGDYYCSGNDLTNFTSATGGIEEA ASNGAVLLRDFVNSFIDFP >ENSMUSP00000129164.1 pep:known chromosome:GRCm38:13:34987525:34994122:-1 gene:ENSMUSG00000021417.14 transcript:ENSMUST00000171258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci2 description:enoyl-Coenzyme A delta isomerase 2 [Source:MGI Symbol;Acc:MGI:1346064] MRASQQDFENALNQVKLLKKDPGNEVKLRLYALYKQATEGPCNMPKPGMLDFVNKAKWDA WNALGSLPKETARQNYVDLVSSLSSSSEAPSQGKRGADEKARESKDILVTSEDGITKITF NRPTKKNAISFQMYRDIILALKNASTDNTVMAVFTGTGDYYCSGNDLTNF >ENSMUSP00000130283.1 pep:known chromosome:GRCm38:13:34990218:34993462:-1 gene:ENSMUSG00000021417.14 transcript:ENSMUST00000169759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci2 description:enoyl-Coenzyme A delta isomerase 2 [Source:MGI Symbol;Acc:MGI:1346064] MRASQQDFENALNQVKLLKKDPGNEVKLRLYALYKQATEGPCNMPKPGMLDFVNKAKWDA WNALGSLPKETARQNYVDLVSSLSSSSEAPSQGKRGADEKARESKDILVTSEDGITKIT >ENSMUSP00000137411.1 pep:known chromosome:GRCm38:13:34977750:34994144:-1 gene:ENSMUSG00000021417.14 transcript:ENSMUST00000178421.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci2 description:enoyl-Coenzyme A delta isomerase 2 [Source:MGI Symbol;Acc:MGI:1346064] MRASQQDFENALNQVKLLKKDPGNEVKLRLYALYKQATEGPCNMPKPGMLDFVNKAKWDA WNALGSLPKETARQNYVDLVSSLSSSSEAPSQGKRGADEKARESKDILVTSEDGITKITF NRPTKKNAISFQMYRDIILALKNASTDNTVMAVFTGTGDYYCSGNDLTNFTSATGGIEEA ASNGAVLLRDFVNSFIDFPKPLVAVVNGPAVGISVTLLGLFDAVFASDRATFHTPFSQLG QSPEACSSYTFPKMMGSAKAAEMLLFGKKLTAREAWAQGLVTEVFPESTFETEVWTRLKT YAKLPPNAMRISKELIRKNEKEKLYAVNAEECTTLQARWLSEECMNAIMSFVSRKPKL >ENSMUSP00000144652.1 pep:known chromosome:GRCm38:6:40628831:40658973:1 gene:ENSMUSG00000068587.9 transcript:ENSMUST00000202636.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgam description:maltase-glucoamylase [Source:MGI Symbol;Acc:MGI:1203495] MRNKAIGAETTGTPDTTVTRTTLNSPNCPVLSELERINCIPDQSSNKGTCDERGCCWDPQ GSISVPCYYSRNHGYKMESDVVNTNAGFTATLKNLPSAPVFGNSIENILLTAEYQTSNRF HFKLTDQTKKRYEVPHEHVQPFSGNAPSSLNYKVEVSKEPFSIKVTRKSNNRVLFDSSIG PLLFSDQFLQFSTHLPSANVYGLGEHVHQQYRHNMNWKTWPMFSRDTTPNEDGTNLYGVQ TFFLCLEDNSGLSFGVFLMNSNAMEVTLQPTPAITYRTTGGILDFYVFLGNTPEQVVQEY LELIGRPALPSYWTLG >ENSMUSP00000143946.1 pep:known chromosome:GRCm38:6:40628831:40769123:1 gene:ENSMUSG00000068587.9 transcript:ENSMUST00000201148.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgam description:maltase-glucoamylase [Source:MGI Symbol;Acc:MGI:1203495] MAGRRFKKFSKLELVLIVLLLVLFIIAVVLIVLLANSTPENAIGAETTGTPDTTVTRTTL NSPNCPVLSELERINCIPDQSSNKGTCDERGCCWDPQGSISVPCYYSRNHGYKMESDVVN TNAGFTATLKNLPSAPVFGNSIENILLTAEYQTSNRFHFKLTDQTKKRYEVPHEHVQPFS GNAPSSLNYKVEVSKEPFSIKVTRKSNNRVLFDSSIGPLLFSDQFLQFSTHLPSANVYGL GEHVHQQYRHNMNWKTWPMFSRDTTPNEDGTNLYGVQTFFLCLEDNSGLSFGVFLMNSNA MEVTLQPTPAITYRTTGGILDFYVFLGNTPEQVVQEYLELIGRPALPSYWTLGFQLSRYD YKSLDNMKAVVERNRAAQLPYDVQHADIDYMDQKKDFTYDPVNFKGFPEFVKELHNNGQK LVIILDPAISNNSFSSNPYGPYDRGSAMKIWVNSSDGISPVIGKVWPGTTVFPDYTSPNC AVWWTKEFELFHKEVEFDGIWIDMNEVSNFIDGSFSGCSQNNLNYPPFTPKVLDGYLFSK TLCMDAVQHWGKQYDVHNLYGYSMAIATAKAVKDVFPDKRSFIITRSTFAGSGKFAAHWL GDNTATWKDLQWSIPGMLEFNLFGIPMVGADICGFAQDTYEELCRRWMQLGAFYPFSRNH NGQGYKDQDPASFGNNSLLLNSSRHYLNIRYTLLPYLYTLFYRAHSRGDTVARPLLHEFY DDNNTWGIDRQFLWGPGLLITPVLDQGAEKVKAYVPNATWYDYETGEELGWRKQSIEMQL PGDKIGLHLRGGYIFPTQQPATTTEASRKNPLGLIVALDENKEARGELFWDDGESKDTVA QNIYLFSEFSVTQNHLDVTISSPNYKDPNNLEFQEIKIFGTREFRNVRVKQNGNLLQMSP QVTYNPNLKVATITNIHLRLGEAYTVEWDFFTREEERIDCYPDEHGASEANCSARGCIWE ASNTTRGPPCYFAHELYSVSNVQYDSHGATADISLKASTYSNAFPSTPVNKLKLQVTYHK NEMLQFKIYDPNHSRYEVPVPLNIPSAPLSTPEGRLYDVLIKENPFGIQIRRKTTGTVIW DSQLLGFTFNDMFIRISTRLPSTYIYGFGETEHTTFKIDMNWHTWGMFSRDEPPGYKKNS YGVHPYYMGLEEDGNAHGVLLMNSNAMDVTFQPMPALTYRTIGGILDFYVFLGPTPEIVT QQYTELIGRPVMVPYWSLGFQLCRYGYENDTEIANLYDEMVAKQIPYDVQYSDIDYMERQ LDFKLSPKFSGFPALINRMKANGMRVILILDPAISGNETEPYPAFTRGVENDVFIRYPNN GSIVWGKVWPDYPNITVDPSLGWDHQVEQYRAYVAFPDFFRNSTATWWKKEIKELHSNTQ DPAKSLKFDGLWIDMNEPSSFVNGAVPSGCSDATLNHPPYMPYLEARDRGLSSKTLCMES EQILPDGSRVRHYDVHNLYGWSQTRPTYEAVQEVTGERGIVITRSTFPSSGRWGGHWLGD NTAAWDQLGKSIIGMMDFSLFGISYTGSDICGFFQDAEYEMCVRWMQLGAFYPFSRNHNT IGTRRQDPVSWNKTFEDISRSVLETRYTLLPYLYTLMYKAHTEGSTVVRPLLHEFVSDRE TWNIDKQFLLGPAFLVSPVLEPNARKVEAYFPRARWYDYYKGVDINATGEWKTLEAPLEY INLHIRGGYILPWQEPAMNTHLSRQKFMGLRAALNAEGRAEGWLFWDDGKRINTDQYYLA RFSVNQTTLLTHEKFNNYLTGTAPLYLGYIDIWGLSSSSITNVSISWNTNNEEVFANYNS TTKILSVNMTDKKISLHTFNSLTWSSS >ENSMUSP00000144680.1 pep:known chromosome:GRCm38:6:40671894:40764269:1 gene:ENSMUSG00000068587.9 transcript:ENSMUST00000202966.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgam description:maltase-glucoamylase [Source:MGI Symbol;Acc:MGI:1203495] GAEKVKAYVPNATWYDYETGEELGWRKQSIEMQLPGDKIGLHLRGGYIFPTQQPATTTEA SRKNPLGLIVALDENKEARGELFWDDGESKDTVAQNIYLFSEFSVTQNRLDVSTLQSTYM NPDNLAFQEIKIFGTRPLYNVTVKHNGMVNQMAPQVSYDPSMKVAVITGLQLLLNESYTV EWDDSIRDEEKIDCYPDQHGASETSCTARGCVWEESNSDVVPFCYFVNELYSVSNVQYGS HGATANISLKASPYSGAFPSTPVKELQLQVTYHKNEMLQFKIYDPNHSRYEVPVPLNIPS APSSTPESRLYDVLIKENPFGIEIRRKGTGTVVWDSQLLGFTFSDMFIRISTRLPSTYIY GFGETEHTTFKIDMNWNKWGMFSRDEPPGYRKNSYGVHPYYMGLEEDGNAHGVLLMNSNA MDVTFQPMPALTYRTTGGILDFYVFLGPTPEFVTQQYTELIGRPVMVPYWSLGFQLCRYG YENDSEIASLYDEMVDKKIPYDVQYSDIDYMERQLDFKLSPKFSGFPALINRMKANGMRV ILILDPAISGNETEPYPAFTRGVENDVFIRYPNNGSIVWGKVWPDYPNITVDPSLGWDHQ VEQYRAYVAFPDFFRNSTATWWKKEIKELHSNTQDPAKSLKFDGLWIDMNEPSSFVNGAV PSGCSDATLNHPPYMPYLEARDRGLSSKTLCMESEQILPDGSRVRHYDVHNLYGWSQTRP TYEAVQEVTGERGIVITRSTFPSSGRWGGHWLGDNTAAWDQLGKSIIGMMDFSLFGISYT GSDICGFFQDAEYEMCVRWMQLGAFYPFSRNHNTIGTRRQDPVSWNKTFEDISRSVLETR YTLLPYLYTLMYKAHTEGSTVVRPLLHEFVSDRETWNIDKQFLLGPAFLVSPVLEPNARK VEAYFPRARWYDYYKGVDINATGEWKTLEAPLEYINLHIRGGYILPWQEPAMNTHLSRQK FMGLRAALNAEGRAEGWLFWDDGKRI >ENSMUSP00000144627.1 pep:known chromosome:GRCm38:6:40728952:40768455:1 gene:ENSMUSG00000068587.9 transcript:ENSMUST00000202779.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgam description:maltase-glucoamylase [Source:MGI Symbol;Acc:MGI:1203495] MLFQTGSDICGFFQDAEYEMCVRWMQLGAFYPFSRNHNTIGTKRQDPVSWNKTFEDISRS VLETRYTLLPYLYTLMYKAHMEGSTVVRPLLHEFVSDRKTWNIDKQFLLGPAFLVSPVLE PNARNISAYFPTALWYDYYTGANINSTGEWKTLPAPLEHINLHVRGGYILPWQRPALNTH LSRKNPLGLLIALDENKEARGELFWDDGQSKDLTTNNILCKFSVTQNRLDVSTLQSTYMN PDNLAFQEIKIFGTRPLYNVTVKHNGMVNQMAPQVSYDPSMKVAVITGLQLLLNESYTVE WDDSIRDEEKIDCYPDQHGASETSCTARGCVWEESNSDVVPFCYFVNELYSVSNVQYGSH GATANISLKASPYSGAFPSTPVKELQLQVTYHKNEMLQFKIYDPNHSRYEVPVPLNIPSA PSSTPESRLYDVLIKENPFGIEIRRKGTGTVVWDSQLLGFTFSDMFIRISTRLPSTYIYG FGETEHTTFKIDMNWNKWGMFSRDEPPGYRKNSYGVHPYYMGLEEDGNAHGVLLMNSNAM DVTFQPMPALTYRTTGGILDFYVFLGPTPEFVTQQYTELIGRPVMVPYWSLGFQLCRYGY ENDSEIASLYDEMVDKKIPYDVQYSDIDYMERQLDFKLSPKFSGFPALINRMKANGMRVI LILDPAISGNETEPYPAFTRGVENDVFIRYPNNGSIVWGKVWPDYPNITVDPSLGWDHQV EQYRAYVAFPDFFRNSTATWWKKEIKELHSNTQDPAKSLKFDGLWIDMNEPSSFVNGAVP SGCSDATLNHPPYMPYLEARDRGLSSKTLCMESEQILPDGSRVRHYDVHNLYGWSQTRPT YEAVQEVTGERGIVITRSTFPSSGRWGGHWLGDNTAAWDQLGKSIIGMMDFSLFGISYTG SDICGFFQDAEYEMCVRWMQLGAFYPFSRNHNTIGTRRQDPVSWNKTFEDISRSVLETRY TLLPYLYTLMYKAHTEGSTVVRPLLHEFVSDRETWNIDKQFLLGPAFLVSPVLEPNARKV EAYFPRARWYDYYKGVDINATGEWKTLEAPLEYINLHIRGGYILPWQEPAMNTHLSRQKF MGLRAALNAEGRAEGWLFWDDGKRINTDQYYLARFSVNQTTLLTHEKFNNYLTGTAPLYL GYIDIWGLSSSSITNVSISWNTNNEEVFANYNSTTKILSVNMTDKKISLHTFNSLTWSSS >ENSMUSP00000071466.6 pep:known chromosome:GRCm38:6:40628831:40769123:1 gene:ENSMUSG00000068587.9 transcript:ENSMUST00000071535.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgam description:maltase-glucoamylase [Source:MGI Symbol;Acc:MGI:1203495] MAGRRFKKFSKLELVLIVLLLVLFIIAVVLIVLLANSTPENAIGAETTGTPDTTVTRTTL NSPNCPVLSELERINCIPDQSSNKGTCDERGCCWDPQGSISVPCYYSRNHGYKMESDVVN TNAGFTATLKNLPSAPVFGNSIENILLTAEYQTSNRFHFKLTDQTKKRYEVPHEHVQPFS GNAPSSLNYKVEVSKEPFSIKVTRKSNNRVLFDSSIGPLLFSDQFLQFSTHLPSANVYGL GEHVHQQYRHNMNWKTWPMFSRDTTPNEDGTNLYGVQTFFLCLEDNSGLSFGVFLMNSNA MEVTLQPTPAITYRTTGGILDFYVFLGNTPEQVVQEYLELIGRPALPSYWTLGFQLSRYD YKSLDNMKAVVERNRAAQLPYDVQHADIDYMDQKKDFTYDPVNFKGFPEFVKELHNNGQK LVIILDPAISNNSFSSNPYGPYDRGSAMKIWVNSSDGISPVIGKVWPGTTVFPDYTSPNC AVWWTKEFELFHKEVEFDGIWIDMNEVSNFIDGSFSGCSQNNLNYPPFTPKVLDGYLFSK TLCMDAVQHWGKQYDVHNLYGYSMAIATAKAVKDVFPDKRSFIITRSTFAGSGKFAAHWL GDNTATWKDLQWSIPGMLEFNLFGIPMVGADICGFAQDTYEELCRRWMQLGAFYPFSRNH NGQGYKDQDPASFGNNSLLLNSSRHYLNIRYTLLPYLYTLFYRAHSRGDTVARPLLHEFY DDNNTWGIDRQFLWGPGLLITPVLDQGAEKVKAYVPNATWYDYETGEELGWRKQSIEMQL PGDKIGLHLRGGYIFPTQQPATTTEASRKNPLGLIVALDENKEARGELFWDDGESKDTVA QNIYLFSEFSVTQNHLDVTISSPNYKDPNNLEFQEIKIFGTREFRNVRVKQNGNLLQMSP QVTYNPNLKVATITNIHLRLGEAYTVEWDFFTREEERIDCYPDEHGASEANCSARGCIWE ASNTTRGPPCYFAHELYSVSNVQYDSHGATADISLKASTYSNAFPSTPVNKLKLQVTYHK NEMLQFKIYDPNHSRYEVPVPLNIPSAPLSTPEGRLYDVLIKENPFGIQIRRKTTGTVIW DSQLLGFTFNDMFIRISTRLPSTYIYGFGETEHTTFKIDMNWHTWGMFSRDEPPGYKKNS YGVHPYYMGLEEDGNAHGVLLMNSNAMDVTFQPMPALTYRTIGGILDFYVFLGPTPEIVT QQYTELIGRPVMVPYWSLGFQLCRYGYENDTEIANLYDEMVAKQIPYDVQYSDIDYMERQ LDFKLSPKFSGFPALINRMKANGMRVILILDPAISGNETEPYPAFTRGVENDVFIRYPNN GSIVWGKVWPDYPNITVDPSLGWDHQVEQYRAYVAFPDFFRNSTATWWKKEIKELHSNTQ DPAKSLKFDGLWIDMNEPSSFVNGAVPSGCSDATLNHPPYMPYLEARDRGLSSKTLCMES EQILPDGSRVRHYDVHNLYGWSQTRPTYEAVQEVTGERGIVITRSTFPSSGRWGGHWLGD NTAAWDQLGKSIIGMMDFSLFGISYTGSDICGFFQDAEYEMCVRWMQLGAFYPFSRNHNT IGTRRQDPVSWNKTFEDISRSVLETRYTLLPYLYTLMYKAHTEGSTVVRPLLHEFVSDRE TWNIDKQFLLGPAFLVSPVLEPNARKVEAYFPRARWYDYYKGVDINATGEWKTLEAPLEY INLHIRGGYILPWQEPAMNTHLSRQKFMGLRAALNAEGRAEGWLFWDDGKRINTDQYYLA RFSVNQTTLLTHEKFNNYLTGTAPLYLGYIDIWGLSSSSITNVSISWNTNNEEVFANYNS TTKILSVNMTDKKISLHTFNSLTWSSS >ENSMUSP00000110900.1 pep:known chromosome:GRCm38:5:21292961:21424677:-1 gene:ENSMUSG00000064280.13 transcript:ENSMUST00000115245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc146 description:coiled-coil domain containing 146 [Source:MGI Symbol;Acc:MGI:1922422] MEYNSKDAEEETEDEEEGLKEAEGEEQEKEEVTATSETDDDQEDLPSVLVPTVNIREERL INLAETPAFLCLHELHSKGKLPGTRMAELKAKYTLLHDTVVSTQESEVQLLENAKRFTEQ IQQQQVCLQQAEDFPNVFTTEVCKLREQLLKYQNEYTAAQEREYNIQYRLTSLTEEKSII LKEFEKIPKPGEIEKKTRLLKESTEELRKEVIQRRLEIKNLREDVVLKQKQLVREQKELE ELMEYQVGLKDDVVHHQSVPVQITKEIEKMTRKKVETEKKNIVLESELKELSDSLKKLEN KVNTLAEERDDIMKEVEGKRTLLEVKEREYGQLLKLLELTKENEASSLAERGILDINLRN CLIDKQNYHDELSRKQREKERDFRNLKKTELLLKVSLDALTQAQAMNQRLLLEMEAIPKE YLTLPERRKELHKEVDLARRNLAQQKSLSEAEAKLVEQQIAQENKLLKEQESLRELVFNL GRMTQIKIDEKEQKAKDFLKAQRRYSEIVKEIKSKDLEIRLYKKRKHEIHRRLREFASLY DTIRNERNKFVNLLHKAHQKVNEIKERLKMSLNELEILRNSAVSQERKLQNAMLKHSNNV TIKESIQNDVCKITAKLQEMKEKKEAQLTSMDRLASMITVIEEEMVQLRKKYEKAVQRRN ESGVQLIEREEEVCIFYEKVNVQEKIKLHQDVEIHILEEKIRFLKLRIAEKQRQISVTRK LVPIKKSLDADLAVIQIQFSQCTDRIKDLEKLFVNPDSKGRVRFIKGKDLTEEEMIKKLD MLELQIAKKEEKLLEKDFIYEQVSQLTNRLKGKTQACKKDTLLLAKKMNSYQQKIKVVTQ EMMALVAELSMKQALTIELQKEVREKEEFIFSCSARIEKGLPLNREIEKDWLKVLRDEEM YAFATAEMSREYMETDYRQLPNGVYTTAEQRPNAYMPEADTELPLPKPYGAMAPFKPSEP GANRRHIRKPVVKPIEI >ENSMUSP00000030552.5 pep:known chromosome:GRCm38:5:21317826:21424677:-1 gene:ENSMUSG00000064280.13 transcript:ENSMUST00000030552.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc146 description:coiled-coil domain containing 146 [Source:MGI Symbol;Acc:MGI:1922422] MEYNSKDAEEETEDEEEGLKEAEGEEQEKEEVTATSETDDDQEDLPSVLVPTVNIREERL INLAETPAFLCLHELHSKGKLPGTRMAELKAKYTLLHDTVVSTQESEVQLLENAKRFTEQ IQQQQVCLQQAEDFPNVFTTEVCKLREQLLKYQNEYTAAQEREYNIQYRLTSLTEEKSII LKEFEKIPKPGEIEKKTRLLKESTEELRKEVIQRRLEIKNLREDVVLKQKQLVREQKELE ELMEYQVGLKDDVVHHQSVPVQITKEIEKMTRKKVETEKKNIVLESELKELSDSLKKLEN KVNTLAEERDDIMKEVEGKRTLLEVKEREYGQLLKLLELTKENEASSLAERLLIFLHILS FKF >ENSMUSP00000135509.1 pep:known chromosome:GRCm38:2:90322222:90325208:1 gene:ENSMUSG00000089859.3 transcript:ENSMUST00000118332.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13769 description:predicted gene 13769 [Source:MGI Symbol;Acc:MGI:3710598] MADIHNVTEFFFLGLSSNQEVQRVCFVIFLFLYMAIVLGNLLMVVIVAVSRNLGSPMYFF LSSLSFVEICYSSTTAPKLIVDLLAEKKSISVWGCMAQLFFMHFFGGIEMFLLMMMAYDR YVAICKPLHYTSIMNRQVCTVLVGMAWMGGFVHSLAQVLLIFRLPFCGPNIIDHYFCDVL PVLKLVCSDTFLIGLLIVVNGGTLTVISFVVLLSSYAVILFHLRTQSAEGRRKALSTCGS HVTVVVIFFAPCVFIYLRPTATLPIDKMVTVFYTVITPLLNPIIYSLRNAEVKKVIKILC TRATKVDKK >ENSMUSP00000076371.3 pep:known chromosome:GRCm38:2:90324197:90325126:1 gene:ENSMUSG00000089859.3 transcript:ENSMUST00000077123.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13769 description:predicted gene 13769 [Source:MGI Symbol;Acc:MGI:3710598] MADIHNVTEFFFLGLSSNQEVQRVCFVIFLFLYMAIVLGNLLMVVIVAVSRNLGSPMYFF LSSLSFVEICYSSTTAPKLIVDLLAEKKSISVWGCMAQLFFMHFFGGIEMFLLMMMAYDR YVAICKPLHYTSIMNRQVCTVLVGMAWMGGFVHSLAQVLLIFRLPFCGPNIIDHYFCDVL PVLKLVCSDTFLIGLLIVVNGGTLTVISFVVLLSSYAVILFHLRTQSAEGRRKALSTCGS HVTVVVIFFAPCVFIYLRPTATLPIDKMVTVFYTVITPLLNPIIYSLRNAEVKKVIKILC TRATKVDKK >ENSMUSP00000020629.4 pep:known chromosome:GRCm38:11:49794187:49838613:1 gene:ENSMUSG00000020363.6 transcript:ENSMUST00000020629.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfpt2 description:glutamine fructose-6-phosphate transaminase 2 [Source:MGI Symbol;Acc:MGI:1338883] MCGIFAYMNYRVPKTRKEIFETLIRGLQRLEYRGYDSAGVAIDGNNHEVKERHIHLVKKR GKVKALDEELYKQDSMDLKVEFETHFGIAHTRWATHGVPNAVNSHPQRSDKDNEFVVIHN GIITNYKDLRKFLESKGYEFESETDTETIAKLIKYVFDNRETEDITFSTLVERVIQQLEG AFALVFKSIHYPGEAVATRRGSPLLIGVRSKYKLSTEQIPVLYPTCNIENVKNICKTRMK RLDSSTCLHAVGDKAVEFFFASDASAIIEHTNRVIFLEDDDIAAVADGKLSIHRVKRSAT DDPSRAIQTLQMELQQIMKGNFSAFMQKEIFEQPESVFNTMRGRVNFETNTVLLGGLKDH LKEIRRCRRLIVIGCGTSYHAAVATRQVLEELTELPVMVELASDFLDRNTPVFRDDVCFF ISQSGETADTLLALRYCKDRGALTVGITNTVGSSISRETDCGVHINAGPEIGVASTKAYT SQFISLVMFGLMMSEDRISLQNRRQEIIRGLRSLPELIKEVLSLDEKIHDLALELYTQRS LLVMGRGYNYATCLEGALKIKEITYMHSEGILAGELKHGPLALVDKQMPVIMVIMKDPCF AKCQNALQQVTARQGRPIILCSKDDTESSKFAYKTIELPHTVDCLQGILSVIPLQLLSFH LAVLRGYDVDFPRNLAKSVTVE >ENSMUSP00000147125.1 pep:known chromosome:GRCm38:7:107814586:107815512:1 gene:ENSMUSG00000066242.2 transcript:ENSMUST00000208563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr467 description:olfactory receptor 467 [Source:MGI Symbol;Acc:MGI:3030301] MEPGNHTMVTEFIILGLTENPTLCCIFFVLFLGVYLTTILGNVSIIMLIRRSPQLHTPMY LFLSHLAFVDIGYSSSVTPVMIVSFLRERTAIPVAGCIVQLGSDVVFGTAECFLLAAMAY DRYVAICSPLLYSTLMSPKVCLILLVISYVGGCVNSSSFTSCLLSLTFCGPNKVNHFFCD LPPLVELSCTHVYVAEMSPAISAGSIIVITLFVIIISYVYILHSILRMRSTEGRHKAFST CTSHLTAVTLFYGTVTFVYVIPESSHSPNKIKVVSVFYTVVIPMLNPLIYSLRNKEVKEA MRKLMA >ENSMUSP00000081811.1 pep:known chromosome:GRCm38:7:107814580:107815506:1 gene:ENSMUSG00000066242.2 transcript:ENSMUST00000084756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr467 description:olfactory receptor 467 [Source:MGI Symbol;Acc:MGI:3030301] KKMEPGNHTMVTEFIILGLTENPTLCCIFFVLFLGVYLTTILGNVSIIMLIRRSPQLHTP MYLFLSHLAFVDIGYSSSVTPVMIVSFLRERTAIPVAGCIVQLGSDVVFGTAECFLLAAM AYDRYVAICSPLLYSTLMSPKVCLILLVISYVGGCVNSSSFTSCLLSLTFCGPNKVNHFF CDLPPLVELSCTHVYVAEMSPAISAGSIIVITLFVIIISYVYILHSILRMRSTEGRHKAF STCTSHLTAVTLFYGTVTFVYVIPESSHSPNKIKVVSVFYTVVIPMLNPLIYSLRNKEVK EAMRKLMA >ENSMUSP00000101996.2 pep:known chromosome:GRCm38:11:116530925:116536657:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000106388.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPVECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHA RELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNALAASV NHYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLSLHTASGLRLYSVLSLSWGFVADVDLE SEKYRRLGEIRFTVGTFFRLASLRIYQGQLAYLPVGTVASKRPASTLVQKGPVDTHLVPL EEPVPSHWTVVPEQDFVLVLVLLHTHLSSELFAAPMGRCEAGVMHLFYVRAGVSRAALLR LFLAMQKGKHMELDCPYLVHVPVVAFRLEPRSQRGVFSVDGELMVCEAVQGQVHPNYLWM VCGSRDAPSGRDSRRGPPPEEP >ENSMUSP00000121064.1 pep:known chromosome:GRCm38:11:116531121:116535700:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000138840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHAR ELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNALAASVN HYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLS >ENSMUSP00000101995.2 pep:known chromosome:GRCm38:11:116531744:116536657:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000106387.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPVECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHA RELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNALAASV NHYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLSLHTASGLRLYSVLSLSWGFVADVDLE SEKYRRLGEIRFTVGTFFRLASLRIYQGQLAYLPVGTVASKRPASTLVQKGPVDTHLVPL EEPVPSHWTVVPEQDFVLVLVLLHTHLSSELFAAPMGRCEAGVMHLFYVRAGVSRAALLR LFLAMQKGKHMELDCPYLVHVPVVAFRLEPRSQRGVFSVDGELMVCEAVQGQVHPNYLWM VCGSRDAPSGRDSRRGPPPEEP >ENSMUSP00000097775.3 pep:known chromosome:GRCm38:11:116531911:116536670:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000100201.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHAR ELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNALAASVN HYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLSLHTASGLRLYSVLSLSWGFVADVDLES EKYRRLGEIRFTVGTFFRLASLRIYQGQLAYLPVGTVASKRPASTLVQKGPVDTHLVPLE EPVPSHWTVVPEQDFVLVLVLLHTHLSSELFAAPMGRCEAGVMHLFYVRAGVSRAALLRL FLAMQKGKHMELDCPYLVHVPVVAFRLEPRSQRGVFSVDGELMVCEAVQGQVHPNYLWMV CGSRDAPSGRDSRRGPPPEEP >ENSMUSP00000101994.1 pep:known chromosome:GRCm38:11:116532444:116536674:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000106386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPVECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHA RELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNALAASV NHYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLSLHTASGLRLYSVLSLSWGFVADVDLE SEKYRRLGEIRFTVGTFFRLASLRIYQGQLAYLPVGTVASKRPASTLVQKGPVDTHLVPL EEPVPSHWTVVPEQDFVLVLVLLHTHLSSELFAAPMGRCEAGVMHLFYVRAGVSRAALLR LFLAMQKGKHMELDCPYLVHVPVVAFRLEPRSQRGVFSVDGELMVCEAVQGQVHPNYLWM VCGSRDAPSGRDSRRGPPPEEP >ENSMUSP00000114622.1 pep:known chromosome:GRCm38:11:116532454:116535712:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000145737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHAR ELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNALAASVN HYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLSLHTA >ENSMUSP00000114819.1 pep:known chromosome:GRCm38:11:116532457:116535341:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000155102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPVECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHA RELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNAL >ENSMUSP00000067865.6 pep:known chromosome:GRCm38:11:116532473:116536674:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000063446.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPVECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHA RELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNALAASV NHYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLSLHTASGLRLYSVLSLSWGFVADVDLE SEKYRRLGEIRFTVGTFFRLASLRIYQGQLAYLPVGTVASKRPASTLVQKGPVDTHLVPL EEPVPSHWTVVPEQDFVLVLVLLHTHLSSELFAAPMGRCEAGVMHLFYVRAGVSRAALLR LFLAMQKGKHMELDCPYLVHVPVVAFRLEPRSQRGVFSVDGELMVCEAVQGQVHPNYLWM VCGSRDAPSGRDSRRGPPPEEP >ENSMUSP00000064743.3 pep:known chromosome:GRCm38:11:116532925:116536657:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000063396.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPVECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHA RELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNALAASV NHYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLSLHTASGLRLYSVLSLSWGFVADVDLE SEKYRRLGEIRFTVGTFFRLASLRIYQGQLAYLPVGTVASKRPASTLVQKGPVDTHLVPL EEPVPSHWTVVPEQDFVLVLVLLHTHLSSELFAAPMGRCEAGVMHLFYVRAGVSRAALLR LFLAMQKGKHMELDCPYLVHVPVVAFRLEPRSQRGVFSVDGELMVCEAVQGQVHPNYLWM VCGSRDAPSGRDSRRGPPPEEP >ENSMUSP00000121219.1 pep:known chromosome:GRCm38:11:116533268:116535995:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000154034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHAR ELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNALAASVN HYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLSLHTASGLRLYSVLSLSWGFVADVDLES EKYRRLGEIRFTVGTFFRLASLRIYQGQLAYLPVGTVASKRPASTLVQKGPVDTHLVPLE EPVPSHWTVVP >ENSMUSP00000116055.1 pep:known chromosome:GRCm38:11:116533575:116535698:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000124682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MEPVECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILTERKNHA RELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGNALAASV NHYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLS >ENSMUSP00000131010.1 pep:known chromosome:GRCm38:11:116534740:116536670:1 gene:ENSMUSG00000061878.15 transcript:ENSMUST00000141798.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk1 description:sphingosine kinase 1 [Source:MGI Symbol;Acc:MGI:1316649] MWWCCVLFVVECPRGLLPRPCRVLVLLNPQGGKGKALQLFQSRVQPFLEEAEITFKLILT ERKNHARELVCAEELGHWDALAVMSGDGLMHEVVNGLMERPDWETAIQKPLCSLPGGSGN ALAASVNHYAGYEQVTNEDLLINCTLLLCRRRLSPMNLLSLHTASGLRLYSVLSLSWGFV ADVDLESEKYRRLGEIRFTVGTFFRLASLRIYQGQLAYLPVGTVASKRPASTLVQKGPVD THLVPLEEPVPSHWTVVPEQDFVLVLVLLHTHLSSELFAAPMGRCEAGVMHLFYVRAGVS RAALLRLFLAMQKGKHMELDCPYLVHVPVVAFRLEPRSQRGVFSVDGELMVCEAVQGQVH PNYLWMVCGSRDAPSGRDSRRGPPPEEP >ENSMUSP00000121428.1 pep:known chromosome:GRCm38:1:175962407:176275312:-1 gene:ENSMUSG00000055214.15 transcript:ENSMUST00000125404.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pld5 description:phospholipase D family, member 5 [Source:MGI Symbol;Acc:MGI:2442056] MEIRQHEWLSASPHEGFEQMRLKSRPKEPSPSLTRVGANFYSSVKQQDYSASVWLRRKDK LEHSQQKCIVIFALVCCFAVLVALIFSAVDIMGEDEDGLSEKNCQNKCR >ENSMUSP00000069326.7 pep:known chromosome:GRCm38:1:175962306:176275298:-1 gene:ENSMUSG00000055214.15 transcript:ENSMUST00000065967.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld5 description:phospholipase D family, member 5 [Source:MGI Symbol;Acc:MGI:2442056] MEIRQHEWLSASPHEGFEQMRLKSRPKEPSPSLTRVGANFYSSVKQQDYSASVWLRRKDK LEHSQQKCIVIFALVCCFAVLVALIFSAVDIMGEDEDGLSEKNCQNKCRIALVENIPEGL NYSEDAPFHLPLFQGWMNLLNMAKKSVDIVSSHWDLNHTHPAACQGQRLFEKLLQLTSQN IEVKLVSDVTADSKVLEALKLKGAEVTYMNMTAYNKGRLQSSFWIVDKQHVYIGSAGLDW RSLGQMKELGVIFYNCSCLVLDLQRIFALYSSLKFKSRVPQTWSKRLYGVYDNEKKLQLQ LNETKSQAFVSNSPKLFCPKNRSFDIDAIYSVIDDAKQYVYIAVTDYLPISSTSSKRTYW PDLDGKIREALVLRSVKVRLLISFWKETDPLTFNFISSLKAICTEIANCSLKVKFFDLER ENACATKEQKNQTFPKLNRNKYMVTDGAAYIGNFDWVGNDFTQNAGTGLVINQADVRDNR SIIKQLKDVFERDWYSPYAKSIQPTKQPNCSSLSKLKSPSKQPAMANATGREPLSV >ENSMUSP00000106796.1 pep:known chromosome:GRCm38:1:176260740:176275274:-1 gene:ENSMUSG00000055214.15 transcript:ENSMUST00000111166.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld5 description:phospholipase D family, member 5 [Source:MGI Symbol;Acc:MGI:2442056] MEIRQHEWLSASPHEGFEQMRLKSRPKEPSPSLTRVGANFYSSVKQQDYSASVWLRRKDK LEHVFPL >ENSMUSP00000106797.1 pep:known chromosome:GRCm38:1:175963712:176213942:-1 gene:ENSMUSG00000055214.15 transcript:ENSMUST00000111167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld5 description:phospholipase D family, member 5 [Source:MGI Symbol;Acc:MGI:2442056] MSQQKCIVIFALVCCFAVLVALIFSAVDIMGEDEDGLSEKNCQNKCRIALVENIPEGLNY SEDAPFHLPLFQGWMNLLNMAKKSVDIVSSHWDLNHTHPAACQGQRLFEKLLQLTSQNIE VKLVSDVTADSKVLEALKLKGAEVTYMNMTAYNKGRLQSSFWIVDKQHVYIGSAGLDWRS LGQMKELGVIFYNCSCLVLDLQRIFALYSSLKFKSRVPQTWSKRLYGVYDNEKKLQLQLN ETKSQAFVSNSPKLFCPKNRSFDIDAIYSVIDDAKQYVYIAVTDYLPISSTSSKRTYWPD LDGKIREALVLRSVKVRLLISFWKETDPLTFNFISSLKAICTEIANCSLKVKFFDLEREN ACATKEQKNQTFPKLNRNKYMVTDGAAYIGNFDWVGNDFTQNAGTGLVINQADVRDNRSI IKQLKDVFERDWYSPYAKSIQPTKQPNCSSLSKLKSPSKQPAMANATGREPLSV >ENSMUSP00000067783.5 pep:known chromosome:GRCm38:1:64730558:64737751:-1 gene:ENSMUSG00000045005.9 transcript:ENSMUST00000063982.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd5 description:frizzled class receptor 5 [Source:MGI Symbol;Acc:MGI:108571] MARPDPSAPPSLLLLLLAQLVGRAAAASKAPVCQEITVPMCRGIGYNLTHMPNQFNHDTQ DEAGLEVHQFWPLVEIHCSPDLRFFLCSMYTPICLPDYHKPLPPCRSVCERAKAGCSPLM RQYGFAWPERMSCDRLPVLGGDAEVLCMDYNRSEATTASPKSFPAKPTLPGPPGAPSSGG ECPSGGPSVCTCREPFVPILKESHPLYNKVRTGQVPNCAVPCYQPSFSPDERTFATFWIG LWSVLCFISTSTTVATFLIDMERFRYPERPIIFLSACYLCVSLGFLVRLVVGHASVACSR EHSHIHYETTGPALCTVVFLLVYFFGMASSIWWVILSLTWFLAAGMKWGNEAIAGYAQYF HLAAWLIPSVKSITALALSSVDGDPVAGICYVGNQNLNSLRGFVLGPLVLYLLVGTLFLL AGFVSLFRIRSVIKQGGTKTDKLEKLMIRIGIFTLLYTVPASIVVACYLYEQHYRESWEA ALTCACPGPDAGQPRAKPEYWVLMLKYFMCLVVGITSGVWIWSGKTLESWRRFTSRCCCS SRRGHKSGGAMAAGDYAEASAALTGRTGPPGPTAAYHKQVSLSHV >ENSMUSP00000111828.2 pep:known chromosome:GRCm38:1:64734469:64737749:-1 gene:ENSMUSG00000045005.9 transcript:ENSMUST00000116133.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd5 description:frizzled class receptor 5 [Source:MGI Symbol;Acc:MGI:108571] MARPDPSAPPSLLLLLLAQLVGRAAAASKAPVCQEITVPMCRGIGYNLTHMPNQFNHDTQ DEAGLEVHQFWPLVEIHCSPDLRFFLCSMYTPICLPDYHKPLPPCRSVCERAKAGCSPLM RQYGFAWPERMSCDRLPVLGGDAEVLCMDYNRSEATTASPKSFPAKPTLPGPPGAPSSGG ECPSGGPSVCTCREPFVPILKESHPLYNKVRTGQVPNCAVPCYQPSFSPDERTFATFWIG LWSVLCFISTSTTVATFLIDMERFRYPERPIIFLSACYLCVSLGFLVRLVVGHASVACSR EHSHIHYETTGPALCTVVFLLVYFFGMASSIWWVILSLTWFLAAGMKWGNEAIAGYAQYF HLAAWLIPSVKSITALALSSVDGDPVAGICYVGNQNLNSLRGFVLGPLVLYLLVGTLFLL AGFVSLFRIRSVIKQGGTKTDKLEKLMIRIGIFTLLYTVPASIVVACYLYEQHYRESWEA ALTCACPGPDAGQPRAKPEYWVLMLKYFMCLVVGITSGVWIWSGKTLESWRRFTSRCCCS SRRGHKSGGAMAAGDYAEASAALTGRTGPPGPTAAYHKQVSLSHV >ENSMUSP00000075122.1 pep:known chromosome:GRCm38:7:107822523:107823467:-1 gene:ENSMUSG00000095910.1 transcript:ENSMUST00000075704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr469 description:olfactory receptor 469 [Source:MGI Symbol;Acc:MGI:3030303] MAFLEDANHTAVTEFILLGLTDDPVLKVVLFSIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASVDVGYSSTVTPKMLANFLVERSTISYLGCTIQLFSGAFFGTLECFLLAT MAYDRFIAICNPLLYSTKMSTQVCIQLLVGSYIGGFLNASSFLLSFFPLLFCGPNRVNHF FCDLAPLIELSCSGSNVPIVPASFCSAFVIIVTVFVIAISYTYILITILKMRSTEGRQKA FSTCTSHLTAVTLFYGTITFIYVMPKSSFSTDQNKVVSVFYMVVIPMLNPLIYSLRNNEI KGALKRQLARKIFS >ENSMUSP00000028360.1 pep:known chromosome:GRCm38:2:24276954:24283426:1 gene:ENSMUSG00000026983.10 transcript:ENSMUST00000028360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1f5 description:interleukin 1 family, member 5 (delta) [Source:MGI Symbol;Acc:MGI:1859325] MMVLSGALCFRMKDSALKVLYLHNNQLLAGGLHAEKVIKGEEISVVPNRALDASLSPVIL GVQGGSQCLSCGTEKGPILKLEPVNIMELYLGAKESKSFTFYRRDMGLTSSFESAAYPGW FLCTSPEADQPVRLTQIPEDPAWDAPITDFYFQQCD >ENSMUSP00000116122.1 pep:known chromosome:GRCm38:2:24277014:24280908:1 gene:ENSMUSG00000026983.10 transcript:ENSMUST00000123053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1f5 description:interleukin 1 family, member 5 (delta) [Source:MGI Symbol;Acc:MGI:1859325] MMVLSGALCFRMKDSALKVLYLHNNQLLAGGLHAEKVIKGEEISVVPNRALDASLSPVIL GVQGGSQCLSCG >ENSMUSP00000110134.1 pep:known chromosome:GRCm38:2:24277271:24282076:1 gene:ENSMUSG00000026983.10 transcript:ENSMUST00000114490.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1f5 description:interleukin 1 family, member 5 (delta) [Source:MGI Symbol;Acc:MGI:1859325] MMVLSGALCFRMKDSALKVLYLHNNQLLAGGLHAEKVIKGEEISVVPNRALDASLSPVIL GVQGGSQCLSCGTEKGPILKLEPVNIMELYLGAKESKSFTFYRRDMGLTSSFESAAYPGW FLCTSPEADQPVRLTQIPEDPAWDAPITDFYFQQCD >ENSMUSP00000141512.1 pep:known chromosome:GRCm38:2:24277272:24282070:1 gene:ENSMUSG00000026983.10 transcript:ENSMUST00000147885.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1f5 description:interleukin 1 family, member 5 (delta) [Source:MGI Symbol;Acc:MGI:1859325] MMVLSGALCFRMKDSALKVLYLHNNQLLAGGLHAEKVIKGEEISVVPNRALDASLSPVIL GVQGGSQCLSCGTEKGPILKLE >ENSMUSP00000126028.1 pep:known chromosome:GRCm38:2:24276954:24282432:1 gene:ENSMUSG00000026983.10 transcript:ENSMUST00000168941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1f5 description:interleukin 1 family, member 5 (delta) [Source:MGI Symbol;Acc:MGI:1859325] MMVLSGALCFRMKDSALKVLYLHNNQLLAGGLHAEKVIKGEEISVVPNRALDASLSPVIL GVQGGSQCLSCGTEKGPILKLEPVNIMELYLGAKESKSFTFYRRDMGLTSSFESAAYPGW FLCTSPEADQPVRLTQIPEDPAWDAPITDFYFQQCD >ENSMUSP00000060206.4 pep:known chromosome:GRCm38:14:101197690:101200069:-1 gene:ENSMUSG00000042888.10 transcript:ENSMUST00000057718.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr30 description:proline rich 30 [Source:MGI Symbol;Acc:MGI:1923877] MLPVNKDQVLLQNTVPPGCPPQVLSQFVNSPAPNLASLCPHPTLPSSHFPLPAPPQAYFF SSLTQTHSPGPHFSSDSNSDFVPPHSSSHPRSSSCFGQNYTYFGEKLPSPHSISPSNYQL CVSPPLTGSSSLSQLQHSSPHSCQSPSRLQDLQSPKITSPVPSSPSPRIQNNKQTWQWPQ SGSIKSSRGAGVCVPSKVDPAEFKDSGTLTQALVDHVGRRRIARDLQIQFLQRLWLGTPG HAPVVEYPICLVCLQIRTPSCPTPKYKTVPQLLAFPQLLPCVQGQESGPLRIGIGFGLRL PRGQARALHLLPPKNSTPVGVESQEEALQRQKSTIQESVQITGTLFQARSLRSIDLQSPK PSQCSRSLLQEPRQVAASPKAGPSVSKRSVTLGSILRKSPS >ENSMUSP00000139590.1 pep:known chromosome:GRCm38:14:101197698:101200330:-1 gene:ENSMUSG00000042888.10 transcript:ENSMUST00000187304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr30 description:proline rich 30 [Source:MGI Symbol;Acc:MGI:1923877] MLPVNKDQVLLQNTVPPGCPPQVLSQFVNSPAPNLASLCPHPTLPSSHFPLPAPPQAYFF SSLTQTHSPGPHFSSDSNSDFVPPHSSSHPRSSSCFGQNYTYFGEKLPSPHSISPSNYQL CVSPPLTGSSSLSQLQHSSPHSCQSPSRLQDLQSPKITSPVPSSPSPRIQNNKQTWQWPQ SGSIKSSRGAGVCVPSKVDPAEFKDSGTLTQALVDHVGRRRIARDLQIQFLQRLWLGTPG HAPVVEYPICLVCLQIRTPSCPTPKYKTVPQLLAFPQLLPCVQGQESGPLRIGIGFGLRL PRGQARALHLLPPKNSTPVGVESQEEALQRQKSTIQESVQITGTLFQARSLRSIDLQSPK PSQCSRSLLQEPRQVAASPKAGPSVSKRSVTLGSILRKSPS >ENSMUSP00000067075.3 pep:known chromosome:GRCm38:X:60055956:60179089:-1 gene:ENSMUSG00000031139.14 transcript:ENSMUST00000063507.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2 description:mcf.2 transforming sequence [Source:MGI Symbol;Acc:MGI:96932] MAEAGPPPARFPPARLPPAGSSRAGLPPTGSPPASTPALASQWLAVKRLPRAAAKAPNLQ LNQDHCSFVPFTQAVPVQVDMAMALHRVRIAEKYGKNYLCLLQAVFLAETGLLLQLKDIN HFLMQDIAFLSGGRGKDNAWIITFPENCNFRCIPEEVIAKVLNYLTSIARQSGSDSRFTI ILDRRLDTWASLKISLQKISASFPGSLHLVLVLRPTSFLQRTFTDIGFRFSQEDFMLKLP VVMLSSVSDLLTYIDDKQLTPELGGTLQYCHSEWIIFRNAIEKFAVTVKEMAQMLQSFGT ELAETELPQDILSIEEILAGRAERYHLLKNDLTAVTKEGKVLLMNLQVPATEETVSSSLE CTQHINGDWQTIKKLLAQVHDMETAFDGFWEKHQLKMEQYLQLWKFEQDFQEAVTQVEFL LSQQRELGDITGNLAQVKQRLKKLEILDDKSQELLTKARIVILRGHKLASNHHYALDLIC QRCNELRYLSDILVNEIRTKRVQLSRTFKVHRLLQQARQCCDQGECLLASQGMDKFQTKE DAQKALQDVDNFLQMAMPFINYDIESLQYEFDVLLSPELKAQMQNIQLKLENIRSAFQNQ QAGCKSLKEVPEGAFQNLVPASENVMRSRMIFFSPKHVKKSWRQIHAQSNVKVEAVEDSQ EKNSDQSPKLDNSLDILKNHVLNELIQTERAYVRELFTVLLGYRSEMDNPQMFDLMPPLL RNKKDVLFGNMAEIYEFHNNIFMSRLEDCSDAPERVGPCFLERKDDFQMYAKYCQNKPRS ELIWRKYSECAFFQECQRKLKHRLGLDSYLLKPVQRITKYQLLLKELLKYSKEGEGTTKL KEALDSMLELLKSVNDSMHQTAINGYVGNINELGKMVLQGSFNVWLGHRKGATKMKDFAR FKPMQRHLFLYEKAVMFCKRRFESGEGADRYPSYSFKHCLKMEDVGITEHVKGDNRKFEI RYSEKEEIYIVQAPNVDVKMLWLKEIRKILVQQKELMTAKTQQDQALDQDQLFPQQQNAE LCKSSPFCVCEETLFNATEAGAEVEQAGALIKVREAAVLAQAEASSAAWNGMLPSAEGAA AIAEHSYNYFSSNNDHGEDRTQMRHMSEVTF >ENSMUSP00000033478.4 pep:known chromosome:GRCm38:X:60055959:60147643:-1 gene:ENSMUSG00000031139.14 transcript:ENSMUST00000033478.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2 description:mcf.2 transforming sequence [Source:MGI Symbol;Acc:MGI:96932] MAEANPPRGKMRFRRNAASFPGSLHLVLVLRPTSFLQRTFTDIGFRFSQEDFMLKLPVVM LSSVSDLLTYIDDKQLTPELGGTLQYCHSEWIIFRNAIEKFAVTVKEMAQMLQSFGTELA ETELPQDILSIEEILAGRAERYHLLKNDLTAVTKEGKVLLMNLQVPATEETVSSSLECTQ HINGDWQTIKKLLAQVHDMETAFDGFWEKHQLKMEQYLQLWKFEQDFQEAVTQVEFLLSQ QRELGDITGNLAQVKQRLKKLEILDDKSQELLTKARIVILRGHKLASNHHYALDLICQRC NELRYLSDILVNEIRTKRVQLSRTFKVHRLLQQARQCCDQGECLLASQGMDKFQTKEDAQ KALQDVDNFLQMAMPFINYDIESLQYEFDVLLSPELKAQMQNIQLKLENIRSAFQNQQAG CKSLKEVPEGAFQNLVPASENVMRSRMIFFSPKHVGIGYSFFQACRIFLKVKKSWRQIHA QSNVKVEAVEDSQEKNSDQSPKLDNSLDILKNHVLNELIQTERAYVRELFTVLLGYRSEM DNPQMFDLMPPLLRNKKDVLFGNMAEIYEFHNNIFMSRLEDCSDAPERVGPCFLERKDDF QMYAKYCQNKPRSELIWRKYSECAFFQECQRKLKHRLGLDSYLLKPVQRITKYQLLLKEL LKYSKEGEGTTKLKEALDSMLELLKSVNDSMHQTAINGYVGNINELGKMVLQGSFNVWLG HRKGATKMKDFARFKPMQRHLFLYEKAVMFCKRRFESGEGADRYPSYSFKHCLKMEDVGI TEHVKGDNRKFEIRYSEKEEIYIVQAPNVDVKMLWLKEIRKILVQQKELMTAKTQQDQAL DQDQLFPQQQNAELCKSSPFCVCEETLFNATEAGAEVEQAGALIKVREAAVLAQAEASSA AWNGMLPSAEGAAAIAEHSYNYFSSNNDHGEDRTQMRHMSEVTF >ENSMUSP00000099070.3 pep:known chromosome:GRCm38:X:60055956:60147700:-1 gene:ENSMUSG00000031139.14 transcript:ENSMUST00000101531.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2 description:mcf.2 transforming sequence [Source:MGI Symbol;Acc:MGI:96932] MAEANPPRGKMRFRRNAASFPGSLHLVLVLRPTSFLQRTFTDIGFRFSQEDFMLKLPVVM LSSVSDLLTYIDDKQLTPELGGTLQYCHSEWIIFRNAIEKFAVTVKEMAQMLQSFGTELA ETELPQDILSIEEILAGRAERYHLLKNDLTAVTKEGKVLLMNLQVPATEETVSSSLECTQ HINGDWQTIKKLLAQVHDMETAFDGFWEKHQLKMEQYLQLWKFEQDFQEAVTQVEFLLSQ QRELGDITGNLAQVKQRLKKLEILDDKSQELLTKARIVILRGHKLASNHHYALDLICQRC NELRYLSDILVNEIRTKRVQLSRTFKVHRLLQQARQCCDQGECLLASQGMDKFQTKEDAQ KALQDVDNFLQMAMPFINYDIESLQYEFDVLLSPELKAQMQNIQLKLENIRSAFQNQQAG CKSLKEVPEGAFQNLVPASENVMRSRMIFFSPKHVKKSWRQIHAQSNVKVEAVEDSQEKN SDQSPKLDNSLDILKNHVLNELIQTERAYVRELFTVLLGYRSEMDNPQMFDLMPPLLRNK KDVLFGNMAEIYEFHNNIFMSRLEDCSDAPERVGPCFLERKDDFQMYAKYCQNKPRSELI WRKYSECAFFQECQRKLKHRLGLDSYLLKPVQRITKYQLLLKELLKYSKEGEGTTKLKEA LDSMLELLKSVNDSMHQTAINGYVGNINELGKMVLQGSFNVWLGHRKGATKMKDFARFKP MQRHLFLYEKAVMFCKRRFESGEGADRYPSYSFKHCLKMEDVGITEHVKGDNRKFEIRYS EKEEIYIVQAPNVDVKMLWLKEIRKILVQQKELMTAKTQQDQALDQDQLFPQQQNAELCK SSPFCVCEETLFNATEAGAEVEQAGALIKVREAAVLAQAEASSAAWNGMLPSAEGAAAIA EHSYNYFSSNNDHGEDRTQMRHMSEVTF >ENSMUSP00000034941.7 pep:known chromosome:GRCm38:9:92457373:92492456:1 gene:ENSMUSG00000032377.8 transcript:ENSMUST00000034941.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr4 description:phospholipid scramblase 4 [Source:MGI Symbol;Acc:MGI:2143267] MSGLVPTAPEQPTEEMENQIKSPTAVPDAPPDYNSHFAPGPAGPVASPSAGLPMGYYIPQ QPGAIPLYHPTGGTHPIQYQPGKYPVTNQPAPIMWMAGPAPVPNCPPGLEYLAQLDNIHV LQHVEPLELMTRFETNNRYDIKNNIDQMVYIVTEDTDDFTRNAYRNLRPFVLRVTDCLGR EIMTMQRPFRCTCCCFCCPCARQELEVQCPPGVTIGFVAEHWNLCRASYSIQNEKKESMM RVRGPCATYGCGSDSVFEINSLDGVSNIGSIIRKWNGFLSTMVNADHFEIRFPLALDVKM KAMIFGSCFLIDFMYFERPPPRRMSR >ENSMUSP00000102014.2 pep:known chromosome:GRCm38:4:118136957:118180043:-1 gene:ENSMUSG00000033326.15 transcript:ENSMUST00000106406.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4a description:lysine (K)-specific demethylase 4A [Source:MGI Symbol;Acc:MGI:2446210] MASESETLNPSARIMTFYPTMEEFRNFSRYIAYIESQGAHRAGLAKVVPPKEWKPRTSYD DIDDLVIPAPIQQLVTGQSGLFTQYNIQKKAMTVREFRKIANSDKYCTPRYSEFEELERK YWKNLTFNPPIYGADVNGTLYEQHVDEWNIGRLKTILDLVEKESGITIEGVNTPYLYFGM WKTSFAWHTEDMDLYSINYLHFGEPKSWYSVPPEHGKRLERLAKGFFPGSAQSCEAFLRH KMTLISPLMLKKYGIPFDKVTQEAGEFMITFPYGYHAGFNHGFNCAESTNFATRRWIEYG KQAVLCSCRKDMVKISMDVFVRRFQPERYKLWKAGKDSMVIDHTLPTPEAAEFLKDSGGL TPRAGSEECPEEDVEAADQGEEGDVKRSLAKHRIGTKRHRVCLEIPQEVSQSELFPKEEL SSGQYEMTECPATLAPVRPTHSSVRQVEDSLPFPDYSDPTEVKFEELKNVKLEEEDEEDE PEAAALDLSVNPASVGGRLVFSGSKKKSSSSLGSTSSQDSVSSDSETAESVSCQGQEKTG VLTVHSYARGDGKAATGEPSVKKKRSAPRSISEQELAEVADEYMLSLEENKKTKGRRQPL SKLPRHHPLVLQECGSDDETSEQLTPEEEAEETEAWAKPLSQLWQNRPPNFEAEKEFNEI MAQQAPHCAVCMIFQTYHQVEFGAFSQSCGDASEPAAQTQRTKPLIPEMCFTTTGCSTDI NLSTPYLEEDGTSMLVSCKKCSVRVHASCYGVPPAKASEEWMCSRCSANALEEDCCLCSL RGGALQRANDDRWVHVSCAVAILEARFVNIAERSPVDVSKIPLPRFKLKCVFCKKRRKRN AGCCVQCSHGRCPTAFHVSCAQAAGVMMQPDDWPFVVFITCFRHKIPNLERAKGALLSIT AGQKVISKHKNGRFYQCEVVRLTTETFYEVNFDDGSFSDNLYPEDIVSQDCLQLGPPAEG EVVQVRWTDGQVYGAKFVASHPIQMYQVEFEDGSQLVVKRDDVYTLDEELPKRVKSRLSV ASDMRFNEIFTEKEVKQEKKRQRVINSRYREDYIEPALYRAIME >ENSMUSP00000095524.2 pep:known chromosome:GRCm38:4:118136959:118179654:-1 gene:ENSMUSG00000033326.15 transcript:ENSMUST00000097911.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4a description:lysine (K)-specific demethylase 4A [Source:MGI Symbol;Acc:MGI:2446210] MASESETLNPSARIMTFYPTMEEFRNFSRYIAYIESQGAHRAGLAKVVPPKEWKPRTSYD DIDDLVIPAPIQQLVTGQSGLFTQYNIQKKAMTVREFRKIANSDKYCTPRYSEFEELERK YWKNLTFNPPIYGADVNGTLYEQHVDEWNIGRLKTILDLVEKESGITIEGVNTPYLYFGM WKTSFAWHTEDMDLYSINYLHFGEPKSWYSVPPEHGKRLERLAKGFFPGSAQSCEAFLRH KMTLISPLMLKKYGIPFDKVTQEAGEFMITFPYGYHAGFNHGFNCAESTNFATRRWIEYG KQAVLCSCRKDMVKISMDVFVRRFQPERYKLWKAGKDSMVIDHTLPTPEAAEFLKDSGGL TPRAGSEECPEEDVEAADQGEEGDVKRSLAKHRIGTKRHRVCLEIPQEVSQSELFPKEEL SSGQYEMTECPATLAPVRPTHSSVRQVEDSLPFPDYSDPTEVKFEELKNVKLEEEDEEDE PEAAALDLSVNPASVGGRLVFSGSKKKSSSSLGSTSSQDSVSSDSETAESVSCQGQEKTG VLTVHSYARGDGKAATGEPSVKKKRSAPRSISEQELAEVADEYMLSLEENKKTKGRRQPL SKLPRHHPLVLQECGSDDETSEQLTPEEEAEETEAWAKPLSQLWQNRPPNFEAEKEFNEI MAQQAPHCAVCMIFQTYHQVEFGAFSQSCGDASEPAAQTQRTKPLIPEMCFTTTGCSTDI NLSTPYLEEDGTSMLVSCKKCSVRVHASCYGVPPAKASEEWMCSRCSANALEEDCCLCSL RGGALQRANDDRWVHVSCAVAILEARFVNIAERSPVDVSKIPLPRFKLKCVFCKKRRKRN AGCCVQCSHGRCPTAFHVSCAQAAGVMMQPDDWPFVVFITCFRHKIPNLERAKGALLSIT AGQKVISKHKNGRFYQCEVVRLTTETFYEVNFDDGSFSDNLYPEDIVSQDCLQLGPPAEG EVVQVRWTDGQVYGAKFVASHPIQMYQVEFEDGSQLVVKRDDVYTLDEELPKRVKSRLSV ASDMRFNEIFTEKEVKQEKKRQRVINSRYREDYIEPALYRAIME >ENSMUSP00000102011.1 pep:known chromosome:GRCm38:4:118137006:118179978:-1 gene:ENSMUSG00000033326.15 transcript:ENSMUST00000106403.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4a description:lysine (K)-specific demethylase 4A [Source:MGI Symbol;Acc:MGI:2446210] MASESETLNPSARIMTFYPTMEEFRNFSRYIAYIESQGAHRAGLAKVVPPKEWKPRTSYD DIDDLVIPAPIQQLVTGQSGLFTQYNIQKKAMTVREFRKIANSDKYCTPRYSEFEELERK YWKNLTFNPPIYGADVNGTLYEQHVDEWNIGRLKTILDLVEKESGITIEGVNTPYLYFGM WKTSFAWHTEDMDLYSINYLHFGEPKSWYSVPPEHGKRLERLAKGFFPGSAQSCEAFLRH KMTLISPLMLKKYGIPFDKVTQEAGEFMITFPYGYHAGFNHGFNCAESTNFATRRWIEYG KQAVLCSCRKDMVKISMDVFVRRFQPERYKLWKAGKDSMVIDHTLPTPEAAEFLKDSGGL TPRAGSEECPEEDVEAADQGEEGDVKRSLAKHRIGTKRHRVCLEIPQEVSQSELFPKEEL SSGQYEMTECPATLAPVRPTHSSVRQVEDSLPFPDYSDPTEVKFEELKNVKLEEEDEEDE PEAAALDLSVNPASVGGRLVFSGSKKKSSSSLGSTSSQDSVSSDSETAESVSCQGQEKTG VLTVHSYARGDGKAATGEPSVKKKRSAPRSISEQELAEVADEYMLSLEENKKTKGRRQPL SKLPRHHPLVLQECGSDDETSEQLTPEEEAEETEAWAKPLSQLWQNRPPNFEAEKEFNEI MAQQAPHCAVCMIFQTYHQVEFGAFSQSCGDASEPAAQTQRTKPLIPEMCFTTTGCSTDI NLSTPYLEEDGTSMLVSCKKCSVRVHASCYGVPPAKASEEWMCSRCSANALEEDCCLCSL RGGALQRANDDRWVHVSCAVAILEARFVNIAERSPVDVSKIPLPRFKLKCVFCKKRRKRN AGCCVQCSHGRCPTAFHVSCAQAAGVMMQPDDWPFVVFITCFRHKIPNLERAKGALLSIT AGQKVISKHKNGRFYQCEVVRLTTETFYEVNFDDGSFSDNLYPEDIVSQDCLQLGPPAEG EVVQVRWTDGQVYGAKFVASHPIQMYQVEFEDGSQLVVKRDDVYTLDEELPKRVKSRLSV ASDMRFNEIFTEKEVKQEKKRQRVINSRYREDYIEPALYRAIME >ENSMUSP00000062910.8 pep:known chromosome:GRCm38:4:118142043:118179946:-1 gene:ENSMUSG00000033326.15 transcript:ENSMUST00000050288.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4a description:lysine (K)-specific demethylase 4A [Source:MGI Symbol;Acc:MGI:2446210] MASESETLNPSARIMTFYPTMEEFRNFSRYIAYIESQGAHRAGLAKVVPPKEWKPRTSYD DIDDLVIPAPIQQLVTGQSGLFTQYNIQKKAMTVREFRKIANSDKYCTPRYSEFEELERK YWKNLTFNPPIYGADVNGTLYEQHVDEWNIGRLKTILDLVEKESGITIEGVNTPYLYFGM WKTSFAWHTEDMDLYSINYLHFGEPKSWYSVPPEHGKRLERLAKGFFPGSAQSCEAFLRH KMTLISPLMLKKYGIPFDKVTQEAGEFMITFPYGYHAGFNHGFNCAESTNFATRRWIEYG KQAVLCSCRKDMVKISMDVFVRRFQPERYKLWKAGKDSMVIDHTLPTPEAAEFLKDSGGL TPRAGSEECPEEDVEAADQGEEGDVKRSLAKHRIGTKRHRVCLEIPQEVSQSELFPKEEL SSGQYEMTECPATLAPVRPTHSSVRQVEDSLPFPDYSDPTEVKFEELKNVKLEEEDEEDE PEAAALDLSVNPASVGGRLVFSGSKKKSSSSLGSTSSQDSVSSDSETAESVSCQGQEKTG VLTVHSYARGDGKAATGEPSVKKKRSAPRSISEQELAEVADEYMLSLEENKKTKGRRQPL SKLPRHHPLVLQECGSDDETSEQLTPEEEAEETEAWAKPLSQLWQNRPPNFEAEKEFNEI MAQQAPHCAVCMIFQTYHQVEFGAFSQSCGDASEPAAQTQRTKPLIPEMCFTTTGCSTDI NLSTPYLEEDGTSMLVSCKKCSVRVHASCYGVPPAKASEEWMCSRCSANALEEDCCLCSL RGGALQRANDDRWVHVSCAVAILEARFVNIAERSPVDVSKIPLPRFKLKCVFCKKRRKRN AGCCVQCSHGRCPTAFHVSCAQAAGVMMQPDDWPFVVFITCFRHKIPNLERAKGALLSIT AGQKVISKHKNGRFYQCEVVRLTTETFYEVNFDDGSFSDNLYPEDIVVMSESGFWQHFGS GTSSCYCRLDDCGLFACPWSVSKQKEPLFPGSLSRKSGHAGALSFPEEFRGVSVPCSPLK YAYISDQIISNSI >ENSMUSP00000143739.1 pep:known chromosome:GRCm38:5:21186267:21291701:1 gene:ENSMUSG00000039934.12 transcript:ENSMUST00000198014.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gsap description:gamma-secretase activating protein [Source:MGI Symbol;Acc:MGI:2442259] MALRLVTHFDVLEDVLPSLLTQAATTDEGDRAGVLETTYGSLRVLNIERNGNIIYTYKDN KGNAVFGLYDCQTRQNEHLYTFEKDMQAVSCSVNSERTVLAASFIQYTTEGVKNDLQPGS KCLTLLVEIHPVNNVKVLKAVDSCVWVQFLYPQAESHLLPQNHLLLISEEKYIERFHIQI TREDGDRVMESVGNIKF >ENSMUSP00000043679.8 pep:known chromosome:GRCm38:5:21186289:21291723:1 gene:ENSMUSG00000039934.12 transcript:ENSMUST00000036031.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsap description:gamma-secretase activating protein [Source:MGI Symbol;Acc:MGI:2442259] MALRLVTHFDVLEDVLPSLLTQAATTDEGDRAGVLETTYGSLRVLNIERNGNIIYTYKDN KGNAVFGLYDCQTRQNEHLYTFEKDMQAVSCSVNSERTVLAASFIQYTTEGVKNDLQPGS KCLTLLVEIHPVNNVKVLKAVDSCVWVQFLYPQAESHLLPQNHLLLISEEKYIERFHIQI TREDGDRVVIRNSSHLPRDRLAEDFVWAQWDLSEQRLYYIELKESRSILKCIQFRADESF NLMFEMPLDITLTGLRFKLVNFGYDYRQDREKLCNQPSLCIFTNHTGSLCMCYSPKSDSR EEITYSVFYLHKGYRKIFTAAPGSADSQVTNGADSQVTDGIAFLNLGYFVAVYSPGHFLH LLNIQHPDLVCHSLFLTGNNKIAAVLPPSPLQSLPGSLVLDCYSGKVYRVTLDQSYLLRF LWNAHLDCERMAALHCILSCSQDPGFPEEQIIQWISEHVSACHSFDLIQEFLIASSYWSV YAELDDMGMLLQYSSVLTWNTEIPGIKFTTEELPLPLMKVYGLKGYWAKLNSNLEYIKYT KPHLHYHNSVVRREWHNLISEERTGKRRSTMYVRNILENAMKVIASMETRTLEPRLIPFL QEEDRHQRLLMGLMVSELRDHLLRHLQGVEKKKIEQMVLDYISKLLDLIWCLLETSWRKH SMHPLVLHLNSHCSAADFEVFHLMTRILDAASSLCLPLPPGFHSLHTILGVHCLPLYSLL HYIDNGVLLLTETAVTRLMKDLDNSEKNEQLKFSIIVRLPPLIGQKVCRLWDHPMSSNII SRNHVARLLKNYRKEPRNSMIDKSSFPVEFLPLNYFIEILMGLESSNQALYGFEGHDNVD AEFVEEAALKHTTMLLGL >ENSMUSP00000142407.1 pep:known chromosome:GRCm38:5:21186312:21251790:1 gene:ENSMUSG00000039934.12 transcript:ENSMUST00000198071.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gsap description:gamma-secretase activating protein [Source:MGI Symbol;Acc:MGI:2442259] MALRLVTHFDVLEDVLPSLLTQAATTDEGDRAGVLETTYGSLRVLNIERNGNIIYTYKDN KGNAVFGLYDCQTRQNEHLYTFEKDMQAVSCSVNSERTVLAASFIQYTTEGVKNDLQPGS KCLTLLVEIHPVNNVKVLKAVDSCVWVQFLYPQAESHLLPQNHLLLISEEKF >ENSMUSP00000142560.1 pep:known chromosome:GRCm38:5:21186313:21288642:1 gene:ENSMUSG00000039934.12 transcript:ENSMUST00000195969.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gsap description:gamma-secretase activating protein [Source:MGI Symbol;Acc:MGI:2442259] MALRLVTHFDVLEDVLPSLLTQAATTDEGDRAGVLETTYGSLRVLNIERNGNIIYTYKDN KGNAVFGLYDCQTRQNEHLYTFEKDMQAVSCSVNSERTVLAASFIQYTTEGVKNDLQPGS KCLTLLVEIHPVNNVKVLKAVDSCVWVQFLYPQAESHLLPQNHLLLISEEKCD >ENSMUSP00000142986.1 pep:known chromosome:GRCm38:5:21186313:21288642:1 gene:ENSMUSG00000039934.12 transcript:ENSMUST00000198937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsap description:gamma-secretase activating protein [Source:MGI Symbol;Acc:MGI:2442259] MALRLVTHFDVLEDVLPSLLTQAATTDEGDRAGVLETTYGSLRVLNIERNGNIIYTYKDN KGNAVFGLYDCQTRQNEHLYTFEKDMQAVSCSVNSERTVLAASFIQYTTEGVKNDLQPGS KCLTLLVEIHPVNNVKVLKAVDSCVWVQFLYPQAESHLLPQNHLLLISEEKYIERFHIQI TREDGDRVVIRNSSHLPRDRLAEDFVWAQWDLSEQRLYYIELKESRSILKCIQFRADESF NLMFEMPLDITLTGLRFKLVNFGYDYRQDREKLCNQPSLCIFTNHTGSLCMCYSPKSDSR EEITYSVFYLHKGYRKIFTAAPGSADSQVTNGADSQVTDGIAFLNLGNNKIAAVLPPSPL QSLPGSLVLDCYSGKVYRVTLDQSYLLRFLWNAHLDCERMAALHCILSCSQDPGFPEEQI IQWISEHVSACHSFDLIQEFLIASSYWSVYAELDDMGMLLQYSSVLTWNTEIPGIKFTTE ELPLPLMKVYGLKGYWAKLNSNLEYIKYTKPHLHYHNSVVRREWHNLISEERTGKRRSTM YVRNILENAMKVIASMETRTLEPRLIPFLQEEDRHQRLLMGLMVSELRDHLLRHLQGVEK KKIEQMVLDYISKLLDLIWCLLETSWRKHSMHPLVLHLNSHCSAADFEVFHLMTRILDAA SSLCLPLPPGFHSLHTILGVHCLPLYSLLHYIDNGVLLLTETAVTRLMKDLDNSEKNEQL KFSIIVRL >ENSMUSP00000142596.1 pep:known chromosome:GRCm38:5:21289789:21315131:1 gene:ENSMUSG00000039934.12 transcript:ENSMUST00000198930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsap description:gamma-secretase activating protein [Source:MGI Symbol;Acc:MGI:2442259] LIGQKVCRLWDHPMSSNIISRNHVARLLKNYRKEPRNSMIDKSSFPVEFLPLNYFIEILM GLESSNQALYGFEGHDNVDAEFVEEAALKHTTMLLGL >ENSMUSP00000033494.9 pep:known chromosome:GRCm38:X:7841831:7876626:1 gene:ENSMUSG00000031154.15 transcript:ENSMUST00000033494.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud5 description:OTU domain containing 5 [Source:MGI Symbol;Acc:MGI:1859615] MTILPKKKPPPPDADPANEPPPPGPLPPAPRRGAGVGVGGGGTGVGGGERDRDSGVVGAR PRASPPPQGPLPGPPGALHRWALAVPPGAVAGPRPQQASPPPCGGPGGPGGGPGDALGAT TAGVGAAGVVVGVGGTVGVGGCCSGPGHSKRRRQAPGVGAVGGASPEREEVGAGYNSEDE YEAAAARIEAMDPATVEQQEHWFEKALRDKKGFIIKQMKEDGACLFRAVADQVYGDQDMH EVVRKHCMDYLMKNADYFSNYVTEDFTTYINRKRKNNCHGNHIEMQAMAEMYNRPVEVYQ YSTEPINTFHGIHQNEDEPIRVSYHRNIHYNSVVNPNKATIGVGLGLPSFKPGFAEQSLM KNAIKTSEESWIEQQMLEDKKRATDWEATNEAIEEQVARESYLQWLRDQEKQARQVRGPS QPRKASATCSSATAAASSGLEEWTSRSPRQRSSASSPEHPELHAELGIKPPSPGTVLALA KPPSPCAPGTSSQFSAGGDRATSPLVSLYPALECRALIQQMSPSAFGLNDWDDDEILASV LAVSQQEYLDSMKKNKVHREPPPDKS >ENSMUSP00000111330.1 pep:known chromosome:GRCm38:X:7841856:7874860:1 gene:ENSMUSG00000031154.15 transcript:ENSMUST00000115666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud5 description:OTU domain containing 5 [Source:MGI Symbol;Acc:MGI:1859615] MTILPKKKPPPPDADPANEPPPPGPLPPAPRRGAGVGVGGGGTGVGGGERDRDSGVVGAR PRASPPPQGPLPGPPGALHRWALAVPPGAVAGPRPQQASPPPCGGPGGPGGGPGDALGAT TAGVGAAGVVVGVGGTVGVGGCCSGPGHSKRRRQAPGVGAVGGASPEREEVGAGYNSEDE YEAAAARIEAMDPATVEQQEHWFEKALRDKKGFIIKQMKEDGACLFRAVADQVYGDQDMH EVVRKHCMDYLMKNADYFSNYVTEDFTTYINRKRKNNCHGNHIEMQAMAEMYNRPVEVYQ YSTEPINTFHGIHQNEDEPIRVSYHRNIHYNSVVNPNKATIGVGLGLPSFKPGFAEQSLM KNAIKTSEESWIEQQMLEDKKRATDWEATNEAIEEQVARESYLQWLRDQEKQARQVRGPS QPRKASATCSSATAAASSGLEEWTSRSPRQRSSASSPEHPELHAELGIKPPSPGTVLALA KPPSPCAPGTSSQFSAGGDRATSPLVSLYPALECRALIQQMSPSAFAGLNDWDDDEILAS VLAVSQQEYLDSMKKNKVHREPPPDKS >ENSMUSP00000111331.3 pep:known chromosome:GRCm38:X:7842056:7875285:1 gene:ENSMUSG00000031154.15 transcript:ENSMUST00000115667.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud5 description:OTU domain containing 5 [Source:MGI Symbol;Acc:MGI:1859615] MTILPKKKPPPPDADPANEPPPPGPLPPAPRRGAGVGVGGGGTGVGGGERDRDSGVVGAR PRASPPPQGPLPGPPGALHRWALAVPPGAVAGPRPQQASPPPCGGPGGPGGGPGDALGAT TAGVGAAGVVVGVGGTVGVGGCCSGPGHSKRRRQAPGVGAVGGASPEREEVGAGYNSEDE YEAAAARIEAMDPATVEQQEHWFEKALRDKKGFIIKQMKEDGACLFRAVADQVYGDQDMH EVVRKHCMDYLMKNADYFSNYVTEDFTTYINRKRKNNCHGNHIEMQAMAEMYNRPVEVYQ YSTGTSVVEPINTFHGIHQNEDEPIRVSYHRNIHYNSVVNPNKATIGVGLGLPSFKPGFA EQSLMKNAIKTSEESWIEQQMLEDKKRATDWEATNEAIEEQVARESYLQWLRDQEKQARQ VRGPSQPRKASATCSSATAAASSGLEEWTSRSPRQRSSASSPEHPELHAELGIKPPSPGT VLALAKPPSPCAPGTSSQFSAGGDRATSPLVSLYPALECRALIQQMSPSAFGLNDWDDDE ILASVLAVSQQEYLDSMKKNKVHREPPPDKS >ENSMUSP00000111332.3 pep:known chromosome:GRCm38:X:7842082:7875290:1 gene:ENSMUSG00000031154.15 transcript:ENSMUST00000115668.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud5 description:OTU domain containing 5 [Source:MGI Symbol;Acc:MGI:1859615] MTILPKKKPPPPDADPANEPPPPGPLPPAPRRGAGVGVGGGGTGVGGGERDRDSGVVGAR PRASPPPQGPLPGPPGALHRWALAVPPGAVAGPRPQQASPPPCGGPGGPGGGPGDALVGG ASPEREEVGAGYNSEDEYEAAAARIEAMDPATVEQQEHWFEKALRDKKGFIIKQMKEDGA CLFRAVADQVYGDQDMHEVVRKHCMDYLMKNADYFSNYVTEDFTTYINRKRKNNCHGNHI EMQAMAEMYNRPVEVYQYSTEPINTFHGIHQNEDEPIRVSYHRNIHYNSVVNPNKATIGV GLGLPSFKPGFAEQSLMKNAIKTSEESWIEQQMLEDKKRATDWEATNEAIEEQVARESYL QWLRDQEKQARQVRGPSQPRKASATCSSATAAASSGLEEWTSRSPRQRSSASSPEHPELH AELGIKPPSPGTVLALAKPPSPCAPGTSSQFSAGGDRATSPLVSLYPALECRALIQQMSP SAFGLNDWDDDEILASVLAVSQQEYLDSMKKNKVHREPPPDKS >ENSMUSP00000111329.1 pep:known chromosome:GRCm38:X:7842116:7876620:1 gene:ENSMUSG00000031154.15 transcript:ENSMUST00000115665.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud5 description:OTU domain containing 5 [Source:MGI Symbol;Acc:MGI:1859615] MTILPKKKPPPPDADPANEPPPPGPLPPAPRRGAGVGVGGGGTGVGGGERDRDSGVVGAR PRASPPPQGPLPGPPGALHRWALAVPPGAVAGPRPQQASPPPCGGPGGPGGGPGDALVGG ASPEREEVGAGYNSEDEYEAAAARIEAMDPATVEQQEHWFEKALRDKKGFIIKQMKEDGA CLFRAVADQVYGDQDMHEVVRKHCMDYLMKNADYFSNYVTEDFTTYINRKRKNNCHGNHI EMQAMAEMYNRPVEVYQYSTEPINTFHGIHQNEDEPIRVSYHRNIHYNSVVNPNKATIGV GLGLPSFKPGFAEQSLMKNAIKTSEESWIEQQMLEDKKRATDWEATNEAIEEQVARESYL QWLRDQEKQARQPRKASATCSSATAAASSGLEEWTSRSPRQRSSASSPEHPELHAELGIK PPSPGTVLALAKPPSPCAPGTSSQFSAGGDRATSPLVSLYPALECRALIQQMSPSAFGLN DWDDDEILASVLAVSQQEYLDSMKKNKVHREPPPDKS >ENSMUSP00000041205.4 pep:known chromosome:GRCm38:4:132724897:132732546:-1 gene:ENSMUSG00000037752.4 transcript:ENSMUST00000045550.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xkr8 description:X Kell blood group precursor related family member 8 homolog [Source:MGI Symbol;Acc:MGI:2685877] MPLSVHHHVALDVVVGLVSILSFLLDLVADLWAVVQYVLLGRYLWAALVLVLLGQASVLL QLFSWLWLTADPTELHHSQLSRPFLALLHLLQLGYLYRCLHGMHQGLSMCYQEMPSECDL AYADFLSLDISMLKLFESFLEATPQLTLVLAIVLQNGQAEYYQWFGISSSFLGISWALLD YHRSLRTCLPSKPRLGRSSSAIYFLWNLLLLGPRICAIALFSAVFPYYVALHFFSLWLVL LFWIWLQGTNFMPDSKGEWLYRVTMALILYFSWFNVSGGRTRGRAVIHLIFIFSDSVLLV TTSWVTHGTWLPSGISLLMWVTIGGACFFLGLALRVIYYLWLHPSCSWDPDLVDGTLGLL SPHRPPKLIYNRRATLLAENFFAKAKARAVLTEEVQLNGVL >ENSMUSP00000052010.6 pep:known chromosome:GRCm38:11:59372669:59375657:-1 gene:ENSMUSG00000049291.6 transcript:ENSMUST00000061481.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss38 description:protease, serine 38 [Source:MGI Symbol;Acc:MGI:2685095] MAALTSGLGVLGYLLFPLLLASPTWVTSVSRRHPKSQANSLSGDVACGQPVLQGKLLGGE FARDRKWPWQVSLHYSGFHICGGSILSAYWVLSAAHCFDRGKKLETYDIYVGITNLEKAN RHTQWFEIYQVIIHPTFQMYHPIGGDVALVQLKSAIVFSDFVLPICLPPSDLYLINLSCW TTGWGMISPQGETGNELLEAQLPLIPRFQCQLLYGLSSYLLPEMLCAADIKTMKNVCEGD SGSPLVCKQNQTWLQIGIVSWGRGCAQPLYPGVFANVSYFLSWIRYHLQIIPNPPQILPS LSSSPKNTLIIFVTIMGHLLVL >ENSMUSP00000040601.7 pep:known chromosome:GRCm38:8:124793092:124834713:1 gene:ENSMUSG00000036913.14 transcript:ENSMUST00000041106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim67 description:tripartite motif-containing 67 [Source:MGI Symbol;Acc:MGI:3045323] MEEELKCPVCGSLFREPIILPCSHNVCLPCARTIAVQTPDGEQHLPPPLLLSRGAAAAAT PPDQDAAAGATSGGAGANTAGGLGGGATGGGDHADKLSLYSETDSGYGSYTPSLKSPNGV RVLPMVPAPPGSSAAAARGAACSSLCSSSSSITCPQCHRSASLDHRGLRGFQRNRLLEGI VQRYQQGRGVVPGAAAAPAVAICQLCDRTPPEPAATLCEQCDVLYCATCQLKCHPSRGPF AKHRLVQPPPPPTPPEATPAVTGTSTASSAGGCRSPGGAGASAPRKFPTCPEHEMENYSM YCVSCRSPVCYMCLEEGRHSKHEVKPLGATWKQHKAQLSQALNGVSDKAKEAKEFLVQLK NILQQIQENGLDYEACLVAQCDALVDALTRQKAKLLTKVTKEREHKLKMVWDQINHCTLK LRQSTGLMEYCLEVIKEDDPSGFLQISDALIKRVQTSQEQWVKGALEPKVSAEFDLTLDS EPLLQAIHQLDFVQMKLPPVPLLQLEKCCTRNNSVTLAWRTPPFTHSPAEGYILELDDGD GGQFREVYVGKETLCTIDGLHFNSTYNARVKAFNSSGVGPYSKTVVLQTSDVAWFTFDPN SGHRDIILSNDNQTATCSSYDDRVVLGTAAFSKGVHYWELHVDRYDNHPDPAFGVARASV VKDMMLGKDDKAWAMYVDNNRSWFMHCNSHTNRTEGGVCKGATVGVLLDLNKHTLTFFIN GQQQGPTAFSHVDGVFMPALSLNRNVQVTLHTGLEVPTNLGRPKLSGN >ENSMUSP00000130343.1 pep:known chromosome:GRCm38:8:124793019:124834702:1 gene:ENSMUSG00000036913.14 transcript:ENSMUST00000167588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim67 description:tripartite motif-containing 67 [Source:MGI Symbol;Acc:MGI:3045323] MEEELKCPVCGSLFREPIILPCSHNVCLPCARTIAVQTPDGEQHLPPPLLLSRGAAAAAT PPDQDAAAGATSGGAGANTAGGLGGGATGGGDHADKLSLYSETDSGYGSYTPSLKSPNGV RVLPMVPAPPGSSAAAARGAACSSLCSSSSSITCPQCHRSASLDHRGLRGFQRNRLLEGI VQRYQQGRGVVPGAAAAPAVAICQLCDRTPPEPAATLCEQCDVLYCATCQLKCHPSRGPF AKHRLVQPPPPPTPPEATPAVTGTSTASSAGGCRSPGGAGASAPRKFPTCPEHEMENYSM YCVSCRSPVCYMCLEEGRHSKHEVKPLGATWKQHKAQLSQALNGVSDKAKEAKEFLVQLK NILQQIQENGLDYEACLVAQCDALVDALTRQKAKLLTKVTKEREHKLKMVWDQINHCTLK LRQSTGLMEYCLEVIKEDDPSGFLQISDALIKRVQTSQEQWVKGALEPKVSAEFDLTLDS EPLLQAIHQLDFVQMKLPPVPLLQLEKCCTRNNSVTLAWRTPPFTHSPAEGYILELDDGD GGQFREVYVGKETLCTIDGLHFNSTYNARVKAFNSSGVGPYSKTVVLQTSDVAWFTFDPN SGHRDIILSNDNQTATCSSYDDRVVLGTAAFSKGVHYWELHVDRYDNHPDPAFGVARASV VKDMMLGKDDKAWAMYVDNNRSWFMHCNSHTNRTEGGVCKGATVGVLLDLNKHTLTFFIN GQQQGPTAFSHVDGVFMPALSLNRNVQVTLHTGLEVPTNLGRPKLSGN >ENSMUSP00000047667.6 pep:known chromosome:GRCm38:15:88955884:88979007:-1 gene:ENSMUSG00000035805.13 transcript:ENSMUST00000042594.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlc1 description:megalencephalic leukoencephalopathy with subcortical cysts 1 homolog (human) [Source:MGI Symbol;Acc:MGI:2157910] MTREGQFREELGYDRMPTLERGRQDAGRQDPGSYTPDSKPKDLQLSKRLPPCFSYKTWVF SVLMGSCLLVTSGFSLYLGNVFPSEMDYLRCAAGSCIPSAIVSFAVGRRNVSAIPNFQIL FVSTFAVTTTCLIWFGCKLILNPSAININFNLILLLLLELLMAATVIISARSSEEPCKKK KGSISDGSNILDEVTFPARVLKSYSVVEVIAGVSAVLGGVIALNVEEAVSGPHLSVTFFW ILVACFPSAIASHVTAECPSKCLVEVLIAISSLTSPLLFTASGYLSFSVMRVVEIFKDYP PAIKSYDVLLLLLLLLLLLQGGLNTGTAIQCVSFKVSARLQAASWDPQSCPQERPAGEVV RGPLKEFDKEKAWRAVVVQMAQ >ENSMUSP00000104993.1 pep:known chromosome:GRCm38:15:88955884:88979007:-1 gene:ENSMUSG00000035805.13 transcript:ENSMUST00000109368.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlc1 description:megalencephalic leukoencephalopathy with subcortical cysts 1 homolog (human) [Source:MGI Symbol;Acc:MGI:2157910] MPVSSAMTREGQFREELGYDRMPTLERGRQDAGRQDPGSYTPDSKPKDLQLSKRLPPCFS YKTWVFSVLMGSCLLVTSGFSLYLGNVFPSEMDYLRCAAGSCIPSAIVSFAVGRRNVSAI PNFQILFVSTFAVTTTCLIWFGCKLILNPSAININFNLILLLLLELLMAATVIISARSSE EPCKKKKGSISDGSNILDEVTFPARVLKSYSVVEVIAGVSAVLGGVIALNVEEAVSGPHL SVTFFWILVACFPSAIASHVTAECPSKCLVEVLIAISSLTSPLLFTASGYLSFSVMRVVE IFKDYPPAIKSYDVLLLLLLLLLLLQGGLNTGTAIQCVSFKVSARLQAASWDPQSCPQER PAGEVVRGPLKEFDKEKAWRAVVVQMAQ >ENSMUSP00000036180.9 pep:known chromosome:GRCm38:15:54838901:54920002:-1 gene:ENSMUSG00000022425.15 transcript:ENSMUST00000041591.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp2 description:ectonucleotide pyrophosphatase/phosphodiesterase 2 [Source:MGI Symbol;Acc:MGI:1321390] MARQGCFGSYQVISLFTFAIGVNLCLGFTASRIKRAEWDEGPPTVLSDSPWTNTSGSCKG RCFELQEVGPPDCRCDNLCKSYSSCCHDFDELCLKTARGWECTKDRCGEVRNEENACHCS EDCLSRGDCCTNYQVVCKGESHWVDDDCEEIRVPECPAGFVRPPLIIFSVDGFRASYMKK GSKVMPNIEKLRSCGTHAPYMRPVYPTKTFPNLYTLATGLYPESHGIVGNSMYDPVFDAT FHLRGREKFNHRWWGGQPLWITATKQGVRAGTFFWSVSIPHERRILTILQWLSLPDNERP SVYAFYSEQPDFSGHKYGPFGPEMTNPLREIDKTVGQLMDGLKQLKLHRCVNVIFVGDHG MEDVTCDRTEFLSNYLTNVDDITLVPGTLGRIRPKIPNNLKYDPKAIIANLTCKKPDQHF KPYMKQHLPKRLHYANNRRIEDLHLLVERRWHVARKPLDVYKKPSGKCFFQGDHGFDNKV NSMQTVFVGYGPTFKYRTKVPPFENIELYNVMCDLLGLKPAPNNGTHGSLNHLLRTNTFR PTLPEEVSRPNYPGIMYLQSDFDLGCTCDDKVEPKNKLEELNKRLHTKGSTEERHLLYGR PAVLYRTSYDILYHTDFESGYSEIFLMPLWTSYTISKQAEVSSIPEHLTNCVRPDVRVSP GFSQNCLAYKNDKQMSYGFLFPPYLSSSPEAKYDAFLVTNMVPMYPAFKRVWTYFQRVLV KKYASERNGVNVISGPIFDYNYNGLRDIEDEIKQYVEGSSIPVPTHYYSIITSCLDFTQP ADKCDGPLSVSSFILPHRPDNDESCNSSEDESKWVEELMKMHTARVRDIEHLTGLDFYRK TSRSYSEILTLKTYLHTYESEI >ENSMUSP00000133877.1 pep:known chromosome:GRCm38:15:54839269:54920018:-1 gene:ENSMUSG00000022425.15 transcript:ENSMUST00000173516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp2 description:ectonucleotide pyrophosphatase/phosphodiesterase 2 [Source:MGI Symbol;Acc:MGI:1321390] MARQGCFGSYQVISLFTFAIGVNLCLGFTASRIKRAEWDEGPPTVLSDSPWTNTSGSCKG RCFELQEVGPPDCRCDNLCKSYSSCCHDFDELCLKTARGWECTKDRCGEVRNEENACHCS EDCLSRGDCCTNYQVVCKGESHWVDDDCEEIRVPECPAGFVRPPLIIFSVDGFRASYMKK GSKVMPNIEKLRSCGTHAPYMRPVYPTKTFPNLYTLATGLYPESHGIVGNSMYDPVFDAT FHLRGREKFNHRWWGGQPLWITATKQGVRAGTFFWSVSIPHERRILTILQWLSLPDNERP SVYAFYSEQPDFSGHKYGPFGPEESSYGSPLTPAKRPKRKVAPKRRQERPVAPPKKRRRK LHRMDHYTAETRQDKMTNPLREIDKTVGQLMDGLKQLKLHRCVNVIFVGDHGMEDVTCDR TEFLSNYLTNVDDITLVPGTLGRIRPKIPNNLKYDPKAIIANLTCKKPDQHFKPYMKQHL PKRLHYANNRRIEDLHLLVERRWHVARKPLDVYKKPSGKCFFQGDHGFDNKVNSMQTVFV GYGPTFKYRTKVPPFENIELYNVMCDLLGLKPAPNNGTHGSLNHLLRTNTFRPTLPEEVS RPNYPGIMYLQSDFDLGCTCDDKNKLEELNKRLHTKGSTEERHLLYGRPAVLYRTSYDIL YHTDFESGYSEIFLMPLWTSYTISKQAEVSSIPEHLTNCVRPDVRVSPGFSQNCLAYKND KQMSYGFLFPPYLSSSPEAKYDAFLVTNMVPMYPAFKRVWTYFQRVLVKKYASERNGVNV ISGPIFDYNYNGLRDIEDEIKQYVEGSSIPVPTHYYSIITSCLDFTQPADKCDGPLSVSS FILPHRPDNDESCNSSEDESKWVEELMKMHTARVRDIEHLTGLDFYRKTSRSYSEILTLK TYLHTYESEI >ENSMUSP00000132640.2 pep:known chromosome:GRCm38:15:54839366:54919961:-1 gene:ENSMUSG00000022425.15 transcript:ENSMUST00000167541.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp2 description:ectonucleotide pyrophosphatase/phosphodiesterase 2 [Source:MGI Symbol;Acc:MGI:1321390] MARQGCFGSYQVISLFTFAIGVNLCLGFTASRIKRAEWDEGPPTVLSDSPWTNTSGSCKG RCFELQEVGPPDCRCDNLCKSYSSCCHDFDELCLKTARGWECTKDRCGEVRNEENACHCS EDCLSRGDCCTNYQVVCKGESHWVDDDCEEIRVPECPAGFVRPPLIIFSVDGFRASYMKK GSKVMPNIEKLRSCGTHAPYMRPVYPTKTFPNLYTLATGLYPESHGIVGNSMYDPVFDAT FHLRGREKFNHRWWGGQPLWITATKQGVRAGTFFWSVSIPHERRILTILQWLSLPDNERP SVYAFYSEQPDFSGHKYGPFGPEMTNPLREIDKTVGQLMDGLKQLKLHRCVNVIFVGDHG MEDVTCDRTEFLSNYLTNVDDITLVPGTLGRIRPKIPNNLKYDPKAIIANLTCKKPDQHF KPYMKQHLPKRLHYANNRRIEDLHLLVERRWHVARKPLDVYKKPSGKCFFQGDHGFDNKV NSMQTVFVGYGPTFKYRTKVPPFENIELYNVMCDLLGLKPAPNNGTHGSLNHLLRTNTFR PTLPEEVSRPNYPGIMYLQSDFDLGCTCDDKVEPKNKLEELNKRLHTKGSTEAETGKFRG SKHENKKSLNGNVEPRKERHLLYGRPAVLYRTSYDILYHTDFESGYSEIFLMPLWTSYTI SKQAEVSSIPEHLTNCVRPDVRVSPGFSQNCLAYKNDKQMSYGFLFPPYLSSSPEAKYDA FLVTNMVPMYPAFKRVWTYFQRVLVKKYASERNGVNVISGPIFDYNYNGLRDIEDEIKQY VEGSSIPVPTHYYSIITSCLDFTQPADKCDGPLSVSSFILPHRPDNDESCNSSEDESKWV EELMKMHTARVRDIEHLTGLDFYRKTSRSYSEILTLKTYLHTYESEI >ENSMUSP00000128941.1 pep:known chromosome:GRCm38:15:54838898:54920146:-1 gene:ENSMUSG00000022425.15 transcript:ENSMUST00000171545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp2 description:ectonucleotide pyrophosphatase/phosphodiesterase 2 [Source:MGI Symbol;Acc:MGI:1321390] MARQGCFGSYQVISLFTFAIGVNLCLGFTASRIKRAEWDEGPPTVLSDSPWTNTSGSCKG RCFELQEVGPPDCRCDNLCKSYSSCCHDFDELCLKTARGWECTKDRCGEVRNEENACHCS EDCLSRGDCCTNYQVVCKGESHWVDDDCEEIRVPECPAGFVRPPLIIFSVDGFRASYMKK GSKVMPNIEKLRSCGTHAPYMRPVYPTKTFPNLYTLATGLYPESHGIVGNSMYDPVFDAT FHLRGREKFNHRWWGGQPLWITATKQGVRAGTFFWSVSIPHERRILTILQWLSLPDNERP SVYAFYSEQPDFSGHKYGPFGPEESSYGSPLTPAKRPKRKVAPKRRQERPVAPPKKRRRK LHRMDHYTAETRQDKMTNPLREIDKTVGQLMDGLKQLKLHRCVNVIFVGDHGMEDVTCDR TEFLSNYLTNVDDITLVPGTLGRIRPKIPNNLKYDPKAIIANLTCKKPDQHFKPYMKQHL PKRLHYANNRRIEDLHLLVERRWHVARKPLDVYKKPSGKCFFQGDHGFDNKVNSMQTVFV GYGPTFKYRTKVPPFENIELYNVMCDLLGLKPAPNNGTHGSLNHLLRTNTFRPTLPEEVS RPNYPGIMYLQSDFDLGCTCDDKVEPKNKLEELNKRLHTKGSTEERHLLYGRPAVLYRTS YDILYHTDFESGYSEIFLMPLWTSYTISKQAEVSSIPEHLTNCVRPDVRVSPGFSQNCLA YKNDKQMSYGFLFPPYLSSSPEAKYDAFLVTNMVPMYPAFKRVWTYFQRVLVKKYASERN GVNVISGPIFDYNYNGLRDIEDEIKQYVEGSSIPVPTHYYSIITSCLDFTQPADKCDGPL SVSSFILPHRPDNDESCNSSEDESKWVEELMKMHTARVRDIEHLTGLDFYRKTSRSYSEI LTLKTYLHTYESEI >ENSMUSP00000075269.3 pep:known chromosome:GRCm38:4:94942040:94979100:-1 gene:ENSMUSG00000062627.9 transcript:ENSMUST00000075872.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mysm1 description:myb-like, SWIRM and MPN domains 1 [Source:MGI Symbol;Acc:MGI:2444584] MEAEEADVDVEGDVAAAAQPGNDESTASVFQDHYLDSTWRRENGCLPWTLDSTISDENRA IIEKMLLEEEYYLSNKSLPGKFWVNQKEDNKKYTNSLQKSSKAMVDSPAKPASHSVKWTV EEKELFEQGLAKFGRRWTKIATLLKSRTVLQVKSYARQYFKNKVKWDVEKETPTQKSSSD LQVKNKDDRTKAWAAACLRGSADPCLNAVKIEKLSDDEDVDITDELDELTSQTSQNSGSH LTLDVPNSKMYTTNQGELCQEGPLAKSSGESLQNVKQGEGEACSSSEIASWAEKQKSTDK NSAELNEKYNKVVEEHTLHRGEVREEAKHSPSPEPCERQDSSGNEMLLPPCQIEEENHEG EELKPPEQEVEIDRNVIQEEEKQAIPEFFEGRQTKTPERYLKIRNYILDQWEICKPKYLN KTSVRPGLKNCGDVNCIGRIHTYLELIGAINFGCEQAVYNRPQPLDKVRAADRKDAEAAY QLAWRLQSMRTRRRRVRDPWGNWCDAKDLEGQTFEHLSVEEMARRKEEEKCKPIKFSKAS KLPKSSLDPFQLIPCNFFSEEKQEPFQVKVAAEALLIMNLHAHVSMAEVIGLLGGRYSEA DKVLEVCAAEPCNSLSTGLQCEMDPVSQTQASETLALRGYSVIGWYHSHPAFDPNPSLRD IDTQAKYQSYFSRGGAKFIGMIVSPYNRSNPLPYSQITCLVISEEVSPDGTYRLPYKFEV QQMLEEPQWELVFEKTRWIIEKYRLSNSSVPMDRIFRRDSDLTCLQKLLECLRKTLSKVA NCFIAEEFLTQIENLFLSNYKSKEENGLAEEDSTKELFM >ENSMUSP00000072810.3 pep:known chromosome:GRCm38:7:107844786:107845731:-1 gene:ENSMUSG00000109542.1 transcript:ENSMUST00000073059.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr494 description:olfactory receptor 494 [Source:MGI Symbol;Acc:MGI:3030328] MAFLEDGNHTAVTEFVLFGLTDDPVLRVILFIIFLCIYLVNVSGNLSTILLIRVSSQLHH PMYFFLSHLASVDVGYSSTVTPKMLANFLLERSTISYLGCTIQLFSGAFVGTLECFLLAT MAYDRFIAICNPLLYSTKMSTQVCIQLLVGSYIGGFLNASSFLLSFFPLLFCGPNRVNHY SCDLTPLIELSCSGSNVPIVPASFCSAFVIIVTVSVIAISYTYILITILKMRSTEGRQKA FSTCTSHLTAVTLYYGTVTFIYVMPKSSYSTDQNKVVSVFYTVVIPMLNPIIYSLRNNEI KGALKRQLARKIFS >ENSMUSP00000119386.1 pep:known chromosome:GRCm38:3:9250602:9285333:1 gene:ENSMUSG00000069114.8 transcript:ENSMUST00000155203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb10 description:zinc finger and BTB domain containing 10 [Source:MGI Symbol;Acc:MGI:2139883] MSFSEMNRRTLAFRGGGLVTGGGGPTNNNAGGEASSWPPQPQPRLPAPPAPQQPNGRGAD EEMELEGLEPQDSEAAAGAAAAEDVKELLLLPQDTGGPSLLGGGAGGPLLAERNRRTLAF RGGGGGGLGNNGSSRGRPETSAWPLRHFNGRGPAAVDPELDALEGKEWMQDGASLSYSTE EEGASPGDGSGAEGGSCSSSRRSGGDGGDEAEGSGVGAGEGETVQHFPLARPKSLLQKLQ CSFQTSWLKDFPWLRYSKDTGLMFCGWCQKIPEDGGGVDLTQVGHDELSRGTRNYKKTLL LRHHVSTEHKLHEANAQESEIPSEEGYCDFNSRPNENSYCYQLLRQLDEQRKKDILCDVS IVVSGKIFKAHKNILVAGSRFFKTLYCVSNKESPNQNNTTHLDIAAVQGFSVILDFLYSG NLVLTSQNAIEVMTVASYLQMSEVVQTCRNFIKDALNISIKSEAPESVVVDYNNRKPVSR DSLPSSSREQKIASFWATRNLTNLASNIKTENEGCDVDEGQVENYQMNDSNWIRDGSPEL AENESQGKTKVFIWNNMTSQETGKARRKNQTTKRFVYNIPPNSETKVEDCSVMQPPAAYP EESKALLIKEEPDLDGALLSGPDGDRTMNTNLLAEACSGQDAGDAGASHDFKYGLMPGTS SDFKYGLLPSTSNDFKFGLLPGASNDFKYGLLPESWPTQETWENGDSSLIMNKLKCPHCS YVAKYRRTLKRHLLIHSGVRSFKCEICGKMFTRREHVKRHSLVHKKDKKYKCMVCKKIFM LAASVGIKHGSRRYGVCMDCVDKSQPGGQEVVNQGQDTEFPRDEEYEENEGGEPDEELAE DGQDENDAPRWDESGGVYVSG >ENSMUSP00000036907.7 pep:known chromosome:GRCm38:3:137864487:137866922:1 gene:ENSMUSG00000037894.13 transcript:ENSMUST00000041045.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afz description:H2A histone family, member Z [Source:MGI Symbol;Acc:MGI:1888388] MAGGKAGKDSGKAKTKAVSRSQRAGLQFPVGRIHRHLKSRTTSHGRVGATAAVYSAAILE YLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDSLIKATIAGGGVIPHIHKSLIG KKGQQKTV >ENSMUSP00000134059.1 pep:known chromosome:GRCm38:3:137864573:137866818:1 gene:ENSMUSG00000037894.13 transcript:ENSMUST00000174561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afz description:H2A histone family, member Z [Source:MGI Symbol;Acc:MGI:1888388] MAGGKAGKDSGKAKTKAVSRSQRAGLQFPVGRIHRHLKSRTTSHGRVGATAAVYSAAILE YLTAELAIRGDEELDSLIKATIAGGGVIPHIHKSLIGKKGQQKTV >ENSMUSP00000133339.1 pep:known chromosome:GRCm38:3:137864613:137866507:1 gene:ENSMUSG00000037894.13 transcript:ENSMUST00000173790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afz description:H2A histone family, member Z [Source:MGI Symbol;Acc:MGI:1888388] MAGGKAGKDSGKAKTKAVSRSQRAGLQFPVGRIHRHLKSRTTSHGRVGATAAVYSAAILE YLTAEVLELAGNASKDLKVSSHTSTNR >ENSMUSP00000133541.1 pep:known chromosome:GRCm38:3:137864694:137866447:1 gene:ENSMUSG00000037894.13 transcript:ENSMUST00000172696.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:H2afz description:H2A histone family, member Z [Source:MGI Symbol;Acc:MGI:1888388] MAGGKAGKDSGKAKTKAVSRSQRAGLQDNQPRTCGRDRRCVQRSHPGVPHRRGT >ENSMUSP00000113773.1 pep:known chromosome:GRCm38:8:88647315:88688474:1 gene:ENSMUSG00000055994.15 transcript:ENSMUST00000118370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nod2 description:nucleotide-binding oligomerization domain containing 2 [Source:MGI Symbol;Acc:MGI:2429397] MCSQEEFQAQRSQLVALLISGSLEGFESILDWLLSWDVLSREDYEGLSLPGQPLSHSARR LLDTVWNKGVWGCQKLLEAVQEAQANSHTFELYGSWDTHSLHPTRDLQSHRPAIVRRLYN HVEAMLELAREGGFLSQYECEEIRLPIFTSSQRARRLLDLAAVKANGLAAFLLQHVRELP APLPLPYEAAECQKFISKLRTMVLTQSRFLSTYDGSENLCLEDIYTENILELQTEVGTAG ALQKSPAILGLEDLFDTHGHLNRDADTILVVGEAGSGKSTLLQRLHLLWATGRSFQEFLF IFPFSCRQLQCVAKPLSLRTLLFEHCCWPDVAQDDVFQFLLDHPDRVLLTFDGLDEFKFR FTDRERHCSPIDPTSVQTLLFNLLQGNLLKNACKVLTSRPDAVSALLRKFVRTELQLKGF SEEGIQLYLRKHHREPGVADRLIQLIQATSALHGLCHLPVFSWMVSRCHRELLLQNRGFP TTSTDMYLLILQHFLLHASPPDSSPLGLGPGLLQSRLSTLLHLGHLALRGLAMSCYVFSA QQLQAAQVDSDDISLGFLVRAQSSVPGSKAPLEFLHITFQCFFAAFYLAVSADTSVASLK HLFSCGRLGSSLLGRLLPNLCIQGSRVKKGSEAALLQKAEPHNLQITAAFLAGLLSQQHR DLLAACQVSERVLLQRQARARSCLAHSLREHFHSIPPAVPGETKSMHAMPGFIWLIRSLY EMQEEQLAQEAVRRLDIGHLKLTFCRVGPAECAALAFVLQHLQRPVALQLDYNSVGDVGV EQLRPCLGVCTALYLRDNNISDRGARTLVECALRCEQLQKLALFNNKLTDACACSMAKLL AHKQNFLSLRVGNNHITAAGAEVLAQGLKSNTSLKFLGFWGNSVGDKGTQALAEVVADHQ NLKWLSLVGNNIGSMGAEALALMLEKNKSLEELCLEENHICDEGVYSLAEGLKRNSTLKF LKLSNNGITYRGAEALLQALSRNSAILEVWLRGNTFSLEEIQTLSSRDARLLL >ENSMUSP00000105262.2 pep:known chromosome:GRCm38:8:88651341:88688474:1 gene:ENSMUSG00000055994.15 transcript:ENSMUST00000109634.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nod2 description:nucleotide-binding oligomerization domain containing 2 [Source:MGI Symbol;Acc:MGI:2429397] MRSSCCDMCSQEEFQAQRSQLVALLISGSLEGFESILDWLLSWDVLSREDYEGLSLPGQP LSHSARRLLDTVWNKGVWGCQKLLEAVQEAQANSHTFELYGSWDTHSLHPTRDLQSHRPA IVRRLYNHVEAMLELAREGGFLSQYECEEIRLPIFTSSQRARRLLDLAAVKANGLAAFLL QHVRELPAPLPLPYEAAECQKFISKLRTMVLTQSRFLSTYDGSENLCLEDIYTENILELQ TEVGTAGALQKSPAILGLEDLFDTHGHLNRDADTILVVGEAGSGKSTLLQRLHLLWATGR SFQEFLFIFPFSCRQLQCVAKPLSLRTLLFEHCCWPDVAQDDVFQFLLDHPDRVLLTFDG LDEFKFRFTDRERHCSPIDPTSVQTLLFNLLQGNLLKNACKVLTSRPDAVSALLRKFVRT ELQLKGFSEEGIQLYLRKHHREPGVADRLIQLIQATSALHGLCHLPVFSWMVSRCHRELL LQNRGFPTTSTDMYLLILQHFLLHASPPDSSPLGLGPGLLQSRLSTLLHLGHLALRGLAM SCYVFSAQQLQAAQVDSDDISLGFLVRAQSSVPGSKAPLEFLHITFQCFFAAFYLAVSAD TSVASLKHLFSCGRLGSSLLGRLLPNLCIQGSRVKKGSEAALLQKAEPHNLQITAAFLAG LLSQQHRDLLAACQVSERVLLQRQARARSCLAHSLREHFHSIPPAVPGETKSMHAMPGFI WLIRSLYEMQEEQLAQEAVRRLDIGHLKLTFCRVGPAECAALAFVLQHLQRPVALQLDYN SVGDVGVEQLRPCLGVCTALYLRDNNISDRGARTLVECALRCEQLQKLALFNNKLTDACA CSMAKLLAHKQNFLSLRVGNNHITAAGAEVLAQGLKSNTSLKFLGFWGNSVGDKGTQALA EVVADHQNLKWLSLVGNNIGSMGAEALALMLEKNKSLEELCLEENHICDEGVYSLAEGLK RNSTLKFLKLSNNGITYRGAEALLQALSRNSAILEVWLRGNTFSLEEIQTLSSRDARLLL >ENSMUSP00000050538.8 pep:known chromosome:GRCm38:8:88647315:88688474:1 gene:ENSMUSG00000055994.15 transcript:ENSMUST00000054324.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nod2 description:nucleotide-binding oligomerization domain containing 2 [Source:MGI Symbol;Acc:MGI:2429397] MCSQEEFQAQRSQLVALLISGSLEGFESILDWLLSWDVLSREDYEGLSLPGQPLSHSARR LLDTVWNKGVWGCQKLLEAVQEAQANSHTFELYGSWDTHSLHPTRDLQSHRPAIVRRLYN HVEAMLELAREGGFLSQYECEEIRLPIFTSSQRARRLLDLAAVKANGLAAFLLQHVRELP APLPLPYEGYSLCRSRCDRGFTLICLFCILAAECQKFISKLRTMVLTQSRFLSTYDGSEN LCLEDIYTENILELQTEVGTAGALQKSPAILGLEDLFDTHGHLNRDADTILVVGEAGSGK STLLQRLHLLWATGRSFQEFLFIFPFSCRQLQCVAKPLSLRTLLFEHCCWPDVAQDDVFQ FLLDHPDRVLLTFDGLDEFKFRFTDRERHCSPIDPTSVQTLLFNLLQGNLLKNACKVLTS RPDAVSALLRKFVRTELQLKGFSEEGIQLYLRKHHREPGVADRLIQLIQATSALHGLCHL PVFSWMVSRCHRELLLQNRGFPTTSTDMYLLILQHFLLHASPPDSSPLGLGPGLLQSRLS TLLHLGHLALRGLAMSCYVFSAQQLQAAQVDSDDISLGFLVRAQSSVPGSKAPLEFLHIT FQCFFAAFYLAVSADTSVASLKHLFSCGRLGSSLLGRLLPNLCIQGSRVKKGSEAALLQK AEPHNLQITAAFLAGLLSQQHRDLLAACQVSERVLLQRQARARSCLAHSLREHFHSIPPA VPGETKSMHAMPGFIWLIRSLYEMQEEQLAQEAVRRLDIGHLKLTFCRVGPAECAALAFV LQHLQRPVALQLDYNSVGDVGVEQLRPCLGVCTALYLRDNNISDRGARTLVECALRCEQL QKLALFNNKLTDACACSMAKLLAHKQNFLSLRVGNNHITAAGAEVLAQGLKSNTSLKFLG FWGNSVGDKGTQALAEVVADHQNLKWLSLVGNNIGSMGAEALALMLEKNKSLEELCLEEN HICDEGVYSLAEGLKRNSTLKFLKLSNNGITYRGAEALLQALSRNSAILEVWLRGNTFSL EEIQTLSSRDARLLL >ENSMUSP00000142475.1 pep:known chromosome:GRCm38:5:110839979:110841333:1 gene:ENSMUSG00000029521.7 transcript:ENSMUST00000200172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chek2 description:checkpoint kinase 2 [Source:MGI Symbol;Acc:MGI:1355321] MKSHHQSHSSTSSKAHDSASCSQSQGGFSQPQGTPSQLHE >ENSMUSP00000143558.1 pep:known chromosome:GRCm38:5:110839983:110874133:1 gene:ENSMUSG00000029521.7 transcript:ENSMUST00000199937.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chek2 description:checkpoint kinase 2 [Source:MGI Symbol;Acc:MGI:1355321] MKSHHQSHSSTSSKAHDSASCSQSQGGFSQPQGTPSQLHELSQYQGSSSSSTGTVPSSSQ SSHSSSGTLSSLETVSTQELCSIPEDQEPEEPGPAPWARLWALQDGFSNLDCVNDNYWFG RDKSCEYCFDGPLLRRTDKYRTYSKKHFRIFREMGPKNCYIVYIEDHSGNGTFVNTELIG KGKRCPLSNNSEIALSLCRNKVVRVGR >ENSMUSP00000066679.1 pep:known chromosome:GRCm38:5:110840000:110874145:1 gene:ENSMUSG00000029521.7 transcript:ENSMUST00000066160.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chek2 description:checkpoint kinase 2 [Source:MGI Symbol;Acc:MGI:1355321] MKSHHQSHSSTSSKAHDSASCSQSQGGFSQPQGTPSQLHELSQYQGSSSSSTGTVPSSSQ SSHSSSGTLSSLETVSTQELCSIPEDQEPEEPGPAPWARLWALQDGFSNLDCVNDNYWFG RDKSCEYCFDGPLLRRTDKYRTYSKKHFRIFREMGPKNCYIVYIEDHSGNGTFVNTELIG KGKRCPLSNNSEIALSLCRNKVFVFFDLTVDDQSVYPKELRDEYIMSKTLGSGACGEVKM AFERKTCQKVAIKIISKRRFALGSSREADTAPSVETEIEILKKLNHPCIIKIKDVFDAED YYIVLELMEGGELFDRVVGNKRLKEATCKLYFYQMLVAVQYLHENGIIHRDLKPENVLLS SQEEDCLIKITDFGQSKILGETSLMRTLCGTPTYLAPEVLVSNGTAGYSRAVDCWSLGVI LFICLSGYPPFSEHKTQVSLKDQITSGKYNFIPEVWTDVSEEALDLVKKLLVVDPKARLT TEEALNHPWLQDEYMKKKFQDLLVQEKNSVTLPVAPAQTSSQKRPLELEVEGMPSTKRLS VCGAVL >ENSMUSP00000142506.1 pep:known chromosome:GRCm38:5:110840229:110841339:1 gene:ENSMUSG00000029521.7 transcript:ENSMUST00000198373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chek2 description:checkpoint kinase 2 [Source:MGI Symbol;Acc:MGI:1355321] MKSHHQSHSSTSSKAHDSASCSQSQGGFSQPQGTPSQLHELS >ENSMUSP00000116040.2 pep:known chromosome:GRCm38:11:104685707:104753962:1 gene:ENSMUSG00000040838.9 transcript:ENSMUST00000148007.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11639 description:predicted gene 11639 [Source:MGI Symbol;Acc:MGI:3651790] MEKTHLICKTEESIDVSIHGSDTITTKLISRNIETKKYCKISKTTGKKISSESKGFIPEY KKIYETSLFVYREEKPTEFSGSKKLRRKKSVQVQLHSKRTEIATPSLKVSKEKITKKESP SKLPSHKPSLTQRTASTAGRKVIPSNLSLTLYEQVPEEFLKHQELNEFQNALKIFSKVKS GRVATTDVAAVLESMDISVNPEMIKDVIQHAYEDNYHTMDIGDIIFTLDELQRQYEDVSV MDEATSGKRASTIPGHHPQQKKSSLLSRMPEPSTPKNQTVPPQPHPSKTTGKQDEAELKL SKRSSETRRLSSGIISDSVGLQESQIKIHDSKSKSPSLKSTPSLSKLPDRRDSSLKKSPD RSDSSLKKSPDRRDSSLKKSPDRRDSSLKKSPDRSDSSLKKSLDKSDSSLKKSLDKSDSS LKKSLDKSDSSLKKLLDKSDTSLNKLLDKSDTSLKKLLDKSDSSLKKSPDRSDSSLKKSP DRSDSSLKKLSDRRDSSLKKSLDRSDSSLKKSPDRSDSSLKKLSDRRDSSLKKSLDKSDS SLKKSLDKSDSSLKKSPDRSDSSLKKLSDRRDSSLKKSPDRSDSSLKKSPDRRDSSLKKS LDKSDSSLKKSLDKSDSSLKKSLDKSDTSLKKLLDKSDTSISEYQKLAMRRLSSTLHSAA STISALENAYEVILKLQEDYISPEELQTILPSVGVTLSDEDFQRIVPELTQTESGMVNLD DFITAVSKEHNFPDYDALKNAIEGISKIQDEYVEYEDLDTCLQNFGVYLPKSERQRIKEL IQVDDTKQVNIKKFIDAMLKNTHPFSEDIFLPAAIENLQNFCGDQMDVAQLWKTLSSLDS SLKEKEFLDAVKLATVDGDKVQLEDFSKVVKDMHDSVRLKELQEIVSALDSLEGEKIPGK NVDDFLKSLGITSFKDELEEILQSEIVSDDNMLSVKDCMEALKDTSKFSNFTGKGFLVKL FQGHSASVREIKEVAGILSQVEDGKITIPDLERALKYLNLNISEEDFKEVLKHCDISDEM YVDLKDFLVKLRDIPSFKDSIVTQLLLATPQVLEKDLINVSDLKKLLTNNELHSAKAILT EVLKHVPEDEEGKITIEEFVSTLSDILRTLKSEREFYLEFIYFH >ENSMUSP00000114717.1 pep:known chromosome:GRCm38:16:90751527:90810392:-1 gene:ENSMUSG00000039929.14 transcript:ENSMUST00000140920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urb1 description:URB1 ribosome biogenesis 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146468] MGVPKRKASEGPSSAASPAGTVKRTRAEEFTGVRFKTLLKDAQGPGPALEAFVSAAKKLP QEDMCDVVEGYIKISMECAEIFQLLSGEKRPESEMLLIFQAFEAILLRTASDLTHFHVVG ANIVKKLLYNHMKLLCESLYASGYRMARACLDLMTAMVTQGPEAARDVCSSLDLNKKALF ALVTKRDSKGVHDVRLAYIQFALSFLIAGDDNTIGQVLEIKEFIPCIFSSGIKEDKISTI NILLSTLKTKVIHNKNITKTQKVRFFTGQFLNHIAALYNWNGITDVTPEKPESAAISAEE AGKAMVRDLVHNFLMDLCCSRKHGISFYDASLGTSGRGGNLTLLHFLLSLKTAAGDDLVA SLVVSILKVCPDLLTKYFKEVTFSFLPRVKSTWLNNVKLLNKIYEAQPEISPAFWTREFI PLPRLLAMVMVTTVPLVCNKIMFTQALNLDSIPVKHSALSLISDILKRALKTVDHCLDKE TWQDSDVYTAEMMEEFVQLFREALGKILPDLNTVIWVWQSFKKQEIKEDHEKGKKSSSKT PAVSKAAQHDVAETILLKSVLLQVICLYQQVVPHVIMQYNFDFSKLLKGIISEQGPSQEV PPILQHHMLKVALELPANKFLWLKAQEGPEAEIIGGERSVFYLLMKMFVNSNHLQLKSST KLLIMKILRDTGVFEHTWRELELWLEHLDSTAEERKEAVIQFLERILLTLVMNPYSYTDK ASEFVQEASTLQASMGKQDADDVSIPISHIDDVLDMVDVLVEGSEGLDEDIGFLLNEDMI LLTFPFSALVPAALEARNKLLLGTDCEAGESIMAYMTAVLTDLLHTQRDPLALCLLLQSY DKFEPVSLLCGQQLAQFHRYYSLWIPAQAQEALPLQVSSSSGPCTLPPSSCFSTLLQTAY ESQTLGDKSVQAQLLAAVPSLALQHMLRSAKQVLLYLKSTVENFSQLGRSVGPALLQSLL GLLKQLVIHAERLDAQNQQKLEAARAESDLFLDMESVASLELATDKTIEELLLAILKHPT LETWFLALEQKALPPHTLSPILVKLLAAHFSAGVLQLLVASSPILHKLGQLGLLAKYSEA ITQSVLIELRTRTLNSTSTPKTLPQLEALRELHPYMEGVQIREVTLALLALPEAHLLTQQ GTQSLGKERHLSSLGKTLVQLLASSHQDQLQSSELLWCAEYVRGLGALLPTLAEHELDTV FLQTLQKDPVLAPVVPEGVLEYCLARRTQTALGIASLLLQYSGTHLVKFELWCGQPGVGP TLQEHLDDFFPLIHVYLQHRAQGSFMRPTGVSSAVTPVLKALWRQVRDRFFHITGPSKDA LHLEALAQLIPFARTKDLHVLMDHLPNTLRTLSNHKSWTLADSVSAALAESAEELGSWRK TLLRSCIQWLAVSFSGREPEDENTQEHEKAMLVRLSELLHAVKEVDPGDWQQFVKTGLKF RYHDLTFLKTLLSATKLLYGPESSGRTKLVQLSVVHMMLTQHSLFLPTMLSSEEEETPDS GVKETLLDLMSTVVRLCPSVCQSSHFAVLLGTYSATLSVLDQKILLLLRAYEQNNLSLIS FRVLLWGPAAVEHHKTCRSLGKSLWQQPSVGDILRLLDPDRMMQTILHFPQYRKLLPTED TGEPLVFKDKTARVDLDSLYDPCFLLHLFGELTRPEFVVDCRKFLDSNALGLTVAALSSY DPQMRAAAYYVLAAYYSHLEGARFREQSQVLYLLDVVRNGIRTPNLRLPFTVALFIAKAA VQILKPEEHMYWKISKFLLSHENLNMDKLPGFYQFFYSSDFQQKTEQEWVLEILRQGIRD KHCYELCSRRGVFHIILSFFNSPLCDEVAQNWILEILQNVAHITRSAYEVIRDYSLLTWV LHILESRFVETQLLSNVISLLHTLWVTNLGNKAPEERSQPPGQVGSQESEKMLALHMVSE FLYVLIALTKHLRPTLASAQLMNFFWTLESVLSYRATIIKLFKDMGRFTVNKVALSTKDV LILLHKWSLIERDTKLQGELKAVIEQHQAKDLMKMLKDKSRPVVAAQARGPRGRKRRHGG LEETAEPQLEASCLEKCKDLLRATLTHWGPGDPLPGPTQGSVGQTIPKSKTLSSAHAAVS LVASWVLRSLAERPVSRAEVTRLLDWLKSHILPQPMVVADLLGDSAVKTGIFKLYNHHCS AQGLVGPAQDVACKFSTVMLQLLVAQGRKESPFHSVAEALCLDSLNEKEEAKRAPAAFLV SLYVKDMWLGAQQPDTFLAHIRMVCEAAKDVPLDEPEAIVVLCRNVDSSAQCLTRSR >ENSMUSP00000100599.1 pep:known chromosome:GRCm38:1:175977777:175979114:-1 gene:ENSMUSG00000078184.1 transcript:ENSMUST00000104983.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm8a2 description:RNA binding motif protein 8A2 [Source:MGI Symbol;Acc:MGI:3612447] MADVLDLHEAGGEDFAMDEDGDESIHKLKEKAKKRKGRGFGSKEGSRARMQEDYDSVKQD SDEPGPQRSVEGWILFVTGVHEEATEEDIHDKFAEYGEIKNIHLNLDRRTGYLKGYTLVE YETYKEAQAAMEGLNGQDLMGQPISVDWCFVRGPPKGKRRGGRRRSRSPDRRRR >ENSMUSP00000108575.1 pep:known chromosome:GRCm38:19:12087610:12091847:-1 gene:ENSMUSG00000044994.8 transcript:ENSMUST00000112952.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1426 description:olfactory receptor 1426 [Source:MGI Symbol;Acc:MGI:3031260] MENYTRVKELIFLGLTQSQEVSMVLFLFLLLVYVTTLLGNLLIMVTVTCESRLHTPMYFL LRNLSVADICFSSITAPKVLVDLLSDRKTISFNGCLTQMFFFHLIGGVDVFSLSVMALDR YVAISKPLHYVTIMSRGRCIGLIVASWVGGFAHSIVQISLLLTLPFCGPNVLDTFYCDVP QVIKLACTDIFVLELLMISNNGLVATLWFVLLVVSYTVILMMLRSHSGEGRKKAISTCTS HITVVTLHFVPCIYVYARPFTALPTDKAISVTFTVISPLLNPLIYTLRNQEMKSAMRRLR KRLEPFDREEQ >ENSMUSP00000146619.1 pep:known chromosome:GRCm38:19:12088745:12091852:-1 gene:ENSMUSG00000044994.8 transcript:ENSMUST00000208703.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1426 description:olfactory receptor 1426 [Source:MGI Symbol;Acc:MGI:3031260] MENYTRVKELIFLGL >ENSMUSP00000021993.4 pep:known chromosome:GRCm38:13:66900617:66905378:-1 gene:ENSMUSG00000021520.4 transcript:ENSMUST00000021993.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrb description:ubiquinol-cytochrome c reductase binding protein [Source:MGI Symbol;Acc:MGI:1914780] MAGRSAVSASSKWLDGFRKWYYNAAGFNKLGLMRDDTLHETEDVKEAIRRLPEDLYNDRM FRIKRALDLTMRHQILPKDQWTKYEEDKFYLEPYLKEVIRERKEREEWAKK >ENSMUSP00000080716.6 pep:known chromosome:GRCm38:10:128567523:128589652:-1 gene:ENSMUSG00000018166.8 transcript:ENSMUST00000082059.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb3 description:erb-b2 receptor tyrosine kinase 3 [Source:MGI Symbol;Acc:MGI:95411] MSAIGTLQVLGFLLSLARGSEMGNSQAVCPGTLNGLSVTGDADNQYQTLYKLYEKCEVVM GNLEIVLTGHNADLSFLQWIREVTGYVLVAMNEFSVLPLPNLRVVRGTQVYDGKFAIFVM LNYNTNSSHALRQLRFTQLTEILLGGVYIEKNDKLCHMDTIDWRDIVRVPDAEIVVKNNG GNCPPCHEVCKGRCWGPGPEDCQILTKTICAPQCNGRCFGPNPNQCCHDECAGGCSGPQD TDCFACRHFNDSGACVPRCPAPLVYNKLTFQLEPNPHIKYQYGGVCVASCPHNFVVDQTF CVRACPADKMEVDKNGLKMCEPCRGLCPKACEGTGSGSRYQTVDSSNIDGFVNCTKILGN LDFLITGLNGDPWHKIPALDPEKLNVFRTVREITGYLNIQSWPPHMHNFSVFSNLTTIGG RSLYNRGFSLLIMKNLNVTSLGFRSLKEISAGRVYISANQQLCYHHSLNWTRLLRGPAEE RLDIKYNRPLGECVAEGKVCDPLCSSGGCWGPGPGQCLSCRNYSREGVCVTHCNVLQGEP REFVHEAHCFSCHPECQPMEGTSTCNGSGSDACARCAHFRDGPHCVNSCPHGILGAKGPI YKYPDAQNECRPCHENCTQGCKGPELQDCLGQAEVLMSKPHLVIAVTVGLTVIFLILGGS FLYWRGRRIQNKRAMRRYLERGESIEPLDPSEKANKVLARIFKETELRKLKVLGSGVFGT VHKGIWIPEGESIKIPVCIKVIEDKSGRQSFQAVTDHMLAVGSLDHAHIVRLLGLCPGSS LQLVTQYLPLGSLLDHVRQHRETLGPQLLLNWGVQIAKGMYYLEEHSMVHRDLALRNVML KSPSQVQVADFGVADLLPPDDKQLLHSEAKTPIKWMALESIHFGKYTHQSDVWSYGVTVW ELMTFGAEPYAGLRLAEIPDLLEKGERLAQPQICTIDVYMVMVKCWMIDENIRPTFKELA NEFTRMARDPPRYLVIKRASGPGIPPAAEPSALSTKELQDAELEPDLDLDLDVEVEEEGL ATTLGSALSLPTGTLTRPRGSQSLLSPSSGYMPMNQSNLGEACLDSAVLGGREQFSRPIS LHPIPRGRQTSESSEGHVTGSEAELQERVSMCRSRSRSRSPRPRGDSAYHSQRHSLLTPV TPLSPPGLEEEDGNGYVMPDTHLRGTSSSREGTLSSVGLSSVLGTEEEDEDEEYEYMNRK RRGSPARPPRPGSLEELGYEYMDVGSDLSASLGSTQSCPLHPMAIVPSAGTTPDEDYEYM NRRRGAGGSGGDYAAMGACPAAEQGYEEMRAFQGPGHQAPHVRYARLKTLRSLEATDSAF DNPDYWHSRLFPKANAQRI >ENSMUSP00000133903.1 pep:known chromosome:GRCm38:17:34879483:34895445:1 gene:ENSMUSG00000049823.9 transcript:ENSMUST00000173093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb12 description:zinc finger and BTB domain containing 12 [Source:MGI Symbol;Acc:MGI:88133] MASGVEVLRFQLPGHEAATLRNMNQLRAEERFCDVTIVADSLKFRGHKVILAACSPFLRD QFLLNPSS >ENSMUSP00000057515.8 pep:known chromosome:GRCm38:17:34894559:34896867:1 gene:ENSMUSG00000049823.9 transcript:ENSMUST00000052778.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb12 description:zinc finger and BTB domain containing 12 [Source:MGI Symbol;Acc:MGI:88133] MASGVEVLRFQLPGHEAATLRNMNQLRAEERFCDVTIVADSLKFRGHKVILAACSPFLRD QFLLNPSSELQVSLMHSARIVADLLLSCYTGALEFAVRDIVNYLTAASYLQMEHVVEKCR NALSQFIEPKIGLKEDGVSEASLLSSVSATKSLLPPARTPKPAPKPPPPPPLPPPLLRPV KLEFPLDEDLELKAEEEDEDEDEDVSDICIVKVESALEVAHRLKPPGSLAGGLGIGASVS SHLGELAQSSVAPNTVTPPQGVVKACYSLSEDAEGEGLLLIPGGRASVGATSGLVEAAAV AMAARGAGGSLGAGGSRGPLPGGFSSGNPLKNIKCTKCPEVFQGVEKLVFHMRAQHFIFM CPRCGKQFNHSSNLNRHMNVHRGVKSHSCGICGKCFTQKSTLHDHLNLHSGARPYRCSYC DVRFAHKPAIRRHLKEQHGKTTAENVLEAGVAEINVLIR >ENSMUSP00000133647.1 pep:known chromosome:GRCm38:13:66904914:66909236:1 gene:ENSMUSG00000074826.10 transcript:ENSMUST00000168767.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10767 description:predicted gene 10767 [Source:MGI Symbol;Acc:MGI:3642136] MSDTSEVIPNFEDMFASRFTKDGKEYQEYLKRPPESPPIVEEWNSRAGGNQRNRGNWLQD NRQFRGRDNRQGWPTDNRSNQWHGWTWGNNNYPQQRPEPYYQQ >ENSMUSP00000133648.1 pep:known chromosome:GRCm38:13:66905415:66909166:1 gene:ENSMUSG00000074826.10 transcript:ENSMUST00000099412.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10767 description:predicted gene 10767 [Source:MGI Symbol;Acc:MGI:3642136] MSDTSEVIPNFEDMFASRFTKDGKEYQEYLKRPPESPPIVEEWNSRAGGNQRNRGNWLQD NRQFRGRDNRQGWPTDNRSNQWHGWTWGNNNYPQQRPEPYYQQ >ENSMUSP00000004770.5 pep:known chromosome:GRCm38:7:87424771:87493512:-1 gene:ENSMUSG00000004651.6 transcript:ENSMUST00000004770.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyr description:tyrosinase [Source:MGI Symbol;Acc:MGI:98880] MFLAVLYCLLWSFQISDGHFPRACASSKNLLAKECCPPWMGDGSPCGQLSGRGSCQDILL SSAPSGPQFPFKGVDDRESWPSVFYNRTCQCSGNFMGFNCGNCKFGFGGPNCTEKRVLIR RNIFDLSVSEKNKFFSYLTLAKHTISSVYVIPTGTYGQMNNGSTPMFNDINIYDLFVWMH YYVSRDTLLGGSEIWRDIDFAHEAPGFLPWHRLFLLLWEQEIRELTGDENFTVPYWDWRD AENCDICTDEYLGGRHPENPNLLSPASFFSSWQIICSRSEEYNSHQVLCDGTPEGPLLRN PGNHDKAKTPRLPSSADVEFCLSLTQYESGSMDRTANFSFRNTLEGFASPLTGIADPSQS SMHNALHIFMNGTMSQVQGSANDPIFLLHHAFVDSIFEQWLRRHRPLLEVYPEANAPIGH NRDSYMVPFIPLYRNGDFFITSKDLGYDYSYLQESDPGFYRNYIEPYLEQASRIWPWLLG AALVGAVIAAALSGLSSRLCLQKKKKKKQPQEERQPLLMDKDDYHSLLYQSHL >ENSMUSP00000146757.1 pep:known chromosome:GRCm38:7:87492646:87493392:-1 gene:ENSMUSG00000004651.6 transcript:ENSMUST00000207834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyr description:tyrosinase [Source:MGI Symbol;Acc:MGI:98880] MFLAVLYCLLWSFQISDGHFPRACASSKNLLAKECCPPWMGDGSPCGQLSGRGSCQDILL SSAPSGPQFPFKGVDDRTYGQMNNGSTPMFNDINIYDLFVWMHYYVSRDTLLGGSEIWRD IDFAHEAPGFLPWHRLFLLLWEQEIRELTGDENFTVPY >ENSMUSP00000096757.3 pep:known chromosome:GRCm38:3:33799832:33814860:1 gene:ENSMUSG00000027677.17 transcript:ENSMUST00000099153.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc14 description:tetratricopeptide repeat domain 14 [Source:MGI Symbol;Acc:MGI:1914370] MDRDLLRQSLGCHGPALLSLLRSEQQDNPHFRSLLGTAAEPARGAAPPPGAGRKEKRVDN IEIQKFISKKADLLFALSWKSDASPPSEVHDDNDNLYAVMPPLEQFMEMPSMDRRELFFR DIERGDIVIGRISSIREFGFFMVLICLGSGIVRDISHLEITALCPLRDVPSHSNHGDPLS YYQTGDIIRAGIKDIDRYHEKLAVSLYSSSLPPHMAGIKLGVITSEELPLYYRRSVELNS NSLESYENIMQSSLGFVNPGVVEFLLEKLGIDESHPPSLMRGLQSKNFSEDDFASALRKK QSASWALKCVKIGVDYFKVGRHVDAMNEYNKALEIDKQNVEALVARGALYATKGSLNKAI EDFELALENCPTHRNARKYLCQTLVERGGQLEEEEKFLNAESYYKKALTLDETFKDAEDA LQKLHKYMQVILYFLFEI >ENSMUSP00000143173.1 pep:known chromosome:GRCm38:3:33800191:33802934:1 gene:ENSMUSG00000027677.17 transcript:ENSMUST00000196139.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc14 description:tetratricopeptide repeat domain 14 [Source:MGI Symbol;Acc:MGI:1914370] MDRDLLRQSLGCHGPALLSLLRSEQQDNPHFRSLLGTAAEPARGAAPPPGAGRENGLKTS SGTQWVKSLSIERPDLTDSQASQGKEKRVDNIEIQKFISKKADLLFALSWKSDASPPSEV HDDNDNLYAVMPPLEQFMEMPSMDRRELFFRDIERGDIVIGRISSIREFGFFMVLICLGS GIVRDISHLEITALCPLRDVPSHSNHGDPLSYYQTGD >ENSMUSP00000143738.1 pep:known chromosome:GRCm38:3:33800191:33808044:1 gene:ENSMUSG00000027677.17 transcript:ENSMUST00000200271.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc14 description:tetratricopeptide repeat domain 14 [Source:MGI Symbol;Acc:MGI:1914370] MAGMRLPSRRQSFPAPVSRENGLKTSSGTQWVKSLSIERPDLTDSQASQGKEKRVDNIEI QKFISKKADLLFALSWKSDASPPSEVHDDNDNLYAVMPPLEQFMEMPSMDRRELFFRDIE RGDIVIGRISSIREFGFFMVLICLGSGIVRDISHLEITALCPLRDVPSHSNHGDPLSYYQ TGDIIRAGIKDIDRYHEKLAVSLYSSSLPPHMAGIKLGVITSEELPLYYRRSVELNSNSL ESYENIMQSSLGFVNPGVVEFLLEKLGIDESHPPSLMRGLQSKNFSEDDFASALRKKQSA SWALKCVKIGVDYFKVGRHVDAMNEYNKALEIDKQNVEALVARGALYATKGSLNKAIEDF ELALENCPTHRNARKYLCQTLVERGGQLEEEEKFLNAESYYKKALTLDETFKDAEDALQK LHKYMQVILYFLFEI >ENSMUSP00000143073.1 pep:known chromosome:GRCm38:3:33800210:33807899:1 gene:ENSMUSG00000027677.17 transcript:ENSMUST00000198529.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc14 description:tetratricopeptide repeat domain 14 [Source:MGI Symbol;Acc:MGI:1914370] MDRDLLRQSLGCHGPALLSLLRSEQQDNPHFRSLLGTAAEPARGAAPPPGAGRKEKRVDN IEIQKFISKKADLLFALSWKSDASPPSEVHDDNDNLYAVMPPLEQFMEMPSMDRRELFFR DIERGDIVIGRISSIREFGFFMVLICLGSGIVRDISHLEITALCPLRDVPSHSNHGDPLS YYQTGDIIRAGIKDIDRYHEKLAVSLYSSSLPPHMAGIKLGVITSEELPLYYRRSVELNS NSLESYENIMQSSLGFVNPGVVEFLLEKLGIDESHPPSLMRGLQSKNFSEDDFASALRKK QSASWALKCVKIGVDYFKVGRHVDAMNEYNKALEIDKQNVEALVARGALLEEEEKFLNAE SYYKKALTLDETFKDAEDALQKLHKYMQKSLELREKQAEKEEKQKTKK >ENSMUSP00000142935.1 pep:known chromosome:GRCm38:3:33800213:33809677:1 gene:ENSMUSG00000027677.17 transcript:ENSMUST00000199222.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc14 description:tetratricopeptide repeat domain 14 [Source:MGI Symbol;Acc:MGI:1914370] MDRDLLRQSLGCHGPALLSLLRSEQQDNPHFRSLLGTAAEPARGAAPPPGAGRKEKRVDN IEIQKFISKKADLLFALSWKSDASPPSEVHDDNDNLYAVMPPLEQFMEMPSMDRRELFFR DIERGDIVIGRISSIREFGFFMVLICLGSGIVRDISHLEITALCPLRDVPSHSNHGDPLS YYQTGDIIRAGIKDIDRYHEKLAVSLYSSSLPPHMAGIKLGVITSEELPLYYRRSVELNS NSLESYENIMQSSLGFVNPGVVEFLLEKLGIDESHPPSLMRGLQSKNFSEDDFASALRKK QSASWALKCVKIGVDYFKVGRHVDAMNEYNKALEIDKQNVEALVARGALYATKGSLNKAI EDFELALENCPTHRNARKYLCQTLVERGGQLEEEEKFLNAESYYKKALTLDETFKDAEDA LQKLHKYMQRKTS >ENSMUSP00000112450.3 pep:known chromosome:GRCm38:3:33800213:33812291:1 gene:ENSMUSG00000027677.17 transcript:ENSMUST00000117915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc14 description:tetratricopeptide repeat domain 14 [Source:MGI Symbol;Acc:MGI:1914370] MDRDLLRQSLGCHGPALLSLLRSEQQDNPHFRSLLGTAAEPARGAAPPPGAGRKEKRVDN IEIQKFISKKADLLFALSWKSDASPPSEVHDDNDNLYAVMPPLEQFMEMPSMDRRELFFR DIERGDIVIGRISSIREFGFFMVLICLGSGIVRDISHLEITALCPLRDVPSHSNHGDPLS YYQTGDIIRAGIKDIDRYHEKLAVSLYSSSLPPHMAGIKLGVITSEELPLYYSKNFSEDD FASALRKKQSASWALKCVKIGVDYFKVGRHVDAMNEYNKALEIDKQNVEALVARGALYAT KGSLNKAIEDFELALENCPTHRNARKYLCQTLVERGGQLEEEEKFLNAESYYKKALTLDE TFKDAEDALQKLHKYMQKSLELREKQAEKEEKQKTKKIETSAEKLRKLLKEEKRLKKKRR KSSSSSSVSSADESVSSSSSSSSSSHKRHKKSKRNRSESSRSSKRHWSRPSSGHTDQSRK DDCYPVPTNTSASFLNQKQEVEKLLEKQDRLQCPNAQVKEKERGLLTSSGEVPDDLGGRS DFYNSYKTQAGSSKTEKPYKSERHFSRRNSSDSFSRNSEDKMKASSYRRFEKDTEGRKDH SRRWEPSSVKYSTSPASSDYSWKSLEKQKKYTYSGSRDVSKHEQRYQLNTNQGERVYEKE DSCGEGNRNEAPEEMLNSKEQPDSRVKKNLPQNLLNIFNQIAEFEKEKGNKPKK >ENSMUSP00000103845.4 pep:known chromosome:GRCm38:3:33800251:33809944:1 gene:ENSMUSG00000027677.17 transcript:ENSMUST00000108210.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc14 description:tetratricopeptide repeat domain 14 [Source:MGI Symbol;Acc:MGI:1914370] MDRDLLRQSLGCHGPALLSLLRSEQQDNPHFRSLLGTAAEPARGAAPPPGAGRKEKRVDN IEIQKFISKKADLLFALSWKSDASPPSEVHDDNDNLYAVMPPLEQFMEMPSMDRRELFFR DIERGDIVIGRISSIREFGFFMVLICLGSGIVRDISHLEITALCPLRDVPSHSNHGDPLS YYQTGDIIRAGIKDIDRYHEKLAVSLYSSSLPPHMAGIKLGVITSEELPLYYRRSVELNS NSLESYENIMQSSLGFVNPGVVEFLLEKLGIDESHPPSLMRGLQSKNFSEDDFASALRKK QSASWALKCVKIGVDYFKVGRHVDAMNEYNKALEIDKQNVEALVARGALYATKGSLNKAI EDFELALENCPTHRNARKYLCQTLVERGGQLEEEEKFLNAESYYKKALTLDETFKDAEDA LQKLHKYMQKSLELREKQAEKEEKQKTKKIETSAEKLRKLLKEEKRLKKKRRKSSSSSSV SSADESVSSSSSSSSSSHKRHKKSKRNRSESSRSSKRHWSRPSSGHTDQSRKDDCYPVPT NTSASFLNQKQEVEKLLEKQDRLQCPNAQVKEKERGLLTSSGEVPDDLGGRSDFYNSYKT QAGSSKTEKPYKSERHFSRRNSSDSFSRNSEDKMKASSYRRFEKDTEGRKDHSRRWEPSS VKYSTSPASSDYSWKSLEKQKKYTYSGSRDVSKHEQRYQLNTNQGERVYEKEDSCGEGNR NEAPEEMLNSKEQPDSRVKKNLPQNLLNIFNQIAEFEKEKGNKPKK >ENSMUSP00000142684.1 pep:known chromosome:GRCm38:3:33800263:33807497:1 gene:ENSMUSG00000027677.17 transcript:ENSMUST00000196975.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc14 description:tetratricopeptide repeat domain 14 [Source:MGI Symbol;Acc:MGI:1914370] MDRDLLRQSLGCHGPALLSLLRSEQQDNPHFRSLLGTAAEPARGAAPPPGAGRKEKRVDN IEIQKFISKKADLLFALSWKSDASPPSEVHDDNDNLYAVMPPLEQFMEMPSMDRRELFFR DIERGDIVIGRISSIREFGFFMVLICLGSGIVRDISHLEITALCPLRDVPSHSNHGDPLS YYQTGDIIRAGIKDIDRYHEKLAVSLYSSSLPPHMAGIKLGVITSEELPLYYSKNFSEDD FASALRKKQSASWALKCVKIGVDYFKVGRHVDAMNEYNKALEIDKQNVEALVARGALYAT KGSLNKAIEDFELALENCPTHRNARKYLCQTLVERGGQLEEEEKFLNAESYYKKALTLDE TFKDAEDALQKLHKYMQVILYFLFEI >ENSMUSP00000142863.1 pep:known chromosome:GRCm38:3:33801214:33808031:1 gene:ENSMUSG00000027677.17 transcript:ENSMUST00000196369.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc14 description:tetratricopeptide repeat domain 14 [Source:MGI Symbol;Acc:MGI:1914370] LEQFMEMPSMDRRELFFRDIERGDIVIGRISSIREFGFFMVLICLGSGIVRDISHLEITA LCPLRDVPSHSNHGDPLSYYQTGDIIRAGIKDIDRYHEKLAVSLYSSSLPPHMAGIKLGV ITSEELPLYYRRSVELNSNSLESYENIMQSSLGFVNPGVVEFLLEKLGIDESHPPSLMRG LQSKNFSEDDFASALRKKQSASWALKCVKIGVDYFKVGRHVDAMNEYNKALEIDKQNVEA LVARGALYATKGSLNKAIEDFELALENCPTHRNARKYLCQTLVERGGQLEEEEKFLNAES YYKKALTLDETFKDAEDALQKLHKYMQVILYFLFEI >ENSMUSP00000099180.3 pep:known chromosome:GRCm38:X:29976314:29999162:1 gene:ENSMUSG00000073257.3 transcript:ENSMUST00000101657.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10488 description:predicted gene 10488 [Source:MGI Symbol;Acc:MGI:3710522] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMLPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000100745.2 pep:known chromosome:GRCm38:X:43591160:43593532:1 gene:ENSMUSG00000078320.4 transcript:ENSMUST00000105113.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm362 description:predicted gene 362 [Source:MGI Symbol;Acc:MGI:2685208] MAIEFGDHSSGFHHTEVIRFINNEVLKNGGSSEFYTTFRSRSWNEIEDQLRTILVDPKVP RSLKRACTWSALALSVRVAARQRQQQARRVWRLQDQVGEHESASWTLVSELQRLREERDQ AAAQLLCTQIILQEAMDEREILRGRLLQAKRSALPVVPERGMEYGKTSLLSFEEKELGDL EFIGSQNMSHLEAQIPILSCLPGLSSPWVQAMDPFLQMTMAHPVPLKAKFSLEFSFSTPV PCPALMDSEATATAMITGLPQIAPSGIQPSSLCVTLESQETIASALDQICPRQKECSEIL QDVSHLADSISCCEGEGPEKPQGTSLHGDSSNNSHKDNQAIPQIMAATEKKNLMMHQGTA AVEVNSNHSIKEEPVMPKGISSQGNKTSSTKKKRPKISRRVVGLIESISHNTQCVSVTPQ ETHTQVNKTTSTLKTYPGNLLRKPDQGKILSCNQKEDSKTLQRLTDLGEGTRNCQKEDTF QQTTCLNAGVSPNEKKMPQGTGKNQSQRQKEEPNSFQANHPRKCKSYLMNKYPKIQLATK QRVKQPEGIKSLESKQPQETKSSESKQQEKPLSHRTSANCICPSSKTVNRSWYKGCYKCA KTSA >ENSMUSP00000143418.1 pep:known chromosome:GRCm38:3:146570426:146625881:1 gene:ENSMUSG00000028186.14 transcript:ENSMUST00000199489.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uox description:urate oxidase [Source:MGI Symbol;Acc:MGI:98907] MVKVLHIQRDGKYHSIKEVATSVQLTLRSKKDYLHGDNSDIIPTDTIKNTVHVLAKLRGI RNIETFAMNICEHFLSSFNHVTRAHVYVEEVPWKRFEKNGIKHVHAFIHTPTGTHFCEVE QMRNGPPVIHSGIKDLKVLKTTQSGFEGFLKDQFTTLPEVKDRCFATQVYCKWRYQRRDV DFEAIWGAVRDIVLQKFAGPYDKGEYSPSVQKTLYDIQVLSLSQLPEV >ENSMUSP00000029837.7 pep:known chromosome:GRCm38:3:146597077:146632305:1 gene:ENSMUSG00000028186.14 transcript:ENSMUST00000029837.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uox description:urate oxidase [Source:MGI Symbol;Acc:MGI:98907] MAHYHDNYGKNDEVEFVRTGYGKDMVKVLHIQRDGKYHSIKEVATSVQLTLRSKKDYLHG DNSDIIPTDTIKNTVHVLAKLRGIRNIETFAMNICEHFLSSFNHVTRAHVYVEEVPWKRF EKNGIKHVHAFIHTPTGTHFCEVEQMRNGPPVIHSGIKDLKVLKTTQSGFEGFLKDQFTT LPEVKDRCFATQVYCKWRYQRRDVDFEAIWGAVRDIVLQKFAGPYDKGEYSPSVQKTLYD IQVLSLSQLPEIEDMEISLPNIHYFNIDMSKMGLINKEEVLLPLDNPYGKITGTVKRKLP SRL >ENSMUSP00000143299.1 pep:known chromosome:GRCm38:3:146597148:146612325:1 gene:ENSMUSG00000028186.14 transcript:ENSMUST00000147409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uox description:urate oxidase [Source:MGI Symbol;Acc:MGI:98907] MVKVLHIQRDGKYHSIKEVATSVQLTLRSKKDYLHGDNSDIIPTDTIKNTVHVLAKLRGI RNIETFAMNICEH >ENSMUSP00000113649.1 pep:known chromosome:GRCm38:3:146597165:146630647:1 gene:ENSMUSG00000028186.14 transcript:ENSMUST00000121133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uox description:urate oxidase [Source:MGI Symbol;Acc:MGI:98907] MAHYHDNYGKIRNIETFAMNICEHFLSSFNHVTRAHVYVEEVPWKRFEKNGIKHVHAFIH TPTGTHFCEVEQMRNGPPVIHSGIKDLKVLKTTQSGFEGFLKDQFTTLPEVKDRCFATQV YCKWRYQRRDVDFEAIWGAVRDIVLQKFAGPYDKGEYSPSVQKTLYDIQVLSLSQLPEKT WKSAFRTFTTLTSTCPKWD >ENSMUSP00000058789.8 pep:known chromosome:GRCm38:5:113086323:113163351:-1 gene:ENSMUSG00000051339.8 transcript:ENSMUST00000050125.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2900026A02Rik description:RIKEN cDNA 2900026A02 gene [Source:MGI Symbol;Acc:MGI:1920194] MDYYYCPSLLKLLRYLWNQLKQCFSRRAPEAKDTDTLVQEADSQYGTWADQHQNGGSFGP ESPSPDSSAASVGKQPPGSHLSSYTESTSVEQRDSSRDRRSSSVDRSSSELESTDGPEGP PPSDVCPAQEDDFSFIHQTSVLDSSALKTRVQLSKRSRRRAPISHSLRRSQFSESESRSP LEEESHSTWMFKDSTEEKSPRRDESDEEPPRVERTPVSHPQRMPVFPGMDPAVLKAQLPK RSEVDSPGDSLSWTPQPKSPKSPFHPGVLGSRVLPPSTEKEERSEECSPQWLKELKSKKR QSLYENQA >ENSMUSP00000133330.1 pep:known chromosome:GRCm38:13:66906968:66916631:-1 gene:ENSMUSG00000021519.10 transcript:ENSMUST00000173891.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf3 description:mitochondrial transcription termination factor 3 [Source:MGI Symbol;Acc:MGI:1913660] XVKENMKVYHLELGFKHNEIQHMVIKIPKMLTANKRKLTEIFDYVHNVMNIPHHIIVKFP QTSWWPLHIVRELHQKQKKREEGNGTRTSD >ENSMUSP00000021991.4 pep:known chromosome:GRCm38:13:66912118:66933088:-1 gene:ENSMUSG00000021519.10 transcript:ENSMUST00000021991.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf3 description:mitochondrial transcription termination factor 3 [Source:MGI Symbol;Acc:MGI:1913660] MALLAQQLPRRFNSVKLTSFIKAKQVTKRSARAGKAVLPGFSAQPLLSSDTSFLRRGIKT YRTLFWNRFHSASTNRTKSSAESTLLPSVAEQQERILSLESELPLEEVDDLPPLSPLQSV SEEEAIQIAAYSPLPISSFTLADYVDHSKTLQKLVQLGVDLSKIEKHPDAANLLLRLDFE KHIKQILLFLKDLGLEDNQLGPFLTKNYAIFSEDLENLKTRVAYLQSKNFSKTDIARMVK NAPFLLSFSVERLDNRLGFFQKELELNVKKTRDLVVRLPRLLTGSLEPVKENMKVYHLEL GFKHNEIQHMVIKIPKMLTANKRKLTEIFDYVHNVMNIPHHIIVKFPQLFNTRVFKIKER HLFLAYLGRAQYDPAKPNYVSLDKFVSFPDKIFCKEIAKASLNDFEKFLKTL >ENSMUSP00000134032.1 pep:known chromosome:GRCm38:13:66912126:66933067:-1 gene:ENSMUSG00000021519.10 transcript:ENSMUST00000173158.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mterf3 description:mitochondrial transcription termination factor 3 [Source:MGI Symbol;Acc:MGI:1913660] MALLAQQLPRRFNSVKLTSFIKAKQVTKRSARAGKAVLPGFSAQPLLSSDTSFLRRGIKT YRTLFWNRFHSASTNRTKSSAESTLLPSVAEQQERILSLESELPLEVSFRGGGYSDCCVL SAAHLLLHTCRLRRPFQDSAEASAAWSGFV >ENSMUSP00000133594.1 pep:known chromosome:GRCm38:13:66917044:66932708:-1 gene:ENSMUSG00000021519.10 transcript:ENSMUST00000173407.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mterf3 description:mitochondrial transcription termination factor 3 [Source:MGI Symbol;Acc:MGI:1913660] MALLAQQLPRRFNSVKLTSFIKAKQVTKRSARAGKAVLPGFSAQPLLSSDTSFLRRGIKT YRTLFWNRFHSASTNRTKSSAESTLLPSVAEQQERILSLESELPLEVSFRGGGYSDCCVL SAAHLLLHTCRLRRPFQDSAEASAAWSGFV >ENSMUSP00000133456.1 pep:known chromosome:GRCm38:13:66917074:66930224:-1 gene:ENSMUSG00000021519.10 transcript:ENSMUST00000173910.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mterf3 description:mitochondrial transcription termination factor 3 [Source:MGI Symbol;Acc:MGI:1913660] MALLAQQLPRRFNSKWMTCLHCLRYSQFQRRRLFRLLRTLRCPSPPSHLQIT >ENSMUSP00000133433.1 pep:known chromosome:GRCm38:13:66922805:66932904:-1 gene:ENSMUSG00000021519.10 transcript:ENSMUST00000172597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf3 description:mitochondrial transcription termination factor 3 [Source:MGI Symbol;Acc:MGI:1913660] MALLAQQLPRRFNSVKLTSFIKAKQVTKRSARAGKAVLPGFSAQPLLSSDTSFLRRGIKT YRTLFWNRFHSASTNRTKSSAESTLLPSVAEQQERILSLESELPLEEVDDLPPLSPLQSV SEEEAIQIAAYSPLPISSFTLADYVDHSKTLQKLVQLGVDLSKIEKHPDAANLLLRLDFE KHIKQILLFLKDLGLEDNQLGPFL >ENSMUSP00000134286.1 pep:known chromosome:GRCm38:13:66928273:66930286:-1 gene:ENSMUSG00000021519.10 transcript:ENSMUST00000174339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf3 description:mitochondrial transcription termination factor 3 [Source:MGI Symbol;Acc:MGI:1913660] MALLAQQLPRRFNSVKLTSFIKAKQVTKRSARAGKAVLPGFSAQPLLSSDTSFLRRGIKT YRTLFWNRFHSASTNRTKSSAESTLLPSVAEQQERILSLESELPLEEVDDLPPLSPLQSV SEE >ENSMUSP00000133596.1 pep:known chromosome:GRCm38:13:66929995:66933038:-1 gene:ENSMUSG00000021519.10 transcript:ENSMUST00000173773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf3 description:mitochondrial transcription termination factor 3 [Source:MGI Symbol;Acc:MGI:1913660] MALLAQQLPRRFNSVKLTSFIKAKQVTKRSARAGKAVLPGFSAQPLLSSDTSFLRRGIKT YRTLFWNRF >ENSMUSP00000022819.5 pep:known chromosome:GRCm38:14:55106830:55116935:-1 gene:ENSMUSG00000022208.11 transcript:ENSMUST00000022819.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jph4 description:junctophilin 4 [Source:MGI Symbol;Acc:MGI:2443113] MSPGGKFDFDDGGCYVGGWEAGRAHGYGVCTGPGAQGEYSGCWAHGFESLGVFTGPGGHS YQGHWQQGKREGLGVERKSRWTYRGEWLGGLKGRSGVWESVSGLRYAGLWKDGFQDGYGT ETYSDGGTYQGQWQAGKRHGYGVRQSVPYHQAALLRSPRRTSLDSGHSDPPTPPPPLPLP GDEGGSPASGSRGGFVLAGPGDADGASSRKRTPAAGGFFRRSLLLSGLRAGGRRSSLGSK RGSLRSEVSSEVGSTGPPGSEASGPPIPAPPALIEGSATEVYAGEWRADRRSGYGVSQRS NGLRYEGEWLGNRRHGYGRTTRPDGSREEGKYKRNRLVHGGRVRSLLPLALRRGKVKEKV DRAVEGARRAVSAARQRQEIAAARAADALLKAVAASSVAEKAVEAARMAKLIAQDLQPML EAPGRRPRQDSGGSDTEPLDEDSPGVYENGLTPSEGSPELPSSPASSHQPWRAPACRSPL PPGGNWGPFSSPKAWPEEWGGPGEQAEELAGYEAEDEAGVQGPGPRDGSPLLGGCSDSSG SLREEEGEDEESLPQLRAPGGSESEPVTTPVLRGLSSRGPDAGCLTEEVEEPAPTERPAQ PGAANPLVVGAVALLDLSLAFLFSQLLT >ENSMUSP00000121893.1 pep:known chromosome:GRCm38:14:55107277:55115552:-1 gene:ENSMUSG00000022208.11 transcript:ENSMUST00000124493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jph4 description:junctophilin 4 [Source:MGI Symbol;Acc:MGI:2443113] MSPGGKFDFDDGGCYVGGWEAGRAHGYGVCTGPGAQGEYSGCWAHGFESLGVFTGPGGHS YQGHWQQGKREGLGVERKSRWTYRGEWLGGLKGRSGVWESVSGLRYAGLWKDGFQDGYGT ETYSDGGTYQGQWQAGKRHGYGVRQSVPYHQAALLRSPRRTSLDSGHSDPPTPPPPLPLP GDEGGSPASGSRGGFVLAGPGDADGASSRKRTPAAGGFFRRSLLLSGLRAGGRRSSLGSK RGSLRSEVSSEVGSTGPPGSEASGPPIPAPPALIEGSATEVYAGEWRADRRSGYGVSQRS NGLRYEGEWLGNRRHGYGRTTRPDGSREEGKYKRNRLVHGGRVRSLLPLALRRGKVKEKV DRAVEGARRAVSAARQRQEIAAARAADALLKAVAASSVAEKAVEAARMAKLIAQDLQPML EAPGRRPRQDSGGSDTEPLDEDSPGVYENGLTPSEGSPELPSSPASSHQPWRAPACRSPL PPGGNWGPFSSPKAWPEEWGGPGEQAEELAGYEAEDEAGVQGPGPRDGSPLLGGCSDSSG SLREEEGEDEESLPQLRAPGGSESEPVTTPVLRGLSSRGPDAGCLTEEVEEPAPTERPAQ PGAANPLVVGAVALLDLSLAFLFSQLLT >ENSMUSP00000047878.7 pep:known chromosome:GRCm38:18:37957434:37969774:-1 gene:ENSMUSG00000038524.13 transcript:ENSMUST00000043437.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fchsd1 description:FCH and double SH3 domains 1 [Source:MGI Symbol;Acc:MGI:2441771] MQPPPRKVKPAQEVKLRFLEQLSILQTRQQREADLLEDIRSYSKQRAAIEREYGQALQKL AGPFLKREGQRSGEADSRTVFGAWRCLLDATVAGGQTRLQASDRYRDLAGGTGRSAKEQV LRKGTESLQQAQAEVLQSVRELSRSRKLYGQRQRVWALAQEKAADVQARLNRSDHGIFHS RTSLQKLSTKLSAQSAQYSQQLRAARNEYLLNLVATNAHLAHYYQEELPALLKVLVSELS EYLRDPLTLLGHTELEAAEMILEHARHGGKATSQVNWEQDVKLFLQGPGVFSPTPPQQFQ PAGADQVCGLEWGAGGMAGESGLEKEVQRWTSRAARDYKIQHHGHRVLQRLEQRRQQAPG REAPGVEQRLQEVRENIRRAQVSQVKGAARLALLQEAGLDVQRWLKPAMTQAQDEVEQER RLSEARLSQRDLSPTAEDAELSDFDECEEAGELFEEPAPPALATRPLPCPAHVVFGYQAG REDELTITEGEWLEVIEEGDADEWVKARNQHGEAGFVPERYLNFPDLSLPESCHGIDNPS GGEPTAFLARALYSYTGQSEEELSFPEGALIRLLPRAQDGVDDGFWRGEFGGHVGVFPSL LVEELLGPPGPPELSDPEQMLPSPSPPSFSPPAPTCALDGSTAPALPSDKVLDCPGPLDM MVPRLRPMRPPPPPPAKAPDPGHPDPLT >ENSMUSP00000129825.1 pep:known chromosome:GRCm38:18:37965658:37969769:-1 gene:ENSMUSG00000038524.13 transcript:ENSMUST00000166148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fchsd1 description:FCH and double SH3 domains 1 [Source:MGI Symbol;Acc:MGI:2441771] MQPPPRKVKPAQEVKLRFLEQLSILQTRQQREADLLEDIRSYSKQRAAIEREYGQALQKL AGPFLKREGQRSGEADSRTVFGAWRCLLDATVAGVFSPTPPQQFQPAGA >ENSMUSP00000133058.1 pep:known chromosome:GRCm38:18:37967639:37969769:-1 gene:ENSMUSG00000038524.13 transcript:ENSMUST00000163131.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fchsd1 description:FCH and double SH3 domains 1 [Source:MGI Symbol;Acc:MGI:2441771] MQPPPRKVKPAQEVKLRFLEQLSILQTRQQREADLLEDIRSYSKQRAAIEREYGQALQKL AGPFLKREGQRSGEADSRGRTVFGAWRCLLDATVAGGQTRLQASDRYRDLAGGTGRSAKE QVLRKGTESLQQAQAEV >ENSMUSP00000128481.1 pep:known chromosome:GRCm38:18:37967805:37969769:-1 gene:ENSMUSG00000038524.13 transcript:ENSMUST00000164222.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fchsd1 description:FCH and double SH3 domains 1 [Source:MGI Symbol;Acc:MGI:2441771] MQPPPRKVKPAQEVKLRFLEQLSILQTRQQREADLLEDIRSYSKQRAAIEREYGQLG >ENSMUSP00000064775.6 pep:known chromosome:GRCm38:13:8202866:8761530:1 gene:ENSMUSG00000052551.15 transcript:ENSMUST00000064473.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adarb2 description:adenosine deaminase, RNA-specific, B2 [Source:MGI Symbol;Acc:MGI:2151118] MASVLGSGRGSGGLSSQLKCKSKRRRRRRSKRKDKVSILSTFLAPFKYLSPGTTNTEDED NLSTSSAEVKENRNVSNLGTRPLPPGDWARGSTPSVKRKRPLEEGNGGHFCKLQLIWKKL SWSVTPKNALVQLHELKPGLQYRMVSQTGPVHAPVFAVAVEVNGLTFEGTGPTKKKAKMR AAEMALKSFVQFPNAFQAHLAMGSSTSPCTDFTSDQADFPDTLFKEFEPSSKNEDFPGCH PVDTEFLSSAYRRGRLLYHTLDLMGQALPDRSRLAPGALGERNPVVVLNELRSGLRYVCL SETAEKPRVKSFVMAVCVDGRTFEGSGRSKKLAKGQAAQAALQALFDIRLPGHIPSRSKS NLLPQDFADSVSQLVTQKFRELTVGLTSVYARHKTLAGIVMTKGLDTKQAQVIVLSSGTK CISGEHISDQGLVVNDCHAEIVARRAFLHFLYSQLELHLSKHQEDPERSIFIRLKEGGYR LRENILFHLYVSTSPCGDARVNSPYEITTDLNSSKHIVRKFRGHLRTKIESGEGTVPVRG PSAVQTWDGILLGEQLITMSCTDKIASWNVLGLQGALLCHFIEPVYLHSIIVGSLHHTGH LARVMSHRMEGIGQLPASYRQNRPLLSGVSHAEARQPGKSPHFSANWVVGSADLEIINAT TGKRSCGGSSRLCKHVFSAWWARLHGRLSTRIPSHGDTPSMYCEAKQGAHTYQSVKQQLF KAFQKAGLGTWVRKPPEQDQFLLSL >ENSMUSP00000121366.1 pep:known chromosome:GRCm38:13:8202908:8340870:1 gene:ENSMUSG00000052551.15 transcript:ENSMUST00000139438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adarb2 description:adenosine deaminase, RNA-specific, B2 [Source:MGI Symbol;Acc:MGI:2151118] MASVLGSGRGSGGLSSQLKCKSKRRRRRRSKRKDSYNQGAF >ENSMUSP00000115148.1 pep:known chromosome:GRCm38:13:8202913:8768747:1 gene:ENSMUSG00000052551.15 transcript:ENSMUST00000135574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adarb2 description:adenosine deaminase, RNA-specific, B2 [Source:MGI Symbol;Acc:MGI:2151118] MASVLGSGRGSGGLSSQLKCKSKRRRRRRSKRKDKVSILSTFLAPFKYLSPGTTNTEDED NLSTSSAEVKENRNVSNLGTRPLPPGDWARGSTPSVKRKRPLEEGNGGHFCKLQLIWKKL SWSVTPKNALVQLHELKPGLQYRMVSQTGPVHAPVFAVAVEVNGLTFEGTGPTKKKAKMR AAEMALKSFVQFPNAFQAHLAMGSSTSPCTDFTSDQADFPDTLFKEFEPSSKNEDFPGCH PVDTEFLSSAYRRGRLLYHTLDLMGQALPDRSRLAPGALGERNPVVVLNELRSGLRYVCL SETAEKPRVKSFVMAVCVDGRTFEGSGRSKKLAKGQAAQAALQALFDIRLPGHIPSRSKS NLLPQDFADSVSQLVTQKFRELTVGLTSVYARHKTLAGIVMTKGLDTKQAQVIVLSSGTK CISGEHISDQGLVVNDCHAEIVARRAFLHFLYSQLELHLSKHQEDPERSIFIRLKEGGYR LRENILFHLYVSTSPCGDARVNSPYEITTDLNSSKHIVRKFRGHLRTKIESGEGTVPVRG PSAVQTWDGILLGEQLITMSCTDKIASWNVLGLQGALLCHFIEPVYLHSIIVGSLHHTGH LARVMSHRMEGIGQLPASYRQNRPLLSGVSHAEARQPGKSPHFSANWVVGSADLEIINAT TGKRSCGGSSRLCKHVFSAWWARLHGRLSTRIPSHGDTPSMYCEAKQGAHTYQSVKQQLF KAFQKAGLGTWVRKPPEQDQFLLSL >ENSMUSP00000120757.1 pep:known chromosome:GRCm38:13:8202922:8758872:1 gene:ENSMUSG00000052551.15 transcript:ENSMUST00000123187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adarb2 description:adenosine deaminase, RNA-specific, B2 [Source:MGI Symbol;Acc:MGI:2151118] MASVLGSGRGSGGLSSQLKCKSKRRRRRRSKRKDKVSILSTFLAPFKYLSPGTTNTEDED NLSTSSAEVKENRNVSNLGTRPLPPGDWARGSTPSVKRKRPLEEGNGGHFCKLQLIWKKL SWSVTPKNALVQLHELKPGLQYRMVSQTGPVHAPVFAVAVEVNGLTFEGTGPTKKKAKMR AAEMALKSFVQFPNAFQAHLAMGSSTSPCTDFTSDQADFPDTLFKEFEPSSKNEDFPGCH PVDTEFLSSAYRRGRLLYHTLDLMGQALPDRSRLAPGALGERNPVVVLNELRSGLRYVCL SETAEKPRVKSFVMAVCVDGRTFEGSGRSKKLAKGQAAQAALQALFDIRLPGHIPSRSKS NLLPQDFADSVSQLVTQKFRELTVGLTSVYARHKTLAGIVMTKGLDTKQAQVIVLSSGTK CISGEHISDQGLVVNDCHAEIVARRAFLHFLYSQLELHLSKHQEDPERSIFIRLKEGGYR LRENILFHLYVSTSPCGDARVNSPYEITTDLNSSKHIVRKFRGHLRTKIESGEGTVPVRG PSAVQTWDGILLGEQLITMSCTDKIASWNVLGLQGALLCHFIEPVYLHSIIVGSLHHTGH LARVMSHRMEGIGQLPASYRQNRPLLSGVSHAEARQPGKSPHFSANWVVGSADLEIINAT TGKRSCGGSSRLCKHVFSAWWARLHAKHTDPQPWRHTIHVL >ENSMUSP00000144721.1 pep:known chromosome:GRCm38:3:75274988:75481740:-1 gene:ENSMUSG00000104301.3 transcript:ENSMUST00000193989.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr49 description:WD repeat domain 49 [Source:MGI Symbol;Acc:MGI:3645287] MSGINTVVLAWREKSKKLLKMTSFNIAQGIHAFDYHSRLNLIATAGINNKVCLWNPYVLS KPVGVLWGHSASVIAVQFFAERKQLFSFSRDKVLRLWDIQHQLSIQRITCSFPKSQDFRC LFQFDETHGRLFISFNNQLALLAIKNEASKRVKSHLKAVSCVLYNSLLKQVISSDVGSTV SFWMIETGQKIKQFAGCHGNAEISTMALDANKTRLLTGSTDGTVKVWDFNGYCHYTLNVG QDGVVDISQILVLKKTILVVGWERALIVFRPQNFNQFFIQSEEWKGGVHHQDDILCAAFS PPQTLVTGSYDGEIVLWNNNTENAHHALHPGYQRQLTSMVDVEAQKLNSRRSCESHGVAD QATQAARNVKIDTEGNNAVMRLCFLEARKTKAATGGANLVSCGASGYVRFWDTFKKVLLA EFLAHAGVGSIIMSTDKLNQYLATGDSDGWLKIWNIEEYCTNSTESKITQPPPLLRSSQP HEDRISSLEMCESCGRLLIISSSADCSVCVTGLSSAPALIFGQASHWNIENHFSLPERDT NLIESKNQEERMKVNPLFSKEELCLDSTEHSLLTEEDEDNSTHLSTEEMNFSLKYKRRNS LKKKAYKPCYGEIIQTSTSTFGSLCLRTLKELPEVKKPAFLLDPDKYFKEGPEEDVSQIP ELPLLSETLKAVFDEKSLFPKELLYRERQDKSLCQETNGEMKIKQNKKQL >ENSMUSP00000144789.1 pep:known chromosome:GRCm38:3:75396868:75481741:-1 gene:ENSMUSG00000104301.3 transcript:ENSMUST00000203169.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr49 description:WD repeat domain 49 [Source:MGI Symbol;Acc:MGI:3645287] MMQRGEVIRSYPEAALGLTVGHQSEPTRLEKTEGFTASEDYGTDLFENKLSVEDFVKIQQ VFESQDPSQTICMPREEFVQKMAETVGWGTKEEYGELFDKVDVARDGFIDWDKLTSFILL LLYERDERAKATVVPQWKDLEFLPGKHKDTIQKVVFLKSSSRYLTVSKEGLLGIWGENLK LQESLPITSDAIKLKHLWVTSLVSLENVNKIAVAFTSREICFYDLLSKEEFPCQYKLQGL QGAPICMDYWYDPIDPKDSILSFGDITGKVQAVAFTAAMISLFERPANACDNEEATMTID WAELVSGCHKCCHVLQHTLHHGDWVRQVTYNASLDAFISSTMSGINTVVLAWREKSKKLL KMTSFNIAQGIHAFDYHSRLNLIATAGINNKVCLWNPYVLSKPVGVLWGHSASVIAVQFF AERKQLFSFSRDKVLRLWDIQHQLSIQRITCSFPKSQDFRCLFQFDETHGRLFISFNNQL ALLAIKNEASKRVKSHLKAVSCVLYNSLLKQ >ENSMUSP00000145379.1 pep:known chromosome:GRCm38:3:75396868:75451818:-1 gene:ENSMUSG00000104301.3 transcript:ENSMUST00000204341.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr49 description:WD repeat domain 49 [Source:MGI Symbol;Acc:MGI:3645287] SQDPSQTICMPREEFVQKMAETVGWGTKEEYGELFDKVDVARDGFIDWDKLTSFILLLLY ERDERAKATVVPQWKDLEFLPGKHKDTIQKVVFLKSSSRYLTVSKEGLLGIWGENLKLQE SLPITSDAIKLKHLWVTSLVSLENVNKIAVAFTSREICFYDLLSKEEFPCQYKLQGLQGA PICMDYWYDPIDPKDSILSFGDITGKVQAVAFTAAMISLFERPANACDNEEATMTIDWAE LVSGCHKCCHVLQHTLHHGDWVRQVTYNASLDAFISSTMSGINTVVLAWREKSKKLLKMT SFNIAQGIHAFDYHSRLNLIATAGINNKVCLWNPYVLSKPVGVLWGHSASVIAVQFFAER KQLFSFSRDKVLRLWDIQHQLSIQRITCSFPKSQDFRCLFQFDETHGRLFISFNNQLALL AIKNEASKRVKSHLKAVSCVLYNSLLKQ >ENSMUSP00000110709.1 pep:known chromosome:GRCm38:X:44365458:44368342:-1 gene:ENSMUSG00000050926.5 transcript:ENSMUST00000115057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf12l2 description:DDB1 and CUL4 associated factor 12-like 2 [Source:MGI Symbol;Acc:MGI:2445178] MAPQQTGSRKRKASEVEQGAGTSSLPGRAAAAAGTGQADGPLLLAKRPRRPVARRSLVHY LKGRALGADGHPGVAGFEGDLRSYGVLRLPELLRERQLTLGPLNKVFASQWLNARQVVCG TKCNTLFVVDVQTGRITRIPLMRDRGPGQTRAQPTCGIHAIQLNPSKTLLATGGENPNSL AVYQLPTLDPVCLGDRQGHRDWIFAIAWMSDTVAVSGSRDGTVALWRVDSDMFNGSIPWH NNSGIPRYSHIRPRDMEAIPRATTNPGNRKVRALAFSGRNQELGAVSLDGYFHLWKARSS LSRLLSLRLPYCRENVCLTYCDELSLYAVGSQSHVSFLDPRQRQQNIKPLCSREGGTGVR SLSFYQHIITVGTGHGSLLFYDIRAQKFLEERASASPDSFLGRTGRKLKLTCGRGWLNQD ELWVNYFGGTEEFPNALYTHCYNWPEMKLFVGGGPLPSGLHGNYAGLWS >ENSMUSP00000110867.1 pep:known chromosome:GRCm38:6:30047988:30153458:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000115212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAE ATLQGGGQIVLSGETAAAVGALTGVQDANGLVQIPVSMYQTVVTSLAQGNGPVQVAMAPV TTRISDSAVTMDGQAVEVVTLEQ >ENSMUSP00000114650.1 pep:known chromosome:GRCm38:6:30047991:30098575:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000123194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAVLGIKPRASHILGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQ TRLLRKLRATLDEYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENV >ENSMUSP00000110866.1 pep:known chromosome:GRCm38:6:30048002:30153180:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000115211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAE ATLQGGGQIVLSGETAAAVGALTGVQDANGLVQIPVSMYQTVVTSLAQGNGPVQVAMAPV TTRISDSAVTMDGQAVEVVTLEQ >ENSMUSP00000110860.1 pep:known chromosome:GRCm38:6:30048031:30144860:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000115206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAE ATLQGGGQIVLSGETAAAVGALTGVQDANGPDGVHAWTISKAVTAPQAAGKIHTDFGKGF IMAEVMKDEDLKEESSENAAKAAGKYKQQGRAYVVEDGDIIFFKFNSPQQLKKK >ENSMUSP00000117868.1 pep:known chromosome:GRCm38:6:30048042:30095346:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000133928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAVLGIKPRASHILGPV >ENSMUSP00000110863.1 pep:known chromosome:GRCm38:6:30048045:30152523:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000115208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAE ATLQGGGQIVLSGETAAAVGALTGVQDANGLFMADCGGYKWILARRLQAWSRSL >ENSMUSP00000110864.1 pep:known chromosome:GRCm38:6:30048067:30152518:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000115209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAE ATLQGGGQIVLSGETAAAVGALTGVQDANGLVQIPVSMYQTVVTSLAQGNGPVQVAMAPV TTRISDSAVTMDGQAVEVVTLEQ >ENSMUSP00000110854.1 pep:known chromosome:GRCm38:6:30048072:30130116:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000115200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAE ATLQGGGQIVLSGETAAAVGALTGVQDANGLGSPVLPEIMASKTRGYRHSCGRDLYRLFS FFLLYSKNNERILLAPATSGSPCFYVRNIITIRVGILSS >ENSMUSP00000110858.1 pep:known chromosome:GRCm38:6:30048072:30145197:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000115204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNRPRWSSCMDHQQS RDGSSGCRKDSYRFWKGIHYGGSNERRRS >ENSMUSP00000143957.1 pep:known chromosome:GRCm38:6:30048151:30090038:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000148990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSML >ENSMUSP00000110853.1 pep:known chromosome:GRCm38:6:30076321:30129760:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000115199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAVLGIKPRASHILGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQ TRLLRKLRATLDEYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLE SALAEHAPAPQEVNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKES CKPIWWPEDIPWANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQ TQATTTHSIAHLVPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNY SAVADGEVEQNWATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNS EAAAHAVATLAEATLQGGGQIVLSGETAAAVGALTGVQDANGLGSPVLPEIMASKTRGYR HSCGRDLYRLFSFFLLYSKNNERILLAPATSGSPCFYVRNIITIRVGILSS >ENSMUSP00000114451.1 pep:known chromosome:GRCm38:6:30116115:30140904:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000132866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] XRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHLVPSQTVVQTFSNPDGTVSLIQ VGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNWATLQGGEMTIQTTQASEATQA VASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAEATLQGGGQIVLSGETAAAVGA LTGVQDANGLFMADCGGYKWILARRLQVGSSLGREGSS >ENSMUSP00000132637.2 pep:known chromosome:GRCm38:6:30089895:30144855:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000170535.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNRPRWSSCMDHQQS RDGSSGCRKDSYRFWKGIHYGGSNERRRS >ENSMUSP00000130108.2 pep:known chromosome:GRCm38:6:30089895:30151677:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000167972.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAE ATLQGGGQIVLSGETAAAVGALTGVQDANGLVQIPVSMYQTVVTSLAQGNGPVQVAMAPV TTRISDSAVTMDGQAVEVVTLEQ >ENSMUSP00000004761.6 pep:known chromosome:GRCm38:6:30089895:30129392:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000004761.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAE ATLQGGGQIVLSGETAAAVGALTGVQDANGLGSPVLPEIMASKTRGYRHSCGRDLYRLFS FFLLYSKNNERILLAPATSGSPCFYVRNIITIRVGILSS >ENSMUSP00000067447.8 pep:known chromosome:GRCm38:6:30089895:30129392:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000069831.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAVLGIKPRASHILGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQ TRLLRKLRATLDEYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLE SALAEHAPAPQEVNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKES CKPIWWPEDIPWANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQ TQATTTHSIAHLVPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNY SAVADGEVEQNWATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNS EAAAHAVATLAEATLQGGGQIVLSGETAAAVGALTGVQDANGLGSPVLPEIMASKTRGYR HSCGRDLYRLFSFFLLYSKNNERILLAPATSGSPCFYVRNIITIRVGILSS >ENSMUSP00000065568.6 pep:known chromosome:GRCm38:6:30089889:30144855:1 gene:ENSMUSG00000058440.14 transcript:ENSMUST00000069808.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrf1 description:nuclear respiratory factor 1 [Source:MGI Symbol;Acc:MGI:1332235] MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILN STAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLD EYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQE VNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPW ANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHL VPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNW ATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAE ATLQGGGQIVLSGETAAAVGALTGVQDANGPDGVHAWTISKAVTAPQAAGKIHTDFGKGF IMAEVMKDEDLKEESSENAAKAAGKYKQQGRAYVVEDGDIIFFKFNSPQQLKKK >ENSMUSP00000080737.3 pep:known chromosome:GRCm38:X:71050256:71156056:1 gene:ENSMUSG00000059401.13 transcript:ENSMUST00000082088.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mamld1 description:mastermind-like domain containing 1 [Source:MGI Symbol;Acc:MGI:3045303] MDDWKSRLVIENMLPHFNMVGNRQETRKLQESGTSKRRQEGENFHFTGMADGSYPNKIKR PCLEDVTLSMGPGAHPTSLSTEMQMPTLNMNPTSADLGVAGQSLLLENNPLDSNVVNSSA MGSPFGVPSTADTGLKGHAVPYYEKNNSMPAVDQELQDLLEELTKIQEPSSNDLDLEKIL GSKPEEPLVLHNPQAPLGPPAKLPVQMPHMESLGSSKEFASSCSQVAGTSLPIMPSSTGM SYSIPSSSKQIVSSSSSTAQAQVKNQVQNMLPVTMPPLSVPQWHHAHQLKALAASKQGSA TKQGSNRNWSSLPPPGLSPPYLPVPSPHPPPPQPPPPPFSPQNFTASCMSSSSLSGSAVQ SSPNALLSSMAPSSNASLGPTLPYVPAKLPGLPLNQQPQFSPQSSILANLVSSSVKSPQG HLISALPTSTPGPSPPYRPENLSSPGLPQQSFTPQYSLIRSLTPTSNLLSQQQQQQQQQQ QQQQQQQQQQQQQQHQANSIFKPMTSSQQPKTLSMIMQQGLSSSSPEAPEPFTFSNTKPL SHFVSEPSPQKMASMSTHSRQSSLLHYLPQATPAHAPSATASSTATATLQLQHHHQQHHH QQHHHQQQHHQQQHHQQHHHQQQQHQQQQHQQQQQQQPDQSSFLLQQIMQQPQRFQRMMA SDSMPALPGQGCCHRCAWTSTALWLEHQHQQWNSLTSTHGHVPPSNLTHVDKACKLGEAR PPHVSLGRQPPSRQALGSESFLPGSSFAHELARVTSTSSYNTSEAAPWGGWDPKAWRQVP APLLPSCDAAAREAEIRSYGNDP >ENSMUSP00000110276.3 pep:known chromosome:GRCm38:X:71050256:71154717:1 gene:ENSMUSG00000059401.13 transcript:ENSMUST00000114629.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mamld1 description:mastermind-like domain containing 1 [Source:MGI Symbol;Acc:MGI:3045303] MDDWKSRLVIENMLPHFNMVGNRQETRKLQESGTSKRRQEGENFHFTGMADGSYPNKIKR PCLEDVTLSMGPGAHPTSLSTEMQMPTLNMNPTSADLGVAGQSLLLENNPLDSNVVNSSA MGSPFGVPSTADTGLKGHAVPYYEKNNSMPAVDQELQDLLEELTKIQEPSSNDLDLEKIL GSKPEEPLVLHNPQAPLGPPAKLPVQMPHMESLGSSKEFASSCSQVAGTSLPIMPSSTGM SYSIPSSSKQIVSSSSSTAQAQVKNQVQNMLPVTMPPLSVPQWHHAHQLKALAASKQGSA TKQGSNRNWSSLPPPGLSPPYLPVPSPHPPPPQPPPPPFSPQNFTASCMSSSSLSGSAVQ SSPNALLSSMAPSSNASLGPTLPYVPAKLPGLPLNQQPQFSPQSSILANLVSSSVKSPQG HLISALPTSTPGPSPPYRPENLSSPGLPQQSFTPQYSLIRSLTPTSNLLSQQQQQQQQQQ QQQQQQQQQQQQQQHQANSIFKPMTSSQQPKTLSMIMQQGLSSSSPEAPEPFTFSNTKPL SHFVSEPSPQKMASMSTHSRQSSLLHYLPQATPAHAPSATASSTATATLQLQHHHQQHHH QQHHHQQQHHQQQHHQQHHHQQQQHQQQQHQQQQQQQPDQSSFLLQQIMQQPQRFQRMMA SDSMPALPGQTHVDKACKLGEARPPHVSLGRQPPSRQALGSESFLPGSSFAHELARVTST SSYNTSEAAPWGGWDPKAWRQVPAPLLPSCDAAAREAEIRSYGNDP >ENSMUSP00000085127.2 pep:known chromosome:GRCm38:19:12098702:12099639:-1 gene:ENSMUSG00000067525.2 transcript:ENSMUST00000087825.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1427 description:olfactory receptor 1427 [Source:MGI Symbol;Acc:MGI:3031261] MELGNHTKVTEFIFCGLTQSQELSLLLFFFLSIVYITTVLVNVTIMVTVTWESRLHTPMY FLLRNLSVLDICFSSITVPKVLVDLLSRRKTISFNGCFTQIFFFHLLGGADIFSLSVMAF DRYMAIFRPLHYVTIMSRGRCTALIAASWVGGFVHSIVQIFLLLPLPFCGPNVVDSFYCD VPQVLKLACTDTFVLELLMISNNGLITTLWFVLLLVSYTVILTMLRSHTGEGRKKAISTC TSHITVVTLHFVPCIYVYARPFTALPMDRAVSITLNIIVPVLNPMIYTLRNQEMKSAMKR LRKRLILSEVE >ENSMUSP00000052523.6 pep:known chromosome:GRCm38:17:15521575:15527301:-1 gene:ENSMUSG00000014771.12 transcript:ENSMUST00000054450.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd2 description:programmed cell death 2 [Source:MGI Symbol;Acc:MGI:104643] MAAAAPGPVELGFAEEAPAWRLRSEQFPSKVGGRPAWLGLAELPGPGALACARCGRPLAF LLQVYAPLPGRDDAFHRSLFLFCCREPPCCAGLRVFRNQLPRNNAFYSYEPPSETEALGT ECVCLQLKSGAHLCRVCGCLAPMTCSRCKQAHYCSKEHQTLDWRLGHKQACTQSDKIDHM VPDHNFLFPEFEIVTETEDEILPEVVEMEDYSEVTGSMGGIPEEELDSMAKHESKEDHIF QKFKSKIALEPEQILRYGRGIKPIWISGENIPQEKDIPDCPCGAKRIFEFQVMPQLLNHL KADRLGRSIDWGVLAVFTCAESCSLGSGYTEEFVWKQDVTDTP >ENSMUSP00000118625.1 pep:known chromosome:GRCm38:17:15519208:15527281:-1 gene:ENSMUSG00000014771.12 transcript:ENSMUST00000154293.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd2 description:programmed cell death 2 [Source:MGI Symbol;Acc:MGI:104643] MAAAAPGPVELGFAEEAPAWRLRSEQFPSKVGGRPAWLGLAELPGPGALACARCGRPLAF LLQVYAPLPGRDDAFHRSLFLFCCREPPCCAGLRVFRNQLPRNNAFYSYEPPSETEALGT ECVCLQLKSGAHLCRVCGCLAPMTCSRCKQAHYCSKEHQTLDWRLGHKQACTQSDKIDHM VPDHNFLFPEFEIVTETEDEILPEVVEMEDYSEVTGSMGGIPEEELDSMAKHESKEDHIF QKFKSKIALEPEQTVFTG >ENSMUSP00000002275.8 pep:known chromosome:GRCm38:7:44748413:44777515:1 gene:ENSMUSG00000002205.16 transcript:ENSMUST00000002275.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk3 description:vaccinia related kinase 3 [Source:MGI Symbol;Acc:MGI:2182465] MISFCPVCGKSVKVSFKFCPYCGKALPVEEDGGTQSAVTPHVSSVPGSRRDLNSSFETSP KKVKCSHTVTSLPLSRHSDCDSSGSDNTLTSPDRATGTRSRPLTPKGSPLSNRQSPQTLK RTRVTTSLQALATGTELTDQNGKHWTLGALQIRDDQGILYEAEPTSAVPSESRTQKWRFS LKLDSKDGRLFNEQNFFQRVAKPLQVNKWKKQFLLPLLAIPTCIGFGIHQDKYRFLVFPS LGRSLQSALDDNPKHVVSERCVLQVACRLLDALEYLHENEYVHGNLTAENVFVNPEDLSQ VTLVGYGFTYRYCPGGKHVAYKEGSRSPHDGDLEFISMDLHKGCGPSRRSDLQTLGYCML KWLYGSLPWTNCLPNTEKITRQKQKYLDSPERLVGLCGRWNKASETLREYLKVVMALNYE EKPPYATLRNSLEALLQDMRVSPYDPLDLQMVP >ENSMUSP00000132748.1 pep:known chromosome:GRCm38:7:44748584:44759769:1 gene:ENSMUSG00000002205.16 transcript:ENSMUST00000171821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk3 description:vaccinia related kinase 3 [Source:MGI Symbol;Acc:MGI:2182465] MISFCPVCGKSVKVSFKFCPYCGKALPVEEDGGTQSAVTPHVSSVPGSRRDLNSSFETSP KKVKCSHTVTSLPLSRHSDCDSSGSDNTLTSPDRATGTRSRPLTPKGSPLSNRQSPQTL >ENSMUSP00000130331.1 pep:known chromosome:GRCm38:7:44748636:44777515:1 gene:ENSMUSG00000002205.16 transcript:ENSMUST00000147952.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vrk3 description:vaccinia related kinase 3 [Source:MGI Symbol;Acc:MGI:2182465] MISFCPVCGKSVKVSFKFCPYCGKALPVEEDGGTQSAVTPHVSSVPGSRRDLNSSFETSP KKVKCSHTVTSLPLSRHSDCDSSGSDNTLTSPDRATGTRSRPLTPKGSPLSNRQSPQTLK RTRVTTSLQALATGTELTDQNGKHWTLGALQIRDDQGILYEGLQGWAPFQ >ENSMUSP00000131704.1 pep:known chromosome:GRCm38:7:44748646:44763055:1 gene:ENSMUSG00000002205.16 transcript:ENSMUST00000165957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk3 description:vaccinia related kinase 3 [Source:MGI Symbol;Acc:MGI:2182465] MISFCPVCGKSVKVSFKFCPYCGKALPVEEDGGTQSAVTPHVSSVPGSRRDLNSSFETSP KKVKCSHTVTSLPLSRHSDCDSSGSDNTLTSPDRATGTRSRPLTPKGSPLSNRQSPQTLK RTRVTTSLQALATGTELTDQNGKHWTLGALQIRDDQGILYEAEPTSAVPSESRTQKWRFS LKLDSKDGRLFNEQNFFQRVAKPL >ENSMUSP00000119073.2 pep:known chromosome:GRCm38:7:44748647:44764803:1 gene:ENSMUSG00000002205.16 transcript:ENSMUST00000144515.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk3 description:vaccinia related kinase 3 [Source:MGI Symbol;Acc:MGI:2182465] MISFCPVCGKSVKVSFKFCPYCGKALPVEEDGGTQSAVTPHVSSVPGSRRDLNSSFETSP KKVKCSHTVTSLPLSRHSDCDSSGSDNTLTSPDRATAEPTSAVPSESRTQKWRFSLKLDS KDGRLFNEQNFFQRVAKPLQVNKWKKQFLLPLLAIPTCIGFGIHQDKYRFLVFPSL >ENSMUSP00000122114.2 pep:known chromosome:GRCm38:7:44748943:44753955:1 gene:ENSMUSG00000002205.16 transcript:ENSMUST00000125705.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk3 description:vaccinia related kinase 3 [Source:MGI Symbol;Acc:MGI:2182465] MISFCPVCGKSVKVSFKFCPYCGKALPVEEDGGTQSAVTPHVSSVP >ENSMUSP00000138800.1 pep:known chromosome:GRCm38:1:138063407:138175306:-1 gene:ENSMUSG00000026395.16 transcript:ENSMUST00000182283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprc description:protein tyrosine phosphatase, receptor type, C [Source:MGI Symbol;Acc:MGI:97810] MTMGLWLKLLAFGFALLDTEVFVTGQTPTPSDGASLTTLTPSTLGLASTDPPSTTIATTT KQTCAAMFGNITVNYTYESSNQTFKADLKDVQNAKCGNEDCENVLNNLEECSQIKNISVS NDSCAPATTIDLYVPPGTDKFSLHDCTPKEKANTSICLEWKTKNLDFRKCNSDNISYVLH CEPENNTKCIRRNTFIPERCQLDNLRAQTNYTCVAEILYRGVKLVKNVINVQTDLGIPET PKPSCGDPAARKTLVSWPEPVSKPESASKPHGYVLCYKNNSEKCKSLPNNVTSFEVESLK PYKYYEVSLLAYVNGKIQRNGTAEKCNFHTKADRPDKVNGMKTSRPTDNSINVTCGPPYE TNGPKTFYILVVRSGGSFVTKYNKTNCQFYVDNLYYSTDYEFLVSFHNGVYEGDSVIRNE STNFNAKALIIFLVFLIIVTSIALLVVLYKIYDLRKKRSSNLDEQQELVERDDEKQLMDV EPIHSDILLETYKRKIADEGRLFLAEFQSIPRVFSKFPIKDARKPHNQNKNRYVDILPYD YNRVELSEINGDAGSTYINASYIDGFKEPRKYIAAQGPRDETVDDFWRMIWEQKATVIVM VTRCEEGNRNKCAEYWPSMEEGTRAFKDIVVTINDHKRCPDYIIQKLNVAHKKEKATGRE VTHIQFTSWPDHGVPEDPHLLLKLRRRVNAFSNFFSGPIVVHCSAGVGRTGTYIGIDAML EGLEAEGKVDVYGYVVKLRRQRCLMVQVEAQYILIHQALVEYNQFGETEVNLSELHSCLH NMKKRDPPSDPSPLEAEYQRLPSYRSWRTQHIGNQEENKKKNRNSNVVPYDFNRVPLKHE LEMSKESEPESDESSDDDSDSEETSKYINASFVMSYWKPEMMIAAQGPLKETIGDFWQMI FQRKVKVIVMLTELVNGDQEVCAQYWGEGKQTYGDMEVEMKDTNRASAYTLRTFELRHSK RKEPRTVYQYQCTTWKGEELPAEPKDLVSMIQDLKQKLPKASPEGMKYHKHASILVHCRD GSQQTGLFCALFNLLESAETEDVVDVFQVVKSLRKARPGVVCSYEQYQFLYDIIASIYPA QNGQVKKTNSQDKIEFHNEVDGGKQDANCVRPDGPLNKAQEDSRGVGTPEPTNSAEEPEH AANGSASPAPTQSS >ENSMUSP00000138350.1 pep:known chromosome:GRCm38:1:138063413:138175267:-1 gene:ENSMUSG00000026395.16 transcript:ENSMUST00000183301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprc description:protein tyrosine phosphatase, receptor type, C [Source:MGI Symbol;Acc:MGI:97810] MTMGLWLKLLAFGFALLDTEVFVTGQTPTPSDELSTTENALLLPQSDPLPARTTESTPPS ISERGNGSSETTYHPGVLSTLLPHLSPQPDSQTPSAGGADTQTFSSQADNPTLTPAPGGG TDPPGVPGERTVPGTIPADTAFPVDTPSLARNSSAASPTHTSNVSTTDISSGASLTTLTP STLGLASTDPPSTTIATTTKQTCAAMFGNITVNYTYESSNQTFKADLKDVQNAKCGNEDC ENVLNNLEECSQIKNISVSNDSCAPATTIDLYVPPGTDKFSLHDCTPKEKANTSICLEWK TKNLDFRKCNSDNISYVLHCEPENNTKCIRRNTFIPERCQLDNLRAQTNYTCVAEILYRG VKLVKNVINVQTDLGIPETPKPSCGDPAARKTLVSWPEPVSKPESASKPHGYVLCYKNNS EKCKSLPNNVTSFEVESLKPYKYYEVSLLAYVNGKIQRNGTAEKCNFHTKADRPDKVNGM KTSRPTDNSINVTCGPPYETNGPKTFYILVVRSGGSFVTKYNKTNCQFYVDNLYYSTDYE FLVSFHNGVYEGDSVIRNESTNFNAKALIIFLVFLIIVTSIALLVVLYKIYDLRKKRSSN LDEQQELVERDDEKQLMDVEPIHSDILLETYKRKIADEGRLFLAEFQSIPRVFSKFPIKD ARKPHNQNKNRYVDILPYDYNRVELSEINGDAGSTYINASYIDGFKEPRKYIAAQGPRDE TVDDFWRMIWEQKATVIVMVTRCEEGNRNKCAEYWPSMEEGTRAFKDIVVTINDHKRCPD YIIQKLNVAHKKEKATGREVTHIQFTSWPDHGVPEDPHLLLKLRRRVNAFSNFFSGPIVV HCSAGVGRTGTYIGIDAMLEGLEAEGKVDVYGYVVKLRRQRCLMVQVEAQYILIHQALVE YNQFGETEVNLSELHSCLHNMKKRDPPSDPSPLEAEYQRLPSYRSWRTQHIGNQEENKKK NRNSNVVPYDFNRVPLKHELEMSKESEPESDESSDDDSDSEETSKYINASFVMSYWKPEM MIAAQGPLKETIGDFWQMIFQRKVKVIVMLTELVNGDQEVCAQYWGEGKQTYGDMEVEMK DTNRASAYTLRTFELRHSKRKEPRTVYQYQCTTWKGEELPAEPKDLVSMIQDLKQKLPKA SPEGMKYHKHASILVHCRDGSQQTGLFCALFNLLESAETEDVVDVFQVVKSLRKARPGVV CSYEQYQFLYDIIASIYPAQNGQVKKTNSQDKIEFHNEVDGGKQDANCVRPDGPLNKAQE DSRGVGTPEPTNSAEEPEHAANGSASPAPTQSS >ENSMUSP00000138275.1 pep:known chromosome:GRCm38:1:138063420:138175300:-1 gene:ENSMUSG00000026395.16 transcript:ENSMUST00000182755.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprc description:protein tyrosine phosphatase, receptor type, C [Source:MGI Symbol;Acc:MGI:97810] MTMGLWLKLLAFGFALLDTEVFVTGQTPTPSDATTTKQTCAAMFGNITVNYTYESSNQTF KADLKDVQNAKCGNEDCENVLNNLEECSQIKNISVSNDSCAPATTIDLYVPPGTDKFSLH DCTPKEKANTSICLEWKTKNLDFRKCNSDNISYVLHCEPENNTKCIRRNTFIPERCQLDN LRAQTNYTCVAEILYRGVKLVKNVINVQTDLGIPETPKPSCGDPAARKTLVSWPEPVSKP ESASKPHGYVLCYKNNSEKCKSLPNNVTSFEVESLKPYKYYEVSLLAYVNGKIQRNGTAE KCNFHTKADRPDKVNGMKTSRPTDNSINVTCGPPYETNGPKTFYILVVRSGGSFVTKYNK TNCQFYVDNLYYSTDYEFLVSFHNGVYEGDSVIRNESTNFNAKALIIFLVFLIIVTSIAL LVVLYKIYDLRKKRSSNLDEQQELVERDDEKQLMDVEPIHSDILLETYKRKIADEGRLFL AEFQSIPRVFSKFPIKDARKPHNQNKNRYVDILPYDYNRVELSEINGDAGSTYINASYID GFKEPRKYIAAQGPRDETVDDFWRMIWEQKATVIVMVTRCEEGNRNKCAEYWPSMEEGTR AFKDIVVTINDHKRCPDYIIQKLNVAHKKEKATGREVTHIQFTSWPDHGVPEDPHLLLKL RRRVNAFSNFFSGPIVVHCSAGVGRTGTYIGIDAMLEGLEAEGKVDVYGYVVKLRRQRCL MVQVEAQYILIHQALVEYNQFGETEVNLSELHSCLHNMKKRDPPSDPSPLEAEYQRLPSY RSWRTQHIGNQEENKKKNRNSNVVPYDFNRVPLKHELEMSKESEPESDESSDDDSDSEET SKYINASFVMSYWKPEMMIAAQGPLKETIGDFWQMIFQRKVKVIVMLTELVNGDQEVCAQ YWGEGKQTYGDMEVEMKDTNRASAYTLRTFELRHSKRKEPRTVYQYQCTTWKGEELPAEP KDLVSMIQDLKQKLPKASPEGMKYHKHASILVHCRDGSQQTGLFCALFNLLESAETEDVV DVFQVVKSLRKARPGVVCSYEQYQFLYDIIASIYPAQNGQVKKTNSQDKIEFHNEVDGGK QDANCVRPDGPLNKAQEDSRGVGTPEPTNSAEEPEHAANGSASPAPTQSS >ENSMUSP00000142052.1 pep:known chromosome:GRCm38:1:138112252:138175234:-1 gene:ENSMUSG00000026395.16 transcript:ENSMUST00000195533.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprc description:protein tyrosine phosphatase, receptor type, C [Source:MGI Symbol;Acc:MGI:97810] MTMGLWLKLLAFGFALLDTEVFVTGQTPTPSDGVLSTLLPHLSPQPDSQTPSAGGADTQT FSSQADNPTLTPAPGGGTDPPGASLTTLTPSTLGLASTDPPSTTIATTTKQTCAAMFGNI TVNYTYESSNQTFKADLKDVQNAKCGNEDCENVLNNLEECSQIKNISVSNDSCAPATTID LYVPPGTDKFSLHDCTPKEKANTSICLEWKTK >ENSMUSP00000141524.1 pep:known chromosome:GRCm38:1:138117838:138175300:-1 gene:ENSMUSG00000026395.16 transcript:ENSMUST00000193650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprc description:protein tyrosine phosphatase, receptor type, C [Source:MGI Symbol;Acc:MGI:97810] MTMGLWLKLLAFGFALLDTEVFVTGQTPTPSDELSTTENALLLPQSDPLPARTTESTPPS ISERGNGSSETTYHPGVPGERTVPGTIPADTAFP >ENSMUSP00000068611.7 pep:known chromosome:GRCm38:9:92542223:92608428:1 gene:ENSMUSG00000032374.14 transcript:ENSMUST00000070522.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plod2 description:procollagen lysine, 2-oxoglutarate 5-dioxygenase 2 [Source:MGI Symbol;Acc:MGI:1347007] MGDRGARPGRLMPMLALLSWAAGLGVAEETPGRIPADKLLVITVATKENDGFHRFMNSAK YFNYTVKVLGQGQEWRGGDGMNSIGGGQKVRLLKEAMEHYASQEDLVILFTECFDVVFAG GPEEVLKKFQKTNHKIVFAADGLLWPDKRLADKYPVVHIGKRYLNSGGFIGYAPYISRLV QQWNLQDNDDDQLFYTKVYIDPLKREAFNITLDHKCKIFQALNGATDEVVLKFENGKSRV KNTFYETLPVAINGNGPTKILLNYFGNYVPNSWTQENGCALCDVDTIDLSTVDVPPKVTL GVFIEQPTPFLPRFLNLLLTLDYPKEALQLFIHNKEVYHEKDIKVFVDKAKHDISSIKIV GPEENLSQAEARNMGMDFCRQDEKCDYYFSVDADVVLTNPRTLKFLIEQNRKIIAPLVTR HGKLWSNFWGALSPDGYYARSEDYVDIVQGNRVGIWNVPYMANVYLIQGKTLRSEMNERN YFVRDKLDPDMALCRNARDMGVFMYISNRHEFGRLISTANYNTSHLNNDFWQIFENPVDW KEKYINRDYSKIFTENIVEQPCPDVFWFPIFSERACDELVEEMEHYGKWSGGKHHDSRIS GGYENVPTDDIHMKQIGLENVWLHFIREFIAPVTLKVFAGYYTKGFALLNFVVKYSPERQ RSLRPHHDASTFTINIALNNVGEDFQGGGCKFLRYNCSIESPRKGWSFMHPGRLTHLHEG LPVKNGTRYIAVSFIDP >ENSMUSP00000125373.1 pep:known chromosome:GRCm38:9:92542264:92608420:1 gene:ENSMUSG00000032374.14 transcript:ENSMUST00000160359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plod2 description:procollagen lysine, 2-oxoglutarate 5-dioxygenase 2 [Source:MGI Symbol;Acc:MGI:1347007] MGDRGARPGRLMPMLALLSWAAGLGVAEETPGRIPADKLLVITVATKENDGFHRFMNSAK YFNYTVKVLGQGQEWRGGDGMNSIGGGQKVRLLKEAMEHYASQEDLVILFTECFDVVFAG GPEEVLKKFQKTNHKIVFAADGLLWPDKRLADKYPVVHIGKRYLNSGGFIGYAPYISRLV QQWNLQDNDDDQLFYTKVYIDPLKREAFNITLDHKCKIFQALNGATDEVVLKFENGKSRV KNTFYETLPVAINGNGPTKILLNYFGNYVPNSWTQENGCALCDVDTIDLSTVDVPPKVTL GVFIEQPTPFLPRFLNLLLTLDYPKEALQLFIHNKEVYHEKDIKVFVDKAKHDISSIKIV GPEENLSQAEARNMGMDFCRQDEKCDYYFSVDADVVLTNPRTLKFLIEQNRKIIAPLVTR HGKLWSNFWGALSPDGYYARSEDYVDIVQGNRVGIWNVPYMANVYLIQGKTLRSEMNERN YFVRDKLDPDMALCRNARDMTLQREKDSPTPETFQMLSPPKGVFMYISNRHEFGRLISTA NYNTSHLNNDFWQIFENPVDWKEKYINRDYSKIFTENIVEQPCPDVFWFPIFSERACDEL VEEMEHYGKWSGGKHHDSRISGGYENVPTDDIHMKQIGLENVWLHFIREFIAPVTLKVFA GYYTKGFALLNFVVKYSPERQRSLRPHHDASTFTINIALNNVGEDFQGGGCKFLRYNCSI ESPRKGWSFMHPGRLTHLHEGLPVKNGTRYIAVSFIDP >ENSMUSP00000133276.2 pep:known chromosome:GRCm38:2:80292472:80295356:1 gene:ENSMUSG00000050114.7 transcript:ENSMUST00000057072.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx6b description:peroxiredoxin 6B [Source:MGI Symbol;Acc:MGI:1336888] MPGGLLLGEEAPDFEANTTIGRIRFHDFLGNSWGMLFSHPKDFTPVCTTELGRAAKLAPE FAKRNVKLIALSVDSVEDHLAWSKDINAYNGATPKEKLPFPIIDDKDRDISILFCMLDPV EKDANSMPLTARGVFIFGPDKKLKMSLLYPNSTGRNFDEILRVIDSLQLTETKPVATPVD WKKGESVMVLPDLPEEEAKRCFPKGISTTKLPSGKNYLRYTPQP >ENSMUSP00000085126.1 pep:known chromosome:GRCm38:19:12108600:12109544:-1 gene:ENSMUSG00000067524.2 transcript:ENSMUST00000087824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1428 description:olfactory receptor 1428 [Source:MGI Symbol;Acc:MGI:3031262] MSQINHTNVKEFVFLALTRIRELEFFLFSVFFLVYVTTVLGNTLIVVTITAESRLHTPMY FLLRNKSILDIVFSSITVPKFLVDLLSERKAISYNGCLTQIFFFHFAGGADIFFLSVMAY DRYLAIAKPLHYVTIMRREVWLALVVASWVGGGLHSIVQIILMLPLPFCGPNTLDAFYCD VPQVVKLACTDTFALELLMISNNGLVTLLWFFLLLGSYTIILVMLRFHSGEGRNKALSTC TSHIMVVTLHFVPCVYIYCRPFTTLPMDTAISINNTVITPMLNPMIYTLRNQEMKSAMKR LQRRLGPSESNKLG >ENSMUSP00000147015.1 pep:known chromosome:GRCm38:19:12108672:12115823:-1 gene:ENSMUSG00000067524.2 transcript:ENSMUST00000208391.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1428 description:olfactory receptor 1428 [Source:MGI Symbol;Acc:MGI:3031262] MSQINHTNVKEFVFLALTRIRELEFFLFSVFFLVPFTTLPMDTAISINNTVITPMLNPMI YTLRN >ENSMUSP00000029836.4 pep:known chromosome:GRCm38:3:146580985:146596612:-1 gene:ENSMUSG00000028185.12 transcript:ENSMUST00000029836.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase2b description:deoxyribonuclease II beta [Source:MGI Symbol;Acc:MGI:1913283] MTAKPLRTVLSLLFFALSGVLGTPEISCRNEYGEAVDWFIFYKLPKRTSKASEEAGLQYL YLDSTRQTWNKSLYLINSTRSALGRTLQHLYDTHNSTNDTAYLIYNDGVPGSVNYSRQYG HAKGLLVWNRTQGFWLIHSVPKFPPVHGYEYPTSGRRYGQTGICITFGYSQFEEIDFQLL VLQPNIYSCFIPSTFHWKLIYMPRMCANSSSLKIPVRYLAELHSAQGLNFVHFAKSSFYT DDIFTGWIAQKLKTHLLAQTWQKKKQELPSNCSLPYHVYNIKSIGVTSKSYFSSRQDHSK WCVSIKGSANRWTCIGDLNRSLHQALRGGGFICTKNHYIYQAFHKLYLRYGFCK >ENSMUSP00000142872.1 pep:known chromosome:GRCm38:3:146580985:146615596:-1 gene:ENSMUSG00000028185.12 transcript:ENSMUST00000200633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase2b description:deoxyribonuclease II beta [Source:MGI Symbol;Acc:MGI:1913283] MTAKPLRTVLSLLFFALSGVLGTPEISCRNEYGEAVDWFIFYKLPKRTSKASEEAGLQYL YLDSTRQTWNKSLYLINSTRSALGRTLQHLYDTHNSTNDTAYLIYNDGVPGSVNYSRQYG HAKGLLVWNRTQGFWLIHSVPKFPPVHGYEYPTSGRRYGQTGICITFGYSQFEEIDFQLL VLQPNIYSCFIPSTFHWKLIYMPRMCANSSSLKIPVRYLAELHSAQGLNFVHFAKSSFYT DDIFTGWIAQKLKTHLLAQTWQKKKQELPSNCSLPYHVYNIKSIGVTSKSYFSSRQDHSK WCVSIKGSANRWTCIGDLNRSLHQALRGGGFICTKNHYIYQAFHKLYLRYGFCK >ENSMUSP00000023291.5 pep:known chromosome:GRCm38:15:89453913:89464468:1 gene:ENSMUSG00000022619.5 transcript:ENSMUST00000023291.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip2 description:mitogen-activated protein kinase 8 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1926555] MADRAEMFSLSTFHSLSPPGCRPPQDISLEEFDDEDLSEITDDCGLGLSYDSDHCEKDSL SLGRSEQPHPICSFQDDFQEFEMIDDNEEEDDEEEEEEEEEEEDGDRQGKAGGGPGSQAL AGDSLIPSPSLEESHKLRPTTLHLTTLGAQDSLNNNNGGFTSAPPSSWQETVLRSPAQEP LKELPAPLLPAEEERHEVQSLARPGCDCEGNQPPEPPASSGGASPSSDPGIEADLRSHSS GGHEGRRSSQELSSPGSDSEDAGGARLGRMISSISETELELSSDGGSSSGRSSHLTNSIE EASSPASEPEPEPEPLHEPPRRPAFLPVGQDDTNSEYESGSESEPDLSEDADSPWLLSNL VSRMISEGSSPIRCPGQCLSPAPRLPEEAASQANSVPQDCQDPEAGPHVELVDMDTLCGP PPPAPAAPRLGPAQPGPCLFLSNPTRDTITPLWATPGRTARPGRSCSAACSEEEEEDEEE DEEDEEDAEDSVVPPGSRTTGSTAPLDASLVYDAVKYTLVVDEHTQLELVSLRRCAGLGN DSEEDSSCEASEEEAGATLLGSDQVPEDASPDSPDLTFSKKFLNVFVNSTSRSSSTESFG LFSCVVNGEEREQTHRAVFRFIPRHPDELELDVDDPVLVEAEEDDFWFRGFNMRTGERGV FPAFYAHAVPGPAKDLLGSKRSPCWVDRFDVQFLGSVEVPCHQGNGILCAAMQKIATARK LTVHLRPPASCDLEISLRGVKLSLSGGGPEFQRCSHFFQMKNISFCGCHPRNSCYFGFIT KHPLLSRFACHVFVSQESMRPVARSVGRAFLEYYQEHLAFACPTEDIYLE >ENSMUSP00000119189.1 pep:known chromosome:GRCm38:15:89455042:89456658:1 gene:ENSMUSG00000022619.5 transcript:ENSMUST00000137755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip2 description:mitogen-activated protein kinase 8 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1926555] MIDDNEEEDDEEEEEEEEE >ENSMUSP00000138802.1 pep:known chromosome:GRCm38:7:32827683:32829662:-1 gene:ENSMUSG00000097972.1 transcript:ENSMUST00000182975.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b15 description:secretoglobin, family 2B, member 15 [Source:MGI Symbol;Acc:MGI:3644904] MKGTLLLALLVTGELSFQTTESLVPFFNVYASVLSGKRLYQELQTFNATAEEAMIASQES QSNYEVDNIRSILDYISRLLGE >ENSMUSP00000138205.1 pep:known chromosome:GRCm38:7:32827691:32829653:-1 gene:ENSMUSG00000097972.1 transcript:ENSMUST00000183050.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scgb2b15 description:secretoglobin, family 2B, member 15 [Source:MGI Symbol;Acc:MGI:3644904] MKGTLLLALLVTGELSFQTSESGTLVHPISVPWRYLSGALRQT >ENSMUSP00000105166.1 pep:known chromosome:GRCm38:11:23487882:23497930:-1 gene:ENSMUSG00000020288.13 transcript:ENSMUST00000109539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahsa2 description:AHA1, activator of heat shock protein ATPase 2 [Source:MGI Symbol;Acc:MGI:1916133] MENEAGRCEISELKQVEGEASCNSRKGKLIFFYEWNIKLAWKGTVKESGAKHKGLIEIPS LSEENEINDTEVNVSKKKGDGEILKDLMRTTGTAKVREALGEYLKALKTEFTTGMILPTK AVATQELTLQRKLNENKLQASPVALGVRIPTVALHLTELFDTTVEQLYSIFTVKELVQKF SKSPAVLEAERGGKFQMFDGNISGEYVELVTNRKIIMKWRCRNWPEEHYATVELNFVPAP GQTELQLDCKGVPVCKEENMKFCWQKQHFEEIKGLLELTAQNA >ENSMUSP00000020529.6 pep:known chromosome:GRCm38:11:23488547:23498030:-1 gene:ENSMUSG00000020288.13 transcript:ENSMUST00000020529.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahsa2 description:AHA1, activator of heat shock protein ATPase 2 [Source:MGI Symbol;Acc:MGI:1916133] MAKWGQGDPRWIVEEREDGTNVNNWHWTERDATIWSKGKLRELLVGIAMENEAGRCEISE LKQVEGEASCNSRKGKLIFFYEWNIKLAWKGTVKESGAKHKGLIEIPSLSEENEINDTEV NVSKKKGDGEILKDLMRTTGTAKVREALGEYLKALKTEFTTGMILPTKAVATQELTLQRK LNENKLQASPVALGVRIPTVALHLTELFDTTVEQLYSIFTVKELVQKFSKSPAVLEAERG GKFQMFDGNISGEYVELVTNRKIIMKWRCRNWPEEHYATVELNFVPAPGQTELQLDCKGV PVCKEENMKFCWQKQHFEEIKGLLELTAQNA >ENSMUSP00000121255.1 pep:known chromosome:GRCm38:11:23488549:23497538:-1 gene:ENSMUSG00000020288.13 transcript:ENSMUST00000128372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahsa2 description:AHA1, activator of heat shock protein ATPase 2 [Source:MGI Symbol;Acc:MGI:1916133] XAPTVLCPCAVGRTERDATIWSKGKLRELLVGIAMENEAGRCEISELKQVEGEASCNSRK GKLIFFYEWNIKLAWKGTVKESGAKHKGLIEIPSLSEENEINDTEVNVSKKKGDGEILKD LMRTTGTAKVREALGEYLKALKTEFTTGMILPTKAVATQELTLQRKLNENKLQASPVALG VRIPTVALHLTELFDTTVEQLYSIFTVKELVQKFSKSPAVLEAERGGKFQMFDGNISGEY VELVTNRKIIMKWRCRNWPEEHYATVELNFVPAPGQTELQLDCKGVPVCKEENMKFCWQK QHFEEIKGLLELTAQNA >ENSMUSP00000121920.1 pep:known chromosome:GRCm38:11:23491109:23497905:-1 gene:ENSMUSG00000020288.13 transcript:ENSMUST00000147157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahsa2 description:AHA1, activator of heat shock protein ATPase 2 [Source:MGI Symbol;Acc:MGI:1916133] MAKWGQGDPRWIVEEREDGTNVNNWHWTERDATIWSKGKLRELLVGIAMENEAGRCEISE LKQVEGTVKESGAKHKGLIEIPSLSEENEINDTEVNVSKKKGDGEILKDLMRTTGTAKVR EALGEYLKALKTEFTTGMILPTKAVATQELTLQRKLNENKLQASPVALGVRIPTVALHLT ELFDTTVEQLYSIFTVKELV >ENSMUSP00000115134.1 pep:known chromosome:GRCm38:11:23493154:23497895:-1 gene:ENSMUSG00000020288.13 transcript:ENSMUST00000128559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahsa2 description:AHA1, activator of heat shock protein ATPase 2 [Source:MGI Symbol;Acc:MGI:1916133] MAKWGQGDPRWIVEEREDGTNVNNWHWTERDATIWSKGKLRELLVGIAMENEAGRCEISE LKQVEGEASCNSRKGKLIFFYEWNIKLAWKGTVKESGAKHKGLIEIPSLSEENEINDTEV NVSKKKGDGEILKDLMRTTGTAKVREALGEYLKALKTGKGGSPCEPQGPLLFTQVTLYTG LDFPSQPPETSLTAEQVVV >ENSMUSP00000061229.3 pep:known chromosome:GRCm38:1:44009408:44020006:-1 gene:ENSMUSG00000047343.4 transcript:ENSMUST00000061421.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl21c description:methyltransferase like 21C [Source:MGI Symbol;Acc:MGI:3611450] MDQHLHIAQQPLLSGTPQEDGFAGPSVEFDRIESSLRSIQKFVPTDYASYTQEHYQFAGK KIIIQESIENYGTVVWPGATALCQYLEDHTEELNLQDAKILEIGAGAGLVSIVSSLLGAQ VTATDLPDVLGNLQYNILKNTLECTAHLPEVRELVWGEDLEQSFPKSTCCYDYVLASDVV YHHYFLDKLLATMVYLSQPGTVVLWANKFRFSADYEFLGKFKQAFDTTLLAEYSESSVKL FKGILKWE >ENSMUSP00000001226.3 pep:known chromosome:GRCm38:15:78899768:78912047:1 gene:ENSMUSG00000022436.15 transcript:ENSMUST00000001226.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp1 description:SH3-domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104603] MMKRQLHRMRQLAHTGSSGRTPETAEFLGEDLLQVEQRLEPAKRAAHNVHKRLQACLQGQ SGADMDKRVKKLPLMALSTTMAESFKELDPDSSMGKALEMTCAIQNQLARILAEFEMTLE RDVLQPLSRLSEEELPAILKHKKSLQKLVSDWNTLKSRLSQAAKNSGSNQGLGGASGSHT HTTTANKVEMLKEEEEELKKKVEQCKDEYLADLYHFSTKEDSYANYFIHLLEIQADYHRK SLTSLDTALAELRDNHSQADHSPLTTAAPFSRVYGVSLRTHLQDLGRDIALPIEACVLLL LSEGMQEEGLFRLAAGASVLKRLKQTMASDPHSLEEFCSDPHAVAGALKSYLRELPEPLM TSDLYDDWMRAASLKEPGARLEALHDVCSRLPQENFNNLRYLMKFLALLAEEQDVNKMTP SNIAIVLGPNLLWPPEKEGDQAQLDAASVSSIQVVGVVEALIQNADTLFPGDINFNVSGI FPGLAPQEKVSSQQVSEELPPVTVPAPATTPAPTPAPASMAVRERTEADLPKPTSPKVSR NPTETAASAEDMTRKTKRPAPARPTMPPPQPSSTRSSPPAPSLPPGSVSPGTPQALPRRL VGTSLRAPTMPPPLPPVPPQPARRQSRRLPASPVISNMPAQVDQGVATEDRGGPEAVGGH PPPPALPPQPRPRGLISETE >ENSMUSP00000052181.7 pep:known chromosome:GRCm38:15:78899768:78912051:1 gene:ENSMUSG00000022436.15 transcript:ENSMUST00000061239.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp1 description:SH3-domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104603] MMKRQLHRMRQLAHTGSSGRTPETAEFLGEDLLQVEQRLEPAKRAAHNVHKRLQACLQGQ SGADMDKRVKKLPLMALSTTMAESFKELDPDSSMGKALEMTCAIQNQLARILAEFEMTLE RDVLQPLSRLSEEELPAILKHKKSLQKLVSDWNTLKSRLSQAAKNSGSNQGLGGASGSHT HTTTANKVEMLKEEEEELKKKVEQCKDEYLADLYHFSTKEDSYANYFIHLLEIQADYHRK SLTSLDTALAELRDNHSQADHSPLTTAAPFSRVYGVSLRTHLQDLGRDIALPIEACVLLL LSEGMQEEGLFRLAAGASVLKRLKQTMASDPHSLEEFCSDPHAVAGALKSYLRELPEPLM TSDLYDDWMRAASLKEPGARLEALHDVCSRLPQENFNNLRYLMKFLALLAEEQDVNKMTP SNIAIVLGPNLLWPPEKEGDQAQLDAASVSSIQVVGVVEALIQNADTLFPGDINFNVSGI FPGLAPQEKVSSQQVSEELPPVTVPAPATTPAPTPAPASMAVRERTEADLPKPTSPKVSR NPTETAASAEDMTRSQGSYQKEEQTCLFLEDFYFFSLANVFL >ENSMUSP00000138780.1 pep:known chromosome:GRCm38:15:78899768:78912051:1 gene:ENSMUSG00000022436.15 transcript:ENSMUST00000151146.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh3bp1 description:SH3-domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104603] MMKRQLHRMRQLAHTGSSGRTPETAEFLGEDLLQVEQRLEPAKRAAHNVHKRLQACLQGQ SGADMDKRVKKLPLMALSTTMAESFKELDPDSSMGKALEMTCAIQNQLARILAEFEMTLE RDVLQPLSRLSEAQPGSQELRKQPRPGWCLRQSHPHHHCQQGRDAEGGRGGAQEEGGTVQ GRVPGRSLPLLHQGGFLCQLLYSSLGDSG >ENSMUSP00000138598.1 pep:known chromosome:GRCm38:15:78899768:78912051:1 gene:ENSMUSG00000022436.15 transcript:ENSMUST00000132047.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh3bp1 description:SH3-domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104603] MMKRQLHRMRQLAHTGSSGRTPETAEFLGEDLLQVEQRLEPAKRAAHNVHKRLQACLQGQ SGADMDKRVKKLPLMALSTTMAESFKELDPDSSMGKALEMTCAIQNQLARILAEFEMTLE RDVLQPLSRLSEEELPAILKHKKSLQKLVSDWNTLKSRLSQAAKNSGSNQGLGGASGSHT HTTTANKVEMLKEEEEELKKKVEQCKDEYLADLYHFSTKEDSYANYFIHLLEIQADYHRK SLTSLDTALAELRDNHSQADHSPLTTAAPFSRVYGVSLRTHLQDLGRDIALPIEACVLLL LSEGMQEEGLFRLAAGASVLKRLKQTMASDPHSLEEFCSDPHAVAGALKSYLRELPEPLM TSDLYDDWMRAASLKEPGARLEALHDVCSRLPQENFNNLRYLMKFLALLAEEQDVNKMTP SNIAIVLGPNLLWPPEKEGDQAQLDAASVSSIQVVGVVEALIQNADTLFPGDINFNVSGI FPGLAPQEKVSSQQVSEELPPVTVPAPATTPAPTPAPASMAVRERTEADLPKPTSPKVSR NPTETAASAEDMTPCPASEPAFTSLPCHLKYACSGGPGSSYRGQRRP >ENSMUSP00000105320.2 pep:known chromosome:GRCm38:15:78899768:78912051:1 gene:ENSMUSG00000022436.15 transcript:ENSMUST00000109698.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp1 description:SH3-domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104603] MMKRQLHRMRQLAHTGSSGRTPETAEFLGEDLLQVEQRLEPAKRAAHNVHKRLQACLQGQ SGADMDKRVKKLPLMALSTTMAESFKELDPDSSMGKALEMTCAIQNQLARILAEFEMTLE RDVLQPLSRLSEEELPAILKHKKSLQKLVSDWNTLKSRLSQAAKNSGSNQGLGGASGSHT HTTTANKVEMLKEEEEELKKKVEQCKDEYLADLYHFSTKEDSYANYFIHLLEIQADYHRK SLTSLDTALAELRDNHSQADHSPLTTAAPFSRVYGVSLRTHLQDLGRDIALPIEACVLLL LSEGMQEEGLFRLAAGASVLKRLKQTMASDPHSLEEFCSDPHAVAGALKSYLRELPEPLM TSDLYDDWMRAASLKEPGARLEALHDVCSRLPQENFNNLRYLMKFLALLAEEQDVNKMTP SNIAIVLGPNLLWPPEKEGDQAQLDAASVSSIQVVGVVEALIQNADTLFPGDINFNVSGI FPGLAPQEKVSSQQVSEELPPVTVPAPATTPAPTPAPASMAVRERTEADLPKPTSPKVSR NPTETAASAEDMTRKRSQGSYQKEEQTCLFLEDFYFFSLANVFL >ENSMUSP00000117839.1 pep:known chromosome:GRCm38:15:78900428:78902926:1 gene:ENSMUSG00000022436.15 transcript:ENSMUST00000148893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp1 description:SH3-domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104603] MDKRVKKLPLMALSTTMAESFKELDPDSSMGKALEMTCAIQNQLARILAEFEMTL >ENSMUSP00000086796.4 pep:known chromosome:GRCm38:15:78913919:78919517:1 gene:ENSMUSG00000022436.15 transcript:ENSMUST00000089378.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp1 description:SH3-domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104603] MARCERLRGAALRDVLGQAQGVLFDCDGVLWNGERIVPGAPELLQRLARAGKNTLFVSNN SRRARPELALRFARLGFAGLRAEQLFSSALCAARLLRQRLSGPPDASGAVFVLGGEGLRA ELRAAGLRLAGDPGEDPRVRAVLVGYDEQFSFSRLTEACAHLRDPDCLLVATDRDPWHPL SDGSRTPGTGSLAAAVETASGRQALVVGKPSPYMFQCITEDFSVDPARTLMVGDRLETDI LFGHRCGMTTVLTLTGVSSLEEAQAYLTAGQRDLVPHYYVESIADLMEGLED >ENSMUSP00000085124.2 pep:known chromosome:GRCm38:19:12119653:12120700:-1 gene:ENSMUSG00000067522.2 transcript:ENSMUST00000087822.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr76 description:olfactory receptor 76 [Source:MGI Symbol;Acc:MGI:2153205] MALTNTWNSSSVTMFIFLGFSDHPELRIFLFLTFLSIYLVTLTWNLALIFLIRGDIHLHT PMYFFLSNLSFVDICYSSSVAPKMLSDFFREQKTISFLGCGAQFFFFVGLGLTECFLLTA MAYDRYAAISNPLLYTTIMPQGLCMRMVAGAYLGGFLSSFIQASSIFQLHFCGPNVINHF FCDLPPILALSCSNTFLSQVVNFLIVITVGGTSFLILLISYSYIVSAVLKIHSVRGRWKA FNTCASHLMAVTMLFGTALFMYLRPSSSYSFSRDKVVSVFYSLVIPMLNPLIYSLRNKEI KDALWKVMERKKVFPNL >ENSMUSP00000021040.3 pep:known chromosome:GRCm38:11:82719250:82764306:-1 gene:ENSMUSG00000020698.11 transcript:ENSMUST00000021040.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct6b description:chaperonin containing Tcp1, subunit 6b (zeta) [Source:MGI Symbol;Acc:MGI:1329013] MAAIKIANPGAEVTRSQAALAVNICAARGLQDVLRPTLGPKGALKMLVSGAGDIKLTKDG NVLLHEMQIQHPTASIIAKVAAAQDHVTGDGTTSNVLIIGELLKQADLYISEGLHPRIIT EGFDVAKTKALEVLDEIKVQKEMKREILLDVARTSLQTKVHAELADILTEAVVDSVLAIR RPGVPIDLFMVEIVEMRHKSETDTQLIRGLVLDHGARHPRMRKQVRDAYILTCNVSLEYE KTEVSSGFFYKTVEEKEKLVKAERKFIEDRVQKIIDLKQKVCAESNKGFVVINQKGIDPV SLEMLAKHNIVALRRAKRRNLERLTLACGGLAVNSFEGLSEECLGHAGLVFEYALGEEKF TFIEDCVNPLSVTLLVKGPNKHTLIQIKDALRDGLRAVKNAIEDGCVVPGAGAVEVAIAE ALVNYKHRVQGRVRLGIQAFADALLIIPKVLAQNSGYDLQETLIKIQTKHAESKELLGID LNTGEPMAAAEAGIWDNYCVKKHLLHSCTVIATNILLVDEIMRAGMSSLRD >ENSMUSP00000098288.4 pep:known chromosome:GRCm38:11:82719250:82764321:-1 gene:ENSMUSG00000020698.11 transcript:ENSMUST00000100722.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct6b description:chaperonin containing Tcp1, subunit 6b (zeta) [Source:MGI Symbol;Acc:MGI:1329013] MLISENLLVSGAGDIKLTKDGNVLLHEMQIQHPTASIIAKVAAAQDHVTGDGTTSNVLII GELLKQADLYISEGLHPRIITEGFDVAKTKALEVLDEIKVQKEMKREILLDVARTSLQTK VHAELADILTEAVVDSVLAIRRPGVPIDLFMVEIVEMRHKSETDTQLIRGLVLDHGARHP RMRKQVRDAYILTCNVSLEYEKTEVSSGFFYKTVEEKEKLVKAERKFIEDRVQKIIDLKQ KVCAESNKGFVVINQKGIDPVSLEMLAKHNIVALRRAKRRNLERLTLACGGLAVNSFEGL SEECLGHAGLVFEYALGEEKFTFIEDCVNPLSVTLLVKGPNKHTLIQIKDALRDGLRAVK NAIEDGCVVPGAGAVEVAIAEALVNYKHRVQGRVRLGIQAFADALLIIPKVLAQNSGYDL QETLIKIQTKHAESKELLGIDLNTGEPMAAAEAGIWDNYCVKKHLLHSCTVIATNILLVD EIMRAGMSSLRD >ENSMUSP00000143811.1 pep:known chromosome:GRCm38:16:31947050:31948494:-1 gene:ENSMUSG00000107002.1 transcript:ENSMUST00000202722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610012G03Rik description:RIKEN cDNA 0610012G03 gene [Source:MGI Symbol;Acc:MGI:1913301] MVFRRLLAALLHNPQLVERLSESRPIRRAAQLTAFALLQLQLRGHDAARRLRALADQPPG ALRRRVVRFKDTFTEELRRGVRDRPGQQKGPGAKA >ENSMUSP00000002128.7 pep:known chromosome:GRCm38:11:78188430:78192188:1 gene:ENSMUSG00000002059.18 transcript:ENSMUST00000002128.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab34 description:RAB34, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:104606] MNILAPVRRDRVLAELPQCLKKEAALHVRKDFHPRVTCACQEHRTGTVGFKISKVIVVGD LSVGKTCLINRFCKDTFDKNYKATIGVDFEMERFEVLGVPFSLQLWDTAGQERFKCIAST YYRGAQAIIIVFNLNDVASLEHTKQWLTDALKENDPSNVLLFLVGSKKDLSTPAQYSLME KDALKVAQEIKAEYWAVSSLTGENVREFFFRVAALTFEANVLAELEKSGARHIADVVRIN SDDKNLYLTASKKKATCCP >ENSMUSP00000123033.1 pep:known chromosome:GRCm38:11:78188440:78191838:1 gene:ENSMUSG00000002059.18 transcript:ENSMUST00000150941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab34 description:RAB34, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:104606] SPRRLRVLPDAVLQSRVPHHQFRWCLKKEAALHVRKDFHPRVTCACQEHRTGTVGFKISK VIVVGDLSVGKTCLINRFCKDTFDKNYKATIGVDFEMERFEVLGVPFSLQLWDTAGQERF KCIASTYYRGAQAIIIVFNLNDVASLEHTKQWLTDALKENDPSNVLLFLVGSKKDLSTPA QYSLMEKDALKVAQEIKAEYWAVSSLTGENVREFFFRVAALTFEANVLAELEKSGARHIA DVVRINSDDKNLYLTASKKKATCCP >ENSMUSP00000103958.2 pep:known chromosome:GRCm38:11:78188779:78192188:1 gene:ENSMUSG00000002059.18 transcript:ENSMUST00000108322.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab34 description:RAB34, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:104606] MNILAPVRRDRVLAELPQCLKKEAALHVRKDFHPRVTCACQEHRTGTVGFKISKVIVVGD LSVGKTCLINRFCKDTFDKNYKATIGVDFEMERFEVLGVPFSLQLWDTAGQERFKCIAST YYRGAQAIIIVFNLNDVASLEHTKQWLTDALKENDPSNVLLFLVGSKKDLSTPAQYSLME KDALKVAQEIKAEYWAVSSLTGENVREFFFRVAALTFEANVLAELEKSGARHIADVVRIN SDDKNLYLTASKKKATCCP >ENSMUSP00000059769.5 pep:known chromosome:GRCm38:11:78188879:78192185:1 gene:ENSMUSG00000002059.18 transcript:ENSMUST00000056241.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab34 description:RAB34, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:104606] MNILAPVRRDRVLAELPQCLKKEAALHVRKDFHPRVTCACQEHRTGTVGFKISKVIVVGD LSVGKTCLINRFCKDTFDKNYKATIGVDFEMERFEVLGVPFSLQLWDTAGQERFKCIAST YYRGAQAIIIVFNLNDVASLEHTKQWLTDALKENDPSNVLLFLTPAQYSLMEKDALKVAQ EIKAEYWAVSSLTGENVREFFFRVAALTFEANVLAELEKSGARHIADVVRINSDDKNLYL TASKKKATCCP >ENSMUSP00000146997.1 pep:known chromosome:GRCm38:11:78188922:78190135:1 gene:ENSMUSG00000002059.18 transcript:ENSMUST00000207728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab34 description:RAB34, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:104606] MVGPSQPRVVVGSPRPRVIVGAIRPRVIVGSARARAPRDATPRPQLAAGGSPRPRVVFGT PRARVIVGSPRPGVIVSSPWPAVVVASPRPRAPTGSPWPRVIVGTPRPRIIVGSPRVPAA GADQASAPSRGAPQGRRQDEHSGARAEGPRPGGAAPVPEERGRFARAQRLPPPRHLRLPG APDRHRGI >ENSMUSP00000122848.1 pep:known chromosome:GRCm38:11:78190130:78192193:1 gene:ENSMUSG00000002059.18 transcript:ENSMUST00000156435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab34 description:RAB34, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:104606] XFKISKVIVVGDLSVGKTCLINRFCKDTFDKNYKATIGVDFEMERFEVLGVPFSLQLWDT AGQERFKCIASTYYRGAQAIIIVFNLNDVASLEHTKQWLTDALKENDPSNVLLFLVGSKK DLSTPAQYSLMEKDALKVAQEIKAEYWAVSSLTALTFEANVLAELEKSGARHIADVVRIN SDDKNLYLTASKKKATCCP >ENSMUSP00000029876.1 pep:known chromosome:GRCm38:4:15881264:15908064:1 gene:ENSMUSG00000028222.2 transcript:ENSMUST00000029876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calb1 description:calbindin 1 [Source:MGI Symbol;Acc:MGI:88248] MAESHLQSSLITASQFFEIWLHFDADGSGYLEGKELQNLIQELLQARKKAGLELSPEMKS FVDQYGQRDDGKIGIVELAHVLPTEENFLLLFRCQQLKSCEEFMKTWRKYDTDHSGFIET EELKNFLKDLLEKANKTVDDTKLAEYTDLMLKLFDSNNDGKLELTEMARLLPVQENFLLK FQGIKMCGKEFNKAFELYDQDGNGYIDENELDALLKDLCEKNKQELDINNITTYKKNIMA LSDGGKLYRTDLALILSAGDN >ENSMUSP00000081815.2 pep:known chromosome:GRCm38:7:107902719:107903651:1 gene:ENSMUSG00000073893.3 transcript:ENSMUST00000084760.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr472 description:olfactory receptor 472 [Source:MGI Symbol;Acc:MGI:3030306] MEAENHTTVAELIILGLTEDPKLCIVFFVIFLGVYIITLVGNISIITLIRISSQLHTPMY LFLSHLAFVDIVFSTSVSVIMLMELLGHGLVLSVATCAAQLCMTVSFGSAECFLLAAMAY DRYVAICSPLLYSTLMSSRVCFLLLGISYVGGFVNGWTFTGCVLSLSFCGPTQINHFFCD FSPLLKVSCSDVSIIGIIPSISSGSIIVVTVFVIAVSYIYILITILKMRSTEGRHKAFST CTSHLTAVTLFYGTITVIYVMPKSSYSTEQNKVISLFYTVVIPMLNPLIYSLRNRDVKDA LRKAIVRVYS >ENSMUSP00000116892.1 pep:known chromosome:GRCm38:13:91880400:91987989:-1 gene:ENSMUSG00000021708.16 transcript:ENSMUST00000151408.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrf2 description:RAS protein-specific guanine nucleotide-releasing factor 2 [Source:MGI Symbol;Acc:MGI:109137] XNSKVTVPHMIKSDARLHKDDTDICFSKTLNSCKVPQIRYASVERLLERLTDLRFLSIDF LNTFLHTYRIFTTATVVLAKLSDIYKRPFTSIPVRSLELFFATSQNNREHLVDGKSPRLC RKFSSPPPLAVSRTSSPVRARKLSLTSSLNSRIGALDLTNSSSSSSPTTTTHSPAASPPP HTAVLESAPADKAGDSADMSPCRSPTTPRHLRYRQPGGQVADSAHCSVSPASAFAIATAA AGHGSPPGFNNERTCDKEFIIRRTATNRVLNVLRHWVSKHAQDFELNNELKMNVLNLLEE VLRDPDLLPQERKATANILRALSQDDQDDIHLKLEDIIQMTDCPKAECFETLSAMELAEQ ITLLDHIVFRSIPYEEFLGQGWMKLDKNERTPYIMKTSQHFNEMSNLVASQIMNYADISS RANAIEKWVAVADICRCLHNYNGVLEITSALNRSAIYRLKKTWAKVSKQTKALMDKLQKT VSSEGRFKNLRETLKNCNPPAVPYLGMYLTDLAFIEEGTPNFTEEGLVNFSKMRMISHII REIRQFQQTAYRIDQQPKVIQYLLDKALVIDEDSLYELSLKIEPRLPA >ENSMUSP00000115401.1 pep:known chromosome:GRCm38:13:91959715:91987989:-1 gene:ENSMUSG00000021708.16 transcript:ENSMUST00000142378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrf2 description:RAS protein-specific guanine nucleotide-releasing factor 2 [Source:MGI Symbol;Acc:MGI:109137] XNSKVTVPHMIKSDARLHKDDTDICFSKTLNSCKVPQIRYASVERLLERLTDLRFLSIDF LNTFLHTYRIFTTATVVLAKLSDIYKRPFTSIPVRSLELFFATSQNNREHLVDGKSPRLC RKFSSPPPLAVSRTSSPVRARKLSLTSSLNSRIGALDLTNSSSSSSPTTTTHSPAASPPP HTAAVLESAPADKAGDSADMSPCRSPTTPRHLRYRQPGGQVADSAHCSVSPASAFAIATA AAGHGSPPGPTT >ENSMUSP00000115562.1 pep:known chromosome:GRCm38:13:92028496:92030904:-1 gene:ENSMUSG00000021708.16 transcript:ENSMUST00000149630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrf2 description:RAS protein-specific guanine nucleotide-releasing factor 2 [Source:MGI Symbol;Acc:MGI:109137] XCRRKWKTIVQDYICSPHAESMRKRNQIVFTMVEAETEYVHQLYILVNGFLRPLRMAASS KKPPINHDDVSSIFLNSETIMFLHEIFHQGLKARLANWPTLVLADLFDILLPMLNIYQEF VRNHQYSLQVLANCKQNRDFDKLLKQYEANPACEGRMLETFLTYPMFQ >ENSMUSP00000116203.1 pep:known chromosome:GRCm38:13:92028496:92131656:-1 gene:ENSMUSG00000021708.16 transcript:ENSMUST00000146492.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrf2 description:RAS protein-specific guanine nucleotide-releasing factor 2 [Source:MGI Symbol;Acc:MGI:109137] MQKSVRYNEGHALYLAMLARKEGTKRGFLSKKAAEASRWHEKWFALYQNVLFYFEGEQSG RPAGMYLLEGCSCERTPAPPRTNAGPAGARDALDKQYYFTVLFGHDGQKPLELRCEEEQA GKEWMEAIHQASYADILIEREVLMQKYIHLVQIVETEKIATNQLRHQLEDQDTEIERLKS EIVALNKTKERMRPYHVHQEEEDPDIKKIKKVQSFMRGWLCRRKWKTIVQDYICSPHAES MRKRNQIVFTMVEAETEYVHQLYILVNGFLRPLRMAASSKKPPINHDDVSSIFLNSETIM FLHEIFHQGLKARLANWPTLVLADLFDILLPMLNIYQEFVRNHQYSLQVLANCKQNRDFD KLLKQYEANPACEGRMLETFLTYPMFQ >ENSMUSP00000096930.3 pep:known chromosome:GRCm38:13:91884432:92131494:-1 gene:ENSMUSG00000021708.16 transcript:ENSMUST00000099326.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrf2 description:RAS protein-specific guanine nucleotide-releasing factor 2 [Source:MGI Symbol;Acc:MGI:109137] MQKSVRYNEGHALYLAMLARKEGTKRGFLSKKAAEASRWHEKWFALYQNVLFYFEGEQSG RPAGMYLLEGCSCERTPAPPRTNAGPAGARDALDKQYYFTVLFGHDGQKPLELRCEEEQA GKEWMEAIHQASYADILIEREVLMQKYIHLVQIVETEKIATNQLRHQLEDQDTEIERLKS EIVALNKTKERMRPYHVHQEEEDPDIKKIKKVQSFMRGWLCRRKWKTIVQDYICSPHAES MRKRNQIVFTMVEAETEYVHQLYILVNGFLRPLRMAASSKKPPINHDDVSSIFLNSETIM FLHEIFHQGLKARLANWPTLVLADLFDILLPMLNIYQEFVRNHQYSLQVLANCKQNRDFD KLLKQYEANPACEGRMLETFLTYPMFQIPRYIITLHELLAHTPHEHVERKSLEFAKSKLE ELSRVMHDEVSDTENIRKNLAIERMIVEGCDILLDTSQTFIRQGKTGLSSSVDRTFSTAP RRTGRSSQLEGQCQVMMISRQRLTITRHSGSCQHYLKLLLFPSIICCLNFEERHNNIETP KQCDDMVSHDYHPVAEPVNGPVFTQILVKGSTQEKSSWKENILQCVDNIRCNGLMTIVFE ENSKVTVPHMIKSDARLHKDDTDICFSKTLNSCKVPQIRYASVERLLERLTDLRFLSIDF LNTFLHTYRIFTTATVVLAKLSDIYKRPFTSIPVRSLELFFATSQNNREHLVDGKSPRLC RKFSSPPPLAVSRTSSPVRARKLSLTSSLNSRIGALDLTNSSSSSSPTTTTHSPAASPPP HTAVLESAPADKAGDSADMSPCRSPTTPRHLRYRQPGGQVADSAHCSVSPASAFAIATAA AGHGSPPGFNNERTCDKEFIIRRTATNRVLNVLRHWVSKHAQDFELNNELKMNVLNLLEE VLRDPDLLPQERKATANILRALSQDDQDDIHLKLEDIIQMTDCPKAECFETLSAMELAEQ ITLLDHIVFRSIPYEEFLGQGWMKLDKNERTPYIMKTSQHFNEMSNLVASQIMNYADISS RANAIEKWVAVADICRCLHNYNGVLEITSALNRSAIYRLKKTWAKVSKQTKALMDKLQKT VSSEGRFKNLRETLKNCNPPAVPYLGMYLTDLAFIEEGTPNFTEEGLVNFSKMRMISHII REIRQFQQTAYRIDQQPKVIQYLLDKALVIDEDSLYELSLKIEPRLPA >ENSMUSP00000095864.1 pep:known chromosome:GRCm38:7:99735145:99736095:1 gene:ENSMUSG00000073998.1 transcript:ENSMUST00000098264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr520 description:olfactory receptor 520 [Source:MGI Symbol;Acc:MGI:3030354] MEATTCNGSVDGSTVFYLVGIPSLPEPFYLPVFFLFLLFYLLILVGNALILVAVVAERSL HKPMYFFLINLSALDILFTTTTVPKMLSLLLLGDRFLSFPACLLQMYLFQSFTCSEAFIL VVMAYDRYVAICRPLHYPVHMTPQTNTALAASAWITALLLPVPAVVKTSQMVYNDIAYIY HCFCDHLALVQSSCSDTTPQTLMGFCIAMVVSFLPLLLVLLSYVRILTSVLRINSKEGRS KAFSTCSSHLLVVGTYYSSIAIAYVAYRANLPLDFHIMGNVVYSILTPILNPLIYTLRNK DVKVAITKIVYLKGMM >ENSMUSP00000036181.8 pep:known chromosome:GRCm38:9:80165031:80311729:1 gene:ENSMUSG00000033577.18 transcript:ENSMUST00000035889.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo6 description:myosin VI [Source:MGI Symbol;Acc:MGI:104785] MEDGKPVWAPHPTDGFQMGNIVDIGPDSLTIEPLNQKGKTFLALINQVFPAEEDSKKDVE DNCSLMYLNEATLLHNVKVRYSKDRIYTYVANILIAVNPYFDIPKIYSSDTIKSYQGKSL GTMPPHVFAIADKAFRDMKVLKMSQSIIVSGESGAGKTENTKFVLRYLTESYGTGQDIDD RIVEANPLLEAFGNAKTVRNNNSSRFGKFVEIHFNEKSSVVGGFVSHYLLEKSRICVQGK EERNYHIFYRLCAGASEDIREKLHLSSPDNFRYLNRGCTRFFANKETDKQILQNRKSPEY VKAGSLKDPLLDDHGDFIRMCTAMKKIGLDDEEKLDLFRVVAGVLHLGNIDFEEAGSTSG GCNLKNKSAPSLEYCAELLGLDQDDLRVSLTTRVMLTTAGGTKGTVIKVPLKVEQANNAR DALAKTVYSHLFDHVVNRVNQCFPFETSSYFIGVLDIAGFEYFEHNSFEQFCINYCNEKL QQFFNERILKEEQELYQKEGLGVNEVHYVDNQDCIDLIEVKLVGILDILDEENRLPQPSD QHFTSVVHQKHKDHFRLTIPRKSKLAVHRNLRDDEGFIIRHFAGAVCYETTQFVEKNNDA LHMSLESLICESRDKFIRALFESSTNNNKDTKQKAGKLSFISVGNKFKTQLNLLLDKLRS TGASFIRCIKPNLKMTSHHFEGAQILSQLQCSGMVSVLDLMQGGFPSRASFHELYNMYKK YMPEKLARLDPRLFCKALFKALGLNEVDYKFGLTKVFFRPGKFAEFDQIMKSDPDHLAEL VKRVNLWLVCSRWKKVQWCSLSVIKLKNKIKYRAEACIKMQKTIRMWLCKRRHKPRIDGL VKVGTLKKRLDKFNEVVSALKDGKPEVNRQIKNLEISIDALMAKIKSTMMTREQIQKEYD ALVKSSEDLLSALQKKKQQEEEAERLRRIQEEMEKERKRREEDEERRRKEEEERRMKLEM EAKRKQEEEERKKREDDEKRIQAEVEAQLARQREEESQQQAVLAQECRDRELALRIAQNE SELISDEAQGDMALRRGPAVQATKAAAGTKKHDLSKWKYAELRDTINTSCDIELLAACRE EFHRRLKVYHAWKSKNKKRNTETEQRAPKSVTDYDFAPFLNNSPQQNPAAQLPARQQEID MKRQQRFFRIPFIRPADQYKDPQNKKKGWWYAHFDGPWIARQMELHPDKPPILLVAGKDD MEMCELNLEETGLTRKRGAEILPRQFEEIWERCGGIQYLQSAIESRQARPTYATAMLQNL LK >ENSMUSP00000108893.1 pep:known chromosome:GRCm38:9:80165040:80311728:1 gene:ENSMUSG00000033577.18 transcript:ENSMUST00000113268.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo6 description:myosin VI [Source:MGI Symbol;Acc:MGI:104785] MEDGKPVWAPHPTDGFQMGNIVDIGPDSLTIEPLNQKGKTFLALINQVFPAEEDSKKDVE DNCSLMYLNEATLLHNVKVRYSKDRIYTYVANILIAVNPYFDIPKIYSSDTIKSYQGKSL GTMPPHVFAIADKAFRDMKVLKMSQSIIVSGESGAGKTENTKFVLRYLTESYGTGQDIDD RIVEANPLLEAFGNAKTVRNNNSSRFGKFVEIHFNEKSSVVGGFVSHYLLEKSRICVQGK EERNYHIFYRLCAGASEDIREKLHLSSPDNFRYLNRGCTRFFANKETDKQILQNRKSPEY VKAGSLKDPLLDDHGDFIRMCTAMKKIGLDDEEKLDLFRVVAGVLHLGNIDFEEAGSTSG GCNLKNKSAPSLEYCAELLGLDQDDLRVSLTTRVMLTTAGGTKGTVIKVPLKVEQANNAR DALAKTVYSHLFDHVVNRVNQCFPFETSSYFIGVLDIAGFEYFEHNSFEQFCINYCNEKL QQFFNERILKEEQELYQKEGLGVNEVHYVDNQDCIDLIEVKLVGILDILDEENRLPQPSD QHFTSVVHQKHKDHFRLTIPRKSKLAVHRNLRDDEGFIIRHFAGAVCYETTQFVEKNNDA LHMSLESLICESRDKFIRALFESSTNNNKDTKQKAGKLSFISVGNKFKTQLNLLLDKLRS TGASFIRCIKPNLKMTSHHFEGAQILSQLQCSGMVSVLDLMQGGFPSRASFHELYNMYKK YMPEKLARLDPRLFCKALFKALGLNEVDYKFGLTKVFFRPGKFAEFDQIMKSDPDHLAEL VKRVNLWLVCSRWKKVQWCSLSVIKLKNKIKYRAEACIKMQKTIRMWLCKRRHKPRIDGL VKVGTLKKRLDKFNEVVSALKDGKPEVNRQIKNLEISIDALMAKIKSTMMTREQIQKEYD ALVKSSEDLLSALQKKKQQEEEAERLRRIQEEMEKERKRREEDEERRRKEEEERRMKLEM EAKRKQEEEERKKREDDEKRIQAEVEAQLARQREEESQQQAVLAQECRDRELALRIAQNE SELISDEAQGDMALRSLSSCPATSKEQMAKEMSEILSRGPAVQATKAAAGTKKHDLSKWK YAELRDTINTSCDIELLAACREEFHRRLKVYHAWKSKNKKRNTETEQRAPKSVTDYDFAP FLNNSPQQNPAAQLPARQQEIDMKRQQRFFRIPFIRPADQYKDPQNKKKGWWYAHFDGPW IARQMELHPDKPPILLVAGKDDMEMCELNLEETGLTRKRGAEILPRQFEEIWERCGGIQY LQSAIESRQARPTYATAMLQNLLK >ENSMUSP00000139019.1 pep:known chromosome:GRCm38:9:80165227:80308097:1 gene:ENSMUSG00000033577.18 transcript:ENSMUST00000184480.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo6 description:myosin VI [Source:MGI Symbol;Acc:MGI:104785] MEDGKPVWAPHPTDGFQMGNIVDIGPDSLTIEPLNQKGKTFLALINQVFPAEEDSKKDVE DNCSLMYLNEATLLHNVKVRYSKDRIYTYVANILIAVNPYFDIPKIYSSDTIKSYQGKSL GTMPPHVFAIADKAFRDMKVLKMSQSIIVSGESGAGKTENTKFVLRYLTESYGTGQDIDD RIVEANPLLEAFGNAKTVRNNNSSRFGKFVEIHFNEKSSVVGGFVSHYLLEKSRICVQGK EERNYHIFYRLCAGASEDIREKLHLSSPDNFRYLNRGCTRFFANKETDKQILQNRKSPEY VKAGSLKDPLLDDHGDFIRMCTAMKKIGLDDEEKLDLFRVVAGVLHLGNIDFEEAGSTSG GCNLKNKSAPSLEYCAELLGLDQDDLRVSLTTRVMLTTAGGTKGTVIKVPLKVEQANNAR DALAKTVYSHLFDHVVNRVNQCFPFETSSYFIGVLDIAGFEYFEHNSFEQFCINYCNEKL QQFFNERILKEEQELYQKEGLGVNEVHYVDNQDCIDLIEVKLVGILDILDEENRLPQPSD QHFTSVVHQKHKDHFRLTIPRKSKLAVHRNLRDDEGFIIRHFAGAVCYETTQFVEKNNDA LHMSLESLICESRDKFIRALFESSTNNNKDTKQKAGKLSFISVGNKFKTQLNLLLDKLRS TGASFIRCIKPNLKMTSHHFEGAQILSQLQCSGMVSVLDLMQGGFPSRASFHELYNMYKK YMPEKLARLDPRLFCKALFKALGLNEVDYKFGLTKVFFRPGKFAEFDQIMKSDPDHLAEL VKRVNLWLVCSRWKKVQWCSLSVIKLKNKIKYRAEACIKMQKTIRMWLCKRRHKPRIDGL VKVGTLKKRLDKFNEVVSALKDGKPEVNRQIKNLEISIDALMAKIKSTMMTREQIQKEYD ALVKSSEDLLSALQKKKQQEEEAERLRRIQEEMEKERKRREEDEERRRKEEEERRMKLEM EAKRKQEEEERKKREDDEKRIQAEVEAQLARQREEESQQQAVLAQECRDRELALRIAQNE SELISDEAQGDMALRSLSSCPATSKTNGTRPQMTPEQMAKEMSEILSRGPAVQATKAAAG TKKHDLSKWKYAELRDTINTSCDIELLAACREEFHRRLKVYHAWKSKNKKRNTETEQRAP KSVTDYDFAPFLNNSPQQNPAAQLPARQQEIDMKRQQRFFRIPFIRPADQYKDPQNKKKG WWYAHFDGPWIARQMELHPDKPPILLVAGKDDMEMCELNLEETGLTRKRGAEILPRQFEE IWERCGGIQYLQSAIESRQARPTYATAMLQNLLK >ENSMUSP00000139228.1 pep:known chromosome:GRCm38:9:80217611:80308050:1 gene:ENSMUSG00000033577.18 transcript:ENSMUST00000127779.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo6 description:myosin VI [Source:MGI Symbol;Acc:MGI:104785] MEDGKPVWAPHPTDGFQMGNIVDIGPDSLTIEPLNQKGKTFLALINQVFPAEEDSKKDVE DNCSLMYLNEATLLHNVKVRYSKDRIYTYVANILIAVNPYFDIPKIYSSDTIKSYQGKSL GTMPPHVFAIADKAFRDMKVLKMSQSIIVSGESGAGKTENTKFVLRYLTESYGTGQDIDD RIVEANPLLEAFGNAKTVRNNNSSRFGKFVEIHFNEKSSVVGGFVSHYLLEKSRICVQGK EERNYHIFYRLCAGASEDIREKLHLSSPDNFRYLNRGCTRFFANKETDKQILQNRKSPEY VKAGSLKDPLLDDHGDFIRMCTAMKKIGLDDEEKLDLFRVVAGVLHLGNIDFEEAGSTSG GCNLKNKSAPSLEYCAELLGLDQDDLRVSLTTRVMLTTAGGTKGTVIKVPLKVEQANNAR DALAKTVYSHLFDHVVNRVNQCFPFETSSYFIGVLDIAGFEYFEHNSFEQFCINYCNEKL QQFFNERILKEEQELYQKEGLGVNEVHYVDNQDCIDLIEVKLVGILDILDEENRLPQPSD QHFTSVVHQKHKDHFRLTIPRKSKLAVHRNLRDDEGFIIRHFAGAVCYETTQFVEKNNDA LHMSLESLICESRDKFIRALFESSTNNNKDTKQKAGKLSFISVGNKFKTQLNLLLDKLRS TGASFIRCIKPNLKMTSHHFEGAQILSQLQCSGMVSVLDLMQGGFPSRASFHELYNMYKK YMPEKLARLDPRLFCKALFKALGLNEVDYKFGLTKVFFRPGKFAEFDQIMKSDPDHLAEL VKRVNLWLVCSRWKKVQWCSLSVIKLKNKIKYRAEACIKMQKTIRMWLCKRRHKPRIDGL VKVGTLKKRLDKFNEVVSALKDGKPEVNRQIKNLEISIDALMAKIKSTMMTREQIQKEYD ALVKSSEDLLSALQKKKQQEEEAERLRRIQEEMEKERKRREEDEERRRKEEEERRMKLEM EAKRKQEEEERKKREDDEKRIQAEVEAQLARQREEESQQQAVLAQECRDRELALRIAQNE SELISDEAQGDMALRRTNGTRPQMTPEQMAKEMSEILSRGPAVQATKAAAGTKKHDLSKW KYAELRDTINTSCDIELLAACREEFHRRLKVYHAWKSKNKKRNTETEQRAPKSVTDYDFA PFLNNSPQQNPAAQLPARQQEIDMKRQQRFFRIPFIRPADQYKDPQNKKKGWWYAHFDGP WIARQMELHPDKPPILLVAGKDDMEMCELNLEETGLTRKRGAEILPRQFEEIWERCGGIQ YLQSAIESRQARPTYATAMLQNLLK >ENSMUSP00000108891.1 pep:known chromosome:GRCm38:9:80217629:80311729:1 gene:ENSMUSG00000033577.18 transcript:ENSMUST00000113266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo6 description:myosin VI [Source:MGI Symbol;Acc:MGI:104785] MEDGKPVWAPHPTDGFQMGNIVDIGPDSLTIEPLNQKGKTFLALINQVFPAEEDSKKDVE DNCSLMYLNEATLLHNVKVRYSKDRIYTYVANILIAVNPYFDIPKIYSSDTIKSYQGKSL GTMPPHVFAIADKAFRDMKVLKMSQSIIVSGESGAGKTENTKFVLRYLTESYGTGQDIDD RIVEANPLLEAFGNAKTVRNNNSSRFGKFVEIHFNEKSSVVGGFVSHYLLEKSRICVQGK EERNYHIFYRLCAGASEDIREKLHLSSPDNFRYLNRGCTRFFANKETDKQILQNRKSPEY VKAGSLKDPLLDDHGDFIRMCTAMKKIGLDDEEKLDLFRVVAGVLHLGNIDFEEAGSTSG GCNLKNKSAPSLEYCAELLGLDQDDLRVSLTTRVMLTTAGGTKGTVIKVPLKVEQANNAR DALAKTVYSHLFDHVVNRVNQCFPFETSSYFIGVLDIAGFEYFEHNSFEQFCINYCNEKL QQFFNERILKEEQELYQKEGLGVNEVHYVDNQDCIDLIEVKLVGILDILDEENRLPQPSD QHFTSVVHQKHKDHFRLTIPRKSKLAVHRNLRDDEGFIIRHFAGAVCYETTQFVEKNNDA LHMSLESLICESRDKFIRALFESSTNNNKDTKQKAGKLSFISVGNKFKTQLNLLLDKLRS TGASFIRCIKPNLKMTSHHFEGAQILSQLQCSGMVSVLDLMQGGFPSRASFHELYNMYKK YMPEKLARLDPRLFCKALFKALGLNEVDYKFGLTKVFFRPGKFAEFDQIMKSDPDHLAEL VKRVNLWLVCSRWKKVQWCSLSVIKLKNKIKYRAEACIKMQKTIRMWLCKRRHKPRIDGL VKVGTLKKRLDKFNEVVSALKDGKPEVNRQIKNLEISIDALMAKIKSTMMTREQIQKEYD ALVKSSEDLLSALQKKKQQEEEAERLRRIQEEMEKERKRREEDEERRRKEEEERRMKLEM EAKRKQEEEERKKREDDEKRIQAEVEAQLARQREEESQQQAVLAQECRDRELALRIAQNE SELISDEAQGDMALRRGPAVQATKAAAGTKKHDLSKWKYAELRDTINTSCDIELLAACRE EFHRRLKVYHAWKSKNKKRNTETEQRAPKSVTDYAQQNPAAQLPARQQEIDMKRQQRFFR IPFIRPADQYKDPQNKKKGWWYAHFDGPWIARQMELHPDKPPILLVAGKDDMEMCELNLE ETGLTRKRGAEILPRQFEEIWERCGGIQYLQSAIESRQARPTYATAMLQNLLK >ENSMUSP00000075501.3 pep:known chromosome:GRCm38:9:80217637:80311729:1 gene:ENSMUSG00000033577.18 transcript:ENSMUST00000076140.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo6 description:myosin VI [Source:MGI Symbol;Acc:MGI:104785] MEDGKPVWAPHPTDGFQMGNIVDIGPDSLTIEPLNQKGKTFLALINQVFPAEEDSKKDVE DNCSLMYLNEATLLHNVKVRYSKDRIYTYVANILIAVNPYFDIPKIYSSDTIKSYQGKSL GTMPPHVFAIADKAFRDMKVLKMSQSIIVSGESGAGKTENTKFVLRYLTESYGTGQDIDD RIVEANPLLEAFGNAKTVRNNNSSRFGKFVEIHFNEKSSVVGGFVSHYLLEKSRICVQGK EERNYHIFYRLCAGASEDIREKLHLSSPDNFRYLNRGCTRFFANKETDKQILQNRKSPEY VKAGSLKDPLLDDHGDFIRMCTAMKKIGLDDEEKLDLFRVVAGVLHLGNIDFEEAGSTSG GCNLKNKSAPSLEYCAELLGLDQDDLRVSLTTRVMLTTAGGTKGTVIKVPLKVEQANNAR DALAKTVYSHLFDHVVNRVNQCFPFETSSYFIGVLDIAGFEYFEHNSFEQFCINYCNEKL QQFFNERILKEEQELYQKEGLGVNEVHYVDNQDCIDLIEVKLVGILDILDEENRLPQPSD QHFTSVVHQKHKDHFRLTIPRKSKLAVHRNLRDDEGFIIRHFAGAVCYETTQFVEKNNDA LHMSLESLICESRDKFIRALFESSTNNNKDTKQKAGKLSFISVGNKFKTQLNLLLDKLRS TGASFIRCIKPNLKMTSHHFEGAQILSQLQCSGMVSVLDLMQGGFPSRASFHELYNMYKK YMPEKLARLDPRLFCKALFKALGLNEVDYKFGLTKVFFRPGKFAEFDQIMKSDPDHLAEL VKRVNLWLVCSRWKKVQWCSLSVIKLKNKIKYRAEACIKMQKTIRMWLCKRRHKPRIDGL VKVGTLKKRLDKFNEVVSALKDGKPEVNRQIKNLEISIDALMAKIKSTMMTREQIQKEYD ALVKSSEDLLSALQKKKQQEEEAERLRRIQEEMEKERKRREEDEERRRKEEEERRMKLEM EAKRKQEEEERKKREDDEKRIQAEVEAQLARQREEESQQQAVLAQECRDRELALRIAQNE SELISDEAQGDMALRREQMAKEMSEILSRGPAVQATKAAAGTKKHDLSKWKYAELRDTIN TSCDIELLAACREEFHRRLKVYHAWKSKNKKRNTETEQRAPKSVTDYAQQNPAAQLPARQ QEIDMKRQQRFFRIPFIRPADQYKDPQNKKKGWWYAHFDGPWIARQMELHPDKPPILLVA GKDDMEMCELNLEETGLTRKRGAEILPRQFEEIWERCGGIQYLQSAIESRQARPTYATAM LQNLLK >ENSMUSP00000046496.6 pep:known chromosome:GRCm38:16:45746243:45844378:-1 gene:ENSMUSG00000033149.16 transcript:ENSMUST00000036355.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb2 description:pleckstrin homology-like domain, family B, member 2 [Source:MGI Symbol;Acc:MGI:2444981] MAEDSHMQKQLEFQNGSLEEGFVVRSLENEPQNMMESLSPRKYSSSLKFKANGDYSGSYL TLSQPVSAKRSPSPMGTSVRSSPSLAKIQGSKQFCDGIDKNISMKPPISFLSSAASLGGY PLGKADLDHYTGRDSERSTRLSEKPPYSRYSSRNKSHDSVYFLGGLEGRKTSGSLLTMWN GNSLSCTGSSPISRSGAASMPSSPKQVRKMNLQDHSTLQPRLSRHKEPASENVSVRTRKY SGSSLSNMGAYSRSLPRLYKATDNQMSPLSLPPRSSLGNSRRGQLGEKDLPHSLVDSDNY LNFSSLSSGASPYKTCLSEGNPYVSSALSVPASPRVARKMLLASTSSDDFDRASYSGTSP SHSFISGEPDRVLVARRNFSCGSMELDDSDLESLRQSSETPQPVLRERKSSISSISGRDD LMDYHRRQREERLREQEMERLERQRLETILSLCAEYTKPEGRRLSAGTTVADVQKINKEL EKLQLSDEESVFEDALVCPDARYRCHRKGSLQDVDVAGFGNLGHSASFLAPRGSRSDELL GDLTRTPPSSSAAFLKATNESSYLSILPKTPEDIGEEQRTQELAAMEDARMVILNNLEEL EQKIKDINDQMDESSRELDMECALLDGEQKSETAELMKEKEILDHLNRKITELEKNIVGE KTKEKVKLDAEREKLERLQELYSEQKTQLDNCPESMREQLQQQLKRDADLLDVESKHFED LEFQQLEHESRLDEEKENLTQQLLREVAEYQRNIVARKEKISALKKQASHIVQQAQREQD HFVKEKNNLIMMLQREKENLCNLEKKYSSLTGGKGFPINPNTLKEGYISVNEINESCGNS TNLSPSTQFPADADAAVTEPALAVPVSQPQSSEHFRSLEERKKQHKEGLYLSDTLPRKKT TPSLSPHFSSATMGRSTTPKAHLPLGQSNSCGSVLPHSLATMTKDSESRRMLRGYNHQQM SEGQRQKPEFYSRTASESNVYLNSFHYPDRSYKDQAYDTLSLDSSDSMETSISACSPDNI SSASTSNIARIEEMERLLKQAHAEKTRLLESREREMEAKKRALEEEKRRREILEKRLQEE TSQRQKLIEKEVKIREKQRAQARPLTRYLPVRKEDFDLRSHVETAGHNIDTCFHVSITEK TCRGYLIKMGGKIKTWKKRWFVFDRNKRTFSYYADKHEAKLKGVIYFQAIEEVYYDHLKN ANKSPNPLLTFSVKTHDRIYYMVAPSPEAMRIWMDVIVTGAEGYTHFLL >ENSMUSP00000075672.5 pep:known chromosome:GRCm38:16:45746394:45844234:-1 gene:ENSMUSG00000033149.16 transcript:ENSMUST00000076333.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb2 description:pleckstrin homology-like domain, family B, member 2 [Source:MGI Symbol;Acc:MGI:2444981] MAEDSHMQKQLEFQNGSLEEGFVVRSLENEPQNMMESLSPRKYSSSLKFKANGDYSGSYL TLSQPVSAKRSPSPMGTSVRSSPSLAKIQGSKQFCDGIDKNISMKPPISFLSSAASLGGY PLGKADLDHYTGRDSERSTRLSEKPPYSRYSSRNKSHDSVYFLGGLEGRKTSGSLLTMWN GNSLSCTGSSPISRSGAASMPSSPKQVRKMNLQDHSTLQPRLSRHKEPASENVSVRTRKY SGSSLSNMGAYSRSLPRLYKATDNQMSPLSLPPRSSLGNSRRGQLGEKDLPHSLVDSDNY LNFSSLSSGASPYKTCLSEGNPYVSSALSVPASPRVARKMLLASTSSDDFDRASYSGTSP SHSFISGEPDRVLVARRNFSCGSMELDDSDLESLRQSSETPQPVLRERKSSISSISGRDD LMDYHRRQREERLREQEMERLERQRLETILSLCAEYTKPEGRRLSAGTTVADVQKINKEL EKLQLSDEESVFEDALVCPDARYRCHRKGSLQDVDVAGFGNLGHSASFLAPRGSRSDELL GDLTRTPPSSSAAFLKATNESSYLSILPKTPEDIGEEQRTQELAAMEDARMVILNNLEEL EQKIKDINDQMDESSRELDMECALLDGEQKSETAELMKEKEILDHLNRKITELEKNIVGE KTKEKVKLDAEREKLERLQELYSEQKTQLDNCPESMREQLQQQLKRDADLLDVESKHFED LEFQQLEHESRLDEEKENLTQQLLREVAEYQRNIVARKEKISALKKQASHIVQQAQREQD HFVKEKNNLIMMLQREKENLCNLEKKYSSLTGGKGFPINPNTLKEGYISVNEINESCGNS TNLSPSTQFPADADAAVTEPALAVPHRTAVYSGFMSPSTLSPSVTEPSSATWPEVMTTSV DPFPLNDTPPPLPAKKHRRQQPQEQQHFRSLEERKKQHKEGLYLSDTLPRKKTTPSLSPH FSSATMGRSTTPKAHLPLGQSNSCGSVLPHSLATMTKDSESRRMLRGYNHQQMSEGQRQK PEFYSRTASESNVYLNSFHYPDRSYKDQAYDTLSLDSSDSMETSISACSPDNISSASTSN IARIEEMERLLKQAHAEKTRLLESREREMEAKKRALEEEKRRREILEKRLQEETSQRQKL IEKEVKIREKQRAQARPLTRYLPVRKEDFDLRSHVETAGHNIDTCFHVSITEKTCRGYLI KMGGKIKTWKKRWFVFDRNKRTFSYYADKHEAKLKGVIYFQAIEEVYYDHLKNANKSPNP LLTFSVKTHDRIYYMVAPSPEAMRIWMDVIVTGAEGYTHFLL >ENSMUSP00000119718.1 pep:known chromosome:GRCm38:16:45747160:45801571:-1 gene:ENSMUSG00000033149.16 transcript:ENSMUST00000131003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb2 description:pleckstrin homology-like domain, family B, member 2 [Source:MGI Symbol;Acc:MGI:2444981] XKEKEILDHLNRKITELEKNIVGEKTKEKVKLDAEREKLERLQELYSEQKTQLDNCPESM REQLQQQLKRDADLLDVESKHFEDLEFQQLEHESRLDEEKENLTQQLLREVAEYQRNIVA RKEKISALKKQASHIVQQAQREQDHFVKEKNNLIMMLQREKENLCNLEKKYSSLTGGKGF PINPNTLKEHFRSLEERKKQHKEGLYLSDTLPRKKTTPSLSPHFSSATMGRSTTPKAHLP LGQSNSCGSVLPHSLATMTKDSESRRMLRGYNHQQMSEGQRQKPEFYSRTASESNVYLNS FHYPDRSYKDQAYDTLSLDSSDSMETSISACSPDNISSASTSNIARIEEMERLLKQAHAE KTRLLESREREMEAKKRALEEEKRRREILEKRLQEETSQRQKLIEKEVKIREKQRAQARP LTRYLPVRKEDFDLRSHVETAGHNIDTCFHVSITEKTCRGYLIKMGGKIKTWKKRWFVFD RNKRTFSYYADKHEAKLKGVIYFQAIEEVYYDHLKNANKSPNPLLTFSVKTHDRIYYMVA PSPEAMRIWMDVIVTGAEGYTHFLL >ENSMUSP00000123284.1 pep:known chromosome:GRCm38:16:45757142:45953598:-1 gene:ENSMUSG00000033149.16 transcript:ENSMUST00000134802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb2 description:pleckstrin homology-like domain, family B, member 2 [Source:MGI Symbol;Acc:MGI:2444981] MELGMDPRVSSENHQKIPMEEEDEAREEPKNGGADDPEPFGSSHIMAEDSHMQKQLEFQN GSLEEGFVVRSLENEPQNMMESLSPRKYSSSLKFKANGDYSGSYLTLSQPVSAKRSPSPM GTSVRSSPSLAKIQGSKQFCDGIDKNISMKPPISFLSSAASLGGYPLGKADLDHYTGRDS ERSTRLSEKPPYSRYSSRNKSHDSVYFLGGLEGRKTSGSLLTMWNGNSLSCTGSSPISRS GAASMPSSPKQVRKMNLQDHSTLQPRLSRHKEPASENVSVRTRKYSGSSLSNMGAYSRSL PRLYKATDNQMSPLSLPPRSSLGNSRRGQLGEKDLPHSLVDSDNYLNFSSLSSGASPYKT CLSEGNPYVSSALSVPASPRVARKMLLASTSSDDFDRASYSGTSPSHSFISGEPDRVLVA RRNFSCGSMELDDSDLESLRQSSETPQPVLRERKSSISSISGRDDLMDYHRRQREERLRE QEMERLERQRLETILSLCAEYTKPEGRRLSAGTTVADVQKINKELEKLQLSDEESVFEDA LVCPDARYRCHRKGSLQDVDVAGFGNLGHSASFLAPRGSRSDELLGDLTRTPPSSSAAFL KATNESSYLSILPKTPEDIGEEQRTQELAAMEDARMVILNNLEELEQKIKDINDQMDESS RELDMECALLDGEQKSETAELMKEKEILDHLNRKITELEKNIVGEKTKEKVKLDAEREKL ERLQELYSEQKTQLDNCPESMREQLQQQLKRDADLLDVESKHFEDLEFQQLEHESRLDEE KENLTQQLLREVAEYQRNIVARKEKISALKKQASHIVQQAQREQDHFVKEKNNLIMMLQR EKENLCNLEKKYSSLTGGKGFPINPNTLKEGYISVNEINESCGNSTNLSPSTQFPADADA AVTEPALAVPVSQPQSSEHFRSLEERKKQHKEGLYLSDTLPRKKTTPSLSPHFSSATMGR STTPKAHLPLGQSNSCGSVLPHSLATMTKDSESRRMLRGYNHQQMSEGQRQKPEFYSRTA SESNVYLNSFHYPDRSYKDQAYDTLSLDSSDSMETSISACSPDNISSASTSNIARIEEME RLLKQAHAEKTRLLESREREMEAKKRALEEEKRRREILEKRLQEETSQRQK >ENSMUSP00000121981.1 pep:known chromosome:GRCm38:16:45780335:45791447:-1 gene:ENSMUSG00000033149.16 transcript:ENSMUST00000142697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb2 description:pleckstrin homology-like domain, family B, member 2 [Source:MGI Symbol;Acc:MGI:2444981] MVPACIILLTSPLYGIVKRFQHLRLKALSKHEEKVKLDAEREKLERLQELYSEQKTQLDN CPESMREQLQQQLKRDADLLDVESKHFEDLEFQQLEHESRLDEEKENLTQQLLREVAEYQ RNIVARKEKISALKKQA >ENSMUSP00000121800.1 pep:known chromosome:GRCm38:16:45825805:45953493:-1 gene:ENSMUSG00000033149.16 transcript:ENSMUST00000136405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb2 description:pleckstrin homology-like domain, family B, member 2 [Source:MGI Symbol;Acc:MGI:2444981] MDPRVSSENHQKIPMEEEDEAREEPKNGGADDPEPFGSSHIMAEDSHMQKQLEFQNGSLE EGFVVRSLENEPQNMMESLSPRKYSSSLKFKANGDYSGSYLTLSQPVSAKRSPSPMGTSV RSSPSLAKIQGSK >ENSMUSP00000112985.1 pep:known chromosome:GRCm38:15:102203648:102215605:1 gene:ENSMUSG00000046897.16 transcript:ENSMUST00000118729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp740 description:zinc finger protein 740 [Source:MGI Symbol;Acc:MGI:1915994] MMLSQIASKQAENGERAGSPDVLRCSSQGHRKDSDKSRNRKEDDSLAEASHSKKTVKKVV VVEQNGSFQVKIPKNFICEHCFGAFRSSYHLKRHVLIHTGEKPFECDVCDMRFIQKYHLE RHKRVHSGEKPYQCERCHQCFSRTDRLLRHKRMCQGCQSKTSEGQFSL >ENSMUSP00000113691.1 pep:known chromosome:GRCm38:15:102203659:102215598:1 gene:ENSMUSG00000046897.16 transcript:ENSMUST00000119168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp740 description:zinc finger protein 740 [Source:MGI Symbol;Acc:MGI:1915994] MMLSQIASKQAENGERAGSPDVLRCSSQMDCKPRFDLSSKGHRKDSDKSRNRKEDDSLAE ASHSKKTVKKVRNHLSVMSVICVSSRSTISNATSGYTVAKSLTSVNDVISVFLGQTDYSD TNGCAKDASPRLLKGSFLYRHKGP >ENSMUSP00000122698.1 pep:known chromosome:GRCm38:15:102203691:102208342:1 gene:ENSMUSG00000046897.16 transcript:ENSMUST00000141465.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp740 description:zinc finger protein 740 [Source:MGI Symbol;Acc:MGI:1915994] MAQASLLACEGLAGVSLVPTAASKKMMLSQIASKQAENGERAGSPDVLRCSSQMDCKPRF DLSSKGHRKDSDKSRNRKEDDSLAEASHS >ENSMUSP00000117024.1 pep:known chromosome:GRCm38:15:102203709:102208864:1 gene:ENSMUSG00000046897.16 transcript:ENSMUST00000139960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp740 description:zinc finger protein 740 [Source:MGI Symbol;Acc:MGI:1915994] MMLSQIASKQAENGERAGSPDVLRCSSQMDCKPRFDLSSKGHRKDSDKSRNRKEDDSLAE ASHSKKTVKKVVVVEQNGSFQVKIPKNFICEHCFGAFRSSYHLKRHV >ENSMUSP00000113770.1 pep:known chromosome:GRCm38:15:102204609:102215606:1 gene:ENSMUSG00000046897.16 transcript:ENSMUST00000119800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp740 description:zinc finger protein 740 [Source:MGI Symbol;Acc:MGI:1915994] MMLSQIASKQAENGERAGSPDVLRCSSQMDCKPRFDLSSKGHRKDSDKSRNRKEDDSLAE ASHSKKTVKKVVVVEQNGSFQVKIPKNFICEHCFGAFRSSYHLKRHVLIHTGEKPFECDV CDMRFIQKYHLERHKRVHSGEKPYQCERCHQCFSRTDRLLRHKRMCQGCQSKTSEGQFSL >ENSMUSP00000113440.1 pep:known chromosome:GRCm38:15:102204746:102208778:1 gene:ENSMUSG00000046897.16 transcript:ENSMUST00000121206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp740 description:zinc finger protein 740 [Source:MGI Symbol;Acc:MGI:1915994] MAQASLLACEGLAGVSLVPTAASKKMMLSQIASKQAENGERAGSPDVLRCSSQGHRKDSD KSRNRKEDDSLAEASHSKKTVKKAGNGEAPDSCSLVMGIFPGESEATLTVAVHSC >ENSMUSP00000122429.1 pep:known chromosome:GRCm38:15:102204780:102208766:1 gene:ENSMUSG00000046897.16 transcript:ENSMUST00000133986.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp740 description:zinc finger protein 740 [Source:MGI Symbol;Acc:MGI:1915994] MMLSQIASKQAENGERAGSPDVLRCSSQMDCKPRFDLSSKGHRKDSDKSRNRKEDDSLAE ASHSKKTVKKVVVV >ENSMUSP00000095863.2 pep:known chromosome:GRCm38:7:99767164:99768130:1 gene:ENSMUSG00000073997.2 transcript:ENSMUST00000098263.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr521 description:olfactory receptor 521 [Source:MGI Symbol;Acc:MGI:3030355] MENIACNGSGNSQTSFYLTGIPSLQKSLFLPVFLIFLLLYLLILVGNALILVAVVTERSL HKPMYFFLINLSALDILFTTTTVPKMLSLLLLGDRFLSFPACFLQMYLFHSFSCSEAFIL VVMAYDRYVAICRPLHYPVHMTPQTNTALAASAWITALLLPIPAVIQTSQMAFDNIAYIY HCFCDHLAVVQASCSDTTPQTLMGFCIAMVVSFLPLLLVLLSYARILSSVLRINSKEGRS KAFSTCSSHLLVVGTYYSSIAIAYVAYRADLPLDFHIMGNVVYAILTPVLNPLIYTLRNK DVKSAITKMMCHQDPKSIGKP >ENSMUSP00000081816.1 pep:known chromosome:GRCm38:7:107933522:107934454:1 gene:ENSMUSG00000095212.1 transcript:ENSMUST00000084761.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr473 description:olfactory receptor 473 [Source:MGI Symbol;Acc:MGI:3030307] MEAENHTTVAELIILGLTEDPKLCIVFFVIFLGVYIVTLVGNISIITLIRISSQLHTPMY LFLSHLAFVDILYSTSVSVIMHMELLGHGLALPVAACAAQLCITVSFGSAECFLLAAMAY DRYVAICSPLLYSTLMSPRVCFLLLGMSYVGGCMNGWTFTGCLLSLSFCGPNQIDHFFCD FSPLLKLSCSDVSIIGIIPSISSGSIIVVTVFVIAVSYIYILITILNMRSTEGRHKAFST CTSHLTAVTLYYGTITFIYVMPKSNYSTEQNKVLSVFYTVVIPMLNPLIYSLRNRDVKEA LRKATVRVYS >ENSMUSP00000092897.3 pep:known chromosome:GRCm38:17:46248080:46252537:1 gene:ENSMUSG00000071074.9 transcript:ENSMUST00000095263.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf3 description:Yip1 domain family, member 3 [Source:MGI Symbol;Acc:MGI:106280] MATPAAPASGVRNGAGPEWGGFEENIQGGGSAVIDMENMDDTSGSSFEDMGELHQRLREE EVDADAAAAEEEDGEFLGMKGFKGQLSRQVADQMWQAGKRQASRAFSLYANIDILRPYFD VEPAQVRSRLLESMIPIKMVNFPQKVAGELYGPLMLVFTLVAILLHGMKTSDTIIREGTL MGTAIGTCFGYWLGVSSFIYFLAYLCNAQITMLQMLALLGYGLFGHCIVLFITYNIHLHA LFYLFWLLVGGLSTLRMVAVLVSRTVGPTQRLLLCGTLAALHMLFLLYLHFAYHKVVEGI LDTLEGPNIPPMQRVPRDIPAVLPAARLPVAVINATAKAIAVTLQSH >ENSMUSP00000115951.1 pep:known chromosome:GRCm38:17:46248125:46251488:1 gene:ENSMUSG00000071074.9 transcript:ENSMUST00000123311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf3 description:Yip1 domain family, member 3 [Source:MGI Symbol;Acc:MGI:106280] MATPAAPASGVRNGAGPEWGGFEENIQGGGSAVIDMESMIPIKMVNFPQKVAGELYGPLM LVFTLVAILLHGMKTSDTIIREGTLMGTAIGTCFGYWLGVSSFIYFLAYLCNAQITMLQM LALLGYGLFGHCIVLFITYNIHLHALFYLFWLLVGGLSTLRMVAVLVSRTVGPTQRLLLC GTLAALHMLFLLYLHFAYHKVVEG >ENSMUSP00000114937.1 pep:known chromosome:GRCm38:17:46249029:46251904:1 gene:ENSMUSG00000071074.9 transcript:ENSMUST00000127378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf3 description:Yip1 domain family, member 3 [Source:MGI Symbol;Acc:MGI:106280] XADQMWQAGKRQASRAFSLYANIDILRPYFDVEPAQVRSRLLESMIPIKMVNFPQKVAGE LYGPLMLVFTLVAILLHGMKTSDTIIREGTLMGTAIGTCFGYWLGVSSFIYFLAYLCNAQ ITMLQMLALLGYGLFGHCIVLFITYNIHLHALFYLFWLLVGGLSTLRMGSWTPWRAPTSH PCRGSPETSPLCSLLPGCPSL >ENSMUSP00000109751.1 pep:known chromosome:GRCm38:X:74837196:74843355:1 gene:ENSMUSG00000079531.1 transcript:ENSMUST00000114116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5936 description:predicted gene 5936 [Source:MGI Symbol;Acc:MGI:3645575] MDSSEYVLCGWKSQLCPARVLSRPRTPAHSKRRGVPFLEVQILPVGEKRRVRSSKVRPLA KSEIISINSLAGKESRGRGSPGQTRASRRALKVALDGLGEGSSFFQGGRAGSRRTSTLAP KVPKEQASSSSSSLGQRLCLRLRQLLPLSLCQRLHLHLRLRLHLHMHLCLQGRNQKGQGL SQRSPGKRVRPDPGPVMMGSQNVPAVPVGKAQAHTAVGLPRSEMEGDVLRGTRVWPSYSK KTPLGKAGGNPGKRKPGTGKRKLRSLSAPASRKGTRFKTEQQAASGPPRHISISPKALKQ RVRCAGLEIRAAGAQRKTALAENKDHPGLGTPKPDSKRASAACMPPVLRLRRSLRIASRK KKTHVLCALCGLPEWEPQVHSKVTNTRFKRRGARVQKDAKATNVVSAQEPSTIEQGTLVW FKFQDLPFWPAVVKSVSKIDKMARVLLIEGNMQFERRGIRVPLRKLKHLDCGEKVSLVRR ASRLYAQGINWCFSVIDHYREGLSRGSLLGSFMDYYTTQASYPLRRAVQEGDLHIDFPKV SYAELEDWEEETALGGKGPYKKLLPDRMRAAWDRANQKLVDFIVKRKGADQHLLDIVKGR KPSRWLDDLWKSKREVFCIETYLEDDDQLHLVARHLQEVAKEADEALLSLARGDKVRFTM EVLFPEAIIYSIAALDELSYKEAEEKYLRGPPVHYREKELFDKTILKAARKRSAARIRAA RDPPVPTP >ENSMUSP00000110554.3 pep:known chromosome:GRCm38:X:49470450:49500244:1 gene:ENSMUSG00000036198.12 transcript:ENSMUST00000114904.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap36 description:Rho GTPase activating protein 36 [Source:MGI Symbol;Acc:MGI:1922654] MAWMLDCLFASAFEPRPRRVSVLGGAPGQNSDRSMDMVSIHSLSELERLKLQETAYHELV ARHFLSEFKPDRALPTDRPNTLEKWFLMLRGQDRAASLKTFGIRLEEVLVNELTRRKQRE LTPTMQVEDINGSTGRRRRGNVVQRMLGRMRRFFSRRRNEPTLPREFTRRGRRGAVSADS ADELENGALLLQILQLSQLSSPIGQRLLGSKRKMSLNPIAQQIPQIVETCCKFIEKHGLS SVGIFTIEYSLRRVLELRELFDKGLDIVLDDSVNVHDVAELLKEFFREMKDPLLPDDLYM SFLLTATLKPKDQVSALQLLVYLMPPCHSDTLERLLKALHKITENCEDSIGVDGQLVPGN RMTSTNLALVFGTALLKKGKLANKESRKTKLGIDHYVASVNVVRAMIDNWDILFQVPPHI QKEVAKRVWKSSPEALDFIRRRNLRKIQSARIKMEEDALLSDPVENSAEAQAAILAQSQP FDEDPEGAPDVHEVLNDNLNYDFEDESDFEDQDHLDLAEVPYLDVIPNNEDTDSDADVIP GPSEEPAVPASTAGSPDKEEGAAGNPPNADRPLPRVPQGKKGKFATRFFP >ENSMUSP00000040798.6 pep:known chromosome:GRCm38:X:49470577:49500242:1 gene:ENSMUSG00000036198.12 transcript:ENSMUST00000042444.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap36 description:Rho GTPase activating protein 36 [Source:MGI Symbol;Acc:MGI:1922654] MAWMLDCLFASAFEPRPRRVSVLGGAPGQNSDRSMDMVSIHSLSELERLKLQETAYHELV ARHFLSEFKPDRALPTDRPNTLEKWFLMLRGQDRAASLKTFGIRLEEVLVNELTRRKQRE LTPTMQVEDINGSTGRRRRGNVVQRMLGRMRRFFSRRRNEPTLPREFTRRGRRGAVSADS ADELENGALLLQILQLSQLSSPIGQRLLGSKRKMSLNPIAQQIPQIVETCCKFIEKHGLS SVGIFTIEYSLRRVLELRELFDKGLDIVLDDSVNVHDVAELLKEFFREMKDPLLPDDLYM SFLLTATLKPKDQVSALQLLVYLMPPCHSDTLERLLKALHKITENCEDSIGVDGQLVPGN RMTSTNLALVFGTALLKKGKLANKESRKTKLGIDHYVASVNVVRAMIDNWDILFQVPPHI QKEVAKRVWKSSPEALDFIRRRNLRKIQSARIKMEEDALLSDPVENSAEAQAAILAQSQP FDEGPSEEPAVPASTAGSPDKEEGAAGNPPNADRPLPRVPQGKKGKFATRFFP >ENSMUSP00000119757.1 pep:known chromosome:GRCm38:X:49463945:49496508:1 gene:ENSMUSG00000036198.12 transcript:ENSMUST00000130558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap36 description:Rho GTPase activating protein 36 [Source:MGI Symbol;Acc:MGI:1922654] MKLVSVLGGAPGQNSDRSMDMVSIHSLSELERLKLQETAYHELVARHFLSEFKPDRALPT DRPNTLEKWFLMLRGQDRAASLKTFGIRLEEVLVNELTRRKQRELTPTMQVEDINGSTGR RRRGNVVQRMLGRMRRFFSRRRNEPTLPREFTRRGRRGAVSADSADELENGALLLQILQL SQLSSPIGQRLLGSKRKMSLNPIAQQIPQIVETCCKFIEKHGLSSVGIFTIEYSLRRVLE LRELFDKGLDIVLDDSVNVHDVA >ENSMUSP00000030456.7 pep:known chromosome:GRCm38:4:116601609:116627941:-1 gene:ENSMUSG00000028693.15 transcript:ENSMUST00000030456.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nasp description:nuclear autoantigenic sperm protein (histone-binding) [Source:MGI Symbol;Acc:MGI:1355328] MATESTAAAAIAAELVSADKIEDAPAPSTSADKMESLDVDSEAKKLLGLGQKHLVMGDIP AAVNAFQEAASLLGKKYGETANECGEAFFFYGKSLLELARMENGVLGNALEGVHVEEEEG EKTEDESLVENNDNVDEEAREELREQVYDAMGEKEAKKAEGKSLTKPETDKEQESEVEKG GREDMDISEPEEKLQETVEPTSKQLTESSEEAKEAAIPGLNEDEVASGKTEQESLCTEKG KSISGAYVQNKEFRETVEEGEEIISLEKKPKETSEDQPIRAAEKQGTLMKVVEIEAEIDP QVKSADVGGEEPKDQVATSESELGKAVLMELSGQDVEASPVVAAEAGAEVSEKPGQEITV IPNNGPVVGQSTVGDQTPSEPQTSAERLTETKDGSSVEEVKAELVPEQEEAMLPVEESEA AGDGVETKVAQRATEKAPEDKFKIAANEETQERDEQMKEGEETEGSEEEDRENDKAEETP NESVLEKKSLQENEEEEIGNLELAWDMLDLAKIIFKRQETKEAQLYAAQAHLKLGEVSVE SENYIQAVEEFQACLSLQEQYLEAHDRLLAETHYQLGLAYGYNSQYDEAVAQFGKSIDVI EKRMAVLHEQMKEAEGSFTEYEKEIEELKELLPEIREKIEDAKESQRSGNVAELALKATL VESSTSGFTPSGAGASVSMIASRKPTDGASSSNCVTDISHLVRKKRKPEEESPRKDDAKK AKQEPEVNGGSGDAVSSGKEVSENMEAEAENQAESQTAEGTVESAATIKSTAC >ENSMUSP00000030457.5 pep:known chromosome:GRCm38:4:116601052:116627497:-1 gene:ENSMUSG00000028693.15 transcript:ENSMUST00000030457.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nasp description:nuclear autoantigenic sperm protein (histone-binding) [Source:MGI Symbol;Acc:MGI:1355328] MATESTAAAAIAAELVSADKIEDAPAPSTSADKMESLDVDSEAKKLLGLGQKHLVMGDIP AAVNAFQEAASLLGKKYGETANECGEAFFFYGKSLLELARMENGVLGNALEGVHVEEEEG EKTEDESLVENNDNVDETEGSEEEDRENDKAEETPNESVLEKKSLQENEEEEIGNLELAW DMLDLAKIIFKRQETKEAQLYAAQAHLKLGEVSVESENYIQAVEEFQACLSLQEQYLEAH DRLLAETHYQLGLAYGYNSQYDEAVAQFGKSIDVIEKRMAVLHEQMKEAEGSFTEYEKEI EELKELLPEIREKIEDAKESQRSGNVAELALKATLVESSTSGFTPSGAGASVSMIASRKP TDGASSSNCVTDISHLVRKKRKPEEESPRKDDAKKAKQEPEVNGGSGDAVSSGKEVSENM EAEAENQAESQTAEGTVESAATIKSTAC >ENSMUSP00000079946.4 pep:known chromosome:GRCm38:4:116601609:116627478:-1 gene:ENSMUSG00000028693.15 transcript:ENSMUST00000081182.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nasp description:nuclear autoantigenic sperm protein (histone-binding) [Source:MGI Symbol;Acc:MGI:1355328] MATESTAAAAIAAELVSADKIEDAPAPSTSADKMESLDVDSEAKKLLGLGQKHLVMGDIP AAVNAFQEAASLLMENGVLGNALEGVHVEEEEGEKTEDESLVENNDNVDETEGSEEEDRE NDKAEETPNESVLEKKSLQENEEEEIGNLELAWDMLDLAKIIFKRQETKEAQLYAAQAHL KLGEVSVESENYIQAVEEFQACLSLQEQYLEAHDRLLAETHYQLGLAYGYNSQYDEAVAQ FGKSIDVIEKRMAVLHEQMKEAEGSFTEYEKEIEELKELLPEIREKIEDAKESQRSGNVA ELALKATLVESSTSGFTPSGAGASVSMIASRKPTDGASSSNCVTDISHLVRKKRKPEEES PRKDDAKKAKQEPEVNGGSGDAVSSGKEVSENMEAEAENQAESQTAEGTVESAATIKSTA C >ENSMUSP00000110515.1 pep:known chromosome:GRCm38:9:42518135:42944493:-1 gene:ENSMUSG00000032017.14 transcript:ENSMUST00000114865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik4 description:glutamate receptor, ionotropic, kainate 4 [Source:MGI Symbol;Acc:MGI:95817] MPRVSAPLVLLPAWLLMVACSPHSLRIAAILDDPMECSRGERLSITLAKNRINRAPERLG KAKVEVDIFELLRDSEYETAETMCQILPKGVVAVLGPSSSPASSSIISNICGEKEVPHFK VAPEEFVRFQLQRFTTLNLHPSNTDISVAVAGILNFFNCTTACLICAKAECLLNLEKLLR QFLISKDTLSVRMLDDTRDPTPLLKEIRDDKTATIIIHANASMSHTILLKAAELGMVSAY YTYIFTNLEFSLQRMDSLVDDRVNILGFSIFNQSHAFFQEFSQSLNQSWQENCDHVPFTG PALSSALLFDAVYAVVTAVQELNRSQEIGVKPLSCGSAQIWQHGTSLMNYLRMVELEGLT GHIEFNSKGQRSNYALKILQFTRNGFQQIGQWHVAEGLSMDSRLYASNISDSLFNTTLVV TTILENPYLMLKGNHQEMEGNDRYEGFCVDMLKELAEILRFNYKIRLVGDGVYGVPEANG TWTGMVGELIARKADLAVAGLTITAEREKVIDFSKPFMTLGISILYRVHMGRRPGYFSFL DPFSPGVWLFMLLAYLAVSCVLFLVARLTPYEWYSPHPCAQGRCNLLVNQYSLGNSLWFP VGGFMQQGSTIAPRALSTRCVSGVWWAFTLIIISSYTANLAAFLTVQRMEVPIESVDDLA DQTAIEYGTIHGGSSMTFFQNSRYQTYQRMWNYMYSKQPSVFVKSTEEGIARVLNSNYAF LLESTMNEYYRQRNCNLTQIGGLLDTKGYGIGMPVGSVFRDEFDLAILQLQENNRLEILK RKWWEGGKCPKEEDHRAKGLGMENIGGIFVVLICGLIVAIFMAMLEFLWTLRHSEASEVS VCQEMVTELRNIILCQDNIHPRRRRSGGLPPQPPVLEERRPRGTATLSNGKLCGAGEPDQ LAQRLAQEAALVARGCTHIRVCPECRRFQGLRARPSPARSEESLEWDKTTNSSEPE >ENSMUSP00000034515.6 pep:known chromosome:GRCm38:9:42520412:42808699:-1 gene:ENSMUSG00000032017.14 transcript:ENSMUST00000034515.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik4 description:glutamate receptor, ionotropic, kainate 4 [Source:MGI Symbol;Acc:MGI:95817] MPRVSAPLVLLPAWLLMVACSPHSLRIAAILDDPMECSRGERLSITLAKNRINRAPERLG KAKVEVDIFELLRDSEYETAETMCQILPKGVVAVLGPSSSPASSSIISNICGEKEVPHFK VAPEEFVRFQLQRFTTLNLHPSNTDISVAVAGILNFFNCTTACLICAKAECLLNLEKLLR QFLISKDTLSVRMLDDTRDPTPLLKEIRDDKTATIIIHANASMSHTILLKAAELGMVSAY YTYIFTNLEFSLQRMDSLVDDRVNILGFSIFNQSHAFFQEFSQSLNQSWQENCDHVPFTG PALSSALLFDAVYAVVTAVQELNRSQEIGVKPLSCGSAQIWQHGTSLMNYLRMVELEGLT GHIEFNSKGQRSNYALKILQFTRNGFQQIGQWHVAEGLSMDSRLYASNISDSLFNTTLVV TTILENPYLMLKGNHQEMEGNDRYEGFCVDMLKELAEILRFNYKIRLVGDGVYGVPEANG TWTGMVGELIARKADLAVAGLTITAEREKVIDFSKPFMTLGISILYRVHMGRRPGYFSFL DPFSPGVWLFMLLAYLAVSCVLFLVARLTPYEWYSPHPCAQGRCNLLVNQYSLGNSLWFP VGGFMQQGSTIAPRALSTRCVSGVWWAFTLIIISSYTANLAAFLTVQRMEVPIESVDDLA DQTAIEYGTIHGGSSMTFFQNSRYQTYQRMWNYMYSKQPSVFVKSTEEGIARVLNSNYAF LLESTMNEYYRQRNCNLTQIGGLLDTKGYGIGMPVGSVFRDEFDLAILQLQENNRLEILK RKWWEGGKCPKEEDHRAKGLGMENIGGIFVVLICGLIVAIFMAMLEFLWTLRHSEASEVS VCQEMVTELRNIILCQDNIHPRRRRSGGLPPQPPVLEERRPRGTATLSNGKLCGAGEPDQ LAQRLAQEAALVARGCTHIRVCPECRRFQGLRARPSPARSEESLEWDKTTNSSEPE >ENSMUSP00000055931.3 pep:known chromosome:GRCm38:7:107954643:107955575:1 gene:ENSMUSG00000094197.1 transcript:ENSMUST00000054434.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr474 description:olfactory receptor 474 [Source:MGI Symbol;Acc:MGI:3030308] MNGGNHTSMTELFILGPTEDPTFCIAFFVIFLGVYMVTLVGNISIITLIRISSQLHTPVY LFLNHLAFVDILYSTLVSVIMLMELLEHELALPVAACAAELCITVLFGSSECFLLAAMAY DCYVAICSPLLYSTLMSSRVCFLLLGMSYVGGCMNGWIFTGCLLNLSFYGPYQIDHFFCD FSPLLKLSCSDVSIIGIIPSISSGSIIVVTVLVIAVFYICILMTILKMHSTDGCHKAFST CNSYLTAVTLYYGTITFIYVMPKSNYSTEKNKVLSEFYTVVIPMLNHLIYSLKNRDVKDA LRKAIVRVYT >ENSMUSP00000146720.1 pep:known chromosome:GRCm38:13:96748272:96910039:1 gene:ENSMUSG00000109561.1 transcript:ENSMUST00000208758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd31 description:ankyrin repeat domain 31 [Source:MGI Symbol;Acc:MGI:5006716] MENGAEASDCDSDETVIEGSVTENEPEDEELPWRRLLLNQDTTCRSEFCFHSGVDGMQKG IHSPEIQLGLKLRKDSQEQNNKNKLLLALSEDLVLQDPQDKTAQNQVLLQTTKEFPVFTV SFPHPEVSWSHQNTGGHEAENCENLPHSKKELRENSDSPEVSLLSGTSPVAPDLVALKER LTEPVKTLAVPNTLSEPGEEVTQTMTSKETKDEESSLETFVSTLEKLLESSECTQEERLL EVMDDFNPQELFSTLSNSLGSVSVPLNAWAAQGRDELENKADAALPAKLLAAVNTGADVG PSCQGQEKSSSVSGGNGCLAVQPIMSQVDEDCTQIAQETPRVLRRSSRLEKLKASRDVVH TEAVLKKPERILSNTLSFKDQINSIFTTDSFSKRKNMHSSGFKNEQIRKSEQLRKKNGWT ALHEASIGGYYQAVSELLKGGADVNVKGKYQITPLHDAVMNRHYKVAELLLMSGADPLFR SDHGTCALDEAKDSSMETLLMKYIPQQKKCHLSAQRNSTDPAHVEDMFQNKKPKLSSNNY TEFICDENFDRQEPGHLEINKGSNNLLMSKEYVCEHCQKDSNTTKFGKSNLNSVKNSRTN VSKRKGQKNRQQKKTQVDDRDCNLSQKIGTSSFRRTNKLLTQQQHAVQTLSDLPEESFEL STTTLSSLENGIGYNEACLVSKKSDTHVLDSSDGQELESVDQTEAASVSELSSYKEIKLL PVTTHQQPHTNQEQYSSPYKSLGNNSSNEKGKATNKWEDSFFSFIKGRSADSDSDCHTLD KSIASPKEGMSHDHHEEIMTGQEVDSQQRLSSENYFSQENDLKVHPLTTHPQEEAVNFCD SNLISVQHTPDYKNCLHEISFGNSYAKTEQSSTSCTRPPSTQKVSPLTVEVELLKGLQDS LAHRDSSPLVNQAGIHSLERKQDTDKNYTKKGPNTSSSSRPLPTVVHSQVIEITKAEKRR EDLPGNEPINNTDFYSTDINKELANSSQLNQRKEKENVRKSDAELTHNDSEAERTLKSCE EKKKNMDSETHSPCDIQEHRKDQNFRKRKCSLKAPCSQGVNTTGIGKRNKKGESQLHVAA RGGNLSRVKVLIEARADVNLRDNAGWTPLHKAASGGFDDVIIELLQAGANVNCENIDGIV PLHGASAGNHLKAAEILLEHGANPNQKDQKQRTALDEADDEKMKELLKSYGAIESTNGEK RNSTDLVKIPTVQPKRYKQFICDNDKAIGSPVPSHKAKKSESLPVHQTISAILQDIEEKQ ENLLKLEIRNSEDEEQYIGKMLEIKEVMDNILAQQKTERDDLAKKYRVSMESFKHGALRE QLANLATRQKSLLVVAKKQKKIRLKIQNYKNATAVSGVGLRKLPCNSDISSDKKSQEPPT MGDSAHAQPGLLAPVSLAYGSMQEIPLSPEIESESQKINICLNAEAIRREEFSGNDINSK QNVQDCTLGGLLRSKPTDDAEKIASSSQPAALTPHAENSQAEATVKGCGFDSSALTGTIN ISEDKSIFSPNGACLAADPHSQKLSRCNPKRRNKKTASQQPSAGAAEPLPQAPAVLDTYT VHQTLPCLRDSAAAASHTDSTQSSLSSASAHQHPTKTVPHRNTTPRKKAVQLKDLILRGR INPGNNILEFKTQETTHRASVLPSGKLKGENGQIYQNPVTWLKELLGGGSYVTWNYAWNT VTYLGRELVKCVSEEAPMSAELNPPQLHQPHLSGTSRESMQTIPHYLQIKEILQISKQEL LPCHVMEQHWKFYVGRSHSEALLSW >ENSMUSP00000146751.1 pep:known chromosome:GRCm38:13:96748532:96910039:1 gene:ENSMUSG00000109561.1 transcript:ENSMUST00000207464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd31 description:ankyrin repeat domain 31 [Source:MGI Symbol;Acc:MGI:5006716] MENGAEASDCDSDETVIEGSVTENEPEDEELPWRRLLLNQDTTCRSEFCFHSGVDGMQKG IHSPEIQLGLKLRKDSQEQNNKNKLLLALSEDLVLQNIEDPKPFRLQTLTHENAISYEQI NKKKNSDPIKNTSTQETPRVLRRSSRLEKLKASRDVVHTEAVLKKPERILSNTLSFKDQI NSIFTTDSFSKRKNMHSSGFKNEQIRKSEQLRKKNGTGEMKKMCLCTINRRNVFGENLLY KAALHNDVDLVRCCIKNGENVNQPSYDGWTALHEASIGGYYQAVSELLKGGADVNVKGKY QITPLHDAVMNRHYKVAELLLMSGADPLFRSDHGTCALDEAKDSSMETLLMKYIPQQKKC HLSAQRNSTDPAHVEDMFQNKKPKLSSNNYTEFICDENFDRQEPGHLEINKGSNNLLMSK EYVCEHCQKDSNTTKFGKSNLNSVKNSRTNVSKRKGQKNRQQKKTQVDDRDCNLSQKIGT SSFRRTNKLLTQQQHAVQTLSDLPEESFELSTTTLSSLENGIGYNEACLVSKKSDTHVLD SSDGQELESVDQTEAASVSELSSYKEIKLLPVTTHQQPHTNQEQYSSPYKSLGNNSSNEK GKATNKWEDSFFSFIKGRSADSDSDCHTLDKSIASPKEGMSHDHHEEIMTGQEVDSQQRL SSENYFSQENDLKVHPLTTHPQEEAVNFCDSNLISVQHTPDYKNCLHEISFGNSYAKTEQ SSTSCTRPPSTQKVSPLTVEVELLKGLQDSLAHRDSSPLVNQAGIHSLERKQDTDKNYTK KGPNTSSSSRPLPTVVHSQVIEITKAEKRREDLPGNEPINNTDFYSTDINKELANSSQLN QRKEKENVRKSDAELTHNDSEAERTLKSCEEKKKNMDSETHSPCDIQEHRKDQNFRKRKC SLKAPCSQGVNTTGIGKRNKKGESQLHVAARGGNLSRVKVLIEARADVNLRDNAGWTPLH KAASGGFDDVIIELLQAGANVNCENIDGIVPLHGASAGNHLKAAEILLEHGANPNQKDQK QRTALDEADDEKMKELLKSYGAIESTNGEKRNSTDLVKIPTVQPKRYKQFICDNDKAIGS PVPSHKAKKSESLPVHQTISAILQDIEEKQENLLKLEIRNSEDEEQYIGKMLEIKEVMDN ILAQQKTERDDLAKKYRVSMESFKHGALREQLANLATRQKSLLVVAKKQKKIRLKIQNYK NATAVSGVGLRKLPCNSDISSDKKSQEPPTMGDSAHAQPGLLAPVSLAYGSMQEIPLSPE IESESQKINICLNAEAIRREEFSGNDINSKQNVQDCTLGGLLRSKPTDDAEKIASSSQPA ALTPHAENSQAEATVKGCGFDSSALTGTINISEDKSIFSPNGACLAADPHSQKLSRCNPK RRNKKTASQQPSAGAAEPLPQAPAVLDTYTVHQTLPCLRDSAAAASHTDSTQSSLSSASA HQHPTKTVPHRNTTPRKKAVQLKDLILRGRINPGNNILEFKTQETTHRASVLPSGKLKGE NGQIYQNPVTWLKELLGGGSYVTWNYAWNTVLPESRCKPSLIIYR >ENSMUSP00000004473.8 pep:known chromosome:GRCm38:10:88674772:88683023:-1 gene:ENSMUSG00000004359.16 transcript:ENSMUST00000004473.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spic description:Spi-C transcription factor (Spi-1/PU.1 related) [Source:MGI Symbol;Acc:MGI:1341168] MTCCIDQDSLGQTFQDAIDILIQQSAGESQYSSENRNYMAIINPYPHVRGNANYYGMSPT ENPLYDWRGVTNGSADLYLEGGFHQSVQNIAESQLVQPPFFQQKGGRGRRKLRLFEYLFE SLCNSEMVSCIQWVDKARGIFQFISKNKETLAELWGQRKGNRKPMTYQKMARALRNYART GEIIKIRRKLTYQFSEAVLQRLAPANYLGKDLFYPQYGQPDQGYLSLNHWNANHYAHGSY QS >ENSMUSP00000114328.1 pep:known chromosome:GRCm38:10:88675272:88683023:-1 gene:ENSMUSG00000004359.16 transcript:ENSMUST00000133724.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spic description:Spi-C transcription factor (Spi-1/PU.1 related) [Source:MGI Symbol;Acc:MGI:1341168] MTCCIDQDSLGQTFQDAIDILIQQSAGESQYSSENRNYMAIINPYPHVRGNANYYGMSPT ENPLYDWRGVTNGSADLYLEGGFHQSVQNIAESQLVQPPFFQQKGGRVIFRHQ >ENSMUSP00000118799.1 pep:known chromosome:GRCm38:10:88675890:88685015:-1 gene:ENSMUSG00000004359.16 transcript:ENSMUST00000138734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spic description:Spi-C transcription factor (Spi-1/PU.1 related) [Source:MGI Symbol;Acc:MGI:1341168] MTCCIDQDSLGQTFQDAIDILIQQSAGESQYSSENRNYMAIINPYPHVRGNANYYGMSPT ENPLYDWRGVTNGSADLYLEGGFHQSVQNIAESQLVQPPFFQQKGGRGRRKLRLFEYLFE SLCNSEMVSCIQWVDKARGIFQFISKNKETLAELWGQRKGNRKPMTY >ENSMUSP00000083808.2 pep:known chromosome:GRCm38:5:113226909:113239263:1 gene:ENSMUSG00000066964.2 transcript:ENSMUST00000086615.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem211 description:transmembrane protein 211 [Source:MGI Symbol;Acc:MGI:2685700] MEVGVRAALGLSLTGMSGLSLISPAWFQSLSSSYGIFTYCSWPPGDCWNQSCVTFGSLKD MPSLAWKVSAATLLGGWLLLSISALLLSAWALAPRRLFARTGFGPTPVVQAAAAVSMLVG LLVFPATLASPFAKEICEGSSMYHSGACRLSWGYATAILNMVLTSLLVIRWQVTTVQRAA VPFSSETQRAILVPE >ENSMUSP00000041242.7 pep:known chromosome:GRCm38:3:9427020:9610085:-1 gene:ENSMUSG00000040209.12 transcript:ENSMUST00000041124.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp704 description:zinc finger protein 704 [Source:MGI Symbol;Acc:MGI:2180715] MQARRLAKRPSLGSRRGGAAPAPAPEAAALGLPPPGPSPAAAPGSWRPPLPPPRGTGPSR AAAASSPVLLLLGEEDEDEEGAGRRRRTRGRVTEKPRGVAEEEDDDEEEDEEVVVEVVDG DEDDEDAEERFVPLGPGRALPKGPARGAVKVGSFKREMTFTFQSEDFRRDSSKKPSHHLF PLAMEEDVRTADTKKTSRVLDQEKETRSVCLLEQKRKVVSSNIDVPPARKSSEELDMDKV TAAMVLTSLSTSPLVRSPPVRPNEGLSGSWKEGAPSSSSSSGYWSWSAPSDQSNPSTPSP PLSADSFKPFRSPAPPDDGIDEADASNLLFDEPIPRKRKNSMKVMFKCLWKSCGKVLNTA AGIQKHIRAVHLGRVGESDCSDGEEDFYYTEIKLNTDATAEGLNTVAPVSPSQSLASAPA FPIPDSSRTETPCAKTDTKLVTPLSRSAPTTLYLVHTDHAYQATPPVTIPGSAKFTPNGS SFSISWQSPPVTFTGVPVSPPHHPTAGSGEQRQHAHTALSSPPRGTVTLRKPRGEGKKCR KVYGMENRDMWCTACRWKKACQRFID >ENSMUSP00000141598.1 pep:known chromosome:GRCm38:3:9438292:9565299:-1 gene:ENSMUSG00000040209.12 transcript:ENSMUST00000193947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp704 description:zinc finger protein 704 [Source:MGI Symbol;Acc:MGI:2180715] VGSFKREMTFTFQSEDFRRDSSKKPSHHLFPLAMEEDVRTADTKKTSRVLDQEKETRSVC LLEQKRKVVSSNIDVPPARKSSEELDMDKVTAAMVLTSLSTSPLVRSPPVRPNEGLSGSW KEGAPSSSSSSGYWSWSAPSDQSNPSTPSPPLSADSFKPFRSPAPPDDGIDEADASNLLF DEPIPRKRKNSMKVMFKCLWKSCGKVLNTAAGIQKHIRAVHLGRVGESDCSDGEEDFYYT EIKLNTDATAEGLNTVAPVSPSQSLASAPAFPIPDSSRTETPCAKTDTKLVTPLSRSAPT TLYLVHTDHAYQATPPVTIPGSAKFTPNGSSFSISWQSPPVTFTGVPKWNDGATMVGINS RQYRWRTGTGEKRSLRSSFLCPECGHIVSRAPGSCCTGFPAWIVPLNQSPGHRMVPPILS IDLSFLLGKLSQKLPEVSPPHHPTAGSGEQRQHAHTALSSPPRGTVTLRKPRGEGKKCRK VYGMENRDMWCTACRWKKACQRFID >ENSMUSP00000076485.2 pep:known chromosome:GRCm38:7:107967399:107968331:1 gene:ENSMUSG00000095301.1 transcript:ENSMUST00000077249.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr476 description:olfactory receptor 476 [Source:MGI Symbol;Acc:MGI:3030310] METQNHTTVTEFILLGLTESSTLRVILFMVFLGIYTVTLVGNFSIISLIRSCPQLHTPMY LFLSHLAFVDIGFSTSITPTMFKGFLGNRLVLSVAACIAQFCITVTFGTVECFLLAVMAY DRYVAICSPLLYSTHMSPRICFLLVGASYVGGCVNSGAFTSCLSILSFCGPNQIDHFFCD FPAVLKLSCSDVSIIGIIPSISAGSIIVITVFVIAVSYAYILITILKMRSTEGRQKAFST CTSHLTAVTLYYGTITFIYVMPKSNYSTAQNKILSVFYTVVIPMLNPLIYSLRNRDVKEA LRKAIIRIFP >ENSMUSP00000141327.1 pep:known chromosome:GRCm38:1:176733662:176755333:-1 gene:ENSMUSG00000057335.11 transcript:ENSMUST00000192664.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170 description:centrosomal protein 170 [Source:MGI Symbol;Acc:MGI:1918348] XISRSSASARTAEAVIRSGARLVPSDKLSPRTRANSISRLSDSKVKSMSSTHGSPSALKT TRMQSTGSAMPASSSFKHRIKEQEDYIRDWTAHREEIARISQDLALIAREINDVAGEIDS VTSSGTAPSTTVSTAATTPGSAIDTREELVDRVFDESLNFRKIPPLVHSKTPEGNNGRSV DSRPQPAEHPDHLTITRRRTWSRDEVMGDNLLLSSVFQFSRKIRQSIDKTAGKIRILFKD KDRNWDDIENKLRAESEVPIVKTSSMEISSILQELKRVEKQLQGKCTARLSSAASG >ENSMUSP00000141769.1 pep:known chromosome:GRCm38:1:176733662:176814067:-1 gene:ENSMUSG00000057335.11 transcript:ENSMUST00000195717.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170 description:centrosomal protein 170 [Source:MGI Symbol;Acc:MGI:1918348] MSLTSWFLVSSGGTRHRLPREMIFVGRDDCELMLQSRSVDKQHAVINYDASMDEHLVKDL GSLNGTFVNDVRIPEQTYITLKLEDKLRFGYDTNLFTVVRGEMRVPEEALKHEKFTIQLQ LSQKSSESELPKSASAKGTDSKVEAAAEVQPRATEALKSEEKPMDVSAMPRGTPLYGQPS WWGDAEEDEQRAFKANGKPEGKSQEAGASGCSTEAKHVEGQSAAASEEALFPFCREPSYF EIPTKEFQQPSQIAESTIHEIPTKDTPSSHTAGAGHASFTIEFDDSTPGKVTIRDHVTKF TSDQRHKSKKASPGTQDLPGIQTGMMAPENKVADWLAQNNPPQMVWERTEEDSKSIKSDV PVYLKRLKGNKHDDGTQSDSENAGAHRRCSKRATLEEHLRRHHSEQKKKAQSTEKHQEQA ATSSTHHRGGHGVPHGKLLKQKSEEPSVSLPFLQTALLRSSGSLGHRPSQEMDVMLKNQA TSASSEKDNDDDQSDKGTYTIELENPNSEEVEARKMIDKVFGVDDNQDYNRPIINEKHKG LIKDWALNSAAVVMEERKPLSTPGFHNSEEAISSSGSKRWVSQWASLAANHTRHDPEERL MELSATVENETDTGDAGVSLRSTSCTTSLASQGERKRRTLPQLPNEEKLLESSRAKVVPQ RSEIGEKQDTELQEKEAQVYQSEKHDADRGLSKMSRAVNGESPKTGGDGKALLHSGSSSS KEKSETEKETSLVKQTLAKMQQQEQKEQAQWTPTKFPSKNALGHIDKCREESSKQESQLL EKVSGHSTSKGDRVIQNESKRRKAEEIPKCQASKGDKKESSKSLVRQGSFTIDKPSSNIP IELIPHINKQNSSVPTALALTSASRLRERSDSLDTDSSMDTTLILKDTEAVMAFLEAKLR EDNNKTDEGPDTPSYNRDNSISPESDVDTASTISLVTGETERKSTQKRKSFTSLYKDRCS TSSPSKDVTKSGSREKIEKKAKSRSADIGARADGRKFVQSSGRIRQPSIDLTDDDQTSSV PHSAISDIMSSDQETYSCKSHGRTPLTSADEHNIHSKLEGGKATKSKTSPVASGSTSKST TLPRPRPTRTSLLRRARLGEASDSELADADKASVASEVSTTSSTSKPPTGRRTISRIDLL AQPRRTRLGSLSARSDSEATISRSSASARTAEAVIRSGARLVPSDKLSPRTRANSISRLS DSKVKSMSSTHGSPSVNSRWRRFPTDYASTSEDEFGSNRNSPKHTRLRTSPALKTTRMQS TGSAMPASSSFKHRIKEQEDYIRDWTAHREEIARISQDLALIAREINDVAGEIDSVTSSG TAPSTTVSTAATTPGSAIDTREELVDRVFDESLNFRKIPPLVHSKTPEGNNGRSVDSRPQ PAEHPDHLTITRRRTWSRDEVMGDNLLLSSVFQFSRKIRQSIDKTAGKIRILFKDKDRNW DDIENKLRAESEVPIVKTSSMEISSILQELKRVEKQLQVINAMIDPDGTLEALNNMGFPN AILPSPPKQKSSPVNNHSSPSQTPALCPPETRALHPAAAGVAAAASTEFENAESEADFSI HFNRFNPDGEEEDVTVHE >ENSMUSP00000141793.1 pep:known chromosome:GRCm38:1:176733663:176793609:-1 gene:ENSMUSG00000057335.11 transcript:ENSMUST00000194727.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170 description:centrosomal protein 170 [Source:MGI Symbol;Acc:MGI:1918348] MSLTSWFLVSSGGTRHRLPREMIFVGRDDCELMLQSRSVDKQHAVINYDASMDEHLVKDL GSLNGTFVNDVRIPEQTYITLKLEDKLRFGYDTNLFTVVRGEMRVPEEALKHEKFTIQLQ LSQKSSESELPKSASAKGTDSKVEAAAEVQPRATEALKSEEKPMDVSAMPRGTPLYGQPS WWGDAEEDEQRAFKANGKPEGKSQEAGASGCSTEAKHVEGQSAAASEEALFPFCREPSYF EIPTKEFQQPSQIAESTIHEIPTKDTPSSHTAGAGHASFTIEFDDSTPGKVTIRDHVTKF TSDQRHKSKKASPGTQDLPGIQTGMMAPENKVADWLAQNNPPQMVWERTEEDSKSIKSDV PVYLKRLKGNKHDDGTQSDSENAGAHRRCSKRATLEEHLRRHHSEQKKKAQSTEKHQEQA ATSSTHHRGGHGVPHGKLLKQKSEEPSVSLPFLQTALLRSSGSLGHRPSQEMDVMLKNQA TSASSEKDNDDDQSDKGTYTIELENPNSEEVEARKMIDKVFGVDDNQDYNRPIINEKHKG LIKDWALNSAAVVMEERKPLSTPGFHNSEEAISSSGSKRWVSQWASLAANHTRHDPEERL MELSATVENETDTGDAGVSLRSTSCTTSLASQGERKRRTLPQLPNEEKLLESSRAKVVPQ RSEIGEKQDTELQEKEAQVYQSEKHDADRGLSKMSRAVNGESPKTGGDGKALLHSGSSSS KEKSETEKETSLVKQTLAKMQQQEQKEQAQWTPTKFPSKNALGHIDKCREESSKQESQLL EKVSGHSTSKGDRVIQNESKRRKAEEIPKCQASKGDKKESSKSLVRQGSFTIDKPSSNIP IELIPHINKQNSSVPTALALTSASRLRERSDSLDTDSSMDTTLILKDTEAVMAFLEAKLR EDNNKTDEGPDTPSYNRDNSISPESDVDTASTISLVTGETERKSTQKRKSFTSLYKDRCS TSSPSKDVTKSGSREKIEKKAKSRSADIGARADGRKFVQSSGRIRQPSIDLTDDDQTSSV PHSAISDIMSSDQETYSCKSHGRTPLTSADEHNIHSKLEGGKATKSKTSPVASGSTSKST TLPRPRPTRTSLLRRARLGEASDSELADADKASVASEVSTTSSTSKPPTGRRTISRIDLL AQPRRTRLGSLSARSDSEATISRSSASARTAEAVIRSGARLVPSDKLSPRTRANSISRLS DSKVKSMSSTHGSPSVNSRWRRFPTDYASTSEDEFGSNRNSPKHTRLRTSPALKTTRMQS TGSAMPASSSFKHRIKEQEDYIRDWTAHREEIARISQDLALIAREINDVAGEIDSVTSSG TAPSTTVSTAATTPGSAIDTREEVGDLHGEMHKLVDRVFDESLNFRKIPPLVHSKTPEGN NGRSVDSRPQPAEHPDHLTITRRRTWSRDEVMGDNLLLSSVFQFSRKIRQSIDKTAGKIR ILFKDKDRNWDDIENKLRAESEVPIVKTSSMEISSILQELKRVEKQLQVINAMIDPDGTL EALNNMGFPNAILPSPPKQKSSPVNNHSSPSQTPALCPPETRALHPAAAGVAAAASTEFE NAESEADFSIHFNRFNPDGEEEDVTVHE >ENSMUSP00000142032.1 pep:known chromosome:GRCm38:1:176734139:176756516:-1 gene:ENSMUSG00000057335.11 transcript:ENSMUST00000192927.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170 description:centrosomal protein 170 [Source:MGI Symbol;Acc:MGI:1918348] DKCREESSKQESQLLEKVSGHSTSKGDRVIQNESKRRKAEEIPKCQASKGDKKESSKSLV RQGSFTIDKPSSNIPIELIPHINKQNSSVPTALALTSASRLRERSDSLDTDSSMDTTLIL KDTEAVMAFLEAKLREDNNKTDEGPDTPSYNRDNSISPESDVDTASTISLVTGETERKST QKRKSFTSLYKDRCSTSSPSKDVTKSGSREKIEKKAKSRSADIGARADGRKFVQSSGRIR QPSIDLTDDDQTSSVPHSAISDIMSSDQETYSCKSHGRTPLTSADEHNIHSKLEGGKATK SKTSPVASGSTSKSTTLPRPRPTRTSLLRRARLGEASDSELADADKASVASEVSTTSSTS KPPTGRRTISRIDLLAQPRRTRLGSLSARSDSEATISRSSASARTAEAVIRSGARLVPSD KLSPRTRANSISRLSDSKVKSMSSTHGSPSALKTTRMQSTGSAMPASSSFKHRIKEQEDY IRDWTAHREEIARISQDLALIAREINDVAGEIDSVTSSGTAPSTTVSTAATTPGSAIDTR EEVGDLHGEMHKLVDRVFDESLNFRKIPPLVHSKTPEGNNGRSVDSRPQPAEHPDHLTIT RRRTWSRDEVMGDNLLLSSVFQFSRKIRQSIDKTAGKIRILFKDKDRNWDDIENKLRAES EVPIVKTSSMEISSILQELKRVEKQLQVINAMIDPDGTLEALNNMGFPNAILPSPPKQKS SPVNNHSSPSQTPALCPPETRALHPAAAGVAAAASTEFENAESEADFSIHFNRFNPDGEE EDVTVHE >ENSMUSP00000142108.1 pep:known chromosome:GRCm38:1:176734340:176793619:-1 gene:ENSMUSG00000057335.11 transcript:ENSMUST00000195433.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep170 description:centrosomal protein 170 [Source:MGI Symbol;Acc:MGI:1918348] MSLTSWFLVSSGGTRHRLPREMIFVGRDDCELMLQSRSVDKQHAVINYDASMDEHLVKDL GSLNGTFVNDVRIPEQTYITLKLEDKLRFGYEISSL >ENSMUSP00000141902.1 pep:known chromosome:GRCm38:1:176735149:176750160:-1 gene:ENSMUSG00000057335.11 transcript:ENSMUST00000192991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170 description:centrosomal protein 170 [Source:MGI Symbol;Acc:MGI:1918348] XNSRWRRFPTDYASTSEDEFGSNRNSPKHTRLRTSPALKTTRMQSTGSAMPASSSFKHRI KEQEDYIRDWTAHREEIARISQDLALIAREINDVAGEIDSVTSSGTAPSTTLVDRVFDES LNFRKIPPLVHSKTPEGNNGRSVDSRPQPAEHPDHLTITRRRTWSRDEVMGDNLLLSSVF QFSRKIRQSIDKTAGKIRILFKDKDRNWDDIENKLRAESEVPIVKTSSMEISSILQELKR VEKQLQVINAMIDPDGTLEALNNMGFPNAILPSPPKQKSSPVNNHSSPSQTPALCPPETR ALHPAAAGVAAAASTEFENAESEADFSIHFNRFNPDGEEEDVTVHE >ENSMUSP00000142131.1 pep:known chromosome:GRCm38:1:176774488:176782300:-1 gene:ENSMUSG00000057335.11 transcript:ENSMUST00000194371.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170 description:centrosomal protein 170 [Source:MGI Symbol;Acc:MGI:1918348] XVQPRATEALKSEEKPMGCSTEAKHVEGQSAAASEEALFPFCREPSYFEIPTKEFQQPSQ IAESTIHEIPTKDTPSSHTAGAGHASFTIEFDDSTPGKVTIRDHVTKFTSDQRHKSKKAS PGTQDLPGIQTGMMAPENKVADWLAQNNPPQMVWERTE >ENSMUSP00000142271.1 pep:known chromosome:GRCm38:1:176778393:176814067:-1 gene:ENSMUSG00000057335.11 transcript:ENSMUST00000192961.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170 description:centrosomal protein 170 [Source:MGI Symbol;Acc:MGI:1918348] MSLTSWFLVSSGGTRHRLPREMIFVGRDDCELMLQSRSVDKQHAVINYDASMDEHLVKDL GSLNGTFVNDVRIPEQTYITLKLEDKLRFGYDTNLFTVVRGEMRVPEEALKHEKFTIQLQ LSQKSSESELPKSASAKGTDSKVEAAAEVQPRATEALKSEEKPMDVSAMPRGTPLYGQPS WWGDAEEDEQRAFKANGKPEGKSQEAGASGKNTSVAVASNSYWASIYSLNKSHSTL >ENSMUSP00000141720.1 pep:known chromosome:GRCm38:1:176788467:176807124:-1 gene:ENSMUSG00000057335.11 transcript:ENSMUST00000194263.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170 description:centrosomal protein 170 [Source:MGI Symbol;Acc:MGI:1918348] MSLTSWFLVSSGGTRHRLPREMIFVGRDDCELMLQSRSVDKQHAVINYDASMDEHLVKDL GSLNGTFVNDVRIPEQTYITLKLEDKLRFGY >ENSMUSP00000059562.6 pep:known chromosome:GRCm38:1:176733653:176807124:-1 gene:ENSMUSG00000057335.11 transcript:ENSMUST00000057037.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170 description:centrosomal protein 170 [Source:MGI Symbol;Acc:MGI:1918348] MSLTSWFLVSSGGTRHRLPREMIFVGRDDCELMLQSRSVDKQHAVINYDASMDEHLVKDL GSLNGTFVNDVRIPEQTYITLKLEDKLRFGYDTNLFTVVRGEMRVPEEALKHEKFTIQLQ LSQKSSESELPKSASAKGTDSKVEAAAEVQPRATEALKSEEKPMDVSAMPRGTPLYGQPS WWGDAEEDEQRAFKANGKPEGKSQEAGASGCSTEAKHVEGQSAAASEEALFPFCREPSYF EIPTKEFQQPSQIAESTIHEIPTKDTPSSHTAGAGHASFTIEFDDSTPGKVTIRDHVTKF TSDQRHKSKKASPGTQDLPGIQTGMMAPENKVADWLAQNNPPQMVWERTEEDSKSIKSDV PVYLKRLKGNKHDDGTQSDSENAGAHRRCSKRATLEEHLRRHHSEQKKKAQSTEKHQEQA ATSSTHHRGGHGVPHGKLLKQKSEEPSVSLPFLQTALLRSSGSLGHRPSQEMDVMLKNQA TSASSEKDNDDDQSDKGTYTIELENPNSEEVEARKMIDKVFGVDDNQDYNRPIINEKHKG LIKDWALNSAAVVMEERKPLSTPGFHNSEEAISSSGSKRWVSQWASLAANHTRHDPEERL MELSATVENETDTGDAGVSLRSTSCTTSLASQGERKRRTLPQLPNEEKLLESSRAKVVPQ RSEIGEKQDTELQEKEAQVYQSEKHDADRGLSKMSRAVNGESPKTGGDGKALLHSGSSSS KEKSETEKETSLVKQTLAKMQQQEQKEQAQWTPTKFPSKNALGHIDKCREESSKQESQLL EKVSGHSTSKGDRVIQNESKRRKAEEIPKCQASKGDKKESSKSLVRQGSFTIDKPSSNIP IELIPHINKQNSSVPTALALTSASRLRERSDSLDTDSSMDTTLILKDTEAVMAFLEAKLR EDNNKTDEGPDTPSYNRDNSISPESDVDTASTISLVTGETERKSTQKRKSFTSLYKDRCS TSSPSKDVTKSGSREKIEKKAKSRSADIGARADGRKFVQSSGRIRQPSIDLTDDDQTSSV PHSAISDIMSSDQETYSCKSHGRTPLTSADEHNIHSKLEGGKATKSKTSPVASGSTSKST TLPRPRPTRTSLLRRARLGEASDSELADADKASVASEVSTTSSTSKPPTGRRTISRIDLL AQPRRTRLGSLSARSDSEATISRSSASARTAEAVIRSGARLVPSDKLSPRTRANSISRLS DSKVKSMSSTHGSPSVNSRWRRFPTDYASTSEDEFGSNRNSPKHTRLRTSPALKTTRMQS TGSAMPASSSFKHRIKEQEDYIRDWTAHREEIARISQDLALIAREINDVAGEIDSVTSSG TAPSTTVSTAATTPGSAIDTREELVDRVFDESLNFRKIPPLVHSKTPEGNNGRSVDSRPQ PAEHPDHLTITRRRTWSRDEVMGDNLLLSSVFQFSRKIRQSIDKTAGKIRILFKDKDRNW DDIENKLRAESEVPIVKTSSMEISSILQELKRVEKQLQVINAMIDPDGTLEALNNMGFPN AILPSPPKQKSSPVNNHSSPSQTPALCPPETRALHPAAAGVAAAASTEFENAESEADFSI HFNRFNPDGEEEDVTVHE >ENSMUSP00000045222.6 pep:known chromosome:GRCm38:7:99811439:99828417:-1 gene:ENSMUSG00000035239.6 transcript:ENSMUST00000036331.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu3 description:neuraminidase 3 [Source:MGI Symbol;Acc:MGI:1355305] MEEVPPYSLSSTLFQQEEQSGVTYRIPALLYLPPTHTFLAFAEKRTSVRDEDAACLVLRR GLMKGRSVQWGPQRLLMEATLPGHRTMNPCPVWEKNTGRVYLFFICVRGHVTERCQIVWG KNAARLCFLCSEDAGCSWGEVKDLTEEVIGSEVKRWATFAVGPGHGIQLHSGRLIIPAYA YYVSRWFLCFACSVKPHSLMIYSDDFGVTWHHGKFIEPQVTGECQVAEVAGTAGNPVLYC SARTPSRFRAEAFSTDSGGCFQKPTLNPQLHEPRTGCQGSVVSFRPLKMPNTYQDSIGKG APATQKCPLLDSPLEVEKGAETPSATWLLYSHPTSKRKRINLGIYYNRNPLEVNCWSRPW ILNRGPSGYSDLAVVEEQDLVACLFECGEKNEYERIDFCLFSDHEVLSCEDCTSPSSD >ENSMUSP00000076729.3 pep:known chromosome:GRCm38:3:86545581:86548283:-1 gene:ENSMUSG00000057777.4 transcript:ENSMUST00000077524.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mab21l2 description:mab-21-like 2 (C. elegans) [Source:MGI Symbol;Acc:MGI:1346022] MIAAQAKLVYQLNKYYTERCQARKAAIAKTIREVCKVVSDVLKEVEVQEPRFISSLSEID ARYEGLEVISPTEFEVVLYLNQMGVFNFVDDGSLPGCAVLKLSDGRKRSMSLWVEFITAS GYLSARKIRSRFQTLVAQAVDKCSYRDVVKMIADTSEVKLRIRERYVVQITPAFKCTGIW PRSAAQWPMPHIPWPGPNRVAEVKAEGFNLLSKECYSLTGKQSSAESDAWVLQFGEAENR LLMGGCRNKCLSVLKTLRDRHLELPGQPLNNYHMKTLLLYECEKHPRETDWDEACLGDRL NGILLQLISCLQCRRCPHYFLPNLDLFQGKPHSALESAAKQTWRLAREILTNPKSLDKL >ENSMUSP00000143175.1 pep:known chromosome:GRCm38:3:116594982:116621602:1 gene:ENSMUSG00000027959.13 transcript:ENSMUST00000198386.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sass6 description:spindle assembly 6 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1920026] MSQVLFQQLVPLLVKCKDCEERRGSVRVSIELQSLSNPVHRKDLVIRLTDDTDPFFLYNL VISEEDFQSLKLQQGLLVDFLAFPQKFIDLLQQCMQEHAKETPRFLLQLLSSATLLENSP VLLNVVETNPFKHLIHLSLKLLPGNDVEIKKFLAGCLKCSKEEKLSLTRSLDDVTRQLHI TQETLSEKMQELDKLRSEWASHTASLTNKHSQELTAEKEKALQTQVQCQQQHEQQKKELE TLHQRNIHQLQSRLSELEAANKELTERKYKGDSTVRELKAKLAGVEEELQRAKQEVLSLR RENCTLDTECHEKEKHINQLQTKVAVLEQEIKDKDQLVLRTKEAFDTIQEQKVALEENGE KNQIQLGKLEATIKSLSAELLKANEIIKKLQGDLKTLMGKLKLKNTVTIQQEKLLAEKEE MLQKERKESQDAGQFLRAKEQEVCRLQEQLETTVQKLEESKQLLKNNEKLITWLNKELNE NQLVRKQDTLGTSATPHSTSNSTIRSGLSPNLNVVDRLNYPSCGIGYPVSSALTFQNAFP HVVAAKNTSHPISGPKVAKC >ENSMUSP00000143233.1 pep:known chromosome:GRCm38:3:116595008:116629147:1 gene:ENSMUSG00000027959.13 transcript:ENSMUST00000198311.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sass6 description:spindle assembly 6 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1920026] MSQVLFQQLVPLLVKCKDCEERRGSVRVSIELQSLSNPVHRKDLVIRLTDDTDPFFLYNL VISEEDFQSLKLQQGLLVDFLAFPQKFIDLLQQCMQEHAKETPRFLLQLLSSATLLENSP VLLNVVETNPFKHLIHLSLKLLPGNDVEIKKFLAGCLKCSKEEKLSLTRSLDDVTRQLHI TQETLSEKMQELDKLRSEWASHTASLTNKHSQELTAEKEKALQTQVQCQQQHEQQKKELE TLHQRNIHQLQSRLSELEAANKELTERKYKGDSTVRELKAKLAGVEEELQRAKQEVLSLR RENCTLDTECHEKEKHINQLQTKVAVLEQEIKDKDQLVLRTKEAFDTIQEQKVALEENGE KNQIQLGKLEATIKSLSAELLKANEIIKKLQGDLKTLMGKLKLKNTVTIQQEKLLAEKEE MLQKERKESQDAGQFLRAKEQEVCRLQEQLETTVQKLEESKQLLKNNEKLITWLNKELNE NQLVRKQDTLGTSATPHSTSNSTIRSGLSPNLNVVDRLNYPSCGIGYPVSSALTFQNAFP HVVAAKNTSHPISGPKVHFNLQLTKPSASIDGQPGAAVNRPCSNDKENGETLGLESKYLK RREASIPLRGLSQNLLSDSDHQKDGMLGAFQLSSKPTVLPSSSSAYFPGQLPSS >ENSMUSP00000143123.1 pep:known chromosome:GRCm38:3:116595010:116629264:1 gene:ENSMUSG00000027959.13 transcript:ENSMUST00000197335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sass6 description:spindle assembly 6 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1920026] MQRLRGEDLVIRLTDDTDPFFLYNLVISEEDFQSLKLQQGLLVDFLAFPQKFIDLLQQCM QEHAKETPRFLLQLLSSATLLENSPVLLNVVETNPFKHLIHLSLKLLPGNDVEIKKFLAG CLKCSKEEKLSLTRSLDDVTRQLHITQETLSEKMQELDKLRSEWASHTASLTNKHSQELT AEKEKALQTQVQCQQQHEQQKKELETLHQRNIHQLQSRLSELEAANKELTERKYKGDSTV RELKAKLAGVEEELQRAKQEVLSLRRENCTLDTECHEKEKHINQLQTKVAVLEQEIKDKD QLVLRTKEAFDTIQEQKVALEENGEKNQIQLGKLEATIKSLSAELLKANEIIKKLQGDLK TLMGKLKLKNTVTIQQEKLLAEKEEMLQKERKESQDAGQFLRAKEQEVCRLQEQLETTVQ KLEESKQLLKNNEKLITWLNKELNENQLVRKQDTLGTSATPHSTSNSTIRSGLSPNLNVV DRLNYPSCGIGYPVSSALTFQNAFPHVVAAKNTSHPISGPKVHFNLQLTKPSASIDGQPG AAVNRPCSNDKENGETLGLESKYLKRREASIPLRGLSQNLLSDSDHQKDGMLGAFQLSSK PTVLPSSSSAYFPGQLPSS >ENSMUSP00000029571.9 pep:known chromosome:GRCm38:3:116595012:116631004:1 gene:ENSMUSG00000027959.13 transcript:ENSMUST00000029571.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sass6 description:spindle assembly 6 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1920026] MSQVLFQQLVPLLVKCKDCEERRGSVRVSIELQSLSNPVHRKLSQSTCKHLVVGSNFRFC LVVGFQ >ENSMUSP00000108407.2 pep:known chromosome:GRCm38:14:3998394:4007006:1 gene:ENSMUSG00000095686.7 transcript:ENSMUST00000112787.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3099 description:predicted gene 3099 [Source:MGI Symbol;Acc:MGI:3781275] MFSRLLRLCLKGNGDEGETRPKKKEEGILSHEKGRRKLFWRRHRSARNTSTQNSNITNQI SNINEVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQFIIGSMQYSMELIEDNYSYSIKEDHLLRECTQLNKKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAKEQQLP >ENSMUSP00000137169.1 pep:known chromosome:GRCm38:14:3998476:4001458:1 gene:ENSMUSG00000095686.7 transcript:ENSMUST00000178274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3099 description:predicted gene 3099 [Source:MGI Symbol;Acc:MGI:3781275] MFSRLLRLCLKGNGDEGETRPKKKEEGILSHEKGRRKLFWRRHRSARNTSTQNSNITNQI SNINEVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQFIIGSMQYSMELIEDNYSYSIKEDHLLRECTQLNKKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000146721.1 pep:known chromosome:GRCm38:7:6480216:6481154:-1 gene:ENSMUSG00000096228.2 transcript:ENSMUST00000209037.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr5 description:olfactory receptor 5 [Source:MGI Symbol;Acc:MGI:106685] MERSLALANMTRVQQFILLGLSTRLDIRDALFAVFLTLYLLTLLENTLIIYLICSHKELH KPMYFFLGNLSCLEMCYVSVTMPTLLMGLWNGLYHIPFIACMTQLFFFIVLVGTECILLA SMAYDRYVAICRPLHYPVLMRPQVCLGLAMISWLGGLLVSMIKTTCIATLSYCGPNVLNH FFCDVSPLLNLSCTHVALTELVDFISAIVILWGCFLTTMASYVAIGRAVLRMPSTTARYK AFSTCASHLVVVGIFYSVTIFIYARPKRIEAMDLNKVLSVIYTVVTPMCNPVIYCLRNKE VQVALHRTMHWS >ENSMUSP00000146621.1 pep:known chromosome:GRCm38:7:6480773:6486813:-1 gene:ENSMUSG00000096228.2 transcript:ENSMUST00000207055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr5 description:olfactory receptor 5 [Source:MGI Symbol;Acc:MGI:106685] MERSLALANMTRVQQFILLGLSTRLDIRDALFAVFLTLYLLTLLENTLIIYLICSHKELH KPMYFFLGNLSCLEMCYVSVTMPTLLMGLWNGLYHIPFIACMTQLFFFIVLVGTECILLA SMAYDRY >ENSMUSP00000083498.4 pep:known chromosome:GRCm38:7:6480216:6486813:-1 gene:ENSMUSG00000096228.2 transcript:ENSMUST00000086318.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr5 description:olfactory receptor 5 [Source:MGI Symbol;Acc:MGI:106685] MERSLALANMTRVQQFILLGLSTRLDIRDALFAVFLTLYLLTLLENTLIIYLICSHKELH KPMYFFLGNLSCLEMCYVSVTMPTLLMGLWNGLYHIPFIACMTQLFFFIVLVGTECILLA SMAYDRYVAICRPLHYPVLMRPQVCLGLAMISWLGGLLVSMIKTTCIATLSYCGPNVLNH FFCDVSPLLNLSCTHVALTELVDFISAIVILWGCFLTTMASYVAIGRAVLRMPSTTARYK AFSTCASHLVVVGIFYSVTIFIYARPKRIEAMDLNKVLSVIYTVVTPMCNPVIYCLRNKE VQVALHRTMHWS >ENSMUSP00000042271.7 pep:known chromosome:GRCm38:11:93996103:94126085:1 gene:ENSMUSG00000020859.16 transcript:ENSMUST00000041956.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag9 description:sperm associated antigen 9 [Source:MGI Symbol;Acc:MGI:1918084] MELEDGVVYQEEPGGSGAVMSERVSGLAGSIYREFERLIGRYDEEVVKELMPLVVAVLEN LDSVFAQDQEHQVELELLRDDNEQLITQYEREKALRKHAEEKFIEFEDSQEQEKKDLQTR VESLESQTRQLELKAKNYADQISRLEEREAELKKEYNALHQRHTEMIHNYMEHLERTKLH QLSGSDQLEATAHSRIRKERPISLGIFPLPAGDGLLTPDTQKGGETPGSEQWKFQELSQP RSHTSLKDELSDISQGGSKATTPASTANSDVSAIPPDTPSKEDNEGFVKGTDTSNKSEIS KHIEVQVAQETRNVSTESGENEEKSEVQAIIESTPELDMDKDLSGYKGSSTPTKGIENKA FDRNTESLFEELSSAGSGLIGDVDEGADLLGMGREVENLILENTQLLETKNALNVVKNDL IAKVDELTCEKDVLQGELEAVKQAKLKLEDKNRELEEELRKARAEAEDARQKAKDDDDSD IPTAQRKRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASRENPAMQEKKRSSIWQ FFSRLFSSSSNATKKPEPPVNLKYNAPTSHVTPSVKKRSSTLSQLPGDKSKAFDFLSEET EASLASRREQKREQYRQVKAHVQKEDGRVQAFGWSLPQKYKQVANGQGETKMKNLPVPVY LRPLDEKDASMKLWCAVGVNLSGGKTRDGGSVVGASVFYKDIAGLDTEGSKQRSASQSSL DKLDQELKEQQKEFKNQEELSSQVWICTSTHSTTKVIIIDAVQPGNILDSFTVCNSHVLC IASVPGARETDYPAGEELSESGQVDKASLCGSMTSNSSAEMDSLLGGITVVGCSTEGLTG AATSPSTNGASPVIEKPPEMETENSEVDENIPTAEEATEATEGNAGSTEDTVDISQPGVY TEHVFTDPLGVQIPEDLSPVFQSSNDSDVYKDQISVLPNEQDLAREEAQKMSSLLPTMWL GAQNGCLYVHSSVAQWRKCLHSIKLKDSILSIVHVKGIVLVALADGTLAIFHRGVDGQWD LSNYHLLDLGRPHHSIRCMTVVHDKVWCGYRNKIYVVQPKAMKIEKSFDAHPRKESQVRQ LAWVGDGVWVSIRLDSTLRLYHAHTYQHLQDVDIEPYVSKMLGTGKLGFSFVRITALMVS CNRLWVGTGNGVIISIPLTETNKTSGTPGNRPGSVIRVYGDENSDKVTPGTFIPYCSMAH AQLCFHGHRDAVKFFVAVPGQVISPQSSSGGADLTADKAGSSAQEPSSQTPLKSMLVISG GEGYIDFRMGDEGGESELLGEDLPLEPSVTKAERSHLIVWQVMCGNE >ENSMUSP00000115864.1 pep:known chromosome:GRCm38:11:94043570:94097880:1 gene:ENSMUSG00000020859.16 transcript:ENSMUST00000156019.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag9 description:sperm associated antigen 9 [Source:MGI Symbol;Acc:MGI:1918084] XMIHNYMEHLERTKLHQLSGSDQLEATAHSRIRKERPISLGIFPLPAGDGLLTPDTQKGG ETPGSEQWKFQELSQPRSHTSLKVSHSPEPLKAVEQEDELSDISQGGSKATTPASTANSD VSAIPPDTPSKEDNEGFVKGTDTSNKSEISKHIEVQVAQETRNVSTESGENEEKSEVQAI IESTPELDMDKDLSGYKGSSTPTKGIENKAFDRNTESLFEELSSAGSGLIGDVDEGADLL GMGREVENLILENTQLLETKNALNVVKNDLIAKVDELTCEKDVLQGELEAVKQAKLKLED KNRELEEELRKARAEAEDARQKAKDDDDSDIPTAQRKRFTRVEMARVLMERNQYKERLME LQEAVRWTEMIRASRENPAMQEKKRSSIWQFFSRLFSSSSNATKKPEPPVNLKYNAPTSH VTPSVKKRSSTLSQLPGDKSKAFDFLSEETEASLASRREQKREQYRQVKAHVQKEDGRVQ AFGWSLPQKYKQVANGQGETKMKNLPVPVYLRPLDEKDASMKLWCAVGVNLSGGKTRDGG SVVGASVFYKDIAGLDTEGSKQRSASQSSLDKLDQELKEQQKEFKNQEELSSQVWICTST HSTTKVIIIDAVQPGNILDSFTVCNSHVLCIASVPGARETDYPAGEELSESGQVDKASLC GSMTSNSSAEMDSLLGGITVVGCSTEGLTGAATSPSTNGASPVIEKPPEMETENSEVDEN IPTAEEATEATEGNAGSTEDTVDISQPGVYTEHVFTDPLGVQIPEDLSPVFQSRY >ENSMUSP00000118850.1 pep:known chromosome:GRCm38:11:94044118:94106755:1 gene:ENSMUSG00000020859.16 transcript:ENSMUST00000132079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag9 description:sperm associated antigen 9 [Source:MGI Symbol;Acc:MGI:1918084] MNPGCMLLFVFGFVGGAVVINSAILVSLSVLLLVHFSISTGVPALTQNLPRILRKERPIS LGIFPLPAGDGLLTPDTQKGGETPGSEQWKFQELSQPRSHTSLKDELSDISQGGSKATTP ASTANSDVSAIPPDTPSKEDNEGFVKGTDTSNKSEISKHIEVQVAQETRNVSTESGENEE KSEVQAIIESTPELDMDKDLSGYKGSSTPTKGIENKAFDRNTESLFEELSTLSQLPGDKS KAFDFLSEETEASLASRREQKREQYRQVKAHVQKEDGRVQAFGWSLPQKYKQVANGQGET KMKNLPVPVYLRPLDEKDASMKLWCAVGVNLSGGKTRDGGSVVGASVFYKDIAGLDTEGS KQRSASQSSLDKLDQELKEQQKEFKNQEELSSQVWICTSTHSTTKVIIIDAVQPGNILDS FTVCNSHVLCIASVPGARETDYPAGEELSESGQVDKASLCGSMTSNSSAEMDSLLGGITV VGCSTEGLTGAATSPSTNGASPVIEKPPEMETENSEVDENIPTAEEATEATEGNAGSTED TVDISQPGVYTEHVFTDPLGVQIPEDLSPVFQSSNDSDVYKDQISVLPNEQDLAREEAQK MSSLLPTMWLGAQNGCLYVHSSVAQWRKCLHSIKLKDSILSIVHVKGIVLVALADGTLAI FHRGVDGQWDLSNYHLLDLGRPHHSIRCMTVVHDKVWCGYRNKIYV >ENSMUSP00000099457.3 pep:known chromosome:GRCm38:11:94044268:94126085:1 gene:ENSMUSG00000020859.16 transcript:ENSMUST00000103168.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag9 description:sperm associated antigen 9 [Source:MGI Symbol;Acc:MGI:1918084] MNPGCMLLFVFGFVGGAVVINSAILVSLSVLLLVHFSISTGVPALTQNLPRILRKERPIS LGIFPLPAGDGLLTPDTQKGGETPGSEQWKFQELSQPRSHTSLKDELSDISQGGSKATTP ASTANSDVSAIPPDTPSKEDNEGFVKGTDTSNKSEISKHIEVQVAQETRNVSTESGENEE KSEVQAIIESTPELDMDKDLSGYKGSSTPTKGIENKAFDRNTESLFEELSSAGSGLIGDV DEGADLLGMGREVENLILENTQLLETKNALNVVKNDLIAKVDELTCEKDVLQGELEAVKQ AKLKLEDKNRELEEELRKARAEAEDARQKAKDDDDSDIPTAQRKRFTRVEMARVLMERNQ YKERLMELQEAVRWTEMIRASRENPAMQEKKRSSIWQFFSRLFSSSSNATKKPEPPVNLK YNAPTSHVTPSVKKRSSTLSQLPGDKSKAFDFLSEETEASLASRREQKREQYRQVKAHVQ KEDGRVQAFGWSLPQKYKQVANGQGETKMKNLPVPVYLRPLDEKDASMKLWCAVGVNLSG GKTRDGGSVVGASVFYKDIAGLDTEGSKQRSASQSSLDKLDQELKEQQKEFKNQEELSSQ VWICTSTHSTTKVIIIDAVQPGNILDSFTVCNSHVLCIASVPGARETDYPAGEELSESGQ VDKASLCGSMTSNSSAEMDSLLGGITVVGCSTEGLTGAATSPSTNGASPVIEKPPEMETE NSEVDENIPTAEEATEATEGNAGSTEDTVDISQPGVYTEHVFTDPLGVQIPEDLSPVFQS SNDSDVYKDQISVLPNEQDLAREEAQKMSSLLPTMWLGAQNGCLYVHSSVAQWRKCLHSI KLKDSILSIVHVKGIVLVALADGTLAIFHRGVDGQWDLSNYHLLDLGRPHHSIRCMTVVH DKVWCGYRNKIYVVQPKAMKIEKSFDAHPRKESQVRQLAWVGDGVWVSIRLDSTLRLYHA HTYQHLQDVDIEPYVSKMLGTGKLGFSFVRITALMVSCNRLWVGTGNGVIISIPLTETNK TSGTPGNRPGSVIRVYGDENSDKVTPGTFIPYCSMAHAQLCFHGHRDAVKFFVAVPGQVI SPQSSSGGADLTADKAGSSAQEPSSQTPLKSMLVISGGEGYIDFRMGDEGGESELLGEDL PLEPSVTKAERSHLIVWQVMCGNE >ENSMUSP00000117502.1 pep:known chromosome:GRCm38:11:94082788:94126085:1 gene:ENSMUSG00000020859.16 transcript:ENSMUST00000153076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag9 description:sperm associated antigen 9 [Source:MGI Symbol;Acc:MGI:1918084] LIAKVDELTCEKDVLQGELEAVKQAKLKLEDKNRELEEELRKARAEAEDARQKAKDDDDS DIPTAQRKRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASRENPAMQEKKRSSIW QFFSRLFSSSSNATKKPEPPVNLKYNAPTSHVTPSVKKRSSTLSQLPGDKSKAFDFLSEE TEASLASRREQKREQYRQVKAHVQKEDGRVQAFGWSLPQKYKQVANGQGETKMKNLPVPV YLRPLDEKDASMKLWCAVGVNLSGGKTRDGGSVVGASVFYKDIAGLDTEGSKQRSASQSS LDKLDQELKEQQKEFKNQEELSSQVWICTSTHSTTKVIIIDAVQPGNILDSFTVCNSHVL CIASVPGARETDYPAGEELSESGQVDKASLCGSMTSNSSAEMDSLLGGITVVGCSTEGLT GAATSPSTNGASPVIEKPPEMETENSEVDENIPTAEEATEATEGNAGSTEDTVDISQPGV YTEHVFTDPLGVQIPEDLSPVFQSSNDSDVYKDQISVLPNEQDLAREEAQKMSSLLPTMW LGAQNGCLYVHSSVAQWRKCLHSIKLKDSILSIVHVKGIVLVALADGTLAIFHRGVDGQW DLSNYHLLDLGRPHHSIRCMTVVHDKVWCGYRNKIYVVQPKAMKIEKSFDAHPRKESQVR QLAWVGDGVWVSIRLDSTLRLYHAHTYQHLQDVDIEPYVSKMLGTGKLGFSFVRITALMV SCNRLWVGTGNGVIISIPLTETVILHQGRLLGLRANKTSGTPGNRPGSVIRVYGDENSDK VTPGTFIPYCSMAHAQLCFHGHRDAVKFFVAVPGQVISPQSSSGGADLTADKAGSSAQEP SSQTPLKSMLVISGGEGYIDFRMGDEGGESELLGEDLPLEPSVTKAERSHLIVWQVMCGN E >ENSMUSP00000075115.6 pep:known chromosome:GRCm38:11:94044205:94126080:1 gene:ENSMUSG00000020859.16 transcript:ENSMUST00000075695.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag9 description:sperm associated antigen 9 [Source:MGI Symbol;Acc:MGI:1918084] MNPGCMLLFVFGFVGGAVVINSAILVSLSVLLLVHFSISTGVPALTQNLPRILRKERPIS LGIFPLPAGDGLLTPDTQKGGETPGSEQWKFQELSQPRSHTSLKDELSDISQGGSKATTP ASTANSDVSAIPPDTPSKEDNEGFVKGTDTSNKSEISKHIEVQVAQETRNVSTESGENEE KSEVQAIIESTPELDMDKDLSGYKGSSTPTKGIENKAFDRNTESLFEELSSAGSGLIGDV DEGADLLGEYSGMGREVENLILENTQLLETKNALNVVKNDLIAKVDELTCEKDVLQGELE AVKQAKLKLEDKNRELEEELRKARAEAEDARQKAKDDDDSDIPTAQRKRFTRVEMARVLM ERNQYKERLMELQEAVRWTEMIRASRENPAMQEKKRSSIWQFFSRLFSSSSNATKKPEPP VNLKYNAPTSHVTPSVKKRSSTLSQLPGDKSKAFDFLSEETEASLASRREQKREQYRQVK AHVQKEDGRVQAFGWSLPQKYKQVANGQGETKMKNLPVPVYLRPLDEKDASMKLWCAVGV NLSGGKTRDGGSVVGASVFYKDIAGLDTEGSKQRSASQSSLDKLDQELKEQQKEFKNQEE LSSQVWICTSTHSTTKVIIIDAVQPGNILDSFTVCNSHVLCIASVPGARETDYPAGEELS ESGQVDKASLCGSMTSNSSAEMDSLLGGITVVGCSTEGLTGAATSPSTNGASPVIEKPPE METENSEVDENIPTAEEATEATEGNAGSTEDTVDISQPGVYTEHVFTDPLGVQIPEDLSP VFQSSNDSDVYKDQISVLPNEQDLAREEAQKMSSLLPTMWLGAQNGCLYVHSSVAQWRKC LHSIKLKDSILSIVHVKGIVLVALADGTLAIFHRGVDGQWDLSNYHLLDLGRPHHSIRCM TVVHDKVWCGYRNKIYVVQPKAMKIEKSFDAHPRKESQVRQLAWVGDGVWVSIRLDSTLR LYHAHTYQHLQDVDIEPYVSKMLGTGKLGFSFVRITALMVSCNRLWVGTGNGVIISIPLT ETNKTSGTPGNRPGSVIRVYGDENSDKVTPGTFIPYCSMAHAQLCFHGHRDAVKFFVAVP GQVISPQSSSGGADLTADKAGSSAQEPSSQTPLKSMLVISGGEGYIDFRMGDEGGESELL GEDLPLEPSVTKAERSHLIVWQVMCGNE >ENSMUSP00000090452.4 pep:known chromosome:GRCm38:11:94044205:94126080:1 gene:ENSMUSG00000020859.16 transcript:ENSMUST00000092777.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag9 description:sperm associated antigen 9 [Source:MGI Symbol;Acc:MGI:1918084] MNPGCMLLFVFGFVGGAVVINSAILVSLSVLLLVHFSISTGVPALTQNLPRILRKERPIS LGIFPLPAGDGLLTPDTQKGGETPGSEQWKFQELSQPRSHTSLKDELSDISQGGSKATTP ASTANSDVSAIPPDTPSKEDNEGFVKGTDTSNKSEISKHIEVQVAQETRNVSTESGENEE KSEVQAIIESTPELDMDKDLSGYKGSSTPTKGIENKAFDRNTESLFEELSSAGSGLIGDV DEGADLLDHNFFGMGREVENLILENTQLLETKNALNVVKNDLIAKVDELTCEKDVLQGEL EAVKQAKLKLEDKNRELEEELRKARAEAEDARQKAKDDDDSDIPTAQRKRFTRVEMARVL MERNQYKERLMELQEAVRWTEMIRASRENPAMQEKKRSSIWQFFSRLFSSSSNATKKPEP PVNLKYNAPTSHVTPSVKKRSSTLSQLPGDKSKAFDFLSEETEASLASRREQKREQYRQV KAHVQKEDGRVQAFGWSLPQKYKQVANGQGETKMKNLPVPVYLRPLDEKDASMKLWCAVG VNLSGGKTRDGGSVVGASVFYKDIAGLDTEGSKQRSASQSSLDKLDQELKEQQKEFKNQE ELSSQVWICTSTHSTTKVIIIDAVQPGNILDSFTVCNSHVLCIASVPGARETDYPAGEEL SESGQVDKASLCGSMTSNSSAEMDSLLGGITVVGCSTEGLTGAATSPSTNGASPVIEKPP EMETENSEVDENIPTAEEATEATEGNAGSTEDTVDISQPGVYTEHVFTDPLGVQIPEDLS PVFQSSNDSDVYKDQISVLPNEQDLAREEAQKMSSLLPTMWLGAQNGCLYVHSSVAQWRK CLHSIKLKDSILSIVHVKGIVLVALADGTLAIFHRGVDGQWDLSNYHLLDLGRPHHSIRC MTVVHDKVWCGYRNKIYVVQPKAMKIEKSFDAHPRKESQVRQLAWVGDGVWVSIRLDSTL RLYHAHTYQHLQDVDIEPYVSKMLGTGKLGFSFVRITALMVSCNRLWVGTGNGVIISIPL TETNKTSGTPGNRPGSVIRVYGDENSDKVTPGTFIPYCSMAHAQLCFHGHRDAVKFFVAV PGQVISPQSSSGGADLTADKAGSSAQEPSSQTPLKSMLVISGGEGYIDFRMGDEGGESEL LGEDLPLEPSVTKAERSHLIVWQVMCGNE >ENSMUSP00000024979.8 pep:known chromosome:GRCm38:11:94044365:94126083:1 gene:ENSMUSG00000020859.16 transcript:ENSMUST00000024979.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag9 description:sperm associated antigen 9 [Source:MGI Symbol;Acc:MGI:1918084] MNPGCMLLFVFGFVGGAVVINSAILVSLSVLLLVHFSISTGVPALTQNLPRILRKERPIS LGIFPLPAGDGLLTPDTQKGGETPGSEQWKFQELSQPRSHTSLKDELSDISQGGSKATTP ASTANSDVSAIPPDTPSKEDNEGFVKGTDTSNKSEISKHIEVQVAQETRNVSTESGENEE KSEVQAIIESTPELDMDKDLSGYKGSSTPTKGIENKAFDRNTESLFEELSSAGSGLIGDV DEGADLLEHYIGMGREVENLILENTQLLETKNALNVVKNDLIAKVDELTCEKDVLQGELE AVKQAKLKLEDKNRELEEELRKARAEAEDARQKAKDDDDSDIPTAQRKRFTRVEMARVLM ERNQYKERLMELQEAVRWTEMIRASRENPAMQEKKRSSIWQFFSRLFSSSSNATKKPEPP VNLKYNAPTSHVTPSVKKRSSTLSQLPGDKSKAFDFLSEETEASLASRREQKREQYRQVK AHVQKEDGRVQAFGWSLPQKYKQVANGQGETKMKNLPVPVYLRPLDEKDASMKKLWCAVG VNLSGGKTRDGGSVVGASVFYKDIAGLDTEGSKQRSASQSSLDKLDQELKEQQKEFKNQE ELSSQVWICTSTHSTTKVIIIDAVQPGNILDSFTVCNSHVLCIASVPGARETDYPAGEEL SESGQVDKASLCGSMTSNSSAEMDSLLGGITVVGCSTEGLTGAATSPSTNGASPVIEKPP EMETENSEVDENIPTAEEATEATEGNAGSTEDTVDISQPGVYTEHVFTDPLGVQIPEDLS PVFQSSNDSDVYKDQISVLPNEQDLAREEAQKMSSLLPTMWLGAQNGCLYVHSSVAQWRK CLHSIKLKDSILSIVHVKGIVLVALADGTLAIFHRGVDGQWDLSNYHLLDLGRPHHSIRC MTVVHDKVWCGYRNKIYVVQPKAMKIEKSFDAHPRKESQVRQLAWVGDGVWVSIRLDSTL RLYHAHTYQHLQDVDIEPYVSKMLGTGKLGFSFVRITALMVSCNRLWVGTGNGVIISIPL TETNKTSGTPGNRPGSVIRVYGDENSDKVTPGTFIPYCSMAHAQLCFHGHRDAVKFFVAV PGQVISPQSSSGGADLTADKAGSSAQEPSSQTPLKSMLVISGGEGYIDFRMGDEGGESEL LGEDLPLEPSVTKAERSHLIVWQVMCGNE >ENSMUSP00000142179.1 pep:known chromosome:GRCm38:3:86224680:86746712:1 gene:ENSMUSG00000028080.15 transcript:ENSMUST00000192145.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrba description:LPS-responsive beige-like anchor [Source:MGI Symbol;Acc:MGI:1933162] MASEDNRAPSRPPTGDDGGGGGKEETPTEGGALSLKPGLPIRGIRMKFAVLTGLVEVGEV SNRDIVETVFNLLVGGQFDLEMNFIIQEGESIMCMVELLEKCDVTCQAEVWSMFTAILKK SIRNLQVCTEVGLVEKVLGKIEKVDSMIADLLVDMLGVLASYNLTVRELKLFFSKLQGDK GQWPPHAGKLLSVLKHMPQKYGPDAFFNFPGKSAAAIALPPIARWPYQNGFTFHTWLRMD PVNNINVDKDKPYLYCFRTSKGLGYSAHFVGGCLIITSIKSKGKGFQHCVKFDFKPQKWY MVTIVHIYNRWKNSELRCYVNGELASYGEITWFVNTSDTFDKCFLGSSETADANRVFCGQ MTAVYLFSDALNAAQIFAIYQLGLGYKGTFKFKAESDLFLAEHHKLLLYDGKLSSAIAFT YNPRATDAQLCLESSPKDNPSIFVHSPHALMLQDVKAVLTHSIQSAMHSIGGVQVLFPLF AQLDYKQYLSDEVDLTICTTLLAFIMELLKNSIAMQEQMLACKGFLVIGYSLEKSSKSHV SRAVLELCLAFSKYLSNLQNGMPLLKQLCDHILLNPAVWIHTPAKVQLMLYTYLSTEFIG TVNIYNTIRRVGTVLLIMHTLKYYYWAVNPQDRSGITPKGLDGPRPNQKEILSLRAFLLM FIKQLVMKDSGVKEDELQAILNYLLTMHEDDNLMDVLQLLVALMAEHPNSMIPAFDQRNG LRVIYKLLASKSEGIRVQALKALGYFLKHLAPKRKAEVMLGHGLFSLLAERLMLQTNLIT MTMYNVLFEILIEQICTQVIHKQHPDPDSTVKIQNPQILKVIATLLRNSPQCPESMEVRR AFLSDMIKLFNNSRENRRSLLQCSVWQEWMLSLCYFNPKNSDEQKITEMVYAIFRILLYH AVKYEWGGWRVWVDTLSITHSKVTFEIHKENLANIFREEQRKGDEETGPCSSSLVPEGTG ATRGVDVSVGSQHEDRKDSPISPHFTRNSDENSSIGRASSIDSASNTELQTHDMSSDEKK VERENQELLDQATVEETATNGAKDDLETSSDAAEPVTINSNSLEPGKDTVTISEVSASIS SPSEEDAAEMPELLEKSGVEEEEDDDYVELKVEGSPTEEAGLPTELQGEGLSVAASEGRE EPDMCGHGCEVQVEAPITKIHNDPETTDSEDSRFPTVATAGSLATSSEVPVPQATVQSDS HEMLDGGMKATNLAGETESVSDCADNVSEAPATSEQKITKLDVSSVASDTERFELKASTS TEAPQPQRHGLEISRQQEQTAQGTAPDAVDQQRRDSRSTMFRIPEFKWSQMHQRLLTDLL FSIETDIQMWRSHSTKTVMDFVNSSDNVIFVHNTIHLISQVMDNMVMACGGILPLLSAAT SATHELENIEPTQGLSIEASVTFLQRLISLVDVLIFASSLGFTEIEAEKNMSSGGILRQC LRLVCAVAVRNCLECQQHSQLKARGDTAKSSKTIHSLIPMGKSAAKSPVDIVTGGISPVR DLDRLLQDMDINRLRAVVFRDIEDSKQAQFLALAVVYFISVLMVSKYRDILEPQDERHSQ SLKETSSDNGNASLPDAENTPAEFSSLTLSSVEESLEGTSCTRRRDSGLGEETASGLGSG LSVASPAAPLGVSAGPDAISEVLCTLSLEVNKSQETRIDGGNELDRKVTPSVPVSKNVNV KDILRSLVNMPADGVTVDPALLPPACLGALGDLSVDPPMQFRSFDRSVIIATKKSSVLPS ALTTSAPSSAVSVVSSVDPTHASDTGGESPGSRSPNAKLPSVAAVGSVPQDPAAHMSITE RLEHALEKAAPLLREIFVDFAPFLSRTLLGSHGQELLIEGTSLVCMKSSSSVVELVMLLC SQEWQNSIQKNAGLAFIELVNEGRLLSQTMKDHLVRVANEAEFILSRQRAEDIHRHAEFE SLCAQYSADKREEEKMCDHLIRAAKYRDHVTATQLIQKIINLLTDKHGAWGSSAVSRPRE FWRLDYWEDDLRRRRRFVRNPLGSTHPEATLKTAVEHAADEDILAKGKQSIKSQALGNQN SENEALLEGDDDTLSSVDEKDLENLAGPVSLSTPAQLVAPSVVVKGTLSVTSSELYFEVD EEDPNFKKIDPKILAYTEGLHGKWLFTEIRSIFSRRYLLQNTALEIFMANRVAVMFNFPD PATVKKVVNYLPRVGVGTSFGLPQTRRISLATPRQLFKASNMTQRWQHREISNFEYLMFL NTIAGRSYNDLNQYPVFPWVITNYESEELDLTLPSNFRDLSKPIGALNPKRAAFFAERFE SWEDDQVPKFHYGTHYSTASFVLAWLLRIEPFTTYFLNLQGGKFDHADRTFSSVSRAWRN SQRDTSDIKELIPEFYYLPEMFVNFNNYNLGVMDDGTVVSDVELPPWAKTSEEFVRINRL ALESEFVSCQLHQWIDLIFGYKQQGPEAVRALNVFYYLTYEGAVNLNSITDPVLREAVEA QIRSFGQTPSQLLIEPHPPRGSAMQASPLMFTDQAQQDVIMVLKFPSNSPVTHVAANTQP GLAMPAVITVTANRLFAVNKWHNLPAHQGAVQDQPYQLPVEIDPLIGLSLLSLFAIH >ENSMUSP00000142043.1 pep:known chromosome:GRCm38:3:86224680:86776956:1 gene:ENSMUSG00000028080.15 transcript:ENSMUST00000194759.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrba description:LPS-responsive beige-like anchor [Source:MGI Symbol;Acc:MGI:1933162] MASEDNRAPSRPPTGDDGGGGGKEETPTEGGALSLKPGLPIRGIRMKFAVLTGLVEVGEV SNRDIVETVFNLLVGGQFDLEMNFIIQEGESIMCMVELLEKCDVTCQAEVWSMFTAILKK SIRNLQVCTEVGLVEKVLGKIEKVDSMIADLLVDMLGVLASYNLTVRELKLFFSKLQGDK GQWPPHAGKLLSVLKHMPQKYGPDAFFNFPGKSAAAIALPPIARWPYQNGFTFHTWLRMD PVNNINVDKDKPYLYCFRTSKGLGYSAHFVGGCLIITSIKSKGKGFQHCVKFDFKPQKWY MVTIVHIYNRWKNSELRCYVNGELASYGEITWFVNTSDTFDKCFLGSSETADANRVFCGQ MTAVYLFSDALNAAQIFAIYQLGLGYKGTFKFKAESDLFLAEHHKLLLYDGKLSSAIAFT YNPRATDAQLCLESSPKDNPSIFVHSPHALMLQDVKAVLTHSIQSAMHSIGGVQVLFPLF AQLDYKQYLSDEVDLTICTTLLAFIMELLKNSIAMQEQMLACKGFLVIGYSLEKSSKSHV SRAVLELCLAFSKYLSNLQNGMPLLKQLCDHILLNPAVWIHTPAKVQLMLYTYLSTEFIG TVNIYNTIRRVGTVLLIMHTLKYYYWAVNPQDRSGITPKGLDGPRPNQKEILSLRAFLLM FIKQLVMKDSGVKEDELQAILNYLLTMHEDDNLMDVLQLLVALMAEHPNSMIPAFDQRNG LRVIYKLLASKSEGIRVQALKALGYFLKHLAPKRKAEVMLGHGLFSLLAERLMLQTNLIT MTMYNVLFEILIEQICTQVIHKQHPDPDSTVKIQNPQILKVIATLLRNSPQCPESMEVRR AFLSDMIKLFNNSRENRRSLLQCSVWQEWMLSLCYFNPKNSDEQKITEMVYAIFRILLYH AVKYEWGGWRVWVDTLSITHSKVTFEIHKENLANIFREEQRKGDEETGPCSSSLVPEGTG ATRGVDVSVGSQHEDRKDSPISPHFTRNSDENSSIGRASSIDSASNTELQTHDMSSDEKK VERENQELLDQATVEETATNGAKDDLETSSDAAEPVTINSNSLEPGKDTVTISEVSASIS SPSEEDAAEMPELLEKSGVEEEEDDDYVELKVEGSPTEEAGLPTELQGEGLSVAASEGRE EPDMCGHGCEVQVEAPITKIHNDPETTDSEDSRFPTVATAGSLATSSEVPVPQATVQSDS HEMLDGGMKATNLAGETESVSDCADNVSEAPATSEQKITKLDVSSVASDTERFELKASTS TEAPQPQRHGLEISRQQEQTAQGTAPDAVDQQRRDSRSTMFRIPEFKWSQMHQRLLTDLL FSIETDIQMWRSHSTKTVMDFVNSSDNVIFVHNTIHLISQVMDNMVMACGGILPLLSAAT SATHELENIEPTQGLSIEASVTFLQRLISLVDVLIFASSLGFTEIEAEKNMSSGGILRQC LRLVCAVAVRNCLECQQHSQLKARGDTAKSSKTIHSLIPMGKSAAKSPVDIVTGGISPVR DLDRLLQDMDINRLRAVVFRDIEDSKQAQFLALAVVYFISVLMVSKYRDILEPQDERHSQ SLKETSSDNGNASLPDAENTPAEFSSLTLSSVEESLEGTSCTRRRDSGLGEETASGLGSG LSVASPAAPLGVSAGPDAISEVLCTLSLEVNKSQETRIDGGNELDRKVTPSVPVSKNVNV KDILRSLVNMPADGVTVDPALLPPACLGALGDLSVDPPMQFRSFDRSVIIATKKSSVLPS ALTTSAPSSAVSVVSSVDPTHASDTGGESPGSRSPNAKLPSVAAVGSVPQDPAAHMSITE RLEHALEKAAPLLREIFVDFAPFLSRTLLGSHGQELLIEGTSLVCMKSSSSVVELVMLLC SQEWQNSIQKNAGLAFIELVNEGRLLSQTMKDHLVRVANEAEFILSRQRAEDIHRHAEFE SLCAQYSADKREEEKMCDHLIRAAKYRDHVTATQLIQKIINLLTDKHGAWGSSAVSRPRE FWRLDYWEDDLRRRRRFVRNPLGSTHPEATLKTAVEHAADEDILAKGKQSIKSQALGNQN SENEALLEGDDDTLSSVDEKDLENLAGPVSLSTPAQLVAPSVVVKGTLSVTSSELYFEVD EEDPNFKKIDPKILAYTEGLHGKWLFTEIRSIFSRRYLLQNTALEIFMANRVAVMFNFPD PATVKKVVNYLPRVGVGTSFGLPQTRRISLATPRQLFKASNMTQRWQHREISNFEYLMFL NTIAGRSYNDLNQYPVFPWVITNYESEELDLTLPSNFRDLSKPIGALNPKRAAFFAERFE SWEDDQVPKFHYGTHYSTASFVLAWLLRIEPFTTYFLNLQGGKFDHADRTFSSVSRAWRN SQRDTSDIKELIPEFYYLPEMFVNFNNYNLGVMDDGTVVSDVELPPWAKTSEEFVRINRL ALESEFVSCQLHQWIDLIFGYKQQGPEAVRALNVFYYLTYEGAVNLNSITDPVLREAVEA QIRSFGQTPSQLLIEPHPPRGSAMQASPLMFTDQAQQDVIMVLKFPSNSPVTHVAANTQP GLAMPAVITVTANRLFAVNKWHNLPAHQGAVQDQPYQLPVEIDPLIACGTGTHRRQVTDL LDQSIQVHSQCFVITSDNRYILVCGFWDKSFRVYSTDTGKLIQVVFGHWDVVTCLARSES YIGGNCYILSGSRDATLLLWYWNGKSSGIGDNPGGETATPRAILTGHDYEITCAAVCAEL GLVLSGSQEGPCLIHSMNGDLLRTLEGPENCLKPKLIQASREGHCVIFYENGCFCTFSVN GKLQATVETDDHIRVSAVGSTLFLLLGSSK >ENSMUSP00000103261.1 pep:known chromosome:GRCm38:3:86224680:86782689:1 gene:ENSMUSG00000028080.15 transcript:ENSMUST00000107635.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrba description:LPS-responsive beige-like anchor [Source:MGI Symbol;Acc:MGI:1933162] MASEDNRAPSRPPTGDDGGGGGKEETPTEGGALSLKPGLPIRGIRMKFAVLTGLVEVGEV SNRDIVETVFNLLVGGQFDLEMNFIIQEGESIMCMVELLEKCDVTCQAEVWSMFTAILKK SIRNLQVCTEVGLVEKVLGKIEKVDSMIADLLVDMLGVLASYNLTVRELKLFFSKLQGDK GQWPPHAGKLLSVLKHMPQKYGPDAFFNFPGKSAAAIALPPIARWPYQNGFTFHTWLRMD PVNNINVDKDKPYLYCFRTSKGLGYSAHFVGGCLIITSIKSKGKGFQHCVKFDFKPQKWY MVTIVHIYNRWKNSELRCYVNGELASYGEITWFVNTSDTFDKCFLGSSETADANRVFCGQ MTAVYLFSDALNAAQIFAIYQLGLGYKGTFKFKAESDLFLAEHHKLLLYDGKLSSAIAFT YNPRATDAQLCLESSPKDNPSIFVHSPHALMLQDVKAVLTHSIQSAMHSIGGVQVLFPLF AQLDYKQYLSDEVDLTICTTLLAFIMELLKNSIAMQEQMLACKGFLVIGYSLEKSSKSHV SRAVLELCLAFSKYLSNLQNGMPLLKQLCDHILLNPAVWIHTPAKVQLMLYTYLSTEFIG TVNIYNTIRRVGTVLLIMHTLKYYYWAVNPQDRSGITPKGLDGPRPNQKEILSLRAFLLM FIKQLVMKDSGVKEDELQAILNYLLTMHEDDNLMDVLQLLVALMAEHPNSMIPAFDQRNG LRVIYKLLASKSEGIRVQALKALGYFLKHLAPKRKAEVMLGHGLFSLLAERLMLQTNLIT MTMYNVLFEILIEQICTQVIHKQHPDPDSTVKIQNPQILKVIATLLRNSPQCPESMEVRR AFLSDMIKLFNNSRENRRSLLQCSVWQEWMLSLCYFNPKNSDEQKITEMVYAIFRILLYH AVKYEWGGWRVWVDTLSITHSKVTFEIHKENLANIFREEQRKGDEETGPCSSSLVPEGTG ATRGVDVSVGSQHEDRKDSPISPHFTRNSDENSSIGRASSIDSASNTELQTHDMSSDEKK VERENQELLDQATVEETATNGAKDDLETSSDAAEPVTINSNSLEPGKDTVTISEVSASIS SPSEEDAAEMPELLEKSGVEEEEDDDYVELKVEGSPTEEAGLPTELQGEGLSVAASEGRE EPDMCGHGCEVQVEAPITKIHNDPETTDSEDSRFPTVATAGSLATSSEVPVPQATVQSDS HEMLDGGMKATNLAGETESVSDCADNVSEAPATSEQKITKLDVSSVASDTERFELKASTS TEAPQPQRHGLEISRQQEQTAQGTAPDAVDQQRRDSRSTMFRIPEFKWSQMHQRLLTDLL FSIETDIQMWRSHSTKTVMDFVNSSDNVIFVHNTIHLISQVMDNMVMACGGILPLLSAAT SATHELENIEPTQGLSIEASVTFLQRLISLVDVLIFASSLGFTEIEAEKNMSSGGILRQC LRLVCAVAVRNCLECQQHSQLKARGDTAKSSKTIHSLIPMGKSAAKSPVDIVTGGISPVR DLDRLLQDMDINRLRAVVFRDIEDSKQAQFLALAVVYFISVLMVSKYRDILEPQDERHSQ SLKETSSDNGNASLPDAENTPAEFSSLTLSSVEESLEGTSCTRRRDSGLGEETASGLGSG LSVASPAAPLGVSAGPDAISEVLCTLSLEVNKSQETRIDGGNELDRKVTPSVPVSKNVNV KDILRSLVNMPADGVTVDPALLPPACLGALGDLSVDPPMQFRSFDRSVIIATKKSSVLPS ALTTSAPSSAVSVVSSVDPTHASDTGGESPGSRSPNAKLPSVAAVGSVPQDPAAHMSITE RLEHALEKAAPLLREIFVDFAPFLSRTLLGSHGQELLIEGTSLVCMKSSSSVVELVMLLC SQEWQNSIQKNAGLAFIELVNEGRLLSQTMKDHLVRVANEAEFILSRQRAEDIHRHAEFE SLCAQYSADKREEEKMCDHLIRAAKYRDHVTATQLIQKIINLLTDKHGAWGSSAVSRPRE FWRLDYWEDDLRRRRRFVRNPLGSTHPEATLKTAVEHAADEDILAKGKQSIKSQALGNQN SENEALLEGDDDTLSSVDEKDLENLAGPVSLSTPAQLVAPSVVVKGTLSVTSSELYFEVD EEDPNFKKIDPKILAYTEGLHGKWLFTEIRSIFSRRYLLQNTALEIFMANRVAVMFNFPD PATVKKVVNYLPRVGVGTSFGLPQTRRISLATPRQLFKASNMTQRWQHREISNFEYLMFL NTIAGRSYNDLNQYPVFPWVITNYESEELDLTLPSNFRDLSKPIGALNPKRAAFFAERFE SWEDDQVPKFHYGTHYSTASFVLAWLLRIEPFTTYFLNLQGGKFDHADRTFSSVSRAWRN SQRDTSDIKELIPEFYYLPEMFVNFNNYNLGVMDDGTVVSDVELPPWAKTSEEFVRINRL ALESEFVSCQLHQWIDLIFGYKQQGPEAVRALNVFYYLTYEGAVNLNSITDPVLREAVEA QIRSFGQTPSQLLIEPHPPRGSAMQASPLMFTDQAQQDVIMVLKFPSNSPVTHVAANTQP GLAMPAVITVTANRLFAVNKWHNLPAHQGAVQDQPYQLPVEIDPLIACGTGTHRRQVTDL LDQSIQVHSQCFVITSDNRYILVCGFWDKSFRVYSTDTGKLIQVVFGHWDVVTCLARSES YIGGNCYILSGSRDATLLLWYWNGKSSGIGDNPGGETATPRAILTGHDYEITCAAVCAEL GLVLSGSQEGPCLIHSMNGDLLRTLEGPENCLKPKLIQASREGHCVIFYENGCFCTFSVN GKLQATVETDDHIRAIQLSRDGQYLLTGGDNGVVIVRQVSDLKQLFAYPGCDAGIRAMAL SFDQRCIISGMASGSIVLFYNDFNRWHHEYQTRY >ENSMUSP00000141734.1 pep:known chromosome:GRCm38:3:86548216:86782692:1 gene:ENSMUSG00000028080.15 transcript:ENSMUST00000195524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrba description:LPS-responsive beige-like anchor [Source:MGI Symbol;Acc:MGI:1933162] MPGEQRSTAQAAAHSEILAYTEGLHGKWLFTEIRSIFSRRYLLQNTALEIFMANRVAVMF NFPDPATVKKVVNYLPRVGVGTSFGLPQTRRISLATPRQLFKASNMTQRWQHREISNFEY LMFLNTIAGRSYNDLNQYPVFPWVITNYESEELDLTLPSNFRDLSKPIGALNPKRAAFFA ERFESWEDDQVPKFHYGTHYSTASFVLAWLLRIEPFTTYFLNLQGGKFDHADRTFSSVSR AWRNSQRDTSDIKELIPEFYYLPEMFVNFNNYNLGVMDDGTVVSDVELPPWAKTSEEFVR INRLALESEFVSCQLHQWIDLIFGYKQQGPEAVRALNVFYYLTYEGAVNLNSITDPVLRE AVEAQIRSFGQTPSQLLIEPHPPRGSAMQASPLMFTDQAQQDVIMVLKFPSNSPVTHVAA NTQPGLAMPAVITVTANRLFAVNKWHNLPAHQGAVQDQPYQLPVEIDPLIACGTGTHRRQ VTDLLDQSIQVHSQCFVITSDNRYILVCGFWDKSFRVYSTDTGKLIQVVFGHWDVVTCLA RSESYIGGNCYILSGSRDATLLLWYWNGKSSGIGDNPGGETATPRAILTGHDYEITCAAV CAELGLVLSGSQEGPCLIHSMNGDLLRTLEGPENCLKPKLIQASREGHCVIFYENGCFCT FSVNGKLQATVETDDHIRAIQLSRDGQYLLTGGDNGVVIVRQVSDLKQLFAYPGCDAGIR AMALSFDQRCIISGMASGSIVLFYNDFNRWHHEYQTRY >ENSMUSP00000096209.2 pep:known chromosome:GRCm38:7:29170210:29173933:1 gene:ENSMUSG00000031493.10 transcript:ENSMUST00000098609.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggn description:gametogenetin [Source:MGI Symbol;Acc:MGI:2181461] MQTGAVQEQPEPWSPRRTRRLTGESFFNHSPRPSSKMGNVQSEPSAGGGSRKEQASDRAS DSRRTPLVEPEVTPSSPAMRLARGLGVWFPGSSGPPGLLIPPEPQASSSPLPLTLELPSP VTPPPEEAAAVSTPPPPPVGTLLPAPSKWRKPTGTSVPRIRGLLEASHRGQGDPPSLRPL PPLPRQLTEKDPVLRAPAPPPTPLEPRKQLPPAPSTCDPQPLSRRITLASSATSPTESQV RHSSEGQAAGGAHGGVPPQAGEGEMARSATSESGLSLLCKVTFKSGPHLSPTSASGPLAA KASPGAGGGGLFASSGAISYAEVLKQGPQPPGATRPLGEVPPGATRPLGEVPRAAQETEG GDGDGEGCSGPPSVPAPLARALPPPPYTTFPGSKPKFDWVSPPDGTERHFRFNGAVGGIG APRRRTTTLSGPWGSPPPRSGQTHPSSGPRRPTPALLAPPMFIFPAPNNGEPVRPVPPSP QQIPPLPPPPPTPPATPPPAPPPTPQPPALPRTPILVARPPTPGPGHLESALAPTPPSTL SPTAAADQVPAATPATVTSQVPATATAELSPPMPQPKTRTRRNKGPRAARGVIREEGTSG DGPREPNTAPVTDSSSGGGGGGSNGTSTAGASNKGTARHWPPFEVLNSCPCKCYCRHQRR HRRLPRNVSAWLSTPTNHLSEPPWVATVKLAGSLVAGLEHYDLQATHST >ENSMUSP00000033886.7 pep:known chromosome:GRCm38:7:29170390:29173912:1 gene:ENSMUSG00000031493.10 transcript:ENSMUST00000033886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggn description:gametogenetin [Source:MGI Symbol;Acc:MGI:2181461] MPQPKTRTRRNKGPRAARGVIREEGTSGDGPREPNTAPVTDSSSGGGGGGSNGTSTAGAS NKGTARHWPPFEVLNSCPCKCYCRHQRRHRRLPRNVSAWLSTPTNHLSEPPWVATVKLAG SLVAGLEHYDLQATHST >ENSMUSP00000146665.1 pep:known chromosome:GRCm38:7:29170390:29173976:1 gene:ENSMUSG00000031493.10 transcript:ENSMUST00000208288.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ggn description:gametogenetin [Source:MGI Symbol;Acc:MGI:2181461] MQTGAVQEQPEPWSPRRTRRLTGESFFNHSPRPSSKMGNVQSEPSAGGGSRKEQASDRAS DSRRTPLVEPEVTPSSPAMRLARGLGVWFPGSSGPPGLLIPPEPQASSSPLPLTLELPSP VTPPPEEAAAVSTPPPPPVGTLLPAPSKWRKPTGTSVPRIRGLLEASHRGQGDPPSLRPL PPLPRQLTEKDPVLRAPAPPPTPLEPRKQLPPAPSTCDPQPLSRRITLASSATSPTESQL PTRSQLQLQPQSHPKFQQPPPLSCHRQCPNPRLVHAGTKVPERPGVLSVKKGLLEMALEN RIRLR >ENSMUSP00000146750.1 pep:known chromosome:GRCm38:7:29170407:29173945:1 gene:ENSMUSG00000031493.10 transcript:ENSMUST00000209019.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggn description:gametogenetin [Source:MGI Symbol;Acc:MGI:2181461] MGNVQSEPSAGGGSRKEQASDRASDSRRTPLVEPEVTPSSPAMRLARGLGVWFPGSSGPP GLLIPPEPQASSSPLPLTLELPSPVTPPPEEAAAVSTPPPPPVGTLLPAPSKWRKPTGTS VPRIRGLLEASHRGQGDPPSLRPLPPLPRQLTEKDPVLRAPAPPPTPLEPRKQLPPAPST CDPQPLSRRITLASSATSPTESQVRHSSEGQAAGGAHGGVPPQAGEGEMARSATSESGLS LLCKVTFKSGPHLSPTSASGPLAAKASPGAGGGGLFASSGAISYAEVLKQGPQPPGATRP LGEVPPGATRPLGEVPRAAQETEGGDGDGEGCSGPPSVPAPLARALPPPPYTTFPGSKPK FDWVSPPDGTERHFRFNGAVGGIGAPRRRTTTLSGPWGSPPPRSGQTHPSSGPRRPTPAL LAPPMFIFPAPNNGEPVRPVPPSPQQIPPLPPPPPTPPATPPPAPPPTPQPPALPRTPIL VARPPTPGPGHLESALAPTPPSTLSPTAAADQVPAATPATVTSQVPATATAELSPPMPQP KTRTRRNKGPRAARGVIREEGTSGDGPREPNTAPVTDSSSGGGGGGSNGTSTAGASNKGT ARHWPPFEVLNSCPCKCYCRHQRRHRRLPRNVSAWLSTPTNHLSEPPWVATVKLAGSLVA GLEHYDLQATHST >ENSMUSP00000146620.1 pep:known chromosome:GRCm38:7:29170504:29173933:1 gene:ENSMUSG00000031493.10 transcript:ENSMUST00000208330.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggn description:gametogenetin [Source:MGI Symbol;Acc:MGI:2181461] MIDTERRPRPSSKMGNVQSEPSAGGGSRKEQASDRASDSRRTPLVEPEVTPSSPAMRLAR GLGVWFPGSSGPPGLLIPPEPQASSSPLPLTLELPSPVTPPPEEAAAVSTPPPPPVGTLL PAPSKWRKPTGTSVPRIRGLLEASHRGQGDPPSLRPLPPLPRQLTEKDPVLRAPAPPPTP LEPRKQLPPAPSTCDPQPLSRRITLASSATSPTESQVRHSSEGQAAGGAHGGVPPQAGEG EMARSATSESGLSLLCKVTFKSGPHLSPTSASGPLAAKASPGAGGGGLFASSGAISYAEV LKQGPQPPGATRPLGEVPPGATRPLGEVPRAAQETEGGDGDGEGCSGPPSVPAPLARALP PPPYTTFPGSKPKFDWVSPPDGTERHFRFNGAVGGIGAPRRRTTTLSGPWGSPPPRSGQT HPSSGPRRPTPALLAPPMFIFPAPNNGEPVRPVPPSPQQIPPLPPPPPTPPATPPPAPPP TPQPPALPRTPILVARPPTPGPGHLESALAPTPPSTLSPTAAADQVPAATPATVTSQVPA TATAELSPPMPQPKTRTRRNKGPRAARGVIREEGTSGDGPREPNTAPVTDSSSGGGGGGS NGTSTAGASNKGTARHWPPFEVLNSCPCKCYCRHQRRHRRLPRNVSAWLSTPTNHLSEPP WVATVKLAGSLVAGLEHYDLQATHST >ENSMUSP00000127049.3 pep:known chromosome:GRCm38:5:11256033:11260494:1 gene:ENSMUSG00000096846.7 transcript:ENSMUST00000164262.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8890 description:predicted gene 8890 [Source:MGI Symbol;Acc:MGI:3779817] XWRARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPT QKKNPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKM SVTQNQKNKEVEIDWALIEKYLVDLNLNGRTGADQQP >ENSMUSP00000136387.1 pep:known chromosome:GRCm38:5:11257157:11259523:1 gene:ENSMUSG00000096846.7 transcript:ENSMUST00000177727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8890 description:predicted gene 8890 [Source:MGI Symbol;Acc:MGI:3779817] ARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPTQKK NPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMSVT QNQKNKEVEIDWALIEKYLVDLNLNGRTGADQQP >ENSMUSP00000104477.1 pep:known chromosome:GRCm38:11:57518664:57533815:1 gene:ENSMUSG00000020522.13 transcript:ENSMUST00000108849.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap3 description:microfibrillar-associated protein 3 [Source:MGI Symbol;Acc:MGI:1924068] MKLHHCLSILLVVTLVPAALGLEDVAPLGANQSSYNASFLPSFELSAGSYSGDDVIIVKE GTNVSLECLLTVDQYGEVHWYNSKGQQLHSRGGKWLVSDNFLNITSVAFDDRGLYTCIIT SPARASYSVTLRVIFTSGDMSVYYMVVCLIAFTITLILNVTRLCLMSTHLRKTEKAINEF FRTEGAEKLQKAFEIAKRIPIITSAKTLELAKVTQFKTMEFARYIEELARSVPLPPLILN CRAFVEEMFEAVRVDDPDDMGERIKERPALDAQSGIYVINPELGRSNSPGGDSDDGSLSE QGQEIAVQVSVHLQSETKSIGTDSQDSSHFSPPSDPASAEGSIHHRVSI >ENSMUSP00000020830.7 pep:known chromosome:GRCm38:11:57518687:57533814:1 gene:ENSMUSG00000020522.13 transcript:ENSMUST00000020830.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap3 description:microfibrillar-associated protein 3 [Source:MGI Symbol;Acc:MGI:1924068] MKLHHCLSILLVVTLVPAALGLEDVAPLGANQSSYNASFLPSFELSAGSYSGDDVIIVKE GTNVSLECLLTVDQYGEVHWYNSKGQQLHSRGGKWLVSDNFLNITSVAFDDRGLYTCIIT SPARASYSVTLRVIFTSGDMSVYYMVVCLIAFTITLILNVTRLCLMSTHLRKTEKAINEF FRTEGAEKLQKAFEIAKRIPIITSAKTLELAKVTQFKTMEFARYIEELARSVPLPPLILN CRAFVEEMFEAVRVDDPDDMGERIKERPALDAQSGIYVINPELGRSNSPGGDSDDGSLSE QGQEIAVQVSVHLQSETKSIGTDSQDSSHFSPPSDPASAEGSIHHRVSI >ENSMUSP00000104476.1 pep:known chromosome:GRCm38:11:57522964:57529526:1 gene:ENSMUSG00000020522.13 transcript:ENSMUST00000108848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap3 description:microfibrillar-associated protein 3 [Source:MGI Symbol;Acc:MGI:1924068] MKLHHCLSILLVVTLVPAALGLEDVAPLGANQSSYNASFLPSFELSAGSYSGDDVIIVKE GTNVSLECLLTVDQYGEVHWYNSKGQQLHSRGGKWLVSDNFLNITSVAFD >ENSMUSP00000033730.2 pep:known chromosome:GRCm38:X:163513904:163549677:-1 gene:ENSMUSG00000031364.2 transcript:ENSMUST00000033730.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grpr description:gastrin releasing peptide receptor [Source:MGI Symbol;Acc:MGI:95836] MAPNNCSHLNLDVDPFLSCNDTFNQSLSPPKMDNWFHPGFIYVIPAVYGLIIVIGLIGNI TLIKIFCTVKSMRNVPNLFISSLALGDLLLLVTCAPVDASKYLADRWLFGRIGCKLIPFI QLTSVGVSVFTLTALSADRYKAIVRPMDIQASHALMKICLKAALIWIVSMLLAIPEAVFS DLHPFHVKDTNQTFISCAPYPHSNELHPKIHSMASFLVFYVIPLAIISVYYYFIARNLIQ SAYNLPVEGNIHVKKQIESRKRLAKTVLVFVGLFAFCWLPNHVIYLYRSYHYSEVDTSML HFVTSICARLLAFTNSCVNPFALYLLSKSFRKQFNTQLLCCQPGLMNRSHSTGRSTTCMT SFKSTNPSATFSLINRNICHEGYV >ENSMUSP00000046590.6 pep:known chromosome:GRCm38:7:6487913:6488876:-1 gene:ENSMUSG00000034583.7 transcript:ENSMUST00000036357.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1347 description:olfactory receptor 1347 [Source:MGI Symbol;Acc:MGI:3031181] MLFCSPQMETSLELTNMTRVQQFVLLGFPTRLGIRDALFVVFLSLYLLTLLENTLIIYLI CSHKELHKPMYFFLGNLSCLEMCYVSATMPTLLVGLWTGPYHISFITCMTQLFFFVSLIC TECTLLASMAYDRYVAICRPLHYPLLMRPQVCLGLALSSWLGGLVVSAIKTKCIASLIYC GPNVLNQFFCDVSPLLNLSCTHVALTELVDFISAIVIFCGTLLVSLASYSAIGMAVLRMP SAAARRKAFSTCASHLVVVGIFYSAALFIYCRPSRIKSMDLNKVLSVIYTVVTPLCNPII YCLRNKEVHTVLKKTLHWP >ENSMUSP00000147141.1 pep:known chromosome:GRCm38:7:6488325:6499755:-1 gene:ENSMUSG00000034583.7 transcript:ENSMUST00000207339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1347 description:olfactory receptor 1347 [Source:MGI Symbol;Acc:MGI:3031181] METSLELTNMTRVQQFVLLGFPTRLGIRDALFVVFLSLYLLTLLENTLIIYLICSHKELH KPMYFFLGNLSCLEMCYVSATMPTLLVGLWTGPYHISFITCMTQLFFFVSLICTECTLLA SMAYDRYVAICRPLHYPLLMRPQVCLGLALSSWLGGLVVSAIKTKCIASLIYCGP >ENSMUSP00000146605.1 pep:known chromosome:GRCm38:7:6488501:6499648:-1 gene:ENSMUSG00000034583.7 transcript:ENSMUST00000209055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1347 description:olfactory receptor 1347 [Source:MGI Symbol;Acc:MGI:3031181] METSLELTNMTRVQQFVLLGFPTRLGIRDALFVVFLSLYLLTLLENTLIIYLICSHKELH KPMYFFLGNLSCLEMCYVSATMPTLLVGLWTGPYHISFITCMTQLFFFVSLICTECT >ENSMUSP00000112903.1 pep:known chromosome:GRCm38:5:118190736:118244861:-1 gene:ENSMUSG00000032850.16 transcript:ENSMUST00000117177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnft2 description:ring finger protein, transmembrane 2 [Source:MGI Symbol;Acc:MGI:2442859] MWLLAAHQVLRKMQRRHSSNTDNIPPESRSRSQALSPEASVDEGGVFESLKAETASPPAL FSGLAGGLPASPFPAGLVLGSTAGGGDVFIPMPATRDEAGGRSAEGSTYHHRQAHHHFHH GAHRGGSLLQHVGGDHRGHSEEGVDEQPGTPAPALSELKAVISWLQKGLPFILILLAKLC FQHKLGIAVCIGMASTFAYANSTLREQVSLKEKRSVLVILWILAFLAGNTMYVLYTFSSQ QLYSSLIFLKPNLETLDFFDLLWIVGIADFVLKYITIALKCLIVALPKIILAVKSKGKFY LVIEELSQLFRSLVPIQLWYKYIMGDDSSNSYFLGGVLIVLYSLCKSFDICGRVGGLRKA LKLLCTSQNYGVRATGQQCTEAGAVCAICQAEFRDPMILLCQHVFCEECLCLWLDRERTC PLCRSVAVDTLRCWKDGATSAHLQVY >ENSMUSP00000113749.1 pep:known chromosome:GRCm38:5:118190742:118245116:-1 gene:ENSMUSG00000032850.16 transcript:ENSMUST00000121369.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnft2 description:ring finger protein, transmembrane 2 [Source:MGI Symbol;Acc:MGI:2442859] MWLLAAHQVLRKMQRRHSSNTDNIPPERSRSQALSPEASVDEGGVFESLKAETASPPALF SGLAGGLPASPFPAGLVLGSTAGGGDVFIPMPATRDEAGGRSAEGSTYHHRQAHHHFHHG AHRGGSLLQHVGGDHRGHSEEGVDEQPGTPAPALSELKAVISWLQKGLPFILILLAKLCF QHKLGIAVCIGMASTFAYANSTLREQVSLKEKRSVLVILWILAFLAGNTMYVLYTFSSQQ LYSSLIFLKPNLETLDFFDLLWIVGIADFVLKYITIALKCLIVALPKIILAVKSKGKFYL VIEELSQLFRSLVPIQLWYKYIMGDDSSNSYFLGGVLIVLYSLCKSFDICGRVGGLRKAL KLLCTSQNYGVRATGQQCTEAGAVCAICQAEFRDPMILLCQHVFCEECLCLWLDRERTCP LCRSVAVDTLRCWKDGATSAHLQVY >ENSMUSP00000120464.1 pep:known chromosome:GRCm38:5:118194054:118201232:-1 gene:ENSMUSG00000032850.16 transcript:ENSMUST00000132831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnft2 description:ring finger protein, transmembrane 2 [Source:MGI Symbol;Acc:MGI:2442859] XTGQQCTEAGAVCAICQAEFRDPMILLCQAHTDVLDKRHRHLPPQPPSSTQAQQRGGPVA LCCFPLRLR >ENSMUSP00000120260.1 pep:known chromosome:GRCm38:5:118234156:118244994:-1 gene:ENSMUSG00000032850.16 transcript:ENSMUST00000154786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnft2 description:ring finger protein, transmembrane 2 [Source:MGI Symbol;Acc:MGI:2442859] MWLLAAHQVLRKMQRRHSSNTDNIPPESRSRSQALSPEASVDEGGVFESLKAETASPPAL FSGLAGGLPASPFPAGLVLGSTAGGGDVFIPMPATRDEAGGRSAEGSTYHHRQAHHHFHH GAHRGGSLLQHVGGDHRGHSEEGVDEQPGTPAPALSELKAVISWLQKGLPFILILLAKLC FQHKLGIAVCIGMASTFAYANSTLRE >ENSMUSP00000116025.1 pep:known chromosome:GRCm38:5:118237505:118244992:-1 gene:ENSMUSG00000032850.16 transcript:ENSMUST00000133372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnft2 description:ring finger protein, transmembrane 2 [Source:MGI Symbol;Acc:MGI:2442859] MWLLAAHQVLRKMQRRHSSNTDNIPPERSRSQALSPEASVDEGGVFESLKAETASPPA >ENSMUSP00000098097.4 pep:known chromosome:GRCm38:11:96047432:96065388:-1 gene:ENSMUSG00000014349.7 transcript:ENSMUST00000100528.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2z description:ubiquitin-conjugating enzyme E2Z (putative) [Source:MGI Symbol;Acc:MGI:1343160] MAESPTEEAATATAGAGAAGPGSSGVAGVVGVSGSGGGFGPPFLPDVWAAAAAAGGAGGP GSGLAPLPGLPPSAAAHGAALLSHWDPTLSSDWDGERTAPQCLLRIKRDIMSIYKEPPPG MFVVPDTVDMTKIHALITGPFDTPYEGGFFLFVFRCPPDYPIHPPRVKLMTTGNNTVRFN PNFYRNGKVCLSILGTWTGPAWSPAQSISSVLISIQSLMTENPYHNEPGFEQERHPGDSK NYNECIRHETIRVAVCDMMEGKCPCPEPLRGVMEKSFLEYYDFYEVACKDRLHLQGQTMQ DPFGEKRGHFDYQSLLMRLGLIRQKVLERLHNENAEMDSDSSSSGTETDLHGSLRV >ENSMUSP00000046216.6 pep:known chromosome:GRCm38:7:29158829:29168647:-1 gene:ENSMUSG00000037239.8 transcript:ENSMUST00000048923.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spred3 description:sprouty-related, EVH1 domain containing 3 [Source:MGI Symbol;Acc:MGI:2142186] MVRVRAVVMARDDSSGGWLPVGGGGLSQVSVCRVRGARPEGGARQGHYVIHGERLRDQKT TLECTLRPGLVYNKVNPIFHHWSLGDCKFGLTFQSPAEADEFQKSLLAALAALSRGSLTP SSSSSSSSPSQDTAETPCPLTSHVDSDSSSSHSRQETPPTAPIATVESAAAFPLATPPQR RRSSAQSYPPLLPFTGIPEPSESLAGAGSQGWGSRGYEDYRRSGPPPPPLALSTCVVRFA KTGALRGAALGPPVSLPAPLTEAAPPAPPARPPPGPGPTPAPAKASPEAEEAARCVHCRA LFRRRADGRGGRCAEAPDPGRLLVRRLSCLWCAESLLYHCLSDAEGDFSDPCACEPGHPR PAARWAALAALSLAVPCLCCYAPLRACHWVAARCGCAGCGGRHEEAAR >ENSMUSP00000080666.1 pep:known chromosome:GRCm38:19:12953591:12954568:1 gene:ENSMUSG00000062892.3 transcript:ENSMUST00000082006.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1450 description:olfactory receptor 1450 [Source:MGI Symbol;Acc:MGI:3031284] MYVANSSRMNAFILLGLTDNPDLEAPLFIIFNLIYLITLIGNLGMIVLIWFDSHLHTPMY IFLSHLSLADCVYSSAVTPKVMVGLLTGDKVISYGGCVAQMFFFVTFASVDCFLLAVMAF DRHAAVCKPLHYTTNMTTSVCACMVIACYVFSLAESSVYTGFIFDLSFCHSNVIHHFFCD IPPILNLSCSDIYTNEIVLFIITSFNVFFSLIVILTSYAFIFIAILRMHSAEGRKKASST CASHLTAVTIFYGTIIFMYLQPSSSHSMDNDQMASVFYTTVVPMLNPVVYSLRNKEVHSA FKKAIEKLKSAQHQVLIRDLYSLCN >ENSMUSP00000130689.1 pep:known chromosome:GRCm38:7:44731480:44744230:-1 gene:ENSMUSG00000048012.19 transcript:ENSMUST00000149011.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp473 description:zinc finger protein 473 [Source:MGI Symbol;Acc:MGI:2442697] MERKEDDLKGGCWNQPAVAEEFETLKDLAMDFTVEDWKDLESEWDQRDLFWDVTLNHYQD MFSFTDTSQPSLTSQPDVREELEATSTGKWEEGPFWTLHWTPS >ENSMUSP00000051069.6 pep:known chromosome:GRCm38:7:44731491:44748617:-1 gene:ENSMUSG00000048012.19 transcript:ENSMUST00000060270.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp473 description:zinc finger protein 473 [Source:MGI Symbol;Acc:MGI:2442697] MERKEDDLKGGCWNQPAVAEEFETLKDLAMDFTVEDWKDLESEWDQRDLFWDVTLNHYQD MFSFTDTSQPSLTSQPDVREELEATSTEVPETKSSPLQSGFVEEDFSQIMEIFSNGQLNF EACIGEDWLNSFLGDPESLPRPDISDKESPADHQSPESKSGLSPGPPLCTREDAVMSASP EKTLTPVILKESRSDLSQEDSVQGHEKPYKCSECGESFSQSHHLIQHWVLHTSGEPPIWR EQQRGLSQGAHFPMCPGTPASYESYTCQECGKRFSQNVYLQWHQKIHTGEKLCKTQSDSN LEGLSRSPSVEPGKQRLSKDTDSAKPSTIHGQDQEKPPTGESRDQENLHESQPGDRPSVL HPKPLRHQKTPTNAKCFRCKKCGETFSGAFHLAKHQRAHAQRLYKCASCPAVFNLSKHCF QHRKSHFPSAACECQGCRKSFNWRSSLIKHQAIHKGEKPYKCDECGKAFNHSSTLKIHQR IHSGQKPHKCSECGKAFCRRTDLTEHQRVHSGFRPHQCPVCARTFNRPSHLVRHRLRHAE ERHFGCAKCKETFIYKEQLERHNKIHTIEGLYECKQCGEHFICRSTLNCHLSIHIRENTS EKVVGQNSQHTEKCFKNTKCRKAPNHSRYLGQHEKIHAQVTSGECDPCGETYDQSVQPIC HQSICAGVKPSECAEPEKCTRNTSASEHHPSQREPSFKCDIYNRAFKQRAHLSKHQLIHI TEKPFKCNECDRAFKQSNYLIQHQKTHTAEKHFECSECGKTFHQRSCLSKHQKIHSGEKP FKCGDCGKAFISGAQLIRHQRIHTGEKPYVCQECGKTFSQSSCLTLHLRIHTGEKPYTCG TCGKAFAQRANQRKHERIHTGEKPYACGLCGKAFGLRTHLQQHQRIHTKAKP >ENSMUSP00000113789.1 pep:known chromosome:GRCm38:7:44731503:44748391:-1 gene:ENSMUSG00000048012.19 transcript:ENSMUST00000120798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp473 description:zinc finger protein 473 [Source:MGI Symbol;Acc:MGI:2442697] MERKEDDLKGGCWNQPAVAEEFETLKDLAMDFTVEDWKDLESEWDQRDLFWDVTLNHYQD MFSFNTSQPSLTSQPDVREELEATSTEVPETKSSPLQSGFVEEDFSQIMEIFSNGQLNFE ACIGEDWLNSFLGDPESLPRPDISDKESPADHQSPESKSGLSPGPPLCTREDAVMSASPE KTLTPVILKESRSDLSQEDSVQGHEKPYKCSECGESFSQSHHLIQHWVLHTSGEPPIWRE QQRGLSQGAHFPMCPGTPASYESYTCQECGKRFSQNVYLQWHQKIHTGEKLCKTQSDSNL EGLSRSPSVEPGKQRLSKDTDSAKPSTIHGQDQEKPPTGESRDQENLHESQPGDRPSVLH PKPLRHQKTPTNAKCFRCKKCGETFSGAFHLAKHQRAHAQRLYKCASCPAVFNLSKHCFQ HRKSHFPSAACECQGCRKSFNWRSSLIKHQAIHKGEKPYKCDECGKAFNHSSTLKIHQRI HSGQKPHKCSECGKAFCRRTDLTEHQRVHSGFRPHQCPVCARTFNRPSHLVRHRLRHAEE RHFGCAKCKETFIYKEQLERHNKIHTIEGLYECKQCGEHFICRSTLNCHLSIHIRENTSE KVVGQNSQHTEKCFKNTKCRKAPNHSRYLGQHEKIHAQVTSGECDPCGETYDQSVQPICH QSICAGVKPSECAEPEKCTRNTSASEHHPSQREPSFKCDIYNRAFKQRAHLSKHQLIHIT EKPFKCNECDRAFKQSNYLIQHQKTHTAEKHFECSECGKTFHQRSCLSKHQKIHSGEKPF KCGDCGKAFISGAQLIRHQRIHTGEKPYVCQECGKTFSQSSCLTLHLRIHTGEKPYTCGT CGKAFAQRANQRKHERIHTGEKPYACGLCGKAFGLRTHLQQHQRIHTKAKP >ENSMUSP00000113774.1 pep:known chromosome:GRCm38:7:44731515:44748049:-1 gene:ENSMUSG00000048012.19 transcript:ENSMUST00000120074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp473 description:zinc finger protein 473 [Source:MGI Symbol;Acc:MGI:2442697] MERKEDDLKGGCWNQPAVAEEFETLKDLAMDFTVEDWKDLESEWDQRDLFWDVTLNHYQD MFSFTDTSQPSLTSQPDVREELEATSTEVPETKSSPLQSGFVEEDFSQIMEIFSNGQLNF EACIGEDWLNSFLGDPESLPRPDISDKESPADHQSPESKSGLSPGPPLCTREDAVMSASP EKTLTPVILKESRSDLSQEDSVQGHEKPYKCSECGESFSQSHHLIQHWVLHTSGEPPIWR EQQRGLSQGAHFPMCPGTPASYESYTCQECGKRFSQNVYLQWHQKIHTGEKLCKTQSDSN LEGLSRSPSVEPGKQRLSKDTDSAKPSTIHGQDQEKPPTGESRDQENLHESQPGDRPSVL HPKPLRHQKTPTNAKCFRCKKCGETFSGAFHLAKHQRAHAQRLYKCASCPAVFNLSKHCF QHRKSHFPSAACECQGCRKSFNWRSSLIKHQAIHKGEKPYKCDECGKAFNHSSTLKIHQR IHSGQKPHKCSECGKAFCRRTDLTEHQRVHSGFRPHQCPVCARTFNRPSHLVRHRLRHAE ERHFGCAKCKETFIYKEQLERHNKIHTIEGLYECKQCGEHFICRSTLNCHLSIHIRENTS EKVVGQNSQHTEKCFKNTKCRKAPNHSRYLGQHEKIHAQVTSGECDPCGETYDQSVQPIC HQSICAGVKPSECAEPEKCTRNTSASEHHPSQREPSFKCDIYNRAFKQRAHLSKHQLIHI TEKPFKCNECDRAFKQSNYLIQHQKTHTAEKHFECSECGKTFHQRSCLSKHQKIHSGEKP FKCGDCGKAFISGAQLIRHQRIHTGEKPYVCQECGKTFSQSSCLTLHLRIHTGEKPYTCG TCGKAFAQRANQRKHERIHTGEKPYACGLCGKAFGLRTHLQQHQRIHTKAKP >ENSMUSP00000113316.1 pep:known chromosome:GRCm38:7:44732009:44748306:-1 gene:ENSMUSG00000048012.19 transcript:ENSMUST00000118162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp473 description:zinc finger protein 473 [Source:MGI Symbol;Acc:MGI:2442697] MERKEDDLKGGCWNQPAVAEEFETLKDLAMDFTVEDWKDLESEWDQRDLFWDVTLNHYQD MFSFNTSQPSLTSQPDVREELEATSTEVPETKSSPLQSGFVEEDFSQIMEIFSNGQLNFE ACIGEDWLNSFLGDPESLPRPDISDKESPADHQSPESKSGLSPGPPLCTREDAVMSASPE KTLTPVILKESRSDLSQEDSVQGHEKPYKCSECGESFSQSHHLIQHWVLHTSGEPPIWRE QQRGLSQGAHFPMCPGTPASYESYTCQECGKRFSQNVYLQWHQKIHTGEKLCKTQSDSNL EGLSRSPSVEPGKQRLSKDTDSAKPSTIHGQDQEKPPTGESRDQENLHESQPGDRPSVLH PKPLRHQKTPTNAKCFRCKKCGETFSGAFHLAKHQRAHAQRLYKCASCPAVFNLSKHCFQ HRKSHFPSAACECQGCRKSFNWRSSLIKHQAIHKGEKPYKCDECGKAFNHSSTLKIHQRI HSGQKPHKCSECGKAFCRRTDLTEHQRVHSGFRPHQCPVCARTFNRPSHLVRHRLRHAEE RHFGCAKCKETFIYKEQLERHNKIHTIEGLYECKQCGEHFICRSTLNCHLSIHIRENTSE KVVGQNSQHTEKCFKNTKCRKAPNHSRYLGQHEKIHAQVTSGECDPCGETYDQSVQPICH QSICAGVKPSECAEPEKCTRNTSASEHHPSQREPSFKCDIYNRAFKQRAHLSKHQLIHIT EKPFKCNECDRAFKQSNYLIQHQKTHTAEKHFECSECGKTFHQRSCLSKHQKIHSGEKPF KCGDCGKAFISGAQLIRHQRIHTGEKPYVCQECGKTFSQSSCLTLHLRIHTGEKPYTCGT CGKAFAQRANQRKHERIHTGEKPYACGLCGKAFGLRTHLQQHQRIHTKAKP >ENSMUSP00000127738.1 pep:known chromosome:GRCm38:7:44734459:44748349:-1 gene:ENSMUSG00000048012.19 transcript:ENSMUST00000140599.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp473 description:zinc finger protein 473 [Source:MGI Symbol;Acc:MGI:2442697] MERKEDDLKGGCWNQPAVAEEFETLKDLAMDFTVEDWKDLESEWDQRDLFWDVTLNHYQD MFSFTDTSQPSLTSQPDVREELEATSTEVPETKSSPLQSGFVEEDFSQIMEIFSNGQLNF EACIGEDWLNSFLGDPESLPRPDISDKESP >ENSMUSP00000127101.1 pep:known chromosome:GRCm38:7:44734610:44751050:-1 gene:ENSMUSG00000048012.19 transcript:ENSMUST00000126366.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp473 description:zinc finger protein 473 [Source:MGI Symbol;Acc:MGI:2442697] MERKEDDLKGGCWNQPAVAEEFETLKDLAMDFTVEDWKDLESEWDQRDLFWDVTLNHYQD MFSFTDTSQPSLTSQPDVREELEATSTEVPETKSSPLQS >ENSMUSP00000060309.7 pep:known chromosome:GRCm38:7:90426577:90428669:1 gene:ENSMUSG00000044362.8 transcript:ENSMUST00000061391.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc89 description:coiled-coil domain containing 89 [Source:MGI Symbol;Acc:MGI:1917304] MPQEEKTLRMDTPPPDEILGKQNENLQNQDEELGFKEMDGLREALANLRGLSEEEKGEKA MLRSRIQEQSQLICILKRRSDEALERCQILELLNSELEEKRLQEMEKLKAQSEHIQKLEN HFMILASNHEQMIRFKDAHKSENVKLKEENARLRQENNSLFSQALKDQEAKVLELTTLNK ALVEELEVLKQRCAHEASQAQAREEELLGLQNQQACDHAKETEELRSQLQSIKQQHQQAT EQMGKEQEANLNLNQELQARLQTVLREKEELLQLSMERGKVLQNKQAEIRQLEEKLETAA MAKKHALERFEQEAVAVDSNLRVRELQRRVDGIQKAYDELRLQSEAFKKHSLDLLSKERE LNAKLRHLFP >ENSMUSP00000103939.2 pep:known chromosome:GRCm38:4:11704457:11714752:1 gene:ENSMUSG00000028214.13 transcript:ENSMUST00000108304.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gem description:GTP binding protein (gene overexpressed in skeletal muscle) [Source:MGI Symbol;Acc:MGI:99844] MTLNNVTMRQGTVGMQPQQRWSIPADARHLMVQKDPHPCNLRNRHSTAPEEHCRRSWSSD STDSVISSESGNTYYRVVLIGEQGVGKSTLANIFAGVHDSMDSDCEVLGEDTYERTLVVD GESATIILLDMWENKGENEWLHDHCMQVGDAYLIVYSITDRASFEKASELRIQLRRARQT EDIPIILVGNKSDLVRCREVSVSEGRACAVVFDCKFIETSAAVQHNVKELFEGIVRQVRL RRDSKEKNERRLAYQKRRESIPRKARRFWGKIVAKNNKNMAFKLKSKSCHDLSVL >ENSMUSP00000029868.6 pep:known chromosome:GRCm38:4:11705807:11714752:1 gene:ENSMUSG00000028214.13 transcript:ENSMUST00000029868.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gem description:GTP binding protein (gene overexpressed in skeletal muscle) [Source:MGI Symbol;Acc:MGI:99844] MTLNNVTMRQGTVGMQPQQRWSIPADARHLMVQKDPHPCNLRNRHSTAPEEHCRRSWSSD STDSVISSESGNTYYRVVLIGEQGVGKSTLANIFAGVHDSMDSDCEVLGEDTYERTLVVD GESATIILLDMWENKGENEWLHDHCMQVGDAYLIVYSITDRASFEKASELRIQLRRARQT EDIPIILVGNKSDLVRCREVSVSEGRACAVVFDCKFIETSAAVQHNVKELFEGIVRQVRL RRDSKEKNERRLAYQKRRESIPRKARRFWGKIVAKNNKNMAFKLKSKSCHDLSVL >ENSMUSP00000049212.4 pep:known chromosome:GRCm38:12:35925620:35949736:1 gene:ENSMUSG00000036231.5 transcript:ENSMUST00000042101.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agr3 description:anterior gradient 3 [Source:MGI Symbol;Acc:MGI:2685734] MLHSALALCLLLITVSSNLAIAIKKEKRPPQTLSRGWGDDITWVQTYEEGLFHARKSNKP LMVIHHLEDCQYCQALKKEFAKNEEIQEMAQNDFIMLNLMHETTDKNLSPDGQYVPRIMF VDPSLTVRADITGRYSNRLYTYEPQDLPMLVDNMKKALRLIQSEL >ENSMUSP00000121230.1 pep:known chromosome:GRCm38:12:35925622:35928395:1 gene:ENSMUSG00000036231.5 transcript:ENSMUST00000154042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agr3 description:anterior gradient 3 [Source:MGI Symbol;Acc:MGI:2685734] MLHSALALCLLLITVSSNLAIAIKKEKRPPQTLSR >ENSMUSP00000030202.7 pep:known chromosome:GRCm38:4:43957401:43979118:1 gene:ENSMUSG00000028480.14 transcript:ENSMUST00000030202.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glipr2 description:GLI pathogenesis-related 2 [Source:MGI Symbol;Acc:MGI:1917770] MGKSASKQFNNEVLKAHNEYRAQHGVPPLKLCKKLNREAQQYSEALASTRILKHSPESSR GQCGENLAWASYDQTGKDVADRWYSEIKSYNFQQPGFTSGTGHFTAMVWKNTKKIGVGKA SASDGSSFVVARYFPAGNIVNQGFFEENVPPPKK >ENSMUSP00000103487.1 pep:known chromosome:GRCm38:4:43957692:43979118:1 gene:ENSMUSG00000028480.14 transcript:ENSMUST00000107855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glipr2 description:GLI pathogenesis-related 2 [Source:MGI Symbol;Acc:MGI:1917770] MGKSGKDVADRWYSEIKSYNFQQPGFTSGTGHFTAMVWKNTKKIGVGKASASDGSSFVVA RYFPAGNIVNQGFFEENVPPPKK >ENSMUSP00000111639.2 pep:known chromosome:GRCm38:8:106130319:106136974:-1 gene:ENSMUSG00000084128.10 transcript:ENSMUST00000115979.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrp2 description:epithelial splicing regulatory protein 2 [Source:MGI Symbol;Acc:MGI:1924661] MTPPPPPPPPPGPDPAVDSATDPCPEPQSLVVLFGATAGALGPDLGSDETDLILLVWQVV EPRSRQVGTLHKSLVRAEAAALSPQCREASGLSADSLARAESLDKVLQQFSQLVSGDVAL LGGGPYVLCTDGQQLLRQVLHPEASRKNLVLPDTFFSFYDLRREFHMQHPSTCSARDLTV GTMAQDLGLETDATEDDFGVWEVKTMVAVILHLLEGSNGQLFSKPEVVKQKYETGPCSKA DVVDNETVVRARGLPWQSSDQDVARFFKGLNIARGGVALCLNAQGRRNGEALIRFVDSEQ RDLALQRHKHHMGVRYIEVYKATGEEFVKIAGGTSLEVARFLSREDQVILRLRGLPFSAG PTDVLGFLGPECPVTGGADGLLFVRHPDGRPTGDAFALFACEELAQAALRRHKGMLGKRY IELFRSTAAEVQQVLNRYAASPLLPTLTAPLLPIPFPLAGGTGRDCVRLRGLPYTATIED ILSFLGEAAADIRPHGVHMVLNQQGRPSGDAFIQMMSVERALAAAQRCHKKMMKERYVEV VPCSTEEMSRVLMGGSLSRSGLSPPPCKLPCLSPPTYATFQATPALIPTETTALYPSSAL LPAARVPAAATPLAYYPGPATQLYMNYTAYYPSPPVSPTTVGYLTTPPTALASTPTTMLS QPGALVRMQGVPYTAGMKDLLSVFQAYQLAPDDYTTLMPVGDPPRTVLQAPKEWVCL >ENSMUSP00000123114.1 pep:known chromosome:GRCm38:8:106132225:106136813:-1 gene:ENSMUSG00000084128.10 transcript:ENSMUST00000146940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrp2 description:epithelial splicing regulatory protein 2 [Source:MGI Symbol;Acc:MGI:1924661] MTPPPPPPPPPGPDPAVDSATDPCPEPQSLVVLFGATAGALGPDLGSDETDLILLVWQVV EPRSRQVGTLHKSLVRAEAAALSPQCREASGLSADSLARAESLDKVLQQFSQLVSGDVAL LGGGPYVLCTDGQQLLRQVLHPEASRKNLVLPDTFFSFYDLRREFHMQHPSTCSARDLTV GTMAQDLGLETDATEDDFGVWEVKTMVAVILHLLEGSNGQLFSKPEVVKQKYETGPCKAD VVDNETVVRARGLPWQSSDQDVARFFKGLNIARGGVALCLNAQGRRNGEALIRFVDSEQR DLALQRHKHHMGVRYIEVYKATGEEFVKIAGGTSLEVARFLSREDQVILRLRGLPFSAGP TDVLGFLGPECPVTGGADGLLFVRHPDGRPTGDAFALFACEELAQAALRRHKGMLGKRYI ELFRSTAAEVQQVLNRYAASPLLPTLTAPLLPIPFPLAGGTGRDCVRLRGLPYTATIEDI LSFLGEAAADIRPHGVHMVLNQQGRPSGDAFIQMMSVERALAAAQRCHKKMMKERYVEVV PCSTEEMSRVLMGGSLSRSGLSPPPCKLPCLSPPTYATFQATPALIPTETTA >ENSMUSP00000043108.3 pep:known chromosome:GRCm38:11:86058138:86201193:-1 gene:ENSMUSG00000034329.16 transcript:ENSMUST00000044423.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brip1 description:BRCA1 interacting protein C-terminal helicase 1 [Source:MGI Symbol;Acc:MGI:2442836] MSSVLSDYTIGGVKIHFPCRAYPAQLAMMNSIVRGLNSSQHCLLESPTGSGKSLALLCSA LAWQQSLSEKPVDEGLNKKPEAPPSCSCACHSKNFTYSDTNLDTSPHFNSPSKPSSGRNG VSTPCQDSPEKNTLAAKLSAKKQASIHRDEDDDFQVEKKRIRPLETTQQIRKRHCLEKDV HHVDARLASEKRVKPESPIGKSFSDRKDSFQNVDGLCSRCCCSAKQGNNQEPANTVKKDH GGQCKRPKIYFGTRTHKQIAQITRELRKTAYSGVPMTILSSRDHSCVHPEVVGNFNRKEK CMELLDGKHGKSCYFYHGVHKISNQQTLQHLQGMSRAWDIEELVSLGRKLKACPYYTARE LIEDADIVFCPYNYLLDSQIRETMDIKLKGQVVILDEAHNIEDCARESASYSVTEVQLRF ARDELDSLINGNIRKKSHEPLRDVCYNLINWLETNSKHLVERGYESSCKIWSGNEMLLNL YRMGITTATFPVLQRHLSAVLQKEEKVTPIHGKEEAIQIPIISASTQVVLKGLFMVLDYL FRENSRFADDYKVAIQQTYSWTNQIAIFDKTGVLAVPKNKKHSRQKIGVNALNFWCLNPA VAFSDINDKVRTIVLTSGTLSPLKSFSSELGVTFSIQLEANHVISNSQVWVGTVGSGPKG RNLCATFQHTETFEFQDEVGMLLLSVCQTVSQGILCFLPSYKLLEKLRERWIFTGLWHSL ESVKTVIAEPQGGEKTDFDELLQVYYDAIKFKGEKDGALLIAVCRGKVSEGLDFSDDNAR AVITVGIPFPNVKDLQVELKRQYNDHHSKSRGLLPGRQWYEIQAYRALNQALGRCIRHKN DWGALILVDDRFNNNPNRYISGLSKWVRQQIQHHSSFASALESLTEFSRRHQKVTNRSKK DEKCTKDNEPTLEVACLEDSTFTSVSESSHQSPENSTEEAEVCVQELQCPQVATKSPSVA SHGVSRRKKSDPGLRGESLQTMKTEKNEISRSSSPTFGKQTEPVNWPIFNSLRRHFNSKV KNCTPVLKSSKNRAPGSSTFNKTALPLTGNCVPSNETADTSLGPCLQSEVIISPVKIEAT PATNYSKQVFCCEKDLLPDTELSPGTEEAKCPSSNKAAETEVDDDSECFTPELFDPVDTN EENGELVETDRSSHSSDCFSAEELFETATGFGQK >ENSMUSP00000139291.1 pep:known chromosome:GRCm38:X:163760139:163761329:-1 gene:ENSMUSG00000083695.6 transcript:ENSMUST00000059320.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf138rt1 description:ring finger protein 138, retrogene 1 [Source:MGI Symbol;Acc:MGI:1921514] MAEEESASTTSYTEDDFYCPICQEVFKTPVRVAACKHVFCRKCFLSAMKESRLHCPLCRG NVTRRERACPVRAVDLETIMRHFPGNCRCCSQRVELYRMRQHYKTCEKYQDEFGVSTSVS SFQQSPNSVGNSNNEAPASANAEVLQEEEENASPPDEPTFDCPLCEEVTMSRQRLLDHCN SSHRGRVVSVTCPICLSLPWGDPPQLTRNFVSHLNQRHQFDYGDFVNLQLDEETQFQIAI EESFHVNI >ENSMUSP00000104407.3 pep:known chromosome:GRCm38:11:59450045:59458473:1 gene:ENSMUSG00000036819.14 transcript:ENSMUST00000108777.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd4 description:jumonji domain containing 4 [Source:MGI Symbol;Acc:MGI:2144404] MDRETRTFAERYYRDLRDPVPSGGGGPTPSGVTFIQTPNAFSYADFVKGFLLPNLPCVFS SAFTEGWGSRRRWVTSEGKPDFEYLQQKYGDAVVPVANCGVREYNSNPKEHMSFRDYISY WKDYIQGSYSSSRGCLYLKDWHLCRDSLVNDLEDIFTLPVYFSSDWLNEFWDVLNVDDYR FVYAGPRGTWSPFHADIFRSFSWSVNICGKKKWLFFPPGEEEALRDCHGNLPYDVTSTEL LDTHLYPKIQHHSLPIEVIQEPGEMVFVPSGWHHQVYNLDDTISINHNWVNGCNLPNMWH FLQQELQAVQHEVEEWKDSMPDWHHHCQVIMKSCTGINFEEFYHFLKVIAEKRLLVLEQG LKGDSGDSRSLDLGLQQAAFDIGRLADVLASVVVNPDFQRVDTSAFSPQPEELLQQLEDA VAAAEAL >ENSMUSP00000043473.6 pep:known chromosome:GRCm38:11:59450045:59458473:1 gene:ENSMUSG00000036819.14 transcript:ENSMUST00000045279.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd4 description:jumonji domain containing 4 [Source:MGI Symbol;Acc:MGI:2144404] MDRETRTFAERYYRDLRDPVPSGGGGPTPSGVTFIQTPNAFSYADFVKGFLLPNLPCVFS SAFTEGWGSRRRWVTSEGKPDFEYLQQKYGDAVVPVANCGVREYNSNPKEHMSFRDYISY WKDYIQGSYSSSRGCLYLKDWHLCRDSLVNDLEDIFTLPVYFSSDWLNEFWDVLNVDDYR FVYAGPRGTCWSVNICGKKKWLFFPPGEEEALRDCHGNLPYDVTSTELLDTHLYPKIQHH SLPIEVIQEPGEMVFVPSGWHHQVYNLDDTISINHNWVNGCNLPNMWHFLQQELQAVQHE VEEWKDSMPDWHHHCQVIMKSCTGINFEEFYHFLKVIAEKRLLVLEQGLKGDSGDSRSLD LGLQQAAFDIGRLADVLASVVVNPDFQRVDTSAFSPQPEELLQQLEDAVAAAEAL >ENSMUSP00000123531.1 pep:known chromosome:GRCm38:11:59450045:59458567:1 gene:ENSMUSG00000036819.14 transcript:ENSMUST00000147163.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jmjd4 description:jumonji domain containing 4 [Source:MGI Symbol;Acc:MGI:2144404] MDRETRTFAERYYRDLRDPVPSGGGGPTPSGVTFIQTPNAFSYADFVKGFLLPNLPCVFS SAFTEGWGSRRRWVTSEGKPDFEYLQQKYGDAVVPVANCGVREYNSNPKEHMSFRDYISY WKDYIQGSYSSSRGCLYLKDWHLCRDSLVNDLEDIFTLPVYFSSDWLNEFWDVLNVDDYR FVYAGPRGTWRGGSPPGLPW >ENSMUSP00000122461.1 pep:known chromosome:GRCm38:5:32863701:32887048:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000149350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLGDIVEIAHPNDEYSPLLLQVKSLKE DLQKETISVDQTVTQVFRLRPYQDVYVNVVDPKDVTLDLVELTFKDQYIGRGDMWRLKKS LVSTCAYITQKVEFAGIRAQAGELWVKNEKVMCGYISEETR >ENSMUSP00000112906.1 pep:known chromosome:GRCm38:5:32863706:32895934:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000118698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLGDIVEIAHPNDEYSPLLLQVKSLKE DLQKETISVDQTVTQVFRLRPYQDVYVNVVDPKDVTLDLVELTFKDQYIGRGDMWRLKKS LVSTCAYITQKVEFAGIRAQAGELWVKNEKVMCGYISEETRVVFRSTSAMVYIFIQMSCE MWDFDIYGDLYFEKAVNGFLADLFT >ENSMUSP00000116704.1 pep:known chromosome:GRCm38:5:32863713:32868010:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000150130.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] MRTTKVYKLVIHKKGFGGSDDELVVNPKVFP >ENSMUSP00000085207.4 pep:known chromosome:GRCm38:5:32863721:32994236:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000087897.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLGDIVEIAHPNDEYSPLLLQVKSLKE DLQKETISVDQTVTQVFRLRPYQDVYVNVVDPKDVTLDLVELTFKDQYIGRGDMWRLKKS LVSTCAYITQKVEFAGIRAQAGELWVKNEKVMCGYISEETRVVFRSTSAMVYIFIQMSCE MWDFDIYGDLYFEKAVNGFLADLFTKWKEKNCSHEVTVVLFSRTFYDAKSIDEFPEINRA SIQEDHKGRFYEDFYKVVVQNERREEWTSLLVTIKKLFIQYPVLVRLEQAGGFPQGDNST SAQGNYLEAINLSFNVFDKHYINRNFDRTGQMSVVITPGVGVFEVDRLLMILTKQRMIDN GIGVDLVCMGEQPLHAVPLFKLHNRSVPRDSRLGDDYNIPHWINHSFYTSKSQLFCNSFT PRIKLAGKKSASEKTKNGRDTSLGTPKESENTLPIQVDYDAYDAQVFRLPGPSRAQRLAT CRSVREQENHSRKSASSCDVSSSPSLPSRALPTEEVRSQASDDSSLGKSTNILMIPNPHL HQYEVSSSLGYTSTRDVLENMIEPPQRDSSAPGRFHVGSAESMLHVRPGGYTPQRALINP FAPSRMPMKLTSNRRRWMHTFPVGPSGEAIQIHHQTRQNMAELQGSRQRDPTHSSAELLE LAYHEAAGRHSTSRQPGDSMSLNFSGTEELSVSLLSNSSTGVNPRTQNKDSLEDSVSTSP DPILTLSAPPVVPGFCCTVGVDWKSLTTPACLPLTTDYFPDRQGLQNDYTEGCYDLLPEA DMDRRDEEGVQMTAQQVFEEFICQRLMQGYQIIVQPKTQKPNTTVPPPLSSSPLYSRGLV SRNRPEEEGQYWLSMGRTFHKVTLKDKMITVTRYLPKYPYESAQIHYTYSLCPSHSDSEF VSCWVDFCHERLEEYKWNYLDQYICSAGSEDFSLIESLKFWRTRFLLLPACVTATKRITE GEVHCDIYGDKPRADEDEWQLLDGFIRFVEGLNRIRRRHRSDRMIRKGTAMKGLQMTGPI SAHSLEAAGPPVGKKGTSALSALLEMEASQKSLGEQQTTVHGKSSTQPAENSSVAMTPTY VDSPRKDGAFFMEFVRSPRTASSAFYPQASVDQTAPLVLDSTSLGVSTGQPMDRGNNQTF GNSQNIEQAFPSANSGDYSSQQHVASSLTSSSTLVEILEAMKHPSTGVQLLSEQKGLSPC CFISAEVVHWLMNNVEGVQTQAMGIDIMQKMLEEQLITHASGEAWRTFIYGFYFYKIVMD KEPERVAMQQPSAPWYTAGADDFASFQRKWFEVAFVAEELVHSEIPAFLLPWLPSRPASY ASRHSSFSRSFGGRSQAAALLAATVPEQRTVTLDVDVNNRTDRLEWCSCYYHGNFSLNAA FEIKLHWMAVTATVLFEMVQGWHRKATSCGFLLVPVLEGPFALPSYLYGDPLRAQLFIPL NLSCLLKEGSEHLFDSLDLCKINILSPLLTSLLRISHSISMSQERCSFSCRTPNASFQGS SGGDGTLPAPPTKTCSVRSGLATTGPTTPC >ENSMUSP00000113862.1 pep:known chromosome:GRCm38:5:32863734:32994231:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000119705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLGDIVEIAHPNDEYSPLLLQVKSLKE DLQKETISVDQTVTQVFRLRPYQDVYVNVVDPKDVTLDLVELTFKDQYIGRGDMWRLKKS LVSTCAYITQKVEFAGIRAQAGELWVKNEKVMCGYISEETRVVFRSTSAMVYIFIQMSCE MWDFDIYGDLYFEKAVNGFLADLFTKWKEKNCSHEVTVVLFSRTFYDAKSIDEFPEINRA SIQEDHKGRFYEDFYKVVVQNERREEWTSLLVTIKKLFIQYPVLVRLEQAGGFPQGDNST SAQGNYLEAINLSFNVFDKHYINRNFDRTGQMSVVITPGVGVFEVDRLLMILTKQRMIDN GIGVDLVCMGEQPLHAVPLFKLHNRSVPRDSRLGDDYNIPHWINHSFYTSKSQLFCNSFT PRIKLAGKKSASEKTKNGRDTSLGTPKESENTLPIQVDYDAYDAQVFRLPGPSRAQRLAT CRSVREQENHSRKSASSCDVSSSPSLPSRALPTEEVRSQASDDSSLGKSTNILMIPNPHL HQYEVSSSLGYTSTRDVLENMIEPPQRDSSAPGRFHVGSAESMLHVRPGGYTPQRALINP FAPSRMPMKLTSNRRRWMHTFPVGPSGEAIQIHHQTRQNMAELQGSRQRDPTHSSAELLE LAYHEAAGRHSTSRQPGDSMSLNFSGTEELSVSLLSNSSTGVNPRTQNKDSLEDSVSTSP DPMPGFCCTVGVDWKSLTTPACLPLTTDYFPDRQGLQNDYTEGCYDLLPEADMDRRDEEG VQMTAQQVFEEFICQRLMQGYQIIVQPKTQKPNTTVPPPLSSSPLYSRGLVSRNRPEEEG QYWLSMGRTFHKVTLKDKMITVTRYLPKYPYESAQIHYTYSLCPSHSDSEFVSCWVDFCH ERLEEYKWNYLDQYICSAGSEDFSLIESLKFWRTRFLLLPACVTATKRITEGEVHCDIYG DKPRADEDEWQLLDGFIRFVEGLNRIRRRHRSDRMIRKGTAMKGLQMTGPISAHSLEAAG PPVGKKGTSALSALLEMEASQKSLGEQQTTVHGKSSTQPAENSSVAMTPTYVDSPRKDGA FFMEFVRSPRTASSAFYPQASVDQTAPLVLDSTSLGVSTGQPMDRGNNQTFGNSQNIEQA FPSANSGDYSSQQHVASSLTSSSTLVEILEAMKHPSTGVQLLSEQKGLSPCCFISAEVVH WLMNNVEGVQTQAMGIDIMQKMLEEQLITHASGEAWRTFIYGFYFYKIVMDKEPERVAMQ QPSAPWYTAGADDFASFQRKWFEVAFVAEELVHSEIPAFLLPWLPSRPASYASRHSSFSR SFGGRSQAAALLAATVPEQRTVTLDVDVNNRTDRLEWCSCYYHGNFSLNAAFEIKLHWMA VTATVLFEMVQGWHRKATSCGFLLVPVLEGPFALPSYLYGDPLRAQLFIPLNLSCLLKEG SEHLFDSFEPETYWDRMHLFQEAIAHRFGFVQDKYSVSAFNFPAENKPQYIHVTGTVFLQ LPYSKRKFSGQQRRRRNSTSSTNQNMFCEERVGYNWAYNTMLTKTWRSSATGDEKFADRL LKDFTDFCINRDNRLVTFWTNCLEKMHASAP >ENSMUSP00000143228.1 pep:known chromosome:GRCm38:5:32863770:32924180:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000195980.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLGDIVEIAHPNDEYSPLLLQVKSLKE DLQKETISVDQTVTQVFRLRPYQDVYVNVVDPKDVTLDLVELTFKDQYIGRGDMWRLKKS LVSTCAYITQKVEFAGIRWCSVLRRLWFTYLFR >ENSMUSP00000122173.1 pep:known chromosome:GRCm38:5:32863772:32878677:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000125574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLGDIVEIAHPNDEYSPLLLQVKSLKE DLQKETISVDQTVTQVFRLRPYQDVYVNVVDPKDVTLDLVELTFKDQYIGRGDMWRLKKS LVSTCAYITQKVEFAGI >ENSMUSP00000144461.1 pep:known chromosome:GRCm38:5:32864155:32868022:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000202927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLG >ENSMUSP00000113980.1 pep:known chromosome:GRCm38:5:32864587:32991661:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000120902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLGDIVEIAHPNDEYSPLLLQVKSLKE DLQKETISVDQTVTQVFRLRPYQDVYVNVVDPKDVTLDLVELTFKDQYIGRGDMWRLKKS LVSTCAYITQKVEFAGIRAQAGELWVKNEKVMCGYISEETRVVFRSTSAMVYIFIQMSCE MWDFDIYGDLYFEKAVNGFLADLFTKWKEKNCSHEVTVVLFSRTFYDAKSIDEFPEINRA SIQEDHKGRFYEDFYKVVVQNERREEWTSLLVTIKKLFIQYPVLVRLEQAGGFPQGDNST SAQGNYLEAINLSFNVFDKHYINRNFDRTGQMSVVITPGVGVFEVDRLLMILTKQRMIDN GIGVDLVCMGEQPLHAVPLFKLHNRSVPRDSRLGDDYNIPHWINHSFYTSKSQLFCNSFT PRIKLAGKKSASEKTKNGRDTSLGTPKESENTLPIQVDYDAYDAQVFRLPGPSRAQRLAT CRSVREQENHSRKSASSCDVSSSPSLPSRALPTEEVRSQASDDSSLGKSTNILMIPNPHL HQYEVSSSLGYTSTRDVLENMIEPPQRDSSAPGRFHVGSAESMLHVRPGGYTPQRALINP FAPSRMPMKLTSNRRRWMHTFPVGPSGEAIQIHHQTRQNMAELQGSRQRDPTHSSAELLE LAYHEAAGRHSTSRQPGDSMSLNFSGTEELSVSLLSNSSTGVNPRTQNKDSLEDSVSTSP DPMPGFCCTVGVDWKSLTTPACLPLTTDYFPDRQGLQNDYTEGCYDLLPEADMDRRDEEG VQMTAQQVFEEFICQRLMQGYQIIVQPKTQKPNTTVPPPLSSSPLYSRGLVSRNRPEEEG QYWLSMGRTFHKVTLKDKMITVTRYLPKYPYESAQIHYTYSLCPSHSDSEFVSCWVDFCH ERLEEYKWNYLDQYICSAGSEDFSLIESLKFWRTRFLLLPACVTATKRITEGEVHCDIYG DKPRADEDEWQLLDGFIRFVEGLNRIRRRHRSDRMIRKGTAMKGLQMTGPISAHSLEAAG PPVGKKGTSALSALLEMEASQKSLGEQQTTVHGKSSTQPAENSSVAMTPTYVDSPRKASV DQTAPLVLDSTSLGVSTGQPMDRGNNQTFGNSQNIEQAFPSANSGDYSSQQHVASSLTSS STLVEILEAMKHPSTGVQLLSEQKGLSPCCFISAEVVHWLMNNVEGVQTQAMGIDIMQKM LEEQLITHASGEAWRTFIYGFYFYKIVMDKEPERVAMQQPSAPWYTAGADDFASFQRKWF EVAFVAEELVHSEIPAFLLPWLPSRPASYASRHSSFSRSFGGRSQAAALLAATVPEQRTV TLDVDVNNRTDRLEWCSCYYHGNFSLNAAFEIKLHWMAVTATVLFEMVQGWHRKATSCGF LLVPVLEGPFALPSYLYGDPLRAQLFIPLNLSCLLKEGSEHLFDSFEPETYWDRMHLFQE AIAHRFGFVQDKYSVSAFNFPAENKPQYIHVTGTVFLQLPYSKRKFSGQQRRRRNSTSST NQNMFCEERVGYNWAYNTMLTKTWRSSATGDEKFADRLLKDFTDFCINRDNRLVTFWTNC LEKMHASAP >ENSMUSP00000144004.1 pep:known chromosome:GRCm38:5:32904287:32917988:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000201836.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] XLVCMGEQPLHAVPLFKLHNRSVPRDSRLGDDYNIPHWINHRWV >ENSMUSP00000120120.1 pep:known chromosome:GRCm38:5:32924206:32991654:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000124780.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] APGRFHVGSAESMLHVRPGGYTPQRALINPFAPSRMPMKLTSNRRRWMHTFPVGVNPRTQ NKDSLEDSVSTSPDPILTLSAPPVVPGFCCTVGVDWKSLTTPACLPLTTDYFPDRQGLQN DYTEGCYDLLPEADMDRRDEEGVQMTAQQVFEEFICQRLMQGYQIIVQPKTQKPNTTVPP PLSSSPLYSRGLVSRNRPEEEGQYWLSMGRTFHKVTLKDKMITVTRYLPKYPYESAQIHY TYSLCPSHSDSEFVSCWVDFCHERLEEYKWNYLDQYICSAGSEDFSLIESLKFWRTRFLL LPACVTATKRITEGEVHCDIYGDKPRADEDEWQLLDGFIRFVEGLNRIRRRHRSDRMIRK GTAMKGLQMTGPISAHSLEAAGPPVGKKGTSALSALLEMEASQKSLGEQQTTVHGKSSTQ PAENSSVAMTPTYVDSPRKDGAFFMEFVRSPRTASSAFYPQASVDQTAPLVLDSTSLGVS TGQPMDRGNNQTFGNSQNIEQAFPSANSGDYSSQQHVASSLTSSSTLVEILEAMKHPSTG VQLLSEQKGLSPCCFISAEVVHWLMNNVEGVQTQAMGIDIMQWLCSSPLPPGTQQERMTL PASNASGLRWPLWQKSLCTLRFLPSSCPGYLAGQPLMQADTAPLAEVLEDGARQLRC >ENSMUSP00000121089.1 pep:known chromosome:GRCm38:5:32924304:32991661:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000137169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] XSRMPMKLTSNRRRWMHTFPVGPSGEAIQIHHQTRQNMAELQGSRQRDPTHSSAELLELA YHEAAGRHSTSRQPGDSMSLNFSGTEELSVSLLSNSSTGVNPRTQNKDSLEDSVSTSPDP ILTLSAPPVVPGFCCTVGVDWKSLTTPACLPLTTDYFPDRQGLQNDYTEGCYDLLPEADM DRRDEEGVQMTAQQVFEEFICQRLMQGYQIIVQPKTQKPNTTVPPPLSSSPLYSRGLVSR NRPEEEGQYWLSMGRTFHKVTLKDKMITVTRYLPKYPYESAQIHYTYSLCPSHSDSEFVS CWVDFCHERLEEYKWNYLDQYICSAGSEDFSLIESLKFWRTRFLLLPACVTATKRITEGE VHCDIYGDKPRADEDEWQLLDGFIRFVEGLNRIRRRHRSDRMIRKGTAMKGLQMTGPISA HSLEAAGPPVGKKGTSALSALLEMEASQKSLGEQQTTVHGKSSTQPAENSSVAMTPTYVD SPRKASVDQTAPLVLDSTSLGVSTGQPMDRGNNQTFGNSQNIEQAFPSANSGDYSSQQHV ASSLTSSSTLVEILEAMKHPSTGVQLLSEQKGLSPCCFISAEVVHWLMNNVEGVQTQAMG IDIMQKMLEEQLITHASGEAWRTFIYGFYFYKIVMDKEPERVAMQQPSAPWYTAGADDFA SFQRKWFEVAFVAEELVHSEIPAFLLPWLPSRPASYASRHSSFSRSFGGRSQAAALLAAT VPEQRTVTLDVDVNNRTDRLEWCSCYYHGNFSLNAAFEIKLHWMAVTATVLFEMVQGWHR KATSCGFLLVPVLEGPFALPSYLYGDPLRAQLFIPLNLSCLLKEGSEHLFDSFEPETYWD RMHLFQEAIAHRFGFVQDKYSVSAFNFPAENKPQYIHVTGTVFLQLPYSKRKFSGQQRRR RNSTSSTNQNMFCEERVGYNWAYNTMLTKTWRSSATGDEKFADRLLKDFTDFCINRDNRL VTFWTNCLEKMHASAP >ENSMUSP00000118681.1 pep:known chromosome:GRCm38:5:32944113:32964723:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000130461.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] CSAGSEDFSLIESLKFWRTRFLLLPACVTATKRITEGEVHCDIYGDKPRADEDEWQLLDG FIRFVEGLNRIRRRHRSDRMIRKGTAMKGLQMTGPISAHSLEAAGPPVGKKGTSALSALL EMEASQNLGEQQTTVHGKSSTQPAENSSVAMTPTYVDSPRKGPVGTEDGRNGKTLCASVD QTAPLVLDSTSLGVSTGQPMDRGNNQT >ENSMUSP00000052807.6 pep:known chromosome:GRCm38:5:32863721:32956300:1 gene:ENSMUSG00000037426.17 transcript:ENSMUST00000049780.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc5 description:DEP domain containing 5 [Source:MGI Symbol;Acc:MGI:2141101] MRTTKVYKLVIHKKGFGGSDDELVVNPKVFPHIKLGDIVEIAHPNDEYSPLLLQVKSLKE DLQKETISVDQTVTQVFRLRPYQDVYVNVVDPKDVTLDLVELTFKDQYIGRGDMWRLKKS LVSTCAYITQKVEFAGIRAQAGELWVKNEKVMCGYISEETRVVFRSTSAMVYIFIQMSCE MWDFDIYGDLYFEKAVNGFLADLFTKWKEKNCSHEVTVVLFSRTFYDAKSIDEFPEINRA SIQEDHKGRFYEDFYKVVVQNERREEWTSLLVTIKKLFIQYPVLVRLEQAGGFPQGDNST SAQGNYLEAINLSFNVFDKHYINRNFDRTGQMSVVITPGVGVFEVDRLLMILTKQRMIDN GIGVDLVCMGEQPLHAVPLFKLHNRSVPRDSRLGDDYNIPHWINHSFYTSKSQLFCNSFT PRIKLAGKKSASEKTKNGRDTSLGTPKESENTLPIQVDYDAYDAQVFRLPGPSRAQRLAT CRSVREQENHSRKSASSCDVSSSPSLPSRALPTEEVRSQASDDSSLGKSTNILMIPNPHL HQYEVSSSLGYTSTRDVLENMIEPPQRDSSAPGRFHVGSAESMLHVRPGGYTPQRALINP FAPSRMPMKLTSNRRRWMHTFPVGPSGEAIQIHHQTRQNMAELQGSRQRDPTHSSAELLE LAYHEAAGRHSTSRQPGDSMSLNFSGTEELSVSLLSNSSTGVNPRTQNKDSLEDSVSTSP DPMPGFCCTVGVDWKSLTTPACLPLTTDYFPDRQGLQNDYTEGCYDLLPEADMDRRDEEG VQMTAQQVFEEFICQRLMQGYQIIVQPKTQKPNTTVPPPLSSSPLYSRGLVSRNRPEEEG QYWLSMGRTFHKVTLKDKMITVTRYLPKYPYESAQIHYTYSLCPSHSDSEFVSCWVDFCH ERLEEYKWNYLDQYICSAGSEDFSLIESLKFWRTRFLLLPACVTATKRITEGEVHCDIYG DKPRADEDEWQLLDGFIRFVEGLNRIRRRHRSDRMIRKGTAMKGLQMTGPISAHSLEAAG PPVGKKGTSALSALLEMEASQKSLGEQQTTVHGKSSTQPAENSSVAMTPTYVDSPRKVQH VTRTD >ENSMUSP00000120747.1 pep:known chromosome:GRCm38:11:23306916:23489744:1 gene:ENSMUSG00000056342.16 transcript:ENSMUST00000137823.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp34 description:ubiquitin specific peptidase 34 [Source:MGI Symbol;Acc:MGI:109473] XRSGSVSAILSGGGGGGGRTMCENCADLVEVLNEISDIEGGDGLQLRKEHTLKIFAYINS WTQRQCLCCFKEYKHLEIFNQVVCALINLVIAQVQVLRDQLCKHCTTINIDSTWQDESNQ AEEPLSIDRECNEGNTERQKSIEKKSNSTRTCNLTEEESSKSSDPFSLWNTDEKEKLLLC VAKIFQIQFPLYTAYKHNTHPTIEDISTQESNILGAFCDMNDVEVPLHLLRYVCLFCGKN GLSLMKDCFEYGTPETLPFLIAHAFITVVSNIRIWLHIPAVMQHIIPFRTYVIRYLCKLS DQELRQSAARNMADLMWSTVKEPLDTTLCFDKESLDLAFKYFMSPTLTMRLAGLSQITNQ LHTFNDVCNNESLVSDTETSIAKELADWLISNNVVEHIFGPNLHIEIIKQCQVILNFLAA EGRLSTQHIDCIWAAAQLKHCSRYIHDLFPSLIKNLDPVPLRHLLNLVSALEPGVHTEQT LYLASMLIKALWNNALAAKAQLSKQSSFASLLNTNMPIGNKKEEEELRRAAPSPWSPAAS PQSSDNSDTHQSGASDIEMDEQLINRNKHVQQRLSDTEESMQGSSDETANSGEDGSSGPG SSSGHSDGSSNEVNSSHASQSAGSPGSEVQSEDIADIEALKEEEEEEEEEEEEEEEEDDE EEEDEEEDDDDDDDHGHNPAKNTCGTELRNRKLENPAGICLGESQGTSERNGTNSGTGKD LVFNTEPLPSVDNRIRMLDACAHSEDPEHGISGEVSSAHLAQGSQEACITRSGDFLGETI GNELFNCRQFIGPQHHHHHHHHHHHHHHHHHHHHHHHDGHMVDDMLSADDVSCSSSQVSA KSEKNMADFDGEESGCEEELVQINSHAELTSHLQQHLPNLASIYHEHLSQGPAVHKHQFS SNAVTDINLDNVCKKGNTLLWDIVQDDDAINLSEGLINEAEKLLCSLVCWFTDRQIRMRF IEGCLENLGNNRSVVISLRLLPKLFGTFQQFGSSYDTHWITMWAEKELNMMKLFFDNLVY YIQGIREGRQKHALYSHSAEVQVRLQFLTCVFSTLGSPDHFRLSLEQVDILWHCLVEDSE CYDDALHWFLNQVRSKDQHAMGMETYKHLFLEKMPQLKPETISMTGLNLFQHLCNLARLA TSAYDGGSNSELCGMDQFWGIALRAQSGDVSRAAIQYINSYYINGKTGLEKEQEFISKCM ESLMIASSSLEQESHSSLTVIERGLLMLKTHLEAFRRRFAYHLRQWQIEGTGISSHLKAL SDKQSLPLRVVCQPAGLPDKMTIEMYPSDQVADLRAEVTHWYENLQKEQINQQAQLQEFG QSSRKGEFPGGLMGPVRMISSGHELTTDYDEKALHELGFKDMQMVFVSLGAPRRERKGEG VQLPASCLPPPQKDNIPMLLLLQEPHLTTLFDLLEMLASFKPPSGKVAVDDSESLKCEEL HLHAENLSRRVWELLMLLPTCPNMLTAFQNVSDEQSNDGLNWKELLKIKSAHKLLYALEI IEALGKPNRRIRRESTGSYSDLYPDSDDSSEDQVENSKNSWTCKFVAAGGLQQLLEIFNS AILEPKEQESWTVWQLDCLACLLKLICQFAVDPSDLDLAYHDVFAWSGIAESHRKRTWPG KSRKAAGDHAKSLHIPRLTEVFLVLVQGTSLIQRLMSVAYTYDNLAPRVLKAQSDHRSRH EVSHYSMWLLVSWAHCCSLVKSSLADSDHLQDWLKQLTLLIPETAVRHESCNGLYKLSLS GLDGGDSIHRSFLLLAASTLLKFLPDAQALKPPRIDDYEEEPLLKPGCKEYFWLLCKLVD NIHIKDASQTTLLDLDALARHLADCIRSREILDHLDGSIEDDGLSGLLRLATSVIKHKPP FKFSREGQEFLRDIFNLLFLLPSLKDRRQPKCKSHSCRAAAYDLLVEMVKGSVENYRLIH NWVMAQHMQSHAPYKWDYWPHEDVRAECRFVGLTNLGATCYLASTIQQLYMIPEARQAVF TAKYSEDMKHKTTLLELQKMFTYLMESECKAYNPRPFCKTYTMDKQPLNTGEQKDMTEFF TDLITKVEEMSPELKNTVKSLFGGVITNNVVSLDCEHVSQTAEEFYTVRCQVADMKNIYE SLDEVTIKDTLEGDNMYTCSHCGKKVRAEKRACFKKLPRILSFNTMRYTFNMVTMMKEKV NTHFSFPLRLDMTPYTEDFLMGKSDRKEGFKDVGDRSKDTESYEYDLIGVTVHTGTADGG HYYSFIRDIVNPHAYKNNKWYLFNDAEVKPFDSAQLASECFGGEMTTKTYDSVTDKFMDF SFEKTHSAYMLFYKRMEPEEENGREYKFDVSSELLEWIWHDNMQFLQDKNIFEHTYFGFM WQLCSCIPSTLPDPKAVSLMTAKLSTSFVLETFIHSKEKPTMLQWIELLTKQFNNSQAAC EWFLDRMADDDWWPMQILIKCPNQIVRQMFQRLCIHVIQRLRPVHAHLYLQPGMEDGSDD MDASVEDIGGRSCVTRFVRTLLLIMEHGVKPHSKHLTEYFAFLYEFAKMGEEESQFLLSL QAISTMVHFYMGTKGPENPQVEVLSEEEGEEEEEEEDILSLAEEKYRPAALEKMIALVAL LVEQSRSERHLTLSQTDMAALTGGKGFPFLFQHIRDGINIRQTCNLIFSLCRYNNRLAEH IVSMLFTSIAKLTPEAANPFFKLLTMLMEFAGGPPGMPPFASYILQRIWEVIEYNPSQCL DWLAVQTPRNKLAHSWVLQNMENWVERFLLAHNYPRVRTSAAYLLVSLIPSNSFRQMFRS TRSLHIPTRDLPLSPDTTVVLHQVYNVLLGLLSRAKLYVDAAVHGTTKLVPYLSFMTYCL ISKTEKLMFSTYFMDLWNLFQPKLSEPAIATNHNKQALLSFWYNVCADCPENIRLIVQNP VVTKNIAFNYILADHDDQDVVLFNRGMLPAYYGILRLCCEQSPAFTRQLASHQNIQWAFK NLTPHASQYPGAVEELFNLMQLFIAQRPDMREEELEDIKQFKKTTISCYLRCLDGRSCWT TLISAFRILLESDEDRLLVVFNRGLILMTESFNTLHMMYHEATACHVTGDLVELLSIFLS VLKSTRPYLQRKDVKQALIQWQERIEFAHKLLTLLNSYSPPELRNACIDVLKELVLLSPH DFLHTLVPFLQHNHCTYHHSNIPMSLGPYFPCRENIKLIGGKSNIRPPRPELNMCLLPTM VETSKGKDDVYDRMLLDYFFSYHQFIHLLCRVAINCEKFTETLVKLSVLVAYEGLPLHLA LFPKLWTELCQTQSAMSKNCIKLLCEDPVFAEYIKCILMDERTFLNNNIVYTFMTHFLLK VQSQVFSEANCASLISTLITNLINQYQNLQSDFTNRVEISKASAALNGDLRALALLLSVH TPKQLNPALIPTLQELLNKCRTCLQQRNSLQEQEAKERKTKDDEGATPVKRRRVSSDEEH TVDSCIGDIKTETREVLTPTSTSDNETRDSSIIDPGTEQDLPSPENSSVKEYRMEGPSSF SEDGSHIRSQHAEEQSNNGRFDDCKEFKDHCSKDTTLAEDESEFPSTSISAVLSDLADLR SCDGQALSSQDPEAAVSLSCGHSRGLISHMQQHDILDTLCRTIESTIHVVTRISGKGNQA AS >ENSMUSP00000121426.1 pep:known chromosome:GRCm38:11:23470289:23488368:1 gene:ENSMUSG00000056342.16 transcript:ENSMUST00000129368.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp34 description:ubiquitin specific peptidase 34 [Source:MGI Symbol;Acc:MGI:109473] SFNTLHMMYHEATACHVTGDLVELLSIFLSVLKSTRPYLQRKDVKQALIQWQERIEFAHK LLTLLNSYSPPELRNACIDVLKELVLLSPHDFLHTLVPFLQHNHCTYHHSNIPSVLVAYE GLPLHLALFPKLWTELCQTQSAMSKNCIKLLCEDPVFAEYIKCILMDERTFLNNNIVYTF MTHFLLKVQSQVFSEANCASLISTLITNLINQYQNLQSDFTNRVEISKASAALNGDLRAL ALLLSVHTPKQLNPALIPTLQELLNKCRTCLQQRNSLQEQEAKERKTK >ENSMUSP00000115168.1 pep:known chromosome:GRCm38:11:23420055:23456686:1 gene:ENSMUSG00000056342.16 transcript:ENSMUST00000130131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp34 description:ubiquitin specific peptidase 34 [Source:MGI Symbol;Acc:MGI:109473] EEPLLKPGCKEYFWLLCKLVDNIHIKDASQTTLLDLDALARHLADCIRSREILDHLDGSI EDDGLSGLLRLATSVIKHKPPFKFSREGQEFLRDIFNLLFLLPSLKDRRQPKCKSHSCRA AAYDLLVEMVKGSVENYRLIHNWVMAQHMQSHAPYKWDYWPHEDVRAECRFVGLTNLGAT CYLASTIQQLYMIPEARQAVFTAKYSEDMKHKTTLLELQKMFTYLMESECKAYNPRPFCK TYTMDKQPLNTGEQKDMTEFFTDLITKVEEMSPELKNTVKSLFGGVITNNVVSLDCEHVS QTAEEFYTVRCQVADMKNIYESLDEVTIKDTLEGDNMYTCSHCGKKVRAEKRACFKKLPR ILSFNTMRYTFNMVTMMKEKVNTHFSFPLRLDMTPYTEDFLMGKSDRKEGFKDVGDRSKD TESYEYDLIGVTVHTGTADGGHYYSFIRDIVNPHAYKNNKWYLFNDAEVKPFDSAQLASE CFGGEMTTKTYDSVTDKFMDFSFEKTHSAYMLFYKRMEPEEENGREYKFDVSSELLEWIW HDNMQFLQDKNIFEHTYFGFMWQLCSCIPSTLPDPKAVSLMTAKLSTSFVLETFIHSKEK PTMLQWIELLTKQFNNSQAACEWFLDRMADDDWWPMQILIKCPNQIVRQMFQRLCIHVIQ RLRPVHAHLYLQPGMEDGSDDMDASVEDIGGRSCVTRFVRTLLLIMEHGVKPHSKHLTEY FAFLYEFAKMGEEESQFLLSLQAISTMVHFYMGTKGPENPQVEVLSEEEGEEEEEEEDIL SLAEEKYRPAALEKMIALVALLVEQSRSER >ENSMUSP00000137430.1 pep:known chromosome:GRCm38:11:23306895:23490560:1 gene:ENSMUSG00000056342.16 transcript:ENSMUST00000180046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp34 description:ubiquitin specific peptidase 34 [Source:MGI Symbol;Acc:MGI:109473] MCENCADLVEVLNEISDIEGGDGLQLRKEHTLKIFAYINSWTQRQCLCCFKEYKHLEIFN QVVCALINLVIAQVQVLRDQLCKHCTTINIDSTWQDESNQAEEPLSIDRECNEGNTERQK SIEKKSNSTRTCNLTEEESSKSSDPFSLWNTDEKEKLLLCVAKIFQIQFPLYTAYKHNTH PTIEDISTQESNILGAFCDMNDVEVPLHLLRYVCLFCGKNGLSLMKDCFEYGTPETLPFL IAHAFITVVSNIRIWLHIPAVMQHIIPFRTYVIRYLCKLSDQELRQSAARNMADLMWSTV KEPLDTTLCFDKESLDLAFKYFMSPTLTMRLAGLSQITNQLHTFNDVCNNESLVSDTETS IAKELADWLISNNVVEHIFGPNLHIEIIKQCQVILNFLAAEGRLSTQHIDCIWAAAQLKH CSRYIHDLFPSLIKNLDPVPLRHLLNLVSALEPGVHTEQTLYLASMLIKALWNNALAAKA QLSKQSSFASLLNTNMPIGNKKEEEELRRAAPSPWSPAASPQSSDNSDTHQSGASDIEMD EQLINRNKHVQQRLSDTEESMQGSSDETANSGEDGSSGPGSSSGHSDGSSNEVNSSHASQ SAGSPGSEVQSEDIADIEALKEEEEEEEEEEEEEEEEDDEEEEDEEEDDDDDDDHGHNPA KNTCGTELRNRKLENPAGICLGESQGTSERNGTNSGTGKDLVFNTEPLPSVDNRIRMLDA CAHSEDPEHGISGEVSSAHLAQGSQEACITRSGDFLGETIGNELFNCRQFIGPQHHHHHH HHHHHHHHHHHHHHHHHDGHMVDDMLSADDVSCSSSQVSAKSEKNMADFDGEESGCEEEL VQINSHAELTSHLQQHLPNLASIYHEHLSQGPAVHKHQFSSNAVTDINLDNVCKKGNTLL WDIVQDDDAINLSEGLINEAEKLLCSLVCWFTDRQIRMRFIEGCLENLGNNRSVVISLRL LPKLFGTFQQFGSSYDTHWITMWAEKELNMMKLFFDNLVYYIQGIREGRQKHALYSHSAE VQVRLQFLTCVFSTLGSPDHFRLSLEQVDILWHCLVEDSECYDDALHWFLNQVRSKDQHA MGMETYKHLFLEKMPQLKPETISMTGLNLFQHLCNLARLATSAYDGGSNSELCGMDQFWG IALRAQSGDVSRAAIQYINSYYINGKTGLEKEQEFISKCMESLMIASSSLEQESHSSLTV IERGLLMLKTHLEAFRRRFAYHLRQWQIEGTGISSHLKALSDKQSLPLRVVCQPAGLPDK MTIEMYPSDQVADLRAEVTHWYENLQKEQINQQAQLQEFGQSSRKGEFPGGLMGPVRMIS SGHELTTDYDEKALHELGFKDMQMVFVSLGAPRRERKGEGVQLPASCLPPPQKDNIPMLL LLQEPHLTTLFDLLEMLASFKPPSGKVAVDDSESLKCEELHLHAENLSRRVWELLMLLPT CPNMLTAFQNVSDEQSNDGLNWKELLKIKSAHKLLYALEIIEALGKPNRRIRRESTGSYS DLYPDSDDSSEDQVENSKNSWTCKFVAAGGLQQLLEIFNSAILEPKEQESWTVWQLDCLA CLLKLICQFAVDPSDLDLAYHDVFAWSGIAESHRKRTWPGKSRKAAGDHAKSLHIPRLTE VFLVLVQGTSLIQRLMSVAYTYDNLAPRVLKAQSDHRSRHEVSHYSMWLLVSWAHCCSLV KSSLADSDHLQDWLKQLTLLIPETAVRHESCNGLYKLSLSGLDGGDSIHRSFLLLAASTL LKFLPDAQALKPPRIDDYEEEPLLKPGCKEYFWLLCKLVDNIHIKDASQTTLLDLDALAR HLADCIRSREILDHLDGSIEDDGLSGLLRLATSVIKHKPPFKFSREGQEFLRDIFNLLFL LPSLKDRRQPKCKSHSCRAAAYDLLVEMVKGSVENYRLIHNWVMAQHMQSHAPYKWDYWP HEDVRAECRFVGLTNLGATCYLASTIQQLYMIPEARQAVFTAKYSEDMKHKTTLLELQKM FTYLMESECKAYNPRPFCKTYTMDKQPLNTGEQKDMTEFFTDLITKVEEMSPELKNTVKS LFGGVITNNVVSLDCEHVSQTAEEFYTVRCQVADMKNIYESLDEVTIKDTLEGDNMYTCS HCGKKVRAEKRACFKKLPRILSFNTMRYTFNMVTMMKEKVNTHFSFPLRLDMTPYTEDFL MGKSDRKEGFKDVGDRSKDTESYEYDLIGVTVHTGTADGGHYYSFIRDIVNPHAYKNNKW YLFNDAEVKPFDSAQLASECFGGEMTTKTYDSVTDKFMDFSFEKTHSAYMLFYKRMEPEE ENGREYKFDVSSELLEWIWHDNMQFLQDKNIFEHTYFGFMWQLCSCIPSTLPDPKAVSLM TAKLSTSFVLETFIHSKEKPTMLQWIELLTKQFNNSQAACEWFLDRMADDDWWPMQILIK CPNQIVRQMFQRLCIHVIQRLRPVHAHLYLQPGMEDGSDDMDASVEDIGGRSCVTRFVRT LLLIMEHGVKPHSKHLTEYFAFLYEFAKMGEEESQFLLSLQAISTMVHFYMGTKGPENPQ VEVLSEEEGEEEEEEEDILSLAEEKYRPAALEKMIALVALLVEQSRSERHLTLSQTDMAA LTGGKGFPFLFQHIRDGINIRQTCNLIFSLCRYNNRLAEHIVSMLFTSIAKLTPEAANPF FKLLTMLMEFAGGPPGMPPFASYILQRIWEVIEYNPSQCLDWLAVQTPRNKLAHSWVLQN MENWVERFLLAHNYPRVRTSAAYLLVSLIPSNSFRQMFRSTRSLHIPTRDLPLSPDTTVV LHQVYNVLLGLLSRAKLYVDAAVHGTTKLVPYLSFMTYCLISKTEKLMFSTYFMDLWNLF QPKLSEPAIATNHNKQALLSFWYNVCADCPENIRLIVQNPVVTKNIAFNYILADHDDQDV VLFNRGMLPAYYGILRLCCEQSPAFTRQLASHQNIQWAFKNLTPHASQYPGAVEELFNLM QLFIAQRPDMREEELEDIKQFKKTTISCYLRCLDGRSCWTTLISAFRILLESDEDRLLVV FNRGLILMTESFNTLHMMYHEATACHVTGDLVELLSIFLSVLKSTRPYLQRKDVKQALIQ WQERIEFAHKLLTLLNSYSPPELRNACIDVLKELVLLSPHDFLHTLVPFLQHNHCTYHHS NIPMSLGPYFPCRENIKLIGGKSNIRPPRPELNMCLLPTMVETSKGKDDVYDRMLLDYFF SYHQFIHLLCRVAINCEKFTETLVKLSVLVAYEGLPLHLALFPKLWTELCQTQSAMSKNC IKLLCEDPVFAEYIKCILMDERTFLNNNIVYTFMTHFLLKVQSQVFSEANCASLISTLIT NLINQYQNLQSDFTNRVEISKASAALNGDLRALALLLSVHTPKQLNPALIPTLQELLNKC RTCLQQRNSLQEQEAKERKTKDDEGATPVKRRRVSSDEEHTVDSCIGDIKTETREVLTPT STSDNETRDSSIIDPGTEQDLPSPENSSVKEYRMEGPSSFSEDGSHIRSQHAEEQSNNGR FDDCKEFKDHCSKDTTLAEDESEFPSTSISAVLSDLADLRSCDGQALSSQDPEAAVSLSC GHSRGLISHMQQHDILDTLCRTIESTIHVVTRISGKGNQAAS >ENSMUSP00000104093.1 pep:known chromosome:GRCm38:7:19577287:19580656:1 gene:ENSMUSG00000011267.8 transcript:ENSMUST00000108453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp296 description:zinc finger protein 296 [Source:MGI Symbol;Acc:MGI:1926956] MSRRKAGRVPRRVDPDTDTDIEMPDLVMDVKPDLDLRSLAQGPWIARDMPISDVKRQLQT ASRPLGAPSTCAPRMPLSSKSSDRQPWTDKHPDLLTCGRCGKIFPLGAIIAFMDHKKQGC QLLQVSDPISESKELKALSCLQCGRQYTSPWKLLCHAQWDHGLCIYQTQHLDTPEAPLLG LAEVAAAMSAVAVVAPVESKPPPVSSAARRSPTCDVCKKTLSSFSNLKVHMRSHTGERPY SCDQCSYACAQSSKLNRHKKTHRQLAPGSPSTSASSRGVSPAAPPEPAAYAAAPASTLPS QTVEKAGAAATAGVQEPGAPGSGAQGGPGFVGWGAPAKVERTDPVKIEKTAPRKSHGPGG KCEFCGKSFTNSSNLTVHRRSHTGERPYTCDQCPYACAQSSKLNRHRRTHGLGTGKTVKC PHCLVPFGLQATLDKHLRQKHPEMA >ENSMUSP00000125752.1 pep:known chromosome:GRCm38:3:75516490:75556856:-1 gene:ENSMUSG00000027835.11 transcript:ENSMUST00000161137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd10 description:programmed cell death 10 [Source:MGI Symbol;Acc:MGI:1928396] MRMTMEEMKNEAETTSMVSMPLYAVMYPVFNELERVNLSAAQTLRAAFIKAEKENPGLTQ DIIMKILEKKSVEVNFTESLLRMAADDVEEYMIERPEPEFQDLNEKARALKQILSKIPDE INDRVRFLQTIKDIASAIKELLDTVNNVFKKYQYQNRRALEHQKKEFVKYSKSFSDTLKT YFKDGKAINVFISANRLIHQTNLILQTFKTVA >ENSMUSP00000029424.6 pep:known chromosome:GRCm38:3:75517461:75556811:-1 gene:ENSMUSG00000027835.11 transcript:ENSMUST00000029424.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd10 description:programmed cell death 10 [Source:MGI Symbol;Acc:MGI:1928396] MKILEKKSVEVNFTESLLRMAADDVEEYMIERPEPEFQDLNEKARALKQILSKIPDEIND RVRFLQTIKDIASAIKELLDTVNNVFKKYQYQNRRALEHQKKEFVKYSKSFSDTLKTYFK DGKAINVFISANRLIHQTNLILQTFKTVA >ENSMUSP00000124421.1 pep:known chromosome:GRCm38:3:75528879:75556759:-1 gene:ENSMUSG00000027835.11 transcript:ENSMUST00000162138.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd10 description:programmed cell death 10 [Source:MGI Symbol;Acc:MGI:1928396] MRMTMEEMKNEAETTSMVSMPLYAVMYPVFNELERVNLSAAQTLRAAFIKAEKENPGLTQ DIIMKI >ENSMUSP00000020634.7 pep:known chromosome:GRCm38:11:49846753:49886421:1 gene:ENSMUSG00000020366.18 transcript:ENSMUST00000020634.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk9 description:mitogen-activated protein kinase 9 [Source:MGI Symbol;Acc:MGI:1346862] MSDSKSDGQFYSVQVADSTFTVLKRYQQLKPIGSGAQGIVCAAFDTVLGINVAVKKLSRP FQNQTHAKRAYRELVLLKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIH MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTACTNF MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMGELVKGCVIFQGTDHIDQWNKVIEQ LGTPSAEFMKKLQPTVRNYVENRPKYPGIKFEELFPDWIFPSESERDKIKTSQARDLLSK MLVIDPDKRISVDEALRHPYITVWYDPAEAEAPPPQIYDAQLEEREHAIEEWKELIYKEV MDWEERSKNGVKDQPSDAAVSSKATPSQSSSINDISSMSTEHTLASDTDSSLDASTGPLE GCR >ENSMUSP00000099839.1 pep:known chromosome:GRCm38:11:49854213:49886411:1 gene:ENSMUSG00000020366.18 transcript:ENSMUST00000102778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk9 description:mitogen-activated protein kinase 9 [Source:MGI Symbol;Acc:MGI:1346862] MSDSKSDGQFYSVQVADSTFTVLKRYQQLKPIGSGAQGIVCAAFDTVLGINVAVKKLSRP FQNQTHAKRAYRELVLLKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIH MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTACTNF MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMAEMVLHKVLFPGRDYIDQWNKVIEQ LGTPSAEFMKKLQPTVRNYVENRPKYPGIKFEELFPDWIFPSESERDKIKTSQARDLLSK MLVIDPDKRISVDEALRHPYITVWYDPAEAEAPPPQIYDAQLEEREHAIEEWKELIYKEV MDWEERSKNGVKDQPSAQMQQ >ENSMUSP00000104807.1 pep:known chromosome:GRCm38:11:49854257:49883310:1 gene:ENSMUSG00000020366.18 transcript:ENSMUST00000109178.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk9 description:mitogen-activated protein kinase 9 [Source:MGI Symbol;Acc:MGI:1346862] MSDSKSDGQFYSVQVADSTFTVLKRYQQLKPIGSGAQGIVCAAFDTVLGINVAVKKLSRP FQNQTHAKRAYRELVLLKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIH MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTACTNF MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMGELVKGCVIFQGTDHIDQWNKVIEQ LGTPSAEFMKKLQPTVRNYVENRPKYPGIKFEELFPDWIFPSESERDKIKTSQARDLLSK MLVIDPDKRISVDEALRHPYITVWYDPAEAEAPPPQIYDAQLEEREHAIEEWKELIYKEV MDWEERSKNGVKDQPSAQMQQ >ENSMUSP00000042744.5 pep:known chromosome:GRCm38:11:49854257:49883310:1 gene:ENSMUSG00000020366.18 transcript:ENSMUST00000043321.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk9 description:mitogen-activated protein kinase 9 [Source:MGI Symbol;Acc:MGI:1346862] MSDSKSDGQFYSVQVADSTFTVLKRYQQLKPIGSGAQGIVCAAFDTVLGINVAVKKLSRP FQNQTHAKRAYRELVLLKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIH MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTACTNF MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMAEMVLHKVLFPGRDYIDQWNKVIEQ LGTPSAEFMKKLQPTVRNYVENRPKYPGIKFEELFPDWIFPSESERDKIKTSQARDLLSK MLVIDPDKRISVDEALRHPYITVWYDPAEAEAPPPQIYDAQLEEREHAIEEWKELIYKEV MDWEERSKNGVKDQPSDAAVSSKATPSQSSSINDISSMSTEHTLASDTDSSLDASTGPLE GCR >ENSMUSP00000104808.2 pep:known chromosome:GRCm38:11:49846751:49886421:1 gene:ENSMUSG00000020366.18 transcript:ENSMUST00000109179.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk9 description:mitogen-activated protein kinase 9 [Source:MGI Symbol;Acc:MGI:1346862] MSDSKSDGQFYSVQVADSTFTVLKRYQQLKPIGSGAQGIVCAAFDTVLGINVAVKKLSRP FQNQTHAKRAYRELVLLKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIH MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTACTNF MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMAEMVLHKVLFPGRDYIDQWNKVIEQ LGTPSAEFMKKLQPTVRNYVENRPKYPGIKFEELFPDWIFPSESERDKIKTSQARDLLSK MLVIDPDKRISVDEALRHPYITVWYDPAEAEAPPPQIYDAQLEEREHAIEEWKELIYKEV MDWEERSKNGVKDQPSAQMQQ >ENSMUSP00000136977.1 pep:known chromosome:GRCm38:11:49846751:49886421:1 gene:ENSMUSG00000020366.18 transcript:ENSMUST00000178543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk9 description:mitogen-activated protein kinase 9 [Source:MGI Symbol;Acc:MGI:1346862] MSDSKSDGQFYSVQVADSTFTVLKRYQQLKPIGSGAQGIVCAAFDTVLGINVAVKKLSRP FQNQTHAKRAYRELVLLKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIH MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTACTNF MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMAEMVLHKVLFPGRDYIDQWNKVIEQ LGTPSAEFMKKLQPTVRNYVENRPKYPGIKFEELFPDWIFPSESERDKIKTSQARDLLSK MLVIDPDKRISVDEALRHPYITVWYDPAEAEAPPPQIYDAQLEEREHAIEEWKELIYKEV MDWEERSKNGVKDQPSDAAVSSKATPSQSSSINDISSMSTEHTLASDTDSSLDASTGPLE GCR >ENSMUSP00000132864.1 pep:known chromosome:GRCm38:11:49846751:49886421:1 gene:ENSMUSG00000020366.18 transcript:ENSMUST00000164643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk9 description:mitogen-activated protein kinase 9 [Source:MGI Symbol;Acc:MGI:1346862] MSDSKSDGQFYSVQVADSTFTVLKRYQQLKPIGSGAQGIVCAAFDTVLGINVAVKKLSRP FQNQTHAKRAYRELVLLKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIH MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTACTNF MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMGELVKGCVIFQGTDHIDQWNKVIEQ LGTPSAEFMKKLQPTVRNYVENRPKYPGIKFEELFPDWIFPSESERDKIKTSQARDLLSK MLVIDPDKRISVDEALRHPYITVWYDPAEAEAPPPQIYDAQLEEREHAIEEWKELIYKEV MDWEERSKNGVKDQPSAQMQQ >ENSMUSP00000106596.3 pep:known chromosome:GRCm38:8:9205902:9771161:-1 gene:ENSMUSG00000079157.4 transcript:ENSMUST00000110969.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam155a description:family with sequence similarity 155, member A [Source:MGI Symbol;Acc:MGI:2142765] MTRGAWMCRQYDDGLKIWLAAPRENEKPFIDSERAQKWRLSLASLLFFTVLLSDHLWFCA EAKLTRTRDKEHHQQQQQQQQQQQQQQQQQQQQQQRQQQRQRQQQRQRQQEPSWPALLAS MGESSPAAQAHRLLSASSSPTLPPSPGGGGGSKGNRGKNNRSRALFLGNSAKPVWRLETC YPQGASSGQCFTVESADAVCARNWSRGAAAGEEQSSRGSRPTPLWNLSDFYLSFCNSYTL WELFSGLSSPSTLNCSLDVVLTEGGEMTTCRQCIEAYQDYDHHAQEKYEEFESVLHKYLQ SDEYSVKSCPEDCKIVYKAWLCSQYFEVTQFNCRKTIPCKQYCLEVQTRCPFILPDNDEV IYGGLSSFICTGLYETFLTNDEPECCDIRSEEQTAPRPKGTVDRRDSCPRTSLTVSSATR LCPGRLKLCVLVLILLHTVLTASAAQNSTGLGLGGLPTLEDNSTRED >ENSMUSP00000146609.1 pep:known chromosome:GRCm38:8:9207627:9771021:-1 gene:ENSMUSG00000079157.4 transcript:ENSMUST00000208933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam155a description:family with sequence similarity 155, member A [Source:MGI Symbol;Acc:MGI:2142765] MTRGAWMCRQYDDGLKIWLAAPRENEKPFIDSERAQKWRLSLASLLFFTVLLSDHLWFCA EAKLTRTRDKEHHQQQQQQQQQQQQQQQQQQQQQQRQQQRQRQQQRQRQQEPSWPALLAS MGESSPAAQAHRLLSASSSPTLPPSPGGGGGSKGNRGKNNRSRALFLGNSAKPVWRLETC YPQGASSGQCFTVESADAVCARNWSRGAAAGEEQSSRGSRPTPLWNLSDFYLSFCNSYTL WELFSGLSSPSTLNCSLDVVLTEGGEMTTCRQCIEAYQDYDHHAQEKYEEFESVLHKYLQ SDEYSVKSCPEDCKIVYKAWLCSQYFEVTQFNCRKTIPCKQYCLEVQTRCPFILPDNDEV IYGGLSSFICTGEGEVYL >ENSMUSP00000146968.1 pep:known chromosome:GRCm38:8:9429733:9770104:-1 gene:ENSMUSG00000079157.4 transcript:ENSMUST00000207869.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam155a description:family with sequence similarity 155, member A [Source:MGI Symbol;Acc:MGI:2142765] XVKSCPEDCKDRMKTLAPALT >ENSMUSP00000088057.5 pep:known chromosome:GRCm38:3:108256926:108279974:1 gene:ENSMUSG00000068749.9 transcript:ENSMUST00000090569.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma5 description:proteasome (prosome, macropain) subunit, alpha type 5 [Source:MGI Symbol;Acc:MGI:1347009] MFLTRSEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGIQTSEGVCLAVEKRITSPLME PSSIEKIVEIDAHIGCAMSGLIADAKTLIDKARVETQNHWFTYNETMTVESVTQAVSNLA LQFGEEDADPGAMSRPFGVALLFGGVDEKGPQLFHMDPSGTFVQCDARAIGSASEGAQSS LQEVYHKSMTLKEAIKSSLIILKQVMEEKLNATNIELATVQPGQNFHMFTKEELEEVIKD I >ENSMUSP00000143081.1 pep:known chromosome:GRCm38:3:108268875:108279896:1 gene:ENSMUSG00000068749.9 transcript:ENSMUST00000129708.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psma5 description:proteasome (prosome, macropain) subunit, alpha type 5 [Source:MGI Symbol;Acc:MGI:1347009] XIGSASEGAQSSLQEVYHKSMTLKEAIKSSLIILKQVMEEKLNATNIEHLHPNQC >ENSMUSP00000113266.1 pep:known chromosome:GRCm38:7:19564946:19573823:-1 gene:ENSMUSG00000044709.6 transcript:ENSMUST00000117222.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin7 description:gem (nuclear organelle) associated protein 7 [Source:MGI Symbol;Acc:MGI:1916981] MQSPLTIPVPVPVLRLPRGPDGFSRGFASDGRRTILRPEVGEGHIQDPPESQEQRARATL RERYLRSLLAMVGHPVSFTLHEGVHVTAQFGATDLDVANFYVSQLQTPIGVQAEALLRCS DIISYSFKL >ENSMUSP00000113709.1 pep:known chromosome:GRCm38:7:19565087:19572968:-1 gene:ENSMUSG00000044709.6 transcript:ENSMUST00000122127.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin7 description:gem (nuclear organelle) associated protein 7 [Source:MGI Symbol;Acc:MGI:1916981] MQSPLTIPVPVPVLRLPRGPDGFSRGFASDGRRTILRPEVGEGHIQDPPESQEQRARATL RERYLRSLLAMVGHPVSFTLHEGVHVTAQFGATDLDVANFYVSQLQTPIGVQAEALLRCS DIISYSFKL >ENSMUSP00000113583.1 pep:known chromosome:GRCm38:7:19565119:19573089:-1 gene:ENSMUSG00000044709.6 transcript:ENSMUST00000122055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin7 description:gem (nuclear organelle) associated protein 7 [Source:MGI Symbol;Acc:MGI:1916981] MQSPLTIPVPVPVLRLPRGPDGFSRGFASDGRRTILRPEVGEGHIQDPPESQEQRARATL RERYLRSLLAMVGHPVSFTLHEGVHVTAQFGATDLDVANFYVSQLQTPIGVQAEALLRCS DIISYSFKL >ENSMUSP00000112742.1 pep:known chromosome:GRCm38:7:19565246:19573385:-1 gene:ENSMUSG00000044709.6 transcript:ENSMUST00000119912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin7 description:gem (nuclear organelle) associated protein 7 [Source:MGI Symbol;Acc:MGI:1916981] MQSPLTIPVPVPVLRLPRGPDGFSRGFASDGRRTILRPEVGEGHIQDPPESQEQRARATL RERYLRSLLAMVGHPVSFTLHEGVHVTAQFGATDLDVANFYVSQLQTPIGVQAEALLRCS DIISYSFKL >ENSMUSP00000147112.1 pep:known chromosome:GRCm38:7:19565327:19577595:-1 gene:ENSMUSG00000044709.6 transcript:ENSMUST00000208826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin7 description:gem (nuclear organelle) associated protein 7 [Source:MGI Symbol;Acc:MGI:1916981] MQSPLTIPVPVPVLRLPRGPDGFSRGFASDGRRTILRPEVGEGHIQDPPESQEQRARATL RERYLRSLLAMVGHPVSFTLHEGVHVTAQFGATDLDVANFYVSQLQTPIGVQAE >ENSMUSP00000116207.1 pep:known chromosome:GRCm38:7:19565424:19573174:-1 gene:ENSMUSG00000044709.6 transcript:ENSMUST00000151646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin7 description:gem (nuclear organelle) associated protein 7 [Source:MGI Symbol;Acc:MGI:1916981] MQSPLTIPVPVPVLRLPRGPDGFSRGFASDGRRTILRPEVGEGHIQDPPESQEQRARATL RERYLRSLLAMVGHPVSFTLH >ENSMUSP00000055844.3 pep:known chromosome:GRCm38:7:19564949:19573343:-1 gene:ENSMUSG00000044709.6 transcript:ENSMUST00000051364.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin7 description:gem (nuclear organelle) associated protein 7 [Source:MGI Symbol;Acc:MGI:1916981] MQSPLTIPVPVPVLRLPRGPDGFSRGFASDGRRTILRPEVGEGHIQDPPESQEQRARATL RERYLRSLLAMVGHPVSFTLHEGVHVTAQFGATDLDVANFYVSQLQTPIGVQAEALLRCS DIISYSFKL >ENSMUSP00000020439.4 pep:known chromosome:GRCm38:10:121033960:121100650:1 gene:ENSMUSG00000020218.11 transcript:ENSMUST00000020439.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wif1 description:Wnt inhibitory factor 1 [Source:MGI Symbol;Acc:MGI:1344332] MARRRAFPAFALRLWSILPCLLLLRADAGQPPEESLYLWIDAHQARVLIGFEEDILIVSE GKMAPFTHDFRKAQQRMPAIPVNIHSMNFTWQAAGQAEYFYEFLSLRSLDKGIMADPTVN VPLLGTVPHKASVVQVGFPCLGKQDGVAAFEVNVIVMNSEGNTILRTPQNAIFFKTCQQA ECPGGCRNGGFCNERRVCECPDGFYGPHCEKALCIPRCMNGGLCVTPGFCICPPGFYGVN CDKANCSTTCFNGGTCFYPGKCICPPGLEGEQCELSKCPQPCRNGGKCIGKSKCKCPKGY QGDLCSKPVCEPGCGAHGTCHEPNKCQCREGWHGRHCNKRYGASLMHAPRPAGAGLERHT PSLKKAEDRRDPPESNYIW >ENSMUSP00000135486.1 pep:known chromosome:GRCm38:10:121034024:121100645:1 gene:ENSMUSG00000020218.11 transcript:ENSMUST00000175867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wif1 description:Wnt inhibitory factor 1 [Source:MGI Symbol;Acc:MGI:1344332] MARRRAFPAFALRLWSILPCLLLLRADAGQPPEESLYLWIDAHQARVLIGFEEDILIVSE GKMAPFTHDFRKAQQRMPAIPVNIHSMNFTWQAAGQAEYFYEFLSLRSLDKGIMADPTVN VPLLGTVPHKASVVQVGFPCLGKQDGVAAFEVNVIVMNSEGNTILRTPQNAIFFKTCQQA ECPGGCRNGGFCNERRVCECPDGFYGPHCEKALCIPRCMNGGLCVTPGFCICPPGFYGVN CDKANCSTTCFNGGTCFYPGKCICPPGLEGEQCELSKCPQPCRNGGKCIGKSKSVCEPGC GAHGTCHEPNKCQCREGWHGRHCNKRYGASLMHAPRPAGAGLERHTPSLKKAEDRRDPPE SNYIW >ENSMUSP00000029573.5 pep:known chromosome:GRCm38:3:116562978:116583134:1 gene:ENSMUSG00000027961.7 transcript:ENSMUST00000029573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc39 description:leucine rich repeat containing 39 [Source:MGI Symbol;Acc:MGI:1924557] MTESAVCTGAVSAVKEVWEERIKKHHEDVKREKEFQHKLVRIWEDRVSLTKLKEKVTRED GRVILRIEKEEWKTLPSSLLKLNQLQEWQLHRTGLLKIPEFIGRFQHLIVLDLSRNTISE IPRGIGLLTRLQELILSYNKIKTVPKELSNCTSLEKLELAVNRDISDLPPELSKLLKLTH LDLSMNQFTTIPHAVLDMPALEWLDMGSNSLQQLPDSLDRMRSLHTLWLQRNEITCLPET IKNMKNLGTLVLSNNKLQDIPGCMEEMTNLRFVNFRDNPLRLEVTLPPSDNTDGEEEQEL FGLQFMHAYIQESRRTEDQVNCLTQMPSSIHSDGESN >ENSMUSP00000054104.5 pep:known chromosome:GRCm38:5:123863570:123865499:-1 gene:ENSMUSG00000045502.6 transcript:ENSMUST00000057145.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcar2 description:hydroxycarboxylic acid receptor 2 [Source:MGI Symbol;Acc:MGI:1933383] MSKSDHFLVINGKNCCVFRDENIAKVLPPVLGLEFVFGLLGNGLALWIFCFHLKSWKSSR IFLFNLAVADFLLIICLPFLTDNYVHNWDWRFGGIPCRVMLFMLAMNRQGSIIFLTVVAV DRYFRVVHPHHFLNKISNRTAAIISCFLWGLTIGLTVHLLYTNMMTKNGEAYLCSSFSIC YNFRWHDAMFLLEFFLPLAIILFCSGRIIWSLRQRQMDRHAKIKRAINFIMVVAIVFIIC FLPSVAVRIRIFWLLYKYNVRNCDIYSSVDLAFFTTLSFTYMNSMLDPVVYYFSSPSFPN FFSTCINRCLRKKTLGEPDNNRSTSVELTGDPSTTRSIPGALMADPSEPGSPPYLASTSR >ENSMUSP00000082102.3 pep:known chromosome:GRCm38:17:25942233:25944932:-1 gene:ENSMUSG00000090113.7 transcript:ENSMUST00000085027.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhlrc4 description:NHL repeat containing 4 [Source:MGI Symbol;Acc:MGI:3687200] MLGPTWEPLAPTSMLGLEGPCWVGPGPDGGFAVSEEFGDVQLFGSAHQPLGSLGTLTGHN FGHPAGVCSDAEGSIIVADEQRHQVTLFPRVGPPICLQLEGLKRPLGMACAPQGQLVVAD AGDNCIKLYQYLGEMA >ENSMUSP00000116013.1 pep:known chromosome:GRCm38:13:32704680:32781716:-1 gene:ENSMUSG00000044951.13 transcript:ENSMUST00000134352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylk4 description:myosin light chain kinase family, member 4 [Source:MGI Symbol;Acc:MGI:3643758] MLKVKRLEEISSCHSSNPLEKVAFFQCMEEVEKVKCFLEENSGDLDLQSGDNEAEENVWS NRALDERIIVKGGRTSALTDDIPAPAAPFDHRMVMAKHASVDNLYTVSKSEILGGGRFGQ VHKCEEKATGLKLAAKIIKTRGAKDKEDVKNEISVMNQLDHVNLIQLYDAFESKHDIILV MDVEGGELFDRIIDENCNLTELDTILFMKQICEGIRYMHQMYILHLDLKPENILCVNRDA KQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVVNYDFVSFSTDMWSVGVITYMLLSGL SPFLGDNDAETLTNILACRWDLEDEEFQDISEEAKEFISKLLIKEKSWRISASEALKHPW LSDHKLHSRLSAQKNCNSGVLNLTTK >ENSMUSP00000060149.4 pep:known chromosome:GRCm38:13:32700827:32781779:-1 gene:ENSMUSG00000044951.13 transcript:ENSMUST00000057428.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylk4 description:myosin light chain kinase family, member 4 [Source:MGI Symbol;Acc:MGI:3643758] MLKVKRLEEISSCHSSNPLEKVAFFQCMEEVEKVKCFLEENSGDLDLQSGDNEAEENVWS NRALDERIIVKGGRTSALTDDIPAPAAPFDHRMVMAKHASVDNLYTVSKSEILGGGRFGQ VHKCEEKATGLKLAAKIIKTRGAKDKEDVKNEISVMNQLDHVNLIQLYDAFESKHDIILV MEYVEGGELFDRIIDENCNLTELDTILFMKQICEGIRYMHQMYILHLDLKPENILCVNRD AKQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVVNYDFVSFSTDMWSVGVITYMLLSG LSPFLGDNDAETLTNILACRWDLEDEEFQDISEEAKEFISKLLIKEKSWRISASEALKHP WLSDHKLHSRLSAQKNCNSGVLNLTTK >ENSMUSP00000023566.4 pep:known chromosome:GRCm38:16:78953008:79091092:-1 gene:ENSMUSG00000022857.13 transcript:ENSMUST00000023566.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss15 description:transmembrane protease, serine 15 [Source:MGI Symbol;Acc:MGI:1197523] MKSSRDEAVGHHSISSFEVMLSALFIMLMVFSIGLIAVSWLAVKESEGDAALGKSHEVRG TFKITSGVTYNPNLQDKHSVDFKVLAFDLQQMIDEIFESSSLKNEYEKSKVFQFEKGSVI VLFDLFFAQWVSDKNVKEELIQGIEANISSQLVTLHIDLNSIDITASLSDFTTAVPVTTS DKLTTSSPMTTSASLGNLSTTVAATTSAPLCNLSTATFATTSGHVSIECQPGSRPCAHAW NCVATDLFCDGEVNCPDGSDEDTGLCATACDGRFLLTGDSGVFQADRYPRPDESGVVCRW IIRVNQGLSIRMNFGSFIPHYTDVLDIYEGIGPSKILRGSFWETDPGTIRIFSNLVTVTF LIKSDEYDYIGFNATYSTFNNSELNNYEKIDCTFDDGFCFWTQDLDDDNEWERIQVTTFP CYTGPRFDHTYGNGSGFYISTPTEQGWRSERVGLSSLSLDLTSEPVCLHFWYYMCCENVY NLNIHISSAETTDKIVFQRKGNYGRNWNYGQVTLNETGEFKVVFNAFRNRGCSTIALDDI SLTNGICSQSPYPEPTLVPTPPPELPTDCGGPFELWEPNSTFSSPNFPDKYPNQASCIWN LNAQRGKNIQLHFQEFDLENINDVVEVRDGGEFDSLLLAVYTGPGPVKDLFSTTNRMTVI FTTNMETRRKGFKANFTSGYYLGIPEPCQDDEFQCKDGNCIPLGNLCDSYPHCRDGSDEA SCVRFLNGTRSNNGLVQFNIHSIWHIACAENWTTQISNEVCHLLGLGSANSSMPISSTGG GPFVRVNQAPNGSLILTPSLQCSQDSLILLQCNHKSCGEKKVTQKVSPKIVGGSDAQAGA WPWVVALYHRDRSTDRLLCGASLVSSDWLVSAAHCVYRRNLDPTRWTAVLGLHMQSNLTS PQVVRRVVDQIVINPHYDRRRKVNDIAMMHLEFKVNYTDYIQPICLPEENQIFIPGRTCS IAGWGYDKINAGSTVDVLKEADVPLISNEKCQQQLPEYNITESMICAGYEEGGIDSCQGD SGGPLMCQENNRWFLVGVTSFGVQCALPNHPGVYVRVSQFIEWIHSFLH >ENSMUSP00000052034.5 pep:known chromosome:GRCm38:16:78953464:79091097:-1 gene:ENSMUSG00000022857.13 transcript:ENSMUST00000060402.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss15 description:transmembrane protease, serine 15 [Source:MGI Symbol;Acc:MGI:1197523] MKSSRDEAVGHHSISSFEVMLSALFIMLMVFSIGLIAVSWLAVKESEGDAALGKSHEVRG TFKITSGVTYNPNLQDKHSVDFKVLAFDLQQMIDEIFESSSLKNEYEKSKVFQFEKGSVI VLFDLFFAQWVSDKNVKEELIQGIEANISSQLVTLHIDLNSIDITASLSDFTTAVPVTTS DKLTTSSPMTTSASLGNLSTTVAATTSGHVSIECQPGSRPCAHAWNCVATDLFCDGEVNC PDGSDEDTGLCATACDGRFLLTGDSGVFQADRYPRPDESGVVCRWIIRVNQGLSIRMNFG SFIPHYTDVLDIYEGIGPSKILRGSFWETDPGTIRIFSNLVTVTFLIKSDEYDYIGFNAT YSTFNNSELNNYEKIDCTFDDGFCFWTQDLDDDNEWERIQVTTFPCYTGPRFDHTYGNGS GFYISTPTEQGWRSERVGLSSLSLDLTSEPVCLHFWYYMCCENVYNLNIHISSAETTDKI VFQRKGNYGRNWNYGQVTLNETGEFKVVFNAFRNRGCSTIALDDISLTNGICSQSPYPEP TLVPTPPPELPTDCGGPFELWEPNSTFSSPNFPDKYPNQASCIWNLNAQRGKNIQLHFQE FDLENINDVVEVRDGGEFDSLLLAVYTGPGPVKDLFSTTNRMTVIFTTNMETRRKGFKAN FTSGYYLGIPEPCQDDEFQCKDGNCIPLGNLCDSYPHCRDGSDEASCVRFLNGTRSNNGL VQFNIHSIWHIACAENWTTQISNEVCHLLGLGSANSSMPISSTGGGPFVRVNQAPNGSLI LTPSLQCSQDSLILLQCNHKSCGEKKVTQKVSPKIVGGSDAQAGAWPWVVALYHRDRSTD RLLCGASLVSSDWLVSAAHCVYRRNLDPTRWTAVLGLHMQSNLTSPQVVRRVVDQIVINP HYDRRRKVNDIAMMHLEFKVNYTDYIQPICLPEENQIFIPGRTCSIAGWGYDKINAGSTV DVLKEADVPLISNEKCQQQLPEYNITESMICAGYEEGGIDSCQGDSGGPLMCQENNRWFL VGVTSFGVQCALPNHPGVYVRVSQFIEWIHSFLH >ENSMUSP00000023460.6 pep:known chromosome:GRCm38:16:31948513:31958472:1 gene:ENSMUSG00000022774.12 transcript:ENSMUST00000023460.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncbp2 description:nuclear cap binding protein subunit 2 [Source:MGI Symbol;Acc:MGI:1915342] MSGGLLKALRSDSYVELSEYRDQHFRGDNEEQEKLLKKSCTLYVGNLSFYTTEEQIYELF SKSGDIKKIIMGLDKMKKTACGFCFVEYYSRADAENAMRYINGTRLDDRIIRTDWDAGFK EGRQYGRGRSGGQVRDEYREDYDAGRGGYGKLAQKQ >ENSMUSP00000110832.1 pep:known chromosome:GRCm38:16:31948613:31957176:1 gene:ENSMUSG00000022774.12 transcript:ENSMUST00000115178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncbp2 description:nuclear cap binding protein subunit 2 [Source:MGI Symbol;Acc:MGI:1915342] MSGGLLKALRSDSYVELSEYRDQHFRGDNEEQEKLLKKSYAENAMRYINGTRLDDRIIRT DWDAGFKEGRQYGRGRSGGQVRDEYREDYDAGRGGYGKLAQKQ >ENSMUSP00000129280.1 pep:known chromosome:GRCm38:5:123876736:123880020:-1 gene:ENSMUSG00000049241.5 transcript:ENSMUST00000164267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcar1 description:hydrocarboxylic acid receptor 1 [Source:MGI Symbol;Acc:MGI:2441671] MPVLSPTAMDNGSCCLIEGEPISQVMPPLLILVFVLGALGNGIALCGFCFHMKTWKSSTI YLFNLAVADFLLMICLPLRTDYYLRRRHWIFGDIACRLVLFKLAMNRAGSIVFLTVVAVD RYFKVVHPHHMVNAISNRTAAATACVLWTLVILGTVYLLMESHLCVQGTLSSCESFIMES ANGWHDVMFQLEFFLPLTIILFCSVNVVWSLRRRQQLTRQARMRRATRFIMVVASVFITC YLPSVLARLYFLWTVPTSACDPSVHTALHVTLSFTYLNSMLDPLVYYFSSPSLPKFYTKL TICSLKPKRPGRTKTRRSEEMPISNLCSKSSIDGANRSQRPSDGQWDLQVC >ENSMUSP00000132355.1 pep:known chromosome:GRCm38:17:25882114:25919556:-1 gene:ENSMUSG00000025730.14 transcript:ENSMUST00000166146.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab40c description:Rab40C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2183454] MGTQGSPVKSYDYLLKFLLVGDSDVGKGEILESLQDGAAESPYAYSNGFFQGPGLL >ENSMUSP00000127546.1 pep:known chromosome:GRCm38:17:25882114:25919652:-1 gene:ENSMUSG00000025730.14 transcript:ENSMUST00000167626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab40c description:Rab40C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2183454] MGTQGSPVKSYDYLLKFLLVGDSDVGKGEILESLQDGAAESPYAYSNGIDYKTTTILLDG RRVKLELWDTSGQGRFCTIFRSYSRGAQGILLVYDITNRWSFDGIDRWIKEIDEHAPGVP RILVGNRLHLAFKRQVPTEQARAYAEKNCMTFFEVSPLCNFNVIESFTELSRIVLMRHGM EKIWRPNRVFSLQDLCCRAIVSCTPVHLIDKLPLPVTIKSHLKSFSMANGMNAVMMHGRS YSLASGAGGSGSKGNSLKRSKSIRPPQSPPQNCSRSNCKIS >ENSMUSP00000127868.1 pep:known chromosome:GRCm38:17:25883628:25919506:-1 gene:ENSMUSG00000025730.14 transcript:ENSMUST00000164982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab40c description:Rab40C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2183454] MGTQGSPVKSYDYLLKFLLVGDSDVGKGEILESLQDGAAESPYAYSNGIDYKTTTILLDG RRVKLELWDTSGQGRFCTIFRSYSRGAQGILLVYDITNRWSFDGIDRWIKEIDERQVPTE QARAYAEKNCMTFFEVSPLCNFNVIESFTELSRIVLMRHGMEKIWRPNRVFSLQDLCCRA IVSCTPVHLIDKLPLPVTIKSHLKSFSMANGMNAVMMHGRSYSLASGAGGSGSKGNSLKR SKSIRPPQSPPQNCSRSNCKIS >ENSMUSP00000131055.1 pep:known chromosome:GRCm38:17:25883639:25919727:-1 gene:ENSMUSG00000025730.14 transcript:ENSMUST00000167018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab40c description:Rab40C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2183454] MGTQGSPVKSYDYLLKFLLVGDSDVGKGEILESLQDGAAESPYAYSNGIDYKTTTILLDG RRVKLELWDTSGQGRFCTIFRSYSRGAQGILLVYDITNRWSFDGIDRWIKEIDEHAPGVP RILVGNRLHLAFKRQVPTEQARAYAEKNCMTFFEVSPLCNFNVIESFTELSRIVLMRHGM EKIWRPNRVFSLQDLCCRAIVSCTPVHLIDKLPLPVTIKSHLKSFSMANGMNAVMMHGRS YSLASGAGGSGSKGNSLKRSKSIRPPQSPPQNCSRSNC >ENSMUSP00000130093.1 pep:known chromosome:GRCm38:17:25885082:25919711:-1 gene:ENSMUSG00000025730.14 transcript:ENSMUST00000164738.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab40c description:Rab40C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2183454] MGTQGSPVKSYDYLLKFLLVGDSDVGKGEILESLQDGAAESPYAYSNGIDYKTTTILLDG RRVKLELWDTSGQGRFCTIFRSYSRGAQGILLVYDITNRWSFDGIDRWIKE >ENSMUSP00000026826.7 pep:known chromosome:GRCm38:17:25882114:25919714:-1 gene:ENSMUSG00000025730.14 transcript:ENSMUST00000026826.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab40c description:Rab40C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2183454] MGTQGSPVKSYDYLLKFLLVGDSDVGKGEILESLQDGAAESPYAYSNGIDYKTTTILLDG RRVKLELWDTSGQGRFCTIFRSYSRGAQGILLVYDITNRWSFDGIDRWIKEIDEHAPGVP RILVGNRLHLAFKRQVPTEQARAYAEKNCMTFFEVSPLCNFNVIESFTELSRIVLMRHGM EKIWRPNRVFSLQDLCCRAIVSCTPVHLIDKLPLPVTIKSHLKSFSMANGMNAVMMHGRS YSLASGAGGSGSKGNSLKRSKSIRPPQSPPQNCSRSNCKIS >ENSMUSP00000136612.1 pep:known chromosome:GRCm38:17:25882114:25919642:-1 gene:ENSMUSG00000025730.14 transcript:ENSMUST00000179998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab40c description:Rab40C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2183454] MGTQGSPVKSYDYLLKFLLVGDSDVGKGEILESLQDGAAESPYAYSNGIDYKTTTILLDG RRVKLELWDTSGQGRFCTIFRSYSRGAQGILLVYDITNRWSFDGIDRWIKEIDEHAPGVP RILVGNRLHLAFKRQVPTEQARAYAEKNCMTFFEVSPLCNFNVIESFTELSRIVLMRHGM EKIWRPNRVFSLQDLCCRAIVSCTPVHLIDKLPLPVTIKSHLKSFSMANGMNAVMMHGRS YSLASGAGGSGSKGNSLKRSKSIRPPQSPPQNCSRSNCKIS >ENSMUSP00000010038.3 pep:known chromosome:GRCm38:11:59407134:59449999:-1 gene:ENSMUSG00000009894.15 transcript:ENSMUST00000010038.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap47 description:synaptosomal-associated protein, 47 [Source:MGI Symbol;Acc:MGI:1915076] MSSDMRVHSWSCSYYLDLEKQWVSGKLTLTPHSLKFIVEKTEEVLVGLPLSSIIEIRKES SLFIFGAITVLEKGQTKHWFSSLQPSRNVVFNVIEHFWRELLLSQPGTAANIPSHVTRGQ ELIGLMANSQKRMEDTAKDLQQQSEQLDSVLKGLEKMESDLDVADRLLTELETPSWWPFG SKFWKMPAEENLKEGVSSTCEPFGKEGVVITVPAIISERAESHSKLGKLTVLVSALEIYD SCSLLLHRFEKEDVDDIKVHSPYEVSIRQRFIGKPDVAYQLISAKMPEVIPILEVQFSSK IELLEDALVLRNKVFASSAERHAASRPKGCTPHRELPTGGQEGEQLQLQKNLPLFSEGEA QELTQILSKMKGLALDTEAELERQDAALDGITVAVDRATLNVDKQNRRMRKLM >ENSMUSP00000113555.1 pep:known chromosome:GRCm38:11:59407144:59450007:-1 gene:ENSMUSG00000009894.15 transcript:ENSMUST00000120940.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap47 description:synaptosomal-associated protein, 47 [Source:MGI Symbol;Acc:MGI:1915076] MSSDMRVHSWSCSYYLDLEKQWVSGKLTLTPHSLKFIVEKTEEVLVGLPLSSIIEIRKES SLFIFGAITVLEKGQTKHWFSSLQPSRNVVFNVIEHFWRELLLSQPGTAANIPSHVTRGQ ELIGLMANSQKRMEDTAKDLQQQSEQLDSVLKGLEKMESDLDVADRLLTELETPSWWPFG SKFWKMPAEENLKEGVSSTCEPFGKEGVVITVPAIISERAESHSKLGKLTVLVSALEIYD SCSLLLHRFEKEDVDDIKVHSPYEVSIRQRFIGKPDVAYQLISAKMPEVIPILEVQFSSK IELLEDALVLRNKVFASSAERHAAPATFS >ENSMUSP00000121880.1 pep:known chromosome:GRCm38:11:59428761:59449999:-1 gene:ENSMUSG00000009894.15 transcript:ENSMUST00000156146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap47 description:synaptosomal-associated protein, 47 [Source:MGI Symbol;Acc:MGI:1915076] MSSDMRVHSWSCSYYLDLEKQWVSGKLTLTPHSLKFIVEKTEEVLVGLPLSSIIEIRKES SLFIFGAITVLEKGQTKHWFSSLQPSRNVVFNVIEHFWRELLLSQPGTAANIPSHVTRGQ ELIGLMANSQKRMEDTAKDLQQQSEQLDSVLKGLEKMESDLDVADRLLTELETPSWWPFG SKF >ENSMUSP00000115762.1 pep:known chromosome:GRCm38:11:59428767:59449913:-1 gene:ENSMUSG00000009894.15 transcript:ENSMUST00000136436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap47 description:synaptosomal-associated protein, 47 [Source:MGI Symbol;Acc:MGI:1915076] MSSDMRVHSWSCSYYLDLEKQWVSGKLTLTPHSLKFIVEKTEEVLVGLPLSSIIEIRKES SLFIFGAITVLEKGQTKHWFSSLQPSRNVVFNVIEHFWRELLLSQPGTAANIPSHVTRGQ ELIGLMANSQKRMEDTAKDLQQQSEQLDSVLKGLEKMESDLDVADRLLTELETPSWWPFG S >ENSMUSP00000116170.1 pep:known chromosome:GRCm38:11:59438034:59449999:-1 gene:ENSMUSG00000009894.15 transcript:ENSMUST00000132969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap47 description:synaptosomal-associated protein, 47 [Source:MGI Symbol;Acc:MGI:1915076] MSSDMRVHSWSCSYYLDLEKQWVSGKLTLTPHSLKFIVEKTEEVLVGLPLSSIIEIRKES SLFIFGAITVLEKGQTKHWFSSLQPSRNVVFNVIEHFWRELLLSQPGTAANIPSHVTRGQ ELIGLMANSQKRMEDTAKDLQQQSEQL >ENSMUSP00000114816.1 pep:known chromosome:GRCm38:11:59438256:59447491:-1 gene:ENSMUSG00000009894.15 transcript:ENSMUST00000145550.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap47 description:synaptosomal-associated protein, 47 [Source:MGI Symbol;Acc:MGI:1915076] MSSDMRVHSWSCSYYLDLEKQWVSGKLTLTPHSLKFIVEKTEEVLVGLPLSSIIEIRKES SLFIFGAITVLEK >ENSMUSP00000122508.1 pep:known chromosome:GRCm38:11:59438288:59449916:-1 gene:ENSMUSG00000009894.15 transcript:ENSMUST00000150297.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap47 description:synaptosomal-associated protein, 47 [Source:MGI Symbol;Acc:MGI:1915076] MSSDMRVHSWSCSYYLDLEKQWVSGKLTLTPHSLKFIVEKTEEVLVGLPLSSIIEIRKES SL >ENSMUSP00000119433.1 pep:known chromosome:GRCm38:11:59438449:59451186:-1 gene:ENSMUSG00000009894.15 transcript:ENSMUST00000125846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap47 description:synaptosomal-associated protein, 47 [Source:MGI Symbol;Acc:MGI:1915076] MSSDMRVHS >ENSMUSP00000075234.6 pep:known chromosome:GRCm38:5:145558664:145584730:-1 gene:ENSMUSG00000075552.4 transcript:ENSMUST00000075837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp3a41b description:cytochrome P450, family 3, subfamily a, polypeptide 41B [Source:MGI Symbol;Acc:MGI:3714859] MNLFSALSLDTWVLLAIILVLLYRYGTRTHGLFKKQGIPGPKPLPFLGTVLNYYKGLWKF DMECYEKYGKTWGLFDGQMPLFVITDPEMIKNVLVKECFSVFTNRREFGPVGIMSKAISI SKDEEWKRYRALLSPTFTSGKLKEMFPVIEQYGDILVKYLMQEAEKGKPVTMKDVLGAYS IDVITSTSFGVNVDSLNNPEDPFVEKAKGILRVDFFDPLVFSVVLFPFLTPVYEMLNICM FPKDSIEFFKKFVNRMKESRLDSKQKHRVDFLQLMMNAHNNSKDKDSHKALSDMEITAQS IVFIFAGYETTSSTLSFTLYCLATHPDIQKKLQEEIDETLPNKAPPTYDTVMEMEYLDMV LNETLRLYPIGNRLERFCKKDVELNGVYIPKGSTVMIPSYALHHDPQHWPEPEEFQPERF SKENKGSIDPYLYMPFGIGPRNCIGMRFAFMTMKLALTKVMQNFSFQPCQETQIPLKLSR QGLLQPEKPIVLKVVPRDVVITGA >ENSMUSP00000114852.1 pep:known chromosome:GRCm38:16:45959263:46010218:-1 gene:ENSMUSG00000087141.1 transcript:ENSMUST00000130481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcxd2 description:phosphatidylinositol-specific phospholipase C, X domain containing 2 [Source:MGI Symbol;Acc:MGI:3647874] MLAFRKARRKLRMGTICSPNPSGTKTASEVCNADWMASLPAHLHNVPLSNLAIPGSHDSF SYWVDEKSPVGPDQTQAVIRLARISLVKKLMKKWSVTQNLTFREQLEAGIRYFDLRVSSK PGDTDQEIYFIHGLFGIKVWDGLMEIDAFLTQHPQEIIFLDFNHFYAMDESHHKCLVLRI QEAFGNKLCPACSVESMTLRSLWEKKYQVLIFYHCPFYKQYPFLWPGKKIPAPWANTTSV QKLILFLETTLSERAPRGAFHVSQAILTPRVKTIARGLVGGLKNTLVHRNLPAILDWVKT QKPGAMGVNIITSDFVDLIDFATTVIELNDLLEDRALTKC >ENSMUSP00000146876.1 pep:known chromosome:GRCm38:9:19622128:19649731:1 gene:ENSMUSG00000057551.9 transcript:ENSMUST00000208694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp317 description:zinc finger protein 317 [Source:MGI Symbol;Acc:MGI:107775] MATISLSPKFAMSSLDSADNQESEFSLPSKYDSCQQSLGLFACHAPEPDTPARVCSQESV TFQDIAVDFTEKEWPLLDSSQRKLYKDVMLENYSNLSSLGYQVGKPRLISHLEQEEDLRM EERGIQQGPSPDWGTTSKVKWSILLEDIFGKEASDDVRLETSHLLETSSEFSHLCEVFDI GPHLAQPVGRPTGKRPYHHHSCGVKNRIHLTQHMSMNDGRKWHKCHQCQKAFTTSASLTR HHRIHTGEKPYECSSCGKAFNDPSALRSHTRTHLKEKPFDCSQCSNAFRTLSALKIHMRV HTGERPYKCDECGKAYGRSCHLIAHKRTHTGERPYECQDCGKAFQHPSHLKEHVRNHTGE KPYECTQCGKAFRWKSNFNLHKKNHMVEKTYECKECGKSFSDLLSRRKHMRIHIVKKPVE CHQCGKTFRNQSILKTHMNSHTGERPYGCDLCGKAFSASSNLTAHRKIHTQERHYECTSC GKVFGDYLSRRRHMSIHLVKKRVDCRQCGKAFRNQSTLKTHMRSHTGEKPYECDHCGKAF SIGSNLNVHRRIHTGEKPYECLICGKAFSDHSSLRSHVKTHRGRSSLRRLCGKGFSEYSN AEEAWQT >ENSMUSP00000078051.6 pep:known chromosome:GRCm38:9:19641224:19648048:1 gene:ENSMUSG00000057551.9 transcript:ENSMUST00000079042.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp317 description:zinc finger protein 317 [Source:MGI Symbol;Acc:MGI:107775] MATISLSPKFAMSSLDSADNQESEFSLPSKYDSCQQSLGLFACHAPEPDTPARVCSQESV TFQDIAVDFTEKEWPLLDSSQRKLYKDVMLENYSNLSSLGYQVGKPRLISHLEQEEDLRM EERGIQQGPSPDWGTTSKVKWSILLEDIFGKEASDDVRLETSHLLETSSEFSHLCEVFDI GPHLAQPVGRPTGKRPYHHHSCGVKNRIHLTQHMSMNDGRKWHKCHQCQKAFTTSASLTR HHRIHTGEKPYECSSCGKAFNDPSALRSHTRTHLKEKPFDCSQCSNAFRTLSALKIHMRV HTGERPYKCDECGKAYGRSCHLIAHKRTHTGERPYECQDCGKAFQHPSHLKEHVRNHTGE KPYECTQCGKAFRWKSNFNLHKKNHMVEKTYECKECGKSFSDLLSRRKHMRIHIVKKPVE CHQCGKTFRNQSILKTHMNSHTGERPYGCDLCGKAFSASSNLTAHRKIHTQERHYECTSC GKVFGDYLSRRRHMSIHLVKKRVDCRQCGKAFRNQSTLKTHMRSHTGEKPYECDHCGKAF SIGSNLNVHRRIHTGEKPYECLICGKAFSDHSSLRSHVKTHRGRSSLRRLCGKGFSEYSN AEEAWQT >ENSMUSP00000004968.4 pep:known chromosome:GRCm38:5:136987019:136996648:1 gene:ENSMUSG00000004846.10 transcript:ENSMUST00000004968.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plod3 description:procollagen-lysine, 2-oxoglutarate 5-dioxygenase 3 [Source:MGI Symbol;Acc:MGI:1347008] MAAAGPEPRLLLLLLLLLPPLPPVTSASDRPRGANAVNPDKLLVITVATAETEGYRRFLQ SAEFFNYTVRTLGLGQEWRGGDVARTVGGGQKVRWLKKEMEKYADQKDMIIMFVDSYDVI LASSPTELLKKFVQSGSHLLFSAESFCWPEWGLAEQYPEVGMGKRFLNSGGFIGFAPTIH QIVRQWNYKDDDDDQLFYTQLYLDPGLREKLKLSLDHKSRIFQNLNGALDEVILKFDQNR VRIRNVAYDTLPVVVHGNGPTKLQLNYLGNYVPNGWTPQGGCGFCNQTLRTLPGGQPPPR VLLAVFVEQPTPFLPRFLQRLLLLDYPPDRISLFLHNSEVYHEPHIADAWPQLQDHFSAV KLVGPEEALSAGEARDMAMDSCRQNPECEFYFSLDADAVLTNPETLRVLIEQNRKVIAPM LSRHGKLWSNFWGALSPNEYYARSEDYVELVQRKRVGVWNVPYISQAYVIRGETLRTELP QKEVFSSSDTDPDMAFCKSVRDKGIFLHLSNQHEFGRLLATSRYDTDHLHPDLWQIFDNP VDWREQYIHENYSRALDGEGLVEQPCPDVYWFPLLTEQMCDELVEEMEHYGQWSGGRHED SRLAGGYENVPTVDIHMKQVGYEDQWLQLLRTYVGPMTEYLFPGYHTKTRAVMNFVVRYR PDEQPSLRPHHDSSTFTLNVALNHKGVDYEGGGCRFLRYDCRISSPRKGWALLHPGRLTH YHEGLPTTRGTRYIMVSFVDP >ENSMUSP00000123550.1 pep:known chromosome:GRCm38:5:136991624:136995177:1 gene:ENSMUSG00000004846.10 transcript:ENSMUST00000127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plod3 description:procollagen-lysine, 2-oxoglutarate 5-dioxygenase 3 [Source:MGI Symbol;Acc:MGI:1347008] XPDLWQIFDNPVDWREQYIHENYSRALDGEGLVEQPCPDVYWFPLLTEQMCDELVEEMEH YGQWSGGRHEDSRLAGGYENVPTVDIHMKQVGYEDQWLQLLRTYVGPMTEYLFPGYHTKT RAVMNFVVRYRPDEQPSLRPHHDSSTFTLNVALNHKGVDYEVNVGSFLSWGPGGSSTPFI LRSCLCYPLASGWAFLSFSVV >ENSMUSP00000023457.5 pep:known chromosome:GRCm38:16:31962506:32003287:-1 gene:ENSMUSG00000022772.11 transcript:ENSMUST00000023457.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp5 description:SUMO/sentrin specific peptidase 5 [Source:MGI Symbol;Acc:MGI:2443596] MKKQKKILWKKGIHLAFSEKWNAGFGSFKKFYFPQNLCFLKAKLGRPVAWHRQVKHFQCN KGLHIQKTWIQDVPFCSKTKSGLATQNVSTLYPKVKRKDSKHFISSSRSLLKLQADKLLS SAKSLDHKYCREKSLLKAAPGLSANTVLGRANGHEPTTDPQASDFPMKFSGESQSPGDSG KTVVLNKHRKRVCHGCYQGLEHHRNRRPLIPKQFQLNQHRRVRASLMMYEKLSMIRFRYR IFRSQHFRTKSRVCKLRKAQRSWVQKVTGDHQENLRDNNTEGDNCNPVPSLEPKDPCRCQ PYFPDMDSSAVGKGKNCHVPDGHTKENPVLDKEHGLDDTFPDQQNGCVAYNWDQSSSCPK WECTEQIHEIPLMEHPSSDKFSPETERALMALGQESGTSAVSDDREKLPVSGADKSVSSV DGPVSEEPAQNENFQMEEDGSLKQSILSSKLLDHPYCKSPLDAPLLCSEPKVENQMSGGK SSQTASPVDDEQLSTCLSGFLDEVMKKYGSLVPLSEKDVLGRLKDVFNEDFSNRKPFINR EITNYRARHQKCNFRIFYNKHMLDMDDLATLDGQNWLNDQVINMYGELIMDAVPDKVHFF NSFFHRQLVTKGYNGVKRWTKKVDLFKKSLLLIPIHLEVHWSLITVTLSSRIISFYDSQG IHFKFCVENIRKYLLTEAREKNRPEFLQGWQTAVTKCIPQQKNDSDCGVFVLQYCKCLAL EQPFQFSQEDMPRVRKRIYKELCECRLLD >ENSMUSP00000117481.1 pep:known chromosome:GRCm38:16:31963518:31989177:-1 gene:ENSMUSG00000022772.11 transcript:ENSMUST00000155515.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Senp5 description:SUMO/sentrin specific peptidase 5 [Source:MGI Symbol;Acc:MGI:2443596] VDGPVSEEPAQNENFQMEEDGSLKQSILSSKLLDHPYCKSPLDAPLLCSEPKVENQMSGG KSSQTASPVDDEQLSTCLSGFLDEVMKKYGSLVPLSEKDVLGRLKDVFNEDFSNRKPFIN REITNYRARHQKCNFRIFYNKHMLDMDDLATLDGQNWLNDQVINMYGELIMDAVPDKVHF FNSFFHRQLVTKGYNGVKRWTKKVFCLPLY >ENSMUSP00000115257.1 pep:known chromosome:GRCm38:16:31975822:31990353:-1 gene:ENSMUSG00000022772.11 transcript:ENSMUST00000129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp5 description:SUMO/sentrin specific peptidase 5 [Source:MGI Symbol;Acc:MGI:2443596] FKKFYFPQNLCFLKAKLGRPVAWHRQVKHFQCNKGLHIQKTWIQDVPFCSKTKSGLATQN VSTLYPKVKRKDSKHFISSSRSLLKLQADKLLSSAKSLDHKYCREKSLLKAAPGLSANTV LGRANGHEPTTDPQASDFPMKFSGESQSPGDSGKTVVLNKHRKRVCHGCYQGLEHHRNRR PLIPKQFQLNQHRRVRASLMMYEKLSMIRFRYRIFRSQHFRTKSRVCKLRKAQRSWVQKV TGDHQENLRDNNTEGDNCNPVPSLEPKDPCRCQPYFPDMDSSAVGKGKNCHVPDGHTKEN PVLDKEHGLDDTFPDQQNGCVAYNWDQSSSCPKWECTEQIHEIPLMEHPSSDKFSPETER ALMALGQESGTSAVSDDREKLPVSGADKSVSSVDGPVSEEPAQNENFQMEEDGSLKQSIL SSKLLDHPYCKSPLDAPLLCSEPKVENQMSGGKSSQTASPVDDEQLSTCLSGFLDEVMKK YGSLVPLSEKDVLGRLKDVFNEDFSNRKPFINREITNYRARHQKCNFRIFYNKHMLDMDD LATLDGQNWLNDQVINMYGELIMDAVPDKVHFFNSFFHRQLVTKGYNGVKRWTKKEIWKK KKKRRCS >ENSMUSP00000099532.4 pep:known chromosome:GRCm38:11:78541817:78550777:-1 gene:ENSMUSG00000037278.9 transcript:ENSMUST00000103242.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem97 description:transmembrane protein 97 [Source:MGI Symbol;Acc:MGI:1916321] MGALAARRCVEWLLGLYFVSHIPITLFIDLQAVLPPELYPQEFSNLLRWYSKEFKDPLMQ EPPVWFKSFLLCELVFQLPFFPIAAYAFFKGSCRWIRIPAIIYAAHTITTLIPILYTLLF EDFSKAVAFKGQRPESFRERLTLVGVYAPYLIIPLILLLFMLRNPYYKYEEKRKKK >ENSMUSP00000047320.4 pep:known chromosome:GRCm38:3:116581093:116614587:-1 gene:ENSMUSG00000033439.12 transcript:ENSMUST00000041524.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt13 description:tRNA methyltransferase 13 [Source:MGI Symbol;Acc:MGI:1925219] MEAPAATSPSIGFPIDGRCNYFVEKKKRFCRMVAAAGKRFCGEHAGSAEEENTRKRILCP LDPKHTVYEDQLAKHLKKCNSREKPKPDFFIQDINAGLKDETEIPEQLVPFSSLSEEQLE NLIKKLRKASEGLNSTHEDHIMSHPALHDALNDPRNGDCAVKHLKQQASILGNIEKLKLL GPRRCFVEFGAGKGKLSHWVDIALKDAENVHFILVERVTTRFKVDGKHRKKDSVFERLQI DIQHLCLNRVPVLREGRLPVVGIGKHLCGVATDVALRCLVETYAASFEEKDEEPLAKRIK NDKTEKESNTLAKEGSEKDVPETWTPVAGIVIALCCHHRCDWRHYVGKEYFKALGLGAVE FYYFQRMSSWATCGMRTSLEGSDVTPERKDAQRDENEEHDDGGDRLTDGNTDSLPGILTV EEKKKIGHLCKLLIDQGRLQYLQQKGFSPALQYYTDPLVSLENVLLTAVPAHPSSQEKHH Q >ENSMUSP00000138868.1 pep:known chromosome:GRCm38:3:116581094:116594836:-1 gene:ENSMUSG00000033439.12 transcript:ENSMUST00000184963.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmt13 description:tRNA methyltransferase 13 [Source:MGI Symbol;Acc:MGI:1925219] MEAPAATSPSIGFPIDGRCNYFVEKKKRFCRMVAAAGKRFCGEHAGSAEEENTRKRILCP LDPKHTVYEDQLAKHLKKCNSREKPKPDFFIQDINAGLKDETEIPEQLVPFSSLSEEQLE NLIKKLRKASEGLNSTHEDHIMSHPALHDALNDPRNGDCAVKHLKQQASILGNIEKLKLL GPRRCFVEFGAGKGKLSHWVDIALKDAENVHFILVERVTTRFKVDGKHRKKDSVFERLQI DIQHLCLNRVPVLREGRLPVVGIGKHLCGVATGVTGDIMWAKSISRLLDLGR >ENSMUSP00000139223.1 pep:known chromosome:GRCm38:3:116581095:116594860:-1 gene:ENSMUSG00000033439.12 transcript:ENSMUST00000183638.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmt13 description:tRNA methyltransferase 13 [Source:MGI Symbol;Acc:MGI:1925219] MEAPAATSPSIGFPIDGRCNYFVEKKKRFCRMVAAAGKRFCGEHAGSAEEENTRKRILCP LDPKHTVYEDQLAKHLKKCNSREKPKPDFFIQDINAGLKDETEIPEQLVPFSSLSEEQLE NLIKKLRKASEGLNSTHEDHIMSHPALHDALNDPRNGDCAVKHLKQQMLKMFTSSW >ENSMUSP00000143637.1 pep:known chromosome:GRCm38:3:116585812:116594685:-1 gene:ENSMUSG00000033439.12 transcript:ENSMUST00000197190.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt13 description:tRNA methyltransferase 13 [Source:MGI Symbol;Acc:MGI:1925219] RPRGKGSVANTLAPPSTVYEDQLAKHLKKCNSREKPKPDFFIQDINAGLKDETEIPEQLV PFSSLSEEQLENLIKKLRKASEGLNSTHEDHIMSHPALHDALNDPRNGDCAVKHLKQQAS ILGNIEKLKLLGPRRCFVEFGAGKGKLSHWVDIALKDAENVHFILVERVTTRFKVDGKH >ENSMUSP00000138761.1 pep:known chromosome:GRCm38:3:116589568:116594668:-1 gene:ENSMUSG00000033439.12 transcript:ENSMUST00000134761.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmt13 description:tRNA methyltransferase 13 [Source:MGI Symbol;Acc:MGI:1925219] FCGEHAGSAEEENTRKRILCPLDPKHTVYEDQLAKHLKKCNSREKPKPCSAPLVKREIRL RPEA >ENSMUSP00000142410.1 pep:known chromosome:GRCm38:3:116593959:116594838:-1 gene:ENSMUSG00000033439.12 transcript:ENSMUST00000198454.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt13 description:tRNA methyltransferase 13 [Source:MGI Symbol;Acc:MGI:1925219] MEAPAATSPSIGFPIDGRCNYFVEKKKRFCRMVAAAGKRFCGEHAGSAEVSYRPPFLGVA GLWVLDPSLAPLTGSCFRPMDLSKCSSAHRSEPRGAPEEHCFLVLKAPSAVSETLTLILP SASPPSSGEVIIFIKECLKKITRFY >ENSMUSP00000013995.8 pep:known chromosome:GRCm38:3:122044443:122180059:1 gene:ENSMUSG00000028125.14 transcript:ENSMUST00000013995.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca4 description:ATP-binding cassette, sub-family A (ABC1), member 4 [Source:MGI Symbol;Acc:MGI:109424] MGFLRQIQLLLWKNWTLRKRQKIRFVVELVWPLSLFLVLIWLRNANPLYSQHECHFPNKA MPSAGLLPWLQGIFCNMNNPCFQNPTPGESPGTVSNYNNSILARVYRDFQELFMDTPEVQ HLGQVWAELRTLSQFMDTLRTHPERFAGRGLQIRDILKDEEALTLFLMRNIGLSDSVAHL LVNSQVRVEQFAYGVPDLELTDIACSEALLQRFIIFSQRRGAQTVRDALCPLSQVTLQWI EDTLYADVDFFKLFHVLPTLLDSSSQGINLRFWGGILSDLSPRMQKFIHRPSVQDLLWVS RPLLQNGGPETFTQLMSILSDLLCGYPEGGGSRVFSFNWYEDNNYKAFLGIDSTRKDPAY SYDKRTTSFCNSLIQSLESNPLTKIAWRAAKPLLMGKILFTPDSPAARRIMKNANSTFEE LDRVRKLVKAWEEVGPQIWYFFEKSTQMTVIRDTLQHPTVKDFINRQLGEEGITTEAVLN FFSNGPQEKQADDMTSFDWRDIFNITDRFLRLANQYLECLVLDKFESYDDEVQLTQRALS LLEENRFWAGVVFPGMYPWASSLPPHVKYKIRMDIDVVEKTNKIKDRYWDSGPRADPVED FRYIWGGFAYLQDMVEQGIVKSQMQAEPPIGVYLQQMPYPCFVDDSFMIILNRCFPIFMV LAWIYSVSMTVKGIVLEKELRLKETLKNQGVSNAVIWCTWFLDSFSIMALSIFLLTLFIM HGRILHYSDPFILFLFLLAFATATIMQSFLLSTLFSKASLAAACSGVIYFTLYLPHVLCF AWQDRMTADLKTTVSLLSSVAFGFGTEYLVRFEEQGLGLQWSNIGKSPLEGDEFSFLLSM KMMLLDAALYGLLAWYLDQVFPGDYGTPLPWYFLLQESYWLGGEGCSTREERALEKTEPL TEEMEDPEHPEGMNDSFFERELPGLVPGVCVKNLVKVFEPSGRPAVDRLNITFYENQITA FLGHNGAGKTTTLSILTGLLPPTSGTVLIGGKDIETNLDVVRQSLGMCPQHNILFHHLTV AEHILFYAQLKGRSWEEAQLEMEAMLEDTGLHHKRNEEAQDLSGGMQRKLSVAIAFVGDS KVVVLDEPTSGVDPYSRRSIWDLLLKYRSGRTIIMSTHHMDEADLLGDRIAIISQGRLYC SGTPLFLKNCFGTGFYLTLVRKMKNIQSQRGGCEGVCSCTSKGFSTRCPTRVDEITEEQV LDGDVQELMDLVYHHVPEAKLVECIGQELIFLLPNKNFKQRAYASLFRELEETLADLGLS SFGISDTPLEEIFLKVTEDAGAGSMFVGGAQQKREQAGLRHPCSAPTEKLRQYAQAPHTC SPGQVDPPKGQPSPEPEDPGVPFNTGARLILQHVQALLVKRFHHTIRSRKDFVAQIVLPA TFVFLALMLSIIVPPFGEFPALTLHPWMYGHQYTFFSMDEPNNEHLEVLADVLLNRPGFG NRCLKEEWLPEYPCINATSWKTPSVSPNITHLFQKQKWTAAHPSPSCKCSTREKLTMLPE CPEGAGGLPPPQRTQRSTEVLQDLTNRNISDYLVKTYPALIRSSLKSKFWVNEQRYGGIS IGGKLPAIPISGEALVGFLSGLGQMMNVSGGPVTREASKEMLDFLKHLETTDNIKVWFNN KGWHALVSFLNVAHNAILRASLPRDRDPEEYGITVISQPLNLTKEQLSDITVLTTSVDAV VAICVIFAMSFVPASFVLYLIQERVTKAKHLQFISGVSPTTYWLTNFLWDIMNYAVSAGL VVGIFIGFQKKAYTSPDNLPALVSLLMLYGWAVIPMMYPASFLFEVPSTAYVALSCANLF IGINSSAITFVLELFENNRTLLRFNAMLRKLLIVFPHFCLGRGLIDLALSQAVTDVYAQF GEEYSANPFQWDLIGKNLVAMAIEGVVYFLLTLLIQHHFFLTRWIAEPAREPVFDEDDDV AEERQRVMSGGNKTDILKLNELTKVYSGSSSPAVDRLCVGVRPGECFGLLGVNGAGKTTT FKMLTGDTTVTSGDATVAGKSILTSISDVHQNMGYCPQFDAIDDLLTGREHLYLYARLRG VPSKEIEKVANWGIQSLGLSLYADRLAGTYSGGNKRKLSTAIALTGCPPLLLLDEPTTGM DPQARRMLWNTIVSIIREGRAVVLTSHSMEECEALCTRLAIMVKGTFQCLGTIQHLKYKF GDGYIVTMKIKSPKDDLLPDLNPVEQFFQGNFPGSVQRERHHSMLQFQVPSSSLARIFQL LISHKDSLLIEEYSVTQTTLDQVFVNFAKQQTETYDLPLHPRAAGASWQAKLEEKSGRLQ TQEPLPAGSEQLANGSNPTAAEDKHTRSPQ >ENSMUSP00000143560.1 pep:known chromosome:GRCm38:3:122132002:122180061:1 gene:ENSMUSG00000028125.14 transcript:ENSMUST00000141135.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca4 description:ATP-binding cassette, sub-family A (ABC1), member 4 [Source:MGI Symbol;Acc:MGI:109424] MDLVYHHVPEAKLVECIGQELIFLLPNKNFKQRAYASLFRELEETLADLGLSSFGISDTP LEEIFLKVTEDAGAGSMFVGGAQQKREQAGLRHPCSAPTEKLRQYAQAPHTCSPGQVDPP KGQPSPEPEDPGVPFNTGARLILQHVQALLVKRFHHTIRSRKDFVAQIVLPATFVFLALM LSIIVPPFGEFPALTLHPWMYGHQYTFFSMDEPNNEHLEVLADVLLNRPGFGNRCLKEEW LPEYPCINATSWKTPSVSPNITHLFQKQKWTAAHPSPSCKCSTREKLTMLPECPEGAGGL PPPQRTQRSTEVLQDLTNRNISDYLVKTYPALIRSSLKSKFWVNEQRYGGISIGGKLPAI PISGEALVGFLSGLGQMMNVSGGPVTREASKEMLDFLKHLETTDNIKVWFNNKGWHALVS FLNVAHNAILRASLPRDRDPEEYGITVISQPLNLTKEQLSDITVLTTSVDAVVAICVIFA MSFVPASFVLYLIQERVTKAKHLQFISGVSPTTYWLTNFLWDIMNYAVSAGLVVGIFIGF QKKAYTSPDNLPALVSLLMLYGWAVIPMMYPASFLFEVPSTAYVALSCANLFIGINSSAI TFVLELFENNRTLLRFNAMLRKLLIVFPHFCLGRGLIDLALSQAVTDVYAQFGEEYSANP FQWDLIGKNLVAMAIEGVVYFLLTLLIQHHFFLTRWIAEPAREPVFDEDDDVAEERQRVM SGGNKTDILKLNELTKVYSGSSSPAVDRLCVGVRPGECFGLLGVNGAGKTTTFKMLTGDT TVTSGDATVAGKSILTSISDVHQNMGYCPQFDAIDDLLTGREHLYLYARLRGVPSKEIEK VANWGIQSLGLSLYADRLAGTYSGGNKRKLSTAIALTGCPPLLLLDEPTTGMDPQARRML WNTIVSIIREGRAVVLTSHSMEECEALCTRLAIMVKGTFQCLGTIQHLKYKFGDGYIVTM KIKSPKDDLLPDLNPVEQFFQGNFPGSVQRERHHSMLQFQVPSSSLARIFQLLISHKDSL LIEEYSVTQTTLDQVFVNFAKQQTETYDLPLHPRAAGASWQAKLEEKSGRLQTQEPLPAG SEQLANGSNPTAAEDKHTRSPQ >ENSMUSP00000104140.1 pep:known chromosome:GRCm38:11:72389164:72411711:-1 gene:ENSMUSG00000045667.14 transcript:ENSMUST00000108500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smtnl2 description:smoothelin-like 2 [Source:MGI Symbol;Acc:MGI:2442764] MQGLQRGVERRVAEALRLAGPLARTVAELQRDNQRLQAQLERLTRQVEALGLATGVSPAP GTPSPPPAATVTDRAPRLGTARFSSHATFSLSGRSPSVEHDEASDLEVRRASNSCILENG HQLDAGPANGSSEVQTSSAQEPPRPRPVSLSLRMPHQPVTAVTRVSEKFSGETSASALSP TSAAIVGGFTPSPSEAISPWTPSPTEKSSSFTRSLSGSGYGAVTAGKRKDSPPLVTPPQS PPSSQPPAMTQAPRQGERRRELVRSQTLPRTSGAQARKALFEKWEQDTASKGKGETRAKL KRSQSFGVASASSIKQILLEWCRSKTVGYQHVDLQNFSSSWSDGMAFCALVHSFFPDAFD YNALSPTQRQKNFELAFTMAENLANCERLIEVEDMMVMGRKPDPMCVFTYVQSLYNHLRR FE >ENSMUSP00000059043.6 pep:known chromosome:GRCm38:11:72390114:72411713:-1 gene:ENSMUSG00000045667.14 transcript:ENSMUST00000050226.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smtnl2 description:smoothelin-like 2 [Source:MGI Symbol;Acc:MGI:2442764] MEPSPDAEEAHTVREALGRYEAALEGAVRALHEDMQGLQRGVERRVAEALRLAGPLARTV AELQRDNQRLQAQLERLTRQVEALGLATGVSPAPGTPSPPPAATVTDRAPRLGTARFSSH ATFSLSGRSPSVEHDEASDLEVRRASNSCILENGHQLDAGPANGSSEVQTSSAQEPPRPR PVSLSLRMPHQPVTAVTRVSEKFSGETSASALSPTSAAIVGGFTPSPSEAISPWTPSPTE KSSSFTRSLSGSGYGAVTAGKRKDSPPLVTPPQSPPSSQPPAMTQAPRQGERRRELVRSQ TLPRTSGAQARKALFEKWEQDTASKGKGETRAKLKRSQSFGVASASSIKQILLEWCRSKT VGYQHVDLQNFSSSWSDGMAFCALVHSFFPDAFDYNALSPTQRQKNFELAFTMAENLANC ERLIEVEDMMVMGRKPDPMCVFTYVQSLYNHLRRFE >ENSMUSP00000123751.1 pep:known chromosome:GRCm38:6:29169232:29179584:-1 gene:ENSMUSG00000079654.3 transcript:ENSMUST00000159200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrt4 description:proline-rich transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:2141677] MAGRSCLELGLFCWVLLAVPVGPQPASSVPGAPLTTLTPPPQSEASMLSLNLGLNFKFHL RGPAAVWGNPVTETHPLSPGLGQESEEEEEGDLRTDPLWELLVGSPGNYLPEWGSAEGSF TPWASSLPPESTSRLSGPTKRPTAHSQPRMGTVTWATALTATAPPTSAPRPHQSELELKF DVALRAGAAPTLGHRSLPLLPSLRASLAEIAGRLGPFGFFGTTVSPLRNFSRQSYPGTTA HPSFAFEVSDSPGLFGTTGSRPPPLLERKFSSPSLLDSVASPSSASVKTTPVQQDPTVST SGPDELSPASFGNPSTQPGCEPGSCSEPELLDDLGQPPASPLPLFFLTLEADWAEARARW GLAWEAHVYGAGALFGLVALLALLALALLPWRCPPGAPCLALLDLLLLSAGTTRAFPLFY DAYGHRDRLPTLVWLLLQDLPLPCLAAGLGLACLLLARPRTPRCPAGLAALLLLGLGLAA AAALGSAVHRPLRPLRLASRGLHAFLAAFLSGLLLALSCWGGRRRRAGAPLGGAGFKGAT PVPQVRSPFAPRESWRRAARTAPVAGTFGLLSGALQGYEVLHALGYGSQAGLEGPWPWWA FQLGLRLGEVGVALPLALLGLYPALCSPRVPRRCWAKLFRLSPGHAAPLLPGGWVPGIPD KEPLGSAIARGDAELLQLCALAGPGPDLLLQGGGCRGFEGAGANPTQSTASSPSSDCTVD FRPPSPINLRRSIEEALCSEALLAPGLFQGPAFGEALPGLGLYRTISLGNKIGAGPSEKS ENVPGSPAPPELPSPGAWPPGSSASSGSLCGLSRDSSSMLLCSSPDRPPRCPLVCVLSPP RPSESSPSLPASGSYQALSPPSRDSPEHASELQAEEALLQEQFLDACRQIDELSMGSDTI DL >ENSMUSP00000146874.1 pep:known chromosome:GRCm38:19:12995189:12999920:1 gene:ENSMUSG00000046913.2 transcript:ENSMUST00000207997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1451 description:olfactory receptor 1451 [Source:MGI Symbol;Acc:MGI:3031285] MENCTKVREFILLGLTDDPGLQVSLCIMFTLIYLIDVVGNTGLIMLVLMDSHLHTPMYFF LCNLSFVDLGYSSAVTPMVISEFFIVSKVVSYNACAAQMFFFVGFATGENYLLASMAYDR YVAVCKPLHYSTRMTTSVCICLNIVSYICGFLNAIFHVGDIFSLSFCKSNVVHHFFCDVP AVLALSCSDIHLSEVILVFLSTFNVFFALLIISVSYLFIFITVLKMKSDQGHQKALSTCA SHLTVVSIFYSTVIFMYLQPSSSHSMDADKVASMFYTMIIPTLNPLVYSLRNKEVNNAFK KVVERAKIFM >ENSMUSP00000049885.1 pep:known chromosome:GRCm38:19:12998988:12999920:1 gene:ENSMUSG00000046913.2 transcript:ENSMUST00000063144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1451 description:olfactory receptor 1451 [Source:MGI Symbol;Acc:MGI:3031285] MENCTKVREFILLGLTDDPGLQVSLCIMFTLIYLIDVVGNTGLIMLVLMDSHLHTPMYFF LCNLSFVDLGYSSAVTPMVISEFFIVSKVVSYNACAAQMFFFVGFATGENYLLASMAYDR YVAVCKPLHYSTRMTTSVCICLNIVSYICGFLNAIFHVGDIFSLSFCKSNVVHHFFCDVP AVLALSCSDIHLSEVILVFLSTFNVFFALLIISVSYLFIFITVLKMKSDQGHQKALSTCA SHLTVVSIFYSTVIFMYLQPSSSHSMDADKVASMFYTMIIPTLNPLVYSLRNKEVNNAFK KVVERAKIFM >ENSMUSP00000144426.1 pep:known chromosome:GRCm38:5:67392148:67427330:-1 gene:ENSMUSG00000092060.4 transcript:ENSMUST00000201705.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend4 description:BEN domain containing 4 [Source:MGI Symbol;Acc:MGI:3648414] TQPSYPAGSGRAPAAAAASSSSPSCTPAAPPGHPRTPAPPPPPPPPLAAPAASSSSSFAA VVRYGPGPATGACSSGAGSDGASLELSAESRMILDAFAQQCSRVLSLLNCGGKLLDSNHS QSMISCVKQEGSSYNERQDQCHIVKGVQSQTSDNIDIEMQYMQRKQQTSAFLRVFTDSLQ NYLLSGSFPTPNTSSASEYGHLADVDPLSASPVHTLGGWTSPATSESHGHPSSSTLPEEE EEEEEEGYCPRCQELEQEVISLQQENEELRRKLESIPVPCQTVLDYLKTVLLHHNQLLPQ PAEQPTEGSKQLLNNYPVYITSKQWDEAVNSSKKDGRRLLRYLIRFVFTTDELKYSCGLG KRKRSVQSGETGPERRPLDPVKVTCLRGTASLPSVSPS >ENSMUSP00000144144.1 pep:known chromosome:GRCm38:5:67392148:67427617:-1 gene:ENSMUSG00000092060.4 transcript:ENSMUST00000202131.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bend4 description:BEN domain containing 4 [Source:MGI Symbol;Acc:MGI:3648414] MEPEMQAAEEGPSAPRIYKQRGPYSVLKTFPSRRPALRAG >ENSMUSP00000144507.1 pep:known chromosome:GRCm38:5:67392148:67427617:-1 gene:ENSMUSG00000092060.4 transcript:ENSMUST00000201528.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bend4 description:BEN domain containing 4 [Source:MGI Symbol;Acc:MGI:3648414] MEPEMQAAEEGPSAPRIYKQRGPYSVLKTFPSRRPALRAG >ENSMUSP00000144639.1 pep:known chromosome:GRCm38:5:67392148:67428310:-1 gene:ENSMUSG00000092060.4 transcript:ENSMUST00000201972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend4 description:BEN domain containing 4 [Source:MGI Symbol;Acc:MGI:3648414] MEPEMQAAEEGPSAPRIYKQRGPYSVLKTFPSRRPALAKRYDRPSLLELSPARPSPLPPP PPPPPFASLAAVPISSSEPPPFPTQPSYPAGSGRAPAAAAASSSSPSCTPAAPPGHPRTP APPPPPPPPLAAPAASSSSSFAAVVRYGPGPATGACSSGAGSDGASLELSAESRMILDAF AQQCSRVLSLLNCGGKLLDSNHSQSMISCVKQEGSSYNERQDQCHIVKGVQSQTSDNIDI EMQYMQRKQQTSAFLRVFTDSLQNYLLSGSFPTPNTSSASEYGHLADVDPLSASPVHTLG GWTSPATSESHGHPSSSTLPEEEEEEEEEGYCPRCQELEQEVISLQQENEELRRKLESIP VPCQTVLDYLKTVLLHHNQLLPQPAEQPTEGSKQLLNNYPVYITSKQWDEAVNSSKKDGR RLLRYLIRFVFTTDELKYSCGLGKRKRSVQSGETGPERRPLDPVKVTCLREFIRMHCTSN PDWWMPSEEQINKVFSDAVGHARQGRAVGTFLHNGGSFYEGMDHQASQDEVFSKTQDGSG D >ENSMUSP00000132684.1 pep:known chromosome:GRCm38:5:67392147:67427799:-1 gene:ENSMUSG00000092060.4 transcript:ENSMUST00000169190.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend4 description:BEN domain containing 4 [Source:MGI Symbol;Acc:MGI:3648414] MEPEMQAAEEGPSAPRIYKQRGPYSVLKTFPSRRPALAKRYDRPSLLELSPARPSPLPPP PPPPPFASLAAVPISSSEPPPFPTQPSYPAGSGRAPAAAAASSSSPSCTPAAPPGHPRTP APPPPPPPPLAAPAASSSSSFAAVVRYGPGPATGACSSGAGSDGASLELSAESRMILDAF AQQCSRVLSLLNCGGKLLDSNHSQSMISCVKQEGSSYNERQDQCHIVKGVQSQTSDNIDI EMQYMQRKQQTSAFLRVFTDSLQNYLLSGSFPTPNTSSASEYGHLADVDPLSASPVHTLG GWTSPATSESHGHPSSSTLPEEEEEEEEEGYCPRCQELEQEVISLQQENEELRRKLESIP VPCQTVLDYLKTVLLHHNQLLPQPAEQPTEGSKQLLNNYPVYITSKQWDEAVNSSKKDGR RLLRYLIRFVFTTDELKYSCGLGKRKRSVQSGETGPERRPLDPVKVTCLREFIRMHCTSN PDWWMPSEEQINKVFSDAVGHARQGRAVGTFLHNGGSFYEGMDHQASQDEVFSKTQDGSG D >ENSMUSP00000120636.1 pep:known chromosome:GRCm38:11:51623671:51635647:-1 gene:ENSMUSG00000001054.9 transcript:ENSMUST00000136020.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rmnd5b description:required for meiotic nuclear division 5 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913339] MEQCACVERELDKVLHKFLTYGQHCEQSLEELLHSVGQLRAELASAALQGTPLSATLSLV MSQCCRKIRDTVQKLASDHKDIHSSVSRVGKAIDRNFDSEICGVVSDAVWDSREKQQQIL QMAIVEHLYQQGMLSVAEELCQESTLNVDLDFKQPFLELNRILEALHEQDLGPALEWAVS HRQRLLELNSSLEFKLHRLHFIRLLAGGPEKQLEALSYARHFQPFARLHQRASRLAVWRC PC >ENSMUSP00000001081.3 pep:known chromosome:GRCm38:11:51623673:51635896:-1 gene:ENSMUSG00000001054.9 transcript:ENSMUST00000001081.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmnd5b description:required for meiotic nuclear division 5 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913339] MEQCACVERELDKVLHKFLTYGQHCEQSLEELLHSVGQLRAELASAALQGTPLSATLSLV MSQCCRKIRDTVQKLASDHKDIHSSVSRVGKAIDRNFDSEICGVVSDAVWDSREKQQQIL QMAIVEHLYQQGMLSVAEELCQESTLNVDLDFKQPFLELNRILEALHEQDLGPALEWAVS HRQRLLELNSSLEFKLHRLHFIRLLAGGPEKQLEALSYARHFQPFARLHQREIQVMMGSL VYLRLGLEKSPYCHLLDNSHWAEICETFTRDACSLLGLSVESPLSVSFASGCVALPVLMN IKAVIEQRQCTGVWSHKDELPIEIELGMKCWYHSVFACPILRQQTSDSNPPIKLICGHVI SRDALNKLINGGKLKCPYCPMEQNPADGKRIIF >ENSMUSP00000118594.1 pep:known chromosome:GRCm38:11:51624039:51625834:-1 gene:ENSMUSG00000001054.9 transcript:ENSMUST00000147009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmnd5b description:required for meiotic nuclear division 5 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913339] XIQVMMGSLVYLRLGLEKSPYCHLLDNSHWAEICETFTRDACSLLGLSVESPLSVSPIWD RVLGLCVIPAASVSALFLSFASGCVALPVLMNIKAVIEQRQCTGVWSHKDELPIEIELGM KCWYHSVFACPILRQQTSDSNPPIKLICGHVISRDALNKLINGGKLKCPYCPMEQNPADG KRIIF >ENSMUSP00000030677.6 pep:known chromosome:GRCm38:4:133240818:133252929:1 gene:ENSMUSG00000028862.6 transcript:ENSMUST00000030677.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k6 description:mitogen-activated protein kinase kinase kinase 6 [Source:MGI Symbol;Acc:MGI:1855691] MAGPCPGAGVLERAGSCWQDPLAEALSRGRSSPAVTGRGCARSRPLSVVYVLTREPGLGV EPGSGTEAEPLPLRCLREACAQLQGTRPPPQLRSLPFATLALGDTAALDSFYNADVVVLE VSSSLAQPSLFYHLGVRESFSMTNNVLLCSQAELPDLQALREDVFQKNSDCVGSYTLIPY VVTATGRVLCGDAGLLRGIADGLVQAGAGTEALLTPLVGRLVRLLEATPTDSCGYFRETI RQDIRQARERFSGQQLRQELARLQRRLDSVELLSPDIVMNLLLSYRDVQDYSAIIELVET LQALPTCDVAEQHNVCFHYTFALNRRNRPGDREKALAVLLPLVKYEGPVAPDLYCMCGRI YKDMFFTSGFQNAGHLEQAYHWYRKAFDVEPSLHSGINAAVLLIAAGQHFEDSEELRLIG MKLACLLARKGCVEKMQYYWDVGFYLGAQILANDPIQVVLAAEQLYKLNAPIWYLVSVME TFLLYQHFRPTPEPSGGPPLRAHFWLHFLLQSCQPFKMAPPQEDQCLVLVLEINKVLLPA RLEIQGTDPVSTVTLSLLDPETQEDPSSWTFPVTSICGISTSKLDQRCCFLYALPPAQDV QLCFPSVERCQRFCGLIQVLVMNPDSSAPTEEAEGAREVLEFDYEYSETGERLVLGRGTY GVVYAGRDRHTRVRIAIKEIPERDSRFSQPLHEEIALHKRLRHKNIVRYLGSASQGGYLK IFMEEVPGGSLSSLLRSVWGPLKDNESTISFYTRQILQGLSYLHENRIVHRDIKGDNVLI NTFSGLLKISDFGTSKRLAGITPCTETFTGTLQYMAPEIIDQGPRGYGKAADIWSLGCTV IEMATGRPPFHELGSPQAAMFQVGMYKVHPPVPGSLSAEAQAFLLRTFEPDPRLRASAQE LLGDPFLQPGKRSRSPGSPRHTPRPSGAPSGPSSPSADSATQSQTFPRPQAPSQHPPSPP KRCLSYGDTSQLRVPEEPAAEEPASPEESSGLSLLHQESKRRAMLAAVLEQEVPTLAENL LEQEQDSRLSKIHVELLLRCLGAQIHTPNRRQLAQELRTLQAQLRAQSLGPALLKGPLFA FPDAVKQILRRRQIRPHWMFVLDSLLSRAVRAAMAVLDAESEKKAVLPRSEESSKEESQQ KPQESQALQSQLPPEQGPPSLMVELGLLRAETDRLRDLLAEKERECQALVQQALHRVHAE TRKYAPASETPATLPKDQNLVRWLQELSVDPATIQTLLSHSFTLQTLLTCATQDDLVYTR IRGGMVCRIWRAILAQRAGATSVTPVPRDAE >ENSMUSP00000075135.4 pep:known chromosome:GRCm38:9:20129101:20138089:1 gene:ENSMUSG00000058491.5 transcript:ENSMUST00000075717.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr869 description:olfactory receptor 869 [Source:MGI Symbol;Acc:MGI:3030703] MTQQRISLNKCPNNTEAQNLPVVSQFHLMSLSENVEVQPFLLVLFLSFYMVTVLGNLLII LAVCSDFHLHTPMYFFLSNLSWSDICLISTIVPRMIWDIGTQSRVISYVSCLTQMSMFIL FACMDSMLLTVMAYDRFVAICHPLHYKIIMNPNLCAFLLLASVLASLVDSQVHNLIVLQF THFSGMEISNFYCDPSQLLNLNCSEMFTKSIVIHFIGVFFGLFSTTGIISSYYKIISSIL RIPSKDGKYKAFSTCGSHLSVVCLFYGTATAVYIGSTSSYSPENCAVASLMYTVVIPMLN PFIYSLRNRDIKIALRKLQRRAI >ENSMUSP00000139565.1 pep:known chromosome:GRCm38:7:32836554:32837870:1 gene:ENSMUSG00000101232.1 transcript:ENSMUST00000189118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b15 description:secretoglobin, family 1B, member 15 [Source:MGI Symbol;Acc:MGI:3782584] MMLAGAVVIFGVALLLLSSWGDCGICPAMKEDVHLFLNGTSEEYVEYVKQYKDDPEILEN TEKIKQCVDSTLMEEDKAHANGFIEKIEASPLC >ENSMUSP00000091654.1 pep:known chromosome:GRCm38:7:107990367:107991299:1 gene:ENSMUSG00000096151.1 transcript:ENSMUST00000091605.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr477 description:olfactory receptor 477 [Source:MGI Symbol;Acc:MGI:3030311] MEAQNHTTVKEFILLGLTENSTLRVILFMIFLGIYTVTLVGNFSIISLIRSCPQLHTPMY LFLSHLALVDIGFSTSITPIMLTGFLGHTVTLSVAACVAQFCIAVTFGTVECFLLAVMAY DRYVAICSPLLYSTHMSPRICFLLVGASYVGGCVNSGTFTSCLLILSFCGPNQIDHFFCD FPAVLKLSCSDVSIIGIIPSISAGSIIVITVFVIAVSYTYILITILNMRSTEGRHKAFST CTSHLTAVTLYYGTITFIYVMPKSNYSTAQNKILSVFYTVVIPMLNPLIYSLRNRDVKEA LRKAIIRIFP >ENSMUSP00000139840.1 pep:known chromosome:GRCm38:5:35389108:35399730:1 gene:ENSMUSG00000067438.5 transcript:ENSMUST00000172923.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmx1 description:H6 homeobox 1 [Source:MGI Symbol;Acc:MGI:107178] MPDELTEPGRATPARASSFLIENLLAAEAKGAGRSTQGDGVREEEEEDDDDPEDEDPEQA RRRLQRRRQQRAGSGPGGEARARALGLGPRPPPGPGPPFALGCGGTTRWYPRVHGGYGGG LSPDKPPLGPPPSAAPRTSPLG >ENSMUSP00000084958.2 pep:known chromosome:GRCm38:5:35389117:35392890:1 gene:ENSMUSG00000067438.5 transcript:ENSMUST00000087674.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmx1 description:H6 homeobox 1 [Source:MGI Symbol;Acc:MGI:107178] MPDELTEPGRATPARASSFLIENLLAAEAKGAGRSTQGDGVREEEEEDDDDPEDEDPEQA RRRLQRRRQQRAGSGPGGEARARALGLGPRPPPGPGPPFALGCGGTTRWYPRVHGGYGGG LSPDTSDRDSPETGEEMGRAESAWPRCPGPGTVPREVTTQGPATGGEEAAELAEAPAVAA AATGEARGGRRKKTRTVFSRSQVFQLESTFDLKRYLSSAERAGLAASLQLTETQVKIWFQ NRRNKWKRQLAAELEAASLSPPGAQRLVRVPVLYHESPPAAAGPALPFPLAPPAPAPPPP LLGFSGALAYPLAAFPAAASVPFLRAQMPGLV >ENSMUSP00000044420.3 pep:known chromosome:GRCm38:9:103353094:103365840:-1 gene:ENSMUSG00000032803.14 transcript:ENSMUST00000035484.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdv3 description:carnitine deficiency-associated gene expressed in ventricle 3 [Source:MGI Symbol;Acc:MGI:2448759] MAETEERSLDNFFAKRDKKKKKERSSRAANAASGAGGSSAAAGSRPGDGGSLGSGARSGD GGSLGSGSRSGDGGSSGSGARSGDGGSSRSGDGGSAGPAGKAITKDENEWKEFEQREVDY SGLRVQAMQISEKEDDDNEKREDPGDNWEEGGGGSGAEKSSGPWNKTAPVQAPPAPVTVT ETPEPAMPSGVYRPPGARLTTTRKTPQGPPEIYSDTQFPSLQSTAKHVESRKDKEMEKSF EVVRHKNRDREEVSKNQALKLQLDNQYAVLENQKYSHTQYS >ENSMUSP00000112216.2 pep:known chromosome:GRCm38:9:103353104:103364897:-1 gene:ENSMUSG00000032803.14 transcript:ENSMUST00000116517.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdv3 description:carnitine deficiency-associated gene expressed in ventricle 3 [Source:MGI Symbol;Acc:MGI:2448759] MQISEKEDDDNEKREDPGDNWEEGGGGSGAEKSSGPWNKTAPVQAPPAPVTVTETPEPAM PSGVYRPPGARLTTTRKTPQGPPEIYSDTQFPSLQSTAKHVESRNRYLK >ENSMUSP00000140900.1 pep:known chromosome:GRCm38:9:103353104:103364979:-1 gene:ENSMUSG00000032803.14 transcript:ENSMUST00000190226.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdv3 description:carnitine deficiency-associated gene expressed in ventricle 3 [Source:MGI Symbol;Acc:MGI:2448759] MQISEKEDDDNEKREDPGDNWEEGGGGSGAEKSSGPWNKTAPVQAPPAPVTVTETPEPAM PSGVYRPPGARLTTTRKTPQGPPEIYSDTQFPSLQSTAKHVESRKDKEMEKSFEVVRHKN RDREEVSKNQALKLQLDNQYAVLENQKYSHTQYS >ENSMUSP00000072101.6 pep:known chromosome:GRCm38:9:103353108:103365538:-1 gene:ENSMUSG00000032803.14 transcript:ENSMUST00000072249.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdv3 description:carnitine deficiency-associated gene expressed in ventricle 3 [Source:MGI Symbol;Acc:MGI:2448759] MAETEERSLDNFFAKRDKKKKKERSSRAANAASGAGGSSAAAGSRPGDGGSLGSGARSGD GGSLGSGSRSGDGGSSGSGARSGDGGSSRSGDGGSAGPAGKAITKDENEWKEFEQREVDY SGLRVQAMQISEKEDDDNEKREDPGDNWEEGGGGSGAEKSSGPWNKTAPVQAPPAPVTVT ETPEPAMPSGVYRPPGARLTTTRKTPQGPPEIYSDTQFPSLQSTAKHVESRNRYLK >ENSMUSP00000140690.1 pep:known chromosome:GRCm38:9:103355908:103365127:-1 gene:ENSMUSG00000032803.14 transcript:ENSMUST00000189875.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdv3 description:carnitine deficiency-associated gene expressed in ventricle 3 [Source:MGI Symbol;Acc:MGI:2448759] XSGDGGSSRSGDGGSAGPAGKAITKDENEWKEFEQREVDYSGLRVQAMQISEKEDDDNEK REDPGDNWEEGGGGSGAEKSSGPWNKTAPVQAPPAPVTETPEPAMPSGVYRPPGARLTTT RKTPQGPPEIYSDTQFPSLQSTAKHVESRNRYLK >ENSMUSP00000139440.1 pep:known chromosome:GRCm38:9:103355950:103365619:-1 gene:ENSMUSG00000032803.14 transcript:ENSMUST00000189896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdv3 description:carnitine deficiency-associated gene expressed in ventricle 3 [Source:MGI Symbol;Acc:MGI:2448759] MAETEERSLDNFFAKRDKKKKKERSSRAANAASGAGGSSAAAGSRPGDGGSLGSGARSGD GGSLGSGSRSGDGGSSGSGARSGDGGSSRSGDGGSAGPAGKAITKDENEWKEFEQREVDY SGLRVQAMQISEKEDDDNEKREDPGDNWEEGGGGSGAEKSSGPWNKTAPVQAPPAPVTVT ETPEPAMPSGVYRPPGARLTTTRKTPQGPPEIYSDTQFPSLQSTAKHVESRKYLK >ENSMUSP00000062642.5 pep:known chromosome:GRCm38:9:13827716:13846541:1 gene:ENSMUSG00000037808.12 transcript:ENSMUST00000059579.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam76b description:family with sequence similarity 76, member B [Source:MGI Symbol;Acc:MGI:1920076] MAASALYACTKCTQRYPFEELSQGQQLCKECRIAHPIVKCTYCRSEFQQESKTNTICKKC AQNVKQFGTPKPCQYCNIIAAFIGTKCQRCTNSEKKYGAPQTCEQCKQQCAFDRKEEGRR KVDGKLLCWLCTLSYKRVLQKTKEQRKSLGSSHSNSSSSSLTEKDQHHSKHHHHHHHHHH RHSSGHHKVSSLSPEQEQGLWKQSHKSSAAIQNETPKKKPKLESKPSNGDSSSINQSADS GGTDNFVLISQLKEEVMSLKRLLQQRDQTILEKDKKLTELKADFQYQESNLRTKMNSMEK AHKETVEQLQAKNRELLKQVAALSKGKKFDKSGSVLTSP >ENSMUSP00000115751.1 pep:known chromosome:GRCm38:9:13827716:13846541:1 gene:ENSMUSG00000037808.12 transcript:ENSMUST00000156680.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam76b description:family with sequence similarity 76, member B [Source:MGI Symbol;Acc:MGI:1920076] MAASALYACTKCTQRYPFEELSQGQQLCKECRIAHPIVKCTYCRSEFQQESKTNTICKKC AQNVKQFGTPKPCQYCNIIAAFIGTKCQRCTNSEKKYGAPQTCEQCKQQCAFDRKEEGRR KVDGKLLCWLCTLSYKRVLQKTKEQRKSLGSSHSNSSSSSLTEKDQHHSKHHHHHHHHHH RHSSGHHKVSSLSPEQEQGLWKQSHKSSAAIQNETPKKKPKLESKPSNGDRCM >ENSMUSP00000091659.4 pep:known chromosome:GRCm38:5:145694049:145720136:-1 gene:ENSMUSG00000075551.4 transcript:ENSMUST00000094111.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp3a41a description:cytochrome P450, family 3, subfamily a, polypeptide 41A [Source:MGI Symbol;Acc:MGI:1858451] MNLFSALSLDTWVLLAIILVLLYRYGTRTHGLFKKQGIPGPKPLPFLGTVLNYYKGLWKF DMECYEKYGKTWGLFDGQMPLFVITDPEMIKNVLVKECFSVFTNRREFGPVGIMSKAISI SKDEEWKRYRALLSPTFTSGKLKEMFPVIEQYGDILVKYLMQEAEKGKPVTMKDVLGAYS IDVITSTSFGVNVDSLNNPEDPFVEKAKGILRVDFFDPLVFSVVLFPFLTPVYEMLNICM FPKDSIEFFKKFVNRMKESRLDSKQKHRVDFLQLMMNAHNNSKDKDSHKALSDMEITAQS IVFIFAGYETTSSTLSFTLYCLATHPDIQKKLQEEIDETLPNKAPPTYDTVMEMEYLDMV LNETLRLYPIGNRLERFCKKDVELNGVYIPKGSTVMIPSYALHHDPQHWPEPEEFQPERF SKENKGSIDPYLYMPFGIGPRNCIGMRFAFMTMKLALTKVMQNFSFQPCQETQIPLKLSR QGLLQPEKPIVLKVVPRDVVITGA >ENSMUSP00000023362.8 pep:known chromosome:GRCm38:16:13819251:13835451:1 gene:ENSMUSG00000022681.14 transcript:ENSMUST00000023362.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntan1 description:N-terminal Asn amidase [Source:MGI Symbol;Acc:MGI:108471] MPLLVDGQRVRLPRSAVELVRAHPPLEERARLLRGQSVQQVGPQGLLYVQQRELAVTSPK DGSISILGSDDATTCHIVVLRHTGNGATCLTHCDGSDTKAEVPLIMSSIKSFSEHAECGR LEVHLVGGFSDDRQLSQKLTHQLLSEFDKQDDDIHLVTLCVTELNDREENENHFPIIYGI AVNIKTAEIYRASFQDRGPEEQLRAARALAGGPMISIYDAKTEQLRIGPCSWTPFPQVDF WLQQDDKQILESLSTSPLAEPPHFVEHIRSTLMFLKKFPSPENILFPGNKALLYKKNKDG LWEKISSPGS >ENSMUSP00000111472.1 pep:known chromosome:GRCm38:16:13819325:13835448:1 gene:ENSMUSG00000022681.14 transcript:ENSMUST00000115805.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntan1 description:N-terminal Asn amidase [Source:MGI Symbol;Acc:MGI:108471] MPLLVDGQRVRLPRSAVELVRAHPPLEERARLLRGQSVQQVGPQGLLYVQQRELAVTSPK DGSISILGSDDATTCHIVVLRHTGNGATCLTHCDGSDTKAEVPLIMSSIKSFSEHAECGR LEVHLVGGFSDDRQLSQKLTHQLLSEFDKQDDDIHLVTLCVTELNDREENENHFPIIYGI AVNIKTAEIYRASFQDRGPEEQLRAARALAGGPSLSTSPLAEPPHFV >ENSMUSP00000104186.1 pep:known chromosome:GRCm38:7:12804977:12818854:-1 gene:ENSMUSG00000057894.10 transcript:ENSMUST00000108546.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp329 description:zinc finger protein 329 [Source:MGI Symbol;Acc:MGI:1921283] MEGFTREAPCFPILGDNWDCENQERNLRQSPLIDEKTEAQEANCGHVNLGEHLSTNPALL PSQRVPGTNGFHVFNSDIKTFDCDQTLHSCPPSYAVKGTADGDACEKATQPSMEATQLVR NQMREKSYKYTESVKSLNHFTTALCDKKIKKRSKRFYKGKDFGDILALSSSLNEKRSHSA EKPYKCAECGKCFKRNSSLVLHHRTHTGEKPYTCNDCGKSFSKNYNLIVHRRIHTGEKPY KCSKCGKAFSDGSALTQHQRIHTDSGTRNLREAPQGRGVNSEIVQLYGVRAVRVGTVAEG RTRCLQFSRGEE >ENSMUSP00000072079.7 pep:known chromosome:GRCm38:7:12804982:12818858:-1 gene:ENSMUSG00000057894.10 transcript:ENSMUST00000072222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp329 description:zinc finger protein 329 [Source:MGI Symbol;Acc:MGI:1921283] MEGFTREAPCFPILGDNWDCENQERNLRQSPLIDEKTEAQEANCGHVNLGEHLSTNPALL PSQRVPGTNGFHVFNSDIKTFDCDQTLHSCPPSYAVKGTADGDACEKATQPSMEATQLVR NQMREKSYKYTESVKSLNHFTTALCDKKIKKRSKRFYKGKDFGDILALSSSLNEKRSHSA EKPYKCAECGKCFKRNSSLVLHHRTHTGEKPYTCNDCGKSFSKNYNLIVHRRIHTGEKPY KCSKCGKAFSDGSALTQHQRIHTGEKPYACLDCGKTFNRNSSLILHQRTHTGEKPYRCNE CGKPFTDISHLTVHLRIHTGEKPYECSRCGKAFRDGSYLTQHERTHTGEKPFECVECGKS FSRNSHLIVHQKIHSGEKPYECKECGKTFIESAYLIRHQRVHTGEKPYGCNQCRKLFRNI AGLIRHQRIHTGERPYECNQCGKAFRDSSCLTKHQRIHTKETPYQCLKCGKSFRQNTHLV VHQRLHNREGPSQCPHCGKIFRRSWCLARHQRTHLEEQPTET >ENSMUSP00000113355.1 pep:known chromosome:GRCm38:7:12806097:12818852:-1 gene:ENSMUSG00000057894.10 transcript:ENSMUST00000121215.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp329 description:zinc finger protein 329 [Source:MGI Symbol;Acc:MGI:1921283] MEGFTREAPCFPILGDNWDCENQERNLRQSPLIDEKTEAQEANCGHVNLGEHLSTNPALL PSQRVPGTNGFHVFNSDIKTFDCDQTLHSCPPSYAVKGTADGDACEKATQPSMEATQLVR NQMREKSYKYTESVKSLNHFTTALCDKKIKKRSKRFYKGKDFGDILALSSSLNEKRSHSA EKPYKCAECGKCFKRNSSLVLHHRTHTGEKPYTCNDCGKSFSKNYNLIVHRRIHTGEKPY KCSKCGKAFSDGSALTQHQRIHTGEKPYACLDCGKTFNRNSSLILHQRTHTGEKPYRCNE CGKPFTDISHLTVHLRIHTGEKPYECSRCGKAFRDGSYLTQHERTHTGEKPFECVECGKS FSRNSHLIVHQKIHSGEKPYECKECGKTFIESAYLIRHQRVHTGEKPYGCNQCRKLFRNI AGLIRHQRIHTGERPYECNQCGKAFRDSSCLTKHQRIHTKETPYQCLKCGKSFRQNTHLV VHQRLHNREGPSQCPHCGKIFRRSWCLARHQRTHLEEQPTET >ENSMUSP00000085071.1 pep:known chromosome:GRCm38:19:13027404:13028327:-1 gene:ENSMUSG00000094755.1 transcript:ENSMUST00000087773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1453 description:olfactory receptor 1453 [Source:MGI Symbol;Acc:MGI:3031287] MENRTEVRCFILVGLTNDPSLQLPLSITFLLIYIITLIGNLGLILMILLDSRLHTPMYIF LGNLSLVDFCYSSTVTPKVIAGFLTGDKIMSYNACASQMFFFANFGDVENYLLASMAYDR YVAVCKPLHYATTMTTHMCASLVIGCYICGFLSASIYTMDALSLSFCESNVIHHFFCDVL AVMIVSCSDSHVNELILIYVVSFNMFFALIIILISYMFIFTNILKIHSSAGYHKAVSTCA SHFTAVSIFYGTIIFMYLQPSSSHTMDTDKIASVFYTMVICMLNPLVYSLRSKDVKSAFT KIVLRSK >ENSMUSP00000041308.8 pep:known chromosome:GRCm38:16:11136592:11176393:-1 gene:ENSMUSG00000037965.14 transcript:ENSMUST00000037633.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h7a description:zinc finger CCCH type containing 7 A [Source:MGI Symbol;Acc:MGI:2445044] MSSVSEERRKRQHSIKEGLQFIQPPLSYPGTQEQYAVYLHALVRNLFNEGNDIYRECDWR GSLIQYSEALSIANYAKSEEILIPTEIIEKLRINRIACYSNMGLRDEVLEDCNAVLSVNA SNCKALYRKAKALSDLGHYREAYDAVAKCSLAVPQDEHVIKLTQELAQKLGFKIRKAYVR AEHLLKAVPGDGAPKAVNYSVEDIEPDLLAPRQEALSVASLPTSSFSHVIGSELASVPIM PVTSVLQLQVDESPLPSTVFANGGKIPFTISDAFLDDDIVLGDEIDALLDSAPETNDAVM SSTLVRGPLPTASAAPSLPFRTQSLLGTLPMGTRYPEPSFADFFPPLTSSLEEFYSSLNS FSVTESKRDLCTSTAREGTPLNNSNSSLLLMNGPGNLLASENFLGITSQPRNDFGNFFGS VIAKPFSSGTPRHPLEGTHELRQACQICFVKSGPKLMDFTYHANVDHKCKKDILIGRIKN VEDKSWKKIRPRPTKTNYEGPYYICKDVAADDECRYPGHCTFAYCQEEIDVWTLERKGAF SREAFFGGNGKINLTVVRILQEHHGEFTFLCEKCFDHKPRMISKRNKDNSTACSHPVTKH EFEDNKCLVHILRETTVKYSKIRSFHKQCQFDICRHEVRYGCVREDECFYAHSLIELKVW IMQSERGISHDDIAQQSKQYWRSLQASMPGVQVLGNQIMPGLLNMEIKFVCAQCLRNGQV IEPDRNRKYCSAKAQHSWTKERRAMRAMSFERKKWMNIRPLPTKKQMPLQFDLCNHIANR KKCQYVGNCSFAHSPEEREVWTYMKDNKIHDIEQFYELWLKNQKTEKSDETASHCSKENG KQIHMPTDYAEVTVDFHCWMCGKNCNSEKQWQDHISSEKHKEKVFHTEDDQYCWQHRFPT GYFSICERYINGSCTEGSSCKFAHGNAELHEWEERRKALQMKFKKARKDHLIAPNDNDFG KYSFLFKDLK >ENSMUSP00000119480.1 pep:known chromosome:GRCm38:16:11145210:11176100:-1 gene:ENSMUSG00000037965.14 transcript:ENSMUST00000138185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h7a description:zinc finger CCCH type containing 7 A [Source:MGI Symbol;Acc:MGI:2445044] MSSVSEERRKRQHSIKEGLQFIQPPLSYPGTQEQYAVYLHALVRNLFNEGNDIYRECDWR GSLIQYSEALSIANYAKSEEILIPTEIIEKLRINRIACYSNMGLRDEVLEDCNAVLSVNA SNCKALYRKAKALSDLGHYREAYDAVAKCSLAVPQDEHVIKLTQELAQKLGFKIRKAYVR AEHLLKAVPGDGAPKAVNYSVEDIEPDLLAPRQEALSVASLPTSSFSHVIGSELASVPIM PVTSVLQLQVDESPLPSTVFANGGKIPFTISDAFLDDDIVLGDEIDALLDSAPETNDAVM SSTLVRGPLPTASAAPSLPFRTQSLLGTLPMGTRYPEPSFADFFPPLTSSLEEFYSSLNS FSVTESKRDLCTSTAREGTPLNNSNSSLLLMNGPGNLLASENFLGITSQPRNDFGNFFGS VIAKPFSSGTPRHPLEGTHELRQACQICFVKSGPKLMDFTYHANVDHKCKKDILIGRIKN VEDKSWKKIRPRPTKTNYEGPYYICKDVAADDECRYPGHCTFAYCQEEIDVWTLERKGAF SREAFFGGNGKINLTVVRILQEHHGEFTFLCEKCFDHKPRMISKRNKDNSTACSHPVTKH EFEDNKCLVHILRETTVKYSKIRSFHKQCQFDICRHEVRYGCVREDECFYAHSLIELKVW IMQSERGISHDDIAQQSKQYWRSLQASMPGVQVLGNQIMPGLLNMEIKFVCAQCLRNGQV IEPDRNRKYCSAKAQHSWTKERRAMRAMSFERKKWMNIRPLP >ENSMUSP00000114432.1 pep:known chromosome:GRCm38:16:11161058:11176064:-1 gene:ENSMUSG00000037965.14 transcript:ENSMUST00000142389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h7a description:zinc finger CCCH type containing 7 A [Source:MGI Symbol;Acc:MGI:2445044] MSSVSEERRKRQHSIKEGLQFIQPPLSYPGTQEQYAVYLHALVRNLFNEGNDIYRECDWR GSLIQYSEALSIANYAKSEEILIP >ENSMUSP00000114246.1 pep:known chromosome:GRCm38:16:11148513:11174977:-1 gene:ENSMUSG00000037965.14 transcript:ENSMUST00000128083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h7a description:zinc finger CCCH type containing 7 A [Source:MGI Symbol;Acc:MGI:2445044] MSSVSEERRKRQHSIKEGLQFIQPPLSYPGTQEQYAVYLHALVRNLFNEGNDIYRECDWR GSLIQYSEALSIANYAKSEEILIPTEIIEKLRINRIACYSNMGLRDEVLEDCNAVLSVNA SNCKALYRKAKALSDLGHYREAYDAVAKCSLAVPQDEHVIKLTQELAQKLGFKIRKAYVR AEHLLKAVPGDGAPKAVNYSVEDIEPDLLAPRQEALSVASLPTSSFSHVIGSELASVPIM PVTSVLQLQVDESPLPSTVFANGGKIPFTISDAFLDDDIVLGDEIDALLDSAPETNDAVM SSTLVRGPLPTASAAPSLPFRTQSLLGTLPMGTRYPEPSFADFFPPLTSSLEEFYSSLNS FSVTESKRDLCTSTAREGTPLNNSNSSLLLMNGPGNLLASENFLGITSQPRNDFGNFFGS VIAKPFSSGTPRHPLEGTHELRQACQICFVKSGPKLMDFTYHANVDHKCKKDILIGRIKN VEDKSWKKIRPRPTKTNYEGPYYICKDVAADDECRYPGHCTFAYCQEEIDVWTLERKGAF SREAFFGGNGKINLTVVRILQEHHGEFTFLCEKCFDHKPRMIS >ENSMUSP00000122525.1 pep:known chromosome:GRCm38:16:11161048:11175565:-1 gene:ENSMUSG00000037965.14 transcript:ENSMUST00000125537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h7a description:zinc finger CCCH type containing 7 A [Source:MGI Symbol;Acc:MGI:2445044] MSSVSEERRKRQHSIKEGLQFIQPPLSYPGTQEQYAVYLHALVRNLFNEGNDIYRECDWR GSLIQYSEALSIANYAKSEEILIPTEI >ENSMUSP00000120931.1 pep:known chromosome:GRCm38:16:11156528:11174944:-1 gene:ENSMUSG00000037965.14 transcript:ENSMUST00000130355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h7a description:zinc finger CCCH type containing 7 A [Source:MGI Symbol;Acc:MGI:2445044] MSSVSEERRKRQHSIKEGLQFIQPPLSYPGTQEQYAVYLHALVRNLFNEGNDIYRECDWR GSLIQYSEALSIANYAKSEEILIPTEIIEKLRINRIACYSNMGLRDEVLEDCNAVLSVNA SNCKALYRKAKALSDLGHYREAYDAVAKCSLAVPQDEHVIKLTQELAQKLGFKIRKAYVR AEHLLKAVPGDGAPKAVNYSVEDIEP >ENSMUSP00000120720.1 pep:known chromosome:GRCm38:16:11140809:11176341:-1 gene:ENSMUSG00000037965.14 transcript:ENSMUST00000140755.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zc3h7a description:zinc finger CCCH type containing 7 A [Source:MGI Symbol;Acc:MGI:2445044] MSSVSEERRKRQHSIKEGLQFIQPPLSYPGTQEQYAVYLHALVRNLFNEGNDIYRECDWR GSLIQYSEALSIANYAKSEEILIPTEIIEKLRINRIACYSNMGLRDEVLEDCNAVLSVNA SNCKALYRKAKALSDLGHYREAYDAVAKCSLAVPQDEHVIKLTQELAQKLGFKIRKAYVR AEHLLKAVPGDGAPKAVNYSVEDIEPDLLAPRQEALSVASLPTSSFSHVIGSELASVPIM PVTSVLQLQVDESPLPSTVFANGGKIPFTISDAFLDDDIVLGDEIDALLDSAPETNDAVM SSTLVRGPLPTASAAPSLPFRTQSLLGTLPMGTRYPEPSFADFFPPLTSSLEEFYSSLNS FSVTESKREIYSEEVENRGLQDDRGEGIEW >ENSMUSP00000118771.1 pep:known chromosome:GRCm38:16:11140715:11148510:-1 gene:ENSMUSG00000037965.14 transcript:ENSMUST00000140898.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zc3h7a description:zinc finger CCCH type containing 7 A [Source:MGI Symbol;Acc:MGI:2445044] XRNKDNSTACSHPVTKHEFEDNKCLVHILRETTVKYSKIRSFHKQCQFDICRHEVRYGCV REDECFYAHSLIELKVWIMQSERGISHDDIAQQSKQYWRSLQASMPGVQVLGNQIMPGLL NMEIKFVCAQCLRNGQVIEPDRNRKYCSAKAQHSWTKERRAMRAMSFEPMQPYC >ENSMUSP00000030709.8 pep:known chromosome:GRCm38:4:132732966:132757252:-1 gene:ENSMUSG00000028885.8 transcript:ENSMUST00000030709.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpdl3b description:sphingomyelin phosphodiesterase, acid-like 3B [Source:MGI Symbol;Acc:MGI:1916022] MTLLGWLIFLAPWGVAGAQLGRFWHISDLHLDPNYTVSKDPLQVCPSAGSQPVLNAGPWG DYLCDSPWALINSSLYAMKEIEPKPDFILWTGDDTPHVPNESLGEAAVLAIVERLTNLIK EVFPDTKVYAALGNHDFHPKNQFPAQSNRIYNQVAELWRPWLSNESYALFKRGAFYSEKL PGPSRAGRVVVLNTNLYYSNNEQTAGMADPGEQFRWLGDVLSNASRDGEMVYVIGHVPPG FFEKTQNKAWFRESFNEEYLKVIQKHHRVIAGQFFGHHHTDSFRMFYDNTGAPINVMFLT PGVTPWKTTLPGVVDGANNPGIRIFEYDRATLNLKDLVTYFLNLRQANVQETPRWEQEYR LTEAYQVPDASVSSMHTALTRIASEPHILQRYYVYNSVSYNHLTCEDSCRIEHVCAIQHV AFNTYATCLHGLGAKLVPGFLLILTLLPSLHVLEVL >ENSMUSP00000120014.1 pep:known chromosome:GRCm38:11:51619735:51623714:1 gene:ENSMUSG00000001056.3 transcript:ENSMUST00000127405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhp2 description:NHP2 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1098547] MTKVKAAPEESEAQAEGCSEERTYKELLVNLNPIAQPLASRRLTRKLYKCIKKAVKQKQI RRGVKEVQKFVNKGEKGIMVLAGDTLPIEVYCHLPVLCEDQNLPYVYIPSKTDLGAATGS KRPTCVIMVKPHEEYQETYDKCLEEVQALPTPL >ENSMUSP00000048742.8 pep:known chromosome:GRCm38:4:129461581:129488498:1 gene:ENSMUSG00000040859.14 transcript:ENSMUST00000048162.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsdc1 description:BSD domain containing 1 [Source:MGI Symbol;Acc:MGI:1913466] MAEGEDVGWWRSWLQQSYQAVKEKSTEALEFMKRDLTEFTQVVQHDTACTIAATASVVKE KLATEGSSGATEKVKKGLSDFLGVISDTFAPSPDKTIDCDVITLMGTPSGTAEPYDGTKA RLYSLQSDPATYCNEPDGPPELFDAWLSEFCLEEKKGEISELLVGSPSIRALYTKMVPAA VSHSEFWHRYFYKVHQLEQEQARRDALKQRADQSISEEPGWEEEEEELEGIVPSPKEAKI PKETKTTTSPEDEPAPQSPCEETPVEPPAEATPSESSESISLVTQVANPAAAPEAPELPK DLSQKLFEASLEEQSLAEDEGETGPPPPPPSKPLTPAGRASGPEPRPPARVETLREEVPT DLRVFELNSDSGKSTPSNNGKKGSSTDISEDWEKDFDLDMTEEEVQMALSKVDASGELED VEWEDWE >ENSMUSP00000122257.1 pep:known chromosome:GRCm38:4:129461836:129466990:1 gene:ENSMUSG00000040859.14 transcript:ENSMUST00000138013.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsdc1 description:BSD domain containing 1 [Source:MGI Symbol;Acc:MGI:1913466] MKRDLTEFTQVVQHDTACTIAATASVVKEKLATEGSSGATEKVKKGLSDFLGVISDTFAP SPDKTIDCDVITLMGTPSGTAEPYDGTK >ENSMUSP00000146490.1 pep:known chromosome:GRCm38:10:18129022:18201353:-1 gene:ENSMUSG00000071392.5 transcript:ENSMUST00000207827.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2l description:epithelial cell transforming sequence 2 oncogene-like [Source:MGI Symbol;Acc:MGI:3641723] MSLGSLPLESVEVESFHTRFSAWTPFKNQSLNRQLFQERVTLISHWFDLWTNKQRREFLF VILSQCSKSQLRFIQDWFSERKQVARVDFSTVLPRFISLYIFSFLNPKDLCAAAQVSWPW KFLTEQDCLWMPKCTKFGWFLPYTPTQNEYGAWKHHYIACVSSLDWLTPREAAAVYGTLN EPKTEDEEFQERRREKCLRKIIWENIAFRKKELFKARPPWLSGTRCSRLLKSTSLPGGPQ LWRDGTGFYEALERQFLRASLDALPKRSNISGSHSYPLLLKKHHHGVGRTDALPLHAILI SSRIPAYEMVMESIKPDVVAVLYEHSGVTLEGLLQLTDRALQGRKAQSLGIFSSGNSREI DLLQGYKICIKNVLWPEVRDFWEKLGSRVATEEEGGHVDLFVPLGASEAGIEVLSQLSQL TGTWFSAPTGIATGSYQHILSDWLGPHQDRTPLSNYFSESKLQAWSSFTEFLEDTLKSVR KTLNPLFKNLQKSISGRMIGQFMFDTLGMDSILNNQGPAQALADGLMELSKEGSEGSAIE DNSQDSKSSPGQSNLNVEVLVKLERKLQMNSVETRAQVVRELVQSERRYVQMLRIVQDVY AKPLRAALSSNRAILSAANIHIIFSDILHILNLNREFLDNLRDRLQEWSPAHCVGEIFIK FGSQLNIYTNFFNNYPVVLKTIEKCREMTPAFRAFLKRHDKTIVTKMLSLPELLIYPSRR FEEYIHLLYALRLHTPAGHVDRGDLTTAIDQVKKYKGYIDQENLKMREQLSDVQTLICGC PTLSEANRYLIRTQDVVQLHCCDEKMDFSLRLYEQIRDLSLLLFNDVLLVSSRSTSHTPF EKTSKTTYQFTASVALPRLLIEDIPDSKYIKNAFILRGSKREWICATEVSDDKFLWLSVL QHAIRSSMK >ENSMUSP00000147170.1 pep:known chromosome:GRCm38:10:18129022:18201353:-1 gene:ENSMUSG00000071392.5 transcript:ENSMUST00000209178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2l description:epithelial cell transforming sequence 2 oncogene-like [Source:MGI Symbol;Acc:MGI:3641723] MSLGSLPLESVEVESFHTRFSAWTPFKNQSLNRQDCLWMPKCTKFGWFLPYTPTQNEYGA WKHHYIACVSSLDWLTPREAAAVYGTLNEPKTEDEEFQERRREKCLRKIIWENIAFRKKE LFKARPPWLSGTRCSRLLKSTSLPGGPQLWRDGTGFYEALERQFLRASLDALPKRSNISG SHSYPLLLKKHHHGVGRTDALPLHAILISSRIPAYEMVMESIKPDVVAVLYEHSGVTLEG LLQLTDRALQGRKAQSLGIFSSGNSREIDLLQGYKICIKNVLWPEVRDFWEKLGSRVATE EEGGHVDLFVPLGASEAGIEVLSQLSQLTGTWFSAPTGIATGSYQHILSDWLGPHQDRTP LSNYFSESKLQAWSSFTEFLEDTLKSVRKTLNPLFKNLQKSISGRMIGQFMFDTLGMDSI LNNQGPAQALADGLMELSKEGSDKPLEFLSYFLLKKSSKTNKDFEGNYVLAECDLKETQD ALMKEGSAIEDNSQDSKSSPGQSNLNVEVLVKLERKLQMNSVETRAQVVRELVQSERRYV QMLRIVQDVYAKPLRAALSSNRAILSAANIHIIFSDILHILNLNREFLDNLRDRLQEWSP AHCVGEIFIKFGSQLNIYTNFFNNYPVVLKTIEKCREMTPAFRAFLKRHDKTIVTKMLSL PELLIYPSRRFEEYIHLLYALRLHTPAGHVDRGDLTTAIDQVKKYKGYIDQIKENLKMRE QLSDVQTLICGCPTLSEANRYLIRTQDVVQLHCCDEKMDFSLRLYEQIRDLSLLLFNDVL LVSSRSTSHTPFEKTSKTTYQFTASVALPRLLIEDIPDSKYIKNAFILRGSKREWICATE VSDDKFLWLSVLQHAIRSSMK >ENSMUSP00000146899.1 pep:known chromosome:GRCm38:10:18129022:18201353:-1 gene:ENSMUSG00000071392.5 transcript:ENSMUST00000208948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2l description:epithelial cell transforming sequence 2 oncogene-like [Source:MGI Symbol;Acc:MGI:3641723] MSLGSLPLESVEVESFHTRFSAWTPFKNQSLNRQLFQERVTLISHWFDLWTNKQRREFLF VILSQCSKSQLRFIQDWFSERKQVARVDFSTVLPRFISLYIFSFLNPKDLCAAAQVSWPW KFLTEQDCLWMPKCTKFGWFLPYTPTQNEYGAWKHHYIACVSSLDWLTPREAAAVYGTLN EPKTEDEEFQERRREKCLRKIIWENIAFRKKELFKARPPWLSGTRCSRLLKSTSLPGGPQ LWRDGTGFYEALERQFLRASLDALPKRSNISGSHSYPLLLKKHHHGVGRTDALPLHAILI SSRIPAYEMVMESIKPDVVAVLYEHSGVTLEGLLQLTDRALQGRKAQSLGIFSSGNSREI DLLQGYKICIKNVLWPEVRDFWEKLGSRVATEEEGGHVDLFVPLGASEAGIEVLSQLSQL TGTWFSAPTGIATGSYQHILSDWLGPHQDRTPLSNYFSESKLQAWSSFTEFLEDTLKSVR KTLNPLFKNLQKSISGRMIGQFMFDTLGMDSILNNQGPAQALADGLMELSKEGSDKPLEF LSYFLLKKSSKTNKDFEGNYVLAECDLKETQDALMKEGSAIEDNSQDSKSSPGQSNLNVE VLVKLERKLQMNSVETRAQVVRELVQSERRYVQMLRIVQDVYAKPLRAALSSNRAILSAA NIHIIFSDILHILNLNREFLDNLRDRLQEWSPAHCVGEIFIKFGSQLNIYTNFFNNYPVV LKTIEKCREMTPAFRAFLKRHDKTIVTKMLSLPELLIYPSRRFEEYIHLLYALRLHTPAG HVDRGDLTTAIDQVKKYKGYIDQIKENLKMREQLSDVQTLICGCPTLSEANRYLIRTQDV VQLHCCDEKMDFSLRLYEQIRDLSLLLFNDVLLVSSRSTSHTPFEKTSKTTYQFTASVAL PRLLIEDIPDSKYIKNAFILRGSKREWICATEVSDDKFLWLSVLQHAIRSSMK >ENSMUSP00000093497.4 pep:known chromosome:GRCm38:10:18129022:18210890:-1 gene:ENSMUSG00000071392.5 transcript:ENSMUST00000095817.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2l description:epithelial cell transforming sequence 2 oncogene-like [Source:MGI Symbol;Acc:MGI:3641723] MSLGSLPLESVEVESFHTRFSAWTPFKNQSLNRQLFQERVTLISHWFDLWTNKQRREFLF VILSQCSKSQLRFIQDWFSERKQVARVDFSTVLPRFISLYIFSFLNPKDLCAAAQVSWPW KFLTEQDCLWMPKCTKFGWFLPYTPTQNEYGAWKHHYIACVSSLDWLTPREAAAVYGTLN EPKTEDEEFQERRREKCLRKIIWENIAFRKKELFKARPPWLSGTRCSRLLKSTSLPGGPQ LWRDGTGFYEALERQFLRASLDALPKRSNISGSHSYPLLLKKHHHGVGRTDALPLHAILI SSRIPAYEMVMESIKPDVVAVLYEHSGVTLEGLLQLTDRALQGRKAQSLGIFSSGNSREI DLLQGYKICIKNVLWPEVRDFWEKLGSRVATEEEGGHVDLFVPLGASEAGIEVLSQLSQL TGTWFSAPTGIATGSYQHILSDWLGPHQDRTPLSNYFSESKLQAWSSFTEFLEDTLKSVR KTLNPLFKNLQKSISGRMIGQFMFDTLGMDSILNNQGPAQALADGLMELSKEGSEGSAIE DNSQDSKSSPGQSNLNVEVLVKLERKLQMNSVETRAQVVRELVQSERRYVQMLRIVQDVY AKPLRAALSSNRAILSAANIHIIFSDILHILNLNREFLDNLRDRLQEWSPAHCVGEIFIK FGSQLNIYTNFFNNYPVVLKTIEKCREMTPAFRAFLKRHDKTIVTKMLSLPELLIYPSRR FEEYIHLLYALRLHTPAGHVDRGDLTTAIDQVKKYKGYIDQIKENLKMREQLSDVQTLIC GCPTLSEANRYLIRTQDVVQLHCCDEKMDFSLRLYEQIRDLSLLLFNDVLLVSSRSTSHT PFEKTSKTTYQFTASVALPRLLIEDIPDSKYIKNAFILRGSKREWICATEVSDDKFLWLS VLQHAIRSSMK >ENSMUSP00000078275.5 pep:known chromosome:GRCm38:12:103434211:103440207:1 gene:ENSMUSG00000064215.13 transcript:ENSMUST00000079294.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi27 description:interferon, alpha-inducible protein 27 [Source:MGI Symbol;Acc:MGI:1277180] MAFSGTGTLVASIVSKMTSSAAMVKAGGAVSGTILAGSKGLILLTQSALGSATSALGALK VGTILSGFSASTLAASPIGEEPALVGREKKSDFRFSFPAAGKAARRQRKEGQSKIYLKGF EFSRAMTVAAVPPALSAVGFTASGIAASSLAAKMMSLSAIANGGGVPAGGLVAILQSAGA AGLSVPSTVIVGSAGSAVVASVMNICESFYPFLMGSEVADMATEVADMAIEVADISTEEA LPNLSNTEKD >ENSMUSP00000076068.5 pep:known chromosome:GRCm38:12:103434211:103440207:1 gene:ENSMUSG00000064215.13 transcript:ENSMUST00000076788.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi27 description:interferon, alpha-inducible protein 27 [Source:MGI Symbol;Acc:MGI:1277180] MAFSGTGTLVASIVSKMTSSAAMVKAGGAVSGTILAGSKAMTVAAVPPALSAVGFTASGI AASSLAAKMMSLSAIANGGGVPAGGLVAILQSAGAAGLSVPSTVIVGSAGSAVVASVMNI CESFYPFLMGSEVADMATEVADMAIEVADISTEEALPNLSNTEKD >ENSMUSP00000075994.5 pep:known chromosome:GRCm38:12:103434211:103440207:1 gene:ENSMUSG00000064215.13 transcript:ENSMUST00000076702.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi27 description:interferon, alpha-inducible protein 27 [Source:MGI Symbol;Acc:MGI:1277180] MAFSGTGTLVASIVSKMTSSAAMVKAGGAVSGTILAGSKGLILLTQSALGSATSALGALK VGTILSGFSASTLAASPIGAMTVAAVPPALSAVGFTASGIAASSLAAKMMSLSAIANGGG VPAGGLVAILQSAGAAGLSVPSTVIVGSAGSAVVASVMNICESFYPFLMGSEVADMATEV ADMAIEVADISTEEALPNLSNTEKD >ENSMUSP00000068729.6 pep:known chromosome:GRCm38:12:103434212:103440239:1 gene:ENSMUSG00000064215.13 transcript:ENSMUST00000066701.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi27 description:interferon, alpha-inducible protein 27 [Source:MGI Symbol;Acc:MGI:1277180] MAFSGTGTLVASIVSKMTSSAAMVKAGGAVSGTILAGSKGLILLTQSALGSATSALGALK VGTILSGFSASTLAASPIGAKAVVAVLGGAMTVAAVPPALSAVGFTASGIAASSLAAKMM SLSAIANGGGVPAGGLVAILQSAGAAGLSVPSTVIVGSAGSAVVASVMNICESFYPFLMG SEVADMATEVADMAIEVADISTEEALPNLSNTEKD >ENSMUSP00000082142.5 pep:known chromosome:GRCm38:12:103434259:103440239:1 gene:ENSMUSG00000064215.13 transcript:ENSMUST00000085065.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi27 description:interferon, alpha-inducible protein 27 [Source:MGI Symbol;Acc:MGI:1277180] MAFSGTGTLVASIVSKMTSSAAMVKAGGAVSGTILAGSKGLILLTQSALGSATSALGALK VGTILSGFSASTLAASPIGEEPALVGREKKSDFRFSFPAAGKAARRQRKEGQSKIYLKGF EFSRAKAVVAVLGGAMTVAAVPPALSAVGFTASGIAASSLAAKMMSLSAIANGGGVPAGG LVAILQSAGAAGLSVPSTVIVGSAGSAVVASVMNICESFYPFLMGSEVADMATEVADMAI EVADISTEEALPNLSNTEKD >ENSMUSP00000117403.1 pep:known chromosome:GRCm38:12:103434292:103437528:1 gene:ENSMUSG00000064215.13 transcript:ENSMUST00000140838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi27 description:interferon, alpha-inducible protein 27 [Source:MGI Symbol;Acc:MGI:1277180] MAFSGTGTLVASIVSKMTSSAAMVKAGGAVSGTILAGSKGLILLTQSALGSATSALGALK VGTILSGFSASTLAASPIGAGKAARRQRKEGQSKIYLKGFEFSRG >ENSMUSP00000113115.2 pep:known chromosome:GRCm38:10:60106219:60135198:1 gene:ENSMUSG00000058297.16 transcript:ENSMUST00000121820.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock2 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 2 [Source:MGI Symbol;Acc:MGI:1891351] MRAPGSGRLALPLLLLAVVALAEGDAKGLKEGETPGNFMEDEQWLSSISQYSGKIKHWNR FRDEVEDDYIKSWEDNQQGDEALDTTKDPCQKVKCSRHKVCVAQGYQRAMCISRKKLEHR IKQPSLKLHGGKDSVCKPCHMAQLASVCGSDGHTYSSVCKLEQQACLSSKQLAVRCEGPC PCPTEQSTASTTDSKSETCTGQDLADLGDRLRDWFQLLRENSKQNGSANSATNPAGLDKS LGASCKDSIGWMFSKLDTSGDLFLDQTELAAINLDKYEVCIRPFFNSCDTYKDGRVSTAE WCFCFWREKPPCLAELERTQIQEAAKKKPGVFIPSCDEDGYYRKMQCDQSRGDCWCVDQL GLELTGTRMHGTPDCDDIVGFSGDFGSGVGWEDEEEKETEEAGEEAEEEEGEAGEADDGG YIW >ENSMUSP00000127155.2 pep:known chromosome:GRCm38:10:60106468:60133908:1 gene:ENSMUSG00000058297.16 transcript:ENSMUST00000165024.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock2 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 2 [Source:MGI Symbol;Acc:MGI:1891351] MRAPGSGRLALPLLLLAVVALAEGDAKGLKEGETPGNFMEDEQWLSSISQYSGKIKHWNR FRDKKPDDYIKSWEDNQQGDEALDTTKDPCQKVKCSRHKVCVAQGYQRAMCISRKKLEHR IKQPSLKLHGGKDSVCKPCHMAQLASVCGSDGHTYSSVCKLEQQACLSSKQLAVRCEGPC PCPTEQSTASTTDSKSETCTGQDLADLGDRLRDWFQLLRENSKQNGSANSATNPAGLDKS LGASCKDSIGWMFSKLDTSGDLFLDQTELAAINLDKYEVCIRPFFNSCDTYKDGRVSTAE WCFCFWREKPPCLAELERTQIQEAAKKKPGVFIPSCDEDGYYRKMQCDQSRGDCWCVDQL GLELTGTRMHGTPDCDDIVGFSGDFGSGVGWEDEEEKETEEAGEEAEEEEGEAGEADDGG YIW >ENSMUSP00000073409.2 pep:known chromosome:GRCm38:19:13063382:13064422:1 gene:ENSMUSG00000094986.2 transcript:ENSMUST00000073732.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1454 description:olfactory receptor 1454 [Source:MGI Symbol;Acc:MGI:3031288] MENRTEVRCFILVGLTNDPGLQLPLFITFLLIYIITLIGNLGLILLILLDSRLHTPMYIF LGNLSLVDFCYSSTVTPKVIAGFLMGDKIMSYNACASQMFFFANFADVENYLLVSMAYDR YVAVCKPLHYATTMTTHMCVCLLIGCYICGFLNASIYTVDALSLSFCESNVVHHFFCDVL AVMIISCSDRHVNELIFVYVASFNIFFALILIIISYTFIFTNILKLQSAAGYRKAFFTCA SHFTAVSIFYGTIIFMYLQPSSSHSMDTDKIASVFYTMVIPMLNPLVYSLRNKDVKSAFT KIILRSG >ENSMUSP00000026013.5 pep:known chromosome:GRCm38:X:16619698:16687818:1 gene:ENSMUSG00000025037.6 transcript:ENSMUST00000026013.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maoa description:monoamine oxidase A [Source:MGI Symbol;Acc:MGI:96915] MTDLEKPSITGHMFDVVVIGGGISGLAAAKLLSEYKINVLVLEARDRVGGRTYTVRNEHV KWVDVGGAYVGPTQNRILRLSKELGIETYKVNVNERLVQYVKGKTYPFRGAFPPVWNPLA YLDYNNLWRTMDDMGKEIPVDAPWQARHAEEWDKITMKDLIDKICWTKTAREFAYLFVNI NVTSEPHEVSALWFLWYVRQCGGTSRIFSVTNGGQERKFVGGSGQISEQIMVLLGDKVKL SSPVTYIDQTDDNIIIETLNHEHYECKYVISAIPPVLTAKIHFKPELPPERNQLIQRLPM GAVIKCMVYYKEAFWKKKDYCGCMIIEDEEAPISITLDDTKPDGSMPAIMGFILARKAER LAKLHKDIRKRKICELYAKVLGSQEALSPVHYEEKNWCEEQYSGGCYTAYFPPGIMTLYG RVIRQPVGRIYFAGTETATQWSGYMEGAVEAGERAAREVLNALGKVAKKDIWVQEPESKD VPALEITHTFLERNLPSVPGLLKITGFSTSVALLCFVLYKFKQPQS >ENSMUSP00000117102.1 pep:known chromosome:GRCm38:17:25926421:25937162:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000133650.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] XRERGTRLWSCKATYPQMSNPLDMHPEEQVMLIFYDQRKLLLSWLHPPPVLPACQMGDTT ASTGGLADIFDTVARSEVLFRNDQFDERPVRLSHWQSEGVEASILVELAKRASGPVCLLL ASLLSLISAASACRLWKLWPLSFIRSKLSTCEQLHHRLKHLSFIFSTEKAQNPMQLMRKA NMLVSVLLDVALGLLLLSWLHSNNRIGQLANALVPVADRVAEELQHLLQWLMGAPAGLKM NRALDQVLGRFFLYHIHLWISYIHLMSPFIEHILWHVGLSACLGLTVALSIFSDIIALLT FHIYCFYVYGARLYCLKIYGLSSLWRLFRGKKWNVLRQRVDSCSYDLDQLFIGTLLFTIL VFLLPTTALYYLVFTLLRLLVITVQGLIHLLVDLINSLPLYSLGLRLCRPYRLAAGVKFR VLEKEAGRPLRLLMQVTATLKQPVEGFGEDES >ENSMUSP00000026823.8 pep:known chromosome:GRCm38:17:25926421:25942048:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000026823.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] MVLKVFFPTCCASADSGLLVGRWVPGQSSAVILAVVHFPFIPIQVKELLAQVQKASQVPV AVLGTWCHRQQEPQESLGNFLEGLGTIFSHDPWLQLCRERGTRLWSCKATYPQMSNPLDM HPEEQVMLIFYDQRKLLLSWLHPPPVLPACQMGDTTASTGGLADIFDTVARSEVLFRNDQ FDERPVRLSHWQSEGVEASILVELAKRASGPVCLLLASLLSLISAASACRLWKLWPLSFI RSKLSTCEQLHHRLKHLSFIFSTEKAQNPMQLMRKANMLVSVLLDVALGLLLLSWLHSNN RIGQLANALVPVADRVAEELQHLLQWLMGAPAGLKMNRALDQVLGRFFLYHIHLWISYIH LMSPFIEHILWHVGLSACLGLTVALSIFSDIIALLTFHIYCFYVYGARLYCLKIYGLSSL WRLFRGKKWNVLRQRVDSCSYDLDQLFIGTLLFTILVFLLPTTALYYLVFTLLRLLVITV QGLIHLLVDLINSLPLYSLGLRLCRPYRLAAGVKFRVLEKEAGRPLRLLMQINPLSYNHV MHIYRLPRCGCHPKHSWGTLCRKLVFGELIYPWRQREDKQD >ENSMUSP00000146704.1 pep:known chromosome:GRCm38:17:25926427:25942823:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000208043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] MVLKVFFPTCCASADSGLLVGRWVPGQSSAVILAVVHFPFIPIQVKELLAQVQKASQVPV AVLGTWCHRQQEPQESLGNFLEGLGTIFSHDPWLQLCRERGTRLWSCKATYPQMSNPLDM HPEEQVMLIFYDQRKLLLSWLHPPPVLPACQMGDTTASTGGLADIFDTVARSEVLFRNDQ FDERPVRLSHWQSEGVEASILVELAKRASGPVCLLLASLLSLISAASACRLWKLWPLSFI RSKLSTCEQLHHRLKHLSFIFSTEKAQNPMQLMRKANMLVSVLLDVALGLLLLSWLHSNN RIGQLANALVPVADRVAEELQHLLQWLMGAPAGLKMNRALDQVLGRFFLYHIHLWISYIH LMSPFIEHILWHVGLSACLGLTVALSIFSDIIALLTFHIYCFYVYGARLYCLKIYGLSSL WRLFRGKKWNVLRQRVDSCSYDLDQLFIGTLLFTILVFLLPTTALYYLVFTLLRLLVITV QGLIHLLVDLINSLPLYSLGLRLCRPYRLAAGVKFRVLEKEAGRPLRLLMQINPLSYNHV MHIYRLPRCGCHPKHSWGTLCRKLVFGELIYPWRQREDKQD >ENSMUSP00000094981.3 pep:known chromosome:GRCm38:17:25926428:25941935:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000097368.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] MVLKVFFPTCCASADSGLLVGRWVPGQSSAVILAVVHFPFIPIQVKELLAQVQKASQVPV AVLGTWCHRQQEPQESLGNFLEGLGTIFSHDPWLQLCRERGTRLWSCKATYPQMSNPLDM HPEEQVMLIFYDQRKLLLSWLHPPPVLPACQMGDTTASTGGLADIFDTVARSEVLFRNDQ FDERPVRLSHWQSEGVEASILVELAKRASGPVCLLLASLLSLISAASACRLWKLWPLSFI RSKLSTCEQLHHRLKHLSFIFSTEKAQNPMQLMRKANMLVSVLLDVALGLLLLSWLHSNN RIGQLANALVPVADSQSSVSVEVLWALFCSVSADACWVCLLPGQESGAAVGSSQLCEICG GHPSRKEELELGERRVAEELQHLLQWLMGAPAGLKMNRALDQVLGRFFLYHIHLWISYIH LMSPFIEHILWHVGLSACLGLTVALSIFSDIIALLTFHIYCFYVYGARLYCLKIYGLSSL WRLFRGKKWNVLRQRVDSCSYDLDQLFIGTLLFTILVFLLPTTALYYLVFTLLRLLVITV QGLIHLLVDLINSLPLYSLGLRLCRPYRLAAGVKFRVLEKEAGRPLRLLMQINPLSYNHV MHIYRLPRCGCHPKHSWGTLCRKLVFGELIYPWRQREDKQD >ENSMUSP00000146387.1 pep:known chromosome:GRCm38:17:25926452:25941894:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000208071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] MVLKVFFPTCCASADSGLLVGRWVPGQSSAVILAVVHFPFIPIQVKELLAQVQKASQVPV AVLGTWCHRQQEPQESLGNFLEGLGTIFSHDPWLQLCRERGTRLWSCKATYPQMSNPLDM HPEEQVMLIFYDQRKLLLSWLHPPPVLPACQMGDTTASTGGLADIFDTVARSEVLFRNDQ FDERPVRLSHWQSEGVEASILVELAKRASGPVCLLLASLLSLISAASACRLWKLWPLSFI RSKLSTCEQLHHRLKHLSFIFSTEKAQNPMQLMRKANMLVSVLLDVALGLLLLSWLHSNN RIGQLANALVPVADRVAEELQHLLQWLMGAPAGLKMNRALDQVLGRFFLYHIHLWISYIH LMSPFIEHILWHVGLSACLGLTVALSIFSDIIALLTFHIYCFYVYGARLYCLKIYGLSSL WRLFRGKKWNVLRQRVDSCSYDLDQLFIGTLLFTILVFLLPTTALYYLVFTLLRLLVITV QGLIHLLVDLINSLPLYSLGLRLCRPYRLADKPPVL >ENSMUSP00000146634.1 pep:known chromosome:GRCm38:17:25927438:25931551:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000207073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] GLSSLWRLFRGKKWNVLRQRVDSCSYDLDQLRLLVITVQGLIHLLVDLINSLPLYSLGLR LCRPYRLAAGVKFRVLEKEAGRPLRLLMQINPLSYNHVMHIYRLPRCGCHPKHSWGTLCR KLVFGELIYPWRQREDKQD >ENSMUSP00000120852.1 pep:known chromosome:GRCm38:17:25927492:25934801:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000123929.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] XRIGQLANALVPVADVCVSASGRSTGFERIGEVWPSWVKCGLMSM >ENSMUSP00000147200.1 pep:known chromosome:GRCm38:17:25927532:25931779:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000207496.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] XGLSACLGLTVALSIFSDIIALLTFHIYCFYVYGARLYCLKIYGLSSLWRLFRGKKWNVL RQRVDSCSYDLDQLFIGTLLFTILVFLLPTTALYYLVFTLLV >ENSMUSP00000122239.2 pep:known chromosome:GRCm38:17:25927658:25936834:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000135253.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] RASGPVCLLLASLLSLISAASACRLWKLWPLSFIRSKLSTCEQLHHRLKHLSFIFSTEKA QNPMQLMRKANMLVSVLLDVALGLLLLSWLHSNNRIGQLANALVPVADLFIGTLLFTILV FLLPTTALYYLVFTLLRLLVITVQGLIHLLVDLINSLPLYSLGLRLCRPYRLAAGVKFRV LEKEAGRPLRLLMQINPLSYNHVMHIYRLPRCGCHPKHSWGTLCRKL >ENSMUSP00000147041.1 pep:known chromosome:GRCm38:17:25931525:25935067:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000208242.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] RLKHLSFIFSTEKAQNPMQLMRKANMLVSVLLDVALGLLLLSWLHSNNRIGQLANALVPV ADAISTLCPPLLSISCGMWDSQPALD >ENSMUSP00000146785.1 pep:known chromosome:GRCm38:17:25933996:25941935:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000140304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] MVLKVFFPTCCASADSGLLVGRWVPGQSSAVILAVVHFPFIPIQVKELLAQVQKASQVPV AVLGTWCHRQQEPQESLGNFLEGLGTIFSHDPWLQLCRERGTRLWSCKATYPQMSNPLDM HPEEQVMLIFYDQRKLLLSWLHPPPVLPACQMGDTTASTGGLADIFDTVARSEVLFRNDQ FDERPVRLSHWQSEGVEASILVELAKRASGPVCLLLASLLSLISAASACRLWKLWPLSFI RSKLSTCEQLHHRLKHLSFIFSTEKAQNPMQLMRKANMLVSVLLDVALGLLLLSWLHSNN RIGQLANALVPVADVSGLGGAQLPEGWESSWAVLQLAGTALPCPHPRDPAFGPSLRYFLA LGTYVSQGSIGELPAAPS >ENSMUSP00000146415.1 pep:known chromosome:GRCm38:17:25935066:25936834:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000208499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] RASGPVCLLLASLLSLISAASACRSKLSTCEQLHHR >ENSMUSP00000115447.1 pep:known chromosome:GRCm38:17:25935079:25941933:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000139226.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] MVLKVFFPTCCASADSGLLVGRWVPGQSSAVILAVVHFPFIPIQVKELLAQVQKASQVPV AVLGTWCHRQQEPQESLGNFLEGLGTIFSHDPWLQLCRERGTRLWSCKATYPQMSNPLDM HPEEQVMLIFYDQRKLLLSWLHPPPVLPACQMGDTTASTGGLADIFDTVARSEVLFRNDQ FDERPVRLSHWQSEGVEASILVELAKRASGPVCLLLASLLSLISAASACRLWKLWPLSFI RSKLSTCE >ENSMUSP00000119772.2 pep:known chromosome:GRCm38:17:25936853:25942862:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000145745.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] MVLKVFFPTCCASADSGLLVGRWVPGQSSAVILAVVHFPFIPIQVKELLAQVQKASQVPV AVLGTWCHRQQEPQESLGNFLEGLGTIFSHDPWLQLCRERGTRLWSCKATYPQMSNPLDM HPEEQVMLIFYDQRKLLLSWLHPPPVLPACQMGDTTASTGGLADIFDTVARSEVLFRNDQ FDERPVRLSHWQSEGVEASI >ENSMUSP00000118632.1 pep:known chromosome:GRCm38:17:25937135:25944510:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000148307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] MVLKVFFPTCCASADSGLLVGRWVPGQSSAVILAVVHFPFIPIQVKELLAQVQKASQVPV AVLGTWCHRQQEPQESLGNFLEGLGTIFSHDPWLQLCRERGTRLWS >ENSMUSP00000119871.1 pep:known chromosome:GRCm38:17:25937264:25942832:-1 gene:ENSMUSG00000025728.15 transcript:ENSMUST00000148382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigq description:phosphatidylinositol glycan anchor biosynthesis, class Q [Source:MGI Symbol;Acc:MGI:1333114] MVLKVFFPTCCASADSGLLVGRWVPGQSSAVILAVVHFPFIPIQVKELLAQVQKASQVPV AVL >ENSMUSP00000104987.1 pep:known chromosome:GRCm38:11:33117430:33146795:-1 gene:ENSMUSG00000057967.12 transcript:ENSMUST00000109363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf18 description:fibroblast growth factor 18 [Source:MGI Symbol;Acc:MGI:1277980] MNRKGKLVGKPDGTSKECVFIEKVLENNYTALMSAKYSGWYVGFTKKGRPRKGPKTRENQ QDVHFMKRYPKGQAELQKPFKYTTVTKRSRRIRPTHPG >ENSMUSP00000020507.7 pep:known chromosome:GRCm38:11:33117430:33147400:-1 gene:ENSMUSG00000057967.12 transcript:ENSMUST00000020507.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf18 description:fibroblast growth factor 18 [Source:MGI Symbol;Acc:MGI:1277980] MYSAPSACTCLCLHFLLLCFQVQVLAAEENVDFRIHVENQTRARDDVSRKQLRLYQLYSR TSGKHIQVLGRRISARGEDGDKYAQLLVETDTFGSQVRIKGKETEFYLCMNRKGKLVGKP DGTSKECVFIEKVLENNYTALMSAKYSGWYVGFTKKGRPRKGPKTRENQQDVHFMKRYPK GQAELQKPFKYTTVTKRSRRIRPTHPG >ENSMUSP00000001845.5 pep:known chromosome:GRCm38:7:30186942:30198811:-1 gene:ENSMUSG00000001794.13 transcript:ENSMUST00000001845.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capns1 description:calpain, small subunit 1 [Source:MGI Symbol;Acc:MGI:88266] MFLVNSFLKGGGGGGGGGGLGGGLGNVLGGLISGAAGGGGGGGGGMGLGGGGGGGGTAMR ILGGVISAISEAAAQYNPEPPPPRSHYSNIEANESEEVRQFRKLFVQLAGDDMEVSATEL MNILNKVVTRHPDLKTDGFGIDTCRSMVAVMDSDTTGKLGFEEFKYLWNNIKKWQAIYKR FDTDRSGTIGSHELPGAFEAAGFHLNEHLYSMIIRRYADESGNMDFDNFISCLVRLDAMF RAFKSLDKNGTGQIQVNIQEWLQLTMYS >ENSMUSP00000103831.1 pep:known chromosome:GRCm38:7:30186990:30193106:-1 gene:ENSMUSG00000001794.13 transcript:ENSMUST00000108196.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capns1 description:calpain, small subunit 1 [Source:MGI Symbol;Acc:MGI:88266] MSEAAAQYNPEPPPPRSHYSNIEANESEEVRQFRKLFVQLAGDDMEVSATELMNILNKVV TRHPDLKTDGFGIDTCRSMVAVMDSDTTGKLGFEEFKYLWNNIKKWQAIYKRFDTDRSGT IGSHELPGAFEAAGFHLNEHLYSMIIRRYADESGNMDFDNFISCLVRLDAMFRAFKSLDK NGTGQIQVNIQEWLQLTMYS >ENSMUSP00000117951.2 pep:known chromosome:GRCm38:7:30186943:30195048:-1 gene:ENSMUSG00000001794.13 transcript:ENSMUST00000126116.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capns1 description:calpain, small subunit 1 [Source:MGI Symbol;Acc:MGI:88266] MFLVNSFLKGGGGGGGGGGLGGGLGNVLGGLISGAAGGGGGGGGGMGLGGGGGGGGTAMR ILGGVISAISEAAAQYNPEPPPPRSHYSNIEANESEEVRQFRKLFVQLAGDDMEVSATEL MNILNKVVTRHPDLKTDGFGIDTCRSMVAVMDSDTTGKLGFEEFKYLWNNIKKWQAIYKR FDTDRSGTIGSHELPGAFEAAGFHLNEHLYSMIIRRYADESGNMDFDNFISCLVRLDAMF RAFKSLDKNGTGQIQVNIQEWLQLTMYS >ENSMUSP00000045285.8 pep:known chromosome:GRCm38:17:24352023:24410201:1 gene:ENSMUSG00000024130.15 transcript:ENSMUST00000039013.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca3 description:ATP-binding cassette, sub-family A (ABC1), member 3 [Source:MGI Symbol;Acc:MGI:1351617] MAVLRQLTLLLWKNYTLKKRKVLVTVLELFLPLLFSGILIWLRLKIQSENVPNATVYPDQ SIQELPLFFSFPPPGGTWELAYVPSHSDAARTITETVKREFMIKMRVHGFSSEKDFEDYI RYDNHSSSVLAAVVFEHSFNHSQDPLPLAVKYHLRFSYTRRNYMWTQTGNIFLKETEGWH TTSLFPLFPSPGPREPSSPDGGEPGYIREGFLAMQHAVDKAIMRYHANTSAQQLFQKLMV ITKRFPFPPYISDPFLIAIQYQLPLLLMLSFTYTSLTIIRAVVQEKEKKLKEYMRMMGLN SWLHWSAWFLMFFLFFLIVVSFMTLLFCVKVKKDIAVLSNSDPSLVLAFLLCFAISSISF SFMVSTFFSKANIAAAVGGFLYFFTYTPYFFVAPRYNWMTLSQKLLSCLLSNVAMAMGAQ LIGKFEAKGTGIQWRDLLNPVNVDDNFCFGQVLGMLLLDSALYGLVTWYVEAVFPGQFGV PQPWHFFLMPSYWCGNPRTVVGKEEEGSDPEKALRNEYFEAEPEDLVAGIKIKHLSKVFQ VGNKDKMGIRDLTLNLYEGQITVLLGHNGAGKTTTMSLLTGLFPPTSGHAYIHGYEISQD MAQIRKSLGLCPQHDVLFDNLTVAEHLYFYAQLKGLSLQKCPEEVKQMLHILSLEDKRDL RSKFLSGGMKRKLSIGIALIAGSKVLMLDEPTSGMDAVSRRAIWDLLQQQKSDRTVLLTT HFMDEADLLGDRIAILAKGELQCCGSSLFLKQKYGAGYHMTLVKEPHCNPEGISQLVHHH VPNAMLESHAGAELSFILPKESTHRFESLFAKLEKKQKELGIASFGASVTTMEEVFLRVG KLVDTSMDIQAIQLPALQYQHERRASDWALDSNLCGVMDPTNGIGALIEEEEVLVKLNTG LALHCQQFWAMFLKKAAYSWREWKMVAAQVLVPLTCLTLALLAIHYTSEIFDDPLLKLSL NEYGRTVVPFSVPGTSRLAQQLSENLRDMLQAERQEPREVLGDLEEFLVFRASVEGGGFN ERCLVATSFKDRGELTVVTALFNNQAYHSPATALAIVDNLLFKLLCGPQASIEISNYPQP RNTLQVAKDHFNEGRKGFDIALNLLIAMAFLASTFSILAVSERAVQAKHVQFVSGVHVAT FWFSALLWDLISFLVPSLLLLVVFQAFNVHAFTRDGHMADLLLLLMLYGWAIIPLMYLMS FFFSAASTAYTRLTIFNILSGIATFIMVTIMRIPAVKLEELSRTLDHVFLVLPNHCLGMA VSNFYENYETRRYCTSSELAAHYCKKYNIQYQESFYAWSTPGVGKFVTSMAASGGIYLTL LFLIETNLLWRLRTFICAFRRRWTLAELQNRTSVLPEDQDVAEERSRILVPSLDSMLDTP LIINELSKVYDQRAPLLAVDRISLAVQKGECFGLLGFNGAGKTTTFKMLTGEETITSGDA FVGGYSISSDIGKVRQRMGYCPQFDALLDHMTGREMLVMYARLRGIPERLINACVENTLR GLLLEPHANKLVKTYSGGNKRKLSTGIALIGEPAVIFLDEPSTGMDPVARRLLWDTVARA RESGKAIVITSHSMEECEALCTRLAIMVQGQFKCLGSPQHLKSKFGSGYSLQAKVRSEGK QDALEEFKAFVDLTFPGSILEDEHQDMVHYHLPGCDLSWAKVFGILEKAKEKYGVDDYSV SQISLEQVFLSFAHLQPPTTEDGR >ENSMUSP00000113538.1 pep:known chromosome:GRCm38:17:24364482:24410201:1 gene:ENSMUSG00000024130.15 transcript:ENSMUST00000117337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca3 description:ATP-binding cassette, sub-family A (ABC1), member 3 [Source:MGI Symbol;Acc:MGI:1351617] MAVLRQLTLLLWKNYTLKKRKVLVTVLELFLPLLFSGILIWLRLKIQSENVPNATVYPDQ SIQELPLFFSFPPPGGTWELAYVPSHSDAARTITETVKREFMIKMRVHGFSSEKDFEDYI RYDNHSSSVLAAVVFEHSFNHSQDPLPLAVKYHLRFSYTRRNYMWTQTGNIFLKETEGWH TTSLFPLFPSPGPREPSSPDGGEPGYIREGFLAMQHAVDKAIMRYHANTSAQQLFQKLMV ITKRFPFPPYISDPFLIAIQYQLPLLLMLSFTYTSLTIIRAVVQEKEKKLKEYMRMMGLN SWLHWSAWFLMFFLFFLIVVSFMTLLFCVKVKKDIAVLSNSDPSLVLAFLLCFAISSISF SFMVSTFFSKANIAAAVGGFLYFFTYTPYFFVAPRYNWMTLSQKLLSCLLSNVAMAMGAQ LIGKFEAKGTGIQWRDLLNPVNVDDNFCFGQVLGMLLLDSALYGLVTWYVEAVFPGQFGV PQPWHFFLMPSYWCGNPRTVVGKEEEGSDPEKALRNEYFEAEPEDLVAGIKIKHLSKVFQ VGNKDKMGIRDLTLNLYEGQITVLLGHNGAGKTTTMSLLTGLFPPTSGHAYIHGYEISQD MAQIRKSLGLCPQHDVLFDNLTVAEHLYFYAQLKGLSLQKCPEEVKQMLHILSLEDKRDL RSKFLSGGMKRKLSIGIALIAGSKVLMLDEPTSGMDAVSRRAIWDLLQQQKSDRTVLLTT HFMDEADLLGDRIAILAKGELQCCGSSLFLKQKYGAGYHMTLVKEPHCNPEGISQLVHHH VPNAMLESHAGAELSFILPKESTHRFESLFAKLEKKQKELGIASFGASVTTMEEVFLRGR KGFDIALNLLIAMAFLASTFSILAVSERAVQAKHVQFVSGVHVATFWFSALLWDLISFLV PSLLLLVVFQAFNVHAFTRDGHMADLLLLLMLYGWAIIPLMYLMSFFFSAASTAYTRLTI FNILSGIATFIMVTIMRIPAVKLEELSRTLDHVFLVLPNHCLGMAVSNFYENYETRRYCT SSELAAHYCKKYNIQYQESFYAWSTPGVGKFVTSMAASGGIYLTLLFLIETNLLWRLRTF ICAFRRRWTLAELQNRTSVLPEDQDVAEERSRILVPSLDSMLDTPLIINELSKVYDQRAP LLAVDRISLAVQKGECFGLLGFNGAGKTTTFKMLTGEETITSGDAFVGGYSISSDIGKVR QRMGYCPQFDALLDHMTGREMLVMYARLRGIPERLINACVENTLRGLLLEPHANKLVKTY SGGNKRKLSTGIALIGEPAVIFLDEPSTGMDPVARRLLWDTVARARESGKAIVITSHSME ECEALCTRLAIMVQGQFKCLGSPQHLKSKFGSGYSLQAKVRSEGKQDALEEFKAFVDLTF PGSILEDEHQDMVHYHLPGCDLSWAKVFGILEKAKEKYGVDDYSVSQISLEQVFLSFAHL QPPTTEDGR >ENSMUSP00000078544.5 pep:known chromosome:GRCm38:17:24352046:24410201:1 gene:ENSMUSG00000024130.15 transcript:ENSMUST00000079594.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca3 description:ATP-binding cassette, sub-family A (ABC1), member 3 [Source:MGI Symbol;Acc:MGI:1351617] MAVLRQLTLLLWKNYTLKKRKVLVTVLELFLPLLFSGILIWLRLKIQSENVPNATVYPDQ SIQELPLFFSFPPPGGTWELAYVPSHSDAARTITETVKREFMIKMRVHGFSSEKDFEDYI RYDNHSSSVLAAVVFEHSFNHSQDPLPLAVKYHLRFSYTRRNYMWTQTGNIFLKETEGWH TTSLFPLFPSPGPREPSSPDGGEPGYIREGFLAMQHAVDKAIMRYHANTSAQQLFQKLMV ITKRFPFPPYISDPFLIAIQYQLPLLLMLSFTYTSLTIIRAVVQEKEKKLKEYMRMMGLN SWLHWSAWFLMFFLFFLIVVSFMTLLFCVKVKKDIAVLSNSDPSLVLAFLLCFAISSISF SFMVSTFFSKANIAAAVGGFLYFFTYTPYFFVAPRYNWMTLSQKLLSCLLSNVAMAMGAQ LIGKFEAKGTGIQWRDLLNPVNVDDNFCFGQVLGMLLLDSALYGLVTWYVEAVFPGQFGV PQPWHFFLMPSYWCGNPRTVVGKEEEGSDPEKALRNEYFEAEPEDLVAGIKIKHLSKVFQ VGNKDKMGIRDLTLNLYEGQITVLLGHNGAGKTTTMSLLTGLFPPTSGHAYIHGYEISQD MAQIRKSLGLCPQHDVLFDNLTVAEHLYFYAQLKGLSLQKCPEEVKQMLHILSLEDKRDL RSKFLSGGMKRKLSIGIALIAGSKVLMLDEPTSGMDAVSRRAIWDLLQQQKSDRTVLLTT HFMDEADLLGDRIAILAKGELQCCGSSLFLKQKYGAGYHMTLVKEPHCNPEGISQLVHHH VPNAMLESHAGAELSFILPKESTHRFESLFAKLEKKQKELGIASFGASVTTMEEVFLRVG KLVDTSMDIQAIQLPALQYQHERRASDWALDSNLCGVMDPTNGIGALIEEEEVLVKLNTG LALHCQQFWAMFLKKAAYSWREWKMVAAQVLVPLTCLTLALLAIHYTSEIFDDPLLKLSL NEYGRTVVPFSVPGTSRLAQQLSENLRDMLQAERQEPREVLGDLEEFLVFRASVEGGGFN ERCLVATSFKDRGELTVVTALFNNQAYHSPATALAIVDNLLFKLLCGPQASIEISNYPQP RNTLQVAKDHFNEGRKGFDIALNLLIAMAFLASTFSILAVSERAVQAKHVQFVSGVHVAT FWFSALLWDLISFLVPSLLLLVVFQAFNVHAFTRDGHMADLLLLLMLYGWAIIPLMYLMS FFFSAASTAYTRLTIFNILSGIATFIMVTIMRIPAVKLEELSRTLDHVFLVLPNHCLGMA VSNFYENYETRRYCTSSELAAHYCKKYNIQYQESFYAWSTPGVGKFVTSMAASGGIYLTL LFLIETNLLWRLRTFICAFRRRWTLAELQNRTSVLPEDQDVAEERSRILVPSLDSMLDTP LIINELSKVYDQRAPLLAVDRISLAVQKGECFGLLGFNGAGKTTTFKMLTGEETITSGDA FVGGYSISSDIGKVRQRMGYCPQFDALLDHMTGREMLVMYARLRGIPERLINACVENTLR GLLLEPHANKLVKTYSGGNKRKLSTGIALIGEPAVIFLDEPSTGMDPVARRLLWDTVARA RESGKAIVITSHSMEECEALCTRLAIMVQGQFKCLGSPQHLKSKFGSGYSLQAKVRSEGK QDALEEFKAFVDLTFPGSILEDEHQDMVHYHLPGCDLSWAKVFGILEKAKEKYGVDDYSV SQISLEQVFLSFAHLQPPTTEDGR >ENSMUSP00000042883.9 pep:known chromosome:GRCm38:6:124493113:124510643:1 gene:ENSMUSG00000038527.9 transcript:ENSMUST00000049124.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1rl description:complement component 1, r subcomponent-like [Source:MGI Symbol;Acc:MGI:2660692] MSGFRGLVPELENSLWSSPTTSCMSKMCWWLLWGILHTCPTQASVLLAQQSPQQLTSPGY PEPYLKGQESHTDIEAPEGFAVRLTFQDFDLEPSPDCEGDSVTISTGGTDATRLCGRQGS PLGNPPGHREFVSSGRSLRLTFQAHSSSKSKITHLHKGFLALYQAVAVNQPNGDTEAVTT PGAPKIQNHCQDPYYKADQTGTLSCPSSWKWKDRQDGGEVPECVPVCGRPVVPLAENPNT FGSSRAKLGNFPWQAFTSIYGRGGGALLGDRWILTAAHTIYPKDSIYLRRNQNVEVFLGH TDIDELLKLGNHPVRRVVVHPDYRQHESHNFNGDIALLELEQRVPLGPNLLPVCLPDNET LYHSGLWGYVSGFGVEMGWLTTKLKYSKLPVAPREACEAWLHQRQRTEVFSDNMFCVGEE MQVNSVCQGDSGSVYVVWDDLALRWVATGIVSWGIGCGKGYGFYTKVLSYMDWIKRVIEG KD >ENSMUSP00000127646.1 pep:known chromosome:GRCm38:15:89472476:89477425:-1 gene:ENSMUSG00000022620.14 transcript:ENSMUST00000165199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arsa description:arylsulfatase A [Source:MGI Symbol;Acc:MGI:88077] MALGTLFLALAAGLSTASPPNILLIFADDLGYGDLGSYGHPSSTTPNLDQLAEGGLRFTD FYVPVSLCTPSRAALLTGRLPVRSGMYPGVLGPSSQGGLPLEEVTLAEVLAARGYLTGMA GKWHLGVGPEGAFLPPHQGFHRFLGIPYSHDQGPCQNLTCFPPDIPCKGGCDQGLVPIPL LANLTVEAQPPWLPGLEARYVSFSRDLMADAQRQGRPFFLYYASHHTHYPQFSGQSFTKR SGRGPFGDSLMELDGAVGALMTTVGDLGLLEETLVIFTADNGPELMRMSNGGCSGLLRCG KGTTFEGGVREPALVYWPGHITPGVTHELASSLDLLPTLAALTGAPLPNVTLDGVDISPL LLGTGKSPRKSVFFYPPYPDEIHGVFAVRNGKYKAHFFTQGSAHSDTTSDPACHAANRLT AHEPPLLYDLSQDPGENYNVLESIEGVSPEALQALKHIQLLKAQYDAAMTFGPSQIAKGE DPALQICCQPSCTPHPVCCHCPGSQS >ENSMUSP00000130574.1 pep:known chromosome:GRCm38:15:89473240:89474271:-1 gene:ENSMUSG00000022620.14 transcript:ENSMUST00000168270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arsa description:arylsulfatase A [Source:MGI Symbol;Acc:MGI:88077] XREPALVYWPGHITPGVTHELASSLDLLPTLAALTGAPLPNVTLDGVDISPLLLGTGKSP RKSVFFYPPYPDEIHGVFAVRNGKYKAHFFTQGTLLPFLASPP >ENSMUSP00000115929.1 pep:known chromosome:GRCm38:5:136982164:136988021:-1 gene:ENSMUSG00000059518.14 transcript:ENSMUST00000156963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit1 description:zinc finger, HIT domain containing 1 [Source:MGI Symbol;Acc:MGI:1917353] MQTARQVRSQDPGQRRVLDRAARQRRINRQLEALENDNFQDDPHAGLPQLGKRLPQFDDD ADTGKKKKKTRGDHFKLRFRKNFQALLEEQNLSASEGPNYLTACAGPPSRPQRPFCAVCG FPSPYTCVSCGARYCTVRCLGTHQETRCLKWTV >ENSMUSP00000083103.5 pep:known chromosome:GRCm38:5:136982190:136986901:-1 gene:ENSMUSG00000059518.14 transcript:ENSMUST00000085941.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit1 description:zinc finger, HIT domain containing 1 [Source:MGI Symbol;Acc:MGI:1917353] MVEKKPAVRSQDPGQRRVLDRAARQRRINRQLEALENDNFQDDPHAGLPQLGKRLPQFDD DADTGKKKKKTRGDHFKLRFRKNFQALLEEQNLSASEGPNYLTACAGPPSRPQRPFCAVC GFPSPYTCVSCGARYCTVRCLGTHQETRCLKWTV >ENSMUSP00000034953.7 pep:known chromosome:GRCm38:5:136982194:136986829:-1 gene:ENSMUSG00000059518.14 transcript:ENSMUST00000034953.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit1 description:zinc finger, HIT domain containing 1 [Source:MGI Symbol;Acc:MGI:1917353] VEKKPAVRSQDPGQRRVLDRAARQRRINRQLEALENDNFQDDPHAGLPQLGKRLPQFDDD ADTGKKKKKTRGDHFKLRFRKNFQALLEEQNLSASEGPNYLTACAGPPSRPQRPFCAVCG FPSPYTCVSCGARYCTVRCLGTHQETR >ENSMUSP00000106719.2 pep:known chromosome:GRCm38:5:136982296:136987543:-1 gene:ENSMUSG00000059518.14 transcript:ENSMUST00000111090.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit1 description:zinc finger, HIT domain containing 1 [Source:MGI Symbol;Acc:MGI:1917353] MQTARQVRSQDPGQRRVLDRAARQRRINRQLEALENDNFQDDPHAGLPQLGKRLPQFDDD ADTGKKKKKTRGDHFKLRFRKNFQALLEEQNLSASEGPNYLTACAGPPSRPQRPFCAVCG FPSPYTCVSCGARYCTVRCLGTHQETR >ENSMUSP00000106720.1 pep:known chromosome:GRCm38:5:136982321:136987232:-1 gene:ENSMUSG00000059518.14 transcript:ENSMUST00000111091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit1 description:zinc finger, HIT domain containing 1 [Source:MGI Symbol;Acc:MGI:1917353] MLWETQHQEWAVRSQDPGQRRVLDRAARQRRINRQLEALENDNFQDDPHAGLPQLGKRLP QFDDDADTGKKKKKTRGDHFKLRFRKNFQALLEEQNLSASEGPNYLTACAGPPSRPQRPF CAVCGFPSPYTCVSCGARYCTVRCLGTHQETRCLKWTV >ENSMUSP00000120331.1 pep:known chromosome:GRCm38:5:136982922:136987508:-1 gene:ENSMUSG00000059518.14 transcript:ENSMUST00000137272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit1 description:zinc finger, HIT domain containing 1 [Source:MGI Symbol;Acc:MGI:1917353] MLWETQHQEWAVRSQDPGQRRVLDRAARQRRINRQLEALENDNFQDDPHAGLPQLGKRLP QFDDDADTGKKKKKTRG >ENSMUSP00000032920.3 pep:known chromosome:GRCm38:7:126975914:126980162:1 gene:ENSMUSG00000030682.4 transcript:ENSMUST00000032920.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdipt description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase (phosphatidylinositol synthase) [Source:MGI Symbol;Acc:MGI:105491] MPEENIFLFVPNLIGYARIVFAIISFYFMPCCPFTASSFYLLSGLLDAFDGHAARALNQG TRFGAMLDMLTDRCATMCLLVNLALLYPRATLLFQLSMSLDVASHWLHLHSSVVRGSESH KMIDLSGNPVLRIYYTSRPALFTLCAGNELFYCLLYLFNFSEGPLVGSVGLFRMGLWVTA PIALLKSVISVIHLITAARNMAALDAADRAKKK >ENSMUSP00000145841.1 pep:known chromosome:GRCm38:7:126976378:126979403:1 gene:ENSMUSG00000030682.4 transcript:ENSMUST00000206780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdipt description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase (phosphatidylinositol synthase) [Source:MGI Symbol;Acc:MGI:105491] MLDMLTDRCATMCLLVNLALLYPRATLLFQLSMSLDVASHWLHLHSSVVRGSESHKMIDL SGNPVLRIYYTSRPALFTLCAGNELFYCLLYLFNFSEGPL >ENSMUSP00000145918.1 pep:known chromosome:GRCm38:7:126976436:126980499:1 gene:ENSMUSG00000030682.4 transcript:ENSMUST00000206794.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdipt description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase (phosphatidylinositol synthase) [Source:MGI Symbol;Acc:MGI:105491] MPEENIFLFVPNLIGYARIVFAIISFYFMPCCPFTASSFYLLSGLLDAFDGHAARALNQG TRFGAMLDMLTDRCATMCLLVNLALLYPRATLLFQLSMSLDVASHWLHLHSSSAQ >ENSMUSP00000145579.1 pep:known chromosome:GRCm38:7:126976458:126980501:1 gene:ENSMUSG00000030682.4 transcript:ENSMUST00000206170.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdipt description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase (phosphatidylinositol synthase) [Source:MGI Symbol;Acc:MGI:105491] MPEENIFLFVPNLIGYARIVFAIISFYFMPCCPFTASSFYLLSGLLDAFDGHAARALNQG TRFGAMLDMLTDRCATMCLLVNLALLYPRATLLFQLSMSLDVASHWLHLHSSVVRGSESH KMIDLSGNPVLRIYYTSRKSLWVTVGTWPYVLGSLILCAACSVHPVCWK >ENSMUSP00000146137.1 pep:known chromosome:GRCm38:7:126976588:126979651:1 gene:ENSMUSG00000030682.4 transcript:ENSMUST00000206346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdipt description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase (phosphatidylinositol synthase) [Source:MGI Symbol;Acc:MGI:105491] MPEENIFLFVPNLIGYARIVFAIISFYFMPCCPFTASSFYLLSGLLDAFDGHAARALNQG TRFGAMLDMLTDRCATMCLLVNLALLYPRATLLFQLSMSLDVASHWLHLHSSVVRGSESH KMIDLSGNPVLRIYYTSRPYIVCSLLCSPCVLEMNSSTASCISSISPRDH >ENSMUSP00000146256.1 pep:known chromosome:GRCm38:7:126976730:126980037:1 gene:ENSMUSG00000030682.4 transcript:ENSMUST00000206450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdipt description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase (phosphatidylinositol synthase) [Source:MGI Symbol;Acc:MGI:105491] MPEENIFLFVPNLIGYARIVFAIISFYFMPCCPFTASSFYLLSGLLDAFDGHAARALNQG TRFGAMLDMLTDRCATMCLLVNLALLYPRATLLFQLSMSLDVASHWLHLHSSVVRGSESH KMIDLSGNPVLRIYYTSRSALWAFSGWASGSQLPSRCSSPSLVSSTSSRLQETWLPWMQQ TAPRRNDPLPSPAVHLPWVSTVPRDSSPPKRSQCHVFLMCSLTCWD >ENSMUSP00000145787.1 pep:known chromosome:GRCm38:7:126976853:126979821:1 gene:ENSMUSG00000030682.4 transcript:ENSMUST00000205830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdipt description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase (phosphatidylinositol synthase) [Source:MGI Symbol;Acc:MGI:105491] MPCCPFTASSFYLLSGLLDAFDGHAARALNQGTRFGAMLDMLTDRCATMCLLVNLALLYP RATLLFQLSMSLDVASHWLHLHSSVVRGSESHKMIDLSGNPVLRIYYTSRPALFTLCAGN ELFYCLLYLFNFSEGPLVGSVGLFRMGLWVTAPIALLKSVISVIHLITAARNMAALDAAD RAKKK >ENSMUSP00000051579.8 pep:known chromosome:GRCm38:1:24177610:24252684:1 gene:ENSMUSG00000026147.16 transcript:ENSMUST00000054588.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col9a1 description:collagen, type IX, alpha 1 [Source:MGI Symbol;Acc:MGI:88465] MKNFWKISVFFCVCSCLGPWVSATLKRRARFPANSISNGGSELCPKIRIGQDDLPGFDLI SQFQIEKAASRRTIQRVVGSTALQVAYKLGSNVDFRIPTRHLYPSGLPEEYSFLTTFRMT GSTLEKHWNIWQIQDSAGREQVGVKINGQTKSVAFSYKGLDGSLQTAAFLNLPSLFDSRW HKLMIGVERTSATLFIDCIRIESLPIKPRGQIDADGFAVLGKLVDNPQVSVPFELQWMLI HCDPLRPRRETCHELPIRITTSQTTDERGPPGEQGPPGPPGPPGVPGIDGIDGDRGPKGP PGPPGPPGDPGKPGAPGKPGTPGADGLTGPDGSPGSVGPRGQKGEPGVPGSRGFPGRGIP GPPGPPGTTGLPGELGRVGPIGDPGKRGPPGPPGPPGPSGTIGFHDGDPLCPNSCPPGRS GYPGLPGMRGHKGAKGEIGEPGRQGHKGEEGDQGELGEVGDQGPPGPQGLRGITGIVGDK GEKGARGFDGEPGPQGIPGAAGDQGQRGPPGETGPEGDRGIQGSRGIPGSPGPKGDTGLP GVDGRDGIPGMPGTKGEAGKPGPPGDVGLQGLPGVPGIPGAKGVAGEKGNTGAPGKPGQL GSSGKPGQQGPPGEVGPRGPRGLPGSRGPVGPEGSPGIPGKLGSVGSPGLPGLPGPPGLP GMKGDRGVFGEPGPKGEQGASGEEGEAGARGDLGDMGQPGPKGSVGNPGEPGLRGPEGIR GLPGVEGPRGPPGPRGMQGDQGATGLPGIQGPPGRAPTDQHIKQVCMRVVQEHFAEMAAS LKRPDTGASGLPGRPGPPGPPGPPGENGFPGQMGIRGLPGIKGPPGALGLRGPKGDLGEK GERGPPGRGPKGLPGAIGLPGDPGPASYGKNGRDGEQGPPGVAGIPGVPGPPGPPGPPGF CEPASCTLQSGQRAFSKGPDK >ENSMUSP00000085687.2 pep:known chromosome:GRCm38:1:24195187:24252684:1 gene:ENSMUSG00000026147.16 transcript:ENSMUST00000088349.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col9a1 description:collagen, type IX, alpha 1 [Source:MGI Symbol;Acc:MGI:88465] MAWAAWGRGVLGLSLMLSGLRLCAAQRGPPGEQGPPGPPGPPGVPGIDGIDGDRGPKGPP GPPGPPGDPGKPGAPGKPGTPGADGLTGPDGSPGSVGPRGQKGEPGVPGSRGFPGRGIPG PPGPPGTTGLPGELGRVGPIGDPGKRGPPGPPGPPGPSGTIGFHDGDPLCPNSCPPGRSG YPGLPGMRGHKGAKGEIGEPGRQGHKGEEGDQGELGEVGDQGPPGPQGLRGITGIVGDKG EKGARGFDGEPGPQGIPGAAGDQGQRGPPGETGPEGDRGIQGSRGIPGSPGPKGDTGLPG VDGRDGIPGMPGTKGEAGKPGPPGDVGLQGLPGVPGIPGAKGVAGEKGNTGAPGKPGQLG SSGKPGQQGPPGEVGPRGPRGLPGSRGPVGPEGSPGIPGKLGSVGSPGLPGLPGPPGLPG MKGDRGVFGEPGPKGEQGASGEEGEAGARGDLGDMGQPGPKGSVGNPGEPGLRGPEGIRG LPGVEGPRGPPGPRGMQGDQGATGLPGIQGPPGRAPTDQHIKQVCMRVVQEHFAEMAASL KRPDTGASGLPGRPGPPGPPGPPGENGFPGQMGIRGLPGIKGPPGALGLRGPKGDLGEKG ERGPPGRGPKGLPGAIGLPGDPGPASYGKNGRDGEQGPPGVAGIPGVPGPPGPPGPPGFC EPASCTLQSGQRAFSKGPDK >ENSMUSP00000088380.1 pep:known chromosome:GRCm38:3:92707401:92709074:-1 gene:ENSMUSG00000068889.1 transcript:ENSMUST00000090867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1e description:late cornified envelope 1E [Source:MGI Symbol;Acc:MGI:1915944] MSCQQSQQQCQPPPKCTPKCPPKCQIPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSS GGCCSSGGCCSSGSCCSSGGGGCCLSHHRPRRSLRRHRHSSGCCSSGGSSGCCGSSGGSS GCCGSSGGSSGCCGSSQQSGDCC >ENSMUSP00000074293.7 pep:known chromosome:GRCm38:5:93093437:93174958:1 gene:ENSMUSG00000058013.11 transcript:ENSMUST00000074733.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept11 description:septin 11 [Source:MGI Symbol;Acc:MGI:1277214] MAVAVGRPSNEELRNLSLSGHVGFDSLPDQLVNKSTSQGFCFNILCVGETGIGKSTLMDT LFNTKFESDPATHNEPGVRLKARSYELQESNVRLKLTIVDTVGFGDQINKDDSYKPIVEY IDAQFEAYLQEELKIKRSLFNYHDTRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNIIP IIAKADTIAKNELHKFKSKIMSELVSNGVQIYQFPTDEETVAEINATMSVHLPFAVVGST EEVKIGNKMAKARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHTRHYELYRRC KLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVMRVKEKEAELKEAE KELHEKFDLLKRTHQEEKKKVEDKKKELEEEVSNFQKKKAAAQLLQSQAQQSGAQQTKKD KDKKNASFA >ENSMUSP00000143901.1 pep:known chromosome:GRCm38:5:93093438:93169758:1 gene:ENSMUSG00000058013.11 transcript:ENSMUST00000201700.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept11 description:septin 11 [Source:MGI Symbol;Acc:MGI:1277214] MAVAVGRPSNEELRNLSLSGHVGFDSLPDQLVNKSTSQGFCFNILCVGETGIGKSTLMDT LFNTKFESDPATHNEPGVRLKARSYELQESNVRLKLTIVDTVGFGDQINKDDSYKPIVEY IDAQFEAYLQEELKIKRSLFNYHDTRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNIIP IIAKADTIAKNELHKFKSKIMSELVSNGVQIYQFPTDEETVAEINATMSVHLPFAVVGST EEVKIGNKMAKARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHTRHYELYRRC KLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVMRVKEKEAELKEAE KELHEKFDLLKRTHQEEKKKVEDKKKELEEEVSNFQKKKAAAQLLQSQAQQSGAQQTKKD KDKKK >ENSMUSP00000144573.1 pep:known chromosome:GRCm38:5:93093448:93161246:1 gene:ENSMUSG00000058013.11 transcript:ENSMUST00000202196.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept11 description:septin 11 [Source:MGI Symbol;Acc:MGI:1277214] MDTLFNTKFESDPATHNEPGVRLKARSYELQESNVRLKLTIVDTVGFGDQINKDDSYKPI VEYIDAQFEAYLQEELKIKRSLFNYHDTRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVN IIPIIAKADTIAKNELHKFKSKIMSELVSNGVQIYQFPTDEETVAEINATMSVHLPFAVV GSTEEVKIGNKMAKARQYPWGVVQ >ENSMUSP00000144136.1 pep:known chromosome:GRCm38:5:93093451:93176437:1 gene:ENSMUSG00000058013.11 transcript:ENSMUST00000202308.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept11 description:septin 11 [Source:MGI Symbol;Acc:MGI:1277214] MAVAVGRPSNEELRNLSLSGHVGFDSLPDQLVNKSTSQGFCFNILCVGETGIGKSTLMDT LFNTKFESDPATHNEPGVRLKARSYELQESNVRLKLTIVDTVGFGDQINKDDSYKPIVEY IDAQFEAYLQEELKIKRSLFNYHDTRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNIIP IIAKADTIAKNELHKFKSKIMSELVSNGVQIYQFPTDEETVAEINATMSVHLPFAVVGST EEVKIGNKMAKARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHTRHYELYRRC KLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVMRVKEKEAELKEAE KELHEKFDLLKRTHQEEKKKVEDKKKELEEEVSNFQKKKAAAQLLQSQAQQSGAQQTKKD KDKKN >ENSMUSP00000143928.1 pep:known chromosome:GRCm38:5:93093526:93176447:1 gene:ENSMUSG00000058013.11 transcript:ENSMUST00000201421.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept11 description:septin 11 [Source:MGI Symbol;Acc:MGI:1277214] MAVAVGRPSNEELRNLSLSGHVGFDSLPDQLVNKSTSQGFCFNILCVGETGIGKSTLMDT LFNTKFESDPATHNEPGVRLKARSYELQESNVRLKLTIVDTVGFGDQINKDDSYKPIVEY IDAQFEAYLQEELKIKRSLFNYHDTRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNIIP IIAKADTIAKNELHKFKSKIMSELVSNGVQIYQFPTDEETVAEINATMSVHLPFAVVGST EEVKIGNKMAKARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHTRHYELYRRC KLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVMRVKEKEAELKEAE KELHEKFDLLKRTHQEEKKKVEDKKKELEEEVSNFQKKKAAAQLLQSQAQQSGAQQTKKD KDKKNPWLCTE >ENSMUSP00000144360.1 pep:known chromosome:GRCm38:5:93093536:93148543:1 gene:ENSMUSG00000058013.11 transcript:ENSMUST00000202415.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept11 description:septin 11 [Source:MGI Symbol;Acc:MGI:1277214] MKRRTCFNEELRNLSLSGHVGFDSLPDQLVNKSTSQGFCFNILCVGETGIGKSTLMDTLF NTKFESDPATHNEPGVRLKARSYELQESNVRLKLTIV >ENSMUSP00000144235.1 pep:known chromosome:GRCm38:5:93093571:93176077:1 gene:ENSMUSG00000058013.11 transcript:ENSMUST00000202217.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept11 description:septin 11 [Source:MGI Symbol;Acc:MGI:1277214] MAVAVGRPSNEELRNLSLSGHVGFDSLPDQLVNKSTSQGFCFNILCVGETGIGKSTLMDT LFNTKFESDPATHNEPGVRLKARSYELQESNVRLKLTIVDTVGFGDQINKDDSYKPIVEY IDAQFEAYLQEELKIKRSLFNYHDTRIHACLYFIAPTGHSLKSLDLVTMKKLDSKVNIIP IIAKADTIAKNELHKFKSKIMSELVSNGVQIYQFPTDEETVAEINATMSVHLPFAVVGST EEVKIGNKMAKARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHTRHYELYRRC KLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVMRVKEKEAELKEAE KELHEKFDLLKRTHQEEKKKVEDKKKELEEEVSNFQKKKAAAQLLQSQAQQSGAQQTKKD KDKKNSPWLCTE >ENSMUSP00000115713.1 pep:known chromosome:GRCm38:X:8271133:8272933:1 gene:ENSMUSG00000031170.14 transcript:ENSMUST00000127103.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a5 description:solute carrier family 38, member 5 [Source:MGI Symbol;Acc:MGI:2148066] MEMQEPKMNGTLSAGAAAGYRQEREGFLPTTRNPATGRKPVQFLDFEGKTSFGMSVFNLS NAIMGSGILGLAYAMAHTGVIFFLALLLCIALLSSYSIHLLLT >ENSMUSP00000111254.1 pep:known chromosome:GRCm38:X:8271151:8280179:1 gene:ENSMUSG00000031170.14 transcript:ENSMUST00000115591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a5 description:solute carrier family 38, member 5 [Source:MGI Symbol;Acc:MGI:2148066] MEMQEPKMNGTLSAGAAAGYRQEREGFLPTTRNPATGRKPVQFLDFEGKTSFGMSVFNLS NAIMGSGILGLAYAMAHTGVIFFLALLLCIALLSSYSIHLLLTCASVVGIRAYEQLGQRA FGPAGKVVVAIIICLHNVGAMSSYLFIIKSELPLVIGTFLHMDPEGDWFLKGNLLIILVS LLIILPLALMKHLGYLGYTSSLSLTCMLFFLISVIYKKFQIGCDVSHNDTVVEAEQAPLQ AFNSSCEAELFTVDSQMSYTVPIMAFAFVCHPEVLPIYTELCRPTQRRMQAVANMSIGAM FIMYGLTATFGYLTFYSTVKAEMLEMYTQEDMLILCVRLAVLLAVTLTVPVVLFPIRRAL QQLLFPSKAFSWLRHVAIALILLILVNILVICVPTIRDIFGFIGSTSAPSLIFILPSVFY LRIVPTEVEPLFSWPKIQALCFGVLGVLFMAISLGFMFANWATGQSRMSGH >ENSMUSP00000033512.4 pep:known chromosome:GRCm38:X:8271381:8280179:1 gene:ENSMUSG00000031170.14 transcript:ENSMUST00000033512.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a5 description:solute carrier family 38, member 5 [Source:MGI Symbol;Acc:MGI:2148066] MAISCAVGMEMQEPKMNGTLSAGAAAGYRQEREGFLPTTRNPATGRKPVQFLDFEGKTSF GMSVFNLSNAIMGSGILGLAYAMAHTGVIFFLALLLCIALLSSYSIHLLLTCASVVGIRA YEQLGQRAFGPAGKVVVAIIICLHNVGAMSSYLFIIKSELPLVIGTFLHMDPEGDWFLKG NLLIILVSLLIILPLALMKHLGYLGYTSSLSLTCMLFFLISVIYKKFQIGCDVSHNDTVV EAEQAPLQAFNSSCEAELFTVDSQMSYTVPIMAFAFVCHPEVLPIYTELCRPTQRRMQAV ANMSIGAMFIMYGLTATFGYLTFYSTVKAEMLEMYTQEDMLILCVRLAVLLAVTLTVPVV LFPIRRALQQLLFPSKAFSWLRHVAIALILLILVNILVICVPTIRDIFGFIGSTSAPSLI FILPSVFYLRIVPTEVEPLFSWPKIQALCFGVLGVLFMAISLGFMFANWATGQSRMSGH >ENSMUSP00000111253.1 pep:known chromosome:GRCm38:X:8271642:8280174:1 gene:ENSMUSG00000031170.14 transcript:ENSMUST00000115590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a5 description:solute carrier family 38, member 5 [Source:MGI Symbol;Acc:MGI:2148066] MEMQEPKMNGTLSAGAAAGYRQEREGFLPTTRNPATGRKPVQFLDFEGKTSFGMSVFNLS NAIMGSGILGLAYAMAHTGVIFFLALLLCIALLSSYSIHLLLTCASVVGIRAYEQLGQRA FGPAGKVVVAIIICLHNVGAMSSYLFIIKSELPLVIGTFLHMDPEGDWFLKGNLLIILVS LLIILPLALMKHLGYLGYTSSLSLTCMLFFLISVIYKKFQIGCDVSHNDTVVEAEQAPLQ AFNSSCEAELFTVDSQMSYTVPIMAFAFVCHPEVLPIYTELCRPTQRRMQAVANMSIGAM FIMYGLTATFGYLTFYSTVKAEMLEMYTQEDMLILCVRLAVLLAVTLTVPVVLFPIRRAL QQLLFPSKAFSWLRHVAIALILLILVNILVICVPTIRDIFGFIGSTSAPSLIFILPSVFY LRIVPTEVEPLFSWPKIQALCFGVLGVLFMAISLGFMFANWATGQSRMSGH >ENSMUSP00000092725.1 pep:known chromosome:GRCm38:4:43983483:43985423:1 gene:ENSMUSG00000070999.2 transcript:ENSMUST00000095107.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccin description:calicin [Source:MGI Symbol;Acc:MGI:3045316] MKLEFTEKNYNSFVLQNLNKQRKRKEYWDMALTVDHHVFFAHRNVLAAVSPLVKSLISSN DMKTTDELYITIDPNYLSPATVDQLLDYFYSGKVVISEQNVEELLRGAQYFNTPRLRIHC NDFLIKSIRRVNCLRYLFLAELFELKEVSDLAYSGIRDNFHFWASPEGSMHFMRCPPVIF GRLLRDENLHVLNEDQALSALISWVYFRKEEREKYFKKFFNYINLNAVSNKTLMFASNKL VGLENNSAHATLIESVLMDRKQERPCSLLSYQRKGALLDSVVILGGQKAHGKFNDGVFAY IIQENLWLKLSEMPYRAAALSATAAGRYIYISGGTTEQISGLKTAWRYDMDDNSWTKLPD LPIGLVFHTMVTCGGTVYSVGGSIAPRRYVSNIYRYDERKEAWCLAGKMSIPMDGTAVIT KGDRNLYIVTGRCLVKGYISRVGVVDCFDTCTGEVVQCITFPIEFNHRPLLSFHQDNILR VHSHRQSVEINLQKIKANKSTTSVPLLPNSCPLDVSHAICSIGDSKVFVCGGVTTASDVQ TKDYTINPNAYLLDQKIGEWQTLACPPEALDCPACCLAKLPCKILQRI >ENSMUSP00000026988.4 pep:known chromosome:GRCm38:13:54575015:54581128:1 gene:ENSMUSG00000025870.10 transcript:ENSMUST00000026988.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl10 description:ADP-ribosylation factor-like 10 [Source:MGI Symbol;Acc:MGI:1930788] MAPRPLGPLVLALGGAAAVLGSVLFILWKAYFGRGRERRWDRGEAWWGADTARLPQWDEW EPEDEEDEPALEELEQREVLVLGLDGSGKSTFLRMLAGKPPVEGHVPTWGFNSVRLPTKN FEVDLLEIGGSQNLRFYWKEFVNEVDVLVFMVDSTDRLRLPWARQELQKLLDRDPDLPVV IVANKQDLSGAMNMVELQQELGLLASYNQREVFLLAASIAPAGSGFGEPGTVHIWKLLLQ LLS >ENSMUSP00000116506.1 pep:known chromosome:GRCm38:13:54575047:54579016:1 gene:ENSMUSG00000025870.10 transcript:ENSMUST00000156024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl10 description:ADP-ribosylation factor-like 10 [Source:MGI Symbol;Acc:MGI:1930788] MAPRPLGPLVLALGGAAAVLGSVLFILWKAYFGRGRERRWDRGEAWWGADTARLPQWDEW EPEDEEDEPALEELEQREVLVLGLDGSGKSTFLRMLAGKPPVEGHVPTWGFNSVRLPTKN FEVDLLEIGGSQNLRFYWKEFVNEVDVLVFMVDSTDRLRLPWARQELQKLLDRDPDLPVV IVANKQVSAMGGEPVGLLTDAW >ENSMUSP00000114680.1 pep:known chromosome:GRCm38:13:54578812:54579537:1 gene:ENSMUSG00000025870.10 transcript:ENSMUST00000142246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl10 description:ADP-ribosylation factor-like 10 [Source:MGI Symbol;Acc:MGI:1930788] XRFYWKEFVNEVDVLVFMVDSTDRLRLPWARQELQKLLDRDPDLPVVIVANKQM >ENSMUSP00000107726.1 pep:known chromosome:GRCm38:5:115241412:115272898:-1 gene:ENSMUSG00000041740.16 transcript:ENSMUST00000112097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf10 description:ring finger protein 10 [Source:MGI Symbol;Acc:MGI:1859162] MPQSSPSAAATASDMDKNSGSNSSSASSGSSKGQQPPRSASAGPAGESKPKSDGKNSNGS KRYNRKREPSYPKNENFSNQSRRSNSQKSKTFNKMPPQRGGGSSKPFSSSSNGGRRDEVA EAQRAEFSPAQFSGPKKINLNHLLNFTFEPRGQAGHFEGSGHGGWGKRNKWGHKPFNKEL FLQANCQFVVSEDQDYAAHFADPDTLVNWDFVEQVRICSHEVPSCPICLYPPTAAKITRC GHIFCWACILHYLSLSEKTWSKCPICYSSVHKKDLKSVVATESRQYAVGDTITMQLMKRE KGVLVALPKSKWVNVDHPINLGDEQLSQYSKLLLASKEQVLHRVVLEEKGALEQQLAEEK HTPESCFIEAAIQEVKIREEALSGVAGGGGEVTGVVAALEHLVLMAPLATESAFQPRKQG VLEYLSAFDDEAAQVCSLDPPGPLALPLVEEEEAVSEPEACEDAEVADDSLGEGTVGPEM SQEEPITKPGFTQLSSSPCYYFYQAEDGQHMFLHPVNVRCLVREYGSLEQSPEKISATVV EIAGYSMSEDVRQRHRYLSHLPLTCEFSICELALQPPVVSKETLEMFSDDIEKRKRQRQK KAREERRRERRIEMEENKRQGRYPEVHIPLENLQQFPAFNSYTCPSDSALGPTSTEGHGA LSLSPLSRSPGSHADFLLTPLSPTASQGSPSFCVGSLEDDSPFLSFAQMLRVGKAKADGW PKTAPKKDDNSLVPPAPVDSDGESDNSDRVPVPSFQNSFSQAIEAAFMKLDTPATSDPLS EDRGGKKRKRQKQKLLFSTSVVHTK >ENSMUSP00000041778.8 pep:known chromosome:GRCm38:5:115241770:115272892:-1 gene:ENSMUSG00000041740.16 transcript:ENSMUST00000040555.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf10 description:ring finger protein 10 [Source:MGI Symbol;Acc:MGI:1859162] MPQSSPSAAATASDMDKNSGSNSSSASSGSSKGQQPPRSASAGPAGESKPKSDGKNSNGS KRYNRKREPSYPKNENFSNQSRRSNSQKSKTFNKMPPQRGGGSSKPFSSSSNGGRRDEVA EAQRAEFSPAQFSGPKKINLNHLLNFTFEPRGQAGHFEGSGHGGWGKRNKWGHKPFNKEL FLQANCQFVVSEDQDYAAHFADPDTLVNWDFVEQVRICSHEVPSCPICLYPPTAAKITRC GHIFCWACILHYLSLSEKTWSKCPICYSSVHKKDLKSVVATESRQYAVGDTITMQLMKRE KGVLVALPKSKWVNVDHPINLGDEQLSQYSKLLLASKEQVLHRVVLEEKGALEQQLAEEK HTPESCFIEAAIQEVKIREEALSGVAGGGGEVTGVVAALEHLVLMAPLATESAFQPRKGV LEYLSAFDDEAAQVCSLDPPGPLALPLVEEEEAVSEPEACEDAEVADDSLGEGTVGPEMS QEEPITKPGFTQLSSSPCYYFYQAEDGQHMFLHPVNVRCLVREYGSLEQSPEKISATVVE IAGYSMSEDVRQRHRYLSHLPLTCEFSICELALQPPVVSKETLEMFSDDIEKRKRQRQKK AREERRRERRIEMEENKRQGRYPEVHIPLENLQQFPAFNSYTCPSDSALGPTSTEGHGAL SLSPLSRSPGSHADFLLTPLSPTASQGSPSFCVGSLEDDSPFLSFAQMLRVGKAKADGWP KTAPKKDDNSLVPPAPVDSDGESDNSDRVPVPSFQNSFSQAIEAAFMKLDTPATSDPLSD RGGKKRKRQKQKLLFSTSVVHTK >ENSMUSP00000107725.2 pep:known chromosome:GRCm38:5:115241770:115272895:-1 gene:ENSMUSG00000041740.16 transcript:ENSMUST00000112096.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf10 description:ring finger protein 10 [Source:MGI Symbol;Acc:MGI:1859162] MPQSSPSAAATASDMDKNSGSNSSSASSGSSKGQQPPRSASAGPAGESKPKSDGKNSNGS KRYNRKREPSYPKNENFSNQSRRSNSQKSKTFNKMPPQRGGGSSKPFSSSSNGGRRDEVA EAQRAEFSPAQFSGPKKINLNHLLNFTFEPRGQAGHFEGSGHGGWGKRNKWGHKPFNKEL FLQANCQFVVSEDQDYAAHFADPDTLVNWDFVEQVRICSHEVPSCPICLYPPTAAKITRC GHIFCWACILHYLSLSEKTWSKCPICYSSVHKKDLKSVVATESRQYAVGDTITMQLMKRE KGVLVALPKSKWVNVDHPINLGDEQLSQYSKLLLASKEQVLHRVVLEEKGALEQQLAEEK HTPESCFIEAAIQEVKIREEALSGVAGGGGEVTGVVAALEHLVLMAPLATESAFQPRKGV LEYLSAFDDEAAQVCSLDPPGPLALPLVEEEEAVSEPEACEDAEVADDSLGEGTVGPEMS QEEPITKPGFTQLSSSPCYYFYQAEDGQHMFLHPVNVRCLVREYGSLEQSPEKISATVVE IAGYSMSEDVRQRHRYLSHLPLTCEFSICELALQPPVVSKETLEMFSDDIEKRKRQRQKK AREERRRERRIEMEENKRQGRYPEVHIPLENLQQFPAFNSYTCPSDSALGPTSTEGHGAL SLSPLSRSPGSHADFLLTPLSPTASQGSPSFCVGSLEDDSPFLSFAQMLRVGKAKADGWP KTAPKKDDNSLVPPAPVDSDGESDNSDRVPVPSFQNSFSQAIEAAFMKLDTPATSDPLSE DRGGKKRKRQKQKLLFSTSVVHTK >ENSMUSP00000131696.1 pep:known chromosome:GRCm38:5:115241779:115272301:-1 gene:ENSMUSG00000041740.16 transcript:ENSMUST00000139853.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf10 description:ring finger protein 10 [Source:MGI Symbol;Acc:MGI:1859162] XSASAGPAGESKPKSDGKNSNGSKRYNRKREPSYPKNENFSNQSRRSNSQKSKTFNKMPP QRGGGSSKPFSSSSNGGRRDEVAEAQRAEFSPAQFSGPKKINLNHLLNFTFEPRGQAGHF EGSGHGGWGKRNKWGHKPFNKELFLQANCQFVVSEDQDYAAHFADPDTLVNWDFVEQVRI CSHEVPSCPICLYPPTAAKITRCGHIFCWACILHYLSLSEKTWSKCPICYSSVHKKDLKS VVATESRQYAVGDTITMQLMKREKGVLVALPKSKWVNVDHPINLGDEQLSQYSKLLLASK EQVLHRVVLEEKGALEQQLAEEKHTPESCFIEAAIQEVKIREEALSGVAGGGGEVTGVVA ALEHLVLMAPLATESAFQPRKGVLEYLSAFDDEAAQVCSLDPPGPLALPLVEEEEAVSEP EACEDAEVADDSLGEGTVGPEMSQEEPITKPGFTQLSSSPCYYFYQAEDGQHMFLHPVNV RCLVREYGSLEQSPEKISATVVEIAGYSMSEDVRQRHRYLSHLPLTCEFSICELALQPPV VSKETLEMFSDDIEKRKRQRQKKAREERRRERRIEMEENKRQGRYPEVHIPLENLQQFPA FNSYTCPSDSALGPTSTEGHGALSLSPLSRSPGSHADAEGWQSKSRRVAQNCSKER >ENSMUSP00000094186.1 pep:known chromosome:GRCm38:X:49683504:49684463:1 gene:ENSMUSG00000071764.1 transcript:ENSMUST00000096449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1320 description:olfactory receptor 1320 [Source:MGI Symbol;Acc:MGI:3031154] MPAVGPENATMVVTEFFLLGFGDLKELNALLFLVFGIVYLLTVSANLLLVVLVCTQQGLQ TPMYFFLANLSCLEVCYTSNIVPRMLVDLLREHRMISMLGCITQLYFFGALGSTECYLLA VMSYDRYLAICRPLHYSTLLHGTLCVELAIGSWLCGFSVAAAFQAAMLSSLNFCGGNEVD HFFCDLKPLQKLSCSDPHLVNLVCMSLTSLVTLVPFGLTLVSYWKILAVVLCIPSIIGRQ KAFSTCSSHLVVVTLFYGTLILVYAVPLAGQYPVLNKTFSLFYTVITPMCNPLIYSLKNR DVKEALKKFSIWFCNFSFT >ENSMUSP00000085549.5 pep:known chromosome:GRCm38:X:77511013:77662983:1 gene:ENSMUSG00000025246.13 transcript:ENSMUST00000088217.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl1x description:transducin (beta)-like 1 X-linked [Source:MGI Symbol;Acc:MGI:1336172] MSITSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGTLVPPAALISILQKGLQYV EAEISINEDGTVFDGRPIESLSLIDAVMPDVVQTRQQAFREKLAQQQANAAAAAAAAAAT ATSTAATTPAAAAQQNPPKNGEATVNGEENGAHAINNHSKPMEIDGDVEIPPSKATVLRG HESEVFICAWNPVSDLLASGSGDSTARIWNLNENSNGGSTQLVLRHCIREGGHDVPSNKD VTSLDWNSDGTLLATGSYDGFARIWTEDGNLASTLGQHKGPIFALKWNKKGNYILSAGVD KTTIIWDAHTGEAKQQFPFHSAPALDVDWQNNTTFASCSTDMCIHVCRLGCDRPVKTFQG HTNEVNAIKWDPSGMLLASCSDDMTLKIWSMKQDACVHDLQAHSKEIYTIKWSPTGPATS NPNSNIMLASASFDSTVRLWDVERGVCIHTLTKHQEPVYSVAFSPDGKYLASGSFDKCVH IWNTQSGSLVHSYRGTGGIFEVCWNARGDKVGASASDGSVCVLDLRK >ENSMUSP00000092562.1 pep:known chromosome:GRCm38:4:99295900:99297258:1 gene:ENSMUSG00000070891.2 transcript:ENSMUST00000094955.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12689 description:predicted gene 12689 [Source:MGI Symbol;Acc:MGI:3650433] MCSISIYSGNAPGTPSPPAAADFSTAAGPRYFPERPGALPVEKLVIGWCSLPDPGAPASS SGRWWGDGVKTRVEGQEEISYAQTITMGSKPQNIYLFQPNDSIKWTPAMFLSMNHCT >ENSMUSP00000069932.5 pep:known chromosome:GRCm38:5:145773983:145805874:-1 gene:ENSMUSG00000054417.5 transcript:ENSMUST00000067479.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp3a44 description:cytochrome P450, family 3, subfamily a, polypeptide 44 [Source:MGI Symbol;Acc:MGI:2449818] MNLFSALSLDTLVLLAIILVLLYRYGTRTHGLFKKQGIPGPKPLPFLGTVLNYYTGIWKF DMECYEKYGKTWGLFDGQTPLLVITDPETIKNVLVKDCLSVFTNRREFGPVGIMSKAISI SKDEEWKRYRALLSPTFTSGRLKEMFPVIEQYGDILVKYLRQEAEKGMPVAMKDVLGAYS MDVITSTSFGVNVDSLNNPEDPFVEEAKKFLRVDFFDPLLFSVVLFPLLTPVYEMLNICM FPNDSIEFFKKFVDRMQESRLDSNQKHRVDFLQLMMNSHNNSKDKDSHKAFSNMEITVQS IIFISAGYETTSSTLSFTLYCLATHPDIQKKLQAEIDKALPNKATPTCDTVMEMEYLDMV LNETLRLYPIVTRLERVCKKDVELNGVYIPKGSMVMIPSYALHHDPQHWPDPEEFQPERF SKENKGSIDPYVYLPFGIGPRNCIGMRFALMNMKLAVTKVLQNFSFQPCQETQIPLKLSR QGILQPEKPIVLKVVPRDAVITGA >ENSMUSP00000037349.3 pep:known chromosome:GRCm38:3:92718685:92720350:-1 gene:ENSMUSG00000042124.5 transcript:ENSMUST00000047153.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1f description:late cornified envelope 1F [Source:MGI Symbol;Acc:MGI:1915078] MSCQQSQQQCQPPPKCTPKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSS GGCCSSGGCCSSGGGGCCLSHHRPRRSLRRHRHSSGCCSSGGSSGCCGSSGGSSGCCGSS GGSSGCCGSSGGSSGCCGSSQQSGDCC >ENSMUSP00000083665.4 pep:known chromosome:GRCm38:9:20237162:20260913:1 gene:ENSMUSG00000066897.5 transcript:ENSMUST00000086474.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr872 description:olfactory receptor 872 [Source:MGI Symbol;Acc:MGI:3030706] MTQQRISLNKCPNNTEAQNLPVVSQFHLMSLSENVELQPFLLVLFLSFYMVTVLGNLLII LAVCSDFHLHTPMYFFLSNLSWSDICLISTIVPRMIWDIGTQSRVISYVSCLTQMSMFIV FGCMDSMLLTVMAYDRFVAICHPLHYKIIMNPNLCAFLLLASVLASLVDSQVHNLIVLQF TYFNDMEISNFFCDPSQLLNHNCSEMFTKNIVIHFIGVFFGLFSTTGIIFSYYKIISSIL RIPTKDGKYKAFSTCGSHLSVVCLFYGTSIGVYIGSTASNSPKNCAIASLMYTVVTPMLN PFIYSLRNRDIKTALWQLQRRAM >ENSMUSP00000130957.1 pep:known chromosome:GRCm38:7:25367620:25369703:-1 gene:ENSMUSG00000063651.11 transcript:ENSMUST00000167591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnfn description:cornifelin [Source:MGI Symbol;Acc:MGI:1919633] MQFEMHDHVKGKAMSYPVTSQPQCANTCYQTQLSDWHTGLTDCCNDMPVCLCGTFAPLCL ACRISDDFGECCCAPYLPGGLHSLRTGMRERYHIQGSVGHDWAALTFCLPCALCQMAREL KIRE >ENSMUSP00000075625.3 pep:known chromosome:GRCm38:7:25367622:25369724:-1 gene:ENSMUSG00000063651.11 transcript:ENSMUST00000076276.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnfn description:cornifelin [Source:MGI Symbol;Acc:MGI:1919633] MSYPVTSQPQCANTCYQTQLSDWHTGLTDCCNDMPVCLCGTFAPLCLACRISDDFGECCC APYLPGGLHSLRTGMRERYHIQGSVGHDWAALTFCLPCALCQMARELKIRE >ENSMUSP00000129325.1 pep:known chromosome:GRCm38:17:24727820:24736143:1 gene:ENSMUSG00000002500.15 transcript:ENSMUST00000170239.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl3l description:ribosomal protein L3-like [Source:MGI Symbol;Acc:MGI:1913461] MSHRKFSAPRHGHLGFLPHKRSRRHRGKVKSWPRDDPSQPVHLTAFLGYKAGMTHTLREV HRPGLKISKREEVEAVTIVETPPLVVVGVVGYVATPRGLRSFKTIFAEHLSDECRRRFYK DWHKSKKKAFTKACKRWRDADGKKQLQKDFAAMKKYCKVIRVIVHTQMKLLPFRQKKAHI MEIQLNGGTVAEKVAWVQARMEKQVPVHSVFSQSEVIDVIAVTKGRGVKGVTSRWHTKKL PRKTHKGLRKVACIGAWHPARVGCSIARAGQKGYHHRTELNKKIYRIGRGLHMEDGKMVR NNASTSYDVTDKSITPLGGFPHYGEVNNDFIMLKGCIAGTKKRVITLRKSLLVHHSRRAL ENIELKFIDTTSKFGHGCFQTAQEKRAFMGPQKKHLEKEKPETLGNM >ENSMUSP00000138489.1 pep:known chromosome:GRCm38:17:24728174:24733364:1 gene:ENSMUSG00000002500.15 transcript:ENSMUST00000183214.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl3l description:ribosomal protein L3-like [Source:MGI Symbol;Acc:MGI:1913461] MTHTLREVHRPGLKISKREEVEAVTIVETPPLVVVGVVGYVATPRGLRSFKTIFAEHLSD ECRRRFYKDWHKSKKKAFTKACKRWRDADGKKQLQKDFAAMKKYCKVIRVIVHTQMKLLP FRQKKAHIMEIQL >ENSMUSP00000038326.8 pep:known chromosome:GRCm38:17:24732685:24736143:1 gene:ENSMUSG00000002500.15 transcript:ENSMUST00000045186.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl3l description:ribosomal protein L3-like [Source:MGI Symbol;Acc:MGI:1913461] MKLLPFRQKKAHIMEIQLNGGTVAEKVAWVQARMEKQVPVHSVFSQSEVIDVIAVTKGRG VKGVTSRWHTKKLPRKTHKGLRKVACIGAWHPARVGCSIARAGQKGYHHRTELNKKIYRI GRGLHMEDGKMVRNNASTSYDVTDKSITPLGGFPHYGEVNNDFIMLKGCIAGTKKRVITL RKSLLVHHSRRALENIELKFIDTTSKFGHGCFQTAQEKRAFMGPQKKHLEKEKPETLGNM >ENSMUSP00000136781.1 pep:known chromosome:GRCm38:Y:17400761:17402715:1 gene:ENSMUSG00000095365.3 transcript:ENSMUST00000179408.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm31y description:RNA binding motif 31, Y-linked [Source:MGI Symbol;Acc:MGI:1921734] MNTASLAVEKDNETEQFREGFKIDATKNQQDASKMFIGGLSQEMSKQVLLEYLSKFGEII DFIIKTDPNTGLSRGFGFVLFKDSATVEKVLQVKDHKVDGKKIEFKRAKALESQFPNKKI FVGGLNPRLSEEKIRAYFGTFGQIEAIELPLCSDTRERRAFGFIKYMDENSVRKVLENRY HFIGSSRCEVKMAYPKENPARQLSKRKAIAKDRTRKSVPAVELENNWRGGGSPSFHIMAN SEAQEANQDAHRAGSYTSRANSDVTLTNACGFRDSPNAFHVNSNVFVTNSTTFIASSNAL RSSTNTVGVSHYTLDANPNTFLASQYTLGTISNTLRTSQYTLGTYPNALGVSQYALAAYP NTFGINQYPLEADQNAFWTNQYPLGTYSDAFGIGQYASGTSPNGFGVSQYSLGTNADTFR TNCFALVANPYNIWTNHYVLATNPNVFRTSQYVLGENPNAFESSQYSVGATQNNFGPNQH NFGANGNFCGTVGRGGSTGGLNFSQVYGNFLNVCNTLPGFQDSNGDYFVLYSYGAYDVRY APNYNVQISQSTLLGNDYQRIYSAF >ENSMUSP00000144866.1 pep:known chromosome:GRCm38:5:65199696:65260406:1 gene:ENSMUSG00000037890.13 transcript:ENSMUST00000203653.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr19 description:WD repeat domain 19 [Source:MGI Symbol;Acc:MGI:2443231] MKRVFSLLEKSWLGAPIQFAWQKSSGNYLAVTGADYIVKIFDRHGQKRSEISLPGNCVTM DWDKDGDILAVIAEKSSCIYLWDANTNKTSQLDNGMRDQMSFLLWSKIGSFLAVGTIKGN LLIYNHQTSRKIPVLGKHTKKITCGCWNSENLLALGGEDKMITVSNQEGDTIRQTPVKSE PSDIKFSMSKTDERISSAENTISAVVGKKMLFLFHLNEPDNPVDLEFQQAYGNIVCYSWY GDGYIMIGFSRGTFLAISTHFPEVGQEIFKARDHKDNLTSVALSQTLNKAATCGDNCIKI HDLTELRDMYAIINLDDENKGLGTLSWTDDGQLLALSTQRGSLHVFLTKLPILGDACHTR IAYLTSLLEVTVANLIEGEPPITVSVDVEPTFVAVGLYHLAVGMNNRAWFYVLGENVVKK LKDVEYLGTVASICLHSDYAAALFEGKIQLHLIENEMLDAQEERETRLFPAVDDKCRILC HALTSDFLIYGTDTGIIHYFFIEDWQFVNDYRHPVGVKKLFPDPNGTRLVFIDEKSDGFV YCPVNDATYEIPDFSPTIKGVLWENWPMDKGVFIAYDDDKVYTYAFHKDTIQGSKVILAG STKLPFSHKPLLLYNGELTCQTQSGKINSIYLSTHSFLGSMKDTEPTDLRQMLTQTLLLK RFSDAWDICKMLNDRTSWSELAKACLHHMEVEFAIRVSRTMGDVGTVMSLEQIKGIEDYN LLAGHLAMFTNDFNLAQDLYLASNCPVAALEMRRDLQHWDSALQLAKRLAPDQIPFISKE YAIQLEFTGDYVNALAHYEKGITGDNKEHDEVCLAGVAQMSIRMGDIRRGANQALKHPSR VLKRDCGAILENMKQFSEAAQLYEKGQYYDRAASVYIRCKNWAKVGELLPHVSSPKIHLQ YAKAKEADGRYKEAVVAYENAKQWNSVIRIYLDHLNNPEKAVSIVRETQSLDGAKMVARF FLQLGDYGSAIQFLVLSKCNNEAFTLAQQHNKMEIYADIIGAEDTTNEDYQSIALYFEGE KRHFQAGKFFLLCGQYSRALKHFLKCPSSEDNVAIEMAIETVGQAKDELLTNQLIDHLMG ESDGMPKDAKYLFRLYMALKQYREAARTAIIIAREEQSAGNYRNAHDVLFSMYAELKAQK IKIPSEMATNLMILHSYILVKIHVKSGDHMKGARMLIRVANNISKFPSHIVPILTSTVIE CHRAGLKNSAFSFAAMLMRPEYRNKIDAKYKKKIEAMVRRPDTSETEEATTPCPFCQFLL PECELLCPGCKNNIPYCIATGRHMLKDDWTMCPHCGFPALYSEFKILLNSESTCPMCSER LNSSQLKKITDCSQYLRTEME >ENSMUSP00000038098.8 pep:known chromosome:GRCm38:5:65199698:65260415:1 gene:ENSMUSG00000037890.13 transcript:ENSMUST00000041892.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr19 description:WD repeat domain 19 [Source:MGI Symbol;Acc:MGI:2443231] MKRVFSLLEKSWLGAPIQFAWQKSSGNYLAVTGADYIVKIFDRHGQKRSEISLPGNCVTM DWDKDGDILAVIAEKSSCIYLWDANTNKTSQLDNGMRDQMSFLLWSKIGSFLAVGTIKGN LLIYNHQTSRKIPVLGKHTKKITCGCWNSENLLALGGEDKMITVSNQEGDTIRQTPVKSE PSDIKFSMSKTDERISSAENTISAVVGKKMLFLFHLNEPDNPVDLEFQQAYGNIVCYSWY GDGYIMIGFSRGTFLAISTHFPEVGQEIFKARDHKDNLTSVALSQTLNKAATCGDNCIKI HDLTELRDMYAIINLDDENKGLGTLSWTDDGQLLALSTQRGSLHVFLTKLPILGDACHTR IAYLTSLLEVTVANLIEGEPPITVSVDVEPTFVAVGLYHLAVGMNNRAWFYVLGENVVKK LKDVEYLGTVASICLHSDYAAALFEGKIQLHLIENEMLDAQEERETRLFPAVDDKCRILC HALTSDFLIYGTDTGIIHYFFIEDWQFVNDYRHPVGVKKLFPDPNGTRLVFIDEKSDGFV YCPVNDATYEIPDFSPTIKGVLWENWPMDKGVFIAYDDDKVYTYAFHKDTIQGSKVILAG STKLPFSHKPLLLYNGELTCQTQSGKINSIYLSTHSFLGSMKDTEPTDLRQMLTQTLLLK RFSDAWDICKMLNDRTSWSELAKACLHHMEVEFAIRVSRTMGDVGTVMSLEQIKGIEDYN LLAGHLAMFTNDFNLAQDLYLASNCPVAALEMRRDLQHWDSALQLAKRLAPDQIPFISKE YAIQLEFTGDYVNALAHYEKGITGDNKEHDEVCLAGVAQMSIRMGDIRRGANQALKHPSR VLKRDCGAILENMKQFSEAAQLYEKGQYYDRAASVYIRCKNWAKVGELLPHVSSPKIHLQ YAKAKEADGRYKEAVVAYENAKQWNSVIRIYLDHLNNPEKAVSIVRETQSLDGAKMVARF FLQLGDYGSAIQFLVLSKCNNEAFTLAQQHNKMEIYADIIGAEDTTNEDYQSIALYFEGE KRHFQAGKFFLLCGQYSRALKHFLKCPSSEDNVAIEMAIETVGQAKDELLTNQLIDHLMG ESDGMPKDAKYLFRLYMALKQYREAARTAIIIAREEQSAGNYRNAHDVLFSMYAELKAQK IKIPSEMATNLMILHSYILVKIHVKSGDHMKGARMLIRVANNISKFPSHIVPILTSTVIE CHRAGLKNSAFSFAAMLMRPEYRNKIDAKYKKKIEAMVRRPDTSETEEATTPCPFCQFLL PECELLCPGCKNNIPYCIATGRHMLKDDWTMCPHCGFPALYSEFKILLNSESTCPMCSER LNSSQLKKITDCSQYLRTEME >ENSMUSP00000144826.1 pep:known chromosome:GRCm38:5:65256425:65260409:1 gene:ENSMUSG00000037890.13 transcript:ENSMUST00000203554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr19 description:WD repeat domain 19 [Source:MGI Symbol;Acc:MGI:2443231] XVRRPDTSETEEATTPCPFCQFLLPECELLCPGCKNNIPYCIATGRHMLKDDWTMCPHCG FPALYSEFKILLNSESTCPMCSERLNSSQLKKITDCSQYLRTEME >ENSMUSP00000020497.7 pep:known chromosome:GRCm38:10:83487450:83534140:-1 gene:ENSMUSG00000020256.14 transcript:ENSMUST00000020497.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1l2 description:aldehyde dehydrogenase 1 family, member L2 [Source:MGI Symbol;Acc:MGI:2444680] MLWRGSQALRHFSTSRVYFKNKLKLALIGQSLFGQEVYSQLLKEGHRVVGVFTVPDKDGK ADPLALAAEKDGTPVFKFPRWRLKGKTIKEVAEAYQSVGAELNVLPFCTQFIPMDVIDSP KHGSIIYHPSLLPRHRGASAINWTLIMGDKKAGFSVFWADDGLDTGPILLQRSCDVKPND TVDSLYNRFLFPEGIKAMVEAVQLIADGKAPRTPQPEEGATYEGIQKKENAEVSWDQPAE GLHNWIRGHDKVPGAWAEINGQMVTFYGSSLLTSSVPSGEPLDIRGAKKPGLVTKNGLVL FGNDGKALMVRNLQFEDGKMIPASQYFSAGETSVVELTAEELKVAETIKVIWARILSNTP VIEDSTDFFKSGASSMDVVRLVEEIRQSCGGLQLQNEDVYMATKFGDFIQKVVRRLRGED EEAEMVVDYVSKEVNGMTVKIPYQCFINGQFVDAEDGETYATVNPTDGTTICRVSYASLA DVDRAVAAAKDAFENGEWGRMNARDRGRLMYRLADLMEENQEELATIEALDSGAVYTLAL KTHIGMSVQTFRYFAGWCDKIQGSTIPINQARPNYNLTFTKKEPLGACAIIIPWNYPLMM LAWKSAACLAAGNTLVLKPAQVTPLTALKFAELTVKAGFPKGVINIIPGSGGVAGQRLSQ HPDIRKLGFTGSTSVGKQIMKSCAVSNLKKVSLELGGKSPLIIFSDCDLEKAVRMGMGAV FFNKGENCIAAGRLFVEEAIHDEFVTRVVEEIKKMKIGDPLDRSTDHGPQNHRAHLEKLL QYCETGVQEGATLVYGGRQVQRPGFFMEPTVFTGVEDHMYLAKEESFGPIMVISKFQNGD IDGVLQRANNTEYGLASGVFTRDINKAMYVSDKLEAGTVFINTYNKTDVAAPFGGMKQSG FGKDLGEEALNEYLKIKTVTLEY >ENSMUSP00000117076.1 pep:known chromosome:GRCm38:10:83489429:83533395:-1 gene:ENSMUSG00000020256.14 transcript:ENSMUST00000146640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1l2 description:aldehyde dehydrogenase 1 family, member L2 [Source:MGI Symbol;Acc:MGI:2444680] MDVIDSPKHGSIIYHPSLLPRHRGASAINWTLIMGDKKAGFSVFWADDGLDTGPILLQRS CDVKPNDTVDSLYNRFLFPEGIKAMVEAVQLIADGKAPRTPQPEEGATYEGIQKKENAEV SWDQPAEGLHNWIRGHDKVPGAWAEINGQMVTFYGSSLLTSSVPSGEPLDIRGAKKPGLV TKNGLVLFGNDGKALMVRNLQFEDGKMIPASQYFSAGETSVVELTAEELKVAETIKVIWA RILSNTPVIEDSTDFFKSGASSMDVVRLVEEIRQSCGGLQLQNEDVYMATKFGDFIQKVV RRLRGEDEEAEMVVDYVSKEVNGMTVKIPYQCFINGQFVDAEDGETYATVNPTDGTTICR VSYASLADVDRAVAAAKDAFENGEWGRMNARDRGRLMYRLADLMEENQEELATIEALDSG AVYTLALKTHIGMSVQTFRYFAGWCDKIQGSTIPINQARPNYNLTFTKKEPLGACAIIIP WNYPLMMLAWKSAACLAAGNTLVLKPAQVTPLTALKFAELTVKAGFPKGVINIIPGSGGV AGQRLSQHPDIRKLGFTGSTSVGKQIMKSCAVSNLKKVSLELGGKSPLIIFSDCDLEKAV RMGMGAVFFNKGENCIAAGRLFVEEAIHDEFVTRVVEEIKKMKIGDPLDRSTDHGPQNHR AHLEKLLQYCETGVQEGATLVYGGRQVQRPGFFMEPTVFTGVEDHMYLAKEESFGPIMVI SKFQNGDIDGVLQRANNTEYGLASGVFTRDINKAMYVSDKLEAGTVFINTYNKTDVAAPF GGMKQSGFGKDLGEEALNEYLKIKTVTLEY >ENSMUSP00000141812.1 pep:known chromosome:GRCm38:3:92737651:92739096:-1 gene:ENSMUSG00000103084.1 transcript:ENSMUST00000192027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm38119 description:predicted gene, 38119 [Source:MGI Symbol;Acc:MGI:5611347] MPSQVPGLKVPSKCPPKCPIKCPPVSSCCSLGSGGCCGSSSGGCCGSSSGGCCSSGGCCR SGVGGCCLSHHRPHRSLHCHHHSSGCCSSGGSSDCCGSSGGSSGCCGSSGGSSGCCGSSG GSSGCCGSSGGSGGCCGSSQQSGDCC >ENSMUSP00000133801.1 pep:known chromosome:GRCm38:10:110745465:110756637:1 gene:ENSMUSG00000020185.16 transcript:ENSMUST00000174857.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f7 description:E2F transcription factor 7 [Source:MGI Symbol;Acc:MGI:1289147] MEVNCLTLKDLISPRQTRLDFAIEDAENAQKENIFVDRSRMTPKTPMKNEPIDLSKQRIF TPDRNPITPVKPVDRQPQVEPWTPTANLKMLISAASPDIRDREKKKELFRPIENKEDAFV NSLQVASCARGLCKTSPGLT >ENSMUSP00000073453.5 pep:known chromosome:GRCm38:10:110745468:110787384:1 gene:ENSMUSG00000020185.16 transcript:ENSMUST00000073781.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f7 description:E2F transcription factor 7 [Source:MGI Symbol;Acc:MGI:1289147] MEVNCLTLKDLISPRQTRLDFAIEDAENAQKENIFVDRSRMTPKTPMKNEPIDLSKQRIF TPDRNPITPVKPVDRQPQVEPWTPTANLKMLISAASPDIRDREKKKELFRPIENKEDAFV NSLQLDVAGDGAVDEYEKQRPSRKQKSLGLLCQKFLARYPSYPLSTEKTTISLDEVAVSL GVERRRIYDIVNVLESLHLVSRVAKNQYGWHGRHSLPKTLRTLQRLGEEQKYEEQMACLQ QKELDLMGYRFGERRKDGSPDPRDPHLLDFSEADYPSSSANSRKDKSLRIMSQKFVMLFL VSKTKIVTLDVAAKILIEESQDTPDHSKFKTKVRRLYDIANVLTSLALIKKVHVTEERGR KPAFKWIGPVDFSSIDEELLDVSASILPELKKEAYGQIRVCAKERLVRYGSFNTVHTSEK IQRKVSSEPSSPQGERQGSAYSLEIGSLAAIYRQKVEDNSQEEAFVSNTAVPPASILDPA LSMDSEYCVKPLAQPVFSVAQTDLPAFSAQNGPSGQVGVPVPSAASDTENLKPALLAGQP LVYVPSTQLFMLYGSVQEGLSPESRSEEDGGGSDVPADLSVTPSAQKRLCEERDPQEEED EPAMKRQSQEFEDSPLSLVMPKKPSSSTDLACPVTMGNGSSPPLEDACVKGQLPAAEEVT GKAAPNCYVASECGNPARNPDTEKPSNENEITKDPSLMQYLYVQSPAGLNGFNMVLPGTQ TPHTVAPSPAQLPSFGVPCMFLQSPGLGPFPVLYSPAIPGPISSAPGTHPNPGPMNFGLS TLASASHLLISPAAMVNPKPSTLPCTDPQLRCQPSLNLNPVMPGSHGVIHPESPCYVRHP VSMVKAEQSPAPATPKSIQRRHRETFFKTPGSLGDPVFRRKERNQSRNTSSAQRRLEISS SGPD >ENSMUSP00000133494.1 pep:known chromosome:GRCm38:10:110745513:110785510:1 gene:ENSMUSG00000020185.16 transcript:ENSMUST00000173471.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f7 description:E2F transcription factor 7 [Source:MGI Symbol;Acc:MGI:1289147] MEVNCLTLKDLISPRQTRLDFAIEDAENAQKENIFVDRSRMTPKTPMKNEPIDLSKQRIF TPDRNPITPVKPVDRQPQVEPWTPTANLKMLISAASPDIRDREKKKELFRPIENKEDAFV NSLQLDVAGDGAVDEYEKQRPSRKQKSLGLLCQKFLARYPSYPLSTEKTTISLDEVAVSL GVERRRIYDIVNVLESLHLVSRVAKNQYGWHGRHSLPKTLRTLQRLGEEQKYEEQMACLQ QKELDLMGYRFGERRKDGSPDPRDPHLLDFSEADYPSSSANSRKDKSLRIMSQKFVMLFL VSKTKIVTLDVAAKILIEESQDTPDHSKFKTKVRRLYDIANVLTSLALIKKVHVTEERGR KPAFKWIGPVDFSSIDEELLDVSASILPELKKEAYGQIRVCAKERLVRYGSFNTVHTSEK IQRKVSSEPSSPQGERQGSAYSLEIGSLAAIYRQKVEDNSQEEAFVSNTAVPPASILDPA LSMDSEYCVKPLAQPVFSVAQTDLPAFSAQNGPSGQVGVPVPSAASDTENLKPALLAGQP LVYVPSTQLFMLYGSVQEGLSPESRSEEDGGGSDVPADLSVTPSAQKRLCEERDPQEEED EPAMKRQSQEFEDSPLSLVMPKKPSSSTDLACPVTMGNGSSPPLEDACVKGQLPAAEEVT GKAAPNCYVASECGNPARNPDTEKPSNENEITKDPSLMQYLYVQSPAGLNGFNMVLPGTQ TPHTVAPSPAQLPSFGVPCMFLQSPGLGPFPVLYSPAIPGPISSAPGTHPNPGPMNFGLS TLASASHLLISPAAMVNPKPSTLPCTDPQLRCQPSLNLNPVMPGSHGVIHPESPCYVRHP VSMVKAEQSPAPATPKSIQRRHRETFFKTPGSLGDPVFRRKERNQSRNTSSAQRRLEISS SGPD >ENSMUSP00000133813.1 pep:known chromosome:GRCm38:10:110745572:110754577:1 gene:ENSMUSG00000020185.16 transcript:ENSMUST00000173634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f7 description:E2F transcription factor 7 [Source:MGI Symbol;Acc:MGI:1289147] MEVNCLTLKDLISPRQTRLDFAIEDAENAQKENIFVDRSRMTPKTPMKNEPIDLSKQRIF TPDRNPITPVKPVDRQPQVEPWTPTANLKMLISAASPDIRDREKKKELFRPIENKEDAFV NSLQVASCARGLCKTSPGLT >ENSMUSP00000134039.1 pep:known chromosome:GRCm38:10:110754332:110774652:1 gene:ENSMUSG00000020185.16 transcript:ENSMUST00000173948.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:E2f7 description:E2F transcription factor 7 [Source:MGI Symbol;Acc:MGI:1289147] XEDAFVNSLQLDVAGDGAVDEYEKQRPSRKQKSLGLLCQKFLARYPSYPLSTEKTTISLD EVAVSLGVERRRIYDIVNVLESLHLVSRVAKNQYGWHGRHSLPKTLRTLQRLGEEQKYEE QMACLQQKELDLMGYRFGERRKDGSPDPRDPHLLDFSEADYPSSSANSRKDKSLRIMSQK FVMLFLVSKTKIVTLDVAAKILIEESQDTPDHSKFKRWR >ENSMUSP00000086263.2 pep:known chromosome:GRCm38:X:49726973:49727932:-1 gene:ENSMUSG00000067971.3 transcript:ENSMUST00000088876.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1321 description:olfactory receptor 1321 [Source:MGI Symbol;Acc:MGI:3031155] MSPNLIDMGNLTAIKEFLLLGFGSLHGLQFFLFGMFLGIYIMTLMGNILILTVTSSDHSL QTPMYFFLSNFSFLEIWYTTSIAPKMLKTLLSGPEAISFTGCVAQFYFFGSMAVVECFLL ASMSYDRYLAICSPLRYPSLMNFHTCFLLAGGSWVGGFLTPVVTVTMTFQLQFCASNEID HFFCDLAPVLKLACSDPTEVKRTTFLMASFVTMGPFLLTVASYINIVVAVFRMPSAAGKQ RAFSTCSSHLIVVSLYYGTLGTVYAIPTATQATALNKVFSLLYTVVTPMVNPIVYSLRNK DVKKAVQKLLSKWKHPMKT >ENSMUSP00000074665.2 pep:known chromosome:GRCm38:19:13094693:13095646:-1 gene:ENSMUSG00000061637.3 transcript:ENSMUST00000075170.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1457 description:olfactory receptor 1457 [Source:MGI Symbol;Acc:MGI:3031291] MSLMENNTKVTEFLLLGLTNDPELQLPLFLIFLLIYTITLVGNLGLILLIVLDSCLHTPM YIFLGNLSLVDFCYSSAVTPSVMTELLIGKKVISYNDCAAQTFFFVAFCTVENYLLASMA YDRYAAVCKPLHYASTMTTRVCIYLSIGSYVCGFLNASINTGNTFSLFFCRYNMIHHFFC DIPAVMVLSCSDRYFSELLLVYVVSFSIFFALLVICISYIFIFITIAKMHSSAGYGKAAP TCASHFTAVSIFYGTVIFMYLLPSSSHSMDTDQIASVFYTMIIPMLNPLVYSLRNKEVKS AFTKIFQVAKQSVMLYF >ENSMUSP00000147066.1 pep:known chromosome:GRCm38:19:13094956:13097812:-1 gene:ENSMUSG00000061637.3 transcript:ENSMUST00000208913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1457 description:olfactory receptor 1457 [Source:MGI Symbol;Acc:MGI:3031291] MIHHFFCDIPAVMVLSCSDRYFSELLLVYVVSFSIFFALLVICISYIFIFITIAKMH >ENSMUSP00000102247.1 pep:known chromosome:GRCm38:11:110968033:111027968:1 gene:ENSMUSG00000051497.15 transcript:ENSMUST00000106636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj16 description:potassium inwardly-rectifying channel, subfamily J, member 16 [Source:MGI Symbol;Acc:MGI:1314842] MSYYGSSYRIVNVDSKYPGYPPEHAIAEKRRARRRLLHKDGSCNVYFKHIFGEWGSYMVD IFTTLVDTKWRHMFVIFSLSYILSWLIFGSIFWLIAFHHGDLLSDPDITPCVDNVHSFTA AFLFSLETQTTIGYGYRCVTEECSVAVLTVILQSILSCIINTFIIGAALAKMATARKRAQ TIRFSYFALIGMRDGKLCLMWRIGDFRPNHVVEGTVRAQLLRYSEDSEGRMTMAFKDLKL VNDQIILVTPVTIVHEIDHESPLYALDRKAVAKDNFEILVTFIYTGDSTGTSHQSRSSYI PREILWGHRFHDVLEVKRKYYKVNCLQFEGSVEVYAPFCSAKQLDWKDQQLNNLEKTSPA RGSCNSDTNTRRRSFSAVAVVSSCENPEETVLSPQDECKEMPYQKALLTLNRISMESQM >ENSMUSP00000102246.1 pep:known chromosome:GRCm38:11:110997487:111027968:1 gene:ENSMUSG00000051497.15 transcript:ENSMUST00000106635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj16 description:potassium inwardly-rectifying channel, subfamily J, member 16 [Source:MGI Symbol;Acc:MGI:1314842] MSYYGSSYRIVNVDSKYPGYPPEHAIAEKRRARRRLLHKDGSCNVYFKHIFGEWGSYMVD IFTTLVDTKWRHMFVIFSLSYILSWLIFGSIFWLIAFHHGDLLSDPDITPCVDNVHSFTA AFLFSLETQTTIGYGYRCVTEECSVAVLTVILQSILSCIINTFIIGAALAKMATARKRAQ TIRFSYFALIGMRDGKLCLMWRIGDFRPNHVVEGTVRAQLLRYSEDSEGRMTMAFKDLKL VNDQIILVTPVTIVHEIDHESPLYALDRKAVAKDNFEILVTFIYTGDSTGTSHQSRSSYI PREILWGHRFHDVLEVKRKYYKVNCLQFEGSVEVYAPFCSAKQLDWKDQQLNNLEKTSPA RGSCNSDTNTRRRSFSAVAVVSSCENPEETVLSPQDECKEMPYQKALLTLNRISMESQM >ENSMUSP00000119921.1 pep:known chromosome:GRCm38:11:110968064:111024822:1 gene:ENSMUSG00000051497.15 transcript:ENSMUST00000125692.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj16 description:potassium inwardly-rectifying channel, subfamily J, member 16 [Source:MGI Symbol;Acc:MGI:1314842] MSYYGSSYRIVNVDSKYPGYPPEHAIAEKRRARRRLLHKDGSCNVYFKHIFGEWGSYMVD IFTTLVDTKWRHMFVIFSLSYILSWLIFGSIFWLIAFHHGDLL >ENSMUSP00000121758.1 pep:known chromosome:GRCm38:11:111023860:111024711:1 gene:ENSMUSG00000051497.15 transcript:ENSMUST00000150902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj16 description:potassium inwardly-rectifying channel, subfamily J, member 16 [Source:MGI Symbol;Acc:MGI:1314842] MSYYGSSYRIVNVDSKYPGYPPEHAIAEKRRARRRLLHKDGSCNVYFKHIFGEWGSYMVD IFTTLV >ENSMUSP00000136382.1 pep:known chromosome:GRCm38:11:110968033:111027965:1 gene:ENSMUSG00000051497.15 transcript:ENSMUST00000180023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj16 description:potassium inwardly-rectifying channel, subfamily J, member 16 [Source:MGI Symbol;Acc:MGI:1314842] MSYYGSSYRIVNVDSKYPGYPPEHAIAEKRRARRRLLHKDGSCNVYFKHIFGEWGSYMVD IFTTLVDTKWRHMFVIFSLSYILSWLIFGSIFWLIAFHHGDLLSDPDITPCVDNVHSFTA AFLFSLETQTTIGYGYRCVTEECSVAVLTVILQSILSCIINTFIIGAALAKMATARKRAQ TIRFSYFALIGMRDGKLCLMWRIGDFRPNHVVEGTVRAQLLRYSEDSEGRMTMAFKDLKL VNDQIILVTPVTIVHEIDHESPLYALDRKAVAKDNFEILVTFIYTGDSTGTSHQSRSSYI PREILWGHRFHDVLEVKRKYYKVNCLQFEGSVEVYAPFCSAKQLDWKDQQLNNLEKTSPA RGSCNSDTNTRRRSFSAVAVVSSCENPEETVLSPQDECKEMPYQKALLTLNRISMESQM >ENSMUSP00000137414.1 pep:known chromosome:GRCm38:11:111023860:111027965:1 gene:ENSMUSG00000051497.15 transcript:ENSMUST00000178798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj16 description:potassium inwardly-rectifying channel, subfamily J, member 16 [Source:MGI Symbol;Acc:MGI:1314842] MSYYGSSYRIVNVDSKYPGYPPEHAIAEKRRARRRLLHKDGSCNVYFKHIFGEWGSYMVD IFTTLVDTKWRHMFVIFSLSYILSWLIFGSIFWLIAFHHGDLLSDPDITPCVDNVHSFTA AFLFSLETQTTIGYGYRCVTEECSVAVLTVILQSILSCIINTFIIGAALAKMATARKRAQ TIRFSYFALIGMRDGKLCLMWRIGDFRPNHVVEGTVRAQLLRYSEDSEGRMTMAFKDLKL VNDQIILVTPVTIVHEIDHESPLYALDRKAVAKDNFEILVTFIYTGDSTGTSHQSRSSYI PREILWGHRFHDVLEVKRKYYKVNCLQFEGSVEVYAPFCSAKQLDWKDQQLNNLEKTSPA RGSCNSDTNTRRRSFSAVAVVSSCENPEETVLSPQDECKEMPYQKALLTLNRISMESQM >ENSMUSP00000065010.6 pep:known chromosome:GRCm38:10:60181534:60188707:-1 gene:ENSMUSG00000057337.13 transcript:ENSMUST00000068690.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst3 description:carbohydrate (chondroitin 6/keratan) sulfotransferase 3 [Source:MGI Symbol;Acc:MGI:1858224] MEKGLALPQDFRDLVHSLKIRGRYVLFLAFVVIVFIFIEKENKIISRVSDKLKQIPHFVA DANSTDPALLLSENASLLSLSELDSTFSHLRSRLHNLSLQLGVEPAMESQEAGAEKPSQQ AGAGTRRHVLLMATTRTGSSFVGEFFNQQGNIFYLFEPLWHIERTVFFQQRGASAAGSAL VYRDVLKQLLLCDLYVLEPFISPPPEDHLTQFLFRRGSSRSLCEDPVCTPFVKKVFEKYH CRNRRCGPLNVTLAGEACRRKDHVALKAVRIRQLEFLQPLVEDPRLDLRVIQLVRDPRAV LASRIVAFAGKYENWKKWLSEGQDQLSEDEVQRLRGNCESIRLSAELGLRQPAWLRGRYM LVRYEDVARRPLQKAREMYSFAGIPLTPQVEDWIQKNTQATRDSSDVYSTQKNSSEQFEK WRFSMPFKLAQVVQAACGPTMHLFGYKLARDAASLTNRSISLLEERGTFWVT >ENSMUSP00000131532.1 pep:known chromosome:GRCm38:10:60185605:60194239:-1 gene:ENSMUSG00000057337.13 transcript:ENSMUST00000167915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst3 description:carbohydrate (chondroitin 6/keratan) sulfotransferase 3 [Source:MGI Symbol;Acc:MGI:1858224] MEKGLALPQDFRDLVHSLKIRGRYVLFLAFVVIVFIFIEKENKIISRVSDKLKQIPHFVA DANSTDPALLLSENASLLSLSELDSTFSHLRSRLHNLSLQLGVEPAMESQEAGAEKPSQQ AGAGTRRHVLLMATTRTGSSFVGEFFNQQGNIFYLFEPLWHIERTVFFQQRGASAAGSAL VYRDVLKQLLLCDLYVLEPFISPPPEDHLTQFLFRRGSSRSLCEDPVCTPFVKKVFEKYH CRNRRCGPLNVTLAGEACRRKDHVALKAVRIRQLEFLQPLVEDPRLDLRVIQLVRDPRAV LASRIVAFAGKYENWKKWLSEGQDQLSEDEVQRLRGNCESIRLSAELGLRQPAWLRGRYM LVRYEDVARRPLQKAREMYSFAGIPLTPQVEDWIQKNTQATRDSSDVYSTQKNSSEQFEK WRFSMPFKLAQVVQAACGPTMHLFGYKLARDAASLTNRSISLLEERGTFWVT >ENSMUSP00000126281.1 pep:known chromosome:GRCm38:10:60181532:60219260:-1 gene:ENSMUSG00000057337.13 transcript:ENSMUST00000135158.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst3 description:carbohydrate (chondroitin 6/keratan) sulfotransferase 3 [Source:MGI Symbol;Acc:MGI:1858224] MAPPLPMEKGLALPQDFRDLVHSLKIRGRYVLFLAFVVIVFIFIEKENKIISRVSDKLKQ IPHFVADANSTDPALLLSENASLLSLSELDSTFSHLRSRLHNLSLQLGVEPAMESQEAGA EKPSQQAGAGTRRHVLLMATTRTGSSFVGEFFNQQGNIFYLFEPLWHIERTVFFQQRGAS AAGSALVYRDVLKQLLLCDLYVLEPFISPPPEDHLTQFLFRRGSSRSLCEDPVCTPFVKK VFEKYHCRNRRCGPLNVTLAGEACRRKDHVALKAVRIRQLEFLQPLVEDPRLDLRVIQLV RDPRAVLASRIVAFAGKYENWKKWLSEGQDQLSEDEVQRLRGNCESIRLSAELGLRQPAW LRGRYMLVRYEDVARRPLQKAREMYSFAGIPLTPQVEDWIQKNTQATRDSSDVYSTQKNS SEQFEKWRFSMPFKLAQVVQAACGPTMHLFGYKLARDAASLTNRSISLLEERGTFWVT >ENSMUSP00000029848.4 pep:known chromosome:GRCm38:3:145292472:145552011:1 gene:ENSMUSG00000028197.4 transcript:ENSMUST00000029848.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col24a1 description:collagen, type XXIV, alpha 1 [Source:MGI Symbol;Acc:MGI:1918605] MHLGAYRTRHGKVSPTTETKLFLRFIVLCVVWISVHAQGQGIDILQQLGLGGRDVRYTSS VTAVPSSSWSTPLPQGVHLTDFGVILTDNAYIESPLVNILPISLRQPLTVLIGLQSFKVN NAFLFSIRNNNRLQFGVQLLPKKLIVHVGGKQTVTFNYSAHDERWHSFAITVDHHVISMF VECGKRHFSGETTSDVQTFDPHSVFTLGSINNSSAHFEGTVCQLEIMPSTAASAEYCRHL KQQCLRADASQAQRNLPHTAGMPTRHPAHTPLPRGFPGTDSPQKRFTEQDSLPKGFDGTE LPRETFADGKSIPNNRSNGSATVHESQEHQTPRAQLTSFHSGNISAVTLPNYRIQAKEIT TKEETNLTLSVAHHLPSEARMNEEGRINPLFAGFDNITQHEEAAGLPLPKKASSGFAHTN QDTMKNLEKALTANLYTNELIEMERILNSTLYRVMYGPSVDNHLELRKEGEFYPDATNPI EGSYEPQAYDYYSYEDYNAVLDMEYLRGPKGDPGPPGPPGPMGIPGPSGKRGPRGIPGPH GNPGLPGLPGPKGPKGDPGLSPGQAASGEKGDPGLLGLVGPPGLQGAKGLKGHPGLPGLR GEHGLPGLAGNIGSPGYPGRQGLAGPEGNPGSKGVRGFIGSPGEVGQLGPEGERGTPGVR GKKGPKGRQGFPGDFGDRGPAGLDGSPGLVGGTGPPGFPGVTGSVGPAGPTGPPGAPGPM GLSGSRGPSGIKGDKGEQGVAGEPGEPGYPGDKGNIGSPGPPGIRGKSGPSGQPGDPGPQ GPSGPPGPEGFPGDIGIPGQNGPEGPKGHLGNRGPPGPPGLKGTQGEEGPIGPFGELGSR GKPGRKGYMGEPGPEGLKGEVGDQGDIGKTGETGPVGLPGEVGITGSIGEKGERGSPGPL GPQGEKGVMGYPGPPGAPGPMGPLGLPGLVGARGAPGSPGPKGQRGPRGPDGLAGDQGGH GAKGEKGNQGKRGLPGLPGKAGSPGERGVQGKPGFQGLPGSSGDVGPAGEPGPRGLPGIA GLPGEMGVEGPPGTEGDSGLQGEPGAKGDGGPAGSAGATGEPGPRGEPGAPGEEGLQGKD GLKGAPGGSGLPGEDGDKGEMGLPGTAGPVGRPGQMGLPGPEGIVGTPGQRGRLGKKGDK GQVGPTGEAGSRGPPGSVGENGPKGARGTRGAVGPLGLMGPEGEPGIPGYRGHQGQPGPS GLPGPKGEKGYPGEDSTVLGPPGPPGEPGPMGEQGETGEHGEEGYKGHMGVPGLRGATGQ QGPPGEPGDQGGQGPKGERGSEGPQGKRGVPGPSGKPGIPGVPGFPGPKGLQGYPGVDGM SGYPGKPGLPGKQGLLGVPGSPGRTGVAGSPGPQGGKGASGPPGSPGAPGPKGEQGLPGQ PGVPGQRGHRGTPGDQGLRGAPGLKGQPGEHGDQGLAGFQGFPGPRGPEGDAGIVGIVGP KGPIGQRGNTGPLGREGIIGPTGGTGPRGEKGFRGETGPQGPRGQPGPPGPPGAPGPRRQ MDINAAIRALIESNSAQQMESYQNTEGTLISHSSDIFKTLTYLSSLLSSIKNPLGTRENP ARICKDLLSCQYKVSDGKYWIDPNLGCSSDAFEVFCNFSAGGQTCLSPVSVTKLEFGVSK VQMNFLHLLSSEATHTITIHCLNTPRWSSTWADGPELPISFKGWNGQIFEENTLLEPQVL SDDCKIQDGSWHKAKFLFHTQNPNQLPVTEVQNLPHLGTEQKRYIESNSVCFL >ENSMUSP00000119736.1 pep:known chromosome:GRCm38:3:145292527:145314047:1 gene:ENSMUSG00000028197.4 transcript:ENSMUST00000139001.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col24a1 description:collagen, type XXIV, alpha 1 [Source:MGI Symbol;Acc:MGI:1918605] MHTNAFRSLQDKAWKGLPHHRNETVSSLYCTMCGVDFCSCTGTRHRYSSATRPWRQRCKI HV >ENSMUSP00000099473.3 pep:known chromosome:GRCm38:2:131545850:131562283:-1 gene:ENSMUSG00000027335.9 transcript:ENSMUST00000103184.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra1d description:adrenergic receptor, alpha 1d [Source:MGI Symbol;Acc:MGI:106673] MTFRDILSVTFEGPRASSSTGGSGAGGGAGTVGPEGPAVGGVPGATGGSAVVGTGSGEDN QSSTAEAGAAASGEVNGSAAVGGLVVSAQGVGVGVFLAAFILTAVAGNLLVILSVACNRH LQTVTNYFIVNLAVADLLLSAAVLPFSATMEVLGFWAFGRTFCDVWAAVDVLCCTASILS LCTISVDRYVGVRHSLKYPAIMTERKAAAILALLWAVALVVSVGPLLGWKEPVPPDERFC GITEEVGYAIFSSVCSFYLPMAVIVVMYCRVYVVARSTTRSLEAGIKREPGKASEVVLRI HCRGAATSAKGNPGTQSSKGHTLRSSLSVRLLKFSREKKAAKTLAIVVGVFVLCWFPFFF VLPLGSLFPQLKPSEGVFKVIFWLGYFNSCVNPLIYPCSSREFKRAFLRLLRCQCRRRRR RLWRVYGHHWRASTGDPRSDCAPSPRIAPPGAPLALTAHPGPGSVDTPEAQASVSGRRKP ASALREWRLLGPLQRPTTQLRAKVSSLSHKFRSGGARRAETACALRSEVEAVSLNVPQDG AEAVICQAYEPGDLSNLRETDI >ENSMUSP00000075063.4 pep:known chromosome:GRCm38:4:57190841:57301837:-1 gene:ENSMUSG00000038764.14 transcript:ENSMUST00000075637.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn3 description:protein tyrosine phosphatase, non-receptor type 3 [Source:MGI Symbol;Acc:MGI:105307] MTSRLRALGGRINNTRTSELPKEKTRSEVICSIRFLDGLVQTFKVNKQDLGQSLLDMAYG HLGVTEKEYFGLQHGDDPVDSPRWLEASKPLRKQLKGGFPCTLHFRVRYFIPDPNTLQQE QTRHLYFLQLKMDVCEGRLTCPLNSAVVLASYAVQSHFGDFNSSIHHPGYLADSQFIPDQ NDDFLSKVESLHEQHSGLKQSEAESCYINIARTLDFYGVELHGGRDLHNLDLMIGIASAG IAVYRKYICTSFYPWVNILKISFKRKKFFIHQRQKQAESREHIVAFNMLNYRSCKNLWKS CVEHHSFFQAKKLLPQEKNVLSQYWTLGSRNPKKSVNNQYCKKVIGGMVWNPVMRRSLSV ERLETKSLPSRSPPITPNWRSPRLRHEIRKPRHSSADNLANEMTYITETEDVFYTYKGPL SPKDSDSEVSQNHSPHRESLSENNPAQSCLTQKSSSSVSPSSNAPGSCSPDGVDQRFLED YHKVTKGGFVEDASQYYCDKSDDGDGYLVLIRITPDEEGRFGFNLKGGVDQKMPLVVSRI NPESPADTCMPKLNEGDQIVLINGRDISEHTHDQVVMFIKASRESHSRELALVIRRKAVR SLAEIRSEDELSQLFPEAMFPACPEGGDSLEGSMELLKKGLESGTVLIQFEQLYRKKPGL AVSFAKLPQNLDKNRYKDVLPYDTTRVLLQGNEDYINASYVNMEMPAANLVNKYIATQGP LPNTCAQFWQVVWDQKLSLVVMLTTLTERGRTKCHQYWPDPPDIMDHGIFHIQCQTEDCT IAYVSREMLVTNTETGEEHTVTHLQYVAWPDHGVPDDSSDFLEFVKYVRSLRVDGEPALV HCSAGIGRTGVLVTMETAMCLIERNLPVYPLDIVRKMRDQRAMMVQTSSQYKFVCEAILR VYEEGLVQRLDPS >ENSMUSP00000122490.1 pep:known chromosome:GRCm38:4:57245051:57300375:-1 gene:ENSMUSG00000038764.14 transcript:ENSMUST00000153926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn3 description:protein tyrosine phosphatase, non-receptor type 3 [Source:MGI Symbol;Acc:MGI:105307] MTSRLRALGGRINNTRTSELPKEKTRSEVICSIRFLDGLVQTFKVNKQDLGQSLLDMAYG HLGVTEKEYFGLQHGDDPVDSPRWLEASKPLRKQLKGGFPCTLHFRVRYFIPDPNTLQQE QTRHLYFLQLKMDVCEGRLTCPLNSAVVLASYAVQSHFGDFNSSIHHPGYLADSQFIPDQ NDDFLSKVESLHEQHSGLKQSEAESCYINIARTLDFYGVELHGGRDLHN >ENSMUSP00000114805.1 pep:known chromosome:GRCm38:4:57265058:57301507:-1 gene:ENSMUSG00000038764.14 transcript:ENSMUST00000130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn3 description:protein tyrosine phosphatase, non-receptor type 3 [Source:MGI Symbol;Acc:MGI:105307] MTSRLRALGGRINNTRTSELPKEKTRSEVICSIRFLDGLVQTFKVNKQDLGQSLLDM >ENSMUSP00000120111.1 pep:known chromosome:GRCm38:4:57270050:57300756:-1 gene:ENSMUSG00000038764.14 transcript:ENSMUST00000151964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn3 description:protein tyrosine phosphatase, non-receptor type 3 [Source:MGI Symbol;Acc:MGI:105307] MTSRLRALGGRINNTRTSELPKEKTRSEVICSIRFLD >ENSMUSP00000029222.5 pep:known chromosome:GRCm38:3:33812362:33844301:-1 gene:ENSMUSG00000027676.11 transcript:ENSMUST00000029222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc39 description:coiled-coil domain containing 39 [Source:MGI Symbol;Acc:MGI:1289263] MCSEFLSELHWEDGFAIPVANQENKILEDQLAKLREEKSNLQDQLHDYEERINSMTSHLK NVNQEFLFTQSLYKARESEIESEEHFKAIAERELGRVKNETQLLEKEMAIIRERKSQMEN NIFKTTQKLDDLKCQMNWDQQALEAWLEESAHKDSDSLTLQKYSQQDDNKIRALTLQLEK LTMEYNEKRKLLDSELTETLSAQLELDKAAQDFRKIHLERQELIQQWENTIEQMQRRDQE IDNCALALSRIKQEAREKEGVVKEKIKFLENEVENNIEYERKISVAERKVSKCRMDYQRH EGNRSQLKDELDTLKTTLNRTSSDLQALRKNISKVKKDIFDETLRLQKLKHHNEVVKHKL KMITEKTLSIEEKATNMEDMLKEEEKGLKEVEVQLGIVKDVLFKKVQELQNEIAKEKALV SEIEGTRSSLKHLNKQLHKLDFETLKQQEIMYSQDFYIQQVERRMSRLKGEINSEEKQAL EAKILELKKTMDEKKSTLSLLESQIKKLHNDLYFIKKSNGKNNDEKESLMNKISELNLFI DRSEKELSKAKAVKEDMMIEDNLLKLQVKRARELLYSKAEEVLSLEKRKQQLGKDMEERA EEIKVHKAMLTSQIRCVEQQRKTMSSEFHERLSKIDKLKNRYEILTVVMLPPEGEEEKTQ SYYVIKAAQEKEELQREGDSLDAKINKAEKEIYALQNTLQVLNSCNSNYKQSFKKVTPSS DEYALKIQLEEQKRTADERYRCKQRQIRELQEDIQSMENTFEVIGHLANNAKEKLTEKQT LAFQLRKETEEQKPKLQRITKQCGRLRREIRILKQTDNETLEEQDIQLREIIQFHKDIDQ MLVNAMENAEIHAIFKTYFEQNGLELPTARGPSSRSSSQSSSLSSFRSLEDVTLQSPPTA KVIQLRFPEPPPATNDSSRSASSGSNSNIPKEKKLSK >ENSMUSP00000146645.1 pep:known chromosome:GRCm38:19:13102379:13104756:-1 gene:ENSMUSG00000062844.4 transcript:ENSMUST00000207340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1458 description:olfactory receptor 1458 [Source:MGI Symbol;Acc:MGI:3031292] MENGTEMVRFILMGLTDDPDLQLPLFITFLLIYIITLVGNLGLILLILLDSQLHTPMYIF LSNLSLVDFCYSSTVTPKVMAGFIIGDKMMSYNACASQMFFFANFANVENYLLASMAYDR YAAVCKPLHYATTMTTRVCACLVISCYICGFLNASIYTVNALYLTFCELNVVHHFFCDIP AVMIASCSHRHANDMVLISVASFNIFFALILILISYTFIFTNILKMHSNSGYRKALSTCA SHFTAVSIFYGTIIFMYLQPRSSHSMDTDKIASVFYTMVIPMLNPLVYSLRNKDVKSAFT KIVLRSR >ENSMUSP00000076019.3 pep:known chromosome:GRCm38:19:13102379:13103284:-1 gene:ENSMUSG00000062844.4 transcript:ENSMUST00000076729.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1458 description:olfactory receptor 1458 [Source:MGI Symbol;Acc:MGI:3031292] MVRFILMGLTDDPDLQLPLFITFLLIYIITLVGNLGLILLILLDSQLHTPMYIFLSNLSL VDFCYSSTVTPKVMAGFIIGDKMMSYNACASQMFFFANFANVENYLLASMAYDRYAAVCK PLHYATTMTTRVCACLVISCYICGFLNASIYTVNALYLTFCELNVVHHFFCDIPAVMIAS CSHRHANDMVLISVASFNIFFALILILISYTFIFTNILKMHSNSGYRKALSTCASHFTAV SIFYGTIIFMYLQPRSSHSMDTDKIASVFYTMVIPMLNPLVYSLRNKDVKSAFTKIVLRS R >ENSMUSP00000093898.4 pep:known chromosome:GRCm38:14:4023941:4041370:1 gene:ENSMUSG00000096775.1 transcript:ENSMUST00000096184.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5796 description:predicted gene 5796 [Source:MGI Symbol;Acc:MGI:3779522] MFSWLLRLFQKENGDEGETRPKKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQSGHKCPV GKKRGSLRRPARTSVSQVPRNSSVI >ENSMUSP00000007423.5 pep:known chromosome:GRCm38:7:109798676:109865586:-1 gene:ENSMUSG00000007279.14 transcript:ENSMUST00000007423.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scube2 description:signal peptide, CUB domain, EGF-like 2 [Source:MGI Symbol;Acc:MGI:1928765] MGVAGCGRPREARALLLLLLLLPPLLAAAVPPDRGLTNGPSEDVDECAQGLDDCHADALC QNTPTSYKCSCKPGYQGEGRQCEDMDECDNTLNGGCVHDCLNIPGNYRCTCFDGFMLAHD GHNCLDMDECLENNGGCQHICTNVIGSYECRCKEGFFLSDNQHTCIHRSEEGLSCMNKDH GCGHICKEAPRGSVACECRPGFELAKNQKDCILTCNHGNGGCQHSCEDTAEGPECSCHPR YRLHADGRSCLEQEGTVLEGTESNATSVADGDKRVKRRLLMETCAVNNGGCDRTCKDTST GVHCSCPTGFTLQVDGKTCKDIDECQTRNGGCNHFCKNTVGSFDCSCKKGFKLLTDEKSC QDVDECSLERTCDHSCINHPGTFICACNPGYTLYSFTHCGDTNECSVNNGGCQQVCINTV GSYECQCHPGFKLHWNKKDCVEVKGFPPTSMTPRVSLHCGKSGGGDRCFLRCRSGIHLSS DVVTVRTSVTFKLNEGKCSLQKAKLSPEGLRPALPERHSSVKESFQYANLTCSPGKQVPG ALGRLNAPKEMFITVEFERETYEKEVTASCNLSCVVKRTEKRLRKALRTLKRAAHREQFH LQLSGMDLDMAKTPSRVSGQHEETCGVGQGHEESQCVSCRAGTYYDGSQERCILCPNGTF QNEEGQVTCEPCPRPENLGSLKISEAWNVSDCGGLCQPGEYSANGFAPCQLCALGTFQPD VGRTSCLSCGGGLPTKHLGATSFQDCETRVQCSPGHFYNTTTHRCIRCPLGTYQPEFGKN NCVSCPGNTTTDFDGSTNITQCKNRKCGGELGDFTGYIESPNYPGNYPANSECTWTINPP PKRRILIVVPEIFLPIEDDCGDYLVMRKTSSSNSVTTYETCQTYERPIAFTSRSKKLWIQ FKSNEGNSARGFQVPYVTYDEDYQELIEDIVRDGRLYASENHQEILKDKKLIKALFDVLA HPQNYFKYTAQESREMFPRSFIRLLRSKVSRFLRPYK >ENSMUSP00000102340.1 pep:known chromosome:GRCm38:7:109798690:109865679:-1 gene:ENSMUSG00000007279.14 transcript:ENSMUST00000106729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scube2 description:signal peptide, CUB domain, EGF-like 2 [Source:MGI Symbol;Acc:MGI:1928765] MGVAGCGRPREARALLLLLLLLPPLLAAAVPPDRGLTNGPSEDVDECAQGLDDCHADALC QNTPTSYKCSCKPGYQGEGRQCEDMDECDNTLNGGCVHDCLNIPGNYRCTCFDGFMLAHD GHNCLDMDECLENNGGCQHICTNVIGSYECRCKEGFFLSDNQHTCIHRSEEGLSCMNKDH GCGHICKEAPRGSVACECRPGFELAKNQKDCILTCNHGNGGCQHSCEDTAEGPECSCHPR YRLHADGRSCLEQEGTVLEGTESNATSVADGDKRVKRRLLMETCAVNNGGCDRTCKDTST GVHCSCPTGFTLQVDGKTCKDIDECQTRNGGCNHFCKNTVGSFDCSCKKGFKLLTDEKSC QDVDECSLERTCDHSCINHPGTFICACNPGYTLYSFTHCGDTNECSVNNGGCQQVCINTV GSYECQCHPGFKLHWNKKDCVEVKGFPPTSMTPRVSLHCGKSGGGDRCFLRCRSGIHLSS GLQEAYSVTCGSSSLRSKQQKSNDSAFGDVVTVRTSVTFKLNEGKCSLQKAKLSPEGLRP ALPERHSSVKESFQYANLTCSPGKQVPGALGRLNAPKEMFITVEFERETYEKEVTASCNL SCVVKRTEKRLRKALRTLKRAAHREQFHLQLSGMDLDMAKTPSRVSGQHEETCGVGQGHE ESQCGLCQPGEYSANGFAPCQLCALGTFQPDVGRTSCLSCGGGLPTKHLGATSFQDCETR VQCSPGHFYNTTTHRCIRCPLGTYQPEFGKNNCVSCPGNTTTDFDGSTNITQCKNRKCGG ELGDFTGYIESPNYPGNYPANSECTWTINPPPKRRILIVVPEIFLPIEDDCGDYLVMRKT SSSNSVTTYETCQTYERPIAFTSRSKKLWIQFKSNEGNSARGFQVPYVTYDEDYQELIED IVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNYFKYTAQESREMFPRSFIRLLRSKV SRFLRPYK >ENSMUSP00000102339.2 pep:known chromosome:GRCm38:7:109798838:109865643:-1 gene:ENSMUSG00000007279.14 transcript:ENSMUST00000106728.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scube2 description:signal peptide, CUB domain, EGF-like 2 [Source:MGI Symbol;Acc:MGI:1928765] MGVAGCGRPREARALLLLLLLLPPLLAAAVPPDRGLTNGPSEDVDECAQGLDDCHADALC QNTPTSYKCSCKPGYQGEGRQCEDMDECDNTLNGGCVHDCLNIPGNYRCTCFDGFMLAHD GHNCLDMDECLENNGGCQHICTNVIGSYECRCKEGFFLSDNQHTCIHRSEEGLSCMNKDH GCGHICKEAPRGSVACECRPGFELAKNQKDCILTCNHGNGGCQHSCEDTAEGPECSCHPR YRLHADGRSCLEQEGTVLEGTESNATSVADGDKRVKRRLLMETCAVNNGGCDRTCKDTST GVHCSCPTGFTLQVDGKTCKDIDECQTRNGGCNHFCKNTVGSFDCSCKKGFKLLTDEKSC QDVDECSLERTCDHSCINHPGTFICACNPGYTLYSFTHCGDTNECSVNNGGCQQVCINTV GSYECQCHPGFKLHWNKKDCVASCNLSCVVKRTEKRLRKALRTLKRAAHREQFHLQLSGM DLDMAKTPSRVSGQHEETCGVGQGHEESQCVSCRAGTYYDGSQERCILCPNGTFQNEEGQ VTCEPCPRPENLGSLKISEAWNVSDCGGLCQPGEYSANGFAPCQLCALGTFQPDVGRTSC LSCGGGLPTKHLGATSFQDCETRVQCSPGHFYNTTTHRCIRCPLGTYQPEFGKNNCVSCP GNTTTDFDGSTNITQCKTSSNSVTTYETCQTYERPIAFTSRSKKLWIQFKSNEGNSARGF QVPYVTYDEDYQELIEDIVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNYFKYTAQE SREMFPRSFIRLLRSKVSRFLRPYK >ENSMUSP00000023361.5 pep:known chromosome:GRCm38:16:13833148:13903127:-1 gene:ENSMUSG00000022680.13 transcript:ENSMUST00000023361.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdxdc1 description:pyridoxal-dependent decarboxylase domain containing 1 [Source:MGI Symbol;Acc:MGI:1920909] MDASLEKIADPTLAEMGKNLKEAMRMLEKSPRRTEEENGKKPVSEDIPGPLQGSGQDMVS ILQLVQNLMHGDEDEEPQSTRIQNIGEQGHMALLGHSLGAYISTLDKEKLRKLTTRILSD TTLWLRRIFRYENGCAYFHEEEREGLAKICRLAIHSRYEDFVVDGFNVLYNKKPVIYLSA AARPGLGQYLCNQLGLPFPCLCRVPCNTMFGSQHQMDVAFLEKLIKDDVERGRLPLLLVA NAGTAAVGHTDKIGRLKELCEQYGIWLHVEGVNLATLALGYVSSSVLAATKCDSMTLTPG LWLGLPAVPAVTLYKHDDPALTLVAGLTSNKPADKLRALPLWLSLQYLGLDGIVERIKHA CHLSQRLQESLKKVDHIKILVEDELSSPVVVFRFFQELPASDSAFKAVPVSNIAPAAVGR ERHSCDALNRWLGEQLKQLVPQCGLTVIDLEVDGTCVRFSPLMTAEGLGTRGEDVDQLIT CIQSKLPVLTCTLQLREEFKQEVEGTAGLLYVDDPNWPGIGVVRYEHANDDDTSLKSDPE GEKIHTGLLKKLNELESDLTFKIGPEYKSMKSCIYIGMASDDVDVSELVETIAVTAREIE ENSRLLENMTEVVRKGIQEAQVQLQKANEERLLEEGVLRQIPVVGSVLNWFSPVQASQKG RSFNLTAGSLESTEYTYVHKVQGTGVTPPPTPLGTRSKQRLPGQKPFKRSLRGSDAVSET SSVSHIEDLEKVEQLSSGLEHDNLEAHSPEQPPRATDLTARQTEALQNQAQHQEDDHSQM GTRVQRLKV >ENSMUSP00000111471.2 pep:known chromosome:GRCm38:16:13834160:13903021:-1 gene:ENSMUSG00000022680.13 transcript:ENSMUST00000115804.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdxdc1 description:pyridoxal-dependent decarboxylase domain containing 1 [Source:MGI Symbol;Acc:MGI:1920909] MDASLEKIADPTLAEMGKNLKEAMRMLEKSPRRTEEENGKKPVSEDIPGPLQGSGQDMVS ILQLVQNLMHGDEDEEPQSTRIQNIGEQGHMALLGHSLGAYISTLDKEKLRKLTTRILSD TTLWLRRIFRYENGCAYFHEEEREGLAKICRLAIHSRYEDFVVDGFNVLYNKKPVIYLSA AARPGLGQYLCNQLGLPFPCLCRVPCNTMFGSQHQMDVAFLEKLIKDDVERGRLPLLLVA NAGTAAVGHTDKIGRLKELCEQYGIWLHVEGVNLATLALGYVSSSVLAATKCDSMTLTPG LWLGLPAVPAVTLYKHDDPALTLVAGLTSNKPADKLRALPLWLSLQYLGLDGIVERIKHA CHLSQRLQESLKKVDHIKILVEDELSSPVVVFRFFQELPASDSAFKAVPVSNIAPAAVGR ERHSCDALNRWLGEQLKQLVPQCGLTVIDLEVDGTCVRFSPLMTAEGLGTRGEDVDQLIT CIQSKLPVLTCTLQLREEFKQEVEGTAGLLYVDDPNWPGIGVVRYEHANDDDTSLKSDPE GEKIHTGLLKKLNELESDLTFKIGPEYKSMKSCIYIGMASDDVDVSELVETIAVTAREIE ENSRLLENMTEVVRKGIQEAQVQLQKANEERLLEEGVLRQIPVVGSVLNWFSPVQASQKG RSFNLTAGSLESTEYTYVHKVQGTGVTPPPTPLGTRSKQRLPDGNQGAET >ENSMUSP00000111469.1 pep:known chromosome:GRCm38:16:13847713:13903055:-1 gene:ENSMUSG00000022680.13 transcript:ENSMUST00000115803.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdxdc1 description:pyridoxal-dependent decarboxylase domain containing 1 [Source:MGI Symbol;Acc:MGI:1920909] MDASLEKIADPTLAEMGKNLKEAMRMLEKSPRRTEEENGKKPVSEDIPGPLQGSGQDMVS ILQLVQNLMHGDEDEEPQSTRIQNIGEQGHMALLGHSLGAYISTLDKEKLRKLTTRILSD TTLWLRRIFRYENGCAYFHEEEREGLAKICRLAIHSRYEDFVVDGFNVLYNKKPVIYLSA AARPGLGQYLCNQDVAFLEKLIKDDVERGRLPLLLVANAGTAAVGHTDKIGRLKELCEQY GIWLHVEGVNLATLALGYVSSSVLAATKCDSMTLTPGLWLGLPAVPAVTLYKHDDPALTL VAGLTSNKPADKLRALPLWLSLQYLGLDGIVERIKHACHLSQRLQESLKKVDHIKILVED ELSSPVVVFRFFQELPASGR >ENSMUSP00000111468.1 pep:known chromosome:GRCm38:16:13849277:13903131:-1 gene:ENSMUSG00000022680.13 transcript:ENSMUST00000115802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdxdc1 description:pyridoxal-dependent decarboxylase domain containing 1 [Source:MGI Symbol;Acc:MGI:1920909] MDASLEKIADPTLAEMGKNLKEAMRMLEKSPRRTEEENGKKPVSEDIPGPLQGSGQDMVS ILQLVQNLMHGDEDEEPQSTRIQNIGEQGHMALLGHSLGAYISTLDKEKLRKLTTRILSD TTLWLRRIFRYENGCAYFHEEEREGLAKICRLAIHSRYEDFVVDGFNVLYNKKPVIYLSA AARPGLGQYLCNQLGLPFPCLCRVPCNTMFGSQHQMDVAFLEKLIKDDVERGRLPLLLVA NAGTAAVGHTDKIGRLKELCEQYGIWLHVEGVNLATLALGYVSSSVLAATKCDSMTLTPG LWLGLPAVPAVTLYKHDDPALTLVAGLTSNKPADKLRALPLWLSLQYLGLDGIVERIKHA CHLSQRLQESLKKVDHIKILGFSV >ENSMUSP00000027409.9 pep:known chromosome:GRCm38:1:75360329:75368579:1 gene:ENSMUSG00000026208.9 transcript:ENSMUST00000027409.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Des description:desmin [Source:MGI Symbol;Acc:MGI:94885] MSQAYSSSQRVSSYRRTFGGAPGFSLGSPLSSPVFPRAGFGTKGSSSSMTSRVYQVSRTS GGAGGLGSLRSSRLGTTRAPSYGAGELLDFSLADAVNQEFLATRTNEKVELQELNDRFAN YIEKVRFLEQQNAALAAEVNRLKGREPTRVAELYEEEMRELRRQVEVLTNQRARVDVERD NLIDDLQRLKAKLQEEIQLREEAENNLAAFRADVDAATLARIDLERRIESLNEEIAFLKK VHEEEIRELQAQLQEQQVQVEMDMSKPDLTAALRDIRAQYETIAAKNISEAEEWYKSKVS DLTQAANKNNDALRQAKQEMMEYRHQIQSYTCEIDALKGTNDSLMRQMRELEDRFASEAN GYQDNIARLEEEIRHLKDEMARHLREYQDLLNVKMALDVEIATYRKLLEGEESRINLPIQ TFSALNFRETSPEQRGSEVHTKKTVMIKTIETRDGEVVSEATQQQHEVL >ENSMUSP00000029527.5 pep:known chromosome:GRCm38:3:92750148:92752338:-1 gene:ENSMUSG00000027919.5 transcript:ENSMUST00000029527.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1g description:late cornified envelope 1G [Source:MGI Symbol;Acc:MGI:1913445] MSCQQSQQQCQAPAKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSSGGCC SSGGCCSSGGGGCCLSHHRPRRSLRRHRHSSGCCSSGGSSGCCGSSGCCGSSGGSSGCCG SSGGSSGCCGSSQQSGDCC >ENSMUSP00000063707.7 pep:known chromosome:GRCm38:6:124512405:124523443:1 gene:ENSMUSG00000055172.10 transcript:ENSMUST00000068593.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1ra description:complement component 1, r subcomponent A [Source:MGI Symbol;Acc:MGI:1355313] MWLFALLVTLFYGVEGSIYLPQKLYGEVTSPLYPKPYPSDLETTTVITVPMGYRVKLVFW QFDVEPSEGCFYDYVKISADKQTLGRFCGQLDSPLGNPPGSKEFMSQGNKMLLTFHTDFS NEENGTIMFYKGFLAYYQAVDLDECASQPNSVEEGLQPRCQHLCHNYVGGYFCSCHPGYE LQKDGQSCQAECSSELYTEPSGYVSSLEYPQPYPPDLRCNYSIRVERGLTVHLKFLDPFE IDDHQQVHCPYDQLQIYANGKNLGEFCGKQRPPDLDTSSNAVDLLFFTDESGDSRGWKLH YTTETIKCPQPKALDEFTIIQDPQPQYQFRDYFIVTCKQGYQLMEGNQALLSFTAVCQND GTWHRAMPRCKIKNCGQPQSLSNGDFRYITTKGVTTYEASIQYHCHEPYYKMLTRAGSSE SMRGIYTCTAQGIWKNEEEGEKMPRCLPVCGKPVNPVTQKERIIRGQPARPGNFPWQAFT TTHGRGGGALLGDRWILTAAHTIYPKHHNKENDNANPKMLVFLGHTNVEQIKKLGHHPVR RVIIHPDYRQDEPNNFEGDIALLELENSVTLGPELLPICLPDNETFYGQGLMGYVSGFGI TEDKLAFDLRFVRLPVADSEACQRWLQTKKDTSPFSQNMFCSGDPAVQQDACQGDSGGVF AVRDRNRDIWVATGIVSWGIGCGEGYGFYTKVLNYVDWIKKEMGDEN >ENSMUSP00000125823.1 pep:known chromosome:GRCm38:7:21162185:21163108:1 gene:ENSMUSG00000094385.1 transcript:ENSMUST00000166948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r123 description:vomeronasal 1 receptor 123 [Source:MGI Symbol;Acc:MGI:2686292] MSAHGKSLKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILIHMAV ANALTLFLTIFPNNMSAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHQFVTLVP VNRGKGKLILRASIPNLWNYSCYNCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFAYDATFMIIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAETRATR TILMLVVTFVSFYLLNFICIIFHAFSIHSHLFIRLVSVVLAAVFPSICPLLLIFRDPKDP CSVIFKC >ENSMUSP00000037192.2 pep:known chromosome:GRCm38:11:111066164:111076821:1 gene:ENSMUSG00000041695.2 transcript:ENSMUST00000042970.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj2 description:potassium inwardly-rectifying channel, subfamily J, member 2 [Source:MGI Symbol;Acc:MGI:104744] MGSVRTNRYSIVSSEEDGMKLATMAVANGFGNGKSKVHTRQQCRSRFVKKDGHCNVQFIN VGEKGQRYLADIFTTCVDIRWRWMLVIFCLAFVLSWLFFGCVFWLIALLHGDLDTSKVSK ACVSEVNSFTAAFLFSIETQTTIGYGFRCVTDECPIAVFMVVFQSIVGCIIDAFIIGAVM AKMAKPKKRNETLVFSHNAVIAMRDGKLCLMWRVGNLRKSHLVEAHVRAQLLKSRITSEG EYIPLDQIDINVGFDSGIDRIFLVSPITIVHEIDEDSPLYDLSKQDIDNADFEIVVILEG MVEATAMTTQCRSSYLANEILWGHRYEPVLFEEKHYYKVDYSRFHKTYEVPNTPLCSARD LAEKKYILSNANSFCYENEVALTSKEEEEDSENGVPESTSTDSPPGIDLHNQASVPLEPR PLRRESEI >ENSMUSP00000127156.1 pep:known chromosome:GRCm38:14:4084120:4099306:1 gene:ENSMUSG00000091022.8 transcript:ENSMUST00000166928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3115 description:predicted gene 3115 [Source:MGI Symbol;Acc:MGI:3781291] MFSWLLRLCQKENGDEGETRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKMKISNNMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLCECTQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQCEIVQQKA >ENSMUSP00000136699.1 pep:known chromosome:GRCm38:14:4084164:4087136:1 gene:ENSMUSG00000091022.8 transcript:ENSMUST00000177660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3115 description:predicted gene 3115 [Source:MGI Symbol;Acc:MGI:3781291] MFSWLLRLCQKENGDEGETRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKMKISNNMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLCECTQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000135829.1 pep:known chromosome:GRCm38:15:96287518:96352038:1 gene:ENSMUSG00000033237.18 transcript:ENSMUST00000134985.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid2 description:AT rich interactive domain 2 (ARID, RFX-like) [Source:MGI Symbol;Acc:MGI:1924294] MANSTGKAPPDERRKGLAFLDELRQFHHSRGSPFKKIPAVGGKELDLHGLYTRVTTLGGF AKVSEKNQWGEIVEEFNFPRSCSNAAFALKQYYLRYLEKYEKVHHFGEDDDEVPPGNPKP QLPIGAIPSSYNYQQHSVSGRDTAT >ENSMUSP00000093969.4 pep:known chromosome:GRCm38:15:96287573:96404992:1 gene:ENSMUSG00000033237.18 transcript:ENSMUST00000096250.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid2 description:AT rich interactive domain 2 (ARID, RFX-like) [Source:MGI Symbol;Acc:MGI:1924294] MANSTGKAPPDERRKGLAFLDELRQFHHSRGSPFKKIPAVGGKELDLHGLYTRVTTLGGF AKVSEKNQWGEIVEEFNFPRSCSNAAFALKQYYLRYLEKYEKVHHFGEDDDEVPPGNPKP QLPIGAIPSSYNYQQHSVSDYLRQSYGLSMDFNSPNDYNKLVLSLLSGLPNEVDFAINVC TLLSNESKHVMQLEKDPKIITLLLANAGVFDDTLGSFSSVFGEEWREKTDRDFVKFWKDI VDDNEVRDLISDRNKAHEDTPGEWIWESLFHPPRKLGINDIEGQRVLQIAVILRNLSFEE SNVKLLAANRTCLRFLLLSAHSHFISLRQLGLDTLGNIAAELLLDPVDFRTTHLMFHTVT KCLMSRDRFLKMRGMEILGNLCKAEDNGVLICEYVDQDSYREIICHLTLPDVLLVTSTLE VLYMLTEMGDVACTKIAKVEKSIDVLVCLVSMDAQMFGPDALAAVKLIEHPSSSHQVLSE IRPQAIEQVQTQTHIASGPASRAVVAQHAAPPPGIVEIDSEKFACQWLNAHFEVNPDCSV SRAEMYSEYLSTCSKLARGGILTSTGFYKCLRTVFPNHTVKRVEDSTSSGQAHIHVIGVK RRALPLPIQMYYQQQPISTPVVRVDAVADLSPTPSPAGIPHGPQAAGNHFQRTPVTNQSS NLTATQMSFPVQGIHTVAQTVSRIPPNPSVHTHQQQNSPVTVIQNKAPIPCEVVKATVIQ NSVPQTAVPVSISVGGAPAQNSVGQNHSAGPQPVTVVNSQTLLHHPSVMPQPSPLHTVVP GQVPSGTPVTVIQQTVPQSRMFGRVQSIPACTSTVSQGQQLITTSPQPMHTSSQQTAAGS QPQDTVIIAPPQYVTTSASNIVSATSVQNFQVATGQVVTIAGVPSPQPSRVGFQNIAPKP LPSQQVSPSVVQQPIQQPQQPAQQSVVIVSQPAQQGQAYAPAIHQIVLANPAALPAGQTV QLTGQPNITPSSSPSPVPPTNNQVPTAMSSSSTLQSQGPPPTVSQMLSVKRQQQQQHSPA APAQQVQVQVQQPQQVQVQVQPQQPSAGVGQPAPNESSLIKQLLLPKRGPSTPGGKLILP APQIPPPNNARAPSPQVVYQVANNQAAGFGVQGQTPAQQLLVGQQNVQLVQSAMPPAGGV QTVPISNLQILPGPLISNSPATIFQGTSGNQVTITVVPNTSFATATVSQGNAAQLIAPAG LSMSGAQASAGLQVQTLPAGQSACTTAPLPFKGDKIICQKEEEAKEATGLHVHERKIEVM ENPSCRRGTTNTSNGDTSESELQVGSLLNGRKYSDSSLPPSNSGKLQSETSQCSLISNGP SLELGENGAPGKQNSEPVDMQDVKGDLKKALVNGICDFDKGDGSHLSKNIPNHKTSNHVG NGEISPVEPQGTSGATQQDTAKGDQLERVSNGPVLTLGGSPSTSSMQEAPSVATPPLSGT DLPNGPLASSLNSDVPQQRPSVVVSPHSTAPVIQGHQVIAVPHSGPRVTPSALSSDARST NGTAECKTVKRPAEDNDRDTVPGIPNKVGVRIVTISDPNNAGCSATMVAVPAGADPSTVA KVAIESAAQQKQQHPPTYMQSVAPQNTPMPPSPAVQVQGQPSSSQPSPVSASSQHADPVR KPGQNFMCLWQSCKKWFQTPSQVFYHAATEHGGKDVYPGQCLWEGCEPFQRQRFSFITHL QDKHCSKDALLAGLKQDEPGQVANQKSSTKQPTVGGTGSAPRAQKAIASHPSAALMALRR GSRNLVFRDFTDEKEGPITKHIRLTAALILKNIGKYSECGRRLLKRHENNLSVLAISNME ASSTLAKCLYELNFTVQSKEQEKDSEML >ENSMUSP00000103797.1 pep:known chromosome:GRCm38:4:33062999:33095865:1 gene:ENSMUSG00000023267.10 transcript:ENSMUST00000108162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrr2 description:gamma-aminobutyric acid (GABA) C receptor, subunit rho 2 [Source:MGI Symbol;Acc:MGI:95626] MPYLMRLALVLFCLMALVESRKPRRKRWTGLLETSKPSHLYKKNLDVTKMRPGKPRPLLR VEDHDFTMRPAFGGPAIPVGVDVQVESLDSISEVDMDFTMTLYLRHYWRDERLAFPSSSN KSMTFDGRLVKKIWVPDVFFVHSKRSFIHDTTTDNIMLRVFPDGHVLYSMRITVTAMCNM DFSHFPLDSQTCSLELESYAYTDEDLMLYWKNGDESLKTDEKISLSQFLIQKFHTTSRLA FYSSTGWYNRLYINFTLRRHIFFFLLQTYFPATLMVMLSWVSFWIDHRAVPARVSLGIMT VLTMSTIITGVNASMPRVSYIRAVDIYLWVSFVFVFLSVLEYAAVNYLTTLQEQKERKFR EKLPCMCGMLHSRTMMLDGSYSESEANSLAGYPRSHILPEEERPDNIVVHLALNSELTSS RKKGLLKGQMGLYIFQNTHAIDKYSRLIFPAFYIVFNLIYWSVFS >ENSMUSP00000118514.1 pep:known chromosome:GRCm38:4:33078796:33085564:1 gene:ENSMUSG00000023267.10 transcript:ENSMUST00000131920.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrr2 description:gamma-aminobutyric acid (GABA) C receptor, subunit rho 2 [Source:MGI Symbol;Acc:MGI:95626] MTLYLRHYWRDERLAFPSSSNKSMTFDGRLVKKIWVPDVFFVHSKRSFIHDTTTDNIMLR VFPDGHVLYSMRITVTAMCNMDFSHFPLDSQTCSLELESYAYTDEDLMLYWKNGDESLKT DEKISLSQFLIQKFHTTSRLAFYSSTGWYNRLYINFTLRRHIFFFLLQTYFPATLMVMLS WVSFWIDHRAVPARVSLGIMTVLT >ENSMUSP00000114337.1 pep:known chromosome:GRCm38:4:33081505:33085820:1 gene:ENSMUSG00000023267.10 transcript:ENSMUST00000147889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrr2 description:gamma-aminobutyric acid (GABA) C receptor, subunit rho 2 [Source:MGI Symbol;Acc:MGI:95626] XIMLRVFPDGHVLYSMRITVTAMCNMDFSHFPLDSQTCSLELESYAYTDEDLMLYWKNGD ESLKTDEKISLSQFLIQKFHTTSRLAFYSSTGWYNRLYINFTLRRHIFFFLLQTYFPATL MVMLSWVSFWIDHRAVPARVSLGIMTVLTMSTIITGVNASMPRVSYIRAVDIYLWVSFVF VFLSVLEYAAVNYLTTLQEQKERKFREKVRRPRSSSLVSWG >ENSMUSP00000024035.2 pep:known chromosome:GRCm38:4:33063112:33095865:1 gene:ENSMUSG00000023267.10 transcript:ENSMUST00000024035.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrr2 description:gamma-aminobutyric acid (GABA) C receptor, subunit rho 2 [Source:MGI Symbol;Acc:MGI:95626] MVKPGGILPIKSPCTAACCIIDMCRMPYLMRLALVLFCLMALVESRKPRRKRWTGLLETS KPSHLYKKNLDVTKMRPGKPRPLLRVEDHDFTMRPAFGGPAIPVGVDVQVESLDSISEVD MDFTMTLYLRHYWRDERLAFPSSSNKSMTFDGRLVKKIWVPDVFFVHSKRSFIHDTTTDN IMLRVFPDGHVLYSMRITVTAMCNMDFSHFPLDSQTCSLELESYAYTDEDLMLYWKNGDE SLKTDEKISLSQFLIQKFHTTSRLAFYSSTGWYNRLYINFTLRRHIFFFLLQTYFPATLM VMLSWVSFWIDHRAVPARVSLGIMTVLTMSTIITGVNASMPRVSYIRAVDIYLWVSFVFV FLSVLEYAAVNYLTTLQEQKERKFREKLPCMCGMLHSRTMMLDGSYSESEANSLAGYPRS HILPEEERPDNIVVHLALNSELTSSRKKGLLKGQMGLYIFQNTHAIDKYSRLIFPAFYIV FNLIYWSVFS >ENSMUSP00000146420.1 pep:known_by_projection chromosome:GRCm38:15:76354472:76363795:1 gene:ENSMUSG00000109179.1 transcript:ENSMUST00000208833.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WDR97 description:WD repeat domain 97 [Source:HGNC Symbol;Acc:HGNC:26959] MEDRLIETGNLYSSEGDHLPLDVDIYDHDIYDIPDPGVLIEKNELGLLGGPQVPHLFTNS QRWQNMSLRRRARLLWLHLRAYLHDIVEKEKRAELRVARMTHGLEPLRHLEVEAGLCSVA QDPVGERFMVLDGEGYLHQHTKDGWEQAKLKPPVILNGLVTVPGPLGEIGRFVGWGPAGL SILGPDFHLLWLSKPRVNKSLDQEPFCCLPVPSLSLLIVAQMGGSLELWKFRSGGRCLVP CGSPLRPPPGLSGSFKCLALGLEPDHCSWHCFAAYGSAVLTFDLDDWALINVSQDLHKTI ISDLDYCEEMDAMVTASRDSTVKVWEADWQIRMVFVGHTGPVTAMTVLPNSTLAVSASQD GTIRTWDLRAAAQVGEVTLGCWTEDIISEKVSHLLAPASPGWPVLSLCSKSIELWRVRTL YSPLAQLSAPVLHIQVAPVLPAPTDPSLPARLVCACADGSVHLVSATTGRTVSSLLLEPE DCAAGVAYCLSREALWVLTRSGHLVRANAARCPMVVVHRLRPPPPPAPQPCCLHLYSHLT DSRSAFACWEIVRQNQGDMLRSAIAWAWKNKNRFLPVMGHSDGTLSVLDWRTSVTVFHTE AHSPGPVTAIGSTWSSIVTSGGDLTVKMWRVFPYAEECLSLLQTFSCCHPVVMLCVLGKR ITAGFEDPERATYGLVQFGLGDKMRCDHRPQDDPMDHITDSYCLKQWLDLRYSCRLLQLD GPPQALAFASNYGDLVFSLGSRLHLVSYSTYLPTSYLVKKLCLKASDVISDPPLPLTSKK PLTSSQLQRLANLRGAASLSVALPFGYYKRSEPQQPVLKKDLETIIARDQDLQELIQGLV APATRPPLTLKQRHKAFDNYLRLVYGSDLMDINPERESQQWGTSALSTEKESSDQESQPG PVMIVGQAAFSNDVQPVFPLSFPQPPGGAPGKRFARPPRVSLPIPPTYRRVHSRASQLLA RSSLSCELGLGLDLQVQWDQFAVKAIDLDASIDYMKNRVPLLLQRKPAEPLSKLMGFFPA TIKPYRLRSRPIKFPGCVPNSVVLRQMWLQAEVSGLGSLAELSSQSRTKAESEDEQWSRR RKHSSLRWRNKLFGLLRLTRRKGGEEEEEERRKEEEVFERDLIPSSQTSATEPEHWKPDT DSATDLISKLCNEASKTKGYSQPLQFGRSFLEERYGHLPKFLHYFVVQNWFKKLFPIFTL EAYPEMGTIEGLASMFLDFLLKASWADRVNILNSLLRLLPDITGNLRIRLQAKLLYLLNQ DDPPKLQDPTQKEFVMIALQLLLACNLDVLEVVLEIISYYLYSPISCRQELKKLLEGLGL HDPEGVLFKEIMTWVEDLKVESKATIRIQCQQKLEDIYLQADTLEQSTVSIFVEPSRQVS QTSLISGGSLPAMSTSWTFSRASEMSLVPSPVEPIESMEQVTSIVSIPELQMASSQAHMR IQKTKRVLADMLQTFGLEDSEYPFKFDVPRRESPLAQTLWARSQIVDLFHMDMLNLFCEK RRVQQQRSSMGEEKEEEGKEEEEEEEEEEEEEEEEEEEEHLPVSLLRRHQLRPNAVLRPR RERWLYPILRLQEAKAPDFGVFLRSHKMQSRELTTDGSLRVLKLPLPRVDLQPFPPGWPT PPRALPPLLLQPSLQRYFLLQDTYPGAFS >ENSMUSP00000132118.1 pep:known chromosome:GRCm38:14:4110526:4132784:1 gene:ENSMUSG00000091563.8 transcript:ENSMUST00000170207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8108 description:predicted gene 8108 [Source:MGI Symbol;Acc:MGI:3779783] MLNSSKLGVSGMFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNT STQNSKMTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSIQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRL LVEQAGHKCPVGKKRGSLRRPARTSVSQVPRNTSVI >ENSMUSP00000128977.2 pep:known chromosome:GRCm38:14:4126066:4131721:1 gene:ENSMUSG00000091563.8 transcript:ENSMUST00000167397.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8108 description:predicted gene 8108 [Source:MGI Symbol;Acc:MGI:3779783] MTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSIQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNTSPAESRTWHRPRHDLPQREVLEEEH >ENSMUSP00000050972.5 pep:known chromosome:GRCm38:7:90442729:90446104:1 gene:ENSMUSG00000051451.6 transcript:ENSMUST00000061767.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebzf description:CREB/ATF bZIP transcription factor [Source:MGI Symbol;Acc:MGI:2675296] MRHSLTKLLAASGRDFPSRRDSREPPATRAPPREPSGAAAGAETPRPGSPDREQPHGDGD GGEPEARSGSRGSVAVRAPAPSPLKMEEEEEDAIAMVPKEEPEDMDFLSGLELADLLDPR QPDWHLEPGLSSPGPLSSSGGGSESGGLLRGDDDDDTAAAEMQRFSDLLQRLLNGIGGCS SGGDRGGGEKRRRKSPGAGGGGANDGNQAATKSPRKAAAAAARLNRLKKKEYVMGLESRV RGLAAENQELRAENRELGKRVQALQEESRYLRAVLANETGLARLLSRLSGVGLRLTTSLF RDSPAGDHDYALPVGKQPPEPREEDDAAGGVCLHVDKDKVSVEFCSACARKASSSLKM >ENSMUSP00000102824.2 pep:known chromosome:GRCm38:7:90443669:90445818:1 gene:ENSMUSG00000051451.6 transcript:ENSMUST00000107206.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crebzf description:CREB/ATF bZIP transcription factor [Source:MGI Symbol;Acc:MGI:2675296] MGLESRVRGLAAENQELRAENRELGKRVQALQEESRYLRAVLANETGLARLLSRLSGVGL RLTTSLFRDSPAGDHDYALPVGKQPPEPREEDDAAGGVCLHVDKDKVSVEFCSACARKAS SSLKIFFFR >ENSMUSP00000146706.1 pep:known chromosome:GRCm38:7:90443670:90444701:1 gene:ENSMUSG00000051451.6 transcript:ENSMUST00000126041.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crebzf description:CREB/ATF bZIP transcription factor [Source:MGI Symbol;Acc:MGI:2675296] MGLESRVRGLAAENQELRAENRELGKRVQALQEESRYLRAVLANETGLARLLSRLSGVGL RLTTSLFRDSPAGDHDYALPVGKQPPEPREEDDAAGGVCLHVDKDKVSVEFCSACARKAS SSLKM >ENSMUSP00000146879.1 pep:known chromosome:GRCm38:7:90443678:90446104:1 gene:ENSMUSG00000051451.6 transcript:ENSMUST00000143408.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crebzf description:CREB/ATF bZIP transcription factor [Source:MGI Symbol;Acc:MGI:2675296] MGLESRVRGLAAENQELRAENRELGKRVQALQEESRYLRAVLANETGLARLLSRLSGVGL RLTTSLFRDSPAGDHDYALPVGKQPPEPREEDDAAGGVCLHVDKDKVSVEFCSACARKAS SSLKM >ENSMUSP00000102823.2 pep:known chromosome:GRCm38:7:90443755:90445435:1 gene:ENSMUSG00000051451.6 transcript:ENSMUST00000107205.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crebzf description:CREB/ATF bZIP transcription factor [Source:MGI Symbol;Acc:MGI:2675296] XQELRAENRELGKRVQALQEESRYLRAVLANETGLARLLSRLSGVGLRLTTSLFRDSPAG DHDYALPVGKQPPEPREEDDAAGGVCLHVDKDKVSVEFCSACARKASSSLKIFFFR >ENSMUSP00000146363.1 pep:known chromosome:GRCm38:7:90443879:90447994:1 gene:ENSMUSG00000051451.6 transcript:ENSMUST00000208379.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crebzf description:CREB/ATF bZIP transcription factor [Source:MGI Symbol;Acc:MGI:2675296] SGVGLRLTTSLFRDSPAGDHDYALPVGKQPPEPREEDDAAGGVCLHVDKDKVSVEFCSAC ARKASSSLKM >ENSMUSP00000146596.1 pep:known chromosome:GRCm38:7:90443887:90447721:1 gene:ENSMUSG00000051451.6 transcript:ENSMUST00000207252.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crebzf description:CREB/ATF bZIP transcription factor [Source:MGI Symbol;Acc:MGI:2675296] XGLRLTTSLFRDSPAGDHDYALPVGKQPPEPREEDDAAGGVCLHVDKDKVSVEFCSACAR KASSSLKIFFFR >ENSMUSP00000044342.8 pep:known chromosome:GRCm38:11:20823576:20831056:-1 gene:ENSMUSG00000042363.14 transcript:ENSMUST00000047028.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgalsl description:lectin, galactoside binding-like [Source:MGI Symbol;Acc:MGI:1916114] MAGSVADSDAVVKLDDGHLNNSLGSPVQADVYFPRLIVPFCGHIKGGMRPGKKVLVMGIV DLNPESFAISLTCGDSEDPPADVAIELKAVFTDRQLLRNSCISGERGEEQSAIPYFPFIP DQPFRVEILCEHPRFRVFVDGHQLFDFYHRIQTLSAIDTIKINGDLQITKLG >ENSMUSP00000113771.1 pep:known chromosome:GRCm38:7:24902912:24926592:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000117796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] MGEVAGGAAPGPPRSGLVSIIIGAEDEDFENELEANSEDQNSQFQSLEQVKRRPAHLMAL LQHVALQFEPGPLLCCLHADMLSSLGPKEAKKAFLDFYHSFLEKTAVLRVPVPPSVAFEL DRTRPDLISEDVQRRFIQEVVQSQQAAVSRQLEDFRSKRLMGMTPWEQELSLLEPWIGKD RGNYEARERHVAERLLSHLEETQHTISTDEEKSAAVVTAISLYMRHLGVRTKSGDKKSGR NFFRKKVMGNRRSDEPPKTKKGLSSILDPARWNRGEPSAPDCRHLKVEADAEKPGPADRK GGLGMSSRDRTVGTPGQDNPGVSLHPLSTDSVDSREPGVDTPQEPGDTPPQGPTSLEPLA PPESTEDNGETERLSGRLGRSESLRVSDRRRPSRGSLGAKGRGGGRSRSDVDMDPGSATA VLGPTRRATPEPGDDGEPGRSGLELEPEEPPGWRELVPPDTLLSLPKSQVKRQEVISELL VTEAAHVRMLRVLHDLFYQPMADGGFFPLDELQNIFPSLDELIEVHSLFLDRLMKRRQES GYLIEEIGDVLLARFDGAEGSWFQKISSRFCSRQSFALEQLKAKQRKEPRFCAFVQEAES RPRCRRLQLKDMIPTEMQRLTKYPLLLQSIGQNTEESTERGKVELAAECCREILHHVNQA VRDMEDLLRLKDYQRRLDLTHLRQSSDPMLSEFKNLDITKKKLVHEGPLTWRVTKDKAIE VHVLLLDDLLLLLQRQDERLLLKSHSRTLTPTPDGKTMLRPVLRLTSAMTREVATDHKAF YVIFTWDQEAQIYELVAQTSSERKNWCNLITETAGSLKVPAPASRLKPRPSPSSIREPLL SSSENGTGGAEMAPADARTERLLNDLLPFCRPGPEGQLAATALQKVLSLKQILLSTEEDS GAGPPRDGDGVPGGRAPGPVHTQEIEENLLSLEVAIRQLEELEEEFCRLRPLLSQLGGTL SPNLAAPERSAQTGLS >ENSMUSP00000046469.9 pep:known chromosome:GRCm38:7:24903037:24926589:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000047873.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] MGEVAGGAAPGPPRSGLVSIIIGAEDEDFENELEANSEDQNSQFQSLEQVKRRPAHLMAL LQHVALQFEPGPLLCCLHADMLSSLGPKEAKKAFLDFYHSFLEKTAVLRVPVPPSVAFEL DRTRPDLISEDVQRRFIQEVVQSQQAAVSRQLEDFRSKRLMGMTPWEQELSLLEPWIGKD RGNYEARERHVAERLLSHLEETQHTISTDEEKSAAVVTAISLYMRHLGVRTKSGDKKSGR NFFRKKVMGNRRSDEPPKTKKGLSSILDPARWNRGEPSAPDCRHLKVEADAEKPGPADRK GGLGMSSRDRTVGTPGQDNPGVSLHPLSTDSVDSREPGVDTPQEPGDTPPQGPTSLEPLA PPESTEDNGETESPEPGDDGEPGRSGLELEPEEPPGWRELVPPDTLLSLPKSQVKRQEVI SELLVTEAAHVRMLRVLHDLFYQPMADGGFFPLDELQNIFPSLDELIEVHSLFLDRLMKR RQESGYLIEEIGDVLLARFDGAEGSWFQKISSRFCSRQSFALEQLKAKQRKEPRFCAFVQ EAESRPRCRRLQLKDMIPTEMQRLTKYPLLLQSIGQNTEESTERGKVELAAECCREILHH VNQAVRDMEDLLRLKDYQRRLDLTHLRQSSDPMLSEFKNLDITKKKLVHEGPLTWRVTKD KAIEVHVLLLDDLLLLLQRQDERLLLKSHSRTLTPTPDGKTMLRPVLRLTSAMTREVATD HKAFYVIFTWDQEAQIYELVAQTSSERKNWCNLITETAGSLKVPAPASRLKPRPSPSSIR EPLLSSSENGTGGAEMAPADARTERLLNDLLPFCRPGPEGQLAATALQKVLSLKQILLST EEDSGAGPPRDGDGVPGGRAPGPVHTQEIEENLLSLEVAIRQLEELEEEFCRLRPLLSQL GGTLSPNLAAPERSAQTGLS >ENSMUSP00000096280.4 pep:known chromosome:GRCm38:7:24903051:24926589:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000098683.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] MGEVAGGAAPGPPRSGLVSIIIGAEDEDFENELEANSEDQNSQFQSLEQVKRRPAHLMAL LQHVALQFEPGPLLCCLHADMLSSLGPKEAKKAFLDFYHSFLEKTAVLRVPVPPSVAFEL DRTRPDLISEDVQRRFIQEVVQSQQAAVSRQLEDFRSKRLMGMTPWEQELSLLEPWIGKD RGNYEARERHVAERLLSHLEETQHTISTDEEKSAAVVTAISLYMRHLGVRTKSGDKKSGR NFFRKKVMGNRRSDEPPKTKKGLSSILDPARWNRGEPSAPDCRHLKVEADEKPGPADRKG GLGMSSRDRTVGTPGQDNPGVSLHPLSTDSVDSREPGVDTPQEPGDTPPQGPTSLEPLAP PESTEDNGETERRWKRLSGRLGRSESLRVSDRRRPSRGSLGAKGRGGGRSRSDVDMDPGS ATAVLGPTRRATPEPGDDGEPGRSGLELEPEEPPGWRELVPPDTLLSLPKSQVKRQEVIS ELLVTEAAHVRMLRVLHDLFYQPMADGGFFPLDELQNIFPSLDELIEVHSLFLDRLMKRR QESGYLIEEIGDVLLARFDGAEGSWFQKISSRFCSRQSFALEQLKAKQRKEPRFCAFVQE AESRPRCRRLQLKDMIPTEMQRLTKYPLLLQSIGQNTEESTERGKVELAAECCREILHHV NQAVRDMEDLLRLKDYQRRLDLTHLRQSSDPMLSEFKNLDITKKKLVHEGPLTWRVTKDK AIEVHVLLLDDLLLLLQRQDERLLLKSHSRTLTPTPDGKTMLRPVLRLTSAMTREVATDH KAFYVIFTWDQEAQIYELVAQTSSERKNWCNLITETAGSLKVPAPASRLKPRPSPSSIRE PLLSSSENGTGGAEMAPADARTERLLNDLLPFCRPGPEGQLAATALQKVLSLKQILLSTE EDSGAGPPRDGDGVPGGRAPGPVHTQEIEENLLSLEVAIRQLEELEEEFCRLRPLLSQLG GTLSPNLAAPERSAQTGLS >ENSMUSP00000146314.1 pep:known chromosome:GRCm38:7:24903056:24926594:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000206508.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] MGEVAGGAAPGPPRSGLVSIIIGAEDEDFENELEANSEDQNSQFQSLEQVKRRPAHLMAL LQHVALQFEPGPLLCCLHADMLSSLGPKEAKKAFLDFYHSFLEKTAVLRVPVPPSVAFEL DRTRPDLISEDVQRRFIQEVVQSQQAAVSRQLEDFRSKRLMGMTPWEQELSLLEPWIGKD RGNYEARERHVAERLLSHLEETQHTISTDEEKSAAVVTAISLYMRHLGVRTKSGDKKSGR NFFRKKVMGNRRSDEPPKTKKGLSSILDPARWNRGEPSAPDCRHLKVEADEKPGPADRKG GLGMSSRDRTVGTPGQDNPGVSLHPLSTDSVDSREPGVDTPQEPGDTPPQGPTSLEPLAP PESTEDNGETESPEPGDDGEPGRSGLELEPEEPPGWRELVPPDTLLSLPKSQVKRQEVIS ELLVTEAAHVRMLRVLHDLFYQPMADGGFFPLDELQNIFPSLDELIEVHSLFLDRLMKRR QESGYLIEEIGDVLLARFDGAEGSWFQKISSRFCSRQSFALEQLKAKQRKEPRFCAFVQE AESRPRCRRLQLKDMIPTEMQRLTKYPLLLQSIGQNTEESTERGKVELAAECCREILHHV NQAVRDMEDLLRLKDYQRRLDLTHLRQSSDPMLSEFKNLDITKKKLVHEGPLTWRVTKDK AIEVHVLLLDDLLLLLQRQDERLLLKSHSRTLTPTPDGKTMLRPVLRLTSAMTREVATDH KAFYVIFTWDQEAQIYELVAQTSSERKNWCNLITETAGSLKVPAPASRLKPRPSPSSIRE PLLSSSENGTGGAEMAPADARTERLLNDLLPFCRPGPEGQLAATALQKVLSLKQILLSTE EDSGAGPPRDGDGVPGGRAPGPVHTQEIEENLLSLEVAIRQLEELEEEFCRLRPLLSQLG GTLSPNLAAPERSAQTGLS >ENSMUSP00000145659.1 pep:known chromosome:GRCm38:7:24903071:24907892:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000206028.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] MSSSPAESWRWEKSPEGRPQGLPGLAWCPSS >ENSMUSP00000146065.1 pep:known chromosome:GRCm38:7:24904356:24913451:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000206906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] MGEVAGGAAPGPPRSGLVSIIIGAEDEDFENELEALCCLHADMLSSLGPKEAKKAFLDFY HSFLEKTAVLRVPVPPSVAFELDRTRPDLISEDVQRRFIQEVVQSQQAAVSRQLEDFRSK RLMGMTPWEQELSLLEPWIGKDRGNYEARERHVAERLLSHLEETQHTISTDEEKSAAVVT AISLYMRHLGVR >ENSMUSP00000145981.1 pep:known chromosome:GRCm38:7:24904405:24912600:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000206011.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] MGEVAGGAAPGPPRSGLVSIIIGAEDEDFENELEANSEDQNSQFQSLEQVKRRPAHLMAL LQHVALQFEPGPLVLRVPVPPSVAFELDRTRPDLISEDVQRR >ENSMUSP00000113366.1 pep:known chromosome:GRCm38:7:24904407:24926592:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000117419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] MGEVAGGAAPGPPRSGLVSIIIGAEDEDFENELEANSEDQNSQFQSLEQVKRRPAHLMAL LQHVALQFEPGPLLCCLHADMLSSLGPKEAKKAFLDFYHSFLEKTAVLRVPVPPSVAFEL DRTRPDLISEDVQRRFIQEVVQSQQAAVSRQLEDFRSKRLMGMTPWEQELSLLEPWIGKD RGNYEARERHVAERLLSHLEETQHTISTDEEKSAAVVTAISLYMRHLGVRTKSGDKKSGR NFFRKKVMGNRRSDEPPKTKKGLSSILDPARWNRGEPSAPDCRHLKVEADAEKPGPADRK GGLGMSSRDRTVGTPGQDNPGVSLHPLSTDSVDSREPGVDTPQEPGDTPPQGPTSLEPLA PPESTEDNGETESPEPGDDGEPGRSGLELEPEEPPGWRELVPPDTLLSLPKSQVKRQEVI SELLVTEAAHVRMLRVLHDLFYQPMADGGFFPLDELQNIFPSLDELIEVHSLFLDRLMKR RQESGYLIEEIGDVLLARFDGAEGSWFQKISSRFCSRQSFALEQLKAKQRKEPRFCAFVQ EAESRPRCRRLQLKDMIPTEMQRLTKYPLLLQSIGQNTEESTERGKVELAAECCREILHH VNQAVRDMEDLLRLKDYQRRLDLTHLRQSSDPMLSEFKNLDITKKKLVHEGPLTWRVTKD KAIEVHVLLLDDLLLLLQRQDERLLLKSHSRTLTPTPDGKTMLRPVLRLTSAMTREVATD HKAFYVIFTWDQEAQIYELVAQTSSERKNWCNLITETAGSLKVPAPASRLKPRPSPSSIR EPLLSSSENGTGGAEMAPADARTERLLNDLLPFCRPGPEGQLAATALQKVLSLKQILLST EEDSGAGPPRDGDGVPGGRAPGPVHTQEIEENLLSLEVAIRQLEELEEEFCRLRPLLSQL GGTLSPNLAAPERSAQTGLS >ENSMUSP00000146059.1 pep:known chromosome:GRCm38:7:24904440:24923091:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000205295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] MGEVAGGAAPGPPRSGLVSIIIGAEDEDFENELEANSEDQNSQFQSLEQVKRRPAHLMAL LQHVALQFEPGPLLCCLHADMLSSLGPKEAKKAFLDFYHSFLEKTAVLRVPVPPSVAFEL DRTRPDLISEDVQRRFIQEVVQSQQAAVSRQLEDFRSKRLMGMTPWEQELSLLEPWIGKD RGNYEARERHRLTKYPLLLQSIGQNTEESTERGKVELAAECCREILHHVNQAVR >ENSMUSP00000114388.1 pep:known chromosome:GRCm38:7:24907647:24912140:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000151121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] MGEVAGGAAPGPPRSGLVSIIIGAEDEDFENELEANSEDQNSQFQSLEQVKRRPAHLMAL LQHVALQFEPGPLLCCLHADMLSSLGPKEAKKAFLDFYHSF >ENSMUSP00000117008.1 pep:known chromosome:GRCm38:7:24916831:24926106:1 gene:ENSMUSG00000040940.18 transcript:ENSMUST00000132751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef1 description:Rho guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1353510] EAWVCLLGTGLLGLLDRTTQESPCTLCLQTASTPGNQAWIPRRSQGIHPHRALPAWSPWR PQRAQRTMARLRGGRGGLSGRLGRSESLRVSDRRRPSRGSLGAKGRGGGRSRSDVDMDPG SATAVLGPTRRATPEPGDDGEPGRSGLELEPEEPPGWRELVPPDTLLSLPKSQVKRQEVI SELLVTEAAHVRMLRVLHDLFYQPMADGGFFPLDELQNIFPSLDELIEVHSLFLDRLMKR RQESGYLIEEIGDVLLARFDGAEGSWFQKISSRFCSRQSFALEQLKAKQRKEPRFCAFVQ EAESRPRCRRLQLKDMIPTEMQRLTKYPLLLQSIGQNTEESTERGKVELAAECCREILHH VNQAVRDMEDLLRLKDYQRRLDLTHLRQSSDPMLSEFKNLDITKKKLVHEGPLTWRVTKD KAIEVHVLLLDDLLLLLQRQDERLLLKSHSRTLTPTPDGKTMLRPVLRLTSAMTREVATD HKAFYVIFTWDQEAQIYELVAQTSSERKNWCNLITETAGSLKVPAPASRLKPRPSPSSIR EPLLSSSENGTGGAEMAPADARTERLLNDLLPFCRPGPEGQLAATALQKGVGRGILSPKT PPVPAWGDSVPQPGCT >ENSMUSP00000050667.7 pep:known chromosome:GRCm38:1:176814660:176862127:1 gene:ENSMUSG00000026504.17 transcript:ENSMUST00000056773.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdccag8 description:serologically defined colon cancer antigen 8 [Source:MGI Symbol;Acc:MGI:1924066] MAKSPGNSTLEDSLGQYQRSLRERANRSIHQLKCALREVDVTVEEDALDPSTSINVENED TGVAWHELQHSHAVNQLKALLRQQTNKENETSPPRRRKLSPSDELSGMKNRVQVVVLENE RLQQELKSQRPEETLREQTFLDASGNMQNSWIMTREDSRVDEAAKRPFSHGDAETGKTAS TGDANKWKLELERLKLTYEAKTDLLESQLMLLRKDLAEYQKTCEDLKERLKHKESLLAAS ASSRVGGLCLKCAQHEAVLSQTHSNVHIQTIERLTKERDDLMSVLVSVRSSLAEAQKRET SAYEQVKHAVQMTEEANFEKTKTTFCGVPEPRSLPQILRQKPPGPGGPLSSRLEGGRMSG ARKRRCLRSSVALACHRGC >ENSMUSP00000027785.8 pep:known chromosome:GRCm38:1:176814660:177020437:1 gene:ENSMUSG00000026504.17 transcript:ENSMUST00000027785.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdccag8 description:serologically defined colon cancer antigen 8 [Source:MGI Symbol;Acc:MGI:1924066] MAKSPGNSTLEDSLGQYQRSLRERANRSIHQLKCALREVDVTVEEDALDPSTSINVENED TGVAWHELQHSHAVNQLKALLRQQTNKENETSPPRRRKLSPSRPSECDDGSMPTMHNLVP IINDQSQYIHHLEAEVKFCKDELSGMKNRVQVVVLENERLQQELKSQRPEETLREQTFLD ASGNMQNSWIMTREDSRVDEAAKRPFSHGDAETGKTASTGDANKWKLELERLKLTYEAKT DLLESQLMLLRKDLAEYQKTCEDLKERLKHKESLLAASASSRVGGLCLKCAQHEAVLSQT HSNVHIQTIERLTKERDDLMSVLVSVRSSLAEAQKRETSAYEQVKHAVQMTEEANFEKTK ALIQCEQLKSELERQTERLEKELASQQEKRAVEKEMIKKEVAREREDAESKMLILSQNIA KLEAQVEKVTREKTAAVSHLEEIQNHVASQEMDVTKVCGEMRFQLNKTKMEKDEVEKEHR EYKAKSHKDLEMKVQEIEKLRLELSESEQHVEQEQQKAARARQECLRVTELLGEAERQLH LTRLEKDSIQQSFSNEAKAQALQAQQREQELTQKIQQMETQHDKTESEQYLLLTSQNTFL TKLKEECCLLAKKLEKVSLKSRSQIVRLSQEKRYLCDKLEKLQKRNDELEEQCIQHGRVH ETMKERLRQLDKHGQATAQQLVQLLNKQNQLLLERQNLSEEVARLRAQLPSMPQSDC >ENSMUSP00000137948.1 pep:known chromosome:GRCm38:1:176814660:177020437:1 gene:ENSMUSG00000026504.17 transcript:ENSMUST00000123409.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sdccag8 description:serologically defined colon cancer antigen 8 [Source:MGI Symbol;Acc:MGI:1924066] MAKSPGNSTLEDSLGQYQRSLRERANRSIHQLKCALREVDVTVEEDALDPSTSINVENED TGVAWHELQHSHAVNQLKALLRQQTNKENETSPPRRRKLSPSRPSECDDGSMPTMHNLVP IINDQSQYIHHLEAEVKFCKDELSGMKNRVQVVVLENERLQQELKSQRPEETLREQTFLD ASGNMQNSWIMTREDSRVDEAAKRPFSHGDAETGKTASTGDANKWKLELERLKLTYEAKT DLLESQLMLLRKDLAEYQKTCEDLKERLKHKESLLAASASSRVGGLCLKCAQHEAVLSQT HSNVHIQTIERLTKERDDLMSVLVSVRSSLAEAQKRETSAYEQVKHAVQMTEEANFEKTK TVSLACV >ENSMUSP00000039338.5 pep:known chromosome:GRCm38:17:86917348:86922367:1 gene:ENSMUSG00000037689.6 transcript:ENSMUST00000042172.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem247 description:transmembrane protein 247 [Source:MGI Symbol;Acc:MGI:1925719] MAMEDREVMEARGAGESCPTLSKVAPVDSMPEGKPKASLDAEVPKLELPTLEENGICEDR DCPGPPRSLPPKSGPNAKGQAGDGPGLESVELPLPLETEHRNAMELEKVRMEFELTLLKY LHQENERQRQHEEVMEQLQQQQQQQQALPHQFSGSLQDLLLPQNQFAMFFYCFIFIHIIY VAKETVFFLFSKHYLFCLAAILLCLIKTLWS >ENSMUSP00000143825.1 pep:known chromosome:GRCm38:17:86918409:86922374:1 gene:ENSMUSG00000037689.6 transcript:ENSMUST00000202221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem247 description:transmembrane protein 247 [Source:MGI Symbol;Acc:MGI:1925719] XPLPLETEHRNAMELEKVRMEFELTLLKYLHQENERQRQHEEVMEQLQQQQQQQQALPHQ FSGSLQDLLLPQNQFAMFFYCFIFIHIIYVAKETVFFLFSKHYLFCLAAILLCLIKTLWS YFQ >ENSMUSP00000031891.8 pep:known chromosome:GRCm38:6:42315312:42324640:-1 gene:ENSMUSG00000029861.17 transcript:ENSMUST00000031891.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam131b description:family with sequence similarity 131, member B [Source:MGI Symbol;Acc:MGI:1923406] MGCIGSRTVGNEVIAVDWKGLKDVDQINMDSTSSLHGSSLHRPSTELSMEDTTSILPKLK RNSNAYGIGALAKSSFSGISRSMKDHVTKPTAMGQGRVAHMIEWQGWGKAPTIQPQHSHE AVRRDTDAYSDLSDGEKEARFLAGVMEQFAISEATLMAWSSMDGEDMSVNSTQEPLDCNY SDNYQELMESQDALAQAPMDGWPHSYVSQGMYCLGSSDAWEASDQSLIASPATGSYLGPA FDDSQPSLHDMGPSQPASGYSAQEPPPLLGVDTDWASEVGGVELARGPVEEEKRPLAPEE EEDAGCRDLESLSPREDPEMSTALSRKVSDVTSSGVQSFDEEEGDANN >ENSMUSP00000116779.1 pep:known chromosome:GRCm38:6:42315312:42324643:-1 gene:ENSMUSG00000029861.17 transcript:ENSMUST00000143278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam131b description:family with sequence similarity 131, member B [Source:MGI Symbol;Acc:MGI:1923406] MGCIGSRTVGNEVIAVDWKGLKDVDQINMDSTSSLHGSSLHRPSTEQTRTDFSWDGINLS MEDTTSILPKLKRNSNAYGIGALAKSSFSGISRSMKDHVTKPTAMGQGRVAHMIEWQGWG KAPTIQPQHSHEAVRRDTDAYSDLSDGEKEARFLAGVMEQFAISEATLMAWSSMDGEDMS VNSTQEPLDCNYSDNYQELMESQDALAQAPMDGWPHSYVSQGMYCLGSSDAWEASDQSLI ASPATGSYLGPAFDDSQPSLHDMGPSQPASGYSAQEPPPLLGVDTDWASEVGGVELARGP VEEEKRPLAPEEEEDAGCRDLESLSPREDPEMSTALSRKVSDVTSSGVQSFDEEEGDANN >ENSMUSP00000093670.3 pep:known chromosome:GRCm38:6:42315313:42324592:-1 gene:ENSMUSG00000029861.17 transcript:ENSMUST00000095974.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam131b description:family with sequence similarity 131, member B [Source:MGI Symbol;Acc:MGI:1923406] MDSTSSLHGSSLHRPSTEQTRTDFSWDGINLSMEDTTSILPKLKRNSNAYGIGALAKSSF SGISRSMKDHVTKPTAMGQGRVAHMIEWQGWGKAPTIQPQHSHEAVRRDTDAYSDLSDGE KEARFLAGVMEQFAISEATLMAWSSMDGEDMSVNSTQEPLDCNYSDNYQELMESQDALAQ APMDGWPHSYVSQGMYCLGSSDAWEASDQSLIASPATGSYLGPAFDDSQPSLHDMGPSQP ASGYSAQEPPPLLGVDTDWASEVGGVELARGPVEEEKRPLAPEEEEDAGCRDLESLSPRE DPEMSTALSRKVSDVTSSGVQSFDEEEGDANN >ENSMUSP00000030036.5 pep:known chromosome:GRCm38:4:68761514:68954397:-1 gene:ENSMUSG00000028351.5 transcript:ENSMUST00000030036.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brinp1 description:bone morphogenic protein/retinoic acid inducible neural specific 1 [Source:MGI Symbol;Acc:MGI:1928478] MNWRFVELLYFLFVWGRISVQPSRQEPAGTDQHVSKEFDWLISDRGPFHHSRSYLSFVER HRQGFTTRYKIYREFARWKVRNTAIERRDLVRHPVPLMPEFQRSIRLLGRRPTTQQFIDT IIKKYGTHLLISATLGGEEALTMYMDKSRLDRKSGNATQSVEALHQLASSYFVDRDGTMR RLHEIQISTGAIKVTETRTGPLGCNSYDNLDSVSSVLLQSTESKLHLQGLQIIFPQYLQE KFVQSALSYIMCNGEGEYVCQNSQCRCQCAEEFPQCNCPITDIQIMEFTLANMAKAWTEA YKDLENSDEFKSFMKRLPSNHFLTIGSIHQHWGNDWDLQSRYKLLQSATEAQRQKIQRTA RKLFGLSVRCRHNPNHQLPRERTIQQWLARVQSLLYCNENGFWGTFLESQRSCVCHGSTT LCQRPIPCIIGGNNSCAMCSLANISLCGSCNKGYKLYRGRCEPQNVDSERSEQFISFETD LDFQDLELKYLLQKMDSRLYVHTTFISNEIRLDTFFDPRWRKRMSLTLKSNKNRMDFIHM VIGMSMRICQMRNSSLDPMFFVYVNPFSGSHSEGWNMPFGEFGYPRWEKIRLQNSQCYNW TLLLGNRWKTFFETVHIYLRSRTRLPTLRNETGQGPVDLSDPSKRQFYIKISDVQVFGYS LRFNADLLRSAVQQVNQSYTQGGQFYSSSSVMLLMLDIRDRINRLAPPVAPGKPQLDLFS CMLKHRLKLTNSEIIRVNHALDLYNTEILKQSDQMTAKLC >ENSMUSP00000051485.6 pep:known chromosome:GRCm38:7:141874813:141902415:-1 gene:ENSMUSG00000025139.13 transcript:ENSMUST00000055819.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tollip description:toll interacting protein [Source:MGI Symbol;Acc:MGI:1891808] MATTVSTQRGPVYIGELPQDFLRITPTQQQQQIQLDAQAAQQLQYGGTVGTVGRLSITVV QAKLAKNYGMTRMDPYCRLRLGYAVYETPTAHNGAKNPRWNKVIQCTVPPGVDSFYLEIF DERAFSMDDRIAWTHITIPESLKQGQVEDEWYSLSGRQGDDKEGMINLVMSYTSLPAAMM MPPQPVVLMPTVYQQGVGYVPIADLCCAIYGNTTLHPRSI >ENSMUSP00000001950.5 pep:known chromosome:GRCm38:7:141881583:141902487:-1 gene:ENSMUSG00000025139.13 transcript:ENSMUST00000001950.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tollip description:toll interacting protein [Source:MGI Symbol;Acc:MGI:1891808] MATTVSTQRGPVYIGELPQDFLRITPTQQQQQIQLDAQAAQQLQYGGTVGTVGRLSITVV QAKLAKNYGMTRMDPYCRLRLGYAVYETPTAHNGAKNPRWNKVIQCTVPPGVDSFYLEIF DERAFSMDDRIAWTHITIPESLKQGQVEDEWYSLSGRQGDDKEGMINLVMSYTSLPAAMM MPPQPVVLMPTVYQQGVGYVPIAGMPAVCSPGMVPMAMPPPAVAPQPRCNEEDLKAIQDM FPNMDREVIRSVLEAQRGNKDAAINSLLQMGEES >ENSMUSP00000118336.1 pep:known chromosome:GRCm38:7:141884273:141918507:-1 gene:ENSMUSG00000025139.13 transcript:ENSMUST00000151890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tollip description:toll interacting protein [Source:MGI Symbol;Acc:MGI:1891808] MTRMDPYCRLRLGYAVYETPTAHNGAKNPRWNKVIQCTVPPGVDSFYLEIFDERAFSMDD RIAWTHITIPESLKQGQVEDEWYSLSGRQGDDKEGMINLVMSYTSLPAAMMMPPQPVVLM PTVYQQGVGYVPIAGMPAVCSPGMVPMAMPPPAVAPQPRCNEEDLKAIQDMFPNMDREVI RSVLEAQRGNKDAAINSLLQMGEES >ENSMUSP00000117938.1 pep:known chromosome:GRCm38:7:141891993:141902423:-1 gene:ENSMUSG00000025139.13 transcript:ENSMUST00000130439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tollip description:toll interacting protein [Source:MGI Symbol;Acc:MGI:1891808] MSALDSEVYIGELPQDFLRITPTQQQQQIQLDAQAAQQLQYGGTVGTVGRLSITVVQAKL AKNYGMTRMDPYCRLRLGYAVYETPTAHNGAKNPRWNKVIQCTVPPGVDSFYLEI >ENSMUSP00000140067.1 pep:known chromosome:GRCm38:Y:70441882:70468158:1 gene:ENSMUSG00000094616.7 transcript:ENSMUST00000186890.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20888 description:predicted gene, 20888 [Source:MGI Symbol;Acc:MGI:5434244] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKCSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKY >ENSMUSP00000137536.1 pep:known chromosome:GRCm38:Y:70443305:70467966:1 gene:ENSMUSG00000094616.7 transcript:ENSMUST00000178934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20888 description:predicted gene, 20888 [Source:MGI Symbol;Acc:MGI:5434244] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKCSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKY >ENSMUSP00000140475.1 pep:known chromosome:GRCm38:1:34849976:34873956:1 gene:ENSMUSG00000026123.11 transcript:ENSMUST00000156687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb2 description:pleckstrin homology domain containing, family B (evectins) member 2 [Source:MGI Symbol;Acc:MGI:2385825] MAFVKSGWLLRQSTILKRWKKNWFDLWSDGHLIYYDDQTRQSIEDKVHMPVDCINIRTGH ECRDIQPPDGKPRDCLLQIVCRDGKTISLCAESTDDCLAWKFTLQDSRTNTAYVGSAILS EETAVAASPPPYAAYATPTPEVYGYGPYSGAYPAGTQVVYAANGQAYAVPYQYPYAGNAR WLPLPVSAYSGARRRRPPFQLEVK >ENSMUSP00000027297.4 pep:known chromosome:GRCm38:1:34849992:34879580:1 gene:ENSMUSG00000026123.11 transcript:ENSMUST00000027297.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb2 description:pleckstrin homology domain containing, family B (evectins) member 2 [Source:MGI Symbol;Acc:MGI:2385825] MAFVKSGWLLRQSTILKRWKKNWFDLWSDGHLIYYDDQTRQSIEDKVHMPVDCINIRTGH ECRDIQPPDGKPRDCLLQIVCRDGKTISLCAESTDDCLAWKFTLQDSRTNTAYVGSAILS EETAVAASPPPYAAYATPTPEVYGYGPYSGAYPAGTQVVYAANGQAYAVPYQYPYAGVYG QQPANQVIIRERYRDNDSDLALGMLAGAATGMALGSLFWVF >ENSMUSP00000116777.1 pep:known chromosome:GRCm38:1:34851837:34863475:1 gene:ENSMUSG00000026123.11 transcript:ENSMUST00000152654.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb2 description:pleckstrin homology domain containing, family B (evectins) member 2 [Source:MGI Symbol;Acc:MGI:2385825] MAFVKSGWLLRQSTILKRWKKNWFDLWSDGHLIYYDDQTRQSIEDKVHMPVDCINIRTGH ECRDI >ENSMUSP00000037665.7 pep:known chromosome:GRCm38:5:145854426:145879964:-1 gene:ENSMUSG00000056035.8 transcript:ENSMUST00000035918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp3a11 description:cytochrome P450, family 3, subfamily a, polypeptide 11 [Source:MGI Symbol;Acc:MGI:88609] MDLVSALSLETWVLLAISLVLLYRYGTRKHELFKKQGIPGPKPLPFLGTVLNYYKGLWKF DMECYKKYGKTWGLFDGQTPLLAVTDPETIKNVLVKECFSVFTNRRDFGPVGIMSKAISI SKDDEWKRYRALLSPTFTSGKLKEMFPVIEQYGDILVKYLRQKAKKGKPVTMKDVLGAYS MDVITSTSFGVNVDSLNNPEDPFVEKAKKLLRFDFFDPLLFSVVLFPFLTPVYEMLNICM FPKDSIEFFKKFVDRMKESRLDSKQKHRVDFLQLMMNSHNNSKDKVSHKALSDMEITAQS IIFIFAGYETTSSTLSFTLHSLATHPDIQKKLQDEIDEALPNKAPPTYDTVMEMEYLDMV LNETLRLYPIANRLERVCKKDVELNGVYIPKGSTVMIPSYALHHDPQHWSEPEEFQPERF SKENKGSIDPYVYLPFGNGPRNCLGMRFALMNMKLALTKIMQNFSFQPCKETQIPLKLSR QGLLQPEKPIVLKVVPRDAVITGA >ENSMUSP00000108923.2 pep:known chromosome:GRCm38:19:8160165:8218899:-1 gene:ENSMUSG00000075044.11 transcript:ENSMUST00000113298.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a29 description:solute carrier family 22. member 29 [Source:MGI Symbol;Acc:MGI:3605624] MSFQELLNQVGSLGRFQILQIVFLFLLNAIVVPHIGMENFTAAIPNHRCWVPILDNDTAS DNGSRILSQDDLLRISIPLDSNLRLDKCRRFAQPQWHLLHLNGTFSNVSEPDTEPCVDGW VYDRSNFLSTIVTEWDLVCESQALNSVTKFSFMIGLFIGGIICGHLSDRFGRKFILTCAL LQFAITETCVAFAPSFFIYCSLRFLAGMSVEPISVNSHLLMLEWTSPKFLAMMAVLSSCA PNIGFMILAGLAFLFRIWHHLQLTMSAPIFFFLILTRWLSESARWLILINKPQKGLKELR KVAHMNGMKNSGDLTMEIVRTSMKAELEAAKTKPSLRDLFHTSILRKRICVLSFIRLFFT VSIIGLAVHLQHLSSNIILLQFLISALAILVSVIGPFVLNHIGRRITYLVLMSLRGIFIM IAVFVPQEMQTLRIIMATLAGGISSLCVGVSHLHTNELLPTTLRATALGVIGFFGNSGLF LSPLFMLVATYYANLPWIFYGGFSIFNAFTVFLLPETKNQPLPDSTHDVGNDWKESRKGK REDPIIKVTRL >ENSMUSP00000117625.1 pep:known chromosome:GRCm38:19:8160304:8218900:-1 gene:ENSMUSG00000075044.11 transcript:ENSMUST00000140910.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a29 description:solute carrier family 22. member 29 [Source:MGI Symbol;Acc:MGI:3605624] MSFQELLNQVGSLGRFQILQIVFLFLLNAIVVPHIGMENFTAAIPNHRCWVPILDNDTAS DNGSRILSQDDLLRISIPLDSNLRLDKCRRFAQPQWHLLHLNGTFSNVSEPDTEPCVDGW VYDRSNFLSTIVTEWDLVCESQALNSVTKFSFMIGLFIGGIICGHLSDRFGRKFILTCAL LQFAITETCVAFAPSFFIYCSLRFLAGMSVEPISVNSHLLMLEWTSPKFLAMMAVLSSCA PNIGFMILAGLAFLFRIWHHLQLTMSAPIFFFLILTRWLSESARWLILINKPQKGLKELR KVAHMNGMKNSGDLTMEIVRTSMKAELEAAKTKPSLRDLFHTSILRKRICVLSFIRLFFT VSIIGLAVHLQHLSSNIILLQFLISALAILVSVIGPFVLNHIGRRITYLVLMSLRGIFIM IAVFVPQEMQTLRIIMATLAGGISSLCVGVSHLHTNELLPTTLSDILCQLALDLLWRLLH LQCVYCVSPS >ENSMUSP00000122262.1 pep:known chromosome:GRCm38:19:8160474:8218839:-1 gene:ENSMUSG00000075044.11 transcript:ENSMUST00000149182.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a29 description:solute carrier family 22. member 29 [Source:MGI Symbol;Acc:MGI:3605624] MENFTAAIPNHRCWVPILDNDTASDNGSRILSQDDLLRISIPLDSNLRLDKCRRFAQPQW HLLHLNGTFSNVSEPDTEPCVDGWVYDRSNFLSTIVTEWDLVCESQALNSVTKFSFMIGL FIGGIICGHLSDRFGRKFILTCALLQFAITETCVAFAPSFFIYCSLRFLAGMSVEPISVN SHLLMLEWTSPKFLAMMAVLSSCAPNIGFMILAGLAFLFRIWHHLQLTMSAPIFFFLILT RWLSESARWLILINKPQKGLKELRKVAHMNGMKNSGDLTMEIVRTSMKAELEAAKTKPSL RDLFHTSILRKRICVLSFIRLFFTVSIIGLAVHLQHLSSNIILLQFLISALAILVSVIGP FVLNHIGRRITYLVLMSLRGIFIMIAVFVPQEMQTLRIIMATLAGGISSLCVGVSHLHTN ELLPTTLSDILCQLALDLLWRLLHLQCVYCVSPS >ENSMUSP00000125517.1 pep:known chromosome:GRCm38:16:44139830:44162474:1 gene:ENSMUSG00000022698.17 transcript:ENSMUST00000159514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa50 description:N(alpha)-acetyltransferase 50, NatE catalytic subunit [Source:MGI Symbol;Acc:MGI:1919367] MPGTVGHEHSRIELGDVTPHNIKQLKRLNQVIFPVSYNDKFYKDVLEVGELAKLAYFNDI AVGAVCCRVDHSQNQKRLYIMTLGCLAPYRRLGIGTKMLNHVLNICEKDGTFDNIYLHVQ ISNESAIDFYRKFGFEIIETKKNYYKRIEPADAHVLQKNLKVPSGQNAETQKTDN >ENSMUSP00000124291.1 pep:known chromosome:GRCm38:16:44139853:44163366:1 gene:ENSMUSG00000022698.17 transcript:ENSMUST00000161326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa50 description:N(alpha)-acetyltransferase 50, NatE catalytic subunit [Source:MGI Symbol;Acc:MGI:1919367] MKGSRIELGDVTPHNIKQLKRLNQVIFPVSYNDKFYKDVLEVGELAKLAYFNDIAVGAVC CRVDHSQNQKRLYIMTLGCLAPYRRLGIGTKMLNHVLNICEKDGTFDNIYLHVQISNESA IDFYRKFGFEIIETKKNYYKRIEPADAHVLQKNLKVPSGQNAETQKTDN >ENSMUSP00000070140.8 pep:known chromosome:GRCm38:16:44139886:44162472:1 gene:ENSMUSG00000022698.17 transcript:ENSMUST00000063520.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa50 description:N(alpha)-acetyltransferase 50, NatE catalytic subunit [Source:MGI Symbol;Acc:MGI:1919367] MKGRIELGDVTPHNIKQLKRLNQVIFPVSYNDKFYKDVLEVGELAKLAYFNDIAVGAVCC RVDHSQNQKRLYIMTLGCLAPYRRLGIGTKMLNHVLNICEKDGTFDNIYLHVQISNESAI DFYRKFGFEIIETKKNYYKRIEPADAHVLQKNLKVPSGQNAETQKTDN >ENSMUSP00000067361.7 pep:known chromosome:GRCm38:16:44139892:44161174:1 gene:ENSMUSG00000022698.17 transcript:ENSMUST00000063542.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa50 description:N(alpha)-acetyltransferase 50, NatE catalytic subunit [Source:MGI Symbol;Acc:MGI:1919367] MKGSRIELGDVTPHNIKQLKRLNQVIFPVSYNDKFYKDVLEVGELAKLGTKMLNHVLNIC EKDGTFDNIYLHVQISNESAIDFYRKFGFEIIETKKNYYKRIEPADAHVLQKNLKVPSGQ NAETQKTDN >ENSMUSP00000030165.4 pep:known chromosome:GRCm38:4:43002343:43010355:-1 gene:ENSMUSG00000028453.10 transcript:ENSMUST00000030165.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancg description:Fanconi anemia, complementation group G [Source:MGI Symbol;Acc:MGI:1926471] MSSQVIPALPKTFSSSLDLWREKNDQLVRQAKQLTRDSRPSLRRQQSAQDTLEGLRELLL TLQGLPAAVPALPLELTVLCNCIILRASLVQAFTEDLTQDLQRGLERVLEAQHHLEPKSQ QGLKELWHSVLSASSLPPELLPALHCLASLQAVFWMSTDHLEDLTLLLQTLNGSQTQSSE DLLLLLKSWSPPAEESPAPLILQDAESLRDVLLTAFACRQGFQELITGSLPHAQSNLHEA ASGLCPPSVLVQVYTALGACLRKMGNPQRALLYLTEALKVGTTCALPLLEASRVYRQLGD RAAELESLELLVEALSATHSSETFKSLIEVELLLPQPDPASPLHCGTQSQAKHLLASRCL QTGRAEDAAEHYLDLLAMLLGGSETRFSPPTSSLGPCIPELCLEAAAALIQAGRALDALT VCEELLNRTSSLLPKMSSLWENARKRAKELPCCPVWVSATHLLQGQAWSQLKAQKEALSE FSQCLELLFRTLPEDKEQGSDCEQKCRSDVALKQLRVAALISRGLEWVASGQDTKALSDF LLSVQICPGNRDGSFYLLQTLKRLDRKNEASAFWREAHSQLPLEDAAGSLPLYLETCLSW IHPPNREAFLEEFGTSVLESCVL >ENSMUSP00000121582.1 pep:known chromosome:GRCm38:16:18300825:18324450:-1 gene:ENSMUSG00000013539.13 transcript:ENSMUST00000128580.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tango2 description:transport and golgi organization 2 [Source:MGI Symbol;Acc:MGI:101825] MCIIFFKFDPRPVSKNAYRLILAANRDEFYNRPSKLADFWGNNSEILSGLDMEEGKAGGT WLGISTRGKLGALTNYLQPRQEPDARGRGELVSHFLTSDMDSLSYLKKVSTEGHLYNGFN IIAADLSTSKGDVVCYYGNRGEPEPIVLTPG >ENSMUSP00000111291.2 pep:known chromosome:GRCm38:16:18300827:18343927:-1 gene:ENSMUSG00000013539.13 transcript:ENSMUST00000115628.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tango2 description:transport and golgi organization 2 [Source:MGI Symbol;Acc:MGI:101825] MCIIFFKFDPRPVSKNAYRLILAANRDEFYNRPSKLADFWGNNSEILSGLDMEEGKAGGT WLGISTRGKLGALTNYLQPRQEPDARGRGELVSHFLTSDMDSLSYLKKVSTEGHLYNGFN IIAADLSTSKGDVVCYYGNRGEPEPIVLTPGTYGLSNALLETPWKKLCFGKQLFMEAVEQ SEALPKDVLVTQLLDVLNNEEAQLPDPAIEDQGQEYVQPILNKYAAVCVRCATYGTRTNT IILVDANGHVTFTERSMLDKDTSRWETNTYEFTLQS >ENSMUSP00000118806.1 pep:known chromosome:GRCm38:16:18310888:18348103:-1 gene:ENSMUSG00000013539.13 transcript:ENSMUST00000125287.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tango2 description:transport and golgi organization 2 [Source:MGI Symbol;Acc:MGI:101825] MLWLQQLQEARSCPLPAIFRGVLGIVGPELELAGQAVTPGFQQRCLHLHPKFPDLVTV >ENSMUSP00000121300.1 pep:known chromosome:GRCm38:16:18310896:18343902:-1 gene:ENSMUSG00000013539.13 transcript:ENSMUST00000130752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tango2 description:transport and golgi organization 2 [Source:MGI Symbol;Acc:MGI:101825] MCIIFFKFDPRPVSKNAYRLILAANRDEFYNRPSKLADFWGNNSEILSGLDMEEGKAGGT WLGISTRGKLGALTNYLQPRQEPDARGRGELVSHFLTSDMDSLSYLKKVSTEGHLYNG >ENSMUSP00000026987.5 pep:known chromosome:GRCm38:13:54584185:54590090:-1 gene:ENSMUSG00000025869.11 transcript:ENSMUST00000026987.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop16 description:NOP16 nucleolar protein [Source:MGI Symbol;Acc:MGI:107862] MPKAKGKTRRQKFGYNVNRKRLNRNARRKAAPRIECSHIRHAWDHTKSVRQNLAEMGLAM DPNKAVPLRKKKVKAMEVDTEERPRDLVRKPYVVNDLEAEASLPEKKGNTLSRDLIDYVR YMVENHGEDYKAMARDEKNYYQDTPKQIRNKINVYKRFYPTEWQAFIDSLQSKKMEVD >ENSMUSP00000122180.1 pep:known chromosome:GRCm38:13:54584536:54590058:-1 gene:ENSMUSG00000025869.11 transcript:ENSMUST00000148222.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop16 description:NOP16 nucleolar protein [Source:MGI Symbol;Acc:MGI:107862] MPKAKGKTRRQKFGYNVNRKRLNRNARRKAAPRIECSHIRHAWDHTKSVRQNLAEMGLAM DPNKAVPLRKKKVKAMEVDTEERPRDLVRKPYVVNDLEAEASLPEKKGNTLSRDLIDYVR YMVENHGEDYKAMARDEKNYYQDTPKQIRNKINVYKRFYPTEWQAFIDSLQTKLWSCPRK S >ENSMUSP00000114954.1 pep:known chromosome:GRCm38:13:54585239:54589976:-1 gene:ENSMUSG00000025869.11 transcript:ENSMUST00000149462.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nop16 description:NOP16 nucleolar protein [Source:MGI Symbol;Acc:MGI:107862] MPKAKGKTRRQKFGYNVNRKRLNRNARRKAAPRIEW >ENSMUSP00000116249.2 pep:known chromosome:GRCm38:9:103424920:103480420:-1 gene:ENSMUSG00000032556.10 transcript:ENSMUST00000124310.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bfsp2 description:beaded filament structural protein 2, phakinin [Source:MGI Symbol;Acc:MGI:1333828] MSKRRVAADLPSGTNSSMPVQRHRVSSLRGTHSPSSLDSPPASRTSAVGSLVRAPGVYVG VAPSGGIGGLGARVTRRALGISSVFLQGLRSSGLANVPAPGPERDHTTVEDLGGCLVEYM TKVHALEQVSQELETQLRAHLESKAKSSGGWDALRASWASSYQQVGEAVLENARLLLQME TIQAGADDFKERYENEQPFRKAAEEEVSSLYKVIDEANLTKTDLEHQIESLKEELGFLSR SYEEDVKVLYKQLAGSELEQADVPMGTGLDDVLETIRVQWERDVEKNRAEAGALLQAKQQ TEVVHVSQTQEEKLAAALSVELHDTSRQVQSLQAETESLRALKRGLENSLHDAQHWHDME LQNLGAVVGRLEAELAEIRSETEQQQQERAHLLACKSQLQKDVASYHALLDREENN >ENSMUSP00000029995.5 pep:known chromosome:GRCm38:4:52596274:52612430:1 gene:ENSMUSG00000028314.6 transcript:ENSMUST00000029995.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Toporsl description:topoisomerase I binding, arginine/serine-rich like [Source:MGI Symbol;Acc:MGI:1915524] MAIELSSKCECPSCAEGSPSESDWNYSSGKRGDGASYSRLTKKSTISPIMQRFLSQCCSN ELGSTNKEDFTFLASEEESSVDFSQTQNLSQTSKKTRSLRELTIQELLSKFGDNERLPPH SVSLSHFRDHVVVKFRRALYYSGIWVKYVQGSGLKRFFSAHYFKRNPSSLHRLIPWLKRE LTAICGDYGYTVKNILTAILHHMTKFNLNSEAFTHLLEPYLFQYTQHFLHEFISFVDSSY NMETYDRNAIYQCPVSKSSKKKSTVSAPAFSFPQELSFMKSQRGIKQSKKTWNKTGQSSD SGLKQFPKGHSSKNPQISTTHQKPANKRHVWTKDEWGSDDFRGVVCTTNSLNWAYLRARR LDKEYYRNDNREKKSESTKLPPGHVQDLKSHETSPNIFRALVDSNQAPPKKYNIRETNVL DPGQQVHYQKKETERKKLEESSLKALQRLPKERILIKSKSRESNHSCLCVSENSTSPTRN DKMLTSISKKMVRCSQSSQCVEVGSHHSRRTQRQYSPRTPRSKSWCVRIRKQSMSRGQSN LSLRESHRSKHCALSKGSAHGCESTYRVASLSPVHHDQVCLTAGKNCKCASMGEGESQTG GHCDSLSCLKTEKCHSPSKLGMKGKHLVGRVTRIRTHRRKKPKYQCVGTQTTAEFRDDLG DLEDKSQKGFLNSHPECVTSCRR >ENSMUSP00000103298.1 pep:known chromosome:GRCm38:4:52607206:52612427:1 gene:ENSMUSG00000028314.6 transcript:ENSMUST00000107671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Toporsl description:topoisomerase I binding, arginine/serine-rich like [Source:MGI Symbol;Acc:MGI:1915524] MAIELSSKCECPSCAEGSPSESDWNYSSGKRGDGASYSRLTKKSTISPIMQRFLSQCCSN ELGSTNKEDFTFLASEEESSVDFSQTQNLSQTSKKTRSLRELTIQELLSKFGDNERLPPH SVSLSHFRDHVVVKFRRALYYSGIWVKYVQGSGLKRFFSAHYFKRNPSSLHRLIPWLKRE LTAICGDYGYTVKNILTAILHHMTKFNLNSEAFTHLLEPYLFQYTQHFLHEFISFVDSSY NMETYDRNAIYQCPVSKSSKKKSTVSAPAFSFPQELSFMKSQRGIKQSKKTWNKTGQSSD SGLKQFPKGHSSKNPQISTTHQKPANKRHVWTKDEWGSDDFRGVVCTTNSLNWAYLRARR LDKEYYRNDNREKKSESTKLPPGHVQDLKSHETSPNIFRALVDSNQAPPKKYNIRETNVL DPGQQVHYQKKETERKKLEESSLKALQRLPKERILIKSKSRESNHSCLCVSENSTSPTRN DKMLTSISKKMVRCSQSSQCVEVGSHHSRRTQRQYSPRTPRSKSWCVRIRKQSMSRGQSN LSLRESHRSKHCALSKGSAHGCESTYRVASLSPVHHDQVCLTAGKNCKCASMGEGESQTG GHCDSLSCLKTEKCHSPSKLGMKGKHLVGRVTRIRTHRRKKPKYQCVGTQTTAEFRDDLG DLEDKSQKGFLNSHPECVTSCRR >ENSMUSP00000024956.8 pep:known chromosome:GRCm38:17:86963082:87000069:1 gene:ENSMUSG00000024143.14 transcript:ENSMUST00000024956.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoq description:ras homolog gene family, member Q [Source:MGI Symbol;Acc:MGI:1931553] MAHGPGALMLKCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAVSVTVGGKQYLLG LYDTAGQEDYDRLRPLSYPMTDVFLICFSVVNPASFQNVKEEWVPELKEYAPNVPFLLIG TQIDLRDDPKTLARLNDMKEKPVCVEQGQKLAKEIGACCYVECSALTQKGLKTVFDEAII AILTPKKHTVKKRIGSRCINCCLIT >ENSMUSP00000116780.1 pep:known chromosome:GRCm38:17:86963292:86995098:1 gene:ENSMUSG00000024143.14 transcript:ENSMUST00000139344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoq description:ras homolog gene family, member Q [Source:MGI Symbol;Acc:MGI:1931553] MAHGPGALMLKCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAVSVTVGGKQYLLG LYDTAGQEDYDRLRPLSYPMTDVFLICFSVVNPASFQNVKEEWVPELKEYAPNVPFLLIG TQIDLRDDPKTLARLNDMKEKPVCVEQGQKLAK >ENSMUSP00000127889.1 pep:known chromosome:GRCm38:10:88730858:88735911:1 gene:ENSMUSG00000060904.14 transcript:ENSMUST00000170137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl1 description:ADP-ribosylation factor-like 1 [Source:MGI Symbol;Acc:MGI:99436] MGGFFSSIFSSLFGTREMRILILGLDGAGKTTILYRLQVGEVVTTIPTIGFN >ENSMUSP00000111942.2 pep:known chromosome:GRCm38:10:88731068:88744094:1 gene:ENSMUSG00000060904.14 transcript:ENSMUST00000116234.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl1 description:ADP-ribosylation factor-like 1 [Source:MGI Symbol;Acc:MGI:99436] MGGFFSSIFSSLFGTREMRILILGLDGAGKTTILYRLQVGEVVTTIPTIGFNVETVTYKN LKFQVWDLGGQTSIRPYWRCYYSNTDAVIYVVDSCDRDRIGISKSELVAMLEEEELRKAI LVVFANKQDMEQAMTPSEMANALGLPALKDRKWQIFKTSATKGTGLDEAMEWLVETLKSR Q >ENSMUSP00000079246.6 pep:known chromosome:GRCm38:10:88731878:88742035:1 gene:ENSMUSG00000060904.14 transcript:ENSMUST00000080379.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl1 description:ADP-ribosylation factor-like 1 [Source:MGI Symbol;Acc:MGI:99436] MRILILGLDGAGKTTILYRLQVGEVVTTIPTIGFNVETVTYKNLKFQVWDLGGQTSIRPY WRCYYSNTDAVIYVVDSCDRDRIGISKSELVAMLEEEELRKAILVVFANKQDMEQAMTPS EMANALGLPALKDRKWQI >ENSMUSP00000030074.7 pep:known chromosome:GRCm38:4:59189257:59222833:1 gene:ENSMUSG00000028381.8 transcript:ENSMUST00000030074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugcg description:UDP-glucose ceramide glucosyltransferase [Source:MGI Symbol;Acc:MGI:1332243] MALLDLAQEGMALFGFVLFVVLWLMHFMSIIYTRLHLNKKATDKQPYSKLPGVSLLKPLK GVDPNLINNLETFFELDYPKYEVLLCVQDHDDPAIDVCKKLLGKYPNVDARLFIGGKKVG INPKINNLMPAYEVAKYDLIWICDSGIRVIPDTLTDMVNQMTEKVGLVHGLPYVADRQGF AATLEQVYFGTSHPRSYISANVTGFKCVTGMSCLMRKDVLDQAGGLIAFAQYIAEDYFMA KAIADRGWRFSMSTQVAMQNSGSYSISQFQSRMIRWTKLRINMLPATIICEPISECFVAS LIIGWAAHHVFRWDIMVFFMCHCLAWFIFDYIQLRGVQGGTLCFSKLDYAVAWFIRESMT IYIFLSALWDPTISWRTGRYRLRCGGTAEEILDV >ENSMUSP00000022820.5 pep:known chromosome:GRCm38:14:55222007:55241435:1 gene:ENSMUSG00000022209.12 transcript:ENSMUST00000022820.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs2 description:dehydrogenase/reductase member 2 [Source:MGI Symbol;Acc:MGI:1918662] MAAIFRPIPWAFRGSLCLPLSARRFSKTADENRSLAGKVAVITGSTRGIGFAIARRLAQD GAHVVISSRKQENVDEAVTILKEEGLSVTGTMCHVGKAEDRQHLVTTALKHSGGIDFLVC VAGVNPLVGSTLGASEQIWDKILDVNVKSPALLLSKVLPYMENRRGGSVVLVSSGVAYVP VPKLGVYNTSKTALLGLCKSLAVELAPKGIRVNCLVPGIIKTDFSLREKTMPNMLPDMNK IFGVKRLGEPEECAGLVSFLCSSDASYITGENIMVAGFSSKL >ENSMUSP00000129115.1 pep:known chromosome:GRCm38:14:55234654:55241248:1 gene:ENSMUSG00000022209.12 transcript:ENSMUST00000165432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs2 description:dehydrogenase/reductase member 2 [Source:MGI Symbol;Acc:MGI:1918662] MAAIFRPIPWAFRGSLCLPLSARRFSKTADENRSLAGKVAVITGSTRGIGFAIARRLAQD GAHVVISSRKQENVDEAVTILKEEGLSVTGTMCHVGKAEDRQHLVTTALKHSGGIDFLVC VAGVNPLVGSTLGASEQIWDKILDVNVKSPALLLSKVLPYMENRRGGSVVLVSSGVAYVP VPKLGVYNTSKTALLGLCKSLAVELAPKGIRVNCLVPGIIKTDFSLREKTMPNMLPDMNK IFGVKRLGEPEECAGLVSFLCSSDASYITGENIMVAGFSSKL >ENSMUSP00000021773.5 pep:known chromosome:GRCm38:13:24943152:24990753:1 gene:ENSMUSG00000021340.12 transcript:ENSMUST00000021773.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpld1 description:glycosylphosphatidylinositol specific phospholipase D1 [Source:MGI Symbol;Acc:MGI:106604] MSAGRLWSSLLLLLPLFCSKSSSCGLSTHVEIGHRALEFLRLQDGRINYKELILEHQDAY QAGTVFPDAFYPSICKRGKYHDVSERTHWTPFLNASIHYIRENYPLPWEKDTEKLVAFLF GITSHMVADVSWHSLGIEQGFLRTMGAIDFYNSYSDAHSAGDFGGDVLSQFEFNFNYLSR RWYVPVRDLLRIYDNLYGRKVITKDVLVDCTYLQFLEMHGEMFAVSKLYSTYSTKSPFLV EQFQDYFLGGLDDMAFWSTNIYRLTSFMLENGTSDCNLPENPLFISCDGRNHTLSGSKVQ KNDFHRNLTMFISRDIRKNLNYTERGVFYSTGSWAPESVTFMYQTLERNLRLMLAGSSQK NLNHVSSPSASYTLSVPYARLGWVMTSADLNQDGHGDLVVGAPGYSHPGRFQIGRVYIIY GNDLGLPPIDLDLDKEAHGILEGFQPSGRFGSALAVLDFNQDGLPDLAVGAPSVGSGQLT YNGSVYVYYGSQQGRLSSSPNVTISCKDTYCNLGWTLLATDADGDGRHDLVISSPFAPGG GKQRGIVATFYSHPRRNDKELLTLEEADWKVNGEEDFSWFGYSLHGVTVANRSLLLIGSP TWKNVSRMARSSHKKNQEEKSLGKVYGYFLPNRQSTITISGDKAMGKLGTSLSSGYVRVN GTLTQVLLVGAPTHDDVSKMAFLTMTLHQGGATRMYELAPEKTQPALLSTFSGDRRFSRF GSVLHLTDLDDDGLDEIIMAAPLRITDVTSGLLGGEDGRVYIYNGMYTTLGDMTGKCKSW MTPCPEEKAQYVLTSPEASSRFGSSLVSVRSKGRNQVVVAAGRSSWGARLSGALHVYSFS SD >ENSMUSP00000120943.1 pep:known chromosome:GRCm38:13:24979379:24990753:1 gene:ENSMUSG00000021340.12 transcript:ENSMUST00000143010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpld1 description:glycosylphosphatidylinositol specific phospholipase D1 [Source:MGI Symbol;Acc:MGI:106604] PSGRFGSALAVLDFNQDGLPDLAVGAPSVGSGQLTYNGSVYVYYGSQQGRLSSSPNVTIS CKDTYCNLGWTLLATDADGDGRHDLVISSPFAPGGGKQRGIVATFYSLLPRKGVVSS >ENSMUSP00000024957.6 pep:known chromosome:GRCm38:17:86997256:87025406:-1 gene:ENSMUSG00000024145.6 transcript:ENSMUST00000024957.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigf description:phosphatidylinositol glycan anchor biosynthesis, class F [Source:MGI Symbol;Acc:MGI:99462] MKDTDIKRLLYTNLLCVFSIFLSIFIPSFFVDNFSVLEAHLTWLCICSASVTTVNLLSYL VVKPNVSSKRSSLSHKVTRALKCCVCFLMSCFLLHIIFVLYGAPLIELVLETFLFAVVLS TFTTVPCLCLLGPNLKAWLRVFSRNGVTSIWENSLQITTISSFTGAWLGAFPIPLDWERP WQVWPISCTLGATFGYVAGLVISPLWIYWNRKQLTYKNN >ENSMUSP00000096263.2 pep:known chromosome:GRCm38:7:25461707:25477603:-1 gene:ENSMUSG00000074272.10 transcript:ENSMUST00000098666.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam1 description:carcinoembryonic antigen-related cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1347245] MELASAHLHKGQVPWGGLLLTASLLASWSPATTAEVTIEAVPPQVAEDNNVLLLVHNLPL ALGAFAWYKGNTTAIDKEIARFVPNSNMNFTGQAYSGREIIYSNGSLLFQMITMKDMGVY TLDMTDENYRRTQATVRFHVHPILLKPNITSNNSNPVEGDDSVSLTCDSYTDPDNINYLW SRNGESLSEGDRLKLSEGNRTLTLLNVTRNDTGPYVCETRNPVSVNRSDPFSLNIIYGPD TPIISPSDIYLHPGSNLNLSCHAASNPPAQYFWLINEKPHASSQELFIPNITTNNSGTYT CFVNNSVTGLSRTTVKNITVLEPVTQPFLQVTNTTVKELDSVTLTCLSNDIGANIQWLFN SQSLQLTERMTLSQNNSILRIDPIKREDAGEYQCEISNPVSVRRSNSIKLDIIFDPTQGG LSDGAIAGIVIGVVAGVALIAGLAYFLYSRKSGGSGSF >ENSMUSP00000096266.1 pep:known chromosome:GRCm38:7:25462513:25477573:-1 gene:ENSMUSG00000074272.10 transcript:ENSMUST00000098669.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam1 description:carcinoembryonic antigen-related cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1347245] MELASAHLHKGQVPWGGLLLTASLLASWSPATTAEVTIEAVPPQVAEDNNVLLLVHNLPL ALGAFAWYKGNTTAIDKEIARFVPNSNMNFTGQAYSGREIIYSNGSLLFQMITMKDMGVY TLDMTDENYRRTQATVRFHVHPILLKPNITSNNSNPVEGDDSVSLTCDSYTDPDNINYLW SRNGESLSEGDRLKLSEGNRTLTLLNVTRNDTGPYVCETRNPVSVNRSDPFSLNIIYGPD TPIISPSDIYLHPGSNLNLSCHAASNPPAQYFWLINEKPHASSQELFIPNITTNNSGTYT CFVNNSVTGLSRTTVKNITVLEPVTQPFLQVTNTTVKELDSVTLTCLSNDIGANIQWLFN SQSLQLTERMTLSQNNSILRIDPIKREDAGEYQCEISNPVSVRRSNSIKLDIIFDPTQGG LSDGAIAGIVIGVVAGVALIAGLAYFLYSRKSGGGSDQRDLTEHKPSASNHNLAPSDNSP NKVDDVAYTVLNFNSQQPNRPTSAPSSPRATETVYSEVKKK >ENSMUSP00000096265.1 pep:known chromosome:GRCm38:7:25463329:25477534:-1 gene:ENSMUSG00000074272.10 transcript:ENSMUST00000098668.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam1 description:carcinoembryonic antigen-related cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1347245] MELASAHLHKGQVPWGGLLLTASLLASWSPATTAEVTIEAVPPQVAEDNNVLLLVHNLPL ALGAFAWYKGNTTAIDKEIARFVPNSNMNFTGQAYSGREIIYSNGSLLFQMITMKDMGVY TLDMTDENYRRTQATVRFHVHQPVTQPFLQVTNTTVKELDSVTLTCLSNDIGANIQWLFN SQSLQLTERMTLSQNNSILRIDPIKREDAGEYQCEISNPVSVRRSNSIKLDIIFDPTQGG LSDGAIAGIVIGVVAGVALIAGLAYFLYSRKSGGGSDQRDLTEHKPSASNHNLAPSDNSP NKVDDVAYTVLNFNSQQPNRPTSAPSSPRATETVYSEVKKK >ENSMUSP00000146066.1 pep:known chromosome:GRCm38:7:25463693:25477534:-1 gene:ENSMUSG00000074272.10 transcript:ENSMUST00000206687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam1 description:carcinoembryonic antigen-related cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1347245] MELASAHLHKGQVPWGGLLLTASLLASWSPATTAEVTIEAVPPQVAEDNNVLLLVHNLPL ALGAFAWYKGNTTAIDKEIARFVPNSNMNFTGQAYSGREIIYSNGSLLFQMITMKDMGVY TLDMTDENYRRTQATVRFHVHQPVTQPFLQVTNTTVKELDSVTLTCLSNDIGANIQWLFN SQSLQLTERMTLSQNNSILRIDPIKREDAGEYQCEISNPVSVRRSNSIKLDIIFDPTQGG LSDGAIAGIVIGVVAGVALIAGLAYFLYSRKSGGSGSF >ENSMUSP00000145590.1 pep:known chromosome:GRCm38:7:25463693:25477534:-1 gene:ENSMUSG00000074272.10 transcript:ENSMUST00000206676.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam1 description:carcinoembryonic antigen-related cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1347245] MELASAHLHKGQVPWGGLLLTASLLASWSPATTAEVTIEAVPPQVAEDNNVLLLVHNLPL ALGAFAWYKGNTTAIDKEIARFVPNSNMNFTGQAYSGREIIYSNGSLLFQMITMKDMGVY TLDMTDENYRRTQATVRFHVHPILLKPNITSNNSNPVEGDDSVSLTCDSYTDPDNINYLW SRNGESLSEGDRLKLSEGNRTLTLLNVTRNDTGPYVCETRNPVSVNRSDPFSLNIIYGPD TPIISPSDIYLHPGSNLNLSCHAASNPPAQYFWLINEKPHASSQELFIPNITTNNSGTYT CFVNNSVTGLSRTTVKNITVLEPVTQPFLQVTNTTVKELDSVTLTCLSNDIGANIQWLFN SQSLQLTERMTLSQNNSILRIDPIKREDAGEYQCEISNPVSVRRSNSIKLDIIWEVTSEI SQSTNPQPPTTIWLLLTTLLTRWMTSHTLS >ENSMUSP00000145570.1 pep:known chromosome:GRCm38:7:25463693:25477534:-1 gene:ENSMUSG00000074272.10 transcript:ENSMUST00000206583.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ceacam1 description:carcinoembryonic antigen-related cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1347245] MELASAHLHKGQVPWGGLLLTASLLASWSPATTAEVTIEAVPPQVAEDNNVLLLVHNLPL ALGAFAWYKGNTTAIDKEIARFVPNSNMNFTGQAYSGREIIYSNGSLLFQMITMKDMGVY TLDMTDENYRRTQATVRFHVHPILLKPNITSNNSNPVEGDDSVSLTCDSYTDPDNINYLW SRNGESLSEGDRLKLSEGNRTLTLLNVTRNDTGPYVCETRNPVSVNRSDPFSLNII >ENSMUSP00000146156.1 pep:known chromosome:GRCm38:7:25463693:25477534:-1 gene:ENSMUSG00000074272.10 transcript:ENSMUST00000205308.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam1 description:carcinoembryonic antigen-related cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1347245] MELASAHLHKGQVPWGGLLLTASLLASWSPATTAEVTIEAVPPQVAEDNNVLLLVHNLPL ALGAFAWYKGNTTAIDKEIARFVPNSNMNFTGQAYSGREIIYSNGSLLFQMITMKDMGVY TLDMTDENYRRTQATVRFHVHQPVTQPFLQVTNTTVKELDSVTLTCLSNDIGANIQWLFN SQSLQLTERMTLSQNNSILRIDPIKREDAGEYQCEISNPVSVRRSNSIKLDIIWEVTSEI SQSTNPQPPTTIWLLLTTLLTRWMTSHTLS >ENSMUSP00000145584.1 pep:known chromosome:GRCm38:7:25464460:25477573:-1 gene:ENSMUSG00000074272.10 transcript:ENSMUST00000206171.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam1 description:carcinoembryonic antigen-related cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1347245] MELASAHLHKGQVPWGGLLLTASLLASWSPATTAEVTIEAVPPQVAEDNNVLLLVHNLPL ALGAFAWYKGNTTAIDKEIARFVPNSNMNFTGQAYSGREIIYSNGSLLFQMITMKDMGVY TLDMTDENYRRTQATVRFHVHPILLKPNITSNNSNPVEGDDSVSLTCDSYTDPDNINYLW SRNGESLSEGDRLKLSEGNRTLTLLNVTRNDTGPYVCETRNPVSVNRSDPFSLNIIYGPD TPIISPSDIYLHPGSNLNLSCHAASNPPAQYFWLINEKPHASSQELFIPNITTNNSGTYT CFVNNSVTGLSRTTVKNITVLEPVTQPFLQVTNTTVKELDSVTLTCLSNDIGANIQWLFN SQSLQLTERMTLSQNNSILRIDPIKREDAGEYQCEISNPVSVRRSNSIKLDIIFDPTQGG LSDGAIAGIVIGVVAGVALIAGLAYFLYSRKSGGSGSF >ENSMUSP00000075773.3 pep:known chromosome:GRCm38:11:72435526:72438400:1 gene:ENSMUSG00000040471.17 transcript:ENSMUST00000076443.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt6 description:gamma-glutamyltransferase 6 [Source:MGI Symbol;Acc:MGI:1918772] MDATTGPVHYHKLQLWEPGVESEEEEEEEEEEIAEPLVLSLRRLQNTPRNEVGGLPGAWA RLLAGLLLLAVSSSLALRQLHSRDSPRGNLGSVAPPASRHSHRPGVYHHSAIISPAATCS QLGQELLVAGGNVVDAGVGAALCLAVVHPHATGLGATFWGLFYNSSSGNSTALTAGPTQL LAPGLGLPTGLPALHLLHAHFGRLPWPHLLTKPAMLAEKGFEVDAPLANALAIQGTKGLC PLFCHTNGTPLGLGARATNPNLAAVLRSAALASSPDLAGKALLNPLVRDLGLELPSAQPV PSLEPALQLLLPRGVLFTTPGPSAGPELVELLESTLHSRTPSSAPCPPFLQTAETPVSSA LATVDSNGSMLLLISSINSSFGSGHLSPSTGVLLSNLEASPAPSAWACPLILRDNLDDTE ADMLGMVASGISRGAKAMTCTLLNHLATPQIPQQPQHQRPTESPGICGQGALLQAVVHAE HAHVSSVPSGCCPFQGY >ENSMUSP00000104139.1 pep:known chromosome:GRCm38:11:72435534:72437781:1 gene:ENSMUSG00000040471.17 transcript:ENSMUST00000108499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt6 description:gamma-glutamyltransferase 6 [Source:MGI Symbol;Acc:MGI:1918772] MDATTGPVHYHKLQLWEPGVESEEEEEEEEEEIAEPLVLSLRRLQNTPRCHILGSLLQ >ENSMUSP00000098463.2 pep:known chromosome:GRCm38:11:72435614:72438171:1 gene:ENSMUSG00000040471.17 transcript:ENSMUST00000100903.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt6 description:gamma-glutamyltransferase 6 [Source:MGI Symbol;Acc:MGI:1918772] MDATTGPVHYHKLQLWEPGVESEEEEEEEEEEIAEPLVLSLRRLQNTPRNEVGGLPGAWA RLLAGLLLLAVSSSLALRQLHSRDSPRGNLGSVAPPASRHSHRPGVYHHSAIISPAGATF WGLFYNSSSGNSTALTAGPTQLLAPGLGLPTGLPALHLLHAHFGRLPWPHLLTKPAMLAE KGFEVDAPLANALAIQGTKGLCPLFCHTNGTPLGLGARATNPNLAAVLRSAALASSPDLA GKALLNPLVRDLGLELPSAQPVPSLEPALQLLLPRGVLFTTPGPSAGPELVELLESTLHS RTPSSAPCPPFLQTAETPVSSALATVDSNGSMLLLISSINSSFGSGHLSPSTGVLLSNLE ASPAPSAWACPLILRDNLDDTEADMLGMVASGISRGAKAMTCTLLNHLATPQIPQQPQHQ RPTESPGICGQGALLQAVVHAEHAHVSSVPSGCCPFQGY >ENSMUSP00000116006.1 pep:known chromosome:GRCm38:17:51736187:51832672:-1 gene:ENSMUSG00000023927.15 transcript:ENSMUST00000144331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb1 description:special AT-rich sequence binding protein 1 [Source:MGI Symbol;Acc:MGI:105084] MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGGKMQGVPLKHS GHLMKTNLRKGTMLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGY SHSSAAQAKGLIQVGKWNPVPLSYVTDAPDATVADMLQDVYHVVTLKIQLHSCPKLEDLP PEQWSHTTVRNALKDLLKDMNQSSLAKECPLSQSMISSIVNSTYYANVSAAKCQEFGRWY KHFKKTKDMMVEMDSLSELSQQGANHVNFGQQPVPGNTAEQPPSPAQLSHGSQPSVRTPL PNLHPGLVSTPISPQLVNQQLVMAQLLNQQYAVNRLLAQQSLNQQYLNHPPPVSRSMNKP LEQQVSTNTEVSSEIYQWVRDELKRAGISQAVFARVAFNRTQGLLSEILRKEEDPKTASQ SLLVNLRAMQNFLQLPEAERDRIYQDERERSLNAASAMGPAPLLSTPPSRPPQVKTATLA TERNGKPENNTMNINASIYDEIQQEMKRAKVSQALFAKVAATKSQGWLCELLRWKEDPSP ENRTLWENLSMIRRFLSLPQPERDAIYEQESNAVHHHGDRPPHIIHVPAEQIQQQQQQQQ QQQQQQQPPPPPPQPQPQPQAGPRLPPRQPTVASSAESDEENRQKTRPRTKISVEALGIL QSFIQDVGLYPDEEAIQTLSAQLDLPKYTIIKFFQNQRYYLKHHGKLKDNSGLEVDVAEY KDEELLKDLEESVQDKNANTLFSVKLEEELSVEGSTDVNADLKD >ENSMUSP00000120536.1 pep:known chromosome:GRCm38:17:51738894:51833290:-1 gene:ENSMUSG00000023927.15 transcript:ENSMUST00000133574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb1 description:special AT-rich sequence binding protein 1 [Source:MGI Symbol;Acc:MGI:105084] MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGGKMQGVPLKHS GHLMKTNLRKGTMLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGY SHSSAAQAKGLIQVGKWNPVPLSYVTDAPDATVADMLQDVYHVVTLKIQLHSCPKLEDLP PEQWSHTTVRNALKDLLKDMNQSSLAKECPLSQSMISSIVNSTYYANVSAAKCQEFGRWY KHFKKTKDMMVEMDSLSELSQQGANHVNFGQQPVPGNTAEQPPSPAQLSHGSQPSVRTPL PNLHPGLVSTPISPQLVNQQLVMAQLLNQQYAVNRLLAQQSLNQQYLNHPPPVSRSMNKP LEQQVSTNTEVSSEIYQWVRDELKRAGISQAVFARVAFNRTQGLLSEILRKEEDPKTASQ SLLVNLRAMQNFLQLPEAERDRIYQDERERSLNAASAMGPAPLLSTPPSRPPQVKTATLA TERNGKPENNTMNINASIYDEIQQEMKRAKVSQALFAKVAATKSQGWLCELLRWKEDPSP ENRTLWENLSMIRRFLSLPQPERDAIYEQESNAVHHHGDRPPHIIHVPAEQIQQQQQQQQ QQQQQQQPPPPPPQPQPQPQAGPRLPPRQPTVASSAESDEENRQKTRPRTKISVEALGIL QSFIQDVGLYPDEEAIQTLSAQLDLPKYTIIKFFQNQRYYLKHHGKLKDNSGLEVDVAEY KDEELLKDLEESVQDKNANTLFSVKLEEELSVEGSTDVNADLKD >ENSMUSP00000134957.1 pep:known chromosome:GRCm38:17:51739452:51810919:-1 gene:ENSMUSG00000023927.15 transcript:ENSMUST00000176669.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb1 description:special AT-rich sequence binding protein 1 [Source:MGI Symbol;Acc:MGI:105084] MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGGKMQGVPLKHS GHLMKTNLRKGTMLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGY SHSSAAQAKGLIQVGKWNPVPLSYVTDAPDATVADMLQDVYHVVTLKIQLHSCPKLEDLP PEQWSHTTVRNALKDLLKDMNQSSLAKECPLSQSMISSIVNSTYYANVSAAKCQEFGRWY KHFKKTKDMMVEMDSLSELSQQGANHVNFGQQPVPGNTAEQPPSPAQLSHGSQPSVRTPL PNLHPGLVSTPISPQLVNQQLVMAQLLNQQYAVNRLLAQQSLNQQYLNHPPPVSRSMNKP LEQQVSTNTEVSSEIYQWVRDELKRAGISQAVFARVAFNRTQGLLSEILRKEEDPKTASQ SLLVNLRAMQNFLQLPEAERDRIYQDERERSLNAASAMGPAPLLSTPPSRPPQVKTATLA TERNGKPENNTMNINASIYDEIQQEMKRAKVSQALFAKVAATKSQGWLCELLRWKEDPSP ENRTLWENLSMIRRFLSLPQPERDAIYEQESNAVHHHGDRPPHIIHVPAEQIQQQQQQQQ QQQQQQQPPPPPPQPQPQPQAGPRLPPRQPTVASSAESDEENRQKTRPRTKISVEALGIL QSFIQDVGLYPDEEAIQTLSAQLDLPKYTIIKFFQNQRYYLKHHGKLKDNSGLEVDVAEY KDEELLKDLEESVQDKNANTLFSVKLEEELSVEGSTDVNADLKD >ENSMUSP00000116020.2 pep:known chromosome:GRCm38:17:51739455:51826566:-1 gene:ENSMUSG00000023927.15 transcript:ENSMUST00000129667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb1 description:special AT-rich sequence binding protein 1 [Source:MGI Symbol;Acc:MGI:105084] MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGGKMQGVPLKHS GHLMKTNLRKGTMLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGY SHSSAAQAKGLIQVGKWNPVPLSYVTDAPDATVADMLQDVYHVVTLKIQLHSCPKLEDLP PEQWSHTTVRNALKDLLKDMNQSSLAKECPLSQSMISSIVNSTYYANVSAAKCQEFGRWY KHFKKTKDMMVEMDSLSELSQQGANHVNFGQQPVPGNTAEQPPSPAQLSHGSQPSVRTPL PNLHPGLVSTPISPQLVNQQLVMAQLLNQQYAVNRLLAQQSLNQQYLNHPPPVSRSMNKP LEQQVSTNTEVSSEIYQWVRDELKRAGISQAVFARVAFNRTQGLLSEILRKEEDPKTASQ SLLVNLRAMQNFLQLPEAERDRIYQDERERSLNAASAMGPAPLLSTPPSRPPQVKTATLA TERNGKPENNTMNINASIYDEIQQEMKRAKVSQALFAKVAATKSQGWLCELLRWKEDPSP ENRTLWENLSMIRRFLSLPQPERDAIYEQESNAVHHHGDRPPHIIHVPAEQIQQQQQQQQ QQQQQQQPPPPPPQPQPQPQAGPRLPPRQPTVASSAESDEENRQKTRPRTKISVEALGIL QSFIQDVGLYPDEEAIQTLSAQLDLPKYTIIKFFQNQRYYLKHHGKLKDNSGLEVDVAEY KDEELLKDLEESVQDKNANTLFSVKLEEELSVEGSTDVNADLKD >ENSMUSP00000119842.2 pep:known chromosome:GRCm38:17:51739471:51815004:-1 gene:ENSMUSG00000023927.15 transcript:ENSMUST00000152830.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb1 description:special AT-rich sequence binding protein 1 [Source:MGI Symbol;Acc:MGI:105084] MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGGKMQGVPLKHS GHLMKTNLRKGTMLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGY SHSSAAQAKGLIQVGKWNPVPLSYVTDAPDATVADMLQDVYHVVTLKIQLHSCPKLEDLP PEQWSHTTVRNALKDLLKDMNQSSLAKECPLSQSMISSIVNSTYYANVSAAKCQEFGRWY KHFKKTKDMMVEMDSLSELSQQGANHVNFGQQPVPGNTAEQPPSPAQLSHGSQPSVRTPL PNLHPGLVSTPISPQLVNQQLVMAQLLNQQYAVNRLLAQQSLNQQYLNHPPPVSRSMNKP LEQQVSTNTEVSSEIYQWVRDELKRAGISQAVFARVAFNRTQGLLSEILRKEEDPKTASQ SLLVNLRAMQNFLQLPEAERDRIYQDERERSLNAASAMGPAPLLSTPPSRPPQVKTATLA TERNGKPENNTMNINASIYDEIQQEMKRAKVSQALFAKVAATKSQGWLCELLRWKEDPSP ENRTLWENLSMIRRFLSLPQPERDAIYEQESNAVHHHGDRPPHIIHVPAEQIQQQQQQQQ QQQQQQQPPPPPPQPQPQPQAGPRLPPRQPTVASSAESDEENRQKTRPRTKISVEALGIL QSFIQDVGLYPDEEAIQTLSAQLDLPKYTIIKFFQNQRYYLKHHGKLKDNSGLEVDVAEY KDEELLKDLEESVQDKNANTLFSVKLEEELSVEGSTDVNADLKD >ENSMUSP00000118839.1 pep:known chromosome:GRCm38:17:51739476:51812680:-1 gene:ENSMUSG00000023927.15 transcript:ENSMUST00000140979.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb1 description:special AT-rich sequence binding protein 1 [Source:MGI Symbol;Acc:MGI:105084] MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGGKMQGVPLKHS GHLMKTNLRKGTMLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGY SHSSAAQAKGLIQVGKWNPVPLSYVTDAPDATVADMLQDVYHVVTLKIQLHSCPKLEDLP PEQWSHTTVRNALKDLLKDMNQSSLAKECPLSQSMISSIVNSTYYANVSAAKCQEFGRWY KHFKKTKDMMVEMDSLSELSQQGANHVNFGQQPVPGNTAEQPPSPAQLSHGSQPSVRTPL PNLHPGLVSTPISPQLVNQQLVMAQLLNQQYAVNRLLAQQSLNQQYLNHPPPVSRSMNKP LEQQVSTNTEVSSEIYQWVRDELKRAGISQAVFARVAFNRTQGLLSEILRKEEDPKTASQ SLLVNLRAMQNFLQLPEAERDRIYQDERERSLNAASAMGPAPLLSTPPSRPPQVKTATLA TERNGKPENNTMNINASIYDEIQQEMKRAKVSQALFAKVAATKSQGWLCELLRWKEDPSP ENRTLWENLSMIRRFLSLPQPERDAIYEQESNAVHHHGDRPPHIIHVPAEQIQSLSPSTL GKGESRGVFLPSLLTPAPWPHAAPQQQQQQQQQQQQQQPPPPPPQPQPQPQAGPRLPPRQ PTVASSAESDEENRQKTRPRTKISVEALGILQSFIQDVGLYPDEEAIQTLSAQLDLPKYT IIKFFQNQRYYLKHHGKLKDNSGLEVDVAEYKDEELLKDLEESVQDKNANTLFSVKLEEE LSVEGSTDVNADLKD >ENSMUSP00000123409.1 pep:known chromosome:GRCm38:17:51804366:51821091:-1 gene:ENSMUSG00000023927.15 transcript:ENSMUST00000129205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb1 description:special AT-rich sequence binding protein 1 [Source:MGI Symbol;Acc:MGI:105084] MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGGKMQGVPLKHS GHLMKTNLRKGTMLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGY SHSSAAQAKGLIQVGKWNPVPLSYVTDAPDATVA >ENSMUSP00000116639.1 pep:known chromosome:GRCm38:17:51805363:51832019:-1 gene:ENSMUSG00000023927.15 transcript:ENSMUST00000124222.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb1 description:special AT-rich sequence binding protein 1 [Source:MGI Symbol;Acc:MGI:105084] MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGGKMQGVPLKHS GHLMKTNLRKGTML >ENSMUSP00000121224.1 pep:known chromosome:GRCm38:17:51809189:51826588:-1 gene:ENSMUSG00000023927.15 transcript:ENSMUST00000148559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb1 description:special AT-rich sequence binding protein 1 [Source:MGI Symbol;Acc:MGI:105084] MDHLNEATQGKEHSEMSNNVS >ENSMUSP00000128841.1 pep:known chromosome:GRCm38:17:51736188:51826588:-1 gene:ENSMUSG00000023927.15 transcript:ENSMUST00000169480.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb1 description:special AT-rich sequence binding protein 1 [Source:MGI Symbol;Acc:MGI:105084] MDHLNEATQGKEHSEMSNNVSDPKGPPAKIARLEQNGSPLGRGRLGSTGGKMQGVPLKHS GHLMKTNLRKGTMLPVFCVVEHYENAIEYDCKEEHAEFVLVRKDMLFNQLIEMALLSLGY SHSSAAQAKGLIQVGKWNPVPLSYVTDAPDATVADMLQDVYHVVTLKIQLHSCPKLEDLP PEQWSHTTVRNALKDLLKDMNQSSLAKECPLSQSMISSIVNSTYYANVSAAKCQEFGRWY KHFKKTKDMMVEMDSLSELSQQGANHVNFGQQPVPGNTAEQPPSPAQLSHGSQPSVRTPL PNLHPGLVSTPISPQLVNQQLVMAQLLNQQYAVNRLLAQQSLNQQYLNHPPPVSRSMNKP LEQQVSTNTEVSSEIYQWVRDELKRAGISQAVFARVAFNRTQGLLSEILRKEEDPKTASQ SLLVNLRAMQNFLQLPEAERDRIYQDERERSLNAASAMGPAPLLSTPPSRPPQVKTATLA TERNGKPENNTMNINASIYDEIQQEMKRAKVSQALFAKVAATKSQGWLCELLRWKEDPSP ENRTLWENLSMIRRFLSLPQPERDAIYEQESNAVHHHGDRPPHIIHVPAEQIQQQQQQQQ QQQQQQQPPPPPPQPQPQPQAGPRLPPRQPTVASSAESDEENRQKTRPRTKISVEALGIL QSFIQDVGLYPDEEAIQTLSAQLDLPKYTIIKFFQNQRYYLKHHGKLKDNSGLEVDVAEY KDEELLKDLEESVQDKNANTLFSVKLEEELSVEGSTDVNADLKD >ENSMUSP00000077414.3 pep:known chromosome:GRCm38:19:13145642:13146743:-1 gene:ENSMUSG00000057503.5 transcript:ENSMUST00000078299.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1459 description:olfactory receptor 1459 [Source:MGI Symbol;Acc:MGI:3031293] MENRTEVTWFILVGLTNDSQLQLPLFITFLLIYTVTFVGNLGLILLILLDSRLHTPMYIF LSNLSLVDFCYSSTITPKVIAGILTGDKIMSYNACASQMFFFANFANVENYLLVSMAYDR YAAVCKPLHYATTMTKRVCASLVIGCYICGLLNASIYTMDALSLSFCESNVVHHFFCDVL AIMTTSCSDRHVNELILVYLASFNVFFALILILISYMFIFTNILKMHSASGYCKAISTCA SHLTAVFIFYGTIIFMYLQPSSHHSMDTDKIASVFYTMIIPMLNPLVYSLRNKDVKSAFT KIVLRSG >ENSMUSP00000077072.5 pep:known chromosome:GRCm38:3:67374097:67399998:1 gene:ENSMUSG00000048416.15 transcript:ENSMUST00000077916.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlf1 description:myeloid leukemia factor 1 [Source:MGI Symbol;Acc:MGI:1341819] MFRMLSSSFEDDPFFADSFLAHRESMRNMMRSFSEPLGRDLLSISDGRGRTHNRRERDDG EDSLTHADVNPFQTMDRMMANMRSGIQELQRNFGQLSMDPNGHSFCSSSVMTYSKVGDEP PKVFQASTQTRRAPGGVKETRKAMRDSDSGLERMAVGHHIHDRGHVIRKSKNNKTGDEEV NQEFINMNESDAHAFDDEWQNEVLKYKSIGRSGNTGMRSVGHEHPGSRELKRREKIHRNS AIESGRRSNVFVDKLNVKGSPVKITKK >ENSMUSP00000141208.1 pep:known chromosome:GRCm38:3:67374142:67395299:1 gene:ENSMUSG00000048416.15 transcript:ENSMUST00000126628.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mlf1 description:myeloid leukemia factor 1 [Source:MGI Symbol;Acc:MGI:1341819] MFRMLSSSFEDDPFFADSFLAHRESMRNMMRSFSEPLGRDLLSISDGRGRTHNRRERDDG EDSLTKTYLHL >ENSMUSP00000058596.8 pep:known chromosome:GRCm38:3:67374148:67400003:1 gene:ENSMUSG00000048416.15 transcript:ENSMUST00000061322.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlf1 description:myeloid leukemia factor 1 [Source:MGI Symbol;Acc:MGI:1341819] MFRMLSSSFEDDPFFADSFLAHRESMRNMMRSFSEPLGRDLLSISDGRGRTHNRRERDDG EDSLTATSFSLVPFGRFGGMHADVNPFQTMDRMMANMRSGIQELQRNFGQLSMDPNGHSF CSSSVMTYSKVGDEPPKVFQASTQTRRAPGGVKETRKAMRDSDSGLERMAVGHHIHDRGH VIRKSKNNKTGDEEVNQEFINMNESDAHAFDDEWQNEVLKYKSIGRSGNTGMRSVGHEHP GSRELKRREKIHRNSAIESGRRSNVFVDKLNVKGSPVKITKK >ENSMUSP00000028392.7 pep:known chromosome:GRCm38:2:80315466:80354043:1 gene:ENSMUSG00000027006.13 transcript:ENSMUST00000028392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc10 description:DnaJ heat shock protein family (Hsp40) member C10 [Source:MGI Symbol;Acc:MGI:1914111] MGVWLNKDDFIRDLKRISLCLLILYVVVVVGTDQNFYSLLGVSKTASSREIRQAFKKLAL KLHPDKNPNNPNAHGDFLKINRAYEVLKDEDLRKKYDKYGEKGLEDNQGGQYESWSYYRY DFGIYDDDPEIITLERREFDAAVNSGELWFVNFYSPGCSHCHDLAPTWREFAKEVDGLLR IGAVNCGDDRMLCRMKGVNSYPSLFIFRSGMAAVKYNGDRSKESLVAFAMQHVRSTVTEL STGNFVNAIETAFAAGVGWLITFCSKGEDCLTSQTRLRLSGMLDGLVNVGWVDCDAQDSL CKSLDTTASTTAYFPPGATLNDREKSSVLFLNSLDAKEIYMEIIHNLPDFELLSANQLED RLAHHRWLVFFHFGKNENANDPELKKLKTLLKNEHIQVGRFDCSSAPGICSDLYVFQPCL AVFKGQGTKEYEIHHGKKILYDILAFAKESVNSHVTTLGPQNFPASDKEPWLVDFFAPWC PPCRALLPELRKASTLLYGQLKVGTLDCTIHEGLCNMYNIQAYPTTVVFNQSSIHEYEGH HSAEQILEFIEDLRNPSVVSLTPSTFNELVKQRKHDEVWMVDFYSPWCHPCQVLMPEWKR MARTLTGLINVGSVDCQQYHSFCTQENVQRYPEIRFYPQKSSKAYQYHSYNGWNRDAYSL RSWGLGFLPQASIDLTPQTFNEKVLQGKTHWVVDFYAPWCGPCQNFAPEFELLARMIKGK VRAGKVDCQAYPQTCQKAGIKAYPSVKLYQYERAKKSIWEEQINSRDAKTIAALIYGKLE TLQSQVKRNKDEL >ENSMUSP00000003912.6 pep:known chromosome:GRCm38:8:84841850:84846934:-1 gene:ENSMUSG00000003814.8 transcript:ENSMUST00000003912.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calr description:calreticulin [Source:MGI Symbol;Acc:MGI:88252] MLLSVPLLLGLLGLAAADPAIYFKEQFLDGDAWTNRWVESKHKSDFGKFVLSSGKFYGDL EKDKGLQTSQDARFYALSAKFEPFSNKGQTLVVQFTVKHEQNIDCGGGYVKLFPSGLDQK DMHGDSEYNIMFGPDICGPGTKKVHVIFNYKGKNVLINKDIRCKDDEFTHLYTLIVRPDN TYEVKIDNSQVESGSLEDDWDFLPPKKIKDPDAAKPEDWDERAKIDDPTDSKPEDWDKPE HIPDPDAKKPEDWDEEMDGEWEPPVIQNPEYKGEWKPRQIDNPDYKGTWIHPEIDNPEYS PDANIYAYDSFAVLGLDLWQVKSGTIFDNFLITNDEAYAEEFGNETWGVTKAAEKQMKDK QDEEQRLKEEEEDKKRKEEEEAEDKEDDDDRDEDEDEEDEKEEDEEESPGQAKDEL >ENSMUSP00000058931.3 pep:known chromosome:GRCm38:7:108031397:108032341:-1 gene:ENSMUSG00000094426.1 transcript:ENSMUST00000049719.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr478 description:olfactory receptor 478 [Source:MGI Symbol;Acc:MGI:3030312] MAFQEDGNHTAVTEFVLFGLTDDPVLRVILFIIFLCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLAFADIGYSSSVTPNMLVNFLVERHTISYIGCAIQLGSVVFFGSSECFILAA MAYDRFMAICNPLLYSTKMSTQVCVQLLLIAYIGGFLNTWSFTICFYSLVFCGPNGVNHF FCDFAPLIELSCSDVSVPATVPSFTAGSIIVVTVIVIAISYIYILITILKMHSTEGRQKA FSTCTSHLTAVTLFYGTITFIYVMPKSSFSTDQNKVVSVFYMVVIPMLNPLIYSLRNNEI KGALKRQIGRKIFS >ENSMUSP00000146882.1 pep:known chromosome:GRCm38:7:29174188:29180481:-1 gene:ENSMUSG00000030591.17 transcript:ENSMUST00000209034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd8 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 8 [Source:MGI Symbol;Acc:MGI:1888669] MAATAATVNGSTTVSSSGPAATSVGILQAAAGMYEQLKDEWNRKNPNLSKCGEELGRLKL VLLELNFLPTTGTKLTKQQLILARDILEIGAQWSILCKDIPSFERYMAQLKCYYFDYKEQ LPESAYMHQLLGLNLLFLLSQNRVAEFHTELERLPAKDIQTNVYIKHPVSLEQYLMEGSY NKVFLAKGNIPAESYTFFIDILLDTIRDEIAGCIEKAYEKILFAEATRILFFSTPKKMTD YAKKVAGVWDKGL >ENSMUSP00000138613.1 pep:known chromosome:GRCm38:7:29174188:29180552:-1 gene:ENSMUSG00000030591.17 transcript:ENSMUST00000182328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd8 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 8 [Source:MGI Symbol;Acc:MGI:1888669] MAATAATVNGSTTVSSSGPAATSVGILQAAAGMYEQLKDEWNRKNPNLSKCGEELGRLKL VLLELNFLPTTGTKLTKQQLILARDILEIGAQWSILCKDIPSFERYMAQLKCYYFDYKEQ LPESAYMHQLLGLNLLFLLSQNRVAEFHTELERLPAKDIQTNVYIKHPVSLEQYLMEGSY NKVFLAKGNIPAESYTFFIDILLDTIRDEIAGCIEKAYEKILFAEATRILFFSTPKKMTD YAKKRGWVLGPNNYYSFASQQQKPEDSTIPSTELAKQVIEYARQLEMIV >ENSMUSP00000051657.9 pep:known chromosome:GRCm38:7:29174188:29180701:-1 gene:ENSMUSG00000030591.17 transcript:ENSMUST00000059642.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd8 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 8 [Source:MGI Symbol;Acc:MGI:1888669] MFIKGRAAKTPRGEPRRSSRGGRKLAVVAPPPVLGSTSRPHFRRESIARRRCRKSGRRLA ASRKMAATAATVNGSTTVSSSGPAATSVGILQAAAGMYEQLKDEWNRKNPNLSKCGEELG RLKLVLLELNFLPTTGTKLTKQQLILARDILEIGAQWSILCKDIPSFERYMAQLKCYYFD YKEQLPESAYMHQLLGLNLLFLLSQNRVAEFHTELERLPAKDIQTNVYIKHPVSLEQYLM EGSYNKVFLAKGNIPAESYTFFIDILLDTIRDEIAGCIEKAYEKILFAEATRILFFSTPK KMTDYAKKRGWVLGPNNYYSFASQQQKPEDSTIPSTELAKQVIEYARQLEMIV >ENSMUSP00000139514.1 pep:known chromosome:GRCm38:7:29174188:29180673:-1 gene:ENSMUSG00000030591.17 transcript:ENSMUST00000186182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd8 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 8 [Source:MGI Symbol;Acc:MGI:1888669] MFIKGRAAKTPRGEPRRSSRGGRKLAVVAPPPVLGSTSRPHFRRESIARRRCRKSGRRLA ASRKMAATAATVNGSTTVSSSGPAATSVGILQAAAGMYEQLKDEWNRKNPNLSKCGEELG RLKLVLLELNFLPTTGTKLTKQQLILARDILEIGAQWSILCKDIPSFERYMAQLKCYYFD YKEQLPESAYMHQLLGLNLLFLLSQNRVAEFHTELERLPAKDIQTNVYIKHPVSLEQYLM EGSYNKVFLAKGNIPAESYTFFIDILLDTIRDEIAGCIEKAYEKILFAEATRILFFSTPK KMTDYAKKRGWVLGPNNYYSFASQQQKPEDSTIPSTELAKQVIEYARQLEMIV >ENSMUSP00000120007.1 pep:known chromosome:GRCm38:9:6928503:7184446:-1 gene:ENSMUSG00000047193.15 transcript:ENSMUST00000140466.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync2h1 description:dynein cytoplasmic 2 heavy chain 1 [Source:MGI Symbol;Acc:MGI:107736] MAGSLGDVRKLFLFTTTQNYFGLRPELWDQPPLSNCPEVNNFLDDGNQMLLRVQRSEAGL AFSNTIDFDDAKDKVLVFFKLRPEVITDGNLHNNILVSSMLESPINSLYQAVRQVFAPML LKDQEWSRNFDPKLQNLLSELEAGLGIVLRKSDTNLPKLKLKEDDTRGILTPSDEFQFWI EQAHRGSKQISKERASYFKELFETISREFYNLDSLSLLEVVDLVETTRDVVDDVWRQTEH DHYPESRMLHLLDVIGGSFGRFVQKKLGSLKLWEDPYYLVKENLKAGISICEQWVIVCSH LTGQVWQRYVPHPWKSGKYFPETLDKLGKRLEEVLAIRTIHEKLLYFLPASEERIVCLSR VFEPFTGVNPVQYNPYTEPLWKAAVSQYEKIIAPAEQKIAGKLKNYISEIQDSPQQLLQA FLKYKELVKRPTISKELMLERETLLARLGDSAKDFRLDFENRCRGIPGDPSGPLSGKNLS EVVNNIVWVRQLELKVDDTIKIAEALLSDLSGFRSFHRSAEDLLDQFKLYEQEQFDDWSR EVQSGLSDSRSGLCIEANSRIMELDPNDGALKVHYSDRLVILLREVRQLSALGFVIPAKI QQVANVAQKFCKQAIILKQVAHFYNSIDQQMIQSQRPMMLQSALAFEQIIKNSKAGSGGK SQITWDNPKELEGYIQKLQNAAERLATENRRLRKWHTTFCEKVVILMNIDLLRQQQRWKD GLQELRTGLATVAAQGFQASDMRAWRQHWNHQLYKALEHQYQVGLEALNENLPEINVDLT YKQGRLQFRPPFEEIRAKYYREMKRFIGIPNQFKGVGEAGDESIFSVMIDRNASGFLTIY SKAEDLFRRLSAVLHQHKEWVVIGQVDMEALVEKNLSTVHDWEKNFKALKIKGKEVERLP SAVKVDCLNINCSPVKTVIDDLIQKLFDLLVLSLKKSIQTHIHEIDTFVTEAMKVLTVIP QSVEEIGDTNVQYSNLQDRRPEILPLFQEAEDKNRLLRTVAGGGVETVSNLRAKWDKFEL MMESHQLMIKDQIEVMKGNVKSRLQIYYQELDKFKARWDQLKPGDDIIETGQQNTMDQSA KSIKEKKIEFDDLEVIRKKLVDDCHHFGLEEPNFSLAYSISKDIESCAQIWALYEEFQQG LQDMAKEDWITYRAKIYLFEEFLINWHERLRKIEEHSVMTVKLQSEVDRYKMIIPILKYV RGEHLSPDHWLDLFRLLGLPRGTSLEKLLFGDLLRVADTIVEKASELKDLNSRAQGEVTI REALRELDLWGVGAVFSLIDYEDSQNHTIKLIKDWKDIVNQVGDNRCLLQSLKDSPYYKG FEDKVSIWERKLAQLDEYLQNLNHIQRKWVYLEPIFGRGALPKEQTRFNKVDEDFRSIMM DIRKDSRVTTLTTHAGIRNTLLTILDQLQRCQKSLNEFLEEKRSAFPRFYFIGDDDLLEI LGQSTNPSVIQSHLKKLFAGINSVCFDEESKHITAMKSLEGEVVPFKSKVLLSNNVEAWL NDLALEMKQTLKQLLKECVTAGRSSQGAIDPSLFPSQILCLAEQIKFTEDVENAIKDHSL HQIEAQLVAKLERYTSVDTSSEDPGNSESGILELKLKALILDIIHNIDIVKQLNQVQVHT TDDWAWKKQVRFYMKSDHTCYVQMVDSELQYTYEYQGNAPKLVYTPLTDKCYLTLTQAMK MGLGGNPYGPAGTGKTESVKALGGLLGRQVLVFNCDEGIDVKSMGRIFVGLVKCGAWGCF DEFNRLEEAVLSAVSMQIQTIQDALKNHRTVCELLGKEVEINANSGIFITMNPAGKGYGG RQKLPDNLKQLFRPVAMSRPDNDLIAEVILYSEGFKDAKELGRKLVAIFNLSRELLTPQQ HYDWGLRALKTVLRGSGNLLRQLKKNSTKQDVNENHIVVQALRLNTMSKFTFADCTRFDA LIKDVFPGIDFKEVEYDELSSALKQVFEEANYEVIPNQMKKALELYEQLRQRTGVVIVGP SGAGKSTLWRMLRAALCKIGKVVKQYTMNPKAMPRHQLLGHIDMDTREWSDGVLTNSARQ VVREPQDVSSWIICDGDIDPEWIESLNSVLDDNRLLTMPSGERIQFGPNVNFVFETHDLS CASPATISRMGMIFLSDEETDLNSLIKSWLRNQPLEYRSNLENWIGDYFSKALQWVLKQN DYVVETSLVGTVMNGLSHLHGCKYHDQFIINLIRGLGGNLNMKSRLEFTKEVFNWARETP PDSHRPMDTYYDCDRGQLASYMLKKPESLTADDFSNGHILPVIQTPDMQRGLDYFKPWLS SDTKQPFILVGPEGCGKGMLLRYAFSQLRSTEIATIHCSAQTTSRHLLQKLSQTCMVIST NTGRVYRPKDCERLVLYLKDINLPKLDKWGTSTLVAFLQQVLTYQGFYDENLEWVGLENI QIVASMSAGGRLGRHKLTTRFTSIVRLCAIDYPEREQLQTIYGAYLEAVLHKNLKNHSIW GSSSKIYLLAGSMVQVYEQVRAKFTVDEYSHYFFTPCILTQWVLGLFRYDLEGGSSNHPL DYVLEIVAYEARRLFRDKIVGVKELHLFDNILTSVLQGDWGSDILDNMADSFYVTWGAHH VSGGKTAPGQPLPPHGKPLGKLTSADLKDVIKKGLIHYGRDNQNLDILLFQEVLEYMSRI DRVLSFPGGSLLLAGRSGVGRRTVTSLVSHMHGAVLFSPKISRGYEPKQFRNDLKHVLQL AGIEAQQVVLLLEDYQFVHPTFLEMINSLLASGEVPGLYTLEELEPLLLPLKDQASQDGF FGPVFNYFTYRIQQNLHIVLIMDSANLNFIVNCESNPALHKKCQVLWMEGWSDSSMKKIP EMLFSETDGEEKYEKKRKDEKKRNSVDPDFIKSFLLIHESCKAYGATPSRYMTFLHVYSA ISSSKKKELLKRQSHLQAGVSKLNEAKALVDELNRKAGEQSILLRIKQDEADSALQEITV SMQDASEQKTELERLKQRIAEEVVKIEERKSKIDDELKEVQPLVNEAKLAVGNIRPESLS EIRSLRMPPDVIRDILEGVLRLMGIFDTSWVSMKSFLAKRGVREDIATFDARNIPKEIRE SVEELLFKNKASFDPKNAKRASTAAAPLAAWVKANVQYSHVLERIQPLETEQSGLELNLK KTEDRKRKLEDLLNSVGQKVSELKEKFQSRTSEAAKLEAEVSKAQETIKAAEVLISQLDR EHRRWNAQVAEIAEELATLPKRAQLAAAFITYLSAAPEGLRKNCLEEWTKAAGLEKFDLR RFLCTESEQLIWKSEGLPSDDLSIENALVILQSRVCPFLIDPSSQATEWLKTHLKDSHLE VINQQDSNFITALELAVRFGKTLIIQEMDGVEPVLYPLLRRDLVAQGPRYVVQIGDKIID YNEDFRLFLSTRNPNPFIPPDAASIVTEVNFTTTRSGLRGQLLALTIQHEKPDLEEQKTK LLQQEEDKKIQLARLEESLLETLATSQGNILENKDLIESLNQTKASSALIQDSLKESYKL QISLDQERDAYLPLAESASKMYFIISDLSKINNMYRFSLASFLRLFQRALQNKQDSENTE ERIQCLVNSLKHMVYEYICRCLFKADQLMFALHFVRGMHPELFQENEWDTFTGVVVGDML RKADSQQRIRDQLPSWIDQERSWAVATLKISLPSLYQTLCLEDGAFWRTYYHHSMCEQEF PSILAKKVSLFQQVLVVQALRPDRLQSAMALFACKALGLKELSPLPLNLKRLYKETLEIE PILIIISPGADPSQELQELASAERSSECYHQVAMGQGQADLAIQMLKECARNGDWLCLKN LHLVVSWLPVLEKELNTLQPKDSFRLWLTAEVHPNFTPILLQSSLKITYESPPGLKKNLM RTYESWTPEQISKRDNIHRAHALFSLAWFHAACQERRNYIPQGWTKFYEFSLSDLRAGYH VIDRLFDGTKDVQWEFVHGLLENSIYGGRVDNYFDLRVLQSYLKQFFNSSIIDVLNQRNK KSIFPYSISLPNSCSILDYRAVIEKLPEDDKPSFFGLPANIARSSQRMISSQVISQLRIL GRSVTAGCKFDREIWSNELSPVLNLWKKLNQNSNLIHQKVSPPNDRQGSPILSFIILEQF NAIRLVQSVHQSLAALSKVIRGTTLLSSEVQKLASALLNQKCPLTWQSRWEGPEDPLQYL RGLVARTLAIQNWVEKAEKQVLLADTLDLSELFHPDTFLNALRQETARATGCSVDSLKFV ASWKGRLQEAKLQIKISGLLLEGCSFDGNRLSENQHDSPSVSSVLPCYMGWTPQGSYGPY SPDECISLPVYTSAERERVVTNIDVPCGGNQDQWIQCGAALFLKNQ >ENSMUSP00000116242.1 pep:known chromosome:GRCm38:9:7023136:7111543:-1 gene:ENSMUSG00000047193.15 transcript:ENSMUST00000139671.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dync2h1 description:dynein cytoplasmic 2 heavy chain 1 [Source:MGI Symbol;Acc:MGI:107736] XARRLFRDKIVGVKELHLFDNILTSVLQGDWGSDILDNMADSFYVTWGAHHVSGGKTAPG QPLPPHGKPLGKLTSADLKDVIKKGLIHYGRDNQNLDILLFQEVLEYMSRIDRVLSFPGG SLLLAGRSGVGRRTVTSLVSHMHGAVLFSPKISRGYEPKQFRNDLKHVLQLAGIEAQQVV LLLEDYQFVHPTFLEMINSLLASGEVPGLYTLEELEPLLLPLKDQASQDGFFGPVFNYFT YRIQQNLHIVLIMDSANLNFIVNCESNPALHKKCQVLWMEGWSDSSMKKIPEMLFSETDG EEKYEKKRKDEKKRNSVDPDFIKSFLLIHESCKAYGATPSRYMTFLHVYSAISSSKKKEL LKRQSHLQRLKCGFEMAASGVKPTI >ENSMUSP00000120322.1 pep:known chromosome:GRCm38:9:7149475:7176965:-1 gene:ENSMUSG00000047193.15 transcript:ENSMUST00000139115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync2h1 description:dynein cytoplasmic 2 heavy chain 1 [Source:MGI Symbol;Acc:MGI:107736] MAGSLGDVRKLFLFTTTQNYFGLRPELWDQPPLSNCPEVNNFLDDGNQMLLRVQRSEAGL AFSNTIDFDDAKDKVLVFFKLRPEVITDGNLHNNILVSSMLESPINSLYQAVRQVFAPML LKDQEWSRNFDPKLQNLLSELEAGLGIVLRKSDTNLPKLKLKEDDTRGILTPSDEFQFWI EQAHRGSKQISKERASYFKELFETISREFYNLDSLSLLEVVDLVETTRDVVDDVWRQTEH DHYPESRMLHLLDVIGGSFGRFVQKKLGSLKLWEDPYYLVKENLKAGISICEQWVIVCSH LTGQVWQRYVPHPWKSGKYFPETLDKLGKRLEEVLAIRTIHEKLLYFLPASEERIVCLSR VFEPFTGVNPVQYNPYTEPLWKAAVSQYEKIIAPAEQKIAGKLKNYISEIQDSPQQLLQA FLKYKELVKRPTISKELMLERETLLARLGDSAKDFRLDFENRCRGIPGDPSGPLSGKNLS EVVNNIVWVRQLELKVDDTIKIAEALLSDLSGFRSFHRSAEDLLDQFKLYEQEQFDDWSR EVQSGLSDSRSGLCIEANSRIMELDPNDGALKVHYSDRLVILLREVRQLSALGFVIPAKI QQVANVAQKFCKQAIILKQVAHFYNSIDQQMIQSQRPMMLQSALAFEQIIKNSKAGSGGK SQITWDNPKELEGYIQKLQNAAERLATENRRLRKWHTTFCEKVVILMNIDLLRQQQRWKD GLQELRTGLATVAAQGFQASDMRAWRQHWNHQLYKALEHQYQVGLEALNENLPEINVDLT YKQGRLQFRPPFEEIRAKYYREMKRFIGIPNQFKGVGEAGDESIFSVMIDRNASGFLTIY SKAEDLFRRLSAVLHQHKEWVVIGQVDMEALVEKNLSTVHDWEKNFKALKIKGKEVERLP SSGFLCVALAVLEFTL >ENSMUSP00000116679.1 pep:known chromosome:GRCm38:9:6929304:7176957:-1 gene:ENSMUSG00000047193.15 transcript:ENSMUST00000147193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync2h1 description:dynein cytoplasmic 2 heavy chain 1 [Source:MGI Symbol;Acc:MGI:107736] MAGSLGDVRKLFLFTTTQNYFGLRPELWDQPPLSNCPEVNNFLDDGNQMLLRVQRSEAGL AFSNTIDFDDAKDKVLVFFKLRPEVITDGNLHNNILVSSMLESPINSLYQAVRQVFAPML LKDQEWSRNFDPKLQNLLSELEAGLGIVLRKSDTNLPKLKLKEDDTRGILTPSDEFQFWI EQAHRGSKQISKERASYFKELFETISREFYNLDSLSLLEVVDLVETTRDVVDDVWRQTEH DHYPESRMLHLLDVIGGSFGRFVQKKLGSLKLWEDPYYLVKENLKAGISICEQWVIVCSH LTGQVWQRYVPHPWKSGKYFPETLDKLGKRLEEVLAIRTIHEKLLYFLPASEERIVCLSR VFEPFTGVNPVQYNPYTEPLWKAAVSQYEKIIAPAEQKIAGKLKNYISEIQDSPQQLLQA FLKYKELVKRPTISKELMLERETLLARLGDSAKDFRLDFENRCRGIPGDPSGPLSGKNLS EVVNNIVWVRQLELKVDDTIKIAEALLSDLSGFRSFHRSAEDLLDQFKLYEQEQFDDWSR EVQSGLSDSRSGLCIEANSRIMELDPNDGALKVHYSDRLVILLREVRQLSALGFVIPAKI QQVANVAQKFCKQAIILKQVAHFYNSIDQQMIQSQRPMMLQSALAFEQIIKNSKAGSGGK SQITWDNPKELEGYIQKLQNAAERLATENRRLRKWHTTFCEKVVILMNIDLLRQQQRWKD GLQELRTGLATVAAQGFQASDMRAWRQHWNHQLYKALEHQYQVGLEALNENLPEINVDLT YKQGRLQFRPPFEEIRAKYYREMKRFIGIPNQFKGVGEAGDESIFSVMIDRNASGFLTIY SKAEDLFRRLSAVLHQHKEWVVIGQVDMEALVEKNLSTVHDWEKNFKALKIKGKEVERLP SAVKVDCLNINCSPVKTVIDDLIQKLFDLLVLSLKKSIQTHIHEIDTFVTEAMKVLTVIP QSVEEIGDTNVQYSNLQDRRPEILPLFQEAEDKNRLLRTVAGGGVETVSNLRAKWDKFEL MMESHQLMIKDQIEVMKGNVKSRLQIYYQELDKFKARWDQLKPGDDIIETGQQNTMDQSA KSIKEKKIEFDDLEVIRKKLVDDCHHFGLEEPNFSLAYSISKDIESCAQIWALYEEFQQG LQDMAKEDWITYRAKIYLFEEFLINWHERLRKIEEHSVMTVKLQSEVDRYKMIIPILKYV RGEHLSPDHWLDLFRLLGLPRGTSLEKLLFGDLLRVADTIVEKASELKDLNSRAQGEVTI REALRELDLWGVGAVFSLIDYEDSQNHTIKLIKDWKDIVNQVGDNRCLLQSLKDSPYYKG FEDKVSIWERKLAQLDEYLQNLNHIQRKWVYLEPIFGRGALPKEQTRFNKVDEDFRSIMM DIRKDSRVTTLTTHAGIRNTLLTILDQLQRCQKSLNEFLEEKRSAFPRFYFIGDDDLLEI LGQSTNPSVIQSHLKKLFAGINSVCFDEESKHITAMKSLEGEVVPFKSKVLLSNNVEAWL NDLALEMKQTLKQLLKECVTAGRSSQGAIDPSLFPSQILCLAEQIKFTEDVENAIKDHSL HQIEAQLVAKLERYTSVDTSSEDPGNSESGILELKLKALILDIIHNIDIVKQLNQVQVHT TDDWAWKKQVRFYMKSDHTCYVQMVDSELQYTYEYQGNAPKLVYTPLTDKCYLTLTQAMK MGLGGNPYGPAGTGKTESVKALGGLLGRQVLVFNCDEGIDVKSMGRIFVGLVKCGAWGCF DEFNRLEEAVLSAVSMQIQTIQDALKNHRTVCELLGKEVEINANSGIFITMNPAGKGYGG RQKLPDNLKQLFRPVAMSRPDNDLIAEVILYSEGFKDAKELGRKLVAIFNLSRELLTPQQ HYDWGLRALKTVLRGSGNLLRQLKKNSTKQDVNENHIVVQALRLNTMSKFTFADCTRFDA LIKDVFPGIDFKEVEYDELSSALKQVFEEANYEVIPNQMKKALELYEQLRQRTGVVIVGP SGAGKSTLWRMLRAALCKIGKVVKQYTMNPKAMPRHQLLGHIDMDTREWSDGVLTNSARQ VVREPQDVSSWIICDGDIDPEWIESLNSVLDDNRLLTMPSGERIQFGPNVNFVFETHDLS CASPATISRMGMIFLSDEETDLNSLIKSWLRNQPLEYRSNLENWIGDYFSKALQWVLKQN DYVVETSLVGTVMNGLSHLHGCKYHDQFIINLIRGLGGNLNMKSRLEFTKEVFNWARETP PDSHRPMDTYYDCDRGQLASYMLKKPESLTADDFSNGHILPVIQTPDMQRGLDYFKPWLS SDTKQPFILVGPEGCGKGMLLRYAFSQLRSTEIATIHCSAQTTSRHLLQKLSQTCMVIST NTGRVYRPKDCERLVLYLKDINLPKLDKWGTSTLVAFLQQVLTYQGFYDENLEWVGLENI QIVASMSAGGRLGRHKLTTRFTSIVRLCAIDYPEREQLQTIYGAYLEAVLHKNLKNHSIW GSSSKIYLLAGSMVQVYEQVRAKFTVDEYSHYFFTPCILTQWVLGLFRYDLEGGSSNHPL DYVLEIVAYEARRLFRDKIVGVKELHLFDNILTSVLQGDWGSDILDNMADSFYVTWGAHH VSGGKTAPGQPLPPHGKPLGKLTSADLKDVIKKGLIHYGRDNQNLDILLFQEVLEYMSRI DRVLSFPGGSLLLAGRSGVGRRTVTSLVSHMHGAVLFSPKISRGYEPKQFRNDLKHVLQL AGIEAQQVVLLLEDYQFVHPTFLEMINSLLASGEVPGLYTLEELEPLLLPLKDQASQDGF FGPVFNYFTYRIQQNLHIVLIMDSANLNFIVNCESNPALHKKCQVLWMEGWSDSSMKKIP EMLFSETDGEEKYEKKRKDEKKRNSVDPDFIKSFLLIHESCKAYGATPSRYMTFLHVYSA ISSSKKKELLKRQSHLQAGVSKLNEAKALVDELNRKAGEQSILLRIKQDEADSALQEITV SMQDASEQKTELERLKQRIAEEVVKIEERKSKIDDELKEVQPLVNEAKLAVGNIRPESLS EIRSLRMPPDVIRDILEGVLRLMGIFDTSWVSMKSFLAKRGVREDIATFDARNIPKEIRE SVEELLFKNKASFDPKNAKRASTAAAPLAAWVKANVQYSHVLERIQPLETEQSGLELNLK KTEDRKRKLEDLLNSVGQKVSELKEKFQSRTSEAAKLEAEVSKAQETIKAAEVLISQLDR EHRRWNAQVAEIAEELATLPKRAQLAAAFITYLSAAPEGLRKNCLEEWTKAAGLEKFDLR RFLCTESEQLIWKSEGLPSDDLSIENALVILQIIGLKSWSRVCPFLIDPSSQATEWLKTH LKDSHLEVINQQDSNFITALELAVRFGKTLIIQEMDGVEPVLYPLLRRDLVAQGPRYVVQ IGDKIIDYNEDFRLFLSTRNPNPFIPPDAASIVTEVNFTTTRSGLRGQLLALTIQHEKPD LEEQKTKLLQQEEDKKIQLARLEESLLETLATSQGNILENKDLIESLNQTKASSALIQDS LKESYKLQISLDQERDAYLPLAESASKMYFIISDLSKINNMYRFSLASFLRLFQRALQNK QDSENTEERIQCLVNSLKHMVYEYICRCLFKADQLMFALHFVRGMHPELFQENEWDTFTG VVVGDMLRKADSQQRIRDQLPSWIDQERSWAVATLKISLPSLYQTLCLEDGAFWRTYYHH SMCEQEFPSILAKKVSLFQQVLVVQALRPDRLQSAMALFACKALGLKELSPLPLNLKRLY KETLEIEPILIIISPGADPSQELQELASAERSSECYHQVAMGQGQADLAIQMLKECARNG DWLCLKNLHLVVSWLPVLEKELNTLQPKDSFRLWLTAEVHPNFTPILLQSSLKITYESPP GLKKNLMRTYESWTPEQISKRDNIHRAHALFSLAWFHAACQERRNYIPQGWTKFYEFSLS DLRAGYHVIDRLFDGTKDVQWEFVHGLLENSIYGGRVDNYFDLRVLQSYLKQFFNSSIID VLNQRNKKSIFPYSISLPNSCSILDYRAVIEKLPEDDKPSFFGLPANIARSSQRMISSQV ISQLRILGRSVTAGCKFDREIWSNELSPVLNLWKKLNQNSNLIHQKVSPPNDRQGSPILS FIILEQFNAIRLVQSVHQSLAALSKVIRGTTLLSSEVQKLASALLNQKCPLTWQSRWEGP EDPLQYLRGLVARTLAIQNWVEKAEKQVLLADTLDLSELFHPDTFLNALRQETARATGCS VDSLKFVASWKGRLQEAKLQIKISGLLLEGCSFDGNRLSENQHDSPSVSSVLPCYMGWTP QGSYGPYSPDECISLPVYTSAERERVVTNIDVPCGGNQDQWIQCGAALFLKNQ >ENSMUSP00000046733.6 pep:known chromosome:GRCm38:9:6928503:7177046:-1 gene:ENSMUSG00000047193.15 transcript:ENSMUST00000048417.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync2h1 description:dynein cytoplasmic 2 heavy chain 1 [Source:MGI Symbol;Acc:MGI:107736] MAGSLGDVRKLFLFTTTQNYFGLRPELWDQPPLSNCPEVNNFLDDGNQMLLRVQRSEAGL AFSNTIDFDDAKDKVLVFFKLRPEVITDGNLHNNILVSSMLESPINSLYQAVRQVFAPML LKDQEWSRNFDPKLQNLLSELEAGLGIVLRKSDTNLPKLKLKEDDTRGILTPSDEFQFWI EQAHRGSKQISKERASYFKELFETISREFYNLDSLSLLEVVDLVETTRDVVDDVWRQTEH DHYPESRMLHLLDVIGGSFGRFVQKKLGSLKLWEDPYYLVKENLKAGISICEQWVIVCSH LTGQVWQRYVPHPWKSGKYFPETLDKLGKRLEEVLAIRTIHEKLLYFLPASEERIVCLSR VFEPFTGVNPVQYNPYTEPLWKAAVSQYEKIIAPAEQKIAGKLKNYISEIQDSPQQLLQA FLKYKELVKRPTISKELMLERETLLARLGDSAKDFRLDFENRCRGIPGDPSGPLSGKNLS EVVNNIVWVRQLELKVDDTIKIAEALLSDLSGFRSFHRSAEDLLDQFKLYEQEQFDDWSR EVQSGLSDSRSGLCIEANSRIMELDPNDGALKVHYSDRLVILLREVRQLSALGFVIPAKI QQVANVAQKFCKQAIILKQVAHFYNSIDQQMIQSQRPMMLQSALAFEQIIKNSKAGSGGK SQITWDNPKELEGYIQKLQNAAERLATENRRLRKWHTTFCEKVVILMNIDLLRQQQRWKD GLQELRTGLATVAAQGFQASDMRAWRQHWNHQLYKALEHQYQVGLEALNENLPEINVDLT YKQGRLQFRPPFEEIRAKYYREMKRFIGIPNQFKGVGEAGDESIFSVMIDRNASGFLTIY SKAEDLFRRLSAVLHQHKEWVVIGQVDMEALVEKNLSTVHDWEKNFKALKIKGKEVERLP SAVKVDCLNINCSPVKTVIDDLIQKLFDLLVLSLKKSIQTHIHEIDTFVTEAMKVLTVIP QSVEEIGDTNVQYSNLQDRRPEILPLFQEAEDKNRLLRTVAGGGVETVSNLRAKWDKFEL MMESHQLMIKDQIEVMKGNVKSRLQIYYQELDKFKARWDQLKPGDDIIETGQQNTMDQSA KSIKEKKIEFDDLEVIRKKLVDDCHHFGLEEPNFSLAYSISKDIESCAQIWALYEEFQQG LQDMAKEDWITYRAKIYLFEEFLINWHERLRKIEEHSVMTVKLQSEVDRYKMIIPILKYV RGEHLSPDHWLDLFRLLGLPRGTSLEKLLFGDLLRVADTIVEKASELKDLNSRAQGEVTI REALRELDLWGVGAVFSLIDYEDSQNHTIKLIKDWKDIVNQVGDNRCLLQSLKDSPYYKG FEDKVSIWERKLAQLDEYLQNLNHIQRKWVYLEPIFGRGALPKEQTRFNKVDEDFRSIMM DIRKDSRVTTLTTHAGIRNTLLTILDQLQRCQKSLNEFLEEKRSAFPRFYFIGDDDLLEI LGQSTNPSVIQSHLKKLFAGINSVCFDEESKHITAMKSLEGEVVPFKSKVLLSNNVEAWL NDLALEMKQTLKQLLKECVTAGRSSQGAIDPSLFPSQILCLAEQIKFTEDVENAIKDHSL HQIEAQLVAKLERYTSVDTSSEDPGNSESGILELKLKALILDIIHNIDIVKQLNQVQVHT TDDWAWKKQVRFYMKSDHTCYVQMVDSELQYTYEYQGNAPKLVYTPLTDKCYLTLTQAMK MGLGGNPYGPAGTGKTESVKALGGLLGRQVLVFNCDEGIDVKSMGRIFVGLVKCGAWGCF DEFNRLEEAVLSAVSMQIQTIQDALKNHRTVCELLGKEVEINANSGIFITMNPAGKGYGG RQKLPDNLKQLFRPVAMSRPDNDLIAEVILYSEGFKDAKELGRKLVAIFNLSRELLTPQQ HYDWGLRALKTVLRGSGNLLRQLKKNSTKQDVNENHIVVQALRLNTMSKFTFADCTRFDA LIKDVFPGIDFKEVEYDELSSALKQVFEEANYEVIPNQMKKALELYEQLRQRTGVVIVGP SGAGKSTLWRMLRAALCKIGKVVKQYTMNPKAMPRHQLLGHIDMDTREWSDGVLTNSARQ VVREPQDVSSWIICDGDIDPEWIESLNSVLDDNRLLTMPSGERIQFGPNVNFVFETHDLS CASPATISRMGMIFLSDEETDLNSLIKSWLRNQPLEYRSNLENWIGDYFSKALQWVLKQN DYVVETSLVGTVMNGLSHLHGCKYHDQFIINLIRGLGGNLNMKSRLEFTKEVFNWARETP PDSHRPMDTYYDCDRGQLASYMLKKPESLTADDFSNGHILPVIQTPDMQRGLDYFKPWLS SDTKQPFILVGPEGCGKGMLLRYAFSQLRSTEIATIHCSAQTTSRHLLQKLSQTCMVIST NTGRVYRPKDCERLVLYLKDINLPKLDKWGTSTLVAFLQQVLTYQGFYDENLEWVGLENI QIVASMSAGGRLGRHKLTTRFTSIVRLCAIDYPEREQLQTIYGAYLEAVLHKNLKNHSIW GSSSKIYLLAGSMVQVYEQVRAKFTVDEYSHYFFTPCILTQWVLGLFRYDLEGGSSNHPL DYVLEIVAYEARRLFRDKIVGVKELHLFDNILTSVLQGDWGSDILDNMADSFYVTWGAHH VSGGKTAPGQPLPPHGKPLGKLTSADLKDVIKKGLIHYGRDNQNLDILLFQEVLEYMSRI DRVLSFPGGSLLLAGRSGVGRRTVTSLVSHMHGAVLFSPKISRGYEPKQFRNDLKHVLQL AGIEAQQVVLLLEDYQFVHPTFLEMINSLLASGEVPGLYTLEELEPLLLPLKDQASQDGF FGPVFNYFTYRIQQNLHIVLIMDSANLNFIVNCESNPALHKKCQVLWMEGWSDSSMKKIP EMLFSETDGEEKYEKKRKDEKKRNSVDPDFIKSFLLIHESCKAYGATPSRYMTFLHVYSA ISSSKKKELLKRQSHLQAGVSKLNEAKALVDELNRKAGEQSILLRIKQDEADSALQEITV SMQDASEQKTELERLKQRIAEEVVKIEERKSKIDDELKEVQPLVNEAKLAVGNIRPESLS EIRSLRMPPDVIRDILEGVLRLMGIFDTSWVSMKSFLAKRGVREDIATFDARNIPKEIRE SVEELLFKNKASFDPKNAKRASTAAAPLAAWVKANVQYSHVLERIQPLETEQSGLELNLK KTEDRKRKLEDLLNSVGQKVSELKEKFQSRTSEAAKLEAEVSKAQETIKAAEVLISQLDR EHRRWNAQVAEIAEELATLPKRAQLAAAFITYLSAAPEGLRKNCLEEWTKAAGLEKFDLR RFLCTESEQLIWKSEGLPSDDLSIENALVILQSRVCPFLIDPSSQATEWLKTHLKDSHLE VINQQDSNFITALELAVRFGKTLIIQEMDGVEPVLYPLLRRDLVAQGPRYVVQIGDKIID YNEDFRLFLSTRNPNPFIPPDAASIVTEVNFTTTRSGLRGQLLALTIQHEKPDLEEQKTK LLQQEEDKKIQLARLEESLLETLATSQGNILENKDLIESLNQTKASSALIQDSLKESYKL QISLDQERDAYLPLAESASKMYFIISDLSKINNMYRFSLASFLRLFQRALQNKQDSENTE ERIQCLVNSLKHMVYEYICRCLFKADQLMFALHFVRGMHPELFQENEWDTFTGVVVGDML RKADSQQRIRDQLPSWIDQERSWAVATLKISLPSLYQTLCLEDGAFWRTYYHHSMCEQEF PSILAKKVSLFQQVLVVQALRPDRLQSAMALFACKALGLKELSPLPLNLKRLYKETLEIE PILIIISPGADPSQELQELASAERSSECYHQVAMGQGQADLAIQMLKECARNGDWLCLKN LHLVVSWLPVLEKELNTLQPKDSFRLWLTAEVHPNFTPILLQSSLKITYESPPGLKKNLM RTYESWTPEQISKRDNIHRAHALFSLAWFHAACQERRNYIPQGWTKFYEFSLSDLRAGYH VIDRLFDGTKDVQWEFVHGLLENSIYGGRVDNYFDLRVLQSYLKQFFNSSIIDVLNQRNK KSIFPYSISLPNSCSILDYRAVIEKLPEDDKPSFFGLPANIARSSQRMISSQVISQLRIL GRSVTAGCKFDREIWSNELSPVLNLWKKLNQNSNLIHQKVSPPNDRQGSPILSFIILEQF NAIRLVQSVHQSLAALSKVIRGTTLLSSEVQKLASALLNQKCPLTWQSRWEGPEDPLQYL RGLVARTLAIQNWVEKAEKQVLLADTLDLSELFHPDTFLNALRQETARATGCSVDSLKFV ASWKGRLQEAKLQIKISGLLLEGCSFDGNRLSENQHDSPSVSSVLPCYMGWTPQGSYGPY SPDECISLPVYTSAERERVVTNIDVPCGGNQDQWIQCGAALFLKNQ >ENSMUSP00000098149.2 pep:known chromosome:GRCm38:15:65922443:65976804:-1 gene:ENSMUSG00000072511.2 transcript:ENSMUST00000100584.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhla1 description:HERV-H LTR-associating 1 [Source:MGI Symbol;Acc:MGI:3615329] MQSFLLHCPPIRLCMGLACILFLWNAVSGLKGEGKKDKEMALPPATVSGNEGLKKEKNGS FLHAAESVFKEKKMVKGLEVLATTELPARSVDLSTLNLTELVNGMLNRALKDSKQFFSVL SITSYSSFAFHKVSVAIYNISNPKTVDPAKFPTRHCYCLSNRTNDLSDFTALLVDIVGNS TSYLTEIFKSTSILSVTQTNESDCVFICVMVGKSGRNLSDFWEMVEKSPVINYTFTSGVS SVLATTRGTARTSRLTTQSQQSLLRPYSVRWSTQWVSALKSLPWTKTSAPSEKELAESKQ MFPELPVGTIGTHSSAPSSSNMTRTPWGTNRYTQAPTVPMPMPLSVDDSTPEGPPWASLP STSASIEDAQQLRSTGNLLHPTGILTTPSRLAQPSRASGTLMPGTQTTNPTQAPAPRVPQ TDGIPAEWPFIPEKEPARDPAAHQVSKCPRPLLQEEAITDTPLPLAMKKLTPCLMELCRF FQQCLCAIQKRDFSSEAISNCYLPEKIRKLITLH >ENSMUSP00000006381.4 pep:known chromosome:GRCm38:4:141576062:141598224:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000006381.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLPPPPPPPSAYLPLPEEEPPVLP GKSLISDLEQLHLPPPPPPPPPQAPSKGSSVHPPPGHAIPSEEELPPPPEEPVTLPEREV STDVCGFCHKPVSPRELAVEAMKRQYHAQCFTCRTCRRQLAGQRFYQKDGRPLCEPCYQD TLEKCGKCGEVVQEHVIRALGKAFHPPCFTCVTCARCISDESFALDSQNQVYCVADFYRK FAPVCSICENPIIPRDGKDAFKIECMGRNFHENCYRCEDCSVLLSVEPTDQGCYPLNDHL FCKPCHLKRSAAGCC >ENSMUSP00000101410.1 pep:known chromosome:GRCm38:4:141576062:141599924:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000105784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLPPPPPPPSAYLPLPEEEPPVLP GKSLISDLEQLHLPPPPPPPPPQAPSKGSSVHPPPGHAIPSEEELPPPPEEPVTLPEREV STDVCGFCHKPVSPRELAVEAMKRQYHAQCFTCRTCRRQLAGQRFYQKDGRPLCEPCYQD TLEKCGKCGEVVQEHVIRALGKAFHPPCFTCVTCARCISDESFALDSQNQVYCVADFYRK FAPVCSICENPIIPRDGKDAFKIECMGRNFHENCYRCEDCSVLLSVEPTDQGCYPLNDHL FCKPCHLKRSAAGCC >ENSMUSP00000123669.1 pep:known chromosome:GRCm38:4:141589298:141599835:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000141518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLPPPPPPPSAYLPLPEEEPPVLP GKSLISDLEQLHLPPPPPPPPPQAPSKGSSVHPPPGHAIPSEEELPPPPEEPVTLPEREV ST >ENSMUSP00000115992.1 pep:known chromosome:GRCm38:4:141589320:141606037:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000130181.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLPPPPPPPSAYLPLPEEEPPVLP GKSLISDLEQLHLPPPPPPPPPQAPSKGSSVHPPPGHAIPSEEELPPPPEEPVTL >ENSMUSP00000123322.1 pep:known chromosome:GRCm38:4:141589320:141606096:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000153189.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLPPPPPPPSAYLPLPEEEPPVLP GKSLISDLEQLHLPPPPPPPPPQAPSKGSSVHPPPGHAIPSEEELPPPPEEPVTL >ENSMUSP00000120600.1 pep:known chromosome:GRCm38:4:141589343:141598517:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000147764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLPPPPPPPSAYLPLPEEEPPVLP GKSLISDLEQLHLPPPPPPPPPQAPSKGSSVHPPPGHAIPSEEELPP >ENSMUSP00000114313.1 pep:known chromosome:GRCm38:4:141589987:141600032:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000147785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLPPPPPPPSAYLPLPEEEPPVLP GKSLISDL >ENSMUSP00000120028.1 pep:known chromosome:GRCm38:4:141590066:141599888:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000127455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLPPPP >ENSMUSP00000118647.1 pep:known chromosome:GRCm38:4:141590067:141598224:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000129602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLPPPP >ENSMUSP00000116395.1 pep:known chromosome:GRCm38:4:141590077:141598206:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000131317.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLP >ENSMUSP00000121037.1 pep:known chromosome:GRCm38:4:141595252:141606025:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000123150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLS >ENSMUSP00000116596.1 pep:known chromosome:GRCm38:4:141595303:141606036:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000136831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVG >ENSMUSP00000116589.1 pep:known chromosome:GRCm38:4:141595370:141606027:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000133874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAA >ENSMUSP00000101411.2 pep:known chromosome:GRCm38:4:141576062:141606052:-1 gene:ENSMUSG00000006219.12 transcript:ENSMUST00000105785.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fblim1 description:filamin binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1921452] MASKPEKRVASSVFITLAPPRRDVAVSEEVGQAACEARRARPWEMLPTKTPGAAVGRSPK TWTPSGKTNASLSGVTPQLSNGGCSLPPPSLNEEDLDLPPPPPPPSAYLPLPEEEPPVLP GKSLISDLEQLHLPPPPPPPPPQAPSKGSSVHPPPGHAIPSEEELPPPPEEPVTLPEREV STDVCGFCHKPVSPRELAVEAMKRQYHAQCFTCRTCRRQLAGQRFYQKDGRPLCEPCYQD TLEKCGKCGEVVQEHVIRALGKAFHPPCFTCVTCARCISDESFALDSQNQVYCVADFYRK FAPVCSICENPIIPRDGKDAFKIECMGRNFHENCYRCEDCSVLLSVEPTDQGCYPLNDHL FCKPCHLKRSAAGCC >ENSMUSP00000031894.6 pep:known chromosome:GRCm38:6:42286685:42314656:1 gene:ENSMUSG00000029862.15 transcript:ENSMUST00000031894.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn1 description:chloride channel, voltage-sensitive 1 [Source:MGI Symbol;Acc:MGI:88417] MERSQSQRHGGEQSWWGSAPQYQYMPFEHCTSYGLPSENGGLQHRPRKDMGPRHNAHPTQ IYGHQKEQYSYKAQDGGMPKKMGSSSTMDSLDEDHYSKCQDCVHRLGRVLRRKLGEDWIF LVLLGLLMALVSWCMDYVSAKSLQAYKWTYAQMKPSLPLQYLAWVTFPLILILFSALFCQ LISPQAVGSGIPEMKTILRGVVLKEYLTLKAFVAKVVALTAGLGSGIPVGKEGPFVHIAS ICAAVLSKFMSMFSGVYEQPYYYTDILTVGCAVGVGCCFGTPLGGVLFSIEVTSTYFAVR NYWRGFFAATFSAFVFRVLAVWNKDAVTITALFRTNFRMDFPFDLKELPAFAVIGICCGF LGAVFVYLHRQVMLGVRKHKCLSQFLAKHRLLYPGIVTFVIASLTFPPGMGQFMAGELMP REAISTLFDNNTWVKHIGDPQSLGQSAVWLHPQVNVIIIILLFFVMKFWMSIVATTMPIP CGGFMPVFVLGAAFGRLVGEIMAMLFPEGILFDDIIYKILPGGYAVIGAAALTGAVSHTV STAVICFELTGQIAHILPMMVAVILANMVAQSLQPSLYDSIIQVKKLPYLPDLGWNQLSK FTIFVEDIMVRDVKFVSASCTYGELRNLLQATTVKTLPLVDSKDSMILLGSVERSELQSL LQRHLCAERRLKAAQDMARKLSELPYNGKAQLAGDWHPGGRPESFAFVDEDEDEDLSRKM ELPLTPAPPPPSPPPPPSQFPIAPSNPEEPNGPLPSHKQPPEASDSADQRSSTFQRLLHC LLGKAHSKKKKITQDSTDLVDNMSPEEIEAWEREQLSQPVCFDCCCIDQSPFQLVEQTTL HKTHTLFSLLGLHLAYVTSMGKLRGVLALEELQKAIEGHTKSGVQLRPPLASFRNTTSIR KTPGGPPPPAEGWNVPEDGDGAPGREVMVPTMPETPVPPPSPEAPSCLAPARAEGELEEL EMVGSLEPEEELADILHGPSLRSTDEEDEDELIL >ENSMUSP00000131354.1 pep:known chromosome:GRCm38:6:42286767:42314178:1 gene:ENSMUSG00000029862.15 transcript:ENSMUST00000164091.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcn1 description:chloride channel, voltage-sensitive 1 [Source:MGI Symbol;Acc:MGI:88417] MERSQSQRHGGEQSWWGSAPQYQYMPFEHCTSYGLPSENGGLQHRPRKDMGPRHNAHPTQ IYGHQKEQYSYKAQDGGMPKKMGSSSTMDSLDEDHYSKCQDCVHRLGRVLRRKLGEDWIF LVLLGLLMALVSWCMDYVSAKSLQAYKWTYAQMKPSLPLQYLAWVTFPLILILFSALFCQ LISPQAVGSGIPEMKTILRGVVLKEYLTLKAFVAKVVALTAGLGSGIPVGKEGPFVHIAS ICAAVLSKFMSMFSGVYE >ENSMUSP00000132154.1 pep:known chromosome:GRCm38:6:42286856:42314178:1 gene:ENSMUSG00000029862.15 transcript:ENSMUST00000170028.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcn1 description:chloride channel, voltage-sensitive 1 [Source:MGI Symbol;Acc:MGI:88417] XTSYGLPSENGGLQHRPRKDMGPRHNAHPTQIYGHQKEQYSYKAQDGGMPKKMGSSSTMD SLDEDHYSKCQDCVHRLGRVLRRKLGEDWIFLVLLGLLMALVSWCMDYVSAKSLQAYKWT YAQMKPSLPLQYLAWVTFPLILILFSALFCQLISPQAVGSGIPEMKTILRGVVLKEYLTL KAFVAKVVALTAGLGSGIPVGKEGPFVHIASICAAVLSKFMSMFSGVYEECYLASRSPLP TLLFGITGEDSLQPHSVPLCSVSWPFGTRMLSPSLLCSERISEWISPLT >ENSMUSP00000130148.1 pep:known chromosome:GRCm38:6:42286856:42314178:1 gene:ENSMUSG00000029862.15 transcript:ENSMUST00000163936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn1 description:chloride channel, voltage-sensitive 1 [Source:MGI Symbol;Acc:MGI:88417] XTSYGLPSENGGLQHRPRKDMGPRHNAHPTQIYGHQKEQYSYKAQDGGMPKKMGSSSTMD SLDEDHYSKCQDCVHRLGRVLRRKLGEDWIFLVLLGLLMALVSWCMDYVSAKSLQAYKWT YAQMKPSLPLQYLAWVTFPLILILFSALFCQLISPQAVGSGIPEMKTILRGVVLKEYLTL KAFVAKVVALTAGLGSGIPVGKEGPFVHIASICAAVLSKFMSMFSGVYEQPYYYTDILTV GCAVGVGCCFGTPLGVTITALFRTNFRMDFPFDLKELPAFAVIGICCGFLGAVFVYLHRQ VMLGVRKHKCLSQFLAKHRLLYPGIVTFVIASLTFPPGMGQFMAGELMPREAISTLFDNN TWVKHIGDPQSLGQSAVWLHPQVNVIIIILLFFVMKFWMSIVATTMPIPCGGFMPVFVLG AAFGRLVGEIMAMLFPEGILFDDIIYKILPGGYAVIGAAALTGAVSHTVSTAVICFELTG QIAHILPMMVAVILANMVAQSLQPSLYDSIIQVKKLPYLPDLGWNQLSKFTIFVEDIMVR DVKFVSASCTYGELRNLLQATTVKTLPLVDSKDSMILLGSVERSELQSLLQRHLCAERRL KAAQDMARKLSELPYNGKAQLAGDWHPGGRPESFAFVDEDEDEDLSRKMELPLTPAPPPP SPPPPPSQFPIAPSNPEEPNGPLPSHKQPPEASDSADQRSSTFQRLLHCLLGKAHSKKKK ITQDSTDLVDNMSPEEIEAWEREQLSQPVCFDCCCIDQSPFQLVEQTTLHKTHTLFSLLG LHLAYVTSMGKLRGVLALEELQKAIEGHTKSGVQLRPPLASFRNTTSIRKTPGGPPPPAE GWNVPEDGDGAPGREVMVPTMPETPVPPPSPEAPSCLAPARAEGELEELEMVGSLEPEEE LADILHGPSLRSTDEEDEDELIL >ENSMUSP00000130550.1 pep:known chromosome:GRCm38:6:42286856:42314178:1 gene:ENSMUSG00000029862.15 transcript:ENSMUST00000165780.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcn1 description:chloride channel, voltage-sensitive 1 [Source:MGI Symbol;Acc:MGI:88417] XTSYGLPSENGGLQHRPRKDMGPRHNAHPTQIYGHQKEQYSYKAQDGGMPKKMGSSSTMD SLDEDHYSKCQDCVHRLGRVLRRKLGEDWIFLVLLGLLMALVSWCMDYVSAKSLQAYKWT YAQMKPSLPLQYLAWVTFPLILILFSALFCQLISPQAVGSGIPEMKTILRGVVLKEYLTL KAFVAKVVALTAGLGSGIPVGKEGPFVHIASICAAVLSKFMSMFSGVYE >ENSMUSP00000130968.1 pep:known chromosome:GRCm38:6:42286856:42314229:1 gene:ENSMUSG00000029862.15 transcript:ENSMUST00000169024.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcn1 description:chloride channel, voltage-sensitive 1 [Source:MGI Symbol;Acc:MGI:88417] XTSYGLPSENGGLQHRPRKDMGPRHNAHPTQIYGHQKEQYSYKAQDGGMPKKMGSSSTMD SLDEDHYSKCQDCVHRLGRVLRRKLGEDWIFLVLLGLLMALVSWCMDYVSAKSLQAYKWT YAQMKPSLPLQYLAWVTFPLILILFSALFCQLISPQAVGSGIPEMKTILRGVVLKEYLTL KAFVAKVVALTAGLGSGIPVGKEGPFVHIASICAAVLSKFMSMFSGVYETVPGQLDLLVP ACAVGVGCYFAAPDGVAAILLH >ENSMUSP00000126045.1 pep:known chromosome:GRCm38:6:42286866:42313957:1 gene:ENSMUSG00000029862.15 transcript:ENSMUST00000168660.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcn1 description:chloride channel, voltage-sensitive 1 [Source:MGI Symbol;Acc:MGI:88417] GLPSENGGLQHRPRKDMGPRHNAHPTQIYGHQKEQYSYKAQDGGMPKKMGSSSTMDSLDE DHYSKCQDCVHRLGRVLRRKLGEDWIFLVLLGLLMALVSWCMDYVSAKSLQAYKWTYAQM KPSLPLQYLAWVTFPLILILFSALFCQLISPQAVGSGIPEMKTILRGVVLKEYLTLKAFV AKVVALTAGLGSGIPVGKEGPFVHIASICAAVLSKFMSMFSGVYETVPGQLDLLVPACAV GVGCYFAAPDGAAILLH >ENSMUSP00000132387.1 pep:known chromosome:GRCm38:6:42310145:42313064:1 gene:ENSMUSG00000029862.15 transcript:ENSMUST00000163235.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcn1 description:chloride channel, voltage-sensitive 1 [Source:MGI Symbol;Acc:MGI:88417] XDEDLSRKMELPLTPAPPPPSPPPPPSQFPIAPSNPEEPNGPLPSHKQPPEASDSADQRS STFQRLLHCLLGKAHSKKKKITQDSTDLVDNMSPEEMKLLANIL >ENSMUSP00000001455.6 pep:known chromosome:GRCm38:3:88142372:88172086:1 gene:ENSMUSG00000001419.17 transcript:ENSMUST00000001455.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2d description:myocyte enhancer factor 2D [Source:MGI Symbol;Acc:MGI:99533] MGRKKIQIQRITDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNHSNKLFQYAST DMDKVLLKYTEYNEPHESRTNADIIETLRKKGFNGCDSPEPDGEDSLEQSPLLEDKYRRA SEELDGLFRRYGSSVPAPNFAMPVTVPVSNQSSMQFSNPSSSLVTPSLVTSSLTDPRLLS PQQPALQRNSVSPGLPQRPASAGAMLGGDLNSANGACPSPVGNGYVSARASPGLLPVANG NSLNKVIPAKSPPPPTHNTQLGAPSRKPDLRVITSQGGKGLMHHLNNAQRLGVSQSTHSL TTPVVSVATPSLLSQGLPFSSMPTAYNTDYQLPSAELSSLPAFSSPAGLALGNVTAWQQP QPPQQPQPPQPPQSQPQPPQPQPQQPPQQQPHLVPVSLSNLIPGSPLPHVGAALTVTTHP HISIKSEPVSPSRERSPAPPPPAVFPAARPEPGEGLSSPAGGSYETGDRDDGRGDFGPTL GLLRPAPEPEAEGSAVKRMRLDTWTLK >ENSMUSP00000113638.1 pep:known chromosome:GRCm38:3:88142416:88169930:1 gene:ENSMUSG00000001419.17 transcript:ENSMUST00000119251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2d description:myocyte enhancer factor 2D [Source:MGI Symbol;Acc:MGI:99533] MGRKKIQIQRITDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNHSNKLFQYAST DMDKVLLKYTEYNEPHESRTNADIIETLRKKGFNGCDSPEPDGEDSLEQSPLLEDKYRRA SEELDGLFRRYGSSVPAPNFAMPVTVPVSNQSSMQFSNPSSSLVTPSLVTSSLTDPRLLS PQQPALQRNSVSPGLPQRPASAGAMLGGDLNSANGACPSPVGNGYVSARASPGLLPVANG NSLNKVIPAKSPPPPTHNTQLGAPSRKPDLRVITSQGGKGLMHHLNNAQRLGVSQSTHSL TTPVVSVATPSLLSQGLPFSSMPTAYNTDYQLPSAELSSLPAFSSPAGLALGNVTAWQQP QPPQQPQPPQPPQSQPQPPQPQPQQPPQQQPHLVPVSLSNLIPGSPLPHVGAALTVTTHP HISIKSEPVSPSRERSPAPPPPAVFPAARPEPGEGLSSPAGGSYETGDRDDGRGDFGPTL GLLRPAPEPEAEGSAVKRMRLDTWVL >ENSMUSP00000103183.2 pep:known chromosome:GRCm38:3:88142572:88168655:1 gene:ENSMUSG00000001419.17 transcript:ENSMUST00000107558.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2d description:myocyte enhancer factor 2D [Source:MGI Symbol;Acc:MGI:99533] MGRKKIQIQRITDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNHSNKLFQYAST DMDKVLLKYTEYNEPHESRTNADIIEALHKKHRECESPEVDEAFALTPQTEEKYKKIDEE FDKMMQSYRLASSVPAPNFAMPVTVPVSNQSSMQFSNPSSSLVTPSLVTSSLTDPRLLSP QQPALQRNSVSPGLPQRPASAGAMLGGDLNSANGACPSPVGNGYVSARASPGLLPVANGN SLNKVIPAKSPPPPTHNTQLGAPSRKPDLRVITSQGGKGLMHHLNNAQRLGVSQSTHSLT TPVVSVATPSLLSQGLPFSSMPTAYNTDYQLPSAELSSLPAFSSPAGLALGNVTAWQQPQ PPQQPQPPQPPQSQPQPPQPQPQQPPQQQPHLVPVSLSNLIPGSPLPHVGAALTVTTHPH ISIKSEPVSPSRERSPAPPPPAVFPAARPEPGEGLSSPAGGSYETGDRDDGRGDFGPTLG LLRPAPEPEAEGSAVKRMRLDTWTLK >ENSMUSP00000103184.2 pep:known chromosome:GRCm38:3:88142572:88168655:1 gene:ENSMUSG00000001419.17 transcript:ENSMUST00000107559.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2d description:myocyte enhancer factor 2D [Source:MGI Symbol;Acc:MGI:99533] MGRKKIQIQRITDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNHSNKLFQYAST DMDKVLLKYTEYNEPHESRTNADIIETLRKKGFNGCDSPEPDGEDSLEQSPLLEDKYRRA SEELDGLFRRYGSSVPAPNFAMPVTVPVSNQSSMQFSNPSSSLVTPSLVTSSLTDPRLLS PQQPALQRNSVSPGLPQRPASAGAMLGGDLNSANGACPSPVGNGYVSARASPGLLPVANG NSLNKVIPAKSPPPPTHNTQLGAPSRKPDLRVITSQGGKGLMHHLTEDHLDLNNAQRLGV SQSTHSLTTPVVSVATPSLLSQGLPFSSMPTAYNTDYQLPSAELSSLPAFSSPAGLALGN VTAWQQPQPPQQPQPPQPPQSQPQPPQPQPQQPPQQQPHLVPVSLSNLIPGSPLPHVGAA LTVTTHPHISIKSEPVSPSRERSPAPPPPAVFPAARPEPGEGLSSPAGGSYETGDRDDGR GDFGPTLGLLRPAPEPEAEGSAVKRMRLDTWTLK >ENSMUSP00000046021.8 pep:known chromosome:GRCm38:9:103482947:103692807:-1 gene:ENSMUSG00000042757.15 transcript:ENSMUST00000049452.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem108 description:transmembrane protein 108 [Source:MGI Symbol;Acc:MGI:1932411] MKRSLQALYCQLLSFLLTLALTKALVLAVHEPSPRESLQTLPSGSPPGTMVTAPHSPTRL SSVLTLNPTPDGPSSQAAATLETTVSHPEGHPPTDTTSTVMGTAAVPHPESPLPTGSPPA AMTTTPSHSESLPPGDATPTTTLPTKPAGTTSRPTVAPRATTRRPPRPPGSSRKGAGGST RTLTPVPGGHLARKESQRGRNQSSAHLGPKRPLGKIFQIYKGNFTGSAEPDPSALTPRTP LGGYFSSTQPQTVSPATAPRSTSRVPPTTSLVPVKDKPGFIRSNQGSGPILTSPGGEPAA TAATGAPASTQPAPVPSQSPHGDVQDSASHSDSWLAVTPDTDRPTSASSGVFTAATGPTQ AAFDATVSAPSPGIPQGPSATPQAPTRPSGVSESTVSPAEEEAEASPTTTDRGPRPLSTV LSTATGNFLNRLVPAGTWKPGTVANISHVAEGDKPQHRATICLSKMDIAWVIVAISVPIS SCSVLLTVCCMRRKKKTANPENNLSYWNNAITMDYFNRHAVELPREIQSLETSEDQLSEP RSPANGDYRDTGMVLVNPFCQETLFVGNDQVSEI >ENSMUSP00000140027.1 pep:known chromosome:GRCm38:9:103483778:103761837:-1 gene:ENSMUSG00000042757.15 transcript:ENSMUST00000189588.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem108 description:transmembrane protein 108 [Source:MGI Symbol;Acc:MGI:1932411] MKRSLQALYCQLLSFLLTLALTKALVLAVHEPSPRESLQTLPSGSPPGTMVTAPHSPTRL SSVLTLNPTPDGPSSQAAATLETTVSHPEGHPPTDTTSTVMGTAAVPHPESPLPTGSPPA AMTTTPSHSESLPPGDATPTTTLPTKPAGTTSRPTVAPRATTRRPPRPPGSSRKGAGGST RTLTPVPGGHLARKESQRGRNQSSAHLGPKRPLGKIFQIYKGNFTGSAEPDPSALTPRTP LGGYFSSTQPQTVSPATAPRSTSRVPPTTSLVPVKDKPGFIRSNQGSGPILTSPGGEPAA TAATGAPASTQPAPVPSQSPHGDVQDSASHSDSWLAVTPDTDRPTSASSGVFTAATGPTQ AAFDATVSAPSPGIPQGPSATPQAPTRPSGVSESTVSPAEEEAEASPTTTDRGPRPLSTV LSTATGNFLNRLVPAGTWKPGTVANISHVAEGDKPQHRATICLSKMDIAWVIVAISVPIS SCSVLLTVCCMRRKKKTANPENNLSYWNNAITMDYFNRHAVELPREIQSLETSEDQLSEP RSPANGDYRDTGMVLVNPFCQETLFVGNDQVSEI >ENSMUSP00000141160.1 pep:known chromosome:GRCm38:9:103484436:103761794:-1 gene:ENSMUSG00000042757.15 transcript:ENSMUST00000189066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem108 description:transmembrane protein 108 [Source:MGI Symbol;Acc:MGI:1932411] MKRSLQALYCQLLSFLLTLALTKALVLAVHEPSPRESLQTLPSGSPPGTMVTAPHSPTRL SSVLTLNPTPDGPSSQAAATLETTVSHPEGHPPTDTTSTVMGTAAVPHPESPLPTGSPPA AMTTTPSHSESLPPGDATPTTTLPTKPAGTTSRPTVAPRATTRRPPRPPGSSRKGAGGST RTLTPVPGGHLARKESQRGRNQSSAHLGPKRPLGKIFQIYKGNFTGSAEPDPSALTPRTP LGGYFSSTQPQTVSPATAPRSTSRVPPTTSLVPVKDKPGFIRSNQGSGPILTSPGGEPAA TAATGAPASTQPAPVPSQSPHGDVQDSASHSDSWLAVTPDTDRPTSASSGVFTAATGPTQ AAFDATVSAPSPGIPQGPSATPQAPTRPSGVSESTVSPAEEEAEASPTTTDRGPRPLSTV LSTATGNFLNRLVPAGTWKPGTVANISHVAEGDKPQHRATICLSKMDIAWVIVAISVPIS SCSVLLTVCCMRRKKKTANPENNLSYWNNAITMDYFNRHAVELPREIQSLETSEDQLSEP RSPANGDYRDTGMVLVNPFCQETLFVGNDQVSEI >ENSMUSP00000080215.6 pep:known chromosome:GRCm38:5:115235836:115240991:1 gene:ENSMUSG00000060152.14 transcript:ENSMUST00000081497.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pop5 description:processing of precursor 5, ribonuclease P/MRP family (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2151221] MVRFKHRYLLCELVSEDARCRLSLDDRVLGGLVRDTIARVHGAFGAAACSVGFAVRYLNA YTGVVLLRCRKDFYQLVWSALPFITYLENKGHRYPCFFNTLHVGGTIRTCQKFLIQYNRR QLLILLQNCTDEGEREAIKKSVSRSCLLDREPVEELSDSAGEEVAEAME >ENSMUSP00000118408.1 pep:known chromosome:GRCm38:5:115238032:115245351:1 gene:ENSMUSG00000060152.14 transcript:ENSMUST00000135455.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pop5 description:processing of precursor 5, ribonuclease P/MRP family (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2151221] MVRFKHRYLLCELVSEDARCRLSLDDRVLGGLVRDTIARVHGAFGAAACSVGFAVRYLNA YTGVVLLRCRKDFYQLVWSALPFITYLENKGHRYPCFFNTLHVGGTIRTCQKFLIQYNRR QLLILLQNCTDEGEREAIKKSVSRSCLLDREPVEELSDSAGEEVAEAME >ENSMUSP00000125106.1 pep:known chromosome:GRCm38:17:25946387:25952565:-1 gene:ENSMUSG00000025727.8 transcript:ENSMUST00000162431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930017K11Rik description:RIKEN cDNA A930017K11 gene [Source:MGI Symbol;Acc:MGI:2442713] MSREAGSCRVGTGTRARSRKPKKPHYIPRPWGKPYNYKCFQCPFTCLEKSHLYNHMKYSL CKDSLSLLLDSPDWACRRAPPSPRPRVPTPNCSTDFSDPSSKPTEPDLIVTDSFSQRRSG RGPKPGPEESPGSLPPLARPIRKGPGTSGLLAESWKPGLSGGMLSGTLGTIASTPGSESS VPCYPPPAQGEFPEAQSLHLSLLGVNYPLGPGLFSYLGPSLAAAAAHMPFLASASPLLPP TAFPAPQTPERPGLAPRLYYPLLLEHSLGQSSGRAAPAKSSVPLKGPPGALSAPGLLKVP VPGPGGPWPHGTSRDPGHEGELGRVTQSNPQKRLPTGNMPELPKAPLNLAKFGCQSSLPT GSSLLLWPEDKEPGDPEASGPEFHLLQHPQGQGLGSTVPVGEDLTQAFGDYARVEQCLGQ LAPAGGLAPRPLREQLGKIRRELFTIHQALARAARPLDTPLDLSVKRVPTKGTEAPSESW RLHDPGPVLARGTSEPSSILGPVLESFSSRTTKCEADSSVPPPVLPLQAPEDPVIPGSGW GNRLGAGSSQTPKDTPSLQILPSADFCSQPP >ENSMUSP00000093141.5 pep:known chromosome:GRCm38:17:25877630:25880305:-1 gene:ENSMUSG00000071192.5 transcript:ENSMUST00000095487.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfikkn1 description:WAP, FS, Ig, KU, and NTR-containing protein 1 [Source:MGI Symbol;Acc:MGI:2670967] MPAPQPFLPLLFVFVLIHLTSETNLLPDPGSHPGMCPNELSPHLWVDAQSTCERECTGDQ DCAASEKCCTNVCGLQSCVAARFPSGGPAVPETAASCEGFQCPQQGSDCDIWDGQPVCRC RDRCEKEPSFTCASDGLTYYNRCYMDAEACLRGLHLHVVPCKHILSWPPSSPGPPETTAR PTPGAAPMPPALYNSPSPQAVHVGGTASLHCDVSGRPPPAVTWEKQSHQRENLIMRPDQM YGNVVVTSIGQLVLYNAQLEDAGLYTCTARNAAGLLRADFPLSVLQRATTQDRDPGIPAL AECQADTQACVGPPTPHHVLWRFDPQRGSCMTFPALRCDGAARGFETYEACQQACVRGPG DVCALPAVQGPCQGWEPRWAYSPLLQQCHPFVYSGCEGNSNNFETRESCEDACPVPRTPP CRACRLKSKLALSLCRSDFAIVGRLTEVLEEPEAAGGIARVALDDVLKDDKMGLKFLGTK YLEVTLSGMDWACPCPNVTAVDGPLVIMGEVREGVAVLDANSYVRAASEKRVKKIVELLE KKACELLNRFQD >ENSMUSP00000135083.1 pep:known chromosome:GRCm38:17:25878804:25880236:-1 gene:ENSMUSG00000071192.5 transcript:ENSMUST00000176696.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfikkn1 description:WAP, FS, Ig, KU, and NTR-containing protein 1 [Source:MGI Symbol;Acc:MGI:2670967] MCPNELSPHLWVDAQSTCERECTGDQDCAASEKCCTNVCGLQSCVAARFPSGGPAVPETA ASCEGFQCPQQGSDCDIWDGQPVCRCRDRCEKEPSFTCASDGLTYYNRCYMDAEACLRGL HLHVVPCKHILSWPPSSPGPPETTARPT >ENSMUSP00000066185.8 pep:known chromosome:GRCm38:X:163909017:163933666:1 gene:ENSMUSG00000031367.15 transcript:ENSMUST00000069041.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1s2 description:adaptor-related protein complex 1, sigma 2 subunit [Source:MGI Symbol;Acc:MGI:1889383] MQFMLLFSRQGKLRLQKWYVPLSDKEKKKITRELVQTVLARKPKMCSFLEWRDLKIVYKR YASLYFCCAIEDQDNELITLEIIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLLGG EVQETSKKNVLKAIEQADLLQEDAKEAETPRSVLEEIGLT >ENSMUSP00000033734.7 pep:known chromosome:GRCm38:X:163909142:163933359:1 gene:ENSMUSG00000031367.15 transcript:ENSMUST00000033734.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1s2 description:adaptor-related protein complex 1, sigma 2 subunit [Source:MGI Symbol;Acc:MGI:1889383] MQFMLLFSRQGKLRLQKWYVPLSDKEKKKITRELVQTVLARKPKMCSFLEWRDLKIVYKR YASLYFCCAIEDQDNELITLEIIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLLGG EVQETSKKNVLKAIEQADLLQEEAETPRSVLEEIGLT >ENSMUSP00000107913.2 pep:known chromosome:GRCm38:X:163909145:163929546:1 gene:ENSMUSG00000031367.15 transcript:ENSMUST00000112294.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1s2 description:adaptor-related protein complex 1, sigma 2 subunit [Source:MGI Symbol;Acc:MGI:1889383] MQFMLLFSRQGKLRLQKWYVPLSDKEKKKITRELVQTVLARKPKMCSFLEWRDLKIVYKR YASLYFCCAIEDQDNELITLEIIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLLGG EVQETSKKNVLKAIEQADLLQEKTETMYHSKSFIGYKKAY >ENSMUSP00000114902.1 pep:known chromosome:GRCm38:X:163911401:163933356:1 gene:ENSMUSG00000031367.15 transcript:ENSMUST00000140845.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1s2 description:adaptor-related protein complex 1, sigma 2 subunit [Source:MGI Symbol;Acc:MGI:1889383] KEKKKITRELVQTVLARKPKMCSFLEWRDLKIVYKRYASLYFCCAIEDQDNELITLEIIH RYVELLDKYFGSVCELDIIFNFEKAYFILDEFLLGGEVQETSKKNVLKAIEQADLLQESQ NEEWGGLSEDIL >ENSMUSP00000032409.8 pep:known chromosome:GRCm38:6:113334124:113343984:-1 gene:ENSMUSG00000030272.15 transcript:ENSMUST00000032409.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk1 description:calcium/calmodulin-dependent protein kinase I [Source:MGI Symbol;Acc:MGI:1098535] MPGAVEGPRWKQAEDIRDIYDFRDVLGTGAFSEVILAEDKRTQKLVAIKCIAKKALEGKE GSMENEIAVLHKIKHPNIVALDDIYESGGHLYLIMQLVSGGELFDRIVEKGFYTERDASR LIFQVLDAVKYLHDLGIVHRDLKPENLLYYSLDEDSKIMISDFGLSKMEDPGSVLSTACG TPGYVAPEVLAQKPYSKAVDCWSIGVIAYILLCGYPPFYDENDAKLFEQILKAEYEFDSP YWDDISDSAKDFIRHLMEKDPEKRFTCEQALQHPWIAGDTALDKNIHQSVSEQIKKNFAK SKWKQAFNATAVVRHMRKLQLGTSQEGQGQTGSHGELLTPTAGGPAAGCCCRDCCVEPGS ELPPAPPPSSRAMD >ENSMUSP00000117749.1 pep:known chromosome:GRCm38:6:113338123:113343978:-1 gene:ENSMUSG00000030272.15 transcript:ENSMUST00000155543.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk1 description:calcium/calmodulin-dependent protein kinase I [Source:MGI Symbol;Acc:MGI:1098535] MPGAVEGPRWKQAEDIRDIYDFRDVLGTIKHPNIVALDDIYESGGHLYLIMQLVSGGELF DRIVEKGFYTERDASRLIFQVLDAVKYLHDLGIVHRDLKPENLLYYSLDEDSKIMISDFG LSKMEDPGSVLSTACGTPGYVAPEVLAQKPYSKAVDCWSIGV >ENSMUSP00000113688.1 pep:known chromosome:GRCm38:13:21981194:21988734:1 gene:ENSMUSG00000016982.6 transcript:ENSMUST00000117882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pom121l2 description:POM121 membrane glycoprotein-like 2 (rat) [Source:MGI Symbol;Acc:MGI:2684870] MGSYLGKAGSSPRSPAQGRADSGEKPASRRPARPLYQVHRVQHVHRAQPARRHRPARRPP NWDPANPTAFVSEAWRRFPMKRPQNPIMGSLPSDWWESYLKRNIWSLRHPRATWSPVTVK ISPPERRPRPLFPVSRVVNSAGPSEEPPGPCGKDPVLRALLQCRKGRARWEEPLFPDTSD SQRHSASVWSAFKPLLKSGATVSFVARPGSLKRRPHVQSSDDKCKRSGCFSGALVASIHT QGPPSTKRNAITSSYSSSRELSGSWKRHFLRTLVQTPEWPLKKAGESPNSHLSVTPSSSG QLNGEIPLLQSGPRDLLTIPCQQGPVVTEEDPTLEGQAVPSNQTTEATTGTAGDSIPEMR AGIQPTLSLSRSSSERVLSTHVNPQLESLKNMPAPLGCSQLEHIQGISSDSNPSIASTQA SPSSPTTPVTDSTWLSSIPRAHREKCLSGRWSWTSEAKKDVLSGDKSLP >ENSMUSP00000017126.4 pep:known chromosome:GRCm38:13:21981520:21984479:1 gene:ENSMUSG00000016982.6 transcript:ENSMUST00000017126.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pom121l2 description:POM121 membrane glycoprotein-like 2 (rat) [Source:MGI Symbol;Acc:MGI:2684870] MGSYLGKAGSSPRSPAQGRADSGEKPASRRPARPLYQVHRVQHVHRAQPARRHRPARRPP NWDPANPTAFVSEAWRRFPMKRPQNPIMGSLPSDWWESYLKRNIWSLRHPRATWSPVTVK ISPPERRPRPLFPVSRVVNSAGPSEEPPGPCGKDPVLRALLQCRKGRARWEEPLFPDTSD SQRHSASVWSAFKPLLKSGATVSFVARPGSLKRRPHVQSSDDKCKRSGCFSGALVASIHT QGPPSTKRNAITSSYSSSRELSGSWKRHFLRTLVQTPEWPLKKAGESPNSHLSVTPSSSG QLNGEIPLLQSGPRDLLTIPCQQGPVVTEEDPTLEGQAVPSNQTTEATTGTAGDSIPEMR AGIQPTLSLSRSSSERVLSTHVNPQLESLKNMPAPLGCSQLEHIQGISSDSNPSIASTQA SPSSPTTPVTDSTWLSSIPRAHRSAVPPHSSVINPTAPTTQSTLVGVVSNPILHLPASVL PVETSSHLALRPIWGPVHSTEVRTASYSRISVMAEASSSSSPSTTPGILRPTFKPIFGSI EPLQTMSETAPVHTRQPSSSLSSDSTHLFHSLATTAPCVVTSVIPASKSKDPGVDLNIVT STTGNSCSVPSCSTFLLGASQNFPSATDLIFPSQHPTIPTVHTVTIFSQVLTSAIKISPL RSTDTLKGVSNPLATLGLSSTNQPPVTSSNSNVTSALTSSLGSSPKPLLPPSQRNTSQSS PGAIDGLKQSSLQLAPAQSFSTSFLSENSGVSPTPSAQLVLSKTTQPACGQLTSSAPIIH TPATSQTGFGSTLAGFPFGKASSTALRVIRQNHQSVTYSSVFGSTAPRPFAFGGLVMPMD CGEPEVIVAAPKRSTSGTRQSMTPSTLAPFVQCWNQSMQGPPNQITPLAGIPARKIMSGA PSLVPFAQSIPVPGAIKAGSSLGFGMSSPTVQGSVGRNPLRSLEPSFCIGTKSKTLRNRE PGRSRKHHTYKK >ENSMUSP00000071078.7 pep:known chromosome:GRCm38:8:70302518:70312990:1 gene:ENSMUSG00000055681.14 transcript:ENSMUST00000066469.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cope description:coatomer protein complex, subunit epsilon [Source:MGI Symbol;Acc:MGI:1891702] MAPPVPGAVSGGSGEVDELFDVKNAFYIGSYQQCINEAQRVKLSSPEREVERDVFLYRAY LAQRKYGVVLDEIKPSSAPELQAVRMFAEYLASENQRDSIVLELDREMSRSVDVTNTTFL LMAASIYFHDQNPDAALRTLHQGDGLECMAMTIQILLKLDRLDLARKELKKMQDQDEDAT LTQLATAWVNLAVGGEKLQEAYYIFQELADKCSPTLLLLNGQAACHSAQGRWETAEGVLQ EALDKDSGHPETLINLIVLSQHLGKPPEVTNRYLSQLKDAHRAHPFIKEYQAKENDFDRL AMQYAPSA >ENSMUSP00000119055.1 pep:known chromosome:GRCm38:8:70302773:70310491:1 gene:ENSMUSG00000055681.14 transcript:ENSMUST00000150968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cope description:coatomer protein complex, subunit epsilon [Source:MGI Symbol;Acc:MGI:1891702] MAPPVPGAVSGGSGEVDELFDVKNAFYIGSYQQCINEAQRVKLSSPEREVERDVFLYRAY LAQRKYGVVLDEIKPSSAPELQAVRMFAEYLASENQRDSIVLELDREMSRSVDVTNTTFL LMAASIYFHDQNPDAALRTLHQGDGLEWKELKKMQDQDEDATLTQLATAWVNLAVGGEKL QEAYYIFQELADKCSPTLLLLNGQAACHSAQGRWETAEGVLQEALDK >ENSMUSP00000130416.1 pep:known chromosome:GRCm38:8:70302796:70312772:1 gene:ENSMUSG00000055681.14 transcript:ENSMUST00000168018.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cope description:coatomer protein complex, subunit epsilon [Source:MGI Symbol;Acc:MGI:1891702] MAPPVPGAVSGGSGEVDELFDVKNAFYIGSYQQCINEAQRVKLSSPEREVERDVFLYRAY LAQGQHRAGAGSGDEQECGCDQYHFPAHGCLHLLPRPEPGCSPANPAPGRRP >ENSMUSP00000122888.1 pep:known chromosome:GRCm38:8:70305698:70312990:1 gene:ENSMUSG00000055681.14 transcript:ENSMUST00000128003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cope description:coatomer protein complex, subunit epsilon [Source:MGI Symbol;Acc:MGI:1891702] RMFAEYLASENQRDSIVLELDREMSRSVDVTNTTFLLMAASIYFHDQNPDAALRTLHQGD GLECMAMTIQILLKLDRLDLARKELKKMQDQDEDATLTQLATAWVNLAVGGEKLQEAYYI FQELADKCSPTLLLLNGQAACHSAQGRWETAEGVLQEALDKDSGHPETLINLIVLSQHLG KPPEVTNRYLSQLKDAHRAHPFIKEYQAKVSRAVVPMDGREEAVLSHAMSLLPL >ENSMUSP00000132976.1 pep:known chromosome:GRCm38:8:70308507:70312990:1 gene:ENSMUSG00000055681.14 transcript:ENSMUST00000167850.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cope description:coatomer protein complex, subunit epsilon [Source:MGI Symbol;Acc:MGI:1891702] XKMQDQDEDATLTQLATAWVNLAVGGEKLQEAYYIFQELADKCSPTLLLLNGQAACHSAQ GRWETAEGVLQEALDKVTNRYLSQLKDAHRAHPFIKEYQAKENDFDRLAMQYAPSA >ENSMUSP00000106086.2 pep:known chromosome:GRCm38:17:25875464:25877160:1 gene:ENSMUSG00000025731.15 transcript:ENSMUST00000110456.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:0610011F06Rik description:RIKEN cDNA 0610011F06 gene [Source:MGI Symbol;Acc:MGI:1915597] MMMAAAAERNKEPILSVLRQYVDPAQRCVRVLEVASGSGQHAAHFAQAFPNAEWQPSDVD QRCLDRGSLEQQDTCSKPRLC >ENSMUSP00000026827.8 pep:known chromosome:GRCm38:17:25875500:25877169:1 gene:ENSMUSG00000025731.15 transcript:ENSMUST00000026827.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610011F06Rik description:RIKEN cDNA 0610011F06 gene [Source:MGI Symbol;Acc:MGI:1915597] MMMAAAAERNKEPILSVLRQYVDPAQRCVRVLEVASGSGQHAAHFAQAFPNAEWQPSDVD QRCLDSIAATTRAQGLSNVKAPLYLDVTWEWEQWGGIPPRSLDLLLCINMIHISPLNCTE GLFRAAGHLLKTKAVLITYGPYAVNGKISPQSNVDFDLTLRCRNPEWGLRDTVLLEELGQ ASGLVLERMVDMPANNKCLIFRKE >ENSMUSP00000126198.1 pep:known chromosome:GRCm38:17:25875501:25877169:1 gene:ENSMUSG00000025731.15 transcript:ENSMUST00000169308.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610011F06Rik description:RIKEN cDNA 0610011F06 gene [Source:MGI Symbol;Acc:MGI:1915597] MMMAAAAERNKEPILSVLRQYVDPAQRCVRVLEVASGSGQHAAHFAQAFPNAEWQPSDVD QRCLDSIAATTRAQGLSNVKAPLYLDVTWEWEQWGGIPPRSLDLLLCINMIHISPLNCTE GLFRAAGHLLKTKAVLITYGPYAVNGKISPQSNVDFDLTLRCRNPEWGLRDTVLLEELGQ ASGLVLERMVGRAMWGWGASL >ENSMUSP00000125990.1 pep:known chromosome:GRCm38:17:25875530:25876997:1 gene:ENSMUSG00000025731.15 transcript:ENSMUST00000169085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610011F06Rik description:RIKEN cDNA 0610011F06 gene [Source:MGI Symbol;Acc:MGI:1915597] MMMAAAAERNKEPILSVLRQYVDPAQRCVRVLEVASGSGQHAAHFAQAFPNAEWQPSDVD QRCLDRNPEWGLRDTVLLEELGQASGLVLERMVDMPANNKCLIFRKE >ENSMUSP00000130209.1 pep:known chromosome:GRCm38:17:25875530:25886007:1 gene:ENSMUSG00000025731.15 transcript:ENSMUST00000163356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610011F06Rik description:RIKEN cDNA 0610011F06 gene [Source:MGI Symbol;Acc:MGI:1915597] MMMAAAAERNKEPILSVLRQYVDPAQRCVRVLEVASGSGQHAAHFAQAFPNAEWQPSDVD QRCLDSIAATTRAQGLSNVKAPLYLDVTWEWEQWGGIPPRSLDLLLCINMIHISPLNCTE GLFRAAGHLLKTKAVLITYGPYAVNGKISPQSNVDFDLTLRCRFSDMEDREGGIEGG >ENSMUSP00000117250.1 pep:known chromosome:GRCm38:8:37522298:38661508:-1 gene:ENSMUSG00000039539.13 transcript:ENSMUST00000135764.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgcz description:sarcoglycan zeta [Source:MGI Symbol;Acc:MGI:2388820] MDRSTDLDIQELKMTREQYILATQQNNLPRPENAQLYPVGIYGWRKRCLYFFVLLLLVTM IVNLAMTIWILKVMNFTVDGMGNLRVTKKGIRLEGISEFLLPLYVKEIHSRKDSPLVLQS DRNVTVNARNHMGQLTGQLTVGAEAVEAQCKRFEVRASEDGRVLFSADEDEITIGAEKLK VTGRTAAQMILLPLSCRKALVAWKINQSQ >ENSMUSP00000113912.1 pep:known chromosome:GRCm38:8:37522554:38661508:-1 gene:ENSMUSG00000039539.13 transcript:ENSMUST00000118896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgcz description:sarcoglycan zeta [Source:MGI Symbol;Acc:MGI:2388820] MDRSTDLDIQELKMTREQYILATQQNNLPRPENAQLYPVGIYGWRKRCLYFFVLLLLVTM IVNLAMTIWILKVMNFTVDGMGNLRVTKKGIRLEGISEFLLPLYVKEIHSRKDSPLVLQS DRNVTVNARNHMGQLTGQLTVGAEAVEAQCKRFEVRASEDGRVLFSADEDEITIGAEKLK VTGTEGAVFGHSVETPHIRAEPSQDLRLESPTRSLKMEAPRGVQVSAAAGDFKATCRKEL HLQSTEGEIFLNADSIRLGNLPIGSFSSSTSSSNSRQTVYELCVCPNGKLYLSPAGVGST CQSSSSICLWN >ENSMUSP00000025045.8 pep:known chromosome:GRCm38:17:27122663:27133916:-1 gene:ENSMUSG00000024208.15 transcript:ENSMUST00000025045.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc2 description:ubiquinol-cytochrome c reductase complex assembly factor 2 [Source:MGI Symbol;Acc:MGI:1914517] MAALRYRRFLKLCEEWPVDETKRGRDLGAYLRQRVAQAFREGENTQIAEPEACDQMYESL ARLHSNYYKHKYPRPRDTSFSGLSVEEYKLILSTDTLEEFQEMNKSMWKKLQEKFAPTRP EEKHKAWTRVLSRPRT >ENSMUSP00000113747.1 pep:known chromosome:GRCm38:17:27122670:27133629:-1 gene:ENSMUSG00000024208.15 transcript:ENSMUST00000118613.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc2 description:ubiquinol-cytochrome c reductase complex assembly factor 2 [Source:MGI Symbol;Acc:MGI:1914517] MPLKSQIIAEPEACDQMYESLARLHSNYYKHKYPRPRDTSFSGLSVEEYKLILSTDTLEE FQEMNKSMWKKLQEKFAPTRPEEKHKAWTRVLSRPRT >ENSMUSP00000113777.1 pep:known chromosome:GRCm38:17:27122670:27133902:-1 gene:ENSMUSG00000024208.15 transcript:ENSMUST00000119227.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc2 description:ubiquinol-cytochrome c reductase complex assembly factor 2 [Source:MGI Symbol;Acc:MGI:1914517] MAALRYRRFLKLCEEWPVDETKRGRDLGAYLRQRVAQAFREGENTQMYESLARLHSNYYK HKYPRPRDTSFSGLSVEEYKLILSTDTLEEFQEMNKSMWKKLQEKFAPTRPEEKHKAWTR VLSRPRT >ENSMUSP00000099050.2 pep:known chromosome:GRCm38:5:23915277:24030312:-1 gene:ENSMUSG00000028995.14 transcript:ENSMUST00000101513.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam126a description:family with sequence similarity 126, member A [Source:MGI Symbol;Acc:MGI:2149839] MFTSEIGVVEEWLSEFKTLPETSLPNYATNLKDKSSLVTSLYKVIQEPQSELLEPVCHQL FEFYRSGEEQLLRFTLQFLPELMWCYLAVSASRDVHSSGCIEALLLGVYNLEIVDKHGHS KVLSFTIPSLSKPSVYHEPSSIGSMALTESALSQHGLSKVVYSGPHPQREMLTAQNRFEV LTFLLLCYNAALTYMPSVSLQSLCQICSRICVCGYPRQHVRKYRGVSSRIPISSGFMVQM LTGVYFAIYNGEWDLAQKALDDIIYRAQLELYPEPLLVANAIKASLPHGAMKSSKEGTRC IQVEITPTSSRISRNAVTSMSIRGHRWKRHGGSKGSRQSRPTIDSDTITCWNLQSRFKYD HNVQKSVSKI >ENSMUSP00000030849.6 pep:known chromosome:GRCm38:5:23960931:24030690:-1 gene:ENSMUSG00000028995.14 transcript:ENSMUST00000030849.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam126a description:family with sequence similarity 126, member A [Source:MGI Symbol;Acc:MGI:2149839] MFTSEIGVVEEWLSEFKTLPETSLPNYATNLKDKSSLVTSLYKVIQEPQSELLEPVCHQL FEFYRSGEEQLLRFTLQFLPELMWCYLAVSASRDVHSSGCIEALLLGVYNLEIVDKHGHS KVLSFTIPSLSKPSVYHEPSSIGSMALTESALSQHGLSKVVYSGPHPQREMLTAQNRFEV LTFLLLCYNAALTYMPSVSLQSLCQICSRICVCGYPRQHVRKYRGVSSRIPISSGFMVQM LTGVYFAIYNGEWDLAQKALDDIIYRAQLELYPEPLLVANAIKASLPHGAMKSSKEGTRC IQVEITPTSSRISRNAVTSMSIRGHRWKRHGDTELTGQEELMDITEVDEGFYSRAASSTS QSGLSNSSHNCSNKTSVGKNQRRSGGSKAGAKERETAGESCRDHFARKQTQRAQSENLEL LSLKRLTLTSSQSLPKPSSQGLAKTAATVFSKSFEQVSGAPVPRSPSPAIGCVAGADANR FSACSLQEEKLIYVSERTELAVKCQAGQQGPPSISVTLSAE >ENSMUSP00000143784.1 pep:known chromosome:GRCm38:5:23964479:24030648:-1 gene:ENSMUSG00000028995.14 transcript:ENSMUST00000197617.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam126a description:family with sequence similarity 126, member A [Source:MGI Symbol;Acc:MGI:2149839] MWCYLAVSASRDVHSSGCIEALLLGVYNLEIVDKHGHSKVLSFTIPSLSKPSVYHEPSSI GSMALTESALSQHGLSKVVYSGPHPQREMLTAQNRFEVLTFLLLCYNAALTYMPSVSLQS LCQICSRICVCGYPRQHVRKYRGVSSRIPISSGFMVQMLTGVYFAIYNGEWDLAQKALDD IIYRAQLELYPEPLLVANAIKASLPHGAMKSSKEGTRCIQVEITPTSSRISRNAVTSMSI RGHRWKRHEQPESSCAAAAEAGILVIPEISVTHVSGERTGNGEKGRALGDIDAQHMQGVQ ETATDPRSESRGLPELRRQKSVRKMMEDGMSTAGRVQF >ENSMUSP00000110761.1 pep:known chromosome:GRCm38:5:23964481:24000019:-1 gene:ENSMUSG00000028995.14 transcript:ENSMUST00000115109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam126a description:family with sequence similarity 126, member A [Source:MGI Symbol;Acc:MGI:2149839] MFTSEIGVVEEWLSEFKTLPETSLPNYATNLKDKSSLVTSLYKVIQEPQSELLEPVCHQL FEFYRSGEEQLLRFTLQFLPELMWCYLAVSASRDVHSSGCIEALLLGVYNLEIVDKHGHS KVLSFTIPSLSKPSVYHEPSSIGSMALTESALSQHGLSKVVYSGPHPQREMLTAQNRFEV LTFLLLCYNAALTYMPSVSLQSLCQICSRICVCGYPRQHVRKYRGVSSRIPISSGFMVQM LTGVYFAIYNGEWDLAQKALDDIIYRAQLELYPEPLLVANAIKASLPHGAMKSSKEGTRC IQVEITPTSSRISRNAVTSMSIRGHRWKRHEQPESSCAAAAEAGILVIPEISVTHVSGER TGNGEKGRALGDIDAQHMQGVQETATDPRSESRGLPELRRQKSVRKMMEDGMSTAGRVQF >ENSMUSP00000029126.8 pep:known chromosome:GRCm38:2:155133509:155226855:1 gene:ENSMUSG00000027598.16 transcript:ENSMUST00000029126.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itch description:itchy, E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1202301] MSDSGPQLDSMGSLTMKSQLQITVISAKLKENKKNWFGPSPYVEVTVDGQSKKTEKCNNT NSPKWKQPLTVIVTPTSKLCFRVWSHQTLKSDVLLGTAGLDIYETLKSNNMKLEEVVMTL QLVGDKEPTETMGDLSVCLDGLQVEAEVVTNGETSCSESTTQNDDGCRTRDDTRVSTNGS EDPEVAASGENKRANGNNSPSLSNGGFKPSRPPRPSRPPPPTPRRPASVNGSPSTNSDSD GSSTGSLPPTNTNVNTSTSEGATSGLIIPLTISGGSGPRPLNTVSQAPLPPGWEQRVDQH GRVYYVDHVEKRTTWDRPEPLPPGWERRVDNMGRIYYVDHFTRTTTWQRPTLESVRNYEQ WQLQRSQLQGAMQQFNQRFIYGNQDLFATSQNKEFDPLGPLPPGWEKRTDSNGRVYFVNH NTRITQWEDPRSQGQLNEKPLPEGWEMRFTVDGIPYFVDHNRRATTYIDPRTGKSALDNG PQIAYVRDFKAKVQYFRFWCQQLAMPQHIKITVTRKTLFEDSFQQIMSFSPQDLRRRLWV IFPGEEGLDYGGVAREWFFLLSHEVLNPMYCLFEYAGKDNYCLQINPASYINPDHLKYFR FIGRFIAMALFHGKFIDTGFSLPFYKRILNKPVGLKDLESIDPEFYNSLIWVKENNIEEC GLEMYFSVDKEILGEIKSHDLKPNGGNILVTEENKEEYIRMVAEWRLSRGVEEQTQAFFE GFNEILPQQYLQYFDAKELEVLLCGMQEIDLNDWQRHAIYRHYTRTSKQIMWFWQFVKEI DNEKRMRLLQFVTGTCRLPVGGFADLMGSNGPQKFCIEKVGKENWLPRSHTCFNRLDLPP YKSYEQLKEKLLFAIEETEGFGQE >ENSMUSP00000105307.1 pep:known chromosome:GRCm38:2:155133559:155226853:1 gene:ENSMUSG00000027598.16 transcript:ENSMUST00000109685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itch description:itchy, E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1202301] MSDSGPQLDSMGSLTMKSQLQITVISAKLKENKKNWFGPSPYVEVTVDGQSKKTEKCNNT NSPKWKQPLTVIVTPTSKLCFRVWSHQTLKSDVLLGTAGLDIYETLKSNNMKLEEVVMTL QLVGDKEPTETMGDLSVCLDGLQVEAEVVTNGETSCSESTTQNDDGCRTRDDTRVSTNGS EDPEVAASGENKRANGNNSPSLSNGGFKPSRPPRPSRPPPPTPRRPASVNGSPSTNSDSD GSSTGSLPPTNTNVNTSTSEGATSGLIIPLTISGGSGPRPLNTVSQAPLPPGWEQRVDQH GRVYYVDHVEKRTTWDRPEPLPPGWERRVDNMGRIYYVDHFTRTTTWQRPTLESVRNYEQ WQLQRSQLQGAMQQFNQRFIYGNQDLFATSQNKEFDPLGPLPPGWEKRTDSNGRVYFVNH NTRITQWEDPRSQGQLNEKPLPEGWEMRFTVDGIPYFVDHNRRATTYIDPRTGKSALDNG PQIAYVRDFKAKVQYFRFWCQQLAMPQHIKITVTRKTLFEDSFQQIMSFSPQDLRRRLWV IFPGEEGLDYGGVAREWFFLLSHEVLNPMYCLFEYAGKDNYCLQINPASYINPDHLKYFR FIGRFIAMALFHGKFIDTGFSLPFYKRILNKPVGLKDLESIDPEFYNSLIWVKENNIEEC GLEMYFSVDKEILGEIKSHDLKPNGGNILVTEENKEEYIRMVAEWRLSRGVEEQTQAFFE GFNEILPQQYLQYFDAKELEVLLCGMQEIDLNDWQRHAIYRHYTRTSKQIMWFWQFVKEI DNEKRMRLLQFVTGTCRLPVGGFADLMGSNGPQKFCIEKVGKENWLPRSHTCFNRLDLPP YKSYEQLKEKLLFAIEETEGFGQE >ENSMUSP00000033154.6 pep:known chromosome:GRCm38:7:122159439:122169873:1 gene:ENSMUSG00000030867.7 transcript:ENSMUST00000033154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk1 description:polo-like kinase 1 [Source:MGI Symbol;Acc:MGI:97621] MNAAAKAGKLARAPADLGKGGVPGDAVPGAPVAAPLAKEIPEVLVDPRSRRQYVRGRFLG KGGFAKCFEISDADTKEVFAGKIVPKSLLLKPHQKEKMSMEISIHRSLAHQHVVGFHDFF EDSDFVFVVLELCRRRSLLELHKRRKALTEPEARYYLRQIVLGCQYLHRNQVIHRDLKLG NLFLNEDLEVKIGDFGLATKVEYEGERKKTLCGTPNYIAPEVLSKKGHSFEVDVWSIGCI MYTLLVGKPPFETSCLKETYLRIKKNEYSIPKHINPVAASLIQKMLQTDPTARPTIHELL NDEFFTSGYIPARLPITCLTIPPRFSIAPSSLDPSSRKPLKVLNKGVENPLPDRPREKEE PVVRETNEAIECHLSDLLQQLTSVNASKPSERGLVRQEEAEDPACIPIFWVSKWVDYSDK YGLGYQLCDNSVGVLFNDSTRLILYNDGDSLQYIERDGTESYLTVSSHPNSLMKKITLLN YFRNYMSEHLLKAGANITPREGDELARLPYLRTWFRTRSAIILHLSNGTVQINFFQDHTK LILCPLMAAVTYINEKRDFQTYRLSLLEEYGCCKELASRLRYARTMVDKLLSSRSASNRL KAS >ENSMUSP00000145631.1 pep:known chromosome:GRCm38:7:122159507:122164034:1 gene:ENSMUSG00000030867.7 transcript:ENSMUST00000206470.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plk1 description:polo-like kinase 1 [Source:MGI Symbol;Acc:MGI:97621] MNAAAKAGKLARAPADLGKGGVPGDAVPGAPVAAPLAKEIPEVLVDPRSRRQYVRGRFLG KGGFAKCFEISDADTKEVFAGKIVPKSLLLKPHQKEKMSMEISIHRSLAHQHVVGFHDFF EDSDFVFVVLELCRRRSLLELHKRRKALTEPEARYYLRQIVLGCQYLHRNQVIHRDLKLG NLFLNEDLEVKIGIPC >ENSMUSP00000146253.1 pep:known chromosome:GRCm38:7:122159581:122161645:1 gene:ENSMUSG00000030867.7 transcript:ENSMUST00000205901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk1 description:polo-like kinase 1 [Source:MGI Symbol;Acc:MGI:97621] XPADLGKGGVPGDAVPGAPVAAPLAKEIPEVLVDPRSRRQYVRGRFLGKGGFAKCFEISD ADTKEVFAGKIVPKSLLLKPHQKEKMSMEISIHRSLAHQHVVGFHDFFEDSDFVFVVLEL CRRRSLLELHKRRKALTEPEARYYLRQIVLGCQYLHRNQVIHRDLKLGNLFLNEDLEVLS KKGHSFEVDVWSIG >ENSMUSP00000022871.5 pep:known chromosome:GRCm38:15:32920723:33034730:1 gene:ENSMUSG00000022261.5 transcript:ENSMUST00000022871.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdc2 description:syndecan 2 [Source:MGI Symbol;Acc:MGI:1349165] MQRAWILLTLGLMACVSAETRTELTSDKDMYLDNSSIEEASGVYPIDDDDYSSASGSGAD EDIESPVLTTSQLIPRIPLTSAASPKVETMTLKTQSITPAQTESPEETDKEEVDISEAEE KLGPAIKSTDVYTEKHSDNLFKRTEVLAAVIAGGVIGFLFAIFLILLLVYRMRKKDEGSY DLGERKPSSAAYQKAPTKEFYA >ENSMUSP00000141951.1 pep:known chromosome:GRCm38:3:30602065:30619873:1 gene:ENSMUSG00000037730.13 transcript:ENSMUST00000192715.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mynn description:myoneurin [Source:MGI Symbol;Acc:MGI:1931415] MQYSHHCEHLLERLNKQREAGFLCDCTVVIGEFQFKAHRNVLASFSEYFGAIYRSTSENN VFLDQSQVKADGFQKLLEFIYTGTLNLDSWNVKEIHQAADYLKVEEVVTKCKIKMEDFAF IASPSSTEISSITGNIELNQQACLLTLRDYNNREKSEVSTDSVQANPKPRALTKKSSQSK KKKKAFSSQKPGQSKAVQYPSDVLESASVELFLETSKLSSPVVEQIIQGNDSSELELTSV VENTFPTQDIVQTVTVKRKRRKSQSHCALKEHSMSNIASVKSPYELENAGEELDARFSKA KPMCNTCGKVFSEASSLRRHMRIHKGVKPYVCHLCGKAFTQCNQLKTHVRTHTGERPYKC ELCDKGFAQKCQLVFHSRMHHGEEKPYKCDVCNLQFATSSNLKIHARKHSGEKPYVCDRC GQRFAQASTLTYHVRRHTGEKPYVCDTCGKAFAVSSSLITHSRKHTGEKPYICGICGKSF ISSGELNKHFRSHTGERPFICELCGNSYTDIKNLKKHKTKVHSGTDKNPDCSVDDHAVSE QDSVQRSPLSETLDVKPSDMTLPLALPLGTEDHQMLLPVTDSQSPASDTLLRSTVNGYSE PQLIFLQQLY >ENSMUSP00000141623.1 pep:known chromosome:GRCm38:3:30602631:30619873:1 gene:ENSMUSG00000037730.13 transcript:ENSMUST00000195396.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mynn description:myoneurin [Source:MGI Symbol;Acc:MGI:1931415] MQYSHHCEHLLERLNKQREAGFLCDCTVVIGEFQFKAHRNVLASFIGMLKKSIRLLTISK >ENSMUSP00000041034.8 pep:known chromosome:GRCm38:3:30602637:30618368:1 gene:ENSMUSG00000037730.13 transcript:ENSMUST00000047502.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mynn description:myoneurin [Source:MGI Symbol;Acc:MGI:1931415] MQYSHHCEHLLERLNKQREAGFLCDCTVVIGEFQFKAHRNVLASFSEYFGAIYRSTSENN VFLDQSQVKADGFQKLLEFIYTGTLNLDSWNVKEIHQAADYLKVEEVVTKCKIKMEDFAF IASPSSTEISSITGNIELNQQACLLTLRDYNNREKSEVSTDSVQANPKPRALTKKSSQSK KKKKAFSSQKPGQSKAVQYPSDVLESASVELFLETSKLSSPVVEQIIQGNDSSELELTSV VENTFPTQDIVQTVTVKRKRRKSQSHCALKEHSMSNIASVKSPYELENAGEELDARFSKA KPMCNTCGKVFSEASSLRRHMRIHKGVKPYVCHLCGKAFTQCNQLKTHVRTHTGERPYKC ELCDKGFAQKCQLVFHSRMHHGEEKPYKCDVCNLQFATSSNLKIHARKHSGEKPYVCDRC GQRFAQASTLTYHVRRHTGEKPYVCDTCGKAFAVSSSLITHSRKHTGERPFICELCGNSY TDIKNLKKHKTKVHSGTDKNPDCSVDDHAVSEQDSVQRSPLSETLDVKPSDMTLPLALPL GTEDHQMLLPVTDSQSPASDTLLRSTVNGYSEPQLIFLQQLY >ENSMUSP00000141450.1 pep:known chromosome:GRCm38:3:30602644:30618501:1 gene:ENSMUSG00000037730.13 transcript:ENSMUST00000195751.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mynn description:myoneurin [Source:MGI Symbol;Acc:MGI:1931415] MQYSHHCEHLLERLNKQREAGFLCDCTVVIGEFQFKAHRNVLASFIGMLKKSIRLLTISK >ENSMUSP00000095043.3 pep:known chromosome:GRCm38:17:6042196:6079741:-1 gene:ENSMUSG00000015659.12 transcript:ENSMUST00000097432.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serac1 description:serine active site containing 1 [Source:MGI Symbol;Acc:MGI:2447813] MSLAAYCVICCRRIGSFAPRSKSRTPWRNIRNIIRFTGSLIVGGSLFITYEVLALKKSLM LDTQVVEREKMKSYIYVHKAPVDRLDNRGIVWQARKELHRAVRKLLAAAAKVLRSPFADS FSTVDIEDHDCAVWLLLRKSREDDLAARLQAVREMSEAHHWHDYQYRIIAQACDPRTLIG LARSKESDLRFFLPPPPLPSLKEDSSTEEELRHLLASLPQTELDECLQYFTSLALSESSQ SLAAQKGGLWCFGGNGLPYAESFGKVPSATVEMFCLEAIVKHSEIPSHCDHIEAGGGLQL LQRLYQLHKDCPKVQRNVMRIIGNMALNEHLHPAIVHSGWVSLMAEALKSSHIMEASHAA RTLANLDRETVGEKYQDGVYVLHPQCRTSQPIKADVLFIHGLMGAAFKTWRQHDSQRALT ESAVVDEDRYTTCWPKTWLAKDCPSLRIISVEYDTSLSDWRARCPMERKSIAFRSNELLS KLRAAGVGDRPMIWISHSMGGLLVKKMLLEASKKPELNALINNTRGIIFYSVPHHGSRLA EYSVNIRYLLFPSLEVKELSKDSPALKTLQDDFLEFAKDKNFQVLNFVETQPTFIGSMIK LHVVPVESADLGIGDLIPVDVNHLNICKPKTKDAFLYQRTLQFICETLARDLEN >ENSMUSP00000024570.5 pep:known chromosome:GRCm38:17:6044066:6079727:-1 gene:ENSMUSG00000015659.12 transcript:ENSMUST00000024570.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serac1 description:serine active site containing 1 [Source:MGI Symbol;Acc:MGI:2447813] MSLAAYCVICCRRIGSFAPRSKSRTPWRNIRNIIRFTGSLIVGGSLFITYEVLALKKSLM LDTQVVEREKMKSYIYVHKAPVDRLDNRDSFSTVDIEDHDCAVWLLLRKSREDDLAARLQ AVREMSEAHHWHDYQYRIIAQACDPRTLIGLARSKESDLRFFLPPPPLPSLKEDSSTEEE LRHLLASLPQTELDECLQYFTSLALSESSQSLAAQKGGLWCFGGNGLPYAESFGKVPSAT VEMFCLEAIVKHSEIPSHCDHIEAGGGLQLLQRLYQLHKDCPKVQRNVMRIIGNMALNEH LHPAIVHSGWVSLMAEALKSSHIMEASHAARTLANLDRETVGEKYQDGVYVLHPQCRTSQ PIKADVLFIHGLMGAAFKTWRQHDSQRALTESAVVDEDRYTTCWPKTWLAKDCPSLRIIS VEYDTSLSDWRARCPMERKSIAFRSNELLSKLRAAGVGDRPMIWISHSMGGLLVKKMLLE ASKKPELNALINNTRGIIFYSVPHHGSRLAEYSVNIRYLLFPSLEVKELSKDSPALKTLQ DDFLEFAKDKNFQVLNFVETQPTFIGSMIKLHVVPVESADLGIGDLIPVDVNHLNICKPK TKDAFLYQRTLQFICETLARDLEN >ENSMUSP00000020898.5 pep:known chromosome:GRCm38:12:35992907:36004087:1 gene:ENSMUSG00000020581.11 transcript:ENSMUST00000020898.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agr2 description:anterior gradient 2 [Source:MGI Symbol;Acc:MGI:1344405] MEKFSVSAILLLVAISGTLAKDTTVKSGAKKDPKDSRPKLPQTLSRGWGDQLIWTQTYEE ALYRSKTSNRPLMVIHHLDECPHSQALKKVFAEHKEIQKLAEQFVLLNLVYETTDKHLSP DGQYVPRIVFVDPSLTVRADITGRYSNRLYAYEPSDTALLYDNMKKALKLLKTEL >ENSMUSP00000140639.1 pep:known chromosome:GRCm38:7:21184317:21190423:-1 gene:ENSMUSG00000101436.1 transcript:ENSMUST00000185914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5157 description:predicted gene 5157 [Source:MGI Symbol;Acc:MGI:3648211] MMEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELQDRIIKVGSLEE SKTRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDCRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAEKYEGPPVDIWSLGVLLFLMVSGNLPFQGKSFVDLKQEIISANFSI PSHVPIDIFNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000124057.1 pep:known chromosome:GRCm38:11:53519257:53534616:1 gene:ENSMUSG00000018398.18 transcript:ENSMUST00000142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept8 description:septin 8 [Source:MGI Symbol;Acc:MGI:894310] MNTLFNTTFETEEASHHEECVRLRPQTYDLQESNVHLKLTIVDAVGFGDQI >ENSMUSP00000104615.1 pep:known chromosome:GRCm38:11:53519746:53544095:1 gene:ENSMUSG00000018398.18 transcript:ENSMUST00000108987.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept8 description:septin 8 [Source:MGI Symbol;Acc:MGI:894310] MAATDLERVSNAEPEPRSLSLGGHVGFDSLPDQLVSKSVTQGFSFNILCVGETGIGKSTL MNTLFNTTFETEEASHHEECVRLRPQTYDLQESNVHLKLTIVDAVGFGDQINKDDSYRPI VDYIDAQFENYLQEELKIRRSLFDYHDTRIHVCLYFITPTGHSLKSLDLVTMKKLDSKVN IIPIIAKADTISKSELHKFKIKIMGELVSNGVQIYQFPTDDEAVAEINAVMNAHLPFAVV GSTEEVKVGNKLVRARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHSRHYELY RRCKLEEMGFQDSDGDSQPFSLQETYEAKRKEFLSELQRKEEEMRQMFVNKVKETELELK EKERELHEKFEHLKRIHQEEKRKVEEKRRELEEETNAFNCRKAAMEALQSQALHATSQQP LRKDKDKKN >ENSMUSP00000113038.1 pep:known chromosome:GRCm38:11:53519770:53549565:1 gene:ENSMUSG00000018398.18 transcript:ENSMUST00000121334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept8 description:septin 8 [Source:MGI Symbol;Acc:MGI:894310] MAATDLERVSNAEPEPRSLSLGGHVGFDSLPDQLVSKSVTQGFSFNILCVGETGIGKSTL MNTLFNTTFETEEASHHEECVRLRPQTYDLQESNVHLKLTIVDAVGFGDQINKDDSYRPI VDYIDAQFENYLQEELKIRRSLFDYHDTRIHVCLYFITPTGHSLKSLDLVTMKKLDSKVN IIPIIAKADTISKSELHKFKIKIMGELVSNGVQIYQFPTDDEAVAEINAVMNAHLPFAVV GSTEEVKVGNKLVRARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHSRHYELY RRCKLEEMGFQDSDGDSQPFSLQETYEAKRKEFLSELQRKEEEMRQMFVNKVKETELELK EKERELHEKFEHLKRIHQEEKRKVEEKRRELEEETNAFNCRKAAMEALQSQALHATSQQP LRKDKDKKNRSDIAAAQQSGMSLSNSKVMMTKANVEPLNCSSWWPAIQCCSCLVRDATWR EGFL >ENSMUSP00000112920.1 pep:known chromosome:GRCm38:11:53519800:53544096:1 gene:ENSMUSG00000018398.18 transcript:ENSMUST00000117061.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept8 description:septin 8 [Source:MGI Symbol;Acc:MGI:894310] MAATDLERVSNAEPEPRSLSLGGHVGFDSLPDQLVSKSVTQGFSFNILCVGETGIGKSTL MNTLFNTTFETEEASHHEECVRLRPQTYDLQESNVHLKLTIVDAVGFGDQINKDDSYRPI VDYIDAQFENYLQEELKIRRSLFDYHDTRIHVCLYFITPTGHSLKSLDLVTMKKLDSKVN IIPIIAKADTISKSELHKFKIKIMGELVSNGVQIYQFPTDDEAVAEINAVMNAHLPFAVV GSTEEVKVGNKLVRARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHSRHYELY RRCKLEEMGFQDSDGDSQPFSLQETYEAKRKEFLSELQRKEEEMRQMFVNKVKETELELK EKERELHEKFEHLKRIHQEEKRKVEEKRRELEEETNAFNCRKAAMEALQSQALHATSQQP LRKDKDKKKF >ENSMUSP00000113775.2 pep:known chromosome:GRCm38:11:53519908:53541898:1 gene:ENSMUSG00000018398.18 transcript:ENSMUST00000120878.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept8 description:septin 8 [Source:MGI Symbol;Acc:MGI:894310] MAATDLERVSNAEPEPRSLSLGGHVGFDSLPDQLVSKSVTQGFSFNILCVGETGIGKSTL MNTLFNTTFETEEASHHEECVRLRPQTYDLQESNVHLKLTIVDAVGFGDQINKDDRPIVD YIDAQFENYLQEELKIRRSLFDYHDTRIHVCLYFITPTGHSLKSLDLVTMKKLDSKVNII PIIAKADTISKSELHKFKIKIMGELVSNGVQIYQFPTDDEAVAEINAVMNAHLPFAVVGS TEEVKVGNKLVRARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHSRHYELYRR CKLEEMGFQDSDGDSQPFSLQETYEAKRKEFLSELQRKEEEMRQMFVNKVKETELELKEK ERELHEKFEHLKRIHQEEKRKVEEKRRELEEETNAFNCRKAAMEALQSQALHATSQQPLR KDKDKKKAGGWSSIYSVTIP >ENSMUSP00000120427.1 pep:known chromosome:GRCm38:11:53519927:53541847:1 gene:ENSMUSG00000018398.18 transcript:ENSMUST00000147912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept8 description:septin 8 [Source:MGI Symbol;Acc:MGI:894310] MAATDLERVSNAEPEPRSLSLGGHVGFDSLPDQLVSKSVTQGFSFNILCVGETGIGKSTL MNTLFNTTFETEEASHHEECVRLRPQTYDLQESNVHLKLTIVDAVGFGDQINKDDSYRPI VDYIDAQFENYLQEELKIRRSLFDYHDTRIHVCLYFITPTGHSLKSLDLVTMKKLDSKVN IIPIIAKADTISKSELHKFKIKIMGELVSNGVQIYQFPTDDEAVAEINAVMNAHLPFAVV GSTEEVKVGNKLVRARQYPWGVVQVENENHCDFVKLREMLIRVNMEDLREQTHSRHYELY RRCKLEEMGFQDSDGDSQPFSLQETYEAKRKEFLSELQRKEEEMRQMFVNKVKETELELK EKERELHEKFEHLKRIHQEEKRKVEEKRRELEEETNAFNCRKAAMEALQSQALHATSQQP LRKDKDKKKAGGWSSIYSVTIP >ENSMUSP00000000388.8 pep:known chromosome:GRCm38:11:6546887:6596744:1 gene:ENSMUSG00000000378.15 transcript:ENSMUST00000000388.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccm2 description:cerebral cavernous malformation 2 [Source:MGI Symbol;Acc:MGI:2384924] MEEEGKKGKKPGIVSPFKRVFLKGEKSRDKKAHEKVTERRPLHTVVLALPERVEPDRLLS DYIEKEVKYLGQLTSIPGYLNPSSRTEILHFIDKAKRSHQLPGHLTQEHDAVLSLSAYNV KLAWRDGEDIILRVPIHDIAAVSYVRDDAAHLVVLKTAQDPGISPSQSLCAESSRGLSAG SLSESAVGPVEACCLVIMATESKVAAEELCSLLSQVFQIVYTESTIDFLDRAIFDGASTP THHLSLHSDDSSTKVDMKDSYDADASTFCFPDSGDVGGLPPLPFCMQTSPHSKTVSESEL STSATELLQDYMLTLRTKLSSQEIQQFAALLHEYRNGASIHEFCISLRQLYGDSRKFLLL GLRPFIPEKDSQHFENFLETIGVKDGRGIITDSFGRHRRALSTTSTSTINGNRTTGSPDD RSAPSEGDEWDRMISDISSDIEALGCSMDQDSA >ENSMUSP00000125608.1 pep:known chromosome:GRCm38:11:6546910:6589474:1 gene:ENSMUSG00000000378.15 transcript:ENSMUST00000159007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccm2 description:cerebral cavernous malformation 2 [Source:MGI Symbol;Acc:MGI:2384924] MEEEGKKGKKYLGQLTSIPGYLNPSSRTEILHFIDKAKRSHQLPGHLTQEHDAVLSLSAY NVKLAWRDGEDIILRVPIHDIAAVSYVRDDAAHLVVLKTAQDPGISPSQSLCA >ENSMUSP00000105344.2 pep:known chromosome:GRCm38:11:6560183:6596734:1 gene:ENSMUSG00000000378.15 transcript:ENSMUST00000109722.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccm2 description:cerebral cavernous malformation 2 [Source:MGI Symbol;Acc:MGI:2384924] MENEYLGQLTSIPGYLNPSSRTEILHFIDKAKRSHQLPGHLTQEHDAVLSLSAYNVKLAW RDGEDIILRVPIHDIAAVSYVRDDAAHLVVLKTAQDPGISPSQSLCAESSRGLSAGSLSE SAVGPVEACCLVIMATESKVAAEELCSLLSQVFQIVYTESTIDFLDRAIFDGASTPTHHL SLHSDDSSTKVDMKDSYDADASTFCFPDSGDVGGLPPLPFCMQTSPHSKTVSESELSTSA TELLQDYMLTLRTKLSSQEIQQFAALLHEYRNGASIHEFCISLRQLYGDSRKFLLLGLRP FIPEKDSQHFENFLETIGVKDGRGIITDSFGRHRRALSTTSTSTINGNRTTGSPDDRSAP SEGDEWDRMISDISSDIEALGCSMDQDSA >ENSMUSP00000123790.1 pep:known chromosome:GRCm38:11:6561112:6593133:1 gene:ENSMUSG00000000378.15 transcript:ENSMUST00000161501.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccm2 description:cerebral cavernous malformation 2 [Source:MGI Symbol;Acc:MGI:2384924] MENEPGIVSPFKRVFLKGEKSRDKKAHEKVTERRPLHTVVLALPERVEPDRLLSDYIEKE VKRSHQLPGHLTQEHDAVLSLSAYNVKLAWRDGEDIILRVPIHDIAAVSYVRDDAAHLVV LKTGRR >ENSMUSP00000125072.1 pep:known chromosome:GRCm38:11:6561134:6593070:1 gene:ENSMUSG00000000378.15 transcript:ENSMUST00000160633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccm2 description:cerebral cavernous malformation 2 [Source:MGI Symbol;Acc:MGI:2384924] MENEPGIVSPFKRVFLKGEKSRDKKAHEKVTERRPLHTVVLALPERVEPDRLLSDYIEKE VKYLGQLTSIPGYLNPSSRTEILHFIDKAKRSHQLPGHLTQEHDAVLSLSAYNVKLAWRD GEDIILRVPIHDIAAVSYVRDDAAHLVVLKTAQDPGISPSQSLCAESSRGLSAGSLSESA VGPVEACCLVIMATESKVAAEELCSLLSQVFQIVYTESTIDFLDRAIFDGASTPTHHLSL HSD >ENSMUSP00000105343.2 pep:known chromosome:GRCm38:11:6561230:6596742:1 gene:ENSMUSG00000000378.15 transcript:ENSMUST00000109721.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccm2 description:cerebral cavernous malformation 2 [Source:MGI Symbol;Acc:MGI:2384924] MENEYLGQLTSIPGYLNPSSRTEILHFIDKAKRSHQLPGHLTQEHDAVLSLSAYNVKLAW RDGEDIILRVPIHDIAAVSYVRDDAAHLVVLKTAQDPGISPSQSLCAESSRGLSAGSLSE SAVGPVEACCLVIMATESKVAAEELCSLLSQVFQIVYTESTIDFLDRAIFDGASTPTHHL SLHSDDSSTKVDMKDSYDADASTFCFPDSGDVGGLPPLPFCMQTSPHSKTVSESELSTSA TELLQDYMLTLRTKLSSQEIQQFAALLHEYRNGASIHEFCISLRQLYGDSRKFLLLGLRP FIPEKDSQHFENFLETIGVKDGRGIITDSFGRHRRALSTTSTSTINGNRTTGSPDDRSAP SEGDEWDRMISDISSDIEALGCSMDQDSA >ENSMUSP00000124394.1 pep:known chromosome:GRCm38:12:72441866:72510565:1 gene:ENSMUSG00000021090.15 transcript:ENSMUST00000162159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc9 description:leucine rich repeat containing 9 [Source:MGI Symbol;Acc:MGI:1925507] MIESENLNRGEIIKELCLCNGLTYEIVGQEGSDTSKLEMFFSGYPRIVGLSLFHNLSSLT IVAQDIREISGLETCLQLKELWIAECCIEKIEGLQGCRNLEKLYLYYNKISKIENLEKLI KLEVLWLNHNMIKNIEGLQTLKNLKDLNLAGNLVSSIGRCLDPNEQLEKLNLSGNQITSF KDLTNLTKLTRLKDLCLNDPQYKSNPVCQLCNYSTHVLYHLPSLQRLDTFDVSAKQIKEL ADSTAMKKIMYYNMRIKTVQRHLNEELEKLNDRKCKLQKLPEERIKLFNFAKKTLERELA ELKISSKGQSDTTPEAEKPRNSEVVTQESVLQQKILTKLSALDDRVTFWNKKLHEIEAIY RTEVKQKKKTHGLLTPFLLTELETVGNIHFEEGTQADDWFNSCCELILSRFCTWDFRAYG ITGVKVKRVIKVNNRILRLKFEEKFQKCLDLEDTQDPDYRKMLECLFYVFDPEVTVKKKH LLQILERGFKDSDTSKPSLKKEAVTLVNSLSMCECPRIEFLQQKYKEEKKGPSESELYRH GTILIAKVFLGQSIQARDQEPINKANYPMVNSVFVPQRHVLRQRTCDCGYRQYKWFVFDH DLVLPEYIVEFEYTTVVKVHSLFSTSNNVILEEGKKYSEGLVFSQDLKFDDEVLKMEPRI KPRPKLISLDEKTIISLAKTNIYSHIVNLNLHGNSLSKLRDLAKLTGLRKLNISFNEFTC LDDVYHLYNLEYLDASHNHVITLEGFRGLMKLKHLDLSWNQLKKTGEEINVLCKHTTSLL TLDIQHNPWQKPATLRLSVIGRLKTLTHLDGLVISEEETRAALKFISGTKITQLTLLQHS SSKEERPRMLSTWPSAKILTQISKLGPHFHLTGNWYSKITALNLDGQHLFEITNLEKLEN LKWASFSNNNLSKMEGLESCVNLEELTLDGNCISKIEGITRLTKLSRLSMNNNLLTGLEK HTFDNLLHLHSLSLENNRITSLSALQKTFTLIELYISNNYIAVNQEIYNLKGLCNLVILD MYGNIIIWNQENYRFFVIFHLPELKALDGVSIETSETETAKDLFGGRLTSDMIAERQGHS NFIQMQELNWTSSAIRTVDLIPVDHFRNVSNVNLQNNNLTSFSGLIYLPNVKVLCLNYNH IESIMPRLKPQTHLSSRQLLYQKVPSSGYGQQGTSKLNRDSVGSENLPPIMQSLEVLHLG YNGICNLVQLQLNRLRNLKFLFLQGNEISQVEGLDNLIVLQELVVDHNRIRAFNDTAFSK PSSLLMLHLEENRLRELSKLQSLVKLEKLFLGYNKIQDITELEKLDVIPSLRELTVYGNP ICRKMVHRHVLIFRLPNLQMLDGIPINSDDRAKAEFHFSELQAKKSSIIQNNLPTSKSSL PSHLQTPLPCKFVPVTNSTDGGSFCHVKASPIKITNVLLPAGFSRFLGPDFTLTPEVEGI FTKSFRENEKTNKQQQ >ENSMUSP00000124602.1 pep:known chromosome:GRCm38:12:72441933:72497239:1 gene:ENSMUSG00000021090.15 transcript:ENSMUST00000161284.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc9 description:leucine rich repeat containing 9 [Source:MGI Symbol;Acc:MGI:1925507] MIESENLNRGEIIKELCLCNGLTYEIVGQEGSDTSKLEMFFSGYPRIVGLSLFHNLSSLT IVAQDIREISGLETCLQLKELWIAECCIEKIEGLQGCRNLEKLYLYYNKISKIENLEKLI KLEVLWLNHNMIKNIEGLQTLKNLKDLNLAGNLVSSIGRCLDPNEQLEKLNLSGNQITSF KDLTNLTKLTRLKDLCLNDPQYKSNPVCQLCNYSTHVLYHLPSLQRLDTFDVSAKQIKEL ADSTAMKKIMYYNMRIKTVQRHLNEELEKLNDRKCKLQKLPEERIKLFNFAKKTLERELA ELKISSKGQSDTTPEAEKPRNSEVVTQESVLQQKILTKLSALDDRVTFWNKKLHEIEAIY RTEVKQKKKTHGLLTPFLLTELETVGNIHFEEGTQADDWFNSCCELILSRFCTWDFRAYG ITGVKVKRVIKVNNRILRLKFEEKFQKCLDLEDTQDPESYRKMLECLFYVFDPEVTVKKK HLLQILERGFKDSDTSKPSLKKEAVTLVNSLSMCECPRIEFLQQKYKEEKKGPSESELYR HGTILIAKVFLGQSIQARDQEPINKANYPMVNSVFVPQRHVLRQRTCDCGYRQYKWFVFD HDLVLPEYIVEFEYTTVVKVHSLFSTSNNVILEEGKKYSEGLVFSQDLKFDDEVLKMEPR IKPRPKLISLDEKTIISLAKTNIYSHIVNLNLHGNSLSKLRDLAKLTGLRKLNISFNEFT CLDDVYHLYNLEYLDASHNHVITLEGFRGLMKLKHLDLSWNQLKKTGEEINVLCKHTTSL LTLDIQHNPWQKPATLRLSVIGRLKTLTHLDGLVISEEETRAALKFISGTKITQLTLLQH SSSKEERPRMLSTWPSAKILTQISKLGPHFHLTGNWYSKITALNLDGQHLFEITNLEKLE NLKWASFSNNNLSKMEGLESCVNLEELTLDGNCISKIEGITRLTKLSRLSMNNNLLTGLE KHTFDNLLHLHSLSLENNRITSLSALQKTFTLIELYISNNYIAVNQEIYNLKGLCNLVIL DMYGNIIIWNQENYRFFVIFHLPELKALDGVSIETSETETAKDLFGGRLTSDMIAERQGH SNFIQMQELNWTSSAIRTVDLIPVDHFRNVSNVNLQNNNLTSFSGLIYLPNVKARGCRAI FALKDISTSFNLHLH >ENSMUSP00000032406.8 pep:known chromosome:GRCm38:6:113326972:113334188:1 gene:ENSMUSG00000030271.14 transcript:ENSMUST00000032406.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogg1 description:8-oxoguanine DNA-glycosylase 1 [Source:MGI Symbol;Acc:MGI:1097693] MLFRSWLPSSMRHRTLSSSPALWASIPCPRSELRLDLVLASGQSFRWKEQSPAHWSGVLA DQVWTLTQTEDQLYCTVYRGDDSQVSRPTLEELETLHKYFQLDVSLAQLYSHWASVDSHF QRVAQKFQGVRLLRQDPTECLFSFICSSNNNIARITGMVERLCQAFGPRLIQLDDVTYHG FPNLHALAGPEAETHLRKLGLGYRARYVRASAKAILEEQGGPAWLQQLRVAPYEEAHKAL CTLPGVGAKVADCICLMALDKPQAVPVDVHVWQIAHRDYGWHPKTSQAKGPSPLANKELG NFFRNLWGPYAGWAQAVLFSADLRQPSLSREPPAKRKKGSKRPEG >ENSMUSP00000144792.1 pep:known chromosome:GRCm38:6:113326999:113334184:1 gene:ENSMUSG00000030271.14 transcript:ENSMUST00000136263.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ogg1 description:8-oxoguanine DNA-glycosylase 1 [Source:MGI Symbol;Acc:MGI:1097693] MLFRSWLPSSMRHRTLSSSPALWASIPCPRSELRLDLVLASGQSFRWKEQSPAHWSGVLA DQVWTLTQTEDQLYCTVYRGDDSQVSRPTLEELETLHKYFQLDVSLAQLYSHWASVDSHF QRVAQKFQGPPGASRCSV >ENSMUSP00000144905.1 pep:known chromosome:GRCm38:6:113327013:113333985:1 gene:ENSMUSG00000030271.14 transcript:ENSMUST00000204834.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ogg1 description:8-oxoguanine DNA-glycosylase 1 [Source:MGI Symbol;Acc:MGI:1097693] MLFRSWLPSSMRHRTLSSSPALWASIPCPRSELRLDLVLASGQSFRWKEQSPAHWSGVLA DQVWTLTQTEDQLYCTVYRGDDSQVSRPTLEELETLHKYFQLDVSLAQLYSHWASVDSHF QRVAQKFQGLWTSTHSA >ENSMUSP00000035201.5 pep:known chromosome:GRCm38:9:107773559:107872829:-1 gene:ENSMUSG00000032582.14 transcript:ENSMUST00000035201.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm6 description:RNA binding motif protein 6 [Source:MGI Symbol;Acc:MGI:1338037] MDYRGGDGTPMDYRGRETPHMNYRDRDSHTVDFRSRDVPPTDFRGRGTYDLDFRGREGSH SDFRGRDLSDLDFRSRDQSRSDFRNRDVSDLDFRDKDGTQVDFRGRGSATTDLDFRNRDT PHSDFRGRHRTRTYQDFRGREVGPCMEFKDREMPPMDPKVLDYIQPSPQEREHSGMNMNK REESIHDHAMERPAFGVQKGEFEHSETREGETQSGTFEHESQSDFQNSQSPVQEQDKPKL SGGEQQSSDAGLFKEEGDLDFLGQQDTDYRSIEYCDVDHRLPGNQIFGYGQSKSFSQGKM SRDAQQDLQDQDYRTGPSEEKPNRLIRLSGVPENATKEEILNAFRTSDGIPVKDLQLKEY NTGYDYGYVCVEFSLLEDAIGCMEANQGTLMIHDKEVTLEYVPSPDFWYCKRCKASTGGH QSSCSFCKGPKEEVKQELVSYPQPQKTSIPVPSEKQPNQPRPADKEHELRKREEGQESRL GHQKRDTERYFPHSRREGLTFRRDREKEPWSGDSRQDGESKTIMLKRIYRSTPPEVIVEV LEPYVHLTTANVRIIKNRTGPMGHTYGFIDLDSHAEALRVVKILQNLDPPFSIDGKMVAV NLATGKRRNDSGDHSDHMHYYQGKKYFRDRRGGNRNSDWSSDTNRQGQQSSSDCYIYDST TGYYYDPLAGTYYDPNTQQEVYVPQDPESPDEEEIKEKKSTSQGKSNSKKETSKRDGKEK KDRGMTKFQESTSEGKPPLEDVFKKPLPPTVKKEESPPPPKVVNPLIGLLGEYGGDSDYE EEEEEEQAPPVQPRTAQPREEMTKKENEDDKLTDWNKLACLLCRRQFPNKEVLTKHQQLS DLHKQNLEIHRKIKQSEQELAYLERREREGRFKEKGNDRREKLQSFDSPERKRIKYSRET DSDRNPVDKEDTDTSSKGGCAQQATGWRKGAGLGYSHPGLGSSEEIEGRMRGPGGGPPGR TSKRQSNETYRDAVRRVMFARYKELD >ENSMUSP00000138400.1 pep:known chromosome:GRCm38:9:107773563:107872821:-1 gene:ENSMUSG00000032582.14 transcript:ENSMUST00000183032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm6 description:RNA binding motif protein 6 [Source:MGI Symbol;Acc:MGI:1338037] MWGDSRSANRTGPFRGSQEERFAPGWNRDYPPPPLKSHAQERHSGNFPGRDSLPFDFQGH SGPPFANVEEHSFSYGGRDGPHGDYQGGEGPGHDFRGGDFSSSDFQSRDSAQLDFRNRDI HSGDFRDREGPPMDYRGGDGTPMDYRGRETPHMNYRDRDSHTVDFRSRDVPPTDFRGRGT YDLDFRGREGSHSDFRGRDLSDLDFRSRDQSRSDFRNRDVSDLDFRDKDGTQVDFRGRGS ATTDLDFRNRDTPHSDFRGRHRTRTYQDFRGREVGPCMEFKDREMPPMDPKVLDYIQPSP QEREHSGMNMNKREESIHDHAMERPAFGVQKGEFEHSETREGETQSGTFEHESQSDFQNS QSPVQEQDKPKLSGGEQQSSDAGLFKEEGDLDFLGQQDTDYRSIEYCDVDHRLPGNQIFG YGQSKSFSQGKMSRDAQQDLQDQDYRTGPSEEKPNRLIRLSGVPENATKEEILNAFRTSD GIPVKDLQLKEYNTGYDYGYVCVEFSLLEDAIGCMEANQGTLMIHDKEVTLEYVPSPDFW YCKRCKASTGGHQSSCSFCKGPKEEVKQELVSYPQPQKTSIPVPSEKQPNQPRPADKEHE LRKREEGQESRLGHQKRDTERYFPHSRREGLTFRRDREKEPWSGDSRQDGESKTIMLKRI YRSTPPEVIVEVLEPYVHLTTANVRIIKNRTGPMGHTYGFIDLDSHAEALRVVKILQNLD PPFSIDGKMVAVNLATGKRRNDSGDHSDHMHYYQGKKYFRDRRGGNRNSDWSSDTNRQGQ QSSSDCYIYDSTTGYYYDPLAGTYYDPNTQQEVYVPQDPESPDEEEIKEKKSTSQGKSNS KKETSKRDGKEKKDRGMTKFQESTSEGKPPLEDVFKKPLPPTVKKEESPPPPKVVNPLIG LLGEYGGDSDYEEEEEEEQAPPVQPRTAQPREEMTKKENEDDKLTDWNKLACLLCRRQFP NKEVLTKHQQLSDLHKQNLEIHRKIKQSEQELAYLERREREGRFKEKGNDRREKLQSFDS PERKRIKYSRETDSDRNPVDKEDTDTSSKGGCAQQATGWRKGAGLGYSHPGLGSSEEIEG RMRGPGGGPPGRTSKRQSNETYRDAVRRVMFARYKELD >ENSMUSP00000138172.1 pep:known chromosome:GRCm38:9:107773567:107872763:-1 gene:ENSMUSG00000032582.14 transcript:ENSMUST00000181986.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm6 description:RNA binding motif protein 6 [Source:MGI Symbol;Acc:MGI:1338037] MWGDSRSANRTGPFRVRLALVGISLLVHSARAQRKKSSRN >ENSMUSP00000142283.1 pep:known chromosome:GRCm38:9:107787813:107791928:-1 gene:ENSMUSG00000032582.14 transcript:ENSMUST00000194436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm6 description:RNA binding motif protein 6 [Source:MGI Symbol;Acc:MGI:1338037] XDKEHELRKREEGQESRLGHQKRDTERYFPHSRREGLTFRRDREKEPWSGDSRQDGESKT IMLKRIYRSTPPEVIVEVLEPYVHLTTANVRIIKNRTGPMGHTYGFIDLDSHAEALRVVK ILQNLDPPFSIDGKMVAVNLATGKRRNDSGDHSDHMHYYQGKKYFRDRRGGNRNSDWSSD TNRQGQQ >ENSMUSP00000141953.1 pep:known chromosome:GRCm38:9:107791937:107872733:-1 gene:ENSMUSG00000032582.14 transcript:ENSMUST00000195883.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm6 description:RNA binding motif protein 6 [Source:MGI Symbol;Acc:MGI:1338037] MEANQGTLMIHDKEVTLEYVPSPDFWYCKRCKASTGGHQSSCSFCKGPKEEVKQELVSYP QPQKTSIPVPSEKQPNQP >ENSMUSP00000141622.1 pep:known chromosome:GRCm38:9:107853271:107872775:-1 gene:ENSMUSG00000032582.14 transcript:ENSMUST00000195866.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm6 description:RNA binding motif protein 6 [Source:MGI Symbol;Acc:MGI:1338037] MWGDSRSANRTGPFRGSQEERFAPGWNRDYPPPPLKSHAQERHSGNFPGRDSLPFDFQG >ENSMUSP00000138236.1 pep:known chromosome:GRCm38:9:107853322:107872421:-1 gene:ENSMUSG00000032582.14 transcript:ENSMUST00000183035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm6 description:RNA binding motif protein 6 [Source:MGI Symbol;Acc:MGI:1338037] MWGDSRSANRTGPFRGSQEERFAPGWNRDYPPPPLKSHAQER >ENSMUSP00000123845.1 pep:known chromosome:GRCm38:3:75557547:75616709:1 gene:ENSMUSG00000027834.15 transcript:ENSMUST00000161776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpini1 description:serine (or cysteine) peptidase inhibitor, clade I, member 1 [Source:MGI Symbol;Acc:MGI:1194506] MTYLELLALLALQSVVTGATFPDETITEWSVNMYNHLRGTGEDENILFSPLSIALAMGMM ELGAQGSTRKEIRHSMGYEGLKGGEEFSFLRDFSNMASAEENQYVMKLANSLFVQNGFHV NEEFLQMLKMYFNAEVNHVDFSQNVAVANSINKWVENYTNSLLKDLVSPEDFDGVTNLAL INAVYFKGNWKSQFRPENTRTFSFTKD >ENSMUSP00000029423.8 pep:known chromosome:GRCm38:3:75557547:75643495:1 gene:ENSMUSG00000027834.15 transcript:ENSMUST00000029423.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpini1 description:serine (or cysteine) peptidase inhibitor, clade I, member 1 [Source:MGI Symbol;Acc:MGI:1194506] MTYLELLALLALQSVVTGATFPDETITEWSVNMYNHLRGTGEDENILFSPLSIALAMGMM ELGAQGSTRKEIRHSMGYEGLKGGEEFSFLRDFSNMASAEENQYVMKLANSLFVQNGFHV NEEFLQMLKMYFNAEVNHVDFSQNVAVANSINKWVENYTNSLLKDLVSPEDFDGVTNLAL INAVYFKGNWKSQFRPENTRTFSFTKDDESEVQIPMMYQQGEFYYGEFSDGSNEAGGIYQ VLEIPYEGDEISMMLALSRQEVPLATLEPLLKAQLIEEWANSVKKQKVEVYLPRFTVEQE IDLKDILKALGVTEIFIKDANLTAMSDKKELFLSKAVHKSCIEVNEEGSEAAAASGMIAI SRMAVLYPQVIVDHPFLYLIRNRKSGIILFMGRVMNPETMNTSGHDFEEL >ENSMUSP00000107121.2 pep:known chromosome:GRCm38:2:90429756:90479170:-1 gene:ENSMUSG00000025314.16 transcript:ENSMUST00000111495.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprj description:protein tyrosine phosphatase, receptor type, J [Source:MGI Symbol;Acc:MGI:104574] MCMDNVLGGFEMPQALLAQGAAASAVQTSDYKDRTQHGSGGIRTMGDPGRRVLCAGAAPN PIFDIEAVVSPTSVLLTWKHNDSGASECRIENKMESNLTFPVKNQTSCNITGLSPGTSYT FSIISVTTNETLNKTITTEPWPVSDLHVTSVGVTQARLTWSNANGTASYRMLIEELTTHS SVNISGLKPGTNNSFAFPESNETQADFAVAEEVPDANGTKRIPVTNLSQLHKNSLVSVDP PSGQDPSLTEILLTDLKPDTQYNATIYSQAANGTEGQPRNKVFKTNSTQVSDVRAMNISA SSMTLTWKSNYDGSRTSIVYKIHVAGGTHSVNQTVNKTEAIILGLSSSTLYNITVHPFLG QTEGTPGFLQVYTSPDQVSDFRVTNVSTRAIGLAWRSNDSKSFEIFIKQDGGEKHRNAST GNQSYMVEDLKPGTSYHFEIIPRGPDGTEGLSSTVNGSTDPSAVTDIRVVNISTTEMQLE WQNTDDASGYTYHLVLESKSGSIIRTNSSQKWITVGSLTPGTLYNVTIFPEVDQIQGISN SITQYTRPSSVSHIEVNTTTTTAAIRWKNEDAASASYAYSVLILKTGDGSNVTSNFTKDP SILIPELIPGVSYTVKILTQVGDGTTSLVPGWNLFCTEPEPVTSFHCEVVPKEPALVLKW ACPFGMYTGFELGVRSDSWDNMTRLENCTSDDDTECRTEVAYLNFSTSYNISIATLSCGK MALPAQNICTTGITDPPTPDGSPNITSVSHNSVKVKFSGFEASHGPIKAYAVILTTGEAA QPSADVLKYTYEDFKRGASDTYVTYLIRIEEKGQSQGLSEVLNYEIDVGNQSTTLGYYNG RLEPLGSYRACVAGFTNITYNLQNDGLINGDESYVSFSPYSEAVFLPQDPGVICGAVFGC IFGALAITAVGGFIFWRKKRTDAKNNEVSFSQIKPKKSKLIRVENFEAYFKKQQADSNCG FAEEYEDLKLIGISLPKYTAEIAENRGKNRYNNVLPYDISRVKLSVQTHSTDDYINANYM PGYHSKKDFIATQGPLPNTLKDFWRMVWEKNVYAIVMLTKCVEQGRTKCEEYWPSKQAQD YGDITVAMTSEVVLPEWTIRDFVVKNMQNSESHPLRQFHFTSWPDHGVPDTTDLLINFRY LVRDYMKQIPPESPILVHCSAGVGRTGTFIAIDRLIYQIENENTVDVYGIVYDLRMHRPL MVQTEDQYVFLNQCVLDIIRAQKDSKVDLIYQNTTAMTIYENLEPSSLNVTMLLHPQLND FGCWVLGPGCCWSARIQGLVDIWEDVNCPAESLSFRCGH >ENSMUSP00000107119.1 pep:known chromosome:GRCm38:2:90432889:90471336:-1 gene:ENSMUSG00000025314.16 transcript:ENSMUST00000111493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprj description:protein tyrosine phosphatase, receptor type, J [Source:MGI Symbol;Acc:MGI:104574] MESNLTFPVKNQTSCNITGLSPGTSYTFSIISVTTNETLNKTITTEPWPVSDLHVTSVGV TQARLTWSNANGTASYRMLIEELTTHSSVNISGLKPGTNNSFAFPESNETQADFAVAEEV PDANGTKRIPVTNLSQLHKNSLVSVDPPSGQDPSLTEILLTDLKPDTQYNATIYSQAANG TEGQPRNKVFKTNSTQVSDVRAMNISASSMTLTWKSNYDGSRTSIVYKIHVAGGTHSVNQ TVNKTEAIILGLSSSTLYNITVHPFLGQTEGTPGFLQVYTSPDQVSDFRVTNVSTRAIGL AWRSNDSKSFEIFIKQDGGEKHRNASTGNQSYMVEDLKPGTSYHFEIIPRGPDGTEGLSS TVNGSTDPSAVTDIRVVNISTTEMQLEWQNTDDASGYTYHLVLESKSGSIIRTNSSQKWI TVGSLTPGTLYNVTIFPEVDQIQGISNSITQYTRPSSVSHIEVNTTTTTAAIRWKNEDAA SASYAYSVLILKTGDGSNVTSNFTKDPSILIPELIPGVSYTVKILTQVGDGTTSLVPGWN LFCTEPEPVTSFHCEVVPKEPALVLKWACPFGMYTGFELGVRSDSWDNMTRLENCTSDDD TECRTEVAYLNFSTSYNISIATLSCGKMALPAQNICTTGITDPPTPDGSPNITSVSHNSV KVKFSGFEASHGPIKAYAVILTTGEAAQPSADVLKYTYEDFKRGASDTYVTYLIRIEEKG QSQGLSEVLNYEIDVGNQSTTLGYYNGRLEPLGSYRACVAGFTNITYNLQNDGLINGDES YVSFSPYSEAVFLPQDPGVICGAVFGCIFGALAITAVGGFIFWRKKRTDAKNNEVSFSQI KPKKSKLIRVENFEAYFKKQQADSNCGFAEEYEDLKLIGISLPKYTAEIAENRGKNRYNN VLPYDISRVKLSVQTHSTDDYINANYMPGYHSKKDFIATQGPLPNTLKDFWRMVWEKNVY AIVMLTKCVEQGRTKCEEYWPSKQAQDYGDITVAMTSEVVLPEWTIRDFVVKNMQNSESH PLRQFHFTSWPDHGVPDTTDLLINFRYLVRDYMKQIPPESPILVHCSAGVGRTGTFIAID RLIYQIENENTVDVYGIVYDLRMHRPLMVQTEDQYVFLNQCVLDIIRAQKDSKVDLIYQN TTAMTIYENLEPVSMFGKTNGYIA >ENSMUSP00000129592.2 pep:known chromosome:GRCm38:2:90432889:90580647:-1 gene:ENSMUSG00000025314.16 transcript:ENSMUST00000168621.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprj description:protein tyrosine phosphatase, receptor type, J [Source:MGI Symbol;Acc:MGI:104574] MTRGGGRGSSRGRGSRELGATRGGWAPLAPPREAPASLRPRPLRARRARLRRVAAAAAAA MSPGKPGAGGAGTRRTGWRRRRRRRRLETETRAPGFGHTAGRVPGTFQGAQGMKPAARET RTPPRSPGLRWALLPLLLLLRQGQVLCAGAAPNPIFDIEAVVSPTSVLLTWKHNDSGASE CRIENKMESNLTFPVKNQTSCNITGLSPGTSYTFSIISVTTNETLNKTITTEPWPVSDLH VTSVGVTQARLTWSNANGTASYRMLIEELTTHSSVNISGLKPGTNNSFAFPESNETQADF AVAEEVPDANGTKRIPVTNLSQLHKNSLVSVDPPSGQDPSLTEILLTDLKPDTQYNATIY SQAANGTEGQPRNKVFKTNSTQVSDVRAMNISASSMTLTWKSNYDGSRTSIVYKIHVAGG THSVNQTVNKTEAIILGLSSSTLYNITVHPFLGQTEGTPGFLQVYTSPDQVSDFRVTNVS TRAIGLAWRSNDSKSFEIFIKQDGGEKHRNASTGNQSYMVEDLKPGTSYHFEIIPRGPDG TEGLSSTVNGSTDPSAVTDIRVVNISTTEMQLEWQNTDDASGYTYHLVLESKSGSIIRTN SSQKWITVGSLTPGTLYNVTIFPEVDQIQGISNSITQYTRPSSVSHIEVNTTTTTAAIRW KNEDAASASYAYSVLILKTGDGSNVTSNFTKDPSILIPELIPGVSYTVKILTQVGDGTTS LVPGWNLFCTEPEPVTSFHCEVVPKEPALVLKWACPFGMYTGFELGVRSDSWDNMTRLEN CTSDDDTECRTEVAYLNFSTSYNISIATLSCGKMALPAQNICTTGITDPPTPDGSPNITS VSHNSVKVKFSGFEASHGPIKAYAVILTTGEAAQPSADVLKYTYEDFKRGASDTYVTYLI RIEEKGQSQGLSEVLNYEIDVGNQSTTLGYYNGRLEPLGSYRACVAGFTNITYNLQNDGL INGDESYVSFSPYSEAVFLPQDPGVICGAVFGCIFGALAITAVGGFIFWRKKRTDAKNNE VSFSQIKPKKSKLIRVENFEAYFKKQQADSNCGFAEEYEDLKLIGISLPKYTAEIAENRG KNRYNNVLPYDISRVKLSVQTHSTDDYINANYMPGYHSKKDFIATQGPLPNTLKDFWRMV WEKNVYAIVMLTKCVEQGRTKCEEYWPSKQAQDYGDITVAMTSEVVLPEWTIRDFVVKNM QNSESHPLRQFHFTSWPDHGVPDTTDLLINFRYLVRDYMKQIPPESPILVHCSAGVGRTG TFIAIDRLIYQIENENTVDVYGIVYDLRMHRPLMVQTEDQYVFLNQCVLDIIRAQKDSKV DLIYQNTTAMTIYENLEPVSMFGKTNGYIA >ENSMUSP00000118393.2 pep:known chromosome:GRCm38:7:87584393:88134907:1 gene:ENSMUSG00000049583.15 transcript:ENSMUST00000125009.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm5 description:glutamate receptor, metabotropic 5 [Source:MGI Symbol;Acc:MGI:1351342] MVLLLILSVLLLKEDVRGSAQSSERRVVAHMPGDIIIGALFSVHHQPTVDKVHERKCGAV REQYGIQRVEAMLHTLERINSDPTLLPNITLGCEIRDSCWHSAVALEQSIEFIRDSLISS EEEEGLVRCVDGSSSFRSKKPIVGVIGPGSSSVAIQVQNLLQLFNIPQIAYSATSMDLSD KTLFKYFMRVVPSDAQQARAMVDIVKRYNWTYVSAVHTEGNYGESGMEAFKDMSAKEGIC IAHSYKIYSNAGEQSFDKLLKKLRSHLPKARVVACFCEGMTVRGLLMAMRRLGLAGEFLL LGSDGWADRYDVTDGYQREAVGGITIKLQSPDVKWFDDYYLKLRPETNLRNPWFQEFWQH RFQCRLEGFAQENSKYNKTCNSSLTLRTHHVQDSKMGFVINAIYSMAYGLHNMQMSLCPG YAGLCDAMKPIDGRKLLDSLMKTNFTGVSGDMILFDENGDSPGRYEIMNFKEMGKDYFDY INVGSWDNGELKMDDDEVWSKKNNIIRSVCSEPCEKGQIKVIRKGEVSCCWTCTPCKENE YVFDEYTCKACQLGSWPTDDLTGCDLIPVQYLRWGDPEPIAAVVFACLGLLATLFVTVIF IIYRDTPVVKSSSRELCYIILAGICLGYLCTFCLIAKPKQIYCYLQRIGIGLSPAMSYSA LVTKTNRIARILAGSKKKICTKKPRFMSACAQLVIAFILICIQLGIIVALFIMEPPDIMH DYPSIREVYLICNTTNLGVVTPLGYNGLLILSCTFYAFKTRNVPANFNEAKYIAFTMYTT CIIWLAFVPIYFGSNYKIITMCFSVSLSATVALGCMFVPKVYIILAKPERNVRSAFTTST VVRMHVGDGKSSSAASRSSSLVNLWKRRGSSGETLSSNGKSVTWAQNEKSTRGQHLWQRL SVHINKKENPNQTAVIKPFPKSTESRGQGAGAGGGSGPGAAGAGSAGCTATGGPEPPDAG PKALYDVAEAEERFPAAARPRSPSPISTLSHLAGSAGRTDDDAPSLHSETAARSSSSQGS LMEQISSVVTRFTANITELNSMMLSTAAAPGPPGTPICSSYLIPKEIQLPTTMTTFAEIQ PLPAIEVTGGAQPATGPSPAQETPAGAEAAPGKPDLEELVALTPPSPFRDSVDSGSTTPN SPVSESALCIPSSPKYDTLIIRDYTQSSSSL >ENSMUSP00000114927.2 pep:known chromosome:GRCm38:7:87602441:88131654:1 gene:ENSMUSG00000049583.15 transcript:ENSMUST00000155358.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm5 description:glutamate receptor, metabotropic 5 [Source:MGI Symbol;Acc:MGI:1351342] MVLLLILSVLLLKEDVRGSAQSSERRVVAHMPGDIIIGALFSVHHQPTVDKVHERKCGAV REQYGIQRVEAMLHTLERINSDPTLLPNITLGCEIRDSCWHSAVALEQSIEFIRDSLISS EEEEGLVRCVDGSSSFRSKKPIVGVIGPGSSSVAIQVQNLLQLFNIPQIAYSATSMDLSD KTLFKYFMRVVPSDAQQARAMVDIVKRYNWTYVSAVHTEGNYGESGMEAFKDMSAKEGIC IAHSYKIYSNAGEQSFDKLLKKLRSHLPKARVVACFCEGMTVRGLLMAMRRLGLAGEFLL LGSDGWADRYDVTDGYQREAVGGITIKLQSPDVKWFDDYYLKLRPETNLRNPWFQEFWQH RFQCRLEGFAQENSKYNKTCNSSLTLRTHHVQDSKMGFVINAIYSMAYGLHNMQMSLCPG YAGLCDAMKPIDGRKLLDSLMKTNFTGVSGDMILFDENGDSPGRYEIMNFKEMGKDYFDY INVGSWDNGELKMDDDEVWSKKNNIIRSVCSEPCEKGQIKVIRKGEVSCCWTCTPCKENE YVFDEYTCKACQLGSWPTDDLTGCDLIPVQYLRWGDPEPIAAVVFACLGLLATLFVTVIF IIYRDTPVVKSSSRELCYIILAGICLGYLCTFCLIAKPKQIYCYLQRIGIGLSPAMSYSA LVTKTNRIARILAGSKKKICTKKPRFMSACAQLVIAFILICIQLGIIVALFIMEPPDIMH DYPSIREVYLICNTTNLGVVTPLGYNGLLILSCTFYAFKTRNVPANFNEAKYIAFTMYTT CIIWLAFVPIYFGSNYKIITMCFSVSLSATVALGCMFVPKVYIILAKPERNVRSAFTTST VVRMHVGDGKSSSAASRSSSLVNLWKRRGSSGETLRYKDRRLAQHKSEIECFTPKGSMGN GGRATMSSSNGKSVTWAQNEKSTRGQHLWQRLSVHINKKENPNQTAVIKPFPKSTESRGQ GAGAGGGSGPGAAGAGSAGCTATGGPEPPDAGPKALYDVAEAEERFPAAARPRSPSPIST LSHLAGSAGRTDDDAPSLHSETAARSSSSQGSLMEQISSVVTRFTANITELNSMMLSTAA APGPPGTPICSSYLIPKEIQLPTTMTTFAEIQPLPAIEVTGGAQPATGPSPAQETPAGAE AAPGKPDLEELVALTPPSPFRDSVDSGSTTPNSPVSESALCIPSSPKYDTLIIRDYTQSS SSL >ENSMUSP00000102884.2 pep:known chromosome:GRCm38:7:87602544:88130869:1 gene:ENSMUSG00000049583.15 transcript:ENSMUST00000107263.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm5 description:glutamate receptor, metabotropic 5 [Source:MGI Symbol;Acc:MGI:1351342] MVLLLILSVLLLKEDVRGSAQSSERRVVAHMPGDIIIGALFSVHHQPTVDKVHERKCGAV REQYGIQRVEAMLHTLERINSDPTLLPNITLGCEIRDSCWHSAVALEQSIEFIRDSLISS EEEEGLVRCVDGSSSFRSKKPIVGVIGPGSSSVAIQVQNLLQLFNIPQIAYSATSMDLSD KTLFKYFMRVVPSDAQQARAMVDIVKRYNWTYVSAVHTEGNYGESGMEAFKDMSAKEGIC IAHSYKIYSNAGEQSFDKLLKKLRSHLPKARVVACFCEGMTVRGLLMAMRRLGLAGEFLL LGSDGWADRYDVTDGYQREAVGGITIKLQSPDVKWFDDYYLKLRPETNLRNPWFQEFWQH RFQCRLEGFAQENSKYNKTCNSSLTLRTHHVQDSKMGFVINAIYSMAYGLHNMQMSLCPG YAGLCDAMKPIDGRKLLDSLMKTNFTGVSGDMILFDENGDSPGRYEIMNFKEMGKDYFDY INVGSWDNGELKMDDDEVWSKKNNIIRSVCSEPCEKGQIKVIRKGEVSCCWTCTPCKENE YVFDEYTCKACQLGSWPTDDLTGCDLIPVQYLRWGDPEPIAAVVFACLGLLATLFVTVIF IIYRDTPVVKSSSRELCYIILAGICLGYLCTFCLIAKPKQIYCYLQRIGIGLSPAMSYSA LVTKTNRIARILAGSKKKICTKKPRFMSACAQLVIAFILICIQLGIIVALFIMEPPDIMH DYPSIREVYLICNTTNLGVVTPLGYNGLLILSCTFYAFKTRNVPANFNEAKYIAFTMYTT CIIWLAFVPIYFGSNYKIITMCFSVSLSATVALGCMFVPKVYIILAKPERNVRSAFTTST VVRMHVGDGKSSSAASRSSSLVNLWKRRGSSGETLSSNGKSVTWAQNEKSTRGQHLWQRL SVHINKKENPNQTAVIKPFPKSTESRGQGAGAGGGSGPGAAGAGSAGCTATGGPEPPDAG PKALYDVAEAEERFPAAARPRSPSPISTLSHLAGSAGRTDDDAPSLHSETAARSSSSQGS LMEQISSVVTRFTANITELNSMMLSTAAAPGPPGTPICSSYLIPKEIQLPTTMTTFAEIQ PLPAIEVTGGAQPATGPSPAQETPAGAEAAPGKPDLEELVALTPPSPFRDSVDSGSTTPN SPVSESALCIPSSPKYDTLIIRDYTQSSSSL >ENSMUSP00000136497.1 pep:known chromosome:GRCm38:7:5109787:5116911:-1 gene:ENSMUSG00000035191.15 transcript:ENSMUST00000179971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfpl4 description:ret finger protein-like 4 [Source:MGI Symbol;Acc:MGI:2149590] MAHLFKEKSNCYFCFRCLESPVYLNCGYICCLKCLDSLEKSPEGDGVLCPTCSVVSLKED IIHAKQLGALVTKIKNLEPQLNFILTMDQGMKIFQVTMTLDVDTAQNHLIISDDLLSVYY TPQKQARKKCAERFHPSPCVLGSSRFTSGRHYWEVVVGTSKEWDIGICKESINRKKAIHL SEKNGFWTVGVRAKKVYSASTDPLTVLRVNPRLRRVGIFLDMLEKSVSFWDLSDGSHIYT FLEIPDTDPFRPFFSPASSYPDGDQEQVLSICPVTNPGIFGIPVNPQ >ENSMUSP00000039519.7 pep:known chromosome:GRCm38:7:5109866:5116911:-1 gene:ENSMUSG00000035191.15 transcript:ENSMUST00000045215.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfpl4 description:ret finger protein-like 4 [Source:MGI Symbol;Acc:MGI:2149590] MAHLFKEKSNCYFCFRCLESPVYLNCGYICCLKCLDSLEKSPEGDGVLCPTCSVVSLKED IIHAKQLGALVTKIKNLEPQLNFILTMDQGMKIFQVTMTLDVDTAQNHLIISDDLLSVYY TPQKQARKKCAERFHPSPCVLGSSRFTSGRHYWEVVVGTSKEWDIGICKESINRKKAIHL SEKNGFWTVGVRAKKVYSASTDPLTVLRVNPRLRRVGIFLDMLEKSVSFWDLSDGSHIYT FLEIPDTDPFRPFFSPASSYPDGDQEQVLSICPVTNPGIFGIPVNPQ >ENSMUSP00000146891.1 pep:known chromosome:GRCm38:7:5110247:5116950:-1 gene:ENSMUSG00000035191.15 transcript:ENSMUST00000208468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfpl4 description:ret finger protein-like 4 [Source:MGI Symbol;Acc:MGI:2149590] MAHLFKEKSNCYFCFRCLESPVYLNCGYICCLKCLDSLEKSPEGDGVLCPTCSVVSLKED IIHAKQLGALVTKIKNLEPQLNFILTMDQVTMTLDVDTAQNHLIISDDLLSVYYTPQKQA RKKCAERFHPSPCVLGSSRFTSGRHYWEVVVGTSKEWDIGICKESINRKKAIHLSEKNGF WTVGVRAKKVYSASTDPLTVLRVNPRLRRVGIFLDMLEKSVSFWDLSDGSHIYTFLEIPD TDPFRPFFSPASSYPDGDQEQVLSICPVTNPGIFGIPVNPQ >ENSMUSP00000100835.1 pep:known chromosome:GRCm38:7:21259694:21260617:-1 gene:ENSMUSG00000094221.1 transcript:ENSMUST00000105200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r124 description:vomeronasal 1 receptor 124 [Source:MGI Symbol;Acc:MGI:3779910] MSVHGKSVKTTEEVALQILLLCQFGVGTLANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTTFPNNMMAFGPKTPPTELKCKLEFFSHIVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLILRVSVPNFANYSCYSCWFFSVLSNIHIPIKVTGPQIIDNNTDSKSNLFCST SGFIVGIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAETRENR TILMLVVTFVSFYLLNFICIIFHAFSIHSLLFMRLVSEVLAAVFPSICTLVLIFRDPKDP CSVLFKC >ENSMUSP00000026240.7 pep:known chromosome:GRCm38:19:45736798:45742874:-1 gene:ENSMUSG00000025219.13 transcript:ENSMUST00000026240.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf8 description:fibroblast growth factor 8 [Source:MGI Symbol;Acc:MGI:99604] MGSPRSALSCLLLHLLVLCLQAQEGPGGGPALGREPTSLLRAGREPQGVSQQVTVQSSPN FTQHVREQSLVTDQLSRRLIRTYQLYSRTSGKHVQVLANKRINAMAEDGDPFAKLIVETD TFGSRVRVRGAETGLYICMNKKGKLIAKSNGKGKDCVFTEIVLENNYTALQNAKYEGWYM AFTRKGRPRKGSKTRQHQREVHFMKRLPRGHHTTEQSLRFEFLNYPPFTRSLRGSQRTWA PEPR >ENSMUSP00000107559.1 pep:known chromosome:GRCm38:19:45736813:45742915:-1 gene:ENSMUSG00000025219.13 transcript:ENSMUST00000111928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf8 description:fibroblast growth factor 8 [Source:MGI Symbol;Acc:MGI:99604] MGSPRSALSCLLLHLLVLCLQAQVTVQSSPNFTQHVREQSLVTDQLSRRLIRTYQLYSRT SGKHVQVLANKRINAMAEDGDPFAKLIVETDTFGSRVRVRGAETGLYICMNKKGKLIAKS NGKGKDCVFTEIVLENNYTALQNAKYEGWYMAFTRKGRPRKGSKTRQHQREVHFMKRLPR GHHTTEQSLRFEFLNYPPFTRSLRGSQRTWAPEPR >ENSMUSP00000026241.5 pep:known chromosome:GRCm38:19:45736818:45742873:-1 gene:ENSMUSG00000025219.13 transcript:ENSMUST00000026241.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf8 description:fibroblast growth factor 8 [Source:MGI Symbol;Acc:MGI:99604] MGSPRSALSCLLLHLLVLCLQAQVRSAAQKRGPGAGNPADTLGQGHEDRPFGQRSRAGKN FTNPAPNYPEEGSKEQRDSVLPKVTQRHVREQSLVTDQLSRRLIRTYQLYSRTSGKHVQV LANKRINAMAEDGDPFAKLIVETDTFGSRVRVRGAETGLYICMNKKGKLIAKSNGKGKDC VFTEIVLENNYTALQNAKYEGWYMAFTRKGRPRKGSKTRQHQREVHFMKRLPRGHHTTEQ SLRFEFLNYPPFTRSLRGSQRTWAPEPR >ENSMUSP00000107558.1 pep:known chromosome:GRCm38:19:45736936:45742858:-1 gene:ENSMUSG00000025219.13 transcript:ENSMUST00000111927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf8 description:fibroblast growth factor 8 [Source:MGI Symbol;Acc:MGI:99604] MGSPRSALSCLLLHLLVLCLQAQHVREQSLVTDQLSRRLIRTYQLYSRTSGKHVQVLANK RINAMAEDGDPFAKLIVETDTFGSRVRVRGAETGLYICMNKKGKLIAKSNGKGKDCVFTE IVLENNYTALQNAKYEGWYMAFTRKGRPRKGSKTRQHQREVHFMKRLPRGHHTTEQSLRF EFLNYPPFTRSLRGSQRTWAPEPR >ENSMUSP00000107555.1 pep:known chromosome:GRCm38:19:45736971:45742684:-1 gene:ENSMUSG00000025219.13 transcript:ENSMUST00000111924.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf8 description:fibroblast growth factor 8 [Source:MGI Symbol;Acc:MGI:99604] MAEDGDPFAKLIVETDTFGSRVRVRGAETGLYICMNKKGKLIAKSNGKGKDCVFTEIVLE NNYTALQNAKYEGWYMAFTRKGRPRKGSKTRQHQREVHFMKRLPRGHHTTEQSLRFEFLN YPPFTRSLRGSQRTWAPEPR >ENSMUSP00000107556.1 pep:known chromosome:GRCm38:19:45736990:45742684:-1 gene:ENSMUSG00000025219.13 transcript:ENSMUST00000111925.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf8 description:fibroblast growth factor 8 [Source:MGI Symbol;Acc:MGI:99604] MGSPRSALSCLLLHLLVLCLQAQEGPGGGPALGREPTSLLRAGREPQGVSQQHVREQSLV TDQLSRRLIRTYQLYSRTSGKHVQVLANKRINAMAEDGDPFAKLIVETDTFGSRVRVRGA ETGLYICMNKKGKLIAKSNGKGKDCVFTEIVLENNYTALQNAKYEGWYMAFTRKGRPRKG SKTRQHQREVHFMKRLPRGHHTTEQSLRFEFLNYPPFTRSLRGSQRTWAPEPR >ENSMUSP00000024959.3 pep:known chromosome:GRCm38:17:87025550:87035810:1 gene:ENSMUSG00000024146.9 transcript:ENSMUST00000024959.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cript description:cysteine-rich PDZ-binding protein [Source:MGI Symbol;Acc:MGI:1929655] MVCEKCEKKLGRVITPDTWKDGARNTTESGGRKLNENKALTSKKARFDPYGKNKFSTCRI CKSSVHQPGSHYCQGCAYKKGICAMCGKKVLDTKNYKQTSV >ENSMUSP00000124994.1 pep:known chromosome:GRCm38:17:87025611:87035472:1 gene:ENSMUSG00000024146.9 transcript:ENSMUST00000160269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cript description:cysteine-rich PDZ-binding protein [Source:MGI Symbol;Acc:MGI:1929655] AAPALPAEGTGTPPCEKKLGRVITPDTWKDGARNTTESGGRKLNENKALTSKKARFDPYG KNKFSTCRICKSSVHQPGSHYCQGCAYKKGICAMCGKKVLDTKNYKQTSV >ENSMUSP00000139146.1 pep:known chromosome:GRCm38:X:37639115:37643046:-1 gene:ENSMUSG00000079629.5 transcript:ENSMUST00000183888.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2g description:reproductive homeobox 2G [Source:MGI Symbol;Acc:MGI:3648776] XMDNNNQEDLGATGCAQEKEKQPEEPVPDSMGDLENVKPMSGPWSTVNPVRVLVPEFLHG WQQSFNVLQLQELESIFQCNHYISTTEANGF >ENSMUSP00000110823.3 pep:known chromosome:GRCm38:X:37639117:37643470:-1 gene:ENSMUSG00000079629.5 transcript:ENSMUST00000115169.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2g description:reproductive homeobox 2G [Source:MGI Symbol;Acc:MGI:3648776] MERQSINYKLDVGPEEDEENANGIKTLMVLLAGEGRNEGESGRGLPGSGVSAAEGYRAGE LSAGGLAAPVADLMDNNNQEDLGATGCAQEKEKQPEEPVPDSMGDLENVKPMSGPWSTVN PVRVLVPEFLHGWQQSFNVLQLQELESIFQCNHYISTTEAKCLARSMGVSKATVQEWFLK RREKYRSYKRL >ENSMUSP00000115260.1 pep:known chromosome:GRCm38:3:37063527:37112512:1 gene:ENSMUSG00000027719.15 transcript:ENSMUST00000144629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adad1 description:adenosine deaminase domain containing 1 (testis specific) [Source:MGI Symbol;Acc:MGI:103258] MATAGGSRRAPVPGPRLGLPLAAHLPASLGGEGAKDSVGGEKTSGNNDWFQSSRVPSFAQ MLKKNLPVQPSAQTVTLPTGYSSESCSLSNMASKVTQVTGNFPEPLLSKGLSSISNPVLP PKKLPKEFIMKYKRGEINPVSALHQFAQMQRVQLDLKETVTTGNVMGPYFAFCAVVDGIQ YKTGLGQNKKESRSNAAKLALDELLQLDEPEPRVLEPAGPPPIPAEPVVTPEAAYVSKVQ YEGRQVQYAKISQLVKETFGQLISNHSQYLKCSSSLAAFIIERAGHHEVVAIGTGEYNYS QCIKPNGRVLHDTHAVVTARRSLLRYFYRQLLLFYSKNPAMMEKSIFCTEPASNLLTLKQ NINLYLYMNQLPKGSAQIKSQLRLNPHSISAFEANEELSLHVAVEGKIYLTVYCSADGVN RVNSMSSSDKLTRWEVLGVQGALLSHFIQPVYISSILVGDGNCNDTRGLEIAINQRVDDA LTSKLPMFYLVNRPHISLVPTAYPLQINLDHKSLSLNWAQGDNSLEIVDGLNGKITESSP FKSGLSMASRLCKAAMLSRFNLLAKEAKTDDLLEARTYHAAKCMSGPYQEAKALLKAYLQ QHGYGSWIVKSPCIEQFSM >ENSMUSP00000029274.7 pep:known chromosome:GRCm38:3:37063631:37111512:1 gene:ENSMUSG00000027719.15 transcript:ENSMUST00000029274.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adad1 description:adenosine deaminase domain containing 1 (testis specific) [Source:MGI Symbol;Acc:MGI:103258] MLKKNLPVQPSAQTVTLPTGYSSESCSLSNMASKVTQVTGNFPEPLLSKGLSSISNPVLP PKKLPKEFIMKYKRGEINPVSALHQFAQMQRVQLDLKETVTTGNVMGPYFAFCAVVDGIQ YKTGLGQNKKESRSNAAKLALDELLQLDEPEPRVLEPAGPPPIPAEPVVTPEAAYVSKVQ YEGRQVQYAKISQLVKETFGQLISNHSQYLKCSSSLAAFIIERAGHHEVVAIGTGEYNYS QCIKPNGRVLHDTHAVVTARRSLLSKNPAMMEKSIFCTEPASNLLTLKQNINLYLYMNQL PKGSAQIKSQLRLNPHSISAFEANEELSLHVAVEGKIYLTVYCSADGVNRVNSMSSSDKL TRWEVLGVQGALLSHFIQPVYISSILVGDGNCNDTRGLEIAINQRVDDALTSKLPMFYLV NRPHISLVPTAYPLQINLDHKSLSLNWAQGDNSLEIVDGLNGKITESSPFKSGLSMASRL CKAAMLSRFNLLAKEAKTDDLLEARTYHAAKCMSGPYQEAKALLKAYLQQHGYGSWIVKS PCIEQFSM >ENSMUSP00000121015.1 pep:known chromosome:GRCm38:3:37085090:37121930:1 gene:ENSMUSG00000027719.15 transcript:ENSMUST00000147773.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adad1 description:adenosine deaminase domain containing 1 (testis specific) [Source:MGI Symbol;Acc:MGI:103258] XLGVQGALLSHFIQPVYISSILVGDGNCNDTRGLEIAINQRVDDALTSKLPMFYLVNRPH ISLVPTAYPLQINLDHKSLSLNWAQGDNSLEIVDGLNGKITESSPFKSGLSMASRLCKAA MLSRFNLLAKEAKTDDLLEARTYHAAKCMSGPYQEAKALLKAYLQQHGYGSWIVKSPCIE QFSDKLRRKYFDKFMGWLFQI >ENSMUSP00000019843.8 pep:known chromosome:GRCm38:1:177020073:177248805:-1 gene:ENSMUSG00000019699.15 transcript:ENSMUST00000019843.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt3 description:thymoma viral proto-oncogene 3 [Source:MGI Symbol;Acc:MGI:1345147] MSDVTIVKEGWVQKRGEYIKNWRPRYFLLKTDGSFIGYKEKPQDVDLPYPLNNFSVAKCQ LMKTERPKPNTFIIRCLQWTTVIERTFHVDTPEEREEWTEAIQAVADRLQRQEEERMNCS PTSQIDNIGEEEMDASTTHHKRKTMNDFDYLKLLGKGTFGKVILVREKASGKYYAMKILK KEVIIAKDEVAHTLTESRVLKNTRHPFLTSLKYSFQTKDRLCFVMEYVNGGELFFHLSRE RVFSEDRTRFYGAEIVSALDYLHSGKIVYRDLKLENLMLDKDGHIKITDFGLCKEGITDA ATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHEKLFELILM EDIKFPRTLSSDAKSLLSGLLIKDPNKRLGGGPDDAKEIMRHSFFSGVNWQDVYDKKLVP PFKPQVTSETDTRYFDEEFTAQTITITPPEKCQQSDCGMLGNWKKNDNKK >ENSMUSP00000106789.1 pep:known chromosome:GRCm38:1:177022116:177258203:-1 gene:ENSMUSG00000019699.15 transcript:ENSMUST00000111159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt3 description:thymoma viral proto-oncogene 3 [Source:MGI Symbol;Acc:MGI:1345147] MSDVTIVKEGWVQKRGEYIKNWRPRYFLLKTDGSFIGYKEKPQDVDLPYPLNNFSVAKCQ LMKTERPKPNTFIIRCLQWTTVIERTFHVDTPEEREEWTEAIQAVADRLQRQEEERMNCS PTSQIDNIGEEEMDASTTHHKRKTMNDFDYLKLLGKGTFGKVILVREKASGKYYAMKILK KEVIIAKDEVAHTLTESRVLKNTRHPFLTSLKYSFQTKDRLCFVMEYVNGGELFFHLSRE RVFSEDRTRFYGAEIVSALDYLHSGKIVYRDLKLENLMLDKDGHIKITDFGLCKEGITDA ATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHEKLFELILM EDIKFPRTLSSDAKSLLSGLLIKDPNKRLGGGPDDAKEIMRHSFFSGVNWQDVYDKKLVP PFKPQVTSETDTRYFDEEFTAQTITITPPEKYDDDGMDGMDNERRPHFPQFSYSASGRE >ENSMUSP00000106790.2 pep:known chromosome:GRCm38:1:177022115:177248767:-1 gene:ENSMUSG00000019699.15 transcript:ENSMUST00000111160.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt3 description:thymoma viral proto-oncogene 3 [Source:MGI Symbol;Acc:MGI:1345147] MSDVTIVKEGWVQKRGEYIKNWRPRYFLLKTDGSFIGYKEKPQDVDLPYPLNNFSVAKCQ LMKTERPKPNTFIIRCLQWTTVIERTFHVDTPEEREEWTEAIQAVADRLQRQEEERMNCS PTSQIDNIGEEEMDASTTHHKRKTMNDFDYLKLLGKGTFGKVILVREKASGKYYAMKILK KEVIIAKDEVAHTLTESRVLKNTRHPFLTSLKYSFQTKDRLCFVMEYVNGGELFFHLSRE RVFSEDRTRFYGAEIVSALDYLHSGKIVYRDLKLENLMLDKDGHIKITDFGLCKEGITDA ATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHEKLFELILM EDIKFPRTLSSDAKSLLSGLLIKDPNKRLGGGPDDAKEIMRHSFFSGVNWQDVYDKKLVP PFKPQVTSETDTRYFDEEFTAQTITITPPEKYDDDGMDGMDNERRPHFPQFSYSASGRE >ENSMUSP00000081912.5 pep:known chromosome:GRCm38:7:101969843:102014959:1 gene:ENSMUSG00000066306.12 transcript:ENSMUST00000084852.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numa1 description:nuclear mitotic apparatus protein 1 [Source:MGI Symbol;Acc:MGI:2443665] MTLHATRAATLLSWVNSLHVADPVETVLQLQDCSIFIKIINTIHDTKEGQQILQQPLPER LDFVCSFLQKNRKHPSSTQCLVSVQKVIEGSEMELAKMIMLFLYQSTMSSRNLRDWEQFE YGVQAELAVILKFMLDHEESLNLTEDLESFLEKVPYTHASTLSEELSPPSHQTKRKIRFL EIQRIASSSSENNFLSGSPSSPMGDILQTPQFQMRRLKKQLADERSNRDDLELELSESLK LLTEKDAQIAMMQQRIDHLALLNEKQAASSQEPSELEELRGKNESLTVRLHETLKQCQNL KTEKSQMDRKISQLSEENGDLSFKVREFANHLQQLQGAFNDLIEEHSKASQEWAEKQARL ENELSTALQDKKCLEEKNEILQGKLSQLEDQATRLQESPAPEKGEVLGDALQLDTLKQEA AKLATDNTQLQTRVETLECERGKQEAQLLAERSRFEDEKQQLASLIADLQSSVSNLSQAK EELEQASQAQGAQLTAQLTSMTGLNATLQQRDQELASLKEQAKKEQAQMLQTMQEQEQAA QGLRQQVEQLSSSLKLKEQQLEEAAKEQEATRQDHAQQLAIVAEAREASLRERDTARQQL ETVEKEKDAKLESLQQQLQAANDARDNAQTSVTQAQQEKAELSQKIGELHACIEASHQEQ RQVQARVTELEAQLKAEQQKTTEREKVVQEKAQLQEQLRALEESLKITKGSLEEEKRRAA DALKEQQCRATEMEAESRSLMEQREREQKELEQEKAGRKGLEARIQQLEEAHQAETEALR HELAEATASQHRAESECERLIREVESRQKRFEARQQEEARYGAMFQEQLMALKGEKTGQE VQEEAVEIHSEGQPGQQQSQLAQLHASLAKAIQQVQEKEVRAQKLVDDLSALQEKMAATN KEVACLKTLVLKAGEQQETASLELLKEPPRAANRASDQLGEQQGRPFSSTHAAVKAMERE AEQMGGELERLRAALIKSQGQQQEERGQQEREVARLTQERGQAQADLAQEKAAKAELEMR LQNTLNEQRVEFAALQEALAHALTEKEGTDQELAKLRGQEAAQRTELKELQQTLEQLKIQ LVKKEKEHPAGGASGEDASGPGTQSETAGKTDAPGPELQALRAEISKLEQQCQQQQQQVE GLTHSLKSERACRAEQDKALETLQGQLEEKARELGHNQAASASAQRELQALRAKAQDHSK AEEEWKAQVARGQQEAERKSSLISSLEEEVSILNRQVLEKEGESKELKRLVVAESEKSQK LEERLRLLQVETASNSARAAERSSALREEVQSLREEVEKQRVVSENSRQELASQAERAEE LGQELKAWQEKFFQKEQALSALQLEHTSTQALVSELLPAKHLCQQLQAEQAAAEKRFREE LEQSKQAAGGLQAELMRAQRELGELGSLRQKIVEQERAAQQLRAEKASYAEQLSMLKKAH GLLAEENRGLGERANLGRQFLEVELDQAREKYVQELAAVRTDAETHLAEMRQEAQSTSRE LEVMTAKYEGAKVKVLEERQRFQEERQKLTAQVEELSKKLTEHDQASKVQQQKLKAFQAQ RGESQQEVQRLQTQLNELQAQLSQKEQAAEHYKLQMEKAKTHYDAKKQQNQKLQEQLQDL EELQKENKELRSEAERLGRELQQAGLKTKEAEQTCRHLTAQVRSLEAQVAHADQQLRDLG KFQVATDALKSREPQVKPQLDLSIDSLDLSLEEGTPCSVASKLPRTQPDGTSVPGEPASP ISQRLPPKVESLESLYFTPTPARGQAPLETSLDSLGDAFPDSGRKTRSARRRTTQIINIT MTKKLELEEPDSANSSFYSTQSAPASQANLRATSSTQSLARLGSPDDGNSALLSLPGYRP TTRSSARRSQARMSSGAPQGRNSFYMGTCQDEPEQLDDWNRIAELQQRNRVCPPHLKTCY PLESRPTLSLATITDEEMKTGDPRETLRRASMQPAQIAEGVGITTRQQRKRVSSETHQGP GTPESKKATSCFPRPMTPRDRHEGRKQSSTADTQKKAAPVLKQADRRQSMAFSILNTPKK LGNSLLRRGASKKTPAKVSPNPRSGTRRSPRIATTTTGTATVATTPRAKGKVKH >ENSMUSP00000126180.1 pep:known chromosome:GRCm38:7:102001165:102014955:1 gene:ENSMUSG00000066306.12 transcript:ENSMUST00000163183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numa1 description:nuclear mitotic apparatus protein 1 [Source:MGI Symbol;Acc:MGI:2443665] AEQAAAEKRFREELEQSKQAAGGLQAELMRAQRELGELGSLRQKIVEQERAAQQLRAEKA SYAEQLSMLKKAHGLLAEENRGLGERANLGRQFLEVELDQAREKYVQELAAVRTDAETHL AEMRQEAQSTSRELEVMTAKYEGAKVKVLEERQRFQEERQKLTAQVEQLEVFQREQTKQV EELSKKLTEHDQASKVQQQKLKAFQAQRGESQQEVQRLQTQLNELQAQLSQKEQAAEHYK LQMEKAKTHYDAKKQQNQKLQEQLQDLEELQKENKELRSEAERLGRELQQAGLKTKEAEQ TCRHLTAQVRSLEAQVAHADQQLRDLGKFQVATDALKSREPQVKPQLDLSIDSLDLSLEE GTPCSVASKLPRTQPDGTSVPGEPASPISQRLPPKVESLESLYFTPTPARGQAPLETSLD SLGDAFPDSGRKTRSARRRTTQIINITMTKKLELEEPDSANSSFYSTQSAPASQANLRAT SSTQSLARLGSPDDGNSALLSLPGYRPTTRSSARRSQARMSSGAPQGRNSFYMGTCQDEP EQLDDWNRIAELQQRNRVCPPHLKTCYPLESRPTLSLATITDEEMKTGDPRETLRRASMQ PAQIAEGVGITTRQQRKRVSSETHQGPGTPESKKATSCFPRPMTPRDRHEGRKQSSTADT QKKAAPVLKQADRRQSMAFSILNTPKKLGNSLLRRGASKKTPAKVSPNPRSGTRRSPRIA TTTTGTATVATTPRAKGKVKH >ENSMUSP00000146540.1 pep:known chromosome:GRCm38:19:12166138:12167103:-1 gene:ENSMUSG00000108889.1 transcript:ENSMUST00000207679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1555-ps1 description:olfactory receptor 1555, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031389] MPSISVLGDGNHTSVAMFVLLGLLDQAELQLILFPVFLGTYLITLIWNLGLIILIRMDSH LQTPMYFFLSFLSFIDICYSSSISPRMLSDFLKTEKTISFIACATQNFVLDWMGTSECCL LAAMAYDRYVAIGSPLQYSAIMAPSLCWRMVAGVYGSGFFISFVHTVACFNLYYCGPNVI RHFFCDIPQIIPLSCSDPFISQLVLFLAALFVGFGSFLVILLSYVFIAVSILKVASFKGR VKAFKTCGSHLAAVTLFYGTVFSVYMHHSSQHSTKQDKVLSVVYTILIPMVNPLIYSLRN TEIKEALKRVLKKAAHLPQKA >ENSMUSP00000096335.2 pep:known chromosome:GRCm38:7:21272179:21273102:1 gene:ENSMUSG00000095309.1 transcript:ENSMUST00000098739.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r125 description:vomeronasal 1 receptor 125 [Source:MGI Symbol;Acc:MGI:3704112] MSAHGKSMKTTEEVALQIILLCQFGIGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFARKTPPTELKCKLEFFSHMVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLILRASVPNFANYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000100973.2 pep:known chromosome:GRCm38:10:80755206:80795461:1 gene:ENSMUSG00000061589.14 transcript:ENSMUST00000105336.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dot1l description:DOT1-like, histone H3 methyltransferase (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143886] MGEKLELRLKSPVGAEPAVYPWPLPVYDKHHDAAHEIIETIRWVCEEIPDLKLAMENYVL IDYDTKSFESMQRLCDKYNRAIDSIHQLWKGTTQPMKLNTRPSNGLLRHILQQVYNHSVT DPEKLNNYEPFSPEVYGETSFDLVAQMIDEIKMTEDDLFVDLGSGVGQVVLQVAAATNCK HHYGVEKADIPAKYAETMDREFRKWMKWYGKKHAEYTLERGDFLSEEWRERIANTSVIFV NNFAFGPEVDHQLKERFANMKEGGRIVSSKPFAPLNFRINSRNLSDIGTIMRVVELSPLK GSVSWTGKPVSYYLHTIDRTILENYFSSLKNPKLREEQEAARRRQQRENKSNATTPTKVP ESKAAATEAPADSGAEEEKSGVATVKKPSPSKARKKKLNKKGRKMAGRKRGRPKKMSAAS AERKSKKSQSTLDLLHSPPPAPPSASPQDAYRAPHSPFYQLPPSTQLHSPNPLLVAPTPP ALQKLLESFRIQYLQFLAYTKTPQYKANLQQLLDQEKEKNTQLLGTAQQLFGHCQAQKEE IRRLFQQKLDELGVKALTYNDLIQAQKEISAHNQQLREQSEQLEKDNSELRSQSLRLLRA RCEELRLDWSTLSLENLRKEKQALRSQISEKQRHCLELQISIVELEKTQRQQELLQLKSC VPPDDALSLHLRGKGALGRELEADAGRLRLELDCAKISLPHLSSMSPELSMNGHAASYEL CNAASRPSSKQNTPQYLASPLDQEVVPCTPSHSGRPRLEKLSGLALPDYTRLSPAKIVLR RHLSQDHTGASKAATSEPHPRPEHPKESSLPYQSPGLSNSMKLSPQDPPLASPATSPLTS EKGSEKGVKERAYSSHGETITSLPVSIPLSTVQPNKLPVSIPLASVVLPSRAERARSTPS PVPQPRDSSATLEKQTGASAHGAGGAGAGSRSLAVAPTGFYAGSVAISGALASSPAPLAS GMESAVFDESSGPSSLFATMGSRSTPPQHPPLLSQSRNSGPASPAHQLTASPRLSVTTQG SLPDTSKGELPSDPAFSDPESEAKRRIVFSISVGASSKQSPSTRHSPLTSGTRGDCVQSH GQDSRKRSRRKRASAGTPSLSTGVSPKRRALPTVAGLFTQSSGSPLNLNSMVSNINQPLE ITAISSPESSLKSSPTPYQDHDQPPVLRKERPLGLTNGAHYSPLTSDEEPGSEDEPSSAR IERKIATISLESKSPPKTLENGGGLVGRKSAPSSEPINSSKWKSTFSPISDLGLAKAVDS PLQAGSALSHSPLFSFRPSLEEPAAEAKLPTHPRKSFAGSLGAAEGPSPGTNPPNGLAFS GGLAADLGLHSFNDGASLSHKGPDVTGLSASLSFPSQRGKDSTTEANPFLSRRQPEGLGG LKGEGNANKESGESLPLCGPSDKASLPHGSRASKGRDRELDFKGGHNLFISAAAVPPGGL LGGPGLVTVASSAGSATPTAQAPRPFLSTFAPGPQFTLGPMSLQANLGSVAGSSVLQSLF STVPAAAGLVHVSSTATRLTNSHTMGSFSSGVAGGTVGGN >ENSMUSP00000130408.1 pep:known chromosome:GRCm38:10:80755208:80794387:1 gene:ENSMUSG00000061589.14 transcript:ENSMUST00000127740.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dot1l description:DOT1-like, histone H3 methyltransferase (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143886] MGEKLELRLKSPVGAEPAVYPWPLPVYDKHHDAAHEIIETIRGRALHSP >ENSMUSP00000116581.1 pep:known chromosome:GRCm38:10:80777556:80794391:1 gene:ENSMUSG00000061589.14 transcript:ENSMUST00000150338.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dot1l description:DOT1-like, histone H3 methyltransferase (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143886] LERGDFLSEEWRERIANTSVIFVNNFAFGPEVDHQLKERFANMKEGGRIVSSKPFAPLNF RINSRNLSDIGTIMRVVELSPLKGSVSWTGKPVSYYLHTIDRTILENYFSSLKNPKLREE QEAARRRQQRENKSNATTPTKVPESKAAATEAPADSGAEEEKSGVATVKKPSPSKARKKK LNKKGRKMAGRKRGRPKKMSAASAERKSKKSQSTLDLLHSPPPAPPSASPQDAYRAPHSP FYQLPPSTQLHSPNPLLVAPTPPALQKLLESFRIQYLQFLAYTKTPQYKANLQQLLDQEK EKNTQLLGTAQQLFGHCQAQKEEIRRLFQQKLDELGVKALTYNDLIQAQKEISAHNQQLR EQSEQLEKDNSELRSQSLRLLRARCEELRLDWSTLSLENLRKEKQALRSQISEKQRHCLE LQISIVELEKTQRQQELLQLKSCVPPDDALSLHLRGKGALGRELEADAGRLRLELDCAKI SLPHLSSMSPELSMNGHAASYELCNAASRPSSKQNTPQYLASPLDQEVVPCTPSHSGRPR LEKLSGLALPDYTRLSPAKIVLRRHLSQDHTGASKAATSEPHPRPEHPKESSLPYQSPGL SNSMKLSPQDPPLASPATSPLTSEKGSEKGVKERAYSSHGETITSLPVSIPLSTVQPNKL PVSIPLASVVLPSRAERARSTPSPVPQPRDSSATLEKQTGASAHGAGGAGAGSRSLAVAP TGFYAGSVAISGALASSPAPLASGMESAVFDESSGPSSLFATMGSRSTPPQHPPLLSQSR NSGPASPAHQLTASPRLSVTTQGSLPDTSKGELPSDPAFSDPESEAKRRIVFSISVGASS KQSPSTRHSPLTSGTRGDCVQSHGQDSRKRSRRKRASAGTPSLSTGVSPKRRALPTVAGL FTQSSGSPLNLNSMVSNINQPLEITAISSPESSLKSSPTPYQDHDQPPVLRKERPLGLTN GAHYSPLTSDEEPGSEDEPSSARIERKIATISLESKSPPKTLENGVFTHAVPSASAHPFG AGVGSGAVCSSATLGLSPLQAAASTSASSFQAAASVETRPPPPPPLLPPQHLGRPPAGPP VLHAPPPPNVALPPPPALLASNPEPVLLQSLASLPANNAFLPPSSAASLQPANASLSVKL ASLPHKVSRPSFTVHHQPLPRLALAQAAPAAPQASTSGPSAVWVSLGMPPPYAAHLSGVK PR >ENSMUSP00000127762.1 pep:known chromosome:GRCm38:10:80789063:80794347:1 gene:ENSMUSG00000061589.14 transcript:ENSMUST00000149394.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dot1l description:DOT1-like, histone H3 methyltransferase (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143886] SGSPLNLNSMVSNINQPLEITAISSPESSLKSSPTPYQDHDQPPVLRKERPLGLTNGAHY SPLTSDEEPGSEDEPSSARIERKIATISLESKSPPKTLENGGGLVGRKSAPSSEPINSSK WKSTFSPISDLGLAKAVDSPLQAGSALSHSPLFSFRPSLEEPAAEAKLPTHPRKSFAGSL GAAEGPSPGTNPPNGLAFSGGLAADLGLHSFNDGASLSHKGPDVTGLSASLSFPSQRGKD STTEANPFLSRRQPEGLGGLKGEGNANKESGESLPLCGPSDKASLPHGSRASKGRDRELD FKGGHNLFISAAAVPPGGLLGGPGLVTVASSAGSATPTAQAPRPFLSTFAPGPQFTLGPM SLQANLGSVAGSSVLQSLFSTVPAAAGLVHVSSTATRLTNSHTMGSFSSGVAGGTVGEDH GALN >ENSMUSP00000119492.1 pep:known chromosome:GRCm38:10:80791454:80793818:1 gene:ENSMUSG00000061589.14 transcript:ENSMUST00000138505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dot1l description:DOT1-like, histone H3 methyltransferase (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143886] XPAAAGLVHVSSTATRLTNSHTMGSFSSGVAGGTVGGVFTHAVPSASAHPFGAGVGSGAV CSSATLGLSPLQAAASTSASSFQAAASVETRPPPPPPLLPPQHLGRPPAGPPVLHAPPPP NVALPPPPALLASNPEPVLLQSLASLPANNAFLPPSSAASLQPANASLSVKLASLPHKVS RPSFTVHHQPLPRLALAQAAPAAPQASTSGPSAVWVSLGMPPPYAAHLSGVKPR >ENSMUSP00000039794.7 pep:known chromosome:GRCm38:17:23173869:23193252:-1 gene:ENSMUSG00000002617.14 transcript:ENSMUST00000037057.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp40 description:zinc finger protein 40 [Source:MGI Symbol;Acc:MGI:99185] MVKRGNCSKGSRRVPVFVPETETQKGATGKMAASLIDVPQDLLTFRNVAVDFSKEEWEYL NSSQRALYMDVMWENYSNLVFVENHCICGKYEEDMDQSSNHIIYQHANIEEKSYKYHELD KMLYESSQSTPYNTSDTTENCKMYGSDNQWDPSNESLNLKAHKSRSTEEEEYKDYREYLN LCSIIGSYTQMDTRKKEHKITEHDKFFDSKHKLMIKRMCSGEKPYQCRKCGKCFRTYSSL YRHRRTHPGEKPYKLTECNKSILYLSHHKVHYNIHYGEKPYKCIECGKCYYHSSFEKHYR IHSEEESYKCNDCRKSFICCLGLKRHHKIHGGERPYECKQCSKSFYTSSHLENHYRTHRR KLHRCNECGKSLSSSSGLQRHQRIHRGEKAYICAECNKCFIQKSQLKTHQRIHTGEKSYK CSECEKSFTVGSSLRIHQRIHTGEKPYKCSECHKCFIQQAHLRRHQKIHTGEKPYKCSEC EKSFTVGSDLRMHQKIHTGEKPYKCSDCDKCFIQKAKLKKHQRIHTGEKPYKCSECEKCF TVVSDLRTHQKIHTGEKPYKCSECDKCFIRKANLRRHHRIHTGEKPYKCSDCGKCFIQKA NLRTHERIHTGEKPYKCECGKSFTVGSDLRKHQKCHTGEKPYKCSECNKCFIRKAHLRRH QRIHTGEKPYKCSDCGKCFIQKANLRTHQKIHTGEKPYKCSECDKCFIQKDHLRTHQRLH TGEKPYKCSECEKSFTGGSVLRKHQKIHTARSLTNAMNLTNPLSKKPTLELIKEFIQESS LLNAMYIAQSLPASHV >ENSMUSP00000121359.1 pep:known chromosome:GRCm38:17:23174876:23178539:-1 gene:ENSMUSG00000002617.14 transcript:ENSMUST00000140313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp40 description:zinc finger protein 40 [Source:MGI Symbol;Acc:MGI:99185] MDVMWENYSNLVFVENHCICGKYEEDMDQSSNHIIYQHANIEEKSYKYHELDKMLYESSQ STPYNTSDTTENCKMYGSDNQWDPSNESLNLKAHKSRSTEEEEYKDYREYLNLCSIIGSY TQMDTRKKEHKITEHDKFFDSKHKLMIKRMCSGEKPYQCRKCGKCFRTYSSLYRHRRTHP GEKPYKLTECNKSILYLSHHKVHYNIHYGEKPYKCIECGKCYYHSSFEKHYRIHSEEESY KCNDCRKSFICCLGLKRHHKIHGGERPYECKQCSKSFYTSSHLENHYRTHRRKLHRCNEC GKSLSSSSGLQRHQRIHRGEKAYICAECNKCFIQKSQLKTHQRIHTGEKSYKCSECEKSF TVGSSLRIHQRIHTGEKPYKCSECHKCFIQQAHLRRHQKIHTGEKPYKCSECEKSFTVGS DLRMHQKIHTGEKPYKCSDCDKCFIQKAKLKKHQRIHTGEKPYKCSECEKCFTVVSDLRT HQKIHTGEKPYKCSECDKCFIRKANLRRHHRIHTGEKPYKCSDCGKCFIQKANLRTHERI HTGEKPYKCECGKSFTVGSDLRKHQKCHTGEKPYKCSECNKCFIRKAHLRRHQRIHTGEK PYKCSDCGKCFIQKANLRTHQKIHTGEKPYKCSECDKCFIQKDHLRTHQRLHTGEKPYKC SECEKSFTGGSVLRKHQKIHTARSLTNAMNLTNPLSKKPTLELIKEFIQESSLLNAMYIA QSLPASHV >ENSMUSP00000120756.1 pep:known chromosome:GRCm38:17:23191346:23193252:-1 gene:ENSMUSG00000002617.14 transcript:ENSMUST00000151447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp40 description:zinc finger protein 40 [Source:MGI Symbol;Acc:MGI:99185] MVKRGNCSKGS >ENSMUSP00000128758.1 pep:known chromosome:GRCm38:17:23174876:23191379:-1 gene:ENSMUSG00000002617.14 transcript:ENSMUST00000172177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp40 description:zinc finger protein 40 [Source:MGI Symbol;Acc:MGI:99185] MVKRGNCSKGSRRVPVFVPETETQKGATGKMAASLIDVPQDLLTFRNVAVDFSKEEWEYL NSSQRALYMDVMWENYSNLVFVENHCICGKYEEDMDQSSNHIIYQHANIEEKSYKYHELD KMLYESSQSTPYNTSDTTENCKMYGSDNQWDPSNESLNLKAHKSRSTEEEEYKDYREYLN LCSIIGSYTQMDTRKKEHKITEHDKFFDSKHKLMIKRMCSGEKPYQCRKCGKCFRTYSSL YRHRRTHPGEKPYKLTECNKSILYLSHHKVHYNIHYGEKPYKCIECGKCYYHSSFEKHYR IHSEEESYKCNDCRKSFICCLGLKRHHKIHGGERPYECKQCSKSFYTSSHLENHYRTHRR KLHRCNECGKSLSSSSGLQRHQRIHRGEKAYICAECNKCFIQKSQLKTHQRIHTGEKSYK CSECEKSFTVGSSLRIHQRIHTGEKPYKCSECHKCFIQQAHLRRHQKIHTGEKPYKCSEC EKSFTVGSDLRMHQKIHTGEKPYKCSDCDKCFIQKAKLKKHQRIHTGEKPYKCSECEKCF TVVSDLRTHQKIHTGEKPYKCSECDKCFIRKANLRRHHRIHTGEKPYKCSDCGKCFIQKA NLRTHERIHTGEKPYKCECGKSFTVGSDLRKHQKCHTGEKPYKCSECNKCFIRKAHLRRH QRIHTGEKPYKCSDCGKCFIQKANLRTHQKIHTGEKPYKCSECDKCFIQKDHLRTHQRLH TGEKPYKCSECEKSFTGGSVLRKHQKIHTARSLTNAMNLTNPLSKKPTLELIKEFIQESS LLNAMYIAQSLPASHV >ENSMUSP00000131376.1 pep:known chromosome:GRCm38:7:21300502:21301467:-1 gene:ENSMUSG00000096813.1 transcript:ENSMUST00000168398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r126 description:vomeronasal 1 receptor 126 [Source:MGI Symbol;Acc:MGI:3782346] MSVRGKSLKTTEEVALQIILLCQFGVGTMANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTVFPNNMMAFAPRNPPTELKCKLKFFSHLVTRSTNLCSTCVLSIHQFVILVP ISRGKLLLRASVPNLVSYSCYGCWFFSVLSNIHIPIKVTGPQITDNNTDSKSKFFCSTSG FTVRIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQQMQHILTPNQDARGQAESRATQTI LIVVVTFVSFYLLNFICIIFHTFFIHYNLFIRLLGEILAAGFPTISPLLLIFRDPKNPCL ELFHCFKPESLQNLKHRRQLH >ENSMUSP00000090988.6 pep:known chromosome:GRCm38:11:19924375:20024026:1 gene:ENSMUSG00000045671.17 transcript:ENSMUST00000093299.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spred2 description:sprouty-related, EVH1 domain containing 2 [Source:MGI Symbol;Acc:MGI:2150019] MTEETHPDDDSYIVRVKAVVMTRDDSSGGWFPQEGGGISRVGVCKVMHPEGNGRSGFLIH GERQKDKLEVLIPVPAPTPSSETVASLWSPSFCKAVVLECYVRKDLVYTKANPTFHHWKV DNRKFGLTFQSPADARAFDRGVRKAIEDLIEGSTTSSSTLHNEAELGDDDVFTTATDSSS NSSQKREPTTRTISSPTSCEHRKIYTLDPYPMDHYHPDQRLPRSYPQVTFPEDDEEIVRI NPREKIWMTGYEDYRHAPVRGKYLDTTEDADSYVRFAKGEVPKHEYTYPYVDSSDFGFGE DPKGSVIKTQPPRAKSRRRKENGERSRCVYCRDMFNHEENRRGHCQDAPDAVRTCIRRVS CMWCADSMLYHCMSDPEGDYTDPCSCDTSDEKFCLRWMALIALSFLAPCMCCYLPLRACH RCGVMCRCCGGKHKAAA >ENSMUSP00000115103.1 pep:known chromosome:GRCm38:11:19954570:20018133:1 gene:ENSMUSG00000045671.17 transcript:ENSMUST00000123036.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spred2 description:sprouty-related, EVH1 domain containing 2 [Source:MGI Symbol;Acc:MGI:2150019] MAMLCIFACLRDYLGYSSICLQKGTIDQEAPVTFPYFCDSYIVRVKAVVMTRDDSSGGWF PQEGGGISRVGVCKVMHPEGNGRSGFLIHGERQKDKLEVLIPVPAPTPSSETVASLWSPS FCKAVVLECYVRKDLVYTKANPTFHHWKVDNRKFGLTFQSPADARAFDRGVRKAIEDLIE GSTTSSSTLHNEAELGDDDVFTTATDSSSNSSQKREPTTRTISSPTSCE >ENSMUSP00000090987.5 pep:known chromosome:GRCm38:11:19924442:20022577:1 gene:ENSMUSG00000045671.17 transcript:ENSMUST00000093298.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spred2 description:sprouty-related, EVH1 domain containing 2 [Source:MGI Symbol;Acc:MGI:2150019] MTEETHPDDDSYIVRVKAVVMTRDDSSGGWFPQEGGGISRVGVCKVMHPEGNGRSGFLIH GERQKDKLVVLECYVRKDLVYTKANPTFHHWKVDNRKFGLTFQSPADARAFDRGVRKAIE DLIEGSTTSSSTLHNEAELGDDDVFTTATDSSSNSSQKREPTTRTISSPTSCEHRKIYTL DPYPMDHYHPDQRLPRSYPQVTFPEDDEEIVRINPREKIWMTGYEDYRHAPVRGKYLDTT EDADSYVRFAKGEVPKHEYTYPYVDSSDFGFGEDPKGSVIKTQPPRAKSRRRKENGERSR CVYCRDMFNHEENRRGHCQDAPDAVRTCIRRVSCMWCADSMLYHCMSDPEGDYTDPCSCD TSDEKFCLRWMALIALSFLAPCMCCYLPLRACHRCGVMCRCCGGKHKAAA >ENSMUSP00000043614.7 pep:known chromosome:GRCm38:2:10080624:10092806:1 gene:ENSMUSG00000037262.7 transcript:ENSMUST00000042512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kin description:antigenic determinant of rec-A protein [Source:MGI Symbol;Acc:MGI:96676] MGKSDFLSPKAIANRIKSKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQLLLASEN PQQFMDYFSEEFRNDFLELLRRRFGTKRVHNNIVYNEYISHREHIHMNATQWETLTDFTK WLGREGLCKVDETPKGWYIQYIDRDPETIRRQLELEKKKKQDLDDEEKTAKFIEEQVRRG LEGKEQETPVFTELSRENEEEKVTFNLNKGAGGSAGATTSKSSSLGPSALKLLGSAASGK RKESSQSSAQPAKKKKSALDEIMELEEEKKRTARTDAWLQPGIVVKIITKKLGEKYHKKK GVVKEVIDRYTAVVKMTDSGDRLKLDQTHLETVIPAPGKRVLVLNGGYRGNEGTLESINE KAFSATIVIETGPLKGRRVEGIQYEDISKLA >ENSMUSP00000100834.1 pep:known chromosome:GRCm38:7:21318944:21319861:-1 gene:ENSMUSG00000093890.1 transcript:ENSMUST00000105199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r127 description:vomeronasal 1 receptor 127 [Source:MGI Symbol;Acc:MGI:3779578] MSDHGKSVKTTEEVALQLLLLCQFGVGTLANVFLFVHNFSPVLTGSKQRPRQVILSHMAM ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHQFVTLVL VNRGKLILRASVPNFVSYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIVGIVFLQFAYDATFMSIMVWTSVSMILLLHRHRQRMQHILTPNQNPRGQAETRATRTI LMLVVTFVSFYLLNFICIIFHTLLMHSHLFIRLVSEVLTAVFPSICPLLLIFRDPKDPCS VLFNC >ENSMUSP00000125509.1 pep:known chromosome:GRCm38:14:101442360:101609033:-1 gene:ENSMUSG00000033083.16 transcript:ENSMUST00000161991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d4 description:TBC1 domain family, member 4 [Source:MGI Symbol;Acc:MGI:2429660] MESPSCIQDEPFPHPLEPEPSAPAQPGATKPGDKRFRLWYVGGSCLDRRTTLPMLPWLMA EIRRRSQKPDAGGCGAPAAREVILVLSAPFLRCVPAPGAGVGGGAGSGAVQPNTGVFIFE HKAQHISRFIHNSHDLTYFAYLIKAQPDDPESQMACHVFRATDPNQVPDVISSIRQLSKA AMKEDSKPSKDNEDAFYNSQKFEVLYCGRVIVTHKKAPSSLIDDCKDKFSLHEQQRLKLQ GERGGDPGDEMGVLEVESPVSPDDSLPEKADGTVNSPRALPSLASLPALASQPALASSRV CFPERILEDCGFDEQQEFRSRCSSVTGVMQKKVHENNQKTQPRRRHASAPSHVQPSDSEK NRTMLFQVGRFEINLISPDTKSVVLEKNFKDISSCSQGIKHVDHFGFICRESPEPGLSQY ICYVFQCANESLVDEVMLTLKQAFSTAAALQSAKTQIKLCETCPMHSLHKLCERIEGLYP PRAKLVIQRHLSSLTDNEQADIFERVQKMKPISDQEENELVILHLRQLCEAKQRTHVHIG EGPAIISNSTIPENVTSGGRFKLDVLKNKAKRSLTSSLENIFSRGANRMRGRLGSMDSFE RANSLASEKDFSPGDSPPGTPPASPLSSAWHAFPEEDSDSPQFRRRAHTFSHPPSSSRRK LNLQDGKAHGLRSPLLRQSSSEQCSDGEGRKRTSSTCSNESLNAGGTPVTPRRVSWRQRI FLRVASPVNKSPSAMQQQKDGLDRTELLPLSPLSPTMEEEPLIIFLSGDEDTEKVEEKKK SKELKSLWKKAIHQQILLLRMEKENQKLEARRDELQSRKVKLDYEEVGTCQKEILIAWDK KLLNCRTKIRCDMEDIHTSLKEGVPKSRRGEIWQFLALQYRLRHRLPNKHQPPDTSYKEL LKQLTAQQHAILVDLGRTFPTHPYFSVQLGAGQLSLFNLLKAYSLLDKEVGYCQGISFVA GVLLLHMSEEQAFEMLKFLMYDLGFRKQYRPDMMSLQIQMYQLSRLLHDYHRELYNHLEE NEISPSLYAAPWFLTLFASQFPLGFVARVFDIIFLQGTEVIFKVALSLLSSQEALIMECE NFENIVEFLKSTLPDMNTTEMEKIITQVFEMDISKQLHAYEVEYHVLQDELLESSYACED NESLEKLERANNQLKRQNMDLLEKLQVAHAKIQALESNLETLLTRETKMKALIRTLEQDK MAYQKTVEQIRKLLPADALANCELLLKDLTHPTNDKAKAGNKP >ENSMUSP00000124511.1 pep:known chromosome:GRCm38:14:101442368:101507326:-1 gene:ENSMUSG00000033083.16 transcript:ENSMUST00000159951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d4 description:TBC1 domain family, member 4 [Source:MGI Symbol;Acc:MGI:2429660] XLASQPALASSRVCFPERILEDCGFDEQQEFRSRCSSVTGVMQKKVHENNQKTQPRRRHA SAPSHVQPSDSEKNRTMLFQVGRFEINLISPDTKSVVLEKNFKDISSCSQGIKHVDHFGF ICRESPEPGLSQYICYVFQCANESLVDEVMLTLKQAFSTAAALQSAKTQIKLCETCPMHS LHKLCERIEGLYPPRAKLVIQRHLSSLTDNEQADIFERVQKMKPISDQEENELVILHLRQ LCEAKQRTHVHIGEGPAIISNSTIPENVTSGGRFKLDVLKNKAKRSLTSSLENIFSRGAN RMRGRLGSMDSFERANSLASEKDFSPGDSPPGTPPASPLSSAWHAFPEEDSDSPQFRRRA HTFSHPPSSSRRKLNLQDGKAHGLRSPLLRQSSSEQCSDGEGRKRTSSTCSNESLNAGGT PVTPRRVSWRQRIFLRVASPVNKSPSAMQQQKDGLDRTELLPLSPLSPTMEEEPLIIFLS GDEDTEKVEEKKKSKELKSLWKKAIHQQILLLRMEKENQKLEEARRDELQSRKVKLDYEE VGTCQKEILIAWDKKLLNCRTKIRCDMEDIHTSLKEGVPKSRRGEIWQFLALQYRLRHRL PNKHQPPDTSYKELLKQLTAQQHAILVDLGRTFPTHPYFSVQLGAGQLSLFNLLKAYSLL DKEVGYCQGISFVAGVLLLHMSEEQAFEMLKFLMYDLGFRKQYRPDMMSLQIQMYQLSRL LHDYHRELYNHLEENEISPSLYAAPWFLTLFASQFPLGFVARVFDIIFLQGTEVIFKVAL SLLSSQEALIMECENFENIVEFLKSTLPDMNTTEMEKIITQVFEMDISKQLHAYEVEYHV LQDELLESSYACEDNESLEKLERANNQLKRQNMDLLEKLQVAHAKIQALESNLETLLTRE TKMKALIRTLEQDKMAYQKTVEQIRKLLPADALANCELLLKDLTHPTNDKAKAGNKP >ENSMUSP00000124909.1 pep:known chromosome:GRCm38:14:101443723:101608486:-1 gene:ENSMUSG00000033083.16 transcript:ENSMUST00000162617.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d4 description:TBC1 domain family, member 4 [Source:MGI Symbol;Acc:MGI:2429660] MESPSCIQDEPFPHPLEPEPSAPAQPGATKPGDKRFRLWYVGGSCLDRRTTLPMLPWLMA EIRRRSQKPDAGGCGAPAAREVILVLSAPFLRCVPAPGAGVGGGAGSGAVQPNTGVFIFE HKAQHISRFIHNSHDLTYFAYLIKAQPDDPESQMACHVFRATDPNQVPDVISSIRQLSKA AMKEDSKPSKDNEDAFYNSQKFEVLYCGRVIVTHKKAPSSLIDDCKDKFSLHEQQRLKLQ GERGGDPGDEMGVLEVESPVSPDDSLPEKADGTVNSPRALPSLASLPALASQPALASSRV CFPERILEDCGFDEQQEFRSRCSSVTGVMQKKVHENNQKTQPRRRHASAPSHVQPSDSEK NRTMLFQVGRFEINLISPDTKSVVLEKNFKDISSCSQGIKHVDHFGFICRESPEPGLSQY ICYVFQCANESLVDEVMLTLKQAFSTAAALQSAKTQIKLCETCPMHSLHKLCERIEGLYP PRAKLVIQRHLSSLTDNEQADIFERVQKMKPISDQEENELVILHLRQLCEAKQRTHVHIG EGPAIISNSTIPENVTSGGRFKLDVLKNKAKRSLTSSLENIFSRGANRMRGRLGSMDSFE RANSLASEKDFSPGDSPPGTPPASPLSSAWHAFPEEDSDSPQFRRRAHTFSHPPSSSRRK LNLQDGKAHGLRSPLLRQSSSEQCSIVPSARRMYKESNSSCSLPSLHTSFSAPSFTAPSF LKSFYQNSGRLSPQYENEIRQDTASESSDGEGRKRTSSTCSNESLNAGGTPVTPRRVSWR QRIFLRVASPVNKSPSAMQQQKDGLDRTELLPLSPLSPTMEEEPLIIFLSGDEDTEKVEE KKKSKELKSLWKKAIHQQILLLRMEKENQKLEARRDELQSRKVKLDYEEVGTCQKEILIA WDKKLLNCRTKIRCDMEDIHTSLKEGVPKSRRGEIWQFLALQYRLRHRLPNKHQPPDTSY KELLKQLTAQQHAILVDLGRTFPTHPYFSVQLGAGQLSLFNLLKAYSLLDKEVGYCQGIS FVAGVLLLHMSEEQAFEMLKFLMYDLGFRKQYRPDMMSLQIQMYQLSRLLHDYHRELYNH LEENEISPSLYAAPWFLTLFASQFPLGFVARVFDIIFLQGTEVIFKVALSLLSSQEALIM ECENFENIVEFLKSTLPDMNTTEMEKIITQVFEMDISKQLHAYEVEYHVLQDELLESSYA CEDNESLEKLERANNQLKRQNMDLLEKLQVAHAKIQALESNLETLLTRETKMKALIRTLE QDKMAYQKTVEQIRKLLPADALANCELLLKDLTHPTNDKAKAGNKP >ENSMUSP00000124734.1 pep:known chromosome:GRCm38:14:101462963:101501108:-1 gene:ENSMUSG00000033083.16 transcript:ENSMUST00000159664.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d4 description:TBC1 domain family, member 4 [Source:MGI Symbol;Acc:MGI:2429660] XHVDHFGFICRESPEPGLSQYICYVFQCANESLVDEVMLTLKQAFSTAAALQSAKTQIKL CETCPMHSLHKLCERIEEDEAHQRPGGERTCDSAPEAAL >ENSMUSP00000097913.3 pep:known chromosome:GRCm38:14:101462974:101609191:-1 gene:ENSMUSG00000033083.16 transcript:ENSMUST00000100340.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d4 description:TBC1 domain family, member 4 [Source:MGI Symbol;Acc:MGI:2429660] MESPSCIQDEPFPHPLEPEPSAPAQPGATKPGDKRFRLWYVGGSCLDRRTTLPMLPWLMA EIRRRSQKPDAGGCGAPAAREVILVLSAPFLRCVPAPGAGVGGGAGSGAVQPNTGVFIFE HKAQHISRFIHNSHDLTYFAYLIKAQPDDPESQMACHVFRATDPNQVPDVISSIRQLSKA AMKEDSKPSKDNEDAFYNSQKFEVLYCGRVIVTHKKAPSSLIDDCKDKFSLHEQQRLKLQ GERGGDPGDEMGVLEVESPVSPDDSLPEKADGTVNSPRALPSLASLPALASQPALASSRV CFPERILEDCGFDEQQEFRSRCSSVTGVMQKKVHENNQKTQPRRRHASAPSHVQPSDSEK NRTMLFQVGRFEINLISPDTKSVVLEKNFKDISSCSQGIKHVDHFGFICRESPEPGLSQY ICYVFQCANESLVDEVMLTLKQAFSTAAALQSAKTQIKLCETCPMHSLHKLCERIEGLYP PRAKLVIQRHLSSLTDNEQADIFERVQKMKPISDQEENELVILHLRQLCEAKQRTHVHIG EGPAIISNSTIPENVTSGGRFKLDVLKNKAKRSLTSSLENIFSRGANRMRGRLGSMDSFE RANSLASEKDFSPGDSPPGTPPASPLSSAWHAFPEEDSDSPQFRRRAHTFSHPPSSSRRK LNLQDGKAHGLRSPLLRQSSSEQCSIVPSARRMYKESNSSCSLPSLHTSFSAPSFTAPSF LKSFYQNSGRLSPQYENEIRQDTASESSDGEGRKRTSSTCSNESLNAGGTPVTPRRVSWR QRIFLRVASPVNKSPSAMQQQKDGLDRTELLPLSPLSPTMEEEPLIIFLSGDEDTEKVE >ENSMUSP00000146225.1 pep:known chromosome:GRCm38:7:43524216:43533171:-1 gene:ENSMUSG00000004609.11 transcript:ENSMUST00000205503.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd33 description:CD33 antigen [Source:MGI Symbol;Acc:MGI:99440] MLWPLPLFLLCAGSLAQDLEFQLVAPESVTVEEGLCVHVPCSVFYPSIKLTLGPVTGSWL RKGVSLHEDSPVATSDPRQLVQKATQGRFQLLGDPQKHDCSLFIRDAQKNDTGMYFFRVV REPFVRYSYKKSQLSLHVTSLSRTPDIIIPGTLEAGYPSNLTCSVPWACEQGTPPTFSWM STALTSLSSRTTDSSVLTFTPQPQDHGTKLTCLVTFSGAGVTVERTIQLNVTRKSGQMRE LVLVAVGEATVKLLILGLCLVFLIVMFCRRKTTKLSVHMGCENPIKAHQQDSKVHSNPEN PRPLQKDSPQEQSSVHTKISLDFMGGKPQEYSEI >ENSMUSP00000004728.5 pep:known chromosome:GRCm38:7:43527995:43533101:-1 gene:ENSMUSG00000004609.11 transcript:ENSMUST00000004728.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd33 description:CD33 antigen [Source:MGI Symbol;Acc:MGI:99440] MLWPLPLFLLCAGSLAQDLEFQLVAPESVTVEEGLCVHVPCSVFYPSIKLTLGPVTGSWL RKGVSLHEDSPVATSDPRQLVQKATQGRFQLLGDPQKHDCSLFIRDAQKNDTGMYFFRVV REPFVRYSYKKSQLSLHVTSLSRTPDIIIPGTLEAGYPSNLTCSVPWACEQGTPPTFSWM STALTSLSSRTTDSSVLTFTPQPQDHGTKLTCLVTFSGAGVTVERTIQLNVTRKSGQMRE LVLVAVGEATVKLLILGLCLVFLIVMFCRRKTTKLSVHMGCENPIKRQEAITSYNHCLSP TASDAVTPGCSIHRLISRTPRCTAILRIQDPYRRTHLRNRAVSTLRFPWISWEGSLRSTQ RSKCTKLCSPVKNLCPLWLPVDNSCIPLIPEWVMLLCVSLTLS >ENSMUSP00000045458.5 pep:known chromosome:GRCm38:7:43528374:43544428:-1 gene:ENSMUSG00000004609.11 transcript:ENSMUST00000039861.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd33 description:CD33 antigen [Source:MGI Symbol;Acc:MGI:99440] MLWPLPLFLLCAGSLAQDLEFQLVAPESVTVEEGLCVHVPCSVFYPSIKLTLGPVTGSWL RKGVSLHEDSPVATSDPRQLVQKATQGRFQLLGDPQKHDCSLFIRDAQKNDTGMYFFRVV REPFVRYSYKKSQLSLHVTSLSRTPDIIIPGTLEAGYPSNLTCSVPWACEQGTPPTFSWM STALTSLSSRTTDSSVLTFTPQPQDHGTKLTCLVTFSGAGVTVERTIQLNVTRKSGQMRE LVLVAVGEATVKLLILGLCLVFLIVMFCRRKTTKLSVHMGCENPIKAHQQDSKVHSNPEN PRPLQKDSPQEQSSVHTKISLDFMGGKPQEYSEI >ENSMUSP00000145596.1 pep:known chromosome:GRCm38:7:43528526:43532678:-1 gene:ENSMUSG00000004609.11 transcript:ENSMUST00000206371.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd33 description:CD33 antigen [Source:MGI Symbol;Acc:MGI:99440] XYFFRVVREPFVRYSYKKSQLSLHVTSLSRTPDIIIPGTLEAGYPSNLTCSVPWACEQGT PPTFSWMSTALTSLSSRTTDSSVLTFTPQPQDHGTKLTCLVTFSGAGVTVERTIQLNVTR KSGQMRELVLVAVGEATVKLLILGLCLVFLMLISRTPRCTAILRIQDPYRRTHLRNRAVS TLRFPWISWEGSLRSTQRSKCTKLCSPVKNLCPLWLPVDNSCIPLIPEWVMLLCVSLTLS >ENSMUSP00000115756.1 pep:known chromosome:GRCm38:16:58468125:58523426:-1 gene:ENSMUSG00000022747.16 transcript:ENSMUST00000137035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal6 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888707] MKGYLVAIFLSSIFLYYVLYCILWGTNGYWFPAEEMRTRNNVNNCFKKPAFANLLRFPQL YPFLCRADFIKVAAMSGTNNFPLPYGIKTFETYFSSALSKLQSCDLFDEFDRVPCKRCVV VGNGGVLKNKTLGATIDSYDVIIRMNNGPVLGHEEEVGTRTTFRLFYPESVFSDSSHYDP NTTAVLVVFKPQDLRWLVEILLGKKINTQGFWKTPALKLIYKQYQIRILDPYITSEAAFQ MLRFPRVFPKDQKPKHPTTGIIAITMAFHICSEVHLAGFKYNFYSPNSPLHYYGNATMSL MKQNAYHNLTAEQLFLNDIIKKKMVINLT >ENSMUSP00000109998.2 pep:known chromosome:GRCm38:16:58469753:58524243:-1 gene:ENSMUSG00000022747.16 transcript:ENSMUST00000114358.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal6 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888707] MKGYLVAIFLSSIFLYYVLYCILWGTNGYWFPAEEMRTRNNVNNCFKKPAFANLLRFPQL YPFLCRADFIKVAAMSGTNNFPLPYGIKTFETYFSSALSKLQSCDLFDEFDRVPCKRCVV VGNGGVLKNKTLGATIDSYDVIIRMNNGPVLGHEEEVGTRTTFRLFYPESVFSDSSHYDP NTTAVLVVFKPQDLRWLVEILLGKKINTQGFWKTPALKLIYKQYQIRILDPYITSEAAFQ MLRFPRVFPKDQKPKHPTTGIIAITMAFHICSEVHLAGFKYNFYSPNSPLHYYGNATMSL MKQNAYHNLTAEQLFLNDIIKKKMVINLT >ENSMUSP00000109997.3 pep:known chromosome:GRCm38:16:58470212:58524185:-1 gene:ENSMUSG00000022747.16 transcript:ENSMUST00000114357.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal6 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888707] MKGYLVAIFLSSIFLYYVLYCILWGTNGYWFPAEEMRTRNNVNNCFKKPAFANLLRFPQL YPFLCRADFIKVAAMSGTNNFPLPYGIKTFETYFSSALSKLQSCDLFDEFDRVPCKRCVV VGNGGVLKNKTLGATIDSYDVIIRMNNGPVLGHEEEVGTRTTFRLFYPESVFSDSSHYDP NTTAVLVVFKPQDLRWLVEILLGKKINTQGFWKTPALKLIYKQYQIRILDPYITSEAAFQ MLRFPRVFPKDQKPKHPTTGIIAITMAFHICSEVHLAGFKYNFYSPNSPLHYYGNATMSL MKQNAYHNLTAEQLFLNDIIKKKMVINLT >ENSMUSP00000116202.1 pep:known chromosome:GRCm38:16:58488932:58524169:-1 gene:ENSMUSG00000022747.16 transcript:ENSMUST00000126978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal6 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888707] MKGYLVAIFLSSIFLYYVLYCILWGTNGYWFPAEEMRTRNNVNNCF >ENSMUSP00000117849.1 pep:known chromosome:GRCm38:16:58493691:58524169:-1 gene:ENSMUSG00000022747.16 transcript:ENSMUST00000123918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal6 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888707] MKGYLVAIFLSSIFLYYVLYCILWGT >ENSMUSP00000120875.2 pep:known chromosome:GRCm38:11:105063592:105089076:1 gene:ENSMUSG00000020690.12 transcript:ENSMUST00000136876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab3 description:EF-hand calcium binding domain 3 [Source:MGI Symbol;Acc:MGI:1918144] VDIKYIKSALENMGIAITEKEELKLLKSLPVSKDGKIYKKRLLNSVAQIKGKKVQVSNIP LILKNAEFELEKEDCEDLMQLLHTDENKMVELSVLLDKAKNFTGEKIDVGNLNNVLRKMG LMLSDNVYKELQHALSVYTGGKIYKTRLLKCVKDLKGPRIKVKKVESLLENMGIKVKGEE FEELIAQLPPEGNKTVDLNELLDIVSYIKAKEMAMLYKQMTS >ENSMUSP00000114580.1 pep:known chromosome:GRCm38:11:105066838:105106439:1 gene:ENSMUSG00000020690.12 transcript:ENSMUST00000137086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab3 description:EF-hand calcium binding domain 3 [Source:MGI Symbol;Acc:MGI:1918144] MQLLHTDENKMVELSVLLDKAKNFTGEKIDVGNLNNVLRKMGLMLSDNVYKELQHALSVY TGGKIYKTRLLKCVKDLKGPRIKVKKVESLLENMGIKVKGEEFEELIAQLPPEGNKTVDL NELLDIVSYIKGEAIDMQNLYKFLANDGIELTEKEFKDLLSYLDFNGSGKVIVQSIIEGL KKLKPKEMAMLYKQMTSFRFRKDRASSQMAVSEIKATMKLKPLKAPVFHKRDKDLRGSFS DHLKHKESKLSPAQLEAFRNAYNFFTKDRTGCIDSHGLISTIAKLGMNLNTYDIYNELKC ADLDRDGKINFSDFINVLTDKKLFLKAVVPEKKICLDLANNPGILLFEILSKFVETSSL >ENSMUSP00000021029.5 pep:known chromosome:GRCm38:11:105092206:105117537:1 gene:ENSMUSG00000020690.12 transcript:ENSMUST00000021029.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab3 description:EF-hand calcium binding domain 3 [Source:MGI Symbol;Acc:MGI:1918144] MAVSEIKATMKLKPLKAPVFHKRDKDLRGSFSDHLKHKESKLSPAQLEAFRNAYNFFTKD RTGCIDSHGLISTIAKLGMNLNTYDIYNELKCADLDRDGKINFSDFINVLTDKKLFLKAV VPEKKICLDLANNPGILLFEILSKFVETSSLHRKDIIELVSYFRKRFQESHSEIMWSSYG RRGLKSEICSPPRSSTAAFANSARISIMKERDLYKFLEALKRCNLRTDSPYSKIPVFPLF PDVDGTVMGKPFKDTQKIEMLRRKEPLTFFEDYFFNKRDWKTQAMNVKPLKSASGYSDDI LAIDHLFKKKQHWTVTDAAAIKQHVKKATESYNLGIALDHRKEMLNLWKKIRGDLVGIES NNESFYNTFSTYTWSWNVCQELLSAKDLRLHDASMNKSSPSNSGLSSPSDFSESDPETGR KRKRKSSRGFRQ >ENSMUSP00000025046.2 pep:known chromosome:GRCm38:17:27143969:27167764:-1 gene:ENSMUSG00000024210.2 transcript:ENSMUST00000025046.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k3 description:inositol hexaphosphate kinase 3 [Source:MGI Symbol;Acc:MGI:3045325] MVVRHSSDKGKIGVGVPLEPFLHQVGGHLSVLQYDAYTVCKPLVSQEQKFYESLPLAMKC FTPKYKGTITVRLRRDSRGHLGLVANPLKENLEPFQVSPESRAVALWQTLQQTTGSESSP CPLTQLARSLKESAAKVLLRSDCHLSTQASPLVESEDGSQVERKGFNPWGLHCHQAHLTR LCSQYPEDKRHRFLLLENVVSQYKQPCILDLKMGTRQHGDDASEEKKARHMKKCAQSTSA CLGVRICGMQVYQTDQKSFLCKDKYYGRKLSVEGFRQALSQFLHDGTRLRAELLEPILRR LQALLTVIRSQSSYRFYSSSVLIIYDGEPPQTTQGSTSGGVTSGDPAKVDVRMIDFAHTT FKGSWNEHTTYEGPDPGYIFGLENLIGILRDIQEGE >ENSMUSP00000065096.7 pep:known chromosome:GRCm38:11:57645442:57787514:1 gene:ENSMUSG00000020520.14 transcript:ENSMUST00000066987.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt10 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 10 [Source:MGI Symbol;Acc:MGI:1890480] MRRKEKRLLQAVALALAALVLLPNVGLWALYRERQPDGSPGGLGAAVAPAAVQELHSRQK KTFFLGAEQRLKDWHNKEAIRRDAQRVGYGEQGKPYPMTDAERVDQAYRENGFNIYVSDK ISLNRSLPDIRHPNCNSKLYLETLPNTSIIIPFHNEGWSSLLRTVHSVLNRSPPELVAEI VLVDDFSDREHLKKPLEDYMALFPSVRILRTKKREGLIRTRMLGASAATGDVVTFLDSHC EANVNWLPPLLDRIARNRKTIVCPMIDVIDHDDFRYETQAGDAMRGAFDWEMYYKRIPIP PELQKADPSDPFESPVMAGGLFAVDRKWFWELGGYDPGLEIWGGEQYEISFKVWMCGGRM EDIPCSRVGHIYRKYVPYKVPAGVSLARNLKRVAEVWMDEYAEYIYQRRPEYRHLSAGDV VAQKKLRVSLNCKSFKWFMTKIAWDLPKFYPPVEPPAAAWGEIRNVGTGLCTDTKLGTLG SPLRLETCIRGRGEAAWNSMQVFTFTWREDIRPGDPQHTKKFCFDAVSHTSPVTLYDCHS MKGNQLWKYRKDKTLYHPVSGSCMDCSESDHRVFMNTCNPSSLTQQWLFEHTNSTVLENF NKN >ENSMUSP00000104474.1 pep:known chromosome:GRCm38:11:57645465:57726911:1 gene:ENSMUSG00000020520.14 transcript:ENSMUST00000108846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt10 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 10 [Source:MGI Symbol;Acc:MGI:1890480] MRRKEKRLLQAVALALAALVLLPNVGLWALYRERQPDGSPGGLGAAVAPAAVQELHSRQK KTFFLGAEQRLKDWHNKEAIRRDAQRVGYGEQGKPYPMTDAERVDQAYRENGFNIYVSDK ISLNRSLPDIRHPK >ENSMUSP00000112659.1 pep:known chromosome:GRCm38:7:127932638:127946725:-1 gene:ENSMUSG00000070371.11 transcript:ENSMUST00000118755.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss36 description:protease, serine 36 [Source:MGI Symbol;Acc:MGI:1924863] MSHHLFLPVVIMVVSPIPPGAFQDSVVSPTQGEFEDLDCGRPEPSSRIVGGSDAHPGTWP WQVSLHQGGGHICGGSLIAPSWVLSAAHCFVTNGTLEPADELSVLLGVHSQDGPLEGAHM RSVATILIPDNYSTVELGADLALLRLASPAKLGPSVRPVCLPRASHLFAHGTACWATGWG DVQEAVPLPLPWVLQEVELRLLGEAACQCLYSRPGPFNLTFQLLPGMLCAGYPAGRRDTC QGDSGGPLVCEDGGRWFLAGITSFGFGCGRRNRPGVFTAVAPYESWIREHVMGSEPGPVF PSQLQKPQSGPWEPREENCTFAQPECGKAPRPGTWPWEAQVTVPGSTPCYGALVSDRWVL APASCFLDSPHDFETWRVLLPSRPEEERVARLVAHENASRDFASDLALLQLRTRVNLTAA PSAVCLPHHEHYFLPGSHCRLARWGRGELAPGSSAQLEAQLLNGWWCHCLYGRQGETVPR PGDPPHLLCPAYQEEEEAGLCWKDSSWSLLCREEGTWFLAGYRTLSDGCLRPRAFSPMQT HGPWIRHVTQGAYLEDQLTWDWGPEGEETEKQTCPTHTEHGACGLRPKSTPAGVLWPWLT EVHVTGDRVCTGILVAPGWVLAATHCILRLGSTTVPYIEVYLGRAGVSSLPQGHQVSRSV VSIRLPRHLGLRPPLALLELNSRVEPSPSALPICLHPGGIPSGASCWVLGWKNPQDRVPV VAAVSILTPRLCHCLYPGILTPGTFCVLYSEGQEDRCEVTSAPPLLCQTEEGPWVLVGMA VRGNRELFAAIGPEATWISQTVGEAHFLHLGGSSYWSPEGSDLCPQDLAGSASSPKVTAL LLLLLLAPLIPR >ENSMUSP00000121706.2 pep:known chromosome:GRCm38:7:127932799:127935450:-1 gene:ENSMUSG00000070371.11 transcript:ENSMUST00000156152.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss36 description:protease, serine 36 [Source:MGI Symbol;Acc:MGI:1924863] MKDSSWSLLCREEGTWFLAGYRTLSDGCLRPRAFSPMQTHGPWIRHVTQGAYLEDQLTWD WGPEGEETEKQTCPTHTEHGACGLRPKSTPAGVLWPWLTEVHVTGDRVCTGILVAPGWVL AATHCILRLGSTTVPYIEVYLGRAGVSSLPQGHQVSRSVVSIRLPRHLGLRPPLALLELN SRVEPSPSALPICLHPGGIPSGASCWVLGWKNPQDRVPVVAAVSILTPRLCHCLYPGILT PGTFCVLYSEGQEDRCEVTSAPPLLCQTEEGPWVLVGMAVRGNRELFAAIGPEATWISQT VGEAHFLHLGGSSYWSPEGSDLCPQDLAGSASSPKVTALLLLLLLAPLIPR >ENSMUSP00000120544.1 pep:known chromosome:GRCm38:7:127932902:127935429:-1 gene:ENSMUSG00000070371.11 transcript:ENSMUST00000141385.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss36 description:protease, serine 36 [Source:MGI Symbol;Acc:MGI:1924863] MKDSSWSLLCREEGTWFLAGYRTLSDGCLRPRAFSPMQTHVPVVAAVSILTPRLCHCLYP GILTPGTFCVLYSEGQEDRCEVTSAPPLLCQTEEGPWVLVGMAVRGNRELFAAIGPEATW ISQTVGEAHFLHLGGSSYWSPEGSDLCPQDLA >ENSMUSP00000091565.3 pep:known chromosome:GRCm38:7:127932638:127946725:-1 gene:ENSMUSG00000070371.11 transcript:ENSMUST00000094026.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss36 description:protease, serine 36 [Source:MGI Symbol;Acc:MGI:1924863] MSHHLFLPVVIMVVSPIPPGAFQDSVVSPTQGEFEDLDCGRPEPSSRIVGGSDAHPGTWP WQVSLHQGGGHICGGSLIAPSWVLSAAHCFVTNGTLEPADELSVLLGVHSQDGPLEGAHM RSVATILIPDNYSTVELGADLALLRLASPAKLGPSVRPVCLPRASHLFAHGTACWATGWG DVQEAVPLPLPWVLQEVELRLLGEAACQCLYSRPGPFNLTFQLLPGMLCAGYPAGRRDTC QGDSGGPLVCEDGGRWFLAGITSFGFGCGRRNRPGVFTAVAPYESWIREHVMGSEPGPVF PSQLQKPQSGPWEPREENCTFAQPECGKAPRPGTWPWEAQVTVPGSTPCYGALVSDRWVL APASCFLDFPTQQPRTSDSSPHDFETWRVLLPSRPEEERVARLVAHENASRDFASDLALL QLRTRVNLTAAPSAVCLPHHEHYFLPGSHCRLARWGRGELAPGSSAQLEAQLLNGWWCHC LYGRQGETVPRPGDPPHLLCPAYQEEEEAGLCWKDSSWSLLCREEGTWFLAGYRTLSDGC LRPRAFSPMQTHGPWIRHVTQGAYLEDQLTWDWGPEGEETEKQTCPTHTEHGACGLRPKS TPAGVLWPWLTEVHVTGDRVCTGILVAPGWVLAATHCILRLGSTTVPYIEVYLGRAGVSS LPQGHQVSRSVVSIRLPRHLGLRPPLALLELNSRVEPSPSALPICLHPGGIPSGASCWVL GWKNPQDRVPVVAAVSILTPRLCHCLYPGILTPGTFCVLYSEGQEDRCEVTSAPPLLCQT EEGPWVLVGMAVRGNRELFAAIGPEATWISQTVGEAHFLHLGGSSYWSPEGSDLCPQDLA GSASSPKVTALLLLLLLAPLIPR >ENSMUSP00000004614.8 pep:known chromosome:GRCm38:7:12834761:12850577:1 gene:ENSMUSG00000058638.13 transcript:ENSMUST00000004614.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp110 description:zinc finger protein 110 [Source:MGI Symbol;Acc:MGI:1890378] MEDSMASTLPTTWPHESVKFEDVSLTFTEEEWAQLDFQQKCLYREIMMENYSNMISVEHH FSKPNVISQLEKAEDCWPMQREIPQDTLPECSWPSPDPGMNSFPSKSPLMKIEVVEVLTL NKDVAGPRNALIQSLYPEDLNPGNLKPAQQPSKRLTDTEASRQKFRHFQYEESAGPQKAM SQLRKLCHQWLQPNTRSKKQILELLVLEQFLNALPEKFRVWVESQHPEDCKAVVALLENM TSVSKDDASLACSSEATDQLKEKRKGVATLPVTFAAEVPAEEPVTFQDVAVDFNEEEWRL LGPTQKTEYHDVMLETLGNLVSVGWEPTLGNRELTPDSPIPVVKPIHDPNTKDLSRNGTQ STVFESILEDGVKEMHTIESNQVGNLQEKGHPQKKFSESSKSQDQTSRHKSQGSLNEVLP RKYVKVKQKGTGKRKGRTNTISMTRGLRIRKQQKDSVEWQGRSGSTPVTHGSSIKNQQQG SEQGKPGTSRDPITLTVPAKVYQKATGSKESILMDSSDAMVSDVPPKIHQKGPEWHKVGE SNNSMLQGSSVQNHQMESGAGRASDNSLLTHALPVKSHQKGYKEGNVQGNRNSWKHIKPH QKGSKGERVEELSTSEKHVPYVKNHLKTSERGKDREINASIKCDPYIKTYYRGSDVGRLR RANNCRKAFSLHAQQISFIKIHKGSQVCRCSECGKLFRNARYFSVHKKIHTGERPYMCMA CGKAFVQSSSLTQHLRIHSGERPFECSECGRTFNDRSAISQHLRTHTGAKPYHCERCGKA FRQSSHLTRHERTHTGERPYVCIKCGKAFTQSSHLIGHQKTHGIKFKKQPKL >ENSMUSP00000132060.1 pep:known chromosome:GRCm38:7:12835483:12849925:1 gene:ENSMUSG00000058638.13 transcript:ENSMUST00000168247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp110 description:zinc finger protein 110 [Source:MGI Symbol;Acc:MGI:1890378] MEDSMASTLPTTWPHESVKFEDVSLTFTEEEWAQLDFQQKCLYREIMMENYSNMISVEHH FSKPNVISQLEKAEDCWPMQREIPQDTLPECSWPSPDPGMNSFPSKSPLMKIEVVEVLTL NKDVAGPRNALIQSLYPEDLNPGNLKPAQQPSKRLTDTEASRQKFRHFQYEESAGPQKAM SQLRKLCHQWLQPNTRSKKQILELLVLEQFLNALPEKFRVWVESQHPEDCKAVVALLENM TSVSKDDASLACSSEATDQLKEKRKGVATLPVTFAAEVPAEEPVTFQDVAVDFNEEEWRL LGPTQKTEYHDVMLETLGNLVSVGWEPTLGNRELTPDSPIPVVKPIHDPNTKDLSRNGTQ STVFESILEDGVKEMHTIESNQVGNLQEKGHPQKKFSESSKSQDQTSRHKSQGSLNEVLP RKYVKVKQKGTGKRKGRTNTISMTRGLRIRKQQKDSVEWQGRSGSTPVTHGSSIKNQQQG SEQGKPGTSRDPITLTVPAKVYQKATGSKESILMDSSDAMVSDVPPKIHQKGPEWHKVGE SNNSMLQGSSVQNHQMESGAGRASDNSLLTHALPVKSHQKGYKEGNVQGNRNSWKHIKPH QKGSKGERVEELSTSEKHVPYVKNHLKTSERGKDREINASIKCDPYIKTYYRGSDVGRLR RANNCRKAFSLHAQQISFIKIHKGSQVCRCSECGKLFRNARYFSVHKKIHTGERPYMCMA CGKAFVQSSSLTQHLRIHSGERPFECSECGRTFNDRSAISQHLRTHTGAKPYHCERCGKA FRQSSHLTRHERTHTGERPYVCIKCGKAFTQSSHLIGHQKTHGIKFKKQPKL >ENSMUSP00000070241.7 pep:known chromosome:GRCm38:8:40307458:40384662:1 gene:ENSMUSG00000039478.15 transcript:ENSMUST00000068999.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micu3 description:mitochondrial calcium uptake family, member 3 [Source:MGI Symbol;Acc:MGI:1925756] MAALRRFLWPPPRLSPALAPQQPFLSPWGRPAGTAPGMSGRPFSCREEDEGAVAEAAWRR RRWGELSIAAAAGGGLVGLVCYQLYGDPRADPSELAAPELEDPPRGRGLLPIPVAAAKET VATGRAITEDLDLYATSRERRFRLFASIECEGQLFMTPYDFILAVTTDEPKFAKTWKSLS KQELSQMLSETPPVWKGSSKLFRNLKERGVISYTEYLFLLCILTKPHAGFRIAFNMFDTD GNEMVDKKEFLVLQEIFRKKNEKRETKGDEEKRAMLRLQLYGYHSPTNSVLKTDAGELVS RSYWDTLRRSTSQALFSDLAERADDITSLVADTTLLVHFFGKKGKAELNFEDFYRFMDNL QTEVLEIEFLSYSNGMNTISEEDFAHILLRYTNVENTSVFLENVRYSISEEKGITFDEFR SFFQFLNNLEDFAIALNMYNFASRSIGQDEFKRAVYVATGLKLSPHLVNTVFKIFDVDKD DQLSYKEFIGIMKDRLHRGFRGYKTVQKYPTFKSCLKKELHSR >ENSMUSP00000118883.1 pep:known chromosome:GRCm38:8:40354303:40386308:1 gene:ENSMUSG00000039478.15 transcript:ENSMUST00000136835.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micu3 description:mitochondrial calcium uptake family, member 3 [Source:MGI Symbol;Acc:MGI:1925756] XPHAGFRIAFNMFDTDGNEMVDKKEFLVVLKTDAGELVSRSYWDTLRRSTSQALFSDLAE RADDITSLVADTTLLVHFFGKKGKAELNFEDFYRFMDNLQTEVLEIEFLSYSNGMNTISE EDFAHILLRYTNVENTSVFLENVRYSISEEKGITFDEFRSFFQFLNNLEDFAIALNMYNF ASRSIGQDEFKRAVYVATGLKLSPHLVNTVFKIFDVDKDDQLSYKEFIGIMKDRLHRGFR GYKTVQKYPTFKSCLKKELHSR >ENSMUSP00000129433.1 pep:known chromosome:GRCm38:7:21349373:21350296:1 gene:ENSMUSG00000095758.1 transcript:ENSMUST00000169165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r128 description:vomeronasal 1 receptor 128 [Source:MGI Symbol;Acc:MGI:3643535] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKKRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPTELKCKLEFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFSHDATFMTIMVWTSVSMVLLLHRHHQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLGAVFPNICPLLLIFRDPKDP FSVLFKC >ENSMUSP00000068178.2 pep:known chromosome:GRCm38:4:120854819:120896579:1 gene:ENSMUSG00000032890.17 transcript:ENSMUST00000071093.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims3 description:regulating synaptic membrane exocytosis 3 [Source:MGI Symbol;Acc:MGI:2443331] MFNGEPGPASAGASRNVVRSSSISGEICGSQQAGGGAGTTTAKKRRSSLGAKMVAIVGLT QWSKSTLQLPQPEGATKKLRSNIRRSTETGIAVEMRSRVTRQGSRESTDGSTNSNSSEGT FIFPTRLGAESQFSDFLDGLGPAQIVGRQTLATPPMGDVHIAIMDRSGQLEVEVIEARGL TPKPGSKSLPATYIKAYLLENGACVAKKKTKVAKKTCDPLYQQALLFDEGPQGKVLQVIV WGDYGRMDHKCFMGMAQIMLDELDLSAAVTGWYKLFPTSSVADSTLGSLTRRLSQSSLES ATSPSCS >ENSMUSP00000101890.1 pep:known chromosome:GRCm38:4:120861777:120896579:1 gene:ENSMUSG00000032890.17 transcript:ENSMUST00000106283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims3 description:regulating synaptic membrane exocytosis 3 [Source:MGI Symbol;Acc:MGI:2443331] MFNGEPGPASAGASRNVVRSSSISGEICGSQQAGGGAGTTTAKKRRSSLGAKMVAIVGLT QWSKSTLQLPQPEGATKKLRSNIRRSTETGIAVEMRSRVTRQGSRESTDGSTNSNSSEGT FIFPTRLGAESQFSDFLDGLGPAQIVGRQTLATPPMGDVHIAIMDRSGQLEVEVIEARGL TPKPGSKSLPATYIKAYLLENGACVAKKKTKVAKKTCDPLYQQALLFDEGPQGKVLQVIV WGDYGRMDHKCFMGMAQIMLDELDLSAAVTGWYKLFPTSSVADSTLGSLTRRLSQSSLES ATSPSCS >ENSMUSP00000130295.1 pep:known chromosome:GRCm38:4:120877869:120891560:1 gene:ENSMUSG00000032890.17 transcript:ENSMUST00000171363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims3 description:regulating synaptic membrane exocytosis 3 [Source:MGI Symbol;Acc:MGI:2443331] MFNGEPGPASAGASRNVVRSSSISGEICGSQQAGGGAGTTTAKKRRSSLGAKMVAIVGLT QWSKSTLQLPQPEGATKKLRSNIRRSTETGIAVEMRSRVTRQGSRESTDGSTNSNSSEGT FIFPTRLGAESQFSDFLDGLGPAQIVGRQTLATPPMGDVHIAIMDRSGQLEVEVIEARGL TPKPGSKSLPATYIKAYLLENGACVAKKKTKVAKKTCDPLYQQALLFDEGPQGKVLQVIV WGDYGRMDHKCFMGMAQIMLDELDLSAAVTGWYKLFPTSSVADSTLGSLTRRLSQSSLES ATSPSCS >ENSMUSP00000110820.1 pep:known chromosome:GRCm38:X:37646500:37651327:-1 gene:ENSMUSG00000079628.3 transcript:ENSMUST00000115166.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox4g description:reproductive homeobox 4G [Source:MGI Symbol;Acc:MGI:3613394] MEHQNTNYLLHEGLGKDKEKLNGGKTQAVLPLDGEGRNEGESGLGQSGAAAVEGDKAEEL SGEGGPAAGDADLMDNSNQEDQDTSGSAQEEEKLPEEPVLRDAVVIDKVQPIPVLVSGVR PKSVWVQQRSLHYNFQWWQLQELERIFQQNHFIRAEERRHLARWIGVSEARVMTWFKKRR EHFRRGQSQLGMNDDAPVGSHSTFL >ENSMUSP00000021793.7 pep:known chromosome:GRCm38:13:41182495:41220405:-1 gene:ENSMUSG00000021364.15 transcript:ENSMUST00000021793.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl2 description:elongation of very long chain fatty acids (FEN1/Elo2, SUR4/Elo3, yeast)-like 2 [Source:MGI Symbol;Acc:MGI:1858960] MEQLKAFDNEVNAFLDNMFGPRDSRVRGWFLLDSYLPTFILTITYLLSIWLGNKYMKNRP ALSLRGILTLYNLAITLLSAYMLVELILSSWEGGYNLQCQNLDSAGEGDVRVAKVLWWYY FSKLVEFLDTIFFVLRKKTNQITFLHVYHHASMFNIWWCVLNWIPCGQSFFGPTLNSFIH ILMYSYYGLSVFPSMHKYLWWKKYLTQAQLVQFVLTITHTLSAVVKPCGFPFGCLIFQSS YMMTLVILFLNFYIQTYRKKPVKKELQEKEVKNGFPKAHLIVANGMTDKKAQ >ENSMUSP00000114112.1 pep:known chromosome:GRCm38:13:41182499:41220162:-1 gene:ENSMUSG00000021364.15 transcript:ENSMUST00000117096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl2 description:elongation of very long chain fatty acids (FEN1/Elo2, SUR4/Elo3, yeast)-like 2 [Source:MGI Symbol;Acc:MGI:1858960] MFGPRDSRVRGWFLLDSYLPTFILTITYLLSIWLGNKYMKNRPALSLRGILTLYNLAITL LSAYMLVELILSSWEGGYNLQCQNLDSAGEGDVRVAKVLWWYYFSKLVEFLDTIFFVLRK KTNQITFLHVYHHASMFNIWWCVLNWIPCGQSFFGPTLNSFIHILMYSYYGLSVFPSMHK YLWWKKYLTQAQLVQFVLTITHTLSAVVKPCGFPFGCLIFQSSYMMTLVILFLNFYIQTY RKKPVKKELQEKEVKNGFPKAHLIVANGMTDKKAQ >ENSMUSP00000072158.4 pep:known chromosome:GRCm38:19:12209568:12210506:1 gene:ENSMUSG00000094133.1 transcript:ENSMUST00000072316.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1431 description:olfactory receptor 1431 [Source:MGI Symbol;Acc:MGI:3031265] MIGERNITTITQFILLGFSDFPRITVLLFVIFLMIYIMTMTWNLSLIALIRMDSHLHTPM YFFLSNLSFIDLCFVTSTAPKMLSNFFQENQTISFVGCIVQYFILSTMGLTECCLMTAMA YDRYAAICNPLLYSSVMSPTLCAQMVMGSYTAGLTGSVSQICALLQLYFCGPNVIRHFFC DISQLLNLSCSDAFFVQVLLAILTMCFGIANALATMLSYGFIVLSILKITSAKGRSKAFN TCASHLTAVSLFYSTGIFVYLRSSSGSSSSFDRFTSVFYTVVIPMLNPLIYSLRNKEIKD AMKRLQKKKICN >ENSMUSP00000026976.5 pep:known chromosome:GRCm38:5:139252324:139270051:1 gene:ENSMUSG00000025858.12 transcript:ENSMUST00000026976.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Get4 description:golgi to ER traffic protein 4 [Source:MGI Symbol;Acc:MGI:1914854] MAAAAAMAEQEGARNGARNRGGVQRVEGKLRASVEKGDYYEAHQMYRTLFFRYMSQSKHA EARELMYSGALLFFSHGQQNSAADLSMLVLESLEKAEVDVADELLENLAKVFSLMDPNSP ERVAFVSRALKWSSGGSGKLGHPRLHQLLALTLWKEQNYCESRYHFLHSSDGEGCANMLV EYSTARGFRSEVDMFVAQAVLQFLCLKNKNSALVVFTTYTQKHPSIEDGPPFVQPLLNFI WFLLLAVDGGKLAVFTVLCEQYQPSLRRDPMYNEYLDRIGQLFFGVPPKQTSSYGGLLGN LLSSLMGSSEQEEGEESQDDSSPIELD >ENSMUSP00000117473.1 pep:known chromosome:GRCm38:5:139252370:139267141:1 gene:ENSMUSG00000025858.12 transcript:ENSMUST00000130326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Get4 description:golgi to ER traffic protein 4 [Source:MGI Symbol;Acc:MGI:1914854] MAAAAAMAEQEGARNGARNRGGVQRVEGKLRASVEKGDYYEAHQMYRTLFFRYMSQSKHA EARELMYSGALLFFSHGQNSAADLSMLVLESLEKAEVDVADELLENLAKVFSLMDPNSPE RVAFVSRALKWSSGGSGKLGHPRLHQLLALTLWKEQNYCESRYHFLHSSDGEGCANMLVE YSTARGFRSEVDMFVAQAVLQFLCLKNKNSALVVFTTYTQKHPSIEDGPPFVQPLLNFIW FLLLAV >ENSMUSP00000116975.1 pep:known chromosome:GRCm38:5:139253497:139267234:1 gene:ENSMUSG00000025858.12 transcript:ENSMUST00000138508.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Get4 description:golgi to ER traffic protein 4 [Source:MGI Symbol;Acc:MGI:1914854] MSQSKHAEARELMYSGALLFFSHGQNSAADLSMLVLESLEKAEVDVADELLENLAKVFSL MDPNSPERVAFVSRALKWSSGGSGKLGHPRLHQLLALTLWKEQNYCESRYHFLHSSDGEG CANMLVEYSTARGFRSEVDMFVAQAVLQFLCLKNKNSALVVFTTYTQKHPSIEDGPPFVQ PLLNFIWFLLLAVDGGK >ENSMUSP00000106502.1 pep:known chromosome:GRCm38:5:139253511:139269329:1 gene:ENSMUSG00000025858.12 transcript:ENSMUST00000110878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Get4 description:golgi to ER traffic protein 4 [Source:MGI Symbol;Acc:MGI:1914854] MSQSKHAEARELMYSGALLFFSHGQQNSAADLSMLVLESLEKAEVDVADELLENLAKVFS LMDPNSPERVAFVSRALKWSSGGSGKLGHPRLHQLLALTLWKEQNYCESRYHFLHSSDGE GCANMLVEYSTARGFRSEVDMFVAQAVLQFLCLKNKNSALVVFTTYTQKHPSIEDGPPFV QPLLNFIWFLLLAVDGGKLAVFTVLCEQYQPSLRRDPMYNEYLDRIGQLFFGVPPKQTSS YGGLLGNLLSSLMGSSEQEEGEESQDDSSPIELD >ENSMUSP00000125410.1 pep:known chromosome:GRCm38:15:37233036:37333647:1 gene:ENSMUSG00000022286.15 transcript:ENSMUST00000161405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grhl2 description:grainyhead-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2182543] MSQESDNNKRLVALVPMPSDPPFNTRRAYTSEDEAWKSYLENPLTAATKAMMSINGDEDS AAALGLLYDYYKVPRDKRLLSVSKASDSQEDQDKRNCLGTSEAQINLSGGENRVQVLKTV PVNLCLSQDHMENSKREQYSVSITESSAVIPVSGITVVKAEDFTPVFMAPPVHYPRADSE EQRVVIFEQTQYDLPSIASHSSYLKDDQRSTPDSTYSESFKDGASEKFRSTSVGADEYTY DQTGSGTFQYTLEATKSLRQKQGEGPMTYLNKGQFYAITLSETGDNKCFRHPISKVRSVV MVVFSEDKNRDEQLKYWKYWHSRQHTAKQRVLDIADYKESFNTIGNIEEIAYNAVSFTWD VNEEAKIFITVNCLSTDFSSQKGVKGLPLMIQIDTYSYNNRSNKPIHRAYCQIKVFCDKV IVRHRVTSGQELKAGTWRQEWKHWPWRTTVS >ENSMUSP00000022895.8 pep:known chromosome:GRCm38:15:37233036:37363569:1 gene:ENSMUSG00000022286.15 transcript:ENSMUST00000022895.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grhl2 description:grainyhead-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2182543] MSQESDNNKRLVALVPMPSDPPFNTRRAYTSEDEAWKSYLENPLTAATKAMMSINGDEDS AAALGLLYDYYKVPRDKRLLSVSKASDSQEDQDKRNCLGTSEAQINLSGGENRVQVLKTV PVNLCLSQDHMENSKREQYSVSITESSAVIPVSGITVVKAEDFTPVFMAPPVHYPRADSE EQRVVIFEQTQYDLPSIASHSSYLKDDQRSTPDSTYSESFKDGASEKFRSTSVGADEYTY DQTGSGTFQYTLEATKSLRQKQGEGPMTYLNKGQFYAITLSETGDNKCFRHPISKVRSVV MVVFSEDKNRDEQLKYWKYWHSRQHTAKQRVLDIADYKESFNTIGNIEEIAYNAVSFTWD VNEEAKIFITVNCLSTDFSSQKGVKGLPLMIQIDTYSYNNRSNKPIHRAYCQIKVFCDKG AERKIRDEERKQNRKKGKGQASQAQCNNSSDGKMAAIPLQKKSDITYFKTMPDLHSQPVL FIPDVHFANLQRTGQVYYNTDDEREGSSVLVKRMFRPMEEEFGPTPSKQIKEENVKRVLL YVRKENDDVFDALMLKSPTVKGLMEALSEKYGLPVEKITKLYKKSKKGILVNMDDNIIEH YSNEDTFILNMESMVEGFKITLMEI >ENSMUSP00000124903.1 pep:known chromosome:GRCm38:15:37233058:37279553:1 gene:ENSMUSG00000022286.15 transcript:ENSMUST00000161532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grhl2 description:grainyhead-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2182543] MPSDPPFNTRRAYTSEDEAWKSYLENPLTAATKAMMSINGDEDSAAALGLLYDYYKVPRD KRLLSVSKASDSQEDQDKRNCLGTSEAQINLSGGENRVQVLKTVPVNLCLSQDHMENSKR EQYSVSITESSAVIPVSGITVVKAEDFTPVFM >ENSMUSP00000123871.1 pep:known chromosome:GRCm38:15:37335737:37360940:1 gene:ENSMUSG00000022286.15 transcript:ENSMUST00000159221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grhl2 description:grainyhead-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2182543] XQNRKKGKGQASQAQCNNSSDGKMAAIPLQKKSDITYFKTMPDLHSQPVLFIPDVHFANL QRTGQVYYNTDDEREGSSVLVKRMFRPMEEEFGPTPSKQIKEENVKRVLLYVRKENDDVF DALMLKSPTVKGLMEALSEKYGLPVEKITKLYKKSKKG >ENSMUSP00000021832.6 pep:known chromosome:GRCm38:13:32802038:32822609:1 gene:ENSMUSG00000021400.6 transcript:ENSMUST00000021832.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wrnip1 description:Werner helicase interacting protein 1 [Source:MGI Symbol;Acc:MGI:1926153] MEVSGPEDDPFLSQLHQVQCPVCQQMMPAAHINSHLDRCLLLHPAGHAEPAAGSHRAGER AKGPSPPGAKRRRLSESSALKQPATPTAAESSEGEGEEGDDGGETESRESYDAPPTPSGA RLIPDFPVARSSSPARKGMGKRPAAAAAAGSASPRSWDEAEAQEEEEAGVDGDGDADVDG EDDPGHWDADAADASFGVSAGRAHPRALAAEEIRQMLEGKPLADKMRPDTLQDYIGQSRA VGQETLLRSLLEANEIPSLILWGPPGCGKTTLAHIIANNSKKHSIRFVTLSATNAKTNDV RDVIKQAQNEKSFFKRKTILFIDEIHRFNKSQQDTFLPHVECGTITLIGATTENPSFQVN AALLSRCRVIVLEKLPVEAMVTILMRAINSLGIHVLDSSRPTDPLSHSSNCSSEPSVFIE DKAVDTLAYLSDGDARTGLNGLQLAVLARLSSRKVFCKKSGQTYSPSRVLITENDVKEGL QRSHILYDRAGEEHYNCISALHKAMRGSDQNASLYWLARMLEGGEDPLYVARRLVRFASE DIGLADPSALAQAVAAYQGCHFIGMPECEVLLAQCVVYFARAPKSIEVYSAYNNVKACLR SHQGPLPPVPLHLRNAPTRLMKDLGYGKGYKYNPMYSEPVDQDYLPEELRGVDFFKQRRC >ENSMUSP00000006341.2 pep:known chromosome:GRCm38:13:22002176:22009741:-1 gene:ENSMUSG00000006179.8 transcript:ENSMUST00000006341.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss16 description:protease, serine 16 (thymus) [Source:MGI Symbol;Acc:MGI:1859181] MAVKAPWLGFLLLVSLWGLSTPALLLRRLREHIQKFQESSSLHPGFGLGHGPGAVPKQGW LEQPLDPFNASDRRTFLQRYWVNDQHRTGQDVPVFLHIGGEGSLGPGSVMAGHPAALAPA WGALVISLEHRFYGLSMPAGGLDLALLRYLSSRHALADVASARQALSGLLNVSSSSPWIC FGGSYAGSLATWARLKFPHLVFAAVASSAPLSAVVDFSAYNQVVARSLTQVAIGGSLECL AAASTAFTEVERLLRAGPAAQAVLREELGACGSLDLTEDQAELLGALQALVGGTVQYDGQ AGAPLSVRQLCGLLLGKWGNRSRSTPYLGLRRAVQIVLRSMGQKCLSFSRAETVAQLSNT EPQVSGVGDRQWLYQTCTEFGFYVTCEGLQCPFSQLPALPFQLELCEQVFGLSPASVAQA VAQTNSYYGGQSPGATQVLFVNGDTDPWHVLSVTQDLGLSEPALLIPSASHCFDMAPMRP SDSPSLRLGRQKISQQLQDWLKDIKKSQS >ENSMUSP00000063004.1 pep:known chromosome:GRCm38:2:24291196:24293820:1 gene:ENSMUSG00000046845.1 transcript:ENSMUST00000058056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1f10 description:interleukin 1 family, member 10 [Source:MGI Symbol;Acc:MGI:2652548] MCSLPMARYYIIKDAHQKALYTRNGQLLLGDPDSDNYSPEKVCILPNRGLDRSKVPIFLG MQGGSCCLACVKTREGPLLQLEDVNIEDLYKGGEQTTRFTFFQRSLGSAFRLEAAACPGW FLCGPAEPQQPVQLTKESEPSTHTEFYFEMSR >ENSMUSP00000127505.1 pep:known chromosome:GRCm38:17:23290934:23312313:-1 gene:ENSMUSG00000091945.1 transcript:ENSMUST00000168033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r114 description:vomeronasal 2, receptor 114 [Source:MGI Symbol;Acc:MGI:3648252] MFTLIFLFWLLNIPLLLADFISPRCFWKMKQNENRDRNQGTGCTFMIHAVQRPVEKEYFS HILNIQTHAENYKNVLALAFSIYEINRNPDILPNMSVRFTISKYNCYWESELMSLIHLSL QNHDILPNYMCKELTRCTMALTRLNWVTTVKLNTILNNFISQQFFQITYGPFHPVLSDHE KFPYLYQMASDHTSLALALVSFIIHFSWNWVGLAISDNDQGIQFLSYLRRVMEKNAVCFA FVNMIPMSMNLYMSRAEVYYNQIMTSSTNVVIIYGDTDSTLAVSFRMWESLGIQRLWITT SQWDVSPSMKDFTFGNEYGTFAFGQHHSEISGFKHFVQTLNSVKCPDEYLVKLEWMHFNC EVSASKCKTLKNCSSNHSLEWLMVHTFDMAFIEGSYDIYNAVYAFAHALHQIAFQKFDLP KDNGKEHNYSCKKLYSFLRKTQFTNPVGDRVNMNQRDKLQEEYDIFYIWNFPQGLELRVK IGMFSPYFPNGQQVHLSEDMIEWAKGSAQMPTSVCSAECGPGSRKFRMDGMAACSFHCKP CPENEISNETNVDYCVQCLEDQYANTEQNHCIRKTVVFLSYEEPLGVALSLISLFFSAVT IVVLGVIVKHHSTPVVKANNRTLTYILLISLIFCFLCPLLFIGHPNAATCILQQITFGVV FTVSVSTVLAKTITVVLAFKITASQRMMKYFLVSGAINYIIPFCILIQVIVCAVWLGASP PSVDIDAHSEHGQIIIVCHKGSVNAFYCVLGYLATLAIGSFTLAFFSRNLPGAFNEAKSI TFSMLVFCSVWVTFIPVYHSTKGRVMVAVEIFSILASSAGMLGCIFVPKCYTILFRPDQN SLEMIRAKSSSRAHIS >ENSMUSP00000001109.4 pep:known chromosome:GRCm38:5:34633642:34637189:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000001109.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] MGWAGDAGCTPRPPIRPRPASERRVIIVLFLGLLLDLLAFTLLLPLLPGLLERHGREQDP LYGSWQRGVDWFASAIGMPAEKRYNSVLFGGLIGSAFSLLQFFSAPLTGAASDYLGRRPV MMLSLTGLAISYAVWATSRSFKAFLASRVIGGISKGNVNLSTAIVADLGSPPTRSQGMAV IGVAFSLAFTLGPMLGAFLSVEMVPWISLLFAISDMLFIFCFLPETLPQEKRASSVTLGF HTAAHLLSPLALLRFAAVTHSQDPPAEHRLRNLRRLGLVYFLYLFLFSGLEYTLSFLAHQ RFQFSSLQQGKMFFFIGLTMATIQGTYARRISPGKEAAAVTRAMLLLVPAFLLIGWAHSL PTLGLGLMLYSFAAAVVVPGLSTMVSSYGSPGQKGTIMGILRSLGALGRALGPVVAASVY WLTGAQVCFTVCSALFLLPFLLLWKLKHPAETSKEE >ENSMUSP00000109970.3 pep:known chromosome:GRCm38:5:34633646:34637212:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000114331.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] MGWAGDAGCTPRPPIRPRPASERRVIIVLFLGLLLDLLAFTLLLPLLPGLLERHGREQDP LYGSWQRGVDWFASAIGMPAEKRYNSVLFGGLIGSAFSLLQFFSAPLTGAASDYLGRRPV MMLSLTGLAISYAVWATSRSFKAFLASRVIGGISKGNVNLSTAIVADLGSPPTRSQGMAV IGVAFSLAFTLGPMLGAFLSVEMVPWISLLFAISDMLFIFCFLPETLPQEKRASSVTLGF HTAAHLLSPLALLRFAAVTHSQDPPAEHRLRNLRRLGLVYFLYLFLFSGLEYTLSFLAHQ RFQFSSLQQGKMFFFIGLTMATIQGTYARRISPGKEAAAVTRAMLLLVPAFLLIGWAHSL PTLGLGLMLYSFAAAVVVPGLSTMVSSYGSPGQKGTIMGILRSLGALGRALGPVVAASVY WLTGAQVCFTVCSALFLLPFLLLWKLKHPAETSKEE >ENSMUSP00000109968.1 pep:known chromosome:GRCm38:5:34633649:34637192:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000114329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] MGWAGDAGCTPRPPIRPRPASERRVIIVLFLGLLLDLLAFTLLLPLLPGLLERHGREQDP LYGSWQRGVDWFASAIGMPAEKRYNSVLFGGLIGSAFSLLQFFSAPLTGAASDYLGRRPV MMLSLTGLAISYAVWATSRSFKAFLASRVIGGISKGNVNLSTAIVADLGSPPTRSQGMAV IGVAFSLAFTLGPMLGAFLSVEMVPWISLLFAISDMLFIFCFLPETLPQEKRASSVTLGF HTAAHLLSPLALLRFAAVTHSQDPPAEHRLRNLRRLGLVYFLYLFLFSGLEYTLSFLAHQ RFQFSSLQQGKMFFFIGLTMATIQGTYARRISPGKEAAAVTRAMLLLVPAFLLIGWAHSL PTLGLGLMLYSFAAAVVVPGLSTMVSSYGSPGQKGTIMGILRSLGALGRALGPVVAASVY WLTGAQVCFTVCSALFLLPFLLLWKLKHPAETSKEE >ENSMUSP00000120814.1 pep:known chromosome:GRCm38:5:34633725:34636807:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000137150.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] MGWAGDAGCTPRPPIRPRPASERRVIIVLFLGLLLDLLAFTLLLPLLPGLLERHGREQDP LYGSWQRGVDWFASAIGMPAEKRYNSVLFGVLLRTTHRSCL >ENSMUSP00000144117.1 pep:known chromosome:GRCm38:5:34634314:34635525:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000202378.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] IGVAFSLAFTLGPMLGAFLSVEMVPWISLLFAISDMLFIFCFLPETLPQEKRASSVTLGF HTAAHLLSPLALLRFAAVTHSQDPPAEHRLRNLRRLGLVYFLYLFLFSGLEYTLSFLAHQ RFQFSRAPTPGGSALARKLQL >ENSMUSP00000144466.1 pep:known chromosome:GRCm38:5:34634631:34635065:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000202065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] XPAEHSLEYTLSFLAHQRFQFSSLQQGKMFFFIGLTMATIQGTYAR >ENSMUSP00000143812.1 pep:known chromosome:GRCm38:5:34634655:34636116:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000134156.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] GSAFSLLQFFSAPLTGAASDYLGRRPVMMLSLVWPSPMQCGLPLGALRPSWPPG >ENSMUSP00000144239.1 pep:known chromosome:GRCm38:5:34634851:34635755:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000201147.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] ISYAVWATSRSFKAFLASRVIGGISKGNVNLSTAIVADLGSPPTRSQGMRHCPRKSGHLL SPWASTLLPTCSAPWPCFALRLSLTVRTRLLSTDSETYAVWVLSTSSTSSCSQAWSTHSA SWHISASSSA >ENSMUSP00000115204.1 pep:known chromosome:GRCm38:5:34634932:34637189:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000155577.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] MGWAGDAGCTPRPPIRPRPASERRVIIVLFLGLLLDLLAFTLLLPLLPGLLERHGREQDP LYGSWQRGVDWFASAIGMPAEKRYNSVLFGGLIGSAFSLLQFFSAPLTGAASDYLGRRPV MMLSLTGLAISYAVWATSRSFKAFLASRVIGGISKGNVNLSTAIVADLGSPPTRSQGMAV IGVAFSLAFTLGPMLGAFLSVEMVPWISLLFAISDMLFIFCFLPETLPQEKRASSVTLGF HTAAHLLSPLALLRFAAVTHSQDPPAEHRLRNLRRLGLV >ENSMUSP00000118786.1 pep:known chromosome:GRCm38:5:34635057:34636841:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000149657.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] MGWAGDAGCTPRPPIRPRPASERRVIIVLFLGLLLDLLAFTLLLPLLPGLLERHGREQDP LYGSWQRGVDWFASAIGMPAEKRYNSVLFGGLIGSAFSLLQFFSAPLTGAASDYLGRRPV MMLSLTGLAISYAVWATSRSFKAFLASRVIGGISKGNVNLSTAIVADLGSPPTRSQGMAV IGVAFSLAFTLGPMLGAFLSVEMVPWISLLFAISDMLFIFCFLPETLPQEKRASSVTLGF HTAAHLLSPLALLRFAAVTHSQDPPA >ENSMUSP00000144121.1 pep:known chromosome:GRCm38:5:34635064:34635623:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000137506.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] RSQGMAVIGVAFSLAFTLGPMLGAFLSVEMVPWISLLFAISDMLFIFCFLPETLPQEKRV LVPKLPGCVLPASWPALAFSHRHLLSPWASTLLPTCSAPWPCFALRLSLTVRTR >ENSMUSP00000144630.1 pep:known chromosome:GRCm38:5:34635110:34637125:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000126257.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] MGWAGDAGCTPRPPIRPRPASERRVIIVLFLGLLLDLLAFTLLLPLLPGLLERHGREQDP LYGSWQRGVDWFASAIGMPAEKRYNSVLFGGLIGSAFSLLQFFSAPLTGAASDYLGRRPV MMLSLCGLPLGALRPSWPPG >ENSMUSP00000119140.1 pep:known chromosome:GRCm38:5:34636594:34637140:-1 gene:ENSMUSG00000001082.12 transcript:ENSMUST00000124668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd10 description:major facilitator superfamily domain containing 10 [Source:MGI Symbol;Acc:MGI:1915544] MGWAGDAGCTPRPPIRPRPASERRVIIVLFLGLLLDLLAFTLLLPLLPGLLERHGREQ >ENSMUSP00000026845.7 pep:known chromosome:GRCm38:5:30013114:30019975:1 gene:ENSMUSG00000025746.11 transcript:ENSMUST00000026845.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6 description:interleukin 6 [Source:MGI Symbol;Acc:MGI:96559] MKFLSARDFHPVAFLGLMLVTTTAFPTSQVRRGDFTEDTTPNRPVYTTSQVGGLITHVLW EIVEMRKELCNGNSDCMNNDDALAENNLKLPEIQRNDGCYQTGYNQEICLLKISSGLLEY HSYLEYMKNNLKDNKKDKARVLQRDTETLIHIFNQEVKDLHKIVLPTPISNALLTDKLES QKEWLRTKTIQFILKSLEEFLKVTLRSTRQT >ENSMUSP00000143293.1 pep:known chromosome:GRCm38:5:30013144:30019981:1 gene:ENSMUSG00000025746.11 transcript:ENSMUST00000199183.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6 description:interleukin 6 [Source:MGI Symbol;Acc:MGI:96559] MKFLSARDFHPVAFLGLMLVTTTAFPTSQVRRGDFTEDTTPNRPVYTTSQVGGLITHVLW EIVEMRKELCNGNSDCMNNDDALAENNLKLPEIQRNDGCYQTGYNQEICLLKISSGLLEY HSYLEYMKNNLKDNKKDKARVLQRDTETLIHIFNQEVSASPSLMQCGKEDTRHLRVAALF PDSCSEGRESEQQFLIIRPCFVLLWGFV >ENSMUSP00000143544.1 pep:known chromosome:GRCm38:5:30013168:30019597:1 gene:ENSMUSG00000025746.11 transcript:ENSMUST00000195978.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6 description:interleukin 6 [Source:MGI Symbol;Acc:MGI:96559] MKFLSARDFHPVAFLGLMLVTTTAFPTSQVRRGDFTEDTTPNRPVYTTSQVGGLITHVLW EIVEMRKELCNGNSDCMNNDDALAENNLKLPEIQRNDGCYQTGYNQEICLLKISSGLLEY HSYLEYMKNNLKDNKKDKARVLQRDTETLIHIFNQEISWSHRRSG >ENSMUSP00000143157.1 pep:known chromosome:GRCm38:5:30013321:30019839:1 gene:ENSMUSG00000025746.11 transcript:ENSMUST00000199765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6 description:interleukin 6 [Source:MGI Symbol;Acc:MGI:96559] MLVTTTAFPTSQVRRGDFTEDTTPNRPVYTTSQVGGLITHVLWEIVEMRKELCNGNSDCM NNDDALAENNLKLPEIQRNDGCYQTGYNQEICLLKISSGLLEYHSYLEYMKNNLKDNKKD KARVLQRDTETLIHIFNQEVKDLHKIVLPTPISNALLTDKLESQKEWLRTKTIQFILKSL EEFLKVTLRSTRQT >ENSMUSP00000087641.5 pep:known chromosome:GRCm38:3:137867675:137916557:1 gene:ENSMUSG00000074212.7 transcript:ENSMUST00000090178.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb14 description:DnaJ heat shock protein family (Hsp40) member B14 [Source:MGI Symbol;Acc:MGI:1917854] MEGNRDEAEKCVQIAREALSAGNRDKAQRFLQKAEKLYPLPAARALLEIIMKNGSTAGSS THCRKPPGSSDQSKPSCGKDGTSGAGEGGKVYTKDQVEGVLSINKCKNYYEVLGVTKDAG DEDLKKAYRKLALKFHPDKNHAPGATDAFKKIGNAYAVLSNPEKRKQYDLTGSEEQACNH QNNGRFNFHRGCEADITPEDLFNIFFGGGFPSGSVHSFSNGRAAYSHQHQHRHSGHEREE ERADGGFSVFIQLMPIIVLILVSLLSQLMVSNPPYSLYPRSGSGQTIKMQTENLGVVYYV SKDFKSEYKGTLLQKVEKSVEEDYVTNIRNNCWKERQQKTDMQYAAKVYRDEQLRRKADA LSMENCKELERLTSLYKGG >ENSMUSP00000143194.1 pep:known chromosome:GRCm38:3:137868166:137902257:1 gene:ENSMUSG00000074212.7 transcript:ENSMUST00000197711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb14 description:DnaJ heat shock protein family (Hsp40) member B14 [Source:MGI Symbol;Acc:MGI:1917854] MKNGSTAGSSTHCRKPPGSSDQSKPSCGKDGTSGAGEGGKVYTKDQVEGVLSINKCKNYY EVLGVTKDAGDEDLKKAYRKLALKFHPDKNHAPGATDAFKKIGNAYAVLSNPEKRKQYDL TGSE >ENSMUSP00000115378.1 pep:known chromosome:GRCm38:7:12881177:12893422:1 gene:ENSMUSG00000060397.6 transcript:ENSMUST00000144578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp128 description:zinc finger protein 128 [Source:MGI Symbol;Acc:MGI:2389445] MDHQDKAATVAMASRPQATQLQEPVTFRDVAVDFTQEEWGQLDPTQRTLYRDVMLETFGH LLSVGPDLPKPAVISQLEQGAELWVADRGGTGACHPGWILEPEDHTLLKDQGLPKMEPSP ITEKDGFAKAVPCRSMIGIDQESDGQRQALKKDQSNLNDPKEIPLQSQSHKSLGLVEACV LGLNTYLLPDISGREYGCTYDSQVKNSEHNPSLVRQRTDSPATQSFDDNGSQKAFDQIMP ITELTKSQVQDKPYKCTDCGKSFNHNAHLTVHKRIHTGERPYMCKECGKAFSQNSSLVQH ERIHTGDKPYKCDECGKSFCHSTHLTVHRRIHTGEKPYECQDCGRAFNQNSSLGRHKRTH TGEKPYTCSVCGKSFSRTTCLFLHLRTHTEERPYECNHCGKGFRHSSSLAQHQRKHAGEK PYECRQRLIFEQAPALIKYEWTEPLGCDSPLSQGERTQRSDRPFKCNQCGKCFTQSSHLI RHQLTHSREEEPLRGRSRRQEQPCRRGSRLIQNTNSNSRELPVAQPKAGQASRTLALFDL REIMQEQNPVHVIGVEEPSVGNSMLFDTRESR >ENSMUSP00000099177.3 pep:known chromosome:GRCm38:X:30329772:30352645:1 gene:ENSMUSG00000073255.10 transcript:ENSMUST00000101654.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14632 description:predicted gene 14632 [Source:MGI Symbol;Acc:MGI:3805544] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000136274.1 pep:known chromosome:GRCm38:X:30331337:30352523:1 gene:ENSMUSG00000073255.10 transcript:ENSMUST00000177917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14632 description:predicted gene 14632 [Source:MGI Symbol;Acc:MGI:3805544] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000029275.5 pep:known chromosome:GRCm38:3:37120523:37125959:-1 gene:ENSMUSG00000027720.7 transcript:ENSMUST00000029275.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il2 description:interleukin 2 [Source:MGI Symbol;Acc:MGI:96548] MYSMQLASCVTLTLVLLVNSAPTSSSTSSSTAEAQQQQQQQQQQQQHLEQLLMDLQELLS RMENYRNLKLPRMLTFKFYLPKQATELKDLQCLEDELGPLRHVLDLTQSKSFQLEDAENF ISNIRVTVVKLKGSDNTFECQFDDESATVVDFLRRWIAFCQSIISTSPQ >ENSMUSP00000126383.3 pep:known chromosome:GRCm38:1:14168958:14310235:-1 gene:ENSMUSG00000025932.14 transcript:ENSMUST00000168081.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya1 description:EYA transcriptional coactivator and phosphatase 1 [Source:MGI Symbol;Acc:MGI:109344] MEMQDLTSPHSRLSGSSESPSGPKLDSSHINSTSMTPNGTEVKTEPMSSSEIASTAADGS LDSFSGSALGSSSFSPRPAHPFSPPQIYPSNRSYPHILPTPSSQTMAAYGQTQFTTGMQQ ATAYATYPQPGQPYGISSYGIKTESGLSQSQSPGQTGFLSYGTSFGTPQPGQAPYSYQMQ GSSFTTSSGLYSGNNSLTNSSGFNSSQQDYPSYPGFGQGQYAQYYNSSPYPAHYMTSSNT SPTTPSTNATYQLQEPPSGVTSQAVTDPTAEYSTIHSPSTPIKETDSERLRRGSDGKSRG RGRRNNNPSPPPDSDLERVFIWDLDETIIVFHSLLTGSYANRYGRDPPTSVSLGLRMEEM IFNLADTHLFFNDLEECDQVHIDDVSSDDNGQDLSTYNFGTDGFPAAATSANLCLATGVR GGVDWMRKLAFRYRRVKEIYNTYKNNVGGLLGPAKREAWLQLRAEIEALTDSWLTLALKA LSLIHSRTNCVNILVTTTQLIPALAKVLLYGLGIVFPIENIYSATKIGKESCFERIIQRF GRKVVYVVIGDGVEEEQGAKKHAMPFWRVSSHSDLMALHHALELEYL >ENSMUSP00000079493.8 pep:known chromosome:GRCm38:1:14169666:14310119:-1 gene:ENSMUSG00000025932.14 transcript:ENSMUST00000080664.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya1 description:EYA transcriptional coactivator and phosphatase 1 [Source:MGI Symbol;Acc:MGI:109344] MLLFPQVAVKTEPMSSSEIASTAADGSLDSFSGSALGSSSFSPRPAHPFSPPQIYPSKSY PHILPTPSSQTMAAYGQTQFTTGMQQATAYATYPQPGQPYGISSYGALWAGIKTESGLSQ SQSPGQTGFLSYGTSFGTPQPGQAPYSYQMQGSSFTTSSGLYSGNNSLTNSSGFNSSQQD YPSYPGFGQGQYAQYYNSSPYPAHYMTSSNTSPTTPSTNATYQLQEPPSGVTSQAVTDPT AEYSTIHSPSTPIKETDSERLRRGSDGKSRGRGRRNNNPSPPPDSDLERVFIWDLDETII VFHSLLTGSYANRYGRDPPTSVSLGLRMEEMIFNLADTHLFFNDLEECDQVHIDDVSSDD NGQDLSTYNFGTDGFPAAATSANLCLATGVRGGVDWMRKLAFRYRRVKEIYNTYKNNVGG LLGPAKREAWLQLRAEIEALTDSWLTLALKALSLIHSRTNCVNILVTTTQLIPALAKVLL YGLGIVFPIENIYSATKIGKESCFERIIQRFGRKVVYVVIGDGVEEEQGAKKHAMPFWRV SSHSDLMALHHALELEYL >ENSMUSP00000141112.1 pep:known chromosome:GRCm38:1:14170643:14304570:-1 gene:ENSMUSG00000025932.14 transcript:ENSMUST00000190337.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya1 description:EYA transcriptional coactivator and phosphatase 1 [Source:MGI Symbol;Acc:MGI:109344] MEMQDLTSPHSRLSGSSESPSGPKLDSSHINSTSMTPNGTEVKTEPMSSSEIASTAADGS LDSFSGSALGSSSFSPRPAHPFSPPQIYPSKSYPHILPTPSSQTMAAYGQTQFTTGMQQA TAYATYPQPGQPYGISSYGALWAGIKTESGLSQSQSPGQTGFLSYGTSFGTPQPGQAPYS YQMQGSSFTTSSGLYSGNNSLTNSSGFNSSQQDYPSYPGFGQGQYAQYYNSSPYPAHYMT SSNTSPTTPSTNATYQLQEPPSGVTSQAVTDPTAEYSTIHSPSTPIKETDSERLRRGSDG KSRGRGRRNNNPSPPPDSDLERVFIWDLDETIIVFHSLLTGSYANRYGRDPPTSVSLGLR MEEMIFNLADTHLFFNDLEECDQVHIDDVSSDDNGQDLSTYNFGTDGFPAAATSANLCLA TGVRGGVDWMRKLAFRYRRVKEIYNTYKNNVGGLLGPAKREAWLQLRAEIEALTDSWLTL ALKALSLIHSRTNCVNILVTTTQLIPALAKVLLYGLGIVFPIENIYSATKIGKESCFERI IQRFGRKVVYVVIGDGVEEEQGAKKHAMPFWRVSSHSDLMALHHALELEYL >ENSMUSP00000140619.1 pep:known chromosome:GRCm38:1:14207926:14231492:-1 gene:ENSMUSG00000025932.14 transcript:ENSMUST00000189526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya1 description:EYA transcriptional coactivator and phosphatase 1 [Source:MGI Symbol;Acc:MGI:109344] XRGSDGKSRGRGRRNNNPSPPPDSDLERVFIWDLDETIIVFHSLLTGSYANRYGRDPPTS VSLGLRMEEMIFNLADTHLFFNDLEQNAPYLMEEPKSLGKSQRATELKCGLFNICPVF >ENSMUSP00000140171.1 pep:known chromosome:GRCm38:1:14252600:14304619:-1 gene:ENSMUSG00000025932.14 transcript:ENSMUST00000188857.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya1 description:EYA transcriptional coactivator and phosphatase 1 [Source:MGI Symbol;Acc:MGI:109344] MLLFPQVAVKTEPMSSSEIASTAADGSLDSFSGSALGSSSFSPRPAHPFSPPQIYPSNRS YPHILPTPSSQTMAAYGQTQFTTGMQQATAYATYPQPGQPYGISSYGALWAGIKTESGLS QSQSPGQTGFLSYGTSFGTPQPGQAPYSYQMQGSSFTTSSGLYSGNNSLTNSSGFNSSQQ DYPSY >ENSMUSP00000141072.1 pep:known chromosome:GRCm38:1:14270707:14304628:-1 gene:ENSMUSG00000025932.14 transcript:ENSMUST00000185453.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya1 description:EYA transcriptional coactivator and phosphatase 1 [Source:MGI Symbol;Acc:MGI:109344] MEMQDLTSPHSRLSGSSESPSGPKLDSSHINSTSMTPNGTEVKTEPMSSSEIASTAADGS LDSFSGSALGSSSFSPRPAHPFSPPQIYPSNRSYPHILPTPSSQTMAAYGQTQFTTGMQQ ATAYATYPQPGQPYGISSYGALWAGIKTESGLSQSQSPGQTGFLSYGTSFGTPQPGQAPY SYQM >ENSMUSP00000139542.1 pep:known chromosome:GRCm38:1:14270775:14309836:-1 gene:ENSMUSG00000025932.14 transcript:ENSMUST00000187790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya1 description:EYA transcriptional coactivator and phosphatase 1 [Source:MGI Symbol;Acc:MGI:109344] MEMQDLTSPHSRLSGSSESPSGPKLDSSHINSTSMTPNGTEVKTEPMSSSEIASTAADGS LDSFSGSALGSSSFSPRPAHPFSPPQIYPSNLPQECNKPQPTPRTHSLDSPMEFPPMVHC GQASRRKVDCHSLSHLDRR >ENSMUSP00000027066.6 pep:known chromosome:GRCm38:1:14168954:14310200:-1 gene:ENSMUSG00000025932.14 transcript:ENSMUST00000027066.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya1 description:EYA transcriptional coactivator and phosphatase 1 [Source:MGI Symbol;Acc:MGI:109344] MEMQDLTSPHSRLSGSSESPSGPKLDSSHINSTSMTPNGTEVKTEPMSSSEIASTAADGS LDSFSGSALGSSSFSPRPAHPFSPPQIYPSKSYPHILPTPSSQTMAAYGQTQFTTGMQQA TAYATYPQPGQPYGISSYGALWAGIKTESGLSQSQSPGQTGFLSYGTSFGTPQPGQAPYS YQMQGSSFTTSSGLYSGNNSLTNSSGFNSSQQDYPSYPGFGQGQYAQYYNSSPYPAHYMT SSNTSPTTPSTNATYQLQEPPSGVTSQAVTDPTAEYSTIHSPSTPIKETDSERLRRGSDG KSRGRGRRNNNPSPPPDSDLERVFIWDLDETIIVFHSLLTGSYANRYGRDPPTSVSLGLR MEEMIFNLADTHLFFNDLEECDQVHIDDVSSDDNGQDLSTYNFGTDGFPAAATSANLCLA TGVRGGVDWMRKLAFRYRRVKEIYNTYKNNVGGLLGPAKREAWLQLRAEIEALTDSWLTL ALKALSLIHSRTNCVNILVTTTQLIPALAKVLLYGLGIVFPIENIYSATKIGKESCFERI IQRFGRKVVYVVIGDGVEEEQGAKKHAMPFWRVSSHSDLMALHHALELEYL >ENSMUSP00000101453.1 pep:known chromosome:GRCm38:4:138216296:138244683:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000105827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MATDMSQGELIHPKALPLIVGAQLIHADKLGEKAEDTTMPIRRAVNSTRETPPKSKLAEG EEEKPEPDGSSEESISTVEEQENETPPATSSEAEQPKGEPESGEKEENNNKSAEEPKKDE KDQSKEKEKKVKKTIPAWATLSASQLARAQRQTPMASSPRPKMDAILTEAIKASFQKTGA SVVAIRKYIIHKYPSLGLERRGYLLKQALKRELNRGVIRQVKGKGASGSFVVVQKSKPPQ KSKNRKKGSALDPEPQVKLEDVLPLAFTRLCEPKEASYSLIRKYVSQYYPKLRVDIRPQL LKNALQRAVERGQLEQITGKGASGTFQLKKSGEKPLLGGSLMEYAILSAIAAMNEPKTCS TTALKKYVLENHPGANSNYQMHLLKKTLQKCEKNGWLEQISGKGFSGTFQLSFPYYPSPG VLFPKKESGGSDDEDEDDDDDESSEDSEDEEPPPKRSLQKKTPAKSQGKTASMKQRGSKP ARKVPAAQRGKVRPLPKKAPPKAKTPARKARPSPSVIKKPSGSSSRKPIASARKEAKLPG KGKSAMKKSFKTKK >ENSMUSP00000101451.1 pep:known chromosome:GRCm38:4:138216635:138244683:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000105825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MPIRRAVNSTRETPPKSKLAEGEEEKPEPDGSSEESISTVEEQENETPPATSSEAEQPKG EPESGEKEENNNKSAEEPKKDEKDQSKEKEKKVKKTIPAWATLSASQLARAQRQTPMASS PRPKMDAILTEAIKASFQKTGASVVAIRKYIIHKYPSLGLERRGYLLKQALKRELNRGVI RQVKGKGASGSFVVVQKSKPPQKSKNRKKGSALDPEPQVKLEDVLPLAFTRLCEPKEASY SLIRKYVSQYYPKLRVDIRPQLLKNALQRAVERGQLEQITGKGASGTFQLKKSGEKPLLG GSLMEYAILSAIAAMNEPKTCSTTALKKYVLENHPGANSNYQMHLLKKTLQKCEKNGWLE QISGKGFSGTFQLSFPYYPSPGVLFPKKESGGSDDEDEDDDDDESSEDSEDEEPPPKRSL QKKTPAKSQGKTASMKQRGSKPARKVPAAQRGKVRPLPKKAPPKAKTPARKARPSPSVIK KPSGSSSRKPIASARKEAKLPGKGKSAMKKSFKTKK >ENSMUSP00000118913.1 pep:known chromosome:GRCm38:4:138217127:138229757:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000137851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MPIRRAVNSTRETPPKSKLAEGEEEKPEPDGSSEESISTVEEQENETPPATSSEAEQPKG EPESGEKEENNNKSAEEPKKDEKDQSKEKEKKVKKTIPAWATLSASQLARAQRQTPMASS PRPKMDAILTEAIKASFQKTGASVVAIRKYIIHKYPSLGLERRGYLLKQALKRELNRGVI RQVKGKGASGSFVVVQKSKPPQKSKNRK >ENSMUSP00000123467.1 pep:known chromosome:GRCm38:4:138217294:138223550:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000130071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MATDMSQGELIHPKALPLIVGAQLIHADKLGEKAEDTTMPIRRAVNSTRETPPKSKLAEG EEEKPEPDGSSEESISTVEEQENETP >ENSMUSP00000117216.1 pep:known chromosome:GRCm38:4:138216626:138226023:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000137865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MATDMSQGELIHPKALPLIVGAQLIHADKLGEKAEDTTMPIRRAVNSTRETPPKSKLAEG EEEKPEEQENETPPATSSEAEQPKGEPESGEKEENNNKSAEEPKKDEKDQSKEKEKKVKK TIPAWATLSASQLARAQRQTPMASSPRPKM >ENSMUSP00000120587.1 pep:known chromosome:GRCm38:4:138216634:138228775:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000124305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MPIRRAVNSTRETPPKSKLAEGEEEKPEEQENETPPATSSEAEQPKGEPESGEKEENNNK SAEEPKKDEKDQSKEKEKKVKKTIPAWATLSASQLARAQRQTPMASSPRPKMDAILTEAI KASFQKTGASVVAIRKYIIHKYPSLGLERRGYLLKQALKRELNRG >ENSMUSP00000122005.1 pep:known chromosome:GRCm38:4:138228583:138240735:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000148681.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MSGLRILQACFQKTGASVVAIRKYIIHKYPSLGLERRGYLLKQALKRELNRGVIRQVKGK GASGSFVVVQKSKPPQKSKNRKKGSALDPEPQVKLEDVLPLAFTRLCEPKEASYSLIRKY VSQYYPKLRVDIRPQLLKNALQRAVERGQLEQITGKGASGTFQLKKSGEKPLLGGSLMEY AILSAIAAMNEPKTCSTTALKKYVLENHPGANSNYQMHLLKKTLQKCEKNGWLEQISGKG FSGTFQLSFPYYPSPGVLFPKKESGGSDDEDEDDDDDESSEDSEDEEPPPKR >ENSMUSP00000101452.1 pep:known chromosome:GRCm38:4:138216627:138243148:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000105826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MATDMSQGELIHPKALPLIVGAQLIHADKLGEKAEDTTMPIRRAVNSTRETPPKSKLAEG EEEKPEPDGSSEESISTVEEQENETPPATSSEAEQPKGEPESGEKEENNNKSAEEPKKDE KDQSKEKEKKVKKTIPAWATLSASQLARAQRQTPMASSPRPKMDAILTEAIKASFQKTGA SVVAIRKYIIHKYPSLGLERRGYLLKQALKRELNRGVIRQVKGKGASGSFVVVQKSKPPQ KSKNRKKGSALDPEPQVKLEDVLPLAFTRLCEPKEASYSLIRKYVSQYYPKLRVDIRPQL LKNALQRAVERGQLEQITGKGASGTFQLKKSGEKPLLGGSLMEYAILSAIAAMNEPKTCS TTALKKYVLENHPGANSNYQMHLLKKTLQKCEKNGWLEQISGKGFSGTFQLSFPYYPSPG VLFPKKESGGSDDEDEDDDDDESSEDSEDEEPPPKRSLQKKTPAKSQGKTASMKQRGSKP ARKVPAAQRGKVRPLPKKAPPKAKTPARKARPSPSVIKKPSGSSSRKPIASARKEAKLPG KGKSAMKKSFKTKK >ENSMUSP00000132614.1 pep:known chromosome:GRCm38:4:138217131:138243170:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000165861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MATDMSQGELIHPKALPLIVGAQLIHADKLGEKAEDTTMPIRRAVNSTRETPPKSKLAEG EEEKPEPDGSSEESISTVEEQENETPPATSSEAEQPKGEPESGEKEENNNKSAEEPKKDE KDQSKEKEKKVKKTIPAWATLSASQLARAQRQTPMASSPRPKMDAILTEAIKACFQKTGA SVVAIRKYIIHKYPSLGLERRGYLLKQALKRELNRGVIRQVKGKGASGSFVVVQKSKPPQ KSKNRKKGSALDPEPQVKLEDVLPLAFTRLCEPKEASYSLIRKYVSQYYPKLRVDIRPQL LKNALQRAVERGQLEQITGKGASGTFQLKKSGEKPLLGGSLMEYAILSAIAAMNEPKTCS TTALKKYVLENHPGANSNYQMHLLKKTLQKCEKNGWLEQISGKGFSGTFQLSFPYYPSPG VLFPKKESGGSDDEDEDDDDDESSEDSEDEEPPPKRSLQKKTPAKSQGKTASMKQRGSKP ARKVPAAQRGKVRPLPKKAPPKAKTPARKARPSPSVIKKPSGSSSRKPIASARKEAKLPG KGKSAMKKSFKTKK >ENSMUSP00000095447.3 pep:known chromosome:GRCm38:4:138216627:138243170:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000097836.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MPIRRAVNSTRETPPKSKLAEGEEEKPEPDGSSEESISTVEEQENETPPATSSEAEQPKG EPESGEKEENNNKSAEEPKKDEKDQSKEKEKKVKKTIPAWATLSASQLARAQRQTPMASS PRPKMDAILTEAIKACFQKTGASVVAIRKYIIHKYPSLGLERRGYLLKQALKRELNRGVI RQVKGKGASGSFVVVQKSKPPQKSKNRKKGSALDPEPQVKLEDVLPLAFTRLCEPKEASY SLIRKYVSQYYPKLRVDIRPQLLKNALQRAVERGQLEQITGKGASGTFQLKKSGEKPLLG GSLMEYAILSAIAAMNEPKTCSTTALKKYVLENHPGANSNYQMHLLKKTLQKCEKNGWLE QISGKGFSGTFQLSFPYYPSPGVLFPKKESGGSDDEDEDDDDDESSEDSEDEEPPPKRSL QKKTPAKSQGKTASMKQRGSKPARKVPAAQRGKVRPLPKKAPPKAKTPARKARPSPSVIK KPSGSSSRKPIASARKEAKLPGKGKSAMKKSFKTKK >ENSMUSP00000030541.6 pep:known chromosome:GRCm38:4:138216627:138243170:1 gene:ENSMUSG00000028759.13 transcript:ENSMUST00000030541.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp1bp3 description:heterochromatin protein 1, binding protein 3 [Source:MGI Symbol;Acc:MGI:109369] MATDMSQGELIHPKALPLIVGAQLIHADKLGEKAEDTTMPIRRAVNSTRETPPKSKLAEG EEEKPEPDGSSEESISTVEEQENETPPATSSEAEQPKGEPESGEKEENNNKSAEEPKKDE KDQSKEKEKKVKKTIPAWATLSASQLARAQRQTPMASSPRPKMDAILTEAIKACFQKTGA SVVAIRKYIIHKYPSLGLERRGYLLKQALKRELNRGVIRQVKGKGASGSFVVVQKSKPPQ KSKNRKKGSALDPEPQVKLEDVLPLAFTRLCEPKEASYSLIRKYVSQYYPKLRVDIRPQL LKNALQRAVERGQLEQITGKGASGTFQLKKSGEKPLLGGSLMEYAILSAIAAMNEPKTCS TTALKKYVLENHPGANSNYQMHLLKKTLQKCEKNGWLEQISGKGFSGTFQLSFPYYPSPG VLFPKKESGGSDDEDEDDDDDESSEDSEDEEPPPKRSLQKKTPAKSQGKTASMKQRGSKP ARKVPAAQRGKVRPLPKKAPPKAKTPARKARPSPSVIKKPSGSSSRKPIASARKEAKLPG KGKSAMKKSFKTKK >ENSMUSP00000034014.7 pep:known chromosome:GRCm38:8:40279166:40286953:-1 gene:ENSMUSG00000031603.14 transcript:ENSMUST00000034014.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf20 description:fibroblast growth factor 20 [Source:MGI Symbol;Acc:MGI:1891346] MAPLTEVGAFLGGLEGLSQQVGSHFLLPPAGERPPLLGERRGALERGARGGPGSVELAHL HGILRRRQLYCRTGFHLQILPDGTVQGTRQDHSLFGILEFISVAVGLVSIRGVDSGLYLG MNDKGELYGSEKLTSECIFREQFEENWYNTYSSNIYKHGDTGRRYFVALNKDGTPRDGAR SKRHQKFTHFLPRPVDPERVPELYKDLLMYT >ENSMUSP00000112756.1 pep:known chromosome:GRCm38:8:40279725:40308331:-1 gene:ENSMUSG00000031603.14 transcript:ENSMUST00000118639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf20 description:fibroblast growth factor 20 [Source:MGI Symbol;Acc:MGI:1891346] MLSSPQRRRRHAASATAPSSSSRQEKGRPDIPGAVPAGRPHGILEFISVAVGLVSIRGVD SGLYLGMNDKGELYGSEKLTSECIFREQFEENWYNTYSSNIYKHGDTGRRYFVALNKDGT PRDGARSKRHQKFTHFLPRPVDPERVPELYKDLLMYT >ENSMUSP00000131447.1 pep:known chromosome:GRCm38:17:23343977:23360128:1 gene:ENSMUSG00000091076.1 transcript:ENSMUST00000168175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r115 description:vomeronasal 2, receptor 115 [Source:MGI Symbol;Acc:MGI:3647235] MFTLIFLFWLLNIPLLLADFISPRCFWKMKQNENRDRNQGTGCTFMIHAVQRPVEKEYFS HILNIQTHTENHKYALALAFSIYEINMNPDILPNMSLIFEFSVHNCVWESKLMSFMHVSL QNYDIFPNYLCKEYTKCAMALTSLNWATTVKFNTILNNFISQQFFQITYGPFHPVLSDHE KFPYLHQMASDHTSLAFGLVSFIIHFGWNWVGLVISDNDQGIQFLSYLKTEMEKNTICFA FVNMIPMSMNLYMSKAEVYYNQIMTSSTNVVIIYGDTDSTLAVSFRMWESLGIQRLWITT SQWNVSPGMKDFTFGNEYGTFAFGQHHSEISGFKHFVQTLNSVKCPDEYLVKLEWMHFNC EVSASKCKTLKNCSSNHSLEWLMVHTFDMAFIEGSYDIYNAVYAFAHALHQITFQKFDNL PKDNGKEHNYSCKKLYSFLRKTQFINPVGDRVNMNQRDKLQEEYDIFYIWNFPHGLGFKV KIGIFSPYFPNGQQVHLSEDMIEWAKGSAQMPTSVCSADCGPGSRKFRMDGMAACCFHCK PCPENEISNETNVDYCVQCLEDQYANTEQNHCIRKIVVFLSYEEPLGVALSLISLFFSAF TIVVLGVIVKHHSTPVVKANNRTLTYILLISLIFCFLCPLLFIGHPNAATCILQQITFGI VFTVSVSTVLAKTITVVLAFKIIASQRMMKYFLVSGAINYIIPVCILIQVIVCAVWLGAS PPSVDIDGQSEHGQIIIVCHKGSVNAFYCVLGYLATLAIGSFTLAFFSRNLPGAFNEAKS ITFSMLVFCSVWVTFIPVYHSTKGKVMVAVEIFSTLASSAGMLGCIFVPKCYTILFRPDQ NSLEMIRVKSSSNARSS >ENSMUSP00000035929.8 pep:known chromosome:GRCm38:7:99859116:99917822:1 gene:ENSMUSG00000035211.9 transcript:ENSMUST00000036155.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrra1 description:X-ray radiation resistance associated 1 [Source:MGI Symbol;Acc:MGI:2181647] MVSSGMYKMDNGKPCLNNCFPAKSLLRLPEEGRGHWIVVRKGSMKKGAAKASTEGQESQK KVSFDTGLKKISRRDSQADVLGHILDRSFLLKHHSARKPSDLCTINVSGMKFSKAKEKDF KHFTSVIYINASENLLPLDVFHTFPVLKELELAFNGIKMVYVKYGDFKTLEFLDLSFNSL TEEAICDLGILPHLRVLLLTGNGLTSLPPNMAVKEQEASMTSLTSKKYILRFPALETLML DDNKLSNPSCFASLAGLRRLKKLSLDQNKIVRIPYLQQIQLRDGSGDWVTEGPNPQKELQ PQMWIFETPDEQPNYTVLPMKKDVDRTEVVFSSYPGFSTSETAKVCSLPPMFEILPVKSL KARNQTLAPPFPELRYLSLAYNKIAKEDAVLPAALFPSLCELVFHNNPLVAHTRGIPPLL KSFLQDRLGIRLVRKKLVKPKHHMLMPRKESRKVKTYIPKVPKHSLVPHHLNMITDSPPS SLMPEPEHSTEDTSHEALFANEGPEGPSLTHRAFVPMPPICSDSTVHSEAVSHQSHTAGL VSSEHPSDDDAKSTESIFLTQVNELPSSTAHRENLEAVNDQRRPSTAPRETKRTRRKQTA TSLHNKYDGYEELLTVKPDPAFLEPKGIQKNAQALHRMLKQPLICRSSKPRLDTFQKPYV PKEKRAGRIPILPPRKTRAQLLDDILIRMRDPRNVTEAPLGTVLQRRAQQRLVNQKQYRE AKRLLKEFRARYRQLVRSSLRTVFAASPPPRPPTRRALSAGQPKLGRFLEFMDEFCQEPT ASDSKE >ENSMUSP00000146815.1 pep:known chromosome:GRCm38:7:99863502:99881605:1 gene:ENSMUSG00000035211.9 transcript:ENSMUST00000208354.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xrra1 description:X-ray radiation resistance associated 1 [Source:MGI Symbol;Acc:MGI:2181647] MVSSGMYKMDNGKPCLNNCFPAKSLLRLPEEGRGHWIVVRKGSMKKGAAKASTEGQESQK KVSFDTGLKKISRRDSQADVLGHILDRSFLLKHHSARKPSDLCTINVSGMKFSKAPGQGT NPVFLWHAF >ENSMUSP00000147177.1 pep:known chromosome:GRCm38:7:99863625:99902999:1 gene:ENSMUSG00000035211.9 transcript:ENSMUST00000207855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrra1 description:X-ray radiation resistance associated 1 [Source:MGI Symbol;Acc:MGI:2181647] MVSSGMYKMDNGKPCLNNCFPAKSLLRLPEEGRGHWIVVRKGSMKKGAAKASTEGQESQK KVSFDTGLKKISRRDSQADVLGHILDRSFLLKHHSARKPSDLCTINVSGMKFSKAKEKDF KHFTSVIYINASENLLPLDVFHTFPVLKELELAFNGIKMVYVKYGDFKTLEFLDLSFNSL TEEAICDLGILPHLRVLLLTGNGLTSLPPNMAVKEQEASMTSLTSKKYILRFPALETLML DDNKLSNPSCFASLAGLRRLKKLSLDQNKIVRIPYLQQIQLRDGSGDWVTEGPNPQKELQ PQMWIFETPDEQPNYTVLPMKKDVDRTEVVFSSYPGFSTSEVGGPATSHLCLSVMLLGQA L >ENSMUSP00000130956.2 pep:known chromosome:GRCm38:13:41249860:41276574:1 gene:ENSMUSG00000091264.2 transcript:ENSMUST00000165561.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim13 description:small integral membrane protein 13 [Source:MGI Symbol;Acc:MGI:2652854] MWHNVGLTLLVFVATLLIVLLLMVCGWYFVWHLFLSKFKFLRELVGDTGSQEGDNEQPSG SETEEDPSASPQKIRSARQRRPPVDAGH >ENSMUSP00000120413.3 pep:known chromosome:GRCm38:4:147305674:147333734:1 gene:ENSMUSG00000078498.5 transcript:ENSMUST00000148762.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13151 description:predicted gene 13151 [Source:MGI Symbol;Acc:MGI:3651985] MSVCLVNTPQGLLTFKDVALDFSLEEWECLSFAQRSLYMDVMLENYNNLLFVENHCIRGK NGKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILESLQCTPYKTNHSSDALQFSNQKRLK PRNTKEVCKYNDSVNSLSLFSTISLNQGINMQKKKHNRNAELDNIFVSKHKVMVKKDNIA GNPYKCSEFHKYLTQRENLQSQQRIYHGKKPYKSSKSDKCFTHQIHLSIHQGIHTEEIIY KCSECDKCFKNKFNLTMHQRIHTGEKPYKCSECGKCFTEKSSLRIHQRIHTGEKPYKCSE CDKCFTKQSNLSIHQRIHTGEKPYKCSECDKCFTQQSCLSIHQRIHTGEKPYKCSECGKC FTEKSSLRIHQRIHTGEKTYKCSECDKCFTRKFHLGIHQRIHTGEKPYKCSECDKCFTQQ SNLTIHQRIHTGEKPYKCPECGKCFTEKSSLRIHQRIHTGEKPYKCSECGKCFTEKSSLR IHQRIHTGEKPYKCNECGKCFTEKSSLRIHQRIHTGEKPYKCSECGKCFTVKSSLRIHQR IHTGEKPYKCSECDKCFTKQSNLSIHQRIHTGEKPYKCSECEKCFSRKLHLGIHQRIHTG ERPYKCSECEKCFSRKFHLGIHQRIHTGKKPYK >ENSMUSP00000127018.1 pep:known chromosome:GRCm38:4:147332407:147332469:1 gene:ENSMUSG00000078498.5 transcript:ENSMUST00000166764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13151 description:predicted gene 13151 [Source:MGI Symbol;Acc:MGI:3651985] EKPYKCPECGKCFTEKSSLRI >ENSMUSP00000026128.3 pep:known chromosome:GRCm38:11:120598421:120608198:1 gene:ENSMUSG00000025135.12 transcript:ENSMUST00000026128.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc11 description:anaphase promoting complex subunit 11 [Source:MGI Symbol;Acc:MGI:1913406] MKVKIKCWNGVATWLWVANDENCGICRMAFNGCCPDCKVPGDDCPLVWGQCSHCFHMHCI LKWLNAQQVQQHCPMCRQEWKFKE >ENSMUSP00000097714.3 pep:known chromosome:GRCm38:11:120598532:120605776:1 gene:ENSMUSG00000025135.12 transcript:ENSMUST00000093140.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc11 description:anaphase promoting complex subunit 11 [Source:MGI Symbol;Acc:MGI:1913406] MKVKIKCWNGVATWLWVANDENCGICRMAFNGCCPDCKVPGDDCPLVWGQCSHCFHMHCI LKWLNAQQVQQHCPMCRQEWKFKE >ENSMUSP00000146529.1 pep:known chromosome:GRCm38:19:12228519:12229559:-1 gene:ENSMUSG00000109022.1 transcript:ENSMUST00000207710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1432 description:olfactory receptor 1432 [Source:MGI Symbol;Acc:MGI:3031266] MPSRSVLGDGNHTSVAMFVLLGLLDQAELQLILFPVFLGTYLITLIWNLGLIILIRMDSH LQTPMYFFLSFLSFIDICYSSSISPRILSDFLKTEKTISFIACATQNFVLAWMGASECCL LTAMAYDRYVAIGSPLQYSAIMAPSLCWRMVAGVYGSGFFISFVQTVACFNLYYCGPNVI RHFFCDIPQIIPLSCSDPFISQLVLFLAALFVGFGSFLVIIFSYVFIAVSILKVASFEGC VKAFKTCGSHLAAVTLFYGTVFSVYMHHSSQHSTKQDKVLSVVYTILIPMVNPLIYSLRN TEIKEALKRVLKKAVHLPQKHSIWAGSILKRKAGVKTLRPSVDLSN >ENSMUSP00000146641.1 pep:known chromosome:GRCm38:19:12228615:12229544:-1 gene:ENSMUSG00000109022.1 transcript:ENSMUST00000180978.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1432 description:olfactory receptor 1432 [Source:MGI Symbol;Acc:MGI:3031266] VLGDGNHTSVAMFVLLGLLDQAELQLILFPVFLGTYLITLIWNLGLIILIRMDSHLQTPM YFFLSFLSFIDICYSSSISPRILSDFLKTEKTISFIACATQNFVLAWMGASECCLLTAMA YDRYVAIGSPLQYSAIMAPSLCWRMVAGVYGSGFFISFVQTVACFNLYYCGPNVIRHFFC DIPQIIPLSCSDPFISQLVLFLAALFVGFGSFLVIIFSYVFIAVSILKVASFEGCVKAFK TCGSHLAAVTLFYGTVFSVYMHHSSQHSTKQDKVLSVVYTILIPMVNPLIYSLRNTEIKE ALKRVLKKAV >ENSMUSP00000026986.6 pep:known chromosome:GRCm38:13:54590207:54591158:1 gene:ENSMUSG00000025868.6 transcript:ENSMUST00000026986.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Higd2a description:HIG1 domain family, member 2A [Source:MGI Symbol;Acc:MGI:1914294] MAAPGPVSPEAPFDPSKPPVIEGFSPTVYSNPEGFKEKFIRKTRENPMVPIGCLGTAAAL TYGLYCFHRGQSHRSQLMMRTRIAAQGFTVVAILLGLAASAMKSQA >ENSMUSP00000115135.1 pep:known chromosome:GRCm38:4:147361303:147390289:1 gene:ENSMUSG00000078497.9 transcript:ENSMUST00000133078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13145 description:predicted gene 13145 [Source:MGI Symbol;Acc:MGI:3701123] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVVEQDTQHIFNEHGHIQ >ENSMUSP00000114248.1 pep:known chromosome:GRCm38:4:147361311:147391004:1 gene:ENSMUSG00000078497.9 transcript:ENSMUST00000154154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13145 description:predicted gene 13145 [Source:MGI Symbol;Acc:MGI:3701123] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVVEQDTQHIFNEHGHIQEKSFKCNESSNIIHESSESTPHK TNHRDATLQSSNLKRHKTGTTKQVCTYKDCVNCLKGSSIISLNQGTHVEKKEHNRNKNLD EVLVSKHKPIVRQNNSEMNTYTCGEFDKCFTQSDNLQSQQRIYPGKKSYKYSESDKCFTQ PSHLSIHHTIHSGEKPYKCSECDKCFTDKCTLRKHQRIHTGEKPYKCNECDKCFTDKGSL RVHQRIHTGEKPYKCSECDKCFTQTSHLSIHRRIHS >ENSMUSP00000057651.4 pep:known chromosome:GRCm38:7:12897815:12908239:1 gene:ENSMUSG00000054715.10 transcript:ENSMUST00000055528.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan22 description:zinc finger and SCAN domain containing 22 [Source:MGI Symbol;Acc:MGI:2443312] MAIPKSPLSPVLWEQDSFLQVKVKEEEEASDSPSQESSPSFTAHPEAARLRFRHFRYEEA SSPHQALAQLRELCCQWLRPESSSKEQMLELLVLEQFLGALPPEIQAWVGAQCPKSGKEA AVLVEDMTQLLDRRGWEPGVEREEASCKQSNTDELEPPKMATETVMGSVLPKSTLAHTCK PESHSESQPEFLGALWMKSTAQEMDFRKALGPHMDAPKDQPGHESNTSGNGSNMWPNFPS QDKASSEEKFGPLLDNETVPPDTCSEKKSSKDSECLKTFQNTSALEAHQKSHSQKTPYAC TECGKVFSRSTHLVQHQVVHTGAKPHACKECGKAFSRVAHLTQHLRIHTGEKPYKCEECG KTFSRSTHLTQHQRVHTGERPYECDTCGKAFSQSTHLTQHQRIHTGEKPYRCDVCGKAFS DCSALVRHLRVHSGEKPYQCKDCPKAFAQSSSLIEHQRTHTGEKPYKCSDCGKAFSRSSA LMVHLKIHITVTRSTP >ENSMUSP00000112684.1 pep:known chromosome:GRCm38:7:12897836:12909083:1 gene:ENSMUSG00000054715.10 transcript:ENSMUST00000117189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan22 description:zinc finger and SCAN domain containing 22 [Source:MGI Symbol;Acc:MGI:2443312] MATETVMGSVLPKSTLAHTCKPESHSESQPEFLGALWMKSTAQEMDFRKALGPHMDAPKD QPGHESNTSGNGSNMWPNFPSQDKASSEEKFGPLLDNETVPPDTCSEKKSSKDSECLKTF QNTSALEAHQKSHSQKTPYACTECGKVFSRSTHLVQHQVVHTGAKPHACKECGKAFSRVA HLTQHLRIHTGEKPYKCEECGKTFSRSTHLTQHQRVHTGERPYECDTCGKAFSQSTHLTQ HQRIHTGEKPYRCDVCGKAFSDCSALVRHLRVHSGEKPYQCKDCPKAFAQSSSLIEHQRT HTGEKPYKCSDCGKAFSRSSALMVHLKIHITVTRSTP >ENSMUSP00000113314.1 pep:known chromosome:GRCm38:7:12897841:12907537:1 gene:ENSMUSG00000054715.10 transcript:ENSMUST00000120809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan22 description:zinc finger and SCAN domain containing 22 [Source:MGI Symbol;Acc:MGI:2443312] MAIPKSPLSPVLWEQDSFLQVKVKEEEEASDSPSQESSPSFTAHPEAARLRFRHFRYEEA SSPHQALAQLRELCCQWLRPESSSKEQMLELLVLEQFLGALPPEIQAWVGAQCPKSGKEA AVLVEDMTQLLDRRGWEPGVEREEASCKQSNTDELEPPKMATETVMGSVLPKSTLAHTCK PESHSESQPEFLGALWMKSTAQEMDFRKALGPHMDAPKDQPGHESNTSGNGSNMWPNFPS QDKASSEEKFGPLLDNETVPPDTCSEKKSSKDSECLKTFQNTSALEAHQKSHSQKTPYAC TECGKVFSRSTHLVQHQVVHTGAKPHACKECGKAFSRVAHLTQHLRIHTGEKPYKCEECG KTFSRSTHLTQHQRVHTGERPYECDTCGKAFSQSTHLTQHQRIHTGEKPYRCDVCGKAFS DCSALVRHLRVHSGEKPYQCKDCPKAFAQSSSLIEHQRTHTGEKPYKCSDCGKAFSRSSA LMVHLKIHITVTRSTP >ENSMUSP00000113486.1 pep:known chromosome:GRCm38:7:12897867:12908242:1 gene:ENSMUSG00000054715.10 transcript:ENSMUST00000119989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan22 description:zinc finger and SCAN domain containing 22 [Source:MGI Symbol;Acc:MGI:2443312] MATETVMGSVLPKSTLAHTCKPESHSESQPEFLGALWMKSTAQEMDFRKALGPHMDAPKD QPGHESNTSGNGSNMWPNFPSQDKASSEEKFGPLLDNETVPPDTCSEKKSSKDSECLKTF QNTSALEAHQKSHSQKTPYACTECGKVFSRSTHLVQHQVVHTGAKPHACKECGKAFSRVA HLTQHLRIHTGEKPYKCEECGKTFSRSTHLTQHQRVHTGERPYECDTCGKAFSQSTHLTQ HQRIHTGEKPYRCDVCGKAFSDCSALVRHLRVHSGEKPYQCKDCPKAFAQSSSLIEHQRT HTGEKPYKCSDCGKAFSRSSALMVHLKIHITVTRSTP >ENSMUSP00000048383.3 pep:known chromosome:GRCm38:X:100625737:100727214:1 gene:ENSMUSG00000034311.3 transcript:ENSMUST00000048962.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif4 description:kinesin family member 4 [Source:MGI Symbol;Acc:MGI:108389] MKEEVKGIPVRVALRCRPLVSKEIKEGCQTCLSFVPGEPQVVVGNDKSFTYDFVFDPSTE QEEVFNTAVAPLIKGVFKGYNATVLAYGQTGSGKTYSMGGAYTAEQEHDSAIGVIPRVIQ LLFKEINKKSDFEFTLKVSYLEIYNEEILDLLCSSREKATQINIREDPKEGIKIVGLTEK TVLVASDTVSCLEQGNNSRTVASTAMNSQSSRSHAIFTISIEQRKKNDKNSSFRSKLHLV DLAGSERQKKTKAEGDRLREGININRGLLCLGNVISALGDDKKGNFVPYRDSKLTRLLQD SLGGNSHTLMIACVSPADSNLEETLNTLRYADRARKIKNKPIINIDPQAAELNHLKQQVQ QLQILLLQAHGGTLPGDINVEPSENLQSLMEKNQSLVEENEKLSRGLSEAAGQTAQMLER IILTEQANEKMNAKLEELRRHAACKVDLQKLVETLEDQELKENIEIICNLQQVIAQLSDE AAACMTATIDTAGEADTQVQSSPDTSRSSDVFSTQHALRQAQMSKELIELNKALALKEAL AKKMTQNDNQLQPIQFQYQDNIKNLESEVLSLQREKEELVLELQTAKKDANQAKLSERRR KRLQELEGQIADLKKKLQEQSKLLKLKESTEHTVSKLNQEIRMMKNQRVQLMRQMKEDAE KFRQWKQQKDKEVIQLKERDRKRQYELLKLERNFQKQSNVLRRKTEEAAAANKRLKDALQ KQKEVAEKRKETQSRGMESTAARMKNWLGNEIEVMVSTEEAKRHLNGLLEERKILAQDVA QLKEKRESGENPPLKLRRRTFSYDEIHGQDSGAEDSIAKQIESLETELELRSAQIADLQQ KLLDAESEDRPKQRWESIATILEAKCAIKYLVGELVSSKILVSKLESSLNQSKASCIDVQ KMLFEEQNHFAKIETELKEELVKVEQQHQEKVLYLLSQLQQSQMTEKQLEESVSEKEQQL LSTLKCQEEELRKMQEVCEQNQQLLQENSAIKQKLTLLQVASKQKPHLTRNIFQSPDSSF EYIPPKPKPCRIKEKCLEQSFAVEGLQYYSEPSVAEQDNEDSDDHADEEWIPTKLVKVSK KSIQGCSCKGWCGNKQCGCRKQKSDCNVSCSCDPTKCRNRHQNQDNSDAIELNQDSENSF KLEDPTEVTSGLSFFHPICATPSSKILKEMCDADQVQLKQPVFVSSSDHPELKSIASESQ ENKAIGKKKKRALASNTSFFSGCSPIQEESH >ENSMUSP00000031797.4 pep:known chromosome:GRCm38:6:30509849:30520253:1 gene:ENSMUSG00000029784.13 transcript:ENSMUST00000031797.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssmem1 description:serine-rich single-pass membrane protein 1 [Source:MGI Symbol;Acc:MGI:1922897] MFFERTEKRVTREMSESKQDEDSGTSASLSKASKETSCKRQNREGSWDSSLVMKKPKQNQ VSTVTDSEVALVSAYLEQRRVRRHSQFLRVNQIQQDSDTTECDSEESNSGASSWKESESD HHLSPANIKRRKSVSRQRDMENYEVRQRPCLHCKAMRTREWLTRHFPPSASVTTPMKGEI QEENLTPGTNTKFSKF >ENSMUSP00000031798.7 pep:known chromosome:GRCm38:6:30512286:30520254:1 gene:ENSMUSG00000029784.13 transcript:ENSMUST00000031798.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssmem1 description:serine-rich single-pass membrane protein 1 [Source:MGI Symbol;Acc:MGI:1922897] MGHLLSLFWEVDPPPIPLGFTMPSQDAECRKDDSCGTIGSFLLWYFIIILILMFFSRASV WMSESKQDEDSGTSASLSKASKETSCKRQNREGSWDSSLVMKKPKQNQVSTVTDSEVALV SAYLEQRRVRRHSQFLRVNQIQQDSDTTECDSEESNSGASSWKESESDHHLSPANIKRRK SVSRQRDMENYEVRQRPCLHCKAMRTREWLTRHFPPSASVTTPMKGEIQEENLTPGTNTK FSKF >ENSMUSP00000122018.1 pep:known chromosome:GRCm38:6:30513698:30519544:1 gene:ENSMUSG00000029784.13 transcript:ENSMUST00000131485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssmem1 description:serine-rich single-pass membrane protein 1 [Source:MGI Symbol;Acc:MGI:1922897] MLLKMSESKQDEDSGTSASLSKASKETSCKRQNREGSWDSSLVMKKPKQNQVSTVTDSEV ALVSAYL >ENSMUSP00000126805.1 pep:known chromosome:GRCm38:14:4165030:4173502:1 gene:ENSMUSG00000090764.8 transcript:ENSMUST00000167839.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3127 description:predicted gene 3127 [Source:MGI Symbol;Acc:MGI:3781303] MFSWLIRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYTMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHCLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000128550.1 pep:known chromosome:GRCm38:14:4165088:4173503:1 gene:ENSMUSG00000090764.8 transcript:ENSMUST00000171866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3127 description:predicted gene 3127 [Source:MGI Symbol;Acc:MGI:3781303] MFSWLIRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYTMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHCLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQCEILQQKAEHGTDHDMISLKEKCWRKSTVCAQEIHHC CLSSLITMAVMGCMLLLYFVSLV >ENSMUSP00000136188.1 pep:known chromosome:GRCm38:14:4165093:4168072:1 gene:ENSMUSG00000090764.8 transcript:ENSMUST00000178386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3127 description:predicted gene 3127 [Source:MGI Symbol;Acc:MGI:3781303] MFSWLIRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYTMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHCLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000092700.1 pep:known chromosome:GRCm38:4:52825399:52826358:1 gene:ENSMUSG00000089717.1 transcript:ENSMUST00000095085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr275 description:olfactory receptor 275 [Source:MGI Symbol;Acc:MGI:3030109] MVQGNWTSVTVFVFLGFSHYPRIEVTVFVLCLLMYLITLLGNTILISITILDSHLHTPMY FFLSNLSFLDIWYTSSALTPMLANFVSGKNTISFSGCASQMYFSLAMGSTECVLLSMMAY DRYVAICNPLRYPIIMNRRVCVQIAGSSWVTGCLTALVETGPVIHLSLCGNSIINHFTCE ILALLKLACGDTSMVQLIMLVISILLLPLPMLLICVSYASILSNILRISSMDGRSKAFST CAAHLTVVVLFYGTALSMYLKPSSVNSQEIDKFMALIYTGLTPMLNPIIYSLRNKEVKMA VKKLLMKNPFSAILTSVLK >ENSMUSP00000128469.1 pep:known chromosome:GRCm38:7:21360368:21361291:-1 gene:ENSMUSG00000094879.1 transcript:ENSMUST00000165961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r129 description:vomeronasal 1 receptor 129 [Source:MGI Symbol;Acc:MGI:3645471] MSAHGNSLKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVVRSTNLCSTCVLSVHQFVTLVP LNRGKGKLVLRASVTNMASYSSCSCWFFSVLSNIHIPIKFSGPQITDNNTDSKRKLFCST SGFSVGIVFLQFAYDATFMSIMVWTSISMVLLLRRHRQRMQHILTPNQNPRGQAESKATH TILMLVFTFVSFYLLNCICIIVHALFMHSHFFVRLVSEILTAIFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000146358.1 pep:known chromosome:GRCm38:19:13163047:13165954:1 gene:ENSMUSG00000045883.4 transcript:ENSMUST00000207113.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1461 description:olfactory receptor 1461 [Source:MGI Symbol;Acc:MGI:3031295] MTLVKNWTYVTEFILLGLTDDPGLQLPLFVIFLLIYIITLVGNLGMILLIFLDSQLHIPM YFFLGNLSLVDVCYSSAVTPKVIAGLLIGDKFISYNDCAAQMFFFAAFATVENYLLASMA YDRYVAVCKPLHYTTTMTSNVCICLIMGCYGFSFLNVSVYLGDTFSLSFCNSNVVHHFFC DMPAIMALSCSDKHVNELVLIYLASFNIFVAFIMIIVSYLIIFITILNMRSRAGVQKALS TCVSHLTAVFIFYGTIIFMYLQPSSRHAMDTDKIVSVFYTMVIPMLNPLVYSLRNKEVKS AFMKIVLKEKSL >ENSMUSP00000146723.1 pep:known chromosome:GRCm38:19:13163758:13165404:1 gene:ENSMUSG00000045883.4 transcript:ENSMUST00000208489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1461 description:olfactory receptor 1461 [Source:MGI Symbol;Acc:MGI:3031295] MTLVKNWTYVTEFILLGLTDDPGLQLPLFVIFLLIYIITLVGNLGMILLIFLDSQLHIPM YFFLGNLSLVDVCYSSAVTPKVIAGLLIGDKFISYNDCAAQMFFFAAFATVENYLLASMA YDRYVAVCKP >ENSMUSP00000053269.3 pep:known chromosome:GRCm38:19:13165016:13165954:1 gene:ENSMUSG00000045883.4 transcript:ENSMUST00000053772.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1461 description:olfactory receptor 1461 [Source:MGI Symbol;Acc:MGI:3031295] MTLVKNWTYVTEFILLGLTDDPGLQLPLFVIFLLIYIITLVGNLGMILLIFLDSQLHIPM YFFLGNLSLVDVCYSSAVTPKVIAGLLIGDKFISYNDCAAQMFFFAAFATVENYLLASMA YDRYVAVCKPLHYTTTMTSNVCICLIMGCYGFSFLNVSVYLGDTFSLSFCNSNVVHHFFC DMPAIMALSCSDKHVNELVLIYLASFNIFVAFIMIIVSYLIIFITILNMRSRAGVQKALS TCVSHLTAVFIFYGTIIFMYLQPSSRHAMDTDKIVSVFYTMVIPMLNPLVYSLRNKEVKS AFMKIVLKEKSL >ENSMUSP00000110917.1 pep:known chromosome:GRCm38:17:24736642:24742778:1 gene:ENSMUSG00000075705.12 transcript:ENSMUST00000115262.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msrb1 description:methionine sulfoxide reductase B1 [Source:MGI Symbol;Acc:MGI:1351642] MSFCSFFGGEVFQNHFEPGVYVCAKCSYELFSSHSKYAHSSPWPAFTETIHPDSVTKCPE KNRPEALKVSCGKCGNGLGHEFLNDGPKRGQSRFUIFSSSLKFVPKGKEAAASQGH >ENSMUSP00000099300.5 pep:known chromosome:GRCm38:17:24736682:24742771:1 gene:ENSMUSG00000075705.12 transcript:ENSMUST00000101800.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msrb1 description:methionine sulfoxide reductase B1 [Source:MGI Symbol;Acc:MGI:1351642] MSFCSFFGGEVFQNHFEPGVYVCAKCSYELFSSHSKYAHSSPWPAFTETIHPDSVTKCPE KNRPEALKVSCGKCGNGLGHEFLNDGPKRGQSRFUIFSSSLKFVPKGKEAAASQGH >ENSMUSP00000128106.1 pep:known chromosome:GRCm38:17:23384803:23401864:1 gene:ENSMUSG00000090966.1 transcript:ENSMUST00000164856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r116 description:vomeronasal 2, receptor 116 [Source:MGI Symbol;Acc:MGI:3646674] MFTLIFLFLFLNIPLLVADFISPRCFWKMKQNEYRDRHHGTGCIFLILAIQQPVKKEYFS HILNIQTHTENHKYALALAFSIYEINRNPDLLPNMSLIFIFSADSCEWESELSLIRFGLQ NSDNLPNYLCEELTKCILALTNMNWATTVTLHTILSNFLSDQLLHITYGTFHPALSDHEK FPYLHQMASDHTSLALALVSFIIHFGWNWVGLVISDSDQGIQFLSYLRREMEKYTLCFAF VNMIPLNINLYMSRAEVYYNQIMTSSTNVVIIYGDTDSTLAVSFRMWESLGIQRLWITTS QWDVSPSMKDFTFGNKYGTFAFEQHHSEISGFKHFVQTLNSVKCPDEYLVKLEWMHFNCE VSASKCKTLKNCSSNHSLKWLMVHTFDMAFIEESYYIYNAVYAFAHVLHQFTFQKFDNLP KDNGKEHNYSCKKLYSYLRKNHFINPVGDRVSMNQRDKLQEEYDIVYIWNFPQGLGLRVK IGMFSPYFPNGQQVHLSEDMLKWARGSTQVPTSMCSADCGPGSRKFRMDGMAACCFHCKP CPENEISNETNVDNCVQCPEDQYANTEQNHCIRKAVVFLSYEEPLGVALSLLSLCFSAFT TVVLGIFVKHHNTPIVKANNRTLTYLLLISLIFCFLCPLLFIGHPNSATCILQQLTFGVV FTVSLSTVLAKTITVVLAFKIIASQRMMKYFLISGAINYIIPICILIQVIVCAVWLRASP PSVDIDAHSEHGQIIIVCHKGSVNAFYCVLGYLAILAFGSFTLAFLSRNLPGAFNEAKSI TFSMLVFCSVWVTFIPVYHSTKGKVMVAVEIFSTLASSAGMLGCIFVPKCYTILFRQDQN SLEMIRVKSSSNVHVS >ENSMUSP00000130084.1 pep:known chromosome:GRCm38:14:4182576:4204895:1 gene:ENSMUSG00000079391.10 transcript:ENSMUST00000164512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2974 description:predicted gene 2974 [Source:MGI Symbol;Acc:MGI:3781152] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKERRKWFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNSSVI >ENSMUSP00000137158.1 pep:known chromosome:GRCm38:14:4198185:4200256:1 gene:ENSMUSG00000079391.10 transcript:ENSMUST00000180184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2974 description:predicted gene 2974 [Source:MGI Symbol;Acc:MGI:3781152] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSR >ENSMUSP00000097170.3 pep:known chromosome:GRCm38:2:113746164:113758646:-1 gene:ENSMUSG00000074934.3 transcript:ENSMUST00000099575.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grem1 description:gremlin 1, DAN family BMP antagonist [Source:MGI Symbol;Acc:MGI:1344337] MNRTAYTVGALLLLLGTLLPTAEGKKKGSQGAIPPPDKAQHNDSEQTQSPPQPGSRTRGR GQGRGTAMPGEEVLESSQEALHVTERKYLKRDWCKTQPLKQTIHEEGCNSRTIINRFCYG QCNSFYIPRHIRKEEGSFQSCSFCKPKKFTTMMVTLNCPELQPPTKKKRVTRVKQCRCIS IDLD >ENSMUSP00000039915.7 pep:known chromosome:GRCm38:16:58408443:58469727:1 gene:ENSMUSG00000035107.13 transcript:ENSMUST00000046663.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcbld2 description:discoidin, CUB and LCCL domain containing 2 [Source:MGI Symbol;Acc:MGI:1920629] MASRAPLRAARSPQGPGGPAAPAATGRAALPSAGCCPLPPGRNSSSRPRLLLLLLLLLQD AGGQQGDGCGHTVLGPESGTLTSINYPHTYPNSTVCEWEIRVRTGERIRIKFGDFDIEDS DYCHLNYLKIFNGIGVSRTEIGKYCGLGLQMNQSIESKGSEVTVLFMSGTHAAGRGFLAS YSVIDKEDLITCLDTVSNFLEPEFSKYCPAGCLLPFAEISGTIPHGYRDSSPLCMAGIHA GVVSNVLGGQISIVISKGTPYYESSLANNVTSTVGYLSASLFTFKTSGCYGTLGMESGVI ADPQITASSALEWTDHMGQENSWTAEKARLRKPGPPWAAFATDEHQWLQIDLNKEKKITG IVTTGSTMIEHSYYVSAYRVLYSDDGQRWTVYREPGVDQDKIFQGNKDYHKDVRNNFLPP IIARFIRVNPVQWQQKIAMKVELLGCQFTLKGRLPKLTPPPRNGNNLRNTTARPKLGKGR APKFTQVLQPRSRNELPVQPAETTTTPDIKNTTVTPSVTKDVALAAVLVPVLVMALTTLI LILVCAWHWRNRKKKTEGAYDLPHWDRAGWWKGMKQLLPAKSVDHEETPVRYSTSEVSHL SAREVTTVLQADSAEYAQPLVGGIVGTLHQRSTFKPEEGKEAGYADLDPYNSPMQEVYHA YAEPLPVTGPEYATPIVMDMSGHPTASVGLPSTSTFKTAGTQPHALVGTYNTLLSRTDSC SSGQAQYDTPKGGKSAATPEELVYQVPQSTQELSGAGRDEKFDAFKEIL >ENSMUSP00000056552.3 pep:known chromosome:GRCm38:8:119623854:119635680:-1 gene:ENSMUSG00000045246.11 transcript:ENSMUST00000061828.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcng4 description:potassium voltage-gated channel, subfamily G, member 4 [Source:MGI Symbol;Acc:MGI:1913983] MPMSSRDRDLHPGHHHFGSCSPLSQLWPGPEPKSVKGLYYSRARKVGNQDASPEANLKEI LVNVGGQRYLLPWSTLDAFPLSRLSRLRLCRSHEEITQLCDDYDEDSQEFFFDRNPSAFG VIVSFLAAGKLVLLREMCALSFREELSYWGIEETNLERCCLRKLLKKLEEAAELRREEAA QRQQQRQACHSEVQASRWARSMNQLREMVEDPQSGLPGKVFACLSVLFVATTAVSLCVST MPDFRAEEGKGECTRKCYYIFVVESICVAWFSLEFCLRFVQAPNKCQFFRGPLNVIDILA ISPYYVSLAVSDESPEAGERPSSSSYLEKVGLVLRVLRALRILYVMRLARHSLGLQTLGL TVRRCAREFGLLMLFLAVAVTLFSPLVYVAENESGRVLEFTSIPASYWWAIISMTTVGYG DMVPRSVPGQMVALSSILSGILIMAFPATSIFHTFSHSYLELKREQEQVQARLRRLQNTN SASERELLSDVDDLVPEGLTSPGRYM >ENSMUSP00000129687.1 pep:known chromosome:GRCm38:8:119623854:119635346:-1 gene:ENSMUSG00000045246.11 transcript:ENSMUST00000164382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcng4 description:potassium voltage-gated channel, subfamily G, member 4 [Source:MGI Symbol;Acc:MGI:1913983] MPMSSRDRDLHPGHHHFGSCSPLSQLWPGPEPKSVKGLYYSRARKVGNQDASPEANLKEI LVNVGGQRYLLPWSTLDAFPLSRLSRLRLCRSHEEITQLCDDYDEDSQEFFFDRNPSAFG VIVSFLAAGKLVLLREMCALSFREELSYWGIEETNLERCCLRKLLKKLEEAAELRREEAA QRQQQRQACHSEVQASRWARSMNQLREMVEDPQSGLPGKVFACLSVLFVATTAVSLCVST MPDFRAEEGKGECTRKCYYIFVVESICVAWFSLEFCLRFVQAPNKCQFFRGPLNVIDILA ISPYYVSLAVSDESPEAGERPSSSSYLEKVGLVLRVLRALRILYVMRLARHSLGLQTLGL TVRRCAREFGLLMLFLAVAVTLFSPLVYVAENESGRVLEFTSIPASYWWAIISMTTVGYG DMVPRSVPGQMVALSSILSGILIMAFPATSIFHTFSHSYLELKREQEQVQARLRRLQNTN SASERELLSDVDDLVPEGLTSPGRYM >ENSMUSP00000129559.1 pep:known chromosome:GRCm38:7:5124938:5125950:-1 gene:ENSMUSG00000083649.5 transcript:ENSMUST00000147835.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasl2-9 description:RAS-like, family 2, locus 9 [Source:MGI Symbol;Acc:MGI:104605] MAAQGEPQVQFKVVLVGDGGTGKTTFMKRHLTGEFEKEYVATLGVEVHTLVFHTNRGPIK FNVWDTAGQEKFGGLRDGYYIQAQCAIIMFDVTSRVTYKNVPSWHKDLVRVCENIPIVLC GNKVDVKDMKVKAKPILFHRKKNLQYYDISARSNYNFEKPFFWLARKLIGDPNLEFVAMP ALAPPEVVMDPALAAQYEHDLEVAQTTALPDEEDDL >ENSMUSP00000027494.4 pep:known chromosome:GRCm38:1:93342854:93373489:1 gene:ENSMUSG00000026275.13 transcript:ENSMUST00000027494.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r7 description:protein phosphatase 1, regulatory (inhibitor) subunit 7 [Source:MGI Symbol;Acc:MGI:1913635] MAAERGAGQQQSQEMMEVDRRVESEESGDEEGKKHGGGGIVANLSEQSLKDGVDRGAEDP EEEHELAVDMETINLDRDAEDVDLTHYRIGKIEGLEVLKKVKSLCLRQNLIKCIENLEEL QSLRELDLYDNQIKKIENLEALTELEVLDISFNMLRNIEGIDKLTQLKKLFLVNNKINKI ENISNLHQLQMLELGSNRIRAIENIDTLTNLESLFLGKNKITKLQNLDALTNLTVLSVQS NRLAKIEGLQSLVNLRELYLSNNGIEVIEGLENNNKLTMLDIASNRIKKIENISHLTELQ EFWMNDNLLESWSDLDELKGARSLETVYLERNPLQKDPQYRRKVMLALPSVRQIDATYVR F >ENSMUSP00000140544.1 pep:known chromosome:GRCm38:1:93343655:93352649:1 gene:ENSMUSG00000026275.13 transcript:ENSMUST00000185498.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r7 description:protein phosphatase 1, regulatory (inhibitor) subunit 7 [Source:MGI Symbol;Acc:MGI:1913635] MAAERGAGQQQSQEMMEEEHELAVDMETINLDRDAEDVDLTHYRIGKIEGLEVLKKVKSL CLRQNLIKCIENLEELQSLRELDLYDNQIKKIENLEALTELEVLDISFNMLRNIEGIDKL TQLKKLFLVNNKINKIENISNLHQLQ >ENSMUSP00000124279.1 pep:known chromosome:GRCm38:1:93343707:93352580:1 gene:ENSMUSG00000026275.13 transcript:ENSMUST00000127141.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1r7 description:protein phosphatase 1, regulatory (inhibitor) subunit 7 [Source:MGI Symbol;Acc:MGI:1913635] XEVDRRVESEESGDEEGKKHGGGGIVANLSEQSLKDGVDRGAEDPEEEHELAVDMETINL DRDAEDVDLTHYRIGKIEGLEVLKKVKVCSAWGQPGFPLGLYPSSSRGG >ENSMUSP00000025910.5 pep:known chromosome:GRCm38:19:6941861:6951898:1 gene:ENSMUSG00000024959.13 transcript:ENSMUST00000025910.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bad description:BCL2-associated agonist of cell death [Source:MGI Symbol;Acc:MGI:1096330] MGTPKQPSLAPAHALGLRKSDPGIRSLGSDAGGRRWRPAAQSMFQIPEFEPSEQEDASAT DRGLGPSLTEDQPGPYLAPGLLGSNIHQQGRAATNSHHGGAGAMETRSRHSSYPAGTEED EGMEEELSPFRGRSRSAPPNLWAAQRYGRELRRMSDEFEGSFKGLPRPKSAGTATQMRQS AGWTRIIQSWWDRNLGKGGSTPSQ >ENSMUSP00000109053.1 pep:known chromosome:GRCm38:19:6942323:6951892:1 gene:ENSMUSG00000024959.13 transcript:ENSMUST00000113426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bad description:BCL2-associated agonist of cell death [Source:MGI Symbol;Acc:MGI:1096330] MGTPKQPSLAPAHALGLRKSDPGIRSLGSDAGGRRWRPAAQSMFQIPEFEPSEQEDASAT DRGLGPSLTEDQPGPYLAPGLLGSNIHQQGRAATNSHHGGAGAMETRSRHSSYPAGTEED EGMEEELSPFRGRSRSAPPNLWAAQRYGRELRRMSDEFEGSFKGEELTYSLSPFRCVQ >ENSMUSP00000109050.3 pep:known chromosome:GRCm38:19:6942501:6951893:1 gene:ENSMUSG00000024959.13 transcript:ENSMUST00000113423.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bad description:BCL2-associated agonist of cell death [Source:MGI Symbol;Acc:MGI:1096330] MFQIPEFEPSEQEDASATDRGLGPSLTEDQPGPYLAPGLLGSNIHQQGRAATNSHHGGAG AMETRSRHSSYPAGTEEDEGMEEELSPFRGRSRSAPPNLWAAQRYGRELRRMSDEFEGSF KGLPRPKSAGTATQMRQSAGWTRIIQSWWDRNLGKGGSTPSQ >ENSMUSP00000114597.1 pep:known chromosome:GRCm38:19:6942879:6951252:1 gene:ENSMUSG00000024959.13 transcript:ENSMUST00000141410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bad description:BCL2-associated agonist of cell death [Source:MGI Symbol;Acc:MGI:1096330] XQIPEFEPSEQEDASATDRGLGPSLTEDQPGPYLAPGLLGSNIHQQGRAATNSHHGGAGA METRSRHSSYPAGTEEDEGMEEELSPFRGRSRSAPPNLWAAQRYGRELRRMSDEFEGSFK SMLGLAVLIRPLSVSSDLLGFPPSSSFVQDPVPSPGLCLHL >ENSMUSP00000121778.1 pep:known chromosome:GRCm38:19:6950767:6951874:1 gene:ENSMUSG00000024959.13 transcript:ENSMUST00000145463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bad description:BCL2-associated agonist of cell death [Source:MGI Symbol;Acc:MGI:1096330] XAAQRYGRELRRMSDEFEGSFKFHLGSRHTHHPVSLTAQCRASWWWGPDSGCQNEAHSFL LLP >ENSMUSP00000058647.4 pep:known chromosome:GRCm38:3:92763215:92765065:-1 gene:ENSMUSG00000049593.8 transcript:ENSMUST00000051521.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1h description:late cornified envelope 1H [Source:MGI Symbol;Acc:MGI:1914968] MSCQQSQQQCQAPAKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSSGGCC GSSSGGCCSSGGCCSSGGGGCCLSHHRPHRSLRRHRHSSGCCSSGGNSGCCGSSGGSSGC CGSSGSSGCCGSSQQSGGCC >ENSMUSP00000000579.2 pep:known chromosome:GRCm38:11:112782224:112787760:1 gene:ENSMUSG00000000567.5 transcript:ENSMUST00000000579.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox9 description:SRY (sex determining region Y)-box 9 [Source:MGI Symbol;Acc:MGI:98371] MNLLDPFMKMTDEQEKGLSGAPSPTMSEDSAGSPCPSGSGSDTENTRPQENTFPKGEPDL KKESEEDKFPVCIREAVSQVLKGYDWTLVPMPVRVNGSSKNKPHVKRPMNAFMVWAQAAR RKLADQYPHLHNAELSKTLGKLWRLLNESEKRPFVEEAERLRVQHKKDHPDYKYQPRRRK SVKNGQAEAEEATEQTHISPNAIFKALQADSPHSSSGMSEVHSPGEHSGQSQGPPTPPTT PKTDVQAGKVDLKREGRPLAEGGRQPPIDFRDVDIGELSSDVISNIETFDVNEFDQYLPP NGHPGVPATHGQVTYTGSYGISSTAPTPATAGHVWMSKQQAPPPPPQQPPQAPQAPQAPP QQQAPPQQPQAPQQQQAHTLTTLSSEPGQSQRTHIKTEQLSPSHYSEQQQHSPQQISYSP FNLPHYSPSYPPITRSQYDYADHQNSGSYYSHAAGQGSGLYSTFTYMNPAQRPMYTPIAD TSGVPSIPQTHSPQHWEQPVYTQLTRP >ENSMUSP00000146341.1 pep:known chromosome:GRCm38:7:21425858:21432745:-1 gene:ENSMUSG00000109516.1 transcript:ENSMUST00000207192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6882 description:predicted gene 6882 [Source:MGI Symbol;Acc:MGI:3645601] MMEQDLKMMEQDLKMMEQDLKACYSIEENFDINYKMLNTLGEGNFSVVKRAFHVPTSTSV AVKILQNTKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELLDRII NVGSLEESETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDCRGNAKLCDFGLAAEVIP GQKLAGFCGTLPYCAPEHLQAEKYEGLPVDIWSLGVLLFLMVSGNLPFQGRSFVDLKQEI ISANFSIPSHVSIDISNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQTFPGT PSHSIVRTMTVIT >ENSMUSP00000136005.3 pep:known chromosome:GRCm38:7:21427000:21427941:-1 gene:ENSMUSG00000109516.1 transcript:ENSMUST00000177741.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6882 description:predicted gene 6882 [Source:MGI Symbol;Acc:MGI:3645601] MMEQDLKMMEQDLKMMEQDLKACYSIEENFDINYKMLNTLGEGNFSVVKRAFHVPTSTSV AVKILQNTKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELLDRII NVGSLEESETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDCRGNAKLCDFGLAAEVIP GQKLAGFCGTLPYCAPEHLQAEKYEGLPVDIWSLGVLLFLMVSGNLPFQGRSFVDLKQEI ISANFSIPSHVSIDISNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQTFPGT PSHSIVRTMTVIT >ENSMUSP00000021913.9 pep:known chromosome:GRCm38:13:52835119:52929661:-1 gene:ENSMUSG00000021460.16 transcript:ENSMUST00000021913.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auh description:AU RNA binding protein/enoyl-coenzyme A hydratase [Source:MGI Symbol;Acc:MGI:1338011] MAAAAPGALGALRTVGVRLVAACCARLGPAAWARGTAPRRGYSSEVKTEDELRVRHLEEE NRGIVVLGINRAYGKNALSKNLLKMLSKAVDALKSDKKVRTIIIRSEVPGIFCAGADLKE RAKMHSSEVGPFVSKIRSVINDIANLPVPTIAAIDGLALGGGLELALACDIRVAASSAKM GLVETKLAIIPGGGGTQRLPRAIGMSLAKELIFSARVLDGQEAKAVGLISHVLEQNQEGD AAYRKALDLAREFLPQGPVAMRVAKLAINQGMEVDLVTGLAIEEACYAQTISTKDRLEGL LAFKEKRPPRYKGE >ENSMUSP00000105658.3 pep:known chromosome:GRCm38:13:52910210:52929681:-1 gene:ENSMUSG00000021460.16 transcript:ENSMUST00000110031.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auh description:AU RNA binding protein/enoyl-coenzyme A hydratase [Source:MGI Symbol;Acc:MGI:1338011] MAAAAPGALGALRTVGVRLVAACCARLGPAAWARGTAPRRGYSSEVKTEDELRVRHLEEE NRGIVVLGINRAYGKNALSKNLLKMLSKAVDALKSDKKVRTIIIRSEVPGIFCAVSFQLK ADIQLCFQFAPFWWPLQSHSSQKPEVTPDSRCSPQIWA >ENSMUSP00000113659.1 pep:known chromosome:GRCm38:13:52907045:52929654:-1 gene:ENSMUSG00000021460.16 transcript:ENSMUST00000119311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auh description:AU RNA binding protein/enoyl-coenzyme A hydratase [Source:MGI Symbol;Acc:MGI:1338011] MAAAAPGALGALRTVGVRLVAACCARLGPAAWARGTAPRRGYSSEVKTEDELRVRHLEEE NRGIVVLGINRAYGKNALSKNLLKMLSKAVDALKSDKKVRTIIIRSEVPGIFCAE >ENSMUSP00000112427.1 pep:known chromosome:GRCm38:13:52895927:52929652:-1 gene:ENSMUSG00000021460.16 transcript:ENSMUST00000120535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auh description:AU RNA binding protein/enoyl-coenzyme A hydratase [Source:MGI Symbol;Acc:MGI:1338011] MAAAAPGALGALRTVGVRLVAACCARLGPAAWARGTAPRRGYSSEVKTEDELRVRHLEEE NRGIVVLGINRAYGKNALSKNLLKMLSKAVDALKSDKKVRTIIIRSEVPGIFCAGADLKE RAKMHSSEVGPFVSKIRSVINDIV >ENSMUSP00000121852.1 pep:known chromosome:GRCm38:13:52835119:52919232:-1 gene:ENSMUSG00000021460.16 transcript:ENSMUST00000137064.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Auh description:AU RNA binding protein/enoyl-coenzyme A hydratase [Source:MGI Symbol;Acc:MGI:1338011] XKNALSKNLLKMLSKAVDALKSDKKVRTIIIRSEVPGIFCAGADLKERAKMHSSEVGPFV SKIRSVINDIANLPVPTIAAIDGLALGGGLELALACDIRVAASSAKMGLVETKLAIIPGG GGTQRLPRAIGMSLAKELIFSARVLDGQEAKAVGLISHVLEQNQEGDAAYRKALDLAREF LPQGPVAMRVAKLAINQGMEVDLVTGLAIEEACYAQVIHHLVHLCGRILHSEKKGGAVK >ENSMUSP00000116179.1 pep:known chromosome:GRCm38:13:52840869:52929458:-1 gene:ENSMUSG00000021460.16 transcript:ENSMUST00000123599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auh description:AU RNA binding protein/enoyl-coenzyme A hydratase [Source:MGI Symbol;Acc:MGI:1338011] RGELQASAGEAAEVCPGCHCVPEGIVVLGINRAYGKNALSKNLLKMLSKAVDALKSDKKV RTIIIRSEVPGIFCAGADLKERAKMHSSEVGPFVSKIRSVINDIANLPVPTIAAIDGLAL GGGLELALACDIRVAASSAKMGLVETKLAIIPGGGGTQRLPRAIGMSLAKELIFSARVLD GQEAKAVGLISHVLEQNQEGDAAYRKALDLAREFLPQVL >ENSMUSP00000132947.1 pep:known chromosome:GRCm38:14:4249631:4258006:1 gene:ENSMUSG00000091114.1 transcript:ENSMUST00000165431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3138 description:predicted gene 3138 [Source:MGI Symbol;Acc:MGI:3781317] MFSRLLRLCQKENGDEGETRPKKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKTSNERKEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC EEERGSLRRPARTSVPQVRRNSSVKQSSRNWNMAQTMT >ENSMUSP00000053393.4 pep:known chromosome:GRCm38:16:16672876:16674017:-1 gene:ENSMUSG00000048101.5 transcript:ENSMUST00000057886.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr19 description:olfactory receptor 19 [Source:MGI Symbol;Acc:MGI:109316] MELKNDTQISKFILLGISEDPLWQPFLFGLFLFMYLVTLLGNLLIIIATITDSHLHTPMY FFLSNLSFADICFTSASIPKMLVNIQTKNKVITYEGCISQVFFFILFGVLDNFLLAVMAY DRYVAICHPLHYMVIMNCRLCGFLVLGSWVTTALNSLLQSSMALRLSFCTDLKIPHFVCE LNQLVLLACNDTFPNDMVMYFAAILLGGGPLAGILYSYSKIVSSIRAISSSQGKYKAFST CASHLSVVSLFYSTLLGVYLSSSFTQNSHSTARASVMYSVVTPMLNPFIYSLRNKDLMGA LRRLLRRKS >ENSMUSP00000145655.1 pep:known chromosome:GRCm38:16:16673335:16676405:-1 gene:ENSMUSG00000048101.5 transcript:ENSMUST00000206365.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr19 description:olfactory receptor 19 [Source:MGI Symbol;Acc:MGI:109316] MELKNDTQISKFILLGISEDPLWQPFLFGLFLFMYLVTLLGNLLIIIATITDSHLHTPMY FFLSNLSFADICFTSASIPKMLVNIQTKNKVITYEGCISQVFFFILFGVLDNFLLAVMAY DRYVAICHPLHYMVIMNCRLCGFLVLGSWVTTALNSLLQSSMALRLSFCTDLKIPHFVCE LNQLVLLACNDTFPNDMVMYFAAILLGGGPLAGIL >ENSMUSP00000056154.6 pep:known chromosome:GRCm38:11:82764345:82765935:1 gene:ENSMUSG00000046010.7 transcript:ENSMUST00000056677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp830 description:zinc finger protein 830 [Source:MGI Symbol;Acc:MGI:1914233] MASSTSTRTPAGKRVVNQEELRRLMREKQRLSTNRKRIESPFAKYNRLGQLSCALCNTPV KSELLWQTHVLGKQHRERVAELKGAKGATQGPSTGTVPQATKRRATDVESQDAKKAKASA GPQVQPSTSASSANLDAARAAPSKPGLGLLPDYDDEEEEEEEGGGEERRDSSKHLPDAQG KEHSLASPRETTSNVLPNDPFNTNPPKAPLVPHSGSIEKAEIHEKVVERRENTAEALPEG FFDDPEVDAKVRKVDAPKDQMDKEWDEFQKAMRQVNTISEAIVAEEDEEGRLDRQIGEID EQIECYRRVEKLRNRQDEIKNKLKEVLTIKELQKKEEENVDSDDEGELQDLLSQDWRVKG ALL >ENSMUSP00000101346.2 pep:known chromosome:GRCm38:4:147492417:147513486:1 gene:ENSMUSG00000078496.9 transcript:ENSMUST00000105721.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13152 description:predicted gene 13152 [Source:MGI Symbol;Acc:MGI:3701121] MSVFLVNTPQGLLTFKDVAVEFSLEEWERLSFAQRSLYIDVMLENYNNLLFVENHFICAK CGKALDEDSQYIVHEHMNIQEKSSKWNKLSNVILESPHCVPHKCSEWDKCFSQRSHLSIH QKIHTGEKPYKCSECDKCFTEKGILRIHQKIHSGEKSYKYHECDKCFPKKSHLSIHLRIH KGEKPYKCSDCDKCFTEKRKLRRHQKIHTGEKPYKCSECDKCFIQKYSLSDHQRIHTGEK PYKCSECNKCFIQKSSLNNHQSIHTGEKPYKCSECDKCFTDKRNLRRHQKIHTGEKPYKC SECEKCFTDKGSLRRHQKIHTGEKPYKCSECEKCYTDKGSLRRHQKIHKGEKLTNAVNVT NALLKKAI >ENSMUSP00000068021.7 pep:known chromosome:GRCm38:4:147507600:147513420:1 gene:ENSMUSG00000078496.9 transcript:ENSMUST00000063704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13152 description:predicted gene 13152 [Source:MGI Symbol;Acc:MGI:3701121] MSVFLVNTPQGLLTFKDVAVEFSLEEWERLSFAQRSLYIDVMLENYNNLLFVENHFICAK CGKALDEDSQYIVHEHMNIQEKSSKWNKLSNVILESPHCVPHKCSEWDKCFSQRSHLSIH QKIHTGEKPYKCSECDKCFTEKGILRIHQKIHSGEKSYKYHECDKCFPKKSHLSIHLRIH KGEKPYKCSDCDKCFTEKRKLRRHQKIHTGEKPYKCSECDKCFIQKYSLSDHQRIHTGEK PYKCSECNKCFIQKSSLNNHQSIHTGEKPYKCSECDKCFTDKRNLRRHQKIHTGEKPYKC SECEKCFTDKGSLRRHQKIHTGEKPYKCSECEKCYTDKGSLRRHQKIHKGEKLTNAVNVT NALLKKAI >ENSMUSP00000005548.6 pep:known chromosome:GRCm38:8:75093591:75100596:1 gene:ENSMUSG00000005413.7 transcript:ENSMUST00000005548.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmox1 description:heme oxygenase 1 [Source:MGI Symbol;Acc:MGI:96163] MERPQPDSMPQDLSEALKEATKEVHIQAENAEFMKNFQKGQVSREGFKLVMASLYHIYTA LEEEIERNKQNPVYAPLYFPEELHRRAALEQDMAFWYGPHWQEIIPCTPATQHYVKRLHE VGRTHPELLVAHAYTRYLGDLSGGQVLKKIAQKAMALPSSGEGLAFFTFPNIDSPTKFKQ LYRARMNTLEMTPEVKHRVTEEAKTAFLLNIELFEELQVMLTEEHKDQSPSQMASLRQRP ASLVQDTAPAETPRGKPQISTSSSQTPLLQWVLTLSFLLATVAVGIYAM >ENSMUSP00000135466.1 pep:known chromosome:GRCm38:8:75093850:75097204:1 gene:ENSMUSG00000005413.7 transcript:ENSMUST00000159631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmox1 description:heme oxygenase 1 [Source:MGI Symbol;Acc:MGI:96163] MPQDLSEALKEATKEVHIQAENAEFMKNFQKGQVSREGFKLVMASLYHIYTALEEEIERN KQNPVYAPLYFPEELHRRAALEQDMAFWYGPHWQEIIPCTPATQHYVKRLHEVGRTHPEL LVAHAYTRYLGDLSGGQVLKKIAQKAMALPSSGEGLAF >ENSMUSP00000045816.1 pep:known chromosome:GRCm38:13:23738808:23740367:1 gene:ENSMUSG00000036181.2 transcript:ENSMUST00000040914.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h1c description:histone cluster 1, H1c [Source:MGI Symbol;Acc:MGI:1931526] MSEAAPAAPAAAPPAEKAPAKKKAAKKPAGVRRKASGPPVSELITKAVAASKERSGVSLA ALKKALAAAGYDVEKNNSRIKLGLKSLVSKGILVQTKGTGASGSFKLNKKAASGEAKPQA KKAGAAKAKKPAGAAKKPKKATGAATPKKAAKKTPKKAKKPAAAAVTKKVAKSPKKAKVT KPKKVKSASKAVKPKAAKPKVAKAKKVAAKKK >ENSMUSP00000147174.1 pep:known chromosome:GRCm38:19:13185734:13191592:1 gene:ENSMUSG00000094721.2 transcript:ENSMUST00000208533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1462 description:olfactory receptor 1462 [Source:MGI Symbol;Acc:MGI:3031296] MENTTEVTWFVLLGLTNDPQLQLPLFITFLLIYIITLVGNLGIILLILLDSRLHTPMYIF LSNLSLVDFCYSSTITPKVMAGFLTGDRIISYNACASQMFFFAHFADVESYLLVSMAYDH YVAVCKPLHYATTMTTHLCVFLVIGCYICGFLNASIYTVDVFSLSFCESNVIHHFFCDVL AVMIISRSDKYINELVLISVASFNIIFSLILILISYMFIFTNILKINSSEGYRKALSTCT SHFTAVFIYYGTVIFMYLQPTSSHSMDTDKIVSVFYSIVIPMLNPLVYSMRNKEVKNAFT KVVLRSR >ENSMUSP00000076107.2 pep:known chromosome:GRCm38:19:13190669:13191592:1 gene:ENSMUSG00000094721.2 transcript:ENSMUST00000076832.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1462 description:olfactory receptor 1462 [Source:MGI Symbol;Acc:MGI:3031296] MENTTEVTWFVLLGLTNDPQLQLPLFITFLLIYIITLVGNLGIILLILLDSRLHTPMYIF LSNLSLVDFCYSSTITPKVMAGFLTGDRIISYNACASQMFFFAHFADVESYLLVSMAYDH YVAVCKPLHYATTMTTHLCVFLVIGCYICGFLNASIYTVDVFSLSFCESNVIHHFFCDVL AVMIISRSDKYINELVLISVASFNIIFSLILILISYMFIFTNILKINSSEGYRKALSTCT SHFTAVFIYYGTVIFMYLQPTSSHSMDTDKIVSVFYSIVIPMLNPLVYSMRNKEVKNAFT KVVLRSR >ENSMUSP00000028928.7 pep:known chromosome:GRCm38:2:148681023:148692949:1 gene:ENSMUSG00000027439.9 transcript:ENSMUST00000028928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzf1 description:GDNF-inducible zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1921783] MESGTVLLESKSSPLNLLHEMHELRLLGHLCDVTVSIENQGVHEDFMAHKAVLAATSKFF KEVFLNEKSADGTRTNVYLNEVQAVDFASFLEFVYTAKVRVEEDRVQQMLEVAEKLKCLD LSETCLQLKKQMLESVLLELQNFSESQEVEASSGPQVSVTPSSKASVPGEDAHSNGLVDS SDYPIERLGNGLSPETPSKKCKEKLDKKKDVAKPPFPKIRRASGRLAGKKVFVEIPKKKY TRRLREQQKSAEEAAENDKCPQDQSPDNERMETEPAAKSEACPASVELEESLQKVEGEKE EEEGKDGEEKKKSNFQCTVCDKAFLYEKSFLKHIKYHHGVATEVVYRCDTCGQTFANRCN LKSHQRHVHSSERHFPCEMCAKKFKRKKDVKRHVLQVHEGGGERHRCGQCGKGLSSKTAL RLHERTHTGDKPYGCTKCDAKFSQPSALKTHLRVHTGERPFVCDECGARFTQNHMLIYHK RCHTGERPFMCETCGKSFASKEYLKHHNRIHTGSKPFKCEVCLRTFAQRNSLYQHIKVHT GERPYCCDQCGKQFTQVNALQRHHRIHTGEKPYMCNACGRTFTDKSTLRRHTSIHDKNTP WKSFLVIVDGSPKNDEGHKTEQPDDEYASPKLSDRLLSFGENSHFNNLLEVQGNVPAVQE NSSTGAACKAVVSQDALLTTSISALGELTPQAVSMPAHLPSLTNME >ENSMUSP00000121799.1 pep:known chromosome:GRCm38:2:148681211:148684049:1 gene:ENSMUSG00000027439.9 transcript:ENSMUST00000131292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzf1 description:GDNF-inducible zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1921783] MESGTVLLESKSSPLNLLHEMHELRLLGHLCDVTVSIENQGVHEDFMAHKAVLAATSKFF KEVFLNEKSADGTRTNVYLNEVQAVDFASFLEFVYTAKVRVEEDRVQQMLEVAEKLKCLD LSETCLQLKKQMLESVLLELQNFSES >ENSMUSP00000108854.1 pep:known chromosome:GRCm38:X:134404551:134452700:1 gene:ENSMUSG00000000223.13 transcript:ENSMUST00000113228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drp2 description:dystrophin related protein 2 [Source:MGI Symbol;Acc:MGI:107432] MQPLVMQGCPYTLPRCHEWHAADRFHHSSSLRNTCPQPQVRAAVTIPAPPWDGAGDPCLS PKLLNGTVGATGPLEPSAMNLCWNEIKKKSHNLRARLEAFSDLSGKLQLPLREIIDWLSQ KDEELSAQLPLQGDVALVQQEKETHAAFMEEVKSKGPYISSVLESAQAFLSQHPFEELEE SQSESKDTSPRQRIQNLSRFVWKQATVASELWEKLTARCVDQHRHIEHTLEHLLEIQGAM EELSSTLTQAEGVRATWEPIGDLFIDSLPEHIQAIKLFKEEFSPVKDGVKLVNDLAHQLA ISDVHLSMENSRALEQINIRWKQLQVSVAERLKQLQDAHRDFGPGSQHFLSTSVQVPWER AISPNKVPYYINHQAQTTCWDHPKMTELYQTLADLNNIKFSAYRTAMKLRRVQKALRLDL VTLTTALEIFNEHDLQASEHVMDVVEVIHCLTALYERLEEERGILVNVPLCVDMSLNWLL NVFDSGRSGKMRALSFKTGIACLCGTEVKEKLQYLFSQVANSGSQCDQRHLGALLHEAIQ VPRQLGEVAAFGGSNVEPSVRSCFRFSTGKPVIEASQFLEWVNLEPQSMVWLAVLHRVTI AEQVKHQTKCSICRQCPIKGFRYRSLKQFNVDICQTCFLTGRASKGNKLHYPIMEYYTPT TSSENMRDFATTLKNKFRSKQYFSKHPQRGYLPVQSVLESDCSETPASSPMLPHADTHSR IEHFASRLAEMESQNCSFFNDSLSPDDSIDEDQYLLRHSSPITDREPAFGQQAPCSMATE SKGELEKILAHLEDENRILQGELRRLKWQHEEAAEAPTLVEGSAEATPDHRNEELLAEAR ILRQHKSRLETRMQILEDHNKQLESQLQRLRELLLQPPSESDGNGSAGSSLASSPRQSEG SHPREKGQTTPDTEVADDVGSKSQDVSLCLEDIMEKLRHAFPSVRSSDVTANTLLAS >ENSMUSP00000115246.1 pep:known chromosome:GRCm38:X:134404628:134456573:1 gene:ENSMUSG00000000223.13 transcript:ENSMUST00000153424.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drp2 description:dystrophin related protein 2 [Source:MGI Symbol;Acc:MGI:107432] MQPLVMQGCPYTLPRCHEWHAADRFHHSSSLRNTCPQPQVRAAVTIPAPPWDGAGDPCLS PKLLNGTVGATGPLEPSAMNLCWNEIKKKSHNLRARLEAFSDLSGKLQLPLREIIDWLSQ KDEELSAQLPLQGDVALVQQEKETHAAFMEEVKSKGPYISSVLESAQAFLSQHPFEELEE SQSESKDTSPRQRIQNLSRFVWKQATVASELWEKLTARCVDQHRHIEHTLEHLLEIQGAM EELSSTLTQAEGVRATWEPIGDLFIDSLPEHIQAIKLFKEEFSPVKDGVKLVNDLAHQLA ISDVHLSMENSRALEQINIRWKQLQVSVAERLKQLQDAHRDFGPGSQHFLSTSVQVPWER AISPNKVPYYINHQAQTTCWDHPKMTELYQTLADLNNIKFSAYRTAMKLRRVQKALRLDL VTLTTALEIFNEHDLQASEHVMDVVEVIHCLTALYERLEEERGILVNVPLCVDMSLNWLL NVFDSGRSGKMRALSFKTGIACLCGTEVKEKLQYLFSQVANSGSQCDQRHLGALLHEAIQ VPRQLGEVAAFGGSNVEPSVRSCFRFSTGKPVIEASQFLEWVNLEPQSMVWLAVLHRVTI AEQVKHQTKCSICRQCPIKGFRYRSLKQFNVDICQTCFLTGRASKGNKLHYPIMEYYTPT TSSENMRDFATTLKNKFRSKQYFSKHPQRGYLPVQSVLESDCSETPASSPMLPHADTHSR IEHFASRLAEMESQNCSFFNDSLSPDDSIDEDQYLLRHSSPITDREPAFGQQAPCSMATE SKGELEKILAHLEDENRILQGELRRLKWQHEEAAEAPTLVEGSAEATPDHRNEELLAEAR ILRQHKSRLETRMQILEDHNKQLESQLQRLRELLLQPPSESDGNGSAGSSLASSPRQSEG SHPREKGQTTPDTEVADDVGSKSQDVSLCLEDIMEKLRHAFPSVRSSDVTANTLLAS >ENSMUSP00000108850.2 pep:known chromosome:GRCm38:X:134404780:134453616:1 gene:ENSMUSG00000000223.13 transcript:ENSMUST00000113224.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drp2 description:dystrophin related protein 2 [Source:MGI Symbol;Acc:MGI:107432] MSGMLLTGFITAAASETPVPSLRLAQSSSRFCLSALTRREVQIPAEGKPWTALILPWTPQ AAQVRAAVTIPAPPWDGAGDPCLSPKLLNGTVGATGPLEPSAMNLCWNEIKKKSHNLRAR LEAFSDLSGKLQLPLREIIDWLSQKDEELSAQLPLQGDVALVQQEKETHAAFMEEVKSKG PYISSVLESAQAFLSQHPFEELEESQSESKDTSPRQRIQNLSRFVWKQATVASELWEKLT ARCVDQHRHIEHTLEHLLEIQGAMEELSSTLTQAEGVRATWEPIGDLFIDSLPEHIQAIK LFKEEFSPVKDGVKLVNDLAHQLAISDVHLSMENSRALEQINIRWKQLQVSVAERLKQLQ DAHRDFGPGSQHFLSTSVQVPWERAISPNKVPYYINHQAQTTCWDHPKMTELYQTLADLN NIKFSAYRTAMKLRRVQKALRLDLVTLTTALEIFNEHDLQASEHVMDVVEVIHCLTALYE RLEEERGILVNVPLCVDMSLNWLLNVFDSGRSGKMRALSFKTGIACLCGTEVKEKLQYLF SQVANSGSQCDQRHLGALLHEAIQVPRQLGEVAAFGGSNVEPSVRSCFRFSTGKPVIEAS QFLEWVNLEPQSMVWLAVLHRVTIAEQVKHQTKCSICRQCPIKGFRYRSLKQFNVDICQT CFLTGRASKGNKLHYPIMEYYTPTTSSENMRDFATTLKNKFRSKQYFSKHPQRGYLPVQS VLESDCSETPASSPMLPHADTHSRIEHFASRLAEMESQNCSFFNDSLSPDDSIDEDQYLL RHSSPITDREPAFGQQAPCSMATESKGELEKILAHLEDENRILQGELRRLKWQHEEAAEA PTLVEGSAEATPDHRNEELLAEARILRQHKSRLETRMQILEDHNKQLESQLQRLRELLLQ PPSESDGNGSAGSSLASSPRQSEGSHPREKGQTTPDTEVADDVGSKSQDVSLCLEDIMEK LRHAFPSVRSSDVTANTLLAS >ENSMUSP00000108852.1 pep:known chromosome:GRCm38:X:134404802:134453007:1 gene:ENSMUSG00000000223.13 transcript:ENSMUST00000113226.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drp2 description:dystrophin related protein 2 [Source:MGI Symbol;Acc:MGI:107432] MQPLVMQGCPYTLPRCHEWHAADRFHHSSSLRNTCPQPQVRAAVTIPAPPWDGAGDPCLS PKLLNGTVGATGPLEPSAMNLCWNEIKKKSHNLRARLEAFSDLSGKLQLPLREIIDWLSQ KDEELSAQLPLQGDVALVQQEKETHAAFMEEVKSKGPYISSVLESAQAFLSQHPFEELEE SQSESKDTSPRQRIQNLSRFVWKQATVASELWEKLTARCVDQHRHIEHTLEHLLEIQGAM EELSSTLTQAEGVRATWEPIGDLFIDSLPEHIQAIKLFKEEFSPVKDGVKLVNDLAHQLA ISDVHLSMENSRALEQINIRWKQLQVSVAERLKQLQDAHRDFGPGSQHFLSTSVQVPWER AISPNKVPYYINHQAQTTCWDHPKMTELYQTLADLNNIKFSAYRTAMKLRRVQKALRLDL VTLTTALEIFNEHDLQASEHVMDVVEVIHCLTALYERLEEERGILVNVPLCVDMSLNWLL NVFDSGRSGKMRALSFKTGIACLCGTEVKEKLQYLFSQVANSGSQCDQRHLGALLHEAIQ VPRQLGEVAAFGGSNVEPSVRSCFRFSTGKPVIEASQFLEWVNLEPQSMVWLAVLHRVTI AEQVKHQTKCSICRQCPIKGFRYRSLKQFNVDICQTCFLTGRASKGNKLHYPIMEYYTPT TSSENMRDFATTLKNKFRSKQYFSKHPQRGYLPVQSVLESDCSETPASSPMLPHADTHSR IEHFASRLAEMESQNCSFFNDSLSPDDSIDEDQYLLRHSSPITDREPAFGQQAPCSMATE SKGELEKILAHLEDENRILQGELRRLKWQHEEAAEAPTLVEGSAEATPDHRNEELLAEAR ILRQHKSRLETRMQILEDHNKQLESQLQRLRELLLQPPSESDGNGSAGSSLASSPRQSEG SHPREKGQTTPDTEVADDVGSKSQDVSLCLEDIMEKLRHAFPSVRSSDVTANTLLAS >ENSMUSP00000015812.5 pep:known chromosome:GRCm38:X:100622886:100626568:-1 gene:ENSMUSG00000015668.13 transcript:ENSMUST00000015812.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd11 description:PDZ domain containing 11 [Source:MGI Symbol;Acc:MGI:1919871] MDNRIPYDDYPVVFLPAYENPPAWIPPHERVYHPDYNNELTQFLPRIVTLKKPPGAQLGF NIRGGKASQLGIFISKVIPDSDAHRAGLQEGDQVLAVNDVDFQDIEHSKAVEILKTAREI SMRVRFFPYNYHRQKERTVH >ENSMUSP00000051068.6 pep:known chromosome:GRCm38:X:100622908:100625935:-1 gene:ENSMUSG00000015668.13 transcript:ENSMUST00000059099.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd11 description:PDZ domain containing 11 [Source:MGI Symbol;Acc:MGI:1919871] MDNRIPYDDYPVVFLPAYENPPAWIPPHERVYHPDYNNELTQFLPRIVTLKKPPGAQLGF NIRGGKASQLGIFISKVIPDSDAHRAGLQEGDQVLAVNDVDFQDIEHSKAVEILKTAREI SMRVRFFPYNYHRQKERTVH >ENSMUSP00000139320.1 pep:known chromosome:GRCm38:X:37657688:37661662:-1 gene:ENSMUSG00000071769.11 transcript:ENSMUST00000184824.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3h description:reproductive homeobox 3H [Source:MGI Symbol;Acc:MGI:3704137] RASRSVNGCETKMDSTQGTKVLPAEESRNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGEMVSEEERTIQVV >ENSMUSP00000138867.1 pep:known chromosome:GRCm38:X:37657688:37661662:-1 gene:ENSMUSG00000071769.11 transcript:ENSMUST00000185138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3h description:reproductive homeobox 3H [Source:MGI Symbol;Acc:MGI:3704137] RASRSVNGCETKMDSTQGTKVLPAEESRNEEDGGQVESALGATAARGRGKEALNGESPAA AGTAGLVEEDRNKEDGGTKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQ WQLDELERIFRMNYFLSLEARDGFRRGENNTGGIRGYKVSEVLLLLLRTSFLKTVEEP >ENSMUSP00000094196.4 pep:known chromosome:GRCm38:X:37657688:37668764:-1 gene:ENSMUSG00000071769.11 transcript:ENSMUST00000096457.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox3h description:reproductive homeobox 3H [Source:MGI Symbol;Acc:MGI:3704137] MSMKPERSISNWIHSNVEPAGRNLFQVNGHRSALLPELPQDYHRASRSVNGCETKMDSTQ GTKVLPAEESRNEEDGGQVESALGATAARGRGKEALNGESPAAAGTAGLVEEDRNKEDGG TKGGEKNEQEVREQIPEHVEGESDQAEAPRQVPRRRLHHRFTQWQLDELERIFRMNYFLS LEARKQLARWMGVNEAIVKRWFQKRREQYRWYKRL >ENSMUSP00000041152.6 pep:known chromosome:GRCm38:7:99837569:99858977:-1 gene:ENSMUSG00000035227.7 transcript:ENSMUST00000036274.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs2 description:signal peptidase complex subunit 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913874] MAASASQGGRSGGGGGSSGAGGGPSCGTSSSRSGLLDKWKIDDKPVKIDKWDGSAVKNSL DDSAKKVLLEKYKYVENFGLIDGRLTICTISCFFAIVALIWDYMHPFPESKPVLALCVIS YFVMMGILTIYTSYKEKSIFLVAHRKDPTGMDPDDIWQLSSSLKRFDDKYTLKLTFISGR TKQQREAEFTKSIAKFFDHSGTLVMDAYEPEISRLHDSLATERKIK >ENSMUSP00000147025.1 pep:known chromosome:GRCm38:7:99838903:99858859:-1 gene:ENSMUSG00000035227.7 transcript:ENSMUST00000208477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs2 description:signal peptidase complex subunit 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913874] QGGRSGGGGGSSGAGGGPSCGTSSSRSGLLDKDLSFLHGDLHCPCESPYTRLSVVLNLPI RGQRWKIDDKPVKIDKWDGSAVKNSLDDSAKKVLLEKYKYVENFGLIDGRLTICTISCFF AIVALIWDYMHPFPESKPVLALCVISYFVMMGILTIYTSYKEKSIFLVAHRKDPTGMDPD DIWQLSSSLKRFDDKYTLKLTFISGRTKQQREAEFTKSIAKFFDHSGTLVMDAYEPEISR LHDSLATERKIK >ENSMUSP00000146850.1 pep:known chromosome:GRCm38:7:99838903:99858899:-1 gene:ENSMUSG00000035227.7 transcript:ENSMUST00000207406.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spcs2 description:signal peptidase complex subunit 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913874] MAASASQGGRSGGGGGSSGAGGGPSCGTSSSRSGLLDKWKIDDKPVKIDKWDGSAVKNSL DDSAKKVLLEKYKYVENFGLIDGRLTICTISCFFAIVALIWDYMHPFPESKPVLALCVIS YFVMMGILTIYTSYKEKSIFLVAHRKDPTGMDPDDIWQLSSSLKRPFLGTVAILLPV >ENSMUSP00000146406.1 pep:known chromosome:GRCm38:7:99838904:99858911:-1 gene:ENSMUSG00000035227.7 transcript:ENSMUST00000209032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs2 description:signal peptidase complex subunit 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913874] MAASASQGGRSGGGGGSSGAGGGPSCGTSSSRSGLLDKPLGLEEGVSSAPVVSLAVRMCS LGVRMCSLLYTGWKIDDKPVKIDKWDGSAVKNSLDDSAKKVLLEKYKYVENFGLIDGRLT ICTISCFFAIVALIWDYMHPFPESKPVLALCVISYFVMMGILTIYTSYKEKSIFLVAHRK DPTGMDPDDIWQLSSSLKRFDDKYTLKLTFISGRTKQQREAEFTKSIAKFFDHSGTLVMD AYEPEISRLHDSLATERKIK >ENSMUSP00000146574.1 pep:known chromosome:GRCm38:7:99839428:99858899:-1 gene:ENSMUSG00000035227.7 transcript:ENSMUST00000208465.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs2 description:signal peptidase complex subunit 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913874] MAASASQGGRSGGGGGSSGAGGGPSCGTSSSRSGLLDKWKIDDKPVKIDKWDGSAVKNSL DDSAKKKRPHRNGS >ENSMUSP00000146562.1 pep:known chromosome:GRCm38:7:99839681:99863351:-1 gene:ENSMUSG00000035227.7 transcript:ENSMUST00000207137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs2 description:signal peptidase complex subunit 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913874] MHPFPESKPVLALCVISYFVMMGILTIYTSYKEKSIFLVAHRKDPTGMDPDDIWQLSSSL KRFDDKYTLKLTFISGRTKQQREAEFTKSIAKFFDHSGTLVMDAYEPEISRLHDSLATER KIK >ENSMUSP00000146514.1 pep:known chromosome:GRCm38:7:99839681:99863371:-1 gene:ENSMUSG00000035227.7 transcript:ENSMUST00000207063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs2 description:signal peptidase complex subunit 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913874] MQWKIDDKPVKIDKWDGSAVKNSLDDSAKKVLLEKYKYVENFGLIDGRLTICTISCFFAI VALIWDYMHPFPESKPVLALCVISYFVMMGILTIYTSYKEKSIFLVAHRKDPTGMDPDDI WQLSSSLKRFDDKYTLKLTFISGRTKQQREAEFTKSIAKFFDHSGTLVMDAYEPEISRLH DSLATERKIK >ENSMUSP00000147206.1 pep:known chromosome:GRCm38:7:99839685:99863371:-1 gene:ENSMUSG00000035227.7 transcript:ENSMUST00000207580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs2 description:signal peptidase complex subunit 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913874] MHPFPESKPVLALCVISYFVMMGILTIYTSYKEKSIFLVAHRKDPTGMDPDDIWQLSSSL KRFDDKYTLKLTFISGRTKQQREAEFTKSIAKFFDHSGTLVMDAYEPEISRLHDSLATER KIK >ENSMUSP00000118437.1 pep:known chromosome:GRCm38:15:88982909:89055148:1 gene:ENSMUSG00000015365.15 transcript:ENSMUST00000146993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mov10l1 description:Moloney leukemia virus 10-like 1 [Source:MGI Symbol;Acc:MGI:1891384] MLRLAAKLVSFFWRKEDIPEEEVGQPGRELSEGDTRLKTVQGVVTRYCSDYGMIDDLIYF SNDAVTSKVLLNVGQEVIAVVEENKVSNGLKAIRVEAVSDKWEDDSKNSSKGLSDSSPRV LIGCVTSMLEGAGYISQTTYFSLESVCEGFHPCKGDWVEAEYWIRPGTWSSEAISVKPLR YKRVDKVCISSLCGRNGVIEDSIFFSLDSLKLPEGYIPRRHDIVNAVVVESSQSCYIWRA LCMTPVKRDATLGEAPQEPYGALLLKNKGDIEVTRMTSFGTLKEGESKSIVIWIENKGKV SRELVSCRLANWDKAHQFRFETQGRSKSCPGAAAGSVPEGENVNSLNHHREDKTDEIPES RLANSTEISPDGCACKEESREKGNTPEKQEPEPGGLIPPGEKTHIVVTCSAKNPGRCKEL LLLCFSDFLIGRHLEVSVVSSEEALIAVREPFSWKKPKSSQTLVSAKTTVVVTTQKRNSR RQLPSFLPQYPIPDRLKKCVEQKIDILTFQPLLAELLNMSNYKEKFSTLLWLEEIHAEIE LKEYNMSRVVLKRKGDLLVLEVPGLAESRPSLYAGDKLILKSQEYNGHVIEYIGYVMEIH EEDVTLKLNPGFEQMYNFEPMDVEFTYNRTTSRRCHYALEQVIHLGVKVLFPEEIILQSP QVTGNWSLAQDTKNDGQSITNITRNDGQSMTKVTRNDSQSITNIIRNDGQSITNVTRNDG QPITKVTRNNSQSITNITRNDGQPITKNKKTVKDQTKHTTEERHVGTTDQPEKASSTAET MDEIQIPKARDKEFFNPVLNENQKLAVRRILSGDCRPLPYILFGPPGTGKTVTIIEAVLQ VHYALPDSRILVCAPSNSAADLVCLRLHESKVLKPAAMVRVNATCRFEETIIDAIKPYCR DGEDIWRASRFRIIITTCSSAGLFYQIGVRVGYFTHVFVDEAGQASEPECLIPLGLISDI NGQIVLAGDPMQLGPVIKSRLAMAYGLNVSMLERLMSRPAYLRDENAFGACGAYNPLLVT KLVKNYRSHSALLALPSRLFYHRELEVCADPKVVTSLLGWEKLPRKGFPLIFHGVRGNEA REGRSPSWFSPAEAVQVMRYCCLLARSVSSQVSSKDIGVITPYRKQVEKIKILLRNVDLT DIKVGSVEEFQGQEYLVIVISTVRSNEDRFEDDRYFLGFLSNSKRFNVAITRPKALLIIL GNPHVLVRDPCFGALLEYSVSNGVYTGCDLPPELQALQK >ENSMUSP00000134200.1 pep:known chromosome:GRCm38:15:89023613:89055148:1 gene:ENSMUSG00000015365.15 transcript:ENSMUST00000143030.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mov10l1 description:Moloney leukemia virus 10-like 1 [Source:MGI Symbol;Acc:MGI:1891384] XVGYFTHVFVDEAGQASEPECLIPLGLISDINGQIVLAGDPMQLGPVIKSRLAMAYGLNV SMLERLMSRPAYLRDENAFGACGAYNPLLVTKLVKNYRSHSALLALPSRLFYHRELEVCA DPKVVTSLLGWEKLPRKGFPLIFHGVRGNEAREGRSPSWFSPAEAVQVMRYCCLLARSVS SQVSSKDIGVITPYRKQVEKIKILLRNVDLTDIKVGSVEEFQGQEYLVIVISTVRSNEDR FEDDRYFLGFLSNSKRFNVAITRPKALLIILGNPHVLVRDPCFGALLEYSVSNGVYTGCD LPPELQALQK >ENSMUSP00000015509.4 pep:known chromosome:GRCm38:15:88982994:89055152:1 gene:ENSMUSG00000015365.15 transcript:ENSMUST00000015509.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mov10l1 description:Moloney leukemia virus 10-like 1 [Source:MGI Symbol;Acc:MGI:1891384] MIDDLIYFSNDAVTSKVLLNVGQEVIAVVEENKVSNGLKAIRVEAVSDKWEDDSKNSSKG LSDSSPRVLIGCVTSMLEGAGYISQTTYFSLESVCEGFHPCKGDWVEAEYWIRPGTWSSE AISVKPLRYKRVDKVCISSLCGRNGVIEDSIFFSLDSLKLPEGYIPRRHDIVNAVVVESS QSCYIWRALCMTPVKRDATLGEAPQEPYGALLLKNKGDIEVTRMTSFGTLKEGESKSIVI WIENKGKVSRELVSCRLANWDKAHQFRFETQGRSKSCPGAAAGSVPEGENVNSLNHHRED KTDEIPESRLANSTEISPDGCACKEESREKGNTPEKQEPEPGGLIPPGEKTHIVVTCSAK NPGRCKELLLLCFSDFLIGRHLEVSVVSSEEALIAVREPFSWKKPKSSQTLVSAKTTVVV TTQKRNSRRQLPSFLPQYPIPDRLKKCVEQKIDILTFQPLLAELLNMSNYKEKFSTLLWL EEIHAEIELKEYNMSRVVLKRKGDLLVLEVPGLAESRPSLYAGDKLILKSQEYNGHVIEY IGYVMEIHEEDVTLKLNPGFEQMYNFEPMDVEFTYNRTTSRRCHYALEQVIHLGVKVLFP EEIILQSPQVTGNWSLAQDTKNDGQSITNITRNDGQSMTKVTRNDSQSITNIIRNDGQSI TNVTRNDGQPITKVTRNNSQSITNITRNDGQPITKNKKTVKDQTKHTTEERHVGTTDQPE KASSTAETMDEIQIPKARDKEFFNPVLNENQKLAVRRILSGDCRPLPYILFGPPGTGKTV TIIEAVLQVHYALPDSRILVCAPSNSAADLVCLRLHESKVLKPAAMVRVNATCRFEETII DAIKPYCRDGEDIWRASRFRIIITTCSSAGLFYQIGVRVGYFTHVFVDEAGQASEPECLI PLGLISDINGQIVLAGDPMQLGPVIKSRLAMAYGLNVSMLERLMSRPAYLRDENAFGACG AYNPLLVTKLVKNYRSHSALLALPSRLFYHRELEVCADPKVVTSLLGWEKLPRKGFPLIF HGVRGNEAREGRSPSWFSPAEAVQVMRYCCLLARSVSSQVSSKDIGVITPYRKQVEKIKI LLRNVDLTDIKVGSVEEFQGQEYLVIVISTVRSNEDRFEDDRYFLGFLSNSKRFNVAITR PKALLIILGNPHVLVRDPCFGALLEYSVSNGVYTGCDLPPELQALQK >ENSMUSP00000023059.6 pep:known chromosome:GRCm38:15:55076099:55090491:-1 gene:ENSMUSG00000022422.13 transcript:ENSMUST00000023059.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dscc1 description:DNA replication and sister chromatid cohesion 1 [Source:MGI Symbol;Acc:MGI:1919357] MSPPSASRRTREEVDATLQVAKLNATELLPTVHCLSFSSGTGGAATGDFCLLELEPALCQ QLEAGDSFVIRGDKDEQAVLCSKDKTYDLKIADTSNMLLFIPGCKTPDQLKEEETPSAIV HTEIFGFSNNYWELRRCRPKLKKLKRLLMENTYEGPDSQKEEDASRSKYTTEDLLNHIQA SEEEIMAQLQVLNACEIGGYWRILEFDYEIKLLNHVTQLVDSESWSLDRVPLTVCLQELG PLEPEEMIEHCLKCYGKRYVDKDDVYFELDADKICRVTAEMLLQNAVKFNLAEFQEVWQQ SVPEGMTTRLDQLKGLALVDRNSRPEIIFLLKVDDLPEGTQDRFNSLFSLREKWTEEDIT PYIQDLCGEKQTIGALLTKYSRSSMQNGIKVYNSRRLIS >ENSMUSP00000105860.1 pep:known chromosome:GRCm38:15:55076102:55090480:-1 gene:ENSMUSG00000022422.13 transcript:ENSMUST00000110231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dscc1 description:DNA replication and sister chromatid cohesion 1 [Source:MGI Symbol;Acc:MGI:1919357] MSPPSASRRTREEVDATLQVAKLNATELLPTVHCLSFSSGTGGAATGDFCLLELEPALCQ QLEAGDSFVIRGDKDEQAVLCSKDKTYDLKIADTSNMLLFIPGCKTPDQLKEEETPSAIV HTEIFGFSNNYWELRRCRPKLKKLKRLLMENTYEGPDSQKEEDASRSKYTTEDLLNHIQA SEEEIMAQLQVLNACEIGGYWRILEFDYEIKLLNHVTQLVDSESWSLDRVPLTVCLQELG PLEPELFACMYACAQAACTGQKRASALLDLELTEGSEAPGGCSSCSVAPGGEIENIQLER IRDFGSLREMIEHCLKCYGKRYVDKDDVYFELDADKICRVTAEMLLQNAVKFNLAEFQEV WQQSVPEGMTTRLDQLKGLALVDRNSRPEIIFLLKVDDLPEGTQDRFNSLFSLREKWTEE DITPYIQDLCGEKQTIGALLTKYSRSSMQNGIKVYNSRRLIS >ENSMUSP00000114088.2 pep:known chromosome:GRCm38:7:16274045:16286790:-1 gene:ENSMUSG00000041375.18 transcript:ENSMUST00000118976.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc9 description:coiled-coil domain containing 9 [Source:MGI Symbol;Acc:MGI:1921443] MMATTLDLKSKEEKDAELDKRIEALRRKNEALIRRYQEIEEDRKKAELEGVAVTAPRKSR SMEKENMAVEEKSLGPSRRTPGTPRPPGASRGGRTHPQQGGRAGVGRASQGWEDGAGEQL RGGPGGRGRRGRGRGSPHLLGAGDNSTSDRKSKEWEERRRQNIEKMNEEMEKIAEYERNQ REGVLEPNPVRNFLDDPRRRGGPLEESERDRREGSRRHGRNWGGSDFERVRSGLEQERQG RRAGLGSGGDMTMSMTGRERSEYLRWKQEREKIDQERLQRHRKPTGQWRREWDAEKTDGM FKDGPAPTHELSHRYDDQAWARPPKPPTFGEFLSQHKAEVSSRRRRKNSRPQAKVAPRAY SDHDNRWETREEAVSSAPESSQSISLEETPTQASETPAPAHRPPEEDGEEDVGEEEEGEE EGEDEEDEEWEDVSEDVTEEEEEEEEEFEEDEEGPKDQEAATVPDHQPEAEPAGKPTCEQ VDPVPAGSQELLSPVPVEPPIPFSPSEDHQPVSDWGGDQPPLASLESGPSLAGTPKSSEE EGPEASPGGMHEAGGEVGPEGQETAEITDFQRVRFCKVVAAAPPPGAAR >ENSMUSP00000035597.8 pep:known chromosome:GRCm38:7:16275464:16285059:-1 gene:ENSMUSG00000041375.18 transcript:ENSMUST00000041010.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc9 description:coiled-coil domain containing 9 [Source:MGI Symbol;Acc:MGI:1921443] MMATTLDLKSKEEKDAELDKRIEALRRKNEALIRRYQEIEEDRKKAELEGVAVTAPRKSR SMEKENMAVEEKSLGPSRRTPGTPRPPGASRGGRTHPQQGGRAGVGRASQGWEDGAGEQL RGGPGGRGRRGRGRGSPHLLGAGDNSTSDRKSKEWEERRRQNIEKMNEEMEKIAEYERNQ REGVLEPNPVRNFLDDPRRRGGPLEESERDRREGSRRHGRNWGGSDFERVRSGLEQERQG RRAGLGSGGDMTMSMTGRERSEYLRWKQEREKIDQERLQRHRKPTGQWRREWDAEKTDGM FKDGPAPTHELSHRYDDQAWARPPKPPTFGEFLSQHKAEVSSRRRRKNSRPQAKVAPRAY SDHDNRWETREEAVSSAPESSQSISLEETPTQASETPAPAHRPPEEDGEEDVGEEEEGEE EGEDEEDEEWEDVSEDVTEEEEEEEEEFEEDEEGPKDQEAATVPDHQPEAEPAGKPTCEQ VDPVPAGSQELLSPVPVEPPIPFSPSEDHQPVSDWGEEMELNSPGTAHLPGTHSSGEAWP FANA >ENSMUSP00000134049.1 pep:known chromosome:GRCm38:7:16275870:16278384:-1 gene:ENSMUSG00000041375.18 transcript:ENSMUST00000146085.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc9 description:coiled-coil domain containing 9 [Source:MGI Symbol;Acc:MGI:1921443] XWDAEKTDGMFKDGPAPTHELSHRYDDQAWARPPKPPTFGEFLSQHKAEVSSRRRRKNSR PQAKVAPRAYSDHDNRWETREEAVSSAPESSQSISLEETPTQQASETPAPAHRPPEEDGE EDVGEEEEGEEEGEDEEDEEWEDVSEDVTEEEEEEEEEFEEDEEGPKDQEAATVPDHQPE AE >ENSMUSP00000122708.2 pep:known chromosome:GRCm38:7:16282510:16286780:-1 gene:ENSMUSG00000041375.18 transcript:ENSMUST00000148741.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc9 description:coiled-coil domain containing 9 [Source:MGI Symbol;Acc:MGI:1921443] MMATTLDLKSKEEKDAELDKRIEALRRKNEALIRRYQVP >ENSMUSP00000133798.1 pep:known chromosome:GRCm38:7:16282519:16285536:-1 gene:ENSMUSG00000041375.18 transcript:ENSMUST00000174270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc9 description:coiled-coil domain containing 9 [Source:MGI Symbol;Acc:MGI:1921443] MMATTLDLKSKEEKDAELDKRIEALRRKNEALIRRYQEIEEDRKKAELEGVAVTAPRKSR SMEKENMAVEEKSLGPSRRTPGTPRPPGASRGGRTHPQQ >ENSMUSP00000133863.1 pep:known chromosome:GRCm38:7:16282570:16286774:-1 gene:ENSMUSG00000041375.18 transcript:ENSMUST00000150528.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc9 description:coiled-coil domain containing 9 [Source:MGI Symbol;Acc:MGI:1921443] MEKENMAVEEKSLGPSRRTPG >ENSMUSP00000134106.1 pep:known chromosome:GRCm38:7:16282755:16286795:-1 gene:ENSMUSG00000041375.18 transcript:ENSMUST00000146609.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc9 description:coiled-coil domain containing 9 [Source:MGI Symbol;Acc:MGI:1921443] MEKENMAV >ENSMUSP00000119694.2 pep:known chromosome:GRCm38:7:16282824:16286025:-1 gene:ENSMUSG00000041375.18 transcript:ENSMUST00000145519.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc9 description:coiled-coil domain containing 9 [Source:MGI Symbol;Acc:MGI:1921443] MMATTLDLKSKEEKDAELDKRIEALRRKNEALIRRYQEIEEDRKKA >ENSMUSP00000020896.8 pep:known chromosome:GRCm38:12:36014557:36042489:-1 gene:ENSMUSG00000020577.15 transcript:ENSMUST00000020896.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan13 description:tetraspanin 13 [Source:MGI Symbol;Acc:MGI:1913359] MVCGGFSCSKNCLCALNLLYTLVSLLLIGIAAWGIGFGLISSLRVVGVVIAVGIFLFLIA LVGLIGAVKHHQVLLFFYMIILLLVFIVQFSVSCACLALNREQQGQLLEVGWNNTASARN DIQRNLNCCGFRSYNPNDTCPASCAKSTQKCSSCAPIIGEYAGEVLRFVGGIGLFFSFTE ILGVWLTYRYRNQKDPRANPSAFL >ENSMUSP00000121445.1 pep:known chromosome:GRCm38:12:36021812:36039935:-1 gene:ENSMUSG00000020577.15 transcript:ENSMUST00000138393.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan13 description:tetraspanin 13 [Source:MGI Symbol;Acc:MGI:1913359] MSLNLEMQEQTKLDSKLVSLLLIGIAAWGIGFGLISSLRVVGVVIAVGIFLFLIALVGLI GAVKHHQVLLFFYMIILLLVFIVQFSVSCACLALNREQQGQLLEVGWNNTASARNDIQRN LNCCGFRSYNPND >ENSMUSP00000124388.1 pep:known chromosome:GRCm38:12:36021801:36042500:-1 gene:ENSMUSG00000020577.15 transcript:ENSMUST00000128644.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tspan13 description:tetraspanin 13 [Source:MGI Symbol;Acc:MGI:1913359] MVCGGFSCSKNCLCALNLLYTLRTASSSSLFVGSPGIPSVDHCGPLQNWSACCSLGLLPG ASALG >ENSMUSP00000109744.1 pep:known chromosome:GRCm38:X:74966843:75084905:-1 gene:ENSMUSG00000032750.13 transcript:ENSMUST00000114109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gab3 description:growth factor receptor bound protein 2-associated protein 3 [Source:MGI Symbol;Acc:MGI:2387324] MSPKGTASELRPHCSQDDYIPMSSSMLPELPADLEPPPVNRNLKPQRKSRPPPLDSRNLS TIQEHTSLTRTYTVPCNRTSFLSPQRNGINCARLFSTPSEEEEEEEEEEEEEEEEEKYIQ MEEYGTVSSLSRSALSWTKKFSLDYLALDFNSTSPAPVQKKLLLSEEQRVDYVQVDEQKT QALRSTKQEWTDERQSKVRWTTEDPVLFRISINNFLDQLSLVMRNIQHLQFVAFKRRRER SRNN >ENSMUSP00000041951.4 pep:known chromosome:GRCm38:X:74988521:75084905:-1 gene:ENSMUSG00000032750.13 transcript:ENSMUST00000037374.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gab3 description:growth factor receptor bound protein 2-associated protein 3 [Source:MGI Symbol;Acc:MGI:2387324] MSTGDTVCMGWLIKSPPERKLQRYAWRKRWFVLRRGRMSGNPDVLEYYRNKHSNKPIRVI DLSECTVWKHAGPGFIRKEFQKNFVFIVKTTSRTFYLVAKTEEEMQVWVHSISQVCNFSH LEDGADSMESLSHMPSSFQPSPASSLHTVHVANSALLKDDRNTNSVVTEETRRESEFLFL PDYLILSNCETGRLHHASLPTRCDSWSNSNHSLAQTSFDDVFLDGLQPFISNNLVHPLHH GKVSQDFPSIRPQASLIWNREINGPSRNLMSSSPLLESSLNPTVHVEEKQVSLPSGVKEL NIMSNTPPPRPPKPSYLSEQRQDQPLLTGHSSNKKPGYTMVPRRISLSGLDHVGSWKGDV QSQSLRHRDKRLSLNLPCKFSPIYPTASPSAEDSYVPMSPKGTASELRPHCSQDDYIPMS SSMLPELPADLEPPPVNRNLKPQRKSRPPPLDSRNLSTIQEHTSLTRTYTVPCNRTSFLS PQRNGINCARLFSTPSEEEEEEEEEEEEEEEEEKYIQMEEYGTVSSLSRSALSWTKKFSL DYLALDFNSTSPAPVQKKLLLSEEQRVDYVQVDEQKTQALRSTKQEWTDERQSKV >ENSMUSP00000109739.1 pep:known chromosome:GRCm38:X:74988704:75084757:-1 gene:ENSMUSG00000032750.13 transcript:ENSMUST00000114104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gab3 description:growth factor receptor bound protein 2-associated protein 3 [Source:MGI Symbol;Acc:MGI:2387324] MSTGDTVCMGWLIKSPPERKLQRYAWRKRWFVLRRGRMSGNPDVLEYYRNKHSNKPIRVI DLSECTVWKHAGPGFIRKEFQKNFVFIVKTTSRTFYLVAKTEEEMQVWVHSISQVCNFSH LEDGADSMESLSHMPSSFQPSPASSLHTVHVANSALLKDDRNTNSVVTEETRRESEFLFL PDYLILSNCETGRLHHASLPTRCDSWSNSNHSLAQTSFDDVFLDGLQPFISNNLVHPLHH GKVSQDFPSIRPQASLIWNREINGPSRNLMSSSPLLESSLNPTVHVEEKQVSLPSGVKEL NIMSNTPPPRPPKPSYLSEQRQDQPLLTGHSSNKKPGYTMVPRRISLSGLDHVGSWKGDV QSQSLRHRDKRLSLNLPCKFSPIYPTASPSAEDSYVPMSPKGTASELRPHCSQDDYIPMS SSMLPELPADLEPPPVNRNLKPQRKSRPPPLDSRNLSTIQEHTSLTRTYTVPCNRTSFLS PQRNGINCARLFSTPSEEEEEEEEEEEEEEEEEKYIQMKLLLSEEQRVDYVQVDEQKTQA LRSTKQEWTDERQSKV >ENSMUSP00000024005.7 pep:known chromosome:GRCm38:2:113776362:113829121:-1 gene:ENSMUSG00000023236.7 transcript:ENSMUST00000024005.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scg5 description:secretogranin V [Source:MGI Symbol;Acc:MGI:98289] MASRLVSAMLSGLLFWLMFEWNPAFAYSPRTPDRVSETDIQRLLHGVMEQLGIARPRVEY PAHQAMNLVGPQSIEGGAHEGLQHLGPFGNIPNIVAELTGDNIPKDFSEDQGYPDPPNPC PLGKTADDGCLENAPDTAEFSREFQLDQHLFDPEHDYPGLGKWNKKLLYEKMKGGQRRKR RSVNPYLQGKRLDNVVAKKSVPHFSEEEKEAE >ENSMUSP00000003906.6 pep:known chromosome:GRCm38:8:84856989:84869257:1 gene:ENSMUSG00000003808.18 transcript:ENSMUST00000003906.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Farsa description:phenylalanyl-tRNA synthetase, alpha subunit [Source:MGI Symbol;Acc:MGI:1913840] MADNPVLELLLRRLEVADGGLDSAELATQLGVEHQAVVGAVKSLQALGEVIEAELRSTKC WELTTEGEEIAREGSHEARVFRSIPLEGLVQSELMHLPSGKVGFSKAMSNKWIRVDKSAA DGPRVFRVVDSIEDEVQKRLQLVQAGQAEKLAEKERNELRKRKLLTEVILKTYWVSKGKA FSTSVSKQEAELSPEMISSGSWRDRPFKPYNFSARGVLPDSGHLHPLLKVRSQFRQIFLE MGFTEMPTDNFIESSFWNFDALFQPQQHPARDQHDTFFLRDPAEALQLPMGYVQRVKRTH SQGGYGSQGYKYTWKLEEARKNLLRTHTTAASARALYQLAQKKPFTPAKYFSIDRVFRNE TLDATHLAEFHQIEGVIADHGLTLGHLMGVLREFFTKLGITQLRFKPAYNPYTEPSMEVF SYHQGLKKWVEVGNSGVFRPEMLLPMGLPENVSVIAWGLSLERPTMIKYGINNIRELVGH KVNLQMVYDSPVCRLDIEPRSSKTQEAA >ENSMUSP00000105376.1 pep:known chromosome:GRCm38:8:84857017:84869257:1 gene:ENSMUSG00000003808.18 transcript:ENSMUST00000109754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Farsa description:phenylalanyl-tRNA synthetase, alpha subunit [Source:MGI Symbol;Acc:MGI:1913840] MADNPVLELLLRRLEVADGGLDSAELATQLGVEHQAVVGAVKSLQALGEVIEAELRSTKC WELTTEGEEIAREGSHEARVFRSIPLEGLVQSELMHLPSGKVGFSKAMSNKWIRVDKSAA DGPRVFRVVDSIEDEVQKRLQLVQAGQAEKLAEKERNELRKRKLLTEVILKTYWVSKGKA FSTSVSKQEAELSPEMISSGSWRDRPFKPYNFSARGVLPDSGHLHPLLKVRSQFRQIFLE MGFTEMPTDNFIESSFWNFDALFQPQQHPARDQHDTFFLRDPAEALQLPMGYVQRVKRTH SQGGYGSQGYKYTWKLEEARKNLLRTHTTAASARALYQLAQKPFTPAKYFSIDRVFRNET LDATHLAEFHQIEGVIADHGLTLGHLMGVLREFFTKLGITQLRFKPAYNPYTEPSMEVFS YHQGLKKWVEVGNSGVFRPEMLLPMGLPENVSVIAWGLSLERPTMIKYGINNIRELVGHK VNLQMVYDSPVCRLDIEPRSSKTQEAA >ENSMUSP00000120609.1 pep:known chromosome:GRCm38:8:84857020:84869256:1 gene:ENSMUSG00000003808.18 transcript:ENSMUST00000156970.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Farsa description:phenylalanyl-tRNA synthetase, alpha subunit [Source:MGI Symbol;Acc:MGI:1913840] MADNPVLELLLRRLEVADGGLDSAELATQLGVEHQAVVGAVKSLQALGEVIEAELRSTKC WELTTEAPAQRQGGLQQGHVQQVDPSGQECRRRAPGVPSGGQYRG >ENSMUSP00000033502.7 pep:known chromosome:GRCm38:X:7959260:7967910:-1 gene:ENSMUSG00000031162.14 transcript:ENSMUST00000033502.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata1 description:GATA binding protein 1 [Source:MGI Symbol;Acc:MGI:95661] MDFPGLGALGTSEPLPQFVDSALVSSPSDSTGFFSSGPEGLDAASSSTSPNAATAAASAL AYYREAEAYRHSPVFQVYPLLNSMEGIPGGSPYASWAYGKTALYPASTVCPSHEDAPSQA LEDQEGKSNNTFLDTLKTERLSPDLLTLGTALPASLPVTGSAYGGADFPSPFFSPTGSPL SSAAYSSPKFHGSLPLAPCEARECVNCGATATPLWRRDRTGHYLCNACGLYHKMNGQNRP LIRPKKRMIVSKRAGTQCTNCQTTTTTLWRRNASGDPVCNACGLYFKLHQVNRPLTMRKD GIQTRNRKASGKGKKKRGSNLAGAGAAEGPAGGFMVVAGSSSSGNCGEVASGLALGTAGT AHLYQGLGPVVLSGPVSHLMPFPGPLLGSPTTSFPTGPAPTTSSTSVIAPLSS >ENSMUSP00000118052.1 pep:known chromosome:GRCm38:X:7962440:7978071:-1 gene:ENSMUSG00000031162.14 transcript:ENSMUST00000125418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata1 description:GATA binding protein 1 [Source:MGI Symbol;Acc:MGI:95661] MDFPGLGALGTSEPLPQFVDSALVSSPSDSTGFFSSGPEGLDAASSSTSPNAATAAASAL AYYREAEAYRHSPVFQVYPLLNSMEGIPGGSPYASWAYGKTALYPASTV >ENSMUSP00000123620.1 pep:known chromosome:GRCm38:X:7963029:7964166:-1 gene:ENSMUSG00000031162.14 transcript:ENSMUST00000128449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata1 description:GATA binding protein 1 [Source:MGI Symbol;Acc:MGI:95661] MGAVKGSKGSWSCILPLQKERKEGEERIKRGNGGGLEEWEKEKQRLAGGGERGRFNPSVP MDFPGLGALGTSEPLPQFVDSALVSSPSDSTGFFSSGPEGLDAASSSTSPNAATAAASAL AYYR >ENSMUSP00000122381.1 pep:known chromosome:GRCm38:17:5941280:6032793:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000146009.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MALSKGLRLLARLDPTGPSSVLLEARGRGDCLLFEAGAVATLAPEEKEVIKGLYSKLTDA YGCLGELRLQSGGVPLSFLVLVTGCMSVGRIPDAEIYKITATELYPLQEEAKEEDRLPTL KKILSSGVFYFAWPNDGACFDLTIRAQKQGDDGSEWGTSFFWNQLLHVPLRQHQVNCHNW LLKVICGVVTIRTVYASHKQAKACLISRISCERAGARFLTRGVNDDGHVSNFVETEQTIY MDDGVSSFVQIRGSVPLFWEQPGLQVGSHHLRLHRGLEANAPAFERHMVLLKEQYGKQVV VNLLGSRGGEEVLNRAFKKLLWASCHAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLH WEDFGVFAKGENVSPRFQKGTLRMNCLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPII DRFVESFKAMWSLNGHSLSKVFTGSRALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEA IKLLLVGDVYNEESTDKGRMLLDNTALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVN GGKQFRSNLLGTAELTDWLLDAPQLSGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNAS TTNRKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGG KAGNKGAVGIRFQLHSTSFCFVCSHLTAGQSQVKERNEDYREITHKLSFPSGRNIFSHDY VFWCGDFNYRIDLTYEEVFYFVKRQDWKKLMEFDQLQLQKSSGKIFKDFHEGAVNFGPTY KYDVGSAAYDTSDKCRTPAWTDRVLWWRKKHPYDKTAGELNLLDSDLDGDPQIRHTWSPG TLKYYGRAELQASDHRPVLAIVEVEVQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPT LEEKNEFPEDLRTELMQTLGNYGTIILVRINQGQMLVTFADSHSALSVLDVDGMKVKGRA VKIRPKTKDWLEGLREELLRKRDSMAPVSPTANSCLLEENFDFSSLDYESEGDVLEEDED YLVDGFGQPVVSDSELGGDNSSDTMSSLTPASKSPALAKKKQHPTYKDDAHLVTLKQELE VAGNFRHRSPSRSLSVPNRPRPPHPPQRPPPPTGLMVKKSASDASISSGTHGQYSILQTA KLLPG >ENSMUSP00000138449.1 pep:known chromosome:GRCm38:17:5941378:6039390:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000134767.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MALSKGLRLLARLDPTGPSSVLLEARGRGDCLLFEAGAVATLAPEEKEVIKGLYSKLTDA YGCLGELRLQSGTSYCMCLCGSTR >ENSMUSP00000079164.6 pep:known chromosome:GRCm38:17:5941403:6038555:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000080283.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MALSKGLRLLARLDPTGPSSVLLEARGRGDCLLFEAGAVATLAPEEKEVIKGLYSKLTDA YGCLGELRLQSGGVPLSFLVLVTGCMSVGRIPDAEIYKITATELYPLQEEAKEEDRLPTL KKILSSGVFYFAWPNDGACFDLTIRAQKQGDDGSEWGTSFFWNQLLHVPLRQHQVNCHNW LLKVICGVVTIRTVYASHKQAKACLISRISCERAGARFLTRGVNDDGHVSNFVETEQTIY MDDGVSSFVQIRGSVPLFWEQPGLQVGSHHLRLHRGLEANAPAFERHMVLLKEQYGKQVV VNLLGSRGGEEVLNRAFKKLLWASCHAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLH WEDFGVFAKGENVSPRFQKGTLRMNCLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPII DRFVESFKAMWSLNGHSLSKVFTGSRALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEA IKLLLVGDVYNEESTDKGRMLLDNTALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVN GGKQFRSNLLGTAELTDWLLDAPQLSGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNAS TTNRKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGG KAGNKGAVGIRFQLHSTSFCFVCSHLTAGQSQVKERNEDYREITHKLSFPSGRNIFSHDY VFWCGDFNYRIDLTYEEVFYFVKRQDWKKLMEFDQLQLQKSSGKIFKDFHEGAVNFGPTY KYDVGSAAYDTSDKCRTPAWTDRVLWWRKKHPYDKTAGELNLLDSDLDGDPQIRHTWSPG TLKYYGRAELQASDHRPVLAIVEVEVQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPT LEEKNEFPEDLRTELMQTLGNYGTIILVRINQGQMLVTFADSHSALSVLDVDGMKVKGRA VKIRPKTKDWLEGLREELLRKRDSMAPVSPTANSCLLEENFDFSSLDYESEGDVLEEDED YLVDGFGQPVVSDSELGGDNSSDTMSSLTPASKSPALAKKKQHPTYKAGLMVKKSASDAS ISSGTHGQYSILQTAKLLPGAPQQPPKARTGISKPYNVKQIKTTNAQEAEAAIRCLLEAS GGVPESAPGAIPLRNQGSSKPEATLGPPALPRRPAPRVPTMKKPTLRRTGKPMLPEENFE PQPVHFTMASQEMNLETPPPITATPIPPVPKPRTLQPGKGVEGRPSSGKPEPDEAPSVTG TVESPPPEAQEAPSLAPKVPPRRKKSAPAAFHLQVLQNNSQVLQGLTCSSSSPPSLKPDT HPLCLQVALGTSSARSPETHGPRVTEPEAASFHGNYPDPFWSLLHHPKLLNNTWLSKSSE PLDVGSRNPERTHTEPAQVNASLAERGLPPDHGGKDLSHWVTASNKDKRTTLGV >ENSMUSP00000120006.1 pep:known chromosome:GRCm38:17:5975586:6026290:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000142409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MDDGVSSFVQIRGSVPLFWEQPGLQVGSHHLRLHRGLEANAPAFERHMVLLKEQYGKQVV VNLLGSRGGEEVLNRAFKKLLWASCHAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLH WEDFGVFAKGENVSPRFQKGTLRMNCLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPII DRFVESFKAMWSLNGHSLSKVFTGSRALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEA IKLLLVGDVYNEESTDKGRMLLDNTALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVN GGKQFRSNLLGTAELTDWLLDAPQLSGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNAS TTNRKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGG KAGNKGAVGIRFQLHSTSFCFVCSHLTAGQSQVKERNEDYREITHKLSFPSGRNIFSHDY VFWCGDFNYRIDLTYEEVFYFVKRQDWKKLMEFDQLQLQKSSGKIFKDFHEGAVNFGPTY KYDVGSAAYDTSDKCRTPAWTDRVLWWRKKHPYDKTAGELNLLDSDLDGDPQIRHTWSPG TLKYYGRAELQASDHRPVLAIVEVEVQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPT L >ENSMUSP00000060382.7 pep:known chromosome:GRCm38:17:5975641:6044290:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000061091.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MSVGRIPDAEIYKITATELYPLQEEAKEEDRLPTLKKILSSGVFYFAWPNDGACFDLTIR AQKQGDDGSEWGTSFFWNQLLHVPLRQHQVNCHNWLLKVICGVVTIRTVYASHKQAKACL ISRISCERAGARFLTRGVNDDGHVSNFVETEQTIYMDDGVSSFVQIRGSVPLFWEQPGLQ VGSHHLRLHRGLEANAPAFERHMVLLKEQYGKQVVVNLLGSRGGEEVLNRAFKKLLWASC HAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLHWEDFGVFAKGENVSPRFQKGTLRMN CLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPIIDRFVESFKAMWSLNGHSLSKVFTGS RALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEAIKLLLVGDVYNEESTDKGRMLLDNT ALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVNGGKQFRSNLLGTAELTDWLLDAPQL SGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNASTTNRKMWGEQLQKAISRSHRYILLT SAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGGKAGNKGAVGIRFQLHSTSFCFVCSH LTAGQSQVKERNEDYREITHKLSFPSGRNIFSHDYVFWCGDFNYRIDLTYEEVFYFVKRQ DWKKLMEFDQLQLQKSSGKIFKDFHEGAVNFGPTYKYDVGSAAYDTSDKCRTPAWTDRVL WWRKKHPYDKTAGELNLLDSDLDGDPQIRHTWSPGTLKYYGRAELQASDHRPVLAIVEVE VQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPTLEEKNEFPEDLRTELMQTLGNYGTI ILVRINQGQMLVTFADSHSALSVLDVDGMKVKGRAVKIRPKTKDWLEGLREELLRKRDSM APVSPTANSCLLEENFDFSSLDYESEGDVLEEDEDYLVDGFGQPVVSDSELGGDNSSDTM SSLTPASKSPALAKKKQHPTYKDDAHLVTLKQELEVAGNFRHRSPSRSLSVPNRPRPPHP PQRPPPPTGLMVKKSASDASISSGTHGQYSILQTAKLLPGAPQQPPKARTGISKPYNVKQ IKTTNAQEAEAAIRCLLEASGGVPESAPGAIPLRNQGSSKPEATLGPPALPRRPAPRVPT MKKPTLRRTGKVYSGISQCLREELRSAACTPHAVSAQDCGDLNNRWRMPRFSHYIHTKKW KNVSLSFQDLWLKFRR >ENSMUSP00000111456.1 pep:known chromosome:GRCm38:17:5975740:6038555:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000115790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MSVGRIPDAEIYKITATELYPLQEEAKEEDRLPTLKKILSSGVFYFAWPNDGACFDLTIR AQKQGDDGSEWGTSFFWNQLLHVPLRQHQVNCHNWLLKVICGVVTIRTVYASHKQAKACL ISRISCERAGARFLTRGVNDDGHVSNFVETEQTIYMDDGVSSFVQIRGSVPLFWEQPGLQ VGSHHLRLHRGLEANAPAFERHMVLLKEQYGKQVVVNLLGSRGGEEVLNRAFKKLLWASC HAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLHWEDFGVFAKGENVSPRFQKGTLRMN CLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPIIDRFVESFKAMWSLNGHSLSKVFTGS RALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEAIKLLLVGDVYNEESTDKGRMLLDNT ALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVNGGKQFRSNLLGTAELTDWLLDAPQL SGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNASTTNRKMWGEQLQKAISRSHRYILLT SAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGGKAGNKGAVGIRFQLHSTSFCFVCSH LTAGQSQVKERNEDYREITHKLSFPSGRNIFSHDYVFWCGDFNYRIDLTYEEVFYFVKRQ DWKKLMEFDQLQLQKSSGKIFKDFHEGAVNFGPTYKYDVGSAAYDTSDKCRTPAWTDRVL WWRKKHPYDKTAGELNLLDSDLDGDPQIRHTWSPGTLKYYGRAELQASDHRPVLAIVEVE VQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPTLEEKNEFPEDLRTELMQTLGNYGTI ILVRINQGQMLVTFADSHSALSVLDVDGMKVKGRAVKIRPKTKDWLEGLREELLRKRDSM APVSPTANSCLLEENFDFSSLDYESEGDVLEEDEDYLVDGFGQPVVSDSELGGDNSSDTM SSLTPASKSPALAKKKQHPTYKDDAHLVTLKQELEVAGNFRHRSPSRSLSVPNRPRPPHP PQRPPPPTGLMVKKSASDASISSGTHGQYSILQTAKLLPGAPQQPPKARTGISKPYNVKQ IKTTNAQEAEAAIRCLLEASGGVPESAPGAIPLRNQGSSKPEATLGPPALPRRPAPRVPT MKKPTLRRTGKPMLPEENFEPQPVHFTMASQEMNLETPPPITATPIPPVPKPRTLQPGKG VEGRPSSGKPEPDEAPSVTGTVESPPPEAQEAPSLAPKVPPRRKKSAPAAFHLQVLQNNS QVLQGLTCSSSSPPSLKPDTHPLCLQVALGTSSARSPETHGPRVTEPEAASFHGNYPDPF WSLLHHPKLLNNTWLSKSSEPLDVGSRNPERTHTEPAQVNASLAERGLPPDHGGKDLSHW VTASNKDKRTTLGV >ENSMUSP00000111455.1 pep:known chromosome:GRCm38:17:5987986:6039341:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000115789.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MNQLLHVPLRQHQVNCHNWLLKVICGVVTIRTVYASHKQAKACLISRISCERAGARFLTR GVNDDGHVSNFVETEQTIYMDDGVSSFVQIRGSVPLFWEQPGLQVGSHHLRLHRGLEANA PAFERHMVLLKEQYGKQVVVNLLGSRGGEEVLNRAFKKLLWASCHAGDTPMINFDFHQFA KGRKLEKLENLLRPQLQLHWEDFGVFAKGENVSPRFQKGTLRMNCLDCLDRTNTVQCFIA LEVLHLQLESLGLNSKPIIDRFVESFKAMWSLNGHSLSKVFTGSRALEGKAKVGKLKDGA RSMSRTIQSNFFDGVKQEAIKLLLVGDVYNEESTDKGRMLLDNTALLATPRILKAMTERQ SEFTNFKRIQIAVGTWNVNGGKQFRSNLLGTAELTDWLLDAPQLSGAVDSQDDGSPADVF AIGFEEMVELSAGNIVNASTTNRKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPY HVPFIRDVAIDTVKTGMGGKAGNKGAVGIRFQLHSTSFCFVCSHLTAGQSQVKERNEDYR EITHKLSFPSGRNIFSHDYVFWCGDFNYRIDLTYEEVFYFVKRQDWKKLMEFDQLQLQKS SGKIFKDFHEGAVNFGPTYKYDVGSAAYDTSDKCRTPAWTDRVLWWRKKHPYDKTAGELN LLDSDLDGDPQIRHTWSPGTLKYYGRAELQASDHRPVLAIVEVEVQEVDVGARERVFQEV SSVQGPLDATVVVNLQSPTLEEKNEFPEDLRTELMQTLGNYGTIILVRINQGQMLVTFAD SHSALSVLDVDGMKVKGRAVKIRPKTKDWLEGLREELLRKRDSMAPVSPTANSCLLEENF DFSSLDYESEGDVLEEDEDYLVDGFGQPVVSDSELGGDNSSDTMSSLTPASKSPALAKKK QHPTYKAGLMVKKSASDASISSGTHGQYSILQTAKLLPGAPQQPPKARTGISKPYNVKQI KTTNAQEAEAAIRCLLEASGGVPESAPGAIPLRNQGSSKPEATLGPPALPRRPAPRVPTM KKPTLRRTGKPMLPEENFEPQPVHFTMASQEMNLETPPPITATPIPPVPKPRTLQPGKGV EGRPSSGKPEPDEAPSVTGTVESPPPEAQEAPSLAPKVPPRRKKSAPAAFHLQVLQNNSQ VLQGLTCSSSSPPSLKPDTHPLCLQVALGTSSARSPETHGPRVTEPEAASFHGNYPDPFW SLLHHPKLLNNTWLSKSSEPLDVGSRNPERTHTEPAQVNASLAERGLPPDHGGKDLSHWV TASNKDKRTTLGV >ENSMUSP00000111454.1 pep:known chromosome:GRCm38:17:5990063:6044290:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000115788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MDDGVSSFVQIRGSVPLFWEQPGLQVGSHHLRLHRGLEANAPAFERHMVLLKEQYGKQVV VNLLGSRGGEEVLNRAFKKLLWASCHAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLH WEDFGVFAKGENVSPRFQKGTLRMNCLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPII DRFVESFKAMWSLNGHSLSKVFTGSRALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEA IKLLLVGDVYNEESTDKGRMLLDNTALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVN GGKQFRSNLLGTAELTDWLLDAPQLSGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNAS TTNRKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGG KAGNKGAVGIRFQLHSTSFCFVCSHLTAGQSQVKERNEDYREITHKLSFPSGRNIFSHDY VFWCGDFNYRIDLTYEEVFYFVKRQDWKKLMEFDQLQLQKSSGKIFKDFHEGAVNFGPTY KYDVGSAAYDTSDKCRTPAWTDRVLWWRKKHPYDKTAGELNLLDSDLDGDPQIRHTWSPG TLKYYGRAELQASDHRPVLAIVEVEVQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPT LEEKNEFPEDLRTELMQTLGNYGTIILVRINQGQMLVTFADSHSALSVLDVDGMKVKGRA VKIRPKTKDWLEGLREELLRKRDSMAPVSPTANSCLLEENFDFSSLDYESEGDVLEEDED YLVDGFGQPVVSDSELGGDNSSDTMSSLTPASKSPALAKKKQHPTYKAGLMVKKSASDAS ISSGTHGQYSILQTAKLLPGAPQQPPKARTGISKPYNVKQIKTTNAQEAEAAIRCLLEAS GGVPESAPGAIPLRNQGSSKPEATLGPPALPRRPAPRVPTMKKPTLRRTGKVYSGISQCL REELRSAACTPHAVSAQDCGDLNNRWRMPRFSHYIHTKKWKNVSLSFQDLWLKFRR >ENSMUSP00000111453.1 pep:known chromosome:GRCm38:17:5996016:6038328:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000115787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MDDGVSSFVQIRGSVPLFWEQPGLQVGSHHLRLHRGLEANAPAFERHMVLLKEQYGKQVV VNLLGSRGGEEVLNRAFKKLLWASCHAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLH WEDFGVFAKGENVSPRFQKGTLRMNCLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPII DRFVESFKAMWSLNGHSLSKVFTGSRALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEA IKLLLVGDVYNEESTDKGRMLLDNTALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVN GGKQFRSNLLGTAELTDWLLDAPQLSGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNAS TTNRKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGG KAGNKGAVGIRFQLHSTSFCFVCSHLTAGQSQVKERNEDYREITHKLSFPSGRNIFSHDY VFWCGDFNYRIDLTYEEVFYFVKRQDWKKLMEFDQLQLQKSSGKIFKDFHEGAVNFGPTY KYDVGSAAYDTSDKCRTPAWTDRVLWWRKKHPYDKTAGELNLLDSDLDGDPQIRHTWSPG TLKYYGRAELQASDHRPVLAIVEVEVQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPT LEEKNEFPEDLRTELMQTLGNYGTIILVRINQGQMLVTFADSHSALSVLDVDGMKVKGRA VKIRPKTKDWLEGLREELLRKRDSMAPVSPTANSCLLEENFDFSSLDYESEGDVLEEDED YLVDGFGQPVVSDSELGGDNSSDTMSSLTPASKSPALAKKKQHPTYKAGLMVKKSASDAS ISSGTHGQYSILQTAKLLPGAPQQPPKARTGISKPYNVKQIKTTNAQEAEAAIRCLLEAS GGVPESAPGAIPLRNQGSSKPEATLGPPALPRRPAPRVPTMKKPTLRRTGKPMLPEENFE PQPVHFTMASQEMNLETPPPITATPIPPVPKPRTLQPGKGVEGRPSSGKPEPDEAPSVTG TVESPPPEAQEAPSLAPKVPPRRKKSAPAAFHLQVLQNNSQVLQGLTCSSSSPPSLKPDT HPLCLQVALGTSSARSPETHGPRVTEPEAASFHGNYPDPFWSLLHHPKLLNNTWLSKSSE PLDVGSRNPERTHTEPAQVNASLAERGLPPDHGGKDLSHWVTASNKDKRTTLGV >ENSMUSP00000111452.1 pep:known chromosome:GRCm38:17:5996846:6020555:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000115786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MDDGVSSFVQIRGSVPLFWEQPGLQVGSHHLRLHRGLEANAPAFERHMVLLKEQYGKQVV VNLLGSRGGEEVLNRAFKKLLWASCHAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLH WEDFGVFAKGENVSPRFQKGTLRMNCLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPII DRFVESFKAMWSLNGHSLSKVFTGSRALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEA IKLLLVGDVYNEESTDKGRMLLDNTALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVN GGKQFRSNLLGTAELTDWLLDAPQLSGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNAS TTNRKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGG KAGNKGAVGIRFQLHSTSFCFVCSHLTAGQSQVKERNEDYREITHKLSFPSVSGVHYEVC LPSSAVCGLLGHRSSHLHSHENPPWGRGDMLSFLVEERSPWRVLSPQVLRCPNYS >ENSMUSP00000111450.1 pep:known chromosome:GRCm38:17:6007580:6032929:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000115784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MQKLLWASCHAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLHWEDFGVFAKGENVSPR FQKGTLRMNCLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPIIDRFVESFKAMWSLNGH SLSKVFTGSRALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEAIKLLLVGDVYNEESTD KGRMLLDNTALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVNGGKQFRSNLLGTAELT DWLLDAPQLSGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNASTTNRKMWGEQLQKAIS RSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGGKAGNKGAVGIRFQLHS TSFCFVCSHLTAGQSQVKERNEDYREITHKLSFPSGRNIFSHDYVFWCGDFNYRIDLTYE EVFYFVKRQDWKKLMEFDQLQLQKSSGKIFKDFHEGAVNFGPTYKYDVGSAAYDTSDKCR TPAWTDRVLWWRKKHPYDKTAGELNLLDSDLDGDPQIRHTWSPGTLKYYGRAELQASDHR PVLAIVEVEVQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPTLEEKNEFPEDLRTELM QTLGNYGTIILVRINQGQMLVTFADSHSALSVLDVDGMKVKGRAVKIRPKTKDWLEGLRE ELLRKRDSMAPVSPTANSCLLEENFDFSSLDYESEGDVLEEDEDYLVDGFGQPVVSDSEL GGDNSSDTMSSLTPASKSPALAKKKQHPTYKAGLMVKKSASDASISSGTHGQYSILQTAK LLPGAPQQPVSPLWGSQGSGQRFRPAGVVLHPKTMWISVDTRNI >ENSMUSP00000111451.1 pep:known chromosome:GRCm38:17:6007597:6038406:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000115785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MQKLLWASCHAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLHWEDFGVFAKGENVSPR FQKGTLRMNCLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPIIDRFVESFKAMWSLNGH SLSKVFTGSRALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEAIKLLLVGDVYNEESTD KGRMLLDNTALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVNGGKQFRSNLLGTAELT DWLLDAPQLSGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNASTTNRKMWGEQLQKAIS RSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGGKAGNKGAVGIRFQLHS TSFCFVCSHLTAGQSQVKERNEDYREITHKLSFPSGRNIFSHDYVFWCGDFNYRIDLTYE EVFYFVKRQDWKKLMEFDQLQLQKSSGKIFKDFHEGAVNFGPTYKYDVGSAAYDTSDKCR TPAWTDRVLWWRKKHPYDKTAGELNLLDSDLDGDPQIRHTWSPGTLKYYGRAELQASDHR PVLAIVEVEVQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPTLEEKNEFPEDLRTELM QTLGNYGTIILVRINQGQMLVTFADSHSALSVLDVDGMKVKGRAVKIRPKTKDWLEGLRE ELLRKRDSMAPVSPTANSCLLEENFDFSSLDYESEGDVLEEDEDYLVDGFGQPVVSDSEL GGDNSSDTMSSLTPASKSPALAKKKQHPTYKAGLMVKKSASDASISSGTHGQYSILQTAK LLPGAPQQPPKARTGISKPYNVKQIKTTNAQEAEAAIRCLLEASGGVPESAPGAIPLRNQ GSSKPEATLGPPALPRRPAPRVPTMKKPTLRRTGKPMLPEENFEPQPVHFTMASQEMNLE TPPPITATPIPPVPKPRTLQPGKGVEGRPSSGKPEPDEAPSVTGTVESPPPEAQEAPSLA PKVPPRRKKSAPAAFHLQVLQNNSQVLQGLTCSSSSPPSLKPDTHPLCLQVALGTSSARS PETHGPRVTEPEAASFHGNYPDPFWSLLHHPKLLNNTWLSKSSEPLDVGSRNPERTHTEP AQVNASLAERGLPPDHGGKDLSHWVTASNKDKRTTLGV >ENSMUSP00000122316.1 pep:known chromosome:GRCm38:17:6013549:6038373:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000154114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] EFTNFKRIQIAVGTWNVNGGKQFRSNLLGTAELTDWLLDAPQLSGAVDSQDDGSPADVFA IGFEEMVELSAGNIVNASTTNRKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPYH VPFIRDVAIDTVKTGMGGKAGNKGAVGIRFQLHSTSFCFVCSHLTAGQSQVKERNEDYRE ITHKLSFPSGRNIFSHDYVFWCGDFNYRIDLTYEEVFYFVKRQDWKKLMEFDQLQLQKSS GKIFKDFHEGAVNFGPTYKYDVGSAAYDTSDKCRTPAWTDRVLWWRKKHPYDKTAGELNL LDSDLDGDPQIRHTWSPGTLKYYGRAELQASDHRPVLAIVEVEVQEVDVGARERVFQEVS SVQGPLDATVVVNLQSPTLEEKNEFPEDLRTELMQTLGNYGTIILVRINQGQMLVTFADS HSALSVLDVDGMKVKGRAVKIRPKTKDWLEGLREELLRKRDSMAPVSPTANSCLLEENFD FSSLDYESEGDVLEEDEDYLVDGFGQPVVSDSELGGDNSSDTMSSLTPASKSPALAKKKQ HPTYKAGLMVKKSASDASISSGTHGQYSILQTAKLLPGAPQQPPKARTGISKPYNVKQIK TTNAQEAEAAIRCLLEASGGVPESAPGAIPLRNQGSSKPEATLGPPALPRRPAPRVPTMK KPTLRRTGKALWSHHLQRPRRPRLWLPRCLRGGRSLHQRPSTCRSCRTTAKFSRASRAPA VLHPH >ENSMUSP00000115371.1 pep:known chromosome:GRCm38:17:6025076:6037462:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000126881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] AELQASDHRPVLAIVEVEVQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPTLEEKNEF PEDLRTELMQTLGNYGTIILVRINQGQMLVTFADSHSALSVLDVDGMKVKGRAVKIRPKT KDWLEGLREELLRKRDSMAPVSPTANSCLLEENFDFSSLDYESEGDVLEEDEDYLVDGFG QPVVSDSELGGDNSSDTMSSLTPASKSPALAKKKQHPTYKAGLMVKKSASDASISSGTHG QYSILQTAKLLPGAPQQPPKARTGISKPYNVKQIKTTNAQEAEAAIRCLLEASGGVPESA PGAIPLRNQGSSKPEATLGPPALPRRPAPRVPTMKKPTLRRTGKIVFCSNSQASQPCLLL QRHEFVRTVAAQRLTPIDASGSSV >ENSMUSP00000111457.2 pep:known chromosome:GRCm38:17:5941280:6038555:1 gene:ENSMUSG00000023805.16 transcript:ENSMUST00000115791.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2 description:synaptojanin 2 [Source:MGI Symbol;Acc:MGI:1201671] MALSKGLRLLARLDPTGPSSVLLEARGRGDCLLFEAGAVATLAPEEKEVIKGLYSKLTDA YGCLGELRLQSGGVPLSFLVLVTGCMSVGRIPDAEIYKITATELYPLQEEAKEEDRLPTL KKILSSGVFYFAWPNDGACFDLTIRAQKQGDDGSEWGTSFFWNQLLHVPLRQHQVNCHNW LLKVICGVVTIRTVYASHKQAKACLISRISCERAGARFLTRGVNDDGHVSNFVETEQTIY MDDGVSSFVQIRGSVPLFWEQPGLQVGSHHLRLHRGLEANAPAFERHMVLLKEQYGKQVV VNLLGSRGGEEVLNRAFKKLLWASCHAGDTPMINFDFHQFAKGRKLEKLENLLRPQLQLH WEDFGVFAKGENVSPRFQKGTLRMNCLDCLDRTNTVQCFIALEVLHLQLESLGLNSKPII DRFVESFKAMWSLNGHSLSKVFTGSRALEGKAKVGKLKDGARSMSRTIQSNFFDGVKQEA IKLLLVGDVYNEESTDKGRMLLDNTALLATPRILKAMTERQSEFTNFKRIQIAVGTWNVN GGKQFRSNLLGTAELTDWLLDAPQLSGAVDSQDDGSPADVFAIGFEEMVELSAGNIVNAS TTNRKMWGEQLQKAISRSHRYILLTSAQLVGVCLYIFVRPYHVPFIRDVAIDTVKTGMGG KAGNKGAVGIRFQLHSTSFCFVCSHLTAGQSQVKERNEDYREITHKLSFPSGRNIFSHDY VFWCGDFNYRIDLTYEEVFYFVKRQDWKKLMEFDQLQLQKSSGKIFKDFHEGAVNFGPTY KYDVGSAAYDTSDKCRTPAWTDRVLWWRKKHPYDKTAGELNLLDSDLDGDPQIRHTWSPG TLKYYGRAELQASDHRPVLAIVEVEVQEVDVGARERVFQEVSSVQGPLDATVVVNLQSPT LEEKNEFPEDLRTELMQTLGNYGTIILVRINQGQMLVTFADSHSALSVLDVDGMKVKGRA VKIRPKTKDWLEGLREELLRKRDSMAPVSPTANSCLLEENFDFSSLDYESEGDVLEEDED YLVDGFGQPVVSDSELGGDNSSDTMSSLTPASKSPALAKKKQHPTYKDDAHLVTLKQELE VAGNFRHRSPSRSLSVPNRPRPPHPPQRPPPPTGLMVKKSASDASISSGTHGQYSILQTA KLLPGAPQQPPKARTGISKPYNVKQIKTTNAQEAEAAIRCLLEASGGVPESAPGAIPLRN QGSSKPEATLGPPALPRRPAPRVPTMKKPTLRRTGKPMLPEENFEPQPVHFTMASQEMNL ETPPPITATPIPPVPKPRTLQPGKGVEGRPSSGKPEPDEAPSVTGTVESPPPEAQEAPSL APKVPPRRKKSAPAAFHLQVLQNNSQVLQGLTCSSSSPPSLKPDTHPLCLQVALGTSSAR SPETHGPRVTEPEAASFHGNYPDPFWSLLHHPKLLNNTWLSKSSEPLDVGSRNPERTHTE PAQVNASLAERGLPPDHGGKDLSHWVTASNKDKRTTLGV >ENSMUSP00000034513.5 pep:known chromosome:GRCm38:9:43123939:43210369:-1 gene:ENSMUSG00000032015.15 transcript:ENSMUST00000034513.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f3 description:POU domain, class 2, transcription factor 3 [Source:MGI Symbol;Acc:MGI:102565] MSGDVADSTDTRSTFGQVEPGNDRNGLDFNRQIKTEDLGDSLQQTLSHRPCHLSQGPTMM PGNQMSGDMASLHPLQQLVLVPGHLQSVSQFLLSQTPPGQQGLQPNLLSFPQQQSTLLLP QTGPGLASQAVGRPGLSGSSLEPHLDAPQHLPGPKHLPGPGGNDEPTDLEELEKFAKTFK QRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLEKWLNDAESS PSDPSASTPSSYPTLSEVFGRKRKKRTSIETNIRLTLEKRFQDNPKPSSEEISMIAEQLS MEKEVVRVWFCNRRQKEKRINCPVATPVKPPIYNSRLVSPSGSLGPLSVPPVHSTMPGTV TSSCSPGNNSRPSSPGSGLHASSPTASQNNSKAAMNSSSSSSFNSSGSWYRWNHPTYLH >ENSMUSP00000135115.1 pep:known chromosome:GRCm38:9:43124540:43205755:-1 gene:ENSMUSG00000032015.15 transcript:ENSMUST00000176636.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f3 description:POU domain, class 2, transcription factor 3 [Source:MGI Symbol;Acc:MGI:102565] MVNLEPMHTEIKMSGDVADSTDTRSTFGQVEPGNDRNGLDFNRQIKTEDLGDSLQQTLSH RPCHLSQGPTMMPGNQMSGDMASLHPLQQLVLVPGHLQSVSQFLLSQTPPGQQGLQPNLL SFPQQQSTLLLPQTGPGLASQAVGRPGLSGSSLEPHLDAPQHLPGPKHLPGPGGNDEPTD LEELEKFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKP LLEKWLNDAESSPSDPSASTPSSYPTLSEVFGRKRKKRTSIETNIRLTLEKRFQDNPKPS SEEISMIAEQLSMEKEVVRVWFCNRRQKEKRINCPVATPVKPPIYNSRLVSPSGSLGPLS VPPVHSTMPGTVTSSCSPGNNSRPSSPGSGLHASSPTASQNNSKAAMNSSSSSSFNSSGS WYRWNHPTYLH >ENSMUSP00000029871.5 pep:known chromosome:GRCm38:4:11758147:11817895:1 gene:ENSMUSG00000028217.11 transcript:ENSMUST00000029871.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh17 description:cadherin 17 [Source:MGI Symbol;Acc:MGI:1095414] MVSAQLHFLCLLTLYLTCGYGEEGKFSGPLKPMTFSIFEGQEPSQVIFQFKTNPPAVTFE LTGETDGIFKIEKDGLLYHTRALDRETRAVHHLQLAALDSHGAIVDGPVPITIEVKDIND NRPTFLQSKYEGSVRQNSRPGKPFMYVNATDLDDPATPNGQLFYQIVIQLPQINDVMYFQ IDSKTGAISLTPEGSQELDPVKNPSYNLVVSVKDMGGQSENSFSDTTYVDISIRENIWKA PEPVEIRENSTDPHPIKITQVQWNDPGAQYSLVNKEKLSPFPFSIDQEGNIYVTQALDRE EKNSHVFFATAKDENGKPLAYPLEIYVKVIDINDNPPTCLSPVTVFEVQENEPLGNSIGI FEAHDMDEANNINSILKYKLVDQTPKVPSDGLFLIGEYEGKVQLSKQSLKKQDSPQYNLS IEVSDVDFKTLCYIQVNVIDINDQIPIFETSNYGSKTLSEDTAIGSTILIIQATDADEPF TGSSKILYKIVQGDTEGRLEVVTDPTTNAGYVKIKKPLDFETQPVSSIVFQAENPEPLVK GIEYNASSFASFELIVTDVNEVPVFPQRIFQANVSEDAAVGSRVGNVTARDPEGLTVSYS LKGNMRGWLKIDSVTGEIFSAAPLDRETESVYRVQVVATEVGGSSLSSTADFHLVLTDVN DNPPRLAKDYTGLFFCHPLSAPGSLIFEVTDDDQQSLRRPKFTFALGREGLQSDWEVSKI NGTHARLSTRHTRFEEQVYNIPIRINDGGQPPMEGTVFLPVTFCQCVEGSCFRPAGRQDG IPTVGMAVGILLTTFLVIGIILAVVFIRMRKDKVENPQSPENKPLRS >ENSMUSP00000103938.1 pep:known chromosome:GRCm38:4:11758193:11811486:1 gene:ENSMUSG00000028217.11 transcript:ENSMUST00000108303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh17 description:cadherin 17 [Source:MGI Symbol;Acc:MGI:1095414] MVSAQLHFLCLLTLYLTCGYGEEGKFSGPLKPMTFSIFEGQEPSQVIFQFKTNPPAVTFE LTGETDGIFKIEKDGLLYHTRALDRETRAVHHLQLAALDSHGAIVDGPVPITIEVKDIND NRPTFLQSKYEGSVRQNSRPGKPFMYVNATDLDDPATPNGQLFYQIVIQLPQINDVMYFQ IDSKTGAISLTPEGSQELDPVKNPSYNLVVSVKDMGGQSENSFSDTTYVDISIRENIWKA PEPVEIRENSTDPHPIKITQVQWNDPGAQYSLVNKEKLSPFPFSIDQEGNIYVTQALDRE EKNSHVFFATAKDENGKPLAYPLEIYVKVIDINDNPPTCLSPVTVFEVQENEPLGNSIGI FEAHDMDEANNINSILKYKLVDQTPKVPSDGLFLIGEYEGKVQLSKQSLKKQDSPQYNLS IEVSDVDFKTLCYIQVNVIDINDQIPIFETSNYGSKTLSEDTAIGSTILIIQATDADEPF TGSSKILYKIVQGDTEGRLEVVTDPTTNAGYVKIKKPLDFETQPVSSIVFQAENPEPLVK GIEYNASSFASFELIVTDVNEVPVFPQRIFQANVSEDAAVGSRVGNVTARDPEGLTVSYS LKGNMRGWLKIDSVTGEIFSAAPLDRETESVYRVQVVATEVGGSSLSSTADFHLVLTDVN DNPPRLAKDYTGLFFCHPLSAPGSLIFEVTDDDQQSLRRPKFTFALGREGLQSDWEVSKI NGELSNVQ >ENSMUSP00000049175.7 pep:known chromosome:GRCm38:10:81502313:81524225:-1 gene:ENSMUSG00000034792.8 transcript:ENSMUST00000043709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gna15 description:guanine nucleotide binding protein, alpha 15 [Source:MGI Symbol;Acc:MGI:95770] MARSLTWGCCPWCLTEEEKTAARIDQEINRILLEQKKQEREELKLLLLGPGESGKSTFIK QMRIIHGVGYSEEDRRAFRLLIYQNIFVSMQAMIDAMDRLQIPFSRPDSKQHASLVMTQD PYKVSTFEKPYAVAMQYLWRDAGIRACYERRREFHLLDSAVYYLSHLERISEDSYIPTAQ DVLRSRMPTTGINEYCFSVKKTKLRIVDVGGQRSERRKWIHCFENVIALIYLASLSEYDQ CLEENDQENRMEESLALFSTILELPWFKSTSVILFLNKTDILEDKIHTSHLATYFPSFQG PRRDAEAAKSFILDMYARVYASCAEPQDGGRKGSRARRFFAHFTCATDTQSVRSVFKDVR DSVLARYLDEINLL >ENSMUSP00000089198.4 pep:known chromosome:GRCm38:13:54592401:54611344:-1 gene:ENSMUSG00000047547.14 transcript:ENSMUST00000091609.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cltb description:clathrin, light polypeptide (Lcb) [Source:MGI Symbol;Acc:MGI:1921575] MAEDFGFFSSSESGAPEAAEEDPAAAFLAQQESEIAGIENDPGFGAPAASQVASAQPGLA SGAGSEDMSTTVNGDVFQEANGPADGYAAIAQADRLTQEPESIRKWREEQKKRLQELDAA SKVTEQEWREKAKKDLEEWNQRQSEQVEKNKINNRASEEAFVKESKEETPGTEWEKVAQL CDFNPKSSKQCKDVSRLRSVLMSLKQTPLSR >ENSMUSP00000122336.1 pep:known chromosome:GRCm38:13:54593538:54599161:-1 gene:ENSMUSG00000047547.14 transcript:ENSMUST00000140142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cltb description:clathrin, light polypeptide (Lcb) [Source:MGI Symbol;Acc:MGI:1921575] EANGPADGYAAIAQADRLTQEPESIRKWREEQKKRLQELDAASKVTEQEWREKAKKDLEE WNQRQSEQVEKNKINNSKHHCPARCPSRERPRSTWALLGQRSSCFRQSGTSSGSCHTQPV LFL >ENSMUSP00000053371.7 pep:known chromosome:GRCm38:13:54593585:54611292:-1 gene:ENSMUSG00000047547.14 transcript:ENSMUST00000049575.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cltb description:clathrin, light polypeptide (Lcb) [Source:MGI Symbol;Acc:MGI:1921575] MAEDFGFFSSSESGAPEAAEEDPAAAFLAQQESEIAGIENDPGFGAPAASQVASAQPGLA SGAGSEDMSTTVNGDVFQEANGPADGYAAIAQADRLTQEPESIRKWREEQKKRLQELDAA SKVTEQEWREKAKKDLEEWNQRQSEQVEKNKINNRIADKAFYQQPDADTIGYVASEEAFV KESKEETPGTEWEKVAQLCDFNPKSSKQCKDVSRLRSVLMSLKQTPLSR >ENSMUSP00000104703.1 pep:known chromosome:GRCm38:2:174415804:174427502:1 gene:ENSMUSG00000016253.12 transcript:ENSMUST00000109075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfcd description:negative elongation factor complex member C/D, Th1l [Source:MGI Symbol;Acc:MGI:1926424] MAGPAPGTIMGEDYFGNASEWGEEADGGQHQEDDSGEGEDDAEVQQECLHKFSTRDYIME PSIFNTLKRYFQAGGSPENVIQLLSENYTAVAQTVNLLAEWLIQTGVEPVQVQETVENHL KSLLIKHFDPRKADSIFTEEGETPAWLEQMIAHTTWRDLFYKLAEAHPDCLMLNFTVKLI SDAGYQGEITSVSTACQQLEVFSRVLRTSLATILDGGEENLEKNLPEFAKMVCHGEHTYL FAQAMMSVLAQEEQGGSAVRRVAQEVQRFAQEKGHDASQITLALGTAASYPRACQALGAM LSRGALNPADITVLFKMFTSMDPPPVELIRVPAFLDLFMQSLFKPGAKINQDHKHKYIHI LAYAASVVETWKKNKRVSIGKDELKSTSKAIETVHNLCCNENKGASELVAELSTLYQCIR FPVVAMGVLKWVDWTVSEPRYFQLQTDHTPVHLALLDEISTCHQLLHPQVLQLLVKLFET EHSQLDVMEQLELKKTLLDRMVHLLSRGYVLPVVSYIRKCLEKLDTDISLIRYFVTEVLD VIAPPYTSDFVQLFLPILENDSIAGTIKAEGEHDPVTEFIAHCKSNFIVVN >ENSMUSP00000016397.6 pep:known chromosome:GRCm38:2:174415851:174427502:1 gene:ENSMUSG00000016253.12 transcript:ENSMUST00000016397.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfcd description:negative elongation factor complex member C/D, Th1l [Source:MGI Symbol;Acc:MGI:1926424] MAGPAPGTIMGEDYFGNASEWGEEADGGQHQEDDSGEGEDDAEVQQECLHKFSTRDYIME PSIFNTLKRYFQAGGSPENVIQLLSENYTAVAQTVNLLAEWLIQTGVEPVQVQETVENHL KSLLIKHFDPRKADSIFTEEGETPAWLEQMIAHTTWRDLFYKLAEAHPDCLMLNFTVKVA GAPGADPWLCVVWQLISDAGYQGEITSVSTACQQLEVFSRVLRTSLATILDGGEENLEKN LPEFAKMVCHGEHTYLFAQAMMSVLAQEEQGGSAVRRVAQEVQRFAQEKGHDASQITLAL GTAASYPRACQALGAMLSRGALNPADITVLFKMFTSMDPPPVELIRVPAFLDLFMQSLFK PGAKINQDHKHKYIHILAYAASVVETWKKNKRVSIGKDELKSTSKAIETVHNLCCNENKG ASELVAELSTLYQCIRFPVVAMGVLKWVDWTVSEPRYFQLQTDHTPVHLALLDEISTCHQ LLHPQVLQLLVKLFETEHSQLDVMEQLELKKTLLDRMVHLLSRGYVLPVVSYIRKCLEKL DTDISLIRYFVTEVLDVIAPPYTSDFVQLFLPILENDSIAGTIKAEGEHDPVTEFIAHCK SNFIVVN >ENSMUSP00000039804.5 pep:known chromosome:GRCm38:5:35502218:35525698:-1 gene:ENSMUSG00000036596.6 transcript:ENSMUST00000038676.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpz description:carboxypeptidase Z [Source:MGI Symbol;Acc:MGI:88487] MPTMPLLLAALAALAVLALAARPSPSCSPGPDPSGKCQRLVYTHSATCVDLHLRTCADAA YNHTSFPTPLEHRSWEAVESSPEYMLLGVIHFLLEGQCNPDLRLLGCSVLAPRCEGGHTQ RPCRHVCEGLREACQPAFDAIDMAWPYFLDCAQYFAPEEEGCYDPLEELRGELDVEEALP SGLPPTFIRFAHHSYAQMVRVLKRTAARCSQVAKTYSIGRSFEGKDLVVIEFSSRPGQHE LMEPEVKLIGNIHGNEVAGREVLIYLAQYLCSEYLLGNPRIQRLLNTTRIHLLPSMNPDG YEVAAAEGAGYNGWTSGRQNAQNLDLNRNFPDLTSEYYRLASTRGVRTDHIPISQYYWWG KVAPETKAIMKWIQTIPFVLSASLHGGDLVVSYPFDFSKNPHEKKMFSPTPDEKMFKLLA RAYADVHPMMMDRSENRCGGNFLKRGSIINGADWYSFTGGMSDFNYLHTNCFEITVELGC VKFPPEEALYGLWQQNKEPLLNFLEMVHRGIKGMVTDKYGKPVKNARILVKGIRHDVTTA PDGDYWRLLPPGSHIVIAQAPGYSKVMKRVTIPLRMKKAGRVDFILHPLATGPKNFLPGP SRALPRFQDPQREPTQMDFEPPRARRQPASGSKPWWWAYFTSLSPYKPRWLLKY >ENSMUSP00000123537.1 pep:known chromosome:GRCm38:5:35517565:35525571:-1 gene:ENSMUSG00000036596.6 transcript:ENSMUST00000132959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpz description:carboxypeptidase Z [Source:MGI Symbol;Acc:MGI:88487] MPTMPLLLAALAALAVLALAARPSPSCSPGPDPSATCVDLHLRTCADAAYNHTSFPTPLE HRSWEAVESSPEYMLLGVIHFLLEGQCNPDLRLLGCSVLAPR >ENSMUSP00000028844.4 pep:known chromosome:GRCm38:2:126890391:126933235:-1 gene:ENSMUSG00000027366.12 transcript:ENSMUST00000028844.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sppl2a description:signal peptide peptidase like 2A [Source:MGI Symbol;Acc:MGI:1913802] MGLLHSLHAPAAALLWSCLLGLAAAQEAILHASTNGVSSLSKDYCMYYNNNWTRLPSSLE NATSLSLMNLTGTALCHLSDIPPDGIRNKAVVVHWGPCHFLEKARIAQEGGAAALLIANN SVLIPSSRNKSTFQNVTVLIAVITQKDFKDMKETLGDDITVKMYSPSWPNFDYTLVVIFV IAVFTVALGGYWSGLIELENMKSVEDAEDRETRKKKDDYLTFSPLTVVVFVVICCIMIVL LYFFYRWLVYVMIAIFCIASSMSLYNCLSALIHRMPCGQCTILCCGKNIKVSLIFLSGLC ISVAVVWAVFRNEDRWAWILQDILGIAFCLNLIKTMKLPNFMSCVILLGLLLIYDVFFVF ITPFITKNGESIMVELAAGPFENAEKLPVVIRVPKLMGYSVMSVCSVPVSVLGFGDIIVP GLLIAYCRRFDVQTGSSIYYISSTIAYAVGMIITFVVLMVMKTGQPALLYLVPCTLITVS VVAWSRKEMKKFWKGSSYQVMDHLDYSTNEENPVTTDEQIVQQ >ENSMUSP00000119064.1 pep:known chromosome:GRCm38:2:126894264:126920345:-1 gene:ENSMUSG00000027366.12 transcript:ENSMUST00000143700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sppl2a description:signal peptide peptidase like 2A [Source:MGI Symbol;Acc:MGI:1913802] XHRMPCGQCTILCCGKNIKVSLIFLSGLCISVAVVWAVFRNEDRWAWILQDILGIAFCLN LIKTMKLPNFMSCVILLGLLLIYDVFFVFITPFITKNGESIMVELAAGPFENAEKNDGNF VEATALHTAPHEKLPVVIRVPKLMGYSVMSVCSVPVSVLGFGDIIVPGLLIAYCRRFDVQ TGSSIYYISSTIAYAVGMIITFVVLMVMKTGQPALLYLVPCTLITVSVVAWSRKEMKKFW KGSSYQVMDHLDYS >ENSMUSP00000145796.1 pep:known chromosome:GRCm38:15:98431674:98437915:1 gene:ENSMUSG00000063780.5 transcript:ENSMUST00000205491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr282 description:olfactory receptor 282 [Source:MGI Symbol;Acc:MGI:3030116] MAYDRYAAICHPLLYGQVMRNELCVRLVVIS >ENSMUSP00000075248.3 pep:known chromosome:GRCm38:15:98437470:98438397:1 gene:ENSMUSG00000063780.5 transcript:ENSMUST00000075851.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr282 description:olfactory receptor 282 [Source:MGI Symbol;Acc:MGI:3030116] MAWSNHSVITEFVLTGLSDDPLIPALLFALFLGIYVLTMTGNLTMLLVITADSHLHTPMY FFLSNLSFVDLCFSSVTIPKLLKDLLSAKKTISIEGCLAQVFFVFFSSGTEACLLSVMAY DRYAAICHPLLYGQVMRNELCVRLVVISWGVASLNATIIVLLAVNLDFCGAQTIHHYTCE LPALFPLSCSDISITVVVLLCSSLLHGLGTFIPIFFSYARIVSAILSISSTTGRSKAFST CSSHLAAVTLFFGSGFLCYLMPPSGSSLDLLLSLQYSAVTPMLNPLIYSLKNQEVKAAVQ RTLRKYLL >ENSMUSP00000118070.1 pep:known chromosome:GRCm38:8:75213944:75224113:1 gene:ENSMUSG00000034472.13 transcript:ENSMUST00000139848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasd2 description:RASD family, member 2 [Source:MGI Symbol;Acc:MGI:1922391] MMKTLSSGNCTLNVPAKNSYRMVVLGASRVGKSSIVSRFLNGRFEDQYTPTIEDFHRKVY NIHGDMYQLDILDTSGNHPFPAMRRLSILTGDVFILVFSLDSRESFDEVKRLQKQILEVK SCLKNKTKEAAELPMVICGNKNDHSELCRQVPAMEAELLVSGDENCAYFEVSAKKNTNVN EMFYVLFSMAKLPHEMSPALHHKISVQYGDAFHPRPFCMRRTKVAGAYGMVSPFARRPSV NSDLKYIKAKVLREGQARERDKCSIQ >ENSMUSP00000120717.1 pep:known chromosome:GRCm38:8:75214502:75222371:1 gene:ENSMUSG00000034472.13 transcript:ENSMUST00000132133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasd2 description:RASD family, member 2 [Source:MGI Symbol;Acc:MGI:1922391] MMKTLSSGNCTLNVPAKNSYRMVVLGASRVGKSSIVSRFLNGRFEDQYTPTIEDFHRKVY NIHGDMYQLDILDTSGNHPFPAMRRLSILTGDVFILVFSLDSRESFDEVKRLQKQILEVK SCLKNKTKEAAELPMVICGNKNDHSELCRQVPAMEAELLVSGDENCAYFEVSAKKNTNVN EMFYVLFSMAKLPHEMSPALHHKISVQYGDAFHPRPFCMRRTKVAGAYGMVSPFARRPSV NSDLKYIKAKVLREGQARERDKCSIQ >ENSMUSP00000065146.3 pep:known chromosome:GRCm38:6:34029448:34134034:1 gene:ENSMUSG00000056215.12 transcript:ENSMUST00000070189.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrguk description:leucine-rich repeats and guanylate kinase domain containing [Source:MGI Symbol;Acc:MGI:1921604] MAAFERNPSRWKGTRFRRGLGASRIAAQAILSLTEKQSQGRWPSFPLGLKSKGTFRSASS YLLHQLIHRSHEAEAEQEEKQQEDGESEESEESEMQNLEDKYDGILREETVAEAITGLGW SGRGTEQVYLNLNLSHCELVDISILCGYVHLQKLNLSGNRIEDLSCVSCMPYLLELNASQ NKLTTFFNFKPPQNLKKVDFSSNLISEMYDLSAYHTLTQLILDNNEIEEITGLENCISLT HLSLAGNKITTIKGLGTLPIKVLSLSNNMIETITGLEELKALQNLDLSHNQISSLQGLEN HDLLEVINLEDNKIKELSEIEYIENLPILRVLNLLRNPIQTKPEYWFFVIYMLLRLTELD QQKIKVEEKVFAVNKYDPPPEVVAVQDHMTHVVNSMSQPQRIWDSTLPSLDAPYPMLILT GPAACGKRELAHRLCRQFSTYFRYGACHTTRPPYFGEGDRVDYHFISQEVFDEMLNMGKF ILTFNYGNHNYGLNRDTIEGIARDGLASCIHMELEGVRSLKYSYFEPRYILVVPMDKEKY EGYLRRKGLFSRAEIEIAVSRVDLYVKVNQKYPGYFDAVINADDMDIAYQKLSELIREYL GLTETAAKTLAPTADTKTSYLKCEDYSRKSSTVEFLDSTDRNYFTKLWAKLSSKKSPVER ESLHRQHEAARQALMGKTPRDHTLLFQRGPVPIPTVSGQQYFATIDELQKTFELSDDLFK TPSGTYPETSKDSNISKRYSTYFHTCPWSKELPFQLPEGGISSRPGSAGSDEVDGALKAL RVASSMQEKVAQHKRLSAITIMDPGSNTKPTLPPIPHGRR >ENSMUSP00000099100.2 pep:known chromosome:GRCm38:6:34029450:34047378:1 gene:ENSMUSG00000056215.12 transcript:ENSMUST00000101564.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrguk description:leucine-rich repeats and guanylate kinase domain containing [Source:MGI Symbol;Acc:MGI:1921604] MAAFERNPSRWKGTRFRRGLGASRIAAQAILSLTEKQSQGRWPSFPLGLKSKGTFRSASS YLLHQLIHRSHEAEAEQEEKQQEDGESEESEESEMQNLEDKYDGILREETVAEAITGLGW SGRGTEQVYLNLNLSHCELVDISILCGYVHLQKLNLSGNRIEDLSCVSCMPYLLELNASQ NKLTTFFNFKPPQNLKVYLSVH >ENSMUSP00000117680.1 pep:known chromosome:GRCm38:6:34039308:34047393:1 gene:ENSMUSG00000056215.12 transcript:ENSMUST00000141078.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrguk description:leucine-rich repeats and guanylate kinase domain containing [Source:MGI Symbol;Acc:MGI:1921604] HCELVDISILCGYVHLQKLNLSGNRIEDLSCVSCMPYLLELNASQNKLTTFFNFKPPQNL KLRACGYWPICG >ENSMUSP00000112578.2 pep:known chromosome:GRCm38:17:27422387:27503304:-1 gene:ENSMUSG00000063239.16 transcript:ENSMUST00000118489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm4 description:glutamate receptor, metabotropic 4 [Source:MGI Symbol;Acc:MGI:1351341] MSGKGGWAWWWARLPLCLLLSLYGSWVPSSLGKPKGHPHMNSIRIDGDITLGGLFPVHGR GSEGKACGELKKEKGIHRLEAMLFALDRINNDPDLLPNITLGARILDTCSRDTHALEQSL TFVQALIEKDGTEVRCGSGGPPIITKPERVVGVIGASGSSVSIMVANILRLFKIPQISYA STAPDLSDNSRYDFFSRVVPSDTYQAQAMVDIVRALKWNYVSTLASEGSYGESGVEAFIQ KSRENGGVCIAQSVKIPREPKTGEFDKIIKRLLETSNARAIIIFANEDDIRRVLEAARRA NQTGHFFWMGSDSWGSKSAPVLRLEEVAEGAVTILPKRTSVRGFDRYFSSRTLDNNRRNI WFAEFWEDNFHCKLSRHALKKGSHIKKCTNRERIGQDSAYEQEGKVQFVIDAVYAMGHAL HAMHRDLCPGRVGLCPRMDPVDGTQLLKYIRNVNFSGIAGNPVTFNENGDAPGRYDIYQY QRRNGSAEYKVIGSWTDHLHLRIERMQWPGSGQQLPRSICSLPCQPGERKKTVKGMACCW HCEPCTGYQYQVDRYTCKTCPYDMRPTENRTSCQPIPIVKLEWDSPWAVLPLFLAVVGIA ATLFVVVTFVRYNDTPIVKASGRELSYVLLAGIFLCYATTFLMIAEPDLGTCSLRRIFLG LGMSISYAALLTKTNRIYRIFEQGKRSVSAPRFISPASQLAITFVLISLQLLGICVWFVV DPSHSVVDFQDQRTLDPRFARGVLKCDISDLSLICLLGYSMLLMVTCTVYAIKTRGVPET FNEAKPIGFTMYTTCIVWLAFIPIFFGTSQSADKVTSEALPVEFSPPLLAHN >ENSMUSP00000113819.1 pep:known chromosome:GRCm38:17:27425904:27513341:-1 gene:ENSMUSG00000063239.16 transcript:ENSMUST00000118161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm4 description:glutamate receptor, metabotropic 4 [Source:MGI Symbol;Acc:MGI:1351341] MSGKGGWAWWWARLPLCLLLSLYGSWVPSSLGKPKGHPHMNSIRIDGDITLGGLFPVHGR GSEGKACGELKKEKGIHRLEAMLFALDRINNDPDLLPNITLGARILDTCSRDTHALEQSL TFVQALIEKDGTEVRCGSGGPPIITKPERVVGVIGASGSSVSIMVANILRLFKIPQISYA STAPDLSDNSRYDFFSRVVPSDTYQAQAMVDIVRALKWNYVSTLASEGSYGESGVEAFIQ KSRENGGVCIAQSVKIPREPKTGEFDKIIKRLLETSNARAIIIFANEDDIRRVLEAARRA NQTGHFFWMGSDSWGSKSAPVLRLEEVAEGAVTILPKRTSVRGFDRYFSSRTLDNNRRNI WFAEFWEDNFHCKLSRHALKKGSHIKKCTNRERIGQDSAYEQEGKVQFVIDAVYAMGHAL HAMHRDLCPGRVGLCPRMDPVDGTQLLKYIRNVNFSGIAGNPVTFNENGDAPGRYDIYQY QRRNGSAEYKVIGSWTDHLHLRIERMQWPGSGQQLPRSICSLPCQPGERKKTVKGMACCW HCEPCTGYQYQVDRYTCKTCPYDMRPTENRTSCQPIPIVKLEWDSPWAVLPLFLAVVGIA ATLFVVVTFVRYNDTPIVKASGRELSYVLLAGIFLCYATTFLMIAEPDLGTCSLRRIFLG LGMSISYAALLTKTNRIYRIFEQGKRSVSAPRFISPASQLAITFVLISLQLLGICVWFVV DPSHSVVDFQDQRTLDPRFARGVLKCDISDLSLICLLGYSMLLMVTCTVYAIKTRGVPET FNEAKPIGFTMYTTCIVWLAFIPIFFGTSQSADKLYIQTTTLTVSVSLSASVSLGMLYMP KVYIILFHPEQNVPKRKRSLKAVVTAATMSNKFTQKGNFRPNGEAKSELCENLEAPALAT KQTYVTYTNHAI >ENSMUSP00000071641.3 pep:known chromosome:GRCm38:9:20282351:20286648:1 gene:ENSMUSG00000059623.4 transcript:ENSMUST00000071725.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr39 description:olfactory receptor 39 [Source:MGI Symbol;Acc:MGI:1313142] MQRREASGMGKENHTELSQFLLLGLSDDPKLQPILFGIFLFMYLVTVLGNLLIILAVSSD SHLHNPMYFFLSNLSFVDMCFTSTTVPKMLVNIQTKNKNISYMQCLTQVYFFMVFAGMDN FLLTVMAFDRFVAICHPLNYTVIMNPHFCCFLVLMCWIIILSVSLFHSLLMKQLTFSMGT EIPHFFCELAQILRVASSDILINNIALYVATALLCVFPVTGILFSYSQIVSSLLNMSSVV SKYRAFSTCGSHLCVVCLFYGTALGVYLSSAGTDVSQGSTIASVMYTVVTPMLNPFIYSL RNKDVKGALVRILKVYSCP >ENSMUSP00000097482.1 pep:known chromosome:GRCm38:2:86275559:86276485:-1 gene:ENSMUSG00000075193.1 transcript:ENSMUST00000099898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1051 description:olfactory receptor 1051 [Source:MGI Symbol;Acc:MGI:3030885] MGQPNITMPTEFILMGVTQSAELKLPLFAVFLAIYAITVVGNLGMIILTKLDSRLQTPMY FFIRHLAFIDLGNSTAICPKMLVNFVVDKNTITYYACATQMACFILFIVSELSILSSMAY DRYVAICNPLLYSAIMSQRRCQVLIGIPYLYSIFQALLFPIRYFTLSFCGANVISHFYCD VVPLLPLICSHVEETELLTILFSAFNLISSLVVVLLSYMLILLTIFRMRSAEGRKKAFST CGSHLTVVVVFYGSLLFMYVQPKSAHSFEYDKAASVFYTLVIPMLNPLIYSLRNKEVKNA FHRVFKNL >ENSMUSP00000027493.3 pep:known chromosome:GRCm38:1:93308778:93342789:-1 gene:ENSMUSG00000026274.11 transcript:ENSMUST00000027493.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pask description:PAS domain containing serine/threonine kinase [Source:MGI Symbol;Acc:MGI:2155936] MEDRGPPVFAEDWKCLSESPPVQEGPAAQATFEPSKPLSIAHKHLSRKNGLSRLCQSRMA LSEDRWSSYCLSSLAAQNICTSKLHCAAAPEYADPTAGPLGSTSCCSLLRGLASGCSGSL LSTPVCNPNKAVFTVDAKTTEILVANDKACSLLGYSSHDLIGQKLAQFFLKSDSEVVEAL SEEHVEADGHAAVVFGTVVDIVSRIGEKIPVSVWIKRLQQDRGLCCVVVLEPVERVSAWV AFQSDGTITSCDSLFAHLHGFTSPKDVVGQCVIDLIPSMQLPPPGQHIPKSLKIQRSVGR ARDGTTFPLSLKLKSKPSGRAVADSEAASEPGYQASVWVFCTISGLITLLPDGTIYGVNH SFALMLFGYGKTELLGKNITFLIPGFYHYMDLTYDSSVQLPDLVNCLDIGRKSGPGEMNS DAQHNWELASGAQGPRIDVVLARDHMPSQDETLKLVGGQVSSRTQTRLETGYKILPSSAC QPSLGVDSNPEDGEQSLLTDQQSIPKRNLPAHGGQNQLDTSEISLPVLKEHLLSEIQKNI SEESPLTHRKWLSKVQQNPTKGSLPIHEEQLLFAGQHIHVLGKEDPSAAESYRESLLEES KSKPVDAKLFASCEDSEPLVSVKDRGSSVDTCNLHQEAQLELMGVSSPNPWADATMPEPH TTGQIAGGSLTYCPQYRSEWASQQRGQDSAPSPSGMACVLLGTPTLDEPWPGVRNDREEL QTCLIKEQLSKSSCEGNLGISRVELVPEEHPPFTAPVSFCDLGGRDLHASRSGSSSACYA LATDLPGVLEAVEAQEADVNSYSWNLKELFLKDQTDRTPSHCSCTTSELSEAPSLSVVGS DLDVGILHRQTSDILVDREMLLLTGTYFDLSEGQRFQEMGAGHDRAELSNISLVSSEHYE TSDIESPGCDPPLPDPGPNDMCLSAEKPRPSAQITSTPVARGATSLQQEIQEGIYSGSCY HRDGLQLSIQFEVKRVELQGSATLFCCWLVKDLFHSHRDSATRTRLFLASLPSSTHSMPE LSGSSFGEVLRAKPWFEESPTPAELEGLAACEGEYDYKYNTISPLGSGAFGFVWTAVEKE CNKEVVVKFIKKEKVLEDCWIEDPKLGRVTLEIAILSKVDHANIIKVLDIFENQEFFQLV MEKHGSGMDLFAFIDHHPCLDEPLASFIFRQLVSAVGYLHSQGIIHRDIKDENIVIAEDF TIKLIDFGSAAYLERGKLFYTFCGTIEYCAPEVLIGNPYRGPELEMWSLGVTLYTLIFEE NPFCEVEETMEAVIHPPFLVSQELMSLLSGLLQPCPEQRTTLEKLIRDPWVTQPVNLASY TWEEVCRTNQPESGLLSAASLEIGSRSPSEMAQREGLCGPPAPRETRGDQHCLHLKDPSL PVS >ENSMUSP00000120104.1 pep:known chromosome:GRCm38:1:93337449:93343482:-1 gene:ENSMUSG00000026274.11 transcript:ENSMUST00000128253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pask description:PAS domain containing serine/threonine kinase [Source:MGI Symbol;Acc:MGI:2155936] MEDRGPPVFAEDWKCLSESPPVQEGPAA >ENSMUSP00000047030.2 pep:known chromosome:GRCm38:2:131853678:131859747:-1 gene:ENSMUSG00000037482.2 transcript:ENSMUST00000040941.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erv3 description:endogenous retroviral sequence 3 [Source:MGI Symbol;Acc:MGI:1919245] MGFNLRMLFCLAIFPYVLSSNLISKPQGSQGPKQTRPSPKAWKFQVIAIENQNKTIASTF CLVTGCASTISLTFDIRDTCQGKCIHEPYNAMTSPIYICFVYEQTRSDCKDPNYGGCPHW GCKYHSTWPRWGGERPSRLNSVDWHTKVMYSIPDPWNDRWRIGVEGHIYRHNERDTGYDK KTKILIFRGLDRFPSPNSSG >ENSMUSP00000017637.6 pep:known chromosome:GRCm38:11:99041244:99054392:1 gene:ENSMUSG00000017493.12 transcript:ENSMUST00000017637.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp4 description:insulin-like growth factor binding protein 4 [Source:MGI Symbol;Acc:MGI:96439] MLPFGLVAALLLAAGPRPSLGDEAIHCPPCSEEKLARCRPPVGCEELVREPGCGCCATCA LGLGMPCGVYTPRCGSGMRCYPPRGVEKPLRTLMHGQGVCTELSEIEAIQESLQTSDKDE SEHPNNSFNPCSAHDHRCLQKHMAKIRDRSKMKIVGTPREEPRPVPQGSCQSELHRALER LAASQSRTHEDLFIIPIPNCDRNGNFHPKQCHPALDGQRGKCWCVDRKTGVKLPGGLEPK GELDCHQLADSFQE >ENSMUSP00000135112.1 pep:known chromosome:GRCm38:11:99041760:99051701:1 gene:ENSMUSG00000017493.12 transcript:ENSMUST00000177092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp4 description:insulin-like growth factor binding protein 4 [Source:MGI Symbol;Acc:MGI:96439] XPLRTLMHGQGVCTELSEIEAIQESLQTSDKDESEHPNNSFNPCSAHDHRCLQKHMAKIR DRSKMKIVGTPREEPRPVCHPALDGQRGKCWCVDRKTGVKLPGGLEPKGELDCHQLADSF QE >ENSMUSP00000135727.1 pep:known chromosome:GRCm38:11:99047311:99051812:1 gene:ENSMUSG00000017493.12 transcript:ENSMUST00000140772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp4 description:insulin-like growth factor binding protein 4 [Source:MGI Symbol;Acc:MGI:96439] MCFREASQPLSPAQPCVLALASKNKDESEHPNNSFNPCSAHDHRCLQKHMAKIRDRSKMK IVGTPREEPRPVPQGSCQSELHRALERLAASQSRTHEDLFIIPIPNCDRNGNFHPKQCHP ALDGQRGKCWCVDRKTGVKLPGGLEPKGELDCHQLADSFQE >ENSMUSP00000109372.1 pep:known chromosome:GRCm38:16:97741933:97763491:-1 gene:ENSMUSG00000005251.14 transcript:ENSMUST00000113743.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripk4 description:receptor-interacting serine-threonine kinase 4 [Source:MGI Symbol;Acc:MGI:1919638] MELLEEAKKMEMAKFRYILPVYGICQEPVGLVMEYMETGSLEKLLASEPLPWDLRFRIVH ETAVGMNFLHCMSPPLLHLDLKPANILLDAHYHVKISDFGLAKCNGMSHSHDLSMDGLFG TIAYLPPERIREKSRLFDTKHDVYSFAIVIWGVLTQKKPFADEKNILHIMMKVVKGHRPE LPPICRPRPRACASLIGLMQRCWHADPQVRPTFQEITSETEDLCEKPDEEVKDLAHEPGE KSSLESKSEARPESSRLKRASAPPFDNDCSLSELLSQLDSGISQTLEGPEELSRSSSECK LPSSSSGKRLSGVSSVDSAFSSRGSLSLSFEREASTGDLGPTDIQKKKLVDAIISGDTSR LMKILQPQDVDLVLDSSASLLHLAVEAGQEECVKWLLLNNANPNLTNRKGSTPLHMAVER KGRGIVELLLARKTSVNAKDEDQWTALHFAAQNGDEASTRLLLEKNASVNEVDFEGRTPM HVACQHGQENIVRTLLRRGVDVGLQGKDAWLPLHYAAWQGHLPIVKLLAKQPGVSVNAQT LDGRTPLHLAAQRGHYRVARILIDLCSDVNICSLQAQTPLHVAAETGHTSTARLLLHRGA GKEALTSEGYTALHLAAQNGHLATVKLLIEEKADVMARGPLNQTALHLAAARGHSEVVEE LVSADLIDLSDEQGLSALHLAAQGRHSQTVETLLKHGAHINLQSLKFQGGQSSAATLLRR SKT >ENSMUSP00000019386.8 pep:known chromosome:GRCm38:16:97741933:97763737:-1 gene:ENSMUSG00000005251.14 transcript:ENSMUST00000019386.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripk4 description:receptor-interacting serine-threonine kinase 4 [Source:MGI Symbol;Acc:MGI:1919638] MEGEGRGRWALGLLRTFDAGEFAGWEKVGSGGFGQVYKVRHVHWKTWLAIKCSPSLHVDD RERMELLEEAKKMEMAKFRYILPVYGICQEPVGLVMEYMETGSLEKLLASEPLPWDLRFR IVHETAVGMNFLHCMSPPLLHLDLKPANILLDAHYHVKISDFGLAKCNGMSHSHDLSMDG LFGTIAYLPPERIREKSRLFDTKHDVYSFAIVIWGVLTQKKPFADEKNILHIMMKVVKGH RPELPPICRPRPRACASLIGLMQRCWHADPQVRPTFQEITSETEDLCEKPDEEVKDLAHE PGEKSSLESKSEARPESSRLKRASAPPFDNDCSLSELLSQLDSGISQTLEGPEELSRSSS ECKLPSSSSGKRLSGVSSVDSAFSSRGSLSLSFEREASTGDLGPTDIQKKKLVDAIISGD TSRLMKILQPQDVDLVLDSSASLLHLAVEAGQEECVKWLLLNNANPNLTNRKGSTPLHMA VERKGRGIVELLLARKTSVNAKDEDQWTALHFAAQNGDEASTRLLLEKNASVNEVDFEGR TPMHVACQHGQENIVRTLLRRGVDVGLQGKDAWLPLHYAAWQGHLPIVKLLAKQPGVSVN AQTLDGRTPLHLAAQRGHYRVARILIDLCSDVNICSLQAQTPLHVAAETGHTSTARLLLH RGAGKEALTSEGYTALHLAAQNGHLATVKLLIEEKADVMARGPLNQTALHLAAARGHSEV VEELVSADLIDLSDEQGLSALHLAAQGRHSQTVETLLKHGAHINLQSLKFQGGQSSAATL LRRSKT >ENSMUSP00000126885.1 pep:known chromosome:GRCm38:17:23459675:23479597:-1 gene:ENSMUSG00000091407.1 transcript:ENSMUST00000171996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r117 description:vomeronasal 2, receptor 117 [Source:MGI Symbol;Acc:MGI:3648766] MFTLIFLFWLLNIPLLVSDFIYPRCFWKIKQNEDKNRNQGTECTFMIHAVQRPVEKEYFS HILNIQTHTENHKYALALAFSIYEINRNPDILPNMSLTFKFSNYNCHWKSKYNSFIHLSL QNHDILPNYMCKEFTKCAMALTRLNWATTVKLNTILNNFMSQQFLQITYGPFHPVLSDHE KFPYLYQMASDHTSLALALVSFIIHFSWNWVGLVISDNDEDIQFLSYLRREMEKNTVCFA FVNMIPMSMNLYMSRAEVYYNQIMTSSTNVVIIYGDTDSTLAVSFRMWESLGIQRLWITT SQWDVSPSMKDFTFGNEYGTFAFGQHHSEISGFKHFVQTLNSVKCPDEYLVKLEWMHFNC EVSASKCKTLKNCSSNHSLEWLMVHTFDMAFIEGSYDIYNAVYAFAHALHQIAFQKLDNL PKDNGKEHNYSCKKLYSFLRKTQFTNPVGDRVNMNRRNKLQEEYDIFYIWNFPQGLGLRV KIGMFSPYFPNGQQVHLSEDMIEWAKGSAQVPTSVCSAECGPGSRKFRMDGMAACCFHCK PCPENEISNETNVDYCVQCLEDQYANTEQNQCIRKTVVFLSYEEPLGMALSLLSLCFSAF TTVVLGVMVKHHSTPIVKANNRTLTYILLISLIFCFLCPLLFIGHPNAATCILQQITFGV VFTVSVSTVLAKTITVVLAFKITASQRMMKYFLVSGAINYIIPICILIQVIVCAVWLRAS PPSVDIDAHSEHGQIIIVCHKGSVNAFYCVLGYLATLAIGSFTLAFFSRNLPGAFNEAKS ITFSMLVFCSVWVTFIPVYYSTKGKVMVAVEIFSILASSAGMLGCIFVPKCYTILFRPDQ NSLEMIRVKSSSNAHVS >ENSMUSP00000071840.3 pep:known chromosome:GRCm38:5:36747378:36748650:-1 gene:ENSMUSG00000060708.4 transcript:ENSMUST00000071949.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bloc1s4 description:biogenesis of lysosomal organelles complex-1, subunit 4, cappuccino [Source:MGI Symbol;Acc:MGI:1929230] MEEGPAVGTLSREVSTEEAEPLGAAWSGDSGHVSQSHSSASGPWDDDGPEDAPGRDLPLL RRAASGYASSLLPSAGPRPEVEALDASLEELLAKVDEFVGMLDMIRGDSSHVVGEGVPRI HAKAAEMRRIYGRIDKLEAFVRMIGSSVARMEEQVAKAEAELGTFPRAFRRLLHTISVPA LFRSAPSGPQRAAYEPPVLFRTEDHFPGCGDRPQL >ENSMUSP00000096499.1 pep:known chromosome:GRCm38:9:36532397:36541963:-1 gene:ENSMUSG00000074448.2 transcript:ENSMUST00000098900.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5615 description:predicted gene 5615 [Source:MGI Symbol;Acc:MGI:3647347] MNPVTKISTLLIVTLPFICFAEALKCFQCTLFNSKGKCLFQEPPCETQNNEVCVLWAKFE GGRFMYGFQECSHTCVNQTLNLRNKRIEMKCCNDKSFCNKF >ENSMUSP00000056631.5 pep:known chromosome:GRCm38:7:108054957:108056067:1 gene:ENSMUSG00000043855.5 transcript:ENSMUST00000063151.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr479 description:olfactory receptor 479 [Source:MGI Symbol;Acc:MGI:3030313] MGNHSTVTTFLLWGFSSFPELHNLLFVVILLSHVTILLANASIMVAIKLNHNLHTPMYFF LFALSFSETCTTMVILPRMLVDLLSESKAISLPECATQMFFFFGLAANNCFIMAAMSYDR YTAIHSPLHYHIFMTPKVCSQLVIASCVVGFLCSLSITFTIFNLSFCDSKTIQHFFCDIS PLVHLACDYTAHHAMIIFMVSAFVLVGSFVLIMISYAFIVFLVVKMPSVQGRHKAFSTCS SHLTVVSMHYGFACFVYLIPKNSDSFREDMLMAVTYTVLTPLLNPIVYSLRNKEMQTALR KVLSSINKMLPCLAIKKPEHLRTTIEH >ENSMUSP00000029975.3 pep:known chromosome:GRCm38:4:34893779:34907370:1 gene:ENSMUSG00000028298.10 transcript:ENSMUST00000029975.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cga description:glycoprotein hormones, alpha subunit [Source:MGI Symbol;Acc:MGI:88390] MDYYRKYAAVILVMLSMFLHILHSLPDGDFIIQGCPECKLKENKYFSKLGAPIYQCMGCC FSRAYPTPARSKKTMLVPKNITSEATCCVAKAFTKATVMGNARVENHTECHCSTCYYHKS >ENSMUSP00000119152.1 pep:known chromosome:GRCm38:4:34893788:34907132:1 gene:ENSMUSG00000028298.10 transcript:ENSMUST00000135871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cga description:glycoprotein hormones, alpha subunit [Source:MGI Symbol;Acc:MGI:88390] MDYYRKYAAVILVMLSMFLHILHSLPDGDFIIQGCPECKLKENKYFSKLGAPIYQCMGCC FSRAYPTPARSKKTMLVPKNITSEATCCVAKAFTKATVMGNARVENHTECHCSTCYY >ENSMUSP00000103765.1 pep:known chromosome:GRCm38:4:34893788:34907178:1 gene:ENSMUSG00000028298.10 transcript:ENSMUST00000108130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cga description:glycoprotein hormones, alpha subunit [Source:MGI Symbol;Acc:MGI:88390] MDYYRKYAAVILVMLSMFLHILHSLPDGDFIIQGCPECKLKENKYFSKLGAPIYQCMGCC FSRAYPTPARSKKTMLVPKNITSEATCCVAKAFTKATVMGNARVENHTECHCSTCYYHKS >ENSMUSP00000082051.6 pep:known chromosome:GRCm38:9:109432316:109449124:-1 gene:ENSMUSG00000074062.5 transcript:ENSMUST00000084984.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw16 description:F-box and WD-40 domain protein 16 [Source:MGI Symbol;Acc:MGI:2443324] MEIHLPSLPMMEILSYLDAYSLLQVAQVNKNWNALASSDVLWRKLCQKRWLYCDMVTLQL HGKKTWKQFFFYRTWQEHAKSRAKPEDFTYKEIPVEFEFRAYAYYISRHGLTRNGQGKSA VCMVTSTNRISTWDIHEGAMTWESPVQESYIELMTTLPEMHIAVTVDISSTIKLWDCHNR DALATKSIFSPCQILKAVFTKDGPIVLVGDTSGNLYIFRIPDLHLISRHNVFPYAIDELH CSPQNKWVLLIIRNPQVLTKVVYMSSLLRTSEFSDPVSTSLKFPLCQGVFWTPRREDRIT LMARNAPPRPAKFGTFDMKLEEIGNKVTVQGHLVASFSLQDYKERAEWMGVSEKDTIVCS TGSSLLLFDMNGLRLQTFQYCPEQIFRLWVDPVHVIVTCNDGSLDIYAWEERSPLLRKCY RLQNRRYLLPSSFINNALCDDVSIIGVMISSPSFCYLMAYTLNS >ENSMUSP00000104791.2 pep:known chromosome:GRCm38:15:98456285:98457342:1 gene:ENSMUSG00000032987.8 transcript:ENSMUST00000109163.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr281 description:olfactory receptor 281 [Source:MGI Symbol;Acc:MGI:3030115] MAWSNHSVITEFVLTGLSDDPLIQALLFALFLGIYVLTMTGNLTMLLVITADSHLHTPMY FFLSNLSFLDLCFSSVTVPKLLKDLLSAKKTISVEGCLAQVFFVFITAGTEAFLLSMMAY DRYAAVCHPLLYGQMMSNELCLKLVLLSWGLASLSSVVIVLLAVNLDFCEAYTIHHYTCE LPSLFPLSCSDISINVDILICSTLLHGLGTFLPIFFSYARIVSTVLSMESTTGRSKAFNT CSSHLIAVVLFFGSGLIRYLMPTSGSSLDLLSSLQYSAVTPMLNPLIYSLKNQEVKAAVR RTLGKCLRYLE >ENSMUSP00000121976.1 pep:known chromosome:GRCm38:10:121641701:121692892:1 gene:ENSMUSG00000047642.14 transcript:ENSMUST00000140802.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:D930020B18Rik description:RIKEN cDNA D930020B18 gene [Source:MGI Symbol;Acc:MGI:2442001] MASPARSGFPGRTNSRLDAFLRRHLPPEVYDAVRAYEPCIVVSDAEKHTFKYVVLSDRLI YLTENPPKSIRRVVALRDVVAIDLIDDYPEFLSSPDREINQHIRIVYSSTVLKKERGKSK GVGKFLFPAHHSTANKKETGTCKHGKNTEKSI >ENSMUSP00000113963.1 pep:known chromosome:GRCm38:10:121641794:121692621:1 gene:ENSMUSG00000047642.14 transcript:ENSMUST00000120642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D930020B18Rik description:RIKEN cDNA D930020B18 gene [Source:MGI Symbol;Acc:MGI:2442001] MASPARSGFPGRTNSRLDAFLRRHLPPEVYDAVRAYEPCIVVSDAEKHTFKYVVLSDRLI YLTENPPKSIRRVVALRDVVAIDLIDDYPEFLSSPDREINQHIRIVYSSTVLKKERGKSK GVGKFLFPAHHSTANKKVKQENNGPTFWRRKVSRTLNESSLRSQQESSTPFKDPTSHPSD LKELSPAGQGTSRPLPTLSSCQSMAATDMPVCSLSLASSTNEPQELPDPRDAPREGSFRL DGNQSEFGLGNSLPASPLHSCRNLEKEESELHLYIISATSSIFLHLKSSWNNYIIRATLL QDPLCASEHNDVIGKPKPYRSEEKIKHFCQLKSELFLKDNTLRKILCLITELRVAAQRNF ILKRLFWKTSELFYFLVNKLHEYLPESRDKHALQNKSQRADELMACIEIIQTLGLMFRET EIESSRLNTLAAKKGTLFNLLVILISKPKVPKSCSKSDAQPVADPTSAEVFFDSQLQKLT LEYTDTATALLYEILLIFQQGNLGLGSTKFAISWMMSFLQSCPPIMAFVARIVEGVVRGL SASFQLLTPCQAVLLYQQFYILRSCLQHSKALAEYIRNDHREEFRYFIHMPALEQRLPGC YPITEPTTQLCHEVLQLIEQKQCAKC >ENSMUSP00000118939.1 pep:known chromosome:GRCm38:10:121641588:121677301:1 gene:ENSMUSG00000047642.14 transcript:ENSMUST00000142501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D930020B18Rik description:RIKEN cDNA D930020B18 gene [Source:MGI Symbol;Acc:MGI:2442001] MAATDMPVCSLSLASSTNEPQELPDPRDAPREGSFRLDGNQSEFGLGNSLPASPLHSCRN LEKEESELHLYIISATSSIFLHLKSSWNNYIIRATLLQDPLCASEHNDVIGKPKPYRSEE KIKHFCQLKSELFLKDNTLRKILCLITELRVAAQRNFILKRLFWKTSELFYFLVNKLHEY LP >ENSMUSP00000118274.1 pep:known chromosome:GRCm38:10:121641872:121692621:1 gene:ENSMUSG00000047642.14 transcript:ENSMUST00000132744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D930020B18Rik description:RIKEN cDNA D930020B18 gene [Source:MGI Symbol;Acc:MGI:2442001] AFLRRHLPPEVYDAVRAYEPCIVVSDAEKHTFKYVVLSDRLIYLTENPPKSIRRVVALRD VVAIDLIDDYPEFLSSPDREINQHIRIVYSSTVLKKERGKSKGVGKFLFPAHHSTANKKV KQENNGPTFWRRKVSRTLNESSLRSEEKIKHFCQLKSELFLKDNTLRKILCLITELRVAA QRNFILKRLFWKTSELFYFLVNKLHEYLPESRDKHALQNKSQRADELMACIEIIQTLGLM FRETEIESSRLNTLAAKKGTLFNLLVILISKPKVPKSCSKSDAQPVADPTSAEVFFDSQL QKLTLEYTDTATALLYEILLIFQQGNLGLGSTKFAISWMMSFLQSCPPIMAFVARIVEGV VRGLSASFQLLTPCQAVLLYQQFYILRSCLQHSKALAEYIRNDHREEFRYFIHMPALEQR LPGCYPITEPTTQLCHEVLQLIEQKQCAKC >ENSMUSP00000065620.7 pep:known chromosome:GRCm38:9:20345136:20385177:-1 gene:ENSMUSG00000045519.14 transcript:ENSMUST00000068079.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp560 description:zinc finger protein 560 [Source:MGI Symbol;Acc:MGI:1915280] MEAISLSYGLFSRNPSYFHNEKTEMEKTVSDCWTSSYQESVTFEDVAVDFTQEEWILLDT KQRNLYREVMLENYQNLATLGCQLIKSRYSFCLEAEELRVVKKRVHQDWAIQLNPRGTMF QRDIFQLKMSNEIQLPNSHNGKELCDIKPPGEIIKEPPCSLTYIGIENTGNIYDCVQYGQ LFPVCPKETSSADTGPGLRQYGKDFSMIASTAYQTTCVQSKFIESSEFQQVFDSQSSLQR PGGSHSEDKLSESVQCGDTFSPALSHAESAQTCTGKKSYECKECGKSFKYSANLNIHMRT HTGEKPYQCKECGKAFSRCYPLTQHLKTHTEEKPFECKVCGKCFRNSSCLNDHFRVHTGI KPYKCKDCGKAFTGRSGLSKHLPTHTGEKPYECKECGKAFPSTSGLIKHMKSHMGERPFE CDHCGKAFASSSTLITHLRTHTGEKPFECQVCGKAFTCSSYLRIHMRTHTGEKPYVCKEC GRAFTERTSLTKHLRTHTGENPFECNMCGKAFACSSYLHNHIRTHTGEKPYVCKECGKAF TVSSHLSKHVRIHTGEKPHKCEECGKAFTVRSGLTKHIRTHTGEKPYNCKECGKAFTTSS GLLEHKRSHTGEKPYECDQCGKAFASSSYLIAHLRIHTGEKPFECNECGKAFTCSSYLHI HMRTHTGEKPYDCKECGKTFAVYSHLSKHVRIHSGEKAYTCKSDGIAFSRSHRTEHLKSL EINSFVGGNEETALESSIALTVHPNSQWQESLSV >ENSMUSP00000004507.4 pep:known chromosome:GRCm38:11:6258919:6267772:-1 gene:ENSMUSG00000004393.10 transcript:ENSMUST00000004507.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx56 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 56 [Source:MGI Symbol;Acc:MGI:1277172] MEDQEALGFEHMGLDPRLLQAVTDLGWSRPTLIQEKAIPLALEGKDLLARARTGSGKTAA YAIPMLQSLLHKKATGPVMEQAVRGLVLVPTKELARQAQAMIQQLAAYCARDVRVANVSA AEDSASQRAVLMEKPDVVVGTPSRVLSHLQQNTLKLRDSLELLVVDEADLLFSFGFEDEL KSLLCHLPRIYQAFLMSATFNEDVQTLKELVLHNPVTLKLQESQLPGPDQLQQFQVVCET EEDKFLLLYALLKLSLIRGKALLFVNTLERGYRLRLFLEQFSIPSCVLNGELPLRSRCHI ISQFNQGLYDCVIATDAEILGPQVKGKRRGRGSKGNKASDPESGVARGIDFHHVSAVLNF DLPPTAEAYVHRAGRTARANNPGIVLTFVLPAEQPFLGKIEDLLSGEGEAPILLPYQFQM EEIESFRYRCRDAMRSVTKQAIREARLKEIKEELLHSEKLKTYFEDNPRDLQLLRHDLPL HPAVVKPHLGHVPDYLVPAALRGLVHPRKKRRKVPFSRKAKKVKAQNPLRDFKHRGKKPK PAAKPS >ENSMUSP00000122368.1 pep:known chromosome:GRCm38:11:6265637:6267772:-1 gene:ENSMUSG00000004393.10 transcript:ENSMUST00000151446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx56 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 56 [Source:MGI Symbol;Acc:MGI:1277172] MEDQEALGFEHMGLDPRLLQAVTDLGWSRPTLIQEKAIPLALEGKDLLARARTGSGKTAA YAIPMLQSLLHKKAELARQAQAMIQQLAAYCARDVRVANVSAAEDSASQRAVLMEKPDVV VGTPSRVLSHLQQNTLKLRDSLELLVVDEADLLFSFGFEDELKSLLCHLPRIYQAFLMSA TFNEDVQTLKELVLHNPVTLKLQESQLPGPDQLQQFQVVCETEEDKFLLLYALLKLS >ENSMUSP00000058454.2 pep:known chromosome:GRCm38:2:86297818:86298756:1 gene:ENSMUSG00000047149.4 transcript:ENSMUST00000054746.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1052 description:olfactory receptor 1052 [Source:MGI Symbol;Acc:MGI:3030886] MATENCTVVTEFILLGLTDRAELKMMLFVLFLVIYAVTLLGNLGMILLIRITPKLHTPMY FFLSCLSFVDACYSSVIAPKMLISFLVVTETISFSACIMQHLFFGVLVTTEGFLLSVMAY DRYVAVVNPLLYTVSMSKQKCIMMVTGSVIGGTINSLTHTISLSKLSFCGPNIVGHFFCD IPSLLILSCSDTSMNEFLLLIFSGVIAIGTLLIVFISYLLIALAILRIRSASGRQKAFST CASHLTAVTIFYGTLSFNYIQPSSQYSVEQEKVVSVFYTLVIPMLNPMIYSLRNKEVKEA AKRAIEMKSFSC >ENSMUSP00000123296.1 pep:known chromosome:GRCm38:4:147553277:147583126:1 gene:ENSMUSG00000065999.13 transcript:ENSMUST00000139784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13154 description:predicted gene 13154 [Source:MGI Symbol;Acc:MGI:3651986] MSESLVNTPQGLLTFKDVALDFSLEEWERLSFAQRTLYIDVMLENYNNLLFVENHCIRGK YRKVLDQDSQYIVHEHMNIQEKSSKWDKLSKVLLDSPQYTPYKTYHSSLQYWNQKRLKPR NTKEVCKYNDSVNSVSLFSTISLNQGIHMQ >ENSMUSP00000121177.1 pep:known chromosome:GRCm38:4:147553339:147582941:1 gene:ENSMUSG00000065999.13 transcript:ENSMUST00000143885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13154 description:predicted gene 13154 [Source:MGI Symbol;Acc:MGI:3651986] MSESLVNTPQGLLTFKDVALDFSLEEWERLSFAQRTLYIDVMLENYNNLLFVENHCIRGK YRKVLDQDSQYIVHEHMNIQEKSSKWDK >ENSMUSP00000080438.6 pep:known chromosome:GRCm38:4:147553339:147585198:1 gene:ENSMUSG00000065999.13 transcript:ENSMUST00000081742.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13154 description:predicted gene 13154 [Source:MGI Symbol;Acc:MGI:3651986] MSESLVNTPQGLLTFKDVALDFSLEEWERLSFAQRTLYIDVMLENYNNLLFVENHCIRGK YRKVLDQDSQYIVHEHMNIQEKSSKWDKLSKVLLDSPQYTPYKTYHSSLQYWNQKRLKPR NTKEVCKYNDSVNSVSLFSTISLNQGIHMQKKKHKRNAEFEKVFVSKHKDMVKQKNTGVN PYKCSEFHKYLTQREKLQTQQRIYHGKKPYKSSKSDKCFTHQIHLRSHQGIHTGEKPHKC SECDKCFTHKFNLRAHQRIHTGEKLYKCSECDKCFTHKSRLNYHQRIHTGEKPHKCSECD KCFTHKFNLRAHQIIHTGEKPHKCSECDKCFTHKFNLRAHQRIHTGEKPYKCSECDKCFT RKFHLGIHHRIHTGEKPYKCSECDKCFTGKGSLRIHQRIHIGEKPYKCSECDKCFTGKGS LRIHQRIHTGERPHKCSECDKCFTGKGSLRIHQRMHTGEKPYKCSECDKCFTQKSHLNIH QGIHTGEKPYKCSECDKCFTHKSHLINHQKIHTGEKPYK >ENSMUSP00000089346.2 pep:known chromosome:GRCm38:13:23745013:23745501:-1 gene:ENSMUSG00000069310.3 transcript:ENSMUST00000091752.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h3c description:histone cluster 1, H3c [Source:MGI Symbol;Acc:MGI:2448320] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000029641.3 pep:known chromosome:GRCm38:3:81996922:82021233:1 gene:ENSMUSG00000028008.10 transcript:ENSMUST00000029641.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asic5 description:acid-sensing (proton-gated) ion channel family member 5 [Source:MGI Symbol;Acc:MGI:1929259] MEHTEKSQVHAEKGLLGKIKRYLSKRPLPSPTDRKKFDQDFAMSTSFHGIHNIAQNQNKV RKVIWLAVVLGSVSLLVWQIYSRLVNYFTWPTTTSIEVQYVEKIEFPAVTLCNLNRFQTE AVSRFGIIFFLWDIVSKVLRLQEISANNTGSPETLDFVTNHQNFSITEFVKNNGFYLNND TLVHCEFFGKTCSPKDFKHVFTEYGNCFTFNYGENIQNKNKVSVSGRGLKLLLDVHQEEF TDNPVPGFADAGVIFVIHSPKKEPQFDGLGLSSPVGMHARVTIRQLKTVHQEYPWGECNP NIKLRNFITYSTYGCLKECKARHIQRLCGCLPFLLPGNGVECDLLEYYNCVSPILDHIER KGLCTMGTHNSSCPVSCEETEYPATVSYSTFPSQRATRFLAKKLNQSQEYIRENLVNIEI NYSDLNYKITQQQKAVSVPELLADVGGQLGLFCGASLITIIEIIEYFFTNFYWVLIFFLL KILETIQRTSPPQAV >ENSMUSP00000103364.1 pep:known chromosome:GRCm38:3:81999461:82021228:1 gene:ENSMUSG00000028008.10 transcript:ENSMUST00000107736.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asic5 description:acid-sensing (proton-gated) ion channel family member 5 [Source:MGI Symbol;Acc:MGI:1929259] MSTSFHGIHNIAQNQNKVRKVIWLAVVLGSVSLLVWQIYSRLVNYFTWPTTTSIEVQYVE KIEFPAVTLCNLNRFQTEAVSRFGIIFFLWDIVSKVLRLQEISANNTGSPETLDFVTNHQ NFSITEFVKNNGFYLNNDTLVHCEFFGKTCSPKDFKHVFTEYGNCFTFNYGENIQNKNKV SVSGRGLKLLLDVHQEEFTDNPVPGFADAGVIFVIHSPKKEPQFDGLGLSSPVGMHARVT IRQLKTVHQEYPWGECNPNIKLRNFITYSTYGCLKECKARHIQRLCGCLPFLLPGNGVEC DLLEYYNCVSPILDHIERKGLCTMGTHNSSCPVSCEETEYPATVSYSTFPSQRATRFLAK KLNQSQEYIRENLVNIEINYSDLNYKITQQQKAVSVPELLADVGGQLGLFCGASLITIIE IIEYFFTNFYWVLIFFLLKILETIQRTSPPQAV >ENSMUSP00000130163.1 pep:known chromosome:GRCm38:9:40192316:40213604:1 gene:ENSMUSG00000025602.13 transcript:ENSMUST00000168691.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp202 description:zinc finger protein 202 [Source:MGI Symbol;Acc:MGI:1933401] MATALEPEDQDLWEEEGVLMVKLEDDFTCGPESALEGDDPVLETSHQNFRRFRYQEASSP REALIRLRELCHQWLRPERRTKEQILELLVLEQFLTVLPGELQSWVRGQRPESGEEAVTL VEGLQKQPRRPRR >ENSMUSP00000026693.7 pep:known chromosome:GRCm38:9:40192317:40213189:1 gene:ENSMUSG00000025602.13 transcript:ENSMUST00000026693.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp202 description:zinc finger protein 202 [Source:MGI Symbol;Acc:MGI:1933401] MATALEPEDQDLWEEEGVLMVKLEDDFTCGPESALEGDDPVLETSHQNFRRFRYQEASSP REALIRLRELCHQWLRPERRTKEQILELLVLEQFLTVLPGELQSWVRGQRPESGEEAVTL VEGLQKQPRRPRRWVTVHVQGQEVLSEETLHLGLEPESSSEQQDPTQTLTTEQPHEEALR SPDLGTQEQETLQHDEEHLPLPECEVPVSQDVDLPTEQGSGHPEMVALLTALSQGLVTFK DVALCFSQDQWSDLDPTQKEFYGEYVLEEDCGIVVSLSFPIPRLDDTSQIREEEPQVPGV HESQEPAEPEILSFTYTGDMSEAEEESVEQQDTHKSTLANTEVHQSPDWEIVIEDNTSRL NERFGTNVSKVNSFTNIRETMPVHSQSGRQHHCPLCAKSFTCNSHLIRHLRTHTGEKPYK CMECGKSYTRSSHLARHQKVHKMNTPHKHPPNRKTVDGPLVQSEVTTRVEKPYTCDDCGK HFRWTSDLVRHQRTHTGEKPFFCTICSKSFSQKSVLTTHQRIHVGGKPYVCANCGENFSE QKQYLTHRKTHVSEEHHLCNECGRSFSHSAAFAKHLKGHASVRNCRCDECGKTFSRRDHL VRHQRTHTGEKPFTCATCGKSFSRGYHLIRHQRVHTGKTKT >ENSMUSP00000132131.1 pep:known chromosome:GRCm38:9:40192329:40213189:1 gene:ENSMUSG00000025602.13 transcript:ENSMUST00000168832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp202 description:zinc finger protein 202 [Source:MGI Symbol;Acc:MGI:1933401] MATALEPEDQDLWEEEGVLMVKLEDDFTCGPESALEGDDPVLETSHQNFRRFRYQEASSP REALIRLRELCHQWLRPERRTKEQILELLVLEQFLTVLPGELQSWVRGQRPESGEEAVTL VEGLQKQPRRPRRWVTVHVQGQEVLSEETLHLGLEPESSSEQQDPTQTLTTEQPHEEALR SPDLGTQEQETLQHDEEHLPLPECEVPVSQDVDLPTEQGSGHPEMVALLTALSQGLVTFK DVALCFSQDQWSDLDPTQKEFYGEYVLEEDCGIVVSL >ENSMUSP00000067135.3 pep:known chromosome:GRCm38:5:36794867:36796772:-1 gene:ENSMUSG00000055302.5 transcript:ENSMUST00000068795.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrfap1 description:Morf4 family associated protein 1 [Source:MGI Symbol;Acc:MGI:1914818] MRPLDAVELAEPEEVEVLEPEEDFEQFLLPVIHEMREDIASLTRERGRAPARNRGKLWEM DNMLIQIKTQVEASEESALNHLQGAGGAEPRGPRAEKADEKAQEMAKMAEMLVQLVRRIE KSESS >ENSMUSP00000136091.1 pep:known chromosome:GRCm38:7:21511780:21512703:1 gene:ENSMUSG00000094208.1 transcript:ENSMUST00000177936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r130 description:vomeronasal 1 receptor 130 [Source:MGI Symbol;Acc:MGI:3782726] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPNELKCKLDFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000097481.3 pep:known chromosome:GRCm38:2:86314343:86315284:-1 gene:ENSMUSG00000075192.4 transcript:ENSMUST00000099897.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1053 description:olfactory receptor 1053 [Source:MGI Symbol;Acc:MGI:3030887] MGQQNTTSLPGFILMGITQRTELQLPLFGAFFIIYAVTVMGNLGMIILTKLDSHLETPMY FFIRHLAFIDLGNSTVICPKMLVDFVMNDKTISFCECATQLSFFLMFIITEFFILSAMAY DRYVAICNPLLYSVIMSQRLCHVLVGIPYLYSTVQALLVTSRIFTSTFCGSNIISHFYCD GIFLLPILCSNAEEIQLVIISFSALNLISSFLVVLGSYVLILIAICRMHSAEGRRKAFST CGSHLTVVVVFYGTLFFMYLQPKSTDSLENDKIASVFYTLVIPMLNPLIYSLRNKEVKNA FYRVLKGQFKINT >ENSMUSP00000108391.1 pep:known chromosome:GRCm38:5:104170712:104180127:1 gene:ENSMUSG00000053268.7 transcript:ENSMUST00000112771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dspp description:dentin sialophosphoprotein [Source:MGI Symbol;Acc:MGI:109172] MKMKIIIYICIWATAWAIPVPQLVPLERDIVENSVAVPLLTHPGTAAQNELSINSTTSNS NDSPDGSEIGEQVLSEDGYKRDGNGSESIHVGGKDFPTQPILVNEQGNTAEEHNDIETYG HDGVHARGENSTANGIRSQVGIVENAEEAESSVHGQAGQNTKSGGASDVSQNGDATLVQE NEPPEASIKNSTNHEAGIHGSGVATHETTPQREGLGSENQGTEVTPSIGEDAGLDDTDGS PSGNGVEEDEDTGSGDGEGAEAGDGRESHDGTKGQGGQSHGGNTDHRGQSSVSTEDDDSK EQEGFPNGHNGDNSSEENGVEEGDSTQATQDNQKLSPKDTRDAEGGIISQSEACPSGKSQ DQGIETEGPNKGNKSIITKESGKLSGSKDSNGHQGVELDKRNSPKQGESDKPQGTAEKSA AHSNLGHSRIGSSSNSDGHDSYEFDDESMQGDDPKSSDESNGSDESDTNSESANESGSRG DASYTSDESSDDDNDSDSHAGEDDSSDDSSDTDDSDSNGDGDSDSNGDGDSESEDKDESD SSDHDNSSDSESKSDSSDSSDDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSNSSSDSS DSSSSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSSSSDSSDSS SSSDSSDSSDSSDSSDSSDSSDSSSSDSSSSSNSSDSSDSSDSSSSSDSSNSSDSSDSSD SSDSSDSSDSSNSSDSSDSSSSSDSSDSSSSSDSSDSSDSSDSSESSESSDSSNSSDSSD SSDSSDSSDSSDSSDSSDSSDSSNSSDSSDSSDSSDSSDSSNSSDSSDSSDSSDSSDSSD SSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSDSSNSSDSSDSDS KDSSSDSSDGDSKSGNGNSDSNSDSNSDSDSDSEGSDSNHSTSDD >ENSMUSP00000141078.1 pep:known chromosome:GRCm38:16:21152655:21154000:-1 gene:ENSMUSG00000090356.2 transcript:ENSMUST00000170665.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Teddm3 description:transmembrane epididymal family member 3 [Source:MGI Symbol;Acc:MGI:1913811] MGTFEGHLLPGLGLLMYAIYYSSLMSLALLRKQRMLKHPLLPRKLLGCRLFLPVSYEAVV KVAIPAFATVCEYYYPLGVNRLKMIDWKDPRRLFVFKDNWEHVTMFGFFILSGIADIVSQ AQRAPWSVKLERAAEALTFYVVALLMISHIENKSVLEIRVHLLFVLPAFLLALLLTVEIW VPDNAPLWVLKSWMALVLSTWMLQICEMYIPFTGQPWRADNPTDLAFITIFFCWHLVLGL TLLITIYGLCSLWHHHYSSWTKTLGARYQRCLTESSSEELEKLNAEAVAQDGGV >ENSMUSP00000132617.2 pep:known chromosome:GRCm38:5:11341516:11346286:1 gene:ENSMUSG00000095829.8 transcript:ENSMUST00000172106.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer1 description:spermatogenesis associated glutamate (E)-rich protein 1 [Source:MGI Symbol;Acc:MGI:1918146] MWRARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKQSSNYNRTKPT QKKNPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKM PVTQNQKNKEVEIDWALIEKYLVDLNLNGRTGADQQP >ENSMUSP00000136498.1 pep:known chromosome:GRCm38:5:11342679:11345021:1 gene:ENSMUSG00000095829.8 transcript:ENSMUST00000179482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer1 description:spermatogenesis associated glutamate (E)-rich protein 1 [Source:MGI Symbol;Acc:MGI:1918146] ARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKQSSNYNRTKPTQKK NPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMPVT QNQKNKEVEIDWALIEKYLVDLNLNGRTGADQQP >ENSMUSP00000123615.1 pep:known chromosome:GRCm38:5:145977194:146009618:-1 gene:ENSMUSG00000029630.15 transcript:ENSMUST00000145062.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp3a25 description:cytochrome P450, family 3, subfamily a, polypeptide 25 [Source:MGI Symbol;Acc:MGI:1930638] MELIPNLSIETWVLLVTSLVLFYIYGTYSHGLFKKLGIPGPKPLPLLGTIFNYYDGMWKF DEDCYKKYGKIWGFYEGPQPILAIMDPEIIKIVLVKECYSVFTNRRFFGPVGFMKKAITI SEDEEWKRLRTLLSPTFTSGKLKEHLWSL >ENSMUSP00000065585.6 pep:known chromosome:GRCm38:5:145977196:146009617:-1 gene:ENSMUSG00000029630.15 transcript:ENSMUST00000068317.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp3a25 description:cytochrome P450, family 3, subfamily a, polypeptide 25 [Source:MGI Symbol;Acc:MGI:1930638] MELIPNLSIETWVLLVTSLVLFYIYGTYSHGLFKKLGIPGPKPLPLLGTIFNYYDGMWKF DEDCYKKYGKIWGFYEGPQPILAIMDPEIIKIVLVKECYSVFTNRRFFGPVGFMKKAITI SEDEEWKRLRTLLSPTFTSGKLKEMFPIMRQYGDILVRNLRREEEKGEPISMKDIFGAYS MDVITGTSFGVNVDSLNNPQDPFVQKAKKILKFKIFDPFLLSIILFPFLTPIYEMLNFSI FPRDSMNFFKKFVKRMKKERLASNQKNRVDFLQLMMNTQNSKGQESQKALSDLEMAAQAV IFIFGGYDATSTSISLIMYELATHPDVQKKLQDEIDRTLPNKAPVTYDALMDMEYLDMVV NESLRLYPIAIRLERVSKKDVEINGVFIPKGTVVMIPIYPLHRNPEYWPEPQEFCPERFS KENKGNIDPYIYMPFGNGPRNCIGMRFALISIKLAVIGVLQNFTVQPCEETQIPLKISRE PIFQPEKPIILKVVSRDKPRTGS >ENSMUSP00000116077.1 pep:known chromosome:GRCm38:5:145977566:146009598:-1 gene:ENSMUSG00000029630.15 transcript:ENSMUST00000138870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp3a25 description:cytochrome P450, family 3, subfamily a, polypeptide 25 [Source:MGI Symbol;Acc:MGI:1930638] MELIPNLSIETWVLLVTSLVLFYIYGTYSHGLFKKLGIPGPKPLPLLGTIFNYYDGMWKF DEDCYKKYGKIWGFYEGPQPILAIMDPEIIKIVLVKECYSVFTNRRIPLKISREPIFQPE KPIILKVVSRDKPRTGS >ENSMUSP00000100068.3 pep:known chromosome:GRCm38:X:8327424:8336237:1 gene:ENSMUSG00000068219.8 transcript:ENSMUST00000103003.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb10 description:synovial sarcoma, X member B, breakpoint 10 [Source:MGI Symbol;Acc:MGI:2446779] METVSSCEKIPMEVLYEPKNICKAFQDISTYFSDEEWGKLTEWQKSAYVYMKRNYIRMTD LGVTVNQPVFMRGKEQDKQSLVEGIEDHDSEDECFEGSFGVTPRKRMKLTSVTISIHNVE GSLASGENDSNLAEPGGIQVNVWSHRLRERKYRVIYSEISDPEEEEDDDY >ENSMUSP00000030583.6 pep:known chromosome:GRCm38:4:128993224:129008401:1 gene:ENSMUSG00000028792.14 transcript:ENSMUST00000030583.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak2 description:adenylate kinase 2 [Source:MGI Symbol;Acc:MGI:87978] MAPNVLASEPEIPKGIRAVLLGPPGAGKGTQAPKLAENFCVCHLATGDMLRAMVASGSEL GKKLKATMDAGKLVSDEMVVELIEKNLETPSCKNGFLLDGFPRTVRQAEMLDDLMEKRKE KLDSVIEFSIQDSLLIRRITGRLIHPKSGRSYHEEFNPPKEPMKDDITGEPLIRRSDDNE KALKTRLEAYHTQTTPLVEYYRKRGIHCAIDASQTPDIVFASILAAFSKATCKDLVMFI >ENSMUSP00000099664.4 pep:known chromosome:GRCm38:4:128993224:129011529:1 gene:ENSMUSG00000028792.14 transcript:ENSMUST00000102604.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak2 description:adenylate kinase 2 [Source:MGI Symbol;Acc:MGI:87978] MAPNVLASEPEIPKGIRAVLLGPPGAGKGTQAPKLAENFCVCHLATGDMLRAMVASGSEL GKKLKATMDAGKLVSDEMVVELIEKNLETPSCKNGFLLDGFPRTVRQAEMLDDLMEKRKE KLDSVIEFSIQDSLLIRRITGRLIHPKSGRSYHEEFNPPKEPMKDDITGEPLIRRSDDNE KALKTRLEAYHTQTTPLVEYYRKRGIHCAIDASQTPDIVFASILAAFSKATS >ENSMUSP00000122284.1 pep:known chromosome:GRCm38:4:128993353:129008229:1 gene:ENSMUSG00000028792.14 transcript:ENSMUST00000152762.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ak2 description:adenylate kinase 2 [Source:MGI Symbol;Acc:MGI:87978] NVLASEPEIPKGIRAVLLGPPGAGKGTQAPKLAENFCVCHLATGDMLRAMVASGSELGKK LKATMDAGKLVY >ENSMUSP00000136388.1 pep:known chromosome:GRCm38:7:21545170:21546090:-1 gene:ENSMUSG00000093941.1 transcript:ENSMUST00000179390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r131 description:vomeronasal 1 receptor 131 [Source:MGI Symbol;Acc:MGI:3782348] MSVHDKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGYKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSRLVARSTNLCSTCVLSIHQFVTLFP LSRGKGKLILRASVQNLASYSCYSCWFFSVLSNIHIPIRVTGPQITDNNTDSKSNLFCST SGFIVGIVLQFAHDATFMSIMIWTSVSMILLLHRHCQRMQDILTPNQNPRGQAETRATRT ILMLVVTFVSFYLLNCICIIFHAFFIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDPC SVLFKC >ENSMUSP00000145811.1 pep:known chromosome:GRCm38:15:98492402:98498406:1 gene:ENSMUSG00000054036.5 transcript:ENSMUST00000205772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr279 description:olfactory receptor 279 [Source:MGI Symbol;Acc:MGI:3030113] MSGVSENQTTWLTLVGFGKLKHLGFLPFALFLAIYVATVGGNILIVLAVASSRTLHTPMY FFLCHFSLLEIGYTSNIVPRLLQSFLEGGDLISLVGCLAQFYVFASLAAAECLMLSAMSY DRYLAICHPLHYPVLMSTWCCVRLATGAWFSGFFFSAFTLALAAPLSLCPGRRVIDHYFC DFAPVVGLFCGEVWVMWGAGVSISGCLTLAPFLLIVASYVFILRAVLRIPSSHGRQKAFS TCSSHLSVVAVFYGTLIVVYVAPTEHMPALLRKAFSVFYTVLTPMFNPIIYSLKNQEVKW ALRRLCRQLL >ENSMUSP00000061693.5 pep:known chromosome:GRCm38:15:98497474:98498406:1 gene:ENSMUSG00000054036.5 transcript:ENSMUST00000050451.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr279 description:olfactory receptor 279 [Source:MGI Symbol;Acc:MGI:3030113] MSGVSENQTTWLTLVGFGKLKHLGFLPFALFLAIYVATVGGNILIVLAVASSRTLHTPMY FFLCHFSLLEIGYTSNIVPRLLQSFLEGGDLISLVGCLAQFYVFASLAAAECLMLSAMSY DRYLAICHPLHYPVLMSTWCCVRLATGAWFSGFFFSAFTLALAAPLSLCPGRRVIDHYFC DFAPVVGLFCGEVWVMWGAGVSISGCLTLAPFLLIVASYVFILRAVLRIPSSHGRQKAFS TCSSHLSVVAVFYGTLIVVYVAPTEHMPALLRKAFSVFYTVLTPMFNPIIYSLKNQEVKW ALRRLCRQLL >ENSMUSP00000108399.3 pep:known chromosome:GRCm38:14:4317283:4325721:1 gene:ENSMUSG00000079389.10 transcript:ENSMUST00000112779.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3149 description:predicted gene 3149 [Source:MGI Symbol;Acc:MGI:3781328] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI LNINKVRELKLHMRMISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGTQVSR GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000126595.1 pep:known chromosome:GRCm38:14:4317341:4325721:1 gene:ENSMUSG00000079389.10 transcript:ENSMUST00000171810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3149 description:predicted gene 3149 [Source:MGI Symbol;Acc:MGI:3781328] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI LNINKVRELKLHMRMISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGTQVSR GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRRSTECAQEIHHC CLSSLITMAVMGCMLLL >ENSMUSP00000136089.1 pep:known chromosome:GRCm38:14:4317346:4320320:1 gene:ENSMUSG00000079389.10 transcript:ENSMUST00000179649.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3149 description:predicted gene 3149 [Source:MGI Symbol;Acc:MGI:3781328] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI LNINKVRELKLHMRMISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGTQVSR GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000101141.1 pep:known chromosome:GRCm38:10:42181841:42276752:-1 gene:ENSMUSG00000048756.11 transcript:ENSMUST00000105502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxo3 description:forkhead box O3 [Source:MGI Symbol;Acc:MGI:1890081] MAEAPASPVPLSPLEVELDPEFEPQSRPRSCTWPLQRPELQASPAKPSGETAADSMIPEE DDDEDDEDGGGRASSAMVIGGGVSSTLGSGLLLEDSAMLLAPGGQDLGSGPASAAGALSG GTPTQLQPQQPLPQPQPGAAGGSGQPRKCSSRRNAWGNLSYADLITRAIESSPDKRLTLS QIYEWMVRCVPYFKDKGDSNSSAGWKNSIRHNLSLHSRFMRVQNEGTGKSSWWIINPDGG KSGKAPRRRAVSMDNSNKYTKSRGRAAKKKAALQAAPESADDSPSQLSKWPGSPTSRSSD ELDAWTDFRSRTNSNASTVSGRLSPILASTELDDVQDDDGPLSPMLYSSSASLSPSVSKP CTVELPRLTDMAGTMNLNDGLAENLMDDLLDNIALPPSQPSPPGGLMQRGSSFPYTAKSS GLGSPTGSFNSTVFGPSSLNSLRQSPMQTIQENRPATFSSVSHYGNQTLQDLLASDSLSH SDVMMTQSDPLMSQASTAVSAQNARRNVMLRNDPMMSFAAQPTQGSLVNQNLLHHQHQTQ GALGGSRALSNSVSNMGLSDSSSLGSAKHQQQSPASQSMQTLSDSLSGSSLYSASANLPV MGHDKFPSDLDLDMFNGSLECDMESIIRSELMDADGLDFNFDSLISTQNVVGLNVGNFTG AKQASSQSWVPG >ENSMUSP00000050683.3 pep:known chromosome:GRCm38:10:42185786:42276742:-1 gene:ENSMUSG00000048756.11 transcript:ENSMUST00000056974.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxo3 description:forkhead box O3 [Source:MGI Symbol;Acc:MGI:1890081] MAEAPASPVPLSPLEVELDPEFEPQSRPRSCTWPLQRPELQASPAKPSGETAADSMIPEE DDDEDDEDGGGRASSAMVIGGGVSSTLGSGLLLEDSAMLLAPGGQDLGSGPASAAGALSG GTPTQLQPQQPLPQPQPGAAGGSGQPRKCSSRRNAWGNLSYADLITRAIESSPDKRLTLS QIYEWMVRCVPYFKDKGDSNSSAGWKNSIRHNLSLHSRFMRVQNEGTGKSSWWIINPDGG KSGKAPRRRAVSMDNSNKYTKSRGRAAKKKAALQAAPESADDSPSQLSKWPGSPTSRSSD ELDAWTDFRSRTNSNASTVSGRLSPILASTELDDVQDDDGPLSPMLYSSSASLSPSVSKP CTVELPRLTDMAGTMNLNDGLAENLMDDLLDNIALPPSQPSPPGGLMQRGSSFPYTAKSS GLGSPTGSFNSTVFGPSSLNSLRQSPMQTIQENRPATFSSVSHYGNQTLQDLLASDSLSH SDVMMTQSDPLMSQASTAVSAQNARRNVMLRNDPMMSFAAQPTQGSLVNQNLLHHQHQTQ GALGGSRALSNSVSNMGLSDSSSLGSAKHQQQSPASQSMQTLSDSLSGSSLYSASANLPV MGHDKFPSDLDLDMFNGSLECDMESIIRSELMDADGLDFNFDSLISTQNVVGLNVGNFTG AKQASSQSWVPG >ENSMUSP00000135380.1 pep:known chromosome:GRCm38:10:42196268:42276696:-1 gene:ENSMUSG00000048756.11 transcript:ENSMUST00000175881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxo3 description:forkhead box O3 [Source:MGI Symbol;Acc:MGI:1890081] MAEAPASPVPLSPLEVELDPEFEPQSRPRSCTWPLQRPELQASPAKPSGETAADSMIPEE DDDEDDEDGGGRASSAMVIGGGVSSTLGSGLLLEDSAMLLAPGGQDLGSGPASAAGALSG GTPTQLQPQQPLPQPQPGAAGGSGQPRKCSSRRNAWGNLSYADLITRAIESSPDKRLTLS QIYEWMVRCVPYFKDKGDSNSSAGWKNSIRHNLSLHSRFMRVQNEGTGKSSWWIINPDGG KSGKAPRRRAVSMDNSNKYTKSRGRAAKKKAALQAAPESADDSPSQLSKWPGSPTSRSSD ELDAWTDFRSRTNSNASTVSGRLSPILASTELDDVQDDDGPLSPMLYSSSASLSPSVSKP CTVELPRLTDMAGTMNLNDGLAENLMDDLLDNIALPPSQPSPPGGLMQRGSSFPYTAKSS GLGSPTGSFNSTVFGPSSLNSLRQSPMQTIQENRPATFSSVSHYGNQTLQDLLASDSLSH SDVMMTQSDPLMSQASTAVSAQNARRNVMLRNDPMMSFAAQPTQGSLVNQNLLHHQHQTQ GALGGSRALSNSVSNMGLSDSSSLGSAKHQQQSPASQSMQTLSDSLSGSSLYSASANLPV MGHDKFPSDLDLDMFNGSLECDMESIIRSELMDADGLDFNFDSLISTQNVVGLNVGNFTG AKQASSQSWVPG >ENSMUSP00000135355.1 pep:known chromosome:GRCm38:10:42197554:42258366:-1 gene:ENSMUSG00000048756.11 transcript:ENSMUST00000177542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxo3 description:forkhead box O3 [Source:MGI Symbol;Acc:MGI:1890081] MRVQNEGTGKSSWWIINPDGGKSGKAPRRRAVSMDNSNKYTKSRGRAAKKKAALQAAPES ADDSPSQLSKWPGSPTSRSSDELDAWTDFRSRTNSNASTVSGR >ENSMUSP00000101140.1 pep:known chromosome:GRCm38:10:42247431:42276755:-1 gene:ENSMUSG00000048756.11 transcript:ENSMUST00000105501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxo3 description:forkhead box O3 [Source:MGI Symbol;Acc:MGI:1890081] MAEAPASPVPLSPLEVELDPEFEPQSRPRSCTWPLQRPELQASPAKPSGETAADSMIPEE DDDEDDEDGGGRASSAMVIGGGVSSTLGSGLLLEDSAMLLAPGGQDLGSGPASAAGALSG GTPTQLQPQQPLPQPQPGAAGGSGQPRKCSSRRNAWGNLSYADLITRAIESSPDKRLTLS QIYEWMVRCVPYFKDKGDSNSSAGWKLSELKSHRFGILTRWQWWPWSSSSRESHEPVGLV VSAD >ENSMUSP00000034175.4 pep:known chromosome:GRCm38:8:109868611:109944671:1 gene:ENSMUSG00000031732.12 transcript:ENSMUST00000034175.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phlpp2 description:PH domain and leucine rich repeat protein phosphatase 2 [Source:MGI Symbol;Acc:MGI:2444928] MKHNGSRTCLNRRSRFGSRERDWLREDVKRGCVYLYGADTTTATTTTSSSSSSSSSSDLH LVLCTVETPASEICAGEGRESLYLQLHGDLVRRLEPSERPLQIVYDYLSRLGFEDPVRIQ EEATNPDLSCMIRFYGEKPCQMDHLDRILLSGIYNVRKGKTQLHKWAERLVVLCGTCLIV SSVKDCQTGKMHILPLVGGKIEEVKRRQHSLAFSSAGAQAQTYHVSFETLAEYQRWQRQA SKVVSQRMSTVDLSCYSLEEVPEHLFYSQDITYLNLRHNFMQLERPGGLDTLHKFSQLKG LNLSHNKLGLFPVLLCEISTLTELSLSCNGFHDLPSQIGKLLNLQTLSLDGNGLTALPDE LGNLRQLTSLGISFNDFRHIPEVLEKLTMLDKVAMAGNRLEVLNLGALTRMSQVKHVDLR MNHLKTVITENMEGNKHITHMDLRDNQLTDLDLSSLCSLEQLHCERNQLRELTLSGFSLR TLYASWNRLTAVNVYPVPSLLTSLELSQNLLECVPDWACEAKKLEILDISHNLLTEVPMR ILSSLSLRKLMVGHNHIHVLPALVEHIPLEVLDIQHNTLSRLPDTLFSKALNLRYLNASA NSLESLPSACAGEESLSVLQLLYLTSNLLTDQCIPVLVGHPHLRVLHLANNQLQTFPASK LNKLEQLEELNLSGNKLTAIPTTIANCKRLHTLVAHANNISIFPEILQLPQIQFVDLSCN DLTEILIPEALPATLQDLDLTGNTNLVLEHKTLDMFSHITALKIDQKPLPATDSAVTSTF WSHGLAEMAGQRNKLCVSALAMDNFAEGVGAVYGMFDGDRNEELPRLLQCTMADVLLEEV QHSTNDTVFMTNTFLVSHRKLGMAGQKLGSSALLCYIRPDTADPTSSFSLTVANVGMCQA VLCRGGKPVPLSKVFSLEHDPEEAQRVKDQKAIITEDNKVNGVTCCTRLLGCTYLYPWIL PKPHIASTPLTIQDELLILGNKALWEHLSYLEAVNAVRHVQDPLAAAKKLCTLAQSYGCQ DNVGAMVVYLNIGEEGCTCEMNGLTLPGPVGFASTAALKDTPKPTTPSSSSGIASEFSSE MSTSEVSSEVGSTASDEHNTVGLEASLLPRPERRCSLHPASSAGVFQRQPSCATFSSNQS DNGLDSDDDQPVEGVITNGSRVEVEVDIHCCRGREPESSPPLPKNSSNACSEERARGAGF GIRRQNSVNSGILLPANRDKMELQKSPSTSCLYGKKLSNGSIVPLEDSLNLIEVATEAPK RKTGYFAAPTQLEPEDQFVVPRDLEEEVKEQMKQHQEGRPEPEPRGEERTEPLEEFDTAL >ENSMUSP00000136166.1 pep:known chromosome:GRCm38:8:109868603:109944670:1 gene:ENSMUSG00000031732.12 transcript:ENSMUST00000179721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phlpp2 description:PH domain and leucine rich repeat protein phosphatase 2 [Source:MGI Symbol;Acc:MGI:2444928] MGEVEPVPAGPLEPPEPPEAAAPRRPGGIRVLKRNMKHNGSRTCLNRRSRFGSRERDWLR EDVKRGCVYLYGADTTTATTTTSSSSSSSSSSDLHLVLCTVETPASEICAGEGRESLYLQ LHGDLVRRLEPSERPLQIVYDYLSRLGFEDPVRIQEEATNPDLSCMIRFYGEKPCQMDHL DRILLSGIYNVRKGKTQLHKWAERLVVLCGTCLIVSSVKDCQTGKMHILPLVGGKIEEVK RRQHSLAFSSAGAQAQTYHVSFETLAEYQRWQRQASKVVSQRMSTVDLSCYSLEEVPEHL FYSQDITYLNLRHNFMQLERPGGLDTLHKFSQLKGLNLSHNKLGLFPVLLCEISTLTELS LSCNGFHDLPSQIGKLLNLQTLSLDGNGLTALPDELGNLRQLTSLGISFNDFRHIPEVLE KLTMLDKVAMAGNRLEVLNLGALTRMSQVKHVDLRMNHLKTVITENMEGNKHITHMDLRD NQLTDLDLSSLCSLEQLHCERNQLRELTLSGFSLRTLYASWNRLTAVNVYPVPSLLTSLE LSQNLLECVPDWACEAKKLEILDISHNLLTEVPMRILSSLSLRKLMVGHNHIHVLPALVE HIPLEVLDIQHNTLSRLPDTLFSKALNLRYLNASANSLESLPSACAGEESLSVLQLLYLT SNLLTDQCIPVLVGHPHLRVLHLANNQLQTFPASKLNKLEQLEELNLSGNKLTAIPTTIA NCKRLHTLVAHANNISIFPEILQLPQIQFVDLSCNDLTEILIPEALPATLQDLDLTGNTN LVLEHKTLDMFSHITALKIDQKPLPATDSAVTSTFWSHGLAEMAGQRNKLCVSALAMDNF AEGVGAVYGMFDGDRNEELPRLLQCTMADVLLEEVQHSTNDTVFMTNTFLVSHRKLGMAG QKLGSSALLCYIRPDTADPTSSFSLTVANVGMCQAVLCRGGKPVPLSKVFSLEHDPEEAQ RVKDQKAIITEDNKVNGVTCCTRLLGCTYLYPWILPKPHIASTPLTIQDELLILGNKALW EHLSYLEAVNAVRHVQDPLAAAKKLCTLAQSYGCQDNVGAMVVYLNIGEEGCTCEMNGLT LPGPVGFASTAALKDTPKPTTPSSSSGIASEFSSEMSTSEVSSEVGSTASDEHNTVGLEA SLLPRPERRCSLHPASSAGVFQRQPSCATFSSNQSDNGLDSDDDQPVEGVITNGSRVEVE VDIHCCRGREPESSPPLPKNSSNACSEERARGAGFGIRRQNSVNSGILLPANRDKMELQK SPSTSCLYGKKLSNGSIVPLEDSLNLIEVATEAPKRKTGYFAAPTQLEPEDQFVVPRDLE EEVKEQMKQHQEGRPEPEPRGEERTEPLEEFDTAL >ENSMUSP00000050496.5 pep:known chromosome:GRCm38:11:74849261:74897060:-1 gene:ENSMUSG00000038351.14 transcript:ENSMUST00000057631.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm2 description:small G protein signaling modulator 2 [Source:MGI Symbol;Acc:MGI:2144695] MGSAEDAVKEKLLWNVKKEVKQIMEEAVTRKFVHEDSSHIIALCGAVEACLLHQLRRRAA GFLRSDKMAALFTKVGKTCPVAEDICHKVQELQQQAEGRKPSGGSQEALRKQGSTGGKAP ALSPQALKHIWVRTALMEKVLDRVVQYLAENCSKYYEKEALLADPVFGPILACLLVGPCA LEYTKLKTADHYWTDPSADELVQRHRIRGPPNRQDSPAKRPALGIRKRHSSGSASEDRLA ACAREYVESLHQNSRTRLLYGKNNVLVQPKEDMEAVPGYLSLHQSAENLTLKWTPNQLMN GTLGDSELEKSVYWDYALVVPFSQIVCIHCHQQKSGGTLVLVSQDGIQRPPLHFPQGGHL LSFLSCLENGLLPRGQLEPPLWTQQGKGKVFPKLRKRSSIRSIDVEELGVGRATDYVFRI IYPGHRHEHNAGDMIEMQGFGPSLTAWHLEPLCSQGSSCLSCSSSSSPYATPSHCSCIPD RLPLRLLCESMKRQIVSRAFYGWLAYCRHLSTVRTHLSALVHHNIIPPDRPPGASGGLTK DVWSKYQKDEKNYKELELLRQVYYGGVEHEIRKDVWPFLLGHYKFGMSKKEMEQVDTAVA ARYQQVLAEWKACEVVVRQREREAHPATLTKFSSGSSIDSHVQRLVHRDSTISNDVFISV DDLEPSGPQDLEDSKPKREQEPGAGTPGIAAAEQQSVEFDSPDSGLPSSRNYSVASGIQS SLDEAQSVGFEDDGAGEDGSEGPATAAHTFPGPHDPGQETLAPASELEAGQELAAVCAAA YTIELLDTVALNLHRIDKDVQRCDRNYWYFTTSNLERLRDIMCSYVWEHLDMGYVQGMCD LLAPLLVILDNDQLAYSCFSHLMKRMGQNFPSGGAMDSHFANMRSLIQILDSELFELMHQ NGDYTHFYFCYRWFLLDFKRELLYEDVFAVWEVIWAARRISSEHFVLFIALALVEAYREI IRDNNMDFTDIIKFFNERAERHDAQEILRIARDLVHKVQMLIDNK >ENSMUSP00000080489.5 pep:known chromosome:GRCm38:11:74849266:74897060:-1 gene:ENSMUSG00000038351.14 transcript:ENSMUST00000081799.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm2 description:small G protein signaling modulator 2 [Source:MGI Symbol;Acc:MGI:2144695] MGSAEDAVKEKLLWNVKKEVKQIMEEAVTRKFVHEDSSHIIALCGAVEACLLHQLRRRAA GFLRSDKMAALFTKVGKTCPVAEDICHKVQELQQQAEGRKPSGGSQEALRKQGSTGGKAP ALSPQALKHIWVRTALMEKVLDRVVQYLAENCSKYYEKEALLADPVFGPILACLLVGPCA LEYTKLKTADHYWTDPSADELVQRHRIRGPPNRQDSPAKRPALGIRKRHSSGSASEDRLA ACAREYVESLHQNSRTRLLYGKNNVLVQPKEDMEAVPGYLSLHQSAENLTLKWTPNQLMN GTLGDSELEKSVYWDYALVVPFSQIVCIHCHQQKSGGTLVLVSQDGIQRPPLHFPQGGHL LSFLSCLENGLLPRGQLEPPLWTQQGKGKVFPKLRKRSSIRSIDVEELGVGRATDYVFRI IYPGHRHEHITINYHHLAASRAASVDDDEEEEDKLHAMLSMICSRNLTAPNPMKDAGDMI EMQGFGPSLTAWHLEPLCSQGSSCLSCSSSSSPYATPSHCSCIPDRLPLRLLCESMKRQI VSRAFYGWLAYCRHLSTVRTHLSALVHHNIIPPDRPPGASGGLTKDVWSKYQKDEKNYKE LELLRQVYYGGVEHEIRKDVWPFLLGHYKFGMSKKEMEQVDTAVAARYQQVLAEWKACEV VVRQREREAHPATLTKFSSGSSIDSHVQRLVHRDSTISNDVFISVDDLEPSGPQDLEDSK PKREQEPGAGTPGIAAAEQQSVEFDSPDSGLPSSRNYSVASGIQSSLDEAQSVGFEDDGA GEDGSEGPATAAHTFPGPHDPGQETLAPASELEAGQELAAVCAAAYTIELLDTVALNLHR IDKDVQRCDRNYWYFTTSNLERLRDIMCSYVWEHLDMGYVQGMCDLLAPLLVILDNDQLA YSCFSHLMKRMGQNFPSGGAMDSHFANMRSLIQILDSELFELMHQNGDYTHFYFCYRWFL LDFKRELLYEDVFAVWEVIWAARRISSEHFVLFIALALVEAYREIIRDNNMDFTDIIKFF NERAERHDAQEILRIARDLVHKVQMLIDNK >ENSMUSP00000037624.3 pep:known chromosome:GRCm38:X:8413305:8422157:1 gene:ENSMUSG00000079705.4 transcript:ENSMUST00000037297.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb1 description:synovial sarcoma, X member B, breakpoint 1 [Source:MGI Symbol;Acc:MGI:1915235] METVSSCEKVPMEVLYEPKNICKAFQDISTYFSDEEWGKLTQWQKSAYVYMKRNYIRMTD LGVTVNQPVFMRGKEQAKQSLVEGIEVHDSEDECFEGSFGVTPIKRMKLTSVTISFHNVE GSLASGENDCNLAETGGIQVNVWSHRLRERKYRVIYSEISDTEEEEDDDY >ENSMUSP00000126891.1 pep:known chromosome:GRCm38:9:36581278:36582635:-1 gene:ENSMUSG00000091248.1 transcript:ENSMUST00000170030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17689 description:predicted gene, 17689 [Source:MGI Symbol;Acc:MGI:4937323] MYAAFCCSLLLGSHCNWKIPLDTPAWHLLATLLCVKCKHFNSTKNCLTQSGYCVARRDQK CLLWTVTSDDFLSYGAQTCWTHCVNKYIIRGSVRSEHKCCNSSSLCNQF >ENSMUSP00000139288.1 pep:known chromosome:GRCm38:X:37668989:37672869:-1 gene:ENSMUSG00000079627.2 transcript:ENSMUST00000184088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2h description:reproductive homeobox 2H [Source:MGI Symbol;Acc:MGI:3713490] XMDNSNQEDLSATGCAQEKETQPEEPVPDSMGDLENVKPMSGPWSTVNPVRVLVPKFRHL WRHNFNVLQLQELESIFQCNHYISTTEENGF >ENSMUSP00000110816.1 pep:known chromosome:GRCm38:X:37668997:37673277:-1 gene:ENSMUSG00000079627.2 transcript:ENSMUST00000115162.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox2h description:reproductive homeobox 2H [Source:MGI Symbol;Acc:MGI:3713490] MEKRSINYLLDVGPEEDEENANGVKTLMVLLAGEGRNEGESGRGLPGSGVSAAEGYRAGE LSAGGPAAPVAGLMDNSNQEDLSATGCAQEKETQPEEPVPDSMGDLENVKPMSGPWSTVN PVRVLVPKFRHLWRHNFNVLQLQELESIFQCNHYISTTEENRLARSMGVSEATVQEWFLK RREKYRSYKRL >ENSMUSP00000097480.1 pep:known chromosome:GRCm38:2:86332416:86333354:-1 gene:ENSMUSG00000075190.1 transcript:ENSMUST00000099895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1054 description:olfactory receptor 1054 [Source:MGI Symbol;Acc:MGI:3030888] MEKRNLTVVTEFILMGITDRPELQAPLFGLFLIIYLISLLGNMGMIILTMVDSRLQTPMY FFLRHLAITDLGYSTAVGPKMLENFVVNQNTISNHLCAIQLTFFLVFIICELFILSAMSY DRYVAICKPLLYTVIMSHRVCWVLVAVPYFYSVIISLLITIKIFALPFCDYRIVSHFCDS LPLISLLCSNTHDIEIIILISAGFNLVSSLVVLLFSYLLILIAIFRMNSAEGRQKALSTC GSHLTVVIVFYGTLIFMYVQPKSSHSFDTDKVASIFYTLIIPMLNPLIYSLRNKDVKYAL ERLWKMLGNIFS >ENSMUSP00000051453.5 pep:known chromosome:GRCm38:3:146645193:146651317:-1 gene:ENSMUSG00000090202.1 transcript:ENSMUST00000049703.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930503B20Rik description:RIKEN cDNA 4930503B20 gene [Source:MGI Symbol;Acc:MGI:1922264] MVNYYKVLGVPRNASSSDIKRAFHQLALQVHPDKNPGDKEAAEEKFKQVAEAYHILSDAK KRKDYDRSRWNRNKGEIRGDGHDKGETIRVNDSRDETDWEEKICSRRPRHTFQKVTEDED LFSGDCLFSGPITGSRRASSPFFTVTPIMDTGFSTFVSHESRSYSDDPETFVPYISQGMG KFRLVTTCSKTVNGKRVVTKRVVENIRGPKKIENERLFRHNPSRGWKLMENPCS >ENSMUSP00000114745.1 pep:known chromosome:GRCm38:3:146649566:146651315:-1 gene:ENSMUSG00000090202.1 transcript:ENSMUST00000149825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930503B20Rik description:RIKEN cDNA 4930503B20 gene [Source:MGI Symbol;Acc:MGI:1922264] MVNYYKVLGVPRNASSSDIKRAFHQLALQVHPDKNPGDKEAAEEKFKQVAEAYHILSDAK KRKDYDRSRWNRNKGEIRGDGHDKGETIRVNDSRDETDWEEKICSRRPRHTFQKVTEDED LFSGDCLFSGPITGSRRASSPFFTVTPIMDTGFSTFVSHESRSYSDDPETFVPYISQGMG KFRLVTTCSKTVNGKRVVTKRVVENIRGPKKIENERLFRHNPSRGWNVVFA >ENSMUSP00000146566.1 pep:known chromosome:GRCm38:19:13231328:13235259:1 gene:ENSMUSG00000096365.2 transcript:ENSMUST00000207246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1463 description:olfactory receptor 1463 [Source:MGI Symbol;Acc:MGI:3031297] MTPLKNWTEVTHFLLLGLTDDPGLQLPLFIIFLLIYIFTLVGNLGLILLILLDSRLHTPM YFFLGNLSLVDVFYSSAITPKVMAGLLLGDKIISYNSCAAQMFVFATFATVENYLLASMA YDRYAAVCKPLHYATTMTPSVCMCLIMGCYVLGFLSVSVYLGDTFSLSFCKSNVVHHFFC DMPALMALSCSDRHINELVLIYLASFTLFFALIIILVSYTIIFITILNMHTGAGLQKAIS TCASHFIAVFIFYGTTIFMYLQPSSRHSMDTDKIVSVFYTMVIPMLNPLVYSLRNKEVKS AFMKWILKEK >ENSMUSP00000070872.1 pep:known chromosome:GRCm38:19:13234252:13235184:1 gene:ENSMUSG00000096365.2 transcript:ENSMUST00000064102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1463 description:olfactory receptor 1463 [Source:MGI Symbol;Acc:MGI:3031297] MTPLKNWTEVTHFLLLGLTDDPGLQLPLFIIFLLIYIFTLVGNLGLILLILLDSRLHTPM YFFLGNLSLVDVFYSSAITPKVMAGLLLGDKIISYNSCAAQMFVFATFATVENYLLASMA YDRYAAVCKPLHYATTMTPSVCMCLIMGCYVLGFLSVSVYLGDTFSLSFCKSNVVHHFFC DMPALMALSCSDRHINELVLIYLASFTLFFALIIILVSYTIIFITILNMHTGAGLQKAIS TCASHFIAVFIFYGTTIFMYLQPSSRHSMDTDKIVSVFYTMVIPMLNPLVYSLRNKEVKS AFMKWILKEK >ENSMUSP00000101800.1 pep:known chromosome:GRCm38:11:120608477:120609093:1 gene:ENSMUSG00000044034.11 transcript:ENSMUST00000106194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npb description:neuropeptide B [Source:MGI Symbol;Acc:MGI:2387153] MARCRTLVAAALALLLPPALAWYKPAAGPHHYSVGRASGLLSSFHRFPSTRRSESPALRV GTGPLRNLEMRPSALCVKDVTPNLQSCQRQLNSRGTFQCKADVFLSLHETDCQST >ENSMUSP00000101801.2 pep:known chromosome:GRCm38:11:120608477:120609093:1 gene:ENSMUSG00000044034.11 transcript:ENSMUST00000106195.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npb description:neuropeptide B [Source:MGI Symbol;Acc:MGI:2387153] MARCRTLVAAALALLLPPALAWYKPAAGPHHYSVGRASGLLSSFHRFPSTRRSESPALRV GTGPLRNLEMRPSVRSLALCVKDVTPNLQSCQRQLNSRGTFQCKADVFLSLHETDCQST >ENSMUSP00000050092.4 pep:known chromosome:GRCm38:11:120608505:120609033:1 gene:ENSMUSG00000044034.11 transcript:ENSMUST00000061309.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npb description:neuropeptide B [Source:MGI Symbol;Acc:MGI:2387153] MARCRTLVAAALALLLPPALAWYKPAAGPHHYSVGRASGLLSSFHRFPSTRRSESPALRV GTGPLRNLEMRPSVRSLSCQRQLNSRGTFQCKADVFLSLHETDCQST >ENSMUSP00000146576.1 pep:known chromosome:GRCm38:7:28788969:28798954:1 gene:ENSMUSG00000051735.7 transcript:ENSMUST00000209035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rinl description:Ras and Rab interactor-like [Source:MGI Symbol;Acc:MGI:2444024] MRLAQPDMVSAAPTEVDRLVWPLADGADKSPLGVLSTTEPLLRLQRTQRVWEVPELDAQY AKAFLELWPLGSFLVIGHEPGQVLMLKAGPSSGDINTYQIQRFPGGVSLESSNLCMPDCP HLLAFLSASRDVLPRTLLLPTPTVGAGDNHSDPHRLGCIQVDTSGRVLSVVNQLYLETHG GWGTETPQQTEPETGQKYSLAPRKPTPHRVSWVEDPLRPEAHHTGQEVHHPGADAHSLGS EVHFSCPALEEEEVNNDCYKDEDEEGCEDMLTAHIRALARTRSSYVARQYRCLRARLISD SGNPYSPGDPATELLQDVRQLLTDLQNYLAKDPDVRAVFGNRGPSILREEDLGPAVEVAL CRAVLEPLKPALWTKLRTLRAQELRRLRRRQIALRVGAGPEGQSPALRNRNRIHARLAHL HAACAPRRKVALLLAVCSDVYAGLGGGENKEPLGADAFLPALTEELIWSPHIGETQLDVE FLMELLDPGELRGEAGYYLTTWFGALYHIAHYQPDTGRAPQGLSSEARASLRQWHRRRTL HQQAQPTAQANQPFEEPWAIGDP >ENSMUSP00000146741.1 pep:known chromosome:GRCm38:7:28796938:28798954:1 gene:ENSMUSG00000051735.7 transcript:ENSMUST00000207462.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rinl description:Ras and Rab interactor-like [Source:MGI Symbol;Acc:MGI:2444024] XNKEPLGADAFLPALTEELIWSPHIGETQLDVEFLMELLDPGELRGEAGYYLTTWFGALY HIAHYQPDTGRAPQGLSSEARASLRQWHRRRTLHQQAQPTAQTGLLGVALAVLELAV >ENSMUSP00000058447.7 pep:known chromosome:GRCm38:7:28788969:28798963:1 gene:ENSMUSG00000051735.7 transcript:ENSMUST00000059857.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rinl description:Ras and Rab interactor-like [Source:MGI Symbol;Acc:MGI:2444024] MRLAQPDMVSAAPTEVDRLVWPLADGADKSPLGVLSTTEPLLRLQRTQRVWEVPELDAQY AKAFLELWPLGSFLVIGHEPGQVLMLKAGPSSGDINTYQIQRFPGGVSLESSNLCMPDCP HLLAFLSASRDVLPRTLLLPTPTVGAGDNHSDPHRLGCIQVDTSGRVLSVVNQLYLETHG GWGTETPQQTEPETGQKYSLAPRKPTPHRVSWVEDPLRPEAHHTGQEVHHPGADAHSLGS EVHFSCPALEEEEVNNDCYKDEDEEGCEDMLTAHIRALARTRSSYVARQYRCLRARLISD SGNPYSPGDPATELLQDVRQLLTDLQNYLAKDPDVRAVFGNRGPSILREEDLGPAVEVAL CRAVLEPLKPALWTKLRTLRAQELRRLRRRQIALRVGAGPEGQSPALRNRNRIHARLAHL HAACAPRRKVALLLAVCSDVYAGLGGGENKEPLGADAFLPALTEELIWSPHIGETQLDVE FLMELLDPGELRGEAGYYLTTWFGALYHIAHYQPDTGRAPQGLSSEARASLRQWHRRRTL HQQAQPTAQANQPFEEPWAIGDP >ENSMUSP00000071583.2 pep:known chromosome:GRCm38:7:108065768:108066796:-1 gene:ENSMUSG00000063120.2 transcript:ENSMUST00000071658.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr480 description:olfactory receptor 480 [Source:MGI Symbol;Acc:MGI:3030314] MFCHLYNENNMQVAILDSILIPSYFSFLTEMEPGNYTVVTEFILLGLTDDITVSVILFVM FLIVYSVTLMGNLNIIVLIRTSPQLHTPMYLFLSHLAFLDIGYSSSVTPIMLRGFLRKGT FIPVAGCVAQLCIVVAFGTSESFLLASMAYDRYVAICSPLLYSTQMSSTVCILLVGTSYL GGWVNAWIFTGCSLNLSFCGPNKINHFFCDYSPLLKLSCSHDFSFEVIPAISSGSIIVVT VFIIALSYVYILVSILKMRSTEGRQKAFSTCTSHLTAVTLFFGTITFIYVMPQSSYSTDQ NKVVSVFYTVVIPMLNPLIYSFRNKEVKEAMKKLIAKTHWWS >ENSMUSP00000085120.1 pep:known chromosome:GRCm38:19:12240721:12241659:-1 gene:ENSMUSG00000067519.1 transcript:ENSMUST00000087818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr262 description:olfactory receptor 262 [Source:MGI Symbol;Acc:MGI:3030096] MIARGNSTEITQFILLGFTDLPIIIILLFVTFLLIYITTLTWNLSLIVLIRMDSHLHTPM YFFLCNLSIIDLCYVTSTVPKMLSNFFQERQTNSFVGCIVQNFIFSTMGLSESCLMAAMA YDRYAAICNPLLYASIMSPTLCVLMVLASYLSGLTASLLQLFALLRLHFCGTNVIKHFFC DMPQLLVLSCTDTFFVQVLTAILTMIFGFVNMLVIMISYVYIVLSILKITSAKGRSKAFN TCASHLTAVSLFYTSSIFVYLSSSSGGSSSFDRFVSVFYTVVIPMLNPLIYSLKNREIKD AMKRLQKKTICN >ENSMUSP00000112908.1 pep:known chromosome:GRCm38:9:26974135:26999566:-1 gene:ENSMUSG00000031969.15 transcript:ENSMUST00000120367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad8 description:acyl-Coenzyme A dehydrogenase family, member 8 [Source:MGI Symbol;Acc:MGI:1914198] MAMLRSGYRRFGCLRAALKSLAQTHHRSITFCIDPSLGLNEEQKGFQKVAFDFAAREMAP NMAEWDQKELFPVDVMRKAAQLGFGGVYVRTDVGGSGLSRLDTSVIFEALATGCTSTTAY ISIHNMCAWMIDSFGNEEQRHKFCPPLCTMEKFASYCLTEPGAGSDAASLLTSAKQQGDH YILNGSKAFISGGGESDIYVVMCRTGESGAKGISCIVVEKGTPGLSFGKKEKKVGWNSQP TRAVIFEDCAVPVANRIGTEGQGFLIAMKGLNGGRINVASCSLGAAHASVILTQEHLKVR KQFGAPLARSQYLQFQLADMATKLVASRLMIRTAAVALQEEREDAVALCSMAKLFATEEC FAICNQALQMHGGYGYLKDYAVQQYMRDSRVHQILEGSNEVMRMLISRNLLQD >ENSMUSP00000122444.1 pep:known chromosome:GRCm38:9:26979199:26999508:-1 gene:ENSMUSG00000031969.15 transcript:ENSMUST00000128923.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acad8 description:acyl-Coenzyme A dehydrogenase family, member 8 [Source:MGI Symbol;Acc:MGI:1914198] MAMLRSGYRRFGCLRAALKSLAQTHHRSITFCIDQLNMSGPVHSFLGAK >ENSMUSP00000123012.1 pep:known chromosome:GRCm38:9:26979260:26999506:-1 gene:ENSMUSG00000031969.15 transcript:ENSMUST00000132293.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acad8 description:acyl-Coenzyme A dehydrogenase family, member 8 [Source:MGI Symbol;Acc:MGI:1914198] MAMLRSGYRRFGCLRAALKSLAQTHHRSITFCIDQLNMSGPVHSFLGAK >ENSMUSP00000054370.7 pep:known chromosome:GRCm38:9:26974144:26999549:-1 gene:ENSMUSG00000031969.15 transcript:ENSMUST00000060513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad8 description:acyl-Coenzyme A dehydrogenase family, member 8 [Source:MGI Symbol;Acc:MGI:1914198] MAMLRSGYRRFGCLRAALKSLAQTHHRSITFCIDPSLGLNEEQKGFQKVAFDFAAREMAP NMAEWDQKELFPVDVMRKAAQLGFGGVYVRTDVGGSGLSRLDTSVIFEALATGCTSTTAY ISIHNMCAWMIDSFGNEEQRHKFCPPLCTMEKFASYCLTEPGSGSDAASLLTSAKQQGDH YILNGSKAFISGGGESDIYVVMCRTGESGAKGISCIVVEKGTPGLSFGKKEKKVGWNSQP TRAVIFEDCAVPVANRIGTEGQGFLIAMKGLNGGRINVASCSLGAAHASVILTQEHLKVR KQFGAPLARSQYLQFQLADMATKLVASRLMIRTAAVALQEEREDAVALCSMAKLFATEEC FAICNQALQMHGGYGYLKDYAVQQYMRDSRVHQILEGSNEVMRMLISRNLLQD >ENSMUSP00000099941.1 pep:known chromosome:GRCm38:2:28692080:28699651:-1 gene:ENSMUSG00000026809.15 transcript:ENSMUST00000102877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700026L06Rik description:RIKEN cDNA 1700026L06 gene [Source:MGI Symbol;Acc:MGI:1917237] MNEVKESLRSIEQKYKLFQQQQFTFIAALEHCRENAHDKIRPISSIEQVQSYMEHYCNNS THRRILIMFMDICSELSKLCQHFEALHSGTPVTNSLLEKCKTLVSQSNDLSSLRAKYPHE VVNHLSCDEARNHYGGVVSLIPIVLDFMKEWIAHSEKLPRKVLQHGTT >ENSMUSP00000121846.1 pep:known chromosome:GRCm38:2:28692084:28699683:-1 gene:ENSMUSG00000026809.15 transcript:ENSMUST00000124840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700026L06Rik description:RIKEN cDNA 1700026L06 gene [Source:MGI Symbol;Acc:MGI:1917237] MNEVKESLRSIEQKYKLFQQQQFTFIAALEHCRENAHDKIRPISSIEQVQSYMEHYCNNS THRRILIMFMDICSELSKLCQHFEALHSGTPVTNSLLEKCKTLVSQSNDLSSLRAKYPHE VVNHLSCDEARNHYGGVVSLIPIVLDFMKEWIAHSEKLPRKVLQHGTT >ENSMUSP00000132127.1 pep:known chromosome:GRCm38:14:4334763:4354688:1 gene:ENSMUSG00000079388.9 transcript:ENSMUST00000165466.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610042L04Rik description:RIKEN cDNA 2610042L04 gene [Source:MGI Symbol;Acc:MGI:1914305] MTKQRSKINELEELKLYMRKISNDMEEMCGILNLYIYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNNSVK >ENSMUSP00000108398.3 pep:known chromosome:GRCm38:14:4339563:4353492:1 gene:ENSMUSG00000079388.9 transcript:ENSMUST00000112778.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610042L04Rik description:RIKEN cDNA 2610042L04 gene [Source:MGI Symbol;Acc:MGI:1914305] MTKQRSKINELEELKLYMRKISNDMEEMCGILNLYIYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNNSVK >ENSMUSP00000063555.4 pep:known chromosome:GRCm38:7:108080796:108081734:1 gene:ENSMUSG00000054236.4 transcript:ENSMUST00000067143.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr481 description:olfactory receptor 481 [Source:MGI Symbol;Acc:MGI:3030315] METENDTMVTEFIILGLTDSATLRAILFVFFLPVYIVTVVGNISIILLIRSSPQLHTPMY LFLSHLAFVDIGYSTSVTPIMLISFLREETTIPLAGCAAQLGSDVAFGTTECFLLATMAY DRYVAICSPLLYSTQMSPAICCFLLGASYLGGCMNASSFTGCFVNLNFCGPNKVNHFFCD LFPLVKLSCGHAYIAEISPSISSASVLVSTLSTIIVSYIYILHSILRMRSAEGRNKAFST CTSHLTAVTLFYGTVLFVYVMPKSSYSADQVKVASVVYTVVIPMLNPLIYSLRNKEVKEA MKKLMARTHWFP >ENSMUSP00000111247.1 pep:known chromosome:GRCm38:X:8366978:8375390:1 gene:ENSMUSG00000068218.6 transcript:ENSMUST00000115584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb9 description:synovial sarcoma, X member B, breakpoint 9 [Source:MGI Symbol;Acc:MGI:2446778] MLEEDKIVSFQNHTDMETVSSCEKVPMEVLYEPKNICKAFQDISTYFSDEEWGKLTQWQK SAYVYMKRNYIRMTDLGVTVNQPVFMRGKEQDKQSLVEGIEDHDSDDECFEGSFGVTPRK RMKLTSVTISIHNVEGSLASVKNDSNLAETSGIRVNVWSHRLRERKYRVIYEEISDPEEE EDDDY >ENSMUSP00000096443.3 pep:known chromosome:GRCm38:7:5146218:5147501:-1 gene:ENSMUSG00000074402.3 transcript:ENSMUST00000098844.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r55 description:vomeronasal 1 receptor 55 [Source:MGI Symbol;Acc:MGI:3033474] MEMLALQILLLCQVVVGTVGNILLFVHNFSPILTDSRLRPIQVILINLAVANAFMLLLLT YSHDMIDLVPKNPPTDLKCKLAHFFHMVARGTNMCSTCVLSTYQFVTLVPGNWARVMFRE ISPKVVRYSCYSCWLFSVLNNAYIPMNVRGPKKSHNDSDSQGKRMCSISVVNVDMNYLQF SYDIIFIGIMVWTSVSMVIHLNRHHQRMHYIHNPNQSNRGHAETRAAHTILMLVVTFVSL YILNCICVLFHVSFVESRRWLRNVIEFLALSFPTISPLLLIFRDPRGPCSLYFNVGLEIH VTGKVITEEQ >ENSMUSP00000031456.7 pep:known chromosome:GRCm38:5:108388391:108431743:1 gene:ENSMUSG00000029491.7 transcript:ENSMUST00000031456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6b description:phosphodiesterase 6B, cGMP, rod receptor, beta polypeptide [Source:MGI Symbol;Acc:MGI:97525] MSLSEEQVRSFLDGNPTFAHQYFGKKLSPENVAGACEDGWLADCGSLRELCQVEESAALF ELVQDMQESVNMERVVFKILRRLCTILHADRCSLFMYRQRNGIAELATRLFSVQPDSLLE DCLVPPDSEIVFPLDIGIVGHVAQTKKMINVQDVAECPHFSSFADELTDYVTKNILSTPI MNGKDVVAVIMAVNKLDGPCFTSEDEDVFTKYLNFATLNLKIYHLSYLHNCETRRGQVLL WSANKVFEELTDIERQFHKAFYTVRAYLNCERYSVGLLDMTKEKEFFDVWPVLMGEAQPY SGPRTPDGREIVFYKVIDYILHGKEDIKVIPTPPADHWALASGLPTYVAESGFICNIMNA SADEMFNFQEGPLDDSGWVIKNVLSMPIVNKKEEIVGVATFYNRKDGKPFDDQDEVLMES LTQFLGWSVLNTDTYDKMNKLENRKDIAQDMVLYHVRCDKDEIQEILPTRDRLGKEPADC EEDELGKILKEELPGPTKFDIYEFHFSDLECTELELVKCGIQMYYELGVVRKFQIPQEVL VRFLFSVSKAYRRITYHNWRHGFNVAQTMFTLLMTGKLKSYYTDLEAFAMVTAGLCHDID HRGTNNLYQMKSQNPLAKLHGSSILERHHLEFGKFLLAEESLNIYQNLNRRQHEHVIHLM DIAIIATDLALYFKKRTMFQKIVDESKNYEDKKSWVEYLSLETTRKEIVMAMMMTACDLS AITKPWEVQSKVALLVAAEFWEQGDLERTVLDQQPIPMMDRNKAAELPKLQVGFIDFVCT FVYKEFSRFHEEILPMFDRLQNNRKEWKALADEYEAKVKALEEEKKKEEDRVAAKKVGTE VCNGGPAPKSSTCCIL >ENSMUSP00000097479.2 pep:known chromosome:GRCm38:2:86346724:86347764:-1 gene:ENSMUSG00000075189.3 transcript:ENSMUST00000099894.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1055 description:olfactory receptor 1055 [Source:MGI Symbol;Acc:MGI:3030889] MDTYNLTVLKYFILTGITDLPELQAPLFGLFLIIYMISVVGNLGLIILTKIDSRLQTPMY FFLRQLSLTDLGYSTAVGPKMLINFVADQPTISYIWCSVQLTFFSIFITTEVFILSAMAY DRYVAICHPLLYTIIMSQRLCHVLVAIPYLYSVFISLWTIIKIFTSSFCGHNIIRHFYCD SLPLILMLCSDTHEIKLIILIFATFNLISSLLVVSISYILILVSILRMNSSEGRHKAFST CGSHLTVIVIFYGTLFFMYAQPKSIHSFETGQVASLFYTLVIPMLNPMIYSLRNQEVKQA LNRKWKMCVNILFCWEPRPHSPLQDGADSCVLSGKQIICACAKGIL >ENSMUSP00000140847.1 pep:known chromosome:GRCm38:2:86346826:86347764:-1 gene:ENSMUSG00000075189.3 transcript:ENSMUST00000188023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1055 description:olfactory receptor 1055 [Source:MGI Symbol;Acc:MGI:3030889] MDTYNLTVLKYFILTGITDLPELQAPLFGLFLIIYMISVVGNLGLIILTKIDSRLQTPMY FFLRQLSLTDLGYSTAVGPKMLINFVADQPTISYIWCSVQLTFFSIFITTEVFILSAMAY DRYVAICHPLLYTIIMSQRLCHVLVAIPYLYSVFISLWTIIKIFTSSFCGHNIIRHFYCD SLPLILMLCSDTHEIKLIILIFATFNLISSLLVVSISYILILVSILRMNSSEGRHKAFST CGSHLTVIVIFYGTLFFMYAQPKSIHSFETGQVASLFYTLVIPMLNPMIYSLRNQEVKQA LNRKWKMCVNILF >ENSMUSP00000029947.6 pep:known chromosome:GRCm38:4:33132521:33163588:1 gene:ENSMUSG00000028280.9 transcript:ENSMUST00000029947.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrr1 description:gamma-aminobutyric acid (GABA) C receptor, subunit rho 1 [Source:MGI Symbol;Acc:MGI:95625] MLAVQNMKFGIFLLWWGWVLAAESTAHWPGREVHEPSRKGSRPQRQRRGAHDDAHKQGSP ILRRSSDITKSPLTKSEQLLRIDDHDFSMRPGFGGPAIPVGVDVQVESLDSISEVDMDFT MTLYLRHYWKDERLSFPSSNNLSMTFDGRLVKKIWVPDMFFVHSKRSFIHDTTTDNVMLR VQPDGKVLYSLRVTVTAMCNMDFSRFPLDTQTCSLEIESYAYTEDDLMLYWKKGNDSLKT DERISLSQFLIQEFHTTTKLAFYSSTGWYNRLYINFTLRRHIFFFLLQTYFPATLMVMLS WVSFWIDRRAVPARVPLGITTVLTMSTIITGVNASMPRVSYIKAVDIYLWVSFVFVFLSV LEYAAVNYLTTVQERKERKLREKISCTCGLPQPRGVMLDSSYSDGEVNDLGGYMPENGEK PDRMMVQLTLASERGSPQRKGQRGSYVSMRINTHAIDKYSRIIFPAAYILFNLIYWSIFS >ENSMUSP00000128821.1 pep:known chromosome:GRCm38:7:5194916:5196747:-1 gene:ENSMUSG00000091874.2 transcript:ENSMUST00000169556.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r56 description:vomeronasal 1 receptor 56 [Source:MGI Symbol;Acc:MGI:3033472] MEVLALHILLLCHVVVGTVGNILLFVHNFSPILTDSRLRPIQVILINLAVANAFMLLLLT YSHDMIDFVPKNPPTDLKCKLAYFFHMVARGTNMCSTCVLSTYQFVTLVPGNCARVMFRE ISPKVVSYSCFSCWLFSVLNNAYIPMNVSGPQKSHNDTDSKGNCICSVSGVSVDMNFFRF SHDIMFLSIMAWTSVSMVIHLNRHHQRMHYIHNHNHKNTGHAETRAAHTILMLVVTFVSL YILDCICILFHISFVESRLWLRHVIQLMTLSFPTISPLLLIFRDPRGHCSLLFSVGLEIH MTRGDITEEQ >ENSMUSP00000097478.2 pep:known chromosome:GRCm38:2:86355439:86356380:-1 gene:ENSMUSG00000075188.3 transcript:ENSMUST00000099893.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1056 description:olfactory receptor 1056 [Source:MGI Symbol;Acc:MGI:3030890] MENQNLSVLNEFILVGITDRPELQAPFFVLFFLIYVASVVGNLGMIVLTKLDERLQTPMY FFLRHLAFIDFGYSTAVGPKTLVSFVTNKNTIPYNWCAFQLSLFIFFIISELFVLSAMAY DRYVAICNPLLYTVIMSQKVCWVLVTIPYLFSAFLSLITTIKIFISSFCGYNVISHFYCD SLPLLTLICSGTRDIELIILIFSAFNLISSLSVVLVSYTFILVAILRMNSAEGRHKAFST CGSHLTVVVILYGTLSFMYIQPKSSHSFENDKMASVFYTLVIPVLNPIIYSLRNKEVKGA LQKLWKNVCKVCI >ENSMUSP00000033282.4 pep:known chromosome:GRCm38:7:133709333:133721145:1 gene:ENSMUSG00000030983.4 transcript:ENSMUST00000033282.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bccip description:BRCA2 and CDKN1A interacting protein [Source:MGI Symbol;Acc:MGI:1913415] MASKAKKRAVGNGIQRPLGAPGQREEEEEEEDEVEDEEEDEDDSDEEEDEVDEIVDEEVN IEFEAYSISDNDYGGIKKLLQQLFLKAPVNTAELTNLLMQQNHIGSVIKQTDVSEDSDDE VDEDEIFGFISLLNLTERKGTQCAEQIKELVLSFCEKTCEQSMVEQLDKLLNDTSKPVGL LLSERFINVPPQIALPMHQQLQKELSEARRTNKPCGKCCFYLLISKTFMEAGKSSSRKRQ DSLQQGALMFANAEEEFFYEKAILKFSYSVQGESDTRLGGRWSFDDVPMTPLRTVMVIPD DRMNEIMETLKDHLSV >ENSMUSP00000079948.2 pep:known chromosome:GRCm38:7:108094597:108095568:-1 gene:ENSMUSG00000059031.2 transcript:ENSMUST00000081184.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr482 description:olfactory receptor 482 [Source:MGI Symbol;Acc:MGI:3030316] MAFLEDGNHTAVTEFILVGLTDDPVLKVILFTIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASVDLGYSSSVTPNMLINFLAENNTISYIGCSIQFGSATFFGVLECFLLAV MAYDRFVAICNPLLYSIKMSTQVCVKLVVGSYIGSSLNASFVTVSIFNLLFCGPNKINHF FCDFDPLIELSCSDVSVPVAVTSCSAGLITMITVFVIAVSYTYILITVLKMRSTEGRHKA FSTCTSHLTAVTLFYGTVTFIYVMPKSNYSTDQNKVVSVFYMVVIPMLNPLIYSLRNNEI KGALKRQLGKKIFSQSNILFCKS >ENSMUSP00000117687.1 pep:known chromosome:GRCm38:10:11281330:11298052:1 gene:ENSMUSG00000047648.13 transcript:ENSMUST00000129456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo30 description:F-box protein 30 [Source:MGI Symbol;Acc:MGI:1919115] MEEEVQQHSHCMNCVSRRCMTRPEPGVSCDLIGCPLVCGAVFHSCKADEHRLLCPFERVA CLNRNFGCPFTLARNKVAEHLEMCPASVVCCTMEWNRWPVSYSDRKSYESLSRDVDEVAQ LDMALALQDQRMLLESLKVATMMSKATDKISEPREQISVKSSVQEIPRTNGLVSVDEESY GALYQATVETTRSLAAALDILNSATRDIGMLNTSLHATANEMDEENNKESFQDKNLKDQD HLDEGEIGAVGGVDYSGTSQNAQAEQNGSSDLLCDLNPSSNGTSALCNGFPLEKMCIQVK GQDQNFHGDSTESNITNGDCVEADGTSEPSSSLVVPEQLREISPFSALPDSTFQQILMPD EDDEKDLCWKKVDLGDLKDVNGSPFSHAPSFKFLSNSWYIPKEDKAVDTSDLEVAEDPMG LQGIDLITAALLFCLGDSPGGRGISDSRMTDVYHVDFGTQTFSLPSAILATNTMVGEIAS ASACDHANPQLSNPSPFQTLGLDLVLECVARYQPKQRSMFTFVCGQLFRRKEFSSHFKNV HGDIHAGLNGWMEQRCPLAYYGCTYSQRRFCPSTQGAKIIHDRHLRSFGVQPCVSTVLEE PSRNCVLGLRSDHLSSLPFEVLQHIAGFLDGFSLCQLACVSRLMRDVCGSLLQSRGMVIL QWGKKKYPEGNSSWQIKEKVWRFSTAFCSVNDWKFADILSMADHLKNCSYNVIEKREEAI PLPCMCVTRELTKEGRSLRSVLKPVL >ENSMUSP00000068230.4 pep:known chromosome:GRCm38:10:11281587:11295518:1 gene:ENSMUSG00000047648.13 transcript:ENSMUST00000070300.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo30 description:F-box protein 30 [Source:MGI Symbol;Acc:MGI:1919115] MEEEVQQHSHCMNCVSRRCMTRPEPGVSCDLIGCPLVCGAVFHSCKADEHRLLCPFERVA CLNRNFGCPFTLARNKVAEHLEMCPASVVCCTMEWNRWPVSYSDRKSYESLSRDVDEVAQ LDMALALQDQRMLLESLKVATMMSKATDKISEPREQISVKSSVQEIPRTNGLVSVDEESY GALYQATVETTRSLAAALDILNSATRDIGMLNTSLHATANEMDEENNKESFQDKNLKDQD HLDEGEIGAVGGVDYSGTSQNAQAEQNGSSDLLCDLNPSSNGTSALCNGFPLEKMCIQVK GQDQNFHGDSTESNITNGDCVEADGTSEPSSSLVVPEQLREISPFSALPDSTFQQILMPD EDDEKDLCWKKVDLGDLKDVNGSPFSHAPSFKFLSNSWYIPKEDKAVDTSDLEVAEDPMG LQGIDLITAALLFCLGDSPGGRGISDSRMTDVYHVDFGTQTFSLPSAILATNTMVGEIAS ASACDHANPQLSNPSPFQTLGLDLVLECVARYQPKQRSMFTFVCGQLFRRKEFSSHFKNV HGDIHAGLNGWMEQRCPLAYYGCTYSQRRFCPSTQGAKIIHDRHLRSFGVQPCVSTVLEE PSRNCVLGLRSDHLSSLPFEVLQHIAGFLDGFSLCQLACVSRLMRDVCGSLLQSRGMVIL QWGKKKYPEGNSSWQIKEKVWRFSTAFCSVNDWKFADILSMADHLKNCSYNVIEKREEAI PLPCMCVTRELTKEGRSLRSVLKPVL >ENSMUSP00000028580.5 pep:known chromosome:GRCm38:2:110017817:110045316:1 gene:ENSMUSG00000027160.16 transcript:ENSMUST00000028580.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc34 description:coiled-coil domain containing 34 [Source:MGI Symbol;Acc:MGI:1915451] MRAAGPWRAASPAPCTRYLARCKPGFRPSSSFVVDLLVDSGDPGLEDVALTECLSPPSLS CSNSTLSLLSPLGHQSFPFGADDSEGEDEEALDEDARESESKVESLEGIEFQQRSSCEVE SQDKQEKLVLLQHGSLTPWEMWFVGKEKEERGRLQQKFLEELNQQIEKRKEMEEREKRKI IAEVKHKEWVQKKNKQERKEREQKINKEMEEKEAKKREKEHLQEKAKEKYQEWLKKKKAE EYEKKKKEKEKEKQRQAELQEKKEIAEKKFKEWLENAKNKPRPAAKSYGYSSGKLTGFYS GNSYPEPTFYNPIPWKPIHMPPPKEAKSGPGKKSKRHAASQPLPSSSLAVHNAKSSLCLG ALCRGQR >ENSMUSP00000127363.1 pep:known chromosome:GRCm38:2:110017962:110173360:1 gene:ENSMUSG00000027160.16 transcript:ENSMUST00000150183.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc34 description:coiled-coil domain containing 34 [Source:MGI Symbol;Acc:MGI:1915451] XVVDLLVDSGDPGLEDVALTECLSPPSLSCSNSTLSLLSPLGHQSFPFGADDSEGEDEEA LDEDARESESKVESLEGIEFQQRSSCEVESQDKQEKLVLLQHGSLTPWEMWFVGKEKEER GRLQQKFLEVRELKSMERGL >ENSMUSP00000130554.1 pep:known chromosome:GRCm38:2:110017980:110045322:1 gene:ENSMUSG00000027160.16 transcript:ENSMUST00000127630.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc34 description:coiled-coil domain containing 34 [Source:MGI Symbol;Acc:MGI:1915451] XDSGDPGLEDVALTECLSPPSLSCSNSTLSLLSPLGHQSFPFGADDSEGEDEEALDEDAR ESESKVESLEGIEFQQRSSCEVESQDKQEKLVLLQHGSLTPWEMWFVGKEKEERGRLQQK FLEELNQQIEKRKEMEEREKRKIIAEVKHKEWVQKKNKQKVPYVQLHSAPCSLRQAGPAT RLVQ >ENSMUSP00000119608.1 pep:known chromosome:GRCm38:3:146645195:146685592:-1 gene:ENSMUSG00000048652.12 transcript:ENSMUST00000125965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd13 description:sterile alpha motif domain containing 13 [Source:MGI Symbol;Acc:MGI:2686498] MLSVDMDNKGNGPVGVKNSMENGRPPDPADWAVTDVVNYFRTAGFEEQACAFQEQEIDGK SLLLMTRNDVLTGLQLKLGPALKIYEYHVKPLQTKHLKNNSS >ENSMUSP00000118464.1 pep:known chromosome:GRCm38:3:146646092:146682410:-1 gene:ENSMUSG00000048652.12 transcript:ENSMUST00000124931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd13 description:sterile alpha motif domain containing 13 [Source:MGI Symbol;Acc:MGI:2686498] MLSVDMDNKGNGPVGVKNSMENGRPPDPADWAVTDVVNYFRTAGFEEQACAFQEQEIDGK SLLLMTRNDVLTGLQLKLGPALKIYEYHVKPLQTKHLKNNSS >ENSMUSP00000118934.1 pep:known chromosome:GRCm38:3:146646103:146682522:-1 gene:ENSMUSG00000048652.12 transcript:ENSMUST00000147113.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd13 description:sterile alpha motif domain containing 13 [Source:MGI Symbol;Acc:MGI:2686498] MLSVDMDNKGNGPVGVKNSMENGRPPDPADWAVTDVVNYFRTAGFEEQACAFQEQEIDGK SLLLMTRNDVLTGLQLKLGPALKIYEYHVKPLQTKHLKNNSS >ENSMUSP00000143496.1 pep:known chromosome:GRCm38:3:146646188:146685475:-1 gene:ENSMUSG00000048652.12 transcript:ENSMUST00000197989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd13 description:sterile alpha motif domain containing 13 [Source:MGI Symbol;Acc:MGI:2686498] MENGRPPDPADWAVTDVVNYFRTAGFEEQACAFQEQEIDGKSLLLMTRNDVLTGLQLKLG PALKIYEYHVKPLQTKHLKNNSS >ENSMUSP00000021030.7 pep:known chromosome:GRCm38:11:105126425:105140394:1 gene:ENSMUSG00000020691.13 transcript:ENSMUST00000021030.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl2 description:methyltransferase like 2 [Source:MGI Symbol;Acc:MGI:1289171] MAASFPEGVPETEDGKRPQFGHRFLSDPARVFHHNAWDNVKWSEEQAAAAERKVQENSSP LVCPEKQVDYEVNAHKYWDDFYRIHENGFFKDRHWLFTEFPELAPSHSHLTGVPLEKQRS DVCEDGPGLTAEQHKCSCASPGCETQVPPLEEPVTQKLGHLEISGEEFPGSSATYRILEV GCGVGNTVFPILQTNNNPNLFVYCCDFSATAIELLKTNSQYDPSRCYAFVHDLCDEDQSY PVPEDSLDVIVLIFVLSAIVPDKMQKAISKLSRLLKPGGVMLLRDYGRYDMAQLRFKKGQ CLSGNFYVRGDGTRVYFFTQGELDTLFTAAGLEKVQNLVDRRLQVNRGKQLTMYRVWIQC KYSKPLALRSSQHVPIPHATESSSHSGLL >ENSMUSP00000016400.8 pep:known chromosome:GRCm38:2:174427493:174439039:-1 gene:ENSMUSG00000016256.10 transcript:ENSMUST00000016400.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsz description:cathepsin Z [Source:MGI Symbol;Acc:MGI:1891190] MASSGSVQQLPLVLLMLLLASAARARLYFRSGQTCYHPIRGDQLALLGRRTYPRPHEYLS PADLPKNWDWRNVNGVNYASVTRNQHIPQYCGSCWAHGSTSAMADRINIKRKGAWPSILL SVQNVIDCGNAGSCEGGNDLPVWEYAHKHGIPDETCNNYQAKDQDCDKFNQCGTCTEFKE CHTIQNYTLWRVGDYGSLSGREKMMAEIYANGPISCGIMATEMMSNYTGGIYAEHQDQAV INHIISVAGWGVSNDGIEYWIVRNSWGEPWGEKGWMRIVTSTYKGGTGDSYNLAIESACT FGDPIV >ENSMUSP00000100517.1 pep:known chromosome:GRCm38:7:108103311:108104258:1 gene:ENSMUSG00000078118.2 transcript:ENSMUST00000104917.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr483 description:olfactory receptor 483 [Source:MGI Symbol;Acc:MGI:3030317] MAFLQDGNHTAVTEFILLGLTDDPVLRVVLFTIILCIYLVTVFGNLSTILLIRVSSQLHH PMYFFLSHLASVDIGISSSVTPSMLVNFLLERSTISYLGCGIQLGSADFIASVECFLLAA MAYDRFMAVCNPLLYSTKMSTQVCVQLVVGSYIGGFLNASLIVTVYFFSFLFCGPNRIDH FFCDFAPLAELSCSDVSVSVLIISFSAGSVTMITVFVIVISYSYILITILKMHSTEGRHK AFSTCTSHLTAVTLYYGTITFIYVMPKSSFSTDQNKVVSVFYMVMIPMLNPLIYSLSNNE IKGALKRQLGMKTLS >ENSMUSP00000006112.6 pep:known chromosome:GRCm38:16:21204755:21223304:1 gene:ENSMUSG00000005958.14 transcript:ENSMUST00000006112.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb3 description:Eph receptor B3 [Source:MGI Symbol;Acc:MGI:104770] MAGARPPPGLLPLLAPLLLPLLLPAGCWALEETLMDTKWVTSELAWTSHPESGWEEVSGY DEAMNPIRTYQVCNVRESSQNNWLRTGFIWRREVQRVYVELKFTVRDCNSIPNIPGSCKE TFNLFYYEADSDVASASSPFWMENPYVKVDTIAPDESFSRLDAGRVNTKVRSFGPLSKAG FYLAFQDQGACMSLISVRAFYKKCASTTAGFALFPETLTGAEPTSLVIAPGTCIANAVEV SVPLKLYCNGDGEWMVPVGACTCATGHEPAAKESQCRACPPGSYKAKQGEGPCLPCPPNS RTTSPAASICTCHNNFYRADSDSADSACTTVPSPPRGVISNVNETSLILEWSEPRDLGGR DDLLYNVICKKCRGSSGAGGPATCSRCDDNVEFVPRQLGLTERRVHISHLLAHTRYTFEV QAVNGVSGKSPLPPRYAAVNITTNQAAPSEVPTLHLHSSSGSSLTLSWAPPERPNGVILD YEMKYFEKSKGIASTVTSQKNSVQLDGLQPDARYVVQVRARTVAGYGQYSHPAEFETTSE RGSGAQQLQEQLPLIVGSTVAGFVFMVVVVVIALVCLRKQRHGPDAEYTEKLQQYIAPGM KVYIDPFTYEDPNEAVREFAKEIDVSCVKIEEVIGAGEFGEVCRGRLKLPGRREVFVAIK TLKVGYTERQRRDFLSEASIMGQFDHPNIIRLEGVVTKSRPVMILTEFMENCALDSFLRL NDGQFTVIQLVGMLRGIAAGMKYLSEMNYVHRDLAARNILVNSNLVCKVSDFGLSRFLED DPSDPTYTSSLGGKIPIRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSYGERPYWDMSNQ DVINAVEQDYRLPPPMDCPTALHQLMLDCWVRDRNLRPKFSQIVNTLDKLIRNAASLKVT ASAPSGMSQPLLDRTVPDYTTFTTVGDWLDAIKMGRYKESFVGAGFASFDLVAQMTAEDL LRIGVTLAGHQKKILCSIQDMRLQMNQTLPVQV >ENSMUSP00000124375.1 pep:known chromosome:GRCm38:16:21211095:21222967:1 gene:ENSMUSG00000005958.14 transcript:ENSMUST00000161063.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ephb3 description:Eph receptor B3 [Source:MGI Symbol;Acc:MGI:104770] MRLAQRGVAAHWDRSIPGCRLGKRPLGNLLLCRCLFGPPCLFILLSVVVRCPASRCLVPV CQSRSTWTIFWSSQGPAFRAISRSLLLIISAFLKDHFQDKYVSVGLSARGGWDSLP >ENSMUSP00000113661.1 pep:known chromosome:GRCm38:13:41764133:41847684:-1 gene:ENSMUSG00000058022.13 transcript:ENSMUST00000121404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adtrp description:androgen dependent TFPI regulating protein [Source:MGI Symbol;Acc:MGI:1924596] MTKTTTCVYHFLVLNWYIFLNYHIPQIGRNEEKLREFHDGGRSKYLTLLNLLLQAIFFGV ACLDDVLKRVIGRKDIKFVTSFRDLLFTTMAFPISTFVFLVFWTLFHYDRSLVYPKGLDD FFPAWVNHAMHTSIFPFSLFETILRPHNYPSKKLGLTLLGAFNFAYIIRILWRYVQTGNW VYPVFDSLSPLGIIIFFSAAYILVAGIYLFGEKINHWKWGAIAKPQMKKN >ENSMUSP00000071899.3 pep:known chromosome:GRCm38:13:41763148:41847488:-1 gene:ENSMUSG00000058022.13 transcript:ENSMUST00000072012.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adtrp description:androgen dependent TFPI regulating protein [Source:MGI Symbol;Acc:MGI:1924596] MQLSSLNRGQLGHPGLRVNAERVPLSLRSREAMTKTTTCVYHFLVLNWYIFLNYHIPQIG RNEEKLREFHDGGRSKYLTLLNLLLQAIFFGVACLDDVLKRVIGRKDIKFVTSFRDLLFT TMAFPISTFVFLVFWTLFHYDRSLVYPKGLDDFFPAWVNHAMHTSIFPFSLFETILRPHN YPSKKLGLTLLGAFNFAYIIRILWRYVQTGNWVYPVFDSLSPLGIIIFFSAAYILVAGIY LFGEKINHWKWGAIAKPQMKKN >ENSMUSP00000122312.1 pep:known chromosome:GRCm38:13:41777513:41828453:-1 gene:ENSMUSG00000058022.13 transcript:ENSMUST00000137905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adtrp description:androgen dependent TFPI regulating protein [Source:MGI Symbol;Acc:MGI:1924596] MTKTTTCVYHFLVLNWYIFLNYHIPQIGRNEEKLREFHDGGRSKYLTLLNLLLQAIFFGV ACLDDVLKRVIGRKDIKFVTSFRDLLFTTMAFPISTFVFLVFWTLFHYDRSLVYPKGLDD FFPAWVNHAMHTSIFPFSLFETILRPHNYPSKKLGLTLLGAFNFAYI >ENSMUSP00000137365.1 pep:known chromosome:GRCm38:13:41764590:41847616:-1 gene:ENSMUSG00000058022.13 transcript:ENSMUST00000179758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adtrp description:androgen dependent TFPI regulating protein [Source:MGI Symbol;Acc:MGI:1924596] MTKTTTCVYHFLVLNWYIFLNYHIPQIGRNEEKLREFHDGGRSKYLTLLNLLLQAIFFGV ACLDDVLKRVIGRKDIKFVTSFRDLLFTTMAFPISTFVFLVFWTLFHYDRSLVYPKGLDD FFPAWVNHAMHTSIFPFSLFETILRPHNYPSKKLGLTLLGAFNFAYIIRILWRYVQTGNW VYPVFDSLSPLGIIIFFSAAYILVAGIYLFGEKINHWKWGAIAKPQMKKN >ENSMUSP00000091391.1 pep:known chromosome:GRCm38:9:36635754:36638602:-1 gene:ENSMUSG00000070313.8 transcript:ENSMUST00000093868.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630095E13Rik description:RIKEN cDNA A630095E13 gene [Source:MGI Symbol;Acc:MGI:3055869] MEKYLLLLLLGIFLRVGFLQALTCVSCGRLNSSGICETAETSCEATNNRKCALRLLYKDG KFQYGFQGCLGTCFNYTKTNNNMVKEHKCCDHQNLCNKP >ENSMUSP00000139086.1 pep:known chromosome:GRCm38:9:36635754:36638602:-1 gene:ENSMUSG00000070313.8 transcript:ENSMUST00000184611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630095E13Rik description:RIKEN cDNA A630095E13 gene [Source:MGI Symbol;Acc:MGI:3055869] MEKYLLLLLLGIFLRVGFLQALTCVSCGRLNSSGICETAETSCEATNNRKCALRLLYKDG KFQYGFQGCLGTCFNYTKTNNNMRYSLHHATGAGHQAFY >ENSMUSP00000131917.1 pep:known chromosome:GRCm38:7:5220478:5221410:1 gene:ENSMUSG00000091652.1 transcript:ENSMUST00000170780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r57 description:vomeronasal 1 receptor 57 [Source:MGI Symbol;Acc:MGI:3646165] MEVLALNILLLCHVVVGTVGNILLFVHNFSPNLTDSRLRPIQVILINLAVANAFMLLFST YSYDLIDFVPRKPPTDLKCKLAYFFHMVARGTIMCSTCVLSTYQFVTLVPGNWARVMFRE ISPKVVSYSCFSCWLFSVLNNAYIPMNVSGPQKSHNDSDSKDNWICSISGVSVDMNFLRF SHDIIFLGIMAWTSVSMVIHLNRHHQRMNHIHKVNQNNRGHAETRAAHTILMLVVTFVSL YILNCIIILFHITFVESRLSLRHVTKLLSLSFPTISPLLLIFREPRGHCSLLFSVGLEIH VTGGVITEEQ >ENSMUSP00000075918.4 pep:known chromosome:GRCm38:9:109478575:109495875:-1 gene:ENSMUSG00000074061.4 transcript:ENSMUST00000076617.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw19 description:F-box and WD-40 domain protein 19 [Source:MGI Symbol;Acc:MGI:3505706] MEIHLPSLPMMKILSYLDAYSLLQAAKVNKNWNELASSDVLWRKLCQKRWLYCDRVTLQL HGLETWKQFFISRTWQEHAKTRAKPEDFNYKEIPVAFEFRAHPCYISRHGLIRNGQGKSA VCMVTSTNRISTWDVHEGAMTWVSPVQPSYITRMTTLPEMHIAVTIDMQSNIKLWDCHNR KVLATTGLLSSCQLLQAVFTNDSPIVLVGDILGNLYIFRIPDLHLISKVNVFPYGIDELH CSPQKKWVLLIGKQRHVLTKVFYMSSLLRTSEFSAPVSTDLKFSLCQRAFWTPRREDRIT LMSSTIPPDPTKFATFDMKLEEIENKVTIQGHLVASFSLQDCKERAEWMGVSDKDVIVCS TGSSLLLFDINGLRLQTFQYCPEEILRLCVDPVHVIVTCNNGSLDVYVWEERSPLLRRCY RLRKRGYLPLSGFIIKTLCDESSIILVMTSSPIPCFLMAYTLKVCS >ENSMUSP00000037088.9 pep:known chromosome:GRCm38:17:34135182:34139101:1 gene:ENSMUSG00000037649.9 transcript:ENSMUST00000042121.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-DMa description:histocompatibility 2, class II, locus DMa [Source:MGI Symbol;Acc:MGI:95921] MEHEQKSGAVLLRLLRLLWLLPHSWAVLEASTPVFWDDPQNHTFRHTLFCQDGIPNIGLS ETYDEDELFSFDFSQNTRVPRLPDFAEWAQGQGDASAIAFDKSFCEMLMREVSPKLEGQI PVSRGLPVAEVFTLKPLEFGKPNTLVCFISNLFPPTLTVNWQLHSAPVEGASPTSISAVD GLTFQAFSYLNFTPEPFDLYSCTVTHEIDRYTAIAYWVPQNALPSDLLENALCGVAFGLG VLGTIMGIVFFLCSQRPCSGD >ENSMUSP00000105523.1 pep:known chromosome:GRCm38:11:4986824:5042729:1 gene:ENSMUSG00000009090.17 transcript:ENSMUST00000109897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1b1 description:adaptor protein complex AP-1, beta 1 subunit [Source:MGI Symbol;Acc:MGI:1096368] MTDSKYFTTTKKGEIFELKAELNSDKKEKKKEAVKKVIASMTVGKDVSALFPDVVNCMQT DNLELKKLVYLYLMNYAKSQPDMAIMAVNTFVKDCEDPNPLIRALAVRTMGCIRVDKITE YLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQLVEDQGFLDTLKDLISDSNPMSINKL LTALNECTEWGQIFILDCLANYMPKDDREAQSICERVTPRLSHANSAVVLSAVKVLMKFM EMLSKDLDYYATLLKKLAPPLVTLLSAEPELQYVALRNINLIVQKRPEILKHEMKVFFVK YNDPIYVKLEKLDIMIRLASQANIAQVLAELKEYATEVDVDFVRKAVRAIGRCAIKVEQS AERCVSTLLDLIQTKVNYVVQEAIVVIKDIFRKYPNKYESVIATLCENLDSLDEPEARAA MIWIVGEYAERIDNADELLESFLEGFHDESTQVQLQLLTAIVKLFLKKPTETQELVQQVL SLATQDSDNPDLRDRGYIYWRLLSTDPVAAKEVVLAEKPLISEETDLIEPTLLDELICYI GTLASVYHKPPNAFVEGGRGVVHKSLPPRTASSESTESPETAPAGAPAGDQPDVIPAQGD LLGDLLNLDLGPPVSGPPLAASSVQMGAVDLLGGGLDSLIGDSNFGAPSASVAAAPAPAR LGAPISSGLSDLFDLTSGVGTLSGSYVAPKAVWLPAMKAKGLEISGTFTRQAGSISMDLQ LTNKALQVMTDFAIQFNRNSFGLAPAAPLQVHVPLSPNQTVEISLPLNTVGSVLKMEPLN NLQVAVKNNIDVFYFSTLYPLHVLFVEDGKMDRQMFLATWKDIANENEAQFQIRDCPLNT EAASNKLQSSNIFTVAKRNVEGQDMLYQSLKLTNGIWVLAELRIQPGNPSFTLSLKCRAP EVSQHVYQAYETILKN >ENSMUSP00000099134.2 pep:known chromosome:GRCm38:11:5009530:5042729:1 gene:ENSMUSG00000009090.17 transcript:ENSMUST00000101613.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1b1 description:adaptor protein complex AP-1, beta 1 subunit [Source:MGI Symbol;Acc:MGI:1096368] MTDSKYFTTTKKGEIFELKAELNSDKKEKKKEAVKKVIASMTVGKDVSALFPDVVNCMQT DNLELKKLVYLYLMNYAKSQPDMAIMAVNTFVKDCEDPNPLIRALAVRTMGCIRVDKITE YLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQLVEDQGFLDTLKDLISDSNPMSINKL LTALNECTEWGQIFILDCLANYMPKDDREAQSICERVTPRLSHANSAVVLSAVKVLMKFM EMLSKDLDYYATLLKKLAPPLVTLLSAEPELQYVALRNINLIVQKRPEILKHEMKVFFVK YNDPIYVKLEKLDIMIRLASQANIAQVLAELKEYATEVDVDFVRKAVRAIGRCAIKVEQS AERCVSTLLDLIQTKVNYVVQEAIVVIKDIFRKYPNKYESVIATLCENLDSLDEPEARAA MIWIVGEYAERIDNADELLESFLEGFHDESTQVQLQLLTAIVKLFLKKPTETQELVQQVL SLATQDSDNPDLRDRGYIYWRLLSTDPVAAKEVVLAEKPLISEETDLIEPTLLDELICYI GTLASVYHKPPNAFVEGGRGVVHKSLPPRTASSESTESPETAPAGAPAGDQPDVIPAQGD LLGDLLNLDLGPPVSGPPLAASSVQMGAVDLLGGGLDSLMGDESEGIGDSNFGAPSASVA AAPAPARLGAPISSGLSDLFDLTSGVGTLSGSYVAPKAVWLPAMKAKGLEISGTFTRQAG SISMDLQLTNKALQVMTDFAIQFNRNSFGLAPAAPLQVHVPLSPNQTVEISLPLNTVGSV LKMEPLNNLQVAVKNNIDVFYFSTLYPLHVLFVEDGKMDRQMFLATWKDIANENEAQFQI RDCPLNTEAASNKLQSSNIFTVAKRNVEGQDMLYQSLKLTNGIWVLAELRIQPGNPSFTL SLKCRAPEVSQHVYQAYETILKN >ENSMUSP00000009234.9 pep:known chromosome:GRCm38:11:4986824:5042791:1 gene:ENSMUSG00000009090.17 transcript:ENSMUST00000009234.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1b1 description:adaptor protein complex AP-1, beta 1 subunit [Source:MGI Symbol;Acc:MGI:1096368] MTDSKYFTTTKKGEIFELKAELNSDKKEKKKEAVKKVIASMTVGKDVSALFPDVVNCMQT DNLELKKLVYLYLMNYAKSQPDMAIMAVNTFVKDCEDPNPLIRALAVRTMGCIRVDKITE YLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQLVEDQGFLDTLKDLISDSNPMVVANA VAALSEIAESHPSSNLLDLNPQSINKLLTALNECTEWGQIFILDCLANYMPKDDREAQSI CERVTPRLSHANSAVVLSAVKVLMKFMEMLSKDLDYYATLLKKLAPPLVTLLSAEPELQY VALRNINLIVQKRPEILKHEMKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKE YATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIKDIFRK YPNKYESVIATLCENLDSLDEPEARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQV QLQLLTAIVKLFLKKPTETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLSTDPVAAKEV VLAEKPLISEETDLIEPTLLDELICYIGTLASVYHKPPNAFVEGGRGVVHKSLPPRTASS ESTESPETAPAGAPAGDQPDVIPAQGDLLGDLLNLDLGPPVSGPPLAASSVQMGAVDLLG GGLDSLIGDSNFGAPSASVAAAPAPARLGAPISSGLSDLFDLTSGVGTLSGSYVAPKAVW LPAMKAKGLEISGTFTRQAGSISMDLQLTNKALQVMTDFAIQFNRNSFGLAPAAPLQVHV PLSPNQTVEISLPLNTVGSVLKMEPLNNLQVAVKNNIDVFYFSTLYPLHVLFVEDGKMDR QMFLATWKDIANENEAQFQIRDCPLNTEAASNKLQSSNIFTVAKRNVEGQDMLYQSLKLT NGIWVLAELRIQPGNPSFTLSLKCRAPEVSQHVYQAYETILKN >ENSMUSP00000113687.1 pep:known chromosome:GRCm38:11:51650954:51657681:1 gene:ENSMUSG00000052563.13 transcript:ENSMUST00000117859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D930048N14Rik description:RIKEN cDNA D930048N14 gene [Source:MGI Symbol;Acc:MGI:2144709] MVQRPPRCVLVRSLTCSSSADPSPDEALERAPHCLLLLLLLLLQLGPRPPHPVSFQNQLP NWLAGMGWSGLCRLFLRWRTVDGLWSLFLSLYLYMASEDQAQVLGLVQQRLRPANHFSCP ISKLSEERDGGCIPGIPAGRTGLEAPG >ENSMUSP00000069562.5 pep:known chromosome:GRCm38:11:51650956:51657680:1 gene:ENSMUSG00000052563.13 transcript:ENSMUST00000064493.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D930048N14Rik description:RIKEN cDNA D930048N14 gene [Source:MGI Symbol;Acc:MGI:2144709] MVQRPPRCVLVRSLTCSSSADPSPDEALERAPHCLLLLLLLLLQLGPRPPHPVSFQNQLP NWLAGMGWSGLCRLFLRWRTVDGLWSLFLSLYLYMASEDQAQVLGLVQQRLRPANHFSCP ISNVLSLYQAIRREGWWLYPGHPSRKDWVRGSWLNRTLRTGISGSPHNMNETRFVQAAGL KEGVYPLNCLPLEFIMQTRIREYLVPTFDRTMDLTCKPCGSNAKE >ENSMUSP00000031587.6 pep:known chromosome:GRCm38:5:114130386:114139323:1 gene:ENSMUSG00000029591.14 transcript:ENSMUST00000031587.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ung description:uracil DNA glycosylase [Source:MGI Symbol;Acc:MGI:109352] MIGQKTLYSFFSPTPTGKRTTRSPEPVPGSGVAAEIGGDAVASPAKKARVEQNEQGSPLS AEQLVRIQRNKAAALLRLAARNVPAGFGESWKQQLCGEFGKPYFVKLMGFVAEERNHHKV YPPPEQVFTWTQMCDIRDVKVVILGQDPYHGPNQAHGLCFSVQRPVPPPPSLENIFKELS TDIDGFVHPGHGDLSGWARQGVLLLNAVLTVRAHQANSHKERGWEQFTDAVVSWLNQNLS GLVFLLWGSYAQKKGSVIDRKRHHVLQTAHPSPLSVHRGFLGCRHFSKANELLQKSGKKP INWKEL >ENSMUSP00000099644.4 pep:known chromosome:GRCm38:5:114130926:114139321:1 gene:ENSMUSG00000029591.14 transcript:ENSMUST00000102584.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ung description:uracil DNA glycosylase [Source:MGI Symbol;Acc:MGI:109352] MGVLGRRSLRLARRAGLRSLTPNPDSDSRQASPAKKARVEQNEQGSPLSAEQLVRIQRNK AAALLRLAARNVPAGFGESWKQQLCGEFGKPYFVKLMGFVAEERNHHKVYPPPEQVFTWT QMCDIRDVKVVILGQDPYHGPNQAHGLCFSVQRPVPPPPSLENIFKELSTDIDGFVHPGH GDLSGWARQGVLLLNAVLTVRAHQANSHKERGWEQFTDAVVSWLNQNLSGLVFLLWGSYA QKKGSVIDRKRHHVLQTAHPSPLSVHRGFLGCRHFSKANELLQKSGKKPINWKEL >ENSMUSP00000142484.1 pep:known chromosome:GRCm38:5:114131229:114138378:1 gene:ENSMUSG00000029591.14 transcript:ENSMUST00000143455.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ung description:uracil DNA glycosylase [Source:MGI Symbol;Acc:MGI:109352] MGVLGRRSLRLARRAGLRSLTPNPDSDSRQASPAKKARVEQNEQGSPLSAEQLVRIQRNK AAALLRLAARNVPAGFGESWKQQLCGEFGKPYFVKLMGFVAEERNHHKVYPPPEQVFTWT QMCDIRDFGKHF >ENSMUSP00000107894.1 pep:known chromosome:GRCm38:5:114131993:114139318:1 gene:ENSMUSG00000029591.14 transcript:ENSMUST00000112275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ung description:uracil DNA glycosylase [Source:MGI Symbol;Acc:MGI:109352] MGFVAEERNHHKVYPPPEQVFTWTQMCDIRDVKVVILGQDPYHGPNQAHGLCFSVQRPVP PPPSLENIFKELSTDIDGFVHPGHGDLSGWARQGVLLLNAVLTVRAHQANSHKERGWEQF TDAVVSWLNQNLSGLVFLLWGSYAQKKGSVIDRKRHHVLQTAHPSPLSVHRGFLGCRHFS KANELLQKSGKKPINWKEL >ENSMUSP00000114140.1 pep:known chromosome:GRCm38:5:114132008:114138303:1 gene:ENSMUSG00000029591.14 transcript:ENSMUST00000137402.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ung description:uracil DNA glycosylase [Source:MGI Symbol;Acc:MGI:109352] MRAHTQIDELMGFVAEERNHHKVYPPPEQVFTWTQMCDIRDVKVVILGQDPYHGPNQAHG LCFSVQRPVPPPPSLENIFKELSTDIDGFVHPGHGDLSGWARQGVLLLNAVLTVRAHQAN SHKERGWEQFTDAVVSWLNQNLSGLVFLLWGSYAQKKGSVIDRKRHHVLQTAHPSPLSVH RGFLG >ENSMUSP00000033290.5 pep:known chromosome:GRCm38:7:133720942:133776803:-1 gene:ENSMUSG00000030986.12 transcript:ENSMUST00000033290.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx32 description:DEAH (Asp-Glu-Ala-His) box polypeptide 32 [Source:MGI Symbol;Acc:MGI:2141813] MSSLREEMDEEELDHPNASPEKRYFPESLDSSDGDEEGVLACEDLELNPFDGLPYSSRYY KLLKEREELPIWKEKYSFMESLLQNQVVVVSGDSKCGKSSQVPQWCAEYCLSIHYQHGGV ICTQAHKQTAVQLALRVADEMDVNIGHEVGYVIPFENCCTTETILRYCTDDMLQREMMSN PFLGSYGVIILDDVHERSLATDVLLGLLKDVLLARPELKLIVNCSPLLTSKLSSYYGDVP VIEVRNKHPVEVVYLSGAQKDSFESVIRLIFEIHRSGEKGDVVVFLACEQDIEKTYELVC QEGSNLNPDVGDLVVIPLYPKEKCSLFRPVDETEKRCQVYQRRVVLTTSCGESLIWSHTV KFVIDVGLERRQVYNPRIRANSLVLQPISQSQAEIRKQLLGSSPSGKLFCLYTEEFASKD MRPLKPAEMQEANLTSMVLFMKRVDIAGLGRCDFMNRPAPESLMQALEDLDYLAALDNDG NLSEFGIIMSEFPLDPQLSKSILASCEFDCVDEMLTIAAMVTAPSCFLHVPHGAEEAAVT CWKTFLHPEGDHFTLINVYNAYQDTVLNSANEHCVEMWCHDCFLSCSALRMADVIRAELL EIIKRIELPYAEPAFGSKENGLNIKKALLSGYFMQIARDVDGSGNYLMLTHKQVAQLHPL SSYSITKKMPEWVLFHQFSISENNYIRVASAVSPELFMQLVPQYYFSNLPPSESKDILQQ AAGHLPTETVNKDQDVCDKCPDATEQRCTIQ >ENSMUSP00000101745.1 pep:known chromosome:GRCm38:7:133721048:133776701:-1 gene:ENSMUSG00000030986.12 transcript:ENSMUST00000106139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx32 description:DEAH (Asp-Glu-Ala-His) box polypeptide 32 [Source:MGI Symbol;Acc:MGI:2141813] MDVNIGHEVGYVIPFENCCTTETILRYCTDDMLQREMMSNPFLGSYGVIILDDVHERSLA TDVLLGLLKDVLLARPELKLIVNCSPLLTSKLSSYYGDVPVIEVRNKHPVEVVYLSGAQK DSFESVIRLIFEIHRSGEKGDVVVFLACEQDIEKTYELVCQEGSNLNPDVGDLVVIPLYP KEKCSLFRPVDETEKRCQVYQRRVVLTTSCGESLIWSHTVKFVIDVGLERRQVYNPRIRA NSLVLQPISQSQAEIRKQLLGSSPSGKLFCLYTEEFASKDMRPLKPAEMQEANLTSMVLF MKRVDIAGLGRCDFMNRPAPESLMQALEDLDYLAALDNDGNLSEFGIIMSEFPLDPQLSK SILASCEFDCVDEMLTIAAMVTAPSCFLHVPHGAEEAAVTCWKTFLHPEGDHFTLINVYN AYQDTVLNSANEHCVEMWCHDCFLSCSALRMADVIRAELLEIIKRIELPYAEPAFGSKEN GLNIKKALLSGYFMQIARDVDGSGNYLMLTHKQVAQLHPLSSYSITKKMPEWVLFHQFSI SENNYIRVASAVSPELFMQLVPQYYFSNLPPSESKDILQQAAGHLPTETVNKDQDVCDKC PDATEQRCTIQ >ENSMUSP00000066067.1 pep:known chromosome:GRCm38:7:133721110:133782726:-1 gene:ENSMUSG00000030986.12 transcript:ENSMUST00000063669.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx32 description:DEAH (Asp-Glu-Ala-His) box polypeptide 32 [Source:MGI Symbol;Acc:MGI:2141813] MSSLREEMDEEELDHPNASPEKRYFPESLDSSDGDEEGVLACEDLELNPFDGLPYSSRYY KLLKEREELPIWKEKYSFMESLLQNQVVVVSGDSKCGKSSQVPQWCAEYCLSIHYQHGGV ICTQAHKQTAVQLALRVADEMDVNIGHEVGYVIPFENCCTTETILRYCTDDMLQREMMSN PFLGSYGVIILDDVHERSLATDVLLGLLKDVLLARPELKLIVNCSPLLTSKLSSYYGDVP VIEVRNKHPVEVVYLSGAQKDSFESVIRLIFEIHRSGEKGDVVVFLACEQDIEKTYELVC QEGSNLNPDVGDLVVIPLYPKEKCSLFRPVDETEKRCQVYQRRVVLTTSCGESLIWSHTV KFVIDVGLERRQVYNPRIRANSLVLQPISQSQAEIRKQLLGSSPSGKLFCLYTEEFASKD MRPLKPAEMQEANLTSMVLFMKRVDIAGLGRCDFMNRPAPESLMQALEDLDYLAALDNDG NLSEFGIIMSEFPLDPQLSKSILASCEFDCVDEMLTIAAMVTAPSCFLHVPHGAEEAAVT CWKTFLHPEGDHFTLINVYNAYQDTVLNSANEHCVEMWCHDCFLSCSALRMADVIRAELL EIIKRIELPYAEPAFGSKENGLNIKKALLSGYFMQIARDVDGSGNYLMLTHKQVAQLHPL SSYSITKKMPEWVLFHQFSISENNYIRVASAVSPELFMQLVPQYYFSNLPPSESKDILQQ AAGHLPTETVNKDQDVCDKCPDATEQRCTIQ >ENSMUSP00000121789.1 pep:known chromosome:GRCm38:7:133748864:133759829:-1 gene:ENSMUSG00000030986.12 transcript:ENSMUST00000149876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx32 description:DEAH (Asp-Glu-Ala-His) box polypeptide 32 [Source:MGI Symbol;Acc:MGI:2141813] MSSLREEMDEEELDHPNASPEKRYFPESLDSSDGDEEGVLACEDLELNPFDGLPYSSRYY KLLKEREELPIWKEKYSFMESLLQNQVVVVSGDSKCGKSSQHGGVICTQAHKQTAVQLAL RVADEMDVNIGHEVGYVIPFENC >ENSMUSP00000115677.1 pep:known chromosome:GRCm38:7:133749009:133776687:-1 gene:ENSMUSG00000030986.12 transcript:ENSMUST00000130182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx32 description:DEAH (Asp-Glu-Ala-His) box polypeptide 32 [Source:MGI Symbol;Acc:MGI:2141813] MSSLREEMDEEELDHPNASPEKRYFPESLDSSDGDEEGVLACEDLELNPFDGLPYSSRYY KLLKEREELPIWKEKYSFMESLLQNQVVVVSGDSKCGKSSQVPQWCAEYC >ENSMUSP00000118326.1 pep:known chromosome:GRCm38:7:133759678:133776509:-1 gene:ENSMUSG00000030986.12 transcript:ENSMUST00000135989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx32 description:DEAH (Asp-Glu-Ala-His) box polypeptide 32 [Source:MGI Symbol;Acc:MGI:2141813] MSSLREEM >ENSMUSP00000023468.5 pep:known chromosome:GRCm38:16:16753016:16829313:-1 gene:ENSMUSG00000022783.11 transcript:ENSMUST00000023468.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag6l description:sperm associated antigen 6-like [Source:MGI Symbol;Acc:MGI:1354388] MSQRQVLQVFEQYQKARTQFVQMVAELATRPQNIETLQNAGVMSLLRPLLLDVVPTIQQT AALALGRLANYNDDLAEAVVKGDILPQLVYSLAEQNRFYKKAAAFVLRAVGKHSPQLAQA IVDCGALDTLVICLEDFDPGVKEAAAWALGYIARHNTELSQAVVDAGAIPLLVLCIQEPE IALKRIAASALSDISKHSPELAQTVVDAGAIAHLAQMILNPDAKLKRQVLSALSQIAKHS VDLAEMVVEAEIFPVVLTCLKDKDEYVKKNACTLIREIAKHTPELSQLIVNAGGVAAVID CIGSCKGNIRLPGIMMLGYVAAHSENLAMAVIISKGVPQLSICLSEEPEDHIKAAAAWAL GQLGRHTPEHARAVAVTNTLPVLLSLYMSPESSEDLQLKSKKAIKNILQKCTYLPALEPF LYDAPPNILKHVVGQFSKVLPHDSKARRLFVTSGGLKKVQEIKAEPGSLLQEYINSINNC YPEEIVRYYSPGYSDTLLQRVDSYQPL >ENSMUSP00000035106.5 pep:known chromosome:GRCm38:9:120110374:120124504:1 gene:ENSMUSG00000032519.11 transcript:ENSMUST00000035106.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a38 description:solute carrier family 25, member 38 [Source:MGI Symbol;Acc:MGI:2384782] MGVSAEPRSLSVAGAGLASPVIEKARSALLQSQDVEDTVETLMLHPVIKAFLCGSISGTC STLLFQPLDLLKTRLQALQPSDLGPRRVGMLAVFLKVVRTESLLGLWKGMSPSIVRCVPG VGIYFGTLYSSKQYFLRGHPPTALESVILGMGSRSVAGVCMSPITVIKTRYESGTYSYES IYAALRSIYCSEGHRGLFRGLTATLLRDAPFSGLYLMFYSQTRTAVLHGTAQLDAALIPL INFSCGIFAGVLASLVTQPADVIKTHMQLSPVKFQWIGQAATLIFKNHGLRGFFHGSVPR ALRRTLMAAMAWTVYEEMMARMGLKS >ENSMUSP00000123357.1 pep:known chromosome:GRCm38:9:120110405:120120676:1 gene:ENSMUSG00000032519.11 transcript:ENSMUST00000150093.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a38 description:solute carrier family 25, member 38 [Source:MGI Symbol;Acc:MGI:2384782] MGVSAEPRSLSVAGAGLASPVIEKARSALLQSQDVEDTVETLMLHPVIKAFLCGSISGTC STLLFQPLDLLKTRLQALQPSDLGPRRVGMLAVFLKVVRTESLLGLWKGMSPDPPCRKSQ GIGFHPPHSSLQPLEDGK >ENSMUSP00000121454.1 pep:known chromosome:GRCm38:9:120110424:120123651:1 gene:ENSMUSG00000032519.11 transcript:ENSMUST00000144768.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a38 description:solute carrier family 25, member 38 [Source:MGI Symbol;Acc:MGI:2384782] MGVSAEPRSLSVAGAGLASPVIEKARSALLQSQDVEDTVETLMLHPVIKAFLCGSISGTC STLLFQPLDLLKTRLQALQPSDLGPRRVGMLAVFLKVVRTESLLGLWKGMSPDPPCRKSQ GIGFHPPHSSLQPLEDVHCEMCPWSGDLLWHPVFFEAVFLARPSSHRPGVGHPGHGLPLC GRSLHVTHHSDQDTL >ENSMUSP00000121747.1 pep:known chromosome:GRCm38:9:120114850:120122195:1 gene:ENSMUSG00000032519.11 transcript:ENSMUST00000135514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a38 description:solute carrier family 25, member 38 [Source:MGI Symbol;Acc:MGI:2384782] MSHEERDHACEKVELPNSVKAQLHPVIKAFLCGSISGTCSTLLFQPLDLLKTRLQALQPS DLGPRRVGMLAVFLKVVRTESLLGLWKGMSPSIVRCVPGVGIYFGTLYSSKQYFLRGHPP TALESVILGMGSRSVAGVCMSPITVIKTRYESGTYSYESIYAALRSIYCSEGHRGLFRGL TATLLRDAPFSGLYLMFYSQTRTAVLHGTAQLDAALIPLINFSCGIFAGVLASLVTQPAD VIK >ENSMUSP00000001080.9 pep:known chromosome:GRCm38:11:51643063:51650842:-1 gene:ENSMUSG00000001053.15 transcript:ENSMUST00000001080.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp3 description:NEDD4 binding protein 3 [Source:MGI Symbol;Acc:MGI:2442218] MATTSGPAGIAMGSVGSLLERQDFSPEELRAALAGSRGSRQPDGLLRKGLGQREFFSYLH LPKKDGKTTKRAPRNEPDYATLYYREHPRAGDFSKTSLPERGRFDKCRIRPSVFKPPVGS GKGFLSMQSLAAHKGQKLWRSNGSLHTLACHPPLSPGPRASQARAQLLHALSLDEGGPEP SLSDSSSGGSFGRSPGTGPSPFSSSLGHINHLGGSLDRAPRSPKESGPLAVLSCLPEPPP PYEFSCPTTEEVAVLPEAREELKRDLGDQDVSNSFTQVLEERQRLWLSELKRLYVERLHE VAQKAERSERNLQLQLFMAQQEQRRLRKELRAQQGLAPEPRTSGSSMEADPNARPEEEAR WEVCQKTAEISLLKQQLREAQAELAQKLAEIFSLKTQLRGSRAQAQAQDAELARLREAVH SLQEQAPREEAPGSCETDDCKSRGLLGEAGGSEAREGAEQLRAELLQERLRGQEQALRFE QERQTWQEEKERVLRYQREIQGSYMDMYRRNQALEHELRLLREPPTSWSPRLESSKI >ENSMUSP00000123658.1 pep:known chromosome:GRCm38:11:51645554:51650837:-1 gene:ENSMUSG00000001053.15 transcript:ENSMUST00000156835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp3 description:NEDD4 binding protein 3 [Source:MGI Symbol;Acc:MGI:2442218] MATTSGPAGIAMGSVGSLLERQDFSPEELRAALAGSRGSRQPDGLLRKGLGQREFFSYLH LPKKDGKTTKRAPRNEPDYATLYYREHPRAGDFSKTSLPERGRFDKCRIRPSVFKPPVGS GKGFLSMQSLAAHKGQKLWRSNGSLHTLAC >ENSMUSP00000122860.1 pep:known chromosome:GRCm38:11:51645619:51650820:-1 gene:ENSMUSG00000001053.15 transcript:ENSMUST00000142721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp3 description:NEDD4 binding protein 3 [Source:MGI Symbol;Acc:MGI:2442218] MATTSGPAGIAMGSVGSLLERQDFSPEELRAALAGSRGSRQPDGLLRKGLGQREFFSYLH LPKKDGKTTKRAPRNEPDYATLYYREHPRAGDFSKTSLPERGRFDKCRIRPSVFKPPVGS GKGFLSMQ >ENSMUSP00000097477.1 pep:known chromosome:GRCm38:2:86374463:86375410:-1 gene:ENSMUSG00000075187.1 transcript:ENSMUST00000099892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1057 description:olfactory receptor 1057 [Source:MGI Symbol;Acc:MGI:3030891] MATGNLTHVTEFILMGVSDRPELQVPLFFLFLVIYLLTAAGNLGIITLTSVDSRLQTPMY FFLRHLAIINFGNSTVIAPKMLVNFLVSKKTTLYYECATQLGGFLVFIVAEIFMLAVMAY DRYVAICNPLLYMVVVSRRVCLLLVFLTYLFSFVTAIVVTPCVFSVSYCSSNVINHFYCD NVPLLALSCSDTHLPETVVFFFSATNLFFSMIIVLISYFNIILAILRIRSSEGRKKAFST CASHMMAVTVFYGTLLFMYLQPRTNHSLDTDKMASVFYTLIIPMLNPVIYSLRNKDVKCA LKEFLKNPCKRFNLI >ENSMUSP00000016399.5 pep:known chromosome:GRCm38:2:174450695:174457882:1 gene:ENSMUSG00000016255.5 transcript:ENSMUST00000016399.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubb1 description:tubulin, beta 1 class VI [Source:MGI Symbol;Acc:MGI:107814] MREIVHIQIGQCGNQIGAKFWEVIGEEHGIDCAGSYCGTSALQLERISVYYNEAYGKKYV PRAVLVDLEPGTMDSIRSSRLGVLFQPDSFVHGNSGAGNNWAKGHYTEGAELIENVMDVV RRESESCDCLQGFQIVHSLGGGTGSGMGTLLMNKIREEYPDRILNSFSVMPSPKVSDTVV EPYNAVLSIHQLIENTDACFCIDNEALYDICFRTLRLTTPTYGDLNHLVSLTMSGITTSL RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTAQGSQQYRALSVAELTQQMFDARNIM AACDPRRGRYLTVACIFRGKMSTKEVDQQLLSIQTRNSNCFVEWIPNNVKVAVCDIPPRG LNMAATFLGNNTAIQELFTRVSEHFSAMFRRRAFVHWYTSEGMDISEFGEAESDIHDLVS EYQQFQDVRAGLEDSEEDVEEAEVEAEDKDH >ENSMUSP00000126043.1 pep:known chromosome:GRCm38:14:4397526:4406347:1 gene:ENSMUSG00000095056.7 transcript:ENSMUST00000164038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3159 description:predicted gene 3159 [Source:MGI Symbol;Acc:MGI:3781338] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSASNTSTQNSNITNQI SNINKVRELKLHKRKISNDMEEMCGILIVYMYEDLNYRINTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLNDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC GEVKRFCEEASKNICASSAKEQQV >ENSMUSP00000133201.1 pep:known chromosome:GRCm38:14:4397545:4406347:1 gene:ENSMUSG00000095056.7 transcript:ENSMUST00000167245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3159 description:predicted gene 3159 [Source:MGI Symbol;Acc:MGI:3781338] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSASNTSTQNSNITNQI SNINKVRELKLHKRKISNDMEEMCGILIVYMYEDLNYRINTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLNDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC GEVKRFCEEASKNICASSAKEQQSEILQQKAEHGTDHDMISLKEKCWRRSTVCAQEIHHC CLSSLITMAVMGCMLLLYFGFLGMNRP >ENSMUSP00000137464.1 pep:known chromosome:GRCm38:14:4397589:4400560:1 gene:ENSMUSG00000095056.7 transcript:ENSMUST00000177764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3159 description:predicted gene 3159 [Source:MGI Symbol;Acc:MGI:3781338] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSASNTSTQNSNITNQI SNINKVRELKLHKRKISNDMEEMCGILIVYMYEDLNYRINTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLNDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC GEVKRFCEEASKNICASSAKEQQVG >ENSMUSP00000040550.6 pep:known chromosome:GRCm38:X:16709282:16817366:-1 gene:ENSMUSG00000040147.14 transcript:ENSMUST00000040820.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maob description:monoamine oxidase B [Source:MGI Symbol;Acc:MGI:96916] MSNKSDVIVVGGGISGMAAAKLLHDCGLSVVVLEARDRVGGRTYTIRNKNVKYVDLGGSY VGPTQNRILRLAKELGLETYKVNEVERLIHFVKGKSYAFRGPFPPVWNPITYLDNNNLWR TMDEMGQEIPSDAPWKAPLAEEWDYMTMKELLDKICWTKSTKQIATLFVNLCVTAETHEV SALWFLWYVKQCGGTTRIISTTNGGQERKFIGGSGQVSERIKDILGDRVKLERPVIHIDQ TGENVIVKTLNHEIYEAKYVISAIPPALGMKIHYSPPLPMLRNQLISRVPLGSVIKCMVY YKEPFWRKKDFCGTMVIEGEEAPIAYTLDDTKPDGTYAAIMGFILAHKARKLVRLTKEER LRKLCELYAKVLNSQEALQPVHYEEKNWCEEQYSGGCYTTYFPPGILTQYGRVLRQPVGK IFFAGTETASHWSGYMEGAVEAGERAAREILHAIGKIPEDEIWQPEPESLDVPARPITST FLERHLPSVPGLLKLFGLTTILSATALGFLAHKRGLFVHF >ENSMUSP00000127235.1 pep:known chromosome:GRCm38:X:16710039:16817275:-1 gene:ENSMUSG00000040147.14 transcript:ENSMUST00000168613.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Maob description:monoamine oxidase B [Source:MGI Symbol;Acc:MGI:96916] MSNKSDVIVVGGGISGMAAAKLLHDCGLSVVVLEARDRVGGRTYTIRNKNVKYVDLGGSY VGPTQNRILRLAKELGLETYKVNEVERLIHFVKGKSYAFRGPFPPVWNPITYLDNNNLWR TMDEMGQEIPSDAPWKAPLAEEWDYMTMKELLDKICWTKSTKQIATLFVNLCVTAETHEV SALWFLWYVKQCGGTTRIISTTNGGQGKMLL >ENSMUSP00000131743.1 pep:known chromosome:GRCm38:X:16718256:16817275:-1 gene:ENSMUSG00000040147.14 transcript:ENSMUST00000163344.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Maob description:monoamine oxidase B [Source:MGI Symbol;Acc:MGI:96916] MSNKSDVIVVGGGISGMAAAKLLHDCGLSVVVLEARDRVGGRTYTIRNKNVKYVDLGGSY VGPTQNRILRLAKELGLETYKVNEVERLIHFVKIYKADCHALCEPVCNCRDP >ENSMUSP00000134555.1 pep:known chromosome:GRCm38:X:16740130:16817320:-1 gene:ENSMUSG00000040147.14 transcript:ENSMUST00000173143.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Maob description:monoamine oxidase B [Source:MGI Symbol;Acc:MGI:96916] MSNKSDVIVVGGGISEKPANSSQFTSSLWRRIEKL >ENSMUSP00000106489.1 pep:known chromosome:GRCm38:5:139271876:139325622:-1 gene:ENSMUSG00000056413.16 transcript:ENSMUST00000110865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adap1 description:ArfGAP with dual PH domains 1 [Source:MGI Symbol;Acc:MGI:2442201] MAGERRRALLELLTRPGNTRCADCGAPDPDWASYTLGVFICLSCSGIHRNIPQVSKVKSV RLDAWDEAQVEFMASHGNEAARATFESKVPPFYYRPTFSDCQLLREQWIRAKYERQEFVH VEKQEPYSTGYREGLLWKRGRDNGQFLSRKFVLTEREGALKYFNKNDAKEPKAVMKIEHL NATFQPAKMGHPHGLQVTYLKDNSTRNIFIYHEDGKEIVDWFNALRAARFHYLQVAFPGA SDADLVPKLSRNYLKEGYMEKTGPKQTEGFRKRWFTMDDRRLMYFKDPLDAFARGEVFIG SKESGYTVLEGLPLSTQGHHWPHGITIVTPDRKFLFTCETESDQREWIAAFQKVVDRPML PQEYAVEAHFKHKP >ENSMUSP00000028522.3 pep:known chromosome:GRCm38:2:71786978:71856758:1 gene:ENSMUSG00000027111.15 transcript:ENSMUST00000028522.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga6 description:integrin alpha 6 [Source:MGI Symbol;Acc:MGI:96605] MAVAGQLCLLYLSAGLLARLGTAFNLDTREDNVIRKSGDPGSLFGFSLAMHWQLQPEDKR LLLVGAPRAEALPLQRANRTGGLYSCDITSRGPCTRIEFDNDADPMSESKEDQWMGVTVQ SQGPGGKVVTCAHRYEKRQHVNTKQESRDIFGRCYVLSQNLRIEDDMDGGDWSFCDGRLR GHEKFGSCQQGVAATFTKDFHYIVFGAPGTYNWKGIVRVEQKNNTFFDMNIFEDGPYEVG GETDHDESLVPVPANSYLGFSLDSGKGIVSKDDITFVSGAPRANHSGAVVLLKRDMKSAH LLPEYIFDGEGLASSFGYDVAVVDLNADGWQDIVIGAPQYFDRDGEVGGAVYVYINQQGK WSNVKPIRLNGTKDSMFGISVKNIGDINQDGYPDIAVGAPYDDLGKVFIYHGSPTGIITK PTQVLEGTSPYFGYSIAGNMDLDRNSYPDLAVGSLSDSVTIFRSRPVINILKTITVTPNR IDLRQKSMCGSPSGICLKVKACFEYTAKPSGYNPPISILGILEAEKERRKSGLSSRVQFR NQGSEPKYTQELTLNRQKQRACMEETLWLQENIRDKLRPIPITASVEIQEPSSRRRVNSL PEVLPILNSNEAKTVQTDVHFLKEGCGDDNVCNSNLKLEYKFGTREGNQDKFSYLPIQKG IPELVLKDQKDIALEITVTNSPSDPRNPRKDGDDAHEAKLIATFPDTLTYSAYRELRAFP EKQLSCVANQNGSQADCELGNPFKRNSSVTFYLILSTTEVTFDTTDLDINLKLETTSNQD NLAPITAKAKVVIELLLSVSGVAKPSQVYFGGTVVGEQAMKSEDEVGSLIEYEFRVINLG KPLKNLGTATLNIQWPKEISNGKWLLYLMKVESKGLEQIVCEPHNEINYLKLKESHNSRK KRELPEKQIDDSRKFSLFPERKYQTLNCSVNVRCVNIRCPLRGLDSKASLVLRSRLWNST FLEEYSKLNYLDILLRASIDVTAAAQNIKLPHAGTQVRVTVFPSKTVAQYSGVAWWIILL AVLAGILMLALLVFLLWKCGFFKRNKKDHYDATYHKAEIHTQPSDKERLTSDA >ENSMUSP00000107729.1 pep:known chromosome:GRCm38:2:71786940:71856771:1 gene:ENSMUSG00000027111.15 transcript:ENSMUST00000112101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga6 description:integrin alpha 6 [Source:MGI Symbol;Acc:MGI:96605] MAVAGQLCLLYLSAGLLARLGTAFNLDTREDNVIRKSGDPGSLFGFSLAMHWQLQPEDKR LLLVGAPRAEALPLQRANRTGGLYSCDITSRGPCTRIEFDNDADPMSESKEDQWMGVTVQ SQGPGGKVVTCAHRYEKRQHVNTKQESRDIFGRCYVLSQNLRIEDDMDGGDWSFCDGRLR GHEKFGSCQQGVAATFTKDFHYIVFGAPGTYNWKGIVRVEQKNNTFFDMNIFEDGPYEVG GETDHDESLVPVPANSYLGFSLDSGKGIVSKDDITFVSGAPRANHSGAVVLLKRDMKSAH LLPEYIFDGEGLASSFGYDVAVVDLNADGWQDIVIGAPQYFDRDGEVGGAVYVYINQQGK WSNVKPIRLNGTKDSMFGISVKNIGDINQDGYPDIAVGAPYDDLGKVFIYHGSPTGIITK PTQVLEGTSPYFGYSIAGNMDLDRNSYPDLAVGSLSDSVTIFRSRPVINILKTITVTPNR IDLRQKSMCGSPSGICLKVKACFEYTAKPSGYNPPISILGILEAEKERRKSGLSSRVQFR NQGSEPKYTQELTLNRQKQRACMEETLWLQENIRDKLRPIPITASVEIQEPSSRRRVNSL PEVLPILNSNEAKTVQTDVHFLKEGCGDDNVCNSNLKLEYKFGTREGNQDKFSYLPIQKG IPELVLKDQKDIALEITVTNSPSDPRNPRKDGDDAHEAKLIATFPDTLTYSAYRELRAFP EKQLSCVANQNGSQADCELGNPFKRNSSVTFYLILSTTEVTFDTTDLDINLKLETTSNQD NLAPITAKAKVVIELLLSVSGVAKPSQVYFGGTVVGEQAMKSEDEVGSLIEYEFRVINLG KPLKNLGTATLNIQWPKEISNGKWLLYLMKVESKGLEQIVCEPHNEINYLKLKESHNSRK KRELPEKQIDDSRKFSLFPERKYQTLNCSVNVRCVNIRCPLRGLDSKASLVLRSRLWNST FLEEYSKLNYLDILLRASIDVTAAAQNIKLPHAGTQVRVTVFPSKTVAQYSGVAWWIILL AVLAGILMLALLVFLLWKCGFFKRSRYDDSIPRYHAVRIRKEEREIKDEKHMDNLEKKQW ITKWNENESYS >ENSMUSP00000118086.1 pep:known chromosome:GRCm38:2:71826762:71854951:1 gene:ENSMUSG00000027111.15 transcript:ENSMUST00000155249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga6 description:integrin alpha 6 [Source:MGI Symbol;Acc:MGI:96605] XFIYHGSPTGIITKPTQVLEGTSPYFGYSIAGNMDLDRNSYPDLAVGSLSDSVTIFRSRP VINILKTITVTPNRIDLRQKSMCGSPSGICLKVKACFEYTAKPSGYNPPISILGILEAEK ERRKSGLSSRVQFRNQGSEPKYTQELTLNRQKQRACMEETLWLQENIRDKLRPIPITASV EIQEPSSRRRVNSLPEVLPILNSNEAKTVQTDVHFLKEGCGDDNVCNSNLKLEYKFGTRE GNQDKFSYLPIQKGIPELVLKDQKDIALEITVTNSPSDPRNPRKDGDDAHEAKLIATFPD TLTYSAYRELRAFPEKQLSCVANQNGSQADCELGNPFKRNSSVTFYLILSTTEVTFDTTD LDINLKLETTSNQDNLAPITAKAKVVIELLLSVSGVAKPSQVYFGGTVVGEQAMKSEDEV GSLIEYEFRVINLGKPLKNLGTATLNIQWPKEISNGKWLLYLMKVESKGLEQIVCEPHNE INYLKLKESHNSRKKRELPEKQIDDSRKFSLFPERKYQTLNCSVNVRCVNIRCPLRGLDS KASLVLRSRLWNSTFLEEYSKLNYLDILLRASIDVTAAAQNIKLPHAGTQVRVTVFPSKT VAQYSGVAWWIILLAVLAGILMLALLVFLLWKCGFFKRNKKDHYDATYHKAEIHTQPSDK ERLTSDA >ENSMUSP00000140922.1 pep:known chromosome:GRCm38:19:5502767:5503787:-1 gene:ENSMUSG00000100937.1 transcript:ENSMUST00000189704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700020D05Rik description:RIKEN cDNA 1700020D05 gene [Source:MGI Symbol;Acc:MGI:1922805] MSVSPPGHMLQKTRSRSHPGAQLWSPSDCCPGVSREIARASGHPDASQDTPGTPSPSFRQ VQATSAPELWTQKQLELKVAELVQFLLIKDQRKIPIKQSGIMKHVVRDYKNIFPDLLKLA AERLHYVFGYKLVELDPKSNAYILINALEPVGKDGELRGYQRTPTTGLLMIILGLILMNG HSIPENDVWCFLRRLGVYPTKKHSVFGYPKKLITEDFVKQRYLEYRRIPHTDPVDCELQW GPRANLETSKMKVLKFVAKIHNQDPKDWPTQYCEALADEQRRVRPEPNGPALTS >ENSMUSP00000134694.1 pep:known chromosome:GRCm38:17:35121455:35123301:1 gene:ENSMUSG00000092417.3 transcript:ENSMUST00000173380.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpank1 description:G patch domain and ankyrin repeats 1 [Source:MGI Symbol;Acc:MGI:2148975] MSRPSFIEFTPAADSSDLWKDGQQQPQQEKAEPVLDGAAARAFYEALIADDSSSSKPQRA EPMRERKKKRRRVTREPAAAGV >ENSMUSP00000135684.2 pep:known chromosome:GRCm38:17:35121482:35123659:1 gene:ENSMUSG00000092417.3 transcript:ENSMUST00000173043.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpank1 description:G patch domain and ankyrin repeats 1 [Source:MGI Symbol;Acc:MGI:2148975] MSRPSFIEFTPAADSSDLWKDGQQQPQQEKAEPVLDGAAARAFYEALIADDSSSSKPQRA EPMRERKKKRRRVTREPAAAGVPRQGRALEDEDRMAQWILLAAQNGDLTELRRLLEPQEA GGAGGNINARDAFWWTPLMCAARAGQGAAVRYLLGRGAAWVGVCDLGGRDAAQLAEEAGF PEVARMVRESHGETRSPENQNR >ENSMUSP00000133240.2 pep:known chromosome:GRCm38:17:35121483:35124814:1 gene:ENSMUSG00000092417.3 transcript:ENSMUST00000165306.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpank1 description:G patch domain and ankyrin repeats 1 [Source:MGI Symbol;Acc:MGI:2148975] MSRPSFIEFTPAADSSDLWKDGQQQPQQEKAEPVLDGAAARAFYEALIADDSSSSKPQRA EPMRERKKKRRRVTREPAAAGVPRQGRALEDEDRMAQWILLAAQNGDLTELRRLLEPQEA GGAGGNINARDAFWWTPLMCAARAGQGAAVRYLLGRGAAWVGVCDLGGRDAAQLAEEAGF PEVARMVRESHGETRSPENQNRSTPSSSQFCEDCGAHFEDSNHHTSTAHLLSLSRRPQPS NLPLGVPTSSPGFRLLLRGGWEPGMGLGPRGEGRANPIPTILKRDQEGLGYRSPPQPRVT HFAARDTRAVSGRERVPRVATLSQRENRRQEEKGRAWERDLRLYMNLEF >ENSMUSP00000056646.8 pep:known chromosome:GRCm38:17:35122952:35124806:1 gene:ENSMUSG00000092417.3 transcript:ENSMUST00000052167.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpank1 description:G patch domain and ankyrin repeats 1 [Source:MGI Symbol;Acc:MGI:2148975] MVGSLACHILALPSLHFLVSLTGMSRPSFIEFTPAADSSDLWKDGQQQPQQEKAEPVLDG AAARAFYEALIADDSSSSKPQRAEPMRERKKKRRRVTREPAAAGVPRQGRALEDEDRMAQ WILLAAQNGDLTELRRLLEPQEAGGAGGNINARDAFWWTPLMCAARAGQGAAVRYLLGRG AAWVGVCDLGGRDAAQLAEEAGFPEVARMVRESHGETRSPENQNRSTPSSSQFCEDCGAH FEDSNHHTSTAHLLSLSRRPQPSNLPLGVPTSSPGFRLLLRGGWEPGMGLGPRGEGRANP IPTILKRDQEGLGYRSPPQPRVTHFAARDTRAVSGRERVPRVATLSQRENRRQEEKGRAW ERDLRLYMNLEF >ENSMUSP00000082154.3 pep:known chromosome:GRCm38:7:84246278:84410119:-1 gene:ENSMUSG00000015709.9 transcript:ENSMUST00000085077.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt2 description:aryl hydrocarbon receptor nuclear translocator 2 [Source:MGI Symbol;Acc:MGI:107188] MATPAAVNPPEMASDIPGSVALPVAPMAATGQVRMAGAMPARGGKRRSGMDFDDEDGEGP SKFSRENHSEIERRRRNKMTQYITELSDMVPTCSALARKPDKLTILRMAVSHMKSMRGTG NKSTDGAYKPSFLTEQELKHLILEAADGFLFVVAAETGRVIYVSDSVTPVLNQPQSEWFG STLYEQVHPDDVEKLREQLCTSENSMTGRILDLKTGTVKKEGQQSSMRMCMGSRRSFICR MRCGNAPLDHLPLNRITTMRKRFRNGLGPVKEGEAQYAVVHCTGYIKAWPPAGMTIPEED ADVGQGSKYCLVAIGRLQVTSSPVCMDMSGMSVPTEFLSRHNSDGIITFVDPRCISVIGY QPQDLLGKDILEFCHPEDQSHLRESFQQVVKLKGQVLSVMYRFRTKNREWLLIRTSSFTF QNPYSDEIEYVICTNTNVKQLQQQQAELEVHQRDGLSSYDLSQVPVPNLPAGVHEAGKSV EKADAIFSQERDPRFAEMFAGISASEKKMMSSASASGSQQIYSQGSPFPAGHSGKAFSSS VVHVPGVNDIQSSSSTGQNISQISRQLNQGQVAWTGSRPPFPGQPSKTQSSAFGIGSSHP YPADPSSYSPLSSPAASSPSGNAYPSLANRTPGFAESGQSGGQFQGRPSEVWSQWQSQHH GQQSGEQHSHQQPGQTEVFQDMLPMPGDPTQGTGNYNIEDFADLGMFPPFSE >ENSMUSP00000147129.1 pep:known chromosome:GRCm38:7:84246281:84367911:-1 gene:ENSMUSG00000015709.9 transcript:ENSMUST00000209133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt2 description:aryl hydrocarbon receptor nuclear translocator 2 [Source:MGI Symbol;Acc:MGI:107188] MASDIPGSVALPVAPMAATGQVRMAGAMPARGGKRRSGMDFDDEDGEGPSKFSRENHSEI ERRRRNKMTQYITELSDMVPTCSALARKPDKLTILRMAVSHMKSMRGTGNKSTDGAYKPS FLTEQELKHLILEAADGFLFVVAAETGRVIYVSDSVTPVLNQPQSEWFGSTLYEQVHPDD VEKLREQLCTSENSMTGRILDLKTGTVKKEGQQSSMRMCMGSRRSFICRMRCGNAPLDHL PLNRITTMRKRFRNGLGPVKEGEAQYAVVHCTGYIKAWPPAGMTIPEEDADVGQGSKYCL VAIGRLQVTSSPVCMDMSGMSVPTEFLSRHNSDGIITFVDPRCISVIGYQPQDLLGKDIL EFCHPEDQSHLRESFQQVVKLKGQVLSVMYRFRTKNREWLLIRTSSFTFQNPYSDEIEYV ICTNTNVKQLQQQQAELEVHQRDGLSSYDLSQVPVPNLPAGVHEAGKSVEKADAIFSQER DPRFAEMFAGISASEKKMMSSASASGSQQIYSQGSPFPAGHSGKAFSSSVVHVPGVNDIQ SSSSTGQNISQISRQLNQGQVAWTGSRPPFPGQPSKTQSSAFGIGSSHPYPADPSSYSPL SSPAASSPSGNAYPSLANRTPGFAESGQSGGQFQGRPSEVWSQWQSQHHGQQSGEQHSHQ QPGQTEVFQDMLPMPGDPTQGTGNYNIEDFADLGMFPPFSE >ENSMUSP00000146413.1 pep:known chromosome:GRCm38:7:84246281:84372531:-1 gene:ENSMUSG00000015709.9 transcript:ENSMUST00000208232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt2 description:aryl hydrocarbon receptor nuclear translocator 2 [Source:MGI Symbol;Acc:MGI:107188] MASDIPGSVALPVAPMAATGQVRMAGAMPARGGKRRSGMDFDDEDGEGPSKFSRENHSEI ERRRRNKMTQYITELSDMVPTCSALARKPDKLTILRMAVSHMKSMRGTGNKSTDGAYKPS FLTEQELKHLILEAADGFLFVVAAETGRVIYVSDSVTPVLNQPQSEWFGSTLYEQVHPDD VEKLREQLCTSENSMTGRILDLKTGTVKKEGQQSSMRMCMGSRRSFICRMRCGNAPLDHL PLNRITTMRKRFRNGLGPVKEGEAQYAVVHCTGYIKAWPPAGMTIPEEDADVGQGSKYCL VAIGRLQVTSSPVCMDMSGMSVPTEFLSRHNSDGIITFVDPRCISVIGYQPQDLLGKDIL EFCHPEDQSHLRESFQQVVKLKGQVLSVMYRFRTKNREWLLIRTSSFTFQNPYSDEIEYV ICTNTNVKQLQQQQAELEVHQRDGLSSYDLSQVPVPNLPAGVHEAGKSVEKADAIFSQER DPRFAEMFAGISASEKKMMSSASASGSQQIYSQGSPFPAGHSGKAFSSSVVHVPGVNDIQ SSSSTGQNISQISRQLNQGQVAWTGSRPPFPGQPSKTQSSAFGIGSSHPYPADPSSYSPL SSPAASSPSGNAYPSLANRTPGFAESGQSGGQFQGRPSEVWSQWQSQHHGQQSGEQHSHQ QPGQTEVFQDMLPMPGDPTQGTGNYNIEDFADLGMFPPFSE >ENSMUSP00000146989.1 pep:known chromosome:GRCm38:7:84250076:84263775:-1 gene:ENSMUSG00000015709.9 transcript:ENSMUST00000208564.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arnt2 description:aryl hydrocarbon receptor nuclear translocator 2 [Source:MGI Symbol;Acc:MGI:107188] XKVHQIHHLKAEKQTNSRIQQPSIPKFVSVLKLTACSLCPEAVRFLGAPMGQINWSTKSS SSVVHVPGVNDIQSSSSTGQNISQISRQLNQGQVAWTGSRPPFPGQQDAVICLRNWIKPP LPG >ENSMUSP00000146614.1 pep:known chromosome:GRCm38:7:84268842:84310978:-1 gene:ENSMUSG00000015709.9 transcript:ENSMUST00000208129.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arnt2 description:aryl hydrocarbon receptor nuclear translocator 2 [Source:MGI Symbol;Acc:MGI:107188] XGRILDLKTGTVKKEGQQSSMRMCMGSRRSFICRMRCGNAPLDHLPLNRITTMRKRFRNG LGPVKEGEAQYAVVHCTGYIKAWPPAGMTIPEEDADVGQGSKYCLVAIGRLQVTSSPVCM DMSGMSVPTEFLSRHNSDGIITFVDPRCISVIGYQPQVSG >ENSMUSP00000146781.1 pep:known chromosome:GRCm38:7:84309092:84409883:-1 gene:ENSMUSG00000015709.9 transcript:ENSMUST00000208204.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arnt2 description:aryl hydrocarbon receptor nuclear translocator 2 [Source:MGI Symbol;Acc:MGI:107188] GNPGRRQPSGDGVRHTRICGLACCPHGSHRTGENGRGHACPRRKASIRNGLR >ENSMUSP00000146599.1 pep:known chromosome:GRCm38:7:84310945:84409963:-1 gene:ENSMUSG00000015709.9 transcript:ENSMUST00000208995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt2 description:aryl hydrocarbon receptor nuclear translocator 2 [Source:MGI Symbol;Acc:MGI:107188] MASDIPGSVALPVAPMAATGQVRMAGAMPARGGKRRSGMDFDDEDGEGPSKFSRENHSEI ERRRRNKMTQYITELSDMVPTCSALARKPDKLTILRMAVSHMKSMRGTGNKSTDGAYKPS FLTEQELKHLILEAADGFLFVVAAETGRVIYVSDSVTPVLNQPQSEWFGSTLYEQVHPDD VEKLREQLCTSENSMTGRILDLKTGTV >ENSMUSP00000146414.1 pep:known chromosome:GRCm38:7:84343851:84410176:-1 gene:ENSMUSG00000015709.9 transcript:ENSMUST00000207769.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt2 description:aryl hydrocarbon receptor nuclear translocator 2 [Source:MGI Symbol;Acc:MGI:107188] MATPAAVNPPEMASDIPGSVALPVAPMAATGQVRMAGAMPARGGKRRSGMDFDDEDGEGP SKFSSEIERRRRNKMTQYITELSDMVPTCSALARKPDKLTILRMAVSHMKSMRGTGNKST DGAYKPSFLTEQELKHLILEAADGFLFVVAAETGRVIYVSDSVTPVL >ENSMUSP00000146776.1 pep:known chromosome:GRCm38:7:84347432:84372495:-1 gene:ENSMUSG00000015709.9 transcript:ENSMUST00000208392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt2 description:aryl hydrocarbon receptor nuclear translocator 2 [Source:MGI Symbol;Acc:MGI:107188] MASDIPGSVALPVAPMAATGQVRMAGAMPARGGKRRSGMDFDDEDGEGPSKFSSEIERRR RNKMTQYITELSDMVPTCSALARKPDKLTIL >ENSMUSP00000146868.1 pep:known chromosome:GRCm38:7:84347436:84372658:-1 gene:ENSMUSG00000015709.9 transcript:ENSMUST00000208863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt2 description:aryl hydrocarbon receptor nuclear translocator 2 [Source:MGI Symbol;Acc:MGI:107188] MASDIPGSVALPVAPMAATGQVRMAGAMPARGGKRRSGMDFDDEDGEGPSKFSRENHSEI ERRRRNKMTQYITELSDMVPTCSALARKPDKLT >ENSMUSP00000114404.1 pep:known chromosome:GRCm38:10:128630843:128645991:-1 gene:ENSMUSG00000002578.15 transcript:ENSMUST00000133342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf4 description:IKAROS family zinc finger 4 [Source:MGI Symbol;Acc:MGI:1343139] MHTPPALPRRFQGGGRVRTPGSHRQGKDNLERELSGGCAPDFLPQAQDSNHFIMESLFCE SSGDSSLEKEFLGAPVGPSVSTPNSQHSSPSRSLSANSIKVEMYSDEESSRLLGPDERLL DKDDSVIVEDSLSEPLGYCDGSGPEPHSPGGIRLPNGKLKCDVCGMVCIGPNVLMVHKRS HTGERPFHCNQCGASFTQKGNLLRHIKLHSGEKPFKCPFCNYACRRRDALTGHLRTHSVS SPTVGKPYKCNYCGRSYKQQSTLEEHKERCHNYLQSLSTDAQALTGQPGDEIRDLEMVPD SMLHPSTERPTFIDRLANSLTKRKRSTPQKFVGEKQMRFSLSDLPYDVNASGGYEKDVEL VAHHGLEPGFGGSLAFVGTEHLRPLRLPPTNCISELTPVISSVYTQMQPIPSRLELPGSR EAGEGPEDLGDGGPLLYRARGSLTDPGASPSNGCQDSTDTESNHEDRIGGVVSLPQGPPP QPPPTIVVGRHSPAYAKEDPKPQEGLLRGTPGPSKEVLRVVGESGEPVKAFKCEHCRILF LDHVMFTIHMGCHGFRDPFECNICGYHSQDRYEFSSHIVRGEHKVG >ENSMUSP00000066991.3 pep:known chromosome:GRCm38:10:128632415:128645826:-1 gene:ENSMUSG00000002578.15 transcript:ENSMUST00000065334.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf4 description:IKAROS family zinc finger 4 [Source:MGI Symbol;Acc:MGI:1343139] MHTPPALPRRFQGGGRVRTPGSHRQGKDNLERELSGGCAPDFLPQAQDSNHFIMESLFCE SSGDSSLEKEFLGAPVGPSVSTPNSQHSSPSRSLSANSIKVEMYSDEESSRLLGPDERLL DKDDSVIVEDSLSEPLGYCDGSGPEPHSPGGIRLPNGKLKCDVCGMVCIGPNVLMVHKRS HTGERPFHCNQCGASFTQKGNLLRHIKLHSGEKPFKCPFCNYACRRRDALTGHLRTHSVS SPTVGKPYKCNYCGRSYKQQSTLEEHKERCHNYLQSLSTDAQALTGQPGDEIRDLEMVPD SMLHPSTERPTFIDRLANSLTKRKRSTPQKFVGEKQMRFSLSDLPYDVNASGGYEKDVEL VAHHGLEPGFGGSLAFVGTEHLRPLRLPPTNCISELTPVISSVYTQMQPIPSRLELPGSR EAGEGPEDLGDGGPLLYRARGSLTDPGASPSNGCQDSTDTESNHEDRIGGVVSLPQGPPP QPPPTIVVGRHSPAYAKEDPKPQEGLLRGTPGPSKEVLRVVGESGEPVKAFKCEHCRILF LDHVMFTIHMGCHGFRDPFECNICGYHSQDRTRRLVPRLLGPVMINGREKGDVSFLSANF QYNQKNCPRMNYTYVPVNHSTLVPARMGRTQLGVTSTALSILSSRHRAGEAVFSGGCRHS GYSDNRGFVRPCRRRHSSIAGGSLSL >ENSMUSP00000100866.2 pep:known chromosome:GRCm38:10:128632469:128645844:-1 gene:ENSMUSG00000002578.15 transcript:ENSMUST00000105233.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ikzf4 description:IKAROS family zinc finger 4 [Source:MGI Symbol;Acc:MGI:1343139] MHTPPALPRRFQGGGRVRTPGSHRQGKDNLERELSGGCAPDFLPQAQDSNHFIMESLFCE SLCAYTHACSC >ENSMUSP00000113478.1 pep:known chromosome:GRCm38:10:128633714:128644648:-1 gene:ENSMUSG00000002578.15 transcript:ENSMUST00000119614.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf4 description:IKAROS family zinc finger 4 [Source:MGI Symbol;Acc:MGI:1343139] MESLFCESSGDSSLEKEFLGAPVGPSVSTPNSQHSSPSRSLSANSIKVEMYSDEESSRLL GPDERLLDKDDSVIVEDSLSEPLGYCDGSGPEPHSPGGIRLPNGKLKCDVCGMVCIGPNV LMVHKRSHTGERPFHCNQCGASFTQKGNLLRHIKLHSGEKPFKCPFCNYACRRRDALTGH LRTHSVSSPTVGKPYKCNYCGRSYKQQSTLEEHKERCHNYLQSLSTDAQALTGQPGDEIR DLEMVPDSMLHPSTERPTFIDRLANSLTKRKRSTPQKFVGEKQMRFSLSDLPYDVNASGG YEKDVELVAHHGLEPGFGGSLAFVGTEHLRPLRLPPTNCISELTPVISSVYTQMQPIPSR LELPGSREAGEGPEDLGDGGPLLYRARGSLTDPGASPSNGCQDSTDTESNHEDRIGGVVS LPQGPPPQPPPTIVVGRHSPAYAKEDPKPQEGLLRGTPGPSKEVLRVVGESGEPVKAFKC EHCRILFLDHVMFTIHMGCHGFRDPFECNICGYHSQDRYEFSSHIVRGEHKVG >ENSMUSP00000115337.1 pep:known chromosome:GRCm38:10:128640261:128645796:-1 gene:ENSMUSG00000002578.15 transcript:ENSMUST00000156052.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ikzf4 description:IKAROS family zinc finger 4 [Source:MGI Symbol;Acc:MGI:1343139] XPALPRRFQGGGRVRTPGSHRQGKDNVAGTHLWRRSSLGPQWGPR >ENSMUSP00000115050.1 pep:known chromosome:GRCm38:10:128641177:128645790:-1 gene:ENSMUSG00000002578.15 transcript:ENSMUST00000139442.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ikzf4 description:IKAROS family zinc finger 4 [Source:MGI Symbol;Acc:MGI:1343139] XLPRRFQGGGRVRTPGSHRQGKDNVVDYRWLQPKLYLPSVLSSKVAGTHLWRRSSLGPQW GPR >ENSMUSP00000115638.1 pep:known chromosome:GRCm38:10:128641203:128645807:-1 gene:ENSMUSG00000002578.15 transcript:ENSMUST00000153650.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ikzf4 description:IKAROS family zinc finger 4 [Source:MGI Symbol;Acc:MGI:1343139] MHTPPALPRRFQGGGRVRTPGSHRQGKDNVVDYRWLQPKLYLPSVLSSKVAGTHLWRRSS LGPQWGPR >ENSMUSP00000090511.5 pep:known chromosome:GRCm38:11:83703991:83706268:1 gene:ENSMUSG00000069792.5 transcript:ENSMUST00000092836.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc17 description:WAP four-disulfide core domain 17 [Source:MGI Symbol;Acc:MGI:3649773] MKTATVLFLVALITVGMNTTYVVSCPKEFEKPGACPKPSPESVGICVDQCSGDGSCPGNM KCCSNSCGHVCKTPVF >ENSMUSP00000103297.1 pep:known chromosome:GRCm38:4:52855558:52858466:-1 gene:ENSMUSG00000049648.3 transcript:ENSMUST00000107670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr273 description:olfactory receptor 273 [Source:MGI Symbol;Acc:MGI:3030107] MDKNNQTFVSEFLLLGLAGYPKTEIIYFVIVLVMYLVILTGNGVLIIASIFDSRLHTPMY FFLGNLSFLDICYTTSSVPSTLVSLISKKRNISFSGCAVQMFFGFAMGSTECLLLGMMAF DRYVAICNPLRYSIIMSKEVYVFMASASWFSGSINSVVQTSLAMRLPFCGNNVINHFTCE VLAVLKLACADISLNIITMVISNMAFLVLPLLVIFFSYLFILHTILRMNSATGRRKAFST CSAHLTVVIIFYGTIFSMYAKPKSQDLTGQDKFQTSDKIISLFYGVVTPMLNPIIYSLRN KDVKAAVKYILKQKYVP >ENSMUSP00000052080.2 pep:known chromosome:GRCm38:4:52855514:52856572:-1 gene:ENSMUSG00000049648.3 transcript:ENSMUST00000051520.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr273 description:olfactory receptor 273 [Source:MGI Symbol;Acc:MGI:3030107] MDKNNQTFVSEFLLLGLAGYPKTEIIYFVIVLVMYLVILTGNGVLIIASIFDSRLHTPMY FFLGNLSFLDICYTTSSVPSTLVSLISKKRNISFSGCAVQMFFGFAMGSTECLLLGMMAF DRYVAICNPLRYSIIMSKEVYVFMASASWFSGSINSVVQTSLAMRLPFCGNNVINHFTCE VLAVLKLACADISLNIITMVISNMAFLVLPLLVIFFSYLFILHTILRMNSATGRRKAFST CSAHLTVVIIFYGTIFSMYAKPKSQDLTGQDKFQTSDKIISLFYGVVTPMLNPIIYSLRN KDVKAAVKYILKQKYVP >ENSMUSP00000003207.4 pep:known chromosome:GRCm38:7:25379527:25396379:-1 gene:ENSMUSG00000003123.15 transcript:ENSMUST00000003207.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipe description:lipase, hormone sensitive [Source:MGI Symbol;Acc:MGI:96790] MEPAVESAPVGAQASKQGKEGSKNRSRRRWRKGKIKASAFSHSMDLRTMTQSLVTLAEDN MAFFSSQGPGETARRLSNVFAGVREQALGLEPTLGQLLGVAHHFDLDTETPANGYRSLVH TARCCLAHLLHKSRYVASNRKSIFFRASHNLAELEAYLAALTQLRAMAYYAQRLLTINRP GVLFFEGDEGLTADFLQEYVTLHKGCFYGRCLGFQFTPAIRPFLQTLSIGLVSFGEHYKR NETGLSVTASSLFTGGRFAIDPELRGAEFERIIQNLDVHFWKAFWNITEIEVLSSLANMA STTVRVSRLLSLPPEAFEMPLTSDPRLTVTISPPLAHTGPAPVLARLISYDLREGQDSKV LNSLAKSEGPRLELRPRPHQAPRSRALVVHIHGGGFVAQTSKSHEPYLKNWAQELGVPIF SIDYSLAPEAPFPRALEECFFAYCWAVKHCDLLGSTGERICLAGDSAGGNLCITVSLRAA AYGVRVPDGIMAAYPVTTLQSSASPSRLLSLMDPLLPLSVLSKCVSAYSGTEAEDHFDSD QKALGVMGLVQRDTSLFLRDLRLGASSWLNSFLELSGRKPQKTTSPTAESVRPTESMRRS VSEAALAQPEGLLGTDTLKKLTIKDLSNSEPSDSPEMSQSMETLGPSTPSDVNFFLRPGN SQEEAEAKDEVRPMDGVPRVRAAFPEGFHPRRSSQGVLHMPLYTSPIVKNPFMSPLLAPD SMLKTLPPVHLVACALDPMLDDSVMFARRLRDLGQPVTLKVVEDLPHGFLSLAALCRETR QATEFCVQRIRLILTPPAAPLN >ENSMUSP00000050935.7 pep:known chromosome:GRCm38:7:25379645:25390106:-1 gene:ENSMUSG00000003123.15 transcript:ENSMUST00000054301.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipe description:lipase, hormone sensitive [Source:MGI Symbol;Acc:MGI:96790] MDLRTMTQSLVTLAEDNMAFFSSQGPGETARRLSNVFAGVREQALGLEPTLGQLLGVAHH FDLDTETPANGYRSLVHTARCCLAHLLHKSRYVASNRKSIFFRASHNLAELEAYLAALTQ LRAMAYYAQRLLTINRPGVLFFEGDEGLTADFLQEYVTLHKGCFYGRCLGFQFTPAIRPF LQTLSIGLVSFGEHYKRNETGLSVTASSLFTGGRFAIDPELRGAEFERIIQNLDVHFWKA FWNITEIEVLSSLANMASTTVRVSRLLSLPPEAFEMPLTSDPRLTVTISPPLAHTGPAPV LARLISYDLREGQDSKVLNSLAKSEGPRLELRPRPHQAPRSRALVVHIHGGGFVAQTSKS HEPYLKNWAQELGVPIFSIDYSLAPEAPFPRALEECFFAYCWAVKHCDLLGSTGERICLA GDSAGGNLCITVSLRAAAYGVRVPDGIMAAYPVTTLQSSASPSRLLSLMDPLLPLSVLSK CVSAYSGTEAEDHFDSDQKALGVMGLVQRDTSLFLRDLRLGASSWLNSFLELSGRKPQKT TSPTAESVRPTESMRRSVSEAALAQPEGLLGTDTLKKLTIKDLSNSEPSDSPEMSQSMET LGPSTPSDVNFFLRPGNSQEEAEAKDEVRPMDGVPRVRAAFPEGFHPRRSSQGVLHMPLY TSPIVKNPFMSPLLAPDSMLKTLPPVHLVACALDPMLDDSVMFARRLRDLGQPVTLKVVE DLPHGFLSLAALCRETRQATEFCVQRIRLILTPPAAPLN >ENSMUSP00000145665.1 pep:known chromosome:GRCm38:7:25379661:25389224:-1 gene:ENSMUSG00000003123.15 transcript:ENSMUST00000206861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipe description:lipase, hormone sensitive [Source:MGI Symbol;Acc:MGI:96790] MDLRTMTQSLVTLAEDNMAFFSSQGPGETARRLSNVFAGVREQALGLEPTLGQLLGVAHH FDLDTETPANGYRSLVHTARCCLAHLLHKSRYVASNRKSIFFRASHNLAELEAYLAALTQ LRAMAYYAQRLLTINRPGVLFFEGDEGLTADFLQEYVTLHKGCFYGRCLGFQFTPAIRPF LQTLSIGLVSFGEHYKRNETGLSVTASSLFTGGRFAIDPELRGAEFERIIQNLDVHFWKA FWNITEIEVLSSLANMASTTVRVSRLLSLPPEAFEMPLTSDPRLTVTISPPLAHTGPAPV LARLISYDLREGQDSKVLNSLAKSEGPRLELRPRPHQAPRSRALVVHIHGGGFVAQTSKS HEPYLKNWAQELGVPIFSIDYSLAPEAPFPRALEECFFAYCWAVKHCDLLGSTGERICLA GDSAGGNLCITVSLRAAAYGVRVPDGIMAAYPVTTLQSSASPSRLLSLMDPLLPLSVLSK CVSAYSGTEAEDHFDSDQKALGVMGLVQRDTSLFLRDLRLGASSWLNSFLELSGRKPQKT TSPTAESVRPTESMRRSVSEAALAQPEGLLGTDTLKKLTIKDLSNSEPSDSPEMSQSMET LGPSTPSDVNFFLRPGNSQEEAEAKDEVRPMDGVPRVRAAFPEGFHPRRSSQGVLHMPLY TSPIVKNPFMSPLLAPDSMLKTLPPVHLVACALDPMLDDSVMFARRLRDLGQPVTLKVVE DLPHGFLSLAALCRETRQATEFCVQRIRLILTPPAAPLN >ENSMUSP00000123485.1 pep:known chromosome:GRCm38:7:25379661:25398710:-1 gene:ENSMUSG00000003123.15 transcript:ENSMUST00000149349.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipe description:lipase, hormone sensitive [Source:MGI Symbol;Acc:MGI:96790] MKPRRPISFPGEITTMDPSSPSVSRPEWKPETQERPIIKPDPGPETTLTDHPGSKMLQES GTPQKQSLPNEPTAQQEAEFQQEQGIQQSALLQKFLTPFAFPAPQQSSPSQKVHLDQQEA ISPNGPGAGKVYTTPQEPQLGEEHMGMTKSGPAEPPPATEAETTSTAQAVSGPDKKPSTQ TNLVSQEGPEQSDPTAQQTPLVQGAKSKQGSLTESGFLTRLHDLSIQQTSPEWKSFFDCV TVSDIEKCLSSSSKSNSPKPSYGTAIPEMALLSKKKPEFEKLSGYGGKLPHGKKTSIQKH KHYWDTASAFSHSMDLRTMTQSLVTLAEDNMAFFSSQGPGETARRLSNVFAGVREQALGL EPTLGQLLGVAHHFDLDTETPANGYRSLVHTARCCLAHLLHKSRYVASNRKSIFFRASHN LAELEAYLAALTQLRAMAYYAQRLLTINRPGVLFFEGDEGLTADFLQEYVTLHKGCFYGR CLGFQFTPAIRPFLQTLSIGLVSFGEHYKRNETGLSVTASSLFTGGRFAIDPELRGAEFE RIIQNLDVHFWKAFWNITEIEVLSSLANMASTTVRVSRLLSLPPEAFEMPLTSDPRLTVT ISPPLAHTGPAPVLARLISYDLREGQDSKVLNSLAKSEGPRLELRPRPHQAPRSRALVVH IHGGGFVAQTSKSHEPYLKNWAQELGVPIFSIDYSLAPEAPFPRALEECFFAYCWAVKHC DLLGSTGERICLAGDSAGGNLCITVSLRAAAYGVRVPDGIMAAYPVTTLQSSASPSRLLS LMDPLLPLSVLSKCVSAYSGTEAEDHFDSDQKALGVMGLVQRDTSLFLRDLRLGASSWLN SFLELSGRKPQKTTSPTAESVRPTESMRRSVSEAALAQPEGLLGTDTLKKLTIKDLSNSE PSDSPEMSQSMETLGPSTPSDVNFFLRPGNSQEEAEAKDEVRPMDGVPRVRAAFPEGFHP RRSSQGVLHMPLYTSPIVKNPFMSPLLAPDSMLKTLPPVHLVACALDPMLDDSVMFARRL RDLGQPVTLKVVEDLPHGFLSLAALCRETRQATEFCVQRIRLILTPPAAPLN >ENSMUSP00000145669.1 pep:known chromosome:GRCm38:7:25383153:25384926:-1 gene:ENSMUSG00000003123.15 transcript:ENSMUST00000205923.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lipe description:lipase, hormone sensitive [Source:MGI Symbol;Acc:MGI:96790] RLLSLPPEAFEMPLTSDPRLTVTISPPLAHTGPAPVLARLISYDLREGQDSKVLNSLAKS EGPRLELRPRPHQAPRSRALVVHIHGGGFVAQTSKSHEPYLKNWAQELGVPIFSIDYSLA PEAPFPRALEECFFAYCWAVKHCDLLGETQVQLESGYALQGTVQVGISASLCPFGQQPME >ENSMUSP00000146000.1 pep:known chromosome:GRCm38:7:25388561:25390477:-1 gene:ENSMUSG00000003123.15 transcript:ENSMUST00000205698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipe description:lipase, hormone sensitive [Source:MGI Symbol;Acc:MGI:96790] MDLRTMTQSLVTLAEDNMAFFSSQ >ENSMUSP00000100811.2 pep:known chromosome:GRCm38:7:25397459:25398709:-1 gene:ENSMUSG00000003123.15 transcript:ENSMUST00000105177.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipe description:lipase, hormone sensitive [Source:MGI Symbol;Acc:MGI:96790] MKPRRPISFPGEITTMDPSSPSVSRPEWKPETQERPIIKPDPGPETTLTDHPGSKMLQES GTPQKQSLPNEPTAQQEAEFQQEQGIQQSALLQKFLTPFAFPAPQQSSPSQKVHLDQQEA ISPNGPGAGKVYTTPQEPQLGEEHMGMTKSGPAEPPPATEAETTSTAQAVSGPDKKPSTQ TNLVSQEGPEQSDPTAQQTPLVQGAKSKQGSLTESGFLTRLHDLSIQQTSPEWKSFFDCV TVSDIEKCLSSSSKSNSPKPSYGTAIPEMALLSKKKPEFEKLSGYGGKLPHGKKTSIQKH KHYWDTGESEPGRLDRAFPGQSFSCAKYFLYLRS >ENSMUSP00000056774.6 pep:known chromosome:GRCm38:13:101680563:101768217:-1 gene:ENSMUSG00000041417.15 transcript:ENSMUST00000055518.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r1 description:phosphatidylinositol 3-kinase, regulatory subunit, polypeptide 1 (p85 alpha) [Source:MGI Symbol;Acc:MGI:97583] MSAEGYQYRALYDYKKEREEDIDLHLGDILTVNKGSLVALGFSDGQEARPEDIGWLNGYN ETTGERGDFPGTYVEYIGRKRISPPTPKPRPPRPLPVAPGSSKTEADTEQQALPLPDLAE QFAPPDVAPPLLIKLLEAIEKKGLECSTLYRTQSSSNPAELRQLLDCDAASVDLEMIDVH VLADAFKRYLADLPNPVIPVAVYNEMMSLAQELQSPEDCIQLLKKLIRLPNIPHQCWLTL QYLLKHFFKLSQASSKNLLNARVLSEIFSPVLFRFPAASSDNTEHLIKAIEILISTEWNE RQPAPALPPKPPKPTTVANNSMNNNMSLQDAEWYWGDISREEVNEKLRDTADGTFLVRDA STKMHGDYTLTLRKGGNNKLIKIFHRDGKYGFSDPLTFNSVVELINHYRNESLAQYNPKL DVKLLYPVSKYQQDQVVKEDNIEAVGKKLHEYNTQFQEKSREYDRLYEEYTRTSQEIQMK RTAIEAFNETIKIFEEQCQTQERYSKEYIEKFKREGNEKEIQRIMHNHDKLKSRISEIID SRRRLEEDLKKQAAEYREIDKRMNSIKPDLIQLRKTRDQYLMWLTQKGVRQKKLNEWLGN ENTEDQYSLVEDDEDLPHHDEKTWNVGSSNRNKAENLLRGKRDGTFLVRESSKQGCYACS VVVDGEVKHCVINKTATGYGFAEPYNLYSSLKELVLHYQHTSLVQHNDSLNVTLAYPVYA QQRR >ENSMUSP00000047004.6 pep:known chromosome:GRCm38:13:101683664:101692630:-1 gene:ENSMUSG00000041417.15 transcript:ENSMUST00000035532.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r1 description:phosphatidylinositol 3-kinase, regulatory subunit, polypeptide 1 (p85 alpha) [Source:MGI Symbol;Acc:MGI:97583] MYTTVWTMEDLDLECAKTDINCGTDLVFYIEMDPPALPPKPPKPTTVANNSMNNNMSLQD AEWYWGDISREEVNEKLRDTADGTFLVRDASTKMHGDYTLTLRKGGNNKLIKIFHRDGKY GFSDPLTFNSVVELINHYRNESLAQYNPKLDVKLLYPVSKYQQDQVVKEDNIEAVGKKLH EYNTQFQEKSREYDRLYEEYTRTSQEIQMKRTAIEAFNETIKIFEEQCQTQERYSKEYIE KFKREGNEKEIQRIMHNHDKLKSRISEIIDSRRRLEEDLKKQAAEYREIDKRMNSIKPDL IQLRKTRDQYLMWLTQKGVRQKKLNEWLGNENTEDQYSLVEDDEDLPHHDEKTWNVGSSN RNKAENLLRGKRDGTFLVRESSKQGCYACSVVVDGEVKHCVINKTATGYGFAEPYNLYSS LKELVLHYQHTSLVQHNDSLNVTLAYPVYAQQRR >ENSMUSP00000140256.1 pep:known chromosome:GRCm38:13:101687582:101692576:-1 gene:ENSMUSG00000041417.15 transcript:ENSMUST00000187009.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r1 description:phosphatidylinositol 3-kinase, regulatory subunit, polypeptide 1 (p85 alpha) [Source:MGI Symbol;Acc:MGI:97583] MNNNMSLQDAEWYWGDISREEVNEKLRDTADGTFLVRDASTKMHGDYTLTLRKGGNNKLI KIFHRDGKYGFSDPLTFNSVVELINHYRNESLAQYNPKLDVKLLYPVSKYQQDQVVKEDN IEAVGKKLHEYNTQFQEKSREYDRLYEEYTRTSQEIQMKRTAIEAFNETIKIFE >ENSMUSP00000140312.1 pep:known chromosome:GRCm38:13:101689040:101694600:-1 gene:ENSMUSG00000041417.15 transcript:ENSMUST00000185795.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r1 description:phosphatidylinositol 3-kinase, regulatory subunit, polypeptide 1 (p85 alpha) [Source:MGI Symbol;Acc:MGI:97583] MHNLQTLPPKPPKPTTVANNSMNNNMSLQDAEWYWGDISREEVNEKLRDTADGTFLVRDA STKMHGDYTLTLRKGGNNKLIKIFHRDGKYGFSDPLTFNSVVELINHYRNES >ENSMUSP00000113048.1 pep:known chromosome:GRCm38:17:25989036:26021860:-1 gene:ENSMUSG00000037098.17 transcript:ENSMUST00000118828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip3 description:RAB11 family interacting protein 3 (class II) [Source:MGI Symbol;Acc:MGI:2444431] MGSESTYSECETFTDEDTSTLVHPELQPEGDVDSAGGSGVPSECLDTMEEPDHGALLLLP GRSRPHSQAVVMVIGSEEHFEDYGEGNEAELSPETLCDGDGEDPAFLTPSPAKRLSSRKV ARYLHQSGTLTMEALEDPPPEPVECPEEDIADKVIFLERRVSELEKDSAAAGEQHGRLRQ ENLQLVHRANALEEQLKEQEFRAQEKVLEETRKQKELLCKMEREKSIEIENLQARLQQLD EENSELRSCTPCLKANIERLEEEKQKMLDEIEELTQRLSEEQENKRKMGDRLSHERHQFQ RDKEATQELIEDLRKQLEHLQLLRLEVEQRRGRSSSLGLQEYNSRARESELEQEVRRLKQ DNRNLKEQNDELNGQIITLSIQGAKSLFSTSFSESLAAEISSVSRDELMEAIQKQEEINF RLQDYIDRIIVAILETNPSILEVK >ENSMUSP00000113521.1 pep:known chromosome:GRCm38:17:25989036:26069177:-1 gene:ENSMUSG00000037098.17 transcript:ENSMUST00000122103.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip3 description:RAB11 family interacting protein 3 (class II) [Source:MGI Symbol;Acc:MGI:2444431] MELCQPTSLSDHDQPASGPQRGVMGLVGPDAPRGWSEEPEEHAQLQRWPEGPNAPICWPE EVEEPHAPSRWAKEPNAPRCSSQEPDESCHLAEELEESDSPRCWPQEPDTPCHLAKELEE PDAPRCLPQEPDTPCYLAKELEEPNIPRCWPQEPDVPCHLAKELEEPDAPRCWPQEPDAF CHLLKEVEEPDALRCWLQGPDAPCHLAKELEDLDSPRCWPQEPDESCHLAKELEEPDAPC HLAKELEEPDAPRCWPQEPDVPCLLAKKWEESDAPCLLTEELEEPDALHCWPQESEAPCL LAKELEEPDASHSCPQEADTGCLSAKEPEEPDVSHLWQGVPDAPCLLVKEPEEADALHCC WPEESEEPDALNPPCFWANEPDEPDPSRCWSEEPQVLCLWPEEQNTKRCWQEEPDAPCFW PEDREEPIVSCLQFKEPEKPKVRSSWPEELEDCCPTRGLPLEPLLADGELLQACPGPPSD PGPALSLPSEPGTAQEEGARLRAVFDALDRDGDGFVRIEDFIQFATVYGAEQVKDLTQYL DPSGLGVISFEDFYQGIVAIRNGDPDGQLYSVEPVQDEETPACADEFDDFVTYEANEVTD SAYMGSESTYSECETFTDEDTSTLVHPELQPEGDVDSAGGSGVPSECLDTMEEPDHGALL LLPGRSRPHSQAVVMVIGSEEHFEDYGEGNEAELSPETLCDGDGEDPAFLTPSSNPLASK LCDVLTDEAFEFYCSQCHKQINRLEDLSARLTDLEMNSPAKRLSSRKVARYLHQSGTLTM EALEDPPPEPVECPEEDIADKVIFLERRVSELEKDSAAAGEQHGRLRQENLQLVHRANAL EEQLKEQEFRAQEKVLEETRKQKELLCKMEREKSIEIENLQARLQQLDEENSELRSCTPC LKANIERLEEEKQKMLDEIEELTQRLSEEQENKRKMGDRLSHERHQFQRDKEATQELIED LRKQLEHLQLLRLEVEQRRGRSSSLGLQEYNSRARESELEQEVRRLKQDNRNLKEQNDEL NGQIITLSIQGAKSLFSTSFSESLAAEISSVSRDELMEAIQKQEEINFRLQDYIDRIIVA ILETNPSILEVK >ENSMUSP00000112875.1 pep:known chromosome:GRCm38:17:25989045:26069409:-1 gene:ENSMUSG00000037098.17 transcript:ENSMUST00000120691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip3 description:RAB11 family interacting protein 3 (class II) [Source:MGI Symbol;Acc:MGI:2444431] MELCQPTSLSDHDQPASGPQRGVMGLVGPDAPRGWSEEPEEHAQLQRWPEGPNAPICWPE EVEEPHAPSRWAKEPNAPRCSSQEPDESCHLAEELEESDSPRCWPQEPDTPCHLAKELEE PDAPRCLPQEPDTPCYLAKELEEPNIPRCWPQEPDVPCHLAKELEEPDAPRCWPQEPDAF CHLLKEVEEPDALRCWLQGPDAPCHLAKELEDLDSPRCWPQEPDESCHLAKELEEPDAPC HLAKELEEPDAPRCWPQEPDVPCLLAKKWEESDAPCLLTEELEEPDALHCWPQESEAPCL LAKELEEPDASHSCPQEADTGCLSAKEPEEPDVSHLWQGVPDAPCLLVKEPEEADALHCC WPEESEEPDALNPPCFWANEPDEPDPSRCWSEEPQVLCLWPEEQNTKRCWQEEPDAPCFW PEDREEPIVSCLQFKEPEKPKVRSSWPEELEDCCPTRGLPLEPLLADGELLQACPGPPSD PGPALSLPSEPGTAQEEGARLRAVFDALDRDGDGFVRIEDFIQFATVYGAEQVKDLTQYL DPSGLGVISFEDFYQGIVAIRNGDPDGQLYSVEPVQDEETPACADEFDDFVTYEANEVTD SAYMGSESTYSECETFTDEDTSTLVHPELQPEGDVDSAGGSGVPSECLDTMEEPDHGALL LLPGRSRPHSQAVVMVIGSEEHFEDYGEGNEAELSPETLCDGDGEDPAFLTPSPAKRLSS RKVARYLHQSGTLTMEALEDPPPEPVECPEEDIADKVIFLERRVSELEKDSAAAGEQHGR LRQENLQLVHRANALEEQLKEQEFRAQEKVLEETRKQKELLCKMEREKSIEIENLQARLQ QLDEENSELRSCTPCLKANIERLEEEKQKMLDEIEELTQRLSEEQENKRKMGDRLSHERH QFQRDKEATQELIEDLRKQLEHLQLLRLEVEQRRGRSSSLGLQEYNSRARESELEQEVRR LKQDNRNLKEQNDELNGQIITLSIQGAKSLFSTSFSESLAAEISSVSRDELMEAIQKQEE INFRLQDYIDRIIVAILETNPSILEVK >ENSMUSP00000119626.1 pep:known chromosome:GRCm38:17:26013845:26018460:-1 gene:ENSMUSG00000037098.17 transcript:ENSMUST00000148021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip3 description:RAB11 family interacting protein 3 (class II) [Source:MGI Symbol;Acc:MGI:2444431] MMKMTHISTGILTANEVTDSAYMGSESTYSECETFTDEDTSTLVHPELQPEGDVDSAGGS GVPSECLDTMEEPDHGALLLLPGRSRPHSQAVVMVIGSEEHFEDYGEG >ENSMUSP00000096332.3 pep:known chromosome:GRCm38:7:21614092:21614985:1 gene:ENSMUSG00000074322.5 transcript:ENSMUST00000098736.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r132 description:vomeronasal 1 receptor 132 [Source:MGI Symbol;Acc:MGI:3647009] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFFSVLSNIHIPIKVTGPQIIDNNTYDKSNLFCST SGFIVGIVFLQISHDATFMSIMVWTSVSMVLLLLTPNQDARGQAETRATHTILMLVVTFV SFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPNDPCSVLFKC >ENSMUSP00000033313.2 pep:known chromosome:GRCm38:X:12587801:12617049:1 gene:ENSMUSG00000031007.2 transcript:ENSMUST00000033313.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6ap2 description:ATPase, H+ transporting, lysosomal accessory protein 2 [Source:MGI Symbol;Acc:MGI:1917745] MAVLVVLLFFLVAGALGNEFSILRSPGSVVFRNGNWPIPGDRIPDVAALSMGFSVKEDLS WPGLAVGNLFHRPRATIMVMVKGVDKLALPAGSVISYPLENAVPFSLDSVANSIHSLFSE ETPVVLQLAPSEERVYMVGKANSVFEDLSVTLRQLRNRLFQENSLLNSLPLNSLSRNNEV DLLFLSELQVLHDISSLLSRHKHLAKDHSPDLYSLELAGLDELGKRYGEDSEQFRDASKI LVDALQKFADDMYSLYGGNAVVELVTVKSFDTSLVRKSRTILEAKQENTQSPYNLAYKYN LEYSVVFNLVLWIMIGLALAVIITSYNIWNMDPGYDSIIYRMTNQKIRID >ENSMUSP00000016396.7 pep:known chromosome:GRCm38:2:174461072:174464105:-1 gene:ENSMUSG00000016252.7 transcript:ENSMUST00000016396.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5e description:ATP synthase, H+ transporting, mitochondrial F1 complex, epsilon subunit [Source:MGI Symbol;Acc:MGI:1855697] MVAYWRQAGLSYIRFSQICAKAVRDALKTEFKANAEKTSGSSIKIVKVSKKE >ENSMUSP00000020853.1 pep:known chromosome:GRCm38:12:36208345:36218202:-1 gene:ENSMUSG00000020545.11 transcript:ENSMUST00000020853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc72 description:leucine rich repeat containing 72 [Source:MGI Symbol;Acc:MGI:1920830] MITIFDHKKAHVIQSVAFGKRVDASWNPKLPIKQKRVKKPPSDFAFGDNVDKTLFDDPED AVFVRSTKRSLMTFTSMNWNTVLTRSEKYDQKKKSSAAEMLMISLR >ENSMUSP00000113517.1 pep:known chromosome:GRCm38:12:36209563:36253398:-1 gene:ENSMUSG00000020545.11 transcript:ENSMUST00000122115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc72 description:leucine rich repeat containing 72 [Source:MGI Symbol;Acc:MGI:1920830] MKELKGMQNLRSLSLYQNPLSQYNLYRLYIIFHLPGLELLDRKQITEKERRYMITIFDHK KAHVIQSVAFGKRVDASWNPKLPIKQKRVKKPPSDFAFGDNVDK >ENSMUSP00000099691.1 pep:known chromosome:GRCm38:2:86385466:86386416:-1 gene:ENSMUSG00000075186.3 transcript:ENSMUST00000102631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1058 description:olfactory receptor 1058 [Source:MGI Symbol;Acc:MGI:3030892] MENHNLTMVTEFILMGITACPELQPPLFVLFLIIYLISLIGNLGMIILTLVDSRLQTPMY FFLRHLSTTDLGYTTAVGPKMLQNFLVDQNTISFHLCAIQLSFFSMFIACEIYILSAMSY DRYVAICKPLFYMVIMSKRLCLVLVVIPYVYCTIVALLITIKIFTLSFCGSNVISHFYCD SLPLLSLVCSNTQEIEVILLYLSAFNLISSLLLVLVSYLLILIAIIRMHSAEGRRKAFST CGSHLTMVTVFYGTLIFMYMQPKSSHSFDTDKVASIFYTMVIPMLNPLIYSLRNKDVKDA LHRTLKKIHGFLLKLT >ENSMUSP00000032306.8 pep:known chromosome:GRCm38:6:131219223:131247362:-1 gene:ENSMUSG00000030187.15 transcript:ENSMUST00000032306.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra2 description:killer cell lectin-like receptor, subfamily A, member 2 [Source:MGI Symbol;Acc:MGI:101906] MSEQEVTYTTLRFHKSSGLQNPVRPEETQRPRDVGHRECSVPWKFIVIVLGILCFLLLVT VAVLVIHIFRDGQEKHEQEKTLNNLRQEYQVMKNDSSLMEEMLRNKSSECKALNDSLHYL NREQNRCLRKTKIVLDCSQNKGKQVEGYWFCCGMKCYYFIMDDKKLKGCKQICQAYNLTL LKTNDEDELKFLKSQLQRNTYWIALTHHESKEESQQIGDRPSKPVSAARNSVPNREKCAY LNSFSTEEDDRARNHGCICEKRLNKFPIPGSCAKGRTQSALQRDEDES >ENSMUSP00000086252.6 pep:known chromosome:GRCm38:6:131219989:131247362:-1 gene:ENSMUSG00000030187.15 transcript:ENSMUST00000088867.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klra2 description:killer cell lectin-like receptor, subfamily A, member 2 [Source:MGI Symbol;Acc:MGI:101906] MSEQEVTYTTLRFHKSSGLQNPVRPEETQRPRDVGHRECSVPWKFIVIVLGILCFLLLVT VAVLVIHIFRDGQEKHEQEKTLNNLRQEYQVMKNDSSLMEEMLRNKSSECKALNDSLHYL NREQNRCLRKTKIVLDCSQNKGKQVEGYWFCCGMKCYYFIMDDKKLKGCKQICQAYNLTL LKTNDEDELKFLKSQLQRNTYWIALTHHESKEESQQIGDRPSKPAQEEQSFIEDLSLCHL IPVDFFPWFIFSDTDCHVSAARNSVPNREKCAYLNSFSTEEDDRARNHGCICEKRLNKFP IPGSCAKGRTQSALQRDEDES >ENSMUSP00000103294.1 pep:known chromosome:GRCm38:4:52910833:52919172:-1 gene:ENSMUSG00000051593.3 transcript:ENSMUST00000107667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr272 description:olfactory receptor 272 [Source:MGI Symbol;Acc:MGI:3030106] MTNDSMLTEFLLVGLSDHPKLQTVLFVLVLCMYLMILLGNGVLIAVVIHDIRLHTPMYFF LCNLSFLDICYTSSSVPLILSSFLTVRKRVSFSECMIQMFFSFAMGATECVLLGTMALDR FMAICYPLRYPVIMSKDTYVPMAAGCWVAGLVDSVVQTSLAVQLPFCTNNVIHHFVCEIL GILELACADISINVISLTGSNLLFLAVPLLVIAVSYMFIIATILRIPSAEGKRKAFSTCS AHLTVVIIFYGTIFSMYAKPKSKDTAGAGHQSVMEALISLFYGVMTPMLNPLIYSLRNKD VKAAVQNMLGRKTLSKM >ENSMUSP00000055721.2 pep:known chromosome:GRCm38:4:52910833:52911794:-1 gene:ENSMUSG00000051593.3 transcript:ENSMUST00000051600.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr272 description:olfactory receptor 272 [Source:MGI Symbol;Acc:MGI:3030106] MEMTNDSMLTEFLLVGLSDHPKLQTVLFVLVLCMYLMILLGNGVLIAVVIHDIRLHTPMY FFLCNLSFLDICYTSSSVPLILSSFLTVRKRVSFSECMIQMFFSFAMGATECVLLGTMAL DRFMAICYPLRYPVIMSKDTYVPMAAGCWVAGLVDSVVQTSLAVQLPFCTNNVIHHFVCE ILGILELACADISINVISLTGSNLLFLAVPLLVIAVSYMFIIATILRIPSAEGKRKAFST CSAHLTVVIIFYGTIFSMYAKPKSKDTAGAGHQSVMEALISLFYGVMTPMLNPLIYSLRN KDVKAAVQNMLGRKTLSKM >ENSMUSP00000089336.4 pep:known chromosome:GRCm38:13:22035164:22035568:-1 gene:ENSMUSG00000069302.5 transcript:ENSMUST00000091742.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ah description:histone cluster 1, H2ah [Source:MGI Symbol;Acc:MGI:2448295] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAK >ENSMUSP00000125531.1 pep:known chromosome:GRCm38:6:124530345:124542321:-1 gene:ENSMUSG00000038521.17 transcript:ENSMUST00000160505.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1s1 description:complement component 1, s subcomponent 1 [Source:MGI Symbol;Acc:MGI:1355312] MGKSPGMWCLVLFSLLASFSAEPTMHGEILSPNYPQAYPNDVVKSWDIEVPEGFGIHLYF THVDIEPSESCAYDSVQIISGDIEEGRLCGQRTSKSPNSPIIEEFQFPYNKLQVVFTSDF SNEERFTGFAAYYTAIDINECTDFTDVPCSHFCNNFIGGYFCSCPPEYFLHDDMRNCGVN CSGDVFTALIGEISSPNYPNPYPENSRCEYQIQLQEGFQVVVTMQREDFDVEPADSEGNC PDSLTFASKNQQFGPYCGNGFPGPLTIRTQSNTLGIVFQTDLMGQKKGWKLRYHGDPISC AKKITANSTWQPDKAKYVFKDVVKITCVDGFEVVEGHVSSTSYYSTCQSDGQWSNSGLKC QPVYCGIPDPIANGKVEEPENSVFGTVVHYTCEEPYYYMEHEEGGEYRCAANGRWVNDQL GIELPRCIPACGVPTEPFQVHQRIFGGQPAKIENFPWQVFFNHPRASGALINEYWVLTAA HVLEKISDPLMYVGTMSVRTTLLENAQRLYSKRVFIHPSWKKEDDPNTRTNFDNDIALVQ LKDPVKMGPKVSPICLPGTSSEYNVSPGDMGLISGWGSTEKKVFVINLRGAKVPVTSLET CKQVKEENPTVRPEDYVFTDNMICAGEKGVDSCHGDSGGAFAFQVPNVTVPKFYVAGLVS WGKRCGTYGVYTKVKNYVDWILKTMQENSGPRKD >ENSMUSP00000125712.1 pep:known chromosome:GRCm38:6:124530348:124542359:-1 gene:ENSMUSG00000038521.17 transcript:ENSMUST00000162443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1s1 description:complement component 1, s subcomponent 1 [Source:MGI Symbol;Acc:MGI:1355312] MGKSPGMWCLVLFSLLASFSAEPTMHGEILSPNYPQAYPNDVVKSWDIEVPEGFGIHLYF THVDIEPSESCAYDSVQIISGDIEEGRLCGQRTSKSPNSPIIEEFQFPYNKLQVVFTSDF SNEERFTGFAAYYTAIDINECTDFTDVPCSHFCNNFIGGYFCSCPPEYFLHDDMRNCGVN CSGDVFTALIGEISSPNYPNPYPENSRCEYQIQLQEGFQVVVTMQREDFDVEPADSEGNC PDSLTFASKNQQFGPYCGNGFPGPLTIRTQSNTLGIVFQTDLMGQKKGWKLRYHGDPISC AKKITANSTWQPDKAKYVFKDVVKITCVDGFEVVEGHVSSTSYYSTCQSDGQWSNSGLKC QPVYCGIPDPIANGKVEEPENSVFGTVVHYTCEEPYYYMEHEEGGEYRCAANGRWVNDQL GIELPRCIPACGVPTEPFQVHQRIFGGQPAKIENFPWQVFFNHPRASGALINEYWVLTAA HVLEKISDPLMYVGTMSVRTTLLENAQRLYSKRVFIHPSWKKEDDPNTRTNFDNDIALVQ LKDPVKMGPKVSPICLPGTSSEYNVSPGDMGLISGWGSTEKKVFVINLRGAKVPVTSLET CKQVKEENPTVRPEDYVFTDNMICAGEKGVDSCHGDSGGAFAFQVPNVTVPKFYVAGLVS WGKRCGTYGVYTKVKNYVDWILKTMQENSGPRKD >ENSMUSP00000125439.1 pep:known chromosome:GRCm38:6:124540321:124542303:-1 gene:ENSMUSG00000038521.17 transcript:ENSMUST00000159463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1s1 description:complement component 1, s subcomponent 1 [Source:MGI Symbol;Acc:MGI:1355312] MGKSPGMWCLVLFSLLASFSAEPTMHGEILSPNYPQAYPNDVVKSWDIEVPEGFGIHLYF THVDIEPSESCAYDSVQIISGDIEEGRLCGQRTSKSPNSPIIEEFQFPYNKLQVVFTSDF SNEERFTGFAAYYTAI >ENSMUSP00000124109.1 pep:known chromosome:GRCm38:6:124540939:124542309:-1 gene:ENSMUSG00000038521.17 transcript:ENSMUST00000162844.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1s1 description:complement component 1, s subcomponent 1 [Source:MGI Symbol;Acc:MGI:1355312] MGKSPGMWCLVLFSLLASFSAEPTMHG >ENSMUSP00000119345.1 pep:known chromosome:GRCm38:11:78567168:78697373:-1 gene:ENSMUSG00000017376.15 transcript:ENSMUST00000142739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlk description:nemo like kinase [Source:MGI Symbol;Acc:MGI:1201387] MSLCGTRANAKMMAAYNGGTSAAAAGHHHHHHHHLPHLPPPHLHHHHHPQHHLHPGSAAA VHPVQQHTSSAAAAAAAAAAAAAMLNPGQQQPYFPSPAPGQAPGPAAAAPAQVQAAAAAT VKAHHHQHSHHPQQQLDIEPDRPIGYGAFGVVWSVTDPRDGKRVALKKMPNVFQNLVSCK RVFRELKMLCFFKHDNVLSALDILQPPHIDYFEEIYVVTELMQSDLHKIIVSPQPLSSDH VKVFLYQILRGLKYLHSAGILHRDIKPGNLLVNSNCVLKICDFGLARVEELDESRHMTQE VVTQYYRAPEILMGSRHYSNAIDIWSVGCIFAELLGRRILFQAQSPIQQLDLITDLLGTP SLEAMRTACEGAKAHILRGPHKQPSLPVLYTLSSQATHEAVHLLCRMLVFDPSKRISAKD ALAHPYLDEGRLRYHTCMCKCCFSTSTGRVYTSDFEPVTNPKFDDTFEKNLSSVRQVKEI IHQFILEQQKGNRVPLCINPQSAAFKSFISSTVAQPSEMPPSPLVWE >ENSMUSP00000130555.1 pep:known chromosome:GRCm38:11:78567414:78572399:-1 gene:ENSMUSG00000017376.15 transcript:ENSMUST00000170394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlk description:nemo like kinase [Source:MGI Symbol;Acc:MGI:1201387] XHPYLDEGRLRYHTCMCKCCFSTSTGRVYTSDFEPVTNPKFDDTFEKNLSSVRQVKEIIH QFILEQQKGNRVPLCINPQSAAFKSFISSTVAQPSEMPPSPLVWE >ENSMUSP00000049390.7 pep:known chromosome:GRCm38:16:81200697:81597805:1 gene:ENSMUSG00000022762.17 transcript:ENSMUST00000037785.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncam2 description:neural cell adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:97282] MSLLLSFYLLGLLVRSGQALLQVTISLSKVELSVGESKFFTCTAIGEPESIDWYNPQGEK IISTQRVMLQKEGVRSRLTIYNANIEDAGIYRCQATDAKGQTQEATVVLEIYQKLTFREV VSPQEFKQGEDAEVVCRVSSSPAPAVSWLYHNEEVTTIPDNRFAVLANNNLQILNINKSD EGIYRCEGRVEARGEIDFRDIIVIVNVPPAIMMPQKSFNATAERGEEMTLTCKASGSPDP TISWFRNGKLIEENEKYILKGSNTELTVRNIINKDGGSYVCKATNKAGEDQKQAFLQVFV QPHILQLKNETTSENGHVTLVCEAEGEPVPEITWKRAIDGVMFSEGDKSPDGRIEVKGQH GRSSLHIRDVKLSDSGRYDCEAASRIGGHQRSMHLDIEYAPKFVSNQTMYYSWEGNPINI SCDVTANPPASIHWRREKLLLPAKNTTHLKTHSVGRKMILEIAPTSDNDFGRYNCTATNR IGTRFQEYILELADVPSSPHGVKIIELSQTTAKISFNKPESHGGVPIHHYQVDVKEVASE TWKIVRSHGVQTMVVLSSLEPNTTYEIRVAAVNGKGQGDYSKIEIFQTLPVREPSPPSIH GQPSSGKSFKISITKQDDGGAPILEYIVKYRSKDKEDQWLEKKVQGNKDHIILEHLQWTM GYEVQITAANRLGYSEPTVYEFSMPPKPNIIKDNCCEANKGENGGQSWHLNAVGFTFVIT MSLSCLF >ENSMUSP00000063468.3 pep:known chromosome:GRCm38:16:81200697:81624285:1 gene:ENSMUSG00000022762.17 transcript:ENSMUST00000067602.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncam2 description:neural cell adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:97282] MSLLLSFYLLGLLVRSGQALLQVTISLSKVELSVGESKFFTCTAIGEPESIDWYNPQGEK IISTQRVMLQKEGVRSRLTIYNANIEDAGIYRCQATDAKGQTQEATVVLEIYQKLTFREV VSPQEFKQGEDAEVVCRVSSSPAPAVSWLYHNEEVTTIPDNRFAVLANNNLQILNINKSD EGIYRCEGRVEARGEIDFRDIIVIVNVPPAIMMPQKSFNATAERGEEMTLTCKASGSPDP TISWFRNGKLIEENEKYILKGSNTELTVRNIINKDGGSYVCKATNKAGEDQKQAFLQVFV QPHILQLKNETTSENGHVTLVCEAEGEPVPEITWKRAIDGVMFSEGDKSPDGRIEVKGQH GRSSLHIRDVKLSDSGRYDCEAASRIGGHQRSMHLDIEYAPKFVSNQTMYYSWEGNPINI SCDVTANPPASIHWRREKLLLPAKNTTHLKTHSVGRKMILEIAPTSDNDFGRYNCTATNR IGTRFQEYILELADVPSSPHGVKIIELSQTTAKISFNKPESHGGVPIHHYQVDVKEVASE TWKIVRSHGVQTMVVLSSLEPNTTYEIRVAAVNGKGQGDYSKIEIFQTLPVREPSPPSIH GQPSSGKSFKISITKQDDGGAPILEYIVKYRSKDKEDQWLEKKVQGNKDHIILEHLQWTM GYEVQITAANRLGYSEPTVYEFSMPPKPNIIKDTLFNGLGLGAIIGLGVAALLLILVVTD VSCFFIRQCGLLMCITRRMCGKKSGSSGKSKELEEGKAAYLKDGSKEPIVEMRTEDERIT NHEDGSPVNEPNETTPLTEPEKLPLKEENGKEVLNAETIEIKVSNDIIQSKEDDIKA >ENSMUSP00000092699.1 pep:known chromosome:GRCm38:4:52970623:52971567:1 gene:ENSMUSG00000070983.2 transcript:ENSMUST00000095084.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr270 description:olfactory receptor 270 [Source:MGI Symbol;Acc:MGI:3030104] MKMGNYSAVTEFFLVGLSQYPELQLFLFVLCLIMYLIILLGNSLLIIISILDSRLHTPMY FFLGNLSFLDICYTSSSIPQMLIMFMSARKSISFLGCALQMVISLGLGSTECVLLAVMAY DRYAAICNPLRYPIIMNKVLYVHMAVWSWVIGCLNSLVQTVLTMVLPFCGNNVIDHLTCE ILALLKLVCSDITMNVLIMTVASIVLLMIPLMLIFVSYIFILSSILRINSAEGRKKAFST CSAHLTVVILFYGSALFMYMKPKSKYTKASDEIIGLSYGVVTPMLNPIIYSLRNKEVKEA VKKILSKRLYLRKI >ENSMUSP00000133100.1 pep:known chromosome:GRCm38:4:52970629:52971567:1 gene:ENSMUSG00000070983.2 transcript:ENSMUST00000172257.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr270 description:olfactory receptor 270 [Source:MGI Symbol;Acc:MGI:3030104] MGNYSAVTEFFLVGLSQYPELQLFLFVLCLIMYLIILLGNSLLIIISILDSRLHTPMYFF LGNLSFLDICYTSSSIPQMLIMFMSARKSISFLGCALQMVISLGLGSTECVLLAVMAYDR YAAICNPLRYPIIMNKVLYVHMAVWSWVIGCLNSLVQTVLTMVLPFCGNNVIDHLTCEIL ALLKLVCSDITMNVLIMTVASIVLLMIPLMLIFVSYIFILSSILRINSAEGRKKAFSTCS AHLTVVILFYGSALFMYMKPKSKYTKASDEIIGLSYGVVTPMLNPIIYSLRNKEVKEAVK KILSKRLYLRKI >ENSMUSP00000134084.1 pep:known chromosome:GRCm38:7:21760531:21761475:-1 gene:ENSMUSG00000096513.1 transcript:ENSMUST00000172863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4175 description:predicted gene 4175 [Source:MGI Symbol;Acc:MGI:3782351] MSVRGKSLKTTEEVALQIILLCQFGVGTMANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTVFPNNMMAFAPRNPPTELKCKLKFFSHLVTRSTNLCSTCVLSIHQFVILVP ISRGKLLLRASVPNLVSYSCYGCWFFSVLSNIHIPIKVTGPQITDNNTDSKSKFFCSTSG FTVRIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQQMQHILTPNQDARGQAESRATQTI LIVVVTFVSFYLLNFICIIFHTFFIHYNLFIRLLGEILAAGFPTISPLLLIFRDPKNPCL ELFHCFKPESLQNL >ENSMUSP00000134218.1 pep:known chromosome:GRCm38:17:35116196:35121429:-1 gene:ENSMUSG00000024387.13 transcript:ENSMUST00000173114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2b description:casein kinase 2, beta polypeptide [Source:MGI Symbol;Acc:MGI:88548] MSSSEEVSWISWFCGLRGNEFFCEVDEDYIQDKFNLTGLNEQVPHYRQALDMILDLEPDE ELEDNPNQSDLIEQAAEMLYGLIHARYILTNRGIAQMLEKYQQGDFGYCPRVYCENQPML PIGLSDIPGEAMVKLYCPKCMDVYTPKSSRHHHTDGAYFGTGFPHMLFMVHPEYRPKRPA NQFVPRLYGFKIHPMAYQLQLQAASNFKSPVKTIR >ENSMUSP00000133684.1 pep:known chromosome:GRCm38:17:35116198:35119775:-1 gene:ENSMUSG00000024387.13 transcript:ENSMUST00000174779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2b description:casein kinase 2, beta polypeptide [Source:MGI Symbol;Acc:MGI:88548] MILDLEPDEELEDNPNQSDLIEQAAEMLYGLIHARYILTNRGIAQMLEKYQQGDFGYCPR VYCENQPMLPIGLSDIPGEAMVKLYCPKCMDVYTPKSSRHHHTDGAYFGTGFPHMLFMVH PEYRPKRPANQFVPRLYGFKIHPMAYQLQLQAASNFKSPVKTIR >ENSMUSP00000025246.6 pep:known chromosome:GRCm38:17:35116198:35121286:-1 gene:ENSMUSG00000024387.13 transcript:ENSMUST00000025246.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2b description:casein kinase 2, beta polypeptide [Source:MGI Symbol;Acc:MGI:88548] MSSSEEVSWISWFCGLRGNEFFCEVDEDYIQDKFNLTGLNEQVPHYRQALDMILDLEPDE ELEDNPNQSDLIEQAAEMLYGLIHARYILTNRGIAQMLEKYQQGDFGYCPRVYCENQPML PIGLSDIPGEAMVKLYCPKCMDVYTPKSSRHHHTDGAYFGTGFPHMLFMVHPEYRPKRPA NQFVPRLYGFKIHPMAYQLQLQAASNFKSPVKTIR >ENSMUSP00000134673.1 pep:known chromosome:GRCm38:17:35116200:35121173:-1 gene:ENSMUSG00000024387.13 transcript:ENSMUST00000174024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2b description:casein kinase 2, beta polypeptide [Source:MGI Symbol;Acc:MGI:88548] MSSSEEVSWISWFCGLRGNEFFCEVDEDYIQDKFNLTGLNEQVPHYRQALDMILDLEPDE ELEDNPNQSDLIEQAAEMLYGLIHARYILTNRGIAQMLEKYQQGDFGYCPRVYCENQPML PIGIPGLQSGCLSPRPFRHPRRGHGETLLPQVHGRVHTQVLQTPPHGRRILRHWFPSHAL HGASRVPAQATCQPVCTQALWFQDPSNGLPAAAPSRQQLQEPSQDYSLIAHPPLPLSVTP PFLCCHPFRKSMVFSLN >ENSMUSP00000133826.2 pep:known chromosome:GRCm38:17:35116242:35118072:-1 gene:ENSMUSG00000024387.13 transcript:ENSMUST00000173633.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2b description:casein kinase 2, beta polypeptide [Source:MGI Symbol;Acc:MGI:88548] XLEKYQQGDFGYCPRVYCENQPMLPIGLSDIPGEAMVKLYCPKCMDVYTPKSSRHHHTDG AYFGTGFPHMLFMVHPEYRPKRPANQFVPRRLGSCGVDVRRLGWPPLAELAESLPCCLSD LLHPGSMVSRSIQWLTSCSSKPPATSRAQSRLFADCPPTSPSVCDTTIPLLPPFQEVYGF >ENSMUSP00000134523.2 pep:known chromosome:GRCm38:17:35117696:35122053:-1 gene:ENSMUSG00000024387.13 transcript:ENSMUST00000172765.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2b description:casein kinase 2, beta polypeptide [Source:MGI Symbol;Acc:MGI:88548] MEKYKGTSGGRMAGPTSADIKMSSSEEVSWISWFCGLRGNEFFCEVDEDYIQDKFNLTGL NEQVPHYRQALDMILDLEPDEELEDNPNQSDLIEQAAEMLYGLIHARYILTNRGIAQMLE KYQQGDFGYCPRVYCENQPMLPIGLSDIPGEAMVKLYCPKCMDVYTPKSSRHHHTDGAYF GTGFPHMLFMVHPEYRPKRPANQFVP >ENSMUSP00000134413.1 pep:known chromosome:GRCm38:17:35117995:35121030:-1 gene:ENSMUSG00000024387.13 transcript:ENSMUST00000174306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2b description:casein kinase 2, beta polypeptide [Source:MGI Symbol;Acc:MGI:88548] MSSSEEVSWISWFCGLRGNEFFCEVDEDYIQDKFNLTGLNEQVPHYRQALDMILDLEPDE ELEDNPNQSDLIEQAAEMLYGLIHARYILTNRGIAQMLEKYQQGDFGYCPRVYCENQPML PIG >ENSMUSP00000133425.1 pep:known chromosome:GRCm38:17:35119754:35121998:-1 gene:ENSMUSG00000024387.13 transcript:ENSMUST00000173915.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2b description:casein kinase 2, beta polypeptide [Source:MGI Symbol;Acc:MGI:88548] MSSSEEVSWISWFCGLRGNEFFCEVDEDYIQDKFNLTGLNEQVPHYRQALDMILDLEP >ENSMUSP00000104179.1 pep:known chromosome:GRCm38:7:12922290:12926685:1 gene:ENSMUSG00000012848.14 transcript:ENSMUST00000108539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps5 description:ribosomal protein S5 [Source:MGI Symbol;Acc:MGI:1097682] MTEWEAATPAVAETPDIKLFGKWSTDDVQINDISLQDYIAVKEKYAKYLPHSAGRYAAKR FRKAQCPIVERLTNSMMMHGRNNGKKLMTVRIVKHAFEIIHLLTGENPLQVLVNAIINSG PREDSTRIGRAGTVRRQAVDVSPLRRVNQAIWLLCTGAREAAFRNIKTIAECLADELINA AKGSSNSYAIKKKDELERVAKSNR >ENSMUSP00000004554.7 pep:known chromosome:GRCm38:7:12922290:12926686:1 gene:ENSMUSG00000012848.14 transcript:ENSMUST00000004554.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps5 description:ribosomal protein S5 [Source:MGI Symbol;Acc:MGI:1097682] MTEWEAATPAVAETPDIKLFGKWSTDDVQINDISLQDYIAVKEKYAKYLPHSAGRYAAKR FRKAQCPIVERLTNSMMMHGRNNGKKLMTVRIVKHAFEIIHLLTGENPLQVLVNAIINSG PREDSTRIGRAGTVRRQAVDVSPLRRVNQAIWLLCTGAREAAFRNIKTIAECLADELINA AKGSSNSYAIKKKDELERVAKSNR >ENSMUSP00000118798.1 pep:known chromosome:GRCm38:7:12922318:12926494:1 gene:ENSMUSG00000012848.14 transcript:ENSMUST00000147435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps5 description:ribosomal protein S5 [Source:MGI Symbol;Acc:MGI:1097682] MTEWEAATPAVAETPDIKLFGKWSTDDVQINDISLQDYIAVKEKYAKYLPHSAGRYAAKR FRKAQCPIVERLTNSMMMHGRNNGKKLMTVRIVKHAFEIIHLLTGENPLQVLVNAIINSG PREDSTRIGRAGTVRRQAVDVSPLRRVNQAIWLLCTGAREAAFRNIKTIAECLADELINA AK >ENSMUSP00000121961.1 pep:known chromosome:GRCm38:7:12922437:12926494:1 gene:ENSMUSG00000012848.14 transcript:ENSMUST00000137329.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps5 description:ribosomal protein S5 [Source:MGI Symbol;Acc:MGI:1097682] MTEWEAATPAVAETPDIKLFGKWSTDDVQINDISLQDYIAVKEKYAKYLPHSAGRYAAKR FRKAQCPIVERLTNSMMMHGRNNGKKLMTVRIVKHAFEIIHLLTGENPLQVLVNAIINSG PREDSTRIGRAGTVRRQAVDVSPLRRVNQAIWLLCTGAREAAFRNIKTIAECLADELINA AK >ENSMUSP00000111184.2 pep:known chromosome:GRCm38:16:20611593:20619007:1 gene:ENSMUSG00000022842.16 transcript:ENSMUST00000115522.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece2 description:endothelin converting enzyme 2 [Source:MGI Symbol;Acc:MGI:1101356] MASPRTPVSPPELPEKNFQYRQVQYWDQRYKDAADSGPYEWFGDFASFRALLEPELCPED RILVLGCGNSALSYELFLGGFPNVTSVDYSPVVVAAMQVRYAHVPSLRWETMDVRALDFP SGSFDVVLEKGTLDAMLAGEPDPWNVSSEGVHTVDQVLSEVSRLLVPGGRFISMTSAGPH FRIRHYAQSRYDWSLRHTTYSSGFHFHFYIMHKGRALSVSQLALGAQILSSPSPPASPCF LQDSDNEDFLSAIQL >ENSMUSP00000113772.1 pep:known chromosome:GRCm38:16:20611601:20618869:1 gene:ENSMUSG00000022842.16 transcript:ENSMUST00000119224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece2 description:endothelin converting enzyme 2 [Source:MGI Symbol;Acc:MGI:1101356] MASPRTPVSPPELPEKNFQYRQVQYWDQRYKDAADSGPYEWFGDFASFRALLEPELCPED RILVLGCGNSALSYELFLGGFPNVTSVDYSPVVVAAMQVRYAHVPSLRWETMDVRALDFP SGSFDVVLEKGTLDAMLAGEPDPWNVSSEGVHTVDQVLSEVRKQQDSRPRCLQGEGVELS DIGQIQQSENEVWSRFS >ENSMUSP00000113475.1 pep:known chromosome:GRCm38:16:20611610:20645920:1 gene:ENSMUSG00000022842.16 transcript:ENSMUST00000120394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece2 description:endothelin converting enzyme 2 [Source:MGI Symbol;Acc:MGI:1101356] MASPRTPVSPPELPEKNFQYRQVQYWDQRYKDAADSGPYEWFGDFASFRALLEPELCPED RILVLGCGNSALSYELFLGGFPNVTSVDYSPVVVAAMQVRYAHVPSLRWETMDVRALDFP SGSFDVVLEKGTLDAMLAGEPDPWNVSSEGVHTVDQVLSEMVEYKRAKLRDEESPEITVE GRATRDSLEVGFQKRTRQLFGSHTQLELVLAGLILVLAALLLGCLVALWVHRDPAHSTCV TEACIRVAGKILESLDRGVSPCQDFYQFSCGGWIRRNPLPNGRSRWNTFNSLWDQNQAIL KHLLENTTFNSSSEAERKTRSFYLSCLQSERIEKLGAKPLRDLIDKIGGWNITGPWDEDS FMDVLKAVAGTYRATPFFTVYVSADSKSSNSNIIQVDQSGLFLPSRDYYLNRTANEKVLT AYLDYMVELGVLLGGQPTSTREQMQQVLELEIQLANITVPQDQRRDEEKIYHKMSISELQ ALAPAVDWLEFLSFLLSPLELGDSEPVVVYGTEYLQQVSELINRTEPSILNNYLIWNLVQ KTTSSLDQRFETAQEKLLETLYGTKKSCTPRWQTCISNTDDALGFALGSLFVKATFDRQS KEIAEGMINEIRSAFEETLGDLVWMDEKTRLAAKEKADAIYDMIGFPDFILEPKELDDVY DGYEVSEDSFFQNMLNLYNFSAKVMADQLRKPPSRDQWSMTPQTVNAYYLPTKNEIVFPA GILQAPFYAHNHPKALNFGGIGVVMGHELTHAFDDQGREYDKEGNLRPWWQNESLTAFQN HTACMEEQYSQYQVNGERLNGLQTLGENIADNGGLKAAYNAYKAWLRKHGEEQPLPAVGL TNHQLFFVGFAQVWCSVRTPESSHEGLVTDPHSPARFRVLGTLSNSRDFLRHFGCPVGSP MNPGQLCEVW >ENSMUSP00000121231.1 pep:known chromosome:GRCm38:16:20611794:20645915:1 gene:ENSMUSG00000022842.16 transcript:ENSMUST00000147849.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece2 description:endothelin converting enzyme 2 [Source:MGI Symbol;Acc:MGI:1101356] XPEDRILVLGCGNSALSYELFLGGFPNVTSVDYSPVVVAAMQVRYAHVPSLRWETMDVRA LDFPSGSFDVVLEKGTLDAMLAGEPDPWNVSSEGVHTVDQVLSEVGFQKRTRQLFGSHTQ LELVLAGLILVLAALLLGCLVALWVHRDPAHSTCVTEACIRVAGKILESLDRGVSPCQDF YQFSCGGWIRRNPLPNGRSRWNTFNSLWDQNQAILKHLLENTTFNSSSEAERKTRSFYLS CLQSERIEKLGAKPLRDLIDKIGGWNITGPWDEDSFMDVLKAVAGTYRATPFFTVYVSAD SKSSNSNIIQVDQSGLFLPSRDYYLNRTANEKVLTAYLDYMVELGVLLGGQPTSTREQMQ QVLELEIQLANITVPQDQRRDEEKIYHKMSISELQALAPAVDWLEFLSFLLSPLELGDSE PVVVYGTEYLQQVSELINRTEPSILNNYLIWNLVQKTTSSLDQRFETAQEKLLETLYGTK KSCTPRWQTCISNTDDALGFALGSLFVKATFDRQSKEIAEGMINEIRSAFEETLGDLVWM DEKTRLAAKEKADAIYDMIGFPDFILEPKELDDVYDGYEVSEDSFFQNMLNLYNFSAKVM ADQLRKPPSRDQWSMTPQTVNAYYLPTKNEIVFPAGILQAPFYAHNHPKALNFGGIGVVM GHELTHAFDDQGREYDKEGNLRPWWQNESLTAFQNHTACMEEQYSQYQVNGERLNGLQTL GENIADNGGLKAAYNAYKAWLRKHGEEQPLPAVGLTNHQLFFVGFAQVWCSVRTPESSHE GLVTDPHSPARFRVLGTLSNSRDFLRHFGCPVGSPMNPGQLCEVW >ENSMUSP00000003898.5 pep:known chromosome:GRCm38:16:20629828:20645915:1 gene:ENSMUSG00000022842.16 transcript:ENSMUST00000003898.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece2 description:endothelin converting enzyme 2 [Source:MGI Symbol;Acc:MGI:1101356] MNVALHELGGGGSMVEYKRAKLRDEESPEITVEGRATRDSLEVGFQKRTRQLFGSHTQLE LVLAGLILVLAALLLGCLVALWVHRDPAHSTCVTEACIRVAGKILESLDRGVSPCQDFYQ FSCGGWIRRNPLPNGRSRWNTFNSLWDQNQAILKHLLENTTFNSSSEAERKTRSFYLSCL QSERIEKLGAKPLRDLIDKIGGWNITGPWDEDSFMDVLKAVAGTYRATPFFTVYVSADSK SSNSNIIQVDQSGLFLPSRDYYLNRTANEKVLTAYLDYMVELGVLLGGQPTSTREQMQQV LELEIQLANITVPQDQRRDEEKIYHKMSISELQALAPAVDWLEFLSFLLSPLELGDSEPV VVYGTEYLQQVSELINRTEPSILNNYLIWNLVQKTTSSLDQRFETAQEKLLETLYGTKKS CTPRWQTCISNTDDALGFALGSLFVKATFDRQSKEIAEGMINEIRSAFEETLGDLVWMDE KTRLAAKEKADAIYDMIGFPDFILEPKELDDVYDGYEVSEDSFFQNMLNLYNFSAKVMAD QLRKPPSRDQWSMTPQTVNAYYLPTKNEIVFPAGILQAPFYAHNHPKALNFGGIGVVMGH ELTHAFDDQGREYDKEGNLRPWWQNESLTAFQNHTACMEEQYSQYQVNGERLNGLQTLGE NIADNGGLKAAYNAYKAWLRKHGEEQPLPAVGLTNHQLFFVGFAQVWCSVRTPESSHEGL VTDPHSPARFRVLGTLSNSRDFLRHFGCPVGSPMNPGQLCEVW >ENSMUSP00000114039.1 pep:known chromosome:GRCm38:16:20629845:20646476:1 gene:ENSMUSG00000022842.16 transcript:ENSMUST00000122306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece2 description:endothelin converting enzyme 2 [Source:MGI Symbol;Acc:MGI:1101356] MNVALHELGGGGSMVEYKRAKLRDEESPEITVEGRATRDSLEVGFQKRTRQLFGSHTQLE LVLAGLILVLAALLLGCLVALWVHRDPAHSTCVTEACIRVAGKILESLDRGVSPCQDFYQ FSCGGWIRRNPLPNGRSRWNTFNSLWDQNQAILKHLLENTTFNSSSEAERKTRSFYLSCL QSERIEKLGAKPLRDLIDKIGGWNITGPWDEDSFMDVLKAVAGTYRATPFFTVYVSADSK SSNSNIIQVDQSGLFLPSRDYYLNRTANEKVLTAYLDYMVELGVLLGGQPTSTREQMQQV LELEIQLANITVPQDQRRDEEKIYHKMSISELQALAPAVDWLEFLSFLLSPLELGDSEPV VVYGTEYLQQVSELINRTEPSILNNYLIWNLVQKTTSSLDQRFETAQEKLLETLYGTKKS CTPRWQTCISNTDDALGFALGSLFVKATFDRQSKEIAEGMINEIRSAFEETLGDLVWMDE KTRLAAKEKADAIYDMIGFPDFILEPKELDDVYDGYEVSEDSFFQNMLNLYNFSAKVMAD QLRKPPSRDQWSMTPQTVNAYYLPTKNEIVFPAGILQAPFYAHNHPKALNFGGIGVVMGH ELTHAFDDQGREYDKEGNLRPWWQNESLTAFQNHTACMEEQYSQYQVNGERLNGLQTLGE NIADNGGLKAAYNAYKAWLRKHGEEQPLPAVGLTNHQLFFVGFAQVLTK >ENSMUSP00000119693.1 pep:known chromosome:GRCm38:16:20629851:20645915:1 gene:ENSMUSG00000022842.16 transcript:ENSMUST00000133344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece2 description:endothelin converting enzyme 2 [Source:MGI Symbol;Acc:MGI:1101356] MNVALHELGGGGSVGFQKRTRQLFGSHTQLELVLAGLILVLAALLLGCLVALWVHRDPAH STCVTEACIRVAGKILESLDRGVSPCQDFYQFSCGGWIRRNPLPNGRSRWNTFNSLWDQN QAILKHLLENTTFNSSSEAERKTRSFYLSCLQSERIEKLGAKPLRDLIDKIGGWNITGPW DEDSFMDVLKAVAGTYRATPFFTVYVSADSKSSNSNIIQVDQSGLFLPSRDYYLNRTANE KVLTAYLDYMVELGVLLGGQPTSTREQMQQVLELEIQLANITVPQDQRRDEEKIYHKMSI SELQALAPAVDWLEFLSFLLSPLELGDSEPVVVYGTEYLQQVSELINRTEPSILNNYLIW NLVQKTTSSLDQRFETAQEKLLETLYGTKKSCTPRWQTCISNTDDALGFALGSLFVKATF DRQSKEIAEGMINEIRSAFEETLGDLVWMDEKTRLAAKEKADAIYDMIGFPDFILEPKEL DDVYDGYEVSEDSFFQNMLNLYNFSAKVMADQLRKPPSRDQWSMTPQTVNAYYLPTKNEI VFPAGILQAPFYAHNHPKALNFGGIGVVMGHELTHAFDDQGREYDKEGNLRPWWQNESLT AFQNHTACMEEQYSQYQVNGERLNGLQTLGENIADNGGLKAAYNAYKAWLRKHGEEQPLP AVGLTNHQLFFVGFAQVWCSVRTPESSHEGLVTDPHSPARFRVLGTLSNSRDFLRHFGCP VGSPMNPGQLCEVW >ENSMUSP00000120239.1 pep:known chromosome:GRCm38:16:20632870:20646485:1 gene:ENSMUSG00000022842.16 transcript:ENSMUST00000125971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece2 description:endothelin converting enzyme 2 [Source:MGI Symbol;Acc:MGI:1101356] XSSNSNIIQVDQSGLFLPSRDYYLNRTANEKVLTAYLDYMVELGVLLGGQPTSTREQMQQ VLELEIQLANITVPQDQRRDEEKIYHKMSISELQALAPAVDWLEFLSFLLSPLELGDSEP VVVYGTEYLQQVSELINRTEPSILNNYLIWNLVQKTTSSLDQRFETAQEKLLETLYGTKK SCTPRWQTCISNTDDALGFALGSLFVKATFDRQSKEIAEGMINEIRSAFEETLGDLVWMD EKTRLAAKEKADAIYDMIGFPDFILEPKELDDVYDGYEVSEDSFFQNMLNLYNFSAKVMA DQLRKPPSRDQWSMTPQTVNAYYLPTKNEIVFPAGILQAPFYAHNHPKALNFGGIGVVMG HELTHAFDDQGREYDKEGNLRPWWQNESLTAFQNHTACMEEQYSQYQVNGERLNGLQTLG ENIADNGGLKAAYNAYKAWLRKHGEEQPLPAVGLTNHQLFFVGFAQVWPLGRTPSKGRMP LEEVGVMQERCWGSVLRESVVSRAHEGRLEDRGIFYRILLEREGLLA >ENSMUSP00000078550.4 pep:known chromosome:GRCm38:16:20611601:20645915:1 gene:ENSMUSG00000022842.16 transcript:ENSMUST00000079600.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece2 description:endothelin converting enzyme 2 [Source:MGI Symbol;Acc:MGI:1101356] MASPRTPVSPPELPEKNFQYRQVQYWDQRYKDAADSGPYEWFGDFASFRALLEPELCPED RILVLGCGNSALSYELFLGGFPNVTSVDYSPVVVAAMQVRYAHVPSLRWETMDVRALDFP SGSFDVVLEKGTLDAMLAGEPDPWNVSSEGVHTVDQVLSEVGFQKRTRQLFGSHTQLELV LAGLILVLAALLLGCLVALWVHRDPAHSTCVTEACIRVAGKILESLDRGVSPCQDFYQFS CGGWIRRNPLPNGRSRWNTFNSLWDQNQAILKHLLENTTFNSSSEAERKTRSFYLSCLQS ERIEKLGAKPLRDLIDKIGGWNITGPWDEDSFMDVLKAVAGTYRATPFFTVYVSADSKSS NSNIIQVDQSGLFLPSRDYYLNRTANEKVLTAYLDYMVELGVLLGGQPTSTREQMQQVLE LEIQLANITVPQDQRRDEEKIYHKMSISELQALAPAVDWLEFLSFLLSPLELGDSEPVVV YGTEYLQQVSELINRTEPSILNNYLIWNLVQKTTSSLDQRFETAQEKLLETLYGTKKSCT PRWQTCISNTDDALGFALGSLFVKATFDRQSKEIAEGMINEIRSAFEETLGDLVWMDEKT RLAAKEKADAIYDMIGFPDFILEPKELDDVYDGYEVSEDSFFQNMLNLYNFSAKVMADQL RKPPSRDQWSMTPQTVNAYYLPTKNEIVFPAGILQAPFYAHNHPKALNFGGIGVVMGHEL THAFDDQGREYDKEGNLRPWWQNESLTAFQNHTACMEEQYSQYQVNGERLNGLQTLGENI ADNGGLKAAYNAYKAWLRKHGEEQPLPAVGLTNHQLFFVGFAQVWCSVRTPESSHEGLVT DPHSPARFRVLGTLSNSRDFLRHFGCPVGSPMNPGQLCEVW >ENSMUSP00000141164.1 pep:known chromosome:GRCm38:17:46297421:46301005:1 gene:ENSMUSG00000047428.14 transcript:ENSMUST00000188223.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk2 description:delta-like 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2146838] MKITMRVSVPLSPRQAASQPVPSHRCLHVWPFIRPSLLRPELTMPSGCRCLNLVCLLCIL GATSQPARADDCSSHCDLAHGCCAPDGSCRCDPGWEGLHCERCVRMPG >ENSMUSP00000126993.1 pep:known chromosome:GRCm38:17:46297483:46303197:1 gene:ENSMUSG00000047428.14 transcript:ENSMUST00000166280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk2 description:delta-like 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2146838] MPSGCRCLNLVCLLCILGATSQPARADDCSSHCDLAHGCCAPDGSCRCDPGWEGLHCERC VRMPGCQHGTCHQPWQCICHSGWAGKFCDKDEHICTSQSPCQNGGQCVYDGGGEYHCVCL PGFHGRGCERKAGPCEQAGFPCRNGGQCQDNQGFALNFTCRCLAGFMGAHCEVNVDDCLM RPCANGATCIDGINRFSCLCPEGFAGRFCTINLDDCASRPCQRGARCRDRVHDFDCLCPS GYGGKTCELVLPAPEPASVGTPQMPTSAVVVPATGPAPHSAGAGLLRISVKEVVRRQESG LGESSLVALVVFGSLTAALVLATVLLTLRAWRRGICPTGPCCYPAPHYAPARQDQECQVS MLPAGFPLSPDLPPEPGKTTAL >ENSMUSP00000128897.1 pep:known chromosome:GRCm38:17:46297928:46303271:1 gene:ENSMUSG00000047428.14 transcript:ENSMUST00000166617.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk2 description:delta-like 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2146838] MPSGCRCLNLVCLLCILGATSQPARADDCSSHCDLAHGCCAPDGSCRCDPGWEGLHCERC VRMPGCQHGTCHQPWQCICHSGWAGKFCDKDEHICTSQSPCQNGGQCVYDGGGEYHCVCL PGFHGRGCERKAGPCEQAGFPCRNGGQCQDNQGFALNFTCRCLAGFMGAHCEVNVDDCLM RPCANGATCIDGINRFSCLCPEGFAGRFCTINLDDCASRPCQRGARCRDRVHDFDCLCPS GYGGKTCELVLPAPEPASVGTPQMPTSAVVVPATGPAPHSAGAGLLRISVKEVVRRQESG LGESSLVALVVFGSLTAALVLATVLLTLRAWRRGICPTGPCCYPAPHYAPARQDQECQVS MLPAGFPLSPDLPPEPGKTTAL >ENSMUSP00000132349.1 pep:known chromosome:GRCm38:17:46298083:46303271:1 gene:ENSMUSG00000047428.14 transcript:ENSMUST00000170271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk2 description:delta-like 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2146838] MPSGCRCLNLVCLLCILGATSQPARADDCSSHCDLAHGCCAPDGSCRCDPGWEGLHCERC VRMPGCQHGTCHQPWQCICHSGWAGKFCDKDEHICTSQSPCQNGGQCVYDGGASRAGMEG SARTTRVLPSTSHAAAWQDSWVPTVRSMWTTV >ENSMUSP00000058470.6 pep:known chromosome:GRCm38:17:46297421:46303271:1 gene:ENSMUSG00000047428.14 transcript:ENSMUST00000061722.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk2 description:delta-like 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2146838] MKITMRVSVPLSPRQAASQPVPSHRCLHVWPFIRPSLLRPELTMPSGCRCLNLVCLLCIL GATSQPARADDCSSHCDLAHGCCAPDGSCRCDPGWEGLHCERCVRMPGCQHGTCHQPWQC ICHSGWAGKFCDKDEHICTSQSPCQNGGQCVYDGGGEYHCVCLPGFHGRGCERKAGPCEQ AGFPCRNGGQCQDNQGFALNFTCRCLAGFMGAHCEVNVDDCLMRPCANGATCIDGINRFS CLCPEGFAGRFCTINLDDCASRPCQRGARCRDRVHDFDCLCPSGYGGKTCELVLPAPEPA SVGTPQMPTSAVVVPATGPAPHSAGAGLLRISVKEVVRRQESGLGESSLVALVVFGSLTA ALVLATVLLTLRAWRRGICPTGPCCYPAPHYAPARQDQECQVSMLPAGFPLSPDLPPEPG KTTAL >ENSMUSP00000101585.1 pep:known chromosome:GRCm38:4:132222946:132261521:-1 gene:ENSMUSG00000028901.13 transcript:ENSMUST00000105965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmeb1 description:glucocorticoid modulatory element binding protein 1 [Source:MGI Symbol;Acc:MGI:2135604] MANAEVSVPVGDVVVVPTEGNEGENPEDTKTQVILQLQPVQQGIYEAGSENSAAVVAVET HSIHKIEEGIDASSIEGNEDMEIAYPITCGESKAVLLWKKFVCPGINVKCVKFNDQLISP KHFVHLAGKSTLKDWKRAIRLGGIMLRKMMDSGQIDFYQHDKVCSNTCRSTKFDLLISSA RAPVPGQQTSVVQTPTSADGNITQIAISEESMEEAGLEWNSALTAAVTMATEEGIKKESE EISEDTLMFWKGIADVGLMEEVVCNIQKEMEELLRGVQQRLIQAPFQVTDAAVLNNVANT FGLMDAVKRVLDNRRKQVEQGEEQFLYTLADLERQLEEQKKQAQDPRLKSQTVQNVVLMP VSTPKPPKRPRLQRPASTTVLSPSPVQQPQFTVISPITITPVGQSFSMGNIPVATLSQGS SPVTVHTLPSGPQLFRYATVVSSAKSNSPDTVTIHPSSSLALLSSTSMQDGSSLGNMATM VSPMELVAMESGLTSAIQAVESTSEDGQTIIEIDPAPDSEADDTEGKAVILETGLRTEEK VVAEMEEHQHQVHNVEIVVLED >ENSMUSP00000030733.2 pep:known chromosome:GRCm38:4:132225019:132251852:-1 gene:ENSMUSG00000028901.13 transcript:ENSMUST00000030733.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmeb1 description:glucocorticoid modulatory element binding protein 1 [Source:MGI Symbol;Acc:MGI:2135604] MANAEVSVPVGDVVVVPTEGNEGENPEDTKTQVILQLQPVQQGIYEAGSENSAAVVAVET HSIHKIEEGIDASSIEGNEDMEIAYPITCGESKAVLLWKKFVCPGINVKCVKFNDQLISP KHFVHLAGKSTLKDWKRAIRLGGIMLRKMMDSGQIDFYQHDKVCSNTCRSTKFDLLISSA RAPVPGQQTSVVQTPTSADGNITQIAISEESMEEAGLEWNSALTAAVTMATEEGIKKESE EISEDTLMFWKGIADVGLMEEVVCNIQKEMEELLRGVQQRLIQAPFQVTDAAVLNNVANT FGLMDAVKRVLDNRRKQVEQGEEQFLYTLADLERQLEEQKKQAQDPRLKSQTVQNVVLMP VSTPKPPKRPRLQRPASTTVLSPSPVQQPQFTVISPITITPVGQSFSMGNIPVATLSQGS SPVTVHTLPSGPQLFRYATVVSSAKSNSPDTVTIHPSSSLALLSSTSMQDGSSLGNMATM VSPMELVAMESGLTSAIQAVESTSEDGQTIIEIDPAPDSEADDTEGKAVILETGLRTEEK VVAEMEEHQHQVHNVEIVVLED >ENSMUSP00000101584.1 pep:known chromosome:GRCm38:4:132225671:132261602:-1 gene:ENSMUSG00000028901.13 transcript:ENSMUST00000105964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmeb1 description:glucocorticoid modulatory element binding protein 1 [Source:MGI Symbol;Acc:MGI:2135604] MANAEVSVPVGDVVVVPTEGNEGENPEDTKTQVILQLQPVQQGIYEAGSENSAAVVAVET HSIHKIEEGIDASSIEGNEDMEIAYPITCGESKAVLLWKKFVCPGINVKCVKFNDQLISP KHFVHLAGKSTLKDWKRAIRLGGIMLRKMMDSGQIDFYQHDKVCSNTCRSTKFDLLISSA RAPVPGQQTSVVQTPTSADGNITQIAISEESMEEAGLEWNSALTAAVTMATEEGIKKESE EISEDTLMFWKGIADVGLMEEVVCNIQKEMEELLRGVQQRLIQAPFQVTDAAVLNNVANT FGLMDAVKRVLDNRRKQVEQGEEQFLYTLADLERQLEEQKKQAQDPRLKSQTVQNVVLMP VSTPKPPKRPRLQRPASTTVLSPSPVQQPQFTVISPITITPVGQSFSMGNIPVATLSQGS SPVTVHTLPSGPQLFRYATVVSSAKSNSPDTVTIHPSSSLALLSSTSMQDGSSLGNMATM VSPMELVAMESGLTSAIQAVESTSEDGQTIIEIDPAPDSEADDTEGKAVILETGLRTEEK VVAEMEEHQHQVHNVEIVVLED >ENSMUSP00000131331.1 pep:known chromosome:GRCm38:4:132221025:132252494:-1 gene:ENSMUSG00000028901.13 transcript:ENSMUST00000168553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmeb1 description:glucocorticoid modulatory element binding protein 1 [Source:MGI Symbol;Acc:MGI:2135604] MANAEVSVPVGDVVVVPTEGNEGENPEDTKTQVILQLQPVQQGIYEAGSENSAAVVAVET HSIHKIEEGIDASSIEGNEDMEIAYPITCGESKAVLLWKKFVCPGINVKCVKFNDQLISP KHFVHLAGKSTLKDWKRAIRLGGIMLRKMMDSGQIDFYQHDKVCSNTCRSTKFDLLISSA RAPVPGQQTSVVQTPTSADGNITQIAISEESMEEAGLEWNSALTAAVTMATEEGIKKESE EISEDTLMFWKGIADVGLMEEVVCNIQKEMEELLRGVQQRLIQAPFQVTDAAVLNNVANT FGLMDAVKRVLDNRRKQVEQGEEQFLYTLADLERQLEEQKKQAQDPRLKSQTVQNVVLMP VSTPKPPKRPRLQRPASTTVLSPSPVQQPQFTVISPITITPVGQSFSMGNIPVATLSQGS SPVTVHTLPSGPQLFRYATVVSSAKSNSPDTVTIHPSSSLALLSSTSMQDGSSLGNMATM VSPMELVAMESGLTSAIQAVESTSEDGQTIIEIDPAPDSEADDTEGKAVILETGLRTEEK VVAEMEEHQHQVHNVEIVVLED >ENSMUSP00000105250.3 pep:known chromosome:GRCm38:8:90247040:90348343:-1 gene:ENSMUSG00000043668.13 transcript:ENSMUST00000109621.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tox3 description:TOX high mobility group box family member 3 [Source:MGI Symbol;Acc:MGI:3039593] MDVRFYPAAAGDPAGLDFAQCLGYYGYSKLGNNNYMNMAEANNAFFAASEQTFHTPSLGD EEFEIPPITPPPESDPTLGMPDALLPFQTLSDPLPSQGTEFTPQFPPQSLDLPSITISRN LVEQDGVLHSNGLHMDQSHTQVSQYRQDPSLVMRSIVHMTDGARSGIMPPAQLTTINQSQ LSAQLGLNLGGANVSHTSPSPPASKSATPSPSSSINEEDADDANRAIGEKRTAPDSGKKP KTPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWDSLGEEQK QVYKRKTEAAKKEYLKALAAYRASLVSKAAAESAEAQTIRSVQQTLASTNLTSSLLLNTS LSQHGTVPASPQTLPQSLPRSIAPKPLTMRLPMSQIVTSVTIAANMPSNIGAPLISSMGT TMVGSATSTQVSPSVQTQQHQMQLQQQQQQQQQMQQMQQQQLQQHQMHQQIQQQMQQQHF QHHMQQHLQQQQQQHLQQQLSQQQLQQQLQQHLQLQQLQHMQHQSQPSPRQHSPVTSQIT SPIPAIGSPQPASQQHQPQIQSQTQTQVLPQVSIF >ENSMUSP00000135697.1 pep:known chromosome:GRCm38:8:90252706:90348131:-1 gene:ENSMUSG00000043668.13 transcript:ENSMUST00000176616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tox3 description:TOX high mobility group box family member 3 [Source:MGI Symbol;Acc:MGI:3039593] MDVRFYPAAAGDPAGLDFAQCLGYYGYSKLGNNNYMNMAEANNAFFAASETFHTPSLGDE EFEIPPITPPPESDPTLGMPDALLPFQTLSDPLPSQGTEFTPQFPPQSLDLPSITISRNL VEQDGVLHSNGLHMDQSHTQVSQYRQDPSLVMRSIVHMTDGARSGIMPPAQLTTINQSQL SAQLGLNLGGANVSHTSPSPPASKSATPSPSSSINEEDADDANRAIGEKRTAPDSGKKPK TPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPNATFGEVSKIVASMWDSLGEEQKQ VYKRKTEAA >ENSMUSP00000134931.1 pep:known chromosome:GRCm38:8:90257946:90348126:-1 gene:ENSMUSG00000043668.13 transcript:ENSMUST00000176034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tox3 description:TOX high mobility group box family member 3 [Source:MGI Symbol;Acc:MGI:3039593] MDVRFYPAAAGDPAGLDFAQCLGYYGYSKTFHTPSLGDEEFEIPPITPPPESDPTLGMPD ALLPFQTLSDPLPSQGTEFTPQFPPQSLDLPSITISRNLVEQDGVLHSNGLHMDQSHTQV SQYRQDPSLVMRSIVHMTDGARSGIMPPAQLTTINQSQLSAQLGLNLGGANVSHTSPSP >ENSMUSP00000018711.8 pep:known chromosome:GRCm38:11:69991143:69994951:1 gene:ENSMUSG00000018567.14 transcript:ENSMUST00000018711.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabarap description:gamma-aminobutyric acid receptor associated protein [Source:MGI Symbol;Acc:MGI:1861742] MKFVYKEEHPFEKRRSEGEKIRKKYPDRVPVIVEKAPKARIGDLDKKKYLVPSDLTVGQF YFLIRKRIHLRAEDALFFFVNNVIPPTSATMGQLYQEHHEEDFFLYIAYSDESVYGL >ENSMUSP00000104233.1 pep:known chromosome:GRCm38:11:69991639:69994940:1 gene:ENSMUSG00000018567.14 transcript:ENSMUST00000108592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabarap description:gamma-aminobutyric acid receptor associated protein [Source:MGI Symbol;Acc:MGI:1861742] MKFVYKEEHPFEKRRSEGEKIRKKYPDRVPVIVEKAPKARIGDLDKKKYLVPSDLTVPRW VSCTRNTMKKTSFYTLPTVMKASMVCEAAVPEVGGSILRREVALLP >ENSMUSP00000016401.8 pep:known chromosome:GRCm38:2:174465067:174473081:-1 gene:ENSMUSG00000016257.15 transcript:ENSMUST00000016401.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmo2 description:slowmo homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913640] MKIWTSEHVFDHPWETVTTAAMQKYPNPMNPSVVGVDVLDRHVDPSGKLHSHRLLSTEWG LPSIVKSLIGAARTKTYVQEHSVVDPVTRTMELKSTNISFTNMVSVDERLTYKPHLQDPE KTVLTQEALITVKGVSLSSYLEGLMASTISSNASKGREAMEWVIHKLNAEIEELAASARG SIRTPMAAAAALVDK >ENSMUSP00000115566.1 pep:known chromosome:GRCm38:2:174469381:174472931:-1 gene:ENSMUSG00000016257.15 transcript:ENSMUST00000141100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmo2 description:slowmo homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913640] MQKYPNPMNPSVVGVDVLDRHVDPSGKLHSH >ENSMUSP00000112686.1 pep:known chromosome:GRCm38:2:174465585:174472898:-1 gene:ENSMUSG00000016257.15 transcript:ENSMUST00000117442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmo2 description:slowmo homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913640] MELKSTNISFTNMVSVDERLTYKPHLQDPEKTVLTQEALITVKGVSLSSYLEGLMASTIS SNASKGREAMEWVIHKLNAEIEELAASARGSIRTPMAAAAALVDK >ENSMUSP00000113522.1 pep:known chromosome:GRCm38:2:174465694:174472934:-1 gene:ENSMUSG00000016257.15 transcript:ENSMUST00000120822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmo2 description:slowmo homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913640] MELKSTNISFTNMVSVDERLTYKPHLQDPEKTVLTQEALITVKGVSLSSYLEGLMASTIS SNASKGREAMEWVIHKLNAEIEELAASARGSIRTPMAAAAALVDK >ENSMUSP00000126533.2 pep:known chromosome:GRCm38:17:34145271:34151549:1 gene:ENSMUSG00000037548.14 transcript:ENSMUST00000171231.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-DMb2 description:histocompatibility 2, class II, locus Mb2 [Source:MGI Symbol;Acc:MGI:95923] MLACYVWGFYPADVTITWMKNGQLVPSHSNKEKTAQPNGDWTYQTVSYLALTPSYGDVYT CVVQHSGTSEPIRGDWTPGLSPIQTVKVSVSAATLGLGFIIFCVGFFRWRKSHSSSYTPL PGSTYPEGRH >ENSMUSP00000043526.7 pep:known chromosome:GRCm38:17:34145313:34151553:1 gene:ENSMUSG00000037548.14 transcript:ENSMUST00000041982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-DMb2 description:histocompatibility 2, class II, locus Mb2 [Source:MGI Symbol;Acc:MGI:95923] MAALWLLLLVLSLHCMGAGGFVAHVESTCVLNDAGTPQDFTYCVSFNKDLLACWDPDVGK IVPCEFGVLSRLAEIISNILNEQESLIHRLQNGLQDCATHTQPFWDVLTHRTRAPSVRVA QTTPFNTREPVMLACYVWGFYPADVTITWMKNGQLVPSHSNKEKTAQPNGDWTYQTVSYL ALTPSYGDVYTCVVQHSGTSEPIRGDWTPGLSPIQTVKVSVSAATLGLGFIIFCVGFFRW RKSHSSSYTPLPGSTYPEGRH >ENSMUSP00000089257.5 pep:known chromosome:GRCm38:13:32842092:32851185:-1 gene:ENSMUSG00000044734.15 transcript:ENSMUST00000091668.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb1a description:serine (or cysteine) peptidase inhibitor, clade B, member 1a [Source:MGI Symbol;Acc:MGI:1913472] MEQLSSANTLFALELFQTLNESSPTGNIFFSPFSISSALAMVILGAKGSTAAQLSKTFHF DSVEDIHSRFQSLNAEVSKRGASHTLKLANRLYGEKTYNFLPDGPESKPSRKIPELLSVG VVDSMTKLVLVNAIYFKGMWEEKFMTEDTTDAPFRLSKKDTKTVKMMYQKKKFPFGYISD LKCKVLEMPYQGGELSMVILLPKDIEDESTGLKKIEKQITLEKLLEWTKRENLEFIDVHV KLPRFKIEESYTLNSNLGRLGVQDLFSSSKADLSGMSGSRDLFISKIVHKSFVEVNEEGT EAAAATGGIATFCMLLPEEEFTVDHPFIFFIRHNPTSNVLFLGRVCSP >ENSMUSP00000075690.6 pep:known chromosome:GRCm38:13:32842093:32851185:-1 gene:ENSMUSG00000044734.15 transcript:ENSMUST00000076352.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb1a description:serine (or cysteine) peptidase inhibitor, clade B, member 1a [Source:MGI Symbol;Acc:MGI:1913472] MEQLSSANTLFALELFQTLNESSPTGNIFFSPFSISSALAMVILGAKGSTAAQLSKTFHF DSVEDIHSRFQSLNAEVSKRGASHTLKLANRLYGEKTYNFLPEYLASTQKMYGADLAPVD FLHASEDARKEINQWVKGQTEGKIPELLSVGVVDSMTKLVLVNAIYFKGMWEEKFMTEDT TDAPFRLSKKDTKTVKMMYQKKKFPFGYISDLKCKVLEMPYQGGELSMVILLPKDIEDES TGLKKIEKQITLEKLLEWTKRENLEFIDVHVKLPRFKIEESYTLNSNLGRLGVQDLFSSS KADLSGMSGSRDLFISKIVHKSFVEVNEEGTEAAAATGGIATFCMLLPEEEFTVDHPFIF FIRHNPTSNVLFLGRVCSP >ENSMUSP00000094396.5 pep:known chromosome:GRCm38:7:102019137:102065158:-1 gene:ENSMUSG00000070426.12 transcript:ENSMUST00000096639.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf121 description:ring finger protein 121 [Source:MGI Symbol;Acc:MGI:1922462] MAAVVEVEVGGGALAERELDEVDMSDLSPEEQWRVEHARMHAKHRGHEAMHAEMVLILIA TLVVAQLLLVQWKQRHPRSYNMVTLFQMWVVPLYFTVKLHWWRFLVIWIFFSAVTAFVTF RATRKPLVQTTPRLVYKWFLLIYKISYATGIVGYMAVMFTLFGLNLLFKIKPEDAMDFGI SLLFYGLYYGVLERDFAEMCADYMASTIGFYSESGMPTKHLSDSVCAVCGQQIFVDVNEE GIIENTYRLSCNHVFHEFCIRGWCIVGKKQTCPYCKEKVDLKRMFSNPWERPHVMYGQLL DWLRYLVAWQPVIIGLVQGISYILGLE >ENSMUSP00000086453.4 pep:known chromosome:GRCm38:7:102019152:102065469:-1 gene:ENSMUSG00000070426.12 transcript:ENSMUST00000089052.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf121 description:ring finger protein 121 [Source:MGI Symbol;Acc:MGI:1922462] MSDLSPEEQWRVEHARMHAKHRGHEAMHAEMVLILIATLVVAQLLLVQWKQRHPRSYNMV TLFQMWVVPLYFTVKLHWWRFLVIWIFFSAVTAFVTFRATRKPLVQTTPRLVYKWFLLIY KISYATGIVGYMAVMFTLFGLNLLFKIKPEDAMDFGISLLFYGLYYGVLERDFAEMCADY MASTIGFYSESGMPTKHLSDSVCAVCGQQIFVDVNEEGIIENTYRLSCNHVFHEFCIRGW CIVGKKQTCPYCKEKVDLKRMFSNPWERPHVMYGQLLDWLRYLVAWQPVIIGLVQGISYI LGLE >ENSMUSP00000102566.1 pep:known chromosome:GRCm38:7:102020132:102060476:-1 gene:ENSMUSG00000070426.12 transcript:ENSMUST00000106953.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf121 description:ring finger protein 121 [Source:MGI Symbol;Acc:MGI:1922462] MFCQVDMSDLSPEEQWRVEHARMHAKHRGHEAMHAEMVLILIATLVVAQLLLVQWKQRHP RSYNMVTLFQMWVVPLYFTVKLHWWRFLVIWIFFSAVTAFVTFRATRKPLVQTTPRLVYK WFLLIYKISYATGIVGYMAVMFTLFGLNLLFKIKPEDAMDFGISLLFYGLYYGVLERDFA EMCADYMASTIGFYSESGMPTKHLSDSVCAVCGQQIFVDVNEEGIIENTYRLSCNHVFHE FCIRGWCIVGKKQTCPYCKEKVDLKRMFSNPWERPHVMYGQLLDWLRYLVAWQPVIIGLV QGISYILGLE >ENSMUSP00000123134.1 pep:known chromosome:GRCm38:7:102029012:102065117:-1 gene:ENSMUSG00000070426.12 transcript:ENSMUST00000131104.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf121 description:ring finger protein 121 [Source:MGI Symbol;Acc:MGI:1922462] XVEVEVGGGALAERELDEVDMSDLSPEEQWRVEHARMHAKHRGHEAMHAEMVLILIATLV VAQLLLVQWKQRHPRSYNMVTLFQMWVVPLYFTVKLHWWRFLVIWIFFSAVTAFVTFRAT RKPLVQTTPRIKPEDAMDFGISLLFYGLYYGVLERDFAEMCADYMASTIG >ENSMUSP00000120705.1 pep:known chromosome:GRCm38:7:102039552:102065044:-1 gene:ENSMUSG00000070426.12 transcript:ENSMUST00000130074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf121 description:ring finger protein 121 [Source:MGI Symbol;Acc:MGI:1922462] MSDLSPEEQWRVEHARMHAKHRGHEAMHAEMVLILIATLVVAQLLLVQWKQRHPRSY >ENSMUSP00000083997.4 pep:known chromosome:GRCm38:17:13061104:13082481:1 gene:ENSMUSG00000055602.16 transcript:ENSMUST00000086787.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10b description:t-complex protein 10b [Source:MGI Symbol;Acc:MGI:98542] MLEDLSQGKGSNHEKRKMESTAQITEEDSKLDEVVGLQKQICDLGTELTRQSSWWCVAHK DLQSQIDALIKENQEIRAELKTLKKQDAEATKACIGSPTPARASNTLPVYVKIEGIDSER TTSWDERDELSGSPPNRSTMATGGTDSQDEMLSFTSVDEKVIHMSSKFLQRSFGRMSPEP LSDSTFLDTESLADIWSSNPETSDGELLLHAQASRVIPCFSPNALWVQNIPTKSRAPKEI QQTSDTTKTDETKEKRHPNGKVERMLSDGRTIITFPNGTRKEISADKKTTLIRFFNGDMK KIKSDQKVIYYYADAQTMHTTYPDGVEVVQFPNKWTEKFYPDGSKETVFPDGTVKQLKDG CEETVFPDGTFVTVKRNGDKTIMFSNGEKEIHTARFKRKEFPDGTTKTVYCNGCQETKYA SGRVRVKDEKGTVILDWK >ENSMUSP00000112365.1 pep:known chromosome:GRCm38:17:13061124:13082123:1 gene:ENSMUSG00000055602.16 transcript:ENSMUST00000116666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10b description:t-complex protein 10b [Source:MGI Symbol;Acc:MGI:98542] MLEDLSQGKGSNHEKRKMESTAQITEEDSKLDEVVGLQKQICDLGTELTRQSSWWCVAHK DLQSQIDALIKENQEIRAELKTLKKQDAEATKACIGSPTPARASNTLPVYVKIEGIDSER TTSWDERDELSGSPPNRSTMATGGTDSQDEMLSFTSVDEKVIHMSSKFLQRSFGRMSPEP LSDSTFLDTESLADIWSSNPETSDGELLLHAQASRVIPCFSPNALWVQNIPTKSRAPKEI QQTSDTTKTDETKEKRHPNGKVERMLSDGRTIITFPNGTRKEISADKKTTLIRFFNGDMK KIKSDQKVIYYYADAQTMHTTYPDGVEVVQFPNKWTEKFYPDGSKETVFPDGTVKQLKDG CEETVFPDGTFVTVKRNGDKTIMFSNGEKEIHTARFKRKEFPDGTTKTVYCNGCQETKYA SGRVRVKDEKGTVILDWK >ENSMUSP00000128059.2 pep:known chromosome:GRCm38:17:13061846:13081634:1 gene:ENSMUSG00000055602.16 transcript:ENSMUST00000163227.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10b description:t-complex protein 10b [Source:MGI Symbol;Acc:MGI:98542] MLEDLSQGKGSNHEKRKMESTAQITEEDSKLDEVVGLQKQICDLGTELTRQSSWWCVAHK DLQSQIDALIKENQEIRAELKTLKKQDAEATKACIGSPTPARASNTLPVYVKIEGIDSER TTSWDERDELSGSPPNRSTMATGGTDSQDEMLSFTSVDEKVIHMSSKFLQRSFGRMSPEP LSDSTFLDTESLADIWSSNPETSDGELLLHAQASRVIPCFSPNALWVQNIPTKSRAPKEI QQTSDTTKTDETKEKRHPNGKVERMLSDGRTIITFPNGTRKEISADKKTTLIRFFNGDMK KIKSDQKVIYYYADAQTMHTTYPDGVEVVQFPNKWTEKFYPDGSKETVFPDGTVKQLKDG CEETVFPDGTFVTVKRNGDKTIMFSNGEKEIHTARFKRKEF >ENSMUSP00000073267.2 pep:known chromosome:GRCm38:7:108124296:108125261:-1 gene:ENSMUSG00000109349.1 transcript:ENSMUST00000073580.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr484 description:olfactory receptor 484 [Source:MGI Symbol;Acc:MGI:3030318] MAFLHNGNHTAVTEFILLGLTDDPVLRIVLFTIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASADIGYSSSVTPNMLVNFLVKQNTISYIGCSIQFGSAAFFGGLECFLLAV MAYDRFVAICNPLLYSTKMSTQVCVQLVVGSYIGGFLNASFATVSFLFLFFCGPNIINHF FCDFAPLIELSCSDVRISVLVTSFSAGTVTMLTVLVIAISYTYILITILKMRSTEGRHKA FSTCTSHLTAVSLFYGTITFIYVMPKSRYSTDQNKVVSVFYMVVIPMLNPLIYSLRNNEI KGALRRHLGKKIFSQSNILFY >ENSMUSP00000063380.6 pep:known chromosome:GRCm38:15:65986387:66286224:-1 gene:ENSMUSG00000056258.8 transcript:ENSMUST00000070256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq3 description:potassium voltage-gated channel, subfamily Q, member 3 [Source:MGI Symbol;Acc:MGI:1336181] MGLKARRAAGAAGGGGGEGGGGGGGAANPAGGDSAVAGDEERKVGLAPGDVEQVTLALGA GADKDGTLLLEGGGREEGQRRTPQGIGLLAKTPLSRPVKRNNAKYRRIQTLIYDALERPR GWALLYHALVFLIVLGCLILAVLTTFKEYETVSGDWLLLLETFAIFIFGAEFALRIWAAG CCCRYKGWRGRLKFARKPLCMLDIFVLIASVPVVAVGNQGNVLATSLRSLRFLQILRMLR MDRRGGTWKLLGSAICAHSKELITAWYIGFLTLILSSFLVYLVEKDVPEMDAQGEEMKEE FETYADALWWGLITLATIGYGDKTPKTWEGRLIAATFSLIGVSFFALPAGILGSGLALKV QEQHRQKHFEKRRKPAAELIQAAWRYYATNPNRLDLVATWRFYESVVSFPFFRKEQLEAA ASQKLGLLDRVRLSNPRGSNTKGKLFTPLNVDAIEESPSKEPKPVGLNNKERFRTAFRMK AYAFWQSSEDAGTGDPMAEDRGYGNDFLIEDMIPTLKAAIRAVRILQFRLYKKKFKETLR PYDVKDVIEQYSAGHLDMLSRIKYLQTRIDMIFTPGPPSTPKHKKSQKGSAFTYPSQQSP RNEPYVARAATSETEDQSMMGKFVKVERQVHDMGKKLDFLVDMHMQHMERLQVHVTEYYP TKGASSPAEGEKKEDNRYSDLKTIICNYSETGPPDPPYSFHQVPIDRVGPYGFFAHDPVK LTRGGPSSTKAQANLPSSGSTYAERPTVLPILTLLDSCVSYHSQTELQGPYSDHISPRQR RSITRDSDTPLSLMSVNHEELERSPSGFSISQDRDDYVFGPSGGSSWMREKRYLAEGETD TDTDPFTPSGSMPMSSTGDGISDSIWTPSNKPT >ENSMUSP00000131843.1 pep:known chromosome:GRCm38:17:46303221:46328023:-1 gene:ENSMUSG00000032842.13 transcript:ENSMUST00000167360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc10 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 10 [Source:MGI Symbol;Acc:MGI:2386976] MEGLLAQLCGTDAARPLPLWEGDTTGHCFTQLVLSALPHALLAVLSACHLGTPRTTNHSP ALNPGWRLRLAASFLLSIFPLLDLLPVVLPPGSRPGPLWLEVLAGCVTAVAWFTHSLALW ALVHSPHGRSRGPLALALAAFLPTPALVLTLLWHCQRGTFLPPLLPGPLGRVCLLILQLA AVLAYGLGWAAPGGPQEPWTHDPFLSSESQETEVAEDGESWLSRFSYAWLAPLLARGVRG ELQQPRDTCRLPRRLHPAFLARVFQAHWKEGAQLWRALYRAFGCCYLALGLLKMVGTMLG FSGPLLLSLLVGFLEEGQEPLSHGLLYVLGLAGGTVISAVLQNQYGYEVRKVTLQARVAV LSTLYRKALKLGPSRPPTGEVLNLLGTDSERLLNFAGSFHEAWGLPLQLAITLYLLYQQV GMAFLAGLVLALLLVPVNKVIATRIMASNQEMLRHKDARVKLMTELLSGIRVIKFFRWEQ ALGDRVKACRTKELGRLRVIKYLDAACVYLWAALPVVICITIFITYVLMGHQLTATKVFT ALALVRMLILPLNNFPWVINGLLESKVSLDRIQRFLDLPSYSPEAYYSPDPPAEPSTALE LHEALFSWDPIGASQKTFISHLQVKKGMLVGIVGKVGCGKSSLLAAITGELHRLCGWVAV SELSKGFGLATQEPWIQCATIRDNILFGKTFDAQLYREVLEACALNDDLSILPAGDQTEV GEKGVTLSGGQRARIALARAVYQEKALYLLDDPLAAVDADVANHLLHRCILGVLSHTTRL LCTHRTEYLERADVVLLMEAGQLVRTGPPSEILPLVQAVPTAWAEKEQVATSGQSPSVCD LERTTEEELEVEQSTCGCLVQEESKSEGAVALHVYRAYWRAMGSGLAAAILVSLLLMQAT RNGADWWLAHWLSQLKAGRNGSREDPASCSPGSTALFSPRLLLFSPGNLYTPLLSTPLHK AASNGTADVHFYLIVYATIAGVNSLCTLLRAVLFAAGALQAAASLHHRLLHRLLMAPVTF YDSTPSGRVLNRFSSDVACVDDSLPFLLNILLANSVGLLGLLAVLGSGLPWLLLLLPPLS FVYYSVQGYYRASFRELRRLGSLTWSPLYSHLADTLAGLPVLRAAGATYRFEEENQRLLE LNQRCQFASYATMQWLDIRLQLMGAAVVSAIAGIALVQHQQGLANPGLVGLVLSYALSLT GLLSGLVSSFTQTEAMMVSVERLEEYSCDVPQEPHSQPLQSPHQQRISWLTQGSVEFQDV VLVYRPGLPNALDGVTFRVEPGEKLGIVGRTGSGKSSLFLVLFRLLEPNAGRVLLDNVDT SQLELAELRSQLAVIPQEPFLFSGTIRENLDPQGLHEDRALWQALEQCHLSEVAVAMGGL DGELGERGQNLSLGQRQLLCLARALLTDAKILCIDEATASVDQKTDQLLQQTICKRFANK TVLTIAHRLNTILNSDRVLVLQAGRVVELDSPSALRNQPHSLFQQLLQSSQQGAHSGPSG C >ENSMUSP00000132561.1 pep:known chromosome:GRCm38:17:46303230:46327976:-1 gene:ENSMUSG00000032842.13 transcript:ENSMUST00000171584.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcc10 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 10 [Source:MGI Symbol;Acc:MGI:2386976] MEGLLAQLCGTDAARPLPLWEGDTTGHCFTQLVLSALPHALLAVLSACHLGTPRTTNHSP ALNPGWRLRLAASFLLSIFPLLDLLPVVLPPGSRPGPLWLEVLAGCVTAVAWFTHSLALW ALVHSPHGRSRGPLALALAAFLPTPALVLTLLWHCQRGTFLPPLLPGPLGRVCLLILQLA AVLAYGLGWAAPGGPQEPWTHDPFLSSESQETEVAEDGESWLSRFSYAWLAPLLARGVRG ELQQPRDTCRLPRRLHPAFLARVFQAHWKEGAQLWRALYRAFGCCYLALGLLKMVGTMLG FSGPLLLSLLVGFLEEGQEPLSHGLLYVLGLAGGTVISAVLQNQYGYEVRKVTLQARVAV LSTLYRKALKLGPSRPPTGEVLNLLGTDSERLLNFAGSFHEAWGLPLQLAITLYLLYQQV GMAFLAGLVLALLLVPVNKVIATRIMASNQEMLRHKDARVKVRGFWGPSAIWGAPPSNRQ RRSASHV >ENSMUSP00000038041.7 pep:known chromosome:GRCm38:17:46303233:46327979:-1 gene:ENSMUSG00000032842.13 transcript:ENSMUST00000047970.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc10 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 10 [Source:MGI Symbol;Acc:MGI:2386976] MEGLLAQLCGTDAARPLPLWEGDTTGHCFTQLVLSALPHALLAVLSACHLGTPRTTNHSP ALNPGWRLRLAASFLLSIFPLLDLLPVVLPPGSRPGPLWLEVLAGCVTAVAWFTHSLALW ALVHSPHGRSRGPLALALAAFLPTPALVLTLLWHCQRGTFLPPLLPGPLGRVCLLILQLA AVLAYGLGWAAPGGPQEPWTHDPFLSSESQETEVAEDGESWLSRFSYAWLAPLLARGVRG ELQQPRDTCRLPRRLHPAFLARVFQAHWKEGAQLWRALYRAFGCCYLALGLLKMVGTMLG FSGPLLLSLLVGFLEEGQEPLSHGLLYVLGLAGGTVISAVLQNQYGYEVRKVTLQARVAV LSTLYRKALKLGPSRPPTGEVLNLLGTDSERLLNFAGSFHEAWGLPLQLAITLYLLYQQV GMAFLAGLVLALLLVPVNKVIATRIMASNQEMLRHKDARVKLMTELLSGIRVIKFFRWEQ ALGDRVKACRTKELGRLRVIKYLDAACVYLWAALPVVICITIFITYVLMGHQLTATKVFT ALALVRMLILPLNNFPWVINGLLESKVSLDRIQRFLDLPSYSPEAYYSPDPPAEPSTALE LHEALFSWDPIGASQKTFISHLQVKKGMLVGIVGKVGCGKSSLLAAITGELHRLCGWVAV SELSKGFGLATQEPWIQCATIRDNILFGKTFDAQLYREVLEACALNDDLSILPAGDQTEV GEKGVTLSGGQRARIALARAVYQEKALYLLDDPLAAVDADVANHLLHRCILGVLSHTTRL LCTHRTEYLERADVVLLMEAGQLVRTGPPSEILPLVQAVPTAWAEKEQVATSGQSPSVCD LERTTEEELEVEQSTCGCLVQEESKSEGAVALHVYRAYWRAMGSGLAAAILVSLLLMQAT RNGADWWLAHWLSQLKAGRNGSREDPASCSPGSTALFSPRLLLFSPGNLYTPLLSTPLHK AASNGTADVHFYLIVYATIAGVNSLCTLLRAVLFAAGALQAAASLHHRLLHRLLMAPVTF YDSTPSGRVLNRFSSDVACVDDSLPFLLNILLANSVGLLGLLAVLGSGLPWLLLLLPPLS FVYYSVQGYYRASFRELRRLGSLTWSPLYSHLADTLAGLPVLRAAGATYRFEEENQRLLE LNQRCQFASYATMQWLDIRLQLMGAAVVSAIAGIALVQHQQGLANPGLVGLVLSYALSLT GLLSGLVSSFTQTEAMMVSVERLEEYSCDVPQEPHSQPLQSPHQQRISWLTQGSVEFQDV VLVYRPGLPNALDGVTFRVEPGEKLGIVGRTGSGKSSLFLVLFRLLEPNAGRVLLDNVDT SQLELAELRSQLAVIPQEPFLFSGTIRENLDPQGLHEDRALWQALEQCHLSEVAVAMGES QACQRSQREAKNGHWQCSALLTGGLDGELGERGQNLSLGQRQLLCLARALLTDAKILCID EATASVDQKTDQLLQQTICKRFANKTVLTIAHRLNTILNSDRVLVLQAGRVVELDSPSAL RNQPHSLFQQLLQSSQQGAHSGPSGC >ENSMUSP00000092895.3 pep:known chromosome:GRCm38:17:46303421:46325766:-1 gene:ENSMUSG00000032842.13 transcript:ENSMUST00000095261.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc10 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 10 [Source:MGI Symbol;Acc:MGI:2386976] MICGLLFFSFFPRTTNHSPALNPGWRLRLAASFLLSIFPLLDLLPVVLPPGSRPGPLWLE VLAGCVTAVAWFTHSLALWALVHSPHGRSRGPLALALAAFLPTPALVLTLLWHCQRGTFL PPLLPGPLGRVCLLILQLAAVLAYGLGWAAPGGPQEPWTHDPFLSSESQETEVAEDGESW LSRFSYAWLAPLLARGVRGELQQPRDTCRLPRRLHPAFLARVFQAHWKEGAQLWRALYRA FGCCYLALGLLKMVGTMLGFSGPLLLSLLVGFLEEGQEPLSHGLLYVLGLAGGTVISAVL QNQYGYEVRKVTLQARVAVLSTLYRKALKLGPSRPPTGEVLNLLGTDSERLLNFAGSFHE AWGLPLQLAITLYLLYQQVGMAFLAGLVLALLLVPVNKVIATRIMASNQEMLRHKDARVK LMTELLSGIRVIKFFRWEQALGDRVKACRTKELGRLRVIKYLDAACVYLWAALPVVICIT IFITYVLMGHQLTATKVFTALALVRMLILPLNNFPWVINGLLESKVSLDRIQRFLDLPSY SPEAYYSPDPPAEPSTALELHEALFSWDPIGASQKTFISHLQVKKGMLVGIVGKVGCGKS SLLAAITGELHRLCGWVAVSELSKGFGLATQEPWIQCATIRDNILFGKTFDAQLYREVLE ACALNDDLSILPAGDQTEVGEKGVTLSGGQRARIALARAVYQEKALYLLDDPLAAVDADV ANHLLHRCILGVLSHTTRLLCTHRTEYLERADVVLLMEAGQLVRTGPPSEILPLVQAVPT AWAEKEQVATSGQSPSVCDLERTTEEELEVEQSTCGCLVQEESKSEGAVALHVYRAYWRA MGSGLAAAILVSLLLMQATRNGADWWLAHWLSQLKAGRNGSREDPASCSPGSTALFSPRL LLFSPGNLYTPLLSTPLHKAASNGTADVHFYLIVYATIAGVNSLCTLLRAVLFAAGALQA AASLHHRLLHRLLMAPVTFYDSTPSGRVLNRFSSDVACVDDSLPFLLNILLANSVGLLGL LAVLGSGLPWLLLLLPPLSFVYYSVQGYYRASFRELRRLGSLTWSPLYSHLADTLAGLPV LRAAGATYRFEEENQRLLELNQRCQFASYATMQWLDIRLQLMGAAVVSAIAGIALVQHQQ GLANPGLVGLVLSYALSLTGLLSGLVSSFTQTEAMMVSVERLEEYSCDVPQEPHSQPLQS PHQQRISWLTQGSVEFQDVVLVYRPGLPNALDGVTFRVEPGEKLGIVGRTGSGKSSLFLV LFRLLEPNAGRVLLDNVDTSQLELAELRSQLAVIPQEPFLFSGTIRENLDPQGLHEDRAL WQALEQCHLSEVAVAMGGLDGELGERGQNLSLGQRQLLCLARALLTDAKILCIDEATASV DQKTDQLLQQTICKRFANKTVLTIAHRLNTILNSDRVLVLQAGRVVELDSPSALRNQPHS LFQQLLQSSQQGAHSGPSGC >ENSMUSP00000132760.1 pep:known chromosome:GRCm38:17:46313662:46323632:-1 gene:ENSMUSG00000032842.13 transcript:ENSMUST00000168490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc10 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 10 [Source:MGI Symbol;Acc:MGI:2386976] MTELLSGIRVIKFFRWEQALGDRVKACRTKELGRLRVIKYLDAACVYLWAALPVVICITI FITYVLMGHQLTATKGMLVGIVGKVGCGKSSLLAAITGELHRLCGWVAVSELSKGFGLAT QEPWIQCATIRDNILFGKTFDAQLYREVLEACALNDDLSILPAGDQTEVGEKGVTLSGGQ RARIALARAVYQEKALYLLDDPLAAVDADVANHLLHRCILGVLSHTTRLLCTHRTEYLER ADVVL >ENSMUSP00000105304.2 pep:known chromosome:GRCm38:2:155236535:155250277:1 gene:ENSMUSG00000047459.14 transcript:ENSMUST00000109682.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynlrb1 description:dynein light chain roadblock-type 1 [Source:MGI Symbol;Acc:MGI:1914318] MAEVEETLKRLQSQKGVQGIIVVNTEGIPIKSTMDNPTTTQYANLMHNFILKARSTVREI DPQNDLTFLRIRSKKNEIMVAPDKDYFLIVIQNPTE >ENSMUSP00000114928.1 pep:known chromosome:GRCm38:2:155236608:155250135:1 gene:ENSMUSG00000047459.14 transcript:ENSMUST00000150602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynlrb1 description:dynein light chain roadblock-type 1 [Source:MGI Symbol;Acc:MGI:1914318] MAFPPPLWEAEVEETLKRLQSQKGVQGIIVVNTEGIPIKSTMDNPTTTQYANLMHNFILK ARSTVREIDPQNDLTFLRIRSKKNEIMVAPDKDYFLIVIQNPTE >ENSMUSP00000115803.1 pep:known chromosome:GRCm38:7:90450700:90457208:-1 gene:ENSMUSG00000030615.12 transcript:ENSMUST00000136652.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem126a description:transmembrane protein 126A [Source:MGI Symbol;Acc:MGI:1913521] MESHKPSTSKDDLILNIISRKIKQLPESDRNLLEYGSAYIGLNAAFGGLIANSLFRRILN VTQARLASSLPMAVIPFLTANLSYQSLVI >ENSMUSP00000032844.5 pep:known chromosome:GRCm38:7:90450700:90457229:-1 gene:ENSMUSG00000030615.12 transcript:ENSMUST00000032844.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem126a description:transmembrane protein 126A [Source:MGI Symbol;Acc:MGI:1913521] MESHKPSTSKDDLILNIISRKIKQLPESDRNLLEYGSAYIGLNAAFGGLIANSLFRRILN VTQARLASSLPMAVIPFLTANLSYQSLVSLPLSTGDLNCETCTTTRGALVGLVMGGLYPI LLAIPVNGGLAARYESSPLPQRGNIFNYWITVSKPVFRKMLFPTLLQTVFASYLGSRQYK LLIKALQLPEPDLEIH >ENSMUSP00000104132.2 pep:known chromosome:GRCm38:7:17031507:17062427:-1 gene:ENSMUSG00000004328.15 transcript:ENSMUST00000108492.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hif3a description:hypoxia inducible factor 3, alpha subunit [Source:MGI Symbol;Acc:MGI:1859778] MALGLQRVRSNTELRKEKSRDAARSRRSQETEVLYQLAHTLPFARGVSAHLDKASIMRLT ISYLRMHRLCAAGEWNQVEKGGEPLDACYLKALEGFVMVLTAEGDMAYLSENVSKHLGLS QLELIGHSIFDFIHPCDQEELQDALTPRPNLSKKKLEAPTERHFSLRMKSTLTSRGRTLN LKAATWKVLHCSGHMRAYKPPAQTSPAGSPRSEPPLQCLVLICEAIPHPASLEPPLGRGA FLSRHSLDMKFTYCDERIAEVAGYSPDDLIGCSAYEYIHALDSDAVSRSIHTLLSKGQAV TGQYRFLARTGGYLWTQTQATVVSGGRGPQSESIICVHFLISRVEETGVVLSLEQTEQHT RRPPRLSASSQKGIPGNSVDSPAPRILAFLHPPALSEASLAADPRRFCSPDLRRLMAPIL DGPPPAATPSTPQATRRPQSPLPADLPDKLTVGLENAHRLSTAQKNKTVETDLDIAQDPD TLDLEMLAPYISMDDDFQLNSSEQLPKVHRRPPRVARRPRARSFHGLSPPIPEPSLLPRW GSDPRLNCSSPSRGDRPTASLMPGTRKRALAQSSEDKGLELLETKPPKRSPRLEPGSFLL PPLSLSFLLQGRQLPGNQQDPRAPLVHSHEPLGLAPSLLSLCQHEETVQPRNRFPPAAGL GQTH >ENSMUSP00000048248.4 pep:known chromosome:GRCm38:7:17035734:17056679:-1 gene:ENSMUSG00000004328.15 transcript:ENSMUST00000037762.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hif3a description:hypoxia inducible factor 3, alpha subunit [Source:MGI Symbol;Acc:MGI:1859778] MDWDQDRSNTELRKEKSRDAARSRRSQETEVLYQLAHTLPFARGVSAHLDKASIMRLTIS YLRMHRLCAAGEWNQVEKGGEPLDACYLKALEGFVMVLTAEGDMAYLSENVSKHLGLSQL ELIGHSIFDFIHPCDQEELQDALTPRPNLSKKKLEAPTERHFSLRMKSTLTSRGRTLNLK AATWKVLHCSGHMRAYKPPAQTSPAGSPRSEPPLQCLVLICEAIPHPASLEPPLGRGAFL SRHSLDMKFTYCDERIAEVAGYSPDDLIGCSAYEYIHALDSDAVSRSIHTLLSKGQAVTG QYRFLARTGGYLWTQTQATVVSGGRGPQSESIICVHFLISRVEETGVVLSLEQTEQHTRR PPRLSASSQKGIPGNSVDSPAPRILAFLHPPALSEASLAADPRRFCSPDLRRLMAPILDG PPPAATPSTPQATRRPQSPLPADLPDKLTVGLENAHRLSTAQKNKTVETDLDIAQDPDTL DLEMLAPYISMDDDFQLNSSEQLPKVHRRPPRVARRPRARSFHGLSPPIPEPSLLPRWGS DPRLNCSSPSRGDRPTASLMPGTRKRALAQSSEDKGLELLETKPPKRSPRLEPGSFLLPP LSLSFLLQGRQLPGNQQDPRAPLVHSHEPLGLAPSLLSLCQHEETVQPRNRFPPAAGLGQ TH >ENSMUSP00000146258.1 pep:known chromosome:GRCm38:7:17048851:17050663:-1 gene:ENSMUSG00000004328.15 transcript:ENSMUST00000139224.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hif3a description:hypoxia inducible factor 3, alpha subunit [Source:MGI Symbol;Acc:MGI:1859778] XVLICEAIPHPASLEPPLGRGAFLSRHSLDMKFTYCDERIAEVAGYSPDDLIGCSAYEYI HALDSDAVSRSIHTCT >ENSMUSP00000117728.1 pep:known chromosome:GRCm38:7:17051954:17062384:-1 gene:ENSMUSG00000004328.15 transcript:ENSMUST00000153833.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hif3a description:hypoxia inducible factor 3, alpha subunit [Source:MGI Symbol;Acc:MGI:1859778] MALGLQRVRSNTELRKEKSRDAARSRRSQETEVLYQLAHTLPFARGVSAHLDKASIMRLT ISYLRMHRLCAAGGKRGRATGRLLPEGPGGFRHGTHRRGRHGLPVGKCQQAPGPQSVDLC SSSLIHNPTPGTNFSLELIGHSIFDFIHPCDQ >ENSMUSP00000026050.7 pep:known chromosome:GRCm38:19:47854970:47864790:1 gene:ENSMUSG00000025068.7 transcript:ENSMUST00000026050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsto1 description:glutathione S-transferase omega 1 [Source:MGI Symbol;Acc:MGI:1342273] MSGESSRSLGKGSAPPGPVPEGQIRVYSMRFCPFAQRTLMVLKAKGIRHEVININLKNKP EWFFEKNPLGLVPVLENSQGHLVTESVITCEYLDEAYPEKKLFPDDPYKKARQKMTLESF SKVPPLIASFVRSKRKEDSPNLREALENEFKKLEEGMDNYKSFLGGDSPSMVDYLTWPWF QRLEALELKECLAHTPKLKLWMAAMQQDPVASSHKIDAKTYREYLNLYLQDSPEACDYGL >ENSMUSP00000124027.1 pep:known chromosome:GRCm38:5:131437788:131616605:-1 gene:ENSMUSG00000029673.17 transcript:ENSMUST00000161804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auts2 description:autism susceptibility candidate 2 [Source:MGI Symbol;Acc:MGI:1919847] MFAPPTALPPPPPLTSGSLQVPGHPAGSTYSEQDILRQELNTRFLASQSADRGASLGPPP YLRTEFHQHQHQHQHTHQHTHQHTFTPFPHAIPPTAIMPTPAPPMFDKYPTKVDPFYRHS LFHSYPPAVSGIPPMIPPTGPFGSLQGAFQPKTSNPIDVAARPGTVPHTLLQKDPRLTDP FRPMLRKPGKWCAMHVHIAWQIYHHQQKVKKQMQSDPHKLDFGLKPEFLSRPPGPSLFGA IHHPHDLARPSTLFSAAGAAHPTGTPFGPPPHHSNFLNPAAHLEPFNRPSTFTGLAAVGG NAFGGLGNPSVTPNSVFGHKDSPSVQNFSNPHEPWNRLHRTPPSFPTPPPWLKPGELERS ASAAAHDRDRDVDKRDSSVSKDDKERESVEKRHPSHPSPAPPVPVSALGHNRSSTDPTTR GHLNTEAREKDKPKEKERDHSGSRKDLTTEEHKAKESHLPERDGHSHEGRAAGEEPKQLS RVPSPYVRTPGVDSTRPNSTSSREAEPRKGEPAYENPKKNAEVKVKEERKEDHDLPTEAP QAHRTSEAPPPSSSASASVHPGPLASMPMTVGVTGIHAMNSIGSLDRTRMVTPFMGLSPI PGGERFPYPSFHWDPMRDPLRDPYRDLDMHRRDPLGRDFLLRNDPLHRLSTPRLYEADRS FRDREPHDYSHHHHHHHHPLAVDPRREHERGGHLDERERLHVLREDYEHPRLHPVHPASL DGHLPHPSLLTPGLPSMHYPRISPTAGHQNGLLNKTPPTAALSAPPPLISTLGGRPGSPR RTTPLSAEIRERPPSHTLKDIEAR >ENSMUSP00000124730.1 pep:known chromosome:GRCm38:5:131439298:131538687:-1 gene:ENSMUSG00000029673.17 transcript:ENSMUST00000161374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auts2 description:autism susceptibility candidate 2 [Source:MGI Symbol;Acc:MGI:1919847] MFAPPTALPPPPPLTSGSLQVPGHPAGSTYSEQDILRQELNTRFLASQSADRGASLGPPP YLRTEFHQHQHQHQHTHQHTHQHTFTPFPHAIPPTAIMPTPAPPMLFHSYPPAVSGIPPM IPPTGPFGSLQGAFQPKTSNPIDVAARPGTVPHTLLQKDPRLTDPFRPMLRKPGKWCAMH VHIAWQIYHHQQKVKKQMQSDPHKLDFGLKPEFLSRPPGPSLFGAIHHPHDLARPSTLFS AAGAAHPTGTPFGPPPHHSNFLNPAAHLEPFNRPSTFTGLAAVGGNAFGGLGNPSVTPNS VFGHKDSPSVQNFSNPHEPWNRLHRTPPSFPTPPPWLKPGELERSASAAAHDRDRDVDKR DSSVSKDDKERESVEKRHPSHPSPAPPVPVSALGHNRSSTDPTTRGHLNTEAREKDKPKE KERDHSGSRKDLTTEEHKAKESHLPERDGHSHEGRAAGEEPKQLSRVPSPYVRTPGVDST RPNSTSSREAEPRKGEPAYENPKKNAEVKVKEERKEDHDLPTEAPQAHRTSEAPPPSSSA SASVHPGPLASMPMTVGVTGIHAMNSIGSLDRTRMVTPFMGLSPIPGGERFPYPSFHWDP MRDPLRDPYRDLDMHRRDPLGRDFLLRNDPLHRLSTPRLYEADRSFRDREPHDYSHHHHH HHHPLAVDPRREHERGGHLDERERLHVLREDYEHPRLHPVHPASLDGHLPHPSLLTPGLP SMHYPRISPTAGHQNGLLNKTPPTAALSAPPPLISTLGGRPGSPRRTTPLSAEIRERPPS HTLKDIEAR >ENSMUSP00000138441.1 pep:known chromosome:GRCm38:5:131440329:131460151:-1 gene:ENSMUSG00000029673.17 transcript:ENSMUST00000162101.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auts2 description:autism susceptibility candidate 2 [Source:MGI Symbol;Acc:MGI:1919847] XDPFRPMLRKPGKWCAMHVHIAWQIYHHQQKVKKQMQSDPHKLDFGLKPEFLSRPPGPSL FGAIHHPHDLARPSTLFSAAGAAHPTGTPFGPPPHHSNFLNPAAHLEPFNRPSTFTGLAA VGGNAFGGLGNPSVTANNMYSF >ENSMUSP00000125706.2 pep:known chromosome:GRCm38:5:132030990:132449360:-1 gene:ENSMUSG00000029673.17 transcript:ENSMUST00000160135.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auts2 description:autism susceptibility candidate 2 [Source:MGI Symbol;Acc:MGI:1919847] MMKDVAVKPQERAEKRQTPLTKKKREALTNGLSFHSKKSRLSHSHHYSSDRENDRNLCQH LGKRKKMPKGLRQLKPGQNSCRDSDSESASGESKGFQRSSSRERLSDSSAPSSLGTGYF >ENSMUSP00000136954.1 pep:known chromosome:GRCm38:5:132541515:132541823:-1 gene:ENSMUSG00000029673.17 transcript:ENSMUST00000178555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auts2 description:autism susceptibility candidate 2 [Source:MGI Symbol;Acc:MGI:1919847] MDGPTRGHGLRKKRRSRSQRDRERRSRAGLGTGAAGGIGAGRTRAPSLASSSGSDKEDNG KPPSSAPSRPRPPRRKRRESTSAEEDIIDGFAMTSFVTFEALE >ENSMUSP00000139759.1 pep:known chromosome:GRCm38:5:131439312:131477024:-1 gene:ENSMUSG00000029673.17 transcript:ENSMUST00000187544.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auts2 description:autism susceptibility candidate 2 [Source:MGI Symbol;Acc:MGI:1919847] DPELGVGALPEHNQDAGPIVPKISGLERSQEKSQDCCKEPVFEPVVLKDPHPQLPQLPSQ AQAEPQLQIPSPGPDLVPRTEAPPQFPPPSTQPAQGPPEAQLQPAPLQVQQRPPRPQSPS HLLQQTLPPVQSHPSSQSLSQPLSAYNSSSLSLNSLSSRSSTPAKTQPAPPHISHHPSAS PFPLSLPNHSPLHSFTPTLQPPAHSHHPNMFAPPTALPPPPPLTSGSLQVPGHPAGSTYS EQDILRQELNTRFLASQSADRGASLGPPPYLRTEFHQHQHQHQHTHQHTHQHTFTPFPHA IPPTAIMPTPAPPMFDKYPTKVDPFYRHSLFHSYPPAVSGIPPMIPPTGPFGSLQGAFQP KTSNPIDVAARPGTVPHTLLQKDPRLTDPFRPMLRKPGKWCAMHVHIAWQIYHHQQKVKK QMQSDPHKLDFGLKPEFLSRPPGPSLFGAIHHPHDLARPSTLFSAAGAAHPTGTPFGPPP HHSNFLNPAAHLEPFNRPSTFTGLAAVGGNAFGGLGNPSVTPNSVFGHKDSPSVQNFSNP HEPWNRLHRTPPSFPTPPPWLKPGELERSASAAAHDRDRDVDKRDSSVSKDDKERESVEK RHPSHPSPAPPVPVSALGHNRSSTDPTTRGHLNTEAREKDKPKEKERDHSGSRKDLTTEE HKAKESHLPERDGHSHEGRAAGEEPKQLSRVPSPYVRTPGVDSTRPNSTSSREAEPRKGE PAYENPKKNAEVKVKEERKEDHDLPTEAPQAHRTSEAPPPSSSASASVHPGPLASMPMTV GVTGIHAMNSIGSLDRTRMVTPFMGLSPIPGGERFPYPSFHWDPMRDPLRDPYRDLDMHR RDPLGRDFLLRNDPLHRLSTPRLYEADRSFRDREPHDYSHHHHHHHHPLAVDPRREHERG GHLDERERLHVLREDYEHPRLHPVHPASLDGHLPHPSLLTPGLPSMHYPRISPTAGHQNG LLNKTPPTAALSAPPPLISTLGGRPGSPRRTTPLSAEIRERPPSHTLKDIEAR >ENSMUSP00000141082.1 pep:known chromosome:GRCm38:5:132030909:132050409:-1 gene:ENSMUSG00000029673.17 transcript:ENSMUST00000185450.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Auts2 description:autism susceptibility candidate 2 [Source:MGI Symbol;Acc:MGI:1919847] LKPGQNSCRDSDSESASGESKGFQRSSSRERLSDSSAPSSLGTGYFCE >ENSMUSP00000101752.1 pep:known chromosome:GRCm38:7:133686356:133708958:-1 gene:ENSMUSG00000030979.12 transcript:ENSMUST00000106146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uros description:uroporphyrinogen III synthase [Source:MGI Symbol;Acc:MGI:98917] MKVLLLKDAKEDDSGLDPYIQELRLCGLEATLIPVLSFEFMSLPSLSEKLSHPEGFGGLI FTSPRAVEAVKLCLEKDNKTEAWEKSLKDRWNAKSVYVVGSATASLVNKIGLDAEGAGSG NAEKLAEYICSKPSSELPLLFPCGTIKGDTLPKMLKDKGIPMESMHVYQTVPHPGIQGSL KSYYEDQGIPASITFFSPSGLKYSLEYIQALSGSSFDQIKFIAIGPSTTRAMAAKGLPVS CTAESPTPQALAAGIRNVLKPNHCC >ENSMUSP00000101751.3 pep:known chromosome:GRCm38:7:133686356:133709069:-1 gene:ENSMUSG00000030979.12 transcript:ENSMUST00000106145.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uros description:uroporphyrinogen III synthase [Source:MGI Symbol;Acc:MGI:98917] MKVLLLKDAKEDDSGLDPYIQELRLCGLEATLIPVLSFEFMSLPSLSEKLSHPEGFGGLI FTSPRAVEAVKLCLEKDNKTEAWEKSLKDRWNAKSVYVVGSATASLVNKIGLDAEGAGSG NAEKLAEYICSKPSSELPLLFPCGTIKGDTLPKMLKDKGIPMESMHVYQTVPHPGIQGSL KSYYEDQGIPASITFFSPSGLKYSLEYIQALSGSSFDQIKFIAIGPSTTRAMAAKGLPVS CTAESPTPQALAAGIRNVLKPNHCC >ENSMUSP00000033276.4 pep:known chromosome:GRCm38:7:133686365:133709281:-1 gene:ENSMUSG00000030979.12 transcript:ENSMUST00000033276.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uros description:uroporphyrinogen III synthase [Source:MGI Symbol;Acc:MGI:98917] MKVLLLKDAKEDDSGLDPYIQELRLCGLEATLIPVLSFEFMSLPSLSEKLSHPEGFGGLI FTSPRAVEAVKLCLEKDNKTEAWEKSLKDRWNAKSVYVVGSATASLVNKIGLDAEGAGSG NAEKLAEYICSKPSSELPLLFPCGTIKGDTLPKMLKDKGIPMESMHVYQTVPHPGIQGSL KSYYEDQGIPASITFFSPSGLKYSLEYIQALSGSSFDQIKFIAIGPSTTRAMAAKGLPVS CTAESPTPQALAAGIRNVLKPNHCC >ENSMUSP00000101750.1 pep:known chromosome:GRCm38:7:133692134:133709059:-1 gene:ENSMUSG00000030979.12 transcript:ENSMUST00000106144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uros description:uroporphyrinogen III synthase [Source:MGI Symbol;Acc:MGI:98917] MKVLLLKDAKEDDSGLDPYIQELRLCGLEATLIPVLSFEFMSLPSLSEKLSHPEGFGGLI FTSPRAVEAVKLCLEKDNKTEAWEKSLKDRWNAKSVYVVGSATASLVNKIGLDAEGAGSG NAEKLAEYICSNGLLGEQRSRSHAPGSLQLRQKCASQFLPTSGAAALSVETSSGPSSSNS CTQSSLAV >ENSMUSP00000117187.1 pep:known chromosome:GRCm38:7:133701839:133702616:-1 gene:ENSMUSG00000030979.12 transcript:ENSMUST00000153698.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uros description:uroporphyrinogen III synthase [Source:MGI Symbol;Acc:MGI:98917] MKVLLLKDAKEDDSGLDPYIQELRLCGLEATLIPVLSFEFMSLPSLSEK >ENSMUSP00000119179.1 pep:known chromosome:GRCm38:7:133701893:133709057:-1 gene:ENSMUSG00000030979.12 transcript:ENSMUST00000124759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uros description:uroporphyrinogen III synthase [Source:MGI Symbol;Acc:MGI:98917] MKVLLLKDAKEDDSGLDPYIQELRLCGLEAT >ENSMUSP00000121763.1 pep:known chromosome:GRCm38:7:133701895:133710072:-1 gene:ENSMUSG00000030979.12 transcript:ENSMUST00000151348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uros description:uroporphyrinogen III synthase [Source:MGI Symbol;Acc:MGI:98917] MKVLLLKDAKEDDSGLDPYIQELRLCGLEA >ENSMUSP00000028926.6 pep:known chromosome:GRCm38:2:148693985:148732467:-1 gene:ENSMUSG00000027438.14 transcript:ENSMUST00000028926.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Napb description:N-ethylmaleimide sensitive fusion protein attachment protein beta [Source:MGI Symbol;Acc:MGI:104562] MDNAGKEREAVQLMAEAEKRVKASHSFLRGLFGGNTRIEEACEMYTRAANMFKMAKNWSA AGNAFCQAAKLHMQLQSKHDSATSFVDAGNAYKKADPQEAINCLNAAIDIYTDMGRFTIA AKHHITIAEIYETELVDIEKAIAHYEQSADYYKGEESNSSANKCLLKVAAYAAQLEQYQK AIEIYEQVGANTMDNPLLKYSAKDYFFKAALCHFIVDELNAKLALEKYEEMFPAFTDSRE CKLLKKLLEAHEEQNSEAYTEAVKEFDSISRLDQWLTTMLLRIKKSIQGDGEGDGDLK >ENSMUSP00000120979.1 pep:known chromosome:GRCm38:2:148693985:148732413:-1 gene:ENSMUSG00000027438.14 transcript:ENSMUST00000136513.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Napb description:N-ethylmaleimide sensitive fusion protein attachment protein beta [Source:MGI Symbol;Acc:MGI:104562] MDNAGKEREAVQLMAEAEKRVKASHSFLRGLFGGNTRIEEACEMYTRAANMFKMAKNWSA AGNAFCQAAKLHMQLQSKHDSATSFVDAGNAYKKADPQGEVHNRSQAPYHHCRDL >ENSMUSP00000097474.1 pep:known chromosome:GRCm38:2:86413109:86414050:-1 gene:ENSMUSG00000075185.1 transcript:ENSMUST00000099889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1061 description:olfactory receptor 1061 [Source:MGI Symbol;Acc:MGI:3030895] MEKYNLTIVTEFILVGITNHHEFQVPLFGLYLIIYLTSLVDNLGMIILTIVDSRLQTPMY FFLRHLATTDLGYSTAVGPKMLRNFLVDQNIISFYACAIQSSFFGMFIVCEFFILSAMSY DRYVAICKPLLYTVIMSQKACWILVTIPYLYSIIVSLLVNIKIFTLSFCGYNVISHFYCD ALPLLTLACSNTHEIEAIILIFSAFNLLSSLLIVIGSYLLILMAILRINSAEGKWKAFST CGSHLTVVIVFYGTLIFMYLQPTFTHSIDTGKVISIFYTQVIPMLNPLTYSLRNKDVKDV LKKPMEKVHNLFS >ENSMUSP00000044268.8 pep:known chromosome:GRCm38:11:86267033:86357602:-1 gene:ENSMUSG00000034297.14 transcript:ENSMUST00000043624.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med13 description:mediator complex subunit 13 [Source:MGI Symbol;Acc:MGI:3029632] MSSSFVSNGASLEDCHCNLFCLADLTGIKWKRYVWQGPTSAPILFPVTEEDPILSSFSRC LKADVLGVWRRDQRPGRRELWIFWWGKDPNFADLIHHDLSEEEDGVWENGLSYECRTLLF KAVHNLLERCLMNRNFVRIGKWFVKPYEKDEKPINKSEHLSCSFTFFLHGDSNVCTSVEI NQHQPVYLLSEEHVTLAQQSNSPFQVILSPFGLNGTLTGQAFKMSDSATKKLIGEWKQFY PISCGLKEMSEEKQDDMDWEDDSLAAVEVLVAGVRMIYPACFVLVPQSDIPAPSSVGASH CSASCLGIHQVPASTRDPAMSSVTLTPPTSPEEVQTVDPQSAQKWVKFSSVSDGFSTDST SHHGGKIPRKLANHVVDRVWQECNMNRLQNKRKYSATSSGLCEEETADKIGCWDFVEATQ RTSCSCLRHKSLKTRNTGQQGQAPSLGQQQQVLPKHKTNEKQDKSEKPQKRPLTPFHHRV SVSDEIGMDTDSASQRLVISAADSQVRFSNIRTNDVAKTPQMHGTELANSPQPPPLSPHP CDVVDEGVTKTPSTPQSQHFYQMPTPDPLVPTKPMEDRIDSLSQSFPPPFQEAVEPTVYV GTAVSLEEDEANVAWKYYKVPKKKDVEFLPPQLPNDKFKDDPVGPFGQESVTSVTELMVQ CKKPLKVSDEIVQQYQIKNQYLSAIASDTEQEPKIDPYAFVEGDEEFIFTDKKDRQNSER EAGKKHKVEDGTSAVTVLSHEEDAMSLFSPSKQDAPRPTNHARPPSTSLIYDSDLAVSYT DLDNLFNSDEDELTPGSKKSASGSDDKASSKESKTGNLDPLSCISTADLHKMYPTPPSLE QHIMGFSPMNMNNKEYGSVDTAPGGTVLEGNSSSVGTQFRIEVEEGFCSPKPSEIKDFSY VYKPENCQVLVGCSMFAPLKTLPSHCLPPIKLPEECVYRQSWTVGKLDLLPSGPSMPFIK EGDGSNLDQDYGPAYTPQTHASFGMPPSSAPPSNGGAGILPSPSTPRFPTPRTPRTPRTP RGAGGPASAQGSVKYENSDLYSPASTPSTCRPLNSVEPATVPSIPEAHSLYVNLILSESV MNLFKDCNFDSCCICVCNMNIKGADVGVYIPDPTQEAQYRCTCGFSAVMNRKFGNNSGLF LEDELDIIGRNTDCGKEAEKRFEALRASSVENVNGGLKESEKVPDELILLLQDQCTNLFS PFGAADQDPFPKVGISSNWVRVEERDCCSDCCLALEHGRQFMDNMSGGKVDEALVRSSCL HPWAKQNDASVQCSQDILRMLLSLQPVLQDAIQKKRTVRPWGVQGPLTWQQFHKMAGRGS YGTDESPEPLPIPTFLLGYDYDFLVLSPFALPYWEKLMLEPYGSQRDIAYVVLCPENEAL LNGARSFFRDLTAIYESCRLGQHRPISRLLTDGIMKVGATASKKLSEKFVTEWFSQAADG NNEAFSKLKLYAQVCRYDLGPYLASQPLDSSLLSQPNLVAPPNQSLVTAPQMTNTGNANA PSATLASAASSTMTMTSGVPISTSVATANSTLTTTSSSSSSSLSSGVSSNKLPSFPPFGS MNTSGTGSMSAQASTVQSGQLGGQQSSSLQAAGISGESASLPTQPHPDVSESTMDRDKVG IPTDGDSHAITYPPAIVVYIIDPFTYENKDESTNSSNVWTLGLLRCFLEMVQTLPPHIKS TVSVQIVPCQYLLQPVKHDDRQIYSQHLKSLAFSVFTQCRRPLPTSTNVKTLTGFGPGLA METALKSPDRPECIRLYTPPFILAPVKDKQTELGETFGEAGQKYNVLFVGYCLSHDQRWI LASCTDLYGELLETCIINIDVPNRARRKKGSARRFGLQKLWEWCLGLVQMSSLPWRVVIG RLGRIGHGELKDWSCLLSRRNLQSLSKRLKDMCRMCGISAADSPSILSACLVAMEPQGSF VIMPDSVSTGSVFGRSTTLNMQTPQLNTPQDTSCTHILVFPTSASVQVASATYTTENLDL AFNPNNDGADGMGIFDLLDTGDDLDPDIINILPASPTASPVHSPGSHYPHGGDAGKGQGT DRLLSTESHDEVTNILQQPLALGYFVSTAKAGPLPDWFWSACPQAQYQCPLFLKASLHLH VPSVQSDELLHSKHSHPLDSNQTSDVLRFVLEQYNALSWLTCDPAVQDRRSCLPVHFVVL NQLYNFIMNML >ENSMUSP00000021772.3 pep:known chromosome:GRCm38:13:24992483:25020379:-1 gene:ENSMUSG00000021339.3 transcript:ENSMUST00000021772.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrs2 description:MRS2 magnesium homeostasis factor homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685748] MECLRCLPGLLPRAAQPRRALWTAVARLSLAACGGRATPLRSRSPKASSTARAAGDVLRF RTSDASQATLASVAQVFAVTKFDKEGNVTSFERKKTELYHELALQARDLRFQHVMSITTR NNRIIMRMEYLKAVITPECLLILDYRNLNLEHWLFRELPSQLAGEGQLVTYPLPFEFRAI EALLQYWISTLRGRLSVLQPLILETLDALVDPKHSSVDRSKLHVLLQNGKSLSELETDIK IFKESILELLDEEEMLEELCLTKWSDPHVFEKSSTGIDHAEEMELLLENYYRLAEDLSNE ARELRVLIDDSQSIIFINLDSHRNVMMRLNLQLTMGTFSLSLFGLMGVAFGMNLESSLEE DHRVFWLVTGIMFMGSGLIWRRLLSFLGRQLEAPVPPVMTSLPKKTLLANRRMDVKNSLR PEGLGASRTILASR >ENSMUSP00000100848.2 pep:known chromosome:GRCm38:2:86422727:86423674:-1 gene:ENSMUSG00000090059.3 transcript:ENSMUST00000105213.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1062 description:olfactory receptor 1062 [Source:MGI Symbol;Acc:MGI:3030896] MATGNLTHVTEFILMGVTDRPELQVPLFFLFLVIYLLTAAGNLGIITLTSVDSRLQTPMY FFLRHLAVINFGNSTVIAPKMLVNFLVSKKTTLYYECATQLGGFLVFMVSEIFMLAVMAY DRYVAICNPLLYMVVVSRRVCLLLVFLTYLFSFVTAIVVTPCVFSVSYCSSNVINHFYCD NVPLLALSCSDTHLPETVVFFFSATNLFFSMIIVLISYFNIVLAILRIRSSEGRKKAFST CASHMMAVTVFYGTLLFMYLQPRTNHSLDTDKIASVFYTLIIPMLNPVIYSLRNKDVKCA LKEFLKNPCKKFNLI >ENSMUSP00000076206.7 pep:known chromosome:GRCm38:5:43515538:43618803:1 gene:ENSMUSG00000061535.11 transcript:ENSMUST00000076939.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf7 description:C1q and tumor necrosis factor related protein 7 [Source:MGI Symbol;Acc:MGI:1925911] MPRKEPKMIVLLYVTSLAICASGQPRANQAKGESYSPRYICSIPGLPGPPGPPGANGSPG PHGRIGLPGRDGRDGRKGEKGEKGTAGLKGKTGPLGLAGEKGDQGETGKKGPIGPEGEKG EVGPAGPPGPKGDRGDQGDPGLPGVCRCGSIVLKSAFSVGITTSYPEERLPIIFNKVLFN EGEHYNPATGKFICAFPGIYYFSYDITLANKHLAIGLVHNGQYRIRTFDANTGNHDVASG STVIYLQPEDEVWLEIFFNDQNGLFSDPGWADSLFSGFLLYVDTDYLDSISEDDEL >ENSMUSP00000120917.1 pep:known chromosome:GRCm38:5:43515762:43609263:1 gene:ENSMUSG00000061535.11 transcript:ENSMUST00000144558.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf7 description:C1q and tumor necrosis factor related protein 7 [Source:MGI Symbol;Acc:MGI:1925911] MIVLLYVTSLAICASGQPRANQAKGESYSPRYICSIPGLPGPPGPPGANGSPGPHGRIGL PGRDGRDG >ENSMUSP00000113520.1 pep:known chromosome:GRCm38:5:43601913:43616586:1 gene:ENSMUSG00000061535.11 transcript:ENSMUST00000121872.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf7 description:C1q and tumor necrosis factor related protein 7 [Source:MGI Symbol;Acc:MGI:1925911] MIVLLYVTSLAICASGQPRANQAKGESYSPRYICSIPGLPGPPGPPGANGSPGPHGRIGL PGRDGRDGRKGEKGEKGTAGLKGKTGPLGLAGEKGDQGETGKKGPIGPEGEKGEVGPAGP PGPKGDRGDQGDPGLPGVCRCGSIVLKSAFSVGITTSYPEERLPIIFNKVLFNEGEHYNP ATGKFICAFPGIYYFSYDITLANKHLAIGLVHNGQYRIRTFDANTGNHDVASGSTVIYLQ PEDEVWLEIFFNDQNGLFSDPGWADSLFSGFLLYVDTDYLDSISEDDEL >ENSMUSP00000044050.7 pep:known chromosome:GRCm38:2:62330073:62412231:-1 gene:ENSMUSG00000035000.8 transcript:ENSMUST00000047812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp4 description:dipeptidylpeptidase 4 [Source:MGI Symbol;Acc:MGI:94919] MKTPWKVLLGLLGVAALVTIITVPIVLLSKDEAAADSRRTYSLADYLKSTFRVKSYSLWW VSDFEYLYKQENNILLLNAEHGNSSIFLENSTFESFGYHSVSPDRLFVLLEYNYVKQWRH SYTASYNIYDVNKRQLITEEKIPNNTQWITWSPEGHKLAYVWKNDIYVKVEPHLPSHRIT STGEENVIYNGITDWVYEEEVFGAYSALWWSPNNTFLAYAQFNDTGVPLIEYSFYSDESL QYPKTVWIPYPKAGAVNPTVKFFIVNIDSLSSSSSAAPIQIPAPASVARGDHYLCDVVWA TEERISLQWLRRIQNYSVMAICDYDKINLTWNCPSEQQHVEMSTTGWVGRFRPAEPHFTS DGSSFYKIISDKDGYKHICHFPKDKKDCTFITKGAWEVISIEALTSDYLYYISNQYKEMP GGRNLYKIQLTDHTNVKCLSCDLNPERCQYYAVSFSKEAKYYQLGCWGPGLPLYTLHRST DHKELRVLEDNSALDRMLQDVQMPSKKLDFIVLNETRFWYQMILPPHFDKSKKYPLLLDV YAGPCSQKADASFRLNWATYLASTENIIVASFDGRGSGYQGDKIMHAINRRLGTLEVEDQ IEAARQFVKMGFVDSKRVAIWGWSYGGYVTSMVLGSGSGVFKCGIAVAPVSRWEYYDSVY TERYMGLPIPEDNLDHYRNSTVMSRAEHFKQVEYLLIHGTADDNVHFQQSAQISKALVDA GVDFQAMWYTDEDHGIASSTAHQHIYSHMSHFLQQCFSLH >ENSMUSP00000024963.3 pep:known chromosome:GRCm38:17:87254658:87265935:-1 gene:ENSMUSG00000024150.11 transcript:ENSMUST00000024963.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcfd2 description:multiple coagulation factor deficiency 2 [Source:MGI Symbol;Acc:MGI:2183439] MATLQLLRAPLLCVLLWVFCAPGARAHDHGADVHHGSVGLDKSTVHDQEHIMEHLEGVID QPEAEMSPQELQLHYFKMHDYDGNSLLDGLELSIAITHVHKEEGSEQAPVMSEDELVSII DGVLRDDDKNNDGYIDYAEFAKSLQ >ENSMUSP00000121820.1 pep:known chromosome:GRCm38:17:87254660:87265904:-1 gene:ENSMUSG00000024150.11 transcript:ENSMUST00000144236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcfd2 description:multiple coagulation factor deficiency 2 [Source:MGI Symbol;Acc:MGI:2183439] MATLQLLRAPLLCVLLWVFCAPGARAHDHGADVHHGSVGLDKSTVHDQEHIMEHLEGVID QPEAEMSPQELQLHYFKMHDYDGNSLLDGLELSIAITHVHKEEGSEQAPVMSEDELVSII DGVLRDDDKNNDGYIDYAEFAKSLQ >ENSMUSP00000123352.1 pep:known chromosome:GRCm38:17:87255788:87265880:-1 gene:ENSMUSG00000024150.11 transcript:ENSMUST00000129616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcfd2 description:multiple coagulation factor deficiency 2 [Source:MGI Symbol;Acc:MGI:2183439] MATLQLLRAPLLCVLLWVFCAPGARAHDHGADVHHGSVGLDKSTVHDQEHIMEHLEGVID QPEAEMSPQELQLHYFKMHDYDGNSLLDGLELSIAITHVHKEEGSEQAPVMSEDELVSII DGVLRDDDKNNDGYIDYAEFAKSLQ >ENSMUSP00000119856.1 pep:known chromosome:GRCm38:17:87255970:87265895:-1 gene:ENSMUSG00000024150.11 transcript:ENSMUST00000151155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcfd2 description:multiple coagulation factor deficiency 2 [Source:MGI Symbol;Acc:MGI:2183439] MATLQLLRAPLLCVLLWVFCAPGARAHDHGADVHHGSVGLDKSTVHDQEHIMEHLEGVID QPEAEMSPQELQLHYFKMHDYDGNSLLDGLELSIAITHVHKEEGSEQAPVMSEDELVSII DGVLRDDDKNN >ENSMUSP00000117105.1 pep:known chromosome:GRCm38:17:87256758:87265874:-1 gene:ENSMUSG00000024150.11 transcript:ENSMUST00000145895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcfd2 description:multiple coagulation factor deficiency 2 [Source:MGI Symbol;Acc:MGI:2183439] MATLQLLRAPLLCVLLWVFCAPGARAHDHGADVHHGSVGLDKSTVHDQEHIMEHLEGVID QPEAEMSPQELQLHYFKMHDYDGNSLLDGLELSIAITHVHKEVGQGGGRVGHLSRQCPRW >ENSMUSP00000114354.1 pep:known chromosome:GRCm38:17:87257231:87265880:-1 gene:ENSMUSG00000024150.11 transcript:ENSMUST00000155904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcfd2 description:multiple coagulation factor deficiency 2 [Source:MGI Symbol;Acc:MGI:2183439] MATLQLLRAPLLCVLLWVFCAPGARAHDHGADVHHGSVGLDKSTVHDQEHIMEHLEGVID QPEAEMSPQELQLH >ENSMUSP00000124075.1 pep:known chromosome:GRCm38:9:20432972:20460160:-1 gene:ENSMUSG00000063108.14 transcript:ENSMUST00000159569.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp26 description:zinc finger protein 26 [Source:MGI Symbol;Acc:MGI:99173] MDLTSLSCESLLSGDQNCSQGEETEGESMLADCLKNCNKDEAVDFSEEEWTTKAPTQNSK PGAVAVEEHTSLAAVELEKQLQTKDLAPEQDFLTRHTFMETQQVDAVTFEDVAVDFTQEE WTSLDPVQRNLYRDVMLENYQNLATVGGQMFKPSLISWLEKKVELTVIEQGILQEWEMHL KTKRTALQQDRFWSDMSNGMQLGREHSGGEPGDPVQVGAVFSEDSCPQTHSSTSNTGNTF ACNLDGKDFQPLLKETSTEENIVQLNQCVKPLIFTPDVSQKKCTPEKSVECSDCGETFVN QLELQTHSSSHREKNIHKSEECGQASTHPISHGGHVIPTEKKYYECKKCEKFFTHPVYLN IHMQSHTVEKPYDCKECGKAFTERSSLIVHLRQHTREKSYECKECGKTFIQPSRLTEHMR SHTGEKPYQCDQCGNAFASSSYLTTHLRTHTGEKPFECNICGKAFTRSSYLLGHIRTHTG EKPYECKVCGKAFSGRSWLTIHLRKHTGERPYPCTECEKAFTSFAQLTEHIKTHTGEKPF RCKVCARTFRNSSCLKTHFRIHTGIKPYKCNYCGKAFTARSGLTKHVLIHNGEKPYECKE CGKAFSTSSGLVEHIRIHTGEKPFECYQCGKALAHSSSLVGHLRTHTGEKPFECNQCDKT FTRSSYLRIHMRTHTGEKPYECKECGKTFPERSCLTKHIRTHTGERPYECKECGKGFISF AQLTVHIKTHSSERPFQCKVCTKSFRNSSSLETHFRIHTGVKPYKCSYCGKAFTARSGLT IHLRNHTGEKSYACQECGKAFSTSSGLIAHIRSHKGEKPFECDHCGKAFASSSYLNVHLK IHTGEKPFQCTVCGKTFTCSSYLPVHMRTHTGEKPFQCIICGKSFLWSSYLRVHMRIHTG EKPYVCQYCGKAFTEHSGLNKHLRKHTGEKPYEYKECGENFTTSADANEHETPHWGENL >ENSMUSP00000123960.1 pep:known chromosome:GRCm38:9:20444317:20459827:-1 gene:ENSMUSG00000063108.14 transcript:ENSMUST00000162438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp26 description:zinc finger protein 26 [Source:MGI Symbol;Acc:MGI:99173] MDLTSLSCESLLSGDQNCSQGEETEGESMLADCLKNCNKDEAVDFSEEEWTTKAPT >ENSMUSP00000142124.1 pep:known chromosome:GRCm38:1:177442351:177447237:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000195002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASC >ENSMUSP00000142073.1 pep:known chromosome:GRCm38:1:177442466:177447486:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000195549.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHLFYKDQLDKR DIVHLNSDIVTAPAFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVKVCKKKLKEK ATTEADST >ENSMUSP00000141901.1 pep:known chromosome:GRCm38:1:177442544:177447145:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000194319.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MEFPDHSRHLLQCL >ENSMUSP00000141673.1 pep:known chromosome:GRCm38:1:177443216:177447096:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000192699.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MCPKGYE >ENSMUSP00000091831.3 pep:known chromosome:GRCm38:1:177444295:177450764:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000094276.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MCPKGYEDSMEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHL FYKDQLDKRDIVHLNSDIVTAPAFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVK VCKKKLKEKATTEADSTKKEEDASSCSDKVESLSDGSSHMAGDLPSDEDEGEDDKLNILP SKRDLAAEPGNMWMRLPSDSAGIPQAGGEAEPHATAAGKTVASPCSSTESLSQRSVTSVR DSADVDCVLDLSVKSSLSGVENLNSSYFSSQDVLRSNLVQVKVEKEASCDESDVGTNDYD MEHSTVKESVSTNNRVQYEPAHLAPLREDSVLRELDREDKASDDEMMTPESERVQVEGGM ENSLLPYVSNILSPAGQIFMCPLCNKVFPSPHILQIHLSTHFREQDGIRSKPAADVNVPT CSLCGKTFSCMYTLKRHERTHSGEKPYTCTQCGKSFQYSHNLSRHAVVHTREKPHACKWC ERRFTQSGDLYRHIRKFHCELVNSLSVKSEALSLPTVRDWTLEDSSQELWK >ENSMUSP00000142256.1 pep:known chromosome:GRCm38:1:177444649:177447788:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000192851.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHLFYKDQLDKR DIVHLNSDIVTAPAFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVKVCKKKLKEK ATTEADSTKKEEDASSCSDKVESLSDGSSHMAGDLPSDEDEGEDDKLNILPSKRDLAAEP GNMWMRLPSDSAGIPQAGGEAEPHATAAGKTVASPCSSTESLSQRSVTS >ENSMUSP00000141663.1 pep:known chromosome:GRCm38:1:177444653:177450764:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000193480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MCPKGYEDSMEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHL FYKDQLDKRDIVHLNSDIVTAPAFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVK VCKKKLKEKATTEADSTKKEEDASSCSDKVESLSDGSSHMAGDLPSDEDEGEDDKLNILP SKRDLAAEPGNMWMRLPSDSAGIPQAGGEAEPHATAAGKTVASPCSSTESLSQRTC >ENSMUSP00000141694.1 pep:known chromosome:GRCm38:1:177444661:177447586:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000195388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHLFYKDQLDKR DIVHLNSDIVTAPAFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVKVCKKKLKEK ATTEADSTKKEEDASSCSDKVESLSDGSSHMAGDLPSDEDE >ENSMUSP00000141337.1 pep:known chromosome:GRCm38:1:177444737:177447518:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000193440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHLFYKDQLDKR DIVHLNSDIVTAPAFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVKVCKKKLKEK ATTEADSTKKEEDASSCS >ENSMUSP00000141724.1 pep:known chromosome:GRCm38:1:177444938:177450760:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000195612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHLFYKDQLDKR DIVHLNSDIVTAPAFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVKVCKKKLKEK ATTEADSTKKEEDASSCSDKVESLSDGSSHMAGDLPSDEDEGEDDKLNILPSKRDLAAEP GNMWMRLPSDSAGIPQAGGEAEPHATAAGKTVASPCSSTESLSQRSVTSVRDSADVDCVL DLSVKSSLSGVENLNSSYFSSQDVLRSNLVQVKVEKEASCDESDVGTNDYDMEHSTVKES VSTNNRVQYEPAHLAPLREDSVLRELDREDKASDDEMMTPESERVQVEGGMENSLLPYVS NILSPAGQIFMCPLCNKVFPSPHILQIHLSTHFREQDGIRSKPAADVNVPTCSLCGKTFS CMYTLKRHERTHSGEKPYTCTQCGKSFQYSHNLSRHAVVHTREKPHACKWCERRFTQSGD LYRHIRKFHCELVNSLSVKSEALSLPTVRDWTLEDSSQELWK >ENSMUSP00000076463.6 pep:known chromosome:GRCm38:1:177445821:177450764:1 gene:ENSMUSG00000063659.11 transcript:ENSMUST00000077225.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb18 description:zinc finger and BTB domain containing 18 [Source:MGI Symbol;Acc:MGI:1353609] MEFPDHSRHLLQCLSEQRHQGFLCDCTVLVGDAQFRAHRAVLASCSMYFHLFYKDQLDKR DIVHLNSDIVTAPAFALLLEFMYEGKLQFKDLPIEDVLAAASYLHMYDIVKVCKKKLKEK ATTEADSTKKEEDASSCSDKVESLSDGSSHMAGDLPSDEDEGEDDKLNILPSKRDLAAEP GNMWMRLPSDSAGIPQAGGEAEPHATAAGKTVASPCSSTESLSQRSVTSVRDSADVDCVL DLSVKSSLSGVENLNSSYFSSQDVLRSNLVQVKVEKEASCDESDVGTNDYDMEHSTVKES VSTNNRVQYEPAHLAPLREDSVLRELDREDKASDDEMMTPESERVQVEGGMENSLLPYVS NILSPAGQIFMCPLCNKVFPSPHILQIHLSTHFREQDGIRSKPAADVNVPTCSLCGKTFS CMYTLKRHERTHSGEKPYTCTQCGKSFQYSHNLSRHAVVHTREKPHACKWCERRFTQSGD LYRHIRKFHCELVNSLSVKSEALSLPTVRDWTLEDSSQELWK >ENSMUSP00000125007.1 pep:known chromosome:GRCm38:19:47737561:47837382:-1 gene:ENSMUSG00000044948.16 transcript:ENSMUST00000160247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap43 description:cilia and flagella associated protein 43 [Source:MGI Symbol;Acc:MGI:1289258] MSQDPERDDVTASATASASASAPASASAHYSGSSLSVRWVQGFPSQNVHFVNDQTICYPS GNFVIFINLETKKKTVLQCINGIVGVMATNVPSEVVAFSDRRFKPVIYIYSFPSLTRKNK LKGDILLDYTLLCFSYCGTYLASYSSLPEFELALWNWEASAILCKKSNPGMDVSQMSFNP MNWHQMCLSSSSAMSVWTIERSNQEHHFRIRSVKLPLEDATFLNEPDMLFPTTLPKDLIY GPVLPLSAIAGLVGEEAETFRPKDDIYPLLHPTMHCWTPSSDLYVGCEEGHLLMINTETL KVTVLQKAEEFPLPDGAPLINPLTLVYQKDGILASGIDGVIYSFIIKDSKYQVKTFLEFD GPVTHLVFSPSYKMLLIQTDKGSVYIYTFGAEMPLDKLLDACDGKVQAVSFITPGTKYFL TLTSSGEVSTVSLEDCNCTSRIFLKTQATALACSPSSPTAAVGTVDGYVYFLNILDVESP QMIHQAFLSQSPVKIVTYDQRGIFLLVGTEEGNIFVIDARPSKSFQIFGFTETGKDILQI STVSVMESDVVEVLVLYPLPDMGRSRLEYFTLPVMLPEVVPENFSDERGRLKDDLTHKYL YEVEHTLSSAVLGFTGSKIFGFCSQVPYICSYVMPVKEHTGVLVLKPHQKVQSKQYGSGT IYLSSHGLWLMTIAKCGILCIRDMFSMETFVRCRSHSHQGRGIQNMKMSLDGQHILVNGK DDNTLVCLKWKRLGANIASEIFEHSRPLVLHLSQTVESESVYLALSRESTNEQQEETTES QKHLNSDSSEEEAVIDHKMIPWIQQKMEEAIKKEVRIFSPRRKEIKRGIKELAQVIAMMM EENEKVDIIAKLDEQEFCLDADELERLHDECEEEVAKIRKDVEMHNLAQSYLTELIKEEC WNSMAVKGRALKCFHIPYVVDNFPMKERTEEELQELSKVMQQKKTEIECLKLRKEIVEVQ ATTTIAKKHHEEEEEEEEDEERTIKTTSLPNYLLGSLSTDFGADTSLLTSQLDLHSREEK INQIILLKDIIYNIKRNFNSEFDAAYKQKEIEIARVKEKNVRIAEIISDLELEETVWQPV FEDSEKPERALVVEDDEISFKKYIAPWQRAKIKEVVSTYEMERLQQARISDERQRGLMDM MGGVLEVKKEDILRMVIPQPPFMAKADALWSEDERKQFKEYEKKVKELNEERDKYRKSLE AELKKLQNSIQESTQNFDDHLKRLFERRVKAEMVINQEELKINNIIFSLLLDEELSSREQ FLNNYLLKKQEEKTKTAEAIQKAREDLDVFKEHHDMLVAEDKILDRSFKKEFSDILGHQV DVLYKLFKRRPRVHKQKTQADVTSLVPYGERPGSAKLNKENLAQLMKSMDELDNINNMPE GLDPSVWEHFCSTRRAKVENEYKVKQKAACLLEMTTFLRKRMEEDDVVHHEIEKVFHELI RLQDEKVRFQVNLTVQILLKQGQVELENFQLMLEYSDAILINKNIIEDLNSVIRTQGQKK VASMMESKEVHKGIYQIEWEHKKMEMEMEDLNQRAWDIEMLFFSRDRQKYLNEPNYENVI AIQIGIMEQTISVIDKTHKKNVENCKKLLKKLGKYSNQKDVANYTLSCNLREELVAVSER QDICNEIGSKLTCEKIARERYDNQLKQQKLLNISKQQAEQISILQAEVERLRMKTFPALI PM >ENSMUSP00000093697.5 pep:known chromosome:GRCm38:19:47894598:47919299:-1 gene:ENSMUSG00000044948.16 transcript:ENSMUST00000095998.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap43 description:cilia and flagella associated protein 43 [Source:MGI Symbol;Acc:MGI:1289258] MAMELFRVCLVVVTAIINHPLLFPRENATIPENEEEIIRKMQEHQEKLRLEQLRLEEEVS RLEAEKEALRQVEEEQQQLEAHTAWDLWTTLCMVLFLIIEVLRQNHQEGTFPECLGGDED ELSGLGGTLLQGLPLPNRATLDHFYEHCIRSTTGDATRTQEFVEGFVDDLLEALRSTYNG KTDMELEDFIGVGSMYENWQVERPLRCHLFIPFIPPEPYSFHPEFWCSSLSTPLERQGYG QIKVTLADGNPLGCVCGKAKLEEDMLCLLYGKNRGAWPSSAGCGEMEGLLCSRESSYLDV MQVMKWFQMALTRAWHRIAHKYEFDLAFGELDTPGSLKIKFRSGKSMPFILTPVIQCNDS DLYFILQLPKEPCGGGPASSAHWLLSFAVYEREFLRMTGKALPEGACHLSCLQIASFLLS KQTRLTGPSGLSDYHLKTALLHLLLSRQASDWKASKLDVRLQDLFCFLERSLLEKKLYHF FMGNHKVPEALGLPEVVRRAEPLNLFRPFVLQRTLYRNTVDSFYEMLKNAPALISEYSLH VPSVRASPPPKAVVS >ENSMUSP00000001009.7 pep:known chromosome:GRCm38:11:83709015:83711348:1 gene:ENSMUSG00000000983.13 transcript:ENSMUST00000001009.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc18 description:WAP four-disulfide core domain 18 [Source:MGI Symbol;Acc:MGI:107506] MKTATVFVLVALIFMTMTTAWALSNPKEKPGACPKPPPRSFGTCDERCTGDGSCSGNMKC CSNGCGHACKPPVF >ENSMUSP00000137823.1 pep:known chromosome:GRCm38:11:83709123:83711339:1 gene:ENSMUSG00000000983.13 transcript:ENSMUST00000135654.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wfdc18 description:WAP four-disulfide core domain 18 [Source:MGI Symbol;Acc:MGI:107506] XTATVFVLVALIFMTMTTAWALSNPKEKPGACPKPPPRSFGTCDERCTGDGSCSGNMKCC SNGCGHACKPPVF >ENSMUSP00000069578.7 pep:known chromosome:GRCm38:19:45747734:45749591:-1 gene:ENSMUSG00000056209.7 transcript:ENSMUST00000070215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npm3 description:nucleoplasmin 3 [Source:MGI Symbol;Acc:MGI:894653] MAAGAAAALAFLNQESRARAGGVGGLRVPAPVTMDSFFFGCELSGHTRSFTFKVEEEDDT EHVLALNMLCLTEGATDECNVVEVVARDHDNQEIAVPVANLRLSCQPMLSVDDFQLQPPV TFRLKSGSGPVRITGRHQIVCINNDLSEEESDDESEEDEIKLCGILPAKKHRGRP >ENSMUSP00000123564.2 pep:known chromosome:GRCm38:3:108284082:108361443:1 gene:ENSMUSG00000068747.14 transcript:ENSMUST00000135636.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sort1 description:sortilin 1 [Source:MGI Symbol;Acc:MGI:1338015] MERPRGAADGLLRWPLGLLLLLQLLPPAAVGQDRLDAPPPPAPPLLRWAGPVGVSWGLRA AAPGGPVPRAGRWRRGAPAEDQDCGRLPDFIAKLTNNTHQHVFDDLSGSVSLSWVGDSTG VILVLTTFQVPLVIVSFGQSKLYRSEDYGKNFKDITNLINNTFIRTEFGMAIGPENSGKV ILTAEVSGGSRGGRVFRSSDFAKNFVQTDLPFHPLTQMMYSPQNSDYLLALSTENGLWVS KNFGEKWEEIHKAVCLAKWGPNNIIFFTTHVNGSCKADLGALELWRTSDLGKTFKTIGVK IYSFGLGGRFLFASVMADKDTTRRIHVSTDQGDTWSMAQLPSVGQEQFYSILAANEDMVF MHVDEPGDTGFGTIFTSDDRGIVYSKSLDRHLYTTTGGETDFTNVTSLRGVYITSTLSED NSIQSMITFDQGGRWEHLRKPENSKCDATAKNKNECSLHIHASYSISQKLNVPMAPLSEP NAVGIVIAHGSVGDAISVMVPDVYISDDGGYSWAKMLEGPHYYTILDSGGIIVAIEHSNR PINVIKFSTDEGQCWQSYVFTQEPIYFTGLASEPGARSMNISIWGFTESFITRQWVSYTV DFKDILERNCEEDDYTTWLAHSTDPGDYKDGCILGYKEQFLRLRKSSVCQNGRDYVVAKQ PSVCPCSLEDFLCDFGYFRPENASECVEQPELKGHELEFCLYGKEEHLTTNGYRKIPGDK CQGGMNPAREVKDLKKKCTSNFLNPTKQDSRPQGHSLSQNPAPPPLGYTENTHFLSPTQK QNSKSNSVPIILAIVGLMLVTVVAGVLIVKKYVCGGRFLVHRYSVLQQHAEADGVEALDS TSHAKSGYHDDSDEDLLE >ENSMUSP00000099692.4 pep:known chromosome:GRCm38:3:108284091:108361511:1 gene:ENSMUSG00000068747.14 transcript:ENSMUST00000102632.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sort1 description:sortilin 1 [Source:MGI Symbol;Acc:MGI:1338015] MERPRGAADGLLRWPLGLLLLLQLLPPAAVGQDRLDAPPPPAPPLLRWAGPVGVSWGLRA AAPGGPVPRAGRWRRGAPAEDQDCGRLPDFIAKLTNNTHQHVFDDLSGSVSLSWVGDSTG VILVLTTFQVPLVIVSFGQSKLYRSEDYGKNFKDITNLINNTFIRTEFGMAIGPENSGKV ILTAEVSGGSRGGRVFRSSDFAKNFVQTDLPFHPLTQMMYSPQNSDYLLALSTENGLWVS KNFGEKWEEIHKAVCLAKWGPNNIIFFTTHVNGSCKADLGALELWRTSDLGKTFKTIGVK IYSFGLGGRFLFASVMADKDTTRRIHVSTDQGDTWSMAQLPSVGQEQFYSILAANEDMVF MHVDEPGDTGFGTIFTSDDRGIVYSKSLDRHLYTTTGGETDFTNVTSLRGVYITSTLSED NSIQSMITFDQGGRWEHLRKPENSKCDATAKNKNECSLHIHASYSISQKLNVPMAPLSEP NAVGIVIAHGSVGDAISVMVPDVYISDDGGYSWAKMLEGPHYYTILDSGGIIVAIEHSNR PINVIKFSTDEGQCWQSYVFTQEPIYFTGLASEPGARSMNISIWGFTESFITRQWVSYTV DFKDILERNCEEDDYTTWLAHSTDPGDYKDGCILGYKEQFLRLRKSSVCQNGRDYVVAKQ PSVCPCSLEDFLCDFGYFRPENASECVEQPELKGHELEFCLYGKEEHLTTNGYRKIPGDK CQGGMNPAREVKDLKKKCTSNFLNPTKQNSKSNSVPIILAIVGLMLVTVVAGVLIVKKYV CGGRFLVHRYSVLQQHAEADGVEALDSTSHAKSGYHDDSDEDLLE >ENSMUSP00000138537.1 pep:known chromosome:GRCm38:19:56529167:56548147:-1 gene:ENSMUSG00000025077.13 transcript:ENSMUST00000183143.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dclre1a description:DNA cross-link repair 1A [Source:MGI Symbol;Acc:MGI:1930042] MDSEFTKDWCCTHKNHLAMLEDTFWEEEIWEYKSKRKPKPVHPNNCSENISESVEKSTDG KHQSKGNEKRTSENPGKTKDHKVCLAETDSQISAGSSQSSSCRDESQQSQNKETTPKKQH RTRRGKQVTPKVRPVYDGYCPSCQMPFSSLLGQTPQWHVFECLDSPPISDTGFPKKTYHT QMRPSTCFHLPWQWEVLLLIIRPVRPSSTSQKNSSHLHQVISNRKLKHQLLAIRLLCRYL QEPGQSHLKRREASVCLCIQPKVRPEDHRARAWVLQGLTVPAGMHRSALACLLTSPWAHR LPVPSAARANRLRK >ENSMUSP00000138290.1 pep:known chromosome:GRCm38:19:56529169:56548222:-1 gene:ENSMUSG00000025077.13 transcript:ENSMUST00000182276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1a description:DNA cross-link repair 1A [Source:MGI Symbol;Acc:MGI:1930042] MDSEFTKDWCCTHKNHLAMLEDTFWEEEIWEYKSKRKPKPVHPNNCSENISESVEKSTDG KHQSKGNEKRTSENPGKTKDHKVCLAETDSQISAGSSQSSSCRDESQQSQNKETTPKKQH RTRRGKQVTPKVRPVYDGYCPSCQMPFSSLLGQTPQWHVFECLDSPPISDTECPEGLLCT STIPSHYKKYTHILLAQSRDSKEPLGSPSDALAGLFAAAAPGSPCNLEERRSMTLKTENL RKVSDHSLLMMQYLETSQPSAEINRKNVSSPCSQTSPVPQCAEFVKRDQLVGGGSPLAEV ALNSQSKSGSMGLPLPENDTDSCEISYSPLHSDEETYDIDQELDDSQQELFFTQSSKDSS LEEDGSAIFENLHGPSPKEAEGIRPTAKSLVAQARCSAPSEGSTLSDSFLLLFYTSNRLS QEDLPHTDAAFHLLSPALAVGGAASNYQTSKAKLDEPEKFLSLASSHQQQKIETSAVGNQ TSLPLLTRARSKPLEKEGGKCLPLHPTQSQTRGSPRKGLGAPGANCACRNAQKRSSMPLD KPLGTSPSSPKCSPSQPSKKVMKQMDIGVFFGLPPKRQETSLRESASEGPNVSPVVSPNQ KRPRLCKRKAQSSLSDLEFDAKNLNESQHSVGLSGEKRQHRRKRHKTSNSPREGPCQRRS GHLMNNPELGPVILSKGKAFVRRTRGRTQRGNMNISESSGAGEVRRTCPFYKRIPGTGFT VDAFQYGEIEGCTAYFLTHFHSDHYAGLSKDFTRPVYCSEITGNLLKKKLRVQEQYIRQL PMDTECVVDSVKVVLLDANHCPGATMILFQLPNGAVILHTGDFRADPSMERSRLAGRKVH TLFLDTTYCSPEYTFPSQQEVIQFAINTAFEAVTLNPRALVVCGTYCIGKEKVFLAIADV LGSKVGMSQEKYKTLQCLNIPEVSSLITTDMCDSLVHLLPMMQINFKGLQSHLKKCGGKY DQILAFRPTGWTHSNNITSTADIIPQTRGNISIYGIPYSEHSSYLEMKRFVQWLKPQKII PTVNVGSFRSRNTMEKYFKEWRLEAGY >ENSMUSP00000138364.1 pep:known chromosome:GRCm38:19:56546858:56548026:-1 gene:ENSMUSG00000025077.13 transcript:ENSMUST00000182059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1a description:DNA cross-link repair 1A [Source:MGI Symbol;Acc:MGI:1930042] MDSEFTKDWCCTHKNHLAMLEDTFWEEEIWEYKSKRKPKPVHPNNCSENISESVEKSTDG KHQSKGNEKRTSENPGKTKDHKVCLAETDSQISAGSS >ENSMUSP00000051222.9 pep:known chromosome:GRCm38:5:108433244:108434448:-1 gene:ENSMUSG00000050856.16 transcript:ENSMUST00000049628.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5k description:ATP synthase, H+ transporting, mitochondrial F1F0 complex, subunit E [Source:MGI Symbol;Acc:MGI:106636] MVPPVQVSPLIKFGRYSALIIGMAYGAKRYSYLKPRAEEERRIAAEEKKRLDELKRIERE LAEAQDDSILK >ENSMUSP00000113882.1 pep:known chromosome:GRCm38:5:108433244:108434448:-1 gene:ENSMUSG00000050856.16 transcript:ENSMUST00000118632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5k description:ATP synthase, H+ transporting, mitochondrial F1F0 complex, subunit E [Source:MGI Symbol;Acc:MGI:106636] MVPPVQVSPLIKFGRYSALIIGMAYGAKRYTQDDSILK >ENSMUSP00000110052.3 pep:known chromosome:GRCm38:1:57955248:57970128:-1 gene:ENSMUSG00000054770.16 transcript:ENSMUST00000114410.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd18 description:potassium channel tetramerisation domain containing 18 [Source:MGI Symbol;Acc:MGI:3603813] MLKAELQASKGAMAGHEAEDVLDILRLNVGGCIYTARRESLCRFKDSMLASMFSGRFPLK TDESGACIINRDGHLFKYILDYLHGEVQTPSDEQTRAALQEEADYFGIPYPYSLSDHLAN EMETYSLRSNIELKKALTDFCDSYGLVCNKPTVWVLHYLNTSGASCESRIIGVYATKTDG TDAIDKQLGGRIHSKSIFKREAGNNVQYIWSYYSVAELKKMMDAFDAWEGKGVSYWRVPH ELIECWTLEERPLHGSLRHMAPIRKRRLIALREEDEGVNCKTGPKPVRFLGPSTSTQIKV KNSASVRVSPANAAQISSQATANRSHRGSCGKAAQCSVATGASGHAPASPDAQSSENQAT HPPPAKVLLSEKKSPPPRVIKLRRTPLRGGPSLPTASAGGQACFPQPPSLEASSSLGVQM ETRKDQPDG >ENSMUSP00000125153.1 pep:known chromosome:GRCm38:1:57955253:57969524:-1 gene:ENSMUSG00000054770.16 transcript:ENSMUST00000161608.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kctd18 description:potassium channel tetramerisation domain containing 18 [Source:MGI Symbol;Acc:MGI:3603813] MLKAELQASKGAMAGHEAEDVLDILRLNVGGCIYTARRESLCRFKDSMLASMFSGRFPLK TDESGACIINRDGHLFKYILDYLHGEVQTPSDEQTRAALQEEADYFGIPYPYSLSDHLAN EMETYSLRL >ENSMUSP00000124053.1 pep:known chromosome:GRCm38:1:57956072:58018956:-1 gene:ENSMUSG00000054770.16 transcript:ENSMUST00000163061.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kctd18 description:potassium channel tetramerisation domain containing 18 [Source:MGI Symbol;Acc:MGI:3603813] MLKAELQASKGAMAGHEAEDVLDILRLNVGGCIYTARRESLCRFKDSMLASMFSGRFPLK TDESGACIINRDGHLFKYILDYLHGEVQTPSDEQTRAALQEEADYFGIPYPYSLSDHLAN EMETYSLRL >ENSMUSP00000125245.1 pep:known chromosome:GRCm38:1:57956580:57970048:-1 gene:ENSMUSG00000054770.16 transcript:ENSMUST00000159826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd18 description:potassium channel tetramerisation domain containing 18 [Source:MGI Symbol;Acc:MGI:3603813] MLKAELQASKGAMAGHEAEDVLDILRLNVGGCIYTARRESLCRFKDSMLASMFSGRFPLK TDESGVSYWRVPHELIECWTLEERPLHGSLRHMAPIRKRRLIALREEDEGVNCKTGPKPV RFLGPSTSTQIKVKNSASVRVSPANAAQISSQATANRSHRGSCGKAAQCSVATGASGHA >ENSMUSP00000130952.1 pep:known chromosome:GRCm38:1:57955101:57970084:-1 gene:ENSMUSG00000054770.16 transcript:ENSMUST00000164963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd18 description:potassium channel tetramerisation domain containing 18 [Source:MGI Symbol;Acc:MGI:3603813] MLKAELQASKGAMAGHEAEDVLDILRLNVGGCIYTARRESLCRFKDSMLASMFSGRFPLK TDESGVSYWRVPHELIECWTLEERPLHGSLRHMAPIRKRRLIALREEDEGVNCKTGPKPV RFLGPSTSTQIKVKNSASVRVSPANAAQISSQATANRSHRGSCGKAAQCSVATGASGHAP ASPDAQSSENQATHPPPAKVLLSEKKSPPPRVIKLRRTPLRGGPSLPTASAGGQACFPQP PSLEASSSLGVQMETRKDQPDG >ENSMUSP00000138262.1 pep:known chromosome:GRCm38:16:17646475:17649241:1 gene:ENSMUSG00000041617.10 transcript:ENSMUST00000182368.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc74a description:coiled-coil domain containing 74A [Source:MGI Symbol;Acc:MGI:1919565] MSGAGLAAGARPLSSAAPGSRGAARLRPRPPAGLQHAGTQPPPLGFSEAQKRILDLEKSL QFLQQQHSETLVKLHEEIEHLKRENKDLHYKLIMNEKPQKKGSISTWSLHSGKSASNSTM SGEPGPRLGSQREVLPRVENPLSQRGWP >ENSMUSP00000049541.3 pep:known chromosome:GRCm38:16:17646475:17650738:1 gene:ENSMUSG00000041617.10 transcript:ENSMUST00000056962.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc74a description:coiled-coil domain containing 74A [Source:MGI Symbol;Acc:MGI:1919565] MSGAGLAAGARPLSSAAPGSRGAARLRPRPPAGLQHAGTQPPPLGFSEAQKRILDLEKSL QFLQQQHSETLVKLHEEIEHLKRENKDLHYKLIMNEKPQKKGSISTWSLHSGKSASNSTM SANSQGKTRPQPSSFKKQELKSEALQKTDLEEQSLSSAALSHSSKLDRVPGAQGQAKDED AEPSNSGATLVGGSHGRQGTGMAPLMSLPPHLRKPTTVQQCEVVIRQLWNANLLQAQELR HLKSLLEGNQRPKAAAEEAGLGSPKDQDTMQFPKVTSKGLSKKCLILSPMPAAERGILPA LKQSLKNNFAERQKRLQVVQSRRLHRSVLLSRRPGARPSSLDSGSHQPYFAATRNSKTDR THDR >ENSMUSP00000138657.1 pep:known chromosome:GRCm38:16:17646564:17650737:1 gene:ENSMUSG00000041617.10 transcript:ENSMUST00000182117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc74a description:coiled-coil domain containing 74A [Source:MGI Symbol;Acc:MGI:1919565] SSAAPGSRGAARLRPRPPAGLQHAGTQPPPLGFSEAQKRILDLEKSLQFLQQQHSETLVK LHEEIEHLKRENKDLHYKLIMNEKPQKKGSISTWSLHSGKSASNSTMSATAPQVTPGREP KAQSCS >ENSMUSP00000138340.1 pep:known chromosome:GRCm38:16:17646565:17650409:1 gene:ENSMUSG00000041617.10 transcript:ENSMUST00000182976.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc74a description:coiled-coil domain containing 74A [Source:MGI Symbol;Acc:MGI:1919565] XSAAPGSRGAARLRPRPPAGLQHAGTQPPPLGFSEAQKRILDLEKSLQFLQQQHSETLVK LHEEIEHLKRENKDLHYKLIMNEKPQKKATAPQVTPGREPKAQSCS >ENSMUSP00000138160.1 pep:known chromosome:GRCm38:16:17646613:17650738:1 gene:ENSMUSG00000041617.10 transcript:ENSMUST00000182671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc74a description:coiled-coil domain containing 74A [Source:MGI Symbol;Acc:MGI:1919565] XPAGLQHAGTQPPPLGFSEAQKRILDLEKSLQFLQQQHSETLVKLHEEIEHLKRENKDLH YKLIMNEKPQKKGSISTWSLHSGKSASNSTMSA >ENSMUSP00000138131.1 pep:known chromosome:GRCm38:16:17646618:17650447:1 gene:ENSMUSG00000041617.10 transcript:ENSMUST00000182344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc74a description:coiled-coil domain containing 74A [Source:MGI Symbol;Acc:MGI:1919565] AGLQHAGTQPPPLGFSEAQKRILDLEKSLQFLQQQHSETLVKLHEEIEHLKRENKDLHYK LIMNEKPQKKGSISTWSLHSGKSASNSTMSGTRTPCSFPRSPPRALVRSA >ENSMUSP00000138498.1 pep:known chromosome:GRCm38:16:17646700:17650738:1 gene:ENSMUSG00000041617.10 transcript:ENSMUST00000183279.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc74a description:coiled-coil domain containing 74A [Source:MGI Symbol;Acc:MGI:1919565] XLQFLQQQHSETLVKLHEEIEHLKRENKDLHYKLIMNEKPQKKGSISTWSLHSGKSASNS TMSATAPQVTPGREPKAQSCS >ENSMUSP00000144096.1 pep:known chromosome:GRCm38:7:25400053:25412886:-1 gene:ENSMUSG00000060188.6 transcript:ENSMUST00000200880.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl17 description:chemokine (C-X-C motif) ligand 17 [Source:MGI Symbol;Acc:MGI:2387642] MKLLASPFLLLLPVMLMSMVFSSPNPGVARSHGDQHLAPRRWLLEGGQECECKDWFLQAP KRKATAVLGPPRKQCPCDHVKGREKKNRHQKHHRKSQRPSRACQQFLKRCHLASFALPL >ENSMUSP00000073687.3 pep:known chromosome:GRCm38:7:25400053:25412886:-1 gene:ENSMUSG00000060188.6 transcript:ENSMUST00000074040.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl17 description:chemokine (C-X-C motif) ligand 17 [Source:MGI Symbol;Acc:MGI:2387642] MKLLASPFLLLLPVMLMSMVFSSPNPGVARSHGDQHLAPRRWLLEGGQECECKDWFLQAP KRKATAVLGPPRKQCPCDHVKGREKKNRTPKAPQEVAKTLQSLPAISQTMSPGKLCAALI VLRLCSSS >ENSMUSP00000070834.7 pep:known chromosome:GRCm38:10:121739937:121752865:1 gene:ENSMUSG00000053684.8 transcript:ENSMUST00000065600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048403 description:cDNA sequence BC048403 [Source:MGI Symbol;Acc:MGI:2670984] MGESIPLAAPVPVEQAVLETFFSHLGIFSYDKAKDNVEKEREANKSAGGSWLSLLAALAH LAAAEKVYHSLTYLGQKLGGQSFFSRKDSIRTIYTSLHNELKKVVAGRGAPGGTAPHVEE LLPHLSEQLCFFVQARMEIADFYEKMYALSTQKFINTEELVSTLDTILRKYSSRFHHPIL SPLESSFQLEVGVLSHLLKAQAQISEWKFLPSLVTLHNAHTKLQSWGQTFEKQRETKKHL FGGQSQKAVQPPHLFLWLMKLKTMLLAKFSFYFHEALSRQTTASEMKALTAKANPDLFGK ISSFIRKYDAANVSLIFDNRGSESFQGHGYHHPHSYREAPKGVDQYPAVVSLPSDRPVMH WPNVIMIMTDRASDLNSLEKVVHFYDDKVQSTYFLTRPEPHFTIVVIFESKKSERDSHFI SFLNELSLALKNPKVFASLKPGSKG >ENSMUSP00000117249.1 pep:known chromosome:GRCm38:10:121739938:121752878:1 gene:ENSMUSG00000053684.8 transcript:ENSMUST00000136432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048403 description:cDNA sequence BC048403 [Source:MGI Symbol;Acc:MGI:2670984] MEIADFYEKMYALSTQKFINTEELVSTLDTILRKYSSRFHHPILSPLESSFQLEVGVLSH LLKAQAQISEWKFLPSLVTLHNAHTKLQSWGQTFEKQRETKKHLFGGQSQKAVQPPHLFL WLMKLKTMLLAKFSFYFHEALSRQTTASEMKALTAKANPDLFGKISSFIRKYDAANVSLI FDNRGSESFQGHGYHHPHSYREAPKGVDQYPAVVSLPSDRPVMHWPNVIMIMTDRASDLN SLEKVVHFYDDKVQSTYFLTRPEPHFTIVVIFESKKSERDSHFISFLNELSLALKNPKVF ASLKPGSKG >ENSMUSP00000105859.1 pep:known chromosome:GRCm38:15:55099917:55113582:-1 gene:ENSMUSG00000053749.12 transcript:ENSMUST00000110230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9920 description:predicted gene 9920 [Source:MGI Symbol;Acc:MGI:3642228] MPKKKEQEAMGMSKEPWGTSAMRSSSLCCTPPLPPALLSLLPLPPLLPSSMFLTARLPLC LCCPGGCAWRLDQCALPEAAPAIFVPGGAVAQTRLAGEGIPAVCKLQHSLTGKSWLPRPY TFVEVSLDLPFGRYRFLATP >ENSMUSP00000098224.2 pep:known chromosome:GRCm38:15:55100065:55113460:-1 gene:ENSMUSG00000053749.12 transcript:ENSMUST00000100659.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9920 description:predicted gene 9920 [Source:MGI Symbol;Acc:MGI:3642228] MPKKKEQEAMGMSKEPWGTSAMRSSSLCCTPPLPPALLSLLPLPPLLPSSMFLTARLPLC LCCPGGCAWRLDQCALPEAAPAIFVPGGAVAQTRLAGEGIPAEPGMAPPTTEKMPYSWIS WRDFLN >ENSMUSP00000040307.4 pep:known chromosome:GRCm38:4:31964097:32023467:1 gene:ENSMUSG00000028284.13 transcript:ENSMUST00000037607.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k7 description:mitogen-activated protein kinase kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346877] MSTASAASSSSSSSASEMIEAPSQVLNFEEIDYKEIEVEEVVGRGAFGVVCKAKWRAKDV AIKQIESESERKAFIVELRQLSRVNHPNIVKLYGACLNPVCLVMEYAEGGSLYNVLHGAE PLPYYTAAHAMSWCLQCSQGVAYLHSMQPKALIHRDLKPPNLLLVAGGTVLKICDFGTAC DIQTHMTNNKGSAAWMAPEVFEGSNYSEKCDVFSWGIILWEVITRRKPFDEIGGPAFRIM WAVHNGTRPPLIKNLPKPIESLMTRCWSKDPSQRPSMEEIVKIMTHLMRYFPGADEPLQY PCQYSDEGQSNSATSTGSFMDIASTNTSNKSDTNMEQVPATNDTIKRLESKLLKNQAKQQ SESGRLSLGASRGSSVESLPPTSEGKRMSADMSEIEARIVATAAYSKPKRGHRKTASFGN ILDVPEIVISGNGQPRRRSIQDLTVTGTEPGQVSSRSSSPSVRMITTSGPTSEKPARSHP WTPDDSTDTNGSDNSIPMAYLTLDHQLQPLAPCPNSKESMAVFEQHCKMAQEYMKVQTEI ALLLQRKQELVAELDQDEKDQQNTSRLVQEHKKLLDENKSLSTYYQQCKKQLEVIRSQQQ KRQGTS >ENSMUSP00000079734.6 pep:known chromosome:GRCm38:4:31964120:32023467:1 gene:ENSMUSG00000028284.13 transcript:ENSMUST00000080933.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k7 description:mitogen-activated protein kinase kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346877] MSTASAASSSSSSSASEMIEAPSQVLNFEEIDYKEIEVEEVVGRGAFGVVCKAKWRAKDV AIKQIESESERKAFIVELRQLSRVNHPNIVKLYGACLNPVCLVMEYAEGGSLYNVLHGAE PLPYYTAAHAMSWCLQCSQGVAYLHSMQPKALIHRDLKPPNLLLVAGGTVLKICDFGTAC DIQTHMTNNKGSAAWMAPEVFEGSNYSEKCDVFSWGIILWEVITRRKPFDEIGGPAFRIM WAVHNGTRPPLIKNLPKPIESLMTRCWSKDPSQRPSMEEIVKIMTHLMRYFPGADEPLQY PCQYSDEGQSNSATSTGSFMDIASTNTSNKSDTNMEQVPATNDTIKRLESKLLKNQAKQQ SESGRLSLGASRGSSVESLPPTSEGKRMSADMSEIEARIVATAGNGQPRRRSIQDLTVTG TEPGQVSSRSSSPSVRMITTSGPTSEKPARSHPWTPDDSTDTNGSDNSIPMAYLTLDHQL QPLAPCPNSKESMAVFEQHCKMAQEYMKVQTEIALLLQRKQELVAELDQDEKDQQNTSRL VQEHKKLLDENKSLSTYYQQCKKQLEVIRSQQQKRQGTS >ENSMUSP00000103818.1 pep:known chromosome:GRCm38:4:31964257:32023467:1 gene:ENSMUSG00000028284.13 transcript:ENSMUST00000108183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k7 description:mitogen-activated protein kinase kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346877] MSTASAASSSSSSSASEMIEAPSQVLNFEEIDYKEIEVEEVVGRGAFGVVCKAKWRAKDV AIKQIESESERKAFIVELRQLSRVNHPNIVKLYGACLNPVCLVMEYAEGGSLYNVLHGAE PLPYYTAAHAMSWCLQCSQGVAYLHSMQPKALIHRDLKPPNLLLVAGGTVLKICDFGTAC DIQTHMTNNKGSAAWMAPEVFEGSNYSEKCDVFSWGIILWEVITRRKPFDEIGGPAFRIM WAVHNGTRPPLIKNLPKPIESLMTRCWSKDPSQRPSMEEIVKIMTHLMRYFPGADEPLQY PCQYSDEGQSNSATSTGSFMDIASTNTSNKSDTNMEQVPATNDTIKRLESKLLKNQAKQQ SESGRLSLGASRGSSVESLPPTSEGKRMSADMSEIEARIVATAGNGQPRRRSIQDLTVTG TEPGQVSSRSSSPSVRMITTSGPTSEKPARSHPWTPDDSTDTNGSDNSIPMAYLTLDHQL QARTSCRIGPG >ENSMUSP00000103819.2 pep:known chromosome:GRCm38:4:31964263:32023467:1 gene:ENSMUSG00000028284.13 transcript:ENSMUST00000108184.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k7 description:mitogen-activated protein kinase kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346877] MSTASAASSSSSSSASEMIEAPSQVLNFEEIDYKEIEVEEVVGRGAFGVVCKAKWRAKDV AIKQIESESERKAFIVELRQLSRVNHPNIVKLYGACLNPVCLVMEYAEGGSLYNVLHGAE PLPYYTAAHAMSWCLQCSQGVAYLHSMQPKALIHRDLKPPNLLLVAGGTVLKICDFGTAC DIQTHMTNNKGSAAWMAPEVFEGSNYSEKCDVFSWGIILWEVITRRKPFDEIGGPAFRIM WAVHNGTRPPLIKNLPKPIESLMTRCWSKDPSQRPSMEEIVKIMTHLMRYFPGADEPLQY PCQYSDEGQSNSATSTGSFMDIASTNTSNKSDTNMEQVPATNDTIKRLESKLLKNQAKQQ SESGRLSLGASRGSSVESLPPTSEGKRMSADMSEIEARIVATAAYSKPKRGHRKTASFGN ILDVPEIVISGNGQPRRRSIQDLTVTGTEPGQVSSRSSSPSVRMITTSGPTSEKPARSHP WTPDDSTDTNGSDNSIPMAYLTLDHQLQARTSCRIGPG >ENSMUSP00000139376.1 pep:known chromosome:GRCm38:6:124570294:124581171:1 gene:ENSMUSG00000098470.1 transcript:ENSMUST00000184647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1rb description:complement component 1, r subcomponent B [Source:MGI Symbol;Acc:MGI:3779804] MWLFALLVTLFYGVEGSIYLPQKLYGEVTSPLYPKPYPSDLETTTVITVPMGYRVKLVFW QFDVEPSEGCLYDYVKISADKQTLGRFCGQLDSPLGNPPGSKEFMSQGNKMLLTFHTDFS NEENGTIMFYKGFLAYYQAVDLDECASQPNSVEEGLQPRCQHLCHNYVGGYFCSCHPGYE LQKDGQSCQAECSSELYTEPSGYVSSLEYPQPYPPDLRCNYSIRVERGLTVHLKFLDPFE IDDHQQVHCPYDQLQIYANGKNLGEFCGKQRPPDLDTSSNAVDLLFFTDESGDSRGWKLH YTTETIKCPQPKALDEFTIIQDPQPQYQFRDYFTVTCKQGYQLMEGNQALLSFTAVCQHD GTWHRAMPRCKIKNCGQPQSLSNGDFRYITTKGVTTYEASIQYHCHEPYYKMLTRAGSSE SMRGIYTCTAQGIWKNEEEGEKMPRCLPVCGKPVNPVTQKERIIGGQPARPGNFPWQAFT TIYGPGGGALLGDRWILTAAHTIYPKYPNKGKNTNPRTLVFLGHTNMEQIQKLGHHPVRR VIIHPDYRQEEPDNFEGDIALLELENSVTLGPELLPICLPDNETFYGQGLMGYVSGFGTT GNRIPFHLRFVRLPVADREACQRWLWTKKDTSPFSQNMFCSGDPAVQQDACQGDSGGVFA VRDRNRDIWVATGIVSWGIGCGEGYGFYTKVLNYVDWIKKEMGDEN >ENSMUSP00000044078.7 pep:known chromosome:GRCm38:2:24976033:25054057:1 gene:ENSMUSG00000036833.16 transcript:ENSMUST00000045295.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla7 description:patatin-like phospholipase domain containing 7 [Source:MGI Symbol;Acc:MGI:2385325] MQNEEDACLEAGYCLGTTLSSWRLHFMEEQSQSTMLMGIGIGALLTLAFVGITFFFVYRR VRRLRRAEPTPQYRFRKRDKVMFYGRKIMRKVTTLPHTLVGNTSAPRQRVRKRTKVLSLA KRILRFKKEYPTLQPKEPPPSLLEADLTEFDVKNSHLPSEVLYMLKNVRVLGHFEKPLFL ELCKHMVFVQLQEGEHVFQPGEPDISIYVVQDGRLEVCIQDADGTEVVVKEVLPGDSVHS LLSILDVITGHTAPYKTVSARAAVSSTVLWLPAAAFQGVFEKYPETLVRVVQIIMVRLQR VTFLALHNYLGLTTELFNPESQAIPLLSVASVAGRAKRQMSYGPEEQLERSLRPSEFSSS DHGSSCVTVSGPLLKRSCSVPLPSNHGEVDELRQSQGSGSNTSAFQESHEGATSDLGMAY NRARILPHSDEQLGNSLASKSKKSVVAETPSAIFHYSENFRDETGACGKTDAIFRAATKD LLTLMKLDDPSLLDGRVAFLHVPAGTLVSKQGDQDVNILFVVSGMLHVYQQKIDSLEDTC LFLTHPGEMVGQLAVLTGEPLMFTIRANRDCSFLSISKAHFYEIMRKRPDVVLGVAHTVV KRMSSFVRQIDFALDWMEVEAGRAIYRQGDKSDCTYIVLSGRLRSVIRKDDGKKRLAGEY GRGDLVGVVETLTHQARATTVHAVRDSELAKLPAGALTSIKRRYPQVVTRLIHLLGEKIL GSLQQGSATGHQLGFNTASSKWDLGNPPGNLSTVAALPASEDVPLTAFALELQHALSAIG PVLLLTSDNIKQRLGSAALDSIHEYRLSSWLGQQEDIHRIVLYQADGTLTPWTQRCIRQA DCILIVGLGEQEPAVGELEQMLESTAVRAQKQLILLHKEDGPVPSRTVEWLNMRSWCSGH LHLCCPRRVFSKRSLPKLVEMYTRVFQRPPDRHSDFSRLARMLTGNAIALVLGGGGARGC AQVGILRALAECGVPVDIIGGTSIGAFMGALFAEERSYSQTRIRAKQWAEGMTSMMKTIL DLTYPITSMFSGTGFNSSISNIFKDRQIEDLWLPYFAITTDITASAMRVHTDGSLWRYVR ASMSLSGYMPPLCDPKDGHLLMDGGYINNLPADVARSMGAKVVIAIDVGSRDETDLTNYG DALSGWWLLWKRWNPLATKVKVLNMAEIQTRLAYVCCVRQLEMVKNSDYCEYLRPPIDSY RTLDFGKFDEICEVGYQHGRTVFDIWVRSGVLEKMLQDQQGTSKRKDCGVFTCPNSSFTD LAEIVSRIEPAKVAAVDDESDYQTEYEEELPAIPKETYADFQSTGIELDSDSEYEPSMLQ GPPSLTSPEQSQDSFPWLPNQDDQGPRLEHPS >ENSMUSP00000141577.1 pep:known chromosome:GRCm38:2:24976073:25054057:1 gene:ENSMUSG00000036833.16 transcript:ENSMUST00000137913.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnpla7 description:patatin-like phospholipase domain containing 7 [Source:MGI Symbol;Acc:MGI:2385325] MEEQSQSTMLMGIGIGALLTLAFVGITFFFVYRRVRRLRRAEPTPQYRFRKRDKVMFYGR KIMRKVTTLPHTLVGNTSAPRQRVRKRTKVLSLAKRILRFKKEYPTLQPKEPPPSLLEAD LTEFDVKNSHLPSEVLYMLKNVRVLGHFEKPLFLELCKHMVFVQLQEGEHVFQPGEPDIS IYVVQDGRLEVCIQDAHRMAPKWWSKRSCQGTVSTVSSAFWM >ENSMUSP00000117428.1 pep:known chromosome:GRCm38:2:24976088:24982034:1 gene:ENSMUSG00000036833.16 transcript:ENSMUST00000153618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla7 description:patatin-like phospholipase domain containing 7 [Source:MGI Symbol;Acc:MGI:2385325] MPGLLSCLAGYCLGTTLSSWRLHFMEEQSQSTMLMGIGIGALLTLAFVGITFFFVYRRVR RLRRAEPTPQYRFRKRDKVMFYGRKIMRKVTTLPHTLVGNTSAPRQRVRKRTKVLSLAKR >ENSMUSP00000122394.1 pep:known chromosome:GRCm38:2:24976089:24995310:1 gene:ENSMUSG00000036833.16 transcript:ENSMUST00000152777.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla7 description:patatin-like phospholipase domain containing 7 [Source:MGI Symbol;Acc:MGI:2385325] MFYGRKIMRKVTTLPHTLVGNTSAPRQRVRKRTKVLSLAKRILRFKKEYPTLQPKEPPPS LLEADLTEFDVKNSHLPSEVLYMLKNVRVLGHFEKPLFLELCKHMVFVQLQEGEHVFQPG EPDISIYVVQDGRLEVCIQDADGTEVVVKEVLPGDSVHSLLSILDVITGHTAPYKTVSA >ENSMUSP00000117907.1 pep:known chromosome:GRCm38:2:24996157:25010743:1 gene:ENSMUSG00000036833.16 transcript:ENSMUST00000146153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla7 description:patatin-like phospholipase domain containing 7 [Source:MGI Symbol;Acc:MGI:2385325] IIMVRLQRVTFLALHNYLGLTTELFNPESQAIPLLSVASVAGRAKRQMSYGPEEQLERSL RPSEFSSSVPLPSNHGEVDELRQSQGSGSNTSAFQESHEGATSDLGMAYNRARILPHSDE QLGNSLASKSKKSVVAETPSAIFHYSENFRDETGACGKTDAIFRAATKDLLTLMKLDDPS LLDGRVA >ENSMUSP00000115952.1 pep:known chromosome:GRCm38:2:25051666:25053768:1 gene:ENSMUSG00000036833.16 transcript:ENSMUST00000155601.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnpla7 description:patatin-like phospholipase domain containing 7 [Source:MGI Symbol;Acc:MGI:2385325] TDLTNYGDALSGWWLLWKRWNPLATKVKVLNMAEIQTRLAYVCCVRQLEMVKNSDYCEYL RPPIDSYRTLDFGKFDEICEVGYQHGRTVFDIWVRSGVLEKMLQDQQGTSKRKDCGVFTC PNSSFTDLAEIVSRIEPAKVAAVDEQHVLQNSLLHVPS >ENSMUSP00000064255.7 pep:known chromosome:GRCm38:7:25516042:25539857:-1 gene:ENSMUSG00000054385.14 transcript:ENSMUST00000066503.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam2 description:carcinoembryonic antigen-related cell adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:1347246] MELASAHLHKGQVPWFGLLLTASLLASWSPPTTAQVTVMAFPLHAAEGNNVILVVYNMMK GVSAFSWHKGSTTSTNAEIVRFVTGTNKTIKGPVHSGRETLYSNGSLLIQRVTMKDTGVY TIEMTDQNYRRRVLTGQFHVHKPVTQPSLQVTNTTVKELDSVTLTCLSKDRQAHIHWIFN NDTLLITEKMTTSQAGLILKIDPIKREDAGEYQCEISNPVSVKRSNSIKLEVIFDSTYDI SDVPIAVIITGAVAGVILIAGLAYRLCSRKSRWGSDQRDLTEHKPSASNHNLAPSDNSPN KVDDVAYTVLNFNSQQPNRPTSAPSSPRATETVYSEVKKK >ENSMUSP00000068540.6 pep:known chromosome:GRCm38:7:25516042:25539857:-1 gene:ENSMUSG00000054385.14 transcript:ENSMUST00000064862.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam2 description:carcinoembryonic antigen-related cell adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:1347246] MELASAHLHKGQVPWFGLLLTASLLASWSPPTTAQVTVMAFPLHAAEGNNVILVVYNMMK GVSAFSWHKGSTTSTNAEIVRFVTGTNKTIKGPVHSGRETLYSNGSLLIQRVTMKDTGVY TIEMTDQNYRRRVLTGQFHVHKPVTQPSLQVTNTTVKELDSVTLTCLSKDRQAHIHWIFN NDTLLITEKMTTSQAGLILKIDPIKREDAGEYQCEISNPVSVKRSNSIKLEVIFDSTYDI SDVPIAVIITGAVAGVILIAGLAYRLCSRKSR >ENSMUSP00000048118.8 pep:known chromosome:GRCm38:7:25516840:25539819:-1 gene:ENSMUSG00000054385.14 transcript:ENSMUST00000044547.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam2 description:carcinoembryonic antigen-related cell adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:1347246] MELASAHLHKGQVPWFGLLLTASLLASWSPPTTAQVTVMAFPLHAAEGNNVILVVYNMMK GVSAFSWHKGSTTSTNAEIVRFVTGTNKTIKGPVHSGRETLYSNGSLLIQRVTMKDTGVY TIEMTDQNYRRRVLTGQFHVHTLLLKSNITSNNSNPVEGDDSVSLTCDSYTDPDNITYLW SRNGESLSEGDRLKLSEGNRTLTLLNVTRNDTGPYVCETRNPVSVNRSDPFSLNIIYGPD TPIISPSDIYLHPGSNLNLSCHAASNPPAQYFWLINEKPHASSQELFIPNITTNNSGTYT CFVNNSVTGLSRTTVKNITVLEPVTQPSLQVTNTTVKELDSVTLTCLSKDRQAHIHWIFN NDTLLITEKMTTSQAGLILKIDPIKREDAGEYQCEISNPVSVKRSNSIKLEVIFDSTYDI SDVPIAVIITGAVAGVILIAGLAYRLCSRKSRWGSDQRDLTEHKPSASNHNLAPSDNSPN KVDDVAYTVLNFNSQQPNRPTSAPSSPRATETVYSEVKKK >ENSMUSP00000066999.3 pep:known chromosome:GRCm38:6:124624625:124636085:-1 gene:ENSMUSG00000079343.3 transcript:ENSMUST00000068797.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1s2 description:complement component 1, s subcomponent 2 [Source:MGI Symbol;Acc:MGI:3644269] MWYLVLFSLLASFSAEPTMHGEILSPNYPQAYPNDVVKSWDIEVPEGFGIHLYFTHVDIE PSESCAYDSVQIISGGIEEGRLCGQRTSKSPNSPIIEEFQFPYNKLQVVFTSDFSIEEQF TGFAAYYTAIDVNECTDFTDVPCSHFCNNFIGGYFCSCPPEYFLHDDMRNCGVNCSGDVF TALIGEISSPNYPNPYPENSRCEYQIQLQEGFQVVVTMQREDFDVEPADSEGNCPDSLTF AAKNQQFGPYCGDGFPGPLTIRTQSNTLGIVFQTDLMGQKKGWKLRYHGDPISCPKESTA NSNWEPDKAKYVFKDVVKITCVDGFEVVEGHVSSTSYYSTCQSDGQWSNSGLKCQPVYCG IPDPIANGKVEEPENSVFGTVIHYTCEEPYYYMEHEEGGEYRCAANGRWVNDQLGIELPR CIPVCGVPTEPFQVQQKIFGGQPAKIENFPWQVFFNHPTAGGALINEYWVLTAAHVVEKN SDPSMYAGITALRLADLENAQRLYTKRVIIHPGWKEDDDLNPRTNFDNDIALVQLKDPVK MGPKFSPICLPGTSSEYNLSPGDMGLISGWGRTEKRLHVINLRGAKVPVTSLETCKQVKE ENPTARPEDYVITDNMICAGEKGVDSCKGDSGGAFAFQVPNVKAPKFYVAGLVSWGKKCG AYGVYTKVKNYVDWILKTMQENSGPRKD >ENSMUSP00000023869.8 pep:known chromosome:GRCm38:5:123907175:123928835:1 gene:ENSMUSG00000023106.15 transcript:ENSMUST00000023869.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Denr description:density-regulated protein [Source:MGI Symbol;Acc:MGI:1915434] MATDISESSGADCKGDTKNSAKLDADYPLRVLYCGVCSLPTEYCEYMPDVAKCRQWLEKN FPNEFAKLTVENSPKQETGITEGQGPVGEEEEKKKQKRGGRGQIKQKKKTVPQKVTIAKI PRAKKKYVTRVCGLATFEIDLKEAQRFFAQKFSCGASVTGEDEIIIQGDFTDDIIDVIQE KWPEVDDDSIEDLGEVKK >ENSMUSP00000126174.1 pep:known chromosome:GRCm38:5:123907489:123927133:1 gene:ENSMUSG00000023106.15 transcript:ENSMUST00000166233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Denr description:density-regulated protein [Source:MGI Symbol;Acc:MGI:1915434] MATDISESSGADCKGDTKNSAKLDADYPLRVLYCGVCSLPTEYCEYMPDVAKCRQWLEKN FPNEFAKLTVENSPKQETGITEGQGPVGEEEEKKKQKRGGRGQIKQKKKTVPQKVTIAKI PRAKKKYVTRVCGLATFEIDLKEAQRFFAQKFSCGASVTGEDEIIIQGDFTDDIIDVIQE KWP >ENSMUSP00000094167.3 pep:known chromosome:GRCm38:15:55112317:55259271:1 gene:ENSMUSG00000022419.15 transcript:ENSMUST00000096433.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Deptor description:DEP domain containing MTOR-interacting protein [Source:MGI Symbol;Acc:MGI:2146322] MEEGSSGGSGSSDSNAGGSGGVQQRELERMAEVLVTGEQLRLRLHEEKVIKDRRHHLKTY PNCFVAKELIDWLIEHKEASDRETAIKLMQKLADRGIIHHVCDEHKEFKDVKLFYRFRKD DGTFALDSEVKAFMRGQRLYEKLMSPETTLLQPREEEGVKYERTFMASEFLDWLVQEGEA TTRKEAEQLCHRLMDHGIIQHVSNKHPFVDSNLLYQFRMNFRRRRRLMELLNETSPSSQE THDSPFCLRKQSHDSRKSTSFMSVSPSKEIKIVSAVRRSSMSSCGSSGYFSSSPTLSSSP PVLCNPKSVLKRPVTSEELLTPGAPYARKTFTIVGDAVGWGFVVRGSKPCHIQAVDPSGP AAAAGMKVCQFVVSVNGLNVLNVDYRTVSNLILTGPRTIVMEVMEELDC >ENSMUSP00000098225.4 pep:known chromosome:GRCm38:15:55112424:55220340:1 gene:ENSMUSG00000022419.15 transcript:ENSMUST00000100660.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Deptor description:DEP domain containing MTOR-interacting protein [Source:MGI Symbol;Acc:MGI:2146322] MEEGSSGGSGSSDSNAGGSGGVQQRELERMAEVLVTGEQLRLRLHEEKVIKDRRHHLKTY PNCFVAKELIDWLIEHKEASDRETAIKLMQKLADRGIIHHVCDEHKEFKDVKLFYRFRKD DGTFALDSEVKAFMRGQRLYEKLMSPETTLLQPREEEGVKYERTFMASEFLDWLVQEGEA TTRKEAEQLCHRLMDHGIIQHVSNKHPFVDSNLLYQFRMNFRRRRRLMELLNETSPSSQE THDSPFCLRKQSHDSRKSTSFMSVSPSKEIKIVSAVRRSSMSSCGSSGYFSSSPTLSSSP PVLCNPKSVLKRPVTSEELLTPGAPYARKTFTIVGDAVGWGFVVRGSKPCHIQAVDPSGP AAAAGMKDTGTESRKIVTSRPAWATWQDLMGGKVGGDAIPI >ENSMUSP00000023056.7 pep:known chromosome:GRCm38:15:55133436:55254202:1 gene:ENSMUSG00000022419.15 transcript:ENSMUST00000023056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Deptor description:DEP domain containing MTOR-interacting protein [Source:MGI Symbol;Acc:MGI:2146322] MKQAFLVVLRHSISEDRLPPLSVITSGCRLRLHEEKVIKDRRHHLKTYPNCFVAKELIDW LIEHKEASDRETAIKLMQKLADRGIIHHVCDEHKEFKDVKLFYRFRKDDGTFALDSEVKA FMRGQRLYEKLMSPETTLLQPREEEGVKYERTFMASEFLDWLVQEGEATTRKEAEQLCHR LMDHGIIQHVSNKHPFVDSNLLYQFRMNFRRRRRLMELLNETSPSSQETHDSPFCLRKQS HDSRKSTSFMSVSPSKEIKIVSAVRRSSMSSCGSSGYFSSSPTLSSSPPVLCNPKSVLKR PVTSEELLTPGAPYARKTFTIVGDAVGWGFVVRGSKPCHIQAVDPSGPAAAAGMKVCQFV VSVNGLNVLNVDYRTVSNLILTGPRTIVMEVMEELDC >ENSMUSP00000000421.5 pep:known chromosome:GRCm38:4:129489014:129491022:-1 gene:ENSMUSG00000000411.7 transcript:ENSMUST00000000421.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssk3 description:testis-specific serine kinase 3 [Source:MGI Symbol;Acc:MGI:1929914] MEDFLLSNGYQLGKTIGEGTYSKVKEAFSKKHQRKVAIKIIDKMGGPEEFIQRFLPRELQ IVRTLDHKNIIQVYEMLESADGKIYLVMELAEGGDVFDCVLNGGPLPESRAKALFRQMVE AIRYCHGCGVAHRDLKCENALLQGFNLKLTDFGFAKVLPKSRRELSQTFCGSTAYAAPEV LQGIPHDSKKGDVWSMGVVLYVMLCASLPFDDTDIPKMLWQQQKGVSFPTHLGISTECQD LLKRLLEPDMILRPSIEEVSWHPWLAST >ENSMUSP00000129814.1 pep:known chromosome:GRCm38:14:4415448:4443839:1 gene:ENSMUSG00000091418.2 transcript:ENSMUST00000168866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3164 description:predicted gene 3164 [Source:MGI Symbol;Acc:MGI:3781343] MLNSSKLGVSGMFSWLLRIFQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNT STQNSKMTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRL LVEQAGHKCPVGKKRGSLRMPDRTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000060255.5 pep:known chromosome:GRCm38:2:174643534:174710832:1 gene:ENSMUSG00000050600.5 transcript:ENSMUST00000059452.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp831 description:zinc finger protein 831 [Source:MGI Symbol;Acc:MGI:3641861] MEASEPTHPTPSATDQPASVPGIPGGLGGQASPRLTLGPVILPPEQGLAPTVFLKALPVP LYHTVPPGGLQPRAPLVTGSLEGASVPFILSPLLQPEGPSATQVGKPATPALTVNIVGTL PVLSSGVGSALVSTGRVKNTGKYLCPHCGRDCLKPSVLEKHIRSHTGERPFPCPTCGIAF KTQSNLYKHRRTQTHLNNSRLSLESEGSGSSLLEEGDRPGETASVDSRGDEGAPERALSP GALPALAAQNRERKVESAPSLGATLSHREASADHTQMVSPEFSLASPQPRRKLPEPKPCF LQRQQETCSEKPWDPKALEGQLRKCESTDSGYLSRSDSVEQPPVASSPLHSLSEHSAESE GEGGPGCSSSGNRAERGTPGPSLELEKKKLEERIAQLISHNQAVVDDPQLDHVRPRKTVL SKQGSIDLPMPYTYKDSFHFDIRALEPSRRRAALSPARSTFTPLEKARPLFFHSVPTQLS TTVECVPVTRSNSLPFVEGSKLWPEQRDPQDAVPGMQKALSPRPTPARLGCRPGLTLASI SSGHPRALVRQAAVEDLPCTPTGDPSAAAEELDGKRAVAKEGVVYKGRASKKCSQRKQRM FSQEKWQVYGNETFKRIYQKMKTNHQGGQKHRQVKQGQGTELDFPPPPPPQKQGGGGEDT GLSQDSRIPILGDTTAGCKLESWGSRPSLEDSLEAESPKQLKVVTRVKSSPTLGSTDSLC LSGKSSLLSPSGKLDLGCQPPPVPTACQAGDLEAPETASPDSSGEAIKETSPGAQTVLRR SSDGSVALQLAEDKLPSERKRLKVEGLDHQEQPGPLEADTSGGSVQADPPPSERQDSDSE ELLGCSNKSTLHVTTAPEPSDVSSAASPVALQWPGIGDKDSPLPSVPRTPGLHSLLPAQP QDPGVPNARSGGFFPPKYLLRLPQGENPSPIVAAGPEKGSDSLCTSTQPVEQALLVGSEL GMLRPPGAYSGPGEAGGSPDDPSWSRLRDGKEEAQTGREKRDRTKDNILVVGHSPVPITS TTQEIASVLSTHTCDIRVAQDVVSKTHAIHCLCTDSPPARARTYGNLLNPWTSSQKLGIP PKSALEGPPSETKEGLPAFFSSQAHYFLTTFTKPQDISLNQQKPALSHVLGTPRSCEAPS SFPSLKTEPQLTWCCLSRSLPLPAEQRRIAPGHLASSLPNRNLQGDSNKTLPKSNGGRTQ TSQGGEVSTQAPELPYPSVPELRAEDQVSRPRWKKEQLWRRKVKTSRENTKQKKLRLHSK RCEGSFWQRAKRLCKPPWLPRRSCLPHRLEGLEPRGTLGRSSAEVAGRNVQGEPSCASKD LSVSHGNKEKEGDCSQTSRPMSPGMSSKTMLEMDKSALKDISPTTGEHNDCSQEKEHAGG SGLSPQSNTFLAVFQEDVPQGKGLDVGPPETQLPPSQDQVSITPNLCFSPDVPELPSSFK PKGTFPHHDVATSVAAICVSAGIRTGRKTLRAHSAESCAEETLARNSPDRRGTPDSIPRV LLPGRPSPGKQSPGQTLEIPSSGPSSVSSLQEEARAQADFPWWGQYGCGDLTVQGAASGS ESRTCQAEGLITSKTVTAPSDPGQPSQVPEEAPLRSIRKRSLEGMRKQTRVELSDTSSDD EDRLVIEI >ENSMUSP00000126667.1 pep:known chromosome:GRCm38:14:4481745:4490176:1 gene:ENSMUSG00000091148.7 transcript:ENSMUST00000169364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3182 description:predicted gene 3182 [Source:MGI Symbol;Acc:MGI:3781361] MFFWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIQQKHRLRECSQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000126316.1 pep:known chromosome:GRCm38:14:4481808:4490177:1 gene:ENSMUSG00000091148.7 transcript:ENSMUST00000167902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3182 description:predicted gene 3182 [Source:MGI Symbol;Acc:MGI:3781361] MFFWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIQQKHRLRECSQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRKSTECAQEIHHC CLSSLITMAVMGCMLLLYFVSLV >ENSMUSP00000128003.1 pep:known chromosome:GRCm38:9:27100668:27155418:-1 gene:ENSMUSG00000031990.14 transcript:ENSMUST00000167074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jam3 description:junction adhesion molecule 3 [Source:MGI Symbol;Acc:MGI:1933825] MALSRRLRLRLYARLPDFFLLLLFRGCMIEAVNLKSSNRNPVVHEFESVELSCIITDSQT SDPRIEWKKIQDGQTTYVYFDNKIQGDLAGRTDVFGKTSLRIWNVTRSDSAIYRCEVVAL NDRKEVDEITIELIVQVKPVTPVCRIPAAVPVGKTATLQCQESEGYPRPHYSWYRNDVPL PTDSRANPRFQNSSFHVNSETGTLVRALPR >ENSMUSP00000034472.8 pep:known chromosome:GRCm38:9:27097385:27155421:-1 gene:ENSMUSG00000031990.14 transcript:ENSMUST00000034472.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jam3 description:junction adhesion molecule 3 [Source:MGI Symbol;Acc:MGI:1933825] MALSRRLRLRLYARLPDFFLLLLFRGCMIEAVNLKSSNRNPVVHEFESVELSCIITDSQT SDPRIEWKKIQDGQTTYVYFDNKIQGDLAGRTDVFGKTSLRIWNVTRSDSAIYRCEVVAL NDRKEVDEITIELIVQVKPVTPVCRIPAAVPVGKTATLQCQESEGYPRPHYSWYRNDVPL PTDSRANPRFQNSSFHVNSETGTLVFNAVHKDDSGQYYCIASNDAGAARCEGQDMEVYDL NIAGIIGGVLVVLIVLAVITMGICCAYRRGCFISSKQDGESYKSPGKHDGVNYIRTSEEG DFRHKSSFVI >ENSMUSP00000076503.6 pep:known chromosome:GRCm38:3:67430096:67476529:1 gene:ENSMUSG00000027774.16 transcript:ENSMUST00000077271.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfm1 description:G elongation factor, mitochondrial 1 [Source:MGI Symbol;Acc:MGI:107339] MRLLRVAAALGRGPFPRVPAVLGWQGKQADWKTRRWCSSGPVPNEKIRNIGISAHIDSGK TTLTERVLYYTGRIATMHEVKGKDGVGAVMDSMELERQRGITIQSAATYTMWKDININII DTPGHVDFTIEVERALRVLDGAVLVLCAVGGVQCQTMTVSRQMKRYNVPFLTFINKLDRM GSNPSRALQQMRSKLNHNAAFVQIPIGLEGDFKGIIDLIEERAIYFDGDFGQIVRYDEIP AGLRAAAADHRQELIECVANSDEQLGELFLEEKIPSVSDLKRAIRRATLSRSFTPVFLGS ALKNKGVQPLLDAVLEYLPNPSEVQNYAILNQNDSKEKTKILMNPQRDDSHPFVGLAFKL EAGRFGQLTYVRNYQGELKKGSTIYNTRTGKKVRVQRLVRMHADMMEDVEEVYAGDICAL FGIDCASGDTFTNKDNSDLSMESIHVPEPVISIAMRPSNKNDLEKFSKGIGRFTREDPTF KVHFDPESKETIVSGMGELHLEIYAQRMEREYGCPCITGKPKVAFRETIVAPVPFDFTHK KQSGGAGQFGKVIGVLEPLPPEDYTKLEFSDETFGSNVPKQFVPAVEKGFLDACEKGPLS GHKLSGLRFVLQDGAHHMVDSNEISFIRAGEGALKQALANGTLCIIEPIMSVEVIAPNEF QGTVFAGINRRHGVITGQDGIEDYFTLYADVPLNNMFGYSTELRSCTEGKGEYTMEYCRY QPCSPSTQEELINKYLEATGQLPVKKGKAKN >ENSMUSP00000108396.2 pep:known chromosome:GRCm38:14:4514758:4519452:1 gene:ENSMUSG00000079386.8 transcript:ENSMUST00000112776.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3173 description:predicted gene 3173 [Source:MGI Symbol;Acc:MGI:3781352] MTKKRSKINELEELKLDMRKISNDIEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000127993.1 pep:known chromosome:GRCm38:14:4430992:4516780:1 gene:ENSMUSG00000079386.8 transcript:ENSMUST00000166848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3173 description:predicted gene 3173 [Source:MGI Symbol;Acc:MGI:3781352] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGRKEVL >ENSMUSP00000125850.1 pep:known chromosome:GRCm38:14:4430992:4519452:1 gene:ENSMUSG00000079386.8 transcript:ENSMUST00000164603.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3173 description:predicted gene 3173 [Source:MGI Symbol;Acc:MGI:3781352] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000102552.2 pep:known chromosome:GRCm38:11:105178807:105283959:1 gene:ENSMUSG00000020694.16 transcript:ENSMUST00000106939.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlk2 description:tousled-like kinase 2 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1346023] MMEELHSLDPRRQELLEARFTGVGVSKGPLNSESSNQSLCSVGSLSDKEVETPEKKQNDQ RNRKRKAEPYDTSQGKGTPRGHKISDYFERRAEQPLYGLDGSAAKEASEEQSALPTLMSV MLAKPRLDTEQLAPRGAGLCFTFVSAQQNSPSSTGSGNTEHSCSSQKQISIQHRQTQSDL TIEKISALENSKNSDLEKKEGRIDDLLRANCDLRRQIDEQQKMLEKYKERLNRCVTMSKK LLIEKSKQEKMACRDKSMQDRLRLGHFTTVRHGASFTEQWTDGYAFQNLIKQQERINSQR EEIERQRKMLAKRKPPAMGQAPPATNEQKQRKSKTNGAENETLTLAEYHEQEEIFKLRLG HLKKEEAEIQAELERLERVRNLHIRELKRIHNEDNSQFKDHPTLNDRYLLLHLLGRGGFS EVYKAFDLTEQRYVAVKIHQLNKNWRDEKKENYHKHACREYRIHKELDHPRIVKLYDYFS LDTDSFCTVLEYCEGNDLDFYLKQHKLMSEKEARSIIMQIVNALKYLNEIKPPIIHYDLK PGNILLVNGTACGEIKITDFGLSKIMDDDSYNSVDGMELTSQGAGTYWYLPPECFVVGKE PPKISNKVDVWSVGVIFYQCLYGRKPFGHNQSQQDILQENTILKATEVQFPPKPVVTPEA KAFIRRCLAYRKEDRIDVQQLACDPYLLPHIRKSVSTSSPAGAAIASTSGASNNSSSN >ENSMUSP00000102554.2 pep:known chromosome:GRCm38:11:105181527:105282177:1 gene:ENSMUSG00000020694.16 transcript:ENSMUST00000106941.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlk2 description:tousled-like kinase 2 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1346023] MMEELHSLDPRRQELLEARFTGVGVSKGPLNSESSNQSLCSVGSLSDKEVETPEKKQNDQ RNRKRKAEPYDTSQGKGTPRGHKISDYFEFAGGSGPGTSPGRSVPPVARSSPQHSLSNPL PRRAEQPLYGLDGSAAKEASEEQSALPTLMSVMLAKPRLDTEQLAPRGAGLCFTFVSAQQ NSPSSTGSGNTEHSCSSQKQISIQHRQTQSDLTIEKISALENSKNSDLEKKEGRIDDLLR ANCDLRRQIDEQQKMLEKYKERLNRCVTMSKKLLIEKSKQEKMACRDKSMQDRLRLGHFT TVRHGASFTEQWTDGYAFQNLIKQQERINSQREEIERQRKMLAKRKPPAMGQAPPATNEQ KQRKSKTNGAENETLTLAEYHEQEEIFKLRLGHLKKEEAEIQAELERLERVRNLHIRELK RIHNEDNSQFKDHPTLNDRYLLLHLLGRGGFSEVYKAFDLTEQRYVAVKIHQLNKNWRDE KKENYHKHACREYRIHKELDHPRIVKLYDYFSLDTDSFCTVLEYCEGNDLDFYLKQHKLM SEKEARSIIMQIVNALKYLNEIKPPIIHYDLKPGNILLVNGTACGEIKITDFGLSKIMDD DSYNSVDGMELTSQGAGTYWYLPPECFVVGKEPPKISNKVDVWSVGVIFYQCLYGRKPFG HNQSQQDILQENTILKATEVQFPPKPVVTPEAKAFIRRCLAYRKEDRIDVQQLACDPYLL PHIRKSVSTSSPAGAAIASTSGASNNSSSN >ENSMUSP00000090198.3 pep:known chromosome:GRCm38:11:105181793:105276459:1 gene:ENSMUSG00000020694.16 transcript:ENSMUST00000092537.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlk2 description:tousled-like kinase 2 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1346023] MMEELHSLDPRRQELLEARFTGVGVSKGPLNSESSNQSLCSVGSLSDKEVETPEKKQNDQ RNRKRKAEPYDTSQGKGTPRGHKISDYFEFAGGSGPGTSPGRSVPPVARSSPQHSLSNPL PRRAEQPLYGLDGSAAKEASEEQSALPTLMSVMLAKPRLDTEQLAPRGAGLCFTFVSAQQ NSPSSTGSGNTEHSCSSQKQISIQHRQTQSDLTIEKISALENSKNSDLEKKEGRIDDLLR ANCDLRRQIDEQQKMLEKYKERLNRCVTMSKKLLIEKSKQEKMACRDKSMQDRLRLGHFT TVRHGASFTEQWTDGYAFQNLIKQQERINSQREEIERQRKMLAKRKPPAMGQAPPATNEQ KQRKSKTNGAENETLTLAEYHEQEEIFKLRLGHLKKEEAEIQAELERLERVRNLHIRELK RIHNEDNSQFKDHPTLNDRYLLLHLLGRGGFSEVYKAFDLTEQRYVAVKIHQLNKNWRDE KKENYHKHACREYRIHKELDHPRIVKLYDYFSLDTDSFCTVLEYCEGNDLDFYLKQHKLM SEKEARSIIMQIVNALKYLNEIKPPIIHYDLKPGNILLVNGTACGEIKITDFGLSKIMDD DSYNSVDGMELTSQGAGTYWYLPPECFVVGKEPPKISNKVDVWSVGVIFYQCLYGRKVRK KAVEQPGAIPTTSLGFFFAVNHWNPESSGLKKIQTSQ >ENSMUSP00000015107.6 pep:known chromosome:GRCm38:11:105181917:105282176:1 gene:ENSMUSG00000020694.16 transcript:ENSMUST00000015107.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlk2 description:tousled-like kinase 2 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1346023] MMEELHSLDPRRQELLEARFTGVGVSKGPLNSESSNQSLCSVGSLSDKEVETPEKKQNDQ RNRKRKAEPYDTSQGKGTPRGHKISDYFERRAEQPLYGLDGSAAKEASEEQSALPTLMSV MLAKPRLDTEQLAPRGAGLCFTFVSAQQNSPSSTGSGNTEHSCSSQKQISIQHRQTQSDL TIEKISALENSKNSDLEKKEGRIDDLLRANCDLRRQIDEQQKMLEKYKERLNRCVTMSKK LLIEKSKQEKMACRDKSMQDRLRLGHFTTVRHGASFTEQWTDGYAFQNLIKQQERINSQR EEIERQRKMLAKRKPPAMGQAPPATNEQKQRKSKTNGAENETLTLAEYHEQEEIFKLRLG HLKKEEAEIQAELERLERVRNLHIRELKRIHNEDNSQFKDHPTLNDRYLLLHLLGRGGFS EVYKAFDLTEQRYVAVKIHQLNKNWRDEKKENYHKHACREYRIHKELDHPRIVKLYDYFS LDTDSFCTVLEYCEGNDLDFYLKQHKLMSEKEARSIIMQIVNALKYLNEIKPPIIHYDLK PGNILLVNGTACGEIKITDFGLSKIMDDDSYNSVDGMELTSQGAGTYWYLPPECFVVGKE PPKISNKVDVWSVGVIFYQCLYGRKPFGHNQSQQDILQENTILKATEVQFPPKPVVTPEA KAFIRRCLAYRKEDRIDVQQLACDPYLLPHIRKSVSTSSPAGAAIASTSGASNNSSSN >ENSMUSP00000118520.1 pep:known chromosome:GRCm38:11:105181982:105276873:1 gene:ENSMUSG00000020694.16 transcript:ENSMUST00000145048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlk2 description:tousled-like kinase 2 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1346023] MMEELHSLDPRRQELLEARFTGVGVSKGPLNSESSNQSLCSVGSLSDKEVETPEKKQNDQ RNRKRKAEPYDTSQGKGTPRGHKISDYFERRAEQPLYGLDGSAAKEASEEQSALPTLMSV MLAKPRLDTEQLAPRGAGLCFTFVSSDLTIEKISALENSKNSDLEKKEGRIDDLLRANCD LRRQIDEQQKMLEKYKERLNRCVTMSKKLLIEKSKQEKMACRDKSMQDRLRLGHFTTVRH GASFTEQWTDGYAFQNLIKQQERINSQREEIERQRKMLAKRKPPAMGQAPPATNEQKQRK SKTNGAENETLTLAEYHEQEEIFKLRLGHLKKEEAEIQAELERLERVRNLHIRELKRIHN EDNSQFKDHPTLNDRYLLLHLLGRGGFSEVYKAFDLTEQRYVAVKIHQLNKNWRDEKKEN YHKHACREYRIHKELDHPRIVKLYDYFSLDTDSFCTVLEYCEGNDLDFYLKQHKLMSEKE ARSIIMQIVNALKYLNEIKPPIIHYDLKPGNILLVNGTACGEIKITDFGLSKIMDDDSYN SVDGMELTSQGAGTYWYLPPECFVVGKEPPKISNKVDVWSVGVIFYQCLYGRKVRKKAVE QPGAIPTTSLGFFFAVNHWNPESSGLKKIQTSQ >ENSMUSP00000120944.1 pep:known chromosome:GRCm38:11:105181999:105282176:1 gene:ENSMUSG00000020694.16 transcript:ENSMUST00000126175.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tlk2 description:tousled-like kinase 2 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1346023] MMEELHSLDPRRQELLEARFTGVGVSKGPLNSESSNQSLCSVGSLSDKEVETPEKKQNDQ RNRKRKAEPYDTSQASSRTASVWFRWQCSKGGLRRAVCSAHPHVSDVSKTAT >ENSMUSP00000121897.1 pep:known chromosome:GRCm38:11:105182217:105210558:1 gene:ENSMUSG00000020694.16 transcript:ENSMUST00000149459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlk2 description:tousled-like kinase 2 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1346023] MMEELHSLDPRRQELLEARFTGVGVSKGPLNSESSNQSLCSVGSLSDKEVETPEKKQNDQ RNRKRKAEPYDTSQGKGTPRGHKISDYFEFAGG >ENSMUSP00000123179.1 pep:known chromosome:GRCm38:11:105208853:105249486:1 gene:ENSMUSG00000020694.16 transcript:ENSMUST00000152404.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlk2 description:tousled-like kinase 2 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1346023] XPEKKQNDQRNRKRKAEPYDTSQGKGTPRGHKISDYFEFAGGSGPGTSPGRSVPPVARSS PQHSLSNPLPRRAEQPLYGLDGSAAKEASEEQSALPTLMSVMLAKPRLDTEQLAPRGAGL CFTFVSAQQNSPSSTGSGNTEHSCSSQKQISIQHRQTQSDLTIEKISALENSKNSDLEKK EGRIDDLLRSKQEKMACRDKSMQDRLRLGHFTTVRHGASFTEQWTDGYAFQNLIK >ENSMUSP00000106085.2 pep:known chromosome:GRCm38:13:22035870:22036345:1 gene:ENSMUSG00000062727.4 transcript:ENSMUST00000110455.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bk description:histone cluster 1, H2bk [Source:MGI Symbol;Acc:MGI:2448399] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSAK >ENSMUSP00000019143.8 pep:known chromosome:GRCm38:6:34153380:34177111:-1 gene:ENSMUSG00000018999.14 transcript:ENSMUST00000019143.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35b4 description:solute carrier family 35, member B4 [Source:MGI Symbol;Acc:MGI:1931249] MRPAFAVGLVFAGCCSNVIFLELLARTHPGCGNIVTFAQFLFIAVEGFLFEANLGRKPPA IPIRYYAIMVTMFFTVSVVNNYALNLNIAMPLHMIFRSGSLIANMILGIIILKKRYSMFK YTSIALVSAGIFICTFMSAKQVTVQTGLSDKDGFQAFAWWLLGIAALTFALLMSARMGIF QETLYRQFGKHSKEALFYNHALPLPGFIFLASDIYDHVVLFNKSELYQVPVIGVTMPVMW FYLLMNVVTQYVCIRGVFILTTECTSLTVTLVVTLRKFVSLIFSILYFQNQFTMWHWLGT SFVFIGTLMYTEVWKNLGTTKSELQKDDKKD >ENSMUSP00000138721.1 pep:known chromosome:GRCm38:6:34160518:34177082:-1 gene:ENSMUSG00000018999.14 transcript:ENSMUST00000138250.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc35b4 description:solute carrier family 35, member B4 [Source:MGI Symbol;Acc:MGI:1931249] MRPAFAVGLVFAGCCSNVIFLELLARDCVKCFPGTCSSVQQ >ENSMUSP00000018212.6 pep:known chromosome:GRCm38:11:86210681:86257550:-1 gene:ENSMUSG00000018068.13 transcript:ENSMUST00000018212.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints2 description:integrator complex subunit 2 [Source:MGI Symbol;Acc:MGI:1917672] MTPEGTGLQFVSPFAFEAMQKVDVVRLASLSDPELRLLLPCLVRMALCAPADQSQSWAQD KKLILRLLSGVEAVNSIVALLSVDFHALEQDASKEQQLRHKLGGGSGESILVSQLQHGLT LEFEHSDSPRRLRLVLSELLAIMNKVSECNGEFFFKSSELFESAVYLEEAADVLCILQAE LPSLLPIVDVAEALLRVRNGAWFLCLLVANVPDSFNEVCRGLIKNGERQDEESLGGRRRT DALRFLCRMNPSQALKVRGMVVEECHLPGLGVALTLDHTKTEACEDGVSDLVCFVSGLLL GTNAKVRTWFGTFIRNGQQRKRETSGSVLWQMRRQLLLELMGILPTVRSTRIVEEADVEM EPTVSVYSGLKEEHVVKASALLRLYCALMGIAGLKPTEEEAEQLLQLMTSRPPATPAGVR FVSLSFCMLLAFSTLVSTPEQEQLMVLWLSWMIKEEAYFESTSGVSASFGEMLLLVAMYF HSNQLSAIIDLVCSTLGMKIVIKPSSLSRMKTIFTQEIFTEQVVTAHAVRVPVTSNLSAN ITGFLPIHCIYQLLRSRSFTKHKVSIKDWIYRQLCETSTPLHPQLLPLIDVYINSILTPA SKSNPEATNQPVTEQEILNLFQEVIGGDSVRLTQRFSITAQLLVLYYILSYEEALLANTK TLASMQRKPKSYSSSLMDQIPIKFLIRQAQGLQQELGGLHSALLRLLATNYPHLCIVDDW ICEEEITGTDALLRRMLLTSNAKTHSPKQLQEAFSAVPVSHTQVMQIMEHLTLLSASELI PYAEVLTSNMNQLLNSGVPRRILQTVNKLWMVLNTVMPRRLWVMTVNALQPSIKFIRQQK YTQNDLMIDPLIVLRCDRRVHRCPPLMDVTLHMLNGYLLASKAYLSAHLKETAEQDRPSP NNTVGLVGQTDAPEVTREELKNALLAAQDSAAVQILLEICLPTEEEKAKGANSDISLRNT QGVTTISTPSKETEEGEDNLLCNLREVQCLICCLLHQMYIADPNIAKLVHFQGYPCELLP LTVAGIPSMHICLDFIPELIAQPELEKQIFAIQLLSHLCIQYALPKSLSVARLAVNVMGT LLTVLTQAKRYSFFMPTLPSLVSFCRAFPPLYEDIMSLLIQIGQVCASDVATQTRDIDPI ITRLQQIKEKPSGWSQICKDPSYKNGSRDTGSMDPDVQLCHCIESTIIEIINMSVSGI >ENSMUSP00000103674.1 pep:known chromosome:GRCm38:11:86212088:86256546:-1 gene:ENSMUSG00000018068.13 transcript:ENSMUST00000108039.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints2 description:integrator complex subunit 2 [Source:MGI Symbol;Acc:MGI:1917672] MTPEGTGLQFVSPFAFEAMQKVDVVRLASLSDPELRLLLPCLVRMALCAPADQSQSWAQD KKLILRLLSGVEAVNSIVALLSVDFHALEQDASKEQQLRHKLGGGSGESILVSQLQHGLT LEFEHSDSPRRLRLVLSELLAIMNKVSECNGEFFFKSSELFESAVYLEEAADVLCILQAE LPSLLPIVDVAEALLRVRNGAWFLCLLVANVPDSFNEVCRGLIKNGERQDEESLGGRRRT DALRFLCRMNPSQALKVRGMVVEECHLPGLGVALTLDHTKTEACEDGVSDLVCFVSGLLL GTNAKVRTWFGTFIRNGQQRKRETSGSVLWQMRRQLLLELMGILPTVRSTRIVEEADVEM EPTVSVYSGLKEEHVVKASALLRLYCALMGIAGLKPTEEEAEQLLQLMTSRPPATPAGVR FVSLSFCMLLAFSTLVSTPEQEQLMVLWLSWMIKEEAYFESTSGVSASFGEMLLLVAMYF HSNQLSAIIDLVCSTLGMKIVIKPSSLSRMKTIFTQEIFTEQVVTAHAVRVPVTSNLSAN ITGFLPIHCIYQLLRSRSFTKHKVSIKDWIYRQLCETSTPLHPQLLPLIDVYINSILTPA SKSNPEATNQPVTEQEILNLFQEVIGGDSVRLTQRFSITAQLLVLYYILSYEEALLANTK TLASMQRKPKSYSSSLMDQIPIKFLIRQAQGLQQELGGLHSALLRLLATNYPHLCIVDDW ICEEEITGTDALLRRMLLTSNAKTHSPKQLQEAFSAVPVSHTQVMQIMEHLTLLSASELI PYAEVLTSNMNQLLNSGVPRRILQTVNKLWMVLNTVMPRRLWVMTVNALQPSIKFIRQQK YTQNDLMIDPLIVLRCDRRVHRCPPLMDVTLHMLNGYLLASKAYLSAHLKETAEQDRPSP NNTVGLVGQTDAPEVTREELKNALLAAQDSAAVQILLEICLPTEEEKAKGANSDISLRNT QGVTTISTPSKETEEGEDNLLCNLREVQCLICCLLHQMYIADPNIAKLVHFQGYPCELLP LTVAGIPSMHICLDFIPELIAQPELEKQIFAIQLLSHLCIQYALPKSLSVARLAVNVMGT LLTVLTQAKRYSFFMPTLPSLVSFCRAFPPLYEDIMSLLIQIGQVCASDVATQTRDIDPI ITRLQQIKEKPSGWSQICKDPSYKNGSRDTGSMDPDVQLCHCIESTIIEIINMSVSGI >ENSMUSP00000135300.1 pep:known chromosome:GRCm38:11:86217809:86229233:-1 gene:ENSMUSG00000018068.13 transcript:ENSMUST00000134828.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ints2 description:integrator complex subunit 2 [Source:MGI Symbol;Acc:MGI:1917672] XQAQGLQQELGGLHSALLRLLATNYPHLCIVDDWICEEEITGTDALLRRMLLTSNAKTHS PKQLQED >ENSMUSP00000119084.1 pep:known chromosome:GRCm38:11:86251051:86257575:-1 gene:ENSMUSG00000018068.13 transcript:ENSMUST00000139285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints2 description:integrator complex subunit 2 [Source:MGI Symbol;Acc:MGI:1917672] MTPEGTGLQFVSPFAFEAMQKVDVVRLASLSDPELRLLLPCLVRMALCAPADQSQSWAQD KKLILRLLSGVEAVNSIVALLSVDFHALEQDASKEQQLRHKLGGGSGESILVSQLQHGLT LEFEHSDSPRRLRLVLSELLAIMNKVSECNGEFFFKSSELFESAVYLEEAADVLCILQAE LPSLLPIVDV >ENSMUSP00000114859.1 pep:known chromosome:GRCm38:11:86255360:86257554:-1 gene:ENSMUSG00000018068.13 transcript:ENSMUST00000132024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints2 description:integrator complex subunit 2 [Source:MGI Symbol;Acc:MGI:1917672] MTPEGTGLQFVSPFAFEAMQKVDVVRLASLSDPELRLLLPCLVRMALCAPADQSQSWAQD KKLILRLLSGVEAVNSIVALLSVDFHALEQDASKEQQLRHKLGGGSGESILVSQLQHGLT LEFEHSDSPRRLRLVLSELL >ENSMUSP00000116633.1 pep:known chromosome:GRCm38:11:86256026:86257518:-1 gene:ENSMUSG00000018068.13 transcript:ENSMUST00000136469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints2 description:integrator complex subunit 2 [Source:MGI Symbol;Acc:MGI:1917672] MTPEGTGLQFVSPFAFEAMQKVDVVRLASLSDPELRLLLPCLVRMALCAPADQSQSWAQD KKLILRLLSGVEAVNSIVALLSVDFHALEQDASKEQQL >ENSMUSP00000146558.1 pep:known chromosome:GRCm38:7:6501242:6502320:-1 gene:ENSMUSG00000093877.2 transcript:ENSMUST00000208066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1348 description:olfactory receptor 1348 [Source:MGI Symbol;Acc:MGI:3031182] MARSLELANMTRVQKFLLLGLSTRLDIRDALFAVFLTLYLLTLVENTLIIYLIFSHKELH KPMYFFLGNLSCLEMCYVSVTMPTLLVGLWTGPYHIPFTLCMTQLFFFIVLICTECTLLA SMAYDRYVAICRPLHYPLLMRPQVCLGLALSSWLGGLIVSVAKTTCIASLSYCGPNVLNQ FFCDVSPLLNLSCTHVALTELVDFISAIVIFCGTLLVSLASYSAIGMAVLRMPSAAARRK AFSTCASHLVVVGIFYSAALFIYCRPSRIKSMDLNKVLSVIYTVVTPLCNPIIYCLRNKE VHTVLKKTLHWP >ENSMUSP00000147205.1 pep:known chromosome:GRCm38:7:6501814:6508558:-1 gene:ENSMUSG00000093877.2 transcript:ENSMUST00000209097.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1348 description:olfactory receptor 1348 [Source:MGI Symbol;Acc:MGI:3031182] MARSLELANMTRVQKFLLLGLSTRLDIRDALFAVFLTLYLLTLVENTLIIYLIFSHKELH KPMYFFLGNLSCLEMCYVSVTMPTLLVGLWTGPYHIPFTLCMTQLFFFIVLICTECTLLA SMAYDRYVAICRPLHYP >ENSMUSP00000146853.1 pep:known chromosome:GRCm38:7:6501828:6508712:-1 gene:ENSMUSG00000093877.2 transcript:ENSMUST00000207624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1348 description:olfactory receptor 1348 [Source:MGI Symbol;Acc:MGI:3031182] MARSLELANMTRVQKFLLLGLSTRLDIRDALFAVFLTLYLLTLVENTLIIYLIFSHKELH KPMYFFLGNLSCLEMCYVSVTMPTLLVGLWTGPYHIPFTLCMTQLFFFIVLICTECTLLA SMAYDRYVAICR >ENSMUSP00000146802.1 pep:known chromosome:GRCm38:7:6501843:6508431:-1 gene:ENSMUSG00000093877.2 transcript:ENSMUST00000209029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1348 description:olfactory receptor 1348 [Source:MGI Symbol;Acc:MGI:3031182] MARSLELANMTRVQKFLLLGLSTRLDIRDALFAVFLTLYLLTLVENTLIIYLIFSHKELH KPMYFFLGNLSCLEMCYVSVTMPTLLVGLWTGPYHIPFTLCMTQLFFFIVLICTECTLLA SMAYDRY >ENSMUSP00000146873.1 pep:known chromosome:GRCm38:7:6501928:6508687:-1 gene:ENSMUSG00000093877.2 transcript:ENSMUST00000208207.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1348 description:olfactory receptor 1348 [Source:MGI Symbol;Acc:MGI:3031182] MARSLELANMTRVQKFLLLGLSTRLDIRDALFAVFLTLYLLTLVENTLIIYLIFSHKELH KPMYFFLGNLSCLEMCYVSVTMPTLLVGLWTGPYHIPFT >ENSMUSP00000146811.1 pep:known chromosome:GRCm38:7:6501970:6508702:-1 gene:ENSMUSG00000093877.2 transcript:ENSMUST00000208623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1348 description:olfactory receptor 1348 [Source:MGI Symbol;Acc:MGI:3031182] MARSLELANMTRVQKFLLLGLSTRLDIRDALFAVFLTLYLLTLVENTLIIYLIFSHKELH KPMYFFLGNLSCLEMCYVSVTMPTL >ENSMUSP00000083499.4 pep:known chromosome:GRCm38:7:6501286:6502325:-1 gene:ENSMUSG00000093877.2 transcript:ENSMUST00000086319.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1348 description:olfactory receptor 1348 [Source:MGI Symbol;Acc:MGI:3031182] LTCSRQMARSLELANMTRVQKFLLLGLSTRLDIRDALFAVFLTLYLLTLVENTLIIYLIF SHKELHKPMYFFLGNLSCLEMCYVSVTMPTLLVGLWTGPYHIPFTLCMTQLFFFIVLICT ECTLLASMAYDRYVAICRPLHYPLLMRPQVCLGLALSSWLGGLIVSVAKTTCIASLSYCG PNVLNQFFCDVSPLLNLSCTHVALTELVDFISAIVIFCGTLLVSLASYSAIGMAVLRMPS AAARRKAFSTCASHLVVVGIFYSAALFIYCRPSRIKSMDLNKVLSVIYTVVTPLCNPIIY CLRNKEVHTVLKKTLHWP >ENSMUSP00000029030.2 pep:known chromosome:GRCm38:2:174760619:174784042:1 gene:ENSMUSG00000027524.9 transcript:ENSMUST00000029030.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edn3 description:endothelin 3 [Source:MGI Symbol;Acc:MGI:95285] MEPGLWLLLGLTVTSAAGLVPCPQSGDSGRASVSQGPPEAGSERGCEETVAGPGERIVSP TVALPAQPESAGQERAPGRSGKQEDKGLPAHHRPRRCTCFTYKDKECVYYCHLDIIWINT PEQTVPYGLSNYRESLRGKRSLGPVPESSQPSPWTRLRCTCMGADDKACAHFCARTRDVT SYSGRAERPAAEEMRETGGPRQRLMSRTDKAHRP >ENSMUSP00000125602.1 pep:known chromosome:GRCm38:2:174760799:174781980:1 gene:ENSMUSG00000027524.9 transcript:ENSMUST00000140908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edn3 description:endothelin 3 [Source:MGI Symbol;Acc:MGI:95285] MEPGLWLLLGLTVTSAAVALPAQPESAGQERAPGRSGKQEDKGLPAHHRPRRCTCFTYKD KECVYYCHLDIIWINTPEQTVPYGLSNYRESLRGKRSLGPVPESSQPSPWTRLRCTCMGA DDKACAHFCARTRDVTRAERPAAEEMRETGGPRQRLMSRTDKAHRP >ENSMUSP00000066050.4 pep:known chromosome:GRCm38:10:11343404:11459644:1 gene:ENSMUSG00000055493.4 transcript:ENSMUST00000069106.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epm2a description:epilepsy, progressive myoclonic epilepsy, type 2 gene alpha [Source:MGI Symbol;Acc:MGI:1341085] MLFRFGVVVPPAVAGARQELLLAGSRPELGRWEPHGAVRLRPAGTAAGAAALALQEPGLW LAEVELEAYEEAGGAEPGRVDTFWYKFLQREPGGELHWEGNGPHHDRCCTYNEDNLVDGV YCLPVGHWIEATGHTNEMKHTTDFYFNIAGHQAMHYSRILPNIWLGSCPRQLEHVTIKLK HELGVTAVMNFQTEWDIIQNSSGCNRYPEPMTPDTMMKLYKEEGLSYIWMPTPDMSTEGR VQMLPQAVCLLHALLENGHTVYVHCNAGVGRSTAAVCGWLHYVIGWNLRKVQYFIMAKRP AVYIDEDALAQAQQDFSQKFGKVHSSICAL >ENSMUSP00000052592.4 pep:known chromosome:GRCm38:19:47865767:47886324:1 gene:ENSMUSG00000025069.14 transcript:ENSMUST00000056159.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsto2 description:glutathione S-transferase omega 2 [Source:MGI Symbol;Acc:MGI:1915464] MSGDLSRCLGKGSCPPGPVPEGVIRIYSMRFCPYSHRARLVLKAKGIRHEVININLKSKP DWYYTKHPFGQIPVLENSQCQLVYESVIACEYLDDVYPGRKLFPYDPYERARQKMLLELF CKVPPLSKECLIALRCGRDCTDLKVALRQELCNMEEILEYQNTTFFGGDCISMIDYLVWP WFERLDVYGLADCVNHTPMLRLWIASMKQDPAVCALHTDKSVFLGFLNLYFQNNPCAFDF GLCNPIIR >ENSMUSP00000113409.1 pep:known chromosome:GRCm38:19:47865545:47886324:1 gene:ENSMUSG00000025069.14 transcript:ENSMUST00000120645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsto2 description:glutathione S-transferase omega 2 [Source:MGI Symbol;Acc:MGI:1915464] MSGDLSRCLGKGSCPPGPVPEGVIRIYSMRFCPYSHRARLVLKAKGIRHEVININLKSKP DWYYTKHPFGQIPVLENSQCQLVYESVIACEYLDDVYPGRKLFPYDPYERARQKMLLELF CKVPPLSKECLIALRCGRDCTDLKVALRQELCNMEEILEYQNTTFFGGDCISMIDYLVWP WFERLDVYGLADCVNHTPMLRLWIASMKQDPAVCALHTDKSVFLGFLNLYFQNNPCAFDF GLCNPIIR >ENSMUSP00000119680.1 pep:known chromosome:GRCm38:19:47870262:47884704:1 gene:ENSMUSG00000025069.14 transcript:ENSMUST00000135016.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsto2 description:glutathione S-transferase omega 2 [Source:MGI Symbol;Acc:MGI:1915464] MSGDLSRCLGKGSCPPGPVPEGVIRIYSMRFCPYSHRARLVLKAKGIRHEVININLKSKP DWYYTKHPFGQIPVLENSQCQLVYESVIACEYLDDVYPGRKLFPYDPYERARQKMLLELF CKVPPLSKECLIALRCGRDCTDLKVALRQELCNMEEILEYQNTTFFGGDCIS >ENSMUSP00000064500.2 pep:known chromosome:GRCm38:1:44106546:44118808:-1 gene:ENSMUSG00000026047.13 transcript:ENSMUST00000065767.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdelc1 description:KDEL (Lys-Asp-Glu-Leu) containing 1 [Source:MGI Symbol;Acc:MGI:1919300] MFSISLLSCLFLGTVPALAQTGGERRLSPEKSEIWGPGLKAHVVLPARYFYIRAVDTSGE QFTSSPGEKVFQVKISAPDEQFTRVGVQVLDRKDGSFIVRYRMYASYRNLKIEVKHHGQH VAESPYVLRGPVYHENCDCPLEDSAAWLREMNCSETISQIQKDLAHFPTVDPEKIAAEIP KRFGQRQSLCHYTLKDNKVYIKTHGEHVGFRIFMDAILLSLTRKVRMPDVEFFVNLGDWP LEKKKSNSNIQPIFSWCGSTESRDIVMPTYDLTDSVLETMGRVSLDMMSVQANTGPPWES KNSTAVWRGRDSRKERLELVKLSRKHPELIDAAFTNFFFFKHDESLYGPIVKHISFFDFF KHKYQINIDGTVAAYRLPYLLVGDSVVLKQDSIYYEHFYNELQPWKHYIPVKSNLSDLLE KLKWAKEHDAEAKKIAKAGQEFARNNLMGDDIFCYYFKLFQGYANLQVSEPQIREGMKRV EPQSEDDLFPCTCHRRKAKDEL >ENSMUSP00000027213.7 pep:known chromosome:GRCm38:1:44106887:44118765:-1 gene:ENSMUSG00000026047.13 transcript:ENSMUST00000027213.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdelc1 description:KDEL (Lys-Asp-Glu-Leu) containing 1 [Source:MGI Symbol;Acc:MGI:1919300] MFSISLLSCLFLGTVPALAQTGGERRLSPEKSEIWGPGLKAHVVLPARYFYIRAVDTSGE QFTSSPGEKVFQVKISAPDEQFTRVGVQVLDRKDGSFIVRYRMYASYRNLKIEVKHHGQH VAESPYVLRGPVYHENCDCPLEDSAAWLREMNCSETISQIQKDLAHFPTVDPEKIAAEIP KRFGQRQSLCHYTLKDNKVYIKTHGEHVGFRIFMDAILLSLTRKVRMPDVEFFVNLGDWP LEKKKSNSNIQPIFSWCGSTESRDIVMPTYDLTDSVLETMGRVSLDMMSVQANTGPPWES KNSTAVWRGRDSRKERLELVKLSRKHPELIDAAFTNFFFFKHDESLYGPIVKHISFFDFF KAKKIAKAGQEFARNNLMGDDIFCYYFKLFQGYANLQVSEPQIREGMKRVEPQSEDDLFP CTCHRRKAKDEL >ENSMUSP00000114287.1 pep:known chromosome:GRCm38:1:44114784:44118701:-1 gene:ENSMUSG00000026047.13 transcript:ENSMUST00000152643.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kdelc1 description:KDEL (Lys-Asp-Glu-Leu) containing 1 [Source:MGI Symbol;Acc:MGI:1919300] MFSISLLSCLFLGTVPALAQTGGERRLSPEKSEIWGPGLKAHVVLPARYFYIRAVDTSGE QFTSSPGEKVFQVKISAPDEQFTRVGVQVLDRKDGSFIVRYRMYASYRNLKIEVKHHGQH VAESPYVLRGLKKNFQG >ENSMUSP00000116195.2 pep:known chromosome:GRCm38:2:131909957:131956130:1 gene:ENSMUSG00000098754.7 transcript:ENSMUST00000124100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28045 description:prion protein gene complex [Source:MGI Symbol;Acc:MGI:97767] MMEWRSLRVEVASRTGFTMKNRLGTWWVAILCMLLASHLSTVKARGIKHRFKWNRKVLPS SGGQITEARVAENRPGAFIKQGRKLDIDFGAEGNRYYAANYWQFPDGIYYEGCSEANVTK EMLVTSCVNATQAANQAEFSREKQDSKLHQRVLWRLIKEICSAKHCDFWLERGAALRVAV DQPAMVCLLGFVWFIVK >ENSMUSP00000122345.2 pep:known chromosome:GRCm38:2:131909957:131956130:1 gene:ENSMUSG00000098754.7 transcript:ENSMUST00000136783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28045 description:prion protein gene complex [Source:MGI Symbol;Acc:MGI:97767] MMEWRSLRVEVASRTGFTMKNRLGTWWVAILCMLLASHLSTVKARGIKHRFKWNRKVLPS SGGQITEARVAENRPGAFIKQGRKLDIDFGAEGNRYYAANYWQFPDGIYYEGCSEANVTK EMLVTSCVNATQAANQAEFSREKQDSKLHQRVLWRLIKEICSAKHCDFWLERGAALRVAV DQPAMVCLLGFVWFIVK >ENSMUSP00000027241.4 pep:known chromosome:GRCm38:1:40225080:40317257:1 gene:ENSMUSG00000026072.12 transcript:ENSMUST00000027241.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1r1 description:interleukin 1 receptor, type I [Source:MGI Symbol;Acc:MGI:96545] MENMKVLLGLICLMVPLLSLEIDVCTEYPNQIVLFLSVNEIDIRKCPLTPNKMHGDTIIW YKNDSKTPISADRDSRIHQQNEHLWFVPAKVEDSGYYYCIVRNSTYCLKTKVTVTVLEND PGLCYSTQATFPQRLHIAGDGSLVCPYVSYFKDENNELPEVQWYKNCKPLLLDNVSFFGV KDKLLVRNVAEEHRGDYICRMSYTFRGKQYPVTRVIQFITIDENKRDRPVILSPRNETIE ADPGSMIQLICNVTGQFSDLVYWKWNGSEIEWNDPFLAEDYQFVEHPSTKRKYTLITTLN ISEVKSQFYRYPFICVVKNTNIFESAHVQLIYPVPDFKNYLIGGFIILTATIVCCVCIYK VFKVDIVLWYRDSCSGFLPSKASDGKTYDAYILYPKTLGEGSFSDLDTFVFKLLPEVLEG QFGYKLFIYGRDDYVGEDTIEVTNENVKKSRRLIIILVRDMGGFSWLGQSSEEQIAIYNA LIQEGIKIVLLELEKIQDYEKMPDSIQFIKQKHGVICWSGDFQERPQSAKTRFWKNLRYQ MPAQRRSPLSKHRLLTLDPVRDTKEKLPAATHLPLG >ENSMUSP00000110443.1 pep:known chromosome:GRCm38:1:40266586:40316201:1 gene:ENSMUSG00000026072.12 transcript:ENSMUST00000114795.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1r1 description:interleukin 1 receptor, type I [Source:MGI Symbol;Acc:MGI:96545] MKVLLGLICLMVPLLSLEIDVCTEYPNQIVLFLSVNEIDIRKCPLTPNKMHGDTIIWYKN DSKTPISADRDSRIHQQNEHLWFVPAKVEDSGYYYCIVRNSTYCLKTKVTVTVLENDPGL CYSTQATFPQRLHIAGDGSLVCPYVSYFKDENNELPEVQWYKNCKPLLLDNVSFFGVKDK LLVRNVAEEHRGDYICRMSYTFRGKQYPVTRVIQFITIDENKRDRPVILSPRNETIEADP GSMIQLICNVTGQFSDLVYWKWNGSEIEWNDPFLAEDYQFVEHPSTKRKYTLITTLNISE VKSQFYRYPFICVVKNTNIFESAHVQLIYPVPDFKNYLIGGFIILTATIVCCVCIYKVFK VDIVLWYRDSCSGFLPSKASDGKTYDAYILYPKTLGEGSFSDLDTFVFKLLPEVLEGQFG YKLFIYGRDDYVGEDTIEVTNENVKKSRRLIIILVRDMGGFSWLGQSSEEQIAIYNALIQ EGIKIVLLELEKIQDYEKMPDSIQFIKQKHGVICWSGDFQERPQSAKTRFWKNLRYQMPA QRRSPLSKHRLLTLDPVRDTKEKLPAATHLPLG >ENSMUSP00000027202.8 pep:known chromosome:GRCm38:1:57995971:58025899:1 gene:ENSMUSG00000026039.9 transcript:ENSMUST00000027202.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgol2a description:shugoshin-like 2a (S. pombe) [Source:MGI Symbol;Acc:MGI:1098767] MEYPGIKVDTVTSGIQRRVKGRIAKTNLNVSLASKIKAKILNNSSIFKISLKHNNRALAR ALSKEKENSRRITTEKMQLQKEVEKLNFENTFLRLKLNTLNKKLVEIESHVSNDLLTAIE ISSLSEFHQGSFLLSATKKQRNSKQCKPAHLPYARVLLTSENDDDDGADDKWQTKCNNRT ISKTSPDSTSSVSRQPSSLHQCNLKAFPPKEDNQKTCGSGHLEHTSSVDILPNESHSDQS PKSSLSEMKTAPSPSLRREKLSHGNVTMRKKCVSSTPDILYVTDLDHQPTSSPGSNWNNE IHGHTNETSNNTQRNAECFLDLPSESSSEPDAKRMELVQKNTDSFHFQKTVYDAADMELT ATDIGKIVAVSKSKKNQNKKKADCRKETFRKVKGASSDKKRESSKRECKDGSEVGAEEEA DAARAERGAGVLDGRGDSEEPNCISSTEQPSQVNTQKKRTLQNSSDQENIQNTKRRQTYT TDEQEETNPFSRHSVKFLQDGKFDLCQKTLHHNLSKPSRQTFVIRKSEKDNLFPNQEDKD TISENLEVTNEFHIDDLSIEANENVCDHETQTMLDLKKSVSAQQNQTKINKTKQKINRRT KIISVMSQVYEDNDKDIHVLEKDNFPFHTQANKETTSGNLESSKEFESPLLFTRDNGSLR DCKTQNVLDLHKQIPDLYPDRNESQISKIPRQKVNRKTEVISGVKCFSNDQGVHCSEKDK SLLLQKDKDFPGTLKDLSEFDTPAFCNKDSAKSCDYKSEMLLGLKKHDPNMQPACQDDSK AGKKLRQKVNRKTEIISKITQIHENDRGSTHDSLNKKLCQKVNISKIISQMNQIYETINE DGNGFKSSIKDCEDIKSCDFGEINSNKKENYDPIQDPCTLVKKTKRKGSCKAGSSLAGAK NRCGLQLTDSSQVQSVPLDSGLRHHPNEADSGPGEQTNLPKMQKQSAGRSLGDAFSVSLG KEGSRPAKAVSKMTPKSKKRKLPLGCSPETHGTVEITPNTDLAKAVDSQQTEKENYLEKE KIAKRKPDFCTKVLKPLSETCSSNIKNSSLDSMCKSSLPLSISSRKTLMLEESSSLESTC IFQVGDAAHEKITTGTRNPHHRTQKSTPGSRTSLVLVDTSSVSDTNPANPENESEGQSSH PMRRKRQCVPLNLTEPSLRSKMRR >ENSMUSP00000030980.7 pep:known chromosome:GRCm38:5:35557313:35575070:-1 gene:ENSMUSG00000029097.10 transcript:ENSMUST00000030980.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt44 description:tRNA methyltransferase 44 [Source:MGI Symbol;Acc:MGI:1926140] MEELGRVRLSDPDALLPAGFWAAVTVWLERPQVANKRLCGARMEARGRTLRSHAQAECGP RQGQGHGLEREPGQASPKGEPESGPRASREGTAPAADLNSLWDRVSQSLVHANPEMLAFL CGPSLGPQPEAAQELDLILRTVIPKASPHSPLTEPKKELVVQDVSSGSVTFLPLEEDNEG NLEVKTSNVYQLHLHHNEGEWFISVLIFCPERWHSDGVVYPKPAWLGEELLSKLARWAVE NRKSEFKSTLSLVSILRYSRMYQELKEKYRDMVKVWPEVTDPEKFVYEDVAIATYLLILW EEERAEKGVTTKQSFVDLGCGNGLLVHILSNEGHPGRGIDIRRRKIWDMYGPQTQLEEGS ITPSDETLFPGVDWLIGNHSDELTPWIPVIAARSSYTCRFFVLPCCFFDFVGRYQRQQSR KTQYREYLDFVLEVGLSCGFHVQEDCLRIPSTKRVCLIGKSRTYPPSAEVWMDEQRTRYL HSRQGHPQSRPGGAHAPSAPQTAAHDAGLQDSCRTVNAGSECVLEGLAAERGAGAPAPGL WVPGFCPREKAERVRNCAALPRDFVDQVVLQVANLLLDRKKFNTGNSEARSLKPWNGGGS LSLAEVAAELNSETLQRLKRECGGLQTLLKNSHQVFEVLNGRVHIRDWRQELQRGKPPEA KQNLSAAVFKTRICWFFAHHPDGCVLPAAQCPFAHGPEELRLSQTLKKQRQAP >ENSMUSP00000143908.1 pep:known chromosome:GRCm38:5:35572748:35574674:-1 gene:ENSMUSG00000029097.10 transcript:ENSMUST00000128195.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt44 description:tRNA methyltransferase 44 [Source:MGI Symbol;Acc:MGI:1926140] XLGPQPEAAQELDLILRTVIPKASPHSPLTEPKKELVVQDVSSGSVTFLPLEEDNEGNLE VKTSNVYQLHLHHNEGE >ENSMUSP00000142512.1 pep:known chromosome:GRCm38:3:137918506:137928219:1 gene:ENSMUSG00000091512.5 transcript:ENSMUST00000197064.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamtor3 description:late endosomal/lysosomal adaptor, MAPK and MTOR activator 3 [Source:MGI Symbol;Acc:MGI:1929467] MADDLKRFLYKKLPSVEGLHAIVVSDRDGVPVIKVANDSAPEHALRPGFLSTFALATDQG SKLGLSKNKSIICYYNTYQVVQFNRLPLVVSFIASSSANTGLIVSLEKELAPLFEELIKV VEVS >ENSMUSP00000130811.1 pep:known chromosome:GRCm38:3:137918526:137928765:1 gene:ENSMUSG00000091512.5 transcript:ENSMUST00000168345.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamtor3 description:late endosomal/lysosomal adaptor, MAPK and MTOR activator 3 [Source:MGI Symbol;Acc:MGI:1929467] MADDLKRFLYKKLPSVEGLHAIVVSDRDGVPVIKVANDSAPEHALRPGFLSTFALATDQG SKLGLSKNKSIICYYNTYQVVQFNRLPLVVSFIASSSANTGLIVSLEKELAPLFEELIKV VEVS >ENSMUSP00000143656.1 pep:known chromosome:GRCm38:3:137918572:137926814:1 gene:ENSMUSG00000091512.5 transcript:ENSMUST00000197817.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamtor3 description:late endosomal/lysosomal adaptor, MAPK and MTOR activator 3 [Source:MGI Symbol;Acc:MGI:1929467] MADDLKRFLYKKLPSVEGLHAIVVSDRDGVPVIKVA >ENSMUSP00000058175.6 pep:known chromosome:GRCm38:9:109552602:109568262:-1 gene:ENSMUSG00000074060.10 transcript:ENSMUST00000056745.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw15 description:F-box and WD-40 domain protein 15 [Source:MGI Symbol;Acc:MGI:3505701] MAIHLPCLPMMKILSYLDAYSLLQAAQVNKDWNELASSDVLWRKLCQKRWLYCDMDTLQL QGKETWKQFFIDRIWQERAKFRAKAKDFTYKEIPLMCGLFGYACYISGCGLTRKGQDKSV VCMVNSKNTISTWDVHKSVITWKSPEQPASIKLLTTLPEMHIAVTVDIQSTIKLWDCHNR EALATNNLKSPCKSLKAVFTKDGPIVLIGDTLGNIHIFRIPDLYLISTVNVLPYGFDGIY CSPQKKWVLLSKKHPHILPKVFYMSSFLRTSEFSAPVSTVLKLSLYERVFWTPRREDRIT LMSRSGFPQVKMFETYDIKLEEFGNKRIVKGKLIASFELQCHKVNPQRFGVSDKNVIVCS TESSLLLFDINGLRLKTFQYCPEMIVKLSVDPLHVIVICNTGSMDVYAWEERSLLLRKCY RLHIERPLPLYGFIYKAACDDVSIIQLITDELSLSSLTSYALNICS >ENSMUSP00000143385.1 pep:known chromosome:GRCm38:9:109552609:109568250:-1 gene:ENSMUSG00000074060.10 transcript:ENSMUST00000198397.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw15 description:F-box and WD-40 domain protein 15 [Source:MGI Symbol;Acc:MGI:3505701] MAIHLPCLPMMKILSYLDAYSLLQAAQVNKDWNELASSDVLWRKLCQKRWLYCDMDTLQL QGKETWKQFFIDRIWQERAKFRAKAKDFTYKEIPLMCGLFGYACYISGCGLTRKGQDKSV VCMVNSKNTISTWDVHKSVITWKSPEQPASIKLLTTLPEMHIAVTVDIQSTIKLWDCHNR EALATNNLKSPCKSLKAVFTKDGPIVLDPLHVIVICNTGSMDVYAWEERSLLLRKCYRLH IERPLPLYGFIYKAACDDVSIIQLITDELSLSSLTSYALNICS >ENSMUSP00000142894.1 pep:known chromosome:GRCm38:9:109557159:109568248:-1 gene:ENSMUSG00000074060.10 transcript:ENSMUST00000198112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw15 description:F-box and WD-40 domain protein 15 [Source:MGI Symbol;Acc:MGI:3505701] MAIHLPCLPMMKILSYLDAYSLLQAAQVNKDWNELASSDVLWRKLCQKRWLYCDMDTLQL QGKETWKQFFIDRIWQERAKFRAKSVITWKSPEQPASIKLLTTLPEMHIAVTVDIQSTIK LWDCHNREALATNNLKSPCKSLKAVFTKDGPIVLIGDTLGNIHIFRIPDLYLISTVNVLP YGFDGIYCSPQKKWVLLSKKHPHILPKVFYMSSFLRTSEFSAPVSTVLKLSLYERVFWTP RREDRITLMS >ENSMUSP00000069013.5 pep:known chromosome:GRCm38:7:133776861:133881532:1 gene:ENSMUSG00000053111.12 transcript:ENSMUST00000065359.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fank1 description:fibronectin type 3 and ankyrin repeat domains 1 [Source:MGI Symbol;Acc:MGI:1914180] MEPHKVVPLSKPHPPVVGKVTHHSIELYWDLEQKEKRQGPQEQWLRFSIEEEDPKMHSYG VIYTGYATRHVVEGLEPRTLYKFRLKVTSPSGEYEYSPVVSVATTREPISSEHFHRAVSV NDEDLLLRILEGGHVMIDVPNKFGFTALMVAAQKGYTRLVKILVSNGTDVNLKNGSGKDS LMLACYAGHLDVVKYLRRHGASWEARDLGGCTALHWAADGGHCSVIDWMIKDGCEVDVVD TGSGWTPLMRVSAVTGSQKVASLLIEAGADVNIKDKDGKTPLMVAVLNNHEQLVQLLLDK GADATVKNEFGKGVLEMARVFDRQNVLSLLEEKKKKMPRKSSVH >ENSMUSP00000119929.1 pep:known chromosome:GRCm38:7:133776891:133876831:1 gene:ENSMUSG00000053111.12 transcript:ENSMUST00000151031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fank1 description:fibronectin type 3 and ankyrin repeat domains 1 [Source:MGI Symbol;Acc:MGI:1914180] MHSYGVIYTGYATRHVVEGLEPRTLYKFRLKVTSPSGEYEYSPVVSVATTREPISSEHFH RAVSVNDEDLLLRILEGGHVMIDVPNKFGFTALMVAAQKGYTRLVKILVSNGTDVNLKNG SGKDSLMLACYAGHLDVVKYLRRHGASWEARDL >ENSMUSP00000114136.1 pep:known chromosome:GRCm38:7:133776942:133880865:1 gene:ENSMUSG00000053111.12 transcript:ENSMUST00000121560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fank1 description:fibronectin type 3 and ankyrin repeat domains 1 [Source:MGI Symbol;Acc:MGI:1914180] MEPHKVVPLSKPHPPVVGKVTHHSIELYWDLEQKEKRQGPQEQWLRFSIEEEDPKMHSYG VIYTMYFPYWKKRKKRCQGSPLSTEQSATHLRDRLERTANCDHRAEHVTCSISEDEVFIY LVEDSQ >ENSMUSP00000105799.1 pep:known chromosome:GRCm38:2:131950861:131956130:1 gene:ENSMUSG00000027338.16 transcript:ENSMUST00000110170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prnd description:prion protein dublet [Source:MGI Symbol;Acc:MGI:1346999] MKNRLGTWWVAILCMLLASHLSTVKARGIKHRFKWNRKVLPSSGGQITEARVAENRPGAF IKQGRKLDIDFGAEGNRYYAANYWQFPDGIYYEGCSEANVTKEMLVTSCVNATQAANQAE FSREKQDSKLHQRVLWRLIKEICSAKHCDFWLERGAALRVAVDQPAMVCLLGFVWFIVK >ENSMUSP00000105801.2 pep:known chromosome:GRCm38:2:131950862:131956130:1 gene:ENSMUSG00000027338.16 transcript:ENSMUST00000110172.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prnd description:prion protein dublet [Source:MGI Symbol;Acc:MGI:1346999] MKNRLGTWWVAILCMLLASHLSTVKARGIKHRFKWNRKVLPSSGGQITEARVAENRPGAF IKQGRKLDIDFGAEGNRYYAANYWQFPDGIYYEGCSEANVTKEMLVTSCVNATQAANQAE FSREKQDSKLHQRVLWRLIKEICSAKHCDFWLERGAALRVAVDQPAMVCLLGFVWFIVK >ENSMUSP00000105800.2 pep:known chromosome:GRCm38:2:131950862:131956130:1 gene:ENSMUSG00000027338.16 transcript:ENSMUST00000110171.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prnd description:prion protein dublet [Source:MGI Symbol;Acc:MGI:1346999] MKNRLGTWWVAILCMLLASHLSTVKARGIKHRFKWNRKVLPSSGGQITEARVAENRPGAF IKQGRKLDIDFGAEGNRYYAANYWQFPDGIYYEGCSEANVTKEMLVTSCVNATQAANQAE FSREKQDSKLHQRVLWRLIKEICSAKHCDFWLERGAALRVAVDQPAMVCLLGFVWFIVK >ENSMUSP00000105798.1 pep:known chromosome:GRCm38:2:131951037:131956130:1 gene:ENSMUSG00000027338.16 transcript:ENSMUST00000110169.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prnd description:prion protein dublet [Source:MGI Symbol;Acc:MGI:1346999] MKNRLGTWWVAILCMLLASHLSTVKARGIKHRFKWNRKVLPSSGGQITEARVAENRPGAF IKQGRKLDIDFGAEGNRYYAANYWQFPDGIYYEGCSEANVTKEMLVTSCVNATQAANQAE FSREKQDSKLHQRVLWRLIKEICSAKHCDFWLERGAALRVAVDQPAMVCLLGFVWFIVK >ENSMUSP00000091878.3 pep:known chromosome:GRCm38:5:123930679:123969895:1 gene:ENSMUSG00000061882.12 transcript:ENSMUST00000094320.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc62 description:coiled-coil domain containing 62 [Source:MGI Symbol;Acc:MGI:2684996] MRSSEGAPSWAVALPPPLRPCAYGVSEVTRCWHQLSLGAGESSMNPSATLYRRQNIGSEV ETSTIEKQRKELQLLIGELKDRDKELNDMVAVHQRQLLSWEEDRQKVLTLEERCSKLEGE LHKRTDIIKSLMKKVKTLESNQAECQTALQKTQQQLQEMAQKATHSTLLSEDLEARNENL SSTLVDLSAQVGQLQAREQALTTMIKLKDKDIIEAVNHISDCSGKFKLLEHALRDAKMAE TCVVREKQDYKQKLKALRIEVNKLKEDLNEKTTENNEQREEIIRLKQEKSCLHDELIFTV EREKRKDELLDIAKSKQDRTNSELQNLRQIYVKQQSDLQFLNFNIESSQELIQIHGLKME EPKALECSKDMCLSDLDNNYPKIDIKRERNQKSLVKDQTFEVMLAQHNGSDKSSCDACRE KKLQVNTALGEKSVIALSSLFTKDLLDKQKSWSLGGKIQTEPENKVTLCKVHAKSPKCDG VGLPTEEKQLSETSVSLSDEKQWHDINVYLGLSSCSKQPDRLDGDGHDRTGTSEVSCCTP NVVCIGDNDLSESKCCHPSNIIIEAPGHMTDTEWMNIFKPSRAQRIVRHKTMCTCSRSVS AMKYNSSASELIGMQPSQCVGSLKSAEREEESAALPDRRTSANEKDDFSPTSKLQRLLAE SRQMVTDLELSTLLPISCENLNRSKLEVSEEPDEKTTLVSH >ENSMUSP00000127483.1 pep:known chromosome:GRCm38:5:123930689:123969895:1 gene:ENSMUSG00000061882.12 transcript:ENSMUST00000165148.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc62 description:coiled-coil domain containing 62 [Source:MGI Symbol;Acc:MGI:2684996] MRSSEGAPSWAVALPPPLRPCAYGVSEVTRCWHQLSLGAGESSMNPSATLYRRQNIGSEV ETSTIEKQRKELQLLIGELKDRDKELNDMVAVHQRQLLSWEEDRQKVLTLEERCSKLEGE LHKRTDIIKSLMKKVKTLESNQAECQTALQKTQQQLQEMAQKATHSTLLSEDLEARNENL SSTLVDLSAQVGQLQAREQALTTMIKLKDKDIIEAVNHISDCSGKFKLLEHALRDAKMAE TCVVREKQDYKQKLKALRIEVNKLKEDLNEKTTENNEQREEIIRLKQEKSCLHDELIFTV EREKRKDELLDIAKSKQDRTNSELQNLRQIYVKQQSDLQFLNFNIESSQELIQIHGLKME EPKALECSKDMCLSDLDNNYPKIDIKRERNQKSLVKDQTFEVMLAQHNGSDKSSCDACRE KKLQVNTALGEKSVIALSSLFTKDLLDKQKSWSLGGKIQTEPENKVTLCKVHAKSPKCDG VGLPTEEKQLSETSVSLSDEKQWHDINVYLGLSSCSKQPDRLDGDGHDRTGTSEVSCCTP NVVCIGDNDLSESKCCHPSNIIIEAPGHMTDTEWMNIFKPSRAQRIVRHKTMCTCSRSVS AMKYNSSASELIGMQPSQCVGSLKSAEREEESAALPDRRTSANEKDDFSPTSKLQRLLAE SRQMVTDLELSTLLPISCENLNRSKLEVSEEPDEKTTLVSH >ENSMUSP00000097294.2 pep:known chromosome:GRCm38:13:23746790:23747241:1 gene:ENSMUSG00000075031.3 transcript:ENSMUST00000099703.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bb description:histone cluster 1, H2bb [Source:MGI Symbol;Acc:MGI:2448377] MPEPSKSAPAPKKGSKKAISKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000060732.2 pep:known chromosome:GRCm38:3:67457998:67463926:-1 gene:ENSMUSG00000047557.2 transcript:ENSMUST00000058981.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lxn description:latexin [Source:MGI Symbol;Acc:MGI:107633] MEIPPTHYAASRAASVAENCINYQQGTPHKLFLVQTVQQASKEDIPGRGHKYHLKFSVEE IIQKQVTVNCTAEVLYPQMGQGSAPEVNFTFEGEIGKNPDEEDNTFYQSLMSLKRPLEAQ DIPDNFGNVSPQMKPVQHLAWVACGYVMWQNSTEDTWYKMLKIQTVKQVQRNDDFIELDY TILLHDIASQEIIPWQMQVLWHPQYGTKVKHNSRLPKEGQAE >ENSMUSP00000137616.1 pep:known chromosome:GRCm38:1:54645340:54926387:-1 gene:ENSMUSG00000052331.14 transcript:ENSMUST00000179030.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd44 description:ankyrin repeat domain 44 [Source:MGI Symbol;Acc:MGI:3045243] MAVLKLCEQPPLVQAIFSGDPEEIRMLIHKTEDVNALDSEKRTPLHVAAFLGDAEIIELL ILSGARVNAKDNMWLTPLHRAVASRSEEAVQVLIKHSADVNARDKNWQTPLHVAAANKAV KCAEVIIPLLSSVNVSDRGGRTALHHAALNGHMEMVNLLLAKGANINAFDKKDRRALHWA AYMGHLDVVALLINHGAEVTCKDKKGYTPLHAAASNGQISVVKHLLNLGVEIDEINVYGN TALHIACYNGQDAVVNELIDYGANVNQPNNSGFTPLHFAAASTHGALCLELLVNNGADVN IQSKDGKSPLHMTAVHGRFTRSQTLIQNGGEIDCVDKDGNTPLHVAARHGHELLINTLIT SGADTAKCGIHSMFPLHLAALNAHSDCCRKLLSSGFEIDTPDTFGRTCLHAAAAGGNVEC IKLLQSSGADFHKKDKCGRTPLHYAAANCHFHCIKALVTTGANVNETDDWGRTALHYAAA SDMDRNKMILGNAHDNSEELERAREVKEKDAALCLEFLLQNDANPSIRDKEGYNSIHYAA AYGHRQCLELLLERTNTGFEESDGGALKSPLHLAAYNGHHQALEVLLQSLVDLDIRDEKG RTALYLAAFKGHTECVEALVNQGASIFVKDNVTKRTPLHASVINGHTLCLRLLLETADNP EVVDVKDAKGQTPLMLAVAYGHIDAVSLLLEKEANVDAVDIVGCTALHRGIMTGHEECVQ MLLEQEASILCKDSRGRTPLHYAAARGHATWLNELLQIALSEEDCCLKDNQGYTPLHWAC YNGNENCIEVLLEQKCFRKFIGNPFTPLHCAIINGHESCASLLLGAIDPSIVSCRDDKGR TTLHAAAFGDHAECLQLLLRHDAQVNAVDNSGKTALMMAAENGQAGAVDILVNSAQADLT VKDKDLNTPLHLAISKGHEKCALLILDKIQDESLINAKNSALQTPLHIAARNGLKVVVEE LLAKGACVLAVDENASRSNGPRSPPGTAVRKEE >ENSMUSP00000136831.1 pep:known chromosome:GRCm38:1:54649097:54657687:-1 gene:ENSMUSG00000052331.14 transcript:ENSMUST00000178156.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd44 description:ankyrin repeat domain 44 [Source:MGI Symbol;Acc:MGI:3045243] XESCASLLLGAIDPSIVSCRDDKGRTTLHAAAFGDHAECLQLLLRHDAQVNAVDNSGKTA LMMAAENGQAGAVDILVNSAQADLTVKDKDLNTPLHLAISKGHEKCALLILDKIQDESLI NAKNSALQTPLHIAARNGLKVVVEELLAKGACVLAVDENGHTPALACAPNKDVADCLALI LATMMTFSPSSTMTAVNFVCFRKDNLSRMTHSNLGSRVSLCSNNVGSEDGYNENDSDSET F >ENSMUSP00000136802.1 pep:known chromosome:GRCm38:1:54651669:54763728:-1 gene:ENSMUSG00000052331.14 transcript:ENSMUST00000178226.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd44 description:ankyrin repeat domain 44 [Source:MGI Symbol;Acc:MGI:3045243] KKGYTPLHAAASNGQISVVKHLLNLGVEIDEINVYGNTALHIACYNGQDAVVNELIDYGA NVNQPNNSGFTPLHFAAASTHGALCLELLVNNGADVNIQSKDGKSPLHMTAVHGRFTRSQ TLIQNGGEIDCVDKDGNTPLHVAARHGHELLINTLITSGADTAKCGIHSMFPLHLAALNA HSDCCRKLLSSGQKYSIVSLFSNEHVLSAGFEIDTPDTFGRTCLHAAAAGGNVECIKLLQ SSGADFHKKDKCGRTPLHYAAANCHFHCIKALVTTGANVNETDDWGRTALHYAAASDMDR NKMILGNAHDNSEELERAREVKEKDAALCLEFLLQNDANPSIRDKEGYNSIHYAAAYGHR QCLELLLERTNTGFEESDGGALKSPLHLAAYNGHHQALEVLLQSLVDLDIRDEKGRTALY LAAFKGHTECVEALVNQGASIFVKDNVTKRTPLHASVINGHTLCLRLLLETADNPEVVDV KDAKGQTPLMLAVAYGHIDAVSLLLEKEANVDAVDIVGCTALHRGIMTGHEECVQMLLEQ EASILCKDSRGRTPLHYAAARGHATWLNELLQIALSEEDCCLKDNQGYTPLHWACYNGNE NCIEVLLEQKCFRKFIGNPFTPLHCAIINGHESCASLLLGAIDPSIVSCRDDKGRTTLHA AAFGDHAECLQLLLRHDAQVNAVDNSGKTALMMAAENGQAGAVDILVNSAQADLTVKDKD LNTPLHLAISKGHEKCALLILDKIQDESLINAKNSALQTPLHIAARNGLKVVVEELLAKG ACVLAVDEN >ENSMUSP00000137216.1 pep:known chromosome:GRCm38:1:54766792:54884711:-1 gene:ENSMUSG00000052331.14 transcript:ENSMUST00000177679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd44 description:ankyrin repeat domain 44 [Source:MGI Symbol;Acc:MGI:3045243] MLIHKTEDVNALDSEKRTPLHVAAFLGDAEIIELLILSGARVNAKDNMWLTPLHRAVASR SEEAVQVLIKHSADVNARDKNWQTPLHVAAANKAVKCAEVIIPLLSSVNVSDRGGRTALH HAALNG >ENSMUSP00000040327.9 pep:known chromosome:GRCm38:1:54645342:54926387:-1 gene:ENSMUSG00000052331.14 transcript:ENSMUST00000044359.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd44 description:ankyrin repeat domain 44 [Source:MGI Symbol;Acc:MGI:3045243] MAVLKLCEQPPLVQAIFSGDPEEIRMLIHKTEDVNALDSEKRTPLHVAAFLGDAEIIELL ILSGARVNAKDNMWLTPLHRAVASRSEEAVQVLIKHSADVNARDKNWQTPLHVAAANKAV KCAEVIIPLLSSVNVSDRGGRTALHHAALNGHMEMVNLLLAKGANINAFDKKDRRALHWA AYMGHLDVVALLINHGAEVTCKDKKGYTPLHAAASNGQISVVKHLLNLGVEIDEINVYGN TALHIACYNGQDAVVNELIDYGANVNQPNNSGFTPLHFAAASTHGALCLELLVNNGADVN IQSKDGKSPLHMTAVHGRFTRSQTLIQNGGEIDCVDKDGNTPLHVAARHGHELLINTLIT SGADTAKCGIHSMFPLHLAALNAHSDCCRKLLSSGQKYSIVSLFSNEHVLSAGFEIDTPD TFGRTCLHAAAAGGNVECIKLLQSSGADFHKKDKCGRTPLHYAAANCHFHCIKALVTTGA NVNETDDWGRTALHYAAASDMDRNKMILGNAHDNSEELERAREVKEKDAALCLEFLLQND ANPSIRDKEGYNSIHYAAAYGHRQCLELLLERTNTGFEESDGGALKSPLHLAAYNGHHQA LEVLLQSLVDLDIRDEKGRTALYLAAFKGHTECVEALVNQGASIFVKDNVTKRTPLHASV INGHTLCLRLLLETADNPEVVDVKDAKGQTPLMLAVAYGHIDAVSLLLEKEANVDAVDIV GCTALHRGIMTGHEECVQMLLEQEASILCKDSRGRTPLHYAAARGHATWLNELLQIALSE EDCCLKDNQGYTPLHWACYNGNENCIEVLLEQKCFRKFIGNPFTPLHCAIINGHESCASL LLGAIDPSIVSCRDDKGRTTLHAAAFGDHAECLQLLLRHDAQVNAVDNSGKTALMMAAEN GQAGAVDILVNSAQADLTVKDKDLNTPLHLAISKGHEKCALLILDKIQDESLINAKNSAL QTPLHIAARNGLKVVVEELLAKGACVLAVDENASRSNGPRSPPGTAVRKEE >ENSMUSP00000028499.4 pep:known chromosome:GRCm38:2:83724397:83806916:1 gene:ENSMUSG00000027087.11 transcript:ENSMUST00000028499.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgav description:integrin alpha V [Source:MGI Symbol;Acc:MGI:96608] MAAPGRLLLRPRPGGLLLLLPGLLLPLADAFNLDVESPAEYAGPEGSYFGFAVDFFEPST SSRMFLLVGAPKANTTQPGIVEGGQVLKCECSSSRRCQPIEFDSTGNRDYAKDDPLEFKS HQWFGASVRSKQDKILACAPLYHWRTEMKQEREPVGTCFLQDGTKTVEYAPCRSKNIDAD GQGFCQGGFSIDFTKADRVLLGGPGSFYWQGQLISDQVAEIISKYDPNVYSIKYNNQLAT RTAQAIFDDSYLGYSVAVGDFNGDGIEDFVSGVPRAARTLGMVYIYDGKNMSSLHNFTGE QMAAYFGFSVAATDINGDDYADVFIGAPLFMDRGSDGKLQEVGQVSVSLQRAVGDFQTTK LNGFEVFARFGSAIAPLGDLDQDGFNDIAIAAPYGGEDKKGLVYIFNGRSTGLNSVPSQI LEGQWAAQSMPPSFGYSMKGATDVDRNGYPDLVVGAFGVDRAVLYRARPVVTVNAGLEVY PSILNQDNKICPLPGTALKVSCFNVRFCLKADGKGTLPRKLHFQVELLLDKLKQKGAIRR ALFLHNRSPVHSKTMTVFRGGQMQCEELVAYLRDESEFRDKLTPITIFMEYRLDQRTAAD ATGLQPILNQFTPANVSRQAHILLDCGEDNVCKPKLEVSVNSDQKKIYIGDDNPLTLTVK AQNQGEGAYEAELIVSIPPQADFIGVVRNNEALARLSCAFKTENQTRQVVCDLGNPMKAG TQLLAGLRFSVHQQSEMDTSVKFDLKIQSSNSFDNVSPVVSYKVDLAVLAAVEIRGVSSP DHIFLPIPNWEYKENPETEEDVGPIVQHIYELRNNGPSSFSKAILNLQWPYKYNNNTLLY ILHYDIDGPMNCTADTEINPLRIKTPEKNDTAAAGQGERNHLITKRDLTLREGDVHTLGC GIAKCLQITCQVGRLDRGKSAILYVKSLLWTETFMNKENQNHSYSLKSSASFNIIEFPYK NLPIEDLFNSTLVTTNITWGIQPAPMPVPVWVIILAVLAGLLLLAVLVFVMYRMGFFKRV RPPQEEQEREQLQPHENGEGNSET >ENSMUSP00000107369.2 pep:known chromosome:GRCm38:2:83724555:83806916:1 gene:ENSMUSG00000027087.11 transcript:ENSMUST00000111740.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgav description:integrin alpha V [Source:MGI Symbol;Acc:MGI:96608] MAAPGRLLLRPRPGGLLLLLPGLLLPLADAFNLDVESPAEYAGPEGSYFGFAVDFFEPST SSRMFLLVGAPKANTTQPGIVEGGQVLKCECSSSRRCQPIEFDSTGNRDYAKDDPLEFKS HQWFGASVRSKQDKILACAPLYHWRTEMKQEREPVGTCFLQDGTKTVEYAPCRSSQLISD QVAEIISKYDPNVYSIKYNNQLATRTAQAIFDDSYLGYSVAVGDFNGDGIEDFVSGVPRA ARTLGMVYIYDGKNMSSLHNFTGEQMAAYFGFSVAATDINGDDYADVFIGAPLFMDRGSD GKLQEVGQVSVSLQRAVGDFQTTKLNGFEVFARFGSAIAPLGDLDQDGFNDIAIAAPYGG EDKKGLVYIFNGRSTGLNSVPSQILEGQWAAQSMPPSFGYSMKGATDVDRNGYPDLVVGA FGVDRAVLYRARPVVTVNAGLEVYPSILNQDNKICPLPGTALKVSCFNVRFCLKADGKGT LPRKLHFQVELLLDKLKQKGAIRRALFLHNRSPVHSKTMTVFRGGQMQCEELVAYLRDES EFRDKLTPITIFMEYRLDQRTAADATGLQPILNQFTPANVSRQAHILLDCGEDNVCKPKL EVSVNSDQKKIYIGDDNPLTLTVKAQNQGEGAYEAELIVSIPPQADFIGVVRNNEALARL SCAFKTENQTRQVVCDLGNPMKAGTQLLAGLRFSVHQQSEMDTSVKFDLKIQSSNSFDNV SPVVSYKVDLAVLAAVEIRGVSSPDHIFLPIPNWEYKENPETEEDVGPIVQHIYELRNNG PSSFSKAILNLQWPYKYNNNTLLYILHYDIDGPMNCTADTEINPLRIKTPEKNDTAAAGQ GERNHLITKRDLTLREGDVHTLGCGIAKCLQITCQVGRLDRGKSAILYVKSLLWTETFMN KENQNHSYSLKSSASFNIIEFPYKNLPIEDLFNSTLVTTNITWGIQPAPMPVPVWVIILA VLAGLLLLAVLVFVMYRMGFFKRVRPPQEEQEREQLQPHENGEGNSET >ENSMUSP00000122730.1 pep:known chromosome:GRCm38:2:83724452:83747944:1 gene:ENSMUSG00000027087.11 transcript:ENSMUST00000141725.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgav description:integrin alpha V [Source:MGI Symbol;Acc:MGI:96608] MAAPGRLLLRPRPGGLLLLLPGLLLPLADAFNLDVESPAEYAGPEGSYFGFAVDFFEPST SSMFLLVGAPKANTTQPGIVEGGQVLKCECSSSRRCQPIEFDSTGNRDYAKDDPLEFKSH QWFGASVRSKQDKIL >ENSMUSP00000118016.1 pep:known chromosome:GRCm38:2:83801849:83803985:1 gene:ENSMUSG00000027087.11 transcript:ENSMUST00000125402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgav description:integrin alpha V [Source:MGI Symbol;Acc:MGI:96608] XKSLLWTETFMNKENQNHSYSLKSSASFNIIEFPYKNLPIEDLFNSTLVTTNITWGIQPA PMPVPVWVIILAVLAGLLLLAVLVFVMYRMGFFKRVRPPQEEQEREQLQPHENGEGNSET >ENSMUSP00000121295.1 pep:known chromosome:GRCm38:2:83802921:83806916:1 gene:ENSMUSG00000027087.11 transcript:ENSMUST00000131192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgav description:integrin alpha V [Source:MGI Symbol;Acc:MGI:96608] XPVPVWVIILAVLAGLLLLAVLVFVMYRMGFFKRVRPPQEEQEREQLQPHENGEGNSET >ENSMUSP00000077477.1 pep:known chromosome:GRCm38:13:23751126:23751598:1 gene:ENSMUSG00000061615.2 transcript:ENSMUST00000078369.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ab description:histone cluster 1, H2ab [Source:MGI Symbol;Acc:MGI:2448306] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000088833.6 pep:known chromosome:GRCm38:2:131909928:131938429:1 gene:ENSMUSG00000079037.9 transcript:ENSMUST00000091288.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prnp description:prion protein [Source:MGI Symbol;Acc:MGI:97769] MANLGYWLLALFVTMWTDVGLCKKRPKPGGWNTGGSRYPGQGSPGGNRYPPQGGTWGQPH GGGWGQPHGGSWGQPHGGSWGQPHGGGWGQGGGTHNQWNKPSKPKTNLKHVAGAAAAGAV VGGLGGYMLGSAMSRPMIHFGNDWEDRYYRENMYRYPNQVYYRPVDQYSNQNNFVHDCVN ITIKQHTVTTTTKGENFTETDVKMMERVVEQMCVTQYQKESQAYYDGRRSSSTVLFSSPP VILLISFLIFLIVG >ENSMUSP00000147194.1 pep:known chromosome:GRCm38:7:108158918:108159871:-1 gene:ENSMUSG00000108995.1 transcript:ENSMUST00000208296.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr485 description:olfactory receptor 485 [Source:EntrezGene;Acc:258041] MISYGFPGQWNHTAVTEFILLGLTDDPVLRVILFSIILCIYLVTVSGNLSTFLLIRVSSQ LHHPMYFFLSHLASVDMGLSSSVTPNMLVNFLTERHSISYLGCGIQLSSAAFFGAVEFFL LAVMAYDRFIAICNPLLYSTKLSTQVCIQLVVGSYVGGFLNASFVTHFFFSFFFCGPNRV NYFFCDFPPMMELSCSDVSVSGIVISFTAGSISMTTLFVIVISYFYILITILKMHSTEGR QKAFSTCTSHLTAVTLSYGTATFIYVMPKSTYSGDQNKVVSVFYTVAIPMLNPLIYSLRN NEIKDALKRQFYRKTLL >ENSMUSP00000124880.1 pep:known chromosome:GRCm38:2:10126281:10131396:-1 gene:ENSMUSG00000037254.18 transcript:ENSMUST00000161909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih2 description:inter-alpha trypsin inhibitor, heavy chain 2 [Source:MGI Symbol;Acc:MGI:96619] MSSKMQRPVCLLIWLFLLEAQAFEIPINGNSEFAEYSDLVELAPDKLPFVQENGRHQRSL PEESGEETDTVDPVTLYSYKV >ENSMUSP00000046530.7 pep:known chromosome:GRCm38:2:10094593:10130663:-1 gene:ENSMUSG00000037254.18 transcript:ENSMUST00000042290.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih2 description:inter-alpha trypsin inhibitor, heavy chain 2 [Source:MGI Symbol;Acc:MGI:96619] MSSKMQRPVCLLIWLFLLEAQAFEIPINGNSEFAEYSDLVELAPDKLPFVQENGRHQRSL PEESGEETDTVDPVTLYSYKVQSTITSRVATTTIQSKLVNNSPLPQSVVFDVQIPKGAFI SNFTMTVNGMTFTSSIKEKTVGRALYSQARAKGKTAGWVRSRTLDMENFNTEVNIPPGAK VQFELHYQEVKWRKLGSYEHKIHLQPGKLAKHLEVNVWIIEPQGMRFLHVPDTFEGHFQG VPVISKGQQKAHVSFKPTVAQQRKCPNCTETAVNGELVVMYDVNREEKAGELEVFNGYFV HFFAPENLDPIPKNILFVIDVSGSMWGIKMKQTVEAMKTILDDLRTDDQFSVVDFNHNVR TWRNDLVSATKTQIADAKRYIEKIQPSGGTNINEALLRAIFILNEASNMGLLNPDSVSLI ILVSDGDPTVGELKLSKIQKNVKQSIQDNISLFSLGIGFDVDYDFLKRLSNENRGIAQRI YGNQDTSSQLKKFYNQVSTPLLRNVQFNYPQASVTDVTQNNFHNYFGGSEIVVAGKFDPS KLTEVQSIITATSANTELVLETLSQMDDLEEFLSKDKHADPDFTKKLWAYLTINQLLAER SLAPTAAIKRKITKTILQMSLDHHIVTPLTAMVIENDAGDERMLADSPPQDHSCCSGALY YGTKVASGPIPSWANPSPTPMSAMLAVGAKPLESTPPTHLNQVENDPHFIIYLPKSKRNI CFNIDSEPGKILSLVSDPESGIVVNGQLIGAKRAENGKLSTYFGKLGFYFQKEGMKIEIS TETITLSSGSSTSRLSWSDTAHLGNSRVLISVKKEKSVTLTLNKELFFSVLLHRVWRKHP VNVDFLGIYAPPIDKFSPRVHGLLGQFMQEPAIHIFNERPGKEPGKPEASMEVKGHKLTV TRGLQKDYRTDIVFGTDVPCWFVHNSGKGFIDGHYKDYFVPQLYSFLKRP >ENSMUSP00000124636.1 pep:known chromosome:GRCm38:2:10108873:10131075:-1 gene:ENSMUSG00000037254.18 transcript:ENSMUST00000155809.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih2 description:inter-alpha trypsin inhibitor, heavy chain 2 [Source:MGI Symbol;Acc:MGI:96619] MSSKMQRPVCLLIWLFLLEAQAFEIPINGNSEFAEYSDLVELAPDKLPFVQENGRHQRSL PEESGEETDTVDPVTLYSYKVQSTITSRVATTTIQSKLVNNSPLPQSVVFDVQIPKGAFI SNFTMTVNGMTFTSSIKEKTVGRALYSQARAKGKTAGWVRSRTLDMENFNTEVNIPPGAK VQFELHYQEVKWRKLGSYEHKIHLQPGKLAKHLEVNVWIIEPQGMRFLHVPDTFEGHFQG VPVISKGQQKAHVSFKPTVAQQRKCPNCTETAVNGELVVMYDVNREEKAGELEVFNGYFV HFFAPENLDPIPKNILFVIDVSGSMWGIKMKQTVEAMKTILDDLRTDDQFSVVDFNHNVR TWRNDLVSATKTQIADAKRYIEKIQPSGGTNINEALLRAIFILNEASNMGLLNPDSVSLI ILVSDGDPTVAAMGEMTAGLDNLSINHFFPYL >ENSMUSP00000049882.4 pep:known chromosome:GRCm38:2:18672423:18676231:1 gene:ENSMUSG00000051154.11 transcript:ENSMUST00000061158.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd3 description:COMM domain containing 3 [Source:MGI Symbol;Acc:MGI:88218] MELSESVQRGIQTLADPGSFDSNAFALLLRAAFQSLLDARADEAALDHPYLKQIDPVVLK HCHAAAATCILEAGKHQVDKSTLSTYLEDCKFDRERIELFCTEYQNNKNSLETLLGSIGR SLPHITDVSWRLEYQIKTNQLHKMYRPGYLVTLNVENNDSQSYPEINFSCNMEQLQDLVG KLKDASKSLERATQL >ENSMUSP00000127385.1 pep:known chromosome:GRCm38:2:18672384:18676230:1 gene:ENSMUSG00000051154.11 transcript:ENSMUST00000171845.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd3 description:COMM domain containing 3 [Source:MGI Symbol;Acc:MGI:88218] MELSESVQRGIQTLADPGSFDSNAFALLLRAAFQSLLDARADEAALDHPYLKQIDPVVLK HCHAAAATCILEAGKHQVDKSTLSTYLEDCKFDRERIELFCTEYQNNKNSLETLLGSIGR SLPHITDVSWRLEYQIKTNQLHKMYRPGYLVTLNVENNDSQSYPEINFSCNMEQLQDLVG KLKDASKSLERATQL >ENSMUSP00000079039.1 pep:known chromosome:GRCm38:19:13313360:13314283:-1 gene:ENSMUSG00000062199.1 transcript:ENSMUST00000080142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1465 description:olfactory receptor 1465 [Source:MGI Symbol;Acc:MGI:3031299] MENNTEVTHFLLLGLTDDPELQLPLFMILLIIYTITLVGNLGKILLIFLDSCLHTPMYFF LGNLSLVDFCYSSDVTPKVMSGLLKGDKVISYNGCAAQMFFFVAFATVENFLLASMAYDR YAAVCKPLHYATTMTSGVCVCLSIGSYACGFLIASIHIGDTFNLSFCRSNVVHHFFCDIP AVMILSCSDRHVSELVLFYVGSFSIFFSVLVICISYIFIFITIFKMHSDAGYGKAVSTCA AHFTAVSIFYGTGIFMYLQPSSSHSMDTDKITSVFYTMIIPMLNPLVYSMRNKEVKRAFT NVFHKAK >ENSMUSP00000143501.1 pep:known chromosome:GRCm38:5:123927418:123938388:1 gene:ENSMUSG00000105875.1 transcript:ENSMUST00000166129.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm43518 description:predicted gene 43518 [Source:MGI Symbol;Acc:MGI:5663655] MVAVHQRQLLSWEEDRQKVLTLEERCSKLEGELHKRTDIIKSLMKKVKTLESNQAECQTA LQKTQQQLQEMAQKATHSTLLSEDLEARNENLSSTLVDLSAQVGQLQAREQALTTMIKLK VIEMPYIHLRALCSLQSSPHTPSFPKCLAAQRQLPGLLTSPSALT >ENSMUSP00000071918.3 pep:known chromosome:GRCm38:7:108171798:108172742:-1 gene:ENSMUSG00000096068.1 transcript:ENSMUST00000072035.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr486 description:olfactory receptor 486 [Source:MGI Symbol;Acc:MGI:3030320] MAFIYNGSQTTVTEFILLGLTDDPVLKVILFCIILCIYLVTVFGNLSTILLIGVSSKLHH PMYFFLSHLASVDMGLSSSVTPNMLVNFLTEKNTISYLGCGIQLSSAAFFGAVEFFLLAA MAYDRLVAICNPLLYSTKMSSQVCIQLVAGSYVGGFLNASFVTHFFFSFLFCGPNRVNHF FCDLSPMMELSCSDVSISEIVISFSAGSFTMTTLFVIVIPYFYIFITILKIRSTEGRQKA FSTCTSHLTAVTLYYGTIIFIYVMPKSTYSRDQNKVVSLFYMLVIPVLNPLIYSLRNNEI KDALKRQFYRKTLL >ENSMUSP00000100065.2 pep:known chromosome:GRCm38:X:8454345:8461726:-1 gene:ENSMUSG00000023165.13 transcript:ENSMUST00000103000.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb2 description:synovial sarcoma, X member B, breakpoint 2 [Source:MGI Symbol;Acc:MGI:2446771] METVSSCEKNPMEVLYEPKNICKAFQDISTYFSDEEWGKLTQWQKSAYVYMKRNYIRMTD LGVTVNQPVFMRGKEQDKQSLVEGIEVHDSDETSGIRVNVWSHRLRERKYRVIYEEISDP EEEEDDDY >ENSMUSP00000023931.3 pep:known chromosome:GRCm38:X:8454345:8461726:-1 gene:ENSMUSG00000023165.13 transcript:ENSMUST00000023931.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb2 description:synovial sarcoma, X member B, breakpoint 2 [Source:MGI Symbol;Acc:MGI:2446771] MMQCTVLQNGDLNSLKRRTFGFVLEEDKIVSFQNHPDMETVSSCEKNPMEVLYEPKNICK AFQDISTYFSDEEWGKLTQWQKSAYVYMKRNYIRMTDLGVTVNQPVFMRGKEQDKQSLVE GIEVHDSDETSGIRVNVWSHRLRERKYRVIYEEISDPEEEEDDDY >ENSMUSP00000089295.2 pep:known chromosome:GRCm38:13:23752267:23752886:1 gene:ENSMUSG00000069267.2 transcript:ENSMUST00000091703.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h3b description:histone cluster 1, H3b [Source:MGI Symbol;Acc:MGI:2448319] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000145707.1 pep:known chromosome:GRCm38:15:100575318:100586365:-1 gene:ENSMUSG00000009739.16 transcript:ENSMUST00000176271.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou6f1 description:POU domain, class 6, transcription factor 1 [Source:MGI Symbol;Acc:MGI:102935] MPGISSQILTNAQGQVIGALPWVVNSASVATPAPAQSLQVQAVTPQLLLNAQGQVIATLA SSPLPQPVAVRKPNTPESPAKSEVQPIQPTQAVPQPAVILTSPTPALKPSAATPIPITCS ETPTVSQLVSKPHTPSLDEDGINLEEIREFAKNFKIRRLSLGLTQTQVGQALTATEGPAY SQSAICRFEKLDITPKSAQKLKPVLEKWLMEAELRNQEGQQNLMEFVGGEPSKKRKRRTS FTPQAIEALNAYFEKNPLPTGQEITEIAKELNYDREVVRVWFCNRRQTLKNTSKLNVFQI P >ENSMUSP00000135670.2 pep:known chromosome:GRCm38:15:100575318:100591934:-1 gene:ENSMUSG00000009739.16 transcript:ENSMUST00000176140.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pou6f1 description:POU domain, class 6, transcription factor 1 [Source:MGI Symbol;Acc:MGI:102935] XQLVAPRVEALLKPVDLLKLGHVTPTILQRQL >ENSMUSP00000073504.6 pep:known chromosome:GRCm38:15:100575318:100599984:-1 gene:ENSMUSG00000009739.16 transcript:ENSMUST00000073837.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou6f1 description:POU domain, class 6, transcription factor 1 [Source:MGI Symbol;Acc:MGI:102935] MDPGAGSDSSLTVNEQVIVMSGHETIRVLEVGVDAQLPAEEESKGLESVAAGGSQSGGPV EASGPAEAGSCDPDHSAEATVAARSPSESCPSDCHLQPSPEPASGITDPDAAGCTSCPPG QVAGQQGLAVWTIPTATVAALPGLTAASPTGGTFKPPLAGLQAAAVLNTALPTPVQAAPP IQASSPAQPRPPAQPQPLFQTQPLLQTTPAILPQPTAATVAAPTPKTVDATPQITVQPAG FAFSPGIISAASLGGQTQILGSLTTAPVITNTIPSMPGISSQILTNAQGQVIGALPWVVN SASVATPAPAQSLQVQAVTPQLLLNAQGQVIATLASSPLPQPVAVRKPNTPESPAKSEVQ PIQPTQAVPQPAVILTSPTPALKPSAATPIPITCSETPTVSQLVSKPHTPSLDEDGINLE EIREFAKNFKIRRLSLGLTQTQVGQALTATEGPAYSQSAICRFEKLDITPKSAQKLKPVL EKWLMEAELRNQEGQQNLMEFVGGEPSKKRKRRTSFTPQAIEALNAYFEKNPLPTGQEIT EIAKELNYDREVVRVWFCNRRQTLKNTSKLNVFQIP >ENSMUSP00000134880.1 pep:known chromosome:GRCm38:15:100578247:100595034:-1 gene:ENSMUSG00000009739.16 transcript:ENSMUST00000176300.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pou6f1 description:POU domain, class 6, transcription factor 1 [Source:MGI Symbol;Acc:MGI:102935] MDPGAGSDSSLTVNEQVIVMSGHETIRVLEVGVDAQLPAEEESKGLESVAAGGSQSGGPV EASGPAEAGSCDPDHSAEATVKSLPGVPPSPAPAIATFNQAPSQPQASQTLTPLAVQAAP QVKWLGSRGWPCGQSLQQLWLPSQD >ENSMUSP00000135415.1 pep:known chromosome:GRCm38:15:100578247:100595034:-1 gene:ENSMUSG00000009739.16 transcript:ENSMUST00000177202.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pou6f1 description:POU domain, class 6, transcription factor 1 [Source:MGI Symbol;Acc:MGI:102935] MDPGAGSDSSLTVNEQVIVMSGHETIRVLEVGVDAQLPAEEESKGLESVAAGGSQSGGPV EASGPAEAGSCDPDHSAEATVKSLPGVPPSPAPAIATFNQAPSQPQASQTLTPLAVQAAP QVKWLGSRGWPCGQSLQQLWLPSQD >ENSMUSP00000049955.8 pep:known chromosome:GRCm38:15:100578247:100595034:-1 gene:ENSMUSG00000009739.16 transcript:ENSMUST00000058274.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou6f1 description:POU domain, class 6, transcription factor 1 [Source:MGI Symbol;Acc:MGI:102935] MDPGAGSDSSLTVNEQVIVMSGHETIRVLEVGVDAQLPAEEESKGLESVAAGGSQSGGPV EASGPAEAGSCDPDHSAEATVKSLPGVPPSPAPAIATFNQAPSQPQASQTLTPLAVQAAP QGQVAGQQGLAVWTIPTATVAALPGLTAASPTGGTFKPPLAGLQDQCSLPRGTDADPGLP HYSSSYYQHHSQHARDQQSDPHECSGTGYWSTSVGSELS >ENSMUSP00000107387.3 pep:known chromosome:GRCm38:1:156035403:156068861:1 gene:ENSMUSG00000050565.16 transcript:ENSMUST00000111757.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip2 description:torsin A interacting protein 2 [Source:MGI Symbol;Acc:MGI:3582695] MSQSLKSQNTNMSDSGCRDPVGDSQNVLENDPSINSQTQDTRVTPNNTAEAQPLQPTSDL KEDHHEIGARAQEHTDTGDRSESPEEPALEKPPLDKAELESSPSSQDTELGHHPHSEHGG GDALDLDPNCSQSDLGGRADAHLESSSVASPEGAGDRGEADEHLESSSAAPTEGAGDRGE AGQELLAEDSTDGQSLGHSNTGPGNQDSLRRRLPVPEAGSHEEETELVKEKQEVAQDTLR KTDKKSLWTYGSVFLGCLIVAVVLSSVNSYYSSPAQQVPQNPALEAFLAQFGQLKEKFPG QSSFLWQRGRKFLQKHLNASNPSEPATIIFTAAREGKETLKCLSYHVANAYTSSQKVTAV SIDGAERALQDSDTVKLLVDLELSDGFENGHKAAVVHHFESLPAGSTLIFYKYCDHENAA FKDVALVLTVLLEEETLEASVSPREIEEKVRDLLWAKFTNSESPTSYSHMDSDKLSGLWS RISHLVLPVQPVRNIEERGCLL >ENSMUSP00000107383.2 pep:known chromosome:GRCm38:1:156035726:156053529:1 gene:ENSMUSG00000050565.16 transcript:ENSMUST00000111754.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip2 description:torsin A interacting protein 2 [Source:MGI Symbol;Acc:MGI:3582695] MFSDNSHCPDCGQQWFPSLELGHWLYQTELVENECYQVFLDRINRADYCPECYPDNPANR SLVLPWSFPLEWAPQNLTRWTFEKACHPFLLGPPLVRKKIHDSRVAGFNPALQLILSRTD KTLNKKLGQSK >ENSMUSP00000118611.1 pep:known chromosome:GRCm38:1:156035772:156059542:1 gene:ENSMUSG00000050565.16 transcript:ENSMUST00000133152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip2 description:torsin A interacting protein 2 [Source:MGI Symbol;Acc:MGI:3582695] MSQSLKS >ENSMUSP00000135107.1 pep:known chromosome:GRCm38:1:156036133:156053736:1 gene:ENSMUSG00000050565.16 transcript:ENSMUST00000128941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip2 description:torsin A interacting protein 2 [Source:MGI Symbol;Acc:MGI:3582695] MFSDNSHCPDCGQQWFPSLELGHWLYQTELVENECYQVFLDRINRADYCPECYPDNPANR SLVLPWSFPLEWAPQNLTRWTFEKACHPFLLGPPLVRKKIHDSRVAGFNPALQLILSRTD KTLNKKLGQSK >ENSMUSP00000050817.4 pep:known chromosome:GRCm38:1:156040890:156068861:1 gene:ENSMUSG00000050565.16 transcript:ENSMUST00000060404.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip2 description:torsin A interacting protein 2 [Source:MGI Symbol;Acc:MGI:3582695] MSQSLKSQNTNMSDSGCRDPVGDSQNVLENDPSINSQTQDTRVTPNNTAEAQPLQPTSDL KEDHHEIGARAQEHTDTGDRSESPEEPALEKPPLDKAELESSPSSQDTELGHHPHSEHGG GDALDLDPNCSQSDLGGRADAHLESSSVASPEGAGDRGEADEHLESSSAAPTEGAGDRGE AGQELLAEDSTDGQSLGHSNTGPGNQDSLRRRLPVPEAGSHEEETELVKEKQEVAQDTLR KTDKKSLWTYGSVFLGCLIVAVVLSSVNSYYSSPAQQVPQNPALEAFLAQFGQLKEKFPG QSSFLWQRGRKFLQKHLNASNPSEPATIIFTAAREGKETLKCLSYHVANAYTSSQKVTAV SIDGAERALQDSDTVKLLVDLELSDGFENGHKAAVVHHFESLPAGSTLIFYKYCDHENAA FKDVALVLTVLLEEETLEASVSPREIEEKVRDLLWAKFTNSESPTSYSHMDSDKLSGLWS RISHLVLPVQPVRNIEERGCLL >ENSMUSP00000067353.8 pep:known chromosome:GRCm38:1:156040917:156053736:1 gene:ENSMUSG00000050565.16 transcript:ENSMUST00000065648.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip2 description:torsin A interacting protein 2 [Source:MGI Symbol;Acc:MGI:3582695] MFSDNSHCPDCGQQWFPSLELGHWLYQTELVENECYQVFLDRINRADYCPECYPDNPANR SLVLPWSFPLEWAPQNLTRWTFEKACHPFLLGPPLVRKKIHDSRVAGFNPALQLILSRTD KTLNKKLGQSK >ENSMUSP00000095133.2 pep:known chromosome:GRCm38:1:156040917:156053736:1 gene:ENSMUSG00000050565.16 transcript:ENSMUST00000097526.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip2 description:torsin A interacting protein 2 [Source:MGI Symbol;Acc:MGI:3582695] MFSDNSHCPDCGQQWFPSLELGHWLYQTELVENECYQVFLDRINRADYCPECYPDNPANR SLVLPWSFPLEWAPQNLTRWTFEKACHPFLLGPPLVRKKIHDSRVAGFNPALQLILSRTD KTLNKKLGQSK >ENSMUSP00000114271.1 pep:known chromosome:GRCm38:7:28071236:28120860:1 gene:ENSMUSG00000047730.17 transcript:ENSMUST00000138392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgbp description:Fc fragment of IgG binding protein [Source:MGI Symbol;Acc:MGI:2444336] MGSPWKWWALWAGATLLWALLTPAEASCQGIQCASGQRCQMVSGKARCVAESTAVCRAQG DPHYTTFDGRRYDMMGTCSYTMAELCGSDETLPAFSVEAKNEHRGSRQVSYVGLVTVYAY SHSVSLVRGEIGFVRIDNQRSRLPASLSEGRLRVHKSGTRGVIEMDFGLVVTYDWDGQLT LSLPKRFQDQVCGLCGNYNGDPADDFLTPDLDQAPDALEFANSWKLDDGDYLCDDGCHNS CPSCTPGQTQHYKGDRLCGMLTLSTGPFSACHEFLDPKPFLDDCVFDLCVTGGERLSLCR SLSAYAQACVELGVTLENWRLPASCPMSCPANSCYDPCSPACPPSCNSEAVPTNCSSRPC VEGCVCLPGFVASGGDCVPVSSCGCIYQGRLLAPGQEVFDDDRCRRRCTCDGATQKVTCR DTTGCPSGERCNVQNGLLGCYPDNFASCQASGDPHYVSFDGKRFDFMGTCTYLLVGSCGQ NAALPAFKVLVENEHRGSQTVSYTRAVRVVAHGVEVAVRRENPGRVLVNGVLQYLPFQAA GGKIQVYRQGNDAIVSIDFGLTVTYNWDAHVTAKVPSSYAKDVCGLCGNFNGNPDDDLAL KGGGQASNVLDFGNSWQEEIIPGCGATEPGDCPQLDSLVTQQIQDKKECGILADPEGPFR ECHKLLNPQGAIRDCVYDLCLLPGQSGPLCDALAAYAAACQAAGGTVHPWRSEELCPLTC PPNSHYEQCSYGCPLSCGDLPVQGGCGSECREGCVCNEGFALSGESCVPLASCGCVHEGA YHAPGETFYPGPGCDSLCHCEEGGLVSCEPSSCGPQEACQPSNGVLGCVAVGTTTCQASG DPHYVTFDGRRFDFMGTCVYVLAQTCGNRPGLHQFTVLQENEAWGNGKVSVTKVITVLVA NYTLRLEQSQWKVKVNGVDTKLPVMLDGGKIRVSQHGSDVVIETDFGLRVAYDLVYYVRV TIPGNYYKQMCGLCGDYNGDPKDDFQKPDGSQTTDPSDFGNSWEEAVPDSPCAPVPPCTG DDCDTECSPELQDKYHGEQFCGLLTSPTGPLAACHKLLDPQGPLQDCVFDLCLGGGNQSI LCNIIHAYVSACQAAGGQVEPWRTETFCPMECPPHSHYEVCADTCSLGCWALNTPQQCPE GCAEGCECDSGFLYNGKACVPIEQCGCYHNGVYYEPEESVLIENCQQHCVCQPGKGMMCQ DHSCKPGQVCEPSGGVLTCVTKDPCHGITCRPQETCKVQGGEGVCVPNYNSTCWLWGDPH YNSFDGWSFDFQGTCNYLLAGTLCPGVNAEGLTPFTVTTKNENRGSPAVSYVRQVTVTTL NTNISIHKNEIGKVRVNGVLMALPVYLAGGRISVINGGSKAVLETDFGLQVTYDWNWRVD VTLPSSYHGAVCGLCGNMDKNHQNDQVFPNGTMAPSIPTWGGSWQVPGWDPLCWHECQGS CPTCPEDRVEEYEGPGFCGPLAPGTGSPFTSCHAHVPPESFFKGCVLDVCLGGGSKDILC QALAAYAAACQAAGIKIEDWRTQAGCEITCPDNSHYELCGPPCPASCPPPARHTAPTVCD GPCVEGCQCDEGFVLSADQCVPLDGGCGCWVNGTYYEAGTEFWADTTCSKRCHCGPGGDS LVCKPASCGLGEECALLPSGEIGCQPTSITECQAWGDPHYTTLDGHRFDFQGTCEYLLSA PCHEPPTGTEYFNVTVANEHRGSQAVSYTRSVTLQIYGLSLTLSAQWPRKLQVNGEFVAL PFHLDQKLSVYISGADVVVNTASGVSLAFDGDSFVRLRVPAAYAGTLCGLCGNYNKNPND DLTAVGGKPEGWKVGGAPGCDQCEPEPCPKPCTPEEQEPFRGPDACGIITAPEGPLAPCH SLVPPTQYFEACLLDACQVQGHPGGLCPAIATYVAACQAAGAQLGEWRKPDFCPLQCPAH SHYQLCGDSCPVSCPSLSAPVGCETICREGCVCDAGFVLSGDTCVPVGQCGCLYQGRYYV LGATFYPGPECERLCECGPDGQVTCQEGADCEPYEECRIENGVQACHPTGCGHCLANGGL HYVTLDGRVYDLHGSCSYVLASVCHPKPGDEEFSIVLEKNSAGDPQRVVVTVAGQVVGLA RGPQVTVDGEVVTLPVATGHVSVTAEGRNIVLQTNKGMKVLFDGDAHILMSIPSSFRGRL CGLCGNFNGNWSDDFVLPSGAVAPNVEAFGTAWRAPGSSLGCGEGCGPQGCPVCLAEETQ AYEKNDACGKIRDPHGPFAACHKVLSPLEYFRQCVYDMCAHKGDKAYLCRSLAAYTAACQ AAGAAVKPWRTDSVCPLQCPAHSHYSICTRSCQGSCAALSGLTGCTTRCFEGCECDDHFL LSHGVCIPAQDCGCVHNGQYMPVNSSLMSSDCSERCFCSPNNGLTCHEAGCPSGHVCEIQ AGVRECQAARGLCSISVGANLTTFDGAHNAISSPGVYELSSRCPGLQKNVPWYRVLADVQ PCHNNDKIVSKVHIFFQDGLVTVIPSKGAWVNGLRVDLPATVLTSVSVRRMPDGSMLVHQ KAGVTVWLGKDGLLDVMVGDDLAAMLCGACGNFDGDQTNDAYGSQGKTPIEKWRAQDFSP CSN >ENSMUSP00000075945.7 pep:known chromosome:GRCm38:7:28071236:28120862:1 gene:ENSMUSG00000047730.17 transcript:ENSMUST00000076648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgbp description:Fc fragment of IgG binding protein [Source:MGI Symbol;Acc:MGI:2444336] MGSPWKWWALWAGATLLWALLTPAEASCQGIQCASGQRCQMVSGKARCVAESTAVCRAQG DPHYTTFDGRRYDMMGTCSYTMAELCGSDETLPAFSVEAKNEHRGSRQVSYVGLVTVYAY SHSVSLVRGEIGFVRIDNQRSRLPASLSEGRLRVHKSGTRGVIEMDFGLVVTYDWDGQLT LSLPKRFQDQVCGLCGNYNGDPADDFLTPDLDQAPDALEFANSWKLDDGDYLCDDGCHNS CPSCTPGQTQHYKGDRLCGMLTLSTGPFSACHEFLDPKPFLDDCVFDLCVTGGERLSLCR SLSAYAQACVELGVTLENWRLPASCPMSCPANSCYDPCSPACPPSCNSEAVPTNCSSRPC VEGCVCLPGFVASGGDCVPVSSCGCIYQGRLLAPGQEVFDDDRCRRRCTCDGATQKVTCR DTTGCPSGERCNVQNGLLGCYPDNFASCQASGDPHYVSFDGKRFDFMGTCTYLLVGSCGQ NAALPAFKVLVENEHRGSQTVSYTRAVRVVAHGVEVAVRRENPGRVLIDGVLQYLPFQAA GGKIQVYRQGNDAIVSIDFGLTVTYNWDAHVTAKVPSSYAKDVCGLCGNFNGNPDDDLAL KGGGQASNVLDFGNSWQEEIIPGCGATEPGDCPQLDSLVTQQIQDKKECGILADPEGPFR ECHKLLNPQGAIRDCVYDLCLLPGQSGPLCDALAAYAAACQAAGGTVHPWRSEELCPLTC PPNSHYEQCSYGCPLSCGDLPVQGGCGSECREGCVCNEGFALSGESCVPLASCGCVHEGA YHAPGETFYPGPGCDSLCHCEEGGLVSCEPSSCGPQEACQPSNGVLGCVAVGTTTCQASG DPHYVTFDGRRFDFMGTCVYVLAQTCGNRPGLHQFTVLQENEAWGNGKVSVTKVITVLVA NYTLRLEQSQWKVKVNGVDTKLPVMLDGGKIRVSQHGSDVVIETDFGLRVAYDLVYYVRV TIPGNYYKQMCGLCGDYNGDPKDDFQKPDGSQTTDPSDFGNSWEEAVPDSPCAPVPPCTG DDCDTECSPELQDKYHGEQFCGLLTSPTGPLAACHKLLDPQGPLQDCVFDLCLGGGNQSI LCNIIHAYVSACQAAGGQVEPWRTETFCPMECPPHSHYEVCADTCSLGCWALNTPQQCPE GCAEGCECDSGFLYNGKACVPIEQCGCYHNGVYYEPEESVLIENCQQHCVCQPGKGMMCQ DHSCKPGQVCEPSGGVLTCVTKDPCHGITCRPQETCKVQGGEGVCVPNYNSTCWLWGDPH YNSFDGWSFDFQGTCNYLLAGTLCPGVNAEGLTPFTVTTKNENRGSPAVSYVRQVTVTTL NTNISIHKNEIGKVRVNGVLMALPVYLAGGRISVINGGSKAVLETDFGLQVTYDWNWRVD VTLPSSYHGAVCGLCGNMDKNHQNDQVFPNGTMAPSIPTWGGSWQVPGWDPLCWHECQGS CPTCPEDRVEEYEGPGFCGPLAPGTGSPFTSCHAHVPPESFFKGCVLDVCLGGGSKDILC QALAAYAAACQAAGIKIEDWRTQAGCEITCPDNSHYELCGPPCPASCPPPARHTAPTVCD GPCVEGCQCDEGFVLSADQCVPLDGGCGCWVNGTYYEAGTEFWADTTCSKRCHCGPGGDS LVCKPASCGLGEECALLPSGEIGCQPTSITECQAWGDPHYTTLDGHRFDFQGTCEYLLSA PCHEPPTGTEYFNVTVANEHRGSQAVSYTRSVTLQIYGLSLTLSAQWPRKLQVNGEFVAL PFHLDQKLSVYISGADVVVNTASGVSLAFDGDSFVRLRVPAAYAGTLCGLCGNYNKNPND DLTAVGGKPEGWKVGGAPGCDQCEPEPCPKPCTPEEQEPFRGPDACGIITAPEGPLAPCH SLVPPTQYFEACLLDACQVQGHPGGLCPAIATYVAACQAAGAQLGEWRKPDFCPLQCPAH SHYQLCGDSCPVSCPSLSAPVGCETICREGCVCDAGFVLSGDTCVPVGQCGCLYQGRYYV LGATFYPGPECERLCECGPDGQVTCQEGADCEPYEECRIENGVQACHPTGCGHCLANGGL HYVTLDGRVYDLHGSCSYVLASVCHPKPGDEEFSIVLEKNSAGDPQRVVVTVAGQVVGLA RGPQVTVDGEVVTLPVATGHVSVTAEGRNIVLQTNKGMKVLFDGDAHILMSIPSSFRGRL CGLCGNFNGNWSDDFVLPSGAVAPNVEAFGTAWRAPGSSLGCGEGCGPQGCPVCLAEETQ AYEKNDACGKIRDPHGPFAACHKVLSPLEYFRQCVYDMCAHKGDKAYLCRSLAAYTAACQ AAGAAVKPWRTDSVCPLQCPAHSHYSICTRSCQGSCAALSGLTGCTTRCFEGCECDDHFL LSHGVCIPAQDCGCVHNGQYMPVNSSLMSSDCSERCFCSPNNGLTCHEAGCPSGHVCEIQ AGVRECQAARGLCSISVGANLTTFDGAHNAISSPGVYELSSRCPGLQKNVPWYRVLADVQ PCHNNDKIVSKVHIFFQDGLVTVIPSKGAWVNGLRVDLPATVLTSVSVRRMPDGSMLVHQ KAGVTVWLGKDGLLDVMVGDDLAAMLCGACGNFDGDQTNDAYGSQGKTPIEKWRAQDFSP CSN >ENSMUSP00000019882.9 pep:known chromosome:GRCm38:7:30231948:30233390:1 gene:ENSMUSG00000019738.15 transcript:ENSMUST00000019882.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2i description:polymerase (RNA) II (DNA directed) polypeptide I [Source:MGI Symbol;Acc:MGI:1917170] MEPDGTYEPGFVGIRFCQECNNMLYPKEDKENRILLYACRNCDYQQEADNSCIYVNKITH EVDELTQIIADVSQDPTLPRTEDHPCQKCGHKEAVFFQSHSARAEDAMRLYYVCTAPHCG HRWTE >ENSMUSP00000116741.1 pep:known chromosome:GRCm38:7:30232032:30233119:1 gene:ENSMUSG00000019738.15 transcript:ENSMUST00000149654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2i description:polymerase (RNA) II (DNA directed) polypeptide I [Source:MGI Symbol;Acc:MGI:1917170] MLYPKEDKENRILLYACRNCDYQQEADNSCIYVNKITHEVDELTQIIADVSQDPTLPRTE DHPCQKCGHKEAVFFQSHSARAE >ENSMUSP00000103828.1 pep:known chromosome:GRCm38:7:30232332:30233385:1 gene:ENSMUSG00000019738.15 transcript:ENSMUST00000108193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2i description:polymerase (RNA) II (DNA directed) polypeptide I [Source:MGI Symbol;Acc:MGI:1917170] MEPDGTYEPGFVGIRFCQECNNMLYPKEDKENRILLYASCWRSDLFVTLLPHALPSAVPE LRLPAGSRQQLHLRQQNHARSGRADPDHRRRVPGPHVAPDGGPPVPEVWPQGGSVLSVTQ CPS >ENSMUSP00000103827.1 pep:known chromosome:GRCm38:7:30232332:30233386:1 gene:ENSMUSG00000019738.15 transcript:ENSMUST00000108192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2i description:polymerase (RNA) II (DNA directed) polypeptide I [Source:MGI Symbol;Acc:MGI:1917170] MLYPKEDKENRILLYACRNCDYQQEADNSCIYVNKITHEVDELTQIIADVSQDPTLPRTE DHPCQKCGHKEAVFFQSHSARAEDAMRLYYVCTAPHCGHRWTE >ENSMUSP00000020677.1 pep:known chromosome:GRCm38:11:9118103:9136164:1 gene:ENSMUSG00000020407.13 transcript:ENSMUST00000020677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upp1 description:uridine phosphorylase 1 [Source:MGI Symbol;Acc:MGI:1097668] MAATGTEAKDLENHHNDCFIQLSNPNIAAMKEDVLYHFNLSTSTHDFPAMFGDVKFVCVG GSSSRMNTFIKYVAAELGLDHPGKEYPNICAGTDRYAMYKAGPVLSVSHGMGIPSIGIML HELIKMLYHARCSNITIIRIGTSGGIGLEPGSVVITQQAVNECFKPEFEQIVLGKRVIRN TNLDAQLVQELVQCSSDLNEFPMVVGNTMCTLDFYEGQGRLDGALCSYTEKDKQSYLRAA HAAGVRNIEMESSVFATMCSACGLKAAVVCVTLLDRLQGDQINTPHDVLVEYQQRPQRLV GHFIKKSLGRA >ENSMUSP00000099063.2 pep:known chromosome:GRCm38:11:9118107:9136170:1 gene:ENSMUSG00000020407.13 transcript:ENSMUST00000101525.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upp1 description:uridine phosphorylase 1 [Source:MGI Symbol;Acc:MGI:1097668] MAATGTEAKDLENHHNDCFIQLSNPNIAAMKEDVLYHFNLSTSTHDFPAMFGDVKFVCVG GSSSRMNTFIKYVAAELGLDHPGKEYPNICAGTDRYAMYKAGPVLSVSHGMGIPSIGIML HELIKMLYHARCSNITIIRIGTSGGIGLEPGSVVITQQAVNECFKPEFEQIVLGKRVIRN TNLDAQLVQELVQCSSDLNEFPMVVGNTMCTLDFYEGQGRLDGALCSYTEKDKQSYLRAA HAAGVRNIEMESSVFATMCSACGLKAAVVCVTLLDRLQGDQINTPHDVLVEYQQRPQRLV GHFIKKSLGRA >ENSMUSP00000125934.1 pep:known chromosome:GRCm38:11:9118245:9134729:1 gene:ENSMUSG00000020407.13 transcript:ENSMUST00000170444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upp1 description:uridine phosphorylase 1 [Source:MGI Symbol;Acc:MGI:1097668] MAATGTEAKDLENHHNDCFIQLSNPNIAAMKEDVLYHFNLSTSTHDFPAMFGDVKFVCVG GSSSRMNTFIKYVAAELGLDHPGKEYPNICAGTDRYAMYKAGPVLSVSHGMGIPSIGIML HELIKMLYHARCSNITIIRIGTSGGIGLE >ENSMUSP00000123285.1 pep:known chromosome:GRCm38:11:9118548:9133322:1 gene:ENSMUSG00000020407.13 transcript:ENSMUST00000130522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upp1 description:uridine phosphorylase 1 [Source:MGI Symbol;Acc:MGI:1097668] MAATGTEAKDLENHHNDCFIQLSNPNIAAMKEDVLYHFNLSTSTHDFPAMFGDVKFVCVG GSSSRMNTFIKYVAAELGLDHPGKEYPNICAGTDRYAMYKAGPVLSVSHGMGIPSIGIML HELIKMLYHARCSNITI >ENSMUSP00000129787.1 pep:known chromosome:GRCm38:11:9118787:9133251:1 gene:ENSMUSG00000020407.13 transcript:ENSMUST00000172452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upp1 description:uridine phosphorylase 1 [Source:MGI Symbol;Acc:MGI:1097668] MAATGTEAKDLENHHNDCFIQLSNPNIAAMKEDVLYHFNLSTSTHDFPAMFGDVKFVCVG GSSSRMNTFIKYVAAELGLDHPGKEYPNICAGTDRYAMYKAGPVLSVSHGMGIP >ENSMUSP00000129276.1 pep:known chromosome:GRCm38:11:9125683:9134749:1 gene:ENSMUSG00000020407.13 transcript:ENSMUST00000166455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upp1 description:uridine phosphorylase 1 [Source:MGI Symbol;Acc:MGI:1097668] XENHHNDCFIQLSNPNIAAMKEDVLYHFNLSTSTHDFPAMFGDVKFVCVGGSSSRMNTFI KYVAAELGLDHPGKEYPNICAGTDRYAMYKAGPVLSHGMGIPSIGIMLHELIKMLYHARC SNITIIRIGTSGGIGLEPGSVVIT >ENSMUSP00000127473.1 pep:known chromosome:GRCm38:11:9118507:9136167:1 gene:ENSMUSG00000020407.13 transcript:ENSMUST00000164791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upp1 description:uridine phosphorylase 1 [Source:MGI Symbol;Acc:MGI:1097668] MAATGTEAKDLENHHNDCFIQLSNPNIAAMKEDVLYHFNLSTSTHDFPAMFGDVKFVCVG GSSSRMNTFIKYVAAELGLDHPGKEYPNICAGTDRYAMYKAGPVLSVSHGMGIPSIGIML HELIKMLYHARCSNITIIRIGTSGGIGLEPGSVVITQQAVNECFKPEFEQIVLGKRVIRN TNLDAQLVQELVQCSSDLNEFPMVVGNTMCTLDFYEGQGRLDGALCSYTEKDKQSYLRAA HAAGVRNIEMESSVFATMCSACGLKAAVVCVTLLDRLQGDQINTPHDVLVEYQQRPQRLV GHFIKKSLGRA >ENSMUSP00000100030.2 pep:known chromosome:GRCm38:13:23757013:23757409:1 gene:ENSMUSG00000069266.5 transcript:ENSMUST00000102965.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4b description:histone cluster 1, H4b [Source:MGI Symbol;Acc:MGI:2448420] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000090979.5 pep:known chromosome:GRCm38:11:21091291:21150323:1 gene:ENSMUSG00000020134.13 transcript:ENSMUST00000093290.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peli1 description:pellino 1 [Source:MGI Symbol;Acc:MGI:1914495] MFSPDQENHPSKAPVKYGELIVLGYNGSLPNGDRGRRKSRFALFKRPKANGVKPSTVHIA CTPQAAKAISNKDQHSISYTLSRAQTVVVEYTHDSNTDMFQIGRSTESPIDFVVTDTVPG SQSNSDTQSVQSTISRFACRIICERSPPFTARIYAAGFDSSKNIFLGEKAAKWKTSDGQM DGLTTNGVLVMHPRNGFTEDSKPGIWREISVCGNVFSLRETRSAQQRGKMVEIETNQLQD GSLIDLCGATLLWRTAEGLSHTPTVKHLEALRQEINAARPQCPVGFNTLAFPSMKRKDVV DEKQPWVYLNCGHVHGYHNWGNKEERDGKDRECPMCRSVGPYVPLWLGCEAGFYVDAGPP THAFSPCGHVCSEKTTAYWSQIPLPHGTHTFHAACPFCAHQLAGEQGYIRLIFQGPLD >ENSMUSP00000099018.1 pep:known chromosome:GRCm38:11:21135469:21150323:1 gene:ENSMUSG00000020134.13 transcript:ENSMUST00000101477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peli1 description:pellino 1 [Source:MGI Symbol;Acc:MGI:1914495] MFSPDQENHPSKAPVKYGELIVLGYNGSLPNGDRGRRKSRFALFKRPKANGVKPSTVHIA CTPQAAKAISNKDQHSISYTLSRAQTVVVEYTHDSNTDMFQIGRSTESPIDFVVTDTVPG SQSNSDTQSVQSTISRFACRIICERSPPFTARIYAAGFDSSKNIFLGEKAAKWKTSDGQM DGLTTNGVLVMHPRNGFTEDSKPGIWREISVCGNVFSLRETRSAQQRGKMVEIETNQLQD GSLIDLCGATLLWRTAEGLSHTPTVKHLEALRQEINAARPQCPVGFNTLAFPSMKRKDVV DEKQPWVYLNCGHVHGYHNWGNKEERDGKDRECPMCRSVGPYVPLWLGCEAGFYVDAGPP THAFSPCGHVCSEKTTAYWSQIPLPHGTHTFHAACPFCAHQLAGEQGYIRLIFQGPLD >ENSMUSP00000016106.5 pep:known chromosome:GRCm38:1:177729814:177753324:1 gene:ENSMUSG00000015962.5 transcript:ENSMUST00000016106.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700016C15Rik description:RIKEN cDNA 1700016C15 gene [Source:MGI Symbol;Acc:MGI:1916678] MSTIRLREFVERRPSIPPRLYITHQGRDIKGYYPGQLARLHFDYSGRKAPRPLIDLTIPL KSTTPYQPQLDQQTLIRSICSRRLSRPTDLWHNETSYQRDYSLPFYESGWDRKLGTISLH PRPVNSVPEVYCCGGERSSYARSTF >ENSMUSP00000123695.1 pep:known chromosome:GRCm38:4:150236721:150244289:1 gene:ENSMUSG00000063524.13 transcript:ENSMUST00000150175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno1 description:enolase 1, alpha non-neuron [Source:MGI Symbol;Acc:MGI:95393] MSILRIHAREIFDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDNDKTRFMGK GVSQAVEHINKTIAPALVSKKVNVVEQEKIDKLMIEMDGTENKSKFGANAILGVSLAVC >ENSMUSP00000079727.6 pep:known chromosome:GRCm38:4:150236952:150248879:1 gene:ENSMUSG00000063524.13 transcript:ENSMUST00000080926.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno1 description:enolase 1, alpha non-neuron [Source:MGI Symbol;Acc:MGI:95393] MSILRIHAREIFDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDNDKTRFMGK GVSQAVEHINKTIAPALVSKKVNVVEQEKIDKLMIEMDGTENKSKFGANAILGVSLAVCK AGAVEKGVPLYRHIADLAGNPEVILPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFRE AMRIGAEVYHNLKNVIKEKYGKDATNVGDEGGFAPNILENKEALELLKTAIAKAGYTDQV VIGMDVAASEFYRSGKYDLDFKSPDDPSRYITPDQLADLYKSFVQNYPVVSIEDPFDQDD WGAWQKFTASAGIQVVGDDLTVTNPKRIAKAASEKSCNCLLLKVNQIGSVTESLQACKLA QSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQILRIEEELGSK AKFAGRSFRNPLAK >ENSMUSP00000114361.1 pep:known chromosome:GRCm38:4:150237240:150245333:1 gene:ENSMUSG00000063524.13 transcript:ENSMUST00000133839.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno1 description:enolase 1, alpha non-neuron [Source:MGI Symbol;Acc:MGI:95393] MSILRIHAREIFDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDNDKTRFMGK GVSRPVKYVNEFLAPALCTQKVNVVEQEKIDKLMIEMDGTENKSKFGANAILGVSLAVCK AGAVEKGVPLYRHIADLAGNPEVILPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFRE AMRIGAEVYHNLKNVIKEKYGKDATNVGDEGGFAPNILENK >ENSMUSP00000120059.1 pep:known chromosome:GRCm38:4:150237700:150242142:1 gene:ENSMUSG00000063524.13 transcript:ENSMUST00000141931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno1 description:enolase 1, alpha non-neuron [Source:MGI Symbol;Acc:MGI:95393] MSILRIHAREIFDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDNDKTRFMGK GVSQAVE >ENSMUSP00000079045.5 pep:known chromosome:GRCm38:4:150243486:150248873:1 gene:ENSMUSG00000063524.13 transcript:ENSMUST00000080149.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno1 description:enolase 1, alpha non-neuron [Source:MGI Symbol;Acc:MGI:95393] MLSLSPHFLSLQKVNVVEQEKIDKLMIEMDGTENKSKFGANAILGVSLAVCKAGAVEKGV PLYRHIADLAGNPEVILPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFREAMRIGAEV YHNLKNVIKEKYGKDATNVGDEGGFAPNILENKEALELLKTAIAKAGYTDQVVIGMDVAA SEFYRSGKYDLDFKSPDDPSRYITPDQLADLYKSFVQNYPVVSIEDPFDQDDWGAWQKFT ASAGIQVVGDDLTVTNPKRIAKAASEKSCNCLLLKVNQIGSVTESLQACKLAQSNGWGVM VSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQILRIEEELGSKAKFAGRSF RNPLAK >ENSMUSP00000088029.5 pep:known chromosome:GRCm38:11:96068852:96075670:-1 gene:ENSMUSG00000006057.15 transcript:ENSMUST00000090541.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5g1 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit C1 (subunit 9) [Source:MGI Symbol;Acc:MGI:107653] MQTTKALLISPALIRSCTRGLIRPVSASLLSRPEAPSKQPSCSSSPLQVARREFQTSVIS RDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEA MGLFCLMVAFLILFAM >ENSMUSP00000103314.1 pep:known chromosome:GRCm38:11:96072987:96075581:-1 gene:ENSMUSG00000006057.15 transcript:ENSMUST00000107686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5g1 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit C1 (subunit 9) [Source:MGI Symbol;Acc:MGI:107653] MQTTKALLISPALIRSCTRGLIRPVSASLLSRPEAPSKQPSCSSSPLQVARREFQTSVIS RDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEA MGLFCLMVAFLILFAM >ENSMUSP00000103312.1 pep:known chromosome:GRCm38:11:96072990:96075619:-1 gene:ENSMUSG00000006057.15 transcript:ENSMUST00000107684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5g1 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit C1 (subunit 9) [Source:MGI Symbol;Acc:MGI:107653] MQTTKALLISPALIRSCTRGLIRPVSASLLSRPEAPSKQPSCSSSPLQVARREFQTSVIS RDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEA MGLFCLMVAFLILFAM >ENSMUSP00000137633.1 pep:known chromosome:GRCm38:11:96068867:96075037:-1 gene:ENSMUSG00000006057.15 transcript:ENSMUST00000178611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5g1 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit C1 (subunit 9) [Source:MGI Symbol;Acc:MGI:107653] MQTTKALLISPALIRSCTRGLIRPVSASLLSRPEAPSKQPSCSSSPLQVARREFQTSVIS RDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGFALSEA MGLFCLMVAFLILFAM >ENSMUSP00000140453.1 pep:known chromosome:GRCm38:13:92305980:92307183:1 gene:ENSMUSG00000100622.1 transcript:ENSMUST00000188317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20379 description:predicted gene, 20379 [Source:MGI Symbol;Acc:MGI:5012564] XSRVKNQRGRPHTHTDQTRAAVYPVYPCSAPTSPATTMSKRKAKGDAKGDKTKVKDKPQR PERLSAKPAPPKPEPTPKKAPEKKGEKVPKGRKGKANAGKDANNPAENGDAKRDQAQKA >ENSMUSP00000112620.1 pep:known chromosome:GRCm38:16:44173246:44227465:1 gene:ENSMUSG00000068284.14 transcript:ENSMUST00000119746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf3 description:upstream transcription factor family member 3 [Source:MGI Symbol;Acc:MGI:2685454] MPEMTEHETPTKKQHRKKNRETHNAVERHRKKKINAGINRIGELIPCSPALKQSKNMILD QAFKYITELKRQNDELLLNGGSSEQAEEIKKLRKQLEEIQKENGRYIELLKANDICLYDD PTIHWKGNLKTSKVSVVIPSDQVQKNIIVYSNGSQPGGNSQGTAVQGITFNVGHGLQKQT ANVVPVQRTCKLVTPVSISGVYPSENKPWHQTTVSALAANQSVPLCLPAAISAQNILELS TSESQSSGLGATGGSVVTVSVGPDPHPHRSSNTCLNDQNASENNNAQESPKSSKKTVTCV SGISSSTSAAAPEVHHENKSSPAMQGSSADSQNTLVSVTTTVCSQPPRSTGGSSPVSGSK TVDSPSTATVVVPAHAAVAKSTLPVSSLSANPLEGGWTLSCSLPSSSVSASELKNISSLT RISSAGNTQTTWTTLHLAGNTIQPLSQPPSSAATPALNECGTSPTPNNHKHVATAITLNN SPPTDGQPVEQVVVTLPSCPPLPMQPLIAQPQVKSQPPKNILPLNSAMQVIQMAQPVGSG VTAAPANQNVIILQPPSTTPCPTMMRAEVSNQTVGQQIVIIQAANQNPLPLLSAPHPGPV RLPVNGASAIIGSHNSVQNVPAPQTFGGKHLVHILPRPSSLSTSNSTQTFSVTMSNQQQP QTISLNGQLFALQPVMSSSGTTNQSPMQIIQPTTSEDPNTNVALNTFGALASLNQSISQM AGQSCVQLSISHSANPQTSANSQTSPANCVSLTTTVAPTITVDNSATPSTTYSLATASST NTVTCLPSTMKSKRLSKKPGAKKHLTANKPACPLNSVRDVGKIDGPRINSSAEPSCSDGL LDSLPVGLSSVAVSQAKNVSISASHSLDVLNSESVTPDSGPQSKSTEDCSSPSHESETNE QLVLAPAKPKDSTPILQETSQGTPPCSVALSNDMKSCTSANVLTPSPIESQSLVSQVSGL SSTTSTSSTDCISEVEIVAEPCPVQQDSLDTMQTAGSLKGQGLTMLLSDLAKEKDLQRSS LSVQMEHPDFSPESSKIGDSNVDLPPKQELLLMSDDRDPPQPHSCLPDQEVLHGSLLTSR QADSPLSTSSGSSRSFSVASMLPETAREDVTSSTTSNTCDGCTFAEQTDIVALAARAILD QESIEKGRLGTQADVKEVTPKPSETSLEGDQPFKPQIHKETCTGQADPTPSEFNSQDSVE VTVDRPLEKPSCSLGIKGSNAPIQVSAPQPPSLTHQNSISSHPLVSCTGLSQSSDQTSNP VTVNMTVSSSSYGSQPPVPPLMTEYPQEQLTSMTSAIPNPQVQEPLSKPSHESRKDSAKR TVQDDLLLNSAKRQKHCQPAPLRLESMPLMSRTPDNIPDQTQMMASQITPNSSNSVVPVS NPAHGDGLARLFPPSNNFVAPPLRQTDVQCNSQPSVAEQQQQQQTQAGQHLQALQQHVPP QGVSHLHTNHLYIKQQQQAGQLRERHHLYQLQHHVPHADSAVHSQPHIVHQQRTLQQEVQ MQKKRNLVQGTPTSQLSLQPKHHGTDQSRPKSSQPHPHHQQMQQQLQQHFASSQPEKSCE NPSTNRGHHSHPQNHLNQDVLHQQQDVGSRQQGSDHVSGHNPMQRLLPSRGLEQQMVSQP SIVTRSSDMTCAPHRPERNRVSSYSAEALIGKSSSTSDQRMGISIQGSRVSDQLEMRSYL DVPRNKSLALHNMQGRMDHTVTSDIRLSDCQTFKPGGASQQPQSNFEVQSSRNNEIGNPV PSLRSMQSQAFRISQNTGPPPIDRQKRLSYPPVQSIPTGNAVPPRDSENTCHQSFMQSLL APHLGDQVIGSQRSLSEHPRNTQCGPSSTIEYNCPPTRESVHIRRENESQNRESCDMSLG AINPRNSTLNIPFSSSSSSGDIQGRNTSPNVSVQKSNPMRITDSHGAKGHMNPPVTSNMH GVARPTLPHPSVSHGNADQGPPVRQANSSVAQRSRHPLQDSSGSKIRQPERNRSGNQRHS NVFDPSLPHLPLSTSGSMILGRQQPAAEKRGSIVRFMPDSPQVPNDNSGPDQHSLSQNFG FPFIPEGGMNPPINANTSFIPQVTQPSATRAPALIPVDPQNTLPSFYPPYSPAHPTLSND ISIPYFSNQMFSNPSTEKVNSGSLNNRFGSILSPPRPVGFAQPSFPLLPEMPPMHVANSH LSNFNMTSLFPEIAAALPDGSAMSPLLTIANSSASDSSKQPSNRPAHNISHILGHDCSSA V >ENSMUSP00000085694.4 pep:known chromosome:GRCm38:16:44173397:44212932:1 gene:ENSMUSG00000068284.14 transcript:ENSMUST00000088356.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf3 description:upstream transcription factor family member 3 [Source:MGI Symbol;Acc:MGI:2685454] MPEMTEHETPTKKQHRKKNRETHNAVERHRKKKINAGINRIGELIPCSPALKQSKNMILD QAFKYITELKRQNDELLLNGGSSEQGGDLAESCCLLFVLQ >ENSMUSP00000128627.1 pep:known chromosome:GRCm38:16:44173397:44227463:1 gene:ENSMUSG00000068284.14 transcript:ENSMUST00000169582.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf3 description:upstream transcription factor family member 3 [Source:MGI Symbol;Acc:MGI:2685454] MPEMTEHETPTKKQHRKKNRETHNAVERHRKKKINAGINRIGELIPCSPALKQSKNMILD QAFKYITELKRQNDELLLNGGSSEQAEEIKKLRKQLEEIQKENGRYIELLKANDICLYDD PTIHWKGNLKTSKVSVVIPSDQVQKNIIVYSNGSQPGGNSQGTAVQGITFNVGHGLQKQT ANVVPVQRTCKLVTPVSISGVYPSENKPWHQTTVSALAANQSVPLCLPAAISAQNILELS TSESQSSGLGATGGSVVTVSVGPDPHPHRSSNTCLNDQNASENNNAQESPKSSKKTVTCV SGISSSTSAAAPEVHHENKSSPAMQGSSADSQNTLVSVTTTVCSQPPRSTGGSSPVSGSK TVDSPSTATVVVPAHAAVAKSTLPVSSLSANPLEGGWTLSCSLPSSSVSASELKNISSLT RISSAGNTQTTWTTLHLAGNTIQPLSQPPSSAATPALNECGTSPTPNNHKHVATAITLNN SPPTDGQPVEQVVVTLPSCPPLPMQPLIAQPQVKSQPPKNILPLNSAMQVIQMAQPVGSG VTAAPANQNVIILQPPSTTPCPTMMRAEVSNQTVGQQIVIIQAANQNPLPLLSAPHPGPV RLPVNGASAIIGSHNSVQNVPAPQTFGGKHLVHILPRPSSLSTSNSTQTFSVTMSNQQQP QTISLNGQLFALQPVMSSSGTTNQSPMQIIQPTTSEDPNTNVALNTFGALASLNQSISQM AGQSCVQLSISHSANPQTSANSQTSPANCVSLTTTVAPTITVDNSATPSTTYSLATASST NTVTCLPSTMKSKRLSKKPGAKKHLTANKPACPLNSVRDVGKIDGPRINSSAEPSCSDGL LDSLPVGLSSVAVSQAKNVSISASHSLDVLNSESVTPDSGPQSKSTEDCSSPSHESETNE QLVLAPAKPKDSTPILQETSQGTPPCSVALSNDMKSCTSANVLTPSPIESQSLVSQVSGL SSTTSTSSTDCISEVEIVAEPCPVQQDSLDTMQTAGSLKGQGLTMLLSDLAKEKDLQRSS LSVQMEHPDFSPESSKIGDSNVDLPPKQELLLMSDDRDPPQPHSCLPDQEVLHGSLLTSR QADSPLSTSSGSSRSFSVASMLPETAREDVTSSTTSNTCDGCTFAEQTDIVALAARAILD QESIEKGRLGTQADVKEVTPKPSETSLEGDQPFKPQIHKETCTGQADPTPSEFNSQDSVE VTVDRPLEKPSCSLGIKGSNAPIQVSAPQPPSLTHQNSISSHPLVSCTGLSQSSDQTSNP VTVNMTVSSSSYGSQPPVPPLMTEYPQEQLTSMTSAIPNPQVQEPLSKPSHESRKDSAKR TVQDDLLLNSAKRQKHCQPAPLRLESMPLMSRTPDNIPDQTQMMASQITPNSSNSVVPVS NPAHGDGLARLFPPSNNFVAPPLRQTDVQCNSQPSVAEQQQQQQTQAGQHLQALQQHVPP QGVSHLHTNHLYIKQQQQAGQLRERHHLYQLQHHVPHADSAVHSQPHIVHQQRTLQQEVQ MQKKRNLVQGTPTSQLSLQPKHHGTDQSRPKSSQPHPHHQQMQQQLQQHFASSQPEKSCE NPSTNRGHHSHPQNHLNQDVLHQQQDVGSRQQGSDHVSGHNPMQRLLPSRGLEQQMVSQP SIVTRSSDMTCAPHRPERNRVSSYSAEALIGKSSSTSDQRMGISIQGSRVSDQLEMRSYL DVPRNKSLALHNMQGRMDHTVTSDIRLSDCQTFKPGGASQQPQSNFEVQSSRNNEIGNPV PSLRSMQSQAFRISQNTGPPPIDRQKRLSYPPVQSIPTGNAVPPRDSENTCHQSFMQSLL APHLGDQVIGSQRSLSEHPRNTQCGPSSTIEYNCPPTRESVHIRRENESQNRESCDMSLG AINPRNSTLNIPFSSSSSSGDIQGRNTSPNVSVQKSNPMRITDSHGAKGHMNPPVTSNMH GVARPTLPHPSVSHGNADQGPPVRQANSSVAQRSRHPLQDSSGSKIRQPERNRSGNQRHS NVFDPSLPHLPLSTSGSMILGRQQPAAEKRGSIVRFMPDSPQVPNDNSGPDQHSLSQNFG FPFIPEGGMNPPINANTSFIPQVTQPSATRAPALIPVDPQNTLPSFYPPYSPAHPTLSND ISIPYFSNQMFSNPSTEKVNSGSLNNRFGSILSPPRPVGFAQPSFPLLPEMPPMHVANSH LSNFNMTSLFPEIAAALPDGSAMSPLLTIANSSASDSSKQPSNRPAHNISHILGHDCSSA V >ENSMUSP00000061264.5 pep:known chromosome:GRCm38:17:35126386:35128855:1 gene:ENSMUSG00000043311.7 transcript:ENSMUST00000061859.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D17H6S53E description:DNA segment, Chr 17, human D6S53E [Source:MGI Symbol;Acc:MGI:90673] MFLRRLGGWLPRPWGRKKSTKADLPAPEPRWVDSSPENSGSDWDSAPETMGDVGPLKTKD SGTRRPPGAAPESSRDLKVDQLGSKRMDSLKRDKTASTIQEPARLESGGAIPKLDWDPVD SGGVKNLGVSAQGRLGTIGPEALLEKPGRRQKLLRWLRGEPGAPSHYLQDPEEYLQISTN LTLHLLELLATALLALCSRPLRAILDALGLRGPVGLWLHGLLCFLAALHGLHAVLSLLTA HPLHFACLFGLLQALVLAVSLREPVEDEETADWESEGQEREAKEQREGPGRML >ENSMUSP00000142591.1 pep:known chromosome:GRCm38:5:146079257:146113287:1 gene:ENSMUSG00000061292.10 transcript:ENSMUST00000199212.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp3a59 description:cytochrome P450, family 3, subfamily a, polypeptide 59 [Source:MGI Symbol;Acc:MGI:3769707] MELIPNLSIETWVLLVTSLVFFYIYGTYSHGLFKKLGIPGPKPLPLFGTIFNYFDGMWKF DEDCYKKYGKIWGFYVGPKPILAIMDPEIIKIVLVKECYSVFTNRPTLGPVGFLKKSITI SEDEEWKRLRTLLSPTFTSGKLKEHLWSL >ENSMUSP00000049494.8 pep:known chromosome:GRCm38:5:146079267:146113285:1 gene:ENSMUSG00000061292.10 transcript:ENSMUST00000035571.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp3a59 description:cytochrome P450, family 3, subfamily a, polypeptide 59 [Source:MGI Symbol;Acc:MGI:3769707] MELIPNLSIETWVLLVTSLVFFYIYGTYSHGLFKKLGIPGPKPLPLFGTIFNYFDGMWKF DEDCYKKYGKIWGFYVGPKPILAIMDPEIIKIVLVKECYSVFTNRPTLGPVGFLKKSITI SEDEEWKRLRTLLSPTFTSGKLKEMFPIMRQYGDILVRNLRREEEKGEPINMKDIFGAYS MDVITGTSFGVNIDSLNNPQNPFVQKTKKILKFKIFDPFLLSTILFPFLTPIYEMLNLSI FPRDSMNFFKKFVKRMKKERLASKQKNRVDFLQLMMNTQNSKGQESQKALSDLEMAAQAV IFIFGGYDATSTSISLIMYELATHPDVQKKLQDEIDRTLPNKAPVTYDALMDMEYLDMVV NESLRLYPTAIRLERVSKKDVEINGVFIPKGTVVMIPIYPLHRNPEYWPEPQEFCPGRFS KENEGNIDPYIYMPFGNGPRNCLDMRFALISMKLAVIGVLQNFTVQPCEETQIPLKISRE PVFQPEKPIILKVVSRDKPRTAS >ENSMUSP00000140002.1 pep:known chromosome:GRCm38:13:92211872:92354995:-1 gene:ENSMUSG00000014850.15 transcript:ENSMUST00000185852.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh3 description:mutS homolog 3 (E. coli) [Source:MGI Symbol;Acc:MGI:109519] MPRGKSASGGSTAAGPGPGRQTVLSRFFRSAGSLRSSASSTEPAEKVTEGDSRKRSLGNG GPTKKKARKVPEKEEENISVAAHHPEAKKCLRPRIVLKSLEKLKEFCCDSALPQNRVQTE ALRERLEVLPRCTDFEDITLQRAKNAVLSEDSKSQANQKDSQFGPCPEVFQKTSDCKPFN KRSKSVYTPLELQYLDMKQQHKDAVLCVECGYKYRFFGEDAEIAARELNIYCHLDHNFMT ASIPTHRLFVHVRRLVAKGYKVGVVKQTETAALKAIGDNKSSVFSRKLTALYTKSTLIGE DVNPLIRLDDSVNIDEVMTDTSTNYLLCIYEEKENIKDKKKGNLSVGIVGVQPATGEVVF DCFQDSASRLELETRISSLQPVELLLPSDLSVPTEMLIQRATNVSVRDDRIRVERMNNTY FEYSHAFQTVTEFYAREIVDSQGSQSLSGVINLEKPVICALAAVIRYLKEFNLEKMLSKP ESFKQLSSGMEFMRINGTTLRNLEILQNQTDMKTKGSLLWVLDHTKTSFGRRKLKNWVTQ PLLKLREINARLDAVSDVLHSESSVFEQIENLLRKLPDVERGLCSIYHKKCSTQEFFLIV KSLCQLKSELQALMPAVNSHVQSDLLRALIVEAPELLSPVEHYLKVLNGPAAKVGDKTEL FKDLSDFPLIKKRKNEIQEVIHSIQMRLQEFRKILKLPSLQYVTVSGQEFMIEIKNSAVS CIPADWVKVGSTKAVSRFHPPFIVESYRRLNQLREQLVLDCNAEWLGFLENFGEHYHTLC KAVDHLATVDCIFSLAKVAKQGNYCRPTLQEEKKIIIKNGRHPMIDVLLGEQDQFVPNST SLSQDSERVMIITGPNMGGKSSYIKQVALVTIMAQIGSYVPAEEATIGIVDGIFTRMGAA DNIYKGRSTFMEELTDTAEIIRRASPQSLVILDELGRGTSTHDGIAIAYATLEYFIRDVK SLTLFVTHYPPVCELEKCYPEQVGNYHMGFLVNEDESKQDSGDMEQMPDSVTFLYQITRG IAARSYGLNVAKLADVPREVLQKAAHKSKELEGLVSLRRKRLECFTDLWTTHSVKDLHTW ADKLEMEEIQTSLPH >ENSMUSP00000140659.1 pep:known chromosome:GRCm38:13:92211882:92354999:-1 gene:ENSMUSG00000014850.15 transcript:ENSMUST00000191550.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Msh3 description:mutS homolog 3 (E. coli) [Source:MGI Symbol;Acc:MGI:109519] MPRGKSASGGSTAAGPGPGRQTVLSRFFRSAGSLRSSASSTEPAEKVTEGDSRKRSLGNG GPTKKKARKVPEKEEENISVAAHHPESRQKLFGRDLKFCQGVLILKISLCNVQRMRFCPK IPNLRLIRKTVNLDPALKFSRRLPIVNLLTSDPRASIHR >ENSMUSP00000022220.7 pep:known chromosome:GRCm38:13:92211886:92355003:-1 gene:ENSMUSG00000014850.15 transcript:ENSMUST00000022220.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh3 description:mutS homolog 3 (E. coli) [Source:MGI Symbol;Acc:MGI:109519] MPRGKSASGGSTAAGPGPGRQTVLSRFFRSAGSLRSSASSTEPAEKVTEGDSRKRSLGNG GPTKKKARKVPEKEEENISVAAHHPEAKKCLRPRIVLKSLEKLKEFCCDSALPQNRVQTE ALRERLEVLPRCTDFEDITLQRAKNAVLSEDSKSQANQKDSQFGPCPEVFQKTSDCKPFN KRSKSVYTPLELQYLDMKQQHKDAVLCVECGYKYRFFGEDAEIAARELNIYCHLDHNFMT ASIPTHRLFVHVRRLVAKGYKVGVVKQTETAALKAIGDNKSSVFSRKLTALYTKSTLIGE DVNPLIRLDDSVNIDEVMTDTSTNYLLCIYEEKENIKDKKKGNLSVGIVGVQPATGEVVF DCFQDSASRLELETRISSLQPVELLLPSDLSVPTEMLIQRATNVSVRDDRIRVERMNNTY FEYSHAFQTVTEFYAREIVDSQGSQSLSGVINLEKPVICALAAVIRYLKEFNLEKMLSKP ESFKQLSSGMEFMRINGTTLRNLEILQNQTDMKTKGSLLWVLDHTKTSFGRRKLKNWVTQ PLLKLREINARLDAVSDVLHSESSVFEQIENLLRKLPDVERGLCSIYHKKCSTQEFFLIV KSLCQLKSELQALMPAVNSHVQSDLLRALIVEAPELLSPVEHYLKVLNGPAAKVGDKTEL FKDLSDFPLIKKRKNEIQEVIHSIQMRLQEFRKILKLPSLQYVTVSGQEFMIEIKNSAVS CIPADWVKVGSTKAVSRFHPPFIVESYRRLNQLREQLVLDCNAEWLGFLENFGEHYHTLC KAVDHLATVDCIFSLAKVAKQGNYCRPTLQEEKKIIIKNGRHPMIDVLLGEQDQFVPNST SLSDSERVMIITGPNMGGKSSYIKQVALVTIMAQIGSYVPAEEATIGIVDGIFTRMGAAD NIYKGRSTFMEELTDTAEIIRRASPQSLVILDELGRGTSTHDGIAIAYATLEYFIRDVKS LTLFVTHYPPVCELEKCYPEQVGNYHMGFLVNEDESKQDSGDMEQMPDSVTFLYQITRGI AARSYGLNVAKLADVPREVLQKAAHKSKELEGLVSLRRKRLECFTDLWTTHSVKDLHTWA DKLEMEEIQTSLPH >ENSMUSP00000140402.1 pep:known chromosome:GRCm38:13:92212257:92235599:-1 gene:ENSMUSG00000014850.15 transcript:ENSMUST00000190761.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh3 description:mutS homolog 3 (E. coli) [Source:MGI Symbol;Acc:MGI:109519] GIVDGIFTRMGAADNIYKGRSTFMEELTDTAEIIRRASPQSLVILDELGRGTSTHDGIAI AYATLEYFIRDVKSLTLFVTHYPPVCELEKCYPEQVGNYHMGFLVNEDESKQDSGKGLNV LPTYGRHTV >ENSMUSP00000139620.1 pep:known chromosome:GRCm38:13:92249854:92354985:-1 gene:ENSMUSG00000014850.15 transcript:ENSMUST00000187874.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Msh3 description:mutS homolog 3 (E. coli) [Source:MGI Symbol;Acc:MGI:109519] MPRGKSASGGSTAAGPGPGRQTVLSRFFRSAGSLRSSASSTEPAEKVTEGDSRKRSLGNG GPTKKKARKVPEKEEENISVAAHHPGILVNIITLCVRLWIT >ENSMUSP00000141158.1 pep:known chromosome:GRCm38:13:92309180:92354996:-1 gene:ENSMUSG00000014850.15 transcript:ENSMUST00000191509.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Msh3 description:mutS homolog 3 (E. coli) [Source:MGI Symbol;Acc:MGI:109519] MPRGKSASGGSTAAGPGPGRQTVLSRFFRSAGSLRSSASSTEPAEKSPDRSSSGET >ENSMUSP00000141163.1 pep:known chromosome:GRCm38:13:92346520:92354985:-1 gene:ENSMUSG00000014850.15 transcript:ENSMUST00000190393.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Msh3 description:mutS homolog 3 (E. coli) [Source:MGI Symbol;Acc:MGI:109519] MPRGKSASGGSTAAGPGPGRQTVLSRFFRSAGSLRSSASSTEPAEKVTEGDSRKRSLGNG GPTKKKARKVPEKEEENISVAAHHPEAKKCLRPRIVLKSLEKLKEFCCDSALPQNRVQTE ALRERLEVLPRCTDFEDITLQRAKNAVLSEDSKSQANQKDSQFGPCPEVFQKTSDCKPFN KRSKSVYTPLELQYLDMKQQHKDAVLCVECGYKYRFFGEDAEVPALYEFLLHAFSRPARK NAANRNLLWQKLYCLHLQEPESKRARESKKARKRTRTRKQEQEKEWQNPVPFKENYPPPR TYYSLIGCSPSAQLSSRERQNTWRENCPCTCADYVYYLEHSCQRHLIMANVRAVPHKFLP >ENSMUSP00000139622.1 pep:known chromosome:GRCm38:13:92351127:92354985:-1 gene:ENSMUSG00000014850.15 transcript:ENSMUST00000187424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh3 description:mutS homolog 3 (E. coli) [Source:MGI Symbol;Acc:MGI:109519] MPRGKSASGGSTAAGPGPGRQTVLSRFFRSAGSLRSSASSTEPAEKVTEGDSRKRSLGNG GPTKKKARKVPEKEEENISVAAHHPEAKKCLRPRIVLKSLEKLKEFCCDSALPQNRVQTE ALRERLEVLPRCTDFEDITLQRAKNAVLSEDSKSQANQKVCNCCVGE >ENSMUSP00000104697.1 pep:known chromosome:GRCm38:2:175010241:175017612:1 gene:ENSMUSG00000078906.9 transcript:ENSMUST00000109069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14444 description:predicted gene 14444 [Source:MGI Symbol;Acc:MGI:3652325] MNLITYDDVHVNFTQEEWALLDPSHKNLYKDVMVETYRNLTAIGYIWEEHTSEDHFQNSR SHGR >ENSMUSP00000104698.2 pep:known chromosome:GRCm38:2:175010319:175019248:1 gene:ENSMUSG00000078906.9 transcript:ENSMUST00000109070.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14444 description:predicted gene 14444 [Source:MGI Symbol;Acc:MGI:3652325] MNLITYDDVHVNFTQEEWALLDPSHKNLYKDVMVETYRNLTAIGYIWEEHTSEDHFQNSR SHGRHERSCSAEQLSEFIHCGKAFAYESGSQRHQIKHTGEKHHDCNQCGKAFKRRSDIQI HKQTHTGEKPYECNQCGKAFAGSGDLQKHKRTHTGEKPYKCNQCGKAFSQSSHLRIHKRT HTGEKPYECKQCGKAFAHSSHLHIHERTHTREKPYECKQCGKAFSQSSHLQIHKRTHTGE KPYECKQCGKAFAQSSHLRIHKQTHTGEKPYECKQCGKGFAGSGDLQKHKQTHTGEKPYE CKQCGKAFSRKSDLQKHKRTHTGEKPYECKQCGKAFAQSSTLQIHKRTHTGEKPYECKQC GKAFAGSSGLQCHKRSHTGETPYECNQGGKPFEGSSGLEYNNRTQTAEKPYECNQCGKVF AGRSDL >ENSMUSP00000124796.1 pep:known chromosome:GRCm38:8:104831601:104838649:1 gene:ENSMUSG00000050097.6 transcript:ENSMUST00000163042.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ces2b description:carboxyesterase 2B [Source:MGI Symbol;Acc:MGI:2448547] MPRSQMHNWLDVLLFGLLLLLGHVQGLTRGQPHQKHTYRTGPRQPCPREGH >ENSMUSP00000063005.6 pep:known chromosome:GRCm38:8:104831572:104840093:1 gene:ENSMUSG00000050097.6 transcript:ENSMUST00000059449.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces2b description:carboxyesterase 2B [Source:MGI Symbol;Acc:MGI:2448547] MPRSQMHNWLDVLLFGLLLLLGHVQGQDSPEASPIRNTHTGQVRGSLVHVKDTKAGVHTF LGIPFAKPPVGPLRFAPPEAPEPWSGVRDGTAHPAMCLQNLGVMKEIKLKLPPVSTSEDC LYLNIYTPAHAHEGSNLPVMVWIHGGGLVAGMASMYDGSLLAAIEDLVVVTIQYRLGVLG FFSTGDQHARGNWGFLDQVAALRWIQQNIAHFGGKPDRVTIFGESAGGTSVSSHVVSPMS KGLFHGAIMESGVALLPYLITDTSEMVSTTVAKLSGCEAMDSEALVRCLRGKSEAEILAI NKLVQMIPAVVDGEFFPRHPKELLASEDFHPVPSIIGVNNDEFGWTIPVVMGSAQTIKEI TRENLQAVLKNTTAQLMLPPECSDLLMEEYMGDTEDAQTLQIQFTEMMEDFMFVIPALQV AYFQRSHASVYFYEFQHQIASLKDVRPTHVKADHADEIPFVFGYFFWDMKLDFTEGEKLL SRRMMKYWANFARHGNPNSEGLPYWPVMDHDEQYLQLDTQPAVGRALKSRRLQFWTKTLS QKIQELRASQDKHTEL >ENSMUSP00000075507.3 pep:known chromosome:GRCm38:9:104098141:104126933:-1 gene:ENSMUSG00000079355.3 transcript:ENSMUST00000076147.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ackr4 description:atypical chemokine receptor 4 [Source:MGI Symbol;Acc:MGI:2181676] MALELNQSAEYYYEENEMNYTHDYSQYEVICIKEEVRQFAKVFLPAFFTVAFVTGLAGNS VVVAIYAYYKKQRTKTDVYILNLAVADLLLLITLPFWAVNAVHGWILGKMMCKVTSALYT VNFVSGMQFLACISIDRYWAITKAPSQSGAGRPCWIICCCVWMAAILLSIPQLVFYTVNQ NARCTPIFPHHLGTSLKASIQMLEIGIGFVVPFLIMGVCYASTARALIKMPNIKKSRPLR VLLAVVVVFIVTQLPYNVVKFCQAIDAIYLLITSCDMSKRMDVAIQVTESIALFHSCLNP ILYVFMGASFKNYIMKVAKKYGSWRRQRQNVEEIPFDSEGPTEPTSSFTI >ENSMUSP00000140792.1 pep:known chromosome:GRCm38:9:104098272:104103019:-1 gene:ENSMUSG00000079355.3 transcript:ENSMUST00000188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ackr4 description:atypical chemokine receptor 4 [Source:MGI Symbol;Acc:MGI:2181676] MALELNQSAEYYYEENEMNYTHDYSQYEVICIKEEVRQFAKVFLPAFFTVAFVTGLAGNS VVVAIYAYYKKQRTKTDVYILNLAVADLLLLITLPFWAVNAVHGWILGKMMCKVTSALYT VNFVSGMQFLACISIDRYWAITKAPSQSGAGRPCWIICCCVWMAAILLSIPQLVFYTVNQ NARCTPIFPHHLGTSLKASIQMLEIGIGFVVPFLIMGVCYASTARALIKMPNIKKSRPLR VLLAVVVVFIVTQLPYNVVKFCQAIDAIYLLITSCDMSKRMDVAIQVTESIALFHSCLNP ILYVFMGASFKNYIMKVAKKYGSWRRQRQNVEEIPFDSEGPTEPTSSFTI >ENSMUSP00000120767.1 pep:known chromosome:GRCm38:1:81076950:81298934:1 gene:ENSMUSG00000054976.14 transcript:ENSMUST00000137862.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nyap2 description:neuronal tyrosine-phophorylated phosphoinositide 3-kinase adaptor 2 [Source:MGI Symbol;Acc:MGI:2443135] MIPSKMMSANPEEDPLDTFFQYIEDMGMKAYDGLVIQNASDIARENDRLRNETNLAYLKE KNEKRRRQEETIKRIGGEVGRGQDASYAGKHFRMGFMTMPAPQDRLPHPCSSGFTVRSQS LHSVGGTEDDSSCGSRRQPPPKPKRDPSTKLSTSSETVNSTAASKSGRSLERAEVSAKPR PHSDEYSKKIPPPKPKRNPNTQLSTSFDETYIKKHVPRRTSLPRDSSLSQVCSPAADPEE EEPVYIEMVGNILRDFRKEEDDQSEAVYEEMKYPIFDDLGHDSKCDFDHHSCSSQCATPT VPDLDFVKSSGPCTPKGLLCDIPPPFPNLLSHRPPLLVFPPAPVHCSPNSDESPLTPLEV TKLPVLENVSYMKQPPGACPSSLPSHGSSHAKDQTGALGPAPGASILSSSPPPPSTLYRT QSPHGYPKSHSTSPSPVSMGRSLTPLSLKRPPPYDAVHSGSLSRSSSSVPHTTPRPVSQD GAKMVNAAVNTYSAAQSGSRSRTPTSPLEELTSLFTSGRSLLRKSSSGRRSKEPAEKSTE ELKVRSHSTEPLPKLDSKERGHYGSSSSREPVKAQEWDGTPGPPVVTSRMGRCSVSPTLL AGNHSSEPKVSCKLGRSASTSGVPPPSVTPLRQASDLQQSQVPSSLANRD >ENSMUSP00000117661.1 pep:known chromosome:GRCm38:1:81077204:81298909:1 gene:ENSMUSG00000054976.14 transcript:ENSMUST00000123720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nyap2 description:neuronal tyrosine-phophorylated phosphoinositide 3-kinase adaptor 2 [Source:MGI Symbol;Acc:MGI:2443135] MIPSKMMSANPEEDPLDTFFQYIEDMGMKAYDGLVIQNASDIARENDRLRNETNLAYLKE KNEKRRRQEETIKRIGGEVGRGQDASYAGKHFRMGFMTMPAPQDRLPHPCSSGFTVRSQS LHSVGGTEDDSSCGSRRQPPPKPKRDPSTKLSTSSETVNSTAASKSGRSLERAEGKFTVP ASHSPPRASTSGHLFPSPGSQERNIKVSAKPRPHSDEYSKKIPPPKPKRNPNTQLSTSFD ETYIKKHVPRRTSLPRDSSLSQVCSPAADPEEEEPVYIEMVGNILRDFRKEEDDQSEAVY EEMKYPIFDDLGHDSKCDFDHHSCSSQCATPTVPDLDFVKSSGPCTPKGLLCDIPPPFPN LLSHRPPLLVFPPAPVHCSPNSDESPLTPLEVTKLPVLENVSYMKQPPGACPSSLPSHGS SHAKDQTGALGPAPGASILSSSPPPPSTLYRTQSPHGYPKSHSTSPSPVSMGRSLTPLSL KRPPPYDAVHSGSLSRSSSSVPHTTPRPVSQDGAKMVNAAVNTYSAAQSGSRSRTPTSPL EELTSLFTSGRSLLRKSSSGRRSKEPAEKSTEELKVRSHSTEPLPKLDSKERGHYGSSSS REPVKAQEWDGTPGPPVVTSRMGRCSVSPTLLAGNHSSEPKVSCKLGRSASTSGVPPPSV TPLRQASDLQQSQVPSSLANRD >ENSMUSP00000065468.5 pep:known chromosome:GRCm38:1:81077317:81271664:1 gene:ENSMUSG00000054976.14 transcript:ENSMUST00000068275.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nyap2 description:neuronal tyrosine-phophorylated phosphoinositide 3-kinase adaptor 2 [Source:MGI Symbol;Acc:MGI:2443135] MIPSKMMSANPEEDPLDTFFQYIEDMGMKAYDGLVIQNASDIARENDRLRNETNLAYLKE KNEKRRRQEETIKRIGGEVGRGQDASYAGKHFRMGFMTMPAPQDRLPHPCSSGFTVRSQS LHSVGGTEDDSSCGSRRQPPPKPKRDPSTKLSTSSETVNSTAASKSGRSLERAEGKFTVP ASHSPPRASTSGHLFPSPGSQERNIKVSAKPRPHSDEYSKKIPPPKPKRNPNTQLSTSFD ETYIKKHVPRRTSLPRDSSLSQVCSPAADPEEEEPVYIEMVGNILRDFRKEEDDQSEAVY EEMKYPIFDDLGHDSKCDFDHHSCSSQCATPTVPDLDFVKSSGPCTPKGLLCDIPPPFPN LLSHRPPLLVFPPAPVHCSPNSDESPLTPLEVTKLPVLENVSYMKQPPGACPSSLPSHGS SHAKDQTGALGPAPGASILSSSPPPPSTLYRTQSPHGYPKSHSTSPSPVSMGRSLTPLSL KRPPPYDAVHSGSLSRSSSSVPHTTPRPVSQDGAKMVNAAVNTYSAAQSGSRSRTPTSPL EELTSLFTSGRSLLRKSSSGRRSKEPAEKSTEELKVRSHSTEPLPKLDSKERGHYGSSSS REPVKAQEWDGTPGPPVVTSRMGRCSVSPTLLAGNHSSGKHDPVLPNGSKSLEAAHMQIS LRKPSPQLSLLPPRLAPQTHTLRSRHMKNATVPLFIAMARETMLLEALLCSSLQLGKTLY QMSSKMTTGLNSSHCNL >ENSMUSP00000109122.2 pep:known chromosome:GRCm38:1:81077324:81300096:1 gene:ENSMUSG00000054976.14 transcript:ENSMUST00000113494.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nyap2 description:neuronal tyrosine-phophorylated phosphoinositide 3-kinase adaptor 2 [Source:MGI Symbol;Acc:MGI:2443135] MIPSKMMSANPEEDPLDTFFQYIEDMGMKAYDGLVIQNASDIARENDRLRNETNLAYLKE KNEKRRRQEETIKRIGGEVGRGQDASYAGKHFRMGFMTMPAPQDRLPHPCSSGFTVRSQS LHSVGGTEDDSSCGSRRQPPPKPKRDPSTKLSTSSETVNSTAASKSGRSLERAEVSAKPR PHSDEYSKKIPPPKPKRNPNTQLSTSFDETYIKKHVPRRTSLPRDSSLSQVCSPAADPEE EEPVYIEMVGNILRDFRKEEDDQSEAVYEEMKYPIFDDLGHDSKCDFDHHSCSSQCATPT VPDLDFVKSSGPCTPKGLLCDIPPPFPNLLSHRPPLLVFPPAPVHCSPNSDESPLTPLEV TKLPVLENVSYMKQPPGACPSSLPSHGSSHAKDQTGALGPAPGASILSSSPPPPSTLYRT QSPHGYPKSHSTSPSPVSMGRSLTPLSLKRPPPYDAVHSGSLSRSSSSVPHTTPRPVSQD GAKMVNAAVNTYSAAQSGSRSRTPTSPLEELTSLFTSGRSLLRKSSSGRRSKEPAEKSTE ELKVRSHSTEPLPKLDSKERGHYGSSSSREPVKAQEWDGTPGPPVVTSRMGRCSVSPTLL AGNHSSEPKVSCKLGRSASTSGVPPPSVTPLRQASDLQQSQVPSSLANRD >ENSMUSP00000122935.1 pep:known chromosome:GRCm38:1:81077583:81341764:1 gene:ENSMUSG00000054976.14 transcript:ENSMUST00000123285.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nyap2 description:neuronal tyrosine-phophorylated phosphoinositide 3-kinase adaptor 2 [Source:MGI Symbol;Acc:MGI:2443135] MIPSKMMSANPEEDPLDTFFQYIEDMGMKAYDGLVIQNASDIARENDRLRNETNLAYLKE KNEKRRRQEETIKRIGGEVGRGQDASYAGKHFRMGFMTMPAPQDRLPHPCSSGFTVRSQS LHSVGGTEDDSSCGSRRQPPPKPKRDPSTKLSTSSETVNSTAASKSGRSLERAEVSAKPR PHSDEYSKKIPPPKPKRNPNTQLSTSFDETYIKKHVPRRTSLPRDSSLSQVCSPAADPEE EEPVYIEMVGNILRDFRKEEDDQSEAVYEEMKYPIFDDLGHDSKCDFDHHSCSSQCATPT VPDLDFVKSSGPCTPKGLLCDIPPPFPNLLSHRPPLLVFPPAPVHCSPNSDESPLTPLEV TKLPVLENVSYMKQPPGACPSSLPSHGSSHAKDQTGALGPAPGASILSSSPPPPSTLYRT QSPHGYPKSHSTSPSPVSMGRSLTPLSLKRPPPYDAVHSGSLSRSSSSVPHTTPRPVSQD GAKMVNAAVNTYSAAQSGSRSRTPTSPLEELTSLFTSGRSLLRKSSSGRRSKEPAEKSTE ELKVRSHSTEPLPKLDSKERGHYGSSSSREPVKAQEWDGTPGPPVVTSRMGRCSVSPTLL AGNHSSEPKVSCKLGRSASTSGVPPPSVTPLRQASDLQQSQVACMQWFHGDHTMLEMIEK KRCLCKEIKARQKTEKGLCKQDSMPILPSWKKNAGAKKYSPPPYSKQQTVFWDTAI >ENSMUSP00000107908.2 pep:known chromosome:GRCm38:X:163935443:163958589:-1 gene:ENSMUSG00000031370.12 transcript:ENSMUST00000112289.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zrsr2 description:zinc finger (CCCH type), RNA binding motif and serine/arginine rich 2 [Source:MGI Symbol;Acc:MGI:103287] METAGATADATAGPQKLSRKKYLALRKKERRKRRRQALARLREAELAQKEEEEDPLAEEK RLEEERLLEEERQRLHEEWLLREEKAQEEFRAKKKKEEEARKRKEELERKLKAEWEEQQR KEREEEEQKRQEKREREEAVQKMLDQAENELENGGTWQNPEPPMDIRVLEKDRANCPFYS KTGACRFGDRCSRKHNFPTSSPTLLIKGMFTTFGMEQCRRDDYDPDSSLEFSEEEIYQQF LDFYYDVLPEFKSVGKVIQFKVSCNLEPHLRGNVYVQYQSEEDCQAAFSVFNGRWYAGRQ LQCEFCPVTRWKMAICGLFEVQQCPRGKHCNFLHVFRNPNNEYRDANRDLYPSPDWTSSS FGKNSERRERASHYDEYYGRSRRRRRSPSPDFYKRNGESDRKSSSRHRVKKSHRYGMKSR ERRSSPSRRRKDHSPGPWSQSRRSHRSRSRSRSRSRSRSRTRSRSRGRGRSRSRSRSRSR GRSRSRGRGSGRGRGRGRGRGRNQSRSWSQSRSRSSSSSRSRSRGRRSGSRDKTTQSPKS K >ENSMUSP00000088350.3 pep:known chromosome:GRCm38:X:163950386:163958598:-1 gene:ENSMUSG00000031370.12 transcript:ENSMUST00000090840.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zrsr2 description:zinc finger (CCCH type), RNA binding motif and serine/arginine rich 2 [Source:MGI Symbol;Acc:MGI:103287] METAGATADATAGPQKLSRKKYLALRKKERRKRRRQALARLREAELAQKEEEEDPLAEEK RLEEERLLEEERQRLHEEWLLREEKAQEEFRAKKKKEEEARKRKEELERKLKAEWEEQQR KEREEEEQKRQEKREREVTSVIGG >ENSMUSP00000122747.1 pep:known chromosome:GRCm38:X:163953640:163958552:-1 gene:ENSMUSG00000031370.12 transcript:ENSMUST00000147928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zrsr2 description:zinc finger (CCCH type), RNA binding motif and serine/arginine rich 2 [Source:MGI Symbol;Acc:MGI:103287] METAGATADATAGPQKLSRKKYLALRKKERRKRRRQALARLREAELAQKEEEEDPLAEEK RLEEERLLEEERQRLHEEWLLREEKAQEEFRAKKKKEEEARKRKEELERKLKA >ENSMUSP00000120257.1 pep:known chromosome:GRCm38:X:163956472:163958661:-1 gene:ENSMUSG00000031370.12 transcript:ENSMUST00000127370.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zrsr2 description:zinc finger (CCCH type), RNA binding motif and serine/arginine rich 2 [Source:MGI Symbol;Acc:MGI:103287] METAGATADATAGPQKLSRKKYLALRKKERRKRRRQALARLREAELAQKEEEEDPLAEEK RLEEERLLEEE >ENSMUSP00000027215.5 pep:known chromosome:GRCm38:1:44086613:44102391:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000027215.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MSHTEVKLKIPFGNKLLDAVCLVPNKNIAYGIILTHGASGDMNLPHLMSLASHLASHGFF CLRFTCKGLNIVHRIKAYKAVLNYLKTSGEYKLAGVFLGGRSMGSRAAASVMCHTEPDDA DDFVRGLICISYPLHHPKQQHKLRDEDLFRIKDPVLFVSGSADEMCEKNLLEKVAQKMQA PSKIHWIEKANHSMAVKGRSTNDVFKEINTQILFWIQEITEMDKK >ENSMUSP00000121035.1 pep:known chromosome:GRCm38:1:44086616:44102438:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000156392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MSHTEVKLKIPFGNKLLDAVCLVPNKNIAYGIILTHGASGDMNLPHLMSLASHLASHGFF CLRFTCKGLNIVHRIKAYKAVLNYLKTSGEYKLAGVFLGGRSMGSRAAASVMCHTEPDDA DDFVRGLICISYPLHHPKQQHKLRDEDLFRIKDPVLFVSGSADEMCEKNLLEKVAQKMQA PSKIHWIEKANHSMAVKGRSTNDVFKEINTQILFWIQEITEMDKK >ENSMUSP00000117565.1 pep:known chromosome:GRCm38:1:44086810:44102343:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000128190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MSHTEVKLKIPFGNKLLDAVCLVPNKNIAYGIILTHGASGDMNLPHLMSLASHLASHGFF CLRFTCKGLNIVHRIKAYKAVLNYLKTSGEYKLAGVFLGGRSMGSRAAASVMCHTEPDDA DDFVRGLICISYPLHHPKQQHKLRDEDLFRIKDPVLFVSGSADEMCEKNLLEKVAQKMQA PSKIHWIEKANHSMAVKGRSTNDVFKEINTQILFWIQEITEMDKK >ENSMUSP00000114624.1 pep:known chromosome:GRCm38:1:44086810:44102362:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000147571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MSHTEVKLKIPFGNKLLDAVCLVPNKNIAYGIILTHGASGDMNLPHLMSLASHLASHGFF CLRFTCKGLNIVHRIKAYKAVLNYLKTSGEYKLAGVFLGGRSMGSRAAASVMCHTEPDDA DDFVRGLICISYPLHHPKQQHKLRDEDLFRIKDPVLFVSGSADEMCEKNLLEKVAQKMQA PSKIHWIEKANHSMAVKGRSTNDVFKEINTQILFWIQEITEMDKK >ENSMUSP00000115068.1 pep:known chromosome:GRCm38:1:44086860:44102423:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000133677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MSHTEVKLKIPFGNKLLDAVCLVPNKNIAYGIILTHGASGDMNLPHLMSLASHLASHGFF CLRFTCKGLNIVHRIKAYKAVLNYLKTSGEYKLAGVFLGGRSMGSRAAASVMCHTEPDDA DDFVRGLICISYPLHHPKQQHKLRDEDLFRIKDPVLFVSGSADEMCEKNLLEKVAQKMQA PSKIHWIEKANHSMAVKGRSTNDVFKEINTQILFWIQEITEMDKK >ENSMUSP00000120928.1 pep:known chromosome:GRCm38:1:44086861:44102441:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000150911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MSHTEVKLKIPFGNKLLDAVCLVPNKNIAYGIILTHGASGDMNLPHLMSLASHLASHGFF CLRFTCKGLNIVHRIKAYKAVLNYLKTSGEYKLAGVFLGGRSMGSRAAASVMCHTEPDDA DDFVRGLICISYPLHHPKQQHKLRDEDLFRIKDPVLFVSGSADEMCEKNLLEKVAQKMQA PSKIHWIEKANHSMAVKGRSTNDVFKEINTQILFWIQEITEMDKK >ENSMUSP00000114991.1 pep:known chromosome:GRCm38:1:44086867:44101672:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000152239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MSHTEVKLKIPFGNKLLDAVCLVPNKNIAYGIILTHGASGDMNLPHLMSLASHLASHGFF CLRFTCKGLNIVHRIKAYKAVLNYLKTSGEYKLAGVFLGGRSMGSRAAASVMCHTEPDDA DDFVRGLICISYPLHHPKQQHKLRDEDLFRIKDPVLFVSGSADEMCEKNLLEKVAQKMQA PSKIHWIEKANHSMAVKGRSTNDVFKEINTQILFWIQEITEMDKK >ENSMUSP00000115464.1 pep:known chromosome:GRCm38:1:44087029:44102433:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000129702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MGSRAAASVMCHTEPDDADDFVRGLICISYPLHHPKQQHKLRDEDLFRIKDPVLFVSGSA DEMCEKNLLEKVAQKMQA >ENSMUSP00000119648.1 pep:known chromosome:GRCm38:1:44087901:44102401:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000147661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MSHTENYLKTSGEYKLAGVFLGGRSMGSRAAASVMCHTEPDDADDFVRGLICISYPLHHP KQQHKLRDEDL >ENSMUSP00000122203.1 pep:known chromosome:GRCm38:1:44088017:44102013:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000127923.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MNLPHLMSLASHLASHGFFCLRFTCKGLNIVHRIKAYKAVLNYLKTSGEYKLAGVFLGGR SMGSRAAAS >ENSMUSP00000118446.1 pep:known chromosome:GRCm38:1:44088502:44102414:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000143327.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MNLPHLMSLASHLASHGFFCLRFTCKGLNIVHRIKAYKAVLNYLKTSGE >ENSMUSP00000115939.1 pep:known chromosome:GRCm38:1:44088508:44102437:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000149502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MSHTEVKLKIPFGNKLLDAVCLVPNKNIAYGIILTHGASGDMNLPHLMSLASHLASHGFF CLRFTCKGLNIVHRIKAYKAVLNYLKTSG >ENSMUSP00000121385.1 pep:known chromosome:GRCm38:1:44088514:44099995:-1 gene:ENSMUSG00000026049.11 transcript:ENSMUST00000125540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex30 description:testis expressed 30 [Source:MGI Symbol;Acc:MGI:1922873] MSHTEVKLKIPFGNKLLDAVCLVPNKNIAYGIILTHGASGDMNLPHLMSLASHLASHGFF CLRFTCKGLNIVHRIKAYKAVLLVFMEMNVDINYLKT >ENSMUSP00000026129.9 pep:known chromosome:GRCm38:11:120610087:120617936:-1 gene:ENSMUSG00000025137.15 transcript:ENSMUST00000026129.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyt2 description:phosphate cytidylyltransferase 2, ethanolamine [Source:MGI Symbol;Acc:MGI:1915921] MIRNGHGAASAAGLKGPGDQRIVRVWCDGCYDMVHYGHSNQLRQARAMGDYLIVGVHTDE EIAKHKGPPVFTQEERYKMVQAIKWVDEVVPAAPYVTTLETLDKHNCDFCVHGNDITLTV DGRDTYEEVKQAGRYRECKRTQGVSTTDLVGRMLLVTKAHHSSQEMSSEYREYADSFGKP PHPTPAGDTLSSEVSSQCPGGQSPWTGVSQFLQTSQKIIQFASGKEPQPGETVIYVAGAF DLFHIGHVDFLQEVHKLAKRPYVIAGLHFDQEVNRYKGKNYPIMNLHERTLSVLACRYVS EVVIGAPYSVTAELLNHFKVDLVCHGKTEIVPDRDGSDPYQEPKRRGIFYQIDSGSDLTT DLIVQRIIKNRLEYEARNQKKEAKELAFLEATKQQEAPPGGEID >ENSMUSP00000101794.3 pep:known chromosome:GRCm38:11:120610417:120617925:-1 gene:ENSMUSG00000025137.15 transcript:ENSMUST00000106188.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyt2 description:phosphate cytidylyltransferase 2, ethanolamine [Source:MGI Symbol;Acc:MGI:1915921] MIRNGHGAASAAGLKGPGDQRIVRVWCDGCYDMVHYGHSNQLRQARAMGDYLIVGVHTDE EIAKHKGPPVFTQEERYKMVQAIKWVDEVVPAAPYVTTLETLDKHNCDFCVHGNDITLTV DGRDTYEEVKQAGRYRECKRTQGVSTTDLVGRMLLVTKAHHSSQEMSSEYREYADSFGKC PGGQSPWTGVSQFLQTSQKIIQFASGKEPQPGETVIYVAGAFDLFHIGHVDFLQEVHKLA KRPYVIAGLHFDQEVNRYKGKNYPIMNLHERTLSVLACRYVSEVVIGAPYSVTAELLNHF KVDLVCHGKTEIVPDRDGSDPYQEPKRRGIFYQIDSGSDLTTDLIVQRIIKNRLEYEARN QKKEAKELAFLEATKQQEAPPGGEID >ENSMUSP00000006254.5 pep:known chromosome:GRCm38:7:30224131:30232272:-1 gene:ENSMUSG00000006095.12 transcript:ENSMUST00000006254.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbcb description:tubulin folding cofactor B [Source:MGI Symbol;Acc:MGI:1913661] MEVTGISAPTVMVFISSSLNSFRSEKRYSRSLTIAEFKCKLELVVGSPASCMELELYGAD DKFYSKLDQEDALLGSYPVDDGCRIHVIDHSGVRLGEYEDVSKVEKYEISPEAYERRQNT VRSFMKRSKLGPYNEELRAQQEAEAAQRLSEEKAQASAISVGSRCEVRAPDHSLRRGTVM YVGLTDFKPGYWVGVRYDEPLGKNDGSVNGKRYFECQAKYGAFVKPSAVTVGDFPEEDYG LDEM >ENSMUSP00000095783.2 pep:known chromosome:GRCm38:4:33174230:33189433:-1 gene:ENSMUSG00000054659.13 transcript:ENSMUST00000098181.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pm20d2 description:peptidase M20 domain containing 2 [Source:MGI Symbol;Acc:MGI:2685270] MGPVVERPAEPGTSSAAELELLKRRAAERIDEAAERLGALSRAIWSAPELAYEEHRAHGE LTRFFECEPPAASWAVQPHFGLPTAFRAEWAPPESAAGPRALQVAFLCEYDALPALGHAC GHNLIAEVGVAAALGLRAALESIAAPPPVKVIVLGTPAEEDGGGKIDLIEAGAFENLDVV FMAHPSQENAAYLPDVAEHDVTVKYYGKASHAAAYPWEGVNALDAAVLAYTNLSVLRQQM KPTWRVHGIIKNGGVKPNIIPSYSELVYYFRAPSMKELQVLTKKAEDCFRAAALATGCTV DIESEAHDYYNVIPNKTLCSAYTENGKKLGMEFISEDAVLNGPSGSTDFGNVSFVVPGIH PYFYIGTDALNHTEQYTEAAGSQAAQLYTLRTAKALAMTALDVIFKPALLEGVRKEFKCK LQEEQLLNTAA >ENSMUSP00000113669.1 pep:known chromosome:GRCm38:4:33181620:33189737:-1 gene:ENSMUSG00000054659.13 transcript:ENSMUST00000119167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pm20d2 description:peptidase M20 domain containing 2 [Source:MGI Symbol;Acc:MGI:2685270] MGPVVERPAEPGTSSAAELELLKRRAAERIDEAAERLGALSRAIWSAPELAYEEHRAHGE LTRFFECEPPAASWAVQPHFGLPTAFRAEWAPPESAAGPRALQVAFLCEYDALPALGHAC GHNLIAEVGVAAALGLRAALESIAAPPPVKVIVLGTPAEEDGGGKIDLIEAGAFENLDVV FMAHPSQENAAYLPDVAEHDVTVKYYGKASHAAAYPWEGVNALDAAVLAYTNLSVLRQQM KPTWRVHGIIKNGGVKPNIIPSYSELVYYFRAPSMKELQVLTKKAEDCFRAAALATGCTV RAFQN >ENSMUSP00000112994.1 pep:known chromosome:GRCm38:9:104063377:104127463:1 gene:ENSMUSG00000090150.8 transcript:ENSMUST00000120854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad11 description:acyl-Coenzyme A dehydrogenase family, member 11 [Source:MGI Symbol;Acc:MGI:2143169] MEHVQGRIFRDFSIPGVSSAERAAIYVSVAETLAWLHSLDIRSLKLDKYGTGVGYCKRQV STWTKQYQASAHQSIPAMDQLSTWLMKNLPDSDSEECLVHGDFKLDNIVFHPKECRVIAV LDWELSTFGHPLTDLAHLSLFYYWPRTLPMINRGSHIPENTGIPLMEELISIYCHRRGID PNLPNWNFFMALSFFKLAGISQGVYRRYLMGNNSSEDSFLTANTVQPLAETGLQLSKRTL RTTPPQADAKSQLFAQSRRGQEVLTRVKQFMKQHVFPAEKEVAEYYAQSGNSAEKWGHPL VIEKLKEIAKAEGLWNLFLPAVSGLSQVDYALIAEETGKCFFAPDVFNCQAPDTGNMEVL HLYGSEQQKKQWLEPLLRGDITSVFCMTEPNVSSSDATNIECTIQRDGGGYIVNGKKWWS SGAGNPKCKIAIVLGRTESPSASRHRQHSMILVPMDTPGVELIRPLSVFGYMDNMHGGHW EVHFNHVRVPASNLILGEGRGFEISQGRLGPGRIHHCMRTVGLAERILQIMCDRAVQREA FKKKLYEHEVVAHWIAKSRIAIEEIRLLTLKAAHSIDTLGSASARKEIAMIKVAAPKAVC KIADWAIQVHGGAGVSQDYPLANMYAIIRTLRLADGPDEVHLSAIAKMELQDQARRLTAR M >ENSMUSP00000043424.5 pep:known chromosome:GRCm38:9:104063686:104127656:1 gene:ENSMUSG00000090150.8 transcript:ENSMUST00000047799.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad11 description:acyl-Coenzyme A dehydrogenase family, member 11 [Source:MGI Symbol;Acc:MGI:2143169] METDVTSDTVEVLPQHKFDLRSLEAYLNQHLPGFGSDSRAVLTVTQYRSGQSNPTFFLQK GSQAYVLRKKPPGSLLPKAHKIDREFKIQKALFSIGFPVAKPLLYCRDASVIGTEFYVME HVQGRIFRDFSIPGVSSAERAAIYVSVAETLAWLHSLDIRSLKLDKYGTGVGYCKRQVST WTKQYQASAHQSIPAMDQLSTWLMKNLPDSDSEECLVHGDFKLDNIVFHPKECRVIAVLD WELSTFGHPLTDLAHLSLFYYWPRTLPMINRGSHIPENTGIPLMEELISIYCHRRGIDPN LPNWNFFMALSFFKLAGISQGVYRRYLMGNNSSEDSFLTANTVQPLAETGLQLSKRTLRT TPPQADAKSQLFAQSRRGQEVLTRVKQFMKQHVFPAEKEVAEYYAQSGNSAEKWGHPLVI EKLKEIAKAEGLWNLFLPAVSGLSQVDYALIAEETGKCFFAPDVFNCQAPDTGNMEVLHL YGSEQQKKQWLEPLLRGDITSVFCMTEPNVSSSDATNIECTIQRDGGGYIVNGKKWWSSG AGNPKCKIAIVLGRTESPSASRHRQHSMILVPMDTPGVELIRPLSVFGYMDNMHGGHWEV HFNHVRVPASNLILGEGRGFEISQGRLGPGRIHHCMRTVGLAERILQIMCDRAVQREAFK KKLYEHEVVAHWIAKSRIAIEEIRLLTLKAAHSIDTLGSASARKEIAMIKVAAPKAVCKI ADWAIQVHGGAGVSQDYPLANMYAIIRTLRLADGPDEVHLSAIAKMELQDQARRLTARM >ENSMUSP00000141064.1 pep:known chromosome:GRCm38:9:104095521:104108009:1 gene:ENSMUSG00000090150.8 transcript:ENSMUST00000189998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad11 description:acyl-Coenzyme A dehydrogenase family, member 11 [Source:MGI Symbol;Acc:MGI:2143169] XTGNMEVLHLYGSEQQKKQWLEPLLRGDITSVFCMTEPNVSSSDATNIECTIQRDGGGYI VNGKKWWSSGL >ENSMUSP00000093255.2 pep:known chromosome:GRCm38:17:23600856:23611012:1 gene:ENSMUSG00000023902.18 transcript:ENSMUST00000095595.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan10 description:zinc finger and SCAN domain containing 10 [Source:MGI Symbol;Acc:MGI:3040700] MLAEPVPDALEQEHPGAVKLEEDEVGEEDPRLAESRPRPEVAHQLFRCFQYQEDMGPRAS LGRLRELCNHWLRPALHTKKQILELLVLEQFLSVLPPHVLSRLHGQPLRDGEEVVQLLEG VPRDISHMGPLDFSFSAGKNAPADIISEEQNSPSQVPSHSPQTELPSEEIPALHPLNELP PPQPAPIRPAEPEEWRLAPSSNWPMSPEPQEILQDPRESNPSQGPSWLEENSRDQELAAV LESLTFEDTSEKRAWPANPLGFGSRMPDNEELKVEEPKVTTWPVVIGAESQTEKPEVAGE PLTQTVGQETSSTGWGGTPADGSEVVKVRGASDAPEPQGEMQFICTYCGVNFPEMSHLQA HQLQSHPNLQPHPSSRSFRCLWCGKTFGRSSILKLHMRTHTDERPHACHLCNRRFRQSSH LTKHLLTHSSEPAFRCAECNQGFQRRSSLMQHLLAHAQGKNLTPNPEGKTKVPEMAAVLC SHCGQTFKRRSSLKRHLRNHAKDKDHLSSEDPGSLSSSQESNPYVCSDCGKAFRQSEQLM IHTRRVHTRERPFSCQVCGRCFTQNSQLISHQQIHTGEKPHACPQCSKRFVRRAGLARHL LTHGSLRPYHCAQCGKSFRQMRDLTRHVRCHTGEKPCRCNECGEGFTQNAHLARHQRIHT GEKPHACDICGHRFRNSSNLARHRRSHTGERPYSCPTCGRSFRRNAHLQRHLITHTGSKQ EKEVPQECPECGKSFNRSCNLLRHLLVHTGARPYSCALCGRSFSRNSHLLRHLRTHARES LY >ENSMUSP00000111171.1 pep:known chromosome:GRCm38:17:23600856:23611012:1 gene:ENSMUSG00000023902.18 transcript:ENSMUST00000115509.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan10 description:zinc finger and SCAN domain containing 10 [Source:MGI Symbol;Acc:MGI:3040700] MLAEPVPDALEQEHPGAVKLEEDEVGEEDPRLAESRPRPEVAHQLFRCFQYQEDMGPRAS LGRLRELCNHWLRPALHTKKQILELLVLEQFLSVLPPHVLSRLHGQPLRDGEEVVQLLEG VPRDISHMGPLESLTFEDTSEKRAWPANPLGFGSRMPDNEELKVEEPKVTTWPVVIGAES QTEKPEVAGEPLTQTVGQETSSTGWGGTPADGSEVVKVRGASDAPEPQGEMQFICTYCGV NFPEMSHLQAHQLQSHPNLQPHPSSRSFRCLWCGKTFGRSSILKLHMRTHTDERPHACHL CNRRFRQSSHLTKHLLTHSSEPAFRCAECNQGFQRRSSLMQHLLAHAQGKNLTPNPEGKT KVPEMAAVLCSHCGQTFKRRSSLKRHLRNHAKDKDHLSSEDPGSLSSSQESNPYVCSDCG KAFRQSEQLMIHTRRVHTRERPFSCQVCGRCFTQNSQLISHQQIHTGEKPHACPQCSKRF VRRAGLARHLLTHGSLRPYHCAQCGKSFRQMRDLTRHVRCHTGEKPCRCNECGEGFTQNA HLARHQRIHTGEKPHACDICGHRFRNSSNLARHRRSHTGERPYSCPTCGRSFRRNAHLQR HLITHTGSKQEKEVPQECPECGKSFNRSCNLLRHLLVHTGARPYSCALCGRSFSRNSHLL RHLRTHARESLY >ENSMUSP00000113386.1 pep:known chromosome:GRCm38:17:23600856:23611019:1 gene:ENSMUSG00000023902.18 transcript:ENSMUST00000120967.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan10 description:zinc finger and SCAN domain containing 10 [Source:MGI Symbol;Acc:MGI:3040700] MLAEPVPDALEQEHPGAVKLEEDEVGEEDPRLAESRPRPEVAHQLFRCFQYQEDMGPRAS LGRLRELCNHWLRPALHTKKQILELLVLEQFLSVLPPHVLSRLHGQPLRDGEEVVQLLEG VPRDISHMGPLDFSFSAGKNAPADIISEEQNSPSQVPSHSPQTELPSEEIPALHPLNELP PPQPAPIRPAEPEEWRLAPSSNWPMSPEPQEILQDPRESNPSQGPSWLEENSRDQELAAV LESLTFEDTSEKRAWPANPLGFGSRMPDNEELKVEEPKVTTWPVVIGAESQTEKPEVAGE PLTQTVGQETSSTGWGGTPADGSEVVKAHQLQSHPNLQPHPSSRSFRCLWCGKTFGRSSI LKLHMRTHTDERPHACHLCNRRFRQSSHLTKHLLTHSSEPAFRCAECNQGFQRRSSLMQH LLAHAQGKNLTPNPEGKTKVPEMAAVLCSHCGQTFKRRSSLKRHLRNHAKDKDHLSSEDP GSLSSSQESNPYVCSDCGKAFRQSEQLMIHTRRVHTRERPFSCQVCGRCFTQNSQLISHQ QIHTGEKPHACPQCSKRFVRRAGLARHLLTHGSLRPYHCAQCGKSFRQMRDLTRHVRCHT GEKPCRCNECGEGFTQNAHLARHQRIHTGEKPHACDICGHRFRNSSNLARHRRSHTGERP YSCPTCGRSFRRNAHLQRHLITHTGSKQEKEVPQECPECGKSFNRSCNLLRHLLVHTGAR PYSCALCGRSFSRNSHLLRHLRTHARESLY >ENSMUSP00000120876.1 pep:known chromosome:GRCm38:17:23600862:23610822:1 gene:ENSMUSG00000023902.18 transcript:ENSMUST00000148062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan10 description:zinc finger and SCAN domain containing 10 [Source:MGI Symbol;Acc:MGI:3040700] MLAEPVPDALEQEHPGAVKLEEDEVGEEDPRLAESRPRPEVAHQLFRCFQYQEDMGPRAS LGRLRELCNHWLRPALHTKKQILELLDFSFSAGKNAPADIISEEQNSPSQVPSHSPQTEL PSEEIPALHPLNELPPPQPAPIRPAEPEEWRLAPSSNWPMSPEPQEILQDPRESNPSQGP SWLEENSRDQELAAVLESLTFEDTSEKRAWPANPLGFGSRMPDNEELKVEEPKVTTWPVV IGAESQTEKPEVAGEPLTQTVGQETSSTGWGGTPADGSEVVKAHQLQSHPNLQPHPSSRS FRCLWCGKTFGRSSILKLHMRTHTDERPHACHLCNRRFRQSSHLTKHLLTHSSEPAFRCA ECNQGFQRRSSLMQHLLAHAQGKNLTPNPEGKTKVPEMAAVLCSHCGQTFKRRSSLKRHL RNHAKDKDHLSSEDPGSLSSSQESNPYVCSDCGKAFRQSEQLMIHTRRVHTRERPFSCQV CGRCFTQNSQLISHQQIHTGEKPHACPQCSKRFVRRAGLARHLLTHGSLRPYHCAQCGKS FRQMRDLTRHVRCHTGEKPCRCNECGEGFTQNAHLARHQRIHTGEKPHACDICGHRFRNS SNLARHRRSHTGERPYSCPTCGRSFRRNAHLQRHLITHTGSKQEKEVPQECPECGKSFNR SCNLLRHLLVHTGARPYSCALCGRSFSRNSHLLRHLRTHARE >ENSMUSP00000118987.1 pep:known chromosome:GRCm38:17:23600862:23610822:1 gene:ENSMUSG00000023902.18 transcript:ENSMUST00000129227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan10 description:zinc finger and SCAN domain containing 10 [Source:MGI Symbol;Acc:MGI:3040700] MSPEPQEILQDPRESNPSQGPSWLEENSRDQELAAVLESLTFEDTSEKRAWPANPLGFGS RMPDNEELKVEEPKVTTWPVVIGAESQTEKPEVAGEPLTQTVGQETSSTGWGGTPADGSE VVKAHQLQSHPNLQPHPSSRSFRCLWCGKTFGRSSILKLHMRTHTDERPHACHLCNRRFR QSSHLTKHLLTHSSEPAFRCAECNQGFQRRSSLMQHLLAHAQGKNLTPNPEGKTKVPEMA AVLCSHCGQTFKRRSSLKRHLRNHAKDKDHLSSEDPGSLSSSQESNPYVCSDCGKAFRQS EQLMIHTRRVHTRERPFSCQVCGRCFTQNSQLISHQQIHTGEKPHACPQCSKRFVRRAGL ARHLLTHGSLRPYHCAQCGKSFRQMRDLTRHVRCHTGEKPCRCNECGEGFTQNAHLARHQ RIHTGEKPHACDICGHRFRNSSNLARHRRSHTGERPYSCPTCGRSFRRNAHLQRHLITHT GSKQEKEVPQECPECGKSFNRSCNLLRHLLVHTGARPYSCALCGRSFSRNSHLLRHLRTH ARE >ENSMUSP00000116748.1 pep:known chromosome:GRCm38:17:23600941:23609701:1 gene:ENSMUSG00000023902.18 transcript:ENSMUST00000123866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan10 description:zinc finger and SCAN domain containing 10 [Source:MGI Symbol;Acc:MGI:3040700] MLAEPVPDALEQEHPGAVKLEEDEVGEEDPRLAESRPRPEVAHQLFRCFQYQEDMGPRAS LGRLRELCNHWLRPALHTKKQILELLVLEQFLSVLPPHVLSRLHGQPLRDGEEVVQLLEG VPRDISHMGPLVRWGVLQSCGGRDPSQGPSWLEENSRDQELAAVLESLTFEDTSEKRAWP ANPLGFGSRMPDNEELKVEEPKVTTWPVVIGAESQTEKPEVAGEPLTQTVGQETSSTGWG GTPADGSEVVKVRGASDAPEPQGEMQFICTYCGVNFPEMSHLQAHQLQSHPNLQPHPSSR SFRCLWCGKTFGRSSILKLHMRTHTDERP >ENSMUSP00000112460.1 pep:known chromosome:GRCm38:17:23605505:23609697:1 gene:ENSMUSG00000023902.18 transcript:ENSMUST00000117606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan10 description:zinc finger and SCAN domain containing 10 [Source:MGI Symbol;Acc:MGI:3040700] MLAEPVPDALEQEHPGAVKLEEDEVGEEDPRLAESRPRPEVAHQLFRCFQYQEDMGPRAS LGRLRELCNHWLRPALHTKKQILELLVLEQFLSVLPPHVLSRLHGQPLRDGEEVVQLLEG VPRDISHMGPLDLEAECLTMRNLKLKSLK >ENSMUSP00000112559.1 pep:known chromosome:GRCm38:17:23605514:23609697:1 gene:ENSMUSG00000023902.18 transcript:ENSMUST00000122285.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zscan10 description:zinc finger and SCAN domain containing 10 [Source:MGI Symbol;Acc:MGI:3040700] MLAEPVPDALEQEHPGAVKLEEDEVGEEDPRLAESRPRPEVAHQLFRCFQYQEDMGPRAS LGRLRELCNHWLRPALHTKKQILELLVLEQFLSVLPPHVLSRLHGQPLRDGEEVVQLLEG VPRDISHMGPLTLPRALHGLRKIPETKSWRLCWSPSPLRIPQRRELGLQTLLDLEAECLT MRNLKLKSLK >ENSMUSP00000113757.1 pep:known chromosome:GRCm38:17:23605514:23609698:1 gene:ENSMUSG00000023902.18 transcript:ENSMUST00000118369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan10 description:zinc finger and SCAN domain containing 10 [Source:MGI Symbol;Acc:MGI:3040700] MLAEPVPDALEQEHPGAVKLEEDEVGEEDPRLAESRPRPEVAHQLFRCFQYQEDMGPRAS LGRLRELCNHWLRPALHTKKQILELLVLEQFLSVLPPHVLSRLHGQPLRDGEEVVQLLEG VPRDISHMGPLTLPRALHGLRKIPETKSWRLCWSPSPLRIPQRRELGLQTLLDLEAECLT MRNLKLKSLK >ENSMUSP00000114341.1 pep:known chromosome:GRCm38:17:23605515:23609698:1 gene:ENSMUSG00000023902.18 transcript:ENSMUST00000138487.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan10 description:zinc finger and SCAN domain containing 10 [Source:MGI Symbol;Acc:MGI:3040700] MLAEPVPDALEQEHPGAVKLEEDEVGEEDPRLAESRPRPEVAHQLFRCFQYQEDMGPRAS LGRLRELCNHWLRPALHTKKQILELLVLEQFLSVLPPHVLSRLHGQPLRDGEEVVQLLEG VPRDISHMGPLESLTFEDTSEKRAWPANPLGFGSRMPDNEELKVEEPKVTTWPVVIGAES QTEKPEVAGEPLTQTVGQETSSTGWGGTPADGSEVVKAHQLQSHPNLQPHPSSRSFRCLW CGKTFGRSSILKLHMRTHTDER >ENSMUSP00000072668.3 pep:known chromosome:GRCm38:2:175061549:175067763:-1 gene:ENSMUSG00000078905.8 transcript:ENSMUST00000072895.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14393 description:predicted gene 14393 [Source:MGI Symbol;Acc:MGI:3649576] MDLVTYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRRERSCTAEQPSEFIQCGKAFAYESCSQRHQIKHNGEKHHDCNQCGKAFKRRSDLQI HKRTHTGEKPYECNQCGKAFARSDDLQKHKRTHTGEKPYECKQCGKAFAGSSGLQCHKRS HTGETPY >ENSMUSP00000104694.1 pep:known chromosome:GRCm38:2:175063306:175067781:-1 gene:ENSMUSG00000078905.8 transcript:ENSMUST00000109066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14393 description:predicted gene 14393 [Source:MGI Symbol;Acc:MGI:3649576] MDLVTYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000105096.1 pep:known chromosome:GRCm38:15:83784829:83858484:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000109470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] MWRPRWDPGILKAEALALLPCGLGMAFSQSHVMASRRHQHGRLIIEVDEYSSNPTQAFTF YNINQGRFQPPHVQMVDPVPHDAPKPPGYTRFVCVSDTHSRTDPIQMPYGDVLIHAGDFT ELGLPSEVKKFNEWLGSLPYEYKIVIAGNHELTFDQEFMADLIKQDFYYFPSVSKLKPEN YENVQSLLTNCIYLQDSEVTVRGFRIYGSPWQPWFYGWGFNLPRGQALLEKWNLIPEGVD ILITHGPPLGFLDWVPKKMQRVGCVELLNTVQRRVQPRLHVFGHIHEGYGVMADGTTTYV NASVCTVNYQPVNPPIVIDLPTPRNS >ENSMUSP00000123230.2 pep:known chromosome:GRCm38:15:83788880:83858494:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000123387.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] MWRPRWDPGILKAEALALLPCGLGMAFSQSHVMASRRHQHGRLIIEVDEYSSNPTQAFTF YNINQGRFQPPHVQMVDPVPHDAPKPPGYTRFVCVSDTHSRTDPIQMPYGDVLIHAGDFT ELGLPSEVKKFNEWLGSPGSMAGDSTSREAKRSWRSGISSQKE >ENSMUSP00000041981.5 pep:known chromosome:GRCm38:15:83780023:83858484:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000046168.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] MWRPRWDPGILKAEALALLPCGLGMAFSQSHVMASRRHQHGRLIIEVDEYSSNPTQAFTF YNINQGRFQPPHVQMVDPVPHDAPKPPGYTRFVCVSDTHSRTDPIQMPYGDVLIHAGDFT ELGLPSEVKKFNEWLGSLPYEYKIVIAGNHELTFDQEFMADLIKQDFYYFPSVSKLKPEN YENVQSLLTNCIYLQDSEVTVRGFRIYGSPWQPWFYGWGFNLPRGQALLEKWNLIPEGVD ILITHGPPLGFLDWVPKKMQRVGCVELLNTVQRRVQPRLHVFGHIHEGYGVMADGTTTYV NASVCTVNYQPVNPPIVIDLPTPRNS >ENSMUSP00000105095.1 pep:known chromosome:GRCm38:15:83779467:83792131:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000109469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] MWRPRWDPGILKAEALALLPCGLGMAFSQSHV >ENSMUSP00000128786.1 pep:known chromosome:GRCm38:15:83796582:83852077:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000150489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] XTVGAVVWHGADHSRPDPVSSHRIHFQNPQATQPVLSSTAACIRGTSDFRAPCFSGATEG QHMRPLWVLDTRVDPVPHDAPKPPGYTRFVCVSDTHSRTDPIQMPYGDVLIHAGDFTELG LPSEVKKFNEWLGSLPYEYKIVIAGNHELTFDQEFMADLIKQDFYYFPSVSKLKPENYEN VQSLLTNCIYLQDSEVTVRGFRIYGSPWQPWFYGWGFNLP >ENSMUSP00000131463.1 pep:known chromosome:GRCm38:15:83836007:83856315:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000125739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] MADLIKQDFYYFPSVSKLKPENYENVQSLLTNCIYLQDSEVTVRGFRIYGSPWQPWFYGW GFNLPRGQALLEKWNLIPEGVDILITHGPPLGFLDWVPKKMQRVGCVELLNTVQRRVQPR LHVFGHIHEGYGVMADGTTTYVNASVCTVNYQ >ENSMUSP00000131679.1 pep:known chromosome:GRCm38:15:83836040:83854523:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000148868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] MIGTLPSPIQRSGWGSGVPLPRDSSDCPQLSPFGGPVASTRAASISVHAHPAGSLPYEYK IVIAGNHELTFDQEFMADLIKQDFYYFPSVSKLKPENYENVQSLLTNCIYLQDSEVTVRG FRIYGSPWQPWFYGWGFNLPRGQALLEKWNLIPEGVDILITHGPPLGFLDWVPKKMQRVG CVELLNTVQRRVQPRLHVFGHIH >ENSMUSP00000126242.1 pep:known chromosome:GRCm38:15:83779982:83856729:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000163723.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] MADLIKQDFYYFPSVSKLKPENYENVQSLLTNCIYLQDSEVTVRGFRIYGSPWQPWFYGW GFNLPRGQALLEKWNLIPEGVDILITHGPPLGFLDWVPKKMQRVGCVELLNTVQRRVQPR LHVFGHIHEGYGVMADGTTTYVNASVCTVNYQPVNPPIVIDLPTPRNS >ENSMUSP00000132518.1 pep:known chromosome:GRCm38:15:83791939:83856314:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000172115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] MWRPRWDPGILKAEALALLPCGLGMAFSQSHVMASRRHQHGRLIIEVDEYSSNPTQAFTF YNINQGRFQPPHVQMVDPVPHDAPKPPGYTRFVCVSDTHSRTDPIQMPYGDVLIHAGDFT ELGLPSEVKKFNEWLALVLWLGIQPPERPSAPGEVESHPRRSRHPYNSWTTTGLSRLGPQ EDAACGLCGAAQHSPEAGPATAARLWPHP >ENSMUSP00000125835.1 pep:known chromosome:GRCm38:15:83800030:83856295:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000171560.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] XKPPGYTRFVCVSDTHSRTDPIQMPYGDVLIHAGDFTELGLPSEVKKFNEWLGSLPYEYK IVIAGNHELTFDQEFMADLIKQDFYYFPSVSKLKPENYENVQSLLTNCIYLQDSEVTVRG FRIYGSPCPGSMAGDSTSREAKRSWRSGISSQKE >ENSMUSP00000131333.1 pep:known chromosome:GRCm38:15:83792014:83856410:1 gene:ENSMUSG00000041708.12 transcript:ENSMUST00000172398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped1 description:metallophosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:106316] MWRPRWDPGILKAEALALLPCGLGMAFSQSHVMASRRHQHGRLIIEVDEYSSNPTQAFTF YNINQGRFQPPHVQMVDPVPHDAPKPPGYTRFVCVSDTHSRTDPIQMPYGDVLIHAGDFT ELGLPSEAALVLWLGIQPPERPSAPGEVESHPRRSRHPYNSWTTTGLSRLGPQEDAACGL CGAAQHSPEAGPATAARLWPHP >ENSMUSP00000110489.1 pep:known chromosome:GRCm38:9:44043384:44048579:1 gene:ENSMUSG00000032011.4 transcript:ENSMUST00000114840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thy1 description:thymus cell antigen 1, theta [Source:MGI Symbol;Acc:MGI:98747] MNPAISVALLLSVLQVSRGQKVTSLTACLVNQNLRLDCRHENNTKDNSIQHEFSLTREKR KHVLSGTLGIPEHTYRSRVTLSNQPYIKVLTLANFTTKDEGDYFCELQVSGANPMSSNKS ISVYRDKLVKCGGISLLVQNTSWMLLLLLSLSLLQALDFISL >ENSMUSP00000126874.1 pep:known chromosome:GRCm38:15:98538689:98570923:-1 gene:ENSMUSG00000011960.12 transcript:ENSMUST00000169707.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnt1 description:cyclin T1 [Source:MGI Symbol;Acc:MGI:1328363] MEGERKNNNKRWYFTREQLENSPSRRFGVDSDKELSYRQQAANLLQDMGQRLNVSQLTIN TAIVYMHRFYMIQSFTQFHRYSMAPAALFLAAKVEEQPKKLEHVIKVAHTCLHPQESLPD TRSEAYLQQVQDLVILESIILQTLGFELTIDHPHTHVVKCTQLVRASKDLAQTSYFMATN SLHLTTFSLQYTPPVVACVCIHLACKWSNWEIPVSTDGKHWWEYVDATVTLELLDELTHE FLQILEKTPSRLKRIRNWRAYQAAMKTKPDDRGADENTSEQTILNMISQTSSDTTIAGLM SMSTASTSAVPSLPSSEESSSSLTSVDMLQGERWLSSQPPFKLEAAQGHRTSESLALIGV DHSLQQDGSSAFGSQKQASKSVPSAKVSLKEYRAKHAEELAAQKRQLENMEANVKSQYAY AAQNLLSHDSHSSVILKMPIESSENPERPFLDKADKSALKMRLPVASGDKAVSSKPEEIK MRIKVHSAGDKHNSIEDSVTKSREHKEKQRTHPSNHHHHHNHHSHRHSHLQLPAGPVSKR PSDPKHSSQTSTLAHKTYSLSSTLSSSSSTRKRGPPEETGAAVFDHPAKIAKSTKSSLNF PFPPLPTMTQLPGHSSDTSGLPFSQPSCKTRVPHMKLDKGPPGANGHNATQSIDYQDTVN MLHSLLSAQGVQPTQAPAFEFVHSYGEYMNPRAGAISSRSGTTDKPRPPPLPSEPPPPLP PLPK >ENSMUSP00000130286.1 pep:known chromosome:GRCm38:15:98543211:98567636:-1 gene:ENSMUSG00000011960.12 transcript:ENSMUST00000168928.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccnt1 description:cyclin T1 [Source:MGI Symbol;Acc:MGI:1328363] MEGERKNNNKRWYFTREQLENSPSRRFGVDSDKELSYRQQAANLLQDMGQRLNVSQLTIN TAIVYMHRFYMIQSFTQFHRYSMAPAALFLAAKVEEQPKKLEHVIKVAHTCLHPQESLPD TRSEAYLQQVQDLVILESIILQTLGFELTIDHPHTHVVKCTQLVRASKDLAQTSYFMATN RIDT >ENSMUSP00000012104.6 pep:known chromosome:GRCm38:15:98543211:98567636:-1 gene:ENSMUSG00000011960.12 transcript:ENSMUST00000012104.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnt1 description:cyclin T1 [Source:MGI Symbol;Acc:MGI:1328363] MEGERKNNNKRWYFTREQLENSPSRRFGVDSDKELSYRQQAANLLQDMGQRLNVSQLTIN TAIVYMHRFYMIQSFTQFHRYSMAPAALFLAAKVEEQPKKLEHVIKVAHTCLHPQESLPD TRSEAYLQQVQDLVILESIILQTLGFELTIDHPHTHVVKCTQLVRASKDLAQTSYFMATN SLHLTTFSLQYTPPVVACVCIHLACKWSNWEIPVSTDGKHWWEYVDATVTLELLDELTHE FLQILEKTPSRLKRIRNWRAYQAAMKTKPDDRGADENTSEQTILNMISQTSSDTTIAGLM SMSTASTSAVPSLPSSEESSSSLTSVDMLQGERWLSSQPPFKLEAAQGHRTSESLALIGV DHSLQQDGSSAFGSQKQASKSVPSAKVSLKEYRAKHAEELAAQKRQLENMEANVKSQYAY AAQNLLSHDSHSSVILKMPIESSENPERPFLDKADKSALKMRLPVASGDKAVSSKPEEIK MRIKVHSAGDKHNSIEDSVTKSREHKEKQRTHPSNHHHHHNHHSHRHSHLQLPAGPVSKR PSDPKHSSQTSTLAHKTYSLSSTLSSSSSTRKRGPPEETGAAVFDHPAKIAKSTKSSLNF PFPPLPTMTQLPGHSSDTSGLPFSQPSCKTRVPHMKLDKGPPGANGHNATQSIDYQDTVN MLHSLLSAQGVQPTQAPAFEFVHSYGEYMNPRAGAISSRSGTTDKPRPPPLPSEPPPPLP PLPK >ENSMUSP00000026750.8 pep:known chromosome:GRCm38:X:157821436:158043294:-1 gene:ENSMUSG00000025658.16 transcript:ENSMUST00000026750.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnksr2 description:connector enhancer of kinase suppressor of Ras 2 [Source:MGI Symbol;Acc:MGI:2661175] MALIMEPVSKWSPSQVVDWMKGLDDCLQQYIKNFEREKISGDQLLRITHQELEDLGVSRI GHQELILEAVDLLCALNYGLETENLKTLSHKLNASAKNLQNFITGRRRSGHYDGRTSRKL PNDFLTSVVDLIGAAKSLLAWLDRSPFAAVTDYSVTRNNVIQLCLELTTIVQQDCTVYET ENKILHVCKTLSGVCDHIISLSSDPLVSQSAHLEVIQLANIKPSEGLGMYIKSTYDGLHV ITGTTENSPADRCKKIHAGDEVIQVNHQTVVGWQLKNLVNALREDPSGVILTLKKRPQSM LTSAPALLKNMRWKPLALQPLIPRSPTSSVATPSSTISTPTKRDSSALQDLYIPPPPAEP YIPRDEKGNLPCEDLRGHMVGKPVHKGSESPNSFLDQEYRKRFNIVEEDTVLYCYEYEKG RSSSQGRRESTPTYGKLRPISMPVEYNWVGDYEDPNKMKRDSRRENSLLRYMSNEKIAQE EYMFQRNSKKDTGKKSKKKGDKSNSPAHYSLLPSLQMDALRQDIMGTPVPETTLYHTFQQ SSLQHKSKKKNKGAISGKSKRRISCKDLGRGDCEGWLWKKKDAKSYFSQKWKKYWFVLKD ASLYWYINEEDEKAEGFISLPEFKIDRASECRKKYAFKACHPKIKSFYFAAEHLDDMNRW LNRINMLTAGYAERERIKQEQDYWSESDKEEADTPSTPKQDSPPPPYDTYPRPPSMSCAS PYVEAKHSRLSSTETSQSQSSHEEFRQEVTGSSAVSPIRKTASQRRSWQDLIETPLTSSG LHYLQTLPLEDSVFSDSAAISPEHRRQSTLPTQKCHLQDHYGPYPLAESERMQVLNGNGG KPRSFTLPRDSGFNHCCLNTPVSACDPQDDIQPPEVEEEEEEEEEEAAGENVGEKNENRE EKLGDSLQDLYRALEEASLSPLGEHRISTKMEYKLSFIKRCNDPVMNEKLHRLRILKSTL KAREGEVAIIDKVLDNPDLTSKEFQQWKQMYLDLFLDICQSTTSNDPLSISSEVDVLTSS LTHTHSYIETHV >ENSMUSP00000108132.1 pep:known chromosome:GRCm38:X:157832743:158043294:-1 gene:ENSMUSG00000025658.16 transcript:ENSMUST00000112513.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnksr2 description:connector enhancer of kinase suppressor of Ras 2 [Source:MGI Symbol;Acc:MGI:2661175] MALIMEPVSKWSPSQVVDWMKGLDDCLQQYIKNFEREKISGDQLLRITHQELEDLGVSRI GHQELILEAVDLLCALNYGLETENLKTLSHKLNASAKNLQNFITGRRRSGHYDGRTSRKL PNDFLTSVVDLIGAAKSLLAWLDRSPFAAVTDYSVTRNNVIQLCLELTTIVQQDCTVYET ENKILHVCKTLSGVCDHIISLSSDPLVSQSAHLEVIQLANIKPSEGLGMYIKSTYDGLHV ITGTTENSPADRCKKIHAGDEVIQVNHQTVVGWQLKNLVNALREDPSGVILTLKKRPQSM LTSAPALLKNMRWKPLALQPLIPRSPTSSVATPSSTISTPTKRDSSALQDLYIPPPPAEP YIPRDEKGNLPCEDLRGHMVGKPVHKGSESPNSFLDQEYRKRFNIVEEDTVLYCYEYEKG RSSSQGRRESTPTYGKLRPISMPVEYNWVGDYEDPNKMKRDSRRENSLLRYMSNEKIAQE EYMFQRNSKKDTGKKSKKKGDKSNSPAHYSLLPSLQMDALRQDIMGTPVPETTLYHTFQQ SSLQHKSKKKNKGAISGKSKRRISCKDLGRGDCEGWLWKKKDAKSYFSQKWKKYWFVLKD ASLYWYINEEDEKAEGFISLPEFKIDRASECRKKYAFKACHPKIKSFYFAAEHLDDMNRW LNRINMLTAGYAERERIKQEQDYWSESDKEEADTPSTPKQDSPPPPYDTYPRPPSMSCAS PYVEAKHSRLSSTETSQSQSSHEEFRQEVTGSSAVSPIRKTASQRRSWQDLIETPLTSSG LHYLQTLPLEDSVFSDSAAISPEHRRQSTLPTQKCHLQDHYGPYPLAESERMQVLNGNGG KPRSFTLPRDSGFNHCCLNTPVSACDPQDDIQPPEVEEEEEEEEEEAAGENVGEKS >ENSMUSP00000022497.8 pep:known chromosome:GRCm38:14:61531993:61556886:-1 gene:ENSMUSG00000021930.14 transcript:ENSMUST00000022497.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spryd7 description:SPRY domain containing 7 [Source:MGI Symbol;Acc:MGI:1913924] MAASAWCCLRCCRDGGTGHIPLKEMPAVQLDTQHMGTDVVIVKNGRRICGTGGCLASAPL HQNKSYFEFKIQSTGIWGIGVATQKVNLNQIPLGRDMHSLVMRNDGALYHNNEEKNRLPA NSLPQEGDVVGITYDHVELNVYLNGKNMHCPASGIRGTVYPVVYVDDSAILDCQFSEFYH TPPPGFEKILFEQQIF >ENSMUSP00000098065.4 pep:known chromosome:GRCm38:14:61534305:61556758:-1 gene:ENSMUSG00000021930.14 transcript:ENSMUST00000100496.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spryd7 description:SPRY domain containing 7 [Source:MGI Symbol;Acc:MGI:1913924] MAASAWCCLRCCRDGGTGHIPLKEMPAVQLDTQHMGTDVVIVKNGRRICGTGGCLASAPL HQNKSYFEFKIQSTGIWGIGVATQKVNLNQIPLGRDMHSLVMRNDGALYHNNEEKNRLPA NSLPQEGDVVLTTVQFWIASSVNFIILLHLVLKKYYSSSRSSE >ENSMUSP00000095134.3 pep:known chromosome:GRCm38:1:156004614:156036427:-1 gene:ENSMUSG00000026466.16 transcript:ENSMUST00000097527.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip1 description:torsin A interacting protein 1 [Source:MGI Symbol;Acc:MGI:3582693] MAGERWQAEGPGEGWAIYVTPRAPIREGRRRLDPRNGDSSDAPAYGAHPSRRGRREVRFS EEPAEVYGDFEPRAAKERSPGGRRTPPEKFRPASAGEEVRESAYNLRSRPRRQRRAQEAE EMKTRRSARLEQHSQQPQLSPATSGRGLRDSPSSSEDREEDEPSSRPVTSQTASKKTLRT PEASVMNEDPISNLCRPPLRSPRLDSTYQTNGNTKTNEREATIVQQVNFFEEGETEDDLE SSYSDITIRARSSDSLESRDQDFPAHENQPLLLTSGCQENPQEWVDRAVRMRSRMAYNNI QKSNFGNQSPSTSRPQSAIHHPNEPSVKIKWWLLGLVAILAVGLFWFFHTPAVETTAVQE FQNQMKQLQSKYQSQNEKLWKRGTTFLEKHLNSSLPRPQPAILLLTAAQDAAEVLKCLSE QIADAYSSFRSVRAIRIDGAGKAAQDSDLVKHEVDQELTDGFKNGQNAAVVHRFESLPAG STLIFYKYCDHENAAFKDVALVLTVLLEEKTLEASLGLKEIEEKVRDFLKVKFTSSSTAS SYNHMDPDKLNGLWSRISHLVLPVQPENTLKAGSCL >ENSMUSP00000137617.1 pep:known chromosome:GRCm38:1:156006234:156036480:-1 gene:ENSMUSG00000026466.16 transcript:ENSMUST00000136331.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor1aip1 description:torsin A interacting protein 1 [Source:MGI Symbol;Acc:MGI:3582693] MAGERWQAEGPGEGWAIYVTPRAPIREGRRRLDPRNGDSSDAPAYGAHPSRRGRREVRFS EEPAEVYGDFEPRAAKERSPGGRRTPPEKFRPASAGEEVRESAYNLRSRPRRQRRAQEAE EMKTRRSARLEQHSQQPQLSPATSGRGLRDSPSSSEDREEDEPSSRPVTSQTASKKTLRT PEASVMNEDPISNLCRPPLRSPRLDSTYQTNGNTKTNEREATIVQQVNFFEEGETEDDLE SSYSDITIRARSSDSLESRDEATPAAGNHPDSLRGCHTIRTSQLMRISHYC >ENSMUSP00000027738.7 pep:known chromosome:GRCm38:1:156006781:156036480:-1 gene:ENSMUSG00000026466.16 transcript:ENSMUST00000027738.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip1 description:torsin A interacting protein 1 [Source:MGI Symbol;Acc:MGI:3582693] MAGERWQAEGPGEGWAIYVTPRAPIREGRRRLDPRNGDSSDAPAYGAHPSRRGRREVRFS EEPAEVYGDFEPRAAKERSPGGRRTPPEKFRPASAGEEVRESAYNLRSRPRRQRRAQEAE EMKTRRSARLEQHSQQPQLSPATSGRGLRDSPSSSEDREEDEPSSRPVTSQTASKKTLRT PEASVMNEDPISNLCRPPLRSPRLDSTYQTNGNTKTNEREATIVQQVNFFEEGETEDDLE SSYSDITIRARSSDSLESRESAIHHPNEPSVKIKWWLLGLVAILAVGLFWFFHTPAVETT AVQEFQNQMKQLQSKYQSQNEKLWKRGTTFLEKHLNSSLPRPQPAILLLTAAQDAAEVLK CLSEQIADAYSSFRSVRAIRIDGAGKAAQDSDLVKHEVDQELTDGFKNGQNAAVVHRFES LPAGSTLIFYKYCDHENAAFKDVALVLTVLLEEKTLEASLGLKEIEEKVRDFLKVKFTSS STASSYNHMDPDKLNGLWSRISHLVLPVQPENTLKAGSCL >ENSMUSP00000118654.1 pep:known chromosome:GRCm38:1:156007531:156032953:-1 gene:ENSMUSG00000026466.16 transcript:ENSMUST00000136397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip1 description:torsin A interacting protein 1 [Source:MGI Symbol;Acc:MGI:3582693] MNEDPISNLCRPPLRSPRLDSTYQTNGNTKTNEREATIVQQVNFFEEGETEDDLESSYSD ITIRARSSDSLESRDQDFPAHENQPLLLTSGCQENPQEWVDRAVRMRSRMAYNNIQKSNF GNQSPSTSRPQSAIHHPNEPSVKIKWWLLGLVAILAVGLFWFFHTPAVETTAVQEFQNQM KQLQSKYQSQ >ENSMUSP00000123391.1 pep:known chromosome:GRCm38:1:156007572:156034880:-1 gene:ENSMUSG00000026466.16 transcript:ENSMUST00000141878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip1 description:torsin A interacting protein 1 [Source:MGI Symbol;Acc:MGI:3582693] MNEDPISNLCRPPLRSPRLDSTYQTNGNTKTNEREATIVQQVNFFEEGETEDDLESSYSD ITIRARSSDSLESRDQDFPAHENQPLLLTSGCQENPQEWVDRAVRMRSRMAYNNIQKSNF GNQSPSTSRPQSAIHHPNEPSVKIKWWLLGLVAILAVGLFWFFHTPAVETTAVQEF >ENSMUSP00000141619.1 pep:known chromosome:GRCm38:1:156007572:156036480:-1 gene:ENSMUSG00000026466.16 transcript:ENSMUST00000130995.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor1aip1 description:torsin A interacting protein 1 [Source:MGI Symbol;Acc:MGI:3582693] MAGERWQAEGPGEGWAIYVTPRAPIREGRRRLDPRNGDSSDAPAYGAHPSRRGRREVRFS EEPAEVYGDFEPRAAKERSPGGRRTPPEKFRPASAGEEVRESAYNLRSRPRRQRRAQEAE EMKTRRSARLEQHSQQPQLSPATSGRGLRDSPSSSEDREEDEPSSRPVTSQTASKKTLRT PEASVMNEDPISNLCRPPLRSPRLDSTYQTNGNTKTNEREATIVQQVNFFEEGETEDDLE SSYSDITIRARSSDSLESREDGSSVPNTAVDISEPPVTPIQKIQHLLLLQAPALNIHMHT KGKKYILIVCLTGQRVGSVSLSGR >ENSMUSP00000120602.2 pep:known chromosome:GRCm38:1:156022371:156036050:-1 gene:ENSMUSG00000026466.16 transcript:ENSMUST00000123705.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor1aip1 description:torsin A interacting protein 1 [Source:MGI Symbol;Acc:MGI:3582693] XPAEVYGDFEPRAAKERSPGGRRTPPEKFRPASAGEEVRESAYNLRSRPRRQRRAQEAEE MKTRRSARLEQHSQQPQLSPATSGRGLRDSPSSSEDREGHLT >ENSMUSP00000126751.1 pep:known chromosome:GRCm38:1:156004599:156034817:-1 gene:ENSMUSG00000026466.16 transcript:ENSMUST00000169241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1aip1 description:torsin A interacting protein 1 [Source:MGI Symbol;Acc:MGI:3582693] MNEDPISNLCRPPLRSPRLDSTYQTNGNTKTNEREATIVQQVNFFEEGETEDDLESSYSD ITIRARSSDSLESRDQDFPAHENQPLLLTSGCQENPQEWVDRAVRMRSRMAYNNIQKSNF GNQSPSTSRPQSAIHHPNEPSVKIKWWLLGLVAILAVGLFWFFHTPAVETTAVQEFQNQM KQLQSKYQSQNEKLWKRGTTFLEKHLNSSLPRPQPAILLLTAAQDAAEVLKCLSEQIADA YSSFRSVRAIRIDGAGKAAQDSDLVKHEVDQELTDGFKNGQNAAVVHRFESLPAGSTLIF YKYCDHENAAFKDVALVLTVLLEEKTLEASLGLKEIEEKVRDFLKVKFTSSSTASSYNHM DPDKLNGLWSRISHLVLPVQPENTLKAGSCL >ENSMUSP00000093988.4 pep:known chromosome:GRCm38:19:8335371:8405111:-1 gene:ENSMUSG00000052562.15 transcript:ENSMUST00000096269.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a30 description:solute carrier family 22, member 30 [Source:MGI Symbol;Acc:MGI:2442750] MAFQELLNQVGSLGRFQILQISFVLFLVGLVVPHITMENFTAAIPNHRCWAPILDNDTAS DNGSRILSQDDLLRISIPLDSNLRLDKCRRFAQPQWHLLHLNGTFSNETEPDTEPCVDGW VYDRSNFLSTIVTEWDLVCESQALNSVAKFSFMIGLFIGAIICGHLSDRFGRKFILTCAL LQFAITETCVAFAPSFFIYCLLRFLAGMSVEPISVNSHLLMLEWTSPKFLGMVAVLTSCA ASIGYMILAGLAFLFRIWRHLQLAMSVPIFFFLILTRWMSESARWLIVTNKPQKGLKELR KVAHMNGMKNSGNTLTMEVVEASMKNELEAAKRKSSPRDLFHTPILRKRICVLSFMRYLF TISIFGLSLHLQHLSTNIILLQFLSSALGILISVIGHFVLNHMGRRITQLVLMSLRGIFM LTAVFVPQEMQTLRIIMATLAAALSSLCMCVSNLHINELLPTTLRATGMGVIAMFGNSGL FLAPLFMLLATYSANLPWIFYGGFSILYGFSVFLLPETKNQPLPDSIHDVGNDWKESRQA KEEDSIIKVTRF >ENSMUSP00000114071.1 pep:known chromosome:GRCm38:19:8343301:8405105:-1 gene:ENSMUSG00000052562.15 transcript:ENSMUST00000120540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a30 description:solute carrier family 22, member 30 [Source:MGI Symbol;Acc:MGI:2442750] MAFQELLNQVGSLGRFQILQISFVLFLVGLVVPHITMENFTAAIPNHRCWAPILDNDTAS DNGSRILSQDDLLRISIPLDSNLRLDKCRRFAQPQWHLLHLNGTFSNETEPDTEPCVDGW VYDRSNFLSTIVTEWDLVCESQALNSVAKFSFMIGLFIGAIICGHLSDRFGRKFILTCAL LQFAITETCVAFAPSFFIYCLLRFLAGMSVEPISVNSHLLMLEWTSPKFLGMVAVLTSCA ASIGYMILAGLAFLFRIWRHLQLAMSVPIFFFLILTRWMSESARWLIVTNKPQKGLKELR KVAHMNGMKNSGNTLTMEVVEASMKNELEAAKRKSSPRDLFHTPILRKRICVLSFMRYLF TISIFGLSLHLQHLSTNIILLQFLSSALGILISVIGHFVLNHMGRRITQLVLMSLRGIFM LTAVFVPQGFIIPYIQYI >ENSMUSP00000069461.5 pep:known chromosome:GRCm38:19:8335374:8405060:-1 gene:ENSMUSG00000052562.15 transcript:ENSMUST00000064507.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a30 description:solute carrier family 22, member 30 [Source:MGI Symbol;Acc:MGI:2442750] MAFQELLNQVGSLGRFQILQISFVLFLVGLVVPHITMENFTAAIPNHRCWAPILDNDTAS DNGSRILSQDDLLRISIPLDSNLRLDKCRRFAQPQWHLLHLNGTFSNETEPDTEPCVDGW VYDRSNFLSTIVTEWDLVCESQALNSVAKFSFMIGLFIGAIICGHLSDRFGRKFILTCAL LQFAITETCVAFAPSFFIYCLLRFLAGMSVEPISVNSHLLMLEWTSPKFLGMVAVLTSCA ASIGYMILAGLAFLFRIWRHLQLAMSVPIFFFLILTRWMSESARWLIVTNKPQKGLKELR KVAHMNGMKNSGNTLTMEVVEASMKNELEAAKRKSSPRDLFHTPILRKRICVLSFMRYLF TISIFGLSLHLQHLSTNIILLQFLSSALGILISVIGHFVLNHMGRRITQLVLMSLRGIFM LTAVFVPQVGKSQDKQRRRIPSLKSQGFEELLELPSDPREENFVQDMVTIFSDDYSEFFF >ENSMUSP00000073789.6 pep:known chromosome:GRCm38:2:28700164:28813165:1 gene:ENSMUSG00000026807.8 transcript:ENSMUST00000074156.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak8 description:adenylate kinase 8 [Source:MGI Symbol;Acc:MGI:1916120] MDATTAPHRIPPEMPQYGEDYYIFEMMQNMLEQLLIHQPEDPISFMITHLRRNNDNVPKV VILGPPASGKTTIAMWLCKHLNSNLITKESLLEREFSRLSVEAKSYYQVYKKIPNSILVS LVQERLNEDDCLRKGWILDGIPERREQALMIQTLGLAPKHVIVLNAPDTVLIERNVGKRI DPVTGEIYHTTFDWPPEPEIQNRLRQPEGISEIETAKKLLEYHRHIIRILPSYPKILKTI SSDQPCVDVFYQALTYVQSGHRCNAPFTPKVLLCGPLGSGKRLQATLLAQKYGLVNISCG QLLKEAVAAKSSFGELIQPFFEKRMTVPDSIITKVLADRMEQQDCIQKGWVLHGFPRDLD QARMLNSMGYNPNRVFFLSVPLDSILERLTLRRTDPVTGERFHLMYKPPPTIEVQVRLLQ NPKDSEEYIKLQTDLFYRNSGDLEQYYDRAIIVNGDQDPYTVFEYIESGIINPLPRKVT >ENSMUSP00000147188.1 pep:known chromosome:GRCm38:19:13338901:13342692:1 gene:ENSMUSG00000096485.2 transcript:ENSMUST00000207124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1466 description:olfactory receptor 1466 [Source:MGI Symbol;Acc:MGI:3031300] MTSLNNLTEVTHFLLLGLTDDPGLQLPLFIIFLLIYIITLVGNLGMILLILLDSRLHIPM YFFLANLSLVDVIYSSAVTPKVMAGLIIGDNLISYNECAAQMFFFAAFATVENYLLTSMA CDRYAAVCKPLYYATTMTPSVCMCFIMGCYALGFLNASVYLGNTFSLSFCKSNVVHHFFC DMPAIMALSCSDRHVNELVLIYQASFIIFFALIIILISYIIIFITILKMHSEAGVQKALS TCASHFTAVFIFYGTTIFMYLQPSSRHAMDTDKIVSVFYTMVIPMLNPLVYSLRNKEVKS AFMKVVLKEK >ENSMUSP00000075265.1 pep:known chromosome:GRCm38:19:13341760:13342692:1 gene:ENSMUSG00000096485.2 transcript:ENSMUST00000075868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1466 description:olfactory receptor 1466 [Source:MGI Symbol;Acc:MGI:3031300] MTSLNNLTEVTHFLLLGLTDDPGLQLPLFIIFLLIYIITLVGNLGMILLILLDSRLHIPM YFFLANLSLVDVIYSSAVTPKVMAGLIIGDNLISYNECAAQMFFFAAFATVENYLLTSMA CDRYAAVCKPLYYATTMTPSVCMCFIMGCYALGFLNASVYLGNTFSLSFCKSNVVHHFFC DMPAIMALSCSDRHVNELVLIYQASFIIFFALIIILISYIIIFITILKMHSEAGVQKALS TCASHFTAVFIFYGTTIFMYLQPSSRHAMDTDKIVSVFYTMVIPMLNPLVYSLRNKEVKS AFMKVVLKEK >ENSMUSP00000111080.1 pep:known chromosome:GRCm38:6:17693947:17943022:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000115420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MAEAGAGFLEQLKSCIVWSWTYLWTVWFFLVLFLVYILRVPLRINDNLSTVSMFLNTLTP KFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLGGVDNNSSNNSNSSN GDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMNLSAQDHQTFFTCDS DHLRPADAIMQKAWRERNPQARISAAHEALEINECATAYILLAEEEATTIAEAEKLFKQA LKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKRRLAMCARRLGRTREAVKMMRDLM KEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDDISLPKSATICYTAALLKARAVSD KFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIA YAFFHLAHWKRVEGALNLLHCTWEGTFHEVSVYPKKELPFFILFTAGLCSFTAMLALLTH QFPELMGVFAKAFLSTLFAPLNFVMEKVESILPSSLWHQLTRI >ENSMUSP00000111079.1 pep:known chromosome:GRCm38:6:17693994:17943022:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000115419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MAEAGAGFLEQLKSCIVWSWTYLWTVWFFLVLFLVYILRVPLRINDNLSTVSMFLNTLTP KFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLGGVDNNSSNNSNSSN GDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMNLSAQDHQTFFTCDS DHLRPADAIMQKAWRERNPQARISAAHEALEINEIRSRVEVPLIASSTIWEIKLLPKCAT AYILLAEEEATTIAEAEKLFKQALKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKR RLAMCARRLGRTREAVKMMRDLMKEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDD ISLPKSATICYTAALLKARAVSDKFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYL LEMKSLILPPEHILKRGDSEAIAYAFFHLAHWKRVEGALNLLHSFRMIPYPLEKGHLFYP YPICTETADRELLPSFHEVSVYPKKELPFFILFTAGLCSFTAMLALLTHQFPELMGVFAK AFLSTLFAPLNFVMEKVESILPSSLWHQLTRI >ENSMUSP00000122970.1 pep:known chromosome:GRCm38:6:17694032:17855028:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000125673.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MAEAGAGFLEQLKSCIVWSWTYLWTVWFFLVLFLVYILRVPLRINDNLSTDI >ENSMUSP00000062886.5 pep:known chromosome:GRCm38:6:17694032:17942966:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000052113.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MAEAGAGFLEQLKSCIVWSWTYLWTVWFFLVLFLVYILRVPLRINDNLSTVSMFLNTLTP KFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLGGVDNNSSNNSNSSN GDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMNLSAQDHQTFFTCDS DHLRPADAIMQKAWRERNPQARISAAHEALEINECATAYILLAEEEATTIAEAEKLFKQA LKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKRRLAMCARRLGRTREAVKMMRDLM KEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDDISLPKSATICYTAALLKARAVSD KFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIA YAFFHLAHWKRVEGALNLLHCTWEGTFRMIPYPLEKGHLFYPYPICTETADRELLPSFHE VSVYPKKELPFFILFTAGLCSFTAMLALLTHQFPELMGVFAKAFLSTLFAPLNFVMEKVE SILPSSLWHQLTRI >ENSMUSP00000080341.6 pep:known chromosome:GRCm38:6:17694032:17942966:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000081635.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MAEAGAGFLEQLKSCIVWSWTYLWTVWFFLVLFLVYILRVPLRINDNLSTVSMFLNTLTP KFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLGGVDNNSSNNSNSSN GDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMNLSAQDHQTFFTCDS DHLRPADAIMQKAWRERNPQARISAAHEALEINEIRSRVEVPLIASSTIWEIKLLPKCAT AYILLAEEEATTIAEAEKLFKQALKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKR RLAMCARRLGRTREAVKMMRDLMKEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDD ISLPKSATICYTAALLKARAVSDKFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYL LEMKSLILPPEHILKRGDSEAIAYAFFHLAHWKRVEGALNLLHCTWEGTFRMIPYPLEKG HLFYPYPICTETADRELLPSFHEVSVYPKKELPFFILFTAGLCSFTAMLALLTHQFPELM GVFAKAFLSTLFAPLNFVMEKVESILPSSLWHQLTRI >ENSMUSP00000116304.1 pep:known chromosome:GRCm38:6:17694032:17942966:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000150281.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MAEAGAGFLEQLKSCIVWSWTYLWTVWFFLVLFLVYILRVPLRINDNLSTVNEGVSPPKH VQYP >ENSMUSP00000115215.1 pep:known chromosome:GRCm38:6:17694032:17943025:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000144488.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MAEAGAGFLEQLKSCIVWSWTYLWTVWFFLVLFLVYILRVPLRINDNLSTVSMFLNTLTP KFYVALTGTSSLISGLILKPEKS >ENSMUSP00000111078.1 pep:known chromosome:GRCm38:6:17694167:17942966:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000115418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MAEAGAGFLEQLKSCIVWSWTYLWTVWFFLVLFLVYILRVPLRINDNLSTVSMFLNTLTP KFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLGGVDNNSSNNSNSSN GDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMNLSAQDHQTFFTCDS DHLRPADAIMQKAWRERNPQARISAAHEALEINECATAYILLAEEEATTIAEAEKLFKQA LKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKRRLAMCARRLGRTREAVKMMRDLM KEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDDISLPKSATICYTAALLKARAVSD KFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIA YAFFHLAHWKRVEGALNLLHCTWEGIPQHFVCPLELCYGESGKHPPLQFVASADTDLREP PILHFPHHPPSLLCQPLMDRKKA >ENSMUSP00000000674.6 pep:known chromosome:GRCm38:6:17743592:17942966:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000000674.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MKYAVSMFLNTLTPKFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLG GVDNNSSNNSNSSNGDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMN LSAQDHQTFFTCDSDHLRPADAIMQKAWRERNPQARISAAHEALEINECATAYILLAEEE ATTIAEAEKLFKQALKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKRRLAMCARRL GRTREAVKMMRDLMKEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDDISLPKSATI CYTAALLKARAVSDKFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYLLEMKSLILP PEHILKRGDSEAIAYAFFHLAHWKRVEGALNLLHCTWEGTFRMIPYPLEKGHLFYPYPIC TETADRELLPSFHEVSVYPKKELPFFILFTAGLCSFTAMLALLTHQFPELMGVFAKAFLS TLFAPLNFVMEKVESILPSSLWHQLTRI >ENSMUSP00000076334.2 pep:known chromosome:GRCm38:6:17743592:17942966:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000077080.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MKYAVSMFLNTLTPKFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLG GVDNNSSNNSNSSNGDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMN LSAQDHQTFFTCDSDHLRPADAIMQKAWRERNPQARISAAHEALEINEIRSRVEVPLIAS STIWEIKLLPKCATAYILLAEEEATTIAEAEKLFKQALKAGDGCYRRSQQLQHHGSQYEA QHRRDTNVLVYIKRRLAMCARRLGRTREAVKMMRDLMKEFPLLSMFNIHENLLEALLELQ AYADVQAVLAKYDDISLPKSATICYTAALLKARAVSDKFSPEAASRRGLSTAEMNAVEAI HRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIAYAFFHLAHWKRVEGALNLLHCTW EGTFRMIPYPLEKGHLFYPYPICTETADRELLPSFHEVSVYPKKELPFFILFTAGLCSFT AMLALLTHQFPELMGVFAKAFLSTLFAPLNFVMEKVESILPSSLWHQLTRI >ENSMUSP00000057326.7 pep:known chromosome:GRCm38:6:17749170:17943025:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000053148.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MFGTESSLSMFLNTLTPKFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRP LLGGVDNNSSNNSNSSNGDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYY DMNLSAQDHQTFFTCDSDHLRPADAIMQKAWRERNPQARISAAHEALEINEIRSRVEVPL IASSTIWEIKLLPKCATAYILLAEEEATTIAEAEKLFKQALKAGDGCYRRSQQLQHHGSQ YEAQHRRDTNVLVYIKRRLAMCARRLGRTREAVKMMRDLMKEFPLLSMFNIHENLLEALL ELQAYADVQAVLAKYDDISLPKSATICYTAALLKARAVSDKFSPEAASRRGLSTAEMNAV EAIHRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIAYAFFHLAHWKRVEGALNLLH CTWEGTFRMIPYPLEKGHLFYPYPICTETADRELLPSFHEVSVYPKKELPFFILFTAGLC SFTAMLALLTHQFPELMGVFAKAFLSTLFAPLNFVMEKVESILPSSLWHQLTRI >ENSMUSP00000111077.3 pep:known chromosome:GRCm38:6:17749216:17943020:1 gene:ENSMUSG00000029534.17 transcript:ENSMUST00000115417.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7 description:suppression of tumorigenicity 7 [Source:MGI Symbol;Acc:MGI:1927450] MFGTESSLSMFLNTLTPKFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRP LLGGVDNNSSNNSNSSNGDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYY DMNLSAQDHQTFFTCDSDHLRPADAIMQKAWRERNPQARISAAHEALEINECATAYILLA EEEATTIAEAEKLFKQALKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKRRLAMCA RRLGRTREAVKMMRDLMKEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDDISLPKS ATICYTAALLKARAVSDKFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYLLEMKSL ILPPEHILKRGDSEAIAYAFFHLAHWKRVEGALNLLHCTWEGTFRMIPYPLEKGHLFYPY PICTETADRELLPSFHEVSVYPKKELPFFILFTAGLCSFTAMLALLTHQFPELMGVFAKA FLSTLFAPLNFVMEKVESILPSSLWHQLTRI >ENSMUSP00000114843.1 pep:known chromosome:GRCm38:19:6046576:6053712:1 gene:ENSMUSG00000024807.17 transcript:ENSMUST00000138532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syvn1 description:synovial apoptosis inhibitor 1, synoviolin [Source:MGI Symbol;Acc:MGI:1921376] MFRTAVMMAASLALTGAVVAHAYYLKHQFYPTVVYLTKSSPSMAVLYIQAFVLVFLLGKV MGKVFFGQLRAAEMEHLLERSWYAVTETCLAFTVFRDDFSPRFVALFTLLLFLKCFHWLA EDRVDFMERSPNISWLFHCRIVSLMFLLGILDFLFVSHAYHSILTRGASVQLVFGFEYAI LMTMVLTIFIKYVLHSVDLQSENPWDNKAVYMLYTELFTGFIKVLLYMAFMTIMIKVHTF PLFAIRPMYLAMRQFKKAVTDAIMSRRAIRNMNTLYPDATPEELQAMDNVCIICREEMVT GAKRLPCNHIFHTSCLRSWFQRQQTCPTCRMDVLRASLPAQSPPPPEPADQGPPPAPHPQ PLLPQPPNFPQGLLPPFPPGMFPLWPPMGPFPPVPPPPSSGEAAAPPPTSTAVSRPSGAA TTTAAGTSTSAPAPGSVPGPEAGPAPGFPFPPPWMGMPLPPPFAFPPMPVPPAGFAGLTP EELRALEGHERQHLEARLQSLRNIHTLLDAAMLQINQYLTVLASLGPPRPATSVNPTEET ASTVVSAAPSTSAPSSEAPTPSPGASPPIPEAEKPPAPESVGIVEELPEDGEPDAAELRR RRLQKLESPVAH >ENSMUSP00000118698.1 pep:known chromosome:GRCm38:19:6046638:6049203:1 gene:ENSMUSG00000024807.17 transcript:ENSMUST00000129081.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syvn1 description:synovial apoptosis inhibitor 1, synoviolin [Source:MGI Symbol;Acc:MGI:1921376] MFRTAVMMAASLALTGAVVAHAYYLKHQFYPTVVYLTKSSPSMAVLYIQAFVLVFLLGKV MGKVFFGQLRAAEMEHLLERSWYAVTETCLAFTVFRDDFSPRFVALFTLLLFLKCFHWLA EDRVDFMERSPNISWLFHCRIVSLMFLLGILDFLFVSHAY >ENSMUSP00000121885.1 pep:known chromosome:GRCm38:19:6046662:6053709:1 gene:ENSMUSG00000024807.17 transcript:ENSMUST00000156550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syvn1 description:synovial apoptosis inhibitor 1, synoviolin [Source:MGI Symbol;Acc:MGI:1921376] MFRTAVMMAASLALTGAVVAHAYYLKHQFYPTVVYLTKSSPSMAVLYIQAFVLVFLLGKV MGKVFFGQLRAAEMEHLLERSWYAVTETCLAFTVFRDDFSPRFVALFTLLLFLKCFHWLA EDRVDFMERSPNISWLFHCRIVSLMFLLGILDFLFVSHAYHSILTRGASVQLVFGFEYAI LMTMVLTIFIKYVLHSVDLQSENPWDNKAVYMLYTELFTGFIKVLLYMAFMTIMIKVHTF PLFAIRPMYLAMRQFKKAVTDAIMSRRAIRNMNTLYPDATPEELQAMDNVCIICREEMVT GAKRLPCNHIFHTSCLRSWFQRQQTCPTCRMDVLRASLPAQSPPPPEPADQGPPPAPHPQ PLLPQPPNFPQGLLPPFPPGMFPLWPPMGPFPPVPPPPSSGEAAAPPPTSTAVSRPSGAA TTTAAGTSTSAPAPGSVPGPEAGPAPGFPFPPPWMGMPLPPPFAFPPMPVPPAGFAGLTP EELRALEGHERQHLEARLQSLRNIHTLLDAAMLQINQYLTVLASLGPPRPATSVNPTEET ASTVVSAAPSTSAPSSEAPTPSPGASPPIPEAEKPPVGIVEELPEDGEPDAAELRRRRLQ KLESPVAH >ENSMUSP00000114960.1 pep:known chromosome:GRCm38:19:6047080:6053709:1 gene:ENSMUSG00000024807.17 transcript:ENSMUST00000134667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syvn1 description:synovial apoptosis inhibitor 1, synoviolin [Source:MGI Symbol;Acc:MGI:1921376] MFRTAVMMAASLALTGAVVAHAYYLKHQFYPTVVYLTKSSPSMAVLYIQAFVLVFLLGKV MGKVFFGQLRAAEMEHLLERSWYAVTETCLAFTVFRDDFSPRFVALFTLLLFLKCFHWLA EDRVDFMERSPNISWLFHCRIVSLMFLLGILDFLFVSHAYHSILTRGASVQLVFGFEYAI LMTMVLTIFIKYVLHSVDLQSENPWDNKAVYMLYTELFTGFIKVLLYMAFMTIMIKVHTF PLFAIRPMYLAMRQFKKAVTDAIMSRRAIRNMNTLYPDATPEELQAMDNVCIICREEMVT GAKRLPCNHIFHTSCLRSWFQRQQTCPTCRMDVLRASLPAQSPPPPEPADQGPPPAPHPQ PLLPQPPNFPQGLLPPFPPGMFPLWPPMGPFPPVPPPPSSGEAAAPPPTSTAVSRPSGAA TTTAAGTSTSAPAPGSVPGPEAGPAPGFPFPPPWMGMPLPPPFAFPPMPVPPAGFAGLTP EELRALEGHERQHLEARLQSLRNIHTLLDAAMLQINQYLTVLASLGPPRPATSVNPTEET ASTVVSAAPSTSAPSSEAPTPSPGASPPIPEAEKPPAPESVGIVEELPEDGEPDAAELRR RRLQKLESPVAH >ENSMUSP00000025723.8 pep:known chromosome:GRCm38:19:6047104:6053709:1 gene:ENSMUSG00000024807.17 transcript:ENSMUST00000025723.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syvn1 description:synovial apoptosis inhibitor 1, synoviolin [Source:MGI Symbol;Acc:MGI:1921376] MFRTAVMMAASLALTGAVVAHAYYLKHQFYPTVVYLTKSSPSMAVLYIQAFVLVFLLGKV MGKVFFGQLRAAEMEHLLERSWYAVTETCLAFTVFRDDFSPRFVALFTLLLFLKCFHWLA EDRVDFYAILMTMVLTIFIKYVLHSVDLQSENPWDNKAVYMLYTELFTGFIKVLLYMAFM TIMIKVHTFPLFAIRPMYLAMRQFKKAVTDAIMSRRAIRNMNTLYPDATPEELQAMDNVC IICREEMVTGAKRLPCNHIFHTSCLRSWFQRQQTCPTCRMDVLRASLPAQSPPPPEPADQ GPPPAPHPQPLLPQPPNFPQGLLPPFPPGMFPLWPPMGPFPPVPPPPSSGEAAAPPPTST AVSRPSGAATTTAAGTSTSAPAPGSVPGPEAGPAPGFPFPPPWMGMPLPPPFAFPPMPVP PAGFAGLTPEELRALEGHERQHLEARLQSLRNIHTLLDAAMLQINQYLTVLASLGPPRPA TSVNPTEETASTVVSAAPSTSAPSSEAPTPSPGASPPIPEAEKPPAPESVGIVEELPEDG EPDAAELRRRRLQKLESPVAH >ENSMUSP00000016105.8 pep:known chromosome:GRCm38:1:177762962:177796511:-1 gene:ENSMUSG00000015961.8 transcript:ENSMUST00000016105.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adss description:adenylosuccinate synthetase, non muscle [Source:MGI Symbol;Acc:MGI:87948] MSISESSPAATSLPNGDCGRPRARSGGNRVTVVLGAQWGDEGKGKVVDLLAQDADIVCRC QGGNNAGHTVVVDSVEYDFHLLPSGIINPNVTAFIGNGVVIHLPGLFEEAEKNVQKGKGL DGWEKRLIISDRAHIVFDFHQAADGIQEQQRQEQAGKNLGTTKKGIGPVYSSKAARSGLR MCDLVSDFDGFSERFKVLANQYKSIYPTLEIDIEGELQQLKGYMERIKPMVKDGVYFLYE ALHGPPKKILVEGANAALLDIDFGTYPFVTSSNCTVGGVCTGLGMPPQNVGEVYGVVKAY TTRVGIGAFPTEQDNEIGELLQTRGREFGVTTGRKRRCGWLDLVSLKYAHMINGFTALAL TKLDILDMFTEIKVGVAYKLDGETIPHFPANQEVLNKVEVQYKTLPGWNTDISNARTFKE LPVNAQNYVRFIEDELQIPVKWIGVGKSRESMIQLF >ENSMUSP00000061580.2 pep:known chromosome:GRCm38:19:13364630:13365556:1 gene:ENSMUSG00000049015.2 transcript:ENSMUST00000054687.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1467 description:olfactory receptor 1467 [Source:MGI Symbol;Acc:MGI:3031301] MMENQTEVTEFILLGLTDDPELQIPLFITFLAIYTITVVGNLGMILLILMDSRLHTPMYF FLGNLSLVDFCYSSAVTPKVMSGFLIGDKVISYNDCAAQMFIFAAFITVENYLLASMAYD RYAAVCKPLHYTTTMTTSVCTWLIIGSYVIGFMNASIHIGDTFHLYFCESNVVHHFFCDI PAVMVLSCSDRYASELVLVYIVSFHIFFAFIVIWISYVFIFVTIVKMQSAAGHHKAISTC ASHFTAVSIFYGTSIFMYVQPSSNHSMDTDKIASVFYTMVIPMLNPLVYSLRNKEVKSAL KKIILQTT >ENSMUSP00000005103.5 pep:known chromosome:GRCm38:6:51432670:51458768:1 gene:ENSMUSG00000029832.16 transcript:ENSMUST00000005103.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l3 description:nuclear factor, erythroid derived 2, like 3 [Source:MGI Symbol;Acc:MGI:1339958] MKLPKPWWAGGGLLQLTILLSLVGLRVDLDLFLPPPAAALWEELLPLCPTRPASASNPFS ASEGWERTPLLPAKGRLLHEVRALGVPFIPRTRVDAWLVHSVATGNADGAHGLLGTAASS AVGDGGQSASAGGGDPRAAHSSPLAAEEEEEKAAEPTAQVPDAGGCGSQENGMLREKSEA VDHSSQQEENEEGVSAQAKSRQQSKTEEHKMACASAREAEKITEARNESHLHWSDTSFSL EDLFQLLSSQPEHSLEGISVEDIPPFLSSVCESVNSSAQNINLSQAISHDVNLHEAMLLY PNNTFRRDPSARSSQAQEPFLQLNPHTNPEQATPAMSLPPFDNQMRNLTSQDLLYDLDSN IFDGINLMSLATGFSPLEVSQLFEEPDSGLSLNSSYNSTSLTNSYRIYDGTVGYNSDLQS LCHDLGAVGGCYPEPHKHCHMDHRTIAGFHVSLECQQVFHDHTYHLQSGASEPTSESFAW SEKSQKTSGCLDNPDRNLSRDEQRAKALHIPFSVDEIVRMPVDSFNSMLSRYYLTDLQVS LIRDIRRRGKNKVAAQNCRKRKLDIILNLEDDICNLQAKKEALKNEQTQCSKAIDIMRQK LHGLHQDVFNRLRDDQGRPVNPSQYALQYSHDGTVLIVPKELISSGHKKEAPKGKRERRN >ENSMUSP00000124920.1 pep:known chromosome:GRCm38:6:51432749:51456876:1 gene:ENSMUSG00000029832.16 transcript:ENSMUST00000160133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l3 description:nuclear factor, erythroid derived 2, like 3 [Source:MGI Symbol;Acc:MGI:1339958] MKLPKPWWAGGGLLQLTILLSLVGLRVDLDLFLPPPAAALWEELLPLCPTRPASASNPFS ASEGWERTPLLPAKGRLLHEVRALGVPFIPRTRVDAWLVHSVATGNADGAHGLLGTAASS AVGDGGQSASAGGGDPRAAHSSPLAAEEEEEKAAEPTAQVPDAGGCGSQENGMLREKSEA VDHSSQQEENEEGVSAQAKSRQQSKTEEHKMACASAREAEKITEARNESHLHWSDTSFSL EDLFQLLSSQPEHSLEVKGTLTCI >ENSMUSP00000027697.5 pep:known chromosome:GRCm38:1:132112237:132139684:-1 gene:ENSMUSG00000026437.11 transcript:ENSMUST00000027697.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk18 description:cyclin-dependent kinase 18 [Source:MGI Symbol;Acc:MGI:97518] MNKMKNFKRRLSLSVPRPETIEESLAEFTEQFNQLHTQTNEDGTDEPEQLSPGMQYQQRQ NQRRFSMEDLNKRLSLPMDIRLPQEFLQKLQLENPGLPKPLTRMSRRASLSDIGFGKLET YVKLDKLGEGTYATVFKGRSKLTENLVALKEIRLEHEEGAPCTAIREVSLLKDLKHANIV TLHDLIHTDRSLTLVFEYLDSDLKQYLDHCGNLMNMHNVKIFMFQLLRGLAYCHHRKILH RDLKPQNLLINERGELKLADFGLARAKSVPTKTYSNEVVTLWYRPPDVLLGSTEYSTPID MWGVGCILYEMATGKPLFPGSTVKEELHLIFRLLGTPTEESWPGVTSISEFRAYNFPRYL PQPLLSHAPRLDTEGINLLSSLLLYESKSRMSAEAALNHPYFQSLGDRVHQLHDTASIFS LKEIQLQKDPGYRGLAFQHPGRGKSRRQSIF >ENSMUSP00000140034.1 pep:known chromosome:GRCm38:1:132114831:132116690:-1 gene:ENSMUSG00000026437.11 transcript:ENSMUST00000185601.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk18 description:cyclin-dependent kinase 18 [Source:MGI Symbol;Acc:MGI:97518] EESWPGVTSISEFRAYNFPRYLPQPLLSHAPSMNPRVACQQRQPSITPTSSLSETEFISS MTLPPSSP >ENSMUSP00000107981.2 pep:known chromosome:GRCm38:1:132114764:132139605:-1 gene:ENSMUSG00000026437.11 transcript:ENSMUST00000112362.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk18 description:cyclin-dependent kinase 18 [Source:MGI Symbol;Acc:MGI:97518] MNKMKNFKRRLSLSVPRPETIEESLAEFTEQFNQLHTQTNEDGTDEPEQLSPGMQYQQRQ NQRRFSMEDLNKRLSLPMDIRLPQEFLQKLQLENPGLPKPLTRMSRRASLSDIGFGKLET YVKLDKLGEGTYATVFKGRSKLTENLVALKEIRLEHEEGAPCTAIREVSLLKDLKHANIV TLHDLIHTDRSLTLVFEYLDSDLKQYLDHCGNLMNMHNVKIFMFQLLRGLAYCHHRKILH RDLKPQNLLINERGELKLADFGLARAKSVPTKTYSNEVVTLWYRPPDVLLGSTEYSTPID MWGVGCILYEMATGKPLFPGSTVKEELHLIFRLLGTPTEESWPGVTSISEFRAYNFPRYL PQPLLSHAPRLDTEGINLLSSLLLYESKSRMSAEAALNHPYFQSLGDRVHQLHDTASIFS LKEIQLQKDPGYRGLAFQHPGRGKSRRQSIF >ENSMUSP00000101345.1 pep:known chromosome:GRCm38:4:147611937:147642513:-1 gene:ENSMUSG00000066000.12 transcript:ENSMUST00000105720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610305D13Rik description:RIKEN cDNA 2610305D13 gene [Source:MGI Symbol;Acc:MGI:2148252] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVLEQDTQHIFNEHGHIQEKSFKCNESNNIIHESSQSTPHK TNHRDATLQSSNLKRHKTRTTKEVCTYKDCVNRLKVSSTISLNQGTHIEKKEHNRNKNLD EVLVSKHKPIVRQNNSEMNTYTCGEFDKCFTQSDNLQSQQRIYPLKKSYKYSESDKCFTQ KFYLGIHQKIHTGEKFYKCNESDKCFKHKFNLSMHQRIHTGEKTYKCIECNKCFMQQSLL SNHERIHTGKKPYKCSECDKCFTHQVSLSIHQRIHSEKKPSKYSECEKCFTHKFNLRTHQ TIHTGEKPYKCSECDKCFTHQVSLRIHQRTHSGEKPYKCTECYKCFA >ENSMUSP00000114677.1 pep:known chromosome:GRCm38:4:147613911:147642496:-1 gene:ENSMUSG00000066000.12 transcript:ENSMUST00000133006.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610305D13Rik description:RIKEN cDNA 2610305D13 gene [Source:MGI Symbol;Acc:MGI:2148252] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVLEQDTQHIFNEHGHIQEKSFKCNESNNIIHES >ENSMUSP00000038153.7 pep:known chromosome:GRCm38:4:147611939:147642508:-1 gene:ENSMUSG00000066000.12 transcript:ENSMUST00000037565.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610305D13Rik description:RIKEN cDNA 2610305D13 gene [Source:MGI Symbol;Acc:MGI:2148252] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVLEQDTQHIFNEHGHIQEKSFKCNESNNIIHESSQSTPHK TNHRDATLQSSNLKRHKTRTTKEVCTYKDCVNRLKVSSTISLNQGTHIEKKEHNRNKNLD EVLVSKHKPIVRQNNSEMNTYTCGEFDKCFTQSDNLQSQQRIYPLKKSYKYSESDKCFTQ KFYLGIHQKIHTGEKFYKCNESDKCFKHKFNLSMHQRIHTGEKTYKCIECNKCFMQQSLL SNHERIHTGKKPYKCSECDKCFTHQVSLSIHQRIHSEKKPSKYSECEKCFTHKFNLRTHQ TIHTGEKPYKCSECDKCFTHQVSLRIHQRTHSGEKPYKCTECYKCFA >ENSMUSP00000104932.1 pep:known chromosome:GRCm38:15:89499623:89560261:1 gene:ENSMUSG00000022623.15 transcript:ENSMUST00000109309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank3 description:SH3/ankyrin domain gene 3 [Source:MGI Symbol;Acc:MGI:1930016] MQLNRAAVAAAAAPAEPPEPLSPALAPAPAPPGPLPRSAVGGALAGGQGGPGRRAESPCA PLSAGNSPGPGASTGMDGPGASAVVVRVGIPDLQQTKCLRLDPTAPVWAAKQRVLCALNH SLQDALNYGLFQPPSRGRAGKFLDEERLLQDYPPNLDTPLPYLEFRYKRRVYAQNLIDDK QFAKLHTKANLKKFMDYVQLHSTDKVARLLDKGLDPNFHDPDSGECPLSLAAQLDNATDL LKVLRNGGAHLDFRTRDGLTAVHCATRQRNAGALTTLLDLGASPDYKDSRGLTPLYHSAL GGGDALCCELLLHDHAQLGTTDENGWQEIHQACRFGHVQHLEHLLFYGANMGAQNASGNT ALHICALYNQESCARVLLFRGANKDVRNYNSQTAFQVAIIAGNFELAEVIKTHKDSDVVP FRETPSYAKRRRLAGPSGLASPRPLQRSASDINLKGDQPAASPGPTLRSLPHQLLLQRLQ EEKDRDRDGELENDISGPSAGRGGHNKISPSGPGGSGPAPGPGPASPAPPAPPPRGPKRK LYSAVPGRKFIAVKAHSPQGEGEIPLHRGEAVKVLSIGEGGFWEGTVKGRTGWFPADCVE EVQMRQYDTRHETREDRTKRLFRHYTVGSYDSLTSHSDYVIDDKVAILQKRDHEGFGFVL RGAKAETPIEEFTPTPAFPALQYLESVDVEGVAWRAGLRTGDFLIEVNGVNVVKVGHKQV VGLIRQGGNRLVMKVVSVTRKPEEDGARRRAPPPPKRAPSTTLTLRSKSMTAELEELASI RRRKGEKLDEILAVAAEPTLRPDIADADSRAATVKQRPTSRRITPAEISSLFERQGLPGP EKLPGSLRKGIPRTKSVGEDEKLASLLEGRFPRSTSMQDTVREGRGIPPPPQTAPPPPPA PYYFDSGPPPTFSPPPPPGRAYDTVRSSFKPGLEARLGAGAAGLYDPSTPLGPLPYPERQ KRARSMIILQDSAPEVGDVPRPAPAATPPERPKRRPRPSGPDSPYANLGAFSASLFAPSK PQRRKSPLVKQLQVEDAQERAALAVGSPGPVGGSFAREPSPTHRGPRPGSLDYSSGEGLG LTFGGPSPGPVKERRLEERRRSTVFLSVGAIEGSPPSADLPSLQPSRSIDERLLGTGATT GRDLLLPSPVSALKPLVGGPSLGPSGSTFIHPLTGKPLDPSSPLALALAARERALASQTP SRSPTPVHSPDADRPGPLFVDVQTRDSERGPLASPAFSPRSPAWIPVPARREAEKPPREE RKSPEDKKSMILSVLDTSLQRPAGLIVVHATSNGQEPSRLGAEEERPGTPELAPAPMQAA AVAEPMPSPRAQPPGSIPADPGPGQGSSEEEPELVFAVNLPPAQLSSSDEETREELARIG LVPPPEEFANGILLTTPPPGPGPLPTTVPSPASGKPSSELPPAPESAADSGVEEADTRSS SDPHLETTSTISTVSSMSTLSSESGELTDTHTSFADGHTFLLEKPPVPPKPKLKSPLGKG PVTFRDPLLKQSSDSELMAQQHHAASTGLASAAGPARPRYLFQRRSKLWGDPVESRGLPG PEDDKPTVISELSSRLQQLNKDTRSLGEEPVGGLGSLLDPAKKSPIAAARLFSSLGELST ISAQRSPGGPGGGASYSVRPSGRYPVARRAPSPVKPASLERVEGLGAGVGGAGRPFGLTP PTILKSSSLSIPHEPKEVRFVVRSVSARSRSPSPSPLPSPSPGSGPSAGPRRPFQQKPLQ LWSKFDVGDWLESIHLGEHRDRFEDHEIEGAHLPALTKEDFVELGVTRVGHRMNIERALR QLDGS >ENSMUSP00000064477.7 pep:known chromosome:GRCm38:15:89501283:89560259:1 gene:ENSMUSG00000022623.15 transcript:ENSMUST00000066545.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank3 description:SH3/ankyrin domain gene 3 [Source:MGI Symbol;Acc:MGI:1930016] MGLCGSLLPTFSLSEQFRYKRRVYAQNLIDDKQFAKLHTKANLKKFMDYVQLHSTDKVAR LLDKGLDPNFHDPDSGECPLSLAAQLDNATDLLKVLRNGGAHLDFRTRDGLTAVHCATRQ RNAGALTTLLDLGASPDYKDSRGLTPLYHSALGGGDALCCELLLHDHAQLGTTDENGWQE IHQACRFGHVQHLEHLLFYGANMGAQNASGNTALHICALYNQESCARVLLFRGANKDVRN YNSQTAFQVAIIAGNFELAEVIKTHKDSDVVPFRETPSYAKRRRLAGPSGLASPRPLQRS ASDINLKGDQPAASPGPTLRSLPHQLLLQRLQEEKDRDRDGELENDISGPSAGRGGHNKI SPSGPGGSGPAPGPGPASPAPPAPPPRGPKRKLYSAVPGRKFIAVKAHSPQGEGEIPLHR GEAVKVLSIGEGGFWEGTVKGRTGWFPADCVEEVQMRQYDTRHETREDRTKRLFRHYTVG SYDSLTSHSDYVIDDKVAILQKRDHEGFGFVLRGAKAETPIEEFTPTPAFPALQYLESVD VEGVAWRAGLRTGDFLIEVNGVNVVKVGHKQVVGLIRQGGNRLVMKVVSVTRKPEEDGAR RRAPPPPKRAPSTTLTLRSKSMTAELEELEKLDEILAVAAEPTLRPDIADADSRAATVKQ RPTSRRITPAEISSLFERQGLPGPEKLPGSLRKGIPRTKSVGSSAASVS >ENSMUSP00000132229.1 pep:known chromosome:GRCm38:15:89532890:89543816:1 gene:ENSMUSG00000022623.15 transcript:ENSMUST00000167173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank3 description:SH3/ankyrin domain gene 3 [Source:MGI Symbol;Acc:MGI:1930016] MKKFASSRSLNKILAQCDSSSREYEEVQAVERKWHLHLATPRRLLLDRRAKASLFFAAPP PPKRAPSTTLTLRSKSMTAELEELEKLDEILAVAAEPTLRPDIADADSRAATVKQRPTSR RITPAEISSLFERQGL >ENSMUSP00000048062.6 pep:known chromosome:GRCm38:15:89499857:89560261:1 gene:ENSMUSG00000022623.15 transcript:ENSMUST00000039074.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank3 description:SH3/ankyrin domain gene 3 [Source:MGI Symbol;Acc:MGI:1930016] MDGPGASAVVVRVGIPDLQQTKCLRLDPTAPVWAAKQRVLCALNHSLQDALNYGLFQPPS RGRAGKFLDEERLLQDYPPNLDTPLPYLEFRYKRRVYAQNLIDDKQFAKLHTKANLKKFM DYVQLHSTDKVARLLDKGLDPNFHDPDSGECPLSLAAQLDNATDLLKVLRNGGAHLDFRT RDGLTAVHCATRQRNAGALTTLLDLGASPDYKDSRGLTPLYHSALGGGDALCCELLLHDH AQLGTTDENGWQEIHQACRFGHVQHLEHLLFYGANMGAQNASGNTALHICALYNQESCAR VLLFRGANKDVRNYNSQTAFQVAIIAGNFELAEVIKTHKDSDVVPFRETPSYAKRRRLAG PSGLASPRPLQRSASDINLKGDQPAASPGPTLRSLPHQLLLQRLQEEKDRDRDGELENDI SGPSAGRGGHNKISPSGPGGSGPAPGPGPASPAPPAPPPRGPKRKLYSAVPGRKFIAVKA HSPQGEGEIPLHRGEAVKVLSIGEGGFWEGTVKGRTGWFPADCVEEVQMRQYDTRHETRE DRTKRLFRHYTVGSYDSLTSHSDYVIDDKVAILQKRDHEGFGFVLRGAKAETPIEEFTPT PAFPALQYLESVDVEGVAWRAGLRTGDFLIEVNGVNVVKVGHKQVVGLIRQGGNRLVMKV VSVTRKPEEDGARRRAPPPPKRAPSTTLTLRSKSMTAELEELASIRRRKGEKLDEILAVA AEPTLRPDIADADSRAATVKQRPTSRRITPAEISSLFERQGLPGPEKLPGSLRKGIPRTK SVGEDEKLASLLEGRFPRSTSMQDTVREGRGIPPPPQTAPPPPPAPYYFDSGPPPTFSPP PPPGRAYDTVRSSFKPGLEARLGAGAAGLYDPSTPLGPLPYPERQKRARSMIILQDSAPE VGDVPRPAPAATPPERPKRRPRPSGPDSPYANLGAFSASLFAPSKPQRRKSPLVKQLQVE DAQERAALAVGSPGPVGGSFAREPSPTHRGPRPGSLDYSSGEGLGLTFGGPSPGPVKERR LEERRRSTVFLSVGAIEGSPPSADLPSLQPSRSIDERLLGTGATTGRDLLLPSPVSALKP LVGGPSLGPSGSTFIHPLTGKPLDPSSPLALALAARERALASQTPSRSPTPVHSPDADRP GPLFVDVQTRDSERGPLASPAFSPRSPAWIPVPARREAEKPPREERKSPEDKKSMILSVL DTSLQRPAGLIVVHATSNGQEPSRLGAEEERPGTPELAPAPMQAAAVAEPMPSPRAQPPG SIPADPGPGQGSSEEEPELVFAVNLPPAQLSSSDEETREELARIGLVPPPEEFANGILLT TPPPGPGPLPTTVPSPASGKPSSELPPAPESAADSGVEEADTRSSSDPHLETTSTISTVS SMSTLSSESGELTDTHTSFADGHTFLLEKPPVPPKPKLKSPLGKGPVTFRDPLLKQSSDS ELMAQQHHAASTGLASAAGPARPRYLFQRRSKLWGDPVESRGLPGPEDDKPTVISELSSR LQQLNKDTRSLGEEPVGGLGSLLDPAKKSPIAAARLFSSLGELSTISAQRSPGGPGGGAS YSVRPSGRYPVARRAPSPVKPASLERVEGLGAGVGGAGRPFGLTPPTILKSSSLSIPHEP KEVRFVVRSVSARSRSPSPSPLPSPSPGSGPSAGPRRPFQQKPLQLWSKFDVGDWLESIH LGEHRDRFEDHEIEGAHLPALTKEDFVELGVTRVGHRMNIERALRQLDGS >ENSMUSP00000143910.1 pep:known chromosome:GRCm38:5:134619721:134639490:-1 gene:ENSMUSG00000040731.13 transcript:ENSMUST00000202622.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4h description:eukaryotic translation initiation factor 4H [Source:MGI Symbol;Acc:MGI:1341822] MADFDTYDDRAYSSFGGGRGSRGSAGGHGSRSQKELPTEPPYTAYVGNLPFNTVQGDIDA IFKDLSIRSVRLVRDKDTDKFKGFCYVEFDEVDSLKEALTYDGALLGDRSLRVDIAEGRK QDKGGFGFRKGGPDDRGMGGSRESRGGWDSRDDFNSGYRDDFLGGRGGSRPGDRRAGPPM GSRFRDGPPLRGSNMDFREPTEEERAQRPRLQLKPRTVATPLNQVANPNSAIFGGARPRE EVVQKEQE >ENSMUSP00000048833.9 pep:known chromosome:GRCm38:5:134619877:134639347:-1 gene:ENSMUSG00000040731.13 transcript:ENSMUST00000036125.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4h description:eukaryotic translation initiation factor 4H [Source:MGI Symbol;Acc:MGI:1341822] MADFDTYDDRAYSSFGGGRGSRGSAGGHGSRSQKELPTEPPYTAYVGNLPFNTVQGDIDA IFKDLSIRSVRLVRDKDTDKFKGFCYVEFDEVDSLKEALTYDGALLGDRSLRVDIAEGRK QDKGGFGFRKGGPDDRGYRDDFLGGRGGSRPGDRRAGPPMGSRFRDGPPLRGSNMDFREP TEEERAQRPRLQLKPRTVATPLNQVANPNSAIFGGARPREEVVQKEQE >ENSMUSP00000035170.6 pep:known chromosome:GRCm38:9:104151282:104262930:-1 gene:ENSMUSG00000032560.13 transcript:ENSMUST00000035170.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc13 description:DnaJ heat shock protein family (Hsp40) member C13 [Source:MGI Symbol;Acc:MGI:2676368] MNIIRENKDLACFYTTKHSWRGKYKRVFSVGTHAVTTYNPNTLEVTNQWPYGDICSISPV GKGQGTEFNLTFRKGSGKKSETLKFSTEHRTELLTEALRFRTDFAEGKITGRRYNCYKHH WSDAKKPVVLEVTPGGFDQINPVTNRVLCSYDYRNIEGFVDLSDYQGGFCILYGGFSRLH LFSSEQREEIIKSAIEHAGNYIGISLRIRKEPLEFEQYLNLRFGKYSTDESITSLAEFVV QKISPRHSEPVKRVLAVTETCLVERDPATYNIATLKPLGEVFALVCDSENPQLFTIEFIK GQVRKYSSTERDSLLASLLDGVRASGNRDVCVKMAPTHKGQRWGLLSMPIDEEVESLHLR FLAAPPNGNFADAVFRFNANISYSGVLHAVTQDGLFSENKEKLINNAITALLSQEGDVVA SNAELESQFQAVRRLVASKAGFLAFTQLPKFRERLGMKVVKALKRSNNGVIHAAVDMLCA LMCPMHDDYDLRQEQLNKASLLSSKKFLENLLEKFNSHVDHGTGALVISSLLDFLTFALC APYSETTEGQQFDMLLEMVASNGRTLFKLFQHPSMAIVKGAGLVMKAIIEEGDREIATKM QELALSEGALPRHLHTAMFTISSDQRMLTNRQLSRHLVGLWTADNTTATNLLKRILPPGL LAYLDSSDPVPEKDADRMHVRDNVKIAMDQYGKFNKVPEWQRLAGKAAKEVEKFAKEKVD LVLMHWRDRMGIAQKENINQKPVVLRKRRQRIKIEANWDLFYYRFSQDHARSNLIWNFKT REELKDALESEMRTFNIDRELGSASVISWNHHEFEVKYECLAEEIKIGDYYLRLLLEEDE NEESGSIKRSYEFFNELYHRFLLTPKVNMKCLCLQALAIVYGRCHEEIGPFTDTRYIIGM LERCTDKLERDRLILFLNKLILNKKNVKDLMDSNGIRILVDLLTLAHLHVSRATVPLQSN VIEASPDMKRESEKEWYFGNADKERSGPYGFHEMQELWAKGMLNAKTRCWAQGMDGWRPL QAIPQLKWCLLASGQAVLNETDLATLILNMLITMCGYFPSRDQDNAIIRPLPRVKRLLSD STCLPHVIQLLLTFDPILVEKVAILLHHIMQDNPQLPRLYLSGVFFFIMMYTGSNVLPVA RFLKYTHSKQAFKSEETKGQDIFQRSILGHILPEAMVCYLENYEPEKFSEIFLGEFDTPE AIWSSEMRRLMIEKIAAHLADFTPRLQSNTRALYQYCPIPVINYPQLENELFCNIYYLKQ LCDTLRFPDWPIKDPVKLLKDSLDAWKKEVEKKPPMMSIDDAYEVLNLPIGQGLHDESKI RKAYFRLAQKYHPDKNPEGRDMFEKVNKAYEFLCTKSTKIVDGPDPENIILILKTQSILF NRHKEELQPYKYAGYPMLIRTITMETSDDLLFSKESPLLPAAAELAFHTVNCSALNAEEL RRENGLEVLQEAFSRCVAVLNRSSKPSDMSVQVCGHISRCYSVAAQFEECREKITEMPGI IKDLCRVLYFGKCIPRVAALAVECVSSFAVDFWLQTHLFQAGILWYLLVYLFNYDYTLEE SGIQKNEETNQQEVANSLAKLSVHALSRLGGYLSEDQATPENPTVRKSLAGMLTPYIARK LAVASATETLKMLNSNTESPYLMWNNSTRAELLEFLESQQENMIKKGDCDKTYGAEFVYS EHAKELIVGEIFVRVYNEVPTFQLEVPKEFAASLLDYIGSQAQYLHTFMAITHAAKVESE QHGDRLPRVEMALEALRNVIKYNPGSESECIGHFKLIFSLLRVHGAGQVQQLALEVVNIV TSNQDCVNNIAESMVLSNLLALLHSLPSSRQLVLETLYALASNTKIIKEAMAKGALIYLL DMFCNSTHPQVRSQTAELFAKMTADKLIGPKVRITLMKFLPSVFMDAMRDNPEAAVHIFE GTHENPELIWNDSSRDKVSTTVREMMLEHFKNQRDNPDVNWKLPEDFAVVFGEAEGELAV GGVFLRIFIAQPAWVLRKPREFLIALLEKLTELLEKNNPHGETLETLTMATVCLFSAQPQ LADQVPPLGHLPKVIQAMNHRNNAIPKSAIRVIHVLSDNELCVRAMASLETIGPLMNGMR KRADTVGLACEAINRMFQKEQSELVAQALKAELVPYLLKLLEGVGLENLDSPAATKAQIV KALKAMTRSLQYGEQVSEILSRSSVWSAFKDQKHDLFISDSQTAGYLTGPGVAGYLTAGT SSSAMSNLPPPVDHEAGDLGYQT >ENSMUSP00000139804.1 pep:known chromosome:GRCm38:9:104152317:104246827:-1 gene:ENSMUSG00000032560.13 transcript:ENSMUST00000186788.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc13 description:DnaJ heat shock protein family (Hsp40) member C13 [Source:MGI Symbol;Acc:MGI:2676368] MNIIRENKDLACFYTTKHSWRGKYKRVFSVGTHAVTTYNPNTLEVTNQWPYGDICSISPV GKGQGTEFNLTFRKGSGKKSETLKFSTEHRTELLTEALRFRTDFAEGKITGRRYNCYKHH WSDAKKPVVLEVTPGGFDQINPVTNRVLCSYDYRNIEGFVDLSDYQGGFCILYGGFSRLH LFSSEQREEIIKSAIEHAGNYIGISLRIRKEPLEFEQYLNLRFGKYSTDESITSLAEFVV QKISPRHSEPVKRVLAVTETCLVERDPATYNIATLKPLGEVFALVCDSENPQLFTIEFIK GQVRKYSSTERDSLLASLLDGVRASGNRDVCVKMAPTHKGQRWGLLSMPIDEEVESLHLR FLAAPPNGNFADAVFRFNANISYSGVLHAVTQDGLFSENKEKLINNAITALLSQEGDVVA SNAELESQFQAVRRLVASKAGFLAFTQLPKFRERLGMKVVKALKRSNNGVIHAAVDMLCA LMCPMHDDYDLRQEQLNKASLLSSKKFLENLLEKFNSHVDHGTGALVISSLLDFLTFALC APYSETTEGQQFDMLLEMVASNGRTLFKLFQHPSMAIVKGAGLVMKAIIEEGDREIATKM QELALSEGALPRHLHTAMFTISSDQRMLTNRQLSRHLVGLWTADNTTATNLLKRILPPGL LAYLDSSDPVPEKDADRMHVRDNVKIAMDQYGKFNKVPEWQRLAGKAAKEVEKFAKEKVD LVLMHWRDRMGIAQKEDKNHMNINQKPVVLRKRRQRIKIEANWDLFYYRFSQDHARSNLI WNFKTREELKDALESEMRTFNIDRELGSASVISWNHHEFEVKYECLAEEIKIGDYYLRLL LEEDENEESGSIKRSYEFFNELYHRFLLTPKVNMKCLCLQALAIVYGRCHEEIGPFTDTR YIIGMLERCTDKLERDRLILFLNKLILNKKNVKDLMDSNGIRILVDLLTLAHLHVSRATV PLQSNVIEASPDMKRESEKEWYFGNADKERSGPYGFHEMQELWAKGMLNAKTRCWAQGMD GWRPLQAIPQLKWCLLASGQAVLNETDLATLILNMLITMCGYFPSRDQDNAIIRPLPRVK RLLSDSTCLPHVIQLLLTFDPILVEKVAILLHHIMQDNPQLPRLYLSGVFFFIMMYTGSN VLPVARFLKYTHSKQAFKSEETKGQDIFQRSILGHILPEAMVCYLENYEPEKFSEIFLGE FDTPEAIWSSEMRRLMIEKIAAHLADFTPRLQSNTRALYQYCPIPVINYPQLENELFCNI YYLKQLCDTLRFPDWPIKDPVKLLKDSLDAWKKEVEKKPPMMSIDDAYEVLNLPIGQGLH DESKIRKAYFRLAQKYHPDKNPEGRDMFEKVNKAYEFLCTKSTKIVDGPDPENIILILKT QSILFNRHKEELQPYKYAGYPMLIRTITMETSDDLLFSKESPLLPAAAELAFHTVNCSAL NAEELRRENGLEVLQEAFSRCVAVLNRSSKPSDMSVQVCGHISRCYSVAAQFEECREKIT EMPGIIKDLCRVLYFGKCIPRVAALAVECVSSFAVDFWLQTHLFQAGILWYLLVYLFNYD YTLEESGIQKNEETNQQEVANSLAKLSVHALSRLGGYLSEDQATPENPTVRKSLAGMLTP YIARKLAVASATETLKMLNSNTESPYLMWNNSTRAELLEFLESQQENMIKKGDCDKTYGA EFVYSEHAKELIVGEIFVRVYNEVPTFQLEVPKEFAASLLDYIGSQAQYLHTFMAITHAA KVESEQHGDRLPRVEMALEALRNVIKYNPGSESECIGHFKLIFSLLRVHGAGQVQQLALE VVNIVTSNQDCVNNIAESMVLSNLLALLHSLPSSRQLVLETLYALASNTKIIKEAMAKGA LIYLLDMFCNSTHPQVRSQTAELFAKMTADKLIGPKVRITLMKFLPSVFMDAMRDNPEAA VHIFEGTHENPELIWNDSSRDKVSTTVREMMLEHFKNQRDNPDVNWKLPEDFAVVFGEAE GELAVGGVFLRIFIAQPAWVLRKPREFLIALLEKLTELLEKNNPHGETLETLTMATVCLF SAQPQLADQVPPLGHLPKVIQAMNHRNNAIPKSAIRVIHVLSDNELCVRAMASLETIGPL MNGMRKRADTVGLACEAINRMFQKEQSELVAQALKAELVPYLLKLLEGVGLENLDSPAAT KAQIVKALKAMTRSLQYGEQVSEILSRSSVWSAFKDQKHDLFISDSQTAGYLTGPGVAGY LTAGTSSSAMSNLPPPVDHEAGDLGYQT >ENSMUSP00000141056.1 pep:known chromosome:GRCm38:9:104156841:104162780:-1 gene:ENSMUSG00000032560.13 transcript:ENSMUST00000188885.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc13 description:DnaJ heat shock protein family (Hsp40) member C13 [Source:MGI Symbol;Acc:MGI:2676368] PQLADQVPPLGHLPKVIQAMNHRNNAIPKSAIRVIHVLSDNEVLICVSEPWRPWRPLAH >ENSMUSP00000140867.1 pep:known chromosome:GRCm38:9:104162093:104165072:-1 gene:ENSMUSG00000032560.13 transcript:ENSMUST00000188998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc13 description:DnaJ heat shock protein family (Hsp40) member C13 [Source:MGI Symbol;Acc:MGI:2676368] XFLRIFIAQPAWVLRKPREFLIALLEKLTELLEKNNPHGETLETLTMATVCLFSAQPQLA DQVPPLGHLPKVIQAMNHRNNAIPKSAIRVIHVLSDNELCVRAMASLETIGPLMNGMRKR ADTVGLACEAINRMFQKEQSELVAQVSDSVNSVRKTDIPDGQTFCMGGLRTWWTLRYSLF TQKG >ENSMUSP00000140571.1 pep:known chromosome:GRCm38:9:104189068:104201951:-1 gene:ENSMUSG00000032560.13 transcript:ENSMUST00000185503.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc13 description:DnaJ heat shock protein family (Hsp40) member C13 [Source:MGI Symbol;Acc:MGI:2676368] XCTDKLERDRLILFLNKLILNKKNVKDLMDSNGIRILVDLLTLAHLHVSRATVPLQSNVI EASPDMKRESEKEWYFGNADKERSGPYGFHEMQELWAKGMLNAKTRCWAQGMDGWRPLQA IPQLKWCLLASGQAVLNETDLATLILNMLITMCGYFPSRVLFFKEILWKEMKSY >ENSMUSP00000041712.6 pep:known chromosome:GRCm38:12:103450898:103457223:-1 gene:ENSMUSG00000021208.9 transcript:ENSMUST00000044687.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi27l2b description:interferon, alpha-inducible protein 27 like 2B [Source:MGI Symbol;Acc:MGI:1916390] MKRKFVGAAIGGALAVAGAPVALSAVGFTGAGIAAGSIAAKMMSAAAIANGGGIAAGGLV ATLQSVGVLGLSTITNIILVAVGTATGARAEGSMGASREQESGPQDPPQELQEPQEPPSC KKQDLNLGKFVGAAIGGALAVAGAPIALSAVGFTGAGIAAGSIAAKMMSAAAIANGGGIA AGGLVATLQSVGILGLSTSTNIILGAVGAATGATAAGAMGACREQEPGLQDLQQEPKEPQ EPQELQKQQEPQEPQELQKQQETQETQETQELQKTQEPPSYEK >ENSMUSP00000042188.8 pep:known chromosome:GRCm38:5:120534153:120588673:-1 gene:ENSMUSG00000032741.9 transcript:ENSMUST00000046426.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpcn1 description:two pore channel 1 [Source:MGI Symbol;Acc:MGI:2182472] MAVSLDDDVPLILTLDEAESAPLPPSNSLGQEQLPSKNGGSHSIHNSQVPSLVSGADSPP SSPTGHNWEMNYQEAAIYLQEGQNNDKFFTHPKDARALAAYLFVHNHFFYMMELLTALLL LLLSLCESPAVPVLKLHTYVHATLELFALMVVVFELCMKLRWLGFHTFVRHKRTMVKTSV LVVQFIEAIVVLVRQTSHVRVTRALRCIFLVDCRYCGGVRRNLRQIFQSLPPFMDILLLL LFFMIIFAILGFYLFSTNPSDPYFSTLENSIVNLFVLLTTANFPDVMMPSYSRNPWSCVF FIVYLSIELYFIMNLLLAVVFDTFNDIEKHKFKSLLLHKRTAIQHAYGLLASQRRPAGIS YRQFEGLMRFYKPRMSARERFLTFKALNQSNTPLLSLKDFYDIYEVAALQWKAKRNRQHW FDELPRTAFLIFKGINILVNSKAFQYFMYLVVAVNGVWILVETFMLKGGNFTSKHVPWSY LVFLTIYGVELFMKVAGLGPVEYLSSGWNLFDFSVTAFAFLGLLALTLNMEPFYFIVVLR PLQLLRLFKLKKRYRNVLDTMFELLPRMASLGLTLLTFYYSFAIVGMEFFNGRLTPNCCN TSTVADAYRFINHTVGNKTKVEEGYYYLNNFDNILNSFVTLFELTVVNNWYIIMEGVTSQ TSHWSRLYFMTFYIVTMVVMTIIVAFILEAFVFRMNYSRKSQDSEVDSGIVIEKEMSKEE LMAVLELYREERGTSSDVTRLLDTLSQMEKYQQNSMVFLGRRSRTKSDLSLKMYQEEIQE WYEEHAREQEQQKLRGSVPGPAAQQPPGSRQRSQTVT >ENSMUSP00000122169.1 pep:known chromosome:GRCm38:5:106840192:106895327:-1 gene:ENSMUSG00000043410.16 transcript:ENSMUST00000148495.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hfm1 description:HFM1, ATP-dependent DNA helicase homolog [Source:MGI Symbol;Acc:MGI:3036246] LAMGMNMPAHLVVIKSTMHYSGGVFEEYSETDILQMIGRAGRPQVFHLD >ENSMUSP00000112590.1 pep:known chromosome:GRCm38:5:106840194:106926321:-1 gene:ENSMUSG00000043410.16 transcript:ENSMUST00000117588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hfm1 description:HFM1, ATP-dependent DNA helicase homolog [Source:MGI Symbol;Acc:MGI:3036246] MPKSDDCFFSMDNLFFSSPDETENFPVKEKSLDWFLPPAPLISEIPDIQELEEEIESYKL LGKGKMPRMLTSNLKIINEDTNCISPTQKIHFSYNVHEQDYLNLGGSNNNDMSHVAGKLM YGSSQKYKNHMGAKSPSARSSPGDTKLHDVAEDRQGTSAFKKRLSRTCDSEHDCDYADGS LNLSSHISPVKLTQTKISKENAWTCSNSKQKRQYSTNKFKANDAFSASGIGKDIFKAPSF PAASQPHDIQGITPNGLGSLKAVTEIPAKFRNIFKEFPYFNYIQSKAFDDLLYTDRNFVI CAPTGSGKTVVFELAITRLLMEVPLPWLNMKIVYMAPIKALCSQRFDDWKEKFGPVGLNC KELTGDTVMDDLFEIQHANIIITTPEKWDSVTRKWRDNSFIQLVRLFLIDEVHVIKDENR GPTLEVVVSRMKTVQSLSRDLESASPVPVRFVAVSATIPNAEDIAEWLSDGERPAVCLKM DESHRPVKLQKVVLGFPCSSSQTEFKFDLALNYKVYSVIRTYSDQKPTLVFCSTRKGVQQ AASVLVKDAKFIISVEQKLRLQKSAYSIRDSKLKDTLVYGVGYHHAGMELSDRKLVEGLF TSGDLPVLFTTSTLAMGMNMPAHLVVIKSTMHYSGGVFEEYSETDILQMIGRAGRPQFDT TATAVIMTRLSTREKYVQMLACNDTVESSLHRHLIEHLNAEIVLHTITDVNIALDWIRST MLYIRALKNPSHYGFSSGLNKDGIEAKLQELCLKNLKDLSSLDLIKMDEDVNFKPTEAGR LMAWYYITFETVKKFCAISGKETLLDLISMISSCNEFLDVQLRISEKRILNTLNKDPNRI TIRFPMAERIKTREMKVNCLIQAQLGCIPIQDFALTQDTVKIFRNGSRIARWLSDFVAAQ EKKFAVLLNSVILTKCFKCKLWENSKHVSKQLDKIGISLSNTMVNAGLTSFKKIEEANAR ELELILNRHPPFGTQIKEAVAHLPKYELEVEQIARYSDIKAEILVTIILRNFEQLQTKRT APDFHYATLIIGDADNQVVFKHKIMDSVLLKSGNWVKKIDVKRALISEDLSINLISSDYV GLDIHQKFTVFYFGPRKFVNETAMERSSETDISHSDYSGRATATGSSKGMATCKKPGNRE CHHHCKNKHACGHDCCKIGVAQKPEVKESAMSSYLSDLKSRDAVSSLPLAKRLKIQMNKS QNVDLKEFGFTPRPSLSSISRSEYLNTPELSILEQRNQHEIYGKVQQGPSEYRDKEVLGV NLELGNEVWDDFDDESLIEVMSLSADAEKMAASGFGDTRDSSLGGSKLPFQKSSSRFQRD NSNSFASSPGKPDAYLRDCSRSSFGLSSVAEIPQRAENASLANLQERRPLTLSPVIERMC FAHSKKTPQSPKFKEVDIFIGNSGSKKEIDLSKYYPDDAAEEMKALLGIFNGIF >ENSMUSP00000118674.1 pep:known chromosome:GRCm38:5:106841562:106889922:-1 gene:ENSMUSG00000043410.16 transcript:ENSMUST00000155171.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hfm1 description:HFM1, ATP-dependent DNA helicase homolog [Source:MGI Symbol;Acc:MGI:3036246] XIEAKLQELCLKNLKDLSSLDLIKMDEDVNFKPTEAGRLMAWYYITFETVKKFCAISGKE TLLDLISMISSCNEFLDVQLRISEKRILNTLNKDPNRITIRFPMAERIKTREMKVNCLIQ AQLGCIPIQDFALTQDTVKIFRNGSRIARCISLSNTMVNAGLTSFKKIEEANARELELIL NRHPPFGTQIKEAVAHLPKYELEVEQIARYSDIKAEILVTIILRNFEQLQTKRTAPDFHY ATLIIGDADNQVVFKHKIMDSVLLKSGNWVKKIDVKRALISEDLSINLISSDYVGLDIHQ KFTVFYFGPRKFVNETAMERSSETDISHSDYSGRATATGSSKGMATCKKPGNRECHHHCK NKHACGHDCCKIGVAQKPEVKESAMSSYLSDLKSRDAVSSLPLAKRLKIQMNKSQNVDLK EFGFTPRPSLSSISRSEYLNTPELSILEQRNQHEIYGKVQQGPSEYRDKEVLGVNLELGN EVWDDFDDESLIEVMSLSADAEKMAASGFGDTRDSSLGGSKLPFQKSSSRFQRDNSNSFA SSPGKPDAYLRDCSRSSFGLSSVAEIPQRAENASLANLQERRPLTLSPVIERMCFAHSKK TPQSPKFKEVDIFIGNSGSKKEIDLR >ENSMUSP00000120112.1 pep:known chromosome:GRCm38:5:106901887:106925890:-1 gene:ENSMUSG00000043410.16 transcript:ENSMUST00000148686.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hfm1 description:HFM1, ATP-dependent DNA helicase homolog [Source:MGI Symbol;Acc:MGI:3036246] MPKSDDCFFSMDNLFFSSPDETENKNDAATGSFCTRLLGELDCRGEKTSSPELVLLL >ENSMUSP00000142727.1 pep:known chromosome:GRCm38:5:106902071:106925852:-1 gene:ENSMUSG00000043410.16 transcript:ENSMUST00000200249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hfm1 description:HFM1, ATP-dependent DNA helicase homolog [Source:MGI Symbol;Acc:MGI:3036246] MPKSDDCFFSMDNLFFSSPDETENFPVKEKSLDWFLPPAPLISEIPDIQELEEEIESYKL LGKGKMPRMLTSNLKIINEDTNCISPTQKIHFSYNVHEQDYLNLGGSNNNDMSHVAGKLM YGSSQKYKNHMGAKSPSARSSPGDTKLHDVAEDRQGTSAFKKRLSRTCDSEHDCDYADGS LNLSSHISPVKLTQTKISKENAWTCSNSKQKRQYSTNKFKANDAFSASGIGKDIFKAPSF PAASQPHDIQGITPNGLGSLKAVTEIPAKFRNIFKEFPYFNYIQSKAFDDLLYTDRNFVI CAPTGSGKTVVFELAITRLLMEVPLPWLNMKIVYMAPIKALCSQRFDDWKEKFGPVGLNC KELTGDTVMDDLFEIQHANIIITTPEKWDSVTRKWRDNSFIQLVRLFLID >ENSMUSP00000108310.3 pep:known chromosome:GRCm38:5:106840197:106925890:-1 gene:ENSMUSG00000043410.16 transcript:ENSMUST00000112690.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hfm1 description:HFM1, ATP-dependent DNA helicase homolog [Source:MGI Symbol;Acc:MGI:3036246] MPKSDDCFFSMDNLFFSSPDETENFPVKEKSLDWFLPPAPLISEIPDIQELEEEIESYKL LGKGKMPRMLTSNLKIINEDTNCISPTQKIHFSYNVHEQDYLNLGGSNNNDMSHVAGKLM YGSSQKYKNHMGAKSPSARSSPGDTKLHDVAEDRQGTSAFKKRLSRTCDSEHDCDYADGS LNLSSHISPVKLTQTKISKENAWTCSNSKQKRQYSTNKFKANDAFSASGIGKDIFKAPSF PAASQPHDIQGITPNGLGSLKAVTEIPAKFRNIFKEFPYFNYIQSKAFDDLLYTDRNFVI CAPTGSGKTVVFELAITRLLMEVPLPWLNMKIVYMAPIKALCSQRFDDWKEKFGPVGLNC KELTGDTVMDDLFEIQHANIIITTPEKWDSVTRKWRDNSFIQLVRLFLIDEVHVIKDENR GPTLEVVVSRMKTVQSLSRDLESASPVPVRFVAVSATIPNAEDIAEWLSDGERPAVCLKM DESHRPVKLQKVVLGFPCSSSQTEFKFDLALNYKVYSVIRTYSDQKPTLVFCSTRKGVQQ AASVLVKDAKFIISVEQKLRLQKSAYSIRDSKLKDTLVYGVGYHHAGMELSDRKLVEGLF TSGDLPVLFTTSTLAMGMNMPAHLVVIKSTMHYSGGVFEEYSETDILQMIGRAGRPQFDT TATAVIMTRLSTREKYVQMLACNDTVESSLHRHLIEHLNAEIVLHTITDVNIALDWIRST MLYIRALKNPSHYGFSSGLNKDGIEAKLQELCLKNLKDLSSLDLIKMDEDVNFKPTEAGR LMAWYYITFETVKKFCAISGKETLLDLISMISSCNEFLDVQLRISEKRILNTLNKDPNRI TIRFPMAERIKTREMKVNCLIQAQLGCIPIQDFALTQDTVKIFRNGSRIARWLSDFVAAQ EKKFAVLLNSVILTKCFKCKLWENSKHVSKQLDKIGISLSNTMVNAGLTSFKKIEEANAR ELELILNRHPPFGTQIKEAVAHLPKYELEVEQIARYSDIKAEILVTIILRNFEQLQTKRT APDFHYATLIIGDADNQVVFKHKIMDSVLLKSGNWVKKIDVKRALISEDLSINLISSDYV GLDIHQKFTVFYFGPRKFVNETAMERSSETDISHSDYSGRATATGSSKGMATCKKPGNRE CHHHCKNKHACGHDCCKIGVAQKPEVKESAMSSYLSDLKSRDAVSSLPLAKRLKIQMNKS QNVDLKEFGFTPRPSLSSISRSEYLNTPELSILEQRNQHEIYGKVQQGPSEYRDKEVLGV NLELGNEVWDDFDDESLIEVMSLSADAEKMAASGFGDTRDSSLGGSKLPFQKSSSRFQRD NSNSFASSPGKPDAYLRDCSRSSFGLSSVAEIPQRAENASLANLQERRPLTLSPVIERMC FAHSKKTPQSPKFKEVDIFIGNSGSKKEIDLSKYYPDDAAEEMKALLGIFNGIF >ENSMUSP00000097471.2 pep:known chromosome:GRCm38:2:86445039:86445980:-1 gene:ENSMUSG00000075182.3 transcript:ENSMUST00000099886.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1065 description:olfactory receptor 1065 [Source:MGI Symbol;Acc:MGI:3030899] MENQNRTVVTEFILMGITDHPELQSSLFGLFLLIYLISLVGNLGMIVLTMVDSRLQTPMY FFLRHLATTDLGYSTTVGPKMLTNFIVDQNRISFNLCATQLAFFLLFIACELFILSAMSY DRYVAICKPLFYMVIMSKRLCWVLVVIPYVYCTIVALLITIKIFTLSFCGSNVISHFYCD SLPLLSLVCSNTQEIEVIMLFLSAFNLISSLLLVLVSYLLILIAILRMNSAEGRRKAFST CGSHLTVVTVFYGTLIFMYMQPKSSHSFDTDKLASVFYTLIIPMLNPLIYSLRNKDVKDA LHRTGKKLHSVCF >ENSMUSP00000134938.1 pep:known chromosome:GRCm38:15:79891659:79905547:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000177350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MSWSPCFECAEQIVRFLATHHNLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLY EFKKCWKKFVDNGGRRFRPWKRLLTNFRYQDSKLQEILRPCYISVPSSSSSTLSNICLTK GLPETRFWVEGRRMDPLSEEEFYSQFYNQRVKHLCYYHRMKPYLCY >ENSMUSP00000135011.1 pep:known chromosome:GRCm38:15:79891694:79905600:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000177483.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MSWSPCFECAEQIVRFLATHHNLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLY EFKKCWKKFVDNGGRRFRPWKRLLTNFRYQDSKLQEILRRMDPLSEEEFYSQFYNQRVKH LCYYHRMKPYLCYQLEQFNGQAPLKGCLLSE >ENSMUSP00000135027.1 pep:known chromosome:GRCm38:15:79892403:79907918:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000175714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MQPQRLGPRAGMGPFCLGCSHRKCYSPIRNLISQETFKFHFKNLGYAKGRKDTFLCYEVT RKDCDSPVSLHHGVFKNKDNIHAEICFLYWFHDKVLKVLSPREEFKITWYMSWSPCFECA EQIVRFLATHHNLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLYEFKKCWKKFV DNGGRRFRPWKRLLTNFRYQDSKLQEILRPCYISVPSSSSSTLSNICLTKGLPETRFWVE GRRMDPLSEEEFYSQFYNQRVKHLCYYHRMKPYLCYQLEQFNGQAPLKGCLLSEKGKQHA EILFLDKIRSMELSQVTITCYLTWSPCPNCAWQLAAFKRDRPDLILHIYTSRLYFHWKRP FQKGLCSLWQSGILVDVMDLPQFTDCWTNFVNPKRPFWPWKGLEIISRRTQRRLRRIKES WGLQDLVNDFGNLQLGPPMS >ENSMUSP00000135706.1 pep:known chromosome:GRCm38:15:79892411:79905492:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000176868.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MQPQRLGPRAGMGPFCLGCSHRKCYSPIRNLISQETFKFHFKNLGYAKGRKDTFLCYEVT RKDCDSPVSLHHGVFKNKY >ENSMUSP00000135507.1 pep:known chromosome:GRCm38:15:79892442:79907369:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000177006.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MQPQRLGPRAGMGPFCLGCSHRKCYSPIRTTSTLKSAFYTGSMTKY >ENSMUSP00000135358.1 pep:known chromosome:GRCm38:15:79892448:79908086:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000175752.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MQPQRLGPRAGMGPFCLGCSHRKCYSPIRNLISQETFKFHFKNLGYAKGRKDTFLCYEVT RKDCDSPVSLHHGVFKNKDNIHAEICFLYWFHDKVLKVLSPREEFKITWYMSWSPCFECA EQIVRFLATHHNLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLYEFKKCWKKFV DNGGRRFRPWKRLLTNFRYQDSKLQEILRRMDPLSEEEFYSQFYNQRVKHLCYYHRMKPY LCYQLEQFNGQAPLKGCLLSEKGKQHAEILFLDKIRSMELSQVTITCYLTWSPCPNCAWQ LAAFKRDRPDLILHIYTSRLYFHWKRPFQKGLCSLWQSGILVDVMDLPQFTDCWTNFVNP KRPFWPWKGLEIISRRTQRRLRRIKESWGLQDLVNDFGNLQLGPPMS >ENSMUSP00000134838.1 pep:known chromosome:GRCm38:15:79892449:79908436:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000176325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MQPQRLGPRAGMGPFCLGCSHRKCYSPIRNLISQETFKFHFKNLGYAKGRKDTFLCYEVT RKDCDSPVSLHHGVFKNKDNIHAEICFLYWFHDKVLKVLSPREEFKITWYMSWSPCFECA EQIVRFLATHHNLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLYEFKKCWKKFV DNGGRRFRPWKRLLTNFRYQDSKLQEILRPCYISVPSSSSSTLSNICLTKGLPETRFWVE GRRMDPLSEEEFYSQFYNQRVKHLCYYHRMKPYLCYQLEQFNGQAPLKGCLLSEKGKQHA EILFLDKIRSMELSQVTITCYLTWSPCPNCAWQLAAFKRDRPDLILHIYTSRLYFHWKRP FQKGLCSLWQSGILVDVMDLPQFTDCWTNFVNPKRPFWPWKGLEIISRRTQRRLRRIKEV RTTLLQGPAS >ENSMUSP00000135079.1 pep:known chromosome:GRCm38:15:79892455:79915906:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000177098.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MQPQRLGPRAGMGPFCLGCSHRKCYSPIRNLISQETFKFHFKNLGYAKGRKDTFLCYEVT RKDCDSPVSLHHGVFKNKDNIHAEICFLYWFHDKVLKVLSPREEFKITWYMSWSPCFECA EQIVRFLATHHNLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLYEFKKCWKKFV DNGGRRFRPWKRLLTNFRYQDSKLQEILRRMDPLSEEEFYSQFYNQRVKHLCYYHRMKPY LCYQLEQFNGQAPLKGCLLSEKGKQHAEILFLDKIRSMELSQVTITCYLTWSPCPNCAWQ LAAFKRDRPDLILHIYTSRLYFHWKRPFQKGLCSLWQSGILVDVMDLPQFTDCWTNFVNP KRPFWPWKGLEIISRRTQRRLRRIKESRSHAS >ENSMUSP00000023054.7 pep:known chromosome:GRCm38:15:79895023:79907906:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000023054.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MSWSPCFECAEQIVRFLATHHNLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLY EFKKCWKKFVDNGGRRFRPWKRLLTNFRYQDSKLQEILRRMDPLSEEEFYSQFYNQRVKH LCYYHRMKPYLCYQLEQFNGQAPLKGCLLSEKGKQHAEILFLDKIRSMELSQVTITCYLT WSPCPNCAWQLAAFKRDRPDLILHIYTSRLYFHWKRPFQKGLCSLWQSGILVDVMDLPQF TDCWTNFVNPKRPFWPWKGLEIISRRTQRRLRRIKESWGLQDLVNDFGNLQLGPPMS >ENSMUSP00000135502.1 pep:known chromosome:GRCm38:15:79895261:79898185:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000176904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MSWSPCFECAEQIVRFLATHHNLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLY EFKKCWKKFVDNGGRRFRPWKRLLT >ENSMUSP00000105249.3 pep:known chromosome:GRCm38:15:79892408:79908429:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000109620.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MGPFCLGCSHRKCYSPIRNLISQETFKFHFKNLGYAKGRKDTFLCYEVTRKDCDSPVSLH HGVFKNKDNIHAEICFLYWFHDKVLKVLSPREEFKITWYMSWSPCFECAEQIVRFLATHH NLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLYEFKKCWKKFVDNGGRRFRPWK RLLTNFRYQDSKLQEILRPCYISVPSSSSSTLSNICLTKGLPETRFWVEGRRMDPLSEEE FYSQFYNQRVKHLCYYHRMKPYLCYQLEQFNGQAPLKGCLLSEKGKQHAEILFLDKIRSM ELSQVTITCYLTWSPCPNCAWQLAAFKRDRPDLILHIYTSRLYFHWKRPFQKGLCSLWQS GILVDVMDLPQFTDCWTNFVNPKRPFWPWKGLEIISRRTQRRLRRIKESWGLQDLVNDFG NLQLGPPMS >ENSMUSP00000132391.1 pep:known chromosome:GRCm38:15:79892408:79908429:1 gene:ENSMUSG00000009585.17 transcript:ENSMUST00000165537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec3 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 3 [Source:MGI Symbol;Acc:MGI:1933111] MGPFCLGCSHRKCYSPIRNLISQETFKFHFKNLGYAKGRKDTFLCYEVTRKDCDSPVSLH HGVFKNKDNIHAEICFLYWFHDKVLKVLSPREEFKITWYMSWSPCFECAEQIVRFLATHH NLSLDIFSSRLYNVQDPETQQNLCRLVQEGAQVAAMDLYEFKKCWKKFVDNGGRRFRPWK RLLTNFRYQDSKLQEILRRMDPLSEEEFYSQFYNQRVKHLCYYHRMKPYLCYQLEQFNGQ APLKGCLLSEKGKQHAEILFLDKIRSMELSQVTITCYLTWSPCPNCAWQLAAFKRDRPDL ILHIYTSRLYFHWKRPFQKGLCSLWQSGILVDVMDLPQFTDCWTNFVNPKRPFWPWKGLE IISRRTQRRLRRIKESWGLQDLVNDFGNLQLGPPMS >ENSMUSP00000082422.3 pep:known chromosome:GRCm38:12:70011435:70102833:-1 gene:ENSMUSG00000021068.15 transcript:ENSMUST00000085314.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nin description:ninein [Source:MGI Symbol;Acc:MGI:105108] MDEVEEDQHEARLKELFDSFDTLGTGSLGQEELTDLCHVLCLEDVGPVLQQTLLQDNLLG RVHFDQFKEALILILSRTLSSEEHFEESDCSPEAQPKYVRGGKRYGRRSLPEFQESGEEI EEVTVLEPLEEEARSSPIPAGDCGEHWKTQRSEEYEAEGQLRFWNPDDLNASHGGSCPPP DWIEEKLQEVCEDLGITRDGHLNRKKLVSICEQYGLQNVDGAMLEEVFLSLDPDGTMSVE DFFYGLFKTGKSLTPSASTPYRQLKRHLSMQSFDESGRRTATSSAMTSTIGFRVFSCLDD GMGQASVERILDTWQEEGIENSQEILKALDFSLDGNINLTELTLALENELLVTKNGIHQA ALASFKAEIRHLLERVDQVVREKEKLRSDLDKAEKLKSLMASEVDDHHAAIERRNEYNLR KLDEEYKERIAALKNELRQEREQMLQQVGKQRVELEQEIQKAKTEENYIRDRLALSLKEN NRLETELLENAEKLAEYESLTQKLQRSLENVLAEKFGDLDPSSAEFFLQEERLAQMRNEY EQQCRLLQDQVDELQSELEEYQAQGRVLRLPLKNSLSEELDGHSGGIEPDQGPGSEECNP LNMSIEAELVIEQMKEQHHRDLCHLRLELEDKVRHYEKQLDDTRVASEQEQAAMKQKYEQ GVHTLEKRVSELRSEIADLEGQAAVLREAHHKASCRHEEEKRQLQMAFDEEKAQLQEELR QEHERELQARLQQAAESFRQEREGLAQAAWTEEKVRGLEQSYQEQLLSLEEKHALEKEEL REELSEHHRRELQEGREEMETECNRRVSQIEAQCQADCEKVTEHCEQTLQSLEVRHRQEL RDLLDQHLEERSQWEFEKDELTQECTDAQEQLKEALQRERATAAAMKQEQEILERTYKDR LNILSTERKQLLQDLKDLQNASESQHGLLSGQILELKRSQERELRDQGQALCQTGVSEQL ASQQLERLRVEHEQERREMTGKLAALESAHRASLERADQEKAEMSTEICRLQNTVKDMQQ AASLLMLQGGCQATAGEEAEGDGAMSLLQQGEQLLEENGDVLISLQRAHEHAVKENAKMA TEISRLQQRLKKLEPGSVISSCLEEGTSEISGSSREQVEPIMKQGPATKHFLSDLGDHEA RDLASTGTSSVQRQECKTEASEASLDCFSELENSEDTRTESWDLKSQISQLREQLTVLRA DCDRASERKQDLLFDISVLKKKLKMLERLPEASSRYKVLYEDAARENSCLQEELRLVETR YEESLDSNKELTAEVYRLQDEMKKMEEVMETFLSLEKSYDEVKVENEELRALVLRLQGKM EKVLGRAALQGDSYALWEAPSENLEVASDEKMLELRQTPKECTPKVVSMHHIIEECTQET QCCEQGSTKLLARIKAHEIAWFHRAIKTHPEKPSAQNRVIPEGSAALLGLQDKHLQQEAT IAELELEKQKLQELTRNLRERVTALVRQKDAPSQGQKEEELKAMMQDLQITCGEMQRKVE LLRYESEKLQEENSILRNEITTLNEEDSISNLKLEELNGSQEELWQKIETIEQEKASIQT MVEKLKKQVSDLKIKNQQLDSENIELSQKNSQNKEELKTLNQRLAEMLCQREEPGACTSE KWEQENASLKEELDHYKVQTSTLVSSLEAELSEVKLQTHVMEQENLLLKDELERLKQLHR CPDLSDFQQKMSSILSYNEKLLKEKEVLSEELKSCADKLAESSLLEHRIATMKQEQTAWE EQSESLKSQLAVSQAKVQNLEDVLQNVNLQMAEIESDLQVTRQEKEALKQEVMSLHRQLQ NAIDKDWVSETAPHLSGLRGQQRRLSWDKLDHLMNEEPQLLCQESKRLQTVVQNTQADLT HSREKVRQLESNLLPTKHQKQLNQPCTVKSTEQEKLTLKRECEQSQKEQSPTSRKVGQMG SLERGLETIHLENEGLKKKQMQPLRSTVTRSPSSHWDLQLLQQQACPMVPREQFLQLQQQ LLQAEKRSQHLQEELENRTSETNTPQGNQEHLVNLMEERMIEVEQKLKLVKRLLQEKVNQ LKEQLCKNTKTDAVVKDLYVENAQLLKALEMTEQRQKTAEKRNFLLEEKIASLSTIVRNL APAPLTSMPPLRS >ENSMUSP00000093327.5 pep:known chromosome:GRCm38:12:70018739:70102854:-1 gene:ENSMUSG00000021068.15 transcript:ENSMUST00000095666.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nin description:ninein [Source:MGI Symbol;Acc:MGI:105108] MDEVEEDQHEARLKELFDSFDTLGTGSLGQEELTDLCHVLCLEDVGPVLQQTLLQDNLLG RVHFDQFKEALILILSRTLSSEEHFEESDCSPEAQPKYVRGGKRYGRRSLPEFQESGEEI EEVTVLEPLEEEARSSPIPAGDCGEHWKTQRSEEYEAEGQLRFWNPDDLNASHGGSCPPP DWIEEKLQEVCEDLGITRDGHLNRKKLVSICEQYGLQNVDGAMLEEVFLSLDPDGTMSVE DFFYGLFKTGKSLTPSASTPYRQLKRHLSMQSFDESGRRTATSSAMTSTIGFRVFSCLDD GMGQASVERILDTWQEEGIENSQEILKALDFSLDGNINLTELTLALENELLVTKNGIHQA ALASFKAEIRHLLERVDQVVREKEKLRSDLDKAEKLKSLMASEVDDHHAAIERRNEYNLR KLDEEYKERIAALKNELRQEREQMLQQVGKQRVELEQEIQKAKTEENYIRDRLALSLKEN NRLETELLENAEKLAEYESLTQKLQRSLENVLAEKFGDLDPSSAEFFLQEERLAQMRNEY EQQCRLLQDQVDELQSELEEYQAQGRVLRLPLKNSLSEELDGHSGGIEPDQGPGSEECNP LNMSIEAELVIEQMKEQHHRDLCHLRLELEDKVRHYEKQLDDTRVASEQEQAAMKQKYEQ GVHTLEKRVSELRSEIADLEGQAAVLREAHHKASCRHEEEKRQLQMAFDEEKAQLQEELR QEHERELQARLQQAAESFRQEREGLAQAAWTEEKVRGLEQSYQEQLLSLEEKHALEKEEL REELSEHHRRELQEGREEMETECNRRVSQIEAQCQADCEKVTEHCEQTLQSLEVRHRQEL RDLLDQHLEERSQWEFEKDELTQECTDAQEQLKEALQRERATAAAMKQEQEILERTYKDR LNILSTERKQLLQDLKDLQNASESQHGLLSGQILELKRSQERELRDQGQALCQTGVSEQL ASQQLERLRVEHEQERREMTGKLAALESAHRASLERADQEKAEMSTEICRLQNTVKDMQQ AASLLMLQGGCQATAGEEAEGDGAMSLLQQGEQLLEENGDVLISLQRAHEHAVKENAKMA TEISRLQQRLKKLEPGSVISSCLEEGTSEISGSSREQVEPIMKQGPATKHFLSDLGDHEA RDLASTGTSSVQRQECKTEASEASLDCFSELENSEDTRTESWDLKSQISQLREQLTVLRA DCDRASERKQDLLFDISVLKKKLKMLERLPEASSRYKVLYEDAARENSCLQEELRLVETR YEESLDSNKELTAEVYRLQDEMKKMEEVMETFLSLEKSYDEVKVENEELRALVLRLQGKM EKVLGRAALQGDSYALWEAPSENLEVASDEKMLELRQTPKECTPKVVSMHHIIEECTQET QCCEQGSTKLLARIKAHEIAWFHRAIKTHPEKPSAQNRVIPEGSAALLGLQDKHLQQEAT IAELELEKQKLQELTRNLRERVTALVRQKDAPSQGQKEEELKAMMQDLQITCGEMQRKVE LLRYESEKLQEENSILRNEITTLNEEDSISNLKLEELNGSQEELWQKIETIEQEKASIQT MVEKLKKQVSDLKIKNQQLDSENIELSQKNSQNKEELKTLNQRLAEMLCQREEPGACTSE KWEQENASLKEELDHYKVQTSTLVSSLEAELSEVKLQTHVMEQENLLLKDELERLKQLHR CPDLSDFQQKMSSILSYNEKLLKEKEVLSEELKSCADKLAESSLLEHRIATMKQEQTAWE EQSESLKSQLAVSQAKVQNLEDVLQNVNLQMAEIESDLQVTRQEKEALKQEVMSLHRQLQ NAIDKDWVSETAPHLSGLRGQQRRLSWDKLDHLMNEEPQLLCQESKRLQTVVQNTQADLT HSREKVRQLESNLLPTKHQKQLNQPCTVKSTEQEKLTLKRECEQSQKEQSPTSRKVGQMG SLERGLETIHLENEGLKKKQVRLDEKLMEMQPLRSTVTRSPSSHWDLQLLQQQACPMVPR EQFLQLQQQLLQAEKRSQHLQEELENRTSETNTPQALLLEQRAVHADSCRRIGHL >ENSMUSP00000021468.7 pep:known chromosome:GRCm38:12:70018740:70111925:-1 gene:ENSMUSG00000021068.15 transcript:ENSMUST00000021468.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nin description:ninein [Source:MGI Symbol;Acc:MGI:105108] MDEVEEDQHEARLKELFDSFDTLGTGSLGQEELTDLCHVLCLEDVGPVLQQTLLQDNLLG RVHFDQFKEALILILSRTLSSEEHFEESDCSPEAQPKYVRGGKRYGRRSLPEFQESGEEI EEVTVLEPLEEEARSSPIPAGDCGEHWKTQRSEEYEAEGQLRFWNPDDLNASHGGSCPPP DWIEEKLQEVCEDLGITRDGHLNRKKLVSICEQYGLQNVDGAMLEEVFLSLDPDGTMSVE DFFYGLFKTGKSLTPSASTPYRQLKRHLSMQSFDESGRRTATSSAMTSTIGFRVFSCLDD GMGQASVERILDTWQEEGIENSQEILKALDFSLDGNINLTELTLALENELLVTKNGIHQA ALASFKAEIRHLLERVDQVVREKEKLRSDLDKAEKLKSLMASEVDDHHAAIERRNEYNLR KLDEEYKERIAALKNELRQEREQMLQQVGKQRVELEQEIQKAKTEENYIRDRLALSLKEN NRLETELLENAEKLAEYESLTQKLQRSLENVLAEKFGDLDPSSAEFFLQEERLAQMRNEY EQQCRLLQDQVDELQSELEEYQAQGRVLRLPLKNSLSEELDGHSGGIEPDQGPGSEECNP LNMSIEAELVIEQMKEQHHRDLCHLRLELEDKVRHYEKQLDDTRVASEQEQAAMKQKYEQ GVHTLEKRVSELRSEIADLEGQAAVLREAHHKASCRHEEEKRQLQMAFDEEKAQLQEELR QEHERELQARLQQAAESFRQEREGLAQAAWTEEKVRGLEQSYQEQLLSLEEKHALEKEEL REELSEHHRRELQEGREEMETECNRRVSQIEAQCQADCEKVTEHCEQTLQSLEVRHRQEL RDLLDQHLEERSQWEFEKDELTQECTDAQEQLKEALQRERATAAAMKQEQEILERTYKDR LNILSTERKQLLQDLKDLQNASESQHGLLSGQILELKRSQERELRDQGQALCQTGVSEQL ASQQLERLRVEHEQERREMTGKLAALESAHRASLERADQEKAEMSTEICRLQNTVKDMQQ AASLLMLQGGCQATAGEEAEGDGAMSLLQQGEQLLEENGDVLISLQRAHEHAVKENAKMA TEISRLQQRLKKLEPGSVISSCLEEGTSEISGSSREQVEPIMKQGPATKHFLSDLGDHEA RDLASTGTSSVQRQECKTEASEASLDCFSELENSEDTRTESWDLKSQISQLREQLTVLRA DCDRASERKQDLLFDISVLKKKLKMLERLPEASSRYKVLYEDAARENSCLQEELRLVETR YEESLDSNKELTAEVYRLQDEMKKMEEVMETFLSLEKSYDEVKVENEELRALVLRLQGKM EKVLGRAALQGDSYALWEAPSENLEVASDEKMLELRQTPKECTPKVVSMHHIIEECTQET QCCEQGSTKLLARIKAHEIAWFHRAIKTHPEKPSAQNRVIPEGSAALLGLQDKHLQQEAT IAELELEKQKLQELTRNLRERVTALVRQKDAPSQGQKEEELKAMMQDLQITCGEMQRKVE LLRYESEKLQEENSILRNEITTLNEEDSISNLKLEELNGSQEELWQKIETIEQEKASIQT MVEKLKKQVSDLKIKNQQLDSENIELSQKNSQNKEELKTLNQRLAEMLCQREEPGACTSE KWEQENASLKEELDHYKVQTSTLVSSLEAELSEVKLQTHVMEQENLLLKDELERLKQLHR CPDLSDFQQKMSSILSYNEKLLKEKEVLSEELKSCADKLAESSLLEHRIATMKQEQTAWE EQSESLKSQLAVSQAKVQNLEDVLQNVNLQMAEIESDLQVTRQEKEALKQEVMSLHRQLQ NAIDKDWVSETAPHLSGLRGQQRRLSWDKLDHLMNEEPQLLCQESKRLQTVVQNTQADLT HSREKVRQLESNLLPTKHQKQLNQPCTVKSTEQEKLTLKRECEQSQKEQSPTSRKVGQMG SLERGLETIHLENEGLKKKQVRLDEKLMEMQPLRSTVTRSPSSHWDLQLLQQQACPMVPR EQFLQLQQQLLQAEKRSQHLQEELENRTSETNTPQALLLEQRAVHADSCRRIGHL >ENSMUSP00000129648.1 pep:known chromosome:GRCm38:12:70018760:70111920:-1 gene:ENSMUSG00000021068.15 transcript:ENSMUST00000169074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nin description:ninein [Source:MGI Symbol;Acc:MGI:105108] MDEVEEDQHEARLKELFDSFDTLGTGSLGQEELTDLCHVLCLEDVGPVLQQTLLQDNLLG RVHFDQFKEALILILSRTLSSEEHFEESDCSPEAQPKYVRGGKRYGRRSLPEFQESGEEI EEVTVLEPLEEEARSSPIPAGDCGEHWKTQRSEEYEAEGQLRFWNPDDLNASHGGSCPPP DWIEEKLQEVCEDLGITRDGHLNRKKLVSICEQYGLQNVDGAMLEEVFLSLDPDGTMSVE DFFYGLFKTGKSLTPSASTPYRQLKRHLSMQSFDESGRRTATSSAMTSTIGFRVFSCLDD GMGQASVERILDTWQEEGIENSQEILKALDFSLDGNINLTELTLALENELLVTKNGIHQA ALASFKAEIRHLLERVDQVVREKEKLRSDLDKAEKLKSLMASEVDDHHAAIERRNEYNLR KLDEEYKERIAALKNELRQEREQMLQQVGKQRVELEQEIQKAKTEENYIRDRLALSLKEN NRLETELLENAEKLAEYESLTQKLQRSLENVLAEKFGDLDPSSAEFFLQEERLAQMRNEY EQQCRLLQDQVDELQSELEEYQAQGRVLRLPLKNSLSEELDGHSGGIEPDQGPGSEECNP LNMSIEAELVIEQMKEQHHRDLCHLRLELEDKVRHYEKQLDDTRVASEQEQAAMKQKYEQ GVHTLEKRVSELRSEIADLEGQAAVLREAHHKASCRHEEEKRQLQMAFDEEKAQLQEELR QEHERELQARLQQAAESFRQEREGLAQAAWTEEKVRGLEQSYQEQLLSLEEKHALEKEEL REELSEHHRRELQEGREEMETECNRRVSQIEAQCQADCEKVTEHCEQTLQSLEVRHRQEL RDLLDQHLEERSQWEFEKDELTQECTDAQEQLKEALQRERATAAAMKQEQEILERTYKDR LNILSTERKQLLQDLKDLQNASESQHGLLSGQILELKRSQERELRDQGQALCQTGVSEQL ASQQLERLRVEHEQERREMTGKLAALESAHRASLERADQEKAEMSTEICRLQNTVKDMQQ AASLLMLQGGCQATAGEEAEGDGAMSLLQQGEQLLEENGDVLISLQRAHEHAVKENAKMA TEISRLQQRLKKLEPGSVISSCLEEGTSEISGSSREQVEPIMKQGPATKHFLSDLGDHEA RDLASTGTSSVQRQECKTEASEASLDCFSELENSEDTRTESWDLKSQISQLREQLTVLRA DCDRASERKQDLLFDISVLKKKLKMLERLPEASSRYKVLYEDAARENSCLQEELRLVETR YEESLDSNKELTAEVYRLQDEMKKMEEVMETFLSLEKSYDEVKVENEELRALVLRLQGKM EKVLGRAALQGDSYALWEAPSENLEVASDEKMLELRQTPKECTPKVVSMHHIIEECTQET QCCEQGSTKLLARIKAHEIAWFHRAIKTHPEKPSAQNRVIPEGSAALLGLQDKHLQQEAT IAELELEKQKLQELTRNLRERVTALVRQKDAPSQGQKEEELKAMMQDLQITCGEMQRKVE LLRYESEKLQEENSILRNEITTLNEEDSISNLKLEELNGSQEELWQKIETIEQEKASIQT MVEKLKKQVSDLKIKNQQLDSENIELSQKNSQNKEELKTLNQRLAEMLCQREEPGACTSE KWEQENASLKEELDHYKVQTSTLVSSLEAELSEVKLQTHVMEQENLLLKDELERLKQLHR CPDLSDFQQKMSSILSYNEKLLKEKEVLSEELKSCADKLAESSLLEHRIATMKQEQTAWE EQSESLKSQLAVSQAKVQNLEDVLQNVNLQMAEIESDLQVTRQEKEALKQEVMSLHRQLQ NAIDKDWVSETAPHLSGLRGQQRRLSWDKLDHLMNEEPQLLCQESKRLQTVVQNTQADLT HSREKVRQLESNLLPTKHQKQLNQPCTVKSTEQEKLTLKRECEQSQKEQSPTSRKVGQMG SLERGLETIHLENEGLKKKQVRLDEKLMEMQPLRSTVTRSPSSHWDLQLLQQQACPMVPR EQFLQLQQQLLQAEKRSQHLQEELENRTSETNTPQALLLEQRAVHADSCRRIGHL >ENSMUSP00000034620.3 pep:known chromosome:GRCm38:9:36693220:36698843:1 gene:ENSMUSG00000032110.4 transcript:ENSMUST00000034620.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acrv1 description:acrosomal vesicle protein 1 [Source:MGI Symbol;Acc:MGI:104590] MKELILLGLYLLGSAQGAPPGQPEELLDSVDQQASVQQLSSEYLSLANPSDAEALYETPL DEKTLSGHSSSEQESSEHAVAEHSAGEHSSGEQSSEHMSGDHMSGEHLSEHTSEEHSSGE HTSTEHTSGEQPATEQSSSDQPSEASSGEVSGDEAGEQVSSETNDKENDAMSTPLPSTSA AITINCHTCAYMNDDAKCLRGEGVCTTQNSQQCMLKKIFEGGKLQFMVQGCENMCPSMNL FSHGTRMQIMCCRNEPLCNKV >ENSMUSP00000125394.1 pep:known chromosome:GRCm38:6:38551334:38605040:1 gene:ENSMUSG00000029823.16 transcript:ENSMUST00000163047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l2 description:LUC7-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2183260] MDLGECLKVHDLALRADYEIASKEQDFFFELDAMDHLQSFIADCDRRTEVSKKRLAETQE EISAEVAAKAERVHELNEEIGKLLAKVEQLGAEGNVEESQKVMDEVEKARAKKREAEEVY RNSMPASSFQQQKLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIEIREKLEELKRVVA EKQEKRNQERLKRREEREREEREKLRRSRSREHRRHRSRSMSRERKRRTRSKSREKRHRH RSRSSSRSRSRSHQRSRHSSRDRSRERSKRRY >ENSMUSP00000124010.1 pep:known chromosome:GRCm38:6:38551465:38606367:1 gene:ENSMUSG00000029823.16 transcript:ENSMUST00000161538.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l2 description:LUC7-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2183260] MSAQAQMRAMLDQLMGTSRDGDTTRQRIKFSDDRVCKSHLLNCCPHDVLSGTRMDLGECL KVHDLALRADYEIASKEQDFFFELDAMDHLQSFIADCDRRTEVSKKRLAETQEEISAEVA AKAERVHELNEEIGKLLAKVEQLGAEGNVEESQKVMDEVEKARAKKREAEEVYRNSMPAS SFQQQKLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIEIREKLEELKRVVAEKQEKRN QERLKRREEREREEREKLRRSRSREHRRHRSRSMSRERKRRTRSKSREKRHRHRSRSSSR SRSRSHQRSRHSSRDRSRERSKRRY >ENSMUSP00000055254.4 pep:known chromosome:GRCm38:6:38551465:38609470:1 gene:ENSMUSG00000029823.16 transcript:ENSMUST00000057692.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l2 description:LUC7-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2183260] MSAQAQMRAMLDQLMGTSRDGDTTRQRIKFSDDRVCKSHLLNCCPHDVLSGTRMDLGECL KVHDLALRADYEIASKEQDFFFELDAMDHLQSFIADCDRRTEVSKKRLAETQEEISAEVA AKAERVHELNEEIGKLLAKVEQLGAEGNVEESQKVMDEVEKARAKKREAEEVYRNSMPAS SFQQQKLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIEIREKLEELKRVVAEKQEKRN QERLKRREEREREEREKLRRSRSHSKNPKRSRSREHRRHRSRSMSRERKRRTRSKSREKR HRHRSRSSSRSRSRSHQRSRHSSRDRSRERSKRRSSKERFRDQDLASRDRDRSSRDRSPR DRDRKDKKRSYESANGRSEDRRSSEEREAGEI >ENSMUSP00000125573.1 pep:known chromosome:GRCm38:6:38551514:38609468:1 gene:ENSMUSG00000029823.16 transcript:ENSMUST00000162386.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Luc7l2 description:LUC7-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2183260] MVIHSHLKKIQGASERMGSMYLCLPTSICKGVSERPRIRRAEILLVNESNSAMTEYARAT FSTVAPTMSFLEQEWILENA >ENSMUSP00000125111.1 pep:known chromosome:GRCm38:6:38551803:38609427:1 gene:ENSMUSG00000029823.16 transcript:ENSMUST00000161227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l2 description:LUC7-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2183260] MDLGECLKVHDLALRADYEIASKEQDFFFELDAMDHLQSFIADCDRRTEVSKKRLAETQE EISAEVAAKAERVHELNEEIGKLLAKVEQLGAEGNVEESQKVMDEVEKARAKKREAEEVY RNSMPASSFQQQKLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIEIREKLEELKRVVA EKQEKRNQERLKRREEREREEREKLRRSRSHSKNPKRSRSREHRRHRSRSMSRERKRRTR SKSREKRHRHRSRSSSRSRSRSHQRSRHSSRDRSRERSKRRSSKERFRDQDLASRDRDRS SRDRSPRDRDRKDKKRSYESANGRSEDRRSSEEREAGEI >ENSMUSP00000123970.1 pep:known chromosome:GRCm38:6:38555723:38589286:1 gene:ENSMUSG00000029823.16 transcript:ENSMUST00000159936.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Luc7l2 description:LUC7-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2183260] MVIHSHLKKIQGASERMGSMYLCLPTSICKGVSERPRIRRAEILLVNESNSAMTEYARAT FSTVAPTMSFLEQEWILENA >ENSMUSP00000125527.1 pep:known chromosome:GRCm38:6:38557641:38608939:1 gene:ENSMUSG00000029823.16 transcript:ENSMUST00000160511.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Luc7l2 description:LUC7-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2183260] MVIHSHLKKIQGASERMGSMYLCLPTSICKGVSERPRIRRAEILLVNESNSAMTEYARAT FSTVAPTMSFLEQNIWNEEKISRLLFISKNGSWRMLESP >ENSMUSP00000054698.8 pep:known chromosome:GRCm38:12:103442167:103443680:-1 gene:ENSMUSG00000079017.3 transcript:ENSMUST00000055071.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi27l2a description:interferon, alpha-inducible protein 27 like 2A [Source:MGI Symbol;Acc:MGI:1924183] MLGTLFGSAIGGALAVAGAPVALAAMGFTGTGIAAASIAAKMMSAAAIANGGGVAAGSLV ATLQSAGVLGLSTSTNAILGAAGAAVGALL >ENSMUSP00000103606.1 pep:known chromosome:GRCm38:7:43562256:43575461:1 gene:ENSMUSG00000056592.14 transcript:ENSMUST00000107972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp658 description:zinc finger protein 658 [Source:MGI Symbol;Acc:MGI:2652821] MKLSQKAQRLHPQEQEGSRERLVSFEDVTVDFSQEEWRRLDSVQRHLYQDVMLEIYSHLL AVGYPVPSPGAIFRIKKRKEARTGEAKLPHPCWCQEVESEFYRPQQKASEEAAFQTSKAS AVTRHGLWCSILEELWQDPDPAQRDGQNQIPPWSPGASLNKKTARADRDCGWKEPGKTTP FVANLFSTQKGAPRLLAKRLNPNLEANGEEQGSVTKQLNGLVTSAHLLPQDSSNANCTIL RETWNPCKGNQCRKVPTHKQLTEKEAHSREKLDEGTECRKVFYDESAFCKHQAAHAGEGP FVCHKCGEAFLQKTEFASHQETHPEKPYECPDCGKSFSRTSNLQVHHRIHTGEKPYECRD CGKSFNNTSQLKVHYRIHTGERPYVCPVCGKAFKQKSILSTHETIHTGEKPYKCTVCGKL FSCTSRLKVHYQIHMKEKPYECGDCGKAFKRKSSLTVHQKIHIRQTHHECSECGKTFNQK SELSTHQRLHLGKNSHRCSDCGKSFTYASQLKMHRRVHTGEKPYKCRDCGKSFTYSFTLN VHRRIHKVEKPHKCSICGKALASKYQLEEHERIHTGEKPYVCTECGKGFHGRSGFLRHQI THTRDKPFVCHKCGKAFFQRSQLTSHQQTHTGEKPYTCHYCGKSFSHTSQLTVHHRIHTG ERPYKCDYCAKSFSNSSQLKEHLRIHTGETPYACSECGKAFSRRSSLNLHTKIHTGEKHH ICSECGKAFSQKSVLRTHQRIHTGEKPYKCSDCGKALASKGQLRDHQRIHTGEKPYMCPE CGKGFFGRSSLHRHQITHTKERPFVCQKCGKTFIQKSALTSHQQIHTGEKPYVCPECGKG FYNKSSLPRHQMTHTRGRSFVCQKCGKAFLQKSVLKCHQRTHTCKKP >ENSMUSP00000005597.8 pep:known chromosome:GRCm38:7:43564784:43574966:1 gene:ENSMUSG00000056592.14 transcript:ENSMUST00000005597.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp658 description:zinc finger protein 658 [Source:MGI Symbol;Acc:MGI:2652821] MKLSQKAQRLHPQEQEGSRERLVSFEDVTVDFSQEEWRRLDSVQRHLYQDVMLEIYSHLL AVGYPVPSPGAIFRIKKRKEARTGEAKLPHPCWCQEVESEFYRPQQKASEEAAFQTSKAS AVTRHGLWCSILEELWQDPDPAQRDGQNQIPPWSPGASLNKKTARADRDCGWKEPGKTTP FVANLFSTQKGAPRLLAKRLNPNLEANGEEQGSVTKQLNGLVTSAHLLPQDSSNANCTIL RETWNPCKGNQCRKVPTHKQLTEKEAHSREKLDEGTECRKVFYDESAFCKHQAAHAGEGP FVCHKCGEAFLQKTEFASHQETHPEKPYECPDCGKSFSRTSNLQVHHRIHTGEKPYECRD CGKSFNNTSQLKVHYRIHTGERPYVCPVCGKAFKQKSILSTHETIHTGEKPYKCTVCGKL FSCTSRLKVHYQIHMKEKPYECGDCGKAFKRKSSLTVHQKIHIRQTHHECSECGKTFNQK SELSTHQRLHLGKNSHRCSDCGKSFTYASQLKMHRRVHTGEKPYKCRDCGKSFTYSFTLN VHRRIHKVEKPHKCSICGKALASKYQLEEHERIHTGEKPYVCTECGKGFHGRSGFLRHQI THTRDKPFVCHKCGKAFFQRSQLTSHQQTHTGEKPYTCHYCGKSFSHTSQLTVHHRIHTG ERPYKCDYCAKSFSNSSQLKEHLRIHTGETPYACSECGKAFSRRSSLNLHTKIHTGEKHH ICSECGKAFSQKSVLRTHQRIHTGEKPYKCSDCGKALASKGQLRDHQRIHTGEKPYMCPE CGKGFFGRSSLHRHQITHTKERPFVCQKCGKTFIQKSALTSHQQIHTGEKPYVCPECGKG FYNKSSLPRHQMTHTRGRSFVCQKCGKAFLQKSVLKCHQRTHTCKKP >ENSMUSP00000029635.8 pep:known chromosome:GRCm38:3:82032006:82074685:-1 gene:ENSMUSG00000028005.13 transcript:ENSMUST00000029635.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy1b3 description:guanylate cyclase 1, soluble, beta 3 [Source:MGI Symbol;Acc:MGI:1860604] MYGFVNHALELLVIRNYGPEVWEDIKKEAQLDEEGQFLVRIIYDDSKTYDLVAAASKVLN LNAGEILQMFGKMFFVFCQESGYDTILRVLGSNVREFLQNLDALHDHLATIYPGMRAPSF RCTDAEKGKGLILHYYSEREGLQDIVIGIIKTVAQQIHGTEIDMKVIQQRNEECDHTQFL IEEKESKEEDFYEDLDRFEENGTQESRISPYTFCKAFPFHIIFDRNLVVTQCGNAIYRVL PQLQPGNCSLLSVFSLVRPHIDISFHGILSHINTVFVLRSKEGLLDVEKLECEDELTGAE ISCLRLKGQMIYLPEADSILFLCSPSVMNLDDLTRRGLYLSDIPLHDATRDLVLLGEQFR EEYKLTQELEILTDRLQLTLRALEDEKKKTDTLLYSVLPPSVANELRHKRPVPAKRYDNV TILFSGIVGFNAFCSKHASGEGAMKIVNLLNDLYTRFDTLTDSRKNPFVYKVETVGDKYM TVSGLPEPCIHHARSICHLALDMMEIAGQVQVDGESVQITIGIHTGEVVTGVIGQRMPRY CLFGNTVNLTSRTETTGEKGKINVSEYTYRCLMSPENSDPLFHLEHRGPVSMKGKKEPMQ VWFLSRKNTGTEETNEEDEN >ENSMUSP00000142119.1 pep:known chromosome:GRCm38:3:82032045:82074689:-1 gene:ENSMUSG00000028005.13 transcript:ENSMUST00000193597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy1b3 description:guanylate cyclase 1, soluble, beta 3 [Source:MGI Symbol;Acc:MGI:1860604] MYGFVNHALELLVIRNYGPEVWEDIKKEAQLDEEGQFLVRIIYDDSKTYDLVAAASKVLN LNAGEILQMFGKMFFVFCQESGYDTILRVLGSNVREFLQNLDALHDHLATIYPGMRAPSF RCTDAEKGKGLILHYYSEREGLQDIVIGIIKTVAQQIHGTEIDMKVIQQRNEECDHTQFL IEEKESKEEDFYEDLDRFEENGTQESRISPYTFCKAFPFHIIFDRNLVVTQCGNAIYRVL PQLQPGNCSLLSVFSLVRPHIDISFHGILSHINTVFVLRSKEGLLDVEKLECEDELTGAE ISCLRLKGQMIYLPEADSILFLCSPSVMNLDDLTRRGLYLSDIPLHDATRDLVLLGEQFR EEYKLTQELEILTDRLQLTLRALEDEKKKTDTLLYSVLPPSVANELRHKRPVPAKRYDNV TILFSGIVGFNAFCSKHASGEGAMKIVNLLNDLYTRFDTLTDSRKNPFVYKVETVGDKYM TVSGLPEPCIHHARSICHLALDMMEIAGQVQVDGESVQITIGIHTGEVVTGVIGQRMPRY CLFGNTVNLTSRTETTGEKGKINVSEYTYRKLGSTVPFGAQRPSVYEGQEGTNASLVPIQ EKYRHGGNK >ENSMUSP00000031002.3 pep:known chromosome:GRCm38:5:36806921:36830653:-1 gene:ENSMUSG00000029119.9 transcript:ENSMUST00000031002.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2b2 description:mannosidase 2, alpha B2 [Source:MGI Symbol;Acc:MGI:1195262] MGPLRWLPLLGQLLLLWPRAAQPAGPIRAFVVPHSHMDVGWVFTVQESMRAYAANVYTTV VAELVRGGQRRFIAVEQEFFRLWWDGVASEQQKQQVRQLLHEGRLEFVLGGQVMHDEAVT HLDDQILQLTEGHGFLYETFGIRPQFSWHVDPFGASATTPTLFALAGFNAHLISRIDYDL KDAMQEAQMLQFVWHGSPSLSGQQEIFTHVMDHYSYCTPSHIPFSNRSGFYWNGVAVFPE PPPDGVYPNMSEPVTGANIHLYAEALVANVKQRAAWFRTPHVLWPWGCDKQFFNASVQFD NMDPLLDYINQRTAQFGISVQYATLNDYFQALHATNMTWGIRDHQDFLPYSSEPLQAWTG FYTSRSTLKGLARQASALLYAGESMFTRYMWPDPSGTLDPTWALQQLQQLRWAVSEVQHH DAITGTESPKVKNMYTEHLRMGMLGVRKLMVSIALGGPPGSGTGAPKDIMGPQVTPVLSV DTRPVGYSASVYNPLAWKITTIITLTVAFPNVSVTDELGHPVSTQIQNSTKDPSAYDLLI LTTIPGLNYRHYQVMHARGDQAGTRELVAPRANTLKFSLKLRNQPSQEGKRLVPVMNDCY ILLFDQDTNMLHSIQDRQSNRTVRMTQEFLEYQANWDVKQGPISDNYLFAPNNTAEPSWE AVGMEMVAGTLVTDIRQYFYRYITDQEYIYSIHTRLAHPSLAGELLCQRIEQQYRVGPLD LNREAILRTSSDLNSQQVLYSDNNGYQMQRRPYKAFKSNPIPRNYYPMVQSAFIEDDKSR LVLLAERPHGVSSQGNGQVEVMLHRRLWNNLAWDLKYNLTLNDTSIVHPVLWLMLGPKST MTALHPRSGVALQHGPVVLLKELADEETPVHGPHNPWPVTLPPNLHLQILSVPGWTYSRS HAQHLRNLQRGHPEKPQANLQRVLLRLRHLYEAGEDPVLSRPATVDLKVVLRGLGSVVAV EERSLTGTWDVQMLQRWHWSTKTDHLKGHPTSPPRPPGGSIITVYPKEIRTFFIKFQQ >ENSMUSP00000114319.1 pep:known chromosome:GRCm38:5:36808806:36812963:-1 gene:ENSMUSG00000029119.9 transcript:ENSMUST00000147893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2b2 description:mannosidase 2, alpha B2 [Source:MGI Symbol;Acc:MGI:1195262] XETPVHGPHNPWPVTLPPNLHLQILSVPGWTYSRSHAQHLRNLQRGHPEKPQANLQRVLL RLRHLYEAGEDPVLSRPATVDLKVNSPCLPHPSKNALPRGSNPA >ENSMUSP00000115495.1 pep:known chromosome:GRCm38:5:36808812:36817684:-1 gene:ENSMUSG00000029119.9 transcript:ENSMUST00000124711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2b2 description:mannosidase 2, alpha B2 [Source:MGI Symbol;Acc:MGI:1195262] XAITGTESPKVKNMYTEHLRMGMLGVRKLMVSIALGGPPGSGTGAPKDIMGPQVTPVLSV DTRPVGYSASVYNPLAWKITTIITLTVAFPNVSVTDELGHPVSTQIQNSTKDPSAYDLLI LTTIPGLNYRHYQVMHARGDQAGTRELVAPRANTLKFSLKLRNQPSQEGKRLVPVMNDCY ILLFDQDTNMLHSIQDRQSNRTVRMTQEFLEYQANWDVKQGPISDNYLFAPNNTAEPSWE AVGMEMVAGTLVTDIRQYFYRYITDQEYIYSIHTRLAHPSLAGELLCQRIEQQYRVGPLD LNREAILRTSSDLNSQQVLYSDNNGYQMQRRPYKAFKSNPIPRNYYPMVQSAFIEDDKSR LVLLAERPHGVSSQGNGQVEVMLHRRLWNNLAWDLKYNLTLNDTSIVHPVLWLMLGPKST MTALHPRSGVALQHGPVVLLKELADEETPVHDPQRTWLDVQQEPCPAPEEPSERPPGEAT GQPAKGAAAPAPPV >ENSMUSP00000032143.6 pep:known chromosome:GRCm38:6:88084482:88105304:1 gene:ENSMUSG00000030062.7 transcript:ENSMUST00000032143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpn1 description:ribophorin I [Source:MGI Symbol;Acc:MGI:98084] MESPVALLLLLLLCLGALAPTPGSASSEAPPLVNEDVKRTVDLSSHLAKVTAEVVLVHPG GGSTSRASSFVLALEPELESRLAHLGVQIKGEDEEDNNLEVRETKIKGKSGRFFTVKLPV ALDPGSKISVVVETVYTHVLHPYPTQITQSEKQFVVFEGNHYFYSPYPTKTQTMRVKLAS RNVESYTKLGNPSRSEDVLDYGPFKDIPAYSQDTFKVHYENNSPFLTITSMTRVIEVSHW GNIAVEENVDLKHTGAVLKGPFSRYDYQRQPDSGISSIRSFKTILPAAAQDVYYRDEIGN VSTSHLLILDDSVEMEIRPRFPLFGGWKTHYIVGYNLPSYEYLYNLGDQYALKMRFVDHV FDEQVIDSLTVKIILPEGAKNIQVDSPYDISRAPDELHYTYLDTFGRPVIVAYKKNLVEQ HIQDIVVHYTFNKVLMLQEPLLVVAAFYILFFTVIIYVRLDFSITKDPAAEARMKVACIT EQVLTLVNKRLGLYRHFDETVNRYKQSRDISTLNSGKKSLETEHKAVTSEIAVLQSRLKT EGSDLCDRVSEMQKLDAQVKELVLKSAVEAERLVAGKLKKDTYLENEKLSSGKRQELVTK IDHILDAL >ENSMUSP00000144711.1 pep:known chromosome:GRCm38:6:88084563:88095562:1 gene:ENSMUSG00000030062.7 transcript:ENSMUST00000204838.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpn1 description:ribophorin I [Source:MGI Symbol;Acc:MGI:98084] XLLCLGALAPTPGSASSEAPPLVNEDVKRTVDLSSHLAKVTAEVVLVHPGGGSTSRASSF VLALEPELESRLAHLGVQWEIFHGQAPSCS >ENSMUSP00000092268.4 pep:known chromosome:GRCm38:2:73429610:73529734:-1 gene:ENSMUSG00000075284.10 transcript:ENSMUST00000094681.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf1 description:WAS/WASL interacting protein family, member 1 [Source:MGI Symbol;Acc:MGI:2178801] MPVPPPPAPPPPPTFALANTEKPTLNKTEQAGRNALLSDISKGKKLKKTVTNDRSAPILD KPKGAGASAGGYGGGGGGGGGGGGGGGGSGGNFGGGGPPGLGGLFQAGMPKLRSTANRDN DSGGSRPPILPPGGRATSAKPFSPPSGPGRFPAPSPGHRSGPPEPPRNRMPPPRPDVGSK PDSLPPPVPNTPRPVPSSLHNRGSPAGLGAPRPPFPGNRGAAFGAGSARQNPSGSSSPFP RPPLPPTPSRALDDKPPPPPPPVGNRPSMHREAVPPPPSQTSKPPVPSTPRPGLGSQAPP PPPPPSRPGPPPLPPASNDEIPRLPQRNLSLTSSAPPLPSPGRSGPLPPPPSERPPPPVR DPPGRSGPLPPPPPINRNGSTARALPATPQLPSRSGMDSPRSGPRPPLPPDRPGAGAPPP PPPSTSVRNGFQDSSCEDEWESRFYFHPISDLPPPEPYVPTTKTYPSKLARNESRSGSNR RERGAPPLPPIPR >ENSMUSP00000099741.1 pep:known chromosome:GRCm38:2:73430039:73485763:-1 gene:ENSMUSG00000075284.10 transcript:ENSMUST00000102680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf1 description:WAS/WASL interacting protein family, member 1 [Source:MGI Symbol;Acc:MGI:2178801] MPVPPPPAPPPPPTFALANTEKPTLNKTEQAGRNALLSDISKGKKLKKTVTNDRSAPILD KPKGAGASAGGYGGGGGGGGGGGGGGGGSGGNFGGGGPPGLGGLFQAGMPKLRSTANRDN DSGGSRPPILPPGGRATSAKPFSPPSGPGRFPAPSPGHRSGPPEPPRNRMPPPRPDVGSK PDSLPPPVPNTPRPVPSSLHNRGSPAGLGAPRPPFPGNRGAAFGAGSARQNPSGSSSPFP RPPLPPTPSRALDDKPPPPPPPVGNRPSMHREAVPPPPSQTSKPPVPSTPRPGLGSQAPP PPPPPSRPGPPPLPPASNDEIPRLPQRNLSLTSSAPPLPSPGRSGPLPPPPSERPPPPVR DPPGRSGPLPPPPPINRNGSTARALPATPQLPSRSGMDSPRSGPRPPLPPDRPGAGAPPP PPPSTSVRNGFQDSSCEDEWESRFYFHPISDLPPPEPYVPTTKTYPSKLARNESRSGSNR RERGAPPLPPIPR >ENSMUSP00000099740.1 pep:known chromosome:GRCm38:2:73432147:73453979:-1 gene:ENSMUSG00000075284.10 transcript:ENSMUST00000102679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf1 description:WAS/WASL interacting protein family, member 1 [Source:MGI Symbol;Acc:MGI:2178801] MPVPPPPAPPPPPTFALANTEKPTLNKTEQAGRNALLSDISKGKKLKKTVTNDRSAPILD KPKGAGASAGGYGGGGGGGGGGGGGGGGSGGNFGGGGPPGLGGLFQAGMPKLRSTANRDN DSGGSRPPILPPGGRATSAKPFSPPSGPGRFPAPSPGHRSGPPEPPRNRMPPPRPDVGSK PDSLPPPVPNTPRPVPSSLHNRGSPAGLGAPRPPFPGNRGAAFGAGSARQNPSGSSSPFP RPPLPPTPSRALDDKPPPPPPPVGNRPSMHREAVPPPPSQTSKPPVPSTPRPGLGSQAPP PPPPPSRPGPPPLPPASNDEIPRLPQRNLSLTSSAPPLPSPGRSGPLPPPPSERPPPPVR DPPGRSGPLPPPPPINRNGSTARALPATPQLPSRSGMDSPRSGPRPPLPPDRPGAGAPPP PPPSTSVRNGFQDSSCEDEWESRFYFHPISDLPPPEPYVPTTKTYPSKLARNESRSGSNR RERGAPPLPPIPR >ENSMUSP00000119190.1 pep:known chromosome:GRCm38:2:73437464:73486469:-1 gene:ENSMUSG00000075284.10 transcript:ENSMUST00000141264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf1 description:WAS/WASL interacting protein family, member 1 [Source:MGI Symbol;Acc:MGI:2178801] MPVPPPPAPPPPPTFALANTEKPTLNKTEQAGRNALLSDISKGKKLKKTVTNDRSAPILD KPKGAGASAGGYGGGGGGGGGGGGGGGGSGGNFGGGGPPGLGGLFQAGMPKLRSTANRDN DSGGSRPPILPPGGRATSAKPFSPPSGPGRFPAPSPGHRSGPPEPPRNRMPPPRPDVGSK PDSLPPPVPNTPRPVP >ENSMUSP00000121335.1 pep:known chromosome:GRCm38:2:73444452:73452710:-1 gene:ENSMUSG00000075284.10 transcript:ENSMUST00000151939.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipf1 description:WAS/WASL interacting protein family, member 1 [Source:MGI Symbol;Acc:MGI:2178801] MPVPPPPAPPPPPTFALANTEKPTLNKTEQAGRNALLSDISKGKKLKKTVTNDRSAPI >ENSMUSP00000001722.7 pep:known chromosome:GRCm38:8:109947914:109962168:-1 gene:ENSMUSG00000001672.14 transcript:ENSMUST00000001722.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marveld3 description:MARVEL (membrane-associating) domain containing 3 [Source:MGI Symbol;Acc:MGI:1920858] MKNTSGHREPRTRPRERDPDRRPHPDRDHHVERSRDRGGDRHRERNGDVRGNGDRRAGRE QRTDRDQRQDRHRDAGHRASEQRALEKSRQSRARPEPWGPSWDAAPTPGPAPWGPPELSQ KHGLGRRGLESERASERYVPTYSVPALQEEEYYQSEAEGLLDCHKCRYLCTGRGVVQIME VILNAMVLICIVASYFVLAGFSASFASGSGFGNNYYSPFEGTELEQVRQLDQQYTILRSP LIYGGVAVSLGLGVLTMGVLLQGAKSLRKLPRRWLLLEAAFSLLAAVGYCVAIGFYLYAA LRINSTDTCKTRERVYARKGLTWMNCQLAGTDGAAATFACLLVILYAASVVMALRAYREQ KHYKDSQEQHRNYRDVPEYLWSGTL >ENSMUSP00000052309.6 pep:known chromosome:GRCm38:8:109952911:109962203:-1 gene:ENSMUSG00000001672.14 transcript:ENSMUST00000051430.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marveld3 description:MARVEL (membrane-associating) domain containing 3 [Source:MGI Symbol;Acc:MGI:1920858] MKNTSGHREPRTRPRERDPDRRPHPDRDHHVERSRDRGGDRHRERNGDVRGNGDRRAGRE QRTDRDQRQDRHRDAGHRASEQRALEKSRQSRARPEPWGPSWDAAPTPGPAPWGPPELSQ KHGLGRRGLESERASERYVPTYSVPALQEEEYYQSEAEGLLDCHKCRYLCTGRACCQMLE ALLNLLILACSSVSYNSTGGYTGITSLGGIYYYQYGGAYSGFDGADGERAQQLDVQFYQL KLPTVTAAMAYSGALMTFSCLTLLAGALRVPWHCPLWLVIEGLMDALIAGAYVPGLYFFF QHLSAAYSSDVCKERETLYQSKGYSGFNCGVHGGDIGAGVFAAMAIGVFAVGAVLAFRGY RKVKKLKEKPTEMLEF >ENSMUSP00000097470.1 pep:known chromosome:GRCm38:2:86455328:86456269:-1 gene:ENSMUSG00000075181.1 transcript:ENSMUST00000099885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1066 description:olfactory receptor 1066 [Source:MGI Symbol;Acc:MGI:3030900] MEKYNLTMVTEFILVGITYHPEFQVPLFGLFLIIYLTSLFGNLGMIILTMVDSGLQTPMY FFLRHLATTDLGYSTAVGPKMLRNFLVDQNTISFNACDIQSSFFSMFIVCEFFILSALSY DCYVAICKPLLYTVIMSQKVCWILVTIPYLYSIIVSLIINIKIFTLSFCGYNVISHFYCD ALPLLTLACSNTHEIEAIILIFSAFNLLSSLLIVIGSYLLILMAILRINSTEGKWKAFST CGSHLTVVIVFYGTLICMYLQPTSTHSIDTGKGTSIFYTQVIPMLNPLIYSLRNKDVTDV LKKTKEKVYNLVS >ENSMUSP00000064479.2 pep:known chromosome:GRCm38:11:83746940:83752642:1 gene:ENSMUSG00000051748.2 transcript:ENSMUST00000070832.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc21 description:WAP four-disulfide core domain 21 [Source:MGI Symbol;Acc:MGI:1913357] MKLGAFLLLVSLITLSLEVQELQAAVRPLQLLGTCAELCRGDWDCGPEEQCVSIGCSHIC TTN >ENSMUSP00000093130.4 pep:known chromosome:GRCm38:8:9969049:9976299:-1 gene:ENSMUSG00000049717.9 transcript:ENSMUST00000095476.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig4 description:ligase IV, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:1335098] MASSQTSQTVAAHVPFADLCSTLERIQKGKDRAEKIRHFKEFLDSWRKFHDALHKNRKDV TDSFYPAMRLILPQLERERMAYGIKETMLAKLYIELLNLPREGKDAQKLLNYRTPSGART DAGDFAMIAYFVLKPRCLQKGSLTIQQVNELLDLVASNNSGKKKDLVKKSLLQLITQSSA LEQKWLIRMIIKDLKLGISQQTIFSIFHNDAVELHNVTTDLEKVCRQLHDPSVGLSDISI TLFSAFKPMLAAVADVERVEKDMKQQSFYIETKLDGERMQMHKDGALYRYFSRNGYNYTD QFGESPQEGSLTPFIHNAFGTDVQACILDGEMMAYNPTTQTFMQKGVKFDIKRMVEDSGL QTCYSVFDVLMVNKKKLGRETLRKRYEILSSTFTPIQGRIEIVQKTQAHTKKEVVDALND AIDKREEGIMVKHPLSIYKPDKRGEGWLKIKPEYVSGLMDELDVLIVGGYWGKGSRGGMM SHFLCAVAETPPPGDRPSVFHTLCRVGSGYTMKELYDLGLKLAKYWKPFHKKSPPSSILC GTEKPEVYIEPQNSVIVQIKAAEIVPSDMYKTGSTLRFPRIEKIRDDKEWHECMTLGDLE QLRGKASGKLATKHLHVGDDDEPREKRRKPISKTKKAIRIIEHLKAPNLSNVNKVSNVFE DVEFCVMSGLDGYPKADLENRIAEFGGYIVQNPGPDTYCVIAGSENVRVKNIISSDKNDV VKPEWLLECFKTKTCVPWQPRFMIHMCPSTKQHFAREYDCYGDSYFVDTDLDQLKEVFLG IKPSEQQTPEEMAPVIADLECRYSWDHSPLSMFRHYTIYLDLYAVINDLSSRIEATRLGI TALELRFHGAKVVSCLSEGVSHVIIGEDQRRVTDFKIFRRMLKKKFKILQESWVSDSVDK GELQEENQYLL >ENSMUSP00000130807.1 pep:known chromosome:GRCm38:8:9970877:9977686:-1 gene:ENSMUSG00000049717.9 transcript:ENSMUST00000170033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig4 description:ligase IV, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:1335098] MASSQTSQTVAAHVPFADLCSTLERIQKGKDRAEKIRHFKEFLDSWRKFHDALHKNRKDV TDSFYPAMRLILPQLERERMAYGIKETMLAKLYIELLNLPREGKDAQKLLNYRTPSGART DAGDFAMIAYFVLKPRCLQKGSLTIQQVNELLDLVASNNSGKKKDLVKKSLLQLITQSSA LEQKWLIRMIIKDLKLGISQQTIFSIFHNDAVELHNVTTDLEKVCRQLHDPSVGLSDISI TLFSAFKPMLAAVADVERVEKDMKQQSFYIETKLDGERMQMHKDGALYRYFSRNGYNYTD QFGESPQEGSLTPFIHNAFGTDVQACILDGEMMAYNPTTQTFMQKGVKFDIKRMVEDSGL QTCYSVFDVLMVNKKKLGRETLRKRYEILSSTFTPIQGRIEIVQKTQAHTKKEVVDALND AIDKREEGIMVKHPLSIYKPDKRGEGWLKIKPEYVSGLMDELDVLIVGGYWGKGSRGGMM SHFLCAVAETPPPGDRPSVFHTLCRVGSGYTMKELYDLGLKLAKYWKPFHKKSPPSSILC GTEKPEVYIEPQNSVIVQIKAAEIVPSDMYKTGSTLRFPRIEKIRDDKEWHECMTLGDLE QLRGKASGKLATKHLHVGDDDEPREKRRKPISKTKKAIRIIEHLKAPNLSNVNKVSNVFE DVEFCVMSGLDGYPKADLENRIAEFGGYIVQNPGPDTYCVIAGSENVRVKNIISSDKNDV VKPEWLLECFKTKTCVPWQPRFMIHMCPSTKQHFAREYDCYGDSYFVDTDLDQLKEVFLG IKPSEQQTPEEMAPVIADLECRYSWDHSPLSMFRHYTIYLDLYAVINDLSSRIEATRLGI TALELRFHGAKVVSCLSEGVSHVIIGEDQRRVTDFKIFRRMLKKKFKILQESWVSDSVDK GELQEENQYLL >ENSMUSP00000104688.1 pep:known chromosome:GRCm38:2:175130128:175142012:-1 gene:ENSMUSG00000090093.8 transcript:ENSMUST00000109060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14399 description:predicted gene 14399 [Source:MGI Symbol;Acc:MGI:3650082] MDLVTYDDVQVNFTRDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKAFARSCHLRMHNRTHAGEKQYECNQCGKAFKRRSDLQIHKQT HTGEKPYECNQCGKAFARSCHLRIHKRTHTGEKPYECKQCGKAFVSSGDLQKHKRTHTGE KPYECKQCGKAFSQSSHLRIHKRTHTGEKPYECNQCGKAFARSGDLQKHKRTHTGEKPYE CKQCGKAFAHSSHLHIHERRHTGDKPYECKQCGKAFAVFYTLQMHKRTHTGDKPYECKQC GKAFTVIYTLQMHKQTHTGEKPYECKQCGKAFSQSRHLRIHKRTHTEEKPYECNQCGKAF ARSGDQQEHKRTHTGEKPYECNQCGKAFIRRRVLQIHKRTHTGEKPYECKQCGKAFAGSS HLRIHKRTHTGQKPYECNQCGKAFAKSSTLQIHNRTHTGEKPYECYQCGKAFITRRVLQI HKRTHTGEKPYECKQCGKAFASSSDLQKHKRTHSGEKPYECKQCGKAFAQSSHLRIHKQT HTGERPYECN >ENSMUSP00000104687.1 pep:known chromosome:GRCm38:2:175132482:175141999:-1 gene:ENSMUSG00000090093.8 transcript:ENSMUST00000109059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14399 description:predicted gene 14399 [Source:MGI Symbol;Acc:MGI:3650082] MDLVTYDDVQVNFTRDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000104690.1 pep:known chromosome:GRCm38:2:175129493:175141999:-1 gene:ENSMUSG00000090093.8 transcript:ENSMUST00000109062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14399 description:predicted gene 14399 [Source:MGI Symbol;Acc:MGI:3650082] MDLVTYDDVQVNFTRDEWALLDPSQKSLYKGVMLETYRNLTAIGMKEVVLQSNPLSLFNV VKPLHMRVVDKGIKLNIMERNTMTVTNVVRTLEHGMSCKYISEHIQERNPMTVNNVVKPL QEAVISECIIEHMQERNSMNVTNVVKLLKEGWPPNI >ENSMUSP00000104557.2 pep:known chromosome:GRCm38:2:175130128:175131864:-1 gene:ENSMUSG00000090093.8 transcript:ENSMUST00000108929.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14399 description:predicted gene 14399 [Source:MGI Symbol;Acc:MGI:3650082] MKPKFKTIHTDKKTHQYNQCKKDFTCANFICRHERSCSAEQPSEFIQCGKAFAYESGRQR HQIKHNGEKHHDCNQCGKDFRTWNVLQIHKRTHTGEKPYDCKQCGKAFARSCHLRMHNRT HAGEKQYECNQCGKAFKRRSDLQIHKQTHTEEKPYECNQCGKAFARSGDQQEHKRTHTGE KPYECNQCGKAFIRRRVLQIHKRTHTGEKPYECKQCGKAFASSSDLQKHKRTHSGEKPYE CKQCGKAFAQSSHLRIHKQTHTGERPYECN >ENSMUSP00000096627.3 pep:known chromosome:GRCm38:2:175132925:175133322:-1 gene:ENSMUSG00000090093.8 transcript:ENSMUST00000099029.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14399 description:predicted gene 14399 [Source:MGI Symbol;Acc:MGI:3650082] DLVTYDDVQVNFTRDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSRS HGR >ENSMUSP00000047641.8 pep:known chromosome:GRCm38:13:25056004:25121523:1 gene:ENSMUSG00000035910.15 transcript:ENSMUST00000036932.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcdc2a description:doublecortin domain containing 2a [Source:MGI Symbol;Acc:MGI:2652818] MNGPSSRSSHLSQPVVKSVLVYRNGDPFFAGRRVVIHEKKVSSFDVFLKEVTGGVQAPFG AVRNIYTPRTGHRIRKLDQIESGGNYVAGGPEAFKKLNYLDIGEIKKRPMEAVNTEVKPV IHSRINVSARFRKSLHEPCTIFLIANGDLISPASRLLIPKKALNQWDHVLQMVTEKITLR SGAVHRLYTLEGKLVESGAELENGQFYVAVGRDKFKRLPYSELLFDKSAMRRPYGQKASS LPPMVGSRKSKGSGNYRQSKSTIGSSDNSSPQPLKRKGKKDSNSEKPTKVKQSVKSKTSH QAIPDNGWLIKVERDTCLRPQLDGNRNRVTALPPYPWSIHTRHMWDAPGEDRWKKVTNKA QPTYGHSM >ENSMUSP00000063650.6 pep:known chromosome:GRCm38:13:25056253:25210706:1 gene:ENSMUSG00000035910.15 transcript:ENSMUST00000069614.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcdc2a description:doublecortin domain containing 2a [Source:MGI Symbol;Acc:MGI:2652818] MNGPSSRSSHLSQPVVKSVLVYRNGDPFFAGRRVVIHEKKVSSFDVFLKEVTGGVQAPFG AVRNIYTPRTGHRIRKLDQIESGGNYVAGGPEAFKKLNYLDIGEIKKRPMEAVNTEVKPV IHSRINVSARFRKSLHEPCTIFLIANGDLISPASRLLIPKKALNQWDHVLQMVTEKITLR SGAVHRLYTLEGKLVESGAELENGQFYVAVGRDKFKRLPYSELLFDKSAMRRPYGQKASS LPPMVGSRKSKGSGNYRQSKSTIGSSDNSSPQPLKRKGKKDSNSEKPTKVKQSVKSKTSH QAIPDNGEGIFKAGAERSETRGAAEVQEDEDTQVEVPVDQRPAEIVDEEEDGEKTSKDAN QKEDFSAMNGETEDRGGSKAAGTSEQEEGIPDHGEKKASPSRVNGGTDEENGEELDQVAE ELQSTEDEKGKAEGDNSGQDEAGLDAQRPPRPEVTVTSPQENEENEANKASSAVA >ENSMUSP00000145716.1 pep:known chromosome:GRCm38:7:122169893:122186207:-1 gene:ENSMUSG00000030866.8 transcript:ENSMUST00000206198.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ern2 description:endoplasmic reticulum (ER) to nucleus signalling 2 [Source:MGI Symbol;Acc:MGI:1349436] MARPVQRFQLWSPLGFLLQLVTLLGKLGPQVQSVRPESLLFVSTLDGSLHALNKQTGDLK WTVKDDPIIQGPMYVTEMAFLSDPADGSLYVLGTQKQQGLMKLPFTIPELVHASPCRSSD GVFYTGRKQDAWFVVDPESGETQMTLTTEGLSTPQLFIGRTQYTVSMHDLRTPALRWNTT YRRYSAPLLNGSPGKYMSHLTSCGMGLLLTVDPGSGIVLWTQDLGVPVTGIYTWHQDGLH QLPHLTLARDTLHFLVLRWGHIRLPASSYQDTATQFSSLDTQLLMTLYVGKEEAGFYVSK ALVHAGVALVPRGLTLAPMDGPTTDEVTLQVSGEREGSPSTAVRYPSGSVALPSQWLLIG YHEPPPVLHTTMLRVHPIPGKVSAETRASEDLHAPPVFFELLNLRREDPELHPEEKASDS YPGLGSQDLLAATFTAILLGAWVLYLMRQQQQSPSAPAGPPDLSQDAQGQLSRDILQDQR RFQSPSEPAQPPHDPEGQPTVVGKISFNPKDVLGRGAGGTFVFRGQFEGRAVAVKRLLRE CFGLVRREVQLLQESDRHPNVLRYFCTEHGPQFHYIALELCQASLQEYVESPDLDRWGLE PTTVLQQMMSGLAHLHSLHIVHRDLKPANILMAGPDSQGQGRVVISDFGLCKKLPVGRCS FSLHSGIPGTEGWMAPELLQLPPDSPTSAVDIFSAGCVFYYVLSGGSHPFGESLYRQANI LSGDPCLAQLQEETHDKVVALDLVRAMLSLLPQDRPSAGWVLAHPLFWSRAKELQFFQDV SDWLEKEPDQGPLVSALEAGSYKVVREDWHKHISAPLQI >ENSMUSP00000033153.7 pep:known chromosome:GRCm38:7:122169940:122186204:-1 gene:ENSMUSG00000030866.8 transcript:ENSMUST00000033153.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ern2 description:endoplasmic reticulum (ER) to nucleus signalling 2 [Source:MGI Symbol;Acc:MGI:1349436] MARPVQRFQLWSPLGFLLQLVTLLGKLGPQVQSVRPESLLFVSTLDGSLHALNKQTGDLK WTVKDDPIIQGPMYVTEMAFLSDPADGSLYVLGTQKQQGLMKLPFTIPELVHASPCRSSD GVFYTGRKQDAWFVVDPESGETQMTLTTEGLSTPQLFIGRTQYTVSMHDLRTPALRWNTT YRRYSAPLLNGSPGKYMSHLTSCGMGLLLTVDPGSGIVLWTQDLGVPVTGIYTWHQDGLH QLPHLTLARDTLHFLVLRWGHIRLPASSYQDTATQFSSLDTQLLMTLYVGKEEAGFYVSK ALVHAGVALVPRGLTLAPMDGPTTDEVTLQVSGEREGSPSTAVRYPSGSVALPSQWLLIG YHEPPPVLHTTMLRVHPIPGKVSAETRASEDLHAPPVFFELLNLRREDPELHPEEKASDS YPGLGSQDLLAATFTAILLGAWVLYLMRQQQQSPSAPAGPPDLSQDAQGQLSRDILQDQR RFQSPSEPAQPPHDPEAGQPTVVGKISFNPKDVLGRGAGGTFVFRGQFEGRAVAVKRLLR ECFGLVRREVQLLQESDRHPNVLRYFCTEHGPQFHYIALELCQASLQEYVESPDLDRWGL EPTTVLQQMMSGLAHLHSLHIVHRDLKPANILMAGPDSQGQGRVVISDFGLCKKLPVGRC SFSLHSGIPGTEGWMAPELLQLPPDSPTSAVDIFSAGCVFYYVLSGGSHPFGESLYRQAN ILSGDPCLAQLQEETHDKVVALDLVRAMLSLLPQDRPSAGWVLAHPLFWSRAKELQFFQD VSDWLEKEPDQGPLVSALEAGSYKVVREDWHKHISAPLQADLKRFRSYKGTSVRDLLRAM RNKKHHYRELPAEVRQTLGQLPAGFIQYFTQRFPRLLLHTHRAMRTCASESLFLPYYPPA LEARRPDATKS >ENSMUSP00000050968.7 pep:known chromosome:GRCm38:7:43579610:43593235:1 gene:ENSMUSG00000030469.10 transcript:ENSMUST00000058104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp719 description:zinc finger protein 719 [Source:MGI Symbol;Acc:MGI:2444708] MLTYLQNPEKIRERPRVETTEDMPTDMNFCQMPQQLGAEEQDGLCERLVSFDDVTVDFSQ EEWQHLDSAQRRLYQDVMLEIYSHLLSVGKLQLDTQHQQIFVKASFLSDAASEVTKHGSI YCSVLEKLWQEGDPAAKTDQQSRVLPVSPGAFQNQKILTIGSAWDYEETEESTLLEPHLI STQYVPPRANSDSQSNTIKQLNDIIGSDQFFTQASSNGVCTVPHQTEKPYTESQFGNIVS LNPPLMDHEINFQDKPVEYTGCGKVFTGETAFCQQQITNSMETSFICHTCGKTFLHKSKL TSHSETPREETPYECPDCAKSLRGTTSLQVLRGVQTKEKPYECHVCGKSFSYTSHLKVHL RTHTGEKPYACSDCGKAFSQKSVLTIHQRIHTGEKPYTCSDCGKMFVCASDLTKHCRFHT GEKPYECPDCGKSFSIKSNLLAHHRIHTSEGPYKCFHCGESFRKISQLKVHHQIHTDRRS FVCSDCGMAFSQKSVLTTHQRIHSGEKCYPCSDCGKLFLYASDLKKHCRFHTGEKPYKCH DCGKSYSVKSHLHVHHRIHTGERPYKCDDCGKSFRRNSHLQMHQQTHTGEKPYKCSDCGK SFRRASHLKVHHRIHTGEKPFVCSECGKAFNDRSVLSTHQRIHTGEKPYICSDCGKAMSS KANLKEHQRIHTGEKPYVCAECGKAFSDKSSFYRHCKIHSRGKPFVRNKGEKGFLQNSQV TSMTSYEQTQNAEKL >ENSMUSP00000145695.1 pep:known chromosome:GRCm38:7:43579619:43589575:1 gene:ENSMUSG00000030469.10 transcript:ENSMUST00000205769.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp719 description:zinc finger protein 719 [Source:MGI Symbol;Acc:MGI:2444708] MLEIYSHLLSVGKLQLDTQHQQIFVKASFLSDAASEVTKHGSIYCSVLEKLWQEGDPAAK TDQQSRVLPVSPGAFQNQKILTIGSAWDYEETEESTLLEPHLISTQYVPPRANSDSQS >ENSMUSP00000104682.2 pep:known chromosome:GRCm38:2:175166255:175175871:-1 gene:ENSMUSG00000078902.3 transcript:ENSMUST00000109054.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14443 description:predicted gene 14443 [Source:MGI Symbol;Acc:MGI:3652324] MDLVTYDDVQVNFTQEEWALLDPSQKSLYKDVMLETYRNLKAIGYIWEGHTSEDHFQTSR SHGRHERSCTAVKPSEFIQCGKAFAYQSLRQRHERTHKGEKDYYCNQCGKAFIISSHLQI HKRRNTGEKPYECNQCCKTCTVRSDLQIHKQTHTGEKPYECNQCGKAFAGSGDFQKHKRR QRGEKPYECNQCGKTFAVRSDLRIHKRTHTGEKPYECKQCSKAFIRRGDLQIHKRTHTGE KPYECNQCGKAFIRSADLQIHKRTHTGEQPYECNQCGKAFIRSGDLQIHKRIHTGEKPYE CKQCGKAFTKSSNLQIHERTHTGEKPYECKQCGKAFIRSGDLQIHKRTHTGEKPYECNQC GKAFIRSGDLQIHKRTHTGEKPYECKQCGKAFTKSSNLQIHERTHTGEKPYECKQCGKAF TKSSNLQIHERTHTGEKPYECKQCGKAFIRSGDLQIHKRTHTGEQPYECNQCGKAFIRSG DLQIHKRTHTGEKPYECKQCGKAFTKSSNLQIHERTHTGEKPYECKQCGKAFIRSGDLQI HKRTHTGEKPYECKQCGKAFTKSSNLQIHERTHTGEKPYECNQCGKAFIHSGNLKRHKRT HTGEKLYEGI >ENSMUSP00000129904.1 pep:known chromosome:GRCm38:17:24840143:24863724:1 gene:ENSMUSG00000024158.17 transcript:ENSMUST00000164251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hagh description:hydroxyacyl glutathione hydrolase [Source:MGI Symbol;Acc:MGI:95745] MKVELLPALTDNYMYLIIDEDTQEAAIVDPVQPQKVIEAAKKHRVKLTTVLTTHHHWDHA GGNEKLVKLEPGLKVYGGDDRIGALTHKVTHLSTLQVGSLSVKCLSTPCHTSGHICYFVS KPGSSEPSAVFTGDTLFVAGCGKFYEGTADEMYKALLEVLGRLPPDTKVYCGHEYTVNNL KFARHVEPGNAAIQEKLAWAKEKYAIGEPTVPSTLAE >ENSMUSP00000113051.1 pep:known chromosome:GRCm38:17:24850490:24864450:1 gene:ENSMUSG00000024158.17 transcript:ENSMUST00000118788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hagh description:hydroxyacyl glutathione hydrolase [Source:MGI Symbol;Acc:MGI:95745] MVLGRGSLCLRSLSALGATCARRGLGQALLGLSLCHSDFRKNLTVQQDIMKVELLPALTD NYMYLIIDEDTQEAAIVDPVQPQKVIEAAKKHRVKLTTVLTTHHHWDHAGGNEKLVKLEP GLKVYGGDDRIGALTHKVTHLSTLQVGSLSVKCLSTPCHTSGHICYFVSKPGSSEPSAVF TGDTLFVAGCGKFYEGTADEMYKALLEVLGRLPPDTKVYCGHEYTVNNLKFARHVEPGNA AIQEKLAWAKEKYAIGEPTVPSTLAEEFTYNPFMRVKEKTVQQHAGETDPVTTMRAIRRE KDQFKVPRD >ENSMUSP00000114672.1 pep:known chromosome:GRCm38:17:24850576:24854134:1 gene:ENSMUSG00000024158.17 transcript:ENSMUST00000154363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hagh description:hydroxyacyl glutathione hydrolase [Source:MGI Symbol;Acc:MGI:95745] MVLGRGSLCLRSLSALGATCARRGLGQALLGLSLCHSDFRKNLTVQQDIMKVELLPALTD NYMYLIIDEDTQEAAIVDPVQPQKVIEAAKKHRVKLTTVLTTHHHWAGEMAQRFRAPTAL PEVL >ENSMUSP00000126514.1 pep:known chromosome:GRCm38:17:24850579:24864289:1 gene:ENSMUSG00000024158.17 transcript:ENSMUST00000169200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hagh description:hydroxyacyl glutathione hydrolase [Source:MGI Symbol;Acc:MGI:95745] MVLGRGSLCLRSLSALGATCARRGLGQALLGLSLCHSDFRKNLTVQQDIMKVYGGDDRIG ALTHKVTHLSTLQVGSLSVKCLSTPCHTSGHICYFVSKPGSSEPSAVFTGDTLFVAGCGK FYEGTADEMYKALLEVLGRLPPDTKVYCGHEYTVNNLKFARHVEPGNAAIQEKLAWAKEK YAIGEPTVPSTLAEEFTYNPFMRVKEKTVQQHAGETDPVTTMRAIRREKDQFKVPRD >ENSMUSP00000120734.1 pep:known chromosome:GRCm38:17:24850667:24861049:1 gene:ENSMUSG00000024158.17 transcript:ENSMUST00000130989.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hagh description:hydroxyacyl glutathione hydrolase [Source:MGI Symbol;Acc:MGI:95745] MKVELLPALTDNYMYLIIDEDTQEAAIVDPVQPQKVIEAAKKHRVKLTTVLTTHHHWDHA GGNEKLVKLEPGLKVYGGDDRIGALTHKVTHLSTLQVGSLSVKCLSTPCHTSGHICYFVS KPGSSEPSAVFTGDTLFVAGCGKFYEGTADEMYKALLEVLGRLPPDT >ENSMUSP00000114838.2 pep:known chromosome:GRCm38:17:24850748:24857624:1 gene:ENSMUSG00000024158.17 transcript:ENSMUST00000149716.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hagh description:hydroxyacyl glutathione hydrolase [Source:MGI Symbol;Acc:MGI:95745] MKVELLPALTDNYMYLIIDEDTQEAAIVDPVQPQKVIEAAKKHRVKLTTVLTTHHHWDHA GGNEKLVKLEPGLKVYGGDDRIGALTHKVTHLSTLQVGSLSVKCLSTPCHTSGHICYFVS KPGSSEPSAVFT >ENSMUSP00000024974.9 pep:known chromosome:GRCm38:17:24850667:24864450:1 gene:ENSMUSG00000024158.17 transcript:ENSMUST00000024974.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hagh description:hydroxyacyl glutathione hydrolase [Source:MGI Symbol;Acc:MGI:95745] MKVELLPALTDNYMYLIIDEDTQEAAIVDPVQPQKVIEAAKKHRVKLTTVLTTHHHWDHA GGNEKLVKLEPGLKVYGGDDRIGALTHKVTHLSTLQVGSLSVKCLSTPCHTSGHICYFVS KPGSSEPSAVFTGDTLFVAGCGKFYEGTADEMYKALLEVLGRLPPDTKVYCGHEYTVNNL KFARHVEPGNAAIQEKLAWAKEKYAIGEPTVPSTLAEEFTYNPFMRVKEKTVQQHAGETD PVTTMRAIRREKDQFKVPRD >ENSMUSP00000042207.9 pep:known chromosome:GRCm38:15:73095844:73184840:-1 gene:ENSMUSG00000036698.10 transcript:ENSMUST00000044113.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ago2 description:argonaute RISC catalytic subunit 2 [Source:MGI Symbol;Acc:MGI:2446632] MYSGAGPVLASPAPTTSPIPGYAFKPPPRPDFGTTGRTIKLQANFFEMDIPKIDIYHYEL DIKPEKCPRRVNREIVEHMVQHFKTQIFGDRKPVFDGRKNLYTAMPLPIGRDKVELEVTL PGEGKDRIFKVSIKWVSCVSLQALHDALSGRLPSVPFETIQALDVVMRHLPSMRYTPVGR SFFTASEGCSNPLGGGREVWFGFHQSVRPSLWKMMLNIDVSATAFYKAQPVIEFVCEVLD FKSIEEQQKPLTDSQRVKFTKEIKGLKVEITHCGQMKRKYRVCNVTRRPASHQTFPLQQE SGQTVECTVAQYFKDRHKLVLRYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDN QTSTMIRATARSAPDRQEEISKLMRSASFNTDPYVREFGIMVKDEMTDVTGRVLQPPSIL YGGRNKAIATPVQGVWDMRNKQFHTGIEIKVWAIACFAPQRQCTEVHLKSFTEQLRKISR DAGMPIQGQPCFCKYAQGADSVEPMFRHLKNTYAGLQLVVVILPGKTPVYAEVKRVGDTV LGMATQCVQMKNVQRTTPQTLSNLCLKINVKLGGVNNILLPQGRPPVFQQPVIFLGADVT HPPAGDGKKPSIAAVVGSMDAHPNRYCATVRVQQHRQEIIQDLAAMVRELLIQFYKSTRF KPTRIIFYRDGVSEGQFQQVLHHELLAIREACIKLEKDYQPGITFIVVQKRHHTRLFCTD KNERVGKSGNIPAGTTVDTKITHPTEFDFYLCSHAGIQGTSRPSHYHVLWDDNRFSSDEL QILTYQLCHTYVRCTRSVSIPAPAYYAHLVAFRARYHLVDKEHDSAEGSHTSGQSNGRDH QALAKAVQVHQDTLRTMYFA >ENSMUSP00000116130.1 pep:known chromosome:GRCm38:8:9977707:9992155:1 gene:ENSMUSG00000040396.12 transcript:ENSMUST00000139793.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd13 description:abhydrolase domain containing 13 [Source:MGI Symbol;Acc:MGI:1916154] MEKSWMLWSFIERWLLALASWSWALCRISLLPLIVTFHLYGGIVLLLLIFVSIAGILYKF QDVLLYFPEQPSSSRLYVPMPTGIPHENIFIRTKDGVRLNLILVRYTGDNSPYCPTIIYF HGNAGNIGHRLPNALLMLVNLRVNLVLVDYRGYGKSEGEASEEGLYLDSEAVLDYVMTRP DLDKTKVFLFGRSLGGAVAIHLASENSHRISAIMVENTFLSIPHMASTLFSFFPMRYLPL WCYKNKFLSYRKISQCRMPSLFISGLSDQLIPPVMMKQLYELSPSRTKRLAIFPDGTHND TWQCQGYFTALEQFIKEVIKSHSPEDMTKTSSNVTII >ENSMUSP00000036730.5 pep:known chromosome:GRCm38:8:9977717:9992155:1 gene:ENSMUSG00000040396.12 transcript:ENSMUST00000048216.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd13 description:abhydrolase domain containing 13 [Source:MGI Symbol;Acc:MGI:1916154] MEKSWMLWSFIERWLLALASWSWALCRISLLPLIVTFHLYGGIVLLLLIFVSIAGILYKF QDVLLYFPEQPSSSRLYVPMPTGIPHENIFIRTKDGVRLNLILVRYTGDNSPYCPTIIYF HGNAGNIGHRLPNALLMLVNLRVNLVLVDYRGYGKSEGEASEEGLYLDSEAVLDYVMTRP DLDKTKVFLFGRSLGGAVAIHLASENSHRISAIMVENTFLSIPHMASTLFSFFPMRYLPL WCYKNKFLSYRKISQCRMPSLFISGLSDQLIPPVMMKQLYELSPSRTKRLAIFPDGTHND TWQCQGYFTALEQFIKEVIKSHSPEDMTKTSSNVTII >ENSMUSP00000002502.5 pep:known chromosome:GRCm38:3:20057811:20118490:1 gene:ENSMUSG00000002428.12 transcript:ENSMUST00000002502.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hltf description:helicase-like transcription factor [Source:MGI Symbol;Acc:MGI:1196437] MSYTFTRGPVWKYSQSVQYGSHENIPRLSYSTFLPHFEFQDIIPPDDFLTSDEEQDLVLF GTMRGQVVGLRYYTGVVNNNEMVALQREPNNPYDKNAIKVNNVNGNQVGHIKREIAAAVA YIMDNKLAQVEGVVPFGASNTFTMPLYMTFWGKEENRNVVLEQLKKHGFKLGPTPKTLGS SLENAWGSGRAGPSYSRPAHVAVQMTTDQLKTEFDKLFEDLKEDDRTVEMEPAEAIETPL LPHQKQALAWMIARENSKELPPFWEQRNDLYYNTITNFSVKERPENVHGGILADDMGLGK TLTAIAVILTNFDDGRPLLSKRGKKNHPGKEYKDETIKRRGSNMDKKEDGHSESSTCGEE PSISGTPEKSSCTLSQLSSVCPKRRKISVQYIESSDSEEIETSELPQKMKGKLKNVQLNT KSRVKGSSKVKEDSKFALTFFASATQRKMLKKGMSMMECSEACDTGERTRATLIICPLSV LSNWIDQFGQHVKSEVHLNFYVYYGPDRIRDSAWLSKQDIILTTYNILTHDYGTKDDSPL HSIKWLRVILDEGHAIRNPNAQQTKAVLELEAERRWVLTGTPIQNSLKDLWSLLSFLKLK PFIDREWWYRIIQRPVTTGDEGGLRRLQSLIKNITLRRTKTSKIKGKPVLELPERKVFIQ HITLSEEERKIYQSVKNEGKAAIGRYFTEGTVLAHYADVLGLLLRLRQICCHTHLLTNGM SSSGPSRSDTPEELRKMLIEKMKIILSSGSDEECAICLDSLTFPVITHCAHVFCKPCICQ VIHSEQPHAKCPLCRNEIHGDNLLECPPEELACDSDKESSMEWKSSSKINALMHALIELR TKDPNIKSLVVSQFTTFLSLIETPLKASGFVFTRLDGSMAQKKRVESIQRFQNTEAGSPT IMLLSLKAGGVGLNLCAASRVFLMDPAWNPAAEDQCFDRCHRLGQKQEVIITKFIVKDSV EENMLKIQNTKRDLAAGAFGTKKTDANDMKQAKINEIRTLIDL >ENSMUSP00000116570.1 pep:known chromosome:GRCm38:3:20057811:20117281:1 gene:ENSMUSG00000002428.12 transcript:ENSMUST00000143005.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hltf description:helicase-like transcription factor [Source:MGI Symbol;Acc:MGI:1196437] MSYTFTRGPVWKYSQSVQYGSHENIPRLSYSTFLPHFEFQDIIPPDDFLTSDEEQDLVLF GTMRGQVVGLRYYTGVVNNNEMVALQREPNNPYDKNAIKVNNVNGNQVGHIKREIAAAVA YIMDNKLAQVEGVVPFGASNTFTMPLYMTFWGKEENRNVVLEQLKKHGFKLGPTPKTLGS SLENAWGSGRAGPSYSRPAHVAVQMTTDQLKTEFDKLFEDLKEDDRTVEMEPAEAIETPL LPHQKQALAWMIARENSKELPPFWEQRNDLYYNTITNFSVKERPENVHGGILADDMGLGK TLTAIAVILTNFDDGRPLLSKRGKKNHPGKEYKDETIKRRGSNMDKKEDGHSESSTCGEE PSISGTPEKSSCTLSQLSSVCPKRRKISVQYIESSDSEEIETSELPQKMKGKLKNVQLNT KSRVKGSSKVKEDSKFALTFFASATQRKMLKKGMSMMECSEACDTGERTRATLIICPLSV LSNWIDQFGQHVKSEVHLNFYVYYGPDRIRDSAWLSKQDIILTTYNILTHDYGTKDDSPL HSIKWLRVILDEGHAIRNPNAQQTKAVLELEAERRWVLTGILLKGLSLHTMQMSWVFCLD CGRFVAIPIFLQTECLQVAPPEVTRLKS >ENSMUSP00000118775.1 pep:known chromosome:GRCm38:3:20058291:20118476:1 gene:ENSMUSG00000002428.12 transcript:ENSMUST00000145853.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hltf description:helicase-like transcription factor [Source:MGI Symbol;Acc:MGI:1196437] MRGQVVGLRYYTGVVNNNEMVALQREPNNPYDKNAIKVNNVNGNQVGHIKREIAAAVAYI MDNKLAQVEGVVPFGASNTFTMPLYMTFWGKEENRNVVLEQLKKHGFKLGPTPKTLGSSL ENAWGSGRAGPSYSRPAHVAVQMTTDQLKTEFDKLFEDLKEDDRTVEMEPAEAIETPLLP HQKQALAWMIARENSKELPPFWEQRNDLYYNTITNFSVKERPENVHGGILADDMGLGKTL TAIAVILTNFDDGRPLLSKRGKKNHPGKEYKDETIKRRGSNMDKKEDGHSESSTCGEEPS ISGTPEKSSCTLSQLSSVCPKRRKISVQYIESSDSEEIETSELPQKMKGKLKNVQLNTKS RVKGSSKVKEDSKFALTFFASATQRKMLKKGMSMMECSEACDTGERTRATLIICPLSVLS NWIDQFGQHVKSEVHLNFYVYYGPDRIRDSAWLSKQDIILTTYNILTHDYGTKDDSPLHS IKWLRVILDEGHAIRNPNAQQTKAVLELEAERRWVLTGILLKGLSLHTMQMSWVFCLDCG RFVAIPIFLQTECLQVAPPEVTRLKS >ENSMUSP00000032307.5 pep:known chromosome:GRCm38:6:131284388:131293244:-1 gene:ENSMUSG00000030188.12 transcript:ENSMUST00000032307.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magohb description:mago homolog B, exon junction complex core component [Source:MGI Symbol;Acc:MGI:1913691] MSMGSDFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDVMIRKEAYVHKSVME ELKRIIDDSEITKEDDALWPPPDRVGRQELEIVIGDEHISFTTSKIGSLIDVNQSKDPEG LRVFYYLVQDLKCLVFSLIGLHFKIKPI >ENSMUSP00000133553.1 pep:known chromosome:GRCm38:6:131284523:131293131:-1 gene:ENSMUSG00000030188.12 transcript:ENSMUST00000172883.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Magohb description:mago homolog B, exon junction complex core component [Source:MGI Symbol;Acc:MGI:1913691] XVGHKGKFGHEFLEFEFRPDGLCPQECNGRTEENY >ENSMUSP00000134663.1 pep:known chromosome:GRCm38:6:131284605:131292260:-1 gene:ENSMUSG00000030188.12 transcript:ENSMUST00000173837.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magohb description:mago homolog B, exon junction complex core component [Source:MGI Symbol;Acc:MGI:1913691] MIRKEAYVHKSVMEELKRIIDDSEITKEDDALWPPPDRVGRQELEIVIGDEHISFTTSKI GSLIDVNQSKDPEGLRVFYYLVQDLKCLVFSLIGLHFKIKPI >ENSMUSP00000134494.1 pep:known chromosome:GRCm38:6:131284701:131293151:-1 gene:ENSMUSG00000030188.12 transcript:ENSMUST00000173198.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Magohb description:mago homolog B, exon junction complex core component [Source:MGI Symbol;Acc:MGI:1913691] XDFYLRYYVGHKGKFGHEFLEFEFRPDASRILKHFSNSKEDDVC >ENSMUSP00000133708.1 pep:known chromosome:GRCm38:6:131288177:131293151:-1 gene:ENSMUSG00000030188.12 transcript:ENSMUST00000173332.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Magohb description:mago homolog B, exon junction complex core component [Source:MGI Symbol;Acc:MGI:1913691] XDFYLRYYVGHKGKFGHEFLEFEFRPDASRILKHFSNSKEDDVC >ENSMUSP00000104684.1 pep:known chromosome:GRCm38:2:175194914:175206917:-1 gene:ENSMUSG00000078903.10 transcript:ENSMUST00000109056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14391 description:predicted gene 14391 [Source:MGI Symbol;Acc:MGI:3709324] MLETYRNLTAIGYIWEEHTIEDHFQTSRSHGRHERSCSAEQPSEFIQCGKAFAYESGRQR HQIKHNGEKHHDCNQCGKDFRTWNVLQIHKRTHTGEKPYDCKQCGKVFARSCHLQIHNRT HAGEKQYECNQCGKALKRRSDLQIHKRTHTGEKPYECNQCGKAFASSGDLQQHKRTHTGE KPYECKQCGKAFSECSHLRIHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CNQCGKAFAVIYTLQMHKRTHTGDKPYECNQCGKAFAVIYTLQMHKRTHTGDKPYECNQC GKAFAVIYTLQRHKQTHTGEKPYKCKQCGKAFTRSCHLRIHKRTHTGEKPYECNQCGKAF ARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYECNQCGKAFAESS TLQIHNRTHTGEKPYECNQCGKAFITRRVLQIHKRTHTGEKPYECKQCGKAFASSSDLQK HKRTHSGAKPYECKQCGKAFAQSSHLRIHKQTHTGERPYECN >ENSMUSP00000104683.1 pep:known chromosome:GRCm38:2:175196942:175206899:-1 gene:ENSMUSG00000078903.10 transcript:ENSMUST00000109055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14391 description:predicted gene 14391 [Source:MGI Symbol;Acc:MGI:3709324] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKDVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000096626.3 pep:known chromosome:GRCm38:2:175191065:175206903:-1 gene:ENSMUSG00000078903.10 transcript:ENSMUST00000099028.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14391 description:predicted gene 14391 [Source:MGI Symbol;Acc:MGI:3709324] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKDVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRLQSTCMLS >ENSMUSP00000104686.2 pep:known chromosome:GRCm38:2:175194914:175206917:-1 gene:ENSMUSG00000078903.10 transcript:ENSMUST00000109058.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14391 description:predicted gene 14391 [Source:MGI Symbol;Acc:MGI:3709324] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKDVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKALKRRSDLQIHKRT HTGEKPYECNQCGKAFASSGDLQQHKRTHTGEKPYECKQCGKAFSECSHLRIHKRTHTGE KPYECKQCGKAFAHSSHLHKHERTHTGDKPYECNQCGKAFAVIYTLQMHKRTHTGDKPYE CNQCGKAFAVIYTLQMHKRTHTGDKPYECNQCGKAFAVIYTLQRHKQTHTGEKPYKCKQC GKAFTRSCHLRIHKRTHTGEKPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAF AHSSHLHKHERTHTGDKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAFITRR VLQIHKRTHTGEKPYECKQCGKAFASSSDLQKHKRTHSGAKPYECKQCGKAFAQSSHLRI HKQTHTGERPYECN >ENSMUSP00000127636.1 pep:known chromosome:GRCm38:2:175194914:175203061:-1 gene:ENSMUSG00000078903.10 transcript:ENSMUST00000165892.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14391 description:predicted gene 14391 [Source:MGI Symbol;Acc:MGI:3709324] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKDVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKALKRRSDLQIHKRT HTGEKPYECNQCGKAFASSGDLQQHKRTHTGEKPYECKQCGKAFSECSHLRIHKRTHTGE KPYECKQCGKAFAHSSHLHKHERTHTGDKPYECNQCGKAFAVIYTLQMHKRTHTGDKPYE CNQCGKAFAVIYTLQMHKRTHTGDKPYECNQCGKAFAVIYTLQRHKQTHTGEKPYKCKQC GKAFTRSCHLRIHKRTHTGEKPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAF AHSSHLHKHERTHTGDKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAFITRR VLQIHKRTHTGEKPYECKQCGKAFASSSDLQKHKRTHSGAKPYECKQCGKAFAQSSHLRI HKQTHTGERPYECN >ENSMUSP00000113561.1 pep:known chromosome:GRCm38:4:147673502:147702553:-1 gene:ENSMUSG00000062518.7 transcript:ENSMUST00000117638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp534 description:zinc finger protein 534 [Source:MGI Symbol;Acc:MGI:3650650] MSVCLVNTPQGLLTFKDVALDFSLEEWECLSFAQRSLYMDVMLENYNNLLFVENHCIRGK NGKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILESLQCTPYKTNHSSDALQFSTQKRLK PRNTKEVCKYNDSVNSLSLFSTISLNQGINMQKKKHNRNAELDKIFVSKHKVMVKKDNIA GNPYKCSEFHKYLTQREKLQSQQRIYHGKKPYESSKSDKCFTHQIHLSIHQGIHTEEKIY KCSECDKCFKNKFNLTMHQRIHKGEKPYKCSECGKCFTDKTSLRIHQRIHTGEKPYKCSE CGKCFTEKSSLRIHQRIHTGEKPYKCSECGKCFTEKSSLRIHQRIHTGEKPYKCSECDKC FTQQSYLSIHQRIHTGEKPYKCSECDKCFTKQSNLSIHQRIHTGEKPYKCSECDKCFTQQ SHLSIHQRIHTGEKPYKCSECDKCFTQQSYLSIHQRIHAGEKPYKCSECDKCFTTKGNLI IHQRIHTREKPYKCSECGKCFTEKSSLRIHQRIHTGEKPYKCSECDKCFTKQSKLSIHQR IHTGEKPYKCSECEKCFNEKNSLKIHQRIHTGERPYKCSECDKCFTQKSYLNIHQRIHAG EKPYKCSECDKCFTTKGNLIIHQRIHTREKPYKCSECDKCFTQKSQLSIHQKIHTGEKPY K >ENSMUSP00000109326.1 pep:known chromosome:GRCm38:9:67022590:67043907:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MAGSSSLEAVRRKIRSLQEQADAAEERAGSLQRELDQERKLRETAEADVASLNRRIQLVE EELDRAQERLATALQKLEEAEKAADESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAE DADRKYEEVARKLVIIESDLERAEERAELSEGQVRQLEEQLRIMDQTLKALMAAEDKYSQ KEDKYEEEIKVLSDKLKEAETRAEFAERSVTKLEKSIDDLEEKVAHAKEENLSMHQMLDQ TLLELNNM >ENSMUSP00000109335.1 pep:known chromosome:GRCm38:9:67022590:67049267:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEEDIAAKEKLLRASEDERDRV LEELHKAEDSLLAADETAAKAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAE ERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAE FAERSVTKLEKSIDDLEEKVAHAKEENLSMHQMLDQTLLELNNM >ENSMUSP00000109337.2 pep:known chromosome:GRCm38:9:67022590:67049406:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113707.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEDELVSLQKKLKGTEDELDKY SEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAE ERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAE FAERSVTKLEKSIDDLEEKVAHAKEENLSMHQMLDQTLLELNNM >ENSMUSP00000109325.1 pep:known chromosome:GRCm38:9:67022592:67043802:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113695.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MAGSSSLEAVRRKIRSLQEQADAAEERAGSLQRELDQERKLRETAEADVASLNRRIQLVE EELDRAQERLATALQKLEEAEKAADESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAE DADRKYEEVARKLVIIESDLERAEERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQ KEDKYEEEIKVLSDKLKEAETRAEFAERSVTKLEKSIDDLEEKVAHAKEENLSMHQMLDQ TLLELNNM >ENSMUSP00000109320.1 pep:known chromosome:GRCm38:9:67022593:67043962:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MAGSSSLEAVRRKIRSLQEQADAAEERAGSLQRELDQERKLRETAEADVASLNRRIQLVE EELDRAQERLATALQKLEEAEKAADESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAE DADRKYEEVARKLVIIESDLERAEERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQ KEDKYEEEIKVLSDKLKEAETRAEFAERSVTKLEKSIDDLEDQLYHQLEQNRRLTNELKL ALNED >ENSMUSP00000034928.4 pep:known chromosome:GRCm38:9:67022593:67049209:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000034928.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEEDIAAKEKLLRASEDERDRV LEELHKAEDSLLAADETAAKLEDELVSLQKKLKGTEDELDKYSEALKDAQEKLELAEKKA TDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAADESERGMKVIESRAQKDE EKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAEERAELSEGKCAELEEELK TVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAEFAERSVTKLEKSIDDLEE KVAHAKEENLSMHQMLDQTLLELNNM >ENSMUSP00000138784.1 pep:known chromosome:GRCm38:9:67022606:67049186:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000129733.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEDELVSLQKKLKGTEDELDKY SEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERWPVSWSSSRATWNVQRSGLSSQKAKFDSWKNS >ENSMUSP00000109331.1 pep:known chromosome:GRCm38:9:67022606:67049201:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEEDIAAKEKLLRASEDERDRV LEELHKAEDSLLAADETAAKAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAE ERAELSEGQVRQLEEQLRIMDQTLKALMAAEDKYSQKEDKYEEEIKVLSDKLKEAETRAE FAERSVTKLEKSIDDLEEKVAHAKEENLSMHQMLDQTLLELNNM >ENSMUSP00000109327.1 pep:known chromosome:GRCm38:9:67022615:67049275:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEDELVSLQKKLKGTEDELDKY SEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAE ERAELSEGQVRQLEEQLRIMDQTLKALMAAEDKYSQKEDKYEEEIKVLSDKLKEAETRAE FAERSVTKLEKSIDDLEEKVAHAKEENLSMHQMLDQTLLELNNM >ENSMUSP00000138514.1 pep:known chromosome:GRCm38:9:67022622:67043778:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000139046.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MAGSSSLEAVRRKIRSLQEQADAAEERAGSLQRELDQERKLRETRHESH >ENSMUSP00000109323.1 pep:known chromosome:GRCm38:9:67024324:67049172:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEDELVSLQKKLKGTEDELDKY SEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAE ERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAE FAERSVTKLEKSIDDLEDQLYHQLEQNRRLTNELKLALNED >ENSMUSP00000109319.1 pep:known chromosome:GRCm38:9:67024326:67043709:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MAGSSSLEAVRRKIRSLQEQADAAEERAGSLQRELDQERKLRETAEADVASLNRRIQLVE EELDRAQERLATALQKLEEAEKAADESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAE DADRKYEEVARKLVIIESDLERAEERAELSEGQVRQLEEQLRIMDQTLKALMAAEDKYSQ KEDKYEEEIKVLSDKLKEAETRAEFAERSVTKLEKSIDDLEDQLYHQLEQNRRLTNELKL ALNED >ENSMUSP00000109315.3 pep:known chromosome:GRCm38:9:67027892:67049213:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113685.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEDELVSLQKKLKGTEDELDKY SEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAE ERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAE FAERSVTKLEKSIDDLEDELYAQKLKYKAISEELDHALNDMTSI >ENSMUSP00000051888.9 pep:known chromosome:GRCm38:9:67027892:67049264:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000050905.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEDELVSLQKKLKGTEDELDKY SEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAE ERAELSEGQVRQLEEQLRIMDQTLKALMAAEDKYSQKEDKYEEEIKVLSDKLKEAETRAE FAERSVTKLEKSIDDLEDELYAQKLKYKAISEELDHALNDMTSI >ENSMUSP00000109316.1 pep:known chromosome:GRCm38:9:67027966:67043841:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MAGSSSLEAVRRKIRSLQEQADAAEERAGSLQRELDQERKLRETAEADVASLNRRIQLVE EELDRAQERLATALQKLEEAEKAADESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAE DADRKYEEVARKLVIIESDLERAEERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQ KEDKYEEEIKVLSDKLKEAETRAEFAERSVTKLEKSIDDLEDKFLCFTPPKTPSSSWMSH LSELCICLLSS >ENSMUSP00000109317.1 pep:known chromosome:GRCm38:9:67027989:67049143:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEDELVSLQKKLKGTEDELDKY SEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAE ERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAE FAERSVTKLEKSIDDLEDKFLCFTPPKTPSSSWMSHLSELCICLLSS >ENSMUSP00000109314.1 pep:known chromosome:GRCm38:9:67028048:67043733:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000113684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MAGSSSLEAVRRKIRSLQEQADAAEERAGSLQRELDQERKLRETAEADVASLNRRIQLVE EELDRAQERLATALQKLEEAEKAADESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAE DADRKYEEVARKLVIIESDLERAEERAELSEGQVRQLEEQLRIMDQTLKALMAAEDKYSQ KEDKYEEEIKVLSDKLKEAETRAEFAERSVTKLEKSIDDLEDELYAQKLKYKAISEELDH ALNDMTSI >ENSMUSP00000030185.4 pep:known chromosome:GRCm38:9:67028848:67049262:-1 gene:ENSMUSG00000032366.15 transcript:ENSMUST00000030185.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm1 description:tropomyosin 1, alpha [Source:MGI Symbol;Acc:MGI:98809] MDAIKKKMQMLKLDKENALDRAEQAEADKKAAEDRSKQLEDELVSLQKKLKGTEDELDKY SEALKDAQEKLELAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIESRAQKDEEKMEIQEIQLKEAKHIAEDADRKYEEVARKLVIIESDLERAE ERAELSEGKCAELEEELKTVTNNLKSLEAQAEKYSQKEDKYEEEIKVLSDKLKEAETRAE FAERSVTKLEKSIDDLEDELYAQKLKYKAISEELDHALNDMTSM >ENSMUSP00000079752.5 pep:known chromosome:GRCm38:2:69424340:69586065:-1 gene:ENSMUSG00000027070.14 transcript:ENSMUST00000080953.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp2 description:low density lipoprotein receptor-related protein 2 [Source:MGI Symbol;Acc:MGI:95794] MERGAAAAAWMLLLAIAACLAPVSGQECGSGNFRCDNGYCIPASWRCDGTRDCLDDTDEI GCPPRSCGSGFFLCPAEGTCIPSSWVCDQDKDCSDGADEQQNCPGTTCSSQQLTCSNGQC VPIEYRCDHVSDCPDGSDERNCYYPTCDQLTCANGACYNTSQKCDHKVDCRDSSDEANCT TLCSQKEFQCGSGECILRAYVCDHDNDCEDNSDEHNCNYDTCGGHQFTCSNGQCINQNWV CDGDDDCQDSGDEDGCESNQRHHTCYPREWACPGSGRCISMDKVCDGVPDCPEGEDENNA TSGRYCGTGLCSILNCEYQCHQTPYGGECFCPPGHIINSNDSRTCIDFDDCQIWGICDQK CESRQGRHQCLCEEGYILERGQHCKSNDSFSAASIIFSNGRDLLVGDLHGRNFRILAESK NRGIVMGVDFHYQKHRVFWTDPMQAKVFSTDINGLNTQEILNVSIDAPENLAVDWINNKL YLVETRVNRIDVVNLEGNQRVTLITENLGHPRGIALDPTVGYLFFSDWGSLSGQPKVERA FMDGSNRKDLVTTKLGWPAGITLDLVSKRVYWVDSRYDYIETVTYDGIQRKTVARGGSLV PHPFGISLFEEHVFFTDWTKMAVMKANKFTDTNPQVYHQSSLTPFGVTVYHALRQPNATN PCGNNNGGCAQICVLSHRTDNGGLGYRCKCEFGFELDADEHHCVAVKNFLLFSSQTAVRG IPFTLSTQEDVMVPVTGSPSFFVGIDFDAQHSTIFYSDLSKNIIYQQKIDGTGKEVITAN RLQNVECLSFDWISRNLYWTDGGSKSVTVMKLADKSRRQIISNLNNPRSIVVHPAAGYMF LSDWFRPAKIMRAWSDGSHLMPIVNTSLGWPNGLAIDWSTSRLYWVDAFFDKIEHSNLDG LDRKRLGHVDQMTHPFGLTVFKDNVFLTDWRLGAIIRVRKSDGGDMTVVRRGISSIMHVK AYDADLQTGTNYCSQTTHPNGDCSHFCFPVPNFQRVCGCPYGMKLQRDQMTCEGDPAREP PTQQCGSSSFPCNNGKCVPSIFRCDGVDDCHDNSDEHQCGALNNTCSSSAFTCVHGGQCI PGQWRCDKQNDCLDGSDEQNCPTRSPSSTCPPTSFTCDNHMCIPKEWVCDTDNDCSDGSD EKNCQASGTCHPTQFRCPDHRCISPLYVCDGDKDCVDGSDEAGCVLNCTSSQFKCADGSS CINSRYRCDGVYDCKDNSDEAGCPTRPPGMCHPDEFQCQGDGTCIPNTWECDGHPDCIQG SDEHNGCVPKTCSPSHFLCDNGNCIYNSWVCDGDNDCRDMSDEKDCPTQPFHCPSSQWQC PGYSICVNLSALCDGVFDCPNGTDESPLCNQDSCLHFNGGCTHRCIQGPFGATCVCPIGY QLANDTKTCEDVNECDIPGFCSQHCVNMRGSFRCACDPEYTLESDGRTCKVTASENLLLV VASRDKIIMDNITAHTHNIYSLVQDVSFVVALDFDSVTGRVFWSDLLEGKTWSAFQNGTD KRVVHDSGLSLTEMIAVDWIGRNIYWTDYTLETIEVSKIDGSHRTVLISKNVTKPRGLAL DPRMGDNVMFWSDWGHHPRIERASMDGTMRTVIVQEKIYWPCGLSIDYPNRLIYFMDAYL DYIEFCDYDGQNRRQVIASDLVLHHPHALTLFEDSVFWTDRGTHQVMQANKWHGRNQSVV MYSVPQPLGIIAIHPSRQPSSPNPCASATCSHLCLLSAQEPRHYSCACPSGWNLSDDSVN CVRGDQPFLISVRENVIFGISLDPEVKSNDAMVPISGIQHGYDVEFDDSEQFIYWVENPG EIHRVKTDGSNRTAFAPLSLLGSSLGLALDWVSRNIYYTTPASRSIEVLTLRGDTRYGKT LITNDGTPLGVGFPVGIAVDPARGKLYWSDHGTDSGVPAKIASANMDGTSLKILFTGNME HLEVVTLDIQEQKLYWAVTSRGVIERGNVDGTERMILVHHLAHPWGLVVHGSFLYYSDEQ YEVIERVDKSSGSNKVVFRDNIPYLRGLRVYHHRNAADSSNGCSNNPNACQQICLPVPGG MFSCACASGFKLSPDGRSCSPYNSFIVVSMLPAVRGFSLELSDHSEAMVPVAGQGRNVLH ADVDVANGFIYWCDFSSSVRSSNGIRRIKPNGSNFTNIVTYGIGANGIRGVAVDWVAGNL YFTNAFVYETLIEVIRINTTYRRVLLKVSVDMPRHIVVDPKHRYLFWADYGQKPKIERSF LDCTNRTVLVSEGIVTPRGLAVDHDTGYIYWVDDSLDIIARIHRDGGESQVVRYGSRYPT PYGITVFGESIIWVDRNLRKVFQASKQPGNTDPPTVIRDSINLLRDVTIFDEHVQPLSPA ELNNNPCLQSNGGCSHFCFALPELPTPKCGCAFGTLEDDGKNCATSREDFLIYSLNNSLR SLHFDPQDHNLPFQAISVEGMAIALDYDRRNNRIFFTQKLNPIRGQISYVNLYSGASSPT ILLSNIGVTDGIAFDWINRRIYYSDFSNQTINSMAEDGSNRAVIARVSKPRAIVLDPCRG YMYWTDWGTNAKIERATLGGNFRVPIVNTSLVWPNGLTLDLETDLLYWADASLQKIERST LTGSNREVVISTAFHSFGLTVYGQYIYWTDFYTKKIYRANKYDGSDLIAMTTRLPTQPSG ISTVVKTQQQQCSNPCDQFNGGCSHICAPGPNGAECQCPHEGSWYLANDNKYCVVDTGAR CNQFQFTCLNGRCISQDWKCDNDNDCGDGSDELPTVCAFHTCRSTAFTCANGRCVPYHYR CDFYNDCGDNSDEAGCLFRSCNSTTEFTCSNGRCIPLSYVCNGINNCHDNDTSDEKNCPP ITCQPDFAKCQTTNICVPRAFLCDGDNDCGDGSDENPIYCASHTCRSNEFQCVSPHRCIP SYWFCDGEADCVDSSDEPDTCGHSLNSCSANQFHCDNGRCISSSWVCDGDNDCGDMSDED QRHHCELQNCSSTEFTCINSRPPNRRCIPQHWVCDGDADCADALDELQNCTMRACSTGEF SCANGRCIRQSFRCDRRNDCGDYSDERGCSYPPCRDDQFTCQNGQCITKLYVCDEDNDCG DGSDEQEHLCHTPEPTCPPHQFRCDNGHCIEMGTVCNHVDDCSDNSDEKGCGINECQDSS ISHCDHNCTDTITSFYCSCLPGYKLMSDKRTCVDIDECKETPQLCSQKCENVIGSYICKC APGYIREPDGKSCRQNSNIEPYLVFSNRYYIRNLTIDGTSYSLILQGLGNVVALDFDRVE ERLYWIDAEKQIIERMFLNKTNQETIISHRLRRAESLAVDWVSRKLYWLDAILDCLFVSD LEGRQRKMLAQHCVDANNTFCFENPRGIVLHPQRGYVYWADWGDHAYIARIGMDGTNKTV IISTKIEWPNAITIDYTNDLLYWADAHLGYIEFSDLEGHHRHTVYDGTLPHPFALTIFED TVFWTDWNTRTVEKGNKYDGSGRVVLVNTTHKPFDIHVLHPYRQPIMSNPCATNNGGCSH LCLIKAGGRGFTCECPDDFQTVQLRDRTLCMPMCSSTQFLCGNNEKCIPIWWKCDGQKDC SDGSDESDLCPHRFCRLGQFQCRDGNCTSPQALCNARQDCADGSDEDRVLCEHHRCEANE WQCANKRCIPEYWQCDSVDDCLDNSDEDPSHCASRTCRPGQFKCNNGRCIPQSWKCDVDN DCGDYSDEPIHECMTAAYNCDNHTEFSCKTNYRCIPQWAVCNGFDDCRDNSDEQGCESVP CHPSGDFRCGNHHCIPLRWKCDGIDDCGDNSDEESCVPRECTESEFRCADQQCIPSRWVC DQENDCGDNSDERDCEMKTCHPEHFQCTSGHCVPKALACDGRADCLDASDESACPTRFPN GTYCPAAMFECKNHVCIQSFWICDGENDCVDGSDEEIHLCFNVPCESPQRFRCDNSRCIY GHQLCNGVDDCGDGSDEKEEHCRKPTHKPCTDTEYKCSNGNCVSQHYVCDNVDDCGDLSD ETGCNLGENRTCAEKICEQNCTQLSNGGFICSCRPGFKPSTLDKNSCQDINECEEFGICP QSCRNSKGSYECFCVDGFKSMSTHYGERCAADGSPPLLLLPENVRIRKYNISSEKFSEYL EEEEHIQAIDYDWDPEGIGLSVVYYTVLSQGSQFGAIKRAYLPDFESGSNNPVREVDLGL KYLMQPDGLAVDWVGRHIYWSDAKSQRIEVATLDGRYRKWLITTQLDQPAAIAVNPKLGL MFWTDQGKQPKIESAWMNGEHRSVLASANLGWPNGLSIDYLNGDRIYWSDSKEDVIESIK YDGTDRRLIINDAMKPFSLDIFEDQLYWVAKEKGEVWRQNKFGKGNKEKLLVVNPWLTQV RIFHQLRYNQSVSNPCKQVCSHLCLLRPGGYSCACPQGSDFVTGSTVECDAASELPITMP SPCRCMHGGSCYFDENDLPKCKCSSGYSGEYCEIGLSRGIPPGTTMALLLTFAMVIIVGA LVLVGFFHYRKTGSLLPSLPKLPSLSSLAKPSENGNGVTFRSGADVNMDIGVSPFGPETI IDRSMAMNEQFVMEVGKQPVIFENPMYAAKDSTSKVGLAVQGPSVSSQVTVPENVENQNY GRSIDPSEIVPEPKPASPGADETQGTKWNIFKRKPKQTTNFENPIYAEMDTEQKEAVAVA PPPSPSLPAKASKRSSTPGYTATEDTFKDTANLVKEDSDV >ENSMUSP00000097628.2 pep:known chromosome:GRCm38:2:69506204:69586029:-1 gene:ENSMUSG00000027070.14 transcript:ENSMUST00000100051.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp2 description:low density lipoprotein receptor-related protein 2 [Source:MGI Symbol;Acc:MGI:95794] MERGAAAAAWMLLLAIAACLAPVSGQECGSGNFRCDNGYCIPASWRCDGTRDCLDDTDEI GCPPRSCGSGFFLCPAEGTCIPSSWVCDQDKDCSDGADEQQNCPGTTCSSQQLTCSNGQC VPIEYRCDHVSDCPDGSDERNCYYPTCDQLTCANGACYNTSQKCDHKVDCRDSSDEANCT TLCSQKEFQCGSGECILRAYVCDHDNDCEDNSDEHNCNYDTCGGHQFTCSNGQCINQNWV CDGDDDCQDSGDEDGCESNQRHHTCYPREWACPGSGRCISMDKVCDGVPDCPEGEDENNA TSGRYCGTGLCSILNCEYQCHQTPYGGECFCPPGHIINSNDSRTCIDFDDCQIWGICDQK CESRQGRHQCLCEEGYILERGQHCKSNDSFSAASIIFSNGRDLLVGDLHGRNFRILAESK NRGIVMGVDFHYQKHRVFWTDPMQAKVFSTDINGLNTQEILNVSIDAPENLAVDWINNKL YLVETRVNRIDVVNLEGNQRVTLITENLGHPRGIALDPTVGYLFFSDWGSLSGQPKVERA FMDGSNRKDLVTTKLGWPAGITLDLVSKRVYWVDSRYDYIETVTYDGIQRKTVARGGSLV PHPFGISLFEEHVFFTDWTKMAVMKANKFTDTNPQVYHQSSLTPFGVTVYHALRQPNATN PCGNNNGGCAQICVLSHRTDNGGLGYRCKCEFGFELDADEHHCVAVKNFLLFSSQTAVRG IPFTLSTQEDVMVPVTGSPSFFVGIDFDAQHSTIFYSDLSKNIIYQQKIDGTGKEVITAN RLQNVECLSFDWISRNLYWTDGGSKSVTVMKLADKSRRQIISNLNNPRSIVVHPAAGYMF LSDWFRPAKIMRAWSDGSHLMPIVNTSLGWPNGLAIDWSTSRLYWVDAFFDKIEHSNLDG LDRKRLGHVDQMTHPFGLTVFKDNVFLTDWRLGAIIRVRKSDGGDMTVVRRGISSIMHVK AYDADLQTGTNYCSQTTHPNGDCSHFCFPVPNFQRVCGCPYGMKLQRDQMTCEGDPAREP PTQQCGSSSFPCNNGKCVPSIFRCDGVDDCHDNSDEHQCGALNNTCSSSAFTCVHGGQCI PGQWRCDKQNDCLDGSDEQNCPTRSPSSTCPPTSFTCDNHMCIPKEWVCDTDNDCSDGSD EKNCQASGTCHPTQFRCPDHRCISPLYVCDGDKDCVDGSDEAGCVLNCTSSQFKCADGSS CINSRYRCDGVYDCKDNSDEAGCPTRPPGMCHPDEFQCQGDGTCIPNTWECDGHPDCIQG SDEHNGCVPKTCSPSHFLCDNGNCIYNSWVCDGDNDCRDMSDEKDCPTQPFHCPSSQWQC PGYSICVNLSALCDGVFDCPNGTDESPLCSKFPDHSLLDTNLF >ENSMUSP00000090212.4 pep:known chromosome:GRCm38:2:69526366:69586029:-1 gene:ENSMUSG00000027070.14 transcript:ENSMUST00000092551.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp2 description:low density lipoprotein receptor-related protein 2 [Source:MGI Symbol;Acc:MGI:95794] MERGAAAAAWMLLLAIAACLAPVSGQECGSGNFRCDNGYCIPASWRCDGTRDCLDDTDEI GCPPRSCGSGFFLCPAEGTCIPSSWVCDQDKDCSDGADEQQNCPGTTCSSQQLTCSNGQC VPIEYRCDHVSDCPDGSDERNCYYPTCDQLTCANGACYNTSQKCDHKVDCRDSSDEANCT TLCSQKEFQCGSGECILRAYVCDHDNDCEDNSDEHNCNYDTCGGHQFTCSNGQCINQNWV CDGDDDCQDSGDEDGCESNQRHHTCYPREWACPGSGRCISMDKVCDGVPDCPEGEDENNA TSGRYCGTGLCSILNCEYQCHQTPYGGECFCPPGHIINSNDSRTCIDFDDCQIWGICDQK CESRQGRHQCLCEEGYILERGQHCKSNDSLLGIEPKATSLRFSPETGFFFVWPWLSWNSL GFPGWR >ENSMUSP00000143497.1 pep:known chromosome:GRCm38:5:146157802:146160539:-1 gene:ENSMUSG00000104752.1 transcript:ENSMUST00000199463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5565 description:predicted gene 5565 [Source:MGI Symbol;Acc:MGI:3779499] MFSCFQGSRVSGHKKAKSGFLVRFWRHLIRPLTHFRHASHSEPKVCSQNEQEPDCMPRRP RFNYNSPEYVVQMIHYIPAAVQHNDHVCIRIFLAMYPSYASTWKVLDLLMTTYAFFRPDC IKDQETKRAIFRFLFHWFKKFPKDFYESPDLAVVRQFIDYVRRNVPSADEDTQARELLSV LEEQEDIGLNPEEDFATAPEPSEQDASGSQESLAPRPASVTEPQGDEQSQEDTQLVKRAV LDPFEPKATIALHPTLDQAVPTSADTHSPVDVTADEATGVAADEAAADVSPARHLFVSYT VQLGIPDFVFPLPEVDI >ENSMUSP00000145712.1 pep:known chromosome:GRCm38:7:31051678:31055409:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000206328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYDYHTLRIGGLTIAGILFILGILIILSKRC RCKFNQQQRTGEPDEEEGTFRSSIRRLSSRRR >ENSMUSP00000145990.1 pep:known chromosome:GRCm38:7:31051678:31055594:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000205807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYGKRCRCKFNQQQRTGEPDEEEGTFRSSIR RLSSRRR >ENSMUSP00000146295.1 pep:known chromosome:GRCm38:7:31051680:31054800:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000206012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYDYHTLRIGGLTIAGILFILGILIILSKRC RCKFNQQQRTGEPDEEEGTFRSSIRRLSSRRR >ENSMUSP00000145589.1 pep:known chromosome:GRCm38:7:31051680:31055667:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000206305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYDYHTLRIGGLTIAGILFILGILIILSKRC RCKFNQQQRTGEPDEEEGTFRSSIRRLSSRRR >ENSMUSP00000146129.1 pep:known chromosome:GRCm38:7:31051681:31053122:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000206030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] XESLGMREREGSSAYTLPLHRQEMSMQIQPTAENWGTRRRGGNFPQLHPPSVIPQAVEPP PDSRKLSQSPLST >ENSMUSP00000145818.1 pep:known chromosome:GRCm38:7:31051682:31054788:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000205778.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYDYHTLRIGGLTIAGILFILGILIILSKRC RCKFNQQQRTGEPDEEEGTFRSSIRRLSSRRR >ENSMUSP00000103745.3 pep:known chromosome:GRCm38:7:31051682:31054807:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000108110.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYDYHTLRIGGLTIAGILFILGILIILSKRC RCKFNQQQRTGEPDEEEGTFRSSIRRLSSRRR >ENSMUSP00000146288.1 pep:known chromosome:GRCm38:7:31051683:31054818:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000206860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYDYHTLRIGGLTIAGILFILGILIILSKRC RCKFNQQQRTGEPDEEEGTFRSSIRRLSSRRR >ENSMUSP00000145920.1 pep:known chromosome:GRCm38:7:31051708:31055582:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000206474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYDYHTLRIGGLTIAGILFILGILIILSKRC RCKFNQQQRTGEPDEEEGTFRSSIRRLSSRRR >ENSMUSP00000071617.4 pep:known chromosome:GRCm38:7:31051728:31054819:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000071697.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYDYHTLRIGGLTIAGILFILGILIILSKRC RCKFNQQQRTGEPDEEEGTFRSSIRRLSSRRR >ENSMUSP00000146064.1 pep:known chromosome:GRCm38:7:31051935:31055708:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000205439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYDYHTLRIGGLTIAGILFILGILIILSKRC RCKFNQQQRTGEPDEEEGTFRSSIRRLSSRRR >ENSMUSP00000048460.6 pep:known chromosome:GRCm38:7:31051681:31055656:-1 gene:ENSMUSG00000036570.14 transcript:ENSMUST00000039909.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd1 description:FXYD domain-containing ion transport regulator 1 [Source:MGI Symbol;Acc:MGI:1889273] MASPGHILALCVCLLSMASAEAPQEPDPFTYDYHTLRIGGLTIAGILFILGILIILSKRC RCKFNQQQRTGEPDEEEGTFRSSIRRLSSRRR >ENSMUSP00000103168.1 pep:known chromosome:GRCm38:4:59314754:59438633:-1 gene:ENSMUSG00000038578.15 transcript:ENSMUST00000107544.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd1 description:sushi domain containing 1 [Source:MGI Symbol;Acc:MGI:3651543] MPAKGREENLHLQLWVLGQREDTVYKDECQFGATVICGNHTSCHNTPGGFYCICHEGYRA TNNNETFIPNDGTFCADIDECEDSGLCRHGGRCVNTPGSFACYCMEGYVAKNGPEPFHPR TDATSCTEIDCGIPPEVPEGYVVGSYSSTLGGQAHYSCKEGFLSVSGDRISRCTALGVWE PPKLHCQEISCGSPPEIQNAILVGNHSSRQGSVAHYDCQEGFESPGGKITSVCTEKGAWS EITYACTEIMIEIHDVLVFNDTCVRWQISPERVNARIVYLIRVERQQPDAAESVYEETVN VTTDSRTPEVCLHLQQGTNYTISISAAPPRRSVPAILGFQTPEDDLLEDDGLFNISVFNE TCLTLSRHSRQVGSEQMYQVEVLGQRWYLESSYHAALFNFTTRDRAPEVCLDLYPATDYT INITLLRPTEPRSAQITITTSVTAKQTIANISVYNDTCLRWSSLKTADVLEMYLFHIWGQ RWYQKAFVQEMVFNTTSSSQDPEICLDLHQGTSYNVSLQALSSALPVVIYLTTQITEPPL PEVDFFTVRGRPLPRFTLRKVKEINGPISSYQVLVLPLSLQSTFSCDSEGMTSFFGNTSP ADGYVAAEILASDVPDDTLEISIGDRLYYGEYYNAPLKTGNEYCILLRITSEWNKVRRYS CAVWAEVKDSSLTAQQMVGVGLGSVAFVTILAFFSFSAV >ENSMUSP00000048201.6 pep:known chromosome:GRCm38:4:59314683:59438633:-1 gene:ENSMUSG00000038578.15 transcript:ENSMUST00000040166.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd1 description:sushi domain containing 1 [Source:MGI Symbol;Acc:MGI:3651543] MGRGPRGTRRSPGCGLQRLLRLVLLLSLARGVSGEPGTEDVDVCATCHEHATCQQKEGKR ICICNYGFWGNGRTRCVDKDECQFGATVICGNHTSCHNTPGGFYCICHEGYRATNNNETF IPNDGTFCADIDECEDSGLCRHGGRCVNTPGSFACYCMEGYVAKNGPEPFHPRTDATSCT EIDCGIPPEVPEGYVVGSYSSTLGGQAHYSCKEGFLSVSGDRISRCTALGVWEPPKLHCQ EISCGSPPEIQNAILVGNHSSRQGSVAHYDCQEGFESPGGKITSVCTEKGAWSEITYACT EIMIEIHDVLVFNDTCVRWQISPERVNARIVYLIRVERQQPDAAESVYEETVNVTTDSRT PEVCLHLQQGTNYTISISAAPPRRSVPAILGFQTPEDDLLEDDGLFNISVFNETCLTLSR HSRQVGSEQMYQVEVLGQRWYLESSYHAALFNFTTRDRAPEVCLDLYPATDYTINITLLR PTEPRSAQITITTSVTAKQTIANISVYNDTCLRWSSLKTADVLEMYLFHIWGQRWYQKAF VQEMVFNTTSSSQDPEICLDLHQGTSYNVSLQALSSALPVVIYLTTQITEPPLPEVDFFT VRGRPLPRFTLRKVKEINGPISSYQVLVLPLSLQSTFSCDSEGMTSFFGNTSPADGYVAA EILASDVPDDTLEISIGDRLYYGEYYNAPLKTGNEYCILLRITSEWNKVRRYSCAVWAEV KDSSLTAQQMVGVGLGSVAFVTILAFFSFSAV >ENSMUSP00000085499.3 pep:known chromosome:GRCm38:1:36068400:36106446:1 gene:ENSMUSG00000045216.7 transcript:ENSMUST00000088174.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs6st1 description:heparan sulfate 6-O-sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1354958] MRRRRAGGRTMVERASKFVLVVAGSACFMLILYQYAGPGLSLGAPGGRVPPDDLDLFPTP DPHYEKKYYFPVRELERSLRFDMKGDDVIVFLHIQKTGGTTFGRHLVQNVRLEVPCDCRP GQKKCTCYRPNRRETWLFSRFSTGWSCGLHADWTELTNCVPGVLDRRDPAGLRSPRKFYY ITLLRDPVSRYLSEWRHVQRGATWKTSLHMCDGRTPTPEELPPCYEGTDWSGCTLQEFMD CPYNLANNRQVRMLADLSLVGCYNLSFIPESKRAQLLLESAKKNLRGMAFFGLTEFQRKT QYLFERTFNLKFIRPFMQYNSTRAGGVEVDEDTIRHIEELNDLDMQLYDYAKDLFQQRYQ YKRQLERREQRLRNREERLLHRSKEALPREDPEEPGRVPTEDYMSHIIEKW >ENSMUSP00000134203.1 pep:known chromosome:GRCm38:5:146167976:146170721:-1 gene:ENSMUSG00000096798.1 transcript:ENSMUST00000174320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6309 description:predicted gene 6309 [Source:MGI Symbol;Acc:MGI:3643357] MFSCFQGSRGSGHKKAKRGFLVRFWRHLIRPLTHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYIHQMIHYIPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKRNVPSSDVDRARELLSVL EDQEAIELQIEQDFATAPEPSEQDASGRQETLAPRPASETEPQGDKQPREDPELVKGAIL DPFEPKATIELPLSLHQAVPASDGTLSPVDVTADEATGVAADFAADVSPARQLFVSYTVQ LGTPDFVFPLPEVDI >ENSMUSP00000083205.5 pep:known chromosome:GRCm38:7:19581035:19604486:-1 gene:ENSMUSG00000061028.7 transcript:ENSMUST00000086041.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasrp description:CLK4-associating serine/arginine rich protein [Source:MGI Symbol;Acc:MGI:1855695] MWHEARKHERKLRGMMVDYKKRAERRREYYEKIKKDPAQFLQVHGRACKVHLDSAVALAA ESPVNMMPWQGDTNNMIDRFDVRAHLDHIPDYTPPLLTTISPEQESDERKCNYERYRGLV QNDFAGISEEQCLYQIYIDELYGGLQRPSEDEKKKLAEKKASIGYTYEDSTVAEVEKVAE KPEEEESPAEEESNSDEDEVIPDIDVEVDVDELNQEQVADLNKQATTYGMADGDFVRMLR KDKEEAEAIKHAKALEEEKAMYSGRRSRRQRREFREKRLRGRKISPPSYARRDSPTYDPY KRSPSESSSESRSRSRSPSPGREEKITFITSFGGSDEEAAAAAAAAAASGAAPGKPPAPP QTGGPAPGRNASTRRRSSSSSASRTSSSRSSSRSSSRSRRGYYRSGRHARSRSRSWSRSR SRSRRYSRSRSRGRRHSDGGSRDGHRYSRSPARRGGYVPRRRSRSRSRSGDRYKRGARGP RHHSSSHSRSSWSLSPSRSRSVTRSGSRSQSRSRSRSQSHSQSQSHSPSPPREKLTRPAA SPAVGEKLKKTEPAAGKETGAAKPKLTPQERLKLRMQKALNRQFKADKKAAQEKMIQQEH ERQEREDELRAMARKIRMKERERREKEREEWERQYSRQSRSPSPRYSREYSSSRRRSRSR SRSPHYRH >ENSMUSP00000146982.1 pep:known chromosome:GRCm38:7:19581043:19604405:-1 gene:ENSMUSG00000061028.7 transcript:ENSMUST00000207907.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clasrp description:CLK4-associating serine/arginine rich protein [Source:MGI Symbol;Acc:MGI:1855695] MWHEARKHERKLRGMMVDYKKRAERRREYYEKIKKDPAQFLQVHGRACKVHLDSAVALAA ESPVNMMPWQGDTNNMIDRFDVRAHLDHIPDYTPPLLTTISPEQESDERKCNYERYRGLV QNDFAGISEEQCLYQIYIDELYGGLQRPSEDEKKKLAEKKASIGYTYEDSTVAEVEKVAE KPEEEESPAEEESNSDEDEVIPDIDVEVDVDELNQEQVADLNKQATTYGMADGDFVRMLR KDKEEAEAIKHAKALEEEKAMYSGRRSRRQRREFREKRLRGRKISPPSYARRDSPTYDPY KRSPSESSSESRSRSRSPSPGREEKITFITSFGGSDEEAAAAAAAAAASGAAPGKPPAPP QTGGPAPGRNASTRRRSSSSSASRTSSSRSSSRSSSRSRRGYYRSGRHARSRSRSWSRSR SRSRRYSRSRSRGRRHSDGGSRDGHRYSRSPARRGGYVPRRRSRSRSRSGDRYKRGARGP RHHSSSHSRSSWSLSPSRSRSVTRSGSRSQSRSRSRSQSHSQSQSHSPSPPREKLTRPAA SPAVGEKLKKTEPAAGKETGAAKVSKNLELARLTPVSSSVQSWARWACRGPRGRGEPAQR LGKGYPGKLSPIPASHGTPAGPQVPDS >ENSMUSP00000147069.1 pep:known chromosome:GRCm38:7:19581043:19604452:-1 gene:ENSMUSG00000061028.7 transcript:ENSMUST00000207663.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clasrp description:CLK4-associating serine/arginine rich protein [Source:MGI Symbol;Acc:MGI:1855695] MWHEARKHERKLRGMMVDYKKRAERRREYYEKILPGTGVRRAEM >ENSMUSP00000147103.1 pep:known chromosome:GRCm38:7:19581043:19604461:-1 gene:ENSMUSG00000061028.7 transcript:ENSMUST00000208068.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clasrp description:CLK4-associating serine/arginine rich protein [Source:MGI Symbol;Acc:MGI:1855695] MWHEARKHERKLRGMMVDYKKRAERRREYYEKIKKDPAQFLQVHGRACKVHLDSAVALAA ESPVNMMPWQGDTNNMIDRFDVRAHLDHIPDYTPPLLTTISPEQESDERKCNYERYRGLV QNDFAGISEEQCLYQIYIDELYGGLQRPSEDEKKKLAEKKASIGYTYEDSTVAEVEKVAE KPEEEESPAEEESNSDEDEVIPDIDVEVDVDELNQEQVADLNKQATTYGMADGDFVRMLR KDKEEAEAIKHAKALEEEKAMYSGRRSRRQRREFREKRLRGRKISPPSYARRDSPTYDPY KRSPSESSSESRSRSRSPSPGREEKITFITSFGGSDEEAAAAAAAAAASGAAPGKPPAPP QTGGPAPGRNASTRRRSSSSSASRTSSSRSSSRSSSRSRRGYYRSGRHARSRSRSWSRSR SRSRRYSRSRSRGRRHSDGGSRDGHRYSRSPARRGGYVPRRRSRSRSRSGDRYKRGARGP RHHSSSHSRSSWSLSPSRSRSVTRSGSRSQSRSRSRSQSHSQSQSHSPSPPREKLTRPAA SPAVGEKLKKTEPAAGKETGAAKVTQADPTGEAEASDAEGSEPPVQGG >ENSMUSP00000146794.1 pep:known chromosome:GRCm38:7:19584155:19604463:-1 gene:ENSMUSG00000061028.7 transcript:ENSMUST00000207524.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clasrp description:CLK4-associating serine/arginine rich protein [Source:MGI Symbol;Acc:MGI:1855695] MWHEARKHERKLRGMMVDYKKRAERRREYYEKIKKDPAQFLQVHGRACKVHLDSAVALAA ESPVNMMPWQGDTNNMIDRFDVRAHLDHIPDYTPPLLTTISPEQESDERKCNYERYRGLV QNDFAGISEEQCLYQIYIDELYGGLQRPSEDEKKKLAEKKASIGYTYEDSTVAEVEKVAE KPEEEESPAEEESNSDEDETWRWMWMS >ENSMUSP00000020664.6 pep:known chromosome:GRCm38:11:46325150:46389515:-1 gene:ENSMUSG00000020395.13 transcript:ENSMUST00000020664.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itk description:IL2 inducible T cell kinase [Source:MGI Symbol;Acc:MGI:96621] MNNFILLEEQLIKKSQQKRRTSPSNFKVRFFVLTKASLAYFEDRHGKKRTLKGSIELSRI KCVEIVKSDISIPCHYKYPFQVVHDNYLLYVFAPDCESRQRWVLTLKEETRNNNSLVSKY HPNFWMDGRWRCCSQLEKPAVGCAPYDPSKNASKKPLPPTPEDNRRSFQEPEETLVIALY DYQTNDPQELALRCDEEYYLLDSSEIHWWRVQDKNGHEGYAPSSYLVEKSPNNLETYEWY NKSISRDKAEKLLLDTGKEGAFMVRDSRTPGTYTVSVFTKAIISENPCIKHYHIKETNDS PKRYYVAEKYVFDSIPLLIQYHQYNGGGLVTRLRYPVCSWRQKAPVTAGLRYGKWVIQPS ELTFVQEIGSGQFGLVHLGYWLNKDKVAIKTIQEGAMSEEDFIEEAEVMMKLSHPKLVQL YGVCLEQAPICLVFEFMEHGCLSDYLRSQRGLFAAETLLGMCLDVCEGMAYLEKACVIHR DLAARNCLVGENQVIKVSDFGMTRFVLDDQYTSSTGTKFPVKWASPEVFSFSRYSSKSDV WSFGVLMWEVFSEGKIPYENRSNSEVVEDISTGFRLYKPRLASCHVYQIMNHCWKEKPED RPPFSQLLSQLAEIAEAGL >ENSMUSP00000098864.3 pep:known chromosome:GRCm38:11:46344478:46389457:-1 gene:ENSMUSG00000020395.13 transcript:ENSMUST00000101306.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itk description:IL2 inducible T cell kinase [Source:MGI Symbol;Acc:MGI:96621] MNNFILLEEQLIKKSQQKRRTSPSNFKVRFFVLTKASLAYFEDRHGKKRTLKGSIELSRI KCVEIVKSDISIPCHYKYPFQVVHDNYLLYVFAPDCESRQRWVLTLKEETRNNNSLVSKY HPNFWMDGRWRCCSQLEKPAVGCAPYDPSKNASKKPLPPTPEDNRRSFQEPEETLVIALY DYQTNDPQELALRCDEEYYLLDSSEIHWWRVQDKNGHEGYAPSSYLVEKSPNNLETYEWY NKSISRDKAEKLLLDTVKKWTRIL >ENSMUSP00000104860.2 pep:known chromosome:GRCm38:11:46325150:46389493:-1 gene:ENSMUSG00000020395.13 transcript:ENSMUST00000109237.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itk description:IL2 inducible T cell kinase [Source:MGI Symbol;Acc:MGI:96621] MNNFILLEEQLIKKSQQKRRTSPSNFKVRFFVLTKASLAYFEDRHGKKRTLKGSIELSRI KCVEIVKSDISIPCHYKYPFQTLVYLQVVHDNYLLYVFAPDCESRQRWVLTLKEETRNNN SLVSKYHPNFWMDGRWRCCSQLEKPAVGCAPYDPSKNASKKPLPPTPEDNRRSFQEPEET LVIALYDYQTNDPQELALRCDEEYYLLDSSEIHWWRVQDKNGHEGYAPSSYLVEKSPNNL ETYEWYNKSISRDKAEKLLLDTGKEGAFMVRDSRTPGTYTVSVFTKAIISENPCIKHYHI KETNDSPKRYYVAEKYVFDSIPLLIQYHQYNGGGLVTRLRYPVCSWRQKAPVTAGLRYGK WVIQPSELTFVQEIGSGQFGLVHLGYWLNKDKVAIKTIQEGAMSEEDFIEEAEVMMKLSH PKLVQLYGVCLEQAPICLVFEFMEHGCLSDYLRSQRGLFAAETLLGMCLDVCEGMAYLEK ACVIHRDLAARNCLVGENQVIKVSDFGMTRFVLDDQYTSSTGTKFPVKWASPEVFSFSRY SSKSDVWSFGVLMWEVFSEGKIPYENRSNSEVVEDISTGFRLYKPRLASCHVYQIMNHCW KEKPEDRPPFSQLLSQLAEIAEAGL >ENSMUSP00000122045.1 pep:known chromosome:GRCm38:16:16860671:16863817:-1 gene:ENSMUSG00000075370.10 transcript:ENSMUST00000124890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igll1 description:immunoglobulin lambda-like polypeptide 1 [Source:MGI Symbol;Acc:MGI:96529] LGLVDGVHHILSPSSAERSRAVGPGASVGSNRPSLWALPGRLLFQIIPRGAGPRCSPHRL PSKPQFWYVFGGGTQLTILGQPKSDPLVTLFLPSLKNLQANKATLVCLVSEFYPGTLVVD WKVDGVPVTQGVETTQPSKQTNNKYMVSSYLTLISDQWMPHSRYSCRVTHEGNTVEKSVS PAECS >ENSMUSP00000097713.3 pep:known chromosome:GRCm38:16:16860671:16863985:-1 gene:ENSMUSG00000075370.10 transcript:ENSMUST00000100136.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igll1 description:immunoglobulin lambda-like polypeptide 1 [Source:MGI Symbol;Acc:MGI:96529] MKLRVGQTLGTIPRQCEVLLLLLLLGLVDGVHHILSPSSAERSRAVGPGASVGSNRPSLW ALPGRLLFQIIPRGAGPRCSPHRLPSKPQFWYVFGGGTQLTILGQPKSDPLVTLFLPSLK NLQANKATLVCLVSEFYPGTLVVDWKVDGVPVTQGVETTQPSKQTNNKYMVSSYLTLISD QWMPHSRYSCRVTHEGNTVEKSVSPAECS >ENSMUSP00000040684.9 pep:known chromosome:GRCm38:3:132881745:132950291:-1 gene:ENSMUSG00000040998.18 transcript:ENSMUST00000042744.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npnt description:nephronectin [Source:MGI Symbol;Acc:MGI:2148811] MAVLLAAVLASSLYLQVAADFDGRWPRQIVSSIGLCRYGGRIDCCWGWARQSWGQCQPVC QPQCKHGECVGPNKCKCHPGFAGKTCNQDLNECGLKPRPCKHRCMNTFGSYKCYCLNGYM LLPDGSCSSALSCSMANCQYGCDVVKGQVRCQCPSPGLQLAPDGRTCVDIDECATGRVSC PRFRQCVNTFGSYICKCHTGFDLMYIGGKYQCHDIDECSLGQHQCSSYARCYNIHGSYKC QCRDGYEGDGLNCVYIPKVMIEPSGPIHMPERNGTISKGDGGHANRIPDAGSTRWPLKTP YIPPVITNRPTSKPTTRPTPNPTPQPTPPPPPPLPTEPRTTPLPPTPERPSTRPTTIAPA TSTTTRVITVDNRIQTDPQKPRGDVFIPRQPTNDLFEIFEIERGVSADEEVKDDPGILIH SCNFDHGLCGWIREKDSDLHWETARDPAGGQYLTVSAAKAPGGKAARLVLRLGHLMHSGD LCLSFRHKVTGLHSGTLQVFVRKHGTHGAALWGRNGGHGWRQTQITLRGADVKSVIFKGE KRRGHTGEIGLDDVSLKRGRC >ENSMUSP00000113752.1 pep:known chromosome:GRCm38:3:132881745:132950291:-1 gene:ENSMUSG00000040998.18 transcript:ENSMUST00000117811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npnt description:nephronectin [Source:MGI Symbol;Acc:MGI:2148811] MAVLLAAVLASSLYLQVAADFDGRWPRQIVSSIGLCRYGGRIDCCWGWARQSWGQCQPVC QPQCKHGECVGPNKCKCHPGFAGKTCNQDLNECGLKPRPCKHRCMNTFGSYKCYCLNGYM LLPDGSCSSALSCSMANCQYGCDVVKGQVRCQCPSPGLQLAPDGRTCVDIDECATGRVSC PRFRQCVNTFGSYICKCHTGFDLMYIGGKYQCHDIDECSLGQHQCSSYARCYNIHGSYKC QCRDGYEGDGLNCVYIPKVMIEPSGPIHMPERNGTISKGDGGHANRIPDAGSTRWPLKTP YIPPVITNRPTSKPTTRPTPNPTPQPTPPPPPPLPTEPRTTPLPPTPERPSTRPTTIAPA TSTTTRVITVDNRIQTDPQKPRGDVFSILIHSCNFDHGLCGWIREKDSDLHWETARDPAG GQYLTVSAAKAPGGKAARLVLRLGHLMHSGDLCLSFRHKVTGLHSGTLQVFVRKHGTHGA ALWGRNGGHGWRQTQITLRGADVKSVIFKGEKRRGHTGEIGLDDVSLKRGRC >ENSMUSP00000040071.9 pep:known chromosome:GRCm38:3:132882683:132950160:-1 gene:ENSMUSG00000040998.18 transcript:ENSMUST00000042729.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npnt description:nephronectin [Source:MGI Symbol;Acc:MGI:2148811] MAVLLAAVLASSLYLQVAADFDGRWPRQIVSSIGLCRYGGRIDCCWGWARQSWGQCQPFY VLRQRLARIRCQLKAVCQPQCKHGECVGPNKCKCHPGFAGKTCNQDLNECGLKPRPCKHR CMNTFGSYKCYCLNGYMLLPDGSCSSALSCSMANCQYGCDVVKGQVRCQCPSPGLQLAPD GRTCVDIDECATGRVSCPRFRQCVNTFGSYICKCHTGFDLMYIGGKYQCHDIDECSLGQH QCSSYARCYNIHGSYKCQCRDGYEGDGLNCVYIPKVMIEPSGPIHMPERNGTISKGDGGH ANRIPDAGSTRWPLKTPYIPPVITNRPTSKPTTRPTPNPTPQPTPPPPPPLPTEPRTTPL PPTPERPSTRPTTIAPATSTTTRVITVDNRIQTDPQKPRGDVFIPRQPTNDLFEIFEIER GVSADEEVKDDPGILIHSCNFDHGLCGWIREKDSDLHWETARDPAGGQYLTVSAAKAPGG KAARLVLRLGHLMHSGDLCLSFRHKVTGLHSGTLQVFVRKHGTHGAALWGRNGGHGWRQT QITLRGADVKSVIFKGEKRRGHTGEIGLDDVSLKRGRC >ENSMUSP00000112816.1 pep:known chromosome:GRCm38:3:132882699:132949814:-1 gene:ENSMUSG00000040998.18 transcript:ENSMUST00000117456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npnt description:nephronectin [Source:MGI Symbol;Acc:MGI:2148811] MNTFGSYKCYCLNGYMLLPDGSCSSALSCSMANCQYGCDVVKGQVRCQCPSPGLQLAPDG RTCVDIDECATGRVSCPRFRQCVNTFGSYICKCHTGFDLMYIGGKYQCHDIDECSLGQHQ CSSYARCYNIHGSYKCQCRDGYEGDGLNCVYIPKVMIEPSGPIHMPERNGTISKGDGGHA NRIPDAGSTRWPLKTPYIPPVITNRPTSKPTTRPTPNPTPQPTPPPPPPLPTEPRTTPLP PTPERPSTRPTTIAPATSTTTRVITVDNRIQTDPQKPRGDVFIPRQPTNDLFEIFEIERG VSADEEVKDDPGILIHSCNFDHGLCGWIREKDSDLHWETARDPAGGQYLTVSAAKAPGGK AARLVLRLGHLMHSGDLCLSFRHKVTGLHSGTLQVFVRKHGTHGAALWGRNGGHGWRQTQ ITLRGADVKSVIFKGEKRRGHTGEIGLDDVSLKRGRC >ENSMUSP00000113419.1 pep:known chromosome:GRCm38:3:132884430:132950043:-1 gene:ENSMUSG00000040998.18 transcript:ENSMUST00000117164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npnt description:nephronectin [Source:MGI Symbol;Acc:MGI:2148811] MAVLLAAVLASSLYLQVAADFDGRWPRQIVSSIGLCRYGGRIDCCWGWARQSWGQCQPVC QPQCKHGECVGPNKCKCHPGFAGKTCNQDESFHPTPLDQGSEQPLFQPPDHQATNVPSRD LNECGLKPRPCKHRCMNTFGSYKCYCLNGYMLLPDGSCSSALSCSMANCQYGCDVVKGQV RCQCPSPGLQLAPDGRTCVDIDECATGRVSCPRFRQCVNTFGSYICKCHTGFDLMYIGGK YQCHDIDECSLGQHQCSSYARCYNIHGSYKCQCRDGYEGDGLNCVYIPKVMIEPSGPIHM PERNGTISKGDGGHANRIPDAGSTRWPLKTPYIPPVITNRPTSKPTTRPTPNPTPQPTPP PPPPLPTEPRTTPLPPTPERPSTRPTTIAPATSTTTRVITVDNRIQTDPQKPRGDVFIPR QPTNDLFEIFEIERGVSADEEVKDDPGILIHSCNFDHGLCGWIREKDSDLHWETARDPAG GQYLTVSAAKAPGGKAARLVLRLGHLMHSGDLCLSFRHKVTGLHSGTLQVFVRKHGTHGA ALWGRNGGHGWRQTQITLRGADVKSVIFKGEKRRGHTGEIGLDDVSLKRGRC >ENSMUSP00000091505.4 pep:known chromosome:GRCm38:3:132884430:132950043:-1 gene:ENSMUSG00000040998.18 transcript:ENSMUST00000093971.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npnt description:nephronectin [Source:MGI Symbol;Acc:MGI:2148811] MAVLLAAVLASSLYLQVAADFDGRWPRQIVSSIGLCRYGGRIDCCWGWARQSWGQCQPFY VLRQRLARIRCQLKAVCQPQCKHGECVGPNKCKCHPGFAGKTCNQDESFHPTPLDQGSEQ PLFQPPDHQATNVPSRDLNECGLKPRPCKHRCMNTFGSYKCYCLNGYMLLPDGSCSSALS CSMANCQYGCDVVKGQVRCQCPSPGLQLAPDGRTCVDIDECATGRVSCPRFRQCVNTFGS YICKCHTGFDLMYIGGKYQCHDIDECSLGQHQCSSYARCYNIHGSYKCQCRDGYEGDGLN CVYIPKVMIEPSGPIHMPERNGTISKGDGGHANRIPDAGSTRWPLKTPYIPPVITNRPTS KPTTRPTPNPTPQPTPPPPPPLPTEPRTTPLPPTPERPSTRPTTIAPATSTTTRVITVDN RIQTDPQKPRGDVFIPRQPTNDLFEIFEIERGVSADEEVKDDPGILIHSCNFDHGLCGWI REKDSDLHWETARDPAGGQYLTVSAAKAPGGKAARLVLRLGHLMHSGDLCLSFRHKVTGL HSGTLQVFVRKHGTHGAALWGRNGGHGWRQTQITLRGADVKSVIFKGEKRRGHTGEIGLD DVSLKRGRC >ENSMUSP00000087197.2 pep:known chromosome:GRCm38:15:73090392:73094075:1 gene:ENSMUSG00000068391.8 transcript:ENSMUST00000089765.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrac1 description:chromatin accessibility complex 1 [Source:MGI Symbol;Acc:MGI:2135796] MADAAVGKEKCGDQRLVSLPLSRIRVIMKSSPEVSSINQEALVLTAKATELFVQYLATCS YRHGSGKAKKALTYSDLASTAEDSETLQFLADILPKKILASKYLKMLKEKREEEEDNEDD GSDLGEALA >ENSMUSP00000120833.1 pep:known chromosome:GRCm38:15:73090392:73097554:1 gene:ENSMUSG00000068391.8 transcript:ENSMUST00000134353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrac1 description:chromatin accessibility complex 1 [Source:MGI Symbol;Acc:MGI:2135796] MADAAVGKEKCGDQRLVSLPLSRIRVIMKSSPEVSSINQEALVLTAKATELFVQYLATCS YRHGSGKAKKALTYSDLASTAEDSETLQFLAECS >ENSMUSP00000073399.5 pep:known chromosome:GRCm38:5:146182441:146185304:-1 gene:ENSMUSG00000095040.2 transcript:ENSMUST00000073721.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001J03Rik description:RIKEN cDNA 1700001J03 gene [Source:MGI Symbol;Acc:MGI:1916532] MFSCFQGSRGSSHKKAKSGFLVRFWRRLIRPLSHFRHASHSEPKVCSQNEQEPDCMPRRP RFNYNSPEYVVQMIHYIPAAVQHNDHVCIRIFLAMYPSYASTWKVLDLLMTTYASFRPDC VEDQQTKSDVFSFLFHWFKKFPKDFCESPDLDVVRQFIDYVRRNVPSADEDTQARELLSV LEEQEAIALNTEEVVQSTYVLPMDCIFETNRLISEMGPS >ENSMUSP00000113867.1 pep:known chromosome:GRCm38:7:43607169:43618216:1 gene:ENSMUSG00000055102.15 transcript:ENSMUST00000120935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp819 description:zinc finger protein 819 [Source:MGI Symbol;Acc:MGI:1921650] MKKVKDSPMGKVDFPHQRCGQGEAEHDSHQMCVKAAFPNDVSRVITTGGSYCSIIEEPWQ GGDPKTSDPQNHIPPSSSGAFFSQKTLITDGREAAGGSIPLGAHVISTQKRSLRCCPCEK TLQPHLQADRDHQSSATEQPDGIVDSCQSFTQGLPTAVCPIHSTGEEARRGDQCASVPSP QQPFMQHGTLSQDKPVEYTKYEKVFTAGSALCQQQTTNPLETHFICHICGKSFLQKSELS FHPGTDRGETHHGCPGCRKSLPSTSSLQARHGTHTKEKPYRCPDCGKSFSYASHLKIHLR IHTGERPYVCSDCGKAFSQKSVLTTHRRIHTGERPYTCNHCGKLFVYASDLKKHSRFHTG EKPYECRDCGKLFNNKSHLPVHYRIHTGEKPYRCCDCGKSFRRKSHLKVHSRTHTGEKPY ECPDCGRAFSHSSVLSTHQRIHTGERPYLCSDCGKAMSSKAQLNEHRRIHTGEKPYVCSE CGKAFSCRSSLQAHRRTHSREKPFVCHTCGKGFLHKSQLSSHQQTHTGENP >ENSMUSP00000116658.1 pep:known chromosome:GRCm38:7:43607209:43612644:1 gene:ENSMUSG00000055102.15 transcript:ENSMUST00000127765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp819 description:zinc finger protein 819 [Source:MGI Symbol;Acc:MGI:1921650] MAADMNFCQKLQRLGTEEQDGPCERLVSFEDVTVNFSQEEWQHLDSAQRRLYQDVLLEIY SHLLVVGYSRPEVILKMKKVKDSPMGKVDFPHQRCGQGE >ENSMUSP00000032661.6 pep:known chromosome:GRCm38:7:43607225:43618285:1 gene:ENSMUSG00000055102.15 transcript:ENSMUST00000032661.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp819 description:zinc finger protein 819 [Source:MGI Symbol;Acc:MGI:1921650] MAADMNFCQKLQRLGTEEQDGPCERLVSFEDVTVNFSQEEWQHLDSAQRRLYQDVLLEIY SHLLVVGYSRPEVILKMKKVKDSPMGKVDFPHQRCGQGEAEHDSHQMCVKAAFPNDVSRV ITTGGSYCSIIEEPWQGGDPKTSDPQNHIPPSSSGAFFSQKTLITDGREAAGGSIPLGAH VISTQKRSLRCCPCEKTLQPHLQADRDHQSSATEQPDGIVDSCQSFTQGLPTAVCPIHST GEEARRGDQCASVPSPQQPFMQHGTLSQDKPVEYTKYEKVFTAGSALCQQQTTNPLETHF ICHICGKSFLQKSELSFHPGTDRGETHHGCPGCRKSLPSTSSLQARHGTHTKEKPYRCPD CGKSFSYASHLKIHLRIHTGERPYVCSDCGKAFSQKSVLTTHRRIHTGERPYTCNHCGKL FVYASDLKKHSRFHTGEKPYECRDCGKLFNNKSHLPVHYRIHTGEKPYRCCDCGKSFRRK SHLKVHSRTHTGEKPYECPDCGRAFSHSSVLSTHQRIHTGERPYLCSDCGKAMSSKAQLN EHRRIHTGEKPYVCSECGKAFSCRSSLQAHRRTHSREKPFVCHTCGKGFLHKSQLSSHQQ THTGENP >ENSMUSP00000112026.2 pep:known chromosome:GRCm38:7:43609907:43617918:1 gene:ENSMUSG00000055102.15 transcript:ENSMUST00000116324.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp819 description:zinc finger protein 819 [Source:MGI Symbol;Acc:MGI:1921650] MAADMNFCQKLQRLGTEEQDGPCERLVSFEDVTVNFSQEEWQHLDSAQRRLYQDVLLEIY SHLLVVGYSRPEVILKMKKVKDSPMGKVDFPHQRCGQGEAEHDSHQMCVKAAFPNDVSRV ITTGGSYCSIIEEPWQGGDPKTSDPQNHIPPSSSGAFFSQKTLITDGREAAGGSIPLGAH VISTQKRSLRCCPCEKTLQPHLQADRDHQSSATEQPDGIVDSCQSFTQGLPTAVCPIHST GEEARRGDQCASVPSPQQPFMQHGTLSQDKPVEYTKYEKVFTAGSALCQQQTTNPLETHF ICHICGKSFLQKSELSFHPGTDRGETHHGCPGCRKSLPSTSSLQARHGTHTKEKPYRCPD CGKSFSYASHLKIHLRIHTGERPYVCSDCGKAFSQKSVLTTHRRIHTGERPYTCNHCGKL FVYASDLKKHSRFHTGEKPYECRDCGKLFNNKSHLPVHYRIHTGEKPYRCCDCGKSFRRK SHLKVHSRTHTGEKPYECPDCGRAFSHSSVLSTHQRIHTGERPYLCSDCGKAMSSKAQLN EHRRIHTGEKPYVCSECGKAFSCRSSLQAHRRTHSREKPFVCHTCGKGFLHKSQLSSHQQ THTGENP >ENSMUSP00000031486.7 pep:known chromosome:GRCm38:5:116013586:116024462:-1 gene:ENSMUSG00000029513.14 transcript:ENSMUST00000031486.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkab1 description:protein kinase, AMP-activated, beta 1 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336167] MGNTSSERAALERQAGHKTPRRDSSGGAKDGDRPKILMDSPEDADIFHSEEIKAPEKEEF LAWQHDLEANDKAPAQARPTVFRWTGGGKEVYLSGSFNNWSKLPLTRSQNNFVAILDLPE GEHQYKFFVDGQWTHDPSEPIVTSQLGTVNNIIQVKKTDFEVFDALMVDSQKCSDVSELS SSPPGPYHQEPYMSKPEERFKAPPILPPHLLQVILNKDTGISCDPALLPEPNHVMLNHLY ALSIKDGVMVLSATHRYKKKYVTTLLYKPI >ENSMUSP00000107630.1 pep:known chromosome:GRCm38:5:116013590:116024508:-1 gene:ENSMUSG00000029513.14 transcript:ENSMUST00000111999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkab1 description:protein kinase, AMP-activated, beta 1 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336167] MGNTSSERAALERQAGHKTPRRDSSGGAKDGDRPKILMDSPEDADIFHSEEIKAPEKEEF LAWQHDLEANDKAPAQARPTVFRWTGGGKEVYLSGSFNNWSKLPLTRSQNNFVAILDLPE GEHQYKFFVDGQWTHDPSEPIVTSQLGTVNNIIQVKKTDFEVFDALMVDSQKCSDVSELS SSPPGPYHQEPYMSKPEERFKAPPILPPHLLQVILNKDTGISCDPALLPEPNHVMLNHLY ALSIKDGVMVLSATHRYKKKYVTTLLYKPI >ENSMUSP00000138749.1 pep:known chromosome:GRCm38:5:116014435:116024356:-1 gene:ENSMUSG00000029513.14 transcript:ENSMUST00000133098.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkab1 description:protein kinase, AMP-activated, beta 1 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336167] MGNTSSERAALERQAGHKTPRRDSSGGAKDGDRPKILMDSPEDADIFHSEEIKAPEKEEF LAWQHDLEANDKAPAQARPTVFRWTGGGKEVYLSGSFNNWSKLPLTRSQNNFVAILDLPE GEHQYKFFVDGQWTHDPSESCPARPQDPTTRSLTCLNQKRGSKPRPSSRHTCCRSS >ENSMUSP00000138221.1 pep:known chromosome:GRCm38:5:116014650:116024451:-1 gene:ENSMUSG00000029513.14 transcript:ENSMUST00000148208.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkab1 description:protein kinase, AMP-activated, beta 1 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336167] MGNTSSERAALERQAGHKTPRRDSSGGAKDGDRPKILMDSPEDADIFHSEEIKAPEKEEF LAWQHDLEANDKAPAQARPTVFRWTGGGKEVYLSGSFNNWSKLPLTRSQNNFVAILDLPE GEHQYKFFVDGQWTHDPSESCPARPQDPTTRSLTCLNQKRGSKPRPSSRHTCCRSS >ENSMUSP00000116454.1 pep:known chromosome:GRCm38:4:120921196:120922024:-1 gene:ENSMUSG00000028629.9 transcript:ENSMUST00000144114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo5 description:exonuclease 5 [Source:MGI Symbol;Acc:MGI:1920422] YFQVSLYKYIFDAMVQGKVTPASLIHHTKLCLDKPLGPSVLRHARQGGVSVKSLGDLMEL VFLSLTLSDLPAIDTLKLEYIHQETATILGTEIVAFEEKEVKSKVQHYVAYWMGHRDPQG VDVEEAWKCRTCDYVDICEWRRGSGVLSSSWEPKAKKFK >ENSMUSP00000030375.2 pep:known chromosome:GRCm38:4:120921196:120925005:-1 gene:ENSMUSG00000028629.9 transcript:ENSMUST00000030375.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo5 description:exonuclease 5 [Source:MGI Symbol;Acc:MGI:1920422] MAETGEEETASAEASGFSDLSDSELVEFLDLEEAKESAVSLSKPGPSAELPGKDDKPVSL QNWKGGLDVLSPMERFHLKYLYVTDLCTQNWCELQMVYGKELPGSLTPEKAAVLDTGASI HLAKELELHDLVTVPIATKEDAWAVKFLNILAMIPALQSEGRVREFPVFGEVEGIFLVGV IDELHYTSKGELELAELKTRRRPVLPLPAQKKKDYFQVSLYKYIFDAMVQGKVTPASLIH HTKLCLDKPLGPSVLRHARQGGVSVKSLGDLMELVFLSLTLSDLPAIDTLKLEYIHQETA TILGTEIVAFEEKEVKSKVQHYVAYWMGHRDPQGVDVEEAWKCRTCDYVDICEWRRGSGV LSSSWEPKAKKFK >ENSMUSP00000118041.1 pep:known chromosome:GRCm38:4:120922269:120925017:-1 gene:ENSMUSG00000028629.9 transcript:ENSMUST00000156836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo5 description:exonuclease 5 [Source:MGI Symbol;Acc:MGI:1920422] MAETGEEETASAEASGFSDLSDSELVEFLDLEEAKESAVSLSKPGPSAELPGKDDKPVSL QNWKGGLDVLSPMERFHLKYLYVTDLCTQNWCELQMVYGKELPGSLTPEKAAVLDTGASI HLAKELELHDLVT >ENSMUSP00000136408.1 pep:known chromosome:GRCm38:4:120921202:120925005:-1 gene:ENSMUSG00000028629.9 transcript:ENSMUST00000177880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exo5 description:exonuclease 5 [Source:MGI Symbol;Acc:MGI:1920422] MAETGEEETASAEASGFSDLSDSELVEFLDLEEAKESAVSLSKPGPSAELPGKDDKPVSL QNWKGGLDVLSPMERFHLKYLYVTDLCTQNWCELQMVYGKELPGSLTPEKAAVLDTGASI HLAKELELHDLVTVPIATKEDAWAVKFLNILAMIPALQSEGRVREFPVFGEVEGIFLVGV IDELHYTSKGELELAELKTRRRPVLPLPAQKKKDYFQVSLYKYIFDAMVQGKVTPASLIH HTKLCLDKPLGPSVLRHARQGGVSVKSLGDLMELVFLSLTLSDLPAIDTLKLEYIHQETA TILGTEIVAFEEKEVKSKVQHYVAYWMGHRDPQGVDVEEAWKCRTCDYVDICEWRRGSGV LSSSWEPKAKKFK >ENSMUSP00000104679.1 pep:known chromosome:GRCm38:2:175275125:175286805:1 gene:ENSMUSG00000078901.8 transcript:ENSMUST00000109051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14440 description:predicted gene 14440 [Source:MGI Symbol;Acc:MGI:3702430] MDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSR SHGR >ENSMUSP00000096596.3 pep:known chromosome:GRCm38:2:175283298:175283694:1 gene:ENSMUSG00000078901.8 transcript:ENSMUST00000098998.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14440 description:predicted gene 14440 [Source:MGI Symbol;Acc:MGI:3702430] DLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSRS HGR >ENSMUSP00000141516.1 pep:known chromosome:GRCm38:9:107888151:107903134:1 gene:ENSMUSG00000032583.7 transcript:ENSMUST00000191906.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mon1a description:MON1 homolog A (yeast) [Source:MGI Symbol;Acc:MGI:1920075] MAADMQRKRSSECPEGTLAPSNGQSVERAESPTPGLTQGTEPGAGQEGAMFVHTRSYEDL TELEDREASGDSPKECVGSPPPLATDMRQISQDFSELSTQLTGVARDLQEEMLPGSSEDW PEPQGAAGRGAATEPSQEGSTEGEEEDATEAWRLHQKHVFVLSEAGKPVYSRYGSEEALS STMGVMVALVSFLEADKNAIRSIHADGYKVVFVRRSPLVLVAVARTRQSAQELAQELLYI YYQILSLLTGAQLSHIFQQKQNYDLRRLLSGSERITDNLLQLMARDPSFLMGAARCLPLA AAVRDTVSASLQQARARSLVFSILLAHNQLVALVRRKDQFLHPIDLHLLFNLISSSSSFR EGEAWTPVCLPKFSAAGFFHAHISYLEPDTDLCLLLISTDREDFFAVSDCRRRFQERLRK RGTHLALREALRTPYYSVAQVGIPDLRHFLYKSKSSGLFTR >ENSMUSP00000035202.2 pep:known chromosome:GRCm38:9:107888182:107903139:1 gene:ENSMUSG00000032583.7 transcript:ENSMUST00000035202.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mon1a description:MON1 homolog A (yeast) [Source:MGI Symbol;Acc:MGI:1920075] MAADMQRKRSSECPEGTLAPSNGQSVERAESPTPGLTQGTEPGAGQEGAMFVHTRSYEDL TELEDREASGDSPKECVGSPPPLATDMRQISQDFSELSTQLTGVARDLQEEMLPGSSEDW PEPQGAAGRGAATEPSQEGSTEGEEEDATEAWRLHQKHVFVLSEAGKPVYSRYGSEEALS STMGVMVALVSFLEADKNAIRSIHADGYKVVFVRRSPLVLVAVARTRQSAQELAQELLYI YYQILSLLTGAQLSHIFQQKQNYDLRRLLSGSERITDNLLQLMARDPSFLMGAARCLPLA AAVRDTVSASLQQARARSLVFSILLAHNQLVALVRRKDQFLHPIDLHLLFNLISSSSSFR EGEAWTPVCLPKFSAAGFFHAHISYLEPDTDLCLLLISTDREDFFAVSDCRRRFQERLRK RGTHLALREALRTPYYSVAQVGIPDLRHFLYKSKSSGLFTSPEIEAPYSSEEEQERLLGL YQYLHSRAHNASRPLKTIYYTGPNENLLAWVTGAFELYMCYSPLGTKASAVSAIHKLMRW IRKEEDRLFILTPLTY >ENSMUSP00000022639.7 pep:known chromosome:GRCm38:14:68083863:68089095:1 gene:ENSMUSG00000022055.7 transcript:ENSMUST00000022639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nefl description:neurofilament, light polypeptide [Source:MGI Symbol;Acc:MGI:97313] MSSFGYDPYFSTSYKRRYVETPRVHISSVRSGYSTARSAYSSYSAPVSSSLSVRRSYSSS SGSLMPSLENLDLSQVAAISNDLKSIRTQEKAQLQDLNDRFASFIERVHELEQQNKVLEA ELLVLRQKHSEPSRFRALYEQEIRDLRLAAEDATNEKQALQGEREGLEETLRNLQARYEE EVLSREDAEGRLMEARKGADEAALARAELEKRIDSLMDEIAFLKKVHEEEIAELQAQIQY AQISVEMDVSSKPDLSAALKDIRAQYEKLAAKNMQNAEEWFKSRFTVLTESAAKNTDAVR AAKDEVSESRRLLKAKTLEIEACRGMNEALEKQLQELEDKQNADISAMQDTINKLENELR STKSEMARYLKEYQDLLNVKMALDIEIAAYRKLLEGEETRLSFTSVGSITSGYSQSSQVF GRSAYSGLQSSSYLMSARSFPAYYTSHVQEEQTEVEETIEATKAEEAKDEPPSEGEAEEE EKEKEEGEEEEGAEEEEAAKDESEDTKEEEEGGEGEEEDTKESEEEEKKEESAGEEQVAK KKD >ENSMUSP00000123896.1 pep:known chromosome:GRCm38:12:8771742:8790842:1 gene:ENSMUSG00000020592.14 transcript:ENSMUST00000161883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdc1 description:syndecan 1 [Source:MGI Symbol;Acc:MGI:1349162] MPCRRQHVEGEGSGDFFPRCLADPGMQIVAVNVPPEDQDGSGDDSDNFSGSGTGALPDTL SRQTPSTWKDVWLLTATPTAPEPTSSNTETAFTSVLPAGEKPEEGEPVLHVEAEPGFTAR DKEKEVTTRPRETVQLPITQRASTVRVTTAQAAVTSHPHGGMQPGLHETSAPTAPGQPDH QPPRVEGGGTSVIKEVVEDGTANQLP >ENSMUSP00000020911.7 pep:known chromosome:GRCm38:12:8771323:8793715:1 gene:ENSMUSG00000020592.14 transcript:ENSMUST00000020911.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdc1 description:syndecan 1 [Source:MGI Symbol;Acc:MGI:1349162] MRRAALWLWLCALALRLQPALPQIVAVNVPPEDQDGSGDDSDNFSGSGTGALPDTLSRQT PSTWKDVWLLTATPTAPEPTSSNTETAFTSVLPAGEKPEEGEPVLHVEAEPGFTARDKEK EVTTRPRETVQLPITQRASTVRVTTAQAAVTSHPHGGMQPGLHETSAPTAPGQPDHQPPR VEGGGTSVIKEVVEDGTANQLPAGEGSGEQDFTFETSGENTAVAAVEPGLRNQPPVDEGA TGASQSLLDRKEVLGGVIAGGLVGLIFAVCLVAFMLYRMKKKDEGSYSLEEPKQANGGAY QKPTKQEEFYA >ENSMUSP00000131491.1 pep:known chromosome:GRCm38:12:8771413:8793062:1 gene:ENSMUSG00000020592.14 transcript:ENSMUST00000171158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdc1 description:syndecan 1 [Source:MGI Symbol;Acc:MGI:1349162] MRRAALWLWLCALALRLQPALPQIVAVNVPPEDQDGSGDDSDNFSGSGTGALPDTLSRQT PSTWKDVWLLTATPTAPEPTSSNTETAFTSVLPAGEKPEEGEPVLHVEAEPGFTARDKEK EVTTRPRETVQLPITQRASTVRVTTAQAAVTSHPHGGMQPGLHETSAPTAPGQPDHQPPR VEGGGTSVIKEVVEDGTANQLPAGEGSGEQDFTFETSGENTAVAAVEPGLRNQPPVDEGA TGASQSLLDRKEVLGGVIAGGLVGLIFAVCLVAFMLYRMKKKDEGSYSLEEPKQANGGAY QKPTKQEEFYA >ENSMUSP00000101307.2 pep:known chromosome:GRCm38:4:150281646:150621966:1 gene:ENSMUSG00000039852.16 transcript:ENSMUST00000105682.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rere description:arginine glutamic acid dipeptide (RE) repeats [Source:MGI Symbol;Acc:MGI:2683486] MTADKDKDKDKEKDRDRDRDRERDKRDKARESENARPRRSCTLEGGAKNYAESDHSEDED NDNNSATTEESNKKSRKKPPKKKSRYERTDTGEITSYITEDDVVYRPGDCVYIESRRPNT PYFICSIQDFKLVHSSQACCRSPAPAFCDPPACSLPVAPQPPQHLSEAGRGPGGSKRDHL LMNVKWYYRQSEVPDSVYQHLVQDRHNENDSGRELVITDPVIKNRELFISDYVDTYHAAA LRGKCNISHFSDIFAAREFKARVDSFFYILGYNPETRRLNSTQGEIRVGPSHQAKLPDLQ PFPSPDGDTVTQHEELVWMPGVSDCDLLMYLRAARSMAAFAGMCDGGSTEDGCVAASRDD TTLNALNTLHESSYDAGKALQRLVKKPVPKLIEKCWTEDEVKRFVKGLRQYGKNFFRIRK ELLPSKETGELITFYYYWKKTPEAASSRAHRRHRRQAVFRRIKTRTASTPVNTPSRPPSS EFLDLSSASEDDFDSEDSEQELKGYACRHCFTTTSKDWHHGGRENILLCTDCRIHFKKYG ELPPIEKPVDPPPFMFKPVKEEDDGLSGKHSMRTRRSRGSMSTLRSGRKKQPTSPDGRAS PINEDIRSSGRNSPSAASTSSNDSKAETVKKSAKKVKEEAASPLKSTKRQREKVASDTED TDRITSKKTKTQEISRPNSPSEGEGESSDSRSVNDEGSSDPKDIDQDNRSTSPSIPSPQD NESDSDSSAQQQMLQAQPPALQAPSGAASAPSTAPPGTPQLPTQGPTPSATAVPPQGSPA TSQPPNQTQSTVAPAAHTHIQQAPTLHPPRLPSPHPPLQPMTAPPSQSSAQPHPQPSLHS QGPPGPHSLQTGPLLQHPGPPQPFGLPSQPSQGQGPLGPSPAAAHPHSTIQLPASQSALQ PQQPPREQPLPPAPLAMPHIKPPPTTPIPQLPAPQAHKHPPHLSGPSPFSLNANLPPPPA LKPLSSLSTHHPPSAHPPPLQLMPQSQPLPSSPAQPPGLTQSQSLPPPAASHPTTGLHQV PSQSPFPQHPFVPGGPPPITPPSCPPTSTPPAGPSSSSQPPCSAAVSSGGSVPGAPSCPL PAVQIKEEALDEAEEPESPPPPPRSPSPEPTVVDTPSHASQSARFYKHLDRGYNSCARTD LYFMPLAGSKLAKKREEAIEKAKREAEQKAREEREREKEKEKEREREREREREAERAAKA SSSAHEGRLSDPQLSGPGHMRPSFEPPPTTIAAVPPYIGPDTPALRTLSEYARPHVMSPT NRNHPFYMPLNPTDPLLAYHMPGLYNVDPTIRERELREREIREREIRERELRERMKPGFE VKPPELDPLHPATNPMEHFARHSALTIPPAAGPHPFASFHPGLNPLERERLALAGPQLRP EMSYPDRLAAERIHAERMASLTSDPLARLQMFNVTPHHHQHSHIHSHLHLHQQDPLHQGS AGPVHPLVDPLTAGPHLARFPYPPGTLPNPLLGQPPHEHEMLRHPVFGTPYPRDLPGAIP PPMSAAHQLQAMHAQSAELQRLAMEQQWLHGHPHMHGGHLPSQEDYYSRLKKEGDKQL >ENSMUSP00000115385.1 pep:known chromosome:GRCm38:4:150406394:150470381:1 gene:ENSMUSG00000039852.16 transcript:ENSMUST00000131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rere description:arginine glutamic acid dipeptide (RE) repeats [Source:MGI Symbol;Acc:MGI:2683486] RDRERDKRDKARESENARPRRSCTLEGGAKNYAESDHSEDEDNDNNSATTEESNKKSRKK PPKKKSRYERTDTGEITSYITEDDVVYRPGDCVYIESRRPNTPYFICSIQDFKLSKRDHL LMNVKWYYRQSEVPDSVYQHLVQDRHNENDSGRELVITDPVIKNRELFISDYVDTYHA >ENSMUSP00000101305.2 pep:known chromosome:GRCm38:4:150488393:150621962:1 gene:ENSMUSG00000039852.16 transcript:ENSMUST00000105680.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rere description:arginine glutamic acid dipeptide (RE) repeats [Source:MGI Symbol;Acc:MGI:2683486] MDDPFSPCRRLNSTQGEIRVGPSHQAKLPDLQPFPSPDGDTVTQHEELVWMPGVSDCDLL MYLRAARSMAAFAGMCDGGSTEDGCVAASRDDTTLNALNTLHESSYDAGKALQRLVKKPV PKLIEKCWTEDEVKRFVKGLRQYGKNFFRIRKELLPSKETGELITFYYYWKKTPEAASSR AHRRHRRQAVFRRIKTRTASTPVNTPSRPPSSEFLDLSSASEDDFDSEDSEQELKGYACR HCFTTTSKDWHHGGRENILLCTDCRIHFKKYGELPPIEKPVDPPPFMFKPVKEEDDGLSG KHSMRTRRSRGSMSTLRSGRKKQPTSPDGRASPINEDIRSSGRNSPSAASTSSNDSKAET VKKSAKKVKEEAASPLKSTKRQREKVASDTEDTDRITSKKTKTQEISRPNSPSEGEGESS DSRSVNDEGSSDPKDIDQDNRSTSPSIPSPQDNESDSDSSAQQQMLQAQPPALQAPSGAA SAPSTAPPGTPQLPTQGPTPSATAVPPQGSPATSQPPNQTQSTVAPAAHTHIQQAPTLHP PRLPSPHPPLQPMTAPPSQSSAQPHPQPSLHSQGPPGPHSLQTGPLLQHPGPPQPFGLPS QPSQGQGPLGPSPAAAHPHSTIQLPASQSALQPQQPPREQPLPPAPLAMPHIKPPPTTPI PQLPAPQAHKHPPHLSGPSPFSLNANLPPPPALKPLSSLSTHHPPSAHPPPLQLMPQSQP LPSSPAQPPGLTQSQSLPPPAASHPTTGLHQVPSQSPFPQHPFVPGGPPPITPPSCPPTS TPPAGPSSSSQPPCSAAVSSGGSVPGAPSCPLPAVQIKEEALDEAEEPESPPPPPRSPSP EPTVVDTPSHASQSARFYKHLDRGYNSCARTDLYFMPLAGSKLAKKREEAIEKAKREAEQ KAREEREREKEKEKEREREREREREAERAAKASSSAHEGRLSDPQLSGPGHMRPSFEPPP TTIAAVPPYIGPDTPALRTLSEYARPHVMSPTNRNHPFYMPLNPTDPLLAYHMPGLYNVD PTIRERELREREIREREIRERELRERMKPGFEVKPPELDPLHPATNPMEHFARHSALTIP PAAGPHPFASFHPGLNPLERERLALAGPQLRPEMSYPDRLAAERIHAERMASLTSDPLAR LQMFNVTPHHHQHSHIHSHLHLHQQDPLHQGSAGPVHPLVDPLTAGPHLARFPYPPGTLP NPLLGQPPHEHEMLRHPVFGTPYPRDLPGAIPPPMSAAHQLQAMHAQSAELQRLAMEQQW LHGHPHMHGGHLPSQEDYYSRLKKEGDKQL >ENSMUSP00000121544.1 pep:known chromosome:GRCm38:4:150617266:150619828:1 gene:ENSMUSG00000039852.16 transcript:ENSMUST00000136646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rere description:arginine glutamic acid dipeptide (RE) repeats [Source:MGI Symbol;Acc:MGI:2683486] RERLALAGPQLRPEMSYPDRLAAERIHAERMASLTSDPLARLQMFNVTPHHHQHSHIHSH LHLHQQDPLHQGSAGPVHPLVDPLTAGPHLARFPYPPGTLPNPLLGQPPHEHEMLRHPVF AEPVLRLAGTPYPRDLPGAIPPPMSAAHQLQAMHAQSAELQRLAMEQQWLHGHPHMHGGH LPSQEDYYSRLKKEGDKQL >ENSMUSP00000036149.8 pep:known chromosome:GRCm38:10:42312585:42478565:-1 gene:ENSMUSG00000038302.14 transcript:ENSMUST00000041024.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lace1 description:lactation elevated 1 [Source:MGI Symbol;Acc:MGI:2148801] MAASWSPLVTLRSAARSRLTGRGVGCGARVVAIPPPAPGPGKPLWKAYTVQTSEGVRPTA ASEARLKALAVCHGPLDHYDFLIKSQELREDEHQRRVVQCLQKLQEDLKGYSIEEGGLFS KLFSRNKPPKGLYVYGDVGTGKTMVMDMFYAYVETKRKKRVHFHGFMLDVHRRIHHLKQS LPKRKAGFMAKSYDPIAPIAEEISQETSLLCFDEFQVTDIADAMILKQLFENLFKNGVVV VATSNRPPEDLYKNGLQRANFVPFIAVLKEYCDTLQLDSGVDYRKRELAPAGKLYYLTSE ADVEAVVDKLFDELAQKQNDLTSPRILKVQGRELRLNKACGSVADCTFEELCERPLGASD YLELSKNFDTVIIRNIPQFSLAKRTQARRFITLIDNFYDFKVRIICSASAPISSLFLHQH QDSESDQSRILMDDLGLSQDSAGLSMFTGEEEIFAFQRTISRLTEMQTEQYWIEGDRSRK >ENSMUSP00000120389.1 pep:known chromosome:GRCm38:10:42312585:42478280:-1 gene:ENSMUSG00000038302.14 transcript:ENSMUST00000151747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lace1 description:lactation elevated 1 [Source:MGI Symbol;Acc:MGI:2148801] XKPLWKGTGKTMVMDMFYAYVETKRKKRVHFHGFMLDVHRRIHHLKQSLPKRKAGFMAKS YDPIAPIAEEISQETSLLCFDEFQVTDIADAMILKQLFENLFKNGVVVVATSNRPPEDLY KNGLQRANFVPFIAVLKEYCDTLQLDSGVDYRKRELAPAGKLYYLTSEADVEAVVDKLFD ELAQKQNDLTSPRILKVQGRELRLNKACGSVADCTFEELCERPLGASDYLELSKNFDTVI IRNIPQFSLAKRTQARRFITLIDNFYDFKVRIICSASAPISSLFLHQHQDSESDQSRILM DDLGLSQDSAGLSMFTGEEEIFAFQRTISRLTEMQTEQYWIEGDRSRK >ENSMUSP00000123510.1 pep:known chromosome:GRCm38:10:42312588:42478415:-1 gene:ENSMUSG00000038302.14 transcript:ENSMUST00000133326.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lace1 description:lactation elevated 1 [Source:MGI Symbol;Acc:MGI:2148801] MAASWSPLVTLRSAARSRLTGRGVGCGARVVAIPPPAPGPGKPLWKAYTVQTSEGVRPTA ASEARLKALAVCHGPLDHYDFLIKSQELREDEHQRRVVQCLQKLQEDLKGYSIEEGGLFS KLFSRNKPPKGLYVYGDVGTGKTMVMDMFYAYVETKRKKRVHFHGFMLDVHRRIHHLKQS LPKRKAGFMAKSYDPIAPIAEEISQETSLLCFDEFQVTDIADAMILKQLFENLFKNGVVV VATSNRPPEDLYKNGLQRANFVPFIAVLKEGGVPFPYSL >ENSMUSP00000119620.1 pep:known chromosome:GRCm38:10:42313582:42425308:-1 gene:ENSMUSG00000038302.14 transcript:ENSMUST00000149832.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lace1 description:lactation elevated 1 [Source:MGI Symbol;Acc:MGI:2148801] XIHHLKQSLPKRKAGFMAKSYDPIAPIAEEISQETSLLCFDEFQVTDIADAMILKQLFEN LFKNGVVVVATSNRPPEGIL >ENSMUSP00000101858.3 pep:known chromosome:GRCm38:7:127967457:127985701:1 gene:ENSMUSG00000030795.18 transcript:ENSMUST00000106251.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fus description:fused in sarcoma [Source:MGI Symbol;Acc:MGI:1353633] MASNDYTQQATQSYGAYPTQPGQGYSQQSSQPYGQQSYSGYGQSADTSGYGQSSYGSSYG QTQNTGYGTQSAPQGYGSTGGYGSSQSSQSSYGQQSSYPGYGQQPAPSSTSGSYGGSSQS SSYGQPQSGGYGQQSGYGGQQQSYGQQQSSYNPPQGYGQQNQYNSSSGGGGGGGGGNYGQ DQSSMSGGGGGGGYGNQDQSGGGGGGYGGGQQDRGGRGRGGGGGYNRSSGGYEPRGRGGG RGGRGGMGGSDRGGFNKFGGPRDQGSRHDSEQDNSDNNTIFVQGLGENVTIESVADYFKQ IGIIKTNKKTGQPMINLYTDRETGKLKGEATVSFDDPPSAKAAIDWFDGKEFSGNPIKVS FATRRADFNRGGGNGRGGRGRGGPMGRGGYGGGGSGGGGRGGFPSGGGGGGGQQRAGDWK CPNPTCENMNFSWRNECNQCKAPKPDGPGGGPGGSHMGGNYGDDRRGRGGYDRGGYRGRG GDRGGFRGGRGGGDRGGFGPGKMDSRGEHRQDRRERPY >ENSMUSP00000076801.5 pep:known chromosome:GRCm38:7:127967490:127982032:1 gene:ENSMUSG00000030795.18 transcript:ENSMUST00000077609.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fus description:fused in sarcoma [Source:MGI Symbol;Acc:MGI:1353633] MASNDYTQQATQSYGAYPTQPGQGYSQQSSQPYGQQSYSGYGQSADTSGYGQSSYGSSYG QTQNSYGTQSAPQGYGSTGGYGSSQSSQSSYGQQSSYPGYGQQPAPSSTSGSYGGSSQSS SYGQPQSGGYGQQSGYGGQQQSYGQQQSSYNPPQGYGQQNQYNSSSGGGGGGGGGNYGQD QSSMSGGGGGGGYGNQDQSGGGGGGYGGGQQDRGGRGRGGGGGYNRSSGGYEPRGRGGGR GGRGGMGGSDRGGFNKFGGPRDQGSRHDSEQDNSDNNTIFVQGLGENVTIESVADYFKQI GIIKTNKKTGQPMINLYTDRETGKLKGEATVSFDDPPSAKAAIDWFDGKEFSGNPIKVSF ATRRADFNRGGGNGRGGRGRGGPMGRGGYGGGGSGGGGRGGFPSGGGGGGGQQRAGDWKC PNPTCENMNFSWRNECNQCKAPKPDGPGGGPGGSHMGGNYGDDRRGRGGYDRGGYRGRGG DRGGFRGGRGGGDRGGFGPGKMDSRGEHRQDRRERPY >ENSMUSP00000112721.2 pep:known chromosome:GRCm38:7:127967508:127982032:1 gene:ENSMUSG00000030795.18 transcript:ENSMUST00000121616.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fus description:fused in sarcoma [Source:MGI Symbol;Acc:MGI:1353633] MASNDYTQQATQSYGAYPTQPGQGYSQQSSQPYGQQSYSGYGQSADTSGYGQSSYGSSYG QTQNTGYGTQSAPQGYGSTGGYGSSQSSQSSYGQQSSYPGYGQQPAPSSTSGSYGGSSQS SSYGQPQSGGYGQQSGYGGQQQSYGQQQSSYNPPQGYGQQNQYNSSSGGGGGGGQQRAGD WKCPNPTCENMNFSWRNECNQCKAPKPDGPGGGPGGSHMGGNYGDDRRGRGGYDRGGYRG RGGDRGGFRGGRGGGDRGGFGPGKMDSRGEHRQDRRERPY >ENSMUSP00000133820.1 pep:known chromosome:GRCm38:7:127977314:127981449:1 gene:ENSMUSG00000030795.18 transcript:ENSMUST00000174632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fus description:fused in sarcoma [Source:MGI Symbol;Acc:MGI:1353633] XIKTNKKTGQPMINLYTDRETGKLKGEATVSFDDPPSAKAAIDWFDGKEFSGNPIKVSFA TRRADFNRGGGGQQRAGDWKCPNPTCENMNFSWRNECNQCKAPKPDGPGGGPGGSHMGGN YGDDRRGRGG >ENSMUSP00000134447.1 pep:known chromosome:GRCm38:7:127980184:127982007:1 gene:ENSMUSG00000030795.18 transcript:ENSMUST00000141997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fus description:fused in sarcoma [Source:MGI Symbol;Acc:MGI:1353633] XPSGGGGGGGQQRAGDWKCPNPTCENMNFSWRNECNQCKAPKPDGPGGGPGGSHMGGNYG DDRRGRGGYDRGGYRGRGGDRGGFRGGRGGGDRGGFGPGKMDSR >ENSMUSP00000078054.2 pep:known chromosome:GRCm38:7:127983079:127985701:1 gene:ENSMUSG00000030795.18 transcript:ENSMUST00000079045.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fus description:fused in sarcoma [Source:MGI Symbol;Acc:MGI:1353633] MHIHAILFYFFNKWDVIYTSSPRTGAESGGWITEFKTSLVVGQIGLYSEVLCSVCFEYAG AHLQLVMCGNERKCMLFQLILWVLGVEMASCLIAKILSFLLITAVLIFPYVKWHMSINHR LC >ENSMUSP00000113670.2 pep:known chromosome:GRCm38:2:175321955:175338197:-1 gene:ENSMUSG00000078899.9 transcript:ENSMUST00000121393.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4631 description:predicted gene 4631 [Source:MGI Symbol;Acc:MGI:3782813] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRT HTGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQC GKAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAF ITRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSS SLRIHKRTYTGERQYECN >ENSMUSP00000104678.2 pep:known chromosome:GRCm38:2:175324743:175327598:-1 gene:ENSMUSG00000078899.9 transcript:ENSMUST00000109050.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4631 description:predicted gene 4631 [Source:MGI Symbol;Acc:MGI:3782813] DLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSRS HGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQIH KRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRTH TGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGEK PYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYEC KQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQCG KAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAFI TRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSSS LRIHKRTYTGERQYECN >ENSMUSP00000105581.3 pep:known chromosome:GRCm38:2:148750358:148755433:1 gene:ENSMUSG00000055177.15 transcript:ENSMUST00000109955.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstl1 description:cystatin-like 1 [Source:MGI Symbol;Acc:MGI:2652834] MEMKARGLRIPLLLLLVTVVVMAKVNHIQRWGGFKEKAMSKKNINSTLHFFIRSYNNASN DTYLYQVQKLIQGQMQLTTGVEYLVTVKIGRTKCKKNETKKASCPLQSSKLKKSLICKSL IYSVPWMNYYQLWNNSCQES >ENSMUSP00000105580.1 pep:known chromosome:GRCm38:2:148750361:148755378:1 gene:ENSMUSG00000055177.15 transcript:ENSMUST00000109954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstl1 description:cystatin-like 1 [Source:MGI Symbol;Acc:MGI:2652834] MEMKARGLRIPLLLLLVTVVVMAKLTTGVEYLVTVKIGRTKCKKNETKKASCPLQSSKLK KSLICKSLIYSVPWMNYYQLWNNSCQES >ENSMUSP00000105578.1 pep:known chromosome:GRCm38:2:148750396:148755378:1 gene:ENSMUSG00000055177.15 transcript:ENSMUST00000109952.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstl1 description:cystatin-like 1 [Source:MGI Symbol;Acc:MGI:2652834] MEMKARGLRIPLLLLLVTVVVMAKVNHIQRWGGFKEKAMSKKNINSTLHFFIRSYNNASN DTYLYQVQKLIQGQMQLTTGVEYLVTVKIGRTKCKKNETKKASCPLQSSKLKKSLICKSL IYSVPWMNYYQLWNNSCQES >ENSMUSP00000004985.9 pep:known chromosome:GRCm38:17:28801090:28838546:1 gene:ENSMUSG00000063952.15 transcript:ENSMUST00000004985.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brpf3 description:bromodomain and PHD finger containing, 3 [Source:MGI Symbol;Acc:MGI:2146836] MRKPRRKSRQNAEGRRSPSPYSLKCSPTRETLTYAQAQRIVEVDIDGRLHRISIYDPLKI ITEDELTAQDITECNSNKENSEQPQFPAKSKKPSSKGKRKESCSKHASGTSFHLPQPSFR VVDTGSQPEAPPLPAAYYRYIEKPPEDLDAEVEYDMDEEDIAWLDMVNEKRRADGHSSVS ADTFELLVDRLEKESYLESRSSGAQQSLIDEDAFCCVCLDDECHNSNVILFCDICNLAVH QECYGVPYIPEGQWLCRCCLQSPSRPVDCVLCPNKGGAFKQTSDGHWAHVVCAIWIPEVC FANTVFLEPIEGIDNIPPARWKLTCYICKQKGLGAAIQCHKVNCYTAFHVTCAQRAGLFM KIEPMRETSLNGTTFTVRKTAYCEAHSPSVAVARRKGDSPRSLSEVGDEDGPKEGGGEEE QEEAEEEGQEGQGGVGSPLKGVSKKGKMSLKQKIKKEPEEAGREAPSITLPMVTVPQIPS YRLNKICSGLSFQRKTQFMQRLHNYWLLKRQARNGVPLIRRLHSHLQSQRNAEQREQDEK TSAVKEELKYWQKLRHDLERARLLIELIRKREKLKREQVKVQQAAMELELMPFTVLLRTT LDLLQEKDSAHIFAEPVSLSEVPDYLEFISKPMDFSTMRRKLESHLYHTLEEFEEDFNLI VTNCMKYNAKDTIFHRAAVRLRDLGGAILRHARRQAENIGYDPERGTHLPESPRLEDFYR FSWEDVDNILIPENRAHLSPEAQLKELLEKLDLVSTMRSSGARTRRVRMLRREINALRQK LAQPPPPQLLSLNKTVPNGELPAGSRGDTAVLEQAQQEEPEEEGDRDDSKLPAPPTLEPT GPAPSLSEQESPPDPPTLKPISDSKPSSRFLKSRKVEDEELLEKSALQLGSEPLQCLLSD NGIDRLSLTNPDSHPDTPLGTVGRRTSVLFKKAKNGVKLQRGPDGTLENGEDHGPEDDPA SPASTEDEHYSRKRPRSRSCSDSEGERSPQQEEETGVTNGFGKHTESGSDSECSLGLSGG LAFEAGSGLTPPKRSRGKPALSRVPFLEGVNGDSDHSGSGRSLLMPFEDHGDLEPLELVW AKCRGYPSYPALIIDPKMPREGLLHNGVPIPVPPLDVLKLGEQKQAEAGERLFLVLFFDN KRTWQWLPRDKVLPLGVEDTVDKLKMLEGRKTSIRKSVQVAYDRAMIHLSRVRGSHAFVT SSYL >ENSMUSP00000071084.7 pep:known chromosome:GRCm38:9:120149742:120169378:1 gene:ENSMUSG00000032517.15 transcript:ENSMUST00000068698.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mobp description:myelin-associated oligodendrocytic basic protein [Source:MGI Symbol;Acc:MGI:108511] MSQKMAKEGPRLSKNQKFSEHFSIHCCPPFTFLNSKREIVDRKYSICKSGCFYQKKEEDW ICCACQKTSRRATSPQRPKHQPAASPVVVRAPPAKPKSPLMPAKPRSPPRPAKPRSPSRT ERQPRPRPEVRPPPAKQKPPQKSKQPARSSPLRGPGTSRGGSPTRAPRFW >ENSMUSP00000091287.1 pep:known chromosome:GRCm38:9:120149742:120176091:1 gene:ENSMUSG00000032517.15 transcript:ENSMUST00000093773.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mobp description:myelin-associated oligodendrocytic basic protein [Source:MGI Symbol;Acc:MGI:108511] MSQKMAKEGPRLSKNQKFSEHFSIHCCPPFTFLNSKREIVDRKYSICKSGCFYQKKEEDW ICCACQKTRLRRRSRSTPRKK >ENSMUSP00000134410.1 pep:known chromosome:GRCm38:9:120149742:120181484:1 gene:ENSMUSG00000032517.15 transcript:ENSMUST00000174193.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mobp description:myelin-associated oligodendrocytic basic protein [Source:MGI Symbol;Acc:MGI:108511] MSQKMAKEGPRLSKNQKFSEHFSIHCCPPFTFLNSKREIVDRKYSICKSGCFYQKKEEDW ICCACQKTRLRRRSRSTPRKK >ENSMUSP00000107254.1 pep:known chromosome:GRCm38:9:120149742:120176091:1 gene:ENSMUSG00000032517.15 transcript:ENSMUST00000111627.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mobp description:myelin-associated oligodendrocytic basic protein [Source:MGI Symbol;Acc:MGI:108511] MSQKMAKEGPRLSKNQKFSEHFSIHCCPPFTFLNSKREIVDRKYSICKSGCFYQKKEEDW ICCACQKTRLRRRSRSTPRKK >ENSMUSP00000093771.4 pep:known chromosome:GRCm38:6:30541582:30564476:1 gene:ENSMUSG00000071553.10 transcript:ENSMUST00000096066.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpa2 description:carboxypeptidase A2, pancreatic [Source:MGI Symbol;Acc:MGI:3617840] MRLTPLLVALFGYIYCQETFVGDQVLEVIPNDEEQIKTLLQLEAEEHLELDFWKSPSVPR QTVHVRVPFASIQDVKVFLESQGITYSIMIEDVQVLLDQEREEMLFNQQRERGTNFNFGA YHTLEEIYQEMDNLVAENPGLVSKVNIGSSFENRPMNVLKFSTGGDKPAIWLDAGIHARE WVTQATALWTANKIASDYGTDPAITSLLNTLDVFLLPVTNPDGYVFSQTSNRMWRKTRSK RSGSFCVGVDPNRNWDANFGGPGASSNPCSDSYHGPSPNSEVEVKSIVDFIKSHGKVKAF ITLHSYSQLLMFPYGYKCAKPDDFNELDEVAQRAAQSLKRLHGTSYKVGPICSVIYQASG GSIDWAYDLGIKYSFAFELRDTGYYGFLLPAKQILPTAEETWLGLKTIMEHVRDHPY >ENSMUSP00000022638.5 pep:known chromosome:GRCm38:14:68119283:68124846:-1 gene:ENSMUSG00000022054.11 transcript:ENSMUST00000022638.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nefm description:neurofilament, medium polypeptide [Source:MGI Symbol;Acc:MGI:97314] MSYTLDSLGNPSAYRRVTETRSSFSRVSGSPSSGFRSQSWSRGSPSTVSSSYKRSALAPR LAYSSAMLSSAESSLDFSQSSSLLNGGSGGDYKLSRSNEKEQLQGLNDRFAGYIEKVHYL EQQNKEIEAEIQALRQKQASHAQLGDAYDQEIRELRATLEMVNHEKAQVQLDSDHLEEDI HRLKERFEEEARLRDDTEAAIRALRKDIEESSMVKVELDKKVQSLQDEVAFLRSNHEEEV ADLLAQIQASHITVERKDYLKTDISTALKEIRSQLECHSDQNMHQAEEWFKCRYAKLTEA AEQNKEAIRSAKEEIAEYRRQLQSKSIELESVRGTKESLERQLSDIEERHNHDLSSYQDT IQQLENELRGTKWEMARHLREYQDLLNVKMALDIEIAAYRKLLEGEETRFSTFSGSITGP LYTHRQPSVTISSKIQKTKVEAPKLKVQHKFVEEIIEETKVEDEKSEMEETLTAIAEELA ASAKEEKEEAEEKEEEPEAEKSPVKSPEAKEEEEEGEKEEEEEGQEEEEEEDEGVKSDQA EEGGSEKEGSSEKDEGEQEEEEGETEAEGEGEEAEAKEEKKIEGKVEEVAVKEEIKVEKP EKAKSPMPKSPVEEVKPKPEAKAGKGEHKEEEKVEEEKKEVTKESPKEEKVEKKEEKPKD VADKKKAESPVKEKAVEEVITISKSVKVSLEKDTKEEKLQPQEKVKEKAEEEGGSEEEGS DRSPQESKKEDIAINGEVEGKEEEEQETQEKGSGREEEKGVVTNGLDVSPAEEKKGEDSS DDKVVVTKKVEKITSEGGDGATKYITKSVTVTQKVEEHEETFEEKLVSTKKVEKVTSHAI VKEVTQGD >ENSMUSP00000106718.1 pep:known chromosome:GRCm38:14:68082590:68124846:-1 gene:ENSMUSG00000022054.11 transcript:ENSMUST00000111089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nefm description:neurofilament, medium polypeptide [Source:MGI Symbol;Acc:MGI:97314] MSYTLDSLGNPSAYRRVTETRSSFSRVSGSPSSGFRSQSWSRGSPSTVSSSYKRSALAPR LAYSSAMLSSAESSLDFSQSSSLLNGGSGGDYKLSRSNEKEQLQGLNDRFAGYIEKVHYL EQQNKEIEAEIQALRQKQASHAQLGDAYDQEIRELRATLEMVNHEKAQVQLDSDHLEEDI HRLKERFEEEARLRDDTEAAIRALRKDIEESSMVKVELDKKVQSLQDEVAFLRSNHEEEV ADLLAQIQASHITVERKDYLKTDISTALKEIRSQLECHSDQNMHQAEEWFKCRYAKLTEA AEQNKEAIRSAKEEIAEYRRQLQSKSIELESVRGTKESLERQLSDIEERHNHDLSSYQDT IQQLENELRGTKWEMARHLREYQDLLNVKMALDIEIAAYRLWKLRFSTNIHESSQTFEIS KPLLQLLLQSFVHTPAGFDLQGLSLEQAAAFRHLVLGSAHSVGVLGGALG >ENSMUSP00000100831.1 pep:known chromosome:GRCm38:7:21795811:21796728:-1 gene:ENSMUSG00000096760.1 transcript:ENSMUST00000105196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4177 description:predicted gene 4177 [Source:MGI Symbol;Acc:MGI:3782353] MSDHGKSVKTTEEVALQLLLLCQFGVGTLANVFLFVHNFSPVLTGSKQRPRQVILSHMAM ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHQFVTLVL VNRGKLILRASVPNFVSYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIVGIVFLQFAYDATFMSIMVWTSVSMILLLHRHRQRMQHILTPNQNPRGQAETRATRTI LMLVVTFVSFYLLNFICIIFHTLLMHSHLFIRLVSEVLAAVFPSICPLLLIFRDPKDPCS VLFNC >ENSMUSP00000116661.1 pep:known chromosome:GRCm38:2:175372436:175381786:1 gene:ENSMUSG00000078898.9 transcript:ENSMUST00000131676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4723 description:predicted gene 4723 [Source:MGI Symbol;Acc:MGI:3782903] MRDSTGCCERRLVKLRTPNMDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSHGR >ENSMUSP00000104676.2 pep:known chromosome:GRCm38:2:175372462:175384201:1 gene:ENSMUSG00000078898.9 transcript:ENSMUST00000109048.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4723 description:predicted gene 4723 [Source:MGI Symbol;Acc:MGI:3782903] MRDSTGCCERRLVKLRTPNMVTVGKNIQLKTISKLLEVMEAFAGRSDLQRQKIIQKRKST KASNVIKSLQQALISMYINEHIPERNLLNVTNMVKLFQKPIVFTYIKEYILERNALTLTN VVKLFQKAVINKIIKQHV >ENSMUSP00000104675.2 pep:known chromosome:GRCm38:2:175372492:175383203:1 gene:ENSMUSG00000078898.9 transcript:ENSMUST00000109047.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4723 description:predicted gene 4723 [Source:MGI Symbol;Acc:MGI:3782903] MRDSTGCCERRLVKLRTPNMDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSHGRHERRSSAEQHSEFIPCGKAFAYQSRSQRHVRIHNGEK HYECNQCGKDFGTRSVLQRLKRTHTGEKPYECNHYGKAFAESSTLQIHKRKHTGEKPYEC NHCVKAFAKMSKLQIHKRIHTGEKPYECKQCGKAFTQSSHLGIHKRTHTGEKPYECKQCG KAFTRSSTLQTHKQTHTGEKPYECKQCDKAFVRRGELQIHKRTHTGEKPYECKQCGKAFA QSGTLQIHKRTHTGEKPYECKQCGKAFAHSSTLQIHKRTHTGEKPYECKQCDKAFVKRGE LQIHKQTHTGEKPYECKQCGKAFAQSGTLQVHERTHTGEKPFECNQCGKAFVQCIALRIH ERTHTGEKPYECKQCGKAFAHSNTLQIHKRTHTGEKPYECKQCGKAFAHSSTLQIHKQTH TGEKP >ENSMUSP00000034831.2 pep:known chromosome:GRCm38:9:59942771:59950117:-1 gene:ENSMUSG00000032292.8 transcript:ENSMUST00000034831.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2e3 description:nuclear receptor subfamily 2, group E, member 3 [Source:MGI Symbol;Acc:MGI:1346317] MSSTVAASTMPVSVAASKKESPGRWGLGEDPTGVGPSLQCRVCGDSSSGKHYGIYACNGC SGFFKRSVRRRLIYRCQVGAGMCPVDKAHRNQCQACRLKKCLQAGMNQDAVQNERQPRSM AQVHLDAMETGSDPRSEPVVASPALAGPSPRGPTSVSATRAMGHHFMASLITAETCAKLE PEDAEENIDVTSNDPEFPASPCSLDGIHETSARLLFMAVKWAKNLPVFSNLPFRDQVILL EEAWNELFLLGAIQWSLPLDSCPLLAPPEASGSSQGRLALASAETRFLQETISRFRALAV DPTEFACLKALVLFKPETRGLKDPEHVEALQDQSQVMLSQHSKAHHPSQPVRFGKLLLLL PSLRFLTAERIELLFFRKTIGNTPMEKLLCDMFKN >ENSMUSP00000113488.1 pep:known chromosome:GRCm38:13:108654177:109951474:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000122041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] MERDTCDVLSRSKSASEETLHSCNEEEDPFRGMEPYLVRRLSSRSIQLPPLAFRQLEQAD LRSESENIPRPTSLPLKILPLIAVTSADSSGFDVDNGTSAGRSPLDPMTSPGSGLILQAN FVHSQRRESFLYRSDSDYDLSPKSMSRNSSIASDIHGDDLIVTPFAQVLASLRTVRNNFA ALTNLQDRAPSKRSPMCNQPSINKATITEEAYQKLASETLEELDWCLDQLETLQTRHSVS EMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPSPTQKEKEKKKRPM SQISGVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKWGLHVFRIAELSGNRPLT VIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAADVVQSTHVLLSTP ALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDSSVLENHHLAVGFK LLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTMVETKKVTSSGVLL LDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDRERERGMEISPMCD KHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWYQSTIPQSPSPAPD DQEEGRQGQTEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSDSKTLCTQDSESTEIPLD EQVEEEAVAEEESQPETCVPDDCCPDT >ENSMUSP00000112991.1 pep:known chromosome:GRCm38:13:109116641:109951387:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000120671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] MEAEGSSVPARAVSEEGNDSAGGAALKAPKHLWRHEQHHQYPLRQPQFRLLHPHHHLPPP PPPSPQPQLQPPPPPPLPPPPPPPGATRGRYASSGASRVRHRGYSDTERYLYCRAMDRTS YAVETGHRPGLKKSRMSWPSSFQGLRRFDVDNGTSAGRSPLDPMTSPGSGLILQANFVHS QRRESFLYRSDSDYDLSPKSMSRNSSIASDIHGDDLIVTPFAQVLASLRTVRNNFAALTN LQDRAPSKRSPMCNQPSINKATITEEAYQKLASETLEELDWCLDQLETLQTRHSVSEMAS NKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPSPTQKEKEKKKRPMSQIS GVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKWGLHVFRIAELSGNRPLTVIMH TIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAADVVQSTHVLLSTPALEA VFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDSSVLENHHLAVGFKLLQE ENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTMVETKKVTSSGVLLLDNY SDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDRERERGMEISPMCDKHNA SVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWYQSTIPQSPSPAPDDQEE GRQGQTEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSDSKTLCTQDSESTEIPLDEQVE EEAVAEEESQPETCVPDDCCPDT >ENSMUSP00000121592.1 pep:known chromosome:GRCm38:13:109260654:109951364:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000153234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] XNCTKLTRKMAQQTTSPDTLTVPEVDNPHVPNPWLNEDLVKSLRENLLQHEKSKTARKSV SPKLSPVISPRNSPRLLRRMLLSSNIPKQRRFTVAHTCFDVDNGTSAGRSPLDPMTSPGS GLILQANFVHSQRRESFLYRSDSDYDLSPKSMSRNSSIASDIHGDDLIVTPFAQVLASLR TVRNNFAALTNLQDRAPSKRSPMCNQPSINKATITEEAYQKLASETLEELDWCLDQLETL QTRHSVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPSPTQKEK EKKKRPMSQISGVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKWGLHVFRIAEL SGNRPLTVIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAADVVQST HVLLSTPALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDSSVLENH HLAVGFKLLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTMVETKKV TSSGVLLLDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDRERERGM EISPMCDKHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWYQSTIPQ SPSPAPDDQEEGRQGQTEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSDSKTLCTQDSE STEIPLDEQVEEEAVAEEESQPETCVPDDCCPDT >ENSMUSP00000114089.1 pep:known chromosome:GRCm38:13:109442183:109951364:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000119507.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] MLKPECWDSSVKITTCNIGDEENINDGRAANMMHVNTFPFRRHSWICFDVDNGTSAGRSP LDPMTSPGSGLILQANFVHSQRRESFLYRSDSDYDLSPKSMSRNSSIASDIHGDDLIVTP FAQVLASLRTVRNNFAALTNLQDRAPSKRSPMCNQPSINKATITEEAYQKLASETLEELD WCLDQLETLQTRHSVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVE IPSPTQKEKEKKKRPMSQISGVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKWG LHVFRIAELSGNRPLTVIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNI HAADVVQSTHVLLSTPALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMY NDSSVLENHHLAVGFKLLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADL KTMVETKKVTSSGVLLLDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQ GDRERERGMEISPMCDKHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNR EWYQSTIPQSPSPAPDDQEEGRQGQTEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSDS KTLCTQDSESTEIPLDEQVEEEAVAEEESQPETCVPDDCCPDT >ENSMUSP00000078891.4 pep:known chromosome:GRCm38:13:109581405:109951344:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000079975.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] MSLPTSCEHLGLGKVARFRSAYVHGSPYAINKPIDIKPQRRRFDVDNGTSAGRSPLDPMT SPGSGLILQANFVHSQRRESFLYRSDSDYDLSPKSMSRNSSIASDIHGDDLIVTPFAQVL ASLRTVRNNFAALTNLQDRAPSKRSPMCNQPSINKATITEEAYQKLASETLEELDWCLDQ LETLQTRHSVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPSPT QKEKEKKKRPMSQISGVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKWGLHVFR IAELSGNRPLTVIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAADV VQSTHVLLSTPALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDSSV LENHHLAVGFKLLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTMVE TKKVTSSGVLLLDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDRER ERGMEISPMCDKHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWYQS TIPQSPSPAPDDQEEGRQGQTEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSDSKTLCT QDSESTEIPLDEQVEEEAVAEEESQPETCVPDDCCPDT >ENSMUSP00000119583.1 pep:known chromosome:GRCm38:13:109632780:109951364:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000135275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] HSAVPVDLLALSLQMAFVWDPLGVAVPGPSPRTRTRLRFSKSYSFDVDNGTSAGRSPLDP MTSPGSGLILQANFVHSQRRESFLYRSDSDYDLSPKSMSRNSSIASDIHGDDLIVTPFAQ VLASLRTVRNNFAALTNLQDRAPSKRSPMCNQPSINKATITEEAYQKLASETLEELDWCL DQLETLQTRHSVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPS PTQKEKEKKKRPMSQISGVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKWGLHV FRIAELSGNRPLTVIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAA DVVQSTHVLLSTPALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDS SVLENHHLAVGFKLLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTM VETKKVTSSGVLLLDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDR ERERGMEISPMCDKHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWY QSTIPQSPSPAPDDQEEGRQGQTEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSDSKTL CTQDSESTEIPLDEQVEEEAVAEEESQPETCVPDDCCPDT >ENSMUSP00000073742.5 pep:known chromosome:GRCm38:13:109686156:109951474:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000074103.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] MSIIMKPRSRSTSSLRTTEAVCFDVDNGTSAGRSPLDPMTSPGSGLILQANFVHSQRRES FLYRSDSDYDLSPKSMSRNSSIASDIHGDDLIVTPFAQVLASLRTVRNNFAALTNLQDRA PSKRSPMCNQPSINKATITEEAYQKLASETLEELDWCLDQLETLQTRHSVSEMASNKFKR MLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPSPTQKEKEKKKRPMSQISGVKKL MHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKWGLHVFRIAELSGNRPLTVIMHTIFQE RDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAADVVQSTHVLLSTPALEAVFTDL EILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDSSVLENHHLAVGFKLLQEENCDI FQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTMVETKKVTSSGVLLLDNYSDRIQ VLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDRERERGMEISPMCDKHNASVEKS QVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWYQSTIPQSPSPAPDDQEEGRQGQ TEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSDSKTLCTQDSESTEIPLDEQVEEEAVA EEESQPETCVPDDCCPDT >ENSMUSP00000113567.1 pep:known chromosome:GRCm38:13:109903102:109951387:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000119672.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] MKEQPSCAGTGHPSMAGGGLPETGQRDPGGAGLVSGPARDPADQALRQ >ENSMUSP00000113024.1 pep:known chromosome:GRCm38:13:109903106:109953461:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000120664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] MKEQPSCAGTGHPSMAGYGRMAPFELAGGPVKRLRTESSFPCLFAEEAYQKLASETLEEL DWCLDQLETLQTRHSVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEV EIPSPTQKEKEKKKRPMSQISGVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKW GLHVFRIAELSGNRPLTVIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNN IHAADVVQSTHVLLSTPALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALM YNDSSVLENHHLAVGFKLLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLAD LKTMVETKKVTSSGVLLLDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFR QGDRERERGMEISPMCDKHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDN REWYQSTIPQSPSPAPDDQEEGRQGQTEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSD SKTLCTQDSESTEIPLDEQVEEEAVAEEESQPETCVPDDCCPDT >ENSMUSP00000112774.1 pep:known chromosome:GRCm38:13:109925063:109951344:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000117879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] MSKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPSPTQKEKEKKKRPMSQI SGVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKWGLHVFRIAELSGNRPLTVIM HTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAADVVQSTHVLLSTPALE AVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDSSVLENHHLAVGFKLLQ EENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTMVETKKVTSSGVLLLDN YSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDRERERGMEISPMCDKHN ASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWYQSTIPQSPSPAPDDQE EGRQGQTEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSDSKTLCTQDSESTEIPLDEQV EEEAVAEEESQPETCVPDDCCPDT >ENSMUSP00000113610.1 pep:known chromosome:GRCm38:13:109926844:109951364:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000117420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] MPEANYLLSVSWGYIKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPSPTQ KEKEKKKRPMSQISGVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKWGLHVFRI AELSGNRPLTVIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAADVV QSTHVLLSTPALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDSSVL ENHHLAVGFKLLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTMVET KKVTSSGVLLLDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDRERE RGMEISPMCDKHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWYQST IPQSPSPAPDDQEEGRQGQTEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSDSKTLCTQ DSESTEIPLDEQVEEEAVAEEESQPETCVPDDCCPDT >ENSMUSP00000114945.1 pep:known chromosome:GRCm38:13:109935312:109948348:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000155459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] XHEVEIPSPTQKEKEKKKRPMSQISGVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELED VNKWGLHVFRIAELSGNRPLTVIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVA YHNNIHAADVVQSTHVLLSTPALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTISG VLAVRQDIKPTALVTCCCSSFQTRNSL >ENSMUSP00000136485.1 pep:known chromosome:GRCm38:13:108860166:109951344:1 gene:ENSMUSG00000021699.17 transcript:ENSMUST00000177907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4d description:phosphodiesterase 4D, cAMP specific [Source:MGI Symbol;Acc:MGI:99555] MERDTCDVLSRSKSASEETLHSCNEEEDPFRGMEPYLVRRLSSRSIQLPPLAFRQLEQAD LRSESENIPRPTSLPLKILPLIAVTSADSSGFDVDNGTSAGRSPLDPMTSPGSGLILQAN FVHSQRRESFLYRSDSDYDLSPKSMSRNSSIASDIHGDDLIVTPFAQVLASLRTVRNNFA ALTNLQDRAPSKRSPMCNQPSINKATITEEAYQKLASETLEELDWCLDQLETLQTRHSVS EMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPSPTQKEKEKKKRPM SQISGVKKLMHSSSLTNSCIPRFGVKTEQEDVLAKELEDVNKWGLHVFRIAELSGNRPLT VIMHTIFQERDLLKTFKIPVDTLITYLMTLEDHYHADVAYHNNIHAADVVQSTHVLLSTP ALEAVFTDLEILAAIFASAIHDVDHPGVSNQFLINTNSELALMYNDSSVLENHHLAVGFK LLQEENCDIFQNLTKKQRQSLRKMVIDIVLATDMSKHMNLLADLKTMVETKKVTSSGVLL LDNYSDRIQVLQNMVHCADLSNPTKPLQLYRQWTDRIMEEFFRQGDRERERGMEISPMCD KHNASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLEDNREWYQSTIPQSPSPAPD DQEEGRQGQTEKFQFELTLEEDCESDTEKDSGSQVEEDTSCSDSKTLCTQDSESTEIPLD EQVEEEAVAEEESQPETCVPDDCCPDT >ENSMUSP00000103902.1 pep:known chromosome:GRCm38:3:30644507:30672431:1 gene:ENSMUSG00000027703.16 transcript:ENSMUST00000108267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrriq4 description:leucine-rich repeats and IQ motif containing 4 [Source:MGI Symbol;Acc:MGI:1915557] MHHSSSGSRCVLQNGLELTVILPRLHRFDIIDTFKTLTKELLRQEHLPKVLQKKEPHQLT DRTFFIDGSNQGLKTIPSEILALKELEEVHLENNQIAEIPQGIQQLQNTKVLYLHNNSLQ DLCPELGALSSLESLDLSGNPLVISSLHVVSRLRTLRELRLYRTGLTEIPTGICKSLHHL ELFGLSENFLESLPEEIVNQTKLREIYLKQNHFEVFPCDLCVLYNLEVIDLDENKLKSIP GDIGHLVRLQKFYVASNHLMSLPESLSQCSKLSVLDLTHNSIHSLPSSLELLTELTEVGL SGNRLEKVPRLLCSWVSLHLLYLRNTSLHGLRDSFKRLINLRFLDLSQNHIEHFPVQICA LKNLEILALDDNKVRQLPPSISLLSNLKILGLTGNDLLSFPEEIFSLISLEKLYIGQDQG SKLSSLPENIKRLMNLKELYIENNRLEQLPASLGLMPNLEVLDCRHNLLKQLPDAICRTR NLRELLLEDNLLCCLPENLDHLVNLKVLTLMNNPMVDPPIYVCNQGNEAIWKHLKENRIR KMMATTIQAWWRGIMVRKGYGSYEELLKARKKGKSPPKDKKGKKAAKGKPEKGNKK >ENSMUSP00000103900.2 pep:known chromosome:GRCm38:3:30647886:30672299:1 gene:ENSMUSG00000027703.16 transcript:ENSMUST00000108265.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrriq4 description:leucine-rich repeats and IQ motif containing 4 [Source:MGI Symbol;Acc:MGI:1915557] MAYPSAKLQMHRFDIIDTFKTLTKELLRQEHLPKVLQKKEPHQLTDRTFFIDGSNQGLKT IPSEILALKELEEVHLENNQIAEIPQGIQQLQNTKVLYLHNNSLQDLCPELGALSSLESL DLSGNPLVISSLHVVSRLRTLRELRLYRTGLTEIPTGICKSLHHLELFGLSENFLESLPE EIVNQTKLREIYLKQNHFEVFPCDLCVLYNLEVIDLDENKLKSIPGDIGHLVRLQKFYVA SNHLMSLPESLSQCSKLSVLDLTHNSIHSLPSSLELLTELTEVGLSGNRLEKVPRLLCSW VSLHLLYLRNTSLHGLRDSFKRLINLRFLDLSQNHIEHFPVQICALKNLEILALDDNKVR QLPPSISLLSNLKILGLTGNDLLSFPEEIFSLISLEKLYIGQDQGSKLSSLPENIKRLMN LKELYIENNRLEQLPASLGLMPNLEVLDCRHNLLKQLPDAICRTRNLRELLLEDNLLCCL PENLDHLVNLKVLTLMNNPMVDPPIYVCNQGNEAIWKHLKENRIRKMMATTIQAWWRGIM VRKGYGSYEELLKARKKGKSPPKDKKGKKAAKGKPEKGNKK >ENSMUSP00000127052.1 pep:known chromosome:GRCm38:3:30645330:30672299:1 gene:ENSMUSG00000027703.16 transcript:ENSMUST00000172350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrriq4 description:leucine-rich repeats and IQ motif containing 4 [Source:MGI Symbol;Acc:MGI:1915557] MHHSSSGSRCVLQNGLELTVILPRLHRFDIIDTFKTLTKELLRQEHLPKVLQKKEPHQLT DRTFFIDGSNQGLKTIPSEILALKELEEVHLENNQIAEIPQGIQQLQNTKVLYLHNNSLQ DLCPELGALSSLESLDLSGNPLVISSLHVVSRLRTLRELRLYRTGLTEIPTGICKSLHHL ELFGLSENFLESLPEEIVNQTKLREIYLKQNHFEVFPCDLCVLYNLEVIDLDENKLKSIP GDIGHLVRLQKFYVASNHLMSLPESLSQCSKLSVLDLTHNSIHSLPSSLELLTELTEVGL SGNRLEKVPRLLCSWVSLHLLYLRNTSLHGLRDSFKRLINLRFLDLSQNHIEHFPVQICA LKNLEILALDDNKVRQLPPSISLLSNLKILGLTGNDLLSFPEEIFSLISLEKLYIGQDQG SKLSSLPENIKRLMNLKELYIENNRLEQLPASLGLMPNLEVLDCRHNLLKQLPDAICRTR NLRELLLEDNLLCCLPENLDHLVNLKVLTLMNNPMVDPPIYVCNQGNEAIWKHLKENRIR KMMATTIQAWWRGIMVRKGYGSYEELLKARKKGKSPPKDKKGKKAAKGKPEKGNKK >ENSMUSP00000035166.5 pep:known chromosome:GRCm38:9:104046599:104063134:-1 gene:ENSMUSG00000032557.11 transcript:ENSMUST00000035166.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba5 description:ubiquitin-like modifier activating enzyme 5 [Source:MGI Symbol;Acc:MGI:1913913] MADSVERLRQRVEELEQELARERTRRSGGDGHCGRTRIQEMSDEVLDSNPYSRLMALKRM GIVSDYKKIRTYAVAIVGVGGVGSVTAEMLTRCGIGKLLLFDYDKVELANMNRLFFQPYQ AGLSKVHAAEHTLRNINPDVLFEVHNYNITTVEHFEHFMNRISNGGLEEGQPVDLVLSCV DNFEARMAINTACNELGQTWMESGVSENAVSGHIQLMIPGESACFACAPPLVVASNIDEK TLKREGVCAASLPTTMGVVAGILVQNVLKFLLKFGTVSFYLGYNAMQDFFPTMFMKPNPQ CDDKNCRKQQEEYKKRAAALPTQEAEPQEEAEVVHEDNEWGIELVSEVSEEELKNSSGPV PTLPEGITVAYTVPKKTEDSASEVTVEDSGESLEDLMARMKNM >ENSMUSP00000118535.1 pep:known chromosome:GRCm38:9:104047650:104056983:-1 gene:ENSMUSG00000032557.11 transcript:ENSMUST00000144195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba5 description:ubiquitin-like modifier activating enzyme 5 [Source:MGI Symbol;Acc:MGI:1913913] LLLFDYDKVELANMNRLFFQPYQAGLSKVHAAEHTLRNINPDVLFEVHNYNITTVEHFEH FMNRISNGGLEEGQPVDLVLSCVDNFEARMAINTACNELGQTWMESGVSENAVSGHIQLM IPGESACFACAPPLVVASNIDEKTLKREGVCAASLPTTMGVVAGILVQNVLKFLLKFGTV SFYLGYNAMQDFFPTMFMKPNPQCDDKNCRKQQEEYKKRAAALPTQEAEPQEEAEVVHED NEWGIELVSEVSEEELKNSSDGGFGF >ENSMUSP00000118734.1 pep:known chromosome:GRCm38:9:104049584:104063063:-1 gene:ENSMUSG00000032557.11 transcript:ENSMUST00000140768.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uba5 description:ubiquitin-like modifier activating enzyme 5 [Source:MGI Symbol;Acc:MGI:1913913] MADSVERLRQRVEELEQELARERTRRSGGDGHCGRTRIQEMSDEVLDSNPYSRLMALKRM GIVSDYKKIRTYAVAIVGVGGVGSVTAEMLTRCGIGKVKRFLFACPVGNCSLREQISCCS LTMIRWSWPT >ENSMUSP00000028286.5 pep:known chromosome:GRCm38:2:26593057:26604332:-1 gene:ENSMUSG00000026922.13 transcript:ENSMUST00000028286.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat2 description:1-acylglycerol-3-phosphate O-acyltransferase 2 (lysophosphatidic acid acyltransferase, beta) [Source:MGI Symbol;Acc:MGI:1914762] MDPWPWLTAALLLLLLLVQLSRTARFYAKVGLYCVLCLSFSAAASIVCLLRHGGRTVDNM SIISWFVRSFKYVYGLRFEVSGQKKLEVDGPCVIISNHQSILDMMGLMEILPKRCVQIAK RELMFTGPVGLIMYLGGVYFINRQQARTAMSVMADLGDLMVKENLKVWIYPEGTRNDNGD LLPFKKGAFYLAIQAQVPIIPVVYSSFSSFYNVKTKLFTSGTIKVQVLDAVPTNGLTDAD VTKLVDTCYQSMRATFLQISQIPQENSAIKEPGVLPAQ >ENSMUSP00000115059.1 pep:known chromosome:GRCm38:2:26596441:26603926:-1 gene:ENSMUSG00000026922.13 transcript:ENSMUST00000154753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat2 description:1-acylglycerol-3-phosphate O-acyltransferase 2 (lysophosphatidic acid acyltransferase, beta) [Source:MGI Symbol;Acc:MGI:1914762] MCAACRARPCGAPCAQRGVSGLRAPLALGEGGGRAKVGQAQSHFHQQGNRSLARLPVRAS TSLPVRRHLCPGCSHSIISWFVRSFKYVYGLRFEVSGQKKLEVDGPCVIISNHQSILDMM GLMEILPKR >ENSMUSP00000125656.1 pep:known chromosome:GRCm38:13:97137978:97176451:1 gene:ENSMUSG00000021666.16 transcript:ENSMUST00000161639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfm2 description:G elongation factor, mitochondrial 2 [Source:MGI Symbol;Acc:MGI:2444783] MFTKWRIFAVNHQRTFSVHLNTMCYCKIKANLKRLKTQLPLTRNYSSAPGIAGSDVKSLH SVINPPVAKIRNIGIMAHIDAGKTTTTERILYYSGYTRSLGDVDDGDTVTDFMAQERERG ITIQSAAVTLDWKGYRVNLIDTPGHVDFTLEVERCLRVLDGAVAVFDASAGVEAQTLTVW RQADKHKIPRICFLNKMDKTGASFNYAVESIREKLKAKPLILQLPIGEARTFQGVVDVVN KEKLLWNSNSDDGKDFERMPLSEASDRELLKETIEARNSLIEQVADLDDEFADLVLGEFS ENFDLVPAEKLQAAVHRVTLAQAAVPVLCGSALKNKGVQPLLDAVTTYLPSPEEREDRFL QWYEGDLCALAFKVLHDKQRGPLVFLRIYSGTLTPQLAVHNINRNCTERMSRLLLPFADQ HVEIPSLTAGNIALTVGLKQTATGDTIVSSKSSALAAARRAGRGEREHGKKREAESLLLA GVEVPEPVFFCTIEPPSVAKQPDLDHALERLQREDPSLKVKLDPDSGQTVLCGMGELHIE IIHDRIKREYGLETYLGPLQVAYRETILNSVRATDTLDRVLGDKRHLVSAELEVRPAEEP CAVAKIEYADCVGEDLLQASREAIESAVHSACLQGPLLGSPVQDVAMTLHSLMIHPGTST TMVTACISRCMQKALKKADKQVLEPLMSLEVTVSREYLSPVLADLAQRRGNIQEIQTRQD NRVVLGFVPLAEIMGYSTVLRTLTSGSATFALELSTYQAMSPQDQSALLNQRSGLAHVL >ENSMUSP00000022170.7 pep:known chromosome:GRCm38:13:97138040:97176451:1 gene:ENSMUSG00000021666.16 transcript:ENSMUST00000022170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfm2 description:G elongation factor, mitochondrial 2 [Source:MGI Symbol;Acc:MGI:2444783] MFTKWRIFAVNHQRTFSVHLNTMCYCKIKANLKRLKTQLPLTRNYSSAPAGSDVKSLHSV INPPVAKIRNIGIMAHIDAGKTTTTERILYYSGYTRSLGDVDDGDTVTDFMAQERERGIT IQSAAVTLDWKGYRVNLIDTPGHVDFTLEVERCLRVLDGAVAVFDASAGVEAQTLTVWRQ ADKHKIPRICFLNKMDKTGASFNYAVESIREKLKAKPLILQLPIGEARTFQGVVDVVNKE KLLWNSNSDDGKDFERMPLSEASDRELLKETIEARNSLIEQVADLDDEFADLVLGEFSEN FDLVPAEKLQAAVHRVTLAQAAVPVLCGSALKNKGVQPLLDAVTTYLPSPEEREDRFLQW YEGDLCALAFKVLHDKQRGPLVFLRIYSGTLTPQLAVHNINRNCTERMSRLLLPFADQHV EIPSLTAGNIALTVGLKQTATGDTIVSSKSSALAAARRAGRGEREHGKKREAESLLLAGV EVPEPVFFCTIEPPSVAKQPDLDHALERLQREDPSLKVKLDPDSGQTVLCGMGELHIEII HDRIKREYGLETYLGPLQVAYRETILNSVRATDTLDRVLGDKRHLVSAELEVRPAEEPCA VAKIEYADCVGEDLLQASREAIESAVHSACLQGPLLGSPVQDVAMTLHSLMIHPGTSTTM VTACISRCMQKALKKADKQVLEPLMSLEVTVSREYLSPVLADLAQRRGNIQEIQTRQDNR VVLGFVPLAEIMGYSTVLRTLTSGSATFALELSTYQAMSPQDQSALLNQRSGLAHVL >ENSMUSP00000048373.6 pep:known chromosome:GRCm38:13:97137937:97176441:1 gene:ENSMUSG00000021666.16 transcript:ENSMUST00000042084.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfm2 description:G elongation factor, mitochondrial 2 [Source:MGI Symbol;Acc:MGI:2444783] MFTKWRIFAVNHQRTFSVHLNTMCYCKIKANLKRLKTQLPLTRNYSSAPGIAGSDVKSLH SVINPPVAKIRNIGIMAHIDAGKTTTTERILYYSGYTRSLGDVDDGDTVTDFMAQERERG ITIQSAAVTLDWKGYRVNLIDTPGHVDFTLEVERCLRVLDGAVAVFDASAGVEAQTLTVW RQADKHKIPRICFLNKMDKTGASFNYAVESIREKLKAKPLILQLPIGEARTFQGVVDVVN KEKLLWNSNSDDGKDFERMPLSEASDRELLKETIEARNSLIEQLQAAVHRVTLAQAAVPV LCGSALKNKGVQPLLDAVTTYLPSPEEREDRFLQWYEGDLCALAFKVLHDKQRGPLVFLR IYSGTLTPQLAVHNINRNCTERMSRLLLPFADQHVEIPSLTAGNIALTVGLKQTATGDTI VSSKSSALAAARRAGRGEREHGKKREAESLLLAGVEVPEPVFFCTIEPPSVAKQPDLDHA LERLQREDPSLKVKLDPDSGQTVLCGMGELHIEIIHDRIKREYGLETYLGPLQVAYRETI LNSVRATDTLDRVLGDKRHLVSAELEVRPAEEPCAVAKIEYADCVGEDLLQASREAIESA VHSACLQGPLLGSPVQDVAMTLHSLMIHPGTSTTMVTACISRCMQKALKKADKQVLEPLM SLEVTVSREYLSPVLADLAQRRGNIQEIQTRQDNRVVLGFVPLAEIMGYSTVLRTLTSGS ATFALELSTYQAMSPQDQSALLNQRSGLAHVL >ENSMUSP00000124253.1 pep:known chromosome:GRCm38:13:97137985:97168491:1 gene:ENSMUSG00000021666.16 transcript:ENSMUST00000161913.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfm2 description:G elongation factor, mitochondrial 2 [Source:MGI Symbol;Acc:MGI:2444783] MFTKWRIFAVNHQRTFSVHLNTMCYCKIKANLKRLKTQLPLTRNYSSAPGIAGSDVKSLH SVINPPVAKIRNIGIMAHIDAGKTTTTERILYYSGYTRSLGDVDDGDTVTDFMAQERERG ITIQSAAVTLDWKGYRVNLIDTPGHVDFTLEVERCLRVLDGAVAVFDASAGVEAQTLTVW RQADKHKIPRICFLNKMDKTGASFNYAVESIREKLKAKPLILQLPIGEARTFQGVVDVVN KEKLLWNSNSDDGKDFERMPLSEASDRELLKETIEARNSLIEQVADLDDEFADLVLGEFS ENFDLVPAEKLQAAVHRVTLAQAAVPVLCGSALKNKGVQPLLDAVTTYLPSPEEREDRFL QWYEGDLCALAFKVLHDKQRGPLVFLRIYSGTLTPQLAVHNINRNCTERMSRLLLPFADQ HVEIPSLTAGNIALTVGLKQTATGDTIVSSKSSALAAARRAGRGEREHGKKREAESLLLA GVEVPEPVFFCTIEPPSVAKQPDLDHALERLQREDPSLKVKLDPDSGQVRILWPRPWKEG RHA >ENSMUSP00000125088.1 pep:known chromosome:GRCm38:13:97138002:97181195:1 gene:ENSMUSG00000021666.16 transcript:ENSMUST00000161825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfm2 description:G elongation factor, mitochondrial 2 [Source:MGI Symbol;Acc:MGI:2444783] MFTKWRIFAVNHQRTFSVHLNTMCYCKIKANLKRLKTQLPLTRNYSSAPGIAGSDVKSLH SVINPPVAKIRNIGIMAHIDAGKTTTTERILYYSGYTRSLGDVDDGDTVTDFMAQERERG ITIQSAAVTLDWKGYRVNLIDTPGHVDFTLEVERCLRVLDGAVAVFDASAGVEAQTLTVW RQADKHKIPRICFLNKMDKTGASFNYAVESIREKLKAKPLILQLPIGEARTFQGVVDVVN KEKLLWNSNSDDGKDFERMPLSEASDRELLKETIEARNSLIEQVADLDDEFADLVLGEFS ENFDLVPAEKLQAAVHRVTLAQAAVPVLCGSALKNKGVQPLLDAVTTYLPSPEEREDRFL QWYEGDLCALAFKVLHDKQRGPLVFLRIYSGTLTPQLAVHNINRNCTERMSRLLLPFADQ HVEIPSLTAGNIALTVGLKQTATGDTIVSSKSSALAAARRAGRGEREHGKKREAESLLLA GVEVPEPVFFCTIEPPSVAKQPDLDHALERLQREDPSLKVKLDPDSGQTVLCGMGELHIE IIHDRIKREYGLETYLGPLQVAYRETILNSVRATDTLDRVLGDKRHLVSAELEVRPAEEP CAVAKIEYADCVGEDLLQASREAIESAVHSACLQGPLLGSPVQDVAMTLHSLMIHPGTST TMVTACISRCMQKALKKADKQVLEPLMSLEVTVSREYLSPVLADLAQRRGNIQEIQTRQD NRVVLGFVPLAEIMSLQLADG >ENSMUSP00000124426.1 pep:known chromosome:GRCm38:13:97137937:97155477:1 gene:ENSMUSG00000021666.16 transcript:ENSMUST00000160139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfm2 description:G elongation factor, mitochondrial 2 [Source:MGI Symbol;Acc:MGI:2444783] MFTKWRIFAVNHQRTFSVHLNTMCYCKIKANLKRLKTQLPLTRNYSSAPGIAGSDVKSLH SVINPPVAKIRNIGIMAHIDAGKTTTTERILYYSGYTRSLGDVDDGDTVTDFMAQERERG ITIQSAAVTLDWKGYRVNLIDTPGHVDFTLEVERCLRVLDGAVAVFDASAGVEVKAQTLT VWRQADKHKIPRICFLNKMDKTGASFNYAVESIREKLKAKPLILQLPIGEARTFQGVVDV VNKE >ENSMUSP00000125306.1 pep:known chromosome:GRCm38:13:97138008:97146295:1 gene:ENSMUSG00000021666.16 transcript:ENSMUST00000161929.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfm2 description:G elongation factor, mitochondrial 2 [Source:MGI Symbol;Acc:MGI:2444783] MFTKWRIFAVNHQRTFSVHLNTMCYCKIKANLKRLKTQLPLTRNYSSAPGIAGSDVKSLH SVINPPVAKIRNIGIMAHIDAGKTTTTERILYYS >ENSMUSP00000125682.1 pep:known chromosome:GRCm38:13:97150350:97162902:1 gene:ENSMUSG00000021666.16 transcript:ENSMUST00000160981.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gfm2 description:G elongation factor, mitochondrial 2 [Source:MGI Symbol;Acc:MGI:2444783] XERCLRVLDGAVAVFDASAGVEGLIMYHVCR >ENSMUSP00000110835.2 pep:known chromosome:GRCm38:17:25099570:25115967:-1 gene:ENSMUSG00000024170.15 transcript:ENSMUST00000115181.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Telo2 description:TEL2, telomere maintenance 2, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1918968] MDPALSAVRLTVQEAIHILSSSEDAGHILSTLGTLKRYLGGTEDPVLPEEKEEFATVHFS AVLRCLVSKLSPGWLELSPGGQLERLWESFFLDGPPDQAFLVLMEAIESTAGPSFRLMKM AQLLDTFLSTGRVAALMEEQCRPQTKPSFPLFQETLLSKVVGLPDLLGNCLQRDNLTQFF PQNYFPLLGQEVVQALKAVVNFLQDGLDCSVSFVSRVLGKVCIQGRKREILSVLVPQLTV LTQDSCLWQRVCWRLVEQVPDRAVEAVLTGLVEAAPRPEVLSRLLGNLVVKNKKARFVVT RKLLLLQYQHTTPMVQSLLGYLALDSQRRPLLIQVLKELLETWGCSSAVRHTPLEQQCYI SKAILVCLAHLGEPELQDIRDELLASMMAGVKCRLDSSLPPVRRLGMIVAEVISSRIHPE GPLLKFQYEDDEMSRELLALATPEPAGDCSSVSRGPSPAPVDTESPVEMPEKAVESDVPP TQPQGSDSELDSDDEFIPYDMSGDRELKSSKEPLYIRDCVEALTTSEDMERWEASLKGLE GLVYRSPTATREVSVELAKVLLHLEEKTCVAEFEQLRQSALVAVTVTDPEQVAKYLTSQF YGLNYSLRQRMDILDVLVLAAQALSRPKSLQRRSQHGPPVPGTMCSPALAVSQTGNVAAP DWQVVVEERIRSKTRRFSKGCPQRELSGVPNEFSSVAGYFFFPLLQHFDRPLVTFDLLGD DQLVLGRLTHTLASLMYLAVNTTVAVPMGKALLEFVWALRFHVDIYVRRGLLSAVSSVLL SVPTERLLGDLPDELLEARSWLADVAEKDVDEDCRELAVRALLLLERLKDKLLSSSSPQP >ENSMUSP00000024987.5 pep:known chromosome:GRCm38:17:25099577:25115905:-1 gene:ENSMUSG00000024170.15 transcript:ENSMUST00000024987.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Telo2 description:TEL2, telomere maintenance 2, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1918968] MDPALSAVRLTVQEAIHILSSSEDAGHILSTLGTLKRYLGGTEDPVLPEEKEEFATVHFS AVLRCLVSKLSPGWLELSPGGQLERLWESFFLDGPPDQAFLVLMEAIESTAGPSFRLMKM AQLLDTFLSTGRVAALMEEQCRPQTKPSFPLFQETLLSKVVGLPDLLGNCLQRDNLTQFF PQNYFPLLGQEVVQALKAVVNFLQDGLDCSVSFVSRVLGKVCIQGRKREILSVLVPQLTV LTQDSCLWQRVCWRLVEQVPDRAVEAVLTGLVEAAPRPEVLSRLLGNLVVKNKKARFVVT RKLLLLQYQHTTPMVQSLLGYLALDSQRRPLLIQVLKELLETWGCSSAVRHTPLEQQCYI SKAILVCLAHLGEPELQDIRDELLASMMAGVKCRLDSSLPPVRRLGMIVAEVISSRIHPE GPLLKFQYEDDEMSRELLALATPEPAGDCSSVSRGPSPAPVDTESPVEMPEKAVESDVPP TQPQGSDSELDSDDEFIPYDMSGDRELKSSKEPLYIRDCVEALTTSEDMERWEASLKGLE GLVYRSPTATREVSVELAKVLLHLEEKTCVAEFEQLRQSALVAVTVTDPEQVAKYLTSQF YGLNYSLRQRMDILDVLVLAAQALSRPKSLQRRSQHGPPVPGTMCSPALAVSQTGNVAAP DWQVVVEERIRSKTRRFSKGCPQRELSGVPNEFSSVAGYFFFPLLQHFDRPLVTFDLLGD DQLVLGRLTHTLASLMYLAVNTTVAVPMGKALLEFVWALRFHVDIYVRRGLLSAVSSVLL SVPTERLLGDLPDELLEARSWLADVAEKDVDEDCRELAVRALLLLERLKDKLLSSSSPQP >ENSMUSP00000068053.5 pep:known chromosome:GRCm38:5:104202613:104214102:1 gene:ENSMUSG00000029307.7 transcript:ENSMUST00000066708.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmp1 description:dentin matrix protein 1 [Source:MGI Symbol;Acc:MGI:94910] MKTVILLVFLWGLSCALPVARYHNTESESSEERTGDLAGSPPPPTNSESSEESQASPEGQ ANSDHTDSSESGEELGYDRGQYRPAGGLSKSTGTGADKEDDEDDSGDDTFGDEDNDLGPE EGQWGGPSKLDSDEDSTDTTQSSEDSTSQENSAQDTPSDSKDHDSEDEADSRPEAGDSTQ DSESEEQRVGGGSEGESSHGDGSEFDDEGMQSDDPESTRSDRGHARMSSAGIRSEESKGD HEPTSTQDSDDSQSVEFSSRKSFRRSHVSEEDYRGELTDSNSRETQSDSTEDTASKEESR SESQEDTAESQSQEDSPEGQDPSSESSEEAGEPSQESSSESQEGVTSESRGDNPDNTSQA GDQEDSESSEEDSLNTFSSSESQSTEEQADSESNESLSLSEESQESAQDGDSSSQEGLQS QSASTESRSQESQSEQDSRSEEDSDSQDSSRSKEESNSTGSASSSEEDIRPKNMEADSRK LIVDAYHNKPIGDQDDNDCQDGY >ENSMUSP00000030872.5 pep:known chromosome:GRCm38:5:22486489:22550375:-1 gene:ENSMUSG00000029012.11 transcript:ENSMUST00000030872.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc5 description:origin recognition complex, subunit 5 [Source:MGI Symbol;Acc:MGI:1347044] MSHLESMVLCREAQVSTLQSLFGERHHFSFPSIFIYGHTASGKTYVTQTLLKTLELPHAF VNCVECFTSRLLLEQILNKLSHLNSSDAGCSTEMTCETFNDFVQLFKQVTSAEHLKDQTV YIVLDKAEYLRDMEANLLPGLLRLQELTDRNVTVIFLSEIIWEKFRPNTGCFEPFVLYFP DYSIGNLQKILSHDHPPEYSADFYAAYINILLGVFYTVCRDLKELRHLAVLNFPKYCEPV VKGEAGERDTRKLWRNIEPHLKKAMQTVYLREISSSQWEKLQKDNTDPGQLKGLSAYTHM ELPYYSKFILIAAYLASYNPARTDKRFFLKHHGKIKKTNFLKKHEKTSNHLLGPKPFPLD RLLAILYSIVDSRVAPTANIFSQITSLVTLQLLTIVGHEDQLNGPKYKCTVSLDFIRAIA RMVNFDIIKYLYDFL >ENSMUSP00000120214.1 pep:known chromosome:GRCm38:5:22523516:22549518:-1 gene:ENSMUSG00000029012.11 transcript:ENSMUST00000141263.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc5 description:origin recognition complex, subunit 5 [Source:MGI Symbol;Acc:MGI:1347044] MLAILERHHFSFPSIFIYGHTASGKTYVTQTLLKTLELPHAFVNCVECFTSRLLLEQILN KLSHLNSSDAGCSTEMTCETFNDFVQLFKQVTSAEHLKDQTVYIVLDKAEYLRDMEANLL PGLLRLQELTDRNVTVIFLSEIIWEKFRPNTGCFEPFVLYFPDYSIGNLQKILSHDHPPE YSADFYAAYINILLGVFYTVCRDLKELRHLAVLNFPKYCEPVVKGEAGERDTRKLWRNIE PHLKKAMQTVYLREISSSQWEKLQKDNTDPGQLKGLSAYTHMELPYYSKFILIAAYLASY NPARTDKRFFLK >ENSMUSP00000123377.1 pep:known chromosome:GRCm38:5:30058202:30073581:-1 gene:ENSMUSG00000025747.12 transcript:ENSMUST00000141630.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tyms description:thymidylate synthase [Source:MGI Symbol;Acc:MGI:98878] MLVVGSELQSDAQQLSAEAPRHGELQYLRQVEHILRCGFKKEDRTGTGTLSVFGMQARYS LRDEFPLLTTKRVFWKGVLEELLWFIKVLDGTCCTDIRAGKRKKKKKKKEERKVSYPYCS CHLCEDRMDPQMLKNCPQRE >ENSMUSP00000026846.6 pep:known chromosome:GRCm38:5:30058827:30073617:-1 gene:ENSMUSG00000025747.12 transcript:ENSMUST00000026846.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyms description:thymidylate synthase [Source:MGI Symbol;Acc:MGI:98878] MLVVGSELQSDAQQLSAEAPRHGELQYLRQVEHILRCGFKKEDRTGTGTLSVFGMQARYS LRDEFPLLTTKRVFWKGVLEELLWFIKGSTNAKELSSKGVRIWDANGSRDFLDSLGFSAR QEGDLGPVYGFQWRHFGAEYKDMDSDYSGQGVDQLQKVIDTIKTNPDDRRIIMCAWNPKD LPLMALPPCHALCQFYVVNGELSCQLYQRSGDMGLGVPFNIASYALLTYMIAHITGLQPG DFVHTLGDAHIYLNHIEPLKIQLQREPRPFPKLKILRKVETIDDFKVEDFQIEGYNPHPT IKMEMAV >ENSMUSP00000143552.1 pep:known chromosome:GRCm38:5:30061131:30073569:-1 gene:ENSMUSG00000025747.12 transcript:ENSMUST00000196095.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tyms description:thymidylate synthase [Source:MGI Symbol;Acc:MGI:98878] MLVVGSELQSDAQQLSAEAPRHGELQYLRQVEHILRCGFKKEDRTGTGTLSVFGMQARYS LRDEFPLLTTKRVFWKGVLEELLWFIKITRDKE >ENSMUSP00000142970.1 pep:known chromosome:GRCm38:5:30061980:30073581:-1 gene:ENSMUSG00000025747.12 transcript:ENSMUST00000196872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyms description:thymidylate synthase [Source:MGI Symbol;Acc:MGI:98878] MLVVGSELQSDAQQLSAEAPRHGELQYLRQVEHILRCGFKKEDRTGTGTLSVFGMQARYS LRDYSGQGVDQLQKVIDTIKTNPDDRRIIMCAWNPKDLPLMALPPCHALCQFYVVNGELS CQLYQRSGDMGLGVPFNIASYALLTYMIAHITGLQPGDFVHTLGDAHIYLNHIEP >ENSMUSP00000143540.1 pep:known chromosome:GRCm38:5:30063236:30073552:-1 gene:ENSMUSG00000025747.12 transcript:ENSMUST00000146520.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tyms description:thymidylate synthase [Source:MGI Symbol;Acc:MGI:98878] MNFLCSQPNECSGRVFWRSCCGLSRDPQMLKNCPQRE >ENSMUSP00000143001.1 pep:known chromosome:GRCm38:5:30064103:30073576:-1 gene:ENSMUSG00000025747.12 transcript:ENSMUST00000198095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyms description:thymidylate synthase [Source:MGI Symbol;Acc:MGI:98878] MLVVGSELQSDAQQLSAEAPRHGELQYLRQVEHILRCGFKKEDRTGTGTLSVFGMQARYS LRDEFPLLTTKRVFWKGVLEELLWFIKEGDLGPVYGFQWRHFGAEYKDMDSDYSGQGVDQ LQKVIDTIKTNPDDRRIIM >ENSMUSP00000097468.1 pep:known chromosome:GRCm38:2:86482799:86483767:-1 gene:ENSMUSG00000075180.2 transcript:ENSMUST00000099883.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr228 description:olfactory receptor 228 [Source:MGI Symbol;Acc:MGI:3030062] MKKQNFTMVTEFILVGITDCPELQAPLFGLFLIIYLITLVGNLGMIILTMVDSRLQTPMY FFLRHLATTDLGYSTAVGPKMLRNFLVEQNTISFYICAVQLTFFNMFIVSEFFILSAMSY DRYVAICKPLLYNVIMSQRVCWVLVAIPYIYSIFVALLISINIFSSSFCGHNIISHFYCD GLPLISLLCSYRKENEMITFILSIINLITSPLVILASYLLILRAILRMNSAEGRQKAFST CGSHLTVVTVFYGTLIFMYVQPKSSDSLKTDKVASIFYALIIPMLNPLIYSLRNKDVKSA LRKTGKTIQNIFS >ENSMUSP00000031937.3 pep:known chromosome:GRCm38:6:40878794:40887494:-1 gene:ENSMUSG00000029885.3 transcript:ENSMUST00000031937.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Moxd2 description:monooxygenase, DBH-like 2 [Source:MGI Symbol;Acc:MGI:2388042] MACVLLFRLFLLLVLAAFSQGKRLGPTSPLRYSRFLDPSRAVFLRWDFDYEAEIITFELQ VQTTGWVGLGITDRYTFVGSDLVVGGVLPNGNVYFSDQHLLDEDTLEQDGSQDAELLRLT EDAVSTTMRFSRPFRTCDPHDRDITSDTMRVLAAYGPDDIPKMSREHTFVKSIFLLQMLQ YDDQDAPEDTIIHDLKISNFIIPEDDTTYACTFLPLPIVSKKHHIYKFEPILVERNETMV HHVLVYACGNSSVLPTGIGECYGSDPAFSLCSHVIAGWAVGGLSYQFPDDVGISIGTPFD PQWIRLEIHYSNFQNLPGIRDTSGMRLFYTSHLRKYDMGVLQLGISVFPIHFIPPGAEAF LSYGLCKTDKFEELNGAPVSDIYISACLLHTHLAGRSLQALQYRNGTQLQVVCKDFSYDF NLQESRDLPHPVVIKPGDELLIECHYQTLDRDFMTFGGASTINEMCLIFFFYYPRINISS CMGYPDIIYVTNELGEEASENPMENLMVLDNVEWTPENIKKAEKACKESQQTVLIKTIDE EVENTTGWIPDIIPTPRGPCLESTGGKVEPQDNTPAGFRAVPLALSGSNTATLRPLPMIA VLFLQGSLSCLLAMLQTGV >ENSMUSP00000029252.7 pep:known chromosome:GRCm38:3:30624267:30647869:-1 gene:ENSMUSG00000027702.7 transcript:ENSMUST00000029252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc34 description:leucine rich repeat containing 34 [Source:MGI Symbol;Acc:MGI:1919077] MEKLQAQYCYLCSENIRKTNPFILNILQKLDEEIEKRPKEKFTVNIAGNNRLDSGQRITG EDFWLLSKTLRNQPCISGVDVRYNLIGDVGAFYAAKLLQKQPSITYLNLMFNDIGPEGGE LIAKALHKNKTLKYLRMTGNKIENTGGMLFAAMLQMNSSLEKLDLGDCDLGLQCVIAFST VLTQNQAIKGINLNRPILYGEQEESTVHIGHMLKENHVLVELHMCKHGMKNYGLQQLCNA LYLNSSLRYLDVSCNKITRDGMVFLADVLKSNTTLEVLDLSFNRIETAGAKYLSETLTSH NRSLKALSVVSNKIEGEGLVALSQSMKTNLVLSNIYIWGNKFDEDTCVAYSDLIKSGRLK PDNTDVEPYMVDEHIYLSEVSNGLKRHYYWAPTYGETYMPSSSAGFALVPVGEHL >ENSMUSP00000121305.1 pep:known chromosome:GRCm38:10:95385362:95413109:-1 gene:ENSMUSG00000020027.18 transcript:ENSMUST00000139210.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Socs2 description:suppressor of cytokine signaling 2 [Source:MGI Symbol;Acc:MGI:1201787] XWYWGSMTVNEAKEKLKEAPEGTFLIRDSSHSDYLLTISVKTSAGPTNLRIEYQDGKFRL DSIICVKSKLKQFDSVVHLIDYYVQMCKDKRTGPEAPRNGTVHLYLTKPLYTSAPTLQHF CRLAINKCTGTIWGLPLPTRLKDYLEEYKFQECGELVLSADFYGKYFPILLDPVLTTSFL AHHYTVTTLKIKKLGAQGKVK >ENSMUSP00000020215.9 pep:known chromosome:GRCm38:10:95411490:95416857:-1 gene:ENSMUSG00000020027.18 transcript:ENSMUST00000020215.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs2 description:suppressor of cytokine signaling 2 [Source:MGI Symbol;Acc:MGI:1201787] MTLRCLEPSGNGADRTRSQWGTAGLPEEQSPEAARLAKALRELSQTGWYWGSMTVNEAKE KLKEAPEGTFLIRDSSHSDYLLTISVKTSAGPTNLRIEYQDGKFRLDSIICVKSKLKQFD SVVHLIDYYVQMCKDKRTGPEAPRNGTVHLYLTKPLYTSAPTLQHFCRLAINKCTGTIWG LPLPTRLKDYLEEYKFQV >ENSMUSP00000113378.1 pep:known chromosome:GRCm38:10:95412616:95415283:-1 gene:ENSMUSG00000020027.18 transcript:ENSMUST00000119917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs2 description:suppressor of cytokine signaling 2 [Source:MGI Symbol;Acc:MGI:1201787] MTLRCLEPSGNGADRTRSQWGTAGLPEEQSPEAARLAKALRELSQTGWYWGSMTVNEAKE KLKEAPEGTFLIRDSSHSDYLLTISVKTSAGPTNLRIEYQDGKFRLDSIICVKSKLKQFD SVVHLIDYYVQMCKDKRTGPEAPRNGTVHLYLTKPLYTSAPTLQHFCRLAINKCTGTIWG LPLPTRLKDYLEEYKFQV >ENSMUSP00000118720.1 pep:known chromosome:GRCm38:10:95413010:95417180:-1 gene:ENSMUSG00000020027.18 transcript:ENSMUST00000135822.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs2 description:suppressor of cytokine signaling 2 [Source:MGI Symbol;Acc:MGI:1201787] MTLRCLEPSGNGADRTRSQWGTAGLPEEQSPEAARLAKALRELSQTGWYWGSMTVNEAKE KLKEAPEGTFLIRDSSHSDY >ENSMUSP00000117785.1 pep:known chromosome:GRCm38:10:95413038:95415484:-1 gene:ENSMUSG00000020027.18 transcript:ENSMUST00000150432.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs2 description:suppressor of cytokine signaling 2 [Source:MGI Symbol;Acc:MGI:1201787] MTLRCLEPSGNGADRTRSQWGTAGLPEEQSPEAARLAKALRELSQTGWYWGSMTVNEAKE KLKEAPEGTF >ENSMUSP00000117576.1 pep:known chromosome:GRCm38:10:95413062:95416212:-1 gene:ENSMUSG00000020027.18 transcript:ENSMUST00000129942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs2 description:suppressor of cytokine signaling 2 [Source:MGI Symbol;Acc:MGI:1201787] MTLRCLEPSGNGADRTRSQWGTAGLPEEQSPEAARLAKALRELSQTGWYWGSMTVNEAKE KL >ENSMUSP00000129331.1 pep:known chromosome:GRCm38:10:95411490:95416212:-1 gene:ENSMUSG00000020027.18 transcript:ENSMUST00000170690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs2 description:suppressor of cytokine signaling 2 [Source:MGI Symbol;Acc:MGI:1201787] MTLRCLEPSGNGADRTRSQWGTAGLPEEQSPEAARLAKALRELSQTGWYWGSMTVNEAKE KLKEAPEGTFLIRDSSHSDYLLTISVKTSAGPTNLRIEYQDGKFRLDSIICVKSKLKQFD SVVHLIDYYVQMCKDKRTGPEAPRNGTVHLYLTKPLYTSAPTLQHFCRLAINKCTGTIWG LPLPTRLKDYLEEYKFQV >ENSMUSP00000131875.1 pep:known chromosome:GRCm38:10:95411490:95415484:-1 gene:ENSMUSG00000020027.18 transcript:ENSMUST00000172070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs2 description:suppressor of cytokine signaling 2 [Source:MGI Symbol;Acc:MGI:1201787] MTLRCLEPSGNGADRTRSQWGTAGLPEEQSPEAARLAKALRELSQTGWYWGSMTVNEAKE KLKEAPEGTFLIRDSSHSDYLLTISVKTSAGPTNLRIEYQDGKFRLDSIICVKSKLKQFD SVVHLIDYYVQMCKDKRTGPEAPRNGTVHLYLTKPLYTSAPTLQHFCRLAINKCTGTIWG LPLPTRLKDYLEEYKFQV >ENSMUSP00000091828.4 pep:known chromosome:GRCm38:1:177991435:178048291:1 gene:ENSMUSG00000091476.6 transcript:ENSMUST00000094273.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16432 description:predicted gene 16432 [Source:MGI Symbol;Acc:MGI:3645414] MLARRVVAALLLWLSCCVSALWRYYINSQDYSIFSTRSSIKLEYEGNSFVSWKIPESCKV ENNTSPKTTLHCKRAGIHTIEPIARNQEVERHLTVDNSYICYLWYFTVVDVYYNLSQIVT IWVYDPESASTEELIRTAKKPSLSS >ENSMUSP00000142187.1 pep:known chromosome:GRCm38:1:177991436:178172704:1 gene:ENSMUSG00000091476.6 transcript:ENSMUST00000192146.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm16432 description:predicted gene 16432 [Source:MGI Symbol;Acc:MGI:3645414] MLARRVVAALLLWLSCCVSALWRYYINSQDYSIFSTRSSIKLEYEGNSFVSWKIPESCKV ENNTSPKTTLHCKRAGIHTIEPIARNQEVERHLTVDNSYICYLWYFTVVDVYYNLSQIVT IWVYDPESASTEELIRTAKKPSLIVTIWVYDPESASTEELIWTAKKPSLNSLVLTKQMNT LGQRPFIFTVEKRLAYHPGPLTSEGTWVIHLPMSTDDIAKVIQGNNVDFQDCRIADLHFL LTFPMEIVLEPPGYLPLTLPPGSPLMLSWDTCISTFALLATDQETFQTNDSFQTWTRVRA PPGILSDAQRHSLRDVLVFRTGILFLVETTVYLKTDNEFIKLDKSRGISETGILGLSKRR WCQIRYLYKSAAGRTFVLAWTKSEVYGGFGKFKFMRFTTTSRLKYLLKLPPTDTLEIITV EYSWHPLEAAALLSHCSVCTTTKNIRMVIFNSAYFSWKLQDFELQVPKEAKLEYRFLYSA MPDIIVWDEHQVYYGYRNFAVFGTITTASGETNLSSLSQGSNIHQVLTDSIGNVVVKMEN NVMFYIKADITEAVILHTWVNTTAKTGLFFDKSFEVCILYYNENLDEKYQLQTQPYPLIL ELQSINKDLGDWCPYLAFQHNIHSQFYHMDKGESLTIWSQIVYPENRGLYIVLEHYGMRI LTWTQNIEYEIASGFCTKTLITRFFQTTNYELVDNYYQLQKENTGLLVFQFRPSEFSRMC PTAKPVFEIDVGCDSSKHIMVQGFNRSGCQRRDFSYVIDKELLRESLSDNLVRNL >ENSMUSP00000029128.3 pep:known chromosome:GRCm38:2:155276297:155278073:1 gene:ENSMUSG00000027602.9 transcript:ENSMUST00000029128.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map1lc3a description:microtubule-associated protein 1 light chain 3 alpha [Source:MGI Symbol;Acc:MGI:1915661] MPSDRPFKQRRSFADRCKEVQQIRDQHPSKIPVIIERYKGEKQLPVLDKTKFLVPDHVNM SELVKIIRRRLQLNPTQAFFLLVNQHSMVSVSTPIADIYEQEKDEDGFLYMVYASQETFG F >ENSMUSP00000115381.1 pep:known chromosome:GRCm38:9:104040662:104075879:1 gene:ENSMUSG00000101152.1 transcript:ENSMUST00000147249.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28305 description:predicted gene 28305 [Source:MGI Symbol;Acc:MGI:5579011] XEIRQKSFGPKHPSVATALVNLAVLHSQMKKHSEALPLYERALKIYEDSLGRMHPRVGET LKNLAVLRSGQSNPTFFLQKGSQAYVLRKKPPGSLLPKAHKIDREFKIQKALFSIGFPVA KP >ENSMUSP00000105471.1 pep:known chromosome:GRCm38:11:5788488:5800629:1 gene:ENSMUSG00000020476.14 transcript:ENSMUST00000109845.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbnl description:drebrin-like [Source:MGI Symbol;Acc:MGI:700006] MAVNLSRNGPALQEAYVRVVTEKSPTDWALFTYEGNSNDIRVAGTGEGGLEELVEELNSG KVMYAFCRVKDPNSGLPKFVLINWTGEGVNDVRKGACANHVSTMANFLKGAHVTINARAE EDVEPECIMEKVAKASGANYSFHKESTSFQDVGPQAPVGSVYQKTNAISEIKRVGKDNFW AKAEKEEENRRLEEKRRAEEERQRLEEERRERELQEAARREQRYQEQHRSAGAPRTGEPE QEAVSRTRQEWESAGQQAPHPREIFKQKERAMSTTSVTSSQPGKLRSPFLQKQLTQPETS YGREPTAPVSRPAAGVCEEPAPSTLSSAQTEEEPTYEVPPEQDTLYEEPPLVQQQGAGSE HIDNYMQSQGFSGQGLCARALYDYQAADDTEISFDPENLITGIEVIDEGWWRGYGPDGHF GMFPANYVELIE >ENSMUSP00000020769.7 pep:known chromosome:GRCm38:11:5788522:5800288:1 gene:ENSMUSG00000020476.14 transcript:ENSMUST00000020769.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbnl description:drebrin-like [Source:MGI Symbol;Acc:MGI:700006] MAVNLSRNGPALQEAYVRVVTEKSPTDWALFTYEGNSNDIRVAGTGEGGLEELVEELNSG KVMYAFCRVKDPNSGLPKFVLINWTGEGVNDVRKGACANHVSTMANFLKGAHVTINARAE EDVEPECIMEKVAKASGANYSFHKESTSFQDVGPQAPVGSVYQKTNAISEIKRVGKDNFW AKAEKEEENRRLEEKRRAEEERQRLEEERRERELQEAARREQRYQEQHRSAGAPSPSSRT GEPEQEAVSRTRQEWESAGQQAPHPREIFKQKERAMSTTSVTSSQPGKLRSPFLQKQLTQ PETSYGREPTAPVSRPAAGVCEEPAPSTLSSAQTEEEPTYEVPPEQDTLYEEPPLVQQQG AGSEHIDNYMQSQGFSGQGLCARALYDYQAADDTEISFDPENLITGIEVIDEGWWRGYGP DGHFGMFPANYVELIE >ENSMUSP00000099992.4 pep:known chromosome:GRCm38:11:5788530:5800962:1 gene:ENSMUSG00000020476.14 transcript:ENSMUST00000102928.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbnl description:drebrin-like [Source:MGI Symbol;Acc:MGI:700006] MAVNLSRNGPALQEAYVRVVTEKSPTDWALFTYEGNSNDIRVAGTGEGGLEELVEELNSG KVMYAFCRVKDPNSGLPKFVLINWTGEGVNDVRKGACANHVSTMANFLKGAHVTINARAE EDVEPECIMEKVAKASGANYSFHKESTSFQDVGPQAPVGSVYQKTNAISEIKRVGKDNFW AKAEKEEENRRLEEKRRAEEERQRLEEERRERELQEAARREQRYQEQHRSAGAPSRTGEP EQEAVSRTRQEWESAGQQAPHPREIFKQKERAMSTTSVTSSQPGKLRSPFLQKQLTQPET SYGREPTAPVSRPAAGVCEEPAPSTLSSAQTEEEPTYEVPPEQDTLYEEPPLVQQQGAGS EHIDNYMQSQGFSGQGLCARALYDYQAADDTEISFDPENLITGIEVIDEGWWRGYGPDGH FGMFPANYVELIE >ENSMUSP00000132435.1 pep:known chromosome:GRCm38:3:142620602:142638008:1 gene:ENSMUSG00000028270.12 transcript:ENSMUST00000165774.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp2 description:guanylate binding protein 2 [Source:MGI Symbol;Acc:MGI:102772] MASEIHMSEPMCLIENTEAQLVINQEALRILSAITQPVVVVAIVGLYRTGKSYLMNKLAG KRTGFSLGSTVQSHTKGIWMWCVPHPKKAGQTLVLLDTEGLEDVEKGDNQNDCWIFALAV LLSSTFIYNSIGTINQQAMDQLHYVTELTDLIKSKSSPDQSGVDDSANFVGFFPTFVWTL RDFSLELEVNGKPVTSDEYLEHSLTLKKGADKKTKSFNEPRLCIRKFFPKRKCFIFDRPA QRKQLSKLETLREEELCGEFVEQVAEFTSYILSYSSVKTLCGGIIVNGPRLKSLVQTYVG AISNGSLPCMESAVLTLAQIENSAAVQKAITHYEEQMNQKIQMPTETLQELLDLHRPIES EAIEVFLKNSFKDVDQKFQTELGNLLVAKRDAFIKKNMDVSSARCSDLLEDIFGPLEEEV KLGTFSKPGGYYLFLQMRQELEKKYNQAPGKGLQAEAMLKNYFDSKADVVETLLQTDQSL TEAAKEVEEERTKAEAAEAANRELEKKQKEFELMMQQKEKSYQEHVKKLTEKMKDEQKQL LAEQENIIAAKLREQEKFLKEGFENESKKLIREIDTLKQNKSSGKCTIL >ENSMUSP00000129039.1 pep:known chromosome:GRCm38:3:142620635:142630585:1 gene:ENSMUSG00000028270.12 transcript:ENSMUST00000169572.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gbp2 description:guanylate binding protein 2 [Source:MGI Symbol;Acc:MGI:102772] MASEIHMSEPMCLIENTEAQLVINQEALRILSAITQPVVVVAIVGLYRTGKSYLMNKLAG KRTGFSLGSTVQSHTKGIWMWCVPHPKKAGQTLVLLDTEGLEDVEKSSSAAPSSTTA >ENSMUSP00000028814.8 pep:known chromosome:GRCm38:2:131989415:132030001:-1 gene:ENSMUSG00000027339.15 transcript:ENSMUST00000028814.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf2 description:Ras association (RalGDS/AF-6) domain family member 2 [Source:MGI Symbol;Acc:MGI:2442060] MDYTHQPALIPCGQDKYMPKSELLLHLKTYNLYYEGQNLQLRHREEEDEFIVEGLLNISW GLRRPIRLQMQDDHERIRPPPSSSSWHSGCNLGAQGTTLKPLTMPTVQISEVDMPVEGLE THSPTDSRGLKPVQEDTPQLMRTRSDVGVRRRGNVRTSSDQRRIRRHRFSINGHFYNHKT SVFTPAYGSVTNVRINSTMTTPQVLKLLLNKFKIENSAEEFALYVVHTSGEKQRLKSSDY PLIARILQGPCEQISKVFLMEKDQVEEVTYDVAQYIKFEMPVLKSFIQKLQEEEDREVEK LMRKYTVLRLMIRQRLEEIAETPETI >ENSMUSP00000099471.1 pep:known chromosome:GRCm38:2:131992852:132030202:-1 gene:ENSMUSG00000027339.15 transcript:ENSMUST00000103182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf2 description:Ras association (RalGDS/AF-6) domain family member 2 [Source:MGI Symbol;Acc:MGI:2442060] MDYTHQPALIPCGQDKYMPKSELLLHLKTYNLYYEGQNLQLRHREEEDEFIVEGLLNISW GLRRPIRLQMQDDHERIRPPPSSSSWHSGCNLGAQGTTLKPLTMPTVQISEVDMPVEGLE THSPTDSRGLKPVQEDTPQLMRTRSDVGVRRRGNVRTSSDQRRIRRHRFSINGHFYNHKT SVFTPAYGSVTNVRINSTMTTPQVLKLLLNKFKIENSAEEFALYVVHTSGEKQRLKSSDY PLIARILQGPCEQISKVFLMEKDQVEEVTYDVAQYIKFEMPVLKSFIQKLQEEEDREVEK LMRKYTVLRLMIRQRLEEIAETPETI >ENSMUSP00000120194.1 pep:known chromosome:GRCm38:2:132002914:132030258:-1 gene:ENSMUSG00000027339.15 transcript:ENSMUST00000139047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf2 description:Ras association (RalGDS/AF-6) domain family member 2 [Source:MGI Symbol;Acc:MGI:2442060] MDYTHQPALIPCGQDKYMPKSELLLHLKTYNLYYEGQNLQLRHREEEDEFIVEGLLNISW GLRRPIRLQMQDDHERIRPPPSSSSWHSGCNLGAQGTTLKPLTMPTVQISEVDMPVEGLE THSPTDSRGLKPVQEDTPQLMRTRSDVGVRRRGNVRTSSDQRRIRRHRFSINGHFYNHKT SVFTPAYGSVTNVRINSTMTTP >ENSMUSP00000117619.1 pep:known chromosome:GRCm38:2:132009732:132029166:-1 gene:ENSMUSG00000027339.15 transcript:ENSMUST00000140791.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf2 description:Ras association (RalGDS/AF-6) domain family member 2 [Source:MGI Symbol;Acc:MGI:2442060] MDYTHQPALIPCGQDKYMPKSELLLHLKT >ENSMUSP00000146904.1 pep:known chromosome:GRCm38:8:10006467:10035996:1 gene:ENSMUSG00000031497.9 transcript:ENSMUST00000208683.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnfsf13b description:tumor necrosis factor (ligand) superfamily, member 13b [Source:MGI Symbol;Acc:MGI:1344376] MDESAKTLPPPCLCFCSEKGEDMKVGYDPITPQKEEGAWFGICRDGRLLAATLLLALLSS SFTAMSLYQLAALQADLMNLRMELQSYRGSATPAAAGAPELTAGVKLLTPAAPRPHNSSR GHRNRRAFQGPEETEQDVDLSAPPAPCLPGCRHSQHDDNGMNLRNIIQDCLQLIADSDTP TIRKGNDRPSSVSD >ENSMUSP00000146694.1 pep:known chromosome:GRCm38:8:10006633:10039072:1 gene:ENSMUSG00000031497.9 transcript:ENSMUST00000207792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf13b description:tumor necrosis factor (ligand) superfamily, member 13b [Source:MGI Symbol;Acc:MGI:1344376] MDESAKTLPPPCLCFCSEKGEDMKVGYDPITPQKEEGAWFGICRDGRLLAATLLLALLSS SFTAMSLYQLAALQADLMNLRMELQSYRGSATPAAAGAPELTAGVKLLTPAAPRPHNSSR GHRNRRAFQGPEETEQDVDLSAPPAPCLPGCRHSQHDDNGMNLRNIIQDCLQLIADSDTP TIRKGTYTFVPWLLSFKRGNALEEKENKIVVRQTGYFFIYSQVLYTDPIFAMGHVIQRKK VHVFGDELSLVTLFRCIQNMPKTLPNNSCYSAGIARLEEGDEIQLAIPRENAQISRNGDD TFFGALKLL >ENSMUSP00000033892.8 pep:known chromosome:GRCm38:8:10006654:10035618:1 gene:ENSMUSG00000031497.9 transcript:ENSMUST00000033892.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf13b description:tumor necrosis factor (ligand) superfamily, member 13b [Source:MGI Symbol;Acc:MGI:1344376] MDESAKTLPPPCLCFCSEKGEDMKVGYDPITPQKEEGAWFGICRDGRLLAATLLLALLSS SFTAMSLYQLAALQADLMNLRMELQSYRGSATPAAAGAPELTAGVKLLTPAAPRPHNSSR GHRNRRAFQGPEETEQDVDLSAPPAPCLPGCRHSQHDDNGMNLRNRTYTFVPWLLSFKRG NALEEKENKIVVRQTGYFFIYSQVLYTDPIFAMGHVIQRKKVHVFGDELSLVTLFRCIQN MPKTLPNNSCYSAGIARLEEGDEIQLAIPRENAQISRNGDDTFFGALKLL >ENSMUSP00000043190.5 pep:known chromosome:GRCm38:10:81528724:81545190:-1 gene:ENSMUSG00000034781.5 transcript:ENSMUST00000043604.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gna11 description:guanine nucleotide binding protein, alpha 11 [Source:MGI Symbol;Acc:MGI:95766] MTLESMMACCLSDEVKESKRINAEIEKQLRRDKRDARRELKLLLLGTGESGKSTFIKQMR IIHGAGYSEEDKRGFTKLVYQNIFTAMQAMVRAMETLKILYKYEQNKANALLIREVDVEK VTTFEHQYVNAIKTLWSDPGVQECYDRRREFQLSDSAKYYLTDVDRIATVGYLPTQQDVL RVRVPTTGIIEYPFDLENIIFRMVDVGGQRSERRKWIHCFENVTSIMFLVALSEYDQVLV ESDNENRMEESKALFRTIITYPWFQNSSVILFLNKKDLLEDKILHSHLVDYFPEFDGPQR DAQAAREFILKMFVDLNPDSDKIIYSHFTCATDTENIRFVFAAVKDTILQLNLKEYNLV >ENSMUSP00000062991.2 pep:known chromosome:GRCm38:X:44786570:44790197:-1 gene:ENSMUSG00000045284.9 transcript:ENSMUST00000060481.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf12l1 description:DDB1 and CUL4 associated factor 12-like 1 [Source:MGI Symbol;Acc:MGI:2444462] MRQADSQTQPSPAEQETPQPAGPSNRSPPTMGPQQTGSRKRKAAEVDQGAGTSSSPGPAA PMATAGEGNAEGSMLLTKRPRRPVAHLSMVNYLKGRALGADGHPGLAGFEGDLRSYGVLR LPELLRERQLTLGPLNKVFASQWLNARQVVCGTKCNTLFVVDVKTDHIMRIPLMRDRVPD LSRGPPSCGIHAVELNPSKTLLATGGENPNSLAVYQLPTLDPVCLGDCQGHRDWIFAIAW MSDTVAVSGSRDGTVALWKVDPDMFNGSIAWHKDAGLPVYAHISPTDMEAIPKATTNPGN RKVRALAFSNKNQELGAVSLDGYFHLWKARSSLSRLLSLRLPYCRENVCLTYCDEFSLYA VGSQSHVSFLDLRQGQQNIPPLCSREGGTGVRSLSVHQHIVTVGTGHGSLLFYDIRAQKF LEERSAANPDMFPVPSGRKLKLTCGSGWINQDDLLENYVAGVEDFPNALYTHCYNWPEMK LFVGGGPLASGLHGNYAGLWS >ENSMUSP00000110708.1 pep:known chromosome:GRCm38:X:44786570:44790161:-1 gene:ENSMUSG00000045284.9 transcript:ENSMUST00000115056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf12l1 description:DDB1 and CUL4 associated factor 12-like 1 [Source:MGI Symbol;Acc:MGI:2444462] MRQADSQTQPSPAEQETPQPAGPSNRSPPTMGPQQTGSRKRKAAEVDQGAGTSSSPGPAA PMATAGEGNAEGSMLLTKRPRRPVAHLSMVNYLKGRALGADGHPGLAGFEGDLRSYGVLR LPELLRERQLTLGPLNKVFASQWLNARQVVCGTKCNTLFVVDVKTDHIMRIPLMRDRVPD LSRGPPSCGIHAVELNPSKTLLATGGENPNSLAVYQLPTLDPVCLGDCQGHRDWIFAIAW MSDTVAVSGSRDGTVALWKVDPDMFNGSIAWHKDAGLPVYAHISPTDMEAIPKATTNPGN RKVRALAFSNKNQELGAVSLDGYFHLWKARSSLSRLLSLRLPYCRENVCLTYCDEFSLYA VGSQSHVSFLDLRQGQQNIPPLCSREGGTGVRSLSVHQHIVTVGTGHGSLLFYDIRAQKF LEERSAANPDMFPVPSGRKLKLTCGSGWINQDDLLENYVAGVEDFPNALYTHCYNWPEMK LFVGGGPLASGLHGNYAGLWS >ENSMUSP00000035167.8 pep:known chromosome:GRCm38:9:104002546:104043818:1 gene:ENSMUSG00000032558.18 transcript:ENSMUST00000035167.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphp3 description:nephronophthisis 3 (adolescent) [Source:MGI Symbol;Acc:MGI:1921275] MGTASSLVSPTGGEVIEDTYGAGGGEACEIPVEVKPKARLLRSSFRRGAGAGPGSLPRAA GGGGLLGASFKSTGSSVPELEYAAAEFERLKKEYEIFRVSKNQELLSMGRREAKLDTENK RLRAELQALQKTYQKILREKEGALEAKYQAMERAVTFEHDRDRVKRQFKIFRETKENEIQ DLLRAKRELESKLQRLQAQGIQVFDPGESDSDDNCTDVTAAGTQCEYWASRALGSEHSIG SMIQLPQPFRGPEFAHSSIDVEGPFANINRDDWDAAVAGLLQATPLFSHSLWSHPVRCYL IYTDETQPEMELFLKDHSPKLKRMCETMGYFFLAVYFPLDVENQYLTVRKWEIEKSSLVI LFLHSTLPSFLLEDCEEAFLQNPEGKPGLIYHRLEDGKVTCDSVQQFLDQVSNLGKTTKA KIIEHSGDPAEGVCKIYVGVEKIIKQDILGLENTDVEEKDGGREDSTPEEDDFGDVLWDI HDEQEQMEAFQQTSSSAHELGFEKYYQRLDDLVVAPAPIPPLLVSGGPGSGKSLLLSKWI QLQQKHFPNTLILSHFVGRPMSTSSESSLIIKRLTLKLMQHFWAVSALTLDPAKLLEEFP HWLEKLSARHQGSIIIIIDSIDQVQQVEKHMKWLIDPLPVNVRVIVSVNVETCPTAWRLW PTLHLDPLSPKDAISIITAECYSMDVRLSREQEKMLEQHCRPATTRHALYVTLFSKMMAC AGRGGNVAETLHQCLQCQDTVSLYKLVLHHVRESMPSDRDKEWMTQILCLINVSHNGVSE SELMELYPEMSWLSLTSIVHSLHKMHLLTYSCGLLRFQHLQAWETVRLQYLEDPALVSSY REKLISYFASQLSQDRVTWRSADELPWLFQQQGSKQKLHSCLLNLLVAQNLYKRGHFAEL LSYWQFVGKDKGAMATEYFESLKQYENSEGEENMLCLADLYETLGRFLKDLGLLSQAVVP LQRSLEIRETALDPDHPRVAQSLHQLAGVYVQWKKFGDAEQLYKQALEISENAYGADHPH AARELEALATLYHKQNKYEQAEHFRKKSVIIRQQATRRKGSLYGFALLRRRALQLEELTL GKDKPENARTLNELGVLYFLQNNLETAEQFLKRSLEMRERVLGPDHPDCAQSLNNLAALC NEKKQYEKAEELYERALDIRRRALAPDHPSLAYTVKHLAILYKKTGKVDKAVPLYELAVE IRQKSFGPKHPSVATALVNLAVLHSQMKKHSEALPLYERALKIYEDSLGRMHPRVGETLK NLAVLSYEEGNFEKAAELYKRAMEIKEAETSLLGGKAPSRQSSSGDTFLFKTTHSPNVFL PQGQS >ENSMUSP00000141596.1 pep:known chromosome:GRCm38:9:104002654:104043109:1 gene:ENSMUSG00000032558.18 transcript:ENSMUST00000194774.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphp3 description:nephronophthisis 3 (adolescent) [Source:MGI Symbol;Acc:MGI:1921275] MGTASSLALQKTYQKILREKEGALEAKYQAMERAVTFEHDRDRVKRQFKIFRETKENEIQ DLLRAKRELESKLQRLQAQGIQVFDPGESDSDDNCTDVTAAGTQCEYWASRALGSEHSIG SMIQLPQPFRGPEFAHSSIDVEGPFANINRDDWDAAVAGLLQATPLFSHSLWSHPVRCYL IYTDETQPEMELFLKDHSPKLKRMCETMGYFFLAVYFPLDVENQYLTVRKWEIEKSSLVI LFLHSTLPSFLLEDCEEAFLQNPEGKPGLIYHRLEDGKVTCDSVQQFLDQVSNLGKTTKA KIIEHSGDPAEGVCKIYVGVEKIIKQDILGLENTDVEEKDGGREDSTPEEDDFGDVLWDI HDEQEQMEAFQQTSSSAHELGFEKYYQRLDDLVVAPAPIPPLLVSGGPGSGKSLLLSKWI QLQQKHFPNTLILSHFVGRPMSTSSESSLIIKRLTLKLMQHFWAVSALTLDPAKLLEEFP HWLEKLSARHQGSIIIIIDSIDQVQQVEKHMKWLIDPLPVNVRVIVSVNVETCPTAWRLW PTLHLDPLSPKDAISIITAECYSMDVRLSREQEKMLEQHCRPATTRHALYVTLFSKMMAC AGRGGNVAETLHQCLQCQDTVSLYKLVLHHVRESMPSDRDKEWMTQILCLINVSHNGVSE SELMELYPEMSWLSLTSIVHSLHKMHLLTYSCGLLRFQHLQAWETVRLQYLEDPALVSSY REKLISYFASQLSQDRVTWRSADELPWLFQQQGSKQKLHSCLLNLLVAQNLYKRGHFAEL LSYWQFVGKDKGAMATEYFESLKQYENSEGEENMLCLADLYETLGRFLKDLGLLSQAVVP LQRSLEIRETALDPDHPRVAQSLHQLAGVYVQWKKFGDAEQLYKQALEISENAYGADHPH AARELEALATLYHKQNKYEQAEHFRKKSVIIRQQATRRKGSLYGFALLRRRALQLEELTL GKDKPENARTLNELGVLYFLQNNLETAEQFLKRSLEMRERVLGPDHPDCAQSLNNLAALC NEKKQYEKAEELYERALDIRRRALAPDHPSLAYTVKHLAILYKKTGKVDKAVPLYELAVE IRQKSFGPKHPSVATALVNLAVLHSQMKKHSEALPLYERALKIYEDSLGRMHPRVGETLK NLAVLSYEEGNFEKAAELYKRAMEIKEAETSLLGGKAPSRQSSSGDTFLFKTTHSPNVFL PQGQS >ENSMUSP00000116459.2 pep:known chromosome:GRCm38:9:104002654:104153483:1 gene:ENSMUSG00000032558.18 transcript:ENSMUST00000129819.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nphp3 description:nephronophthisis 3 (adolescent) [Source:MGI Symbol;Acc:MGI:1921275] MGTASSLALQKTYQKILREKEGALEAKYQAMERAVTFEHDRDRVKRQFKIFRETKENEIQ DLLRAKRELESKLQRLQAQGIQVFDPGESDSDDNCTDVTAAGTQCEYWASRALGSEHSIG SMIQLPQPFRGPEFAHSSIDVEGPFANINRDDWDAAVAGLLQATPLFSHSLWSHPVRCYL IYTDETQPEMELFLKDHSPKLKRMCETMGYFFLAVYFPLDVENQYLTVRKWEIEKSSLVI LFLHSTLPSFLLEDCEEAFLQNPEGKPGLIYHRLEDGKVTCDSVQQFLDQVSNLGKTTKA KIIEHSGDPAEGVCKIYVGVEKIIKQDILGLENTDVEEKDGGREDSTPEEDDFGDVLWDI HDEQEQMEAFQQTSSSAHELGFEKYYQRLDDLVVAPAPIPPLLVSGGPGSGKSLLLSKWI QLQQKHFPNTLILSHFVGRPMSTSSESSLIIKRLTLKLMQHFWAVSALTLDPAKLLEEFP HWLEKLSARHQGSIIIIIDSIDQVQQVEKHMKWLIDPLPVNVRVIVSVNVETCPTAWRLW PTLHLDPLSPKDAISIITAECYSMDVRLSREQEKMLEQHCRPATTRHALYVTLFSKMMAC AGRGGNVAETLHQCLQCQDTVSLYKLVLHHVRESMPSDRDKEWMTQILCLINVSHNGVSE SELMELYPEMSWLSLTSIVHSLHKMHLLTYSCGLLRFQHLQAWETVRLQYLEDPALVSSY REKLISYFASQLSQDRVTWRSADELPWLFQQQGSKQKLHSCLLNLLVAQNLYKRGHFAEL LSYWQFVGKDKGAMATEYFESLKQYENSEGEENMLCLADLYETLGRFLKDLGLLSQAVVP LQRSLEIRETALDPDHPRVAQSLHQLAGVYVQWKKFGDAEQLYKQALEISENAYGADHPH AARELEALATLYHKQNKYEQAEHFRKKSVIIRQQATRRKGSLYGFALLRRRALQLEELTL GKDKPENARTLNELGVLYFLQNNLETAEQFLKRSLEMRERVLGPDHPDCAQSLNNLAALC NEKKQYEKAEELYERALDIRRRALAPDHPSLAYTVKHLAILYKKTGKVDKAVPLYELAVE IRQKSFGPKHPSVATALVNLAVLHSQMKKHSEALPLYERALKIYEDSLGRMHPRVGETLK NLAVLSYEEGNFEKAAELYKRAMEIKEAETSLLGGKAPSRQSSSGDTFLFKTTHSPNVFL PQGQS >ENSMUSP00000141540.1 pep:known chromosome:GRCm38:9:104002767:104042344:1 gene:ENSMUSG00000032558.18 transcript:ENSMUST00000193439.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nphp3 description:nephronophthisis 3 (adolescent) [Source:MGI Symbol;Acc:MGI:1921275] MGTASSLVSPTGGEVIEDTYGAGGGEACEIPVEALQKTYQKILREKEGALEAKYQAMERA VTFEHDRDRVKRQFKIFRETKENEIQDLLRAKRELESKLQRLQAQGIQVFDPGESDSDDN CTDVTAAGTQCEYWASRALGSEHSIGSMIQLPQPFRGPEFAHSSIDVEGPFANINRDDWD AAVAGLLQATPLFSHSLWSHPVRCYLIYTDETQPEMELFLKDHSPKLKRMCETMGYFFLA VYFPLDVENQYLTVRKWEIEKSSLVILFLHSTLPSFLLEDCEEAFLQNPEGKPGLIYHRL EDGKVTCDSVQQFLDQVSNLGKTTKAKIIEHSGDPAEGVCKIYVGVEKIIKQDILGLENT DVEEKDGGREDSTPEEDDFGDVLWDIHDEQEQMEAFQQTSSSAHELGFEKYYQRLDDLVV APAPIPPLLVSGGPGSGKSLLLSKWIQLQQKHFPNTLILSHFVGRPMSTSSESSLIIKRL TLKLMQHFWAVSALTLDPAKLLEEFPHWLEKLSARHQGSIIIIIDSIDQVQVVAYTAS >ENSMUSP00000142049.1 pep:known chromosome:GRCm38:9:104035848:104038512:1 gene:ENSMUSG00000032558.18 transcript:ENSMUST00000194183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphp3 description:nephronophthisis 3 (adolescent) [Source:MGI Symbol;Acc:MGI:1921275] ETLGRFLKDLGLLSQAVVPLQRSLEIRETALDPDHPRVAQSLHQLAGVYVQWKKFGDAEQ LYKQALEISENAYGADHPHAARELEALATLYHKQNKYEQAEHFRKKSVIIRQQATRRKGS LAGSAVGRAHLGQGQA >ENSMUSP00000105245.2 pep:known chromosome:GRCm38:15:79915807:79932652:-1 gene:ENSMUSG00000053411.16 transcript:ENSMUST00000109616.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx7 description:chromobox 7 [Source:MGI Symbol;Acc:MGI:1196439] MELSAIGEQVFAVESIRKKRVRKGKVEYLVKWKGWPPKYSTWEPEEHILDPRLVMAYEEK EERDRASGYRKRGPKPRRLLLQESAAPDVVQTPGDWEPMEQAPEEEAEADLTNGPPPWTP TLPSSEVTVTDITANSVTVTFREAQAAEGFFRDRNEKL >ENSMUSP00000086708.4 pep:known chromosome:GRCm38:15:79915810:79932639:-1 gene:ENSMUSG00000053411.16 transcript:ENSMUST00000089293.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx7 description:chromobox 7 [Source:MGI Symbol;Acc:MGI:1196439] MELSAIGEQVFAVESIRKKRVRKGKVEYLVKWKGWPPKYSTWEPEEHILDPRLVMAYEEK EERDRASGYRKRGPKPRRLLLQRLYSMDLRSSHKAKGNEKLCFSLARPLRSGSPMGVVKA GVAELVEKGPLVPTLPFPLRKARKAHKYLRLSRKKFPPRGPHLESHSHRRELSLQESAAP DVVQTPGDWEPMEQAPEEEAEADLTNGPPPWTPTLPSSEVTVTDITANSVTVTFREAQAA EGFFRDRNEKL >ENSMUSP00000105244.1 pep:known chromosome:GRCm38:15:79918110:79932540:-1 gene:ENSMUSG00000053411.16 transcript:ENSMUST00000109615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx7 description:chromobox 7 [Source:MGI Symbol;Acc:MGI:1196439] MELSAIGEQVFAVESIRKKRVRKGKVEYLVKWKGWPPKYSTWEPEEHILDPRLVMAYEEK EERDRASGYRKRGPKPRRLLLQESAAPDVVQTPGDWEPMEQAPEEEAEADLTNGPPPWTP TLPSSEVTVTDITANSVTVTFREAQAAEGFFRDRNEKL >ENSMUSP00000120748.2 pep:known chromosome:GRCm38:15:79918148:79933899:-1 gene:ENSMUSG00000053411.16 transcript:ENSMUST00000146719.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx7 description:chromobox 7 [Source:MGI Symbol;Acc:MGI:1196439] VEGEALSLLQKVRTAGIRRKWEELGTTRTCPQQCRKLKRLGAGSGGKVEYLVKWKGWPPK YSTWEPEEHILDPRLVMAYEEKEERDRASGYRKRGPKPRRLLLQESAAPDVVQTPGDWEP MEQAPEEEAEADLTNGPPPWTPTLPSSEVTVTDITANSVTVTFREAQAAEGFFRDRNEKL >ENSMUSP00000118813.1 pep:known chromosome:GRCm38:15:79918417:79971119:-1 gene:ENSMUSG00000053411.16 transcript:ENSMUST00000128931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx7 description:chromobox 7 [Source:MGI Symbol;Acc:MGI:1196439] MAYEEKEERDRASGYRKRGPKPRRLLLQARKAHKYLRLSRKKFPPRGPHLESHSHRRELS LQESAAPDVVQTPGDWEPMEQAPEEEAEADLTNGPPPWTPTLPSSE >ENSMUSP00000118871.1 pep:known chromosome:GRCm38:15:79918449:79971118:-1 gene:ENSMUSG00000053411.16 transcript:ENSMUST00000132821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx7 description:chromobox 7 [Source:MGI Symbol;Acc:MGI:1196439] MAYEEKEERDRASGYRKRGPKPRRLLLQESAAPDVVQTPGDWEPMEQAPEEEAEADLTNG PP >ENSMUSP00000135246.1 pep:known chromosome:GRCm38:15:79918921:79932516:-1 gene:ENSMUSG00000053411.16 transcript:ENSMUST00000177044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx7 description:chromobox 7 [Source:MGI Symbol;Acc:MGI:1196439] MELSAIGEQVFAVESIRKKRVRKGKVEYLVKWKGWPPKEERDRASGYRKRGPKPRRLLLQ RLYSMDLRSSHKAKGNEKLCFSLARPLRSGSPMGVVKAGVAELVEKGPLVPTLPFPLRKA R >ENSMUSP00000121896.1 pep:known chromosome:GRCm38:2:26580014:26589384:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000152713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MQTMWGSGELLVAWFLVLAADGTTEHVYRPSRRVCTVGISGGSISETFV >ENSMUSP00000128741.2 pep:known chromosome:GRCm38:2:26581056:26592684:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000166920.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MQTMWGSGELLVAWFLVLAADGTTEHVYRPSRRVCTVGISGGSISETFVQRVYQPYLTTC DGHRACSTYRTIYRTAYRRSPGVTPARPRYACCPGWKRTSGLPGACGAAICQPPCGNGGS CIRPGHCRCPVGWQGDTCQTDVDECSTGEASCPQRCVNTVGSYWCQGWEGQSPSADGTRC LSKEGPSPVAPNPTAGVDSMAREEVYRLQARVDVLEQKLQLVLAPLHSLASRSTEHGLQD PGSLLAHSFQQLDRIDSLSEQVSFLEEHLGSCSCKKDL >ENSMUSP00000097863.5 pep:known chromosome:GRCm38:2:26583864:26592681:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000100290.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MQTMWGSGELLVAWFLVLAADGTTEHVYRPSRRVCTVGISGGSISETFVQRVYQPYLTTC DGHRACSTYRTIYRTAYRRSPGVTPARPRYACCPGWKRTSGLPGACGAAICQPPCGNGGS CIRPGHCRCPVGWQGDTCQTDVDECSTGEASCPQRCVNTVGSYWCQGWEGQSPSADGTRC LSKEGPSPVAPNPTAGVDSMAREEVYRLQARVDVLEQKLQLVLAPLHSLASRSTEHGLQD PGSLLAHSFQQLDRIDSLSSCKKDL >ENSMUSP00000099971.5 pep:known chromosome:GRCm38:2:26583880:26592682:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000102907.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MQTMWGSGELLVAWFLVLAADGTTEHVYRPSRRVCTVGISGGSISETFVQRVYQPYLTTC DGHRACSTYRTIYRTAYRRSPGVTPARPRYACCPGWKRTSGLPGACGAAICQPPCGNGGS CIRPGHCRCPVGWQGDTCQTDVDECSTGEASCPQRCVNTVGSYWCQGWEGQSPSADGTRC LSKEGPSPVAPNPTAGVDSMAREEVYRLQARVDVLEQKLQLVLAPLHSLASRSTEHGLQD PGSLLAHSFQQLDRIDSLSEQVSFLEEHLGSCSCKKDL >ENSMUSP00000123465.1 pep:known chromosome:GRCm38:2:26583905:26593120:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000139801.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MQTMWGSGELLVAWFLVLAADGTTEHVYRPSRRVCTVGISGGSISETFVQRVYQPYLTTC DGHRACSTYRTIYRTAYRRSPGVTPARPRYACCPGWKRTSGLPGACGAGQPCPGCCGALG SLLSLDAQTGLFYPQQYASLHVGMEGVASAQDTAAALWDGREILARQMLMNAVQERPVVP SAVSILWEVTGARDGRDKAHLQMGRAACLRRGPPRWPQTPQQEWTAWRERRCTGCRLGLM C >ENSMUSP00000134034.1 pep:known chromosome:GRCm38:2:26586630:26592681:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000174211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MQTMWGSGELLVAWFLVLAADGTTEHVYRPSRRVCTVGISGGSISETFVQRVYQPYLTTC DGHRACSTYRTIYRTAYRRSPGVTPARPRYACCPGWKRTSGLPGACGAAICQPPCGNGGS CIRPGHCRCPVGWQGDTCQTDVDECSTGEASCPQRCVNTVGSYWCQGWEGQSPSADGTRC LSKEGPSPVAPNPTAGVDSMAREEVYRLQARVDVLEQKLQLVLAPLHSLASRSTEHGLQD PGSLLAHSFQQLDRIDSLSEQVSFLEEHLGSCSCKKDL >ENSMUSP00000118924.2 pep:known chromosome:GRCm38:2:26586672:26590939:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000145575.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MQTMWGSGELLVAWFLVLAADGTTEHVYRPSRRVCTVGISGGSISETFVQRVYQPYLTTC DGHRACSTYRTIYRTAYRRSPGVTPARPRYACCPGWKRTSGLPGACGAAICQPPCGNGGS CIRPGHCRCPVGWQGDTCQTDVDECSTGEASCPQ >ENSMUSP00000134503.1 pep:known chromosome:GRCm38:2:26586689:26590489:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000173920.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MQTMWGSGELLVAWFLVLAADGTTEHVYRPSRRVCTVGISGGSISETFVQRVYQPYLTTC DGHRACSTYRTIYRTAYRRSPGVTPARPRYACCPGWKRTSGLPGAC >ENSMUSP00000115482.2 pep:known chromosome:GRCm38:2:26588543:26592443:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000150404.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MQTMWGSGELLVAWFLVLAADGTTEHVYRPSRRVCTVGISGGSISETFVQRVYQPYLTTC DGHRACSTYRTIYRTAYRRSPGVTPARPRYACCPGWKRTSGLPGACGAAICQPPCGNGGS CIRPGHCRCPVGWQGDTCQTDVDECSTGEASCPQRCVNTVGSYWCQGWEGQSPSADGTRC LSKEGPSPVAPNPTAGVDSMAREEVYRLQARVDVLEQKLQLVLAPLHSLASRSTEHGLQD PGSLLAHSFQQLDRIDSLSEQVSFLEEHLGSCSCKKDL >ENSMUSP00000122245.1 pep:known chromosome:GRCm38:2:26590965:26592676:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000131112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] XWCQGWEGQSPSADGTRCLSKEGPSPVAPNPTAETAVGAGPTAQPGLSVHRAWATRSWQP AGPFLPAAGPN >ENSMUSP00000134186.1 pep:known chromosome:GRCm38:2:26591029:26592682:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000174656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] XGPSPVAPNPTAETAVGAGPTAQPGLSVHRAWATRSWQPAGPFLPAAGPN >ENSMUSP00000133968.1 pep:known chromosome:GRCm38:2:26591434:26592682:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000152988.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MAREEVYRLQARVDVLEQKLQLVLAPLHSLASRSTEHGLQDPGSLLAHSFQQLDRIDSLS EQVSFLEEHLGSCSCKKDL >ENSMUSP00000133465.1 pep:known chromosome:GRCm38:2:26591435:26592682:1 gene:ENSMUSG00000026921.18 transcript:ENSMUST00000149789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl7 description:EGF-like domain 7 [Source:MGI Symbol;Acc:MGI:2449923] MAREEVYRLQARVDVLEQKLQLVLAPLHSLASRSTEHGLQDPGSLLAHSFQQLDRIDSLS SCKKDL >ENSMUSP00000032779.5 pep:known chromosome:GRCm38:7:88278085:88310888:1 gene:ENSMUSG00000030560.17 transcript:ENSMUST00000032779.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsc description:cathepsin C [Source:MGI Symbol;Acc:MGI:109553] MGPWTHSLRAVLLLVLLGVCTVRSDTPANCTYPDLLGTWVFQVGPRSSRSDINCSVMEAT EEKVVVHLKKLDTAYDELGNSGHFTLIYNQGFEIVLNDYKWFAFFKYEVRGHTAISYCHE TMTGWVHDVLGRNWACFVGKKVESHIEKVNMNAAHLGGLQERYSERLYTHNHNFVKAINT VQKSWTATAYKEYEKMSLRDLIRRSGHSQRIPRPKPAPMTDEIQQQILNLPESWDWRNVQ GVNYVSPVRNQESCGSCYSFASMGMLEARIRILTNNSQTPILSPQEVVSCSPYAQGCDGG FPYLIAGKYAQDFGVVEESCFPYTAKDSPCKPRENCLRYYSSDYYYVGGFYGGCNEALMK LELVKHGPMAVAFEVHDDFLHYHSGIYHHTGLSDPFNPFELTNHAVLLVGYGRDPVTGIE YWIIKNSWGSNWGESGYFRIRRGTDECAIESIAVAAIPIPKL >ENSMUSP00000147006.1 pep:known chromosome:GRCm38:7:88278134:88291397:1 gene:ENSMUSG00000030560.17 transcript:ENSMUST00000131108.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsc description:cathepsin C [Source:MGI Symbol;Acc:MGI:109553] MGPWTHSLRAVLLLVLLGVCTVRSDTPANCTYPDLLGTWVFQVGPRSSRSDINCSVMEAT EEKVVVHLKKLDTAYDELGNSGHFTLIYNQGFEIVLNDYKWFAFFKDVTDFISQLFMQLG TVGMYDLPHLRNKLVIK >ENSMUSP00000119503.1 pep:known chromosome:GRCm38:7:88278134:88308023:1 gene:ENSMUSG00000030560.17 transcript:ENSMUST00000128791.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsc description:cathepsin C [Source:MGI Symbol;Acc:MGI:109553] MGPWTHSLRAVLLLVLLGVCTVRSDTPANCTYPDLLGTWVFQVGPRSSRSDINCSVMATE EKVVVHLKKLDTAYDELGNSGHFTLIYNQGFEIVLNDYKWFAFFKYEVRGHTAISYCHET MTGWVHDVLGRNWACFVGKKVESHIEKVNMNAAHLGGLQERYSERLYTHNHNFVKAINTV QKSWTATAYKEYEKMSLRDLIRRSGHSQRIPRPKPAPMTDEIQQQILNLPESWDWRNVQG VNYVSPVRNQESCG >ENSMUSP00000044098.1 pep:known chromosome:GRCm38:6:131299142:131313827:-1 gene:ENSMUSG00000032899.14 transcript:ENSMUST00000049150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styk1 description:serine/threonine/tyrosine kinase 1 [Source:MGI Symbol;Acc:MGI:2141396] MGEKGHLSRVLLECSLSDKLCVVREKQYEVIIVPALLVGGFLILLAIILWLFIRGQRSQR QSPGPRGTASVPASRGRSQEAAGHGEKVLLPLKETSVEGFLRAATPRLAKLQVPREQLLE VLEQIHSGSCGTLYHATMTTKDHPKPKSVVLKALEDPVGLQEVQDFIGRIQFYQYLGKHK NLVQLEGCCTERLPLYMMLEDVVPGDLLSFLWTCRRDVMTMDGLLYDLTEKQIYHIGKQI LLALEFLQEKHLFHGDVAARNILIQSDLTPKLCHLGLAYEVHAHGAISSARSSTIPLKWL APERLLLRPASIRGDIWSFGILLYEMVTLGAPPYPEVPPTSILQYLQRKKIMKRPSSCSH AMYNIMKCCWRWSEDSRPLLGQLLQRLEAASRSADDKAVLQVPELVVPELYADVAGIRAE SISYSFSVL >ENSMUSP00000112900.1 pep:known chromosome:GRCm38:6:131300133:131316398:-1 gene:ENSMUSG00000032899.14 transcript:ENSMUST00000121078.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styk1 description:serine/threonine/tyrosine kinase 1 [Source:MGI Symbol;Acc:MGI:2141396] MGEKGHLSRVLLECSLSDKLCVVREKQYEVIIVPALLVGGFLILLAIILWLFIRGQRSQR QSPGPRDPVGLQEVQDFIGRIQFYQYLGKHKNLVQLEGCCTERLPLYMMLEDVVPGDLLS FLWTCRRDVMTMDGLLYDLTEKQIYHIGKQILLALEFLQEKHLFHGDVAARNILIQSDLT PKLCHLGLAYEVHAHGAISSARSSTIPLKWLAPERLLLRPASIRGDIWSFGILLYEMVTL GAPPYPEVPPTSILQYLQRKKIMKRPSSCSHAMYNIMKCCWRWSEDSRPLLGQLLQRLEA ASRSADDKAVLQVPELVVPELYADVAGIRAESISYSFSVL >ENSMUSP00000146784.1 pep:known chromosome:GRCm38:9:14275067:14325817:1 gene:ENSMUSG00000032009.8 transcript:ENSMUST00000209187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sesn3 description:sestrin 3 [Source:MGI Symbol;Acc:MGI:1922997] MSLHTQYLESFLRSQFYMLRMDGPLPLPDRHYIAIMKLVKTGENNWSLPELVHAVVLLAH YHALASFVFGSGINPERDPGIANGFRLISVSSFCVCDLANDNSIENTSLAGSNFGIVDSL GELEALMERMKRLQEDREDDETTREEMTTRFEKEKKESLFVVPGETLHAFPHSDFEDDVI VTADVSRYIEDPSFGYEDFARRGEEHLPTFRAQDYTWENHGFSLVNRLYSDIGHLLDEKF RMVYNLTYNTMATHEDVDTTTLRRALFNYVHCMFGIRYDDYDYGEVNQLLERSLKVYIKT VTCYPERTTKRMYDSYWRQFTHSEKVHVNLLLMEARMQAELLYALRAITRHLT >ENSMUSP00000034507.8 pep:known chromosome:GRCm38:9:14275083:14325777:1 gene:ENSMUSG00000032009.8 transcript:ENSMUST00000034507.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sesn3 description:sestrin 3 [Source:MGI Symbol;Acc:MGI:1922997] MSLHTQYLESFLRSQFYMLRMDGPLPLPDRHYIAIMAAARHQCSYLINMHVDEFLKTGGI AEWLNGLEYVPQRLRNLNEINKLLAHRPWLITKEHIQKLVKTGENNWSLPELVHAVVLLA HYHALASFVFGSGINPERDPGIANGFRLISVSSFCVCDLANDNSIENTSLAGSNFGIVDS LGELEALMERMKRLQEDREDDETTREEMTTRFEKEKKESLFVVPGETLHAFPHSDFEDDV IVTADVSRYIEDPSFGYEDFARRGEEHLPTFRAQDYTWENHGFSLVNRLYSDIGHLLDEK FRMVYNLTYNTMATHEDVDTTTLRRALFNYVHCMFGIRYDDYDYGEVNQLLERSLKVYIK TVTCYPERTTKRMYDSYWRQFTHSEKVHVNLLLMEARMQAELLYALRAITRHLT >ENSMUSP00000146362.1 pep:known chromosome:GRCm38:9:14276301:14333101:1 gene:ENSMUSG00000032009.8 transcript:ENSMUST00000208222.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sesn3 description:sestrin 3 [Source:MGI Symbol;Acc:MGI:1922997] MNRGGSSASASANYLLCTNCRKVLRKDKRIRVSQPLTRGPSAFIPEKEVVQANTADERTN FLVEEYSTSGRLDNITQVMSLHTQYLESFLRSQFYMLRMDGPLPLPDRHYIAIMAAARHQ CSYLINMHVDEFLKTGGIAEWLNGLEYVPQRLRNLNEINKLLAHRPWLITKEHIQKLVKT GENNWSLPELVHAVVLLAHYHALASFVFGSGINPERDPGIANGFRLISVSSFCVCDLAND NSIENTSLAGSNFGIVDSLGELEALMERMKRLQEDREDDETTREEMTTRFEKEKKESLFV VPGETLHAFPHSDFEDDVIVTADVSRYIEDPSFGYEDFARRGEEHLPTFRAQDYTWENHG FSLVNRLYSDIGHLLDEKFRMVYNLTYNTMATHEDVDTTTLRRALFNYVHCMFGIRYDDY DYGEVNQLLERSLKVYIKTVTCYPERTTKRMYDSYWRQFTHSEKVHVNLLLMEARMQAEL LYALRAITRHLT >ENSMUSP00000034091.7 pep:known chromosome:GRCm38:8:91070057:91123844:1 gene:ENSMUSG00000031666.14 transcript:ENSMUST00000034091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbl2 description:retinoblastoma-like 2 [Source:MGI Symbol;Acc:MGI:105085] MASGGNQSPPPPPAAAASSEEEEEDGDAADRAQPAGSPSHQIQQRFEELCSRLNMDEAAR AEAWSSYRSMSESYTLEGNDLHWLACALYVACRKSVPTVSKGTAEGNYVSLTRILRCSEQ SLIEFFNKMKKWEDMANLPPHFRERTERLERNFTVSAVIFKKYEPIFQDIFKYPQEEQPR QQRGRKQRRQPCTTSEIFHFCWVLFIYAKGNFPMISDDLVNSYHLLLCALDLVYGNALQC SNRKELVNPNFKGLSEDCHPKDSKASSDPPCVIEKLCSLHDGLVLEAKGIKEHFWKPYIR KLFEKKLLKGKEENLTGFLEPGNFGESFKAVNKAYEEYVLAAGNLDERVFLGEDAEEEVG TLSRCLSAASGTESAERTQMRDILQQHLDKSKALRVCTPLTGVRYVQENSPCVTPVSTAA HSLSRLHTMLSGLRNAPSEKLERILRSCSRDPTQAIADRLKEMYEIYSQHFQPDENFSNC AKEIANKHFRFAEMLYYKVLESVIEQEQKRLGDMDLSGVLEHDAFHRSLLACCLEVVAFS HKPPGNFPFIAEIFDVPHYHFYKVIEVFIRAEDGLCREVVKHLNQIEEQILDHLAWKTKS PLWDRIRDNENRVPTCEEVMPPQNLERTDEIYIAGSPLTPRRVGEVRADAGGLGRSITSP TTLYDRYSSPTVSTTRRRLFENDSPSEGSTSGRIPPQPLVNAVPVQNVPGETVSVTPVPG QTLVTMATATVTANNGQTVTIPVQGIANENGGITFFPVQVNVGGQAQAVAGSIQPLSAQA LAGSLSSQQVTGTTLQVPGPVAIQQISPGGQQQNPGQPLTSSSIRPRKTSSLALFFRKVY YLAGVRLRDLCIKLDISDELRKKIWTCFEFSIIQCTELMMDRHLDQLLMCAIYVMAKVTK EDRSFQNIMRCYRTQPQARSQVYRSVLIKGKRRNSGSSESRSHQNSPTELNTDRASRDSS PVMRSNSTLPVPQPSSAPPTPTRLTGASSDVEEEERGDLIQFYNNIYRKQIQAFAMKYSQ ANAQTDTPPLSPYPFVRTGSPRRVQLSQSHPIYISPHNNEAMPSPREKIFYYFSNSPSKR LREINSMIRTGETPTKKRGILLDDGSESPAKRICPENHSALLRRLQDVANDRGSQ >ENSMUSP00000120860.1 pep:known chromosome:GRCm38:19:6982473:6987629:-1 gene:ENSMUSG00000024962.13 transcript:ENSMUST00000130048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vegfb description:vascular endothelial growth factor B [Source:MGI Symbol;Acc:MGI:106199] MSPLLRRLLLVALLQLARTQAPVSQFDGPSHQKKVVPWIDVYARATCQPREVVVPLSMEL MGNVVKQLVPSCVTVQRCGGCCPDDGLECVPTGQHQVRMQILMIQYPSSQLGEMSLEEHS QCECRPKKKESAVKPDSPRILCPPCTQRRQRPDPRTCRCRCRRRRFLHCQGRGLELNPDT CRCRKPRK >ENSMUSP00000025914.6 pep:known chromosome:GRCm38:19:6982473:6987651:-1 gene:ENSMUSG00000024962.13 transcript:ENSMUST00000025914.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vegfb description:vascular endothelial growth factor B [Source:MGI Symbol;Acc:MGI:106199] MSPLLRRLLLVALLQLARTQAPVSQFDGPSHQKKVVPWIDVYARATCQPREVVVPLSMEL MGNVVKQLVPSCVTVQRCGGCCPDDGLECVPTGQHQVRMQILMIQYPSSQLGEMSLEEHS QCECRPKKKESAVKPDRVAIPHHRPQPRSVPGWDSTPGASSPADIIHPTPAPGSSARLAP SAVNALTPGPAAAAADAAASSIAKGGA >ENSMUSP00000067539.8 pep:known chromosome:GRCm38:16:27307489:27351212:1 gene:ENSMUSG00000052276.8 transcript:ENSMUST00000066852.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ostn description:osteocrin [Source:MGI Symbol;Acc:MGI:2677164] MLDWRLASTHFILAMIVMLWGSGKAFSVDLASQEFGTASLQSPPTAREEKSATELSAKLL RLDDLVSLENDVFETKKKRSFSGFGSPLDRLSAGSVEHRGKQRKAVDHSKKRFGIPMDRI GRNRLSSSRG >ENSMUSP00000030731.4 pep:known chromosome:GRCm38:4:132274375:132295766:1 gene:ENSMUSG00000028899.11 transcript:ENSMUST00000030731.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf12 description:TAF12 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1913714] MNQFGPSALINLSSFSSVKPEPASTPPQGSMANSTTVGKIAGTPGTGGRLSPENNQVLTK KKLQDLVREVDPNEQLDEDVEEMLLQIADDFIESVVTAACQLARHRKSSTLEVKDVQLHL ERQWNMWIPGFGSEEIRPYKKACTTEAHKQRMALIRKTTKK >ENSMUSP00000101583.1 pep:known chromosome:GRCm38:4:132274416:132292712:1 gene:ENSMUSG00000028899.11 transcript:ENSMUST00000105963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf12 description:TAF12 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1913714] MAASHYSGLTAVADVIKDLDTQIALIGLGPHSSKKKQDLDKLYELKSKARQIMNQFGPSA LINLSSFSSVKPEPASTPPQGSMANSTTVGKIAGTPGTGGRLSPENNQVLTKKKLQDLVR EVDPNEQLDEDVEEMLLQIADDFIESVVTAACQLARHRKSSTLEVKDVQLHLERQWNMWI PGFGSEEIRPYKKACTTEAHKQRMALIRKTTKK >ENSMUSP00000131702.1 pep:known chromosome:GRCm38:7:21832351:21833274:1 gene:ENSMUSG00000095064.1 transcript:ENSMUST00000170931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r135 description:vomeronasal 1 receptor 135 [Source:MGI Symbol;Acc:MGI:3648167] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVMTGSKKRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPTELKCKLEFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLKFSHDATFMTIMVWTSVSMVLLLHRHHQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPNICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000104673.2 pep:known chromosome:GRCm38:2:175422300:175435807:-1 gene:ENSMUSG00000078897.10 transcript:ENSMUST00000109045.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4724 description:predicted gene 4724 [Source:MGI Symbol;Acc:MGI:3782904] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRT HTGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQC GKAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAF ITRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSS SLRIHKRTYTGERQYECN >ENSMUSP00000121866.1 pep:known chromosome:GRCm38:2:175423893:175435782:-1 gene:ENSMUSG00000078897.10 transcript:ENSMUST00000152941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4724 description:predicted gene 4724 [Source:MGI Symbol;Acc:MGI:3782904] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000104674.3 pep:known chromosome:GRCm38:2:175422300:175432182:-1 gene:ENSMUSG00000078897.10 transcript:ENSMUST00000109046.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4724 description:predicted gene 4724 [Source:MGI Symbol;Acc:MGI:3782904] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRT HTGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQC GKAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAF ITRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSS SLRIHKRTYTGERQYECN >ENSMUSP00000109533.1 pep:known chromosome:GRCm38:6:83219828:83303476:1 gene:ENSMUSG00000068323.12 transcript:ENSMUST00000113900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a5 description:solute carrier family 4, sodium bicarbonate cotransporter, member 5 [Source:MGI Symbol;Acc:MGI:2443220] MKVDEEKAGVKKLDPTSYKRRQPEQDFPSIHIGFPVPSYSQRKSDSKGHLSGLQKVQWSL KPGKPQQELAGPGIRASSQGGAVDFTKRTRSPAAEQLQDILGEEDEAPNPTLFTEMDTLQ HDGDQMEWKESARWIKFEEKVEEGGERWSKPHVSTLSLHSLFELRTCLQTGTVLLDLDSC SLPQIIDDVIEKQIEDGLLRPELRERVSYVLLRKHRHQTKKPIHRSLADIGKSVSTTNRS SARSSSAGPTLHRSTEDLRIRQSTSYGHLCHAQSRSMNDISHTPNTDQRKNKFMKKIPKD SEASNVLVGEVDFLDQPFIAFVRLVQSAMLGGVTEVPVPTRFLFILLGPSGRAKSYNEIG RAIATLMVDDLFSDVAYKARNREDLIAGIDEFLDEVIVLPPGEWDPNIRIEPPKKVPSAD KRKSVFSLAEPGQMNGSVGGGGASAGGGGSGGGAGGSGAGGVGSGDEAEMPAMHEIGEEL IWTGRFFGGLCLDVKRKLPWFPSDFYDGFHLQSISAVLFIYLGCITNAITFGGLLGDATD NYQGVMESFLGTAMAGSLFCLFSGQPLIILSSTGPILIFEKLLFDFSKANGLDYMEFRLW IGLHSAIQCLILVATDASFIIKYITRFTEEGFSTLISFIFIYDAIKKMIGAFKYYPINTD FKPDFITTYKCECVAPDTVNTTTVNASAPLAPNTNTSLYTPLNLTALDWSLLSKKECLSY GGRLLGSSCQFVPDLALMSFILFFGTYSMTLTLKKFKFSRYFPTKVRTLVADFSIVFSIL LFCGIDACFGLQTPKLHVPSVIKPTRPDRGWFVAPFGKNPWWVYPASILPALLVTILIFM DQQITAVIVNRKENKLRKAAGYHLDLFWVGILMALCSFTGLPWYVAATVISIAHIDSLKM ETETSAPGEQPQFLGVREQRVTGVMVFILTGISVFLAPILKYIPMPVLYGVFLYMGVASL NGIQFWERCKLFLMPAKHQPDHAFLRHVPLRRIHLFTLVQILCLALLWILKSTMAAIIFP VMILGLIIVRRLLDLIFSQHDLAWIDNILPEKDKKETDKKKKRRKEVHETAEKEVAMPQF LPPSVVKIPMEGIPSDPQNGIHCVARKRSSSWSYSL >ENSMUSP00000109532.1 pep:known chromosome:GRCm38:6:83237375:83304945:1 gene:ENSMUSG00000068323.12 transcript:ENSMUST00000113899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a5 description:solute carrier family 4, sodium bicarbonate cotransporter, member 5 [Source:MGI Symbol;Acc:MGI:2443220] MDTLQHDGDQMEWKESARWIKFEEKVEEGGERWSKPHVSTLSLHSLFELRTCLQTGTVLL DLDSCSLPQIIDDVIEKQIEDGLLRPELRERVSYVLLRKHRHQTKKPIHRSLADIGKSVS TTNRSSARSSSAGPTLHRSTEDLRIRQSTSYGHLCHAQSRSMNDISHTPNTDQRKNKFMK KIPKDSEASNVLVGEVDFLDQPFIAFVRLVQSAMLGGVTEVPVPTRFLFILLGPSGRAKS YNEIGRAIATLMVDDLFSDVAYKARNREDLIAGIDEFLDEVIVLPPGEWDPNIRIEPPKK VPSADKRKSVFSLAEPGQMNGSVGGGGASAGGGGSGGGAGGSGAGGVGSGDEAEMPAMHE IGEELIWTGRFFGGLCLDVKRKLPWFPSDFYDGFHLQSISAVLFIYLGCITNAITFGGLL GDATDNYQGVMESFLGTAMAGSLFCLFSGQPLIILSSTGPILIFEKLLFDFSKANGLDYM EFRLWIGLHSAIQCLILVATDASFIIKYITRFTEEGFSTLISFIFIYDAIKKMIGAFKYY PINTDFKPDFITTYKCECVAPDTVNTTTVNASAPLAPNTNTSLYTPLNLTALDWSLLSKK ECLSYGGRLLGSSCQFVPDLALMSFILFFGTYSMTLTLKKFKFSRYFPTKVRTLVADFSI VFSILLFCGIDACFGLQTPKLHVPSVIKPTRPDRGWFVAPFGKNPWWVYPASILPALLVT ILIFMDQQITAVIVNRKENKLRKAAGYHLDLFWVGILMALCSFTGLPWYVAATVISIAHI DSLKMETETSAPGEQPQFLGVREQRVTGVMVFILTGISVFLAPILKYIPMPVLYGVFLYM GVASLNGIQFWERCKLFLMPAKHQPDHAFLRHVPLRRIHLFTLVQILCLALLWILKSTMA AIIFPVMILGLIIVRRLLDLIFSQHDLAWIDNILPEKDKKETDKKKKRRKEVHETAEKEV AMEKDLPVGVTLSDSSISGSELDRSITLHFKISCPASPAFSYSRSPVFMVPQVRIEMESE LNLTDDDEEDYGREVGGETTL >ENSMUSP00000041007.7 pep:known chromosome:GRCm38:6:83237375:83304944:1 gene:ENSMUSG00000068323.12 transcript:ENSMUST00000039212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a5 description:solute carrier family 4, sodium bicarbonate cotransporter, member 5 [Source:MGI Symbol;Acc:MGI:2443220] MDTLQHDGDQMEWKESARWIKFEEKVEEGGERWSKPHVSTLSLHSLFELRTCLQTGTVLL DLDSCSLPQIIDDVIEKQIEDGLLRPELRERVSYVLLRKHRHQTKKPIHRSLADIGKSVS TTNRSSARSSSAGPTLHRSTEDLRIRQSTSYGHLCHAQSRSMNDISHTPNTDQRKNKFMK KIPKDSEASNVLVGEVDFLDQPFIAFVRLVQSAMLGGVTEVPVPTRFLFILLGPSGRAKS YNEIGRAIATLMVDDLFSDVAYKARNREDLIAGIDEFLDEVIVLPPGEWDPNIRIEPPKK VPSADKRKSVFSLAEPGQMNGSVGGGGASAGGGGSGGGAGGSGAGGVGSGDEAEMPAMHE IGEELIWTGRFFGGLCLDVKRKLPWFPSDFYDGFHLQSISAVLFIYLGCITNAITFGGLL GDATDNYQGVMESFLGTAMAGSLFCLFSGQPLIILSSTGPILIFEKLLFDFSKANGLDYM EFRLWIGLHSAIQCLILVATDASFIIKYITRFTEEGFSTLISFIFIYDAIKKMIGAFKYY PINTDFKPDFITTYKCECVAPDTVNTTTVNASAPLAPNTNTSLYTPLNLTALDWSLLSKK ECLSYGGRLLGSSCQFVPDLALMSFILFFGTYSMTLTLKKFKFSRYFPTKVRTLVADFSI VFSILLFCGIDACFGLQTPKLHVPSVIKPTRPDRGWFVAPFGKNPWWVYPASILPALLVT ILIFMDQQITAVIVNRKENKLRKAAGYHLDLFWVGILMALCSFTGLPWYVAATVISIAHI DSLKMETETSAPGEQPQFLGVREQRVTGVMVFILTGISVFLAPILKYIPMPVLYGVFLYM GVASLNGIQFWERCKLFLMPAKHQPDHAFLRHVPLRRIHLFTLVQILCLALLWILKSTMA AIIFPVMILGLIIVRRLLDLIFSQHDLAWIDNILPEKDKKETDKKKKRRKEVHETAEKEV AMPQFLPPSVVKIPMEGIPSDPQNGIHCVARKRSSSWSYSL >ENSMUSP00000113791.1 pep:known chromosome:GRCm38:16:97791520:97851850:-1 gene:ENSMUSG00000014039.17 transcript:ENSMUST00000121584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm15 description:PR domain containing 15 [Source:MGI Symbol;Acc:MGI:1930121] MAEDGSEEIMFIWCEDCSQYHDSECPELGPVVMVKDSFVLSRARSSLPSNLEIRRLDDGA EGVFAVTQLVKRTQFGPFESRRVAKWEKESAFPLKVFQKDGHPVCFDTSNEDDCNWMMLV RPALEPGHQNLTAYQHGSDVYFTTSKDIPAGTELRVWYAAFYAKKMDKPMLKQACSSVQA AGTPEPSVSVEPERGQWVCKVCSNTFLELQLLNEHLLGHLEQAKSLPAGGQQHEAASEKE PDAPRMEPPTAAESKSIQSVMVTKEPKKKPRRGRKPKASKVEQPLVIIKDKEPSEHVAEI ITEIPPDEPVSATPDERIMELVLGKLAAPTNEASSVPKFPHHPSSTIALKRGLVLSSRHG VRRKLVRQLGEHKRIHQCGTCSKVFQNSSNLSRHVRSHGECAHGDKLFKCEECSKLFSRK ESLKQHVSYKHSRNEVDGEYRYRCGSCGKTFRMESALEFHNCRTDDKTFQCEMCFRFFST NSNLSKHKKKHGDKKFACEVCSKMFYRKDVMLDHQRRHLDGVRRVKREDLEASGESLVRY KKEPSGCPVCGKVFSCRSNMNKHLLTHGDKKYTCEICGRKFFRVDVLRDHIHVHFKDIAL MDDHQREEFIGKIGISSEENDDNSDESADSEPHKYSCKRCQLTFGRGKEYLKHIMEVHKE KGHGCSICHRRFALKATYHAHMVIHRENLPDPNVQKYIHPCEICGRIFNSIGNLERHKLI HTGVKSHACEQCGKSFARKDMLKEHMRVHDNIREYLCAECGKGMKTKHALRHHMKLHKGI KEYECKECHRKFAQKVNMLKHYKRHTGIKDFMCELCGKTFSERNTMETHKLIHTVGKQWT CSVCDKKYVTEYMLQKHVQLTHDKVEAQSCQLCGTKVSTRASMSRHMRRKHPEVLAVRID DLDHLPETTTIDASSIGIVQPALGLEQEELAEGKHGKAAKRSHKRKQKPEEEAGAPVPED TTFSEYPEKEPEFTGSVGDETNSAVQSIQQVVVTLGDPNVTAPSSSVGLTNITVTPITTA AGTQFTNLQPVAVGHLTNPDRQLQLDNSILTVTFDTVSGSAMLHNRQNDVQIHPQPEATN PQSVAHFINLTTLVNSITPLGNQLSEQHPLTWRAVPQTDVLQPPQAPAAPQQAVQPQVQN EQQQMYSY >ENSMUSP00000120497.1 pep:known chromosome:GRCm38:16:97791877:97851783:-1 gene:ENSMUSG00000014039.17 transcript:ENSMUST00000142295.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prdm15 description:PR domain containing 15 [Source:MGI Symbol;Acc:MGI:1930121] MAEDGSEEIMFIWCEDCSQYHDSECPELGPVVMVKDSFVLSRARSSLPSNLEIRRLDDGA EGVFAVTQLVKRTQFGPFESRRVAKWEKESAFPLKVFQKDGHPVCFDTSNEDDCNWMMLV RPALEPGHQNLTAYQHGSDVYFTTSKDIPAGTELRVWYAAFYAKKMDKPMLKQACSSVQE HLLGHLEQAKSLPAGGQQHEAASEKEPDAPRMEPPTAAESKSIQSVMVTKEPKKKPRRGR KPKASKVEQPLVIIKDKEPSEHVAEIITEIPPDEPVSATPDERIMELVLGKLAAPTNEAS SVPKFPHHPSSTIALKRGLVLSSRHGVRRKLVRQLGEHKRIHQCGTCSKVFQNSSNLSRH VRSHGDKLFKCEECSKLFSRKESLKQHVSYKHSRNEVDGEYRYRCGSCGKTFRMESALEF HNCRTDSSPPTATSLSTRRNMGTRSLPVRSAVRCSTARMLCWTTRGDTWMVCGG >ENSMUSP00000113002.1 pep:known chromosome:GRCm38:16:97835784:97848884:-1 gene:ENSMUSG00000014039.17 transcript:ENSMUST00000119200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm15 description:PR domain containing 15 [Source:MGI Symbol;Acc:MGI:1930121] MAEDGSEEIMFIWCEDCSQYHDSECPELGPVVMVKDSFVLSRARSSLPSNLEIRRLDDGA EGVFAVTQLVKRTQFGPFESRRVAKWEKESAFPLKVFQKDGHPVCFDTSN >ENSMUSP00000115814.1 pep:known chromosome:GRCm38:16:97837681:97851227:-1 gene:ENSMUSG00000014039.17 transcript:ENSMUST00000135505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm15 description:PR domain containing 15 [Source:MGI Symbol;Acc:MGI:1930121] MAEDGSEEIMFIWCEDCSQYHDSECPELGPVVMVKDSFVLSRARSSLPSNLEIRRLDDG >ENSMUSP00000093533.3 pep:known chromosome:GRCm38:16:97791467:97851227:-1 gene:ENSMUSG00000014039.17 transcript:ENSMUST00000095849.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm15 description:PR domain containing 15 [Source:MGI Symbol;Acc:MGI:1930121] MCPPTIWEKGGQVGARWSLRAPEVSAMAEDGSEEIMFIWCEDCSQYHDSECPELGPVVMV KDSFVLSRARSSLPSNLEIRRLDDGAEGVFAVTQLVKRTQFGPFESRRVAKWEKESAFPL KVFQKDGHPVCFDTSNEDDCNWMMLVRPALEPGHQNLTAYQHGSDVYFTTSKDIPAGTEL RVWYAAFYAKKMDKPMLKQACSSVQAAGTPEPSVSVEPERGQWVCKVCSNTFLELQLLNE HLLGHLEQAKSLPAGGQQHEAASEKEPDAPRMEPPTAAESKSIQSVMVTKEPKKKPRRGR KPKASKVEQPLVIIKDKEPSEHVAEIITEIPPDEPVSATPDERIMELVLGKLAAPTNEAS SVPKFPHHPSSTIALKRGLVLSSRHGVRRKLVRQLGEHKRIHQCGTCSKVFQNSSNLSRH VRSHGECAHGDKLFKCEECSKLFSRKESLKQHVSYKHSRNEVDGEYRYRCGSCGKTFRME SALEFHNCRTDDKTFQCEMCFRFFSTNSNLSKHKKKHGDKKFACEVCSKMFYRKDVMLDH QRRHLDGVRRVKREDLEASGESLVRYKKEPSGCPVCGKVFSCRSNMNKHLLTHGDKKYTC EICGRKFFRVDVLRDHIHVHFKDIALMDDHQREEFIGKIGISSEENDDNSDESADSEPHK YSCKRCQLTFGRGKEYLKHIMEVHKEKGHGCSICHRRFALKATYHAHMVIHRENLPDPNV QKYIHPCEICGRIFNSIGNLERHKLIHTGVKSHACEQCGKSFARKDMLKEHMRVHDNIRE YLCAECGKGMKTKHALRHHMKLHKGIKEYECKECHRKFAQKVNMLKHYKRHTGIKDFMCE LCGKTFSERNTMETHKLIHTVGKQWTCSVCDKKYVTEYMLQKHVQLTHDKVEAQSCQLCG TKVSTRASMSRHMRRKHPEVLAVRIDDLDHLPETTTIDASSIGIVQPALGLEQEELAEGK HGKAAKRSHKRKQKPEEEAGAPVPEDTTFSEYPEKEPEFTGSVGDETNSAVQSIQQVVVT LGDPNVTAPSSSVGLTNITVTPITTAAGTQFTNLQPVAVGHLTNPDRQLQLDNSILTVTF DTVSGSAMLHNRQNDVQIHPQPEATNPQSVAHFINLTTLVNSITPLGNQLSEQHPLTWRA VPQTDVLQPPQAPAAPQQAVQPQVQNEQQQMYSY >ENSMUSP00000067939.1 pep:known chromosome:GRCm38:4:33208991:33233340:1 gene:ENSMUSG00000054679.8 transcript:ENSMUST00000067864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf12 description:serine/arginine-rich splicing factor 12 [Source:MGI Symbol;Acc:MGI:2661424] MRSFSGAVWERQVSLGAPSWPAAMGDRIYSLEARAVARSVLARPRRPRAPRPRLRLRGRP GRGRGGLLGAGPREACLATPGPPTPPCSSGTSQTPPAPGQMKSKERHLCSPSDHRRSRSP SQRRSRSRSSSWGRDRRHSDSLKESRHRRSSYSQSKSRSKSLPRQSTSLRQSRTPRRNSG SRGRSRSKSLPKRSKSMEKSQSRSPQKQTGSGAKSRPHGRHCDSIARSPCKSPRAYTSSG SKTQTTKHSHLRSHSRSRSYHHKNSW >ENSMUSP00000103794.1 pep:known chromosome:GRCm38:4:33209263:33231293:1 gene:ENSMUSG00000054679.8 transcript:ENSMUST00000108159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf12 description:serine/arginine-rich splicing factor 12 [Source:MGI Symbol;Acc:MGI:2661424] MSRYTRPPNTSLFVRNVADATRPEDLRREFGRYGPIVDVYIPLDFYSRRPRGFAYVQFED VRDAEDALYNLNRKWVCGRQIEIQFAQGDRKTPGQMKSKERHLCSPSDHRRSRSPSQRRS RSRSSSWGRDRRHSDSLKESRHRRSSYSQSKSRSKSLPRQSTSLRQSRTPRRNSGSRGRS RSKSLPKRSKSMEKSQSRSPQKQTGSGAKSRPHGRHCDSIARSPCKSPRAYTSSGSKTQT TKHSHLRSHSRSRSYHHKNSW >ENSMUSP00000123938.1 pep:known chromosome:GRCm38:9:44066327:44113500:-1 gene:ENSMUSG00000053128.14 transcript:ENSMUST00000162126.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf26 description:ring finger protein 26 [Source:MGI Symbol;Acc:MGI:2388131] MEAVYLVVNGVGLVLDLLTLMLDLNFLLVSSLLATLAWLLAFIYNLPHTVLTSLLHLGRG FLLSLLALVEAVVRFTFGGLQALGTLLYSCYSGLESLKLLGHLASHGALRSREFLNRGIL NMVSNGHALLRQACDICAIAMSLVAYVINSLVNICLISTQNFFSLVLALWDAVTGPLWRM TDVVAAFLAHISSSAVAMAILLWTPCQLALELLASAARLLASCVVFHLTGLVLLACVLAV ILIVLHPEQTLRLATQALSQLHARPSYHRLWEDIVRLTRLPLGLEAWRRVWSRSLQLASW PNRGGAPGAPQGGPRRVFSARIQPQDTPPEAEEEVIRTAPARGREQLNEDEPAAGQDPWK LLKEQEERKKCVICQDQSKTVLLLPCRHLCLCQACTEILMRHPVYHRNCPLCRRSILQTL NVYL >ENSMUSP00000070060.4 pep:known chromosome:GRCm38:9:44095631:44113517:-1 gene:ENSMUSG00000053128.14 transcript:ENSMUST00000065379.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf26 description:ring finger protein 26 [Source:MGI Symbol;Acc:MGI:2388131] MEAVYLVVNGVGLVLDLLTLMLDLNFLLVSSLLATLAWLLAFIYNLPHTVLTSLLHLGRG FLLSLLALVEAVVRFTFGGLQALGTLLYSCYSGLESLKLLGHLASHGALRSREFLNRGIL NMVSNGHALLRQACDICAIAMSLVAYVINSLVNICLISTQNFFSLVLALWDAVTGPLWRM TDVVAAFLAHISSSAVAMAILLWTPCQLALELLASAARLLASCVVFHLTGLVLLACVLAV ILIVLHPEQTLRLATQALSQLHARPSYHRLWEDIVRLTRLPLGLEAWRRVWSRSLQLASW PNRGGAPGAPQGGPRRVFSARIQPQDTPPEAEEEVIRTAPARGREQLNEDEPAAGQDPWK LLKEQEERKKCVICQDQSKTVLLLPCRHLCLCQACTEILMRHPVYHRNCPLCRRSILQTL NVYL >ENSMUSP00000124568.1 pep:known chromosome:GRCm38:9:44095812:44111868:-1 gene:ENSMUSG00000053128.14 transcript:ENSMUST00000160985.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf26 description:ring finger protein 26 [Source:MGI Symbol;Acc:MGI:2388131] XLKEQEERKKCVICQDQSKTVLLLPCRHLCLCQACTEILMRHPVYHRNCPLCRRSILQTL NVYL >ENSMUSP00000110478.2 pep:known chromosome:GRCm38:9:44110781:44113504:-1 gene:ENSMUSG00000053128.14 transcript:ENSMUST00000056328.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf26 description:ring finger protein 26 [Source:MGI Symbol;Acc:MGI:2388131] MEAVYLVVNGVGLVLDLLTLMLDLNFLLVSSLLATLAWLLAFIYNLPHTVLTSLLHLGRG FLLSLLALVEAVVRFTFGGLQALGTLLYSCYSGLESLKLLGHLASHGALRSREFLNRGIL NMVSNGHALLRQACDICAIAMSLVAYVINSLVNICLISTQNFFSLVLALWDAVTGPLWRM TDVVAAFLAHISSSAVAMAILLWTPCQLALELLASAARLLASCVVFHLTGLVLLACVLAV ILIVLHPEQTLRLATQALSQLHARPSYHRLWEDIVRLTRLPLGLEAWRRVWSRSLQLASW PNRGGAPGAPQGGPRRVFSARIQPQDTPPEAEEEVIRTAPARGREQLNEDEPAAGQDPWK LLKEQEERKKCVICQDQSKTVLLLPCRHLCLCQACTEILMRHPVYHRNCPLCRRSILQTL NVYL >ENSMUSP00000140405.1 pep:known chromosome:GRCm38:9:44066327:44113500:-1 gene:ENSMUSG00000053128.14 transcript:ENSMUST00000185479.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf26 description:ring finger protein 26 [Source:MGI Symbol;Acc:MGI:2388131] MEAVYLVVNGVGLVLDLLTLMLDLNFLLVSSLLATLAWLLAFIYNLPHTVLTSLLHLGRG FLLSLLALVEAVVRFTFGGLQALGTLLYSCYSGLESLKLLGHLASHGALRSREFLNRGIL NMVSNGHALLRQACDICAIAMSLVAYVINSLVNICLISTQNFFSLVLALWDAVTGPLWRM TDVVAAFLAHISSSAVAMAILLWTPCQLALELLASAARLLASCVVFHLTGLVLLACVLAV ILIVLHPEQTLRLATQALSQLHARPSYHRLWEDIVRLTRLPLGLEAWRRVWSRSLQLASW PNRGGAPGAPQGGPRRVFSARIQPQDTPPEAEEEVIRTAPARGREQLNEDEPAAGQDPWK LLKEQEERKKCVICQDQSKTVLLLPCRHLCLCQACTEILMRHPVYHRNCPLCRRSILQTL NVYL >ENSMUSP00000104670.3 pep:known chromosome:GRCm38:2:175469985:175479337:1 gene:ENSMUSG00000078896.11 transcript:ENSMUST00000109042.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8923 description:predicted gene 8923 [Source:MGI Symbol;Acc:MGI:3779822] MRDSTGCCERRLVKLRTPNMDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSLGR >ENSMUSP00000104630.2 pep:known chromosome:GRCm38:2:175470063:175480253:1 gene:ENSMUSG00000078896.11 transcript:ENSMUST00000109002.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8923 description:predicted gene 8923 [Source:MGI Symbol;Acc:MGI:3779822] MRDSTGCCERRLVKLRTPNMDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSLGRHERRSSAEQHSEFIPCGKAFAYQSRSQRHVRIHNGEK HYECNQCGKDFGTRSVLQRLKRTHSGENPYECNHCGKAFAESSTLQIHKRKHTGEKPYEC NHCVKAFAKMSELQIHKRIHTGEKPYECKQCGKAFTQSSHLGIHKQTHTGEKPYECKQCG KAFARSSTLQTHKQTHTGEKPYECKQCDKAFVRRGELQIHKGTHTGEKPYECKQCGKAFA QSGTLQIHKRTHTGEKPY >ENSMUSP00000104671.2 pep:known chromosome:GRCm38:2:175470063:175481572:1 gene:ENSMUSG00000078896.11 transcript:ENSMUST00000109043.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8923 description:predicted gene 8923 [Source:MGI Symbol;Acc:MGI:3779822] MRDSTGCCERRLVKLRTPNMVTVGKNIQLKTISKLLEVLEAFAGRSDLQRQKIIQKRKSM KASNVIKSLQQALISMYINEHIPERNLLNVTNMVKLFQKPIVFTYIKEYILERNALTLTN VVKLFQKAVINKIIKQHV >ENSMUSP00000120552.1 pep:known chromosome:GRCm38:2:175470063:175482171:1 gene:ENSMUSG00000078896.11 transcript:ENSMUST00000143490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8923 description:predicted gene 8923 [Source:MGI Symbol;Acc:MGI:3779822] MRDSTGCCERRLVKLRTPNMDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSLGRVFTMKQSILPRNLGI >ENSMUSP00000119911.1 pep:known chromosome:GRCm38:4:43496142:43497234:-1 gene:ENSMUSG00000051517.14 transcript:ENSMUST00000152134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef39 description:Rho guanine nucleotide exchange factor (GEF) 39 [Source:MGI Symbol;Acc:MGI:3036286] XDVLLMAKPRPPLHLLKSGTFVCRALYPMSQCHLSRVFGHSGGPCGGLLSLSFPHEKLLL MSTDQEELSQWHHSLTLAISQKSSTHRSVTAT >ENSMUSP00000055293.6 pep:known chromosome:GRCm38:4:43496142:43499695:-1 gene:ENSMUSG00000051517.14 transcript:ENSMUST00000054538.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef39 description:Rho guanine nucleotide exchange factor (GEF) 39 [Source:MGI Symbol;Acc:MGI:3036286] MESSGSAACCPVLQQRARWERKRVCTARELLETERRYQEQLGLVATYFLRILKAKGTLRP PELQTLFGTWELIYAASLELLPYLEEGQWGLGLQGFCPHLELYAQFAANAERSQTTLQAQ LKKNKRFRRFVKLQEGRPEFRGLQLQDLLPLPLQRLQQYENLVVALAENTVPNSPDYQQL TRAARLVSETAQKVHAIGQSQKNDQHLLRVQALLSGRKAKGLTSGRWFLRQGWLLVVPPT GEPRPRMFFLFSDVLLMAKPRPPLHLLKSGTFVCRALYPMSQCHLSRVFGHSGGPCGGLL SLSFPHEKLLLMSTDQEELSQWHHSLTLAIRSQKSSTHRSVTAT >ENSMUSP00000118144.1 pep:known chromosome:GRCm38:4:43496821:43499608:-1 gene:ENSMUSG00000051517.14 transcript:ENSMUST00000136005.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef39 description:Rho guanine nucleotide exchange factor (GEF) 39 [Source:MGI Symbol;Acc:MGI:3036286] XWRVRDPPRAARCYSSVLVGNGNVSAPLGSCWRPSDATRNNWGWWPRELLPYLEEGQWGL GLQGFCPHLELYAQFAANAERSQTTLQAQLKKNKRFRRFVKLQEGRPEFRGLQLQDLLPL PLQRLQQYENLVVALAENTVPNSPDYQQLTRRWFLRQGWLLVVPPTGEPRPRMFFLFSDV LLMAKPRPPLHLLKSGTFVCRALYPMSQCHLSRVFGHSGGPCGGLLSLSFPHEKLLLMST DQEELSQWHHSLTLAI >ENSMUSP00000100882.2 pep:known chromosome:GRCm38:10:127747276:127751732:-1 gene:ENSMUSG00000058396.7 transcript:ENSMUST00000079692.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr182 description:G protein-coupled receptor 182 [Source:MGI Symbol;Acc:MGI:109545] MSVIPSPRPVSTLEPDNDFRDIHNWTELLHLFNQTFTDCHIEFNENTKHVVLFVFYLAIF VVGLVENVLVICVNCRRSGRVGMLNLYILNMAIADLGIILSLPVWMLEVMLEYTWLWGSF SCRFIHYFYLVNMYSSIFFLTCLSIDRYVTLTNTSPSWQRHQHRIRRAVCAGVWVLSAII PLPEVVHIQLLDGSEPMCLFLAPFETYSAWALAVALSATILGFLLPFLLIAVFNILTACR LRRQRQTESRRHCLLMWAYIVVFAICWLPYQVTMLLLTLHGTHIFLHCHLVNLLYFFYEI IDCFSMLHCVANPILYNFLSPSFRGRLLSLVVRYLPKEQARAAGGRASSSSSTQHSIIIT KEGSLPAAADLHTHPIRNVQASSPPPNTSPTLCNSVAS >ENSMUSP00000046692.7 pep:known chromosome:GRCm38:X:16885521:16911774:-1 gene:ENSMUSG00000040138.7 transcript:ENSMUST00000040134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndp description:Norrie disease (pseudoglioma) (human) [Source:MGI Symbol;Acc:MGI:102570] MRNHVLAASISMLSLLAIMGDTDSKTDSSFLMDSQRCMRHHYVDSISHPLYKCSSKMVLL ARCEGHCSQASRSEPLVSFSTVLKQPFRSSCHCCRPQTSKLKALRLRCSGGMRLTATYRY ILSCHCEECSS >ENSMUSP00000037316.5 pep:known chromosome:GRCm38:6:146577203:146592865:1 gene:ENSMUSG00000040242.14 transcript:ENSMUST00000037836.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1op2 description:FGFR1 oncogene partner 2 [Source:MGI Symbol;Acc:MGI:1914779] MSCTIEKALADAKALVERLRDHDDAAESLIEQTTALSKRVEAMKQYQEEIQELNEVARHR PRSTLVMGIQQENRQIRELQQENKELRTSLEEHQSALELIMSKYREQMFRLLMASKKDDP GIIMKLKEQHSKIDMVHRNSCEGFFLDASRHILEAPQHGLERRHLEANQNVH >ENSMUSP00000098352.3 pep:known chromosome:GRCm38:6:146577859:146599198:1 gene:ENSMUSG00000040242.14 transcript:ENSMUST00000067404.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1op2 description:FGFR1 oncogene partner 2 [Source:MGI Symbol;Acc:MGI:1914779] MSCTIEKALADAKALVERLRDHDDAAESLIEQTTALSKRVEAMKQYQEEIQELNEVARHR PRSTLVMGIQQENRQIRELQQENKELRTSLEEHQSALELIMSKYREQMFRLLMASKKDDP GIIMKLKEQHSKELQAHVDQITEMAAVMRKAIEIDEQQGCKEQERIFQLEQENKGLREIL QITRESFLNLRKDDASESTSLSALVTNSDLSLRKS >ENSMUSP00000107292.2 pep:known chromosome:GRCm38:6:146577859:146599198:1 gene:ENSMUSG00000040242.14 transcript:ENSMUST00000111663.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1op2 description:FGFR1 oncogene partner 2 [Source:MGI Symbol;Acc:MGI:1914779] MSCTIEKALADAKALVERLRDHDDAAESLIEQTTALSKRVEAMKQYQEEIQELNEVARHR PRSTLVMGIQQENRQIRELQQENKELRTSLEEHQSALELIMSKYREQMFRLLMASKKDDP GIIMKLKEQHSKIDMVHRNSCEGFFLDASRHILEAPQHGLERRHLEANQNELQAHVDQIT EMAAVMRKAIEIDEQQGCKEQERIFQLEQENKGLREILQITRESFLNLRKDDASESTSLS ALVTNSDLSLRKS >ENSMUSP00000107289.1 pep:known chromosome:GRCm38:6:146577921:146592865:1 gene:ENSMUSG00000040242.14 transcript:ENSMUST00000058245.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1op2 description:FGFR1 oncogene partner 2 [Source:MGI Symbol;Acc:MGI:1914779] MSCTIEKALADAKALVERLRDHDDAAESLIEQTTALSKRVEAMKQYQEEIQELNEVARHR PRSTLVMGIQQENRQIRELQQENKELRTSLEEHQSALELIMSKYREQMFRLLMASKKDDP GIIMKLKEQHSKIDMVHRNSCEGFFLDASRHILEAPQHGLERRHLEANQNVH >ENSMUSP00000113769.1 pep:known chromosome:GRCm38:8:91123499:91134465:-1 gene:ENSMUSG00000031667.15 transcript:ENSMUST00000120349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aktip description:thymoma viral proto-oncogene 1 interacting protein [Source:MGI Symbol;Acc:MGI:3693832] MNPLWSMSAGSVRKRAEGEEKTLAGDVKTSPPRSAPKKQLPSIPKNALPIAKPTSPAPAA QSTNGTHASYGPFYLEYSLLAEFTLVVKQKLPGVYVQPSYRSALVWFGVIFIRHGLYQDG VFKFTVYIPDNYPDGDCPRLLFDIPVFHPLVDPTSGELDVKRAFAKWRRNHNHIWQVLMY ARRVFYKIDTTSPLNPEAAVLYEKDIQLFKSKVVDSVKVCTARLFDQPKIEDPYAISFSP WNPSVHDEAREKMLTQKKPDEQHNKSVHVAGLSWVKPGSVQPFSKEEKTVAT >ENSMUSP00000113379.1 pep:known chromosome:GRCm38:8:91112041:91131151:-1 gene:ENSMUSG00000031667.15 transcript:ENSMUST00000120426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aktip description:thymoma viral proto-oncogene 1 interacting protein [Source:MGI Symbol;Acc:MGI:3693832] MNPLWSMSAGSVRKRAEGEEKTLAGDVKTSPPRSAPKKQLPSIPKNALPIAKPTSPAPAA QSTNGTHASYGPFYLEYSLLAEFTLVVKQKLPGVYVQPSYRSALVWFGVIFIRHGLYQDG VFKFTVYIPDNYPDGDCPRLLFDIPVFHPLVDPTSGELDVKRAFAKWRRNHNHIWQVLMY ARRVFYKIDTTSPLNPEAAVLYEKDIQLFKSKVVDSVKVCTARLFDQPKIEDPYAISFSP WNPSVHDEAREKMLTQKPREKTWLPGSGPVAEFCNNA >ENSMUSP00000119277.1 pep:known chromosome:GRCm38:8:91124631:91134027:-1 gene:ENSMUSG00000031667.15 transcript:ENSMUST00000125257.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aktip description:thymoma viral proto-oncogene 1 interacting protein [Source:MGI Symbol;Acc:MGI:3693832] MNPLWSMSAGSVRKRAEGEEKTLAGDVKTSPPRSAPKKQLPSIPKNALPIAKPTSPAPAA QSTNGTHASYGPFYLEYSLLAEFTLVVKQKLPGVYVQPSYRSALVWFGVIFIRHGLYQDG VFKFTVYIPDNYPDGDCPRLLFDIPVFHPLVDPTSGELDVKRAFAKWRRNHNHIWQVLMY ARRVFYKIDTTSPLNPEAAVLYEKDIQLFKSKVVDSVKVCTARLFDQPKIEDPYAISFSP WNPSVHDEAREKMLTQKKPDEQHNKSVHVAGLS >ENSMUSP00000105238.2 pep:known chromosome:GRCm38:8:91124469:91134023:-1 gene:ENSMUSG00000031667.15 transcript:ENSMUST00000109609.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aktip description:thymoma viral proto-oncogene 1 interacting protein [Source:MGI Symbol;Acc:MGI:3693832] MNPLWSMSAGSVRKRAEGEEKTLAGDVKTSPPRSAPKKQLPSIPKNALPIAKPTSPAPAA QSTNGTHASYGPFYLEYSLLAEFTLVVKQKLPGVYVQPSYRSALVWFGVIFIRHGLYQDG VFKFTVYIPDNYPDGDCPRLLFDIPVFHPLVDPTSGELDVKRAFAKWRRNHNHIWQVLMY ARRVFYKIDTTSPLNPEAAVLYEKDIQLFKSKVVDSVKVCTARLFDQPKIEDPYAISFSP WNPSVHDEAREKMLTQKKPDEQHNKSVHVAGLSWVKPGSVQPFSKEEKTVAT >ENSMUSP00000112375.1 pep:known chromosome:GRCm38:8:91123499:91133942:-1 gene:ENSMUSG00000031667.15 transcript:ENSMUST00000120213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aktip description:thymoma viral proto-oncogene 1 interacting protein [Source:MGI Symbol;Acc:MGI:3693832] MNPLWSMSAGSVRKRAEGEEKTLAGDVKTSPPRSAPKKQLPSIPKNALPIAKPTSPAPAA QSTNGTHASYGPFYLEYSLLAEFTLVVKQKLPGVYVQPSYRSALVWFGVIFIRHGLYQDG VFKFTVYIPDNYPDGDCPRLLFDIPVFHPLVDPTSGELDVKRAFAKWRRNHNHIWQVLMY ARRVFYKIDTTSPLNPEAAVLYEKDIQLFKSKVVDSVKVCTARLFDQPKIEDPYAISFSP WNPSVHDEAREKMLTQKKPDEQHNKSVHVAGLSWVKPGSVQPFSKEEKTVAT >ENSMUSP00000058028.8 pep:known chromosome:GRCm38:6:94500331:94604672:1 gene:ENSMUSG00000045100.11 transcript:ENSMUST00000061118.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a26 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 26 [Source:MGI Symbol;Acc:MGI:1914832] MDAPGFTASLVAGGVAGVSVDLILFPLDTIKTRLQSPQGFNKAGGFRGIYAGVPSAAVGS FPNAAAFFLTYEYVKSLLHTDSTSHFKPVKHMLAASTGEVVACLIRVPSEVVKQRAQVSA SSKTLQIFLTILSEEGIQGLYRGYKSTVLREIPFSLVQFPLWESLKALWAWRRGHVVDSW QSAVCGAFAGGFAAAVTTPLDVAKTRIMLAKAGSSTAVGNVLSAMHGVWRSQGLAGLFAG VLPRMAAISMGGFIFLGAYDQARSLLLEVGRKSP >ENSMUSP00000144816.1 pep:known chromosome:GRCm38:6:94500333:94604054:1 gene:ENSMUSG00000045100.11 transcript:ENSMUST00000204764.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a26 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 26 [Source:MGI Symbol;Acc:MGI:1914832] MDAPGFTASLVAGGVAGVSVDLILFPLDTIKTRLQSPQGFNKAGGFRGIYAGVPSAAVGS FPNGKGVDIPSAQKPSCCIFSHL >ENSMUSP00000145328.1 pep:known chromosome:GRCm38:6:94500369:94604672:1 gene:ENSMUSG00000045100.11 transcript:ENSMUST00000204235.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a26 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 26 [Source:MGI Symbol;Acc:MGI:1914832] MDAPGFTASLVAGGVAGVSVDLILFPLDTIKTRLQSPQGFNKAGGFRGIYAGVPSAAVGS FPNAAAFFLTYEYVKSLLHTDSTSHFKPVKHMLAASTGEVVHGCFVSVGKCGHICGNHSL P >ENSMUSP00000145025.1 pep:known chromosome:GRCm38:6:94507578:94596088:1 gene:ENSMUSG00000045100.11 transcript:ENSMUST00000204985.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a26 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 26 [Source:MGI Symbol;Acc:MGI:1914832] XLQSPQGFNKAGGFRGIYAGVPSAAVGSFPNAAAFFLTYEYVKSLLHTDSTSHFKPVKHM LAASTGEVVACLIRVPSEVVKQRAQVSASSKTLQIFLTILSEEGIQGLYRGYKSTVLREA LWAWRRGHVVDSWQSAVCGAFAASLGPLDYLKDNL >ENSMUSP00000100042.2 pep:known chromosome:GRCm38:13:22040636:22041362:-1 gene:ENSMUSG00000060639.5 transcript:ENSMUST00000102977.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4i description:histone cluster 1, H4i [Source:MGI Symbol;Acc:MGI:2448432] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000075612.1 pep:known chromosome:GRCm38:2:86508461:86509402:1 gene:ENSMUSG00000060742.1 transcript:ENSMUST00000076263.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1076 description:olfactory receptor 1076 [Source:MGI Symbol;Acc:MGI:3030910] MENHNLTMVTEFILVGITDRPELQAPLFGLFLIIYLITLVGNLGMIILTMVDSRLQTPMY FFLRHLATTDLGYSTAVGPKMLRNFLVEQNTISIYFCAVQLSFFSMFIVSEFFILSAMSY DWYVAICKPLLYNVIMSKRVCWLLVAIPYLYSIFVALIVTINIFSSSFCGHNIISHFYCD GLPLISLLCSNKKESEMIILILSTINLISSPVVILVSYLLILRAILKMNSAEGRQKAFST CGSHLTVVTVFYGTLIFMYVQPKSNHTLNTDKVASIFYTLIIPMLNPLIYSLRNKDVKYA LRKTGKSIQNIFS >ENSMUSP00000034654.7 pep:known chromosome:GRCm38:9:44101729:44109187:1 gene:ENSMUSG00000034739.17 transcript:ENSMUST00000034654.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfrp description:membrane frizzled-related protein [Source:MGI Symbol;Acc:MGI:2385957] MKDYDDVILRPEASELSKTEFCNPAFDPEAGPSCPPPALQRDVGSRLQAPWHAQRLRGLQ PDCHFSWFCILLLSGLLLLLLGLLVAVILAQLQATSLPRTTKNPLLTRGLTPMGVIPSTT PNTTTTTTTTTPARTGQQEAAMSPTHQTTCGGLLPGPSGFFSSPNYPDLYPPLSHCVWHI QVAAGQTIQLKIQALSIESMLTCLFDRLEIISEPTGPLLRVCGKTPPATLNTNTSHLRVS FVSDNDVEGSGFQAWYQAVAPGHWSCAHNEFHCDLLLCLKRDSVCDGITECADGSDEANC SAKTLGCGGNLTGLYGVFSTPNYPQHYPHQQLCTWYIEVPVGYGIRLEFHNFSLEAQAEC KFDYVEVYEASNLGTFSFLGRFCGAEPPLNVVSSMHQLAVIFKTDLGISSGGFLATYQAI NTTESGCPWAEFCQSGGYRDLQWMCDLWKDCANDSNDNCSSHLSPQPDLTCEPVQVEMCL GLSYNTTAFPNIWVGLATQTEVTDILRGYKSLTSLPCYQTFQRFLCGLLVPRCTSLGTIL PPCRSVCQAAEQQCQSSLALLGTPWPFNCNRLPVAASLEACSQP >ENSMUSP00000125053.2 pep:known chromosome:GRCm38:9:44101738:44106519:1 gene:ENSMUSG00000034739.17 transcript:ENSMUST00000161703.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfrp description:membrane frizzled-related protein [Source:MGI Symbol;Acc:MGI:2385957] MKDYDDVILRPEASELSKTEFCNPAFDPEAGPSCPPPALQRDVGSRLQAPWHAQRLRGLQ PDCHFSWFCILLLSGLLLLLLGLLVAVILAQLQATSLPRTTKNPLLTRGLTPMGVIPSTT PNTTTTTTTTTPARTGQQEAAMSPTHQTTCGGLLPGPSGFFSSPNYPDLYPPLSHCVWHI QVAAGQTIQLKIQALSIESMLTCLFDRLEIISEPTGPLLRVCGKTPPATLNTNTSHLRVS FVSDNDVEGSGFQAWYQAVAPGHWSCAHNEFHCDLLLCLKRDSVCDGITECADGSDEANC SAKTLGSVEQSHHSTLSPRCTSWL >ENSMUSP00000145676.1 pep:known chromosome:GRCm38:9:44101754:44109184:1 gene:ENSMUSG00000034739.17 transcript:ENSMUST00000206308.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfrp description:membrane frizzled-related protein [Source:MGI Symbol;Acc:MGI:2385957] MKDYDDVILRPEASELSKTEFCNPAFDPEAGPSCPPPALQRDVGSRLQAPWHAQRLRGLQ PDCHFSWFCILLLSGLLLLLLGLLVAVILAQLQATSLPRTTKNPLLTRGLTPMGVIPSTT PNTTTTTTTTTPARTGQQEAAMSPTHQTTCGGLLPGPSGFFSSPNYPDLYPPLSHCVWHI QVAAGQTIQLKIQALSIESMLTCLFDRLEIISEPTGPLLRVCGKTPPATLNTNTSHLRVS FVSDNDVEGSGFQAWYQAVAPGHWSCAHNEFHCDLLLCLKRDSVCDGITECADGSDEANC SAKTLGCGGNLTGLYGVFSTPNYPQHYPHQQLCTWYIEVPVGYGIRLEFHNFSLEAQAEC KFDYVEVYEASNLGTFSFLGRFCGAEPPLNVVSSMHQLAVIFKTDLGISSGGFLATYQAI NTTENLCGPTEFCQSGGYRDLQWMCDLWKDCANDSNDNCSSHLSPQPDLTCEPVQVEMCL GLSYNTTAFPNIWVGLATQTEVTDILRGYKSLTSLPCYQTFQRFLCGLLVPRCTSLGTIL PPCRSVCQAAEQQCQSSLALLGTPWPFNCNRLPVAASLEACSQP >ENSMUSP00000124456.1 pep:known chromosome:GRCm38:9:44101770:44109184:1 gene:ENSMUSG00000034739.17 transcript:ENSMUST00000161381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfrp description:membrane frizzled-related protein [Source:MGI Symbol;Acc:MGI:2385957] MKDYDDVILRPEASELSKTEFCNPAFDPEAGPSCPPPALQRDVGSRLQAPWHAQRLRGLQ PDCHFSWFCILLLSGLLLLLLGLLVAVILAQLQATSLPRTTKNPLLTRGLTPMGVIPSTT PNTTTTTTTTTPARTGQQEAAMSPTHQTTCGGLLPGPSGFFSSPNYPDLYPPLSHCVWHI QVAAGQTIQLKIQALSIESMLTCLFDRLEIISEPTGPLLRVCGKTPPATLNTNTSHLRVS FVSDNDVEGSGFQAWYQAVAPGHWSCAHNEFHCDLLLCLKRDSVCDGITECADGSDEANC SAKTLGCGGNLTGLYGVFSTPNYPQHYPHQQLCTWYIEVPVGYGIRLEFHNFSLEAQAEC KFDYVEVYEASNLGTFSFLGRFCGAEPPLNVVSSMHQLAVIFKTDLGISSGGFLATYQAI NTTEKFCQSGGYRDLQWMCDLWKDCANDSNDNCSSHLSPQPDLTCEPVQVEMCLGLSYNT TAFPNIWVGLATQTEVTDILRGYKSLTSLPCYQTFQRFLCGLLVPRCTSLGTILPPCRSV CQAAEQQCQSSLALLGTPWPFNCNRLPVAASLEACSQP >ENSMUSP00000145633.1 pep:known chromosome:GRCm38:9:44101788:44103297:1 gene:ENSMUSG00000034739.17 transcript:ENSMUST00000205282.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfrp description:membrane frizzled-related protein [Source:MGI Symbol;Acc:MGI:2385957] MKDYDDVILRPEASELSKPSVSGGYSPTATSPGSVFCFSVACCSCCWGYWWLSSWLSCRL HPSPGLPRTHCSPEASPPWVSFPAPPLTPPPPPPLPPQQGQGSRRQP >ENSMUSP00000146138.1 pep:known chromosome:GRCm38:9:44105176:44106421:1 gene:ENSMUSG00000034739.17 transcript:ENSMUST00000206722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfrp description:membrane frizzled-related protein [Source:MGI Symbol;Acc:MGI:2385957] XNVVSSMHQLAVIFKTDLGISSGGFLATYQAINTTESGCPWADLCGPTEFCQSGGYRDLQ WMCDLWKDCANDSNDNCSSHLSPQPDLTCEPVQVEMCLGLSYNTTAFPNIWVGLATQTEV TDILRGYKSLTSLPCYQTFQRFLCGLLVPRCTSLGTILPPCRSVCQAAEQQCQSSLALLG TPWPFNC >ENSMUSP00000073617.6 pep:known chromosome:GRCm38:9:109601116:109626057:-1 gene:ENSMUSG00000062275.7 transcript:ENSMUST00000073962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw24 description:F-box and WD-40 domain protein 24 [Source:MGI Symbol;Acc:MGI:3646659] MEIHLSSFPMMEIFSYLDAYSLLQVAQVNKNWNALASNDFLWRKLCQERWLFCDMVTLQL LGKETWKQFFVYRTWQEHVKSRAIPEDFTYKEIPLECGVRGYAGYISGCALTRNGQGKSV VCMVSSKNKISTWDISESVITWVSPVQPASIKLLTTLPDMHIAVTVDIQSTIKLWDCHNR EALATNNLESPCKSLKAVISKDGPIVLAGDILGNLYIFRIPDLHLISTVNVFPCGFDKID CSPQKKWVLLSQNHPCIYPKVFYMSSLLRTSEFSDPVSTVLEFSLCKRAFWTPRREDRIT LMSRRGRPIVTRFETFDMKLEENGNKTIVKGDLVASFSLQDYNENPKWMGVSDKSVIVCS TGSSLLLFSMKGLHLQTFQYSPEMIVRLWVDPVHVIITCNDGSIDVYMWEERSLLLKMCY RLQNGRHLPPFGFIKNLLCDDVSIVQLMIDRQGPCFLMAYTLNICS >ENSMUSP00000136514.1 pep:known chromosome:GRCm38:2:175643978:175651401:1 gene:ENSMUSG00000094475.7 transcript:ENSMUST00000177700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11007 description:predicted gene 11007 [Source:MGI Symbol;Acc:MGI:3779223] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000136499.1 pep:known chromosome:GRCm38:2:175643978:175653860:1 gene:ENSMUSG00000094475.7 transcript:ENSMUST00000178120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11007 description:predicted gene 11007 [Source:MGI Symbol;Acc:MGI:3779223] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRT HTGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQC GKAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAF ITRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSS SLRIHKRTYTGERQYECN >ENSMUSP00000139544.1 pep:known chromosome:GRCm38:2:175651005:175653860:1 gene:ENSMUSG00000094475.7 transcript:ENSMUST00000185834.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11007 description:predicted gene 11007 [Source:MGI Symbol;Acc:MGI:3779223] DLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSRS HGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQIH KRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRTH TGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGEK PYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYEC KQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQCG KAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAFI TRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSSS LRIHKRTYTGERQYECN >ENSMUSP00000050658.4 pep:known chromosome:GRCm38:11:78750506:78751729:-1 gene:ENSMUSG00000049489.5 transcript:ENSMUST00000059468.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam58b description:family with sequence similarity 58, member B [Source:MGI Symbol;Acc:MGI:1916359] MEAVRPDSCESGPAAPRAEERPAPEARVHFRVTRFIMEAGVKLGMQSIPIATACTIYHKF FCEINLDAYDLYLVAMSSIYLAGKVEEQHLRTRDIINVSHRYFNPGSEPLELDSRFWELR DSIVQCELLMLRVLRFQVSFQHPHKYLLHYLISLKNWLNRYSWQRTPISVTAWALLRDSY HGGLCLRFQAQHLAVAVLYLALQVYGVEVPAEGEAEKPWWQVFSDDLTKPIIDNIVSDLI QIYTMDTEIP >ENSMUSP00000052945.7 pep:known chromosome:GRCm38:2:77009902:77170635:-1 gene:ENSMUSG00000044033.16 transcript:ENSMUST00000049544.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc141 description:coiled-coil domain containing 141 [Source:MGI Symbol;Acc:MGI:1919735] MSCKESPHVGASTTTVSSVAVHAGDSKIVIAVVKCGKWVRLQLAESQPNLLEIGSSQDET KKLLHDHELLLAKLKALEDRVWELLREADRTAEANKAQSQVYDAMAQTLGEAWATLVSML ERRRELLGLTSEFFQSALEFAIKIDQAEAFLQNPHEFESTEALQSLLLLHDRHAKELLER SLDLLNKSQQLTDFIEKFKCEGSTMNSELIQGAQSSCLKIDSLLELLQDRRRQLDKYLQQ QRQELSQVLQLCLWDQQENQVSSWFQKAIRDLQEQSLGASLSDNRELICKHEDLIVKAKE WDSAVEKLKSQALGILLSKDLAGKEHLQLSNQKLNRLQEEFGRLMVERKAWLSMANDFFT SANKSFDVLGKVEAYLKLLKSEGLSLPVLAAKHEELHREIKDSTATALQKGRTLISQVDS CRSRVTGIHEMMGYIQNRVDCLTEQCTAHEEFARKRQQLATSVDDYLRKVEMSIQEIRPI LATTLDVASSPSESEKILNKYLELDIQVKETAHALEAAAKIMTEKNELELNEVALLPLKV KWLEEELSTLGRSISCRSRILQTYVAFRKSSEEAEEQLQSLKEFYLTEIPWKDEDDAVVK CQSNSAERKWQLFLKKSFLTQDLSLEFLNLINMAKENEILNVKNEMHIMENIMEKQTNGR EELSHLRVAWYLKAIEGKPAREQWEMFKEKLTKTTHSVKLLHEVLMPVSALDLGGSLQST SDLRRRWIAMKPQLQQLHEDVQQITKEWEVLSSQGAPLKEKAEQLKDLVHLHRRQRERIQ EYEEILYKTVQFHQVKEELVHLIKPRELELLAQPMELASSEEVQMQLGRSQERRAHVDHL HQLALTLGVDIISSVQQPNCSNISAKNLQQQLEALELESRSWSAQAKEHERVLSCSLEYC TARDEISELKESFKDIKKKFNNLKFNYSKKNEKSRNLKTLQYQIQQVDTYAEKIQALRKK MEKVNNKTSDSFLSYPSNKANMLSEAMEDLKKNVDDFDKVVTDYKMNLDLTEHLQEVIEE CNFWYEDASATVVRVGKYSMECQTREAVDILHRQFNKFITPSVPQQEERIQEVIDLAQRL YGLEEGQKYAEKIVTRHKEILESITELCGSLVELKEKLMQGEVPKMNSDLEDFHDNCIDL LKGPGKDDQKTFSEERNEGQVQGADVLAVNGTREDGLPMDLRRTSSDKEDSAQGLILPED TLSGEESECISSDDISLPPLPVSPESPLAPSDMEVEELTSSSALALHISGYRMHPGTGGL GKAQESALPSPIAFADGGHSKKDTFTSHFERPYPQLKAESSLASRGSAEMSTKLHINVKC PASMPHEVHDKALQQCSQARESTLEMQEKVHADSNVTKTQDRLHAALDVSPGLGSQPDTS ESHQRRVGPQGNKKNSSAENSVVSLAGQAPHFSRLLSNVTVMEGSPVTLEVEVTGFPEPT LTWWVAYNDKP >ENSMUSP00000128736.2 pep:known chromosome:GRCm38:2:77009995:77170617:-1 gene:ENSMUSG00000044033.16 transcript:ENSMUST00000164114.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc141 description:coiled-coil domain containing 141 [Source:MGI Symbol;Acc:MGI:1919735] MSCKESPHVGASTTTVSSVAVHAGDSKIVIAVVKCGKWVRLQLAESQPNLLEIGSSQDET KKLLHDHELLLAKLKALEDRVWELLREADRTAEANKAQSQVYDAMAQTLGEAWATLVSML ERRRELLGLTSEFFQSALEFAIKIDQAEAFLQNPHEFESTEALQSLLLLHDRHAKELLER SLDLLNKSQQLTDFIEKFKCEGSTMNSELIQGAQSSCLKIDSLLELLQDRRRQLDKYLQQ QRQELSQVLQLCLWDQQENQVSSWFQKAIRDLQEQSLGASLSDNRELICKHEDLIVKAKE WDSAVEKLKSQALGILLSKDLAGKEHLQLSNQKLNRLQEEFGRLMVERKAWLSMANDFFT SANKSFDVLGKVEAYLKLLKSEGLSLPVLAAKHEELHREIKDSTATALQKGRTLISQVDS CRSRVTGIHEMMGYIQNRVDCLTEQCTAHEEFARKRQQLATSVDDYLRKVEMSIQEIRPI LATTLDVASSPSESEKILNKYLELDIQVKETAHALEAAAKIMTEKNELELNEVALLPLKV KWLEEELSTLGRSISCRSRILQTYVAFRKSSEEAEEQLQSLKEFYLTEIPWKDEDDAVVK CQSNSAERKWQLFLKKSFLTQDLSLEFLNLINMAKENEILNVKNEMHIMENIMEKQTNGR EELSHLRVAWYLKAIEGKPAREQWEMFKEKLTKTTHSVKLLHEVLMPVSALDLGGSLQST SDLRRRWIAMKPQLQQLHEDVQQITKEWEVLSSQGAPLKEKAEQLKDLVHLHRRQRERIQ EYEEILYKTVQFHQVKEELVHLIKPRELELLAQPMELASSEEVQMQLGRSQERRAHVDHL HQLALTLGVDIISSVQQPNCSNISAKNLQQQLEALELESRSWSAQAKEHERVLSCSLEYC TARDEISELKESFKDIKKKFNNLKFNYSKKNEKSRNLKTLQYQIQQVDTYAEKIQALRKK MEKVNNKTSDSFLSYPSNKANMLSEAMEDLKKNVDDFDKVVTDYKMNLDLTEHLQEVIEE CNFWYEDASATVVRVGKYSMECQTREAVDILHRQFNKFITPSVPQQEERIQEVIDLAQRL YGLEEGQKYAEKIVTRHKEILESITELCGSLVELKEKLMQGEVPKMNSDLEDFHDNCIDL LKGPGKDDQKTFSEERNEGQVQGADVLAVNGTREDGLPMDLRRTSSDKEDSAQGLILPED TLSGEESECISSDDISLPPLPVSPESPLAPSDMEVEELTSSSALALHISGYRMHPGTGGL GKAQESALPSPIAFADGGHSKKDTFTSHFERPYPQLKAESSLASRGSAEMSTKLHINVKC PASMPHEVHDKALQQCSQARESTLEMQEKVHADSNVTKTQDRLHAALDVSPGLGSQPDTS ESHQRRVGPQGNKKNSSAENSVVSLAGQAPHFSRLLSNVTVMEGSPVTLEVEVTGFPEPT LTWFKKGQKLCADGHLQVLHKDTKHSVFIPKVCEADAGLYVAQAQNSSGTLSSKAILHVT GNHGPPITRLNWIMLCIIYVSVSVIYWLLTR >ENSMUSP00000136620.1 pep:known chromosome:GRCm38:2:77011547:77019917:-1 gene:ENSMUSG00000044033.16 transcript:ENSMUST00000179868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc141 description:coiled-coil domain containing 141 [Source:MGI Symbol;Acc:MGI:1919735] XEGQVQGADVLAVNGTREDGLPMDLRRTSSDKEDSAQGLILPEDTLSGEESECISSDDIS LPPLPVSPESPLAPSDMEVEELTSSSALALHISGYRMHPGTGSRRARNCVQMDTYRSCTR TQSIRCSFRRYVRQMLASMWLKPKTLVAPCLPKPSSM >ENSMUSP00000136847.1 pep:known chromosome:GRCm38:2:77030648:77044730:-1 gene:ENSMUSG00000044033.16 transcript:ENSMUST00000179467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc141 description:coiled-coil domain containing 141 [Source:MGI Symbol;Acc:MGI:1919735] XLGGSLQSTSDLRRRWIAMKPQLQLVHLIKPRELELLAQPMELASSEEVQMQLGRSQERR AHVDHLHQLALTLGVDIISSVQQPNCSNISAKNLQQQLEALELESRSWSAQAKEHERVLS CSLEYCTARDEISELKESFKDIKKKFNNLKFNYS >ENSMUSP00000120312.2 pep:known chromosome:GRCm38:2:77132219:77170600:-1 gene:ENSMUSG00000044033.16 transcript:ENSMUST00000133503.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc141 description:coiled-coil domain containing 141 [Source:MGI Symbol;Acc:MGI:1919735] MSCKESPHVGASTTTVSSVAVHAGDSKIVIAVVKCGKWVRLQLAESQPNLLEIGSSQDET KKLLHDHELLLAKLKLCT >ENSMUSP00000107464.2 pep:known chromosome:GRCm38:2:77161981:77170576:-1 gene:ENSMUSG00000044033.16 transcript:ENSMUST00000111833.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc141 description:coiled-coil domain containing 141 [Source:MGI Symbol;Acc:MGI:1919735] MSCKESPHVGASTTTVSSVAVHAGDSKIVIAVVKCGKWVRLQLAESQPNLLEIGSSQDET KKLLHDHELLLAKLKQSEKNVLPGWRDVGERSGHCWGMKSSSEAHGELQALSFLCCC >ENSMUSP00000113068.1 pep:known chromosome:GRCm38:17:27556620:27563674:1 gene:ENSMUSG00000046711.15 transcript:ENSMUST00000117600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmga1 description:high mobility group AT-hook 1 [Source:MGI Symbol;Acc:MGI:96160] MSESGSKSSQPLASKQEKDGTEKRGRGRPRKQPPVSPGTALVGSQKEPSEVPTPKRPRGR PKGSKNKGAAKTRKVTTAPGRKPRGRPKKLEKEEEEGISQESSEEEQ >ENSMUSP00000110538.3 pep:known chromosome:GRCm38:17:27556623:27563668:1 gene:ENSMUSG00000046711.15 transcript:ENSMUST00000114888.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmga1 description:high mobility group AT-hook 1 [Source:MGI Symbol;Acc:MGI:96160] MSESGSKSSQPLASKQEKDGTEKRGRGRPRKQPPKEPSEVPTPKRPRGRPKGSKNKGAAK TRKVTTAPGRKPRGRPKKLEKEEEEGISQESSEEEQ >ENSMUSP00000113916.1 pep:known chromosome:GRCm38:17:27556641:27563025:1 gene:ENSMUSG00000046711.15 transcript:ENSMUST00000119486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmga1 description:high mobility group AT-hook 1 [Source:MGI Symbol;Acc:MGI:96160] MSESGSKSSQPLASKQEKDGTEKRGRGRPRKQPPVSPGTALVGSQKEPSEVPTPKRPRGR PKGSKNKGAAKTRKVTTAPGRKPRGRPKKLEKEEEEGISQESSEEEQ >ENSMUSP00000113015.1 pep:known chromosome:GRCm38:17:27556658:27562491:1 gene:ENSMUSG00000046711.15 transcript:ENSMUST00000118599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmga1 description:high mobility group AT-hook 1 [Source:MGI Symbol;Acc:MGI:96160] MSESGSKSSQPLASKQEKDGTEKRGRGRPRKQPPVSPGTALVGSQKEPSEVPTPKRPRGR PKGSKNKGAAKTRKVTTAPGRKPRGRPKKLEKEEEEGISQESSEEEQ >ENSMUSP00000113011.1 pep:known chromosome:GRCm38:17:27556668:27563060:1 gene:ENSMUSG00000046711.15 transcript:ENSMUST00000117254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmga1 description:high mobility group AT-hook 1 [Source:MGI Symbol;Acc:MGI:96160] MSESGSKSSQPLASKQEKDGTEKRGRGRPRKQPPVSPGTALVGSQKEPSEVPTPKRPRGR PKGSKNKGAAKTRKVTTAPGRKPRGRPKKLEKEEEEGISQESSEEEQ >ENSMUSP00000114101.1 pep:known chromosome:GRCm38:17:27556668:27563663:1 gene:ENSMUSG00000046711.15 transcript:ENSMUST00000118570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmga1 description:high mobility group AT-hook 1 [Source:MGI Symbol;Acc:MGI:96160] MSESGSKSSQPLASKQEKDGTEKRGRGRPRKQPPKEPSEVPTPKRPRGRPKGSKNKGAAK TRKVTTAPGRKPRGRPKKLEKEEEEGISQESSEEEQ >ENSMUSP00000069833.3 pep:known chromosome:GRCm38:6:40895270:40900387:-1 gene:ENSMUSG00000051936.4 transcript:ENSMUST00000063523.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss58 description:protease, serine 58 [Source:MGI Symbol;Acc:MGI:3608323] MKLAFLCILSTLLRTFAYNPDHIAGTTPPYLVYLKSDYLPCTGVLIHPLWVITAAHCNLP NLQVILGITNPADPMERDVEVSDYEKIFHHPNFLVSSISHDLLLIKLKRRIKHSNYAKAV KLPQHIVSVNAMCSVSTWAYNLCDVTKDPDSLQTVNVTVISKAECRNAYKAFDITENMIC VGIVPGRRLPCKEVTAAPAVCNGVLYGILSYADGCVLRADVGIYASIFHYLPWIEDTMKN N >ENSMUSP00000136479.1 pep:known chromosome:GRCm38:2:175692957:175703646:-1 gene:ENSMUSG00000095545.7 transcript:ENSMUST00000179061.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4245 description:predicted gene 4245 [Source:MGI Symbol;Acc:MGI:3782422] MRDSTGCCERRLVKLRTPNMDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSHGRHERRSSAEQHSEFIPCGKAFAYQSRSQRHVRIHNGEK HYECNQCGKDFGTRSVLQRLKRTHTGEKPYECNHYGKAFAESSTLQIHKRKHTGEKPYEC NHCVKAFAKMSKLQIHKRIHTGEKPYECKQCGKAFTQSSHLGIHKRTHTGEKPYECKQCG KAFTRSSTLQTHKQTHTGEKPYECKQCDKAFVRRGELQIHKRTHTGEKPYECKQCGKAFA QSGTLQIHKRTHTGEKPYECKQCGKAFAHSSTLQIHKRTHTGEKPYECKQCDKAFVKRGE LQIHKQTHTGEKPYECKQCGKAFAQSGTLQVHERTHTGEKPFECNQCGKAFVQCIALRIH ERTHTGEKPYECKQCGKAFAHSNTLQIHKRTHTGEKPYECKQCGKAFAHSSTLQIHKQTH TGEKP >ENSMUSP00000119079.1 pep:known chromosome:GRCm38:2:175695316:175703646:-1 gene:ENSMUSG00000095545.7 transcript:ENSMUST00000131041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4245 description:predicted gene 4245 [Source:MGI Symbol;Acc:MGI:3782422] MRDSTGCCERRLVKLRTPNMDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSHGR >ENSMUSP00000104655.2 pep:known chromosome:GRCm38:2:175692223:175703646:-1 gene:ENSMUSG00000095545.7 transcript:ENSMUST00000109027.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4245 description:predicted gene 4245 [Source:MGI Symbol;Acc:MGI:3782422] MRDSTGCCERRLVKLRTPNMVTVGKNIQLKTISKLLEVMEAFAGRSDLQRQKIIQKRKST KASNVIKSLQQALISMYINEHIPERNLLNVTNMVKLFQKPIVFTYIKEYILERNALTLTN VVKLFQKAVINKIIKQHV >ENSMUSP00000111345.1 pep:known chromosome:GRCm38:16:17508688:17526333:1 gene:ENSMUSG00000022761.10 transcript:ENSMUST00000115681.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lztr1 description:leucine-zipper-like transcriptional regulator, 1 [Source:MGI Symbol;Acc:MGI:1914113] MAGSGGPIGSGALTGGVRSKVAPSVDFDHSCSDSVEYLTLNFGPFETVHRWRRLPPCDEF VGARRSKHTVVAYKDAIYVFGGDNGKTMLNDLLRFDVKDCSWCRAFTTGTPPAPRYHHSA VVYGSSMFVFGGYTGDIYSNSNLKNKNDLFEYKFATGQWTEWKIEGRLPVARSAHGATVY SDKLWIFAGYDGNARLNDMWTIGLQDRELTCWEEVAQSGEIPPSCCNFPVAVCRDKMFVF SGQSGAKITNNLFQFEFKDKTWTRIPTEHLLRGSPPPPQRRYGHTMVAFDRHLYVFGGAA DNTLPNELHCYDVDFQTWEVVQPSSDSEVGGAEMPERASSSEDASTLTSEERSSFKKSRD VFGLDFGTTSAKQPVHLASELPSGRLFHAAAVISDAMYIFGGTVDNNIRSGEMYRFQFSC YPKCTLHEDYGRLWEGRQFCDVEFVLGEKEECVQGHVAIVTARSRWLRRKIVQAQEWLAQ KLEEDGALAPKEAPGPAVGRARPPLLRVAIREAEARPFEVLMQFLYTDKIKYPRKGHVED VLLIMDVYKLALSFQLCRLEQLCRQYIEASVDLQNVLVVCESAARLQLGQLKEHCLNFIV KESHFNQVIMMKEFERLSSPLIVEIVRRKQQPPPRTPSDQPVDIGTSLIQDMKAYLEGAG SEFCDITLLLDGQPRPAHKAILAARSSYFEAMFRSFMPEDGQVNISIGEMVPSRQAFESM LRYIYYGEVNMPPEDSLHPFTSPYYYGFYNNRLQAYCKQNLEMNVTVQNVLQILEAADKT QALDMKRHCLHIIVHQFTKVSKLPTLRLLSQQLLLDIIDSLASHISDKQCAELGADI >ENSMUSP00000118569.1 pep:known chromosome:GRCm38:16:17520918:17526331:1 gene:ENSMUSG00000022761.10 transcript:ENSMUST00000142259.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lztr1 description:leucine-zipper-like transcriptional regulator, 1 [Source:MGI Symbol;Acc:MGI:1914113] ASSSEDASTLTSEERSSFKKSRDVFGLDFGTTSAKQPVHLASELPSGRLFHAAAVISDAM YIFGGTVDNNIRSGEMYRFQFSCYPKCTLHEDYGRLWEGRQFCDVEFVLGEKEECVQGHV AIVTARSRWLRRKIVQAQEWLAQKLEEDGALAPKEAPGPAVGRARPPLLRVAIREAEARP FEVLMQFLYTDKIKYPRKGHVEDVLLIMDVYKLALSFQLCRLEQLCRQYIEASVDLQNVL VVCESAARLQLGQLKEHCLNFIVKESHFNQVIMMKEFERLSSPLIVEIVRRKQQPPPRTP SDQPVDIGTSLIQDMKAYLEGAGSEFCDITLLLDGQPRPAHKAILAARSSYFEAMFRSFM PEDGQVNISIGEMVPSRQAFESMLRYIYYGEVNMPPEDSLHPFTSPYYYGFYNNRLQAYC KQNLEMNVTVQNVLQILEAADKTQALDMKRHCLHIIVHQFTKVRLWPQCSRSQLSPAGLG TSWPLSTILRQPRPEQARPQEDSSAHPGRLVSSSFGHLCRSLSCPRCGC >ENSMUSP00000023444.3 pep:known chromosome:GRCm38:16:17508968:17526331:1 gene:ENSMUSG00000022761.10 transcript:ENSMUST00000023444.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lztr1 description:leucine-zipper-like transcriptional regulator, 1 [Source:MGI Symbol;Acc:MGI:1914113] MAGSGGPIGSGALTGGVRSKVAPSVDFDHSCSDSVEYLTLNFGPFETVHRWRRLPPCDEF VGARRSKHTVVAYKDAIYVFGGDNGKTMLNDLLRFDVKDCSWCRAFTTGTPPAPRYHHSA VVYGSSMFVFGGYTGDIYSNSNLKNKNDLFEYKFATGQWTEWKIEGRLPVARSAHGATVY SDKLWIFAGYDGNARLNDMWTIGLQDRELTCWEEVAQSGEIPPSCCNFPVAVCRDKMFVF SGQSGAKITNNLFQFEFKDKTWTRIPTEHLLRGSPPPPQRRYGHTMVAFDRHLYVFGGAA DNTLPNELHCYDVDFQTWEVVQPSSDSEVGGAEMPERASSSEDASTLTSEERSSFKKSRD VFGLDFGTTSAKQPVHLASELPSGRLFHAAAVISDAMYIFGGTVDNNIRSGEMYRFQFSC YPKCTLHEDYGRLWEGRQFCDVEFVLGEKEECVQGHVAIVTARSRWLRRKIVQAQEWLAQ KLEEDGALAPKEAPGPAVGRARPPLLRVAIREAEARPFEVLMQFLYTDKIKYPRKGHVED VLLIMDVYKLALSFQLCRLEQLCRQYIEASVDLQNVLVVCESAARLQLGQLKEHCLNFIV KESHFNQVIMMKEFERLSSPLIVEIVRRKQQPPPRTPSDQPVDIGTSLIQDMKAYLEGAG SEFCDITLLLDGQPRPAHKAILAARSSYFEAMFRSFMPEDGQVNISIGEMVPSRQAFESM LRYIYYGEVNMPPEDSLYLFAAPYYYGFYNNRLQAYCKQNLEMNVTVQNVLQILEAADKT QALDMKRHCLHIIVHQFTKVSKLPTLRLLSQQLLLDIIDSLASHISDKQCAELGADI >ENSMUSP00000121127.1 pep:known chromosome:GRCm38:3:32580332:32616585:-1 gene:ENSMUSG00000027669.14 transcript:ENSMUST00000155737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb4 description:guanine nucleotide binding protein (G protein), beta 4 [Source:MGI Symbol;Acc:MGI:104581] MSELEQLRQEAEQLRNQIQDARKACNDATLVQITSNMDSVGRIQMRTRRTLRGHLAKIYA MHWGYDSRLLVSASQDGKLIIWDSYTTNKMHAIPLRSSWVMTCAYAPSGNYVACGGLDNI CSIYNLKTREGNVRVSRELPGHTGYLSCCRFLDDGQIITSSGDTTCALWDIETGQQTTTF TGHSGDVMSLSLSPDLKTFVSGACDASSKLWDIRDGMCRQSFTGHISDINAVSFFPSGYA FATGSDDATCRLFDLRADQELLLYSHDNIICGITSVAFSKSGRLLLAGYDDFNCSVWDAL KGGRSGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLRIWN >ENSMUSP00000103869.1 pep:known chromosome:GRCm38:3:32583998:32616517:-1 gene:ENSMUSG00000027669.14 transcript:ENSMUST00000108234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb4 description:guanine nucleotide binding protein (G protein), beta 4 [Source:MGI Symbol;Acc:MGI:104581] MSELEQLRQEAEQLRNQIQDARKACNDATLVQITSNMDSVGRIQMRTRRTLRGHLAKIYA MHWGYDSRLLVSASQDGKLIIWDSYTTNKMHAIPLRSSWVMTCAYAPSGNYVACGGLDNI CSIYNLKTREGNVRVSRELPGHTGYLSCCRFLDDGQIITSSGDTTCALWDIETGQQTTTF TGHSGDVMSLSLSPDLKTFVSGACDASSKLWDIRDGMCRQSFTGHISDINAVSFFPSGYA FATGSDDATCRLFDLRADQELLLYSHDNIICGITSVAFSKSGRLLLAGYDDFNCSVWDAL KGGRSGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLRIWN >ENSMUSP00000138886.1 pep:known chromosome:GRCm38:3:32585055:32616522:-1 gene:ENSMUSG00000027669.14 transcript:ENSMUST00000184130.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gnb4 description:guanine nucleotide binding protein (G protein), beta 4 [Source:MGI Symbol;Acc:MGI:104581] MSELEQLRQEAEQLRNQIQDARKACNDATLVQKIRMLFTTPKDTCSPAPLYLGSNFLTSD LSALYHPHTEQCRKPPSVSLDHV >ENSMUSP00000141196.1 pep:known chromosome:GRCm38:3:32591134:32616479:-1 gene:ENSMUSG00000027669.14 transcript:ENSMUST00000193050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb4 description:guanine nucleotide binding protein (G protein), beta 4 [Source:MGI Symbol;Acc:MGI:104581] MSELEQLRQEAEQLRNQIQDARKACNDATLVQITSNMDSVGRIQMRTRRTLRGHLAKIYA MHWGYDSRCTPSL >ENSMUSP00000001002.7 pep:known chromosome:GRCm38:11:83753696:83783754:1 gene:ENSMUSG00000000976.13 transcript:ENSMUST00000001002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heatr6 description:HEAT repeat containing 6 [Source:MGI Symbol;Acc:MGI:1919790] MAAVQVAASLPCGQPREAPRELSPEQDDGFRRLSARLRALQPDDSTVSRMEIHLLFDQLI SENYSEGGGVAPEDVSALLVRACQLVPLNQNHLVSKVCQLIHRLLNRLQVVVDEPNLDFL LTYTISALQQCSSWTHMEILQALAALVYCNGSKCQKHLPDLLGKSGLLMKLSDLSHSDPE VRRAAVHCMANLCLSVPGQPYLEEPYQHVCFQAFLTILQSPKSSDMDDITFCMLLQNALK GIQSLLNGGKMRLTQTEHLGALLAVLKKAMFHGLPGLNIEMPAVLYPTPLPQYDGRSPVK PQQPESTTARSSANKKKKHKVKTKKTQQGEKAEEEEEEPCGEVEAAPGLSMDQANVSGES AWCSSPWGSQGLPVDGGRAAGREQASLPFASSSWKRVSSSESDYSDAEGGMQGKMRSYQA KVRQGALACFLSTIKSIEKKVLYGYWSAFVPDTPELGSPQSVSLMTLTLKDPSPKTRACA LQVLSAILEGSKQFLSVAEDTSDHKRAFTPFSVTIASSIRELHRCLLLALVAESSSQTLT QIIKCLANLVSNAPYNRLKLSLLTKVWNHIKPYIRHKDVNVRVSSLTLLGAIVSTHAPLP EVQLLLQQPCSSGLSSSSSATPHLSTPDGWKTFPAGSSLEEASLSSPKGSSEPCWLIRLC ISTVVLPKEDSCSGSDAGSALGSTYEPSPMRLEALQVLAHLARGYFSTAQLYLMELGEVI CKCMCEANPSIQLHGVKLLEELGAGLIQQYKPDSNIAPEQRVPVHLVVVFWTAMLSGPLP RALQSAEHPTLQASACDALSSILPEAFSSLPNDKQILCITVLLGLNDSKNHLVKAATSRA LGVYVLFPCLRQDVIFVADTANAILMSLQDKSLNVRAKAAWSLGNLTDTLIVNMDTPDPS FQDEFSGLLLLKMLQCAIQASTDKDKVKSNAVRALGNLLHFLQPSHVERPRFAEIIEESI QALISTVVNEAAMKVRWNACYAMGNVFKNPALPLGTAPWTSQAYKALTSVVMSCKNFKVR IRSAAALSVPSKRGQYGSLEQFIQIWSALVTALQKSEDTTDFLEFKYCASLRTHICQALL HLLSLASASDLPGIQETLKLHGDMIRSYILQFLKSGAEGDDPGAVHTPQERVQMVRVALK HIHRVQTLAGDTARGAIMGFLEDILTVHCDSSGEQVMLRGPSDQ >ENSMUSP00000120218.1 pep:known chromosome:GRCm38:10:81574734:81575832:1 gene:ENSMUSG00000034771.15 transcript:ENSMUST00000131794.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle2 description:transducin-like enhancer of split 2, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104635] MYPQGRHPTPLQSGQPF >ENSMUSP00000121585.1 pep:known chromosome:GRCm38:10:81574739:81580325:1 gene:ENSMUSG00000034771.15 transcript:ENSMUST00000136341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle2 description:transducin-like enhancer of split 2, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104635] MYPQGRHPTPLQSGQPFKFSVLEICDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHY VMYYEMSYGLNIEMHKQAEIVKRLSAICAQMVPFLTQEHQQQVLQAVDRAKQVTVGELNS L >ENSMUSP00000117453.1 pep:known chromosome:GRCm38:10:81575262:81590473:1 gene:ENSMUSG00000034771.15 transcript:ENSMUST00000135211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle2 description:transducin-like enhancer of split 2, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104635] MYPQGRHPTPLQSGQPFKFSVLEICDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHY VMYYEMSYGLNIEMHKQAEIVKRLSAICAQMVPFLTQEHQQQVLQAVDRAKQQQNQLQPL SHAPPVPLTPRPAGLVGAGATGLLALSGALAAQAQLVAAVKEDRVGVDAEGSRVDRAASR SSSPSPPESLVEEDHPSSRGGSGKQQRAEDKDLSGPYDSEEDKSDYNLVVDEDQPSEPPS PVTTPCGKAPLCIPARRDLTDSPASLASSLGSPLPRSKDIALNDLPTGTPASRSCGTSPP QDSSTPGPSSASHLCQLAAQPAAPTDSIALRSPLTLSSPFTSSFSLGSHSTLNGDLSMPG SYVGLHLSPQVSSSVVYGRSPLMAFESHPHLRGSSVSLPGIPVAKPAYSFHVSADGQMQP VPFPSDALVGTGIPRHARQLHTLAHGEVVCAVTISSSTQHVYTGGKGCVKVWDVGQPGSK TPVAQLDCLNRDNYIRSCKLLPDGQSLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACY ALAVSPDAKVCFSCCSDGNIVVWDLQNQAMVRQFQGHTDGASCIDISDYGTRLWTGGLDN TVRCWDLREGRQLQQHDFSSQIFSLGHCPNQDWLAVGMESSHVEVLHVRKPEKYQLRLHE SCVLSLKFASCGRWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISRNNKYIVTGS GDKKATVYEVVY >ENSMUSP00000121173.1 pep:known chromosome:GRCm38:10:81575331:81590473:1 gene:ENSMUSG00000034771.15 transcript:ENSMUST00000146916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle2 description:transducin-like enhancer of split 2, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104635] MYPQGRHPTPLQSGQPFKFSVLEICDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHY VMYYEMSYGLNIEMHKQAEIVKRLSAICAQMVPFLTQEHQQQVLQAVDRAKQVTVGELNS LLGQQNQLQPLSHAPPVPLTPRPAGLVGAGATGLLALSGALAAQAQLVAAVKEDRVGVDA EGSRVDRAASRSSSPSPPESLVEEDHPSSRGGSGKQQRAEDKDLSGPYDSEEDKSDYNLV VDEDQPSEPPSPVTTPCGKAPLCIPARRDLTDSPASLASSLGSPLPRSKDIALNDLPTGT PASRSCGTSPPQDSSTPGPSSASHLCQLAAQPAAPTDSIALRSPLTLSSPFTSSFSLGSH STLNGDLSMPGSYVGLHLSPQMAFESHPHLRGSSVSLPGIPVAKPAYSFHVSADGQMQPV PFPSDALVGTGIPRHARQLHTLAHGEVVCAVTISSSTQHVYTGGKGCVKVWDVGQPGSKT PVAQLDCLNRDNYIRSCKLLPDGQSLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYA LAVSPDAKVCFSCCSDGNIVVWDLQNQAMVRQFQGHTDGASCIDISDYGTRLWTGGLDNT VRCWDLREGRQLQQHDFSSQIFSLGHCPNQDWLAVGMESSHVEVLHVRKPEKYQLRLHES CVLSLKFASCGRWFVSTGKDNLLNAWRTPYGASIFQVTCPGWLATRDTGPSAHTWLAPSW LGGAGALGKYNTFINL >ENSMUSP00000122074.1 pep:known chromosome:GRCm38:10:81575499:81580635:1 gene:ENSMUSG00000034771.15 transcript:ENSMUST00000143285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle2 description:transducin-like enhancer of split 2, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104635] MYPQGRHPTPLQSGQPFKFSVLEICDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHY VMYYEMSYGLNIEMHKQEHQQQVLQAVDRAKQVTVGELNSLLGQQNQLQPLSHAPPVPLT PRPAGLVGAGATGLLALSGALAAQAQLVAAVKE >ENSMUSP00000121125.1 pep:known chromosome:GRCm38:10:81575532:81590845:1 gene:ENSMUSG00000034771.15 transcript:ENSMUST00000146358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle2 description:transducin-like enhancer of split 2, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104635] MYPQGRHPTPLQSGQPFKFSVLEICDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRHY VMAAPHQCPQGGTSYPHWPRLSPLQYYEMSYGLNIEMHKQAEIVKRLSAICAQMVPFLTQ EHQQQVLQAVDRAKQVTVGELNSLLGQQNQLQPLSHAPPVPLTPRPAGLVGAGATGLLAL SGALAAQAQLVAAVKEDRVGVDAEGSRVDRAASRSSSPSPPESLVEEDHPSSRGGSGKQQ RAEDKDLSGPYDSEEDKSDYNLVVDEDQPSEPPSPVTTPCGKAPLCIPARRDLTDSPASL ASSLGSPLPRSKDIALNDLPTGTPASRSCGTSPPQDSSTPGPSSASHLCQLAAQPAAPTD SIALRSPLTLSSPFTSSFSLGSHSTLNGDLSMPGSYVGLHLSPQVSSSVVYGRSPLQMAF ESHPHLRGSSVSLPGIPVAKPAYSFHVSADGQMQPVPFPSDALVGTGIPRHARQLHTLAH GEVVCAVTISSSTQHVYTGGKGCVKVWDVGQPGSKTPVAQLDCLNRDNYIRSCKLLPDGQ SLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAVSPDAKVCFSCCSDGNIVVWDL QNQAMVRQFQGHTDGASCIDISDYGTRLWTGGLDNTVRCWDLREGRQLQQHDFSSQIFSL GHCPNQDWLAVGMESSHVEVLHVRKPEKYQLRLHESCVLSLKFASCGRWFVSTGKDNLLN AWRTPYGASIFQSKESSSVLSCDISRNNKYIVTGSGDKKATVYEVVY >ENSMUSP00000118334.1 pep:known chromosome:GRCm38:10:81587969:81590473:1 gene:ENSMUSG00000034771.15 transcript:ENSMUST00000124854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle2 description:transducin-like enhancer of split 2, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104635] XWDLREGRQLQQHDFSSQIFSLGHCPNQDWLAVGMESSHVEVLHVRKPEKYQLRLHESCV LSLKFASCGRWFVSTGKDNLLNAWRTPYGASIFQVTCPGWLATRDTGPSAHTWLAPSWLG GAGALGKYNTFINL >ENSMUSP00000114400.1 pep:known chromosome:GRCm38:10:81587999:81590473:1 gene:ENSMUSG00000034771.15 transcript:ENSMUST00000131411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle2 description:transducin-like enhancer of split 2, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104635] XQHDFSSQIFSLGHCPNQDWLAVGMESSHVEVLHVRKPEKYQLRLHESCVLSLKFASCGR WFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISRNNKYIVTGSGDKKATVYEVVY >ENSMUSP00000087407.4 pep:known chromosome:GRCm38:11:96099326:96111964:-1 gene:ENSMUSG00000006056.13 transcript:ENSMUST00000068686.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcoco2 description:calcium binding and coiled-coil domain 2 [Source:MGI Symbol;Acc:MGI:1343177] MDQCPIPTLLEHGNFSQVLFNNVEKFYAPRGDIMCYYTLTEKFIPRRKDWIGIFKVGWKT TQEYYTFMWAPLPKDQNKDSATQQEIQFKAYYLPKDVERYQFCYVDEDGLVRGTSVPFQF CPDPDEDIMVVINKEKVEEMEQLSEELYQQNQELKDKYADLHEQLQRKQVALEATQRVNK TLEHKVEEKASWEKEKASWEEEKASWEEEKASWEEEKASWEEEKASWEEEKASWEEEKAS WEEEKASWEEEKASWEEEKASWEEEKASWEEEKASWEEEKASWEEEKASWEKEKASWEEE KASWEKEKAPWEVEKAPWKEVKAYWWNDLHR >ENSMUSP00000103309.3 pep:known chromosome:GRCm38:11:96099914:96111962:-1 gene:ENSMUSG00000006056.13 transcript:ENSMUST00000097162.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcoco2 description:calcium binding and coiled-coil domain 2 [Source:MGI Symbol;Acc:MGI:1343177] MDQCPIPTLLEHGNFSQVLFNNVEKFYAPRGDIMCYYTLTEKFIPRRKDWIGIFKVGWKT TQEYYTFMWAPLPKDQNKDSATQQEIQFKAYYLPKDVERYQFCYVDEDGLVRGTSVPFQF CPDPDEDIMVVINKEKVEEMEQLSEELYQQNQELKDKYADLHEQLQRKQVALEATQRVNK TLEHKVEEKASWEKEKASWEEEKASWEEEKASWEEEKASWEEEKASWEEEKASWEEEKAS WEEEKASWEEEKASWEEEKASWEEEKASWEKEKASWEEEKASWEKEKAPWEVEKAPWKEV KAYWWNDLHR >ENSMUSP00000025864.4 pep:known chromosome:GRCm38:19:5601873:5603439:1 gene:ENSMUSG00000024925.10 transcript:ENSMUST00000025864.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2c description:ribonuclease H2, subunit C [Source:MGI Symbol;Acc:MGI:1915459] MKNPEEAADGKQRIHLRPGSLRGAAPAKLHLLPCDVLVSRPAPVDRFFTPAVRHDADGLQ ASFRGRGLRGEEVAVPPGFAGFVMVTEEKGEGLIGKLNFSGDAEDKADEAQEPLERDFDR LIGATGSFSHFTLWGLETVPGPDAKVHRALGWPSLAAAIHAQVPED >ENSMUSP00000117615.1 pep:known chromosome:GRCm38:19:5602235:5602938:1 gene:ENSMUSG00000024925.10 transcript:ENSMUST00000136226.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2c description:ribonuclease H2, subunit C [Source:MGI Symbol;Acc:MGI:1915459] XVAVPPGFAGFVMVTEEKGEGLIGKLNFSGDAEDKADEAQEPLERDFDRLIGATGSFSHF TLWGLETVPGPDAKVHRALGWPSLAAAK >ENSMUSP00000136743.1 pep:known chromosome:GRCm38:2:175741537:175748960:1 gene:ENSMUSG00000094932.7 transcript:ENSMUST00000177981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2007 description:predicted gene 2007 [Source:MGI Symbol;Acc:MGI:3780177] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000137539.1 pep:known chromosome:GRCm38:2:175741537:175751419:1 gene:ENSMUSG00000094932.7 transcript:ENSMUST00000178133.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2007 description:predicted gene 2007 [Source:MGI Symbol;Acc:MGI:3780177] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRT HTGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQC GKAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAF ITRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSS SLRIHKRTYTGERQYECN >ENSMUSP00000141172.1 pep:known chromosome:GRCm38:2:175748564:175751419:1 gene:ENSMUSG00000094932.7 transcript:ENSMUST00000189494.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2007 description:predicted gene 2007 [Source:MGI Symbol;Acc:MGI:3780177] DLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSRS HGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQIH KRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRTH TGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGEK PYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYEC KQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQCG KAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAFI TRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSSS LRIHKRTYTGERQYECN >ENSMUSP00000101658.2 pep:known chromosome:GRCm38:4:129491190:129491956:1 gene:ENSMUSG00000078554.2 transcript:ENSMUST00000106043.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam229a description:family with sequence similarity 229, member A [Source:MGI Symbol;Acc:MGI:1915483] MQSSPSTLGPGRAADTCQAPPGPERPPVARARAVASSLGPASASGRVPRGLDMSAQETPQ GRRFPIEAGDSPGLASAPESQDSPEPVATDQNPVRPLRRCPGCHCLTLLHVPIDVYLAMG GSPRARAT >ENSMUSP00000099604.1 pep:known chromosome:GRCm38:2:113831546:113848656:-1 gene:ENSMUSG00000041219.14 transcript:ENSMUST00000102545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap11a description:Rho GTPase activating protein 11A [Source:MGI Symbol;Acc:MGI:2444300] MWDQRLVRLALLQQLRAVYGIKVKGGRGQCDRRRHETAATEIKGKVFGVPFNSLPHSVVP EFGHIPSFLVDACASLKEHIHTEGLFRKSGSVVRLKALKSKLDQGEACLSSALPCDVAGL LKQFFRELPEPVLPADLHEALFKAQQLGAEERNKATLLLSCLMANPTVDILRYFFNFLKS VSLRASENKMDSSNLAVIFAPNLLQTSEGHEKMSANTEKKLRLQAAVVQTFIDCASDIGR VPDFILEKIPAMLGIDGLCTTPSLEGFEGDFETPGECKRKRRQSVGDFVNGALNKLKSSR TPSITPQQDRTAQASASPLILTPSVKRKLPGESSHAFSSKKRKSIKHNLNFELLPSHFFS SNSTPVSVHLDTSPDGSSQTSLSPIAMSGNHLVSTELRRSKRIASKKVYRVESGKAGCFS PKVSRKEKTRRSLRLKFSLGKNRDSDGCSVINRYENVGRRLANQQNLKSRIDSVKTGLLF SPDIDERLLKKGSEKISKSEEHLLTPDQLDGTGYRMSWTEPSNSSFQDMSANGTSPIMQN LEVKSFSLEPDITVEKSPVVSCELRPSTFHSQPDSSVLSLSGDEGNLASETLQKIQKAFS ESGSDLHMVINHEQSSVTNTGEEVEFRDVTVTESKGHDGSCAGEEENCPSERNFSPDQSP EFAREADEECYSTQMKVECEGLHSETPKADPLILQAFPGEEPAEEPQSPRNQLSTPSRGN ENGGESAGASGAPGEDESTCSVAVLSKPRPQRLSRQQSLVEKCDSVAPGALQVTEHGKVS DHIQWFNKLSLNEPNRGKVKSPLKFQRTPVRQSVRRINSLLEYGRQPVRQKLAIFGDAAS PLVKSVSCDSALPSCVQNTSKGPTAPLITSGLEAQKSTSCNKSSVELTSKSFTKMKRHPD PLSASLGTPRLCKQENKSNGHIKFPLDDLTNHERLKFVVNNNVAFSPGMKNRVVRKPSEK ERVWYKGSPKNPIGKTQLLPTSKPVDL >ENSMUSP00000106573.1 pep:known chromosome:GRCm38:2:113831492:113848661:-1 gene:ENSMUSG00000041219.14 transcript:ENSMUST00000110948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap11a description:Rho GTPase activating protein 11A [Source:MGI Symbol;Acc:MGI:2444300] MWDQRLVRLALLQQLRAVYGIKVKGGRGQCDRRRHETAATEIKGKVFGVPFNSLPHSVVP EFGHIPSFLVDACASLKEHIHTEGLFRKSGSVVRLKALKSKLDQGEACLSSALPCDVAGL LKQFFRELPEPVLPADLHEALFKAQQLGAEERNKATLLLSCLMANPTVDILRYFFNFLKS VSLRASENKMDSSNLAVIFAPNLLQTSEGHEKMSANTEKKLRLQAAVVQTFIDCASDIGR VPDFILEKIPAMLGIDGLCTTPSLEGFEGDFETPGECKRKRRQSVGDFVNGALNKLKSSR TPSITPQQDRTAQASASPLILTPSVKRKLPGESSHAFSSKKRKSIKHNLNFELLPSHFFS SNSTPVSVHLDTSPDGSSQTSLSPIAMSGNHLVSTELRRSKRIASKKVYRVESGKAGCFS PKVSRKEKTRRSLRLKFSLGKNRDSAQKRSANLRNTC >ENSMUSP00000106572.1 pep:known chromosome:GRCm38:2:113833261:113848297:-1 gene:ENSMUSG00000041219.14 transcript:ENSMUST00000110947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap11a description:Rho GTPase activating protein 11A [Source:MGI Symbol;Acc:MGI:2444300] MWDQRLVRLALLQQLRAVYGIKVKGGRGQCDRRRHETAATEIKGKVFGVPFNSLPHSVVP EFGHIPSFLVDACASLKEHIHTEGLFRKSGSVVRLKALKSKLDQGEACLSSALPCDVAGL LKQFFRELPEPVLPADLHEALFKAQQLGAEERNKATLLLSCLMANPTVDILRYFFNFLKS VSLRASENKMDSSNLAVIFAPNLLQTSEGHEKMSANTEKKLRLQAAVVQTFIDCASDIGR VPDFILEKIPAMLGIDGLCTTPSLEGFEGDFETPGECKRKRRQSVGDFVNGALNKLKSSR TPSITPQQDRTAQASASPLILTPSVKRKLPGESSHAFSSKKRKSIKHNLNFELLPSHFFS SNSTPVSVHLDTSPDGSSQTSLSPIAMSGNHLVSTELRRSKRIASKKVYRVESGKAGCFS PKVSRKEKTRRSLRLKFSLGKNRDSDGCSVINRYENVGRRLANQQNLKSRIDSVKTGLLF SPDIDERLLKKGTFTQYC >ENSMUSP00000106574.2 pep:known chromosome:GRCm38:2:113831495:113848615:-1 gene:ENSMUSG00000041219.14 transcript:ENSMUST00000110949.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap11a description:Rho GTPase activating protein 11A [Source:MGI Symbol;Acc:MGI:2444300] MWDQRLVRLALLQQLRAVYGIKVKGGRGQCDRRRHETAATEIKGKVFGVPFNSLPHSVVP EFGHIPSFLVDACASLKEHIHTEGLFRKSGSVVRLKALKSKLDQGEACLSSALPCDVAGL LKQFFRELPEPVLPADLHEALFKAQQLGAEERNKATLLLSCLMANPTVDILRYFFNFLKS VSLRASENKMDSSNLAVIFAPNLLQTSEGHEKMSANTEKKLRLQAAVVQTFIDCASDIGR VPDFILEKIPAMLGIDGLCTTPSLEGFEGDFETPGECKRKRRQSVGDFVNGALNKLKSSR TPSITPQQDRTAQASASPLILTPSVKRKLPGESSHAFSSKKRKSIKHNLNFELLPSHFFS SNSTPVSVHLDTSPDGSSQTSLSPIAMSGNHLVSTELRRSKRIASKKVYRVESGKAGCFS PKVSRKEKTRRSLRLKFSLGKNRDSDGCSVINRYENVGRRLANQQNLKSRIDSVKTGLLF SPDIDERLLKKGSEKISKSEEHLLTPDQLDGTGYRMSWTEPSNSSFQDMSANGTSPIMQN LEVKSFSLEPDITVEKSPVVSCELRPSTFHSQPDSSVLSLSGDEGNLASETLQKIQKAFS ESGSDLHMVINHEQSSVTNTGEEVEFRDVTVTESKGHDGSCAGEEENCPSERNFSPDQSP EFAREADEECYSTQMKVECEGLHSETPKADPLILQAFPGEEPAEEPQSPRNQLSTPSRGN ENGGESAGASGAPGEDESTCSVAVLSKPRPQRLSRQQSLVEKCDSVAPGALQVTEHGKVS DHIQWFNKLSLNEPNRGKVKSPLKFQRTPVRQSVRRINSLLEYGRQPVRQKLAIFGDAAS PLVKSVSCDSALPSCVQNTSKGPTAPLITSGLEAQKSTSCNKSSVELTSKSFTKMKRHPD PLSASLGTPRLCKQENKSNGHIKFPLDDLTNHERLKFVVNNNVAFSPGMKNRVVRKPSEK ERVWYKGSPKNPIGKTQLLPTSKPVDL >ENSMUSP00000028934.2 pep:known chromosome:GRCm38:2:148768609:148771497:-1 gene:ENSMUSG00000036958.5 transcript:ENSMUST00000028934.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst11 description:cystatin 11 [Source:MGI Symbol;Acc:MGI:1925490] MAAGSWKATRLLLAILVALVAFSYQVKRKTFIRIEEVSALESSVKETLEYVTDEYNKKSE DLYNFRILRILKIMKQVTGHLEYHITVEMQRTTCLKTETSLCDIQKGELHKKIQCYFSVY AIPWVEVFKILKKNCTDIS >ENSMUSP00000025729.5 pep:known chromosome:GRCm38:19:36834232:36893477:1 gene:ENSMUSG00000024811.11 transcript:ENSMUST00000025729.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnks2 description:tankyrase, TRF1-interacting ankyrin-related ADP-ribose polymerase 2 [Source:MGI Symbol;Acc:MGI:1921743] MSGRRCAGGGAACASAGAEAVEPSARELFEACRNGDVERVKRLVTPEKVNSRDTAGRKST PLHFAAGFGRKDVVEYLLQNGANVQARDDGGLIPLHNACSFGHAEVVNLLLQHGADPNAR DNWNYTPLHEAAIKGKIDVCIVLLQHGAEPTIRNTDGRTALDLADPSAKAVLTGDYKKDE LLESARSGNEEKMMALLTPLNVNCHASDGRKSTPLHLAAGYNRVKIVQLLLHHGADVHAK DKGDLVPLHNACSYGHYEVTELLVKHGACVNAMDLWQFTPLHEAASKNRIEVCSLLLSYG ADPTLLNCHNKSAIDLAPTAQLKERLSYEFKGHSLLQAAREADVTRIKKHLSLEMVNFKH PQTHETALHCAAASPYPKRKQICELLLRKGANTNEKTKEFLTPLHVASENAHNDVVEVVV KHEAKVNALDSLGQTSLHRAAHCGHLQTCRLLLSYGCDPNIISLQGFTALQMGNENVQQL LQEGASLGHSEADRQLLEAAKAGDVETVKKLCTVQSVNCRDIEGRQSTPLHFAAGYNRVS VVEYLLQHGADVHAKDKGGLVPLHNACSYGHYEVAELLVKHGAVVNVADLWKFTPLHEAA AKGKYEICKLLLQHGADPTKKNRDGNTPLDLVKDGDTDIQDLLRGDAALLDAAKKGCLAR VKKLSSPDNVNCRDTQGRHSTPLHLAAGYNNLEVAEYLLQHGADVNAQDKGGLIPLHNAA SYGHVDVAALLIKYNACVNATDKWAFTPLHEAAQKGRTQLCALLLAHGADPTLKNQEGQT PLDLVSADDVSALLTAAMPPSALPTCYKPQVLSGVRGPGATADALSSGPSSPSSLSAASS LDNLSGSFSELSAVVSSSAAEGATGLQRKEDSGIDFSITQFIRNLGLEHLMDIFEREQIT LDVLVEMGHKELKEIGINAYGHRHKLIKGVERLISGQQGLNPYLTLNNSGSGTILIDLSP DDKEFQSVEEEMQSTVREHRDGGHAGGVFNRYNILKIQKVCNKKLWERYTHRRKEVSEEN HNHANERMLFHGSPFVNAIIHKGFDERHAYIGGMFGAGIYFAENSSKSNQYVYGIGGGTG CPIHKDRSCYICHRQLLFCRVTLGKSFLQFSAMKMAHSPPGHHSVTGRPSVNGLALAEYV IYRGEQAYPEYLITYQIVRPEGMVDG >ENSMUSP00000126888.1 pep:known chromosome:GRCm38:19:36857881:36872463:1 gene:ENSMUSG00000024811.11 transcript:ENSMUST00000167724.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnks2 description:tankyrase, TRF1-interacting ankyrin-related ADP-ribose polymerase 2 [Source:MGI Symbol;Acc:MGI:1921743] VCSLLLSYGADPTLLNCHNKSAIDLAPTAQLKERLSYEFKGHSLLQAAREADVTRIKKHL SLEMVNFKHPQTHETALVNALDSLGQTSLHRAAHCGHLQTCRLLLSYGCDPNIISLQGFT ALQMGNENVQQLLQEGASLGHSEADRQLLEAAKAGDVETVKKLCTVQSVNCRDIEGRQST PLHFAAGYNRVSVVEYLLQHGADVHAKDKGGLVPLHNACSYGH >ENSMUSP00000133253.1 pep:known chromosome:GRCm38:19:36859094:36866303:1 gene:ENSMUSG00000024811.11 transcript:ENSMUST00000168718.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnks2 description:tankyrase, TRF1-interacting ankyrin-related ADP-ribose polymerase 2 [Source:MGI Symbol;Acc:MGI:1921743] XADVTRIKKHLSLEMVNFKHPQTHETALHCAAASPYPKRKQICELLLRKGANTNEKTKEF LTPLHVASENAHNDVVEVVVKHEAKVNALDSLGQTSLHRAAHCGHLQTCRLLLSYGCDPN IISLQGFTALQMGNENVQQLLQGSKSFRDVLGQRLTFEILHMAGVLCKSW >ENSMUSP00000132440.1 pep:known chromosome:GRCm38:19:36871751:36884086:1 gene:ENSMUSG00000024811.11 transcript:ENSMUST00000164665.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnks2 description:tankyrase, TRF1-interacting ankyrin-related ADP-ribose polymerase 2 [Source:MGI Symbol;Acc:MGI:1921743] DKGGLVPLHNACSYGHYEVAELLVKHGAVVNVADLWKFTPLHEAAAKGKYEICKLLLQHG ADPTKKNRDGNTPLDLVKDGDTDIQDLLRGDAALLDAAKKGCLARVKKLSSPDNVNCRDT QGRHSTPLHLAAGYNNLEVAEYLLQHGADVNAQDKGGLIPLHNAASYGADDVSALLTAAM PPSALPTCYKPQVLSGVRGPGATADALSSGPSSPSSLSAASSLDNLSGSFSELSAVVSSS AAEGATGLQRKEDSGIDFSITQFIRNLGLEHLMDIFEREQITLDVLVEMGHKELKEIGIN AYGHRHKLIKGVERLISGQQGLNPYLT >ENSMUSP00000144963.1 pep:known chromosome:GRCm38:6:94604529:94700158:-1 gene:ENSMUSG00000030029.14 transcript:ENSMUST00000204645.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrig1 description:leucine-rich repeats and immunoglobulin-like domains 1 [Source:MGI Symbol;Acc:MGI:107935] MARPGPGVLGAPRLAPRLLLWLLLLLLQWPESAGAQAGPRAPCAAACTCAGDSLDCSGRG LATLPRDLPSWTRSLNLSYNRLSEIDSAAFEDLTNLQEVYLNSNELTAIPSLGAASIGVV SLFLQHNKILSVDGSQLKSYLSLEVLDLSSNNITEIRSSCFPNGLRIRELNLASNRISIL ESGAFDGLSRSLLTLRLSKNRITQLPVKAFKLPRLTQLDLNRNRIRLIEGLTFQGLDSLE VLRLQRNNISRLTDGAFWGLSKMHVLHLEYNSLVEVNSGSLYGLTALHQLHLSNNSISRI QRDGWSFCQKLHELILSFNNLTRLDEESLAELSSLSILRLSHNAISHIAEGAFKGLKSLR VLDLDHNEISGTIEDTSGAFTGLDNLSKLTLFGNKIKSVAKRAFSGLESLEHLNLGENAI RSVQFDAFAKMKNLKELYISSESFLCDCQLKWLPPWLMGRMLQAFVTATCAHPESLKGQS IFSVLPDSFVCDDFPKPQIITQPETTMAVVGKDIRFTCSAASSSSSPMTFAWKKDNEVLA NADMENFAHVRAQDGEVMEYTTILHLRHVTFGHEGRYQCIITNHFGSTYSHKARLTVNVL PSFTKIPHDIAIRTGTTARLECAATGHPNPQIAWQKDGGTDFPAARERRMHVMPDDDVFF ITDVKIDDMGVYSCTAQNSAGSVSANATLTVLETPSLAVPLEDRVVTVGETVAFQCKATG SPTPRITWLKGGRPLSLTERHHFTPGNQLLVVQNVMIDDAGRYTCEMSNPLGTERAHSQL SILPTPGCRKDGTTVGIFTIAVVCSIVLTSLVWVCIIYQTRKKSEEYSVTNTDETIVPPD VPSYLSSQGTLSDRQETVVRTEGGHQANGHIESNGVCLRDPSLFPEVDIHSTTCRQPKLC VGYTREPWKVTEKADRTAAPHTTAHSGSAVCSDCSTDTAYHPQPVPRDSGQPGTASSQEL RQHDREYSPHHPYSGTADGSHTLSGGSLYPSNHDRILPSLKNKAASADGNGDSSWTLAKL HEADCIDLKPSPTLASGSPELMEDAISTEAQHLLVSNGHLPKACDSSPESVPLKGQITGK RRGPLLLAPRS >ENSMUSP00000098686.2 pep:known chromosome:GRCm38:6:94604536:94700137:-1 gene:ENSMUSG00000030029.14 transcript:ENSMUST00000101126.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrig1 description:leucine-rich repeats and immunoglobulin-like domains 1 [Source:MGI Symbol;Acc:MGI:107935] MARPGPGVLGAPRLAPRLLLWLLLLLLQWPESAGAQAGPRAPCAAACTCAGDSLDCSGRG LATLPRDLPSWTRSLNLSYNRLSEIDSAAFEDLTNLQEVYLNSNELTAIPSLGAASIGVV SLFLQHNKILSVDGSQLKSYLSLEVLDLSSNNITEIRSSCFPNGLRIRELNLASNRISIL ESGAFDGLSRSLLTLRLSKNRITQLPVKAFKLPRLTQLDLNRNRIRLIEGLTFQGLDSLE VLRLQRNNISRLTDGAFWGLSKMHVLHLEYNSLVEVNSGSLYGLTALHQLHLSNNSISRI QRDGWSFCQKLHELILSFNNLTRLDEESLAELSSLSILRLSHNAISHIAEGAFKGLKSLR VLDLDHNEISGTIEDTSGAFTGLDNLSKLTLFGNKIKSVAKRAFSGLESLEHLNLGENAI RSVQFDAFAKMKNLKELYISSESFLCDCQLKWLPPWLMGRMLQAFVTATCAHPESLKGQS IFSVLPDSFVCDDFPKPQIITQPETTMAVVGKDIRFTCSAASSSSSPMTFAWKKDNEVLA NADMENFAHVRAQDGEVMEYTTILHLRHVTFGHEGRYQCIITNHFGSTYSHKARLTVNVL PSFTKIPHDIAIRTGTTARLECAATGHPNPQIAWQKDGGTDFPAARERRMHVMPDDDVFF ITDVKIDDMGVYSCTAQNSAGSVSANATLTVLETPSLAVPLEDRVVTVGETVAFQCKATG SPTPRITWLKGGRPLSLTERHHFTPGNQLLVVQNVMIDDAGRYTCEMSNPLGTERAHSQL SILPTPGCRKDGTTVGIFTIAVVCSIVLTSLVWVCIIYQTRKKSEEYSVTNTDETIVPPD VPSYLSSQGTLSDRQETVVRTEGGHQANGHIESNGVCLRDPSLFPEVDIHSTTCRQPKLC VGYTREPWKVTEKADRTAAPHTTAHSGSAVCSDCSTDTAYHPQPVPRDSGQPGTASSQEL RQHDREYSPHHPYSGTADGSHTLSGGSLYPSNHDRILPSLKNKAASADGNGDSSWTLAKL HEADCIDLKPSPTLASGSPELMEDAISTEAQHLLVSNGHLPKACDSSPESVPLKGQITGK RRGPLLLAPRS >ENSMUSP00000032105.4 pep:known chromosome:GRCm38:6:94604534:94700145:-1 gene:ENSMUSG00000030029.14 transcript:ENSMUST00000032105.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrig1 description:leucine-rich repeats and immunoglobulin-like domains 1 [Source:MGI Symbol;Acc:MGI:107935] MARPGPGVLGAPRLAPRLLLWLLLLLLQWPESAGAQAGPRAPCAAACTCAGDSLDCSGRG LATLPRDLPSWTRSLNLSYNRLSEIDSAAFEDLTNLQEVYLNSNELTAIPSLGAASIGVV SLFLQHNKILSVDGSQLKSYLSLEVLDLSSNNITEIRSSCFPNGLRIRELNLASNRISIL ESGAFDGLSRSLLTLRLSKNRITQLPVKAFKLPRLTQLDLNRNRIRLIEGLTFQGLDSLE VLRLQRNNISRLTDGAFWGLSKMHVLHLEYNSLVEVNSGSLYGLTALHQLHLSNNSISRI QRDGWSFCQKLHELILSFNNLTRLDEESLAELSSLSILRLSHNAISHIAEGAFKGLKSLR VLDLDHNEISGTIEDTSGAFTGLDNLSKLTLFGNKIKSVAKRAFSGLESLEHLNLGENAI RSVQFDAFAKMKNLKELYISSESFLCDCQLKWLPPWLMGRMLQAFVTATCAHPESLKGQS IFSVLPDSFVCDDFPKPQIITQPETTMAVVGKDIRFTCSAASSSSSPMTFAWKKDNEVLA NADMENFAHVRAQDGEVMEYTTILHLRHVTFGHEGRYQCIITNHFGSTYSHKARLTVNVL PSFTKIPHDIAIRTGTTARLECAATGHPNPQIAWQKDGGTDFPAARERRMHVMPDDDVFF ITDVKIDDMGVYSCTAQNSAGSVSANATLTVLETPSLAVPLEDRVVTVGETVAFQCKATG SPTPRITWLKGGRPLSLTERHHFTPGNQLLVVQNVMIDDAGRYTCEMSNPLGTERAHSQL SILPTPGCRKDGTTVGIFTIAVVCSIVLTSLVWVCIIYQTRKKSEEYSVTNTDETIVPPD VPSYLSSQGTLSDRQETVVRTEGGHQANGHIESNGVCLRDPSLFPEVDIHSTTCRQPKLC VGYTREPWKVTEKADRTAAPHTTAHSGSAVCSDCSTDTAYHPQPVPRDSGQPGTASSQEL RQHDREYSPHHPYSGTADGSHTLSGGSLYPSNHDRILPSLKNKAASADGNGDSSWTLAKL HEADCIDLKPSPTLASGSPELMEDAISTEAQHLLVSNGHLPKACDSSPESVPLKGQITGK RRGPLLLAPRS >ENSMUSP00000038655.3 pep:known chromosome:GRCm38:X:45090904:45092791:1 gene:ENSMUSG00000037086.3 transcript:ENSMUST00000040002.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr32 description:proline rich 32 [Source:MGI Symbol;Acc:MGI:1916050] MACTENGLAGHSHSPIIVPVDKNRSKETCHNVQLRSLSSMLKDDEDDADVWTRPPVSLRP PFNVPRTGARIPQNPRAPRHPLTLTPAIEEESLATAEINSSEGLESQSQKGHDSINMSQE FSGSPMALMIGGPRVGSRVLERSGNNSKPYIPVPRSQGFFPPRGSQSRGPPYIPTLRSGI MMEVTPGNARMANRGNMAHVSFPLGSPRHPMDNWQQSPSLPLSPSITGLPCSSAHCFLPP QAPAFNPFPVMPTAFASPLRFGPPLLPYVFHYNTGAMYPPPYLN >ENSMUSP00000033503.2 pep:known chromosome:GRCm38:X:8004200:8018492:-1 gene:ENSMUSG00000031163.2 transcript:ENSMUST00000033503.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glod5 description:glyoxalase domain containing 5 [Source:MGI Symbol;Acc:MGI:1917074] MCDPTSESQLWRSSSQIPSPCLICRLDHIVMTVKNIEDTTMFYSKILGMEVTTFKGNRKA LCFGDQKFNLHEVGKEFDPKAAHPVPGSLDVCLITEAPLEEVIERLKAFDVPIEEGPVFR TGAKGPILSIYFRDPDRNLLEVSSYVTS >ENSMUSP00000034033.8 pep:known chromosome:GRCm38:8:75448694:75984478:1 gene:ENSMUSG00000031620.15 transcript:ENSMUST00000034033.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700007B14Rik description:RIKEN cDNA 1700007B14 gene [Source:MGI Symbol;Acc:MGI:1919081] MAPAEAIPEGVGPVLETTQQDFLQEAKSLIAQHHKRLNENKAQGTSIDVFKNKHQKPKTV KFIPFEVKKSEILNVVQEHRLVLKSISYPRDTSKCTALIEASQQISFKEPHVSNIKEKCH PVDLLKEEKVKLGKIMNDIESVSKQMEMEKEMHLRKSRMLEPFHSHMSLPLHNLASSLGL LKELADRTLYDWRSAALIRSSYLPHGSAQSLSESSVTFKNYYKRPIRKKDLQPIKKELKT EKRSKTIAKSDKIDSKVKRIGPHIEIFQLFQRRNKTIFTKRIIKLVTTVQAFIRGWLERK RLQRIKSKVSYHGPNLKTVIKMYQCLIHRVRHRLGLWRTRQIIYLGELEEWMDRKKFYET MFAKREDWQGLERSDLLKYFNDCGHFPTQTQIDEYWDLLHRYGQGRYSEVIKKSNAIELL FTLYPPQGARVNISTRLKSTWLRPLVDGEEGYKYLVNGHPILKRANIRTVGKLVARSIRE RKMRQFYKT >ENSMUSP00000112901.1 pep:known chromosome:GRCm38:8:75448983:75984503:1 gene:ENSMUSG00000031620.15 transcript:ENSMUST00000121983.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700007B14Rik description:RIKEN cDNA 1700007B14 gene [Source:MGI Symbol;Acc:MGI:1919081] MAPAEAIPEGVGPVLETTQQDFLQEAKSLIAQHHKRLNENKAQGTSIDVFKNKHQKPKTV KFIPFEVKKSEILNVVQEHRLVLKSISYPRDTSKCTALIEASQQISFKEPHVSNIKEKCH PVDLLKEEKVKLGKIMNDIESVSKQMEMEKEMHLRKSRMLEPFHSHMSLPLHNLASSLGL LKELADRTLYDWRSAALIRSSYLPHGSAQSLSESSVTFKNYYKRPIRKKDLQPIKKELKT EKRSKTIAKSDKIDSKVKRIGPHIEIFQLFQRRNKTIFTKRIIKLVTTVQAFIRGWLERK RLQRIKSKVSYHGPNLKTVIKMYQCLIHRVRHRLGLWRTRQIIYLGELEEWMDRKKFYET MFAKREDWQGLERSDLLKYFNDCGHFPTQTQIDEYWDLLHRYGQGRYSEVIKKSNAIELL FTLYPPQGARVNISTRLKSTWLRPLVDGEEGYKYLVNGHPILKRANIRTVGKLVARSIRE RKMRQFYKT >ENSMUSP00000124658.1 pep:known chromosome:GRCm38:1:178187417:178250901:1 gene:ENSMUSG00000026502.13 transcript:ENSMUST00000161075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Desi2 description:desumoylating isopeptidase 2 [Source:MGI Symbol;Acc:MGI:1926075] MNEYTSSIGIGVFHSGIEVYGREFAYGGHPYPFSGIFEISPGNASELGETFKFKEAVVLG STDFLEDDIEKIVEELGKEYKGNAYHLMHKNCNHFSSALSEILCGKEIPRWINRLAYFSS CIPFLQSCLPKEWLTPAALQSSVSQELQDELEEAEDAAASSAMASAAAGARTGRHTKL >ENSMUSP00000027783.7 pep:known chromosome:GRCm38:1:178187532:178257301:1 gene:ENSMUSG00000026502.13 transcript:ENSMUST00000027783.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Desi2 description:desumoylating isopeptidase 2 [Source:MGI Symbol;Acc:MGI:1926075] MGANQLVVLNVYDMYWMNEYTSSIGIGVFHSGIEVYGREFAYGGHPYPFSGIFEISPGNA SELGETFKFKEAVVLGSTDFLEDDIEKIVEELGKEYKGNAYHLMHKNCNHFSSALSEILC GKEIPRWINRLAYFSSCIPFLQSCLPKEWLTPAALQSSVSQELQDELEEAEDAAASSAMA SAAAGARTGRHTKL >ENSMUSP00000123860.1 pep:known chromosome:GRCm38:1:178187847:178249338:1 gene:ENSMUSG00000026502.13 transcript:ENSMUST00000159284.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Desi2 description:desumoylating isopeptidase 2 [Source:MGI Symbol;Acc:MGI:1926075] MNEYTSSIGIGVFHSGIEVYGREFAYGGHPYPFSGIFEISPGNASELGETFKFKEAVVLG STDFLEDDIEKIVEELGKEYKGNAYHLMHKNCNHFSSALSEILCGKEIPRWINRLAYFSS CIPFLQSCLPKEWLTP >ENSMUSP00000063638.3 pep:known chromosome:GRCm38:1:178256103:178257295:1 gene:ENSMUSG00000026502.13 transcript:ENSMUST00000069568.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Desi2 description:desumoylating isopeptidase 2 [Source:MGI Symbol;Acc:MGI:1926075] MSHQLASHLLIYRFAKFVSRDYHRRCLLLSSNSPTPPLQALSLTIISHAPQQPIPSFPSA ESSWDLFYNIPWSLAMTEWILSISTIRICQLRVPSAILKITLVLVMNTIEFRTGSVGPGV TSD >ENSMUSP00000114986.1 pep:known chromosome:GRCm38:7:28129816:28164795:1 gene:ENSMUSG00000078776.9 transcript:ENSMUST00000150948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9530053A07Rik description:RIKEN cDNA 9530053A07 gene [Source:MGI Symbol;Acc:MGI:2442118] MGSLWSCWALWAGATLLWALLTPAEASCQGIQCAPGQRCQMVSGKAKCLAESTAVCRAQG DPHYTTFDGHRYDMMGTCTYTMAELRSSKDSLLAFKVDAKNEHRSSNKVSYVRLVTVHAY NHTVSLIYGEVGLAKIDYQYSSLPVSLSEGLLRVHQSGTRGVLELDFGLVVTYDWDGQLT LSLPKRFQDQVSGLCGNYNGDPADDFLTPDGKQAPDVLNFTKSWKVNDQDLLCDDGCYGN CPSCIPSQAQLFKKDLFCGMLTLPSGPFADCHQFLDPKPFLEECVYDMCVTGGERLTLCR GLNAYAQACVDLGISVREWRSRTNCPLTCPANSHYELCSPACPPSCNSEARPTNCSSRPC VEGCVCLPGFVASRGLCMPVSSCGCIFQDRLLAPGQEVYADEKCHLRCTCDGATQKVICR NTTGCLPKEHCGVQNGSFGCFPEYFRICRASGDPHYMSLDERIFNFMGTCTYLFTASCGQ HPSLPDFKVFIENERRGSQSVSFVRSVKLEALGIKLEVRREYQGKVLVDGILHYLPFQAL GGKLVVFRQGKYAILRTNFSLSVSYNWDTHVTAKVPSNYFNSVCGLCGNFNGDPRDDLGK QIRAEAIDPRDFGKKWLVSSHLGCRTDEQHLCPDFNKGLVSNITQNCRIFTNISGHFKHC WRVPAYNSAYIECAYDCCRMPGQTKPLCDTLATYTAICQSSGVIVYPWRTETLCPMTCPP HSHYELCQRGCPLTCGDQPVPGGCGSDCYESCVCDEGYVLSGEACVPLASCGCVYQGIYH APGDEFYPGPECNSLCHCDKGGLVSCQPSHCSPHEVCKVLDGILRCVGEGSATCQVSGAS HYTTFDGRHFDFMGTCVHVLAQTCWTRPGLKPFTILQENAVQGNKQVSVTKKITIEVANY TLQLEQNQWKVKVNGVDMKLPVLLDEDRVQAFRHGTDMVIETKFGLLVSYDLKYSVRVKV PHNYYKHMCGLCGDYNDDPKNDFQKSDGSQAASSNELGDSWEKAVPGSSCTPRPSCKPGQ ACSPKCYPTLEKKYRGKEFCGLITSPTGPLAACHKLLDPQGPLQSCVFDLCLGGGNQSIL CSSIHAYVSACQAAGGTVKPWRNQTFCPMECPPHSHYEVCADTCSLDCSAITTPMQCLTP CSEGCECDTDFLQSITTCVPMEKCGCHYNGVYYEPEESVLIENCQQHCVCQPGKGMMCQD HSCKPGQVCEPSGGVLTCVTKDPCQGVTCRSQETCTEKDGKGVCRANYESKCWVWGDPHY HSFDGLNTNFHGTCSYLMAGTGCPGTGAEGLTPFSVITKHESQSDPKVSYVRKVTVKTYN TSITIHEKKTGRVQVNGVFMALPVYLAGGRISVINGGSKAVLETNFGLQVTYNWKWRVHV TLPSSYYGAVCGLCGNMDKNPQNDQVFPNGTMAPSIPTWGGSWQVPGWDPLCWNECQGSC PTCPEDRVEEYRGPGFCGPLDHDTVGPFTSCHAHVPPESFFEGCVLDVCLGGQVKDILCQ ALADYAAACQAAGIKIEDWRTQAGCEITCPVNSHYELCGPPCPASCPPPARHTAPTACDG PCVEGCQCDKGFVLSADQCVPLDGGCGCWVNGTYYEAGTEFWADTTCSKRCHCGPGGDSL VCKPASCGLGEECALLASGEIGCQPISIAECQILGDPTYITLDGHRFDFQGTCEYLLSAP CHAPPTGTEYFNVTVVNEHRCSQAVSYTRSITLRIYGLSLTLSAHWPKNLLVDGEMVKLP FQLQSRLLVHLSGMGLVVHTSWGLSLTFDGNSFMRLRVPAAYAGTLCGLCGNYNKNPNDD LTAVGGKPEGWKVGGFPDCDQCQLRTCPKPCTPEERKRFGGPNACGIITAPEGPLAPCHS FVPPTQYFEACLLDTCQVQGHSGGLCPAIATYVAACQDARVRLREWRKPDFCPLQCPAHS HYQLCGDSCPVSCPSLSAPVGCETICREGCVCDAGFVLSGDTCVPVGQCGCLHQGRYYAL GATFYPGYECEWFCECGPDDQVTCRIETCGIHEECRIEDGIRSCHPKSSKLMLVLHGTHY ATPDGLVYDLYGSCSYILAQVCFPKHGEEAFSIVLEKDLAGNPQRVVVTVAGQVVVLAKG PQVTVDSEVVALPMVVGSIYITAENRNVFLQTKSGLKLLFDGDDHILISIPSPFRGRLCG LCGNFNGNQSDDFVLPSGVVAPNVEAFGAAWRAPGSSLGCGEGCGPQGCPVCSAEKRELY EENDACGKIRDPQGPFAACHKALNHSEYFHQCVFDLCTHHGDRDYLCRSLAAYTAACQAA GAAVKPWRTDSICPAECPAHSHYSICTHSCQGSCAAISGFTGCTTQCFEGCECDDHFLLS QGVCIPAQDCGCFYNDQYMPVDSSLMSSDCSERCFCSPNNGLTCHEAGCPSGRVCEIQAG VRGCWPAKGLCTFSVGGNLITFDGAFNPISSPGVYELSSRCPGLLKNVPWYRVLADVQPC HDNDKIVSKVHIFFQDGMVTVIPSKGTWVNGLRVDLPATVLTSVSVRRMPDGSMLVHQKA GVTVWLGKDGLLDVMVGDNHAAMLCGACGNFDGDRNNDVHKNQKTISIETWRAQDFSPCA N >ENSMUSP00000056479.4 pep:known chromosome:GRCm38:7:28129466:28164811:1 gene:ENSMUSG00000078776.9 transcript:ENSMUST00000059886.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9530053A07Rik description:RIKEN cDNA 9530053A07 gene [Source:MGI Symbol;Acc:MGI:2442118] MGSLWSCWALWAGATLLWALLTPAEASCQGIQCAPGQRCQMVSGKAKCLAESTAVCRAQG DPHYTTFDGHRYDMMGTCTYTMAELRSSKDSLLAFKVDAKNEHRSSNKVSYVRLVTVHAY NHTVSLIYGEVGLAKIDYQYSSLPVSLSEGLLRVHQSGTRGVLELDFGLVVTYDWDGQLT LSLPKRFQDQVSGLCGNYNGDPADDFLTPDGKQAPDVLNFTKSWKVNDQDLLCDDGCYGN CPSCIPSQAQLFKKDLFCGMLTLPSGPFADCHQFLDPKPFLEECVYDMCVTGGERLTLCR GLNAYAQACVDLGISVREWRSRTNCPLTCPANSHYELCSPACPPSCNSEARPTNCSSRPC VEGCVCLPGFVASRGLCMPVSSCGCIFQDRLLAPGQEVYADEKCHLRCTCDGATQKVICR NTTGCLPKEHCGVQNGSFGCFPEYFRICRASGDPHYMSLDERIFNFMGTCTYLFTASCGQ HPSLPDFKVFIENERRGSQSVSFVRSVKLEALGIKLEVRREYQGKVLVDGILHYLPFQAL GGKLVVFRQGKYAILRTNFSLSVSYNWDTHVTAKVPSNYFNSVCGLCGNFNGDPRDDLGK QIRAEAIDPRDFGKKWLVSSHLGCRTDEQHLCPDFNKGLVSNITQNCRIFTNISGHFKHC WRVPAYNSAYIECAYDCCRMPGQTKPLCDTLATYTAICQSSGVIVYPWRTETLCPMTCPP HSHYELCQRGCPLTCGDQPVPGGCGSDCYESCVCDEGYVLSGEACVPLASCGCVYQGIYH APGDEFYPGPECNSLCHCDKGGLVSCQPSHCSPHEVCKVLDGILRCVGEGSATCQVSGAS HYTTFDGRHFDFMGTCVHVLAQTCWTRPGLKPFTILQENAVQGNKQVSVTKKITIEVANY TLQLEQNQWKVKVNGVDMKLPVLLDEDRVQAFRHGTDMVIETKFGLLVSYDLKYSVRVKV PHNYYKHMCGLCGDYNDDPKNDFQKSDGSQAASSNELGDSWEKAVPGSSCTPRPSCKPGQ ACSPKCYPTLEKKYRGKEFCGLITSPTGPLAACHKLLDPQGPLQSCVFDLCLGGGNQSIL CSSIHAYVSACQAAGGTVKPWRNQTFCPMECPPHSHYEVCADTCSLDCSAITTPMQCLTP CSEGCECDTDFLQSITTCVPMEKCGCHYNGVYYEPEESVLIENCQQHCVCQPGKGMMCQD HSCKPGQVCEPSGGVLTCVTKDPCQGVTCRSQETCTEKDGKGVCRANYESKCWVWGDPHY HSFDGLNTNFHGTCSYLMAGTGCPGTGAEGLTPFSVITKHESQSDPKVSYVRKVTVKTYN TSITIHEKKTGRVQVNGVFMALPVYLAGGRISVINGGSKAVLETNFGLQVTYNWKWRVHV TLPSSYYGAVCGLCGNMDKNPQNDQVFPNGTMAPSIPTWGGSWQVPGWDPLCWNECQGSC PTCPEDRVEEYRGPGFCGPLDHDTVGPFTSCHAHVPPESFFEGCVLDVCLGGQVKDILCQ ALADYAAACQAAGIKIEDWRTQAGCEITCPVNSHYELCGPPCPASCPPPARHTAPTACDG PCVEGCQCDKGFVLSADQCVPLDGGCGCWVNGTYYEAGTEFWADTTCSKRCHCGPGGDSL VCKPASCGLGEECALLASGEIGCQPISIAECQILGDPTYITLDGHRFDFQGTCEYLLSAP CHAPPTGTEYFNVTVVNEHRCSQAVSYTRSITLRIYGLSLTLSAHWPKNLLVDGEMVKLP FQLQSRLLVHLSGMGLVVHTSWGLSLTFDGNSFMRLRVPAAYAGTLCGLCGNYNKNPNDD LTAVGGKPEGWKVGGFPDCDQCQLRTCPKPCTPEERKRFGGPNACGIITAPEGPLAPCHS FVPPTQYFEACLLDTCQVQGHSGGLCPAIATYVAACQDARVRLREWRKPDFCPLQCPAHS HYQLCGDSCPVSCPSLSAPVGCETICREGCVCDAGFVLSGDTCVPVGQCGCLHQGRYYAL GATFYPGYECEWFCECGPDDQVTCRIETCGIHEECRIEDGIRSCHPKSSKLMLVLHGTHY ATPDGLVYDLYGSCSYILAQVCFPKHGEEAFSIVLEKDLAGNPQRVVVTVAGQVVVLAKG PQVTVDSEVVALPMVVGSIYITAENRNVFLQTKSGLKLLFDGDDHILISIPSPFRGRLCG LCGNFNGNQSDDFVLPSGVVAPNVEAFGAAWRAPGSSLGCGEGCGPQGCPVCSAEKRELY EENDACGKIRDPQGPFAACHKALNHSEYFHQCVFDLCTHHGDRDYLCRSLAAYTAACQAA GAAVKPWRTDSICPAECPAHSHYSICTHSCQGSCAAISGFTGCTTQCFEGCECDDHFLLS QGVCIPAQDCGCFYNDQYMPVDSSLMSSDCSERCFCSPNNGLTCHEAGCPSGRVCEIQAG VRGCWPAKGLCTFSVGGNLITFDGAFNPISSPGVYELSSRCPGLLKNVPWYRVLADVQPC HDNDKIVSKVHIFFQDGMVTVIPSKGTWVNGLRVDLPATVLTSVSVRRMPDGSMLVHQKA GVTVWLGKDGLLDVMVGDNHAAMLCGACGNFDGDRNNDVHKNQKTISIETWRAQDFSPCA N >ENSMUSP00000027643.4 pep:known chromosome:GRCm38:1:138273738:138289462:1 gene:ENSMUSG00000026394.5 transcript:ENSMUST00000027643.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1g3 description:ATPase, H+ transporting, lysosomal V1 subunit G3 [Source:MGI Symbol;Acc:MGI:2450548] MTSQSQGIQQLLQAEKRAKDKLDEAKKRKGKRLRQAKEEAVAETDQYRMQMEKDFRLKQA KIMGSQSHLSDEIEEQTLEKIKELNGSYNKCMESVIKQLLSMVCDMKPEVHVNYRATN >ENSMUSP00000089335.4 pep:known chromosome:GRCm38:13:22042460:22042944:-1 gene:ENSMUSG00000069301.5 transcript:ENSMUST00000091741.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ag description:histone cluster 1, H2ag [Source:MGI Symbol;Acc:MGI:2448293] MSGRGKQGGKARAKAKTRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000033152.4 pep:known chromosome:GRCm38:7:122219496:122222824:1 gene:ENSMUSG00000030865.4 transcript:ENSMUST00000033152.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chp2 description:calcineurin-like EF hand protein 2 [Source:MGI Symbol;Acc:MGI:1917511] MGSRSSHIALIPDVEHIRRETGFSQASLLRLYHRFQALDRDEKGFLSRLDLQQIGALAVN PLGDRIIDSFFPNGSQRLYFAGFARVLAYFRPIDEEDATLRDPKQPEPLNSRMNKLRFAF QLYDLDRDGKISRNEMLQVLRLMVGVQVTDEQLESITDRTVQEADEDGDGAVSFLEFTKS LEKMNIEQKMSIRILK >ENSMUSP00000080791.4 pep:known chromosome:GRCm38:11:116537740:116581447:-1 gene:ENSMUSG00000020802.8 transcript:ENSMUST00000082152.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2o description:ubiquitin-conjugating enzyme E2O [Source:MGI Symbol;Acc:MGI:2444266] MADPAAPAPAQAQAAAAPTPAAAPAAAAPPPAPATDSASGPSSDSGPEAGSQRLLFSHDL VSGRYRGSVHFGLVRLIHGEDSDSEGDDDGRGSSGCSEAGGAGHEEGRASPLRRGYVRVQ WYPEGVKQHVKETKLKLEDRSVVPRDVVRHMRSTDSQCGTVIDVNIDCAVKLIGTNCIIY PVNSKDLQHIWPFMYGDYIAYDCWLGKVYDLKNQIILKLSNGARCSMNTEDGAKLYDVCP HVSDSGLFFDDSYGFYPGQVLIGPAKIFSSVQWLSGVKPVLSTKSKFRVVVEEVQVVELK VTWITKSFCPGGTDSVSPPPSIITQENLGRVKRLGCFDHAQRQLGERCLYVFPAKVEPAK IAWECPEKNCAQGEGSMAKKVKRLLKKQVVRIMSCTPDTQCPRDHSMEDPDKKGEARAGS EIGSASPEEQPDGSASPVEMQDEGSEELQETCEPLPPFLLKEGGDDGLHSAEQDADDEAA DDTDDTSSVTSSASSTTSSQSGSGTGRKKSIPLSIKNLKRKHKRKKNKVTRDFKPGDRVA VEVVTTMTSADVMWQDGSVECNIRSNDLFPVHHLDNNEFCPGDFVVDKRVQSCPDPAVYG VVQSGDHVGRTCMVKWFKLRPSGDDVELIGEEEDVSVYDIADHPDFRFRTTDIVIRIGNT EDGALPKEDEPSVGQVARVDVSSKVEVVWADNSKTIILPQHLYNIESEIEESDYDSVEGS SSGASSDEWEDDSDSWETDNGLVDDEHPKIEELAAILPAEQPTAPEEDKGVVISEEAATA AIQGAVAMAAPVAGLMEKAGKDGPPKSFRELKEAIKILESLKNMTVEQLLTGSPTSPTVE PEKPTREKKFLDDIKKLQENLKKTLDNVAIAEEEKMEAVPDTERKEEKPEVQSPVKAEWP SETPVLCQQCGGRPGVTFTSAKGEVFSVLEFAPSNHSFKKIEFQPPEAKKFFSTVRKEMA LLATSLPDGIMVKTFEDRMDLFSALIKGPTRTPYEDGLYLFDIQLPNIYPAVPPHFCYLS QCSGRLNPNLYDNGKVCVSLLGTWIGKGTERWTSKSSLLQVLISIQGLILVNEPYYNEAG FDSDRGLQEGYENSRCYNEMALIRVVQSMTQLVRRPPEVFEQEIRQHFSVGGWRLVNRIE SWLETHAMQERAQVMPNGALKDSSSLEPMAAAELSDSGREEPEDVGMAPGEASQGSDSEG GAQGPASASRDHTEQTETAPDASAPPSVRPKRRRKSYRSFLPEKSGYPDIGFPLFPLSKG FIKSIRGVLTQFRAALLEAGMPESTEDK >ENSMUSP00000032410.7 pep:known chromosome:GRCm38:6:113366025:113377883:-1 gene:ENSMUSG00000048930.12 transcript:ENSMUST00000032410.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tada3 description:transcriptional adaptor 3 [Source:MGI Symbol;Acc:MGI:1915724] MSELKDCPLQFHDFKSVDHLKVCPRYTAVLARSEDDGIGIEELDTLQLELETLLSSASRR LRVLEAETQILTDWQDKKGDRRFLKLGRDHELGAPPKHGKPKKQKLEGKTGHGPGPGPGR PKSKNVQPKIQEYEFTDDPIDVPRIPKNDAPNRFWASVEPYCADITSEEVRTLEELLKPP EDEAEHYKIPPLGKHYSQRWAQEDLLEEQKDGARAAAVADKKKGLIGPLTELDTKDVDAL LKKSEAQHEQPEDGCPFGALTQRLLQALVEENIISPMEDSPIPDMSGKESGADGASTSPR NQNKPFSVPHTKSLESRIKEELIAQGLLESEDRPAEDSEDEVLAELRKRQAELKALSAHN RTKKHDLLRLAKEEVSRQELRQRVRMADNEVMDAFRKIMAARQKKRTPTKKEKDQAWKTL KERESILKLLDG >ENSMUSP00000043363.2 pep:known chromosome:GRCm38:6:113366655:113377376:-1 gene:ENSMUSG00000048930.12 transcript:ENSMUST00000043333.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tada3 description:transcriptional adaptor 3 [Source:MGI Symbol;Acc:MGI:1915724] MSELKDCPLQFHDFKSVDHLKVCPRYTAVLARSEDDGIGIEELDTLQLELETLLSSASRR LRVLEAETQILTDWQDKKGDRRFLKLGRDHELGAPPKHGKPKKQKLEGKTGHGPGPGPGR PKSKNVQPKIQEYEFTDDPIDVPRIPKNDAPNRFWASVEPYCADITSEEIPPLGKHYSQR WAQEDLLEEQKDGARAAAVADKKKGLIGPLTELDTKDVDALLKKSEAQHEQPEDGCPFGA LTQRLLQALVEENIISPMEDSPIPDMSGKESGADGASTSPRNQNKPFSVPHTKSLESRIK EELIAQGLLESEDRPAEDSEDEVLAELRKRQAELKALSAHNRTKKHDLLRLAKEEVSRQE LRQRVRMADNEVMDAFRKIMAARQKKRTPTKKEKDQAWKTLKERESILKLLDG >ENSMUSP00000108736.1 pep:known chromosome:GRCm38:6:113366655:113377517:-1 gene:ENSMUSG00000048930.12 transcript:ENSMUST00000099118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tada3 description:transcriptional adaptor 3 [Source:MGI Symbol;Acc:MGI:1915724] MQEDLLEEQKDGARAAAVADKKKGLIGPLTELDTKDVDALLKKSEAQHEQPEDGCPFGAL TQRLLQALVEENIISPMEDSPIPDMSGKESGADGASTSPRNQNKPFSVPHTKSLESRIKE ELIAQGLLESEDRPAEDSEDEVLAELRKRQAELKALSAHNRTKKHDLLRLAKEEVSRQEL RQRVRMADNEVMDAFRKIMAARQKKRTPTKKEKDQAWKTLKERESILKLLDG >ENSMUSP00000141289.1 pep:known chromosome:GRCm38:6:113376004:113377756:-1 gene:ENSMUSG00000048930.12 transcript:ENSMUST00000193384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tada3 description:transcriptional adaptor 3 [Source:MGI Symbol;Acc:MGI:1915724] MQGPTSWAMSELKDCPLQFHDF >ENSMUSP00000116199.1 pep:known chromosome:GRCm38:17:27563575:27565732:-1 gene:ENSMUSG00000062753.13 transcript:ENSMUST00000154473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI413582 description:expressed sequence AI413582 [Source:MGI Symbol;Acc:MGI:2146839] MSNTTVPNAPQANSDSMVGYVLGPFFLITLVGVVVAVVMYVQKKKRVDRLRHHLLPMYSY DPAEELQEAEQELLSDVGDPKVVHGWQSSYQHKRMPLLDIKT >ENSMUSP00000079081.7 pep:known chromosome:GRCm38:17:27563771:27565708:-1 gene:ENSMUSG00000062753.13 transcript:ENSMUST00000080190.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:AI413582 description:expressed sequence AI413582 [Source:MGI Symbol;Acc:MGI:2146839] MSNTTVPNAPQANSDSMVGYVLGPFFLITLVGVVVAVKWVPFWPSGLRGGDTG >ENSMUSP00000001720.7 pep:known chromosome:GRCm38:8:109990437:109999803:1 gene:ENSMUSG00000001670.13 transcript:ENSMUST00000001720.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tat description:tyrosine aminotransferase [Source:MGI Symbol;Acc:MGI:98487] MDSYVIQTNVNDSLPSVLDVRVNIGGRSSVQGRAKGRKARWNVRPSDMSNKTFNPIRAIV DNMKVKPNPNKTVISLSIGDPTVFGNLPTDPEVTQAMKDALDSGKYNGYAPSIGYLSSRE EVASYYHCPEAPLEAKDVILTSGCSQAIELCLAVLANPGQNILIPRPGFSLYRTLAESMG IEVKLYNLLPEKSWEIDLKQLESLIDEKTACLVVNNPSNPCGSVFSKRHLQKILAVAERQ CVPILADEIYGDMVFSDCKYEPMATLSTNVPILSCGGLAKRWLVPGWRLGWILIHDRRDI FGNEIRDGLVKLSQRILGPCTIVQGALKSILQRTPQEFYQDTLSFLKSNADLCYGALSAI PGLQPVRPSGAMYLMVGIEMEHFPEFENDVEFTERLIAEQSVHCLPATCFEYPNFFRVVI TVPEVMMLEACSRIQEFCEQHYHCAEGSQEECDK >ENSMUSP00000119061.1 pep:known chromosome:GRCm38:8:109990506:109994694:1 gene:ENSMUSG00000001670.13 transcript:ENSMUST00000143741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tat description:tyrosine aminotransferase [Source:MGI Symbol;Acc:MGI:98487] MDSYVIQTNVNDSLPSVLDVRVNIGGRSSVQGRAKGRKARWNVRPSDMSNKTFNPIRAIV DNMKVKPNPNKTVISLSIGDPTVFGNLPTDPEVTQAMKDALDSGKYNGYAPSIGYLSSRE EVASYYHCPEAPLEAKDVILTSGCSQAIELCLAVLANPGQNILIPRPGFSLYRTLAESMG IEVKLYNLLPEKSWEIDLKQLESLIDEKTACLVVNNPSNPCGSVFSKRHLQKI >ENSMUSP00000127509.1 pep:known chromosome:GRCm38:5:11416418:11421235:1 gene:ENSMUSG00000096732.7 transcript:ENSMUST00000167041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8897 description:predicted gene 8897 [Source:MGI Symbol;Acc:MGI:3779819] ARDRRKDAGLPSESNEGRRRWTWRIWRARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQ LRNEQRDHLIDFKESSNYNRTKPTQKKNPFYEQLRSTKNQVLSSVYKLEMGIIEAQENIQ ELNKWIDYFTNLHSQLLMEKNLKMSITQNQKNKEVEIDWALIEKYLVDLNLNGRTGADQQ P >ENSMUSP00000136745.1 pep:known chromosome:GRCm38:5:11417626:11419969:1 gene:ENSMUSG00000096732.7 transcript:ENSMUST00000179679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8897 description:predicted gene 8897 [Source:MGI Symbol;Acc:MGI:3779819] ARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPTQKK NPFYEQLRSTKNQVLSSVYKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMSIT QNQKNKEVEIDWALIEKYLVDLNLNGRTGADQQP >ENSMUSP00000122279.1 pep:known chromosome:GRCm38:6:146549632:146554635:-1 gene:ENSMUSG00000040250.14 transcript:ENSMUST00000139979.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asun description:asunder, spermatogenesis regulator [Source:MGI Symbol;Acc:MGI:1918427] XAGVIVKESLTEEDVLNCQKTIYNLVDMERKNDPLPVSTVGTRGKGPKRDEQYRIMWNEL ETLVRAHISNSEKHQRVLECLMACRSKPPEEEERKKRGRKREDREDKSEQAGKEHGTEKA RPDADRLKGILERGKEELAEAEVIKDSPDSPEPPNKKPLVETDETPHMEKSKGPVSLLSL WSNRINTANSRKHQEFAGRLNSVNNRAELYQHLKEENGMETTENGKASRQ >ENSMUSP00000145229.1 pep:known chromosome:GRCm38:6:146549632:146557545:-1 gene:ENSMUSG00000040250.14 transcript:ENSMUST00000203545.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asun description:asunder, spermatogenesis regulator [Source:MGI Symbol;Acc:MGI:1918427] TNSIELHYCTGAYRISPVDVNSRPSSCLTNFLLNGRSVLLEQPRKSGSKVISHMLSSHGG EIFLHVLSSSRSILEDPPSISEGCGGRVTDYRITVVPLAGVIVKESLTEEDVLNCQKTIY NLVDMERKNDPLPVSTVGTRGKGPKRDEQYRIMWNELETLVRAHISNSEKHQRVLECLMA CRSKPPEEEERKKRGRKREDREDKSEQAGKEHGTEKARPDADRLKGILERGKEELAEAEV IKDSPDSPEPPNKKPLVETDETPHMEKSKGPVSLLSLWSNRINTANSRKHQEFAGRLNSV NNRAELYQHLKEENGMETTENGKASRQ >ENSMUSP00000032427.8 pep:known chromosome:GRCm38:6:146549632:146577835:-1 gene:ENSMUSG00000040250.14 transcript:ENSMUST00000032427.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asun description:asunder, spermatogenesis regulator [Source:MGI Symbol;Acc:MGI:1918427] MKIFSESHKTVFVVDHCPYMAESCRQHVEFDMLVKNRTQGIIPLAPISKSLWTCSVESSM EYCRIMYDIFPFKKLVNFIVSDSGAHVLNSWTQEDQNLQELMAALATVGPPNPRADPECC SILHGLVAAVETLCKITEYQHEARTLLMENAERVGNRGRIICITNAKSDSHVRMLEDCVQ ETIHEHNKLAANSDHLMQIQKCELVLIHTYPVGEDSLVSDRPKKELSPVLTSEVHSVRAG RHLATKLNVLVQQHFDLASTTITNIPMKEEQHANTSANYDVELLHHKDAHVDFLRSGDSH SGSSSREGPFKETVTLKWCTPRTNSIELHYCTGAYRISPVDVNSRPSSCLTNFLLNGRSV LLEQPRKSGSKVISHMLSSHGGEIFLHVLSSSRSILEDPPSISEGCGGRVTDYRITDFGE FMRENRLTPFLDPRYKIDASLEIPLERAKDQLEKHTRYWPMIISQTTIFNMQAVVPLAGV IVKESLTEEDVLNCQKTIYNLVDMERKNDPLPVSTVGTRGKGPKRDEQYRIMWNELETLV RAHISNSEKHQRVLECLMACRSKPPEEEERKKRGRKREDREDKSEQAGKEHGTEKARPDA DRLKGILERGKEELAEAEVIKDSPDSPEPPNKKPLVETDETPHMEKSKGPVSLLSLWSNR INTANSRKHQEFAGRLNSVNNRAELYQHLKEENGEEVGLTGGPRTAIPFLKCPRSPVVNR METTENGKASRQ >ENSMUSP00000118000.1 pep:known chromosome:GRCm38:6:146555004:146576267:-1 gene:ENSMUSG00000040250.14 transcript:ENSMUST00000131662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asun description:asunder, spermatogenesis regulator [Source:MGI Symbol;Acc:MGI:1918427] XYCRIMYDIFPFKKLVNFIVSDSGAHVLNSWTQEDQNLQELMAALATVGPPNPRADPECC SILHGLVAAVETLCKITEYQHEARTLLMENAERVGNRGRIICITNAKSDSHVRMLEDCVQ ETIHEHNKLAANSDHLMQIQKCELVLIHTYPVGEDSLVSDRPKKELSPVLTSEVHSVRAG RHLATKLNVLVQQHFDLASTTITNIPMKPTFSVFEQEEQHANTSANYDVELLHHKDAHVD FLRSGDSHSGSSSREGPFKETVTLKWCTPRTNSIELHYCTGAYRISPVDVNSRPSSCLTN FLLNGRSVLLEQPRKSGSKVISHMLSSHGGEIFLHVLSSSRSILEDPPSISEGCGGRVTD YRITDFGEFMRENRLTPFLDPRYKIDASLEIPLERA >ENSMUSP00000070840.7 pep:known chromosome:GRCm38:16:27353322:27370239:-1 gene:ENSMUSG00000056423.7 transcript:ENSMUST00000070531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uts2b description:urotensin 2B [Source:MGI Symbol;Acc:MGI:2677064] MKVFSTSLWCGLLTLLSVMNLFKSVRGRPHLSSGHELFPAKEHAAQEKLTRNPGLQRPFH AGGDLPSKLEELRQVKKLRDWIMEAKNTGLSNALDNLSSSHTKKRACFWKYCV >ENSMUSP00000028933.2 pep:known chromosome:GRCm38:2:148782009:148785937:1 gene:ENSMUSG00000027444.2 transcript:ENSMUST00000028933.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:8030411F24Rik description:RIKEN cDNA 8030411F24 gene [Source:MGI Symbol;Acc:MGI:1925859] MSWKVPMLVGLVVLGTHIWTINKEFLDVTKDLDYFVASVEFAVAQFNDNNPEENTYKLLE VGRAQKKTWTMIFLMDLEMGRTICKKHDENIHNCPLLQGSREKKVHCVFQVDARPWFSHF TILTSTCVPT >ENSMUSP00000102711.1 pep:known chromosome:GRCm38:4:95049034:95052222:-1 gene:ENSMUSG00000052684.4 transcript:ENSMUST00000107094.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jun description:jun proto-oncogene [Source:MGI Symbol;Acc:MGI:96646] MTAKMETTFYDDALNASFLQSESGAYGYSNPKILKQSMTLNLADPVGSLKPHLRAKNSDL LTSPDVGLLKLASPELERLIIQSSNGHITTTPTPTQFLCPKNVTDEQEGFAEGFVRALAE LHSQNTLPSVTSAAQPVSGAGMVAPAVASVAGAGGGGGYSASLHSEPPVYANLSNFNPGA LSSGGGAPSYGAAGLAFPSQPQQQQQPPQPPHHLPQQIPVQHPRLQALKEEPQTVPEMPG ETPPLSPIDMESQERIKAERKRMRNRIAASKCRKRKLERIARLEEKVKTLKAQNSELAST ANMLREQVAQLKQKVMNHVNSGCQLMLTQQLQTF >ENSMUSP00000046544.6 pep:known chromosome:GRCm38:5:113243220:113310786:-1 gene:ENSMUSG00000042216.13 transcript:ENSMUST00000048112.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm1 description:small G protein signaling modulator 1 [Source:MGI Symbol;Acc:MGI:107320] MASVPAEAETRQRLLRTVKKEVKQIMEEAVTRKFVHEDSSHIISFCAAVEACVLHGLRRR AAGFLRSNKIAALFMKVGKGFPPAEELSRKVQELEQLIESARNQIQGLQENVRKLPKLPN LSPLAIKHLWIRTALFERVLDKIVHYLVENSSKYYEKEALLMDPVDGPILASLLVGPCAL EYTKMKTADHFWTDPSADELVQRHRIHSSHLRQDSPTKRPALCIQKRHSSGSMDDRPSIS ARDYVESLHQNSRATLLYGKNNVLVQPRDDMEAVPGYLSLHQTADVMTLKWTPNQLMNGS VGDLDYEKSVYWDYAVTIRLEEIVYLHCHQQVDSGGTVVLVSQDGIQRPPFRFPKGGHLL QFLSCLENGLLPHGQLDPPLWSQRGKGKVFPKLRKRSPQGSSESTSSDKEDDEATDYVFR IIYPGTQSEFVPQDLMDVSMNNLPPLWQPSPRKSSCSSCSQSGSADGGSTNGCNHERAPL KLLCDNMKYQILSRAFYGWLAYCRHLSTVRTHLSALVNHMIVSPDLPCDAGQGLTASIWE KYIQDSTTYPEQELLRLIYYGGVQPEIRRAVWPFLLGHYQFGMTEMERKEVDEQIHACYA QTMSEWLGCEAIVRQRERESHAAALAKCSSGASLDSHLHRMLHRDSTISNESSQSCSSGR QNLRLQSDSSSSTQVFESVDEVEQTEAEGRSEEKHPKIPNGNPANGTCSPDSGHPSSHNF SSGLSEHSEPSLSTEDSVLDAQRSLPAVFRPGDSSVEDGQSSEATTSRDEAPREELAVQD SLESDLLANESLEEFMSIPGSLDVALPEKDGAVMDGWPGEADKPSRADSEDNLSEEPEME SLFPALASLAVTSSANNEASPVSSSGVTYSPELLDLYTVNLHRIEKDVQRCDRSYWYFTA ANLEKLRNIMCSYIWQHIEIGYVQGMCDLLAPLLVILDDEALAFSCFTELMKRMNQNFPH GGAMDTHFANMRSLIQILDSELFELMHQNGDYTHFYFCYRWFLLDFKRELVYDDVFSVWE TIWAAKHVSSAHYVLFIALALVEVYRDIILENNMDFTDIIKFFNEMAERHNAKQILQLAR DLVHKVQILIENK >ENSMUSP00000084106.4 pep:known chromosome:GRCm38:5:113243229:113280601:-1 gene:ENSMUSG00000042216.13 transcript:ENSMUST00000057209.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm1 description:small G protein signaling modulator 1 [Source:MGI Symbol;Acc:MGI:107320] MLGQVGLGDLWLPTVSLTSPSSVYWDYAVTIRLEEIVYLHCHQQVDSGGTVVLVSQDGIQ RPPFRFPKGGHLLQFLSCLENGLLPHGQLDPPLWSQRGKGKVFPKLRKRSPQGSSESTSS DKEDDEATDYVFRIIYPGTQSEFVPQDLMDVSMNNLPPLWQPSPRKSSCSSCSQSGSADG GSTNGCNHERAPLKLLCDNMKYQILSRAFYGWLAYCRHLSTVRTHLSALVNHMIVSPDLP CDAGQGLTASIWEKYIQDSTTYPEQELLRLIYYGGVQPEIRRAVWPFLLGHYQFGMTEME RKEVDEQIHACYAQTMSEWLGCEAIVRQRERESHAAALAKCSSGASLDSHLHRMLHRDST ISNESSQSCSSGRQNLRLQSDSSSSTQVFESVDEVEQTEAEGRSEEKHPKIPNGNPANGT CSPDSGHPSSHNFSSGLSEHSEPSLSTEDSVLDAQRSLPAVFRPGDSSVEDGQSSEATTS RDEAPREELAVQDSLESDLLANESLEEFMSIPGSLDVALPEKDGAVMDGWPGEADKPSRA DSEDNLSEEPEMESLFPALASLAVTSSANNEASPVSSSGVTYSPELLDLYTVNLHRIEKD VQRCDRSYWYFTAANLEKLRNIMCSYIWQHIEIGYVQGMCDLLAPLLVILDDEALAFSCF TELMKRMNQNFPHGGAMDTHFANMRSLIQILDSELFELMHQNGDYTHFYFCYRWFLLDFK RELVYDDVFSVWETIWAAKHVSSAHYVLFIALALVEVYRDIILENNMDFTDIIKFFNEMA ERHNAKQILQLARDLVHKVQILIENK >ENSMUSP00000107944.1 pep:known chromosome:GRCm38:5:113265674:113310739:-1 gene:ENSMUSG00000042216.13 transcript:ENSMUST00000112325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm1 description:small G protein signaling modulator 1 [Source:MGI Symbol;Acc:MGI:107320] MASVPAEAETRQRLLRTVKKEVKQIMEEAVTRKFVHEDSSHIISFCAAVEACVLHGLRRR AAGFLRSNKIAALFMKVGKGFPPAEELSRKVQELEQLIESARNQIQGLQENVRKLPKLPN LSPLAIKHLWIRTALFERVLDKIVHYLVENSSKYYEKEALLMDPVDGPILASLLVGPCAL EYTKMKTADHFWTDPSADELVQRHRIHSSHLRQDSPTKRPALCIQKRHSSGSMDDRPSIS ARDYVESLHQNSRATLLYGKNNVLVQPRDDMEAVPGYLSLHQTADVMTLKWTPNQLMNGS VGDLDYEKSVYWDYAVTIRLEEIVYLHCHQQVDSGGTVVLVSQDGIQRPPFRFPKGGHLL QFLSCLENGLLPHGQLDPPLWSQRGKGKVFPKLRKRSPQGSSESTSSDKEDDEATDYVFR IIYPGTQSEFVPQDLMDVSMNNLPPLWQPSPRKSSCSSCSQSGSADGGSTNGCNHERAPL KLLCDNMKYQILSRAFYGWLAYCRHLSTVRTHLSALVNHMIVSPDLPCDAGQGLTASIWE KYIQDSTTYPEQELLRLIYYGGVQPEIRRAVWPFLLGHYQFGMTEMERKEVDEQIHACYA QTMSEWLGCEAIVRQRERESHAAALAKCSSGASLDSHLHRMLHRDSTISNESSQSCSSGR QNLRLQSDSSSSTQVTF >ENSMUSP00000114932.1 pep:known chromosome:GRCm38:5:113266287:113310697:-1 gene:ENSMUSG00000042216.13 transcript:ENSMUST00000154248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm1 description:small G protein signaling modulator 1 [Source:MGI Symbol;Acc:MGI:107320] MASVPAEAETRQRLLRTVKKEVKQIMEEAVTRKFVHEDSSHIISFCAAVEACVLHGLRRR AAGFLRSNKIAALFMKVGKGFPPAEELSRKVQELEQLIESARNQIQGLQENVRKLPKLPN LSPLAIKHLWIRTALFERVLDKIVHYLVENSSKYYEKEALLMDPVDGPILASLLVGPCAL EYTKMKTADHFWTDPSADELVQRHRIHSSHLRQDSPTKRPALCIQKRHSSGSMDDRPSIS ARDYVESLHQNSRATLLYGKNNVLVQPRDDMEAVPGYLSLHQTADVMTLKWTPNQLMNGS VGDLDYEKSVYWDYAVTIRLEEIVYLHCHQQVDSGGTVVLVSQDGIQRPPFRFPKGGHLL QFLSCLENGLLPHGQLDPPLWSQRGKGKVFPKLRKRSPQGSSESTSSDKEDDEATDYVFR IIYPGTQSEFVALDLMGSPRPVSIGPAWMMLAAGQSMLVVAKGLQWAQTRGCLTIPTRSV KEQPPMPQDLMDVSMNNLPPLWQPSPRKSSCSSCSQSGSADGGSTNGCNHERAPLKLLCD NMKYQILSRAFYGWLAYCRHLSTVRTHLSALVNHMIVSPDLPCDAGQGLTASIWEKYIQD STTYPEQELLRLIYYGGVQPEIRRAVWPFLLGHYQFGMTEMERKEVDEQIHACYAQTMSE WLGCEAIVRQRERESHAAALAKCSSGASLDSHLHRMLHRDSTISNE >ENSMUSP00000107943.1 pep:known chromosome:GRCm38:5:113273952:113280587:-1 gene:ENSMUSG00000042216.13 transcript:ENSMUST00000112324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm1 description:small G protein signaling modulator 1 [Source:MGI Symbol;Acc:MGI:107320] MLGQVGLGDLWLPTVSLTSPSSVYWDYAVTIRLEEIVYLHCHQQVDSGGTVVLVSQDGIQ RPPFRFPKGGHLLQFLSCLENGLLPHGQLDPPLWSQRGKGKVFPKLRKRSPQGSSESTSS DKEDDEATDYVFRIIYPGTQSEFVPQDLMDVSMNNLPPLWQPSPRKSSCSSCSQSGSADG GSTNGCNHERAPLKLLCDNMKYQILSRAFYGCECTSPILLLSARSIYQVPRAPGMFPVPR AKRTKVLVAGTPLRGQD >ENSMUSP00000030088.5 pep:known chromosome:GRCm38:4:62480053:62497298:1 gene:ENSMUSG00000028392.15 transcript:ENSMUST00000030088.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bspry description:B-box and SPRY domain containing [Source:MGI Symbol;Acc:MGI:2177191] MSADVSGTESGSESGPEPEPGPEPGPESRPESRPKPGPGPEPRPESGPEPGPRSGLRRGP KQGSERSQLCPEHFEPLSWFCLSERRPVCATCAGFGGRCHRHRIRRAEEHAEELRNKIVD QCERLQLQSAGISKYMAEVLQGKNQKAVVMASAARDLIIQRLSLVRSLCESEEQRLLEQV HGEEERAHQSILTQRAHWVDAVQKLDTLRTNMVDMITHLDDLQLIQREQEIFERAEEAEG ILDPQDSEKLSFNEKCAWSPLLTQLWAASVLGSLSGVEEVLIDERTVSPLLHLSEDRRTL TFIAKKSKVCSDEPERFDHWPNALAATAFQTGLHAWIVNVKHSCAYKVGVASDQLPRKGS GNDCRLGHNAFSWVFSRYDQEFCFSHNGYHEPLPLLRCPAQLGMLLDLQAGELVFYEPAS GTVLHIHRASFPQVLFPVFAVADQLISIVRGPLATVRLDSPPHHPCSIRRTTCQDTVGQN VVVGVW >ENSMUSP00000103073.3 pep:known chromosome:GRCm38:4:62480053:62497298:1 gene:ENSMUSG00000028392.15 transcript:ENSMUST00000107449.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bspry description:B-box and SPRY domain containing [Source:MGI Symbol;Acc:MGI:2177191] MSADVSGTESGSESGPEPEPGPEPGPESRPESRPKPGPGPEPRPESGPEPGPRSGLRRGP KQGSERSQLCPEHFEPLSWFCLSERRPVCATCAGFGGRCHRHRIRRAEEHAEELRNKIVD QCERLQLQSAGISKYMAEVLQGKNQKAVVMASAARDLIIQRLSLVRSLCESEEQRLLEQV HGEEERAHQSILTQRAHWVDAVQKLDTLRTNMVDMITHLDDLQLIQREQEIFERAEEAEG ILDPQDSEKLSFNEKCAWSPLLTQLWAASVLGSLSGVEEVLIDERTVSPLLHLSEDRRTL TFIAKKSKVCSDEPERFDHWPNALAATAFQTGLHAWIVNVKHSCAYKVGVASDQLPRKGS GNDCRLGHNAFSWVFSRYDQEFCFSHNGYHEPLPLLRCPAQLGMLLDLQAGELVFYEPAS GTVLHIHRASFPQVLFPVFAVADQLISIVRGPLATVRLDSPPHHPCRSGHASR >ENSMUSP00000119504.1 pep:known chromosome:GRCm38:4:62480089:62486419:1 gene:ENSMUSG00000028392.15 transcript:ENSMUST00000132237.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bspry description:B-box and SPRY domain containing [Source:MGI Symbol;Acc:MGI:2177191] MSADVSGTESGSESGPEPEPGPEPGPESRPESRPKPGPGPEPRPESGPEPGPRSGLRRGP KQGSERSQLCPEHFEPLSWFCLSERRPVCATCAGFGGRCHRHRIRRAEEHAEELRITELH VQP >ENSMUSP00000029877.8 pep:known chromosome:GRCm38:4:15917240:15945507:-1 gene:ENSMUSG00000028223.8 transcript:ENSMUST00000029877.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Decr1 description:2,4-dienoyl CoA reductase 1, mitochondrial [Source:MGI Symbol;Acc:MGI:1914710] MALLGRAFFAGVSRLPCDPGPQRFFSFGTKTLYQSKDAPQSKFFQPVLKPMLPPDAFQGK VAFITGGGTGLGKAMTTFLSTLGAQCVIASRNIDVLKATAEEISSKTGNKVHAIRCDVRD PDMVHNTVLELIKVAGHPDVVINNAAGNFISPSERLTPNGWKTITDIVLNGTAYVTLEIG KQLIKAQKGAAFLAITTIYAESGSGFVMPSSSAKSGVEAMNKSLAAEWGRYGMRFNIIQP GPIKTKGAFSRLDPTGRFEKEMIDRIPCGRLGTMEELANLATFLCSDYASWINGAVIRFD GGEEVFLSGEFNSLKKVTKEEWDIIEGLIRKTKGS >ENSMUSP00000116574.1 pep:known chromosome:GRCm38:10:127759787:127792694:1 gene:ENSMUSG00000099009.1 transcript:ENSMUST00000128247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28046 description:predicted gene, 28046 [Source:MGI Symbol;Acc:MGI:5547782] MWLYLVALVGLWTLLRFFRERQVVSHLQDKYVFITGCDSGFGNLLARQLDRRGMRVLAAC LTEKGAEELRNKTSDRLETVILDVTKTESIVAATQWVKERVGNRGLWGLVNNAGISIPSG PNEWMKKQDFAHVLDVNLLGLIEVTLSMLPLVRKARGRVINVASVLGRVSLCGGAYCISK YGVEAFSDSLRRELSYFGVKVAIIEPGFFLTGVTSSARLCSNTQMLWDQTSSEIREIYGE KYLASYLKRLNELDKRCNKDLSLVTDCMEHALTACHPRTRYSAGWDAKLFYLPLSYLPTF LVDALLYWTSLKPEKAL >ENSMUSP00000055637.6 pep:known chromosome:GRCm38:4:129513581:129515985:1 gene:ENSMUSG00000047945.6 transcript:ENSMUST00000062356.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marcksl1 description:MARCKS-like 1 [Source:MGI Symbol;Acc:MGI:97143] MGSQSSKAPRGDVTAEEAAGASPAKANGQENGHVRSNGDLTPKGEGESPPVNGTDEAAGA TGDAIEPAPPSQEAEAKGEVAPKETPKKKKKFSFKKPFKLSGLSFKRNRKEGGGDSSASS PTEEEQEQGEMSACSDEGTAQEGKAAATPESQEPQAKGAEASAASKEGDTEEEAGPQAAE PSTPSGPESGPTPASAEQNE >ENSMUSP00000028932.3 pep:known chromosome:GRCm38:2:148789372:148793546:1 gene:ENSMUSG00000027443.10 transcript:ENSMUST00000028932.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst12 description:cystatin 12 [Source:MGI Symbol;Acc:MGI:1916612] MLWKSVLSVALIVLGIHDCSFKFLEIDKNEEEFAISVEHVVFHFNENQDDDFAYKFLRVR RSLRQKYTLKYLVDLEMGRTLCGKYDEDIDNCPLQEGPGERKVRCTYIVETEAWVTKFTI LNSTCVQT >ENSMUSP00000126008.1 pep:known chromosome:GRCm38:2:148789361:148793437:1 gene:ENSMUSG00000027443.10 transcript:ENSMUST00000168443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst12 description:cystatin 12 [Source:MGI Symbol;Acc:MGI:1916612] MYSQLSVPTLKTAMLWKSVLSVALIVLGIHDCSFKFLEIDKNEEEFAISVEHVVFHFNEN QDDDFAYKFLRVRRSLRQKYTLKYLVDLEMGRTLCGKYDEDIDNCPLQEGPGERKVRCTY IVETEAWVTKFTILNSTCVQT >ENSMUSP00000073322.5 pep:known chromosome:GRCm38:10:127759721:127768297:1 gene:ENSMUSG00000089789.1 transcript:ENSMUST00000073639.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh1 description:retinol dehydrogenase 1 (all trans) [Source:MGI Symbol;Acc:MGI:1195275] MWLYLVALVGLWTLLRFFRERQVVSHLQDKYVFITGCDSGFGNLLARQLDRRGMRVLAAC LTEKGAEELRNKTSDRLETVILDVTKTESIVAATQWVKERVGNRGLWGLVNNAGISTPSG PNEWMKKQDFARVLDVNLLGMIEVTLSMLPLVRKARGRVVNVSSVMGRMSFFGGGYCISK YGVEAFSDSLRRELSYFGVKVAIIEPGGFKTCVTSSDRLSSNTKMIWDKASSEVKEIYGE KFLLFYLKNLNELDKRCNKDLSVVTDCMEHALTACHPRTRYSAGWDAKFLFLPLSYLPTF LVDALLYWTSMKPEKAL >ENSMUSP00000032663.8 pep:known chromosome:GRCm38:7:43634707:43649295:1 gene:ENSMUSG00000030472.9 transcript:ENSMUST00000032663.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam18 description:carcinoembryonic antigen-related cell adhesion molecule 18 [Source:MGI Symbol;Acc:MGI:1919681] MDFSRPSFSPWRWLTLVASLLTCGICQASGQIFISPDSLLGVEKYRTILTLENVPEDVLE YSWYRGKDNSTENMIFSYKPPNTRHPGPSYSGRENVTRAGSLVVRMSAVNDTGYYTVEVD TSNETQRATGWLQIVKLRSNPGISANTSALVEGMDSVVAKCLTNSSNISWYVNFVPTSGS NRMTISPDGKTLIIHRVSRYDHTLQCAIEDVPEILQKSELIQLTVAYGPDYVSLWTQPYF FAGVLTADIGSSVQLECNCFSKPEPRYHWIHNGSFLSIPENNMTLPSLSWEQMGSYRCVV ENPETQLTFYRDVTIQPPRPPLPTVNRELYIPGPLVIFLILLTSLGGAFVCRVLVYSLFQ SCSRGKTCHKCPWQTN >ENSMUSP00000139179.1 pep:known chromosome:GRCm38:X:37804842:37808876:1 gene:ENSMUSG00000095180.8 transcript:ENSMUST00000184866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox5 description:reproductive homeobox 5 [Source:MGI Symbol;Acc:MGI:97538] IQGMEAEGSSRKVTRLLRLGVKEDSEEQHDVKAEAFFQAGEGRDEQGAQGQPGVGAVGTE GEGEELNGGKGHFGPGAPGPMGDGDKDSGTRAGGVEQEQNEPVAEGTESQENGNPGGRQM PLQGSRFAQHRLRELESILQRTNSFDVPREDLDRLMDACVSRVQNWFKIRRAAARRNRRR ATPVPEHFRGTFECPACRGVRWGERCPFATPRF >ENSMUSP00000137526.1 pep:known chromosome:GRCm38:X:37804851:37808764:1 gene:ENSMUSG00000095180.8 transcript:ENSMUST00000179626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox5 description:reproductive homeobox 5 [Source:MGI Symbol;Acc:MGI:97538] MEAEGSSRKVTRLLRLGVKEDSEEQHDVKAEAFFQAGEGRDEQGAQGQPGVGAVGTEGEG EELNGGKGHFGPGAPGPMGDGDKDSGTRAGGVEQEQNEPVAEGTESQENGNPGGRQMPLQ GSRFAQHRLRELESILQRTNSFDVPREDLDRLMDACVSRVQNWFKIRRAAARRNRRRATP VPEHFRGTFECPACRGVRWGERCPFATPRF >ENSMUSP00000127778.1 pep:known chromosome:GRCm38:11:96133786:96165451:1 gene:ENSMUSG00000038756.13 transcript:ENSMUST00000167258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll6 description:tubulin tyrosine ligase-like family, member 6 [Source:MGI Symbol;Acc:MGI:2683461] MLQCLTSESEEGAEEREESSTEDLEELKEFVTLAFVRENTQKRLQNAQQHGKKKRKKKRL VINLSNCRYDSVRRAAQQYGLREAGDNDDWTLYWTDYSVSLERVMEMKSYQKINHFPGMS EICRKDLLARNMSRMLKLFPKDFHFFPRTWCLPADWGDLQTYSRTRKNKTYICKPDSGCQ GRGIFITRSVKEIKPGEDMICQLYISKPFIIDGFKFDLRVYVLVTSCDPLRVFVYNEGLA RFATTSYSHPNLDNLDEICMHLTNYSINKHSSNFVQDAFSGSKRKLSTFNSYMKTHGYDV EQIWRGIEDVIIKTLISAHPVIKHNYHTCFPSHTLNSACFEILGFDILLDRKLKPWLLEV NHSPSFSTDSKLDKEVKDSLLYDALVLINLGNCDKKKVLEEERQRGRFLQQCPNREIRLE EVKGFQAMRLQKTEEYEKKNCGGFRLIYPGLNLEKYDKFFQDNSSLFQNTVASRARELYA RQLIQELRQKQEKKVFLKKARKEETQGESAGEQARDKVVRLQRQRQQPKCKTVATCPPKQ SLHPVTLVSCTSGLLLNIRGLKKGEISESLEQKDTKEAMLIPCKPVSARNYSSVPDLRSA NPSCFEPEFHVPNAKVKEVKSAFMVNIESTAQPITSVESSRDATAPISTSLESLASMSLS TSPECSSPESVHMVSYNHKQQKASFHKPMQEKKSKPLMFSKSRHLDLNCTSMKNDINRQY LMSEILQKVQMKKKRPLFPAPKSQYPTLSKERCPHSRSSSRKKEMNSPSVFVLQASHSRA ESLNDLLVVATQARLDPRPSRSHSGTTTRDSSTQDPKHTATA >ENSMUSP00000103307.1 pep:known chromosome:GRCm38:11:96134219:96165451:1 gene:ENSMUSG00000038756.13 transcript:ENSMUST00000107680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll6 description:tubulin tyrosine ligase-like family, member 6 [Source:MGI Symbol;Acc:MGI:2683461] MEMKSYQKINHFPGMSEICRKDLLARNMSRMLKLFPKDFHFFPRTWCLPADWGDLQTYSR TRKNKTYICKPDSGCQGRGIFITRSVKEIKPGEDMICQLYISKPFIIDGFKFDLRVYVLV TSCDPLRVFVYNEGLARFATTSYSHPNLDNLDEICMHLTNYSINKHSSNFVQDAFSGSKR KLSTFNSYMKTHGYDVEQIWRGIEDVIIKTLISAHPVIKHNYHTCFPSHTLNSACFEILG FDILLDRKLKPWLLEVNHSPSFSTDSKLDKEVKDSLLYDALVLINLGNCDKKKVLEEERQ RGRFLQQCPNREIRLEEVKGFQAMRLQKTEEYEKKNCGGFRLIYPGLNLEKYDKFFQDNS SLFQNTVASRARELYARQLIQELRQKQEKKVFLKKARKEETQGESAGEQARDKVVRLQRQ RQQPKCKTVATCPPKQSLHPVTLVSCTSGLLLNIRGLKKGEISESLEQKDTKEAMLIPCK PVSARNYSSVPDLRSANPSCFEPEFHVPNAKVKEVKSAFMVNIESTAQPITSVESSRDAT APISTSLESLASMSLSTSPECSSPESVHMVSYNHKQQKASFHKPMQEKKSKPLMFSKSRH LDLNCTSMKNDINRQYLMSEILQKVQMKKKRPLFPAPKSQYPTLSKERCPHSRSSSRKKE MNSPSVFVLQASHSRAESLNDLLVVATQARLDPRPSRSHSGTTTRDSSTQDPKHTATA >ENSMUSP00000135193.1 pep:known chromosome:GRCm38:19:8774354:8786316:-1 gene:ENSMUSG00000003680.15 transcript:ENSMUST00000176610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6l description:TAF6-like RNA polymerase II, p300/CBP-associated factor (PCAF)-associated factor [Source:MGI Symbol;Acc:MGI:2444957] MQSSTGAMSEREERRFVEIPRESVRLMAESTGLELSDEVAALLAEDVCYRLREATQNSSQ FMKHTKRRKLTVEDFNRALRWSSVEAVCGYGSQEALPLRPAREGDLYFPEDREVSLVELA LATNIPKGCAETAVRVHVSYLDGKGNLAPQGSVPSAVSSLTDDLLKYYQQVTRAVLGDDP QLMKVALQDLQTNSKIAALLPYFVYVVSGVKSVSHDLEQLHRLLQVARSLIRNPHLCLGP YVRSLVGSVLYCVLEPLAASINPLNDHWTLRDGAALLLSHIFWTHGDLVSGLYQQILLSL QKVLTDPVRPLCSHYGAVVGLHALGWKAVERVLYPHLPTYWTNLQAVLDDYSVSNAQVKA DGHKVYGAILVAVERLLKMKAQAAEPNRGGLGGRGYRPAEDLPWDSLLLQESPPGGSSET GFGSGLPPPSGVAGPEDPSSLTLADIYRELYSFFGDSLATRFGTGQPAPTAPRPPGDKKE PAAAPDSVRKMPQLTASAVVSPQGDESPCGGTLATATAASESRPLPRVHRARGAPRQQGP GAGTRDVFQKSRFAPRGAPHFRFIIAGRQAGRRCRGRLFQTAFPAPYGPSPASRYVQKLP MIGRTGRPARRWALSDYSLYLPL >ENSMUSP00000135220.1 pep:known chromosome:GRCm38:19:8774492:8786257:-1 gene:ENSMUSG00000003680.15 transcript:ENSMUST00000177216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6l description:TAF6-like RNA polymerase II, p300/CBP-associated factor (PCAF)-associated factor [Source:MGI Symbol;Acc:MGI:2444957] MQSSTGAMSEREERRFVEIPRESVRLMAESTGLELSDEVAALLAEDVCYRLREATQNSSQ FMKHTKRRKLTVEDFNRALRWSSVEAVCGYGSQEALPLRPAREGDLYFPEDREVSLVELA LATNIPKGCAETAVRVHVSYLDGKGNLAPQGSVPSAVSSLTDDLLKYYQQVTRAVLGDDP QLMKVALQDLQTNSKIAALLPYFVYVVSGVKSVSHDLEQLHRLLQVARSLIRNPHLCLGP YVRSLVGSVLYCVLEPLAASINPLNDHWTLRDGAALLLSHIFWTHGDLVSGLYQQILLSL QKVLTDPVRPLCSHYGAVVGLHALGWKAVERVLYPHLPTYWTNLQAVLDDYSVSNAQVKA DGHKVYGAILVAVERLLKMKAQAAEPNRGGLGGRGYRPAEDLPWDSLLLQESPPGGSSET GFGSGLPPPSGVAGPEDPSSLTLADIYRELYSFFGDSLATRFGTGQPAPTAPRPPGDKKE PAAAPDSVRKMPQLTASAVVSPQGDESPCGGTLATATAASESRPLPRVHRARGAPRQQGP GAGTRDVFQKSRFAPRGAPHFRFIIAGRQAGRRCRGRLFQTAFPAPYGPSPASRYVQKLP MIGRTGRPARRWALSDYSLYLPL >ENSMUSP00000135028.1 pep:known chromosome:GRCm38:19:8774494:8786316:-1 gene:ENSMUSG00000003680.15 transcript:ENSMUST00000177056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6l description:TAF6-like RNA polymerase II, p300/CBP-associated factor (PCAF)-associated factor [Source:MGI Symbol;Acc:MGI:2444957] MSEREERRFVEIPRESVRLMAESTGLELSDEVAALLAEDVCYRLREATQNSSQFMKHTKR RKLTVEDFNRALRWSSVEAVCGYGSQEALPLRPAREGDLYFPEDREVSLVELALATNIPK GCAETAVRVHVSYLDGKGNLAPQGSVPSAVSSLTDDLLKYYQQVTRAVLGDDPQLMKVAL QDLQTNSKIAALLPYFVYVVSGVKSVSHDLEQLHRLLQVARSLIRNPHLCLGPYVRSLVG SVLYCVLEPLAASINPLNDHWTLRDGAALLLSHIFWTHGDLVSGLYQQILLSLQKVLTDP VRPLCSHYGAVVGLHALGWKAVERVLYPHLPTYWTNLQAVLDDYSVSNAQVKADGHKVYG AILVAVERLLKMKAQAAEPNRGGLGGRGYRPAEDLPWDSLLLQESPPGGSSETGFGSGLP PPSGVAGPEDPSSLTLADIYRELYSFFGDSLATRFGTGQPAPTAPRPPGDKKEPAAAPDS VRKMPQLTASAVVSPQGDESPCGGTLATATAASESRPLPRVHRARGAPRQQGPGAGTRDV FQKSRFAPRGAPHFRFIIAGRQAGRRCRGRLFQTAFPAPYGPSPASRYVQKLPMIGRTGR PARRWALSDYSLYLPL >ENSMUSP00000135090.1 pep:known chromosome:GRCm38:19:8774496:8786417:-1 gene:ENSMUSG00000003680.15 transcript:ENSMUST00000176496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6l description:TAF6-like RNA polymerase II, p300/CBP-associated factor (PCAF)-associated factor [Source:MGI Symbol;Acc:MGI:2444957] MQSSTGAMSEREERRFVEIPRESVRLMAESTGLELSDEVAALLAEDVCYRLREATQNSSQ FMKHTKRRKLTVEDFNRALRWSSVEAVCGYGSQEALPLRPAREGDLYFPEDREVSLVELA LATNIPKGCAETAVRVHVSYLDGKGNLAPQGSVPSAVSSLTDDLLKYYQQVTRAVLGDDP QLMKVKSVSHDLEQLHRLLQVARSLIRNPHLCLGPYVRSLVGSVLYCVLEPLAASINPLN DHWTLRDGAALLLSHIFWTHGDLVSGLYQQILLSLQKVLTDPVRPLCSHYGAVVGLHALG WKAVERVLYPHLPTYWTNLQAVLDDYSVSNAQVKADGHKVYGAILVAVERLLKMKAQAAE PNRGGLGGRGYRPAEDLPWDSLLLQESPPGGSSETGFGSGLPPPSGVAGPEDPSSLTLAD IYRELYSFFGDSLATRFGTGQPAPTAPRPPGDKKEPAAAPDSVRKMPQLTASAVVSPQGD ESPCGGTLATATAASESRPLPRVHRARGAPRQQGPGAGTRDVFQKSRFAPRGAPHFRFII AGRQAGRRCRGRLFQTAFPAPYGPSPASRYVQKLPMIGRTGRPARRWALSDYSLYLPL >ENSMUSP00000010249.5 pep:known chromosome:GRCm38:19:8772522:8774467:-1 gene:ENSMUSG00000003680.15 transcript:ENSMUST00000010249.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6l description:TAF6-like RNA polymerase II, p300/CBP-associated factor (PCAF)-associated factor [Source:MGI Symbol;Acc:MGI:2444957] MALPWLQRVELLLFTAAFLCGALAAATLTRTQGSFGGNCPLYGVAALNGSSLALLGPSAP SLCYFVAGASGILALYCLLLLFFWVYSSCIEDSHRGSIGLRIALAISATAIFLILVSACI LRFGTNSFCNSIISLNLTISCSEAQKTSWTPSGTAVQFYSNLHTAETSSWVNLILWCLAL LLQAMQCKFKATSYQPQERGDQEWSSETDALVGHHQSHS >ENSMUSP00000140136.1 pep:known chromosome:GRCm38:19:8774529:8783999:-1 gene:ENSMUSG00000003680.15 transcript:ENSMUST00000189739.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6l description:TAF6-like RNA polymerase II, p300/CBP-associated factor (PCAF)-associated factor [Source:MGI Symbol;Acc:MGI:2444957] CSSTGAMSEREERRFVEIPRESVRLMAESTGLELSDEVAALLAEDVCYRLREATQNSSQF MKHTKRRKLTVEDFNRALRWSSVEAVCGYGSQEALPLRPAREGDLYFPEDREVSLVELAL ATNIPKGCAETAVRVHVSYLDGKGNLAPQGSVPSAVSSLTDDLLKYYQQVTRAVLGDDPQ LMKVKSVSHDLEQLHRLLQVARSLIRNPHLCLGPYVRSLVGSVLYCVLEPLAASINPLND HWTLRDGAALLLSHIFWTHGDLVSGLYQQILLSLQKVLTDPVRPLCSHYGAVVGLHALGW KAVERVLYPHLPTYWTNLQAVLDDYSVSNAQVKADGHKVYGAILVAVERLLKMKAQAAEP NRGGLGGRGYRPAEDLPWDSLLLQESPPGGSSETGFGSGLPPPSGVAGPEDPSSLTLADI YRELYSFFGDSLATRFGTGQPAPTAPRPPGDKKEPAAAPDSVRKMPQLTASAVVSPQGDE SPCGGTLATATAASESRPLPRVHRARGAPRQQGPGAGTRDVFQKSRFAPRGAPHFRFIIA GRQAGRRCRGRLFQTAFPAPYGPSPASRYVQKLPMIGRTGRPARRWALSDYSLYLPL >ENSMUSP00000003777.4 pep:known chromosome:GRCm38:19:8774529:8783999:-1 gene:ENSMUSG00000003680.15 transcript:ENSMUST00000003777.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6l description:TAF6-like RNA polymerase II, p300/CBP-associated factor (PCAF)-associated factor [Source:MGI Symbol;Acc:MGI:2444957] CSSTGAMSEREERRFVEIPRESVRLMAESTGLELSDEVAALLAEDVCYRLREATQNSSQF MKHTKRRKLTVEDFNRALRWSSVEAVCGYGSQEALPLRPAREGDLYFPEDREVSLVELAL ATNIPKGCAETAVRVHVSYLDGKGNLAPQGSVPSAVSSLTDDLLKYYQQVTRAVLGDDPQ LMKVALQDLQTNSKIAALLPYFVYVVSGVKSVSHDLEQLHRLLQVARSLIRNPHLCLGPY VRSLVGSVLYCVLEPLAASINPLNDHWTLRDGAALLLSHIFWTHGDLVSGLYQQILLSLQ KVLTDPVRPLCSHYGAVVGLHALGWKAVERVLYPHLPTYWTNLQAVLDDYSVSNAQVKAD GHKVYGAILVAVERLLKMKAQAAEPNRGGLGGRGYRPAEDLPWDSLLLQESPPGGSSETG FGSGLPPPSGVAGPEDPSSLTLADIYRELYSFFGDSLATRFGTGQPAPTAPRPPGDKKEP AAAPDSVRKMPQLTASAVVSPQGDESPCGGTLATATAASESRPLPRVHRARGAPRQQGPG AGTRDVFQKSRFAPRGAPHFRFIIAGRQAGRRCRGRLFQTAFPAPYGPSPASRYVQKLPM IGRTGRPARRWALSDYSLYLPL >ENSMUSP00000120523.1 pep:known chromosome:GRCm38:10:127776395:127783038:1 gene:ENSMUSG00000056148.8 transcript:ENSMUST00000136223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh9 description:retinol dehydrogenase 9 [Source:MGI Symbol;Acc:MGI:2143528] MWLFLVALVGLWTLLCFFRERQVVSYLQDKYVFITGCDSGFGNLLARQLDRRGMRVLAAC LTEKGAEELRNKTSDRLETVILDVTKTESIVTATQWVKERVGNRGKVHSYLAFGPPPGRR >ENSMUSP00000057732.6 pep:known chromosome:GRCm38:10:127776405:127792697:1 gene:ENSMUSG00000056148.8 transcript:ENSMUST00000052652.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh9 description:retinol dehydrogenase 9 [Source:MGI Symbol;Acc:MGI:2143528] MWLFLVALVGLWTLLCFFRERQVVSYLQDKYVFITGCDSGFGNLLARQLDRRGMRVLAAC LTEKGAEELRNKTSDRLETVILDVTKTESIVTATQWVKERVGNRGLWGLVNNAGISIPSG PNEWMKKQDFAHVLDVNLLGLIEVTLSMLPLVRKARGRVINVASVLGRVSLCGGAYCISK YGVEAFSDSLRRELSYFGVKVAIIEPGFFLTGVTSSARLCSNTQMLWDQTSSEIREIYGE KYLASYLKRLNELDKRCNKDLSLVTDCMEHALTACHPRTRYSAGWDAKLFYLPLSYLPTF LVDALLYWTSLKPEKAL >ENSMUSP00000032843.7 pep:known chromosome:GRCm38:7:90467438:90476001:-1 gene:ENSMUSG00000030614.8 transcript:ENSMUST00000032843.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem126b description:transmembrane protein 126B [Source:MGI Symbol;Acc:MGI:1915722] MAASQRPSWSESKVAGVVQEGNREAPQDIKMALYKHGQLIPSLGDAKFRSPIISEIIEKK FEHYRNDKTLNIHGTLVFGTSSSLSGIMANLVFRNSFKVKYEALKTYASLTTLPVLATIV SYKLFVTDALQSGDISKESCVLRSALIGMACGVSYPSALAFYKNGRLAVKYQTVPLPPKG RVMLHWLLLCQTGMKAMAIPLFFQIVMGAFTGLHHYNICEKPRARLVPDD >ENSMUSP00000139937.1 pep:known chromosome:GRCm38:8:110215665:110221214:1 gene:ENSMUSG00000046441.5 transcript:ENSMUST00000189685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtr2 description:cap methyltransferase 2 [Source:MGI Symbol;Acc:MGI:2384580] MSKRRKLPARQPACLETFSPDVLNDVSELFAKSFSYRKPLDNEWQLPAPTE >ENSMUSP00000060558.4 pep:known chromosome:GRCm38:8:110217989:110224486:1 gene:ENSMUSG00000046441.5 transcript:ENSMUST00000056972.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtr2 description:cap methyltransferase 2 [Source:MGI Symbol;Acc:MGI:2384580] MSKRRKLPARQPACLETFSPDVLNDVSELFAKSFSYRKPLDNEWQLPAPTESFSCGHLEF RALLDLKNSLNEVKNLLSDKKLDEWHRHTAFTNKAGKIISHVKKAVNAELCTQAWCKFQE ILCSFPLIPQEAFQSGRLNSLHLCEAPGAFIASLNHYLKSHRFPCEWSWVANSLNPYHEA NDNLRMITDDRLMANTLHCWYFGPDNTGDIMTLKYLTGLQDFLSGMSPIHLVTADGSFDC QGNPGEQEALVSSLHYCEAVTALITLGDGGSFVLKMFTLFEHCSVNLMYLLNCSFDQVHV FKPATSKAGNSEVYVVCLRYKGREAVQPLLSRMVLNFGTEMTRKALFPHHVIPKSFLERH EECCTFFHRYQLETISENIRLFESMGTGEQERLNNLRDCAVQYFMQKFQLKPLSRNHWLV KKSNIGCSMNTKWFGQRNKYFKTYNERKMMETLSWKDKVAKGYFNSWAEEHTVYHPGQNS LLEGTASSLEYQSWQVLEGKKLPKVKCSPFCDGEILKTLNEAIEKSLGEALSVDAKVSSK QQYRCCPVFSEESVLSELLRLTKCLPDEQGAEPSGPVKCLLVGSPAVCDLQMPAPLEIQL VESVELTAFSCSLLHDGDPAYQHLFLDCLLHSLRRLHRGDVMVLPILSCFTRFMAGLTFV LHGCFRFITFSCPTSLEPLRTCAVLLCIGYQNLPDAVFQFLQNVHDLLSKLLHPSAPRQI LQFLPMEALLQGTLLDFLWDLNAAIAKRHLHLIIQGERDQAIGSLEL >ENSMUSP00000080657.1 pep:known chromosome:GRCm38:7:108211583:108212527:-1 gene:ENSMUSG00000095929.1 transcript:ENSMUST00000081996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr487 description:olfactory receptor 487 [Source:MGI Symbol;Acc:MGI:3030321] MAFIYNGSQTTVTEFILLGLTDDPVLKVILFSIILCIYLVTVFGNLSTILLIGVSSKLHH PMYFFLSHLASVDMGLSSSVTPNMLVNFLTEKNTISYLGCGIQLSSAAFFGAVEFFLLAA MAYDRLVAICNPLLYSTKMSTQVCIQLVVGTYVGGFLNASFVTHFFFSFLFCGPNRVNHF FCDLSPMMELSCSDVSISEIVISFSAGSFTMTTLFVIVISYFYIVITILKMHSTEGRQKA FSTCMSHLTAVTLYYGTTIFIYVMPKSIYSRDQNKVVSLFYVVVIPVLNPLIYSLRNNEI KDALKRQFYRKTLL >ENSMUSP00000055799.6 pep:known chromosome:GRCm38:7:5350537:5360682:1 gene:ENSMUSG00000048398.7 transcript:ENSMUST00000056639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5065 description:predicted gene 5065 [Source:MGI Symbol;Acc:MGI:3643170] MAGLGANSDVMVKLDDGHLNNSLRSPVQAGVYFRGHIKGGMRPGKKVLVMGIVDHNPKSF AISLTCGDSEDPPADVAIELKVVFTNQQVFRNSCISGESDEENLAFPYFPFVPDQPFRME IFCQQPCFRVLVDGHHLFDFYHRIQTLSAIDSIKISGDLQITKLG >ENSMUSP00000146867.1 pep:known chromosome:GRCm38:7:5351208:5359965:1 gene:ENSMUSG00000048398.7 transcript:ENSMUST00000207531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5065 description:predicted gene 5065 [Source:MGI Symbol;Acc:MGI:3643170] MAGLGANSDVMVKLDDGHLNNSLRSPVQAGVYFRGHIKGGMRPGKKVLVMGIVDHNPKSF AISLTCGDSEDPPADVAIELKVVFTNQQVFRNSCISGESDEENLAFPYFPFVPDQPFRME IFCQQPCFRVLVDGHHLFDFYHRIQTLSAIDSIKISGDLQITKLG >ENSMUSP00000100830.1 pep:known chromosome:GRCm38:7:21843344:21844267:-1 gene:ENSMUSG00000095191.1 transcript:ENSMUST00000105195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5725 description:predicted gene 5725 [Source:MGI Symbol;Acc:MGI:3644396] MSAHGNSLKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVVRSTNLCSTCVLSVHQFVTLVP LNRGKGKLVLRASVTNMASYSSCSCWFFSVLSNIHIPIKFSGPQITDNNTDSKRKLFCST SGFSVGIVFLQFAYDATFMSIMVWTSVSMVLLLRRHRQRMQHILTPNQNPRGQAESKATH TILMLVFTFVSFYLLNCICIIVHALFMHSHFFVRLVSEILTAIFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000104648.2 pep:known chromosome:GRCm38:2:175789357:175801093:-1 gene:ENSMUSG00000078889.11 transcript:ENSMUST00000109020.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14288 description:predicted gene 14288 [Source:MGI Symbol;Acc:MGI:3706570] MDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSR SHGR >ENSMUSP00000121303.1 pep:known chromosome:GRCm38:2:175791243:175800961:-1 gene:ENSMUSG00000078889.11 transcript:ENSMUST00000123863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14288 description:predicted gene 14288 [Source:MGI Symbol;Acc:MGI:3706570] MDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSR SHGRHERSSSAEQHSEFIQCGKAFAYQS >ENSMUSP00000072735.1 pep:known chromosome:GRCm38:7:108255192:108256136:-1 gene:ENSMUSG00000096465.1 transcript:ENSMUST00000072968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr488 description:olfactory receptor 488 [Source:MGI Symbol;Acc:MGI:3030322] MAFLDNGNHTAVTEFILLGLTDDPFLRIVLFSIILCIYLVTVFGNLSTILLIRVSSQLHH PMYFFLSHLATVDLGISSSVTPSMLVNFLAERSTISYLGCGIQLSSAALFGTLECFLLAV MAYDRFMAICNPLLYSTKLSTRFCIQLVVGSYIGAFLNDSCYILSFAFFLFCGPNKVDHF FCDLSPMMELSCSDASVSGVVISFTAGSITMTTLIVIVISYFYILITILKMRSTEGRQKA FSTCTSHLTAVTLYYGTIIFIYVMPKSTYSRDQNKVVSLFYMVVIPMLNPLIYSLRNNEI KGALKKQFYRKTLL >ENSMUSP00000103681.1 pep:known chromosome:GRCm38:3:51388412:51396526:-1 gene:ENSMUSG00000037161.14 transcript:ENSMUST00000108046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgarp description:mitochondria localized glutamic acid rich protein [Source:MGI Symbol;Acc:MGI:1914999] MASESKLDFLGSSLEFLISASLRRMSSRKFPGTSGSNMIYYLVVGVTVSAGGYYTYKALT SKQVRRTEHVAEPKEQTKAELQPLPGEKEEHVAEAEQVCSEPGDTAVTEAESVDAEEVPE AAVVLPEESQASAPSEVPAEAAVVEASLSSSEPELKITEASLVETTESVPESTQEVESAA PDQDDVCNEGADTSQEGADTSQEGADTSQEGADTTKEEADNSKEAEGTTTEDPRSISEES AELEESPPLGSEPPAQPESQEEETQVTEETASPQG >ENSMUSP00000040703.5 pep:known chromosome:GRCm38:3:51388412:51396548:-1 gene:ENSMUSG00000037161.14 transcript:ENSMUST00000038154.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgarp description:mitochondria localized glutamic acid rich protein [Source:MGI Symbol;Acc:MGI:1914999] MYLRRAVSKTLALPRRAPPGPAPLGKDASLRRMSSRKFPGTSGSNMIYYLVVGVTVSAGG YYTYKALTSKQVRRTEHVAEPKEQTKAELQPLPGEKEEHVAEAEQVCSEPGDTAVTEAES VDAEEVPEAAVVLPEESQASAPSEVPAEAAVVEASLSSSEPELKITEASLVETTESVPES TQEVESAAPDQDDVCNEGADTSQEGADTSQEGADTSQEGADTTKEEADNSKEAEGTTTED PRSISEESAELEESPPLGSEPPAQPESQEEETQVTEETASPQG >ENSMUSP00000123126.2 pep:known chromosome:GRCm38:3:51388412:51396738:-1 gene:ENSMUSG00000037161.14 transcript:ENSMUST00000141156.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgarp description:mitochondria localized glutamic acid rich protein [Source:MGI Symbol;Acc:MGI:1914999] MQPLSDCWGARDCNSIRAGAVSKTLALPRRAPPGPAPLGKDASLRRMSSRKFPGTSGSNM IYYLVVGVTVSAGGYYTYKALTSKQVRRTEHVAEPKEQTKAELQPLPGEKEEHVAEAEQV CSEPGDTAVTEAESVDAEEVPEAAVVLPEESQASAPSEVPAEAAVVEASLSSSEPELKIT EASLVETTESVPESTQEVESAAPDQDDVCNEGADTSQEGADTSQEGADTSQEGADTTKEE ADNSKEAEGTTTEDPRSISEESAELEESPPLGSEPPAQPESQEEETQVTEETASPQG >ENSMUSP00000128652.5 pep:known chromosome:GRCm38:9:109645122:109664652:-1 gene:ENSMUSG00000094992.1 transcript:ENSMUST00000163839.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw25 description:F-box and WD-40 domain protein 25 [Source:MGI Symbol;Acc:MGI:3588266] MEIHLPSLPMLKILSYLDAYNLLQAAQVNKNWNALASSDVLWRKLCQRRWHYCDMVTLQL QGKETWKQFFIYRIWQEHAKTRAKPEDFTYKEIPVECGFYGYACYISGHGLTRNGQGKSV ICMVSSKNTISTWDIHKSVITWVSPVQPASINLLTTLPDMHIAVTVDIQSTIKLWDCHNR EALATNNLESPCKSLKAVISKDGPIVLVGDTLGNLYIFRIPDLHLISTVNVFPYGFDEID CSPQKKWVLLSKNYPHILPKVFYMSSLLRTSEFSAPVSTILEFSLCQGAFWTPRREDRIT LMSRSGFPQITKFETFDMKLEGIGNKVAVQGKLIASFFLPYYEESPNWIGVSDKDVIVCS THFFLLLFTINGLHLQTFQYCPETIVRLCVDPVHVIVTCNNGSLDVYAWEERSLLLRKCY RLQNKRPLPLYGFINNLLCDDVSIVQLMRDGHGPYSLIAYALNICS >ENSMUSP00000100771.3 pep:known chromosome:GRCm38:10:13005343:13009183:1 gene:ENSMUSG00000078348.4 transcript:ENSMUST00000105139.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3b5 description:splicing factor 3b, subunit 5 [Source:MGI Symbol;Acc:MGI:1913375] MTDRYTIHSQLEHLQSKYIGTGHADTTKWEWLVNQHRDSYCSYMGHFDLLNYFAIAENES KARVRFNLMEKMLQPSGPPADKPEEN >ENSMUSP00000134695.1 pep:known chromosome:GRCm38:17:33915899:33920415:1 gene:ENSMUSG00000024308.14 transcript:ENSMUST00000172619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tapbp description:TAP binding protein [Source:MGI Symbol;Acc:MGI:1201689] MRQGLATVVSVVSAGPEAIECWFVEDAGGGGLSKKPATLLLRHGPRGPPPRPDLDPKLYF KVDDPAGMLLAAFRRYPAGASAPHCEMSRFIPFPASAKWARSLSPEQNCPRALDGDWLL >ENSMUSP00000025161.7 pep:known chromosome:GRCm38:17:33919332:33929288:1 gene:ENSMUSG00000024308.14 transcript:ENSMUST00000025161.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tapbp description:TAP binding protein [Source:MGI Symbol;Acc:MGI:1201689] MKPLLLLVAVALGLATVVSVVSAGPEAIECWFVEDAGGGGLSKKPATLLLRHGPRGPPPR PDLDPKLYFKVDDPAGMLLAAFRRYPAGASAPHCEMSRFIPFPASAKWARSLSPEQNCPR ALDGDWLLVSVSSTLFSLSSLLRPQPEPLREPVVITMATVVLTVLTHNPAPRVQLGKDAV LDLRFAYAPSALEGSPSLDAGPPPFGLEWRRQHRGKGHLLLAATPGLAGRMPPAQEKATA FAAWDDDEPWGPWTGNGTFWLPAVKPSQEGVYLATVHLPYLQGQVSLELTVHKAPRVSLT PAPVVWAAPGEAPPELLCLASHFFPAEGLEVKWELRGGPGGSSRKVEGKTWLSTIRHHSD GSVSQSGHLQLPPVTAKQHGVHYVCRVYHSSLPASGRSADVTLEVAGFSGPSIEDGIGLF LSAFLLLGLLKVLGWLVAAYWTIPEVSKEKATAASLTIPRNSKKSQ >ENSMUSP00000047735.6 pep:known chromosome:GRCm38:4:132302197:132303385:-1 gene:ENSMUSG00000089687.2 transcript:ENSMUST00000040411.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab42 description:RAB42, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2441753] MEAAGCSYQFRIALLGDAGVGKTSLLRCYVAGARGAAEPDPEPTVGVEFYSRALQLPAGL RVKLQLWDTAGQECFRCITRSFYRNMVGVLLVFDVTNRESFEHIQAWHQEVVSTQGPDKV VFLLVGHKCDLNTRCVSSQEAEELAASLGMGFMETSAKSNCNVDLAFDTVTSAIEQALQQ GDIKLDKDWAGVRLLHRSPNPRSSSRKQDSGTCQC >ENSMUSP00000100029.2 pep:known chromosome:GRCm38:13:23760692:23761230:-1 gene:ENSMUSG00000060093.6 transcript:ENSMUST00000102964.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h4a description:histone cluster 1, H4a [Source:MGI Symbol;Acc:MGI:2448419] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000019896.4 pep:known chromosome:GRCm38:10:3540240:3554877:1 gene:ENSMUSG00000019762.4 transcript:ENSMUST00000019896.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iyd description:iodotyrosine deiodinase [Source:MGI Symbol;Acc:MGI:1917587] MFLLTPVLVAVVCILVVWVFKNADRNLEKKKEEAQVQPWVDEDLKDSTEDLQVEEDAEEW QEAEESVEHIPFSHTRYPEQEMRMRSQEFYELLNKRRSVRFISSEHVPMEVIENVIKAAG TAPSGAHTEPWTFVVVKDPDMKHKIREIIEEEEEINYMKRMGKRWVTDLKKLRTNWIKEY LDTAPVLILIFKQVHGFAANGKKKVHYYNEISVSIACGLLLAALQNAGLVTVTTTPLNCG PRLRVLLGRPSHEKLLVLLPVGYPSRDATVPDLKRKALDQIMVTV >ENSMUSP00000006701.5 pep:known chromosome:GRCm38:14:30825590:30876986:1 gene:ENSMUSG00000006526.11 transcript:ENSMUST00000006701.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem110 description:transmembrane protein 110 [Source:MGI Symbol;Acc:MGI:1921500] MQGPGGNVSRGLPSGPASTVASGAGRCESGALMHSFGIFLQGLLGVVAFSTLMLKRFREP KHERRPWRIWFLDTSKQAIGMLFIHFANVYLADLTEEDPCSLYLINFLLDATVGMLLIYV GVRAVGVLVEWQQWESLRFGEYGDPLQCGAWVGQCALYIVIMIFEKSVVFIVLLILQWKK VALLNPIENPDLKLAIVMLIVPFFVNAFMFWVVDNFLMRKGKTKAKLEERGANQDSRNGS KVRYRRAASHEESESEILISADDEMEESDAEEDLRRPVKKKHRFGLPV >ENSMUSP00000125796.1 pep:known chromosome:GRCm38:14:30825594:30874570:1 gene:ENSMUSG00000006526.11 transcript:ENSMUST00000164482.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem110 description:transmembrane protein 110 [Source:MGI Symbol;Acc:MGI:1921500] MQGPGGNVSRGLPSGPASTVASGAGRCESGALMHSFGIFLQGLLGVVAFSTLMRFHVLGS GQFPHEKGEDES >ENSMUSP00000045077.7 pep:known chromosome:GRCm38:7:5298547:5351035:-1 gene:ENSMUSG00000035177.8 transcript:ENSMUST00000045022.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp2 description:NLR family, pyrin domain containing 2 [Source:MGI Symbol;Acc:MGI:3041206] MEHFDPLGFNLKDVLRKLDKTELNNFKRTLRSCSLPDTMKQINKLTMDLANGAQLAEILT DHCPSAWIKRVTVQILEEINRVDLAELVVKQIEEAVLKVPEEKVSSKPREPSGTLTFPWN FVQGAKRPEDKQKEEWKTRYTAKWKQNFWPKCNKEIYVVTESYKTLLALCNPKIETPFAH AIVLHGPPGSGKTTMAKQLMLEWSESKQAQIFSCAFYISCREVNNTKPCTFAHLLSMDNP SWRDCVIRDLILGKEFLFVVDGFDELTFPAGALIRDLCGDWNTVKPVEVLLGSLLKRKMA PHATLLVTTRTQSLHQIFVMMDQPLLVETLGFLEQEKQEYFQKYFEDEEGEEEDKGEGKA LRALKEVRCNADLYQMASLPTACGIFCLCLELRMKKGEDLSLTCQTYTSMFLNFLCEVFS SETCEDHLNEEFQILFKKICILAANSLLEQVPILCEEDFLTLKLNLNNLHPMVCRHILFK DSSSTHCLSFICLGIQQLLAAIIFVQELGQESKGVSKYSIQNMLSREARLKNPDLSGLLP FVFGLLNETRIQELKTTFGCQISTEVKRKFLECESGENKPLLLLMNMQEILSCLYESQEE GFVKEAMVLFEDISLHLKTSTDLIHASFCLKNSQNLQTMSLKVEKAVFPENVAALESTAK HQRSPDEQRMLTFWTDFCDTFNSNKKLVFLDIHESFLNSSALEILCEKLPSASCCLQKVV LKNISPDDAYEKLCLIFNGYKTISHLILQGGNLDSMHHSLCEVLKNPACNLKFLSLGSCS TAAQKWDDFFPVLKVNQSLIFLDLTDNSLLDKSAKLLCNIWKEPKCILQRVSLENCQLTE ACCKDLSSVLMVSRTLTHLSLANNKLGDNGVKNLCESISCTECNLQTLVLWSCNITNAGC HYLSKMLKQTLSLKHLDLGLNRIGTKGAKFLCEALKNPKSKLKSLWLCGCSITPLNCQDF SETLRSNKSLNTLDLSQNVLGTDAIKTFCEALKLQICPLQMLRLKFDEAKPSIQNLIQEM KVSHPQLRISSDQVDLKNPPLPHFIF >ENSMUSP00000146451.1 pep:known chromosome:GRCm38:7:5298635:5317541:-1 gene:ENSMUSG00000035177.8 transcript:ENSMUST00000207685.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nlrp2 description:NLR family, pyrin domain containing 2 [Source:MGI Symbol;Acc:MGI:3041206] LGDNGVKNLCESISCTECNLQTLVLWSCNITNAGCHYLSKMLKQTLSLKHLDLGLNRIGT KGAKFLCEALKNPKSKLKSLWAVLPSL >ENSMUSP00000147102.1 pep:known chromosome:GRCm38:7:5298746:5322457:-1 gene:ENSMUSG00000035177.8 transcript:ENSMUST00000207520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp2 description:NLR family, pyrin domain containing 2 [Source:MGI Symbol;Acc:MGI:3041206] GYKTISHLILQGGNLDSMHHSLCEVLKNPACNLKFLSLGSCSTAAQKWDDFFPVLKVNQS LIFLDLTDNSLLDKSAKLLCNIWKEPKCILQRVSLWSCNITNAGCHYLSKMLKQTLSLKH LDLGLNRIGTKGAKFLCEALKNPKSKLKSLWLCGCSITPLNCQDFSETLRSNKSLNTLDL SQNVLGTDAIKTFCEALKLQICPLQMLRLKFDEAKPSIQNLIQEMKVSHPQLRISSDQVD LKNPPLPH >ENSMUSP00000097912.2 pep:known chromosome:GRCm38:14:101633766:101640686:-1 gene:ENSMUSG00000075486.9 transcript:ENSMUST00000100339.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd6 description:COMM domain containing 6 [Source:MGI Symbol;Acc:MGI:1913450] MEESGFREPVLDAKSEVTGQLIDFQWKLGMAVSSDSCRSLKYPYVAVMLKVADHSGQVSS KSIEMTIPQFQNFYKQFKEIAAVIETV >ENSMUSP00000131634.1 pep:known chromosome:GRCm38:14:101633767:101640471:-1 gene:ENSMUSG00000075486.9 transcript:ENSMUST00000168587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd6 description:COMM domain containing 6 [Source:MGI Symbol;Acc:MGI:1913450] MEESGFREPVLDAKSELIDFQWKLGMAVSSDSCRSLKYPYVAVMLKVADHSGQVSSKSIE MTIPQFQNFYKQFKEIAAVIETV >ENSMUSP00000114023.1 pep:known chromosome:GRCm38:4:147754199:147775833:-1 gene:ENSMUSG00000078495.10 transcript:ENSMUST00000122309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13157 description:predicted gene 13157 [Source:MGI Symbol;Acc:MGI:3651978] MSVSLVNTPQGLLTFKDVALYFSLEEWECLSFAQRTLYMDVMLENYNNLLFVENHCIHGK YGKVLGEESQYIVHEHVNIQEKSSKWDKLIKVILESPQCTPYKTNHSSLQYSNQKRLKPR NTKEVCKYNDSVNSVSLFSTISLNQGIHLQKKKHNRNAEFEKVFVSKHKVMVKRNNTGVN PYKCSEFDKYLTQREKLQSQQRIYHGKKPYRSSKSDKCFTHQIHLSIHQGIHAEEKIYKC SECDKCFTHKSHLNIHQRIHTGENPYKCSECDKCFKHKFSFSMHQRIHTGEKPYKCSECD KCFTQKSHLSVHQRIHTGEKPYKCSECDKCFTHKSHLNSHQRIHTGEKPYKCSECDKCFT KNGSLRIHQRIHTGENPYKCSECDKCFTHKSNLNIHQRIHTGEKPYKCSECDKCFTHKSH LNSHQRIHTGEKPYKCSECDKCFTRKFHLSIHQRIHTGENPYKCSECDKCFTQKSNLNIH QRIHTGEKPYKCSECDKCFTEKGSLRIHQRIHTGENPYKCSECDKCFTRKFHLSIHQKIH TGEKPYK >ENSMUSP00000101360.3 pep:known chromosome:GRCm38:4:147753974:147809788:-1 gene:ENSMUSG00000078495.10 transcript:ENSMUST00000105734.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13157 description:predicted gene 13157 [Source:MGI Symbol;Acc:MGI:3651978] MSVSLVNTPQGLLTFKDVALYFSLEEWECLSFAQRTLYMDVMLENYNNLLFVENHCIHGK YGKVLGEESQYIVHEHVNIQEKSSKWDKLIKVILESPQCTPYKTNHSSLQYSNQKRLKPR NTKEVCKYNDSVNSVSLFSTISLNQGIHLQKKKHNRNAEFEKVFVSKHKVMVKRNNTGVN PYKCSEFDKYLTQREKLQSQQRIYHGKKPYRSSKSDKCFTHQIHLSIHQGIHAEEKIYKC SECDKCFTHKSHLNIHQRIHTGENPYKCSECDKCFKHKFSFSMHQRIHTGEKPYKCSECD KCFTQKSHLSVHQRIHTGEKPYKCSECDKCFTHKSHLNSHQRIHTGEKPYKCSECDKCFT KNGSLRIHQRIHTGENPYKCSECDKCFTHKSNLNIHQRIHTGEKPYKCSECDKCFTHKSH LNSHQRIHTGEKPYKCSECDKCFTRKFHLSIHQRIHTGENPYKCSECDKCFTQKSNLNIH QRIHTGEKPYKCSECDKCFTEKGSLRIHQRIHTGENPYKCSECDKCFTRKFHLSIHQKIH TGEKPYK >ENSMUSP00000040377.9 pep:known chromosome:GRCm38:4:33245423:33248510:-1 gene:ENSMUSG00000040128.9 transcript:ENSMUST00000049357.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnrc1 description:proline-rich nuclear receptor coactivator 1 [Source:MGI Symbol;Acc:MGI:1917838] MTVVSVPQRDLALSGRLAPLGFLGALPMVNPAPPPLPRLPDPRALPPTLFLPHFLGGDGP CLAPAPRTTAPSGCTLAPAAAPRAAPKKRRKKKVRASPAGQLPSRFHQFQQHRPSLEGGR SPAPGPIVAQEERGLAATALLHRQPPLAKEVLKSKMGKSEKIALPHSQLVHGIHLCEQPK INRQKSKYNLPLTKITSAKRNESDFWQDSASSDRMQKQEKKSFKNTENIKSNHLKKSAFL TEVSQKENYAGAKFSDPPSPSVLPKPPSHWMGSTAENPSQSRELMAVHLKTLLKVQT >ENSMUSP00000104639.1 pep:known chromosome:GRCm38:2:175869219:175879656:1 gene:ENSMUSG00000078887.10 transcript:ENSMUST00000109011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6710 description:predicted gene 6710 [Source:MGI Symbol;Acc:MGI:3779623] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKDVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000104640.3 pep:known chromosome:GRCm38:2:175873101:175881248:1 gene:ENSMUSG00000078887.10 transcript:ENSMUST00000109012.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6710 description:predicted gene 6710 [Source:MGI Symbol;Acc:MGI:3779623] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKDVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKALKRRSDLQIHKRT HTGEKPYECNQCGKAFASSGDLQQHKRTHTGEKPYECKQCGKAFSECSHLRIHKRTHTGE KPYECKQCGKAFAHSSHLHKHERTHTGDKPYECNQCGKAFAVIYTLQMHKRTHTGDKPYE CNQCGKAFAVIYTLQMHKRTHTGDKPYECNQCGKAFAVIYTLQRHKQTHTGEKPYKCKQC GKAFTRSCHLRIHKRTHTGEKPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAF AHSSHLHKHERTHTGDKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAFITRR VLQIHKRTHTGEKPYECKQCGKAFASSSDLQKHKRTHSGAKPYECKQCGKAFAQSSHLRI HKQTHTGERPYECN >ENSMUSP00000036337.2 pep:known chromosome:GRCm38:4:62499008:62502276:-1 gene:ENSMUSG00000038422.2 transcript:ENSMUST00000037820.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd3 description:haloacid dehalogenase-like hydrolase domain containing 3 [Source:MGI Symbol;Acc:MGI:1919998] MAHRLQMRLLTWDVKDTLIKLRRPVGEEYASKARAHGVVVEDITVEQAFRQAYRAQSHNF PNYGLSRGLTSRQWWKDVVLHTFRLAGVPDAQAMTPVADQLYEDFSSPFTWQVLEGAEMT LKGCRKRGLKLAVVSNFDRRLEDILTGLGLREHFDFVLTSEAVGCPKPDPRIFREALQRA CVEPAVAAHVGDSYLCDYQGSQAVGMHSFLVAGSEPLDSAVRDSVPKEHILPSLSHLLPA LDLLEASSPMS >ENSMUSP00000089293.2 pep:known chromosome:GRCm38:13:23761853:23762386:-1 gene:ENSMUSG00000069265.2 transcript:ENSMUST00000091701.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h3a description:histone cluster 1, H3a [Source:MGI Symbol;Acc:MGI:2668828] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEACEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000113652.1 pep:known chromosome:GRCm38:11:113661319:113684155:-1 gene:ENSMUSG00000041629.7 transcript:ENSMUST00000120194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam104a description:family with sequence similarity 104, member A [Source:MGI Symbol;Acc:MGI:106351] MGRRGGDTGSGCGPGRPEGDSAPAATTRAAAVRAQTRAGGRGGRRDTAPTVRCRRGAAPR RSPSPAAMSERLRPRKRRRNGSDDDNHPPPQTKRSSRNPIFQDSWDTESSSSDSGGSSSS SSINSPDRASGPESSLSHTIPGSCPSTPQPMPEQSALCQGPYFHINQTLKEAHFHSLQHR GRPPT >ENSMUSP00000130309.1 pep:known chromosome:GRCm38:9:20468549:20478270:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000168095.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MAAPASSHGPSEDSGCLQERKIAAEMMLVDCLTDDQELVSFEDVIVDFTQEEWSSLNPDQ RNLYRDVMLENYQNLATGISSSNPV >ENSMUSP00000111224.2 pep:known chromosome:GRCm38:9:20468549:20492746:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000115562.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MAAPASSHGPSEDSGCLQERKIAAEMMLVDCLTDDQELVSFEDVIVDFTQEEWSSLNPDQ RNLYRDVMLENYQNLATVGYQLIKPSLISWLEQEEFSKGQKIVFPEWKLQLETKCSAFQQ EFLRGNISSRMQMQTGINRGRELCDGTQYGDFFSELSPLRTDMKTQPAQDNYGCSQYRKD FLMLQRKNCAGEKLSEFSQSEETGMTPVKEKIDTQEKGFECSDCGKSFMSQSHLQTHQRT HSGDKLYEWNECGRSFINSRLAVLIETLNAKKPHRCKECGKGYRYPAYLNIHMRTHTGEK PYECKECGKAFNYSNSFQIHGRTHTGEKPYVCSQCGKAFTQHSGLSIHVRSHTGDKPYGC KECGKAFLTSSRLIQHIRTHTGEKPFVCVKCGKAFAISSNLNGHLKLHAEEKTCECKICG KAFGYLSCLNNHMRTHNAKKSYTCKECGKAFNYSTHLKIHMRIHTGEKPYECKQCGKAFS HSTSFQIHERTHTGEKPYECKECGKAFICPSSFRIHEISHTHTEEKPYKCQQCGKAYSHP RSLRRHERIH >ENSMUSP00000130945.1 pep:known chromosome:GRCm38:9:20468549:20492746:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000167457.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MLENYQNLATVGYQLIKPSLISWLEQEEFSKGQKIVFPEWKLQLETKCSAFQQEFLRGNI SSRMQMQTGINRGRELCDGTQYGDFFSELSPLRTDMKTQPAQDNYGCSQYRKDFLMLQRK NCAGEKLSEFSQSEETGMTPVKEKIDTQEKGFECSDCGKSFMSQSHLQTHQRTHSGDKLY EWNECGRSFINSRLAVLIETLNAKKPHRCKECGKGYRYPAYLNIHMRTHTGEKPYECKEC GKAFNYSNSFQIHGRTHTGEKPYVCSQCGKAFTQHSGLSIHVRSHTGDKPYGCKECGKAF LTSSRLIQHIRTHTGEKPFVCVKCGKAFAISSNLNGHLKLHAEEKTCECKICGKAFGYLS CLNNHMRTHNAKKSYTCKECGKAFNYSTHLKIHMRIHTGEKPYECKQCGKAFSHSTSFQI HERTHTGEKPYECKECGKAFICPSSFRIHEISHTHTEEKPYKCQQCGKAYSHPRSLRRHE RIH >ENSMUSP00000127045.1 pep:known chromosome:GRCm38:9:20468708:20492742:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000169558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MDFLFPLPKCWDSRMAAPASSHGPSEDSGCLQERKIAAEMMLVDCLTDDQELVSFEDVIV DFTQEEWSSLNPDQRNLYRDVMLENYQNLATVGYQLIKPSLISWLEQEEFSKGQKIVFPE WKLQLETKCSAFQQEFLRGNISSRMQMQTGINRGRELCDGTQYGDFFSELSPLRTDMKTQ PAQDNYGCSQYRKDFLMLQRKNCAGEKLSEFSQSEETGMTPVKEKIDTQEKGFECSDCGK SFMSQSHLQTHQRTHSGDKLYEWNECGRSFINSRLAVLIETLNAKKPHRCKECGKGYRYP AYLNIHMRTHTGEKPYECKECGKAFNYSNSFQIHGRTHTGEKPYVCSQCGKAFTQHSGLS IHVRSHTGDKPYGCKECGKAFLTSSRLIQHIRTHTGEKPFVCVKCGKAFAISSNLNGHLK LHAEEKTCECKICGKAFGYLSCLNNHMRTHNAKKSYTCKECGKAFNYSTHLKIHMRIHTG EKPYECKQCGKAFSHSTSFQIHERTHTGEKPYECKECGKAFICPSSFRIHEISHTHTEEK PYKCQQCGKAYSHPRSLRRHERIH >ENSMUSP00000079250.6 pep:known chromosome:GRCm38:9:20469547:20492742:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000080386.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MAAPASSHGPSEDSGCLQERKIAAEMMLVDCLTDDQELVSFEDVIVDFTQEEWSSLNPDQ RNLYRDVMLENYQNLATVGYQLIKPSLISWLEQEEFSKGQKIVFPEWKLQLETKCSAFQQ EFLRGNISSRMQMQTGINRGRELCDGTQYGDFFSELSPLRTDMKTQPAQDNYGCSQYRKD FLMLQRKNCAGEKLSEFSQSEETGMTPVKEKIDTQEKGFECSDCGKSFMSQSHLQTHQRT HSGDKLYEWNECGRSFINSRLAVLIETLNAKKPHRCKECGKGYRYPAYLNIHMRTHTGEK PYECKECGKAFNYSNSFQIHGRTHTGEKPYVCSQCGKAFTQHSGLSIHVRSHTGDKPYGC KECGKAFLTSSRLIQHIRTHTGEKPFVCVKCGKAFAISSNLNGHLKLHAEEKTCECKICG KAFGYLSCLNNHMRTHNAKKSYTCKECGKAFNYSTHLKIHMRIHTGEKPYECKQCGKAFS HSTSFQIHERTHTGEKPYECKECGKAFICPSSFRIHEISHTHTEEKPYKCQQCGKAYSHP RSLRRHERIH >ENSMUSP00000126446.1 pep:known chromosome:GRCm38:9:20469826:20492742:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000163348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MAAPASSHGPSEDSGCLQERKIAAEMMLVDCLTDDQELVSFEDVIVDFTQEEWSSLNPDQ RNLYRDVMLENYQNLATVGYQLIKPSLISWLEQEEFSKGQKIVFPEWKLQLETKCSAFQQ EFLRGNISSRMQMTGINRGRELCDGTQYGDFFSELSPLRTDMKTQPAQDNYGCSQYRKDF LMLQRKNCAGEKLSEFSQSEETGMTPVKEKIDTQEKGFECSDCGKSFMSQSHLQTHQRTH SGDKLYEWNECGRSFINSRLAVLIETLNAKKPHRCKECGKGYRYPAYLNIHMRTHTGEKP YECKECGKAFNYSNSFQIHGRTHTGEKPYVCSQCGKAFTQHSGLSIHVRSHTGDKPYGCK ECGKAFLTSSRLIQHIRTHTGEKPFVCVKCGKAFAISSNLNGHLKLHAEEKTCECKICGK AFGYLSCLNNHMRTHNAKKSYTCKECGKAFNYSTHLKIHMRIHTGEKPYECKQCGKAFSH STSFQIHERTHTGEKPYECKECGKAFICPSSFRIHEISHTHTEEKPYKCQQCGKAYSHPR SLRRHERIH >ENSMUSP00000131005.1 pep:known chromosome:GRCm38:9:20471131:20492731:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000163427.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MAAPASSHGPSEDSGCLQERKIAAEMMLVDCLTDDQGISSSNPV >ENSMUSP00000127914.1 pep:known chromosome:GRCm38:9:20471176:20490805:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000164825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MAAPASSHGPSEDSGCLQERKIAAEMMLVDCLTDDQELVSFEDVIVDFTQEEWSSLNPDQ RNLYRDVMLENYQNLATVGYQLIKPSLISWLEQEEFSKGQKIVFPEWKLQLETKCSAFQQ EFLRGNISSRMQMQTGINRGRELCDGTQYGDFFSELS >ENSMUSP00000130120.1 pep:known chromosome:GRCm38:9:20473105:20478254:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000164799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MAAPASSHGPSEDSGCLQERKIAAEMMLVDCLTDDQSSYLAQTSMPAIFQELVSFEDVIV DFTQEEWSSLNPDQRNLYRDVMLENYQNLATVG >ENSMUSP00000128843.1 pep:known chromosome:GRCm38:9:20474936:20492701:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000169269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MAAPASSHGPSEDSGCLQERKIAAEMMLVDCLTDDQELVSFEDVIVDFTQEEWSSLNPDQ RNLYRDVML >ENSMUSP00000131467.1 pep:known chromosome:GRCm38:9:20476430:20492711:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000164250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MAAPASSHGPSEDSGCLQERKIAA >ENSMUSP00000129727.1 pep:known chromosome:GRCm38:9:20469995:20478157:-1 gene:ENSMUSG00000059475.13 transcript:ENSMUST00000166005.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp426 description:zinc finger protein 426 [Source:MGI Symbol;Acc:MGI:1920248] MAAPASSHGPSEDSGCLQERKIAAEMMLVDCLTDDQELVSFEDVIVDFTQEEWSSLNPDQ RNLYRDVMLENYQNLATVGYQLIKPSLISWLEQEEFSKGQKIVFPEWKLQLETKCSAFQQ EFLRGNISSRMQMQTGINRGRELCDGTQYGDFFSELSPLRTDMKTQPAQDNYGCSQYRKD FLMLQRKNCAGEKLSEFSQSEETGMTPVKEKIDTQEKGFECSDCGKSFMSQSHLQTHQRT HSGDKLYEWNECGRSFINSRLAVLIETLNAKKPHRCKECGKGYRYPAYLNIHMRTHTGEK PYECKECGKAFNYSNSFQIHGRTHTGEKPYVCSQCGKAFTQHSGLSIHVRSHTGDKPYGC KECGKAFLTSSRLIQHIRTHTGEKPFVCVKCGKAFAISSNLNGHLKLHAEEKTCECKICG KAFGYLSCLNNHMRTHNAKKSYTCKECGKAFNYSTHLKIHMRIHTGEKPYECKQCGKAFS HSTSFQIHERTHTGEKPYECKECGKAFICPSSFRIHEISHTHTEEKPYKCQQCGKAYSHP RSLRRHERIH >ENSMUSP00000137686.1 pep:known chromosome:GRCm38:11:102248882:102265187:1 gene:ENSMUSG00000034773.16 transcript:ENSMUST00000133930.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC030867 description:cDNA sequence BC030867 [Source:MGI Symbol;Acc:MGI:2387601] MTCGFQKLFSVEEDFEDEDFLSALENAENHVVSALPRDAGCLRPVSSRPQETVQTQSSRP VPSYPTSNQSVPRLCLPPSSMRENAKAPLSTGVMSLRPASISSSSLSSQQRMTGAKVFQE SSGPQPSAAHSGYIFESHQQGIGDFEAPDQDDLDKALASMEFEGAGLELEADSGATQILP AKHCEDPVLAKKARVADLSGSLRKEPMVHCRNPWPSLRPTSATGSLPVPATSCVSTSQQR GSPAPAPQYLPVAGRTIRSSPQNYGPGQPLQSPRAWSSGKPRFSGPQRPHGSSAAFCQGP LSSRAPVSSVESPVSTPRNTSTPVTQPALQTPVVTNHLVQLVTATNRTPQQPSRPSIRAK TRRFPGPAGLLPHQHSGENLEEIMVSTPQTPTHGALAKFQTEIATSSQGSVEEDFGQGPW LTMKSALGLDEGDPTCFLYTYSIVMVLRKAALKQLPRNKVPNMAVMIKSLTRSTMDASVV FKDPTGEMLGTVHRVLLETHQSELRPGSVLLLKQIGVFSPSLRNHYLNVTPNNLVHIYSL DSGDGDFLEPPQPLPKDLGNSHGSLQPDVAAEPTRGLRTAQNPAVAFPEEELSEAGGRPA MTPV >ENSMUSP00000097961.4 pep:known chromosome:GRCm38:11:102248882:102265187:1 gene:ENSMUSG00000034773.16 transcript:ENSMUST00000100392.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC030867 description:cDNA sequence BC030867 [Source:MGI Symbol;Acc:MGI:2387601] MTCGFQKLFSVEEDFEDEDFLSALENAENHVVSALPRDAGCLRPVSSRPQETVQTQSSRP VPSYPTSNQSVPRLCLPPSSMRENAKAPLSTGVMSLRPASISSSSLSSQQRMTGAKVFQE SSGPQPSAAHSGYIFESHQQGIGDFEAPDQDDLDKALASMEFEGAGLELEADSGATQILP AKHCEDPVLAKKARVADLSGSLRKEPMVHCRNPWPSLRPTSATGSLPVPATSCVSTSQQR GSPAPAPQYLPVAGRTIRSSPQNYGPGQPLQSPRAWSSGKPRFSGPQRPHGSSAAFCQGP LSSRAPVSSVESPVSTPRNTSTPVTQPALQTPVVTNHLVQLVTATNRTPQQPSRPSIRAK TRRFPGPAGLLPHQHSGENLEEIMVSTPQTPTHGALAKFQTEIATSSQGSVEEDFGQGPW LTMKSALGLDEGDPTCFLYTYSIVMVLRKAALKQLPRNKVPNMAVMIKSLTRSTMDASVV FKDPTGEMLGTVHRVLLETHQSELRPGSVLLLKQIGVFSPSLRNHYLNVTPNNLVHIYSL DSGDGDFLEPPQPLPKDLGNSHGSLQPDVAAEPTRGLRTAQNPAVAFPEEELSEADDLDG LLSELPEDFFCEPSS >ENSMUSP00000063795.2 pep:known chromosome:GRCm38:1:82233101:82291416:-1 gene:ENSMUSG00000055980.2 transcript:ENSMUST00000069799.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irs1 description:insulin receptor substrate 1 [Source:MGI Symbol;Acc:MGI:99454] MASPPDTDGFSDVRKVGYLRKPKSMHKRFFVLRAASEAGGPARLEYYENEKKWRHKSSAP KRSIPLESCFNINKRADSKNKHLVALYTRDEHFAIAADSEAEQDSWYQALLQLHNRAKAH HDGAGGGCGGSCSGSSGVGEAGEDLSYDTGPGPAFKEVWQVILKPKGLGQTKNLIGIYRL CLTSKTISFVKLNSEAAAVVLQLMNIRRCGHSENFFFIEVGRSAVTGPGEFWMQVDDSVV AQNMHETILEAMRAMSDEFRPRSKSQSSSSCSNPISVPLRRHHLNNPPPSQVGLTRRSRT ESITATSPASMVGGKPGSFRVRASSDGEGTMSRPASVDGSPVSPSTNRTHAHRHRGSSRL HPPLNHSRSIPMPSSRCSPSATSPVSLSSSSTSGHGSTSDCLFPRRSSASVSGSPSDGGF ISSDEYGSSPCDFRSSFRSVTPDSLGHTPPARGEEELSNYICMGGKGASTLAAPNGHYIL SRGGNGHRYIPGANLGTSPALPGDEAAGAADLDNRFRKRTHSAGTSPTISHQKTPSQSSV ASIEEYTEMMPAAYPPGGGSGGRLPGYRHSAFVPTHSYPEEGLEMHHLERRGGHHRPDTS NLHTDDGYMPMSPGVAPVPSNRKGNGDYMPMSPKSVSAPQQIINPIRRHPQRVDPNGYMM MSPSGSCSPDIGGGSSSSSSISAAPSGSSYGKPWTNGVGGHHTHALPHAKPPVESGGGKL LPCTGDYMNMSPVGDSNTSSPSECYYGPEDPQHKPVLSYYSLPRSFKHTQRPGEPEEGAR HQHLRLSSSSGRLRYTATAEDSSSSTSSDSLGGGYCGARPESSLTHPHHHVLQPHLPRKV DTAAQTNSRLARPTRLSLGDPKASTLPRVREQQQQQQSSLHPPEPKSPGEYVNIEFGSGQ PGYLAGPATSRSSPSVRCPPQLHPAPREETGSEEYMNMDLGPGRRATWQESGGVELGRIG PAPPGSATVCRPTRSVPNSRGDYMTMQIGCPRQSYVDTSPVAPVSYADMRTGIAAEKASL PRPTGAAPPPSSTASSSVTPQGATAEQATHSSLLGGPQGPGGMSAFTRVNLSPNHNQSAK VIRADTQGCRRRHSSETFSAPTRAGNTVPFGAGAAVGGSGGGGGGGSEDVKRHSSASFEN VWLRPGDLGGVSKESAPVCGAAGGLEKSLNYIDLDLAKERSQDCPSQQQSLPPPPPHQPL GSNEGNSPRRSSEDLSNYASISFQKQPEDRQ >ENSMUSP00000099621.4 pep:known chromosome:GRCm38:4:132768335:132778752:1 gene:ENSMUSG00000028884.14 transcript:ENSMUST00000102561.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpa2 description:replication protein A2 [Source:MGI Symbol;Acc:MGI:1339939] MWNSGFESFSSSTYGGAGGYTQSPGGFGSPTPSQAEKKSRVRAQHIVPCTISQLLSATLT DEVFRIGDVEISQVTIVGIIRHAEKAPTNIVYKIDDMTAPPMDVRQWVDTDDASGENAVV PPETYVKVAGHLRSFQNKKSLVAFKIIPLEDMNEFTAHILEVVNSHMMLSKPNSQASAGR PSMSNPGMSEPGNFSGNNFMPANGLTVVQNQVLNLIKACPRPEGLNFQDLRSQLQHMPVP SIKQAVDFLCNEGHIYSTVDDDHFKSTDAE >ENSMUSP00000123780.1 pep:known chromosome:GRCm38:4:132775128:132777162:1 gene:ENSMUSG00000028884.14 transcript:ENSMUST00000156968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpa2 description:replication protein A2 [Source:MGI Symbol;Acc:MGI:1339939] SQASAGRPSMSNPGMSEPGNFSGNNFMPANGLTVVQNQVLNLIKACPRPEGLNFQDLRSQ LQHMPVPSIK >ENSMUSP00000125154.1 pep:known chromosome:GRCm38:13:55105311:55122478:1 gene:ENSMUSG00000021481.11 transcript:ENSMUST00000161018.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp346 description:zinc finger protein 346 [Source:MGI Symbol;Acc:MGI:1349417] MECPAPDATDAADPGEAGPYKGSEEPEGREPDGVRFDRERARRLWEAVSGAQPAGREEVE HMIQKNQCLFTSTQCKVCCAMLISESQKLAHYQSKKHANKVKRYLAIHGMETIKGDVKRL DSDQLCRNTLQILSLWPPP >ENSMUSP00000124397.1 pep:known chromosome:GRCm38:13:55105314:55132387:1 gene:ENSMUSG00000021481.11 transcript:ENSMUST00000161315.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp346 description:zinc finger protein 346 [Source:MGI Symbol;Acc:MGI:1349417] MECPAPDATDAADPGEAGPYKGSEEPEGREPDGVRFDRERARRLWEAVSGAQPAGREEVE HMIQKNQCLFTSTQCKVCCAMLISESQKLAHYQSKKHANKVKRYLAIHGMETIKGDVKRL DSDQVTQNIGDTREPDASANTTHSKGLKHSA >ENSMUSP00000021937.5 pep:known chromosome:GRCm38:13:55105327:55134825:1 gene:ENSMUSG00000021481.11 transcript:ENSMUST00000021937.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp346 description:zinc finger protein 346 [Source:MGI Symbol;Acc:MGI:1349417] MECPAPDATDAADPGEAGPYKGSEEPEGREPDGVRFDRERARRLWEAVSGAQPAGREEVE HMIQKNQCLFTSTQCKVCCAMLISESQKLAHYQSKKHANKVKRYLAIHGMETIKGDVKRL DSDQKSSRSKDKNHCCPICNMTFSSPAVAQSHYLGKTHAKSLKLKQQSTKGAALQQNREM LDPDKFCSLCHSTFNDPAMAQQHYMGKRHRKQETKLKLMAHYGRLADPAVSDLPAGKGYP CKTCKIVLNSIEQYQAHVSGFKHKNQSPKTLVTLGSQTPVQTQPTPKDSSTVQD >ENSMUSP00000125078.1 pep:known chromosome:GRCm38:13:55105332:55132705:1 gene:ENSMUSG00000021481.11 transcript:ENSMUST00000159278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp346 description:zinc finger protein 346 [Source:MGI Symbol;Acc:MGI:1349417] MECPAPDATDAADPGEAGPYKGSEEPEGREPDGVRFDRERARRLWEAVSGAQPAGREEVE HMIQKNQCLFTSTQCKVCCAMLISESQKLAHYQSKKHANKVKRYLAIHGMETIKGDVKRL DSDQKSSRSKDKNHCCPICNMTFSSPAVAQSHYLGKTHAKSLKLKQQSTKGAALSKHLTN PFLVASTLALQQNREMLDPDKFCSLCHSTFNDPAMAQQHYMGKRHRKQETKLKLMAHYGR LADPAVSDLPGKGYPCKTCKIVLNSIEQYQAHVSGFKHKNQSPKTLVTLGSQTPVQTQPT PKDSSTVQD >ENSMUSP00000124180.1 pep:known chromosome:GRCm38:13:55105333:55130600:1 gene:ENSMUSG00000021481.11 transcript:ENSMUST00000161551.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp346 description:zinc finger protein 346 [Source:MGI Symbol;Acc:MGI:1349417] MECPAPDATDAADPGEAGPYKGSEEPEGREPDGVRFDRERARRLWEAVSGAQPAGREEVE HMIQKNQCLFTSTQCKVCCAMLISESQKLAHYQPCSRIERC >ENSMUSP00000124107.1 pep:known chromosome:GRCm38:13:55105333:55132740:1 gene:ENSMUSG00000021481.11 transcript:ENSMUST00000159147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp346 description:zinc finger protein 346 [Source:MGI Symbol;Acc:MGI:1349417] MECPAPDATDAADPGEAGPYKGSEEPEGREPDGVRFDRERARRLWEAVSGAQPAGREEVE HMIQKNQCLFTSTQCKVCCAMLISESQKLAHYQSSRSKDKNHCCPICNMTFSSPAVAQSH YLGKTHAKSLKLKQQSTKGAALQQNREMLDPDKFCSLCHSTFNDPAMAQQHYMGKRHRKQ ETKLKLMAHYGRLADPAVSDLPAGKGYPCKTCKIVLNSIEQYQAHVSGFKHKNQSPKTLV TLGSQTPVQTQPTPKDSSTVQD >ENSMUSP00000125743.1 pep:known chromosome:GRCm38:13:55105333:55132755:1 gene:ENSMUSG00000021481.11 transcript:ENSMUST00000162476.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp346 description:zinc finger protein 346 [Source:MGI Symbol;Acc:MGI:1349417] MECPAPDATDAADPGEAGPYKGSEEPEGREPDGVRFDRERARRLWEAVSGAQPAGREEVE HMIQKNQCLFTSTQCKVCCAMLISESQKLAHYQSKKHANKVKRYLAIHGMETIKGDVKRL DSDQPCSRIERC >ENSMUSP00000124034.1 pep:known chromosome:GRCm38:13:55105337:55132496:1 gene:ENSMUSG00000021481.11 transcript:ENSMUST00000160660.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp346 description:zinc finger protein 346 [Source:MGI Symbol;Acc:MGI:1349417] MECPAPDATDAADPGEAGPYKGSEEPEGREPDGVRFDRERARRLWEAVSGAQPAGREEVE HMIQKNQCLFTSTQCKVCCAMLISESQKLAHYQSKKHANKVKRYLAIHGMETIKGDVKRL DSDQLGRATPARRVK >ENSMUSP00000125389.1 pep:known chromosome:GRCm38:13:55105339:55132588:1 gene:ENSMUSG00000021481.11 transcript:ENSMUST00000162428.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp346 description:zinc finger protein 346 [Source:MGI Symbol;Acc:MGI:1349417] MECPAPDATDAADPGEAGPYKGSEEPEGREPDGVRFDRERARRLWEAVSGAQPAGREEVE HMIQKNQCLFTSTQCKVCCAMLISESQKLAHYQSKKHANKVKRYLAIHGMETIKGDVKRL DSDQPCSRIERC >ENSMUSP00000125204.1 pep:known chromosome:GRCm38:13:55105399:55132375:1 gene:ENSMUSG00000021481.11 transcript:ENSMUST00000161077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp346 description:zinc finger protein 346 [Source:MGI Symbol;Acc:MGI:1349417] XYKGSEEPEGREPDGVRFDRERARRLWEAVSGAQPAGREEVEHMIQKNQCLFTSTQCKVC CAMLISESQKLAHYQSKKHANKVKRYLAIHGMETIKGDVKRLDSDQKSSRSKDKNHCCPI CNMTFSSPAVAQSHYLGKTHAKSLKLKQQSTKGAALSKHLTNPFLVASTLALQQNREMLD PDKFCSLCHSTFNDPAMAQQHYMGKRHRKQETKLKLMAHYGRLADPAVSDLPGHPKHW >ENSMUSP00000023006.6 pep:known chromosome:GRCm38:15:66379858:66500910:-1 gene:ENSMUSG00000022375.6 transcript:ENSMUST00000023006.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc6 description:leucine rich repeat containing 6 (testis) [Source:MGI Symbol;Acc:MGI:1859553] MGRITEDLIRRNAEHNDCVIFSLEELSLHQQEIERLEHIDKWCRDLKILYLQNNLIGKIE NVSKLKKLEYLNLALNNIERIENLEGCEWLTKLDLTVNFIGELSSVKTLTHNIHLKELFL MGNPCADFDGYRQFVVVTLQQLKWLDGKEIERSERIQALQNYTSVEQQIREQEKAYCLRR AKEKEEAQRKLEEENESEDKKKSSTGFDGHWYTDIHTACPSATENQDYPQVPETQEEQHN TKESDDIEDDLAFWNKPSLFTPESRLETLRHMEKQRKAQDKLSEKKKKAKPPRTLITEDG KVLNVNEAKLDFSLKDDEKHNQIILDLAVYRYMDTSLIEVDVQPTYVRVMVKGKPFQLAL STEVQPDRSSAKRSQTTGHLLICMPKVGEMITGGQRTPTSVKTTSTSSREQTNPRKKQIE RLEVDPSKHSCPDVSTIVQEKRHRPKRMESQPRDEPSEEDPDFEDNPEVPPLI >ENSMUSP00000071469.3 pep:known chromosome:GRCm38:11:113244853:113565756:-1 gene:ENSMUSG00000041654.15 transcript:ENSMUST00000071539.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a11 description:solute carrier family 39 (metal ion transporter), member 11 [Source:MGI Symbol;Acc:MGI:1917056] MLQGYSSVVQALLGTFFTWAMTAAGAALVFIFSSGQRRILDGSLGFAAGVMLAASYWSLL APAVEMATSSGGFGAFAFFPVAVGFTLGAAFVYLADLLMPHLGATEDPQTALALNLDPAL MKKSDPRDPTSLLFPESELSIRIDKRENGEVYQRKKVAATDLAEGVAPSGSMHGSSGQPG GSSWRRIALLILAITIHNIPEGLAVGVGFGAVEKTASATFESARNLAIGIGIQNFPEGLA VSLPLRGAGFSTWKAFWYGQLSGMVEPLAGVFGAFAVVLAEPILPYALAFAAGAMVYVVM DDIIPEAQISGNGKLASWASILGFVVMMSLDVGLG >ENSMUSP00000037331.9 pep:known chromosome:GRCm38:11:113244853:113565768:-1 gene:ENSMUSG00000041654.15 transcript:ENSMUST00000042657.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a11 description:solute carrier family 39 (metal ion transporter), member 11 [Source:MGI Symbol;Acc:MGI:1917056] MLQGYSSVVQALLGTFFTWAMTAAGAALVFIFSSGQRRILDGSLGFAAGVMLAASYWSLL APAVEMATSSGGFGAFAFFPVAVGFTLGAAFVYLADLLMPHLLQVSFLPSGDCKMPTATK TPLLGRTRAVELLGGNQGATEDPQTALALNLDPALMKKSDPRDPTSLLFPESELSIRIDK RENGEVYQRKKVAATDLAEGVAPSGSMHGSSGQPGGSSWRRIALLILAITIHNIPEGLAV GVGFGAVEKTASATFESARNLAIGIGIQNFPEGLAVSLPLRGAGFSTWKAFWYGQLSGMV EPLAGVFGAFAVVLAEPILPYALAFAAGAMVYVVMDDIIPEAQISGNGKLASWASILGFV VMMSLDVGLG >ENSMUSP00000120929.1 pep:known chromosome:GRCm38:11:113463953:113565779:-1 gene:ENSMUSG00000041654.15 transcript:ENSMUST00000149034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a11 description:solute carrier family 39 (metal ion transporter), member 11 [Source:MGI Symbol;Acc:MGI:1917056] MLQGYSSVVQALLGTFFTWAMTAAGAALVFIFSSGQRRILDGSLGFAAGVMLAASYWSLL APAVEMATSSGGFGAFAFFPVAVGFTLGAAFVYLADLLMPHLGATEDPQTALALNLDPAL MKKSDPRDPTSLLFPESELSIRIGSTGLLS >ENSMUSP00000102244.3 pep:known chromosome:GRCm38:11:113244853:113565759:-1 gene:ENSMUSG00000041654.15 transcript:ENSMUST00000106633.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a11 description:solute carrier family 39 (metal ion transporter), member 11 [Source:MGI Symbol;Acc:MGI:1917056] MLQGYSSVVQALLGTFFTWAMTAAGAALVFIFSSGQRRILDGSLGFAAGVMLAASYWSLL APAVEMATSSGGFGAFAFFPVAVGFTLGAAFVYLADLLMPHLGATEDPQTALALNLDPAL MKKSDPRDPTSLLFPESELSIRIGSTGLLSDKRENGEVYQRKKVAATDLAEGVAPSGSMH GSSGQPGGSSWRRIALLILAITIHNIPEGLAVGVGFGAVEKTASATFESARNLAIGIGIQ NFPEGLAVSLPLRGAGFSTWKAFWYGQLSGMVEPLAGVFGAFAVVLAEPILPYALAFAAG AMVYVVMDDIIPEAQISGNGKLASWASILGFVVMMSLDVGLG >ENSMUSP00000121286.1 pep:known chromosome:GRCm38:11:113523587:113650079:-1 gene:ENSMUSG00000041654.15 transcript:ENSMUST00000146031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a11 description:solute carrier family 39 (metal ion transporter), member 11 [Source:MGI Symbol;Acc:MGI:1917056] MLQGYSSVVQALLGTFFTWAMTAAGAALVFIFSSGQRRILDGSLGFAAGVMLAASYWSLL AP >ENSMUSP00000115472.1 pep:known chromosome:GRCm38:11:113369728:113650071:-1 gene:ENSMUSG00000041654.15 transcript:ENSMUST00000125890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a11 description:solute carrier family 39 (metal ion transporter), member 11 [Source:MGI Symbol;Acc:MGI:1917056] MLQGYSSVVQALLGTFFTWAMTAAGAALVFIFSSGQRRILDGSLGFAAGVMLAASYWSLL APAVEMATSSGGFGAFAFFPVAVGFTLGAAFVYLADLLMPHLGATEDPQTALALNLDPAL MKKSDPRDPTSLLFPESELSIRIDKRENGEVYQRKKVAATDLAEGVAPSGSMHGSSGQPG GSSWRRIALLILAITIHNIP >ENSMUSP00000117641.1 pep:known chromosome:GRCm38:11:113559532:113650070:-1 gene:ENSMUSG00000041654.15 transcript:ENSMUST00000136392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a11 description:solute carrier family 39 (metal ion transporter), member 11 [Source:MGI Symbol;Acc:MGI:1917056] MLQGYSSVVQALLGTFFTWAMTAAGAALVFIFSSGQRRILDG >ENSMUSP00000108216.1 pep:known chromosome:GRCm38:5:108461232:108489224:1 gene:ENSMUSG00000033623.13 transcript:ENSMUST00000112597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf3 description:polycomb group ring finger 3 [Source:MGI Symbol;Acc:MGI:1916837] MLTRKIKLWDINAHITCRLCSGYLIDATTVTECLHTFCRSCLVKYLEENNTCPTCRIVIH QSHPLQYIGHDRTMQDIVYKLVPGLQEAEMRKQREFYHKLGMEVPGDIKGEACSAKQHLD PRNGETKADDNSNKETAEEKQEEDNDYHRSDEQVNTRPLWIFWHQFIPCLRTSFENVT >ENSMUSP00000041790.5 pep:known chromosome:GRCm38:5:108461232:108506976:1 gene:ENSMUSG00000033623.13 transcript:ENSMUST00000046975.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf3 description:polycomb group ring finger 3 [Source:MGI Symbol;Acc:MGI:1916837] MLTRKIKLWDINAHITCRLCSGYLIDATTVTECLHTFCRSCLVKYLEENNTCPTCRIVIH QSHPLQYIGHDRTMQDIVYKLVPGLQEAEMRKQREFYHKLGMEVPGDIKGEACSAKQHLD PRNGETKADDNSNKETAEEKQEEDNDYHRSDEQVSICLECNSSKLRGLKRKWIRCSAQAT VLHLKKFIAKKLNLSSFNELDILCNEEILGKDHTLKFVVVTRWRFKKAPLLLHYRPKMDL L >ENSMUSP00000142465.1 pep:known chromosome:GRCm38:5:108461313:108486171:1 gene:ENSMUSG00000033623.13 transcript:ENSMUST00000138264.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcgf3 description:polycomb group ring finger 3 [Source:MGI Symbol;Acc:MGI:1916837] MLTRKIKLWDINAHITCRLCSGYLIDATTVTECLHTYVLYGSPGGVSAVKRASNDH >ENSMUSP00000056639.5 pep:known chromosome:GRCm38:7:6514396:6515449:-1 gene:ENSMUSG00000048067.6 transcript:ENSMUST00000051982.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1349 description:olfactory receptor 1349 [Source:MGI Symbol;Acc:MGI:3031183] MPPFWEAPPWANQSRARELEFVLLGFAHVPSLRPMLAALFLAAFLLTMSGNSLIVLLTSL DFGLRTPMYFFLRQLALVEICFSLDVAPRLLVTLLQPGRGVSPTSCALQLLLVLSCVTSE CFLLMVMAWDRFLAICRPLRYGAIMSPQLCYLLATTCWLAGIPVALVFTIWLFNFPFCGP RGIRHFFCDIAPLLSLVCADTRVFEANVFVATVLVIMVPFCLIATSYVMILVAVLRMPSA SGRHKALSTCASHLIVVILFYGTTGVIHLRPKASYSPESKQVVSLSYTMVTPMLNPLIYS LRNKEVKAAFGRVCCGR >ENSMUSP00000146944.1 pep:known chromosome:GRCm38:7:6514853:6520305:-1 gene:ENSMUSG00000048067.6 transcript:ENSMUST00000207043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1349 description:olfactory receptor 1349 [Source:MGI Symbol;Acc:MGI:3031183] MPPFWEAPPWANQSRARELEFVLLGFAHVPSLRPMLAALFLAAFLLTMSGNSLIVLLTSL DFGLRTPMYFFLRQLALVEICFSLDVAPRLLVTLLQPGRGVSPTSCALQLLLVLSCVTSE CFLLMVMAWDRFLAICRPLRYGAIMSPQLCYLLATTCWLAGIPVALVFTIWLFNFPFCGP RGIRHFFCDIAP >ENSMUSP00000147127.1 pep:known chromosome:GRCm38:7:6514918:6522950:-1 gene:ENSMUSG00000048067.6 transcript:ENSMUST00000207820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1349 description:olfactory receptor 1349 [Source:MGI Symbol;Acc:MGI:3031183] MPPFWEAPPWANQSRARELEFVLLGFAHVPSLRPMLAALFLAAFLLTMSGNSLIVLLTSL DFGLRTPMYFFLRQLALVEICFSLDVAPRLLVTLLQPGRGVSPTSCALQLLLVLSCVTSE CFLLMVMAWDRFLAICRPLRYGAIMSPQLCYLLATTCWLAGIPVALVFTI >ENSMUSP00000146672.1 pep:known chromosome:GRCm38:7:6514942:6520305:-1 gene:ENSMUSG00000048067.6 transcript:ENSMUST00000207075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1349 description:olfactory receptor 1349 [Source:MGI Symbol;Acc:MGI:3031183] MPPFWEAPPWANQSRARELEFVLLGFAHVPSLRPMLAALFLAAFLLTMSGNSLIVLLTSL DFGLRTPMYFFLRQLALVEICFSLDVAPRLLVTLLQPGRGVSPTSCALQLLLVLSCVTSE CFLLMVMAWDRFLAICRPLRYGAIMSPQLCYLLATTCWLAGI >ENSMUSP00000032309.6 pep:known chromosome:GRCm38:6:131364855:131388438:-1 gene:ENSMUSG00000030189.15 transcript:ENSMUST00000032309.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ybx3 description:Y box protein 3 [Source:MGI Symbol;Acc:MGI:2137670] MSEAGEATTGGTTLPQAAADAPAAAPPDPAPKSPAASGAPQAPAPAALLAGSPGGDAAPG PAPASSAPAGGEDAEKKVLATKVLGTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKKNNP RKYLRSVGDGETVEFDVVEGEKGAEAANVTGPDGVPVEGSRYAADRRRYRRGYYGRRRGP PRNYAGEEEEEGSGSSEGFEPPAADGQFSGARNQLRRPQYRPPYRQRRFPPYHVGQTFDR RSRVFPHPNRMQAGEIGEMKDGVPEGTQLQAHRNPTYRPRFRRGPARPRPAPAIGEAEDK ENQQAANGPNQPSARRGFRRPYNYRRRSRPLNAVSQDGKETKAGEAPTENPAPATEQSSA E >ENSMUSP00000085172.2 pep:known chromosome:GRCm38:6:131364855:131388476:-1 gene:ENSMUSG00000030189.15 transcript:ENSMUST00000087865.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ybx3 description:Y box protein 3 [Source:MGI Symbol;Acc:MGI:2137670] MSEAGEATTGGTTLPQAAADAPAAAPPDPAPKSPAASGAPQAPAPAALLAGSPGGDAAPG PAPASSAPAGGEDAEKKVLATKVLGTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKKNNP RKYLRSVGDGETVEFDVVEGEKGAEAANVTGPDGVPVEGSRYAADRRRYRRGYYGRRRGP PRNAGEIGEMKDGVPEGTQLQAHRNPTYRPRFRRGPARPRPAPAIGEAEDKENQQAANGP NQPSARRGFRRPYNYRRRSRPLNAVSQDGKETKAGEAPTENPAPATEQSSAE >ENSMUSP00000104577.2 pep:known chromosome:GRCm38:2:175918881:175931051:-1 gene:ENSMUSG00000089756.2 transcript:ENSMUST00000108949.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8898 description:predicted gene 8898 [Source:MGI Symbol;Acc:MGI:3709288] MRDSTGCCERRLVKLRTPNMDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSHGRHERRSSAEQHSEFIPCGKAFAYQSRSQRHVRIHNGEK HYECNQCGKDFGTRSVLQRLKRTHTGEKPYECNHYGKAFAESSTLQIHKRKHTGEKPYEC NHCVKAFAKMSKLQIHKRIHTGEKPYECKQCGKAFTQSSHLGIHKRTHTGEKPYECKQCG KAFTRSSTLQTHKQTHTGEKPYECKQCDKAFVRRGELQIHKRTHTGEKPYECKQCGKAFA QSGTLQIHKRTHTGEKPYECKQCGKAFAHSSTLQIHKRTHTGEKPYECKQCDKAFVKRGE LQIHKQTHTGEKPYECKQCGKAFAQSGTLQVHERTHTGEKPFECNQCGKAFVQCIALRIH ERTHTGEKPYECKQCGKAFAHSNTLQIHKRTHTGEKPYECKQCGKAFAHSSTLQIHKQTH TGEKP >ENSMUSP00000104646.2 pep:known chromosome:GRCm38:2:175919361:175931100:-1 gene:ENSMUSG00000089756.2 transcript:ENSMUST00000109018.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8898 description:predicted gene 8898 [Source:MGI Symbol;Acc:MGI:3709288] MRDSTGCCERRLVKLRTPNMVTVGKNIQLKTISKLLEVMEAFAGRSDLQRQKIIQKRKST KASNVIKSLQQALISMYINEHIPERNLLNVTNMVKLFQKPIVFTYIKEYILERNALTLTN VVKLFQKAVINKIIKQHV >ENSMUSP00000142238.1 pep:known chromosome:GRCm38:10:73821867:74644377:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000193174.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDVPPGGVPTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREE QQTYTFLITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTA VDADEGSNGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAE RRHSICTVYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIE NGDPQRVFNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDN APVFDPYLPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYT AVKLNREARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLP AGTSFLQIEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASIT FLVEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDA DPPGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGE PVMSSSATVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVA GNEEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIE IQDENDHPPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKE GFVVETYTGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVS NVPPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAI DPQTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYT EGALLALAFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPA PPPPPPPPPPGAHLYEELGESAMYEMPQYGSRRRLLPPAGQEEYGEVIGEAEEEYEEEEV EPEKVKKPKVEIREPSEEEVVVTVEKPPAAEPTYPTWKRARIFPMIFKKVRGLAEKRGID LEGEEWRRRLDEEDKDYLQLTLDQEEATESTVESEEESSDYTEYTETESEFSESETTEES ESETPSEEAEESSTPESEESESTESEGEKARKNIVLARRRPVVEEIQEVKGKREEPPVEE EEEPPLEEEERAEEGEESEAAPMDESTDLEAQDVPEEGSAESVSMERGVESEESESELSS SSSTSESLSGGPWGFQVPEYDRRKDEEPKKSPGANSEGYNTAL >ENSMUSP00000101066.4 pep:known chromosome:GRCm38:10:73821883:74632536:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000105426.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRV FNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPY LPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNRE ARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQ IEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFD IYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPA SRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSA TVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKF GINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDH PPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETY TGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLV EKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRA IDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLAL AFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPP PPPGAHLYEELGESAILFLLYHFEQSRGNNSVPEDRSSHRDGMAFSSSTTESHEPAHVEG PLKESQPNPARTFSFVPDEDNLSTHNPLYMESIGQRSTNSDLQPRTDFEELLAPRTQVKS QSLRGPREKIQRVWNQSVSFPRRLMWKAPNRPETIDLVEWQITNQRAECESARCHPSQRG SSNVLLATEDAHESEKEGGHRDTLIVQQTEQLKSLSSGSSFSSSWSHFSFSTLPTISRAV ELGSEPNVVTSPADCTLELSPPLRPRILNSLSSKRETPTCASDTEPKRNSFEIAPHPPSI SAPLPHPPLPRPPIAFTTFPLPLSPPNPPPPQLVTFSLPISTPPTSSLPLPPPLSLPPPP RPPAPRLFPQPPSTSIPSTDSISAPAAKCTASATHARETTSTTQPPASNPQWGAEPHRHP KGILRHVKNLAELEKSVSNMYSHIEKNCPPADPSKLHTFCPAEKTGMKITHDQSQETLVR VVEGIDVQPHSQSTSL >ENSMUSP00000117731.2 pep:known chromosome:GRCm38:10:73821883:74632664:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000129404.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDGTILVDNMLIKGTAGGPDPTIELSLKDNVD YWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQCVNKKVGTVIYHEVRIVVRDRND NSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDIDDGPNGQIEYVIQYNPEDPTSND TFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQNLNERRTTTTTLTVDVLDGDDLG PMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILVTPPIQAIDQDRNIQPPSDRPGI LYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQKFDLVIKAEQDNGHPLPAFASLH IEILDENNQSPYFTMPSYQGYILESAPVGATISESLNLTTPLRIVALDKDIEDTKDPELH LFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFLITAFDGVQESEPVVVNIRVMDA NDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGSNGEISYEILVGGKGDFVINKTT GLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICTVYIEVLPPNNQSPPRFPQLMYS LEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRVFNLSETTGILSLGKALDRESTD RYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPYLPRNLSVVEEEANAFVGQVRAT DPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNREARDHYELVVVATDGAVHPRHST LTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQIEAKDVDLGANVSYRIRSPEVK HLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFDIYGTMPPGIATVTVIVKDMNDY PPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPASRVRYRVDDVQFPYPASIFDVE EDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSATVRILVLHPGEIPRFTQEEYRP PPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKFGINNVTGVIYVNSPLDYETRTS YVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDHPPVFQKKFYIGGVSEDARMFAS VLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETYTGLIKTAMLFHNMRRSYFKFQV IATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLVEKKIEDLTEILDRYVQEQIPGA KVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRAIDRNELFKFLDGKLLDINKDFQ PYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLALAFIIILCCIPAILVVLVSYRQR QAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPPPPPGAHLYEELGESAMHNLFLLYHF EQSRGNNSVPEDRSSHRDGMAFSSSTTESHEPAHVEGPLKESQPNPARTFSFVPDEDNLS THNPLYMESIGQRSTNSDLQPRTDFEELLAPRTQVKSQSLRGPREKIQRVWNQSVSFPRR LMWKAPNRPETIDLVEWQITNQRAECESARCHPSQRGSSNVLLATEDAHESEKEGGHRDT LIVQQTEQLKSLSSGSSFSSSWSHFSFSTLPTISRAVELGSEPNVVTSPADCTLELSPPL RPRILNSLSSKRETPTCASDTEPKRNSFEIAPHPPSISAPLPHPPLPRPPIAFTTFPLPL SPPNPPPPQLVTFSLPISTPPTSSLPLPPPLSLPPPPRPPAPRLFPQPPSTSIPSTDSIS APAAKCTASATHARETTSTTQPPASNPQWGAEPHRHPKGILRHVKNLAELEKSVSNMYSH IEKNCPPADPSKLHTFCPAEKTGMKITHDQSQETLVRVVEGIDVQPHSQSTSL >ENSMUSP00000122911.2 pep:known chromosome:GRCm38:10:73821883:74632664:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000131321.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRV FNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPY LPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNRE ARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQ IEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFD IYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPA SRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSA TVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKF GINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDH PPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETY TGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLV EKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRA IDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLAL AFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPP PPPGAHLYEELGESAILFLLYHFEQSRGNNSVPEDRSSHRDGMAFSSSTTESHEPAHVEG PLKESQPNPARTFSFVPDEDNLSTHNPLYMESIGQRSTNSDLQPRTDFEELLAPRTQVKS QSLRGPREKIQRVWNQSVSFPRRLMWKAPNRPETIDLVEWQITNQRAECESARCHPSQRG SSNVLLATEDAHESEKEGGHRDTLIVQQTEQLKSLSSGSSFSSSWSHFSFSTLPTISRAV ELGSEPNVVTSPADCTLELSPPLRPRILNSLSSKRETPTCASDTEPKRNSFEIAPHPPSI SAPLPHPPLPRPPIAFTTFPLPLSPPNPPPPQLVTFSLPISTPPTSSLPLPPPLSLPPPP RPPAPRLFPQPPSTSIPSTDSISAPAAKCTASATHARETTSTTQPPASNPQWGAEPHRHP KGILRHVKNLAELEKSVSNMYSHIEKNCPPADPSKLHTFCPAEKTGMKITHDQSQETLVR VVEGIDVQPHSQSTSL >ENSMUSP00000121939.2 pep:known chromosome:GRCm38:10:73821883:74632664:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000126920.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDGTILVDNMLIKGTAGGPDPTIELSLKDNVD YWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQCVNKKVGTVIYHEVRIVVRDRND NSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDIDDGPNGQIEYVIQYNPEDPTSND TFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQNLNERRTTTTTLTVDVLDGDDLG PMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILVTPPIQAIDQDRNIQPPSDRPGI LYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQKFDLVIKAEQDNGHPLPAFASLH IEILDENNQSPYFTMPSYQGYILESAPVGATISESLNLTTPLRIVALDKDIEDTKDPELH LFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFLITAFDGVQESEPVVVNIRVMDA NDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGSNGEISYEILVGGKGDFVINKTT GLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICTVYIEVLPPNNQSPPRFPQLMYS LEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRVFNLSETTGILSLGKALDRESTD RYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPYLPRNLSVVEEEANAFVGQVRAT DPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNREARDHYELVVVATDGAVHPRHST LTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQIEAKDVDLGANVSYRIRSPEVK HLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFDIYGTMPPGIATVTVIVKDMNDY PPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPASRVRYRVDDVQFPYPASIFDVE EDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSATVRILVLHPGEIPRFTQEEYRP PPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKFGINNVTGVIYVNSPLDYETRTS YVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDHPPVFQKKFYIGGVSEDARMFAS VLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETYTGLIKTAMLFHNMRRSYFKFQV IATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLVEKKIEDLTEILDRYVQEQIPGA KVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRAIDRNELFKFLDGKLLDINKDFQ PYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLALAFIIILCCIPAILVVLVSYRQF KVRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPPPPPGAHLYEELGESAMHNLFLL YHFEQSRGNNSVPEDRSSHRDGMAFSSSTTESHEPAHVEGPLKESQPNPARTFSFVPDED NLSTHNPLYMESIGQRSTNSDLQPRTDFEELLAPRTQVKSQSLRGPREKIQRVWNQSVSF PRRLMWKAPNRPETIDLVEWQITNQRAECESARCHPSQRGSSNVLLATEDAHESEKEGGH RDTLIVQQTEQLKSLSSGSSFSSSWSHFSFSTLPTISRAVELGSEPNVVTSPADCTLELS PPLRPRILNSLSSKRETPTCASDTEPKRNSFEIAPHPPSISAPLPHPPLPRPPIAFTTFP LPLSPPNPPPPQLVTFSLPISTPPTSSLPLPPPLSLPPPPRPPAPRLFPQPPSTSIPSTD SISAPAAKCTASATHARETTSTTQPPASNPQWGAEPHRHPKGILRHVKNLAELEKSVSNM YSHIEKNCPPADPSKLHTFCPAEKTGMKITHDQSQETLVRVVEGIDVQPHSQSTSL >ENSMUSP00000122940.2 pep:known chromosome:GRCm38:10:73821883:74632664:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000147189.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPDRAQNLNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDC RPLTYQAAIPELRTPEELNPILVTPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRF FHMHPRTAELTLLEPVNRDFHQKFDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTM PSYQGYILESAPVGATISESLNLTTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPT GITRYLTLLQPVDREEQQTYTFLITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVY VYTDMSPGDSVIQLTAVDADEGSNGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQ TYALTVQASDNAPPAERRHSICTVYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLN LQATDREGDPITYAIENGDPQRVFNLSETTGILSLGKALDRESTDRYILIVTASDGRPDG TSTATVNIVVTDVNDNAPVFDPYLPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLG NFNNLFRITSNGSIYTAVKLNREARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSP VFTNSTYTVVVEENLPAGTSFLQIEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLL RSLDYEAFPDQEASITFLVEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAP DAVKGTPITTVYAEDADPPGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEE PTTIFKLVVVAFDDGEPVMSSSATVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGV ISAAAINQSIVYSIVAGNEEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLA NLRVPSKSNTAKVYIEIQDENDHPPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYS AMAYRLIIPPIKEGKEGFVVETYTGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKAD VLVSVVNQLDMQVIVSNVPPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDA YSLEDYSKCDLTVYAIDPQTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTP EAVTSIKKRGESLGYTEGALLALAFIIILCCIPAILVVLVSYRQRQAECTKTARIQSAMP AAKPAAPVPAAPAPPPPPPPPPPGAHLYEELGESAILFLLYHFEQSRGNNSVPEDRSSHR DGMAFSSSTTESHEPAHVEGPLKESQPNPARTFSFVPDEDNLSTHNPLYMESIGQRSTNS DLQPRTDFEELLAPRTQVKSQSLRGPREKIQRVWNQSVSFPRRLMWKAPNRPETIDLVEW QITNQRAECESARCHPSQRGSSNVLLATEDAHESEKEGGHRDTLIVQQTEQLKSLSSGSS FSSSWSHFSFSTLPTISRAVELGSEPNVVTSPADCTLELSPPLRPRILNSLSSKRETPTC ASDTEPKRNSFEIAPHPPSISAPLPHPPLPRPPIAFTTFPLPLSPPNPPPPQLVTFSLPI STPPTSSLPLPPPLSLPPPPRPPAPRLFPQPPSTSIPSTDSISAPAAKCTASATHARETT STTQPPASNPQWGAEPHRHPKGILRHVKNLAELEKSVSNMYSHIEKNCPPADPSKLHTFC PAEKTGMKITHDQSQETLVRVVEGIDVQPHSQSTSL >ENSMUSP00000101064.3 pep:known chromosome:GRCm38:10:73821883:74632664:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000105424.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRV FNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPY LPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNRE ARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQ IEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFD IYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPA SRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSA TVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKF GINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDH PPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETY TGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLV EKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRA IDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLAL AFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPP PPPGAHLYEELGESAMHNLFLLYHFEQSRGNNSVPEDRSSHRDGMAFSSSTTESHEPAHV EGPLKESQPNPARTFSFVPDEDNLSTHNPLYMESIGQRSTNSDLQPRTDFEELLAPRTQV KSQSLRGPREKIQRVWNQSVSFPRRLMWKAPNRPETIDLVEWQITNQRAECESARCHPSQ RGSSNVLLATEDAHESEKEGGHRDTLIVQQTEQLKSLSSGSSFSSSWSHFSFSTLPTISR AVELGSEPNVVTSPADCTLELSPPLRPRILNSLSSKRETPTCASDTEPKRNSFEIAPHPP SISAPLPHPPLPRPPIAFTTFPLPLSPPNPPPPQLVTFSLPISTPPTSSLPLPPPLSLPP PPRPPAPRLFPQPPSTSIPSTDSISAPAAKCTASATHARETTSTTQPPASNPQWGAEPHR HPKGILRHVKNLAELEKSVSNMYSHIEKNCPPADPSKLHTFCPAEKTGMKITHDQSQETL VRVVEGIDVQPHSQSTSL >ENSMUSP00000090076.6 pep:known chromosome:GRCm38:10:73821883:74632664:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000092420.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRV FNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPY LPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNRE ARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQ IEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFD IYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPA SRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSA TVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKF GINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDH PPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETY TGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLV EKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRA IDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLAL AFIIILCCIPAILVVLVSYRQRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPPPPP GAHLYEELGESAILFLLYHFEQSRGNNSVPEDRSSHRDGMAFSSSTTESHEPAHVEGPLK ESQPNPARTFSFVPDEDNLSTHNPLYMESIGQRSTNSDLQPRTDFEELLAPRTQVKSQSL RGPREKIQRVWNQSVSFPRRLMWKAPNRPETIDLVEWQITNQRAECESARCHPSQRGSSN VLLATEDAHESEKEGGHRDTLIVQQTEQLKSLSSGSSFSSSWSHFSFSTLPTISRAVELG SEPNVVTSPADCTLELSPPLRPRILNSLSSKRETPTCASDTEPKRNSFEIAPHPPSISAP LPHPPLPRPPIAFTTFPLPLSPPNPPPPQLVTFSLPISTPPTSSLPLPPPLSLPPPPRPP APRLFPQPPSTSIPSTDSISAPAAKCTASATHARETTSTTQPPASNPQWGAEPHRHPKGI LRHVKNLAELEKSVSNMYSHIEKNCPPADPSKLHTFCPAEKTGMKITHDQSQETLVRVVE GIDVQPHSQSTSL >ENSMUSP00000121534.2 pep:known chromosome:GRCm38:10:73821883:74632664:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000136096.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQHRDSQPREGSRPREHRPLHPH RHSLRWQTGWNLNCHCEHSGDGRQ >ENSMUSP00000101069.3 pep:known chromosome:GRCm38:10:73821883:74632664:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000105429.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERSTGILS LGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPYLPRNLSVVEEE ANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNREARDHYELVVVA TDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQIEAKDVDLGAN VSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFDIYGTMPPGIAT VTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPASRVRYRVDDVQ FPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSATVRILVLHPGE IPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKFGINNVTGVIYV NSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDHPPVFQKKFYIG GVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETYTGLIKTAMLFH NMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLVEKKIEDLTEIL DRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRAIDRNELFKFLD GKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLALAFIIILCCIPA ILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPPPPPGAHLYEEL GESAMHNLFLLYHFEQSRGNNSVPEDRSSHRDGMAFSSSTTESHEPAHVEGPLKESQPNP ARTFSFVPDEDNLSTHNPLYMESIGQRSTNSDLQPRTDFEELLAPRTQVKSQSLRGPREK IQRVWNQSVSFPRRLMWKAPNRPETIDLVEWQITNQRAECESARCHPSQRGSSNVLLATE DAHESEKEGGHRDTLIVQQTEQLKSLSSGSSFSSSWSHFSFSTLPTISRAVELGSEPNVV TSPADCTLELSPPLRPRILNSLSSKRETPTCASDTEPKRNSFEIAPHPPSISAPLPHPPL PRPPIAFTTFPLPLSPPNPPPPQLVTFSLPISTPPTSSLPLPPPLSLPPPPRPPAPRLFP QPPSTSIPSTDSISAPAAKCTASATHARETTSTTQPPASNPQWGAEPHRHPKGILRHVKN LAELEKSVSNMYSHIEKNCPPADPSKLHTFCPAEKTGMKITHDQSQETLVRVVEGIDVQP HSQSTSL >ENSMUSP00000114326.2 pep:known chromosome:GRCm38:10:73821883:74632664:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000125055.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQHRDSQPREGSRPREHRPLHPH RHSLRWQTGWNLNCHCEHSGDGRQ >ENSMUSP00000141792.1 pep:known chromosome:GRCm38:10:73821883:74633598:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000193361.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDWQYEDCKLARGGPPATIVAIDEESRNGTI LVDNMLIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIV VQVQCVNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNG ATDIDDGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQAN DRAQNLNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEEL NPILVTPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNR DFHQKFDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATIS ESLNLTTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQ TYTFLITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVD ADEGSNGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERR HSICTVYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENG DPQRVFNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAP VFDPYLPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAV KLNREARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAG TSFLQIEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFL VEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADP PGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPV MSSSATVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGN EEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQ DENDHPPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGF VVETYTGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNV PPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDP QTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEG ALLALAFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPP PPPPPPPPGAHLYEELGESAMHNLFLLYHFEQSRGNNSVPEDRSSHRDGMAFSSSTTESH EPAHVEGPLKESQPNPARTFSFVPDEDNLSTHNPLYMESIGQRSTNSDLQPRTDFEELLA PRTQVKSQSLRGPREKIQRVWNQSVSFPRRLMWKAPNRPETIDLVEWQITNQRAECESAR CHPSQRGSSNVLLATEDAHESEKEGGHRDTLIVQQTEQLKSLSSGSSFSSSWSHFSFSTL PTISRAVELGSEPNVVTSPADCTLELSPPLRPRILNSLSSKRETPTCASDTEPKRNSFEI APHPPSISAPLPHPPLPRPPIAFTTFPLPLSPPNPPPPQLVTFSLPISTPPTSSLPLPPP LSLPPPPRPPAPRLFPQPPSTSIPSTDSISAPAAKCTASATHARETTSTTQPPASNPQWG AEPHRHPKGILRHVKNLAELEKSVSNMYSHIEKNCPPADPSKLHTFCPAEKTGMKITHDQ SQETLVRVVEGIDVQPHSQSTSL >ENSMUSP00000122466.2 pep:known chromosome:GRCm38:10:73821883:74644727:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000131724.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRV FNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPY LPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNRE ARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQ IEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFD IYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPA SRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSA TVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKF GINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDH PPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETY TGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLV EKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRA IDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLAL AFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPP PPPGAHLYEELGESAMHKSYPWNLGLILARNMDKIITVMSMGMRCPSMEVAVDCCHLLDR RNTAKSLVKLKRNMKKKR >ENSMUSP00000118201.2 pep:known chromosome:GRCm38:10:73821883:74644727:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000152655.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRV FNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGVEPEKVKKPKVEIREPSEEEVVV TVEKPPAAEPTYPTWKRARIFPMIFKKVRGLAEKRGIDLEGEEWRRRLDEEDKDYLQLTL DQEEATESTVESEEESSDYTEYTETESEFSESETTEESESETPSEEAEESSTPESEESES TESEGEKARKNIVLARRRPVVEEIQEVKGKREEPPVEEEEEPPLEEEERAEEGEESEAAP MDESTDLEAQDVPEEGSAESVSMERGVESEESESELSSSSSTSESLSGGPWGFQVPEYDR RKDEEPKKSPGANSEGYNTAL >ENSMUSP00000122606.2 pep:known chromosome:GRCm38:10:73821883:74644727:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000144302.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPDFGSLRSG ANSWCQGCGGVHRCPSPWRRLLPRRL >ENSMUSP00000119662.2 pep:known chromosome:GRCm38:10:73821883:74644727:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000151116.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDWQYEDCKLARGGPPATIVAIDEESRNGTI LVDNMLIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIV VQVQCVNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNG ATDIDDGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQAN DRAQNLNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEEL NPILVTPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNR DFHQKFDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATIS ESLNLTTPLRIVALDKDIEDVPPGGVPTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQP VDREEQQTYTFLITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSV IQLTAVDADEGSNGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDN APPAERRHSICTVYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPI TYAIENGDPQRVFNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVT DVNDNAPVFDPYLPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSN GSIYTAVKLNREARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVV EENLPAGTSFLQIEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQ EASITFLVEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTV YAEDADPPGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVA FDDGEPVMSSSATVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIV YSIVAGNEEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTA KVYIEIQDENDHPPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPI KEGKEGFVVETYTGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDM QVIVSNVPPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDL TVYAIDPQTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGE SLGYTEGALLALAFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPV PAAPAPPPPPPPPPPGAHLYEELGESAMHKYEMPQYGSRRRLLPPAGQEEYGEVIGEAEE EYEEEEVEPEKVKKPKVEIREPSEEEVVVTVEKPPAAEPTYPTWKRARIFPMIFKKVRGL AEKRGIDLEGEEWRRRLDEEDKDYLQLTLDQEEATESTVESEEESSDYTEYTETESEFSE SETTEESESETPSEEAEESSTPESEESESTESEGEKARKNIVLARRRPVVEEIQEVKGKR EEPPVEEEEEPPLEEEERAEEGEESEAAPMDESTDLEAQDVPEEGSAESVSMERGVESEE SESELSSSSSTSESLSGGPWGFQVPEYDRRKDEEPKKSPGANSEGYNTAL >ENSMUSP00000135495.1 pep:known chromosome:GRCm38:10:73821883:74644727:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000155701.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGRARES >ENSMUSP00000123647.2 pep:known chromosome:GRCm38:10:73821883:74644727:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000152819.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKVEPEKVKKPKVEIREPSEEEVVVTVEKPPAAEPTYPTWKRARIFPMIFKKVRGL AEKRGIDLEGEEWRRRLDEEDKDYLQLTLDQEEATESTVESEEESSDYTEYTETESEFSE SETTEESESETPSEEAEESSTPESEESESTESEGEKARKNIVLARRRPVVEEIQEVKGKR EEPPVEEEEEPPLEEEERAEEGEESEAAPMDESTDLEAQDVPEEGSAESVSMERGVESEE SESELSSSSSTSESLSGGPWGFQVPEYDRRKDEEPKKSPGANSEGYNTAL >ENSMUSP00000115399.2 pep:known chromosome:GRCm38:10:73821883:74644727:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000125517.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDVEPEKVKKPKVEIREPSEEEVVVTVEKPPAAEPTYPTWKRARIFP MIFKKVRGLAEKRGIDLEGEEWRRRLDEEDKDYLQLTLDQEEATESTVESEEESSDYTEY TETESEFSESETTEESESETPSEEAEESSTPESEESESTESEGEKARKNIVLARRRPVVE EIQEVKGKREEPPVEEEEEPPLEEEERAEEGEESEAAPMDESTDLEAQDVPEEGSAESVS MERGVESEESESELSSSSSTSESLSGGPWGFQVPEYDRRKDEEPKKSPGANSEGYNTAL >ENSMUSP00000121130.1 pep:known chromosome:GRCm38:10:73821883:74644727:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000124046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MPSYQGYILESAPVGATISESLNLTTPLRIVALDKDIEDVPPGGVPTKDPELHLFLNDYT SVFTVTPTGITRYLTLLQPVDREEQQTYTFLITAFDGVQESEPVVVNIRVMDANDNTPTF PEISYDVYVYTDMSPGDSVIQLTAVDADEGSNGEISYEILVGGKGDFVINKTTGLVSIAP GVELIVGQTYALTVQASDNAPPAERRHSICTVYIEVLPPNNQSPPRFPQLMYSLEVSEAM RIGAILLNLQATDREGDPITYAIENGDPQRVFNLSETTGILSLGKALDRESTDRYILIVT ASDGRPDGTSTATVNIVVTDVNDNAPVFDPYLPRNLSVVEEEANAFVGQVRATDPDAGIN GQVHYSLGNFNNLFRITSNGSIYTAVKLNREARDHYELVVVATDGAVHPRHSTLTLYIKV LDIDDNSPVFTNSTYTVVVEENLPAGTSFLQIEAKDVDLGANVSYRIRSPEVKHLFALHP FTGELSLLRSLDYEAFPDQEASITFLVEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKR IYKGMVAPDAVKGTPITTVYAEDADPPGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVV TRVNLNEEPTTIFKLVVVAFDDGEPVMSSSATVRILVLHPGEIPRFTQEEYRPPPVSELA ARGTVVGVISAAAINQSIVYSIVAGNEEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQA DSLEVVLANLRVPSKSNTAKVYIEIQDENDHPPVFQKKFYIGGVSEDARMFASVLRVKAT DRDTGNYSAMAYRLIIPPIKEGKEGFVVETYTGLIKTAMLFHNMRRSYFKFQVIATDDYG KGLSGKADVLVSVVNQLDMQVIVSNVPPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESI GARRHGDAYSLEDYSKCDLTVYAIDPQTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGG RILEIRTPEAVTSIKKRGESLGYTEGALLALAFIIILCCIPAILVVLVSYRQFKVRQAEC TKTARIQSAMPAAKPAAPVPAAPAPPPPPPPPPPGAHLYEELGESAMHKSYPWNLGLILA RNMDKIITVMSMGMRCPSMEVAVDCCHLLDRRNTAKSLVKLKRNMKKKR >ENSMUSP00000134863.1 pep:known chromosome:GRCm38:10:73821883:74646314:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000146682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MQVIVSNVPPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCD LTVYAIDPQTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRG ESLGYTEGALLALAFIIILCCIPAILVVLVSYRQGGFAPEHQLLRPSLLKPEELSMESGI DPGQEYGQDYYSYEHGYEMPQYGSRRRLLPPAGQEEYGEVIGEAEEEYEEEEWARKRMIK LVVDREYESSSPGEDSAPESQRSRTHKPSGRSNVNGNIYIAQNGSVVRTRRACVADNLKV PSPGLLGRHLKKLDTLAGTREENVPLNTLFKGPFSTEKAKRTPTLVTFAPCPVVAEHSAV KPSGTRLKHTAEQESMVDSRLSRESMEFHGDSAPSDEEELWMGPWNSLHIPMTKL >ENSMUSP00000135501.1 pep:known chromosome:GRCm38:10:73821883:74646314:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000177107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDWQYEDCKLARGGPPATIVAIDEESRNGTI LVDNMLIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIV VQVQCVNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNG ATDIDDGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQAN DRAQNLNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEEL NPILVTPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNR DFHQKFDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATIS ESLNLTTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQ TYTFLITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVD ADEGSNGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERR HSICTVYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENG DPQRVFNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAP VFDPYLPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAV KLNREARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAG TSFLQIEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFL VEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADP PGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPV MSSSATVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGN EEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQ DENDHPPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGF VVETYTGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNV PPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDP QTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEG ALLALAFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPP PPPPPPPPGAHLYEELGESAMHKYEMPQYGSRRRLLPPAGQEEYGEVIGEAEEEYEEEEW ARKRMIKLVVDREYESSSPGEDSAPESQRSRTHKPSGRSNVNGNIYIAQNGSVVRTRRAC VADNLKVPSPGLLGRHLKKLDTLAGTREENVPLNTLFKGPFSTEKAKRTPTLVTFAPCPV VAEHSAVKPSGTRLKHTAEQESMVDSRLSRESMEFHGDSAPSDEEELWMGPWNSLHIPMT KL >ENSMUSP00000118833.3 pep:known chromosome:GRCm38:10:73821883:74646478:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000149977.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRV FNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPY LPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNRE ARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQ IEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFD IYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPA SRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSA TVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKF GINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDH PPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETY TGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLV EKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRA IDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLAL AFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPP PPPGAHLYEELGESAMYEMPQYGSRRRLLPPAGQEEYGEVIGEAEEEYEEEEWARKRMIK LVVDREYESSSPGEDSAPESQRSRTHKPSGRSNVNGNIYIAQNGSVVRTRRACVADNLKV PSPGLLGRHLKKLDTLAGTREENVPLNTLFKGPFSTEKAKRTPTLVTFAPCPVVAEHSAV KPSGTRLKHTAEQESMVDSRLSRESMEFHGDSAPSDEEELWMGPWNSLHIPMTKL >ENSMUSP00000141973.1 pep:known chromosome:GRCm38:10:73821883:74649737:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000191854.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRV FNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPY LPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNRE ARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQ IEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFD IYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPA SRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSA TVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKF GINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDH PPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETY TGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLV EKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRA IDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLAL AFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPP PPPGAHLYEELGESAMHKYEMPQYGSRRRLLPPAGQEEYGEVIGEAEEEYEEEEWARKRM IKLVVDREYESSSPGEDSAPESQRSRTHKPSGRSNVNGNIYIAQNGSVVRTRRACVADNL KVPSPGLLGRHLKKLDTLAGTREENVPLNTLFKGPFSTEKAKRTPTLVTFAPCPVVAEHS AVKPSGTRLKHTAEQESMVDSRLSRESMEFHGDSAPSDEEELWMGPWNSLHIPMTKL >ENSMUSP00000120618.2 pep:known chromosome:GRCm38:10:73821943:74507600:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000134009.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTI FKLVVVAFDDGEPVMSSSATVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAA AINQSIVYSIVAGNEEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRV PSKSLSVIPCSWRTQVSKSLGLELGVPVSHSVESGTRTGSSTRAASVPIH >ENSMUSP00000135849.1 pep:known chromosome:GRCm38:10:73821943:74507600:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000177420.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDWQYEDCKLARGGPPATIVAIDEESRNGTI LVDNMLIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIV VQVQCVNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNG ATDIDDGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQAN DRAQNLNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEEL NPILVTPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNR DFHQKFDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATIS ESLNLTTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQ TYTFLITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVD ADEGSNGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERR HSICTVYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENG DPQRVFNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAP VFDPYLPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAV KLNREARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAG TSFLQIEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFL VEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADP PGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPV MSSSATVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGN EEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSLSVIPCSWRT QVSKSLGLELGVPVSHSVESGTRTGSSTRAASVPIH >ENSMUSP00000120056.2 pep:known chromosome:GRCm38:10:73821943:74507600:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000125006.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRV FNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPY LPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNRE ARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQ IEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFD IYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPA SRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSA TVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKF GINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSLSVIPCSWRTQVSKS LGLELGVPVSHSVESGTRTGSSTRAASVPIH >ENSMUSP00000142313.1 pep:known chromosome:GRCm38:10:73947056:74644218:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000191709.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDWQYEDCKLARGGPPATIVAIDEESRNGTI LVDNMLIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIV VQVQCVNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNG ATDIDDGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQAN DRAQNLNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEEL NPILVTPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNR DFHQKFDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATIS ESLNLTTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQ TYTFLITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVD ADEGSNGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERR HSICTVYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENG DPQRVFNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAP VFDPYLPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAV KLNREARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAG TSFLQIEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFL VEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADP PGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPV MSSSATVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGN EEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQ DENDHPPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGF VVETYTGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNV PPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDP QTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEG ALLALAFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPP PPPPPPPPGAHLYEELGESAMYEMPQYGSRRRLLPPAGQEEYGEVIGEAEEEYEEEEVEP EKVKKPKVEIREPSEEEVVVTVEKPPAAEPTYPTWKRARIFPMIFKKVRGLAEKRGIDLE GEEWRRRLDEEDKDYLQLTLDQEEATESTVESEEESSDYTEYTETESEFSESETTEESES ETPSEEAEESSTPESEESESTESEGEKARKNIVLARRRPVVEEIQEVKGKREEPPVEEEE EPPLEEEERAEEGEESEAAPMDESTDLEAQDVPEEGSAESVSMERGVESEESESELSSSS STSESLSGGPWGFQVPEYDRRKDEEPKKSPGANSEGYNTAL >ENSMUSP00000142173.1 pep:known chromosome:GRCm38:10:73947056:74646072:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000193739.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDWQYEDCKLARGGPPATIVAIDEESRNGTI LVDNMLIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIV VQVQCVNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNG ATDIDDGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQAN DRAQNLNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEEL NPILVTPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNR DFHQKFDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATIS ESLNLTTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQ TYTFLITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVD ADEGSNGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERR HSICTVYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENG DPQRVFNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAP VFDPYLPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAV KLNREARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAG TSFLQIEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFL VEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADP PGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPV MSSSATVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGN EEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQ DENDHPPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGF VVETYTGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNV PPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDP QTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEG ALLALAFIIILCCIPAILVVLVSYRQRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPP PPPPPGAHLYEELGESAMYEMPQYGSRRRLLPPAGQEEYGEVIGEAEEEYEEEEWARKRM IKLVVDREYESSSPGEDSAPESQRSRTHKPSGRSNVNGNIYIAQNGSVVRTRRACVADNL KVPSPGLLGRHLKKLDTLAGTREENVPLNTLFKGPFSTEKAKRTPTLVTFAPCPVVAEHS AVKPSGTRLKHTAEQESMVDSRLSRESMEFHGDSAPSDEEELWMGPWNSLHIPMTKL >ENSMUSP00000141920.1 pep:known chromosome:GRCm38:10:73947056:74646168:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000195531.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERRHSICT VYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENGDPQRV FNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPY LPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNRE ARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQ IEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFD IYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPA SRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSA TVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKF GINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDH PPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETY TGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLV EKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRA IDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLAL AFIIILCCIPAILVVLVSYRQRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPPPPP GAHLYEELGESAMGGFAPEHQLLRPSLLKPEELSMESGIDPGQEYGQDYYSYEHGYEMPQ YGSRRRLLPPAGQEEYGEVIGEAEEEYEEEEWARKRMIKLVVDREYESSSPGEDSAPESQ RSRTHKPSGRSNVNGNIYIAQNGSVVRTRRACVADNLKVPSPGLLGRHLKKLDTLAGTRE ENVPLNTLFKGPFSTEKAKRTPTLVTFAPCPVVAEHSAVKPSGTRLKHTAEQESMVDSRL SRESMEFHGDSAPSDEEELWMGPWNSLHIPMTKL >ENSMUSP00000141594.1 pep:known chromosome:GRCm38:10:74355859:74632667:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000194315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] HSICTVYIEVLPPNNQSPPRFPQLMYSLEVSEAMRIGAILLNLQATDREGDPITYAIENG DPQRVFNLSETTGILSLGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAP VFDPYLPRNLSVVEEEANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAV KLNREARDHYELVVVATDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAG TSFLQIEAKDVDLGANVSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFL VEAFDIYGTMPPGIATVTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADP PGMPASRVRYRVDDVQFPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPV MSSSATVRILVLHPGEIPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGN EEDKFGINNVTGVIYVNSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQ DENDHPPVFQKKFYIGGVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGF VVETYTGLIKTAMLFHNMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNV PPTLVEKKIEDLTEILDRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDP QTNRAIDRNELFKFLDGKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEG ALLALAFIIILCCIPAILVVLVSYRQFKVRQAECTKTARIQSAMPAAKPAAPVPAAPAPP PPPPPPPPGAHLYEELGESAMHNRGNNSVPEDRSSHRDGMAFSSSTTESHEPAHVEGPLK ESQPNPARTFSFVPDEDNLSTHNPLYMESIGQRSTNSDLQPRTDFEELLAPRTQVKSQSL RGPREKIQRVWNQSVSFPRRLMWKAPNRPETIDLVEWQITNQRAECESARCHPSQRGSSN VLLATEDAHESEKEGGHRDTLIVQQTEQLKSLSSGSSFSSSWSHFSFSTLPTISRAVELG SEPNVVTSPADCTLELSPPLRPRILNSLSSKRETPTCASDTEPKRNSFEIAPHPPSISAP LPHPPLPRPPIAFTTFPLPLSPPNPPPPQLVTFSLPISTPPTSSLPLPPPLSLPPPPRPP APRLFPQPPSTSIPSTDSISAPAAKCTASATHARETTSTTQPPASNPQWGAEPHRHPKGI LRHVKNLAELEKSVSNMYSHIEKNCPPADPSKLHTFCPAEKTGMKITHDQSQETLVRVVE GIDVQPHSQSTSL >ENSMUSP00000068561.7 pep:known chromosome:GRCm38:10:73821867:74634765:1 gene:ENSMUSG00000052613.16 transcript:ENSMUST00000064562.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh15 description:protocadherin 15 [Source:MGI Symbol;Acc:MGI:1891428] MFLQFAVWKCLPHGILIASLLVVSWGQYDDDCKLARGGPPATIVAIDEESRNGTILVDNM LIKGTAGGPDPTIELSLKDNVDYWVLLDPVKQMLFLNSTGRVLDRDPPMNIHSIVVQVQC VNKKVGTVIYHEVRIVVRDRNDNSPTFKHESYYATVNELTPVGTTIFTGFSGDNGATDID DGPNGQIEYVIQYNPEDPTSNDTFEIPLMLTGNVVLRKRLNYEDKTRYYVIIQANDRAQN LNERRTTTTTLTVDVLDGDDLGPMFLPCVLVPNTRDCRPLTYQAAIPELRTPEELNPILV TPPIQAIDQDRNIQPPSDRPGILYSILVGTPEDYPRFFHMHPRTAELTLLEPVNRDFHQK FDLVIKAEQDNGHPLPAFASLHIEILDENNQSPYFTMPSYQGYILESAPVGATISESLNL TTPLRIVALDKDIEDTKDPELHLFLNDYTSVFTVTPTGITRYLTLLQPVDREEQQTYTFL ITAFDGVQESEPVVVNIRVMDANDNTPTFPEISYDVYVYTDMSPGDSVIQLTAVDADEGS NGEISYEILVGGKGDFVINKTTGLVSIAPGVELIVGQTYALTVQASDNAPPAERSTGILS LGKALDRESTDRYILIVTASDGRPDGTSTATVNIVVTDVNDNAPVFDPYLPRNLSVVEEE ANAFVGQVRATDPDAGINGQVHYSLGNFNNLFRITSNGSIYTAVKLNREARDHYELVVVA TDGAVHPRHSTLTLYIKVLDIDDNSPVFTNSTYTVVVEENLPAGTSFLQIEAKDVDLGAN VSYRIRSPEVKHLFALHPFTGELSLLRSLDYEAFPDQEASITFLVEAFDIYGTMPPGIAT VTVIVKDMNDYPPVFSKRIYKGMVAPDAVKGTPITTVYAEDADPPGMPASRVRYRVDDVQ FPYPASIFDVEEDSGRVVTRVNLNEEPTTIFKLVVVAFDDGEPVMSSSATVRILVLHPGE IPRFTQEEYRPPPVSELAARGTVVGVISAAAINQSIVYSIVAGNEEDKFGINNVTGVIYV NSPLDYETRTSYVLRVQADSLEVVLANLRVPSKSNTAKVYIEIQDENDHPPVFQKKFYIG GVSEDARMFASVLRVKATDRDTGNYSAMAYRLIIPPIKEGKEGFVVETYTGLIKTAMLFH NMRRSYFKFQVIATDDYGKGLSGKADVLVSVVNQLDMQVIVSNVPPTLVEKKIEDLTEIL DRYVQEQIPGAKVVVESIGARRHGDAYSLEDYSKCDLTVYAIDPQTNRAIDRNELFKFLD GKLLDINKDFQPYYGEGGRILEIRTPEAVTSIKKRGESLGYTEGALLALAFIIILCCIPA ILVVLVSYRHFQRRQAECTKTARIQSAMPAAKPAAPVPAAPAPPPPPPPPPPGAHLYEEL GESAILFLLYHFEQSRGNNSVPEDRSSHRDGMAFSSSTTESHEPAHVEGPLKESQPNPAR TFSFVPDEDNLSTHNPLYMESIGQRSTNSDLQPRTDFEELLAPRTQVKSQSLRGPREKIQ RVWNQSVSFPRRLMWKAPNRPETIDLVEWQITNQRAECESARCHPSQRGSSNVLLATEDA HESEKEGGHRDTLIVQQTEQLKSLSSGSSFSSSWSHFSFSTLPTISRAVELGSEPNVVTS PADCTLELSPPLRPRILNSLSSKRETPTCASDTEPKRNSFEIAPHPPSISAPLPHPPLPR PPIAFTTFPLPLSPPNPPPPQLVTFSLPISTPPTSSLPLPPPLSLPPPPRPPAPRLFPQP PSTSIPSTDSISAPAAKCTASATHARETTSTTQPPASNPQWGAEPHRHPKGILRHVKNLA ELEKSVSNMYSHIEKNCPPADPSKLHTFCPAEKTGMKITHDQSQETLVRVVEGIDVQPHS QSTSL >ENSMUSP00000101343.1 pep:known chromosome:GRCm38:4:147822986:147848366:-1 gene:ENSMUSG00000059423.13 transcript:ENSMUST00000105718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp933 description:zinc finger protein 933 [Source:MGI Symbol;Acc:MGI:1922865] MDAVSYDDVHVNFTQEEWVLLDPSQKSLYKDVMLETYRNLSAIGYNWEAHPTEEHCQSSR RRGRHERSPTGEEPYECNKSGKAFAYHHYPQWHERIHTGEKPYEVIQYGEDFVYHSSLQI YKRIAIGEKPYECNQCGKAFTYHSQLRRHKRTHTGEKPYKCNQCDKAFSQNGHLIIHKRT HTGEKPYECNQCGKAFTDQSQLRIHKRAHTGEKPYKCNQCDKAFLQNINLRIHKRAHTGE KPYKCNQCDKAFAQNGHLIIHKRTHTGEKPYECNQCGKAFADQSQLRIHRRIHTGEKPYK CNQCDKAFAQHSNLRIHKRTHTGEKPYECNQCDKAFLQNINLKIHKRAHTGEKSYECSQC GKAFARYYHLQCHERIHTEEKPYKCNQCDKVFSQSHSLQIHKRTHTGEKPYVCNQCGKAF ADNSHLRRHKRTHTGEKPYECNQCGSAFSQNSYLRIHKRTHTGEKHFE >ENSMUSP00000118300.1 pep:known chromosome:GRCm38:4:147826747:147848366:-1 gene:ENSMUSG00000059423.13 transcript:ENSMUST00000135798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp933 description:zinc finger protein 933 [Source:MGI Symbol;Acc:MGI:1922865] MLETYRNLSAIGYNWEAHPTEEHCQSSRRRGRHERSPTGEEPYECNKSGKAFAYHHYPQW HERIHTGEKPYEVIQYGEDFVYHSSLQIYKRIAIGEKP >ENSMUSP00000125077.2 pep:known chromosome:GRCm38:6:29200434:29216331:-1 gene:ENSMUSG00000003500.13 transcript:ENSMUST00000162739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh1 description:inosine 5'-phosphate dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:96567] MEEPLSPPPESGLLSPLLQGGGAAAAPEPGARQHPGHETAAQRYSARLLQAGYEPESDFL ILPGFIDFIADEVDLTSALTRKITLKTPLISSPMDTVTEADMAIAMALMGGIGFIHHNCT PEFQANEVRKVKKFEQGFITDPVVLSPSHTVGDVLEAKIQHGFSGIPITATGTMGSKLVG IVTSRDIDFLAEKDHTTLLSEVMTPRVELVVAPAGVTLKEANEILQRSKKGKLPIVNDQD ELVAIIARTDLKKNRDYPLASKDSHKQLLCGAAVGTREDDKYRLDLLTQAGADVIVLDSS QGNSVYQIAMVHYIKQKYPHLQVIGGNVVTAAQAKNLIDAGVDGLRVGMGCGSICITQEV MACGRPQGTAVYKVAEYARRFGVPVIADGGIQTVGHVVKALALGASTVMMGSLLAATTEA PGEYFFSDGVRLKKYRGMGSLDAMEKSSSSQKRYFSEGDKVKIAQGVSGSIQDKGSIQKF VPYLIAGIQHGCQDIGAQSLSVLRSMMYSGELKFEKRTMSAQIEGGVHGLHSYEKRLY >ENSMUSP00000124269.1 pep:known chromosome:GRCm38:6:29200437:29212249:-1 gene:ENSMUSG00000003500.13 transcript:ENSMUST00000160878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh1 description:inosine 5'-phosphate dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:96567] MADYLISGGTGYVPEDGLTAQQLFANADGLTYNDFLILPGFIDFIADEVDLTSALTRKIT LKTPLISSPMDTVTEADMAIAMAKFEQGFITDPVVLSPSHTVGDVLEAKIQHGFSGIPIT ATGTMGSKLVGIVTSRDIDFLAEKDHTTLLSEVMTPRVELVVAPAGVTLKEANEILQRSK KGKLPIVNDQDELVAIIARTDLKKNRDYPLASKDSHKQLLCGAAVGTREDDKYRLDLLTQ AGADVIVLDSSQGNSVYQIAMVHYIKQKYPHLQVIGGNVVTAAQAKNLIDAGVDGLRVGM GCGSICITQEVMACGRPQGTAVYKVAEYARRFGVPVIADGGIQTVGHVVKALALGASTVM MGSLLAATTEAPGEYFFSDGVRLKKYRGMGSLDAMEKSSSSQKRYFSEGDKVKIAQGVSG SIQDKGSIQKFVPYLIAGIQHGCQDIGAQSLSVLRSMMYSGELKFEKRTMSAQIEGGVHG LHSYEKRLY >ENSMUSP00000077289.5 pep:known chromosome:GRCm38:6:29200437:29212297:-1 gene:ENSMUSG00000003500.13 transcript:ENSMUST00000078155.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh1 description:inosine 5'-phosphate dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:96567] MADYLISGGTGYVPEDGLTAQQLFANADGLTYNDFLILPGFIDFIADEVDLTSALTRKIT LKTPLISSPMDTVTEADMAIAMALMGGIGFIHHNCTPEFQANEVRKVKKFEQGFITDPVV LSPSHTVGDVLEAKIQHGFSGIPITATGTMGSKLVGIVTSRDIDFLAEKDHTTLLSEVMT PRVELVVAPAGVTLKEANEILQRSKKGKLPIVNDQDELVAIIARTDLKKNRDYPLASKDS HKQLLCGAAVGTREDDKYRLDLLTQAGADVIVLDSSQGNSVYQIAMVHYIKQKYPHLQVI GGNVVTAAQAKNLIDAGVDGLRVGMGCGSICITQEVMACGRPQGTAVYKVAEYARRFGVP VIADGGIQTVGHVVKALALGASTVMMGSLLAATTEAPGEYFFSDGVRLKKYRGMGSLDAM EKSSSSQKRYFSEGDKVKIAQGVSGSIQDKGSIQKFVPYLIAGIQHGCQDIGAQSLSVLR SMMYSGELKFEKRTMSAQIEGGVHGLHSYEKRLY >ENSMUSP00000124931.1 pep:known chromosome:GRCm38:6:29200437:29216364:-1 gene:ENSMUSG00000003500.13 transcript:ENSMUST00000159124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh1 description:inosine 5'-phosphate dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:96567] MADYLISGGTGYVPEDGLTAQQLFANADGLTYNDFLILPGFIDFIADEVDLTSALTRKIT LKTPLISSPMDTVTEADMAIAMALMGGIGFIHHNCTPEFQANEVRKVKKFEQGFITDPVV LSPSHTVGDVLEAKIQHGFSGIPITATGTMGSKLVGIVTSRDIDFLAEKDHTTLLSEVMT PRVELVVAPAGVTLKEANEILQRSKKGKLPIVNDQDELVAIIARTDLKKNRDYPLASKDS HKQLLCGAAVGTREDDKYRLDLLTQAGADVIVLDSSQGNSVYQIAMVHYIKQKYPHLQVI GGNVVTAAQAKNLIDAGVDGLRVGMGCGSICITQEVMACGRPQGTAVYKVAEYARRFGVP VIADGGIQTVGHVVKALALGASTVMMGSLLAATTEAPGEYFFSDGVRLKKYRGMGSLDAM EKSSSSQKRYFSEGDKVKIAQGVSGSIQDKGSIQKFVPYLIAGIQHGCQDIGAQSLSVLR SMMYSGELKFEKRTMSAQIEGGVHGLHSYEKRLY >ENSMUSP00000124541.1 pep:known chromosome:GRCm38:6:29200438:29216342:-1 gene:ENSMUSG00000003500.13 transcript:ENSMUST00000162099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh1 description:inosine 5'-phosphate dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:96567] MADYLISGGTGYVPEDGLTAQQLFANADGLTYNDFLILPGFIDFIADEVDLTSALTRKIT LKTPLISSPMDTVTEADMAIAMALMGGIGFIHHNCTPEFQANEVRKVKKFEQGFITDPVV LSPSHTVGDVLEAKIQHGFSGIPITATGTMGSKLVGIVTSRDIDFLAEKDHTTLLSEVMT PRVELVVAPAGVTLKEANEILQRSKKGKLPIVNDQDELVAIIARTDLKKNRDYPLASKDS HKQLLCGAAVGTREDDKYRLDLLTQAGADVIVLDSSQGNSVYQIAMVHYIKQKYPHLQVI GGNVVTAAQAKNLIDAGVDGLRVGMGCGSICITQEVMACGRPQGTAVYKVAEYARRFGVP VIADGGIQTVGHVVKALALGASTVMMGSLLAATTEAPGEYFFSDGVRLKKYRGMGSLDAM EKSSSSQKRYFSEGDKVKIAQGVSGSIQDKGSIQKFVPYLIAGIQHGCQDIGAQSLSVLR SMMYSGELKFEKRTMSAQIEGGVHGLHSYEKRLY >ENSMUSP00000123981.1 pep:known chromosome:GRCm38:6:29200445:29203420:-1 gene:ENSMUSG00000003500.13 transcript:ENSMUST00000162242.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh1 description:inosine 5'-phosphate dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:96567] XVIADGGIQTVGHVVKALALGASTVMMGSLLAATTEAPGEYFFSDGVRLKKYRGMGSLDA MEKSSSSQKRYFSEGDKVKIAQGVSGSIQDKGSIQKFVPYLIAGIQHGCQDIGAQSLSVL RSMMYSGELKFEKRTMSAQIEGGVHGLHSYTFLPFTRSGCTEDSGGGQGSGGGEPRGPPL GTASLQN >ENSMUSP00000125235.1 pep:known chromosome:GRCm38:6:29205107:29216277:-1 gene:ENSMUSG00000003500.13 transcript:ENSMUST00000162215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh1 description:inosine 5'-phosphate dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:96567] MADYLISGGTGYVPEDGLTAQQLFANADGLTYNDFLILPGFIDFIADEVDLTSALTRKIT LKTPLISSPMDTVTEADMAIAMALMGGIGFIHHNCTPEFQANEVRKVKKFEQGFITDPVV LSPSHTVGDVLEAKIQHGFSGIPITATGTMGSKLVMTPRVELVVAPAGVTLKEANEILQR SKKGKLPIVNDQDELVAIIARTDLKKNRDYPLASKDSHKQLLCGAAVGTRE >ENSMUSP00000125488.1 pep:known chromosome:GRCm38:6:29206995:29215929:-1 gene:ENSMUSG00000003500.13 transcript:ENSMUST00000160749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh1 description:inosine 5'-phosphate dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:96567] MADYLISGGTGYVPEDGLTAQQLFANADGLTYNDFLILPGFIDFIADEVDLTSALTRKIT LKTPLISSPMDTVTEADMAIAMAL >ENSMUSP00000119891.1 pep:known chromosome:GRCm38:4:70216856:70410443:-1 gene:ENSMUSG00000039298.16 transcript:ENSMUST00000144099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap2 description:CDK5 regulatory subunit associated protein 2 [Source:MGI Symbol;Acc:MGI:2384875] MDSGMEEEGALPGTLSGCSGLHPVLPSDLDVISDTSGLGNGVLPSMSEEKVSPTRARNMK DFENQITELKKENFNLKLRIYFLEERIQQEFAGPTEHIYKTNIELKVEVESLKRELQEKD QLLVKASKAVESLAERGGSEVQRVKEDARKKVQQVEDLLTKRIHLLEEDVKAAQAELEKA FAGTETEKALRLSLESKLSAMKKMQEGDLEMTLALEEKDRLIEELKLSLKSKEALIQCLK EEKSQMASPDENVSSGELRGLSATLREEKERDAEEWQKERNHFEERIQALQEDLREKERE IATEKKNNLKSYKAIQGLTMALKSKEREVEELDSKIKEVTTDSTKGREDPLKTQIPRFQL REGSEDCEAALVEKEALLAKLHSENVTKSTENHRLLRNVKKVTQELNDLKKEKLRLEQAL EEAHQEGNRGARTIHDLRNEVEKLRKEVSEREKAVEKHYKSLPGESKTKFHTQEQVVRSL TGSGSQEDLLLQKSNEKDLEAIQQNCYLMTAEELKFGSDGLITEKCSQQSPDSKLIFSKE KQQSEYEGLTGDLKAEQNIYAHLAKTQDTDSVSNLQAELKEVLALRKQLEQDVLAYRNLQ KALQEQLSEIRSREEEPFSFYSDQTSYLSICLEEHNQFQLEHFSQEELKKKVSDLIQLVK DLHTDNQHLKKTIFDLSSVGFQGSDRLELTKQEELVASKEDEDTLKFEADVETPFQSDQH LEQSREIMEDYAEGGCKSGYGRHMDSNILGHDGAQTPGASEEHTLEDELLGLLATLFSKK ATPLLESRPDLLKALGALLLERICLAEQGRPGDHLDSKTEKALQQVAVQLRDELGHSFPA NSFSKSYNEVKSMWGNWLVKTGDEDTVELKSVSVQTMAIEDTPHGFKPQSKRDAWAEKQE EAIFSTELESEALGEMPGQQATHLSFPSAINPDAEKTGLLIQLKTPELLENLYNLPASPE VVVAQLQGQVLELQRELKEFKTRNKQLHEKLILAEAMMEGLPVPNSALVNVPAAQAVVRT AFQDNPGEQEGPETTQSAGRDKDMDSDQYTSFEIDSEICPPDDLALLPACKENLEDLLGP SSIATYLDSKSQLSVKVSVNGTDQSENINIPDDTEDLKQKIHDLQTELEGYRNIIVQLLK HSQCSEAIITVLCGTEGAQDGLNKPKGHIDEEEMTFSSLHQVRYVKHMKILRPLTPEMID GKMLESLKQQLVDQEQELQKEQDLNLELFGEIHDLQNKFQDLSPSRYDSLVQSQARELSL QRQQIKDSHGICVIYRQHMSTMIKAFEELLQASDVDSCVAEGFREQLTQCAGLLEQLERL FLHGKSARVEPHPQNELLKGLRTVEGNLPYHHLLPESPEPSASHALSDDEMSEKSFLSRD PKPDSDTEKYPAMASHFPQDLLMEHIQEIRTLRKHLEESIKTNEKLRKQLERQGSETDQG SRNVSACGLALHSSLTSEIHFLRKQNEALSMMLEKGSKDKQKESEKLRESLARKAESLEQ LQLEYTSVREENERLQRDIIEKERHNQELTEEVCSSRQELSRVQEEAKSRQQLLSQKDKL LQSLQMELKVYEKLAEEHPRLQQDGSKCPEASDNSFDLFESTQAMAPKSASETPLLSGTD VDSLSCDSTSSATSPTSMPCLVAGHHMWASKSGHHMLGLIEDYDALYKQISWGQTLLAKM DVQTQEALSPTSHKLGPKGSSSVPLSKFLSSMNTAKLVLEKASRLLKLFWRVSVPTNGQC SLHCEQIGEMKAENTKLHKKLFEQEKKLQNTAKLLQQSKHQEKVIFDQLVITHQVLRKAR GNLELRPGATRPGASSPSRPGS >ENSMUSP00000075856.6 pep:known chromosome:GRCm38:4:70223023:70410418:-1 gene:ENSMUSG00000039298.16 transcript:ENSMUST00000076541.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk5rap2 description:CDK5 regulatory subunit associated protein 2 [Source:MGI Symbol;Acc:MGI:2384875] MDSGMEEEGALPGTLSGCSGLHPVLPSDLDVISDTSGLGNGVLPSMSEEKVSPTRARNMK DFENQSGGEPSRTGWF >ENSMUSP00000116928.1 pep:known chromosome:GRCm38:4:70238350:70264680:-1 gene:ENSMUSG00000039298.16 transcript:ENSMUST00000138561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap2 description:CDK5 regulatory subunit associated protein 2 [Source:MGI Symbol;Acc:MGI:2384875] QRQQIKDSHGICVIYRQHMSTMIKAFEELLQASDVDSCVAEGFREQLTQCAGLLEQLERL FLHGKSARVEPHPQNELLKGLRTVEGNLPYHHLLPESPEPSASHALSDDEMSEKSFLSRD PKPDSDTEKYPAMASHFPQDLLMEHIQEIRTLRKHLEESIKTNEKLRKQLERQGSETDQG ADGTTGIPGSRNVSACGLALHSSLTSEIHFLRKQNEALSMMLEKGSKDKQKESEKLRESL ARKAESLEQLQLEYTSVREENERLQRDIIEKERHNQELTEEVCSSRQELSRVQEEAKSRQ QLLSQKDKLLQSLQMELKVYEKLAEEHPRLQQDGSKCPEASDNSFDLFESTQAMAPKSAS ETPLLSGTDVDSLSCDSTSSATSPTSMPCL >ENSMUSP00000119151.1 pep:known chromosome:GRCm38:4:70249009:70254736:-1 gene:ENSMUSG00000039298.16 transcript:ENSMUST00000140108.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap2 description:CDK5 regulatory subunit associated protein 2 [Source:MGI Symbol;Acc:MGI:2384875] XSDDEMSEKSFLSRDPKPDSDTEKYPAMASHFPQDLLMEHIQEIRTLRKHLEESIKTNEK LRKQLERQGSETDQGNLINLSSYAKHEKEIHA >ENSMUSP00000046891.6 pep:known chromosome:GRCm38:9:120303936:120474841:1 gene:ENSMUSG00000041794.12 transcript:ENSMUST00000048121.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myrip description:myosin VIIA and Rab interacting protein [Source:MGI Symbol;Acc:MGI:2384407] MGRKLDLSGLTDDETEHVLQVVQRDFNLRKKEEDRLSEMKQRLAEENSKCSILSKHQKFV ERCCMRCCSPFTFLVNARRRCGECKFSVCKSCCSYQKHEKLWVCCVCQQARLLRTQSLEW FYNNVKSRFKRFGSAKVLKNLYRKHRLESGACFDILGGGLFEPNLENEGSISGSDSTFYR QSEGHSMMDTLAVALRVAEEAIEEAISKAESHGDSLDKQNEASYLRDHKQELTEELAGTI LQRIIRKQKDKAELRAEEEEPEWPRSQSGSVKARGEGTTAPPGRHKARATFRRSQSAFSF TMEDALKSGSAEAAPRSPKDRAQRLLEEAALPSWRSMDGLDGTNLAPLLQSPDGNWMTLK DGSRQPPTRLLTKPKSGTFQALEVASSVTSAYDEIGSDSEEDFDYSEALSKLCPPSQSRL KQPQPQPTQAQSSGQGPLATSPSNPEAMCSDSETSSTSSSREAGCRAKLSWLQRKAPKNP AVEKMPLQGELDVNFNPQAAGGETSDSSDPEETLRTAERRARRWRRARVGPEESNRGLPS PGAHPRALHTAQVSDNVSETDISNETQNSRSSTDSVEEKLRNRLYELAMKMSEKETSSGE DQESESKAEPKNQKGSLSSEENNQGVQEELKKKCSAVSLCNISTEVLKVINATEELIAES AGPWEIPPVSTDRENGMFPLGTDQVRLDKQLTSLEENVYLAAGTVYGLEGQLSELEDAAR CIHSSTGETELADLEDQVAAAAAQVHHAELQISDIESRISALTIAGLNIAPCVRLTRRRD QKQRSQVQTIDTSRQQRRKLPAPPVKAEKIEASSVTPIKTFNRNFLLQGSSTNRPTASTG DTKDLMEPDLESAVMY >ENSMUSP00000122046.1 pep:known chromosome:GRCm38:9:120386309:120424657:1 gene:ENSMUSG00000041794.12 transcript:ENSMUST00000133173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myrip description:myosin VIIA and Rab interacting protein [Source:MGI Symbol;Acc:MGI:2384407] XRILLQRRSSWPVERAQEKMMVFHPHASRQHLSEMKQRLAEENSKCSILSKHQKFVERCC MRCCSPFTFLVNARRRCGECKFSVCKSCCSYQKHEKLWVCCVCQQARLLRTQSLEWFYNN VKSRFKRFGSAKVLKNLYRKHRLESGACFDILGGGLFEPNLENEGSISGSDSTFYRQSEG HSMMDTLAVALRVAEEAIEEAISKAESHGDSLDKQNEASYLRDHKQELTEELAGTILQRI IRKQKDKAELRAEEEEPEWPR >ENSMUSP00000109523.1 pep:known chromosome:GRCm38:X:94636069:94638559:1 gene:ENSMUSG00000071723.7 transcript:ENSMUST00000096368.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gspt2 description:G1 to S phase transition 2 [Source:MGI Symbol;Acc:MGI:1316727] MDLGSSSDSAPDCWDQVDMEAPGSAPSGDGIAPAAMAAAEAAEAEAQRKHLSLAFSSQLN IHAKPFVPSVSAAEFVPSFLPGSAQPPAPTASSCDETCIGGAGEPEGKRMEWGAPVEPSK DGPLVSWEGSSSVVTMELSEPVVENGEVEMALEESWELKEVSEAKPEASLGDAGPPEESV KEVMEEKEEVRKSKSVSIPSGAPKKEHVNVVFIGHVDAGKSTIGGQIMFLTGMVDRRTLE KYEREAKEKNRETWYLSWALDTNQEERDKGKTVEVGRAYFETEKKHFTILDAPGHKSFVP NMIGGASQADLAVLVISARKGEFETGFEKGGQTREHAMLAKTAGVKYLIVLINKMDDPTV DWSSERYEECKEKLVPFLKKVGFSPKKDIHFMPCSGLTGANIKEQSDFCPWYTGLPFIPY LDSLPNFNRSIDGPIRLPIVDKYKDMGTVVLGKLESGSIFKGQQLVMMPNKHSVEVLGIV SDDAETDFVAPGENLKIRLKGIEEEEILPGFILCEPSNLCHSGRTFDVQIVIIEHKSIIC PGYNAVLHIHTCIEEVEITALISLVDKKSGEKSKTRPRFVKQDQVCIARLRTAGTICLET FKDFPQMGRFTLRDEGKTIAIGKVLKLVPEKD >ENSMUSP00000139286.1 pep:known chromosome:GRCm38:X:94636076:94643244:1 gene:ENSMUSG00000071723.7 transcript:ENSMUST00000137033.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gspt2 description:G1 to S phase transition 2 [Source:MGI Symbol;Acc:MGI:1316727] MDLGSSSDSAPDCWDQVDMEAPGSAPSGDGIAPAAMAAAEAAEAEAQRKHLSLAFSSQLN IHAKPFVPSVSAAEFVPSFLPGSAQPPAPTASSCDETCIGGAGEPEEKFHSKNPRVVSFL MLRLQSCHS >ENSMUSP00000062030.1 pep:known chromosome:GRCm38:13:23763717:23764358:1 gene:ENSMUSG00000049539.2 transcript:ENSMUST00000055770.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h1a description:histone cluster 1, H1a [Source:MGI Symbol;Acc:MGI:1931523] MSETAPVAQAASTATEKPAAAKKTKKPAKAAAPRKKPAGPSVSELIVQAVSSSKERSGVS LAALKKSLAAAGYDVEKNNSRIKLGLKSLVNKGTLVQTKGTGAAGSFKLNKKAESKAITT KVSVKAKASGAAKKPKKTAGAAAKKTVKTPKKPKKPAVSKKTSKSPKKPKVVKAKKVAKS PAKAKAVKPKASKAKVTKPKTPAKPKKAAPKKK >ENSMUSP00000133345.1 pep:known chromosome:GRCm38:17:33915904:33917142:1 gene:ENSMUSG00000051390.9 transcript:ENSMUST00000174463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb22 description:zinc finger and BTB domain containing 22 [Source:MGI Symbol;Acc:MGI:1931870] MEPSALSPSGATLPLPLSLAPPPLPLPAAAVVHVSFPEVTSALLESLNQQRLQGQLCDVS IRVQGREFRAHRAVLAASSPYFHDQVL >ENSMUSP00000057466.9 pep:known chromosome:GRCm38:17:33916171:33919324:1 gene:ENSMUSG00000051390.9 transcript:ENSMUST00000053429.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb22 description:zinc finger and BTB domain containing 22 [Source:MGI Symbol;Acc:MGI:1931870] MEPSALSPSGATLPLPLSLAPPPLPLPAAAVVHVSFPEVTSALLESLNQQRLQGQLCDVS IRVQGREFRAHRAVLAASSPYFHDQVLLKGMTSISLPSVMDPGAFETVLASAYTGRLSMA AADIVNFLTVGSVLQMWHIVDKCTELLREGRSAATTTTVTTAAAPSVSVPCASVPSGNGG TVAPATVGSVRSHTSSRASENQSPSSSNYFSPRESTDFSSTSQDAFVASAAGSGNRRDGG PVFPAPVVGSAGTTSGKLLLEADELCDDGGDGRGAVAPGAGLRRSNCMPASAVPQKHWVY VKQARNCPAPASLVHQDPDLEDEEEEEDLVLTCEDDEDEEMGGGSGVPAGGEPEATLSIS DVRTLTEPADKGEEQVNFCESSNDFGPYEGGGAGAGLDDPGGPTPSSYALTHPPRPLLPL DVPGNQILVFPSSSSQAPGQPPGNTAEHGAVTLGGTSAVGLGIPSGSGGAPGGTGNSDGN KIFLCHCGKAFSHKSMRDRHVNMHLNLRPFDCPVCNKKFKMKHHLTEHMKTHTGLKPYEC SVCAKKFMWRDSFMRHRGHCERRHRMGVGGVGSGPGPGPGPGTPSGPALQPKRESSTVGG GSGDEANSATPPSHRRVWSPPSVHKVEMDFSGGGGAAH >ENSMUSP00000005810.6 pep:known chromosome:GRCm38:6:83305691:83317606:-1 gene:ENSMUSG00000005667.8 transcript:ENSMUST00000005810.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfd2 description:methylenetetrahydrofolate dehydrogenase (NAD+ dependent), methenyltetrahydrofolate cyclohydrolase [Source:MGI Symbol;Acc:MGI:1338850] MASVSLLSALAVRLLRPTHGCHPRLQPFHLAAVRNEAVVISGRKLAQQIKQEVQQEVEEW VASGNKRPHLSVILVGDNPASHSYVLNKTRAAAEVGINSETIVKPASVSEEELLNSIRKL NNDENVDGLLVQLPLPEHIDERKVCNAVSPDKDVDGFHVINVGRMCLDQYSMLPATPWGV WEIIKRTGIPTLGKNVVVAGRSKNVGMPIAMLLHTDGAHERPGGDATVTISHRYTPKEQL KKHTILADIVISAAGIPNLITADMIKEGAAVIDVGINRVQDPVTAKPKLVGDVDFEGVKK KAGYITPVPGGVGPMTVAMLMKNTIIAAKKVLRPEELEVFKSKQRGVATN >ENSMUSP00000145266.1 pep:known chromosome:GRCm38:6:83306402:83317553:-1 gene:ENSMUSG00000005667.8 transcript:ENSMUST00000203847.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mthfd2 description:methylenetetrahydrofolate dehydrogenase (NAD+ dependent), methenyltetrahydrofolate cyclohydrolase [Source:MGI Symbol;Acc:MGI:1338850] MASVSLLSALAVRLLRPTHGCHPRLQPFHLAAVRNEAVVISGRKLAQQIKQEVQQEVEEW VASGNKRPHLSVILVGDNPASHSYVLNKTRAAAEVATSEGKTPGAQTTDCHTSRHRHISA SCF >ENSMUSP00000145222.1 pep:known chromosome:GRCm38:6:83311871:83325908:-1 gene:ENSMUSG00000005667.8 transcript:ENSMUST00000204472.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfd2 description:methylenetetrahydrofolate dehydrogenase (NAD+ dependent), methenyltetrahydrofolate cyclohydrolase [Source:MGI Symbol;Acc:MGI:1338850] MSMCMFRVGGYVDTRNEAVVISGRKLAQQIKQEVQQEVEEWVASGNKRPHLSVILVGDNP ASHSYVLNKTRAAAEVGINSETIVKPASVSEEELLNS >ENSMUSP00000106082.1 pep:known chromosome:GRCm38:13:22043214:22043676:1 gene:ENSMUSG00000069300.3 transcript:ENSMUST00000110452.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2bj description:histone cluster 1, H2bj [Source:MGI Symbol;Acc:MGI:2448388] MPEPAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000004381.7 pep:known chromosome:GRCm38:6:124663027:124704418:1 gene:ENSMUSG00000004270.13 transcript:ENSMUST00000004381.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpcat3 description:lysophosphatidylcholine acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1315211] MASTADGDMGETLEQMRGLWPGVEDLSLNKLATSLGASEQALRLIFSIFLGYPLALFYRH YLFYKDSYLIHLFHTFTGLSIAYFNFGHQFYHSLLCVVLQFLILRLMGRTVTAVITTLCF QMAYLLAGYYYTATGDYDIKWTMPHCVLTLKLIGLCIDYYDGGKDGNSLTSEQQKYAIRG VPSLLEVAGFSYFYGAFLVGPQFSMNHYMKLVRGQLTDIPGKMPNSTIPALKRLSLGLVY LVGYTLLSPHITDDYLLTEDYDNRPFWFRCMYMLIWGKFVLYKYVTCWLVTEGVCILSGL GFNGFDENGTVRWDACANMKVWLFETTPRFNGTIASFNINTNAWVARYIFKRLKFLGNKE LSQGLSLLFLALWHGLHSGYLICFQMEFLIVIVEKQVSSLIRDSPALSSLASITALQPFY YLVQQTIHWLFMGYSMTAFCLFTWDKWLKVYRSIYFLGHVFFLSLLFILPYIHKAMVPRK EKLKKRE >ENSMUSP00000144738.1 pep:known chromosome:GRCm38:6:124663172:124702290:1 gene:ENSMUSG00000004270.13 transcript:ENSMUST00000128721.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lpcat3 description:lysophosphatidylcholine acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1315211] MASTADGDMGETLEQMRGLWPGVEDLSLNKLATSLGASEQALRLIFSIFLGYPLALFYRH YLFYKDSYLIHLFHTFTGLSIAYFNFGLPSCRILLHSHR >ENSMUSP00000128198.1 pep:known chromosome:GRCm38:6:88193891:88207032:1 gene:ENSMUSG00000015053.14 transcript:ENSMUST00000170089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata2 description:GATA binding protein 2 [Source:MGI Symbol;Acc:MGI:95662] MEVAPEQPRWMAHPAVLNAQHPDSHHPGLAHNYMEPAQLLPPDEVDVFFNHLDSQGNPYY ANPAHARARVSYSPAHARLTGGQMCRPHLLHSPGLPWLDGGKAALSAAAAHHHSPWTVSP FSKTPLHPSAAGAPGGPLSVYPGAAGGSGGGSGSSVASLTPTAAHSGSHLFGFPPTPPKE VSPDPSTTGAASPASSSAGGSVARGEDKDGVKYQVSLSESMKMEGGSPLRPGLATMGTQP ATHHPIPTYPSYVPAAAHDYGSSLFHPGGFLGGPASSFTPKQRSKARSCSEGRECVNCGA TATPLWRRDGTGHYLCNACGLYHKMNGQNRPLIKPKRRLSAARRAGTCCANCQTTTTTLW RRNANGDPVCNACGLYYKLHNVNRPLTMKKEGIQTRNRKMSSKSKKSKKGAECFEELSKC MQEKSPPFSAAALAGHMAPVGHLPPFSHSGHILPTPTPIHPSSSLSFGHPHPSSMVTAMG >ENSMUSP00000145503.1 pep:known chromosome:GRCm38:6:88198334:88199663:1 gene:ENSMUSG00000015053.14 transcript:ENSMUST00000203480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata2 description:GATA binding protein 2 [Source:MGI Symbol;Acc:MGI:95662] MEVAPEQPRWMAHPAVLNAQHPDSHHPGLAHNYM >ENSMUSP00000015197.7 pep:known chromosome:GRCm38:6:88198334:88207030:1 gene:ENSMUSG00000015053.14 transcript:ENSMUST00000015197.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata2 description:GATA binding protein 2 [Source:MGI Symbol;Acc:MGI:95662] MEVAPEQPRWMAHPAVLNAQHPDSHHPGLAHNYMEPAQLLPPDEVDVFFNHLDSQGNPYY ANPAHARARVSYSPAHARLTGGQMCRPHLLHSPGLPWLDGGKAALSAAAAHHHSPWTVSP FSKTPLHPSAAGAPGGPLSVYPGAAGGSGGGSGSSVASLTPTAAHSGSHLFGFPPTPPKE VSPDPSTTGAASPASSSAGGSVARGEDKDGVKYQVSLSESMKMEGGSPLRPGLATMGTQP ATHHPIPTYPSYVPAAAHDYGSSLFHPGGFLGGPASSFTPKQRSKARSCSEGRECVNCGA TATPLWRRDGTGHYLCNACGLYHKMNGQNRPLIKPKRRLSAARRAGTCCANCQTTTTTLW RRNANGDPVCNACGLYYKLHNVNRPLTMKKEGIQTRNRKMSSKSKKSKKGAECFEELSKC MQEKSPPFSAAALAGHMAPVGHLPPFSHSGHILPTPTPIHPSSSLSFGHPHPSSMVTAMG >ENSMUSP00000067491.6 pep:known chromosome:GRCm38:6:51460932:51469894:-1 gene:ENSMUSG00000004980.16 transcript:ENSMUST00000069949.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa2b1 description:heterogeneous nuclear ribonucleoprotein A2/B1 [Source:MGI Symbol;Acc:MGI:104819] MEREKEQFRKLFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKRSRGFGFVTFSSM AEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIKEDTEEHHLRDYF EEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTINGHNAEVRKALSRQE MQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGFGDGYNGYGGGPG GNYGSGSYNDFGNYNQQPSNYGPMKSGNFGGSRNMGGPYGGGNYGPGGSGGSGGYGGRSR Y >ENSMUSP00000145028.1 pep:known chromosome:GRCm38:6:51461121:51469847:-1 gene:ENSMUSG00000004980.16 transcript:ENSMUST00000203954.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpa2b1 description:heterogeneous nuclear ribonucleoprotein A2/B1 [Source:MGI Symbol;Acc:MGI:104819] MEKTLETVPLERKKREKEQFRKLFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKR SRGFGFVTFSSMAEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIK EDTEEHHLRDYFEEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTINGH NAEVRKALSRQEMQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGF GDGYNGYGGGPGGGNFGGSPGYGGGRGGYGGGGPGYGNQGGGYGGGYDNYGGGNYGSGSY NDFGNYNQQPSNYGPMKSGNFGGSRNMGGPYGGGNYGPGGSGGSGGYGGRSRY >ENSMUSP00000145383.1 pep:known chromosome:GRCm38:6:51461124:51469845:-1 gene:ENSMUSG00000004980.16 transcript:ENSMUST00000204158.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpa2b1 description:heterogeneous nuclear ribonucleoprotein A2/B1 [Source:MGI Symbol;Acc:MGI:104819] MEREKEQFRKLFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKRSRGFGFVTFSSM AEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIKEDTEEHHLRDYF EEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTINGHNAEVRKALSRQE MQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGFGDGYNGYGGGPG GNYGSGSYNDFGNYNQQPSNYGPMKSGNFGGSRNMGGPYGGGNYGPGGSGGSGGYGGRSR Y >ENSMUSP00000145245.1 pep:known chromosome:GRCm38:6:51462760:51469772:-1 gene:ENSMUSG00000004980.16 transcript:ENSMUST00000204188.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa2b1 description:heterogeneous nuclear ribonucleoprotein A2/B1 [Source:MGI Symbol;Acc:MGI:104819] MEREKEQFRKLFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKRSRGFGFVTFSSM AEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIKEDTEEHHLRDYF EEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTINGHNAEVRKALSRQE MQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGFGDGYNGYGGGPG GNYGSGSYNDFGNYNQQPSNYGPMKSGNFGGSRNMGGPYGGGNYGPGGSGGSGGYGGRSR Y >ENSMUSP00000145374.1 pep:known chromosome:GRCm38:6:51462760:51469772:-1 gene:ENSMUSG00000004980.16 transcript:ENSMUST00000203220.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa2b1 description:heterogeneous nuclear ribonucleoprotein A2/B1 [Source:MGI Symbol;Acc:MGI:104819] MEREKEQFRKLFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKRSRGFGFVTFSSM AEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIKEDTEEHHLRDYF EEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTINGHNAEVRKALSRQE MQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGFGDGYNGYGGGPG GGNFGGSPGYGGGRGGYGGGGPGYGNQGGGYGGGYDNYGGGNYGSGSYNDFGNYNQQPSN YGPMKSGNFGGSRNMGGPYGGGNYGPGGSGGSGGYGGRSRY >ENSMUSP00000110103.2 pep:known chromosome:GRCm38:6:51462760:51469826:-1 gene:ENSMUSG00000004980.16 transcript:ENSMUST00000114459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa2b1 description:heterogeneous nuclear ribonucleoprotein A2/B1 [Source:MGI Symbol;Acc:MGI:104819] MEKTLETVPLERKKREKEQFRKLFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKR SRGFGFVTFSSMAEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIK EDTEEHHLRDYFEEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTINGH NAEVRKALSRQEMQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGF GDGYNGYGGGPGGGNFGGSPGYGGGRGGYGGGGPGYGNQGGGYGGGYDNYGGGNYGSGSY NDFGNYNQQPSNYGPMKSGNFGGSRNMGGPYGGGNYGPGGSGGSGGYGGRSRY >ENSMUSP00000144736.1 pep:known chromosome:GRCm38:6:51463472:51466701:-1 gene:ENSMUSG00000004980.16 transcript:ENSMUST00000203253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa2b1 description:heterogeneous nuclear ribonucleoprotein A2/B1 [Source:MGI Symbol;Acc:MGI:104819] XGKPGAHVTVKKLFVGGIKEDTEEHHLRDYFEEYGKIDTIEIITDRQSGKKRGFGFVTFD DHDPVDKIVLQKYHTINGHNAEVRKALSRQEMQEVQSSRSGYDNYGGGNYGSGSYNDFGN YNQQPSNYGPMKSGNFGGSRNMGGPYGGGNYGPGG >ENSMUSP00000087453.7 pep:known chromosome:GRCm38:6:51461124:51469845:-1 gene:ENSMUSG00000004980.16 transcript:ENSMUST00000090002.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa2b1 description:heterogeneous nuclear ribonucleoprotein A2/B1 [Source:MGI Symbol;Acc:MGI:104819] MEREKEQFRKLFIGGLSFETTEESLRNYYEQWGKLTDCVVMRDPASKRSRGFGFVTFSSM AEVDAAMAARPHSIDGRVVEPKRAVAREESGKPGAHVTVKKLFVGGIKEDTEEHHLRDYF EEYGKIDTIEIITDRQSGKKRGFGFVTFDDHDPVDKIVLQKYHTINGHNAEVRKALSRQE MQEVQSSRSGRGGNFGFGDSRGGGGNFGPGPGSNFRGGSDGYGSGRGFGDGYNGYGGGPG GGNFGGSPGYGGGRGGYGGGGPGYGNQGGGYGGGYDNYGGGNYGSGSYNDFGNYNQQPSN YGPMKSGNFGGSRNMGGPYGGGNYGPGGSGGSGGYGGRSRY >ENSMUSP00000026499.5 pep:known chromosome:GRCm38:17:40221777:40242288:-1 gene:ENSMUSG00000025433.7 transcript:ENSMUST00000026499.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crisp3 description:cysteine-rich secretory protein 3 [Source:MGI Symbol;Acc:MGI:102552] MALMLVLFFLAAVLPPSLLQDNSQENSLEKLSTSKKSVQEEIVSKHNQLRRKVSPSGSDL LNMEWNYDAQVNAQQRADKCTFSHSPIELRTTNLKCGENLFMSSYLVPWSSVIQGWYNES KGLIFGVGPKQNVSVVGHHTQVVWKSNLQVACGVAECPENPLRYFYVCRYCPVLNYSGHY PSRPYLAYTARAPCASCPDRCEDGLCTKSCQYKDMSFWCKRLEYVCKHPGLKKRCLATCQ C >ENSMUSP00000104635.1 pep:known chromosome:GRCm38:2:175965331:175977635:1 gene:ENSMUSG00000078886.12 transcript:ENSMUST00000109007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2026 description:predicted gene 2026 [Source:MGI Symbol;Acc:MGI:3780195] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000134428.1 pep:known chromosome:GRCm38:2:175965379:175980303:1 gene:ENSMUSG00000078886.12 transcript:ENSMUST00000174416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2026 description:predicted gene 2026 [Source:MGI Symbol;Acc:MGI:3780195] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGSPDILWYEYQCGNCEWIPFPPQLLLGHNVYAGIETLTKTNWYQEWGIPVTT >ENSMUSP00000104636.3 pep:known chromosome:GRCm38:2:175968942:175978824:1 gene:ENSMUSG00000078886.12 transcript:ENSMUST00000109008.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2026 description:predicted gene 2026 [Source:MGI Symbol;Acc:MGI:3780195] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRT HTGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQC GKAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAF ITRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSS SLRIHKRTYTGERQYECN >ENSMUSP00000026014.7 pep:known chromosome:GRCm38:X:17132049:17319368:-1 gene:ENSMUSG00000025038.7 transcript:ENSMUST00000026014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efhc2 description:EF-hand domain (C-terminal) containing 2 [Source:MGI Symbol;Acc:MGI:1921655] MALPFLPGNSFNRNIGKERFHKSQHWGFCNNVRMLVSENKPGVGGDLLYGQKIKPKHSVF PKGDGTDAPSWVAFDKQVLSFDAYLEDEISDKRQEIFRIRYYKIYFYLEDDTIQVNEPEV INSGLPQGTSIRRQRIPYPPPNDDQFYTVYDFNINISVVFYGRTFKIYDCDPFTKNFLKK IGIKLNPPGQCPLDPYMKMRRETLEFVDPFRPYQSFDTLKRFIQYDGKVLRFFCLWDDST SLFGDRREFVLHYFLCDGTVEIREVLPSNSGRDAMSSFLRRGKLPKYGPPGIYQPGQITD RAVLNVYGGLSEWRADGYLLDKYQLGKVEQDFYTDQDLSIGATINVWGRKVLLCDCDEFT KTYYRTKYGVDNFTPISCKPPHLPKIERKYPPYTGFGSEEDSFRSCVGLKPTPHRKNFKK FMELDSFGNISNILRYFGKLITHKCADVDRIFVIAFYLSDDTISVFEPIENNSGNAGGMF LKRSRVKKPGQEVFKSEFSEYIKAEELYIGATVNINGYLFILLNADEYTLNYMENNTDKF PYSNFELAIQKLKQEKSKSREITQVFAAADYNHTKVVPYNTFRDILMSITMGKLIDQELI TIARHYRVPEIMDPDLAYLIARAHEKFKKNIFENFDMFIYNCVYEDREKKGVLPTKDIRR MCKSSRLPLDDDFLDCLLSRFEDKDHQINYEIFFSVLNWRMNPTPDLQAPPYLKEKCEDV WVGMPSPIPVKYVRYLDFLIDVYGLEDNML >ENSMUSP00000073709.2 pep:known chromosome:GRCm38:13:23782572:23791495:1 gene:ENSMUSG00000064140.2 transcript:ENSMUST00000074067.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim38 description:tripartite motif-containing 38 [Source:MGI Symbol;Acc:MGI:2684869] MGSDFSTVKIRKVTSCSICKAMMSHPVSINCGHSYCKSCIQSYYCNVSPKTGWKMLGCPL CSSPFSLENLRPNKELETIIDMIKGMEEQDQDMVCEEHEEKFNRFCEDDGQLLCWRCYWE DRHKGHTLAHVKDVYQNYKEKLQNTMTKLRELQENHEVQIHFITHQINAWKDAVEDRRQT IKSNFKNLQSFLQEEEKFYLWRLENEEKEMLVQLEGSEANLQQTFERAQCQIQELEAKCQ GSAQKLLQDVKNTLSRCEAMKRNPLKADPLKVHTKCNVSELYFDVKTILRRHQVSVILDP STAHLDLALTKGGRLVTYKRCPRDLQARSSAKRFYGLPCVLGCEGFTSGRYYFEVSVENA TSWDLGVCVENVHRGFNMKKEPESGFWTIKMSEEDGLEALTSTPTPPLHLIEKPQILGVF LDYEAGAVSFYSVTTGSHIFTFPKASFQDTLRPFFQVYQYSPLFLPAINNQ >ENSMUSP00000058567.5 pep:known chromosome:GRCm38:8:104847068:104854483:1 gene:ENSMUSG00000061825.9 transcript:ENSMUST00000055052.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces2c description:carboxylesterase 2C [Source:MGI Symbol;Acc:MGI:2385905] MTRNQLHNWLNAGFFGLLLLLIHVQGQDSPEANPIRNTHTGQIQGSLIHVKDTKAGVHTF LGIPFAKPPVGPLRFAPPEAPEPWSGVRDGTAHPAMCLQNLDMLNEAGLPDMKMMLSSFP MSEDCLYLNIYTPAHAHEGSNLPVMVWIHGGALVIGMASMFDGSLLTVNEDLVVVTIQYR LGVLGFFSTGDQHARGNWGYLDQAAALRWVQQNIAHFGGNPDRVTIFGESAGGTSVSSHV VSPMSQGLFHGAIMESGVALLPDLISETSEMVSTTVAKLSGCEAMDSQALVRCLRGKSEA EILAINKVFKMIPAVVDGEFFPRHPKELLASEDFHPVPSIIGVNNDEFGWSIPVVMGSAQ MIKGITRENLQAVLKDTAVQMMLPPECSDLLMEEYMGDTEDAQTLQIQFTEMMGDFMFVI PALQVAHFQRSHAPVYFYEFQHPPSYFKDVRPPHVKADHADEIPFVFASFFWGMKLDFTE EEELLSRRMMKYWANFARHGNPNSEGLPYWPVMDHDEQYLQLDIQPAVGRALKAGRLQFW TKTLPQKIQELKASQDKHREL >ENSMUSP00000033765.7 pep:known chromosome:GRCm38:X:73892102:73894428:1 gene:ENSMUSG00000031390.8 transcript:ENSMUST00000033765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avpr2 description:arginine vasopressin receptor 2 [Source:MGI Symbol;Acc:MGI:88123] MILVSTTSAVPGALSSPSSPSNSSQEELLDDRDPLLVRAELALLSTIFVAVALSNGLVLG ALIRRGRRGRWAPMHVFISHLCLADLAVALFQVLPQLAWDATDRFHGPDALCRAVKYLQM VGMYASSYMILAMTLDRHRAICRPMLAYRHGGGARWNRPVLVAWAFSLLLSLPQLFIFAQ RDVGNGSGVFDCWARFAEPWGLRAYVTWIALMVFVAPALGIAACQVLIFREIHASLVPGP SERAGRRRRGHRTGSPSEGAHVSAAMAKTVRMTLVIVIVYVLCWAPFFLVQLWAAWDPEA PLERPPFVLLMLLASLNSCTNPWIYASFSSSVSSELRSLLCCAQRHTTHSLGPQDESCAT ASSSLMKDTPS >ENSMUSP00000099011.2 pep:known chromosome:GRCm38:X:73892110:73895502:1 gene:ENSMUSG00000031390.8 transcript:ENSMUST00000101470.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avpr2 description:arginine vasopressin receptor 2 [Source:MGI Symbol;Acc:MGI:88123] MILVSTTSVPGALSSPSSPSNSSQEELLDDRDPLLVRAELALLSTIFVAVALSNGLVLGA LIRRGRRGRWAPMHVFISHLCLADLAVALFQVLPQLAWDATDRFHGPDALCRAVKYLQMV GMYASSYMILAMTLDRHRAICRPMLAYRHGGGARWNRPVLVAWAFSLLLSLPQLFIFAQR DVGNGSGVFDCWARFAEPWGLRAYVTWIALMVFVAPALGIAACQVLIFREIHASLVPGPS ERAGRRRRGHRTGSPSEGAHVSAAMAKTVRMTLDPPLCCSCCWLALTAVPTPGSMLPSVA VSPRSCVACFAVLRGTPHTAWVLKMSPVPQPAPL >ENSMUSP00000110037.1 pep:known chromosome:GRCm38:X:73892114:73894197:1 gene:ENSMUSG00000031390.8 transcript:ENSMUST00000114395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avpr2 description:arginine vasopressin receptor 2 [Source:MGI Symbol;Acc:MGI:88123] MILVSTTSGIAACQVLIFREIHASLVPGPSERAGRRRRGHRTGSPSEGAHVSAAMAKTVR MTLVIVIVYVLCWAPFFLVQLWAAWDPEAPLERPPFVLLMLLASLNSCTNPWIYASFSSS VSSELRSLLCCAQRHTTHSLGPQDESCATASSSLMKDTPS >ENSMUSP00000033771.4 pep:known chromosome:GRCm38:X:74127464:74150760:1 gene:ENSMUSG00000031394.11 transcript:ENSMUST00000033771.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opn1mw description:opsin 1 (cone pigments), medium-wave-sensitive (color blindness, deutan) [Source:MGI Symbol;Acc:MGI:1097692] MAQRLTGEQTLDHYEDSTHASIFTYTNSNSTKGPFEGPNYHIAPRWVYHLTSTWMILVVV ASVFTNGLVLAATMRFKKLRHPLNWILVNLAVADLAETIIASTISVVNQIYGYFVLGHPL CVIEGYIVSLCGITGLWSLAIISWERWLVVCKPFGNVRFDAKLATVGIVFSWVWAAIWTA PPIFGWSRYWPYGLKTSCGPDVFSGTSYPGVQSYMMVLMVTCCIFPLSIIVLCYLQVWLA IRAVAKQQKESESTQKAEKEVTRMVVVMVFAYCLCWGPYTFFACFATAHPGYAFHPLVAS LPSYFAKSATIYNPIIYVFMNRQFRNCILHLFGKKVDDSSELSSTSKTEVSSVSSVSPA >ENSMUSP00000099000.3 pep:known chromosome:GRCm38:X:74127466:74150751:1 gene:ENSMUSG00000031394.11 transcript:ENSMUST00000101457.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opn1mw description:opsin 1 (cone pigments), medium-wave-sensitive (color blindness, deutan) [Source:MGI Symbol;Acc:MGI:1097692] MAQRLTGEQTLDHYEDSTHASIFTYTNSNSTKGPFEGPNYHIAPRWVYHLTSTWMILVVV ASVFTNGLVLAATMRFKKLRHPLNWILVNLAVADLAETIIASTISVVNQIYGYFVLGHPL CVIEGYIVSLCGITGLWSLAIISWERWLVVCKPFGNVRFDAKLATVGIVFSWVWAAIWTA PPIFGWSRYWPYGLKTSCGPDVFSGTSYPGVQSYMMVLMVTCCIFPLSIIVLCYLQVWLA IRAVAKQQKESESTQKAEKEVTRMVVVMVFAYCLCWGPYTFFACFATAHPGYAFHPLVAS LPSYFAKSATIYNPIIYVFMNRQALVHASFETASYISLERRLMIALNFPAPPRQKSHLSL QCHLHKVCLQPVPSIKALAMFYLPSHLSL >ENSMUSP00000118631.1 pep:known chromosome:GRCm38:X:74138421:74150756:1 gene:ENSMUSG00000031394.11 transcript:ENSMUST00000127445.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opn1mw description:opsin 1 (cone pigments), medium-wave-sensitive (color blindness, deutan) [Source:MGI Symbol;Acc:MGI:1097692] ITGLWSLAIISWERWLVVCKPFGNVRFDAKLATVGIVFSWVWAAIWTAPPIFGWSRYWPY GLKTSCGPDVFSGTSYPGVQSYMMVLMVTCCIFPLSIIVLCYLQVWLAIRAVAKQQKESE STQKAEKEFRNCILHLFGKKVDDSSELSSTSKTEVSSVSSVSPA >ENSMUSP00000089333.1 pep:known chromosome:GRCm38:13:22087878:22088804:1 gene:ENSMUSG00000069299.1 transcript:ENSMUST00000091739.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r188 description:vomeronasal 1 receptor 188 [Source:MGI Symbol;Acc:MGI:2182259] MVLQFIKETFFFFMTMIGTLGNISVSVDYFFHLLEGVKKKPIHLILIHLAFTNILILLTK GFRKTVAAFGLRNFLDDIGCKIIVYLERVARGLSICTSSLLTVVQAIIISPTGSGWRRLR PKSAWHILPFFSFFWILNALISMNLTHSIRSTGLNISQLRNGDNYCYIMLESQEIKWIFL ILMVLRDAVFQGAMGGASGYMVFLLHKHHQQVLYLQNSKFLYKTPPELRAAQSVLLLMLC FVFFYWTDCAFSFFLSLSLEEYSLMVNIHEFLTLGYAIFSPFVLIHRDGLLAEQWETLKQ CVSHLSDQ >ENSMUSP00000037613.6 pep:known chromosome:GRCm38:7:28169710:28179269:1 gene:ENSMUSG00000046865.7 transcript:ENSMUST00000042405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbl description:fibrillarin [Source:MGI Symbol;Acc:MGI:95486] MKPGFSPRGGGFGGRGGFGDRGGRGGGRGGRGGFGGGRGGFGGGGRGRGGGGGGFRGRGG GGGRGGGFQSGGNRGRGGGRGGKRGNQSGKNVMVEPHRHEGVFICRGKEDALVTKNLVPG ESVYGEKRVSISEGDDKIEYRAWNPFRSKLAAAILGGVDQIHIKPGAKVLYLGAASGTTV SHVSDIVGPDGLVYAVEFSHRSGRDLINLAKKRTNIIPVIEDARHPHKYRMLIAMVDVIF ADVAQPDQTRIVALNAHTFLRNGGHFVISIKANCIDSTASAEAVFASEVKKMQQENMKPQ EQLTLEPYERDHAVVVGVYRPPPKVKN >ENSMUSP00000146927.1 pep:known chromosome:GRCm38:7:28169767:28175881:1 gene:ENSMUSG00000046865.7 transcript:ENSMUST00000208967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbl description:fibrillarin [Source:MGI Symbol;Acc:MGI:95486] MKPGFSPRGGGFGGRGGFGDRGGRGGGRGGRGGFGGGRGGFGGGGVFICRGKEDALVTKN LVPGESVYGEKRVSISEGDDKIEYR >ENSMUSP00000033442.7 pep:known chromosome:GRCm38:X:49782536:49797749:-1 gene:ENSMUSG00000031111.16 transcript:ENSMUST00000033442.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf1 description:immunoglobulin superfamily, member 1 [Source:MGI Symbol;Acc:MGI:2147913] MMLRTFTLLLLCIWLNPGMTSLAVESQPELWIESNYPQAPWENITLWCKSPSRVSSKFLL LKDNSQMTWIRPPYKTFQVSFFIGALTESNTGLYRCCYWKEKGWSKPSKILELEAPGQLP KPIFWIQAETPPLPGCNVNIFCHGWLQDLVFMLFKEGYTEPVDYQVPTGTMAIFSIDNLA PENEGVYICRTHIQMLPTLWSEPSNPLKLVVAGLYPKPTLTAHPGPILAPGESLSLRCQG PIYGMTFALMRLEDLKKSFYHKKPIKNEAYFYFQDLKIQDTGHYLCFYYDGSYRGSLLSD ILKIWVTDTFPKTWLLVQPSPVIQMGQNVSLRCGGLMDGVGLALYKKGEEKPLQFLDASS NTGNNSFFLKNVTYRDAGIYSCHYYLTWKTSIKMATYNTVELMVVAWPSSVFKVGKTITL QCRVSHPVLEFSLEWEERTTFQKFSVDGDFLITDIEGQGTGTYSCSYRIEAHPNTWSHRS KPLKLVGPAGFLTWNSILNEAVRVSLTMQLASLLLLVVWIRWKCRRLRLREAWLLGTAQG VAMLFILMALLCCGLCNGALTEEIEIVMPTPKPELWAETNFPLAPWKNLTLWCRSPSGST KEFVLLKDGTGWIATRPASEQVRAAFPLGALTHSHTGSYHCHSWEEMAVSEPSEALELVG TDILPKPVISASLPIRGQELQIRCKGWLEGLGFALYKKGEQEPVQQLGAVGREAFFTIQR MEDKDEGNYSCRTHTEMQPFKWSEPSEPLELVIKEMYPKPFFKTWASPVVTPGSRVTFNC STSHEHMSFILYKDGNEIASSDLAWGNPGGSTAHFLIISVGIGDGGNYSCRYYDFSIWSE PSNPVELVVTEFYPKPTLLAQPGPVVLPGKNVTLRCQGIFQGMRFALLQEGTHTPLQFQS TSGTSADFLLHTVGAQDFGNYSCVYYETTMSNRGSSLSTPLMIWVTDTFPRPWLSAEPSS VVTMGQNVTLWCQGPVRGVGYILHKEGEATSMQLWGSTSNEGAFPIINISGASIGRYSCC YHPDWMSPIKIQPSNTLELIVTGLLPKPSLLVQPGPMVAPGENVTLQCQGELPDSTFVLL KEGTRQPLEQQRPSGYRADFWMPVVRDQDSGVYSCVYYLDSAPLVASNHSNSLEIWVTDK PPKPSLSAWPSTIFKLGKDITLQCRGPLPGVEFVLEHDGEEAPQQFSEDGDFVIDNLEGK GIGNYSCSYRLQAYPDIWSEPSDTLELVGAAGPVAQECTVGNIVRSTLIVVVVVALGIVL AVEWKKWPRLRTRGSETDGRDQTVVLEECNQEGEPGTTTNSPSSASQEVSVELTVPI >ENSMUSP00000071919.6 pep:known chromosome:GRCm38:X:49786347:49795364:-1 gene:ENSMUSG00000031111.16 transcript:ENSMUST00000072037.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf1 description:immunoglobulin superfamily, member 1 [Source:MGI Symbol;Acc:MGI:2147913] MMLRTFTLLLLCIWLNPGMTSLAVESQPELWIESNYPQAPWENITLWCKSPSRVSSKFLL LKDNSQMTWIRPPYKTFQVSFFIGALTESNTGLYRCCYWKEKGWSKPSKILELEAPGQLP KPIFWIQAETPPLPGCNVNIFCHGWLQDLVFMLFKEGYTEPVDYQVPTGTMAIFSIDNLA PENEGVYICRTHIQMLPTLWSEPSNPLKLVVAGLYPKPTLTAHPGPILAPGESLSLRCQG PIYGMTFALMRLEDLKKSFYHKKPIKNEAYFYFQDLKIQDTGHYLCFYYDGSYRGSLLSD ILKIWVTDTFPKTWLLVQPSPVIQMGQNVSLRCGGLMDGVGLALYKKGEEKPLQFLDASS NTGNNSFFLKNVTYRDAGIYSCHYYLTWKTSIKMATYNTVELMVVAWPSSVFKVGKTITL QCRVSHPVLEFSLEWEERTTFQKFSVDGDFLITDIEGQGTGTYSCSYRIEAHPNTWSHRS KPLKLVGPAGFLTWNSILNEAVRVSLTMQLASLLLLVVWIRWKCRRLRLREAWLLGTAQG VAMLFILMALLCCGLCNGALTEEIEIVMPTPKPELWAETNFPLAPWKNLTLWCRSPSGST KEFVLLKDGTGWIATRPASEQVRAAFPLGALTHSHTGSYHCHSWEEMAVSEPSEALELVG TDILPKPVISASLPIRGQELQIRCKGWLEGLGFALYKKGEQEPVQQLGAVGREAFFTIQR MEDKDEGNYSCRTHTEMQPFKWSEPSEPLELVIKDGRTKAQN >ENSMUSP00000110541.1 pep:known chromosome:GRCm38:X:49792966:49797749:-1 gene:ENSMUSG00000031111.16 transcript:ENSMUST00000114891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf1 description:immunoglobulin superfamily, member 1 [Source:MGI Symbol;Acc:MGI:2147913] MMLRTFTLLLLCIWLNPGMTSLAVESQPELWIESNYPQAPWENITLWCKSPSRVSSKFLL LKDNSQMTWIRPPYKTFQVSFFIGALTESNTGLYRCCYWKEKGWSKPSKILELEAPGQLP KPIFWIQAETPPLPGCNVNIFCHGWLQDLVFMLFKEGYTEPVDYQVPTGTMAIFSIDNLA PENEGVYICRTHIQMLPTLWSEPSNPLKLVVAGGCGHGCWHLTIVIPGIMAG >ENSMUSP00000110543.1 pep:known chromosome:GRCm38:X:49782536:49788243:-1 gene:ENSMUSG00000031111.16 transcript:ENSMUST00000114893.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf1 description:immunoglobulin superfamily, member 1 [Source:MGI Symbol;Acc:MGI:2147913] MLFILMALLCCGLCNGALTEEIEIVMPTPKPELWAETNFPLAPWKNLTLWCRSPSGSTKE FVLLKDGTGWIATRPASEQVRAAFPLGALTHSHTGSYHCHSWEEMAVSEPSEALELVGTD ILPKPVISASLPIRGQELQIRCKGWLEGLGFALYKKGEQEPVQQLGAVGREAFFTIQRME DKDEGNYSCRTHTEMQPFKWSEPSEPLELVIKEMYPKPFFKTWASPVVTPGSRVTFNCST SHEHMSFILYKDGNEIASSDLAWGNPGGSTAHFLIISVGIGDGGNYSCRYYDFSIWSEPS NPVELVVTEFYPKPTLLAQPGPVVLPGKNVTLRCQGIFQGMRFALLQEGTHTPLQFQSTS GTSADFLLHTVGAQDFGNYSCVYYETTMSNRGSSLSTPLMIWVTDTFPRPWLSAEPSSVV TMGQNVTLWCQGPVRGVGYILHKEGEATSMQLWGSTSNEGAFPIINISGASIGRYSCCYH PDWMSPIKIQPSNTLELIVTGLLPKPSLLVQPGPMVAPGENVTLQCQGELPDSTFVLLKE GTRQPLEQQRPSGYRADFWMPVVRDQDSGVYSCVYYLDSAPLVASNHSNSLEIWVTDKPP KPSLSAWPSTIFKLGKDITLQCRGPLPGVEFVLEHDGEEAPQQFSEDGDFVIDNLEGKGI GNYSCSYRLQAYPDIWSEPSDTLELVGAAGPVAQECTVGNIVRSTLIVVVVVALGIVLAV EWKKWPRLRTRGSETDGRDQTVVLEECNQEGEPGTTTNSPSSASQEVSVELTVPI >ENSMUSP00000128633.1 pep:known chromosome:GRCm38:5:11502685:11507417:1 gene:ENSMUSG00000095296.7 transcript:ENSMUST00000164651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8906 description:predicted gene 8906 [Source:MGI Symbol;Acc:MGI:3779820] ARERRKGAGLPSESNEGRRRWTWRIWRARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQ LRNEQRDHLIDFKESSNYNRTKPTQKKNPFYEQLRSTKNQVLASVHKLEMGIIEAQENIQ ELNKWIDYFTNLHSQLLMEKNLKKSITQNQKNKEVQIDWALIEKYLVDLNLNGRTGADQQ P >ENSMUSP00000136666.1 pep:known chromosome:GRCm38:5:11503813:11506151:1 gene:ENSMUSG00000095296.7 transcript:ENSMUST00000179375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8906 description:predicted gene 8906 [Source:MGI Symbol;Acc:MGI:3779820] ARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPTQKK NPFYEQLRSTKNQVLASVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKKSIT QNQKNKEVQIDWALIEKYLVDLNLNGRTGADQQP >ENSMUSP00000145049.1 pep:known chromosome:GRCm38:6:113389260:113399470:1 gene:ENSMUSG00000030276.19 transcript:ENSMUST00000204026.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll3 description:tubulin tyrosine ligase-like family, member 3 [Source:MGI Symbol;Acc:MGI:2141418] MGRLRNAKIHVERAVKQKKIFMIHGRYPVIRCLLRQRGWVEKKMVHPPGTALPAPQKDLD SSMLGDSDATEDEDEEENEMFRESQLLDLDGFLEFDDLDGIHALMSRMVRNETPYLIWTT RRDVLDCRFLSKDQMINHYARAGSFTTKVGLCLNLRNLPWFDEADADSFFPRCYRLGAED DKKAFIGKGTPIPGLAPSCCLSHRGKEQASPCGLCNPSPFLLPMGLPFISQPWHSEKPRE PGLYPVAGSRGGWVGSVWSLDKLLAGPGSTKGNGSLRQSKFLFQHGF >ENSMUSP00000032414.4 pep:known chromosome:GRCm38:6:113392458:113414587:1 gene:ENSMUSG00000030276.19 transcript:ENSMUST00000032414.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll3 description:tubulin tyrosine ligase-like family, member 3 [Source:MGI Symbol;Acc:MGI:2141418] MQGVSSALLLSAGQLGPGAAWYRQEGSSECSWLRRSQPSELRTNFSSRWPWPRNSESRRS ERLQWPGPASAKPEVASCGDSRRDYSSLPARHLSSARESSMPGALGTVNPQPVRTLVPPT LDEPLPDALRPPDDSLLLWRGLTKGPNHMGRLRNAKIHVERAVKQKKIFMIHGRYPVIRC LLRQRGWVEKKMVHPPGTALPAPQKDLDSSMLGDSDATEDEDEEENEMFRESQLLDLDGF LEFDDLDGIHALMSRMVRNETPYLIWTTRRDVLDCRFLSKDQMINHYARAGSFTTKVGLC LNLRNLPWFDEADADSFFPRCYRLGAEDDKKAFIEDFWLTAARNVLKLVVKLEEKSQSIS IQAREEEAPEDTQPKKQEKKLVTVSSDFVDEALSACQEHLSSIAHKDIDKDPNSPLYLSP DDWSQFLQRYYQIVHEGAELRYLEVQVQRCEDILQQLQNVVPQLDMEGDRNIWIVKPGAK SRGRGIMCMNRLDEMLKLVDCNPMLMKDGKWIVQKYIERPLLIFGTKFDLRQWFLVTDWN PLTVWFYRDSYIRFSTQPFSLKNLDNSVHLCNNSIQRHLEASCHRHPMLPPDNMWSSQRF QAHLQEVDAPKAWSSVIVPGMKAAVIHALQTSQDNVQCRKASFELYGADFVFGEDFQPWL IEINASPTMAPSTAVTARLCAGVQADTLRVVIDRRLDRSCDTGAFELIYKQPAVEVPQYV GIRLLVEGSTIKKPVPVGHRRTGVRSSLPHLLTQQGSGESKDSGSPTHRSASRKNARAES LEHTEKPEPAAVASVSGKGKKAPFHFPSLHSKAWLPSPRVHRPQGRVLRLQHDQLVGSKA LSTTGKALMTLPTAKVLMSFPPHPDLKLAPSMLKPGKVGFELCCTTWRVVLSGGIGEEGH RQRAAPRPSSAPGKGLSSTEPCSKTET >ENSMUSP00000145329.1 pep:known chromosome:GRCm38:6:113393160:113414583:1 gene:ENSMUSG00000030276.19 transcript:ENSMUST00000203524.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll3 description:tubulin tyrosine ligase-like family, member 3 [Source:MGI Symbol;Acc:MGI:2141418] XNAKIHVERAVKQKKIFMIHGRYPVIRCLLRQRGWVEKKMVHPPGTALPAPQKDLDSSML GDSDATEDEDEEENEMFRESQLLDLDGFLEFDDLDGIHALMSRMVRNETPYLIWTTRRDV LDCRFLSKDQMINHYARAGSFTTKVGLCLNLRNLPWFDEADADSFFPRCYRLGAEDDKKA FIEDFWLTAARNVLKLVVKLEEKSQSISIQAREEEAPEDTQPKKQEKKLVTVSSDFVDEA LSACQEHLSSIAHKDIDKDPNSPLYLSPDDWSQFLQRYYQIVHEGAELRYLEVQVQRCED ILQQLQNVVPQLDMEGDRNIWIVKPGAKSRGRGIMCMNRLDEMLKLVDCNPMLMKDGKWI VQKYIERPLLIFGTKFDLRQWFLVTDWNPLTVWFYRDSYIRFSTQPFSLKNLDNSVHLCN NSIQRHLEASCHRHPMLPPDNMWSSQRFQAHLQEVDAPKAWSSVIVPGMKAAVIHALQTS QDNVQCRKASFELYGADFVFGEDFQPWLIEINASPTMAPSTAVTARLCAGVQADTLRVVI DRRLDRSCDTGAFELIYKQPAVEVPQYVGIRLLVEGSTIKKPVPVGHRRTGVRSSLPHLL TQQGSGESKDSGSPTHRSASRKNARAESLEHTEKPEPAAVASVSGKGKKAEVAGSLRTLP EVAQLRRGRAGMQTQPLSTSLASIPRPGCLLPVCTDPRAGSSDSNMTSWWALRLCQPQAR P >ENSMUSP00000145044.1 pep:known chromosome:GRCm38:6:113393177:113409899:1 gene:ENSMUSG00000030276.19 transcript:ENSMUST00000205017.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll3 description:tubulin tyrosine ligase-like family, member 3 [Source:MGI Symbol;Acc:MGI:2141418] VERAVKQKKIFMIHGRYPVIRCLLRQRGWVEKKMVHPPGTALPAPQKDLDSSMLGDSDAT EDVPHGSK >ENSMUSP00000037870.5 pep:known chromosome:GRCm38:6:113392497:113414569:1 gene:ENSMUSG00000030276.19 transcript:ENSMUST00000038889.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll3 description:tubulin tyrosine ligase-like family, member 3 [Source:MGI Symbol;Acc:MGI:2141418] MQGVSSALLLSAGQLGPGAAWYRQEGSSECSWLRRSQPSELRTNFSSRWPWPRNSESRRS ERLQWPGPASAKPEVASCGDSRRDYSSLPARHLSSARESSMPGALGTVNPQPVRTLVPPT LDEPLPDALRPPDDSLLLWRGLTKGPNHMGRLRNAKIHVERAVKQKKIFMIHGRYPVIRC LLRQRGWVEKKMVHPPGTALPAPQKDLDSSMLGDSDATEDEDEEENEMFRESQLLDLDGF LEFDDLDGIHALMSRMVRNETPYLIWTTRRDVLDCRFLSKDQMINHYARAGSFTTKVGLC LNLRNLPWFDEADADSFFPRCYRLGAEDDKKAFIAEDFWLTAARNVLKLVVKLEEKSQSI SIQAREEEAPEDTQPKKQEKKLVTVSSDFVDEALSACQEHLSSIAHKDIDKDPNSPLYLS PDDWSQFLQRYYQIVHEGAELRYLEVQVQRCEDILQQLQNVVPQLDMEGDRNIWIVKPGA KSRGRGIMCMNRLDEMLKLVDCNPMLMKDGKWIVQKYIERPLLIFGTKFDLRQWFLVTDW NPLTVWFYRDSYIRFSTQPFSLKNLDNSVHLCNNSIQRHLEASCHRHPMLPPDNMWSSQR FQAHLQEVDAPKAWSSVIVPGMKAAVIHALQTSQDNVQCRKASFELYGADFVFGEDFQPW LIEINASPTMAPSTAVTARLCAGVQADTLRVVIDRRLDRSCDTGAFELIYKQPAVEVPQY VGIRLLVEGSTIKKPVPVGHRRTGVRSSLPHLLTQQGSGESKDSGSPTHRSASRKNARAE SLEHTEKPEPAAVASVSGKGKKAPFHFPSLHSKAWLPSPRVHRPQGRVLRLQHDQLVGSK ALSTTGKALMTLPTAKVLMSFPPHPDLKLAPSMLKPGKVGFELCCTTWRVVLSGGIGEEG HRQRAAPRPSSAPGKGLSSTEPCSKTET >ENSMUSP00000108019.1 pep:known chromosome:GRCm38:X:160390725:160498070:1 gene:ENSMUSG00000031298.15 transcript:ENSMUST00000112400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg2 description:adhesion G protein-coupled receptor G2 [Source:MGI Symbol;Acc:MGI:2446854] MLFSGGQYSPVGRPEEVLLIYKIFLVIICFHVILVTSLKENGNSSLLSPSAESSLVSLIP YSNGTPDAASEVLSTLNKTEKSKITIVKTFNASGVKSQRNICNLSSLCNDSVFFRGEIVF QHDEDHNVTQNQDTANGTFAGVLSLSELKRSELNKTLQTLSETYFIVCATAEAQSTVNCT FTVKLNETMNVCAMMVTFQTVQIRPMEQCCCSPRTPCPSSPEELEKLQCELQDPIVCLAD QPHGPPLSSSSKPVVPQATIISHVASDFSLAEPLDHALMTPSTPSLTQESNLPSPQPTIP LASSPATDLPVQSVVVSSLPQTDLSHTLSPVQSSIPSPTTPAPSVPTELVTISTPPGETV VNTSTVSDLEAQVSQMEKALSLGSLEPNLAGEMVNRVSKLLHSPPALLAPLAQRLLKVVD AIGLQLNFSSTTISLTSPSLALAVIRVNASNFNTTTFAAQDPTNLQVSLETPPPENSIGA ITLPSSLMNNLPANDVELASRIQFNFFETPALFQDPSLENLTLISYVISSSVTNMTIKNL TRNVTVALKHINPSPDDLTVKCVFWDLGRNGGKGGWSSDGCSVKDKRMNETICTCSHLTS FGILLDLSRTSLPPSQMMALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQL CAALLLLNLIFLLDSWIALYNTRGFCIAVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFN TYIRKYILKFCIVGWGIPAVVVSIVLTISPDNYGIGSYGKFPNGTPDDFCWINSNVVFYI TVVGYFCVIFLLNVSMFIVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGF AFFAWGPVNVTFMYLFAIFNTLQGFFIFIFYCAAKENVRKQWRRYLCCGKLRLAENSDWS KTATNGLKKQTVNQGVSSSSNSLQSSCNSTNSTTLLVNSDCSVHASGNGNASTERNGVSF SVQNGDVCLHDLTGKQHMFSDKEDSCNGKSRIALRRTSKRGSLHFIEQM >ENSMUSP00000108021.1 pep:known chromosome:GRCm38:X:160390724:160498070:1 gene:ENSMUSG00000031298.15 transcript:ENSMUST00000112402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg2 description:adhesion G protein-coupled receptor G2 [Source:MGI Symbol;Acc:MGI:2446854] MLFSGGQYSPVGRPEEVLLIYKIFLVIICFHVILVTSLKENGNSSLLSPSAESSLVSLIP YSNGTPDAASEVLSTLNKTGVKSQRNICNLSSLCNDSVFFRGEIVFQHDEDHNVTQNQDT ANGTFAGVLSLSELKRSELNKTLQTLSETYFIVCATAEAQSTVNCTFTVKLNETMNVCAM MVTFQTVQIRPMEQCCCSPRTPCPSSPEELEKLQCELQDPIVCLADQPHGPPLSSSSKPV VPQATIISHVASDFSLAEPLDHALMTPSTPSLTQESNLPSPQPTIPLASSPATDLPVQSV VVSSLPQTDLSHTLSPVQSSIPSPTTPAPSVPTELVTISTPPGETVVNTSTVSDLEAQVS QMEKALSLGSLEPNLAGEMVNRVSKLLHSPPALLAPLAQRLLKVVDAIGLQLNFSSTTIS LTSPSLALAVIRVNASNFNTTTFAAQDPTNLQVSLETPPPENSIGAITLPSSLMNNLPAN DVELASRIQFNFFETPALFQDPSLENLTLISYVISSSVTNMTIKNLTRNVTVALKHINPS PDDLTVKCVFWDLGRNGGKGGWSSDGCSVKDKRMNETICTCSHLTSFGILLDLSRTSLPP SQMMALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAALLLLNLIFLLD SWIALYNTRGFCIAVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYIRKYILKFCIVG WGIPAVVVSIVLTISPDNYGIGSYGKFPNGTPDDFCWINSNVVFYITVVGYFCVIFLLNV SMFIVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFFAWGPVNVTFMY LFAIFNTLQGFFIFIFYCAAKENVRKQWRRYLCCGKLRLAENSDWSKTATNGLKKQTVNQ GVSSSSNSLQSSCNSTNSTTLLVNSDCSVHASGNGNASTERNGVSFSVQNGDVCLHDLTG KQHMFSDKEDSCNGKSRIALRRTSKRGSLHFIEQM >ENSMUSP00000108020.1 pep:known chromosome:GRCm38:X:160390724:160498070:1 gene:ENSMUSG00000031298.15 transcript:ENSMUST00000112401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg2 description:adhesion G protein-coupled receptor G2 [Source:MGI Symbol;Acc:MGI:2446854] MLFSGGQYSPVGRPEEVLLIYKIFLVIICFHVILVTSLKENGNSSLLSPSAESSLVSLIP YSNDAASEVLSTLNKTGVKSQRNICNLSSLCNDSVFFRGEIVFQHDEDHNVTQNQDTANG TFAGVLSLSELKRSELNKTLQTLSETYFIVCATAEAQSTVNCTFTVKLNETMNVCAMMVT FQTVQIRPMEQCCCSPRTPCPSSPEELEKLQCELQDPIVCLADQPHGPPLSSSSKPVVPQ ATIISHVASDFSLAEPLDHALMTPSTPSLTQESNLPSPQPTIPLASSPATDLPVQSVVVS SLPQTDLSHTLSPVQSSIPSPTTPAPSVPTELVTISTPPGETVVNTSTVSDLEAQVSQME KALSLGSLEPNLAGEMVNRVSKLLHSPPALLAPLAQRLLKVVDAIGLQLNFSSTTISLTS PSLALAVIRVNASNFNTTTFAAQDPTNLQVSLETPPPENSIGAITLPSSLMNNLPANDVE LASRIQFNFFETPALFQDPSLENLTLISYVISSSVTNMTIKNLTRNVTVALKHINPSPDD LTVKCVFWDLGRNGGKGGWSSDGCSVKDKRMNETICTCSHLTSFGILLDLSRTSLPPSQM MALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAALLLLNLIFLLDSWI ALYNTRGFCIAVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYIRKYILKFCIVGWGI PAVVVSIVLTISPDNYGIGSYGKFPNGTPDDFCWINSNVVFYITVVGYFCVIFLLNVSMF IVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFFAWGPVNVTFMYLFA IFNTLQGFFIFIFYCAAKENVRKQWRRYLCCGKLRLAENSDWSKTATNGLKKQTVNQGVS SSSNSLQSSCNSTNSTTLLVNSDCSVHASGNGNASTERNGVSFSVQNGDVCLHDLTGKQH MFSDKEDSCNGKSRIALRRTSKRGSLHFIEQM >ENSMUSP00000108027.2 pep:known chromosome:GRCm38:X:160390690:160498070:1 gene:ENSMUSG00000031298.15 transcript:ENSMUST00000112408.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg2 description:adhesion G protein-coupled receptor G2 [Source:MGI Symbol;Acc:MGI:2446854] MLFSGGQYSPVGRPEEVLLIYKIFLVIICFHVILVTSLKENGNSSLLSPSAESSLVSLIP YSNDAASEVLSTLNKTEKSKITIVKTFNASGVKSQRNICNLSSLCNDSVFFRGEIVFQHD EDHNVTQNQDTANGTFAGVLSLSELKRSELNKTLQTLSETYFIVCATAEAQSTVNCTFTV KLNETMNVCAMMVTFQTVQIRPMEQCCCSPRTPCPSSPEELEKLQCELQDPIVCLADQPH GPPLSSSSKPVVPQATIISHVASDFSLAEPLDHALMTPSTPSLTQESNLPSPQPTIPLAS SPATDLPVQSVVVSSLPQTDLSHTLSPVQSSIPSPTTPAPSVPTELVTISTPPGETVVNT STVSDLEAQVSQMEKALSLGSLEPNLAGEMVNRVSKLLHSPPALLAPLAQRLLKVVDAIG LQLNFSSTTISLTSPSLALAVIRVNASNFNTTTFAAQDPTNLQVSLETPPPENSIGAITL PSSLMNNLPANDVELASRIQFNFFETPALFQDPSLENLTLISYVISSSVTNMTIKNLTRN VTVALKHINPSPDDLTVKCVFWDLGRNGGKGGWSSDGCSVKDKRMNETICTCSHLTSFGI LLDLSRTSLPPSQMMALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAA LLLLNLIFLLDSWIALYNTRGFCIAVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYI RKYILKFCIVGWGIPAVVVSIVLTISPDNYGIGSYGKFPNGTPDDFCWINSNVVFYITVV GYFCVIFLLNVSMFIVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFF AWGPVNVTFMYLFAIFNTLQGFFIFIFYCAAKENVRKQWRRYLCCGKLRLAENSDWSKTA TNGLKKQTVNQGVSSSSNSLQSSCNSTNSTTLLVNSDCSVHASGNGNASTERNGVSFSVQ NGDVCLHDLTGKQHMFSDKEDSCNGKSRIALRRTSKRGSLHFIEQM >ENSMUSP00000108024.2 pep:known chromosome:GRCm38:X:160390725:160498070:1 gene:ENSMUSG00000031298.15 transcript:ENSMUST00000112405.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg2 description:adhesion G protein-coupled receptor G2 [Source:MGI Symbol;Acc:MGI:2446854] MLFSGGQYSPVGRPEEVLLIYKIFLVIICFHVILVTSLKENGNSSLLSPSDAASEVLSTL NKTEKSKITIVKTFNASGVKSQRNICNLSSLCNDSVFFRGEIVFQHDEDHNVTQNQDTAN GTFAGVLSLSELKRSELNKTLQTLSETYFIVCATAEAQSTVNCTFTVKLNETMNVCAMMV TFQTVQIRPMEQCCCSPRTPCPSSPEELEKLQCELQDPIVCLADQPHGPPLSSSSKPVVP QATIISHVASDFSLAEPLDHALMTPSTPSLTQESNLPSPQPTIPLASSPATDLPVQSVVV SSLPQTDLSHTLSPVQSSIPSPTTPAPSVPTELVTISTPPGETVVNTSTVSDLEAQVSQM EKALSLGSLEPNLAGEMVNRVSKLLHSPPALLAPLAQRLLKVVDAIGLQLNFSSTTISLT SPSLALAVIRVNASNFNTTTFAAQDPTNLQVSLETPPPENSIGAITLPSSLMNNLPANDV ELASRIQFNFFETPALFQDPSLENLTLISYVISSSVTNMTIKNLTRNVTVALKHINPSPD DLTVKCVFWDLGRNGGKGGWSSDGCSVKDKRMNETICTCSHLTSFGILLDLSRTSLPPSQ MMALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAALLLLNLIFLLDSW IALYNTRGFCIAVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYIRKYILKFCIVGWG IPAVVVSIVLTISPDNYGIGSYGKFPNGTPDDFCWINSNVVFYITVVGYFCVIFLLNVSM FIVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFFAWGPVNVTFMYLF AIFNTLQGFFIFIFYCAAKENVRKQWRRYLCCGKLRLAENSDWSKTATNGLKKQTVNQGV SSSSNSLQSSCNSTNSTTLLVNSDCSVHASGNGNASTERNGVSFSVQNGDVCLHDLTGKQ HMFSDKEDSCNGKSRIALRRTSKRGSLHFIEQM >ENSMUSP00000108023.2 pep:known chromosome:GRCm38:X:160390725:160498070:1 gene:ENSMUSG00000031298.15 transcript:ENSMUST00000112404.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg2 description:adhesion G protein-coupled receptor G2 [Source:MGI Symbol;Acc:MGI:2446854] MLFSGGQYSPVGRPEEVLLIYKIFLVIICFHVILVTSLKDAASEVLSTLNKTEKSKITIV KTFNASGVKSQRNICNLSSLCNDSVFFRGEIVFQHDEDHNVTQNQDTANGTFAGVLSLSE LKRSELNKTLQTLSETYFIVCATAEAQSTVNCTFTVKLNETMNVCAMMVTFQTVQIRPME QCCCSPRTPCPSSPEELEKLQCELQDPIVCLADQPHGPPLSSSSKPVVPQATIISHVASD FSLAEPLDHALMTPSTPSLTQESNLPSPQPTIPLASSPATDLPVQSVVVSSLPQTDLSHT LSPVQSSIPSPTTPAPSVPTELVTISTPPGETVVNTSTVSDLEAQVSQMEKALSLGSLEP NLAGEMVNRVSKLLHSPPALLAPLAQRLLKVVDAIGLQLNFSSTTISLTSPSLALAVIRV NASNFNTTTFAAQDPTNLQVSLETPPPENSIGAITLPSSLMNNLPANDVELASRIQFNFF ETPALFQDPSLENLTLISYVISSSVTNMTIKNLTRNVTVALKHINPSPDDLTVKCVFWDL GRNGGKGGWSSDGCSVKDKRMNETICTCSHLTSFGILLDLSRTSLPPSQMMALTFITYIG CGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAALLLLNLIFLLDSWIALYNTRGFCI AVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYIRKYILKFCIVGWGIPAVVVSIVLT ISPDNYGIGSYGKFPNGTPDDFCWINSNVVFYITVVGYFCVIFLLNVSMFIVVLVQLCRI KKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFFAWGPVNVTFMYLFAIFNTLQGFFI FIFYCAAKENVRKQWRRYLCCGKLRLAENSDWSKTATNGLKKQTVNQGVSSSSNSLQSSC NSTNSTTLLVNSDCSVHASGNGNASTERNGVSFSVQNGDVCLHDLTGKQHMFSDKEDSCN GKSRIALRRTSKRGSLHFIEQM >ENSMUSP00000108017.2 pep:known chromosome:GRCm38:X:160427292:160496738:1 gene:ENSMUSG00000031298.15 transcript:ENSMUST00000112398.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg2 description:adhesion G protein-coupled receptor G2 [Source:MGI Symbol;Acc:MGI:2446854] MLFSGGQYSPVGRPEEVLLIYKIFLVIICFHVILVTSLKENGNSSLLSPSAESSLVSLIP YSNDAASEVLSTLNKTEKSKITIVKTFNASGVKSQRNICNLSSLCNDSVFFRGEIVFQHD EDHNVTQNQDTANGTFAGVLSLSELKRSELNKTLQTLSETYFIVCATAEAQSTVNCTFTV KLNETMNVCAMMVTFQTVQIRPMEQCCCSPRTPCPSSPEELEKLQCELQDPIVCLADQPH GPPLSSSSKPVVPQATIISHVASDFSLAEPLDHALMTPSTPSLTQESNLPSPQPTIPLAS SPATDLPVQSVVVSSLPQTDLSHTLSPVQSSIPSPTTPAPSVPTELVTISTPPGETVVNT STVSDLEAQVSQMEKALSLGSLEPNLAGEMVNRVSKLLHSPPALLAPLAQRLLKVVDAIG LQLNFSSTTISLTSPSLALAVIRVNASNFNTTTFAAQDPTNLQVSLETPPPENSIGAITL PSSLMNNLPANDVELASRIQFNFFETPALFQDPSLENLTLISYVISSSVTNMTIKNLTRN VTVALKHINPSPDDLTVKCVFWDLGRNGGKGGWSSDGCSVKDKRMNETICTCSHLTSFGI LLDLSRTSLPPSQMMALTFITYIGCGLSSIFLSVTLVTYIAFEKIRRDYPSKILIQLCAA LLLLNLIFLLDSWIALYNTRGFCIAVAVFLHYFLLVSFTWMGLEAFHMYLALVKVFNTYI RKYILKFCIVGWGIPAVVVSIVLTISPDNYGIGSYGKFPNGTPDDFCWINSNVVFYITVV GYFCVIFLLNVSMFIVVLVQLCRIKKKKQLGAQRKTSIQDLRSIAGLTFLLGITWGFAFF AWGPVNVTFMYLFAIFNTLQGFFIFIFYCAAKENVRKQWRRYLCCGKLRLAENSGNASTE RNGVSFSVQNGDVCLHDLTGKQHMFSDKEDSCNGKSRIALRRTSKRGSLHFIEQM >ENSMUSP00000118598.1 pep:known chromosome:GRCm38:X:160390728:160469783:1 gene:ENSMUSG00000031298.15 transcript:ENSMUST00000146805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg2 description:adhesion G protein-coupled receptor G2 [Source:MGI Symbol;Acc:MGI:2446854] MLFSGGQYSPVGRPEEVLLIYKIFLVIICFHVILVTSLKENGNSSLLSPSDAASEVLSTL NKTGVKSQRNICNLSSLCNDSVFFRGEIVFQHDEDHNVTQNQDTA >ENSMUSP00000110073.1 pep:known chromosome:GRCm38:X:73853778:73869905:-1 gene:ENSMUSG00000031391.18 transcript:ENSMUST00000114430.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L1cam description:L1 cell adhesion molecule [Source:MGI Symbol;Acc:MGI:96721] MVVMLRYVWPLLLCSPCLLIQIPDEYKGHHVLEPPVITEQSPRRLVVFPTDDISLKCEAR GRPQVEFRWTKDGIHFKPKEELGVVVHEAPYSGSFTIEGNNSFAQRFQGIYRCYASNKLG TAMSHEIQLVAEGAPKWPKETVKPVEVEEGESVVLPCNPPPSAAPLRIYWMNSKILHIKQ DERVSMGQNGDLYFANVLTSDNHSDYICNAHFPGTRTIIQKEPIDLRVKPTNSMIDRKPR LLFPTNSSSRLVALQGQSLILECIAEGFPTPTIKWLHPSDPMPTDRVIYQNHNKTLQLLN VGEEDDGEYTCLAENSLGSARHAYYVTVEAAPYWLQKPQSHLYGPGETARLDCQVQGRPQ PEITWRINGMSMETVNKDQKYRIEQGSLILSNVQPSDTMVTQCEARNQHGLLLANAYIYV VQLPARILTKDNQTYMAVEGSTAYLLCKAFGAPVPSVQWLDEEGTTVLQDERFFPYANGT LSIRDLQANDTGRYFCQAANDQNNVTILANLQVKEATQITQGPRSAIEKKGARVTFTCQA SFDPSLQASITWRGDGRDLQERGDSDKYFIEDGKLVIQSLDYSDQGNYSCVASTELDEVE SRAQLLVVGSPGPVPHLELSDRHLLKQSQVHLSWSPAEDHNSPIEKYDIEFEDKEMAPEK WFSLGKVPGNQTSTTLKLSPYVHYTFRVTAINKYGPGEPSPVSETVVTPEAAPEKNPVDV RGEGNETNNMVITWKPLRWMDWNAPQIQYRVQWRPQGKQETWREQTVSDPFLVVSNTSTF VPYEIKVQAVNNQGKGPEPQVTIGYSGEDYPQVSPELEDITIFNSSTVLVRWRPVDLAQV KGHLKGYNVTYWWKGSQRKHSKRHIHKSHIVVPANTTSAILSGLRPYSSYHVEVQAFNGR GLGPASEWTFSTPEGVPGHPEALHLECQSDTSLLLHWQPPLSHNGVLTGYLLSYHPVEGE SKEQLFFNLSDPELRTHNLTNLNPDLQYRFQLQATTQQGPGEAIVREGGTMALFGKPDFG NISATAGENYSVVSWVPRKGQCNFRFHILFKALPEGKVSPDHQPQPQYVSYNQSSYTQWN LQPDTKYEIHLIKEKVLLHHLDVKTNGTGPVRVSTTGSFASEGWFIAFVSAIILLLLILL ILCFIKRSKGGKYSVKDKEDTQVDSEARPMKDETFGEYSDNEEKAFGSSQPSLNGDIKPL GSDDSLADYGGSVDVQFNEDGSFIGQYSGKKEKEAAGGNDSSGATSPINPAVALE >ENSMUSP00000099935.3 pep:known chromosome:GRCm38:X:73853955:73880834:-1 gene:ENSMUSG00000031391.18 transcript:ENSMUST00000102871.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L1cam description:L1 cell adhesion molecule [Source:MGI Symbol;Acc:MGI:96721] MVVMLRYVWPLLLCSPCLLIQIPDEYKGHHVLEPPVITEQSPRRLVVFPTDDISLKCEAR GRPQVEFRWTKDGIHFKPKEELGVVVHEAPYSGSFTIEGNNSFAQRFQGIYRCYASNKLG TAMSHEIQLVAEGAPKWPKETVKPVEVEEGESVVLPCNPPPSAAPLRIYWMNSKILHIKQ DERVSMGQNGDLYFANVLTSDNHSDYICNAHFPGTRTIIQKEPIDLRVKPTNSMIDRKPR LLFPTNSSSRLVALQGQSLILECIAEGFPTPTIKWLHPSDPMPTDRVIYQNHNKTLQLLN VGEEDDGEYTCLAENSLGSARHAYYVTVEAAPYWLQKPQSHLYGPGETARLDCQVQGRPQ PEITWRINGMSMETVNKDQKYRIEQGSLILSNVQPSDTMVTQCEARNQHGLLLANAYIYV VQLPARILTKDNQTYMAVEGSTAYLLCKAFGAPVPSVQWLDEEGTTVLQDERFFPYANGT LSIRDLQANDTGRYFCQAANDQNNVTILANLQVKEATQITQGPRSAIEKKGARVTFTCQA SFDPSLQASITWRGDGRDLQERGDSDKYFIEDGKLVIQSLDYSDQGNYSCVASTELDEVE SRAQLLVVGSPGPVPHLELSDRHLLKQSQVHLSWSPAEDHNSPIEKYDIEFEDKEMAPEK WFSLGKVPGNQTSTTLKLSPYVHYTFRVTAINKYGPGEPSPVSETVVTPEAAPEKNPVDV RGEGNETNNMVITWKPLRWMDWNAPQIQYRVQWRPQGKQETWREQTVSDPFLVVSNTSTF VPYEIKVQAVNNQGKGPEPQVTIGYSGEDYPQVSPELEDITIFNSSTVLVRWRPVDLAQV KGHLKGYNVTYWWKGSQRKHSKRHIHKSHIVVPANTTSAILSGLRPYSSYHVEVQAFNGR GLGPASEWTFSTPEGVPGHPEALHLECQSDTSLLLHWQPPLSHNGVLTGYLLSYHPVEGE SKEQLFFNLSDPELRTHNLTNLNPDLQYRFQLQATTQQGPGEAIVREGGTMALFGKPDFG NISATAGENYSVVSWVPRKGQCNFRFHILFKALPEGKVSPDHQPQPQYVSYNQSSYTQWN LQPDTKYEIHLIKEKVLLHHLDVKTNGTGPVRVSTTGSFASEGWFIAFVSAIILLLLILL ILCFIKRSKGGKYSVKDKEDTQVDSEARPMKDETFGEYRSLESDNEEKAFGSSQPSLNGD IKPLGSDDSLADYGGSVDVQFNEDGSFIGQYSGKKEKEAAGGNDSSGATSPINPAVALE >ENSMUSP00000068135.5 pep:known chromosome:GRCm38:X:73854672:73869804:-1 gene:ENSMUSG00000031391.18 transcript:ENSMUST00000066576.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L1cam description:L1 cell adhesion molecule [Source:MGI Symbol;Acc:MGI:96721] MVVMLRYVWPLLLCSPCLLIQIPDELLEPPVITEQSPRRLVVFPTDDISLKCEARGRPQV EFRWTKDGIHFKPKEELGVVVHEAPYSGSFTIEGNNSFAQRFQGIYRCYASNKLGTAMSH EIQLVAEGAPKWPKETVKPVEVEEGESVVLPCNPPPSAAPLRIYWMNSKILHIKQDERVS MGQNGDLYFANVLTSDNHSDYICNAHFPGTRTIIQKEPIDLRVKPTNSMIDRKPRLLFPT NSSSRLVALQGQSLILECIAEGFPTPTIKWLHPSDPMPTDRVIYQNHNKTLQLLNVGEED DGEYTCLAENSLGSARHAYYVTVEAAPYWLQKPQSHLYGPGETARLDCQVQGRPQPEITW RINGMSMETVNKDQKYRIEQGSLILSNVQPSDTMVTQCEARNQHGLLLANAYIYVVQLPA RILTKDNQTYMAVEGSTAYLLCKAFGAPVPSVQWLDEEGTTVLQDERFFPYANGTLSIRD LQANDTGRYFCQAANDQNNVTILANLQVKEATQITQGPRSAIEKKGARVTFTCQASFDPS LQASITWRGDGRDLQERGDSDKYFIEDGKLVIQSLDYSDQGNYSCVASTELDEVESRAQL LVVGSPGPVPHLELSDRHLLKQSQVHLSWSPAEDHNSPIEKYDIEFEDKEMAPEKWFSLG KVPGNQTSTTLKLSPYVHYTFRVTAINKYGPGEPSPVSETVVTPEAAPEKNPVDVRGEGN ETNNMVITWKPLRWMDWNAPQIQYRVQWRPQGKQETWREQTVSDPFLVVSNTSTFVPYEI KVQAVNNQGKGPEPQVTIGYSGEDYPQVSPELEDITIFNSSTVLVRWRPVDLAQVKGHLK GYNVTYWWKGSQRKHSKRHIHKSHIVVPANTTSAILSGLRPYSSYHVEVQAFNGRGLGPA SEWTFSTPEGVPGHPEALHLECQSDTSLLLHWQPPLSHNGVLTGYLLSYHPVEGESKEQL FFNLSDPELRTHNLTNLNPDLQYRFQLQATTQQGPGEAIVREGGTMALFGKPDFGNISAT AGENYSVVSWVPRKGQCNFRFHILFKALPEGKVSPDHQPQPQYVSYNQSSYTQWNLQPDT KYEIHLIKEKVLLHHLDVKTNGTGPVRVSTTGSFASEGWFIAFVSAIILLLLILLILCFI KRSKGGKYSVKDKEDTQVDSEARPMKDETFGEYSDNEEKAFGSSQPSLNGDIKPLGSDDS LADYGGSVDVQFNEDGSFIGQYSGKKEKEAAGGNDSSGATSPINPAVALE >ENSMUSP00000115923.1 pep:known chromosome:GRCm38:X:73855005:73856762:-1 gene:ENSMUSG00000031391.18 transcript:ENSMUST00000124560.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L1cam description:L1 cell adhesion molecule [Source:MGI Symbol;Acc:MGI:96721] XPQYVSYNQSSYTQWNLQPDTKYEIHLIKEKVLLHHLDVKTNGTVKDKEDTQVDSEARPM KDETFGEYSDNEEKAFGSSQPSLNGDIKPLGSDDSLADYGGSVDVQFNEDGSFIGQYSGK KEKEAAGGNDSSGATSPINPAVALE >ENSMUSP00000114609.1 pep:known chromosome:GRCm38:X:73859426:73861123:-1 gene:ENSMUSG00000031391.18 transcript:ENSMUST00000148250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L1cam description:L1 cell adhesion molecule [Source:MGI Symbol;Acc:MGI:96721] XDPSLQASITWRGDGRDLQERGDSDKYFIEDGKLVIQSLDYSDQGNYSCVASTELDEVES RAQLLVVEYDIEFEDKEMAPEKWFSLGKVPGNQTSTTLKLSPYVHYTFRVTAINKYGPGE PSPVSETVVTPEAAPEKNPVDVRGEGNETNNMVITWKPLRWMDWNAPQIQYRVQWRPQGK QETWREQTVS >ENSMUSP00000121797.1 pep:known chromosome:GRCm38:X:73865763:73870279:-1 gene:ENSMUSG00000031391.18 transcript:ENSMUST00000146790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L1cam description:L1 cell adhesion molecule [Source:MGI Symbol;Acc:MGI:96721] MVVMLRYVWPLLLCSPCLLIQIPDEYKGHHVLEPPVITEQSPRRLVVFPTDDISLKCEAR GRPQVEFRWTKDGIHFKPKEELGV >ENSMUSP00000074137.1 pep:known chromosome:GRCm38:7:108286180:108287124:-1 gene:ENSMUSG00000093808.1 transcript:ENSMUST00000074550.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr490 description:olfactory receptor 490 [Source:MGI Symbol;Acc:MGI:3030324] MAFLENGNHTAVSEFILLGLTDDPVLRIVLFTIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASADIGLSSSVTPNMLVNFLVERSTISYLGCGIQLSSAALFGATECFLLAA MAYDRFMAICNPLLYSTKMSTKVCVQLIVGSYIAGFLNASSFLLSFFSLLFCGQNIINDF FCDFAPLAELSCSDVSVFVVVISFSAGTVTMLTVFVIAISYSYILITILKMRSTEGRQKA FSTCTSHLTAVTLFYGTVTFIYVMPKSSYSMDQNKIISVFYMVVVPMLNPLIYSLRNNEI KGALKRHFDRKTFS >ENSMUSP00000106410.1 pep:known chromosome:GRCm38:2:119797733:119818104:1 gene:ENSMUSG00000027298.17 transcript:ENSMUST00000110783.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyro3 description:TYRO3 protein tyrosine kinase 3 [Source:MGI Symbol;Acc:MGI:104294] MDDKLENTLGRWAGENGLSIGEYLAIKGLKLMGAPVKMTVSQGQPVKLNCSVEGMEDPDI HWMKDGTVVQNASQVSISISEHSWIGLLSLKSVERSDAGLYWCQVKDGEETKISQSVWLT VEGVPFFTVEPKDLAVPPNAPFQLSCEAVGPPEPVTIYWWRGLTKVGGPAPSPSVLNVTG VTQRTEFSCEARNIKGLATSRPAIVRLQAPPAAPFNTTVTTISSYNASVAWVPGADGLAL LHSCTVQVAHAPGEWEALAVVVPVPPFTCLLRNLAPATNYSLRVRCANALGPSPYGDWVP FQTKGLAPARAPQNFHAIRTDSGLILEWEEVIPEDPGEGPLGPYKLSWVQENGTQDELMV EGTRANLTDWDPQKDLILRVCASNAIGDGPWSQPLVVSSHDHAGRQGPPHSRTSWVPVVL GVLTALITAAALALILLRKRRKETRFGQAFDSVMARGEPAVHFRAARSFNRERPERIEAT LDSLGISDELKEKLEDVLIPEQQFTLGRMLGKGEFGSVREAQLKQEDGSFVKVAVKMLKA DIIASSDIEEFLREAACMKEFDHPHVAKLVGVSLRSRAKGRLPIPMVILPFMKHGDLHAF LLASRIGENPFNLPLQTLVRFMVDIACGMEYLSSRNFIHRDLAARNCMLAEDMTVCVADF GLSRKIYSGDYYRQGCASKLPVKWLALESLADNLYTVHSDVWAFGVTMWEIMTRGQTPYA GIENAEIYNYLIGGNRLKQPPECMEEVYDLMYQCWSADPKQRPSFTCLRMELENILGHLS VLSTSQDPLYINIERAEQPTESGSPEVHCGERSSSEAGDGSGVGAVGGIPSDSRYIFSPG GLSESPGQLEQQPESPLNENQRLLLLQQGLLPHSSC >ENSMUSP00000028763.9 pep:known chromosome:GRCm38:2:119799514:119818104:1 gene:ENSMUSG00000027298.17 transcript:ENSMUST00000028763.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyro3 description:TYRO3 protein tyrosine kinase 3 [Source:MGI Symbol;Acc:MGI:104294] MALRRSMGWPGLRPLLLAGLASLLLPGSAAAGLKLMGAPVKMTVSQGQPVKLNCSVEGME DPDIHWMKDGTVVQNASQVSISISEHSWIGLLSLKSVERSDAGLYWCQVKDGEETKISQS VWLTVEGVPFFTVEPKDLAVPPNAPFQLSCEAVGPPEPVTIYWWRGLTKVGGPAPSPSVL NVTGVTQRTEFSCEARNIKGLATSRPAIVRLQAPPAAPFNTTVTTISSYNASVAWVPGAD GLALLHSCTVQVAHAPGEWEALAVVVPVPPFTCLLRNLAPATNYSLRVRCANALGPSPYG DWVPFQTKGLAPARAPQNFHAIRTDSGLILEWEEVIPEDPGEGPLGPYKLSWVQENGTQD ELMVEGTRANLTDWDPQKDLILRVCASNAIGDGPWSQPLVVSSHDHAGRQGPPHSRTSWV PVVLGVLTALITAAALALILLRKRRKETRFGQAFDSVMARGEPAVHFRAARSFNRERPER IEATLDSLGISDELKEKLEDVLIPEQQFTLGRMLGKGEFGSVREAQLKQEDGSFVKVAVK MLKADIIASSDIEEFLREAACMKEFDHPHVAKLVGVSLRSRAKGRLPIPMVILPFMKHGD LHAFLLASRIGENPFNLPLQTLVRFMVDIACGMEYLSSRNFIHRDLAARNCMLAEDMTVC VADFGLSRKIYSGDYYRQGCASKLPVKWLALESLADNLYTVHSDVWAFGVTMWEIMTRGQ TPYAGIENAEIYNYLIGGNRLKQPPECMEEVYDLMYQCWSADPKQRPSFTCLRMELENIL GHLSVLSTSQDPLYINIERAEQPTESGSPEVHCGERSSSEAGDGSGVGAVGGIPSDSRYI FSPGGLSESPGQLEQQPESPLNENQRLLLLQQGLLPHSSC >ENSMUSP00000138964.1 pep:known chromosome:GRCm38:2:27262104:27426912:-1 gene:ENSMUSG00000009621.18 transcript:ENSMUST00000185188.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vav2 description:vav 2 oncogene [Source:MGI Symbol;Acc:MGI:102718] MEQWRQCGRWLIDCKVLPPNHRVVWPSAVVFDLAQALRDGVLLCQLLHNLSPGSIDLKDI NFRPQMSQVISAVSRLSLHSIAQSKGIRPFPSEETAENDDDVYRSLEELADEHDLGEDIY DCVPCEDEGDDIYEDIIKVEVQQPMIRYMQKMGMTEDDKRSCCLLEIQETEAKYYRTLED IEKNYMGPLRLVLSPADMAAVFINLEDLIKVHHSFLRAIDVSMMAGGSTLAKVFLEFKER LLIYGEYCSHMEHAQSTLNQLLASREDFRQKVEECTLRVQDGKFKLQDLLVVPMQRVLKY HLLLKELLSHSADRPERQQLKEALEAMQDLAMYINEVKRDKETLKKISEFQCSIENLQVK LEEFGRPKIDGELKVRSIVNHTKQDRYLFLFDKVVIVCKRKGYSYELKEVIELLFHKMTD DPMHNKDIKKSHGKMWSYGFYLIHLQGKQGFQFFCKTEDMKRKWMEQFEMAMSNIKPDKA NANHHSFQMYTFDKTTNCKACKMFLRGTFYQGYLCTRCGVGAHKECLEVIPPCKMSSPAD VDAPGAGPGPKMVAVQNYHGNPAPPGKPVLTFQTGDVIELLRGDPDSPWWEGRLVQTRKS GYFPSSSVKPCPVDGRPPTGRPPSREIDYTAYPWFAGNMERQQTDNLLKSHASGTYLIRE RPAEAERFAISIKFNDEVKHIKVVEKDSWIHITEAKKFESLLSILSAIFGSPAPEGEVFE ALAILAGLGWRAGGVLPVSLTQGKLQAVGHYTQVPLQVSGANHQQGLKPVSSFLRFLQLF FSQSSGPQLCPPGPFCSLLVSVHTAGHRHSRGQVQLCCSRHAGAVTARR >ENSMUSP00000062782.7 pep:known chromosome:GRCm38:2:27262104:27427033:-1 gene:ENSMUSG00000009621.18 transcript:ENSMUST00000056176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vav2 description:vav 2 oncogene [Source:MGI Symbol;Acc:MGI:102718] MEQWRQCGRWLIDCKVLPPNHRVVWPSAVVFDLAQALRDGVLLCQLLHNLSPGSIDLKDI NFRPQMSQFLCLKNIRTFLKVCHDKFGLRNSELFDPFDLFDVRDFGKVISAVSRLSLHSI AQSKGIRPFPSEETAENDDDVYRSLEELADEHDLGEDIYDCVPCEDEGDDIYEDIIKVEV QQPMKMGMTEDDKRSCCLLEIQETEAKYYRTLEDIEKNYMGPLRLVLSPADMAAVFINLE DLIKVHHSFLRAIDVSMMAGGSTLAKVFLEFKERLLIYGEYCSHMEHAQSTLNQLLASRE DFRQKVEECTLRVQDGKFKLQDLLVVPMQRVLKYHLLLKELLSHSADRPERQQLKEALEA MQDLAMYINEVKRDKETLKKISEFQCSIENLQVKLEEFGRPKIDGELKVRSIVNHTKQDR YLFLFDKVVIVCKRKGYSYELKEVIELLFHKMTDDPMHNKDIKKWSYGFYLIHLQGKQGF QFFCKTEDMKRKWMEQFEMAMSNIKPDKANANHHSFQMYTFDKTTNCKACKMFLRGTFYQ GYLCTRCGVGAHKECLEVIPPCKMSSPADVDAPGAGPGPKMVAVQNYHGNPAPPGKPVLT FQTGDVIELLRGDPDSPWWEGRLVQTRKSGYFPSSSVKPCPVDGRPPTGRPPSREIDYTA YPWFAGNMERQQTDNLLKSHASGTYLIRERPAEAERFAISIKFNDEVKHIKVVEKDSWIH ITEAKKFESLLELVEYYQCHSLKESFKQLDTTLKFPYKSRERTTSRASSRSPASCASYNF SFLSPQGLSFAPQAPSAPFWSVFTPRVIGTAVARYNFAARDMRELSLREGDVVKIYSRIG GDQGWWKGETNGRIGWFPSTYVEEEGVQ >ENSMUSP00000103932.2 pep:known chromosome:GRCm38:4:11958184:11966452:-1 gene:ENSMUSG00000049225.14 transcript:ENSMUST00000108297.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdp1 description:pyruvate dehyrogenase phosphatase catalytic subunit 1 [Source:MGI Symbol;Acc:MGI:2685870] MPAPTQLFFPLVRNCELSRIYGTACYCHHKHLCCSPPYIPQNRLRYTPHPAYATFCRPRE NWWQYTQGRRYASTPQKFYLTPPQVNSILKANEYSFKVPEFDGKNVSSILGFDSNQLPAN APIEDRRSAATCLQTRGMLLGVFDGHAGCACSQAVSERLFYYIAVSLLPHETLLEIENAV ESGRALLPILQWHKHPNDYFSKEASKLYFNSLRTYWQELIDLNTGESADIDVKEALINAF KRLDNDISLEAQVGDPNSFLNYLVLRVAFSGATACVAHVDGVDLHVANTGDSRAMLGVQE EDGSWSAVTLSNDHNAQNERELERLKLEHPKNEAKSVVKQDRLLGLLMPFRAFGDVKFKW SIDLQKRVIESGPDQLNDNEYTKFIPPNYHTPPYLTAEPEVTYHRLRPQDKFLVLATDGL WETMHRQDVVRIVGEYLTGMHHQQPIAVGGYKVTLGQMHGLLTERRAKMSSVFEDQNAAT HLIRHAVGNNEFGAVDHERLSKMLSLPEELARMYRDDITIIVVQFNSHVVGAYQNQEQ >ENSMUSP00000103936.1 pep:known chromosome:GRCm38:4:11959807:11965821:-1 gene:ENSMUSG00000049225.14 transcript:ENSMUST00000108301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdp1 description:pyruvate dehyrogenase phosphatase catalytic subunit 1 [Source:MGI Symbol;Acc:MGI:2685870] MSISALLSMGRCCCRCCCPRGLWMLSAPCCDDRRMCVCPGPRRIGIPVRTSSLPLFSDAM PAPTQLFFPLVRNCELSRIYGTACYCHHKHLCCSPPYIPQNRLRYTPHPAYATFCRPREN WWQYTQGRRYASTPQKFYLTPPQVNSILKANEYSFKVPEFDGKNVSSILGFDSNQLPANA PIEDRRSAATCLQTRGMLLGVFDGHAGCACSQAVSERLFYYIAVSLLPHETLLEIENAVE SGRALLPILQWHKHPNDYFSKEASKLYFNSLRTYWQELIDLNTGESADIDVKEALINAFK RLDNDISLEAQVGDPNSFLNYLVLRVAFSGATACVAHVDGVDLHVANTGDSRAMLGVQEE DGSWSAVTLSNDHNAQNERELERLKLEHPKNEAKSVVKQDRLLGLLMPFRAFGDVKFKWS IDLQKRVIESGPDQLNDNEYTKFIPPNYHTPPYLTAEPEVTYHRLRPQDKFLVLATDGLW ETMHRQDVVRIVGEYLTGMHHQQPIAVGGYKVTLGQMHGLLTERRAKMSSVFEDQNAATH LIRHAVGNNEFGAVDHERLSKMLSLPEELARMYRDDITIIVVQFNSHVVGAYQNQEQ >ENSMUSP00000092766.3 pep:known chromosome:GRCm38:4:11959807:11965927:-1 gene:ENSMUSG00000049225.14 transcript:ENSMUST00000095144.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdp1 description:pyruvate dehyrogenase phosphatase catalytic subunit 1 [Source:MGI Symbol;Acc:MGI:2685870] MCVCPGPRRIGIPVRTSSLPLFSDAMPAPTQLFFPLVRNCELSRIYGTACYCHHKHLCCS PPYIPQNRLRYTPHPAYATFCRPRENWWQYTQGRRYASTPQKFYLTPPQVNSILKANEYS FKVPEFDGKNVSSILGFDSNQLPANAPIEDRRSAATCLQTRGMLLGVFDGHAGCACSQAV SERLFYYIAVSLLPHETLLEIENAVESGRALLPILQWHKHPNDYFSKEASKLYFNSLRTY WQELIDLNTGESADIDVKEALINAFKRLDNDISLEAQVGDPNSFLNYLVLRVAFSGATAC VAHVDGVDLHVANTGDSRAMLGVQEEDGSWSAVTLSNDHNAQNERELERLKLEHPKNEAK SVVKQDRLLGLLMPFRAFGDVKFKWSIDLQKRVIESGPDQLNDNEYTKFIPPNYHTPPYL TAEPEVTYHRLRPQDKFLVLATDGLWETMHRQDVVRIVGEYLTGMHHQQPIAVGGYKVTL GQMHGLLTERRAKMSSVFEDQNAATHLIRHAVGNNEFGAVDHERLSKMLSLPEELARMYR DDITIIVVQFNSHVVGAYQNQEQ >ENSMUSP00000103937.1 pep:known chromosome:GRCm38:4:11959807:11965981:-1 gene:ENSMUSG00000049225.14 transcript:ENSMUST00000108302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdp1 description:pyruvate dehyrogenase phosphatase catalytic subunit 1 [Source:MGI Symbol;Acc:MGI:2685870] MERRRCACPGSWSCPPGAVMPPRLPGIPVRTSSLPLFSDAMPAPTQLFFPLVRNCELSRI YGTACYCHHKHLCCSPPYIPQNRLRYTPHPAYATFCRPRENWWQYTQGRRYASTPQKFYL TPPQVNSILKANEYSFKVPEFDGKNVSSILGFDSNQLPANAPIEDRRSAATCLQTRGMLL GVFDGHAGCACSQAVSERLFYYIAVSLLPHETLLEIENAVESGRALLPILQWHKHPNDYF SKEASKLYFNSLRTYWQELIDLNTGESADIDVKEALINAFKRLDNDISLEAQVGDPNSFL NYLVLRVAFSGATACVAHVDGVDLHVANTGDSRAMLGVQEEDGSWSAVTLSNDHNAQNER ELERLKLEHPKNEAKSVVKQDRLLGLLMPFRAFGDVKFKWSIDLQKRVIESGPDQLNDNE YTKFIPPNYHTPPYLTAEPEVTYHRLRPQDKFLVLATDGLWETMHRQDVVRIVGEYLTGM HHQQPIAVGGYKVTLGQMHGLLTERRAKMSSVFEDQNAATHLIRHAVGNNEFGAVDHERL SKMLSLPEELARMYRDDITIIVVQFNSHVVGAYQNQEQ >ENSMUSP00000103934.1 pep:known chromosome:GRCm38:4:11959807:11966427:-1 gene:ENSMUSG00000049225.14 transcript:ENSMUST00000108299.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdp1 description:pyruvate dehyrogenase phosphatase catalytic subunit 1 [Source:MGI Symbol;Acc:MGI:2685870] MCVCPGPRRIGIPVRTSSLPLFSDAMPAPTQLFFPLVRNCELSRIYGTACYCHHKHLCCS PPYIPQNRLRYTPHPAYATFCRPRENWWQYTQGRRYASTPQKFYLTPPQVNSILKANEYS FKVPEFDGKNVSSILGFDSNQLPANAPIEDRRSAATCLQTRGMLLGVFDGHAGCACSQAV SERLFYYIAVSLLPHETLLEIENAVESGRALLPILQWHKHPNDYFSKEASKLYFNSLRTY WQELIDLNTGESADIDVKEALINAFKRLDNDISLEAQVGDPNSFLNYLVLRVAFSGATAC VAHVDGVDLHVANTGDSRAMLGVQEEDGSWSAVTLSNDHNAQNERELERLKLEHPKNEAK SVVKQDRLLGLLMPFRAFGDVKFKWSIDLQKRVIESGPDQLNDNEYTKFIPPNYHTPPYL TAEPEVTYHRLRPQDKFLVLATDGLWETMHRQDVVRIVGEYLTGMHHQQPIAVGGYKVTL GQMHGLLTERRAKMSSVFEDQNAATHLIRHAVGNNEFGAVDHERLSKMLSLPEELARMYR DDITIIVVQFNSHVVGAYQNQEQ >ENSMUSP00000050521.4 pep:known chromosome:GRCm38:4:11959811:11966420:-1 gene:ENSMUSG00000049225.14 transcript:ENSMUST00000056050.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdp1 description:pyruvate dehyrogenase phosphatase catalytic subunit 1 [Source:MGI Symbol;Acc:MGI:2685870] MPAPTQLFFPLVRNCELSRIYGTACYCHHKHLCCSPPYIPQNRLRYTPHPAYATFCRPRE NWWQYTQGRRYASTPQKFYLTPPQVNSILKANEYSFKVPEFDGKNVSSILGFDSNQLPAN APIEDRRSAATCLQTRGMLLGVFDGHAGCACSQAVSERLFYYIAVSLLPHETLLEIENAV ESGRALLPILQWHKHPNDYFSKEASKLYFNSLRTYWQELIDLNTGESADIDVKEALINAF KRLDNDISLEAQVGDPNSFLNYLVLRVAFSGATACVAHVDGVDLHVANTGDSRAMLGVQE EDGSWSAVTLSNDHNAQNERELERLKLEHPKNEAKSVVKQDRLLGLLMPFRAFGDVKFKW SIDLQKRVIESGPDQLNDNEYTKFIPPNYHTPPYLTAEPEVTYHRLRPQDKFLVLATDGL WETMHRQDVVRIVGEYLTGMHHQQPIAVGGYKVTLGQMHGLLTERRAKMSSVFEDQNAAT HLIRHAVGNNEFGAVDHERLSKMLSLPEELARMYRDDITIIVVQFNSHVVGAYQNQEQ >ENSMUSP00000033739.4 pep:known chromosome:GRCm38:X:163976822:164027997:-1 gene:ENSMUSG00000031373.4 transcript:ENSMUST00000033739.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car5b description:carbonic anhydrase 5b, mitochondrial [Source:MGI Symbol;Acc:MGI:1926249] MAVMNHLRVILQVSSSTLPWRRCWVPRLVPRRSCSLYTCTYRTRNRALPPLWENLDLVPA GDRQSPINIRWRDSVYDPGLKPLTISYDPATCLHIWNNGYSFLVEFEDSTDKSVVEGGPL EHNYRLKQFHFHWGAIDAWGSEHTVDSKCYPAELHLVHWNAVKFESFEDAALEENGLAVI GVFLKLGKHHKELQKLVDTLPSIKHKDTLVEFGSFDPSCLMPTCPDYWTYSGSLTTPPLS ESVTWIIKKQPVEVDRDQLEQFRTLLFTSEGEKEKRMVDNFRPLQPLMNRTVRSSFRHDY VLNIQVKPKPTASEVTP >ENSMUSP00000004379.5 pep:known chromosome:GRCm38:6:124704381:124712178:-1 gene:ENSMUSG00000004268.11 transcript:ENSMUST00000004379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emg1 description:EMG1 N1-specific pseudouridine methyltransferase [Source:MGI Symbol;Acc:MGI:1315195] MSAASGGFQPRERRFSVQEQDWETTPPKKLRLGAGSKCGGRRLIVVLEGASLETVKVGKT YELLNCDRHKSMLLKNGRDPGEVRPDITHQSLLMLMDSPLNRAGLLQVYIHTQKNVLIEV NPQTRIPRTFDRFCGLMVQLLHKLSVRAADGPQKLLKVIKNPVSDHFPVGCMKIGTSFSV EDISDIRELVPSSDPVVFVVGAFAHGKVSVEYTEKMVSISNYPLSAALTCAKVTTAFEEV WGVI >ENSMUSP00000144730.1 pep:known chromosome:GRCm38:6:124705160:124712057:-1 gene:ENSMUSG00000004268.11 transcript:ENSMUST00000203238.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emg1 description:EMG1 N1-specific pseudouridine methyltransferase [Source:MGI Symbol;Acc:MGI:1315195] MSAASGGFQPRERRFSVQEQDWETTPPKKLRLGAGSKCGGRRLIVVLEGASLETVKSLLM LMDSPLNRAGLLQVYIHTQKNVLIEVNPQTRIPRTFDRFCGLMVQLLHKLSVRAADGPQK LL >ENSMUSP00000110464.1 pep:known chromosome:GRCm38:9:44107245:44109187:1 gene:ENSMUSG00000079592.9 transcript:ENSMUST00000114816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf5 description:C1q and tumor necrosis factor related protein 5 [Source:MGI Symbol;Acc:MGI:2385958] MRPLLALLLLGLVSGSPPLDDNKIPSLCPGQPGLPGTPGHHGSQGLPGRDGRDGRDGAPG APGEKGEGGRPGLPGPRGEPGPRGEAGPMGAIGPAGECSVPPRSAFSAKRSESRVPPPAD TPLPFDRVLLNEQGHYDPTTGKFTCQVPGVYYFAVHATVYRASLQFDLVKNGQSIASFFQ YFGGWPKPASLSGGAMVRLEPEDQVWVQVGVGDYIGIYASIKTDSTFSGFLVYSDWHSSP VFA >ENSMUSP00000110469.3 pep:known chromosome:GRCm38:9:44107254:44109185:1 gene:ENSMUSG00000079592.9 transcript:ENSMUST00000114821.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf5 description:C1q and tumor necrosis factor related protein 5 [Source:MGI Symbol;Acc:MGI:2385958] MRPLLALLLLGLVSGSPPLDDNKIPSLCPGQPGLPGTPGHHGSQGLPGRDGRDGRDGAPG APGEKGEGGRPGLPGPRGEPGPRGEAGPMGAIGPAGECSVPPRSAFSAKRSESRVPPPAD TPLPFDRVLLNEQGHYDPTTGKFTCQVPGVYYFAVHATVYRASLQFDLVKNGQSIASFFQ YFGGWPKPASLSGGAMVRLEPEDQVWVQVGVGDYIGIYASIKTDSTFSGFLVYSDWHSSP VFA >ENSMUSP00000110466.2 pep:known chromosome:GRCm38:9:44107274:44109184:1 gene:ENSMUSG00000079592.9 transcript:ENSMUST00000114818.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf5 description:C1q and tumor necrosis factor related protein 5 [Source:MGI Symbol;Acc:MGI:2385958] MRPLLALLLLGLVSGSPPLDDNKIPSLCPGQPGLPGTPGHHGSQGLPGRDGRDGRDGAPG APGEKGEGGRPGLPGPRGEPGPRGEAGPMGAIGPAGECSVPPRSAFSAKRSESRVPPPAD TPLPFDRVLLNEQGHYDPTTGKFTCQVPGVYYFAVHATVYRASLQFDLVKNGQSIASFFQ YFGGWPKPASLSGGAMVRLEPEDQVWVQVGVGDYIGIYASIKTDSTFSGFLVYSDWHSSP VFA >ENSMUSP00000123040.1 pep:known chromosome:GRCm38:9:44107309:44108493:1 gene:ENSMUSG00000079592.9 transcript:ENSMUST00000152956.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf5 description:C1q and tumor necrosis factor related protein 5 [Source:MGI Symbol;Acc:MGI:2385958] MRPLLALLLLGLVSGSPPLDDNKIPSLCPGQPGLPGTPGHHGSQGLPGRDGRDGRDGAPG APGEKGEGGRPGLPGPRGEPGPRGEAGPMGAIGPAGECSVPPRSAFSAKRSESRVPPPAD TPLPFDRVLLNEQGHYDP >ENSMUSP00000110463.1 pep:known chromosome:GRCm38:9:44107314:44109184:1 gene:ENSMUSG00000079592.9 transcript:ENSMUST00000114815.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf5 description:C1q and tumor necrosis factor related protein 5 [Source:MGI Symbol;Acc:MGI:2385958] MRPLLALLLLGLVSGSPPLDDNKIPSLCPGQPGLPGTPGHHGSQGLPGRDGRDGRDGAPG APGEKGEGGRPGLPGPRGEPGPRGEAGPMGAIGPAGECSVPPRSAFSAKRSESRVPPPAD TPLPFDRVLLNEQGHYDPTTGKFTCQVPGVYYFAVHATVYRASLQFDLVKNGQSIASFFQ YFGGWPKPASLSGGAMVRLEPEDQVWVQVGVGDYIGIYASIKTDSTFSGFLVYSDWHSSP VFA >ENSMUSP00000146051.1 pep:known chromosome:GRCm38:9:44107321:44107907:1 gene:ENSMUSG00000079592.9 transcript:ENSMUST00000206295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf5 description:C1q and tumor necrosis factor related protein 5 [Source:MGI Symbol;Acc:MGI:2385958] MRPLLALLLLGLVSGSPPLDDNKIPSLCPGQPGLPGTPGHHGSQGLPGRD >ENSMUSP00000145714.1 pep:known chromosome:GRCm38:9:44107375:44108772:1 gene:ENSMUSG00000079592.9 transcript:ENSMUST00000206769.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf5 description:C1q and tumor necrosis factor related protein 5 [Source:MGI Symbol;Acc:MGI:2385958] MGAIGPAGECSVPPRSAFSAKRSESRVPPPADTPLPFDRVLLNEQGHYDPTTGKFTCQVP GVYYFAVHATVYRASLQFDLVKNGQSIASFFQYFGGWPKPASLSGGAMVRLEPEDQVWVQ VGVGDYIGIYASIKTDSTFSGFL >ENSMUSP00000145546.1 pep:known chromosome:GRCm38:9:44107515:44108544:1 gene:ENSMUSG00000079592.9 transcript:ENSMUST00000205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf5 description:C1q and tumor necrosis factor related protein 5 [Source:MGI Symbol;Acc:MGI:2385958] MRPLLALLLLGLVSGSPPLDDNKIPSLCPGQPGLPGTPGHHGSQGLPGRDGRDGRDGAPG APGEKGEGGRPGLPGPRGEPGPRGEAGPMGAIGPAGECSVPPRSAFSAKRSESRVPPPAD TPLPFDRVLLNEQGHYDPTTGKFTCQVPGVYYFAV >ENSMUSP00000002292.8 pep:known chromosome:GRCm38:6:71388634:71440637:-1 gene:ENSMUSG00000002222.14 transcript:ENSMUST00000002292.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmnd5a description:required for meiotic nuclear division 5 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915727] MDQCVTVERELEKVLHKFSGYGQLCERGLEELIDYTGGLKHEILQSHGQDAELSGTLSLV LTQCCKRIKDTVQKLASDHKDIHSSVSRVGKAIDKNFDSDISSVGIDGCWQADSQRLLNE VMVEHFFRQGMLDVAEELCQESGLSVDPSQKEPFVELNRILEALKVRVLRPALEWAVSNR EMLIAQNSSLEFKLHRLYFISLLMGGTTNQREALQYAKNFQPFALNHQKDIQVLMGSLVY LRQGIENSPYVHLLDANQWADICDIFTRDACALLGLSVESPLSVSFSAGCVALPALINIK AVIEQRQCTGVWNQKDELPIEVDLGKKCWYHSIFACPILRQQTTDNNPPMKLVCGHIISR DALNKMFNGSKLKCPYCPMEQSPGDAKQIFF >ENSMUSP00000115130.1 pep:known chromosome:GRCm38:6:71388887:71399293:-1 gene:ENSMUSG00000002222.14 transcript:ENSMUST00000149415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmnd5a description:required for meiotic nuclear division 5 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915727] XWAVSNREMLIAQNSSLEFKLHRLYFISLLMGGTTNQREALQYAKNFQPFALNHQKDIQV LMGSLVYLRQGIENSPYVHLLDANQWADICDIFTRDACALLGLSVESPLSVSFSAGCVAL PALINIKAVIEQRQCTGVWNQKDELPIEVDLGKKCWYHSIFACPILRQQTTDNNPPMKLV CGHIISRDALNKMFNGSKLKCPYCPMEQSPGDAKQIFF >ENSMUSP00000043410.7 pep:known chromosome:GRCm38:5:118245227:118266093:1 gene:ENSMUSG00000032840.7 transcript:ENSMUST00000049138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410131K14Rik description:RIKEN cDNA 2410131K14 gene [Source:MGI Symbol;Acc:MGI:1924042] MLNLAALLWRRLLRKRWVLALVFGLSLVYFLSSTFKQEERAVRDRNLLQVQDREQPIPWK VQFNLGNSSRPSNQCRNSVQGKHLLTDELGYVCERKDLLANGCCDVSVPSTKQYCCDGCL ANGCCEAYEYCVSCCLQPSKQLLLERFLNRAAVAFQNLFMAVEDHFELCLAKCRTSSQSV QHENTYRDPIAKYCYGESPPELFPA >ENSMUSP00000049490.3 pep:known chromosome:GRCm38:11:6597823:6606053:-1 gene:ENSMUSG00000041073.10 transcript:ENSMUST00000045713.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nacad description:NAC alpha domain containing [Source:MGI Symbol;Acc:MGI:3603030] MSALPLALRSARRAGARSLGLIGGRGSADVIYAPPPPSRGAVAVLATPSALTDRGTERQG YRQAHVQAMPGEAAGAELPLPEAGGPGSRTDHSCDAAIATILKGDQLEPHGLTPGPSPLA LTFLSSKPGARPQPEGASWDAGPSGAASAWVDPAEGSPSLVVLPEGLPLRPVPAEGPLPT TLEPRIVMGEETCQVIASPRAAWPVLRDREGGHPALHPPPELCSQGDPPVPSPPPDLESY FTPPSTPTKSTHALLPDHGPHRDAWDLEAELLDELLDSTPASPSGSYITADGDSWASSPS CSLSLLDPAEGLDFPSDWGLSPSGSVADDLEPHPAAPPEPPSSESSLSADSSSSWSQEGH FFDPNFLANDPMIPAALLPFRGSLIFQVEAVEVTPLPQEEEEDEEDVAATAAAAAPAAAT PDGDLAGEGEDDSTSASFLQSLSDLSIIEGMDEAFAFRDDTSAASSDSDSASYAGADDDR LYSGEPHAQPSAQNTEQAYRSRATFPGIESTPQTSEQEICLTNSQESVAEIAEEILTLGL ESEAMRTPPDQQAAPGPQVEETPTVTPWVGNKVDLVVEQVSKALPEPCQEGISTTLGCKP LTAEAIPDLQEGASPSLCPVLPEKKEEGQGLPSTLEYVAVALEGPWKAEGGVTIPQDPLM TLPPLLQSTVPTSGPESVAVVALEPQQNEGCVTVLPDVPVVLPPSPQSVDPSSGPEAMAV ATYEFQRAKEGTPGLQDSPVAASPALQGPDPTSEPEPEVVVTSRSQQDEGIVTVPQESPT ASSLTLQSSHPTSDQEREVAATLGPQQAEEGVTIPQVAPVASPSLLQGLESTSDLESVVV GTPESQQDEGIATATQDTPVMAPPPLRGTDSTSDPELIAPDTSQALQREAGHTPGTKPSV SEAHQELGVASGPRPVPKEGDAEPPPHSAPPASNQAQQNGSEPGYKSDSFGAPEESDSTL STKTSEPTSCMGEKVAANMSAPKQGACLEAHDGVKTHSPQREALRSKNKRGRGTKSPGQG NGPKSATSQGAVETCRAHSAARSEVSQPQLRSNEDTSGPRLPVAVSVQARLGSCPGSPAR ATCTLSRVYAEETSRCAPPFQHLEPMLGLGSAEQPKVTPGILNLSPDNSAGDLLTTSQNR FLDPDPAPSTLDRASQSSPGPPDPCLCPPPQKASEEEEKPPASRGPMPRAGAQGAAAITT SGSTKPPGARQRVSLSPHSTLNPKVAPTDTKDLACIISSPCQVPPPSGTQNPSGPREFPA LEQKDEDSLEEDAQRAPGSGQRWESHGESSSELDEYLAPPPDAQRTPGSGQRSESHGESS SELGEQDLSPQKSQCPAQGPAGSNEETIAKAKQSRSEKKARKAMSKLGLRQIQGVTRITI QKSKNILFVIAKPDVFKSPASDTYVVFGEAKIEDLSQQVHKAAAEKFKVPSEPSALVPEL SPGPRVRPECEEQEEEDEEVEEAGLEPRDIELVMAQANVTRAKAVRALKDNHSDIVNAIM ELTM >ENSMUSP00000134974.1 pep:known chromosome:GRCm38:11:6597892:6599392:-1 gene:ENSMUSG00000041073.10 transcript:ENSMUST00000177050.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nacad description:NAC alpha domain containing [Source:MGI Symbol;Acc:MGI:3603030] XDSLEEGPGRQQRGDHCQSQAKPQ >ENSMUSP00000135338.1 pep:known chromosome:GRCm38:11:6597903:6599938:-1 gene:ENSMUSG00000041073.10 transcript:ENSMUST00000177391.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nacad description:NAC alpha domain containing [Source:MGI Symbol;Acc:MGI:3603030] XLSRVYAEETSRCAPPFQHLEPMLGLGSAEQPKVTPGILNLSPDNSAGDLLTTSQNRFLD PDPAPSTLDRASQSSPGPPDPCLCPPPQKASEEEEKPPASRGPMPRAGAQGAAAITTSGS TKPPGARQRVSLSPHSTLNPKVAPTDTKDLACIISSPCQVPPPSGTQNPSGPREFPALEQ KDEDSLEEDAQRAPGSGQRWESHGESSSELDEYLAPPPDAQRTPGSGQRSESHGESSSEL GPGRQQRGDHCQSQAKPQ >ENSMUSP00000073860.3 pep:known chromosome:GRCm38:2:26628457:26636497:1 gene:ENSMUSG00000036186.5 transcript:ENSMUST00000074240.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam69b description:family with sequence similarity 69, member B [Source:MGI Symbol;Acc:MGI:1927576] MRRLRRLVHLVLLCPFSKGLQGRLPGLRVKYVLLVWLGIFVGSWMVYVHYSSYSELCRGH VCQVVICDQYRKGIISGSVCQDLCELQKVEWRTCLSSAPGQQVYSGLWQDKEVTIKCGIE EALNSKAWPDAAPRRELVLFDKPTRGTSIKEFREMTLSFLKANLGDLPSLPALVDQILLM ADFNKDSRVSLAEAKSVWALLQRNEFLLLLSLQEKEHASRLLGYCGDLYLTEGIPHGSWH GAVLLPALRPLLPSVLHRALQQWFGPAWPWRAKIAIGLLEFVEELFHGSYGTFYMCETTL ANVGYTATYDFKMADLQQVAPEATVRRFLQGRHCEQSSDCIYGRDCRAPCDRLMRQCKGD LIQPNLAKVCELLRDYLLPGAPAGLYEELGKQLRTCTTLSGLASQIEAHHSLVLSHLKTL LWREISNTNYS >ENSMUSP00000030212.8 pep:known chromosome:GRCm38:4:85205441:85389380:1 gene:ENSMUSG00000028488.15 transcript:ENSMUST00000030212.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3gl2 description:SH3-domain GRB2-like 2 [Source:MGI Symbol;Acc:MGI:700009] MSVAGLKKQFHKATQKVSEKVGGAEGTKLDDDFKEMERKVDVTSRAVMEIMTKTIEYLQP NPASRAKLSMINTMSKIRGQEKGPGYPQAEALLAEAMLKFGRELGDDCNFGPALGEVGEA MRELSEVKDSLDMEVKQNFIDPLQNLHDKDLREIQHHLKKLEGRRLDFDYKKKRQGKIPD EELRQALEKFDESKEIAESSMFNLLEMDIEQVSQLSALVQAQLEYHKQAVQILQQVTVRL EERIRQASSQPRREYQPKPRMSLEFATGDSTQPNGGLSHTGTPKPPGVQMDQPCCRALYD FEPENEGELGFKEGDIITLTNQIDENWYEGMLHGQSGFFPINYVEILVALPH >ENSMUSP00000102806.3 pep:known chromosome:GRCm38:4:85205126:85399529:1 gene:ENSMUSG00000028488.15 transcript:ENSMUST00000107188.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3gl2 description:SH3-domain GRB2-like 2 [Source:MGI Symbol;Acc:MGI:700009] MSVAGLKKQFHKATQKVSEKVGGAEGTKLDDDFKEMERKVDVTSRAVMEIMTKTIEYLQP NPASRAKLSMINTMSKIRGQEKGPGYPQAEALLAEAMLKFGRELGDDCNFGPALGEVGEA MRELSEVKDSLDMEVKQNFIDPLQNLHDKDLREIQHHLKKLEGRRLDFDYKKKRQGKIPD EELRQALEKFDESKEIAESSMFNLLEMDIEQVSQLSALVQAQLEYHKQAVQILQQVTVRL EERIRQASSQPRREYQPKPRMSLEFATGDSTQPNGGLSHTGTPKPPGVQMDQPCCRALYD FEPENEGELGFKEGDIITLTNQIDENCRLLVLVLIPATENLTKTNIVAVSQETPHLRMTI LQVNNSKNGVLPGTGVFCLATHVLLERAEVTGQMLQTSGMDLCEEAASRMGRRVEWTSGG RGASTYLFNNG >ENSMUSP00000102807.1 pep:known chromosome:GRCm38:4:85205469:85639195:1 gene:ENSMUSG00000028488.15 transcript:ENSMUST00000107189.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3gl2 description:SH3-domain GRB2-like 2 [Source:MGI Symbol;Acc:MGI:700009] MSVAGLKKQFHKATQKVSEKVGGAEGTKLDDDFKEMERKVDVTSRAVMEIMTKTIEYLQP NPASRAKLSMINTMSKIRGQEKGPGYPQAEALLAEAMLKFGRELGDDCNFGPALGEVGEA MRELSEVKDSLDMEVKQNFIDPLQNLHDKDLREIQHHLKKLEGRRLDFDYKKKRQGKIPD EELRQALEKFDESKEIAESSMFNLLEMDIEQVSQLSALVQAQLEYHKQAVQILQQVTVRL EERVP >ENSMUSP00000102802.1 pep:known chromosome:GRCm38:4:85205535:85377691:1 gene:ENSMUSG00000028488.15 transcript:ENSMUST00000107184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3gl2 description:SH3-domain GRB2-like 2 [Source:MGI Symbol;Acc:MGI:700009] MSVAGLKKQFHKATQKVSEKVGGAEGTKLDDDFKEMERKVDVTSRAVMEIMTKTIEYLQP NPASRAKLSMINTMSKIRGQEKGPGYPQAEALLAEAMLKFGRELGDDCNFGPALGEVGEA MRELSEVKDSLDMEVKQNFIDPLQNLHDKDLREIQVCAILLLTHTQILISQTVSLGYTEE GT >ENSMUSP00000117573.1 pep:known chromosome:GRCm38:4:85376341:85387604:1 gene:ENSMUSG00000028488.15 transcript:ENSMUST00000133109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3gl2 description:SH3-domain GRB2-like 2 [Source:MGI Symbol;Acc:MGI:700009] XSRAKLSMINTMSKIRGQEKGPGYPQAEALLAEAMLKFGRELGDDCNFGPALGEVGEAMR ELSEVKDSLDMEVKQNFIDPLQNLHDKDLREIQHHLKKLEGRRLDFDYKKKRQGKIPDEE LRQALEKFDESKEIAESSMFNLLEMDIEQVSQLSALVQAQLEYHKQAVQILQQVTVRLEE RIRQASSQPRREYQPKPRMSLEFATGDSTQPNGGLSHTGTPKPPALLPSSV >ENSMUSP00000098314.3 pep:known chromosome:GRCm38:X:74026592:74085669:-1 gene:ENSMUSG00000031393.16 transcript:ENSMUST00000100750.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecp2 description:methyl CpG binding protein 2 [Source:MGI Symbol;Acc:MGI:99918] MVAGMLGLREEKSEDQDLQGLRDKPLKFKKAKKDKKEDKEGKHEPLQPSAHHSAEPAEAG KAETSESSGSAPAVPEASASPKQRRSIIRDRGPMYDDPTLPEGWTRKLKQRKSGRSAGKY DVYLINPQGKAFRSKVELIAYFEKVGDTSLDPNDFDFTVTGRGSPSRREQKPPKKPKSPK APGTGRGRGRPKGSGTGRPKAAASEGVQVKRVLEKSPGKLVVKMPFQASPGGKGEGGGAT TSAQVMVIKRPGRKRKAEADPQAIPKKRGRKPGSVVAAAAAEAKKKAVKESSIRSVHETV LPIKKRKTRETVSIEVKEVVKPLLVSTLGEKSGKGLKTCKSPGRKSKESSPKGRSSSASS PPKKEHHHHHHHSESTKAPMPLLPSPPPPEPESSEDPISPPEPQDLSSSICKEEKMPRGG SLESDGCPKEPAKTQPMVATTTTVAEKYKHRGEGERKDIVSSSMPRPNREEPVDSRTPVT ERVS >ENSMUSP00000033770.6 pep:known chromosome:GRCm38:X:74035288:74085690:-1 gene:ENSMUSG00000031393.16 transcript:ENSMUST00000033770.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecp2 description:methyl CpG binding protein 2 [Source:MGI Symbol;Acc:MGI:99918] MAAAAATAAAAAAPSGGGGGGEEERLEEKSEDQDLQGLRDKPLKFKKAKKDKKEDKEGKH EPLQPSAHHSAEPAEAGKAETSESSGSAPAVPEASASPKQRRSIIRDRGPMYDDPTLPEG WTRKLKQRKSGRSAGKYDVYLINPQGKAFRSKVELIAYFEKVGDTSLDPNDFDFTVTGRG SPSRREQKPPKKPKSPKAPGTGRGRGRPKGSGTGRPKAAASEGVQVKRVLEKSPGKLVVK MPFQASPGGKGEGGGATTSAQVMVIKRPGRKRKAEADPQAIPKKRGRKPGSVVAAAAAEA KKKAVKESSIRSVHETVLPIKKRKTRETVSIEVKEVVKPLLVSTLGEKSGKGLKTCKSPG RKSKESSPKGRSSSASSPPKKEHHHHHHHSESTKAPMPLLPSPPPPEPESSEDPISPPEP QDLSSSICKEEKMPRGGSLESDGCPKEPAKTQPMVATTTTVAEKYKHRGEGERKDIVSSS MPRPNREEPVDSRTPVTERVS >ENSMUSP00000118842.1 pep:known chromosome:GRCm38:X:74035289:74085612:-1 gene:ENSMUSG00000031393.16 transcript:ENSMUST00000123362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecp2 description:methyl CpG binding protein 2 [Source:MGI Symbol;Acc:MGI:99918] MVAGMLGLREEKSEDQDLQGLRDKPLKFKKAKKDKKEDKEGKHEPLQPSAHHSAEPAEAG KAETSESSGSAPAVPEASASPKQRRSIIRDRGPMYDDPTLPEGWTRKLKQRKSGRSAGKY DVYLINPQGKAFRSKVELIAYFEKLQELAGVGDAPKGAALGDQRQQHQKVFR >ENSMUSP00000119947.1 pep:known chromosome:GRCm38:X:74035670:74085610:-1 gene:ENSMUSG00000031393.16 transcript:ENSMUST00000140399.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecp2 description:methyl CpG binding protein 2 [Source:MGI Symbol;Acc:MGI:99918] MAAAAATAAAAAAPSGGGGGGEEERLEEKSEDQDLQGLRDKPLKFKKAKKDKKEDKEGKH EPLQPSAHHSAEPAEAGKAETSESSGSAPAVPEASASPKQRRSIIRDRGPMYDDPTLPEG WTRKLKQRKSGRSAGKYDVYLINPQGKAFRSKVELIAYFEKLQELAGVGDAPKGAALGDQ RQQHQKVFR >ENSMUSP00000127115.2 pep:known chromosome:GRCm38:X:74026828:74135363:-1 gene:ENSMUSG00000031393.16 transcript:ENSMUST00000170481.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecp2 description:methyl CpG binding protein 2 [Source:MGI Symbol;Acc:MGI:99918] MVAGMLGLREEKSEDQDLQGLRDKPLKFKKAKKDKKEDKEGKHEPLQPSAHHSAEPAEAG KAETSESSGSAPAVPEASASPKQRRSIIRDRGPMYDDPTLPEGWTRKLKQRKSGRSAGKY DVYLINPQGKAFRSKVELIAYFEKVGDTSLDPNDFDFTVTGRGSPSRREQKPPKKPKSPK APGTGRGRGRPKGSGTGRPKAAASEGVQVKRVLEKSPGKLVVKMPFQASPGGKGEGGGAT TSAQVMVIKRPGRKRKAEADPQAIPKKRGRKPGSVVAAAAAEAKKKAVKESSIRSVHETV LPIKKRKTRETVSIEVKEVVKPLLVSTLGEKSGKGLKTCKSPGRKSKESSPKGRSSSASS PPKKEHHHHHHHSESTKAPMPLLPSPPPPEPESSEDPISPPEPQDLSSSICKEEKMPRGG SLESDGCPKEPAKTQPMVATTTTVAEKYKHRGEGERKDIVSSSMPRPNREEPVDSRTPVT ERVS >ENSMUSP00000027139.8 pep:known chromosome:GRCm38:1:45795166:45823619:1 gene:ENSMUSG00000025995.16 transcript:ENSMUST00000027139.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr75 description:WD repeat domain 75 [Source:MGI Symbol;Acc:MGI:1920924] MVEEGVRVVRCGGSRLNFRRAVFSVDSKYIFCVSGDFVKVYSTTTEECVHILHGHTDLVS GILVNPSNHLQLYSCSFDGTIKLWDYVDGILIKTFTIGPKLHAFFIPLHAEDSVFLTISK EEPDIFQLVSVKLPKSTSQDVEARQLTFVLDYINRSPKCIAFGNEGEYVAAVRDFYLSVY FFKKKKTCNFTLPSTKNKKNAKNKFTCVACHPKEDCIASGHMDGKIRLWRNFHSDQKYTY TCLHWHHDMVMDLAFTVTGTSLLSGGRECVLVEWRDGSEKNKEFLPRLGSSIEHISVSPA GDLFCTSHSDNKITVIHRNLDASAVIQGLVKDRSISTGLMVDPRTKALVLNGKPGHLQFY SLQGDKQLYNLDIIQQEYINDEGLTQTELTKAAFGCSGTWLATVEQRQENENELELQMKL WNYSKKTQGFVLNTKIAMPHDDHITALCFNNAESYEKPILVTASRDGHFKVWILTDDSDI YKKAIAWTCDFVGSYHKYQATNCCFSEDGSLLAVSFEEIVTIWDSQTWELKCTFCQRAGK IRHLCFGRLTCSKYLLGTTDNGILCCWNLLSCSIQWSAKLNVRVMEPDPYSDHVAAVAQS SAGSDLFVFKPSEPRPLYIQKNVSREEVQWGVFVPRDVPESFTSETHQWLNRSQFYFLTK SQSLLTFSTKSPEEKLTPTSKQLLAEESLPTTPFSFILGKHRQQQGAKLTETSENELVQL PLTENIPAITELLHTPAHVLPSASFLCSLFVNSLLLSKETKSAEEVPDDVDMEGNKESDD SDEEYDLTEKDKETNNNTDLGEDAIHQLSKSEEKELRKFRKVDYSWLTAL >ENSMUSP00000117363.1 pep:known chromosome:GRCm38:1:45795507:45803929:1 gene:ENSMUSG00000025995.16 transcript:ENSMUST00000147308.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr75 description:WD repeat domain 75 [Source:MGI Symbol;Acc:MGI:1920924] MVEEGVRVVRCGGSRLNFRRAVFSVDSKYIFCVSGDFVKVYSTTTEECVHILHGHTDLVS GILVNPSNHLQLYSCSFDGTIKLWDYVDGILIKTFTIGPKLHAFFIPLHAEDSVFLTISK EEPETVRNDFLWPDIFQLVSVKLPKSTSQDV >ENSMUSP00000140008.1 pep:known chromosome:GRCm38:1:45822558:45823616:1 gene:ENSMUSG00000025995.16 transcript:ENSMUST00000186651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr75 description:WD repeat domain 75 [Source:MGI Symbol;Acc:MGI:1920924] XLPLTENIPAITELLHTPAHVLPSASFLCSLFVNSLLLSKETKRYGGKQRK >ENSMUSP00000061188.1 pep:known chromosome:GRCm38:7:108316896:108317828:1 gene:ENSMUSG00000094612.1 transcript:ENSMUST00000053179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr491 description:olfactory receptor 491 [Source:MGI Symbol;Acc:MGI:3030325] MEPGNHTAVTKFILLGLTDDPTLCVIFFVFFLGIYIVTLVGNISIINLVRSCPQLQTPMY MFLSHLAFVDIGYSTSVTPIMLIGFIVHETGLPVHACEAQLCSVVTFGTAECFLLAAMAY DRYVAICSPLLYSTHMSSQICLLLVGASYVGGCVNAWTFTGCLLSLSFCGPNKIDHFFCD FSPLLKLSCSDVSIIGIIPSISAGSIIVVTVFVISVSYIYILITILKMRSTEGRHKAFST CTSHLTAVTLYYGTITFIYVMPKSSYSTKQNRVVSLFYTVVIPMLNPLIYSLRNRDVKEA LRKATLRIYS >ENSMUSP00000086626.4 pep:known chromosome:GRCm38:X:20658326:20683179:1 gene:ENSMUSG00000001924.15 transcript:ENSMUST00000089217.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba1 description:ubiquitin-like modifier activating enzyme 1 [Source:MGI Symbol;Acc:MGI:98890] MSSSPLSKKRRVSGPDPKPGSNCSPAQSALSEVSSVPTNGMAKNGSEADIDESLYSRQLY VLGHEAMKMLQTSSVLVSGLRGLGVEIAKNIILGGVKAVTLHDQGTTQWADLSSQFYLRE EDIGKNRAEVSQPRLAELNSYVPVTAYTGPLVEDFLSSFQVVVLTNSPLEAQLRVGEFCH SRGIKLVVADTRGLFGQLFCDFGEEMVLTDSNGEQPLSAMVSMVTKDNPGVVTCLDEARH GFETGDFVSFSEVQGMIQLNGCQPMEIKVLGPYTFSICDTSNFSDYIRGGIVSQVKVPKK ISFKSLPASLVEPDFVMTDFAKYSRPAQLHIGFQALHQFCALHNQPPRPRNEEDATELVG LAQAVNARSPPSVKQNSLDEDLIRKLAYVAAGDLAPINAFIGGLAAQEVMKACSGKFMPI MQWLYFDALECLPEDKEALTEEKCLPRQNRYDGQVAVFGSDFQEKLSKQKYFLVGAGAIG CELLKNFAMIGLGCGEGGEVVVTDMDTIEKSNLNRQFLFRPWDVTKLKSDTAAAAVRQMN PYIQVTSHQNRVGPDTERIYDDDFFQNLDGVANALDNIDARMYMDRRCVYYRKPLLESGT LGTKGNVQVVIPFLTESYSSSQDPPEKSIPICTLKNFPNAIEHTLQWARDEFEGLFKQPA ENVNQYLTDSKFVERTLRLAGTQPLEVLEAVQRSLVLQRPQTWGDCVTWACHHWHTQYCN NIRQLLHNFPPDQLTSSGAPFWSGPKRCPHPLTFDVNNTLHLDYVMAAANLFAQTYGLTG SQDRAAVASLLQSVQVPEFTPKSGVKIHVSDQELQSANASVDDSRLEELKATLPSPDKLP GFKMYPIDFEKDDDSNFHMDFIVAASNLRAENYDISPADRHKSKLIAGKIIPAIATTTAA VVGLVCLELYKVVQGHQQLDSYKNGFLNLALPFFGFSEPLAAPRHQYYNQEWTLWDRFEV QGLQPNGEEMTLKQFLDYFKTEHKLEITMLSQGVSMLYSFFMPAAKLKERLDQPMTEIVS RVSKRKLGRHVRALVLELCCNDESGEDVEVPYVRYTIR >ENSMUSP00000001989.8 pep:known chromosome:GRCm38:X:20662898:20683179:1 gene:ENSMUSG00000001924.15 transcript:ENSMUST00000001989.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba1 description:ubiquitin-like modifier activating enzyme 1 [Source:MGI Symbol;Acc:MGI:98890] MSSSPLSKKRRVSGPDPKPGSNCSPAQSALSEVSSVPTNGMAKNGSEADIDESLYSRQLY VLGHEAMKMLQTSSVLVSGLRGLGVEIAKNIILGGVKAVTLHDQGTTQWADLSSQFYLRE EDIGKNRAEVSQPRLAELNSYVPVTAYTGPLVEDFLSSFQVVVLTNSPLEAQLRVGEFCH SRGIKLVVADTRGLFGQLFCDFGEEMVLTDSNGEQPLSAMVSMVTKDNPGVVTCLDEARH GFETGDFVSFSEVQGMIQLNGCQPMEIKVLGPYTFSICDTSNFSDYIRGGIVSQVKVPKK ISFKSLPASLVEPDFVMTDFAKYSRPAQLHIGFQALHQFCALHNQPPRPRNEEDATELVG LAQAVNARSPPSVKQNSLDEDLIRKLAYVAAGDLAPINAFIGGLAAQEVMKACSGKFMPI MQWLYFDALECLPEDKEALTEEKCLPRQNRYDGQVAVFGSDFQEKLSKQKYFLVGAGAIG CELLKNFAMIGLGCGEGGEVVVTDMDTIEKSNLNRQFLFRPWDVTKLKSDTAAAAVRQMN PYIQVTSHQNRVGPDTERIYDDDFFQNLDGVANALDNIDARMYMDRRCVYYRKPLLESGT LGTKGNVQVVIPFLTESYSSSQDPPEKSIPICTLKNFPNAIEHTLQWARDEFEGLFKQPA ENVNQYLTDSKFVERTLRLAGTQPLEVLEAVQRSLVLQRPQTWGDCVTWACHHWHTQYCN NIRQLLHNFPPDQLTSSGAPFWSGPKRCPHPLTFDVNNTLHLDYVMAAANLFAQTYGLTG SQDRAAVASLLQSVQVPEFTPKSGVKIHVSDQELQSANASVDDSRLEELKATLPSPDKLP GFKMYPIDFEKDDDSNFHMDFIVAASNLRAENYDISPADRHKSKLIAGKIIPAIATTTAA VVGLVCLELYKVVQGHQQLDSYKNGFLNLALPFFGFSEPLAAPRHQYYNQEWTLWDRFEV QGLQPNGEEMTLKQFLDYFKTEHKLEITMLSQGVSMLYSFFMPAAKLKERLDQPMTEIVS RVSKRKLGRHVRALVLELCCNDESGEDVEVPYVRYTIR >ENSMUSP00000021631.5 pep:known chromosome:GRCm38:12:103532283:103613831:1 gene:ENSMUSG00000021209.12 transcript:ENSMUST00000021631.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r4 description:protein phosphatase 4, regulatory subunit 4 [Source:MGI Symbol;Acc:MGI:1921771] MHPPPPDAGVAMDFGQNSLFGYMEDLQELTIIERPVRRSLKTPEEIERLTVDEDLSDIDR AVYLLSAGQDVQGASVIANLPFLMRQNPTETLRRVLPKVREVLHVASVEMQLTAAVSFLT ILQEESMSVHTCAHSFLQVILLHLEHRDTGVSNAWLETLLSAVELLPKETLRHEILNPLV SKAQLSQTVQSRLVSCKILGKITNKFDAHSIKREILPLVKSLCQDVEYEVRSCMCRQLEN IAQGIGAELTKNVVLPELIELSRDESGSVRLAAFETLVNMLDMFDTDDRSQTILPLVKSF CEKSFKADESILISLSFHLGKLCHGLYGIFTPDQHLRFLEFYKKLCTLGLQQENGHNESQ IPSQIVEQEKKYTSVRKNCAYNFPAMIVFVDPKNFHMELYSTFFCLCHDPEVPVRHTIAI CFYEVSKLLNSGVHLIHKELITLLQDESLEVLDALINHLPEILELMSTGGENSVQENKFS SVPDLIPALTAAEQRAAASLKWRTHEKLLQKYTCLPHIISSDQIYYRFLQRMFTIMMTNN VLPVQRAAARTLCIFLRYNRKQEQRHEVIQKLIEQLGQGKSYWNRLRFLDTCEFIIEIFS RSFFCKYFFLPVIELTHDPVANVRMKLCYLLPKVKSALKIPADMHLLQQLEMCVRKLLCQ EKDKDVLAIVKKTVLELDRMEMSMDMFQKKNYEKDLLDQEKEREELLFLEMEQLEKEKHQ SDGRLASDKSFEKKRRDSRTSTQSLSKNLPISVPGPSSSTASTSKEIKKSKLTRSQSFNN QAFHAKYGTLDKCASKSSTLAHTSSVSGLVRTAMLSLTDDSFRTRNASSVPASFSPNPVM PSTSRGPGNTADPKSSGSKDAQPRKATLKSRKSNP >ENSMUSP00000139786.1 pep:known chromosome:GRCm38:12:103532570:103612904:1 gene:ENSMUSG00000021209.12 transcript:ENSMUST00000189871.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp4r4 description:protein phosphatase 4, regulatory subunit 4 [Source:MGI Symbol;Acc:MGI:1921771] MHPPPPDAGVAMDFGQNSLFGYMEDLQELTIIERPVRRSLKTPEEIERLTVDEDLSDIDR AVYLLSAGQDVQGASVIANLPFLMRQNPTETLRRVLPKVREVLHVASVEMQLTAAVSFLT ILQEESMSVHTCAHSFLQVILLHLEHRDTGVSNAWLETLLSAVELLPKETLRHEILNPLV SKAQLSQTVQSRLVSCKILGKITNKFDAHSIKREILPLVKSLCQDVEYEVRSCMCRQLEN IAQGIGAELTKNVVLPELIELSRDESGSVRLAAFETLVNMLDMFDTDDRSQTILPLVKSF CEKSFKADESILISLSFHLGKLCHGLYGIFTPDQHLRFLEFYKKLCTLGLQQENGHNESQ IPSQIVEQEKKYTSVRKNCAYNFPAMIVFVDPKNFHMELYSTFFCLCHDPEVPVRHTIAI CFYEVSKLLNSGVHLIHKELITLLQDESLEVLDALINHLPEILELMSTGGENSVQENKFS SVPDLIPALTAAEQRAAASLKWRTHEKLLQKYTCLPHIISSDQIYYRFLQRMFTIMMTNN VLPVQRAAARTLCIFLRYNRKQEQRHEVIQKLIEQLGQGKSYWNRLRFLDTCEFIIEIFS RSFFCKYFFLPVIELTHDPVANVRMKLCYLLPKVKSALKIPADMHLLQQLEMCVRKLLCQ EKDKDVLAIVKKTVLELDRMEMSMDMFQKKNYEKDLLDQEKEREELLFLEMEQLEKEKHQ SDGRLASDKSFEKKRRDSRTSTQSLSKNLPISVPGPSSSTASTREKSPSASQNSGSLFSL HEIPESVLVP >ENSMUSP00000140874.1 pep:known chromosome:GRCm38:12:103558431:103613066:1 gene:ENSMUSG00000021209.12 transcript:ENSMUST00000187155.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r4 description:protein phosphatase 4, regulatory subunit 4 [Source:MGI Symbol;Acc:MGI:1921771] MQLTAAVSFLTILQEESMSVHTCAHSFLQVILLHLEHRDTGVSNAWLETLLSAVELLPKE TLRHEILNPLVSKAQLSQTVQSRLVSCKILGKITNKFDAHSIKREILPLVKSLCQDVEYE VRSCMCRQLENIAQGIGAELTKNVVLPELIELSRDESGSVRLAAFETLVNMLDMFDTDDR SQTILPLVKSFCEKSFKADESILISLSFHLGKLCHGLYGIFTPDQHLRFLEFYKKLCTLG LQQENGHNESQIPSQIVEQEKKYTSVRKNCAYNFPAMIVFVDPKNFHMELYSTFFCLCHD PEVPVRHTIAICFYEVSKLLNSGVHLIHKELITLLQDESLEVLDALINHLPEILELMSTG GENSVQENKFSSVPDLIPALTAAEQRAAASLKWRTHEKLLQKYTCLPHIISSDQIYYRFL QRMFTIMMTNNVLPVQRAAARTLCIFLRYNRKQEQRHEVIQKLIEQLGQGKSYWNRLRFL DTCEFIIEIFSRSFFCKYFFLPVIELTHDPVANVRMKLCYLLPKVKSALKIPADMHLLQQ LEMCVRKLLCQEKDKDVLAIVKKTVLELDRMEMSMDMFQKKNYEKDLLDQEKEREELLFL EMEQLEKEKHQSDGRLASDKSFEKKRRDSRTSTQSLSKNLPISVPGPSSSTASTSKEIKK SKLTRSQSFNNQAFHAKYGTLDKCASKSSTLAHTSSVSGLVRTAMLSLTDDSFRTRNASS VPASFSPNPVMPSTSRGPGNTADPKSSGSKDAQPRKATLKSRKSNP >ENSMUSP00000140295.1 pep:known chromosome:GRCm38:12:103581398:103591599:1 gene:ENSMUSG00000021209.12 transcript:ENSMUST00000190664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r4 description:protein phosphatase 4, regulatory subunit 4 [Source:MGI Symbol;Acc:MGI:1921771] PLVKSLCQDVEYEVRSCMCRQLENIAQGIGAELTKNVVLPELIELSRDESGSVRLAAFET LVNMLDMFDTDDRSQTILPLVKSFCEKSFKADESILISLSFHLGKLCHGLYGIFTPDQHL RFLEFYKKLCTLGLQQENGHNESQIPSQIVEQEKKYTSVRKNCAYNFPAMIVFVDPKNFH MELYSTFFCLCHDPEVPVRHTIAICFYEVLDALINHLPEILELMSTGGENSV >ENSMUSP00000139815.1 pep:known chromosome:GRCm38:12:103598220:103602966:1 gene:ENSMUSG00000021209.12 transcript:ENSMUST00000190151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r4 description:protein phosphatase 4, regulatory subunit 4 [Source:MGI Symbol;Acc:MGI:1921771] LTHDPVANVSCLLMDFSVGFITRMKLCYLLPKVKSALKIPADMHLLQQLEMCVRKLLCQE KDKDVLAIVKKTVLELDRMEMSMDMFQKKNYEKDLLDQEKEREELLFLEMEQLEK >ENSMUSP00000133799.1 pep:known chromosome:GRCm38:2:26586639:26635634:1 gene:ENSMUSG00000092356.1 transcript:ENSMUST00000174066.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20532 description:predicted gene 20532 [Source:MGI Symbol;Acc:MGI:5141997] MQTMWGSGELLVAWFLVLAADGTTEHVYRPSNMPASMWEWRELHPPRTLPLPCGMAGRYL PDRAGSQASGSSMSCWSGWASSWAAGWSTCTTRPIQSSAVGTSARW >ENSMUSP00000146417.1 pep:known chromosome:GRCm38:7:108322730:108323674:-1 gene:ENSMUSG00000109497.1 transcript:ENSMUST00000079865.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr492 description:olfactory receptor 492 [Source:MGI Symbol;Acc:MGI:3030326] MAFLEDGNHTAVTEFILLGLTDDPVLRVILFTIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHVGSVDIGYSSSVTPNMLVNFLVEKHTIAYLGCGIQLSSAAFFGTAECFLLAT MAYDRFVAICNPLLYSTKMSTQTCIQLVVGSYTGGILNASFAIISFFSFLFCGPNRINHF YCDFAPLVELSCSDINVSVVITTIFSASVTIITVFVIAISYTYILITILKMRSTEGRHKA FSTCTSYLTAVTLFYGTVTFIYVVPKSNYSTDQNKVASVFYIVVIPMLNPLIYSLRNNDI KGALKRQLGKKTFS >ENSMUSP00000076816.6 pep:known chromosome:GRCm38:2:155278243:155357430:-1 gene:ENSMUSG00000038383.16 transcript:ENSMUST00000077626.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigu description:phosphatidylinositol glycan anchor biosynthesis, class U [Source:MGI Symbol;Acc:MGI:3039607] MAAPLALVLVVAVTVRAALFRSSLAEFISERVEVVSPLSSWKRVVEGLALLDLGVSPYSG AVFHETPLIIYLFHFLIDYAELVFMITDALTAIALYFAIQDFNKVVFKKQKLLLELDQYA PDVAELIRTPMEMRYIPLKVALFYLLNPYTILSCVAKSTCAINNTLIAFFILTTIKGSVF LSAVFLALATYQSLYPVTLFAPGLLYLLQRQYIPVKVKSKAFWIFSWEYAMMYTGSLVVI VCLSFFLLSSWDFIPAVYGFILSVPDLTPNIGLFWYFFAEMFEHFSLFFVCVFQINVFFY TVPLAIKLKEHPIFFMFIQIAIISIFKSYPTVGDVALYMAFFPVWNHLYRFLRNIFVLTC IIIVCSLLFPVLWHLWIYAGSANSNFFYAITLTFNVGQILLISDYFYAFLRREYYLTHGL YLTAKDGTEAMLVLK >ENSMUSP00000126236.1 pep:known chromosome:GRCm38:2:155278244:155357424:-1 gene:ENSMUSG00000038383.16 transcript:ENSMUST00000165234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigu description:phosphatidylinositol glycan anchor biosynthesis, class U [Source:MGI Symbol;Acc:MGI:3039607] MAAPLALVLVVAVTVRAALFRSSLAEFISERVEVVSPLSSWKRVVEGLALLDLGVSPYSG AVFHETPLIIYLFHFLIDYAELVFMITDALTAIALYFAIQDFNKVVFKKQKLLLELDQYA PDVAELIRTPMEMRYIPLKVALYLLNPYTILSCVAKSTCAINNTLIAFFILTTIKGSVFL SAVFLALATYQSLYPVTLFAPGLLYLLQRQYIPVKVKSKAFWIFSWEYAMMYTGSLVVIV CLSFFLLSSWDFIPAVYGFILSVPDLTPNIGLFWYFFAEMFEHFSLFFVCVFQINVFFYT VPLAIKLKEHPIFFMFIQIAIISIFKSYPTVGDVALYMAFFPVWNHLYRFLRNIFVLTCI IIVCSLLFPVLWHLWIYAGSANSNFFYAITLTFNVGQILLISDYFYAFLRREYYLTHGLY LTAKDGTEAMLVLK >ENSMUSP00000027781.6 pep:known chromosome:GRCm38:1:178319130:178322693:1 gene:ENSMUSG00000026500.6 transcript:ENSMUST00000027781.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox20 description:COX20 Cox2 chaperone [Source:MGI Symbol;Acc:MGI:1913609] MAAAPEPHETEKKPFKLLGILDVENTPCARESILYGSLGSIVTGLGHFLVTSRIRRSCDV GVGGFILVTLGCWFHCRYNFAKQRIQERIAREGIKNKILYESTHLDPERKMKTNNSS >ENSMUSP00000079005.1 pep:known chromosome:GRCm38:7:108346035:108346979:-1 gene:ENSMUSG00000093980.1 transcript:ENSMUST00000080106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr493 description:olfactory receptor 493 [Source:MGI Symbol;Acc:MGI:3030327] MAFLHNGNHTAVTEFILLGLTDDPVFRVILFTIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASVDIGYSSSVTPNMLANFLVEKNTISYLGCTIQLSLAAFCGTVECFLLAT MAYDRFMAICSPLLYSTKMSTQVCIQLIVGSYIGGFLNASSFTLFFLSFLFCGPNRINHF YCDFAPLVALSCSDVSVSEVVTSFFSGSVTMITMLVIAISYTYILITILKMRSTEGRHKA FSTCTSHLTAVTLFYGTITFIYVMPKSSFSTDQNKVVSVFYMVVIPMLNPLIYSLRNNEI KDALKRHLGKKIFS >ENSMUSP00000031246.8 pep:known chromosome:GRCm38:5:104299171:104311469:1 gene:ENSMUSG00000029306.8 transcript:ENSMUST00000031246.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ibsp description:integrin binding sialoprotein [Source:MGI Symbol;Acc:MGI:96389] MKTALILLSILGMACAFSMKNFHRRIKAEDSEENGVFKYRPRYFLYKHAYFYPPLKRFPV QGGSDSSEENGDGDSSEEEGEEEETSNEEENNEDSEGNEDQEAEAENSTLSTLSGVTASY GAETTPQAQTFELAALQLPKKAGDAESRAPKVKESDEEEEEEEEEEENENEEAEVDENEL AVNGTSTNSTEVDGGNGSSGGDNGEEAEAEEASVTEAGAEGTTGGRELTSVGTQTAVLLN GFQQTTPPPEAYGTTSPPIRKSSTVEYGGEYEQTGNEYNNEYEVYDNENGEPRGDTYRAY EDEYSYYKGHGYEGYEGQNYYYHQ >ENSMUSP00000111301.3 pep:known chromosome:GRCm38:X:8061171:8074760:-1 gene:ENSMUSG00000039231.18 transcript:ENSMUST00000115638.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv39h1 description:suppressor of variegation 3-9 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1099440] MAENLKGCSVCCKSSWNQLQDLCRLAKLSCPALGVSKKNLYDFEVEYLCDYKKIREQEYY LVKWRGYPDSENTWEPRQNLKCIRVLKQFHKDLERELVRRHRRSKPPRHLDPNLANYLVQ KAKQRRALQRWEQELNAKRSHLGRITVENEVDLDGPPRSFVYINEYRVGEGITLNQVAVG CECQDCLLAPTGGCCPGASLHKFAYNDQGQVRLKAGQPIYECNSRCCCGYDCPNRVVQKG IRYDLCIFRTNDGRGWGVRTLEKIRKNSFVMEYVGEIITSEEAERRGQIYDRQGATYLFD LDYVEDVYTVDAAYYGNISHFVNHSCDPNLQVYNVFIDNLDERLPRIAFFATRTIWAGEE LTFDYNMQVDPVDMESTRMDSNFGLAGLPGSPKKRVRIECKCGTTACRKYLF >ENSMUSP00000111300.1 pep:known chromosome:GRCm38:X:8061435:8074258:-1 gene:ENSMUSG00000039231.18 transcript:ENSMUST00000115637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv39h1 description:suppressor of variegation 3-9 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1099440] MGEPATLGCSVCCKSSWNQLQDLCRLAKLSCPALGVSKKNLYDFEVEYLCDYKKIREQEY YLVKWRGYPDSENTWEPRQNLKCIRVLKQFHKDLERELVRRHRRSKPPRHLDPNLANYLV QKAKQRRALQRWEQELNAKRSHLGRITVENEVDLDGPPRSFVYINEYRVGEGITLNQVAV GCECQDCLLAPTGGCCPGASLHKFAYNDQGQVRLKAGQPIYECNSRCCCGYDCPNRVVQK GIRYDLCIFRTNDGRGWGVRTLEKIRKNSFVMEYVGEIITSEEAERRGQIYDRQGATYLF DLDYVEDVYTVDAAYYGNISHFVNHSCDPNLQVYNVFIDNLDERLPRIAFFATRTIWAGE ELTFDYNMQVDPVDMESTRMDSNFGLAGLPGSPKKRVRIECKCGTTACRKYLF >ENSMUSP00000111299.3 pep:known chromosome:GRCm38:X:8067409:8074729:-1 gene:ENSMUSG00000039231.18 transcript:ENSMUST00000115636.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv39h1 description:suppressor of variegation 3-9 homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1099440] MAENLKGCSVCCKSSWNQLQDLCRLAKLSCPALGVSKKNLYDFEVEYLCDYKKIREQEYY LVKWRGYPDSENTWEPRQNLKCIRVLKQFHKDLERELVRRHRRSKPPRHLDPNLANYLVQ KAKQRRALQRWEQELNAKRSHLGRITVENEVDLDGPPRSFVYINEYRVGEGITLNQVAVG CECQDCLLAPTGGCCPGASLHKFAYNDQGQVRLKAGQPIYECNSRCCCGYDCPNRVVQKG IRYDLCIFRTNDGRGWGVRTLEKIRKNSFVMEYVGEVPPGCYLLGK >ENSMUSP00000023525.8 pep:known chromosome:GRCm38:16:37509790:37539789:-1 gene:ENSMUSG00000022828.10 transcript:ENSMUST00000023525.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2e1 description:general transcription factor II E, polypeptide 1 (alpha subunit) [Source:MGI Symbol;Acc:MGI:1921447] MADPDVLTEVPAALKRLAKYVIRGFYGIEHVLALDILIRNPCVKEEDMLELLKFDRKQLR SVLNNLKGDKFIKCRMRVETAADGKTTRHNYYFINYRTLVNVVKYKLDHMRRRIETDERN STNRASFKCPVCCSTFTDLEANQLFDPMTGTFRCTFCHTEVEEDESAMPKKDARTLLARF NEQIEPIYALLRETEDVNLAYEILEPEPTEIPALKQSKDRAATAAGAAGLAGGHHREAWT NKGPSYEDLYTQNVVINMDDQDDVHRPSLEGKAAKERPIWLRESTVQGAYSSEEMKEGGI DVDTFQEREEARAGPDDNEEVMRALLIHEKKTSSVTAGSVGAAAPVTAANGSDSESETSE SDDDSPPRPAAAAPPHHHRDEDEEDEEFEEVADDPIVMVAGCPFSYSEVSQRPELVAQMT PEEKEAYIAMGQRMFEDLFE >ENSMUSP00000135813.1 pep:known chromosome:GRCm38:19:28901268:28967730:-1 gene:ENSMUSG00000064202.15 transcript:ENSMUST00000175647.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4430402I18Rik description:RIKEN cDNA 4430402I18 gene [Source:MGI Symbol;Acc:MGI:1918036] MPMEVVVELQIRAISCPGVFLPDKEAVYLGVYLLNQYLETDCFPPVFPVVIQQSMRFVKH GKSWPTMKKTPEISCSLSPGWRPHTLACRGRCS >ENSMUSP00000025872.6 pep:known chromosome:GRCm38:19:28923064:28964154:-1 gene:ENSMUSG00000064202.15 transcript:ENSMUST00000025872.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4430402I18Rik description:RIKEN cDNA 4430402I18 gene [Source:MGI Symbol;Acc:MGI:1918036] MRFVKVFEEAIDPGAVAELLESFLTRFELVQLVSPAWEELAYYEKNTRDFLFPEPRLASS HLGMQREVLMKTAIWFPGIAPKIEFSTRTAILECVFPCKNRFICEERCRLERSVSKSHGQ RVQATNRKKKPKEKDSDQLPKGTQSRLPSPQRLHLHRPTQRNHGKSFKFPGERKPPFVVR HVDSGNPFGENNLEHCSKKSRRKPKLINFDLSKKRASSLDSLEANIKVIREPDERIVLKS QPPPPVDSSESRKPSLSHQGDASLHTETSVTTSQLSRPPSPLNQPLLQERFQPFSQSTWQ KIPEGVRSLLTSHRAHPKDSFISETNSIHERPSYPLKKHLLRAQRYF >ENSMUSP00000123818.1 pep:known chromosome:GRCm38:19:28923530:28967800:-1 gene:ENSMUSG00000064202.15 transcript:ENSMUST00000162110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4430402I18Rik description:RIKEN cDNA 4430402I18 gene [Source:MGI Symbol;Acc:MGI:1918036] MPMEVVVELQIRAISCPGVFLPDKEAVYLGVYLLNQYLETDCFPPVFPVVIQQSMRFVKV FEEAIDPGAVAELLESFLTRFELVQLVSPAWEELAYYEKNTRDFLFPEPRLASSHLGMQR EVLMKTAIWFPGIAPKIEFSTRTAILECVFPCKNRFICEERCRLERSVSKSHGQRVQATN RKKKPKEKDSDQLPKGTQSRLPSPQRLHLHRPTQRNHGKSFKFPGERKPPFVVRHVDSGN PFGENNLEHCSKKSRRKPKLINFDLSKKRASSLDSLEANIKVIREPDERIVLKSQPPPPV DSSESRKPSLSHQGDASLHTETSVTTSQLSRPPSPLNQPLLQERFQPFSQSTWQKIPEGV RSLLTSHRAHPKDSFISETNSIHERPSYPLKKHLLRAQRYF >ENSMUSP00000124396.2 pep:known chromosome:GRCm38:19:28923688:28963587:-1 gene:ENSMUSG00000064202.15 transcript:ENSMUST00000160542.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4430402I18Rik description:RIKEN cDNA 4430402I18 gene [Source:MGI Symbol;Acc:MGI:1918036] MRFVKVFEEAIDPGAVAELLETWEELAYYEKNTRDFLFPEPRLASSHLGMQREVLMKTAI WFPGIAPKIEFSTRTAILECVFPCKNRFICEERCRLERSVSKSHGQRVQATNRKKKPKEK DSDQLPKGTQSRLPSPQRLHLHRPTQRNHGKSFKFPGERKPPFVVDSGNPFGENNLEHCS KKSRRKPKLINFDLSKKRASSLDSLEANIKVIREPDERIVLKSQPPPPVDSSESRKPSLS HQGDASLHTETSVTTSQLSRPPSPLNQPLLQERFQPFSQSTWQKIPEGVRSLLTSHRAHP KDSFISETNSIHERPSYPLKKHLLRAQRYF >ENSMUSP00000132800.1 pep:known chromosome:GRCm38:19:28923688:28963587:-1 gene:ENSMUSG00000064202.15 transcript:ENSMUST00000164777.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4430402I18Rik description:RIKEN cDNA 4430402I18 gene [Source:MGI Symbol;Acc:MGI:1918036] MRFVKVFEEAIDPGAVAELLETWEELAYYEKNTRDFLFPEPRLASSHLGMQREVLMKTAI WFPGIAPKIEFSTRTAILECVFPCKNRFICEERCRLERSVSKSHGQRVQATNRKKKPKEK DSDQLPKGTQSRLPSPQRLHLHRPTQRNHGKSFKFPGERKPPFVVRHVDSGNPFGENNLE HCSKKSRRKPKLINFDLSKKRASSLDSLEANIKVIREPDERIVLKSQPPPPVDSSESRKP SLSHQGDASLHTETSVTTSQLSRPPSPLNQPLLQERFQPFSQSTWQKIPEGVRSLLTSHR AHPKDSFISETNSIHERPSYPLKKHLLRAQRYF >ENSMUSP00000123823.1 pep:known chromosome:GRCm38:19:28948731:28963908:-1 gene:ENSMUSG00000064202.15 transcript:ENSMUST00000161813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4430402I18Rik description:RIKEN cDNA 4430402I18 gene [Source:MGI Symbol;Acc:MGI:1918036] MRFVKVFEEAIDPGAVAELLESFLTRFELVQLVSPAWEE >ENSMUSP00000137486.1 pep:known chromosome:GRCm38:19:28893042:28911879:-1 gene:ENSMUSG00000064202.15 transcript:ENSMUST00000179171.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4430402I18Rik description:RIKEN cDNA 4430402I18 gene [Source:MGI Symbol;Acc:MGI:1918036] MVLNRSRSQSTAMIRVTSSAGSPTALSTITMVTRPAWGTPAAPMLAAVAVMLMVTICPML RSKPFSCAINTAATAS >ENSMUSP00000135356.1 pep:known chromosome:GRCm38:19:8663789:8678154:1 gene:ENSMUSG00000032773.9 transcript:ENSMUST00000177197.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrm1 description:cholinergic receptor, muscarinic 1, CNS [Source:MGI Symbol;Acc:MGI:88396] MNTSVPPAVSPNITVLAPGKGPWQVAFIGITTGLLSLATVTGNLLVLISFKVNTELKTVN NYFLLSLACADLII >ENSMUSP00000042632.3 pep:known chromosome:GRCm38:19:8664005:8681567:1 gene:ENSMUSG00000032773.9 transcript:ENSMUST00000035444.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrm1 description:cholinergic receptor, muscarinic 1, CNS [Source:MGI Symbol;Acc:MGI:88396] MNTSVPPAVSPNITVLAPGKGPWQVAFIGITTGLLSLATVTGNLLVLISFKVNTELKTVN NYFLLSLACADLIIGTFSMNLYTTYLLMGHWALGTLACDLWLALDYVASNASVMNLLLIS FDRYFSVTRPLSYRAKRTPRRAALMIGLAWLVSFVLWAPAILFWQYLVGERTVLAGQCYI QFLSQPIITFGTAMAAFYLPVTVMCTLYWRIYRETENRARELAALQGSETPGKGGGSSSS SERSQPGAEGSPESPPGRCCRCCRAPRLLQAYSWKEEEEEDEGSMESLTSSEGEEPGSEV VIKMPMVDPEAQAPTKQPPKSSPNTVKRPTKKGRDRGGKGQKPRGKEQLAKRKTFSLVKE KKAARTLSAILLAFILTWTPYNIMVLVSTFCKDCVPETLWELGYWLCYVNSTVNPMCYAL CNKAFRDTFRLLLLCRWDKRRWRKIPKRPGSVHRTPSRQC >ENSMUSP00000126103.1 pep:known chromosome:GRCm38:19:8664005:8683587:1 gene:ENSMUSG00000032773.9 transcript:ENSMUST00000163785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrm1 description:cholinergic receptor, muscarinic 1, CNS [Source:MGI Symbol;Acc:MGI:88396] MNTSVPPAVSPNITVLAPGKGPWQVAFIGITTGLLSLATVTGNLLVLISFKVNTELKTVN NYFLLSLACADLIIGTFSMNLYTTYLLMGHWALGTLACDLWLALDYVASNASVMNLLLIS FDRYFSVTRPLSYRAKRTPRRAALMIGLAWLVSFVLWAPAILFWQYLVGERTVLAGQCYI QFLSQPIITFGTAMAAFYLPVTVMCTLYWRIYRETENRARELAALQGSETPGKGGGSSSS SERSQPGAEGSPESPPGRCCRCCRAPRLLQAYSWKEEEEEDEGSMESLTSSEGEEPGSEV VIKMPMVDPEAQAPTKQPPKSSPNTVKRPTKKGRDRGGKGQKPRGKEQLAKRKTFSLVKE KKAARTLSAILLAFILTWTPYNIMVLVSTFCKDCVPETLWELGYWLCYVNSTVNPMCYAL CNKAFRDTFRLLLLCRWDKRRWRKIPKRPGSVHRTPSRQC >ENSMUSP00000140903.2 pep:known chromosome:GRCm38:1:138482875:138619749:-1 gene:ENSMUSG00000026393.10 transcript:ENSMUST00000186017.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek7 description:NIMA (never in mitosis gene a)-related expressed kinase 7 [Source:MGI Symbol;Acc:MGI:1890645] MDEQSQGMQGPPVTQFQPQKALRPDMGYNTLANFRIEKKIGRGQFSEVYRASCLLDGVPV ALKKVQIFDLMDAKARADCIKEIDLLKQLNHPNVIKYYASFIEDNELNIVLELADAGDLS RMIKHFKKQKRLIPERTVWKYFVQLCSALDHMHSRRVMHRDIKPANVFITATGVVKLGDL GLGRFFSSKTTAAHSLVGTPYYMSPERIHENGYNFKSDIWSLGCLLYEMAALQSPFYGDK MNLYSLCKKIEQCDYPPLPSDHYSEELRQLVNICINPDPEKRPDIAYVYDVAKRMHACTA ST >ENSMUSP00000140635.1 pep:known chromosome:GRCm38:1:138483837:138620141:-1 gene:ENSMUSG00000026393.10 transcript:ENSMUST00000187407.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek7 description:NIMA (never in mitosis gene a)-related expressed kinase 7 [Source:MGI Symbol;Acc:MGI:1890645] MDEQSQGMQGPPVTQFQPQKALRPDMGYNTLANFRIEKKIGRGQFSEVYRASCLLDGVPV ALKKVQIFDLMDAKARADCIKEIDLLKQLNHPNVIKYYASFIEDNELNIVLELADAGDLS RMIKHFKKQKRLIPERTVWKYFVQLCSALDHMHSRRVMHRDIKPANVFITATGVVKLGDL GLGRFFSSKTTAAHSLVGTPYYMSPERIHENGYNFKSDIWSLGCLLYEMAALQSPFYGDK MNLYSLCKKIEQCDYPPLPSDHYSEELRQLVNICINPDPEKRPDIAYVYDVAKRMHACTA ST >ENSMUSP00000027642.3 pep:known chromosome:GRCm38:1:138484112:138619674:-1 gene:ENSMUSG00000026393.10 transcript:ENSMUST00000027642.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek7 description:NIMA (never in mitosis gene a)-related expressed kinase 7 [Source:MGI Symbol;Acc:MGI:1890645] MDEQSQGMQGPPVTQFQPQKALRPDMGYNTLANFRIEKKIGRGQFSEVYRASCLLDGVPV ALKKVQIFDLMDAKARADCIKEIDLLKQLNHPNVIKYYASFIEDNELNIVLELADAGDLS RMIKHFKKQKRLIPERTVWKYFVQLCSALDHMHSRRVMHRDIKPANVFITATGVVKLGDL GLGRFFSSKTTAAHSLATTAS >ENSMUSP00000140524.1 pep:known chromosome:GRCm38:1:132191431:132259227:1 gene:ENSMUSG00000079330.8 transcript:ENSMUST00000188169.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lemd1 description:LEM domain containing 1 [Source:MGI Symbol;Acc:MGI:1922403] MVDVKCLSDYELHKHLMKLGFTPGPILPSTRKTYEKKLVQLLASPPWKPPVMKRPTRPHG SEDSDDSEDPAIKIILKGNIKFSKNKGKEGKKVCCKTKSKD >ENSMUSP00000107976.2 pep:known chromosome:GRCm38:1:132191455:132257382:1 gene:ENSMUSG00000079330.8 transcript:ENSMUST00000112357.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lemd1 description:LEM domain containing 1 [Source:MGI Symbol;Acc:MGI:1922403] MVDVKCLSDYELHKHLMKLGFTPGPILPSTRKTYEKKLVQLLASPPWKPPVMKRPTRPHG SEDSDDSEGMLQDQVQGLSGDVSLKKTTLDATRDPRAAPHTRTPGTTFHARTLRTAFCGR APRTTSHGA >ENSMUSP00000140418.1 pep:known chromosome:GRCm38:1:132226314:132257189:1 gene:ENSMUSG00000079330.8 transcript:ENSMUST00000185436.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lemd1 description:LEM domain containing 1 [Source:MGI Symbol;Acc:MGI:1922403] MVDVKCLSDYELHKHLMKLGFTPGPILPSTRKTYEKKLVQLLASPPWKPPVMKRPTRPHG SEDSDDSEV >ENSMUSP00000139708.1 pep:known chromosome:GRCm38:1:132226343:132257009:1 gene:ENSMUSG00000079330.8 transcript:ENSMUST00000187339.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lemd1 description:LEM domain containing 1 [Source:MGI Symbol;Acc:MGI:1922403] MVDVKCLSDYELHKHLMKLGFTPGPILPSTRKTYEKKLVQLLASPPWKPPVMKRPTRPHG SEDSDDSEET >ENSMUSP00000140193.1 pep:known chromosome:GRCm38:1:132228184:132257034:1 gene:ENSMUSG00000079330.8 transcript:ENSMUST00000191418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lemd1 description:LEM domain containing 1 [Source:MGI Symbol;Acc:MGI:1922403] MVDVKCLSDYELHKHLMKLGFTPGPILPSTRKTYEKKLVQLLASPPWKPPVMKRPTRPHG SEDSDDSEDPAIKIILKGNIKFSKNKGKEGKKRLEASTNKRRILDIYLSQKPTKKVRYAA RPSPRIKRRCVSEEDYSRCNKRSKSSSPYQNPRNNFPCQNPEDSFLWESSENNVPWSLKL AVLGIFFIVLFVYIIVEKKPLLG >ENSMUSP00000124147.1 pep:known chromosome:GRCm38:1:178323074:178337728:-1 gene:ENSMUSG00000100725.6 transcript:ENSMUST00000161769.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28062 description:predicted gene 28062 [Source:MGI Symbol;Acc:MGI:5578768] MSSSPVNVKKLKVSELKEELKKRRLSDKGLKADLMDRLQAALDNEAGGRPAMEPGNGSLD LGGDAAGRSGAGLEQEAAAGAEDDEEEEGIAALDGDQMELGEENGAAGAADAGAMEEEEA ASEDENGDDQGFQEGEDELGDEEEGAGDENGHGEQQSQPPAAAAQQQPSQQRGAGKEAAG KSSGPTSLFAVTVAPPGARQGQQQAGGDGKTEQKGGDKKRGVKRPREDHGRGYFEYIEEN KYSRAKSPQPPVEEEDEHFDDTVVCLDTYNCDLHFKISRDRLSASSLTMESFAFLWAGGR ASYGVSKGKVCFEMKVTEKIPVRHLYTKDIDIHEVRIGWSLTTSGMLLGEEEFSYGYSLK GIKTCNCETEDYGEKFDENDVITCFANFETDEVELSYAKNGQDLGVAFKISKEVLADRPL FPHVLCHNCAVEFNFGQKEKPYFPIPEDCTFIQNVPLEDRVRGPKGPEEKKDCEVVMMIG LPGAGKTTWVTKHAAENPGKYNILGTNTIMDKMMVAGFKKQMADTGKLNTLLQRAPQCLG KFIEIAARKKRNFILDQTNVSAAAQRRKMCLFAGFQRKAVVVCPKDEDYKQRTQKKAEVE GKDLPEHAVLKMKGNFTLPEVAECFDEITYVELQKEEAQKLLEQYKEESKKALPPEKKQN TGSKKSNKNKSGKNQFNRGGGHRGRGGFNMRGGNFRGGAPGNRGGYNRRGNMPQRGGGGG SGGIGYPYPRGPVFPGRGGYSNRGNYNRGGMPNRGNYNQNFRGRGNNRGYKNQSQGYNQW QQGSVHVNVLCEE >ENSMUSP00000139959.1 pep:known chromosome:GRCm38:1:178323134:178330621:-1 gene:ENSMUSG00000100725.6 transcript:ENSMUST00000188044.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28062 description:predicted gene 28062 [Source:MGI Symbol;Acc:MGI:5578768] XMRGGNFRGGAPGNRGGYNRRGNMPQRGGGGGSGGIGYPYPRGPVFPGRGGYSNRGNYNR GGMPNRGNYNQNFRGRGNNRGYKNQSQGYNQWQQGSVHVNVLCEE >ENSMUSP00000139751.1 pep:known chromosome:GRCm38:1:178323620:178329407:-1 gene:ENSMUSG00000100725.6 transcript:ENSMUST00000189450.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28062 description:predicted gene 28062 [Source:MGI Symbol;Acc:MGI:5578768] XILGSEAMESALSPRILLNTQIKRTDTYFSKTFTRSRLFSLVG >ENSMUSP00000140803.1 pep:known chromosome:GRCm38:7:21908665:21921291:-1 gene:ENSMUSG00000095976.2 transcript:ENSMUST00000186212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5891 description:predicted gene 5891 [Source:MGI Symbol;Acc:MGI:3649014] MMEQDLKMMEQDLKMMEQDLKACYSIEENFDINYKMLNTLGEGNFSVVKRAFHVPTSTSV AVKILQNTKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELLDRII NVVSLEESETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDCRGNAKLCDFGLAAEVIP GQKLAGFCGTLPYCAPELLQAEKYEGLPVDIWSLGVLLFLMVSGNLPFQGRSFVDLKQEI ISANFSIPSHVSIDISNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQTFPGT PSHSIVRTMTVIT >ENSMUSP00000137391.1 pep:known chromosome:GRCm38:7:21909807:21910748:-1 gene:ENSMUSG00000095976.2 transcript:ENSMUST00000179493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5891 description:predicted gene 5891 [Source:MGI Symbol;Acc:MGI:3649014] MMEQDLKMMEQDLKMMEQDLKACYSIEENFDINYKMLNTLGEGNFSVVKRAFHVPTSTSV AVKILQNTKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELLDRII NVVSLEESETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDCRGNAKLCDFGLAAEVIP GQKLAGFCGTLPYCAPELLQAEKYEGLPVDIWSLGVLLFLMVSGNLPFQGRSFVDLKQEI ISANFSIPSHVSIDISNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQTFPGT PSHSIVRTMTVIT >ENSMUSP00000015386.5 pep:known chromosome:GRCm38:4:52989272:53000847:1 gene:ENSMUSG00000015242.14 transcript:ENSMUST00000015386.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nipsnap3a description:nipsnap homolog 3A (C. elegans) [Source:MGI Symbol;Acc:MGI:1920648] MLALRGSLRRLSQALVHQVCSPFTTGHRQQEGTFYEFCTYYLKPASVEEFLYNFKKNVHL RTAHSELVGYWTVGFGGRINTVFHIWKYDNFAHRAAVYKALAKDEDWQEQFLIPNLPLID KQESEITYLVPWCKIGKPPKEGVYELATFLMKPGGPALWGEAFQRAVNAHADQGYIKLIG VFHAEYGLLNRVHVLWWCENADARAAGRRQAHEDPRVVSAVRESVNYLDVQQNMFLIPWS FSPLK >ENSMUSP00000103293.1 pep:known chromosome:GRCm38:4:52989418:53001162:1 gene:ENSMUSG00000015242.14 transcript:ENSMUST00000107666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipsnap3a description:nipsnap homolog 3A (C. elegans) [Source:MGI Symbol;Acc:MGI:1920648] MLALRGSLRRLSQALVHQVEVCSPFTTGHRQQEGTFYEFCTYYLKPASVEEFLYNFKKNV HLRTAHSELVGYWTVGFGGRINTVFHIWKYDNFAHRAAVYKALAKDEDWQEQFLIPNLPL IDKQESEITYLVPWCKIGKPPKEGVYELATFLMKPGGPALWGEAFQRAVNAHADQGYIKL IGVFHAEYGLLNRVHVLWWCENADARAAGRRQAHEDPRVVSAVRESVNYLDVQQNMFLIP WSFSPLK >ENSMUSP00000140727.1 pep:known chromosome:GRCm38:4:52989284:53000854:1 gene:ENSMUSG00000015242.14 transcript:ENSMUST00000188045.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipsnap3a description:nipsnap homolog 3A (C. elegans) [Source:MGI Symbol;Acc:MGI:1920648] MLALRGSLRRLSQALVHQVCSPFTTGHRQQEGTFYEFCTYYLKPASVEEFLYNFKKNVHL RTAHSELVGYWTVGFGGRINTVFHIWKYDNFAHRAAVYKALAKDEDWQEQFLIPNLPLID KQESEITYLVPWCKIGKPPKEGVYELATFLMKPGGPALWGEAFQRAVNAHADQGYIKLIG VFHAEYGLLNRVHVLWWCENADARAAGRRQAHEDPRVVSAVRESVNYLDVQQNMFLIPWS FSPLK >ENSMUSP00000139835.1 pep:known chromosome:GRCm38:X:60436052:60456195:-1 gene:ENSMUSG00000079583.9 transcript:ENSMUST00000190178.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7073 description:predicted gene 7073 [Source:MGI Symbol;Acc:MGI:3779666] MIIAFTFTCFCLLHYNCMVEEVQPPGGLNKENMEAISSWVSKVSEYQPDMIVEDILETQP LLLNVDQTSAPLCPDKQPIPNNTAKSIQASSLEKPCIPSPNAQKSTKCINIEKSSTSCSA KYSNRPLKSNRPTMSSNPKRLCKSSHLEKTYNKCGLKKSNKLNHACKFANFNSSCSDKQA TPWLSVLKSSAKQTTQSSSLISHDQITPTKPRRIKKPNLSHGHYEVKRSVNRRKPLLPMP TTAKVCRHYKDKCLVCNNSGFLLSDLSGEEKNNEEKLYGSMKVKPHHNPFYDTGYKYKTY EKSVSNDTMKECDSEDSNSEIVLICDISHDNITNK >ENSMUSP00000110335.2 pep:known chromosome:GRCm38:X:60436052:60452477:-1 gene:ENSMUSG00000079583.9 transcript:ENSMUST00000114687.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7073 description:predicted gene 7073 [Source:MGI Symbol;Acc:MGI:3779666] MIIAFTFTCFCLLHYNCMVEEVQPPGGLNKENMEAISSWVSKVSEYQPDMIVEDILETQP LLLNVDQTSAPLCPDKQPIPNNTAKSIQASSLEKPCIPSPNAQKSTKCINIEKSSTSCSA KYSNRPLKSNRPTMSSNPKRLCKSSHLEKTYNKCGLKKSNKLNHACKFANFNSSCSDKQA TPWLSVLKSSAKQTTQSSSLISHDQITPTKPRRIKKPNLSHGHYEVKRSVNRRKPLLPMP TTAKVCRHYKDKCLVCNNSGFLLSDLSGEEKNNEEKLYGSMKVKPHHNPFYDTGYKYKTY EKSVSNDTMKECDSEDSNSEIVLICDISHDNITNK >ENSMUSP00000128728.2 pep:known chromosome:GRCm38:X:60436052:60539249:-1 gene:ENSMUSG00000079583.9 transcript:ENSMUST00000166562.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7073 description:predicted gene 7073 [Source:MGI Symbol;Acc:MGI:3779666] MIIAFTFTCFCLLHYNCMVEEVQPPGGLNKENMEAISSWVSKVSEYQPDMIVEDILETQP LLLNVDQTSAPLCPDKQPIPNNTAKSIQASSLEKPCIPSPNAQKSTKCINIEKSSTSCSA KYSNRPLKSNRPTMSSNPKRLCKSSHLEKTYNKCGLKKSNKLNHACKFANFNSSCSDKQA TPWLSVLKSSAKQTTQSSSLISHDQITPTKPRRIKKPNLSHGHYEVKRSVNRRKPLLPMP TTAKVCRHYKDKCLVCNNSGFLLSDLSGEEKNNEEKLYGSMKVKPHHNPFYDTGYKYKTY EKSVSNDTMKECDSEDSNSEIVLICDISHDNITNK >ENSMUSP00000002152.6 pep:known chromosome:GRCm38:7:16309616:16318205:1 gene:ENSMUSG00000002083.12 transcript:ENSMUST00000002152.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbc3 description:BCL2 binding component 3 [Source:MGI Symbol;Acc:MGI:2181667] MARARQEGSSPEPVEGLARDSPRPFPLGRLMPSAVSCSLCEPGLPAAPAAPALLPAAYLC APTAPPAVTAALGGPRWPGGHRSRPRGPRPDGPQPSLSPAQQHLESPVPSAPEALAGGPT QAAPGVRVEEEEWAREIGAQLRRMADDLNAQYERRRQEEQHRHRPSPWRVMYNLFMGLLP LPRDPGAPEMEPN >ENSMUSP00000119225.1 pep:known chromosome:GRCm38:7:16310455:16313738:1 gene:ENSMUSG00000002083.12 transcript:ENSMUST00000136781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbc3 description:BCL2 binding component 3 [Source:MGI Symbol;Acc:MGI:2181667] MARARQEGSSPEPVEGLARDSPRPFPLGRLMPSAVSCSLCEPGLPAAPAAPALLPAAYLC APTAPPAVTAALGGPRWPGGHRSRPRGPRPDGPQPSLSPAQQHLESPVPSAPEALAGGPT QAAPGVRV >ENSMUSP00000062903.3 pep:known chromosome:GRCm38:5:27495639:27501438:-1 gene:ENSMUSG00000048703.9 transcript:ENSMUST00000053257.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4b description:spermatogenesis associated glutamate (E)-rich protein 4B [Source:MGI Symbol;Acc:MGI:1920776] MALFARLGRLFQRANVDGRETREGREDAGLPPESNEGRRRWNWRMWMAHRLTSSPVPVIS KKPFEKEEKELIKKIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNRHYKELKLKEKEIM TYLHDLEMKNMEARENKQELKKEINFYRNLHTRILLEENLIKKKLAILQQESKEVLANWA IIHQCLVELNLSGKDKQEKTSNLKTQEHQVSEAARELGVATAEEDSILQNELPCQEAPAE HHPQHPPSSSDESSSDESSYSTCPSWE >ENSMUSP00000122872.1 pep:known chromosome:GRCm38:5:27497537:27501362:-1 gene:ENSMUSG00000048703.9 transcript:ENSMUST00000155721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4b description:spermatogenesis associated glutamate (E)-rich protein 4B [Source:MGI Symbol;Acc:MGI:1920776] XLFARLGRLFQRANVDGRETREGREDAGLPPESNEGRRRWNWRMWMAHRLTSSPVPVISK KPFEKEEKELIKKIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNRHYKELKLKEKEIMT YLHDLEMKNMEARENKQELKKEINFYRNLHTRILLEENLIKKKLAILQQESKEVLANWAI IHQCLVELNLSGKDKQEKTSNLKTQEHQVGTTNSVRL >ENSMUSP00000047571.7 pep:known chromosome:GRCm38:1:178324343:178337797:-1 gene:ENSMUSG00000039630.9 transcript:ENSMUST00000037748.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpu description:heterogeneous nuclear ribonucleoprotein U [Source:MGI Symbol;Acc:MGI:1858195] MSSSPVNVKKLKVSELKEELKKRRLSDKGLKADLMDRLQAALDNEAGGRPAMEPGNGSLD LGGDAAGRSGAGLEQEAAAGAEDDEEEEGIAALDGDQMELGEENGAAGAADAGAMEEEEA ASEDENGDDQGFQEGEDELGDEEEGAGDENGHGEQQSQPPAAAAQQQPSQQRGAGKEAAG KSSGPTSLFAVTVAPPGARQGQQQAGGDGKTEQKGGDKKRGVKRPREDHGRGYFEYIEEN KYSRAKSPQPPVEEEDEHFDDTVVCLDTYNCDLHFKISRDRLSASSLTMESFAFLWAGGR ASYGVSKGKVCFEMKVTEKIPVRHLYTKDIDIHEVRIGWSLTTSGMLLGEEEFSYGYSLK GIKTCNCETEDYGEKFDENDVITCFANFETDEVELSYAKNGQDLGVAFKISKEVLADRPL FPHVLCHNCAVEFNFGQKEKPYFPIPEDCTFIQNVPLEDRVRGPKGPEEKKDCEVVMMIG LPGAGKTTWVTKHAAENPGKYNILGTNTIMDKMMVAGFKKQMADTGKLNTLLQRAPQCLG KFIEIAARKKRNFILDQTNVSAAAQRRKMCLFAGFQRKAVVVCPKDEDYKQRTQKKAEVE GKDLPEHAVLKMKGNFTLPEVAECFDEITYVELQKEEAQKLLEQYKEESKKALPPEKKQN TGSKKSNKNKSGKNQFNRGGGHRGRGGFNMRGGNFRGGAPGNRGGYNRRGNMPQRGGGGG SGGIGYPYPRGPVFPGRGGYSNRGNYNRGGMPNRGNYNQNFRGRGNNRGYKNQSQGYNQW QQGQFWGQKPWSQHYHQGYY >ENSMUSP00000137609.1 pep:known chromosome:GRCm38:5:110879803:111289780:1 gene:ENSMUSG00000033209.17 transcript:ENSMUST00000156290.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc28 description:tetratricopeptide repeat domain 28 [Source:MGI Symbol;Acc:MGI:2140873] MEQPPPLAPEPASARSRRRREPESPPAPIPLFGARTVVQRSPDEPALSKAEFVEKVRQSN QACHDGDFHTAIVLYNEALAVDPQNCILYSNRSAAYMKTQQYHKALDDAIKARLLNPKWP KAYFRQGVALQYLGRHADALAAFASGLAQDPKSLQLLVGMVEAAMKSPMRDTLEPTYQQL QKMKLDKSPFVVVSVVGQELLTAGHHGASVVVLEAALKIGTCSLKLRGSVFSALSSAHWS LGNTEKSTGYMQQDLDVAKTLGDQTGECRAHGNLGSAFFSKGNYREALTNHRHQLVLAMK LKDREAASSALSSLGHVYTAIGDYPNALASHKQCVLLAKQSKDDLSEARELGNMGAVYIA MGDFENAVQCHEQHLRIAKDLGSKREEARAYSNLGSAYHYRRNFDKAMSYHNCVLELAQE LMEKPIEMRAYAGLGHAARCMQDLERAKQYHEQQLGIAEDLKDRAAEGRASSNLGIIHQM KGDYDTALKLHKTHLCIAQELSDYAAQGRAYGNMGNAYNALGMYDQAVKYHRQELQISME VNDRASQASTHGNLAVAYQALGAHDRALQHYQNHLNIARELRDIQSEARALSNLGNFHCS RGEYVQAAPYYEQYLRLAPDLQDMEGEGKVCHNLGYAHYCLGNYQEAVKYYEQDLALAKD LHDKLSQAKAYCNLGLAFKALLNFAKAEECQKYLLSLAQSLDNSQAKFRALGNLGDIFIC KKDINGAIKFYEQQLGLSHHVKDRRLEASAYAALGTAYRMVQKYDKALGYHTQELEVYQE LSDLPGECRAHGHLAAVYMALGKYTMAFKCYQEQLELGRKLKEPSLEAQVYGNMGITKMN MNVMEDAIGYFEQQLAMLQQLSGNESVLDRGRAYGNLGDCYEALGDYEEAIKYYEQYLSV AQSLNRMQDQAKAYRGLGNGHRATGSLQQALVCFEKRLVVAHELGEASNKAQAYGELGSL HSQLGNYEQAISCLERQLNIARDMKDRALESDAACGLGGVYQQMGEYDTALQYHQLDLQI AEETDNPTCQGRAYGNLGLTYESLGTFERAVVYQEQHLSIAAQMNDLVAKTVSYSSLGRT HHALQNYSQAVMYLQEGLRLAEQLGRREDEAKIRHGLGLSLWASGNLEEAQHQLYRASAL FETIRHEAQLSTDYKLSLFDLQTSSYQALQRVLVSLGHHDEALAVAERGRTRAFADLLVE RQTGQQDSDPYSPITIDQILEMVNAQRGLVLYYSLAAGYLYSWLLAPGAGILKFHEHYLG DNSVESSSDFQAGSSAALPVATNSTLEQHIASVREALGVESYYSRACASSETESEAGDIM EQQLEEMNKQLNSVTDPTGFLRMVRHNNLLHRSCQSMTSLFSGTVSPSKDGTSSLPRRQN SLAKPPLRALYDLLIAPMEGGLMHSSGPVGRHRQLVLVLEGELYFVPFALLKGSASNEYL YERFTLIAVPAVRSLGPHSKCHLRKTPPTYSSSTTMAAVIGNPKLPSAVMDRWLWGPMPS AEEEAFMVSELLGCQPLVGSMATKERVMSALTQAECVHFATHVSWKLSALVLTPNTEGNP AGSKSSFGHPYTIPESLRVQDDASDVESISDCPPLRELLLTAADLLDLRLSVKLVVLSSS QEANGRVTADGLVALTRAFLAAGAQCVLVALWPVPVAASKMFVHAFYSSLLNGLKASASL GEAMKVVQSSKAFSHPSNWAGFTLIGSDVKLNSPSSLIGQALTEILQHPERARDALRVLL HLVEKSLQRIQNGQRNAMYTSQQSVENKVGGIPGWQALLTAVGFRLDPAASGLPAAVFFP TSDPGDRLQQCSSTLQALLGLPNPALQALCKLITASETGEQLISRAVKNMVGMLHQVLVQ LQACEKEQDFASAPIPVSLSVQLWRLPGCHEFLAALGFDLCEVGQEEVILKTGKQASRRT THFALQSLLSLFDSTELPKRLSLDSSSSLESLASAQSVSNALPLGYQHPPFSPTGADSIA SDAISVYSLSSIASSMSFVSKPEGGLEGGGPRGRQDYDRSKSTHPQRATLPRRQTSPQAR RGASKEEEEYEGFSIISMEPLATYQGEGKTRFSPDPKQPCVKAPGGVRLSVSSKGSVSTP NSPVKMTLIPSPNSPFQKVGKLASSDTGESDQSSTETDSTVKSQEESTPKLDPQELAQRI LEETKSHLLAVERLQRSGGPAGPDREDSVVAPSSTTVFRASETSAFSKPILSHQRSQLSP LTVKPQPPARSSSLPKVSSPATSEVSGKDGLSPPGSSHPSPGRDTPVSPADPPLFRLKYP SSPYSAHISKSPRNTSPACSAPSPALSYSSAGSARSSPADAPDEKVQAVHSLKMLWQSTP QPPRGPRKTCRGAPGTLTSKRDVLSLLNLSPRHGKEEGGADRLELKELSVQRHDEVPPKV PTNGHWCTDTATLTTAGGRSTTAAPRPLRLPLANGYKFLSPGRLFPSSKC >ENSMUSP00000136116.1 pep:known chromosome:GRCm38:5:110879803:111289779:1 gene:ENSMUSG00000033209.17 transcript:ENSMUST00000040111.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc28 description:tetratricopeptide repeat domain 28 [Source:MGI Symbol;Acc:MGI:2140873] MEQPPPLAPEPASARSRRRREPESPPAPIPLFGARTVVQRSPDEPALSKAEFVEKVRQSN QACHDGDFHTAIVLYNEALAVDPQNCILYSNRSAAYMKTQQYHKALDDAIKARLLNPKWP KAYFRQGVALQYLGRHADALAAFASGLAQDPKSLQLLVGMVEAAMKSPMRDTLEPTYQQL QKMKLDKSPFVVVSVVGQELLTAGHHGASVVVLEAALKIGTCSLKLRGSVFSALSSAHWS LGNTEKSTGYMQQDLDVAKTLGDQTGECRAHGNLGSAFFSKGNYREALTNHRHQLVLAMK LKDREETIVCVSRGRYTATSSQLHTGWGETSQGLPSAASSALSSLGHVYTAIGDYPNALA SHKQCVLLAKQSKDDLSEARELGNMGAVYIAMGDFENAVQCHEQHLRIAKDLGSKREEAR AYSNLGSAYHYRRNFDKAMSYHNCVLELAQELMEKPIEMRAYAGLGHAARCMQDLERAKQ YHEQQLGIAEDLKDRAAEGRASSNLGIIHQMKGDYDTALKLHKTHLCIAQELSDYAAQGR AYGNMGNAYNALGMYDQAVKYHRQELQISMEVNDRASQASTHGNLAVAYQALGAHDRALQ HYQNHLNIARELRDIQSEARALSNLGNFHCSRGEYVQAAPYYEQYLRLAPDLQDMEGEGK VCHNLGYAHYCLGNYQEAVKYYEQDLALAKDLHDKLSQAKAYCNLGLAFKALLNFAKAEE CQKYLLSLAQSLDNSQAKFRALGNLGDIFICKKDINGAIKFYEQQLGLSHHVKDRRLEAS AYAALGTAYRMVQKYDKALGYHTQELEVYQELSDLPGECRAHGHLAAVYMALGKYTMAFK CYQEQLELGRKLKEPSLEAQVYGNMGITKMNMNVMEDAIGYFEQQLAMLQQLSGNESVLD RGRAYGNLGDCYEALGDYEEAIKYYEQYLSVAQSLNRMQDQAKAYRGLGNGHRATGSLQQ ALVCFEKRLVVAHELGEASNKAQAYGELGSLHSQLGNYEQAISCLERQLNIARDMKDRAL ESDAACGLGGVYQQMGEYDTALQYHQLDLQIAEETDNPTCQGRAYGNLGLTYESLGTFER AVVYQEQHLSIAAQMNDLVAKTVSYSSLGRTHHALQNYSQAVMYLQEGLRLAEQLGRRED EAKIRHGLGLSLWASGNLEEAQHQLYRASALFETIRHEAQLSTDYKLSLFDLQTSSYQAL QRVLVSLGHHDEALAVAERGRTRAFADLLVERQTGQQDSDPYSPITIDQILEMVNAQRGL VLYYSLAAGYLYSWLLAPGAGILKFHEHYLGDNSVESSSDFQAGSSAALPVATNSTLEQH IASVREALGVESYYSRACASSETESEAGDIMEQQLEEMNKQLNSVTDPTGFLRMVRHNNL LHRSCQSMTSLFSGTVSPSKDGTSSLPRRQNSLAKPPLRALYDLLIAPMEGGLMHSSGPV GRHRQLVLVLEGELYFVPFALLKGSASNEYLYERFTLIAVPAVRSLGPHSKCHLRKTPPT YSSSTTMAAVIGNPKLPSAVMDRWLWGPMPSAEEEAFMVSELLGCQPLVGSMATKERVMS ALTQAECVHFATHVSWKLSALVLTPNTEGNPAGSKSSFGHPYTIPESLRVQDDASDVESI SDCPPLRELLLTAADLLDLRLSVKLVVLSSSQEANGRVTADGLVALTRAFLAAGAQCVLV ALWPVPVAASKMFVHAFYSSLLNGLKASASLGEAMKVVQSSKAFSHPSNWAGFTLIGSDV KLNSPSSLIGQALTEILQHPERARDALRVLLHLVEKSLQRIQNGQRNAMYTSQQSVENKV GGIPGWQALLTAVGFRLDPAASGLPAAVFFPTSDPGDRLQQCSSTLQALLGLPNPALQAL CKLITASETGEQLISRAVKNMVGMLHQVLVQLQACEKEQDFASAPIPVSLSVQLWRLPGC HEFLAALGFDLCEVGQEEVILKTGKQASRRTTHFALQSLLSLFDSTELPKRLSLDSSSSL ESLASAQSVSNALPLGYQHPPFSPTGADSIASDAISVYSLSSIASSMSFVSKPEGGLEGG GPRGRQDYDRSKSTHPQRATLPRRQTSPQARRGASKEEEEYEGFSIISMEPLATYQGEGK TRFSPDPKQPCVKAPGGVRLSVSSKGSVSTPNSPVKMTLIPSPNSPFQKVGKLASSDTGE SDQSSTETDSTVKSQEESTPKLDPQELAQRILEETKSHLLAVERLQRSGGPAGPDREDSV VAPSSTTVFRASETSAFSKPILSHQRSQLSPLTVKPQPPARSSSLPKVSSPATSEVSGKD GLSPPGSSHPSPGRDTPVSPADPPLFRLKYPSSPYSAHISKSPRNTSPACSAPSPALSYS SAGSARSSPADAPDEKVQAVHSLKMLWQSTPQPPRGPRKTCRGAPGTLTSKRDVLSLLNL SPRHGKEEGGADRLELKELSVQRHDEVPPKVPTNGHWCTDTATLTTAGGRSTTAAPRPLR LPLANGYKFLSPGRLFPSSKC >ENSMUSP00000034508.7 pep:known chromosome:GRCm38:9:44067021:44095627:1 gene:ENSMUSG00000032010.14 transcript:ENSMUST00000034508.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp2 description:ubiquitin specific peptidase 2 [Source:MGI Symbol;Acc:MGI:1858178] MSQLSSTLKRYTESSRYTDAPYAKPGYGTYTPSSYGANLAASFLEKEKLGFKPVSPTSFL PRPRTYGPSSILDCDRGRPLLRSDIIGSSKRSESQTRGNERPSGSGLNGGSGFSYGVSSN SLSYLPMNARDQGVTLSQKKSNSQSDLARDFSSLRTSDGYRTSDGYRTSEGFRIDPGNLG RSPMLARTRKELCALQGLYQAASRSEYLTDYLENYGRKGSAPQVLTQAPPPSRVPEVLSP TYRPSGRYTLWEKSKGQASGPSRSSSPGRDTMNSKSAQGLAGLRNLGNTCFMNSILQCLS NTRELRDYCLQRLYMRDLGHTSSAHTALMEEFAKLIQTIWTSSPNDVVSPSEFKTQIQRY APRFMGYNQQDAQEFLRFLLDGLHNEVNRVAARPKASPETLDHLPDEEKGRQMWRKYLER EDSRIGDLFVGQLKSSLTCTDCGYCSTVFDPFWDLSLPIAKRGYPEVTLMDCMRLFTKED ILDGDEKPTCCRCRARKRCIKKFSVQRFPKILVLHLKRFSESRIRTSKLTTFVNFPLRDL DLREFASENTNHAVYNLYAVSNHSGTTMGGHYTAYCRSPVTGEWHTFNDSSVTPMSSSQV RTSDAYLLFYELASPPSRM >ENSMUSP00000135018.1 pep:known chromosome:GRCm38:9:44067078:44093929:1 gene:ENSMUSG00000032010.14 transcript:ENSMUST00000177054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp2 description:ubiquitin specific peptidase 2 [Source:MGI Symbol;Acc:MGI:1858178] MSQLSSTLKRYTESSRYTDAPYAKPGYGTYTPSSYGANLAASFLEKEKLGFKPVSPTSFL PRPRTYGPSSILDCDRGRPLLRSDIIGSSKRSESQTRGNERPSGSGLNGGSGFSYGVSSN SLSYLPMNARDQGVTLSQKKSNSQSDLARDFSSLRTSDGYRTSDGYRTSEGFRIDPGNLG RSPMLARTRKELCALQGLYQAASRSEYLTDYLENYGRKGSAPQVLTQAPPPSRVPEVLSP TYRPSGRYTLWEKSKGQASGPSRSSSPGRDTMNSKSAQGLAGLRNLGNTCFMNSILQCLS NTRELRDYCLQRLYMRDLGHTSSAHTALMEEFAKLIQTIWTSSPNDVVSPSEFKTQIQRY APRFMGYNQQDAQEFLRFLLDGLHNEVNRVAARPKASPETLDHLPDEEKGRQMWRKYLER EDSRIGDLFVGQLKSSLTCTDCGYCSTVFDPFWDLSLPIAKRGYPEVTLMDCMRLFTKED ILDGDEKPTCCRCRARKRCIKKFSVQRFPKILVLHLKRFSESRIRTSKLTTFVNFPLRDL DLREFASENTNHAVYNLYAVSNHSGTTMGGHYTAYCRSPVTGEWHTFNDSSVTPMSSSQV RTSDAYLLFYELASPPSRM >ENSMUSP00000110479.2 pep:known chromosome:GRCm38:9:44069443:44093993:1 gene:ENSMUSG00000032010.14 transcript:ENSMUST00000114830.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp2 description:ubiquitin specific peptidase 2 [Source:MGI Symbol;Acc:MGI:1858178] MSQLSSTLKRYTESSRYTDAPYAKPGYGTYTPSSYGANLAASFLEKEKLGFKPVSPTSFL PRPRTYGPSSILDCDRGRPLLRSDIIGSSKRSESQTRGNERPSGSGLNGGSGFSYGVSSN SLSYLPMNARDQGVTLSQKKSNSQSDLARDFSSLRTSDGYRTSDGYRTSEGFRIDPGNLG RSPMLARTRKELCALQGLYQAASRSEYLTDYLENYGRKGSAPQVLTQAPPPSRVPEVLSP TYRPSGRYTLWEKSKGQASGPSRSSSPGRDTMNSKSAQGLAGLRNLGNTCFMNSILQCLS NTRELRDYCLQRLYMRDLGHTSSAHTALMEEFAKLIQTIWTSSPNDVVSPSEFKTQIQRY APRFMGYNQQDAQEFLRFLLDGLHNEVNRVAARPKASPETLDHLPDEEKGRQMWRKYLER EDSRIGDLFVGQLKSSLTCTDCGYCSTVFDPFWDLSLPIAKRGYPEVTLMDCMRLFTKED ILDGDEKPTCCRCRARKRCIKKFSVQRFPKILVLHLKRFSESRIRTSKLTTFVNFPLRDL DLREFASENTNHAVYNLYAVSNHSGTTMGGHYTAYCRSPVTGEWHTFNDSSVTPMSSSQV RTSDAYLLFYELASPPSRM >ENSMUSP00000135859.1 pep:known chromosome:GRCm38:9:44072204:44075930:1 gene:ENSMUSG00000032010.14 transcript:ENSMUST00000176671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp2 description:ubiquitin specific peptidase 2 [Source:MGI Symbol;Acc:MGI:1858178] MSQLSSTLKRYTESSRYTDAPYAKPGYGTYTPSSYGANLAASFLEKEKLGFKPVSPTSFL PRPRTYGPSSILDCDRGRPLLRSDIIGSSKRSESQTRGNERPSGSGLNGGSGFSYGVSSN SLSYLPMNARDQGVTLSQKKSNSQSDLARDFSSLRTSDGYRTSDGYRTSEGFRI >ENSMUSP00000135482.1 pep:known chromosome:GRCm38:9:44084950:44093926:1 gene:ENSMUSG00000032010.14 transcript:ENSMUST00000176416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp2 description:ubiquitin specific peptidase 2 [Source:MGI Symbol;Acc:MGI:1858178] MRTSYTVTLPEEPPAAHFPALAKELRPRSPLSPSLLLSTFVGLLLNKAKSAQGLAGLRNL GNTCFMNSILQCLSNTRELRDYCLQRLYMRDLGHTSSAHTALMEEFAKLIQTIWTSSPND VVSPSEFKTQIQRYAPRFMGYNQQDAQEFLRFLLDGLHNEVNRVAARPKASPETLDHLPD EEKGRQMWRKYLEREDSRIGDLFVGQLKSSLTCTDCGYCSTVFDPFWDLSLPIAKRGYPE VTLMDCMRLFTKEDILDGDEKPTCCRCRARKRCIKKFSVQRFPKILVLHLKRFSESRIRT SKLTTFVNFPLRDLDLREFASENTNHAVYNLYAVSNHSGTTMGGHYTAYCRSPVTGEWHT FNDSSVTPMSSSQVRTSDAYLLFYELASPPSRM >ENSMUSP00000070264.7 pep:known chromosome:GRCm38:9:44084951:44094477:1 gene:ENSMUSG00000032010.14 transcript:ENSMUST00000065461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp2 description:ubiquitin specific peptidase 2 [Source:MGI Symbol;Acc:MGI:1858178] MRTSYTVTLPEEPPAAHFPALAKELRPRSPLSPSLLLSTFVGLLLNKAKNSKSAQGLAGL RNLGNTCFMNSILQCLSNTRELRDYCLQRLYMRDLGHTSSAHTALMEEFAKLIQTIWTSS PNDVVSPSEFKTQIQRYAPRFMGYNQQDAQEFLRFLLDGLHNEVNRVAARPKASPETLDH LPDEEKGRQMWRKYLEREDSRIGDLFVGQLKSSLTCTDCGYCSTVFDPFWDLSLPIAKRG YPEVTLMDCMRLFTKEDILDGDEKPTCCRCRARKRCIKKFSVQRFPKILVLHLKRFSESR IRTSKLTTFVNFPLRDLDLREFASENTNHAVYNLYAVSNHSGTTMGGHYTAYCRSPVTGE WHTFNDSSVTPMSSSQVRTSDAYLLFYELASPPSRM >ENSMUSP00000135788.1 pep:known chromosome:GRCm38:9:44087190:44092500:1 gene:ENSMUSG00000032010.14 transcript:ENSMUST00000175816.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp2 description:ubiquitin specific peptidase 2 [Source:MGI Symbol;Acc:MGI:1858178] MLPRGRMLSVSAACLDRCRLGHRGIQRVPRVWLVFETLGTRAS >ENSMUSP00000100524.1 pep:known chromosome:GRCm38:X:94724569:94730187:1 gene:ENSMUSG00000073062.3 transcript:ENSMUST00000101388.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zxdb description:zinc finger, X-linked, duplicated B [Source:MGI Symbol;Acc:MGI:3694898] MEIPRLLPARGTPQGAGGGGCPAGGGGVHRAPASLACQAPTRRLLLLRGAQDGGPGPRSA EAQRASRGLGPSLNRLAPRPDHRSSGGGRGGGAGGGGGGSGGGGGGGGGGGGGGGGGGSR GGSDDFFLLLLDPVGGDVETVGTEQAGAPVRREEAGAGPRPERRQSAGPPAGRPEPGPRC LSAVPAASPLPAAGPGPAAAAAAAAAAAFAGTITIHNQDLLLRFENGVLTLTTPPLPAWE PGVAPFPQPQPPPQPGALIAPQAAAAGFPPAAAAAAAAAAAGAQLGDCPELPPDLLLAEP AEPAACPAPPEEEAEAPAAAAAQSPRGPAGPGPGPGVVLYLCPEAQCGQTFAKKHQLKVH LLTHSSSQGQRPFKCPLSGCGWTFTTSYKLKRHLQSHDKLRPFGCPVQGCGKSFTTVYNL KAHMKGHEQENSFKCEVCEESFPTQAKLSTHQRSHFEPERPYQCAFSGCKKTFITVSALF SHNRAHFREQELFACSFPGCSKQYDKACRLKIHLRSHTGERPFLCDFDGCGWNFTSMSKL LRHKRKHEDDRRFTCPVEGCGKSFTRAEHLKGHSITHLGTKPFVCPVEGCCARFSARSSL YIHSKKHLQDVGAWKSRCPVPTCNKLFTSKHSMKTHMTKRHNLSQDLLAQLEAANSLTPS SELTSPGQSDLSGAELVSLFSDVPGHGSAAVLDTALVNSGILTIDVASVNSSLAGSLPAD NNSNNHSLGQAAEPRALRGAPSDLPQSLDTSLFFGTSVAGYQHSPLDMDDVSAGNVGLFG SLALKNSSLEPQALTPSNKLTVDTEALTPSSTLCENSVSELLTPAKAEWNVHPESDFFGH EEETQFGFSHPTGSHGSQKDTDLITVTGTPFLV >ENSMUSP00000056475.8 pep:known chromosome:GRCm38:16:58635167:58638739:-1 gene:ENSMUSG00000055789.7 transcript:ENSMUST00000053249.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330017A01Rik description:RIKEN cDNA E330017A01 gene [Source:MGI Symbol;Acc:MGI:3045360] MFSCHPFSEECTKALNQVVAYHLHTSHVYFAMAHSFMTGKKETFPFVQHFETLADSRREY ANRFLNHLWTRNKKICPPPTYEKVDMKEITTPKSALLMAQNMEETLTKILLALKAAARRE SDLLKFLTSVLCKQREFKEYLESQLSPPQKGKRKNEKEAQTEQPSTSSGVKGYLSSKRSK PGDHKATTTSNC >ENSMUSP00000119320.1 pep:known chromosome:GRCm38:X:60223290:60592698:-1 gene:ENSMUSG00000062949.13 transcript:ENSMUST00000154051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11c description:ATPase, class VI, type 11C [Source:MGI Symbol;Acc:MGI:1859661] QRRKLMSCGCAGEEKRVGTRTVFVGNHPISGTEPYIAQRFCDNRIVSSKYTLWNFLPKNL FEQFRRIANFYFLIIFLVQVTVDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHRADNEV NKSAVYIIENAKRVRKESEKIKVGDVVEVQANETFPCDLILLSSCTTDGTCYVTTASLDG ESNCKTHYAVRDTIALCTAESIDNLRATIECEQPQPDLYRFVGRISIYSNSIEAVARSLG PENLLLKGATLKNTKKIYGVAVYTGMETKMALNYQGKSQKCSAVEKSINAFLIVYLFILL TKAAVCTTLKYVWQSSPYNDEPWYNQKTQKERETFQVLKMFTDFLSFMVLFNFIIPVSMY VTVEMQKFLGSFFISWDKDFFDEEINEGALVNTSDLNEELGQVDYVFTDKTGTLTENSME FIECCIDGHKYKGTTQEVDGLSQTDGPLAYFDKADKNREALFLRALCLCHTVEMKTNDDV DGPVEGAGFTYISSSPDEIALVKGAKRFGFTFLGNQNGYIRVENQRKEIEEYELLHTLNF DSVRRRMSVIVRTQKGDILLFCKGADSSIFPRVHSHQIELTKDHVERNAMDGYRTLCVAF KEIPPDDFERINAQLVEAKMALQDREEKLEKVFDEIETNMNLIGATAVEDKLQDQAAETI EALHAAGLKVWVLTGDKMETAKSTCYACRLFQTNTELLELTTKTIEESERKEDRLHELLI EYRKKLLHEFPKSTRSLKKAWTEHQEYGLIIDGSTLSLILNSSQDCSSNNYKSIFLQICM KCTAVLCCRMAPLQKAQIVRMVKNLKGSPITLSIGDGANDVSMILESHVGIGIKGKEGRQ AARNSDYSVPKFKHLKKLLLVHGHLYYVRIAHLVQYFFYKNLCFILPQFLYQFFCGFSQQ PLYDAAYLTMYNICFTSLPILAYSLLEQHINIDTLTADPRLYMKITGNAMLQLGPFLHWT FLAAFEGTVFFFGTYFLFQTSSLEDNGKIYGNWTFGTIVFTVLVFTVTLKLALDTRFWTW INHFVIWGSLAFYVFFSFFWGGIIWPFLKQQRMYFVFAQMLCSVSTWLAIILLIFISLFP EILLIVVKNVRRRSARRNLSCRRASDSLSARPSVRPLLLRTFSDESNIL >ENSMUSP00000033480.6 pep:known chromosome:GRCm38:X:60223293:60403981:-1 gene:ENSMUSG00000062949.13 transcript:ENSMUST00000033480.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11c description:ATPase, class VI, type 11C [Source:MGI Symbol;Acc:MGI:1859661] MFRRTLNRLCAGEEKRVGTRTVFVGNHPISGTEPYIAQRFCDNRIVSSKYTLWNFLPKNL FEQFRRIANFYFLIIFLVQVTVDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHRADNEV NKSAVYIIENAKRVRKESEKIKVGDVVEVQANETFPCDLILLSSCTTDGTCYVTTASLDG ESNCKTHYAVRDTIALCTAESIDNLRATIECEQPQPDLYRFVGRISIYSNSIEAVARSLG PENLLLKGATLKNTKKIYGVAVYTGMETKMALNYQGKSQKCSAVEKSINAFLIVYLFILL TKAAVCTTLKYVWQSSPYNDEPWYNQKTQKERETFQVLKMFTDFLSFMVLFNFIIPVSMY VTVEMQKFLGSFFISWDKDFFDEEINEGALVNTSDLNEELGQVDYVFTDKTGTLTENSME FIECCIDGHKYKGTTQEVDGLSQTDGPLAYFDKADKNREALFLRALCLCHTVEMKTNDDV DGPVEGAGFTYISSSPDEIALVKGAKRFGFTFLGNQNGYIRVENQRKEIEEYELLHTLNF DSVRRRMSVIVRTQKGDILLFCKGADSSIFPRVHSHQIELTKDHVERNAMDGYRTLCVAF KEIPPDDFERINAQLVEAKMALQDREEKLEKVFDEIETNMNLIGATAVEDKLQDQAAETI EALHAAGLKVWVLTGDKMETAKSTCYACRLFQTNTELLELTTKTIEESERKEDRLHELLI EYRKKLLHEFPKSTRSLKKAWTEHQEYGLIIDGSTLSLILNSSQDCSSNNYKSIFLQICM KCTAVLCCRMAPLQKAQIVRMVKNLKGSPITLSIGDGANDVSMILESHVGIGIKGKEGRQ AARNSDYSVPKFKHLKKLLLVHGHLYYVRIAHLVQYFFYKNLCFILPQFLYQFFCGFSQQ PLYDAAYLTMYNICFTSLPILAYSLLEQHINIDTLTADPRLYMKITGNAMLQLGPFLHWT FLAAFEGTVFFFGTYFLFQTSSLEDNGKIYGNWTFGTIVFTVLVFTVTLKLALDTRFWTW INHFVIWGSLAFYVFFSFFWGGIIWPFLKQQRMYFVFAQMLCSVSTWLAIILLIFISLFP EILLIVVKNVRRRSARNPNLELPMLLSYKHIDRGCS >ENSMUSP00000099066.2 pep:known chromosome:GRCm38:X:60223293:60403981:-1 gene:ENSMUSG00000062949.13 transcript:ENSMUST00000101527.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11c description:ATPase, class VI, type 11C [Source:MGI Symbol;Acc:MGI:1859661] MFRRTLNRLCAGEEKRVGTRTVFVGNHPISGTEPYIAQRFCDNRIVSSKYTLWNFLPKNL FEQFRRIANFYFLIIFLVQVTVDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHRADNEV NKSAVYIIENAKRVRKESEKIKVGDVVEVQANETFPCDLILLSSCTTDGTCYVTTASLDG ESNCKTHYAVRDTIALCTAESIDNLRATIECEQPQPDLYRFVGRISIYSNSIEAVARSLG PENLLLKGATLKNTKKIYGVAVYTGMETKMALNYQGKSQKCSAVEKSINAFLIVYLFILL TKAAVCTTLKYVWQSSPYNDEPWYNQKTQKERETFQVLKMFTDFLSFMVLFNFIIPVSMY VTVEMQKFLGSFFISWDKDFFDEEINEGALVNTSDLNEELGQVDYVFTDKTGTLTENSME FIECCIDGHKYKGTTQEVDGLSQTDGPLAYFDKADKNREALFLRALCLCHTVEMKTNDDV DGPVEGAGFTYISSSPDEIALVKGAKRFGFTFLGNQNGYIRVENQRKEIEEYELLHTLNF DSVRRRMSVIVRTQKGDILLFCKGADSSIFPRVHSHQIELTKDHVERNAMDGYRTLCVAF KEIPPDDFERINAQLVEAKMALQDREEKLEKVFDEIETNMNLIGATAVEDKLQDQAAETI EALHAAGLKVWVLTGDKMETAKSTCYACRLFQTNTELLELTTKTIEESERKEDRLHELLI EYRKKLLHEFPKSTRSLKKAWTEHQEYGLIIDGSTLSLILNSSQDCSSNNYKSIFLQICM KCTAVLCCRMAPLQKAQIVRMVKNLKGSPITLSIGDGANDVSMILESHVGIGIKGKEGRQ AARNSDYSVPKFKHLKKLLLVHGHLYYVRIAHLVQYFFYKNLCFILPQFLYQFFCGFSQQ PLYDAAYLTMYNICFTSLPILAYSLLEQHINIDTLTADPRLYMKITGNAMLQLGPFLHWT FLAAFEGTVFFFGTYFLFQTSSLEDNGKIYGNWTFGTIVFTVLVFTVTLKLALDTRFWTW INHFVIWGSLAFYVFFSFFWGGIIWPFLKQQRMYFVFAQMLCSVSTWLAIILLIFISLFP EILLIVVKNVRRRSARRNLSCRRASDSLSARPSVRPLLLRTFSDESNIL >ENSMUSP00000051627.5 pep:known chromosome:GRCm38:9:40269217:40291618:1 gene:ENSMUSG00000049281.15 transcript:ENSMUST00000049941.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn3b description:sodium channel, voltage-gated, type III, beta [Source:MGI Symbol;Acc:MGI:1918882] MPAFNRLLPLASLVLIYWVRVCFPVCVEVPSETEAVQGNSMKLRCISCMKREEVEATTVV EWFYRPEGGKDFLIYEYRNGHQEVESPFQGRLQWNGSKDLQDVSITVLNVTLNDSGLYTC NVSREFEFEAHRPFVKTTRLIPLRVTEEAGEDFTSVVSEIMMYILLVFLTLWLFIEMIYC YRKVSKAEEAAQENASDYLAIPSENKENSVVPVEE >ENSMUSP00000135096.1 pep:known chromosome:GRCm38:9:40269273:40291618:1 gene:ENSMUSG00000049281.15 transcript:ENSMUST00000176185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn3b description:sodium channel, voltage-gated, type III, beta [Source:MGI Symbol;Acc:MGI:1918882] MKLRCISCMKREEVEATTVVEWFYRPEGGKDFLIYEYRNGHQEVESPFQGRLQWNGSKDL QDVSITVLNVTLNDSGLYTCNVSREFEFEAHRPFVKTTRLIPLRVTEEAGEDFTSVVSEI MMYILLVFLTLWLFIEMIYCYRKVSKAEEAAQENASDYLAIPSENKENSVVPVEE >ENSMUSP00000132933.2 pep:known chromosome:GRCm38:9:40269433:40291618:1 gene:ENSMUSG00000049281.15 transcript:ENSMUST00000171835.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn3b description:sodium channel, voltage-gated, type III, beta [Source:MGI Symbol;Acc:MGI:1918882] MPAFNRLLPLASLVLIYWVRVCFPVCVEVPSETEAVQGNSMKLRCISCMKREEVEATTVV EWFYRPEGGKDFLIYEYRNGHQEVESPFQGRLQWNGSKDLQDVSITVLNVTLNDSGLYTC NVSREFEFEAHRPFVKTTRLIPLRVTEEAGEDFTSVVSEIMMYILLVFLTLWLFIEMIYC YRKVSKAEEAAQENASDYLAIPSENKENSVVPVEE >ENSMUSP00000134820.1 pep:known chromosome:GRCm38:9:40269687:40288407:1 gene:ENSMUSG00000049281.15 transcript:ENSMUST00000175949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn3b description:sodium channel, voltage-gated, type III, beta [Source:MGI Symbol;Acc:MGI:1918882] MPAFNRLLPLASLVLIYWVRVCFPVCVEVPSETEAVQGNSMKLRCISCMKREEVEATTVV EWFYRPEGGKDFLIYEYRNGHQEVESPFQGRLQWNGSKDLQDVSITVLNVTLNDSGLYTC NVSREFEFEAHRPFVKTTRLIPLRVTEEAGEDFTSVVSEIMMYILLVFLTLWLFIEMIYC YRKVSKAEEAAQENASDYLAIPSENK >ENSMUSP00000135470.1 pep:known chromosome:GRCm38:9:40279442:40289515:1 gene:ENSMUSG00000049281.15 transcript:ENSMUST00000176547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn3b description:sodium channel, voltage-gated, type III, beta [Source:MGI Symbol;Acc:MGI:1918882] XSKDLQDVSITVLNVTLNDSGLYTCNVSREFEFEAHRPFVKTTRLIPLRVTEEAGEDFTS VVSEIMMYILLVFLTLWLFIEMIYCYRKVSKAEEAAQENASDYLAIPSENKENSVVPVEE >ENSMUSP00000110606.3 pep:known chromosome:GRCm38:9:40269216:40291617:1 gene:ENSMUSG00000049281.15 transcript:ENSMUST00000114956.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn3b description:sodium channel, voltage-gated, type III, beta [Source:MGI Symbol;Acc:MGI:1918882] MPAFNRLLPLASLVLIYWVRVCFPVCVEVPSETEAVQGNSMKLRCISCMKREEVEATTVV EWFYRPEGGKDFLIYEYRNGHQEVESPFQGRLQWNGSKDLQDVSITVLNVTLNDSGLYTC NVSREFEFEAHRPFVKTTRLIPLRVTEEAGEDFTSVVSEIMMYILLVFLTLWLFIEMIYC YRKVSKAEEAAQENASDYLAIPSENKENSVVPVEE >ENSMUSP00000114839.1 pep:known chromosome:GRCm38:6:113378115:113390448:1 gene:ENSMUSG00000079426.12 transcript:ENSMUST00000156898.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc4 description:actin related protein 2/3 complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1915339] MTATLRPYLSAVRATLQAALCLENFSSQVVERHNKPEVEVRSSKELLLQPVTISRNEKEK VLIEGSINSVRVSIAVKQADEIEKILCHKFMRFMMMRAENFFILRRKPVEGYDISFLITN FHTEQMYKHKLVDFVIHFMEEIDKEISEMKLSVNARARIVAEEFLKNF >ENSMUSP00000145344.1 pep:known chromosome:GRCm38:6:113378147:113389652:1 gene:ENSMUSG00000079426.12 transcript:ENSMUST00000203578.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc4 description:actin related protein 2/3 complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1915339] MRFMMMRAENFFILRRKPVEGYDISFLITNFHTEQMYKHKLVDFVIHFMEEIDKEISEMK LSVNARARIVAEEFLKNF >ENSMUSP00000144751.1 pep:known chromosome:GRCm38:6:113378570:113389015:1 gene:ENSMUSG00000079426.12 transcript:ENSMUST00000204802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc4 description:actin related protein 2/3 complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1915339] MRFMMMRAENFFILRRKPVEGYDISFLITNFHTEQMYKHKLVDFVIHFMEEIDKEISEMK LSVNARARIVAEEFLKNF >ENSMUSP00000131690.1 pep:known chromosome:GRCm38:6:113378113:113390447:1 gene:ENSMUSG00000079426.12 transcript:ENSMUST00000171058.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc4 description:actin related protein 2/3 complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1915339] MADEIEKILCHKFMRFMMMRAENFFILRRKPVEGYDISFLITNFHTEQMYKHKLVDFVIH FMEEIDKEISEMKLSVNARARIVAEEFLKNF >ENSMUSP00000114917.1 pep:known chromosome:GRCm38:4:43017635:43025080:-1 gene:ENSMUSG00000028454.16 transcript:ENSMUST00000149333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigo description:phosphatidylinositol glycan anchor biosynthesis, class O [Source:MGI Symbol;Acc:MGI:1861452] XPRMRKVSVLLFLAWVCFLFYAGIALFTSGFLLTRLELTNQSSCQELPGPGPLPWGSHGK PGACWMPSRFSRVVLVLIDALRFDFAQPQRSHVPGEPPVSVPFLGKLGSLQRILESQPHH GRLYRSQVDPPTTTMQRLKALTTGSLPTFIDAGSNFASHAIVEDNVIQQLNSAGRRVVFM GDDTWRDLFPGAFSQAFFFSSFNVRDLHTVDNGILEHLYPTLDGGSWDVLIAHFLGVDHC GHKHGPHHPEMAKKLSQMDQVIQGLIERLENDTLLVVAGDHGMTMNGDHGGDSELEVSAA LFLYSPTALFPSVPPEEPEVIPQVSLVPTLALLLGLPIPFGNTGEVMAELFSGGSDSSHP HSSALAQVSALHINAQQVSRFLHTYSAATQDLQVKELHRLQTLFSKASARYQHFLRDPQE AEAALSTLTAEFQQFLRGARALCIESWARFSLSFLLLHLLAAGTPVATPGPFTVLWQAVS AWVLLATQTFYSTGHQPVFSAIHWHAAFVGFPDGHGSSTWLPALLVGANTFASHLLFAVG CPLLLLWPFLCERQGPKRRQPLPGSESEARVRPEEEEELQEPLMEMRLRDAPNHFNAALL QLGLKYLFILGAQILACALAASILRRHLMVWKVFAPKFIFEAVGFIVSSVGLLLGIALVM RVDVAVSSWFKKLVLAQQR >ENSMUSP00000095713.2 pep:known chromosome:GRCm38:4:43017635:43025756:-1 gene:ENSMUSG00000028454.16 transcript:ENSMUST00000098109.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigo description:phosphatidylinositol glycan anchor biosynthesis, class O [Source:MGI Symbol;Acc:MGI:1861452] MNILFSPRMRKVSVLLFLAWVCFLFYAGIALFTSGFLLTRLELTNQSSCQELPGPGPLPW GSHGKPGACWMPSRFSRVVLVLIDALRFDFAQPQRSHVPGEPPVSVPFLGKLGSLQRILE SQPHHGRLYRSQVDPPTTTMQRLKALTTGSLPTFIDAGSNFASHAIVEDNVIQQLNSAGR RVVFMGDDTWRDLFPGAFSQAFFFSSFNVRDLHTVDNGILEHLYPTLDGGSWDVLIAHFL GVDHCGHKHGPHHPEMAKKLSQMDQVIQGLIERLENDTLLVVAGDHGMTMNGDHGGDSEL EVSAALFLYSPTALFPSVPPEEPEVIPQVSLVPTLALLLGLPIPFGNTGEVMAELFSGGS DSSHPHSSALAQVSALHINAQQVSRFLHTYSAATQDLQVKELHRLQTLFSKASARYQHFL RDPQEAEAALSTLTAEFQQFLRGARALCIESWARFSLVRMAGGAALLAAACLLCLLASQL AVAPGFLFRPLLLIPVAWGLTWTILYAGVSVTTGSKIDLVVLGAVAAAGSLLPFLWKAWV SRGSKRPLAPLLPVPRPVLILLLIRLATFFSDSFVVAEARATPFLLGSLVFFLVAQLHWE GQLLPPKPLTMSRLGSSAPTAPPRHSGAHALWLGIGLLLFTRLAGLFHRCPEETPACRSS PWLSPLASMVGGRAKNLWYGACVGALVALLVVVRLWLRRYGNLKSPEPPVLFVRWGMPLM VLGTAAYWALASGAEEAPPRLRALVAGASAVLPRAVMGLAALGLVLLLWRPVTVLVKAGA ATSRTRTILTPFSGPPTSQADLDYVVPQIYRHMQEEFQGRLERTKAQGPITVAAYQLGSV YSAAMVTALLLLAFPLMLLHVERVSLVFLLLFLQSFLLLHLLAAGTPVATPGPFTVLWQA VSAWVLLATQTFYSTGHQPVFSAIHWHAAFVGFPDGHGSSTWLPALLVGANTFASHLLFA VGCPLLLLWPFLCERQGPKRRQPLPGSESEARVRPEEEEELQEPLMEMRLRDAPNHFNAA LLQLGLKYLFILGAQILACALAASILRRHLMVWKVFAPKFIFEAVGFIVSSVGLLLGIAL VMRVDVAVSSWFKKLVLAQQR >ENSMUSP00000069749.5 pep:known chromosome:GRCm38:4:43017635:43025819:-1 gene:ENSMUSG00000028454.16 transcript:ENSMUST00000067481.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigo description:phosphatidylinositol glycan anchor biosynthesis, class O [Source:MGI Symbol;Acc:MGI:1861452] MRKVSVLLFLAWVCFLFYAGIALFTSGFLLTRLELTNQSSCQELPGPGPLPWGSHGKPGA CWMPSRFSRVVLVLIDALRFDFAQPQRSHVPGEPPVSVPFLGKLGSLQRILESQPHHGRL YRSQVDPPTTTMQRLKALTTGSLPTFIDAGSNFASHAIVEDNVIQQLNSAGRRVVFMGDD TWRDLFPGAFSQAFFFSSFNVRDLHTVDNGILEHLYPTLDGGSWDVLIAHFLGVDHCGHK HGPHHPEMAKKLSQMDQVIQGLIERLENDTLLVVAGDHGMTMNGDHGGDSELEVSAALFL YSPTALFPSVPPEEPEVIPQVSLVPTLALLLGLPIPFGNTGEVMAELFSGGSDSSHPHSS ALAQVSALHINAQQVSRFLHTYSAATQDLQVKELHRLQTLFSKASARYQHFLRDPQEAEA ALSTLTAEFQQFLRGARALCIESWARFSLVRMAGGAALLAAACLLCLLASQLAVAPGFLF RPLLLIPVAWGLTWTILYAGVSVTTGSKIDLVVLGAVAAAGSLLPFLWKAWVSRGSKRPL APLLPVPRPVLILLLIRLATFFSDSFVVAEARATPFLLGSLVFFLVAQLHWEGQLLPPKP LTMSRLGSSAPTAPPRHSGAHALWLGIGLLLFTRLAGLFHRCPEETPACRSSPWLSPLAS MVGGRAKNLWYGACVGALVALLVVVRLWLRRYGNLKSPEPPVLFVRWGMPLMVLGTAAYW ALASGAEEAPPRLRALVAGASAVLPRAVMGLAALGLVLLLWRPVTVLVKAGAATSRTRTI LTPFSGPPTSQADLDYVVPQIYRHMQEEFQGRLERTKAQGPITVAAYQLGSVYSAAMVTA LLLLAFPLMLLHVERVSLVFLLLFLQSFLLLHLLAAGTPVATPGHQPVFSAIHWHAAFVG FPDGHGSSTWLPALLVGANTFASHLLFAVGCPLLLLWPFLCERQGPKRRQPLPGSESEAR VRPEEEEELQEPLMEMRLRDAPNHFNAALLQLGLKYLFILGAQILACALAASILRRHLMV WKVFAPKFIFEAVGFIVSSVGLLLGIALVMRVDVAVSSWFKKLVLAQQR >ENSMUSP00000138101.1 pep:known chromosome:GRCm38:11:113619342:113626028:1 gene:ENSMUSG00000047904.6 transcript:ENSMUST00000146390.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sstr2 description:somatostatin receptor 2 [Source:MGI Symbol;Acc:MGI:98328] MEMSSEQLNGSQVWVSSPFDLNGSLGPSNGSNQTEPYYDMTSNAVLTFIYFVVCVVGLCG NTLVIYVILRYAKMKTITNIYILNLAIADELFMLGLPFLAMQVALVHWPFGKAICRVVMT VDGINQFTSIFCLTVMSIDRYLAVVHPIKSAKWRRPRTAKMINVAVWCVSLLVILPIMIY AGLRSNQWGRSSCTINWPGESGAWYTGFIIYAFILGFLVPLTIICLCYLFIIIKVKSSGI RVGSSKRKKSEKKVTRMVSIVVAVFIFCWLPFYIFNVSSVSVAISPTPALKGMFDFVVIL TYANSCANPILYAFLSDNFKKSFQNVLCLVKVSGTEDGERSDSKQDKSRLNETTETQRTL LNGDLQTSI >ENSMUSP00000102241.1 pep:known chromosome:GRCm38:11:113619476:113625895:1 gene:ENSMUSG00000047904.6 transcript:ENSMUST00000106630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sstr2 description:somatostatin receptor 2 [Source:MGI Symbol;Acc:MGI:98328] MEMSSEQLNGSQVWVSSPFDLNGSLGPSNGSNQTEPYYDMTSNAVLTFIYFVVCVVGLCG NTLVIYVILRYAKMKTITNIYILNLAIADELFMLGLPFLAMQVALVHWPFGKAICRVVMT VDGINQFTSIFCLTVMSIDRYLAVVHPIKSAKWRRPRTAKMINVAVWCVSLLVILPIMIY AGLRSNQWGRSSCTINWPGESGAWYTGFIIYAFILGFLVPLTIICLCYLFIIIKVKSSGI RVGSSKRKKSEKKVTRMVSIVVAVFIFCWLPFYIFNVSSVSVAISPTPALKGMFDFVVIL TYANSCANPILYAFLSDNFKKSFQNVLCLVKADNSQSGAEDIIAWV >ENSMUSP00000068578.2 pep:known chromosome:GRCm38:11:113624257:113625636:1 gene:ENSMUSG00000047904.6 transcript:ENSMUST00000067591.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sstr2 description:somatostatin receptor 2 [Source:MGI Symbol;Acc:MGI:98328] MEMSSEQLNGSQVWVSSPFDLNGSLGPSNGSNQTEPYYDMTSNAVLTFIYFVVCVVGLCG NTLVIYVILRYAKMKTITNIYILNLAIADELFMLGLPFLAMQVALVHWPFGKAICRVVMT VDGINQFTSIFCLTVMSIDRYLAVVHPIKSAKWRRPRTAKMINVAVWCVSLLVILPIMIY AGLRSNQWGRSSCTINWPGESGAWYTGFIIYAFILGFLVPLTIICLCYLFIIIKVKSSGI RVGSSKRKKSEKKVTRMVSIVVAVFIFCWLPFYIFNVSSVSVAISPTPALKGMFDFVVIL TYANSCANPILYAFLSDNFKKSFQNVLCLVKADNSQSGAEDIIAWV >ENSMUSP00000118807.1 pep:known chromosome:GRCm38:18:23752339:23883696:1 gene:ENSMUSG00000024277.14 transcript:ENSMUST00000155708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapre2 description:microtubule-associated protein, RP/EB family, member 2 [Source:MGI Symbol;Acc:MGI:106271] MAVNVYSTSITQETMSRHDIIAWVNDIVSLNYTKVEQLCSGAAYCQFMDMLFPGCISLKK VKFQAKLEHEYIHNFKLLQASFKRMNVDKVIPVEKLVKGRFQDNLDFIQWFKKFYDANYD GKEYDPVEARQGQDAIPPPDPGEQIFNLPKKSHHANSPTAGAAKSSPASKPGSTPSRPSS AKRASSSGSASRSDKDLETQVIQLNEQVHSLKLALEGVEKERDFYFGKLREIELLCQEHG QENDDLVQRLMEVLYASDEQ >ENSMUSP00000114113.2 pep:known chromosome:GRCm38:18:23752393:23860637:1 gene:ENSMUSG00000024277.14 transcript:ENSMUST00000118826.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapre2 description:microtubule-associated protein, RP/EB family, member 2 [Source:MGI Symbol;Acc:MGI:106271] MAVNVYSTSITQETMSRHDIIAWVNDIVSLNYTKVEQLCSGAAYCQFMDMLFPGCISLKK VKFQAKLEHEYIHNFKLLQASFKRMNVDKVIPVEKLVKGRFQDNLDFIQWFKKFYDANYD GKEYDPVEARQGQDAIPPPDPGEQIFNLPKKSHHANSPTAGMFRGHLSFSS >ENSMUSP00000111496.1 pep:known chromosome:GRCm38:18:23753725:23892364:1 gene:ENSMUSG00000024277.14 transcript:ENSMUST00000115830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapre2 description:microtubule-associated protein, RP/EB family, member 2 [Source:MGI Symbol;Acc:MGI:106271] MKQIRDQRVIRKGPQKHHSLQQPGRVPGCSNWGMAVNVYSTSITQETMSRHDIIAWVNDI VSLNYTKVEQLCSGAAYCQFMDMLFPGCISLKKVKFQAKLEHEYIHNFKLLQASFKRMNV DKVIPVEKLVKGRFQDNLDFIQWFKKFYDANYDGKEYDPVEARQGQDAIPPPDPGEQIFN LPKKSHHANSPTAGAAKSSPASKPGSTPSRPSSAKRASSSGSASRSDKDLETQVIQLNEQ VHSLKLALEGVEKERDFYFGKLREIELLCQEHGQENDDLVQRLMEVLYASDEQEGQTEEP EAEEQAHDQQPQQQEEY >ENSMUSP00000025127.3 pep:known chromosome:GRCm38:18:23803984:23893857:1 gene:ENSMUSG00000024277.14 transcript:ENSMUST00000025127.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapre2 description:microtubule-associated protein, RP/EB family, member 2 [Source:MGI Symbol;Acc:MGI:106271] MPGPTQTLSPNGENNNDIIQDNGTIIPFRKHTVRGERSYSWGMAVNVYSTSITQETMSRH DIIAWVNDIVSLNYTKVEQLCSGAAYCQFMDMLFPGCISLKKVKFQAKLEHEYIHNFKLL QASFKRMNVDKVIPVEKLVKGRFQDNLDFIQWFKKFYDANYDGKEYDPVEARQGQDAIPP PDPGEQIFNLPKKSHHANSPTAGAAKSSPASKPGSTPSRPSSAKRASSSGSASRSDKDLE TQVIQLNEQVHSLKLALEGVEKERDFYFGKLREIELLCQEHGQENDDLVQRLMEVLYASD EQEGQTEEPEAEEQAHDQQPQQQEEY >ENSMUSP00000131142.1 pep:known chromosome:GRCm38:18:23804103:23890931:1 gene:ENSMUSG00000024277.14 transcript:ENSMUST00000165387.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mapre2 description:microtubule-associated protein, RP/EB family, member 2 [Source:MGI Symbol;Acc:MGI:106271] MPGPTQTLSPNGENNNDIIQDNGTIIPFRKHTVRGERSYRSSLLPVHGHALPGLY >ENSMUSP00000128040.1 pep:known chromosome:GRCm38:18:23752333:23893861:1 gene:ENSMUSG00000024277.14 transcript:ENSMUST00000170802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapre2 description:microtubule-associated protein, RP/EB family, member 2 [Source:MGI Symbol;Acc:MGI:106271] MAVNVYSTSITQETMSRHDIIAWVNDIVSLNYTKVEQLCSGAAYCQFMDMLFPGCISLKK VKFQAKLEHEYIHNFKLLQASFKRMNVDKVIPVEKLVKGRFQDNLDFIQWFKKFYDANYD GKEYDPVEARQGQDAIPPPDPGEQIFNLPKKSHHANSPTAGAAKSSPASKPGSTPSRPSS AKRASSSGSASRSDKDLETQVIQLNEQVHSLKLALEGVEKERDFYFGKLREIELLCQEHG QENDDLVQRLMEVLYASDEQEGQTEEPEAEEQAHDQQPQQQEEY >ENSMUSP00000087742.4 pep:known chromosome:GRCm38:2:114009601:114013619:-1 gene:ENSMUSG00000068615.4 transcript:ENSMUST00000090275.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjd2 description:gap junction protein, delta 2 [Source:MGI Symbol;Acc:MGI:1334209] MGEWTILERLLEAAVQQHSTMIGRILLTVVVIFRILIVAIVGETVYDDEQTMFVCNTLQP GCNQACYDRAFPISHIRYWVFQIIMVCTPSLCFITYSVHQSAKQRERRYSTVFLALDRDP AESIGGPGGTGGGGSGGSKREDKKLQNAIVNGVLQNTETTSKETEPDCLEVKELTPHPSG LRTAARSKLRRQEGISRFYIIQVVFRNALEIGFLVGQYFLYGFSVPGLYECNRYPCIKEV ECYVSRPTEKTVFLVFMFAVSGICVVLNLAELNHLGWRKIKLAVRGAQAKRKSVYEIRNK DLPRVSVPNFGRTQSSDSAYV >ENSMUSP00000119191.1 pep:known chromosome:GRCm38:16:17759618:17771827:1 gene:ENSMUSG00000022750.17 transcript:ENSMUST00000129199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl22 description:kelch-like 22 [Source:MGI Symbol;Acc:MGI:1337995] MAEEQDFAQLCRLPTQPSHSHCVNNTYRSTQHSQALLRGLLALRDSGILFDVVLVVEGKH IEAHRILLAASCDYFRGMFAGGLKEMEQEEVLIHGVSYNAMCQILHFIYTSELELSL >ENSMUSP00000122145.1 pep:known chromosome:GRCm38:16:17759638:17771869:1 gene:ENSMUSG00000022750.17 transcript:ENSMUST00000140306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl22 description:kelch-like 22 [Source:MGI Symbol;Acc:MGI:1337995] MAEEQDFAQLCRLPTQPSHSHCVNNTYRSTQHSQALLRGLLALRDSGILFDVVLVVEGKH IEAHRILLAASCDYFRGMFAGGLKEMEQEEVLIHGVSYNAMCQILHFIYTSELELSLSNV QETLVAACQLQ >ENSMUSP00000114115.1 pep:known chromosome:GRCm38:16:17759671:17793380:1 gene:ENSMUSG00000022750.17 transcript:ENSMUST00000117192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl22 description:kelch-like 22 [Source:MGI Symbol;Acc:MGI:1337995] MAEEQDFAQLCRLPTQPSHSHCVNNTYRSTQHSQALLRGLLALRDSGILFDVVLVVEGKH IEAHRILLAASCDYFRGMFAGGLKEMEQEEVLIHGVSYNAMCQILHFIYTSELELSLSNV QETLVAACQLQIPEIIHFCCDFLMSWVDEENILDVYRLADLFDLNHLTQQLDTYILKNFV AFSRTDKYRQLPLEKVYSLLSSNRLEVSCETEVYEGALLYHYSLEQVQADQISLNEPPKL LETVRFPLMEAEVLQRLHDKLGPSPLRDTVASALMYHRNEILQPSLQGPQTELRSDFQCV VGFGGIHSTPSTILSDQAKYLNPLLGEWKHFTASLAPRMSNQGIAVLNNFVYLIGGDNNV QGFRAESRCWRYDPRHNRWFQIQSLQQEHADLCVCVVGKYIYAVAGRDYHNDLSAVERYD PATNSWDYVAPLKKEVYAHAGTTLQGKMYITCGRRGEDYLKETHCYDPGSNTWHTLADGP VRRAWHGMAALLDKLFVIGGSNNDAGYRRDVHQVACYSCTSRQWSSVCPLPAGHGEPGIA VLDSRIYVLGGRSHNRGSRTGYVHIYDMEKDCWEEGPQLNNSISGLAACVLTLPRSLLHE QPRGTPNRSQADADFASEVMSVSDWEEDPGESTPSHSQSLWLPFCEPWSVW >ENSMUSP00000118696.1 pep:known chromosome:GRCm38:16:17760355:17771820:1 gene:ENSMUSG00000022750.17 transcript:ENSMUST00000126600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl22 description:kelch-like 22 [Source:MGI Symbol;Acc:MGI:1337995] MAEEQDFAQLCRLPTQPSHSHCVNNTYRSTQHSQALLRGLLALRDSGILFDVVLVVEGKH IEAHRILLAASCDYFRGMFAGGLKEMEQEEVLIHGVSYNAMCQILHFIYTSELEL >ENSMUSP00000112412.1 pep:known chromosome:GRCm38:16:17762791:17793382:1 gene:ENSMUSG00000022750.17 transcript:ENSMUST00000120488.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl22 description:kelch-like 22 [Source:MGI Symbol;Acc:MGI:1337995] MAEEQDFAQLCRLPTQPSHSHCVNNTYRSTQHSQALLRGLLALRDSGILFDVVLVVEGKH IEAHRILLAASCDYFRGMFAGGLKEMEQEEVLIHGVSYNAMCQILHFIYTSELELSLSNV QETLVAACQLQIPEIIHFCCDFLMSWVDEENILDVYRLADLFDLNHLTQQLDTYILKNFV AFSRTDKYRQLPLEKVYSLLSSNRLEVSCETEVYEGALLYHYSLEQVQADQISLNEPPKL LETVRFPLMEAEVLQRLHDKLGPSPLRDTVASALMYHRNEILQPSLQGPQTELRSDFQCV VGFGGIHSTPSTILSDQAKYLNPLLGEWKHFTASLAPRMSNQGIAVLNNFVYLIGGDNNV QGFRAESRCWRYDPRHNRWFQIQSLQQEHADLCVCVVGKYIYAVAGRDYHNDLSAVERYD PATNSWDYVAPLKKEVYAHAGTTLQGKMYITCGRRGEDYLKETHCYDPGSNTWHTLADGP VRRAWHGMAALLDKLFVIGGSNNDAGYRRDVHQVACYSCTSRQWSSVCPLPAGHGEPGIA VLDSRIYVLGGRSHNRGSRTGYVHIYDMEKDCWEEGPQLNNSISGLAACVLTLPRSLLHE QPRGTPNRSQADADFASEVMSVSDWEEFDNSSED >ENSMUSP00000127227.1 pep:known chromosome:GRCm38:16:17759621:17793380:1 gene:ENSMUSG00000022750.17 transcript:ENSMUST00000165790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl22 description:kelch-like 22 [Source:MGI Symbol;Acc:MGI:1337995] MAEEQDFAQLCRLPTQPSHSHCVNNTYRSTQHSQALLRGLLALRDSGILFDVVLVVEGKH IEAHRILLAASCDYFRGMFAGGLKEMEQEEVLIHGVSYNAMCQILHFIYTSELELSLSNV QETLVAACQLQIPEIIHFCCDFLMSWVDEENILDVYRLADLFDLNHLTQQLDTYILKNFV AFSRTDKYRQLPLEKVYSLLSSNRLEVSCETEVYEGALLYHYSLEQVQADQISLNEPPKL LETVRFPLMEAEVLQRLHDKLGPSPLRDTVASALMYHRNEILQPSLQGPQTELRSDFQCV VGFGGIHSTPSTILSDQAKYLNPLLGEWKHFTASLAPRMSNQGIAVLNNFVYLIGGDNNV QGFRAESRCWRYDPRHNRWFQIQSLQQEHADLCVCVVGKYIYAVAGRDYHNDLSAVERYD PATNSWDYVAPLKKEVYAHAGTTLQGKMYITCGRRGEDYLKETHCYDPGSNTWHTLADGP VRRAWHGMAALLDKLFVIGGSNNDAGYRRDVHQVACYSCTSRQWSSVCPLPAGHGEPGIA VLDSRIYVLGGRSHNRGSRTGYVHIYDMEKDCWEEGPQLNNSISGLAACVLTLPRSLLHE QPRGTPNRSQADADFASEVMSVSDWEEFDNSSED >ENSMUSP00000087736.6 pep:known chromosome:GRCm38:2:114047282:114052887:-1 gene:ENSMUSG00000068614.7 transcript:ENSMUST00000090269.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actc1 description:actin, alpha, cardiac muscle 1 [Source:MGI Symbol;Acc:MGI:87905] MCDDEETTALVCDNGSGLVKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEA QSKRGILTLKYPIEHGIITNWDDMEKIWHHTFYNELRVAPEEHPTLLTEAPLNPKANREK MTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRL DLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEK SYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNV LSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWIS KQEYDEAGPSIVHRKCF >ENSMUSP00000123370.1 pep:known chromosome:GRCm38:2:114051903:114053548:-1 gene:ENSMUSG00000068614.7 transcript:ENSMUST00000149125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actc1 description:actin, alpha, cardiac muscle 1 [Source:MGI Symbol;Acc:MGI:87905] MCDDEETTALVCDNGSGLVKAGFAGDDAPRAVFPSIVGRPRHQ >ENSMUSP00000026498.4 pep:known chromosome:GRCm38:17:40293758:40319207:-1 gene:ENSMUSG00000025431.4 transcript:ENSMUST00000026498.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crisp1 description:cysteine-rich secretory protein 1 [Source:MGI Symbol;Acc:MGI:102553] MALMLVLFFLAAVLPPSLLQDSSQENRLEKLSTTKMSVQEEIVSKHNQLRRMVSPSGSDL LKMEWNYDAQVNAQQWADKCTFSHSPIELRTTNLRCGENLFMSSYLASWSSAIQGWYNEY KDLTYDVGPKQPDSVVGHYTQVVWNSTFQVACGVAECPKNPLRYYYVCHYCPVGNYQGRL YTPYTAGEPCASCPDHCEDGLCTNSCGHEDKYTNCKYLKKMLSCEHELLKKGCKATCLCE GKIH >ENSMUSP00000018805.8 pep:known chromosome:GRCm38:11:113649169:113662947:1 gene:ENSMUSG00000018661.17 transcript:ENSMUST00000018805.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog1 description:component of oligomeric golgi complex 1 [Source:MGI Symbol;Acc:MGI:1333873] MAAATASSALKRLDLRDPNALFETHGAEEIRGLERQVRAEIEHKKEELRQMVGERYRDLI EAADTIGQMRRCAEGLVDAVQATDQYCARLRQAGSVAPRVPRAPQPQPPSEKFYSMAAQI KLLLEIPEKIWSAMEASQHLQATQLYLLCCHLHSLLQLDSSNSRYSPILSRFPILIRQVA AASHFRSTILHESKMLLKCQAVSDQAVAEALCSIMLLEESSPRQALTDFLLARKATIQTL LNQSHHGAGIKAQICSLVELLATTLNQAHALFYTLPEGVLPDPSLPCGLLFSTLETVTRQ HPTGKGIGALQGEMKLCSWFRHLPTSIIEFQPTLRTLAHPISQEYLKDTLQKWIDMCNED IKNGIGNLLMYVKSMKGLAGIRDAIWDLLSNESASHSWEVVCQRLLEKPLLFWEDLMQQL FLDRLQTLTREGFESISNSSKELLVSALQELETNNSTSNKHVHFEQNMSFFLWSESPNDL PSDAAWVSVANRAQFASSGLSMKAQAISPCVQNFCSALDSKLKVKLDDLLAYLPSSDTPL LKDTTPTHQPKNSAFDRYADAGTVQDMLRTQSVACIKSVVGCIQAELCTIEEVTREQKDV LHSTKLHAVLFMARLCQSLGELCPHLKQCVVGQCGGSEKPAREARALKKQGKGRAQDVLP AQAQWQGVKEVLLQQSVMAYRVWSTALVKFLICGFTRSLLLRDAGSVLATATNWDELEIQ EETESGSSVTSKIRLPTQPSWYVQSFLFSLCQEVNRVGGHALPKVTLQEMLKTCMAQVIA AYEQLTEENQIKKEGAFPMTQNRALQLLYDLRYLTMVLSSKGEEVKSGRSKADSRMEKMT ERLEALIDPFDLDVFTPHLNSNLNRLVQRTSVLFGLVTGTENQFASRSSTFNSQEPHNIL PLASSQIRFGLLPLSMTSTRKARATSRSVETQAQVGPPALSRVGDPTTHPGSLFRQLASE EDDSPAPSLFKLAWLSSMTK >ENSMUSP00000068394.7 pep:known chromosome:GRCm38:11:113649328:113662399:1 gene:ENSMUSG00000018661.17 transcript:ENSMUST00000063776.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog1 description:component of oligomeric golgi complex 1 [Source:MGI Symbol;Acc:MGI:1333873] MAAATASSALKRLDLRDPNALFETHGAEEIRGLERQVRAEIEHKKEELRQMVGERYRDLI EAADTIGQMRRCAEGLVDAVQATDQYCARLRQAGSVAPRVPRAPQFLICGFTRSLLLRDA GSVLATATNWDELEIQEETESGSSVTSKIRLPTQPSWYVQSFLFSLCQEVNRVGGHALPK VTLQEMLKTCMAQVIAAYEQLTEENQIKKEGAFPMTQNRALQLLYDLRYLTMVLSSKGEE VKSGRSKADSRMEKMTERLEALIDPFDLDVFTPHLNSNLNRLVQRTSVLFGLVTGTENQF ASRSSTFNSQEPHNILPLASSQIRFGLLPLSMTSTRKARATSRSVETQAQVGPPALSRVG DPTTHPGSLFRQLASEEDDSPAPSLFKLAWLSSMTK >ENSMUSP00000115773.1 pep:known chromosome:GRCm38:11:113657375:113667054:1 gene:ENSMUSG00000018661.17 transcript:ENSMUST00000148736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog1 description:component of oligomeric golgi complex 1 [Source:MGI Symbol;Acc:MGI:1333873] PSWYVQSFLFSLCQEVNRVGGHALPKVTLQEMLKTCMAQVIAAYEQLTEENQIKKEGAFP MTQNRALQLLYDLRYLTMVLSSKGEEVKSGRSKADSRMEKMTERLEALIDPFDLDVFTPH LNSNLNRLVQRTSVLFGLVTGTENQFASRSSTFNSQEPHNILPLASSQIRFGLLPLSMTS TRKARATSRSVETQAQFHKRKWGSVAKPLPKAVCRPG >ENSMUSP00000119202.1 pep:known chromosome:GRCm38:11:113657512:113661629:1 gene:ENSMUSG00000018661.17 transcript:ENSMUST00000142069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog1 description:component of oligomeric golgi complex 1 [Source:MGI Symbol;Acc:MGI:1333873] XLTEENQIKKEGAFPMTQNRALQLLYDLRYLTMVLSSKGEEVKSGRSKADSRMEKMTERL EALIDPFDLDVFTPHLNSNLNRLVQRTSVLFGLVTGTENQFASRSSTFNSQEPHNILPLA SSQIRFGLLPLSMTSTRKARATSRSVETQAQVSAKRGSRGSSNPSLTQLPTPHQLLEITN QLGIIRQCTKAAFNGGAIECTGFH >ENSMUSP00000123001.1 pep:known chromosome:GRCm38:11:113657530:113661665:1 gene:ENSMUSG00000018661.17 transcript:ENSMUST00000134418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog1 description:component of oligomeric golgi complex 1 [Source:MGI Symbol;Acc:MGI:1333873] XIKKEGAFPMTQNRALQLLYDLRYLTMVLSSKGEEVKSGRSKADSRMEKMTERLEALIDP FDLDVFTPHLNSNLNRLVQRTSAQRISLPPGAALSTPRSPITYCRWPPVRSGLDSFH >ENSMUSP00000117223.1 pep:known chromosome:GRCm38:11:113658578:113662400:1 gene:ENSMUSG00000018661.17 transcript:ENSMUST00000152653.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cog1 description:component of oligomeric golgi complex 1 [Source:MGI Symbol;Acc:MGI:1333873] KSGRSKADSRMEKMTERLEALIDPFDLDVFTPHLNSNLNRLVQRTSAQRISLPPGAALST PRSPITYCRWPPVRSGLDSFH >ENSMUSP00000119050.1 pep:known chromosome:GRCm38:11:113659283:113662400:1 gene:ENSMUSG00000018661.17 transcript:ENSMUST00000137878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog1 description:component of oligomeric golgi complex 1 [Source:MGI Symbol;Acc:MGI:1333873] XERLEALIDPFDLDVFTPHLNSNLNRLVQRTSVLFGLVTGTENQFASRSSTFNSQEPHNI LPLASSQIRFGLLPLSMTSTRKARATSRSVETQAQDTVH >ENSMUSP00000071435.6 pep:known chromosome:GRCm38:5:26817357:27726460:1 gene:ENSMUSG00000061576.15 transcript:ENSMUST00000071500.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp6 description:dipeptidylpeptidase 6 [Source:MGI Symbol;Acc:MGI:94921] MNQTAGASNNVRCPPGKGHKELVGSNPPQRNWKGIAIALLVILVICSLIVTSVILLTPAE DTSLSQKKKVTVEDLFSEDFKIHDPEAKWISNKEFIYRERKGSVILRNVETNNSTVLIEG KKIESLRAIRYEISPDKEYVLFSYNVEPVYQHSHTGYYVLSKIPHGDPQSLDPPEVSNAK LQYAGWGPKGQQLIFIFENNIYYCAHVGKQAIRVVSTGKEGVIYNGLSDWLYEEEILKSH IAHWWSPDGTRLAYATINDSRVPLMELPTYTGSVYPTVKPYHYPKAGSENPSISLHVIGL NGPTHDLEMMPPDDPRMREYYITMVKWATSTKVAVTWLNRAQNVSILTLCDATTGVCTKK HEDESEAWLHRQNEEPVFSKDGRKFFFVRAIPQGGRGKFYHITVSSSQPNSSNDNIQSIT SGDWDVTKILSYDEKRNKIYFLSTEDLPRRRHLYSANTVDDFNRQCLSCDLVENCTYVSA SFSHNMDFFLLKCEGPGVPTVTVHNTTDKRRMFDLEANEEVQKAINDRQMPKIEYRKIEV EDYSLPMQILKPATFTDTAHYPLLLVVDGTPGSQSVTERFEVTWETVLVSSHGAVVVKCD GRGSGFQGTKLLQEVRRRLGFLEEKDQMEAVRTMLKEQYIDKTRVAVFGKDYGGYLSTYI LPAKGENQGQTFTCGSALSPITDFKLYASAFSERYLGLHGLDNRAYEMTKLAHRVSALED QQFLIIHATADEKIHFQHTAELITQLIKGKANYSLQIYPDESHYFHSVALKQHLSRSIIG FFVECFRVQDKLPTATAKEEEEED >ENSMUSP00000113849.1 pep:known chromosome:GRCm38:5:26904695:27727505:1 gene:ENSMUSG00000061576.15 transcript:ENSMUST00000120555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp6 description:dipeptidylpeptidase 6 [Source:MGI Symbol;Acc:MGI:94921] MKEKAMIKTAKMQGNVMELVGSNPPQRNWKGIAIALLVILVICSLIVTSVILLTPAEDTS LSQKKKVTVEDLFSEDFKIHDPEAKWISNKEFIYRERKGSVILRNVETNNSTVLIEGKKI ESLRAIRYEISPDKEYVLFSYNVEPVYQHSHTGYYVLSKIPHGDPQSLDPPEVSNAKLQY AGWGPKGQQLIFIFENNIYYCAHVGKQAIRVVSTGKEGVIYNGLSDWLYEEEILKSHIAH WWSPDGTRLAYATINDSRVPLMELPTYTGSVYPTVKPYHYPKAGSENPSISLHVIGLNGP THDLEMMPPDDPRMREYYITMVKWATSTKVAVTWLNRAQNVSILTLCDATTGVCTKKHED ESEAWLHRQNEEPVFSKDGRKFFFVRAIPQGGRGKFYHITVSSSQPNSSNDNIQSITSGD WDVTKILSYDEKRNKIYFLSTEDLPRRRHLYSANTVDDFNRQCLSCDLVENCTYVSASFS HNMDFFLLKCEGPGVPTVTVHNTTDKRRMFDLEANEEVQKAINDRQMPKIEYRKIEVEDY SLPMQILKPATFTDTAHYPLLLVVDGTPGSQSVTERFEVTWETVLVSSHGAVVVKCDGRG SGFQGTKLLQEVRRRLGFLEEKDQMEAVRTMLKEQYIDKTRVAVFGKDYGGYLSTYILPA KGENQGQTFTCGSALSPITDFKLYASAFSERYLGLHGLDNRAYEMTKLAHRVSALEDQQF LIIHATADEKIHFQHTAELITQLIKGKANYSLQIYPDESHYFHSVALKQHLSRSIIGFFV ECFRVQDKLPTATAKEEEEED >ENSMUSP00000113441.1 pep:known chromosome:GRCm38:5:27049199:27727501:1 gene:ENSMUSG00000061576.15 transcript:ENSMUST00000122171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp6 description:dipeptidylpeptidase 6 [Source:MGI Symbol;Acc:MGI:94921] MASLYQRFTGKINTSRSFPAPPEASRLLGGQGPEEDAGPKPLGPQAQAVAPRERGGAGGR PRFQYQARSDCDEEDELVGSNPPQRNWKGIAIALLVILVICSLIVTSVILLTPAEDTSLS QKKKVTVEDLFSEDFKIHDPEAKWISNKEFIYRERKGSVILRNVETNNSTVLIEGKKIES LRAIRYEISPDKEYVLFSYNVEPVYQHSHTGYYVLSKIPHGDPQSLDPPEVSNAKLQYAG WGPKGQQLIFIFENNIYYCAHVGKQAIRVVSTGKEGVIYNGLSDWLYEEEILKSHIAHWW SPDGTRLAYATINDSRVPLMELPTYTGSVYPTVKPYHYPKAGSENPSISLHVIGLNGPTH DLEMMPPDDPRMREYYITMVKWATSTKVAVTWLNRAQNVSILTLCDATTGVCTKKHEDES EAWLHRQNEEPVFSKDGRKFFFVRAIPQGGRGKFYHITVSSSQPNSSNDNIQSITSGDWD VTKILSYDEKRNKIYFLSTEDLPRRRHLYSANTVDDFNRQCLSCDLVENCTYVSASFSHN MDFFLLKCEGPGVPTVTVHNTTDKRRMFDLEANEEVQKAINDRQMPKIEYRKIEVEDYSL PMQILKPATFTDTAHYPLLLVVDGTPGSQSVTERFEVTWETVLVSSHGAVVVKCDGRGSG FQGTKLLQEVRRRLGFLEEKDQMEAVRTMLKEQYIDKTRVAVFGKDYGGYLSTYILPAKG ENQGQTFTCGSALSPITDFKLYASAFSERYLGLHGLDNRAYEMTKLAHRVSALEDQQFLI IHATADEKIHFQHTAELITQLIKGKANYSLQIYPDESHYFHSVALKQHLSRSIIGFFVEC FRVQDKLPTATAKEEEEED >ENSMUSP00000115944.1 pep:known chromosome:GRCm38:5:27261975:27399011:1 gene:ENSMUSG00000061576.15 transcript:ENSMUST00000148039.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dpp6 description:dipeptidylpeptidase 6 [Source:MGI Symbol;Acc:MGI:94921] MTTAKEPSASGKSVQQQDQMRYNQRPHCSDFPSMEDYTLN >ENSMUSP00000099012.3 pep:known chromosome:GRCm38:5:27261975:27727500:1 gene:ENSMUSG00000061576.15 transcript:ENSMUST00000101471.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp6 description:dipeptidylpeptidase 6 [Source:MGI Symbol;Acc:MGI:94921] MTTAKEPSASGKSVQQQDQELVGSNPPQRNWKGIAIALLVILVICSLIVTSVILLTPAED TSLSQKKKVTVEDLFSEDFKIHDPEAKWISNKEFIYRERKGSVILRNVETNNSTVLIEGK KIESLRAIRYEISPDKEYVLFSYNVEPVYQHSHTGYYVLSKIPHGDPQSLDPPEVSNAKL QYAGWGPKGQQLIFIFENNIYYCAHVGKQAIRVVSTGKEGVIYNGLSDWLYEEEILKSHI AHWWSPDGTRLAYATINDSRVPLMELPTYTGSVYPTVKPYHYPKAGSENPSISLHVIGLN GPTHDLEMMPPDDPRMREYYITMVKWATSTKVAVTWLNRAQNVSILTLCDATTGVCTKKH EDESEAWLHRQNEEPVFSKDGRKFFFVRAIPQGGRGKFYHITVSSSQPNSSNDNIQSITS GDWDVTKILSYDEKRNKIYFLSTEDLPRRRHLYSANTVDDFNRQCLSCDLVENCTYVSAS FSHNMDFFLLKCEGPGVPTVTVHNTTDKRRMFDLEANEEVQKAINDRQMPKIEYRKIEVE DYSLPMQILKPATFTDTAHYPLLLVVDGTPGSQSVTERFEVTWETVLVSSHGAVVVKCDG RGSGFQGTKLLQEVRRRLGFLEEKDQMEAVRTMLKEQYIDKTRVAVFGKDYGGYLSTYIL PAKGENQGQTFTCGSALSPITDFKLYASAFSERYLGLHGLDNRAYEMTKLAHRVSALEDQ QFLIIHATADEKIHFQHTAELITQLIKGKANYSLQIYPDESHYFHSVALKQHLSRSIIGF FVECFRVQDKLPTATAKEEEEED >ENSMUSP00000090828.5 pep:known chromosome:GRCm38:11:49901835:49980222:1 gene:ENSMUSG00000020374.16 transcript:ENSMUST00000093141.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgef1c description:RasGEF domain family, member 1C [Source:MGI Symbol;Acc:MGI:1921813] MPRTLTASDMVTPGSLSPPPTESTEGEQAGQPLLDGAPSSASLDTLIQHLVPTADYYPEA RVRKFGAKLLQLLAEWTETFPRDFEEESTIGHLTDVVGRISPCDETYGSRVHQLLQTLHQ KLASLGQGPESLVGADKPISYRTKPPASIHRELLGVCSDPYTLAQQLTHVELERLRHIGP EEFVQAFVNKDPLAGTKPRFSDKTNNVEAYVKWFNRLCYLVATEICMPAKKKQRAQVIEF FIDVARECFNIGNFNSLMAIISGMNMSPVSRLKKTWAKVKTAKFFILEHQMDPTGNFCNY RTALRGAAHRSLTAHSSREKIVIPFFSLLIKDIYFLNEGCANRLPNGHVNFEKFLELAKQ VGEFITWKQVECPFEQDPSITHYLYTAPIFSEDGLYLASYESESPESQTEKERWKSLRSS ILGKT >ENSMUSP00000090829.5 pep:known chromosome:GRCm38:11:49901855:49980215:1 gene:ENSMUSG00000020374.16 transcript:ENSMUST00000093142.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgef1c description:RasGEF domain family, member 1C [Source:MGI Symbol;Acc:MGI:1921813] MPRTLTASDMVTPGSLSPPPTESTEGEQAGQPLLDGAPSSASLDTLIQHLVPTADYYPEK AYIFTFLLSSRLFIEPRELLARVCHLCIEQQQLDKPVLDKARVRKFGAKLLQLLAEWTET FPRDFEEESTIGHLTDVVGRISPCDETYGSRVHQLLQTLHQKLASLGQGPESLVGADKPI SYRTKPPASIHRELLGVCSDPYTLAQQLTHVELERLRHIGPEEFVQAFVNKDPLAGTKPR FSDKTNNVEAYVKWFNRLCYLVATEICMPAKKKQRAQVIEFFIDVARECFNIGNFNSLMA IISGMNMSPVSRLKKTWAKVKTAKFFILEHQMDPTGNFCNYRTALRGAAHRSLTAHSSRE KIVIPFFSLLIKDIYFLNEGCANRLPNGHVNFEKFLELAKQVGEFITWKQVECPFEQDPS ITHYLYTAPIFSEDGLYLASYESESPESQTEKERWKSLRSSILGKT >ENSMUSP00000065619.2 pep:known chromosome:GRCm38:11:49902161:49980994:1 gene:ENSMUSG00000020374.16 transcript:ENSMUST00000063444.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgef1c description:RasGEF domain family, member 1C [Source:MGI Symbol;Acc:MGI:1921813] MAGMPRTLTASDMVTPGSLSPPPTESTEGEQAGQPLLDGAPSSASLDTLIQHLVPTADYY PEKAYIFTFLLSSRLFIEPRELLARVCHLCIEQQQLDKPVLDKARVRKFGAKLLQLLAEW TETFPRDFEEESTIGHLTDVVGRISPCDETYGSRVHQLLQTLHQKLASLGQGPESLVGAD KPISYRTKPPASIHRELLGVCSDPYTLAQQLTHVELERLRHIGPEEFVQAFVNKDPLAGT KPRFSDKTNNVEAYVKWFNRLCYLVATEICMPAKKKQRAQVIEFFIDVARECFNIGNFNS LMAIISGMNMSPVSRLKKTWAKVKTAKFFILEHQMDPTGNFCNYRTALRGAAHRSLTAHS SREKIVIPFFSLLIKDIYFLNEGCANRLPNGHVNFEKFLELAKQVGEFITWKQVECPFEQ DPSITHYLYTAPIFSEDGLYLASYESESPESQTEKERWKSLRSSILGKT >ENSMUSP00000115214.1 pep:known chromosome:GRCm38:16:16883442:16892973:1 gene:ENSMUSG00000022779.14 transcript:ENSMUST00000156951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3b description:topoisomerase (DNA) III beta [Source:MGI Symbol;Acc:MGI:1333803] XLDSSLISFGPCQTPTLGFCVERHDKIQSFKPETYWVLQAKVHTDKEESLLLDWDRVRVF DWEIAQMFLNMTKLEKEAWVEATSRKEKAKQRPLALNTVEMLRVASSALGMGPQHAMQIA ERLYTQGYISYPRTETTHYPENFDLKGSLRQQANHPYWADSVKQLLAEGINRPRKGHDAG DHPPITPMKSATEAELGGDAWRLYEYITRHFIATVSHDCKYLQSTISFRIGPEHFTCMGK TVISPGFTEIMPWQSVPLEESLPTCQKGDTFTVGEVKMLEKQTSPPDYLTEAELITLMEK HGIGTDASIPVHINNICQRNYVTVESGRRLKPTNLGIVLVHGYYKIDAELVLPTIRSAVE KQLNLIAQGKADYHQVLGHTLDIFKRKFHYFVDSIAGMDELMEVSFSPLAATGKPLSRCG KCHRFMKYIQAKPSRLHCSHCDETYTLPQNGTIKLYKELRCPLDDFELVLWSSGSRGKSY PLCPYCYNHPPFRDMKKGECGMWVSSGSYLAYSSEVLYPSITTCVHW >ENSMUSP00000023465.7 pep:known chromosome:GRCm38:16:16870781:16892990:1 gene:ENSMUSG00000022779.14 transcript:ENSMUST00000023465.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3b description:topoisomerase (DNA) III beta [Source:MGI Symbol;Acc:MGI:1333803] MKTVLMVAEKPSLAQSIAKILSRGNMSSHKGLNGACSVHKYTGTFAGQPVHFKMTSVCGH VMTLDFLGKYNKWDKVDPAELFSQAPTEKKEANPKLNMVKFLQVEGRGCDYVVLWLDCDK EGENICFEVLDAVLPVMNNAHNGEKTVFRARFSSITDTDICNAMTRLSEPDHNEALSVDA RQELDLRIGCAFTRFQTKYFQGKYGDLDSSLISFGPCQTPTLGFCVERHDKIQSFKPETY WVLQAKVHTDKEESLLLDWDRVRVFDWEIAQMFLNMTKLEKEAWVEATSRKEKAKQRPLA LNTVEMLRVASSALGMGPQHAMQIAERLYTQGYISYPRTETTHYPENFDLKGSLRQQANH PYWADSVKQLLAEGINRPRKGHDAGDHPPITPMKSATEAELGGDAWRLYEYITRHFIATV SHDCKYLQSTISFRIGPEHFTCMGKTVISPGFTEIMPWQSVPLEESLPTCQKGDTFTVGE VKMLEKQTSPPDYLTEAELITLMEKHGIGTDASIPVHINNICQRNYVTVESGRRLKPTNL GIVLVHGYYKIDAELVLPTIRSAVEKQLNLIAQGKADYHQVLGHTLDIFKRKFHYFVDSI AGMDELMEVSFSPLAATGKPLSRCGKCHRFMKYIQAKPSRLHCSHCDETYTLPQNGTIKL YKELRCPLDDFELVLWSSGSRGKSYPLCPYCYNHPPFRDMKKGMGCNECTHPTCQHSLSM LGIGQCVECENGVLVLDPTSGPKWKVACNTCNVVAHCFENAHRVRVSADTCNTCEAALLD VDFNKAKSPLPGNETQHTGCIFCDPVFQELVELKHAASCHPMHRGGPGRRQGRGRGRGRR PPGKPNPRRPKDKMSALAAYFV >ENSMUSP00000118398.1 pep:known chromosome:GRCm38:16:16870736:16885849:1 gene:ENSMUSG00000022779.14 transcript:ENSMUST00000139740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3b description:topoisomerase (DNA) III beta [Source:MGI Symbol;Acc:MGI:1333803] MKTVLMVAEKPSLAQSIAKILSRGNMSSHKGLNGACSVHKYTGTFAGQPVHFKMTSVCGH VMTLDFLGKYNKWDKVDPAELFSQAPTEKKEANPKLNMVKFLQVEGRGCDYVVLWLDCDK EGENICFEVLDAVLPVMNNAHNGEKTVFRARFSSITDTDICNAMTRLSEPDHNEALSVDA RQELDLRIGCAFTRFQTKYFQGKYGDLDSSLISFGPCQTPTLGFCVERHDKIQSFKPETY WVLQAKVHTDKEESLLLDWDRVRVFDWEIAQMFLNMTKLEKEAWVEATS >ENSMUSP00000112913.2 pep:known chromosome:GRCm38:16:16870757:16892985:1 gene:ENSMUSG00000022779.14 transcript:ENSMUST00000119787.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3b description:topoisomerase (DNA) III beta [Source:MGI Symbol;Acc:MGI:1333803] MKTVLMVAEKPSLAQSIAKILSRGNMSSHKGLNGACSVHKYTGTFAGQPVHFKMTSVCGH VMTLDFLGKYNKWDKVDPAELFSQAPTEKKEANPKLNMVKFLQVEGRGCDYVVLWLDCDK EGENICFEVLDAVLPVMNNAHNGEKTVFRARFSSITDTDICNAMTRLSEPDHNEALSVDA RQELDLRIGCAFTRFQTKYFQGKYGDLDSSLISFGPCQTPTLGFCVERHDKIQSFKPETY WVLQAKVHTDKEESLLLDWDRVRVFDWEIAQMFLNMTKLEKEAWVEATSRKEKAKQRPLA LNTVEMLRVASSALGMGPQHAMQIAERLYTQGYISYPRTETTHYPENFDLKGSLRQQANH PYWADSVKQLLAEGINRPRKGHDAGDHPPITPMKSATEAELGGDAWRLYEYITRHFIATV SHDCKYLQSTISFRIGPEHFTCMGKTVISPGFTEIMPWQSVPLEESLPTCQKGDTFTVGE VKMLEKQTSPPDYLTEAELITLMEKHGIGTDASIPVHINNICQRNYVTVESGRRLKPTNL GIVLVHGYYKIDAELVLPTIRSAVEKQLNLIAQGKADYHQVLGHTLDIFKRKFHYFVDSI AGMDELMEVSFSPLAATGKPLSRCGKCHRFMKYIQAKPSRLHCSHCDETYTLPQNGTIKL YKELRCPLDDFELVLWSSGSRGKSYPLCPYCYNHPPFRDMKKGMGCNECTHPTCQHSLSM LGIGQCVECENGVLVLDPTSGPKWKVACNTCNVVAHCFENAHRVRVSADTCNTCEAALLD VDFNKAKSPLPGNETQHTGCIFCDPVFQELVELKHAASCHPMHRGGPGRRQGRGRGRGRR PPGKPNPRRPKDKMSALAAYFV >ENSMUSP00000117491.1 pep:known chromosome:GRCm38:16:16890226:16892500:1 gene:ENSMUSG00000022779.14 transcript:ENSMUST00000124185.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3b description:topoisomerase (DNA) III beta [Source:MGI Symbol;Acc:MGI:1333803] XRKFHYFVDSIAGMDELMEVSFSPLAATGKPLSRCGKCHRFMKYIQAKPSRLHCSHCDET YTLPQNGTIKLYKELRCPLDDFELVLWSSGSRGKSYPLCPYCYNHPPFRDMKKVSYSAQC SKQVTGMGCNECTHPTCQHSLSMLGIGQCVECEN >ENSMUSP00000117906.1 pep:known chromosome:GRCm38:16:16870767:16879325:1 gene:ENSMUSG00000022779.14 transcript:ENSMUST00000130650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3b description:topoisomerase (DNA) III beta [Source:MGI Symbol;Acc:MGI:1333803] MKTVLMVAEKPSLAQSIAKILSRGNMSSHKGLNGACSVHKYTGTFAGQPVHFKMTSVCGH VMTLDFLGK >ENSMUSP00000118897.1 pep:known chromosome:GRCm38:16:16870787:16880649:1 gene:ENSMUSG00000022779.14 transcript:ENSMUST00000124960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3b description:topoisomerase (DNA) III beta [Source:MGI Symbol;Acc:MGI:1333803] MKTVLMVAEKPSLAQSIAKILSRGNMSSHKGLNGACSVHKYTGTFAGQPVHFKMTSVCGH VMTLDFLGKYNKWDKVDPAELFSQAPTEKKEANPKLNMVKFLQVEGRGCDYVVLWLDC >ENSMUSP00000121645.1 pep:known chromosome:GRCm38:16:16870807:16879052:1 gene:ENSMUSG00000022779.14 transcript:ENSMUST00000144513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3b description:topoisomerase (DNA) III beta [Source:MGI Symbol;Acc:MGI:1333803] MKTVLMVAEKPSLAQSIAKILSRGNMSSHKGLNGACSVHKYTGTFAGQPVHFKMT >ENSMUSP00000122277.1 pep:known chromosome:GRCm38:16:16870840:16878987:1 gene:ENSMUSG00000022779.14 transcript:ENSMUST00000131063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3b description:topoisomerase (DNA) III beta [Source:MGI Symbol;Acc:MGI:1333803] MKTVLMVAEKPSLAQSIAKILSRGNMSSHKGLNG >ENSMUSP00000115491.1 pep:known chromosome:GRCm38:16:16870774:16883526:1 gene:ENSMUSG00000022779.14 transcript:ENSMUST00000156502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3b description:topoisomerase (DNA) III beta [Source:MGI Symbol;Acc:MGI:1333803] MKTVLMVAEKPSLAQSIAKILSRGNMSSHKGLNGACSVHKYTGTFAGQPVHFKMTSVCGH VMTLDFLGKYNKWDKVDPAELFSQAPTEKKEANPKLNMVKFLQVEGRGCDYVVLWLDCDK EGENICFEVLDAVLPVMNNAHNGEKTVFRARFSSITDTDICNAMTRLSEPDHNEALSVDA RQELDLRIGCAFTRFQTKYFQGKYGDLDSSLISFGPCQTPTLGFCVERHDKIQS >ENSMUSP00000031003.7 pep:known chromosome:GRCm38:5:36868513:36955078:1 gene:ENSMUSG00000029120.10 transcript:ENSMUST00000031003.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r2c description:protein phosphatase 2, regulatory subunit B, gamma [Source:MGI Symbol;Acc:MGI:2442660] MGEDTDTRKINHSFLRDHSYVTEADVISTVEFNHTGELLATGDKGGRVVIFQREPESKNA PHSQGEYDVYSTFQSHEPEFDYLKSLEIEEKINKIKWLPQQNAAHSLLSTNDKTIKLWKI TERDKRPEGYNLKDEEGKLKDLSTVTSLQVPVLKPMDLMVEVSPRRTFANGHTYHINSIS VNSDCETYMSADDLRINLWHLAITDRSFNIVDIKPANMEDLTEVITASEFHPHHCNLFVY SSSKGSLRLCDMRAAALCDKHSKLFEEPEDPSNRSFFSEIISSVSDVKFSHSGRYMLTRD YLTVKVWDLNMEARPIETYQVHDYLRSKLCSLYESDCIFDKFECAWNGSDSVIMTGAYNN FFRMFDRNTKRDVTLEASRESSKPRAVLKPRRVCVGGKRRRDDISVDSLDFTKKILHTAW HPAENIIAIAATNNLYIFQDKVNSDMH >ENSMUSP00000144342.1 pep:known chromosome:GRCm38:5:36868663:36931257:1 gene:ENSMUSG00000029120.10 transcript:ENSMUST00000201156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r2c description:protein phosphatase 2, regulatory subunit B, gamma [Source:MGI Symbol;Acc:MGI:2442660] MGEDTDTRKINHSFLRDHSYVTEADVISTVEFNHTGELLATGDKGGRVVIFQREPEIKLS NYGRLPNETRGPRATT >ENSMUSP00000035203.7 pep:known chromosome:GRCm38:9:107906873:107920383:1 gene:ENSMUSG00000032584.12 transcript:ENSMUST00000035203.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mst1r description:macrophage stimulating 1 receptor (c-met-related tyrosine kinase) [Source:MGI Symbol;Acc:MGI:99614] MGLPLPLLQSSLLLMLLLRLSAASTNLNWQCPRIPYAASRDFSVKYVVPSFSAGGRVQAT AAYEDSTNSAVFVATRNHLHVLGPDLQFIENLTTGPIGNPGCQTCASCGPGPHGPPKDTD TLVLVMEPGLPALVSCGSTLQGRCFLHELEPRGKALHLAAPACLFSANNNKPEACTDCVA SPLGTRVTVVEQGHASYFYVASSLDPELAASFSPRSVSIRRLKSDTSGFQPGFPSLSVLP KYLASYLIKYVYSFHSGDFVYFLTVQPISVTSPPSALHTRLVRLNAVEPEIGDYRELVLD CHFAPKRRRRGAPEGTQPYPVLQAAHSAPVDAKLAVELSISEGQEVLFGVFVTVKDGGSG MGPNSVVCAFPIYHLNILIEEGVEYCCHSSNSSSLLSRGLDFFQTPSFCPNPPGGEASGP SSRCHYFPLMVHASFTRVDLFNGLLGSVKVTALHVTRLGNVTVAHMGTVDGRVLQVEIAR SLNYLLYVSNFSLGSSGQPVHRDVSRLGNDLLFASGDQVFKVPIQGPGCRHFLTCWRCLR AQRFMGCGWCGDRCDRQKECPGSWQQDHCPPEISEFYPHSGPLRGTTRLTLCGSNFYLRP DDVVPEGTHQITVGQSPCRLLPKDSSSPRPGSLKEFIQELECELEPLVTQAVGTTNISLV ITNMPAGKHFRVEGISVQEGFSFVEPVLTSIKPDFGPRAGGTYLTLEGQSLSVGTSRAVL VNGTQCRLEQVNEEQILCVTPPGAGTARVPLHLQIGGAEVPGSWTFHYKEDPIVLDISPK CGYSGSHIMIHGQHLTSAWHFTLSFHDGQSTVESRCAGQFVEQQQRRCRLPEYVVRNPQG WATGNLSVWGDGAAGFTLPGFRFLPPPSPLRAGLVELKPEEHSVKVEYVGLGAVADCVTV NMTVGGEVCQHELRGDVVICPLPPSLQLGKDGVPLQVCVDGGCHILSQVVRSSPGRASQR ILLIALLVLILLVAVLAVALIFNSRRRKKQLGAHSLSPTTLSDINDTASGAPNHEESSES RDGTSVPLLRTESIRLQDLDRMLLAEVKDVLIPHEQVVIHTDQVIGKGHFGVVYHGEYTD GAQNQTHCAIKSLSRITEVQEVEAFLREGLLMRGLHHPNILALIGIMLPPEGLPRVLLPY MRHGDLLHFIRSPQRNPTVKDLVSFGLQVACGMEYLAEQKFVHRDLAARNCMLDESFTVK VADFGLARGVLDKEYYSVRQHRHARLPVKWMALESLQTYRFTTKSDVWSFGVLLWELLTR GAPPYPHIDPFDLSHFLAQGRRLPQPEYCPDSLYHVMLRCWEADPAARPTFRALVLEVKQ VVASLLGDHYVQLTAAYVNVGPRAVDDGSVPPEQVQPSPQHCRSTSKPRPLSEPPLPT >ENSMUSP00000142201.1 pep:known chromosome:GRCm38:9:107906907:107920381:1 gene:ENSMUSG00000032584.12 transcript:ENSMUST00000195617.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mst1r description:macrophage stimulating 1 receptor (c-met-related tyrosine kinase) [Source:MGI Symbol;Acc:MGI:99614] MGLPLPLLQSSLLLMLLLRLSAASTNLNWQCPRIPYAASRDFSVKYVVPSFSAGGRVQAT AAYEDSTNSAVFVATRNHLHVLGPDLQFIENLTTGPIGNPGCQTCASCGPGPHGPPKDTD TLVLVMEPGLPALVSCGSTLQGRCFLHELEPRGKALHLAAPACLFSANNNKPEACTDCVA SPLGTRVTVVEQGHASYFYVASSLDPELAASFSPRSVSIRRLKSDTSGFQPGFPSLSVLP KYLASYLIKYVYSFHSGDFVYFLTVQPISVTSPPSALHTRLVRLNAVEPEIGDYRELVLD CHFAPKRRRRGAPEGTQPYPVLQAAHSAPVDAKLAVELSISEGQEVLFGVFVTVKDGGSG MGPNSVVCAFPIYHLNILIEEGVEYCCHSSNSSSLLSRGLDFFQTPSFCPNPVSRKSGPL TSWWRGLRPQLPLPLLPFDGPR >ENSMUSP00000029769.7 pep:known chromosome:GRCm38:3:122245557:122270732:1 gene:ENSMUSG00000028124.15 transcript:ENSMUST00000029769.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gclm description:glutamate-cysteine ligase, modifier subunit [Source:MGI Symbol;Acc:MGI:104995] MGTDSRAAGALLARASTLHLQTGNLLNWGRLRKKCPSTHSEELRDCIQKTLNEWSSQISP DLVREFPDVLECTMSHAVEKINPDEREEMKVSAKLFIVGSNSSSSTRSAVDMACSVLGVA QLDSVIMASPPIEDGVNLSLEHLQPYWEELENLVQSKKIVAIGTSDLDKTQLEQLYQWAQ VKPNSNQVNLASCCVMPPDLTAFAKQFDIQLLTHNDPKELLSEASFQEALQESIPDIEAQ DWVPLWLLRYSVIVKSRGIIKSKGYILQAKRRGS >ENSMUSP00000142381.1 pep:known chromosome:GRCm38:3:122245988:122262616:1 gene:ENSMUSG00000028124.15 transcript:ENSMUST00000199699.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gclm description:glutamate-cysteine ligase, modifier subunit [Source:MGI Symbol;Acc:MGI:104995] XGTDSRAAGALLARASTLHLQTGNLLNWGRLRKKCPSTHSEELRDCIQKTLNEWSSQISP DLVREFPDVLECTMSHAVEKINPDEREEMKVSACSVLG >ENSMUSP00000134906.1 pep:known chromosome:GRCm38:3:122246081:122265225:1 gene:ENSMUSG00000028124.15 transcript:ENSMUST00000176445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gclm description:glutamate-cysteine ligase, modifier subunit [Source:MGI Symbol;Acc:MGI:104995] XKKCPSTHSEELRDCIQKTLNEWSSQISPDLVREFPDVLECTMSHAVEKINPDEREEMKV SAKLFIVGSNSSSSTRSAVDMACSVLGVAQLDSVIMASPPIEDGVNLSLEHLQPYWEELE NLVQSKKIVAIGTSDLDKTQLEQLYQWAQVKPNSNQVNLASCCVMPPDLTAFAKQFDIQL LTHNDPKGETGIFISRLGS >ENSMUSP00000123683.2 pep:known chromosome:GRCm38:3:122255587:122266943:1 gene:ENSMUSG00000028124.15 transcript:ENSMUST00000149983.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gclm description:glutamate-cysteine ligase, modifier subunit [Source:MGI Symbol;Acc:MGI:104995] QISPDLVREFPDVLECTMSHAVEKINPDEREEMKVSAKLFIVGSNSSSSTRSAVDMACSV LGVAQLDSVIMASPPIEDGVNLSLEHLQPYWEELENLVQSKKIVAIGTSDLDKTQLEQLY QWAQNCSLRQVSRKLFKKASLTLKPRIGCRCGC >ENSMUSP00000136987.1 pep:known chromosome:GRCm38:3:122245668:122266435:1 gene:ENSMUSG00000028124.15 transcript:ENSMUST00000178826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gclm description:glutamate-cysteine ligase, modifier subunit [Source:MGI Symbol;Acc:MGI:104995] MGTDSRAAGALLARASTLHLQTGNLLNWGRLRKKCPSTHSEELRDCIQKTLNEWSSQISP DLVREFPDVLECTMSHAVEKINPDEREEMKVSAKLFIVGSNSSSSTRSAVDMACSVLGVA QLDSVIMASPPIEDGVNLSLEHLQPYWEELENLVQSKKIVAIGTSDLDKTQLEQLYQWAQ VKPNSNQVNLASCCVMPPDLTAFAKQFDIQLLTHNDPKELLSEASFQEALQESIPDIEAQ DWVPLWLLRYSVIVKSRGIIKSKGYILQAKRRGS >ENSMUSP00000022865.9 pep:known chromosome:GRCm38:15:34082719:34142386:1 gene:ENSMUSG00000022255.15 transcript:ENSMUST00000022865.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtdh description:metadherin [Source:MGI Symbol;Acc:MGI:1914404] MAARSWQDELAQQAEEGSARLRELLSVGLGFLRTELGLDLGLEPKRYPGWVILVGTGALG LLLLFLLGYGWAAACAGARKKRRSPPRKREEAAPPTPAPDDLAQLKNLRSEEQKKKNRKK LPEKPKPNGRTVEVPEDEVVRNPRSITAKQAPETDKKNEKSKKNKKKSKSDAKAVQNSSR HDGKEVDEGAWETKISHREKRQQRKRDKVLTDSGSLDSTIPGIENIITVTTEQLTTASFP VGSKKNKGDSHLNVQVSNFKSGKGDSTLQVSSRLNENLTVNGGGWSEKSVKLSSQLSEEK WNSVPPASAGKRKTEPSAWTQDTGDTNANGKDWGRNWSDRSIFSGIGSTAEPVSQSTTSD YQWDVSRNQPYIDDEWSGLNGLSSADPSSDWNAPAEEWGNWVDEDRASLLKSQEPISNDQ KVSDDDKEKGEGALPTGKSKKKKKKKKKQGEDNSHTQDTEDLEKDTREELPVNTSKARPK QEKACSLKTMSTSDPAEVLIKNSQPVKTLPPAISAEPSITLSKGDSDNSSSQVPPMLQDT DKPKSNAKQNSVPPSQTKSETNWESPKQIKKKKKARRET >ENSMUSP00000129500.1 pep:known chromosome:GRCm38:15:34083036:34134344:1 gene:ENSMUSG00000022255.15 transcript:ENSMUST00000168991.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtdh description:metadherin [Source:MGI Symbol;Acc:MGI:1914404] MAARSWQDELAQQAEEGSARLRELLSVGLGFLRTELGLDLGLEPKRYPGWVILVGTGALG LLLLFLLGYGWAAACAGARKKRRSPPRKREEAAPPTPAPDDLAQLKNLRSEEQKKKNRKK LPEKPKPNGRTVEVPEDEVVRNPRSITAKQAPETDKKNEKFLQG >ENSMUSP00000130190.1 pep:known chromosome:GRCm38:15:34083308:34136780:1 gene:ENSMUSG00000022255.15 transcript:ENSMUST00000163333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtdh description:metadherin [Source:MGI Symbol;Acc:MGI:1914404] XAAPPTPAPDDLAQLKNLRSEEQKKKNRKKLPEKPKPNGRTVEVPEDEVVRNPRSITAKQ APETDKKNEKSKKNKKKSKSDAKAVQNSSRHDGKEVDEGAWETKISHREKRQQRKRDKVL TDSGSLDSTIPGIENIITVTTEQLTTASFPVGSKKNKGDSHLNVQVSNFKSGKGDSTLQV SSRLNENLTVNGGGWSEKSVKLSSQLSEEKWNSVPPASAGKRKTEPSAWTQDTGDTNANG KDWGRNWSDRSIFSGIDGLSSADPSSDWNAPAEEWGNWVDEDRASLLKSQEPISNDQKVS DDDKEKGEGALPTGKSKKKKKKKKKQGEDNSHTQDTEDLEKDTREELPVNTSKARPKQEK ACSLK >ENSMUSP00000128288.1 pep:known chromosome:GRCm38:15:34083319:34136811:1 gene:ENSMUSG00000022255.15 transcript:ENSMUST00000170050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtdh description:metadherin [Source:MGI Symbol;Acc:MGI:1914404] XTPAPDDLAQLKNLRSEEQKKKNRKKLPEKPKPNGRTVEVPEDEVVRNPRSITAKQAPET DKKNEKSKKNKKKSKSDAKAVQNSSRHDGKEVDEGAWETKISHREKRQQRKRDKVLTDSG SLDSTIPGIENIITVTTEQLTTASFPVGSKKNKVSSRLNENLTVNGGGWSEKSVKLSSQL SEEKWNSVPPASAGKRKTEPSAWTQDTGDTNANGKDWGRNWSDRSIFSGIGSTAEPVSQS TTSDYQWDVSRNQPYIDDEWSGLNGLSSADPSSDWNAPAEEWGNWVDEDRASLLKSQEPI SNDQKVSDDDKEKGEGALPTGKSKKKKKKKKKQGEDNSHTQDTEDLEKDTREELPVNTSK ARPKQEKACSLKTMSTSDPAEVL >ENSMUSP00000126167.1 pep:known chromosome:GRCm38:15:34083322:34123750:1 gene:ENSMUSG00000022255.15 transcript:ENSMUST00000170553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtdh description:metadherin [Source:MGI Symbol;Acc:MGI:1914404] XPAPDDLAQLKNLRSEEQKKKNRKKLPEKPKPNGRTVEVPEDEVVRNPRSITAKQAPETD KKNEKSKKNKKKSKSDAKAVQNSSRHDGKEVDEGDSHLNVQVSNFKSGKGDSTLQVSSRL NENLTVNGGGWSEKSVKLSSQLSEEKWNSVPPASAGKRKTEPSAWTQDTGDTNANGKDWG RNWSDRSIFSGIGSTAEPVSQSTTSDYQWDVSRNQPYIDD >ENSMUSP00000131814.1 pep:known chromosome:GRCm38:15:34114942:34140710:1 gene:ENSMUSG00000022255.15 transcript:ENSMUST00000169905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtdh description:metadherin [Source:MGI Symbol;Acc:MGI:1914404] ASFPVGSKKNKGDSHLNVQVSNFKSGKGDSTLQVSSRLNENLTVNGGGWSEKSVKLSSQL SEEKWNSVPPASAGKRKTEPSAWTQDTGDTNANGKDWGRNWSDRSIFSGIAAWSSVARGM SASQQNSASFASLTLNSAVSGSTAEPVSQSTTSDYQWDVSRNQPYIDDEWSGLNGLSSAD PSSDWNAPAEEWGNWVDEDRASLLKSQEPISNDQKVSDDDKEKGEGALPTGKSKKKKKKK KKQGEDNSHTQDTEDLEKDTREELPVNTSKARPKQEKACSLKTMSTSDPAEVLIKNSQPV KTLPPAISAEPSITLSKGDSDNSSSQVPPMLQDTDKPKSNAKQNSVPPSQTKSETN >ENSMUSP00000121058.1 pep:known chromosome:GRCm38:2:155381059:155386057:1 gene:ENSMUSG00000038375.15 transcript:ENSMUST00000151466.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53inp2 description:transformation related protein 53 inducible nuclear protein 2 [Source:MGI Symbol;Acc:MGI:1915978] MFQRFTSLFFNTPAPPEDSNCPGAFVSEEDEVDGWLIIDLQDSYTAPPDPGASPAPAGRP PPAPSLMDESWFVTPPACFTAEGPGLGPARLQSNPLEDLL >ENSMUSP00000037627.7 pep:known chromosome:GRCm38:2:155381814:155389850:1 gene:ENSMUSG00000038375.15 transcript:ENSMUST00000043237.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53inp2 description:transformation related protein 53 inducible nuclear protein 2 [Source:MGI Symbol;Acc:MGI:1915978] MFQRFTSLFFNTPAPPEDSNCPGAFVSEEDEVDGWLIIDLQDSYTAPPDPGASPAPAGRP PPAPSLMDESWFVTPPACFTAEGPGLGPARLQSNPLEDLLIEHPSMSVYVTGSTIVLESG PPSPHPEAALPDQDLSDGELAPALREPRALHHAAAPMPARAVLLEKAGQVRRLQRARQRA ERHTLSAKVLQRQNRARESRSRRPKHQGSFIYQPCQRQFNY >ENSMUSP00000133880.1 pep:known chromosome:GRCm38:2:155381840:155386757:1 gene:ENSMUSG00000038375.15 transcript:ENSMUST00000174685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53inp2 description:transformation related protein 53 inducible nuclear protein 2 [Source:MGI Symbol;Acc:MGI:1915978] MFQRFTSLFFNTPAPPEDSNCPGAFVSEEDEVDGWLIIDLQEGPGLGPARLQSNPLEDLL IEHPSMSVYVTGSTIVLESGPPSPHPEAALPDQDLSDGELAPALREPRALHHAAAPMPAR AVLLEKAGQVRRLQRARQRAERHTLSAKVLQRQNRARESRSRRPKHQGSF >ENSMUSP00000119169.1 pep:known chromosome:GRCm38:2:155382202:155385968:1 gene:ENSMUSG00000038375.15 transcript:ENSMUST00000134218.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53inp2 description:transformation related protein 53 inducible nuclear protein 2 [Source:MGI Symbol;Acc:MGI:1915978] MFQRFTSLFFNTPAPPEDSNCPGAFVSEEDEVDGWLIIDLQDSYTAPPDPGASPAPAGRP PPAPSLMDES >ENSMUSP00000095962.3 pep:known chromosome:GRCm38:9:109676734:109702700:-1 gene:ENSMUSG00000074059.3 transcript:ENSMUST00000098359.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw18 description:F-box and WD-40 domain protein 18 [Source:MGI Symbol;Acc:MGI:3505704] METHLPSLPSLPMMKILSYLDAYSLLQVAQVNKNWNALASSDVLWRKLCQKRWLYCDTVI LQLHDKETWKQFFVNRTYQEHTKTRAKPEDFTYKEICAETGIWAYACYISGRGLTRNGQG TSVVCMLTSMTKISTWDIHEGVMTWVSPVQPTTIKLLNTLPEMHIAVTVDIHSTIKLWDC NSSDALATNNLFFPCQTLKSVFTKDAAIVLVSDTLGNLYIFRIPDLHLISTINVFPYGIN ELYCSPQKKWVFLSRKHPHILPKVFYMNSLLRRSEFSAPVSTVLNFSLCDKAFWTPRKED RITLMSISAPYKVTKFVTFDMKLEEIGNQIIVTGYLIASFSLTDYEGRLECFGVSDKDVI VCSTGSSLLLFSIYGVCLQTFDYCSEEILRLWVDPFHVIVTFIDGSLDVYAWEERCQQLS KCYRLQNRRRLPRQSCFEKTLCDEVSIIRMVRNGRNPCYLMTYTLNIHS >ENSMUSP00000027476.4 pep:known chromosome:GRCm38:1:87470271:87475482:1 gene:ENSMUSG00000026258.5 transcript:ENSMUST00000027476.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110079O15Rik description:RIKEN cDNA 3110079O15 gene [Source:MGI Symbol;Acc:MGI:1920484] MASCLALRVALLLISGVLAPAVLTAEGPQEPDPTLWNEPIELPSGEGPLESTSHNQEFAV SGPPFPTSAPAPEDSTPPARVDQDGGSLGPGAIAAIVIAALLATCVVLALVVVALRKFSA S >ENSMUSP00000107208.1 pep:known chromosome:GRCm38:2:86537966:86542480:-1 gene:ENSMUSG00000075179.2 transcript:ENSMUST00000111582.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1079 description:olfactory receptor 1079 [Source:MGI Symbol;Acc:MGI:3030913] MQMESQNLTVVTEFILRGITDRPELQVPLFGLFFMIYLISLFGNLGMIILTIVESRLQTP MYFFLRHLAITDLGYSTAIGPKMLANFVVSKNTISFHLCATQLAFFLLFIACELFILSVM SYDRYVAICNPLLYNVIMSQTVCWVLVAIPYLYSVFISLIVTINIFSSSFCGYNVIPHFY CDGLPLISLLCTNTDKIGLIILILSAINLISSLLIILGSYLLIFRAILRMNSAEGRRKAF STCGSHLTVVSVFYGTLIFMYVQPKTSHSFDTDKVASIFYTLVIPMLNPLIYSLRNKDVK YALRKTGKIIQNNFS >ENSMUSP00000097467.1 pep:known chromosome:GRCm38:2:86537966:86538913:-1 gene:ENSMUSG00000075179.2 transcript:ENSMUST00000099882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1079 description:olfactory receptor 1079 [Source:MGI Symbol;Acc:MGI:3030913] MQMESQNLTVVTEFILRGITDRPELQVPLFGLFFMIYLISLFGNLGMIILTIVESRLQTP MYFFLRHLAITDLGYSTAIGPKMLANFVVSKNTISFHLCATQLAFFLLFIACELFILSVM SYDRYVAICNPLLYNVIMSQTVCWVLVAIPYLYSVFISLIVTINIFSSSFCGYNVIPHFY CDGLPLISLLCTNTDKIGLIILILSAINLISSLLIILGSYLLIFRAILRMNSAEGRRKAF STCGSHLTVVSVFYGTLIFMYVQPKTSHSFDTDKVASIFYTLVIPMLNPLIYSLRNKDVK YALRKTGKIIQNNFS >ENSMUSP00000033775.2 pep:known chromosome:GRCm38:X:75109733:75131016:-1 gene:ENSMUSG00000031402.12 transcript:ENSMUST00000033775.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp1 description:membrane protein, palmitoylated [Source:MGI Symbol;Acc:MGI:105941] MTLKSSEGEGGNSMRTALSDLYLEHLLQKRNRPETSLNQSNVTTEDMYTNGSPAPGSPAH AKGQEARRVRLIQFEKITEEPMGITLKLNEKQSCTVARILHGGMIHRQGSLHVGDEILEI NGTNVTNHSVDQLQKAMKETKGMISLKVIANQQSRLPALQMFMRAQFDYDPQKDNLIPCK EAGLKFVTGDIIQIINKDDSNWWQGRVEGSSKESAGLIPSPELQEWRVASVAHSAPSEAP SCSPFGKKKKCKDKYLAKHSSIFDQLDVVSYEEVVRLPAFKRKTLVLIGASGVGRSHIKN GLLSHNPEKFAYPAPYTTRPPKKSEEDGKEYHFISTEEMTKNISANEFLEFGSYQGNMFG TKFETVHQIHKQDKIAILDIEPQTLKTVRTAELSPFIVFIAPTDQGTQTEALQQLQKDSE AIRSQYAHYFDLSLVNNSVDETLKKLQEAFDQACSSPQWVPVSWVY >ENSMUSP00000109726.1 pep:known chromosome:GRCm38:X:75110648:75130844:-1 gene:ENSMUSG00000031402.12 transcript:ENSMUST00000114092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp1 description:membrane protein, palmitoylated [Source:MGI Symbol;Acc:MGI:105941] MTLKSSEGEGGNSMRTALSDLYLEHLLQKRNRPETSLNQSNVTTEDMYTNGSPAPGSPAH AKGQEARRVRLIQFEKITEEPMGITLKLNEKQSCTVARILHGGMIHRQGSLHVGDEILEI NGTNVTNHSVDQLQKAMKETKGMISLKVIANQQSRLPALQEAGLKFVTGDIIQIINKDDS NWWQGRVEGSSKESAGLIPSPELQEWRVASVAHSAPSEAPSCSPFGKKKKCKDKYLAKHS SIFDQLDVVSYEEVVRLPAFKRKTLVLIGASGVGRSHIKNGLLSHNPEKFAYPAPYTTRP PKKSEEDGKEYHFISTEEMTKNISANEFLEFGSYQGNMFGTKFETVHQIHKQDKIAILDI EPQTLKTVRTAELSPFIVFIAPTDQGTQTEALQQLQKDSEAIRSQYAHYFDLSLVNNSVD ETLKKLQEAFDQACSSPQWVPVSWVY >ENSMUSP00000109725.1 pep:known chromosome:GRCm38:X:75111716:75130949:-1 gene:ENSMUSG00000031402.12 transcript:ENSMUST00000114091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp1 description:membrane protein, palmitoylated [Source:MGI Symbol;Acc:MGI:105941] MTLKSSEGEGGNSMRTALSDLYLEHLLQKRNRPETSLNQSNVTTEDMYTNGSPAPGSPAH AKGQEARRVRLIQFEKITEEPMGITLKLNEKQSCTVARILHGGMIHRQGSLHVGDEILEI NGTNVTNHSVDQLQKAMKETKGMISLKVIANQQSRLPALQMFMRAQFDYDPQKDNLIPCK EAGLKFVTGDIIQIINKDDSNWWQGRVEGSSKESAGLIPSPELQEWRVASVAHSAPSEAP SCSPFGKKKKCKDKYLAKHSSIFDQLDVVSYEEVVRLPAFKRKTLVLIGASGVGRSHIKN GLLSHNPEKFAYPAPYTTRPPKKSEEDGKEYHFISTEEMTKNISANEFLEFGSYQGNMFG TKFETVHQIHKQDKIAILDIEPQTLKTVRTAELSPFIVFIAPTDQGTQVGKGSHGWRSTP FRSLSTVFSKAKKTKGMHILFIPELFKRLVIK >ENSMUSP00000118693.1 pep:known chromosome:GRCm38:X:75112353:75130854:-1 gene:ENSMUSG00000031402.12 transcript:ENSMUST00000132501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp1 description:membrane protein, palmitoylated [Source:MGI Symbol;Acc:MGI:105941] MTLKSSEGEGGNSMRTALSDLYLEHLLQKRNRPEMFMRAQFDYDPQKDNLIPCKEAGLKF VTGDIIQIINKDDSNWWQGRVEGSSKESAGLIPSPELQEWRVASVAHSAPSEAPSCSPFG KKKKCKDKYLAKHSSIFDQLDVVSYEEVVRLPAFKRKTLVLIGASGVGRSHIKNGLLSHN PEKFAYPAPYTTRPPKKSEEDGKEY >ENSMUSP00000116897.1 pep:known chromosome:GRCm38:X:75116062:75130854:-1 gene:ENSMUSG00000031402.12 transcript:ENSMUST00000153318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp1 description:membrane protein, palmitoylated [Source:MGI Symbol;Acc:MGI:105941] MTLKSSEGEGGNSMRTALSDLYLEHLLQKRNRPEKETKGMISLKVIANQQSRLPALQMFM RAQFDYDPQKDNLIPCKEAGLKFVTGDIIQIINKDDSNWWQGRVEGSSKESAGLIPSPEL QEWRVASVAHSAPSEAPSCSPFGKKKKCKDKYLAKH >ENSMUSP00000116401.1 pep:known chromosome:GRCm38:X:75121472:75130854:-1 gene:ENSMUSG00000031402.12 transcript:ENSMUST00000155742.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp1 description:membrane protein, palmitoylated [Source:MGI Symbol;Acc:MGI:105941] MTLKSSEGEGGNSMRTALSDLYLEHLLQKRNRPETSLNQSNVTTEDMYTNGSPAPGSPAH AKGQEGITLKLNEKQSCTVARILHGGMIHRQGSLHVGDEILEINGTNVTNHSVDQLQKAM KETKGMISLKV >ENSMUSP00000117003.1 pep:known chromosome:GRCm38:X:75125830:75130953:-1 gene:ENSMUSG00000031402.12 transcript:ENSMUST00000127023.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpp1 description:membrane protein, palmitoylated [Source:MGI Symbol;Acc:MGI:105941] MTLKSSEGEGGNSMRTALSDLYLEHLLQKRNRPEQEIIRPPSKVS >ENSMUSP00000108651.1 pep:known chromosome:GRCm38:9:94517864:94538081:-1 gene:ENSMUSG00000045414.7 transcript:ENSMUST00000113028.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1190002N15Rik description:RIKEN cDNA 1190002N15 gene [Source:MGI Symbol;Acc:MGI:1916111] MWRLVPLKLGRLSRALKLAALGSLLVLMLLHSPSLLASWQRNELADRRFLQLNKCPACFG TSWCRRFLNGQVGFETWGRLRLLDFLNVKNVYFAQYGEPREGGRRRVVLKRLGSQRELAQ LDQSICKRATGRPRCDLLQAMPRTEFARLNGDVRLLTPEAVEGWSDLVHCPSQRLLDRLV RRYAETKDSGSFLLRNLKDSERMQLLLTLAFNPEPLVLQSFPSDEGWPFAKYLGACGRMV AVNYVGEELWSYFNAPWEKRVDLAWQLMEIAEQLTNNDFEFALYLLDVSFDNFAVGPRDG KVIIVDAENVLVADKRLIRQNKPENWDVWYESKFDDCDKEACLSFSKEILCARVTVDHNY YAVCQNLLSRHATWRGTSGGLLHDPPSEIAKDGRLEALLDECTNPKKRYGRFQAAKELRG YLAQLSHNVR >ENSMUSP00000097466.1 pep:known chromosome:GRCm38:2:86553181:86554122:-1 gene:ENSMUSG00000075178.3 transcript:ENSMUST00000099881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1080 description:olfactory receptor 1080 [Source:MGI Symbol;Acc:MGI:3030914] MDKHNLTVVTEFILMGITENPELQAPLFGLFLVIYLTSVIGNLGIIILTNVDAKLQTPMY FFLRHLAFTDFVYSTTVGPKMLVNFVVDQNAISYSLCATQLAFFLLFIGSELFILSAMSY DRYVAICKPLLYTVIMSHKVCWVLVTMTYLYCTFMSLVVTINIFSLSFCGYNVINHFFCD CIPLISLLCSNTQEVELIVMIFAAFDLISSLVVVLMSYLLILIAVLRMNSAEGRRKAFST CGSHLTVVTVFYGTLIFMYVQPESSHSIDTDKISSIFYTLIIPLLNPLIYSLRNKDVKEA LQRTWQKIFNTFS >ENSMUSP00000048416.8 pep:known chromosome:GRCm38:5:100518309:100547803:1 gene:ENSMUSG00000035297.14 transcript:ENSMUST00000045993.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops4 description:COP9 signalosome subunit 4 [Source:MGI Symbol;Acc:MGI:1349414] MAAAVRQDLAQLMNSSGSHKDLAGKYRQILEKAIQLSGTEQLEALKAFVEAMVNENVSLV ISRQLLTDFCTHLPNLPDSTAKEVYHFTLEKIQPRVISFEEQVASIRQHLASIYEKEEDW RNAAQVLVGIPLETGQKQYNVDYKLETYLKIARLYLEDDDPVQAEAYINRASLLQNESTN EQLQIHYKVCYARVLDYRRKFIEAAQRYNELSYKTIVHESERLEALKHALHCTILASAGQ QRSRMLATLFKDERCQQLAAYGILEKMYLDRIIRGNQLQEFAAMLMPHQKATTADGSSIL DRAVIEHNLLSASKLYNNITFEELGALLEIPAAKAEKIASQMITEGRMNGFIDQIDGIVH FETREALPTWDKQIQSLCFQVNNLLEKISQTAPEWTAQAMEAQMAQ >ENSMUSP00000114585.1 pep:known chromosome:GRCm38:5:100518457:100537468:1 gene:ENSMUSG00000035297.14 transcript:ENSMUST00000151414.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops4 description:COP9 signalosome subunit 4 [Source:MGI Symbol;Acc:MGI:1349414] MVNENVSLVISRQLLTDFCTHLPNLPDSTAKEVYHFTLEKIQPRVISFEEQVASIRQHLA SIYEKEEDWRNAAQVLVGIPLETGQKQYNVDYKLETYLKIARLYLEDDDPVQAEAYINRA SLLQNESTNEQLQIHYKVCYARVLDYRRKFIEAAQRYNELSYKTIVHESERLEALKHALH CTILASAGQQRSRMLATLFKDERCQQLAAYGILEKMYLDRIIRGNQLQEFAAMLMPHQKA TTAD >ENSMUSP00000115767.1 pep:known chromosome:GRCm38:5:100518472:100533391:1 gene:ENSMUSG00000035297.14 transcript:ENSMUST00000123069.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cops4 description:COP9 signalosome subunit 4 [Source:MGI Symbol;Acc:MGI:1349414] MAAAVRQDLAQLMNSSGSHKDLAGKWFFRPAACCLG >ENSMUSP00000121979.1 pep:known chromosome:GRCm38:5:100518737:100537354:1 gene:ENSMUSG00000035297.14 transcript:ENSMUST00000146476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops4 description:COP9 signalosome subunit 4 [Source:MGI Symbol;Acc:MGI:1349414] MVNENVSLVISRQLLTDFCTHLPNLPDSTAKEVYHFTLEKIQPRVISFEEQVASIRQHLA SIYEKEEDWRNAAQVLVGIPLETGQKQYNVDYKLETYLKIARLYLEDDDPVQAEAYINRA SLLQNESTNEQLQIHYKVCYARVLDYRRKFIEAAQRYNELSYKTIVHESERLEALKHALH CTILASAGQQRSRMLATLFKDERCQQ >ENSMUSP00000119737.1 pep:known chromosome:GRCm38:5:100528640:100543923:1 gene:ENSMUSG00000035297.14 transcript:ENSMUST00000123492.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cops4 description:COP9 signalosome subunit 4 [Source:MGI Symbol;Acc:MGI:1349414] HFTLEKIQPRVISFEEQVASIRQHLASIYEKEEDWRNAAQVLVGIPLETGQKQYNVDYKL ETYLKIARLYLEDDDPVQAEAYINRASLLQNESTNEQLQIHYKVCYARVLDYRRKFIEAA QRYNELSYKTIVHESERLEALKHALHCTILASAGQQRSRMLATLFKDERCQQLAAYGILE KMYLDRIIRGNQLQEFAAMLMPHQKATTADGSSILDRAVIEHNLLSASKLYNNITFEELG ALLEIPAAKGFSV >ENSMUSP00000003450.8 pep:known chromosome:GRCm38:15:98645134:98662889:-1 gene:ENSMUSG00000003360.14 transcript:ENSMUST00000003450.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx23 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 23 [Source:MGI Symbol;Acc:MGI:1921601] MAGELADKKDRDASPKEERKRSRTPERDRDRDRDRKSSPSKDRKRHRSRDRRRGGSRSRS RSRSKSVERERRHKERERDKERDRSKKERERDKDGHRRDKDRKRSSLSPGRGKDFKSRKD RDSKKGDEDEHGDKRPKIQPLSLEELLAKKKAEEEAEAKPKFLSKAEREAEALKRRQQEV EERQKMLEEERKKRKQFQDLGRKMLEDPQERERRERRERMERETNGNEDEEGRQKIREEK DKSKELHAIKERYLGGIKKRRRTRHLNDRKFVFEWDASEDTSIDYNPLYKERHQVQLLGR GFIAGIDLKQQKREQSRFYGDLMEKRRTLEEKEQEEARLRKLRKKEAKQRWDDRHWSQKK LDEMTDRDWRIFREDYSITTKGGKIPNPIRSWKDSSLPPHILEVIDKCGYKEPTPIQRQA IPIGLQNRDIIGVAETGSGKTAAFLIPLLVWITTLPKIDRIEESDQGPYAIILAPTRELA QQIEEETIKFGKPLGIRTVAVIGGISREDQGFRLRMGCEIVIATPGRLIDVLENRYLVLS RCTYVVLDEADRMIDMGFEPDVQKILEHMPVSNQKPDTDEAEDPEKMLANFESGKHKYRQ TVMFTATMPPAVERLARSYLRRPAVVYIGSAGKPHERVEQKVFLMSESEKRKKLLAILEQ GFDPPIIIFVNQKKGCDVLAKSLEKMGYNACTLHGGKGQEQREFALSNLKAGAKDILVAT DVAGRGIDIQDVSMVVNYDMAKNIEDYIHRIGRTGRAGKSGVAITFLTKEDSAVFYELKQ AILESPVSSCPPELANHPDAQHKPGTILTKKRREETIFA >ENSMUSP00000125168.1 pep:known chromosome:GRCm38:15:98656679:98662858:-1 gene:ENSMUSG00000003360.14 transcript:ENSMUST00000162384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx23 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 23 [Source:MGI Symbol;Acc:MGI:1921601] MAGELADKKDRDASPKEERKRSRTPERDRDRDRDRKSSPSKDRKRHRSRDRRRGGSRSRS RSRSKSVERERRHKERERDK >ENSMUSP00000029942.7 pep:known chromosome:GRCm38:4:33310328:33500914:1 gene:ENSMUSG00000028274.17 transcript:ENSMUST00000029942.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rngtt description:RNA guanylyltransferase and 5'-phosphatase [Source:MGI Symbol;Acc:MGI:1329041] MAYNKIPPRWLNCPRRGQPVAGRFLPLKTMLGPRYDSQVAEENRFHPSMLSNYLKSLKVK MSLLVDLTNTSRFYDRNDIEKEGIKYIKLQCKGHGECPTTENTETFIRLCERFNERSPPE LIGVHCTHGFNRTGFLICAFLVEKMDWSIEAAVATFAQARPPGIYKGDYLKELFRRYGDI EEAPPPPVLPDWCFEDEDEEDEDEDGKKDSEPGSSASFSKRRKERLKLGAIFLEGITVKG VTQVTTQPKLGEVQQKCHQFCGWEGSGFPGAQPVSMDKQNIRLLEQKPYKVSWKADGTRY MMLIDGTNEVFMIDRDNSVFHVSNLEFPFRKDLRMHLSNTLLDGEMIIDKVNGQAVPRYL IYDIIKFNAQPVGDCDFNIRLQCIEREIISPRHEKMKTGLIDKTQEPFSVRPKQFFDINI SRKLLEGNFAKEVSHEMDGLIFQPIGKYKPGRCDDILKWKPPSLNSVDFRLKITRMGGEG LLPQNVGLLYVGGYERPFAQIKVTKELKQYDNKIIECKFENNSWVFMRQRIDKSFPNAYN TAMDVQQPPRDRSGSIPWTLTRSSCRPHRPKDCIGQPSPCL >ENSMUSP00000103788.2 pep:known chromosome:GRCm38:4:33310311:33502614:1 gene:ENSMUSG00000028274.17 transcript:ENSMUST00000108153.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rngtt description:RNA guanylyltransferase and 5'-phosphatase [Source:MGI Symbol;Acc:MGI:1329041] MAYNKIPPRWLNCPRRGQPVAGRFLPLKTMLGPRYDSQVAEENRFHPSMLSNYLKSLKVK MSLLVDLTNTSRFYDRNDIEKEGIKYIKLQCKGHGECPTTENTETFIRLCERFNERSPPE LIGVHCTHGFNRTGFLICAFLVEKMDWSIEAAVATFAQARPPGIYKGDYLKELFRRYGDI EEAPPPPVLPDWCFEDEDEEDEDEDGKKDSEPGSSASFSKRRKERLKLGAIFLEGITVKG VTQVTTQPKLGEVQQKCHQFCGWEGSGFPGAQPVSMDKQNIRLLEQKPYKVSWKADGTRY MMLIDGTNEVFMIDRDNSVFHVSNLEFPFRKDLRMHLSNTLLDGEMIIDKVNGQAVPRYL IYDIIKFNAQPVGDCDFNIRLQCIEREIISPRHEKMKTGLIDKTQEPFSVRPKQFFDINI SRKLLEGNFAKEVSHEMDGLIFQPIGKYKPGRCDDILKWKPPSLNSVDFRLKITRMGGEG LLPQNVGLLYVGGYERPFAQIKVTKELKQYDNKIIECKFENNSWVFMRQRIDKSFPNAYN TAMAVCNSISNPVTKEMLFEFIDRCAAAAQGQKRKYPLDPDTELMPPPPPKRLHRPT >ENSMUSP00000123886.1 pep:known chromosome:GRCm38:17:40727120:40731782:1 gene:ENSMUSG00000073396.4 transcript:ENSMUST00000161110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp1 description:exocrine gland secreted peptide 1 [Source:MGI Symbol;Acc:MGI:3645915] MTSLPVLLFLIILLLPSMITEGRVLTQTGKEATIFADQKTNHEADLKNPDPQEVQRALAR ILCALGELDKLVKDQANAGQQEFKLPKDFTGRSKCRSLGRIK >ENSMUSP00000092630.3 pep:known chromosome:GRCm38:1:40324627:40365471:1 gene:ENSMUSG00000070942.8 transcript:ENSMUST00000095020.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rl2 description:interleukin 1 receptor-like 2 [Source:MGI Symbol;Acc:MGI:1913107] MGVTSLLFCGVFFLLLLFVAADTCEDIFMHNVIISEGQPFPFNCTYPPETNGAVNLTWYK TPSKSPVSNNRHLRVHQDQTWILFLPLTLEDSGIYQCVIRNAHNCYQIAVNLTVLKNHWC DSSMEGSPVNSPDVYQQILPIGKSGSLNCHLYFPESCALDSIKWYKGCEEIKAGKKYSPS GAKLLVNNVAVEDGGSYACSARLTHLGRHFTIRNYIAVNTKEVEYGRRIPNITYPKNNSI EVPLGSTLIVNCNITDTKENTNLRCWRVNNTLVDDYYKDSKRIQEGIETNVSLRDQIRYT VNITFLKVKMEDYGRPFTCHAGVSAAYIILIYPVPDFRAYLLGGLMAFLLLVVSVLFIYN SFKIDIMLWYRSAFHTAQAPDDEKLYDAYVLYPKYPRGSQGHDVDTLVLKILPEVLEKQC GYKLFIFGRDEFPGQAVASVIDENIKLCRRLMVFVAPESSSFGFLKNLSEEQIAVYNALI QHGMKVILIELEKVKDYSTMPESIQYIRQKHGAIQWDGDFTEQSQCAKTKFWKKVRYHMP PRRYPASSPVQLLGHIPCNCKAGKCNAATGLITP >ENSMUSP00000142248.1 pep:known chromosome:GRCm38:1:40324690:40367562:1 gene:ENSMUSG00000070942.8 transcript:ENSMUST00000194296.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rl2 description:interleukin 1 receptor-like 2 [Source:MGI Symbol;Acc:MGI:1913107] MGVTSLLFCGVFFLLLLFVAADTCEDIFMHNVIISEGQPFPFNCTYPPETNGAVNLTWYK TPSKSPVSNNRHLRVHQDQTWILFLPLTLEDSGIYQCVIRNAHNCYQIAVNLTVLKNHWC DSSMEGSPVNSPDVYQQILPIGKSGSLNCHLYFPESCALDSIKWYKGCEEIKAGKKYSPS GAKLLVNNVAVEDGGSYACSARLTHLGRHFTIRNYIAVNTKEVEYGRRIPNITYPKNNSI EVPLGSTLIVNCNITDTKENTNLRCWRVNNTLVDDYYKDSKRIQEGIETNVSLRDQIRYT VNITFLKVKMEDYGRPFTCHAGVSAAYIILIYPVPDFRAYLLGGLMAFLLLVVSVLFIYN SFKIDIMLWYRSAFHTAQAPDDEKLYDAYVLYPKYPRGSQGHDVDTLVLKILPEVLEKQC GYKLFIFGRDEFPGQAVASVIDENIKLCRRLMVFVAPESSSFGFLKNLSEEQIAVYNALI QHGMKVILIELEKVKDYSTMPESIQYIRQKHGAIQWDGDFTEQSQCAKTKFWKKVRYHMP PRRYPASSPVQLLGHIPCNCKAGKCNAATGLITP >ENSMUSP00000141507.1 pep:known chromosome:GRCm38:1:40325611:40329171:1 gene:ENSMUSG00000070942.8 transcript:ENSMUST00000193388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rl2 description:interleukin 1 receptor-like 2 [Source:MGI Symbol;Acc:MGI:1913107] MGVTSLLFCGVFFLLLLFVAADTCEDIFMHNVIISEGQPFPFNCTYPPETNGAVNLTWYK TPSKSPVSNNRHLRVHQDQTWILFLPLTLEDSGIYQCVIRNAHNCYQIAVNLTVLKNHWC DSSMEGSPVNSPDVYQQILPIGKSGSLNCHLYFPESCALDSIKWYKV >ENSMUSP00000115993.1 pep:known chromosome:GRCm38:10:127801152:127815166:1 gene:ENSMUSG00000069456.4 transcript:ENSMUST00000155739.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rdh16 description:retinol dehydrogenase 16 [Source:MGI Symbol;Acc:MGI:1201375] MWLYLVALVGLWTLLRFFRVRQVVSHLQDKYVFITGCDSGFGTLLARQLDRRGMRVLAAC LTEKGAEELRNKTSDRLETVILDVTKTESIVTATQWVKEHVGNRGGSSPTLG >ENSMUSP00000122839.1 pep:known chromosome:GRCm38:10:127801153:127846565:1 gene:ENSMUSG00000069456.4 transcript:ENSMUST00000125163.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rdh16 description:retinol dehydrogenase 16 [Source:MGI Symbol;Acc:MGI:1201375] MWLYLVALVGLWTLLRFFRVRQVEGALLLWGEGGYYRAWLLPDRCDQ >ENSMUSP00000071573.1 pep:known chromosome:GRCm38:10:127801154:127815839:1 gene:ENSMUSG00000069456.4 transcript:ENSMUST00000071646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh16 description:retinol dehydrogenase 16 [Source:MGI Symbol;Acc:MGI:1201375] MWLYLVALVGLWTLLRFFRVRQVVSHLQDKYVFITGCDSGFGTLLARQLDRRGMRVLAAC LTEKGAEELRNKTSDRLETVILDVTKTESIVTATQWVKEHVGNRGLWGLVNNAGISTPSG PNEWMKKQDFAHVLDVNLLGMIEVTLSMLPLVRKARGRVVNVSSVMGRVSLFGGGYCISK YGVEAFSDSLRRELSYFGVKVAIIEPGFFLTGVTSSARLCSNTQMLWDQTSSEIREIYGE KYLASYLKRLNKLDKRCNKDLSGVTDCMEHALTACHPRTRYSAGWDAKLFYLPLSYLPTF LVDALLYWTSLKPEKAL >ENSMUSP00000047776.2 pep:known chromosome:GRCm38:19:28963953:28966811:1 gene:ENSMUSG00000040105.3 transcript:ENSMUST00000045674.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp6 description:phospholipid phosphatase 6 [Source:MGI Symbol;Acc:MGI:1921661] MPSPRRTIEGRPLGSSGGSSVPGSPAHGGGSGGGRFEFQSLLNCRAGADPACARLRASDS PVHRRGSFPLAASGPAQAAPAPPPEDARMNLNPSFLGIALRSLLAIDLWLSKKLGVCAGE SSAWGSVRPLMKLLEISGHGIPWLLGTLYCLLRSDSWAGREVLMNLLFALLLDLLLVAVI KGLVRRRRPAHNQKDMFFTLSVDRYSFPSGHATRAALVSRFILNHLVLAIPLRVLVVLWA FVLGLSRVMLGRHNVTDVAFGFFLGYMQYSIVDYCWLSPHNVPVLFVLWNQQ >ENSMUSP00000050211.8 pep:known chromosome:GRCm38:8:110721476:110741400:1 gene:ENSMUSG00000033763.14 transcript:ENSMUST00000052457.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtss1l description:metastasis suppressor 1-like [Source:MGI Symbol;Acc:MGI:3039591] METAEKECGALGGLFQAIVNDMKSSYPIWEDFNSKAAKLHSQLRTTVLAAVAFLDAFQKV ADMATNTRGATRDIGSALTRMCMRHRSIETKLRQFTNALLESLINPLQERIEDWKKSANQ LDKDHAKEYKRARHEIKKKSSDTLKLQKKARKGKGDLQPQLDSALQDVNDMYLLLEETEK QAVRRALIEERGRFCTFITFLQPVVNGELTMLGEITHLQGIIDDLVVLTADPHKLPPASE QVIKDLKGSDYSWSYQTPPSSPSSSNSRKSSMCSLAQPATTRLSSVSSHDSGFVSQDPTY SKPPSPMPSDITSQKSSSSASSEASETCQSVSECSSPTSDWTKAGPHEQPSATTLQRRKD RVEHLRDTEPGPTGGGTVGSSGEEVPRTRMSPATIAAKHGEEVSPAASDLAMVLTRGLSL EHQKSSRDSLQYSSGYSTQTTTPSCSEDTIPSQGSDYDCYSVNGDADSEGPPEFDKSSTI PRNSNIAQNYRRLIQTKRPASTAGLPTAGLPTAMGLPSGAPPGVATIRRTPSTKPTVRRA LSSAGPIPIRPPIVPVKTPTVPDSPGYVGPTRAGSEECVFYTDEVASPLAPDLAKASPKR LSLPNTAWGSQSPEVASYGGGAAVGLATEDEEQQLAANRHSLVEKLGELVAGAHALGEGQ FPFPTALSATPSEETPTPPPAATSDPPAEDMLVAIRRGVRLRRTVTNDRSAPRIL >ENSMUSP00000115220.1 pep:known chromosome:GRCm38:8:110725726:110739935:1 gene:ENSMUSG00000033763.14 transcript:ENSMUST00000144041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtss1l description:metastasis suppressor 1-like [Source:MGI Symbol;Acc:MGI:3039591] MATNTRGATRDIGSALTRMCMRHRSIETKLRQFTNALLESLINPLQERIEDWKKSANQLD KDHAKEYKRARHEIKKKSSDTLKLQKKARKGKGDLQPQLDSALQDVNDMYLLLEETEKQA VRRALIEERGRFCTFITFLQPVVNGELTMLGEITHLQGIIDDLVVLTADPHKLPPASEQV IKDLKGSDYSWSYQTPPSSPSSSNSRKSSMCSLAQPATTRLSSVSSHDSGFVSQDPTYSK PPSPMPSDITSQKSSSSASSEASETCQSVSECSSPTSDWTKAGPHEQPSATTLQRRKDRV EHLRDTEPGPTGGGTVGSSGEEVPRTRMSPATIAAKHGEEVSPAASDLAMVLTRGLSLEH QKSSRDSLQYSSGYSTQTTTPSCSEDTIPSQGSDYDCYSVNGDADSEGPPEFDKSSTIPR NSNIAQNYRRLIQTKRPASTAGLPTAGLPTAMGLPSGAPPGVATIRRTPSTKPTVRRALS SAGPIPIRPPIVPVKTPTVPDSPGYVGPTRAGSEECVFYTDEVASPLAPDLAKASPKRLS LPNTAWGSQSPEVASYGGGAAVGLATEDEEQQLAANRHSLVEKLGELVAGAHALGEGQFP FPTALSATPSEETPTPPPAATSDPPAEDMLVAIRRGVRLRRTVTNDRSAPRIL >ENSMUSP00000119495.1 pep:known chromosome:GRCm38:8:110727346:110737653:1 gene:ENSMUSG00000033763.14 transcript:ENSMUST00000149273.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtss1l description:metastasis suppressor 1-like [Source:MGI Symbol;Acc:MGI:3039591] XEDWKKSANQLDKDHAKEYKRARHEIKKKSSDTLKLQKKARKGKGDLQPQLDSALQDVND MYLLLEETEKQAVRRALIEERGRFCTFITFLQPVVNGELTMLGEITHLQGIIDDLVVLTA DPHKLPPASEQVIKDLKGSDYSWSYQTPPSSPSSSNSRKSSMCSAPSSSGSAKGGGAPWP GGAQTYSPSSTCRYRSLAQPATTRLSSVSSHDSGFVSQDPTYSKPPSPMPSDITSQQKSS SSASSEASETCQSVSECSSPTSDWTKAGPHEQPSATTLQRRKDRVEHLR >ENSMUSP00000116518.1 pep:known chromosome:GRCm38:8:110727357:110738073:1 gene:ENSMUSG00000033763.14 transcript:ENSMUST00000141302.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtss1l description:metastasis suppressor 1-like [Source:MGI Symbol;Acc:MGI:3039591] XKSANQLDKDHAKEYKRARHEIKKKSSDTLKLQKKARKGKGDLQPQLDSALQDVNDMYLL LEETEKQAVRRALIEERGRFCTFITFLQPVVNGELTMLGEITHLQGIIDDLVVLTADPHK LPPASEQVIKDLKGSDYSWSYQTPPSSPSSSNSRKSSMCSAPSSSGSAKGGGAPWPGGAQ TYSPSSTCRYRSLAQPATTRLSSVSSHDSGFVSQDPTYSKPPSPMPSDITSQKSSSSASS EASETCQSVSECSSPTSDWTKAGPHEQPSATTLQRRKDRVEHLRDTEPGPTGGGTVGSSG EEVPRTRMSPATIAAKHGEEVSPAASDLAMVLTRGLSLEHQKSSRDSLQYSSGYSTQTTT PSCS >ENSMUSP00000025875.4 pep:known chromosome:GRCm38:19:28835074:28913960:1 gene:ENSMUSG00000024935.10 transcript:ENSMUST00000025875.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a1 description:solute carrier family 1 (neuronal/epithelial high affinity glutamate transporter, system Xag), member 1 [Source:MGI Symbol;Acc:MGI:105083] MGKPTSSGCDWRRFLRNHWLLLSTVAAVVLGIVLGVVVRGHSELSNLDKFYFAFPGEILM RMLKLVILPLIVSSMITGVAALDSNVSGKIGLRAVVYYFSTTVIAVILGIVLVVSIKPGV TQKVNDINRTGKTPEVSTMDAMLDLIRNMFPENLVQACFQQYKTKREEVKPVGDPGGNAT EVSVTTAMTTMSENKTKEYKIVGLYSDGINVLGLIIFCLVFGLVIGKMGEKGQILVDFFN ALSDATMKIVQIIMCYMPIGILFLIAGKIIEVEDWEIFRKLGLYMATVLSGLAIHSLIVL PLLYFIVVRKNPFRFALGMAQALLTALMISSSSATLPVTFRCAEEKNQVDKRITRFVLPV GATINMDGTALYEAVAAVFIAQLNGLDLSIGQIVTISITATAASIGAAGVPQAGLVTMVI VLSAVGLPAEDVTLIIAVDWLLDRFRTMVNVLGDAFGTGIVEKLSKKELEQMDVSSEVNI VNPFALEPTTLDNEDSDTKKSYVNGGFAVDKSDTISFTQTSQF >ENSMUSP00000015137.3 pep:known chromosome:GRCm38:5:134656039:134688598:-1 gene:ENSMUSG00000029674.13 transcript:ENSMUST00000015137.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limk1 description:LIM-domain containing, protein kinase [Source:MGI Symbol;Acc:MGI:104572] MRLTLLCCTWREERMGEEGSELPVCASCGQRIYDGQYLQALNADWHADCFRCCECSVSLS HQYYEKDGQLFCKKDYWARYGESCHGCSEHITKGLVMVAGELKYHPECFICLACGNFIGD GDTYTLVEHSKLYCGQCYYQTVVTPVIEQILPDSPGSHLPHTVTLVSIPASAHGKRGLSV SIDPPHGPPGCGTEHSHTVRVQGVDPGCMSPDVKNSIHVGDRILEINGTPIRNVPLDEID LLIQETSRLLQLTLEHDPHDSLGHGPVSDPSPLSSPVHTPSGQAASSARQKPVLRSCSID TSPGTSSLASPASQRKDLGRSESLRVVCRPHRIFRPSDLIHGEVLGKGCFGQAIKVTHRE TGEVMVMKELIRFDEETQRTFLKEVKVMRCLEHPNVLKFIGVLYKDKRLNFITEYIKGGT LRGIIKNMDSQYPWSQRVSFAKDIASGMAYLHSMNIIHRDLNSHNCLVRENRNVVVADFG LARLMIDEKNQSEDLRSLKKPDRKKRYTVVGNPYWMAPEMINGRSYDEKVDVFSFGIVLC EIIGRVNADPDYLPRTMDFGLNVRGFLDRYCPPNCPPSFFPITVRCCDLDPEKRPSFVKL EQWLETLRMHLSGHLPLGPQLEQLERGFWETYRRGESSLPAHPEVPD >ENSMUSP00000106864.1 pep:known chromosome:GRCm38:5:134656040:134678248:-1 gene:ENSMUSG00000029674.13 transcript:ENSMUST00000111233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limk1 description:LIM-domain containing, protein kinase [Source:MGI Symbol;Acc:MGI:104572] MGKLRPGHPWAPPDLQSLRTELQRMQPALALRRTRCLHLRGAKCCECSVSLSHQYYEKDG QLFCKKDYWARYGESCHGCSEHITKGLVMVAGELKYHPECFICLACGNFIGDGDTYTLVE HSKLYCGQCYYQTVVTPVIEQILPDSPGSHLPHTVTLVSIPASAHGKRGLSVSIDPPHGP PGCGTEHSHTVRVQGVDPGCMSPDVKNSIHVGDRILEINGTPIRNVPLDEIDLLIQETSR LLQLTLEHDPHDSLGHGPVSDPSPLSSPVHTPSGQAASSARQKPVLRSCSIDTSPGTSSL ASPASQRKDLGRSESLRVVCRPHRIFRPSDLIHGEVLGKGCFGQAIKVTHRETGEVMVMK ELIRFDEETQRTFLKEVKVMRCLEHPNVLKFIGVLYKDKRLNFITEYIKGGTLRGIIKNM DSQYPWSQRVSFAKDIASGMAYLHSMNIIHRDLNSHNCLVRENRNVVVADFGLARLMIDE KNQSEDLRSLKKPDRKKRYTVVGNPYWMAPEMINGRSYDEKVDVFSFGIVLCEIIGRVNA DPDYLPRTMDFGLNVRGFLDRYCPPNCPPSFFPITVRCCDLDPEKRPSFVKLEQWLETLR MHLSGHLPLGPQLEQLERGFWETYRRGESSLPAHPEVPD >ENSMUSP00000121718.1 pep:known chromosome:GRCm38:5:134656199:134678220:-1 gene:ENSMUSG00000029674.13 transcript:ENSMUST00000134093.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Limk1 description:LIM-domain containing, protein kinase [Source:MGI Symbol;Acc:MGI:104572] XPWAPPDLQSLRTELQRMQPALALRRTRCLHLRGAKCCECSVSLSHQYYEKDGQLFCKKD YWARYGESCHGCSEHITKGLVMVAGELKYHPECFICLACGNFIGDGDTYTLVEHSKLYCG QCYYQTVVTPVIEQILPDSPGSHLPHTVTLVSIPASAHGKRGLSVSIDPPHGPPGCGTEH SHTVRVQGVDPGCMSPDVKNSIHVGDRILEINGTPIRNVPLDEIDLLIQETSRLLQLTLE HDPHDSLGHGPVSDPSPLSSPVHTPSGQAASSARQKPVLRSCSIDTSPGTSSLASPASQR KDLGRSESLRVVCRPHRIFRPSDLIHGEVLGKGCFGQAIKVTHRETGEVMVMKELIRFDE ETQRTFLKEVKVMRCLEHPNVLKFIGVLYKDKRLNFITEYIKGGTLRGIIKNMPVPVESE GQLCQGHCIRDGLPPFDEHHPPRPQLPQLSGP >ENSMUSP00000118268.1 pep:known chromosome:GRCm38:5:134659994:134664205:-1 gene:ENSMUSG00000029674.13 transcript:ENSMUST00000138590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limk1 description:LIM-domain containing, protein kinase [Source:MGI Symbol;Acc:MGI:104572] RGIIKNMDSQYPWSQRVSFAKDIASGMAYLHSMNIIHRDLNSHNCLVREPSQTPPEVAQA TQAAATTATVCGQGHLGRLLELETWQRHVRGGQEDKRQSAPSLQNRN >ENSMUSP00000036830.7 pep:known chromosome:GRCm38:9:120539818:120568318:1 gene:ENSMUSG00000041608.7 transcript:ENSMUST00000047687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd3 description:ectonucleoside triphosphate diphosphohydrolase 3 [Source:MGI Symbol;Acc:MGI:1321386] MFTVMTRQPCEQAGFRALSRTPAIVTLVVLLVSIVVLVTLTLIQIRHPQVLPPGLKYGVV LDAGSSRTTVYVYQWPAEKENNTGVVSQTFRCSVKGSGISSYENNPQDAPKAFEDCILKV KEQVPEHLHGSTRIYLGATAGMRLLRLQNETAAREVLESIQSYFKSQPFDFRGAQIISGQ EEGVYGWITANYIMGNFLEKNLWHMWVHPHGVDTTGALDLGGASTQISFVAGEKMEPNAS DTVQVSLYGYTYTLYTHSFQCYGQNEAEKKFLAMLLQSPSTEANISNPCYPQGYSTAFTL GHVFGSLCTEKQRPESYNSSKSVTFMGTGDPRLCREKVASVFDFNACQEQDACSFDGIYQ PKVQGPFVAFAGFYYTASALNLSGSFSLTSFNDSSWDFCRHTWSELPALLSRFDETYARS YCFSAHYIYHLLVNGYKFTEETWPQIRFEKEVGNSSIAWSLGYMLSLTNQIPAGSPLIHL PIQPPVFMGVLAFFTAIALLCLAFLLYLCSSFRTKERSENAFDQAVDSD >ENSMUSP00000028931.3 pep:known chromosome:GRCm38:2:148798785:148805595:1 gene:ENSMUSG00000027442.10 transcript:ENSMUST00000028931.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst8 description:cystatin 8 (cystatin-related epididymal spermatogenic) [Source:MGI Symbol;Acc:MGI:107161] MAKPLWLSLILFIIPVALAVGVDQSKNEVKAQNYFGSINISNANVKQCVWFAMKEYNKES EDKYVFLVDKILHAKLQITDRMEYQIDVQISRSNCKKPLNNTENCIPQKKPELEKKMSCS FLVGALPWNGEFNLLSKECKDV >ENSMUSP00000105573.1 pep:known chromosome:GRCm38:2:148798834:148805595:1 gene:ENSMUSG00000027442.10 transcript:ENSMUST00000109947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst8 description:cystatin 8 (cystatin-related epididymal spermatogenic) [Source:MGI Symbol;Acc:MGI:107161] MAKPLWLSLILFIIPVALAVGVDQSKNEVKAQNYFGSINISNANVKQCVWFAMKEYNKES EDKYVFLVDKILHAKLQITDRMEYQIDVQISRSNCKKPLNNTENCIPQKKPELEKKMSCS FLVGALPWNGEFNLLSKECKDV >ENSMUSP00000027322.7 pep:known chromosome:GRCm38:1:82316452:82445366:1 gene:ENSMUSG00000026142.15 transcript:ENSMUST00000027322.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdd1 description:rhomboid domain containing 1 [Source:MGI Symbol;Acc:MGI:1924117] MQRRTRGINTGLLLLLSQVFQIGINNIPPVTLATLAVNVWFFLNPWKPLYHSCISVEKCY QQKDWQRLLLSPLHHGDDWHLYFNMVSMLWKGVKLERRLGSRWFAYVIATFSLLTGVVYL LLQFTVAELLNQPDFKRNCAVGFSGVLFALKVLSNHYCPGGFVNILGFPVPNRFACWAEL VAIHFCTPGTSFAGHLAGILVGLMYTQGPLKKIMDTCAGIFISHAGPSGQQNHFNNAGPS GYQNHYADGRPVTYDATYRNYDVYTAGLSEEEQLERALRASIWDRGNTRNGPMPYGFRLP PEEMRRQRLHRFDGQ >ENSMUSP00000137770.1 pep:known chromosome:GRCm38:1:82339049:82445366:1 gene:ENSMUSG00000026142.15 transcript:ENSMUST00000140020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdd1 description:rhomboid domain containing 1 [Source:MGI Symbol;Acc:MGI:1924117] MQRRTRGINTGLLLLLSQVFQIGINNIPPVTLATLAVNVWFFLNPWKPLYHSCISVEKCY QQKDWQRLLLSPLHHGDDWHLYFNMVSMLWKGVKLERRLGSRWFAYVIATFSLLTGVVYL LLQFTVAELLNQPDFKRNCAVGFSGVLFALKVLSNHYCPGGFVNILGFPVPNRFACWAEL VAIHFCTPGTSFAGHLAGILVGLMYTQGPLKKIMDTCAGIFISHAGPSGQQNHFNNAGPS GYQNHYADGRPVTYDATYRNYDVYTAGLSEEEQLERALRASIWDRGNTRNGPMPYGFRLP PEEMRRQRLHRFDGQ >ENSMUSP00000099469.3 pep:known chromosome:GRCm38:4:155067016:155072433:1 gene:ENSMUSG00000029047.13 transcript:ENSMUST00000103180.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex10 description:peroxisomal biogenesis factor 10 [Source:MGI Symbol;Acc:MGI:2684988] MARAGAPEVIRAAQKDEYYLGGLRSAAGEALHSLAGAKKWLEWRKEIELLSDIAYFGLTT IAGYQTLGEEYVGIIQVDPSQQRVPSRLRRAALVALHAVLPYLLDKALLPLEQELQADGD APRASQGSLLPGGRSRSGARRWVRHHAATLTEQQRKALQRAVFILRQGFACLHRLHVAWF YIHGTFYHLAKRLAGITYLRTRRLPGEDQKARTSYGLLGLISLLHLVLSMGLRLYSFRQK QRARKEWRLHRNLSHRRSSLEDRAVCRTPLCTLCLEERRHSTATPCGHLFCWECITEWCN TKTECPLCREKFPPQKLVYLRHYR >ENSMUSP00000020909.3 pep:known chromosome:GRCm38:12:8921664:8938742:1 gene:ENSMUSG00000020585.4 transcript:ENSMUST00000020909.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Laptm4a description:lysosomal-associated protein transmembrane 4A [Source:MGI Symbol;Acc:MGI:108017] MWQKAEGEIPSRRPARAAPGAQSAGAQELARARAEGGPEDASFGCGHRRRVVWVEPLGRK LLSGAWARTRPARFRMVSMTFKRSRSDRFYSTRCCGCCHVRTGTIILGTWYMVVNLLMAI LLTVEVTHPNSMPAVNIQYEVIGNYYSSERMADNACVLFAVSVLMFIISSMLVYGAISYQ VGWLIPFFCYRLFDFVLSCLVAISSLTYLPRIKEYLDQLPDFPYKDDLLALDSSCLLFIV LVFFVVFIIFKAYLINCVWNCYKYINNRNVPEIAVYPAFETPPQYVLPTYEMAVKIPEKE PPPPYLPA >ENSMUSP00000099969.1 pep:known chromosome:GRCm38:4:57434247:57712016:1 gene:ENSMUSG00000090053.9 transcript:ENSMUST00000102905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palm2 description:paralemmin 2 [Source:MGI Symbol;Acc:MGI:1934601] MAEAELHKERLQAIAEKRKRQTEIEGKRRQLDEQVLLLQHSKSKVLREKWLLQGVPAGTA EEEEARRRQSEEDEFKVKQLEDNIQRLEQEIQALESEESQISAKEQIILEKLKETEKSFK DLQKSFSTADGAIYAMEINVEKDKQTGETKILSASTIGPEGVHQRGVKVYDDGTKVVYEV HSGGTVVENGVHKLSAKDVEELIQKAGQSSFRRHMSERTVVADGSLGHPKEHMLCKEAKL EMVQKSRKDQSSGNPGQQAQPPITEEPGANLDQPVTMIFMGYQNIEDEEETKKVLGYDET IKAELVLIDEDDEKSLREKTVTDVSTIDGNAAELVSGRPLSDTTEPSSPEGKEESLATDP APGTQKKKRCQCCVVM >ENSMUSP00000099968.3 pep:known chromosome:GRCm38:4:57568159:57711802:1 gene:ENSMUSG00000090053.9 transcript:ENSMUST00000102904.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palm2 description:paralemmin 2 [Source:MGI Symbol;Acc:MGI:1934601] MAEAELHKERLQAIAEKRKRQTEIEGKRRQLDEQVLLLQHSKSKVLREKWLLQGVPAGTA EEEEARRRQSEEDEFKVKQLEDNIQRLEQEIQALESEESQISAKEQIILEKLKETEKSFK DLQKSFSTADGAIYAMEINVEKDKQTGETKILSASTIGPEGVHQRGVKVYDDGTKVVYEV HSGGTVVENGVHKLSAKDVEELIQKAGQSSFRRHMSERTVVADGSLGHPKEHMLCKEAKL EMVQKSRKDQSSGNPGQQAQPPITEEPGANLDQPVTMIFMGYQNIEDEEETKKVLGYDET IKAELVLIDEDDEKSLREKTVTDVSTIDGNAAELVSGRPLSDTTEPSSPEGKEESLATDP APGTQKKKRCQCCVVM >ENSMUSP00000129817.1 pep:known chromosome:GRCm38:4:57568320:57710229:1 gene:ENSMUSG00000090053.9 transcript:ENSMUST00000142556.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Palm2 description:paralemmin 2 [Source:MGI Symbol;Acc:MGI:1934601] MAEAELHKERLQAIAEKRKRQTEIEGKRRQLDEQVLLLQHSKSKVLREKWLLQGVPAGTA EEEEARRRQSEEDEFKVKQLEDNIQRLEQEIQALESEESQISAKEQIILEKLKETEKSFK DLQKSFSTADGDAVCYISS >ENSMUSP00000136346.1 pep:known chromosome:GRCm38:2:176144300:176149825:-1 gene:ENSMUSG00000095648.7 transcript:ENSMUST00000179349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2004 description:predicted gene 2004 [Source:MGI Symbol;Acc:MGI:3780174] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000104677.3 pep:known chromosome:GRCm38:2:176141591:176149825:-1 gene:ENSMUSG00000095648.7 transcript:ENSMUST00000109049.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2004 description:predicted gene 2004 [Source:MGI Symbol;Acc:MGI:3780174] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSPEQPSDFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNQTHAGEKQYECNQCGKAFERRSHLQIHKRT HTGEKPYECNQCGKAFARSGVLQKHKRTHTGEKPYECKQCGKAFAVISTLQMHKRTHTGA KPYECKQCGKAFAVIYTLQRHKQTHTGEKPYKCKQCGKTFARSSHLRIHKRTHTGEKPYE CKQCGKAFARSGVLQEHKRTHTGEKPYECKQCGKAFAQSSHLRIHKRTHTGEKPYECNQC GKAFARSGDLQQHKRTHTGEKPYECKQCGKAFAQSSHLRIHKRTHTGEKPYECNQCGKAF ARSDDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYECNQCGKAFAESS TLQIHNRTHTGDKPYECNQCGKAFAVISTLQMHNRTHTGEKPYKCKQCGKAFAQSSHLRI HKRTHTGEKPYECKQCGKAFAQSSHLRIHKQTHTGERPYECN >ENSMUSP00000135992.1 pep:known chromosome:GRCm38:2:176144300:176144697:-1 gene:ENSMUSG00000095648.7 transcript:ENSMUST00000178872.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2004 description:predicted gene 2004 [Source:MGI Symbol;Acc:MGI:3780174] DLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSRS HGR >ENSMUSP00000045043.8 pep:known chromosome:GRCm38:3:122274388:122285271:1 gene:ENSMUSG00000039756.12 transcript:ENSMUST00000035776.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnttip2 description:deoxynucleotidyltransferase, terminal, interacting protein 2 [Source:MGI Symbol;Acc:MGI:1923173] MVVTRSGLSRTRLQESSQQKRSAPRRIGTHLESTKESGSDGSTAESQPAEKQHSRSSSRT TGPAEIIVLISDDEASETESHTSGVTSVLEDQEPIVRVTRKRQIVIASTSKSTVRKRQKV APQHASADEVVVSEAESHVSGVSMVVPSTERSSRNKANSQRDSSQESQSGTVSDAELSCS GISSLEILPRTTARNVKKKLQFPAEKNDTKITPGNKKQIVGMSVCSEDSDATQLSARPLS QRNMPNVSDSETYNSDFDDSSPRNSGKKLTAQNHQNLHIQEEKRANVVSLTEVRKENCKS LDEEDLKITEEKVINEKDSQRSLSEAQDTSLQQSVSQNHSSTPNKKPTFQLSSPDRKALM KSLEHKFAVVNVERWNDKRGGSGKKSDLAQLGGGGGGGDDNEPTGAGISDDKSSQSGVPL ECDTKPCKSELSMTQDTTDSPVLLFLSSDESQQSDSSENERDTLCSVENNGQKEASAEDL EDAACDSALFVIDKTPGLSADKNFYLEDKAPSEVAIEEEKEEEEKEEENSEEDSSDSDEN KDESSDEEDLLSNTKSKLLKLTSSSIDPGLNIKQLGGLYINFNVDKLQPHKETLTQIKEK KKNELLQKAVITPDFEKKHCVPPYSESKHRLQKQRRKERQKTAGNGWFGMKAPELTDELK NDLRALKMRAGMDPKRFYKKNDRDGFPKYFQVGTIVDNPADFYHSRIPKKQRKKTIVEEL LADSEFRRFNRRKYSEIMAEKAANAEGKKFKKKKKFRN >ENSMUSP00000108979.2 pep:known chromosome:GRCm38:2:32308471:32353263:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000113352.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVNSTTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSD LANSDALKIAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKL QSQLLSIEKEVDEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGA RINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDMAFETIVKKQVKKI REPCLKCVDMVISELISTVRQCTKKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLID IELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQDEILVIRKGWLTINNIGIMKGGSKE YWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDY RQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVE TIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAE QAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPT PQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAP PGVPRITISDP >ENSMUSP00000122679.1 pep:known chromosome:GRCm38:2:32308471:32353283:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000139624.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVNSTTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSD LANSDALKIAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKL QSQLLSIEKEVDEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGA RINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDMAFETIVKKQVKKI REPCLKCVDMVISELISTVRQCTKKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLID IELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQDEILVIRKGWLTINNIGIMKGGSKE YWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDY RQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVE TIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAE QAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPT PQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAP PGVPSLGAWRLNSPQGKHENRAGKARL >ENSMUSP00000088618.5 pep:known chromosome:GRCm38:2:32308471:32353284:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000091089.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVNSTTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSD LANSDALKIAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKL QSQLLSIEKEVDEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGA RINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDMAFETIVKKQVKKI REPCLKCVDMVISELISTVRQCTKKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLID IELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQVIRKGWLTINNIGIMKGGSKEYWFV LTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDYRQLE LACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVETIRN LVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAEQAQR RDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPTPQRR APAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAPPGVP SRKGPASPTRPAAPRPTEAPLLDL >ENSMUSP00000077461.5 pep:known chromosome:GRCm38:2:32308471:32353290:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000078352.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVNSTTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSD LANSDALKIAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKL QSQLLSIEKEVDEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGA RINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDLAFEATVKKQVQKL KEPSIKCVDMVVSELTSTIRKCSEKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLID IELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQDEILVIRKGWLTINNIGIMKGGSKE YWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDY RQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVE TIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAE QAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPT PQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAP PGVPRITISDP >ENSMUSP00000108977.1 pep:known chromosome:GRCm38:2:32308471:32353302:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000113350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVNSTTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSD LANSDALKIAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKL QSQLLSIEKEVDEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGA RINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDMAFETIVKKQVKKI REPCLKCVDMVISELISTVRQCTKKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLID IELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQDEILVIRKGWLTINNIGIMKGGSKE YWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDY RQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVE TIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAE QAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPT PQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAP PGVPRITISDP >ENSMUSP00000108992.1 pep:known chromosome:GRCm38:2:32308471:32353338:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000113365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVNSTTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSD LANSDALKIAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKL QSQLLSIEKEVDEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGA RINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDMAFETIVKKQVKKI REPCLKCVDMVISELISTVRQCTKKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLID IELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQDEILVIRKGWLTINNIGIMKGGSKE YWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDY RQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVE TIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAE QAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPT PQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAP PGVPSRSGQASPSRPESPRPPFDL >ENSMUSP00000144264.1 pep:known chromosome:GRCm38:2:32308478:32353234:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000201433.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVNSTTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSD LANSDALKIAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKL QSQLLSIEKEVDEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGA RINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDLAFEATVKKQVQKL KEPSIKCVDMVVSELTSTIRKCSEKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLID IELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQDEILVIRKGWLTINNIGIMKGGSKE YWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDY RQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVE TIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAE QAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPT PQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAP PGVPSRSGQASPSRPESPRPPFDL >ENSMUSP00000118914.1 pep:known chromosome:GRCm38:2:32308497:32320581:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000129156.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] GIMKGGSKEYWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNT EQRNVYKDYRQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSM DPQLERQVETIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQ NTLMEESAEQAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQIAHVQPH AAAPSPRRAPSPARIAGPCSWASACWIRPGGGAPRALQAGGFP >ENSMUSP00000144145.1 pep:known chromosome:GRCm38:2:32308841:32353270:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000201494.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVNSTTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSD LANSDALKIAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKL QSQLLSIEKEVDEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGA RINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDLAFEATVKKQLQQY PRLREEMERIVTTHIREREGRTKEQVMLLIDIELAYMNTNHEDFIGFANAQQRSNQMNKK KTSGNQDEILVIRKGWLTINNIGIMKGGSKEYWFVLTAENLSWYKDDEEKEKKYMLSVDN LKLRDVEKGFMSSKHIFALFNTEQRNVYKDYRQLELACETQEEVDSWKASFLRAGVYPER VGDKEKASETEENGSDSFMHSMDPQLERQVETIRNLVDSYMAIVNKTVRDLMPKTIMHLM INNTKEFIFSELLANLYSCGDQNTLMEESAEQAQRRDEMLRMYHALKEALSIIGDINTTT VSTPMPPPVDDSWLQVQSVPAGRRSPTSSPTPQRRAPAVPPARPGSRGPAPGPPPAGSAL GGAPPVPSRPGASPDPFGPPPQVPSRPNRAPPGVPSRSGQASPSRPESPRPPFDL >ENSMUSP00000116054.2 pep:known chromosome:GRCm38:2:32309475:32312923:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000155269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] XKEFIFSELLANLYSCGDQNTLMEESAEQAQRRDEMLRMYHALKEALSIIGDINTTTVST PMPPPVDDSWLQVQSVPAGRRSPTSSPTPQRRAPAVPPARPGSRGPAPGPPPAGSALGGA PPVPSRPGASPDPFGPPPQVPSRPNRAPPGVPRITISDP >ENSMUSP00000143955.1 pep:known chromosome:GRCm38:2:32309479:32353302:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000202578.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVNSTTEYAEFLHCKGKKFTDFEEVRLEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLVDLPGMTKVPVGDQPPDIEFQIRDMLMQFVTKENCLILAVSPANSD LANSDALKIAKEVDPQGQRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIDGKKDITAALAAERKFFLSHPSYRHLADRMGTPYLQKVLNQQLTNHIRDTLPGLRNKL QSQLLSIEKEVDEYKNFRPDDPARKTKALLQMVQQFAVDFEKRIEGSGDQIDTYELSGGA RINRIFHERFPFELVKMEFDEKELRREISYAIKNIHGIRTGLFTPDLAFEATVKKQVQKL KEPSIKCVDMVVSELTSTIRKCSEKLQQYPRLREEMERIVTTHIREREGRTKEQVMLLID IELAYMNTNHEDFIGFANAQQRSNQMNKKKTSGNQDEILVIRKGWLTINNIGIMKGGSKE YWFVLTAENLSWYKDDEEKEKKYMLSVDNLKLRDVEKGFMSSKHIFALFNTEQRNVYKDY RQLELACETQEEVDSWKASFLRAGVYPERVGDKEKASETEENGSDSFMHSMDPQLERQVE TIRNLVDSYMAIVNKTVRDLMPKTIMHLMINNTKEFIFSELLANLYSCGDQNTLMEESAE QAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQVQSVPAGRRSPTSSPT PQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGASPDPFGPPPQVPSRPNRAP PGVPRITISDP >ENSMUSP00000115523.2 pep:known chromosome:GRCm38:2:32309850:32312893:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000139291.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] XNLYSCGDQNTLMEESAEQAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSW LQVQSVPAGRRSPTSSPTPQRRAPAVPPARPGSRGPAPGPPPAGSALGGAPPVPSRPGAS PDPFGPPPQVPSRPNRAPPGVPRITISDP >ENSMUSP00000144309.1 pep:known chromosome:GRCm38:2:32310263:32312889:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000201440.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] LYSCGDQNTLMEESAEQAQRRDEMLRMYHALKEALSIIGDINTTTVSTPMPPPVDDSWLQ IAHVQPHAAAPSPRRAPSPARIAGPCSWASACWIRPGGGAPRALQAGGFP >ENSMUSP00000118855.1 pep:known chromosome:GRCm38:2:32339940:32353251:-1 gene:ENSMUSG00000026825.17 transcript:ENSMUST00000139238.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnm1 description:dynamin 1 [Source:MGI Symbol;Acc:MGI:107384] MGNRGMEDLIPLVNRLQDAFSAIGQNADLDLPQIAVVGGQSAGKSSVLENFVGRPKQEV >ENSMUSP00000006362.3 pep:known chromosome:GRCm38:X:37826910:37829857:1 gene:ENSMUSG00000006200.3 transcript:ENSMUST00000006362.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox6 description:reproductive homeobox 6 [Source:MGI Symbol;Acc:MGI:1202888] METPQDSRQSIQKPPSPAAEEDKEEQPGGNAVVSGAPEERIDKKELVLNWLAQGEFDQGE GAQGEVAGGEQAQEEPAPLSPAQEATGGEEEGENKEGEMEGRHAGDGASSSEDDSILEEG GENIDQQPPQQEAASPDSIRNPHVLNRLAQLRYRRTRFTHSQLHDLERLFQETRYPSLRA RRDLARWMGVDECDVQNWFRMRRALFQRNRRVLMFCELPPLPQSDSP >ENSMUSP00000124863.1 pep:known chromosome:GRCm38:14:25459224:25666743:1 gene:ENSMUSG00000007817.14 transcript:ENSMUST00000162645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmiz1 description:zinc finger, MIZ-type containing 1 [Source:MGI Symbol;Acc:MGI:3040693] MNSMDRHIQQTNDRLQCIKQHLQNPANFHNAATELLDWCGDPRAFQRPFEQSLMGCLTVV SRVAAQQGFDLDLGYRLLAVCAANRDKFTPKSAALLSSWCEELGRLLLLRHQKSRQNDPP GKLPMQPPLSSMSSMKPTLSHSDGSFPYDSVPWQQNTNQPPGSLSVVTTVWGVTNTSQSQ VLGNPMANANNPMNPGGNPMASGMSTSNPGINSPQFAGQQQQFSTKAGPAQPYIQPNMYG RPGYPGSGGFGASYPGGPSAPAGMGIPPHTRPPADFTQPAAAAAAAAVAAAAATATATAT ATVAALQETQNKDINQYGPVCSSFQMGPTQAYNSQFMNQPGPRGPASMGGSLNPAGMAAG MTPSGMSGPPMGMNQPRPPGISPFGTHGQRMPQQTYPGPRPQSLPIQSIKRPYPGEPNYG NQQYGPNSQFPTQPGQYPTPNPPRPLTSPNYPGQRMPSQPSTGQYPPPTVNMGQYYKPEQ FNGQNNTFSSGSSYSSYSQGSVNRPPRPVPVANYPHSPVPGNPTPPMTPGSSIPPYLSPS QDVKPPFPPDIKPNMSALPPPPANHNDELRLTFPVRDGVVLEPFRLEHNLAVSNHVFHLR PTVHQTLMWRSDLELQFKCYHHEDRQMNTNWPASVQVSVNATPLTIERGDNKTSHKPLHL KHVCQPGRNTIQITVTACCCSHLFVLQLVHRPSVRSVLQGLLKKRLLPAEHCITKIKRNF SSVAASSGNTTLNGEDGVEQTAIKVSLKCPITFRRIQLPARGHDCKHVQCFDLESYLQLN CERGTWRCPVCNKTALLEGLEVDQYMWGILNAIQHSEFEEVTIDPTCSWRPVPIKSDLHI KDDPDGIPSKRFKTMSPSQMIMPNVMEMIAALGPGPSPYPLPPPPGGTSSNDYSSQGNNY QGHGNFDFPHGNPGGTSMNDFMHGPPQLSHPPDMPNNMAALEKPLSHPMQETMPHAGSSD QPHPSIQQGLHVPHPSSQAGPPLHHSGAPPPSQPPRQPPQAAPGNHPHSDLTFNPSSALE GQAGAQGASDMPEPSLDLLPELTNPDELLSYLDPPDLPSNSNDDLLSLFENN >ENSMUSP00000007961.8 pep:known chromosome:GRCm38:14:25459267:25664719:1 gene:ENSMUSG00000007817.14 transcript:ENSMUST00000007961.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmiz1 description:zinc finger, MIZ-type containing 1 [Source:MGI Symbol;Acc:MGI:3040693] MNSMDRHIQQTNDRLQCIKQHLQNPANFHNAATELLDWCGDPRAFQRPFEQSLMGCLTVV SRVAAQQGFDLDLGYRLLAVCAANRDKFTPKSAALLSSWCEELGRLLLLRHQKSRQNDPP GKLPMQPPLSSMSSMKPTLSHSDGSFPYDSVPWQQNTNQPPGSLSVVTTVWGVTNTSQSQ VLGNPMANANNPMNPGGNPMASGMSTSNPGINSPQFAGQQQQFSTKAGPAQPYIQPNMYG RPGYPGSGGFGASYPGGPSAPAGMGIPPHTRPPADFTQPAAAAAAAAVAAAAATATATAT ATVAALQETQNKDINQYGPMGPTQAYNSQFMNQPGPRGPASMGGSLNPAGMAAGMTPSGM SGPPMGMNQPRPPGISPFGTHGQRMPQQTYPGPRPQSLPIQSIKRPYPGEPNYGNQQYGP NSQFPTQPGQYPTPNPPRPLTSPNYPGQRMPSQPSTGQYPPPTVNMGQYYKPEQFNGQNN TFSSGSSYSSYSQGSVNRPPRPVPVANYPHSPVPGNPTPPMTPGSSIPPYLSPSQDVKPP FPPDIKPNMSALPPPPANHNDELRLTFPVRDGVVLEPFRLEHNLAVSNHVFHLRPTVHQT LMWRSDLELQFKCYHHEDRQMNTNWPASVQVSVNATPLTIERGDNKTSHKPLHLKHVCQP GRNTIQITVTACCCSHLFVLQLVHRPSVRSVLQGLLKKRLLPAEHCITKIKRNFSSVAAS SGNTTLNGEDGVEQTAIKVSLKCPITFRRIQLPARGHDCKHVQCFDLESYLQLNCERGTW RCPVCNKTALLEGLEVDQYMWGILNAIQHSEFEEVTIDPTCSWRPVPIKSDLHIKDDPDG IPSKRFKTMSPSQMIMPNVMEMIAALGPGPSPYPLPPPPGGTSSNDYSSQGNNYQGHGNF DFPHGNPGGTSMNDFMHGPPQLSHPPDMPNNMAALEKPLSHPMQETMPHAGSSDQPHPSI QQGLHVPHPSSQAGPPLHHSGAPPPSQPPRQPPQAAPGNHPHSDLTFNPSSALEGQAGAQ GASDMPEPSLDLLPELTNPDELLSYLDPPDLPSNSNDDLLSLFENN >ENSMUSP00000124820.1 pep:known chromosome:GRCm38:14:25607838:25645735:1 gene:ENSMUSG00000007817.14 transcript:ENSMUST00000160229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmiz1 description:zinc finger, MIZ-type containing 1 [Source:MGI Symbol;Acc:MGI:3040693] MQPPLSSMSSMKPTLSHSDGSFPYDSVPWQQNTNQPPGSLSVVTTVWGVTNTSQSQVLGN PMANANNPMNPGGNPMASGMSTSNPGINSPQFAGQQQQFSTKAGPAQPYIQPNMYGRPGY PGSGGFGASYPGGPSAPAGMGIPPHTRPPADFTQ >ENSMUSP00000015628.3 pep:known chromosome:GRCm38:1:156075966:156205026:-1 gene:ENSMUSG00000015484.3 transcript:ENSMUST00000015628.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam163a description:family with sequence similarity 163, member A [Source:MGI Symbol;Acc:MGI:3618859] MTAGTVVITGGILATVILLCIIAVLCYCRLQYYCCKKGTDGEDAEEEEEEEEHGLSIHPR VPACNACSSHVLDGRGGLAPLTSESCSQPCGVASHCTTCSPYRTPFYIRTADMVPNGGGG ERLSFAPTHYKEGGTPSLKLAAPQNYPVTWPSSGHEAFTNPRAISTDV >ENSMUSP00000124293.1 pep:known chromosome:GRCm38:5:146209192:146221555:-1 gene:ENSMUSG00000029634.15 transcript:ENSMUST00000161859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf6 description:ring finger protein (C3H2C3 type) 6 [Source:MGI Symbol;Acc:MGI:1921382] MDPSRSRSGGSGEESSFQENERRWQQERLHREEAYYQFINELSDEDYRLMRDHNLLGTPG EITSEELQQRLERAKEQLASQPGSDSAASDGDSESLRAHSDEDSLLRWLNTFRRTGNVTR SGQNGNQSWRAVSRTNPNSGEFGFSLEIHINPDNRGSEMHGEDSTDIPLSGVNREHRQQR PSSPVARRTRSQTSMSSSGPRGRRGARRQGSVQGSFATLGRLRNGIGVALGVPRVSAPRT NVINSHTNQSDGSTLRQGGRQRFGAAHIWENGARSNVTVRNTNQRLEPIRLRPAFSSRSR SPIQRQNGTVHHNSQRQGRPVQQTGRNRSVRHRGVTRVFLEQGREHRGTDYTPLSNSRLV SRITVEEGESSRSSAATQRHPAITLDLQVRRIRPGETRDRDSIANRTRSRAGLAESTVES TSGGFHRTISHLERSGVRTYVSTITVPLRRISENDVVEPSSVALRSILRQIMTGFGELSS LMEVEPASENQSNGQRLPEVYLELSNGDAADDSGQHGRASSQASQAQDGAEMLAVREPAP PQARPSGSRSRRQLGRADSVVEAGTLPILRLAHFFLLNEGDDDPIRGLTKEQIDNLSTRS YEQDGVDSELGKVCSVCISDYVAGNKLRQLPCLHEFHIHCIDRWLSENCTCPVCRRPVLE FGATSSG >ENSMUSP00000067559.3 pep:known chromosome:GRCm38:5:146209957:146220976:-1 gene:ENSMUSG00000029634.15 transcript:ENSMUST00000067837.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf6 description:ring finger protein (C3H2C3 type) 6 [Source:MGI Symbol;Acc:MGI:1921382] MDPSRSRSGGSGEESSFQENERRWQQERLHREEAYYQFINELSDEDYRLMRDHNLLGTPG EITSEELQQRLERAKEQLASQPGSDSAASDGDSESLRAHSDEDSLLRWLNTFRRTGNVTR SGQNGNQSWRAVSRTNPNSGEFGFSLEIHINPDNRGSEMHGEDSTDIPLSGVNREHRQQR PSSPVARRTRSQTSMSSSGPRGRRGARRQGSVQGSFATLGRLRNGIGVALGVPRVSAPRT NVINSHTNQSDGSTLRQGGRQRFGAAHIWENGARSNVTVRNTNQRLEPIRLRPAFSSRSR SPIQRQNGTVHHNSQRQGRPVQQTGRNRSVRHRGVTRVFLEQGREHRGTDYTPLSNSRLV SRITVEEGESSRSSAATQRHPAITLDLQVRRIRPGETRDRDSIANRTRSRAGLAESTVES TSGGFHRTISHLERSGVRTYVSTITVPLRRISENDVVEPSSVALRSILRQIMTGFGELSS LMEVEPASENQSNGQRLPEVYLELSNGDAADDSGQHGRASSQASQAQDGAEMLAVREPAP PQARPSGSRSRRQLGRADSVVEAGTLPILRLAHFFLLNEGDDDPIRGLTKEQIDNLSTRS YEQDGVDSELGKVCSVCISDYVAGNKLRQLPCLHEFHIHCIDRWLSENCTCPVCRRPVLE FGATSSG >ENSMUSP00000123708.1 pep:known chromosome:GRCm38:5:146211303:146217989:-1 gene:ENSMUSG00000029634.15 transcript:ENSMUST00000162219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf6 description:ring finger protein (C3H2C3 type) 6 [Source:MGI Symbol;Acc:MGI:1921382] XREEAYYQFINELSDEDYRLMRDHNLLGTPEITSEELQQRLERAKEQLASQPGSDSAASD GDSESLRAHSDEDSLLRWLNTFRRTGNVTRSGQNGNQSWRAVSRTNPNSGEFGFSLEIHI NPDNRGSEMHGEDSTDIPLSGVNREHRQQRPSSPVARRTRSQTSMSSSGPRGRRGARRQG SVQGSFATLGRLRNGIGVALGVPRVSAPRTNVINSHTNQSDGSTLRQGGRQRFGAAHIWE NGARSNVTVRNTNQRLEPIRLRPAFSSRSRS >ENSMUSP00000123730.1 pep:known chromosome:GRCm38:5:146211676:146221418:-1 gene:ENSMUSG00000029634.15 transcript:ENSMUST00000161574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf6 description:ring finger protein (C3H2C3 type) 6 [Source:MGI Symbol;Acc:MGI:1921382] MLELKETRSLRMDPSRSRSGGSGEESSFQENERRWQQERLHREEAYYQFINELSDEDYRL MRDHNLLGTPGEITSEELQQRLERAKEQLASQPGSDSAASDGDSESLRAHSDEDSLLRWL NTFRRTGNVTRSGQNGNQSWRAVSRTNPNSGEFGFSLEIHINPDNRGSEMHGEDSTDIPL SGVNREHR >ENSMUSP00000125611.1 pep:known chromosome:GRCm38:5:146211704:146220935:-1 gene:ENSMUSG00000029634.15 transcript:ENSMUST00000161331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf6 description:ring finger protein (C3H2C3 type) 6 [Source:MGI Symbol;Acc:MGI:1921382] MDPSRSRSGGSGEESSFQENERRWQQERLHREEAYYQFINELSDEDYRLMRDHNLLGTPG EITSEELQQRLERAKEQLASQPGSDSAASDGDSESLRAHSDEDSLLRWLNTFRRTGNVTR SGQNGNQSWRAVSRTNPNSGEFGFSLEIHINPDNRGSEMHGEDSTDIP >ENSMUSP00000123926.2 pep:known chromosome:GRCm38:5:146211853:146220948:-1 gene:ENSMUSG00000029634.15 transcript:ENSMUST00000159074.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf6 description:ring finger protein (C3H2C3 type) 6 [Source:MGI Symbol;Acc:MGI:1921382] MLELKETRSLRMDPSRSRSGGSGEESSFQENERRWQQERLHREEAYYQFINELSDEDYRL MRDHNLLGTPGEITSEELQQRLERAKEQLASQPGSDSAASDGDSESLRAHSDEDSLLRWL NTFRRTGNV >ENSMUSP00000128774.2 pep:known chromosome:GRCm38:5:146209196:146220901:-1 gene:ENSMUSG00000029634.15 transcript:ENSMUST00000169407.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf6 description:ring finger protein (C3H2C3 type) 6 [Source:MGI Symbol;Acc:MGI:1921382] MDPSRSRSGGSGEESSFQENERRWQQERLHREEAYYQFINELSDEDYRLMRDHNLLGTPG EITSEELQQRLERAKEQLASQPGSDSAASDGDSESLRAHSDEDSLLRWLNTFRRTGNVTR SGQNGNQSWRAVSRTNPNSGEFGFSLEIHINPDNRGSEMHGEDSTDIPLSGVNREHRQQR PSSPVARRTRSQTSMSSSGPRGRRGARRQGSVQGSFATLGRLRNGIGVALGVPRVSAPRT NVINSHTNQSDGSTLRQGGRQRFGAAHIWENGARSNVTVRNTNQRLEPIRLRPAFSSRSR SPIQRQNGTVHHNSQRQGRPVQQTGRNRSVRHRGVTRVFLEQGREHRGTDYTPLSNSRLV SRITVEEGESSRSSAATQRHPAITLDLQVRRIRPGETRDRDSIANRTRSRAGLAESTVES TSGGFHRTISHLERSGVRTYVSTITVPLRRISENDVVEPSSVALRSILRQIMTGFGELSS LMEVEPASENQSNGQRLPEVYLELSNGDAADDSGQHGRASSQASQAQDGAEMLAVREPAP PQARPSGSRSRRQLGRADSVVEAGTLPILRLAHFFLLNEGDDDPIRGLTKEQIDNLSTRS YEQDGVDSELGKVCSVCISDYVAGNKLRQLPCLHEFHIHCIDRWLSENCTCPVCRRPVLE FGATSSG >ENSMUSP00000095879.2 pep:known chromosome:GRCm38:7:98192417:98199481:-1 gene:ENSMUSG00000074004.3 transcript:ENSMUST00000098278.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt6 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 6 (core 3 synthase) [Source:MGI Symbol;Acc:MGI:3039603] MALPSSRRFKSPTTLAFFLVGVTLVVLNQWFLQEHRQEKAKGPVATRRSLAAVVQRSPLF QVPPCVANASANLLTGFQLLPARIQDFLRYRHCRRFPQLWDAPPKCAGPRGVFLLLAVKS SPAHYERRELIRRTWGQERSYSGRQVLRLFLVGTSPPEEAAREPQLADLLSLEAREYGDV LQWDFSDTFLNLTLKHLHLLDWTAEHCPGVSFLLSCDDDVFVHTANVLSFLEVQSPEHHL FTGQLMVGSVPVRESGSKYFVPPQIFPGVAYPAYCSGGGFLLSRYTVRNLRSAAHHVPLF PIDDAYMGMCLQQAGLAPSSHQGIRPFGVQLPNVQRLSLDPCMYRELLLVHRFAPYEMLL MWKALHNPALHCSHKQVAGSPTAGEQNPDAH >ENSMUSP00000103068.1 pep:known chromosome:GRCm38:4:62509169:62519894:-1 gene:ENSMUSG00000028393.10 transcript:ENSMUST00000107444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alad description:aminolevulinate, delta-, dehydratase [Source:MGI Symbol;Acc:MGI:96853] MHHQSVLHSGYFHPLLRSWQTAASTVSASNLIYPIFVTDVPDDVQPIASLPGVARYGVNQ LEEMLRPLVEAGLRCVLIFGVPSRVPKDEQGSAADSEDSPTIEAVRLLRKTFPSLLVACD VCLCPYTSHGHCGLLSENGAFLAEESRQRLAEVALAYAKAGCQVVAPSDMMDGRVEAIKA ALLKHGLGNRVSVMSYSAKFASCFYGPFRDAAQSSPAFGDRRCYQLPPGARGLALRAVAR DIQEGADMLMVKPGLPYLDMVREVKDKHPELPLAVYQVSGEFAMLWHGAQAGAFDLRTAV LETMTAFRRAGADIIITYFAPQLLKWLKEE >ENSMUSP00000030090.3 pep:known chromosome:GRCm38:4:62509169:62519918:-1 gene:ENSMUSG00000028393.10 transcript:ENSMUST00000030090.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alad description:aminolevulinate, delta-, dehydratase [Source:MGI Symbol;Acc:MGI:96853] MHHQSVLHSGYFHPLLRSWQTAASTVSASNLIYPIFVTDVPDDVQPIASLPGVARYGVNQ LEEMLRPLVEAGLRCVLIFGVPSRVPKDEQGSAADSEDSPTIEAVRLLRKTFPSLLVACD VCLCPYTSHGHCGLLSENGAFLAEESRQRLAEVALAYAKAGCQVVAPSDMMDGRVEAIKA ALLKHGLGNRVSVMSYSAKFASCFYGPFRDAAQSSPAFGDRRCYQLPPGARGLALRAVAR DIQEGADMLMVKPGLPYLDMVREVKDKHPELPLAVYQVSGEFAMLWHGAQAGAFDLRTAV LETMTAFRRAGADIIITYFAPQLLKWLKEE >ENSMUSP00000096607.2 pep:known chromosome:GRCm38:2:176226569:176240328:1 gene:ENSMUSG00000078894.9 transcript:ENSMUST00000099009.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210418O10Rik description:RIKEN cDNA 2210418O10 gene [Source:MGI Symbol;Acc:MGI:1924208] MDLVTYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCTAEQPSEFIQCGKAFAYESHSQMHQIKHTGEKHYDCNQCGKAFKRRSDLQI HKRTHTGEKPYECK >ENSMUSP00000118168.1 pep:known chromosome:GRCm38:2:176226573:176238721:1 gene:ENSMUSG00000078894.9 transcript:ENSMUST00000136719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210418O10Rik description:RIKEN cDNA 2210418O10 gene [Source:MGI Symbol;Acc:MGI:1924208] MLETYRNLTAIGYIWEEHTIEDHFQTSRSHGRHERSCTAEQPSEFIQCGKAFAYESHSQM HQIKHTGEKHYDCNQCGKAFKRRSDLQIHKRTHTGEKPYECK >ENSMUSP00000116894.1 pep:known chromosome:GRCm38:2:176230177:176235715:1 gene:ENSMUSG00000078894.9 transcript:ENSMUST00000135430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210418O10Rik description:RIKEN cDNA 2210418O10 gene [Source:MGI Symbol;Acc:MGI:1924208] MDLVTYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000126545.1 pep:known chromosome:GRCm38:2:176236860:176237609:1 gene:ENSMUSG00000078894.9 transcript:ENSMUST00000166464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210418O10Rik description:RIKEN cDNA 2210418O10 gene [Source:MGI Symbol;Acc:MGI:1924208] FAGMKEVVLQSNPLSLFNVVKPLHMRVIVKCIKLNILERNTMTVTNVVKLLKEGVTSKYI SEHIQERNPMNVNDVVKPLQEAVISEYISEHIQERNPMNVNDVVKPLQEVITSNNINEHI QERNPMNVNNVVKPFHKAVISEYISEYIQERNPMNVTNVEKPLQEVVTSKNINEHIQERN PINVNNVVKPLHKAVISDYISKHIQERDPINVTSFAGSRGLQCHKRSHTGETLYECNQGG KPFAGRNDL >ENSMUSP00000095674.2 pep:known chromosome:GRCm38:4:57568179:57896982:1 gene:ENSMUSG00000089945.10 transcript:ENSMUST00000098066.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pakap description:paralemmin A kinase anchor protein [Source:MGI Symbol;Acc:MGI:5141924] MAEAELHKERLQAIAEKRKRQTEIEGKRRQLDEQVLLLQHSKSKVLREKWLLQGVPAGTA EEEEARRRQSEEDEFKVKQLEDNIQRLEQEIQALESEESQISAKEQIILEKLKETEKSFK DLQKSFSTADGDAVCYISS >ENSMUSP00000130230.1 pep:known chromosome:GRCm38:4:57568330:57854584:1 gene:ENSMUSG00000089945.10 transcript:ENSMUST00000126465.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pakap description:paralemmin A kinase anchor protein [Source:MGI Symbol;Acc:MGI:5141924] MAEAELHKERLQAIAEKRKRQTEIEGKRRQLDEQVLLLQHSKSKVLREKWLLQGVPAGTA EEEEARRRQSEEDEFKVKQLEDNIQRLEQEIQALESEESQISAKEQIILEKLKETEKSFK DLQKSFSTADGDAVCYISS >ENSMUSP00000117466.1 pep:known chromosome:GRCm38:4:57637823:57894718:1 gene:ENSMUSG00000089945.10 transcript:ENSMUST00000150412.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pakap description:paralemmin A kinase anchor protein [Source:MGI Symbol;Acc:MGI:5141924] XFAQPLWKCLHRCGPFPLPLLAFRFLEPTPTHSDSLSLSLSLSLSLSLSLSLSLSPLSLH FFKEKRKRQTEIEGKRRQLDEQVLLLQHSKSKVLREKWLLQGVPAGTAEEEEARRRQSEE DEFKVKQLEDNIQRLEQEIQALESEESQISAKEQIILEKLKETEKSFKDLQKSFSTADGA SGWSTVLLQGDELTADPIGTNADMAIQKPPQLSEDANQLRSKQDNCGDSRLEPAASSLSP DHKNMEIGVSVAECKSVPGVTSTPHSKDHSSPFYSPSHNGLLADHHESLDNDVAREIQYL DEVLEANCCDSSVDGTYNGISSPEPGAAILVSSLGSPAHSVTEAEPTEKASGRQVPPHIE LSRSPSDRMAEGERANGHSTDQPQDLLGNSLQAPASPSSSTSSHCSSRDGEFTLTTLKKE AKFELRAFHEDKKPSKLFEEDEREKEQFCVRKVRPSEEMIELEKERRELIRSQAVKKNPG IAAKWWNPPQEKTIEEQLDEEHLESHRKYKERKEKRAQQEQLQLQQQQQQQLQQQQLQQQ QLQQQQLQQQLQQQQLSTSQPCTAPAAHKHLDGIEHTKEDVVTEQIDFSAARKQFQLMEN SRQTLAKGQSTPRLFSIKPYYKPLGSIHSDKPPTILRPATVGGTLEDGGTQAAKEQKAPC VSESQSAGAGPANAATQGKEGPYSEPSKRGPLSKLWAEDGEFTSARAVLTVVKDEDHGIL DQFSRSVNVSLTQEELDSGLDELSVRSQDTTVLETLSNDFSMDNISDSGASNETPSALQE NSLADFSLPQTPQTDNPSEGREGVSKSFSDHGFYSPSSTLGDSPSVDDPLEYQAGLLVQN AIQQAIAEQVDKAEAHTSKEGSEQQEPEATVEEAGSQTPGSEKPQGMFAPPQVSSPVQEK RDILPKNLPAEDRALREKGPSQPPTAAQPSGPVNMEETRPEGGYFSKYSEAAELRSTASL LATQESDVMVGPFKLRSRKQRTLSMIEEEIRAAQEREEELKRQRQVRQSTPSPRAKNAPS LPSRTTCYKTAPGKIEKVKPPPSPTTEGPSLQPDLAPEEAAGTQRPKNLMQTLMEDYETH KSKRRERMDDSSYTSKLLSCKVTSEVLEATRVNRRKSALALRWEAGIYANQEEEDNE >ENSMUSP00000103224.3 pep:known chromosome:GRCm38:4:57845248:57896984:1 gene:ENSMUSG00000089945.10 transcript:ENSMUST00000107598.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pakap description:paralemmin A kinase anchor protein [Source:MGI Symbol;Acc:MGI:5141924] MEIGVSVAECKSVPGVTSTPHSKDHSSPFYSPSHNGLLADHHESLDNDVAREIQYLDEVL EANCCDSSVDGTYNGISSPEPGAAILVSSLGSPAHSVTEAEPTEKASGRQVPPHIELSRS PSDRMAEGERANGHSTDQPQDLLGNSLQAPASPSSSTSSHCSSRDGEFTLTTLKKEAKFE LRAFHEDKKPSKLFEEDEREKEQFCVRKVRPSEEMIELEKERRELIRSQAVKKNPGIAAK WWNPPQEKTIEEQLDEEHLESHRKYKERKEKRAQQEQLQLQQQQQQQLQQQQLQQQQLQQ QQLQQQLQQQQLSTSQPCTAPAAHKHLDGIEHTKEDVVTEQIDFSAARKQFQLMENSRQT LAKGQSTPRLFSIKPYYKPLGSIHSDKPPTILRPATVGGTLEDGGTQAAKEQKAPCVSES QSAGAGPANAATQGKEGPYSEPSKRGPLSKLWAEDGEFTSARAVLTVVKDEDHGILDQFS RSVNVSLTQEELDSGLDELSVRSQDTTVLETLSNDFSMDNISDSGASNETPSALQENSLA DFSLPQTPQTDNPSEGREGVSKSFSDHGFYSPSSTLGDSPSVDDPLEYQAGLLVQNAIQQ AIAEQVDKAEAHTSKEGSEQQEPEATVEEAGSQTPGSEKPQGMFAPPQVSSPVQEKRDIL PKNLPAEDRALREKGPSQPPTAAQPSGPVNMEETRPEGGYFSKYSEAAELRSTASLLATQ ESDVMVGPFKLRSRKQRTLSMIEEEIRAAQEREEELKRQRQVRQSTPSPRAKNAPSLPSR TTCYKTAPGKIEKVKPPPSPTTEGPSLQPDLAPEEAAGTQRPKNLMQTLMEDYETHKSKR RERMDDSSVLEATRVNRRKSALALRWEAGIYANQEEEDNE >ENSMUSP00000142200.1 pep:known chromosome:GRCm38:3:25426215:26332460:-1 gene:ENSMUSG00000063887.13 transcript:ENSMUST00000193603.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn1 description:neuroligin 1 [Source:MGI Symbol;Acc:MGI:2179435] MALPRCMWPNYVWRAMMACVVHRGSGAPLTLCLLGCLLQTFHVLSQKLDDVDPLVTTNFG KIRGIKKELNNEILGPVIQFLGVPYAAPPTGEHRFQPPEPPSPWSDIRNATQFAPVCPQN IIDGRLPEVMLPVWFTNNLDVVSSYVQDQSEDCLYLNIYVPTEDGPLTKKHTDDLGDNDG AEDEDIRDSGGPKPVMVYIHGGSYMEGTGNLYDGSVLASYGNVIVITVNYRLGVLGFLST GDQAAKGNYGLLDLIQALRWTSENIGFFGGDPLRITVFGSGAGGSCVNLLTLSHYSEGNR WSNSTKGLFQRAIAQSGTALSSWAVSFQPAKYARILATKVGCNVSDTVELVECLQKKPYK ELVDQDVQPARYHIAFGPVIDGDVIPDDPQILMEQGEFLNYDIMLGVNQGEGLKFVENIV DSDDGVSASDFDFAVSNFVDNLYGYPEGKDVLRETIKFMYTDWADRHNPETRRKTLLALF TDHQWVAPAVATADLHSNFGSPTYFYAFYHHCQTDQVPAWADAAHGDEVPYVLGIPMIGP TELFPCNFSKNDVMLSAVVMTYWTNFAKTGDPNQPVPQDTKFIHTKPNRFEEVAWTRYSQ KDQLYLHIGLKPRVKEHYRANKVNLWLELVPHLHNLNDISQYTSTTTKVPSTDITLRPTR KNSTPVTSAFPTAKQDDPKQQPSPFSVDQRDYSTELSVTIAVGASLLFLNILAFAALYYK KDKRRHDVHRRCSPQRTTTNDLTHAPEEEIMSLQMKHTDLDHECESIHPHEVVLRTACPP DYTLAMRRSPDDIPLMTPNTITMIPNTIPGIQPLHTFNTFTGGQNNTLPHPHPHPHSHST TRV >ENSMUSP00000142086.1 pep:known chromosome:GRCm38:3:25433431:26153307:-1 gene:ENSMUSG00000063887.13 transcript:ENSMUST00000191835.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn1 description:neuroligin 1 [Source:MGI Symbol;Acc:MGI:2179435] MALPRCMWPNYVWRAMMACVVHRGSGAPLTLCLLGCLLQTFHVLSQKLDDVDPLVTTNFG KIRGIKKELNNEILGPVIQFLGVPYAAPPTGEHRFQPPEPPSPWSDIRNATQFAPVCPQN IIDGRLPEVMLPVWFTNNLDVVSSYVQDQSEDCLYLNIYVPTEDDIRDSGGPKPVMVYIH GGSYMEGTGNLYDGSVLASYGNVIVITVNYRLGVLGFLSTGDQAAKGNYGLLDLIQALRW TSENIGFFGGDPLRITVFGSGAGGSCVNLLTLSHYSEGLFQRAIAQSGTALSSWAVSFQP AKYARILATKVGCNVSDTVELVECLQKKPYKELVDQDVQPARYHIAFGPVIDGDVIPDDP QILMEQGEFLNYDIMLGVNQGEGLKFVENIVDSDDGVSASDFDFAVSNFVDNLYGYPEGK DVLRETIKFMYTDWADRHNPETRRKTLLALFTDHQWVAPAVATADLHSNFGSPTYFYAFY HHCQTDQVPAWADAAHGDEVPYVLGIPMIGPTELFPCNFSKNDVMLSAVVMTYWTNFAKT GDPNQPVPQDTKFIHTKPNRFEEVAWTRYSQKDQLYLHIGLKPRVKEHYRANKVNLWLEL VPHLHNLNDISQYTSTTTKVPSTDITLRPTRKNSTPVTSAFPTAKQDDPKQQPSPFSVDQ RDYSTELSVTIAVGASLLFLNILAFAALYYKKDKRRHDVHRRCSPQRTTTNDLTHAPEEE IMSLQMKHTDLDHECESIHPHEVVLRTACPPDYTLAMRRSPDDIPLMTPNTITMIPNTIP GIQPLHTFNTFTGGQNNTLPHPHPHPHSHSTTRV >ENSMUSP00000103944.3 pep:known chromosome:GRCm38:3:25431811:26133734:-1 gene:ENSMUSG00000063887.13 transcript:ENSMUST00000108308.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn1 description:neuroligin 1 [Source:MGI Symbol;Acc:MGI:2179435] MALPRCMWPNYVWRAMMACVVHRGSGAPLTLCLLGCLLQTFHVLSQKLDDVDPLVTTNFG KIRGIKKELNNEILGPVIQFLGVPYAAPPTGEHRFQPPEPPSPWSDIRNATQFAPVCPQN IIDGRLPEVMLPVWFTNNLDVVSSYVQDQSEDCLYLNIYVPTEDDIRDSGGPKPVMVYIH GGSYMEGTGNLYDGSVLASYGNVIVITVNYRLGVLGFLSTGDQAAKGNYGLLDLIQALRW TSENIGFFGGDPLRITVFGSGAGGSCVNLLTLSHYSEGLFQRAIAQSGTALSSWAVSFQP AKYARILATKVGCNVSDTVELVECLQKKPYKELVDQDVQPARYHIAFGPVIDGDVIPDDP QILMEQGEFLNYDIMLGVNQGEGLKFVENIVDSDDGVSASDFDFAVSNFVDNLYGYPEGK DVLRETIKFMYTDWADRHNPETRRKTLLALFTDHQWVAPAVATADLHSNFGSPTYFYAFY HHCQTDQVPAWADAAHGDEVPYVLGIPMIGPTELFPCNFSKNDVMLSAVVMTYWTNFAKT GDPNQPVPQDTKFIHTKPNRFEEVAWTRYSQKDQLYLHIGLKPRVKEHYRANKVNLWLEL VPHLHNLNDISQYTSTTTKVPSTDITLRPTRKNSTPVTSAFPTAKQDDPKQQPSPFSVDQ RDYSTELSVTIAVGASLLFLNILAFAALYYKKDKRRHDVHRRCSPQRTTTNDLTHAPEEE IMSLQMKHTDLDHECESIHPHEVVLRTACPPDYTLAMRRSPDDIPLMTPNTITMIPNTIP GIQPLHTFNTFTGGQNNTLPHPHPHPHSHSTTRV >ENSMUSP00000074565.4 pep:known chromosome:GRCm38:3:25431811:26133734:-1 gene:ENSMUSG00000063887.13 transcript:ENSMUST00000075054.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn1 description:neuroligin 1 [Source:MGI Symbol;Acc:MGI:2179435] MALPRCMWPNYVWRAMMACVVHRGSGAPLTLCLLGCLLQTFHVLSQKLDDVDPLVTTNFG KIRGIKKELNNEILGPVIQFLGVPYAAPPTGEHRFQPPEPPSPWSDIRNATQFAPVCPQN IIDGRLPEVMLPVWFTNNLDVVSSYVQDQSEDCLYLNIYVPTEDGPLTKKHTDDLGDNDG AEDEDIRDSGGPKPVMVYIHGGSYMEGTGNLYDGSVLASYGNVIVITVNYRLGVLGFLST GDQAAKGNYGLLDLIQALRWTSENIGFFGGDPLRITVFGSGAGGSCVNLLTLSHYSEGNR WSNSTKGLFQRAIAQSGTALSSWAVSFQPAKYARILATKVGCNVSDTVELVECLQKKPYK ELVDQDVQPARYHIAFGPVIDGDVIPDDPQILMEQGEFLNYDIMLGVNQGEGLKFVENIV DSDDGVSASDFDFAVSNFVDNLYGYPEGKDVLRETIKFMYTDWADRHNPETRRKTLLALF TDHQWVAPAVATADLHSNFGSPTYFYAFYHHCQTDQVPAWADAAHGDEVPYVLGIPMIGP TELFPCNFSKNDVMLSAVVMTYWTNFAKTGDPNQPVPQDTKFIHTKPNRFEEVAWTRYSQ KDQLYLHIGLKPRVKEHYRANKVNLWLELVPHLHNLNDISQYTSTTTKVPSTDITLRPTR KNSTPVTSAFPTAKQDDPKQQPSPFSVDQRDYSTELSVTIAVGASLLFLNILAFAALYYK KDKRRHDVHRRCSPQRTTTNDLTHAPEEEIMSLQMKHTDLDHECESIHPHEVVLRTACPP DYTLAMRRSPDDIPLMTPNTITMIPNTIPGIQPLHTFNTFTGGQNNTLPHPHPHPHSHST TRV >ENSMUSP00000033763.8 pep:known chromosome:GRCm38:X:73916873:73921944:-1 gene:ENSMUSG00000031388.14 transcript:ENSMUST00000033763.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa10 description:N(alpha)-acetyltransferase 10, NatA catalytic subunit [Source:MGI Symbol;Acc:MGI:1915255] MNIRNARPEDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDENGKIVGYVLAKM EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFNAKYVSLHVRKSNRAALH LYSNTLNFQISEVEPKYYADGEDAYAMKRDLTQMADELRRHLELKEKGKHMVLAALENKA ENKGNVLLSSGEACREEKGLAAEDSGGDSKDLSEVSETTESTDVKDSSEASDSAS >ENSMUSP00000110031.3 pep:known chromosome:GRCm38:X:73916875:73921924:-1 gene:ENSMUSG00000031388.14 transcript:ENSMUST00000114389.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa10 description:N(alpha)-acetyltransferase 10, NatA catalytic subunit [Source:MGI Symbol;Acc:MGI:1915255] MNIRNARPEDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDENGKIVGYVLAKM EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFNAKYVSLHVRKSNRAALH LYSNTLNFQISEVEPKYYADGEDAYAMKRDLTQMADEPASGPGSSCLLSGDLGPVSFHPL PSGLLAAAEAAPGAEGKGQAHGSGGLGEQSGEQRQRASELRRGLS >ENSMUSP00000110029.1 pep:known chromosome:GRCm38:X:73916887:73921888:-1 gene:ENSMUSG00000031388.14 transcript:ENSMUST00000114387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa10 description:N(alpha)-acetyltransferase 10, NatA catalytic subunit [Source:MGI Symbol;Acc:MGI:1915255] MNIRNARPEDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDENGKIVGYVLAKM EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFNAKYVSLHVRKSNRAALH LYSNTLNFQISEVEPKYYADGEDAYAMKRDLTQMADEPQGLAPLVSCLET >ENSMUSP00000110033.3 pep:known chromosome:GRCm38:X:73916896:73921884:-1 gene:ENSMUSG00000031388.14 transcript:ENSMUST00000114391.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa10 description:N(alpha)-acetyltransferase 10, NatA catalytic subunit [Source:MGI Symbol;Acc:MGI:1915255] MNIRNARPEDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDENGKIVGYVLAKM EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFNAKYVSLHVRKRISEVEP KYYADGEDAYAMKRDLTQMADELRRHLELKEKGKHMVLAALENKAENKGNVLLSSGEACR EEKGLAAEDSGGDSKDLSEVSETTESTDVKDSSEASDSAS >ENSMUSP00000110032.1 pep:known chromosome:GRCm38:X:73916920:73921832:-1 gene:ENSMUSG00000031388.14 transcript:ENSMUST00000114390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa10 description:N(alpha)-acetyltransferase 10, NatA catalytic subunit [Source:MGI Symbol;Acc:MGI:1915255] MNIRNARPEDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDENGKIVGYVLAKM EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFNAKYVSLHVRKRAALHLY SNTLNFQISEVEPKYYADGEDAYAMKRDLTQMADELRRHLELKEKGKHMVLAALENKAEN KGNVLLSSGEACREEKGLAAEDSGGDSKDLSEVSETTESTDVKDSSEASDSAS >ENSMUSP00000094040.3 pep:known chromosome:GRCm38:X:73917474:73921828:-1 gene:ENSMUSG00000031388.14 transcript:ENSMUST00000096316.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa10 description:N(alpha)-acetyltransferase 10, NatA catalytic subunit [Source:MGI Symbol;Acc:MGI:1915255] MNIRNARPEDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDENGKIVGYVLAKM EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFNAKYVSLHVRKSNRAALH LYSNTLNFQISEVEPKYYADGEDAYAMKRDLTQMADEVRVQPVQGSNSSSRGDSVLGKGG YFRAVRLSEAVVARGKTKIECQPLSL >ENSMUSP00000029766.4 pep:known chromosome:GRCm38:3:122419778:122530191:1 gene:ENSMUSG00000028121.8 transcript:ENSMUST00000029766.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcar3 description:breast cancer anti-estrogen resistance 3 [Source:MGI Symbol;Acc:MGI:1352501] MAAGKFASLPRNMPVNHQFPLASSMDLLSSKSPLAERRTDAYQDVSIHGTLPRKKKGPPS IRSCDNAGHSKSPRQSSPLTQDIIQENPLQDRKGENFIFRDPYLLDPTLEYVKFSKERHI MDRTPERLKKELEEELLLSSEDLRSHAWYHGRIPRQVSENLVQRDGDFLVRDSLSSPGNF VLTCQWKNLAQHFKINRTVLRLSEAYSRVQYQFEMESFDSIPGLVRCYVGNRRPISQQSG AIIFQPINRTVPLWCLEERYGTSPGRGREGSLAEGRPDVVKRLSLTTGSSIQAREHSLPR GNLLRNKEKSGSQPACLDHVQDRKALTLKAHQSESHLPIGCKLPPQSPSMDTSPCPSSPV FRTGSEPTLSPALVRRFSSDARTGEALRGSDSQLCPKPPPKPCKVPFLKTPPSPSPWLTS EANYCELNPAFAVGCDRGAKLPMQAHDSHEMLLTAKQNGPSGPRNSGINYMILDGDDQAR HWDPLAVQTDEGQEDKTKFVPPLMETVSSFRPNDFESKLLPPENKPLETAMLKHAKELFT NHDARVIAQHMLSVDCKVARILEVSEDRKRSMGVSSGLELITLPHGRQLRLDIIERHNTM AIGIAVDILGCTGTLENRAGTLNKIIQVAVELKDAMGDLYAFSAIMKALEMPQITRLEKT WTALRHHYTQTAILYEKQLKPFSKILHEGRESTYVPASNVSVPLLMPLVTLMERQAVTFE GTDMWENNDESCEILLNHLATARFMAEASESYRMNAERILADFQPDEEMTEILRTEFQMR LLWGSKGAEVNQNERYDKFNQILTALSRKLEPPSGKQAEL >ENSMUSP00000142469.1 pep:known chromosome:GRCm38:3:122483723:122508448:1 gene:ENSMUSG00000028121.8 transcript:ENSMUST00000197073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcar3 description:breast cancer anti-estrogen resistance 3 [Source:MGI Symbol;Acc:MGI:1352501] MPKECSAFHALSAALCCFYQRKSFFGVKFSKERHIMDRTPERLKKELEEELLLSSEDLRS HAWYHGRIPRQVSENLVQRDGDFLVRDSLSSPGNFVLTCQWKNLAQHFKINRTVLRLSEA YSRVQYQFEMESFDSIPGLVRCYVGNRRPISQQSGAIIFQPINRTVPLWCLEERYGTSP >ENSMUSP00000142340.1 pep:known chromosome:GRCm38:3:122504675:122508449:1 gene:ENSMUSG00000028121.8 transcript:ENSMUST00000199358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcar3 description:breast cancer anti-estrogen resistance 3 [Source:MGI Symbol;Acc:MGI:1352501] MDRTPERLKKELEEELLLSSEDLRSHAWYHGRIPRQVSENLVQRDGDFLVRDSLSSPGNF VLTCQWKNLAQHFKINRTVLRLSEAYSRVQYQFEMESFDSIPGLVRCYVGNRRPISQQSG AIIFQPINRTVPLWCLEERYGTSP >ENSMUSP00000143112.1 pep:known chromosome:GRCm38:3:122511129:122512937:1 gene:ENSMUSG00000028121.8 transcript:ENSMUST00000198659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcar3 description:breast cancer anti-estrogen resistance 3 [Source:MGI Symbol;Acc:MGI:1352501] MDTSPCPSSPVFRTGSEPTLSPALVRRFSSDARTGEALRGSDSQLCPKPPPKPCKVPFLK TPPSPSPWLTSEANYCELNPAFAVGCDRGAKLPMQAHDSHEMLLTAKQNGPSGPRNSGIN YMILDGDDQARHWDPLAVQTDEGQEDKTKFVPPLMETVSSFRPNDFESKLLPPENKPLET AMLKHA >ENSMUSP00000143711.1 pep:known chromosome:GRCm38:3:122512222:122523210:1 gene:ENSMUSG00000028121.8 transcript:ENSMUST00000199344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcar3 description:breast cancer anti-estrogen resistance 3 [Source:MGI Symbol;Acc:MGI:1352501] MDTSPCPSSPVFRTGSEPTLSPALVRRFSSDARTGEALRGSDSQLCPKPPPKPCKVPFLK TPPSPSPWLTSEANYCELNPAFAVGCDRGAKLPMQAHDSHEMLLTAKQNGPSGPRNSGIN YMILDGDDQARHWDPLAVQTDEGQEDKTKFVPPLMETVSSFRPNDFESKLLPPENKPLET AMLKHAKELFTNHDARVIAQHMLSVDCKVARILEVSEDRKRSMGVSSGLELITLPHGRQL RLDIIERHNTMAIGIAVDILG >ENSMUSP00000135997.1 pep:known chromosome:GRCm38:7:21994587:21995510:1 gene:ENSMUSG00000095962.1 transcript:ENSMUST00000177884.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r137 description:vomeronasal 1 receptor 137 [Source:MGI Symbol;Acc:MGI:3782735] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPNELKCKLDFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000083064.6 pep:known chromosome:GRCm38:7:28179469:28187294:1 gene:ENSMUSG00000002409.18 transcript:ENSMUST00000085901.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk1b description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 1b [Source:MGI Symbol;Acc:MGI:1330302] MAVPPGHGPFSGFPGPQEHTQVLPDVRLLPRRLPLAFRDAASAPLRKLSVDLIKTYKHIN EVYYAKKKRRAQQAPPQDSSTKKEKKVLNHGYDDDNHDYIVRSGERWLERYEIDSLIGKG SFGQVVKAYDHQTQELVAIKIIKNKKAFLNQAQIELRLLELMNQHDTEMKYYIVHLKRHF MFRNHLCLVFELLSYNLYDLLRNTHFRGVSLNLTRKLAQQLCTALLFLATPELSIIHCDL KPENILLCNPKRSAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGTPYDLAIDMWSLG CILVEMHTGEPLFSGSNEVDQMSRIVEVLGIPPAPMLEQAPKARKYFERLPGGGWTLRRT KELRKDYQGPGTRRLQEVLGVQTGGPGGRRAGEPGHSPADYLRFQDLVLRMLEYEPAARI SPLGALQHGFFRRTADEATNTGPAGSSASTSPAPLDTCPSSSTASSISSSGGSSGSSNDN RAYRYSNRYCGGPGPPITDCEMNSPQVLPSQPLRPWAGGDVPHKTHQAPISASTLPGTGA QLPPLPRCLGRPPSPTSPPPPELMDVSLVGSPPDCSPPPPAPAPQHPAASALRTRMTGGR PPLPPPDDPATLGPRLGLHGVPQSTAASS >ENSMUSP00000133719.1 pep:known chromosome:GRCm38:7:28179494:28186923:1 gene:ENSMUSG00000002409.18 transcript:ENSMUST00000172761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk1b description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 1b [Source:MGI Symbol;Acc:MGI:1330302] MAVPPGHGPFSGFPGPQEHTQVLPDVRLLPRRLPLAFRDAASAPLRKLSVDLIKTYKHIN EVYYAKKKRRAQQAPPQDSSTKKEKKVLNHGYDDDNHDYIVRSGERWLERYEIDSLIGKG SFGQVVKAYDHQTQELVAIKIIKNKKAFLNQAQIELRLLELMNQHDTEMKYYIVHLKRHF MFRNHLCLVFELLSYNLYDLLRNTHFRGVSLNLTRKLAQQLCTALLFLATPELSIIHCDL KPENILLCNPKRSAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGTPYDLAIDMWSLG CILVEMHTGEPLFSGSNEVDQMSRIVEVLGIPPAPMLEQAPKARKYFERLPGGGWTLRRT KELRKDLVLRMLEYEPAARISPLGALQHGFFRRTADEATNTGPAGSSASTSPAPLDTCPS SSTASSISSSGGSSGSSNDNRAYRYSNRYCGGPGPPITDCEMNSPQVLPSQPLRPWAGGD VPHKTHQAPISASTLPGTGAQLPPLPRCLGRPPSPTSPPPPELMDVSLVGSPPDCSPPPP APAPQHPAASALRTRMTGGRPPLPPPDDPATLGPRLGLHGVPQSTAASS >ENSMUSP00000133431.2 pep:known chromosome:GRCm38:7:28180266:28187294:1 gene:ENSMUSG00000002409.18 transcript:ENSMUST00000172467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk1b description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 1b [Source:MGI Symbol;Acc:MGI:1330302] MLAARPPHWGPHRAPAPRGPSAIPDPGLSGGGSRGAGCEKAPPGRAPAPGLTPLRPSEPT MAVPPGHGPFSGFPGPQEHTQVLPDVRLLPRRLPLAFRDAASAPLRKLSVDLIKTYKHIN EVYYAKKKRRAQQAPPQDSSTKKEKKVLNHGYDDDNHDYIVRSGERWLERYEIDSLIGKG SFGQVVKAYDHQTQELVAIKIIKNKKAFLNQAQIELRLLELMNQHDTEMKYYIVHLKRHF MFRNHLCLVFELLSYNLYDLLRNTHFRGVSLNLTRKLAQQLCTALLFLATPELSIIHCDL KPENILLCNPKRSAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGTPYDLAIDMWSLG CILVEMHTGEPLFSGSNEVDQMSRIVEVLGIPPAPMLEQAPKARKYFERLPGGGWTLRRT KELRKDYQGPGTRRLQEVLGVQTGGPGGRRAGEPGHSPADYLRFQDLVLRMLEYEPAARI SPLGALQHGFFRRTADEATNTGPAGSSASTSPAPLDTCPSSSTASSISSSGGSSGSSNDN RAYRYSNRYCGGPGPPITDCEMNSPQVLPSQPLRPWAGGDVPHKTHQAPISASTLPGTGA QLPPLPRCLGRPPSPTSPPPPELMDVSLVGSPPDCSPPPPAPAPQHPAASALRTRMTGGR PPLPPPDDPATLGPRLGLHGVPQSTAASS >ENSMUSP00000137285.1 pep:known chromosome:GRCm38:7:22027977:22028897:-1 gene:ENSMUSG00000095163.1 transcript:ENSMUST00000179206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r138 description:vomeronasal 1 receptor 138 [Source:MGI Symbol;Acc:MGI:3782355] MSVHDKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGYKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSRLVARSTNLCSTCVLSIHQFVTLFP LSRGKGKLILRASVQNLASYSCYSCWFFSVLSNIHIPIRVTGPQITDNNTDSKSNLFCST SGFIVGIVLQFAHDATFMSIMIWTSVSMILLLHRHCQRMQDILTPNQNPRGQAETRATRT ILMLVVTFVSFYLLNCICIIFHAFFIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDPC SVLFKC >ENSMUSP00000104589.3 pep:known chromosome:GRCm38:2:176317638:176319494:-1 gene:ENSMUSG00000078895.4 transcript:ENSMUST00000108961.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11009 description:predicted gene 11009 [Source:MGI Symbol;Acc:MGI:3779227] NLVTYDDVHVNFTQEEGALLETSQKNLYKDVMLETYRNLSAIGYIWEEHTIEDHFQTSRS HGRHERSCIAVQPSEFIQCGKAFAYHSLRQRHERTHNGEKDYYCNQCGKAFVISSHLRIH KRRNTGEKPYECNQSLHS >ENSMUSP00000075067.3 pep:known chromosome:GRCm38:11:33152287:33163206:-1 gene:ENSMUSG00000057113.13 transcript:ENSMUST00000075641.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npm1 description:nucleophosmin 1 [Source:MGI Symbol;Acc:MGI:106184] MEDSMDMDMSPLRPQNYLFGCELKADKDYHFKVDNDENEHQLSLRTVSLGAGAKDELHIV EAEAMNYEGSPIKVTLATLKMSVQPTVSLGGFEITPPVVLRLKCGSGPVHISGQHLVAVE EDAESEDEDEEDVKLLGMSGKRSAPGGGNKVPQKKVKLDEDDEDDDEDDEDDEDDDDDDF DEEETEEKVPVKKSVRDTPAKNAQKSNQNGKDLKPSTPRSKGQESFKKQEKTPKTPKGPS SVEDIKAKMQASIEKGGSLPKVEAKFINYVKNCFRMTDQEAIQDLWQWRKSL >ENSMUSP00000090891.6 pep:known chromosome:GRCm38:11:33152510:33163072:-1 gene:ENSMUSG00000057113.13 transcript:ENSMUST00000093201.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npm1 description:nucleophosmin 1 [Source:MGI Symbol;Acc:MGI:106184] MEDSMDMDMSPLRPQNYLFGCELKADKDYHFKVDNDENEHQLSLRTVSLGAGAKDELHIV EAEAMNYEGSPIKVTLATLKMSVQPTVSLGGFEITPPVVLRLKCGSGPVHISGQHLVAVE EDAESEDEDEEDVKLLGMSGKRSAPGGGNKVPQKKVKLDEDDEDDDEDDEDDEDDDDDDF DEEETEEKVPVKKGQESFKKQEKTPKTPKGPSSVEDIKAKMQASIEKGGSLPKVEAKFIN YVKNCFRMTDQEAIQDLWQWRKSL >ENSMUSP00000104978.3 pep:known chromosome:GRCm38:11:33154927:33163077:-1 gene:ENSMUSG00000057113.13 transcript:ENSMUST00000109354.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npm1 description:nucleophosmin 1 [Source:MGI Symbol;Acc:MGI:106184] MEDSMDMDMSPLRPQNYLFGCELKADKDYHFKVDNDENEHQLSLRTVSLGAGAKDELHIV EAEAMNYEGSPIKVTLATLKMSVQPTVSLGGFEITPPVVLRLKCGSGPVHISGQHLVAVE EDAESEDEDEEDVKLLGMSGKRSAPGGGNKVPQKKVKLDEDDEDDDEDDEDDEDDDDDDF DEEETEEKVPVKKSVRDTPAKNAQKSNQNGKDLKPSTPRSKGQESFKKQEKTPKTPKGPS SVEDIKAKMQASIEKAH >ENSMUSP00000098926.4 pep:known chromosome:GRCm38:11:33155328:33163076:-1 gene:ENSMUSG00000057113.13 transcript:ENSMUST00000101375.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npm1 description:nucleophosmin 1 [Source:MGI Symbol;Acc:MGI:106184] MEDSMDMDMSPLRPQNYLFGCELKADKDYHFKVDNDENEHQLSLRTVSLGAGAKDELHIV EAEAMNYEGSPIKVTLATLKMSVQPTVSLGGFEITPPVVLRLKCGSGPVHISGQHLVAVE EDAESEDEDEEDVKLLGMSGKRSAPGGGNKVPQKKVKLDEDDEDDDEDDEDDEDDDDDDF DEEETEEKVPVKKSVRDTPAKNAQKSNQNGKDLKPSTPRSKGQESFKKQEKTPKTPKGPS SVEDIKAKMQASIEKFSHGFRRFSSFVQPQMDWNLALGSTFHISY >ENSMUSP00000099657.4 pep:known chromosome:GRCm38:4:129516104:129542713:-1 gene:ENSMUSG00000028800.15 transcript:ENSMUST00000102597.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac1 description:histone deacetylase 1 [Source:MGI Symbol;Acc:MGI:108086] MAQTQGTKRKVCYYYDGDVGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKAN AEEMTKYHSDDYIKFLRSIRPDNMSEYSKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVAS AVKLNKQQTDIAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKYHQRVLYIDIDIHHG DGVEEAFYTTDRVMTVSFHKYGEYFPGTGDLRDIGAGKGKYYAVNYPLRDGIDDESYEAI FKPVMSKVMEMFQPSAVVLQCGSDSLSGDRLGCFNLTIKGHAKCVEFVKSFNLPMLMLGG GGYTIRNVARCWTYETAVALDTEIPNELPYNDYFEYFGPDFKLHISPSNMTNQNTNEYLE KIKQRLFENLRMLPHAPGVQMQAIPEDAIPEESGDEDEEDPDKRISICSSDKRIACEEEF SDSDEEGEGGRKNSSNFKKAKRVKTEDEKEKDPEEKKEVTEEEKTKEEKPEAKGVKEEVK LA >ENSMUSP00000056315.3 pep:known chromosome:GRCm38:11:96194316:96197447:1 gene:ENSMUSG00000049604.3 transcript:ENSMUST00000062709.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb13 description:homeobox B13 [Source:MGI Symbol;Acc:MGI:107730] MEPGNYATLDGAKDIEGLLGAGGGRNLVSHSSPLASHPAAPTLMPTVNYAPLDLPGSAEP PKQCHPCPGVPQGASPAPVPYGYFGGGYYSCRVSRSSLKPCAQTAALATYPSETPAPGEE YPSRPTEFAFYPGYPGPYQPMASYLDVSVVQTLGAPGEPRHDSLLPVDSYQPWALAGGWN SQMCCQGEQNPPGPFWKAAFAEPSVQHPPPDGCAFRRGRKKRIPYSKGQLRELEREYAAN KFITKDKRRKISAATSLSERQITIWFQNRRVKEKKVLAKVKTSTTP >ENSMUSP00000110385.1 pep:known chromosome:GRCm38:17:28858411:28890308:1 gene:ENSMUSG00000043286.11 transcript:ENSMUST00000114737.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla1 description:patatin-like phospholipase domain containing 1 [Source:MGI Symbol;Acc:MGI:3617850] MDEQVFKGDPDTPHSISFSGSGFLSYYQAGAVDALRDLAPRMLDTAHRFAGTSAGAVIAA LVVCGIEMEKYLRVLNMGLAEVKKFFLGPLSPSCKMVQMMRQFLYDVLPEDSYKFATGKL HVSLTRVTDGENVVVSEYRSKEELIEALYCSCFVPVYCGFIPPTYRGERYIDGGFTSMQP CSFWTDSITISTFSSQQDICPRDCPTIFHDFRMFNFSFQFSLENITRMTHALFPPDLVIL QEYYYRGYNDAVSYLRRLNAAYLDSPSKRVIFPRVEVYCQIEVALGHEPPPPSLQNLPAL RRSPADSSQTHAQGSPKKDRKDSHSSAAPSVQTPESGCKESVESPVSLRVSISKQPSVSP LSPAQPVPVMRPTGPRDSCPINVQTPNPERGVKGALDSATERGMKDALASATDEQSTTTL PPVLLPAADSRGSKTGSSVPIGSPESPRLLLRSSQGATASRATLGLPPLSPSTPPAGPPV EDLGPERPTATGSPALSQLTGSAAPGTGKKAPHKPLLVEGPGEDSNTAKTMFKRKQKTNA TRECFHRNAQSKKPASKLKSAPCPLNFPVLPKRVWVTYKPHPSRIQDYSYPEGVSGQNS >ENSMUSP00000050123.5 pep:known chromosome:GRCm38:17:28858411:28890308:1 gene:ENSMUSG00000043286.11 transcript:ENSMUST00000056866.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla1 description:patatin-like phospholipase domain containing 1 [Source:MGI Symbol;Acc:MGI:3617850] MDEQVFKGDPDTPHSISFSGSGFLSYYQAGAVDALRDLAPRMLDTAHRFAGTSAGAVIAA LVVCGIEMEKYLRVLNMGLAEVKKFFLGPLSPSCKMVQMMRQFLYDVLPEDSYKFATGKL HVSLTRVTDGENVVVSEYRSKEELIEALYCSCFVPVYCGFIPPTYRGERYIDGGFTSMQP CSFWTDSITISTFSSQQDICPRDCPTIFHDFRMFNFSFQFSLENITRMTHALFPPDLVIL QEYYYRGYNDAVSYLRRLNAAYLDSPSKRVIFPRVEVYCQIEVALGHEPPPPSLQNLPAL RRSPADSSQTHAQGSPKKDRKDSHSSAAPSVQTPESGCKESVESPVSLRVSISKQPSVSP LSPAQPVPVMRPTGPRDSCPINVQTPNPERGVKGALDSATERGMKDALASATDEQSTTTL PPVLLPAADSRGSKTGSSVPIGSPESPRLLLRSSQGATASRATLGLPPLSPSTPPAGPPV EDLGPERPTATGSPALSQLTGSAAPGTGKKAPHKPLLVEGPGEDSNTAKTMFKRKQKTNA TRECFHRNAQSKKPASKLKSAPCPLNFPVLPKRVWVTYKPHPSRIQDYSYPEGVSGQNS >ENSMUSP00000104623.2 pep:known chromosome:GRCm38:2:176423594:176435761:1 gene:ENSMUSG00000089951.8 transcript:ENSMUST00000108995.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14435 description:predicted gene 14435 [Source:MGI Symbol;Acc:MGI:3702420] MDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSR SHGRVFTMKQSILPRNLGI >ENSMUSP00000122299.1 pep:known chromosome:GRCm38:2:176423661:176433086:1 gene:ENSMUSG00000089951.8 transcript:ENSMUST00000127687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14435 description:predicted gene 14435 [Source:MGI Symbol;Acc:MGI:3702420] MDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSR SHGR >ENSMUSP00000127044.1 pep:known chromosome:GRCm38:2:176431829:176432225:1 gene:ENSMUSG00000089951.8 transcript:ENSMUST00000172025.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14435 description:predicted gene 14435 [Source:MGI Symbol;Acc:MGI:3702420] DLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSRS HGR >ENSMUSP00000103226.1 pep:known chromosome:GRCm38:4:57742095:57896982:1 gene:ENSMUSG00000038729.20 transcript:ENSMUST00000107600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap2 description:A kinase (PRKA) anchor protein 2 [Source:MGI Symbol;Acc:MGI:1306795] MAIQKPPQLSEDANQLRSKQDNCGDSRLEPAASSLSPDHKNMEIGVSVAECKSVPGVTST PHSKDHSSPFYSPSHNGLLADHHESLDNDVAREIQYLDEVLEANCCDSSVDGTYNGISSP EPGAAILVSSLGSPAHSVTEAEPTEKASGRQVPPHIELSRSPSDRMAEGERANGHSTDQP QDLLGNSLQAPASPSSSTSSHCSSRDGEFTLTTLKKEAKFELRAFHEDKKPSKLFEEDER EKEQFCVRKVRPSEEMIELEKERRELIRSQAVKKNPGIAAKWWNPPQEKTIEEQLDEEHL ESHRKYKERKEKRAQQEQLQLQQQQQQQLQQQQLQQQQLQQQQLQQQLQQQQLSTSQPCT APAAHKHLDGIEHTKEDVVTEQIDFSAARKQFQLMENSRQTLAKGQSTPRLFSIKPYYKP LGSIHSDKPPTILRPATVGGTLEDGGTQAAKEQKAPCVSESQSAGAGPANAATQGKEGPY SEPSKRGPLSKLWAEDGEFTSARAVLTVVKDEDHGILDQFSRSVNVSLTQEELDSGLDEL SVRSQDTTVLETLSNDFSMDNISDSGASNETPSALQENSLADFSLPQTPQTDNPSEGREG VSKSFSDHGFYSPSSTLGDSPSVDDPLEYQAGLLVQNAIQQAIAEQVDKAEAHTSKEGSE QQEPEATVEEAGSQTPGSEKPQGMFAPPQVSSPVQEKRDILPKNLPAEDRALREKGPSQP PTAAQPSGPVNMEETRPEGGYFSKYSEAAELRSTASLLATQESDVMVGPFKLRSRKQRTL SMIEEEIRAAQEREEELKRQRQVRQSTPSPRAKNAPSLPSRTTCYKTAPGKIEKVKPPPS PTTEGPSLQPDLAPEEAAGTQRPKNLMQTLMEDYETHKSKRRERMDDSSVLEATRVNRRK SALALRWEAGIYANQEEEDNE >ENSMUSP00000119742.1 pep:known chromosome:GRCm38:4:57782180:57855202:1 gene:ENSMUSG00000038729.20 transcript:ENSMUST00000124581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap2 description:A kinase (PRKA) anchor protein 2 [Source:MGI Symbol;Acc:MGI:1306795] MEIGVSVAECKSVPGVTSTPHSKDHSSPFYSPSHNGLLADHHESLDNDVAREIQYLDEVL EANCCDSSVDGTYNGISSPEPGAAILVSSLGSPAHSVTEAEPTEKASGRQVPPHIELSRS PSDRMAEGERANGHSTDQPQDLLGNSLQAPASPSSSTSSHCSSRDGEFTLTTLKKEA >ENSMUSP00000120325.1 pep:known chromosome:GRCm38:4:57829245:57855049:1 gene:ENSMUSG00000038729.20 transcript:ENSMUST00000132497.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap2 description:A kinase (PRKA) anchor protein 2 [Source:MGI Symbol;Acc:MGI:1306795] MERISKPPSSTWPECASSPTHWRQKPPQLSEDANQLRSKQDNCGDSRLEPAASSLSPDHK NMEIGVSVAECKSVPGVTSTPHSKDHSSPFYSPSHNGLLADHHESLDNDVAREIQYLDEV LEANCCDSSVDGTYNGISSPEPGAAILVSSLGSPAHSVTEAEPTEKASGRQVPPHIELSR SPSDRMA >ENSMUSP00000099967.1 pep:known chromosome:GRCm38:4:57845247:57896982:1 gene:ENSMUSG00000038729.20 transcript:ENSMUST00000102903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap2 description:A kinase (PRKA) anchor protein 2 [Source:MGI Symbol;Acc:MGI:1306795] MEIGVSVAECKSVPGVTSTPHSKDHSSPFYSPSHNGLLADHHESLDNDVAREIQYLDEVL EANCCDSSVDGTYNGISSPEPGAAILVSSLGSPAHSVTEAEPTEKASGRQVPPHIELSRS PSDRMAEGERANGHSTDQPQDLLGNSLQAPASPSSSTSSHCSSRDGEFTLTTLKKEAKFE LRAFHEDKKPSKLFEEDEREKEQFCVRKVRPSEEMIELEKERRELIRSQAVKKNPGIAAK WWNPPQEKTIEEQLDEEHLESHRKYKERKEKRAQQEQLQLQQQQQQQLQQQQLQQQQLQQ QQLQQQLQQQQLSTSQPCTAPAAHKHLDGIEHTKEDVVTEQIDFSAARKQFQLMENSRQT LAKGQSTPRLFSIKPYYKPLGSIHSDKPPTILRPATVGGTLEDGGTQAAKEQKAPCVSES QSAGAGPANAATQGKEGPYSEPSKRGPLSKLWAEDGEFTSARAVLTVVKDEDHGILDQFS RSVNVSLTQEELDSGLDELSVRSQDTTVLETLSNDFSMDNISDSGASNETPSALQENSLA DFSLPQTPQTDNPSEGREGVSKSFSDHGFYSPSSTLGDSPSVDDPLEYQAGLLVQNAIQQ AIAEQVDKAEAHTSKEGSEQQEPEATVEEAGSQTPGSEKPQGMFAPPQVSSPVQEKRDIL PKNLPAEDRALREKGPSQPPTAAQPSGPVNMEETRPEGGYFSKYSEAAELRSTASLLATQ ESDVMVGPFKLRSRKQRTLSMIEEEIRAAQEREEELKRQRQVRQSTPSPRAKNAPSLPSR TTCYKTAPGPGGHTG >ENSMUSP00000048678.5 pep:known chromosome:GRCm38:4:57854159:57896982:1 gene:ENSMUSG00000038729.20 transcript:ENSMUST00000043456.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap2 description:A kinase (PRKA) anchor protein 2 [Source:MGI Symbol;Acc:MGI:1306795] MEIGVSVAECKSVPGVTSTPHSKDHSSPFYSPSHNGLLADHHESLDNDVAREIQYLDEVL EANCCDSSVDGTYNGISSPEPGAAILVSSLGSPAHSVTEAEPTEKASGRQVPPHIELSRS PSDRMAEGERANGHSTDQPQDLLGNSLQAPASPSSSTSSHCSSRDGEFTLTTLKKEAKFE LRAFHEDKKPSKLFEEDEREKEQFCVRKVRPSEEMIELEKERRELIRSQAVKKNPGIAAK WWNPPQEKTIEEQLDEEHLESHRKYKERKEKRAQQEQLQLQQQQQQQLQQQQLQQQQLQQ QQLQQQLQQQQLSTSQPCTAPAAHKHLDGIEHTKEDVVTEQIDFSAARKQFQLMENSRQT LAKGQSTPRLFSIKPYYKPLGSIHSDKPPTILRPATVGGTLEDGGTQAAKEQKAPCVSES QSAGAGPANAATQGKEGPYSEPSKRGPLSKLWAEDGEFTSARAVLTVVKDEDHGILDQFS RSVNVSLTQEELDSGLDELSVRSQDTTVLETLSNDFSMDNISDSGASNETPSALQENSLA DFSLPQTPQTDNPSEGREGVSKSFSDHGFYSPSSTLGDSPSVDDPLEYQAGLLVQNAIQQ AIAEQVDKAEAHTSKEGSEQQEPEATVEEAGSQTPGSEKPQGMFAPPQVSSPVQEKRDIL PKNLPAEDRALREKGPSQPPTAAQPSGPVNMEETRPEGGYFSKYSEAAELRSTASLLATQ ESDVMVGPFKLRSRKQRTLSMIEEEIRAAQEREEELKRQRQVRQSTPSPRAKNAPSLPSR TTCYKTAPGKIEKVKPPPSPTTEGPSLQPDLAPEEAAGTQRPKNLMQTLMEDYETHKSKR RERMDDSSYTSKLLSCKVTSEVLEATRVNRRKSALALRWEAGIYANQEEEDNE >ENSMUSP00000095672.2 pep:known chromosome:GRCm38:4:57854159:57896982:1 gene:ENSMUSG00000038729.20 transcript:ENSMUST00000098064.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap2 description:A kinase (PRKA) anchor protein 2 [Source:MGI Symbol;Acc:MGI:1306795] MEIGVSVAECKSVPGVTSTPHSKDHSSPFYSPSHNGLLADHHESLDNDVAREIQYLDEVL EANCCDSSVDGTYNGISSPEPGAAILVSSLGSPAHSVTEAEPTEKASGRQVPPHIELSRS PSDRMAEGERANGHSTDQPQDLLGNSLQAPASPSSSTSSHCSSRDGEFTLTTLKKEAKFE LRAFHEDKKPSKLFEEDEREKEQFCVRKVRPSEEMIELEKERRELIRSQAVKKNPGIAAK WWNPPQEKTIEEQLDEEHLESHRKYKERKEKRAQQEQLQLQQQQQQQLQQQQLQQQQLQQ QQLQQQLQQQQLSTSQPCTAPAAHKHLDGIEHTKEDVVTEQIDFSAARKQFQLMENSRQT LAKGQSTPRLFSIKPYYKPLGSIHSDKPPTILRPATVGGTLEDGGTQAAKEQKAPCVSES QSAGAGPANAATQGKEGPYSEPSKRGPLSKLWAEDGEFTSARAVLTVVKDEDHGILDQFS RSVNVSLTQEELDSGLDELSVRSQDTTVLETLSNDFSMDNISDSGASNETPSALQENSLA DFSLPQTPQTDNPSEGREGVSKSFSDHGFYSPSSTLGDSPSVDDPLEYQAGLLVQNAIQQ AIAEQVDKAEAHTSKEGSEQQEPEATVEEAGSQTPGSEKPQGMFAPPQVSSPVQEKRDIL PKNLPAEDRALREKGPSQPPTAAQPSGPVNMEETRPEGGYFSKYSEAAELRSTASLLATQ ESDVMVGPFKLRSRKQRTLSMIEEEIRAAQEREEELKRQRQVRQSTPSPRAKNAPSLPSR TTCYKTAPGKIEKVKPPPSPTTEGPSLQPDLAPEEAAGTQRPKNLMQTLMEDYETHKSKR RERMDDSSVLEATRVNRRKSALALRWEAGIYANQEEEDNE >ENSMUSP00000099966.3 pep:known chromosome:GRCm38:4:57854159:57896982:1 gene:ENSMUSG00000038729.20 transcript:ENSMUST00000102902.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap2 description:A kinase (PRKA) anchor protein 2 [Source:MGI Symbol;Acc:MGI:1306795] MEIGVSVAECKSVPGVTSTPHSKDHSSPFYSPSHNGLLADHHESLDNDVAREIQYLDEVL EANCCDSSVDGTYNGISSPEPGAAILVSSLGSPAHSVTEAEPTEKASGRQVPPHIELSRS PSDRMAEGERANGHSTDQPQDLLGNSLQAPASPSSSTSSHCSSRDGEFTLTTLKKEAKFE LRAFHEDKKPSKLFEEDEREKEQFCVRKVRPSEEMIELEKERRELIRSQAVKKNPGIAAK WWNPPQEKTIEEQLDEEHLESHRKYKERKEKRAQQEQLQLQQQQQQQLQQQQLQQQQLQQ QQLQQQLQQQQLSTSQPCTAPAAHKHLDGIEHTKEDVVTEQIDFSAARKQFQLMENSRQT LAKGQSTPRLFSIKPYYKPLGSIHSDKPPTILRPATVGGTLEDGGTQAAKEQKAPCVSES QSAGAGPANAATQGKEGPYSEPSKRGPLSKLWAEDGEFTSARAVLTVVKDEDHGILDQFS RSVNVSLTQEELDSGLDELSVRSQDTTVLETLSNDFSMDNISDSGASNETPSALQENSLA DFSLPQTPQTDNPSEGREGVSKSFSDHGFYSPSSTLGDSPSVDDPLEYQAGLLVQNAIQQ AIAEQVDKAEAHTSKEGSEQQEPEATVEEAGSQTPGSEKPQGMFAPPQVSSPVQEKRDIL PKNLPAEDRALREKGPSQPPTAAQPSGPVNMEETRPEGGYFSKYSEAAELRSTASLLATQ ESDVMVGPFKLRSRKQRTLSMIEEEIRAAQEREEELKRQRQVRQSTPSPRAKNAPSLPSR TTCYKTAPGPGGHTG >ENSMUSP00000094193.1 pep:known chromosome:GRCm38:X:37831686:37841171:1 gene:ENSMUSG00000071767.4 transcript:ENSMUST00000096454.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox7a description:reproductive homeobox 7A [Source:MGI Symbol;Acc:MGI:3580246] METMFQETQYPDVLTREVLARSMDGSEAKVQIRFNNRRAKQRAREKKAMLRSTAGAKAPL VLPAGEERNGEDSRDQSSPGLGASAAEWGGVEGPGELGRKEKNGASPSAVDTSGVRGDWT QKGASGSSQKNERRPQNRVPECRWGTEDVHPVPVLVPRAQRRQRVGSRSRGQSVSLKCPR IRPVLVSTVQPVPVLVPHRPLRDGFTEPQLQELEQVFQRNHYLRAEEGKQLARGTGVTEA KLQRWFKKRRVQFRREHSQSRMNDDAPPRTHSTSLKMAQEP >ENSMUSP00000138811.1 pep:known chromosome:GRCm38:X:37837852:37841275:1 gene:ENSMUSG00000071767.4 transcript:ENSMUST00000184891.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rhox7a description:reproductive homeobox 7A [Source:MGI Symbol;Acc:MGI:3580246] XTLGGSSSETEEARGAADVQRL >ENSMUSP00000071521.5 pep:known chromosome:GRCm38:8:106210936:106252794:1 gene:ENSMUSG00000060098.11 transcript:ENSMUST00000071592.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt7 description:protein arginine N-methyltransferase 7 [Source:MGI Symbol;Acc:MGI:2384879] MKVFCGRANPTTGSLEWLEEDEHYDYHQEIARSSYADMLHDKDRNIKYYQGIRAAVSRVK DRGQKALVLDIGTGTGLLSMMAVTAGADFCYAIEVFKPMAEAAVKIVERNGFSDKIKVIN KHSTEVTVGPDGDLPCRANILITELFDTELIGEGALPSYEHAHKHLVQEDCEAVPHRATV YAQLVESRRMWSWNKLFPVRVRTSLGEQVIVPPSELERCPGAPSVCDIQLNQVSPADFTV LSDVLPMFSVDFSKQVSSSAACHSRQFVPLASGQAQVVLSWWDIEMDPEGKIKCTMAPFW AQTDPQELQWRDHWMQCVYFLPQEEPVVQGSPRCLVAHHDDYCVWYSLQRTSPDENDSAY QVRPVCDCQAHLLWNRPRFGEINDQDRTDHYAQALRTVLLPGSVCLCVSDGSLLSMLAHH LGAEQVFTVESSVASYRLMKRIFKVNHLEDKISVINKRPELLTAADLEGKKVSLLLGEPF FTTSLLPWHNLYFWYVRTSVDQHLAPGAVVMPQAASLHAVIVEFRDLWRIRSPCGDCEGF DVHIMDDMIKHSLDFRESREAEPHPLWEYPCRSLSKPQEILTFDFQQPIPQQPMQSKGTM ELTRPGKSHGAVLWMEYQLTPDSTISTGLINPAEDKGDCCWNPHCKQAVYFLSTTLDLRV PLNGPRSVSYVVEFHPLTGDITMEFRLADTLS >ENSMUSP00000104920.1 pep:known chromosome:GRCm38:8:106211023:106235389:1 gene:ENSMUSG00000060098.11 transcript:ENSMUST00000109297.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt7 description:protein arginine N-methyltransferase 7 [Source:MGI Symbol;Acc:MGI:2384879] MKVFCGRANPTTGSLEWLEEDEHYDYHQEIARSSYADMLHDKDRNIKYYQGIRAAVSRVK DRGQKALVLDIGTGTGLLSMMAVTAGADFCYAIEVFKPMAEAAVKIVERNGFSDKIKVIN KHSTEVTVGPDGDLPCRANILITELFDTELIGEGALPSYEHAHKHLVQVVAQSPPWEGWD CSHHTQPFRISIRPGPPLSSWQASTQAAVLSWGNFCL >ENSMUSP00000104919.1 pep:known chromosome:GRCm38:8:106211218:106227269:1 gene:ENSMUSG00000060098.11 transcript:ENSMUST00000109296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt7 description:protein arginine N-methyltransferase 7 [Source:MGI Symbol;Acc:MGI:2384879] MKVFCGRANPTTGSLEWLEEDEHYDYHQEIARSSYADMLHDKDRNIKYYQGIRAAVSRVK DRGQKALVLDIGTGTGLLSMMAVTAGADFCYAIEVFKPMAEAAVKIVERNGFS >ENSMUSP00000119992.1 pep:known chromosome:GRCm38:8:106212526:106238059:1 gene:ENSMUSG00000060098.11 transcript:ENSMUST00000128201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt7 description:protein arginine N-methyltransferase 7 [Source:MGI Symbol;Acc:MGI:2384879] XLEEDEHYDYHQEIARSSYADMLHDKDRNIKYYQGIRAAVSRVKDRGQKALVLDIGTGTG LLSMMAVTAGADFCYAIEVFKPMAEAAVKIVERNGFSDKIKVINKHSTEVTVGPDGDLPC RANILITELFDTELIGEGALPSYEHAHKHLVQEDCEAVPHRATVYAQLVESRRMWSWNKL FPVRVRTSLGEQVIVPPSELERCPGAPSVCDIQLNQVSPADFTVLSDVLPMFSVDFSKQV SSSAACHSRQFVPLASGQAQVVLSWWDIEMDPEGKIKCTMAPFWAQTDPQELQVRGRS >ENSMUSP00000033463.9 pep:known chromosome:GRCm38:9:94669909:95230445:1 gene:ENSMUSG00000031129.9 transcript:ENSMUST00000033463.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a9 description:solute carrier family 9 (sodium/hydrogen exchanger), member 9 [Source:MGI Symbol;Acc:MGI:2679732] MAGQLRFTSGKDEDHFQHQGAVELLAFNFLLILTILTIWLFKNHRFRFLHETGGAMVYGL IMGLILRYATAPTDIDSGTVYNCGNLFFSPSTLLVNITDQVYEYKYQREINQHNISPHQG NAILEKMTFDPEIFFNVLLPPIIFHAGYSLKKRHFFQNLGSILTYAFLGTAISCVVIGLI MYGFVKAMVHAGQLKSGDFHFTDCLFFGSLMSATDPVTVLAIFHELHVDPDLYTLLFGES VLNDAVAIVLTYSISIYSPKENPNAFDTAAFFQSVGNFLGIFAGSFAMGSAYAVVTALLT KFTKLREFPMLETGLFFLLSWSAFLSAEAAGLTGIVAVLFCGVTQAHYTYNNLSSDSKLR TKQLFEFMNFLAENVIFCYMGLALFTFQNHIFNALFILGAFLAIFVARACNIYPLSFLLN LGRKQKIPWNFQHMMMFSGLRGAIAFALAIRNTESQPKQMMFTTTLLLVFFTVWVFGGGT TPMLTWLQIRVGVDLDESLKEEPSSQQEANKLDKNMTKTESAQLFRMWYGFDHKYLKPIL THSGPPLTTTLPAWCGPVSRLLTSPQAYGEQLKEDDVECIVNQDELAMNYQEQSPSPSSP TTKLALDQKSSGQTPGKENIYEGDLGLGGYDLKLEQTRGQPQMD >ENSMUSP00000030886.8 pep:known chromosome:GRCm38:4:147860778:147868719:-1 gene:ENSMUSG00000029022.18 transcript:ENSMUST00000030886.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Miip description:migration and invasion inhibitory protein [Source:MGI Symbol;Acc:MGI:106506] MPNMAETKDPVRLRLLSLELLKQLWAGHEAMCRSVVRAASGSNLDCSSNNLEMPLSQETS SASSVAPSSQDKRHMLDPLDSRRDDTFDVAWYVKFNSRMDSFLPATGQHQEPQEELRPPS VPLLATQGLKGPVSLGGPKGLGPDKTQVPRSILSRLSKPSKPRVTSQESAVPESSWHSRP YLGYDWIAGSLDNSSPVTSEPEAFFSMLQRFRENNKEDCVCNSPEAVFPGLQESSGVEED HECMYCYRINRRLFPEPVDPGAPCRLCGIPRDEKGPGTLVEPVQVRVSIPLSIMDPPHQY RIHRRKSFDASDTLALPRHCLLGWDILPPKSEKTSVPKSLDLWSSVSYGAGQRRDLSATS PPCQALPAQVTPPLWSEPQVAQLCPSH >ENSMUSP00000134085.1 pep:known chromosome:GRCm38:4:147860786:147868816:-1 gene:ENSMUSG00000029022.18 transcript:ENSMUST00000172710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Miip description:migration and invasion inhibitory protein [Source:MGI Symbol;Acc:MGI:106506] MPNMAETKDPVRLRLLSLELLKQLWAGHEAMCRSVVRAASGSNLDCSSNNLEMPLSQETS SASSVAPSSQDKRHMLDPLDSRRDDTFDVAWYVKFNSRMDSFLPATGQHQEPQEELRPPS VPLLATQGLKGPVSLGGPKGLGPDKTQVPRSILSRLSKPSKPRVTSQESAVPESSWHSRP YLGYDWIAGSLDNSSPVTSEPEAFFSMLQRFRENNKEDCVCNSPEAVFPGLQESSGVEED HECMYCYRINRRLFPEPVDPGAPCRLCGIPRDEKGPGTLVEPVQVRVSIPLSIMDPPHQY RIHRRKSFDASDTLALPRHCLLGWDILPPKSEKTSVPKSLDLWSSVSYGAGQRRDLSATS PPCQALPAQVTPPLWSEPQVAQLCPSH >ENSMUSP00000113897.2 pep:known chromosome:GRCm38:4:147860791:147868483:-1 gene:ENSMUSG00000029022.18 transcript:ENSMUST00000119975.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Miip description:migration and invasion inhibitory protein [Source:MGI Symbol;Acc:MGI:106506] MPNMAETKDPVRLRLLSLELLKQLWAGHEAMCRSVVRAASGSNLDCSSNNLEMPLSQETS SASSVAPSSQDKRHMLDPLDSRRDDTFDVAWYVKFNSRMDSFLPATGQHQEPQEELRPPS VPLLATQGLKGPVSLGGPKGLGPDKTQVPRSILSRLSKPSKPRVTSQESAVPESSWHSRP YLGYDWIAGSLDNSSPVTSEPEAFFSMLQRFRENNKEDCVCNSPEAVFPGLQESSGVEED HECMYCYRINRRLFPEPVDPGAPCRLCGIPRDEKGPGTLVEPVQVRVSIPLSIMDPPHQY RIHRRKSFDASDTLALPRHCLLGWDILPPKSEKTSVPKSLDLWSSVSYGAGQRRDLSATS PPCQKPRGQTAQKTTVFYQCQQPPLRRSP >ENSMUSP00000033776.8 pep:known chromosome:GRCm38:X:75095854:75109777:1 gene:ENSMUSG00000031403.14 transcript:ENSMUST00000033776.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dkc1 description:dyskeratosis congenita 1, dyskerin [Source:MGI Symbol;Acc:MGI:1861727] MADAEVITFPKKHKKKKDRKPLQEDDVAEIQHAEEFLIKPESKVAQLDTSQWPLLLKNFD KLNVRTAHYTPLPCGSNPLKREIGDYIRTGFINLDKPSNPSSHEVVAWIRRILRVEKTGH SGTLDPKVTGCLIVCIERATRLVKSQQSAGKEYVGIVRLHNAIEGGTQLSRALETLTGAL FQRPPLIAAVKRQLRVRTIYESKMIEYDPERRLGIFWVSCEAGTYIRTLCVHLGLLLGVG GQMQELRRVRSGVMSEKDHMVTMHDVLDAQWLYDNHKDESYLRRVVYPLEKLLTSHKRLV MKDSAVNAICYGAKIMLPGLLRYEDGIEVNQEIVVITTKGEAICMAIALMTTAVISTCDH GIVAKIKRVIMERDTYPRKWGLGPKASQKKMMIKQGLLDKHGKPTDNTPATWKQDYIDYS DSGKNTLVTEAVQAPQLAAEAVNVIKRKRDSESESDETPTVPQLKEKKKKKDKKPKTVLE SGGETGDGDNDTTKKKKKKKVKVVEEMSE >ENSMUSP00000120441.1 pep:known chromosome:GRCm38:X:75096053:75102550:1 gene:ENSMUSG00000031403.14 transcript:ENSMUST00000131155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dkc1 description:dyskeratosis congenita 1, dyskerin [Source:MGI Symbol;Acc:MGI:1861727] MADAEVITFPKKHKKKKDRKPLQEDDVAEIQHAEEFLIKPESKVAQLDTSQWPLLLKNFD KLNVRTAHYTPLPCGSNPLKREIGDYIRTGFINLDKPSNPSSHEVVAWIRRILRVEKTGH SGTLDPKVTGCLIVCIERATRLVKSQQSAGKEYVGIVRLHNAIEGGTQLSRALETLTGAL FQRPPLIAAVKRQLRVRTIYESKMIEYDPERRLGIFWDHMVTMHDVLDAQWLYDNHKDES YLRRVVYPLEKLLTSHKRLV >ENSMUSP00000121957.1 pep:known chromosome:GRCm38:X:75096086:75098887:1 gene:ENSMUSG00000031403.14 transcript:ENSMUST00000132000.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dkc1 description:dyskeratosis congenita 1, dyskerin [Source:MGI Symbol;Acc:MGI:1861727] MADAEVITFPKKHKKKKDRKPLQEDDVAACTSKIKLRNVSALLKEIQHAEEFLIKPESKV AQLDTSQWPLLLKNFDKLNVRTAHYTPLPCGSNPLKREIGDYIRTGFINLDKPSNPSSHE VVAWIRRILRVEKTGHSGTLDPKVT >ENSMUSP00000117706.1 pep:known chromosome:GRCm38:X:75096115:75102472:1 gene:ENSMUSG00000031403.14 transcript:ENSMUST00000140609.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dkc1 description:dyskeratosis congenita 1, dyskerin [Source:MGI Symbol;Acc:MGI:1861727] ADAEVITFPKKHKKKKDRKPLQEDDVAEIQHAEEFLIKPESKVAQLDTSQWPLLLKNFDK LNVRTAHYTPLPCGSNPLKREIGDYIRTGFINLDKPSNPSSHEVVAWIRRILRVEKTGHS GTLDPKVTGCLIVCIERATRLVKSQQSAGPRNSDRCPVSATPTYCCSKEAASSEDYLREQ NDRI >ENSMUSP00000121253.1 pep:known chromosome:GRCm38:X:75100053:75108243:1 gene:ENSMUSG00000031403.14 transcript:ENSMUST00000153844.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dkc1 description:dyskeratosis congenita 1, dyskerin [Source:MGI Symbol;Acc:MGI:1861727] RLHNAIEGGTQLSRALETLTGALFQRPPLIAAVKRQLRVRTIYESKMIEYDPERRLGECN LLWGQDHASWSSSI >ENSMUSP00000146486.1 pep:known chromosome:GRCm38:7:6564841:6570919:1 gene:ENSMUSG00000056696.4 transcript:ENSMUST00000207221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1350 description:olfactory receptor 1350 [Source:MGI Symbol;Acc:MGI:3031184] MANLSTVSVFILQGFSAVPALQLLSMAIFLLIYLAAVLGNVSIMIAVTLDSHLHTPMYFF IKHLSLVDLCSTSTTLPRALVATMADTKEISLPACASQLFAFVCFGSLECFLITAMAFDR CLAIYRPLTYGVTMSSQTCVSLVVVAWVSGLLFSTFHMVNTFSLPFCGPNMIDHFFCDIP PLMHLACGDTQGHEAAGFIVSGCVIMTCFALTCLSYVLIVYTVVHIRSAAGRWKAFSTCS SHLATVLLFYGTGSSAYMQPTAHYSPLQGRMAAIFYSILMPTLNPLIYSLRNKDMKAALR KLYPQVPS >ENSMUSP00000069927.3 pep:known chromosome:GRCm38:7:6569993:6570919:1 gene:ENSMUSG00000056696.4 transcript:ENSMUST00000070985.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1350 description:olfactory receptor 1350 [Source:MGI Symbol;Acc:MGI:3031184] MANLSTVSVFILQGFSAVPALQLLSMAIFLLIYLAAVLGNVSIMIAVTLDSHLHTPMYFF IKHLSLVDLCSTSTTLPRALVATMADTKEISLPACASQLFAFVCFGSLECFLITAMAFDR CLAIYRPLTYGVTMSSQTCVSLVVVAWVSGLLFSTFHMVNTFSLPFCGPNMIDHFFCDIP PLMHLACGDTQGHEAAGFIVSGCVIMTCFALTCLSYVLIVYTVVHIRSAAGRWKAFSTCS SHLATVLLFYGTGSSAYMQPTAHYSPLQGRMAAIFYSILMPTLNPLIYSLRNKDMKAALR KLYPQVPS >ENSMUSP00000029588.5 pep:known chromosome:GRCm38:3:127536714:127553349:-1 gene:ENSMUSG00000027968.11 transcript:ENSMUST00000029588.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp7 description:La ribonucleoprotein domain family, member 7 [Source:MGI Symbol;Acc:MGI:107634] METENQKTMEESTKRKEEKKKRSRVKQVLADIAKQVDFWFGDANLHKDKFLREQIEKSRD GYVDISLLVSFNKMKKLTTDGKLIARALKSSSVVELDLEGTRIRRKKPLGERPKDEEERT VYVELLPKNVTHSWIERVFGKCGNVVYISIPHYKSTGDPKGFAFVEFETKEQAAKAIEFL NNPPEEAPRKPGIFPKTVKNKPIPSLRVAEEKKKKKKKKGRIKKEESVQAKESAVDSSSS GVCKATKRPRTASEGSEAETPEAPKQPAKKKKKRDKVEASSLPEARAGKRERCSAEDEDC LPPRPKAKKRAQKDGVGQAASEVSKESRDLEFCSTEEEKETDRKGDSLSKVKRKHKKKHK ERHKMGEEVIPLRVLSKTEWMDLKKEYLALQKASMASLKKTISQIKLESEMETDCKAPTA GSGQECSTQEKVSAQGPQFVTGVIVKIVSGEPLPGRKQVKDILATISEVVYIDLLEGDTE CHARFKTPEDAQAVMNAQTEIRKKHSWNLEVLSGDHEQRYWQKILVDRQAKLNQPREKKR GTEKLITKAEKIRLAKTQQASQHIRFSEYD >ENSMUSP00000143331.1 pep:known chromosome:GRCm38:3:127547134:127553347:-1 gene:ENSMUSG00000027968.11 transcript:ENSMUST00000197668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp7 description:La ribonucleoprotein domain family, member 7 [Source:MGI Symbol;Acc:MGI:107634] METENQKTMEESTKRKEEKKKRSRVKQVLADIAKQVDFWFGDANLHKDKFLREQIEKSRD GYVDISLLVSFNKMKKLTTD >ENSMUSP00000028071.6 pep:known chromosome:GRCm38:2:18677018:18686629:1 gene:ENSMUSG00000026739.13 transcript:ENSMUST00000028071.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmi1 description:Bmi1 polycomb ring finger oncogene [Source:MGI Symbol;Acc:MGI:88174] MHRTTRIKITELNPHLMCVLCGGYFIDATTIIECLHSFCKTCIVRYLETSKYCPICDVQV HKTRPLLNIRSDKTLQDIVYKLVPGLFKNEMKRRRDFYAAHPSADAANGSNEDRGEVADE EKRIITDDEIISLSIEFFDQSRLDRKVNKEKPKEEVNDKRYLRCPAAMTVMHLRKFLRSK MDIPNTFQIDVMYEEEPLKDYYTLMDIAYIYTWRRNGPLPLKYRVRPTCKRMKMSHQRDG LTNAGELESDSGSDKANSPAGGVPSTSSCLPSPSTPVQSPHPQFPHISSTMNGTSNSPSA NHQSSFASRPRKSSLNGSSATSSG >ENSMUSP00000119331.1 pep:known chromosome:GRCm38:2:18677807:18683676:1 gene:ENSMUSG00000026739.13 transcript:ENSMUST00000150834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmi1 description:Bmi1 polycomb ring finger oncogene [Source:MGI Symbol;Acc:MGI:88174] MHRTTRIKITELNPHLMCVLCGGYFIDATTIIECLHSFCKTCIVRYLETSKYCPICDVQV HKTRPLLNIRSDKTLQDIVYKLVPGLFKNEMKRRRDFYAAHPSADAANGSNEDRGEVADE EKRIITDDEIISLSIEFFDQSRLDRKVNKEKPKEEVNDK >ENSMUSP00000118730.1 pep:known chromosome:GRCm38:2:18680374:18684013:1 gene:ENSMUSG00000026739.13 transcript:ENSMUST00000156284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmi1 description:Bmi1 polycomb ring finger oncogene [Source:MGI Symbol;Acc:MGI:88174] MHRTTRIKITELNPHLMCVLCGGYFIDATTIIECLHSFCKTCIVRYLETSKYCPICDVQV HKTRPLLNIRSDKTLQDIVYKLVPGLFKNEMKRRRDFYAAHPSADAANGSNEDRGEVADE EKRIITDDEIISLSIEFFDQSRLDRKVNKEKPKEEVNDKRYLRCPAAMTVMHLRKFLRSK MDIPNTFQIDVMYEEEP >ENSMUSP00000121876.1 pep:known chromosome:GRCm38:2:18680994:18683030:1 gene:ENSMUSG00000026739.13 transcript:ENSMUST00000134734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmi1 description:Bmi1 polycomb ring finger oncogene [Source:MGI Symbol;Acc:MGI:88174] MHRTTRIKITELNPHLMCVLCGGYFIDATTIIECLHSFCKTCIVRYLETSKYCPICDVQV HKTRPLLNIRSDKTLQDIVYKLVPGLFKNEMKRRRDFYAAHPSAD >ENSMUSP00000118273.1 pep:known chromosome:GRCm38:2:18681107:18682856:1 gene:ENSMUSG00000026739.13 transcript:ENSMUST00000147365.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmi1 description:Bmi1 polycomb ring finger oncogene [Source:MGI Symbol;Acc:MGI:88174] MHRTTRIKITELNPHLMCVLCGGYFIDATTIIECLHSFCKTCIVRYLETSKYCPICDVQV HKTRPLLNIRSDKTL >ENSMUSP00000110300.1 pep:known chromosome:GRCm38:2:18680372:18686130:1 gene:ENSMUSG00000026739.13 transcript:ENSMUST00000051929.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmi1 description:Bmi1 polycomb ring finger oncogene [Source:MGI Symbol;Acc:MGI:88174] MHRTTRIKITELNPHLMCVLCGGYFIDATTIIECLHSFCKTCIVRYLETSKYCPICDVQV HKTRPLLNIRSDKTLQDIVYKLVPGLFKNEMKRRRDFYAAHPSADAANGSNEDRGEVADE EKRIITDDEIISLSIEFFDQSRLDRKVNKEKPKEEVNDKRYLRCPAAMTVMHLRKFLRSK MDIPNTFQIDVMYEEEPLKDYYTLMDIAYIYTWRRNGPLPLKYRVRPTCKRMKMSHQRDG LTNAGELESDSGSDKANSPAGGVPSTSSCLPSPSTPVQSPHPQFPHISSTMNGTSNSPSA NHQSSFASRPRKSSLNGSSATSSG >ENSMUSP00000110264.1 pep:known chromosome:GRCm38:X:71215082:71315265:1 gene:ENSMUSG00000031337.16 transcript:ENSMUST00000114617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtm1 description:X-linked myotubular myopathy gene 1 [Source:MGI Symbol;Acc:MGI:1099452] MASASASKYNSHSLENESIKKVSQDGVSQDVSETVPRLPGELLITEKEVIYICPFNGPIK GRVYITNYRLYLRSLETDSALILDVPLGVISRIEKMGGATSRGENSYGLDITCKDLRNLR FALKQEGHSRRDMFEILVKHAFPLAHNLPLFAFVNEEKFNVDGWTVYNPVEEYRRQGLPN HHWRISFINKCYELCETYPALLVVPYRTSDDDLRRIATFRSRNRLPVLSWIHPENKMVIM RCSQPLVGMSGKRNKDDEKYLDVIRETNKQTSKLMIYDARPSVNAVANKATGGGYESDDA YQNSELSFLDIHNIHVMRESLKKVKDIVYPNIEESHWLSSLESTHWLEHIKLVLTGAIQV ADQVSSGKSSVLVHCSDGWDRTAQLTSLAMLMLDSFYRTIEGFEILVQKEWISFGHKFAS RIGHGDKNHADADRSPIFLQFIDCVWQMSKQFPTAFEFNEGFLITVLDHLYSCRFGTFLF NCDSARERQKLTERTVSLWSLINSNKDKFKNPFYTKEINRVLYPVASMRHLELWVNYYIR WNPRVKQQQPNPVEQRYMELLALRDDYIKRLEELQLANSAKLADAPASTSSSSQMVPHVQ THF >ENSMUSP00000033700.5 pep:known chromosome:GRCm38:X:71215039:71315265:1 gene:ENSMUSG00000031337.16 transcript:ENSMUST00000033700.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtm1 description:X-linked myotubular myopathy gene 1 [Source:MGI Symbol;Acc:MGI:1099452] MASASASKYNSHSLENESIKKVSQDGVSQDVSETVPRLPGELLITEKEVIYICPFNGPIK GRVYITNYRLYLRSLETDSALILDVPLGVISRIEKMGGATSRGENSYGLDITCKDLRNLR FALKQEGHSRRDMFEILVKHAFPLAHNLPLFAFVNEEKFNVDGWTVYNPVEEYRRQGLPN HHWRISFINKCYELCETYPALLVVPYRTSDDDLRRIATFRSRNRLPVLSWIHPENKMVIM RCSQPLVGMSGKRNKDDEKYLDVIRETNKQTSKLMIYDARPSVNAVANKATGGGYESDDA YQNSELSFLDIHNIHVMRESLKKVKDIVYPNIEESHWLSSLESTHWLEHIKLVLTGAIQV ADQVSSGKSSVLVHCSDGWDRTAQLTSLAMLMLDSFYRTIEGFEILVQKEWISFGHKFAS RIGHGDKNHADADRSPIFLQFIDCVWQMSKQFPTAFEFNEGFLITVLDHLYSCRFGTFLF NCDSARERQKLTERTVSLWSLINSNKDKFKNPFYTKEINRVLYPVASMRHLELWVNYYIR WNPRVKQQQPNPVEQRYMELLALRDDYIKRLEELQLANSAKLADAPASTSSSSQMVPHVQ THF >ENSMUSP00000110268.1 pep:known chromosome:GRCm38:X:71215028:71315288:1 gene:ENSMUSG00000031337.16 transcript:ENSMUST00000114621.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtm1 description:X-linked myotubular myopathy gene 1 [Source:MGI Symbol;Acc:MGI:1099452] MASASASKYNSHSLENESIKKVSQDGVSQDVSETVPRLPGELLITEKEVIYICPFNGPIK GRVYITNYRLYLRSLETDSALILDVPLGVISRIEKMGGATSRGENSYGLDITCKDLRNLR FALKQEGHSRRDMFEILVKHAFPLAHNLPLFAFVNEEKFNVDGWTVYNPVEEYRRQGLPN HHWRISFINKCYELCETYPALLVVPYRTSDDDLRRIATFRSRNRLPVLSWIHPENKMVIM RCSQPLVGMSGKRNKDDEKYLDVIRETNKQTSKLMIYDARPSVNAVANKATGGGYESDDA YQNSELSFLDIHNIHVMRESLKKVKDIVYPNIEESHWLSSLESTHWLEHIKLVLTGAIQV ADQVSSGKSSVLVHCSDGWDRTAQLTSLAMLMLDSFYRTIEGFEILVQKEWISFGHKFAS FPTAFEFNEGFLITVLDHLYSCRFGTFLFNCDSARERQKLTERTVSLWSLINSNKDKFKN PFYTKEINRVLYPVASMRHLELWVNYYIRWNPRVKQQQPNPVEQRYMELLALRDDYIKRL EELQLANSAKLADAPASTSSSSQMVPHVQTHF >ENSMUSP00000099040.3 pep:known chromosome:GRCm38:X:71215006:71260810:1 gene:ENSMUSG00000031337.16 transcript:ENSMUST00000101501.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtm1 description:X-linked myotubular myopathy gene 1 [Source:MGI Symbol;Acc:MGI:1099452] MASASASKYNSHSLENESIKKVSQDGVSQDVSETVPRLPGELLITEKEVIYICPFNGPIK GRVYITNYRLYLRSLETDSALILDVPLGVISRIEKMGGATSRGENSYGLDITCKDLRNLR FALKQEGHSRRDMFEILVKHAFPLAHNLANLKLMILLPRPPE >ENSMUSP00000025391.4 pep:known chromosome:GRCm38:X:71215006:71315295:1 gene:ENSMUSG00000031337.16 transcript:ENSMUST00000025391.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtm1 description:X-linked myotubular myopathy gene 1 [Source:MGI Symbol;Acc:MGI:1099452] MASASASKYNSHSLENESIKKVSQDGVSQDVSETVPRLPGELLITEKEVIYICPFNGPIK GRVYITNYRLYLRSLETDSALILDVPLGVISRIEKMGGATSRGENSYGLDITCKDLRNLR FALKQEGHSRRDMFEILVKHAFPLAHNLPLFAFVNEEKFNVDGWTVYNPVEEYRRQGLPN HHWRISFINKCYELCETYPALLVVPYRTSDDDLRRIATFRSRNRLPVLSWIHPENKMVIM RCSQPLVGMSGKRNKDDEKYLDVIRETNKQTSKLMIYDARPSVNAVANKATGGGYESDDA YQNSELSFLDIHNIHVMRESLKKVKDIVYPNIEESHWLSSLESTHWLEHIKLVLTGAIQV ADQVSSGKSSVLVHCSDGWDRTAQLTSLAMLMLDSFYRTIEGFEILVQKEWISFGHKFAS FPTAFEFNEGFLITVLDHLYSCRFGTFLFNCDSARERQKLTERTVSLWSLINSNKDKFKN PFYTKEINRVLYPVASMRHLELWVNYYIRWNPRVKQQQPNPVEQRYMELLALRDDYIKRL EELQLANSAKLADAPASTSSSSQMVPHVQTHF >ENSMUSP00000125798.1 pep:known chromosome:GRCm38:X:71210767:71315295:1 gene:ENSMUSG00000031337.16 transcript:ENSMUST00000171933.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtm1 description:X-linked myotubular myopathy gene 1 [Source:MGI Symbol;Acc:MGI:1099452] MASASASKYNSHSLENESIKKVSQDGVSQDVSETVPRLPGELLITEKEVIYICPFNGPIK GRVYITNYRLYLRSLETDSALILDVPLGVISRIEKMGGATSRGENSYGLDITCKDLRNLR FALKQEGHSRRDMFEILVKHAFPLAHNLPLFAFVNEEKFNVDGWTVYNPVEEYRRQGLPN HHWRISFINKCYELCETYPALLVVPYRTSDDDLRRIATFRSRNRLPVLSWIHPENKMVIM RCSQPLVGMSGKRNKDDEKYLDVIRETNKQTSKLMIYDARPSVNAVANKATGGGYESDDA YQNSELSFLDIHNIHVMRESLKKVKDIVYPNIEESHWLSSLESTHWLEHIKLVLTGAIQV ADQVSSGKSSVLVHCSDGWDRTAQLTSLAMLMLDSFYRTIEGFEILVQKEWISFGHKFAS RIGHGDKNHADADRSPIFLQFIDCVWQMSKQFPTAFEFNEGFLITVLDHLYSCRFGTFLF NCDSARERQKLTERTVSLWSLINSNKDKFKNPFYTKEINRVLYPVASMRHLELWVNYYIR WNPRVKQQQPNPVEQRYMELLALRDDYIKRLEELQLANSAKLADAPASTSSSSQMVPHVQ THF >ENSMUSP00000057182.5 pep:known chromosome:GRCm38:X:71215006:71315295:1 gene:ENSMUSG00000031337.16 transcript:ENSMUST00000061970.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtm1 description:X-linked myotubular myopathy gene 1 [Source:MGI Symbol;Acc:MGI:1099452] MASASASKYNSHSLENESIKKVSQDGVSQDVSETVPRLPGELLITEKEVIYICPFNGPIK GRVYITNYRLYLRSLETDSALILDVPLGVISRIEKMGGATSRGENSYGLDITCKDLRNLR FALKQEGHSRRDMFEILVKHAFPLAHNLPLFAFVNEEKFNVDGWTVYNPVEEYRRQGLPN HHWRISFINKCYELCETYPALLVVPYRTSDDDLRRIATFRSRNRLPVLSWIHPENKMVIM RCSQPLVGMSGKRNKDDEKYLDVIRETNKQTSKLMIYDARPSVNAVANKATGGGYESDDA YQNSELSFLDIHNIHVMRESLKKVKDIVYPNIEESHWLSSLESTHWLEHIKLVLTGAIQV ADQVSSGKSSVLVHCSDGWDRTAQLTSLAMLMLDSFYRTIEGFEILVQKEWISFGHKFAS RIGHGDKNHADADRSPIFLQFIDCVWQMSKQFPTAFEFNEGFLITVLDHLYSCRFGTFLF NCDSARERQKLTERTVSLWSLINSNKDKFKNPFYTKEINRVLYPVASMRHLELWVNYYIR WNPRVKQQQPNPVEQRYMELLALRDDYIKRLEELQLANSAKLADAPASTSSSSQMVPHVQ THF >ENSMUSP00000112478.2 pep:known chromosome:GRCm38:2:176473278:176483160:-1 gene:ENSMUSG00000078881.10 transcript:ENSMUST00000122097.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14434 description:predicted gene 14434 [Source:MGI Symbol;Acc:MGI:3702417] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRT HTGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQC GKAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAF ITRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSS SLRIHKRTYTGERQYECN >ENSMUSP00000113558.1 pep:known chromosome:GRCm38:2:176474630:176486773:-1 gene:ENSMUSG00000078881.10 transcript:ENSMUST00000120959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14434 description:predicted gene 14434 [Source:MGI Symbol;Acc:MGI:3702417] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000113254.1 pep:known chromosome:GRCm38:2:176475511:176486783:-1 gene:ENSMUSG00000078881.10 transcript:ENSMUST00000120521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14434 description:predicted gene 14434 [Source:MGI Symbol;Acc:MGI:3702417] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000138002.1 pep:known chromosome:GRCm38:1:64785983:64956824:-1 gene:ENSMUSG00000051344.13 transcript:ENSMUST00000139649.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekhm3 description:pleckstrin homology domain containing, family M, member 3 [Source:MGI Symbol;Acc:MGI:2443627] MEALEVDDISPALEVTEDFFSTFDSKLEKAVQQAEVYGIQEVPELVGHEVLGNIADNGAL RSVASLGKGTMIWDHCKSRLLETKAQNVFPAKEQLMVQRGTAPDNLSWMAQKEASTFNFF NICQRRRDRPRSVNDLLDETTTFKPGHARSRSDVTHVDWRVVLSTMPLQQQQQQQQASLQ GIHFPGPSFLLSSPSKVEDAQGNTEHKQTFPNILKKGYLEIRKNHDSYWQSCYAELSPYN LNFYSLDSSGNQNLYATYQLSHFQSISVLGNLEARMVDTVLYDNSQLQLKAESPWEALDW GQKLWEVVHAAVPNYMGRQGEMANSPGLIHHGDCAQNHCLQKKSSGLLASPVLDSPKQYQ NILKSGTLYRLTVQNNWKAFTFVLSKAYLMAFHPGKLDEDPLLSYNVDVCLAVQIDNLDG CDSCFQVIFPQDVLRLRAETRQRAQEWMEALKTAANAARSSEQNLQVTLRNKPKDQLDGR ELRKNKRQSVTTSFLSILTTLSLERGLTAQSFKCAGCQRSIGLSNGKAKVCNYSGWYYCS SCHVDDSFLIPARIVHNWDTSKYKVSKQAKEFLEYVYEEPLIDIQQENPMLYLHAEPLAT VVRLRQRLKSLRAYLFSCRAAVAEDLRRRIFPREYLLQQIHLYSLADLQQVIEGKLAPFL GKVIKFATAHVYSCSLCSQKGFICEICNNGEILYPFEDISTSRCESCGAVFHSECKEKSV PCPRCVRRELQKKQKSFWRQLNVDESLEEACAMFELSYQST >ENSMUSP00000095320.1 pep:known chromosome:GRCm38:1:64789121:64956824:-1 gene:ENSMUSG00000051344.13 transcript:ENSMUST00000097713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhm3 description:pleckstrin homology domain containing, family M, member 3 [Source:MGI Symbol;Acc:MGI:2443627] MEALEVDDISPALEVTEDFFSTFDSKLEKAVQQAEVYGIQEVPELVGHEVLGNIADNGAL RSVASLGKGTMIWDHCKSRLLETKAQNVFPAKEQLMVQRGTAPDNLSWMAQKEASTFNFF NICQRRRDRPRSVNDLLDETTTFKPGHARSRSDVTHVDWRVVLSTMPLQQQQQQQQASLQ GIHFPGPSFLLSSPSKVEDAQGNTEHKQTFPNILKKGYLEIRKNHDSYWQSCYAELSPYN LNFYSLDSSGNQNLYATYQLSHFQSISVLGNLEARMVDTVLYDNSQLQLKAESPWEALDW GQKLWEVVHAAVPNYMGRQGEMANSPGLIHHGDCAQNHCLQKKSSGLLASPVLDSPKQYQ NILKSGTLYRLTVQNNWKAFTFVLSKAYLMAFHPGKLDEDPLLSYNVDVCLAVQIDNLDG CDSCFQVIFPQDVLRLRAETRQRAQEWMEALKTAANAARSSEQNLQVTLRNKPKDQLDGR ELRKNKRQSVTTSFLSILTTLSLERGLTAQSFKCAGCQRSIGLSNGKAKVCNYSGWYYCS SCHVDDSFLIPARIVHNWDTSKYKVSKQAKEFLEYVYEEPLIDIQQENPMLYLHAEPLAT VVRLRQRLKSLRAYLFSCRAAVAEDLRRRIFPREYLLQQIHLYSLADLQQVIEGKLAPFL GKVIKFATAHVYSCSLCSQKGFICEICNNGEILYPFEDISTSRCESCGAVFHSECKEKSV PCPRCVRRELQKKQKSFWRQLNVDESLEEACAMFELSYQST >ENSMUSP00000120872.1 pep:known chromosome:GRCm38:1:64938021:64956775:-1 gene:ENSMUSG00000051344.13 transcript:ENSMUST00000123225.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhm3 description:pleckstrin homology domain containing, family M, member 3 [Source:MGI Symbol;Acc:MGI:2443627] MEALEVDDISPALEVTEDFFSTFDSKLEKAVQQAEVYGIQEVPELVGHEVLGNIADNGAL RSVASLGKGTMIWDHCKSRLLETKAQNVFPAKEQLM >ENSMUSP00000147031.1 pep:known chromosome:GRCm38:7:22050205:22056305:-1 gene:ENSMUSG00000096283.2 transcript:ENSMUST00000207633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6176 description:predicted gene 6176 [Source:MGI Symbol;Acc:MGI:3644439] MTEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELQDRIIKVGSLEE SETRRLFAQIVHAVQYCHDHHIVHRDIKASNILINYRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAEKYEGPPVDIWSLGVLLFLMVSGNLPFQGRYFVDLKQEIISANFSI PSHVSIDILNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000137264.1 pep:known chromosome:GRCm38:7:22050599:22051504:-1 gene:ENSMUSG00000096283.2 transcript:ENSMUST00000178209.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6176 description:predicted gene 6176 [Source:MGI Symbol;Acc:MGI:3644439] MTEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELQDRIIKVGSLEE SETRRLFAQIVHAVQYCHDHHIVHRDIKASNILINYRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAEKYEGPPVDIWSLGVLLFLMVSGNLPFQGRYFVDLKQEIISANFSI PSHVSIDILNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000062570.2 pep:known chromosome:GRCm38:X:46329007:46330345:1 gene:ENSMUSG00000046615.2 transcript:ENSMUST00000059466.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actrt1 description:actin-related protein T1 [Source:MGI Symbol;Acc:MGI:1920610] MLDPARLDNPAVIFDNGSGLCKVGISGEIEPRHVINSVVGHPKFNIPSARSNRKRYFVGE EAQCMYDGLYLHYPIERGLVTRWDDMEKLWKDLFEWELGVKPNEQPVFMTEPSLNPQETR EKTTEIMFEKFNVPALYLCNHAVGALCASACITGLVLDSGDGVTCTVPVYEGYSLPHAIT KLYVAGRDITEHLTRLLLAKGYTFPCILNKAVVDDIKEKLCTVSLGYKDTEKNCQQFLRK YTLPDGNTIQMSDHLCQVPEVLFTPDHLGIHDLGISKMVCNSIMNCDTDIQENLFAEIVL SGGTTMFPGLQDRLLKELEDLAFEGTPIKITASSDRCYSAWIGGSVMTSMTTFKQMWVTA EDFKEYGAFVVQRKCF >ENSMUSP00000030841.5 pep:known chromosome:GRCm38:5:24100605:24160785:1 gene:ENSMUSG00000028986.12 transcript:ENSMUST00000030841.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl7 description:kelch-like 7 [Source:MGI Symbol;Acc:MGI:1196453] MATSGVEKSSKKKTEKKLAAREEAKLLAGFMGVMNNMRKQRTLCDVILTVQERKIPAHRV VLAAASHFFNLMFTTNMLESKSFEVELKDAEPDIIEQLVEFAYTARISVNSNNVQSLLDA ANQYQIEPVKKMCVDFLKEQVDASNCLGISVLAECLDCPELKATADDFIHQHFTEVYKTD EFLQLDVKRVTHLLSQDTLTVRAEDQVYDAAVRWLKYDEPNRQPFMVDILAKVRFPLISK NFLSKTVQAEPLIQDNPECLKMVISGMRYHLLSPEDREELAGGTRPRRKKHDYRIALFGG SQPQSCRYFNPKDYSWTDIRCPFEKRRDAACVFWDNVVYILGGSQLFPIKRMDCYNVVKD SWYSKLGPPTPRDSLAACAAEGKIYTSGGSEVGNSALYLFECYDTRTESWHTKPSMLTQR CSHGMVEANGLIYVCGGSLGNNVSGRVLSSCEVYDPATETWTELCSMIEPRKNHGLVFVK DKIFAVGGQNGLGGLDNVEYYDIKLNEWKMVSPMPWRGVTVKCAAVGSVIYVLAGFQGVG RLGHILEYNTETDKWIANSKVRAFPVTSCLICVVDTCGANEETLET >ENSMUSP00000143000.1 pep:known chromosome:GRCm38:5:24100606:24160784:1 gene:ENSMUSG00000028986.12 transcript:ENSMUST00000200116.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl7 description:kelch-like 7 [Source:MGI Symbol;Acc:MGI:1196453] MATSGVEKSSKKKTEKKLAAREEAKLLAGFMGVMNNMRKQRTLCDVILTVQERKIPAHRV VLAAASHFFNLMFTTNMLESKSFEVELKDAEPDIIEQLVEFAYTARISVNSNNVQSLLDA ANQYQIEPVKKMCVDFLKEQVDASNCLGISVLAECLDCPELKATADDFIHQHFTEVYKTD EFLQLDVKRVTHLLSQDTLTVRAEDQVYDAAVRWLKYDEPNRQPFMVDILAKVRFPLISK NFLSKTVQAEPLIQDNPECLKMVISGMRYHLLSPEDREELAGGTRPRRKKHDYRIALFGG SQPQSCRYFNPKDYSWTDIRCPFEKRRDAACVFWDNVVYILGGSQLFPIKRMDCYNVVKD SWYSKLGPPTPRDSLAACAAEGKIYTSGGSEVGLPALAMSDWRSCDPGCVRTPQSQAVSM VL >ENSMUSP00000129461.1 pep:known chromosome:GRCm38:5:24100623:24160792:1 gene:ENSMUSG00000028986.12 transcript:ENSMUST00000163409.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl7 description:kelch-like 7 [Source:MGI Symbol;Acc:MGI:1196453] MATSGVEKSSKKKTEKKLAAREEAKLLAGFMGVMNNMRKQRTLCDVILTVQERKIPAHRV VLAAASHFFNLMFTTNMLESKSFEVELKDAEPDIIEQLVEFAYTARISVNSNNVQSLLDA ANQYQIEPVKKMCVDFLKEQVDASNCLGISVLAECLDCPELKATADDFIHQHFTEVYKTD EFLQLDVKRVTHLLSQDTLTVRAEDQVYDAAVRWLKYDEPNRQPFMVDILAKVRFPLISK NFLSKTVQAEPLIQDNPECLKMVISGMRYHLLSPEDREELAGGTRPRRKKHDYRIALFGG SQPQSCRYFNPKDYSWTDIRCPFEKRRDAACVFWDNVVYILGGSQLFPIKRMDCYNVVKD SWYSKLGPPTPRDSLAACAAEGKIYTSGGSEVGNSALYLFECYDTRTESWHTKPSMLTQR CSHGMVEANGLIYVCGGSLGNNVSGRVLSSCEVYDPATETWSGQCGILRYQVK >ENSMUSP00000133301.1 pep:known chromosome:GRCm38:7:22069057:22097907:1 gene:ENSMUSG00000074311.3 transcript:ENSMUST00000173668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r139 description:vomeronasal 1 receptor 139 [Source:MGI Symbol;Acc:MGI:3644494] MSIFILTEAQKISHDATFMSIMVWTSVSMVLLLLTPNQDARGQAETRATHTILMLVVTFV SFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPNDPCSVLFKC >ENSMUSP00000127303.1 pep:known chromosome:GRCm38:7:22096867:22097760:1 gene:ENSMUSG00000074311.3 transcript:ENSMUST00000169730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r139 description:vomeronasal 1 receptor 139 [Source:MGI Symbol;Acc:MGI:3644494] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFFSVLSNIHIPIKVTGPQIIDNNTYDKSNLFCST SGFIVGIVFLQISHDATFMSIMVWTSVSMVLLLLTPNQDARGQAETRATHTILMLVVTFV SFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPNDPCSVLFKC >ENSMUSP00000118774.1 pep:known chromosome:GRCm38:7:80860920:80874969:1 gene:ENSMUSG00000038797.13 transcript:ENSMUST00000132163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan2 description:zinc finger and SCAN domain containing 2 [Source:MGI Symbol;Acc:MGI:99176] MAAEVPAVSTPLSPLVQVPQEEDEQAEVTTMILEDDAWVQEAVLQEDGPESEPFPQSAGK GSPQEEDAAEGPQGALVRFRELCRRWLRPEVHTKEQMLTVLPREIQAWLQEHRPESSEEA VALVEDLTQTFRHSDFEIQSENGENS >ENSMUSP00000146233.1 pep:known chromosome:GRCm38:7:80860951:80863475:1 gene:ENSMUSG00000038797.13 transcript:ENSMUST00000205361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan2 description:zinc finger and SCAN domain containing 2 [Source:MGI Symbol;Acc:MGI:99176] MAAEVPAVSTPLSPLVQVPQEEDEQAEVTTMILEDDAWVQEAVLQEDGPESEPFPQSAGK GSPQEEDAA >ENSMUSP00000121143.1 pep:known chromosome:GRCm38:7:80860953:80875888:1 gene:ENSMUSG00000038797.13 transcript:ENSMUST00000147125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan2 description:zinc finger and SCAN domain containing 2 [Source:MGI Symbol;Acc:MGI:99176] MAAEVPAVSTPLSPLVQVPQEEDEQAEVTTMILEDDAWVQEAVLQEDGPESEPFPQSAGK GSPQEEDAAEGPQGALVRFRELCRRWLRPEVHTKEQMLTVLPREIQAWLQEHRPESSEEA VALVEDLTQTFRHSDFEIQSENGENSNEDMFEGVESHGMFLNISGGEGGQQSDGDSDFER DCGSGGAQGHAPGEDPRVVPSEGREVGQLIGLQGTYLGEKPYECPQCGKTFSRKSHLITH ERTHTGEKYYKCDECGKSFSDGSNFSRHQTTHTGEKPYKCRDCGKSFSRSANLITHQRIH TGEKPFQCAECGKSFSRSPNLIAHQRTHTGEKPYSCPECGKSFGNRSSLNTHQGIHTGEK PYACKECGESFSYNSNLIRHQRIHTGEKPYKCTECGQKFSQSSALITHRRTHTGEKPYQC GECGKNFSRSSNLATHRRTHLVEKPYKCGLCG >ENSMUSP00000042321.7 pep:known chromosome:GRCm38:7:80862108:80876537:1 gene:ENSMUSG00000038797.13 transcript:ENSMUST00000044115.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan2 description:zinc finger and SCAN domain containing 2 [Source:MGI Symbol;Acc:MGI:99176] MAAEVPAVSTPLSPLVQVPQEEDEQAEVTTMILEDDAWVQEAVLQEDGPESEPFPQSAGK GSPQEEDAAEGPQGALVRFRELCRRWLRPEVHTKEQMLTVLPREIQAWLQEHRPESSEEA VALVEDLTQTFRHSDFEIQSENGENSNEDMFEGVESHGMFLNISGGEGGQQSDGDSDFER DCGSGGAQGHAPGEDPRVVPSEGREVGQLIGLQGTYLGEKPYECPQCGKTFSRKSHLITH ERTHTGEKYYKCDECGKSFSDGSNFSRHQTTHTGEKPYKCRDCGKSFSRSANLITHQRIH TGEKPFQCAECGKSFSRSPNLIAHQRTHTGEKPYSCPECGKSFGNRSSLNTHQGIHTGEK PYACKECGESFSYNSNLIRHQRIHTGEKPYKCTECGQKFSQSSALITHRRTHTGEKPYQC GECGKNFSRSSNLATHRRTHLVEKPYKCGLCGKSFSQSSSLIAHQGTHTGEKPYECLTCG ESFSWSSNLIKHQRTHTGEKPYRCGDCGKGFSQRSQLVVHQRTHTGEKPYKCLMCGKSFS RGSILVMHQRAHLGDKPYRCPECGKGFSWNSVLIIHQRIHTGEKPYRCPECGKGFSNSSN FITHQRTHLKEKLY >ENSMUSP00000117886.1 pep:known chromosome:GRCm38:7:80862896:80875098:1 gene:ENSMUSG00000038797.13 transcript:ENSMUST00000155128.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan2 description:zinc finger and SCAN domain containing 2 [Source:MGI Symbol;Acc:MGI:99176] MAAEVPAVSTPLSPLVQVPQEEDEQAEVTTMILEDDAWVQEAVLQEDGPESEPFPQSAGK GSPQEEDAAEGPQGALVRFRELCRRWLRPEVHTKEQMLTVLPREIQAWLQEHRPESSEEA VALVEDLTQTFRHSDFEIQSENGENSNEDMFEGVESHGMFLNISGGEGGQQSDGDSDFER DCGSGGAQG >ENSMUSP00000050451.7 pep:known chromosome:GRCm38:10:128800036:128804370:-1 gene:ENSMUSG00000047090.13 transcript:ENSMUST00000051011.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem198b description:transmembrane protein 198b [Source:MGI Symbol;Acc:MGI:1921077] MEKASLPLTITSHPRPFNQQLPEPPAPRCVLEPQDSLDLAPALVCALCCCFGIIYCCFGY RCFKAVMFLSGLLSGALVIFLLCHKERVLETQLSLEVSAGIALGIGLLCGLVTMLVRSVG LFLTGLLLGLTLGVGTLLGTEPIYQPHSAWVPVGGLMGLALLGALLTLRWPRPFTVLGTA LLGAAVLVACADYFLEGLALGTRLGERLQALPELLPLCWYSWVLLGTWPVLGALGTLAQW KLMAEERGSRTNVILSHQQRHLQLLRIHQQQEAKRHRNSSGTGLCGGSYRNQLTPNIRSP AHSPAPSYLQSLREYELVPGTQAPGTHTILDLDSDCSSTGLLTTPHSDQT >ENSMUSP00000117574.1 pep:known chromosome:GRCm38:10:128800105:128803961:-1 gene:ENSMUSG00000047090.13 transcript:ENSMUST00000152966.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem198b description:transmembrane protein 198b [Source:MGI Symbol;Acc:MGI:1921077] MEKASLPLTITSHPRPFNQQLPEPPAPRCVLEPQDSLDLAPALVCALCCCFGIIYCCFGY RCFKAVMFLSGLLSGALVIFLLCHKERVLETQLSLEVSAGIALGIGLLCGLVTMLVRSVG LFLTGLLLGLTLGVGTLLGTEPIYQPHSAWVPVGGLMGLALLGALLTLRWPRPFTVLGTA LLGAAVLVACADYFLEGLALGTRLGERLQALPELLPLCWYSWVLLGTWPVLGALGTLAQW KLMAEERGSRTNGELVLWYKKQPACPCFCPRILYLRGGKDGEMRTGEDPTSNRAGH >ENSMUSP00000118082.1 pep:known chromosome:GRCm38:10:128800841:128803717:-1 gene:ENSMUSG00000047090.13 transcript:ENSMUST00000139227.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem198b description:transmembrane protein 198b [Source:MGI Symbol;Acc:MGI:1921077] MEKASLPLTITSHPRPFNQQLPEPPAPRCVLEPQDSLDLAPALVCALCCCFGIIYCCFGY RCFKAVMFLSGLLSGALVIFLLCHKERVLETQLSLE >ENSMUSP00000062046.6 pep:known chromosome:GRCm38:5:139336189:139345233:-1 gene:ENSMUSG00000045438.12 transcript:ENSMUST00000049630.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox19 description:cytochrome c oxidase assembly protein 19 [Source:MGI Symbol;Acc:MGI:1915283] MSTAMNFGTKSFQPRPPDKGSFPLDHFGECKSFKEKFMRCLRDKNYENALCRNESKEYLM CRMQRQLMAPEPLEKLGFRDLMEGKPEAKDEC >ENSMUSP00000143206.1 pep:known chromosome:GRCm38:5:139338221:139351418:-1 gene:ENSMUSG00000045438.12 transcript:ENSMUST00000198966.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox19 description:cytochrome c oxidase assembly protein 19 [Source:MGI Symbol;Acc:MGI:1915283] MGCGGECKSFKEKFMRCLRDKNYENALCRNESKEYLMCRMQRQLMAPEPLEKLGFRDLME GKPEAKDEC >ENSMUSP00000138476.1 pep:known chromosome:GRCm38:5:139338310:139345125:-1 gene:ENSMUSG00000045438.12 transcript:ENSMUST00000133951.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cox19 description:cytochrome c oxidase assembly protein 19 [Source:MGI Symbol;Acc:MGI:1915283] XSFQPRPPDKGSFPLDHFGECKSFKEKFMRCLRDKNYENALCRNESKEYLMCRMQSGSKS QPR >ENSMUSP00000085987.6 pep:known chromosome:GRCm38:5:11594913:11599784:1 gene:ENSMUSG00000091903.8 transcript:ENSMUST00000088616.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6460 description:predicted gene 6460 [Source:MGI Symbol;Acc:MGI:3644247] MFARLCNLFGRASVDGRETRERRKGAGLPSESNEGRRRWTWRMWRARRHTSSTAPDLSKK EFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPTQKKNPFYEQLRSTKNQVL SSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMSITQNQKNKEVQIDWALI EKYLVALNLNGQTGADQQP >ENSMUSP00000131216.2 pep:known chromosome:GRCm38:5:11594956:11599480:1 gene:ENSMUSG00000091903.8 transcript:ENSMUST00000163787.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6460 description:predicted gene 6460 [Source:MGI Symbol;Acc:MGI:3644247] MWRARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPT QKKNPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKM SITQNQKNKEVQIDWALIEKYLVALNLNGQTGADQQP >ENSMUSP00000104618.2 pep:known chromosome:GRCm38:2:176622842:176636318:-1 gene:ENSMUSG00000078880.10 transcript:ENSMUST00000108990.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14308 description:predicted gene 14308 [Source:MGI Symbol;Acc:MGI:3782921] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRT HTGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGDKPYECKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQC GKAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAF ITRRVLQIHKRTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSS SLRIHKRTYTGERQYECN >ENSMUSP00000113990.1 pep:known chromosome:GRCm38:2:176624194:176636343:-1 gene:ENSMUSG00000078880.10 transcript:ENSMUST00000119149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14308 description:predicted gene 14308 [Source:MGI Symbol;Acc:MGI:3782921] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000112702.1 pep:known chromosome:GRCm38:2:176624848:176636344:-1 gene:ENSMUSG00000078880.10 transcript:ENSMUST00000117267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14308 description:predicted gene 14308 [Source:MGI Symbol;Acc:MGI:3782921] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000110809.1 pep:known chromosome:GRCm38:X:37886439:37897232:-1 gene:ENSMUSG00000079626.2 transcript:ENSMUST00000115156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox7b description:reproductive homeobox 7B [Source:MGI Symbol;Acc:MGI:3705218] MEGVNRGNSERKSDGFTRDPQRQGRRHQIQFTFTPWQVQEMETMFQETQYPDVLTREVLA RSMDGSEAKVQIRFNNRRAKQRAREKKAMLRSTAGAKAPLVLPAGEERNGEDSRDQSSPG LGASAAEWGGVEGPGELGRKEKNGASPSAVDTSGVRGDWTQKGASGSSQKNERRPQNQVP ECRWGTEDVHPVPVLVPRAQRRQRVGSRSRGQSVSLKCPHIPPVLVSTVQPVPVLVPHRP LRDRFTEPQLQELEQVFQRNHYLRAEEGKQLARGMGVTEAKLQRWFKKRRVQFRREHSQS RMNDDAPPRTHSTSLKMAQEP >ENSMUSP00000071782.5 pep:known chromosome:GRCm38:X:37874778:37878944:-1 gene:ENSMUSG00000064137.6 transcript:ENSMUST00000071885.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox8 description:reproductive homeobox 8 [Source:MGI Symbol;Acc:MGI:3579898] MLFFLRLNQEVKPRISRSRSTVNSIHAMEPQEVTQSSLLRDDEIKESDDAAAWIVSQEMK EREENAGIQDCPLLGAMAAEGEGENEEEGEEGEEGEEGEEGEEGEEGEEGEEGEEEEEEE EEEEEEEEEEEEEEEEEEEGEEGEEGEEGDEGGEGGEGGEEGEEEGEEEEGGEGEGEEEE EEEEEEEEEEEEEEEEEEEEEAAAAAAARDETTAGSSAPVDDRSHDAGASSNGEDRGQGE ELIPGGTKGLEALPSPSGQLPRNRYRFTKFQLQELERIFERNHYPSAAARRELARWIGVT ESRVENWFKSRRAKYRKCLM >ENSMUSP00000050646.4 pep:known chromosome:GRCm38:17:28896397:28915324:-1 gene:ENSMUSG00000048905.4 transcript:ENSMUST00000062357.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930539E08Rik description:RIKEN cDNA 4930539E08 gene [Source:MGI Symbol;Acc:MGI:1925441] MPRSRNPSQGMPRDSSDSCGLSPVETPKGKKRARSLDRQVPRKKDPESSNTRCPSSATCR RTASDGARSSESPSHFAEAQGATAAALPPGEGRGFLPSEQGPPEDTKKERLPREAQQSWL RLVLNILLMRIEEPREKASRASKGKGDLPEAAEEPALRKKSHEKRTSRKKHSHRKPIAEE PPGPQTAEAQGREDVPPSLAASSAPHEIALGLICRGGPDSDLPQALPTEGDHAETPDSFG QASGPPLEEDPRKPDQDDVIWQIVELLKKAGDQLEEEQVQIPQPEAVPPRKPTPLPRKKS QEKKSSLKRVLSLKKPASEEPKRVGTATTLGPETRPKRPSFLPLCVSSQRASTSSSLDLE APEFQEVPSVDGGGSHPSELHTPAAIFQGPEEKPLLDRASESREFRRKILVLLQSAEDER GEQEAQAQEAEKAGENPTPAGKVKSQVKKSNLRRAFSLRKHSSKDSKKTEASGTPGSGSL EARPPKKHGFLPMCVSGHRASISSSPESLEFQKTEAAGGAPAGSPGAPFQARSHTPDEGP SPERAWESKEFMIQKLVASLQEVDRDLGRQIRKYPSFKRFFNEFSDASLRKLVATLERQK ASLSEEGRSLANRPPPCAFGTLNKFAATRSCTICTLMQSRGEYKGHSYAHFLSRKAEQDI TNLDSQSPD >ENSMUSP00000053899.7 pep:known chromosome:GRCm38:11:120625117:120633600:-1 gene:ENSMUSG00000051510.13 transcript:ENSMUST00000058162.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mafg description:v-maf musculoaponeurotic fibrosarcoma oncogene family, protein G (avian) [Source:MGI Symbol;Acc:MGI:96911] MTTPNKGNKALKVKREPGENGTSLTDEELVTMSVRELNQHLRGLSKEEIIQLKQRRRTLK NRGYAASCRVKRVTQKEELEKQKAELQQEVEKLASENASMKLELDALRSKYEALQNFART VARSPVAPARGPLAAGLGPLVPGKVAATSVITIVKSKTDARS >ENSMUSP00000101788.1 pep:known chromosome:GRCm38:11:120629007:120630797:-1 gene:ENSMUSG00000051510.13 transcript:ENSMUST00000106182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mafg description:v-maf musculoaponeurotic fibrosarcoma oncogene family, protein G (avian) [Source:MGI Symbol;Acc:MGI:96911] MTTPNKGNKALKVKREPGENGTSLTDEELVTMSVRELNQHLRGLSKEEIIQLKQRRRTLK NRGYAASCRVKRVTQKEELEKQKAELQQEVEKLASENASMKLELDALRSKYEALQNFART VARSPVAPARGPLAAGLGPLVPGKVAATSVITIVKSKTDARS >ENSMUSP00000101787.1 pep:known chromosome:GRCm38:11:120629141:120630636:-1 gene:ENSMUSG00000051510.13 transcript:ENSMUST00000106181.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mafg description:v-maf musculoaponeurotic fibrosarcoma oncogene family, protein G (avian) [Source:MGI Symbol;Acc:MGI:96911] MTTPNKGNKALKVKREPGENGTSLTDEELVTMSVRELNQHLRGLSKEEIIQLKQRRRTLK NRGYAASCRVKRVTQKEELEKQKAELQQEVEKLASENASMKLELDALRSKYEALQNFART VARSPVAPARGPLAAGLGPLVPGKVAATSVITIVKSKTDARS >ENSMUSP00000101786.1 pep:known chromosome:GRCm38:11:120629232:120630148:-1 gene:ENSMUSG00000051510.13 transcript:ENSMUST00000106180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mafg description:v-maf musculoaponeurotic fibrosarcoma oncogene family, protein G (avian) [Source:MGI Symbol;Acc:MGI:96911] MTTPNKGNKALKVKREPGENGTSLTDEELVTMSVRELNQHLRGLSKEEIIQLKQRRRTLK NRGYAASCRVKRVTQKEELEKQKAELQQEVEKLASENASMKLELDALRSKYEALQNFART VARSPVAPARGPLAAGLGPLVPGKVAATSVITIVKSKTDARS >ENSMUSP00000081812.2 pep:known chromosome:GRCm38:7:108367492:108368436:1 gene:ENSMUSG00000094289.2 transcript:ENSMUST00000084757.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr470 description:olfactory receptor 470 [Source:MGI Symbol;Acc:MGI:3030304] MAFLQDGNHTAVTEFILLGLTDGPILRVILFTIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASVDMGLSSSVTPNMLVNFLVKQNTISYIACSIQFGLAAFFGTVECFLLAA MAYDRFVAICNPLLYSTKISTESCIQLVVGSYIGGFLNASSFILSFFSFIFCGPNRINHF YCDLAPLVELSCSDVSVSVVVTSFSAGSVTVITVFVIAVSYSYILITILKMHSTEGRHKA FSTCTSHLTAVTLYYGTITFIYVMPKSSYSTDQNKVVSVFYMVVIPMLNPLIYSLRNNEI KGAIKRQLGKKMFC >ENSMUSP00000099563.3 pep:known chromosome:GRCm38:11:75650504:75673910:1 gene:ENSMUSG00000017774.19 transcript:ENSMUST00000102505.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1c description:myosin IC [Source:MGI Symbol;Acc:MGI:106612] MALQVELIPTGEIIRVVHPHRPCKLALGSDGVRVTMESALTARDRVGVQDFVLLENFTSE AAFIENLRRRFRENLIYTYIGPVLVSVNPYRDLQIYSRQHMERYRGVSFYEVPPHLFAVA DTVYRALRTERRDQAVMISGESGAGKTEATKRLLQFYAETCPAPERGGAVRDRLLQSNPV LEAFGNAKTLRNDNSSRFGKYMDVQFDFKGAPVGGHILSYLLEKSRVVHQNHGERNFHVF YQLLEGGEEETLRRLGLERNPQSYLYLVKGQCAKVSSINDKSDWKVMRKALSVIDFTEDE VEDLLSIVASVLHLGNIHFAADEDSNAQVTTENQLKYLTRLLGVEGTTLREALTHRKIIA KGEELLSPLNLEQAAYARDALAKAVYSRTFTWLVRKINRSLASKDAESPSWRSTTVLGLL DIYGFEVFQHNSFEQFCINYCNEKLQQLFIELTLKSEQEEYEAEGIAWEPVQYFNNKIIC DLVEEKFKGIISILDEECLRPGEATDLTFLEKLEDTVKPHPHFLTHKLADQKTRKSLDRG EFRLLHYAGEVTYSVTGFLDKNNDLLFRNLKETMCSSMNPIMAQCFDKSELSDKKRPETV ATQFKMSLLQLVEILRSKEPAYIRCIKPNDAKQPGRFDEVLIRHQVKYLGLMENLRVRRA GFAYRRKYEAFLQRYKSLCPETWPMWAGRPQDGVAVLVRHLGYKPEEYKMGRTKIFIRFP KTLFATEDSLEVRRQSLATKIQAAWRGFHWRQKFLRVKRSAICIQSWWRGTLGRRKAAKR KWAAQTIRRLIRGFILRHSPRCPENAFFLDHVRASFLLNLRRQLPRNVLDTSWPTPPPAL REASELLRELCMKNMVWKYCRSISPEWKQQLQQKAVASEIFKGKKDNYPQSVPRLFISTR LGTEEISPRVLQSLGSEPIQYAVPVVKYDRKGYKPRPRQLLLTPSAVVIVEDAKVKQRID YANLTGISVSSLSDSLFVLHVQREDNKQKGDVVLQSDHVIETLTKTALSADRVNNININQ GSITFAGGPGRDGIIDFTSGSELLITKAKNGHLAVVAPRLNSR >ENSMUSP00000070388.6 pep:known chromosome:GRCm38:11:75651510:75673910:1 gene:ENSMUSG00000017774.19 transcript:ENSMUST00000069057.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1c description:myosin IC [Source:MGI Symbol;Acc:MGI:106612] MESALTARDRVGVQDFVLLENFTSEAAFIENLRRRFRENLIYTYIGPVLVSVNPYRDLQI YSRQHMERYRGVSFYEVPPHLFAVADTVYRALRTERRDQAVMISGESGAGKTEATKRLLQ FYAETCPAPERGGAVRDRLLQSNPVLEAFGNAKTLRNDNSSRFGKYMDVQFDFKGAPVGG HILSYLLEKSRVVHQNHGERNFHVFYQLLEGGEEETLRRLGLERNPQSYLYLVKGQCAKV SSINDKSDWKVMRKALSVIDFTEDEVEDLLSIVASVLHLGNIHFAADEDSNAQVTTENQL KYLTRLLGVEGTTLREALTHRKIIAKGEELLSPLNLEQAAYARDALAKAVYSRTFTWLVR KINRSLASKDAESPSWRSTTVLGLLDIYGFEVFQHNSFEQFCINYCNEKLQQLFIELTLK SEQEEYEAEGIAWEPVQYFNNKIICDLVEEKFKGIISILDEECLRPGEATDLTFLEKLED TVKPHPHFLTHKLADQKTRKSLDRGEFRLLHYAGEVTYSVTGFLDKNNDLLFRNLKETMC SSMNPIMAQCFDKSELSDKKRPETVATQFKMSLLQLVEILRSKEPAYIRCIKPNDAKQPG RFDEVLIRHQVKYLGLMENLRVRRAGFAYRRKYEAFLQRYKSLCPETWPMWAGRPQDGVA VLVRHLGYKPEEYKMGRTKIFIRFPKTLFATEDSLEVRRQSLATKIQAAWRGFHWRQKFL RVKRSAICIQSWWRGTLGRRKAAKRKWAAQTIRRLIRGFILRHSPRCPENAFFLDHVRAS FLLNLRRQLPRNVLDTSWPTPPPALREASELLRELCMKNMVWKYCRSISPEWKQQLQQKA VASEIFKGKKDNYPQSVPRLFISTRLGTEEISPRVLQSLGSEPIQYAVPVVKYDRKGYKP RPRQLLLTPSAVVIVEDAKVKQRIDYANLTGISVSSLSDSLFVLHVQREDNKQKGDVVLQ SDHVIETLTKTALSADRVNNININQGSITFAGGPGRDGIIDFTSGSELLITKAKNGHLAV VAPRLNSR >ENSMUSP00000099562.3 pep:known chromosome:GRCm38:11:75652076:75673910:1 gene:ENSMUSG00000017774.19 transcript:ENSMUST00000102504.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1c description:myosin IC [Source:MGI Symbol;Acc:MGI:106612] MESALTARDRVGVQDFVLLENFTSEAAFIENLRRRFRENLIYTYIGPVLVSVNPYRDLQI YSRQHMERYRGVSFYEVPPHLFAVADTVYRALRTERRDQAVMISGESGAGKTEATKRLLQ FYAETCPAPERGGAVRDRLLQSNPVLEAFGNAKTLRNDNSSRFGKYMDVQFDFKGAPVGG HILSYLLEKSRVVHQNHGERNFHVFYQLLEGGEEETLRRLGLERNPQSYLYLVKGQCAKV SSINDKSDWKVMRKALSVIDFTEDEVEDLLSIVASVLHLGNIHFAADEDSNAQVTTENQL KYLTRLLGVEGTTLREALTHRKIIAKGEELLSPLNLEQAAYARDALAKAVYSRTFTWLVR KINRSLASKDAESPSWRSTTVLGLLDIYGFEVFQHNSFEQFCINYCNEKLQQLFIELTLK SEQEEYEAEGIAWEPVQYFNNKIICDLVEEKFKGIISILDEECLRPGEATDLTFLEKLED TVKPHPHFLTHKLADQKTRKSLDRGEFRLLHYAGEVTYSVTGFLDKNNDLLFRNLKETMC SSMNPIMAQCFDKSELSDKKRPETVATQFKMSLLQLVEILRSKEPAYIRCIKPNDAKQPG RFDEVLIRHQVKYLGLMENLRVRRAGFAYRRKYEAFLQRYKSLCPETWPMWAGRPQDGVA VLVRHLGYKPEEYKMGRTKIFIRFPKTLFATEDSLEVRRQSLATKIQAAWRGFHWRQKFL RVKRSAICIQSWWRGTLGRRKAAKRKWAAQTIRRLIRGFILRHSPRCPENAFFLDHVRAS FLLNLRRQLPRNVLDTSWPTPPPALREASELLRELCMKNMVWKYCRSISPEWKQQLQQKA VASEIFKGKKDNYPQSVPRLFISTRLGTEEISPRVLQSLGSEPIQYAVPVVKYDRKGYKP RPRQLLLTPSAVVIVEDAKVKQRIDYANLTGISVSSLSDSLFVLHVQREDNKQKGDVVLQ SDHVIETLTKTALSADRVNNININQGSITFAGGPGRDGIIDFTSGSELLITKAKNGHLAV VAPRLNSR >ENSMUSP00000104069.2 pep:known chromosome:GRCm38:11:75655873:75673908:1 gene:ENSMUSG00000017774.19 transcript:ENSMUST00000108431.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1c description:myosin IC [Source:MGI Symbol;Acc:MGI:106612] MRYRASALGSDGVRVTMESALTARDRVGVQDFVLLENFTSEAAFIENLRRRFRENLIYTY IGPVLVSVNPYRDLQIYSRQHMERYRGVSFYEVPPHLFAVADTVYRALRTERRDQAVMIS GESGAGKTEATKRLLQFYAETCPAPERGGAVRDRLLQSNPVLEAFGNAKTLRNDNSSRFG KYMDVQFDFKGAPVGGHILSYLLEKSRVVHQNHGERNFHVFYQLLEGGEEETLRRLGLER NPQSYLYLVKGQCAKVSSINDKSDWKVMRKALSVIDFTEDEVEDLLSIVASVLHLGNIHF AADEDSNAQVTTENQLKYLTRLLGVEGTTLREALTHRKIIAKGEELLSPLNLEQAAYARD ALAKAVYSRTFTWLVRKINRSLASKDAESPSWRSTTVLGLLDIYGFEVFQHNSFEQFCIN YCNEKLQQLFIELTLKSEQEEYEAEGIAWEPVQYFNNKIICDLVEEKFKGIISILDEECL RPGEATDLTFLEKLEDTVKPHPHFLTHKLADQKTRKSLDRGEFRLLHYAGEVTYSVTGFL DKNNDLLFRNLKETMCSSMNPIMAQCFDKSELSDKKRPETVATQFKMSLLQLVEILRSKE PAYIRCIKPNDAKQPGRFDEVLIRHQVKYLGLMENLRVRRAGFAYRRKYEAFLQRYKSLC PETWPMWAGRPQDGVAVLVRHLGYKPEEYKMGRTKIFIRFPKTLFATEDSLEVRRQSLAT KIQAAWRGFHWRQKFLRVKRSAICIQSWWRGTLGRRKAAKRKWAAQTIRRLIRGFILRHS PRCPENAFFLDHVRASFLLNLRRQLPRNVLDTSWPTPPPALREASELLRELCMKNMVWKY CRSISPEWKQQLQQKAVASEIFKGKKDNYPQSVPRLFISTRLGTEEISPRVLQSLGSEPI QYAVPVVKYDRKGYKPRPRQLLLTPSAVVIVEDAKVKQRIDYANLTGISVSSLSDSLFVL HVQREDNKQKGDVVLQSDHVIETLTKTALSADRVNNININQGSITFAGGPGRDGIIDFTS GSELLITKAKNGHLAVVAPRLNSR >ENSMUSP00000121267.1 pep:known chromosome:GRCm38:11:75656198:75657648:1 gene:ENSMUSG00000017774.19 transcript:ENSMUST00000136935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1c description:myosin IC [Source:MGI Symbol;Acc:MGI:106612] MSLKVARTGGRGGEGCRTLEPRTMWSPLALGSDGVRVTMESALTARDRVGVQDFVLLENF TSEAAFIENLRRRFRENLIY >ENSMUSP00000134388.1 pep:known chromosome:GRCm38:9:36708482:36726374:-1 gene:ENSMUSG00000032113.15 transcript:ENSMUST00000172702.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chek1 description:checkpoint kinase 1 [Source:MGI Symbol;Acc:MGI:1202065] MAVPFVEDWDLVQTLGEGAYGEVQLAVNRITEEAVAVKIVDMKRAIDCPENIKKEICINK MLSHENVVKFYGHRREGHIQYLFLEYCSGGELFDRIEPDIGMPEQDAQRFFHQLMAGVVY LHGIGITHRDIKPENLLLDERDNLKISDFGLATVFRHNNRERLLNKMCGTLPYVAPELLK RKEFHAEPVDVWSCGIVLTAMLAGELPWDQPSDSCQEYSDWKEKKTYLNPWKKIDSAPLA LLHKILVETPSARITIPDIKKDRWYNKPLNRGAKRPRATSGGMSESSSGFSKHIHSNLDF SPVNNGSSEETVKFSSSQPEPRTGLSLWDTGPSNVDKLVQGISFSQPTCPEHMLVNSQLL GTPGSSQNPWQRLVKRMTRFFTKLDADKSYQCLKETFEKLGYQWKKSCMNQVTVSTTDRR NNKLIFKINLVEMDEKILVDFRLSKGDGLEFKRHFLKIKGKLSDVVSSQKVWFPVT >ENSMUSP00000134398.2 pep:known chromosome:GRCm38:9:36709440:36726585:-1 gene:ENSMUSG00000032113.15 transcript:ENSMUST00000174105.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chek1 description:checkpoint kinase 1 [Source:MGI Symbol;Acc:MGI:1202065] MAVPFVEDWDLVQTLGEGAYGEARHRDA >ENSMUSP00000134029.1 pep:known chromosome:GRCm38:9:36710157:36725868:-1 gene:ENSMUSG00000032113.15 transcript:ENSMUST00000173963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chek1 description:checkpoint kinase 1 [Source:MGI Symbol;Acc:MGI:1202065] MAVPFVEDWDLVQTLGEGAYGEVQLAVNRITEEAVAVKIVDMKRAIDCPENIKKEICINK MLSHENVVKFYGHRREGHIQYLFLEYCSGGELFDRIEPDIGMPEQDAQRFFHQLMAGVVY LHGIGITHRDIKPENLLLDERDNLKISDFGLATVFRHNNRERLLNKMCGTLPYVAPELLK RKEFHAEPVDVWSCGIVLTAMLAGELPWDQPSDSCQEYSDWKEKKTYLNPWKKIDSAPLA LLHKILVETPSARITIPDIKKDRWYNKPLNRGAKRPRATSGGMSESSSGFSKHIHSNLDF SPVNNGSSEETVKFSSSQPEPRTGLSLWDTGPSNVDKLVQGISFSQPTCPEHMLVNSQLL GTPGSSQNPWQRLVKRMTRFFTKLDADKSYQCLKETFEKLGYQWKKSCMNQGDGLEFKRH FLKIKGKLSDVVSSQKVWFPVT >ENSMUSP00000134013.1 pep:known chromosome:GRCm38:9:36710157:36725868:-1 gene:ENSMUSG00000032113.15 transcript:ENSMUST00000173534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chek1 description:checkpoint kinase 1 [Source:MGI Symbol;Acc:MGI:1202065] MAVPFVEDWDLVQTLGEGAYGEVQLAVNRITEEAVAVKIVDMKRAIDCPENIKKEICINK MLSHENVVKFYGHRREGHIQYLFLEYCSGGELFDRIEPDIGMPEQDAQRFFHQLMAGVVY LHGIGITHRDIKPENLLLDERDNLKISDFGLATVFRHNNRERLLNKMCGTLPYVAPELLK RKEFHAEPVDVWSCGIVLTAMLAGELPWDQPSDSCQEYSDWKEKKTYLNPWKKIDSAPLA LLHKILVETPSARITIPDIKKDRWYNKPLNRGAKRPRATSGGMSESSSGFSKHIHSNLDF SPVNNGSSEETVKFSSSQPEPRTGLSLWDTGPSNVDKLVQGISFSQPTCPEHMLVNSQLL GTPGSSQVTVSTTDRRNNKLIFKINLVEMDEKILVDFRLSKGDGLEFKRHFLKIKGKLSD VVSSQKVWFPVT >ENSMUSP00000133589.1 pep:known chromosome:GRCm38:9:36723862:36726451:-1 gene:ENSMUSG00000032113.15 transcript:ENSMUST00000172742.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chek1 description:checkpoint kinase 1 [Source:MGI Symbol;Acc:MGI:1202065] MAVPFVEDWDLVQTLGEGAYGEVQLAVNRITEEAVAVKIVDMKRAIDCPENIKKEICINK MLSHENVVKFYGHR >ENSMUSP00000034625.5 pep:known chromosome:GRCm38:9:36708482:36726658:-1 gene:ENSMUSG00000032113.15 transcript:ENSMUST00000034625.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chek1 description:checkpoint kinase 1 [Source:MGI Symbol;Acc:MGI:1202065] MAVPFVEDWDLVQTLGEGAYGEVQLAVNRITEEAVAVKIVDMKRAIDCPENIKKEICINK MLSHENVVKFYGHRREGHIQYLFLEYCSGGELFDRIEPDIGMPEQDAQRFFHQLMAGVVY LHGIGITHRDIKPENLLLDERDNLKISDFGLATVFRHNNRERLLNKMCGTLPYVAPELLK RKEFHAEPVDVWSCGIVLTAMLAGELPWDQPSDSCQEYSDWKEKKTYLNPWKKIDSAPLA LLHKILVETPSARITIPDIKKDRWYNKPLNRGAKRPRATSGGMSESSSGFSKHIHSNLDF SPVNNGSSEETVKFSSSQPEPRTGLSLWDTGPSNVDKLVQGISFSQPTCPEHMLVNSQLL GTPGSSQNPWQRLVKRMTRFFTKLDADKSYQCLKETFEKLGYQWKKSCMNQVTVSTTDRR NNKLIFKINLVEMDEKILVDFRLSKGDGLEFKRHFLKIKGKLSDVVSSQKVWFPVT >ENSMUSP00000055079.5 pep:known chromosome:GRCm38:11:46404730:46407982:1 gene:ENSMUSG00000020401.6 transcript:ENSMUST00000063166.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71b description:family with sequence similarity 71, member B [Source:MGI Symbol;Acc:MGI:3650836] MPGMKRTMSSECLLPYYTAHSYRSMGVFNTSMGNLQRQLYKGGEYDIFKYAPMFESDFIQ ISKRGEVIDVHNRVRMVTVCIASTSPVLPLPDVMLLARPAKVCEEHARRARFIKGKGCKP SKTLELTRLLPLKFVKISVHDHEKQQLRLKLATGRTFYLQLCPSSDAREDLFCYWEKLVY LLRPPMTNCISNSTLPTGETSVDTKSTLVSEIRGEGDQNSRPQSSPTVSEATSAAFAGGE RTQPAAAAAVTPVSAKARAAGTAGAAAGTAGAAAGTAGPAAGPAAGTAGPAAGTAGAAAG TAGAAAGTAGATAGTAGATAGMAGATAGTAAETAGTAAETAGAARAAGGPMAAAVAAPSA GMTKAETATSPTSGVISLAATTTKPPGSGQVAAAMIGSAAKDQVGGESSKAMALAANITL ENVDVALAGAANSISESPPAGGDASGSPDTGLNVAFAGSIKTKSPAEDKPEAPLVSTLQS EGYMCERDGSQKVSQTSSEAKEKRERREKDRTSSRKSSHHRRTGMSRHSSKDKSRKTSSY RSVSGKTREDKGKGHGRLRGKRHSSSHKSESRTGHKTRKNRSPAGLGSVSKRATKITSFF RSFLVRPTPKAGDTSCDRGGVDIVTKLVEKKQDIEAVMEKSKDSEFKDTVISETMEKIIL ETKSI >ENSMUSP00000071440.1 pep:known chromosome:GRCm38:7:108395122:108396114:1 gene:ENSMUSG00000094104.1 transcript:ENSMUST00000071505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr495 description:olfactory receptor 495 [Source:MGI Symbol;Acc:MGI:3030329] MAFLEDGNHTIVTEFILLGLTDDPVLRDILFTIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASVDIGISSSVTPNMLANFLVKPNTISYIGCSIQFTSAVFLATVECFLLAA MAYDRFVAICNPLLYSTKMSREACIQLVVGSYIQGLLNASFFTLSFFSLIFCGPNRINHF YCDLAPLVELSCSDVTLAVVITSISAGFITLTTVFVIAISYSCIFITIMKMHSTESRYKA FSTCTSHLTAVTLFYGTTMFIYVMPKSSYSTDQNKVLSVFYMVVIPMLNPLIYSLRNNEI KGALKRYLGKKIFSYGNLFCKTHYNDTHQV >ENSMUSP00000043215.2 pep:known chromosome:GRCm38:X:164070705:164076121:-1 gene:ENSMUSG00000040749.11 transcript:ENSMUST00000037928.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siah1b description:seven in absentia 1B [Source:MGI Symbol;Acc:MGI:108063] MSRQAATALSTGTSKCPPSQRVPALTDTTASNNDLASLFECPVCFDYVLPPILQCQSGHL VCSNCRPKLTCCPTCRGPLGSIRNLAMEKVANSVLFPCKYSASGCEITLPHTKKAEHEEL CEFRPYSCPCPGASCKWQGSLDAVMPHLMHQHKSITTLQGEDIVFLATDINLPGAVDWVM MQSCFGFHFMLVLEKQEKYDGHQQFFAIVQLIGTRKQAENFAYRLELNGHRRRLTWEATP RSIHEGIATAIMNSDCLVFDTSIAQLFAENGNLGINVTISMC >ENSMUSP00000071592.2 pep:known chromosome:GRCm38:X:164070705:164076150:-1 gene:ENSMUSG00000040749.11 transcript:ENSMUST00000071667.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siah1b description:seven in absentia 1B [Source:MGI Symbol;Acc:MGI:108063] MSRQAATALSTGTSKCPPSQRVPALTDTTASNNDLASLFECPVCFDYVLPPILQCQSGHL VCSNCRPKLTCCPTCRGPLGSIRNLAMEKVANSVLFPCKYSASGCEITLPHTKKAEHEEL CEFRPYSCPCPGASCKWQGSLDAVMPHLMHQHKSITTLQGEDIVFLATDINLPGAVDWVM MQSCFGFHFMLVLEKQEKYDGHQQFFAIVQLIGTRKQAENFAYRLELNGHRRRLTWEATP RSIHEGIATAIMNSDCLVFDTSIAQLFAENGNLGINVTISMC >ENSMUSP00000114867.1 pep:known chromosome:GRCm38:X:164071885:164076493:-1 gene:ENSMUSG00000040749.11 transcript:ENSMUST00000134272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siah1b description:seven in absentia 1B [Source:MGI Symbol;Acc:MGI:108063] MSRQAATALSTGTSKCPPSQRVPALTDTTASNNDLASLFECPVCFDYVLPPILQCQSGHL VCSNCR >ENSMUSP00000133729.1 pep:known chromosome:GRCm38:7:22117322:22118245:-1 gene:ENSMUSG00000096304.1 transcript:ENSMUST00000173484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16451 description:predicted pseudogene 16451 [Source:MGI Symbol;Acc:MGI:3647461] MSAHGKSVKTTEEVALQLLLFCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVARSTNLCSTCVLGVHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKVSGPQITDNNTDSNRKLFCST SGFSVGIVLLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATC TILMLVVTFVSFYLLNFICIMLHALFMHSHFFIRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000094095.3 pep:known chromosome:GRCm38:X:95022510:95026682:-1 gene:ENSMUSG00000071722.4 transcript:ENSMUST00000096367.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin4 description:spindlin family, member 4 [Source:MGI Symbol;Acc:MGI:2444925] MSPPTVPPTGVDGVSAYLMKKRHTHKKQRRKPTFLTHRNIVGCRIQHGWKEGNEPVEQWK GTVLEQVSVKPTLYIIKYDGKDSVYGLELHRDKRVLALEILPERVPSPRIDSRLADSLVG KAVGHVFEGEHGKKDEWKGMVLARAPIMDTWFYITYEKDPVLYMYTLLDDYKDGDLRIIP DSNYYFPAAEQEPGEVLDSLVGKQVEHAKDDGSKRTGIFIHQVVAKPSVYFIKFDDDIHI YVYGLVKTP >ENSMUSP00000096257.3 pep:known chromosome:GRCm38:9:59966931:60511035:-1 gene:ENSMUSG00000032289.15 transcript:ENSMUST00000098660.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd4 description:thrombospondin, type I, domain containing 4 [Source:MGI Symbol;Acc:MGI:2672033] MVSYLTSCLSALSTLLLLLGSQLVCPQPSTEHRKVPQRMAVTEGTPEDSGSGSPGVWGSW GPWSACSRSCSGGVMEQTRPCLPSSYRARGGSRPNGRALSITGHVVSAVRTSVPLHRSQE DQRALAGSNASRQGPAVVRGSRHPQARGREPSERRSRTRGPIGPGKYGYGKAPYILPLQT DTTHTPQRLRRQRPSSRHSRSQEASASKQGYRPPTHQFSHSQPLYQSDSGPRSGLPPSEA SIYQLPLTHDQSYPAASSLFHRPELSSHHGARPHGAAQAFPQHLRSTAISCIGAYRQYKL CNTNACPESGRSIREVQCASYNNKPFMGRFYEWEPFAEVKGNRKCELNCQATGYRFYVRQ AEKVIDGTPCDQNGTAICVSGQCKSIGCDDFLGSDKVLDKCGVCGGDNTGCQVVSGVFKH ALTSLGYHRVVEIPQGATKINITEMHKSNNYLALRSRSGRSIINGNWAIDRPGKYEGGGT MFTYKRPNEVSSTAGESFLAEGPTNEILDVYMIHQQPNPGVHYEYVIMRNNAISPQVPPH RRPGEPFNGQLEEEDRGQEDREEREKNQEKEDSQVEAPEVFTSESTQTFPVRHPERFPSH RPDNLVPPAPQPPRRSRDHNWKQLGTTECSTTCGKGSQYPIFRCVHRNTHEEVPESYCDS SMKPTPEEEPCNLFPCPAFWDIGEWSECSKTCGLGMQHRQVLCRQVYANRSLTVQPYRCQ HLEKPETTSTCQLKICSEWQIRTDWTSCSVPCGVGQRTRDVKCVSNIGDMVHDEECNMKL RPNDIENCDMGPCAKSWFLTEWSERCSAECGAGVRTRSVVCMTNHVSSLPLEGCGNNRPV EATPCDNGPCTGKVEWFTGSWSQCSIECGSGTQQREVICVRKNADTFEVLDPYECSFLEK PPSQQACHLKPCGAKWFSTEWSMCSKSCQGGFRVREVRCLSDDMTPSSLCDPQLKPEERE SCNTQDCVPEVDENCKDKYYNCNVVVQARLCVYNYYKTACCASCTRVANRHVGFLGSR >ENSMUSP00000034829.5 pep:known chromosome:GRCm38:9:59969719:60141220:-1 gene:ENSMUSG00000032289.15 transcript:ENSMUST00000034829.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd4 description:thrombospondin, type I, domain containing 4 [Source:MGI Symbol;Acc:MGI:2672033] MFVSYLLLTLFHTETAMLARPGGESIGCDDFLGSDKVLDKCGVCGGDNTGCQVVSGVFKH ALTSLGYHRVVEIPQGATKINITEMHKSNNYLALRSRSGRSIINGNWAIDRPGKYEGGGT MFTYKRPNEVSSTAGESFLAEGPTNEILDVYMIHQQPNPGVHYEYVIMRNNAISPQVPPH RRPGEPFNGQLEEEDRGQEDREEREKNQEKEDSQVEAPEVFTSESTQTFPVRHPERFPSH RPDNLVPPAPQPPRRSRDHNWKQLGTTECSTTCGKGSQYPIFRCVHRNTHEEVPESYCDS SMKPTPEEEPCNLFPCPAFWDIGEWSECSKTCGLGMQHRQVLCRQVYANRSLTVQPYRCQ HLEKPETTSTCQLKICSEWQIRTDWTSCSVPCGVGQRTRDVKCVSNIGDMVHDEECNMKL RPNDIENCDMGPCAKSWFLTEWSERCSAECGAGVRTRSVVCMTNHVSSLPLEGCGNNRPV EATPCDNGPCTGKVEWFTGSWSQCSIECGSGTQQREVICVRKNADTFEVLDPYECSFLEK PPSQQACHLKPCGAKWFSTEWSMCSKSCQGGFRVREVRCLSDDMTPSSLCDPQLKPEERE SCNTQDCVPEVDENCKDKYYNCNVVVQARLCVYNYYKTACCASCTRVANRHVGFLGSR >ENSMUSP00000123323.1 pep:known chromosome:GRCm38:9:60428226:60522046:-1 gene:ENSMUSG00000032289.15 transcript:ENSMUST00000140824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd4 description:thrombospondin, type I, domain containing 4 [Source:MGI Symbol;Acc:MGI:2672033] MVSYLTSCLSALSTLLLLLGSQLVCPQPSTEHRKVPQRMAVTEGTPEDSGSGSPGVWGSW GPWSACSRSCSGGVMEQTRPCLPSSYRARGGSRPNGRALSITGHVVSAVRTSVPLHRSQE DQRALAGSNASRQG >ENSMUSP00000131418.1 pep:known chromosome:GRCm38:9:59966931:60497126:-1 gene:ENSMUSG00000032289.15 transcript:ENSMUST00000171654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd4 description:thrombospondin, type I, domain containing 4 [Source:MGI Symbol;Acc:MGI:2672033] MVSYLTSCLSALSTLLLLLGSQLVCPQPSTEHRKVPQRMAVTEGTPEDSGSGSPGVWGSW GPWSACSRSCSGGVMEQTRPCLPSSYRARGGSRPNGRALSITGHVVSAVRTSVPLHRSQE DQRALAGSNASRQGPAVVRGSRHPQARGREPSERRSRTRGPIGPGKYGYGKAPYILPLQT DTTHTPQRLRRQRPSSRHSRSQEASASKQGYRPPTHQFSHSQPLYQSDSGPRSGLPPSEA SIYQLPLTHDQSYPAASSLFHRPELSSHHGARPHGAAQAFPQHLRSTAISCIGAYRQYKL CNTNACPESGRSIREVQCASYNNKPFMGRFYEWEPFAEVKGNRKCELNCQATGYRFYVRQ AEKVIDGTPCDQNGTAICVSGQCKSIGCDDFLGSDKVLDKCGVCGGDNTGCQVVSGVFKH ALTSLGYHRVVEIPQGATKINITEMHKSNNYLALRSRSGRSIINGNWAIDRPGKYEGGGT MFTYKRPNEVSSTAGESFLAEGPTNEILDVYMIHQQPNPGVHYEYVIMRNNAISPQVPPH RRPGEPFNGQLEEEDRGQEDREEREKNQEKEDSQVEAPEVFTSESTQTFPVRHPERFPSH RPDNLVPPAPQPPRRSRDHNWKQLGTTECSTTCGKGSQYPIFRCVHRNTHEEVPESYCDS SMKPTPEEEPCNLFPCPAFWDIGEWSECSKTCGLGMQHRQVLCRQVYANRSLTVQPYRCQ HLEKPETTSTCQLKICSEWQIRTDWTSCSVPCGVGQRTRDVKCVSNIGDMVHDEECNMKL RPNDIENCDMGPCAKSWFLTEWSERCSAECGAGVRTRSVVCMTNHVSSLPLEGCGNNRPV EATPCDNGPCTGKVEWFTGSWSQCSIECGSGTQQREVICVRKNADTFEVLDPYECSFLEK PPSQQACHLKPCGAKWFSTEWSMCSKSCQGGFRVREVRCLSDDMTPSSLCDPQLKPEERE SCNTQDCVPEVDENCKDKYYNCNVVVQARLCVYNYYKTACCASCTRVANRHVGFLGSR >ENSMUSP00000029879.8 pep:known chromosome:GRCm38:4:15957925:15992589:1 gene:ENSMUSG00000028224.14 transcript:ENSMUST00000029879.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbn description:nibrin [Source:MGI Symbol;Acc:MGI:1351625] MWKLLPAAGAAPGEPYRLLAGVEYVVGRKNCGILIENDQSISRNHAVLTVNFPVTSLSQT DEIPTLTIKDNSKYGTFVNEEKMQTGLSCTLKTGDRVTFGVFESKFRVEYEPLVVCSSCL DVSGKTVLNQAILQLGGLTANNWTEECTHLVMSAVKVTIKTICALICGRPIIKPEYFSEF LKAVESKKQPPDIESFYPPIDEPAIGSKSVDLSGRHERKQIFKGKTFVFLNAKQHKKLSS AVAFGGGEARLMAEDDEEEQSFFSAPGTCVVDVGITNTQLIISHSQKKWIHLIMDTLQRN GLRPIPEAEIGLAVIFMTTENYCNPQGQPCTELKTTTPGPSLSQVLSANGKIIPSAPVNM TTYVADTESEPADTCMPLSERPEEVKIPGLEQSSRKLSQETFNIKEAPKPSSKANNVASD TLVRGKTPSYQLSPMKFPVANKNKDWTSQQQQNSIKNYFQPCTRKRERDEDNPELSSCKS SRMELSCSLLEQTQPAGPSLWKSKEHQSQNATLDREADTSSVGGMDIELNRKSPDRKPLP TETLRPRKRKDVDLATEEEVLEELLRSTKPELAVQVKVEKQEADDTIRKKPRMDAERNRP LNGGSEPESNSALQEDEREKKDELQTESWSTKHEIANSDGLQDSSEELPRKLLLTEFRSL VVSNHNSTSRNLCVNECGPLKNFKKFKKATFPGAGKLPHIIGGSDLVGHHARKNTELEEW LKQEMEVQKQQAKEESLADDLFRYNPNVKRR >ENSMUSP00000120829.1 pep:known chromosome:GRCm38:4:15957967:15981554:1 gene:ENSMUSG00000028224.14 transcript:ENSMUST00000149069.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbn description:nibrin [Source:MGI Symbol;Acc:MGI:1351625] MWKLLPAAGAAPGEPYRLLAGVEYVVGRKNCGILIENDQSISRNHAVLTVNFPVTSLSQT DEIPTLTIKDNSKYGTFVNEEKMQTGLSCTLKTGDRVTFGVFESKFRVEYEPLVVCSSCL DVSGKTVLNQAILQLGGLTANNWTEECTHLVMSAVKVTIKTICALICGRPIIKPEYFSEF LKAVESKKQPPDIESFYPPIDEPAIGSKSVDLSGRHERKQIFKGKTFVFLNAKQHKKLSS AVAFGGGEARLMAEDDEEEQSFFSAPGTCVVDVGITNTQLIISHSQKKWIHLIMDTLQRN GLRPIPEAEIGLAVIFMTTENYCNPQGQPCTELKTTTPGPSLSQVLSANGKIIPSAPVNM TTYVADTESEPADTCMPLSERPEEVKIPGLEQSSRKLSQETFNIKEAPKPSSKANNVASD TLVRGKTPSYQLSPMKFPVANKNKDWTSQQQQNSIKNYFQPCTRKRERDEDNPELSSCKS SRMELSCSLLEQTQPAGPSLWKSKEHQSQNATLDREADTSSVGGMDIELNRKSPDRKPLP TETLRPRK >ENSMUSP00000096318.1 pep:known chromosome:GRCm38:7:22133776:22134741:-1 gene:ENSMUSG00000096348.1 transcript:ENSMUST00000098722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10666 description:predicted gene 10666 [Source:MGI Symbol;Acc:MGI:3704285] MSVRGKSLKTTEEVALQIILLCQFGVGTMANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTVFPNNMMAFAPRNPPTELKCKLKFFSHLVTRSTNLCSTCVLSIHQFVILVP ISRGKLLLRASVPNLVSYSCYGCWFFSVLSNIHIPIKVTGPQITDNNTDSKSKFFCSTSG FTVRIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAESRATHTI LMLVVTFVSFYLLNFICIIFHTFFIHYNLFIRLLGEILAAGFPTISPLLLIFRDPKNPCL ELFHCFKPESLQNLKHRRQLH >ENSMUSP00000053366.4 pep:known chromosome:GRCm38:17:28934291:28942262:-1 gene:ENSMUSG00000045378.4 transcript:ENSMUST00000051526.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxt1 description:peroxisomal, testis specific 1 [Source:MGI Symbol;Acc:MGI:1916557] MQLRHIGDSVNHRVIQEHLAQEVGDVLAPFVALVFVRGQVLLRFFWNNHLL >ENSMUSP00000030914.3 pep:known chromosome:GRCm38:4:155074110:155086373:-1 gene:ENSMUSG00000029048.3 transcript:ENSMUST00000030914.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rer1 description:RER1 retention in endoplasmic reticulum 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915080] MSEGDSVGDSVHGKPSVVYRFFSRLGQIYQSWLDKSTPYTAVRWVVTLGLSFVYMIRVYL LQGWYIVTYALGIYHLNLFIAFLSPKVDPSLMEDSDDGPSLPTKQNEEFRPFIRRLPEFK FWHAATKGILVAMICTFFEAFNVPVFWPILVMYFIMLFCITMKRQIKHMIKYRYIPFTHG KRRYKGKEDVGKTFAS >ENSMUSP00000075741.1 pep:known chromosome:GRCm38:7:108422573:108423517:1 gene:ENSMUSG00000095239.1 transcript:ENSMUST00000076406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr497 description:olfactory receptor 497 [Source:MGI Symbol;Acc:MGI:3030331] MAFLEVGNHTAVTEFILLGLTDDPVLRVVLFTIILCIYLVTVMGNLSTILLIRVSSQLHH PMYFFLSHLASVDMGLSSSVTPNMLLNFLIERNTISYLGCGIQQSLADFFGSVECFLLAA MAYDRFMAICNPLLYSTKMSTKVCVQLVVGSYIGGFLNASLIMFYFFSFLFCGPNRVDHF FCDFAPLVELSCSDVSVSVIVISFSAGSVTMITVFVIAVSYSYILITILKMHSIEGRHKA FSTCTSHLTAVTLYYGTITFIYVMPKSSFSTDQNKVVSVFYMVMIPMLNPLIYSLRNNEI KGAIKRQLGKKMSC >ENSMUSP00000127853.1 pep:known chromosome:GRCm38:11:78826584:78846870:1 gene:ENSMUSG00000072640.11 transcript:ENSMUST00000147875.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm9 description:LYR motif containing 9 [Source:MGI Symbol;Acc:MGI:1913524] MAPLPGAELVQTPLQLYRYLLRCCRQLPTKGIQEHYKHAVRQSFQVHSDEDNSERIQQII KRAIEDADWIMNKYRKQN >ENSMUSP00000131608.1 pep:known chromosome:GRCm38:11:78826604:78838256:1 gene:ENSMUSG00000072640.11 transcript:ENSMUST00000141321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm9 description:LYR motif containing 9 [Source:MGI Symbol;Acc:MGI:1913524] MAPLPGAELVQTPLQLYRYLLRCCRQLPTKGIQEHYKHAVRQSFQVHSDEDNSERIQQII KRAIEDADWIMNKVSACLALALEWECCNLLGHQGALA >ENSMUSP00000113873.1 pep:known chromosome:GRCm38:2:176670522:176679874:1 gene:ENSMUSG00000078879.10 transcript:ENSMUST00000121956.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14306 description:predicted gene 14306 [Source:MGI Symbol;Acc:MGI:3805542] MRDSTGCCERRLVKLRTPNMDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSLGR >ENSMUSP00000104613.2 pep:known chromosome:GRCm38:2:176670562:176681090:1 gene:ENSMUSG00000078879.10 transcript:ENSMUST00000108985.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14306 description:predicted gene 14306 [Source:MGI Symbol;Acc:MGI:3805542] MRDSTGCCERRLVKLRTPNMDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSLGRHERRSSAEQHSEFIPCGKAFAYQSRSQRHVRIHNGEK HYECNQCGKDFGTRSVLQRLKRTHSGENPYECNHCGKAFAESSTLQIHKRKHTGEKPYEC NHCVKAFAKMSELQIHKRIHTGEKPYECKQCGKAFTQSSHLGIHKQTHTGEKPYECKQCG KAFARSSTLQTHKQTHTGEKPYECKQCDKAFVRRGELQIHKGTHTGEKPYECKQCGKAFA QSGTLQIHKRTHTGEKPY >ENSMUSP00000115307.1 pep:known chromosome:GRCm38:2:176670580:176719085:1 gene:ENSMUSG00000078879.10 transcript:ENSMUST00000141122.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm14306 description:predicted gene 14306 [Source:MGI Symbol;Acc:MGI:3805542] MRDSTGCCERRLVKLRTPNMDLLTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSLGS >ENSMUSP00000082150.1 pep:known chromosome:GRCm38:9:107928469:107932461:1 gene:ENSMUSG00000066368.1 transcript:ENSMUST00000085073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl11 description:actin-like 11 [Source:MGI Symbol;Acc:MGI:1914972] MEDTKKVGGPDPSGPQGHPLPSSQQLTGGFKVSQGPWMNPKSLYSKQGLSLSTGAINSTS QAPASQPMLQLLHNSAQVNSQCDYARASQDTSRFSSNPYPNPVVGTQEHLLMDDGTQTLP MPPDNNGTDDKAQYRFNLSQNQLQIGRESKAPAKVLVGWGKGTCNIGQMASEGSRKSRWL PYFLSGESGVVEGQAPLLTQAPVQDQRQDKCPCLAQSPTLNKATSSPSLPLPTSVPASVA AVNGLPAPAAVKPYTYSPNYLTNTTAAAKDLPLDLRNGGNQLSVLSDPSKKDKVYFFLKE TPPTPTSSPAEFSPKIQDQEVAKVQVPIQQSEYPAKKCLVSRLDTLTSTSEPLDSFKIQE NSHKVCSSHPDKQPPNACNNNNCSNVPLPVLQGAYSKHSLPQSPRGVIQSPISGAPTCQL QDAVEDHVLVFDMATGNTRMGLLCHDPMGSRAVLVGLTPSHPSNHVSDNTLSAWSLSRPI LSPNSDHPSLWSTTAVLSSPVPSSLSAGSYREVALVSKEAKHNLEPRTSPGTESPIRMLA RPVVPGTPIQYGEKISAQVPDPSWPKSDAEKMGTDHTIWKLDSPTVQPRKLQWIKPDPVS TVDIQVVSRSPLQEKAGSTNQQAAHPSVPQAEGTGQVFLTGQSFLNGQNLLARQPPVAEQ GSLSGQLPSTKQLPLTCAPHLNEQTPLPRQLSLTRHVPVPVKPPTTKEPPFTSGEPIQPQ ENEPLCNATHVGILRVPLTPEGTCLYVNRDKVENNRVQRSSINQPQSWQPSNPHRAQQEQ LSLITFTGCKDLPISMVATESTNGHQFKMTSEDITHASVVAHLGLLRGNCYELVSTVDTV PVQSAVLCNRSSSPYQKMAAIVIDTGTGFTKCGLAQENHVLSVVPSQVQMLQHPPQGQPQ YVVPEHQEGSYSVLNRGVVSDWDALEVLWQHLFYCKLKVQPEEMAVLVADSPISPRTNRE KVAEILFERFHVPAMQTVHQALLTLYAYGRTTGLVVGSGHGTSYVAPIITGDLAPIDTYR LDVAGADLTDYLTQLLLSGGHSLPKGGIIRQIKEACCYVAMDTATEMARNQSQVQVDFVL PDKHVITLGSERFCCPEALFQPNLLGLNQLGLPQLALLSINRLEVKQQEQLLANVVLEGG STLINGFPERMRQELGPGATVLGSPHRAVAAWLGGSIMACRDSFQSLWLTRREYEEEGPW AVYKYQL >ENSMUSP00000004910.5 pep:known chromosome:GRCm38:12:85219481:85226628:1 gene:ENSMUSG00000004788.11 transcript:ENSMUST00000004910.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b2 description:eukaryotic translation initiation factor 2B, subunit 2 beta [Source:MGI Symbol;Acc:MGI:2145118] MPGAAAKGSELSERIEGFVETLKRGGGQRSSEDMARETLGLLRRLITDHHWNNAGDLMDL IRREGRRMTAAQPSETTVGNMVRRVLKIIREEYGRLHGRSDESDQQESLHKLLTSGGLSE DFSFHFAPLKANIIEAINELLVELEGTMENIAAQALEHIHSNEVIMTIGYSRTVEAFLKE AARKRKFHVIVAECAPFCQGHEMAVNLSKEGIETTVMTDAAIFAVMSRVNKVIIGTKTIL ANGSLRAVAGTHTLALAAKHHSTPLIVCAPMFKLSPQFPSEEDSFHKFVAPEEVLPFTEG DILEKVSVHCPVFDYVPPDLITLFISNIGGNAPSYIYRLMSELYHPDDHVL >ENSMUSP00000122954.1 pep:known chromosome:GRCm38:12:85219504:85222839:1 gene:ENSMUSG00000004788.11 transcript:ENSMUST00000140900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b2 description:eukaryotic translation initiation factor 2B, subunit 2 beta [Source:MGI Symbol;Acc:MGI:2145118] MPGAAAKGSELSERIEGFVETLKRGGGQRSSEDMARETLGLLRRLITDHHWNNAGDLMDL IRREGRRMTAAQPSETTVGNMVRRVLKIIREELHGRSDESDQQESLHKLLTSGGLSEDFS FHFAPLKANIIEAINELLVELEGTMENIAAQALEHIHSNEVIMTIGYSRTVEAFLKEAAR KRKFHVIVAECAPFCQGHEMAVNLSKEGIETTVMTDAAIFAVMSRVNK >ENSMUSP00000122720.1 pep:known chromosome:GRCm38:12:85219513:85226150:1 gene:ENSMUSG00000004788.11 transcript:ENSMUST00000136495.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b2 description:eukaryotic translation initiation factor 2B, subunit 2 beta [Source:MGI Symbol;Acc:MGI:2145118] MPGAAAKGSELSERIEGFVETLKRGGGQRSSEDMARETLGLLRRLITDHHWNNAGDLMDL IRREGRRMTAAQPSETTVGNMVRRVLKIIREEYGRLHGRSDESDQQESLHKLLTSGGLSE DFSFHFAPLKANIIEAINELLVELEGTMENIAAQALEHIHSNEVIMTIGYSRTVEAFLKE AARKRKFHVIVAECAPFCQGHEMAVNLSKEGIETTVMTDAAIFAVMSRVNKFPSEEDSFH KFVAPEEVLPFTEGDILEKVSVHCPVFDYVPPDLITLFISNIGGNAPSYIYRLMSELYHP DD >ENSMUSP00000104609.2 pep:known chromosome:GRCm38:2:176708328:176721813:1 gene:ENSMUSG00000078878.9 transcript:ENSMUST00000108981.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14305 description:predicted gene 14305 [Source:MGI Symbol;Acc:MGI:3709632] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRT HTGEKPYECNQCGKAFASSGELQNHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQC GKAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGDKPYECNQCGKAF ITRRVLQIHKGTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSS SLRIHKRTHTGERQYECN >ENSMUSP00000104608.2 pep:known chromosome:GRCm38:2:176708355:176720203:1 gene:ENSMUSG00000078878.9 transcript:ENSMUST00000108980.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14305 description:predicted gene 14305 [Source:MGI Symbol;Acc:MGI:3709632] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000104611.2 pep:known chromosome:GRCm38:2:176711933:176721813:1 gene:ENSMUSG00000078878.9 transcript:ENSMUST00000108983.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14305 description:predicted gene 14305 [Source:MGI Symbol;Acc:MGI:3709632] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKQYECNQCGKAFKRRINLQIHKRT HTGEKPYECNQCGKAFASSGELQNHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQCGKAFAVIYTLQMHKRTHTGEKPYKCKQC GKAFARSCHLRIHKRTHTGEKPYECNQCGKAFAESSTLQIHNRTHTGDKPYECNQCGKAF ITRRVLQIHKGTHTGEKPYECNQCGKAFARSCDLQKHKRTHTGEKPYECNHCGKAFAQSS SLRIHKRTHTGERQYECN >ENSMUSP00000007921.2 pep:known chromosome:GRCm38:11:51685386:51688653:-1 gene:ENSMUSG00000007777.9 transcript:ENSMUST00000007921.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610009B22Rik description:RIKEN cDNA 0610009B22 gene [Source:MGI Symbol;Acc:MGI:1913300] MSGSFYFVIVGHHDNPVFEMEFLPAGKAESKDEHRHLNQFIAHAALDLVDENMWLSNNMY LKTVDKFNEWFVSAFVTAGHMRLIMLHDVRHEDGIKNFFTDVYDLYIKFAMNPFYEPNSP IRSSAFERKVQFLGKKHLLN >ENSMUSP00000104726.1 pep:known chromosome:GRCm38:11:51685386:51688874:-1 gene:ENSMUSG00000007777.9 transcript:ENSMUST00000109098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610009B22Rik description:RIKEN cDNA 0610009B22 gene [Source:MGI Symbol;Acc:MGI:1913300] MSGSFYFVIVGHHDNPVFEMEFLPAGKAESKDEHRHLNQFIAHAALDLVDENMWLSNNMY LKTVDKFNEWFVSAFVTAGHMRLIMLHDVRHEDGIKNFFTDVYDLYIKFAMNPFYEPNSP IRSSAFERKVQFLGKKHLLN >ENSMUSP00000114056.1 pep:known chromosome:GRCm38:10:3740364:3967303:1 gene:ENSMUSG00000040624.17 transcript:ENSMUST00000120274.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg1 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 1 [Source:MGI Symbol;Acc:MGI:2676551] MELSDSDRPISFGSTSSSASSRDSHGSFGSRMTLVSNSHLGLFHQDKEAGAIKLELVPAR PFSSSELQRDKPAGPQNMNGVSEKQPRTPWRMDTSGTPQTTADSATSPKLLYVDRVVQEI LETERTYVQDLKSIVEGYLECIRDQTKLPLVTEDRAALFGNIQDIYHFNSELLQDLENCE NDPVAIAECFVSKSEEFHIYTQYCTNYPRSVAVLTECMRNKILTKFFRERQETLRHSLPL GSYLLKPVQRILKYHLLLHEIENHLDKATEGYDVVLDAIDTMQRVAWHINDMKRKHEHAV RLQEIQSLLTNWEGPDLTSYGELVLEGTFRIQKAKKERTLFLLDQLLLITKKRDDTFTYK AHILCGNLMLVEVIPKEPLSFSVFHYKNPKLQHTVQAKSQQDKRLWVLHLKRLILENHAA AAKIPAKAKQAILEMDAIHYPGFCYSPEGEMKTPCGSAPHRLRRKSEPSSRSHKVLKTSE TSQDIQKVSREESPSQLTSAVPAQRNCQPGSAAVINMLRGGGGVRSPWTDHHIRQRTDHH IRQPLFPSRRSPQENEDDDDDYQMFVPSFSSSDLNSTRLCEENASSRPCSWHLGLIEPTE ISSSGHRIVRRASSAGESNACPPEVRIRDCDDSQYCPGRQLQNSPRPGGERGMTPYGSSV ELTIDDIDHVYDNISFEDLKLMVAKRDETECSFSKPSRDSVRPKSTPELAFSKRQVSHST SSLHSRKEAGLGGQEASTQSVHEHQEVEENIYDTIGLPDPPSMNLNHSSLHQPKRSTFLG LEADFACCDSLRPFVSQDSLQFSEDDISYHQGPSDTEYLSLLYDSPRCNLPIADKALSDK LSEEVDEIWNDLENYIKKNEDKSRDRLLAAFPVSKDDAPERLYVDSTHELGRDTGHATSM LALPTSQTFLLPGKSRVVRASRANCSLDNDIISTEGSFLSLNQLSLASDGPPVDNPYDLA NCSLPQTDPENPDPGMEVTDKTKSRVFMMARQYSQKIKKVNQILKVKSPELEQPPSSQHR PSHKDLVAILEEKRQGGPAIGARIAEYSQLYDQIVFRETPLKAQKDGWASPQGPTLHRPV SPPQAQGAGEDWLWHSPYSNGELADFSPQTEQDSKSKYPITLESTTKIRPRQLSGACSVP SLQVSDPLLGSVQQRCSVVVSQPHKENSGQSPLYNSLGRKAISAKPQPYSRPQSSSSILI NKSLDSINYPSETETKQLLSSQKSPRGASQQDLPSGLANSCQQDRGKRSDLTLQDSQKVL VVNRNLPLSAQIATQNYFCNFKDPEGDEDDYVEIKSEEDEVRLDLSPRRGRKSDPQTPDP DCSDSICSHSTPYSLKEPVSGRLGLPPYLTACKDSDKLNDYLWRGPSPNQQNIVQSLREK FQCLSSSSFA >ENSMUSP00000119950.1 pep:known chromosome:GRCm38:10:3872667:3964549:1 gene:ENSMUSG00000040624.17 transcript:ENSMUST00000136671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg1 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 1 [Source:MGI Symbol;Acc:MGI:2676551] XFSQSGAPLLTLQSVRLQFQETDGHRHLQLDVPSAVSLVPDPRTTAEPSESPEPKTMELS DSDRPISFGSTSSSASSRDSHGSFGSRMTLVSNSHLGLFHQDKEAGAIKLELVPARPFSS SELQRDKPAGPQNMNGVSEKQPRTPWRMDTSGTPQTTADSATSPKLLYVDRVVQEILETE RTYVQDLKSIVEGYLECIRDQTKLPLVTEDRAALFGNIQDIYHFNSELLQDLENCENDPV AIAECFVSKSEEFHIYTQYCTNYPRSVAVLTECMRNKILTKFFRERQETLRHSLPLGSYL LKPVQRILKYHLLLHEIENHLDKATEGYDVVLDAIDTMQRVAWHINDMKRKHEHAVRLQE IQSLLTNWEGPDLTSYGELVLEGTFRIQKAKKERTLFLLDQLLLITKKRDDTFTYKAHIL CGNLMLVEVIPKEPLSFSVFHYKNPKLQHTVQAKSQQDKRLWVLHLKRLILENHAAAAKI PAKAKQAILEMDAIHYPGFCYSPEGEMKTPCGSAPHRLRRKSEPSSRSHKVLKTSETSQD IQKVSREESPSQLTSAVPAQRNCQPGSAAVINMLRGGGGVRSPWTDHHIRQRTDHHIRQP LFPSRRSPQENEDDDDDYQMFVPSFSSSDLNSTRLCEENASSRPCSWHLGLIEPTEISSS GHRIVRRASSAGESNACPPEVRIRDCDDSQYCPGRQLQNSPRPGGERGMTPYGSSVELTI DDIDHVYDNISFEDLKLMVAKRDETECSFSKPSRDSVRPKSTPELAFSKRQVSHSTSSLH SRKEAGLGGQEASTQSVHEHQEVEENIYDTIGLPDPPSMNLNHSSLHQPKRSTFLGLEAD FACCDSLRPFVSQDSLQFSEDDISYHQGPSDTEYLSLLYDSPRCNLPIADKALSDKLSEE VDEIWNDLENYIKKNEDKSRDRLLAAFPVSKDDAPERLYVDSTHELGRDTGHATSMLALP TSQTFLLPGKSRVVRASRANCSLDNDIISTEGSFLSLNQLSLASDGPPVDNPYDLANCSL PQTDPENPDPGMEVTDKTKSRVFMMARQYSQKIKKVNQILKVKSPELEQPPSSQHRPSHK DLVAILEEKRQGGPAIGARIAEYSQLYDQIVFRETPLKAQKDGWASPQGPTLHRPVSPPQ AQGAGEDWLWHSPYSNGELADFSPQTEQDSKSKYPITLESTTKIRPRQLSGACSVPSLQV SDPLLGSVQQRCSVVVSQPHKENSGQSPLYNSLGRKAISAKPQPYSRPQSSSSILINKSL DSINYPSETETKQLLSSQKSPRGASQQDLPSGLANSCQQDRGKRSDLTLQDSQKVLVVNR NLPLSAQIATQNYFCNFKDPEGDEDDYVEIKSEEDEVRLDLSPRRGRKSDPQTPDPDCSD SICSHSTPYSLKEPVSGRLGLPPYLTACKDSDKLNDYLWRGPSPNQQNIVQSLREKFQCL SSSSFA >ENSMUSP00000122131.1 pep:known chromosome:GRCm38:10:3903586:3967298:1 gene:ENSMUSG00000040624.17 transcript:ENSMUST00000154727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg1 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 1 [Source:MGI Symbol;Acc:MGI:2676551] XKLPLVTEDRAALFGNIQDIYHFNSELLQDLENCENDPVAIAECFVSKSEEFHIYTQYCT NYPRSVAVLTECMRNKILTKFFRERQETLRHSLPLGSYLLKPVQRILKYHLLLHEIENHL DKATEGYDVVLDAIDTMQRVAWHINDMKRKHEHAVRLQEIQSLLTNWEGPDLTSYGELVL EGTFRIQKAKKERTLFLLDQLLLITKKRDDTFTYKAHILCGNLMLVEVIPKEPLSFSVFH YKNPKLQHTVQAKSQQDKRLWVLHLKRLILENHAAAAKIPAKAKQAILEMDAIHYPGFCY SPEGEMKTPCGSAPHRLRRKSEPSSRSHKVLKTSETSQDIQKVSREESPSQLTSAVPAQR NCQPGSAAVINMLRGGGGVRSPWTDHHIRQRTDHHIRQPLFPSRRSPQENEDDDDDYQMF VPSFSSSDLNSTRLCEENASSRPCSWHLGLIEPTEISSSGHRIVRRASSAGESNACPPEV RIRDCDDSQYCPGRQLQNSPRPGGERGMTPYGSSVELTIDDIDHVYDNISFEDLKLMVAK RDETECSFSKPSRDSVRPKSTPELAFSKRQVSHSTSSLHSRKEAGLGGQEASTQSVHEHQ EVEENIYDTIGLPDPPSMNLNHSSLHQPKRSTFLGLEADFACCDSLRPFVSQDSLQFSED DISYHQGPSDTEYLSLLYDSPRCNLPIADKALSDKLSEEVDEIWNDLENYIKKNEDKSRD RLLAAFPVSKDDAPERLYVDSTHELGRDTGHATSMLALPTSQTFLLPGKSRVVRASRANC SLDNDIISTEGSFLSLNQLSLASDGPPVDNPYDLANCSLPQTDPENPDPGMEVTDKTKSR VFMMARQYSQKIKKVNQILKVKSPELEQPPSSQHRPSHKDLVAILEEKRQGGPAIGARIA EYSQLYDQIVFRETPLKAQKDGWASPQGPTLHRPVSPPQAQGAGEDWLWHSPYSNGELAD FSPQTEQDSKSKYPITLESTTKIRPRQLSGACSVPSLQVSDPLLGSVQQRCSVVVSQPHK ENSGQSPLYNSLGRKAISAKPQPYSRPQSSSSILINKSLDSINYPSETETKQLLSSQKSP RGASQQDLPSGLANSCQQDRGKRSDLTLQDSQKVLVVNRNLPLSAQIATQNYFCNFKDPE GDEDDYVEIKSEEDEVRLDLSPRRGRKSDPQTPDPDCSDSICSHSTPYSLKEPVSGRLGL PPYLTAFSYSTSL >ENSMUSP00000116652.1 pep:known chromosome:GRCm38:10:3957891:3963526:1 gene:ENSMUSG00000040624.17 transcript:ENSMUST00000141367.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekhg1 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 1 [Source:MGI Symbol;Acc:MGI:2676551] XSFLSLNQLSLASDGPPVDNPYDLANCSLPQTDPENPDPGMEVTDKTKSRVFMMARQYSQ KIKKVNQILKVKSPELEQPPSSQHRPSHKDLVAILEEKRQGGPAIGRRNRMDRFSRLLRM GLTTLPGACSRCCAEGRALYSPAETLEHLY >ENSMUSP00000040495.6 pep:known chromosome:GRCm38:10:3872667:3967303:1 gene:ENSMUSG00000040624.17 transcript:ENSMUST00000042438.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg1 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 1 [Source:MGI Symbol;Acc:MGI:2676551] MELSDSDRPISFGSTSSSASSRDSHGSFGSRMTLVSNSHLGLFHQDKEAGAIKLELVPAR PFSSSELQRDKPAGPQNMNGVSEKQPRTPWRMDTSGTPQTTADSATSPKLLYVDRVVQEI LETERTYVQDLKSIVEGYLECIRDQTKLPLVTEDRAALFGNIQDIYHFNSELLQDLENCE NDPVAIAECFVSKSEEFHIYTQYCTNYPRSVAVLTECMRNKILTKFFRERQETLRHSLPL GSYLLKPVQRILKYHLLLHEIENHLDKATEGYDVVLDAIDTMQRVAWHINDMKRKHEHAV RLQEIQSLLTNWEGPDLTSYGELVLEGTFRIQKAKKERTLFLLDQLLLITKKRDDTFTYK AHILCGNLMLVEVIPKEPLSFSVFHYKNPKLQHTVQAKSQQDKRLWVLHLKRLILENHAA AAKIPAKAKQAILEMDAIHYPGFCYSPEGEMKTPCGSAPHRLRRKSEPSSRSHKVLKTSE TSQDIQKVSREESPSQLTSAVPAQRNCQPGSAAVINMLRGGGGVRSPWTDHHIRQRTDHH IRQPLFPSRRSPQENEDDDDDYQMFVPSFSSSDLNSTRLCEENASSRPCSWHLGLIEPTE ISSSGHRIVRRASSAGESNACPPEVRIRDCDDSQYCPGRQLQNSPRPGGERGMTPYGSSV ELTIDDIDHVYDNISFEDLKLMVAKRDETECSFSKPSRDSVRPKSTPELAFSKRQVSHST SSLHSRKEAGLGGQEASTQSVHEHQEVEENIYDTIGLPDPPSMNLNHSSLHQPKRSTFLG LEADFACCDSLRPFVSQDSLQFSEDDISYHQGPSDTEYLSLLYDSPRCNLPIADKALSDK LSEEVDEIWNDLENYIKKNEDKSRDRLLAAFPVSKDDAPERLYVDSTHELGRDTGHATSM LALPTSQTFLLPGKSRVVRASRANCSLDNDIISTEGSFLSLNQLSLASDGPPVDNPYDLA NCSLPQTDPENPDPGMEVTDKTKSRVFMMARQYSQKIKKVNQILKVKSPELEQPPSSQHR PSHKDLVAILEEKRQGGPAIGARIAEYSQLYDQIVFRETPLKAQKDGWASPQGPTLHRPV SPPQAQGAGEDWLWHSPYSNGELADFSPQTEQDSKSKYPITLESTTKIRPRQLSGACSVP SLQVSDPLLGSVQQRCSVVVSQPHKENSGQSPLYNSLGRKAISAKPQPYSRPQSSSSILI NKSLDSINYPSETETKQLLSSQKSPRGASQQDLPSGLANSCQQDRGKRSDLTLQDSQKVL VVNRNLPLSAQIATQNYFCNFKDPEGDEDDYVEIKSEEDEVRLDLSPRRGRKSDPQTPDP DCSDSICSHSTPYSLKEPVSGRLGLPPYLTACKDSDKLNDYLWRGPSPNQQNIVQSLREK FQCLSSSSFA >ENSMUSP00000057368.2 pep:known chromosome:GRCm38:16:20619215:20621287:-1 gene:ENSMUSG00000051146.2 transcript:ENSMUST00000052939.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2n2 description:calcium/calmodulin-dependent protein kinase II inhibitor 2 [Source:MGI Symbol;Acc:MGI:1920297] MSEILPYGEDKMGRFGADPEGSDLSFSCRLQDTNSFFAGNQAKRPPKLGQIGRAKRVVIE DDRIDDVLKGMGEKPPSGV >ENSMUSP00000079093.5 pep:known chromosome:GRCm38:11:120618372:120625014:-1 gene:ENSMUSG00000025138.14 transcript:ENSMUST00000080202.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt7 description:sirtuin 7 [Source:MGI Symbol;Acc:MGI:2385849] MAAGGGLSRSERKAAERVRRLREEQQRERLRQVSRILRKAAAERSAEEGRLLAESEDLVT ELQGRSRRREGLKRRQEEVCDDPEELRRKVRELAGAVRSARHLVVYTGAGISTAASIPDY RGPNGVWTLLQKGRPVSAADLSEAEPTLTHMSITRLHEQKLVQHVVSQNCDGLHLRSGLP RTAISELHGNMYIEVCTSCIPNREYVRVFDVTERTALHRHLTGRTCHKCGTQLRDTIVHF GERGTLGQPLNWEAATEAASKADTILCLGSSLKVLKKYPRLWCMTKPPSRRPKLYIVNLQ WTPKDDWAALKLHGKCDDVMQLLMNELGLEIPVYNRWQDPIFSLATPLRAGEEGSHSRKS LCRSREEAPPGDQSDPLASAPPILGGWFGRGCAKRAKRKKVA >ENSMUSP00000120405.1 pep:known chromosome:GRCm38:11:120618420:120620977:-1 gene:ENSMUSG00000025138.14 transcript:ENSMUST00000146809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt7 description:sirtuin 7 [Source:MGI Symbol;Acc:MGI:2385849] HGNMYIEVCTSCIPNREYVRVFDVTERTALHRHLTGRTCHKCGTQLRDTIVHFGERGTLG QPLNWEAATEAASKADTILCLGSSLKVLKKYPRLWCMTKPPSRRPKLYIVNLQWTPKDDW AALKLHGKCDDVMQLLMNELGLEIPVYNRSHQQWVRQKRLSSEGCCCPEDFPLQLGVPCS LVSLTLLPAKAQIKT >ENSMUSP00000101789.2 pep:known chromosome:GRCm38:11:120623990:120624984:-1 gene:ENSMUSG00000025138.14 transcript:ENSMUST00000106183.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt7 description:sirtuin 7 [Source:MGI Symbol;Acc:MGI:2385849] MAAGGGLSRSERKAAERVRRLREEQQRERLRQVSRILRKAAAERSAEEGRLLAESEDLVT ELQGRSRRREGLKRRQEEVCDDPEELRRKVRELAGAVRSARHLVVYTGAGISTCRQIDRF T >ENSMUSP00000122959.1 pep:known chromosome:GRCm38:8:76899442:76908413:1 gene:ENSMUSG00000031618.13 transcript:ENSMUST00000143284.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c2 description:nuclear receptor subfamily 3, group C, member 2 [Source:MGI Symbol;Acc:MGI:99459] METKGYHSLPEGLDMERRWSQVSQTLERSSLGPAERTNENSYMEIVN >ENSMUSP00000105539.2 pep:known chromosome:GRCm38:8:76899772:77245012:1 gene:ENSMUSG00000031618.13 transcript:ENSMUST00000109913.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c2 description:nuclear receptor subfamily 3, group C, member 2 [Source:MGI Symbol;Acc:MGI:99459] METKGYHSLPEGLDMERRWSQVSQTLERSSLGPAERTNENSYMEIVNVSCVSGATPNNST QGSSKEKHELLPCLQQDNSRSGILPSDIKTELESKELSATVAESMGLYMDSVRDAEYTYD QQNQQGSLSPAKIYQNMEQLVKFYKENGHRSSTLSAISRPLRSFMPDSGTSMNGGALRAI VKSPIICHEKSPSVCSPLNMPSSVCSPAGINSMSSSTASFGSFPVHSPITQGTSLTCSPS VENRGSRSHSPVHASNVGSPLSSPLSSMKSPISSPPSHCSVKSPVSSPNNVPLRSSVSSP ANLNNSRCSVSSPSNTNNRSTLSSPTASTVGSIGSPISNAFSYTTSGASAGAGAIQDMVP SPDTHEKGAHDVPFPKTEEVEKAISNGVTGQLNIVQYIKPEPDGAFSSSCLGGNNKINPS SPFSVPIKQESSKHSCSGASFKGNPTVNPFPFMDGSYFSFMDDKDYYSLSGILGPPVPGF DSSCEGSAFPGGIKQEPDDGSYFPETSIPSSAIIGVNSGGQSFHYRIGAQGTISLSRSPR DQSFQHLSSFPPVNALVESWKPHGDLSSRRSDGYPVLEYIPENVSSSTLRSVSTGSSRPS KICLVCGDEASGCHYGVVTCGSCKVFFKRAVEGQHNYLCAGRNDCIIDKIRRKNCPACRL QKCLQAGMNLGARKSKKLGKLKGLHEEQPQQPPPPPPQSPEEGTTYIAPTKEPSVNSALV PQLASITRALTPSPSMILENIEPEIVYAGYDNSKPDTAESLLSTLNRLAGKQMIQVVKWA KVLPGFKNLPLEDQITLIQYSWMCLSSFALSWRSYKHTNSQFLYFAPDLVFNEEKMHQSA MYELCQGMRQISLQFVRLQLTFEEYSIMKVLLLLSTVPKDGLKSQAAFEEMRTNYIKELR KMVTKCPNSSGQSWQRFYQLTKLLDSMHDLVNDLLEFCFYTFRESQALKVEFPAMLVEII SDQLPKVESGNAKPLYFHRK >ENSMUSP00000118222.1 pep:known chromosome:GRCm38:8:76902288:77242996:1 gene:ENSMUSG00000031618.13 transcript:ENSMUST00000148106.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nr3c2 description:nuclear receptor subfamily 3, group C, member 2 [Source:MGI Symbol;Acc:MGI:99459] METKGYHSLPEGLDMERRWSQVSQTLERSSLGPAERTNENSYMEIVNVSCVSGATPNNST QGSSKEKHELLPCLQQDNSRSGILPSDIKTELESKELSATVAESMGLYMDSVRDAEYTYD QQNQQGSLSPAKIYQNMEQLVKFYKENGHRSSTLSAISRPLRSFMPDSGTSMNGGALRAI VKSPIICHEKSPSVCSPLNMPSSVCSPAGINSMSSSTASFGSFPVHSPITQGTSLTCSPS VENRGSRSHSPVHASNVGSPLSSPLSSMKSPISSPPSHCSVKSPVSSPNNVPLRSSVSSP ANLNNSRCSVSSPSNTNNRSTLSSPTASTVGSIGSPISNAFSYTTSGASAGAGAIQDMVP SPDTHEKGAHDVPFPKTEEVEKAISNGVTGQLNIVQYIKPEPDGAFSSSCLGGNNKINPS SPFSVPIKQESSKHSCSGASFKGNPTVNPFPFMDGSYFSFMDDKDYYSLSGILGPPVPGF DSSCEGSAFPGGIKQEPDDGSYFPETSIPSSAIIGVNSGGQSFHYRIGAQGTISLSRSPR DQSFQHLSSFPPVNALVESWKPHGDLSSRRSDGYPVLEYIPENVSSSTLRSVSTGSSRPS KICLVCGDEASGCHYGVVTCGSCKVFFKRAVEGQHNYLCAGRNDCIIDKIRRKNCPACRL QKCLQAGMNLGERRCISLPCMSCARGCGRSAFSSCGFS >ENSMUSP00000105538.1 pep:known chromosome:GRCm38:8:76902288:77245007:1 gene:ENSMUSG00000031618.13 transcript:ENSMUST00000109912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c2 description:nuclear receptor subfamily 3, group C, member 2 [Source:MGI Symbol;Acc:MGI:99459] METKGYHSLPEGLDMERRWSQVSQTLERSSLGPAERTNENSYMEIVNVSCVSGATPNNST QGSSKEKHELLPCLQQDNSRSGILPSDIKTELESKELSATVAESMGLYMDSVRDAEYTYD QQNQQGSLSPAKIYQNMEQLVKFYKENGHRSSTLSAISRPLRSFMPDSGTSMNGGALRAI VKSPIICHEKSPSVCSPLNMPSSVCSPAGINSMSSSTASFGSFPVHSPITQGTSLTCSPS VENRGSRSHSPVHASNVGSPLSSPLSSMKSPISSPPSHCSVKSPVSSPNNVPLRSSVSSP ANLNNSRCSVSSPSNTNNRSTLSSPTASTVGSIGSPISNAFSYTTSGASAGAGAIQDMVP SPDTHEKGAHDVPFPKTEEVEKAISNGVTGQLNIVQYIKPEPDGAFSSSCLGGNNKINPS SPFSVPIKQESSKHSCSGASFKGNPTVNPFPFMDGSYFSFMDDKDYYSLSGILGPPVPGF DSSCEGSAFPGGIKQEPDDGSYFPETSIPSSAIIGVNSGGQSFHYRIGAQGTISLSRSPR DQSFQHLSSFPPVNALVESWKPHGDLSSRRSDGYPVLEYIPENVSSSTLRSVSTGSSRPS KICLVCGDEASGCHYGVVTCGSCKVFFKRAVEGQHNYLCAGRNDCIIDKIRRKNCPACRL QKCLQAGMNLGARKSKKLGKLKGLHEEQPQQPPPPPPQSPEEGTTYIAPTKEPSVNSALV PQLASITRALTPSPSMILENIEPEIVYAGYDNSKPDTAESLLSTLNRLAGKQMIQVVKWA KVLPGFKNLPLEDQITLIQYSWMCLSSFALSWRSYKHTNSQFLYFAPDLVFNEEKMHQSA MYELCQGMRQISLQFVRLQLTFEEYSIMKVLLLLSTVPKDGLKSQAAFEEMRTNYIKELR KMVTKCPNSSGQSWQRFYQLTKLLDSMHDLVNDLLEFCFYTFRESQALKVEFPAMLVEII SDQLPKVESGNAKPLYFHRK >ENSMUSP00000116008.1 pep:known chromosome:GRCm38:8:76902347:76908656:1 gene:ENSMUSG00000031618.13 transcript:ENSMUST00000128862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c2 description:nuclear receptor subfamily 3, group C, member 2 [Source:MGI Symbol;Acc:MGI:99459] METKGYHSLPEGLDMERRWSQVSQTLERSSLGPAERTNENSYMEIVNVSCVSGATPNNST QGSSKEKHELLPCLQQDNSRSGILPSDIKTELESKELSATVAESMGLYMDSVRDAEYTYD QQNQQGSL >ENSMUSP00000105537.1 pep:known chromosome:GRCm38:8:76902476:77243663:1 gene:ENSMUSG00000031618.13 transcript:ENSMUST00000109911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c2 description:nuclear receptor subfamily 3, group C, member 2 [Source:MGI Symbol;Acc:MGI:99459] METKGYHSLPEGLDMERRWSQVSQTLERSSLGPAERTNENSYMEIVNVSCVSGATPNNST QGSSKEKHELLPCLQQDNSRSGILPSDIKTELESKELSATVAESMGLYMDSVRDAEYTYD QQNQQGSLSPAKIYQNMEQLVKFYKENGHRSSTLSAISRPLRSFMPDSGTSMNGGALRAI VKSPIICHEKSPSVCSPLNMPSSVCSPAGINSMSSSTASFGSFPVHSPITQGTSLTCSPS VENRGSRSHSPVHASNVGSPLSSPLSSMKSPISSPPSHCSVKSPVSSPNNVPLRSSVSSP ANLNNSRCSVSSPSNTNNRSTLSSPTASTVGSIGSPISNAFSYTTSGASAGAGAIQDMVP SPDTHEKGAHDVPFPKTEEVEKAISNGVTGQLNIVQYIKPEPDGAFSSSCLGGNNKINPS SPFSVPIKQESSKHSCSGASFKGNPTVNPFPFMDGSYFSFMDDKDYYSLSGILGPPVPGF DSSCEGSAFPGGIKQEPDDGSYFPETSIPSSAIIGVNSGGQSFHYRIGAQGTISLSRSPR DQSFQHLSSFPPVNALVESWKPHGDLSSRRSDGYPVLEYIPENVSSSTLRSVSTGSSRPS KICLVCGDEASGCHYGVVTCGSCKVFFKRAVEGQHNYLCAGRNDCIIDKIRRKNCPACRL QKCLQAGMNLGGFKNLPLEDQITLIQYSWMCLSSFALSWRSYKHTNSQFLYFAPDLVFNE EKMHQSAMYELCQGMRQISLQFVRLQLTFEEYSIMKVLLLLSTVPKDGLKSQAAFEEMRT NYIKELRKMVTKCPNSSGQSWQRFYQLTKLLDSMHDLVNDLLEFCFYTFRESQALKVEFP AMLVEIISDQLPKVESGNAKPLYFHRK >ENSMUSP00000034031.5 pep:known chromosome:GRCm38:8:76908272:77242530:1 gene:ENSMUSG00000031618.13 transcript:ENSMUST00000034031.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c2 description:nuclear receptor subfamily 3, group C, member 2 [Source:MGI Symbol;Acc:MGI:99459] METKGYHSLPEGLDMERRWSQVSQTLERSSLGPAERTNENSYMEIVNVSCVSGATPNNST QGSSKEKHELLPCLQQDNSRSGILPSDIKTELESKELSATVAESMGLYMDSVRDAEYTYD QQNQQGSLSPAKIYQNMEQLVKFYKENGHRSSTLSAISRPLRSFMPDSGTSMNGGALRAI VKSPIICHEKSPSVCSPLNMPSSVCSPAGINSMSSSTASFGSFPVHSPITQGTSLTCSPS VENRGSRSHSPVHASNVGSPLSSPLSSMKSPISSPPSHCSVKSPVSSPNNVPLRSSVSSP ANLNNSRCSVSSPSNTNNRSTLSSPTASTVGSIGSPISNAFSYTTSGASAGAGAIQDMVP SPDTHEKGAHDVPFPKTEEVEKAISNGVTGQLNIVQYIKPEPDGAFSSSCLGGNNKINPS SPFSVPIKQESSKHSCSGASFKGNPTVNPFPFMDGSYFSFMDDKDYYSLSGILGPPVPGF DSSCEGSAFPGGIKQEPDDGSYFPETSIPSSAIIGVNSGGQSFHYRIGAQGTISLSRSPR DQSFQHLSSFPPVNALVESWKPHGDLSSRRSDGYPVLEYIPENVSSSTLRSVSTGSSRPS KICLVCGDEASGCHYGVVTCGSCKVFFKRAVEGKCSRQHNYLCAGRNDCIIDKIRRKNCP ACRLQKCLQAGMNLGARKSKKLGKLKGLHEEQPQQPPPPPPQSPEEGTTYIAPTKEPSVN SALVPQLASITRALTPSPSMILENIEPEIVYAGYDNSKPDTAESLLSTLNRLAGKQMIQV VKWAKVLPGFKNLPLEDQITLIQYSWMCLSSFALSWRSYKHTNSQFLYFAPDLVFNEEKM HQSAMYELCQGMRQISLQFVRLQLTFEEYSIMKVLLLLSTVPKDGLKSQAAFEEMRTNYI KELRKMVTKCPNSSGQSWQRFYQLTKLLDSMHDLVNDLLEFCFYTFRESQALKVEFPAML VEIISDQLPKVESGNAKPLYFHRK >ENSMUSP00000138185.1 pep:known chromosome:GRCm38:12:70154157:70183887:1 gene:ENSMUSG00000090121.10 transcript:ENSMUST00000182512.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd12b description:abhydrolase domain containing 12B [Source:MGI Symbol;Acc:MGI:2685650] MDARDCDAASEPGPPPCSSVTSWWAMVLRNLSYGSHSRGFKETRDKDCSHSELLPQA >ENSMUSP00000138423.1 pep:known chromosome:GRCm38:12:70154157:70183887:1 gene:ENSMUSG00000090121.10 transcript:ENSMUST00000182782.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd12b description:abhydrolase domain containing 12B [Source:MGI Symbol;Acc:MGI:2685650] MDARDCDAASEPGPPPCSSVTSWWAMVLRNLSYGSHSRGFKETRDKDCSHSELLPQA >ENSMUSP00000138681.1 pep:known chromosome:GRCm38:12:70154157:70183887:1 gene:ENSMUSG00000090121.10 transcript:ENSMUST00000182927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd12b description:abhydrolase domain containing 12B [Source:MGI Symbol;Acc:MGI:2685650] MDARDCDAASEPGPPPCSSVTSWWAMVLRNLRHTVPSYRGEEAKGKCRCWYEASLSDGNP IIIYLHGSGINRAFCGRIKLTQVLSDGGFHVLSVDYRGFGDSTGTTTEEGLTTDIICVYE WTKARSGRTPVCLWGHSLGTGVATNAARVLEAKGCPVDAIILEAPFTNIWAATINFPLVK MYWKLPGCLRTFVDALKEEKIVFPNDENVKFLSSPLLILHGEDDRTVPLEFGKQLYEIAR SAYRNKERVKMVVFPPGFHHDYLFKSPMLLSTVRDFLSEQWA >ENSMUSP00000134568.1 pep:known chromosome:GRCm38:12:70154157:70183887:1 gene:ENSMUSG00000090121.10 transcript:ENSMUST00000169156.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd12b description:abhydrolase domain containing 12B [Source:MGI Symbol;Acc:MGI:2685650] MDARDCDAASEPGPPPCSSVTSWWAMVLRNLRLFPCFCSALGSKIAAEYRNFTSKSLKEH IFPPLMNMLIYLNCITAPILVDLKRPETKIAHTVNFFLKPEPKVLLGIWHTVPSYRGEEA KGKCRCWYEASLSDGNPIIIYLHGSGINRAFCGRIKLTQVLSDGGFHVLSVDYRGFGDST GTTTEEGLTTDIICVYEWTKARSGRTPVCLWGHSLGTGVATNAARVLEAKGCPVDAIILE APFTNIWAATINFPLVKMYWKLPGCLRTFVDALKEEKIVFPNDENVKFLSSPLLILHGED DRTVPLEFGKQLYEIARSAYRNKERVKMVVFPPGFHHDYLFKSPMLLSTVRDFLSEQWA >ENSMUSP00000106024.1 pep:known chromosome:GRCm38:2:127008724:127044824:1 gene:ENSMUSG00000001998.15 transcript:ENSMUST00000110394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap4e1 description:adaptor-related protein complex AP-4, epsilon 1 [Source:MGI Symbol;Acc:MGI:1336993] MSDMVERTLTALPGLFLQNQLGGPAASRAPFFSRLGGLIRGVTALSSKHEEEKLIQQELS SLKATVSAPTTTLKTMKECMVRLIYCEMLGYDASFGYIHAIKLAQQGNLLEKRVGYLAVS LFLHESHELLLLLVNTVVKDLQSTNLVEVCMALTVVSQIFPREMIPAVLPLIEDKLQHSK EIIRRKAVLALYKFYLIAPNQVQHIHTKFRKALCDRDVGVMAASLHIYLRMIKENASGYK DLTESFVTILKQVVGGKLPVEFSYHSVPAPWLQIQLLRILGLLGKDDERTSELMYDVLDE SLRRAELNHNVTYAILFECVHTIYSIYPKSELLEKAAKCIGKFVLSPKINLKYLGLKALT YVIQQDPSLALQHQITIIECLDHPDPIIKREVN >ENSMUSP00000002063.8 pep:known chromosome:GRCm38:2:127008730:127067909:1 gene:ENSMUSG00000001998.15 transcript:ENSMUST00000002063.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap4e1 description:adaptor-related protein complex AP-4, epsilon 1 [Source:MGI Symbol;Acc:MGI:1336993] MSDMVERTLTALPGLFLQNQLGGPAASRAPFFSRLGGLIRGVTALSSKHEEEKLIQQELS SLKATVSAPTTTLKTMKECMVRLIYCEMLGYDASFGYIHAIKLAQQGNLLEKRVGYLAVS LFLHESHELLLLLVNTVVKDLQSTNLVEVCMALTVVSQIFPREMIPAVLPLIEDKLQHSK EIIRRKAVLALYKFYLIAPNQVQHIHTKFRKALCDRDVGVMAASLHIYLRMIKENASGYK DLTESFVTILKQVVGGKLPVEFSYHSVPAPWLQIQLLRILGLLGKDDERTSELMYDVLDE SLRRAELNHNVTYAILFECVHTIYSIYPKSELLEKAAKCIGKFVLSPKINLKYLGLKALT YVIQQDPSLALQHQITIIECLDHPDPIIKRETLELLYRITNAQNVVVIVQKMLEYLHQSK EEHIIISLVGRIAELAEKYAPDNVWFIQTMNAVFSVGGDVMHPDILSNFLRLLAEGFDDE TEDQQLRLYAVQSYLTLLDMENTFYPQRFLQVMSWVLGEYSYLLDKESPEEVITRLYKLL MSDSISSETKAWLFAAVTKLTPQAHSSPLVEKLIQEFTVSLNTCLRQHAFELKHLHENTE LMKSLLQGAQNCEDIVADASLSFLDGFVAEGLSQGAAPYKPHHQRQEEQLSQEKVLNFEP YGLSFSSSGFTGRQSPAGISLGSDISGNSAETGLKETSSLKMEGIKKLWGKEGYLPKKES GTGDKPEASHVPAEGATVENVDQATTRKDQAQGHIPSTEEKEKQLLASSLFVGLGPENTV DLLGKADVVSHKFRRKSKLKVAQSDKTPSAPTAPCSALSLGSDVAGGDEDGLSAVDRGDG ELSSELFRSESLSGPPSAEKLESVSLPVPSLFADNNMEVFNPPSSSATSTVKEETPECRH SGLVEICSNEAVSVSSYKVWRDDCLLVIWAVTSKTDSEFTDAQLEIFPVENFKIIEQPEC SSPVIETERTKSFQYSVQMESPCIEGTLSGFIKYQMMDTHSVQLEFSMNLPLLDFIRPLK ISTEDFGKLWLSFANDVKQTIKISEPGVALTSVLTELQQNLRLRVIDVIGNEGLLACKLL PSTPCVLHCRVHADAVALWFRSSSSVLSDYLSCHCQKVMQTS >ENSMUSP00000106023.1 pep:known chromosome:GRCm38:2:127008752:127028278:1 gene:ENSMUSG00000001998.15 transcript:ENSMUST00000110393.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap4e1 description:adaptor-related protein complex AP-4, epsilon 1 [Source:MGI Symbol;Acc:MGI:1336993] MSDMVERTLTALPGLFLQNQLGGPAASRAPFFSRLGGLIRGVTALSSKHT >ENSMUSP00000135599.1 pep:known chromosome:GRCm38:2:127008754:127047141:1 gene:ENSMUSG00000001998.15 transcript:ENSMUST00000175663.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap4e1 description:adaptor-related protein complex AP-4, epsilon 1 [Source:MGI Symbol;Acc:MGI:1336993] MSDMVERTLTALPGLFLQNQLGGPAASRAPFFSRLGGLIRGVTALSSKHEEEKLIQQELS SLKATVSAPTTTLKTMKECMVRLIYCEMLGYDASFGYIHAIKLAQQGNLLEKRVGYLAVS LFLHESHELLLLLVNTVVKDLQSTNLVEVCMALTVVSQIFPREMIPAVLPLIEDKLQHSK EIIRRKAVLALYKFYLIAPNQVQHIHTKFRKALCDRDVGVMAASLHIYLRMIKENASGYK DLTESFVTILKQVVGGKLPVEFSYHSVPAPWLQIQLLRILGLLGKDDERTSELMYDVLDE SLRRAELNHNVTYAILFECVHTIYSIYPKSELLEKAAKCIGKFVLSPKINLKYLGSFSGS STPDNHN >ENSMUSP00000135449.1 pep:known chromosome:GRCm38:2:127008754:127060520:1 gene:ENSMUSG00000001998.15 transcript:ENSMUST00000177372.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap4e1 description:adaptor-related protein complex AP-4, epsilon 1 [Source:MGI Symbol;Acc:MGI:1336993] MSDMVERTLTALPGLFLQNQLGGPAASRAPFFSRLGGLIRGVTALSSKHEEEKLIQQELS SLKATVSAPTTTLKTMKECMVRLIYCEMLGYDASFGYIHAIKLAQQGNLLEKRVGYLAVS LFLHESHELLLLLVNTVVKDLQSTNLVEVCMALTVVSQIFPREMIPAVLPLIEDKLQHSK EIIRRKAVLALYKFYLIAPNQVQHIHTKFRKALCDRDVGVMAASLHIYLRMIKENASGYK DLTESFVTILKQVVGGKLPVEFSYHSVPAPWLQIQLLRILGLLGKDDESYSV >ENSMUSP00000135257.1 pep:known chromosome:GRCm38:2:127049311:127060349:1 gene:ENSMUSG00000001998.15 transcript:ENSMUST00000142740.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap4e1 description:adaptor-related protein complex AP-4, epsilon 1 [Source:MGI Symbol;Acc:MGI:1336993] ITRLYKLLMSDSISSETKAWLFAAVTKLTPQAHSSPLVEKLIQEFTVSLNTCLRQHAFEL KHLHENTELMKSLLQGAQNCEDIVNPGPSSPEMAPPAGDWALQSMTI >ENSMUSP00000112987.1 pep:known chromosome:GRCm38:6:40920437:40940497:-1 gene:ENSMUSG00000029883.12 transcript:ENSMUST00000122181.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700074P13Rik description:RIKEN cDNA 1700074P13 gene [Source:MGI Symbol;Acc:MGI:1920731] MKTFFIFTLLSLAVANTPKAVLKEYNNSEEYLPENFNVPYMVYLQSSPEPCVGTLIDPQW VLTAAHCSLPTKIRLGVYRPNIKNEKEQICNYSFTVVHPNFDAKLLKNDLMLIKLSYPAT INMYVGTIAIAMEPMAFNESCFIPTWTWNNYKNLSDPDILTWINEYSLSPSDCLDTLHQQ KQETRINIMCIGHSLNAMSATKEVSAAPAICSGRVHGILSWGKASVANGSKGFFTEIHPY ARWILKMIRSH >ENSMUSP00000031935.3 pep:known chromosome:GRCm38:6:40920460:40940557:-1 gene:ENSMUSG00000029883.12 transcript:ENSMUST00000031935.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700074P13Rik description:RIKEN cDNA 1700074P13 gene [Source:MGI Symbol;Acc:MGI:1920731] MKTFFIFTLLSLAVANTPKAVLKEYNNSEEYLPENFNVPYMVYLQSSPEPCVGTLIDPQW VLTAAHCSLPTKIRLGVYRPNIKNEKEQICNYSFTVVHPNFDAKLLKNDLMLIKLSYPAT INMYVGTIAIAMEPMAFNESCFIPTWTWNNYKNLSDPDILTWINEYSLSPSDCLDTLHQQ KQETRINIMCIGHSLNAMSATKEVSAAPAICSGRVHGILSWGKASVANGSKGFFTEIHPY ARWILKMIRSH >ENSMUSP00000116538.1 pep:known chromosome:GRCm38:6:40921784:40929740:-1 gene:ENSMUSG00000029883.12 transcript:ENSMUST00000136499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700074P13Rik description:RIKEN cDNA 1700074P13 gene [Source:MGI Symbol;Acc:MGI:1920731] MKTFFIFTLLSLAVANTPKAVLKEYNNSEEYLPENFNVPYMVYLQSSPEPCVGTLIDPQW VLTAAHCSLPTKIRLGVYRPNIKNEKEQICNYSFTVVHPNFDAKLLKNDLMLIKLSYPAT INMYVGTIAIAMEPMAFNESCFIPTWTWNNYKNLSDPDILTWINEYSLSPSDCLDT >ENSMUSP00000128002.1 pep:known chromosome:GRCm38:15:83924427:83946599:-1 gene:ENSMUSG00000022441.17 transcript:ENSMUST00000163773.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Efcab6 description:EF-hand calcium binding domain 6 [Source:MGI Symbol;Acc:MGI:1924877] XTTLDFTSRTATQPSGEKTSEINNMTKEEVIDGLKHRIQQKDPVFRKQFLSISKEPDVKI NQEEFRKTVC >ENSMUSP00000114909.1 pep:known chromosome:GRCm38:15:83866712:84065349:-1 gene:ENSMUSG00000022441.17 transcript:ENSMUST00000156187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab6 description:EF-hand calcium binding domain 6 [Source:MGI Symbol;Acc:MGI:1924877] MKRNGTRLNFAKANSTKSGSTRARDLMNKMSIIPEWHSLYSNTRKLPCSRPHSSPCKMQR TIFQDLCRPSSSTTAIANPVLSYLDIERILAQKISSRRDDIKKVFQILDRNHNQMVTKGD LKRVITAFLIPLTKDQFQDLLAQIPISSLGNVPYLEFLSRFGGGIDININGIKRVNENEV DNRRTVKEVQLTEKIFRNMRSIRKVFQVMDVNNTGLVQPQELRRVLETFCLRMQDGDYEK FLEQYNIDKTTAVDYNAFLKNLSVKNDASFKYLLSNAAELSRETQQGKNGKRLLDTGSSE DVWKNYSLDDLEKTFCQEFSKSYEKIEKALSAGDPSKGGYISLNYLKVVLDTFIYRLPRR IFIQLIRRFGLKTSTKINWKQFLTAIYERQKLEVSKTLPLKKRSSTEARNRSRKENIIKK LFKYSEDRYTALKKTLLIISSTPSGHITWEELRHILNCMVAKLNDSEFSELKQTFDPEGT GAVRVNSLLDVLDDSTKVRKMSPSTDTKTPLPVAWDSVEELVLDSITRNLQAFYSMLQSY DLRDTGTIGKNNFRKVMRVFCPYLSNEHLVRFSSKFQEAGSGRILYKKFLLSIGVGIPPP TPPLSSPKVQLSDQFQIEEPGQQDERTQPSGEKTSEINNMTKEEVIDGLKHRIQQKDPVF RKQFLSISKEPDVKINQEEFRKVLERSGMPMNDCQYAMLASKLGFKNEEGMSYQDFTMGF EDCMLSGLETVPLQSRTASRTNMDEHFISAEECLRIFPKKLKESFRDVYSAFFRIDLDRD GIISMHDFHRLLQYLQLNMVDLEFERFLSLLGLRLSVTLNFREFQNLCEKRPWKSDEAPQ RLIRCKQKVADSELACEQAHQYLIMKAKTRWADLSKNFIETDNEGNGILRRRDIKNSLYG FDIPLTPREFEKLWQNYDTEGRGYITYQEFLHRLGIRYSPKVHRPYKEDYFNFLGHFTKP KQVQEEIQELQQISEREKLMNHYEEISKAFNAMEKSKPVALCRVQKVLQECGCPLKEEEL ISLLKSLDVSVHNNHIDPVEFLRALEISWASKARPKEKEESSPPPISFSKVTPDEVIKTM QEVVESSQPALVEAFSALDKEDTGFVKAMEFGDVLRSVCQKLTDNQYHYFLRRLRLHLTP NIHWKYFLENFSTFQDETADDWAENMPKAPPPMSPKETAHRDIVARVQKAVASHYHTIVQ EFENFDTLKSNTVSRDEFRSICTRHIQILTDEQFDRLWSELPVNAKGRLKYQDFLSKLSI ERVPSPPMAAGDSGESTMAQRGSSAPEFSQGTRSNLYSPPRDSRVGLKSRSHPCTPVGTP PLQNCEPIESRLRKQIQGCWRELLRECKEKDTDKQGTISAAEFLALVEKFKLDISREESQ QLIVKYDLKNNGKFAYCDFIQSCVLLLKAKETSLMRRMRIQNADKMKEAGMETPSFYSAL LRIQPKIVHCWRPMRRSFKTYDKNGTGLLSVADFRKVLRQYSINLSEEEFFHVLEYYDKS LSSKISYNDFLRAFLQ >ENSMUSP00000058321.5 pep:known chromosome:GRCm38:11:100956733:100970887:-1 gene:ENSMUSG00000004044.9 transcript:ENSMUST00000060792.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptrf description:polymerase I and transcript release factor [Source:MGI Symbol;Acc:MGI:1277968] MEDVTLHIVERPYSGFPDASSEGPEPTQGEARATEEPSGTGSDELIKSDQVNGVLVLSLL DKIIGAVDQIQLTQAQLEERQAEMEGAVQSIQGELSKLGKAHATTSNTVSKLLEKVRKVS VNVKTVRGSLERQAGQIKKLEVNEAELLRRRNFKVMIYQDEVKLPAKLSVSKSLKESEAL PEKEGDELGEGERPEDDTAAIELSSDEAVEVEEVIEESRAERIKRSGLRRVDDFKKAFSK EKMEKTKVRTRENLEKTRLKTKENLEKTRHTLEKRMNKLGTRLVPVERREKLKTSRDKLR KSFTPDHVVYARSKTAVYKVPPFTFHVKKIREGEVEVLKATEMVEVGPEDDEVGAERGEA TDLLRGSSPDVHTLLEITEESDAVLVDKSDSD >ENSMUSP00000038450.4 pep:known chromosome:GRCm38:11:102268743:102279462:1 gene:ENSMUSG00000034768.4 transcript:ENSMUST00000036467.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb16 description:ankyrin repeat and SOCS box-containing 16 [Source:MGI Symbol;Acc:MGI:2654437] MAGESFPFTSSTLRALRLQREWLDWEDRRRAAAQQCRRHRDLPCPQAQLLRPRRSCRDPA VHNALFSGDLQQLQILFQDEDAANMIVETVSNQLAWSAEQGFWVLTPKTKQTAPLTIAVA RGYTDCARHLILQGAELDARIGGRAALHEACAQAHPDCVRLLLTFGAKANVSSEEGMTPL HLCTSPESLQCAKLLLEAGASVNVASQESEVTPLHVAAARGLEQHVALYLQNGADVALRT SQGETALNAACAGAEGPGSSRQHEAAARQLLEAGADPQAAGRKRHTPLHNACANGCGGLA ELLLRHGASPGVTNGAGHTPMDCALQAVQDAPNWEPEVLFAALLDYGAQPVHPEMLKHCA NFPRALEVLLNAYPCVPSCDPWVEAVLPELWQEHEAFYSSALSMENQPRQLQHLARLAVR AQLGSHCRQAAAQLPLPPLLRDYLLLGVEGRIQ >ENSMUSP00000099642.1 pep:known chromosome:GRCm38:5:114146535:114250761:1 gene:ENSMUSG00000042010.16 transcript:ENSMUST00000102582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acacb description:acetyl-Coenzyme A carboxylase beta [Source:MGI Symbol;Acc:MGI:2140940] MVLLLFLTCLVFSCLTFSWLKIWGKMTDSKPLTNSKVEANLLSSEESLSASELSGEQLQE HGDHSCLSYRGPRDASQQRNSLPSSCQRPPRNPLSSNDTWPSPELQTNWTAAPGPEVPDA NGLSFPARPPSQRTVSPSREDRKQAHIKRQLMTSFILGSLDDNSSDEDPSAGSFQNSSRK SSRASLGTLSQEAALNTSDPESHAPTMRPSMSGLHLVKRGREHKKLDLHRDFTVASPAEF VTRFGGNRVIEKVLIANNGIAAVKCMRSIRRWAYEMFRNERAIRFVVMVTPEDLKANAEY IKMADQYVPVPGGPNNNNYANVELIIDIAKRIPVQAVWAGWGHASENPKLPELLCKHEIA FLGPPSEAMWALGDKIASTIVAQTLQIPTLPWSGSGLTVEWTEDSRHQGKCISVPEDVYE QGCVKDVDEGLQAAEKIGFPLMIKASEGGGGKGIRKAESAEDFPMLFRQVQSEIPGSPIF LMKLAQNARHLEVQVLADQYGNAVSLFGRDCSIQRRHQKIIEEAPATIAAPAVFEFMEQC AVLLAKMVGYVSAGTVEYLYSQDGSFHFLELNPRLQVEHPCTEMIADVNLPAAQLQIAMG VPLHRLKDIRLLYGESPWGVTPIPFETPLSPPIARGHVIAARITSENPDEGFKPSSGTVQ ELNFRSNKNVWGYFSVAAAGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDF RTTVEYLVNLLETESFQNNDIDTGWLDHLIAQRVQAEKPDIMLGVVCGALNVADAMFRTC MTEFLHSLERGQVLPADSLLNIVDVELIYGGIKYALKVARQSLTMFVLIMNGCHIEIDAH RLNDGGLLLSYNGSSYTTYMKEEVDSYRITIGNKTCVFEKENDPTVLRSPSAGKLMQYTV EDGDHVEAGSSYAEMEVMKMIMTLNVQESGRVKYIKRPGVILEAGCVVARLELDDPSKVH AAQPFTGELPAQQTLPILGEKLHQVFHGVLENLTNVMSGYCLPEPFFSMKLKDWVQKLMM TLRHPSLPLLELQEIMTSVAGRIPAPVEKAVRRVMAQYASNITSVLCQFPSQQIATILDC HAATLQRKADREVFFMNTQSIVQLVQRYRSGTRGYMKAVVLDLLRKYLNVEHHFQQAHYD KCVINLREQFKPDMTQVLDCIFSHSQVAKKNQLVTMLIDELCGPDPTLSDELTSILCELT QLSRSEHCKVALRARQVLIASHLPSYELRHNQVESIFLSAIDMYGHQFCPENLKKLILSE TTIFDVLPTFFYHENKVVCMASLEVYVRRGYIAYELNSLQHRELPDGTCVVEFQFMLPSS HPNRMAVPISVSNPDLLRHSTELFMDSGFSPLCQRMGAMVAFRRFEEFTRNFDEVISCFA NVQTDTLLFSKACTSLYSEEDSKSLREEPIHILNVAIQCADHMEDEALVPVFRAFVQSKK HILVDYGLRRITFLVAQEREFPKFFTFRARDEFAEDRIYRHLEPALAFQLELSRMRNFDL TAVPCANHKMHLYLGAAKVKEGLEVTDHRFFIRAIIRHSDLITKEASFEYLQNEGERLLL EAMDELEVAFNNTSVRTDCNHIFLNFVPTVIMDPLKIEESVRDMVMRYGSRLWKLRVLQA EVKINIRQTTSDSAIPIRLFITNESGYYLDISLYREVTDSRSGNIMFHSFGNKQGSLHGM LINTPYVTKDLLQAKRFQAQSLGTTYVYDFPEMFRQALFKLWGSPEKYPKDILTYTELVL DSQGQLVEMNRLPGCNEVGMVAFKMRFKTPEYPEGRDAVVIGNDITFQIGSFGIGEDFLY LRASEMARTEGIPQIYLAANSGARMGLAEEIKQIFQVAWVDPEDPHKGFRYLYLTPQDYT QISSQNSVHCKHIEDEGESRYVIVDVIGKDANLGVENLRGSGMIAGEASLAYEKTVTISM VTCRALGIGAYLVRLGQRVIQVENSHIILTGAGALNKVLGREVYTSNNQLGGVQIMHTNG VSHVTVPDDFEGVCTILEWLSFIPKDNRSPVPITTPSDPIDREIEFTPTKAPYDPRWMLA GRPHPTLKGTWQSGFFDHGSFKEIMAPWAQTVVTGRARLGGIPVGVIAVETRTVEVAVPA DPANLDSEAKIIQQAGQVWFPDSAYKTAQVIRDFNKERLPLMIFANWRGFSGGMKDMYEQ MLKFGAYIVDGLRLYEQPILIYIPPCAELRGGSWVVLDSTINPLCIEMYADKESRGGVLE PEGTVEIKFRKKDLVKTIRRIDPVCKKLVGQLGKAQLPDKDRKELEGQLKAREELLLPIY HQVAVQFADLHDTPGHMLEKGIISDVLEWKTARTFFYWRLRRLLLEAQVKQEILRASPEL NHEHTQSMLRRWFVETEGAVKAYLWDSNQVVVQWLEQHWSAKDGLRSTIRENINYLKRDS VLKTIQSLVQEHPEVIMDCVAYLSQHLTPAERIQVAQLLSTTESPASS >ENSMUSP00000115432.1 pep:known chromosome:GRCm38:5:114175906:114190338:1 gene:ENSMUSG00000042010.16 transcript:ENSMUST00000146841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acacb description:acetyl-Coenzyme A carboxylase beta [Source:MGI Symbol;Acc:MGI:2140940] MSPAKCKVCFPELAGKPSMSGLHLVKRGREHKKLDLHRDFTVASPAEFVTRFGGNRVIEK VLIANNGIAAVKCMRSIRRWAYEMFRNERAIRFVVMVTPEDLKANAEYIKMADQYVPVPG GPNNNNYANVELIIDIAKRIPVQAVW >ENSMUSP00000031583.8 pep:known chromosome:GRCm38:5:114165518:114250755:1 gene:ENSMUSG00000042010.16 transcript:ENSMUST00000031583.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acacb description:acetyl-Coenzyme A carboxylase beta [Source:MGI Symbol;Acc:MGI:2140940] MVLLLFLTCLVFSCLTFSWLKIWGKMTDSKPLTNSKVEANLLSSEESLSASELSGEQLQE HGDHSCLSYRGPRDASQQRNSLPSSCQRPPRNPLSSNDTWPSPELQTNWTAAPGPEVPDA NGLSFPARPPSQRTVSPSREDRKQAHIKRQLMTSFILGSLDDNSSDEDPSAGSFQNSSRK SSRASLGTLSQEAALNTSDPESHAPTMRPSMSGLHLVKRGREHKKLDLHRDFTVASPAEF VTRFGGNRVIEKVLIANNGIAAVKCMRSIRRWAYEMFRNERAIRFVVMVTPEDLKANAEY IKMADQYVPVPGGPNNNNYANVELIIDIAKRIPVQAVWAGWGHASENPKLPELLCKHEIA FLGPPSEAMWALGDKIASTIVAQTLQIPTLPWSGSGLTVEWTEDSRHQGKCISVPEDVYE QGCVKDVDEGLQAAEKIGFPLMIKASEGGGGKGIRKAESAEDFPMLFRQVQSEIPGSPIF LMKLAQNARHLEVQVLADQYGNAVSLFGRDCSIQRRHQKIIEEAPATIAAPAVFEFMEQC AVLLAKMVGYVSAGTVEYLYSQDGSFHFLELNPRLQVEHPCTEMIADVNLPAAQLQIAMG VPLHRLKDIRLLYGESPWGVTPIPFETPLSPPIARGHVIAARITSENPDEGFKPSSGTVQ ELNFRSNKNVWGYFSVAAAGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDF RTTVEYLVNLLETESFQNNDIDTGWLDHLIAQRVQAEKPDIMLGVVCGALNVADAMFRTC MTEFLHSLERGQVLPADSLLNIVDVELIYGGIKYALKVARQSLTMFVLIMNGCHIEIDAH RLNDGGLLLSYNGSSYTTYMKEEVDSYRITIGNKTCVFEKENDPTVLRSPSAGKLMQYTV EDGDHVEAGSSYAEMEVMKMIMTLNVQESGRVKYIKRPGVILEAGCVVARLELDDPSKVH AAQPFTGELPAQQTLPILGEKLHQVFHGVLENLTNVMSGYCLPEPFFSMKLKDWVQKLMM TLRHPSLPLLELQEIMTSVAGRIPAPVEKAVRRVMAQYASNITSVLCQFPSQQIATILDC HAATLQRKADREVFFMNTQSIVQLVQRYRSGTRGYMKAVVLDLLRKYLNVEHHFQQAHYD KCVINLREQFKPDMTQVLDCIFSHSQVAKKNQLVTMLIDELCGPDPTLSDELTSILCELT QLSRSEHCKVALRARQVLIASHLPSYELRHNQVESIFLSAIDMYGHQFCPENLKKLILSE TTIFDVLPTFFYHENKVVCMASLEVYVRRGYIAYELNSLQHRELPDGTCVVEFQFMLPSS HPNRMAVPISVSNPDLLRHSTELFMDSGFSPLCQRMGAMVAFRRFEEFTRNFDEVISCFA NVQTDTLLFSKACTSLYSEEDSKSLREEPIHILNVAIQCADHMEDEALVPVFRAFVQSKK HILVDYGLRRITFLVAQEREFPKFFTFRARDEFAEDRIYRHLEPALAFQLELSRMRNFDL TAVPCANHKMHLYLGAAKVKEGLEVTDHRFFIRAIIRHSDLITKEASFEYLQNEGERLLL EAMDELEVAFNNTSVRTDCNHIFLNFVPTVIMDPLKIEESVRDMVMRYGSRLWKLRVLQA EVKINIRQTTSDSAIPIRLFITNESGYYLDISLYREVTDSRSGNIMFHSFGNKQGSLHGM LINTPYVTKDLLQAKRFQAQSLGTTYVYDFPEMFRQALFKLWGSPEKYPKDILTYTELVL DSQGQLVEMNRLPGCNEVGMVAFKMRFKTPEYPEGRDAVVIGNDITFQIGSFGIGEDFLY LRASEMARTEGIPQIYLAANSGARMGLAEEIKQIFQVAWVDPEDPHKGFRYLYLTPQDYT QISSQNSVHCKHIEDEGESRYVIVDVIGKDANLGVENLRGSGMIAGEASLAYEKTVTISM VTCRALGIGAYLVRLGQRVIQVENSHIILTGAGALNKVLGREVYTSNNQLGGVQIMHTNG VSHVTVPDDFEGVCTILEWLSFIPKDNRSPVPITTPSDPIDREIEFTPTKAPYDPRWMLA GRPHPTLKGTWQSGFFDHGSFKEIMAPWAQTVVTGRARLGGIPVGVIAVETRTVEVAVPA DPANLDSEAKIIQQAGQVWFPDSAYKTAQVIRDFNKERLPLMIFANWRGFSGGMKDMYEQ MLKFGAYIVDGLRLYEQPILIYIPPCAELRGGSWVVLDSTINPLCIEMYADKESRGGVLE PEGTVEIKFRKKDLVKTIRRIDPVCKKLVGQLGKAQLPDKDRKELEGQLKAREELLLPIY HQVAVQFADLHDTPGHMLEKGIISDVLEWKTARTFFYWRLRRLLLEAQVKQEILRASPEL NHEHTQSMLRRWFVETEGAVKAYLWDSNQVVVQWLEQHWSAKDGLRSTIRENINYLKRDS VLKTIQSLVQEHPEVIMDCVAYLSQHLTPAERIQVAQLLSTTESPASS >ENSMUSP00000140815.1 pep:known chromosome:GRCm38:5:137026392:137033351:1 gene:ENSMUSG00000037428.14 transcript:ENSMUST00000190827.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgf description:VGF nerve growth factor inducible [Source:MGI Symbol;Acc:MGI:1343180] MKTFTLPASVLFCFLLLIQGLGAAPPGRPDVFPPPLSSEHNGQVAEDAVSRPKDDGVPEV RAARNPEPQDQGELFQGVDPRALASVLLQALDRPASPPSVPGGSQQGTPEEAAEALLTES VRSQTHSLPAPEIQAPAVAPPRPQTQDRDPEEDDRSEELEALASLLQELRDFSPSNAKRQ QETAAAETETRTHTLTRVNLESPGPERVWRASWGEFQARVPERAPLPPPVPSQFQARMSE SAPLPETHQFGEGVSSPKTHLGETLTPLSKAYQSLGGPFPKVRRLEGSFLGGSEAGERLL QQGLAQVEAGRRQAEATRQAAAQEERLADLASDLLLQYLLQGGARQRDLGGRELQETQQE RENEREEEAEQERRGGGEDDVGEEDEEAAEAEAEAEEAERARQNALLFAEEEDGEAGAED KRSQEEAPGHRRKDAEGAEEGGEEDDDDEEMDPQTIDSLIELSTKLHLPADDVVSIIEEV EEKRKRKKNAPPEPVPPPRAAPAPTHVRSPQPPPPAPARDELPDWNEVLPPWDREEDEVF PPGPYHPFPNYIRPRTLQPPASSRRRHFHHALPPARHHPDLEAQARRAQEEADAEERRLQ EQEELENYIEHVLLHRP >ENSMUSP00000140735.1 pep:known chromosome:GRCm38:5:137030364:137033028:1 gene:ENSMUSG00000037428.14 transcript:ENSMUST00000186451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgf description:VGF nerve growth factor inducible [Source:MGI Symbol;Acc:MGI:1343180] MKTFTLPASVLFCFLLLIQGLGAAPPGRPDVFPPPLSSEHNGQVAEDAVSRPKDDGVPEV RAARNPEPQDQGELFQGVDPRALASVLLQALDRPASPPSVPGGSQQGTPEEAAEALLTES VRSQTHSLPAPEIQAPAVAPPRPQTQDRDPEEDDRSEELEALASLLQELRDFSPSNAKRQ QETAAAETETRTHTLTRVNLESPGPERVWRASWGEFQARVPERAPLPPPVPSQFQARMSE SAPLPETHQFGEGVSSPKTHLGETLTPLSKAYQSLGGPFPKVRRLEGSFLGGSEAGERLL QQGLAQVEAGRRQAEATRQAAAQEERLADLASDLLLQYLLQGGARQRDLGGRELQETQQE RENEREEEAEQERRGGGEDDVGEEDEEAAEAEAEAEEAERARQNALLFAEEEDGEAGAED KRSQEEAPGHRRKDAEGAEEGGEEDDDDEEMDPQTIDSLIELSTKLHLPADDVVSIIEEV EEKRKRKKNAPPEPVPPPRAAPAPTHVRSPQPPPPAPARDELPDWNEVLPPWDREEDEVF PPGPYHPFPNYIRPRTLQPPASSRRRHFHHALPPARHHPDLEAQARRAQEEADAEERRLQ EQEELENYIEHVLLHRP >ENSMUSP00000140093.1 pep:known chromosome:GRCm38:5:137030701:137031407:1 gene:ENSMUSG00000037428.14 transcript:ENSMUST00000187382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgf description:VGF nerve growth factor inducible [Source:MGI Symbol;Acc:MGI:1343180] MKTFTLPASVLFCFLLLIQGLGAAPPGRPDVFPPPLSSEHNGQVAEDAVSRPKDDGVPEV RAARNPEPQDQGELFQGVDPRALASVLLQALDRPASPPSVPGGSQQGTPEEAAEALLTES VRSQTHSLPAPEIQAPAVAPP >ENSMUSP00000048273.8 pep:known chromosome:GRCm38:5:137030295:137033351:1 gene:ENSMUSG00000037428.14 transcript:ENSMUST00000041543.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgf description:VGF nerve growth factor inducible [Source:MGI Symbol;Acc:MGI:1343180] MKTFTLPASVLFCFLLLIQGLGAAPPGRPDVFPPPLSSEHNGQVAEDAVSRPKDDGVPEV RAARNPEPQDQGELFQGVDPRALASVLLQALDRPASPPSVPGGSQQGTPEEAAEALLTES VRSQTHSLPAPEIQAPAVAPPRPQTQDRDPEEDDRSEELEALASLLQELRDFSPSNAKRQ QETAAAETETRTHTLTRVNLESPGPERVWRASWGEFQARVPERAPLPPPVPSQFQARMSE SAPLPETHQFGEGVSSPKTHLGETLTPLSKAYQSLGGPFPKVRRLEGSFLGGSEAGERLL QQGLAQVEAGRRQAEATRQAAAQEERLADLASDLLLQYLLQGGARQRDLGGRELQETQQE RENEREEEAEQERRGGGEDDVGEEDEEAAEAEAEAEEAERARQNALLFAEEEDGEAGAED KRSQEEAPGHRRKDAEGAEEGGEEDDDDEEMDPQTIDSLIELSTKLHLPADDVVSIIEEV EEKRKRKKNAPPEPVPPPRAAPAPTHVRSPQPPPPAPARDELPDWNEVLPPWDREEDEVF PPGPYHPFPNYIRPRTLQPPASSRRRHFHHALPPARHHPDLEAQARRAQEEADAEERRLQ EQEELENYIEHVLLHRP >ENSMUSP00000146837.1 pep:known chromosome:GRCm38:X:73334996:73336840:-1 gene:ENSMUSG00000109368.1 transcript:ENSMUST00000207943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-95K12.13 MVPPKFTAMALAILQDWCGWMGVNAQRSLLILGIPDDCEEEEFQDAVEAALRPLGRYRVL GKVFRKEIGAKVALVEFADNLNQSLIPQQIPNNRGSWSVIFLPPVPEVESQDTFNFPAQA HGQALEGASGGAGVSGRSGAVVEEGDIDETGVVGEAGGTAEKGATNEARVIRKEQVRQEE ELHY >ENSMUSP00000113133.2 pep:known chromosome:GRCm38:2:176798612:176808618:1 gene:ENSMUSG00000078877.11 transcript:ENSMUST00000118012.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14295 description:predicted gene 14295 [Source:MGI Symbol;Acc:MGI:3709624] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000119262.1 pep:known chromosome:GRCm38:2:176802233:176811223:1 gene:ENSMUSG00000078877.11 transcript:ENSMUST00000132883.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14295 description:predicted gene 14295 [Source:MGI Symbol;Acc:MGI:3709624] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSPEQPSDFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNRTHAGEKHYECNQCGKAFERRSHLQIHKRT HTGEKPYECNQCGKAFARSGVLQKHKRTHTGEKPYECKQCGKAFAQSSHLHKHERTHTGD KPYECKQCGKAFAVISTLQMHKRTHTGAKPYECKQCGKAFAVIYTLQMHKQTHTGEKPYK CKQCGKAFARSCHLRIHKRTHTGEKPYECKQCGKAFARSGVLQQHKRTHTGEKPYECKQC GKAFAQSSHLRIHKRTHTGEKPYECNQCGKAFARSGDLQQHKRTHTGEKPYECKQCGKAF AQSSHLRIHKRTHTGEKPYECNQCGKAFARSDDLQKHKRTHTGEKPYECKQCGKAFAHSS HLHKHERTHTGDKPYECNQCGKAFAESSTLQIHNRTHTGDKPYECNQCGKAFARSGDLQK HKQTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYECNQCGKAFAESSTLQIHNRT HTGDKPYECNQCGKAFAVISTLQMHNRTHTGEKPYECKQCGKAFSHSSNLRIHKRTHTGE KPYECNQCGKAFARNGDLQIHKQTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CNQCGKAFAESSTLQIHNRTHTGDKPYECNQCGKTFAESSTLQIHNRTHTGEKPYECKQC GKAFASSSDLQKHKRTHSGANPYECKQCGKAFAQSSHLRIHKQIPTGERPYECN >ENSMUSP00000136320.1 pep:known chromosome:GRCm38:2:176807362:176807759:1 gene:ENSMUSG00000078877.11 transcript:ENSMUST00000179435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14295 description:predicted gene 14295 [Source:MGI Symbol;Acc:MGI:3709624] DLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSRS HGR >ENSMUSP00000146532.1 pep:known chromosome:GRCm38:19:12261163:12268619:1 gene:ENSMUSG00000060049.3 transcript:ENSMUST00000207969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr235 description:olfactory receptor 235 [Source:MGI Symbol;Acc:MGI:3030069] MIGERNITKITQFILLGFSDFPRITVPLFVMFLMIYTLAVTWNFSLIALIRLDSHLHTPM YFFLSNLSIIDICYITSTAPKMLSNFFQENQTISFVGCIVQYFILSTMGLTESCLMTAMA YDRYAAICN >ENSMUSP00000147034.1 pep:known chromosome:GRCm38:19:12261163:12268910:1 gene:ENSMUSG00000060049.3 transcript:ENSMUST00000207842.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr235 description:olfactory receptor 235 [Source:MGI Symbol;Acc:MGI:3030069] MIGERNITKITQFILLGFSDFPRITVPLFVMFLMIYTLAVTWNFSLIALIRLDSHLHTPM YFFLSNLSIIDICYITSTAPKMLSNFFQENQTISFVGCIVQYFILSTMGLTESCLMTAMA YDRYAAICNPLLYSSVMSPTLCAQMVMGSYTAGLTGSVSQICALLQLYFCGPNVIRHFFC DISQLLNLSCSDAFFVQVLLAILTMCFGIANALATMLSYGFIVLSI >ENSMUSP00000073203.2 pep:known chromosome:GRCm38:19:12268163:12269241:1 gene:ENSMUSG00000060049.3 transcript:ENSMUST00000073507.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr235 description:olfactory receptor 235 [Source:MGI Symbol;Acc:MGI:3030069] MIGERNITKITQFILLGFSDFPRITVPLFVMFLMIYTLAVTWNFSLIALIRLDSHLHTPM YFFLSNLSIIDICYITSTAPKMLSNFFQENQTISFVGCIVQYFILSTMGLTESCLMTAMA YDRYAAICNPLLYSSVMSPTLCAQMVMGSYTAGLTGSVSQICALLQLYFCGPNVIRHFFC DISQLLNLSCSDAFFVQVLLAILTMCFGIANALATMLSYGFIVLSILKITSAKGRSKAFN TCASHLTAVSLFYSTAIFVYLRSSSGGSSSFDRFASVFYTVVIPMLNPLIYSLRNKEIKD AMKRLQKKKICS >ENSMUSP00000020826.5 pep:known chromosome:GRCm38:11:57801637:57810217:1 gene:ENSMUSG00000020519.5 transcript:ENSMUST00000020826.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap30l description:SAP30-like [Source:MGI Symbol;Acc:MGI:1354709] MNGFSTEEDSREGPPAAPAAAPGYGQSCCLIADGERCVRPAGNASFSKRVQKSISQKKLK LDIDKSVRHLYICDFHKNFIQSVRNKRKRKASDDGGDSPEHDADIPEVDLFQLQVNTLRR YKRHYKLQTRPGFNKAQLAETVSRHFRNIPVNEKETLAYFIYMVKSNRSRLDQKSEGSKQ LE >ENSMUSP00000054208.4 pep:known chromosome:GRCm38:5:30105161:30118378:1 gene:ENSMUSG00000044576.6 transcript:ENSMUST00000058045.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gareml description:GRB2 associated, regulator of MAPK1-like [Source:MGI Symbol;Acc:MGI:2685290] MEKLAAGLAGLRWSMGAFPLDLIVSRCRLPTLACLGPGEYAEGVSERDILLIHSCRQWTT VTAHTLEEGHYVIGPKIDIPLQYPGKFKLLEQARDVREPVRYFSSVEEVASVFPDRIFVM EAITFSVKVVSGEFSEDSEVYNFTLHAGDELTLMGQAEILCAKTTKERSRFTTLLRKLGR AGALAGIGGPGSMGATGGGGGAARPVKSKMPCLICMNHRTNESLSLPFQCQGRFSTRSPL ELQMQEGEHTVRAIIERVRLPVNVLVPSRPPRNPYDLHPVREGHCYKLVSIISKTVVLGL ALRREGPAPLHFLLLTDTPRFTLPQGLLAGDPRVERLVRDSASYCRERFDPDEYSTAVRE APAELSDDCASPRHARLCLPAPRAPGAVRAPGPPGRLGPALPAPGDSDQDYVSPDWAGVS EPAGGCAEIPYEELWVHQAPESRADARARPLAGPDLISFGIVGPPRHEPEAPPPPVPPKS EAVKEECRLLHAPPVPPRGGGSCSKLTGSPPVLPHFPKLQPVHSPSSSLSYYSSGLQDGA GSRSGSGSPSPDAYSLYCYPCTWGDCKASESSSRPPPGPLPSTTTQPSQASRGLSEPLSG RTPSLLGADTPVVKNYHSCPPLFKSSRPQKSFAPFGALNPFSGPAHPSGAPAASSSGSIS TSGVLATSSPTHSPGPGPGPQGQGYSAAPSSSLSSSEWQEPALEPLDPFELGQASPPELE LVRCQEPRAAGAPGSGPCLSPLGQPKAFEPEGLVLRQVPASLSPAALQGPEAGGTLLFLT QGCLEGPPGSPREGATGAGVRDASSWQPPADLSALSLEEVSRSLRFIGLSEDVVSFFARE RIDGSIFVQLSEDILADDFHLTKLQVKKIMQFIKGWRPKI >ENSMUSP00000097604.3 pep:known chromosome:GRCm38:16:27388869:27452218:1 gene:ENSMUSG00000038127.14 transcript:ENSMUST00000100026.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc50 description:coiled-coil domain containing 50 [Source:MGI Symbol;Acc:MGI:1914751] MADVSVDQSKLPGVKEVCRDFAVLEDHTLAHSLQEQEIEHHLASNIQRNRLVQHDLQVAK QLQEEDLKAQAQLQKRYKALEQHDCEIAQEIQEKLTIEAERRRIQEKKDEDIARLLQEKE LQEEKRRKKHTPEFSGGSVFGDNYYHEDGGMKPRGIKEAVSTPARASHRDQEWYDAEIAR KLQEEELLATHVDMRAAQVAQDEEIARLLMAEEKKAYKKAKEREKSSLDKRKHDPECKLK AKSAHSKSKEGDEAHRSKIDRPSRPPPPTMMGLEDTDPTHFTNQHSTTWHLPKSESSQKG FHNKQ >ENSMUSP00000038509.7 pep:known chromosome:GRCm38:16:27388980:27439669:1 gene:ENSMUSG00000038127.14 transcript:ENSMUST00000039443.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc50 description:coiled-coil domain containing 50 [Source:MGI Symbol;Acc:MGI:1914751] MADVSVDQSKLPGVKEVCRDFAVLEDHTLAHSLQEQEIEHHLASNIQRNRLVQHDLQVAK QLQEEDLKAQAQLQKRYKALEQHDCEIAQEIQEKLTIEAERRRIQEKKDEDIARLLQEKE LQEEKRRKKHTPEFSGGSVFGDNYYHEDGGMKPRGIKEAVSTPARASHRDQEWYDAEIAR KLQEEELLATHVDMRAAQVAQDEEIARLLMAEEKKAYKKAKEREKSSLDKRKHDPECKLK AKSAHSKSKEGDEAHRSKIDRPSR >ENSMUSP00000093841.4 pep:known chromosome:GRCm38:16:27388980:27446381:1 gene:ENSMUSG00000038127.14 transcript:ENSMUST00000096127.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc50 description:coiled-coil domain containing 50 [Source:MGI Symbol;Acc:MGI:1914751] MADVSVDQSKLPGVKEVCRDFAVLEDHTLAHSLQEQEIEHHLASNIQRNRLVQHDLQVAK QLQEEDLKAQAQLQKRYKALEQHDCEIAQEIQEKLTIEAERRRIQEKKDEDIARLLQEKE LQEEKRRKKHTPEFSGGSVFGDNYYHEDGGMKPRGIKEAVSTPARASHRDQEWYDAEIAR KLQEEELLEIARLLMAEEKKAYKKAKEREKSSLDKRKHDPECKLKAKSAHSKSKEGDEAH RSKIDRPSRPPPPTMMGLEDTDPTHFTNQHSTTWHLPKSESSQKGFHNKQ >ENSMUSP00000118633.1 pep:known chromosome:GRCm38:16:27389936:27438349:1 gene:ENSMUSG00000038127.14 transcript:ENSMUST00000143823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc50 description:coiled-coil domain containing 50 [Source:MGI Symbol;Acc:MGI:1914751] XFTRDLWPTRSGTGMCVLLCRDFAVLEDHTLAHSLQEQEIEHHLASNIQRNRLVQHDLQV AKQLQEEDLKAQAQLQKRYKALEQHDCEIAQEIQEKLTIEAERRRIQEKKDEDIARLLQE KELQEEKRRKKHTPEFSGGSVFGDNYYHEDGGMKPRGIKEAVSTPARASHRDQEWYDAEI ARKLQEEELLATHVDMRAAQVAQDEEIARLLMAEEKKAYKKAKEREKSSLDKRKHDPECK LKAKSAHSKSKEGDEA >ENSMUSP00000056592.4 pep:known chromosome:GRCm38:6:88222268:88223257:1 gene:ENSMUSG00000048206.6 transcript:ENSMUST00000061866.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb8 description:DnaJ heat shock protein family (Hsp40) member B8 [Source:MGI Symbol;Acc:MGI:1922801] MANYYEVLGVQSSASPEDIKKAYRKLALRWHPDKNPDNKEEAEKKFKQVSEAYEVLSDSK KRSVYDRAGCDRWRAGGGANVPHSSPFGAGYPFRNPEDIFREFFGGLDPFSFEFWDTPFS GRGRPHGLHRVFPSGFGEFPAFMEALSSFNTLGHGGGSRSTFSSASFGGSGSSGFKSVMS STEMVNGRKVTTKRIIENGQERVEVEEDGQLRSVTVNGKEKLMRVDK >ENSMUSP00000145239.1 pep:known chromosome:GRCm38:6:88222885:88254415:1 gene:ENSMUSG00000048206.6 transcript:ENSMUST00000203827.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajb8 description:DnaJ heat shock protein family (Hsp40) member B8 [Source:MGI Symbol;Acc:MGI:1922801] XGFGEFPAFMEALSSFNTLGHGGGSRSTFSSASFGGSGSSGFKSVMSSTEMVNGRKVTTK RIIENGQERVEVEEDGQLRKLWLAVIMLEKPGH >ENSMUSP00000113743.2 pep:known chromosome:GRCm38:2:176831140:176836730:1 gene:ENSMUSG00000078876.10 transcript:ENSMUST00000122218.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14408 description:predicted gene 14408 [Source:MGI Symbol;Acc:MGI:3651910] MNLVTYDDVHVNFTQEEGALLETSQKNLYKDVMLETYRNLSAIGYIWEEHTIEDHFQTSR SHGRHERSCIAVQPSEFIQCGKAFAYHSLRQRHERTHNGEKDYYCNQCGKAFVISSHLRI HKRRNTGEKPYECNQS >ENSMUSP00000119426.1 pep:known chromosome:GRCm38:2:176831140:176836662:1 gene:ENSMUSG00000078876.10 transcript:ENSMUST00000126726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14408 description:predicted gene 14408 [Source:MGI Symbol;Acc:MGI:3651910] MNLVTYDDVHVNFTQEEGALLETSQKNLYKDVMLETYRNLSAIGMKEVVLQCNPLNLFNV VKPLHIIVLDKGMKEHIMGRKTITVTNVVKPL >ENSMUSP00000006786.4 pep:known chromosome:GRCm38:13:23807027:23825180:1 gene:ENSMUSG00000036110.14 transcript:ENSMUST00000006786.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a2 description:solute carrier family 17 (sodium phosphate), member 2 [Source:MGI Symbol;Acc:MGI:2443098] MDEKPTTRKGSGFCSLRYALALIMHFSNFTMITQRVSLSIAIIAMVNSTQHQDPANASTE GPVMDLLSNQSRGIKDFSTRAAVYQWSTETQGIIFSSISYGIILTLIPSGYLAGIFGAKQ ILGAGLLISSLLTLFTPLAADFGVILVIVIRTVQGMAQGMAWTGQFTIWAKWAPPLERSK LTSIAGSGAAFGSFIILCVGGLISQALGWPFIFYIFGSIGCVCCVLWFTVIYDDPMHHPC ISVREKEHITSSVAQQSSSPRRSVPIKAMVRCLPLWAIFMGFFSHFWLCTIIITYLPTYI STVLHVNIRDSGVLSSLPFIAASSCTILGGQMADFLLSRNLLSLITVRKLFSSLGLLLPS LCAVALPFVTSSYIATIVLLILIPGTSNLCDSGFIINTLDVAPRYASFLMGISRGFGLTA GIISSTTTGFLISQVGHVIEHLQVAGF >ENSMUSP00000097288.2 pep:known chromosome:GRCm38:13:23807048:23822758:1 gene:ENSMUSG00000036110.14 transcript:ENSMUST00000099697.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a2 description:solute carrier family 17 (sodium phosphate), member 2 [Source:MGI Symbol;Acc:MGI:2443098] MDEKPTTRKGSGFCSLRYALALIMHFSNFTMITQRVSLSIAIIAMVNSTQHQDPANASTE GPVMDLLSNQSRGIKDFSTRAAVYQWSTETQGIIFSSISYGIILTLIPSGYLAGIFGAKQ ILGAGLLISSLLTLFTPLAADFGVILVIVIRTVQGMAQGMAWTGQFTIWAKWAPPLERSK LTSIAGSGAAFGSFIILCVGGLISQALGWPFIFYIFGSIGCVCCVLWFTVIYDDPMHHPC ISVREKEHITSSVAQQSSSPRRSVPIKAMVRCLPLWAIFMGFFSHFWLCTIIITYLPTYI STVLHVNIRDSGVLSSLPFIAASSCTILGGQMADFLLSRNLLSLITVRKLFSSLGLLLPS LCAVALPFVTSSYIATIVLLILIPGTSNLCDSGFIINTLDVAPRYASFLMGISRGFGLTA GIISSTTTGFLISQDSESGWRNVFFLSAAVNMFGLIFYLIFGQAEIQSWAKERTLTRL >ENSMUSP00000036774.3 pep:known chromosome:GRCm38:4:150628572:150652174:-1 gene:ENSMUSG00000039838.11 transcript:ENSMUST00000037827.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a1 description:solute carrier family 45, member 1 [Source:MGI Symbol;Acc:MGI:2653235] MIPPASSTPPGEAVIPSVAPQDFWRSPISSYSGSVTGHISHRANNFKRHPKRRKYIRPSP PPPPNTPCPIELVDFGDLHPQRSFWELLFNGCILFGIEFSYAMETAYVTPVLLQMGLPDQ LYSLVWFISPILGFLLQPLLGAWSDRCTSRFGRRRPFILVLAIGALLGLSLLLNGRDIGM ALADTATNHKWGILLTVCGVVLMDFSADSADNPSHAYMMDVCGPVDQDRGLNIHALMAGL GGGFGYVVGGIHWDKTSFGRALGGQLRVIYVFTAITLSVTTVLTLISIPERPLRPLGEKR TAMKSPSLPLPPSPPVLLEEGAGDALPSTTATSLYASFSSPISPPSPLTPKYGSFISRDS SLTGINEFASSFGTSNIDSVLIDCFTAGHDNYLALPSSVPRQAISVSFPRAPDGFYCQER GLERREGPLTLGSDGDVLRVGSLDTSKPRASGILKRPQTLALPDVAGGNGPETSRRRNVT FSQQVANILLNGVKYESELTGSSEQSEQPLSLRHLCSTIYNMPKALRNLCVNHFLGWLSF EGMLLFYTDFMGEVVFQGDPKAPHTSEAYQKYNSGVTMGCWGMCIYAFSAAFYSAILEKL EECLSVRTLYFIAYLAFGLGTGLATLSRNLYVVLSLCTTYGILFSTLCTLPYSLLCDYYQ SKKFAGSSADGTRRGMGVDISLLSCQYFLAQILVSLVLGPLTSAVGSANGVMYFSSLVSF LGCLYSSLCVTYEIPSVDAADEERQPLLLNV >ENSMUSP00000112737.1 pep:known chromosome:GRCm38:4:150630047:150652097:-1 gene:ENSMUSG00000039838.11 transcript:ENSMUST00000117997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a1 description:solute carrier family 45, member 1 [Source:MGI Symbol;Acc:MGI:2653235] MIPPASSTPPGEAVIPSVAPQDFWRSPISSYSGSVTGHISHRANNFKRHPKRRKYIRPSP PPPPNTPCPIELVDFGDLHPQRSFWELLFNGCILFGIEFSYAMETAYVTPVLLQMGLPDQ LYSLVWFISPILGFLLQPLLGAWSDRCTSRFGRRRPFILVLAIGALLGLSLLLNGRDIGM ALADTATNHKWGILLTVCGVVLMDFSADSADNPSHAYMMDVCGPVDQDRGLNIHALMAGL GGGFGYVVGGIHWDKTSFGRALGGQLRVIYVFTAITLSVTTVLTLISIPERPLRPLGEKR TAMKSPSLPLPPSPPVLLEEGAGDALPSTTATSLYASFSSPISPPSPLTPKYGSFISRDS SLTGINEFASSFGTSNIDSVLIDCFTAGHDNYLALPSSVPRQAISVSFPRAPDGFYCQER GLERREGPLTLGSDGDVLRVGSLDTSKPRASGILKRPQTLALPDVAGGNGPETSRRRNVT FSQQVANILLNGVKYESELTGSSEQSEQPLSLRHLCSTIYNMPKALRNLCVNHFLGWLSF EGMLLFYTDFMGEVVFQGDPKAPHTSEAYQKYNSGVTMGCWGMCIYAFSAAFYSAILEKL EECLSVRTLYFIAYLAFGLGTGLATLSRNLYVVLSLCTTYGILFSTLCTLPYSLLCDYYQ SKKVGMGAAGLLEAT >ENSMUSP00000074537.4 pep:known chromosome:GRCm38:16:16868403:16869255:-1 gene:ENSMUSG00000059305.10 transcript:ENSMUST00000075017.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vpreb1 description:pre-B lymphocyte gene 1 [Source:MGI Symbol;Acc:MGI:98936] MAWTSVLLMLLAYLTGCGPQPMVHQPPLASSSLGATIRLSCTLSNDHNIGIYSIYWYQQR PGHPPRFLLRYFSHSDKHQGPDIPPRFSGSKDTTRNLGYLSISELQPEDEAVYYCAVGLR SQEKKRMEREWEGEKSYTDLGS >ENSMUSP00000120976.1 pep:known chromosome:GRCm38:5:30118304:30155162:-1 gene:ENSMUSG00000025745.11 transcript:ENSMUST00000156859.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hadha description:hydroxyacyl-Coenzyme A dehydrogenase/3-ketoacyl-Coenzyme A thiolase/enoyl-Coenzyme A hydratase (trifunctional protein), alpha subunit [Source:MGI Symbol;Acc:MGI:2135593] MVASRAIGSLSRFSAFRILRSRGCICRSFTTSSALLTRTHINYGVKGDVAVIRINSPNSK VNTLNKEVQSEFIEVMNEIWANDQIRSAVLISSKPGCFVAGADINMLSSCTTPQEATRIS QEGQRMFEKLEKSPKPVVAAISGSCLGGGLELAIACQYRIATKDRKTVLGVPEVLLGILP GAGGTQRLPKMVGVPAAFDMMLTGRNIRADRAKKMGLVDQLVEPLGPGIKSPEERTIEYL EEVAVNFAKGLADRKVSAKQSKGLVEKLTTYAMTVPFVRQQVYKTVEEKVKKQTKGLYPA PLKIIDAVKAGLEQGSDAGYLAESQKFGELALTKESKALMGLYNGQVLCKKNKFGAPQKN VQQLAILGAGLMGAGIAQVSVDKGLKTLLKDTTVTGLGRGQQQVFKGLNDKVKKKALTSF ERDSIFSNLIGQLDYKGFEKADMVIEAVFEDLGVKHKVLKEVESVTPEHCIFASNTSALP INQIAAVSKRPEKVIGMHYFSPVDKMQLLEIITTDKTSKDTTASAVAVGLRQGKVIIVVK DGPGFYTTRCLAPMMSEVMRILQEGVDPKKLDALTTGFGFPVGAATLADEVGVDVAQHVA EDLGKAFGERFGGGSVELLKQMVSKGFLGRKSGKGFYIYQEGSKNKSLNSEMDNILANLR LPAKPEVSSDEDVQYRVITRFVNEAVLCLQEGILATPAEGDIGAVFGLGFPPCLGGPFRF VDLYGAQKVVDRLRKYESAYGTQFTPCQLLLDHANNSSKKFYQ >ENSMUSP00000143471.1 pep:known chromosome:GRCm38:5:30126736:30135042:-1 gene:ENSMUSG00000025745.11 transcript:ENSMUST00000196946.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hadha description:hydroxyacyl-Coenzyme A dehydrogenase/3-ketoacyl-Coenzyme A thiolase/enoyl-Coenzyme A hydratase (trifunctional protein), alpha subunit [Source:MGI Symbol;Acc:MGI:2135593] XILPKAWLIGKSLQSRAKALWKTTGLQNS >ENSMUSP00000054273.6 pep:known chromosome:GRCm38:4:35152056:35154005:1 gene:ENSMUSG00000042993.6 transcript:ENSMUST00000058595.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnk description:interferon kappa [Source:MGI Symbol;Acc:MGI:2683287] MTPKFLWLVALVALYIPPIQSLNCVYLDDSILENVKLLGSTMTGFPLRCLKDITDFKFPK EILPYIQHMKREINAVSYRISSLALTIFNLKGSIPPVTEEHWERIRSGLFKQVRQAQECF MDEEKENREHPHSEDFLTVYLELGKYFFRIKKFLINKKYSFCAWKIVTVEIRRCFIIFSK SRKLLKMISESPTFKQELK >ENSMUSP00000090909.6 pep:known chromosome:GRCm38:8:105083755:105093929:1 gene:ENSMUSG00000062181.14 transcript:ENSMUST00000093221.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces3b description:carboxylesterase 3B [Source:MGI Symbol;Acc:MGI:3644960] MTNMRTMIPAGSSVLVWVTCLLLAFVTTVTGPKVIQPEVDTPLGRVRGRQVGVKDTDRMV NVFLGIPFAQAPVGPLRFSAPLPPQPWEGVRDASINPPMCLQDVEKMINSRFGLNEKIKI FPISEDCLTLNIYSPTEITAGDKRPVMVWIHGGSLLVGSSTSQDGSALAAYGDVVVVTVQ YRLGIFGFLSTGDKHMPGNRGFLDVVAALRWVQGNIAPFGGDPNCVTIFGNSAGGMIVSS LFLSPISAGLFHRAISQSGIVTTIMMEDMKPWPEAQNFANSVACGSASPAELVQCLLQKE GKDLIKQKNVNISYIVNDSFFPQRPEKLLADQQFPTVPYLLGVTNHEFGWLLLKSLNILD KLEHLSREDLLEISRPFLAIMEVPPEIMPTVIDEYLDNGSDQSATRYAFQELLGDISFII PTLNFSKYLRDAGCPVFLYEFQHTPSSFAKFKPAWVKADHASENSFVFGGPFLTDESSLL AFPEATEEEKQLSLTMMAQWSQFARTGNPNGKGLPPWPQLNQLEQYLEIGLESRTGVKLK KGRLQFWTETLPRKIQEWHREQRSRKVPEEL >ENSMUSP00000074004.6 pep:known chromosome:GRCm38:8:105083763:105093591:1 gene:ENSMUSG00000062181.14 transcript:ENSMUST00000074403.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces3b description:carboxylesterase 3B [Source:MGI Symbol;Acc:MGI:3644960] MTNMRTMIPAGSSVLVWVTCLLLAFVTTVTGPKVIQPEVDTPLGRVRGRQVGVKDTDRMV NVFLGIPFAQAPVGPLRFSAPLPPQPWEGVRDASINPPMCLQDVEKMINSRFGLNEKIKI FPISEDCLTLNIYSPTEITAGDKRPVMVWIHGGSLLVGSSTSQDGSALAAYGDVVVVTVQ YRLGIFGFLSTGDKHMPGNRGFLDVVAALRWVQGNIAPFGGDPNCVTIFGNSAGGMIVSS LFLSPISAGLFHRAISQSGIVTTIMMEDMKPWPEAQNFANSVACGSASPAELVQCLLQKE GKDLIKQKNVNISYIVNDSFFPQRPEKLLADQQFPTVPYLLGVTNHEFGWLLLKSLNILD KLEHLSREDLLEISRPFLAIMEVPPEIMPTVIDEYLDNGSDQSATRYAFQELLGDISFII PTLNFSKYLRAFPEATEEEKQLSLTMMAQWSQFARTGNPNGKGLPPWPQLNQLEQYLEIG LESRTGVKLKKGRLQFWTETLPRKIQEWHREQRSRKVPEEL >ENSMUSP00000134204.1 pep:known chromosome:GRCm38:8:105088619:105093123:1 gene:ENSMUSG00000062181.14 transcript:ENSMUST00000173088.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ces3b description:carboxylesterase 3B [Source:MGI Symbol;Acc:MGI:3644960] ISYIVNDSFFPQRPEKLLADQQFPTVPYLLGVTNHEFGWLLLKSLNILDKLEHLSREDLL EISRPFLAIMEVPPEIMPTVIDEYLDNGSDQSATRCWVPCFLVRVPAYTQFFCKVQASLG EG >ENSMUSP00000132815.1 pep:known chromosome:GRCm38:10:111506286:111508645:1 gene:ENSMUSG00000020205.8 transcript:ENSMUST00000164773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phlda1 description:pleckstrin homology-like domain, family A, member 1 [Source:MGI Symbol;Acc:MGI:1096880] MRRTPAAERLSELGFPPRRGRQEPPFPLGVTRGWGGWPIEKRREGPRPVPFSERSPEDGR EQPAHGSGILWRVRTRLSLCRDPEPPPPPPPLCLLRVSLLCALRAGGRGSRWGEDGAGLL LLPPAGASGSLKAERSSSTPYAGRMLENSGCKALKEGVLEKRSDGLLQLWKKKCCILTEE GLLLIPPKQLQQQQQQQQPGQGTAEPSQPSGPTVASLEPPVKLKELHFSNMKTVDCVERK GKYMYFTVVMTEGKEIDFRCPQDQGWNAEITLQMVQYKNRQAILAVKSTRQKQQHLVQQQ PPQTQQIQPQPQPQIQPQPQPQIQPQPQPQPQPQPQPQPQPQPQQLHSYPHPHPHPYSHP HQHPHPHPHPHPHPHPHPYQLQHAHQPLHSQPQGHRLLRSTSNSA >ENSMUSP00000004428.7 pep:known chromosome:GRCm38:X:7153810:7188714:-1 gene:ENSMUSG00000004317.14 transcript:ENSMUST00000004428.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn5 description:chloride channel, voltage-sensitive 5 [Source:MGI Symbol;Acc:MGI:99486] MDFLEEPIPGVGTYDDFNTIDWVREKSRDRDRHREITNKSKESTWALIHSVSDAFSGWLL MLLIGLLSGSLAGLIDISAHWMTDLKEGICTGGFWFNHEHCCWNSEHVTFEHRDKCPEWN SWAQLIINTDQGAFAYIVNYFMYVLWALLFAFLAVSLVKAFAPYACGSGIPEIKTILSGF IIRGYLGKWTLVIKTITLVLAVSSGLSLGKEGPLVHVACCCGNILCHCFNKYRKNEAKRR EVLSAAAAAGVSVAFGAPIGGVLFSLEEVSYYFPLKTLWRSFFAALVAAFTLRSINPFGN SRLVLFYVEFHTPWHLFELVPFIVLGIFGGLWGALFIRTNIAWCRKRKTTQLGKYPVVEV LIVTAITAILAFPNEYTRMSTSELISELFNDCGLLDSSKLCDYENHFNTSKGGELPDRPA GVGIYSAMWQLALTLILKIVITIFTFGMKIPSGLFIPSMAVGAIAGRLLGVGMEQLAYYH HDWGIFNSWCSQGADCITPGLYAMVGAAACLGGVTRMTVSLVVIMFELTGGLEYIVPLMA AAMTSKWVADALGREGIYDAHIRLNGYPFLEAKEEFAHKTLAMDVMKPRRNDPLLTVLTQ DSMTVEDVETIISETTYSGFPVVVSRESQRLVGFVLRRDLIISIENARKKQDGVVSTSII YFTEHSPPMPPYTPPTLKLRNILDLSPFTVTDLTPMEIVVDIFRKLGLRQCLVTHNGRLL GIITKKDVLKHIAQMANQDPDSILFN >ENSMUSP00000122555.1 pep:known chromosome:GRCm38:X:7171199:7319358:-1 gene:ENSMUSG00000004317.14 transcript:ENSMUST00000128319.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn5 description:chloride channel, voltage-sensitive 5 [Source:MGI Symbol;Acc:MGI:99486] MAMWQGAMDNRGFHQGSFSSFQSSSSDEDLMDIPGTAMDFSMRDDVPPLDREIEGNKSYN GGGIGSSNRVMDFLEEPIPGVGTYDDFNTIDWVREKSRDRDRHREITNKSKESTWALIHS VSDAFSGWLLMLLIGLLSGSLAGLIDISAHWMTDLKEGICTGGFWFNHEHCCWNSEHVTF EHRDKCPEWNSWAQLIINTDQGAFAYIVNYFMYVLWALLFAFLA >ENSMUSP00000111412.1 pep:known chromosome:GRCm38:X:7159469:7319233:-1 gene:ENSMUSG00000004317.14 transcript:ENSMUST00000115746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn5 description:chloride channel, voltage-sensitive 5 [Source:MGI Symbol;Acc:MGI:99486] MAMWQGAMDNRGFHQGSFSSFQSSSSDEDLMDIPGTAMDFSMRDDVPPLDREIEGNKSYN GGGIGSSNRVMDFLEEPIPGVGTYDDFNTIDWVREKSRDRDRHREITNKSKESTWALIHS VSDAFSGWLLMLLIGLLSGSLAGLIDISAHWMTDLKEGICTGGFWFNHEHCCWNSEHVTF EHRDKCPEWNSWAQLIINTDQGAFAYIVNYFMYVLWALLFAFLAVSLVKAFAPYACGSGI PEIKTILSGFIIRGYLGKWTLVIKTITLVLAVSSGLSLGKEGPLVHVACCCGNILCHCFN KYRKNEAKRREVLSAAAAAGVSVAFGAPIGGVLFSLEEVSYYFPLKTLWRSFFAALVAAF TLRSINPFGNSRLVLFYVEFHTPWHLFELVPFIVLGIFGGLWGALFIRTNIAWCRKRKTT QLGKYPVVEVLIVTAITAILAFPNEYTRMSTSELISELFNDCGLLDSSKLCDYENHFNTS KGGELPDRPAGVGIYSAMWQLALTLILKIVITIFTFGMKIPSGLFIPSMAVGAIAGRLLG VGMEQLAYYHHDWGIFNSWCSQGADCITPGLYAMVGAAACLGGVTRMTVSLVVIMFELTG GLEYIVPLMAAAMTSKWVADALGREGIYDAHIRLNGYPFLEAKEEFAHKTLAMDVMKPRR NDPLLTVLTQDSMTVEDVETIISETTYSGFPVVVSRESQRLVGFVLRRDLIISIENARKK QDGVVSTSIIYFTEHSPPMPPYTPPTLKLRNILDLSPFTVTDLTPMEIVVDIFRKLGLRQ CLVTHNGRLLGIITKKDVLKHIAQMANQDPDSILFN >ENSMUSP00000100040.3 pep:known chromosome:GRCm38:4:34949074:35157484:-1 gene:ENSMUSG00000073910.10 transcript:ENSMUST00000102975.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob3b description:MOB kinase activator 3B [Source:MGI Symbol;Acc:MGI:2664539] MSIALKQVFNKDKTFRPKRKFEPGTQRFELHKRAQASLNSGVDLRAAVQLPNGEDQNDWV AVHVVDFFNRINLIYGTICEFCTERTCPVMSGGPKYEYRWQDDLKYKKPTALPAPQYMNL LMDWIEVQINNEDIFPTCVGVPFPKNFLQICKKILCRLFRVFVHVYIHHFDRVIVMGAEA HVNTCYKHFYYFVTEMNLIDRKELEPLKEMTTRMCH >ENSMUSP00000019614.6 pep:known chromosome:GRCm38:8:3610087:3621316:-1 gene:ENSMUSG00000019470.12 transcript:ENSMUST00000019614.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xab2 description:XPA binding protein 2 [Source:MGI Symbol;Acc:MGI:1914689] MVVMARVPRSERPDLVFEEEDLPYEEEIMRNQFSVKCWLRYIEFKQGAPKPRLNQLYERA LKLLPCSYKLWYRYLKARRAQVKHRCVTDPAYEDVNNCHERAFVFMHKMPRLWLDYCQFL MDQGRVTHTRRTFDRALRALPITQHSRIWPLYLRFLRSHPLPETAVRGYRRFLKLSPESA EEYIEYLKSSDRLDEAAQRLATVVNDERFVSKAGKSNYQLWHELCDLISQNPDKVQSLNV DAIIRGGLTRFTDQLGKLWCSLADYYIRSGHFEKARDVYEEAIRTVMTVRDFTQVFDSYA QFEESMIAAKMETASELGREEEDDVDLELRLARFEQLISRRPLLLNSVLLRQNPHHVHEW HKRVALHQGRPREIINTYTEAVQTVDPFKATGKPHTLWVAFAKFYEDNGQLDDARVILEK ATKVNFKQVDDLASVWCQCGELELRHENYDEALKLLRKATALPARRAEYFDGSEPVQNRV YKSLKVWSMLADLEESLGTFQSTKAVYDRILDLRIATPQIVINYAMFLEEHKYFEESFKA YERGISLFKWPNVSDIWSTYLTKFISRYGGRKLERARDLFEQALDGCPPKYAKTLYLLYA QLEEEWGLARHAMAVYDRATRAVEPAQQYDMFNIYIKRAAEIYGVTHTRGIYQKAIEVLS DEHAREMCLRFADMECKLGEIDRARAIYSFCSQICDPRTTGAFWQTWKDFEVRHGNEDTI REMLRIRRSVQATYNTQVNFMASQMLKVSGSATGTVSDLAPGQSGMDDMKLLEQRAEQLA AEAERDQPPRAQSKIFFVRSDASREELAELAQQANPEEIQLGEDEDEDEMDLEPNEVRLE QQSVPAAVFGSLKED >ENSMUSP00000124202.1 pep:known chromosome:GRCm38:8:3614317:3621270:-1 gene:ENSMUSG00000019470.12 transcript:ENSMUST00000159548.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xab2 description:XPA binding protein 2 [Source:MGI Symbol;Acc:MGI:1914689] XMARVPRSERPDLVFEEEDLPYEEEIMRNQFSVKCWLRYIEFKQGAPKPRLNQLYERALK LLPCSYKLWYRYLKARRAQVKHRCVTDPAYEDVNNCHERAFVFMHKMPRLWLDYCQFLMD QGRVTHTRRTFDRALRALPITQHSRIWPLYLRFLRSHPLPETAVRGYRRFLKLSPESAEE YIEYLKSSDRLDEAAQRLATVVNDERFVSKAGKSNYQNPDKVQSLNVDAIIRGGLTRFTD QLGKLWCSLADYYIRSGHFEKARDVYEEAIRTVMTVRDFTQVFDS >ENSMUSP00000124237.1 pep:known chromosome:GRCm38:8:3615995:3621282:-1 gene:ENSMUSG00000019470.12 transcript:ENSMUST00000159235.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xab2 description:XPA binding protein 2 [Source:MGI Symbol;Acc:MGI:1914689] MVVMARVPRSERPDLVFEEEDLPYEEEIMRNQFSVKCWLRYIEFKQGAPKPRLNQLYERA LKLLPCRCKWPSLCYPAHQLPPLYTQSQYK >ENSMUSP00000115243.1 pep:known chromosome:GRCm38:2:176892509:176927794:-1 gene:ENSMUSG00000074527.11 transcript:ENSMUST00000134982.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm14296 description:predicted gene 14296 [Source:MGI Symbol;Acc:MGI:3708667] MDLVTYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRRRSPRDPQITGPAGRVKWRPTWDTRYDHPSDNGLKR >ENSMUSP00000096605.2 pep:known chromosome:GRCm38:2:176914050:176927809:-1 gene:ENSMUSG00000074527.11 transcript:ENSMUST00000099007.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14296 description:predicted gene 14296 [Source:MGI Symbol;Acc:MGI:3708667] MDLVTYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCTAEQPSEFIQCGKAFAYESHSQMHQIKHTGEKHYDCNQCGKAFKRRSDLQI HKRTHTGEKPYECK >ENSMUSP00000104559.2 pep:known chromosome:GRCm38:2:176916769:176917518:-1 gene:ENSMUSG00000074527.11 transcript:ENSMUST00000108931.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14296 description:predicted gene 14296 [Source:MGI Symbol;Acc:MGI:3708667] FAGMKEVVLQSNPLSLFNVVKPLHMRVIVKCIKLNILERNTMTVTNVVKLLKEGVTSKYI SEHIQERNPMNVNDVVKPLQEAVISEYISEHIQERNPMNVNDVVKPLQEVITSNNINEHI QERNPMNVNNVVKPFHKAVISEYISEYIQERNPMNVTNVEKPLQEVVTSKNINEHIQERN PINVNNVVKPLHKAVISDYISKHIQERDPINVTSFAGSRGLQCHKRSHTGETLYECNQGG KPFAGRNDL >ENSMUSP00000140924.1 pep:known chromosome:GRCm38:13:22101727:22102665:-1 gene:ENSMUSG00000099611.1 transcript:ENSMUST00000186062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r189 description:vomeronasal 1 receptor 189 [Source:MGI Symbol;Acc:MGI:2182257] MVLKYINKIIFLFMTVVGTLGNMSVSANYMFSWWGSPEKKPIHVILIHLAFTNIIILLTK GLPKTVAAFGLRNFLDDIGCKIIVYLTRVARGLSICTRSLLTVVQAIIISPRASGWRRLR PKSARHILPFFSFFWILNGLISMSLIHSITGTGFNISQLKNSDNYCYFMQESQEIKGIVL PLMVLRDAVFQGAMGGASGYMVFLLHKHHQHVLYLQNSKLLYRTPPELRAAQSVLLLMLC FLFFYWTDCAFSIILSLSSRDNTLVANTLEFLTLGYATFSPLVLIHKDGLLVECWHAQME KLRKCLSHLYVQ >ENSMUSP00000111236.1 pep:known chromosome:GRCm38:X:8514052:8524954:-1 gene:ENSMUSG00000079704.2 transcript:ENSMUST00000115573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14459 description:predicted gene 14459 [Source:MGI Symbol;Acc:MGI:3650385] MVSFQNNTNMETVSSCEKIPMEVLCEPKNICKAFQDISTYFSDEEWGKLTEWQKSAYVYM KRNYIRMTDLGVTVNQPVFMRGKDQDKQCLVEGIEVHDSNDECFEGSFGVTPRKRMKLTS VTISIHNVEERLASGEYDSNLAETGGIQVNVWSHRLRERKYRVIYSEISDPEEEEDDYY >ENSMUSP00000075242.4 pep:known chromosome:GRCm38:7:16493719:16614993:-1 gene:ENSMUSG00000058230.12 transcript:ENSMUST00000075845.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap35 description:Rho GTPase activating protein 35 [Source:MGI Symbol;Acc:MGI:1929494] MMMARKQDVRIPTYNISVVGLSGTEKEKGQCGIGKSCLCNRFVRPSADEFHLDHTSVLST SDFGGRVVNNDHFLYWGEVSRSLEDCVECKMHIVEQTEFIDDQTFQPHRSTALQPYIKRA AATKLASAEKLMYFCTDQLGLEQDFEQKQMPDGKLLVDGFLLGIDVSRGMNRNFDDQLKF VSNLYNQLAKTKKPIVVVLTKCDEGVERYIRDAHTFALSKKNLQVVETSARSNVNVDLAF STLVQLIDKSRGKTKIIPYFEALKQQSQQIATAKDKYEWLVSRIVKNHNENWPSVSRKMQ ASPEYQDYVYLEGTQKAKKLFLQHIHRLKHEHIERRRKLYLAALPLAFEALIPNLDEVDH LSCIKAKKLLETKPEFLKWFVVLEETPWDATSHIDNMENERIPFDLMDTVPAEQLYETHL EKLRNERKRAEMRRAFKENLETSPFITPGKPWEEARSFIMNEDFYQWLEESVYMDIYGKH QKQIIDRAKEEFQELLLEYSELFYELELDAKPSKEKMGVIQDVLGEEQRFKALQKLQAER DALILKHIHFVYHPTKETCPSCPACVDAKIEHLISSRFIRPSDRNQKNSLSDLNIDRINL VILGKDGLARELANEIRALCTNDDKYVIDGKMYELSLRPIEGNVRLPVNSFQTPTFQPHG CLCLYNSKESLSYVVESIEKSRESTLGRRDNHLVHLPLTLILVNKRGDTSGETLHSLIQQ GQQIASKLQCVFLDPASAGIGYGRNINEKQISQVLKGLLDSKRNLNLVSSTASIKDLADV DLRIVMCLMCGDPFSADDVLSPVLQSQTCKSSHCGSSNSVLLELPIGLHKKRIELSVLSY HSSFSIRKSRLVHGYIVFYSAKRKASLAMLRAFLCEVQDIIPIQLVALTDGAIDVLDNDL SREQLTEGEEIAQEIDGRFTSIPCSQPQHKLELFHPFFKDVVEKKNIIEATHMYDNVAEA CSTTEEVFNSPRAGSPLCNSNLQDSEEDVEPPSYHLFREDATLPSLSKDHSKFSMELEGN DGLSFIMSNFESKLNNKVPPPVKPKPPVHFDITKDLSYLDQGHREGQRKSMSSSPWMPQD GFDPSDYAEPMDAVVKPRNEEENIYSVPHDSTQGKIITIRNINKAQSNGSGNGSDSEMDT SSLERGRKVSAVSKPVLYRTRCTRLGRFASYRTSFSVGSDDELGPIRKKEEDQASQGYKG DNAVIPYETDEDPRRRNILRSLRRNTKKPKPKPRPSITKATWESNYFGVPLTTVVTPEKP IPIFIERCIEYIEATGLSTEGIYRVSGNKSEMESLQRQFDQDHNLDLAEKDFTVNTVAGA MKSFFSELPDPLVPYSMQIDLVEAHKINDREQKLHALKEVLKKFPKENHEVFKYVISHLN KVSHNNKVNLMTSENLSICFWPTLMRPDFSSMDALTATRSYQTIIELFIQQCPFFFYNRP ISEPPGAAPGSPSAMAPTVPFLTSTPATSQPSPPQSPPPTPQSPMQPLLSSQLQAEHTL >ENSMUSP00000127379.1 pep:known chromosome:GRCm38:7:16496252:16614937:-1 gene:ENSMUSG00000058230.12 transcript:ENSMUST00000171937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap35 description:Rho GTPase activating protein 35 [Source:MGI Symbol;Acc:MGI:1929494] MMMARKQDVRIPTYNISVVGLSGTEKEKGQCGIGKSCLCNRFVRPSADEFHLDHTSVLST SDFGGRVVNNDHFLYWGEVSRSLEDCVECKMHIVEQTEFIDDQTFQPHRSTALQPYIKRA AATKLASAEKLMYFCTDQLGLEQDFEQKQMPDGKLLVDGFLLGIDVSRGMNRNFDDQLKF VSNLYNQLAKTKKPIVVVLTKCDEGVERYIRDAHTFALSKKNLQVVETSARSNVNVDLAF STLVQLIDKSRGKTKIIPYFEALKQQSQQIATAKDKYEWLVSRIVKNHNENWPSVSRKMQ ASPEYQDYVYLEGTQKAKKLFLQHIHRLKHEHIERRRKLYLAALPLAFEALIPNLDEVDH LSCIKAKKLLETKPEFLKWFVVLEETPWDATSHIDNMENERIPFDLMDTVPAEQLYETHL EKLRNERKRAEMRRAFKENLETSPFITPGKPWEEARSFIMNEDFYQWLEESVYMDIYGKH QKQIIDRAKEEFQELLLEYSELFYELELDAKPSKEKMGVIQDVLGEEQRFKALQKLQAER DALILKHIHFVYHPTKETCPSCPACVDAKIEHLISSRFIRPSDRNQKNSLSDLNIDRINL VILGKDGLARELANEIRALCTNDDKYVIDGKMYELSLRPIEGNVRLPVNSFQTPTFQPHG CLCLYNSKESLSYVVESIEKSRESTLGRRDNHLVHLPLTLILVNKRGDTSGETLHSLIQQ GQQIASKLQCVFLDPASAGIGYGRNINEKQISQVLKGLLDSKRNLNLVSSTASIKDLADV DLRIVMCLMCGDPFSADDVLSPVLQSQTCKSSHCGSSNSVLLELPIGLHKKRIELSVLSY HSSFSIRKSRLVHGYIVFYSAKRKASLAMLRAFLCEVQDIIPIQLVALTDGAIDVLDNDL SREQLTEGEEIAQEIDGRFTSIPCSQPQHKLELFHPFFKDVVEKKNIIEATHMYDNVAEA CSTTEEVFNSPRAGSPLCNSNLQDSEEDVEPPSYHLFREDATLPSLSKDHSKFSMELEGN DGLSFIMSNFESKLNNKVPPPVKPKPPVHFDITKDLSYLDQGHREGQRKSMSSSPWMPQD GFDPSDYAEPMDAVVKPRNEEENIYSVPHDSTQGKIITIRNINKAQSNGSGNGSDSEMDT SSLERGRKVSAVSKPVLYRTRCTRLGRFASYRTSFSVGSDDELGPIRKKEEDQASQGYKG DNAVIPYETDEDPRRRNILRSLRRNTKKPKPKPRPSITKATWESNYFGVPLTTVVTPEKP IPIFIERCIEYIEATGLSTEGIYRVSGNKSEMESLQRQFDQDHNLDLAEKDFTVNTVAGA MKSFFSELPDPLVPYSMQIDLVEAHKINDREQKLHALKEVLKKFPKENHEVFKYVISHLN KVSHNNKVNLMTSENLSICFWPTLMRPDFSSMDALTATRSYQTIIELFIQQCPFFFYNRP ISEPPGAAPGSPSAMAPTVPFLTSTPATSQPSPPQSPPPTPQSPMQPLLSSQLQAEHTL >ENSMUSP00000048997.7 pep:known chromosome:GRCm38:3:75875084:75956929:-1 gene:ENSMUSG00000034109.15 transcript:ENSMUST00000038563.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golim4 description:golgi integral membrane protein 4 [Source:MGI Symbol;Acc:MGI:1920374] MGNGMCSRKQKRIFQTLLLLTVVFGFLYGAMLYLELQTQLRKAEAVALKYQQHQDSLSAQ LQVVYEHRSRLEKSLQKERLEHKKAKEDFLVYKLEAQETLNKGRQDSNSRYSALNVQHQM LKSQHEELRKQHSDLEEEHRKQGEDFSRTFNDHKQRYLQLQQEKEQELSKLKETVYNLRE ENRQLRKAHQDIHTQLQDVKTQVAEYKQLKDTLNRIPSFRNPDPVEQQNVTFPHGTHPPQ GYNGREKLTGELQEVQPNHEAGPRRMEEKPLSSMQKDAGFQALEEQNQVEPREPEERQVE EEHRKALEEEEMEQVGQAEHLEEEHDPSPEEQDRDWRDQQGQNAAHLLDGHPQAEVEHST KAATNFQSPYEEQLEQQRLAARRDEEAQRLREHQEALHQQRLHGQLLRQQQQQQFLAREM AQQKQVAHEDGQQQHQEQLRQQAHYNAVENDIAQGVEDQGIPEEEGGAYDRDNQRQDEAE GDPGNRQELREPGHQEGDPEVEADRAAVEDINPADDPNNQGEDEFEEAEQVREENLPEES EEQKQSEAKQGNVEMDEHLVMAGNPDQQEDNVDEQYQDEGEEEVQEDLTEEKKREMEHNV EETYGEHPDDKNNDGEEQGVHNRAHPKGRQEHYEEEEDEEDGAAVAEKSHRRAEM >ENSMUSP00000114006.1 pep:known chromosome:GRCm38:3:75876183:75956949:-1 gene:ENSMUSG00000034109.15 transcript:ENSMUST00000117242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golim4 description:golgi integral membrane protein 4 [Source:MGI Symbol;Acc:MGI:1920374] MGNGMCSRKQKRIFQTLLLLTVVFGFLYGAMLYLELQTQLRKAEAVALKYQQHQDSLSAQ LQVVYEHRSRLEKSLQKERLEHKKAKEDFLVYKLEAQETLNKGRQDSNSRYSALNVQHQM LKSQHEELRKQHSDLEEEHRKQGEDFSRTFNDHKQRYLQLQQEKEQELSKLKETVYNLRE ENRQLRKAHQDIHTQLQDVKQQHKNLLSEHEQLVVTLEDHKSALAAAQTQVAEYKQLKDT LNRIPSFRNPDPVEQQNVTFPHGTHPPQGYNGREKLTGELQEVQPNHEAGPRRMEEKPLS SMQKDAGFQALEEQNQVEPREPEERQVEEEHRKALEEEEMEQVGQAEHLEEEHDPSPEEQ DRDWRDQQGQNAAHLLDGHPQAEVEHSTKAATNFQSPYEEQLEQQRLAARRDEEAQRLRE HQEALHQQRLHGQLLRQQQQQQFLAREMAQQKQVAHEDGQQQHQEQLRQQAHYNAVENDI AQGVEDQGIPEEEGGAYDRDNQRQDEAEGDPGNRQELREPGHQEGDPEVEADRAAVEDIN PADDPNNQGEDEFEEAEQVREENLPEESEEQKQSEAKQGNVEMDEHLVMAGNPDQQEDNV DEQYQDEGEEEVQEDLTEEKKREMEHNVEETYGEHPDDKNNDGEEQGVHNRAHPKGRQEH YEEEEDEEDGAAVAEKSHRRAEM >ENSMUSP00000119501.1 pep:known chromosome:GRCm38:3:75894853:75902446:-1 gene:ENSMUSG00000034109.15 transcript:ENSMUST00000150904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golim4 description:golgi integral membrane protein 4 [Source:MGI Symbol;Acc:MGI:1920374] XVVTLEDHKSALAAAQTQVAEYKQLKDTLNRIPSFRNPDPVEQQNVTFPHGTHPPQGYNG REKLTGELQEKDAGFQALEEQNQVEPREPEERQVEEEHRKALEEEEMEQVGQAEHLEEEH DPSPEEQDRDWRDQQGQNAAHLLD >ENSMUSP00000132910.1 pep:known chromosome:GRCm38:3:75877659:75956937:-1 gene:ENSMUSG00000034109.15 transcript:ENSMUST00000167078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golim4 description:golgi integral membrane protein 4 [Source:MGI Symbol;Acc:MGI:1920374] MGNGMCSRKQKRIFQTLLLLTVVFGFLYGAMLYLELQTQLRKAEAVALKYQQHQDSLSAQ LQVVYEHRSRLEKSLQKERLEHKKAKEDFLVYKLEAQETLNKGRQDSNSRYSALNVQHQM LKSQHEELRKQHSDLEEEHRKQGEDFSRTFNDHKQRYLQLQQEKEQELSKLKETVYNLRE ENRQLRKAHQDIHTQLQDVKTQVAEYKQLKDTLNRIPSFRNPDPVEQQNVTFPHGTHPPQ GYNGREKLTGELQEVQPNHEAGPRRMEEKPLSSMQKDAGFQALEEQNQVEPREPEERQVE EEHRKALEEEEMEQVGQAEHLEEEHDPSPEEQDRDWRDQQGQNAAHLLDGHPQAEVEHST KAATNFQSPYEEQLEQQRLAARRDEEAQRLREHQEALHQQRLHGQLLRQQQQQQFLAREM AQQKQVAHEDGQQQHQEQLRQQAHYNAVENDIAQGVEDQGIPEEEGGAYDRDNQRQDEAE GDPGNRQELREPGHQEGDPEVEADRAAVEDINPADDPNNQGEDEFEEAEQVREENLPEES EEQKQSEAKQGNVEMDEHLVMAGNPDQQEDNVDEQYQDEGEEEVQEDLTEEKKREMEHNV EETYGEHPDDKNNDGEEQGVHNRAHPKGRQEHYEEEEDEEDGAAVAEKSHRRAEM >ENSMUSP00000103478.3 pep:known chromosome:GRCm38:4:44004452:44032846:1 gene:ENSMUSG00000028478.18 transcript:ENSMUST00000107846.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clta description:clathrin, light polypeptide (Lca) [Source:MGI Symbol;Acc:MGI:894297] MAELDPFGAPAGAPGGPALGNGVAGAGEEDPAAAFLAQQESEIAGIENDEAFAILDGGAP GPQPHGEPPGGPDAVDGVMNGEYYQESNGPTDSYAAISEVDRLQSEPESIRKWREEQTER LEALDANSRKQEAEWKEKAIKELEEWYARQDEQLQKTKANNRAAEEAFVNDIDESSPGTE WERVARLCDFNPKSSKQAKDVSRMRSVLISLKQAPLVH >ENSMUSP00000103479.3 pep:known chromosome:GRCm38:4:44012638:44032846:1 gene:ENSMUSG00000028478.18 transcript:ENSMUST00000107847.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clta description:clathrin, light polypeptide (Lca) [Source:MGI Symbol;Acc:MGI:894297] MAELDPFGAPAGAPGGPALGNGVAGAGEEDPAAAFLAQQESEIAGIENDEAFAILDGGAP GPQPHGEPPGGPDAVDGVMNGEYYQESNGPTDSYAAISEVDRLQSEPESIRKWREEQTER LEALDANSRKQEAEWKEKAIKELEEWYARQDEQLQKTKANNSTNINHPCYSLEQAAEEAF VNDIDESSPGTEWERVARLCDFNPKSSKQAKDVSRMRSVLISLKQAPLVH >ENSMUSP00000103481.3 pep:known chromosome:GRCm38:4:44012678:44032846:1 gene:ENSMUSG00000028478.18 transcript:ENSMUST00000107849.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clta description:clathrin, light polypeptide (Lca) [Source:MGI Symbol;Acc:MGI:894297] MAELDPFGAPAGAPGGPALGNGVAGAGEEDPAAAFLAQQESEIAGIENDEAFAILDGGAP GPQPHGEPPGGPDAVDGVMNGEYYQESNGPTDSYAAISEVDRLQSEPESIRKWREEQTER LEALDANSRKQEAEWKEKAIKELEEWYARQDEQLQKTKANNRVADEAFYKQPFADLIGYV AAEEAFVNDIDESSPGTEWERVARLCDFNPKSSKQAKDVSRMRSVLISLKQAPLVH >ENSMUSP00000103483.3 pep:known chromosome:GRCm38:4:44012678:44032846:1 gene:ENSMUSG00000028478.18 transcript:ENSMUST00000107851.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clta description:clathrin, light polypeptide (Lca) [Source:MGI Symbol;Acc:MGI:894297] MAELDPFGAPAGAPGGPALGNGVAGAGEEDPAAAFLAQQESEIAGIENDEAFAILDGGAP GPQPHGEPPGGPDAVDGVMNGEYYQESNGPTDSYAAISEVDRLQSEPESIRKWREEQTER LEALDANSRKQEAEWKEKAIKELEEWYARQDEQLQKTKANNRVADEAFYKQPFADLIGYV TNINHPCYSLEQAAEEAFVNDIDESSPGTEWERVARLCDFNPKSSKQAKDVSRMRSVLIS LKQAPLVH >ENSMUSP00000103477.3 pep:known chromosome:GRCm38:4:44012686:44032846:1 gene:ENSMUSG00000028478.18 transcript:ENSMUST00000107845.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clta description:clathrin, light polypeptide (Lca) [Source:MGI Symbol;Acc:MGI:894297] MAELDPFGAPAGAPGGPALGNGVAGAGEEDPAAAFLAQQESEIAGIENDEAFAILDGGAP GPQPHGEPPGDAVDGVMNGEYYQESNGPTDSYAAISEVDRLQSEPESIRKWREEQTERLE ALDANSRKQEAEWKEKAIKELEEWYARQDEQLQKTKANNRAAEEAFVNDIDESSPGTEWE RVARLCDFNPKSSKQAKDVSRMRSVLISLKQAPLVH >ENSMUSP00000127344.1 pep:known chromosome:GRCm38:4:44012643:44032845:1 gene:ENSMUSG00000028478.18 transcript:ENSMUST00000170241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clta description:clathrin, light polypeptide (Lca) [Source:MGI Symbol;Acc:MGI:894297] MAELDPFGAPAGAPGGPALGNGVAGAGEEDPAAAFLAQQESEIAGIENDEAFAILDGGAP GPQPHGEPPGGPDAVDGVMNGEYYQESNGPTDSYAAISEVDRLQSEPESIRKWREEQTER LEALDANSRKQEAEWKEKAIKELEEWYARQDEQLQKTKANNRAAEEAFVNDIDESSPGTE WERVARLCDFNPKSSKQAKDVSRMRSVLISLKQAPLVH >ENSMUSP00000100026.3 pep:known chromosome:GRCm38:4:41657498:41695442:-1 gene:ENSMUSG00000028444.17 transcript:ENSMUST00000102961.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntfr description:ciliary neurotrophic factor receptor [Source:MGI Symbol;Acc:MGI:99605] MAASVPWACCAVLAAAAAAVYTQKHSPQEAPHVQYERLGADVTLPCGTASWDAAVTWRVN GTDLAPDLLNGSQLILRSLELGHSGLYACFHRDSWHLRHQVLLHVGLPPREPVLSCRSNT YPKGFYCSWHLPTPTYIPNTFNVTVLHGSKIMVCEKDPALKNRCHIRYMHLFSTIKYKVS ISVSNALGHNTTAITFDEFTIVKPDPPENVVARPVPSNPRRLEVTWQTPSTWPDPESFPL KFFLRYRPLILDQWQHVELSDGTAHTITDAYAGKEYIIQVAAKDNEIGTWSDWSVAAHAT PWTEEPRHLTTEAQAPETTTSTTSSLAPPPTTKICDPGELGSGGGPSILFLTSVPVTLVL AAAAATANNLLI >ENSMUSP00000100027.3 pep:known chromosome:GRCm38:4:41657498:41697040:-1 gene:ENSMUSG00000028444.17 transcript:ENSMUST00000102962.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntfr description:ciliary neurotrophic factor receptor [Source:MGI Symbol;Acc:MGI:99605] MAASVPWACCAVLAAAAAAVYTQKHSPQEAPHVQYERLGADVTLPCGTASWDAAVTWRVN GTDLAPDLLNGSQLILRSLELGHSGLYACFHRDSWHLRHQVLLHVGLPPREPVLSCRSNT YPKGFYCSWHLPTPTYIPNTFNVTVLHGSKIMVCEKDPALKNRCHIRYMHLFSTIKYKVS ISVSNALGHNTTAITFDEFTIVKPDPPENVVARPVPSNPRRLEVTWQTPSTWPDPESFPL KFFLRYRPLILDQWQHVELSDGTAHTITDAYAGKEYIIQVAAKDNEIGTWSDWSVAAHAT PWTEEPRHLTTEAQAPETTTSTTSSLAPPPTTKICDPGELGSGGGPSILFLTSVPVTLVL AAAAATANNLLI >ENSMUSP00000066076.6 pep:known chromosome:GRCm38:4:41669674:41695445:-1 gene:ENSMUSG00000028444.17 transcript:ENSMUST00000064443.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntfr description:ciliary neurotrophic factor receptor [Source:MGI Symbol;Acc:MGI:99605] MAASVPWACCAVLAAAAAAVYTQKHSPQEAPHVQYERLGADVTLPCGTASWDAAVTWRVN GTDLAPDLLNGSQLILRSLELGHSGLYACFHRDSWHLRHQVLLHVGLAGLQSP >ENSMUSP00000081751.5 pep:known chromosome:GRCm38:4:41669674:41697089:-1 gene:ENSMUSG00000028444.17 transcript:ENSMUST00000084701.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntfr description:ciliary neurotrophic factor receptor [Source:MGI Symbol;Acc:MGI:99605] MAASVPWACCAVLAAAAAAVYTQKHSPQEAPHVQYERLGADVTLPCGTASWDAAVTWRVN GTDLAPDLLNGSQLILRSLELGHSGLYACFHRDSWHLRHQVLLHVGLAGLQSP >ENSMUSP00000115631.1 pep:known chromosome:GRCm38:4:41670982:41695935:-1 gene:ENSMUSG00000028444.17 transcript:ENSMUST00000145379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntfr description:ciliary neurotrophic factor receptor [Source:MGI Symbol;Acc:MGI:99605] MAASVPWACCAVLAAAAAAVYTQKHSPQEAPHVQYERLGADVTLPCGTASWDAAVTWRVN >ENSMUSP00000041944.7 pep:known chromosome:GRCm38:7:12965838:12973822:1 gene:ENSMUSG00000004500.8 transcript:ENSMUST00000038701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp324 description:zinc finger protein 324 [Source:MGI Symbol;Acc:MGI:2444641] MPRSLARHAPPPLQIPAAATWPLTNPPRRWMAFEDVAVYFSQEEWMFLNAAQRALYRHVM LENFALVDSIGPSVSRPRVIIQLQRGEEPWVPNRTNRGPGRGAHRRSSLGSNYSTRERHV SRTPPGATCDRPDRIPTSILPPAGAYPDAKILEGHQSACPSLQKKPTGVSVIYWEQLLLG SSSSEATVSLRLTSPVGVPENGSSRGKAFSDFLAPGKQPRVAEQRKPEMQLTPGRTFQSI PDLHGAEEGRGMSEAWHESQGDPNVTEARIWDELGEALQAGPGLLSGDKPFECRACNKVF VKSSDLLKHLRTHTGERPYECAQCGKAFSQTSHLTQHQRIHSGETPYVCMVCSKAFRHSS SLVRHQRIHTVEKSFHCNECGKAFSHGSNLSQHLKIHAGGRPYACAQCGRRFCRNSHLIQ HERTHTGEKPYACSLCGAAFSQGSSLFKHQRVHTGEKPFSCPHCGRAFSHSSNLTQHQLL HTGERPFRCGDCGKAFAKGAVLLSHRRIHTGEKPFVCTQCGRAFRERPALFHHQRIHTGE KALRRPRGSTHPQARSLGVSLDGAPPKASSTTAPPAVPKIAEA >ENSMUSP00000123956.1 pep:known chromosome:GRCm38:7:12965845:12974236:1 gene:ENSMUSG00000004500.8 transcript:ENSMUST00000124387.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp324 description:zinc finger protein 324 [Source:MGI Symbol;Acc:MGI:2444641] MPRSLARHAPPPLQIPAAATWPLTNPPRDLLSPGLV >ENSMUSP00000124620.1 pep:known chromosome:GRCm38:7:12965867:12973799:1 gene:ENSMUSG00000004500.8 transcript:ENSMUST00000128293.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp324 description:zinc finger protein 324 [Source:MGI Symbol;Acc:MGI:2444641] MPRSLARHAPPPLQIPAAATWPLTNPPRRWMAFEDVAVYFSQEEWMFLNAAQRALYRHVM LENFALVDSIGKGLS >ENSMUSP00000131342.1 pep:known chromosome:GRCm38:9:120964454:121277197:-1 gene:ENSMUSG00000040936.14 transcript:ENSMUST00000171923.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ulk4 description:unc-51-like kinase 4 [Source:MGI Symbol;Acc:MGI:1921622] MENFVLYEEIGRGSRTVVYKGRRKGTINFVAILCTEKCKRPEITNWVRLTHEIKHKNIVT FHEWYETSNHLWLVVELCTGGSLETVIAQDENLPEDVVREFGVDLVTGLHHLHRLGILFC DLSPGKILLEGPGTLKFSNFCLAKVAGESLEEFFALVAAEEGGGDSGENALKKSMKTRVR GSLIYAAPEIVKGTEFSVTSDLWSLGCLLYEMFSGKPPFFSETVSELVEKILYEDPLPPI PKDSSFPKASSDFLNLLDGLLQKDPQKRFSWEGVLQHPFWKDALRGEDSGWASEDSPFSR NVMECSGPHDSRELLQSPKNGQAKGQKAAHRLSQSFRLENPTELRPKSIMGGQLNESIFL LSSRPTPRTSAMVELNPGEGEDPSSPQKTSPLSKMTSGHLSQGALESQMRELIYTDSDLV ITPIIDNPKIMKQPAIKFDPKILHLPAYSVEKLLVLKDQDWNDFLQQVCSQIDSSEKSTG ALRAKLNLLCYLCVVATHKEVATRLLHSPLFQLLIQHLRIAPNWDIRSKVARVVGMLALH TTELQENVPVIEAITLLTELIRENFRSGKLKQCLLPTLGQLLYLVATQEEKNQHSRDCWA VPLAAYTVLMRCLREGEERVVNHMAAKIIENVCTTFSAQAQGFTTGEIGPVLWHLFRHST VDALRITAISALCRITRQSPTAFQNVIEKVGLNAVISSLASAICKVQQYMLTLFTAMLSC GIHLQRLIQEKDFVSTVIRLLDSPSTPIRAKAFLVLLYILIHNRDMLLLSCQARLVMYIE RDSRKTSPGKEQQSGNEYLARCLDLLIQHMVQEPPRILGDILNALANVSGRKHPSTVQGK QLKMCLPMMPVVLHLVMSQVFRPQVVTEEFLFSYGTILSHIKSIDLGETNIDGAIGIVAS EEFIKVTLSAFEAVIQYPVLLADYRSTVMDYILPPLVSLVQSQNVEWRLFSLRLLSETTT LLVSQEPEDGDEEASCDSDSSLLALIRDELLPQYEHILMEPDPVPAYALKLLVAMTEHNP AFTRLVEESKLVPLIFEVILEHQESILGNTMQSVIALLNNLVAYKDSNMQLLYEQGLVGH VCNMFTETATLCLDRDNKTNTEPASTLLASLLDILLGMLTYTSRIVRQALQVQKSGSRGD TQAAEDLLLLSKPLTDLISLLIPLLPSEDPEISEVSSKCLSILVQLYGGENPESLSPENM VTFANLLMTKEDPKDQKLLLRILKRMVTSNERLLESLKNTGSLLQALERLAPAHSSPVDT IVASLALELLQAVGH >ENSMUSP00000129214.1 pep:known chromosome:GRCm38:9:120966404:121277170:-1 gene:ENSMUSG00000040936.14 transcript:ENSMUST00000171061.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ulk4 description:unc-51-like kinase 4 [Source:MGI Symbol;Acc:MGI:1921622] MENFVLYEEIGRGSRTVVYKGRRKGTINFVAILCTEKCKRPEITNWVRLTHEIKHKNIVT FHEWYETSNHLWLVVELCTGGSLETVIAQDENLPEDVVREFGVDLVTGLHHLHRLGILFC DLSPGKILLEGPGTLKFSNFCLAKVAGESLEEFFALVAAEEGGGDSGENALKKSMKTRVR GSLIYAAPEIVKGTEFSVTSDLWSLGCLLYEMFSGKPPFFSETVSELVEKILYEDPLPPI PKDSSFPKASSDFLNLLDGLLQKDPQKRFSWEGVLQHPFWKDALRGEDSGWASEDSPFSR NVMECSGPHDSRELLQSPKNGQAKGQKAAHRLSQSFRLENPTELRPKSIMGGQLNESIFL LSSRPTPRTSAMVELNPGEGEDPSSPQKTSPLSKMTSGHLSQGALESQMRELIYTDSDLV ITPIIDNPKIMKQPAIKFDPKILHLPAYSVEKLLVLKDQDWNDFLQQVCSQIDSSEKSTG ALRAKLNLLCYLCVVATHKEVATRLLHSPLFQLLIQHLRIAPNWDIRSKVARVVGMLALH TTELQENVPVIEAITLLTELIRENFRSGKLKQCLLPTLGQLLYLVATQEEKNQHSRDCWA VPLAAYTVLMRCLREGEERVVNHMAAKIIENVCTTFSAQAQGFTTGEIGPVLWHLFRHST VDALRITAISALCRITRQSPTAFQNVIEKVGLNAVISSLASAICKVQQYMLTLFTAMLSC GIHLQRLIQEKDFVSTVIRLLDSPSTPIRAKAFLVLLYILIHNRDMLLLSCQARLVMYIE RDSRKTSPGKEQQSGNEYLARCLDLLIQHMVQEPPRILGDILNALANVSGRKHPSTVQGK QLKMCLPMMPVVLHLVMSQVFRPQVVTEEFLFSYGTILVSSRSHVWPAPLPWLQHGCEKG GIERLSGICL >ENSMUSP00000054833.6 pep:known chromosome:GRCm38:9:121073667:121277181:-1 gene:ENSMUSG00000040936.14 transcript:ENSMUST00000051565.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ulk4 description:unc-51-like kinase 4 [Source:MGI Symbol;Acc:MGI:1921622] MENFVLYEEIGRGSRTVVYKGRRKGTINFVAILCTEKCKRPEITNWGGVHSHCP >ENSMUSP00000057960.6 pep:known chromosome:GRCm38:9:121073671:121277172:-1 gene:ENSMUSG00000040936.14 transcript:ENSMUST00000051479.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ulk4 description:unc-51-like kinase 4 [Source:MGI Symbol;Acc:MGI:1921622] MENFVLYEEIGRGSRTVVYKGRRKGTINFVAILCTEKCKRPEITNWVRLTHEIKHKNIVT FHEWYETSNHLWLVVELCTGGSLETVIAQDENLPEDVVREFGVDLVTGLHHLHRLGILFC DLSPGKILLEGPGTLKFSNFCLAKVAGESLEEFFALVAAEEGGGDSGENALKKSMKTRVR GSLIYAAPEIVKGTEFSVTSDLWSLGCLLYEMFSGKPPFFSETVSELVEKILYEDPLPPI PKDSSFPKASSDFLNLLDGLLQKDPQKRFSWEGVLQHPFWKDALRGEDSGWASEDSPFSR NVMECSGPHDSRELLQSPKNGQAKGQKAAHRLSQSFRLENPTELRPKSIMGGQLNESIFL LSSRPTPRTSAMVELNPGEGEDPSSPQKTSPLSKMTSGHLSQGALESQMRELIYTDSDLV ITPIIDNPKIMKQPAIKFDPKILHLPAYSVEKLLVLKDQDWNDFLQQVCSQIDSSEKSTG ALRAKLNLLCYLCVVATHKEVATRLLHSPLFQLLIQHLRIAPNWDIRSKVARVVGMLALH TTELQENVPVIEAITLLTELIRENFRSGKLKQCLLPTLGQLLYLVATQEEKNQHSRDCWA VPLAAYTVLMRCLREGEERVVNHMAAKIIENVCTTFSAQAQGFTTGEIGPVLWHLFRHST VDALRITAISALCRITRQSPTAFQNVIEKVGLNAVISSLASAICKVQQYMLTLFTAMLSC GIHLQRLIQEKDFVSTVIRLLDSPSTPIRAKAFLVLLYILIHNRDMLLLSCQARLVMYIE RDSRKTSPGKEQQSGNEYLARCLDLLIQHMVQEPPRILGDILNALANVSGRKHPSTVQGK QLKMCLPMMPVVLHLVMSQVFRPQVVTEEFLFSYGTILSHIKSIDLGETNIDGAIGIVAS EEFIKVTLSAFEAVIQYPVLLADYRSTVMDYILPPLVSLVQSQNVEWRLFSLRLLSETTT LLVSQEPEDGDEEASCDSDSSLLALIRDELLPQYEHILMEPDPVPAYALKLLVAMTEHNP AFTRLVEESKLVPLIFEVILEHQESILGNTMQSVIALLNNLVAYKDSNMQLLYEQGLVGH VCNMFTETATLCLDRDNKTNTEPASTLLASLLDILLGMLTYTSRIVRQALQVQKSGSRGD TQAAEDLLLLSKPLTDLISLLIPLVRAHLCASAEVQRLKQFYLNFTNA >ENSMUSP00000131488.1 pep:known chromosome:GRCm38:9:121156851:121257408:-1 gene:ENSMUSG00000040936.14 transcript:ENSMUST00000170237.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ulk4 description:unc-51-like kinase 4 [Source:MGI Symbol;Acc:MGI:1921622] MECSGPHDSRELLQSPKNGQAKGQKAAHRLSQSFRLENPTELRPKSIMGGQLNESIFLLS SRPTPRTSAMVELNPGEGEDPSSPQKTSPLSKMTSGHLSQGALESQMRELIYTDSDLVIT PIIDNPKVQRDTHCVGEGR >ENSMUSP00000039378.8 pep:known chromosome:GRCm38:2:121363728:121380940:-1 gene:ENSMUSG00000033498.14 transcript:ENSMUST00000038389.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strc description:stereocilin [Source:MGI Symbol;Acc:MGI:2153816] MALSLQPQLLLLLSLLPQEVTSAPTGPQSLDAGLSLLKSFVATLDQAPQRSLSQSRFSAF LANISSSFQLGRMGEGPVGEPPPLQPPALRLHDFLVTLRGSPDWEPMLGLLGDVLALLGQ EQTPRDFLVHQAGVLGGLVEALLGALVPGGPPAPTRPPCTRDGPSDCVLAADWLPSLMLL LEGTRWQALVQLQPSVDPTNATGLDGREPAPHFLQGLLGLLTPAGELGSEEALWGGLLRT VGAPLYAAFQEGLLRVTHSLQDEVFSIMGQPEPDASGQCQGGNLQQLLLWGMRNNLSWDA RALGFLSGSPPPPPALLHCLSRGVPLPRASQPAAHISPRQRRAISVEALCENHSGPEPPY SISNFSIYLLCQHIKPATPRPPPTTPRPPPTTPQPPPTTTQPIPDTTQPPPVTPRPPPTT PQPPPSTAVICQTAVWYAVSWAPGARGWLQACHDQFPDQFLDMICGNLSFSALSGPSRPL VKQLCAGLLPPPTSCPPGLIPVPLTPEIFWGCFLENETLWAERLCVEDSLQAVPPRNQAW VQHVCRGPTLDATDFPPCRVGPCGERCPDGGSFLLMVCANDTLYEALVPFWAWLAGQCRI SRGGNDTCFLEGMLGPLLPSLPPLGPSPLCLAPGPFLLGMLSQLPRCQSSVPALAHPTRL HYLLRLLTFLLGPGTGGAETQGMLGQALLLSSLPDNCSFWDAFRPEGRRSVLRTVGEYLQ REEPTPPGLDSSLSLGSGMSKMELLSCFSPVLWDLLQREKSVWALRTLVKAYLRMPPEDL QQLVLSAEMEAAQGFLTLMLRSWAKLKVQPSEEQAMGRLTALLLQRYPRLTSQLFIDMSP LIPFLAVPDLMRFPPSLLANDSVLAAIRDHSSGMKPEQKEALAKRLLAPELFGEVPDWPQ ELLWAALPLLPHLPLESFLQLSPHQIQALEDSWPVADLGPGHARHVLRSLVNQSMEDGEE QVLRLGSLACFLSPEELQSLVPLSDPMGPVEQGLLECAANGTLSPEGRVAYELLGVLRSS GGTVLSPRELRVWAPLFPQLGLRFLQELSETQLRAMLPALQGASVTPAQAVLLFGRLLPK HDLSLEELCSLHPLLPGLSPQTLQAIPKRVLVGACSCLGPELSRLSACQIAALLQTFRVK DGVKNMGAAGAGSAVCIPGQPTTWPDCLLPLLPLKLLQLDAAALLANRRLYRQLPWSEQQ AQFLWKKMQVPTNLSLRNLQALGNLAGGMTCEFLQQISSMVDFLDVVHMLYQLPTGVRES LRACIWTELQRRMTMPEPELTTLGPELSELDTKLLLDLPIQLMDRLSNDSIMLVVEMVQG APEQLLALTPLHQTALAERALKNLAPKETPISKEVLETLGPLVGFLGIESTRRIPLPILL SHLSQLQGFCLGETFATELGWLLLQEPVLGKPELWSQDEIEQAGRLVFTLSAEAISSIPR EALGPETLERLLGKHQSWEQSRVGHLCGESQLAHKKAALVAGIVHPAAEGLQEPVPNCAD IRGTFPAAWSATQISEMELSDFEDCLSLFAGDPGLGPEELRAAMGKAKQLWGPPRGFRPE QILQLGRLLIGLGERELQELTLVDWGVLSSLGQIDGWSSMQLRAVVSSFLRQSGRHVSHL DFIYLTALGYTVCGLRPEELQHISSWEFSQAALFLGSLHLPCSEEQLEVLAYLLVLPGGF GPVSNWGPEIFTEIGTIAAGIPDLALSALLRGQIQGLTPLAISVIPAPKFAVVFNPIQLS SLTRGQAVAVTPEQLAYLSPEQRRAVAWAQHEGKEIPEQLGRNSAWGLYDWFQASWALAL PVSIFGHLL >ENSMUSP00000118211.1 pep:known chromosome:GRCm38:2:121368403:121375031:-1 gene:ENSMUSG00000033498.14 transcript:ENSMUST00000129136.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Strc description:stereocilin [Source:MGI Symbol;Acc:MGI:2153816] GMKPEQKEALAKRLLAPELFGEVPDWPQELLWAALPLLPHLPLESFLQLSPHQIQALEDS WPVADLGPGHARHVLRSLVNQSMEDGEEQVLRWHMNFWECCVHLEELS >ENSMUSP00000027775.7 pep:known chromosome:GRCm38:1:178406103:178484513:1 gene:ENSMUSG00000026495.8 transcript:ENSMUST00000027775.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab2 description:EF-hand calcium binding domain 2 [Source:MGI Symbol;Acc:MGI:1915476] MAEERDAEGTEALIAELHKKIKDAFEVFDHESNNTVDVREIGTIIRSLGCCPTEGELHDF IAEIEEEEPTGYIRFEKFIPVMTRALVERRYRPAAEDILLRAFEVLDPAKRGFLTKDELV KYMTEEGEPFSQEEMEEMLSAAIDPESNTINYRDYITMMVVDEN >ENSMUSP00000033495.9 pep:known chromosome:GRCm38:X:7878302:7883432:1 gene:ENSMUSG00000031155.14 transcript:ENSMUST00000033495.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pim2 description:proviral integration site 2 [Source:MGI Symbol;Acc:MGI:97587] XSVALGLARATNLNAAPSAGASGPPDSLPSTLAPPSPGSPAALPRASTPCGLSGFSGLNI RSTSSMLTKPLQGHPSPPVTPTQPPGGKDRAAFEAEYRLGPLLGKGGFGTVFAGHRVTDR RQVAIKVISRNRVLGWSTVSDSVTCPLEVALLWKVGEGNGHPGVIRLLDWFETPEGFMLV LERPMPAQDLFDYITEKGPLGESCSRSFFTQVVAAVQHCHARGVVHRDIKDENILIDLCR GSIKLIDFGSGALLHDEPYTDFDGTRVYSPPEWISRHQYHALPATVWSLGVLLYDMVCGD IPFERDQEILEAELHFPAHVSPDCCALIRRCLAPKPCSRPSLEEILLDPWMQSPAEEKPI NSSKGSPTPLPWSLLP >ENSMUSP00000140602.1 pep:known chromosome:GRCm38:X:7878306:7883428:1 gene:ENSMUSG00000031155.14 transcript:ENSMUST00000190448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pim2 description:proviral integration site 2 [Source:MGI Symbol;Acc:MGI:97587] LARATNLNAAPSAGASGPPDSLPSTLAPPSPGSPAALPRASTPCGLSGFSGLNIRSTSSM LTKPLQGHPSPPVTPTQPPGGKDRAAFEAEYRLGPLLGKGGFGTVFAGHRVTDRRQVAIK VISRNRVLGWSTVSDSVTCPLEVALLWKVGEGNGHPGVIRLLDWFETPEGFMLVLERPMP AQDLFDYITEKGPLGESCSRSFFTQVVAAVQHCHARGVVHRDIKDENILIDLCRGSIKLI DFGSGALLHDEPYTDFDGTRVYSPPEWISRHQYHALPATVWSLGVLLYDMVCGDIPFERD QEILEAELHFPAHVSPDCCALIRRCLAPKPCSRPSLEEILLDPWMQSPAEEKPINSSKGS PTPLPWSLLP >ENSMUSP00000047600.6 pep:known chromosome:GRCm38:11:102284931:102289237:1 gene:ENSMUSG00000034757.15 transcript:ENSMUST00000036376.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmub2 description:transmembrane and ubiquitin-like domain containing 2 [Source:MGI Symbol;Acc:MGI:1919303] MISRLLQNNLMSVDPVSSQAMELSDVTLIEGVGNEVMVVAGVVALTLALVLAWLSTYVAD SGNNQLLGTIVSAGDTSVLHLGHVDQLVNQGTPEPTEHPHPSGGNDDKAEETSDSGGDAT GEPGARGEMEPSLEHLLDIQGLPKRQAGLGSSRPEAPLGLDDGSCLSPSPSLINVRLKFL NDTEELAVARPEDTVGTLKSKYFPGQESQMKLIYQGRLLQDPARTLSSLNITNNCVIHCH RSPPGAAVSGPSASLTPTTEQSSLGVNVGSLMVPVFVVLLGVVWYFRINYRQFFTGPATI SLVGVTVFFSILVFGMYGR >ENSMUSP00000097956.4 pep:known chromosome:GRCm38:11:102284939:102289237:1 gene:ENSMUSG00000034757.15 transcript:ENSMUST00000100387.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmub2 description:transmembrane and ubiquitin-like domain containing 2 [Source:MGI Symbol;Acc:MGI:1919303] XSWMLTASEKSRKRSDPGQNPELPAPPLTPTERELQRLSWRSQNIYPGFVSVDPVSSQAM ELSDVTLIEGVGNEVMVVAGVVALTLALVLAWLSTYVADSGNNQLLGTIVSAGDTSVLHL GHVDQLVNQGTPEPTEHPHPSGGNDDKAEETSDSGGDATGEPGARGEMEPSLEHLLDIQG LPKRQAGLGSSRPEAPLGLDDGSCLSPSPSLINVRLKFLNDTEELAVARPEDTVGTLKSK YFPGQESQMKLIYQGRLLQDPARTLSSLNITNNCVIHCHRSPPGAAVSGPSASLTPTTEQ SSLGVNVGSLMVPVFVVLLGVVWYFRINYRQFFTGPATISLVGVTVFFSILVFGMYGR >ENSMUSP00000116327.1 pep:known chromosome:GRCm38:11:102285196:102289237:1 gene:ENSMUSG00000034757.15 transcript:ENSMUST00000156326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmub2 description:transmembrane and ubiquitin-like domain containing 2 [Source:MGI Symbol;Acc:MGI:1919303] MISRLLQNNLMSVDPVSSQAMELSDVTLIEGVGNEVMVVAGVVALTLALVLAWLSTYVAD SGNNQLLGTIVSAGDTSVLHLGHVDQLVNQGTPEPTEHPHPSGGNDDKAEETSDSGGDAT GEPGARGEMEPSLEHLLDIQGLPKRQAGLGSSRPEAPLGLDDGSCLSPSPSLINVRLKFL NDTEELAVARPEDTVGTLKSKYFPGQESQMKLIYQGRLLQDPARTLSSLNITNNCVIHCH RSPPGAAVSGPSASLTPTTEQSSLGVNVGSLMVPVFVVLLGVVWYFRINYRQFFTGPATI SLVGVTVFFSILVFGMYGR >ENSMUSP00000027287.4 pep:known chromosome:GRCm38:1:37299891:37410736:1 gene:ENSMUSG00000026113.17 transcript:ENSMUST00000027287.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4a description:inositol polyphosphate-4-phosphatase, type I [Source:MGI Symbol;Acc:MGI:1931123] MTAREHSPRHGARARAMQRASTIDVAADMVGLSLAGNIQDPDEPILEFSLACSELHTPSL DRKPNSFVAVSVTTPPQAFWTKHAQTEIIEGTNNPIFLSSIAFFQDSLINQMTQIKLSVY DVKDRSQGTMYLLGSGTFVVKDLLQDRHHRLHLTLRSAESDRVGNITVIGWQMEEKSDQQ PPVTRSLDTVNGRMVLPVDESLTEALGIRSKYASLRKDSLLKAVFGGAICRMYRFPTTDG NHLRILEQMAESVLSLHVPRQFVKLLLEEDAARVCELEELGELSPCWESLRRQIVTQYQT IILTYQENLTDLHQYKGPSFKASSLKADKKLEFVPTNLHIQRMRVQDDGGSDQNYDVVTI GAPAAHCQGFKSGGLRKKLHKFEEAKKHSFEECCTSSSGQSIIYIPQDITRAKEIIAQIN TLKTQVSYYAERLSRAAKDRSATGLERTLAILADKTRQLVTVCDCKLLANSIHGLNAARP DYIASKASPTSTEEEQVMLRNDQDTLMARWAGRSSRSSLQVDWHEEEWEKVWLNVDKSLE CIIQRVDKLLQKERLHGEGCEDAFPCSSTCSSKKDCSPPPEESSPGEWSEALYPLLTTLT DCVAMMSDKAKAAMVFLLMQDSAPTIASYLSLQYRRDVVFCQTLTALICGFIIKLRNCLH DGGFLRQLYTIGLLAQFESLLSTYGEELAMLEDMSLGIMDLRNVTFKVTQATSNASNDML PVITGNRDGFNVRIPLPGPLFDSLPREIQSGMLLRVQPVLFNVGINEQQTLAERFGDTSL QEVINVESLVRLNSYFEQFKEVLPEDCLPRSRSQTCLPELLRFLGQNVHARKNKNVDILW QAAEVCRRLNGVRFTSCKSAKDRTAMSVTLEQCLILQHEHGMAPQVFTQALECMRSEGCR RENTMKNVGSRKYAFNSLQLKAFPKHYRPPEGTYGKVET >ENSMUSP00000121107.2 pep:known chromosome:GRCm38:1:37299915:37399557:1 gene:ENSMUSG00000026113.17 transcript:ENSMUST00000140264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4a description:inositol polyphosphate-4-phosphatase, type I [Source:MGI Symbol;Acc:MGI:1931123] MTAREHSPRHGARARAMQRASTIDVAADMVGLSLAGNIQDPDEPILEFSLACSELHTPSL DRKPNSFVAVSVTTPPQAFWTKHAQTEIIEGTNNPIFLSSIAFFQDSLINQMTQIKLSVY DVKDRSQGTMYLLGSGTFVVKDLLQDRHHRLHLTLRSAESDRVGNITVIGWQMEEKSDQQ PPVTRSLDTVNGRMVLPVDESLTEALGIRSKYASLRKDSLLKAVFGGAICRMYRFPTTDG NHLRILEQMAESVLSLHVPRQFVKLLLEEDAARVCELEELGELSPCWESLRRQIVTQYQT IILTYQENLTDLHQYKGPSFKASSLKADKKLEFVPTNLHIQRMRVQDDGGSDQNYDVVTI GAPAAHCQGFKSGGLRKKLHKFEEAKKHTSSSGQSIIYIPQDITRAKEIIAQINTLKTQV SYYAERLSRAAKDRSATGLERTLAILADKTRQLVTVCDCKLLANSIHGLNAARPDYIASK ASPTSTEEEQVMLRNDQDTLMARWAGRSSRSSLQVDWHEEEWEKVWLNVDKSLECIIQRV DKLLQKERLHGEGCEDAFPCSSTCSSKKDCSPPPEESSPGEWSEALYPLLTTLTDCVAMM SDKAKAAMVFLLMQDSAPTIASYLSLQYRRDVVFCQTLTALICGFIIKLRNCLHDGGFLR QLYTIGLLAQFESLLSTYGEELAMLEDMSLGIMDLRNVTFKVTQATSNASNDMLPVITGN RDGFNVRIPLPGPLFDSLPREIQSGMLLRVQPVLFNVGINEQQTLAERFGDTSLQEVINV ESLVRLNSYFEQFKEVLPEDCLPRSRSQTCLPELLRFLGQNVHARKNKNVDILWQAAEVC RRLNGVRFTSCKSAKDRTAMSVTLEQCLILQHEHGMAPQVFTQALECMRR >ENSMUSP00000123071.1 pep:known chromosome:GRCm38:1:37300308:37408289:1 gene:ENSMUSG00000026113.17 transcript:ENSMUST00000132401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4a description:inositol polyphosphate-4-phosphatase, type I [Source:MGI Symbol;Acc:MGI:1931123] MTAREHSPRHGARARAMQRASTIDVAADMVGLSLAGNIQDPDEPILEFSLACSELHTPSL DRKPNSFVAVSVTTPPQAFWTKHAQTEIIEGTNNPIFLSSIAFFQDSLINQMTQIKLSVY DVKDRSQGTMYLLGSGTFVVKDLLQDRHHRLHLTLRSAESDRVGNITVIGWQMEEKSDQQ PPVTRSLDTVNGRMVLPVDESLTEALGIRSKYASLRKDSLLKAVFGGAICRMYRFPTTDG NHLRILEQMAESVLSLHVPRQFVKLLLEEDAARVCELEELGELSPCWESLRRQIVTQYQT IILTYQENLTDLHQYKGPSFKASSLKADKKLEFVPTNLHIQRMRVQDDGGSDQNYDVVTI GAPAAHCQGFKSGGLRKKLHKFEEAKKHTSSSGQSIIYIPQDITRAKEIIAQINTLKTQV SYYAERLSRAAKDRSATGLERTLAILADKTRQLVTVCDCKLLANSIHGLNAARPDYIASK ASPTSTEEEQVMLRNDQDTLMARWAGRSSRSSLQVDWHEEEWEKVWLNVDKSLECIIQRV DKLLQKERLHGEGCEDAFPCSSTCSSKKGNRDSQAYWIRPEDPLCDAPSSTCPSSMPTAA CHPHSSTHCSPPPEESSPGEWSEALYPLLTTLTDCVAMMSDKAKAAMVFLLMQDSAPTIA SYLSLQYRRDVVFCQTLTALICGFIIKLRNCLHDGGFLRQLYTIGLLAQFESLLSTYGEE LAMLEDMSLGIMDLRNVTFKVTQATSNASNDMLPVITGNRDGFNVRIPLPGPLFDSLPRE IQSGMLLRVQPVLFNVGINEQQTLAERFGDTSLQEVINVESLVRLNSYFEQFKEVLPEDC LPRSRSQTCLPELLRFLGQNVHARKNKNVDILWQAAEVCRRLNGVRFTSCKSAKDRTAMS VTLEQCLILQHEHGMAPQVFTQALECMRSEGCRRENTMKNVGSRKYAFNSLQLKAFPKHY RPPEGTYGKVET >ENSMUSP00000121518.2 pep:known chromosome:GRCm38:1:37300308:37408733:1 gene:ENSMUSG00000026113.17 transcript:ENSMUST00000136846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4a description:inositol polyphosphate-4-phosphatase, type I [Source:MGI Symbol;Acc:MGI:1931123] MTAREHSPRHGARARAMQRASTIDVAADMVGLSLAGNIQDPDEPILEFSLACSELHTPSL DRKPNSFVAVSVTTPPQAFWTKHAQTEIIEGTNNPIFLSSIAFFQDSLINQMTQIKLSVY DVKDRSQGTMYLLGSGTFVVKDLLQDRHHRLHLTLRSAESDRVGNITVIGWQMEEKSDQQ PPVTRSLDTVNGRMVLPVDESLTEALGIRSKYASLRKDSLLKAVFGGAICRMYRFPTTDG NHLRILEQMAESVLSLHVPRQFVKLLLEEDAARVCELEELGELSPCWESLRRQIVTQYQT IILTYQENLTDLHQYKGPSFKASSLKADKKLEFVPTNLHIQRMRVQDDGGSDQNYDVVTI GAPAAHCQGFKSGGLRKKLHKFEEAKKHFEECCTSSSGQSIIYIPQDITRAKEIIAQINT LKTQVSYYAERLSRAAKDRSATGLERTLAILADKTRQLVTVCDCKLLANSIHGLNAARPD YIASKASPTSTEEEQVMLRNDQDTLMARWAGRSSRSSLQVDWHEEEWEKVWLNVDKSLEC IIQRVDKLLQKERLHGEGCEDAFPCSSTCSSKKDCSPPPEESSPGEWSEALYPLLTTLTD CVAMMSDKAKAAMVFLLMQDSAPTIASYLSLQYRRDVVFCQTLTALICGFIIKLRNCLHD GGFLRQLYTIGLLAQFESLLSTYGEELAMLEDMSLGIMDLRNVTFKVTQATSNASNDMLP VITGNRDGFNVRIPLPGPLFDSLPREIQSGMLLRVQPVLFNVGINEQQTLAERFGDTSLQ EVINVESLVRLNSYFEQFKEVLPEDCLPRSRSQTCLPELLRFLGQNVHARKNKNVDILWQ AAEVCRRLNGVRFTSCKSAKDRTAMSVTLEQCLILQHEHGMAPQVFTQALECMRSEGCRR ENTMKNVGSRKYAFNSLQLKAFPKHYRPPEGTYGKVET >ENSMUSP00000115249.2 pep:known chromosome:GRCm38:1:37357397:37403690:1 gene:ENSMUSG00000026113.17 transcript:ENSMUST00000132615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4a description:inositol polyphosphate-4-phosphatase, type I [Source:MGI Symbol;Acc:MGI:1931123] MTAREHSPRHGARARAMQRASTIDVAADMVGLSLAGNIQDPDEPILEFSLACSELHTPSL DRKPNSFVAVSVTTPPQAFWTKHAQTEIIEGTNNPIFLSSIAFFQDSLINQMTQIKLSVY DVKDRSQGTMYLLGSGTFVVKDLLQDRHHRLHLTLRSAESDRVGNITVIGWQMEEKSDQQ PPVTRSLDTVNGRMVLPVDESLTEALGIRSKYASLRKDSLLKAVFGGAICRMYRFPTTDG NHLRILEQMAESVLSLHVPRQFVKLLLEEDAARVCELEELGELSPCWESLRRQIVTQYQT IILTYQENLTDLHQYKGPSFKASSLKADKKLEFVPTNLHIQRMRVQDDGGSDQNYDVVTI GAPAAHCQGFKSGGLRKKLHKFEEAKKHFEECCTSSSGQSIIYIPQDITRAKEIIAQINT LKTQVSYYAERLSRAAKDRSATGLERTLAILADKTRQLVTVCDCKLLANSIHGLNAARPD YIASKASPTSTEEEQVMLRNDQDTLMARWAGRSSRSSLQVDWHEEEWEKVWLNVDKSLEC IIQRVDKLLQKERLHGEGCEDAFPCSSTCSSKKDCSPPPEESSPGEWSEALYPLLTTLTD CVAMMSDKAKAAMVFLLMQDSAPTIASYLSLQYRRDVVFCQTLTALICGFIIKLRNCLHD GGFLRQLYTIGLLAQFESLLSTYGEELAMLEDMSLGIMDLRNVTFKVTQATSNASNDMLP VITGNRDGFNVRIPLPGPLFDSLPREIQSGMLLRVQPVLFNVGINEQQTLAERFGDTSLQ EVINVESLVRLNSYFEQFKEVLPEDCLPRSRSQTCLPELLRFLGQNVHARKNKNVDILWQ AAEVCRRLNGVRFTSCKSAKDRTAMSVTLEQCLILQHEHGMAPQVFTQALECMRSIGTRE VVTQKNLSGLVPIRDLRLDPSLLCSIPLLALSPNLLIVWLFLSIAYLVTKLRCK >ENSMUSP00000142118.1 pep:known chromosome:GRCm38:1:37357397:37408469:1 gene:ENSMUSG00000026113.17 transcript:ENSMUST00000193774.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4a description:inositol polyphosphate-4-phosphatase, type I [Source:MGI Symbol;Acc:MGI:1931123] MTAREHSPRHGARARAMQRASTIDVAADMVGLSLAGNIQDPDEPILEFSLACSELHTPSL DRKPNSFVAVSVTTPPQATSNASNDMLPVITGNRDGFNVRIPLPGPLFDSLPREIQSGML LRVQPVLFNVGINEQQTLAERFGDTSLQEVINVESLVRLNSYFEQFKEVLPEDCLPRSRS QTCLPELLRFLGQNVHARKNKNVDILWQAAEVCRRLNGVRFTSCKSAKDRTAMSVTLEQC LILQHEHGMAPQVFTQALECMRSEGCRRENTMKNVGSRKYAFNSLQLKAFPKHYRPPEGT YGKVET >ENSMUSP00000121803.1 pep:known chromosome:GRCm38:1:37357919:37408259:1 gene:ENSMUSG00000026113.17 transcript:ENSMUST00000137266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4a description:inositol polyphosphate-4-phosphatase, type I [Source:MGI Symbol;Acc:MGI:1931123] MTAREHSPRHGARARAMQRASTIDVAADMVGLSLAGNIQDPDEPILEFSLACSELHTPSL DRKPNSFVAVSVTTPPQAFWTKHAQTEIIEGTNNPIFLSSIAFFQDSLINQMTQIKLSVY DVKDRSQGTMYLLGSGTFVVKDLLQDRHHRLHLTLRSAESDRVGNITVIGWQMEEKSDQQ PPVTRSLDTVNGRMVLPVDESLTEALGIRSKYASLRKDSLLKAVFGGAICRMYRFPTTDG NHLRILEQMAESVLSLHVPRQFVKLLLEEDAARVCELEELGELSPCWESLRRQIVTQYQT IILTYQENLTDLHQYKGPSFKASSLKADKKLEFVPTNLHIQRMRVQDDGGSDQNYDVVTI GAPAAHCQGFKSGGLRKKLHKFEEAKKHFEECCTSSSGQSIIYIPQDITRAKEIIAQINT LKTQVSYYAERLSRAAKDRSATGLERTLAILADKTRQLVTVCDCKLLANSIHGLNAARPD YIASKASPTSTEEEQVMLRNDQDTLMARWAGRSSRSSLQVDWHEEEWEKVWLNVDKSLEC IIQRVDKLLQKERLHGEGCEDAFPCSSTCSSKKGNRDSQAYWIRPEDPLCDAPSSTCPSS MPTAACHPHSSTHCSPPPEESSPGEWSEALYPLLTTLTDCVAMMSDKAKAAMVFLLMQDS APTIASYLSLQYRRDVVFCQTLTALICGFIIKLRNCLHDGGFLRQLYTIGLLAQFESLLS TYGEELAMLEDMSLGIMDLRNVTFKVTQATSNASNDMLPVITGNRDGFNVRIPLPGPLFD SLPREIQSGMLLRVQPVLFNVGINEQQTLAERFGDTSLQEVINVESLVRLNSYFEQFKEV LPEDCLPRSRSQTCLPELLRFLGQNVHARKNKNVDILWQAAEVCRRLNGVRFTSCKSAKD RTAMSVTLEQCLILQHEHGMAPQVFTQALECMRSEGCRRENTMKNVGSRKYAFNSLQLKA FPKHYRPPEGTYGKVET >ENSMUSP00000057233.8 pep:known chromosome:GRCm38:1:37369217:37404434:1 gene:ENSMUSG00000026113.17 transcript:ENSMUST00000058307.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4a description:inositol polyphosphate-4-phosphatase, type I [Source:MGI Symbol;Acc:MGI:1931123] MCVCFACRVCELEELGELSPCWESLRRQIVTQYQTIILTYQENLTDLHQYKGPSFKASSL KADKKLEFVPTNLHIQRMRVQDDGGSDQNYDVVTIGAPAAHCQGFKSGGLRKKLHKFEEA KKHSFEECCTSSSGQSIIYIPQDITRAKEIIAQINTLKTQVSYYAERLSRAAKDRSATGL ERTLAILADKTRQLVTVCDCKLLANSIHGLNAARPDYIASKASPTSTEEEQVMLRNDQDT LMARWAGRSSRSSLQVDWHEEEWEKVWLNVDKSLECIIQRVDKLLQKERLHGEGCEDAFP CSSTCSSKKGEWSEALYPLLTTLTDCVAMMSDKAKAAMVFLLMQDSAPTIASYLSLQYRR DVVFCQTLTALICGFIIKLRNCLHDGGFLRQLYTIGLLAQFESLLSTYGEELAMLEDMSL GIMDLRNVTFKVTQATSNASNDMLPVITGNRDGFNVRIPLPGPLFDSLPREIQSGMLLRV QPVLFNVGINEQQTLAERFGDTSLQEVINVESLVRLNSYFEQFKEVLPEDCLPRSRSQTC LPELLRFLGQNVHARKNKNVDILWQAAEVCRRLNGVRFTSCKSAKDRTAMSVTLEQCLIL QHEHGMAPQVFTQALECMRSIGTREVVTQKNLSGLVPIRDLRLDPSLLCSIPLLALSPNL LIVWLFLSIAYLVTKLRCK >ENSMUSP00000110583.2 pep:known chromosome:GRCm38:1:37369217:37404442:1 gene:ENSMUSG00000026113.17 transcript:ENSMUST00000114933.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4a description:inositol polyphosphate-4-phosphatase, type I [Source:MGI Symbol;Acc:MGI:1931123] MCVCFACRVCELEELGELSPCWESLRRQIVTQYQTIILTYQENLTDLHQYKGPSFKASSL KADKKLEFVPTNLHIQRMRVQDDGGSDQNYDVVTIGAPAAHCQGFKSGGLRKKLHKFEEA KKHSFEECCTSSSGQSIIYIPQDITRAKEIIAQINTLKTQVSYYAERLSRAAKDRSATGL ERTLAILADKTRQLVTVCDCKLLANSIHGLNAARPDYIASKASPTSTEEEQVMLRNDQDT LMARWAGRSSRSSLQVDWHEEEWEKVWLNVDKSLECIIQRVDKLLQKERLHGEGCEDAFP CSSTCSSKKDCSPPPEESSPGEWSEALYPLLTTLTDCVAMMSDKAKAAMVFLLMQDSAPT IASYLSLQYRRDVVFCQTLTALICGFIIKLRNCLHDGGFLRQLYTIGLLAQFESLLSTYG EELAMLEDMSLGIMDLRNVTFKVTQATSNASNDMLPVITGNRDGFNVRIPLPGPLFDSLP REIQSGMLLRVQPVLFNVGINEQQTLAERFGDTSLQEVINVESLVRLNSYFEQFKEVLPE DCLPRSRSQTCLPELLRFLGQNVHARKNKNVDILWQAAEVCRRLNGVRFTSCKSAKDRTA MSVTLEQCLILQHEHGMAPQVFTQALECMRSIGTREVVTQKNLSGLVPIRDLRLDPSLLC SIPLLALSPNLLIVWLFLSIAYLVTKLRCK >ENSMUSP00000130443.2 pep:known chromosome:GRCm38:1:37369221:37404439:1 gene:ENSMUSG00000026113.17 transcript:ENSMUST00000168546.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4a description:inositol polyphosphate-4-phosphatase, type I [Source:MGI Symbol;Acc:MGI:1931123] MCVCFACRVCELEELGELSPCWESLRRQIVTQYQTIILTYQENLTDLHQYKGPSFKASSL KADKKLEFVPTNLHIQRMRVQDDGGSDQNYDVVTIGAPAAHCQGFKSGGLRKKLHKFEEA KKQEWESCGTSSSGQSIIYIPQDITRAKEIIAQINTLKTQVSYYAERLSRAAKDRSATGL ERTLAILADKTRQLVTVCDCKLLANSIHGLNAARPDYIASKASPTSTEEEQVMLRNDQDT LMARWAGRSSRSSLQVDWHEEEWEKVWLNVDKSLECIIQRVDKLLQKERLHGEGCEDAFP CSSTCSSKKGEWSEALYPLLTTLTDCVAMMSDKAKAAMVFLLMQDSAPTIASYLSLQYRR DVVFCQTLTALICGFIIKLRNCLHDGGFLRQLYTIGLLAQFESLLSTYGEELAMLEDMSL GIMDLRNVTFKVTQATSNASNDMLPVITGNRDGFNVRIPLPGPLFDSLPREIQSGMLLRV QPVLFNVGINEQQTLAERFGDTSLQEVINVESLVRLNSYFEQFKEVLPEDCLPRSRSQTC LPELLRFLGQNVHARKNKNVDILWQAAEVCRRLNGVRFTSCKSAKDRTAMSVTLEQCLIL QHEHGMAPQVFTQALECMRSIGTREVVTQKNLSGLVPIRDLRLDPSLLCSIPLLALSPNL LIVWLFLSIAYLVTKLRCK >ENSMUSP00000059289.6 pep:known chromosome:GRCm38:2:90677215:90736328:1 gene:ENSMUSG00000051329.13 transcript:ENSMUST00000057481.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup160 description:nucleoporin 160 [Source:MGI Symbol;Acc:MGI:1926227] MAAAGSLERSFVELSGAERERPRHFREFTVCDIGTASAAFGTVKYSESAGGFYYVESGKL FSITRNRFIHWKTSGDTLELVEESLDLNLLNNAVRLKFQNYNILPGGVHVSETQNHVIIL ILTNQTVHRLILPHPSRMYRSELVTESQMQSIFTDIGKVDFRDPCNSQLIPSVPGLSPGS TTSAAWLSSDGEALFALPSASGGIFVLKLPPYDVPGIASVVELKQSSVMQRLLTGWMPTA IRGDHGPSDRALSLAVHCVEHDAFIFALCQDHKLRMWSYKDQMCLMVADMLEYVPVNKDL RLTAGTGHKLRLAYSPSMGLYLGIYMHAPKRGQFCVFQLVSTENNRYSLDHISSLFTSQE TLVDFALTSTDIWALWHDAENQTIVKYINFEHNVAGQWNPVFMQPLPEEEIVIRDDQDPR EMYLRSLFTPGHFINAALCKALQIFCRGTERNLDLSWNELKKEITLAVENELQGSVTEYE FSQDEFRTLQQEFWCKFYACVLQYQEALSHPLALHLNPVTNMVCLLKKGYLSFLVPSSLV DHLYLLPDEHLLTEDETTISDDADVARDVLCLIKCLRMIGESVTMDMAVLMETSCYNLQS PEKAAEHILEDLITIDVENVMEDICSKLQEIRNPVHAIGLLIREMDYETEVEMEKGFDPA QPLNVRMNLSQLYGSSTAGYIVCRGVYKIASTRFLICRDLLILQQLLTRLGDAVILGAGQ LFQAQQDLLHRTAPLLLSYYLIKWASQCLATDVPVDTLESNLQHLSVLELTDSGALMANK LVSSPQTIMELFFQEVARKQIISHLFSQPKAPLSQTGLNWPEMITAVTGYLLQLLWPSNP GCLFLECLMGNCQYVQLQDYIQLLHPWCQVNVGSCRFMLGRCYLVTGEVQKALECFCQAA SEVGKEEFLDRLIRSEDGEIVSTPKLQYYDKVLRLLDVVGLPELVIQLATSAITEAGDDW KSQATLRTCIFKHHLDLGHNSQAYEALTQIPDSSRQLDCLRQLVVVLCERSQLQDLVEFP YVNLHNEVVGIIESRARAVDLMTHNYYELLYAFHIYRHNYRKAGTVMFEYGMRLGREVRT LRGLEKQGNCYLAAINCLRLIRPEYAWIVQPASGAVSDRPGASPKRNHDGECTAAPTNRQ IEILELEDLEKEYSLARIRLTLARHDPSVIAIAGSSSAKEMSALLVQAGLFDTAISLCQT FTLPLTPVFEGLAFKCIKLQFGGEAAQGEAWSWLATNQLSSVITTKESSATDEAWRLLST YLERYKVQNNLYHHCVINKLLSHGVPLPNWLINSYKKVDAAELLRLYLNYDLLEEAVDLV SEYVDAVLGKGHQYFGIEFPLSATAPMVWLPYSSIDQLLQALGENSANSHNIILSQKILD KLEDYQQKVDKATRDLLYRRDL >ENSMUSP00000118666.1 pep:known chromosome:GRCm38:2:177004553:177012787:1 gene:ENSMUSG00000078875.10 transcript:ENSMUST00000126358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14419 description:predicted gene 14419 [Source:MGI Symbol;Acc:MGI:3702410] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSPEQPSDFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLQIHNQTHAGEKQYECNQCGKAFERRSHLQIHKRT HTGEKPYECNQCGKAFARSGVLQKHKRTHTGEKPYECKQCGKAFAVISTLQMHKRTHTGA KPYECKQCGKAFAVIYTLQRHKQTHTGEKPYKCKQCGKTFARSSHLRIHKRTHTGEKPYE CKQCGKAFARSGVLQEHKRTHTGEKPYECKQCGKAFAQSSHLRIHKRTHTGEKPYECNQC GKAFARSGDLQQHKRTHTGEKPYECKQCGKAFAQSSHLRIHKRTHTGEKPYECNQCGKAF ARSDDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYECNQCGKAFAESS TLQIHNRTHTGDKPYECNQCGKAFAVISTLQMHNRTHTGEKPYKCKQCGKAFAQSSHLRI HKRTHTGEKPYECKQCGKAFAQSSHLRIHKQTHTGERPYECN >ENSMUSP00000104603.2 pep:known chromosome:GRCm38:2:177000956:177010984:1 gene:ENSMUSG00000078875.10 transcript:ENSMUST00000108975.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14419 description:predicted gene 14419 [Source:MGI Symbol;Acc:MGI:3702410] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRLRLIQAL >ENSMUSP00000117002.1 pep:known chromosome:GRCm38:2:177000977:177010513:1 gene:ENSMUSG00000078875.10 transcript:ENSMUST00000156844.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14419 description:predicted gene 14419 [Source:MGI Symbol;Acc:MGI:3702410] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000137257.1 pep:known chromosome:GRCm38:2:177009681:177010078:1 gene:ENSMUSG00000078875.10 transcript:ENSMUST00000178182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14419 description:predicted gene 14419 [Source:MGI Symbol;Acc:MGI:3702410] DLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSRS HGR >ENSMUSP00000079210.4 pep:known chromosome:GRCm38:3:130180891:130595132:1 gene:ENSMUSG00000058897.17 transcript:ENSMUST00000080335.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col25a1 description:collagen, type XXV, alpha 1 [Source:MGI Symbol;Acc:MGI:1924268] MLVKKLAGKGGGRESGSEDPRPLGQRCAGTMPSCTALATLLSVVAVAFCFYLGVKTNDLQ ARIAALESAKGTPSFHPLSDTVDELKAMVQEKVERLLAQKSYEHMAKIRTAREAPLECNC PAGPPGKRGKRGRRGESGPPGQPGPQGPPGPKGDKGEQGDQGPRMVFPKINHGFLSADQQ LIKRRLIKGDQGQAGPPGPPGPPGPRGPPGDTGKDGPRGMPGVPGEPGKPGEQGLMGPLG PPGQKGSIGAPGTPGMDGQKGEPGSPGAAGQSGLPGPKGEPGKEGEKGDAGENGPKGDTG EKGDPGSSAAGIKGEPGESGRPGQKGEPGLPGLPGLPGIKGEPGFIGPQGEPGLPGLPGT KGDRGEAGPPGRGERGDPGAPGPKGKQGESGARGPKGSKGDRGDKGDSGALGPRGPPGQK GDPGATEIIDYNGNLHEALQRITTLTVTGPPGPPGPQGLQGPKGEQGSPGIPGVDGEQGL KGSKGDMGDPGVPGEKGGLGLPGLPGANGVKGEKGDTGLPGPQGPSIIGPPGPPGPHGPP GPMGPHGLPGPKGASGLDGKPGSRGADGPIGPHGPAGPKGERGEKGAMGEPGPRGPYGLP GKDGEPGLDGFPGPRGEKGDLGEKGEKGFRGVKGEKGEPGQPGLDGLDAPCQLGPDGLPM PGCWQK >ENSMUSP00000138875.1 pep:known chromosome:GRCm38:3:130180891:130596321:1 gene:ENSMUSG00000058897.17 transcript:ENSMUST00000183368.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Col25a1 description:collagen, type XXV, alpha 1 [Source:MGI Symbol;Acc:MGI:1924268] MLVKKLAGKGGGRESGSEDPRPLGQRCAGTMPSCTALATLLSVVAVAFCFYLGVKTNDLQ ARIAALESAKGTPSFHPLSDTVDELKAMVQEKVERLLAQKSYEHMAKIRTAREAPLECNC PAGPPGKRGKRGRRGESGPPGQPGPQGPPGPKGDKGEQGDQGPRMVFPKINHGFLSADQQ LIKRRLIKGDQGQAGPPGPPGPPGPRGPPGDTGKDGPRGMPGVPGEPGKPGEQGLMGPLG PPGQKGSIGAPGTPGMDGQKGEPGSPGAAGQSGLPGPKGEPGKEGEKGDAGENGPKGDTG EKGDPGSSAAGIKGEPGESGRPGQKGEPGLPGLPGLPGIKGEPGFIGPQGEPGLPGLPGT KGDRGEAGPPGRGERGDPGAPGPKGKQGESGARGPKGSKGDRGDKGDSGALGPRGPPGQK GDPGATEIIDYNGNLHEALQRITTLTVTGPPGPPGPQGLQGPKGEQGSPGIPGVDGEQGL KGSKGDMGDPGCQWSKRRERRHRFARSSGAFYHRPTRPSRSPWPTWSHGAPWTSWTKGSI WLRRKARIPGCRWSYRTPRPCRTQRRKRRERSYGRAWTQRALWAAWQRWRTWS >ENSMUSP00000101960.1 pep:known chromosome:GRCm38:3:130180891:130599877:1 gene:ENSMUSG00000058897.17 transcript:ENSMUST00000106353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col25a1 description:collagen, type XXV, alpha 1 [Source:MGI Symbol;Acc:MGI:1924268] MLVKKLAGKGGGRESGSEDPRPLGQRCAGTMPSCTALATLLSVVAVAFCFYLGVKTNDLQ ARIAALESAKGTPSFHPLSDTVDELKAMVQEKVERLLAQKSYEHMAKIRTAREAPLECNC PAGPPGKRGKRGRRGESGPPGQPGPQGPPGPKGDKGEQGDQGPRGLPGFPTVAALHSNQI LTVKGDQGQAGPPGPPGPPGPRGPPGDTGKDGPRGMPGVPGEPGKPGEQGLMGPLGPPGQ KGSIGAPGTPGMDGQKGEPGSPGAAGQSGLPGPKGDAGENGPKGDTGEKGDPGSSAAGIK GEPGESGRPGQKGEPGFIGPQGEPGLPGLPGTKGDRGEAGPPGRGERGDPGAPGPKGKQG ESGARGPKGSKGDRGDKGDSGALGPRGPPGQKGDPGATEIIDYNGNLHEALQRITTLTVT GPPGPPGPQGLQGPKGEQGSPGIPGVDGEQGLKGSKGDMGDPGVPGEKGGLGLPGLPGAN GVKGEKGDTGLPGPQGPSIIGPPGPPGPHGPPGPMGPHGLPGPKGASGLDGKPGSRGADG PIGPHGPAGPKGERGEKGAMGEPGPRGPYGLPGFPGPRGEKGDLGEKGEKGFRGVKGEKG EPGQPGLDGLDAPCQLGPDGLPMPGCWQKGVTPWLIAKKR >ENSMUSP00000002336.8 pep:known chromosome:GRCm38:7:6671269:6696450:-1 gene:ENSMUSG00000002266.16 transcript:ENSMUST00000002336.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zim1 description:zinc finger, imprinted 1 [Source:MGI Symbol;Acc:MGI:1341879] MKRSVSEVKTKAPVNEASPSPSPDQTANQLSEKKRRIPITSLPTWYKEPVIFKDVAVYFS QKEWQLLEPAQKDLYKDVMLENYENLISVEYYIFKPKLITRLEQGVDLFAKENDVPGDPQ QGEAGVSRSDTSAGKKTGDNSTKAEIRKPDNSKTTSLEKQKAADQGRGSQSLRAEKTSKS DDRPSQNKEKCASTSTTEASKTSIPGNKENESAIPGTSSGQTSAATTTPQSAPSEKPTSG KDVEGKPQTMRSSSTNPKRKPARQGKNHFKCKECGKTFNQTLHLVEHERIHTGEKPHKCD TCGKSFRHLSYFLTHYRIHTGVRPYKCKECGKAFNSSSTLNNHCRIHSGEKPFKCDECGK TFKQSTKLTRHQRIHTGEKPYKCGECNKCFGRSSSLREHKRIHTGEKPYCCQVCGKTFRV NSHLSEHQRLHLKVKPYKCDKCGKHFRNSSYLTEHKQIHVPGARVDCPECGKVFACKVAL LKHQKRHEANSRYRCKGCGKTFRCKSSIQRHERLHAGEKPFVCHKCDKGFTDKTTLNNHL KIHSGDRPDPCAQCGRTFKKLATLLIHQKKHIKKKPTDV >ENSMUSP00000113585.1 pep:known chromosome:GRCm38:7:6676007:6696429:-1 gene:ENSMUSG00000002266.16 transcript:ENSMUST00000122432.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zim1 description:zinc finger, imprinted 1 [Source:MGI Symbol;Acc:MGI:1341879] MKRSVSEVKTKAPVNEASPSPSPDQTANQLSEKKRRIPITSLPTWYKEPVIFKDVAVYFS QKEWQLLEPAQKDLYKDVMLENYENLISVEYYIFKPKLITRLEQGVDLFAKENDVPGDPQ QGEAGVSRSDTSAGKKTGDNSTKAEIRKPDNSKTTSLEKQKAADQGRGSQSLRAEKTSKS DDRPSQNKEKCASTSTTEASKTSIPGNKENESAIPGTSSGQTSAATTTPQSAPSEKPTSG KDVEGKPQTMRSSSTNPKRKPARQGKNHFKCKECGKTFNQTLHLVEHERIHTGEKPHKCD TCGKSFRHLSYFLTHYRIHTGVRPYKCKECGKAFNSSSTLNNHCRIHSGEKPFKCDECGK TFKQSTKLTRHQRIHTGEKPYKCGECNKCFGRSSSLREHKRIHTGEKPYCCQVCGKTFRV NSHLSEHQRLHLKVKPYKCDKCGKHFRNSSYLTEHKQIHVPGARVDCPECGKVFACKVAL LKHQKRHEANSRYRCKGCGKTFRCKSSIQRHERLHAGEKPFVCHKCDKGFTDKTTLNNHL KIHSGDRPDPCAQCGRTFKKLATLLIHQKKHIKKKPTDV >ENSMUSP00000145453.1 pep:known chromosome:GRCm38:7:6676923:6688672:-1 gene:ENSMUSG00000002266.16 transcript:ENSMUST00000203908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zim1 description:zinc finger, imprinted 1 [Source:MGI Symbol;Acc:MGI:1341879] MKRSVSEVKTKAPVNEASPSPSPDQTANQLSEKKRRIPITSLPTWYKEPVIFKDVAVYFS QKEWQLLEPAQKDLYKDVMLENYENLISVEYYIFKPKLITRLEQGVDLFAKENDVPGDPQ QGEAGVSRSDTSAGKKTGDNSTKAEIRKPDNSKTTSLEKQKAADQGRGSQSLRAEKTSKS DDRPSQNKEKCASTSTTEASKTSIPGNKENESAIPGTSSGQTSAATTTPQSAPSEKPTSG KDVEGKPQTMRSSSTNPKRKPARQGKNHFKCKECGKTFNQTLHLVEHERIHTGEKPHKCD TCGKSFRHLSYFLTHYRIHTGVRPYKCKECGKAFNSSSTLNNHCRIHSGEKPFKCDECGK TFKQSTKLTRHQRIHTGEKPYKCGECNKCFGRSSSLREHKRIHTGEKPYCCQVCGKTFRV NSHLSEHQRLHLKVKPYKCDKCGKHFRNSSYLTEHKQIHVPGARVDCPECGKVFACKVAL LKHQKRHEANSRYRCKGCGKTFRCKSSIQRHERLHAGEKPFVCHKCDKGFTDKTTLNNHL KIHSGDRPDPCAQCGRTFKKLATLLIHQKKHIKKKPTDV >ENSMUSP00000142756.1 pep:known chromosome:GRCm38:5:30103584:30106086:-1 gene:ENSMUSG00000086815.4 transcript:ENSMUST00000199573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110082J24Rik description:RIKEN cDNA 3110082J24 gene [Source:MGI Symbol;Acc:MGI:3641994] MRSSGKAPMLQRSPASPAASFSMAWALPGVRRPGTPTASPAQLGLRAHHLLLGRSGAPRL AAQLSLGSHVASLLPRGISPHPHPRPAPRPPGPHPRSAQASFLRKKIN >ENSMUSP00000131958.2 pep:known chromosome:GRCm38:5:30103889:30105359:-1 gene:ENSMUSG00000086815.4 transcript:ENSMUST00000127749.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110082J24Rik description:RIKEN cDNA 3110082J24 gene [Source:MGI Symbol;Acc:MGI:3641994] MRSSGKAPMLQRSPASPAASFSMAWALPGVRRPGTPTASPAQLGLRAHHLLLGRSGAPRL AAQLSLGSHVASLLPRGISPHPHPRPAPRPPGPHPRSAQASFLRKKIN >ENSMUSP00000143138.1 pep:known chromosome:GRCm38:5:139354576:139356667:1 gene:ENSMUSG00000029541.12 transcript:ENSMUST00000197955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2w1 description:cytochrome P450, family 2, subfamily w, polypeptide 1 [Source:MGI Symbol;Acc:MGI:3616076] IDQVMVLLGSPGIQLFNTFPRLGAFLRLHRPVLSKIEEVRTILRTLLETRRPPLPTGGPA QSYVEALLQQGQEDDPEDMFGEANVLACTLDMVMAGTETTAATLQWAVFLMVKHPHVQGR VQEELDRVLGPGQLPQPEHQRALPYTSAVLHEVQRYITLLPHVPRCTAADIQLGGYLLPK GTPVIPLLTSVLLDKTQWETP >ENSMUSP00000031521.8 pep:known chromosome:GRCm38:5:139352617:139357033:1 gene:ENSMUSG00000029541.12 transcript:ENSMUST00000031521.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2w1 description:cytochrome P450, family 2, subfamily w, polypeptide 1 [Source:MGI Symbol;Acc:MGI:3616076] MALLLLGVWGILLLLGLWGLLQGCTRSPSLAPRWPPGPRPLPFLGNLHLLGVTQQDRALM ELSERYGPMFTIHLGSQKTVVLSGYEVVREALVGTGHELADRPPIPIFQHIQRGGGIFFS SGARWRAGRQFTVRTLQSLGVQQPSMVGKVLQELACLKGQLDSYGGQPLPLALLGWAPCN ITFTLLFGQRFDYQDPVFVSLLSLIDQVMVLLGSPGIQLFNTFPRLGAFLRLHRPVLSKI EEVRTILRTLLETRRPPLPTGGPAQSYVEALLQQGQEDDPEDMFGEANVLACTLDMVMAG TETTAATLQWAVFLMVKHPHVQGRVQEELDRVLGPGQLPQPEHQRALPYTSAVLHEVQRY ITLLPHVPRCTAADIQLGGYLLPKGTPVIPLLTSVLLDKTQWETPSQFNPNHFLDAKGRF MKRGAFLPFSAGRRVCVGKSLARTELFLLFAGLLQRYRLLPPPGLSPADLDLRPAPAFTM RPPAQTLCVVPRS >ENSMUSP00000126410.1 pep:known chromosome:GRCm38:7:22163118:22164035:-1 gene:ENSMUSG00000095273.1 transcript:ENSMUST00000169697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r142 description:vomeronasal 1 receptor 142 [Source:MGI Symbol;Acc:MGI:3644264] MSDHGKSVKTTEEVALQLLLLCQFGVGTLANVFLFVHNFSPVLTGSKQRPRQVILSHMAM ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHQFVTLVL VNRGKLILRASVPKFVSYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIVGIVFLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATHTI LMLVVTFVSFYLLNFICIIFHTLLMHSHLFIRLVSEVLAAVFPSICPLLLIFRDPKDPCS VLFNC >ENSMUSP00000058958.7 pep:known chromosome:GRCm38:8:3587293:3609075:1 gene:ENSMUSG00000044433.16 transcript:ENSMUST00000057028.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap3 description:calmodulin regulated spectrin-associated protein family, member 3 [Source:MGI Symbol;Acc:MGI:1916947] MVEAAPAGSGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPPELWEPF YTDQYAQEHVKPPVTRLLLSAELYCRAWRQALPQLEPSPSPSALLALLARRGTVPSLPEH PVREADLKHQPILMGAHLAVIDALMVAFSFEWTKTLPGPLALSSLEHKLLFWVDTTVRRL QEKTEQEAAQRASPAAPLDGASPAQPSIRYRKDRAIARRAPCFPNVTTLQDLASGAALAA TIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLVQDFCASHLPRGCPLSLEDLLYVPPPLK VNLVVLLAEMYMCFEVLKPDFVQAKDLPDGHAVSPRNTETVPSQNNSGSSSPVFNFRHPL LSPGGPQSPLRGSTGSLKSSPSMSHMEALGKAWNRQLSRPLSQAVSFSTPFGLDSDVDVV MGDPVLLRSVSSDSLGPPRPVSTSSRNSAQPAPESGDLPTIEEALQIIHSAEPRLLPDGA ADGSFYLHSPEGLSKPPLSPYPPEGASKPLSDRLNKAPIYISHPENPSKSSPCSTGEILK PPPPSEGSPKAVASSPAANNSEVKMTSFAERKKQLVKAEAESGLGSPTSTPVAPEALSSE MSELGARLEEKRRAIEAQKRRIEAIFAKHRQRLGKSAFLQVQPREAAGEAEEEAELGSVP GGERPAGEGQGEPSLRHKSVTFSPDLGPVPPEGLGDYNRAVSKLSAALSSLQRDMQRLTD QQQRLLAPPEAPGPAPPPAAWVIPGPATGPKAASPSPARRAPAARRSPGPGPSPTPRSPK HARPAELKLAPLTRVLTPPHDVDSLPHLRKFSPSQVPVQTRSSILLSEGTPPEEPTTKPA LIEIPLASLGEPAADEEGDGSPPGAEDSLEEEASSEGEPRSGLGFFYKDEDKPEDEMAQK RASLLERQQRRVEEARRRKQWQEAEKEQKREEAARLAQEAPGLAFTTPVVASAAPVATLA PTTRAMAPAEEEVGPRRGDFTRLEYERRAQLKLMDDLDKVLRPRASGTGGPGRGGRRATR PRSGCCDDSALARSPARGLLGSRLSKVYSQSTLSLSTVANEAPNNLGVKRPTSRAPSPSG LMSPSRLPGSRERDWENGSNASSPASVPEYTGPRLYKEPSAKSNKFIIHNALSHCCLAGK VNEPQKNRILEEIEKSKANHFLILFRDSSCQFRALYTLSGETEELSRLAGYGPRTVTPAM VEGIYKYNSDRKRFTQIPAKTMSMSVDAFTIQGHLWQSKKPTTPKKGGGTPK >ENSMUSP00000125993.1 pep:known chromosome:GRCm38:8:3587794:3609048:1 gene:ENSMUSG00000044433.16 transcript:ENSMUST00000171962.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap3 description:calmodulin regulated spectrin-associated protein family, member 3 [Source:MGI Symbol;Acc:MGI:1916947] MVEAAPAGSGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPPELWEPF YTDQYAQEHVKPPVTRLLLSAELYCRAWRQALPQLEPSPSPSALLALLARRGTVPSLPEH PVREADLKHQPILMGAHLAVIDALMVAFSFEWTKTLPGPLALSSLEHKLLFWVDTTVRRL QEKTEQEAAQRASPAAPLDGASPAQPSIRYRKDRAIARRAPCFPNVTTLQDLASGAALAA TIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLVQDFCASHLPRGCPLSLEDLLYVPPPLK VNLVVLLAEMYMCFEVLKPDFVQAKDLPDGHVAVSPRNTETVPSQNNSGSSSPVFNFRHP LLSPGGPQSPLRGSTGSLKSSPSMSHMEALGKAWNRQLSRPLSQAVSFSTPFGLDSDVDV VMGDPVLLRSVSSDSLGPPRPVSTSSRNSAQPAPESGDLPTIEEALQIIHSAEPRLLPDG AADGSFYLHSPEGLSKPPLSPYPPEGASKPLSDRLNKAPIYISHPENPSKSSPCSTGEIL KPPPPSEGSPKAVASSPAANNSEVKMTSFAERKKQLVKAEAESGLGSPTSTPVAPEALSS EMSELGARLEEKRRAIEAQKRRIEAIFAKHRQRLGKSAFLQVQPREAAGEAEEEAELGSV PGGERPAGEGQGEPSLRHKSVTFSPDLGPVPPEGLGDYNRAVSKLSAALSSLQRDMQRLT DQQQRLLAPPEAPGPAPPPAAWVIPGPATGPKAASPSPARRAPAARRSPGPGPSPTPRSP KHARPAELKLAPLTRVLTPPHDVDSLPHLRKFSPSQVPVQTRSSILLSEGTPPEEPTTKP ALIEIPLASLGEPAADEEGDGSPPGAEDSLEEEASSEGEPRSGLGFFYKDEDKPEDEMAQ KRASLLERQQRRVEEARRRKQWQEAEKEQKREEAARLAQEAPGLAFTTPVVASAAPVATL APTTRAMAPAEEEVGPRRGDFTRLEYERRAQLKLMDDLDKVLRPRASGTGGPGRGGRRAT RPRSGCCDDSALARSPARGLLGSRLSKVYSQSTLSLSTVANEAPNNLGVKRPTSRAPSPS GLMSPSRLPGSRERDWENGSNASSPASVPEYTGPRLYKEPSAKSNKFIIHNALSHCCLAG KVNEPQKNRILEEIEKSKANHFLILFRDSSCQFRALYTLSGETEELSRLAGYGPRTVTPA MVEGIYKYNSDRKRFTQIPAKTMSMSVDAFTIQGHLWQSKKPTTPKKGGGTPK >ENSMUSP00000146565.1 pep:known chromosome:GRCm38:8:3587812:3608928:1 gene:ENSMUSG00000044433.16 transcript:ENSMUST00000207712.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap3 description:calmodulin regulated spectrin-associated protein family, member 3 [Source:MGI Symbol;Acc:MGI:1916947] MVEAAPAGSGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPPELWEPF YTDQYAQEHVKPPVTRLLLSAELYCRAWRQALPQLEPSPSPSALLALLARRGTVPSLPEH PVREADLKHQPILMGAHLAVIDALMVAFSFEWTKTLPGPLALSSLEHKLLFWVDTTVRRL QEKTEQEAAQRASPAAPLDGASPAQPSIRYRKDRAIARRAPCFPNVTTLQDLASGAALAA TIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLVQDFCASHLPRGCPLSLEDLLYVPPPLK VNLVVLLAEMYMCFEVLKPDFVQAKDLPDGHVAVSPRNTETVPSQNNSGSSSPVFNFRHP LLSPGGPQSPLRGSTGSLKSSPSMSHMEALGKAWNRQLSQVPVQTRSSILLSEGTPPEEP TTKPALIEIPLASLGEPAADEEGDGSPPGAEDSLEEEASSEGEPRSGLGFFYKDEDKPED EMAQKRASLLERQQRRVEEARRRKQWQEAEKEQKREEAARLAQEAPGLAFTTPVVASAAP VATLAPTTRAMAPAEEEVGPRRGDFTRLEYERRAQLKLMDDLDKVLRPRASGTGGPGRGG RRATRPRSGCCDDSALARSPARGLLGSRLSKVYSQSTLSLSTVANEAPNNLGVKRPTSRA PSPSGLMSPSRLPGSRERDWENGSNASSPASVPEYTGPRLYKEPSAKSNKFIIHNALSHC CLAGKVNEPQKNRILEEIEKSKANHFLILFRDSSCQFRALYTLSGETEELSRLAGYGPRT VTPAMVEGIYKYNSDRKRFTQIPAKTMSMSVDAFTIQGHLWQSKKPTTPKKGGGTPK >ENSMUSP00000146772.1 pep:known chromosome:GRCm38:8:3587812:3608928:1 gene:ENSMUSG00000044433.16 transcript:ENSMUST00000207970.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap3 description:calmodulin regulated spectrin-associated protein family, member 3 [Source:MGI Symbol;Acc:MGI:1916947] MVEAAPAGSGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPPELWEPF YTDQYAQEHVKPPVTRLLLSAELYCRAWRQALPQLEPSPSPSALLALLARRGTVPSLPEH PVREADLKHQPILMGAHLAVIDALMVAFSFEWTKTLPGPLALSSLEHKLLFWVDTTVRRL QEKTEQEAAQRASPAAPLDGASPAQPSCPTRWYWKLVPIRYRKDRAIARRAPCFPNVTTL QDLASGAALAATIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLVQDFCASHLPRGCPLSL EDLLYVPPPLKVNLVVLLAEMYMCFEVLKPDFVQAKDLPDGHAVSPRNTETVPSQNNSGS SSPVFNFRHPLLSPGGPQSPLRGSTGSLKSSPSMSHMEALGKAWNRQLSRPLSQAVSFST PFGLDSDVDVVMGDPVLLRSVSSDSLGPPRPVSTSSRNSAQPAPESGDLPTIEEALQIIH SAEPRLLPDGAADGSFYLHSPEGLSKPPLSPYPPEGASKPLSDRLNKAPIYISHPENPSK SSPCSTGEILKPPPPSEGSPKAVASSPAANNSEVKMTSFAERKKQLVKAEAESGLGSPTS TPVAPEALSSEMSELGARLEEKRRAIEAQKRRIEAIFAKHRQRLGKSAFLQVQPREAAGE AEEEAELGSVPGGERPAGEGQGEPSLRHKSVTFSPDLGPVPPEGLGDYNRAVSKLSAALS SLQRDMQRLTDQQQRLLAPPEAPGPAPPPAAWVIPGPATGPKAASPSPARRAPAARRSPG PGPSPTPRSPKHARPAELKLAPLTRVLTPPHDVDSLPHLRKFSPSQVPVQTRSSILLSEG TPPEEPTTKPALIEIPLASLGEPAADEEGDGSPPGAEDSLEEEASSEGEPRSGLGFFYKD EDKPEDEMAQKRASLLERQQRRVEEARRRKQWQEAEKEQKREEAARLAQEAPGLAFTTPV VASAAPVATLAPTTRAMAPAEEEVGPRRGDFTRLEYERRAQLKLMDDLDKVLRPRASGTG GPGRGGRRATRPRSGCCDDSALARSPARGLLGSRLSKVYSQSTLSLSTVANEAPNNLGVK RPTSRAPSPSGLMSPSRLPGSRERDWENGSNASSPASVPEYTGPRLYKEPSAKSNKFIIH NALSHCCLAGKVNEPQKNRILEEIEKSKANHFLILFRDSSCQFRALYTLSGETEELSRLA GYGPRTVTPAMVEGIYKYNSDRKRFTQIPAKTMSMSVDAFTIQGHLWQSKKPTTPKKGGG TPK >ENSMUSP00000147209.1 pep:known chromosome:GRCm38:8:3587812:3608928:1 gene:ENSMUSG00000044433.16 transcript:ENSMUST00000207533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap3 description:calmodulin regulated spectrin-associated protein family, member 3 [Source:MGI Symbol;Acc:MGI:1916947] MVEAAPAGSGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPPELWEPF YTDQYAQEHVKPPVTRLLLSAELYCRAWRQALPQLEPSPSPSALLALLARRGTVPSLPEH PVREADLKHQPILMGAHLAVIDALMVAFSFEWTKTLPGPLALSSLEHKLLFWVDTTVRRL QEKTEQEAAQRASPAAPLDGASPAQPSHAIAFCLKESGNKPPMIRYRKDRAIARRAPCFP NVTTLQDLASGAALAATIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLVQDFCASHLPRG CPLSLEDLLYVPPPLKVNLVVLLAEMYMCFEVLKPDFVQAKDLPDGHAVSPRNTETVPSQ NNSGSSSPVFNFRHPLLSPGGPQSPLRGSTGSLKSSPSMSHMEALGKAWNRQLSQVPVQT RSSILLSEGTPPEEPTTKPALIEIPLASLGEPAADEEGDGSPPGAEDSLEEEASSEGEPR SGLGFFYKDEDKPEDEMAQKRASLLERQQRRVEEARRRKQWQEAEKEQKREEAARLAQEA PGLAFTTPVVASAAPVATLAPTTRAMAPAEEEVGPRRGDFTRLEYERRAQLKLMDDLDKV LRPRASGTGGPGRGGRRATRPRSGCCDDSALARSPARGLLGSRLSKVYSQSTLSLSTVAN EAPNNLGVKRPTSRAPSPSGLMSPSRLPGSRERDWENGSNASSPASVPEYTGPRLYKEPS AKSNKFIIHNALSHCCLAGKVNEPQKNRILEEIEKSKANHFLILFRDSSCQFRALYTLSG ETEELSRLAGYGPRTVTPAMVEGIYKYNSDRKRFTQIPAKTMSMSVDAFTIQGHLWQSKK PTTPKKGGGTPK >ENSMUSP00000146359.1 pep:known chromosome:GRCm38:8:3587812:3608928:1 gene:ENSMUSG00000044433.16 transcript:ENSMUST00000208240.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap3 description:calmodulin regulated spectrin-associated protein family, member 3 [Source:MGI Symbol;Acc:MGI:1916947] MVEAAPAGSGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPPELWEPF YTDQYAQEHVKPPVTRLLLSAELYCRAWRQALPQLEPSPSPSALLALLARRGTVPSLPEH PVREADLKHQPILMGAHLAVIDALMVAFSFEWTKTLPGPLALSSLEHKLLFWVDTTVRRL QEKTEQEAAQRASPAAPLDGASPAQPSCPTRWYWKLVPIRYRKDRAIARRAPCFPNVTTL QDLASGAALAATIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLVQDFCASHLPRGCPLSL EDLLYVPPPLKVNLVVLLAEMYMCFEVLKPDFVQAKDLPDGHVAVSPRNTETVPSQNNSG SSSPVFNFRHPLLSPGGPQSPLRGSTGSLKSSPSMSHMEALGKAWNRQLSQVPVQTRSSI LLSEGTPPEEPTTKPALIEIPLASLGEPAADEEGDGSPPGAEDSLEEEASSEGEPRSGLG FFYKDEDKPEDEMAQKRASLLERQQRRVEEARRRKQWQEAEKEQKREEAARLAQEAPGLA FTTPVVASAAPVATLAPTTRAMAPAEEEVGPRRGDFTRLEYERRAQLKLMDDLDKVLRPR ASGTGGPGRGGRRATRPRSGCCDDSALARSPARGLLGSRLSKVYSQSTLSLSTVANEAPN NLGVKRPTSRAPSPSGLMSPSRLPGSRERDWENGSNASSPASVPEYTGPRLYKEPSAKSN KFIIHNALSHCCLAGKVNEPQKNRILEEIEKSKANHFLILFRDSSCQFRALYTLSGETEE LSRLAGYGPRTVTPAMVEGIYKYNSDRKRFTQIPAKTMSMSVDAFTIQGHLWQSKKPTTP KKGGGTPK >ENSMUSP00000146896.1 pep:known chromosome:GRCm38:8:3587812:3608928:1 gene:ENSMUSG00000044433.16 transcript:ENSMUST00000207432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap3 description:calmodulin regulated spectrin-associated protein family, member 3 [Source:MGI Symbol;Acc:MGI:1916947] MVEAAPAGSGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPPELWEPF YTDQYAQEHVKPPVTRLLLSAELYCRAWRQALPQLEPSPSPSALLALLARRGTVPSLPEH PVREADLKHQPILMGAHLAVIDALMVAFSFEWTKTLPGPLALSSLEHKLLFWVDTTVRRL QEKTEQEAAQRASPAAPLDGASPAQPSCPTRWYWKLVPHAIAFCLKESGNKPPMIRYRKD RAIARRAPCFPNVTTLQDLASGAALAATIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLV QDFCASHLPRGCPLSLEDLLYVPPPLKVNLVVLLAEMYMCFEVLKPDFVQAKDLPDGHAV SPRNTETVPSQNNSGSSSPVFNFRHPLLSPGGPQSPLRGSTGSLKSSPSMSHMEALGKAW NRQLSRPLSQAVSFSTPFGLDSDVDVVMGDPVLLRSVSSDSLGPPRPVSTSSRNSAQPAP ESGDLPTIEEALQIIHSAEPRLLPDGAADGSFYLHSPEGLSKPPLSPYPPEGASKPLSDR LNKAPIYISHPENPSKSSPCSTGEILKPPPPSEGSPKAVASSPAANNSEVKMTSFAERKK QLVKAEAESGLGSPTSTPVAPEALSSEMSELGARLEEKRRAIEAQKRRIEAIFAKHRQRL GKSAFLQVQPREAAGEAEEEAELGSVPGGERPAGEGQGEPSLRHKSVTFSPDLGPVPPEG LGDYNRAVSKLSAALSSLQRDMQRLTDQQQRLLAPPEAPGPAPPPAAWVIPGPATGPKAA SPSPARRAPAARRSPGPGPSPTPRSPKHARPAELKLAPLTRVLTPPHDVDSLPHLRKFSP SQVPVQTRSSILLSEGTPPEEPTTKPALIEIPLASLGEPAADEEGDGSPPGAEDSLEEEA SSEGEPRSGLGFFYKDEDKPEDEMAQKRASLLERQQRRVEEARRRKQWQEAEKEQKREEA ARLAQEAPGLAFTTPVVASAAPVATLAPTTRAMAPAEEEVGPRRGDFTRLEYERRAQLKL MDDLDKVLRPRASGTGGPGRGGRRATRPRSGCCDDSALARSPARGLLGSRLSKVYSQSTL SLSTVANEAPNNLGVKRPTSRAPSPSGLMSPSRLPGSRERDWENGSNASSPASVPEYTGP RLYKEPSAKSNKFIIHNALSHCCLAGKVNEPQKNRILEEIEKSKANHFLILFRDSSCQFR ALYTLSGETEELSRLAGYGPRTVTPAMVEGIYKYNSDRKRFTQIPAKTMSMSVDAFTIQG HLWQSKKPTTPKKGGGTPK >ENSMUSP00000146852.1 pep:known chromosome:GRCm38:8:3587812:3608928:1 gene:ENSMUSG00000044433.16 transcript:ENSMUST00000207077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap3 description:calmodulin regulated spectrin-associated protein family, member 3 [Source:MGI Symbol;Acc:MGI:1916947] MVEAAPAGSGPLRRTFLVPEIKSLDQYDFSRAKAAASLAWVLRAAFGGAEHVPPELWEPF YTDQYAQEHVKPPVTRLLLSAELYCRAWRQALPQLEPSPSPSALLALLARRGTVPSLPEH PVREADLKHQPILMGAHLAVIDALMVAFSFEWTKTLPGPLALSSLEHKLLFWVDTTVRRL QEKTEQEAAQRASPAAPLDGASPAQPSHAIAFCLKESGNKPPMIRYRKDRAIARRAPCFP NVTTLQDLASGAALAATIHCYCPQLLRLEEVCLKDPMSVADSLYNLQLVQDFCASHLPRG CPLSLEDLLYVPPPLKVNLVVLLAEMYMCFEVLKPDFVQAKDLPDGHAVSPRNTETVPSQ NNSGSSSPVFNFRHPLLSPGGPQSPLRGSTGSLKSSPSMSHMEALGKAWNRQLSRPLSQA VSFSTPFGLDSDVDVVMGDPVLLRSVSSDSLGPPRPVSTSSRNSAQPAPESGDLPTIEEA LQIIHSAEPRLLPDGAADGSFYLHSPEGLSKPPLSPYPPEGASKPLSDRLNKAPIYISHP ENPSKSSPCSTGEILKPPPPSEGSPKAVASSPAANNSEVKMTSFAERKKQLVKAEAESGL GSPTSTPVAPEALSSEMSELGARLEEKRRAIEAQKRRIEAIFAKHRQRLGKSAFLQVQPR EAAGEAEEEAELGSVPGGERPAGEGQGEPSLRHKSVTFSPDLGPVPPEGLGDYNRAVSKL SAALSSLQRDMQRLTDQQQRLLAPPEAPGPAPPPAAWVIPGPATGPKAASPSPARRAPAA RRSPGPGPSPTPRSPKHARPAELKLAPLTRVLTPPHDVDSLPHLRKFSPSQVPVQTRSSI LLSEGTPPEEPTTKPALIEIPLASLGEPAADEEGDGSPPGAEDSLEEEASSEGEPRSGLG FFYKDEDKPEDEMAQKRASLLERQQRRVEEARRRKQWQEAEKEQKREEAARLAQEAPGLA FTTPVVASAAPVATLAPTTRAMAPAEEEVGPRRGDFTRLEYERRAQLKLMDDLDKVLRPR ASGTGGPGRGGRRATRPRSGCCDDSALARSPARGLLGSRLSKVYSQSTLSLSTVANEAPN NLGVKRPTSRAPSPSGLMSPSRLPGSRERDWENGSNASSPASVPEYTGPRLYKEPSAKSN KFIIHNALSHCCLAGKVNEPQKNRILEEIEKSKANHFLILFRDSSCQFRALYTLSGETEE LSRLAGYGPRTVTPAMVEGIYKYNSDRKRFTQIPAKTMSMSVDAFTIQGHLWQSKKPTTP KKGGGTPK >ENSMUSP00000147231.1 pep:known chromosome:GRCm38:8:3588051:3598927:1 gene:ENSMUSG00000044433.16 transcript:ENSMUST00000208036.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap3 description:calmodulin regulated spectrin-associated protein family, member 3 [Source:MGI Symbol;Acc:MGI:1916947] MGAHLAVIDALMVAFSFEWTKTLPGPLALSSLEHKLLFWVDTTVRRLQEKTEQ >ENSMUSP00000147126.1 pep:known chromosome:GRCm38:8:3606338:3609042:1 gene:ENSMUSG00000044433.16 transcript:ENSMUST00000207152.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Camsap3 description:calmodulin regulated spectrin-associated protein family, member 3 [Source:MGI Symbol;Acc:MGI:1916947] XEEARRRKQWQEAEKEQKREEAARFTAQQGLFPVHAVPIYGGQRGSQ >ENSMUSP00000110047.1 pep:known chromosome:GRCm38:X:73891442:73911336:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000114405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] MAAHGKLRRERGLQPEYDAQVKEMRWQLSEQLHCLELQGELRRDLLQELAEFMRRRAEVE LEYSRGLDKLAERFTSRSGRLGGSSQEQQSFRKEPTLLSPLHCWAVLLEHTRQQSRESAA LSEVLAGPLAQRLSHISEDVGRIVKKSKDLVQQLQAELLEVVSELQTQGYFAWASLQTKK MYHVYHSESMNAETKLREAERQEEKRSGRSALSTTTTTSATTTTTTTTVETGPHRKSSLK KGGRLVEKRQAKYLEHKLKCTKARNEYLLSLASVNAAISNYYLHDILDLMDCCDTGFHLA LEQALRSYTAAESRTQTSQMQGLGSLEEALEALDPPGDKAKVLEVHAMAFCPPLRFEYQP HEDDEVAEVLIEMELWDEILPRAQNIQSRLDQKTIETEEVNKTLKATLKALLEAVASEDG DILDSLQASPSTESLKSTSSDPGTRQTGRRRNQQQETETFYITKLQEYLSGRSILSKLQA KHEKLQEAIQQGNKEKQETSRTQCTERKFHKSHPPHPRFQYNQRLFGGDLEKFIQSSGQP VPLVVESCIRFINLNGLQHEGIFRVSGAQARISEIRDAFERGEDPLEKGCTVHDLDSVAG VLKLYFRSLEPPLFPLDMFNELLASAELEVVGERVEPVSHLLFKLPRPVLVVLRYLFTFL NHLAQYSDENMMDSYNLAVCFGPTLLPVPAGQDPVALQGRVNQLVQTLILQPARIFPPPA MLPGPIYEKCMAPPSASCLGDGQLESLIGEPELELKTGTTAQEDDQEGVLEAVACFAYTG RTAQELTFQRGDVLRLYARASSDWWRGEHAGVQGLIPHKYITLCEGDEKQMAGSGLQAMG ESVSHPEGFLTLEFANRLELGTPPEALGPSRHRRPCLVPTSPEGHVEMDKAVAQNMNSVF KELLGKTAVRQGHGLASTASPSLGTLTPLACSSISKNKVFSRGPGAPISPSTSHPQGPDS TRKPV >ENSMUSP00000067477.6 pep:known chromosome:GRCm38:X:73894352:73911330:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000064376.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] MAAHGKLRRERGLQPEYDAQVKEMRWQLSEQLHCLELQGELRRDLLQELAEFMRRRAEVE LEYSRGLDKLAERFTSRSGRLGGSSQEQQSFRKEPTLLSPLHCWAVLLEHTRQQSRESAA LSEVLAGPLAQRLSHISEDVGRIVKKSKDLVQQLQAELLEVVSELQTTKKMYHVYHSESM NAETKLREAERQEEKRSGRSALSTTTTTSATTTTTTTTVETGPHRKSSLKKGGRLVEKRQ AKYLEHKLKCTKARNEYLLSLASVNAAISNYYLHDILDLMDCCDTGFHLALEQALRSYTA AESRTQTSQMQGLGSLEEALEALDPPGDKAKVLEVHAMAFCPPLRFEYQPHEDDEVAEVL IEMELWDEILPRAQNIQSRLDQKTIETEEVNKTLKATLKALLEAVASEDGDILDSLQASP STESLKSTSSDPGTRQTGRRRNQQQETETFYITKLQEYLSGRSILSKLQAKHEKLQEAIQ QGNKEKQETSRTQCTERKFHKSHPPHPRFQYNQRLFGGDLEKFIQSSGQPVPLVVESCIR FINLNGLQHEGIFRVSGAQARISEIRDAFERGEDPLEKGCTVHDLDSVAGVLKLYFRSLE PPLFPLDMFNELLASAELEVVGERVEPVSHLLFKLPRPVLVVLRYLFTFLNHLAQYSDEN MMDSYNLAVCFGPTLLPVPAGQDPVALQGRVNQLVQTLILQPARIFPPPAMLPGPIYEKC MAPPSASCLGDGQLESLIGEPELELKTGTTAQEDDQEGVLEAVACFAYTGRTAQELTFQR GDVLRLYARASSDWWRGEHAGVQGLIPHKYITLCEGDEKQMAGSGLQAMGESVSHPEGFL TLEFANRLELGTPPEALGPSRHRRPCLVPTSPEGHVEMDKAVAQNMNSVFKELLGKTAVR QGHGLASTASPSLGTLTPLACSSISKNKVFSRGPGAPISPSTSHPQGPDSTRKPV >ENSMUSP00000110048.2 pep:known chromosome:GRCm38:X:73894358:73911296:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000114406.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] MAAHGKLRRERGLQPEYDAQVKEMRWQLSEQLHCLELQGELRRDLLQELAEFMRRRAEVE LEYSRGLDKLAERFTSRSGRLGGSSQEQQSFRKEPTLLSPLHCWAVLLEHTRQQSRESAA LSEVLAGPLAQRLSHISEDVGRIVKKSKDLVQQLQAELLEVVSELQTVAEVLIEMELWDE ILPRAQNIQSRLDQKTIETEEVNKTLKATLKALLEAVASEDGDILDSLQASPSTESLKST SSDPGTRQTGRRRNQQQETETFYITKLQEYLSGRSILSKLQAKHEKLQEAIQQGNKEKQE TSRTQCTERKFHKSHPPHPRFQYNQRLFGGDLEKFIQSSGQPVPLVVESCIRFINLNGLQ HEGIFRVSGAQARISEIRDAFERGEDPLEKGCTVHDLDSVAGVLKLYFRSLEPPLFPLDM FNELLASAELEVVGERVEPVSHLLFKLPRPVLVVLRYLFTFLNHLAQYSDENMMDSYNLA VCFGPTLLPVPAGQDPVALQGRVNQLVQTLILQPARIFPPPAMLPGPIYEKCMAPPSASC LGDGQLESLIGEPELELKTGTTAQEDDQEGVLEAVACFAYTGRTAQELTFQRGDVLRLYA RASSDWWRGEHAGVQGLIPHKYITLCEGDEKQMAGSGLQAMGESVSHPEGFLTLEFANRL ELGTPPEALGPSRHRRPCLVPTSPEGHVEMDKAVAQNMNSVFKELLGKTAVRQGHGLAST ASPSLGTLTPLACSSISKNKVFSRGPGAPISPSTSHPQGPDSTRKPV >ENSMUSP00000120650.1 pep:known chromosome:GRCm38:X:73894532:73911271:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000130976.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] MAAHGKLRRERGLQPEYDAQVKEMRWQLSEQLHCLELQGELRRDLLQELAEFMRRRAEVE LEYSRGLDKLAERFTSRSGRLGGSSQEQQSFRKEPTLLSPLHCWAVLLEHTRQQSRESAA LSEVLAGPLAQRLSHISEDVGRIVKKSKDLVQQLQAELLEVVSELQTTKKMYHVYHSESM NAETKLREAERQEEKRSGRSALSTTTTTSATTTTTTTTVETGPHRKSSLKKGGRLVEKRQ AKYLEHKLKCTKARNEYLLSLASVNAAISNYYLHDILDLMDCCDTGFHLALEQALRSYTA AESRTQTSQMQGLGSLEEALEALDPPGDKAKVLEVHAMAFCPPLRFEYQPHEDDEVAEVL IEMELWDEILPRAQNIQSRLDQKTIETEEVNKTLKATLKALLEAVASEDGDILDSLQASP STESLKSTSSDPGTRQTGRRRNQQQETETFYITKLQEYLSGRSILSKLQAKHEKLQEAIQ QGNKEKQETSRTQCTERKFHKSHPPHPRFQYNQRLFGGDLEKFIQSSGQPVPLVVESCIR FINLNGLQHEGIFRVSGAQARISEIRDAFERGEDPLEKGCTVHDLDSVAGVLKLYFRSLE PPLFPLDMFNELLASAELEVVGERVEPVSHLLFKLPRPVLVVLRYLFTFLNHLAQYSDEN MMDSYNLAVCFGPTLLPVPAGQDPVALQGRVNQLVQTLILQPARIFPPPAMLPGPIYEKC MAPPSASCLGDGQLESLIGEPELELKTGTTAQEDDQEGVLEAVACFAYTGRTAQELTFQR GDVLRLYARASSDWWRGEHAGVQGLIPHKYITLCEGDEKQMAGSGLQAMGESVSHPEGFL TLEFANR >ENSMUSP00000110049.2 pep:known chromosome:GRCm38:X:73894532:73911271:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000114407.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] MAAHGKLRRERGLQPEYDAQVKEMRWQLSEQLHCLELQGELRRDLLQELAEFMRRRAEVE LEYSRGLDKLAERFTSRSGRLGGSSQEQQSFRKEPTLLSPLHCWAVLLEHTRQQSRESAA LSEVLAGPLAQRLSHISEDVGRIVKKTKKMYHVYHSESMNAETKLREAERQEEKRSGRSA LSTTTTTSATTTTTTTTVETGPHRKSSLKKGGRLVEKRQAKYLEHKLKCTKARNEYLLSL ASVNAAISNYYLHDILDLMDCCDTGFHLALEQALRSYTAAESRTQTSQMQGLGSLEEALE ALDPPGDKAKVLEVHAMAFCPPLRFEYQPHEDDEVAEVLIEMELWDEILPRAQNIQSRLD QKTIETEEVNKTLKATLKALLEAVASEDGDILDSLQASPSTESLKSTSSDPGTRQTGRRR NQQQETETFYITKLQEYLSGRSILSKLQAKHEKLQEAIQQGNKEKQETSRTQCTERKFHK SHPPHPRFQYNQRLFGGDLEKFIQSSGQPVPLVVESCIRFINLNGLQHEGIFRVSGAQAR ISEIRDAFERGEDPLEKGCTVHDLDSVAGVLKLYFRSLEPPLFPLDMFNELLASAELEVV GERVEPVSHLLFKLPRPVLVVLRYLFTFLNHLAQYSDENMMDSYNLAVCFGPTLLPVPAG QDPVALQGRVNQLVQTLILQPARIFPPPAMLPGPIYEKCMAPPSASCLGDGQLESLIGEP ELELKTGTTAQEDDQEGVLEAVACFAYTGRTAQELTFQRGDVLRLYARASSDWWRGEHAG VQGLIPHKYITLCEGDEKQMAGSGLQAMGESVSHPEGFLTLEFANRLELGTPPEALGPSR HRRPCLVPTSPEGHVEMDKAVAQNMNSVFKELLGKTAVRQGHGLASTASPSLGTLTPLAC SSISKNKVFSRGPGAPISPSTSHPQGPDSTRKPV >ENSMUSP00000117602.1 pep:known chromosome:GRCm38:X:73894538:73911271:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000140393.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] MAAHGKLRRERGLQPEYDAQVKEMRWQLSEQLHCLELQGELRRDLLQELAEFMRRRAEVE LEYSRGLDKLAERFTSRSGRLGGSSQEQQSFRKEPTLLSPLHCWAVLLEHTRQQSRESAA LSEVLAGPLAQRLSHISEDVGRIVKKSKDLVQQLQAELLEVVSELQTTKKMYHVYHSESM NAETKLREAERQEEKRSGRSALSTTTTTSATTTTTTTTVETGPHRKSSLKKGGRLVEKRQ AKYLEHKLKCTKARNEYLLSLASVNAAISNYYLHDILDLMDCCDTGFHLALEQALRSYTA AESRTQTSQMQGLGSLEEALEALDPPGDKAKVLEVHAMAFCPPLRFEYQPHEDDEVAEVL IEMELWDEILPRAQNIQSRLDQKTIETEEVNKTLKATLKALLEAVASEDGDILDSLQASP STESLKSTSSDPGTRQTGRRRNQQQETETFYITKLQEYLSGRSILSKLQAKHEKLQEAIQ QGNKEKQETSRTQCTERKFHKSHPPHPRFQYNQRLFGGDLEKFIQSSGQPVPLVVESCIR FINLNGEDPLEKGCTVHDLDSVAGVLKLYFRSLEPPLFPLDMFNELLASAGMASWRASLG SQSWS >ENSMUSP00000110046.1 pep:known chromosome:GRCm38:X:73894676:73911229:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000114404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] MAAHGKLRRERGLQPEYDAQVKEMRWQLSEQLHCLELQGELRRDLLQELAEFMRRRAEVE LEYSRGLDKLAERFTSRSGRLGGSSQEQQSFRKEPTLLSPLHCWAVLLEHTRQQSRESAA LSEVLAGPLAQRLSHISEDVGRIVKKSKDLVQQLQAELLEVVSELQTTKKMYHVYHSESM NAETKLREAERQEEKRSGRSALSTTTTTSATTTTTTTTVETGPHRKSSLKKGGRLVEKRQ AKYLEHKLKCTKARNEYLLSLASVNAAISNYYLHDILDLMDCCDTGFHLALEQALRSYTA AESRTQTSQMQGLGSLEEALEALDPPGDKAKVLEVHAMAFCPPLRFEYQPHEDDEVAEVL IEMELWDEILPRAQNIQSRLDQKTIETEEVNKTLKATLKALLEAVASEDGDILDSLQASP STESLKSTSSDPGTRQTGRRRNQQQETETFYITKLQEYLSGRSILSKLQAKHEKLQEAIQ QGNKEKQETSRTQCTERKFHKSHPPHPRFQYNQRLFGGDLEKFIQSSGQPVPLVVESCIR FINLNGAQARISEIRDAFERGEDPLEKGCTVHDLDSVAGVLKLYFRSLEPPLFPLDMFNE LLASAELEVVGERVEPVSHLLFKLPRPVLVVLRYLFTFLNHLAQYSDENMMDSYNLAVCF GPTLLPVPAGQDPVALQGRVNQLVQTLILQPARIFPPPAMLPGPIYEKCMAPPSASCLGD GQLESLIGEPELELKTGTTAQEDDQEGVLEAVACFAYTGRTAQELTFQRGDVLRLYARAS SDWWRGEHAGVQGLIPHKYITLCEGDEKQMAGSGLQAMGESVSHPEGFLTLEFANRLELG TPPEALGPSRHRRPCLVPTSPEGHVEMDKAVAQNMNSVFKELLGKTAVRQGHGLASTASP SLGTLTPLACSSISKNKVFSRGPGAPISPSTSHPQGPDSTRKPV >ENSMUSP00000114877.1 pep:known chromosome:GRCm38:X:73896814:73898066:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000143696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] XSSLSSTGLQHEGIFRVSGAQARISEIRDAFERGEDPLEKGCTVHDLDSVAGVLKLYFRS LEPPLFPLDMFNELLASAELEVVGERVEPVSHLLFKLPRPVLVVLRYLFTFLNQDGQLES LIGEPELELKTGTTAQEDDQEGVLEAVACFAYTGRTAQELTFQRGDVLRLYARASSDWWR GEHAGVQGLIPHKYITLCEG >ENSMUSP00000115193.1 pep:known chromosome:GRCm38:X:73896871:73899626:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000149774.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] XKFHKSHPPHPRFQYNQRLFGGDLEKFIQSSGQPVPLVVESCIRFINLNGEDPLEKGCTV HDLDSVAGVLKLYFRSLEPPLFPLDMFNELLASAELEVVGERVEPVSHLLFKLPRPVLVV LRYLFTFLNHLAQYSDENMMDSYNLAVCFGPTLLPVPAGQDPVALQGRVNQLVQTLILQP ARIFPPPAMLPGPIYEKCMAPPSASCLGDGQLESLIGEPELELKTGTTAQEDDQEGVLEA VACFAYTGRTAQELTFQRGDVLRLYARASSDWWRG >ENSMUSP00000121215.1 pep:known chromosome:GRCm38:X:73900109:73905656:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000124798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] EKRQAKYLEHKLKCTKARNEYLLSLASVNAAISNYYLHDILDLMDCCDTGFHLALEQALR SYTAAESRTQTSQMQGLGSLEEALEALDPPGDKAKVLEVHAMAFCPPLRFEYQPHEDDEV AEVLIEMELWDEILPRAQNIQSRLDQKTIETEEASPSTESLKSTSSDPGTRQTGRRRNQQ QETETFYITKLQEYLSGRSILSKLQAKHEKLQEA >ENSMUSP00000122794.1 pep:known chromosome:GRCm38:X:73906778:73911293:-1 gene:ENSMUSG00000031389.17 transcript:ENSMUST00000123283.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap4 description:Rho GTPase activating protein 4 [Source:MGI Symbol;Acc:MGI:2159577] MAAHGKLRRERGLQPEYDAQVKVESITAFESLTQTSSSWCPEAA >ENSMUSP00000107204.1 pep:known chromosome:GRCm38:2:86593885:86594826:-1 gene:ENSMUSG00000079239.2 transcript:ENSMUST00000111578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1082 description:olfactory receptor 1082 [Source:MGI Symbol;Acc:MGI:3030916] MDKHNLTVVTEFILMGITENPELQAPLFGLFLVIYLTSVIGNLGIIILTNVDAKLQTPMY FFLRHLAFTDFVYSTTVGPKMLVNFVVDQNAISYSLCATQLAFFLLFIGSELFILSAMSY DRYVAICKPLLYTVIMSHKVCWVLVTMTYLYYTFMSLVVTINIFSLSFCGYNVINHFFCD CIPLISLLCSNTQKVELIVMIFAGFDLISSLVVVLMSYLLILIAVLRMNSAEGRRKAFST CGSHLTVVTIFYGTLIFMYVKPVSSHSIDTDKISSILYTLIIPLLNPLIYSLRNKDVKDA LQRTWQKIFNTFP >ENSMUSP00000029911.5 pep:known chromosome:GRCm38:4:21847583:21876475:1 gene:ENSMUSG00000028248.15 transcript:ENSMUST00000029911.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnisr description:PNN interacting serine/arginine-rich [Source:MGI Symbol;Acc:MGI:1913875] MWDQGGQPWQQWPLNQQQWMQSFQHQQDPSQIDWAALAQAWIAQREASGQQSIVEQPPGM MPNGQDMSAMESGPNNHGNFQGDSNFNRMWQPEWGMHQQPPHPPPEQPWMPPAPGPMDIV PPSEDSNSQDSGEFAPDNRHIFNQNNHNFGGPPDNFAVGPVNQFDYQHGAAFGPPQGGFH PPYWQPGPPGPPAPTQNRRERPPSFRDRQRSPIALPVKQEPPQIDAVKRRTLPAWIREGL EKMEREKQKKLEKERMEQQRSQLSKKEKKATEDAEGGDGPRLPQRSKFDSDEEDEDAENL EAVSSGKVTRSPSPAPQEEHSEPEMTEEEKEYQMMLLTKMLLTEILLDVTDEEIYYVAKD AHRKATKAPAKQLAQSSALASLTGLGGLGGYGSGDSEDERSDRGSESSDTDDEELRHRIR QKQEAFWRKEKEQQLLQDKQIEEEKQQTERVTKEMNEFIHREQNSLSLLEASEADRDAVN DKKRTPNEAPSVLEPKREHKGKEKERGSRSGSSSSGSSSSGSRTSSSSSSVSSSSYSSSS GSSCTSSRSSSPKRRKRPSRSRSPPAKARRSRSRSYSRRVKVDSSRTRGKLRDRRRSNRS SIERERRRNRSPSRDRRRSRSRSRDRRTNRSSRSRSRDRRKIEDPRGNLSGNSHKHKGEA KEQDRKKERSRSVDKDRRKKDKERDRELDKRKEKQKREEKDFKFSSQDDRLKRKRESERT FCRSGSISVKVIRHDSRQDSKKNATKDSKRHSGSDSSGRSSSESPGSSKEKKAKKPKHSR SRSLEKSQRSGKKASRKHKSKSRSRSTTPPRRKR >ENSMUSP00000139324.1 pep:known chromosome:GRCm38:4:21848048:21873755:1 gene:ENSMUSG00000028248.15 transcript:ENSMUST00000185001.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnisr description:PNN interacting serine/arginine-rich [Source:MGI Symbol;Acc:MGI:1913875] MWDQGGQPWQQWPLNQQQWMQSFQHQQDPSQIDWAALAQAWIAQREASGQQSIVEQPPGM MPNGQDMSAMESGPNNHGNFQGDSNFNRMWQPEWGMHQQPPHPPPEQPWMPPAPGPMDIV PPSEDSNSQDSGEFAPDNRHIFNQNNHNFGGPPDNFAVGPVNQFDYQHGAAFGPPQGGFH PPYWQPGPPGPPAPTQNRRERPPSFRDRQRSPIALPVKQEPPQIDAVKRRTLPAWIREGL EKMEREKQKKLEKERMEQQRSQLSKKEKKATEDAEGGDGPRLPQRSKFDSDEEDEDAENL EAVSSGKVTRSPSPAPQEEHSEPEMTEEEKEYQMMLLTKMLLTEILLDVTDEEIYYVAKD AHRKATKGTLWVL >ENSMUSP00000095840.2 pep:known chromosome:GRCm38:4:21848055:21876467:1 gene:ENSMUSG00000028248.15 transcript:ENSMUST00000098238.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnisr description:PNN interacting serine/arginine-rich [Source:MGI Symbol;Acc:MGI:1913875] MWDQGGQPWQQWPLNQQQWMQSFQHQQDPSQIDWAALAQAWIAQREASGQQSIVEQPPGM MPNGQDMSAMESGPNNHGNFQGDSNFNRMWQPEWGMHQQPPHPPPEQPWMPPAPGPMDIV PPSEDSNSQDSGEFAPDNRHIFNQNNHNFGGPPDNFAVGPVNQFDYQHGAAFGPPQGGFH PPYWQPGPPGPPAPTQNRRERPPSFRDRQRSPIALPVKQEPPQIDAVKRRTLPAWIREGL EKMEREKQKKLEKERMEQQRSQLSKKEKKATEDAEGGDGPRLPQRSKFDSDEEDEDAENL EAVSSGKVTRSPSPAPQEEHSEPEMTEEEKEYQMMLLTKMLLTEILLDVTDEEIYYVAKD AHRKATKAPAKQLAQSSALASLTGLGGLGGYGSGDSEDERSDRGSESSDTDDEELRHRIR QKQEAFWRKEKEQQLLQDKQIEEEKQQTERVTKEMNEFIHREQNSLSLLEASEADRDAVN DKKRTPNEAPSVLEPKREHKGKEKERGSRSGSSSSGSSSSGSRTSSSSSSVSSSSYSSSS GSSCTSSRSSSPKRRKRPSRSRSPPAKARRSRSRSYSRRVKVDSSRTRGKLRDRRRSNRS SIERERRRNRSPSRDRRRSRSRSRDRRTNRSSRSRSRDRRKIEDPRGNLSGNSHKHKGEA KEQDRKKERSRSVDKDRRKKDKERDRELDKRKEKQKREEKDFKFSSQDDRLKRKRESERT FCRSGSISVKVIRHDSRQDSKKNATKDSKRHSGSDSSGRSSSESPGSSKEKKAKKPKHSR SRSLEKSQRSGKKASRKHKSKSRSR >ENSMUSP00000103864.1 pep:known chromosome:GRCm38:4:21848058:21871342:1 gene:ENSMUSG00000028248.15 transcript:ENSMUST00000108229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnisr description:PNN interacting serine/arginine-rich [Source:MGI Symbol;Acc:MGI:1913875] MWDQGGQPWQQWPLNQQQWMQSFQHQQDPSQIDWAALAQAWIAQREASGQQSIVEQPPGM MPNGQDMSAMESGPNNHGNFQGDSNFNRMWQPEWGMHQQPPHPPPEQPWMPPAPGPMDIV PPSEDSNSQDSGEFAPDNRHIFNQNNHNFGGPPDNFAVGPVNQFDYQHGAAFGPPQGGFH PPYWQPGPPGPPAPTQNRRERPPSFRDRQRSPIALPVKQEPPQIDAVKRRTLPAWIREGL EKMEREKQKKLEKERMEQQRSQLSKKEKKATEDAEGGDGPRLPQRSKFDSDEEDEDAENL EAVSSGKVTRSPSPAPQEEHSEPEMTEEEKEYQMMLLTKMLLTEILLDVTDEEIYYVAKD AHRKATKGTLWVL >ENSMUSP00000127638.1 pep:known chromosome:GRCm38:7:22189377:22190300:1 gene:ENSMUSG00000096071.1 transcript:ENSMUST00000171622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r143 description:vomeronasal 1 receptor 143 [Source:MGI Symbol;Acc:MGI:3644289] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFFHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPNELKCKLEFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000104596.1 pep:known chromosome:GRCm38:2:177080265:177084640:1 gene:ENSMUSG00000078872.9 transcript:ENSMUST00000108968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14401 description:predicted gene 14401 [Source:MGI Symbol;Acc:MGI:3650075] MDLVTYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000119549.1 pep:known chromosome:GRCm38:2:177080256:177087034:1 gene:ENSMUSG00000078872.9 transcript:ENSMUST00000134614.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14401 description:predicted gene 14401 [Source:MGI Symbol;Acc:MGI:3650075] MDLVTYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRRERSCTAEQPSEFIQCGKAFAYESCSQRHQIKHTGEKHHDCNQCGKAFKRRSDLQI HNRTHTGEKPYECKQCGKAFAQSSHLRIHKRTHTGEKPYECKQCGKAFAQSSHLGIHKRT HTGEKPYECNQCGKAFASSGDLQKHKRTHTGEKPYECKRCGKAFARSSGLQCHKRSHTGE TPYECKQGDNPFAGSSGLEYHNQTHTAEKPRNVTNVVKPLQEGMTFKEKRPYRRETLCRY LML >ENSMUSP00000104598.2 pep:known chromosome:GRCm38:2:177074320:177087034:1 gene:ENSMUSG00000078872.9 transcript:ENSMUST00000108970.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14401 description:predicted gene 14401 [Source:MGI Symbol;Acc:MGI:3650075] MDLVTYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRRERSCTAEQPSEFIQCGKAFAYESCSQRHQIKHTGEKHHDCNQCGKAFKRRSDLQI HNRTHTGEKPYECKQCGKAFAQSSHLRIHKRTHTGEKPYECKQCGKAFAQSSHLGIHKRT HTGEKPYECNQCGKAFASSGDLQKHKRTHTGEKPYECKRCGKAFARSSGLQCHKRSHTGE TPYECKQGDNPFAGSSGLEYHNQTHTAEKPRNVTNVVKPLQEGMTFKEKRPYRRETLCRY LML >ENSMUSP00000116997.1 pep:known chromosome:GRCm38:11:46436978:46441050:1 gene:ENSMUSG00000020397.15 transcript:ENSMUST00000128940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med7 description:mediator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1913463] MGEPQQVSALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLE SQGIERLHPMQFDHKKELRKLNMSILINFLDLLDILIRSPGSIKREEKLEDLKLLFVHVH HLINEYRPHQARETLRVMMEVQKRQRLETAERFQKHL >ENSMUSP00000104854.1 pep:known chromosome:GRCm38:11:46436971:46441100:1 gene:ENSMUSG00000020397.15 transcript:ENSMUST00000109231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med7 description:mediator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1913463] MGEPQQVSALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLE SQGIERLHPMQFDHKKELRKLNMSILINFLDLLDILIRSPGSIKREEKLEDLKLLFVHVH HLINEYRPHQARETLRVMMEVQKRQRLETAERFQKHLERVIEMIQNCLASLPD >ENSMUSP00000104855.3 pep:known chromosome:GRCm38:11:46436974:46442721:1 gene:ENSMUSG00000020397.15 transcript:ENSMUST00000109232.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med7 description:mediator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1913463] MGEPQQVSALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLE SQGIERLHPMQFDHKKELRKLNMSILINFLDLLDILIRSPGSIKREEKLEDLKLLFVHVH HLINEYRPHQARETLRVMMEVQKRQRLETAERFQKHLERVIEMIQNCLASLPDDLPHSEA GMRVKAEPMDTDDNSNCPGQNEQQRESSGHRRDQIIEKDAALCVLIDEMNERP >ENSMUSP00000020665.6 pep:known chromosome:GRCm38:11:46436952:46441527:1 gene:ENSMUSG00000020397.15 transcript:ENSMUST00000020665.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med7 description:mediator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1913463] MGEPQQVSALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLE SQGIERLHPMQFDHKKELRKLNMSILINFLDLLDILIRSPGSIKREEKLEDLKLLFVHVH HLINEYRPHQARETLRVMMEVQKRQRLETAERFQKHLERVIEMIQNCLASLPDDLPHSEA GMRVKAEPMDTDDNSNCPGQNEQQRESSGHRRDQIIEKDAALCVLIDEMNERP >ENSMUSP00000122182.1 pep:known chromosome:GRCm38:11:46436925:46440858:1 gene:ENSMUSG00000020397.15 transcript:ENSMUST00000152119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med7 description:mediator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1913463] MGEPQQVSALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLE SQGIERLHPMQFDHKKELRKLNMSILINFLDLL >ENSMUSP00000120077.1 pep:known chromosome:GRCm38:11:46436949:46440764:1 gene:ENSMUSG00000020397.15 transcript:ENSMUST00000140027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med7 description:mediator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1913463] MGEPQQVSALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLE S >ENSMUSP00000120617.1 pep:known chromosome:GRCm38:11:46439652:46440978:1 gene:ENSMUSG00000020397.15 transcript:ENSMUST00000133635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med7 description:mediator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1913463] MGEPQQVSALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLE SQGIERLHPMQFDHKKELRKLNMSILINFLDLLDILIRSPGSIKREEKLEDLKLLFVHVH HLINEYRPHQARE >ENSMUSP00000131852.1 pep:known chromosome:GRCm38:11:46436961:46442721:1 gene:ENSMUSG00000020397.15 transcript:ENSMUST00000170928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med7 description:mediator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1913463] MGEPQQVSALPPPPMQYIKEYTDENIQEGLAPKPPPPIKDSYMMFGNQFQCDDLIIRPLE SQGIERLHPMQFDHKKELRKLNMSILINFLDLLDILIRSPGSIKREEKLEDLKLLFVHVH HLINEYRPHQARETLRVMMEVQKRQRLETAERFQKHLERVIEMIQNCLASLPDDLPHSEA GMRVKAEPMDTDDNSNCPGQNEQQRESSGHRRDQIIEKDAALCVLIDEMNERP >ENSMUSP00000115501.1 pep:known chromosome:GRCm38:2:121357714:121360040:1 gene:ENSMUSG00000000308.14 transcript:ENSMUST00000125812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckmt1 description:creatine kinase, mitochondrial 1, ubiquitous [Source:MGI Symbol;Acc:MGI:99441] MAGPFSRLLSARPGLRLLALAGAGSLTAGILLRPESVGAAAAERRRLYPPSAEYPDLRKH NNCMASHLTPAVYARLCDKTTPTGWTLDQCIQTGVDNPGHPFIKTVGMVAGDEETYEVFA ELFDPVIQERHNGYDPRTMKHTTDLDASK >ENSMUSP00000077349.2 pep:known chromosome:GRCm38:2:121357776:121363737:1 gene:ENSMUSG00000000308.14 transcript:ENSMUST00000078222.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckmt1 description:creatine kinase, mitochondrial 1, ubiquitous [Source:MGI Symbol;Acc:MGI:99441] MAGPFSRLLSARPGLRLLALAGAGSLTAGILLRPESVGAAAAERRRLYPPSAEYPDLRKH NNCMASHLTPAVYARLCDKTTPTGWTLDQCIQTGVDNPGHPFIKTVGMVAGDEETYEVFA ELFDPVIQERHNGYDPRTMKHTTDLDASKIRSGYFDERYVLSSRVRTGRSIRGLSLPPAC TRAERREVERVVVDALSGLKGDLAGRYYRLSEMTEAEQQQLIDDHFLFDKPVSPLLTAAG MARDWPDARGIWHNNEKSFLIWVNEEDHTRVISMEKGGNMKRVFERFCRGLKEVEKLIQE RGWEFMWNERLGYILTCPSNLGTGLRAGVHIKLPLLSKDNRFPKILENLRLQKRGTGGVD TAATGSVFDISNLDRLGKSEVELVQLVIDGVNYLIDCERRLERGQDIRIPPPLVHSKH >ENSMUSP00000121930.1 pep:known chromosome:GRCm38:2:121357840:121359777:1 gene:ENSMUSG00000000308.14 transcript:ENSMUST00000125221.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckmt1 description:creatine kinase, mitochondrial 1, ubiquitous [Source:MGI Symbol;Acc:MGI:99441] MAGPFSRLLSARPGLRLLALAGAGSLTAGILLRPESVGAAAAERRRLYPPSAEYPDLRKH NNCMASHLTPAVYARLCDKTTPTGWTLDQCIQTGVDNPGHPFIKTVGMVAGDEETYE >ENSMUSP00000120507.1 pep:known chromosome:GRCm38:2:121357907:121360988:1 gene:ENSMUSG00000000308.14 transcript:ENSMUST00000150271.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckmt1 description:creatine kinase, mitochondrial 1, ubiquitous [Source:MGI Symbol;Acc:MGI:99441] MAGPFSRLLSARPGLRLLALAGAGSLTAGILLRPESVGAAAAERRRLYPPSAEYPDLRKH NNCMASHLTPAVYARLCDKTTPTGWTLDQCIQTGVDNPGHPFIKTVGMVAGDEETYEVFA ELFDPVIQERHNGYDPRTMKHTTDLDASKIRSGYFDERYVLSSRVRTGRSIRGLSLPPAC TRAERREVERVVVDALSGLKGDLAGRYYRLSEMTEAEQQQLIDDHFLFDKPVSPLLTAAG MARDWPDARGI >ENSMUSP00000000317.5 pep:known chromosome:GRCm38:2:121358610:121363737:1 gene:ENSMUSG00000000308.14 transcript:ENSMUST00000000317.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckmt1 description:creatine kinase, mitochondrial 1, ubiquitous [Source:MGI Symbol;Acc:MGI:99441] MAGPFSRLLSARPGLRLLALAGAGSLTAGILLRPESVGAAAAERRRLYPPSAEYPDLRKH NNCMASHLTPAVYARLCDKTTPTGWTLDQCIQTGVDNPGHPFIKTVGMVAGDEETYEVFA ELFDPVIQERHNGYDPRTMKHTTDLDASKIRSGYFDERYVLSSRVRTGRSIRGLSLPPAC TRAERREVERVVVDALSGLKGDLAGRYYRLSEMTEAEQQQLIDDHFLFDKPVSPLLTAAG MARDWPDARGIWHNNEKSFLIWVNEEDHTRVISMEKGGNMKRVFERFCRGLKEVEKLIQE RGWEFMWNERLGYILTCPSNLGTGLRAGVHIKLPLLSKDNRFPKILENLRLQKRGTGGVD TAATGSVFDISNLDRLGKSEVELVQLVIDGVNYLIDCERRLERGQDIRIPPPLVHSKH >ENSMUSP00000117463.1 pep:known chromosome:GRCm38:2:121358656:121360985:1 gene:ENSMUSG00000000308.14 transcript:ENSMUST00000126130.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ckmt1 description:creatine kinase, mitochondrial 1, ubiquitous [Source:MGI Symbol;Acc:MGI:99441] MAGPFSRLLSARPGLRLLALAGAGSLTAGILLRPESVGAAAAERRRLYPPRYLLNCLTL >ENSMUSP00000123130.1 pep:known chromosome:GRCm38:2:121358666:121360627:1 gene:ENSMUSG00000000308.14 transcript:ENSMUST00000129130.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckmt1 description:creatine kinase, mitochondrial 1, ubiquitous [Source:MGI Symbol;Acc:MGI:99441] MAGPFSRLLSARPGLRLLALAGAGSLTAGILLRPESVGAAAAERRRLYPPSQTWLTGQLP ADISRSRLLCPPSMVTGYPLPSAEYPDLRKHNNCMASHLTPAVYARLCDKTTPTGWTLDQ CIQTGVDNPGHPFIKTVGMVAGDEETYEVFAELFDPVIQERHNGYDPRTMKHTTDLDASK IRSGYFDERYVLSSRVRTGRSIRG >ENSMUSP00000115610.1 pep:known chromosome:GRCm38:2:121358844:121360019:1 gene:ENSMUSG00000000308.14 transcript:ENSMUST00000128612.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ckmt1 description:creatine kinase, mitochondrial 1, ubiquitous [Source:MGI Symbol;Acc:MGI:99441] MAGPFSRLLSARPGLRLLALAGAGSLTAGILLRPESVGAAAAERRRLYPPSLSPPPSLML CSLEKSNSVDKGELRC >ENSMUSP00000126022.2 pep:known chromosome:GRCm38:X:37899097:37901704:-1 gene:ENSMUSG00000068048.8 transcript:ENSMUST00000170643.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox9 description:reproductive homeobox 9 [Source:MGI Symbol;Acc:MGI:1890128] METPQDSRQSIQKPPSPGAEEDKEEQHGGNAVVSGAGEEGIDKKELVMSGLAQGGLDQGE GAQGEVAGGEQAQEEPAPLSPAQEATGGEEEGENKEGEMEGRHAGDGASGPEDDNIQEEG GENIDQQPPQQEAAIPEGMRNPQAGNYLAHQRTRRTRFTHSQLRDLERLFQENRFPSLRV R >ENSMUSP00000086462.6 pep:known chromosome:GRCm38:X:37899097:37901770:-1 gene:ENSMUSG00000068048.8 transcript:ENSMUST00000089062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox9 description:reproductive homeobox 9 [Source:MGI Symbol;Acc:MGI:1890128] METPQDSRQSIQKPPSPGAEEDKEEQHGGNAVVSGAGEEGIDKKELVMSGLAQGGLDQGE GAQGEVAGGEQAQEEPAPLSPAQEATGGEEEGENKEGEMEGRHAGDGASGPEDDNIQEEG GENIDQQPPQQEAAIPEGMRNPQAGNYLAHQRTRRTRFTHSQLRDLERLFQENRFPSLRV RRDLARWMGVDESDVQEWFKMRRALFRRHSRLMMFCELPPITENNSP >ENSMUSP00000073285.2 pep:known chromosome:GRCm38:4:150853919:150868892:1 gene:ENSMUSG00000028967.10 transcript:ENSMUST00000073600.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Errfi1 description:ERBB receptor feedback inhibitor 1 [Source:MGI Symbol;Acc:MGI:1921405] MSTAGVAAQDIRVPLKTGFLHNGQALGNMKSCWGSHSEFENNFLNIDPITMAYNLNSPAQ EHLTTVGCAARSAPGSGHFFAECGPSPRSSLPPLVISPSESSGQREEDQVMCGFKKLSVN GVCTSTPPLTPIKSCPSPFPCAALCDRGSRPLPPLPISEDLCVDEADSEVELLTTSSDTD LLLEDSAPSDFKYDAPGRRSFRGCGQINYAYFDSPTVSVADLSCASDQNRVVPDPNPPPP QSHRRLRRSHSGPAGSFNKPAIRISSCTHRASPSSDEDKPEVPPRVPIPPRPAKPDYRRW SAEVTSNTYSDEDRPPKVPPREPLSRSNSRTPSPKSLPSYLNGVMPPTQSFAPDPKYVSS KALQRQSSEGSANKVPCILPIIENGKKVSSTHYYLLPERPPYLDKYEKYFKEAEETNPST QIQPLPAACGMASATEKLASRMKIDMGSHGKRKHLSYVVSP >ENSMUSP00000030811.1 pep:known chromosome:GRCm38:4:150855073:150868887:1 gene:ENSMUSG00000028967.10 transcript:ENSMUST00000030811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Errfi1 description:ERBB receptor feedback inhibitor 1 [Source:MGI Symbol;Acc:MGI:1921405] MSTAGVAAQDIRVPLKTGFLHNGQALGNMKSCWGSHSEFENNFLNIDPITMAYNLNSPAQ EHLTTVGCAARSAPGSGHFFAECGPSPRSSLPPLVISPSESSGQREEDQVMCGFKKLSVN GVCTSTPPLTPIKSCPSPFPCAALCDRGSRPLPPLPISEDLCVDEADSEVELLTTSSDTD LLLEDSAPSDFKYDAPGRRSFRGCGQINYAYFDSPTVSVADLSCASDQNRVVPDPNPPPP QSHRRLRRSHSGPAGSFNKPAIRISSCTHRASPSSDEDKPEVPPRVPIPPRPAKPDYRRW SAEVTSNTYSDEDRPPKVPPREPLSRSNSRTPSPKSLPSYLNGVMPPTQSFAPDPKYVSS KALQRQSSEGSANKVPCILPIIENGKKVSSTHYYLLPERPPYLDKYEKYFKEAEETNPST QIQPLPAACGMASATEKLASRMKIDMGSHGKRKHLSYVVSP >ENSMUSP00000132013.2 pep:known chromosome:GRCm38:5:11681959:11686856:1 gene:ENSMUSG00000094105.7 transcript:ENSMUST00000170057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8922 description:predicted gene 8922 [Source:MGI Symbol;Acc:MGI:3779821] MFARLCNLFGRASVDGRETRERRKGAGLPSESNEGRRRWTWRMWRARRHTSSTAPDLSKK EFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPTQKKNPFYEQLRSTKNQVL SSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMSVTQNQKNKEVEIDWALI EKYLVDLNLNGRTGADQQP >ENSMUSP00000137032.1 pep:known chromosome:GRCm38:5:11683236:11685591:1 gene:ENSMUSG00000094105.7 transcript:ENSMUST00000178989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8922 description:predicted gene 8922 [Source:MGI Symbol;Acc:MGI:3779821] ARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKESSNYNRTKPTQKK NPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMSVT QNQKNKEVEIDWALIEKYLVDLNLNGRTGADQQP >ENSMUSP00000139105.1 pep:known chromosome:GRCm38:9:72438011:72448729:1 gene:ENSMUSG00000032221.14 transcript:ENSMUST00000183809.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mns1 description:meiosis-specific nuclear structural protein 1 [Source:MGI Symbol;Acc:MGI:107933] MATKKRALSFSEKHQKLVDEKFRKSLNIQVMNKLERQAKNQVVQNENDEKVERQRFLRVL QNEQFELDMEEAIQKAEANKMLRDRQLEQEERLANELARLKHESLKDKKMRQQVRENSIE LRELEQKLKAAYMNKERAAQIVEKDAMKYEQMKRDAEIERIMMEEHDRLLKEESAKQERR NKERAQYYLDLEKQLEDQERRKQEAYEQLLKEKLMIDEI >ENSMUSP00000139232.1 pep:known chromosome:GRCm38:9:72438526:72452742:1 gene:ENSMUSG00000032221.14 transcript:ENSMUST00000184604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mns1 description:meiosis-specific nuclear structural protein 1 [Source:MGI Symbol;Acc:MGI:107933] MATKKRALSFSEKHQKLVDEKFRKSLNIQVMNKLERQAKNQVVQNENDEKVERQRFLRVL QNEQFELDMEEAIQKAEANKMLRDRQLEQEERLANELARLKHESLKDKKMRQQVRENSIE LRELEQKLKAAYMNKERAAQIVEKDAMKYEQMEEAELRLRRQREMKQDFEDQMALKELIL QAAKEEEETFKKAMLAKFAEDDRIEL >ENSMUSP00000034746.7 pep:known chromosome:GRCm38:9:72438535:72462025:1 gene:ENSMUSG00000032221.14 transcript:ENSMUST00000034746.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mns1 description:meiosis-specific nuclear structural protein 1 [Source:MGI Symbol;Acc:MGI:107933] MATKKRALSFSEKHQKLVDEKFRKSLNIQVMNKLERQAKNQVVQNENDEKVERQRFLRVL QNEQFELDMEEAIQKAEANKMLRDRQLEQEERLANELARLKHESLKDKKMRQQVRENSIE LRELEQKLKAAYMNKERAAQIVEKDAMKYEQMKRDAEIERIMMEEHDRLLKEESAKQERR NKERAQYYLDLEKQLEDQERRKQEAYEQLLKEKLMIDEIVRKIYEEDQVERQQKLEKKNA IQKYIEEFQRAQDFWRQKKREEMEEENRKIIEFANIQEQREGERMARVHEIEEKRVQRQN LLMKQLEETLRQRDDLEQVRQELYQEEQAEIIKLKVKEEAELRLRRQREMKQDFEDQMAL KELILQAAKEEEETFKKAMLAKFAEDDRIELMNAQKQRMKQLEHKRAVEKLIEERRSQFL ADKQRELEELQLQQRRQGCINEIIEEERLRLLKEHAAKLLGYLPKGVFKREDDVDMLGEE FRKAYQKRDGV >ENSMUSP00000110143.1 pep:known chromosome:GRCm38:X:73342621:73359080:1 gene:ENSMUSG00000031365.13 transcript:ENSMUST00000114499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp275 description:zinc finger protein 275 [Source:MGI Symbol;Acc:MGI:1350985] MGEEAQLQEMASTSSPRANDPSAEVKQNGDSGGRGGSPQNLPIEHHFACKECGDTFRLKV LLVQHQRVHSEEKGWECGDCRKVFRGVSEFNEHRNSHAAVAAQPRPGPSRALEDALEKRE QMEREAKPFECEECGKRFKKNAGLSQHLRVHSREKPFDCEECGQSFKANTHLFRHQKLHT SEKPFACKACSRDFLDRQELLKHQRMHTGHLPFDCDDCGKSFRGVNGLAEHQRIHSGAKP YGCPHCGKLFRRSSELTKHRRIHTGEKPYECNQCGKAFRQSSSLLEHTRIHSGERPYACG DCGKAFRGPSDLIKHRRIHSGLKPYECDKCGKAFRRSSGLSRHRRIHSGVKRCECSECGR VFKRRSALQKHQPSHRE >ENSMUSP00000033731.3 pep:known chromosome:GRCm38:X:73342621:73359080:1 gene:ENSMUSG00000031365.13 transcript:ENSMUST00000033731.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp275 description:zinc finger protein 275 [Source:MGI Symbol;Acc:MGI:1350985] MSHPCVSFLAPPAPQGLALPQDGAPGNQVLAVPLEMLEAQDLVSFDIVPWHLTEQEWLGL SLNPEQQALAYSRAVTSIGVPVVSPALVSHLSQGQVLLVSDPTLNTDHTKHPESTSATSH QKMGEEAQLQEMASTSSPRANDPSAEVKQNGDSGGRGGSPQNLPIEHHFACKECGDTFRL KVLLVQHQRVHSEEKGWECGDCRKVFRGVSEFNEHRNSHAAVAAQPRPGPSRALEDALEK REQMEREAKPFECEECGKRFKKNAGLSQHLRVHSREKPFDCEECGQSFKANTHLFRHQKL HTSEKPFACKACSRDFLDRQELLKHQRMHTGHLPFDCDDCGKSFRGVNGLAEHQRIHSGA KPYGCPHCGKLFRRSSELTKHRRIHTGEKPYECNQCGKAFRQSSSLLEHTRIHSGERPYA CGDCGKAFRGPSDLIKHRRIHSGLKPYECDKCGKAFRRSSGLSRHRRIHSGVKRCECSEC GRVFKRRSALQKHQPSHRE >ENSMUSP00000086022.2 pep:known chromosome:GRCm38:11:78193392:78205504:1 gene:ENSMUSG00000044122.14 transcript:ENSMUST00000078099.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proca1 description:protein interacting with cyclin A1 [Source:MGI Symbol;Acc:MGI:1918274] MHAVSQCDCESRCRSHRPVSVAIIYHPTHHMYMTDDDLEENWVSRKNHLSPSARPPDPNT GSATEVPDLSVPITIWRSESPIEKCQESNVIKDIKRKEKEQDEEEMVDEKANLKKKAKGK LTKKKTPVKSESSPADLSQSVRGPVRTPESSPESPGGLESEYSCERGKERPSSEDVVESL SPRKKEKTSSGQAKKNGTKKETQKTSKRKKSSPVPNPNLS >ENSMUSP00000050319.6 pep:known chromosome:GRCm38:11:78194704:78205467:1 gene:ENSMUSG00000044122.14 transcript:ENSMUST00000060539.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proca1 description:protein interacting with cyclin A1 [Source:MGI Symbol;Acc:MGI:1918274] MWVRTTITVKRWTEERSGRKIERTERTDITRLPSWKRGYPASVDSSSDLFSFSEGVEATG LSLWQ >ENSMUSP00000103953.2 pep:known chromosome:GRCm38:11:78194734:78205763:1 gene:ENSMUSG00000044122.14 transcript:ENSMUST00000108317.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proca1 description:protein interacting with cyclin A1 [Source:MGI Symbol;Acc:MGI:1918274] MWVRTTITVKRWTEERSGRKIERTERTDITRLPSWKRGYPASVDSSSDLFSFSEGENKET DRRCWKHQHCPGHTIHPFSDCGHHNRCMHAVSQCDCESRCRSHRPVSVAIIYHPTHHMYM TDDDLEENWVSRKNHLSPSARPPDPNTGSATEVPDLSVPITIWRSESPIEKCQESNVIKD IKRKEKEQDEEEMVDEKANLKKKAKGKLTKKKTPVKSESSPADLSQSVRGPVRTPESSPE SPGGLESEYSCERGKERPSSEDVVESLSPRKKEKTSSGQAKKNGTKKETQKTSKRKKSSP VPNPNLS >ENSMUSP00000038612.3 pep:known chromosome:GRCm38:2:30185124:30205847:-1 gene:ENSMUSG00000039648.14 transcript:ENSMUST00000044038.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccbl1 description:cysteine conjugate-beta lyase 1 [Source:MGI Symbol;Acc:MGI:1917516] MSKQLQARRLEGIDHNPWVEFTRLSKEYDVVNLGQGFPDFSPPDFAVQAFQQATTGNFML NQYTSAFGYPPLTKILASFFGKLLGQEMDPLKNVLVTVGAYGALFTAFQALVDEGDEVII IEPAFNCYEPMTMMAGGRPVFVSLRLSPAPKGQLGSSNDWQLDPTELASKFTPRTKILVL NTPNNPLGKVFSKKELELVAALCQQHDVLCFSDEVYQWLVYDGHQHISIASLPGMWERTL TIGSAGKSFSATGWKVGWVMGPDNIMKHLRTVHQNSIFHCPTQAQAAVAQCFEREQQHFG QPSSYFLQLPQAMGLNRDHMIQSLQSVGLKPLIPQGSYFLIADISDFKSSMPDLPGAMDE PYDTRFAKWMIKNKGLSAIPVSTFYSQPHHKDFDHYIRFCFVKDKATLQAMDKRLCSWKG EPQA >ENSMUSP00000109292.1 pep:known chromosome:GRCm38:2:30185126:30205775:-1 gene:ENSMUSG00000039648.14 transcript:ENSMUST00000113662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccbl1 description:cysteine conjugate-beta lyase 1 [Source:MGI Symbol;Acc:MGI:1917516] MSKQLQARRLEGIDHNPWVEFTRLSKEYDVVNLGQGFPDFSPPDFAVQAFQQATTGNFML NQYTSAFGYPPLTKILASFFGKLLGQEMDPLKNVLVTVGAYGALFTAFQALVDEGDEVII IEPAFNCYEPMTMMAGGRPVFVSLRLSPAPKGQLGSSNDWQLDPTELASKFTPRTKILVL NTPNNPLGKVFSKKELELVAALCQQHDVLCFSDEVYQWLVYDGHQHISIASLPGMWERTL TIGSAGKSFSATGWKVGWVMGPDNIMKHLRTVHQNSIFHCPTQAQAAVAQCFEREQQHFG QPSSYFLQLPQAMGLNRDHMIQSLQSVGLKPLIPQGSYFLIADISDFKSSMPDLPGAMDE PYDTRFAKWMIKNKGLSAIPVSTFYSQPHHKDFDHYIRFCFVKDKATLQAMDKRLCSWKG EPQA >ENSMUSP00000109291.3 pep:known chromosome:GRCm38:2:30185131:30198359:-1 gene:ENSMUSG00000039648.14 transcript:ENSMUST00000113661.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccbl1 description:cysteine conjugate-beta lyase 1 [Source:MGI Symbol;Acc:MGI:1917516] MSKQLQARRLEGIDHNPWVEFTRLSKEYDVVNLGQGFPDFSPPDFAVQAFQQATTGNFML NQYTSAFGYPPLTKILASFFGKLLGQEMDPLKNVLVTVGAYGALFTAFQALVDEGDEVII IEPAFNCYEPMTMMAGGRPVFVSLRLSPAPKGQLGSSNDWQLDPTELASKFTPRTKILVL NTPNNPLGKVFSKKELELVAALCQQHDVLCFSDEVYQWLVYDGHQHISIASLPGMWERTL TIGSAGKSFSATGWKVGWVMGPDNIMKHLRTVHQNSIFHCPTQAQAAVAQCFEREQQHFG QPSSYFLQLPQAMGLNRDHMIQSLQSVGLKPLIPQGSYFLIADISDFKSSMPDLPGAMDE PYDTRFAKWMIKNKGLSAIPVSTFYSQPHHKDFDHYIRFCFVKDKATLQAMDKRLCSWKG EPQA >ENSMUSP00000109293.2 pep:known chromosome:GRCm38:2:30185131:30205801:-1 gene:ENSMUSG00000039648.14 transcript:ENSMUST00000113663.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccbl1 description:cysteine conjugate-beta lyase 1 [Source:MGI Symbol;Acc:MGI:1917516] MSKQLQARRLEGIDHNPWVEFTRLSKEYDVVNLGQGFPDFSPPDFAVQAFQQATTGNFML NQYTSAFGYPPLTKILASFFGKLLGQEMDPLKNVLVTVGAYGALFTAFQALVDEGDEVII IEPAFNCYEPMTMMAGGRPVFVSLRLSPAPKGQLGSSNDWQLDPTELASKFTPRTKILVL NTPNNPLGKVFSKKELELVAALCQQHDVLCFSDEVYQWLVYDGHQHISIASLPGMWERTL TIGSAGKSFSATGWKVGWVMGPDNIMKHLRTVHQNSIFHCPTQAQAAVAQCFEREQQHFG QPSSYFLQLPQAMGLNRDHMIQSLQSVGLKPLIPQGSYFLIADISDFKSSMPDLPGAMDE PYDTRFAKWMIKNKGLSAIPVSTFYSQPHHKDFDHYIRFCFVKDKATLQAMDKRLCSWKG EPQA >ENSMUSP00000109289.1 pep:known chromosome:GRCm38:2:30185268:30194112:-1 gene:ENSMUSG00000039648.14 transcript:ENSMUST00000113659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccbl1 description:cysteine conjugate-beta lyase 1 [Source:MGI Symbol;Acc:MGI:1917516] MSKQLQARRLEGIDHNPWVEFTRLSKEYDVVNLGQGFPDFSPPDFAVQAFQQATTGNFML NQYTSAFVIIIEPAFNCYEPMTMMAGGRPVFVSLRLSPAPKGQLGSSNDWQLDPTELASK FTPRTKILVLNTPNNPLGKVFSKKELELVAALCQQHDVLCFSDEVYQWLVYDGHQHISIA SLPGMWERTLTIGSAGKSFSATGWKVGWVMGPDNIMKHLRTVHQNSIFHCPTQAQAAVAQ CFEREQQHFGQPSSYFLQLPQAMGLNRDHMIQSLQSVGLKPLIPQGSYFLIADISDFKSS MPDLPGAMDEPYDTRFAKWMIKNKGLSAIPVSTFYSQPHHKDFDHYIRFCFVKDKATLQA MDKRLCSWKGEPQA >ENSMUSP00000109290.1 pep:known chromosome:GRCm38:2:30185268:30194112:-1 gene:ENSMUSG00000039648.14 transcript:ENSMUST00000113660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccbl1 description:cysteine conjugate-beta lyase 1 [Source:MGI Symbol;Acc:MGI:1917516] MSKQLQARRLEGIDHNPWVEFTRLSKEYDVVNLGQGFPDFSPPDFAVQAFQQATTGNFML NQYTSAFGYPPLTKILASFFGKLLGQEMDPLKNVLVTVGAYGALFTAFQALVDEGDEVII IEPAFNCYEPMTMMAGGRPVFVSLRLVFSKKELELVAALCQQHDVLCFSDEVYQWLVYDG HQHISIASLPGMWERTLTIGSAGKSFSATGWKVGWVMGPDNIMKHLRTVHQNSIFHCPTQ AQAAVAQCFEREQQHFGQPSSYFLQLPQAMGLNRDHMIQSLQSVGLKPLIPQGSYFLIAD ISDFKSSMPDLPGAMDEPYDTRFAKWMIKNKGLSAIPVSTFYSQPHHKDFDHYIRFCFVK DKATLQAMDKRLCSWKGEPQA >ENSMUSP00000127012.1 pep:known chromosome:GRCm38:7:22200341:22201264:-1 gene:ENSMUSG00000094981.1 transcript:ENSMUST00000163096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8653 description:predicted gene 8653 [Source:MGI Symbol;Acc:MGI:3647206] MSAHGNSLKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNDLKCKLESFSHLVVRSTNLCSTCVLSVHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKFSGPQITDNNTDWKRKLFCST SGFSVGIVFLQFVYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRSQAQSKATH TILMLVFTFVSFYLLNCICIILHALFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000082032.5 pep:known chromosome:GRCm38:17:13108617:13131791:-1 gene:ENSMUSG00000067049.7 transcript:ENSMUST00000084966.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc93a description:unc-93 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:1933250] MERSLKNVLVVSCGFLLLFTAYGGLQNLQSSLYSEQGLGVATLSTLYASVLLSSMFLPPI LIKKCGCKWTIVGSMCCYVVFSLGNFHANWYTLIPTSILLGLGAAPLWSAQGTYLTTMGN LQAEKVGKLGKDVVNQYFGIFFLVFQSSGVWGNLISSLVFGKMSMQEAIPEEQLMSCGAK DCLMGPAATNSTHHPSQQLIYTLLGIYTGCGVLAILLVAVFLESLEDKLENEGERRPRPP PLWSTLLSTFMLFRDKRLCLLMFLPLYSGFQQEFLSGEYTKSYVTCALGIHFVGYVMICF SAMTALCSLLYGKISKYTGRAALYALGAAIHFSCIVVFLLWHPNTNQLPVFFVLSGLWGM SDAVWQTQNNALFGVLFEENKEPAFANYRLGEAIGFVIAFGYSSFLCVSTKLYILLGVLS LAMVGYGTVEYLEVKAASKVLGAEKKNQAEEEEMKTKI >ENSMUSP00000125129.2 pep:known chromosome:GRCm38:6:131543762:131553763:1 gene:ENSMUSG00000094113.7 transcript:ENSMUST00000161385.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5430401F13Rik description:RIKEN cDNA 5430401F13 gene [Source:MGI Symbol;Acc:MGI:1918642] MASSRILLVLLSIALLALTSAQRVNEDDGSALQEEDALEIGDSNQQHDDIHERLNEIKNH FQDQISQHGKEAIKSKKGKNGPRSRRSSDDDNLEVQDHQSGNEQAGGGQGGRGRSELKGQ NGKGSQKGKKGKGGQQEQKGKGGQQKQKGKGGQKTKRGKGGQRSQQDNVQEVYQE >ENSMUSP00000074539.3 pep:known chromosome:GRCm38:6:131486400:131553757:1 gene:ENSMUSG00000094113.7 transcript:ENSMUST00000075020.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5430401F13Rik description:RIKEN cDNA 5430401F13 gene [Source:MGI Symbol;Acc:MGI:1918642] MASSRILLVLLSIALLALTSAQRVNEDDGSALQEEDALEIGDSNQQHDDIHERLNEIKNH FQDQISQHGKEAIKSKKGKNGPRSRRSSDDDNLEVQDHQSGNEQAGGGQGGRGRSELKGQ NGKGSQKGKKGKGGQQEQKGKGGQQKQKGKGGQKTKRGKGGQRSQQDNVQEVYQE >ENSMUSP00000101825.1 pep:known chromosome:GRCm38:3:142701051:142744527:1 gene:ENSMUSG00000040213.13 transcript:ENSMUST00000106218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccbl2 description:cysteine conjugate-beta lyase 2 [Source:MGI Symbol;Acc:MGI:2677849] MLLAQRRLISLGCRSKPIKTIYSSSKVLGLCTSAKMALKFKNAKRIEGLDSNVWVEFTKL AADPSVVNLGQGFPDISPPSYVKEELSKAAFIDNMNQYTRGFGHPALVKALSCLYGKIYQ RQIDPNEEILVAVGAYGSLFNSIQGLVDPGDEVIIMVPFYDCYEPMVRMAGAVPVFIPLR SKPTDGMKWTSSDWTFDPRELESKFSSKTKAIILNTPHNPLGKVYTRQELQVIADLCVKH DTLCISDEVYEWLVYTGHTHVKIATLPGMWERTITIGSAGKTFSVTGWKLGWSIGPAHLI KHLQTVQQNSFYTCATPLQAALAEAFWIDIKRMDDPECYFNSLPKELEVKRDRMVRLLNS VGLKPIVPDGGYFIIADVSSLGADLSDMNSDEPYDYKFVKWMTKHKKLTAIPVSAFCDSK SKPHFEKLVRFCFIKKDSTLDAAEEIFRAWNSQKS >ENSMUSP00000041675.4 pep:known chromosome:GRCm38:3:142701080:142746870:1 gene:ENSMUSG00000040213.13 transcript:ENSMUST00000044392.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccbl2 description:cysteine conjugate-beta lyase 2 [Source:MGI Symbol;Acc:MGI:2677849] MALKFKNAKRIEGLDSNVWVEFTKLAADPSVVNLGQGFPDISPPSYVKEELSKAAFIDNM NQYTRGFGHPALVKALSCLYGKIYQRQIDPNEEILVAVGAYGSLFNSIQGLVDPGDEVII MVPFYDCYEPMVRMAGAVPVFIPLRSKPTDGMKWTSSDWTFDPRELESKFSSKTKAIILN TPHNPLGKVYTRQELQVIADLCVKHDTLCISDEVYEWLVYTGHTHVKIATLPGMWERTIT IGSAGKTFSVTGWKLGWSIGPAHLIKHLQTVQQNSFYTCATPLQAALAEAFWIDIKRMDD PECYFNSLPKELEVKRDRMVRLLNSVGLKPIVPDGGYFIIADVSSLGADLSDMNSDEPYD YKFVKWMTKHKKLTAIPVSAFCDSKSKPHFEKLVRFCFIKKDSTLDAAEEIFRAWNSQKS >ENSMUSP00000143531.1 pep:known chromosome:GRCm38:3:142701086:142726199:1 gene:ENSMUSG00000040213.13 transcript:ENSMUST00000199519.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccbl2 description:cysteine conjugate-beta lyase 2 [Source:MGI Symbol;Acc:MGI:2677849] MALKFKNAKRIEGLDSNVWVEFTKLAADPSVVNLGQGFPDISPPSYVKEELSKAAFIDNM NQYTRGFGHPALVKALSCLYGKIYQRQIDPNEEILVAVGAYGSLFNSIQGLVDPGDEVII MVPFYDCYEPMVRMAGAVPVFIPLRSKPTDGMKWTSSDWTFDPRELESKFSSKTKAIILN TPHNPLGKVYTRQE >ENSMUSP00000121687.1 pep:known chromosome:GRCm38:3:142701094:142744338:1 gene:ENSMUSG00000040213.13 transcript:ENSMUST00000129775.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccbl2 description:cysteine conjugate-beta lyase 2 [Source:MGI Symbol;Acc:MGI:2677849] MALKFKNAKRIEGLDSNVWVEFTKLAADPSVVNLGQGFPDISPPSYVKEELSKAAFIDNM NQYTRGFDQLS >ENSMUSP00000129429.1 pep:known chromosome:GRCm38:7:22228564:22229484:-1 gene:ENSMUSG00000094149.1 transcript:ENSMUST00000167253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8453 description:predicted gene 8453 [Source:MGI Symbol;Acc:MGI:3644807] MSVHDKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSRLVARSTNLCSTCVLSIHQFVTLFP VSRGKGKLILRASVQNLASYSCYSCWFFSVLSNIHIPIRVTGPQITDNNTDSKSNLFCST SGFIVGIVLQFAHDATFMSIMIWTSVSMILLLHRHCQRMQDILTPNQNPRGQAETRATRT ILMLVVTFVSFYLLNCICIIFHAFFIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDPC SLLFKC >ENSMUSP00000140254.1 pep:known chromosome:GRCm38:1:73910232:74091351:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000187584.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] MTWICLSCMLWPEDLEAPKTHHFKVKAFKKVKPCGICRQAITREGCVCKVCSFSCHRKCQ AKVAAPCVPPSSHELVPITTETVPKNVVDVGEGDCRVGSSPKNLEEGGSMRVSPSIQPQP QSQPTRNTSVSRAMEDSCELDLVYVTERIIAVSFPSTANEENFRSNLREVAQMLKSKHGG NYLLFNLSEQRPDITKLHAKVLEFGWPDLHTPALEKICSVCKAMDTWLNADPHNVVVLHN KGNRGRIGVVIAAYLHYSNISASADQALDRFAMKRFYEDKIVPIGQPSQRRYVHYFSGLL SGSIKMNNKPLFLHHVIMHGIPNFESKGGCRPFLRIYQAMQPVYTSGIYNIPGDSQASIC ITIEPGLLLKGDILLKCYHKKFRSPARDVIFRVQFHTCAIHDLGVVFGKEDLDEAFKDDR FPDYGKVEFVFSYGPEKIQGMEHLENGPSVSVDYNTSDPLIRWDSYDNFSGHREDGMEEV VGHTQGPLDGSLYAKVKKKDSLNGSSGPVTTARPALSATPNHVEHTLSVSSDSGNSTAST KTDKTDEPVSGATTAPAALSPQEKKELDRLLSGFGVDREKQGAMYRAQQLRSHPGGGPTV PSPGRHIVPAQVHVNGGALASERETDILDDELPIQDGQSGGSMGTLSSLDGVTNTSESGY PETLSPLTNGLDKPYSTEPVLNGGGYPYEAANRVIPVHSSHSAPIRPSYSAQEGLAGYQR EGPHPAWSQQVTSAHCGCDPSGLFRSQSFPDVEPQLPQAPTRGGSSREAVQRGLNSWQQQ QPHPPPRQQERSPLQSLARSKPSPQLSAETPVAALPEFPRAASQQEIEQSIETLNMLMLD LEPASAAAPLHKSQSVPGAWPGASPLSSQPLLGSSRQSHPLTQSRSGYIPSGHSLGTPEL VSSGRPYSPYDYQLHPAGSNQSFHPKSPASSTFLPSPHSSAGPQEPPASLPGLIAQPQLP PKETTSDPSRTPEEEPLNLEGLVAHRVAGVQARERQPAEPPGPLRRRAASDGQYENQSPE ATSPRSPGVRSPVQCVSPELALTIALNPGGRPKEPHLHSYKEAFEEMEGTSPSSPPHSVA RSPPGLAKTPLSALGLKPHNPADILLHPTGEPRSYVESVARTAVAGPRAQDVEPKSFSAP AAHAYGHETPLRNGTPGGSFVSPSPLSTSSPILSADSTSVGSFPSVVSSDQGPRTPFQPM LDSSIRSGSLGQPSPAALSYQSSSPVPVGGSSYNSPDYSLQPFSSSPESQGQPQYSAASV HMVPGSPQARHRTVGTNTPPSPGFGRRAVNPTMAAPGSPSLSHRQVMGPSGPGFHGNVVS GHPASAATTPGSPSLGRHPVGSHQVPGLHSSVVTTPGSPSLGRHPGAHQGNLASSLHSNA VISPGSPSLGRHLGGSGSVVPGSPSLDRHAAYGGYSTPEDRRPTLSRQSSASGYQAPSTP SFPVSPAYYPGLSSPATSPSPDSAAFRQGSPTPALPEKRRMSVGDRAGSLPNYATINGKV SSSPVANGMASGSSTVSFSHTLPDFSKYSMPDNSPETRAKVKFVQDTSKYWYKPEISREQ AIALLKDQEPGAFIIRDSHSFRGAYGLAMKVSSPPPTITQQGKKGDMTHELVRHFLIETG PRGVKLKGCPNEPNFGSLSALVYQHSVIPLALPCKLVIPSRDPTDESKDSSGPANSTTDL LKQGAACNVLFVNSVDMESLTGPQAISKATSETLAADPTPAATIVHFKVSAQGITLTDNQ RKLFFRRHYPLNTVTFCDLDPQERKWMKTEGGAPAKLFGFVARKQGSTTDNACHLFAELD PNQPASAIVNFVSKVMLSAGQKR >ENSMUSP00000140317.1 pep:known chromosome:GRCm38:1:73910232:74124449:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000191104.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] MGCTVSLVCCEALEPLPSCGPQPPGTPPGPARPERCEPGGAAPDPRRRLLLQPEDLEAPK THHFKVKAFKKVKPCGICRQAITREGCVCKVCSFSCHRKCQAKVAAPCVPPSSHELVPIT TETVPKNVVDVGEGDCRVGSSPKNLEEGGSMRVSPSIQPQPQSQPTSLSRNTSVSRAMED SCELDLVYVTERIIAVSFPSTANEENFRSNLREVAQMLKSKHGGNYLLFNLSEQRPDITK LHAKVLEFGWPDLHTPALEKICSVCKAMDTWLNADPHNVVVLHNKGNRGRIGVVIAAYLH YSNISASADQALDRFAMKRFYEDKIVPIGQPSQRRYVHYFSGLLSGSIKMNNKPLFLHHV IMHGIPNFESKGGCRPFLRIYQAMQPVYTSGIYNIPGDSQASICITIEPGLLLKGDILLK CYHKKFRSPARDVIFRVQFHTCAIHDLGVVFGKEDLDEAFKDDRFPDYGKVEFVFSYGPE KIQGMEHLENGPSVSVDYNTSDPLIRWDSYDNFSGHREDGMEEVVGHTQGPLDGSLYAKV KKKDSLNGSSGPVTTARPALSATPNHVEHTLSVSSDSGNSTASTKTDKTDEPVSGATTAP AALSPQEKKELDRLLSGFGVDREKQGAMYRAQQLRSHPGGGPTVPSPGRHIVPAQVHVNG GALASERETDILDDELPIQDGQSGGSMGTLSSLDGVTNTSESGYPETLSPLTNGLDKPYS TEPVLNGGGYPYEAANRVIPVHSSHSAPIRPSYSAQEGLAGYQREGPHPAWSQQVTSAHC GCDPSGLFRSQSFPDVEPQLPQAPTRGGSSREAVQRGLNSWQQQQPHPPPRQQERSPLQS LARSKPSPQLSAETPVAALPEFPRAASQQEIEQSIETLNMLMLDLEPASAAAPLHKSQSV PGAWPGASPLSSQPLLGSSRQSHPLTQSRSGYIPSGHSLGTPELVSSGRPYSPYDYQLHP AGSNQSFHPKSPASSTFLPSPHSSAGPQEPPASLPGLIAQPQLPPKETTSDPSRTPEEEP LNLEGLVAHRVAGVQARERQPAEPPGPLRRRAASDGQYENQSPEATSPRSPGVRSPVQCV SPELALTIALNPGGRPKEPHLHSYKEAFEEMEGTSPSSPPHSVARSPPGLAKTPLSALGL KPHNPADILLHPTGEPRSYVESVARTAVAGPRAQDVEPKSFSAPAAHAYGHETPLRNGTP GGSFVSPSPLSTSSPILSADSTSVGSFPSVVSSDQGPRTPFQPMLDSSIRSGSLGQPSPA ALSYQSSSPVPVGGSSYNSPDYSLQPFSSSPESQGQPQYSAASVHMVPGSPQARHRTVGT NTPPSPGFGRRAVNPTMAAPGSPSLSHRQVMGPSGPGFHGNVVSGHPASAATTPGSPSLG RHPVGSHQVPGLHSSVVTTPGSPSLGRHPGAHQGNLASSLHSNAVISPGSPSLGRHLGGS GSVVPGSPSLDRHAAYGGYSTPEDRRPTLSRQSSASGYQAPSTPSFPVSPAYYPGLSSPA TSPSPDSAAFRQGSPTPALPEKRRMSVGDRAGSLPNYATINGKVSSSPVANGMASGSSTV SFSHTLPDFSKYSMPDNSPETRAKVKFVQDTSKYWYKPEISREQAIALLKDQEPGAFIIR DSHSFRGAYGLAMKVSSPPPTITQQGKKGDMTHELVRHFLIETGPRGVKLKGCPNEPNFG SLSALVYQHSVIPLALPCKLVIPSRDPTDESKDSSGPANSTTDLLKQGAACNVLFVNSVD MESLTGPQAISKATSETLAADPTPAATIVHFKVSAQGITLTDNQRKLFFRRHYPLNTVTF CDLDPQERKWMKTEGGAPAKLFGFVARKQGSTTDNACHLFAELDPNQPASAIVNFVSKVM LSAGQKR >ENSMUSP00000139844.1 pep:known chromosome:GRCm38:1:73913911:73928513:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000187691.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] MLDSSIRSGSLGQPSPAALSYQSSSPVPVGGSSYNSPDYSLQPFSSSPESQGQPQYSAAS VHMVPGSPQARHRTVGTNTPPSPGFGRRAVNPTMAAPGSPSLSHRQVMGPSGPGFHGNVV SGHPASAATTPGSPSLGRHPVGSHQVPGLHSSVVTTPGSPSLGRHPGAHQGNLASSLHSN AVISPGSPSLGRHLGGSGSVVPGSPSLDRHAAYGGYSTPEDRRPTLSRQSSASGYQAPST PSFPVSPAYYPGLSSPATSPSPDSAAFRQGSPTPALPEKRRMSVGDRAGSLPNYATINGK VSSSPVANGMASGSSTVSFSHTLPDFSKYSMPDNSPETRAKVKFVQDTSKYWYKPEISRE QAIALLKDQEPGAFIIRDSHSFRGAYGLAMKVSSPPPTITQQGKKGDMTHELVRHFLIET GPRGVKLKGCPNEPNFGSLSALVYQHSVIPLALPCKLVIPSRDPTDESKDSSGPANSTTD LLKQGAACNVLFVNSVDMESLTGPQAISKATSETLAADPTPAATIVHFKVSAQGITLTDN QRKLFFRRHYPLNTVTFCDLDPQERKWMKTEGGAPAKLFGFVARKQGSTTDNACHLFAEL DPNQPASAIVNFVSKVMLSAGQKR >ENSMUSP00000140094.1 pep:known chromosome:GRCm38:1:73914093:73995372:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000185702.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] XNTSVSRAMEDSCELDLVYVTERIIAVSFPSTANEENFRSNLREVAQMLKSKHGGNYLLF NLSEQRPDITKLHAKVLEFGWPDLHTPALEKICSVCKAMDTWLNADPHNVVVLHNKGNRG RIGVVIAAYLHYSNISASADQALDRFAMKRFYEDKIVPIGQPSQRRYVHYFSGLLSGSIK MNNKPLFLHHVIMHGIPNFESKGGCRPFLRIYQAMQPVYTSGIYNIPGDSQASICITIEP GLLLKGDILLKCYHKKFRSPARDVIFRVQFHTCAIHDLGVVFGKEDLDEAFKDDRFPDYG KVEFVFSYGPEKIQGMEHLENGPSVSVDYNTSDPLIRWDSYDNFSGHREDGMEEVVGHTQ GPLDGSLYAKVKKKDSLNGSSGPVTTARPALSATPNHVEHTLSVSSDSGNSTASTKTDKT DEPVSGATTAPAALSPQEKKELDRLLSGFGVDREKQGAMYRAQQLRSHPGGGPTVPSPGR HIVPAQVHVNGGALASERETDILDDELPIQDGQSGGSMGTLSSLDGVTNTSESGYPETLS PLTNGLDKPYSTEPVLNGGGYPYEAANRVIPVHSSHSAPIRPSYSAQEGLAGYQREGPHP AWSQQVTSAHCGCDPSGLFRSQSFPDVEPQLPQAPTRGGSSREAVQRGLNSWQQQQPHPP PRQQERSPLQSLARSKPSPQLSAETPVAALPEFPRAASQQEIEQSIETLNMLMLDLEPAS AAAPLHKSQSVPGAWPGASPLSSQPLLGSSRQSHPLTQSRSGYIPSGHSLGTPELVSSGR PYSPYDYQLHPAGSNQSFHPKSPASSTFLPSPHSSAGPQEPPASLPGLIAQPQLPPKETT SDPSRTPEEEPLNLEGLVAHRVAGVQARERQPAEPPGPLRRRAASDGQYENQSPEATSPR SPGVRSPVQCVSPELALTIALNPGGRPKEPHLHSYKEAFEEMEGTSPSSPPHSVARSPPG LAKTPLSALGLKPHNPADILLHPTGVARRLIQPEEDEGEEVTKPPEEPRSYVESVARTAV AGPRAQDVEPKSFSAPAAHAYGHETPLRNGTPGGSFVSPSPLSTSSPILSADSTSVGSFP SVVSSDQGPRTPFQPMLDSSIRSGSLGQPSPAALSYQSSSPVPVGGSSYNSPDYSLQPFS SSPESQGQPQYSAASVHMVPGSPQARHRTVGTNTPPSPGFGRRAVNPTMAAPGSPSLSHR QVMGPSGPGFHGNVVSGHPASAATTPGSPSLGRHPVGSHQVPGLHSSVVTTPGSPSLGRH PGAHQGNLASSLHSNAVISPGSPSLGRHLGGSGSVVPGSPSLDRHAAYGGYSTPEDRRPT LSRQSSASGYQAPSTPSFPVSPAYYPGLSSPATSPSPDSAAFRQGSPTPALPEKRRMSVG DRAGSLPNYATINGKVSSSPVANGMASGSSTVSFSHTLPDFSKYSMPDNSPETRAKVKFV QDTSKYWYKPEISREQAIALLKDQEPGAFIIRDSHSFRGAYGLAMKVSSPPPTITQQGKK GDMTHELVRHFLIETGPRGVKLKGCPNEPNFGSLSALVYQHSVIPLALPCKLVIPSRDPT DESKDSSGPANSTTDLLKQGAACNVLFVNSVDMESLTGPQAISKATSETLAADPTPAATI VHFKVSAQGITLTDNQRKLFFRRHYPLNTVTFCDLDPQERKWMKTEGGAPAKLFGFVARK QGSTTDNACHLFAELDPNQPASAIVNFVSKVMLSAGQKR >ENSMUSP00000140771.1 pep:known chromosome:GRCm38:1:73914117:73995372:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000185331.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] XNTSVSRAMEDSCELDLVYVTERIIAVSFPSTANEENFRSNLREVAQMLKSKHGGNYLLF NLSEQRPDITKLHAKVLEFGWPDLHTPALEKICSVCKAMDTWLNADPHNVVVLHNKGNRG RIGVVIAAYLHYSNISASADQALDRFAMKRFYEDKIVPIGQPSQRRYVHYFSGLLSGSIK MNNKPLFLHHVIMHGIPNFESKGGCRPFLRIYQAMQPVYTSGIYNIPGDSQASICITIEP GLLLKGDILLKCYHKKFRSPARDVIFRVQFHTCAIHDLGVVFGKEDLDEAFKDDRFPDYG KVEFVFSYGPEKIQGMEHLENGPSVSVDYNTSDPLIRWDSYDNFSGHREDGMEEVVGHTQ GPLDGSLYAKVKKKDSLNGSSGPVTTARPALSATPNHVEHTLSVSSDSGNSTASTKTDKT DEPVSGATTAPAALSPQEKKELDRLLSGFGVDREKQGAMYRAQQLRSHPGGGPTVPSPGR HIVPAQVHVNGGALASERETDILDDELPIQDGQSGGSMGTLSSLDGVTNTSESGYPETLS PLTNGLDKPYSTEPVLNGGGYPYEAANRVIPVHSSHSAPIRPSYSAQEGLAGYQREGPHP AWSQQVTSAHCGCDPSGLFRSQSFPDVEPQLPQAPTRGGSSREAVQRGLNSWQQQQPHPP PRQQERSPLQSLARSKPSPQLSAETPVAALPEFPRAASQQEIEQSIETLNMLMLDLEPAS AAAPLHKSQSVPGAWPGASPLSSQPLLGSSRQSHPLTQSRSGYIPSGHSLGTPELVSSGR PYSPYDYQLHPAGSNQSFHPKSPASSTFLPSPHSSAGPQEPPASLPGLIAQPQLPPKETT SDPSRTPEEEPLNLEGLVAHRVAGVQARERQPAEPPGPLRRRAASDGQYENQSPEATSPR SPGVRSPVQCVSPELALTIALNPGGRPKEPHLHSYKEAFEEMEGTSPSSPPHSVARSPPG LAKTPLSALGLKPHNPADILLHPTGVARRLIQPEPRSYVESVARTAVAGPRAQDVEPKSF SAPAAHAYGHETPLRNGTPGGSFVSPSPLSTSSPILSADSTSVGSFPSVVSSDQGPRTPF QPMLDSSIRSGSLGQPSPAALSYQSSSPVPVGGSSYNSPDYSLQPFSSSPESQGQPQYSA ASVHMVPGSPQARHRTVGTNTPPSPGFGRRAVNPTMAAPGSPSLSHRQVMGPSGPGFHGN VVSGHPASAATTPGSPSLGRHPVGSHQVPGLHSSVVTTPGSPSLGRHPGAHQGNLASSLH SNAVISPGSPSLGRHLGGSGSVVPGSPSLDRHAAYGGYSTPEDRRPTLSRQSSASGYQAP STPSFPVSPAYYPGLSSPATSPSPDSAAFRQGSPTPALPEKRRMSVGDRAGSLPNYATIN GKVSSSPVANGMASGSSTVSFSHTLPDFSKYSMPDNSPETRAKVKFVQDTSKYWYKPEIS REQAIALLKDQEPGAFIIRDSHSFRGAYGLAMKVSSPPPTITQQGKKDMTHELVRHFLIE TGPRGVKLKGCPNEPNFGSLSALVYQHSVIPLALPCKLVIPSRDPTDESKDSSGPANSTT DLLKQGAACNVLFVNSVDMESLTGPQAISKATSETLAADPTPAATIVHFKVSAQGITLTD NQRKLFFRRHYPLNTVTFCDLDPQERKWMKTEGGAPAKLFGFVARKQGSTTDNACHLFAE LDPNQPASAIVNFVSKVMLSAGQKR >ENSMUSP00000139721.1 pep:known chromosome:GRCm38:1:73925130:73941014:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000189228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] XGDLPKQPATQCGALSSSVHMVPGSPQARHRTVGTNTPPSPGFGRRAVNPTMAAPGSPSL SHRQVMGPSGPGFHGNVVSGHPASAATTPGSPSLGRHPVGSHQVPGLHSSVVTTPGSPSL GRHPGAHQGNLASSLHSNAVISPGSPSLG >ENSMUSP00000140991.1 pep:known chromosome:GRCm38:1:73953373:73963043:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000191367.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] MYRAQQLRSHPGGGPTVPSPGRHIVPAQVHVNGGALASERETDILDDELPIQDGQSGGSM GTLSSLDGVTNTSESGYPETLSPLTNGL >ENSMUSP00000140448.1 pep:known chromosome:GRCm38:1:73992205:74001567:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000190389.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] SRGWPTDTAVPSWAAIGPAIRSGAVLGAARTPRLGEGAVEGSMRVSPSIQPQPQSQPTSL SRNTSVSRAMEDSCELDLVYVTERIIAVSFPSTANEENFRSNLREVAQMLKSKHGGNYLL FNLSEQRPDITKLHAKVLEFGW >ENSMUSP00000139616.1 pep:known chromosome:GRCm38:1:73993163:74037133:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000187281.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] XTLAPCRGLGSWLGYLCPVGPAHSPLLHQLPASSDAGPAATHRPGLPPSGSSFAKVAAPC VPPSSHELVPITTETVPKNVVDVGEGDCRVGSSPKNLEEGGSMRVSPSIQPQPQSQPTSL SRNTSVSRAMEDSCELDLVYVTERIIAVSFPSTANEENFRSNLREVAQMLKSKHGGNYLL FNLSEQRPDITKLHAK >ENSMUSP00000140878.1 pep:known chromosome:GRCm38:1:73995288:74096825:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000191204.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] XCTERWPAGTRSVDPAVQPFPFFICRPEDLEAPKTHHFKVKAFKKVKPCGICRQAITREG CVCKVCSFSCHRKCQAKVAAPCVPPSSHELVPITTETVPKNVVDVGEGDCRVGSSPKNLE EGGSMRVSPSIQPQPQSQPTSLSRNTSVSRAMEDSCELDLVYVTERIIAVSF >ENSMUSP00000140837.1 pep:known chromosome:GRCm38:1:73997055:74096868:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000188208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] MASRDQPEDLEAPKTHHFKVKAFKKVKPCGICRQAITREGCVCKVCSFSCHRKCQAKVAA PCVPPSSHELVPITTETVPKNVVDVGEGDCRVGSSPKNLEEGGSMRVSPSIQPQPQSQP >ENSMUSP00000127715.1 pep:known chromosome:GRCm38:1:73910231:74124447:-1 gene:ENSMUSG00000055322.14 transcript:ENSMUST00000169786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns1 description:tensin 1 [Source:MGI Symbol;Acc:MGI:104552] MGCTVSLVCCEALEPLPSCGPQPPGTPPGPARPERCEPGGAAPDPRRRLLLQPEDLEAPK THHFKVKAFKKVKPCGICRQAITREGCVCKVCSFSCHRKCQAKVAAPCVPPSSHELVPIT TETVPKNVVDVGEGDCRVGSSPKNLEEGGSMRVSPSIQPQPQSQPTSLSRNTSVSRAMED SCELDLVYVTERIIAVSFPSTANEENFRSNLREVAQMLKSKHGGNYLLFNLSEQRPDITK LHAKVLEFGWPDLHTPALEKICSVCKAMDTWLNADPHNVVVLHNKGNRGRIGVVIAAYLH YSNISASADQALDRFAMKRFYEDKIVPIGQPSQRRYVHYFSGLLSGSIKMNNKPLFLHHV IMHGIPNFESKGGCRPFLRIYQAMQPVYTSGIYNIPGDSQASICITIEPGLLLKGDILLK CYHKKFRSPARDVIFRVQFHTCAIHDLGVVFGKEDLDEAFKDDRFPDYGKVEFVFSYGPE KIQGMEHLENGPSVSVDYNTSDPLIRWDSYDNFSGHREDGMEEVVGHTQGPLDGSLYAKV KKKDSLNGSSGPVTTARPALSATPNHVEHTLSVSSDSGNSTASTKTDKTDEPVSGATTAP AALSPQEKKELDRLLSGFGVDREKQGAMYRAQQLRSHPGGGPTVPSPGRHIVPAQVHVNG GALASERETDILDDELPIQDGQSGGSMGTLSSLDGVTNTSESGYPETLSPLTNGLDKPYS TEPVLNGGGYPYEAANRVIPVHSSHSAPIRPSYSAQEGLAGYQREGPHPAWSQQVTSAHC GCDPSGLFRSQSFPDVEPQLPQAPTRGGSSREAVQRGLNSWQQQQPHPPPRQQERSPLQS LARSKPSPQLSAETPVAALPEFPRAASQQEIEQSIETLNMLMLDLEPASAAAPLHKSQSV PGAWPGASPLSSQPLLGSSRQSHPLTQSRSGYIPSGHSLGTPELVSSGRPYSPYDYQLHP AGSNQSFHPKSPASSTFLPSPHSSAGPQEPPASLPGLIAQPQLPPKETTSDPSRTPEEEP LNLEGLVAHRVAGVQARERQPAEPPGPLRRRAASDGQYENQSPEATSPRSPGVRSPVQCV SPELALTIALNPGGRPKEPHLHSYKEAFEEMEGTSPSSPPHSVARSPPGLAKTPLSALGL KPHNPADILLHPTGVARRLIQPEEDEGEEVTKPPEEPRSYVESVARTAVAGPRAQDVEPK SFSAPAAHAYGHETPLRNGTPGGSFVSPSPLSTSSPILSADSTSVGSFPSVVSSDQGPRT PFQPMLDSSIRSGSLGQPSPAALSYQSSSPVPVGGSSYNSPDYSLQPFSSSPESQGQPQY SAASVHMVPGSPQARHRTVGTNTPPSPGFGRRAVNPTMAAPGSPSLSHRQVMGPSGPGFH GNVVSGHPASAATTPGSPSLGRHPVGSHQVPGLHSSVVTTPGSPSLGRHPGAHQGNLASS LHSNAVISPGSPSLGRHLGGSGSVVPGSPSLDRHAAYGGYSTPEDRRPTLSRQSSASGYQ APSTPSFPVSPAYYPGLSSPATSPSPDSAAFRQGSPTPALPEKRRMSVGDRAGSLPNYAT INGKVSSSPVANGMASGSSTVSFSHTLPDFSKYSMPDNSPETRAKVKFVQDTSKYWYKPE ISREQAIALLKDQEPGAFIIRDSHSFRGAYGLAMKVSSPPPTITQQGKKGDMTHELVRHF LIETGPRGVKLKGCPNEPNFGSLSALVYQHSVIPLALPCKLVIPSRDPTDESKDSSGPAN STTDLLKQGAACNVLFVNSVDMESLTGPQAISKATSETLAADPTPAATIVHFKVSAQGIT LTDNQRKLFFRRHYPLNTVTFCDLDPQERKWMKTEGGAPAKLFGFVARKQGSTTDNACHL FAELDPNQPASAIVNFVSKVMLSAGQKR >ENSMUSP00000029907.5 pep:known chromosome:GRCm38:4:6191098:6221688:1 gene:ENSMUSG00000028243.5 transcript:ENSMUST00000029907.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn2b description:UBX domain protein 2B [Source:MGI Symbol;Acc:MGI:1915303] MAEGGRAEPEEQERGSSRPRPPSARDLQLALAELYEDEMKCKSSKPDRSTPATCRSPRTP PHRLYSGDHKYDGLHIVQPPTGKIVNELFKEAREHGAVPLNEATRSSREDKTKSFTGGGY RLGNSFYKRSEYIYGENQLQDVQVLLKLWRNGFSLDDGELRPYSDPTNAQFLESVKRGET PLELQRLVHGAQVNLDMEDHQDQEYIKPRLRFKAFSGEGQKLGSLTPEIVSTPSSPEEED KSILNAAVLIDDSMPTTKIQIRLADGSRLVQRFNSTHRILDVRDFIVRSRPEFATTDFIL VTSFPSKELTDETVTLQEADILNTVILQQLK >ENSMUSP00000123949.2 pep:known chromosome:GRCm38:6:131486400:131491493:1 gene:ENSMUSG00000095577.2 transcript:ENSMUST00000159229.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6619 description:predicted gene 6619 [Source:MGI Symbol;Acc:MGI:3779616] MASSRILLVLLSIALLALTSAQRVNEDDGSALQEEDALEIGDSNQQHDDIHERLNEIKNH FQDQISQHGKEAIKSKKGKNGPRGRRSSDDDSLEVQDHQSGNEQAGGGQGGRGRSELRGQ NGKGSQKGKKGKGGQQEQKGKGGQQKQKGKGGQKTKRGKGGQRSQQDNVQEVYQE >ENSMUSP00000132103.1 pep:known chromosome:GRCm38:5:11770548:11775300:1 gene:ENSMUSG00000094205.7 transcript:ENSMUST00000167566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8926 description:predicted gene 8926 [Source:MGI Symbol;Acc:MGI:3779823] ARERRKDAGLPSESNEGRRRWTWRMWRARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQ LRNEQRDHLIDFKQSSNYNRTKPTQKKNPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQ ELNKWIDYFTNLHSQLLMEKNLKMPVTQNQKNKEVEIDWALIEKYLVDLNLNGRTGADQQ P >ENSMUSP00000136071.1 pep:known chromosome:GRCm38:5:11771686:11774032:1 gene:ENSMUSG00000094205.7 transcript:ENSMUST00000178158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8926 description:predicted gene 8926 [Source:MGI Symbol;Acc:MGI:3779823] ARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKQSSNYNRTKPTQKK NPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMPVT QNQKNKEVEIDWALIEKYLVDLNLNGRTGADQQP >ENSMUSP00000146925.1 pep:known chromosome:GRCm38:19:12279854:12283594:1 gene:ENSMUSG00000095640.2 transcript:ENSMUST00000207186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1434 description:olfactory receptor 1434 [Source:MGI Symbol;Acc:MGI:3031268] MIGGRNITKITQFILLGFSDFPQITALLFVMFLTLYITALTWNLSLVVLIRMDSYLHTPM YFFLSNLSFIDFCYISSTVPKMLSNLFQEKQTISFVGCIVQYFIFSTMGLSESCLMTAMA YDRYAAICNPLLYSSVMSPTLCAQMVMGSYTAGLVSSLSQICVLLQLHFCGSNVIRHFFC D >ENSMUSP00000147137.1 pep:known chromosome:GRCm38:19:12279939:12283429:1 gene:ENSMUSG00000095640.2 transcript:ENSMUST00000207915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1434 description:olfactory receptor 1434 [Source:MGI Symbol;Acc:MGI:3031268] MIGGRNITKITQFILLGFSDFPQITALLFVMFLTLYITALTWNLSLVVLIRMDSYLHTPM YFFLSNLSFIDFCYISSTVPKMLSNLFQEKQTISFVGCIVQYFIFSTMGLSESCLMTAMA YDRYAA >ENSMUSP00000146411.1 pep:known chromosome:GRCm38:19:12282984:12284212:1 gene:ENSMUSG00000095640.2 transcript:ENSMUST00000208197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1434 description:olfactory receptor 1434 [Source:MGI Symbol;Acc:MGI:3031268] MIGGRNITKITQFILLGFSDFPQITALLFVMFLTLYITALTWNLSLVVLIRMDSYLHTPM YFFLSNLSFIDFCYISSTVPKMLSNLFQEKQTISFVGCIVQYFIFSTMGLSESCLMTAMA YDRYAAICNPLLYSSVMSPTLCAQMVMGSYTAGLVSSLSQICVLLQLHFCGSNVIRHFFC DMPQLLNLSCNDTFFAHVLLVILTMFFGLINALAIMVSYGYIASSIMKITSANGRSKAFN TCASHLTAVSLFYSSGIFVYLSSSSGGSSSFDRFASVFYSVVIPMLNPLIYSLRNKEIKD AMNRLQKKVICS >ENSMUSP00000085115.3 pep:known chromosome:GRCm38:19:12279854:12283988:1 gene:ENSMUSG00000095640.2 transcript:ENSMUST00000087814.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1434 description:olfactory receptor 1434 [Source:MGI Symbol;Acc:MGI:3031268] MIGGRNITKITQFILLGFSDFPQITALLFVMFLTLYITALTWNLSLVVLIRMDSYLHTPM YFFLSNLSFIDFCYISSTVPKMLSNLFQEKQTISFVGCIVQYFIFSTMGLSESCLMTAMA YDRYAAICNPLLYSSVMSPTLCAQMVMGSYTAGLVSSLSQICVLLQLHFCGSNVIRHFFC DMPQLLNLSCNDTFFAHVLLVILTMFFGLINALAIMVSYGYIASSIMKITSANGRSKAFN TCASHLTAVSLFYSSGIFVYLSSSSGGSSSFDRFASVFYSVVIPMLNPLIYSLRNKEIKD AMNRLQKKVICS >ENSMUSP00000069230.7 pep:known chromosome:GRCm38:5:139359739:139460502:-1 gene:ENSMUSG00000053553.11 transcript:ENSMUST00000066052.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110082I17Rik description:RIKEN cDNA 3110082I17 gene [Source:MGI Symbol;Acc:MGI:1920462] MAKHKRKGLEGTGKESKRQKITPAEETPRTSEAGPDKETASTLVQEASPELSPEERRVLE RKLKKERKKEEKKRLREAGIAATQTAKVQTLPAKPSAATLALEYLQGWAQKQESWRFQKT RQTWLLLHMYDEDKVPDEHFPTLLDYLEGLRGSARELTVRKAEALMQKLDEAEPEDSGGS PGKVQRLRQVLQLLS >ENSMUSP00000142949.1 pep:known chromosome:GRCm38:5:139359739:139460527:-1 gene:ENSMUSG00000053553.11 transcript:ENSMUST00000198474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110082I17Rik description:RIKEN cDNA 3110082I17 gene [Source:MGI Symbol;Acc:MGI:1920462] MAKHKRKGLEGTGKESKRQKITPAEETPRTSEAGPDKETASTLVQEASPELSPEERRVLE RKLKKERKKEEKKRLREAGIAATQTAKVQTLPAKPSAATLALEYLQGWAQKQESWRFQKT RQTWLLLHMYDEDKVCAHGWAKGGWGAVGHSGHRVSAWQVGTWVSGSMHSTWTTKQSS >ENSMUSP00000026196.7 pep:known chromosome:GRCm38:19:43499752:43524605:-1 gene:ENSMUSG00000025190.13 transcript:ENSMUST00000026196.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Got1 description:glutamic-oxaloacetic transaminase 1, soluble [Source:MGI Symbol;Acc:MGI:95791] MAPPSVFAQVPQAPPVLVFKLTADFRDDPDPRKVNLGVGAYRTDESQPWVLPVVRKVEQK IANDNSLNHEYLPILGLAEFRSCASRLVLGDNSLAIRENRVGGVQSLGGTGALRIGADFL GRWYNGTDNKNTPIYVSSPTWENHNAVFSAAGFKDIRPYCYWDAEKRGLDLQGFLNDLEN APEFSIFVLHACAHNPTGTDPTPEQWKQIAAVMQRRFLFPFFDSAYQGFASGDLEKDAWA IRYFVSEGFELFCAQSFSKNFGLYNERVGNLTVVGKESDSVLRVLSQMEKIVRITWSNPP AQGARIVAATLSDPELFKEWKGNVKTMADRILTMRSELRARLEALKTPGTWSHITEQIGM FSFTGLNPKQVEYLVNEKHIYLLPSGRINMCGLTTKNLDYVATSIHEAVTKIQ >ENSMUSP00000117986.1 pep:known chromosome:GRCm38:19:43500021:43515865:-1 gene:ENSMUSG00000025190.13 transcript:ENSMUST00000133325.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Got1 description:glutamic-oxaloacetic transaminase 1, soluble [Source:MGI Symbol;Acc:MGI:95791] XAYRTDESQPWVLPVVRKVEQKIANDNSLNHEYLPILGLAEFRSCASRLVLGDNSLAIRE NRVGGVQSLGGTGALRIGADFLGRWYNGTDNKNTPIYVSSPTWENHNAVFSAAGFKDIRP YCYWDAEKRGLDLQGFLNDLENAPEFSIFVLHACAHNPTGTDPTPEQWKQIAAVMQMREW GI >ENSMUSP00000073326.6 pep:known chromosome:GRCm38:6:40948375:40951870:-1 gene:ENSMUSG00000063252.6 transcript:ENSMUST00000073642.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4744 description:predicted gene 4744 [Source:MGI Symbol;Acc:MGI:3643181] MIPHPDYNSWTFDNDIMLIKLKLPATLNSKVSTVSLPQSCPSAGTECLVSGWGVLQFGFE SPSSVLQCLDAPVLSDSVCHKAYPLQITSNMFCLGFLEGGKDSCQYDSGGPVVCNGQVQG VVSWGVGCALEGKPGIYTKVCNYLNWINQTIAEN >ENSMUSP00000071811.3 pep:known chromosome:GRCm38:9:109717566:109746089:-1 gene:ENSMUSG00000059547.3 transcript:ENSMUST00000071917.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw26 description:F-box and WD-40 domain protein 26 [Source:MGI Symbol;Acc:MGI:3646662] MQTHLPSLPMMEIFSYLDAYSLLQVAQVNKNWNALASSDVLWRKLCQKRWYYCDMDTLQL HGKETWKQFFVYRTWQEHAKTRAKPEDFTYKEIPVEFEFRAYAYYISKHGLKRNGQGKSA VCMVTSMNRISTWDIHEGTMTWVSPVQEFYIELMTTLPEMHIVVTVDIRSTIKLWDCHNS EALATKRMVSSCQLLKAVITKDGPIVLIGDILGNLYIFRIPDLHLISEVNVFPFAIDELH CSPQKKWVFLIMKHPHVLTKVFYMSSLLRPTEFSDPVSTVLEFSLCKRAFWTPRREDRIT LMSRNVPPSHTKFGIFDMKLEEIGNKVTIQGYLTASFSLAEHEGRPECFGVSDKDVFVCS TGSSLLLFDINGLCLQSFQYCPEMITRLCVDPVHVIITCNNGSLDVYAWEERSPLLRKCY RLQNRRYLPPSSFINKALCDDVSIIGVMTNSPAPCYLMAYTLNICS >ENSMUSP00000065043.4 pep:known chromosome:GRCm38:3:106016919:106024911:-1 gene:ENSMUSG00000043873.11 transcript:ENSMUST00000066537.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil5 description:chitinase-like 5 [Source:MGI Symbol;Acc:MGI:2676649] GRDFGPAPFSAMVSTPHNQQTFINSAIKFLRQYGFDGLNLDWQFPGSRGSPSRDKHLFTV LVQDYIMTYWNENGAAPEKLIVGFPAYGQTFTLSDPSNNGISAPTASAGTLGPYTEESGT WAYYEICSFLNDGATEAWDSAQEVPYAYQGNKWVGYDNVKSFRIKAEWLKQNNLGGAMLW TLDMDDFTGSFCNQGQFPLTSTLKNALLVYSTSCMASVSDLQQVNAPLYSGNRNWKRGSK AMDSVSPVPRE >ENSMUSP00000052345.3 pep:known chromosome:GRCm38:3:106016965:106024916:-1 gene:ENSMUSG00000043873.11 transcript:ENSMUST00000054973.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chil5 description:chitinase-like 5 [Source:MGI Symbol;Acc:MGI:2676649] XGGRDFGPAPFSAMVSTPHNQQTFINSAIKFLRQYGFDGLNLDWQFPGSRGSPSRDKHLF TVLVQLPRLHPGHDLQSPWLPRWLYWGKQPTLQIPK >ENSMUSP00000142477.1 pep:known chromosome:GRCm38:3:106017132:106032814:-1 gene:ENSMUSG00000043873.11 transcript:ENSMUST00000200146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil5 description:chitinase-like 5 [Source:MGI Symbol;Acc:MGI:2676649] MTKLILVTGLALLLNPQLGSAYQLMCYYNNVAQNRPKLGSFNPADIDPCLCTHLIYAFAG MQNNKVTMRSMNDLTDYQALNTLKSRNVQLKTLLAIGGRDFGPAPFSAMVSTPHNQQTFI NSAIKFLRQYGFDGLNLDWQFPGSRGSPSRDKHLFTVLVQKIREAFELEAIENKSPRLMV TATVAGVISTIQSGYEIPQLSHFLDYIQVMTYNLHGSQDGYTGENSPLYKSLNDTGINTL LNVDYIMTYWNENGAAPEKLIVGFPAYGQTFTLSDPSNNGISAPTASAGTLGPYTEESGT WAYYEICSFLNDGATEAWDSAQEVPYAYQGNKWVGYDNVKSFRIKAEWLKQNNLGGAMLW TLDMDDFTGSFCNQGQFPLTSTLKNALLVYSTSCMASVSDLQQVNAPLYSGNRNWKRGSK AMDSVSPVPRE >ENSMUSP00000006470.7 pep:known chromosome:GRCm38:7:30568858:30588717:-1 gene:ENSMUSG00000006307.16 transcript:ENSMUST00000006470.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2b description:lysine (K)-specific methyltransferase 2B [Source:MGI Symbol;Acc:MGI:109565] MAAAAGGGSCPGPGSARGRFPGRPRGSGGGGGRGGRGNGAERVRVALRRGGGAAGPGGAE PGEDTALLRLLGLRRGLRRLRRLWAGARVQRGRGRGRGRGWGPNRGCMPEEESSDGESEE EEFQGFHSDEDVAPSSLRSALRSQRGRAPRGRGRKHKTTPLPPRLADVTPVPPKAPTRKR GEEGTERMVQALTELLRRSQAPQPPRSRARAREPSTPRRSRGRPPGRPAGPCRKKQQAVV LAEAAVTIPKPEPPPPVVPVKNKAGSWKCKEGPGPGPGTPKRGGQPGRGGRGGRGRGRGG LPLMIKFVSKAKKVKMGQLSQELESGQGHGQRGESWQDAPQRKDGDEPERGSCRKKQEQK LEEEEEEEEKEGEEKEEKDDNEDNNKQEEEEETERAVAEEEAMLAKEKEEAKLPSPPLTP PVPSPPPPLPPPSTSPPPPASPLPPPVSPPPPLSPPPYPAPEKQEESPPLVPATCSRKRG RPPLTPSQRAEREAARSGPEGTLSPTPNPSTTTGSPLEDSPTVVPKSTTFLKNIRQFIMP VVSARSSRVIKTPRRFMDEDPPKPPKVEASIVRPPVATSPPAPQEPVPVSSPPRVPTPPS TPVPLPEKRRSILREPTFRWTSLTRELPPPPPAPPPAPSPPPAPATPSRRPLLLRAPQFT PSEAHLKIYESVLTPPPLGALETPEPELPPADDSPAEPEPRAVGRTNHLSLPRFVPVVTS PVKVEVPPHGAPALSEGQQLQLQQPPQALQTQLLPQALPPQQPQAQPPPSPQHTPPLEKA RVASLGSLPLSGVEEKMFSLLKRAKVQLFKIDQQQQQKVAASMPLSPAVQTEEAVGTVKQ TPDRGCVRSEDESMEAKRDRASGPESPLQGPRIKHVCRHAAVALGQARAMVPEDVPRLSA LPLRDRQDLATEDTSSASETESVPSRSQREKVESAGPGGDSEPTGSTGALAHTPRRSLPS HHGKKMRMARCGHCRGCLRVQDCGSCVNCLDKPKFGGPNTKKQCCVYRKCDKIEARKMER LAKKGRTIVKTLLPWDSDESPEASPGPPGPRRGAGAGGSREEVGATPGPEEQDSLLLQRK SARRCVKQRPSYDVFEDSDDSEPGGPPAPRRRTPREHELPVLEPEEQSRPRKPTLQPVLQ LKARRRLDKDALAPGPFASFPNGWTGKQKSPDGVHRVRVDFKEDCDLENVWLMGGLSVLT SVPGGPPMVCLLCASKGLHELVFCQVCCDPFHPFCLEEAERPSPQHRDTWCCRRCKFCHV CGRKGRGSKHLLECERCRHAYHPACLGPSYPTRATRRRRHWICSACVRCKSCGATPGKNW DVEWSGDYSLCPRCTELYEKGNYCPICTRCYEDNDYESKMMQCAQCDHWVHAKCEGLSDE DYEILSGLPDSVLYTCGPCAGATQPRWREALSGALQGGLRQVLQGLLSSKVAGPLLLCTQ CGQDGKQLHPGPCDLQAVGKRFEEGLYKSVHSFMEDVVAILMRHSEEGETPERRAGSQMK GLLLKLLESAFCWFDAHDPKYWRRSTRLPNGVLPNAVLPPSLDHVYAQWRQQESETPESG QPPGDPSAAFQSKDPAAFSHLDDPRQCALCLKYGDADSKEAGRLLYIGQNEWTHVNCAIW SAEVFEENDGSLKNVHAAVARGRQMRCELCLKPGATVGCCLSSCLSNFHFMCARASYCIF QDDKKVFCQKHTDLLDGKEIVTPDGFDVLRRVYVDFEGINFKRKFLTGLEPDVINVLIGS IRINSLGTLSDLSDCEGRLFPIGYQCSRLYWSTVDARRRCWYRCRILEYRPWGPREEPVH LEAAEENQTIVHSPTPSSEPPNHDDLPDTDSLIPGDPVHHSPIQNLDPPLRTDSSNGPPP TPRSFSGARIKVPNYSPSRRPLGGVSFGPLPSPGSPSSLTHHIPTVGDSDFPAPPRRSRR PSPLATRPPPSRRTSSPLRTSPQLRVPLSTSVTALTPTSGELAPPDLAPSPLPPSEDLGP DFEDMEVVSGLSAADLDFAASLLGTEPFQEEIVAAGAVGSSQGGPGDSSEEEASPTTHYV HFPVTVVSGPALAPSSLAGAPRIEQLDGVDDGTDSEAEAVQQPRGQGTPPSGPGVGRGGV LGAAGDRAQPPEDLPSEIVDFVLKNLGGPGEGAAGPREDSLPSAPPLANGSQPPQSLSTS PADPTRTFAWLPGAPGVRVLSLGPAPEPPKPATSKIILVNKLGQVFVKMAGEGEPVAPPV KQPPLPPIIPPTAPTSWTLPPGPLLSVLPVVGVGVVRPAPPPPPPPLTLVFSSGPPSPPR QAIRVKRVSTFSGRSPPVPPPNKTPRLDEDGESLEDAHHVPGISGSGFSRVRMKTPTVRG VLDLNNPGEQPEEESPGRPQDRCPLLPLAEAPSQALDGSSDLLFESQWHHYSGEASSSEE EPPSPEDKENQVPKRVGPHLRFEISSDDGFSVEAESLEVAWRTLIEKVQEARGHARLRHL SFSGMSGARLLGIHHDAVIFLAEQLPGAQRCQHYKFRYHQQGEGQEEPPLNPHGAARAEV YLRKCTFDMFNFLASQHRVLPEGATCDEEEDEVQLRSTRRATSLELPMAMRFRHLKKTSK EAVGVYRSAIHGRGLFCKRNIDAGEMVIEYSGIVIRSVLTDKREKFYDGKGIGCYMFRMD DFDVVDATMHGNAARFINHSCEPNCFSRVIHVEGQKHIVIFALRRILRGEELTYDYKFPI EDASNKLPCNCGAKRCRRFLN >ENSMUSP00000103789.2 pep:known chromosome:GRCm38:7:30568858:30588726:-1 gene:ENSMUSG00000006307.16 transcript:ENSMUST00000108154.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2b description:lysine (K)-specific methyltransferase 2B [Source:MGI Symbol;Acc:MGI:109565] MAAAAGGGSCPGPGSARGRFPGRPRGSGGGGGRGGRGNGAERVRVALRRGGGAAGPGGAE PGEDTALLRLLGLRRGLRRLRRLWAGARVQRGRGRGRGRGWGPNRGCMPEEESSDGESEE EEFQGFHSDEDVAPSSLRSALRSQRGRAPRGRGRKHKTTPLPPRLADVTPVPPKAPTRKR GEEGTERMVQALTELLRRSQAPQPPRSRARAREPSTPRRSRGRPPGRPAGPCRKKQQAVV LAEAAVTIPKPEPPPPVVPVKNKAGSWKCKEGPGPGPGTPKRGGQPGRGGRGGRGRGRGG LPLMIKFVSKAKKVKMGQLSQELESGQGHGQRGESWQDAPQRKDGDEPERGSCRKKQEQK LEEEEEEEEKEGEEKEEKDDNEDNNKQEEEEETERAVAEEEAMLAKEKEEAKLPSPPLTP PVPSPPPPLPPPSTSPPPPASPLPPPVSPPPPLSPPPYPAPEKQEESPPLVPATCSRKRG RPPLTPSQRAEREAARSGPEGTLSPTPNPSTTTGSPLEDSPTVVPKSTTFLKNIRQFIMP VVSARSSRVIKTPRRFMDEDPPKPPKVEASIVRPPVATSPPAPQEPVPVSSPPRVPTPPS TPVPLPEKRRSILREPTFRWTSLTRELPPPPPAPPPAPSPPPAPATPSRRPLLLRAPQFT PSEAHLKIYESVLTPPPLGALETPEPELPPADDSPAEPEPRAVGRTNHLSLPRFVPVVTS PVKVEVPPHGAPALSEGQQLQLQQPPQALQTQLLPQALPPQQPQAQPPPSPQHTPPLEKA RVASLGSLPLSGVEEKMFSLLKRAKVQLFKIDQQQQQKVAASMPLSPAVQTEEAVGTVKQ TPDRGCVRSEDESMEAKRDRASGPESPLQGPRIKHVCRHAAVALGQARAMVPEDVPRLSA LPLRDRQDLATEDTSSASETESVPSRSQREKVESAGPGGDSEPTGSTGALAHTPRRSLPS HHGKKMRMARCGHCRGCLRVQDCGSCVNCLDKPKFGGPNTKKQCCVYRKCDKIEARKMER LAKKGRTIVKTLLPWDSDESPEASPGPPGPRRGAGAGGSREEVGATPGPEEQDSLLLQRK SARRCVKQRPSYDVFEDSDDSEPGGPPAPRRRTPREHELPVLEPEEQSRPRKPTLQPVLQ LKARRRLDKDALAPGPFASFPNGWTGKQKSPDGVHRVRVDFKEDCDLENVWLMGGLSVLT SVPGGPPMVCLLCASKGLHELVFCQVCCDPFHPFCLEEAERPSPQHRDTWCCRRCKFCHV CGRKGRGSKHLLECERCRHAYHPACLGPSYPTRATRRRRHWICSACVRCKSCGATPGKNW DVEWSGDYSLCPRCTELYEKGNYCPICTRCYEDNDYESKMMQCAQCDHWVHAKCEGLSDE DYEILSGLPDSVLYTCGPCAGATQPRWREALSGALQGGLRQVLQGLLSSKVAGPLLLCTQ CGQDGKQLHPGPCDLQAVGKRFEEGLYKSVHSFMEDVVAILMRHSEEGETPERRAGSQMK GLLLKLLESAFCWFDAHDPKYWRRSTRLPNGVLPNAVLPPSLDHVYAQWRQQESETPESG QPPGDPSAAFQSKDPAAFSHLDDPRQCALCLKYGDADSKEAGRLLYIGQNEWTHVNCAIW SAEVFEENDGSLKNVHAAVARGRQMRCELCLKPGATVGCCLSSCLSNFHFMCARASYCIF QDDKKVFCQKHTDLLDGKEIVTPDGFDVLRRVYVDFEGINFKRKFLTGLEPDVINVLIGS IRINSLGTLSDLSDCEGRLFPIGYQCSRLYWSTVDARRRCWYRCRILEYRPWGPREEPVH LEAAEENQTIVHSPTPSSDTDSLIPGDPVHHSPIQNLDPPLRTDSSNGPPPTPRSFSGAR IKVPNYSPSRRPLGGVSFGPLPSPGSPSSLTHHIPTVGDSDFPAPPRRSRRPSPLATRPP PSRRTSSPLRTSPQLRVPLSTSVTALTPTSGELAPPDLAPSPLPPSEDLGPDFEDMEVVS GLSAADLDFAASLLGTEPFQEEIVAAGAVGSSQGGPGDSSEEEASPTTHYVHFPVTVVSG PALAPSSLAGAPRIEQLDGVDDGTDSEAEAVQQPRGQGTPPSGPGVGRGGVLGAAGDRAQ PPEDLPSEIVDFVLKNLGGPGEGAAGPREDSLPSAPPLANGSQPPQSLSTSPADPTRTFA WLPGAPGVRVLSLGPAPEPPKPATSKIILVNKLGQVFVKMAGEGEPVAPPVKQPPLPPII PPTAPTSWTLPPGPLLSVLPVVGVGVVRPAPPPPPPPLTLVFSSGPPSPPRQAIRVKRVS TFSGRSPPVPPPNKTPRLDEDGESLEDAHHVPGISGSGFSRVRMKTPTVRGVLDLNNPGE QPEEESPGRPQDRCPLLPLAEAPSQALDGSSDLLFESQWHHYSAGEASSSEEEPPSPEDK ENQVPKRVGPHLRFEISSDDGFSVEAESLEVAWRTLIEKVQEARGHARLRHLSFSGMSGA RLLGIHHDAVIFLAEQLPGAQRCQHYKFRYHQQGEGQEEPPLNPHGAARAEVYLRKCTFD MFNFLASQHRVLPEGATCDEEEDEVQLRSTRRATSLELPMAMRFRHLKKTSKEAVGVYRS AIHGRGLFCKRNIDAGEMVIEYSGIVIRSVLTDKREKFYDGKGIGCYMFRMDDFDVVDAT MHGNAARFINHSCEPNCFSRVIHVEGQKHIVIFALRRILRGEELTYDYKFPIEDASNKLP CNCGAKRCRRFLN >ENSMUSP00000118486.1 pep:known chromosome:GRCm38:7:30568860:30585340:-1 gene:ENSMUSG00000006307.16 transcript:ENSMUST00000131002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2b description:lysine (K)-specific methyltransferase 2B [Source:MGI Symbol;Acc:MGI:109565] XSLPRFVPVVTSPVKVEVPPHGAPALSEGQQLQLQQPPQALQTQLLPQALPPQQPQAQPP PSPQHTPPLEKARVASLGSLPLSGVEEKMFSLLKRAKVQLFKIDQQQQQKVAASMPLSPA VQTEEAVGTVKQTPDRGCVRSEDESMEAKRDRASGPESPLQGPRIKHVCRHAAVALGQAR AMVPEDVPRLSALPLRDRQDLATEDTSSASETESVPSRSQREKVESAGPGGDSEPTGSTG ALAHTPRRSLPSHHGKKMRMARCGHCRGCLRVQDCGSCVNCLDKPKFGGPNTKKQCCVYR KCDKIEARKMERLAKKGRTIVKTLLPWDSDESPEASPGPPGPRRGAGAGGSREEVGATPG PEEQDSLLLQRKSARRCVKQRPSYDVFEDSDDSEPGGPPAPRRRTPREHELPVLEPEEQS RPRKPTLQPVLQLKARRRLDKDALAPGPFASFPNGWTGKQKSPDGVHRVRVDFKEDCDLE NVWLMGGLSVLTSVPGGPPMVCLLCASKGLHELVFCQVCCDPFHPFCLEEAERPSPQHRD TWCCRRCKFCHVCGRKGRGSKHLLECERCRHAYHPACLGPSYPTRATRRRRHWICSACVR CKSCGATPGKNWDVEWSGDYSLCPRCTELYEKGNYCPICTRCYEDNDYESKMMQCAQCDH WVHAKCEGLSDEDYEILSGLPDSVLYTCGPCAGATQPRWREALSGALQGGLRQVLQGLLS SKVAGPLLLCTQCGQDGKQLHPGPCDLQAVGKRFEEGLYKSVHSFMEDVVAILMRHSEEG ETPERRAGSQMKGLLLKLLESAFCWFDAHDPKYWRRSTRLPNGVLPNAVLPPSLDHVYAQ WRQQESETPESGQPPGDPSAAFQSKDPAAFSHLDDPRQCALCLKYGDADSKEAGRLLYIG QNEWTHVNCAIWSAEVFEENDGSLKNVHAAVARGRQMRCELCLKPGATVGCCLSSCLSNF HFMCARASYCIFQDDKKVFCQKHTDLLDGKEIVTPDGFDVLRRVYVDFEGINFKRKFLTG LEPDVINVLIGSIRINSLGTLSDLSDCEGRLFPIGYQCSRLYWSTVDARRRCWYRCRILE YRPWGPREEPVHLEAAEENQTIVHSPTPSSEPPNHDDLPDTDSLIPGDPVHHSPIQNLDP PLRTDSSNGPPPTPRSFSGARIKVPNYSPSRRPLGGVSFGPLPSPGSPSSLTHHIPTVGD SDFPAPPRRSRRPSPLATRPPPSRRTSSPLRTSPQLRVPLSTSVTALTPTSGELAPPDLA PSPLPPSEDLGPDFEDMEVVSGLSAADLDFAASLLGTEPFQEEIVAAGAVGSSQGGPGDS SEEEASPTTHYVHFPVTVVSGPALAPSSLAGAPRIEQLDGVDDGTDSEAEAVQQPRGQGT PPSGPGVGRGGVLGAAGDRAQPPEDLPSEIVDFVLKNLGGPGEGAAGPREDSLPSAPPLA NGSQPPQSLSTSPADPTRTFAWLPGAPGVRVLSLGPAPEPPKPATSKIILVNKLGQVFVK MAGEGEPVAPPVKQPPLPPIIPPTAPTSWTLPPGPLLSVLPVVGVGVVRPAPPPPPPPLT LVFSSGPPSPPRQAIRVKRVSTFSGRSPPVPPPNKTPRLDEDGESLEDAHHVPGISGSGF SRVRMKTPTVRGVLDLNNPGEQPEEESPGRPQDRCPLLPLAEAPSQALDGSSDLLFESQW HHYSAGEASSSEEEPPSPEDKENQVPKRVGPHLRFEISSDDGFSVEAESLEVAWRTLIEK VQEARGHARLRHLSFSGMSGARLLGIHHDAVIFLAEQLPGAQRCQHYKFRYHQQGEGQEE PPLNPHGAARAEVYLRKCTFDMFNFLASQHRVLPEGATCDEEEDEVQLRSTRRATSLELP MAMRFRHLKKTSKEAVGVYRSAIHGRGLFCKRNIDAGEMVIEYSGIVIRSVLTDKREKFY DGKGIGCYMFRMDDFDVVDATMHGNAARFINHSCEPNCFSRVIHVEGQKHIVIFALRRIL RGEELTYDYKFPIEDASNKLPCNCGAKRCRRFLN >ENSMUSP00000080370.3 pep:known chromosome:GRCm38:5:139423151:139427800:1 gene:ENSMUSG00000053647.4 transcript:ENSMUST00000066211.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gper1 description:G protein-coupled estrogen receptor 1 [Source:MGI Symbol;Acc:MGI:1924104] MDATTPAQTVGVEIYLGPVWPAPSNSTPLALNLSLALREDAPGNLTGDLSEHQQYVIALF LSCLYTIFLFPIGFVGNILILVVNISFREKMTIPDLYFINLAAADLILVADSLIEVFNLD EQYYDIAVLCTFMSLFLQINMYSSVFFLTWMSFDRYLALAKAMRCGLFRTKHHARLSCGL IWMASVSATLVPFTAVHLRHTEEACFCFADVREVQWLEVTLGFIMPFAIIGLCYSLIVRA LIRAHRHRGLRPRRQKALRMIFAVVLVFFICWLPENVFISVHLLQWTQPGDTPCKQSFRH AYPLTGHIVNLAAFSNSCLNPLIYSFLGETFRDKLRLYVEQKTSLPALNRFCHATLKAVI PDSTEQSEVRFSSAV >ENSMUSP00000124462.1 pep:known chromosome:GRCm38:1:178529125:178939200:1 gene:ENSMUSG00000026494.12 transcript:ENSMUST00000161017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif26b description:kinesin family member 26B [Source:MGI Symbol;Acc:MGI:2447076] MNSVAGNKERLAVSTRGKKYGVNEMCSPTKPSAPCSPESWYRKAYEESRAGSRPTPEGAG SALGSSGTPSPGSGTSSPSSFTGSPGPASPGIGTSSPGSLGGSPGFGTGSPGSGSGGGSS PGSDRGVWCENCNARLVELKRQALKLLLPGPLPGKDPAFSAVIHDKLQVPNTIRKSWNDR DNRCDICATHLNQLKQEAIQMVLTLEQAAGSEHYDTSLGSPPPISSIPTLVGSRHMGGLQ QPREWAFVPAPYATSTYTGLVNKHSGKPNSLGVSNGAEKKSGSPTHQAKVSLQMATSPSN GNILNSVAIQAHQYLDGTWSLSRTNGVTLYPYQISQLMTETGREGLTESALNRYNADKPA ASSVPAPQGSCVASETSTGTSVAASFFARAAQKLNLSSKKKKHRPSTPSVAEAPLFATSF SGILQTSPPPAPPCLLRAVNKVKDTPGMGKVKVMLRICSTSARDTSESSSFLKVDPRKKQ ITLYDPLTCGGQNAFQKRSSQVPPKMFAFDAVFPQDASQAEVCAGTVAEVIQSVVNGADG CVFCFGHAKLGKSYTMIGRDDSMQNLGIIPCAISWLFKLINERKEKTGARFSVRISAVEV WGKEENLRDLLSEVATGSLQDGQSPGVYLCEDPICGTQLQNQSELRAPTAEKAAFFLDAA IASRRSNQQDCDEDDHRNSHMLFTLHIYQYRMEKSGKGGMSGGRSRLHLIDLGSCVKALS KNREGGSGLCLSLSALGNVILALVNGSKHIPYKESKLTMLLRESLGNVNCRTTMIAHISA AASSYAETLSTIQIASRVLRMKKKKTKYTSSSSGGESSCEEGRMRRPTQLRPFHARAPVD PEFPLAPLSSDPDYSSSSEQSCDTVIYIGPNGTALSDKELTDNEGPPDFVPIVPALQKTR GDSRPGEAAEAAASKSERDCLKCNTFAELQERLDCIDGSEEPSKFPFEELPIQFGPEQAG RCAPLSQAVGPDTPSESEKEDNGSDNGQLTEREGTELPASKAQRNRSPAPTVTRSSSPSP ASPRSIPGSSSQHSTSQLTQSPSLQSSRESLNSCGFVEGKPRPMGSPRLGIASLSKTSEY KPPSSPSQRCKVYTQKGVLPSSAPPPSLSKDSGMVSSESLLQPDVRTPPVGMSPQVLKKS MSAGSEGFPGTLVDGEDQEGPPADSKKEILSTTMVTVQQPLELNGEDELVFTLVEELTIS GVLDSGRPTSIISFNSDCSVQALASGSRPVSIISSISEDLECYSSMAPVSEVSITQFLPL PKLGLDEKARDAGSRRSSISSWLSEMSAGSDGEQSCHSFIAQTCFGHGEAMAEPPASEFV SSIQNTAVVCREKPEVGPDNLLILSEMGEESGNKAAPIKGCKISTVGKAMVTISNTASLS SCEGYIPMKTNITVYPCIAMSPRNVQEPESSTATPKVSPKASQAQESKEPSTRREMKFED PWLKREEEVKRENAYSSEEGVKCEPLPSSLKTEGKSEQELDGRPSSGNRLSSSSSEAAAF QGTDNVRRVVDGCEMALPGLVAQSPLHVNRNLKSSSLPRAFQKADRHEELDSFYHCLADS NGFSAASGIPSSKTTLERKVASPKHCVLARPKGTPPLPPVRKSSLDQKNRASPQHGGGSS NTSSPLNQPATFLACFPDESNGKTKDVSSSSKLFSAKLEQLASRSNSLGRTTVSHYECLS LERAESLSSVSSRMHAGKDSTMPRTGRSLGRSTGASPPSCGITQSTGASPKASQSKISAV SKLLLASPKSRSSLSTSTTKTLSFSTKSLPQSVGQSSNLPPSGKHMSWSTQSLSRNRGSG LASKLPLRAVNGRISELLQGSAGPRSAQLRAEAEERSGAPSEDKPAAAHLLPSPYSKITP PRKPHRCSSGHGSDNSSVLSGELPPAMGKTALFYHSGGSSGYESMMRDSEATGSASSAQD SMSENSSSVGGRCRSLKNQKKRSNSGSQRRRLIPALSLDTPSPVRKTASSTGVRWVDGPL RSTQRSLGEPFEIKVYEIDDVERLQRRRGATSKEVMCFNAKLKILEHRQQRIAEVRAKYE WLMKELEATKQYLMLDPNKWLREFDLEQVLQLDSLEYLEALEGVTERLESRVNFCKAHLM MITCFDITSRRR >ENSMUSP00000124608.1 pep:known chromosome:GRCm38:1:178798438:178932855:1 gene:ENSMUSG00000026494.12 transcript:ENSMUST00000160789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif26b description:kinesin family member 26B [Source:MGI Symbol;Acc:MGI:2447076] MGKVKVMLRICSTSARDTSESSSFLKVDPRKKQITLYDPLTCGGQNAFQKRSSQVPPKMF AFDAVFPQDASQAEVCAGTVAEVIQSVVNGADGCVFCFGHAKLGKSYTMIGRDDSMQNLG IIPCAISWLFKLINERKEKTGARFSVRISAVEVWGKEENLRDLLSEVATGSLQDGQSPGV YLCEDPICGTQLQNQSELRAPTAEKAAFFLDAAIASRRSNQQDCDEDDHRNSHMLFTLHI YQYRMEKSGKGGMSGGRSRLHLIDLGSCVKALSKNREGGSGLCLSLSALGNVILALVNGS KHIPYKESKLTMLLRESLGNVNCRTTMIAHISAAASSYAETLSTIQIASRVLRMKKKKTK YTSSSSGGESSCEEGRMRRPTQLRPFHARAPVDPEFPLAPLSSDPDYSSSSEQSCDTVIY IGPNGTALSDKELTDNEGPPDFVPIVPALQKTRGDSRPGEAAEAAASKSERDCLKCNTFA ELQERLDCIDGSEEPSKFPFEELPIQFGPEQAGRCAPLSQAVGPDTPSESEKEDNGSDNG QLTEREGTELPASKAQRNRSPAPTVTRSSSPSPASPRSIPGSSSQHSTSQLTQSPSLQSS RESLNSCGFVEGKPRPMGSPRLGIASLSKTSEYKPPSSPSQRCKVYTQKGVLPSSAPPPS LSKDSGMVSSESLLQPDVRTPPVGMSPQVLKKSMSAGSEGFPGTLVDGEDQEGPPADSKK EILSTTMVTVQQPLELNGEDELVFTLVEELTISGVLDSGRPTSIISFNSDCSVQALASGS RPVSIISSISEDLECYSSMAPVSEVSITQFLPLPKLGLDEKARDAGSRRSSISSWLSEMS AGSDGEQSCHSFIAQTCFGHGEAMAEPPASEFVSSIQNTAVVCREKPEVGPDNLLILSEM GEESGNKAAPIKGCKISTVGKAMVTISNTASLSSCEGYIPMKTNITVYPCIAMSPRNVQE PESSTATPKVSPKASQAQESKEPSTRREMKFEDPWLKREEEVKRENAYSSEEGVKCEPLP SSLKTEGKSEQELDGRPSSGNRLSSSSSEAAAFQGTDNVRRVVDGCEMALPGLVAQSPLH VNRNLKSSSLPRAFQKADRHEELDSFYHCLADSNGFSAASGIPSSKTTLERKVASPKHCV LARPKGTPPLPPVRKSSLDQKNRASPQHGGGSSNTSSPLNQPATFLACFPDESNGKTKDV SSSSKLFSAKLEQLASRSNSLGRTTVSHYECLSLERAESLSSVSSRMHAGKDSTMPRTGR SLGRSTGASPPSCGITQSTGASPKASQSKISAVSKLLLASPKSRSSLSTSTTKTLSFSTK SLPQSVGQSSNLPPSGKHMSWSTQSLSRNRGSGLASKLPLRAVNGRISELLQGSAGPRSA QLRAEAEERSGAPSEDKPAAAHLLPSPYSKITPPRKPHRCSSGHGSDNSSVLSGELPPAM GKTALFYHSGGSSGYESMMRDSEATGSASSAQDSMSENSSSVGGRCRSLKNQKKRSNSGS QRRRLIPALSLDTPSPVRKTASSTGVRWVDGPLRSTQRSLGEPFEIKVYEIDDVERLQRR RGATSKEVMCFNAKLKILEHRQQRIAEVRAKYEWLMKELEATKQYLMLDPNKWLREFDLE QVLQLDSLEYLEALEGVTERLESRVNFCKAHLMMITCFDITSRRR >ENSMUSP00000020899.4 pep:known chromosome:GRCm38:12:8947929:8972028:1 gene:ENSMUSG00000020583.4 transcript:ENSMUST00000020899.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matn3 description:matrilin 3 [Source:MGI Symbol;Acc:MGI:1328350] MLLSAPLRHLPGLLLLLWPLLLLPSLAAPGRLARASVRRLGTRVPGGSPGHLSALATSTR APYSGGRGAGVCKSRPLDLVFIIDSSRSVRPLEFTKVKTFVSRIIDTLDIGATDTRVAVV NYASTVKIEFQLNTYSDKQALKQAVARITPLSTGTMSGLAIQTAMEEAFTVEAGARGPMS NIPKVAIIVTDGRPQDQVNEVAARARASGIELYAVGVDRADMESLKMMASKPLEEHVFYV ETYGVIEKLSARFQETFCALDQCMLGTHQCQHVCVSDGDGKHHCECSQGYTLNADGKTCS AIDKCALSTHGCEQICVNDRNGSYHCECYGGYALNADRRTCAALDKCASGTHGCQHICVN DGAGSHHCECFEGYTLNADKKTCSVRNKCALGTHGCQHICVSDGAVAYHCDCFPGYTLND DKKTCSDIEEARSLISIEDACGCGATLAFQEKVSSHLQKLNTKLDNILKKLKVTEYGQVH R >ENSMUSP00000118454.1 pep:known chromosome:GRCm38:17:15553224:15564340:-1 gene:ENSMUSG00000051977.14 transcript:ENSMUST00000147532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm9 description:PR domain containing 9 [Source:MGI Symbol;Acc:MGI:2384854] MPFSGESNVKEGSGIENLLNTSGSEHVQKPVSSLEEGNTSGQHSGKKLKLRKKNVEVKMY RLRERKGLAYEEVSEPQDDDYLYCEKCQNFFIDSCPNHGPPLFVKDSMVDRGHPNHSVLS LPPGLRISPSGIPEAGLGVWNEASDLPVGLHFGPYEGQITEDEEAANSGYSWLITKGRNC YEYVDGQDESQANWMRYVNCARDDEEQNLVAFQYHRKIFYRTCRVIRPGCELLVWYGDEY GQELGIKWGSKMKKGFTAGRGGHYYDSLKKKEKREFSLRIFIF >ENSMUSP00000131871.1 pep:known chromosome:GRCm38:17:15543079:15563323:-1 gene:ENSMUSG00000051977.14 transcript:ENSMUST00000167994.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm9 description:PR domain containing 9 [Source:MGI Symbol;Acc:MGI:2384854] MSCTMNTNKLEENSPEEDTGKFEWKPKVKDEFKDISIYFSKEEWAEMGEWEKIRYRNVKR NYKMLISIGLRAPRPAFMCYQRQAMKPQINDSEDSDEEWTPKQQVSPPWVPFRVKHSKQQ KESSRMPFSGESNVKEGSGIENLLNTSGSEHVQKPVSSLEEGNTSGQHSGKKLKLRKKNV EVKMYRLRERKGLAYEEVSEPQDDDYLYCEKCQNFFIDSCPNHGPPLFVKDSMVDRGHPN HSVLSLPPGLRISPSGIPEAGLGVWNEASDLPVGLHFGPYEGQITEDEEAANSGYSWLIT KGRNCYEYVDGQDESQANWMRYVNCARDDEEQNLVAFQYHRKIFYRTCRVIRPGCELLVW YGDEYGQELGIKWGSKMKKGFTAGRELRTEIHPCLLCSLAFSSQKFLTQHMEWNHRTEIF PGTSARINPKPGDPCSDQLQEQHVDSQNKNDKASNEVKRKSKPRQRISTTFPSTLKEQMR SEESKRTVEELRTGQTTNTEDTVKSFIASEISSIERQCGQYFSDKSNVNEHQKTHTGEKP YVCRECGRGFTQNSHLIQHQRTHTGEKPYVCRECGRGFTQKSDLIKHQRTHTGEKPYVCR ECGRGFTQKSDLIKHQRTHTGEKPYVCRECGRGFTQKSVLIKHQRTHTGEKPYVCRECGR GFTQKSVLIKHQRTHTGEKPYVCRECGRGFTAKSVLIQHQRTHTGEKPYVCRECGRGFTA KSNLIQHQRTHTGEKPYVCRECGRGFTAKSVLIQHQRTHTGEKPYVCRECGRGFTAKSVL IQHQRTHTGEKPYVCRECGRGFTQKSNLIKHQRTHTGEKPYVCRECGWGFTQKSDLIQHQ RTHTREK >ENSMUSP00000029651.4 pep:known chromosome:GRCm38:3:132981752:133091825:-1 gene:ENSMUSG00000028018.15 transcript:ENSMUST00000029651.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstcd description:glutathione S-transferase, C-terminal domain containing [Source:MGI Symbol;Acc:MGI:1914803] MKGIKKSPTEESLYLEYSHQTEGCIFPLHTSVTLFLLSYCECKVFNVCLVLTEESTDTSD TSFLKEALPQDLEIQVISKQALPPIVQNCCLPAVVDQPDVFCRAGLAVVLRHIIQKSYEA EPSRKEILELLGFKKTCLKACAEVSQWTRLCELTIPLAVENFLQESSEHPPTIPEEILEL ERKLSEPVRVHNDDKLRRQKLKQQKAAGSEPPSGKGKAKSKASAQKTPKDLAAPSKSLEL KVAFSKLTVQEDAAASNREPSHIRKAKAADLPPLEHVFAEGLYFTLADIVLLPCIHHFLV IICKKFSEKLEQFPLLTSWYQRIQEVPKVKTAASKCGIYFLYLPELLNSARKQPVNSDEV AAVDEQSDPLFIGGPRPTMTKLMEKGIEAMFSPHPCPAWTLDWSSLPAAVSPKEGKMSTD RALRKQQQLNNLVYLVLNQAKPGDRIVDFCSGGGHVGIVLAHMLPSCQVTLIENKELSLI RAKKRSDELGLSNIWFIQANMEYFTGMFNIGVALHACGVATDMVIEHCIQTRASFITCPC CYGFIQNTSKFNFPKSEKFKKTLSYKEHMLLCRFADQTAVQLPPERRLIGKQCMGLVDLD RAAAAGEHGYSVQVISMEPESCSPKNNMIVGVPL >ENSMUSP00000079421.5 pep:known chromosome:GRCm38:3:132982772:133092033:-1 gene:ENSMUSG00000028018.15 transcript:ENSMUST00000080583.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstcd description:glutathione S-transferase, C-terminal domain containing [Source:MGI Symbol;Acc:MGI:1914803] MKGIKKSPTEESLYLEYSHQTEGCIFPLHTSVTLFLLSYCECKVFNVCLVLTEESTDTSD TSFLKEALPQDLEIQVISKQALPPIVQNCCLPAVVDQPDVFCRAGLAVVLRHIIQKSYEA EPSRKEILELLGFKKTCLKACAEVSQWTRLCELTIPLAVENFLQESSEHPPTIPEEILEL ERKLSEPVRVHNDDKLRRQKLKQQKAAGSEPPSGKGKAKSKASAQKTPKDLAAPSKSLEL KVAFSKLTVQEDAAASNREPSHIRKAKAADLPPLEHVFAEGLYFTLADIVLLPCIHHFLV IICKKFSEKLEQFPLLTSWYQRIQEVPKVKTAASKCGIYFLYLPELLNSARKQPVNSDEV AAVDEQSDPLFIGGPRPTMTKLMEKGIEAMFSPHPCPAWTLDWSSLPAAVSPKEGKMSTD RALRKQQQLNNLVYLVLNQAKPGDRIVDFCSGGGHVGIVLAHMLPSCQVTLIENKELSLI RAKKRSDELGLSNIWFIQANMEYFTGMFNIGVALHACGVATDMVIEHCIQTRASFITCPC CYGFIQNTSKFNFPKSEKFKKTLSYKEHMLLCRFADQTAVQLPPERRLIGKQCMGLVDLD RAAAAGEHGYSVQVISMEPESCSPKNNMIVGVPL >ENSMUSP00000138844.1 pep:known chromosome:GRCm38:9:72450394:72491956:-1 gene:ENSMUSG00000090626.9 transcript:ENSMUST00000184312.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex9 description:testis expressed gene 9 [Source:MGI Symbol;Acc:MGI:1201610] MAGRSVRVPRRGSAGTQSRGQLAAGRDLLAREQEYKRLNEELEAKTADLVRQAEEVIREQ QEVRARPFSALTTSCKEEGGSSSRDLLSSEGTHPWTETKC >ENSMUSP00000082467.5 pep:known chromosome:GRCm38:9:72458572:72491956:-1 gene:ENSMUSG00000090626.9 transcript:ENSMUST00000085358.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex9 description:testis expressed gene 9 [Source:MGI Symbol;Acc:MGI:1201610] MQEELDSVVCECSKKEDKIQDLKSKVKNLEEDCVRQQRTVTSQQSQIEKYKNLFEEANKK CDELQQQLSSVERELESKRRLQKQAASSQSATEVRLNRALEEAEKYKVELSKLRQTNKDI TNEDHQKIEVLKSENKKLERQKGELMIGFKKQLKLIDILKRQKMHIEAAKMLSFSEEEFM KALEWGSS >ENSMUSP00000139026.1 pep:known chromosome:GRCm38:9:72459720:72492101:-1 gene:ENSMUSG00000090626.9 transcript:ENSMUST00000184125.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex9 description:testis expressed gene 9 [Source:MGI Symbol;Acc:MGI:1201610] MAGRSVRVPRRGSAGTQSRGQLAAGRDLLAREQEYKRLNEELEAKTADLVRQAEEVIREQ QEVRARPFSALTTSCKEEGGSSSRDLLSSEGTHPWTETKPKTKNTGPVNKIQNRLHSADK ERKTNSSAKLKYPDAQTANDVAIPDDFSDFSLAKTISRIEGQLDEDGLPECAEDDSFCGV SKDIGTEAQIRFLKAKLHVMQEELDSVVCECSKKEDKIQDLKSKVKNLEEDCVRQQRTVT SQQSQIEKYKNLFEEANKKCDELQQQLSSVERELESKRRLQKQAASSQSATEVRLNRALE EAEKYKVELSKLRQTNKDITNEDHQKIEVLKSENKKLERQKGELMIGFKKQLKLIDILKR QKMHIEAAKMLSFSEEEFMKALEWGSS >ENSMUSP00000138999.1 pep:known chromosome:GRCm38:9:72461075:72492212:-1 gene:ENSMUSG00000090626.9 transcript:ENSMUST00000184831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex9 description:testis expressed gene 9 [Source:MGI Symbol;Acc:MGI:1201610] MKAQIRFLKAKLHVMQEELDSVVCECSKKEDKIQDLKSKVKNLEEDCVRQQRTVTSQQSQ IEKYKNLFEEANKKCDELQQQLSSVERELESKRRLQKQAASSQSATEVRLNRALEEAEKY KVELSKLRQTNKDITNEDHQKIEVLKSENKKLERQKGELMIGFKKQLKLIDILKRQKMHI EAAKMLSFSEEEFMKALEWGSS >ENSMUSP00000139386.1 pep:known chromosome:GRCm38:9:72461076:72492101:-1 gene:ENSMUSG00000090626.9 transcript:ENSMUST00000183574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex9 description:testis expressed gene 9 [Source:MGI Symbol;Acc:MGI:1201610] MAGRSVRVPRRGSAGTQSRGQLAAGRDLLAREQEYKRLNEELEAKTADLVRQAEEVIREQ QEVRARPFSALTTSCKEEGGSSSRDLLSSEGTHPWTETKPKTKNTGPVNKIQNRLHSADK ERKTNSSAKLKYPDAQTANDVAIPDDFSDFSLAKTISRIEGQLDEDGLPECAEDDSFCGV SKDIGTEAQIRFLKAKLHVMQEELDSVVCECSKKELESKRRLQKQAASSQSATEVRLNRA LEEAEKYKVELSKLRQTNKDITNEDHQKIEVLKSENKKLERQKGELMIGFKKQLKLIDIL KRQKMHIEAAKMLSFSEEEFMKALEWGSS >ENSMUSP00000139247.1 pep:known chromosome:GRCm38:9:72461103:72492207:-1 gene:ENSMUSG00000090626.9 transcript:ENSMUST00000183856.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex9 description:testis expressed gene 9 [Source:MGI Symbol;Acc:MGI:1201610] MAGRSVRVPRRGSAGTQSRGQLAAGRDLLAREQEYKRLNEELEAKTADLVRQAEEVIREQ QEVRARPFSALTTSCKEEGGSSSRDLLSSEGTHPWTETKPKTKNTGPVNKIQNRLHSADK ERKTNSSAKLKYPDAQTANDVAIPDDFSDFSLAKTISRIEGQLDEDGLPECAEDDSFCGV SKDIGTEAQIRFLKAKLHVMQEELDSVVCECSKKEDKIQDLKSKVKNLEEDCVRQQRTVT SQQSQIEKYKNLFEEANKKCDELQQQLSSVERELESKRRLQKQAASSQSATEVRLNRALE EAEKYKVELSKLRQTNKDNQYLNNDLERRASN >ENSMUSP00000139212.1 pep:known chromosome:GRCm38:9:72461179:72492101:-1 gene:ENSMUSG00000090626.9 transcript:ENSMUST00000184557.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex9 description:testis expressed gene 9 [Source:MGI Symbol;Acc:MGI:1201610] MAGRSVRVPRRGSAGTQSRGQLAAGRDLLAREQEYKRLNEELEAKTADLVRQAEEVIREQ QEVRARPFSALTTSCKEEGGSSSRDLLSSEGTHPWTETKPKTKNTGPVNKIQNRLHSADK ERKTNSSAKLKYPDAQTANDVAIPDDFSDFSLAKTISRIEGQLDEDGLPECAEDDSFCGV SKDIGTEAQIRFLKAKLHVMQEELDSVVCECSKKEDKIQDLKSKVKNLEEDCVRQQRTVT SQQSQIEKYKNLFEEANKKCDELQQQLSSVEREAVCLLCML >ENSMUSP00000139326.1 pep:known chromosome:GRCm38:9:72461975:72488036:-1 gene:ENSMUSG00000090626.9 transcript:ENSMUST00000183428.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex9 description:testis expressed gene 9 [Source:MGI Symbol;Acc:MGI:1201610] XVRQAEEVIREQQEVRARPFSALTTSCKEEGGSSSRDLLSSEGTHPWTETKPKTKNTGPV NKIQNRLHSADKERKTNSRLPTMWPSQTISQTSPLPRRLAGLKGSWTRTACPSVQRTTAS VV >ENSMUSP00000138882.1 pep:known chromosome:GRCm38:9:72477837:72486795:-1 gene:ENSMUSG00000090626.9 transcript:ENSMUST00000183501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex9 description:testis expressed gene 9 [Source:MGI Symbol;Acc:MGI:1201610] XGSSSRDLLSSEGTHPWTETKTKNTGPVNKIQNRLHSADKERKTNSSAKLKYPDAQTAND VAIPDDFSDFSLAKTISRIEGQLDEDGLPECAEDDSFCGVSKDIGTEAQIRFLKAKLHVM QEELDSVVCECSKKEDKIQDLKSKVKNLEEDCVRQQRTV >ENSMUSP00000138929.1 pep:known chromosome:GRCm38:9:72477838:72491939:-1 gene:ENSMUSG00000090626.9 transcript:ENSMUST00000185151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex9 description:testis expressed gene 9 [Source:MGI Symbol;Acc:MGI:1201610] MQEELDSVVCECSKKEDKIQDLKSKVKNLEEDCVRQQRT >ENSMUSP00000119464.1 pep:known chromosome:GRCm38:5:139378163:139392763:1 gene:ENSMUSG00000044197.8 transcript:ENSMUST00000138631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr146 description:G protein-coupled receptor 146 [Source:MGI Symbol;Acc:MGI:1933113] MWSCGPLNSTAWAEEPLCRNLRLGLWVLSLLYLGAGVPVSLGYNALLVLANLASKNTMTM PDVYFVNMAVAGLVLTALAPAYLLGPAHSRWALWSLSSEAHVTLLI >ENSMUSP00000049707.7 pep:known chromosome:GRCm38:5:139380581:139396415:1 gene:ENSMUSG00000044197.8 transcript:ENSMUST00000051293.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr146 description:G protein-coupled receptor 146 [Source:MGI Symbol;Acc:MGI:1933113] MWSCGPLNSTAWAEEPLCRNLRLGLWVLSLLYLGAGVPVSLGYNALLVLANLASKNTMTM PDVYFVNMAVAGLVLTALAPAYLLGPAHSRWALWSLSSEAHVTLLILFNVASLVTMYSTA LLSLDYYIERALPRTYMASVYNTRHVCGFVWGGAVLTSFSSLLFYICSHVSSRIAECARM QNTEAADAILVLIGYVVPGLAVLYALALISRIGKEDTPLDQDTSRLDPSVHRLLVATVCT QFGLWTPYYLSLGHTVLTSRGRTVEGHYLGILQVAKDLAKFLAFSSSSVTPLLYRYINKA FPGKLRRLMKKMHCGRRHCSPDPSGIQQVMAQA >ENSMUSP00000098083.2 pep:known chromosome:GRCm38:5:139389785:139396414:1 gene:ENSMUSG00000044197.8 transcript:ENSMUST00000100514.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr146 description:G protein-coupled receptor 146 [Source:MGI Symbol;Acc:MGI:1933113] MWSCGPLNSTAWAEEPLCRNLRLGLWVLSLLYLGAGVPVSLGYNALLVLANLASKNTMTM PDVYFVNMAVAGLVLTALAPAYLLGPAHSRWALWSLSSEAHVTLLILFNVASLVTMYSTA LLSLDYYIERALPRTYMASVYNTRHVCGFVWGGAVLTSFSSLLFYICSHVSSRIAECARM QNTEAADAILVLIGYVVPGLAVLYALALISRIGKEDTPLDQDTSRLDPSVHRLLVATVCT QFGLWTPYYLSLGHTVLTSRGRTVEGHYLGILQVAKDLAKFLAFSSSSVTPLLYRYINKA FPGKLRRLMKKMHCGRRHCSPDPSGIQQVMAQA >ENSMUSP00000050973.4 pep:known chromosome:GRCm38:5:139378220:139379259:1 gene:ENSMUSG00000044197.8 transcript:ENSMUST00000053120.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr146 description:G protein-coupled receptor 146 [Source:MGI Symbol;Acc:MGI:1933113] MLSKRSTNLATAPSPHQKLIINLNIFPAPGQCPLDSNLPNNAQMHERKWRTWGTGEDRCN PTTTPSPRKTNATARGNRAALWFLPSISKCPCGMQLILSTATPEQPGRPAKSQECGPGRE VPGVSMALGLGRALGCCVS >ENSMUSP00000030183.3 pep:known chromosome:GRCm38:4:43506966:43513729:1 gene:ENSMUSG00000028463.14 transcript:ENSMUST00000030183.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car9 description:carbonic anhydrase 9 [Source:MGI Symbol;Acc:MGI:2447188] MASLGPSPWAPLSTPAPTAQLLLFLLLQVSAQPQGLSGMQGEPSLGDSSSGEDELGVDVL PSEEDAPEEADPPDGEDPPEVNSEDRMEESLGLEDLSTPEAPEHSQGSHGDEKGGGHSHW SYGGTLLWPQVSPACAGRFQSPVDIRLERTAFCRTLQPLELLGYELQPLPELSLSNNGHT VQLTLPPGLKMALGPGQEYRALQLHLHWGTSDHPGSEHTVNGHRFPAEIHVVHLSTAFSE LHEALGRPGGLAVLAAFLQESPEENSAYEQLLSHLEEISEEGSKIEIPGLDVSALLPSDL SRYYRYEGSLTTPPCSQGVIWTVFNETVKLSAKQLHTLSVSLWGPRDSRLQLNFRATQPL NGRTIEASFPAAEDSSPEPVHVNSCFTAGDILALVFGLLFAVTSIAFLLQLRRQHRHRSG TKDRVSYSPAEMTETGA >ENSMUSP00000114493.1 pep:known chromosome:GRCm38:4:43507312:43513058:1 gene:ENSMUSG00000028463.14 transcript:ENSMUST00000138073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car9 description:carbonic anhydrase 9 [Source:MGI Symbol;Acc:MGI:2447188] XMEESLGLEDLSTPEAPEHSQGSHGDEKGGGHSHWSYGGTLLWPQVSPACAGRFQSPVDI RLERTAFCRTLQPLELLGYELQPLPELSLSNNGHTVQLTLPPGLKMALGPGQEYRALQLH LHWGTSDHPGSEHTVNGHRFPAEIHVVHLSTAFSELHEALGRPGGLAVLAAFLQESPEEN SAYEQLLSHLEEISEEVHVNSCFTAGDILALVFGLLFAVTSIAFLLQLRRQHRHRSGT >ENSMUSP00000033020.7 pep:known chromosome:GRCm38:7:98206389:98309538:-1 gene:ENSMUSG00000030760.14 transcript:ENSMUST00000033020.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acer3 description:alkaline ceramidase 3 [Source:MGI Symbol;Acc:MGI:1913440] MAPAVDRKGYWGPTTSTLDWCEENYVVTLFVAEFWNTVSNLIMIIPPIFGAIQGIRDRLE KRYIAAYLALTVVGMGSWCFHMTLKYEMQLLDELPMIYSCCIFVYCMFECFKTKSSINYH LLFTLFLYSLTVTTIYLKVKEPIFHQVMYGMLVFTLVLRSIYIVTWVYPWLRGLGYTSLT VFLLGFLLWNIDNIFCDSLRNFRKRVPPVLGVTTQFHAWWHILTGLGSYLHILFSLYTRT LYLRYRPKVKFLFGIWPAVMFEPQRKH >ENSMUSP00000112884.1 pep:known chromosome:GRCm38:7:98213658:98321208:-1 gene:ENSMUSG00000030760.14 transcript:ENSMUST00000120520.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acer3 description:alkaline ceramidase 3 [Source:MGI Symbol;Acc:MGI:1913440] MIIPPIFGAIQGIRDRLEKRYIAAYLALTVVGMGSWCFHMTLKYEMQLLDELPMIYSCCI FVYCMFECFKTKSSINYHLLFTLFLYSLTVTTIYLKVKEPIFHQVMYGMLVFTLVLRSIY IVTWVYPWLRGLGYTSLTVFLLGFLLWNIDNIFCDSLRNFRKRVPPVLGVTTQFHAWWHI LTGLGSYLHILFSLYTRTLYLRYRPKVKFLFGIWPAVMFEPQRKH >ENSMUSP00000116127.1 pep:known chromosome:GRCm38:7:98216443:98309528:-1 gene:ENSMUSG00000030760.14 transcript:ENSMUST00000151258.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acer3 description:alkaline ceramidase 3 [Source:MGI Symbol;Acc:MGI:1913440] MAPAVDRKGYWGPTTSTLDWCEENYVVTLFVAEFWNTVSNLIMIIPPIFGAIQGIRDRLE KRYIAAYLALTVVGMGSWCFHMTLKYEMQLLDELPMIYSCCIFVYCMFECFKTKSSINYH LLFTLFLYSLTVTTIYLKVKEPIFHQVMYGMLVFTLVLRSIYIVTCVSPESCLY >ENSMUSP00000120334.1 pep:known chromosome:GRCm38:7:98251890:98309506:-1 gene:ENSMUSG00000030760.14 transcript:ENSMUST00000137899.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acer3 description:alkaline ceramidase 3 [Source:MGI Symbol;Acc:MGI:1913440] MAPAVDRKGYWGPTTSTLDWCEENYVVTLFVAEFWNTVSNLIMIIPPIFGAIQGIRDRLE KRYIAAYLALTVVGMGSWCFHMTLKYEMQV >ENSMUSP00000062345.6 pep:known chromosome:GRCm38:7:28267881:28269272:1 gene:ENSMUSG00000046058.7 transcript:ENSMUST00000059596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eid2 description:EP300 interacting inhibitor of differentiation 2 [Source:MGI Symbol;Acc:MGI:2681174] MSQLPAVSSAPQTGAASRDRRLPQAEVGGGRRALPGPARPGETRGRPMAAAREGPAAPAA AARGGRVAAAREGRAAAARGGPGAAPRGGAAAREGPAAAAASREARMAEVARLLGDPLEE EAPEGRPRSRAGGLAAMPYMRFRHPLSVLGINYQQFLRHYLENYPIAPGRIQELEERRRR FVEACRAREAAFDIEYLRNPQRVDFDILTFTIALTASEVINPLIEELGCDKFIHRE >ENSMUSP00000106709.1 pep:known chromosome:GRCm38:5:137034993:137046135:-1 gene:ENSMUSG00000004849.14 transcript:ENSMUST00000111080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1s1 description:adaptor protein complex AP-1, sigma 1 [Source:MGI Symbol;Acc:MGI:1098244] MMRFMLLFSRQGKLRLQKWYLATSDKERKKMVRELMQVVLARKPKMCSFLEWRDLKVVYK RYASLYFCCAIEGQDNELITLELIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLMG GDVQDTSKKSVLKAIEQADLLQEEDESPRSVLEEMGLA >ENSMUSP00000115941.1 pep:known chromosome:GRCm38:5:137037379:137045795:-1 gene:ENSMUSG00000004849.14 transcript:ENSMUST00000150603.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1s1 description:adaptor protein complex AP-1, sigma 1 [Source:MGI Symbol;Acc:MGI:1098244] MAHGELGVGGNSFRVSGVNLLSRFLDSSPGVLAQMRFMLLFSRQGKLRLQKWYLATSDKE RKKMVRELMQVVLARKPKMCSFLEWRDLKVVYKRYASLYFCCAIEGQDNELITLELIHRY VELLDKYFGSVCELDIIFNFEKAYFILDEFLMGGDVQDTSKKSVLKAIEQADLLQEVRAR DSEEEEEED >ENSMUSP00000120895.1 pep:known chromosome:GRCm38:5:137037396:137046042:-1 gene:ENSMUSG00000004849.14 transcript:ENSMUST00000144303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1s1 description:adaptor protein complex AP-1, sigma 1 [Source:MGI Symbol;Acc:MGI:1098244] MMRFMLLFSRQGKLRLQKWYLATSDKERKKMVRELMQVVLARKPKMCSFLEWRDLKVVYK RYASLYFCCAIEGQDNELITLELIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLMG GDVQDTSKKSVLKAIEQADLLQEVRARDSE >ENSMUSP00000119458.1 pep:known chromosome:GRCm38:5:137043069:137045407:-1 gene:ENSMUSG00000004849.14 transcript:ENSMUST00000129356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1s1 description:adaptor protein complex AP-1, sigma 1 [Source:MGI Symbol;Acc:MGI:1098244] MSQKKGQALECTPVTWYSEMRFMLLFSRQGKLRLQKWYLATSDKERKKMVRELMQVVLAR KPKMCSFLEWRDLKVVY >ENSMUSP00000000137.7 pep:known chromosome:GRCm38:11:20062304:20112913:-1 gene:ENSMUSG00000020152.7 transcript:ENSMUST00000000137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr2 description:ARP2 actin-related protein 2 [Source:MGI Symbol;Acc:MGI:1913963] MDSQGRKVVVCDNGTGFVKCGYAGSNFPEHIFPALVGRPIIRSTTKVGNIEIKDLMVGDE ASELRSMLEVNYPMENGIVRNWDDMKHLWDYTFGPEKLNIDTRNCKILLTEPPMNPTKNR EKIVEVMFETYQFSGVYVAIQAVLTLYAQGLLTGVVVDSGDGVTHICPVYEGFSLPHLTR RLDIAGRDITRYLIKLLLLRGYAFNHSADFETVRMIKEKLCYVGYNIEQEQKLALETTVL VESYTLPDGRIIKVGGERFEAPEALFQPHLINVEGVGVAELLFNTIQAADIDTRSEFYKH IVLSGGSTMYPGLPSRLERELKQLYLERVLKGDVEKLSKFKIRIEDPPRRKHMVFLGGAV LADIMKDKDNFWMTRQEYQEKGVRVLEKLGVTVR >ENSMUSP00000092235.2 pep:known chromosome:GRCm38:7:28277739:28279489:1 gene:ENSMUSG00000070705.3 transcript:ENSMUST00000094651.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eid2b description:EP300 interacting inhibitor of differentiation 2B [Source:MGI Symbol;Acc:MGI:1924095] MSELPGESRIPDLSPMNGVGDVLQVGLGGGSPVPEAQEDPLATASQPMAPAPGLAPGQFP GVAGLMAIPHVHAPLLLLELYEQRMFQHYLHTNPLIPTRLLHEIEERRRLFVEGCRAREA AFDANPPQMDSHARAFMLALTASDARGPNAD >ENSMUSP00000025867.5 pep:known chromosome:GRCm38:19:5637483:5648130:1 gene:ENSMUSG00000024927.7 transcript:ENSMUST00000025867.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rela description:v-rel reticuloendotheliosis viral oncogene homolog A (avian) [Source:MGI Symbol;Acc:MGI:103290] MDDLFPLIFPSEPAQASGPYVEIIEQPKQRGMRFRYKCEGRSAGSIPGERSTDTTKTHPT IKINGYTGPGTVRISLVTKDPPHRPHPHELVGKDCRDGYYEADLCPDRSIHSFQNLGIQC VKKRDLEQAISQRIQTNNNPFHVPIEEQRGDYDLNAVRLCFQVTVRDPAGRPLLLTPVLS HPIFDNRAPNTAELKICRVNRNSGSCLGGDEIFLLCDKVQKEDIEVYFTGPGWEARGSFS QADVHRQVAIVFRTPPYADPSLQAPVRVSMQLRRPSDRELSEPMEFQYLPDTDDRHRIEE KRKRTYETFKSIMKKSPFNGPTEPRPPTRRIAVPTRNSTSVPKPAPQPYTFPASLSTINF DEFSPMLLPSGQISNQALALAPSSAPVLAQTMVPSSAMVPLAQPPAPAPVLTPGPPQSLS APVPKSTQAGEGTLSEALLHLQFDADEDLGALLGNSTDPGVFTDLASVDNSEFQQLLNQG VSMSHSTAEPMLMEYPEAITRLVTGSQRPPDPAPTPLGTSGLPNGLSGDEDFSSIADMDF SALLSQISS >ENSMUSP00000010280.4 pep:known chromosome:GRCm38:3:105996957:106014646:-1 gene:ENSMUSG00000010136.14 transcript:ENSMUST00000010280.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pifo description:primary cilia formation [Source:MGI Symbol;Acc:MGI:1923670] MKTENEEDVKPPESCHAMQLLFKSLEASERAKVEEELKKTKENEFTQRNEHHALRDITHG KVNNYSFGTRQARKLFPHYHPPTWLGNLYLPLRGMPHTGPGCYAAATDWNGLAYNLSKVP TSTKGYAIGARTAVRFKPISKDVTPYPGMYQKVDTLSEKHKKSFAPFNILMPRFRSAAKG DSYPGPGTYNPEMKSVPKVTWPMKFGSPDWSQVPCLEKRTLKAELSADKDFRKHRSRVAY FSLYYQ >ENSMUSP00000069454.6 pep:known chromosome:GRCm38:3:105996966:106001497:-1 gene:ENSMUSG00000010136.14 transcript:ENSMUST00000066319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pifo description:primary cilia formation [Source:MGI Symbol;Acc:MGI:1923670] MNTEEIPVAPPLRGVTPALQWKVNNYSFGTRQARKLFPHYHPPTWLGNLYLPLRGMPHTG PGCYAAATDWNGLAYNLSKVPTSTKGYAIGARTAVRFKPISKDVTPYPGMYQKVDTLSEK HKKSFAPFNILMPRFRSAAKGDSYPGPGTYNPEMKSVPKVTWPMKFGSPDWSQVPCLEKR TLKAELSADKDFRKHRSRVAYFSLYYQ >ENSMUSP00000127769.1 pep:known chromosome:GRCm38:5:139405280:139415621:1 gene:ENSMUSG00000044092.8 transcript:ENSMUST00000163940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130050O18Rik description:RIKEN cDNA C130050O18 gene [Source:MGI Symbol;Acc:MGI:2442694] MNREHAPASRQPPSADRALCGFQAGTGLRALLKMDRHNMSWVCYPSHVSPAVEEVIAAQS IISRCTQVYIAVCVPLSLVMGLFSLSVFILDRTRLGVLDRLLAGLTATSILVTLLSLNAA VRPDYMSTTNLGCGALSFFSNVCYFMAQYLQGATLLLSFLPESPCCRLLARPMASLAAIG GCAVCSSLIVVSLLGTSGELHTTTMCQADPLTAWPEYEIVKFSLGFALALSFQMVLLLLY ATQPVWRAAPSQRDTASGRWVVLAVALNMLACRLFFNVVLLHRARLKLWKDVGSPWDELL MNLAELVLSGESCINVVAILLLHTPCRLRLLGLLERLTQRCRPGLDNSMSLNRVGG >ENSMUSP00000055600.8 pep:known chromosome:GRCm38:5:139406387:139415618:1 gene:ENSMUSG00000044092.8 transcript:ENSMUST00000052176.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130050O18Rik description:RIKEN cDNA C130050O18 gene [Source:MGI Symbol;Acc:MGI:2442694] MNREHAPASRQPPSADRALCGFQAGTGLRALLKMDRHNMSWVCYPSHVSPAVEEVIAAQS IISRCTQVYIAVCVPLSLVMGLFSLSVFILDRTRLGVLDRLLAGLTATSILVTLLSLNAA VRPDYMSTTNLGCGALSFFSNVCYFMAQYLQGATLLLSFLPESPCCRLLARPMASLAAIG GCAVCSSLIVVSLLGTSGELHTTTMCQADPLTAWPEYEIVKFSLGFALALSFQMVLLLLY ATQPVWRAAPSQRDTASGRWVVLAVALNMLACRLFFNVVLLHRARLKLWKDVGSPWDELL MNLAELVLSGESCINVVAILLLHTPCRLRLLGLLERLTQRCRPGLDNSMSLNRVGG >ENSMUSP00000131619.2 pep:known chromosome:GRCm38:5:139406465:139415623:1 gene:ENSMUSG00000044092.8 transcript:ENSMUST00000164078.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:C130050O18Rik description:RIKEN cDNA C130050O18 gene [Source:MGI Symbol;Acc:MGI:2442694] MNREHAPASRQPPSADRALCGFQAGTESFKQTWGRASSSQADPGLRSPWY >ENSMUSP00000126423.1 pep:known chromosome:GRCm38:16:3979105:3989106:-1 gene:ENSMUSG00000039738.16 transcript:ENSMUST00000146569.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slx4 description:SLX4 structure-specific endonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:106299] XLVTDFGAMVNNPHLSDVQFQLDSGEVLYAHKFVLYARCPLLIQYVSTESFSSEEDGDLT QRALLSDVSSEAAHAFLNYLYMADTDMPPSLVPDLRSLALSAGLV >ENSMUSP00000038871.7 pep:known chromosome:GRCm38:16:3979123:4001680:-1 gene:ENSMUSG00000039738.16 transcript:ENSMUST00000040790.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slx4 description:SLX4 structure-specific endonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:106299] MVPESAPNGNSQPLPSCFTTTGVPSPSKPRVSELVLQRMKQFKRADPERLRHASEESPQK TALGDDVPRSPPEETVGENEYKLDATDSDAAMALALQQEFRREEASSHHDSLEEKGLFFC QMCQKNLSAMNVTRREQHVNRCLDEAEKAQRPASPRIPDCPICGKPFLTTKSRISHLKQC AVRMEVGPQLLLQAVRLQTAQPEVDGSPQVPSFSNNVGGLKRKGVTTKREPRRRKVNKPE APSEDLLVAMALSRSEVEHCPVVPPLRLENAFSEKIRLGAEKKSRKKRPPVCPPQLVTQD SETTGRQIEDRVAQLLSEEAELSCTPPLLASKISKEELEPAGWRARLPEGKRNFLWELSA LTGAWAEESFYTVGLFPPIVSQCPSKEPQLPLELPKQGEPSPRRPPASQSSLPVSHSPKI RLLSSSQRERQALQDLVDLAVEGLSSSPQPGSRGVPTGLDLVPSSLPLTGFVLPCKKTLK KDDSASLSLGLLVTDFGAMVNNPHLSDVQFQLDSGEVLYAHKFVLYARCPLLIQYVSTES FSSEEDGDLTQRALLSDVSSEAAHAFLNYLYMADTDMPPSLVPDLRSLALRFGVSDLVQL CEQVPAVVDLEGEQPEETSEDCESRAETFLELLRSVWVDNEEEVETLLKPELCEEERERV NEAEMEEIYEFAATQRKLLQWGRAADPDGSTNPHGEDGAVSEPSLAGVQSNRQLENTEHM ESSGLEKEEALASWEQEGHSTPLQDQCPDWAGKAEAQDALGEATDDPSFCSRHRRGKECL PLHPNKAHGCKQPLPSNPRVSSELSQITVDHEEQSDHVRETQADMAQAPTPHSCSLVSQS SVDGSPSQSWLHLYHTSHLSPSVSQSHSSISRVASPRSLSPTTPTKQRRGSNIVTLRKDA GHHRGQQSSPIAGHRNRGILISPAKSPPIDLTQSVPEPLSPRAQDPLHFVKKEDEVILLL DSDEELEHTKTESVSKDSPEGRKVPEFSPRSSELFSVIDVEEDHEHFQSPLKREAGLQHG EEGQLGNQSALGCRDIPWLLCSQKTSLDEDSATDTSWLVPATPGVSRSRDCSSQTQIKSL KTRIPSDETAQQTPRPNLERRTMLETAQQFSVIMPHTQPITLGAFDSGRQAYRSPSHPYP RHHRLSSSQPSCPGPDFTRWSQKSSAPRPCLPNLPAADDVVEVGDSDDEVASHQGNSSPV LDGDPPGPMGDYCWNEPLSPIPIDHLNLERTGPLTTSSPSSQVLEALHSDDCHSPGLGTT PIRGSCGTLRESQERSSLAGSPEALWDDWNEEEGQSPEAPPVAQMLSTRTRKPDRPETPK GANQKKNLPPKVPITPMPRYSIMETPVLKKELDRFGVRALPKRQMVLKLKEIFQYTHQTL ESDSEDEVQSPQIPAELPCRQASTTETCNPSRLPTGEPSHPDGDAQLPASQESMATSVDG SDNSFSSKSSSAEFGAAFEYSDEDKDEEVGVTASQAAIQAADTEEAVRRYIRSKPALHRQ VLRYQPVELAELQAELKQNGIPVAMGKLSDILDAQCITFTTAAARKEKLKHKRRQPSGRK KKDQK >ENSMUSP00000130007.1 pep:known chromosome:GRCm38:16:3979124:3995718:-1 gene:ENSMUSG00000039738.16 transcript:ENSMUST00000156542.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slx4 description:SLX4 structure-specific endonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:106299] XRREQHVNRCLDEAEKAQRPASPRIPDCPICGKPFLTTKSRISHLKQCAVRMEVGPQLLL QAVRLQTAQPEVDGSPQVPR >ENSMUSP00000126047.1 pep:known chromosome:GRCm38:16:3994768:4001252:-1 gene:ENSMUSG00000039738.16 transcript:ENSMUST00000165830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slx4 description:SLX4 structure-specific endonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:106299] XKRNGTKEASGERTRQKASGHTQNRGKRKEGRQVAARSKALQGPSEKKPRLGSQTPRTTK QGAPKSQEGGPALPANGKGDVLAPTPDQPVLCERAQSIQTESAPNGNSQPLPSCFTTTGV PSPSKPRVSELVLQRMKQFKRADPERLRHASEESPQKTALGDDVPRSPPEETVGENEYKL DATDSDAAMALALQQEFRREEASSHHDSLEEKGLFFCQMCQKNLSAMNVTRREQHVNRCL DEAEKAQRPASPRIPDCPICGKPFLTTKSRISHLKQCAVRMEVGPQLLL >ENSMUSP00000131380.1 pep:known chromosome:GRCm38:16:4001003:4003770:-1 gene:ENSMUSG00000039738.16 transcript:ENSMUST00000171762.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slx4 description:SLX4 structure-specific endonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:106299] MEESDDDFKELCASFFQRVKRNGTKEASGERTRQKASGHTQNRGKRKEGRQVAARSKALQ GPSEKKPRLGSQTPRTTKQGAPKSQEGGPALPANGKGDVLAP >ENSMUSP00000129144.1 pep:known chromosome:GRCm38:16:4001201:4003752:-1 gene:ENSMUSG00000039738.16 transcript:ENSMUST00000171658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slx4 description:SLX4 structure-specific endonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:106299] MEESDDDFKELCASFFQRVKRNGTKEASGERTRQKA >ENSMUSP00000036005.3 pep:known chromosome:GRCm38:2:148820081:148830410:1 gene:ENSMUSG00000036924.3 transcript:ENSMUST00000046589.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst13 description:cystatin 13 [Source:MGI Symbol;Acc:MGI:1916544] MARFLQTLLFLVIMVEFVSRRVEAWGSPQIVRPFEDIPKSYVYVQHALWYAMKEYNKASN DLYNFRVVDILKSQEQITDSLEYYLEVNIARTMCKKIAGDNENCLFQQDPKMKKMVFCIF IVSSKPWKFELKMLKKQCKDI >ENSMUSP00000050372.7 pep:known chromosome:GRCm38:7:28284652:28291186:-1 gene:ENSMUSG00000046750.17 transcript:ENSMUST00000056589.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC089491 description:cDNA sequence BC089491 [Source:MGI Symbol;Acc:MGI:3608324] MNNKARVPAPSSVRANTPARTPAPIRTATPVRAPNPAHNSTPVRTSIRVRAPAQVPNPVP IRFPTPAPVPAPTLTPAPTPAPVRHAAPVRTPAPVRAPNLGRVFPKISPGRFFPSLASPT AQPLSSRAASALLKDPTLAQNQKPSIHSLAEAIQGPLPVLTPSSSKTQGSIPDTASPIDS LASTAMASSTLGPIPGPNPTLEFLASPLKETPGLGKLSTISPAPSFGSTKEIPSTSEDVP TPNRILIRVMYCGLUSYGLRYIILKRTLEHQFPNLLEFEEERATQVTGEFEVFVDGKLIH SKKKGDGFVDESGLKKLVGAIDEEIKKR >ENSMUSP00000115405.1 pep:known chromosome:GRCm38:7:28287060:28288182:-1 gene:ENSMUSG00000046750.17 transcript:ENSMUST00000156408.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC089491 description:cDNA sequence BC089491 [Source:MGI Symbol;Acc:MGI:3608324] XTQVTGEFEVFVDGKLIHSKKKGDGFVDESGLKKLVGAIDEEIKKR >ENSMUSP00000132099.1 pep:known chromosome:GRCm38:1:87509889:87596670:1 gene:ENSMUSG00000079434.8 transcript:ENSMUST00000166055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu2 description:neuraminidase 2 [Source:MGI Symbol;Acc:MGI:1344417] MATCPVLQKETLFRTGVHAYRIPALLYLKKQKTLLAFAEKRASKTDEHAELIVLRRGSYN EATNRVKWQPEEVVTQAQLEGHRSMNPCPLYDKQTKTLFLFFIAVPGRVSE >ENSMUSP00000132513.1 pep:known chromosome:GRCm38:1:87574027:87597821:1 gene:ENSMUSG00000079434.8 transcript:ENSMUST00000166259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu2 description:neuraminidase 2 [Source:MGI Symbol;Acc:MGI:1344417] MATCPVLQKETLFRTGVHAYRIPALLYLKKQKTLLAFAEKRASKTDEHAELIVLRRGSYN EATNRVKWQPEEVVTQAQLEGHRSMNPCPLYDKQTKTLFLFFIAVPGRVSEHHQLHTKVN VTRLCCVSSTDHGRTWSPIQDLTETTIGSTHQEWATFAVGPGHCLQLRNPAGSLLVPAYA YRKLHPAQKPTPFAFCFISLDHGHTWKLGNFVAENSLECQVAEVGTGAQRMVYLNARSFL GARVQAQSPNDGLDFQDNRVVSKLVEPPHGCHGSVVAFHNPISKPHALDTWLLYTHPTDS RNRTNLGVYLNQMPLDPTAWSEPTLLAMGICAYSDLQNMGQGPDGSPQFGCLYESGNYEE IIFLIFTLKQAFPTVFDAQ >ENSMUSP00000127777.1 pep:known chromosome:GRCm38:1:87574046:87596565:1 gene:ENSMUSG00000079434.8 transcript:ENSMUST00000163606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu2 description:neuraminidase 2 [Source:MGI Symbol;Acc:MGI:1344417] MTVQPSPWFSDLRPMATCPVLQKETLFRTGVHAYRIPALLYLKKQKTLLAFAEKRASKTD EHAELIVLRRGSYNEATNRVKWQPEEVVTQ >ENSMUSP00000127913.1 pep:known chromosome:GRCm38:1:87584037:87597845:1 gene:ENSMUSG00000079434.8 transcript:ENSMUST00000164128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu2 description:neuraminidase 2 [Source:MGI Symbol;Acc:MGI:1344417] MEDLRPMATCPVLQKETLFRTGVHAYRIPALLYLKKQKTLLAFAEKRASKTDEHAELIVL RRGSYNEATNRVKWQPEEVVTQAQLEGHRSMNPCPLYDKQTKTLFLFFIAVPGRVSEHHQ LHTKVNVTRLCCVSSTDHGRTWSPIQDLTETTIGSTHQEWATFAVGPGHCLQLRNPAGSL LVPAYAYRKLHPAQKPTPFAFCFISLDHGHTWKLGNFVAENSLECQVAEVGTGAQRMVYL NARSFLGARVQAQSPNDGLDFQDNRVVSKLVEPPHGCHGSVVAFHNPISKPHALDTWLLY THPTDSRNRTNLGVYLNQMPLDPTAWSEPTLLAMGICAYSDLQNMGQGPDGSPQFGCLYE SGNYEEIIFLIFTLKQAFPTVFDAQ >ENSMUSP00000126509.1 pep:known chromosome:GRCm38:1:87594550:87597821:1 gene:ENSMUSG00000079434.8 transcript:ENSMUST00000165109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu2 description:neuraminidase 2 [Source:MGI Symbol;Acc:MGI:1344417] MATCPVLQKETLFRTGVHAYRIPALLYLKKQKTLLAFAEKRASKTDEHAELIVLRRGSYN EATNRVKWQPEEVVTQAQLEGHRSMNPCPLYDKQTKTLFLFFIAVPGRVSEHHQLHTKVN VTRLCCVSSTDHGRTWSPIQDLTETTIGSTHQEWATFAVGPGHCLQLRNPAGSLLVPAYA YRKLHPAQKPTPFAFCFISLDHGHTWKLGNFVAENSLECQVAEVGTGAQRMVYLNARSFL GARVQAQSPNDGLDFQDNRVVSKLVEPPHGCHGSVVAFHNPISKPHALDTWLLYTHPTDS RNRTNLGVYLNQMPLDPTAWSEPTLLAMGICAYSDLQNMGQGPDGSPQFGCLYESGNYEE IIFLIFTLKQAFPTVFDAQ >ENSMUSP00000065439.5 pep:known chromosome:GRCm38:1:87594550:87597827:1 gene:ENSMUSG00000079434.8 transcript:ENSMUST00000070898.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu2 description:neuraminidase 2 [Source:MGI Symbol;Acc:MGI:1344417] MATCPVLQKETLFRTGVHAYRIPALLYLKKQKTLLAFAEKRASKTDEHAELIVLRRGSYN EATNRVKWQPEEVVTQAQLEGHRSMNPCPLYDKQTKTLFLFFIAVPGRVSEHHQLHTKVN VTRLCCVSSTDHGRTWSPIQDLTETTIGSTHQEWATFAVGPGHCLQLRNPAGSLLVPAYA YRKLHPAQKPTPFAFCFISLDHGHTWKLGNFVAENSLECQVAEVGTGAQRMVYLNARSFL GARVQAQSPNDGLDFQDNRVVSKLVEPPHGCHGSVVAFHNPISKPHALDTWLLYTHPTDS RNRTNLGVYLNQMPLDPTAWSEPTLLAMGICAYSDLQNMGQGPDGSPQFGCLYESGNYEE IIFLIFTLKQAFPTVFDAQ >ENSMUSP00000131409.1 pep:known chromosome:GRCm38:1:87574027:87597838:1 gene:ENSMUSG00000079434.8 transcript:ENSMUST00000172222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu2 description:neuraminidase 2 [Source:MGI Symbol;Acc:MGI:1344417] MTVQPSPWFSDLRPMATCPVLQKETLFRTGVHAYRIPALLYLKKQKTLLAFAEKRASKTD EHAELIVLRRGSYNEATNRVKWQPEEVVTQAQLEGHRSMNPCPLYDKQTKTLFLFFIAVP GRVSEHHQLHTKVNVTRLCCVSSTDHGRTWSPIQDLTETTIGSTHQEWATFAVGPGHCLQ LRNPAGSLLVPAYAYRKLHPAQKPTPFAFCFISLDHGHTWKLGNFVAENSLECQVAEVGT GAQRMVYLNARSFLGARVQAQSPNDGLDFQDNRVVSKLVEPPHGCHGSVVAFHNPISKPH ALDTWLLYTHPTDSRNRTNLGVYLNQMPLDPTAWSEPTLLAMGICAYSDLQNMGQGPDGS PQFGCLYESGNYEEIIFLIFTLKQAFPTVFDAQ >ENSMUSP00000116201.1 pep:known chromosome:GRCm38:16:44240180:44269532:-1 gene:ENSMUSG00000022696.17 transcript:ENSMUST00000127567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt1 description:SID1 transmembrane family, member 1 [Source:MGI Symbol;Acc:MGI:2443155] MSSSDGGQPCHSDTDSSVEESDFDTMPDIESDKNVIRTKMFLYLSDLSRKDRRIVSKKYK IYFWNIITIAVFYALPVMQLVITYQTVVNVTGNQDICYYNFLCAHPLGVLSAFNNILSNL GHVLLGFLFLLIVLRRDLLHRRALEAKDIFAMEYGIPKHFGLFYAMGIALMMEGVLSACY HVCPNYSNFQFDTSFMYMIAGLCMLKLYQTRHPDINASAYSAYASFAVVITLTVLGVVFG KNDVWFWIIFSAIHILSSLALSTQIYYMGRFKIDLGIFRRAAMVFYTDCIQQCSRPLYMD RMVLLIVGNLVNWSFAFFGLIYRPRDFASYMLGIFICNLLLYLAFYIIMKLRSSEKVLPL PVFCIAATAVVWAAALYFFFQNLSSWEGTPAESREKNRECVLLDFFDDHDIWHFLSATAL FFSFLVLLTLDDDLDVVRRDQIPVF >ENSMUSP00000115372.1 pep:known chromosome:GRCm38:16:44240180:44332991:-1 gene:ENSMUSG00000022696.17 transcript:ENSMUST00000136381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt1 description:SID1 transmembrane family, member 1 [Source:MGI Symbol;Acc:MGI:2443155] MLDCLRLALLCALPWLLRAAVPGHQEEPLAKSAELRRDPRDPARGADFDRVYSGVVSLST ENIYSFNHTSHPGQVTAVRVHVNSSSDNLDYPVLVVVRQQKEVLSWQVPLLFQGLYQRSY NYQEVSRTLCPSKATNETGPLEQLIFVDVASMAPHGAHYKLLVTKIKHFQLPTNVAFYFT ASPSQPQYFLYKFPEDVDSVIIKVVSEKAYPCSVVSVQNIMCPVYDLDHNVEFNGVYQSM TKKAAITLQKKDFPDEQFFVVFVIKPEDYACGGSFSIQENENQTWNLQRSKNLKVTIVPS IKESVYVKSSLFSIFVFLSFYLGCLLVVLVHHVRFQRKSIDGSFGSSDGSGNMAVSHPIT ASTPEGSNYGAIDESSSSPGRQMSSSDGGQPCHSDTDSSVEESDFDTMPDIESDKNVIRT KMFLYLSDLSRKDRRIVSKKYKIYFWNIITIAVFYALPVMQLVITYQTVVNVTGNQDICY YNFLCAHPLGVLSAFNNILSNLGHVLLGFLFLLIVLRRDLLHRRALEAKDIFAMEYGIPK HFGLFYAMGIALMMEGVLSACYHVCPNYSNFQFDTSFMYMIAGLCMLKLYQTRHPDINAS AYSAYASFAVVITLTVLGVVFGKNDVWFWIIFSAIHILSSLALSTQIYYMGRFKIDLGIF RRAAMVFYTDCIQQCSRPLYMDRMVLLIVGNLVNWSFAFFGLIYRPRDFASYMLGIFICN LLLYLAFYIIMKLRSSEKVLPLPVFCIAATAVVWAAALYFFFQNLSSWEGTPAESREKNR ECVLLDFFDDHDIWHFLSATALFFSFLVLLTLDDDLDVVRRDQIPVF >ENSMUSP00000038433.6 pep:known chromosome:GRCm38:16:44240525:44333196:-1 gene:ENSMUSG00000022696.17 transcript:ENSMUST00000047446.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt1 description:SID1 transmembrane family, member 1 [Source:MGI Symbol;Acc:MGI:2443155] MLDCLRLALLCALPWLLRAAVPGHQEEPLAKSAELRRDPRDPARGADFDRVYSGVVSLST ENIYSFNHTSHPGQVTAVRVHVNSSSDNLDYPVLVVVRQQKEVLSWQVPLLFQGLYQRSY NYQEVSRTLCPSKATNETGPLEQLIFVDVASMAPHGAHYKLLVTKIKHFQLPTNVAFYFT ASPSQPQYFLYKFPEDVDSVIIKVVSEKAYPCSVVSVQNIMCPVYDLDHNVEFNGVYQSM TKKAAITLQKKDFPDEQFFVVFVIKPEDYACGGSFSIQENENQTWNLQRSKNLKVTIVPS IKESVYVKSSLFSIFVFLSFYLGCLLVVLVHHVRFQRKSIDGSFGSSDGSGNMAVSHPIT ASTPEGSNYGAIDESSSSPGRQMSSSDGGQPCHSDTDSSVEESDFDTMPDIESDKNVIRT KMFLYLSDLSRKDRRIVSKKYKIYFWNIITIAVFYALPVMQLVITYQTVVNVTGNQDICY YNFLCAHPLGVLSAFNNILSNLGHVLLGFLFLLIVLRRDLLHRRALEAKDIFAMEYGIPK HFGLFYAMGIALMMEGVLSACYHVCPNYSNFQFDTSFMYMIAGLCMLKLYQTRHPDINAS AYSAYASFAVVITLTVLGVVFGKNDVWFWIIFSAIHILSSLALSTQIYYMGRFKIDVSDT DLGIFRRAAMVFYTDCIQQCSRPLYMDRMVLLIVGNLVNWSFAFFGLIYRPRDFASYMLG IFICNLLLYLAFYIIMKLRSSEKVLPLPVFCIAATAVVWAAALYFFFQNLSSWEGTPAES REKNRECVLLDFFDDHDIWHFLSATALFFSFLVLLTLDDDLDVVRRDQIPVF >ENSMUSP00000114424.1 pep:known chromosome:GRCm38:16:44281399:44282498:-1 gene:ENSMUSG00000022696.17 transcript:ENSMUST00000147032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt1 description:SID1 transmembrane family, member 1 [Source:MGI Symbol;Acc:MGI:2443155] XRSKNLKVTIVPSIKESVYVKSSLFSIFVFLSFYLGCLLVVLVHHVRFFLCGVKERLAHL QI >ENSMUSP00000089111.3 pep:known chromosome:GRCm38:13:67096613:67114028:-1 gene:ENSMUSG00000074824.3 transcript:ENSMUST00000091526.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rslcan18 description:regulator of sex-limitation candidate 18 [Source:MGI Symbol;Acc:MGI:5433745] MEMGRWRKSQIRGQEAQLGRIQESVRKTSRNAEKRISTELVLLLNCEQVYKLLHSRRTRR GKGMDRDDEPTLEKGKAVSPQWPEKSRLLSASVEMLSFRDVAIDFSAGELECLEPAQWDL YRDVMLENFSHLVFLGFSFSKPLLVRFLEKNQELRNVKRKAATVPRGKKPYKCKECGKAF AKSSVLTQHLRIHTGEKPYTCDICDKAFSLPSILHNHQKIHTGEKPYKCEVCGKAFLMPS TLTNHQKIHTGEKPYKCEVCGKAFLMPSTLTNHQKIHTGEKPYECEVCGKKFSSPADFTK HQRVHTGEKPYKCEECKKPFSNLSALSRHKVVHTGEKRYTCEKCGKAYSRSYHLSRHKKA HSGQKSYKCKECGKMFYYSSDLKRHQRIHSEERPYECEECGKAFPSPSDVTQHARTHKRM KQYTCDECGECFTIQTTFSNLRKIRLEGKPSECERCRKDF >ENSMUSP00000063954.4 pep:known chromosome:GRCm38:6:131629823:131630912:-1 gene:ENSMUSG00000054497.5 transcript:ENSMUST00000067597.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r130 description:taste receptor, type 2, member 130 [Source:MGI Symbol;Acc:MGI:2681278] MTYETDTTLMLVAVGEALVGILGNAFIALVNFMGWMKNRKIASIDLILSSVAMSRICLQC IILLDCIILVQYPDTYNRGKEMRTVDFFWTLTNHLSVWFATCLSIFYLFKIANFFHPLFL WIKWRIDKLILRTLLACVIISLCFSLPVTENLSDDFRRCVKTKERINSTLRCKVNKAGHA SVKVNLNLVMLFPFSVSLVSFLLLILSLWRHTRQIQLSVTGYKDPSTTAHVKAMKAVISF LALFVVYCLAFLIATSSYFMPESELAVIWGELIALIYPSSHSFILILGSSKLKQASVRVL CRVKTMLKGKKY >ENSMUSP00000124845.1 pep:known chromosome:GRCm38:2:92320429:92330698:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000159727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] XAAPPSLTASQTVTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLS TDVQNTPVNLQTSSKVTGPGAEAVQIVAKNTVTLQVQATPPQPIKVPQFIPPPRLTPRPN FLPQVRPKPVAQNNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNG QTATI >ENSMUSP00000123955.1 pep:known chromosome:GRCm38:2:92228479:92360430:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000159961.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] QNADLKKQLHELQAKITALSEKQKRVVEQLRKNLIVKQEQPDKFQIQPLSQSENKLQTAQ QQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAAPPSLTASQVQATPPQPIKVPQFIPPPRL TPRPNFLPQVRPKPVAQNNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPV RVVNGQTATIAKTFPMAQLTSIVIATPGTRLPGPQTVQLSKPSLEKQTVKSHPEAEEKQA ESRTVTPPAAPKPKREENPQKLAFMVSLGLVTHDHLEEIQSKRQERKRRTTANPVYSGAV FEPERKKSAVTYLNSTMHPGTRKRGRPPKYNAVLGFGALTPTSPPSSHPDSPENEKTETT FTFPAPVQPVSLPSPTSTDGDIHEDFCSVCRKSGQLLMCDTCSRVYHLDCLEPPLKTIPK GMWICPRCQDQMLKKEEAIPWPGTLAIVHSYIAYKAAKEEEKQKLLKWSSDLKQEREQLE QKVKELSSSISKCMEMKSSILARQKEMRSSLDKVKRLIRLVHGVDLCRPVDSEATAGALS NGPDCTPPANAASTPAPSPSSQSCTANCNQGEETK >ENSMUSP00000124224.1 pep:known chromosome:GRCm38:2:92184302:92221589:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000162497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEA >ENSMUSP00000125628.1 pep:known chromosome:GRCm38:2:92184792:92228475:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000162188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQD >ENSMUSP00000124032.1 pep:known chromosome:GRCm38:2:92184276:92320269:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000162146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQDPQIFFLSNQNADLKKQLHELQAKITALSEKQKR VVEQLRKNLIV >ENSMUSP00000124238.1 pep:known chromosome:GRCm38:2:92221570:92327104:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000159366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] XQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRKNL IVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAAPP SLTASQTVTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLSTDVQN TPVNLQTSS >ENSMUSP00000124255.1 pep:known chromosome:GRCm38:2:92330727:92359177:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000161067.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] XSIVIATPGTRLPGPQTVQLSKPSLEKQTVKSHPEAEEKQAESRTVTPPAAPKPKREENP QKLAFMVSLGLVTHDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTMHP GTRKRANEEHWPKGDIHEDFCSVCRKSGQLLMCDTCSRVYHLDCLEPPLKTIPKGMWICP RCQDQMLKKEEAIPWPGTLAIVHSYIAYKAEEKQKLLKWSSDLKQEREQLE >ENSMUSP00000106924.2 pep:known chromosome:GRCm38:2:92184175:92361835:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000111293.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRK NLIVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAA PPSLTASQKTVTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLSTD VQNTPVNLQTSSKVTGPGAEAVQIVAKNTVTLQVQATPPQPIKVPQFIPPPRLTPRPNFL PQVRPKPVAQNNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQT ATIAKTFPMAQLTSIVIATPGTRLPGPQTVQLSKPSLEKQTVKSHPEAEEKQAESRTVTP PAAPKPKREENPQKLAFMVSLGLVTHDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKK SAVTYLNSTMHPGTRKRGRPPKYNAVLGFGALTPTSPPSSHPDSPENEKTETTFTFPAPV QPVSLPSPTSTDGDIHEDFCSVCRKSGQLLMCDTCSRVYHLDCLEPPLKTIPKGMWICPR CQDQMLKKEEAIPWPGTLAIVHSYIAYKAAKEEEKQKLLKWSSDLKQEREQLEQKVKELS SSISKCMEMKSSILARQKEMRSSLDKVKRLIRLVHGVDLCRPVDSEATAGALSNGPDCTP PANAASTPAPSPSSQSCTANCNQGEETK >ENSMUSP00000106922.2 pep:known chromosome:GRCm38:2:92185483:92360901:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000111291.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRK NLIVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAA PPSLTASQQVQATPPQPIKVPQFIPPPRLTPRPNFLPQVRPKPVAQNNIPIAPAPPPMLA APQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQTATIAKTFPMAQLTSIVIATPGTRL PGPQTVQLSKPSLEKQTVKSHPEAEEKQAESRTVTPPAAPKPKREENPQKLAFMVSLGLV THDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTMHPGTRKRGRPPKYN AVLGFGALTPTSPPSSHPDSPENEKTETTFTFPAPVQPVSLPSPTSTDGDIHEDFCSVCR KSGQLLMCDTCSRVYHLDCLEPPLKTIPKGMWICPRCQDQMLKKEEAIPWPGTLAIVHSY IAYKAAKEEEKQKLLKWSSDLKQEREQLEQKVKELSSSISKCMEMKSSILARQKEMRSSL DKVKRLIRLVHGVDLCRPVDSEATAGALSNGPDCTPPANAASTPAPSPSSQSCTANCNQG EETK >ENSMUSP00000106923.1 pep:known chromosome:GRCm38:2:92184276:92360893:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000111292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRK NLIVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAA PPSLTASQVQATPPQPIKVPQFIPPPRLTPRPNFLPQVRPKPVAQNNIPIAPAPPPMLAA PQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQTATIAKTFPMAQLTSIVIATPGTRLP GPQTVQLSKPSLEKQTVKSHPEAEEKQAESRTVTPPAAPKPKREENPQKLAFMVSLGLVT HDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTMHPGTRKRANEEHWPK GDIHEDFCSVCRKSGQLLMCDTCSRVYHLDCLEPPLKTIPKGMWICPRCQDQMLKKEEAI PWPGTLAIVHSYIAYKAAKEEEKQKLLKWSSDLKQEREQLEQKVKELSSSISKCMEMKSS ILARQKEMRSSLDKVKRLIRLVHGVDLCRPVDSEATAGALSNGPDCTPPANAASTPAPSP SSQSCTANCNQGEETK >ENSMUSP00000106925.1 pep:known chromosome:GRCm38:2:92184106:92361829:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000111294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRK NLIVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAA PPSLTASQKTVTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLSTD VQNTPVNLQTSSKVTGPGAEAVQIVAKNTVTLQVQATPPQPIKVPQFIPPPRLTPRPNFL PQVRPKPVAQNNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQT ATIAKTFPMAQLTSIVIATPGTRLPGPQTVQLSKPSLEKQTVKSHPEAEEKQAESRTVTP PAAPKPKREENPQKLAFMVSLGLVTHDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKK SAVTYLNSTMHPGTRKRANEEHWPKGDIHEDFCSVCRKSGQLLMCDTCSRVYHLDCLEPP LKTIPKGMWICPRCQDQMLKKEEAIPWPGTLAIVHSYIAYKAAKEEEKQKLLKWSSDLKQ EREQLEQKVKELSSSISKCMEMKSSILARQKEMRSSLDKVKRLIRLVHGVDLCRPVDSEA TAGALSNGPDCTPPANAASTPAPSPSSQSCTANCNQGEETK >ENSMUSP00000125228.1 pep:known chromosome:GRCm38:2:92185459:92221592:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000128781.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEAL >ENSMUSP00000125734.1 pep:known chromosome:GRCm38:2:92186465:92221604:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000138995.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEI >ENSMUSP00000038497.8 pep:known chromosome:GRCm38:2:92093117:92364666:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000044036.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRK NLIVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAA PPSLTASQQVQATPPQPIKVPQFIPPPRLTPRPNFLPQVRPKPVAQNNIPIAPAPPPMLA APQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQTATIAKTFPMAQLTSIVIATPGTRL PGPQTVQLSKPSLEKQTVKSHPEAEEKQAESRTVTPPAAPKPKREENPQKLAFMVSLGLV THDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTMHPGTRKRGRPPKYN AVLGFGALTPTSPPSSHPDSPENEKTETTFTFPAPVQPVSLPSPTSTDGDIHEDFCSVCR KSGQLLMCDTCSRVYHLDCLEPPLKTIPKGMWICPRCQDQMLKKEEAIPWPGTLAIVHSY IAYKAAKEEEKQKLLKWSSDLKQEREQLEQKVKELSSSISKCMEMKSSILARQKEMRSSL DKVKRLIRLVHGVDLCRPVDSEATAGALSNGPDCTPPANAASTPAPSPSSQSCTANCNQG EETK >ENSMUSP00000070649.7 pep:known chromosome:GRCm38:2:92093137:92364666:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000068702.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRK NLIVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAA PPSLTASQVQATPPQPIKVPQFIPPPRLTPRPNFLPQVRPKPVAQNNIPIAPAPPPMLAA PQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQTATIAKTFPMAQLTSIVIATPGTRLP GPQTVQLSKPSLEKQTVKSHPEAEEKQAESRTVTPPAAPKPKREENPQKLAFMVSLGLVT HDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTMHPGTRKRANEEHWPK GDIHEDFCSVCRKSGQLLMCDTCSRVYHLDCLEPPLKTIPKGMWICPRCQDQMLKKEEAI PWPGTLAIVHSYIAYKAAKEEEKQKLLKWSSDLKQEREQLEQKVKELSSSISKCMEMKSS ILARQKEMRSSLDKVKRLIRLVHGVDLCRPVDSEATAGALSNGPDCTPPANAASTPAPSP SSQSCTANCNQGEETK >ENSMUSP00000088074.5 pep:known chromosome:GRCm38:2:92221562:92364666:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000090586.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRK NLIVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAA PPSLTASQKTVTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLSTD VQNTPVNLQTSSKVTGPGAEAVQIVAKNTVTLQVQATPPQPIKVPQFIPPPRLTPRPNFL PQVRPKPVAQNNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQT ATIAKTFPMAQLTSIVIATPGTRLPGPQTVQLSKPSLEKQTVKSHPEAEEKQAESRTVTP PAAPKPKREENPQKLAFMVSLGLVTHDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKK SAVTYLNSTMHPGTRKRGRPPKYNAVLGFGALTPTSPPSSHPDSPENEKTETTFTFPAPV QPVSLPSPTSTDGDIHEDFCSVCRKSGQLLMCDTCSRVYHLDCLEPPLKTIPKGMWICPR CQDQMLKKEEAIPWPGTLAIVHSYIAYKAAKEEEKQKLLKWSSDLKQEREQLEQKVKELS SSISKCMEMKSSILARQKEMRSSLDKVKRLIRLVHGVDLCRPVDSEATAGALSNGPDCTP PANAASTPAPSPSSQSCTANCNQGEETK >ENSMUSP00000106921.3 pep:known chromosome:GRCm38:2:92093137:92364666:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000111290.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRK NLIVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAA PPSLTASQKTVTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLSTD VQNTPVNLQTSSKVTGPGAEAVQIVAKNTVTLQVQATPPQPIKVPQFIPPPRLTPRPNFL PQVRPKPVAQNNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQT ATIAKTFPMAQLTSIVIATPGTRLPGPQTVQLSKPSLEKQLNPTQKQRKNKQRLVTHDHL EEIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTMHPGTRKRGRPPKYNAVLGF GALTPTSPPSSHPDSPENEKTETTFTFPAPVQPVSLPSPTSTDGDIHEDFCSVCRKSGQL LMCDTCSRVYHLDCLEPPLKTIPKGMWICPRCQDQMLKKEEAIPWPGTLAIVHSYIAYKA AKEEEKQKLLKWSSDLKQEREQLEQKVKELSSSISKCMEMKSSILARQKEMRSSLDKVKR LIRLVHGVDLCRPVDSEATAGALSNGPDCTPPANAASTPAPSPSSQSCTANCNQGEETK >ENSMUSP00000106928.3 pep:known chromosome:GRCm38:2:92093137:92364666:1 gene:ENSMUSG00000058318.15 transcript:ENSMUST00000111297.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21a description:PHD finger protein 21A [Source:MGI Symbol;Acc:MGI:2384756] MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRK NLIVKQEQPDKFQIQPLSQSENKLQTAQQQPLQPLQQQQPQQPQQQQQQQQQHAQQSAAA PPSLTASQTVTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLSTDV QNTPVNLQTSSKVTGPGAEAVQIVAKNTVTLQVQATPPQPIKVPQFIPPPRLTPRPNFLP QVRPKPVAQNNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQTA TIAKTFPMAQLTSIVIATPGTRLPGPQTVQLSKPSLEKQLNPTQKQRKNKQRLVTHDHLE EIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTMHPGTRKRANEEHWPKGDIHE DFCSVCRKSGQLLMCDTCSRVYHLDCLEPPLKTIPKGMWICPRCQDQMLKKEEAIPWPGT LAIVHSYIAYKAAKEEEKQKLLKWSSDLKQEREQLEQKVKELSSSISKCMEMKSSILARQ KEMRSSLDKVKRLIRLVHGVDLCRPVDSEATAGALSNGPDCTPPANAASTPAPSPSSQSC TANCNQGEETK >ENSMUSP00000119611.1 pep:known chromosome:GRCm38:4:129058409:129080549:1 gene:ENSMUSG00000028793.15 transcript:ENSMUST00000152565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf19b description:ring finger protein 19B [Source:MGI Symbol;Acc:MGI:1922484] MHKYEEFMLRRYLASDPDCRWCPAPDCGYAVIAYGCASCPKLTCEREGCQTEFCYHCKQI WHPNQTCDMARQQRAQTLRVRTKHTSGLSYGQESGPDDIKPCPRCSAYIIKMNDGSCNHM TCAVCGCEFCWLCMKEISDLHYLSPSGCTFWGKKPWSRKKKILWQLGTLIGAPVGISLIA GIAIPAMVIGIPVYVGRKIHSRYEGRKTSKHKRNLAITGGVTLSVIASPVIAAVSVGIGV PIMLAYVYGVVPISLCRGGGCGVSTANGKGVKIEFDEDDGPITVADAWRALKNPSIGESS IEGLTSVLSTSGSPTDGLSVMQGPYSETASFAALSGGTLSGGILSSGKGKYSR >ENSMUSP00000095484.2 pep:known chromosome:GRCm38:4:129058814:129084526:1 gene:ENSMUSG00000028793.15 transcript:ENSMUST00000097874.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf19b description:ring finger protein 19B [Source:MGI Symbol;Acc:MGI:1922484] MHKYEEFMLRRYLASDPDCRWCPAPDCGYAVIAYGCASCPKLTCEREGCQTEFCYHCKQI WHPNQTCDMARQQRAQTLRVRTKHTSGLSYGQESGPADDIKPCPRCSAYIIKMNDGSCNH MTCAVCGCEFCWLCMKEISDLHYLSPSGCTFWGKKPWSRKKKILWQLGTLIGAPVGISLI AGIAIPAMVIGIPVYVGRKIHSRYEGRKTSKHKRNLAITGGVTLSVIASPVIAAVSVGIG VPIMLAYVYGVVPISLCRGGGCGVSTANGKGVKIEFDEDDGPITVADAWRALKNPSIGES SIEGLTSVLSTSGSPTDGLSVMQGPYSETASFAALSGGTLSGGILSSGKGKYSRLEVQAD VQKEIFPKDTASLGAISDSASTRAMAGSIISSYNPQDRECNNMEIQVDIEAKPSHYQLVS GSSTEDSLHVHAQVAEKEEEGNGAGGGSGGSEDDPPYKHQSCEQKDCLASKAWDISLAQP ESIRSDLESSDTQSDDVPDITSDECGSPRSHAAACPSTPQVHGAPSPSAHKNLAAPAEGQ TVLKSEEYEVE >ENSMUSP00000121004.1 pep:known chromosome:GRCm38:4:129078971:129085886:1 gene:ENSMUSG00000028793.15 transcript:ENSMUST00000130803.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf19b description:ring finger protein 19B [Source:MGI Symbol;Acc:MGI:1922484] XGGCGVSTANGKGVKIEFDEDDGPITVADAWRALKNPSIGESSIEGLTSVLSTSGSPTDG LSVMQGPYSETASFAALSGGTLSGGILSSGKGKYSRLEVQADVQKEIFPKDTASLGAISD SASTRAMAGSIISSYNPQDRECNNMEIQVDIEAKPSHYQLVYLLPYAVKDGASRQQPLWK ILLLRLHRPPCISLHIGTGNAVNGLGVSVRKCPRDVPVRLKEPVGEKRQTVWRPLKCKQP TRDLQFF >ENSMUSP00000131373.1 pep:known chromosome:GRCm38:4:129058271:129084526:1 gene:ENSMUSG00000028793.15 transcript:ENSMUST00000168461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf19b description:ring finger protein 19B [Source:MGI Symbol;Acc:MGI:1922484] MGSEKDSESPRSTSLHAAAPDPKCRSGGRRRRLTFHSVFSASARGRRARTKPQAEPPPPA APPPPPPPAPAPVEAQAPPVEALPSEPAAEAEAEAVAAGPEEDEAAEGGGAEEVECPLCL VRLPPERAPRLLSCPHRSCRDCLRHYLRLEISESRVPISCPECSERLNPHDIRLLLADPP LMHKYEEFMLRRYLASDPDCRWCPAPDCGYAVIAYGCASCPKLTCEREGCQTEFCYHCKQ IWHPNQTCDMARQQRAQTLRVRTKHTSGLSYGQESGPDDIKPCPRCSAYIIKMNDGSCNH MTCAVCGCEFCWLCMKEISDLHYLSPSGCTFWGKKPWSRKKKILWQLGTLIGAPVGISLI AGIAIPAMVIGIPVYVGRKIHSRYEGRKTSKHKRNLAITGGVTLSVIASPVIAAVSVGIG VPIMLAYVYGVVPISLCRGGGCGVSTANGKGVKIEFDEDDGPITVADAWRALKNPSIGES SIEGLTSVLSTSGSPTDGLSVMQGPYSETASFAALSGGTLSGGILSSGKGKYSRLEVQAD VQKEIFPKDTASLGAISDSASTRAMAGSIISSYNPQDRECNNMEIQVDIEAKPSHYQLVS GSSTEDSLHVHAQVAEKEEEGNGAGGGSGGSEDDPPYKHQSCEQKDCLASKAWDISLAQP ESIRSDLESSDTQSDDVPDITSDECGSPRSHAAACPSTPQVHGAPSPSAHKNLAAPAEGQ TVLKSEEYEVE >ENSMUSP00000030584.4 pep:known chromosome:GRCm38:4:129058271:129085735:1 gene:ENSMUSG00000028793.15 transcript:ENSMUST00000030584.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf19b description:ring finger protein 19B [Source:MGI Symbol;Acc:MGI:1922484] MGSEKDSESPRSTSLHAAAPDPKCRSGGRRRRLTFHSVFSASARGRRARTKPQAEPPPPA APPPPPPPAPAPVEAQAPPVEALPSEPAAEAEAEAVAAGPEEDEAAEGGGAEEVECPLCL VRLPPERAPRLLSCPHRSCRDCLRHYLRLEISESRVPISCPECSERLNPHDIRLLLADPP LMHKYEEFMLRRYLASDPDCRWCPAPDCGYAVIAYGCASCPKLTCEREGCQTEFCYHCKQ IWHPNQTCDMARQQRAQTLRVRTKHTSGLSYGQESGPADDIKPCPRCSAYIIKMNDGSCN HMTCAVCGCEFCWLCMKEISDLHYLSPSGCTFWGKKPWSRKKKILWQLGTLIGAPVGISL IAGIAIPAMVIGIPVYVGRKIHSRYEGRKTSKHKRNLAITGGVTLSVIASPVIAAVSVGI GVPIMLAYVYGVVPISLCRGGGCGVSTANGKGVKIEFDEDDGPITVADAWRALKNPSIGE SSIEGLTSVLSTSGSPTDGLSVMQGPYSETASFAALSGGTLSGGILSSGKGKYSRLEVQA DVQKEIFPKDTASLGAISDSASTRAMAGSIISSYNPQDRECNNMEIQVDIEAKPSHYQLV SGSSTEDSLHVHAQVAEKEEEGNGAGGGSGGSEDDPPYKHQSCEQKDCLASKAWDISLAQ PESIRSDLESSDTQSDDVPDITSDECGSPRSHAAACPSTPQVHGAPSPSAHKNLAAPAEG QTVLKSEEYEVE >ENSMUSP00000140296.1 pep:known chromosome:GRCm38:13:67069399:67108549:-1 gene:ENSMUSG00000100235.6 transcript:ENSMUST00000186303.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28557 description:predicted gene 28557 [Source:MGI Symbol;Acc:MGI:5579263] MLENFSHLVFLGFSFSKPLLVRFLEKNQELRNVKRKAATVPRGKKPYKCKECGKAFAKSS VLTQHLRIHTGEKPYTCDICDKAFSLPSILHNHQKIHTGEKPYKCEVCGKAFLMPSTLTN HQKIHTGEKPYKCEVCGKAFLMPSTLTNHQKIHTGEKPYECEVCGKKFSSPADFTKHQRV HTGEKPYKCEECKKPFSNLSALSRHKVVHTGEKRYTCEKCGKAYSRSYHLSRHKKAHSGQ KSYKCKECGKMFYYSSDLKRHQRIHSEERPYECEECGKAFPSPSDVTQHARTHKRMKQYT CDECGECFTIQTTFSNLRKIRLEGKPSECERCRKDF >ENSMUSP00000105365.3 pep:known chromosome:GRCm38:13:67069400:67097976:-1 gene:ENSMUSG00000100235.6 transcript:ENSMUST00000109743.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28557 description:predicted gene 28557 [Source:MGI Symbol;Acc:MGI:5579263] MIIEVFINAKEMTKDPLSFTDVAIDFSADECECLDSAQWNLYKEVMLENYSNLVFLGLAF SKPYLVTFLEQSSDLWSVKRKASVAIHLGSKAHKCKECGKSFDRNSVLIQHQRIHTGERP YKCEECGMAFTGRCILSKHQRIHTGEKPYKCKECGKAFSCSSNLHQHQQIHREEKPYKCD DCGKAFSCSSYLYKHQRIHTGMKPYKCKECGKAFNCSANLIYHQRIHTGEKPYKCGECGK AFTIYSTFMNHQRTHSGEKPYECKDCGKAFTNCYNLIQHQRIHTGEKPYACEECGKSFNY SSHLKQHKRIHTGEKPYKCEVCGKAFNCSDYLVKHQRIHTGEKPYKCEVCGKAFSFSTYL HKHQRIHTGEKRYRCEECGKAFTNYSGLIVHRRVHTGEKPYKCEECGKAFSVHTSLSKHQ RIHTREQPYKCDECGKTFSVHSTFSKHQIMHTREKPYKCKHCDKTFKYPSPLVQHERIHT GERPYKCEVCGKAFTSSSNLKYHWRLHTGEKPYKCEQCGKAFKNSSNVTRHYKIHTRENP D >ENSMUSP00000040775.6 pep:known chromosome:GRCm38:9:95399292:95406722:-1 gene:ENSMUSG00000033350.7 transcript:ENSMUST00000036267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst2 description:carbohydrate sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:1891160] MSRSSPRALPPGALPRPLPAAPAAVQRALLPPWPRRAGRRWPASPLGMKVFRRKALVLCA GYALLLVLTMLNLLDYKWHKEPLQQCNPDGPLGAAVGAAGAGWGRPGSPPAAPPRAHSRM DPRTPYRPPAAGVGAVPAAAAGSAGAAASLGNATRGTRGGGDKRQLVYVFTTWRSGSSFF GELFNQNPEVFFLYEPVWHVWQKLYPGDAVSLQGAARDMLSALYRCDLSVFQLYSPAGSG GRNLTTLGIFGAATNKVVCSSPLCPAYRKEVVGLVDDRVCKKCPPQRLARFEEECRKYRT LVIKGVRVFDVAVLAPLLKDPALDLKVIHLVRDPRAVASSRIRSRHGLIRESLQVVRSRD PRAHRMPFLEAAGHKLGAKKEGMGGPADYHALGAMEVICNSMAKTLQTALQPPDWLQGHY LVVRYEDLVGDPVKTLRRVYDFVGLLVSPEMEQFALNMTSGSGSSSKPFVVSARNATQAA NAWRTALTFQQIKQVEEFCYQPMAVLGYERVNSPEEVKDLSKTLLRKPRL >ENSMUSP00000097465.2 pep:known chromosome:GRCm38:2:86638765:86639706:-1 gene:ENSMUSG00000075177.4 transcript:ENSMUST00000099880.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1084 description:olfactory receptor 1084 [Source:MGI Symbol;Acc:MGI:3030918] MDKHNLTVVTEFILMGITENPELQAPLFGLFLVIYLTSVVGNLGIIILTNVDAKLQTPMY FFLRHLAFTDFVYSTTVGPKMLVNFVVDQNAISYSLCATPLAFFLLFIGSDHFILSAMSY DRYVAICKPLLYTVIVSHKVCWLLVTMTYLYCTFMSLVVTINIFSLSFCGYNVINHFFCD CIPLISLLCSNTQEVELIVMFFAAFDLISSLVVVLMSYLLILIAVLRMNSAEGRRKAFST CGSHLTVVTIFYGTLIFMYVKPVSSHSIDTEKISSILYTLIIPLLNPLIYSLRNKDVKEA LQRTWQKIFNTFS >ENSMUSP00000035649.7 pep:known chromosome:GRCm38:4:9269317:9451691:1 gene:ENSMUSG00000041216.15 transcript:ENSMUST00000038841.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clvs1 description:clavesin 1 [Source:MGI Symbol;Acc:MGI:1921688] MGPVSVLPSPQSLSTWEGDLAKMTHLQAGLSPDTIEKARLELNENPDILHQDIQQVRDMI ITRPDIGFLRTDDAFILRFLRARKFHQADAFRLLAQYFQYRQLNLDMFKNFKADDPGIKR ALIDGFPGVLENRDHYGRKILLLFAANWDQSRNSFTDILRAILLSLEVLIEDPELQINGF ILIIDWSNFSFKQASKLTPSILKLAIEGLQDSFPARFGGVHFVNQPWYIHALYTLIKPFL KDKTRKRIFLHGNNLNSLHQLIHPEFLPSEFGGTLPPYDMGTWARTLLGPDYSDENDYTH TSYNAMHVKHTCSNLERECSPKPMKRSQSVVEAGTLKHEEKGENENTQPLLALD >ENSMUSP00000103985.1 pep:known chromosome:GRCm38:4:9271455:9451691:1 gene:ENSMUSG00000041216.15 transcript:ENSMUST00000108348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clvs1 description:clavesin 1 [Source:MGI Symbol;Acc:MGI:1921688] MGPVSVLPSPQSLSTWEGDLAKMTHLQAGLSPDTIEKARLELNENPDILHQDIQQVRDMI ITRPDIGFLRTDDAFILRFLRARKFHQADAFRLLAQYFQYRQLNLDMFKNFKADDPGIKR ALIDGFPGVLENRDHYGRKILLLFAANWDQSRNSFTDILRAILLSLEVLIEDPELQINGF ILIIDWSNFSFKQASKLTPSILKLAIEGLQDSFPARFGGVHFVNQPWYIHALYTLIKPFL KDKTRKRIFLHGNNLNSLHQLIHPEFLPSEFGGTLPPYDMGTWARTLLGPDYSDENDYTH TSYNAMHVKHTCSNLERECSPKPMKRSQSVVEAGTLKHEEKGENENTQPLLALD >ENSMUSP00000026408.6 pep:known chromosome:GRCm38:10:128882295:128891718:-1 gene:ENSMUSG00000025352.6 transcript:ENSMUST00000026408.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf11 description:growth differentiation factor 11 [Source:MGI Symbol;Acc:MGI:1338027] MVLAAPLLLGFLLLALELRPRGEAAEGPAAAAAAAAAAAGVGGERSSRPAPSAPPEPDGC PVCVWRQHSRELRLESIKSQILSKLRLKEAPNISREVVKQLLPKAPPLQQILDLHDFQGD ALQPEDFLEEDEYHATTETVISMAQETDPAVQTDGSPLCCHFHFSPKVMFTKVLKAQLWV YLRPVPRPATVYLQILRLKPLTGEGTAGGGGGGRRHIRIRSLKIELHSRSGHWQSIDFKQ VLHSWFRQPQSNWGIEINAFDPSGTDLAVTSLGPGAEGLHPFMELRVLENTKRSRRNLGL DCDEHSSESRCCRYPLTVDFEAFGWDWIIAPKRYKANYCSGQCEYMFMQKYPHTHLVQQA NPRGSAGPCCTPTKMSPINMLYFNDKQQIIYGKIPGMVVDRCGCS >ENSMUSP00000047473.3 pep:known chromosome:GRCm38:4:15997121:16013888:-1 gene:ENSMUSG00000041153.9 transcript:ENSMUST00000037198.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osgin2 description:oxidative stress induced growth inhibitor family member 2 [Source:MGI Symbol;Acc:MGI:2384798] MPVWCCRCSLAGHFRNYSDTETEGEIFNSFVQYFGDNLGPKVKGMPLVEETSLLEDSSVT LPMVIIGNGPSGICLSYMLSGYRPYLSSEAIHPNTILHSKLEEARHLSIVDQDLEYLSEG LEGRSSNPVAVLFDTLLHPDADFGYESPSILHWKLEQHHYIPHLVLGKGPPGGAWHNMEG SMLTISFGNWMELPGLQFKDWISSKRRNLKGDRVMPEEIARYYKHYVKVMGLQKNFRENT YITSVSRLYRDQGDNGSQDRDISTKHLQNQKSKFIKRNWEIRGYQRIAGGSHVPFCLFAE NVALATGTLDSPAHLEVEGEEFPFVFHSMPEFGAAINKGKLCGRVDPVLIVGSGLTAADA VLCAYNNNIPVIHVFRRRVTDPSLIFKQLPKKLYPEYHKVYHMMCSQSYSADSGPLSDYT SFPEHRVLSFKADMKCILQSVSGLKKIFKLSAAVILIGSHPNLSFLKEQGCYLGRNSSQP ITCKGNPVEVDAYTYECVKEANLFALGPLVGDNFVRFLKGGALGVTRCLATRQKKKQHLF VQRGGGDGVA >ENSMUSP00000121807.1 pep:known chromosome:GRCm38:4:16001964:16013796:-1 gene:ENSMUSG00000041153.9 transcript:ENSMUST00000149891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osgin2 description:oxidative stress induced growth inhibitor family member 2 [Source:MGI Symbol;Acc:MGI:2384798] MPVWCCRCSLAGHFRNYSDTETEGEIFNSFVQYFGDNLGPKVKGMPLVEETSLLEDSSVT LPMVIIGNGPSGICLSYMLSGYRPYLSSEAIHPNTILHSKLEEARHLSIVDQDLEYLSEG LEGRSSNPVAVLFDTLLHPDADFGYESPSILHWKLEQHHYIPHLVLEYGRFYVDNQLWKL DGASWT >ENSMUSP00000067082.4 pep:known chromosome:GRCm38:6:131659118:131660149:-1 gene:ENSMUSG00000053389.4 transcript:ENSMUST00000065781.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r107 description:taste receptor, type 2, member 107 [Source:MGI Symbol;Acc:MGI:2681207] MLNSAEGILLCVVTSEAVLGVLGDTYIALFNCMDYAKNKKLSKIGFILIGLAISRIGVVW IIILQGYIQVFFPHMLTSGNITEYITYIWVFLNHLSVWFVTNLNILYFLKIANFSNSVFL WLKRRVNAVFIFLSGCLLTSWLLCFPQMTKILQNSKMHQRNTSWVHQRKNYFLINQSVTN LGIFFFIIVSLITCFLLIVFLWRHVRQMHSDVSGFRDHSTKVHVKAMKFLISFMVFFILH FVGLSIEVLCFILPQNKLLFITGLTATCLYPCGHSIIVILGNKQLKQASLKALQQLKCCE TKGNFRVK >ENSMUSP00000076735.2 pep:known chromosome:GRCm38:10:127849928:127861176:1 gene:ENSMUSG00000054052.5 transcript:ENSMUST00000077530.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh19 description:retinol dehydrogenase 19 [Source:MGI Symbol;Acc:MGI:2678390] MWLYLVSLVGLWTLLRFFRERQVVSHLQDKYVFITGCDSGFGNLLARQLDRRGMRVLAAC LTEKGAEELRNKTSDRLETVILDVTKTESIVAATQWVKERVGNRGLWGLVNNAGISVPLG LSQWMNKQNFASVLDVNLLGMIEVTLTMLPLVRKARGRVVNVSSIMGRVSLHGNGGYCIS KYGVEAFSDSLRRELSYFGVKVAIIEPGFFLTGMASSARLSSNTQMLWDQTSSEIREIYG EKYLAFYLKSLNELDKRCNKDLSLVTDCMEHALTACHPRTRYSAGWDAKLFFIPLSYLPT FLVDAVFYWTSMKPEKAL >ENSMUSP00000105364.3 pep:known chromosome:GRCm38:13:67069398:67081512:-1 gene:ENSMUSG00000058883.14 transcript:ENSMUST00000109742.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp708 description:zinc finger protein 708 [Source:MGI Symbol;Acc:MGI:3040674] MEDPLSFTDVAIDFSADECECLDSAQWNLYKEVMLENYSNLVFLGLAFSKPYLVTFLEQS SDLWSVKRKASVAIHLGSKAHKCKECGKSFDRNSVLIQHQRIHTGERPYKCEECGMAFTG RCILSKHQRIHTGEKPYKCKECGKAFSCSSNLHQHQQIHREEKPYKCDDCGKAFSCSSYL YKHQRIHTGMKPYKCKECGKAFNCSANLIYHQRIHTGEKPYKCGECGKAFTIYSTFMNHQ RTHSGEKPYECKDCGKAFTNCYNLIQHQRIHTGEKPYACEECGKSFNYSSHLKQHKRIHT GEKPYKCEVCGKAFNCSDYLVKHQRIHTGEKPYKCEVCGKAFSFSTYLHKHQRIHTGEKR YRCEECGKAFTNYSGLIVHRRVHTGEKPYKCEECGKAFSVHTSLSKHQRIHTREQPYKCD ECGKTFSVHSTFSKHQIMHTREKPYKCKHCDKTFKYPSPLVQHERIHTGERPYKCEVCGK AFTSSSNLKYHWRLHTGEKPYKCEQCGKAFKNSSNVTRHYKIHTRENPD >ENSMUSP00000139928.1 pep:known chromosome:GRCm38:13:67069401:67081545:-1 gene:ENSMUSG00000058883.14 transcript:ENSMUST00000190566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp708 description:zinc finger protein 708 [Source:MGI Symbol;Acc:MGI:3040674] MLENYSNLVFLGLAFSKPYLVTFLEQSSDLWSVKRKASVAIHLGSKAHKCKECGKSFDRN SVLIQHQRIHTGERPYKCEECGMAFTGRCILSKHQRIHTGEKPYKCKECGKAFSCSSNLH QHQQIHREEKPYKCDDCGKAFSCSSYLYKHQRIHTGMKPYKCKECGKAFNCSANLIYHQR IHTGEKPYKCGECGKAFTIYSTFMNHQRTHSGEKPYECKDCGKAFTNCYNLIQHQRIHTG EKPYACEECGKSFNYSSHLKQHKRIHTGEKPYKCEVCGKAFNCSDYLVKHQRIHTGEKPY KCEVCGKAFSFSTYLHKHQRIHTGEKRYRCEECGKAFTNYSGLIVHRRVHTGEKPYKCEE CGKAFSVHTSLSKHQRIHTREQPYKCDECGKTFSVHSTFSKHQIMHTREKPYKCKHCDKT FKYPSPLVQHERIHTGERPYKCEVCGKAFTSSSNLKYHWRLHTGEKPYKCEQCGKAFKNS SNVTRHYKIHTRENPD >ENSMUSP00000029650.8 pep:known chromosome:GRCm38:3:133091840:133110988:1 gene:ENSMUSG00000028016.9 transcript:ENSMUST00000029650.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints12 description:integrator complex subunit 12 [Source:MGI Symbol;Acc:MGI:1919043] MAATVNLELDPIFLKALGFLHSKSKDSAEKLKALLDESLARGIDSSYRPTQKDVEPPKIS STKSLSIKQEPKTSSSLPSGSSNGKVLTAEKIKKEAEKRPADKMKDVTEGIDVPKKPRLE KPETRSSPITVQTSKDLSMADLSSFEETSADDFAMEMGLACVVCRQMTVASGNQLVECQE CHNLYHQDCHKPQVTDKEVNDPRLVWYCARCTRQMKRMAQKTQKPPQKPAPTVVSVTPTV KDPLVKKPETKLKQETTFLAFKRTEVKPSTVISGNSSSNNVSSSVTSGLTGWAAFAAKTS SAGPSTAKLNSAAQNSSGKPAASSSNQKPVGLTGLATSSKGGIGSKIGSGNSTSPSVPLK PLPPLTLGKTGLSRSVSCDNVSKVGLPSPSSLVPGGSSQLSGNGNSATTGPSGSTTSKAT SETSSSTSASLKGPTSQESQLNAMKRLQMVKKKAAQKKLKK >ENSMUSP00000130292.1 pep:known chromosome:GRCm38:15:89075124:89085309:1 gene:ENSMUSG00000015363.13 transcript:ENSMUST00000168863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trabd description:TraB domain containing [Source:MGI Symbol;Acc:MGI:1915226] MEGEEKPAQEADVEPVVTAGTSEAVPRVLAGDPQNISDVDAFNLLLEMKLKRRRERPNLP RTVTQLVAEDGSRVYVVGTAHFSDDSKRDVVKTIREVQPDVVVVELCQYRVSMLKMDERT LLREAKEVSLEKLQQAVRQNGLMSGLMQMLLLKVSAHITEQLGMAPGGEFREAFKEASKV PFCKFHLGDRPIPVTFKRAIAALSFWQKVKLAWGLCFLSDPISKDDVERCKQKDL >ENSMUSP00000080403.5 pep:known chromosome:GRCm38:15:89076013:89087077:1 gene:ENSMUSG00000015363.13 transcript:ENSMUST00000081702.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trabd description:TraB domain containing [Source:MGI Symbol;Acc:MGI:1915226] MEGEEKPAQEADVEPVVTAGTSEAVPRVLAGDPQNISDVDAFNLLLEMKLKRRRERPNLP RTVTQLVAEDGSRVYVVGTAHFSDDSKRDVVKTIREVQPDVVVVELCQYRVSMLKMDERT LLREAKEVSLEKLQQAVRQNGLMSGLMQMLLLKVSAHITEQLGMAPGGEFREAFKEASKV PFCKFHLGDRPIPVTFKRAIAALSFWQKVKLAWGLCFLSDPISKDDVERCKQKDLLEQMM AEMIGEFPDLHRTIVSERDVYLTYMLRQAARRLELPRASDAEPRKCVPSVVVGVVGMGHV PGIEKNWSTDLNIQEIMTVPPPSISGRVSRVAVKAAFFGLLGYSLYWMGRRTLNLVLSLP AAQFCLQRVSEARPGR >ENSMUSP00000126600.1 pep:known chromosome:GRCm38:15:89076072:89087071:1 gene:ENSMUSG00000015363.13 transcript:ENSMUST00000169870.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trabd description:TraB domain containing [Source:MGI Symbol;Acc:MGI:1915226] MEGEEKPAQEADVEPVVTAGTSEAVPRVLAGDPQNICMFPFRTC >ENSMUSP00000128721.1 pep:known chromosome:GRCm38:15:89076094:89086130:1 gene:ENSMUSG00000015363.13 transcript:ENSMUST00000169891.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trabd description:TraB domain containing [Source:MGI Symbol;Acc:MGI:1915226] MEGEEKPAQEADVEPVVTAGTSEAVPRVLAGDPQNISDVDAFNLLLEMKLKRRRERPNLP RTVTQLVAEDGSRVYVVGTAHFSDDSKRDVVKTIREVQPDVVVVELCQYRVSMLKMDERT LLREAKEVSLEKLQQAVRQNGLMSGLMQMLLLKVSAHITEQLGMAPGGEFREAFKEASKV PFCKFHLGDRPIPVTFKRAIAALSFWQKVKLAWGLCFLSDPISKDDVERCKQKDLLEQMM AEMIGEFPDLHRTIVSERDVYLTYMLRQAARRLELPRASDGDGKLSPGSVSRLWLWASLA WGMCLALRRTGVPTSTSRRS >ENSMUSP00000131228.1 pep:known chromosome:GRCm38:15:89076124:89086133:1 gene:ENSMUSG00000015363.13 transcript:ENSMUST00000165690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trabd description:TraB domain containing [Source:MGI Symbol;Acc:MGI:1915226] MEGEEKPAQEADVEPVVTAGTSEAVPRVLAGDPQNISDVDAFNLLLEMKLKRRRERPNLP RTVTQLVAEDGSRVYVVGTAHFSDDSKRDVVKTIREVQPDVVVVELCQYRVSMLKMDERT LLREAKEVSLEKLQQAVRQNGLMSGLMQMLLLKVSAHITEQLGMAPGGEFREAFKEASKV PFCKFHLGDRPIPVTFKRAIAALSFWQKVKLAWGLCFLSDPISKDDVERCKQKDLLEQMM AEMIGEFPDLHRTIVSERDVYLTYMLRQAARRLELPRASDAEPRKCVPSVVVGVVGMGHV PGIEKNWSTDLNIQEIMTVPPPSISGRVSRVAVKAAFFGLLGYSLYWMGRRTLNLVLSLP AAQFCLQRVSEARPGR >ENSMUSP00000076043.2 pep:known chromosome:GRCm38:6:131677960:131678886:-1 gene:ENSMUSG00000057754.2 transcript:ENSMUST00000076756.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r106 description:taste receptor, type 2, member 106 [Source:MGI Symbol;Acc:MGI:2681203] MLTVAEGILLCFVTSGSVLGVLGNGFILHANYINCVRKKFSTAGFILTGLAICRIFVICI IISDGYLKLFSPHMVASDAHIIVISYIWVIINHTSIWFATSLNLFYLLKIANFSHYIFFC LKRRINTVFIFLLGCLFISWSIAFPQTVKIFNVKKQHRNVSWQVYLYKNEFIVSHILLNL GVIFFFMVAIITCFLLIISLWKHNRKMQLYASRFKSLNTEVHVKVMKVLISFIILLILHF IGILIETLSFLKYENKLLLILGLIISCMYPCCHSFILILANSQLKQASLKALKQLKCHKK DKDVRVTW >ENSMUSP00000097464.1 pep:known chromosome:GRCm38:2:86657515:86658456:-1 gene:ENSMUSG00000075176.1 transcript:ENSMUST00000099879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1085 description:olfactory receptor 1085 [Source:MGI Symbol;Acc:MGI:3030919] MEKQNLTVLSEFILKGITDRPELQAPLFGLLFIIYLISAVGNLGIIIITNVDSSLHTPMY FFLKHLAFTDLGYSTAIGPKMLVNFVAEHNSVSYYLCATQLACFLLFITCELFILSSMSY DRYVAICNPLLYTVIMSQRICWVLVAVPYIYSVFVSLIVTIRLFTLSFCGYNIINHFFCD CIPLISLLCSNTHEVEVIIRFFATFDLISSLLVVLLSYLFILITILRMKSAAGRCKAFST CGSHLTVVIVFYGTLIFMYVQPKSSQTFETDKVSSIFYTLVIPMLNPLIYTLRNKDVKDA IERTWEKIVTSFS >ENSMUSP00000114668.1 pep:known chromosome:GRCm38:2:177193357:177202829:-1 gene:ENSMUSG00000078870.9 transcript:ENSMUST00000133301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14410 description:predicted gene 14410 [Source:MGI Symbol;Acc:MGI:3709158] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKVFARSCHLRIHKRTHTGEKQYKCNQCGKAFKRRSGLQIHKRT HTGEKPYECNQCGKAFASSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFAISGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHKHERTHTGDKPYE CKQCGKAFAVIYTLQMHKRTHTGEKPYECKQCGKAFAVIYALQMHKRTHTGEKPYKCKQC GKAFTQSIHL >ENSMUSP00000104592.1 pep:known chromosome:GRCm38:2:177194849:177206421:-1 gene:ENSMUSG00000078870.9 transcript:ENSMUST00000108964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14410 description:predicted gene 14410 [Source:MGI Symbol;Acc:MGI:3709158] MDLVTYDDVHVNFTQDEWALLDPSQKSLYKGVMLETYKNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000027477.8 pep:known chromosome:GRCm38:1:87476834:87510362:-1 gene:ENSMUSG00000026259.14 transcript:ENSMUST00000027477.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngef description:neuronal guanine nucleotide exchange factor [Source:MGI Symbol;Acc:MGI:1858414] MELLAAAFSAACAVDHDSSTSESDTRDSAAGHLPGSESSSTPGNGTTPEECPALTDSPTT LTEALQMIHPIPADSWRNLIEQIGLLYQEYRDKSTLQEIETRRQQDAEIQGNSDGSQVGE DAGEEEEEEEEGEEEELASPPERRALPQICLLSNPHSRFNLWQDLPEIQSSGVLDILQPE EIRLQEAMFELVTSEASYYKSLNLLVSHFMENERLKKILHPSEAHILFSNVLDVMAVSER FLLELEHRMEENIVISDVCDIVYRYAADHFSVYITYVSNQTYQERTYKQLLQEKAAFREL IAQLELDPKCKGLPFSSFLILPFQRITRLKLLVQNILKRVEERSEREGTALDAHKELEMV VKACNEGVRKMSRTEQMISIQKKMEFKIKSVPIISHSRWLLKQGELQQMSGPKTSRTLRT KKLFREIYLFLFNDLLVICRQIPGDKYQVFDSAPRGLLRVEELEDQGQTLANVFILRLLE NADDREATYMLKASSQSEMKRWMTSLAPNRRTKFVSFTSRLLDCPQVQCVHPYVAQQPDE LTLELADILNILEKTEDGWIFGERLHDQERGWFPSSMTEEILNPKIRSQNLKECFRVHKM EDPQRSQNKDRRKLGSRNRQ >ENSMUSP00000066894.5 pep:known chromosome:GRCm38:1:87476834:87573870:-1 gene:ENSMUSG00000026259.14 transcript:ENSMUST00000068681.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngef description:neuronal guanine nucleotide exchange factor [Source:MGI Symbol;Acc:MGI:1858414] METKNSEDWGKPQRKSESSSRKSNHGPAEMRPALPPENREAPETGEETQNEEPRRLIPIQ RHSLFNRAVRHRHKARSTSERRASDQADLPKMGKSVNERSAFNLPQGRLPPWRTPAQRDT GAQEASESSSTPGNGTTPEECPALTDSPTTLTEALQMIHPIPADSWRNLIEQIGLLYQEY RDKSTLQEIETRRQQDAEIQGNSDGSQVGEDAGEEEEEEEEGEEEELASPPERRALPQIC LLSNPHSRFNLWQDLPEIQSSGVLDILQPEEIRLQEAMFELVTSEASYYKSLNLLVSHFM ENERLKKILHPSEAHILFSNVLDVMAVSERFLLELEHRMEENIVISDVCDIVYRYAADHF SVYITYVSNQTYQERTYKQLLQEKAAFRELIAQLELDPKCKGLPFSSFLILPFQRITRLK LLVQNILKRVEERSEREGTALDAHKELEMVVKACNEGVRKMSRTEQMISIQKKMEFKIKS VPIISHSRWLLKQGELQQMSGPKTSRTLRTKKLFREIYLFLFNDLLVICRQIPGDKYQVF DSAPRGLLRVEELEDQGQTLANVFILRLLENADDREATYMLKASSQSEMKRWMTSLAPNR RTKFVSFTSRLLDCPQVQCVHPYVAQQPDELTLELADILNILEKTEDGWIFGERLHDQER GWFPSSMTEEILNPKIRSQNLKECFRVHKMEDPQRSQNKDRRKLGSRNRQ >ENSMUSP00000127674.1 pep:known chromosome:GRCm38:1:87478685:87486177:-1 gene:ENSMUSG00000026259.14 transcript:ENSMUST00000168235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngef description:neuronal guanine nucleotide exchange factor [Source:MGI Symbol;Acc:MGI:1858414] XRLKLLVQNILKRVEERSEREGTALDAHKELEMVVKACNEGVRKMSRTEQMISIQKKMEF KIKSVPIISHSRWLLKQGELQQMSGPKTSRTLRTKKLFREIYLFLFNDLLVICRQIPGDK YQVFDSAPRGLLRVEELEDQGQTLANVFILRLLENADDREATYMLKASSQSEMKRWMTSL APNRRTKFVSFTSRLLDCPQVQCVHPYVAQQPDELTLELADILNILEKTEDGEPRTKGTL HLGQP >ENSMUSP00000074343.1 pep:known chromosome:GRCm38:7:108465326:108466318:1 gene:ENSMUSG00000096679.1 transcript:ENSMUST00000074787.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr498 description:olfactory receptor 498 [Source:MGI Symbol;Acc:MGI:3030332] MAFLEDGNHTTVTEFFLLGLTDDPVLRDILFIIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFILSHLASVDIGISSSVTPNMLATFLVKQNTISYIGCSIQFTSAAFFGTVECFLLAT MAYDRFVAICNPLLYSTKMSTEACIQLVVGSYIQGFLNASFFTLSFFSLFFCGPNRINDF YCDFAPLLELSCSDVTVAVVITSISAGFITLTTVFVIAISYSCIFITIMKMHSTESRCKA FSTCTSHLTAVILFYGTAIFIYVMPKSSYSTDQNKVLSIFYTVVIPMLNPLIYSLRNNEI KEALKRHLGKKVFSYGNLFCKTHYNHNYPV >ENSMUSP00000097463.1 pep:known chromosome:GRCm38:2:86676399:86677331:-1 gene:ENSMUSG00000075175.1 transcript:ENSMUST00000099878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1086 description:olfactory receptor 1086 [Source:MGI Symbol;Acc:MGI:3030920] MENITEVTEFILMGFTDNADLEILSFFLFLAIYLFTLMGNLGLITLVIGDSRLHNPMYYF LSVLSSVDACYSTVITPQMVVDFVSEKKVISFIGCATQMFLAVTFGTTECFLLAAMAYDR YVAIHNPLMYVVSMSPRVYVPLIIASYAGGILHAVIHTVATFRLSFCGSNKISHIFCDIP PLLAISCSDTHFNQLLLFYCAGFIEVVTILIVLLSYGFILSVILKTRSTEGKRKVFSTCG SHLMAVSTFHGTVLFMYVRPSDSYALEHDMMVSIFYSIVIPMLNPLIYSLRNKDVKEAIK KVFGKRILCG >ENSMUSP00000072237.2 pep:known chromosome:GRCm38:6:131684836:131685744:-1 gene:ENSMUSG00000061977.2 transcript:ENSMUST00000072404.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r104 description:taste receptor, type 2, member 104 [Source:MGI Symbol;Acc:MGI:2681185] MLSALESILLSVATSEAMLGVLGNTFIVLVNYTDWVRNKKLSKINFILTGLAISRIFTIW IITLDAYTKVFLLTMLMPSSLHECMSYIWVIINHLSVWFSTSLGIFYFLKIANFSHYIFL WMKRRADKVFVFLIVFLIITWLASFPLAVKVIKDVKIYQSNTSWLIHLEKSELLINYVFA NMGPISLFIVAIIACFLLTISLWRHSRQMQSIGSGFRDLNTEAHMKAMKVLIAFIILFIL YFLGILIETLCLFLTNNKLLFIFGFTLSAMYPCCHSFILILTSRELKQATMRALQRLKCC ET >ENSMUSP00000104591.1 pep:known chromosome:GRCm38:2:177264654:177267036:-1 gene:ENSMUSG00000078869.2 transcript:ENSMUST00000108963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14409 description:predicted gene 14409 [Source:MGI Symbol;Acc:MGI:3649811] MVETYRNLTAIGYIWEEHTSEDHFQTSRSHGRHERSCSAEQPSEFIHCGKAFANESGSQR HQIKHTGEKQHDCNQCGKAFKRRSDLQIHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRT HTGEKPYECKQCGKAFAQSSHLQIHKRTHTGEKPYECKQCGKAFAHSSHLHIHERTHTGE KPYECKQCGKGFARSGDLQKHKQTHTGEKPYECKQCGKAFSRKSVLQIHKRTHTGEKPYE CKQCGKAFAQSSHLRIHKRAHTGLKPYECKQCGKAFAGSSGLQCHKRSHTGETPYECNQG GKPFEGSSGLEYNNRTQTAEKPYECNLCAKVLQEGVTFKEKRPYRRETL >ENSMUSP00000121642.2 pep:known chromosome:GRCm38:X:8542608:8548255:-1 gene:ENSMUSG00000079702.5 transcript:ENSMUST00000138642.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb6 description:synovial sarcoma, X member B, breakpoint 6 [Source:MGI Symbol;Acc:MGI:2446775] METVSSCEKIPMEVLYEPKNICKAFQDISTYFSDEEWVKLTQWQKSAYVYMKRNYIRMTD LGVTVNQPVFMRGKEQAKQSLVEGIEIHDSDDECFEGSFGVTPIKRMKLTSVTISIHNVE GSHDSGENDSNLAETGGIQVNVWSHRLRERKYRVIYSEISDPEEEEDDDY >ENSMUSP00000089691.3 pep:known chromosome:GRCm38:10:127866474:127877317:1 gene:ENSMUSG00000074639.6 transcript:ENSMUST00000092058.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC089597 description:cDNA sequence BC089597 [Source:MGI Symbol;Acc:MGI:3583955] MWLYMVALLGLWMLLRFFRERQVVDHLQDKYVFITGCGSGFGNLLARQLDRRGMRVLAAC RKEEGAEELRRKTSERLETVILDVTKTENIVAATQWVKERVGNRGLWGLVNNAGISVPSG PNEWMKKQDFASVLDVNLLGLIEVTLSMLPLVRKARGRVVNVSSILGRVSLGGSGGYCIS KYGIEAFSDSLRRELRYFGVKVAIIEPGFFLTGMASSARLCSNIQMLWDQTSSEIREIYG EKYLASYLKNLNELDQRCNKDLSVVTDCMEHALTACHPRTRYSAGWDAKLFFTPLSYLPT FLVDALLYWTSPKPDKAL >ENSMUSP00000110131.2 pep:known chromosome:GRCm38:2:24336853:24351494:1 gene:ENSMUSG00000026981.15 transcript:ENSMUST00000114487.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rn description:interleukin 1 receptor antagonist [Source:MGI Symbol;Acc:MGI:96547] MASEAACRPSGKRPCKMQAFRIWDTNQKTFYLRNNQLIAGYLQGPNIKLEEKIDMVPIDL HSVFLGIHGGKLCLSCAKSGDDIKLQLEEVNITDLSKNKEEDKRFTFIRSEKGPTTSFES AACPGWFLCTTLEADRPVSLTNTPEEPLIVTKFYFQEDQ >ENSMUSP00000141269.1 pep:known chromosome:GRCm38:2:24336856:24349457:1 gene:ENSMUSG00000026981.15 transcript:ENSMUST00000142093.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rn description:interleukin 1 receptor antagonist [Source:MGI Symbol;Acc:MGI:96547] MASEAACRPSGKRPCKMQAFRIWDTNQKTFYLRNNQLIAGYLQGPNIKLEGS >ENSMUSP00000110129.2 pep:known chromosome:GRCm38:2:24345288:24349807:1 gene:ENSMUSG00000026981.15 transcript:ENSMUST00000114485.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rn description:interleukin 1 receptor antagonist [Source:MGI Symbol;Acc:MGI:96547] MTAAQAEAACRPSGKRPCKMQAFRIWDTNQKTFYLRNNQLIAGYLQGPNIKLEEKIDMVP IDLHSVFLGIHGGKLCLSCAKSGDDIKLQLEEVNITDLSKNKEEDKRFTFIRSEKGPTTS FESAACPGWFLCTTLEADRPVSLTNTPEEPLIVTKFYFQEDQ >ENSMUSP00000110126.1 pep:known chromosome:GRCm38:2:24345310:24350936:1 gene:ENSMUSG00000026981.15 transcript:ENSMUST00000114482.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rn description:interleukin 1 receptor antagonist [Source:MGI Symbol;Acc:MGI:96547] MEICWGPYSHLISLLLILLFHSEAACRPSGKRPCKMQAFRIWDTNQKTFYLRNNQLIAGY LQGPNIKLEEKIDMVPIDLHSVFLGIHGGKLCLSCAKSGDDIKLQLEEVNITDLSKNKEE DKRFTFIRSEKGPTTSFESAACPGWFLCTTLEADRPVSLTNTPEEPLIVTKFYFQEDQ >ENSMUSP00000104587.2 pep:known chromosome:GRCm38:2:177314520:177324307:-1 gene:ENSMUSG00000078868.3 transcript:ENSMUST00000108959.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14412 description:predicted gene 14412 [Source:MGI Symbol;Acc:MGI:3652251] MDLVTYDDVQVNFTQEEWALLDPSQKSLYKDVMLETHRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESHSQRYEIKHTGEKHHDCNQCGKAFARSDDLQK HKRTHTGEKPYKCNQCGKSFARSGDLQKHKRTHTGEKPYECNQCGKAFARNCDLQKHKRT HTGEKPYECNQCGKAFARKCDLQKHKRTHTGEKPYECKQCGKAFAESSTLQIHKRTHTGE KPYECNQCGKAFKRSSDLQKHKRTHTGEKPYECKQCGKAFAESSTLQIHKRTHTGEKPYE CNQCGKAFKRSCELQKHKRTHTGEKPYECNQCGKAFKRSCELQKHKRIHTGEKPYKCNQC GKAFTQSSHLQIHKRTHTGEKPYECNQCGKAFARNCDLQKHKQTHTGEKPYECNQCGKAF ARKCDLQNHKQKHTGEKPYECKQCGKAFAQSSHLRIHKRTHTGEKPYECNQCGKAFKRRS DLQVHKRTHTGEKPYKCKQCGKAFARSGGLQKHKRTHTGERPNECN >ENSMUSP00000035879.3 pep:known chromosome:GRCm38:3:54735539:54749795:1 gene:ENSMUSG00000036632.9 transcript:ENSMUST00000044567.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg5 description:asparagine-linked glycosylation 5 (dolichyl-phosphate beta-glucosyltransferase) [Source:MGI Symbol;Acc:MGI:1913498] MATLLLQLLGLGVALAAAALILVSIVAFITATKMPPCYQHEEEKFFLNAKGQKEALPSIW DSPTKQLSVVVPSYNEEKRLPVMMDEALNYLEKRQKHDCTFTYEVIVVDDGSEDQTSKVA LKYCQKYGSDKVRVITLVRNRGKGGAVRMGVFSSRGEKILMADADGATKFPDVEKLEKGL SDLQPWPEQMAIACGSRAHLEKESIAQRSYFRTFLMYGFHFLVWFLCVKGIRDTQCGFKL LTREAAARTFSSLHIERWAFDVELLYIAQCLQIPIAEVAVNWTEIEGSKLVPFWSWLQMG KDLLFIRLRYLTGAWRLKQTRKAS >ENSMUSP00000118818.1 pep:known chromosome:GRCm38:3:54735559:54751318:1 gene:ENSMUSG00000036632.9 transcript:ENSMUST00000141191.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg5 description:asparagine-linked glycosylation 5 (dolichyl-phosphate beta-glucosyltransferase) [Source:MGI Symbol;Acc:MGI:1913498] MATLLLQLLGLGVALAAAALILVSIVAFITATKMPPCYQHEEEKFFLNAKGQKEALPSIW DSPTKQLSVVVPSYNEEKRACDDG >ENSMUSP00000119260.1 pep:known chromosome:GRCm38:3:54735567:54745470:1 gene:ENSMUSG00000036632.9 transcript:ENSMUST00000155273.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg5 description:asparagine-linked glycosylation 5 (dolichyl-phosphate beta-glucosyltransferase) [Source:MGI Symbol;Acc:MGI:1913498] MATLLLQLLGLGVALAAAALILVSIVAFITATKMPPCYQHEEEKFFLNAKGQKEALPSIW DSPTKQLSVVVPSYNEEKRACDDG >ENSMUSP00000028935.3 pep:known chromosome:GRCm38:2:148835147:148838738:1 gene:ENSMUSG00000027445.3 transcript:ENSMUST00000028935.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst9 description:cystatin 9 [Source:MGI Symbol;Acc:MGI:1340053] MSCPLRKKALPLTMLLLLLSFHVLITPVSKANKETNRSVHFIPTVEFAVNTFNQESQDEY AYRMEHIMSSWREKVNFPTVYSMRLQLRRTICKKFEESLDICPFQESHGLNNTFTCLFTV GTYPWITKFKLFRSVCS >ENSMUSP00000134031.2 pep:known chromosome:GRCm38:19:6061192:6062472:1 gene:ENSMUSG00000075227.6 transcript:ENSMUST00000162726.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit2 description:zinc finger, HIT domain containing 2 [Source:MGI Symbol;Acc:MGI:1352481] MEPAGLCGFCPAGEALPARYTCPRCNAPYCSLRCYRAHGACAEDFYRDQVLRELRGRSAS PSRLAGALRRLREQREAEDEPEEAGLGPGARPGGLSGLWERLTPAEKAAFERLLSRGEAG RLLPPWRPWWWGRGTGPRLLEELDHAANRDLAEPEPAPARTALQSGDDAAAAEPFAEDSC AARPLALPARIPALASLSRSPASPLVRFQLPNVLFAYAHTLALYHGGDDDALLSDFCATL LDVSGALGAQQVFGSTEEALQAAAHVLEAGEHPPGPLGTRGAMQEVARILLGEGPVNQKG YTLTALGHLAQTLGRARKQAVIGGERDRLYRARKKCQFLLAWTNENEAALTPLALDCARA HRAHAVTAEEMATLTGELERLWGGPVPPTPRTLIEELPG >ENSMUSP00000023666.4 pep:known chromosome:GRCm38:16:93883901:93906115:1 gene:ENSMUSG00000022945.11 transcript:ENSMUST00000023666.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chaf1b description:chromatin assembly factor 1, subunit B (p60) [Source:MGI Symbol;Acc:MGI:1314881] MKVITCEIAWHNKEPVYSLDFQHGATWKIHRLASAGVDTAVRIWKLERGPDGKAIVEFLS NLARHTKAVNVVRFSPTGEILASGGDDAVILLWKMNDSKEPEQIAFQDEEEAQLNKENWT VVKTLRGHLEDVYDICWATDGNLMTSASVDNTVIIWDVSKGQKISIFNEHKSYVQGVTWD PLGQYIATLSCDRVLRIYNTQKKRVAFNISKMLSGQGPEGEARSFRMFHDDSMKSFFRRL SFTPDGSLLLTPAGCMESGENVTNTTYVFSRKHLKRPIAHLPCPGKATLAVRCCPVYFEL RPVAETEKASEEPSPELVNLPYRMVFAVASEDSVLLYDTQQSFPFGYVSNIHYHTLSDIS WSSDGAFLAISSTDGYCTFVTFEKGELGIPLKEKPVLSIRTPDTAKKAKNQTHQGSSPGS RSVEGTPSNRTQDPSSPCTTPSPTTQSPAPSAIKDSPSAIPAGKSPLPQPSEEKTLQPAG QNMKAPQPRRVTLNTLQTWGKTAPRRINLTPLKTDTVPNPQPNSGTAPSTEEVQPEAPGE PPEEPPELKRPRLEEREGDAQNLAPDDSSKTV >ENSMUSP00000113684.1 pep:known chromosome:GRCm38:16:93883924:93906077:1 gene:ENSMUSG00000022945.11 transcript:ENSMUST00000117099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chaf1b description:chromatin assembly factor 1, subunit B (p60) [Source:MGI Symbol;Acc:MGI:1314881] MKVITCEIAWHNKEPVYSLDFQHGATWKIHRLASAGVDTAVRIWKLERGPDGKAIVEFLS NLARHTKAVNVVRFSPTGEILASGGDDAVILLWKMNDSKEPEQIAFQDEEEAQLNKENWT VVKTLRGHLEDVYDICWATDGNLMTSASVDNTVIIWDVSKGQKISIFNEHKSYVQGVTWD PLGQYIATLSCDRVLRIYNTQKKRVAFNISKMLSGQGPEGEARSFRMFHDDSMKSFFRRL SFTPDGSLLLTPAGCMESGENVTNTTYVFSRKHLKRPIAHLPCPGKATLAVRCCPVYFEL RPVAETEKASEEPSPELVNLPYRMVFAVASEDSVLLYDTQQSFPFGYVSNIHYHTLSDIS WSSDGAFLAISSTDGYCTFVTFEKGELGIPLKEKPVLSIRTPDTAKKAKNQTHQGSSPGS RSVEGTPSNRTQDPSSPCTTPSPTTQSPAPSAIKDSPSAIPAGKSPLPQPSEEKTLQPAG QNMKAPQPRRVTLNTLQTWGKTAPRRINLTPLKTDTVPNPQPNSGTAPSTEEVQPEAPGE PPEEPPELKRPRLEEREGDAQNLAPDDSSKTV >ENSMUSP00000121034.1 pep:known chromosome:GRCm38:16:93884016:93885471:1 gene:ENSMUSG00000022945.11 transcript:ENSMUST00000142316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chaf1b description:chromatin assembly factor 1, subunit B (p60) [Source:MGI Symbol;Acc:MGI:1314881] MKVITCEIAWHNKEPVYSLDFQHGATWKIHRLASAGVDTAVRIWKLERGPDGKAIVEFLS NLARHTKAVNVVRFSPTGE >ENSMUSP00000113420.1 pep:known chromosome:GRCm38:16:93884202:93887152:1 gene:ENSMUSG00000022945.11 transcript:ENSMUST00000120586.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chaf1b description:chromatin assembly factor 1, subunit B (p60) [Source:MGI Symbol;Acc:MGI:1314881] MKVITCEIAWHNKEPVYSLDFQHGATWKIHRLASAGVDTAVRIWKLERGPDGKAIVEFLS NLARHTKAVNVVRFSPTGEILASGGDDAVILLWKMN >ENSMUSP00000116617.1 pep:known chromosome:GRCm38:2:177387124:177394699:-1 gene:ENSMUSG00000078867.9 transcript:ENSMUST00000128657.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14418 description:predicted gene 14418 [Source:MGI Symbol;Acc:MGI:3702408] MDLVTYDDVHVNFTQEEWALLHPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPPEFIQCGKAFAYESGRQRHQIKHTGGKHHDCNQCGKDFRTRNAPQI HKRTHTGEKPYDCNQYGKAFARISHLRVHKRTHTGEKPYECNQCGKAFASSSDLQKHKRT HTGEKPYECNQCGKAFAQSSHLRIHKRTHAGDKRYECNQCGKAFTQSNNLQIHKGTHAGE KRYECNQCGKAFASSSDLQKHKRTHTGEKPYECNQCGKAFAKSCDLQKHKRTHTGEKPYE CKQCGKAFAISYTLQIHNRTHTGEKPYECKQCGKAFAQSSNLRIHKQTHTGERLYECN >ENSMUSP00000104583.1 pep:known chromosome:GRCm38:2:177388085:177398319:-1 gene:ENSMUSG00000078867.9 transcript:ENSMUST00000108955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14418 description:predicted gene 14418 [Source:MGI Symbol;Acc:MGI:3702408] MDLVTYDDVHVNFTQEEWALLHPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000109750.2 pep:known chromosome:GRCm38:2:26362765:26380649:-1 gene:ENSMUSG00000036281.13 transcript:ENSMUST00000114115.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc4 description:small nuclear RNA activating complex, polypeptide 4 [Source:MGI Symbol;Acc:MGI:2443935] MPRRQAEAMDIDAEREKITQEIQELERILYPGSTSVHFEVSESSLSSDSEADSLPDEDLE TAGAPILEEEGSSESSNDEEDPKDKALPEDPETCLQLNMVYQEVIREKLAEVSQLLAQNQ EQQEEILFDLSGTKCPKVKDGRSLPSYMYIGHFLKPYFKDKVTGVGPPANEETREKATQG IKAFEQLLVTKWKHWEKALLRKSVVSDRLQRLLQPKLLKLEYLHEKQSRVSSELERQALE KQIKEAEKEIQDINQLPEEALLGNRLDSHDWEKISNINFEGARSAEEIRKFWQSSEHPSI SKQEWSTEEVERLKAIAATHGHLEWHLVAEELGTSRSAFQCLQKFQQYNKTLKRKEWTEE EDHMLTQLVQEMRVGNHIPYRKIVYFMEGRDSMQLIYRWTKSLDPSLKRGFWAPEEDAKL LQAVAKYGAQDWFKIREEVPGRSDAQCRDRYIRRLHFSLKKGRWNAKEEQQLIQLIEKYG VGHWARIASELPHRSGSQCLSKWKILARKKQHLQRKRGQRPRHSSQWSSSGSSSSSSEDY GSSSGSDGSSGSENSDVELEASLEKSRALTPQQYRVPDIDLWVPTRLITSQSQREGTGCY PQHPAVSCCTQDASQNHHKEGSTTVSAAEKNQLQVPYETHSTVPRGDRFLHFSDTHSASL KDPACKSHTLMKERPKQPLLPSSRSGSDPGNNTAGPHLRQLWHGTYQNKQRRKRQALHRR LLKHRLLLAVIPWVGDINLACTQAPRRPATVQTKADSIRMQLECARLASTPVFTLLIQLL QIDTAGCMEVVRERKSQPPALLQPGTRNTQPHLLQASSNAKNNTGCLPSMTGEQTAKRAS HKGRPRLGSCRTEATPFQVPVAAPRGLRPKPKTVSELLREKRLRESHAKKATQALGLNSQ LLVSSPVILQPPLLPVPHGSPVVGPATSSVELSVPVAPVMVSSSPSGSWPVGGISATDKQ PPNLQTISLNPPHKGTQVAAPAAFRSLALAPGQVPTGGHLSTLGQTSTTSQKQSLPKVLP ILRAAPSLTQLSVQPPVSGQPLATKSSLPVNWVLTTQKLLSVQVPAVVGLPQSVMTPETI GLQAKQLPSPAKTPAFLEQPPASTDTEPKGPQGQEIPPTPGPEKAALDLSLLSQESEAAI VTWLKGCQGAFVPPLGSRMPYHPPSLCSLRALSSLLLQKQDLEQKASSLAASQAAGAQPD PKAGALQASLELVQRQFRDNPAYLLLKTRFLAIFSLPAFLATLPPNSIPTTLSPDVAVVS ESDSEDLGDLELKDRARQLDCMACRVQASPAAPDPVQRAPSPGEVSAPSPLDASDGLDDL NVLRTRRARHSRR >ENSMUSP00000122456.1 pep:known chromosome:GRCm38:2:26369457:26373631:-1 gene:ENSMUSG00000036281.13 transcript:ENSMUST00000123934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc4 description:small nuclear RNA activating complex, polypeptide 4 [Source:MGI Symbol;Acc:MGI:2443935] XRRQESSAVQSARCLWLRPTCLLEALGSPGRLCQCLQKFQQYNKTLKRKEWTEEEDHMLT QLVQEMRVGNHIPYRKIVYFMEGRDSMQLIYRWTKSLDPSLKRGFWAPEEDAKLLQAVAK YGAQDWFKIREEVPGRSDAQCRDRYIRRLHFSLKKGRWNAKEEQQLIQLIEKYGVGHWAR IASELPHRSGSQCLSKWKILARKKQHLQRKRGQRPRHSSQWSSSGSSSSSSEDYGSSSGS DGSSGSENSDVELE >ENSMUSP00000041767.4 pep:known chromosome:GRCm38:2:26362774:26380653:-1 gene:ENSMUSG00000036281.13 transcript:ENSMUST00000035427.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc4 description:small nuclear RNA activating complex, polypeptide 4 [Source:MGI Symbol;Acc:MGI:2443935] MDIDAEREKITQEIQELERILYPGSTSVHFEVSESSLSSDSEADSLPDEDLETAGAPILE EEGSSESSNDEEDPKDKALPEDPETCLQLNMVYQEVIREKLAEVSQLLAQNQEQQEEILF DLSGTKCPKVKDGRSLPSYMYIGHFLKPYFKDKVTGVGPPANEETREKATQGIKAFEQLL VTKWKHWEKALLRKSVVSDRLQRLLQPKLLKLEYLHEKQSRVSSELERQALEKQIKEAEK EIQDINQLPEEALLGNRLDSHDWEKISNINFEGARSAEEIRKFWQSSEHPSISKQEWSTE EVERLKAIAATHGHLEWHLVAEELGTSRSAFQCLQKFQQYNKTLKRKEWTEEEDHMLTQL VQEMRVGNHIPYRKIVYFMEGRDSMQLIYRWTKSLDPSLKRGFWAPEEDAKLLQAVAKYG AQDWFKIREEVPGRSDAQCRDRYIRRLHFSLKKGRWNAKEEQQLIQLIEKYGVGHWARIA SELPHRSGSQCLSKWKILARKKQHLQRKRGQRPRHSSQWSSSGSSSSSSEDYGSSSGSDG SSGSENSDVELEASLEKSRALTPQQYRVPDIDLWVPTRLITSQSQREGTGCYPQHPAVSC CTQDASQNHHKEGSTTVSAAEKNQLQVPYETHSTVPRGDRFLHFSDTHSASLKDPACKSH TLMKERPKQPLLPSSRSGSDPGNNTAGPHLRQLWHGTYQNKQRRKRQALHRRLLKHRLLL AVIPWVGDINLACTQAPRRPATVQTKADSIRMQLECARLASTPVFTLLIQLLQIDTAGCM EVVRERKSQPPALLQPGTRNTQPHLLQASSNAKNNTGCLPSMTGEQTAKRASHKGRPRLG SCRTEATPFQVPVAAPRGLRPKPKTVSELLREKRLRESHAKKATQALGLNSQLLVSSPVI LQPPLLPVPHGSPVVGPATSSVELSVPVAPVMVSSSPSGSWPVGGISATDKQPPNLQTIS LNPPHKGTQVAAPAAFRSLALAPGQVPTGGHLSTLGQTSTTSQKQSLPKVLPILRAAPSL TQLSVQPPVSGQPLATKSSLPVNWVLTTQKLLSVQVPAVVGLPQSVMTPETIGLQAKQLP SPAKTPAFLEQPPASTDTEPKGPQGQEIPPTPGPEKAALDLSLLSQESEAAIVTWLKGCQ GAFVPPLGSRMPYHPPSLCSLRALSSLLLQKQDLEQKASSLAASQAAGAQPDPKAGALQA SLELVQRQFRDNPAYLLLKTRFLAIFSLPAFLATLPPNSIPTTLSPDVAVVSESDSEDLG DLELKDRARQLDCMACRVQASPAAPDPVQRAPSPGEVSAPSPLDASDGLDDLNVLRTRRA RHSRR >ENSMUSP00000072206.1 pep:known chromosome:GRCm38:13:22178686:22179582:-1 gene:ENSMUSG00000095916.1 transcript:ENSMUST00000072369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r191 description:vomeronasal 1 receptor 191 [Source:MGI Symbol;Acc:MGI:2182258] MVLKFIEKMVFLFMILVGTLGNMSVSVNYMLSWWRSTGKKPIHLILIHLAFTNIILLLAK GLPKTVAAFGLRNFLDDIGCKVIVYLERVARGLSICTSSLLTVVQAIIISPRASGWRRLR PTSAWHILPFFSFFWTFNSLISIHLIHSITSTGLNISHLKNSDNYCYFILPSQKIKWIVL PLMVLRDAVFQGAMGGASGYMVFLLHKHHQQVLYLQNSKLLYRTPPELRVAQSVLLLMLC FVFFYWTDCAFSLILSISLVENSLIVNIQECLTLAYASFSPLVLIHRDGLLAGCCSAQ >ENSMUSP00000097462.1 pep:known chromosome:GRCm38:2:86690032:86690973:-1 gene:ENSMUSG00000075174.1 transcript:ENSMUST00000099877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1087 description:olfactory receptor 1087 [Source:MGI Symbol;Acc:MGI:3030921] MEKNNLTAVTQFILMGITERPELQAPLFGLFLVIYLSSMFGNLGMIILTTVDSKLQTPMY FFIRHLAITDLGYSTAVGPKMLVNFVVDLNIISYNLCATQLAFFLVFIISELLILSAMSY DRYVAICKPLLYTVIMSQRVCQVLVAIPYLYCTFVSLLVTIKIFTLSFCGYNVISHFYCD SLPLLSLICSNTNEIEMIILVLAAFNLISSLLVVLVSYLFILIAILRMNSAEGRRKAFST CGSHLTVVTVFYGTLIFMYVQPQSSHSFDTDKVASIFYTLIIPMLNPMIYSLRNKDVKYA LQRSLKKIYSILS >ENSMUSP00000097461.1 pep:known chromosome:GRCm38:2:86732675:86733610:-1 gene:ENSMUSG00000075173.1 transcript:ENSMUST00000099876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1089 description:olfactory receptor 1089 [Source:MGI Symbol;Acc:MGI:3030923] MERQNFTVVKDFILIGITNRPELKGPLFGLFLIIYLISLMGNMGMIILTIVDPRLQTPMY FFLKHLAVTDLGYSTAVGPKMLENFVVNQNTISYYLCALQLACFLLFITCELFILSAMSY DHYVAICNPLLYNVIMSKKKCWLLIVIAYLYGLFLSLIITLKMFRLSFCSYNIINHFFCD CIPLIPLLCSNTHEIQLIILVFAIFDLICSLLVVLVSYLLILITILRMNSTEGRHKAFAT CGSHITVVTVFYGTLISMYLQPNYSHSFDTDKLASIFYTMIIPMLNPLIYSLRNKDVKNA GQRIWKKLCKI >ENSMUSP00000072426.2 pep:known chromosome:GRCm38:13:22187146:22188048:-1 gene:ENSMUSG00000099787.1 transcript:ENSMUST00000072632.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r192 description:vomeronasal 1 receptor 192 [Source:MGI Symbol;Acc:MGI:2182260] MNWNNIIHTIIFLSLTGPGILGNTLIFVRHIYMPALWIEKKPVDLILIHLAFSNIIIICS TGIRDIATVFYFRNFLGDTGCKTVVYLARMARGLSICTTCLLSVVQAITISPRTALWTKL KPQTACQVLPFILVFWIVNVLISSNLLSYIKAGSSLNRSVAATFIGHCYMLQSRHIIKWF FLSLMTLRDVIFQSLMGWSSGSMALHLYKHHKHVLYLYSSRSANNSAPEIRATWRVLTLM TCFLFFYWVDFILSFYTGFSVTHDSSSLNMKTFLELGYASFSPYVLISRDFRVPNVLHAH >ENSMUSP00000117160.1 pep:known chromosome:GRCm38:10:80798198:80804924:1 gene:ENSMUSG00000020211.15 transcript:ENSMUST00000148665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3a2 description:splicing factor 3a, subunit 2 [Source:MGI Symbol;Acc:MGI:104912] MDFQHRPGGKTGSGGVASSSESNRDRRERLRQLALETIDINKDPYFMKNHLGSYECKLCL TLHNNEGSYLAHTQGKKHQTNLARRAAKEAKEAPAQPAPEKVKVEVKKFVKIGRPGYKVT KQRDTEMGQQSLLFQIDYPEIAEGIMPRHRFMSAYEQRIEPPDRRWQYLLMAAEPYETIA FKVPSREIDKAEGKFWTHWNRETKQFFLQFHFKMEKPPAPPSLPAGPPGVKRPPPPLMNG LPPRPPLPDALPPPPPGGLPLPPMPPTGPAPSGPPGPPQMPPPAPGVHPPAPVVHPPTSG VHPPAPGVHPPAPVVHPPTSGVHPPAPGVHPPTPGVHPPAPGVHPPAPGVHPPAPGVHPP TPGVHPPAPGVHPPAPGVHPPAPGVHPPPSAGVHPQAPGVHPPAPAVHPQAPGVHPPAPG IHPQAPGVHPQPPPGVHPAAPGVHPQPPGVHPSNPGVHPAPMPPMLRPPLPSDGPGNMPP PPPGN >ENSMUSP00000114164.1 pep:known chromosome:GRCm38:10:80798732:80804007:1 gene:ENSMUSG00000020211.15 transcript:ENSMUST00000151928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3a2 description:splicing factor 3a, subunit 2 [Source:MGI Symbol;Acc:MGI:104912] MDFQHRPGGKTGSGGVASSSESNRDRRERLRQLALETIDINKDPYFMKNHLGSYECKLCL TLHNNEGSYLAHTQGKKHQTNLARRAAKEAKEAPAQPAPEKVKVEVKKFVKIGRPGYKVT KQRDTEMGQQSLLFQIDYPEIAEGIMPRHRFMSAYEQRIEPPDRRWQYLLMAAEPYETIA FKPLTFSLGAKPGD >ENSMUSP00000116478.1 pep:known chromosome:GRCm38:10:80798969:80802401:1 gene:ENSMUSG00000020211.15 transcript:ENSMUST00000147440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3a2 description:splicing factor 3a, subunit 2 [Source:MGI Symbol;Acc:MGI:104912] MDFQHRPGGKTGSGGVASSSESNRDRRERLRQLALETIDINKDPYFMKNHLGSYECKLCL TLHNNEG >ENSMUSP00000058006.4 pep:known chromosome:GRCm38:6:131686532:131687495:-1 gene:ENSMUSG00000051153.5 transcript:ENSMUST00000053652.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r105 description:taste receptor, type 2, member 105 [Source:MGI Symbol;Acc:MGI:2681195] MLSAAEGILLSIATVEAGLGVLGNTFIALVNCMDWAKNNKLSMTGFLLIGLATSRIFIVW LLTLDAYAKLFYPSKYFSSSLIEIISYIWMTVNHLTVWFATSLSIFYFLKIANFSDCVFL WLKRRTDKAFVFLLGCLLTSWVISFSFVVKVMKDGKVNHRNRTSEMYWEKRQFTINYVFL NIGVISLFMMTLTACFLLIMSLWRHSRQMQSGVSGFRDLNTEAHVKAIKFLISFIILFVL YFIGVSIEIICIFIPENKLLFIFGFTTASIYPCCHSFILILSNSQLKQAFVKVLQGLKFF >ENSMUSP00000094115.4 pep:known chromosome:GRCm38:15:76380513:76453038:1 gene:ENSMUSG00000022558.15 transcript:ENSMUST00000096385.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh1 description:maestro heat-like repeat family member 1 [Source:MGI Symbol;Acc:MGI:2442558] MTRPYIKRLSFTLLDSITDKDPMVQEQVCSALCSLGDAQPDETLHACEEYLRQHDKLAHP YRTKILRAMETVLSSHIHDLDKDTAGAVILLATSEMTRTKELDCDWQQAAGSVLVAVGKR FTNQVMEEVLSRFQPGMLPHSSVLHTLANLSVSNAFDMVPFLPSILSTMLPMLSMAKQDA LKVVFCGALQHFSESILEYLANLDQAPDPTVRKDTFGADIFGAYDVLFHHWLQSRDAKLR LAVVAALGPMSHLLPSERLEEQLPKLLPAVLGLYKKHAEAFQISKSLGQILEAAVNVSSR TLEVQLDALLVALHAQICVPVESSSPLVMNSQKEVLRCFTVLACCSPDRLLAFLLPRLDT SNERLRVGTLQILRHIINSAAAQMEAKQPFILSSMRLPLLDTNDKVKRAVVQVISAMAHH GYLEQPGGEVMVEYIVQQCALPAEEPEKPGPDGEDLAADSVRAVSIRTLYLVSTTVDRMN SVLWPYLLEFLTPVRFTAALTPLCRSLVHLALKRQEAGADDFLIQYNANANLPSPFAMTT RLLVVSSNPYLGDGRGAASLRLLKVMHQNIHPFLGQRWETTMPMLLEYLDEHTEESLSLK EWEEKLLMFLRDTLAVVSDNIWICQLSQEMCKQLPSYSGTPQEKNFLYKCIGTTLGAASS KEVVRKHLRELLETARYQEEAEQEGLACCFGICAITHLEDTLAQLEDFVRSDVFRKSTGI FSIFKDRSEHEVERMKSCLILCYGHVAAQAPRELVLARVESDILRSMFQCFNTKVLGIKV ETKDPALKLCLVQSLCMVSQAMCSSAQASSFHFLRKTELVTQMMEFIRAEPPDCLRTPIR KKAMLACTYLVNLEPALEEQTQADVVHSCLHSVMALPPEAEGGDGVGREPLYLDTVCALE DLLTRLLRQNMTPQGLQIMVEHLSPWIKSPRGHERARALGLGACLLEFFQEHLLVSTLVP FHNLGLLVGLFAPRCADTWTTTRQKAVGCVYSLLYLQLGYEGFSRDHRDDVAERLLTLQD GLVNADPTILFHTCHSIAQVIAKRLPSDQLISLLLTVFESLGDPDKNCSRAATVMINCLL KERGNVLLEKVPEIVSVLRAKLRDTQEEHVLPAAQHSVYLLASQHCEAVVSSLLGSPLPF DSHTCALWRALAVEPGLTAQVLELLLEKMSKDVPFKESRAFLLGSTADRVATLLPLAATC ALYEVLSAPSSGTVVLELYPQLFAALLLRVSCTVGVQLPRNLQAKERRSTSPARAARNLD PCSSAVDALQALLLRSGSQDMLRCVELERGWELLKTSAGHEDGVAQLASSMAKYAGPRLP PVTKALACTQNSVYEIQRVTSTAFLAELLSSNVVNDLMLLEPLLDNLTARLKDSSASVRR LVLRGLANMASGSPDKVRAHGPQLLTAMVSGLDDGDEPHSPVALEAMVGLSRLLDLVEPW DLRLVLLHTTIRIRPFFDSEKVEFRTASIRLFGHLNKACHGDCEDVFLEQVVGGLVPLLL HLRDPQVPVASACKFALCMCVPHLECAELAAAFYKYLQEGRSVHFGEFLNSTCKHLMHHF PDLLGRLVSTNLFYFKSSWDDVRAAAPMFTGFLVLHAEPEQKTQVDLEQLIVALQLLLKD PVPGVREKAAETLGRLVKFA >ENSMUSP00000125560.1 pep:known chromosome:GRCm38:15:76451862:76453038:1 gene:ENSMUSG00000022558.15 transcript:ENSMUST00000159132.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mroh1 description:maestro heat-like repeat family member 1 [Source:MGI Symbol;Acc:MGI:2442558] XPWGL >ENSMUSP00000124978.1 pep:known chromosome:GRCm38:15:76452139:76453038:1 gene:ENSMUSG00000022558.15 transcript:ENSMUST00000161265.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mroh1 description:maestro heat-like repeat family member 1 [Source:MGI Symbol;Acc:MGI:2442558] XHFGEFLNSTCKHL >ENSMUSP00000124811.1 pep:known chromosome:GRCm38:15:76392316:76452978:1 gene:ENSMUSG00000022558.15 transcript:ENSMUST00000159218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh1 description:maestro heat-like repeat family member 1 [Source:MGI Symbol;Acc:MGI:2442558] MTRPYIKRLSFTLLDSITDKDPMVQEQVCSALCSLGDAQPDETLHACEEYLRQHDKLAHP YRTKILRAMETVLSSHIHDLDKDTAGAVILLATSEMTRTKELDCDWQQAAGSVLVAVGKR FTNQVMEEVLSRFQPGMLPHSSVLHTLANLSVSNAFDMVPFLPSILSTMLPMLSMAKQDA LKVVFCGALQHFSESILEYLANLDQAPDPTVRKDTFGADIFGAYDVLFHHWLQSRDAKLR LAVVAALGPMSHLLPSERLEEQLPKLLPAVLGLYKKHAEAFQISKSLGQILEAAVNVSSR TLEVQLDALLVALHAQICVPVESSSPLVMNSQKEVLRCFTVLACCSPDRLLAFLLPRLDT SNERLRVGTLQILRHIINSAAAQMEAKQPFILSSMRLPLLDTNDKVKRAVVQVISAMAHH GYLEQPGGEVMVEYIVQQCALPAEEPEKPGPDGEDLAADSVRAVSIRTLYLVSTTVDRMN SVLWPYLLEFLTPVRFTAALTPLCRSLVHLALKRQEAGADDFLIQYNANANLPSPFAMTT RLLVVSSNPYLGDGRGAASLRLLKVMHQNIHPFLGQRWETTMPMLLEYLDEHTEESLSLK EWEEKLLMFLRDTLAVVSDNIWICQLSQEMCKQLPSYSGTPQEKNFLYKCIGTTLGAASS KEVVRKHLRELLETARYQEEAEQEGLACCFGICAITHLEDTLAQLEDFVRSDVFRKSTGI FSIFKDRSEHEVERMKSCLILCYGHVAAQAPRELVLARVESDILRSMFQCFNTKDPALKL CLVQSLCMVSQAMCSSAQASSFHFLRKTELVTQMMEFIRAEPPDCLRTPIRKKAMLACTY LVNLEPALEEQTQADVVHSCLHSVMALPPEAEGGDGVGREPLYLDTVCALEDLLTRLLRQ NMTPQGLQIMVEHLSPWIKSPRGHERARALGLGACLLEFFQEHLLVSTLVPFHNLGLLVG LFAPRCADTWTTTRQKAVGCVYSLLYLQLGYEGFSRDHRDDVAERLLTLQDGLVNADPTI LFHTCHSIAQVIAKRLPSDQLISLLLTVFESLGDPDKNCSRAATVMINCLLKERGNVLLE KVPEIVSVLRAKLRDTQEEHVLPAAQHSVYLLASQHCEAVVSSLLGSPLPFDSHTCALWR ALAVEPGLTAQVLELLLEKMSKDVPFKESRAFLLGSTADRVATLLPLAATCALYEVLSAP SSGTVVLELYPQLFAALLLRVSCTVGVQLPRNLQAKERRSTSPARAARNLDPCSSAVDAL QALLLRSGSQDMLRCVELERGWELLKTSAGHEDGVAQLASSMAKYAGPRLPPVTKALACT QNSVYEIQRVTSTAFLAELLSSNVVNDLMLLEPLLDNLTARLKDSSASVRRLVLRGLANM ASGSPDKVRAHGPQLLTAMVSGLDDGDEPHSPVALEAMVGLSRLLDLVEPWDLRLVLLHT TIRIRPFFDSEKVEFRTASIRLFGHLNKACHGDCEDVFLEQVVGGLVPLLLHLRDPQVPV ASACKFALCMCVPHLECAELAAAFYKYLQEGRSVHFGEFLNSTCKHLMHHFPDLLGRLVS TNLFYFKSSWDDVRAAAPMFTGFLVLHAEPEQKTQVDLEQLIVALQLLLKDPVPGVREKA AETLGRLVKFA >ENSMUSP00000124321.1 pep:known chromosome:GRCm38:15:76380532:76402165:1 gene:ENSMUSG00000022558.15 transcript:ENSMUST00000160728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh1 description:maestro heat-like repeat family member 1 [Source:MGI Symbol;Acc:MGI:2442558] MTRPYIKRLSFTLLDSITDKDPMVQEQVCSALCSLGDAQPDETLHACEEYLRQHDKLAHP YRTKILRAMETVLSSHIHDLDKDTAGAVILLATSEMTRTKELDCDWQQAAG >ENSMUSP00000123806.1 pep:known chromosome:GRCm38:15:76428657:76433909:1 gene:ENSMUSG00000022558.15 transcript:ENSMUST00000160631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh1 description:maestro heat-like repeat family member 1 [Source:MGI Symbol;Acc:MGI:2442558] EESLSLKEWEEKLLMFLRDTLAVVSDNIWICQLSQEMCKQLPSYSGTPQEKNFLYKCIGT TLGAASSKEVVRKHLRELLETARYQEEAEQEGLACCFGICAITHLEDTLAQLEDFVRSDV FRKSTGIFSIFKDRSEHEVERMKSCLILCYGHVAAQAPRELVLARVESDILRSMFQCFNT KEFIRAEPPDCLRTPIRKKAMLACTYLVNLEPALEEQTQADVVHSCLHSVMALPP >ENSMUSP00000124353.1 pep:known chromosome:GRCm38:15:76380553:76409580:1 gene:ENSMUSG00000022558.15 transcript:ENSMUST00000162319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh1 description:maestro heat-like repeat family member 1 [Source:MGI Symbol;Acc:MGI:2442558] MTRPYIKRLSFTLLDSITDKDPMVQEQVCSALCSLGDAQPDETLHACEEYLRQHDKLAHP YRTKILRAMETVLSSHIHDLDKDTAGAVILLATSEMTRTKELDCDWQQAAGSVLVAVGKR FTNQVMEEVLSRFQPGMLPHSSVLHTLANLSVSNAFDMVPFLPSILSTMLPMLSMAKQDA LKVVFCGALQHFSESILEYLANLDQAPDPTVRKDTFGADIFGAYDVLFHHWLQSRDAKLR LAVVAALGPMSHLLPSERLEEQLPKLLPAVLGLYKKHAEAFQISKSLGQILEAAVNVSSR TLEVQLDALLVALHAQKGQDPAVAQSMRLGVPV >ENSMUSP00000090256.4 pep:known chromosome:GRCm38:15:76390031:76439744:1 gene:ENSMUSG00000022558.15 transcript:ENSMUST00000092595.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh1 description:maestro heat-like repeat family member 1 [Source:MGI Symbol;Acc:MGI:2442558] MTRPYIKRLSFTLLDSITDKDPMVQEQVCSALCSLGDAQPDETLHACEEYLRQHDKLAHP YRTKILRAMETVLSSHIHDLDKDTAGAVILLATSEMTRTKELDCDWQQAAGSVLVAVGKR FTNQVMEEVLSRFQPGMLPHSSVLHTLANLSVSNAFDMVPFLPSILSTMLPMLSMAKQDA LKVVFCGALQHFSESILEYLANLDQAPDPTVRKDTFGADIFGAYDVLFHHWLQSRDAKLR LAVVAALGPMSHLLPSERLEEQLPKLLPAVLGLYKKHAEAFQISKSLGQILEAAVNVSSR TLEVQLDALLVALHAQICVPVESSSPLVMNSQKEVLRCFTVLACCSPDRLLAFLLPRLDT SNERLRVGTLQILRHIINSAAAQMEAKQPFILSSMRLPLLDTNDKVKRAVVQVISAMAHH GYLEQPGGEVMVEYIVQQCALPAEEPEKPGPDGEDLAADSVRAVSIRTLYLVSTTVDRMN SVLWPYLLEFLTPVRFTAALTPLCRSLVHLALKRQEAGADDFLIQYNANANLPSPFAMTT RLLVVSSNPYLGDGRGAASLRLLKVMHQNIHPFLGQRWETTMPMLLEYLDEHTEESLSLK EWEEKLLMFLRDTLAVVSDNIWICQLSQEMCKQLPSYSGTPQEKNFLYKCIGTTLGAASS KEVVRKHLRELLETARYQEEAEQEGLACCFGICAITHLEDTLAQLEDFVRSDVFRKSTGI FSIFKDRSEHEVERMKSCLILCYGHVAAQAPRELVLARVESDILRSMFQCFNTKDPALKL CLVQSLCMVSQAMCSSAQASSFHFLRKTELVTQMMEFIRAEPPDCLRTPIRKKAMLACTY LVNLEPALEEQTQADVVHSCLHSVMALPPEAEGGDGVGREPLYLDTVCALEDLLTRLLRQ NMTPQGLQIMVEHLSPWIKSPRGHERARALGLGACLLEFFQEHLLVSTLVPFHNLGLLVG LFAPRCADTWTTTRQKAVGCVYSLLYLQLGYEGFSRDHRDDVAERLLTLQDGLVNADPTI LFHTCHSIAQVIAKRLPSDQLISLLLTVFESLGDPDKNCSRAATVMINCLLKERGNVLLE KVPGDCQPWDLRLL >ENSMUSP00000144581.1 pep:known chromosome:GRCm38:6:38625693:38637233:-1 gene:ENSMUSG00000071537.6 transcript:ENSMUST00000202245.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klrg2 description:killer cell lectin-like receptor subfamily G, member 2 [Source:MGI Symbol;Acc:MGI:1921503] MEPPQVPAEAPQPRASEDSPRPERTGWEEPDAQPQELPEKSPSPALSGSPRVPPLSLGYG AFRRLGSCSRELPSPSPSWAEQPRDGEAELEPWTASGEPAPASWAPVELQVDVRVKPVGA AGASRAPSPAPSTRFLTVPVPESPAFARRSAPTLQWLPRAPSPGSTWSRGSPLAANATES VSPAEGCMVPPGSPACRCRCREPGLTKEDDALLQRAGIDGKKLPRAITLIEAGRRHLIL >ENSMUSP00000093732.3 pep:known chromosome:GRCm38:6:38626660:38637233:-1 gene:ENSMUSG00000071537.6 transcript:ENSMUST00000096030.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrg2 description:killer cell lectin-like receptor subfamily G, member 2 [Source:MGI Symbol;Acc:MGI:1921503] MEPPQVPAEAPQPRASEDSPRPERTGWEEPDAQPQELPEKSPSPALSGSPRVPPLSLGYG AFRRLGSCSRELPSPSPSWAEQPRDGEAELEPWTASGEPAPASWAPVELQVDVRVKPVGA AGASRAPSPAPSTRFLTVPVPESPAFARRSAPTLQWLPRAPSPGSTWSRGSPLAANATES VSPAEGCMVPPGSPACRCRCREPGLTKEDDALLQRAGIDGKKLPRAITLIGLPQYMKSLR WALVVMAVLLAVCTVAVVALASRGGTKCQPCPQGWMWSQEQCYYLSEEAQDWEGSQAFCS AHHATLPLLSHTQDFLRKYRITKGSWVGARRGPEGWHWTDGVPLPSQLFPADSEDHPDFS CGGLEEGRLVALDCSSPRPWVCARETK >ENSMUSP00000143835.1 pep:known chromosome:GRCm38:6:38627261:38637242:-1 gene:ENSMUSG00000071537.6 transcript:ENSMUST00000201345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrg2 description:killer cell lectin-like receptor subfamily G, member 2 [Source:MGI Symbol;Acc:MGI:1921503] MVPPGSPACRCRCREPGLTKEDDALLQRAGIDGKKLPRAITLIGLPQYMKSLRWALVVMA VLLAVCTVAVVALASRGGTKCQPCPQGWMWSQEQCYYLSEEAQDWEGSQAFCSAHHATLP LLSHTQDFLRKYRITKGSWVGARRGPEGWHWTDGVPLPSQLFPADSEDHPDFSCGGLEEG RLVALDCSSPRPWVCARETK >ENSMUSP00000039252.4 pep:known chromosome:GRCm38:10:127884028:127888817:-1 gene:ENSMUSG00000040134.8 transcript:ENSMUST00000047199.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh7 description:retinol dehydrogenase 7 [Source:MGI Symbol;Acc:MGI:1860517] MWLYLVALVGLWTLLRFFRERQVVSHLQDKYVFITGCDSGFGNLLARQLDRRGMRVLAAC LTEKGAEQLRNKTSDRLETVILDVTKTESIVAATQWVKERVGNRGLWGLVNNAGICVFAI NEWLKKEDFANILDVNLLGMIEVTLSMLPLVRKARGRVVNISSSMGRVSLCGGGYCISKY GVEAFSDSLRREISYFGVKVAIIEPGGFRTNVSNYERLSHSIEKLWDQTSSEVKEVYDKN FLDSYIKAIQSLTDTCSDDLSVVTDCMEHALTACHPRTRYSAGWDAKLFYLPLSYMPTFL VDAMLYWSSVKPAQAL >ENSMUSP00000061632.6 pep:known chromosome:GRCm38:9:14462548:14500482:-1 gene:ENSMUSG00000053914.9 transcript:ENSMUST00000058796.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4d description:lysine (K)-specific demethylase 4D [Source:MGI Symbol;Acc:MGI:3606484] MKTKSTCAQNPNCSIMIFRPTKEEFNDFDKYIAYMESQGAHRAGLAKVIPPKEWRARQSY DNISNILIATPLQQVVSGQAGVFTQYHKKKKGMTVGEYRELANSKKYQTPPHLDFEDLER KYWKNRLYESPIYGADVSGSLFDGKTQQWNVGHLGTIQDLLEQECGIVIEGVNTPYLYFG MWKTTFAWHTEDMDLYSINYLHFGQPKTWYAVPPEHGRRLERLARELFPGSSQGCQAFLR HKVALISPTVLKENGIPFGRITQEAGEFMVTFPYGYHAGFNHGFNCAEAINFATPRWIDY GKVASQCSCGEARVSFSMDAFVRILQPERYELWKRGQDQAVVDHTETMVSTSQELTTRRV TKAPRKTWGLKRLRLRQVSRSLLPIATVSNVPCNMQVCHTSRQPSDVKGDDVQKSDSARA SPHPLSLPSSGHMSTRRCSLGRRPCELGAQESSNGAPVKRQLPAGRDDTSPSPELQPQAV SGDLIVDSGLVNPGPQHLMTASEGGLTSDP >ENSMUSP00000111311.2 pep:known chromosome:GRCm38:9:14463210:14500400:-1 gene:ENSMUSG00000053914.9 transcript:ENSMUST00000115647.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4d description:lysine (K)-specific demethylase 4D [Source:MGI Symbol;Acc:MGI:3606484] MKTKSTCAQNPNCSIMIFRPTKEEFNDFDKYIAYMESQGAHRAGLAKVIPPKEWRARQSY DNISNILIATPLQQVVSGQAGVFTQYHKKKKGMTVGEYRELANSKKYQTPPHLDFEDLER KYWKNRLYESPIYGADVSGSLFDGKTQQWNVGHLGTIQDLLEQECGIVIEGVNTPYLYFG MWKTTFAWHTEDMDLYSINYLHFGQPKTWYAVPPEHGRRLERLARELFPGSSQGCQAFLR HKVALISPTVLKENGIPFGRITQEAGEFMVTFPYGYHAGFNHGFNCAEAINFATPRWIDY GKVASQCSCGEARVSFSMDAFVRILQPERYELWKRGQDQAVVDHTETMVSTSQELTTRRV TKAPRKTWGLKRLRLRQVSRSLLPIATVSNVPCNMQVCHTSRQPSDVKGDDVQKSDSARA SPHPLSLPSSGHMSTRRCSLGRRPCELGAQ >ENSMUSP00000132999.1 pep:known chromosome:GRCm38:14:55510445:55517431:1 gene:ENSMUSG00000022212.15 transcript:ENSMUST00000165262.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne6 description:copine VI [Source:MGI Symbol;Acc:MGI:1334445] MSDPEMGWVPEPPAMTLGASRVELRVSCHGLLDRDTLTKPHPCVLLKLYSDEQWVEVERT EVLRSCSSPVFSRVLAIEYFFEEKQPLQFHVFDAEDGATSPSSDTFLGSTECTLGQIVSQ TKVTKPLLLKNGKTAGKSTITIVAEEVSGTNDYVQLTFRAHKLDNKDLFSKSDPFMEIYK TNGDQSDQLVWRTEVVKNNLNPSWEPFRLSLHSLCSCDIHRPLKFLVYDYDSSGKHDFIG EFTSTFQEMQEGTANPGQEMQWDCINPKYRDKKKNYKSSGTVVLAQCTVEKVHTFLDYIM GGCQISFTVAIDFTASNGDPRSSQSLHCLSPRQPNHYLQALRTVGGICQDYDSDKRFPAF GFGARIPPNFEVSHDFAINFDPENPECEEISGVIASYRRCLPQIQLYGPTNVAPIINRVA EPAQREQSTGQATYSVLLVLTDGVVSDMAETRTAIVRASRLPMSIIIVGVGNADFSDMRL LDGDDGPLRCPKGVPAARDIVQFVPFRDFKDAAPSALAKCVLAEVPRQVVEYYASQGISP GAPRPSTPAMTPSPSP >ENSMUSP00000073847.4 pep:known chromosome:GRCm38:14:55510448:55517431:1 gene:ENSMUSG00000022212.15 transcript:ENSMUST00000074225.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne6 description:copine VI [Source:MGI Symbol;Acc:MGI:1334445] MSDPEMGWVPEPPAMTLGASRVELRVSCHGLLDRDTLTKPHPCVLLKLYSDEQWVEVERT EVLRSCSSPVFSRVLAIEYFFEEKQPLQFHVFDAEDGATSPSSDTFLGSTECTLGQIVSQ TKVTKPLLLKNGKTAGKSTITIVAEEVSGTNDYVQLTFRAHKLDNKDLFSKSDPFMEIYK TNGDQSDQLVWRTEVVKNNLNPSWEPFRLSLHSLCSCDIHRPLKFLVYDYDSSGKHDFIG EFTSTFQEMQEGTANPGQEMQWDCINPKYRDKKKNYKSSGTVVLAQCTVEKVHTFLDYIM GGCQISFTVAIDFTASNGDPRSSQSLHCLSPRQPNHYLQALRTVGGICQDYDSDKRFPAF GFGARIPPNFEVSHDFAINFDPENPECEEISGVIASYRRCLPQIQLYGPTNVAPIINRVA EPAQREQSTGQATKYSVLLVLTDGVVSDMAETRTAIVRASRLPMSIIIVGVGNADFSDMR LLDGDDGPLRCPKGVPAARDIVQFVPFRDFKDAAPSALAKCVLAEVPRQVVEYYASQGIS PGAPRPSTPAMTPSPSP >ENSMUSP00000130799.1 pep:known chromosome:GRCm38:14:55510597:55513692:1 gene:ENSMUSG00000022212.15 transcript:ENSMUST00000165725.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpne6 description:copine VI [Source:MGI Symbol;Acc:MGI:1334445] MSDPEMGWVPEPPAMTLGASRVELRVSCHGLLDRDTLTKPHPCVLLKLYSDEQWVEVERT EVLRSCSSPVFSRVLAIEYFFEEKQPLQFHVFDAEDGATSPSSDTFLGSTECTLGQAAVE IESTLS >ENSMUSP00000126493.1 pep:known chromosome:GRCm38:14:55511360:55517431:1 gene:ENSMUSG00000022212.15 transcript:ENSMUST00000163767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne6 description:copine VI [Source:MGI Symbol;Acc:MGI:1334445] MSDPEMGWVPEPPAMTLGASRVELRVSCHGLLDRDTLTKPHPCVLLKLYSDEQWVEVERT EVLRSCSSPVFSRVLAIEYFFEEKQPLQFHVFDAEDGATSPSSDTFLGSTECTLGQIVSQ TKVTKPLLLKNGKTAGKSTITIVAEEVSGTNDYVQLTFRAHKLDNKDLFSKSDPFMEIYK TNGDQSDQLVWRTEVVKNNLNPSWEPFRLSLHSLCSCDIHRPLKFLVYDYDSSGKHDFIG EFTSTFQEMQEGTANPGQEMQWDCINPKYRDKKKNYKSSGTVVLAQCTVEKVHTFLDYIM GGCQISFTVAIDFTASNGDPRSSQSLHCLSPRQPNHYLQALRTVGGICQDYDSDKRFPAF GFGARIPPNFEVSHDFAINFDPENPECEEISGVIASYRRCLPQIQLYGPTNVAPIINRVA EPAQREQSTGQATKYSVLLVLTDGVVSDMAETRTAIVRASRLPMSIIIVGVGNADFSDMR LLDGDDGPLRCPKGVPAARDIVQFVPFRDFKDAAPSALAKCVLAEVPRQVVEYYASQGIS PGAPRPSTPAMTPSPSP >ENSMUSP00000128555.1 pep:known chromosome:GRCm38:14:55511985:55517429:1 gene:ENSMUSG00000022212.15 transcript:ENSMUST00000171643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne6 description:copine VI [Source:MGI Symbol;Acc:MGI:1334445] MSDPEMGWVPEPPAMTLGASRVELRVSCHGLLDRDTLTKPHPCVLLKLYSDEQWVEVERT EVLRSCSSPVFSRVLAIEYFFEEKQPLQFHVFDAEDGATSPSSDTFLGSTECTLGQIVSQ TKVTKPLLLKNGKTAGKSTITIVAEEVSGTNDYVQLTFRAHKLDNKDLFSKSDPFMEIYK TNGDQSDQLVWRTEVVKNNLNPSWEPFRLSLHSLCSCDIHRPLKFLVYDYDSSGKHDFIG EFTSTFQEMQEGTANPGQEMQWDCINPKYRDKKKNYKSSGTVVLAQCTVEKVHTFLDYIM GGCQISFTVAIDFTASNGDPRSSQSLHCLSPRQPNHYLQALRTVGGICQDYDSDKRFPAF GFGARIPPNFEVSHDFAINFDPENPECEEISGVIASYRRCLPQIQLYGPTNVAPIINRVA EPAQREQSTGQATKYSVLLVLTDGVVSDMAETRTAIVRASRLPMSIIIVGVGNADFSDMR LLDGDDGPLRCPKGVPAARDIVQFVPFRDFKDAAPSALAKCVLAEVPRQVVEYYASQGIS PGAPRPSTPAMTPSPSP >ENSMUSP00000104580.3 pep:known chromosome:GRCm38:2:177464846:177476203:1 gene:ENSMUSG00000078866.10 transcript:ENSMUST00000108952.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14420 description:predicted gene 14420 [Source:MGI Symbol;Acc:MGI:3652255] MDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSR SHGRYERRSSAEQHSEFIQCGKAFACQSHSQRHVRIHNGEKHYECNQCGKDFGTRSVLQR LKRTHTGEKPYECNHCGKAFAESSTLQIHKRKHTGDEPYECNHCVKAFAKRSDLQIHKRI HTGEKPYECNQCGKAFTRSSHLGIHKRTHTGEKPYECKQCGKAFARSSTLQTHKHTHTGE KPYECKQCGKAFAQSGPLQIHKRTHTAEKPYECKQCGKAFAHSSTLQIHKRTHTGEKPYE CKQCDKAFVKRGELQIHKRTHTGEKPYECKQCGKAFAQSGTLQEHERTHTGEKPFECNQC GKAFVRCIALRIHERTHTGEKPYECKQCGKAFAQSGTLQGHERTHTGEKPFECNQCGKAF VQCIALRIHERTHTGEKPYECKQCGKAFAHRSTLQIHERTHTGEKPYECKQCGKAFAHRS TLQIHKRTHTGEKPYECKQCGKAFAHSSTLQIHKRTHTGEKP >ENSMUSP00000096600.3 pep:known chromosome:GRCm38:2:177464733:177478129:1 gene:ENSMUSG00000078866.10 transcript:ENSMUST00000099002.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14420 description:predicted gene 14420 [Source:MGI Symbol;Acc:MGI:3652255] MDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSR SHGRFSNLHHYERETL >ENSMUSP00000058480.1 pep:known chromosome:GRCm38:13:22218861:22219820:-1 gene:ENSMUSG00000046932.1 transcript:ENSMUST00000057516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r193 description:vomeronasal 1 receptor 193 [Source:MGI Symbol;Acc:MGI:2159695] MSCLLPCVSEKVFLVLSMKMIWSDLIEGTIFLSLVGLGVLGNKILFVRHLYAVIMGPENK IIDVILIHLAFVNTIIIYCIGVRNIATVFYIRNFLGDVGCKTIIYLERVARGLSICTTCL LSVVQAVTISPRTTLWRKLKPQTTWHVLVFLILFWIFNSLISSNLLHYITAGSSMNRSVV GMFTGYCYMLPSRHTVKWLFLSFMALRDVIFQGLMGCSSGSMSLRLYKHHVRVLYLYSSG SANNSRPEIRATQRVLTLMTCFLFFYLADFIFSLYTGSTVTRDSTILNIKAFLVLSYAGL SPFILIIKDICVPKTCCVP >ENSMUSP00000075935.5 pep:known chromosome:GRCm38:6:40964754:40968435:1 gene:ENSMUSG00000094808.2 transcript:ENSMUST00000076638.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810009J06Rik description:RIKEN cDNA 1810009J06 gene [Source:MGI Symbol;Acc:MGI:1920876] MKIITFFTFLGAAVALPANSDDKIVGGYTCPKHSVPYQVSLNDGISHQCGGSLISDQWVL SAAHCYKRRLQVRLGEHNIDVLEGGEQFIDAEKIIRHPDYNKDTVDNDIMLIKLKSPAIL NSQVSTVSLPRSCASTNAQCLVSGWGNTVSIGGKYPALLQCLEAPVLSASSCKKSYPGQI TSNMFCLGFLEGGKDSCDGDSGGPVVCNGEIQGIVSWGSVCAMRGKPGVYTKVCNYLSWI QETMANN >ENSMUSP00000097460.1 pep:known chromosome:GRCm38:2:86753795:86754736:-1 gene:ENSMUSG00000075172.1 transcript:ENSMUST00000099875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1090 description:olfactory receptor 1090 [Source:MGI Symbol;Acc:MGI:3030924] MKEHNLTVMTEFILMGISDHSELQAPLFGLFLAIYMTSMVGNLGIIVLTTVDSRLQTPMY FFLRHLAITDLGYSTAVGPKMLENFVVDQNTISFNLCATQLAFFLVFIGSELFILSAMSY DRYVAICKPLLYTVLMSQKLCWVLMSMPYLYCTFVSLLITVKIFTSSFCGYNVINHFYCD CIPLLSLLCSHAEEIAFIVMIFAAFDLIVSLLIVLVSYMFILIAVLRMNSAEGRYKAFST CGSHLTVVTVFYGTLIFMYVQPQSSHSDDNDKVSSIFYTLVIPMLNPLIYSLRNKDVKFA LHRTWRNICKIFP >ENSMUSP00000089331.1 pep:known chromosome:GRCm38:13:22244215:22245105:1 gene:ENSMUSG00000069297.1 transcript:ENSMUST00000091737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r194 description:vomeronasal 1 receptor 194 [Source:MGI Symbol;Acc:MGI:3651596] MNWNNIIQTIVFLSLTGPGIVGNILMFVRLVYTSAFRTEKKPVNLILIHLAFSNLIILCS TGIRDIATVFYFRNFLGDIGCKAVVYLARMARGLSICTTCLLSTVQAVTISPRTTIWKKA KPQTSRQVLTYVFLFWILNILTSSNLLYYITAGSGMNRSGVTGYIGHCYMLPSKHTVKWL FLLLMAVRDLVFQSLMGWSSGYISFHLYKHHKRVLYLHSCRFVNTFSPEIRAAQSVVILM SCFLFFYWADFIFSLYTGSMVSHDSIILNTKTCLVLGYAVLSPFILMNRDVPVAKS >ENSMUSP00000125514.1 pep:known chromosome:GRCm38:15:89059734:89069878:1 gene:ENSMUSG00000058441.7 transcript:ENSMUST00000161372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Panx2 description:pannexin 2 [Source:MGI Symbol;Acc:MGI:1890615] MHHLLEQSADMATALLAGEKLRELILPGSQDDKAGALAALLLQLKLELPFDRVVTIGTVL VPILLVTLVFTKNFAARVSSLPSEEPIYCYTPHNFTRDQALYARGYCWTELRDALPGVDA SLWPSLFEHKFLPYALLAFAAIMYVPALGWEFLASTRLTSELNFLLQEIDNCYHRAAEGR APKIEKQIQSKGPGITEREKREIIENAEKEKSPEQNLFEKYLERRGRSNFLAKLYLARHV LILLLSVVPISYLCTYYATQKQNEFTCALGASPDGPVGSAGPTVRVSCKLPSVQLQRIIA GVDIVLLCFMNLIILVNLIHLFIFRKSNFIFDKLNKVGIKTRRQWRRSQFCDINILAMFC NENRDHIKSLNRLDFITNESDLMYDNVVRQLLAALAQSNHDTTPTVRDSGIQTVDPSINP AEPDGSAEPPVVKRPRKKMKWIPTSNPLPQPFKEQLAIMRVENSKTEKPKPVRRKTATDT LIAPLLDAGARAAHHYKGSGGDSGPSSAPPAASEKKHTRHFSLDVHPYILGTKKAKTEAV PPALPASRSQEGGFLSQTEECGLGLAAAPTKDAPLPEKEIPYPTEPALPGLPSGGSFHVC SPPAAPAAASLSPGSLGKADPLTILSRNATHPLLHISTLSSSPPSRSREQL >ENSMUSP00000124354.1 pep:known chromosome:GRCm38:15:89059747:89070907:1 gene:ENSMUSG00000058441.7 transcript:ENSMUST00000162424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Panx2 description:pannexin 2 [Source:MGI Symbol;Acc:MGI:1890615] MHHLLEQSADMATALLAGEKLRELILPGSQDDKAGALAALLLQLKLELPFDRVVTIGTVL VPILLVTLVFTKNFAEEPIYCYTPHNFTRDQALYARGYCWTELRDALPGVDASLWPSLFE HKFLPYALLAFAAIMYVPALGWEFLASTRLTSELNFLLQEIDNCYHRAAEGRAPKIEKQI QSKGPGITEREKREIIENAEKEKSPEQNLFEKYLERRGRSNFLAKLYLARHVLILLLSVV PISYLCTYYATQKQNEFTCALGASPDGPVGSAGPTVRVSCKLPSVQLQRIIAGVDIVLLC FMNLIILVNLIHLFIFRKSNFIFDKLNKVGIKTRRQWRRSQFCDINILAMFCNENRDHIK SLNRLDFITNESDLMYDNVVRQLLAALAQSNHDTTPTVRDSGIQTVDPSINPAEPDGSAE PPVVKRPRKKMKWIPTSNPLPQPFKEQLAIMRVENSKTEKPKPVRRKTATDTLIAPLLDA GARAAHHYKGSGGDSGPSSAPPAASEKKHTRHFSLDVHPYILGTKKAKTEAVPPALPASR SQEGGFLSQTEECGLGLAAAPTKDAPLPEKEIPYPTEPALPGLPSGGSFHVCSPPAAPAA ASLSPGSLGKADPLTILSRNATHPLLHISTLYEAREEEEGGPCAPSDMGDLLSIPPPQQI LIATFEEPRTVVSTVEF >ENSMUSP00000124928.1 pep:known chromosome:GRCm38:15:89069673:89073567:1 gene:ENSMUSG00000058441.7 transcript:ENSMUST00000159960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Panx2 description:pannexin 2 [Source:MGI Symbol;Acc:MGI:1890615] XADPLTILSRNATHPLLHISTLYEAREEEEGGPCAPSDMGDLLSIPPPQQILIATFEEPR TVVLFPKCH >ENSMUSP00000104575.2 pep:known chromosome:GRCm38:2:177498315:177509471:1 gene:ENSMUSG00000094786.1 transcript:ENSMUST00000108947.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14403 description:predicted gene 14403 [Source:MGI Symbol;Acc:MGI:3649813] MDLVTYDDVQVNFTQDEWALLDPSQKSLYKGVMLETHRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFFQCGKAFAYESRSQRHQIKHTGEKHHDCNQCGKDFRTRNDLQI HKRTHTGEKRYDCNQCGKAFARSCHLRIHKRTHTGEKPYECNQCGKAFASSSDLEKHKRT RTGEKPYECNQCGKAFAQSSHLRIHKRTHIGEKRYECNQCGKAFIQSIQLRIHKRTHTGE KPYDCNQCGKAFARSCHLRIHKRTHTGEKPYECNQCGKAFASSSDLEKHKRTHTGEKPYE CNQCGKAFAESSTLQIHNRTHTGEKPYECNQCGKAFARRCDLQKHKRTHTGEKPYECNHC GKAFAQSSSLRIHKRTHTGEKPYESKQCGKAFAQSSHLRKHN >ENSMUSP00000104568.2 pep:known chromosome:GRCm38:2:177508570:177509763:1 gene:ENSMUSG00000094786.1 transcript:ENSMUST00000108940.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14403 description:predicted gene 14403 [Source:MGI Symbol;Acc:MGI:3649813] MTVTNVVRTLEQGMTSKYISEHIQERNPMNVTNVVKPLQAVVTSKNINEHIQERNPMNVT NVVKPLQKAVLSKSITEHIQERNPMNVTNVEKPLQEGVTSKNINELIQERNPMNVTTVVK PLHKAVLSESISEHIQERNPMKVNNVVKPLHKAVISENITEHIQERDNMNVTSMVKPLQE AVVSNAIKDHIHERHHMNVTKVVNPLKEAVFLNIIIEHTQLKNPMNLTNVVKPLQEGVTF KEKGPYRRETLCRYLML >ENSMUSP00000049733.1 pep:known chromosome:GRCm38:2:86785732:86786700:1 gene:ENSMUSG00000047969.3 transcript:ENSMUST00000055273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1093 description:olfactory receptor 1093 [Source:MGI Symbol;Acc:MGI:3030927] MEKITSAVDVHNIPLKNMTEATMFILLGFTDDFELQVFLFLLFLAIYLFTLVGNFGLVVL VIGDCRLHNPMYYFLSVLSFLDACYSTVVTPKMLVNFLSENKSISFLACATQMLLFVSLG TTECFLLAAMAYDRYVAIYNPLLYTVAMSPRVYLPLIIASYAGGVVHGAIHTVATFSLSF CGSNEIKHVFCDIPALLALSCSDTHTNELLVLYLVGLIEIVTILIVLVSYGFILFAILNM HSAEGRRKVFSTCGSHLTGVSIYHGTILFTYMRPSSSYASNHDMVVSIFYTIVIPMLNPI IYSLRNKDVKVAFNKLWRKCDS >ENSMUSP00000023467.7 pep:known chromosome:GRCm38:16:32016290:32079342:-1 gene:ENSMUSG00000022781.8 transcript:ENSMUST00000023467.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak2 description:p21 protein (Cdc42/Rac)-activated kinase 2 [Source:MGI Symbol;Acc:MGI:1339984] MSDNGELEDKPPAPPVRMSSTIFSTGGKDPLSANHSLKPLPSVPEEKKPRNKIISIFSGT EKGSKKKEKERPEISPPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKLEQKKNP QAVLDVLKFYDSNTVKQKYLSFTPPEKDGFPSGTPALNTKGSETSAVVTEEDDDDEDAAP PVIAPRPDHTKSIYTRSVIDPIPAPVGDSNVDSGAKSSDKQKKKAKMTDEEIMEKLRTIV SIGDPKKKYTRYEKIGQGASGTVFTATDVALGQEVAIKQINLQKQPKKELIINEILVMKE LKNPNIVNFLDSYLVGDELFVVMEYLAGGSLTDVVTETCMDEAQIAAVCRECLQALEFLH ANQVIHRDIKSDNVLLGMEGSVKLTDFGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAY GPKVDIWSLGIMAIEMVEGEPPYLNENPLRALYLIATNGTPELQNPEKLSPIFRDFLNRC LEMDVEKRGSAKELLQHPFLKLAKPLSSLTPLILAAKEAMKSNR >ENSMUSP00000079453.2 pep:known chromosome:GRCm38:6:131689134:131690063:-1 gene:ENSMUSG00000063478.2 transcript:ENSMUST00000080619.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r114 description:taste receptor, type 2, member 114 [Source:MGI Symbol;Acc:MGI:2681218] MLSTMEGVLLSVSTSEAVLGIVGNTFIALVNCMDYNRNKKLSNIGFILTGLAISRICLVL ILITEAYIKIFYPQLLSPVNIIELISYLWIIICQLNVWFATSLSIFYFLKIANFSHYIFV WLKRRIDLVFFFLIGCLLISWLFSFPVVAKMVKDNKMLYINTSWQIHMKKSELIINYVFT NGGVFLFFMIMLIVCFLLIISLWRHRRQMESNKLGFRDLNTEVHVRTIKVLLSFIILFIL HFMGITINVICLLIPESNLLFMFGLTTAFIYPGCHSLILILANSRLKQCSVMILQLLKCC ENGKELRDT >ENSMUSP00000047016.8 pep:known chromosome:GRCm38:6:55677822:55681263:-1 gene:ENSMUSG00000037984.9 transcript:ENSMUST00000044767.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurod6 description:neurogenic differentiation 6 [Source:MGI Symbol;Acc:MGI:106593] MLTLPFDESVVMPESQMCRKFARQCEDQKQIKKPESFPKQVVLRGKSIKRAPGEETEKEE EEEDREEEDENGLSRRRGLRKKKTTKLRLERVKFRRQEANARERNRMHGLNDALDNLRKV VPCYSKTQKLSKIETLRLAKNYIWALSEILRIGKRPDLLTFVQNLCKGLSQPTTNLVAGC LQLNARSFLMGQGGEAAHHTRSPYSTFYPPYHSPELATPPGHGTLDNSKSMKPYNYCSAY ESFYESTSPECASPQFEGPLSPPPINYNGIFSLKQEETLDYGKNYNYGMHYCAVPPRGPL GQGAMFRLPTDSHFPYDLHLRSQSLTMQDELNAVFHN >ENSMUSP00000100847.1 pep:known chromosome:GRCm38:2:86806088:86806997:-1 gene:ENSMUSG00000078420.5 transcript:ENSMUST00000105212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr141 description:olfactory receptor 141 [Source:MGI Symbol;Acc:MGI:2177524] MRNITEATFFVLKGLTDNNELQIILFLLFLAIYIFTLIGNVGLIILVVGDSQLHNPMYCF LSVLSSVDACYSTDITPNMLVGFMSKSKIISFYGCATQMFLAVTFGTTECFLLAAMAYDR YVAIHDPLLYAVSMSPRVYIPLIIASYAGGIVHAIIHTVATFSLSFCRSNEVKHIFCDIP PLLAISCSETYVNELLLFFFVSFIELVTILIVLVSYAFILLSILKMNSSEGRRKVFSTCG AHLTAVSIYYGTILFMYVRPSSNYSLEHDMIVSTFYTIGIPMLNPIIYSLRNKDVKEAMK RVL >ENSMUSP00000050275.6 pep:known chromosome:GRCm38:11:5060372:5065327:-1 gene:ENSMUSG00000034201.13 transcript:ENSMUST00000056649.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2l1 description:growth arrest-specific 2 like 1 [Source:MGI Symbol;Acc:MGI:1926176] MANPVAGIAGSAAKSVRPFRSSEAYVEAMKEDLADWLNALYSLGLPGSGDGFLTGLATGT TLCQHANAVTEAARALAAARPTRGVAFQAHSVAPGSFMARDNVASFIGWCRAELGVPEVL MFETEDLVLRKNEKSVVLCLLEVARRGARLGLLAPRLVQFEQEIERELRATPQVSSVPAA EEDVTEIATVPGVPTRTPRMTPNDLRNLDELVREILGRCTCPDQFPMIKVSEGKYRVGDS SLLIFVRVLRSHVMVRVGGGWDTLEHYLDKHDPCRCSSSTHRLPQQRTGTFSPQRGSPTP SPRPGSPVPGSERRSSRPEVTPISLRGTKEGPETPLRPRDQLPPLPRSRRYSGDSDSSAS SAQSGPMGARSDDSATGSRRERPSHRPTSCLPASPRRPTAPRSQSRDRLDRGRPRVAPGG RGAQLSTSSPARRTRSQSREEQAVLMVRRDRDGQHSWVARGRGGGGSGGSGRSTPQTPRA LSPAAPRPSRGPSPGPELAATPASIFRTPLQLDPQQEQQLFRRLEEEFLANARALEAAAS HTPMGSAPDPPAPDSAYCSSSSSSSSLSVLGGKCGQPGESGRTANGLPGPRSQALSSSSD EGSPYLAVGGALDATRSSLAGPEPSLTWARGRMDTQPDRKPSRIPTPRGPRRPSGPIELG AWHAQHSVTPRTEPDSSM >ENSMUSP00000105521.1 pep:known chromosome:GRCm38:11:5060381:5065277:-1 gene:ENSMUSG00000034201.13 transcript:ENSMUST00000109895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2l1 description:growth arrest-specific 2 like 1 [Source:MGI Symbol;Acc:MGI:1926176] MANPVAGIAGSAAKSVRPFRSSEAYVEAMKEDLADWLNALYSLGLPGSGDGFLTGLATGT TLCQHANAVTEAARALAAARPTRGVAFQAHSVAPGSFMARDNVASFIGWCRAELGVPEVL MFETEDLVLRKNEKSVVLCLLEVARRGARLGLLAPRLVQFEQEIERELRATPQVSSVPAA EEDVTEIATVPGVPTRTPRMTPNDLRNLDELVREILGRCTCPDQFPMIKVSEGKYRVGDS SLLIFVRVLRSHVMVRVGGGWDTLEHYLDKHDPCRCSSSTHRLPQQRTGTFSPQRGSPTP SPRPGSPVPGSERRSSRPEVTPISLRGTKEGPETPLRPRDQLPPLPRSRRYSGDSDSSAS SAQSGPMGARSDDSATGSRRERPSHRPTSCLPASPRRPTAPRSQSRDRLDRGRPRVAPGG RGAQLSTSSPARRTRSQSREEQAVLMVRRDRDGQHSWVARGRGGGGSGGSGRSTPQTPRA LSPAAPRPSRGPSPGPELAATPASIFRTPLQLDPQQEQQLFRRLEEEFLANARALEAAAS HTPMGSAPDPPAPDSAYCSSSSSSSSLSVLGGKCGQPGESGRTANGLPGPRSQALSSSSD EGSPYLAVGGALDATRSSLAGPEPSLTWARGRMDTQPDRKPSRIPTPRGPRRPSGPIELG AWHAQHSVTPRTEPDSSM >ENSMUSP00000043709.3 pep:known chromosome:GRCm38:11:5055378:5065300:-1 gene:ENSMUSG00000034201.13 transcript:ENSMUST00000037146.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2l1 description:growth arrest-specific 2 like 1 [Source:MGI Symbol;Acc:MGI:1926176] MANPVAGIAGSAAKSVRPFRSSEAYVEAMKEDLADWLNALYSLGLPGSGDGFLTGLATGT TLCQHANAVTEAARALAAARPTRGVAFQAHSVAPGSFMARDNVASFIGWCRAELGVPEVL MFETEDLVLRKNEKSVVLCLLEVARRGARLGLLAPRLVQFEQEIERELRATPQVSSVPAA EEDVTEIATVPGVPTRTPRMTPNDLRNLDELVREILGRCTCPDQFPMIKVSEGKYRVGDS SLLIFVRVLRSHVMVRVGGGWDTLEHYLDKHDPCRCSSSTHRLPQQRTGTFSPQRGSPTP SPRPGSPVPGSERRSSRPEVTPISLRGTKEGPETPLRFPGFWGL >ENSMUSP00000121993.1 pep:known chromosome:GRCm38:11:5063873:5065278:-1 gene:ENSMUSG00000034201.13 transcript:ENSMUST00000152257.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2l1 description:growth arrest-specific 2 like 1 [Source:MGI Symbol;Acc:MGI:1926176] MANPVAGIAGSAAKSVRPFRSSEAYVEAMKEDLADWLNALYSLGLPGSGDGFLTGLATGT TLCQHANAVTEAARALAAARPTRGVAFQAHSVAPGSFMARDNVASFIGWCRAELGVPEVL MFETEDLVLRKNEKSVVLCLLEVARRGARLGLLAPRLVQFEQEIERELRATPQVSSVPAA EEDVTEIATVPGVPT >ENSMUSP00000116969.1 pep:known chromosome:GRCm38:9:120929216:120950635:1 gene:ENSMUSG00000006932.16 transcript:ENSMUST00000130466.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnb1 description:catenin (cadherin associated protein), beta 1 [Source:MGI Symbol;Acc:MGI:88276] MATQADLMELDMAMEPDRKAAVSHWQQQSYLDSGIHSGATTT >ENSMUSP00000007130.8 pep:known chromosome:GRCm38:9:120933400:120960507:1 gene:ENSMUSG00000006932.16 transcript:ENSMUST00000007130.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnb1 description:catenin (cadherin associated protein), beta 1 [Source:MGI Symbol;Acc:MGI:88276] MATQADLMELDMAMEPDRKAAVSHWQQQSYLDSGIHSGATTTAPSLSGKGNPEEEDVDTS QVLYEWEQGFSQSFTQEQVADIDGQYAMTRAQRVRAAMFPETLDEGMQIPSTQFDAAHPT NVQRLAEPSQMLKHAVVNLINYQDDAELATRAIPELTKLLNDEDQVVVNKAAVMVHQLSK KEASRHAIMRSPQMVSAIVRTMQNTNDVETARCTAGTLHNLSHHREGLLAIFKSGGIPAL VKMLGSPVDSVLFYAITTLHNLLLHQEGAKMAVRLAGGLQKMVALLNKTNVKFLAITTDC LQILAYGNQESKLIILASGGPQALVNIMRTYTYEKLLWTTSRVLKVLSVCSSNKPAIVEA GGMQALGLHLTDPSQRLVQNCLWTLRNLSDAATKQEGMEGLLGTLVQLLGSDDINVVTCA AGILSNLTCNNYKNKMMVCQVGGIEALVRTVLRAGDREDITEPAICALRHLTSRHQEAEM AQNAVRLHYGLPVVVKLLHPPSHWPLIKATVGLIRNLALCPANHAPLREQGAIPRLVQLL VRAHQDTQRRTSMGGTQQQFVEGVRMEEIVEGCTGALHILARDVHNRIVIRGLNTIPLFV QLLYSPIENIQRVAAGVLCELAQDKEAAEAIEAEGATAPLTELLHSRNEGVATYAAAVLF RMSEDKPQDYKKRLSVELTSSLFRTEPMAWNETADLGLDIGAQGEALGYRQDDPSYRSFH SGGYGQDALGMDPMMEHEMGGHHPGADYPVDGLPDLGHAQDLMDGLPPGDSNQLAWFDTD L >ENSMUSP00000125763.1 pep:known chromosome:GRCm38:9:120933475:120960506:1 gene:ENSMUSG00000006932.16 transcript:ENSMUST00000154356.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctnnb1 description:catenin (cadherin associated protein), beta 1 [Source:MGI Symbol;Acc:MGI:88276] MATQADLMELDMAMEPDRKAAVSHWQQQSYLDSGIHSGATTTAPSLSGKGNPEEEDVDTS QVLYEWEQGFSQSFTQEQVADIDGQYAMTRAQRVRAAMFPETLDEGMQIPSTQFDAAHPT NVQRLAEPSQMLKHAVVNLINYQDDAELATRAIPELTKLLNDEDQVVVNKAAVMVHQLSK KEASRHAIMRSPQMVSAIVRTMQNTNDVETARCTAGTLHNLSHHREGLLAIFKSGGIPAL VKMLGSPVDSVLFYAITTLHNLLLHQEGAKMAVRLAGGLQKMVALLNKTNVKFLAITTDC LQILAYGNQESKLIILASGGPQALVNIMRTYTYEKLLWTTSRVLKVLSVCSSNKPAIVEA GGMQALGLHLTDPSQRLVQNCLWTLRNLSDAATKQEGMEGLLGTLVQLLGSDDINVVTCA AGILSNLTCNNYKNKMMVCQVGGIEALVRTVLRAGDREDITEPAICALRHLTSRHQEAEM AQNAVRLHYGLPVVVKLLHPPSHWPLIKATVGLIRNLALCPANHAPLREQGAIPRLVQLL VRAHQDTQRRTSMGGTQQQFVVGRAHGGDSRRVYWSSPHPCSGRSQPDCNPRTQYHSIVC AVALFSH >ENSMUSP00000116365.2 pep:known chromosome:GRCm38:9:120933623:120951710:1 gene:ENSMUSG00000006932.16 transcript:ENSMUST00000130845.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctnnb1 description:catenin (cadherin associated protein), beta 1 [Source:MGI Symbol;Acc:MGI:88276] MATQADLMELDMAMEPDRKAAVSHWQQQSYLDSGIHSGATTTAPSLSGKGNPEEEDVDTS QVLYEWEQGFSQSFTQEQVAALG >ENSMUSP00000120132.1 pep:known chromosome:GRCm38:9:120933818:120951293:1 gene:ENSMUSG00000006932.16 transcript:ENSMUST00000145093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnb1 description:catenin (cadherin associated protein), beta 1 [Source:MGI Symbol;Acc:MGI:88276] MATQADLMELDMAMEPDRKAAVSHWQQQSYLDSGIHSGATTTAPSLSGKGNPEEEDVDTS QVLYEWEQGFSQSFTQEQVADIDGQYAMTRAQRVRAAMFPETLDEGMQIPSTQFDAAHPT NVQRLAEPSQMLKHAVVNLINYQDDAELATRAIPELTKLLNDEDQVVVNKAAVM >ENSMUSP00000126905.1 pep:known chromosome:GRCm38:9:120950221:120959517:1 gene:ENSMUSG00000006932.16 transcript:ENSMUST00000163844.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctnnb1 description:catenin (cadherin associated protein), beta 1 [Source:MGI Symbol;Acc:MGI:88276] MATQADLMELDMAMEPDRKAAVSHWQQQSYLDSGIHSGATTTAPSLSGKGNPEEEDVDTS QVLYEWEQGFSHLTLLIPLMSSAWLNHHRC >ENSMUSP00000130471.1 pep:known chromosome:GRCm38:9:120951089:120955399:1 gene:ENSMUSG00000006932.16 transcript:ENSMUST00000170729.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctnnb1 description:catenin (cadherin associated protein), beta 1 [Source:MGI Symbol;Acc:MGI:88276] XELATRAIPELTKLLNDEDQVVVNKAAVMVHQLSKKEASRHAIMRSPQMVSAIVRTMQNT NDVETARCTAGTLHNLSHHREGLLAIFNWWTAENGCFAQQNKREILGYYNRLPSDLSLWQ SREQAHHSGQWWTPSLSKHNEDLHL >ENSMUSP00000128858.1 pep:known chromosome:GRCm38:9:120955457:120957534:1 gene:ENSMUSG00000006932.16 transcript:ENSMUST00000169931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnb1 description:catenin (cadherin associated protein), beta 1 [Source:MGI Symbol;Acc:MGI:88276] XCQVGGIEALVRTVLRAGDREDITEPAICALRHLTSRHQEAEMAQNAVRLHYGLPVVVKL LHPPSHWPLIKATVGLIRNLALCPANHAPLREQGAIPRLVQLLVRAHQDTQRRTSMGGTQ QQFEGVRMEEIVEGCTGALHILARDVHNRIVIRGLNTIPLFVQLLYSPI >ENSMUSP00000128564.1 pep:known chromosome:GRCm38:9:120955914:120959025:1 gene:ENSMUSG00000006932.16 transcript:ENSMUST00000133689.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnb1 description:catenin (cadherin associated protein), beta 1 [Source:MGI Symbol;Acc:MGI:88276] XAHQDTQRRTSMGGTQQQFVEGVRMEEIVEGCTGALHILARDVHNRIVIRGLNTIPLFVQ LLYSPIENIQRVAAGVLCELAQDKEAAEAIEAEGATAPLTELLHSRNEGVATYAAAVLFR MSEDKPQDYKKRLSVELTSSLFRTEPMAWNETADLGLDIGAQGEALGYRQDGMS >ENSMUSP00000136294.1 pep:known chromosome:GRCm38:9:120933578:120960506:1 gene:ENSMUSG00000006932.16 transcript:ENSMUST00000178812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnb1 description:catenin (cadherin associated protein), beta 1 [Source:MGI Symbol;Acc:MGI:88276] MATQADLMELDMAMEPDRKAAVSHWQQQSYLDSGIHSGATTTAPSLSGKGNPEEEDVDTS QVLYEWEQGFSQSFTQEQVADIDGQYAMTRAQRVRAAMFPETLDEGMQIPSTQFDAAHPT NVQRLAEPSQMLKHAVVNLINYQDDAELATRAIPELTKLLNDEDQVVVNKAAVMVHQLSK KEASRHAIMRSPQMVSAIVRTMQNTNDVETARCTAGTLHNLSHHREGLLAIFKSGGIPAL VKMLGSPVDSVLFYAITTLHNLLLHQEGAKMAVRLAGGLQKMVALLNKTNVKFLAITTDC LQILAYGNQESKLIILASGGPQALVNIMRTYTYEKLLWTTSRVLKVLSVCSSNKPAIVEA GGMQALGLHLTDPSQRLVQNCLWTLRNLSDAATKQEGMEGLLGTLVQLLGSDDINVVTCA AGILSNLTCNNYKNKMMVCQVGGIEALVRTVLRAGDREDITEPAICALRHLTSRHQEAEM AQNAVRLHYGLPVVVKLLHPPSHWPLIKATVGLIRNLALCPANHAPLREQGAIPRLVQLL VRAHQDTQRRTSMGGTQQQFVEGVRMEEIVEGCTGALHILARDVHNRIVIRGLNTIPLFV QLLYSPIENIQRVAAGVLCELAQDKEAAEAIEAEGATAPLTELLHSRNEGVATYAAAVLF RMSEDKPQDYKKRLSVELTSSLFRTEPMAWNETADLGLDIGAQGEALGYRQDDPSYRSFH SGGYGQDALGMDPMMEHEMGGHHPGADYPVDGLPDLGHAQDLMDGLPPGDSNQLAWFDTD L >ENSMUSP00000112466.1 pep:known chromosome:GRCm38:6:54595111:54645839:1 gene:ENSMUSG00000005225.15 transcript:ENSMUST00000119706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha8 description:pleckstrin homology domain containing, family A (phosphoinositide binding specific) member 8 [Source:MGI Symbol;Acc:MGI:2681164] MEGVLYKWTNYLSGWQPRWFLLCGGILSYYDSPEDAWKGCKGSIQMAVCEIQVHSVDNTR MDLIIPGEQYFYLKARSVAERQRWLVALGSAKACLTDSRTQKEKEFAENTENLKTKMSEL RLYCDLLVQQVDKTKEVATAGVTDSEEGIDVGTLLKSTCNTFLKTLEECMQIANAAFTSE LLYHTPPGSPQLAVLKSSKMKHPIIPIHNSLERSMELNSCENGSLSIEVNGDEEILMKTK SSLYLKSTEVDCSISSEENTDDNVTVQGEIMKEDGEENLESHDKDPAQPGSDSVCSPESP WEDNEEVIPTFFSTMNTSFSDIELLEDSGIPTEAFLASCYAVVPVLDKLGPTVFAPVKMD LVGNIKKVNQKYITNKEEFTTLQKIVLHEVEADVAQVRNSATEALLWLKRGLKFLKGFLT EVKNGEKDIQTALNNAYGKTLRQHHGWVVRGVFALALRAAPSYEDFVAALTIKEGDHQKE AFSAGMQRDLSLYLPAMEKQLAILDTLYEIHGLESDEVV >ENSMUSP00000098935.2 pep:known chromosome:GRCm38:6:54603147:54641033:1 gene:ENSMUSG00000005225.15 transcript:ENSMUST00000101385.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha8 description:pleckstrin homology domain containing, family A (phosphoinositide binding specific) member 8 [Source:MGI Symbol;Acc:MGI:2681164] MAVCEIQVHSVDNTRMDLIIPGEQYFYLKARSVAERQRWLVALGSAKACLTDSRTQKEKE FAENTENLKTKMSELRLYCDLLVQQVDKTKEVATAGVTDSEEGIDVGTLLKSTCNTFLKT LEECMQIANAAFTSELLYHTPPGSPQLAVLKSSKMKHPIIPIHNSLERSMELNSCENGSL SIEVNGDEEILMKTKSSLYLKSTEVDCSISSEENTDDNVTVQGEIMKEDGEENLESHDKD PAQPGSDSVCSPESPWEDNEEVIPTFFSTMNTSFSDIELLEDSGIPTEAFLASCYAVVPV LDKLGPTVFAPVKMDLVGNIKKVNQKYITNKEEFTTLQKIVLHEVEADVAQVRNSATEAL LWLKRGLKFLKGFLTEVKNGEKDIQTALNNAYGKTLRQHHGWVVRGVFALALRAAPSYED FVAALTIKEGDHQKEAFSAGMQRDLSLYLPAMEKQLAILDTLYEIHGLESDEVV >ENSMUSP00000094432.2 pep:known chromosome:GRCm38:17:13221188:13227658:1 gene:ENSMUSG00000073458.1 transcript:ENSMUST00000080647.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smok2a description:sperm motility kinase 2A [Source:MGI Symbol;Acc:MGI:1351487] MGSGSQQKSEKLRSKPPFSEMENFHAQYEMLGTIGHGGSTKVKLARHRLTGTHVAVKMIP KREYWCKPLMSEAELLMMADHPNIISLLQVIETKKKVYLIMELCEGKSLYQHIRNAGYLQ EDEARALFKQLLSAINYCHNQGIVHRDLKPDNIMVEKDGRVKIIDFGLGIQVKPGQKLNL FCGTYPFSAPEVLLSRPYDGPKIDVWTLGVVLYFMVTGKIPFDAASIEKLRKQIVAGKYS VPCRLSVKLHHLITLLMTDNPELRPTVAEVMMHPWVTKGSGVFPDPCEEQIPLKPDPAIV KAMGHIGFQAQDIEDSLRQRKFNETMASYCLLKKQILKECDRPIRAQPMNPSVTPFPSLV DTPTFHLGLRRRETEPTSLRLSANRQMSVCGRSTSKKRDRSFSWPGVLSRPINITPTMDQ THTCTRSVPCINSNFCIIHPNSSDESTEGHTSASAEDKPVRSRGWPRGIKGWTSKIGNAM RKLCCCIPSNETSHLGQRRVSPKK >ENSMUSP00000003635.6 pep:known chromosome:GRCm38:17:35821684:35822923:1 gene:ENSMUSG00000003541.6 transcript:ENSMUST00000003635.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ier3 description:immediate early response 3 [Source:MGI Symbol;Acc:MGI:104814] MCHSRNHLHTMTGLRAPSPAPSTGPELRRGSGPEIFTFDPLPERAVVSTARLNTSRGHRK RSRRVLYPRVVRRQLPTEEPNIAKRVLFLLFAIIFCQILMAEEGVSQPLAPEDATSAVTP EPISAPITAPPVLEPLNLTSESSDYALDLKAFLQQHPAAF >ENSMUSP00000028389.3 pep:known chromosome:GRCm38:2:80411970:80447625:-1 gene:ENSMUSG00000027004.3 transcript:ENSMUST00000028389.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frzb description:frizzled-related protein [Source:MGI Symbol;Acc:MGI:892032] MVCCGPGRMLLGWAGLLVLAALCLLQVPGAQAAACEPVRIPLCKSLPWNMTKMPNHLHHS TQANAILAMEQFEGLLGTHCSPDLLFFLCAMYAPICTIDFQHEPIKPCKSVCERARQGCE PILIKYRHSWPESLACDELPVYDRGVCISPEAIVTADGADFPMDSSTGHCRGASSERCKC KPVRATQKTYFRNNYNYVIRAKVKEVKMKCHDVTAVVEVKEILKASLVNIPRDTVNLYTT SGCLCPPLTVNEEYVIMGYEDEERSRLLLVEGSIAEKWKDRLGKKVKRWDMKLRHLGLGK TDASDSTQNQKSGRNSNPRPARS >ENSMUSP00000116939.1 pep:known chromosome:GRCm38:2:12347263:12419435:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000155530.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] MSEVTKELLELVWGTKSSPGLSDTIFCRWTQGFVFSESEGSALEQFEGGPCAVIAPVQAF LLKKLLFSSEKSSWRDCSEEEQKELLCHTLCDIVESAYDSSGSYCLVSWLRGRTPEEAAR ISGSPAQSSCQVEHS >ENSMUSP00000122501.1 pep:known chromosome:GRCm38:2:12347263:12419444:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000129489.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] MSEVTKELLELVWGTKSSPGLSDTIFCRWTQGFVFSESEGSALEQFEGGPCAVIAPVQAF LLKKLLFSSEKSSWRDCSGRAEGAPLSHLV >ENSMUSP00000121476.3 pep:known chromosome:GRCm38:2:12347263:12419448:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000154899.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] MSEVTKELLELVWGTKSSPGLSDTIFCRWTQGFVFSESEGSALEQFEGGPCAVIAPVQAF LLKKLLFSSEKSSWRDCSGH >ENSMUSP00000028105.6 pep:known chromosome:GRCm38:2:12347263:12419470:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000028105.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] MSEVTKELLELVWGTKSSPGLSDTIFCRWTQGFVFSESEGSALEQFEGGPCAVIAPVQAF LLKKLLFSSEKSSWRDCSEEEQKELLCHTLCDIVESAYDSSGSYCLVSWLRGRTPEEAAR ISGSPAQSSCQVEHSSALAVEELGFERFHALIQKRSFRTVSELKDAVLDQYSMWGNKFGV LLFLYSVLLTKGIENIKNSIEDANEPLIDPVYGHGSQSLINLLLTGHAVSNVWDGDRECS GMQLLGIHEQAAVGFLTLMEALRYCKVGSYLKSPKFPIWIVGSETHLTVFFAKDMALVAP EAPSEQARRVFQTYDPEDNGFIADSLLEDVMKALDLVSDPEYINLMKNKLDPEGLGIILL GPFLQEFFPDQGSSGPESFTVYHYNGLKQSNYNEKVMYVEGTAVVMGFEDPMLQTDDTPI KRCLQTKWPYIELLWTTDRCPSLN >ENSMUSP00000116836.1 pep:known chromosome:GRCm38:2:12347266:12419452:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000144645.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] MSEVTKELLELVWGTKSSPGLSDTIFCRWTQGFVFSESEGSALEQFEGGPCAVIAPVQAF LLKKLLFSSEKSSWRDCSEKDHSELYQN >ENSMUSP00000114789.1 pep:known chromosome:GRCm38:2:12347632:12356911:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000135397.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] XEYINLMKNKLDPEGLGIILLGPFLQEFFPDQGSSGPESFTVYHYNGLKQSNYNEKMRPL RQGD >ENSMUSP00000117457.2 pep:known chromosome:GRCm38:2:12350930:12419410:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000124603.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] MSEVTKELLELVWGTKSSPGLSDTIFCRWTQGFVFSESEGSALEQFEGGPCAVIAPVQAF LLKKLLFSSEKSSWRDCSELLGIHEQAAVGFLTLMEALRYCKVGSYLKSPKFPIWIVGSE THLTVFFAKDMALVAPEAPSEQARRVFQTYDPEDNGFIADSLLEDVMKALDLVSDPEYIN LMKNKLDPEGLGIILLGPFLQEFFPDQGSSGPESFTVYHYNGLKQSNYNE >ENSMUSP00000141479.1 pep:known chromosome:GRCm38:2:12364506:12419449:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000129993.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] MSEVTKELLELVWGTKSSPGLSDTIFCRWTQELLGIHEQAAVGFLTLMEALRYCKVGSYL KSPKFPIWIVGSETHLTVFFAKDMALV >ENSMUSP00000121265.1 pep:known chromosome:GRCm38:2:12386647:12410065:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000129348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] XSEGSALEQFEGGPCAVIAPVQAFLLKKLLFSSEKSSWRDCSAALAVEELGFERFHALIQ KRSFRTVSELKDAVLDQYSMWGNKFGVLLFLYSVLLTKGIENIKNSIEDANEPLIDPVYG HGSQSLINLLLTGHAVSNVWDGDRECSGMQLLGIHEQAAV >ENSMUSP00000120193.1 pep:known chromosome:GRCm38:2:12401059:12419391:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000124515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] MSEVTKELLELVWGTKSSPGLSDTIFCRWTQEEEQKELLCHTLCDIVESAYDSSGSYCLV SWLRGRTPEEAARISGSPAQSSCQVEHSSALAVE >ENSMUSP00000122798.1 pep:known chromosome:GRCm38:2:12401070:12419249:-1 gene:ENSMUSG00000026767.12 transcript:ENSMUST00000151529.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam188a description:family with sequence similarity 188, member A [Source:MGI Symbol;Acc:MGI:1914210] SEVTKELLELVWGTKSSPGLSDTIFCRWTQAKCPAIFLLQVIC >ENSMUSP00000104573.1 pep:known chromosome:GRCm38:2:177569204:177578199:-1 gene:ENSMUSG00000078865.8 transcript:ENSMUST00000108945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14406 description:predicted gene 14406 [Source:MGI Symbol;Acc:MGI:3649838] MNLITYDDVHVNFTQEEWALLDPSHKNLYKDVMVETYRNLTAIGYIWEEHTSEDHFQNSR SHGRHERSCSAEQLSEFIHCGKAFAYESGSQRHQIKHTGEKHHDCNQCGKAFKRRSDIQI HKQTHTGEKPYECNQCGKAFAGSGDLQKHKRTHTGEKPYKCNQCGKAFSQSSHLQIHKRT HTGEKPYECKQCGKAFAHSSHLHIHERTHTREKPYECKQCGKAFSQSSHLQIHKRTHTGE KPYECKQCGKAFAQSSHLRIHKQTHTGEKPYECKQCGKGFAGSGDLQKHKQTHTGEKPYE CKQCGKAFSRKSDLQKHKRTHTGEKPYECKQCGKAFAQSSTLQIHKRTHTGEKPYECKQC GKAFAGSSGLQCHKRSHTGETPYECNQGGKPFEGSSGLEYNNRTQTAEKPYECNQCGKVF AGRSDL >ENSMUSP00000104571.1 pep:known chromosome:GRCm38:2:177571227:177578210:-1 gene:ENSMUSG00000078865.8 transcript:ENSMUST00000108943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14406 description:predicted gene 14406 [Source:MGI Symbol;Acc:MGI:3649838] MNLITYDDVHVNFTQEEWALLDPSHKNLYKDVMVETYRNLTAIGYIWEEHTSEDHFQNSR SHGR >ENSMUSP00000100846.1 pep:known chromosome:GRCm38:2:86828754:86829746:1 gene:ENSMUSG00000044213.3 transcript:ENSMUST00000105211.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1094 description:olfactory receptor 1094 [Source:MGI Symbol;Acc:MGI:3030928] MSIHSPGYTVRRIPVNNVTDTTMFILTGFTDDADLQVLLFLLFFVIYLFTLIGNLGLVLL VIGDSRLHNPMYYFLSVLSFLDACYSTVVTPKMLVNFISNDKSISYPGCVTEMFLFVTFG TTECFLLAAMAYDRFVAIYNPLLYAVKMSPRVYIPLIIACYSGGIMHATIHTVATFSLSF CASNEIRHVFCDIPPLLAISCSNTNINQLLLFYCVGSIEIITILIVLVSYSFILFAILKM NSAEGRRKIFSTCGSHLTGVSIYHGTILFMYVRPSSNYALEHDMIVSTFYTIVIPMLNPI IYSLRNKDVKEAMKKIFERNFFMNKVHFKL >ENSMUSP00000028937.2 pep:known chromosome:GRCm38:2:148846711:148850934:-1 gene:ENSMUSG00000027446.2 transcript:ENSMUST00000028937.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9230104L09Rik description:RIKEN cDNA 9230104L09 gene [Source:MGI Symbol;Acc:MGI:1924955] MALPWTILLALSGIYVQGAQAWCSEEDTLELDKLVSEPDIVKFALSAFHKKSKDEYAYRV IHIMNFLKVQEEPPQTFFVKLRLTRTICMKFEKSLDTCPLPELQNILICSFSISSPGSKQ FNLLKMTCSEGLL >ENSMUSP00000023426.5 pep:known chromosome:GRCm38:16:58727910:58734251:1 gene:ENSMUSG00000022744.12 transcript:ENSMUST00000023426.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldnd1 description:claudin domain containing 1 [Source:MGI Symbol;Acc:MGI:2447860] MDNRFATAFVIACVLSLISTIYMAASIGTDFWYEYRSPIQENSSDSNKIAWEDFLGDEAD EKTYNDVLFRYNGSLGLWRRCITIPKNTHWYAPPERTESFDVVTKCMSFTLNEQFMEKYV DPGNHNSGIDLLRTYLWRCQFLLPFVSLGLMCFGALIGLCACICRSLYPTLATGILHLLA GLCTLGSVSCYVAGIELLHQKVELPKDVSGEFGWSFCLACVSAPLQFMAAALFIWAAHTN RKEYTLMKAYRVA >ENSMUSP00000125497.1 pep:known chromosome:GRCm38:16:58727925:58733608:1 gene:ENSMUSG00000022744.12 transcript:ENSMUST00000162057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldnd1 description:claudin domain containing 1 [Source:MGI Symbol;Acc:MGI:2447860] MDNRFATAFVIACVLSLISTIYMAASIGTDFWYEYRSPIQENSSDSNKIAWEDFLGDEAD EKTYNDVLFRYNGSLGLWRRCITIPKNTHWYAPPERTESFDVVTKCMSFTLNEQFMEKYV DPGNHNSGIDLLRTYLWRCQFLLPFVSLGLMCFGALIGLCACICRSLYPTLATGILHLLA GLCTLGSVSCYVAGIELLHQKVELPKDVSGEFGWSFCLACVSAPLQFMAAALFIWAAHTN RKEYTLMKAYRVA >ENSMUSP00000124455.1 pep:known chromosome:GRCm38:16:58728023:58732989:1 gene:ENSMUSG00000022744.12 transcript:ENSMUST00000159944.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cldnd1 description:claudin domain containing 1 [Source:MGI Symbol;Acc:MGI:2447860] MDNRFATAFVIACVLSLISTIYMAASIGTDFWYEYRSPIQENSSDSNKIAWEDFLGDEAD EKTYNDVLFRYNGSLGLWRRCITIPKNTHWYAPPERTGK >ENSMUSP00000124461.1 pep:known chromosome:GRCm38:16:58728048:58731379:1 gene:ENSMUSG00000022744.12 transcript:ENSMUST00000162191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldnd1 description:claudin domain containing 1 [Source:MGI Symbol;Acc:MGI:2447860] MDNRFATAFVIACVLSLISTIYMAASIGTDFWYEYRSPIQENSSDSNKIAWEDFLGDEAD EKTYNDVLFRYNGSLGLWRRCITIPKNTHWYAPPERTESFDVVTKCMSFTLNEQFMEKYV DPG >ENSMUSP00000146311.1 pep:known chromosome:GRCm38:16:18426384:18479067:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000205679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGAAQLGKKVAVADYVEPSPRGTK WGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQPVQHNWKTMAEAVQNHVKSL NWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKATLLSAEHIVIATGGRPRYPTQVK GALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFLTGIGLDTTVMMRSIPLRGFDQQ MSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWEDHASGKEDTGTFDTVLWAIGRV PETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAIGDVAEGRPELTPTAIKAGKLLA QRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVALHGQEHVEVYHAYYKPLEFTVA DRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGFALGIKCGASYAQVMQTVGIHPT CSEEVVKLHISKRSGLEPTVTGCUG >ENSMUSP00000146143.1 pep:known chromosome:GRCm38:16:18426417:18479070:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000206606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKE AAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEV AQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKAT LLSAEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFL TGIGLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWE DHASGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAI GDVAEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVYHAYYKPLEFTVADRDASQCYI KMVCMREPPQLVLGLHFLGPNAGEVTQGFALGIKCGASYAQVMQTVGIHPTCSEEVVKLH ISKRSGLEPTVTGCUG >ENSMUSP00000111269.2 pep:known chromosome:GRCm38:16:18426417:18479073:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000115606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKE AAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEV AQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKAT LLSAEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFL TGIGLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWE DHASGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAI GDVAEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVA LHGQEHVEVYHAYYKPLEFTVADRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGF ALGIKCGASYAQVMQTVGIHPTCSEEVVKLHISKRSGLEPTVTGCUG >ENSMUSP00000111267.2 pep:known chromosome:GRCm38:16:18426438:18456468:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000115604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKE AAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEV AQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKAT LLSAEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFL TGIGLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWE DHASGKEDTGTFDTVLWAIGKDAASHTDTVSSSRKPYFLGRRVFAFLPITSWILHSAGS >ENSMUSP00000111268.3 pep:known chromosome:GRCm38:16:18426441:18479071:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000115605.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKE GTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQPVQHNWKTMAEAVQNHV KSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKATLLSAEHIVIATGGRPRYPT QVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFLTGIGLDTTVMMRSIPLRGF DQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWEDHASGKEDTGTFDTVLWAI GRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAIGDVAEGRPELTPTAIKAGK LLAQRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVALHGQEHVEVYHAYYKPLEF TVADRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGFALGIK >ENSMUSP00000146030.1 pep:known chromosome:GRCm38:16:18426445:18479070:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000206151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKE AAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEV AQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKAT LLSAEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFL TGIGLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWE DHASGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAI GDVAEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVA LHGQEHVEVYHAYYKPLEFTVADRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGF ALGIKCGASYAQVMQTVGIHPTCSEEVVKLHISKRSGLEPTVTGCUG >ENSMUSP00000146096.1 pep:known chromosome:GRCm38:16:18426462:18437812:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000131303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] XAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKEAAQLG KKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQPVQ HNW >ENSMUSP00000145730.1 pep:known chromosome:GRCm38:16:18428697:18437810:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000126778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] MSCGQKDRPKHRQQSFDLLVIGGGSGGLACAKEAAQLGKKVAVADYVEPSPRGTKWGLGG TCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQPVQHNW >ENSMUSP00000145926.1 pep:known chromosome:GRCm38:16:18428925:18443206:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000144233.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] MEGQQSFDLLVIGGGSGGLACAKEAAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIP KKLMHQAALLGGMIRDAHHYGWEVAQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVK YFNIKASFVDEHTVRGVDKGGKATLLSAEHIVIATGGRPRYPTQV >ENSMUSP00000146174.1 pep:known chromosome:GRCm38:16:18440801:18442449:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000138310.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] XYFNIKASFVDEHTVRGVDKGGKATLLSAEHIVIATGGRPRYPTQCLVLCCPGCFRSPGI HVCPLQPPELALTEMCGGHHTWPLFLPPSLHCHGLF >ENSMUSP00000136402.1 pep:known chromosome:GRCm38:16:18426438:18479067:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000177856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] MVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKEAAQ LGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQP VQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKATLLS AEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTSVPAAEDVALECAGFLTGI GLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWEDHA SGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAIGDV AEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVALHG QEHVEVYHAYYKPLEFTVADRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGFALG IKCGASYAQVMQTVGIHPTCSEEVVKLHISKRSGLEPTVTGC >ENSMUSP00000136373.1 pep:known chromosome:GRCm38:16:18426438:18479067:1 gene:ENSMUSG00000075704.16 transcript:ENSMUST00000178093.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:MGI Symbol;Acc:MGI:1347023] MVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKEAAQ LGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQP VQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKATLLS AEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTSVPAAEDVALECAGFLTGI GLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWEDHA SGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAIGDV AEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVYHAYYKPLEFTVADRDASQCYIKMV CMREPPQLVLGLHFLGPNAGEVTQGFALGIKCGASYAQVMQTVGIHPTCSEEVVKLHISK RSGLEPTVTGC >ENSMUSP00000089330.2 pep:known chromosome:GRCm38:13:22278362:22279312:1 gene:ENSMUSG00000069296.3 transcript:ENSMUST00000091736.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r195 description:vomeronasal 1 receptor 195 [Source:MGI Symbol;Acc:MGI:2159692] MIWSELIQRVIFLSLIGLGILGNILIFVRHVLTFTMGSEKKPIDLIIFTHLAFSNVIIIG TSGIRYVATKLHFKNGLGIAGCKTLVYLGRVARRLSICTTCLLSMVQVVTISPRTSFLRK FRPQTIWQVLPSLLLLCIFNVLISSNLLHHITVGSSLNRSRAGVYYEYCYMLPSRYTVKW LFLRLMTLRDVIFQCLMGWSSGYMALRLYKHHKCVLYLHTSRLANNASPEIRATWSVLIL MTCFLLYYFGDYILSFYIGSVLTLDSIILNIRIFLVIGYAALSPFVLLIKDFHLISCWHT HWQVEETTFYNNPSSN >ENSMUSP00000024724.7 pep:known chromosome:GRCm38:17:40764734:40794115:-1 gene:ENSMUSG00000023930.13 transcript:ENSMUST00000024724.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crisp2 description:cysteine-rich secretory protein 2 [Source:MGI Symbol;Acc:MGI:98815] MAWFQVMLFVFALLLRSPLTEGKDPDFTSLLTNQLQVQREIVNKHNELRRSVNPTGSDIL KMEWSIQATTNAQKWANKCILEHSSKDDRKINIRCGENLYMSTDPTLWSTVIQSWYNENE DFVYGVGAKPNSAVGHYTQLVWYSSFKIGCGIAYCPNQDNLKYFYVCHYCPMGNNVMKKS TPYQQGTPCASCPNNCENGLCTNSCDFEDLLSNCESLKTSAGCKHELLKTKCQATCLCED KIH >ENSMUSP00000114224.1 pep:known chromosome:GRCm38:17:40783238:40794146:-1 gene:ENSMUSG00000023930.13 transcript:ENSMUST00000144243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crisp2 description:cysteine-rich secretory protein 2 [Source:MGI Symbol;Acc:MGI:98815] MAWFQVMLFVFALLLRSPLTEGKDPDFTSLLTNQLQVQREIVNKHNELRRSVNPTGSDIL KMEWSIQATTNAQKWANKCILEHSSKDDRKINIRCGENLYMSTDPTLWSTVIQSWYNENE DFVYGVGAKPNSAVGHYTQLVWYSSFKIGCGIAYCPNQDNLKYFYVCHYCP >ENSMUSP00000115730.1 pep:known chromosome:GRCm38:17:40784919:40794076:-1 gene:ENSMUSG00000023930.13 transcript:ENSMUST00000131699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crisp2 description:cysteine-rich secretory protein 2 [Source:MGI Symbol;Acc:MGI:98815] MAWFQVMLFVFALLLRSPLTEGKDPDFTSLLTNQLQVQREIVNKHNELRRSVNPTGSDIL KMEWSIQATTNAQKWANKCILEHSSKDDRKISMYLHVAAE >ENSMUSP00000123209.1 pep:known chromosome:GRCm38:17:40784995:40794067:-1 gene:ENSMUSG00000023930.13 transcript:ENSMUST00000141204.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crisp2 description:cysteine-rich secretory protein 2 [Source:MGI Symbol;Acc:MGI:98815] MAWFQVMLFVFALLLRSPLTEGKSFSFRIQTLLLC >ENSMUSP00000036628.7 pep:known chromosome:GRCm38:10:127898518:127911761:1 gene:ENSMUSG00000040127.13 transcript:ENSMUST00000047134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdr9c7 description:4short chain dehydrogenase/reductase family 9C, member 7 [Source:MGI Symbol;Acc:MGI:1917311] MAALTDFAFMYRWFKNCNLVKNLSEKYVFITGCDSGFGNLLAKQLVDRGMKVLAACLTEE GAQKLLQDTSHQLQTFLLDVTKSENVKEAAQWVRDQVGEQGLWALVNNAGVGLPSGPNEW LTIKDFVKVININLVGLIDVTLNMLPMIKKARGRVVNMSSSGGRVAIFGGGYCVSKFGVE AFSDSIRRELHFFGVKVSIIEPGNYKTSILGQEALESRMKKLWDRLPQETRDSYGEEYFQ TYTKKLVNLMRSAEPRISDVTNSMEHAIVSRSPRIRYNPGLDVKFLYLTLAKLPTPVTDF ILSRYLPRPADSV >ENSMUSP00000106247.1 pep:known chromosome:GRCm38:15:8169106:8271158:1 gene:ENSMUSG00000039801.6 transcript:ENSMUST00000110617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410089E03Rik description:RIKEN cDNA 2410089E03 gene [Source:MGI Symbol;Acc:MGI:1920942] MEMRLEVLTSTSIKQKKPWPRVSWLGQENEAVFLLDEKFINEINLLSGRTKKKIPSLQPL LKDVVFLATSTNDVWLSGVLTTGELFLWNKDQDCLKKIHVTEKPKEAIKAAVASSSRLYL YVAENGKRILLITSSGCILLWEYLELKNILSSKSLSLVGQWSQIVPEEAVSLPSTKDKEA VVAAVFVKNELLGDCCLCSFTFYSGECLKLTFLDIQWYENIFTSVRSLLFRVHWAQQECP LCSLIPRCASVKSRGALISAFSRDGLALAVTLNQKDPTATQVLFINTLNFVTLCGGLKGC SNKNPVVPATLTRSYWVGDISWTHDSLFLTCVLKRGSLVLLTCLGELLTLVTFGCSIEFG PAEFIPLHPLITYRPQQLPFQDSNNCVDSSASESDPLRQRFSIKAHSRLPYLIISDGYMV TTLRFLDNQSPTMLMRSLLLDSTQRLEKAYQSMMLSEPKDKGLNFRSLDSLRSSLLKHQG KESSVHCTVPRFLQAEETMKLNETTDFQDFEGEETNEVEQFVNNSFSFCNQKKDLPFDIV KEGRLEFASMFDTVHAKDASKETDRNTAELHRIQKTLLAAWTIGISKNVTEKKLMLNYTI LCITHFFYILQFIKCPVPKFDLFLNKSLKRNAWVLCVFQLFHQCLSVHYWDMRYRQNMGH LIRLTANTVKLLLTQRQEHGSFSERLLACLSLLRTVTVHLNGTCSLQPEAVPAAADESRP AELDSLMVPIFQASKENWPWDSSLKIYPQVTNLVQKPGHRLIALWRLLYKKTLWYQAQLS QRTPDGDRRLTENIRHEVSVVKTLLCHVQTNLQMAGDSLNQALELTPISGEECFLLASYE KSVCLWKKALQETQEKGGRRTCFLQLRYYLSLLYCHLYCYNLNDAQGLCDHLVRELLSRS QLPVRESQDCSDCEIAVTGNVHPEAALRVVQCMARFMAAYFTNEPLFILPPHSVSVLPPL HVKTEHSLRLIPLQHSKVASVIRDQNLSNVWTVEYTLELLFIGGLIPEAVWMAHKLGDWK TSVSIGVAYQVFCKHDCNFARSKKKGMDLPLNMIPAQIFQEKLQCFLGQPVSLTKKNEKG SKYKQFTDPIEEEDASLLFGSVQEVLKASVMADADIVSETLQLLMDSAKDFSKKLWGLVP VDLYLPAPPLYCPQPAVLSEEHGDNLLLKAEKDNRQKLSGILQRVLLLFRASRCSFPVAQ WYILQLRWARKVMQKIRVKGSLPSLGSFPESLLNYCKGGIAFFRPGATGDHTLDEVSIKA LGCFRELCALCWMLHVRDKLSYTCRQYQKARENTKIEKDLEVGFDSCVVEHCFHALEWAC RMLPFSRFFNMEELIQDIILSLIGELPPIRKVAEIFVKAFPNPEAIRVPLREKYHSLQQK LKHGVVKGPQTEELMSTVMRHVRKVRVKALKRVQRNIGAFEMDIWEPDEEDKPAATPAAD RFSLGPSVSSTLTLDLGSSLLHSDADTFSETLSLEEKTWIHLYQRHTPSHMELALVGKKP SDKKKVSNQKENSQRKEDDETPGKEALPVIGVWEFERDDDEYISFLELFLSYILERDLCS SDPGIPFLTSFSGRLREHELNSLLFDVHTTLKRRQSKTISENVYRAGSCFAVTPESQEPE NLSSLNSVGARHFESQALSASALGNQSGSTLENPLQSGSTSENPLQSDVMNWERRAGLFG LKQKPMYRVPDDKREKPTVQRSSNHSFWVPESIKPGRHRFRALEGSAGPPREDLPLALQS MFGDAGRLVEWMIRWSDRRLLCDPGVTPSSCKYSPVIRVKTSTAAILTSLWLLEQPYSAA YTAKNGIIKVLERHHTEPQVAAERESDVDADCPAAVAAQEGTECWNRMPAEAENHDVKDT GDEIAPVTPGSERELVHADGRHSEAGSSTQDEMDVHISDSEEGPVESLRGPSAVICRPES QLSSEHSEEEAQCSRKEPRDASVDTNLTEQKGAQISDLKEKSPTVLPLMSNGAQDTSQTP QKTQGNEHRAQLPDASESVRQMLQDEMFKLVQLQQINFLSLMQIVGPSVASLPDMHGLLQ QAQSVRFGESQVSNSTKSDCIEVNSRQRVSARAQSMGECTREPGKNSPADHKRISRPDQD SSGDTQDIPHGSVPLCQLDGQPEVRGQTGAPRSFAPASFPPAPAADSGLQLLFTPAAIQK TPQLIPPARAGAPGRGFPLLHFQPKRDFKPLSLPVGRIPQVSFRPQAQPKEAWSLSDSCQ PPVSQRTVHTTLPSPSDSSHCNAEAMRKAEAVLTGIPKHVNMGQYAGQGYLTPQQDSSVF IKPENVFDVKPSPPETALQNSFGLPLLHLQFKPPYVFSAPPRAFSRFPSVPGTEGRNQPQ LSLLHPCLPPENTYKKPQLIPLENLLAFKRSQQKLAHSVSGQGDAAPHFVGVSMDAAGIT QGKQRQSRRAKRELQEGRAQKPRRKPNVSFRPEDSLISNDSEVIIEPKEQLGHHDSQHLD KFDIPFEMLEDDINTSAGLHFMASVRKKAVGSHDASTNTDPDKEGPSQKADSESSKNPQA TAASSGHEPLKVPQLLIPDIYLNVRLPSGIAEKPLSPSPPHMAGHKYIDVVDIEADDLLG LPACEEPSDEVTKQQSHPPHAPSSAELHCMAASIVDAAPPHTFQSQESASSTRGLISEPA KVTQSCQSGESWRKSVIEAKEPEIPSVAPPSDRQQDRDILEQNFQFKEQSTKLDSVGQSL LWTLLQNASPACPTPSPAVCPRPSSPACPPPSPAVCPPPSPAACPTPSPAAQKFEHLTAK LQEMDEQLVAVQTMAENIEQDFPASQVLNLHWEKAGLGNHVGLSSGPDIEKLLASKAISI SEEVSLQTQEDVEEQKDAEETSETEFSEAENHSSQKTYACPSVGSAACSSVGWNIPSPGL NDSNELLESGSEDQLQVTGLTDIADIIGDLITKSGVSSHELGLTECQARSISRIQRPPDR GPRRTAEERREIKAWMRRKQKERMSEYLGQLAERRGRERNPFCPTSSPFYMTSRQIRQRQ KMKREKDRLQLSKHYSQRLSQAYSLMNELLSDSAHITAPAENPLPPGPYRRQRGSSPKRE NAHGQSLPVNRPGGDRHISRSSHLCKGQPRGSSQLRGSQPPCQSQKPLRSRGAAGVGPPV QQACREDEREEMVVSPWTLPSEIHRILHGRPESLLQDMSPADEEEPEPPLLAGGMDSVSE STGSILSKLDWKAVEDMVASVEDKNLSVHWALDQ >ENSMUSP00000132165.1 pep:known chromosome:GRCm38:14:4555405:4562747:1 gene:ENSMUSG00000090472.8 transcript:ENSMUST00000164069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3047 description:predicted gene 3047 [Source:MGI Symbol;Acc:MGI:3781225] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRRSTECAQEIHHC CLSSLITMAAMGCMLLLYFGFFGLNRP >ENSMUSP00000136530.1 pep:known chromosome:GRCm38:14:4555410:4558397:1 gene:ENSMUSG00000090472.8 transcript:ENSMUST00000177998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3047 description:predicted gene 3047 [Source:MGI Symbol;Acc:MGI:3781225] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000136870.1 pep:known chromosome:GRCm38:2:177762898:177770472:1 gene:ENSMUSG00000078864.10 transcript:ENSMUST00000119838.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14322 description:predicted gene 14322 [Source:MGI Symbol;Acc:MGI:3652185] MDLVTYDDVHVNFTQEEWALLHPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPPEFIQCGKAFAYESGRQRHQIKHTGGKHHDCNQCGKDFRTRNVPQI HKRTHTGEKPYDCNQYGKAFARISHLRVHKRTHTGEKPYECNQCGKAFASSSDLQKHKRT HTGEKPYECNQCGKAFAQSSHLRIHKRTHAGDKRYECNQCGKAFTQSNNLQIHKGTHAGE KRYECNQCGKAFASSSDLQKHKRTHTGEKPYECNQCGKAFAKSCDLQKHKRTHTGEKPYE CKQCGKAFAVSYTLQIHNRTHTGEKPYECKQCGKAFAQSSNLRIHKQTHTGERLYECN >ENSMUSP00000104570.3 pep:known chromosome:GRCm38:2:177768044:177770472:1 gene:ENSMUSG00000078864.10 transcript:ENSMUST00000108942.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14322 description:predicted gene 14322 [Source:MGI Symbol;Acc:MGI:3652185] DLVTYDDVHVNFTQEEWALLHPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSRS HGRHERSCSAEQPPEFIQCGKAFAYESGRQRHQIKHTGGKHHDCNQCGKDFRTRNVPQIH KRTHTGEKPYDCNQYGKAFARISHLRVHKRTHTGEKPYECNQCGKAFASSSDLQKHKRTH TGEKPYECNQCGKAFAQSSHLRIHKRTHAGDKRYECNQCGKAFTQSNNLQIHKGTHAGEK RYECNQCGKAFASSSDLQKHKRTHTGEKPYECNQCGKAFAKSCDLQKHKRTHTGEKPYEC KQCGKAFAVSYTLQIHNRTHTGEKPYECKQCGKAFAQSSNLRIHKQTHTGERLYECN >ENSMUSP00000102661.1 pep:known chromosome:GRCm38:7:100642892:100656953:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000107046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQDEEDRVVIHFNVRDIKVGQ ECQDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWKTALMEANSTPVRVYSPYQD YYEVVPPNAHEATYVRSYYGPPYAGPGVTHVIVREDPCYSSGAPLAMGMLAGAATGAALG SLMWSPCWF >ENSMUSP00000102660.1 pep:known chromosome:GRCm38:7:100643898:100656980:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000107045.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQDEEDRVVIHFNVRDIKVGQ ECQDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWKTALMEANSTPAPAGATVPP RSRRVCPKVRCTTLSWNPCKVERRIWVRVYSPYQDYYEVVPPNAHEATYVRSYYGPPYAG PGVTHVIVREDPCYSSGAPLAMGMLAGAATGAALGSLMWSPCWF >ENSMUSP00000102662.2 pep:known chromosome:GRCm38:7:100643898:100662365:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000107047.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MSPATPVPPDSILESPFEEMALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQ DEEDRVVIHFNVRDIKVGQECQDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWK TALMEANSTPVRVYSPYQDYYEVVPPNAHEATYVRSYYGPPYAGPGVTHVIVREDPCYSS GAPLAMGMLAGAATGAALGSLMWSPCWF >ENSMUSP00000078175.6 pep:known chromosome:GRCm38:7:100643899:100662414:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000079176.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MSPATPVPPDSILESPFEEMALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQ DEEDRVVIHFNVRDIKVGQECQDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWK TALMEANSTPAPAGATVPPRSRRVCPKVRCTTLSWNPCKVERRIWVRVYSPYQDYYEVVP PNAHEATYVRSYYGPPYAGPGVTHVIVREDPCYSSGAPLAMGMLAGAATGAALGSLMWSP CWF >ENSMUSP00000102659.3 pep:known chromosome:GRCm38:7:100643900:100658456:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000107044.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQDEEDRVVIHFNVRDIKVGQ ECQDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWKTALMEANSTPVRVYSPYQD YYEVVPPNAHEATYVRSYYGPPYAGPGVTHVIVREDPCYSSGAPLAMGMLAGAATGAALG SLMWSPCWF >ENSMUSP00000102658.1 pep:known chromosome:GRCm38:7:100644643:100658364:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000107043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQDEEDRVVIHFNVRDIKVGQ ECQDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAMYASTARIRTTMRWCHPTHMKPH MSAATMGHLMQVLV >ENSMUSP00000115559.1 pep:known chromosome:GRCm38:7:100644946:100662315:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000151123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MSPATPVPPDSILESPFEEMALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQ DEEDRVVIHFNVRDIKVGQECQDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWK TALMEANSTPAPAGATVPPRSRRVCPKVRCTTLSWNPCKVERRIWVRVYSPYQDYYEVVP PNAHEATYVRSYYGPPYAGPGVTHVIVREDPCYSSGAPLAMGMLAGAATGAALGSLMWSP >ENSMUSP00000122333.2 pep:known chromosome:GRCm38:7:100645056:100657103:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000139708.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQDEEDRVVIHFNVRDIKVGQ ECQDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWKTALMEANSTPVRVYSPYQD YYEVVPPNAHEATYVRSYYGPPYAGPGVT >ENSMUSP00000116888.1 pep:known chromosome:GRCm38:7:100645636:100658422:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000138830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQDEEDRVVIHFNVRDIKVGQ ECQDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWKTALMEANSTPVRVYSPYQD YY >ENSMUSP00000146508.1 pep:known chromosome:GRCm38:7:100654681:100662356:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000208812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MSPATPVPPDSILESPFEEMALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQ DEEDRVVIHFNVRDIKVGQECQDVQPPEGR >ENSMUSP00000111991.2 pep:known chromosome:GRCm38:7:100643900:100658456:-1 gene:ENSMUSG00000030701.17 transcript:ENSMUST00000116287.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhb1 description:pleckstrin homology domain containing, family B (evectins) member 1 [Source:MGI Symbol;Acc:MGI:1351469] MALVRGGWLWRQSSILRRWKRNWFALWLDGTLGYYHDETAQDEEDRVVIHFNVRDIKVGQ ECQDVQPPEGRSRDGLLTVNLREGSRLHLCAETRDDAIAWKTALMEANSTPAPAGATVPP RSRRVCPKVRCTTLSWNPCKVERRIWVRVYSPYQDYYEVVPPNAHEATYVRSYYGPPYAG PGVTHVIVREDPCYSSGAPLAMGMLAGAATGAALGSLMWSPCWF >ENSMUSP00000026816.8 pep:known chromosome:GRCm38:7:80890723:80901269:-1 gene:ENSMUSG00000025722.16 transcript:ENSMUST00000026816.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr73 description:WD repeat domain 73 [Source:MGI Symbol;Acc:MGI:1919218] MELAEDWLVESLRLYQDFHAFDLSGATRVLEWIGEKGVFVAGYESLKKNEILHLILPLRL SVQENQGLHPERDFKVRHGGFSDRSVFDLKHVPDTRLLVTSGLPGCYIQMWQVREDNDVI EAVSTIDVQDKEESLWPRVSVFCSKAPGILHGARLSGLRTVDVESQKITYSSGTADSESL SCLQVLDANTFAFCGNSGRLGLVDTRQKWAALETVSPGSGCSGERWCAEVRNKGQGPGPC IASLGSDGQLCLLDSRNLCHPVSSAQCPVFKPSPDPELLRVTWAPGLDNCLAISGFDGTV QIYDVTSWDGKKTQAEPLFTHKGHIFLDGNDVDSAPLVTTHTWHPRKPRTLLSAASDSSL HVWDWVDLQAS >ENSMUSP00000119974.1 pep:known chromosome:GRCm38:7:80893060:80901220:-1 gene:ENSMUSG00000025722.16 transcript:ENSMUST00000146402.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr73 description:WD repeat domain 73 [Source:MGI Symbol;Acc:MGI:1919218] XLAEDWLVESLRLYQDFHAFDLSGATRVLEWIGEKGVFVAGYESLKKNEILHLILPLRLS VQENQGLHPERDFKVRHGGFSDRSVFDLKHVPDTRLLVTSGLPGCYIQMWQVREDNDVIE AVSTIDVQDKEESLWPRVSVFCSKAPGILHGARLSGLRTVDVESQKITYSSGRLGLVDTR QKWAALETVSPGSGCSGERWCAEVRNKGQGPGPCIASLGSDGQLCLLDSRNLCHPVSSAQ >ENSMUSP00000085113.1 pep:known chromosome:GRCm38:19:12298183:12299130:-1 gene:ENSMUSG00000067513.1 transcript:ENSMUST00000087812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1436 description:olfactory receptor 1436 [Source:MGI Symbol;Acc:MGI:3031270] MEAMIKGKNITEITEFILLGFSDFPQITALLFVIFLTLYITALTWNLSLVVLIRMDSYLH TPMYFFLSNLSFIDICYISSTVPKMLFNFFQKRQTISFVGCIVQYFMFSTMGLSESCLMT AMAYDRYAAICNPLLYSSVMSPTLCAQMVMGSYTAGFIGSVSQVFAMLQLHFCGPNVIRH FFCDIPQLLNLSCTDTFFAHVELLILTMLFCISNALVIIISYGYIVLSILKITSAKGRSK AFNTCASHLTAVSLFYTSTAFVYFSSSSGGSSSFDRFVSVFYTVLITMLNPLVYSLRNKE IKDAGKRLQKKLGCC >ENSMUSP00000021997.6 pep:known chromosome:GRCm38:13:67173207:67183126:1 gene:ENSMUSG00000058900.4 transcript:ENSMUST00000021997.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsl1 description:regulator of sex limited protein 1 [Source:MGI Symbol;Acc:MGI:3044162] MRLSIPVVSDKKEMLSFWDVAIDFSPEEWECLEPAQWDLYRDVMLENFSHLVFLGLAVSK PFLVTFLEQIQGPWDMKRQGTAPVYPGIIPNDPNNCSKLTNCKSLLITQRRNHIGEKPYK CGECGKALSSHKTLSIHQRLHTGDKPYKCEECHKAFSTRSSLFIHMKNHTDEKIYKCEDC GRTFYYLSMLKQHQRIHSGEKPYKCEECGKSFSFPSFLKQHQRLHCRKNAFKHEQCVNRF PPPLPLQEHEQIRTEEKPYKCGECYKTFRYHSALRIHKAVHTGERPYKCEECGKCFSSSS CLKKHQILHSEDNPYKCEECYKAFRNHSALRIHKTVHTGERPYKCQECGKCYSSPSCLKR HQILHSKYNPYKCEECGKCLSSSSCLKTHQTLHSEDKPYKCEDCSRCFCSSSSLRRHQKF HSEGNPYKCEKCDKRFSCSAGLQDHQTIHTGEKPYRCENCHKAFCYRSSLRKHKTVHTRE KSTHM >ENSMUSP00000097459.1 pep:known chromosome:GRCm38:2:86850770:86851696:-1 gene:ENSMUSG00000075171.1 transcript:ENSMUST00000099874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1095 description:olfactory receptor 1095 [Source:MGI Symbol;Acc:MGI:3030929] MKNITEATFFVLKGLTDNNELQIILFFLFLAIYLFTLIGNVGLIILVVGDPQLHNPMYCF LSALSFVDACYSSDITPNMLVGFMSKSKIISFHGCATQMFLAVTFGTTECFLLAAMAYDR YVAIHDPLLYAVSMSPRVYLPLIIASYAGGILHAIIHTVATFSLSFCQSNEVKHIFCDIP PLLAISCSETYVNELLLFFFVSFIELVTILIILFSYAFILLSILKMNSAEGRRKVFSTCG SHLTAVSICYGTSLFMYVRPSSNYSLEHDMIVSIFYTIGIPMLNPIIYSLRNKDVKEAIK RVLRKNFI >ENSMUSP00000100922.3 pep:known chromosome:GRCm38:10:97647089:97682454:1 gene:ENSMUSG00000019936.10 transcript:ENSMUST00000105285.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epyc description:epiphycan [Source:MGI Symbol;Acc:MGI:107942] MGMLARVALGLIIIDAVLAAPTTELFNYDSEVYDAILEDTGTFYNYEHIPDNHVENEKVS ERLSGNRELLTPGPQLGDNQDEDKDEESTPRLIDGSSPQEPEFPGLLGPHTNEDFPTCLL CTCISTTVYCDDHELDAIPPLPKKTTYFYSRFNRIKKINKNDFASLNDLKRIDLTSNLIS EIDEDAFRKLPHLQELVLRDNKIKQLPELPNTLTFIDISNNRLGRKGIKQEAFKDMYDLH HLYITDNSLDHIPLPLPESLRALHLQNNDILEMHEDTFCNVKNLTYVRKALEDIRLDGNP INLSRTPQAYMCLPRLPIGSFI >ENSMUSP00000020094.1 pep:known chromosome:GRCm38:10:97644068:97681900:1 gene:ENSMUSG00000019936.10 transcript:ENSMUST00000020094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epyc description:epiphycan [Source:MGI Symbol;Acc:MGI:107942] MGMLARVALGLIIIDAVLAAPTTELFNYDSEVYDAILEDTGTFYNYEHIPDNHVENEKVS ERLSGNRELLTPGPQLGDNQDEDKDEESTPRLIDGSSPQEPEFPGLLGPHTNEDFPTCLL CTCISTTVYCDDHELDAIPPLPKKTTYFYSRFNRIKKINKNDFASLNDLKRIDLTSNLIS EIDEDAFRKLPHLQELVLRDNKIKQLPELPNTLTFIDISNNRLGRKGIKQEAFKDMYDLH HLYITDNSLDHIPLPLPESLRALHLQNNDILEMHEDTFCNVKNLTYVRKALEDIRLDGNP INLSRTPQAYMCLPRLPIGSFI >ENSMUSP00000102333.1 pep:known chromosome:GRCm38:7:109893780:109960400:-1 gene:ENSMUSG00000035901.14 transcript:ENSMUST00000106722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd5a description:DENN/MADD domain containing 5A [Source:MGI Symbol;Acc:MGI:1201681] MSGGGGGGGSAPSRFADYFVICGLDTETGLEPDELSGENFEQTPLRRTFKSKVLARYPEN VDWNPFDQDAVGMLCMPKGLAFKTQADPREPQFHAFIITREDGSRTFGFALTFYEEVTSK QICSAMQTLYHMHNAEYDVLHAPLADGGDQSGMEDGEGIPGTKLQRFNSYDISRDTLYVS KCICLITPMSFMKACRSVLQQLHQAVTSPQPPPLPLESYIYNVLYEVPLPPPGRSLKFSG VYGPIICQRPSTNELPLFDFPVKEVFELLGVENVFQLFTCALLEFQILLYSQHYQRLMTV AETITALMFPFQWQHVYVPILPASLLHFLDAPVPYLMGLHSNGLDDRSKLELPQEANLCF VDVDNHFIELPEDLPQFPNKLEFVQEVSEILMAFGVPPEGNLHCSESASKLKRIRASELV SDKRNGNIAGSPLHSYELLKENETIARLQALVKRTGVSLEKLEVREDPSSNKDFKVQCDE EELRIYQLNIQIREVFANRFTQMFADYEVFVIQPSQDKESWFTNREQMQNFDKASFLSDQ PEPYLPFLSRFLETQMFASFIDNKIMCHDDDDKDPVLRVFDSRVDKIRLLNVRTPTLRTS MYQKCTTVDEAEKAIELRLAKIDHTAVHPHLLDMKIGQGKYEPGFFPKLQSDVLCTGPAS NKWTKRNAPAQWRRKDRQKQHTEHLRLDNDQREKYIQEARNMGSTIRQPKLSNLSPSVIA QTNWKFVEGLLKECRNKTKRMLVEKMGREAVELGHGEVNITGVEENTLIASLCDLLERIW SHGLQVKQGKSALWSHLLHYQENRQRKLTSGSLSTSGILLDSERRKSDASAVMSPLRISL IQDMRHIQNIGEIKTDVGKARAWVRLSMEKKLLSRHLKQLLSDHELTKKLYKRYAFLRCD DEKEQFLYHLLSFNAVDYFCFTNVFTTILIPYHILIVPSKKLGGSMFTANPWICISGELG ETQILQIPRNVLEMTFECQNLGKLTTVQIGHDNSGLYAKWLVECVMVRNEVTGHTYKFPC GRWLGKGMDDGSLERVLVGELLTSLPEVDERPCRTPPLQQSPSVIRRLVTISPNNKPKLN TGQIQESIGEAVNGIVKHFHKPEKERGSLTLLLCGECGLVSALEQAFQHGFKSPRLFKNV FIWDFLEKAQTYYETLEQNDVVPEENWHTRARNFCRFVTAVNNTPRNIGKDGKFQMLVCL GARDHLLHHWIALLADCPITAHMYEDVALIKDHTLVNSLIRVLQTLQEFNITLDTSLVKG IDI >ENSMUSP00000079295.6 pep:known chromosome:GRCm38:7:109893780:109960470:-1 gene:ENSMUSG00000035901.14 transcript:ENSMUST00000080437.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd5a description:DENN/MADD domain containing 5A [Source:MGI Symbol;Acc:MGI:1201681] MSGGGGGGGSAPSRFADYFVICGLDTETGLEPDELSALCQYIQASKARDGASPFISSTTE GENFEQTPLRRTFKSKVLARYPENVDWNPFDQDAVGMLCMPKGLAFKTQADPREPQFHAF IITREDGSRTFGFALTFYEEVTSKQICSAMQTLYHMHNAEYDVLHAPLADGGDQSGMEDG EGIPGTKLQRFNSYDISRDTLYVSKCICLITPMSFMKACRSVLQQLHQAVTSPQPPPLPL ESYIYNVLYEVPLPPPGRSLKFSGVYGPIICQRPSTNELPLFDFPVKEVFELLGVENVFQ LFTCALLEFQILLYSQHYQRLMTVAETITALMFPFQWQHVYVPILPASLLHFLDAPVPYL MGLHSNGLDDRSKLELPQEANLCFVDVDNHFIELPEDLPQFPNKLEFVQEVSEILMAFGV PPEGNLHCSESASKLKRIRASELVSDKRNGNIAGSPLHSYELLKENETIARLQALVKRTG VSLEKLEVREDPSSNKDFKVQCDEEELRIYQLNIQIREVFANRFTQMFADYEVFVIQPSQ DKESWFTNREQMQNFDKASFLSDQPEPYLPFLSRFLETQMFASFIDNKIMCHDDDDKDPV LRVFDSRVDKIRLLNVRTPTLRTSMYQKCTTVDEAEKAIELRLAKIDHTAVHPHLLDMKI GQGKYEPGFFPKLQSDVLCTGPASNKWTKRNAPAQWRRKDRQKQHTEHLRLDNDQREKYI QEARNMGSTIRQPKLSNLSPSVIAQTNWKFVEGLLKECRNKTKRMLVEKMGREAVELGHG EVNITGVEENTLIASLCDLLERIWSHGLQVKQGKSALWSHLLHYQENRQRKLTSGSLSTS GILLDSERRKSDASAVMSPLRISLIQDMRHIQNIGEIKTDVGKARAWVRLSMEKKLLSRH LKQLLSDHELTKKLYKRYAFLRCDDEKEQFLYHLLSFNAVDYFCFTNVFTTILIPYHILI VPSKKLGGSMFTANPWICISGELGETQILQIPRNVLEMTFECQNLGKLTTVQIGHDNSGL YAKWLVECVMVRNEVTGHTYKFPCGRWLGKGMDDGSLERVLVGELLTSLPEVDERPCRTP PLQQSPSVIRRLVTISPNNKPKLNTGQIQESIGEAVNGIVKHFHKPEKERGSLTLLLCGE CGLVSALEQAFQHGFKSPRLFKNVFIWDFLEKAQTYYETLEQNDVVPEENWHTRARNFCR FVTAVNNTPRNIGKDGKFQMLVCLGARDHLLHHWIALLADCPITAHMYEDVALIKDHTLV NSLIRVLQTLQEFNITLDTSLVKGIDI >ENSMUSP00000130465.1 pep:known chromosome:GRCm38:6:40995830:40999479:-1 gene:ENSMUSG00000096525.2 transcript:ENSMUST00000166306.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2663 description:predicted gene 2663 [Source:MGI Symbol;Acc:MGI:3780832] MKIIFFFTFLGAAVALPANSDDKIVGGYTCPKHSVPYQVSLNDGISHQCGGSLINDQWVL SAAHCYKRRLQVRLGEHNIDVLEGGEQFIDAEKIIRHPDYNKDTVDNDIMLIKLKSPAIL NSQVSTVSLPRSCASTNAQCLVSGWGNTVSIGGKYPALLQCLEAPVLSASSCKKSYPGQI TSNMFCLGFLEGGKDSCDGDSGGPVVCNGEIQGIVSWGSVCAMRGKPGVYTKVCNYLSWI QETMANN >ENSMUSP00000056003.2 pep:known chromosome:GRCm38:19:12321887:12323063:-1 gene:ENSMUSG00000096436.2 transcript:ENSMUST00000052558.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1437 description:olfactory receptor 1437 [Source:MGI Symbol;Acc:MGI:3031271] MIKERNFTEITEFILLGFSDFPQITALLFVMFLILYITTLTWNLSLVVLIRMDSYLHTPM YFFLSNLSFIDICYISSTVPKMLSNLFQEKQTISFVGCIVQYFMFATMGLSESCLMTAMA YDRYAAICNPLLYSSVMSPTLCAQMVMGSYTAGIMGSLSQVCALLQLHFCGPNVIRHFFC DMPQLLNLSCTDTFFAQVVLLILTMLFCISNALVIIISYGYIVLSILKITSAKGRSKAFN TCASHLTAVSLFYTSSAFVYLSSSSGGSSSFDRFVSVFYTVLIPMLNPLVYSLRNKEIKD AGKRLQKKLGCC >ENSMUSP00000146333.1 pep:known chromosome:GRCm38:19:12322392:12325091:-1 gene:ENSMUSG00000096436.2 transcript:ENSMUST00000207241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1437 description:olfactory receptor 1437 [Source:MGI Symbol;Acc:MGI:3031271] MIKERNFTEITEFILLGFSDFPQITALLFVMFLILYITTLTWNLSLVVLIRMDSYLHTPM YFFLSNLSFIDICYISSTVPKMLSNLFQEKQTISFVGCIVQYFMFATMGLSESCLMTAMA YDRYAAICNPLLYSSVMSPTLCAQ >ENSMUSP00000046999.2 pep:known chromosome:GRCm38:11:57828707:57832147:-1 gene:ENSMUSG00000037335.13 transcript:ENSMUST00000036917.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hand1 description:heart and neural crest derivatives expressed transcript 1 [Source:MGI Symbol;Acc:MGI:103577] MNLVGSYAHHHHHHHSHPPHPMLHEPFLFGPASRCHQERPYFQSWLLSPADAAPDFPAGG PPPTTAVAAAAYGPDARPSQSPGRLEALGSRLPKRKGSGPKKERRRTESINSAFAELREC IPNVPADTKLSKIKTLRLATSYIAYLMDVLAKDAQAGDPEAFKAELKKTDGGRESKRKRE LPQQPESFPPASGPGEKRIKGRTGWPQQVWALELNQ >ENSMUSP00000104473.2 pep:known chromosome:GRCm38:11:57831694:57832818:-1 gene:ENSMUSG00000037335.13 transcript:ENSMUST00000108845.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hand1 description:heart and neural crest derivatives expressed transcript 1 [Source:MGI Symbol;Acc:MGI:103577] MNLVGSYAHHHHHHHSHPPHPMLHEPFLFGP >ENSMUSP00000124951.2 pep:known chromosome:GRCm38:11:57828705:57832818:-1 gene:ENSMUSG00000037335.13 transcript:ENSMUST00000160392.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hand1 description:heart and neural crest derivatives expressed transcript 1 [Source:MGI Symbol;Acc:MGI:103577] MNLVGSYAHHHHHHHSHPPHPMLHEPFLFGPASRCHQERPYFQSWLLSPADAAPDFPAGG PPPTTAVAAAAYGPDARPSQSPGRLEALGSRLPKRKGSGPKKERRRTESINSAFAELREC IPNVPADTKLSKIKTLRLATSYIAYLMDVLAKDAQAGDPEAFKAELKKTDGGRESKRKRE LPQQPESFPPASGPGEKRIKGRTGWPQQVWALELNQ >ENSMUSP00000107628.1 pep:known chromosome:GRCm38:5:116038755:116083244:-1 gene:ENSMUSG00000079278.1 transcript:ENSMUST00000111997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem233 description:transmembrane protein 233 [Source:MGI Symbol;Acc:MGI:3651514] MSRQPEPQAARTVRSSSLPVSPTSPMSQYASRSDSKGALDSSSPEAYTEDDKTEEDIPAP SNYLWLTIISCFCPAYPVNIVALVFSIMSLNSYNDGDYEGARRLGRNAKWVAIASIIIGL VIIGVSCAVHFSRNP >ENSMUSP00000112546.1 pep:known chromosome:GRCm38:13:67194506:67209298:1 gene:ENSMUSG00000051037.15 transcript:ENSMUST00000120861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp455 description:zinc finger protein 455 [Source:MGI Symbol;Acc:MGI:3040708] MEEMLSFWDVAIDFSPEEWECLEPAQWDLYRDVMLENFSHLVFLGLAVSKPFLVTFLEQR QGPWDMKRQAAATVYPGIIPNDPNNYSKFTNCKSLLIKRRRIHIGEKPYNCGECGKALSS HKTLSIHQRLHTGDKPYKCEECHKAFSTRSSLFIHMKNHTDEKIYKCEECGKTFYYPSML KQHQRIHSGEKPCKCEECGKSFGFPSFLKQHQRIHCRKNAYKDEICVKRLSPPLPLQEHE QIHTEEKPYKCGECYKAFRYHSALRIHKTVHTGEKPYKCEECSRCFSSSSCLKRHQILHS EDNPYKCEECGRCFCSSSSLRRHQTFHSEDNPYKCEECDKRFSCSASLQEHQTIHTGEKP YTCENCHKAFRYHSSLRRHKTVHTREKSYKCEKCPRGFSSSSCLVRHQAIHSEDNPHKCV ECGKAFVNVYSLTRHMTVHAGEKSYKGLKTLILLQSLKDIN >ENSMUSP00000113356.1 pep:known chromosome:GRCm38:13:67194535:67209298:1 gene:ENSMUSG00000051037.15 transcript:ENSMUST00000117110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp455 description:zinc finger protein 455 [Source:MGI Symbol;Acc:MGI:3040708] MKRQAAATVYPGIIPNDPNNYSKFTNCKSLLIKRRRIHIGEKPYNCGECGKALSSHKTLS IHQRLHTGDKPYKCEECHKAFSTRSSLFIHMKNHTDEKIYKCEECGKTFYYPSMLKQHQR IHSGEKPCKCEECGKSFGFPSFLKQHQRIHCRKNAYKDEICVKRLSPPLPLQEHEQIHTE EKPYKCGECYKAFRYHSALRIHKTVHTGEKPYKCEECSRCFSSSSCLKRHQILHSEDNPY KCEECGRCFCSSSSLRRHQTFHSEDNPYKCEECDKRFSCSASLQEHQTIHTGEKPYTCEN CHKAFRYHSSLRRHKTVHTREKSYKCEKCPRGFSSSSCLVRHQAIHSEDNPHKCVECGKA FVNVYSLTRHMTVHAGEKSYKGLKTLILLQSLKDIN >ENSMUSP00000142856.1 pep:known chromosome:GRCm38:9:109812280:109820600:1 gene:ENSMUSG00000050074.12 transcript:ENSMUST00000200555.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink8 description:serine peptidase inhibitor, Kazal type 8 [Source:MGI Symbol;Acc:MGI:1925959] MKVIFSVAVLVLASSVWTSLAVDFILPMNFHMTGELLQKTKALCIKNIQ >ENSMUSP00000142586.1 pep:known chromosome:GRCm38:9:109816544:109826633:1 gene:ENSMUSG00000050074.12 transcript:ENSMUST00000198988.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink8 description:serine peptidase inhibitor, Kazal type 8 [Source:MGI Symbol;Acc:MGI:1925959] MKVIFSVAVLVLASSVWTSLAVDFILPMNFHMTGELLQKTKALCIKNIQLCWILSYFKVS EPICGSNQVTYEGECHLCSGILYEDRTVIKVHDGPCEHSSDESEH >ENSMUSP00000112990.3 pep:known chromosome:GRCm38:9:109816627:109826628:1 gene:ENSMUSG00000050074.12 transcript:ENSMUST00000118732.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink8 description:serine peptidase inhibitor, Kazal type 8 [Source:MGI Symbol;Acc:MGI:1925959] MKVIFSVAVLVLASSVWTSLAVDFILPMNFHMTGELLQKTKALCIKNIQLCWILSYFKVS EPICGSNQVTYEGECHLCSGILNTPRMSLNTDKSY >ENSMUSP00000076741.1 pep:known chromosome:GRCm38:19:13410571:13411500:1 gene:ENSMUSG00000063777.1 transcript:ENSMUST00000077538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1469 description:olfactory receptor 1469 [Source:MGI Symbol;Acc:MGI:3031303] MSLMENNTDVTQFLLLGLTDDPGLQFPLFITFLLIYTITLVGNLGMILLIVLDSRLHTPM YFFLGNLSLVDFCYSSAVTPTVMTGLIGEKIISYNDCAAQMFFFVAFATVENYLLASMAY DRYAAVCKPLHYATTMTANVCICLCIGSYTCGFLNASIHIGDTFSLSFCRSNVVHHFFCD IPAVMVLSCSDRHVSELVLVYVVSFNIFFALSVIWISYIFIFITICKMHSSSGYRKAIST CASHFIAVSIFYGTIIFMYLQPSSSHSMDTDKIASVFYTMIIPMLNPLVYSMRNKEVKSA FTKVLQVAK >ENSMUSP00000004200.8 pep:known chromosome:GRCm38:9:14500617:14510577:1 gene:ENSMUSG00000004096.8 transcript:ENSMUST00000004200.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc15 description:CWC15 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:1913320] MTTAARPTFEPARGGRGKGEGDLSQLSKQYSSRDLPSHTKIKYRQTTQDAPEEVRNRDFR RELEERERAAARDKNRDRPTREHTTSSSVSKKPRLDQIPAANLDADDPLTDEEDEDFEEE SDDDDTAALLAELEKIKKERAEEQARKEQEQKAEEERIRMENILSGNPLLNLTGPSQPQA NFKVKRRWDDDVVFKNCAKGIDDQKKDKRFVNDTLRSEFHKKFMEKYIK >ENSMUSP00000054452.4 pep:known chromosome:GRCm38:6:83326016:83343776:1 gene:ENSMUSG00000043131.14 transcript:ENSMUST00000055261.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob1a description:MOB kinase activator 1A [Source:MGI Symbol;Acc:MGI:2442631] MSFLFSSRSSKTFKPKKNIPEGSHQYELLKHAEATLGSGNLRQAVMLPEGEDLNEWIAVN TVDFFNQINMLYGTITEFCTEASCPVMSAGPRYEYHWADGTNIKKPIKCSAPKYIDYLMT WVQDQLDDETLFPSKIGVPFPKNFMSVAKTILKRLFRVYAHIYHQHFDSVMQLQEEAHLN TSFKHFIFFVQEFNLIDRRELAPLQELIEKLGSKDR >ENSMUSP00000144795.1 pep:known chromosome:GRCm38:6:83326036:83332463:1 gene:ENSMUSG00000043131.14 transcript:ENSMUST00000133045.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mob1a description:MOB kinase activator 1A [Source:MGI Symbol;Acc:MGI:2442631] MSFLFVILTVLMASLEESAAALRKHSNQRRISLKGPISMSS >ENSMUSP00000039115.8 pep:known chromosome:GRCm38:6:83326080:83343775:1 gene:ENSMUSG00000043131.14 transcript:ENSMUST00000038658.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob1a description:MOB kinase activator 1A [Source:MGI Symbol;Acc:MGI:2442631] MSFLFSSRSSKTFKPKKNIPEGSHQYELLKHAEATLGSGNLRQAVMLPEGEDLNEWIAVN SVPFPKNFMSVAKTILKRLFRVYAHIYHQHFDSVMQLQEEAHLNTSFKHFIFFVQEFNLI DRRELAPLQELIEKLGSKDR >ENSMUSP00000098802.2 pep:known chromosome:GRCm38:6:83326085:83342812:1 gene:ENSMUSG00000043131.14 transcript:ENSMUST00000101245.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mob1a description:MOB kinase activator 1A [Source:MGI Symbol;Acc:MGI:2442631] MSFLFSSRSSKTFKPKKNIPEGSHQYELLKHAEATLGSGNLRQAVMLPEGEDLNEWIAVN TVDFFNQINMLYGTITEFCTEASCPVMSAGPRYEYHWADGTNIKKPIKCSAPKYIDYLMT WVQDQLDDETLFPSKIGVPFPKNFMSVAKTILKRLFRVYAHIYHQHFDSVMQLQEEAHLN TSFKHFIFFVQEFNLIDRRELAPLQELIEKLGSKDR >ENSMUSP00000144927.1 pep:known chromosome:GRCm38:6:83326360:83332547:1 gene:ENSMUSG00000043131.14 transcript:ENSMUST00000155580.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob1a description:MOB kinase activator 1A [Source:MGI Symbol;Acc:MGI:2442631] MLPEGEDLNEWIAVNTVDFFNQINMLYGTITEFCTEASCPVMSAGP >ENSMUSP00000136976.1 pep:known chromosome:GRCm38:X:30820542:30843469:-1 gene:ENSMUSG00000094759.7 transcript:ENSMUST00000180344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10487 description:predicted gene 10487 [Source:MGI Symbol;Acc:MGI:3710592] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000136994.1 pep:known chromosome:GRCm38:X:30820647:30841834:-1 gene:ENSMUSG00000094759.7 transcript:ENSMUST00000177566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10487 description:predicted gene 10487 [Source:MGI Symbol;Acc:MGI:3710592] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSPTMEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000117410.1 pep:known chromosome:GRCm38:1:178951960:179518034:-1 gene:ENSMUSG00000055067.15 transcript:ENSMUST00000128302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd3 description:SET and MYND domain containing 3 [Source:MGI Symbol;Acc:MGI:1916976] MEALKVEKFTTANRGNGLRAVAPLRPGELLFRSDPLAYTVCKGSRGVVCDRCLLGKEKLM RCSQCRIAKYCSAKCQKKAWPDHRRECSCLKSCKPRYPPDSVRLLGRVIVKLMDEKPSES EKLYSFYDLESNISKLTEDKKEGLRQLAMTFQHFMREEIQDASQLPPSFDLFEAFAKVIC NSFTICNAEMQEVGVGLYPSMSLLNHSCDPNCSIVFNGPHLLLRAVREIEAGEELTICYL DMLMTSEERRKQLRDQYCFECDCIRCQTQDKDADMLTGDEQIWKEVQESLKKIEELKAHW KWEQVLALCQAIINSNSNRLPDINIYQLKVLDCAMDACINLGMLEEALFYAMRTMEPYRI FFPGSHPVRGVQVMKVGKLQLHQGMFPQAMKNLRLAFDIMKVTHGREHSLIEDLILLLEE CDANIRAS >ENSMUSP00000106764.1 pep:known chromosome:GRCm38:1:179395224:179518041:-1 gene:ENSMUSG00000055067.15 transcript:ENSMUST00000111134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd3 description:SET and MYND domain containing 3 [Source:MGI Symbol;Acc:MGI:1916976] MEALKVEKFTTANRGNGLRAVAPLRPGELLFRSDPLAYTVCKGSRGVVCDRCLLGKEKLM RCSQCRIAKYCSAKCQKKAWPDHRRECSCLKSCKPRYPPDSVRLLGRVIVKLMDEKPSES EKLYSFYDLESNISKLTEDKKEGLRQLAMTFQHFMREEIQDASQLPPSFDLFEAFAKHGR RR >ENSMUSP00000141631.1 pep:known chromosome:GRCm38:1:179508307:179518005:-1 gene:ENSMUSG00000055067.15 transcript:ENSMUST00000194237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd3 description:SET and MYND domain containing 3 [Source:MGI Symbol;Acc:MGI:1916976] MEALKVEKFTTANRGNGLRAVAPLRPGELLFRSDPLAYTVCKGSRGVVCDRCLLGKVVWE RAAWLSSEPENI >ENSMUSP00000028294.6 pep:known chromosome:GRCm38:2:26352187:26360918:-1 gene:ENSMUSG00000026928.14 transcript:ENSMUST00000028294.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Card9 description:caspase recruitment domain family, member 9 [Source:MGI Symbol;Acc:MGI:2685628] MSDYENDDECWSTLESFRVKLISVIDPSRITPYLRQCKVLNPDDEEQVLSDPNLVIRKRK VGVLLDILQRTGHKGYVAFLESLELYYPQLYRKVTGKEPARVFSMIIDASGESGLTQLLM TEVMKLQKKVQDLTALLSSKDDFIKELRVKDSLLRKHQERVQRLKEECELSSAELKRCKD ENYELAMCLAHLSEEKGAALMRNRDLQLEVDRLRHSLMKAEDDCKVERKHTLKLRHAMEQ RPSQELLWELQQEKDLLQARVQELQVSVQEGKLDRNSPYIQVLEEDWRQALQEHQKQVST IFSLRKDLRQAETLRARCTEEKEMFELQCLALRKDAKMYKDRIEAILLQMEEVSIERDQA MASREELHAQCTQSFQDKDKLRKLVRELGEKADELQLQLFQTESRLLAAEGRLKQQQLDM LILSSDLEDSSPRNSQELSLPQDLEEDAQLSDKGVLADRESPEQPFMALNKEHLSLTHGM GPSSSEPPEKERRRLKESFENYRRKRALRKMQNSWRQGEGDRGNTTGSDNTDTEGS >ENSMUSP00000097876.3 pep:known chromosome:GRCm38:2:26352176:26359747:-1 gene:ENSMUSG00000026928.14 transcript:ENSMUST00000100303.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Card9 description:caspase recruitment domain family, member 9 [Source:MGI Symbol;Acc:MGI:2685628] MSDYENDDECWSTLESFRVKLISVIDPSRITPYLRQCKVLNPDDEEQVLSDPNLVIRKRK VGVLLDILQRTGHKGYVAFLESLELYYPQLYRKVTGKEPARVFSMIIDASGESGLTQLLM TEVMKLQKKVQDLTALLSSKDDFIKELRVKDSLLRKHQERVQRLKEECELSSAELKRCKD ENYELAMCLAHLSEEKGAALMRNRDLQLEVDRLRHSLMKAEDDCKVERKHTLKLRHAMEQ RPSQELLWELQQEKDLLQARVQELQVSVQEGKLDRNSPYIQVLEEDWRQALQEHQKQVST IFSLRKDLRQAETLRARCTEEKEMFELQCLALRKDAKMYKDRIEAILLQMEEVSIERDQA MASREELHAQCTQSFQDKDKLRKLVRELGEKADELQLQLFQTESRLLAAEGRLKQQQLDM LILSSDLEDSSPRNSQELSLPQDLEEDAQLSDKGVLADRESPEQPFMALNKEHLSLTHGM GPSSSEPPEKERRRLKESFENYRRKRALRKMQNSWRQGEGDRGNTTGSDNTDTEGS >ENSMUSP00000093917.1 pep:known chromosome:GRCm38:19:13445014:13445958:1 gene:ENSMUSG00000096320.1 transcript:ENSMUST00000096203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1471 description:olfactory receptor 1471 [Source:MGI Symbol;Acc:MGI:3031305] MENISEVTEFILAGLTDAPELQIPLFIIFTLIYLIALFGNLGMIMLILLDSRLHTPMYFF LCNLSLVDCVYASAITPKVMEGFLTGSKTISLNGCAAQMFFFVAFIAIESLILASMAYDR HAAVCKPLHYTTIMTSTTCILIVTCCYMCGILQSSVHVALAFCLSFCHSNVINHFFCDIP PLLDISCSDTHTNEITLLVLATLDVVFTLLVILNTYLLIFIAILRMRSAEAQRKAFSTCA SHLITVSIFFGSLIFMYLQPSFNHSMDTDKIASVFYTMVIPMLNPVVYSLRNKEVKNAFK KVVEKLMSSFHLVD >ENSMUSP00000091745.2 pep:known chromosome:GRCm38:11:54370652:54426790:1 gene:ENSMUSG00000020332.7 transcript:ENSMUST00000094193.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meikin description:meiotic kinetochore factor [Source:MGI Symbol;Acc:MGI:1922097] MDKIWHMGPRGDYTRKKRAGERLNLTPKPDLALPGRTEALPGLKGKGKEQGLRKITEKKE LSRLTGSSSQRPSLLSVTGGEHLQENSPGQETPEEKTPPCETVTDTFEMDSLLSSTELVS GPAEQDDFSSCLPSCSNAELHTESTDERGSSFPSPELFRGSDCLDWEHPKLEDYMFYKNS TLLDTSKAVVIEKAPQFANLSAVLSSSSKNYEKRHRKIGMTLAAQHLSPEPKYASNLASV VDNAASEVVFAEKTGPPTTEKTQKKPENESEDSGPLVQTKLSSGHPDNKALCSPLSSALE STAVRYTLLPQPLEPVLKKGCILPDKQSKALLTSTPSSDIAEFVIDLSPVQNVSFEELFP NVSNYVNSSEVVPVSSLQESSSNEFSPNTSEICCIIRSSPGTRQMRRKDPAVKNRCSPPK DVPLDIIMKTNGRT >ENSMUSP00000146531.1 pep:known chromosome:GRCm38:19:12332895:12333854:-1 gene:ENSMUSG00000109328.1 transcript:ENSMUST00000208398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1438-ps1 description:olfactory receptor 1438, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031272] MAGGRNSTVVTRFILLGFSDQPQMKIFLFMLFLGIYILTLAWNLSLITLIRMDSHLHTPM YFFLSNLSFLDICYSSSTAPKMLSDIVTDKNTISFLGCATQYFVFCGMGLTECLLLAAMA YDRYTAVCNPLLYMALMSHTLCLKLVAGAYMGGFLSSLIATCSIYQHDFCGPNIINHFFC DLPPVLALACSDIFTSQVVTFILGVIVGVMSVLVVLISYGYIIAAVLRINSAKGRTKAFS TSASHLTAVTLFYGSGLFMYMRPNSSYSLGQDKVASVFYAVVVPMMNPIIYSLRNKDIKN AVRKAVERDSMLSHGYSFF >ENSMUSP00000076707.2 pep:known chromosome:GRCm38:19:13453571:13454515:-1 gene:ENSMUSG00000095189.1 transcript:ENSMUST00000077501.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1472 description:olfactory receptor 1472 [Source:MGI Symbol;Acc:MGI:3031306] MENISEVTEFILVGITDAPELQIPLFIIFTLIYLIALFGNLGMIMLILLDSRLHTPMYFF LCNLSLVDCVYASAVTPKVMEGFLTGNKIISYNACAAQMFFFVAFIAIESLILASMAYDR HAAVCNPLHYTTIMTSTTCILIVTCCYMCGILQSSIHVALAFCLSFCNSNVINHFFCDIP PLLEISCSDTYTNEITVLILGTCDGILTLLVILNTYLLIFIAILRMRSVEAQRKAFSTCA SHLITVSIFFGSTLFMYLQPSSNHSMNTDKIASVFYTMVIPMLNPVVYSLRNKEVKNAFK KVVGKLMTSLQLVN >ENSMUSP00000112283.1 pep:known chromosome:GRCm38:16:84717576:84735742:-1 gene:ENSMUSG00000022889.7 transcript:ENSMUST00000116584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl39 description:mitochondrial ribosomal protein L39 [Source:MGI Symbol;Acc:MGI:1351620] MATAVGRLVLRRPGAGGGARWRFIATSPAAELSPTELTEMRNDLFNREKSRQLSLTPRTE KIEVKHVGKTDPGTVFVMNKNISTPYSCAMHLSEWYCSKSILALVDGQPWDMYKPLTKSC EIKFLTFKDPDPKEVNKAYWRSCAMMLGCVIERAFKDDYVVSLVRAPEVPVIAGAFCYDV TLDKRLDEWMPTKENLRSFTKDAHALIYRDLPFETLDVDARVALEIFQHNKYKVDFIEEK ASQNPERIVKLHRIGDFIDVSEGPLIPRTSVCFQYEVSAVHNLNPSQPNLIRRFQGLSLP THLRAQFTIWDKLVERSRKMVTEDEVRQTENTESTQ >ENSMUSP00000146862.1 pep:known chromosome:GRCm38:19:12387279:12395212:1 gene:ENSMUSG00000046650.2 transcript:ENSMUST00000208644.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1440 description:olfactory receptor 1440 [Source:MGI Symbol;Acc:MGI:3031274] MPGGRNSTVITKFILVGFSDFPKLKLVLFVIFLGSYLSTVVWNLGLIILIRIDPYLHTPM YFFLSNLSFLDFCYISSTTPKMLSGFFQKSKSISFVGCTMQYFIFSSLGLSECCLLAAMA YDRYAAICNPLLYTAIMSPSLCVHMVVGAYSTGLLGSLIQLCAILQLHFCGPNIINHFFC DLPQLLVLSCSETFPLQVLKFVIAVIFGVASVIVILISYGYIIGTILNISSVEGRSKAFN TCASHLTAVTLFFGSGLFVYMRPSSNSSQGYDKMASVFYTVVIPMLNPLIYSLRNKEIKD ALQRCKNKCFSQCHC >ENSMUSP00000054798.1 pep:known chromosome:GRCm38:19:12394265:12395212:1 gene:ENSMUSG00000046650.2 transcript:ENSMUST00000054567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1440 description:olfactory receptor 1440 [Source:MGI Symbol;Acc:MGI:3031274] MPGGRNSTVITKFILVGFSDFPKLKLVLFVIFLGSYLSTVVWNLGLIILIRIDPYLHTPM YFFLSNLSFLDFCYISSTTPKMLSGFFQKSKSISFVGCTMQYFIFSSLGLSECCLLAAMA YDRYAAICNPLLYTAIMSPSLCVHMVVGAYSTGLLGSLIQLCAILQLHFCGPNIINHFFC DLPQLLVLSCSETFPLQVLKFVIAVIFGVASVIVILISYGYIIGTILNISSVEGRSKAFN TCASHLTAVTLFFGSGLFVYMRPSSNSSQGYDKMASVFYTVVIPMLNPLIYSLRNKEIKD ALQRCKNKCFSQCHC >ENSMUSP00000079631.3 pep:known chromosome:GRCm38:7:99920254:99980448:-1 gene:ENSMUSG00000058761.5 transcript:ENSMUST00000080817.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf169 description:ring finger protein 169 [Source:MGI Symbol;Acc:MGI:1920257] MAAAGPSTRASSAAAAAALSRRGRRGRCDEMAAAKAGAPGPASSPALLVLRSAPRPEESG CTGCLETPGEVAALPCSHSRCRGCASRAAGPGCRRCRPRGSGWARRRARDDGQAAAELMG ERARRGQPEPCRPRRDGGAAASGPRPEPEPLAEPEFIFRTPIKLSKPGELSEEYGCLRKL RGEKLQEEKDCDDQIHKLLQEDSEMGKRKADEQKKRDEAVVLKTSLEQCPARLSDSENEE PSRGQMMQTHRSAFVSKNSSCSLAFLAGKLNTKVQRSQSCSDTVQDRVRSRLRTAPPNRA KITTITPGSTPIIGVLLSTQNNRCLSAPDLTIEKRLPFGSLSSLASLHKPERSISPESND SISEELNHFKPIVCSPCTPPKRLPDGRVLSPLIIKSTPRNLTRSLQKQTSYEASPRILKK WEQIFQERQIKKTLSKATLTSLAPEAGEEFPGSDTIHSSKERPSLAFNTRLSRVQVLSEC AGPTSTALECFPSVNQTKVEQDCVRKRSREFSLETCHSSEHGGASSGPSLEREQCEESGS TVDATLVKTCISTVMKTAAVNSLLPKNDVLGGVLKTKQQLKTLNHFDLGNGILVNSLGEE PIPSLRRGRKRRCKTKHLEQNGVKKLRPPSSDMDLAPKDPGLLEVGRKLQQEEEDQQLAL QSHRMFDSERRTMSRRKGSVDQYLLRSSSLAGAK >ENSMUSP00000049912.6 pep:known chromosome:GRCm38:5:116124641:116288985:-1 gene:ENSMUSG00000043913.14 transcript:ENSMUST00000050178.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc60 description:coiled-coil domain containing 60 [Source:MGI Symbol;Acc:MGI:2141043] MTKVPATKKLQKITSKKALWLFSSADQLTQQASDKTAKNSKYIDKEIANLKKDLMRSRFL IQCVKIGRGYFNILREENAMKKKQQLLQKLKEEELNKFQPAKKFSDIHCRDNLLATYDCE KLKKLEAGIIIRPFTPIHSCLMAPSLPESHVDPLFRQLCALHWLLEALTIDHTHHTMRPL IACWNPKDPGGSKSTIKKINKDKSMGQRWDHFVTAPKTKKYKAPAIRTAMASRKPSRRGS TLSLTRTSGGSSPQSSMMSVNPGSDEPMGSKDIEDNESSSTKPEEEILHLYLQKLLEMVR EDARRTILVESEIQKKAPSILSLVKQIKSEYGWKEWQTTHKSSERSSTTSAESHIQVIQK KSKSRVNRDIIYCKTGVCSNMRAKFFSVAQEAGFCLQDKMEILRKRQEERGLQKFHSFIV TSNFQKDITKMRHQVSIVKGDAEEIADHWYFDLLSKLPEDLKSFRPAKKILMKLQKFGEN LDLRIRPHVLLKVLQDLRIWELCSPDIAVAIEFVREHIIHMPQEDYINWLQSRVNIPFRQ RTILT >ENSMUSP00000083671.3 pep:known chromosome:GRCm38:5:116169225:116288963:-1 gene:ENSMUSG00000043913.14 transcript:ENSMUST00000086483.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc60 description:coiled-coil domain containing 60 [Source:MGI Symbol;Acc:MGI:2141043] MTKVPATKKLQKITSKKALWLFSSADQLTQQASDKTAKNSKYIDKEIANLKKDLMRSRFL IQCVKIGRGYFNILREENAMKKKQQLLQKLKEEELNKFQPAKKFSDIHCRDNLLATYDCE KLKKLEAGIIIRPFTPIHSCLMAPSLPESHVDPLFRQLCALHWLLEALTIDHTHHTMRPL IACWNPKRKLIMQDPDWDENNDRPTLL >ENSMUSP00000109889.1 pep:known chromosome:GRCm38:2:25466709:25470046:-1 gene:ENSMUSG00000015090.13 transcript:ENSMUST00000114251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgds description:prostaglandin D2 synthase (brain) [Source:MGI Symbol;Acc:MGI:99261] MAALRMLWMGLVLLGLLGFPQTPAQGHDTVQPNFQQDKFLGRWYSAGLASNSSWFREKKA VLYMCKTVVAPSTEGGLNLTSTFLRKNQCETKIMVLQPAGAPGHYTYSSPHSGSIHSVSV VEANYDEYALLFSRGTKGPGQDFRMATLYSRTQTLKDELKEKFTTFSKAQGLTEEDIVFL PQPDKCIQE >ENSMUSP00000015234.6 pep:known chromosome:GRCm38:2:25466709:25469842:-1 gene:ENSMUSG00000015090.13 transcript:ENSMUST00000015234.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgds description:prostaglandin D2 synthase (brain) [Source:MGI Symbol;Acc:MGI:99261] MAALRMLWMGLVLLGLLGFPQTPAQGHDTVQPNFQQDKFLGRWYSAGLASNSSWFREKKA VLYMCKTVVAPSTEGGLNLTSTFLRKNQCETKIMVLQPAGAPGHYTYSSPHSGSIHSVSV VEANYDEYALLFSRGTKGPGQDFRMATLYSRTQTLKDELKEKFTTFSKAQGLTEEDIVFL PQPDKCIQE >ENSMUSP00000109897.2 pep:known chromosome:GRCm38:2:25466709:25469749:-1 gene:ENSMUSG00000015090.13 transcript:ENSMUST00000114259.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgds description:prostaglandin D2 synthase (brain) [Source:MGI Symbol;Acc:MGI:99261] MAALRMLWMGLVLLGLLGFPQTPAQGHDTVQPNFQQDKFLGRWYSAGLASNSSWFREKKA VLYMCKTVVAPSTEGGLNLTSTFLRKNQCETKIMVLQPAGAPGHYTYSSPHSGSIHSVSV VEANYDEYALLFSRGTKGPGQDFRMATLYSRTQTLKDELKEKFTTFSKAQGLTEEDIVFL PQPDKCIQE >ENSMUSP00000100756.2 pep:known chromosome:GRCm38:X:31962044:31962821:1 gene:ENSMUSG00000095770.1 transcript:ENSMUST00000105124.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21637 description:predicted gene, 21637 [Source:MGI Symbol;Acc:MGI:5434992] MESSKLTKKRAGRKRQRSRSPALPKRNILGCRISHKWKEGDESITQWNGTVLDQVPVNPS LYLVKYDEIDAVHALELYKDKRVLSLKVIAKRVVSSGVTDSSFVDAIVGKEVNHLFEGEH GSKEEWRGMVLSQAPILDNSFYITYERDPILYTYQLLDDFKEGDLQIMEGISDPPSLDID LELVDGLIGKHVENTNDDGSKRDGLIIYQIETKPRVYLIKYEDDVHIHVTHLEKEF >ENSMUSP00000147143.1 pep:known chromosome:GRCm38:19:13470103:13471432:1 gene:ENSMUSG00000096273.2 transcript:ENSMUST00000207529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1474 description:olfactory receptor 1474 [Source:MGI Symbol;Acc:MGI:3031308] MIILILLDSRLHTPMYFFLCNLSVVDCVYASAITPKVIEGFLTGSKTISLNGCAAQMFFF VAFGAIESLILASMAYDRHAAVCKPLHYTTIMTSTTCILIVTWCYTCGILQS >ENSMUSP00000093916.1 pep:known chromosome:GRCm38:19:13470972:13471916:1 gene:ENSMUSG00000096273.2 transcript:ENSMUST00000096202.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1474 description:olfactory receptor 1474 [Source:MGI Symbol;Acc:MGI:3031308] MENISEVTEFILVGLTDAPELQIPLFIIFTLIYLIALFGNLGMIILILLDSRLHTPMYFF LCNLSVVDCVYASAITPKVIEGFLTGSKTISLNGCAAQMFFFVAFGAIESLILASMAYDR HAAVCKPLHYTTIMTSTTCILIVTWCYTCGILQSSVHVALAFSLSFCHSNVINHFFCDIP PLLDISCSDTHTNEITLLVLATLDLVFTLLVILNTYLLIFIAILRMRSAEAQRKAFSTCA SHLITVSIFFGSLIFMYLQPSSNHSMDTDKIASVFYTMVIPMLNPVVYSLRNKEVKNAFK KVVERLMSSFHLVH >ENSMUSP00000065213.4 pep:known chromosome:GRCm38:7:133883199:134225097:-1 gene:ENSMUSG00000054555.11 transcript:ENSMUST00000067680.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam12 description:a disintegrin and metallopeptidase domain 12 (meltrin alpha) [Source:MGI Symbol;Acc:MGI:105378] MAERPARRAPPARALLLALAGALLAPRAARGMSLWDQRGTYEVARASLLSKDPGIPGQSI PAKDHPDVLTVQLQLESRDLILSLERNEGLIANGFTETHYLQDGTDVSLTRNHTDHCYYH GHVQGDAASVVSLSTCSGLRGLIMFENKTYSLEPMKNTTDSYKLVPAESMTNIQGLCGSQ HNKSNLTMEDVSPGTSQMRARRHKRETLKMTKYVELVIVADNREFQRQGKDLEKVKQRLI EIANHVDKFYRPLNIRIVLVGVEVWNDIDKCSISQDPFTSLHEFLDWRKIKLLPRKSHDN AQLISGVYFQGTTIGMAPIMSMCTAEQSGGVVMDHSDSPLGAAVTLAHELGHNFGMNHDT LERGCSCRMAAEKGGCIMNPSTGFPFPMVFSSCSRKDLEASLEKGMGMCLFNLPEVKQAF GGRKCGNGYVEEGEECDCGEPEECTNRCCNATTCTLKPDAVCAHGQCCEDCQLKPPGTAC RGSSNSCDLPEFCTGTAPHCPANVYLHDGHPCQGVDGYCYNGICQTHEQQCVTLWGPGAK PAPGICFERVNSAGDPYGNCGKDSKSAFAKCELRDAKCGKIQCQGGASRPVIGTNAVSIE TNIPQQEGGRILCRGTHVYLGDDMPDPGLVLAGTKCAEGKICLNRRCQNISVFGVHKCAM QCHGRGVCNNRKNCHCEAHWAPPFCDKFGFGGSTDSGPIRQADNQGLTVGILVSILCLLA AGFVVYLKRKTLMRLLFTHKKTTMEKLRCVHPSRTPSGPHLGQAHHTPGKGLLMNRAPHF NTPKDRHSLKCQNMDISRPLDARAVPQLQSPQRVLLPLHQTPRAPSGPARPLPASPAVRQ AQGIRKPSPPQKPLPADPLSRTSRLTSALVRTPGQQEPGHRPAPIRPAPKHQVPRPSHNA YIK >ENSMUSP00000114874.1 pep:known chromosome:GRCm38:7:133886196:133961265:-1 gene:ENSMUSG00000054555.11 transcript:ENSMUST00000138363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam12 description:a disintegrin and metallopeptidase domain 12 (meltrin alpha) [Source:MGI Symbol;Acc:MGI:105378] TVNLCSRLFFEDHSDSPLGAAVTLAHELGHNFGMNHDTLERGCSCRMAAEKGGCIMNPST GFPFPMVFSSCSRKDLEASLEKGMGMCLFNLPEVKQAFGGRKCGNGYVEEGEECDCGEPE ECTNRCCNATTCTLKPDAVCAHGQCCEDCQLKPPGTACRGSSNSCDLPEFCTGTAPHCPA NVYLHDGHPCQGVDGYCYNGICQTHEQQCVTLWGPGAKPAPGICFERVNSAGDPYGNCGK DSKSAFAKCELRDAKCGKIQCQGGASRPVIGTNAVSIETNIPQQEGGRILCRGTHVYLGD DMPDPGLVLAGTKCAEGKICLNRRCQNISVFGVHKCAMQCHGRGVCNNRKNCHCEAHWAP PFCDKFGFGGSTDSGPIRQADNQGLTVGILVSILCLLAAGFVVYLKRKTLMRLLFTHKKT TMEKLRCVHPSRTPSGPHLGQAHHTPGKGLLMNRAPHFNTPKDRHSLKCQNMDISRPLDA RAVPQLQSPQRVLLPLHQTPRAPSGPARPLPASPAVRQAQGIRKPSPPQKPLPADPLSRT SRLTSALVRTPGQQEPGHRPAPIRPAPKHQVPRPSHNAYIK >ENSMUSP00000123161.1 pep:known chromosome:GRCm38:7:133975475:134232005:-1 gene:ENSMUSG00000054555.11 transcript:ENSMUST00000134504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam12 description:a disintegrin and metallopeptidase domain 12 (meltrin alpha) [Source:MGI Symbol;Acc:MGI:105378] MSLWDQRGTYEVARASLLSKDPGIPGQSIPAKDHPDVLTVQLQLESRDLILSLERNEGLI ANGFTETHYLQDGTDVSLTRNHTDHCYYHGHVQGDAASVVSLSTCSGLRGLIMFENKTYS LEPMKNTTDSYKLVPAESMTNIQGLCGSQHNKSNLTMEDVSPGTSQMRARRHKRETLKMT KYVELVIVA >ENSMUSP00000120094.1 pep:known chromosome:GRCm38:7:133981412:134232146:-1 gene:ENSMUSG00000054555.11 transcript:ENSMUST00000127524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam12 description:a disintegrin and metallopeptidase domain 12 (meltrin alpha) [Source:MGI Symbol;Acc:MGI:105378] MSLWDQRGTYEVARASLLSKDPGIPGQSIPAKDHPDVLTVQLQLESRDLILSLERNEGLI ANGFTETHYLQDGTDVSLTRNHTDHCYYHGHVQGDAASVVSLSTCSGLRGLIMFENKTYS LEPMKNTTDSYKLVPAESMTNIQGLCGSQHNKSNLTMEDVSPGTSQMR >ENSMUSP00000029684.8 pep:known chromosome:GRCm38:3:89177473:89182765:1 gene:ENSMUSG00000028049.15 transcript:ENSMUST00000029684.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp3 description:secretory carrier membrane protein 3 [Source:MGI Symbol;Acc:MGI:1346346] MAQSRDTGNPFPDSGELDNPFQDPAVIQHRPSQQYATLDVYNPFENREPPPAYEPPAPAP APLPPPSAPSVQSSRKLSPTEPRNYGSYSTQASAAAATAELLKKQEELNRKAEELDRRER ELQHVALGGAGTRQNNWPPLPSFCPVKPCFFQDISMEIPQEFQKTVSTMYYLWMCSTLAL LLNFFACLARFCVDTGSGSGFGLSMLWLLLFTPCSFVCWYRPMYKAFRSDSSFNFFVFFF IFFVQDVFFVLQAIGIPGWGFSGWVTALVVVGSKPAVAVLMLLVALLFTGIAVLGIVMLK RIHSLYRQTGASFQKAQQEFAAGVFSNPAVRTAAANAAAGAAENAFRAP >ENSMUSP00000112846.1 pep:known chromosome:GRCm38:3:89177490:89182765:1 gene:ENSMUSG00000028049.15 transcript:ENSMUST00000120697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp3 description:secretory carrier membrane protein 3 [Source:MGI Symbol;Acc:MGI:1346346] MAQSRDTGNPFPDSGELDNPFQDPAVIQHRPSQQYATLDVYNPFENREQPPPAYEPPAPA PAPLPPPSAPSVQSSRKLSPTEPRNYGSYSTQASAAAATAELLKKQEELNRKAEELDRRE RELQHVALGGAGTRQNNWPPLPSFCPVKPCFFQDISMEIPQEFQKTVSTMYYLWMCSTLA LLLNFFACLARFCVDTGSGSGFGLSMLWLLLFTPCSFVCWYRPMYKAFRSDSSFNFFVFF FIFFVQDVFFVLQAIGIPGWGFSGWVTALVVVGSKPAVAVLMLLVALLFTGIAVLGIVML KRIHSLYRQTGASFQKAQQEFAAGVFSNPAVRTAAANAAAGAAENAFRAP >ENSMUSP00000096540.4 pep:known chromosome:GRCm38:3:89177520:89182763:1 gene:ENSMUSG00000028049.15 transcript:ENSMUST00000098941.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp3 description:secretory carrier membrane protein 3 [Source:MGI Symbol;Acc:MGI:1346346] MAQSRDTGNPFPDSGELDNPFQDPAVIQHRPSQQYATLDVYNPFENREPPPAYEPPAPAP APLPPPSAPSVQSSRKLSPTEPRNYGSYSTQASAAAATAELLKKQEELNRKAEELDRRER ELQHVALGGAGTRQNNWPPLPSFCPVKPCFFQDISMEIPQEFQKTVSTMYYLWMCSTLAL LLNFFACLARFCVDTGSGSGFGLSMLWLLLFTPCSFVCWYRPMYKAFRGWVTALVVVGSK PAVAVLMLLVALLFTGIAVLGIVMLKRIHSLYRQTGASFQKAQQEFAAGVFSNPAVRTAA ANAAAGAAENAFRAP >ENSMUSP00000065363.7 pep:known chromosome:GRCm38:13:52967209:52981073:-1 gene:ENSMUSG00000056749.7 transcript:ENSMUST00000071065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfil3 description:nuclear factor, interleukin 3, regulated [Source:MGI Symbol;Acc:MGI:109495] MQLRKMQTIKKEPAPLDPTSSSDKMLLLNSALAEVAEDLASGEDLLLNEGSMGKNKSSAC RRKREFIPDEKKDAMYWEKRRKNNEAAKRSREKRRLNDLVLENKLIALGEENATLKAELL SLKLKFGLISSTAYAQEIQKLSNSTAVYFQDYQTSKAAVSSFVDEHEPAMVAGSCISVIK HSPQSSLSDVSEVSSVEHTQESPAQGGCRSPENKFPVIKQEPVELESFAREAREERGTYS TSIYQSYMGSSFSTYSHSPPLLQVHGSTSNSPRTSEADEGVVGKSSDGEDEQQVPKGPIH SPVELQRVHATVVKVPEVNPSALPHKLRIKAKAMQVKVEALDSEFEGMQKLSSPADAIAK RHFDLEKHGTSGMAHSSLPPFSVQVTNIQDWSLKSEHWHHKELSSKTQSSFKTGVVEVKD GGYKVSEAENLYLKQGIANLSAEVVSLKRFIATQPISASDSR >ENSMUSP00000046070.6 pep:known chromosome:GRCm38:6:54577604:54593177:-1 gene:ENSMUSG00000038074.16 transcript:ENSMUST00000046520.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp14 description:FK506 binding protein 14 [Source:MGI Symbol;Acc:MGI:2387639] MRFFLWNAILALWVTVLSGALIPEPEVKIEVLQKPFICHRKTKGGDLMLVHYEGYLEKDG SLFHSTHKHNNGQPVWFTLGILEVLKGWDQGLKGMCVGEKRKLTVPPALGYGKEGKGKIP PESTLIFNIDLLEIRNGPRSHESFQEMDLNDDWRLSKHEVKVYLQKEFEKHGAVVNESHH DALVEDIFDKEDEDKDGFISAREFTYVHDEL >ENSMUSP00000114521.1 pep:known chromosome:GRCm38:6:54577605:54593148:-1 gene:ENSMUSG00000038074.16 transcript:ENSMUST00000155047.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fkbp14 description:FK506 binding protein 14 [Source:MGI Symbol;Acc:MGI:2387639] MRFFLWNAILALWVTVLSGALIPEPEVKIEVLQKPFICHRKTKGGDLMLVHYEGYLEKDG SLFHSTHKHNNGQPVWFTLGILEVLKGWDQGLKGMCVGEKRKLTVPPALGYGKEGKVF >ENSMUSP00000112526.1 pep:known chromosome:GRCm38:6:54578562:54597308:-1 gene:ENSMUSG00000038074.16 transcript:ENSMUST00000117375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp14 description:FK506 binding protein 14 [Source:MGI Symbol;Acc:MGI:2387639] MCVGEKRKLTVPPALGYGKEGKGKIPPESTLIFNIDLLEIRNGPRSHESFQEMDLNDDWR LSKHEVKVYLQKEFEKHGAVVNESHHDALVEDIFDKEDEDKDGFISAREFTYVHDEL >ENSMUSP00000028938.6 pep:known chromosome:GRCm38:2:148871722:148875576:-1 gene:ENSMUSG00000027447.6 transcript:ENSMUST00000028938.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst3 description:cystatin C [Source:MGI Symbol;Acc:MGI:102519] MASPLRSLLFLLAVLAVAWAATPKQGPRMLGAPEEADANEEGVRRALDFAVSEYNKGSND AYHSRAIQVVRARKQLVAGVNYFLDVEMGRTTCTKSQTNLTDCPFHDQPHLMRKALCSFQ IYSVPWKGTHSLTKFSCKNA >ENSMUSP00000117672.1 pep:known chromosome:GRCm38:2:148872848:148875692:-1 gene:ENSMUSG00000027447.6 transcript:ENSMUST00000144845.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst3 description:cystatin C [Source:MGI Symbol;Acc:MGI:102519] MASPLRSLLFLLAVLAVAWAATPKQGPRMLGAPEEADANEEGVRRALDFAVSEYNKGSND AYHSRAIQVVRARKQLVAGVNYFLDVEMGRTTCTKSQTNLTD >ENSMUSP00000061963.4 pep:known chromosome:GRCm38:19:12422251:12423352:1 gene:ENSMUSG00000050815.5 transcript:ENSMUST00000059033.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1441 description:olfactory receptor 1441 [Source:MGI Symbol;Acc:MGI:3031275] MAVGRNISVVTNFILLGFLERPQLQIVLFVLFLGIYLVTLAGNLGLIVLIRMDSHLHSPM YFFLSNLSFVDVSYTSSIAPKMLCDFFREQKSITFIGCAIQLFFFVGMGGTECCLLAAMA YDRYVAISNPLLYPSLMSPTICVGMAITVYTGGFLTGLIQTSSIFRLHFCGPRVINHFFC DLPPLMSLSCSSTFFSQVVNFLVVCVVGGASALVVLVSYGYIIAAVMRIHSTHGQMKAFN TCASYLTTVILFYGSGLFSYLHSNAGYSQDKNKVVSMFYGAVIPMLNPIIYSLRNKEIKE ALKKLKKRKKQMSCLCAM >ENSMUSP00000115154.2 pep:known chromosome:GRCm38:4:12039363:12087938:-1 gene:ENSMUSG00000049488.14 transcript:ENSMUST00000131145.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem67 description:transmembrane protein 67 [Source:MGI Symbol;Acc:MGI:1923928] XMVTRTRPVAAMAVRSRSSSRTGTAYLLLVLCEVSWAQIFSFPFRRPETCDFNQYFDISA LSCAPCGANQRRDALGTSCVCLPGYHMISNNGGPSIICKKCPENMKGVTKDGWDCISCPS GLTAEGKCHCPTGHILVERNVSGSLLAQATCELCDESENSFTKANALGTRCVRCEPTFVN TSRSCSCSEPHTLVDYVSATQGIFINV >ENSMUSP00000103928.2 pep:known chromosome:GRCm38:4:12039645:12090020:-1 gene:ENSMUSG00000049488.14 transcript:ENSMUST00000108293.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem67 description:transmembrane protein 67 [Source:MGI Symbol;Acc:MGI:1923928] METTLPSGPDKPMHRLSAHAQSRPNQPPLGALNARAQTYCFGYSDWRVSADSPRRLLGSW RLRTQDMGTMVTRTRPVAAMAVRSRSSSRTGTAYLLLVLCEVSWAQIFSFPFRRPETCDF NQYFDISALSCAPCGANQRRDALGTSCVCLPGYHMISNNGGPSIICKKCPENMKGVTKDG WDCISCPSGLTAEGKCHCPTGHILVERNVSGSLLAQATCELCDESENSFTKANALGTRCV RCEPTFVNTSRSCSCSEPHTLTGGLCFSNTGNFHQRVISTARYGELGMSLNSEWFAKYLQ ATAAACWTHANLTSCQALGNMCVMNMNSYDSTTLDACRLFHYIFESTAGLISVHSVPFWR QNLPWLFYGDQPGLAPQVLSTTPLPTNFSFKGQNQLKFVAASYDIRGNFIKWQPLEGGVL QLCPDTERRLDAAYAFGTTYQQNCEISLSKLLVDFSSPVFYDVYLEYTDEEQHRYLWPIP VLNLNLQHNKLFVNQDSSSSKWLLTRRIFLVDAVSGRENDLGNQPRVIRVATQISLSIRL VPNTKNGNIYTPLLTIAYSDIDIKNAHSQSAKISFSVKYEMNQGDASVHTDIALGVLGGL AVLSSLLKTAGWKRRIGSPMIDLQTVMKFLLYYAGDLANVFFIITVGTGLYWLIFFKAQK SVSVLLPMPVQEERFVTYVGCAFAMKALQFLHKFISQISIDIFFIDWERPKGKVLKAVEG EGGVRSATVPVSIWRTYFVANEWNEIQTVRKINPLFQVLTTLFFLEVVGFKNLALMDSSS SLSRNPSDYTAPYSRILRYAVATAIWLVIGIIQVVFFAAFYERFIEDKIRQFVDLCSMSN VSVFLLSHRCFGYYIHGRSVHGHADTNMEEMNMNLKREAENLCSQRGLVPNTDGQTFQIA VSSQMRQHYDRIHETLTRRNGPARLLSSSGSTFEQSIKAYHAMNKFLGSFIDHVHKEMDY FIKDKLLLERILGMEFMEPMEKSIFYNDEGHSFSSVLYYGNEATLLIFDLLFFCVVDLAC QDFVLASFLTYLQQEIFRFIRNTVGQKNLATKTLVDERFLI >ENSMUSP00000052644.3 pep:known chromosome:GRCm38:4:12039355:12087957:-1 gene:ENSMUSG00000049488.14 transcript:ENSMUST00000050686.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem67 description:transmembrane protein 67 [Source:MGI Symbol;Acc:MGI:1923928] MGTMVTRTRPVAAMAVRSRSSSRTGTAYLLLVLCEVSWAQIFSFPFRRPETCDFNQYFDI SALSCAPCGANQRRDALGTSCVCLPGYHMISNNGGPSIICKKCPENMKGVTKDGWDCISC PSGLTAEGKCHCPTGHILVERNVSGSLLAQATCELCDESENSFTKANALGTRCVRCEPTF VNTSRSCSCSEPHTLTGGLCFSNTGNFHQRVISTARYGELGMSLNSEWFAKYLQATAAAC WTHANLTSCQALGNMCVMNMNSYDSTTLDACRLFHYIFESTAGLISVHSVPFWRQNLPWL FYGDQPGLAPQVLSTTPLPTNFSFKGQNQLKFVAASYDIRGNFIKWQPLEGGVLQLCPDT ERRLDAAYAFGTTYQQNCEISLSKLLVDFSSPVFYDVYLEYTDEEQHRYLWPIPVLNLNL QHNKLFVNQDSSSSKWLLTRRIFLVDAVSGRENDLGNQPRVIRVATQISLSIRLVPNTKN GNIYTPLLTIAYSDIDIKNAHSQSAKISFSVKYEMNQGDASVHTDIALGVLGGLAVLSSL LKTAGWKRRIGSPMIDLQTVMKFLLYYAGDLANVFFIITVGTGLYWLIFFKAQKSVSVLL PMPVQEERFVTYVGCAFAMKALQFLHKFISQISIDIFFIDWERPKGKVLKAVEGEGGVRS ATVPVSIWRTYFVANEWNEIQTVRKINPLFQVLTTLFFLEVVGFKNLALMDSSSSLSRNP SDYTAPYSRILRYAVATAIWLVIGIIQVVFFAAFYERFIEDKIRQFVDLCSMSNVSVFLL SHRCFGYYIHGRSVHGHADTNMEEMNMNLKREAENLCSQRGLVPNTDGQTFQIAVSSQMR QHYDRIHETLTRRNGPARLLSSSGSTFEQSIKAYHAMNKFLGSFIDHVHKEMDYFIKDKL LLERILGMEFMEPMEKSIFYNDEGHSFSSVLYYGNEATLLIFDLLFFCVVDLACQDFVLA SFLTYLQQEIFRFIRNTVGQKNLATKTLVDERFLI >ENSMUSP00000048053.6 pep:known chromosome:GRCm38:5:36966104:36989205:-1 gene:ENSMUSG00000039474.13 transcript:ENSMUST00000043964.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfs1 description:Wolfram syndrome 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1328355] MNSGTPPPSPSGPPPPPAPQPQARARLNATASLEQDKIEPPRAPRPQADPSAGRSAGEAA APEPRAPQTGSREETDRAGPMKADVEIPFEEVLEKAKAGDPKAQTEVGKHYLRLANDADE ELNSCSAVAWLILAAKQGRREAVKLLRRCLADRKGITSENEAEVKQLSSETDLERAVRKA ALVMYWKLNPKKKKQVAVSELLENVGQVNEQDGGAQPGPVPKSLQKQRRMLERLVSSESK NYIALDDFVELTKKYAKGIIPTNLFLQDEDEDEDELAGKSPEDLPLRQKVVKYPLHAIME IKEYLIDVASKAGMHWLSTIVPTHHINALIFFFIISNLTIDFFAFFIPLVVFYLSFVSMV ICTLKVFQDSKAWENFRTLTDLLLRFEPNLDVEQAEVNFGWNHLEPYIHFLLSVVFVIFS FPLASKDCIPCSELAVISTFFTVTSYMSLSSSAEPYTRRALVTEVAAGLLSLLPTVPVDW RFLKVLGQTFFTVPVGHFIILNVSLPCLLYVYLFYLFFRMAQLRNFKGTYCYLVPYLVCF MWCELSVVILLQSTGLGLVRASIGYFLFLFALPILVAGLALMGTVQFARWFLSLDLTKIM VTTVICGVPLLFRWWTKANFSVMGMVKSLTKSSMVKLILVWLTAILLFCWFYVYRSEGMK VYNSTLTWQQYGFLCGPRAWKETNMARTQILCSHLEGHRVTWTGRFKYVRVTEIDNSAES AINMLPFFLGDWMRCLYGEAYPSCSSGNTSTAEEELCRLKQLAKHPCHIKKFDRYKFEIT VGMPFGTNGNRGHEEDDITKDIVLRASSEFKDVLLNLRQGSLIEFSTILEGRLGSKWPVF ELKAISCLNCMTQLSPARRHVKIEQDWRSTVHGALKFAFDFFFFPFLSAA >ENSMUSP00000132404.1 pep:known chromosome:GRCm38:5:36966107:36988933:-1 gene:ENSMUSG00000039474.13 transcript:ENSMUST00000166339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfs1 description:Wolfram syndrome 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1328355] MNSGTPPPSPSGPPPPPAPQPQARARLNATASLEQDKIEPPRAPRPQADPSAGRSAGEAA APEPRAPQTGSREETDRAGPMKADVEIPFEEVLEKAKAGDPKAQTEVGKHYLRLANDADE ELNSCSAVAWLILAAKQGRREAVKLLRRCLADRKGITSENEAEVKQLSSETDLERAVRKA ALVMYWKLNPKKKKQVAVSELLENVGQVNEQDGGAQPGPVPKSLQKQRRMLERLVSSESK NYIALDDFVELTKKYAKGIIPTNLFLQDEDEDEDELAGKSPEDLPLRQKVFQDSKAWENF RTLTDLLLRFEPNLDVEQAEVNFGWNHLEPYIHFLLSVVFVIFSFPLASKDCIPCSELAV ISTFFTVTSYMSLSSSAEPYTRRALVTEVAAGLLSLLPTVPVDWRFLKVLGQTFFTVPVG HFIILNVSLPCLLYVYLFYLFFRMAQLRNFKGTYCYLVPYLVCFMWCELSVVILLQSTGL GLVRASIGYFLFLFALPILVAGLALMGTVQFARWFLSLDLTKIMVTTVICGVPLLFRWWT KANFSVMGMVKSLTKSSMVKLILVWLTAILLFCWFYVYRSEGMKVYNSTLTWQQYGFLCG PRAWKETNMARTQILCSHLEGHRVTWTGRFKYVRVTEIDNSAESAINMLPFFLGDWMRCL YGEAYPSCSSGNTSTAEEELCRLKQLAKHPCHIKKFDRYKFEITVGMPFGTNGNRGHEED DITKDIVLRASSEFKDVLLNLRQGSLIEFSTILEGRLGSKWPVFELKAISCLNCMTQLSP ARRHVKIEQDWRSTVHGALKFAFDFFFFPFLSAA >ENSMUSP00000125779.1 pep:known chromosome:GRCm38:5:36968501:36976956:-1 gene:ENSMUSG00000039474.13 transcript:ENSMUST00000167937.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wfs1 description:Wolfram syndrome 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1328355] XADVEIPFEEVLEKAKAGDPKAQTEVGKHYLRLANDADEELNSCSAVAWLILAAKQGRRE AVKLLRRCLADRKGITSENEAEVKQLSSETDLERAVRKAALVMYWKLNPKKKKQVAVSEL LENVGQVNEQDGGAQPGPVPKSLQKQRRMLERLVSSE >ENSMUSP00000041082.9 pep:known chromosome:GRCm38:17:33929582:33937687:1 gene:ENSMUSG00000041354.15 transcript:ENSMUST00000047503.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgl2 description:ral guanine nucleotide dissociation stimulator-like 2 [Source:MGI Symbol;Acc:MGI:107483] MLPRPLRLLLDTTPPGGVVLSSFRSRDPEEGGDPGGRAVGGGQEEEDEEEEEASVSVWDE EEDGATFTVTSRQYRPLDPLAPLPPPRSSRRLRAGTLEALVRHLLDARTAGADMMFTPAL LATHRAFTSTPALFGLVADRLEALESHPPGELERTTGVAISVLSTWLASHPEDFGSEVKG QLDRLESFLLRTGYAAREGVVGGSADLIRNLRARVDPRAPDLPKPLALPGDSPADPTDVL VFLADHLAEQLTLLDAELFLNLIPSQCLGGLWGHRDRPGHSHLCPSVRATVTQFNKVAGA VVSSVLGATSIGEGPREVTVRPLRPPQRARLLEKWIRVAEECRLLRNFSSVYAVVSALQS SPIHRLRAAWGETTRDSLRVFSSLCQIFSEEDNYSQSRELLMQEVKPQPPVEPHSKKAPR SGFRGGGVVPYLGTFLKDLVMLDAASKDELENGYINFDKRRKEFAILSELLRLQKECRGY DLRPNSDIQQWLQGLQPLTEAQSHRVSCEVEPPGTSDSPAARTPRPTLVITQWTEVLGSV GGPTPLVSWDRPSVGGDEVPGTPAPLLTRLAQHMKWPSVSSLDSALESSPSLHSPADPGH LSPPASSPRPSRGHRRSASCGSPLSGNTGEGTSRSAGCGGGVSGPGSSDCRIIRVQMELG EDGSVYKSILVTSQDKAPSVISRVLKKNNRDSAVASEFELVQLLPGDRELTIPHSANVFY AMDGASHDFLLRQRRRPSAATPGSHSGPSASGTPPSEGGGGSFPRIKATGRKIARALF >ENSMUSP00000134312.1 pep:known chromosome:GRCm38:17:33933925:33937224:1 gene:ENSMUSG00000041354.15 transcript:ENSMUST00000173284.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgl2 description:ral guanine nucleotide dissociation stimulator-like 2 [Source:MGI Symbol;Acc:MGI:107483] XKDLVMLDAASKDELENGYINFDKRRKKECRGYDLRPNSDIQQWLQGLQPLTEAQSHRVS CEVEPPGTSDSPAARTPRPTLVITQWTEVLGSVGGPTPLVSWDRPSVGGDEVPGTPAPLL TRLAQHMKWPSVSSLDSALESSPSLHSPADPGHLSPPASSPRPSRGHRRSASCGSPLSGN TGEGTSRSAGCGGGVSGPGSSDCRIIRVQMELGEDGSVYKSILVTSQDKAPSVISRVLKK NNRDSAVASEFELVQLLPGDRELTIPHSANVFYAMDGASHDFLLRQRRRPSAATPGSHSG PSASGTPPSEGGGGS >ENSMUSP00000100634.4 pep:known chromosome:GRCm38:X:32726109:32728051:1 gene:ENSMUSG00000099711.1 transcript:ENSMUST00000105017.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21951 description:predicted gene, 21951 [Source:MGI Symbol;Acc:MGI:5439402] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDMKLM YLLALSSDLLVMQKEIDVYTTLKIWMILYLNPCWNGTMKQLLQHANNWLSTHMAYIDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYNEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000048453.1 pep:known chromosome:GRCm38:11:5058128:5060385:1 gene:ENSMUSG00000034209.4 transcript:ENSMUST00000037218.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasl10a description:RAS-like, family 10, member A [Source:MGI Symbol;Acc:MGI:1922918] MGGSLRVAVLGAPGVGKTAIIRQFLFGDYPERHRPTDSPCLYRPAVLLDGAVYDLSIRDG DVAGPGSSPRSLEEWPDPKDWSLQDTDAFVLVYDICSPDSFDYVKALRQRIAENRPAGAP EAPILVVGNKRDRQRLRFGPRRALATLVRRGWRCGYLECSAKYNWHVLRLFRELLRCALV RTRPAHPTLRLQGALHPARCSLM >ENSMUSP00000018971.8 pep:known chromosome:GRCm38:7:141949751:142004246:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000018971.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] MTSTGKDGGGAQHAQYVGPYRLEKTLGKGQTGLVKLGIHCVTCQKVAIKIVNREKLSESV LMKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEAR KFFRQIISALDFCHSHSICHRDLKPENLLLDERNNIRIADFGMASLQVGDSLLETSCGSP HYACPEVIRGEKYDGRKADVWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFI PPDCQSLLRGMIEVDAARRLTLEHIQKHIWYIGGKNEPEPEQPIPRKVQIRSLPSLEDID PDVLDSMHSLGCFRDRNKLLQDLLSEEENQEKMIYFLLLDRKERYPSHEDEDLPPRNEID PPRKRVDSPMLNRHGKRRPERKSMEVLSVTDGGSPVPARRAIEMAQHGQRSRSISGASSG LSTSPLSSPRVTPHPSPRGSPLPTPKGTPVHTPKESPAGTPNPTPPSSPSVGGVPWRTRL NSIKNSFLGSPRFHRRKLQVPTPEEMSNLTPESSPELAKKSWFGNFINLEKEEQIFVVIK DKPLSSIKADIVHAFLSIPSLSHSVISQTSFRAEYKATGGPAVFQKPVKFQVDITYTEGG EAQKENGIYSVTFTLLSGPSRRFKRVVETIQAQLLSTHDQPSAQHLSGIIPKS >ENSMUSP00000133438.1 pep:known chromosome:GRCm38:7:141949846:141999172:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000172652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] MTSTGKDGGGAQHAQYVGPYRLEKTLGKGQTGLVKLGIHCVTCQKVAIKIVNREKLSESV LMKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEAR KFFRQIISALDFCHSHSICHRDLKPENLLLDERNNIRIADFGMASLQVGDSLLETSCGSP HYACPEVIRGEKYDGRKADVWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFI PPDCQSLLRGMIEVDAARRLTLEHIQKHIWYIGGKNEPEPEQPIPRKVQIRSLPSLEDID PDVLDSMHSLGCFRDRNKLLQDLLSEEENQEKMIYFLLLDRKERYPSHEDEDLPPRNEID PPRKRVDSPMLNRHGKRRPERKSMEVLSVTDGGSPVPARRAIEMAQHGQSKAVFSKSLDI AEAHPQFSKEDRSRSISGASSGLSTSPLSSPRVTPHPSPRGSPLPTPKGTPVHTPKESPA GTPNPTPPSSPSVGGVPWRTRLNSIKNSFLGSPRFHRRKLQVPTPEEMSNLTPESSPELA KKSWFGNFINLEKEEQIFVVIKDKPLSSIKADIVHAFLSIPSLSHSVISQTSFRAEYKAT GGPAVFQKPVKFQVDITYTEGGEAQKENGIYSVTFTLLSGPSRRFKRVVETIQAQLLSTH DQPSAQHLSEPPPPAPGLSWGAGLKGQKVATSYESSL >ENSMUSP00000134289.1 pep:known chromosome:GRCm38:7:141950007:142002929:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000174405.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] MTSTGKDGGGAQHAQYVGPYRLEKTLGKGQTGLVKLGIHCVTCQKVAIKIVNREKLSESV LMKVEREIAILKLIEHPHVLKLHDVYENKKYL >ENSMUSP00000101610.2 pep:known chromosome:GRCm38:7:141950083:142000950:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000105989.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] MTSTGKDGGGAQHAQYVGPYRLEKTLGKGQTGLVKLGIHCVTCQKVAIKIVNREKLSESV LMKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEAR KFFRQIISALDFCHSHSICHRDLKPENLLLDERNNIRIADFGMASLQVGDSLLETSCGSP HYACPEVIRGEKYDGRKADVWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFI PPDCQSLLRGMIEVDAARRLTLEHIQKHIWYIGGKNEPEPEQPIPRKVQIRSLPSLEDID PDVLDSMHSLGCFRDRNKLLQDLLSEEENQEKMIYFLLLDRKERYPSHEDEDLPPRNEID PPRKRVDSPMLNRHGKRRPERKSMEVLSVTDGGSPVPARRAIEMAQHGQRSRSISGASSG LSTSPLSSPRVTPHPSPRGSPLPTPKGTPVHTPKESPAGTPNPTPPSSPSVGGVPWRTRL NSIKNSFLGSPRFHRRKLQVPTPEEMSNLTPESSPELAKKSWFGNFINLEKEEQIFVVIK DKPLSSIKADIVHAFLSIPSLSHSVISQTSFRAEYKATGGPAVFQKPVKFQVDITYTEGG EAQKENGIYSVTFTLLSGPSRRFKRVVETIQAQLLSTHDQPSAQHLSEPPPPAPGLSWGA GLKGQKVATSYESSL >ENSMUSP00000074969.5 pep:known chromosome:GRCm38:7:141950086:142002232:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000075528.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] MTSTGKDGGGAQHAQYVGPYRLEKTLGKGQTGLVKLGIHCVTCQKVAIKIVNREKLSESV LMKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEAR KFFRQIISALDFCHSHSICHRDLKPENLLLDERNNIRIADFGMASLQVGDSLLETSCGSP HYACPEVIRGEKYDGRKADVWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFI PPDCQSLLRGMIEVDAARRLTLEHIQKHIWYIGGKNEPEPEQPIPRKVQIRSLPSLEDID PDVLDSMHSLGCFRDRNKLLQDLLSEEENQEKMIYFLLLDRKERYPSHEDEDLPPRNEID PPRKRVDSPMLNRHGKRRPERKSMEVLSVTDGGSPVPARRAIEMAQHGQRSRSISGASSG LSTSPLSSPRVTPHPSPRGSPLPTPKGTPVHTPKESPAGTPNPTPPSSPSVGGVPWRTRL NSIKNSFLGSPRFHRRKLQVPTPEEMSNLTPESSPELAKKSWFGNFINLEKEEQIFVVIK DKPLSSIKADIVHAFLSIPSLSHSVISQTSFRAEYKATGGPAVFQKPVKFQVDITYTEGG EAQKENGIYSVTFTLLSGPSRRFKRVVETIQAQLLSTHDQPSAQHLSDTTNCMEVMTGRL SKCDEKNGQAAQAPSTPAKRSAHGPLGDSAAAGPGGDTEYPMGKDMAKMGPPAARREQP >ENSMUSP00000134201.1 pep:known chromosome:GRCm38:7:141950086:142004247:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000174499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] MTSTGKDGGGAQHAQYVGPYRLEKTLGKGQTGLVKLGIHCVTCQKVAIKIVNREKLSESV LMKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEAR KFFRQIISALDFCHSHSICHRDLKPENLLLDERNNIRIADFGMASLQVGDSLLETSCGSP HYACPEVIRGEKYDGRKADVWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFI PPDCQSLLRGMIEVDAARRLTLEHIQKHIWYIGGKNEPEPEQPIPRKVQIRSLPSLEDID PDVLDSMHSLGCFRDRNKLLQDLLSEEENQEKMIYFLLLDRKERYPSHEDEDLPPRNEID PPRKRVDSPMLNRHGKRRPERKSMEVLSVTDGGSPVPARRAIEMAQHGQRSRSISGASSG LSTSPLSSPRVTPHPSPRGSPLPTPKGTPVHTPKESPAGTPNPTPPSSPSVGGVPWRTRL NSIKNSFLGSPRFHRRKLQVPTPEEMSNLTPESSPELAKKSWFGNFINLEKEEQIFVVIK DKPLSSIKADIVHAFLSIPSLSHSVISQTSFRAEYKATGGPAVFQKPVKFQVDITYTEGG EAQKENGIYSVTFTLLSGPSRRFKRVVETIQAQLLSTHDQPSAQHLSDTTNCMEVMTGRL SKCGTPLSNFFDVIKQLFSDEKNGQAAQAPSTPAKRSAHGPLGDSAAAGPGGDTEYPMGK DMAKMGPPAARREQP >ENSMUSP00000133750.1 pep:known chromosome:GRCm38:7:141981537:142002147:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000172967.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] XLVKLGIHCVTCQKVAIKIVNREKLSESVLMKVEREIAILKLIEHPHVLKLHDVYENKKY LYLVLEHVSGGELFDYLVKKGRLTPKEARKFFRQIISALDFCHSHSICHRDLKPENLLLD ERNNIRIADFGMASLQVGDSLLETSCGSPHYACPEVIRGEKYDGRKADVWSCGVILFALL VGALPFDDDNLRQLLEKVKRGVFHMPHFIPPDCQSLLRGMIEVDAARRLTLEHIQKHIWY IGGKNEPEPEQPIPRKVQIRSLPSLEDIDPDVLDSMHSLGCFRDRNKLLQDLLSEEENQE KMIYFLLLDRKERYPSHEDEDLPPRNEIDPPRKRVDSPMLNRHGKRRPERKSMEVLSVTD GGSPVPARRAIEMAQHGQRSRSISGASSGLSTSPLSSPRVTPHPSPRGSPLPTPKGTPVH TPKESPAGTPNPTPPSSPSVGGVPWRTRLNSIKNSFLGSPRFHRRKLQVPTPEEMSNLTP ESSPELAKKSWFGNFINLEKEEQIFVVIKDKPLSSIKADIVHAFLSIPSLSHSVISQTSF RAEYKATGGPAVFQKPVKFQVDITYTEGGEAQKENGIYSVTFTLLSEPPPPAPGLSWGAG LKGQKVATSYESSL >ENSMUSP00000134153.1 pep:known chromosome:GRCm38:7:141984849:142002147:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000173924.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] XHRDLKPENLLLDERNNIRIADFGMASLQVGDSLLETSCGSPHYACPEVIRGEKYDGRKA DVWSCGVILFALLVGALPFDDDNLRQLLEKLEHIQKHIWYIGGKNEPEPEQPIPRKVQIR SLPSLEDIDPDVLDSMHSLGCFRDRNKLLQDLLSEEENQEKMIYFLLLDRKERYPSHEDE DLPPRNEIDPPRKRVDSPMLNRHGKRRPERKSMEVLSVTDGGSPVPARRAIEMAQHGQRS RSISGASSGLSTSPLSSPRVTPHPSPRGSPLPTPKGTPVHTPKESPAGTPNPTPPSSPSV GGVPWRTRLNSIKNSFLGSPRFHRRKLQVPTPEEMSNLTPESSPELAKKSWFGNFINLEK EEQIFVVIKDKPLSSIKADIVHAFLSIPSLSHSVISQTSFRAEYKATGGPAVFQKPVKFQ VDITYTEGGEAQKENGIYSVTFTLLSGPSRRFKRVVETIQAQLLSTHDQPSAQHLSEPPP PAPGLSWGAGLKGQKVATSYESSL >ENSMUSP00000134170.1 pep:known chromosome:GRCm38:7:141984889:142003171:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000173705.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] RNNIRIADFGMASLQVGDSLLETSCGSPHYACPEVIRGEKYDGRKADVWSCGVILFALLV GALPFDDDNLRQLLEKVKRGVFHMPHFIPPDCQSLLRGMIEVDAARRLTRWQE >ENSMUSP00000134310.1 pep:known chromosome:GRCm38:7:141985710:142002147:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000174309.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] GEKYDGRKADVWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFIPPDCQSLLR GMIEVDAARRLTLEHIQKHIWYIGGKNEPEPEQPIPRKVQIRSLPSLEDIDPDVLDSMHS LGCFRDRNKLLQDLLSEEENQEKMIYFLLLDRKERYPSHEDEDLPPRNEIDPPRKRVDSP MLNRHGKRRPERKSMEVLSVTDGGSPVPARRAIEMAQHGQRSRSISGASSGLSTSPLSSP RVTPHPSPRGSPLPTPKGTPVHTPKESPAGTPNPTPPSSPSVGGVPWRTRLNSIKNSFLG SPRFHRRKLQVPTPEEMSNLTPESSPELAKKSWFGNFINLEKEEQIFVVIKDKPLSSIKA DIVHAFLSIPSLSHSVISQTSFRAEYKATGGPAVFQKPVKFQVDITYTEGGEAQKENGIY SVTFTLLSGPSRRFKRVVETIQAQLLSTHDQPSAQHLSEPPPPAPGLSWGAGLKGQKVAT SYESSL >ENSMUSP00000134566.1 pep:known chromosome:GRCm38:7:141993154:142002150:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000174237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] PKGTPVHTPKESPAGTPNPTPPSSPSVGGVPWRTRLNSIKNSFLGSPRFHRRKLQVPTPE EMSNLTPESSPELAKKSWFGNFINLEKEEQIFVVIKDKPLSSIKADIVHAFLSIPSLSHS VISQTSFRAEYKATGGPAVFQKPVKFQVDITYTEGGEAQKENGIYSVTFTLLSGPSRRFK RVVETIQAQLLSTHDQPSAQHLSDEKNGQAAQAPSTPAKRSAHGPLGDSAAAG >ENSMUSP00000133868.1 pep:known chromosome:GRCm38:7:141993210:142002476:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000173313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] XTPPSSPSVGGVPWRTRLNSIKNSFLGSPRFHRRKLQVPTPEEMSNLTPESSPELAKKSW FGNFINLEKEEQIFVVIKDKPLSSIKADIVHAFLSIPSLSHSVISQTSFRAEYKATGGPA VFQKPVKFQVDITYTEGGEAQKENGIYSVTFTLLSGPSRRFKRVVETIQAQLLSTHDQPS AQHLSDTTNCMEVMTGRLSK >ENSMUSP00000133296.1 pep:known chromosome:GRCm38:7:141998661:142001441:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000173941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] XETIQAQLLSTHDQPSAQHLSDTTNCMEVMTGRLSKCGKNSSCSLGP >ENSMUSP00000077330.5 pep:known chromosome:GRCm38:7:141949751:141999005:1 gene:ENSMUSG00000053046.14 transcript:ENSMUST00000078200.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk2 description:BR serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1923020] MTSTGKDGGGAQHAQYVGPYRLEKTLGKGQTGLVKLGIHCVTCQKVAIKIVNREKLSESV LMKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEAR KFFRQIISALDFCHSHSICHRDLKPENLLLDERNNIRIADFGMASLQVGDSLLETSCGSP HYACPEVIRGEKYDGRKADVWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFI PPDCQSLLRGMIEVDAARRLTLEHIQKHIWYIGGKNEPEPEQPIPRKVQIRSLPSLEDID PDVLDSMHSLGCFRDRNKLLQDLLSEEENQEKMIYFLLLDRKERYPSHEDEDLPPRNEID PPRKRVDSPMLNRHGKRRPERKSMEVLSVTDGGSPVPARRAIEMAQHGQRSRSISGASSG LSTSPLSSPRVTPHPSPRGSPLPTPKGTPVHTPKESPAGTPNPTPPSSPSVGGVPWRTRL NSIKNSFLGSPRFHRRKLQVPTPEEMSNLTPESSPELAKKSWFGNFINLEKEEQIFVVIK DKPLSSIKADIVHAFLSIPSLSHSVISQTSFRAEYKATGGPAVFQKPVKFQVDITYTEGG EAQKENGIYSVTFTLLSGPSRRFKRVVETIQAQLLSTHDQPSAQHLSEPPPPAPGLSWGA GLKGQKVATSYESSL >ENSMUSP00000023294.8 pep:known chromosome:GRCm38:15:89582533:89591923:-1 gene:ENSMUSG00000022621.16 transcript:ENSMUST00000023294.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabl2 description:RAB, member RAS oncogene family-like 2 [Source:MGI Symbol;Acc:MGI:1915958] MAGDRNRHCELEQEKYDTHENVKIICLGDSAVGKSKLMERFLMDGFQPQQLSTYALTLYK HTATVDGKTILVDFWDTAGQERFQSMHASYYHKAHACIMVFDVQRKITYKNLGTWYAELR EFRPEIPCILVANKIDADIQMTQKNFSFAKKFSLPLYFVSAADGTNVVKLFNDAIRLAVA YKESSQDFMDEVLQELENFKLEQKEEDTSGQEQSDTTKSPSPS >ENSMUSP00000091598.5 pep:known chromosome:GRCm38:15:89582537:89591864:-1 gene:ENSMUSG00000022621.16 transcript:ENSMUST00000094056.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabl2 description:RAB, member RAS oncogene family-like 2 [Source:MGI Symbol;Acc:MGI:1915958] MAGDRNRHCELEQEKYDTHENVKIICLGDSAVGKSKYLMSRGKSPIRTWVPADIQMTQKN FSFAKKFSLPLYFVSAADGTNVVKLFNDAIRLAVAYKESSQDFMDEVLQELENFKLEQKE EDTSGQEQSDTTKSPSPS >ENSMUSP00000129253.1 pep:known chromosome:GRCm38:15:89583041:89590399:-1 gene:ENSMUSG00000022621.16 transcript:ENSMUST00000172053.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabl2 description:RAB, member RAS oncogene family-like 2 [Source:MGI Symbol;Acc:MGI:1915958] MAGDRNRHCELEQEKYDTHENVKIICLGDSAVGKSKLMERFLMDGFQPQQLSTYALTLYK HTATVDGKTILVDFWDTAGQERFQSMHASYYHKAHACIMQTYR >ENSMUSP00000129660.1 pep:known chromosome:GRCm38:15:89583355:89591890:-1 gene:ENSMUSG00000022621.16 transcript:ENSMUST00000169466.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabl2 description:RAB, member RAS oncogene family-like 2 [Source:MGI Symbol;Acc:MGI:1915958] MAGDRNRHCELEQEKYDTHENVKIICLGDSAVGKSNRHTDDSKEFQFCQEVLPAPVLCLG C >ENSMUSP00000128799.1 pep:known chromosome:GRCm38:15:89583383:89591839:-1 gene:ENSMUSG00000022621.16 transcript:ENSMUST00000171580.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabl2 description:RAB, member RAS oncogene family-like 2 [Source:MGI Symbol;Acc:MGI:1915958] MAGDRNRHCELEQEKYDTHENVKIICLGDSAVGKSKLLGYSRPGAVPEHACFLLPQGSRL HHGI >ENSMUSP00000129221.1 pep:known chromosome:GRCm38:15:89583398:89584317:-1 gene:ENSMUSG00000022621.16 transcript:ENSMUST00000171826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabl2 description:RAB, member RAS oncogene family-like 2 [Source:MGI Symbol;Acc:MGI:1915958] XEIPCILVANKIDADIQMTQKNFSFAKKFSLPLYFVSAADGTNVVKNFKLEQKEEDT >ENSMUSP00000126182.1 pep:known chromosome:GRCm38:15:89583644:89591886:-1 gene:ENSMUSG00000022621.16 transcript:ENSMUST00000170126.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabl2 description:RAB, member RAS oncogene family-like 2 [Source:MGI Symbol;Acc:MGI:1915958] MAGDRNRHCELEQEKYDTHENVKIICLGDSAVGKSKLMERFLMDGFQPQQLSTYALTLYK HTATVDGKTILVGI >ENSMUSP00000104567.2 pep:known chromosome:GRCm38:2:177831791:177840318:-1 gene:ENSMUSG00000095362.7 transcript:ENSMUST00000108939.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14325 description:predicted gene 14325 [Source:MGI Symbol;Acc:MGI:3702875] MDLITYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHLQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHTGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKAFARSCHLRIHNRTHAGEKQYECNQCGKAFKRRSDLQIHKRT HTGEKPYECKQCGKAFVSSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHIHERRHTGDKPYE CKQCGKAFAVFYTLQMHKRTHTGDKPYECKQCGKAFTVIYTLQMHKQTHTGEKPYECKQC GKTFSQSRHLRIHKRTHTGEKPYECNQCGKAFARSGDQQEHKRTHTGEKPYECNQCGKAF IRRRVLQIHKRTHTGEKPYECKQCGKAFASSSDLQKHKRTHSGEKPYECKQCGKAFAQSS HLRIHKQTHTGERPYECN >ENSMUSP00000118192.1 pep:known chromosome:GRCm38:2:177834229:177840336:-1 gene:ENSMUSG00000095362.7 transcript:ENSMUST00000150650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14325 description:predicted gene 14325 [Source:MGI Symbol;Acc:MGI:3702875] MDLITYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHLQTSR SHGRYFSSAT >ENSMUSP00000140685.1 pep:known chromosome:GRCm38:2:177831791:177834646:-1 gene:ENSMUSG00000095362.7 transcript:ENSMUST00000188914.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14325 description:predicted gene 14325 [Source:MGI Symbol;Acc:MGI:3702875] DLITYDDVHVNFTQEEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHLQTSRS HGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHTGEKHHDCNQCGKDFRTWNVLQIH KRTHTGEKPYDCKQCGKAFARSCHLRIHNRTHAGEKQYECNQCGKAFKRRSDLQIHKRTH TGEKPYECKQCGKAFVSSGELQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGEK PYECNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHIHERRHTGDKPYEC KQCGKAFAVFYTLQMHKRTHTGDKPYECKQCGKAFTVIYTLQMHKQTHTGEKPYECKQCG KTFSQSRHLRIHKRTHTGEKPYECNQCGKAFARSGDQQEHKRTHTGEKPYECNQCGKAFI RRRVLQIHKRTHTGEKPYECKQCGKAFASSSDLQKHKRTHSGEKPYECKQCGKAFAQSSH LRIHKQTHTGERPYECN >ENSMUSP00000126261.1 pep:known chromosome:GRCm38:14:4632352:4640801:1 gene:ENSMUSG00000094258.7 transcript:ENSMUST00000163738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8159 description:predicted gene 8159 [Source:MGI Symbol;Acc:MGI:3647882] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000127748.1 pep:known chromosome:GRCm38:14:4632410:4640802:1 gene:ENSMUSG00000094258.7 transcript:ENSMUST00000170546.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8159 description:predicted gene 8159 [Source:MGI Symbol;Acc:MGI:3647882] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQSEIVQQKAERGTDHDMISLKEKCRRRSTECAQEIHHC CLSSLITMAVMGCMLLLYFGFFGMNRP >ENSMUSP00000137635.1 pep:known chromosome:GRCm38:14:4632415:4635401:1 gene:ENSMUSG00000094258.7 transcript:ENSMUST00000179231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8159 description:predicted gene 8159 [Source:MGI Symbol;Acc:MGI:3647882] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000029905.1 pep:known chromosome:GRCm38:4:6265612:6275631:-1 gene:ENSMUSG00000028240.2 transcript:ENSMUST00000029905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp7a1 description:cytochrome P450, family 7, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:106091] MMSISLIWGIAVVVSCCIWFIIGIRRRKVGEPPLDNGLIPYLGCALKFGSNPLEFLRAKQ RKHGHVFTCKLMGKYVHFITNSLSYHKVLCHGKYFDWKKFHYTTSAKAFGHRSIDPSDGN TTENINKTFNKTLQGDALCSLSEAMMQNLQSVMRPPGLPKSKSAVWVTEGMYAFCYRVMF EAGYLTLFGKDISKTDSQRAFIQNNLDSFKQFDQVFPALVAGVPIHLFKTAHKARERLAE SLKHKNLYMRDQVSELIRLRMFLNDTLSTFDDMEKAKTHLVILWASQANTIPATFWSLFQ MIRSPEAMKAASEEVNGALQSAGQELSSGGNAIYLDQEQLNNLPVLDSIIKEALRLSSAS LNIRTAKEDFTLHLEDGSYNIRKDDIIALYPQLMHLDPEIYPDPLTFKYDRYLDESGKAK TTFYRNGNKLKYFYMPFGSGATICPGRLFAVQEIKQFLILMLSYFELELVESHTKCPPLD QSRAGLGILPPLNDIEFKYKLKH >ENSMUSP00000107072.3 pep:known chromosome:GRCm38:2:91035620:91045729:1 gene:ENSMUSG00000002104.11 transcript:ENSMUST00000111445.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapsn description:receptor-associated protein of the synapse [Source:MGI Symbol;Acc:MGI:99422] MGQDQTKQQIEKGLQLYQSNQTEKALQVWMKVLEKGSDLVGRFRVLGCLVTAHSEMGRYK EMLKFAVVQIDTARGLEDADFLLESYLNLARSNEKLCEFHKTISYCKTCLGLPGTRAGAQ LGGQVSLSMGNAFLGLSLFQKALESFEKALRYAHNNDDTMLECRVCCSLGSFYAQVKDYE KALFFPCKAAELVNDYGKGWSLKYRAMSQYHMAVAYRLLGHLGSAMECCEESMKIALQHG DRPLQALCLLCFADIHRSRGDLELSQLKLHCLSESIYRSKGLQRELRTHVVRFHECVEET ELYCGLCGESIGERNSRLQALPCSHIFHLRCLQNNGTRSCPNCRRSSMKPGFV >ENSMUSP00000107073.3 pep:known chromosome:GRCm38:2:91035627:91045726:1 gene:ENSMUSG00000002104.11 transcript:ENSMUST00000111446.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapsn description:receptor-associated protein of the synapse [Source:MGI Symbol;Acc:MGI:99422] MGQDQTKQQIEKGLQLYQSNQTEKALQVWMKVLEKGSDLVGRFRVLGCLVTAHSEMGRYK EMLKFAVVQIDTARGLEDADFLLESYLNLARSNEKLCEFHKTISYCKTCLGLPGTRAGAQ LGGQVSLSMGNAFLGLSLFQKALESFEKALRYAHNNDDTMLECRVCCSLGSFYAQVKESM KIALQHGDRPLQALCLLCFADIHRSRGDLETAFPRYDSAMSIMTEIGNRLGQVHVLLGVA KCWMARKVQDKALDAIEKAQDLAEEVGNKLSQLKLHCLSESIYRSKGLQRELRTHVVRFH ECVEETELYCGLCGESIGERNSRLQALPCSHIFHLRCLQNNGTRSCPNCRRSSMKPGFV >ENSMUSP00000054150.5 pep:known chromosome:GRCm38:2:91035641:91045729:1 gene:ENSMUSG00000002104.11 transcript:ENSMUST00000050323.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapsn description:receptor-associated protein of the synapse [Source:MGI Symbol;Acc:MGI:99422] MGQDQTKQQIEKGLQLYQSNQTEKALQVWMKVLEKGSDLVGRFRVLGCLVTAHSEMGRYK EMLKFAVVQIDTARGLEDADFLLESYLNLARSNEKLCEFHKTISYCKTCLGLPGTRAGAQ LGGQVSLSMGNAFLGLSLFQKALESFEKALRYAHNNDDTMLECRVCCSLGSFYAQVKDYE KALFFPCKAAELVNDYGKGWSLKYRAMSQYHMAVAYRLLGHLGSAMECCEESMKIALQHG DRPLQALCLLCFADIHRSRGDLETAFPRYDSAMSIMTEIGNRLGQVHVLLGVAKCWMARK VQDKALDAIEKAQDLAEEVGNKLSQLKLHCLSESIYRSKGLQRELRTHVVRFHECVEETE LYCGLCGESIGERNSRLQALPCSHIFHLRCLQNNGTRSCPNCRRSSMKPGFV >ENSMUSP00000131137.1 pep:known chromosome:GRCm38:5:11845539:11850352:1 gene:ENSMUSG00000094036.1 transcript:ENSMUST00000168154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6465 description:predicted gene 6465 [Source:MGI Symbol;Acc:MGI:3642949] ARDRRKDAGLPSESNEGRRRWTWRIWRARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQ LRNEQRDHLIDFKESSNYNRTKPTQKKNPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQ ELNKWIDYFTNLHSQLLMEKNLKMPVTQNQKNKEVDIDWALIEKYLVDLNLNGRTGADQQ P >ENSMUSP00000130037.1 pep:known chromosome:GRCm38:13:59532043:59585211:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000170520.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNI >ENSMUSP00000022040.6 pep:known chromosome:GRCm38:13:59449538:59557289:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000022040.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGGGRRASFLVAKGGSQILLQLLMN ASKDSPPHEEVMVQTHSILAKIGPKDKKFGVKARVNGALTVTLNLVKQHFQNYRLVLPCL QLLRVYSTNSVNSVSLGKNGVVELMFKIIGPFSKKNSGLMKVALDTLAALLKSKTNARRA VDRGYVQVLLTIYVDWHRHDNRHRNMLIRKGILQSLKSVTNIKLGRKAFIDANGMKILYN TSQECLAVRTLDPLVNTSSLIMRKCFPKNRLPLPTIKSSFHFQLPIIPVTGPVAQLYSLP PEVDDVVDESDDNDDIDLEVENELENEDDLDQSFKNDDIETDINKLRPQQVPGRTIEELK MYEHLFPELVDDFQDYELISKEPKPFVFEGKARGPIVVPTAGEEVPGNSGSVKKGVVMKE RASPKGEEAKEDPKGHDRTLPQQLGGQSRVAPSAHSFNNDLVKALDRITLQNVPSQVASG LNAGMRKDFGLPLTVLSCTKACPHVAKCGSTLFEGRTVHLGKLCCTGVETEDDEDTESHS STEQAPSVEASDGPTLHDPDLYIEIVKNTKSVPEYSEVAYPDYFGHIPPPFKEPILERPY GVQRTKIAQDIERLIHQNDIIDRVVYDLDNPTYTTPEEGDTLKFNSKFESGNLRKVIQIR KSEYDLILNSDINSNHYHQWFYFEVSGMRPGVAYRFNIINCEKSNSQFNYGMQPLMYSVQ EALNARPWWIRMGTDICYYKNHFSRSSVAAGGQKGKSYYTITFTVNFPHKDDVCYFAYHY PYTYSTLQMHLQKLESAHNPQQIYFRKDVLCETLSGNICPLVTITAMPESNYYEHICQFR TRPYIFLSARVHPGETNASWVMKGTLEYLMSNSPTAQSLRESYIFKIVPMLNPDGVINGN HRCSLSGEDLNRQWQSPNPELHPTIYHAKGLLQYLAAVKRLPLVYCDYHGHSRKKNVFMY GCSIKETVWHTHDNSASCDIVEDMGYRTLPKILSHIAPAFCMSSCSFVVEKSKESTARVV VWREIGVQRSYTMESTLCGCDQGRYKGLQIGTRELEEMGAKFCVGLLRLKRLTSSLEYNL PSNLLDFENDLIESSCKVTSPTTYVLDEDEPRFLEEVDYSAESNDELDVELAENTGDYEP SAQEEALSDSEVSRTHLI >ENSMUSP00000127460.1 pep:known chromosome:GRCm38:13:59531905:59558894:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000168821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGEF >ENSMUSP00000130030.1 pep:known chromosome:GRCm38:13:59531905:59557300:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000167096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGEF >ENSMUSP00000132697.1 pep:known chromosome:GRCm38:13:59493410:59557294:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000171606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGGGRRASFLVAKGGSQILLQLLMN ASKDSPPHEEVMVQTHSILAKIGPKDKKFGVKARVNGALTVTLNLVKQHFQNYRLVLPCL QLLRVYSTNSVNSVSLGKNGVVELMFKIIGPFSKKNSGLMKVALDTLAALLKSKTNARRA VDRGYVQVLLTIYVDWHRHDNRHRNMLIRKGILQSLKSVTNIKLGRKAFIDANGMKILYN TSQECLAVRTLDPLVNTSSLIMRKCFPKNRLPLPTIKSSFHFQLPIIPVTGPVAQLYSLP PEVDDVVDESDDNDDIDLEVENELENEDDLDQSFKNDDIETDINKLRPQQVPGRTIEELK MYEHLFPELVDDFQDYELISKEPKPFVFEGKARGPIVVPTAGEEVPGNSGSVKKGVVMKE RASPKGEEAKEDPKGHDRTLPQQLGGQSRVAPSAHSFNNDLVKALDRITLQNVPSQVASG LNAGMRKDFGLPLTVLSCTKACPHVAKCGSTLFEGRTVHLGKLCCTGVETEDDEDTESHS STEQAPSVEASDGPTLHDPDLYIEIVKNTKSVPEYSEVAYPDYFGHIPPPFKEPILERPY GVQRTKIAQDIERLIHQNDIIDRVVYDLDNPTYTTPEEGDTLKFNSKFESGNLRKVIQIR KSEYDLILNSDINSNHYHQWFYFEVSGMRPGVAYRFNIINCEKSNSQFNYDGEETCYKMI VVSTICCKD >ENSMUSP00000105456.2 pep:known chromosome:GRCm38:13:59493400:59557286:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000109830.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGGGRRASFLVAKGGSQILLQLLMN ASKDSPPHEEVMVQTHSILAKIGPKDKKFGVKARVNGALTVTLNLVKQHFQNYRLVLPCL QLLRVYSTNSVNSVSLGKNGVVELMFKIIGPFSKKNSGLMKVALDTLAALLKSKTNARRA VDRGYVQVLLTIYVDWHRHDNRHRNMLIRKGILQSLKSVTNIKLGRKAFIDANGMKILYN TSQECLAVRTLDPLVNTSSLIMRKCFPKNRLPLPTIKSSFHFQLPIIPVTGPVAQLYSLP PEVDDVVDESDDNDDIDLEVENELENEDDLDQSFKNDDIETDINKLRPQQVPGRTIEELK MYEHLFPELVDDFQDYELISKEPKPFVFEGKARGPIVVPTAGEEVPGNSGSVKKGVVMKE RASPKGEEAKEDPKGHDRTLPQQLGGQSRVAPSAHSFNNDLVKALDRITLQNVPSQVASG LNAGMRKDFGLPLTVLSCTKACPHVAKCGSTLFEGRTVHLGKLCCTGVETEDDEDTESHS STEQAPSVEASDGPTLHDPDLYIEIVKNTKSVPEYSEVAYPDYFGHIPPPFKEPILERPY GVQRTKIAQDIERLIHQNDIIDRVVYDLDNPTYTTPEEGDTLKFNSKFESGNLRKVIQIR KSEYDLILNSDINSNHYHQWFYFEVSGMRPGVAYRFNIINCEKSNSQFNYDGEETCYKMI VVSTICCKD >ENSMUSP00000129135.1 pep:known chromosome:GRCm38:13:59512384:59557311:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000167593.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKRKNKKGNDNQRFYRHGSSVVNIGEHERSSDCT >ENSMUSP00000127600.1 pep:known chromosome:GRCm38:13:59480581:59557295:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000165477.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGGGRRASFLVAKGGSQILLQLLMN ASKDSPPHEEVMVQTHSILAKIGPKDKKFGVKARVNGALTVTLNLVKQHFQNYRLVLPCL QLLRVYSTNSVNSVSLGKNGVVELMFKIIGPFSKKNSGLMKDKRQESGGQRVRSSASNHL CRLAPA >ENSMUSP00000132854.1 pep:known chromosome:GRCm38:13:59449880:59556922:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000169745.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGGGRRASFLVAKGGSQILLQLLMN ASKDSPPHEEVMVQTHSILAKIGPKDKKFGVKARVNGALTVTLNLVKQHFQNYRLVLPCL QLLRVYSTNSVNSVSLGKNGVVELMFKIIGPFSKKNSGLMKDKRQESGGQRVRSSASNHL CRLAPA >ENSMUSP00000128589.1 pep:known chromosome:GRCm38:13:59449880:59557286:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000170555.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGGGRRASFLVAKGGSQILLQLLMN ASKDSPPHEEVMVQTHSILAKIGPKDKKFGVKARVNGALTVTLNLVKQHFQNYRLVLPCL QLLRVYSTNSVNSVSLGKNGVVELMFKIIGPFSKKNSGLMKVALDTLAALLKSKTNARRA VDRGYVQVLLTIYVDWHRHDNRHRNMLIRKGILQSLKSVTNIKLGRKAFIDANGMKILYN TSQECLAVRTLDPLVNTSSLIMRKCFPKNRLPLPTIKSSFHFQLPIIPVTGPVAQLYSLP PEVDDVVDESDDNDDIDLEVENELENEDDLDQSFKNDDIETDINKLRPQQVPGRTIEELK MYEHLFPELVDDFQDYELISKEPKPFVFEGKARGPIVVPTAGEEVPGNSGSVKKGVVMKE RASPKGEEAKEDPKGHDRTLPQQLGGQSRVAPSAHSFNNDLVKALDRITLQNVPSQVASG LNAGMRKDFGLPLTVLSCTKACPHVAKCGSTLFEGRTVHLGKLCCTGVETEDDEDTESHS STEQAPSVEASDGPTLHDPDLYIEIVKNTKSVPEYSEVAYPDYFGHIPPPFKEPILERPY GVQRTKIAQDIERLIHQNDIIDRVVYDLDNPTYTTPEEGDTLKFNSKFESGNLRKVIQIR KSEYDLILNSDINSNHYHQWFYFEVSGMRPGVAYRFNIINCEKSNSQFNYEITSHEAQLP QADRRASPTTPSPSP >ENSMUSP00000130939.1 pep:known chromosome:GRCm38:13:59453850:59544439:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000164215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGGGRRASFLVAKGGSQILLQLLMN ASKDSPPHEEVMVQTHSILAKIGPKDKKFGVKARVNGALTVTLNLVKQHFQNYRLVLPCL QLLRVYSTNSVNSVSLGKNGVVELMFKIIGPFSKKNSGLMKVALDTLAALLKSKTNARRA VDRGYVQVLLTIYVDWHRHDNRHRNMLIRKGILQSLKSVTNIKLGRKAFIDANGMKILYN TSQECLAVRTLDPLVNTSSLIMRKCFPKNRLPLPTIKSSFHFQLPIIPVTGPVAQLYSLP PEVDDVVDESDDNDDIDLEVENELENEDDLDQSFKNDDIETDINKLRPQQVPGRTIEELK MYEHLFPELVDDFQDYELISKEPKPFVFEGKARGPIVVPTAGEEVPGNSGSVKKGVVMKE RASPKGEEAKEDPKGHDRTLPQQLGGQSRVAPSAHSFNNDLVKALDRITLQNVPSQVASG LNAGMRKDFGLPLTVLSCTKACPHVAKCGSTLFEGRTVHLGKLCCTGVETEDDEDTESHS STEQAPSVEASDGPTLHDPDLYIEIVKNTKSVPEYSEVAYPDYFGHIPPPFKEPILERPY GVQRTKIAQDIERLIHQNDIIDRVVYDLDNPTYTTPEEGDTLKFNSKFESGNLRKVIQIR KSEYDLILNSDINSNHYHQWFYFEVSGMRPGVAYRFNIINCEKSNSQFNYGMQPLMYSVQ EALNARPWWIRMGTDICYYKNHFSRSSVAAGGQKGKSYYTITFTVNFPHKDDVCYFAYHY PYTYSTLQMHLQKLESAHNPQQIYFRKDVLCETLSGNICPLVTITAMPESNYYEHICQFR TRPYIFLSARVHPGETNASWVMKGTLEYLMSNSPTAQSLRESYIFKIVPMLNPDGVINGN HRCSLSGEDLNRQWQSPNPELHPTIYHAKGLLQYLAAVKRLPLVYCDYHGHSRKKNVFMY GCSIKETVWHTHDNSASCDIVEDMGYRTLPKILSHIAPAFCMSSCSFVVEKSKESTARVV VWREIGVQRSYTMESTLCGCDQGRYKGLQIGTRELEEMGAKFCVGLLRLKRLTSSLEYNL PSNLLDFENDLIESSCKVTRTRGSSELQLFPAVL >ENSMUSP00000126238.1 pep:known chromosome:GRCm38:13:59449540:59533190:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000163149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] XLLSTLENTKDLQTVLNILSILIELVSSGGGRRASFLVAKGGSQILLQLLMNASKDSPPH EEVMVQTHSILAKIGPKAVNSVSLGKNGVVELMFKIIGPFSKKNSGLMKVALDTLAALLK SKTNARRAVDRGYVQVLLTIYVDWHRHDNRHRNMLIRKGILQSLKSVTNIKLGRKAFIDA NGMKILYNTSQECLAVRTLDPLVNTSSLIMRKCFPKNRLPLPTIKSSFHFQLPIIPVTGP VAQLYSLPPEVDDVVDESDDNDDIDLEVENELENEDDLDQSFKNDDIETDINKLRPQQVP GRTIEELKMYEHLFPELVDDFQDYELISKEPKPFVFEGKARGPIVVPTAGEEVPGNSGSV KKGVVMKERASPKGEEAKEDPKGHDRTLPQQLGGQSRVAPSAHSFNNDLVKALDRITLQN VPSQVASGLNAGMRKDFGLPLTVLSCTKACPHVAKCGSTLFEGRTVHLGKLCCTGVETED DEDTESHSSTEQAPSVEASDGPTLHDPDLYIEIVKNTKSVPEYSEVAYPDYFGHIPPPFK EPILERPYGVQRTKIAQDIERLIHQNDIIDRVVYDLDNPTYTTPEEGDTLKFNSKFESGN LRKVIQIRKSEYDLILNSDINSNHYHQWFYFEVSGMRPGVAYRFNIINCEKSNSQFNYAP PLTFWMKMNLGSLKKLITVQKAMMS >ENSMUSP00000129686.1 pep:known chromosome:GRCm38:13:59531182:59557347:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000166585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGGGRRAS >ENSMUSP00000128552.1 pep:known chromosome:GRCm38:13:59531913:59556919:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000170378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGEF >ENSMUSP00000129846.1 pep:known chromosome:GRCm38:13:59517682:59557289:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000165598.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQDLKMLRLM SCSPASAQLWH >ENSMUSP00000131627.1 pep:known chromosome:GRCm38:13:59528471:59557279:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000165370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMT TKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSDKKFGVKARVNGALTV >ENSMUSP00000131322.1 pep:known chromosome:GRCm38:13:59536251:59557037:-1 gene:ENSMUSG00000021557.14 transcript:ENSMUST00000169434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtpbp1 description:ATP/GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:2159437] MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQS >ENSMUSP00000077963.2 pep:known chromosome:GRCm38:7:108522905:108523967:-1 gene:ENSMUSG00000058014.3 transcript:ENSMUST00000078933.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr502 description:olfactory receptor 502 [Source:MGI Symbol;Acc:MGI:3030336] MAFLEDGNHTAVTGFILLGLTDDPVLRVVLFVIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASADIGYSSSVTPNMLVNFLVERNTISYLGCGIQLGSAVFFGTVECFLLAA MAYDRFIAICSPLLYSNKMSTQVCVQLLVGSYIGGFLNASSFTLSFFSLVFCGPNRVNHF FCDFAPLVKLSCSDVSVPAVVPSFTAGSIIIVTIFVIAVSYIYILITILKMRSTEGRQKA FSTCTSHLTAVTLFYGTITFIYVMPKSSYSTDQNKVVSVFYMVVVPMLNPLIYSLRNKEI KGALKRQLAKNTFS >ENSMUSP00000132382.1 pep:known chromosome:GRCm38:14:4664192:4670850:1 gene:ENSMUSG00000090872.8 transcript:ENSMUST00000163525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3239 description:predicted gene 3239 [Source:MGI Symbol;Acc:MGI:3781417] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSMELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLMVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGNDLPQREVLEEEH >ENSMUSP00000133081.2 pep:known chromosome:GRCm38:14:4665094:4669788:1 gene:ENSMUSG00000090872.8 transcript:ENSMUST00000169675.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3239 description:predicted gene 3239 [Source:MGI Symbol;Acc:MGI:3781417] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSMELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLMVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGNDLPQREVLEEEH >ENSMUSP00000123990.2 pep:known chromosome:GRCm38:10:111985455:112002621:-1 gene:ENSMUSG00000056888.11 transcript:ENSMUST00000162508.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glipr1 description:GLI pathogenesis-related 1 (glioma) [Source:MGI Symbol;Acc:MGI:1920940] MQVILAVIVWMASSVSSSSFTASTLPDITNEDFIKECVQVHNQLRSKVSPPARNMLYMSW DPKLAQIAKAWTKSCEFKHNPQLHSRIHPNFTALGENIWLGSLSIFSVSSAISAWYEEIK HYDFSTRKCRHVCGHYTQVVWADSYKLGCAVQLCPNGANFICDYGPAGNYPTWPYKQGAT CSDCPKDDKCLNSLCINPRRDQVSRYYSVDYPDWPIYLRNRYTSLFLIAKSVLLLLSVII TIWVKHKYPNLVLLD >ENSMUSP00000134094.1 pep:known chromosome:GRCm38:10:111985460:111993488:-1 gene:ENSMUSG00000056888.11 transcript:ENSMUST00000161870.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glipr1 description:GLI pathogenesis-related 1 (glioma) [Source:MGI Symbol;Acc:MGI:1920940] DFSTRKCRHVCGHYTQVVWADSYKLGCAVQLCPNGANFICDYGPAGNYPTWPYKQGATCS DCPKDDKCLNSLCINPRRDQVSRMLLLCRLSRLAYIPA >ENSMUSP00000074359.5 pep:known chromosome:GRCm38:10:111985448:111997264:-1 gene:ENSMUSG00000056888.11 transcript:ENSMUST00000074805.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glipr1 description:GLI pathogenesis-related 1 (glioma) [Source:MGI Symbol;Acc:MGI:1920940] MQVILAVIVWMASSVSSSSFTASTLPDITNEDFIKECVQVHNQLRSKVSPPARNMLYMSW DPKLAQIAKAWTKSCEFKHNPQLHSRIHPNFTALGENIWLGSLSIFSVSSAISAWYEEIK HYDFSTRKCRHVCGHYTQVVWADSYKLGCAVQLCPNGANFICDYGPAGNYPTWPYKQGAT CSDCPKDDKCLNSLCINPRRDQVSRYYSVDYPDWPIYLRNRYTSLFLIAKSVLLLLSVII TIWVKHKYPNLVLLD >ENSMUSP00000063734.6 pep:known chromosome:GRCm38:17:6738041:6782784:-1 gene:ENSMUSG00000052397.8 transcript:ENSMUST00000064234.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ezr description:ezrin [Source:MGI Symbol;Acc:MGI:98931] MPKPINVRVTTMDAELEFAIQPNTTGKQLFDQVVKTIGLREVWYFGLQYVDNKGFPTWLK LDKKVSAQEVRKENPVQFKFRAKFYPEDVAEELIQDITQKLFFLQVKDGILSDEIYCPPE TAVLLGSYAVQAKFGDYNKEMHKSGYLSSERLIPQRVMDQHKLSRDQWEDRIQVWHAEHR GMLKDSAMLEYLKIAQDLEMYGINYFEIKNKKGTDLWLGVDALGLNIYEKDDKLTPKIGF PWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILQLCMGNHELYMRRRKPDTI EVQQMKAQAREEKHQKQLERQQLETEKKRRETVEREKEQMLREKEELMLRLQDYEQKTKR AEKELSEQIEKALQLEEERRRAQEEAERLEADRMAALRAKEELERQAQDQIKSQEQLAAE LAEYTAKIALLEEARRRKEDEVEEWQHRAKEAQDDLVKTKEELHLVMTAPPPPPPPVYEP VNYHVQEGLQDEGAEPMGYSAELSSEGILDDRNEEKRITEAEKNERVQRQLLTLSNELSQ ARDENKRTHNDIIHNENMRQGRDKYKTLRQIRQGNTKQRIDEFEAM >ENSMUSP00000134351.1 pep:known chromosome:GRCm38:1:40429570:40446723:1 gene:ENSMUSG00000026069.15 transcript:ENSMUST00000174335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rl1 description:interleukin 1 receptor-like 1 [Source:MGI Symbol;Acc:MGI:98427] MIDRQRMGLWALAILTLPMYLTVTEGSKSSWGLENEALIVRCPQRGRSTYPVEWYYSDTN ESIPTQKRNRIFVSRDRLKFLPARVEDSGIYACVIRSPNLNKTGYLNVTIHKKPPSCNIP DYLMYSTVRGSDKNFKITCPTIDLYNWTAPVQWFKNCKALQEPRFRAHRSYLFIDNVTHD DEGDYTCQFTHAENGTNYIVTATRSFTVEEKGFSMFPVITNPPYNHTMEVEIGKPASIAC SACFGKGSHFLADVLWQINKTVVGNFGEARIQEEEGRNESSSNDMDCLTSVLRITGVTEK DLSLEYDCLALNLHGMIRHTIRLRRKQPSKECPSHIA >ENSMUSP00000134225.1 pep:known chromosome:GRCm38:1:40436589:40441407:1 gene:ENSMUSG00000026069.15 transcript:ENSMUST00000173881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rl1 description:interleukin 1 receptor-like 1 [Source:MGI Symbol;Acc:MGI:98427] MIDRQRMGLWALAILTLPMYLTVTEGSKSSWGLENEALIVRCPQRGRSTYPVEWYYSDTN ESIPT >ENSMUSP00000095379.3 pep:known chromosome:GRCm38:1:40439627:40465415:1 gene:ENSMUSG00000026069.15 transcript:ENSMUST00000097772.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rl1 description:interleukin 1 receptor-like 1 [Source:MGI Symbol;Acc:MGI:98427] MIDRQRMGLWALAILTLPMYLTVTEGSKSSWGLENEALIVRCPQRGRSTYPVEWYYSDTN ESIPTQKRNRIFVSRDRLKFLPARVEDSGIYACVIRSPNLNKTGYLNVTIHKKPPSCNIP DYLMYSTVRGSDKNFKITCPTIDLYNWTAPVQWFKNCKALQEPRFRAHRSYLFIDNVTHD DEGDYTCQFTHAENGTNYIVTATRSFTVEEKGFSMFPVITNPPYNHTMEVEIGKPASIAC SACFGKGSHFLADVLWQINKTVVGNFGEARIQEEEGRNESSSNDMDCLTSVLRITGVTEK DLSLEYDCLALNLHGMIRHTIRLRRKQPIDHRSIYYIVAGCSLLLMFINVLVIVLKVFWI EVALFWRDIVTPYKTRNDGKLYDAYIIYPRVFRGSAAGTHSVEYFVHHTLPDVLENKCGY KLCIYGRDLLPGQDAATVVESSIQNSRRQVFVLAPHMMHSKEFAYEQEIALHSALIQNNS KVILIEMEPLGEASRLQVGDLQDSLQHLVKIQGTIKWREDHVADKQSLSSKFWKHVRYQM PVPERASKTASVAAPLSGKACLDLKHF >ENSMUSP00000133784.1 pep:known chromosome:GRCm38:1:40439776:40448148:1 gene:ENSMUSG00000026069.15 transcript:ENSMUST00000173514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rl1 description:interleukin 1 receptor-like 1 [Source:MGI Symbol;Acc:MGI:98427] MIDRQRMGLWALAILTLPMYLTVTEGSKSSWGLENEALIVRCPQRGRSTYPVEWYYSDTN ESIPTQKRNRIFVSRDRLKFLPARVEDSGIYACVIRSPNLNKTGYLNVTIHKKPPSCNIP DYLMYSTVRGSDKNFKITCPTIDLYNWTAPVQWFKNCKALQEPRFRAHRSYLFIDNVTHD DEGDYTCQFTHAENGTNYIVTATRSFTVEEKGFSMFPVITNPPYNHTMEVEIGKPASIAC SACFGKGSHFLADVLWQINKTVVGNFGEARIQEEEGRNESSSNDMDCLTSVLRITGVTEK DLSLEYDCLALNLHGMIRHTIRLRRKQPSKECPSHIA >ENSMUSP00000054914.7 pep:known chromosome:GRCm38:1:40440628:40465396:1 gene:ENSMUSG00000026069.15 transcript:ENSMUST00000053043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rl1 description:interleukin 1 receptor-like 1 [Source:MGI Symbol;Acc:MGI:98427] MIDRQRMGLWALAILTLPMYLTVTEGSKSSWGLENEALIVRCPQRGRSTYPVEWYYSDTN ESIPTQKRNRIFVSRDRLKFLPARVEDSGIYACVIRSPNLNKTGYLNVTIHKKPPSCNIP DYLMYSTVRGSDKNFKITCPTIDLYNWTAPVQWFKNCKALQEPRFRAHRSYLFIDNVTHD DEGDYTCQFTHAENGTNYIVTATRSFTVEEKGFSMFPVITNPPYNHTMEVEIGKPASIAC SACFGKGSHFLADVLWQINKTVVGNFGEARIQEEEGRNESSSNDMDCLTSVLRITGVTEK DLSLEYDCLALNLHGMIRHTIRLRRKQPIDHRSIYYIVAGCSLLLMFINVLVIVLKVFWI EVALFWRDIVTPYKTRNDGKLYDAYIIYPRVFRGSAAGTHSVEYFVHHTLPDVLENKCGY KLCIYGRDLLPGQDAATVVESSIQNSRRQVFVLAPHMMHSKEFAYEQEIALHSALIQNNS KVILIEMEPLGEASRLQVGDLQDSLQHLVKIQGTIKWREDHVADKQSLSSKFWKHVRYQM PVPERASKTASVAAPLSGKACLDLKHF >ENSMUSP00000119518.1 pep:known chromosome:GRCm38:19:12568198:12589768:1 gene:ENSMUSG00000024691.13 transcript:ENSMUST00000144662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam111a description:family with sequence similarity 111, member A [Source:MGI Symbol;Acc:MGI:1915508] MSCKKRKSQISFNPRKNKKIKDYFSQVPKEEQNDPNTVKVDSKKMPRDITNTRDQRPLSP RKTRQDQTPPLNKKITVTLGVNSRKHKNMKYELTCRETSSLYAALNTLSAVREEVESQKG REMLVCGKEGIEGYLNLGMPVCCIPEGSHVVITFCQCKSKTQENKQFFESQDQASTNYVR FCIHAVGSKRKKILKCGELQKEGNKLCVYGFKGETIRDTLRKDGRFCTFIESDDWKLIND LDTIIENTQPVDELEGKLFQVAAELPKNPRVVSVTQNSGSENRNFHKLEEYIVNEYTTLK EEGKKLRAYIKEKSEKRKKKASLFKVHKEHFGKMTRNSTPVKVVKHLSRVSDSVGFLWWN NNGNAGCATCFVFKELYILTCQHVIASIVGEGIDSSEWANIISQCVKVTFDYEELLPTGD KFFMVKPWFEISDKHLDYAVLELKENGQEVPAGLYHRIRPVPHSGLIYIIGHPEGEKKSI DCCTVVPQSSRRKKCQENFQAREEAGFCFSTSFIHMYTQRSFQEMLHNSDVVTYDTSFFG GSSGSPVFDSNGSLVAMHAAGITCTYQAGVSNIIEFGSIMESIDDHMKQDKYKEWYNTIS GNVQNVEMLSIDF >ENSMUSP00000123598.1 pep:known chromosome:GRCm38:19:12568198:12589760:1 gene:ENSMUSG00000024691.13 transcript:ENSMUST00000151307.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam111a description:family with sequence similarity 111, member A [Source:MGI Symbol;Acc:MGI:1915508] MPRDITNTRDQRPLSPRKTRQDQTPPLNKKITVTLGVNSRKHKNMKYELTCRETSSLYAA LNTLSAVREEVESQKGREMLVCGKEGIEGYLNLGMPVCCIPEGSHVVITFCQCKSKTQEN KQFFESQDQASTNYVRFCIHAVGSKRKKILKCGELQKEGNKLCVYGFKGETIRDTLRKDG RFCTFIESDDWKLINDLDTIIENTQPVDELEGKLFQVAAELPKNPRVVSVTQNSGSENRN FHKLEEYIVNEYTTLKEEGKKLRAYIKEKSEKRKKKASLFKVHKEHFGKMTRNSTPVKVV KHLSRVSDSVGFLWWNNNGNAGCATCFVFKELYILTCQHVIASIVGEGIDSSEWANIISQ CVKVTFDYEELLPTGDKFFMVKPWFEISDKHLDYAVLELKENGQEVPAGLYHRIRPVPHS GLIYIIGHPEGEKKSIDCCTVVPQSSRRKKCQENFQAREEAGFCFSTSFIHMYTQRSFQE MLHNSDVVTYDTSFFGGSSGSPVFDSNGSLVAMHAAGITCTYQAGVSNIIEFGSIMESID DHMKQDKYKEWYNTISGNVQNVEMLSIDF >ENSMUSP00000025595.4 pep:known chromosome:GRCm38:19:12573523:12589762:1 gene:ENSMUSG00000024691.13 transcript:ENSMUST00000025595.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam111a description:family with sequence similarity 111, member A [Source:MGI Symbol;Acc:MGI:1915508] MSCKKRKSQISFNPRKNKKIKDYFSQVPKEEQNDPNTVKVDSKKMPRDITNTRDQRPLSP RKTRQDQTPPLNKKITVTLGVNSRKHKNMKYELTCRETSSLYAALNTLSAVREEVESQKG REMLVCGKEGIEGYLNLGMPVCCIPEGSHVVITFCQCKSKTQENKQFFESQDQASTNYVR FCIHAVGSKRKKILKCGELQKEGNKLCVYGFKGETIRDTLRKDGRFCTFIESDDWKLIND LDTIIENTQPVDELEGKLFQVAAELPKNPRVVSVTQNSGSENRNFHKLEEYIVNEYTTLK EEGKKLRAYIKEKSEKRKKKASLFKVHKEHFGKMTRNSTPVKVVKHLSRVSDSVGFLWWN NNGNAGCATCFVFKELYILTCQHVIASIVGEGIDSSEWANIISQCVKVTFDYEELLPTGD KFFMVKPWFEISDKHLDYAVLELKENGQEVPAGLYHRIRPVPHSGLIYIIGHPEGEKKSI DCCTVVPQSSRRKKCQENFQAREEAGFCFSTSFIHMYTQRSFQEMLHNSDVVTYDTSFFG GSSGSPVFDSNGSLVAMHAAGITCTYQAGVSNIIEFGSIMESIDDHMKQDKYKEWYNTIS GNVQNVEMLSIDF >ENSMUSP00000075210.4 pep:known chromosome:GRCm38:5:33820725:33897966:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000075812.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] MEFSIRKSPLSVQKVVKCMKMKQTPEILGSANGKTQNCEVNHECSVFLSKAQLSNSLQEG VMQKFNGHDALPFLPAEKLKDLTSCVFNGEPGAHDTKLCFEAQEVKGIGTPPNTTPIKNG SPEIKLKITKTYMNGKPLFESSICGDGAADVSQSEENEQKSDNKTRRNRKRSIKYDSLLE QGLVEAALVSKISSPADKKIPVKKESCPNTGRDRDLLLKYNVGDLVWSKVSGYPWWPCMV SADPLLHNHTKLKGQKKSARQYHVQFFGDAPERAWIFEKSLVAFEGEEQFEKLCQESAKQ APTKAEKIKLLKPISGRLRAQWEMGIVQAEEAASMSIEERKAKFTFLYVGDQLHLNPQVA KEAGIVTEPLGEMVDSSGASEEAAVDPGSVREEDIPTKRRRRTKRSSSAENQEGDPGTDK STPPKMAEAEPKRGVGSPAGRKKSTGSAPRSRKGDSAAQFLVFCQKHRDEVVAEHPDASG EEIEELLGSQWSMLNEKQKARYNTKFSLMISAQSEEDSGNGNGKKRSHTKRADDPAEDVD VEDAPRKRLRADKHSLRKQRETITDKTARTSSYKAIEAASSLKSQAATKNLSDACKPLKK RNRASATASSALGFNKSSSPSASLTEHEVSDSPGDEPSESPYESADETQTEASVSSKKSE RGMAAKKEYVCQLCEKTGSLLLCEGPCCGAFHLACLGLSRRPEGRFTCTECASGIHSCFV CKESKMEVKRCVVNQCGKFYHEACVKKYPLTVFESRGFRCPLHSCMSCHASNPSNPRPSK GKMMRCVRCPVAYHGGDACLAAGCSVIASNSIICTGHFTARKGKRHHTHVNVSWCFVCSK GGSLLCCEACPAAFHPDCLNIEMPDGSWFCNDCRAGKKLHFQDIIWVKLGNYRWWPAEVC HPKNVPPNIQKMKHEIGEFPVFFFGSKDYYWTHQARVFPYMEGDRGSRYQGVRGIGRVFK NALQEAEARFNEVKLQREARETQESERKPPPYKHIKVNKPYGKVQIYTADISEIPKCNCK PTDENPCGSDSECLNRMLMFECHPQVCPAGEYCQNQCFTKRQYPETKIIKTDGKGWGLVA KRDIRKGEFVNEYVGELIDEEECMARIKYAHENDITHFYMLTIDKDRIIDAGPKGNYSRF MNHSCQPNCETLKWTVNGDTRVGLFAVCDIPAGTELTFNYNLDCLGNEKTVCRCGASNCS GFLGDRPKTSASLSSEEKGKKAKKKTRRRRAKGEGKRQSEDECFRCGDGGQLVLCDRKFC TKAYHLSCLGLGKRPFGKWECPWHHCDVCGKPSTSFCHLCPNSFCKEHQDGTAFRSTQDG QSYCCEHDLRADSSSSTKTEKPFPESLKSKGKRKKRRCWRRVTDGK >ENSMUSP00000110039.1 pep:known chromosome:GRCm38:5:33820744:33872502:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000114397.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] MEFSIRKSPLSVQKVVKCMKMKQTPEILGSANGKTQNCEVNHECSVFLSKAQLSNSLQEG VMQKFNGHDALPFLPAEKLKDLTSCVFNGEPGAHDTKLCFEAQEVKGIGTPPNTTPIKNG SPEIKLKITKTYMNGKPLFESSICGDGAADVSQSEENEQKSDNKTRRNRKRSIKYDSLLE QGLVEAALVSKISSPADKKIPVKKESCPNTGRDRDLLLKYNVGDLVWSKVSGYPWWPCMV SADPLLHNHTKLKGQKKSARQYHVQFFGDAPERAWIFEKSLVAFEGEEQFEKLCQESAKQ APTKAEKIKLLKPISGRLRAQWEMGIVQAEEAASMSIEERKAKFTFLYVGDQLHLNPQVA KEAGIVTEPLGEMVDSSGASEEAAVDPGSVREEDIPTKRRRRTKRSSSAENQEGDPGTDK STPPKMAEAEPKRGVGSPAGRKKSTGSAPRSRKGDSAAQFLVFCQKHRDEVVAEHPDASG EEIEELLGSQWSMLNEKQKARYNTKFSLMISAQSEEDSGNGNGKKRSHTKRADDPAEDVD VEDAPRKRLRADKHSLRKRETITDKTARTSSYKAIEAASSLKSQAATKNLSDACKPLKKR NRASATASSALGFNKSSSPSASLTEHEVK >ENSMUSP00000121805.1 pep:known chromosome:GRCm38:5:33820829:33846182:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000155880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] MEFSIRKSPLSVQKVVKCMKMKQTPEILGSANGKTQNCEVNHECSVFLSKAQLSNSLQEG VMQKFNGHDALPFLPAEKLKDLTSCVFNGEPGAHDTKLCFEAQEVKGIGTPPNTTPIKNG SPEIKLKITKTYMNGKPLFESSICGDGAADVSQSEENEQKSDNKTRRNRKRSIKYDSLLE QGLVEAALVSKISSPADKKIPVKKESCPNTGRDRDLLLKYNVGDL >ENSMUSP00000110041.1 pep:known chromosome:GRCm38:5:33843112:33877282:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000114399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] MEFSIRKSPLSVQKVVKCMKMKQTPEILGSANGKTQNCEVNHECSVFLSKAQLSNSLQEG VMQKFNGHDALPFLPAEKLKDLTSCVFNGEPGAHDTKLCFEAQEVKGIGTPPNTTPIKNG SPEIKLKITKTYMNGKPLFESSICGDGAADVSQSEENEQKSDNKTRRNRKRSIKYDSLLE QGLVEAALVSKISSPADKKIPVKKESCPNTGRDRDLLLKYNVGDLVWSKVSGYPWWPCMV SADPLLHNHTKLKGQKKSARQYHVQFFGDAPERAWIFEKSLVAFEGEEQFEKLCQESAKQ APTKAEKIKLLKPISGRLRAQWEMGIVQAEEAASMSIEERKAKFTFLYVGDQLHLNPQVA KEAGIVTEPLGEMVDSSGASEEAAVDPGSVREEDIPTKRRRRTKRSSSAENQEGDPGTDK STPPKMAEAEPKRGVGSPAGRKKSTGSAPRSRKGDSAAQFLVFCQKHRDEVVAEHPDASG EEIEELLGSQWSMLNEKQKARYNTKFSLMISAQSEEDSGNGNGKKRSHTKRADDPAEDVD VEDAPRKRLRADKHSLRKRETITDKTARTSSYKAIEAASSLKSQAATKNLSDACKPLKKR NRASATASSALGFNKSSSPSASLTEHELLWEPTSVKLDLNSAALYCT >ENSMUSP00000123460.1 pep:known chromosome:GRCm38:5:33843112:33897975:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000139845.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] MEFSIRKSPLSVQKVVKCMKMKQTPEILGSANGKTQNCEVNHECSVFLSKAQLSNSLQEG VMQKFNGHDALPFLPAEKLKDLTSCVFNGEPGAHDTKLCFEAQEVKGIGTPPNTTPIKNG SPEIKLKITKTYMNGKPLFESSICGDGAADVSQSEENEQKSDNKTRRNRKRSIKYDSLLE QGLVEAALVSKISSPADKKIPVKKESCPNTGRDRDLLLKYNVGDLVWSKVSGYPWWPCMV SADPLLHNHTKLKGQKKSARQYHVQFFGDAPERAWIFEKSLVAFEGEEQFEKLCQESAKQ APTKAEKIKLLKPISGRLRAQWEMGIVQAEEAASMSIEERKAKFTFLYVGDQLHLNPQVA KEAGIVTEPLGEMVDSSGASEEAAVDPGSVREEDIPTKRRRRTKRSSSAENQEGDPGTDK STPPKMAEAEPKRGVGSPAGRKKSTGSAPRSRKGDSAAQFLVFCQKHRDEVVAEHPDASG EEIEELLGSQWSMLNEKQKARYNTKFSLMISAQSEEDSGNGNGKKRSHTKRADDPAEDVD VEDAPRKRLRADKHSLRKRETITDKTARTSSYKAIEAASSLKSQAATKNLSDACKPLKKR NRASATASSALGFNKSSSPSASLTEHELLWEPTSVKLDLNSAALYCT >ENSMUSP00000122310.1 pep:known chromosome:GRCm38:5:33843112:33897975:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000137191.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] MEFSIRKSPLSVQKVVKCMKMKQTPEILGSANGKTQNCEVNHECSVFLSKAQLSNSLQEG VMQKFNGHDALPFLPAEKLKDLTSCVFNGEPGAHDTKLCFEAQEVKGIGTPPNTTPIKNG SPEIKLKITKTYMNGKPLFESSICGDGAADVSQSEENEQKSDNKTRRNRKRSIKYDSLLE QGLVEAALVSKISSPADKKIPVKKESCPNTGRDRDLLLKYNVGDLVWSKVSGYPWWPCMV SADPLLHNHTKLKGQKKSARQYHVQFFGDAPERAWIFEKSLVAFEGEEQFEKLCQESAKQ APTKAEKIKLLKPISGRLRAQWEMGIVQAEEAASMSIEERKAKFTFLYVGDQLHLNPQVA KEAGIVTEPLGEMVDSSGASEEAAVDPGSVREEDIPTKRRRRTKRSSSAENQEGDPGTDK STPPKMAEAEPKRGVGSPAGRKKSTGSAPRSRKGDSAAQFLVFCQKHRDEVVAEHPDASG EEIEELLGSQWSMLNEKQKARYNTKFSLMISAQSEEDSGNGNGKKRSHTKRADDPAEDVD VEDAPRKRLRADKHSLRKRETITDKTARTSSYKAIEAASSLKSQAATKNLSDACKPLKKR NRASATASSALGFNKSSSPSASLTEHELLWEPTSVKLDLNSAALYCT >ENSMUSP00000058940.7 pep:known chromosome:GRCm38:5:33843141:33895712:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000058096.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] MEFSIRKSPLSVQKVVKCMKMKQTPEILGSANGKTQNCEVNHECSVFLSKAQLSNSLQEG VMQKFNGHDALPFLPAEKLKDLTSCVFNGEPGAHDTKLCFEAQEVKGIGTPPNTTPIKNG SPEIKLKITKTYMNGKPLFESSICGDGAADVSQSEENEQKSDNKTRRNRKRSIKYDSLLE QGLVEAALVSKISSPADKKIPVKKESCPNTGRDRDLLLKYNVGDLVWSKVSGYPWWPCMV SADPLLHNHTKLKGQKKSARQYHVQFFGDAPERAWIFEKSLVAFEGEEQFEKLCQESAKQ APTKAEKIKLLKPISGRLRAQWEMGIVQAEEAASMSIEERKAKFTFLYVGDQLHLNPQVA KEAGIVTEPLGEMVDSSGASEEAAVDPGSVREEDIPTKRRRRTKRSSSAENQEGDPGTDK STPPKMAEAEPKRGVGSPAGRKKSTGSAPRSRKGDSAAQFLVFCQKHRDEVVAEHPDASG EEIEELLGSQWSMLNEKQKARYNTKFSLMISAQSEEDSGNGNGKKRSHTKRADDPAEDVD VEDAPRKRLRADKHSLRKRETITDKTARTSSYKAIEAASSLKSQAATKNLSDACKPLKKR NRASATASSALGFNKSSSPSASLTEHEVSDSPGDEPSESPYESADETQTEASVSSKKSER GMAAKKEYVCQLCEKTGSLLLCEGPCCGAFHLACLGLSRRPEGRFTCTECASGIHSCFVC KESKMEVKRCVVNQCGKFYHEACVKKYPLTVFESRGFRCPLHSCMSCHASNPSNPRPSKG KMMRCVRCPVAYHGGDACLAAGCSVIASNSIICTGHFTARKGKRHHTHVNVSWCFVCSKG GSLLCCEACPAAFHPDCLNIEMPDGSWFCNDCRAGKKLHFQDIIWVKLGNYRWWPAEVCH PKNVPPNIQKMKHEIGEFPVFFFGSKDYYWTHQARVFPYMEGDRGSRYQGVRGIGRVFKN ALQEAEARFNEVKLQREARETQESERKPPPYKHIKVNKPYGKVQIYTADISEIPKCNCKP TDENPCGSDSECLNRMLMFECHPQVCPAGEYCQNQCFTKRQYPETKIIKTDGKGWGLVAK RDIRKGEFVNEYVGELIDEEECMARIKYAHENDITHFYMLTIDKDRIIDAGPKGNYSRFM NHSCQPNCETLKWTVNGDTRVGLFAVCDIPAGTELTFNYNLDCLGNEKTVCRCGASNCSG FLGDRPKTSASLSSEEKGKKAKKKTRRRRAKGEGKRQSEDECFRCGDGGQLVLCDRKFCT KAYHLSCLGLGKRPFGKWECPWHHCDVCGKPSTSFCHLCPNSFCKEHQDGTAFRSTQDGQ SYCCEHDLRADSSSSTKTEKPFPESLKSKGKRKKRRCWRRVTDGK >ENSMUSP00000117233.1 pep:known chromosome:GRCm38:5:33843196:33895495:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000141416.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] XKMKQTPEILGSANGKTQNCEVNHECSVFLSKAQLSNSLQEGVMQKFNGHDALPFLPAEK LKDLTSCVFNGEPGAHDTKLCFEAQEVKGIGTPPNTTPIKNGSPEIKLKITKTYMNGKPL FESSICGDGAADVSQSEENEQKSDNKTRRNRKRSIKYDSLLEQGLVEAALVSKISSPADK KIPVKKESCPNTGRDRDLLLKYNVGDLVWSKVSGYPWWPCMVSADPLLHNHTKLKGQKKS ARQYHVQFFGDAPERAWIFEKSLVAFEGEEQFEKLCQESAKQAPTKAEKIKLLKPISGRL RAQWEMGIVQAEEAASMSIEERKAKFTFLYVGDQLHLNPQVAKEAGIVTEPLGEMVDSSG ASEEAAVDPGSVREEDIPTKRRRRTKRSSSAENQEGDPGTDKSTPPKMAEAEPKRGVGSP AGRKKSTGSAPRSRKGDSAAQFLVFCQKHRDEVVAEHPDASGEEIEELLGSQWSMLNEKQ KARYNTKFSLMISAQSEEDSAERDNHRQNGQNKLLQGYRGSLLTQEPGSNEKFV >ENSMUSP00000115251.1 pep:known chromosome:GRCm38:5:33861069:33877290:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000142080.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] XIEELLGSQWSMLNEKQKARYNTKFSLMISAQSEEDSGNGNGKKRSHTKRADDPAEDVDV EDAPRKRLRADKHSLRKQRETITDKTARTSSYKAIEAASSLKSQAATKNLSDACKPLKKR NRASATASSALGFNKSSSPSASLTEHELLWEPTSVKLDLNSAALYCT >ENSMUSP00000144255.1 pep:known chromosome:GRCm38:5:33879151:33895426:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000202525.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] ERGMAAKKEYVCQLCEKTGSLLLCEGPCCGAFHLACLGLSRRPEGRFTCTECASGIHSCF VCKESKMEVKRCVVNQCGKFYHEACVKKYPLTVFESRGFRCPLHSCMSCHASNPSNPRPS KGKMMRCVRCPVAYHGGDACLAAGCSVIASNSIICTGHFTARKGKRHHTHVNVSWCFVCS KGGSLLCCEACPAAFHPDCLNIEMPDGSWFCNDCRAGKKLHFQDIIWVKLGNYRLLLDAS GTSVPIHGGGPGQPLPGGQRDRKSLQERIARS >ENSMUSP00000067205.7 pep:known chromosome:GRCm38:5:33843112:33897966:1 gene:ENSMUSG00000057406.16 transcript:ENSMUST00000066854.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1 description:Wolf-Hirschhorn syndrome candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1276574] MEFSIRKSPLSVQKVVKCMKMKQTPEILGSANGKTQNCEVNHECSVFLSKAQLSNSLQEG VMQKFNGHDALPFLPAEKLKDLTSCVFNGEPGAHDTKLCFEAQEVKGIGTPPNTTPIKNG SPEIKLKITKTYMNGKPLFESSICGDGAADVSQSEENEQKSDNKTRRNRKRSIKYDSLLE QGLVEAALVSKISSPADKKIPVKKESCPNTGRDRDLLLKYNVGDLVWSKVSGYPWWPCMV SADPLLHNHTKLKGQKKSARQYHVQFFGDAPERAWIFEKSLVAFEGEEQFEKLCQESAKQ APTKAEKIKLLKPISGRLRAQWEMGIVQAEEAASMSIEERKAKFTFLYVGDQLHLNPQVA KEAGIVTEPLGEMVDSSGASEEAAVDPGSVREEDIPTKRRRRTKRSSSAENQEGDPGTDK STPPKMAEAEPKRGVGSPAGRKKSTGSAPRSRKGDSAAQFLVFCQKHRDEVVAEHPDASG EEIEELLGSQWSMLNEKQKARYNTKFSLMISAQSEEDSGNGNGKKRSHTKRADDPAEDVD VEDAPRKRLRADKHSLRKQRETITDKTARTSSYKAIEAASSLKSQAATKNLSDACKPLKK RNRASATASSALGFNKSSSPSASLTEHEVSDSPGDEPSESPYESADETQTEASVSSKKSE RGMAAKKEYVCQLCEKTGSLLLCEGPCCGAFHLACLGLSRRPEGRFTCTECASGIHSCFV CKESKMEVKRCVVNQCGKFYHEACVKKYPLTVFESRGFRCPLHSCMSCHASNPSNPRPSK GKMMRCVRCPVAYHGGDACLAAGCSVIASNSIICTGHFTARKGKRHHTHVNVSWCFVCSK GGSLLCCEACPAAFHPDCLNIEMPDGSWFCNDCRAGKKLHFQDIIWVKLGNYRWWPAEVC HPKNVPPNIQKMKHEIGEFPVFFFGSKDYYWTHQARVFPYMEGDRGSRYQGVRGIGRVFK NALQEAEARFNEVKLQREARETQESERKPPPYKHIKVNKPYGKVQIYTADISEIPKCNCK PTDENPCGSDSECLNRMLMFECHPQVCPAGEYCQNQCFTKRQYPETKIIKTDGKGWGLVA KRDIRKGEFVNEYVGELIDEEECMARIKYAHENDITHFYMLTIDKDRIIDAGPKGNYSRF MNHSCQPNCETLKWTVNGDTRVGLFAVCDIPAGTELTFNYNLDCLGNEKTVCRCGASNCS GFLGDRPKTSASLSSEEKGKKAKKKTRRRRAKGEGKRQSEDECFRCGDGGQLVLCDRKFC TKAYHLSCLGLGKRPFGKWECPWHHCDVCGKPSTSFCHLCPNSFCKEHQDGTAFRSTQDG QSYCCEHDLRADSSSSTKTEKPFPESLKSKGKRKKRRCWRRVTDGK >ENSMUSP00000104562.2 pep:known chromosome:GRCm38:2:177903340:177925604:-1 gene:ENSMUSG00000074529.10 transcript:ENSMUST00000108934.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330013J21Rik description:RIKEN cDNA C330013J21 gene [Source:MGI Symbol;Acc:MGI:1925953] MNLVTYDDVHMNFTQEEGALLETSQKNLYKDVMLETYRNLSAIGNYTRNNVNLTNITCAN ERPPKGDPHSSLGTAADPRRH >ENSMUSP00000080244.4 pep:known chromosome:GRCm38:2:177919927:177925613:-1 gene:ENSMUSG00000074529.10 transcript:ENSMUST00000081529.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330013J21Rik description:RIKEN cDNA C330013J21 gene [Source:MGI Symbol;Acc:MGI:1925953] MNLVTYDDVHMNFTQEEGALLETSQKNLYKDVMLETYRNLSAIGYIWEEHTIENHFQTSR SHGRHERSCIAVQPSEFIQCGKAFAYHSLRQRHERTHNGEKDYYCNQCGKAFVISSHLRI HKRRNTGEKPYECNQSLHS >ENSMUSP00000104614.2 pep:known chromosome:GRCm38:2:177921431:177921815:-1 gene:ENSMUSG00000074529.10 transcript:ENSMUST00000108986.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330013J21Rik description:RIKEN cDNA C330013J21 gene [Source:MGI Symbol;Acc:MGI:1925953] NLVTYDDVHMNFTQEEGALLETSQKNLYKDVMLETYRNLSAIGYIWEEHTIENHFQTSRS HGR >ENSMUSP00000121182.1 pep:known chromosome:GRCm38:13:54621784:54664068:1 gene:ENSMUSG00000025873.15 transcript:ENSMUST00000126071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faf2 description:Fas associated factor family member 2 [Source:MGI Symbol;Acc:MGI:1923827] MAAPEEQDLTQEQTEKLLQFQDLTGIESMEQCRLALEQHNWNMEAAVQDRLNEQEGVPSV FNPPPARPLQVNTADHRIYSYVVSRPQPRGLLGWGYYLIMLPFRFTYYTILDIFRFALRF IRPDPRSRVTDPVGDIVSFMHSFEEKYGRAHPVFYQGTYSQALNDAKRELRFLLVYLHGD DHQDSDEFCRNALCAPEVISLINSRMLFWACSTNKPEGYRVSQALRENTYPFLAMIMLKD RRMTVVGRLEGLIQPDDLINQLTFIMDANQTYLVSERLEREERNQTQVLRQQQDEAYLAS LRADQEKERKKREEKERKRRKEEEVQQQKLAEERRRQNLQEEKERKLECLPPEPSPDDPE SVKIIFKLPNDSRVERRFHFSQSLTVIHDFLFSLKESPEKFQIEANFPRRVLPCVPSEEW PNPPTLQEAGLSHTEVLFVQDLTDE >ENSMUSP00000117945.1 pep:known chromosome:GRCm38:13:54621804:54641540:1 gene:ENSMUSG00000025873.15 transcript:ENSMUST00000135015.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Faf2 description:Fas associated factor family member 2 [Source:MGI Symbol;Acc:MGI:1923827] MAAPEEQDLTQEQTEKLLQFQVAASSRCSPGRRGMGSGTLEERKTILRI >ENSMUSP00000026991.9 pep:known chromosome:GRCm38:13:54621824:54661586:1 gene:ENSMUSG00000025873.15 transcript:ENSMUST00000026991.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faf2 description:Fas associated factor family member 2 [Source:MGI Symbol;Acc:MGI:1923827] MAAPEEQDLTQEQTEKLLQFQDLTGIESMEQCRLALEQHNWNMEAAVQDRLNEQEGVPSV FNPPPARPLQGLLGWGYYLIMLPFRFTYYTILDIFRFALRFIRPDPRSRVTDPVGDIVSF MHSFEEKYGRAHPVFYQGTYSQALNDAKRELRFLLVYLHGDDHQDSDEFCRNALCAPEVI SLINSRMLFWACSTNKPEGYRVSQALRENTYPFLAMIMLKDRRMTVVGRLEGLIQPDDLI NQLTFIMDANQTYLVSERLEREERNQTQVLRQQQDEAYLASLRADQEKERKKREEKERKR RKEEEVQQQKLAEERRRQNLQEEKERKLECLPPEPSPDDPESVKIIFKLPNDSRVERRFH FSQSLTVIHDFLFSLKESPEKFQIEANFPRRVLPCVPSEEWPNPPTLQEAGLSHTEVLFV QDLTDE >ENSMUSP00000116612.1 pep:known chromosome:GRCm38:13:54621835:54648317:1 gene:ENSMUSG00000025873.15 transcript:ENSMUST00000137413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faf2 description:Fas associated factor family member 2 [Source:MGI Symbol;Acc:MGI:1923827] MEQCRLALEQHNWNMEAAVQDRLNEQEGVPSVFNPPPARPLQGLLGWGYYLIMLPFRFTY YTILDIFRFALRFIRP >ENSMUSP00000123026.1 pep:known chromosome:GRCm38:13:54621835:54648428:1 gene:ENSMUSG00000025873.15 transcript:ENSMUST00000135232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faf2 description:Fas associated factor family member 2 [Source:MGI Symbol;Acc:MGI:1923827] MEQCRLALEQHNWNMEAAVQDRLNEQEGVPSVFNPPPARPLQVNTADHRIYSYVVSRPQP RGLLGWGYYLIMLPFRFTYYTILDIFRFALRFIRPDPRSRVTDPVGDIVSFMHSFEEKYG RAHPVFYQGTYS >ENSMUSP00000121606.1 pep:known chromosome:GRCm38:13:54621838:54656359:1 gene:ENSMUSG00000025873.15 transcript:ENSMUST00000124752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faf2 description:Fas associated factor family member 2 [Source:MGI Symbol;Acc:MGI:1923827] MEQCRLALEQHNWNMEAAVQDRLNEQEGVPSVFNPPPARPLQVNTADHRIYSYVVSRPQP RALNDAKRELRFLLVYLHGDDHQDSDEFCRNALCAPEVISLINSRMLFWACSTNKPEGYR VSQALRENTYPFLAMIMLKDRRMTVVGRLEGLIQPDDLINQLTFIMDANQTYLVSERLER EERNQTQVLRQQQDEAYLASLRADQEKERKKREEKERKRRKEEEVQQQKLAEERRRQNLQ EEKERKLECLPPEPSPDDPESVK >ENSMUSP00000120594.1 pep:known chromosome:GRCm38:13:54641543:54655521:1 gene:ENSMUSG00000025873.15 transcript:ENSMUST00000153894.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Faf2 description:Fas associated factor family member 2 [Source:MGI Symbol;Acc:MGI:1923827] XQPRGLLGWGYYLIMLPFRFTYYTILDIFRHLTMPSGNFDFFWFIFMEMTTRTLMSSAAM LSAHLRSSH >ENSMUSP00000104563.1 pep:known chromosome:GRCm38:2:177897096:177905784:1 gene:ENSMUSG00000074521.9 transcript:ENSMUST00000108935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14327 description:predicted gene 14327 [Source:MGI Symbol;Acc:MGI:3652188] MDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSR SHGR >ENSMUSP00000096599.3 pep:known chromosome:GRCm38:2:177904285:177904683:1 gene:ENSMUSG00000074521.9 transcript:ENSMUST00000099001.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14327 description:predicted gene 14327 [Source:MGI Symbol;Acc:MGI:3652188] DLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSRS HGR >ENSMUSP00000117036.3 pep:known chromosome:GRCm38:11:83850063:83856098:1 gene:ENSMUSG00000020679.11 transcript:ENSMUST00000135975.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf1b description:HNF1 homeobox B [Source:MGI Symbol;Acc:MGI:98505] MIKGYMQQHNIPQREVVDVTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVRKQREIL >ENSMUSP00000021016.2 pep:known chromosome:GRCm38:11:83850855:83905819:1 gene:ENSMUSG00000020679.11 transcript:ENSMUST00000021016.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf1b description:HNF1 homeobox B [Source:MGI Symbol;Acc:MGI:98505] MVSKLTSLQQELLSALLSSGVTKEVLIQALEELLPSPNFGVKLETLPLSPGSGADLDTKP VFHTLTNGHAKGRLSGDEGSEDGDDYDTPPILKELQALNTEEAAEQRAEVDRMLSEDPWR AAKMIKGYMQQHNIPQREVVDVTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVRKQREIL RQFNQTVQSSGNMTDKSSQDQLLFLFPEFSQQNQGPGQSEDTCSEPTNKKMRRNRFKWGP ASQQILYQAYDRQKNPSKEEREALVEECNRAECLQRGVSPSKAHGLGSNLVTEVRVYNWF ANRRKEEAFRQKLAMDAYSSNQTHNLNPLLTHGSPHHQPSSSPPNKMSGVRYNQPGNNEV TSSSTISHHGNSAMVTSQSVLQQVSPASLDPGHSLLSPDSKMQITVSGGGLPPVSTLTNI HSLSHHNPQQSQNLIMTPLSGVMAIAQSLNTSQAQGVPVINSVASSLAALQPVQFSQQLH SPHQQPLMQQSPGSHMAQQPFMAAVTQLQNSHMYAHKQEPPQYSHTSRFPSAMVVTDTSS INTLTSMSSSKQCPLQAW >ENSMUSP00000103749.2 pep:known chromosome:GRCm38:11:83850874:83905816:1 gene:ENSMUSG00000020679.11 transcript:ENSMUST00000108114.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf1b description:HNF1 homeobox B [Source:MGI Symbol;Acc:MGI:98505] MVSKLTSLQQELLSALLSSGVTKEVLIQALEELLPSPNFGVKLETLPLSPGSGADLDTKP VFHTLTNGHAKGRLSGDEGSEDGDDYDTPPILKELQALNTEEAAEQRAEVDRMLSEDPWR AAKMIKGYMQQHNIPQREVVDVTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVRKQREIL RQFSQQNQGPGQSEDTCSEPTNKKMRRNRFKWGPASQQILYQAYDRQKNPSKEEREALVE ECNRAECLQRGVSPSKAHGLGSNLVTEVRVYNWFANRRKEEAFRQKLAMDAYSSNQTHNL NPLLTHGSPHHQPSSSPPNKMSGVRYNQPGNNEVTSSSTISHHGNSAMVTSQSVLQQVSP ASLDPGHSLLSPDSKMQITVSGGGLPPVSTLTNIHSLSHHNPQQSQNLIMTPLSGVMAIA QSLNTSQAQGVPVINSVASSLAALQPVQFSQQLHSPHQQPLMQQSPGSHMAQQPFMAAVT QLQNSHMYAHKQEPPQYSHTSRFPSAMVVTDTSSINTLTSMSSSKQCPLQAW >ENSMUSP00000123297.1 pep:known chromosome:GRCm38:11:83852242:83864178:1 gene:ENSMUSG00000020679.11 transcript:ENSMUST00000146786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf1b description:HNF1 homeobox B [Source:MGI Symbol;Acc:MGI:98505] MIKGYMQQHNIPQREVVDVTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVRKQREILRQF SQQNQGPGQSEDTCSEPTNKKMRRNRFKWGPASQQILYQAYDRQKNPSKEEREALVEECN RAECLQRGVSPSKAHGLGSNLVTEVRVYNWFANRRKEEAFRQKLAMDAYSSNQTHNLNPL LTHGSPHHQPSSSPPNKMS >ENSMUSP00000103748.1 pep:known chromosome:GRCm38:11:83852960:83905819:1 gene:ENSMUSG00000020679.11 transcript:ENSMUST00000108113.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf1b description:HNF1 homeobox B [Source:MGI Symbol;Acc:MGI:98505] MIKGYMQQHNIPQREVVDVTGLNQSHLSQHLNKGTPMKTQKRAALYTWYVRKQREILRQF NQTVQSSGNMTDKSSQDQLLFLFPEFSQQNQGPGQSEDTCSEPTNKKMRRNRFKWGPASQ QILYQAYDRQKNPSKEEREALVEECNRAECLQRGVSPSKAHGLGSNLVTEVRVYNWFANR RKEEAFRQKLAMDAYSSNQTHNLNPLLTHGSPHHQPSSSPPNKMSGVRYNQPGNNEVTSS STISHHGNSAMVTSQSVLQQVSPASLDPGHSLLSPDSKMQITVSGGGLPPVSTLTNIHSL SHHNPQQSQNLIMTPLSGVMAIAQSLNTSQAQGVPVINSVASSLAALQPVQFSQQLHSPH QQPLMQQSPGSHMAQQPFMAAVTQLQNSHMYAHKQEPPQYSHTSRFPSAMVVTDTSSINT LTSMSSSKQCPLQAW >ENSMUSP00000138788.1 pep:known chromosome:GRCm38:7:122288751:122634399:1 gene:ENSMUSG00000052889.11 transcript:ENSMUST00000143692.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkcb description:protein kinase C, beta [Source:MGI Symbol;Acc:MGI:97596] MADPAAGPPPSEGEESTVRFARKGALRQKNVHEVKNHKFTARFFKQPTFCSHCTDFIWGF GKQGFQCQVCCFVVHKRCHEFVTFSCPGADKGPASDDPRSKHKFKIHTYSSPTFCDHCGS LLYGLIHQGMKCDTCMMNVHKRCVMNVPSLCGTDHTERRGRIYIQAHIDREVLIVVVRDA KNLVPMDPNGLSDPYVKLKLIPDPKSESKQKTKTIKCSLNPEWNETFRFQLKESDKDRRL SVEIWDWDLTSRNDFMGSLSFGISELQKAGVDGWFKLLSQEEGEYFNVPVPPEGSEGNEE LRQKFERAKIGQGTKAPEEKTANTISKFDNNGNRDRMKLTDFNFLMVLGKGSFGKVMLSE RKGTDELYAVKILKKDVVIQDDDVECTMVEKRVLALPGKPPFLTQLHSCFQTMDRLYFVM EYVNGGDLMYHIQQVGRFKEPHAVFYAAEIAIGLFFLQSKGIIYRDLKLDNVMLDSEGHI KIADFGMCKENIWDGVTTKTFCGTPDYIAPEIIAYQPYGKSVDWWAFGVLLYEMLAGQAP FEGEDEDELFQSIMEHNVAYPKSMSKEAVAICKGLMTKHPGKRLGCGPEGERDIKEHAFF RYIDWEKLERKEIQPPYKPKACGRNAENFDRFFTRHPPVLTPPDQEVIRNIDQSEFEGFS FVNSEFLKPEVKS >ENSMUSP00000070019.5 pep:known chromosome:GRCm38:7:122289297:122628655:1 gene:ENSMUSG00000052889.11 transcript:ENSMUST00000064989.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcb description:protein kinase C, beta [Source:MGI Symbol;Acc:MGI:97596] MADPAAGPPPSEGEESTVRFARKGALRQKNVHEVKNHKFTARFFKQPTFCSHCTDFIWGF GKQGFQCQVCCFVVHKRCHEFVTFSCPGADKGPASDDPRSKHKFKIHTYSSPTFCDHCGS LLYGLIHQGMKCDTCMMNVHKRCVMNVPSLCGTDHTERRGRIYIQAHIDREVLIVVVRDA KNLVPMDPNGLSDPYVKLKLIPDPKSESKQKTKTIKCSLNPEWNETFRFQLKESDKDRRL SVEIWDWDLTSRNDFMGSLSFGISELQKAGVDGWFKLLSQEEGEYFNVPVPPEGSEGNEE LRQKFERAKIGQGTKAPEEKTANTISKFDNNGNRDRMKLTDFNFLMVLGKGSFGKVMLSE RKGTDELYAVKILKKDVVIQDDDVECTMVEKRVLALPGKPPFLTQLHSCFQTMDRLYFVM EYVNGGDLMYHIQQVGRFKEPHAVFYAAEIAIGLFFLQSKGIIYRDLKLDNVMLDSEGHI KIADFGMCKENIWDGVTTKTFCGTPDYIAPEIIAYQPYGKSVDWWAFGVLLYEMLAGQAP FEGEDEDELFQSIMEHNVAYPKSMSKEAVAICKGLMTKHPGKRLGCGPEGERDIKEHAFF RYIDWEKLERKEIQPPYKPKACGRNAENFDRFFTRHPPVLTPPDQEVIRNIDQSEFEGFS FVNSEFLKPEVKS >ENSMUSP00000064812.4 pep:known chromosome:GRCm38:7:122289309:122634402:1 gene:ENSMUSG00000052889.11 transcript:ENSMUST00000064921.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcb description:protein kinase C, beta [Source:MGI Symbol;Acc:MGI:97596] MADPAAGPPPSEGEESTVRFARKGALRQKNVHEVKNHKFTARFFKQPTFCSHCTDFIWGF GKQGFQCQVCCFVVHKRCHEFVTFSCPGADKGPASDDPRSKHKFKIHTYSSPTFCDHCGS LLYGLIHQGMKCDTCMMNVHKRCVMNVPSLCGTDHTERRGRIYIQAHIDREVLIVVVRDA KNLVPMDPNGLSDPYVKLKLIPDPKSESKQKTKTIKCSLNPEWNETFRFQLKESDKDRRL SVEIWDWDLTSRNDFMGSLSFGISELQKAGVDGWFKLLSQEEGEYFNVPVPPEGSEGNEE LRQKFERAKIGQGTKAPEEKTANTISKFDNNGNRDRMKLTDFNFLMVLGKGSFGKVMLSE RKGTDELYAVKILKKDVVIQDDDVECTMVEKRVLALPGKPPFLTQLHSCFQTMDRLYFVM EYVNGGDLMYHIQQVGRFKEPHAVFYAAEIAIGLFFLQSKGIIYRDLKLDNVMLDSEGHI KIADFGMCKENIWDGVTTKTFCGTPDYIAPEIIAYQPYGKSVDWWAFGVLLYEMLAGQAP FEGEDEDELFQSIMEHNVAYPKSMSKEAVAICKGLMTKHPGKRLGCGPEGERDIKEHAFF RYIDWEKLERKEIQPPYKPKARDKRDTSNFDKEFTRQPVELTPTDKLFIMNLDQNEFAGF SYTNPEFVINV >ENSMUSP00000071421.6 pep:known chromosome:GRCm38:11:58009064:58062031:1 gene:ENSMUSG00000037331.15 transcript:ENSMUST00000071487.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp1 description:La ribonucleoprotein domain family, member 1 [Source:MGI Symbol;Acc:MGI:1890165] MATQVEPLLPAGAPLLQAEEHGLARKKPAPDAQAESGPGDGGGEPDGGVRRPRPACARPG RDGAERESPRPPAAAEAPAGSDGEDGGRRDFVEAPPPKVNPWTKHAPPPAAVNGQPPPEP SAPAKVVRAAAPKPRKGSKVGDFGDAVNWPTPGEIAHKSVQPQSHKPQPARKLPPKKDMK EQEKGDGSDSKESPKTKSDESGEEKNGDEDCQRGGQKKKGNKHKWVPLQIDMKPEVPREK LASRPTRPQEPRHTPAVRGEMKGSEPATYMPVSVAPPTPAWQPETKVEPAWHDQDETSSV KSDGAGGARASFRGRGRGRGRGRGRGRGGTRTHFDYQFGYRKFDGTEGPRTHKYMNNITY YFDNVSSNEIYSMDQELLKDYIKRQIEYYFSVDNLERDFFLRRKMDADGFLPITLIASFH RVQALTTDISLIFAALKDSKVVEMVEEKVRRREEPEKWPLPGPPIVDYSQTDFSQLLNCP EFVPRQHYQKETESAPGSPRAVTPVPTKTEEVSNLKTLPKGLSASLPDLDSESWIEVKKR PRPSPARPKKPEEPRFSHPTALPQQLPSQQLMSKDQDEQEELDFLFDEEMEQMDGRKNTF TAWSEEDSDYEIDDRDVNKILIVTQTPPYMRRHPGGDRTGNHTSRAKMSAELAKVINDGL FYYEQDLWTEKFEPEYSQIKQEVENFKKVNMISREQFDTLTPEPPVDPNQEVPPGPPRFQ QVPTDALANKLFGAPEPSTIARSLPTTVPESPNYRNARTPRTPRTPQLKDSSQTPRFYPV VKEGRTLDAKMPRKRKTRHSSNPPLESHVGWVMDSREHRPRTASISSSPSEGTPAVGSYG CTPQSLPKFQHPSHELLKENGFTQHVYHKYRRRCLNERKRLGIGQSQEMNTLFRFWSFFL RDHFNKKMYEEFKQLALEDAKEGYRYGLECLFRYYSYGLEKKFRLDIFKDFQEETVKDYE AGQLYGLEKFWAFLKYSKAKNLDIDPKLQEYLGKFRRLEDFRVDPPMGEEGNHKRHPVVA GGSGEGRKRCPSQSSSRPATGISQPPTTPTGQATREDAKWTSQHSDTLTLRK >ENSMUSP00000136673.1 pep:known chromosome:GRCm38:11:58009064:58062034:1 gene:ENSMUSG00000037331.15 transcript:ENSMUST00000178636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp1 description:La ribonucleoprotein domain family, member 1 [Source:MGI Symbol;Acc:MGI:1890165] MATQVEPLLPAGAPLLQAEEHGLARKKPAPDAQAESGPGDGGGEPDGGVRRPRPACARPG RDGAERESPRPPAAAEAPAGSDGEDGGRRDFVEAPPPKVNPWTKHAPPPAAVNGQPPPEP SAPAKVVRAAAPKPRKGSKVGDFGDAVNWPTPGEIAHKSVQPQSHKPQPARKLPPKKDMK EQEKGDGSDSKESPKTKSDESGEEKNGDEDCQRGGQKKKGSKHKWVPLQIDMKPEVPREK LASRPTRPQEPRHTPAVRGEMKGSEPATYMPVSVAPPTPAWQPETKVEPAWHDQDETSSV KSDGAGGARASFRGRGRGRGRGRGRGRGGTRTHFDYQFGYRKFDGTEGPRTHKYMNNITY YFDNVSSNEIYSMDQELLKDYIKRQIEYYFSVDNLERDFFLRRKMDADGFLPITLIASFH RVQALTTDISLIFAALKDSKVVEMVEEKVRRREEPEKWPLPGPPIVDYSQTDFSQLLNCP EFVPRQHYQKETESAPGSPRAVTPVPTKTEEVSNLKTLPKGLSASLPDLDSESWIEVKKR PRPSPARPKKPEEPRFSHPTALPQQLPSQQLMSKDQDEQEELDFLFDEEMEQMDGRKNTF TAWSEEDSDYEIDDRDVNKILIVTQTPPYMRRHPGGDRTGNHTSRAKMSAELAKVINDGL FYYEQDLWTEKFEPEYSQIKQEVENFKKVNMISREQFDTLTPEPPVDPNQEVPPGPPRFQ QVPTDALANKLFGAPEPSTIARSLPTTVPESPNYRNARTPRTPRTPQLKDSSQTPRFYPV VKEGRTLDAKMPRKRKTRHSSNPPLESHVGWVMDSREHRPRTASISSSPSEGTPAVGSYG CTPQSLPKFQHPSHELLKENGFTQHVYHKYRRRCLNERKRLGIGQSQEMNTLFRFWSFFL RDHFNKKMYEEFKQLALEDAKEGYRYGLECLFRYYSYGLEKKFRLDIFKDFQEETVKDYE AGQLYGLEKFWAFLKYSKAKNLDIDPKLQEYLGKFRRLEDFRVDPPMGEEGNHKRHPVVA GGSGEGRKRCPSQSSSRPATGISQPPTTPTGQATREDAKWTSQHSDTLTLRK >ENSMUSP00000125746.1 pep:known chromosome:GRCm38:10:111972664:111988432:1 gene:ENSMUSG00000063334.16 transcript:ENSMUST00000163048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krr1 description:KRR1, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:1289274] MATSAEAPAKEAQKRDSQPQKQKRETQDEAELLTVPDGWKEPAFSKEDNPRGLLEESSFA TLFPKYREAYLKECWPLVQKALNEHHVKATLDLIEGSMTVCTTKKTFDPYIIIRARDLIK LLARSVSFEQAVRILQDDVACDIIKIGSLVRNKERFVKRRQRLIGPKGSTLKALELLTNC YVMVQGNTVSAIGPFSGLKEVRKVVLDTMKNIHPIYNIKTLMIKRELAKDSELRSQSWER FLPQFKHKNVNKRKEPKKKSVKKEYTPFPPPQPESQIDKELASGEYFLKASQKKRQKMEA IKAKQAEALTKRQEERNKAFIPPKEKPAVKPKEASTETKIDVAAIKEKVKKAKTKKLGAL TAEEVKLKMEADEKKQKRKK >ENSMUSP00000134408.1 pep:known chromosome:GRCm38:10:111972793:111984681:1 gene:ENSMUSG00000063334.16 transcript:ENSMUST00000174653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krr1 description:KRR1, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:1289274] MATSAEAPAKEAQKRDSQPQKQKRETQDEAELLTVPDGWKEPAFSKEDNPRGLLEESSFA TLFPKYREAYLKECWPLVQKALNEHHVKATLDLIEGSMTVCTTKKTFDPYIIIRARDLIK LLARSVSFEQAVRILQDDVACDIIKIGSLVRNKERFVKRRQRLIGPKGSTLKALELLTNC YVMVQGNTVSAIGPFSGLKEVRKVVLDTMKNIHPIYNIKIDKELASGEYFLKASQKKRQK MEAIKAKQAEALTKRQEERNKAFIPPKEKPAVKPKEASTETKIDVAAIKEKVKKAKTKKL GALTAEEVKLKMEADEKKQKRKK >ENSMUSP00000019374.7 pep:known chromosome:GRCm38:1:138825186:138842457:-1 gene:ENSMUSG00000019230.14 transcript:ENSMUST00000019374.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx9 description:LIM homeobox protein 9 [Source:MGI Symbol;Acc:MGI:1316721] MEIVGCRAENNSCPFRPPAMLFHGISGGHIQGIMEEMERRSKTEARLTKGTQLNGRDAGM PPLSPEKPALCAGCGGKISDRYYLLAVDKQWHLRCLKCCECKLALESELTCFAKDGSIYC KEDYYRRFSVQRCARCHLGISASEMVMRARDSVYHLSCFTCSTCNKTLTTGDHFGMKDSL VYCRAHFETLLQGEYPPQLSYTELAAKSGGLALPYFNGTGTVQKGRPRKRKSPALGVDIV NYNSGCNENEADHLDRDQQPYPPSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQL AQKTGLTKRVLQGEQILGHYSQTSRRLKIP >ENSMUSP00000107661.2 pep:known chromosome:GRCm38:1:138825186:138848577:-1 gene:ENSMUSG00000019230.14 transcript:ENSMUST00000112030.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx9 description:LIM homeobox protein 9 [Source:MGI Symbol;Acc:MGI:1316721] MLNGTTLEAAMLFHGISGGHIQGIMEEMERRSKTEARLTKGTQLNGRDAGMPPLSPEKPA LCAGCGGKISDRYYLLAVDKQWHLRCLKCCECKLALESELTCFAKDGSIYCKEDYYRRFS VQRCARCHLGISASEMVMRARDSVYHLSCFTCSTCNKTLTTGDHFGMKDSLVYCRAHFET LLQGEYPPQLSYTELAAKSGGLALPYFNGTGTVQKGRPRKRKSPALGVDIVNYNSGCNEN EADHLDRDQQPYPPSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQLAQKTGLTKR VLQGEQILGHYSQTSRRLKIP >ENSMUSP00000036480.6 pep:known chromosome:GRCm38:1:138829146:138847719:-1 gene:ENSMUSG00000019230.14 transcript:ENSMUST00000046870.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx9 description:LIM homeobox protein 9 [Source:MGI Symbol;Acc:MGI:1316721] MLNGTTLEAAMLFHGISGGHIQGIMEEMERRSKTEARLTKGTQLNGRDAGMPPLSPEKPA LCAGCGGKISDRYYLLAVDKQWHLRCLKCCECKLALESELTCFAKDGSIYCKEDYYRRFS VQRCARCHLGISASEMVMRARDSVYHLSCFTCSTCNKTLTTGDHFGMKDSLVYCRAHFET LLQGEYPPQLSYTELAAKSGGLALPYFNGTGTVQKGRPRKRKSPALGVDIVNYNSGCNEN EADHLDRDQQPYPPSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQLAQKTGLTKR VLQVWFQNARAKFRRNLLRQENGGVDKADGTSLPAPPSADSGALTPPGTATTLTDLTNPT VTVVTTVTSNMDSHEPGSPSQTTLTNLF >ENSMUSP00000107657.2 pep:known chromosome:GRCm38:1:138830944:138842429:-1 gene:ENSMUSG00000019230.14 transcript:ENSMUST00000112026.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx9 description:LIM homeobox protein 9 [Source:MGI Symbol;Acc:MGI:1316721] MEIVGCRAENNSCPFRPPAMLFHGISGGHIQGIMEEMERRSKTEARLTKGTQLNGRDAGM PPLSPEKPALCAGCGGKISDRYYLLAVDKQWHLRCLKCCECKLALESELTCFAKDGSIYC KEDYYRRFSVQRCARCHLGISASEMVMRARDSVYHLSCFTCSTCNKTLTTGDHFGMKDSL VYCRAHFETLLQGEYPPQLSYTELAAKSGGLALPYFNGTGTVQKGRPRKRKSPALGVDIV NYNSGCNENEADHLDRDQQPYPPSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQL AQKTGLTKRVLQVWFQNARAKFRRNLLRQENGGVDKADGTSLPAPPSADSGALTPPGTAT TLTDLTNPTVTVVTTVTSNMDSHEPGSPSQTTLTNLF >ENSMUSP00000142268.1 pep:known chromosome:GRCm38:1:138832850:138842454:-1 gene:ENSMUSG00000019230.14 transcript:ENSMUST00000194557.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lhx9 description:LIM homeobox protein 9 [Source:MGI Symbol;Acc:MGI:1316721] MEIVGCRAENNSCPFRPPAMLFHGISGGHIQGIMEEMERRSKTEARLTKGTQLNGRDAKV LCAEMCPLPPWHFRL >ENSMUSP00000091198.3 pep:known chromosome:GRCm38:1:138825186:138847579:-1 gene:ENSMUSG00000019230.14 transcript:ENSMUST00000093486.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx9 description:LIM homeobox protein 9 [Source:MGI Symbol;Acc:MGI:1316721] MLNGTTLEAAMLFHGISGGHIQGIMEEMERRSKTEARLTKGTQLNGRDAGMPPLSPEKPA LCAGCGGKISDRYYLLAVDKQWHLRCLKCCECKLALESELTCFAKDGSIYCKEDYYRRFS VQRCARCHLGISASEMVMRARDSVYHLSCFTCSTCNKTLTTGDHFGMKDSLVYCRAHFET LLQGEYPPQLSYTELAAKSGGLALPYFNGTGTVQKGRPRKRKSPALGVDIVNYNSGCNEN EADHLDRDQQPYPPSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQLAQKTGLTKR VLQGEQILGHYSQTSRRLKIP >ENSMUSP00000146964.1 pep:known chromosome:GRCm38:X:73396439:73398736:-1 gene:ENSMUSG00000096966.2 transcript:ENSMUST00000180787.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm18336 description:predicted gene, 18336 [Source:MGI Symbol;Acc:MGI:5010521] MLPPKFTAMALAILQDWCGWMGVNAQRSLLILGIPDDCEEEEFQDAVEAALRPLGRYRVL GKVFRKEIGAKVALVEFANNLNQSLIPQQIPNNRGSWSVIFLPPVPEVESQDTFNFPAQA HGQALEGVSGGAGVSGRSGAAVEEGDIDETGVVGEAGGPAEKGATDEARMPGEEGAGKAR GGAAAPVSFLQEAGAAAAQARLSDEEGAEGEGGTGEAGRAVEGGAAAEASLSDEEGAEDE EGLIDEAEVIYEAGVIDEARLSDEGALDGEDDVVEAGVVRMSEEEGAGGDMGIVGVLGAM GWVGAAGEVGAAGEGGVLEAGEEGSAGDEESGSDEGSAGDEGSVDGGGSVGEAGAIGEDE AGAAGEAGMSDEEGAAGDMGVAGIIGAVGLAGAVGEAGGSGEEGAFDVAGGAHGAGAWTQ QWSQALQPILENMAYQELRHFSGMEEPGCGGQSFESWLDYANDMLYLWRHISERERRRRL VESLEGPALDLLCELLEQHPDTSAQDCLAALVQVFGNKDTAMTARLKFLTCSQQPQETLF AYVMRLEGLLQMAMEKGAIQPAMVDQARAQQVLMWARPNQMLQSNLRRMRLERRPPGFLG LLRLVRETEAWEAALAGNAEVQEGEGVEVHGGQLDVVQAALVSVGVAEPAPAVREAFLAS EDAGQAAAAAAQQAAGAAPDGDGATKADPDSGEAKAFPVTQRDKNVSAPADSGQAGPTES LSVLESMAHAGEQEAEQHVTEGLKEESENEDGAWESSHPKSFLGK >ENSMUSP00000137368.2 pep:known chromosome:GRCm38:X:33313379:33315286:1 gene:ENSMUSG00000101500.1 transcript:ENSMUST00000178827.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2863 description:predicted gene 2863 [Source:MGI Symbol;Acc:MGI:3781040] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDSDLSITPLEVPQGLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YVLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000024721.7 pep:known chromosome:GRCm38:17:40811126:40840754:1 gene:ENSMUSG00000023926.7 transcript:ENSMUST00000024721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhag description:Rhesus blood group-associated A glycoprotein [Source:MGI Symbol;Acc:MGI:1202713] MRFKFPLMAISLEVAMIVLFGLFVEYETPQNASQKNASHQNASQQGNTSSSAKKDQFFQL YPLFQDVHVMIFVGFGFLMTFLKKYGFSGVGFNLFLAALGLQWGTIMQGLLHSHGKEFHF GIYNMINADFSTATVLISFGAVLGKTSPIQMLIMTILEIAVFAGNEYLVTELFEASDTGA SMTIHAFGAYFGLAVAGVLYRPGLRCEHPNDESVYHSDLFAMIGTLFLWIFWPSFNSAIA DPGDHQYRAIVNTYMSLAACVITAYALSSLVERRGRLDMVHIQNATLAGGVAVGTCADME IPLYAAMTIGSIAGIISVLGYKFFSPLLANKLMIHDTCGVHNLHGLPGVFGGLASIVAIS WGMSTASMAMQAAALGSSIGSAIVGGLLTGLILKLPIWNQPPDEYCYDDSVSWKVPKFRE LDNRFFQHANHNHVEHEV >ENSMUSP00000039368.6 pep:known chromosome:GRCm38:4:118208213:118291405:-1 gene:ENSMUSG00000033295.13 transcript:ENSMUST00000049074.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprf description:protein tyrosine phosphatase, receptor type, F [Source:MGI Symbol;Acc:MGI:102695] MAPEPAPGRRMVPLVPALVMLGLMAGAHGDSKPVFVKVPEDQTGLSGGVASFVCQATGEP KPRITWMKKGKKVSSQRFEVIEFDDGAGSVLRIQPLRVQRDEAIYECTATNSLGEINTSA KLSVLEEDQLPSGFPTIDMGPQLKVVEKGRTATMLCAAGGNPDPEISWFKDFLPVDPAAS NGRIKQLRSGALQIESSEESDQGKYECVATNSAGTRYSAPANLYVRVRRVAPRFSIPPSS QEVMPGGSVNLTCVAVGAPMPYVKWMMGAEELTKEDEMPVGRNVLELSNVMRSANYTCVA ISSLGMIEATAQVTVKALPKPPIDLVVTETTATSVTLTWDSGNTEPVSFYGIQYRAAGTD GPFQEVDGVASTRYSIGGLSPFSEYAFRVLAVNSIGRGPPSEAVRARTGEQAPSSPPRRV QARMLSASTMLVQWEPPEEPNGLVRGYRVYYTPDSRRPLSAWHKHNTDAGLLTTVGSLLP GITYSLRVLAFTAVGDGPPSPTIQVKTQQGVPAQPADFQANAESDTRIQLSWLLPPQERI VKYELVYWAAEDEGQQHKVTFDPTSSYTLEDLKPDTLYHFQLAARSDLGVGVFTPTVEAR TAQSTPSAPPQKVTCVSTGSTTVRVSWVPPPADSRNGIITQYSVAYEAVDGEDRKRHVVD GISREHSSWDLLGLEKWTEYRVWVRAHTDVGPGPESSPVLVRTDEDVPSGPPRKVEVEPL NSTAVHVSWKLPVPNKQHGQIRGYQVTYVRLENGEPRGQPIIQDVMLAEAQETTISGLTP ETTYSITVAAYTTKGDGARSKPKVVTTTGAVPGRPTMMVSTTAMHTALLQWHPPKELPGE LLGYRLQYRRADEARPNTIDFGKDDQHFTVTGLHKGATYVFRLAAKNRAGPGEEFEKEIT TPEDVPSGFPQNLRVTGLTTSTTELTWDPPVLAERNGHITNYTVVYRDINSQLELQNVTN DTHLTLLGLKPDTTYDIKVRAHTSKGAGPLSPSIQSRTMPVEQVFAKNFRVAAAMKTSVL LSWEVPDSYKSAVPFKILYNGQSVEVDGHSMRKLIADLQPNTEYSFVLMNRGSSAGGLQH LVSIRTAPDLLPQKPLPASAFIEDGRFSLSMPQVQDPSLVRWFYIVVVPIDRVGGNLLAP RWNTPEELELDELLEAIEQGEEKQRRRRRQAERLKPYVAAQVDVLPDTFTLGDKKSYRGF YNRPLSPDLSYQCFVLASLKEPMDQKRYASSPYSDEIVVQVTPAQQQEEPEMLWVTGPVL AVILIILIVIAILLFKRKRTHSPSSKDEQSIGLKDSLLAHSSDPVEMRRLNYQTPGMRDH PPIPITDLADNIERLKANDGLKFSQEYESIDPGQQFTWENSNSEVNKPKNRYANVIAYDH SRVLLTSIDGVPGSDYINANYIDGYRKQNAYIATQGPLPETMGDFWRMVWEQRTATVVMM TRLEEKSRVKCDQYWPVRGTETYGLIQVTLVDTVELATYTMRTFALHKSGSSEKRELRQF QFMAWPDHGVPEYPTPILAFLRRVKACNPLDAGPMVVHCSAGVGRTGCFIVIDAMLERMK HEKTVDIYGHVTCMRSQRNYMVQTEDQYVFIHEALLEAAMCGHTEVLARNLYAHIQKLGQ VPPGESVTAMELEFKLLANSKAHTSRFVSANLPCNKFKNRLVNIMPYELTRVCLQPIRGV EGSDYINASFLDGYRQQKAYIATQGPLAESTEDFWRMLWEHNSTIIVMLTKLREMGREKC HQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSRTIRQFQFTDWPEQGVP KTGEGFIDFIGQVHKTKEQFGQDGPITVHCSAGVGRTGVFITLSIVLERMRYEGVVDMFQ TVKTLRTQRPAMVQTEDQYQLCYRAALEYLGSFDHYAT >ENSMUSP00000117313.1 pep:known chromosome:GRCm38:4:118209005:118236445:-1 gene:ENSMUSG00000033295.13 transcript:ENSMUST00000150096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprf description:protein tyrosine phosphatase, receptor type, F [Source:MGI Symbol;Acc:MGI:102695] XSVTLTWDSGNTEPVSFYGIQYRAAGTDGPFQEVDGVASTRYSIGGLSPFSEYAFRVLAV NSIGRGPPSEAVRARTGEQAPSSPPRRVQARMLSASTMLVQWEPPEEPNGLVRGYRVYYT PDSRRPLSAWHKHNTDAGLLTTVGSLLPGITYSLRVLAFTAVGDGPPSPTIQVKTQQGVP AQPADFQANAESDTRIQLSWLLPPQERIVKYELVYWAAEDEGQQHKVTFDPTSSYTLEDL KPDTLYHFQLAARSDLGVGVFTPTVEARTAQSMPSGPPRKVEVEPLNSTAVHVSWKLPVP NKQHGQIRGYQVTYVRLENGEPRGQPIIQDVMLAEAQWRPEESEDYETTISGLTPETTYS ITVAAYTTKGDGARSKPKVVTTTGAVFAKNFRVAAAMKTSVLLSWEVPDSYKSAVPFKIL YNGQSVEVDGHSMRKLIADLQPNTEYSFVLMNRGSSAGGLQHLVSIRTAPDLLPQKPLPA SAFIEDGRFSLSMPQVQDPSLVRWFYIVVVPIDRVGGNLLAPRWNTPEELELDELLEAIE QGEEKQRRRRRQAERLKPYVAAQVDVLPDTFTLGDKKSYRGFYNRPLSPDLSYQCFVLAS LKEPMDQKRYASSPYSDEIVVQVTPAQQQEEPEMLWVTGPVLAVILIILIVIAILLFKRK RTHSPSSKDEQSIGLKDSLLAHSSDPVEMRRLNYQTPGSSAPSCPNISSMRDHPPIPITD LADNIERLKANDGLKFSQEYESIDPGQQFTWENSNSEVNKPKNRYANVIAYDHSRVLLTS IDGVPGSDYINANYIDGYRKQNAYIATQGPLPETMGDFWRMVWEQRTATVVMMTRLEEKS RVKCDQYWPVRGTETYGLIQVTLVDTVELATYTMRTFALHKSGSSEKRELRQFQFMAWPD HGVPEYPTPILAFLRRVKACNPLDAGPMVVHCSAGVGRTGCFIVIDAMLERMKHEKTVDI YGHVTCMRSQRNYMVQTEDQYVFIHEALLEAAMCGHTEVLARNLYAHIQKLGQVPPGESV TAMELEFKLLANSKAHTSRFVSANLPCNKFKNRLVNIMPYELTRVCLQPIRGVEGSDYIN ASFLDGYRQQKAYIATQGPLAESTEDFWRMLWEHNSTIIVMLTKLREMGREKCHQYWPAE RSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSRTIRQFQFTDWPEQGVPKTGEGFI DFIGQVHKTKEQFGQDGPITVHCSAGVGRTGVFITLSIVLERMRYEGVVDMFQTVKTLRT QRPAMVQTEDQYQLCYRAALEYLGSFDHYAT >ENSMUSP00000119954.1 pep:known chromosome:GRCm38:4:118209010:118236016:-1 gene:ENSMUSG00000033295.13 transcript:ENSMUST00000124758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprf description:protein tyrosine phosphatase, receptor type, F [Source:MGI Symbol;Acc:MGI:102695] XSLLPGITYSLRVLAFTAVGDGPPSPTIQVKTQQGVPAQPADFQANAESDTRIQLSWLLP PQERIVKYELVYWAAEDEGQQHKVTFDPTSSYTLEDLKPDTLYHFQLAARSDLGVGVFTP TVEARTAQSMPSGPPRKVEVEPLNSTAVHVSWKLPVPNKQHGQIRGYQVTYVRLENGEPR GQPIIQDVMLAEAQETTISGLTPETTYSITVAAYTTKGDGARSKPKVVTTTGAVPGRPTM MVSTTAMHTALLQWHPPKELPGELLGYRLQYRRADEARPNTIDFGKDDQHFTVTGLHKGA TYVFRLAAKNRAGPGEEFEKEITTPEDVPSGFPQNLRVTGLTTSTTELTWDPPVLAERNG HITNYTVVYRDINSQLELQNVTNDTHLTLLGLKPDTTYDIKVRAHTSKGAGPLSPSIQSR TMPVEQVFAKNFRVAAAMKTSVLLSWEVPDSYKSAVPFKILYNGQSVEVDGHSMRKLIAD LQPNTEYSFVLMNRGSSAGGLQHLVSIRTAPDLLPQKPLPASAFIEDGRFSLSMPQVQDP SLVRWFYIVVVPIDRVGGNLLAPRWNTPEELELDELLEAIEQGEEKQRRRRRQAERLKPY VAAQVDVLPDTFTLGDKKSYRGFYNRPLSPDLSYQCFVLASLKEPMDQKRYASSPYSDEI VVQVTPAQQQEEPEMLWVTGPVLAVILIILIVIAILLFKRKRTHSPSSKDEQSIGLKDSL LAHSSDPVEMRRLNYQTPGSSAPSCPNISSMRDHPPIPITDLADNIERLKANDGLKFSQE YESIDPGQQFTWENSNSEVNKPKNRYANVIAYDHSRVLLTSIDGVPGSDYINANYIDGYR KQNAYIATQGPLPETMGDFWRMVWEQRTATVVMMTRLEEKSRVKCDQYWPVRGTETYGLI QVTLVDTVELATYTMRTFALHKSGSSEKRELRQFQFMAWPDHGVPEYPTPILAFLRRVKA CNPLDAGPMVVHCSAGVGRTGCFIVIDAMLERMKHEKTVDIYGHVTCMRSQRNYMVQTED QYVFIHEALLEAAMCGHTEVLARNLYAHIQKLGQVPPGESVTAMELEFKLLANSKAHTSR FVSANLPCNKFKNRLVNIMPYELTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGP LAESTEDFWRMLWEHNSTIIVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMP QYILREFKVTDARDGQSRTIRQFQFTDWPEQGVPKTGEGFIDFIGQVHKTKEQFGQDGPI TVHCSAGVGRTGVFITLSIVLERMRYEGVVDMFQTVKTLRTQRPAMVQTEDQYQLCYRAA LEYLGSFDHYAT >ENSMUSP00000104777.2 pep:known chromosome:GRCm38:15:98663421:98677460:-1 gene:ENSMUSG00000054855.13 transcript:ENSMUST00000109149.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnd1 description:Rho family GTPase 1 [Source:MGI Symbol;Acc:MGI:2444878] MKERRAPQPVVVRCKLVLVGDVQCGKTAMLQVLAKDCYPETYVPTVFENYTACLETEEQR VELSLWDTSGSPYYDNVRPLCYSDSDAVLLCFDISRPETMDSALKKWRTEILDYCPSTRV LLIGCKTDLRTDLSTLMELSHQKQAPISYEQRVLTVLFEPKRKEVGLRLEEKFGGLMYGE PEGRHQQ >ENSMUSP00000003451.4 pep:known chromosome:GRCm38:15:98669205:98677461:-1 gene:ENSMUSG00000054855.13 transcript:ENSMUST00000003451.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnd1 description:Rho family GTPase 1 [Source:MGI Symbol;Acc:MGI:2444878] MKERRAPQPVVVRCKLVLVGDVQCGKTAMLQVLAKDCYPETYVPTVFENYTACLETEEQR VELSLWDTSGSPYYDNVRPLCYSDSDAVLLCFDISRPETMDSALKKWRTEILDYCPSTRV LLIGCKTDLRTDLSTLMELSHQKQAPISYEQGCAIAKQLGAEIYLEGSAFTSETSIHSIF RTASMVCLNKSSPVPPKSPVRSLSKRLLHLPSRSELISTTFKKEKAKSCSIM >ENSMUSP00000113830.1 pep:known chromosome:GRCm38:15:98672217:98677458:-1 gene:ENSMUSG00000054855.13 transcript:ENSMUST00000120997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnd1 description:Rho family GTPase 1 [Source:MGI Symbol;Acc:MGI:2444878] MKERRAPQPVVVRCKLVLVGDVQCGKTAMLQVLAKDCYPETYVPTVFENYTACLETEEQR VELSLWDTSGSPYYDNVRPLCYSDSDAVLLCFDISRPETMDSALKKWRTEILDYCPSTRV LLIGCKTDLRTDLSTLMELSHQKQAPISYEQVCVHVCVCVCVCVCVCV >ENSMUSP00000104560.1 pep:known chromosome:GRCm38:2:177935993:177957288:-1 gene:ENSMUSG00000078862.10 transcript:ENSMUST00000108932.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14326 description:predicted gene 14326 [Source:MGI Symbol;Acc:MGI:3709298] MDLVTYDDVQVNFTRDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGSNKKTH >ENSMUSP00000104558.2 pep:known chromosome:GRCm38:2:177945537:177957297:-1 gene:ENSMUSG00000078862.10 transcript:ENSMUST00000108930.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14326 description:predicted gene 14326 [Source:MGI Symbol;Acc:MGI:3709298] MDLVTYDDVQVNFTRDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKAFARSCHLRIHNRTHAGEKQYECNQCGKAFKRRSDLQIHKRT HTGEKPYECNQCGKAFVSSGDLQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYEWNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHIHERRHTGDKPYE CKQCGKAFAVFYTLQMHKRTHTGDKPYECKQCGKAFTVIYTLQMHKQTHTGEKPYECKQC GKAFSQSRHLRIHKRTHTGEKPYECNQCGKAFARSGDQQEHKRTHTGEKPYECNQCGKAF IRRRVLQIHKRTHTGEKSYECKQCGKAFAGSSHLRIHKRTHTGEKPYECNQCGKAFITRR VLQIHKRTHTGEKSYECKQCGKAFASSSDLQKHKRTHSGEKPYECKQCGKAFAQSSHLRI HKQTHTGERPYECN >ENSMUSP00000104556.1 pep:known chromosome:GRCm38:2:177947678:177957239:-1 gene:ENSMUSG00000078862.10 transcript:ENSMUST00000108928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14326 description:predicted gene 14326 [Source:MGI Symbol;Acc:MGI:3709298] MDLVTYDDVQVNFTRDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGR >ENSMUSP00000137615.1 pep:known chromosome:GRCm38:2:177945537:177953684:-1 gene:ENSMUSG00000078862.10 transcript:ENSMUST00000178829.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14326 description:predicted gene 14326 [Source:MGI Symbol;Acc:MGI:3709298] MDLVTYDDVQVNFTRDEWALLDPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR SHGRHERSCSAEQPSEFIQCGKAFAYESGRQRHQIKHNGEKHHDCNQCGKDFRTWNVLQI HKRTHTGEKPYDCKQCGKAFARSCHLRIHNRTHAGEKQYECNQCGKAFKRRSDLQIHKRT HTGEKPYECNQCGKAFVSSGDLQKHKRTHTGEKPYECKQCGKAFSQSSHLRIHKRTHTGE KPYEWNQCGKAFARSGDLQKHKRTHTGEKPYECKQCGKAFAHSSHLHIHERRHTGDKPYE CKQCGKAFAVFYTLQMHKRTHTGDKPYECKQCGKAFTVIYTLQMHKQTHTGEKPYECKQC GKAFSQSRHLRIHKRTHTGEKPYECNQCGKAFARSGDQQEHKRTHTGEKPYECNQCGKAF IRRRVLQIHKRTHTGEKSYECKQCGKAFAGSSHLRIHKRTHTGEKPYECNQCGKAFITRR VLQIHKRTHTGEKSYECKQCGKAFASSSDLQKHKRTHSGEKPYECKQCGKAFAQSSHLRI HKQTHTGERPYECN >ENSMUSP00000117408.1 pep:known chromosome:GRCm38:10:128917882:128923524:-1 gene:ENSMUSG00000090247.7 transcript:ENSMUST00000153731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bloc1s1 description:biogenesis of lysosomal organelles complex-1, subunit 1 [Source:MGI Symbol;Acc:MGI:1195276] MLSRLLKEHQAKQNERKELQEKRRREAIAAATCLTEALVDHLNVGVAQAYMNQRKLDHEV KTLQVQAAQFAKQTGQWIGMVENFNQALKDFLVW >ENSMUSP00000026405.3 pep:known chromosome:GRCm38:10:128919914:128923524:-1 gene:ENSMUSG00000090247.7 transcript:ENSMUST00000026405.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bloc1s1 description:biogenesis of lysosomal organelles complex-1, subunit 1 [Source:MGI Symbol;Acc:MGI:1195276] MLSRLLKEHQAKQNERKELQEKRRREAIAAATCLTEALVDHLNVGVAQAYMNQRKLDHEV KTLQVQAAQFAKQTGQWIGMVENFNQALKEIGDVENWARSIELDMRTIATALEYVYKGQL QSAPS >ENSMUSP00000114321.1 pep:known chromosome:GRCm38:10:128919947:128924035:-1 gene:ENSMUSG00000090247.7 transcript:ENSMUST00000131271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bloc1s1 description:biogenesis of lysosomal organelles complex-1, subunit 1 [Source:MGI Symbol;Acc:MGI:1195276] MLLYSDHPELREPRWREKRRREAIAAATCLTEALVDHLNVGVAQAYMNQRKLDHEVKTLQ VQAAQFAKQTGQWIGMVENFNQALKEIGDVENWARSIELDMRTIATALEYVYKGQLQSAP S >ENSMUSP00000033740.5 pep:known chromosome:GRCm38:X:73411096:73426998:1 gene:ENSMUSG00000031374.13 transcript:ENSMUST00000033740.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp92 description:zinc finger protein 92 [Source:MGI Symbol;Acc:MGI:108094] MAATLLRAKPKVTVSFEDVSVYFTKTEWRLLDLKQRTLYKQVMLENYSHLVSVGFAFSKP NLVSQLERGEKPWIRDDGMESAARSCAGNRIKTKTLTSKPKLFGRGLLRNTSRSSLQRRP HDFRPNPIVRYQHSRIADKRYLCQQCGKSFSRSFNLIKHRIIHSREKPYECSECGKQFQR SLALLEHQRIHSGDKPYECGECGKTFTRSSNLVKHQVIHSSEMPFVCRMCGKVFRRSFAL LEHTRIHSGERPFECTECGKAFSRSSNLIEHQRIHSGQKPYICKECGKAFKGVSQLIHHQ LIHRGDKPFTCHEYGKAFRGLSGLSQHQRVHRGEKPYECSECGRAFGRRANLFKHQVVHG GVRLQHRTRGKGFQRKLLEHLRDLHGQQPQEAGEGSSAEPQPIDTNEKPQVCERCGQVFE NKLLLCRHLRIHDDEDDKKQKPVISSTSVLEDKSLLSQHLEAQPTEESDSEGSVVFVYAE KPHGPSSP >ENSMUSP00000083661.2 pep:known chromosome:GRCm38:X:73411560:73428385:1 gene:ENSMUSG00000031374.13 transcript:ENSMUST00000086470.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp92 description:zinc finger protein 92 [Source:MGI Symbol;Acc:MGI:108094] MAATLLRAKPKVTVSFEDVSVYFTKTEWRLLDLKQRTLYKQVMLENYSHLVSVGFAFSKP NLVSQLERGEKPWIRDDGMESAARSCAGNRIKTKTLTSKPKLFGRGLLRNTSRSSLQRRP HDFRPNPIVRYQHSRIADKRYLCQQCGKSFSRSFNLIKHRIIHSREKPYECSECGKQFQR SLALLEHQRIHSGDKPYECGECGKTFTRSSNLVKHQVIHSSEMPFVCRMCGKVFRRSFAL LEHTRIHSGERPFECTECGKAFSRSSNLIEHQRIHSGQKPYICKECGKAFKGVSQLIHHQ LIHRGDKPFTCHEYGKAFRGLSGLSQHQRVHRGDVAWAYFEFLPLTLECWTRHLPPKSAL NYYCRTRSPPSFTQT >ENSMUSP00000145009.1 pep:known chromosome:GRCm38:6:95117240:95121890:1 gene:ENSMUSG00000030031.14 transcript:ENSMUST00000122938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd8 description:kelch repeat and BTB (POZ) domain containing 8 [Source:MGI Symbol;Acc:MGI:2661430] MWLRGCNFKWALQVTHQRPIDDLSKSSPTPNGIPSSDTANDTMDPFHACSILKQLKTMYD EGQLTDIVVEVDHGKTFSCHRNVLAAISPYFRSMFTSGLTESTQKEVRIIGVEAESMDLV LNYAYTSRVILTEANVQALFTT >ENSMUSP00000032107.7 pep:known chromosome:GRCm38:6:95117740:95129790:1 gene:ENSMUSG00000030031.14 transcript:ENSMUST00000032107.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd8 description:kelch repeat and BTB (POZ) domain containing 8 [Source:MGI Symbol;Acc:MGI:2661430] MAASADLSKSSPTPNGIPSSDTANDTMDPFHACSILKQLKTMYDEGQLTDIVVEVDHGKT FSCHRNVLAAISPYFRSMFTSGLTESTQKEVRIIGVEAESMDLVLNYAYTSRVILTEANV QALFTTASIFQIPSIQDQCAKYMISHLDPQNSIGVFIFADHYGHQELGDRSKEYIRKKFL CVTKEQEFLQLTKDQLISILDSDDLNVDREEHVYESIIRWFEHEQSEREVHLPEIFAKCI RFPLMEDTFIEKIPPQFAQAIVKSCGEPSNTSGCTQRLGMTASEMIICFDAAHKHSGKKQ TVPCLDIVTGRVFKLCKPPNDLREVGILVSPDNDIYIAGGYRPSSSEVSIDHKAENDFWM YDHSTNRWLSKPSLLRARIGCKLVYCCGKMYAIGGRVYEGDGRNSLKSVECYDSRENCWM TVCAMPVAMEFHNAVEHKEKIYVLQGEFFLFYEPQKDYWGFLTPMTVPRIQGLAAVYKDS IYYIAGTCGNHQRVFTVEAYDIELNKWTRKKDFPCDQSINPYLKLVLFQNKLHLFVRATQ VTVEEHIFRTSRKNSLYQYDDIADQWMKVYETPDRLWDLGRHFECAVAKLYPQCLQKVL >ENSMUSP00000113739.1 pep:known chromosome:GRCm38:6:95117880:95129790:1 gene:ENSMUSG00000030031.14 transcript:ENSMUST00000119582.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd8 description:kelch repeat and BTB (POZ) domain containing 8 [Source:MGI Symbol;Acc:MGI:2661430] MFTSGLTESTQKEVRIIGVEAESMDLVLNYAYTSRVILTEANVQALFTTASIFQIPSIQD QCAKYMISHLDPQNSIGVFIFADHYGHQELGDRSKEYIRKKFLCVTKEQEFLQLTKDQLI SILDSDDLNVDREEHVYESIIRWFEHEQSEREVHLPEIFAKCIRFPLMEDTFIEKIPPQF AQAIVKSCGEPSNTSGCTQRLGMTASEMIICFDAAHKHSGKKQTVPCLDIVTGRVFKLCK PPNDLREVGILVSPDNDIYIAGGYRPSSSEVSIDHKAENDFWMYDHSTNRWLSKPSLLRA RIGCKLVYCCGKMYAIGGRVYEGDGRNSLKSVECYDSRENCWMTVCAMPVAMEFHNAVEH KEKIYVLQGEFFLFYEPQKDYWGFLTPMTVPRIQGLAAVYKDSIYYIAGTCGNHQRVFTV EAYDIELNKWTRKKDFPCDQSINPYLKLVLFQNKLHLFVRATQVTVEEHIFRTSRKNSLY QYDDIADQWMKVYETPDRLWDLGRHFECAVAKLYPQCLQKVL >ENSMUSP00000124529.1 pep:known chromosome:GRCm38:3:9687479:9833679:-1 gene:ENSMUSG00000027508.15 transcript:ENSMUST00000161949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pag1 description:phosphoprotein associated with glycosphingolipid microdomains 1 [Source:MGI Symbol;Acc:MGI:2443160] MGPAGSVLSSGQMQMQMVLWGSLAAVAMFFLITFLVLLCSTCDREKKPRQHSGDHENLMN VPSDKDMFSHSATSLTTDALASSEQNGVLTNGDILSEDSTLTCMQHYEEVQTSASDLLDS QDSTGKAKCHQSRELPRIPPENAVDEILTARAADTELGPGVEGPYEVLKDSSSQENMVED CLYETVKEIKEVADKGQGGKSKSTSALKELQGAPMEGKADFAEYASVDRNKKCRHSANAE SILGTCSDLDEESPPPVPVKLLDENANLPQEGGGQAEEQAAEGTGGHSKRFSSLSYKSRE EDPTLTEEEISAMYSSVNKPGQSAHKPGPCMKGPESACHSMKGLPQRSSSSCNDLYATVK DFEKTPNSISTLPPARRPSEEPEPDYEAIQTLNREDEKVPLETNGHHVPKESDYESIGDL QQCRDVTRL >ENSMUSP00000104021.2 pep:known chromosome:GRCm38:3:9691898:9833630:-1 gene:ENSMUSG00000027508.15 transcript:ENSMUST00000108384.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pag1 description:phosphoprotein associated with glycosphingolipid microdomains 1 [Source:MGI Symbol;Acc:MGI:2443160] MGPAGSVLSSGQMQMQMVLWGSLAAVAMFFLITFLVLLCSTCDREKKPRQHSGDHENLMN VPSDKDMFSHSATSLTTDALASSEQNGVLTNGDILSEDSTLTCMQHYEEVQTSASDLLDS QDSTGKAKCHQSRELPRIPPENAVDEILTARAADTELGPGVEGPYEVLKDSSSQENMVED CLYETVKEIKEVADKGQGGKSKSTSALKELQGAPMEGKADFAEYASVDRNKKCRHSANAE SILGTCSDLDEESPPPVPVKLLDENANLPQEGGGQAEEQAAEGTGGHSKRFSSLSYKSRE EDPTLTEEEISAMYSSVNKPGQSAHKPGPCMKGPESACHSMKGLPQRSSSSCNDLYATVK DFEKTPNSISTLPPARRPSEEPEPDYEAIQTLNREDEKVPLETNGHHVPKESDYESIGDL QQCRDVTRL >ENSMUSP00000033056.3 pep:known chromosome:GRCm38:7:127989708:127993867:-1 gene:ENSMUSG00000030793.4 transcript:ENSMUST00000033056.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pycard description:PYD and CARD domain containing [Source:MGI Symbol;Acc:MGI:1931465] MGRARDAILDALENLSGDELKKFKMKLLTVQLREGYGRIPRGALLQMDAIDLTDKLVSYY LESYGLELTMTVLRDMGLQELAEQLQTTKEESGAVAAAASVPAQSTARTGHFVDQHRQAL IARVTEVDGVLDALHGSVLTEGQYQAVRAETTSQDKMRKLFSFVPSWNLTCKDSLLQALK EIHPYLVMDLEQS >ENSMUSP00000146211.1 pep:known chromosome:GRCm38:7:127991640:127993527:-1 gene:ENSMUSG00000030793.4 transcript:ENSMUST00000205594.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pycard description:PYD and CARD domain containing [Source:MGI Symbol;Acc:MGI:1931465] ALLQMDAIDLTDKLVSYYLESYGLELTMTVLRDMGLQELAEQLQTTKEESGAVAAAASVP AQSTARTGHFVDQHRQALIARVTEVDGVLDALHGSVLTEGQYQAVRAETTSQDKMRKLFS FVPSWNLTCKDSLLQALKEIHPYLVMDLEQS >ENSMUSP00000146943.1 pep:known chromosome:GRCm38:12:72605646:72628880:-1 gene:ENSMUSG00000109482.1 transcript:ENSMUST00000208039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-300E17.1 MDIEFWILALCALLLPAFGLLSFLRLDSDLTLLWASWMGRRPEQALIGMVVWVTGASSGI GEELAFQLSKLGVSLVLSARRAQELERVKRRCLENGNMRENDILVLPLDLADTSSHDIAT KAVLQEFGRIDILVNNGGETNYCFAVDANLDVFKVLMEVNYLGTVSLTKSVLPHMMERKQ GKIVIMNSLAGIVPSPLCCGYIASKHALRGFANALRTELLDHPGITLSTICPGPVHSNIY QNYLTREAIKARWPKPQEFPKMATSRCVQLILVSMANDLEEVWIANQPALFRTYVWQYVP IRDWIISRTQWKKRIEIFRITMDDPEGDHDKRPSK >ENSMUSP00000146963.1 pep:known chromosome:GRCm38:12:72605787:72628885:-1 gene:ENSMUSG00000109482.1 transcript:ENSMUST00000207585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-300E17.1 MDIEFWILALCALLLPAFGLLSFLRLDSDLTLLWASWMGRRPENGNMRENDILVLPLDLA DTSSHDIATKAVLQEFGRIDILVNNGGETNYCFAVDANLDVFKVLMEVNYLGTVSLTKSV LPHMMERKQGKIVIMNSLAGIVPSPLCCGYIASKHALRDDPEGDHDKRPSK >ENSMUSP00000147204.1 pep:known chromosome:GRCm38:12:72611710:72649661:-1 gene:ENSMUSG00000109482.1 transcript:ENSMUST00000208307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-300E17.1 MRENDILVLPLDLADTSSHDIATKAVLQEFGRIDILVNNGGETNYCFAVDANLDVFKVLM EVNYLGTVSLTKSVLPHMMERKQGKIVIMNSLAGIVPSPLCCGYIASKHALRGFANALRT ELLDHPGITLSTICPGPVHSNIYQNYLTREAIKARWPKPQEFPKMATSRCVQLILVSMAN DLEEVWIANQPALFRTYVWQYVPIRDWIISRTQWKKRIEIFRITMV >ENSMUSP00000147067.1 pep:known chromosome:GRCm38:12:72618954:72628901:-1 gene:ENSMUSG00000109482.1 transcript:ENSMUST00000209038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-300E17.1 MDIEFWILALCALLLPAFGLLSFLRLDSDLTLLWASWMGRRPENGNMRENDILVLPLDLA DTSSHDIATKAVLQEFGRIDILVNNGGETNYCFAVDANLDVFKVLMEVNYLGTVSLTKSV LPHMMERKQGKIVIMNSLAGIVPSPLCCGYIASKHALRVRLFQLNSVSIDCNVHGGFVHQ WVRLMELRDNGPQKENNFEATTPVNNQKY >ENSMUSP00000146711.1 pep:known chromosome:GRCm38:12:72618954:72628934:-1 gene:ENSMUSG00000109482.1 transcript:ENSMUST00000209092.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:RP23-300E17.1 MDIEFWILALCALLLPAFGLLSFLRLDSDLTLLWASWMGRRPGENLL >ENSMUSP00000104553.2 pep:known chromosome:GRCm38:2:178023284:178032287:1 gene:ENSMUSG00000074519.11 transcript:ENSMUST00000108925.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etohi1 description:ethanol induced 1 [Source:MGI Symbol;Acc:MGI:1261426] MDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSR SHGR >ENSMUSP00000104554.2 pep:known chromosome:GRCm38:2:178023379:178034022:1 gene:ENSMUSG00000074519.11 transcript:ENSMUST00000108926.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etohi1 description:ethanol induced 1 [Source:MGI Symbol;Acc:MGI:1261426] MDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLTAIGYSWEEHTIEDHFQTSR SHGRHERSSSAEQHSEFIQCGKAFAYQSHSQRHERIHNGEKHCECNQCGKDFGTRSILQR LKRTHTGEKPYECNHCGKAFVESCTLQIHKRKHTGEKPYECNQCGKAFAQSCHLRIHKRT HTGEKPYECKQCGKAFAVSSHLGIHKRTHTGEKPYECKQCGKAFAVSSHLGIHKRTHTGE KPYECKQCDKAFVRRGKLQIHKRTHTGEKPYECKQCGKAFAHSGTLQIHKRTHTGEKPYE CYQCGKAFATSCHLRRHNRTHSGEKQYECNQCGKAFASSSDLQKHKRTHTGDKPYECKQC GKAFASSSDLQKHKRTHTGEKPYECKQCGKAFAESSTLQRHKRTHTGEKPYECKQCGKAF ARSCHLQIHKRTHTGEKPYECKQCGKAFARSSHLRIHKRTHTGERPYECN >ENSMUSP00000026406.7 pep:known chromosome:GRCm38:10:128913593:128919297:-1 gene:ENSMUSG00000025350.15 transcript:ENSMUST00000026406.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh5 description:retinol dehydrogenase 5 [Source:MGI Symbol;Acc:MGI:1201412] MWLPLLLGALLWAVLWLLRDRQSLPASDAFIFITGCDSGFGRLLALQLDQKGFQVLAGCL TPSGAEDLQQMASSRLHTTLLDITDPQNVQQVAKWVKTRVGETGLFGLVNNAGVAGIIGP TPWLTQDDFQRVLSVNTLGPIGVTLALLPLLQQARGRVVNITSVLGRIAANGGGYCVSKF GLEAFSDSLRRDMAPFGVQVSIVEPGFFRTPVTNLESLESTLKACWARLPPAIQAHYGEA FLDTYLRVQRRIMNLICDPELTKVTSCLEHALTARHPRTRYSPGWDAKLLWLPASYLPAR VVDAVLTWILPRPAQSVS >ENSMUSP00000116558.1 pep:known chromosome:GRCm38:10:128913817:128918052:-1 gene:ENSMUSG00000025350.15 transcript:ENSMUST00000137747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh5 description:retinol dehydrogenase 5 [Source:MGI Symbol;Acc:MGI:1201412] LSVNTLGPIGVTLALLPLLQQARGRVVNITSVLGRIAANGGGYCVSKFGLEAFSDSLRRD MAPFGVQVSIVEPGFFRTPVTNLESLESTLKACWARLPPAIQAHYGEAFLDTSTDLRVQR RIMNLICDPELTKVTSCLEHALTARHPRTRYSPG >ENSMUSP00000123183.1 pep:known chromosome:GRCm38:10:128914225:128919272:-1 gene:ENSMUSG00000025350.15 transcript:ENSMUST00000149961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh5 description:retinol dehydrogenase 5 [Source:MGI Symbol;Acc:MGI:1201412] MASSRLHTTLLDITDPQNVQQVAKWVKTRVGETGLFGLVNNAGVAGIIGPTPWLTQDDFQ RVLSVNTLGPIGVTLALLPLLQQARGRVVNITSVLGRIAANGGGYCVSKFGLEAFSDSLR RDMAPFGVQVSIVEPGFFRTPVTN >ENSMUSP00000123358.1 pep:known chromosome:GRCm38:10:128917924:128918705:-1 gene:ENSMUSG00000025350.15 transcript:ENSMUST00000145616.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh5 description:retinol dehydrogenase 5 [Source:MGI Symbol;Acc:MGI:1201412] MWLPLLLGALLWAVLWLLRDRQSLPASDAFIFITGCDSGFGRLLALQLDQKGFQVLAGCL TPSGAEDLQQMASSRLHTTLLDITDPQNVQQVAKWVKTRVGETGLFGLVNNAGVAGIIGP TPWLTQDDFQRVLSVNTLGPIGVTLALLPLLQQARGRVVNITSVLGRIAANGGGY >ENSMUSP00000115182.1 pep:known chromosome:GRCm38:10:128918420:128922888:-1 gene:ENSMUSG00000025350.15 transcript:ENSMUST00000135161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh5 description:retinol dehydrogenase 5 [Source:MGI Symbol;Acc:MGI:1201412] MWRTGLGASSWTCAPLPLRWNTSTKGSCSLHHPRLKPTPLTSPSWTGGKLAALSRASHPI MWLPLLLGALLWAVLWLLRDRQSLPASDAFIFITGCDSGFGRLLALQL >ENSMUSP00000030455.8 pep:known chromosome:GRCm38:4:116636510:116651680:-1 gene:ENSMUSG00000028692.14 transcript:ENSMUST00000030455.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1a1 description:aldo-keto reductase family 1, member A1 (aldehyde reductase) [Source:MGI Symbol;Acc:MGI:1929955] MTASSVLLHTGQKMPLIGLGTWKSEPGQVKAAIKHALSAGYRHIDCASVYGNETEIGEAL KESVGSGKAVPREELFVTSKLWNTKHHPEDVEPALRKTLADLQLEYLDLYLMHWPYAFER GDNPFPKNADGTVRYDSTHYKETWKALEVLVAKGLVKALGLSNFNSRQIDDVLSVASVRP AVLQVECHPYLAQNELIAHCHARGLEVTAYSPLGSSDRAWRHPDEPVLLEEPVVLALAEK HGRSPAQILLRWQVQRKVICIPKSINPSRILQNIQVFDFTFSPEEMKQLDALNKNWRYIV PMITVDGKRVPRDAGHPLYPFNDPY >ENSMUSP00000114861.1 pep:known chromosome:GRCm38:4:116639102:116649474:-1 gene:ENSMUSG00000028692.14 transcript:ENSMUST00000128059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1a1 description:aldo-keto reductase family 1, member A1 (aldehyde reductase) [Source:MGI Symbol;Acc:MGI:1929955] MTASSVLLHTGQKMPLIGLGTWKSEPGQVKAAIKHALSAGYRHIDCASVYGNETEIGEAL KESVGSGKAVPREELFVTSKLWNTKHHPEDVEPALRKTLADLQLEYLDLYLMHWPYAFER GDNPFPKNADGTVRYDSTHYKETWKALEVLVAKGLVKALGLSNFNSRQIDDVLSVASVRP AVLQVECHPYLAQNELIAHCHARG >ENSMUSP00000065196.2 pep:known chromosome:GRCm38:X:49885469:49886401:-1 gene:ENSMUSG00000056281.2 transcript:ENSMUST00000070304.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1322 description:olfactory receptor 1322 [Source:MGI Symbol;Acc:MGI:3031156] MTQISEIILLGFGDLHGLQFLLFGLFLAIYVMTLLGNIVILTVVSTDCSLHTPMYFFLGH FSFLEISYTTTIEPVMLWTLLSAHVPISLPACACQFYFFASLVATECFLLAVMSYDRYIA ICNPLHYSSIMDSWGCFQLALASWLAGFLAPILLMILIFRLTFCSANEIDHFFCDLKPIM KLACTNTQVAEMTSFICTSLFALGPFILTLASYIHIICTILRIPSTTGKQRAFSTCSSHL IVVSLYYGTLGIVYGFPSMPQYESILKLLSLLYTVFTPAANPIIYTLRNKDVKVALRKLT QWHTYLVKEG >ENSMUSP00000108960.1 pep:known chromosome:GRCm38:2:32352327:32378297:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000113334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] MFNPQLQQQQQLQQQQQQLQQQLQQQQLQQQQQQILQLQQLLQQSPPQASLSIPVSRGLP QQSSPQQLLSLQGLHSTSLLNGPMLQRALLLQQLQGLDQFAMPPATYDGASLTMPTATLG NLRAFNVTAPSLAAPSLTPPQMVTPNLQQFFPQATRQSLLGPPPVGVPINPSQLNHSGRN TQKQARTPSSTTPNRKDSSSQTVPLEDREDPTEGSEEATELQMDTCEDQDSLVGPDSMLS EPQVPEPEPFETLEPPAKRCRSSEESTEKGPTGQPQARVQPQTQMTAPKQTQTPDRLPEP PEVQMLPRIQPQALQIQTQPKLLRQAQTQTSPEHLAPQQDQVEPQVPSQPPWQLQPRETD PPNQAQAQTQPQPLWQAQSQKQAQTQAHPQVPTQAQSQEQTSEKTQDQPQTWPQGSVPPP EQASGPACATEPQLSSHAAEAGSDPDKALPEPVSAQSSEDRSREASAGGLDLGECEKRAG EMLGMWGAGSSLKVTILQSSNSRAFNTTPLTSGPRPGDSTSATPAIASTPSKQSLQFFCY ICKASSSSQQEFQDHMSEAQHQQRLGEIQHSSQTCLLSLLPMPRDILEKEAEDPPPKRWC NTCQVYYVGDLIQHRRTQEHKVAKQSLRPFCTICNRYFKTPRKFVEHVKSQGHKDKAQEL KTLEKETGSPDEDHFITVDAVGCFESGQEEDEDDDEEEEEEGEIEAEEEFCKQVKPRETS SEQGKGSETYNPNTAYGEDFLVPVMGYVCQICHKFYDSNSELRLSHCKSLAHFENLQKYK AKNPSPPPTRPVSRKCAINARNALTALFTSSHQPSPQDTVKMPSKVKPGSPGLPPPLRRS TRLKT >ENSMUSP00000120295.1 pep:known chromosome:GRCm38:2:32363010:32367528:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000132028.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] MFNPQLQQQQQLQQQQQQLQQQLQQQQLQQQQQQILQLQQLLQQSPPQASLSIPVSRGLP QQSSPQQLLSLQGLHSTSLLNGPMLQRALLLQQLQGLDQFAMPPATYDGASLTMPTATLG NLRAFNVTAPSLAAPSLTPPQMVTPNLQQFFPQATRQSLLGPPPVGVPINPSQLNHSGRN TQKQARTPSSTTPNR >ENSMUSP00000116812.1 pep:known chromosome:GRCm38:2:32363061:32370122:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000136079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] MFNPQLQQQQQLQQQQQQLQQQLQQQQLQQQQQQILQLQQLLQQSPPQASLSIPVSRGLP QQSSPQQLLSLQGLHSTSLLNGPMLQRALLLQQLQGLDQFAMPPATYDGASLTMPTATLG NLRAFNVTAPSLAAPSLTPPQMVTPNLQQFFPQATRQSLLGPPPVGVPINPSQLNHSGRN TQKQARTPSSTTPNRKDSSSQTVPLEDREDPTEGSEEATELQMDTC >ENSMUSP00000048428.7 pep:known chromosome:GRCm38:2:32363198:32378297:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000048964.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] MFNPQLQQQQQLQQQQQQLQQQLQQQQLQQQQQQILQLQQLLQQSPPQASLSIPVSRGLP QQSSPQQLLSLQGLHSTSLLNGPMLQRALLLQQLQGLDQFAMPPATYDGASLTMPTATLG NLRAFNVTAPSLAAPSLTPPQMVTPNLQQFFPQATRQSLLGPPPVGVPINPSQLNHSGRN TQKQARTPSSTTPNRKDSSSQTVPLEDREDPTEGSEEATELQMDTCEDQDSLVGPDSMLS EPQVPEPEPFETLEPPAKRCRSSEESTEKGPTGQPQARVQPQTQMTAPKQTQTPDRLPEP PEVQMLPRIQPQALQIQTQPKLLRQAQTQTSPEHLAPQQDQVEPQVPSQPPWQLQPRETD PPNQAQAQTQPQPLWQAQSQKQAQTQAHPQVPTQAQSQEQTSEKTQDQPQTWPQGSVPPP EQASGPACATEPQLSSHAAEAGSDPDKALPEPVSAQSSEDRSREASAGGLDLGECEKRAG EMLGMWGAGSSLKVTILQSSNSRAFNTTPLTSGPRPGDSTSATPAIASTPSKQSLQFFCY ICKASSSSQQEFQDHMSEAQHQQRLGEIQHSSQTCLLSLLPMPRDILEKEAEDPPPKRWC NTCQVYYVGDLIQHRRTQEHKVAKQSLRPFCTICNRYFKTPRKFVEHVKSQGHKDKAQEL KTLEKETGSPDEDHFITVDAVGCFESGQEEDEDDDEEEEEEGEIEAEEEFCKQVKPRETS SEQGKGSETYNPNTAYGEDFLVPVMGYVCQICHKFYDSNSELRLSHCKSLAHFENLQKYK AKNPSPPPTRPVSRKCAINARNALTALFTSSHQPSPQDTVKMPSKVKPGSPGLPPPLRRS TRLKT >ENSMUSP00000108958.1 pep:known chromosome:GRCm38:2:32363198:32378303:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000113332.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] MFNPQLQQQQQLQQQQQQLQQQLQQQQLQQQQQQILQLQQLLQQSPPQASLSIPVSRGLP QQSSPQQLLSLQGLHSTSLLNGPMLQRALLLQQLQGLDQFAMPPATYDGASLTMPTATLG NLRAFNVTAPSLAAPSLTPPQMVTPNLQQFFPQATRQSLLGPPPVGVPINPSQLNHSGRN TQKQARTPSSTTPNRKTVPLEDREDPTEGSEEATELQMDTCEDQDSLVGPDSMLSEPQVP EPEPFETLEPPAKRCRSSEESTEKGPTGQPQARVQPQTQMTAPKQTQTPDRLPEPPEVQM LPRIQPQALQIQTQPKLLRQAQTQTSPEHLAPQQDQVPTQAQSQEQTSEKTQDQPQTWPQ GSVPPPEQASGPACATEPQLSSHAAEAGSDPDKALPEPVSAQSSEDRSREASAGGLDLGE CEKRAGEMLGMWGAGSSLKVTILQSSNSRAFNTTPLTSGPRPGDSTSATPAIASTPSKQS LQFFCYICKASSSSQQEFQDHMSEAQHQQRLGEIQHSSQTCLLSLLPMPRDILEKEAEDP PPKRWCNTCQVYYVGDLIQHRRTQEHKVAKQSLRPFCTICNRYFKTPRKFVEHVKSQGHK DKAQELKTLEKETGSPDEDHFITVDAVGCFESGQEEDEDDDEEEEEEGEIEAEEEFCKQV KPRETSSEQGKGSETYNPNTAYGEDFLVPVMGYVCQICHKFYDSNSELRLSHCKSLAHFE NLQKYKAKNPSPPPTRPVSRKCAINARNALTALFTSSHQPSPQDTVKMPSKVKPGSPGLP PPLRRSTRLKT >ENSMUSP00000141211.1 pep:known chromosome:GRCm38:2:32363554:32370323:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000131152.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] MFNPQLQQQQQLQQQQQQLQQQLQQQQLQQQQQQILQLQQLLQQSPPQASLSIPVSR >ENSMUSP00000108957.1 pep:known chromosome:GRCm38:2:32363805:32378303:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000113331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] MFNPQLQQQQQLQQQQQQLQQQLQQQQLQQQQQQILQLQQLLQQSPPQASLSIPVSRGLP QQSSPQQLLSLQGLHSTSLLNGPMLQRALLLQQLQGNLRAFNVTAPSLAAPSLTPPQMVT PNLQQFFPQATRQSLLGPPPVGVPINPSQLNHSGRNTQKQARTPSSTTPNRKDSSSQTVP LEDREDPTEGSEEATELQMDTCEDQDSLVGPDSMLSEPQVPEPEPFETLEPPAKRCRSSE ESTEKGPTGQPQARVQPQTQMTAPKQTQTPDRLPEPPEVQMLPRIQPQALQIQTQPKLLR QAQTQTSPEHLAPQQDQVEPQVPSQPPWQLQPRETDPPNQAQAQTQPQPLWQAQSQKQAQ TQAHPQVPTQAQSQEQTSEKTQDQPQTWPQGSVPPPEQASGPACATEPQLSSHAAEAGSD PDKALPEPVSAQSSEDRSREASAGGLDLGECEKRAGEMLGMWGAGSSLKVTILQSSNSRA FNTTPLTSGPRPGDSTSATPAIASTPSKQSLQFFCYICKASSSSQQEFQDHMSEAQHQQR LGEIQHSSQTCLLSLLPMPRDILEKEAEDPPPKRWCNTCQVYYVGDLIQHRRTQEHKVAK QSLRPFCTICNRYFKTPRKFVEHVKSQGHKDKAQELKTLEKETGSPDEDHFITVDAVGCF ESGQEEDEDDDEEEEEEGEIEAEEEFCKQVKPRETSSEQGKGSETYNPNTAYGEDFLVPV MGYVCQICHKFYDSNSELRLSHCKSLAHFENLQKYKAKNPSPPPTRPVSRKCAINARNAL TALFTSSHQPSPQDTVKMPSKVKPGSPGLPPPLRRSTRLKT >ENSMUSP00000122469.1 pep:known chromosome:GRCm38:2:32367412:32371293:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000139637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] XQSLLGPPPVGVPINPSQLNHSGRNTQKQARTPSSTTPNRKTVPLEDREDPTEGSEEATE LQMDTCEDQDSLVGPDSMLSEPQVPEPEPFETLEPPAKRCRSSEESTEKGPTGQPQARVQ PQTQMTAPKQTQTPDRLPEPPEVQMLPRIQPQALQIQTQPKLLRQAQTQTSPEHLAPQQD QVEPQVPSQPPWQLQPRETDPPNQAQAQTQPQPLWQAQSQKQAQTQAHPQVPTQAQSQEQ TSEKT >ENSMUSP00000119429.1 pep:known chromosome:GRCm38:2:32367472:32372305:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000151806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] XSGRNTQKQARTPSSTTPNRKDSSSQTVPLEDREDPTEGSEEATELQMDTCEDQDSLVGP DSMLSEPQVPEPEPFETLEPPAKRCRSSEESTEKGPTGQPQARVQPQTQMTAPKQTQTPD RLPEPPEVQMLPRIQPQALQIQTQPKLLRQAQTQTSPEHLAPQQDQVPTQAQSQEQTSEK TQDQPQTWPQGSVPPPEQASGPACATEPQLSSHAAEAGSDPDKALPEPVSAQSSEDRSRE ASAGGLDLGECEKRAGEMLGMWG >ENSMUSP00000120019.1 pep:known chromosome:GRCm38:2:32370909:32375538:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000125482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] XRVQPQTQMTAPKQTQTPDRLPEPPEVQMLPRIQPQALQIQTQPKLLRQAQTQTSPEHLA PQQDQVEPQVPTQAQSQEQTSEKTQDQPQTWPQGSVPPPEQASGPACATEPQLSSHAAEA GSDPDKALPEPVSAQSSEDRSREASAGGLDLGECEKRAGEMLGMWGAGSSLKVTILQSSN SRAFNTTPLTSGPRPGDSTSATPAIASTPSKQSLQFFCYICKASSSSQQEFQDHMSEAQH QQRLGEIQHSSQTCLLSLLPMPRDILEKEAEDPPPKRWCNTCQVYYVG >ENSMUSP00000117937.1 pep:known chromosome:GRCm38:2:32375489:32380226:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000125818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] PPPKRWCNTCQVYYVGDLIQHRRTQEHKVAKQSLRPFCTICNRYFKTPRKFVEHVKSQGH KDKAQELKTLEKETGSPDEDHFITVDAVGCFESGQEEDEDDDEEEEEEGEIEAEEEFCKQ VKPRETSSEQGKGSETYNPNTAYGEDFLVPVMGYVCQICHKFYDSNSELRLSHCKSLAHF ENLQKYKAKNPSPPPTRPVSRKCAINARNALTALFTSSHQPSPQDTVKMPSKRPR >ENSMUSP00000108964.2 pep:known chromosome:GRCm38:2:32363810:32378298:1 gene:ENSMUSG00000039205.16 transcript:ENSMUST00000113338.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciz1 description:CDKN1A interacting zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:1920234] MFNPQLQQQQQLQQQQQQLQQQLQQQQLQQQQQQILQLQQLLQQSPPQASLSIPVSRGLP QQSSPQQLLSLQGLHSTSLLNGPMLQRALLLQQLQGLDQFAMPPATYDGASLTMPTATLG NLRAFNVTAPSLAAPSLTPPQMVTPNLQQFFPQATRQSLLGPPPVGVPINPSQLNHSGRN TQKQARTPSSTTPNRKDSSSQTVPLEDREDPTEGSEEATELQMDTCEDQDSLVGPDSMLS EPQVPEPEPFETLEPPAKRCRSSEESTEKGPTGQPQARVQPQTQMTAPKQTQTPDRLPEP PEVQMLPRIQPQALQIQTQPKLLRQAQTQTSPEHLAPQQDQVEPQVPSQPPWQLQPRETD PPNQAQAQTQPQPLWQAQSQKQAQTQAHPQVPTQAQSQEQTSEKTQDQPQTWPQGSVPPP EQASGPACATEPQLSSHAAEAGSDPDKALPEPVSAQSSEDRSREASAGGLDLGECEKRAG EMLGMWGAGSSLKVTILQSSNSRAFNTTPLTSGPRPGDSTSATPAIASTPSKQSLQFFCY ICKASSSSQQEFQDHMSEAQHQQRLGEIQHSSQTCLLSLLPMPRDILEKEAEDPPPKRWC NTCQVYYVGDLIQHRRTQEHKVAKQSLRPFCTICNRYFKTPRKFVEHVKSQGHKDKAQEL KTLEKETGSPDEDHFITVDAVGCFESGQEEDEDDDEEEEEEGEIEAEEEFCKQVKPRETS SEQGKGSETYNPNTAYGEDFLVPVMGYVCQICHKFYDSNSELRLSHCKSLAHFENLQKYK AKNPSPPPTRPVSRKCAINARNALTALFTSSHQPSPQDTVKMPSKVKPGSPGLPPPLRRS TRLKT >ENSMUSP00000007757.8 pep:known chromosome:GRCm38:4:47353222:47414931:1 gene:ENSMUSG00000007613.15 transcript:ENSMUST00000007757.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbr1 description:transforming growth factor, beta receptor I [Source:MGI Symbol;Acc:MGI:98728] MEAAAAAPRRPQLLIVLVAAATLLPGAKALQCFCHLCTKDNFTCETDGLCFVSVTETTDK VIHNSMCIAEIDLIPRDRPFVCAPSSKTGAVTTTYCCNQDHCNKIELPTTGPFSEKQSAG LGPVELAAVIAGPVCFVCIALMLMVYICHNRTVIHHRVPNEEDPSLDRPFISEGTTLKDL IYDMTTSGSGSGLPLLVQRTIARTIVLQESIGKGRFGEVWRGKWRGEEVAVKIFSSREER SWFREAEIYQTVMLRHENILGFIAADNKDNGTWTQLWLVSDYHEHGSLFDYLNRYTVTVE GMIKLALSTASGLAHLHMEIVGTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVRHDS ATDTIDIAPNHRVGTKRYMAPEVLDDSINMKHFESFKRADIYAMGLVFWEIARRCSIGGI HEDYQLPYYDLVPSDPSVEEMRKVVCEQKLRPNIPNRWQSCEALRVMAKIMRECWYANGA ARLTALRIKKTLSQLSQQEGIKM >ENSMUSP00000048501.7 pep:known chromosome:GRCm38:4:47353307:47412133:1 gene:ENSMUSG00000007613.15 transcript:ENSMUST00000044234.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbr1 description:transforming growth factor, beta receptor I [Source:MGI Symbol;Acc:MGI:98728] MEAAAAAPRRPQLLIVLVAAATLLPGAKALQCFCHLCTKDNFTCETDGLCFVSVTETTDK VIHNSMCIAEIDLIPRDRPFVCAPSSKTGAVTTTYCCNQDHCNKIELPTTEKQSAGLGPV ELAAVIAGPVCFVCIALMLMVYICHNRTVIHHRVPNEEDPSLDRPFISEGTTLKDLIYDM TTSGSGSGLPLLVQRTIARTIVLQESIGKGRFGEVWRGKWRGEEVAVKIFSSREERSWFR EAEIYQTVMLRHENILGFIAADNKDNGTWTQLWLVSDYHEHGSLFDYLNRYTVTVEGMIK LALSTASGLAHLHMEIVGTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVRHDSATDT IDIAPNHRVGTKRYMAPEVLDDSINMKHFESFKRADIYAMGLVFWEIARRCSIGGIHEDY QLPYYDLVPSDPSVEEMRKVVCEQKLRPNIPNRWQSCEALRVMAKIMRECWYANGAARLT ALRIKKTLSQLSQQEGIKM >ENSMUSP00000123761.1 pep:known chromosome:GRCm38:4:47353610:47410807:1 gene:ENSMUSG00000007613.15 transcript:ENSMUST00000126171.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbr1 description:transforming growth factor, beta receptor I [Source:MGI Symbol;Acc:MGI:98728] MCIAEIDLIPRDRPFVCAPSSKTGAVTTTYCCNQDHCNKIELPTTEKQSAGLGPVELAAV IAGPVCFVCIALMLMVYICHNRTVIHHRVPNEEDPSLDRPFISEGTTLKDLIYDMTTSGS GSGLPLLVQRTIARTIVLQESIGKGRFGEVWRGKWRGEEVAVKIFSSREERSWFREAEIY QTVMLRHENILGFIAADNKDNGTWTQLWLVSDYHEHGSLFDYLNRYTVTVEGMIKLALST ASGLAHLHMEIVGTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVRHDSATDTIDIAP NHRVGTKRYMAPEVLDDSINMKHFESFKRADIYAMGLVFWEIARRCSIGGIHEDYQLPYY DLVPSDPSVEEMRKVVCEQKLRPNIPNRWQSCEALRVMAKIMRECWYANGAARLTALRIK KTLSQLSQQEGIKM >ENSMUSP00000103353.2 pep:known chromosome:GRCm38:4:47386216:47411035:1 gene:ENSMUSG00000007613.15 transcript:ENSMUST00000107725.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbr1 description:transforming growth factor, beta receptor I [Source:MGI Symbol;Acc:MGI:98728] MDLKSLIMVMMEDYKDITPLKKLQENKGPFSEKQSAGLGPVELAAVIAGPVCFVCIALML MVYICHNRTVIHHRVPNEEDPSLDRPFISEGTTLKDLIYDMTTSGSGSGLPLLVQRTIAR TIVLQESIGKGRFGEVWRGKWRGEEVAVKIFSSREERSWFREAEIYQTVMLRHENILGFI AADNKDNGTWTQLWLVSDYHEHGSLFDYLNRYTVTVEGMIKLALSTASGLAHLHMEIVGT QGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVRHDSATDTIDIAPNHRVGTKRYMAPEV LDDSINMKHFESFKRADIYAMGLVFWEIARRCSIGGIHEDYQLPYYDLVPSDPSVEEMRK VVCEQKLRPNIPNRWQSCEALRVMAKIMRECWYANGAARLTALRIKKTLSQLSQQEGIKM >ENSMUSP00000053879.7 pep:known chromosome:GRCm38:13:67292450:67306412:-1 gene:ENSMUSG00000055341.9 transcript:ENSMUST00000049705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp457 description:zinc finger protein 457 [Source:MGI Symbol;Acc:MGI:2664334] MEDMLSFWDVAIYFSAEEWDCQGPAQWDLYRDVTLENYSNLVFLGLASSKPYLVTFLEQI QEPSDVKRQAAITVHPGGKCYTCKECGKGFEHKKVYQNHWRIHLRVKSYKCEECGKSFHF PSLLSAHKRKHTGEKLYSSEDSGKDFHCPSLLSQNKIVHTEEKPYKCEDCGKGFHYPSRL SDHKKIHTGEKPHKCEICGKAFDYPSRLSNHKRIHTGEKPYKCELCDKTFHDPSKLSQHK IIHTGEKPYKCEVCGKTFHYPSILSKHKIIHTGEKPYKCEVCGKTFHYPSILSKHKIIHT KENLCKCDICGKAFHYPLLLSQHKIVHTGKKPYKCENCDKSFHYPSKLSRHKKTHTGEKP YKCEVCGKDFYYPSRLSKHKIVHTEENPYKCEVCGKAFDYPSRLSNHKKIHTEEKPYKCE VCGKAFCFLSSLHKHKIIHTGEKPYKCDICGKAFGSPSRLSKNSKTHTGEKPYKCEVCGK AFHCPSILSVHKRIHTGEKPYKCEVCGKAFNIPSKLSHHNRIHTREKPYKCEVCGKAFHY PSRLSKHKKIHTGGNPYNAEMCSKGFNYPSRLSEHKKLTTEEKPCKCEVCGKVFHFLSLL TIHKRIHTGEKPYKCEICGKDFHYPSLLSFFFFVFLFFFSFHFLLGI >ENSMUSP00000104552.2 pep:known chromosome:GRCm38:2:178067695:178078425:-1 gene:ENSMUSG00000078861.8 transcript:ENSMUST00000108924.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp931 description:zinc finger protein 931 [Source:MGI Symbol;Acc:MGI:2441662] MDLVTYDDVHVNFTQEEWALLHPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR RHGRHEISCSAEQPSEFIQCGKAFAYESHSQRHEIKHTGEKHHDCNQCGKAFKRRRDLQI HKRTHTGEKPYECNQCGKAFARSGDLQKHKRTHTGEKPYKCNQCGKAFAQSTNLRIHKRT HTGEKPYECNQCGKAFARSDDLQNHKRTHTGEKPYKCNQCGKAFARSSHLRIHKRTHTGE KPYECKHCGKAFAESSALQIHKRTHTGEKPYECNQCGKAFVSSGDLRKHKRTHTGEKP >ENSMUSP00000120716.1 pep:known chromosome:GRCm38:2:178067892:178078425:-1 gene:ENSMUSG00000078861.8 transcript:ENSMUST00000131702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp931 description:zinc finger protein 931 [Source:MGI Symbol;Acc:MGI:2441662] MDLVTYDDVHVNFTQEEWALLHPSQKSLYKGVMLETYRNLTAIGMK >ENSMUSP00000104551.1 pep:known chromosome:GRCm38:2:178068490:178078476:-1 gene:ENSMUSG00000078861.8 transcript:ENSMUST00000108923.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp931 description:zinc finger protein 931 [Source:MGI Symbol;Acc:MGI:2441662] MDLVTYDDVHVNFTQEEWALLHPSQKSLYKGVMLETYRNLTAIGYIWEEHTIEDHFQTSR RHGR >ENSMUSP00000055315.6 pep:known chromosome:GRCm38:10:28668360:28789920:1 gene:ENSMUSG00000049109.14 transcript:ENSMUST00000060409.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Themis description:thymocyte selection associated [Source:MGI Symbol;Acc:MGI:2443552] MALSLEEFVYSLDLRTLPRVLEIQSGIYFEGSVYEMFGNECCLSTGEVIKITGLKIKKMM AEICEGAIGGCESQKPFELPMNFPGLFKVMADKTPYLSIEEITRTVNIGPSRLGHPCFYH LKDIKLENLIIKQGEPIRFNSVEEINGETLVNCGVVRNHQSHSFTLPLSQEGEFYECEDE HIYTLKEIVEWKIPKNRTRTVKLTDFSNKWDSTNPFPEDFYGTLILKPVYEIQGVLKFQK DIVRILPSLDVEVKDITDSYDANWFLQLLSTDDLFEMTSKEFPVVAEVVEISQGNHLPQS ILQREKTIVIHKKYQASRILASEIRSNFPKRHFLIPISYKGKFKRRPREFPTAYDLQIAK SRKETLHVVATKAFHTLHKELSPVSVGDQFLVHHSETTEVVFEGTRKVNVLTCEKVLNKT REDAQLPLYMEGGFVEVIHDKKQYQISELCTQFCWPFNVKVAVRDLSIKDDILAATPGLQ LEEDITDSYLLISDFANPEECWEIPMSRLNMTVRLVNGSSLPADAGLLQVRSFVEEITEE QYYMMRRYESSLSHPPPRPPKHPSAEEMKLTLLSLAEERTINLPKSLKYLSNNKSHDWKP EDDEA >ENSMUSP00000060129.4 pep:known chromosome:GRCm38:10:28668360:28883815:1 gene:ENSMUSG00000049109.14 transcript:ENSMUST00000056097.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Themis description:thymocyte selection associated [Source:MGI Symbol;Acc:MGI:2443552] MALSLEEFVYSLDLRTLPRVLEIQSGIYFEGSVYEMFGNECCLSTGEVIKITGLKIKKMM AEICEGAIGGCESQKPFELPMNFPGLFKVMADKTPYLSIEEITRTVNIGPSRLGHPCFYH LKDIKLENLIIKQGEPIRFNSVEEINGETLVNCGVVRNHQSHSFTLPLSQEGEFYECEDE HIYTLKEIVEWKIPKNRTRTVKLTDFSNKWDSTNPFPEDFYGTLILKPVYEIQGVLKFQK DIVRILPSLDVEVKDITDSYDANWFLQLLSTDDLFEMTSKEFPVVAEVVEISQGNHLPQS ILQREKTIVIHKKYQASRILASEIRSNFPKRHFLIPISYKGKFKRRPREFPTAYDLQIAK SRKETLHVVATKAFHTLHKELSPVSVGDQFLVHHSETTEVVFEGTRKVNVLTCEKVLNKT REDAQLPLYMEGGFVEVIHDKKQYQISELCTQFCWPFNVKVAVRDLSIKDDILAATPGLQ LEEDITDSYLLISDFANPEECWEIPMSRLNMTVRLVNGSSLPADAGLLQVRSFVEEITEE QYYMMRRYESSLSHPPPRPPKHPSAEEMKLTLLSLAEERTINLPKSLKSHHVDRPKKLPS DESGQDSRAPVGFQNDVADVERQKSKHGPLQPQAPL >ENSMUSP00000101155.2 pep:known chromosome:GRCm38:10:28668397:28797816:1 gene:ENSMUSG00000049109.14 transcript:ENSMUST00000105516.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Themis description:thymocyte selection associated [Source:MGI Symbol;Acc:MGI:2443552] MALSLEEFVYSLDLRTLPRVLEIQSGIYFEGSVYEMFGNECCLSTGEVIKITGLKIKKMM AEICEGAIGGCESQKPFELPMNFPGLFKVMADKTPYLSIEEITRTVNIGPSRLGHPCFYH LKDIKLENLIIKQGEPIRFNSVEEINGETLVNCGVVRNHQSHSFTLPLSQEGEFYECEDE HIYTLKEIVEWKIPKNRTRTVKLTDFSNKWDSTNPFPEDFYGTLILKPVYEIQGVLKFQK DIVRILPSLDVEVKDITDSYDANWFLQLLSTDDLFEMTSKEFPVVAEVVEISQGNHLPQS ILQREKTIVIHKKYQASRILASEIRSNFPKRHFLIPISYKGKFKRRPREFPTAYDLQIAK SRKETLHVVATKAFHTLHKELSPVSVGDQFLVHHSETTEVVFEGTRKVNVLTCEKVLNKT REDAQLPLYMEGGFVEVIHDKKQYQISELCTQFCWPFNVKVAVRDLSIKDDILAATPGLQ LEEDITDSYLLISDFANPEECWEIPMSRLNMTVRLVNGSSLPADAGLLQVRSFVEEITEE QYYMMRRYESSLSHPPPRPPKHPSAEEMKLTLLSLAEERTINLPKSLKDRTPCCC >ENSMUSP00000123919.1 pep:known chromosome:GRCm38:10:28668627:28883818:1 gene:ENSMUSG00000049109.14 transcript:ENSMUST00000159927.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Themis description:thymocyte selection associated [Source:MGI Symbol;Acc:MGI:2443552] MALSLEEFVYSLDLRTLPRVLEIQSGIYFEGSVYEMFGNECCLSTGEVIKITGLKIKKMM AEICEGAIGGCESQKPFELPMNFPDVLHEQMCYL >ENSMUSP00000124451.1 pep:known chromosome:GRCm38:10:28668633:28739306:1 gene:ENSMUSG00000049109.14 transcript:ENSMUST00000162202.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Themis description:thymocyte selection associated [Source:MGI Symbol;Acc:MGI:2443552] MALSLEEFVYSLDLRTLPRVLEIQSGIYFEGSVYEMFGNECCLSTGEVIKITGLKIKKMM AEICEGAIGGCESQKPFELPMNFPDVLHEQMCYL >ENSMUSP00000123894.1 pep:known chromosome:GRCm38:10:28668633:28782046:1 gene:ENSMUSG00000049109.14 transcript:ENSMUST00000161345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Themis description:thymocyte selection associated [Source:MGI Symbol;Acc:MGI:2443552] MALSLEEFVYSLDLRTLPRVLEIQSGIYFEGSVYEMFGNECCLSTGEVIKITGLKIKKMM AEICEGAIGGCESQKPFELPMNFPVQKDIVRILPSLDVEVKDITDSYDANWFLQLLSTDD LFEMTSKEFPVVAEVVEISQGNHLPQSILQREKTIVIHKKYQASRILASEIRSNFPKRHF LIPISYKGKFKRRPREFPTAYDL >ENSMUSP00000092765.2 pep:known chromosome:GRCm38:4:12089439:12095922:1 gene:ENSMUSG00000052137.11 transcript:ENSMUST00000095143.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm12b2 description:RNA binding motif protein 12 B2 [Source:MGI Symbol;Acc:MGI:1924854] MAVVIRLLGLPFIAGPVDIRHFFKGLTIPDGGVHIIGGKVGEAFIIFATDEDARRAISRS GGFIKDSSVELFLSSKVEMQKTIEMKRTARVGRGRPGSGASGVGNVYHFSDALKEEESYS GYGSSVNRDAGFHTNGTGLDLRPRKTRPLKAENPYLFLRGLPYLVNEDDVRVFFSGLCVD GVILLKHHDGRNNGDAIVKFASCVDASGGLKCHRSFMGSRFIEVMQGSEQQWIEFGGTAT EGGDTPRMRSEEHSPSRRINGRHFRKRSHSKSPRARSRSPLGFYVHLKNLSLNTNKRDLR NLFRDTDLTNDQIKFVYKDERRTRYAFVMFKNQKDYNTALGLHKTVLQYRPVLIDPVSRK EMVRIIECYEKKRPESLEKERPGRVSQKYSQEGFSGSGQKLCIYIRNLPFDVTKGEVQKF FADFSLVEDDIYLLCDDKGVGLGEALVRFKSEEQAMKAERLNRQRFLGIEVLLRLISEEQ MQEFGIKSSWLSNERTQACSRSHDGDDCSCLFDLKDPSSCSFGQSESLRYHPKDLRKMGH FKHPQGYFRQSDRCSPEDFRHSPEDYRHPWEEHTSHSREEDWRLPLEDWPQEDDFRQCHE KDHRQLRSPWEEDFRRPSQEHFRRSYQEHIRRPPQEHFRRSREEDFRHVADEDFRQASDE DFRISQEDLRYPTDEDFRRVSVEDLREVPEKDLRLPKNFRSSGEEFWTPPDFRGQHPFVN FDHLQGGKFDFEKYKLENFHDGKFVPDLKFNCGSGGIIRVMISNLPFKANANEILDFFHG YKVIPDSVSIQYNEEGLPLGEAIVSMTNYNEALSAVKDLSGRPVGPRKVKLSLL >ENSMUSP00000066311.5 pep:known chromosome:GRCm38:4:12089441:12096243:1 gene:ENSMUSG00000052137.11 transcript:ENSMUST00000063839.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm12b2 description:RNA binding motif protein 12 B2 [Source:MGI Symbol;Acc:MGI:1924854] MAVVIRLLGLPFIAGPVDIRHFFKGLTIPDGGVHIIGGKVGEAFIIFATDEDARRAISRS GGFIKDSSVELFLSSKVEMQKTIEMKRTARVGRGRPGSGASGVGNVYHFSDALKEEESYS GYGSSVNRDAGFHTNGTGLDLRPRKTRPLKAENPYLFLRGLPYLVNEDDVRVFFSGLCVD GVILLKHHDGRNNGDAIVKFASCVDASGGLKCHRSFMGSRFIEVMQGSEQQWIEFGGTAT EGGDTPRMRSEEHSPSRRINGRHFRKRSHSKSPRARSRSPLGFYVHLKNLSLNTNKRDLR NLFRDTDLTNDQIKFVYKDERRTRYAFVMFKNQKDYNTALGLHKTVLQYRPVLIDPVSRK EMVRIIECYEKKRPESLEKERPGRVSQKYSQEGFSGSGQKLCIYIRNLPFDVTKGEVQKF FADFSLVEDDIYLLCDDKGVGLGEALVRFKSEEQAMKAERLNRQRFLGIEVLLRLISEEQ MQEFGIKSSWLSNERTQACSRSHDGDDCSCLFDLKDPSSCSFGQSESLRYHPKDLRKMGH FKHPQGYFRQSDRCSPEDFRHSPEDYRHPWEEHTSHSREEDWRLPLEDWPQEDDFRQCHE KDHRQLRSPWEEDFRRPSQEHFRRSYQEHIRRPPQEHFRRSREEDFRHVADEDFRQASDE DFRISQEDLRYPTDEDFRRVSVEDLREVPEKDLRLPKNFRSSGEEFWTPPDFRGQHPFVN FDHLQGGKFDFEKYKLENFHDGKFVPDLKFNCGSGGIIRVMISNLPFKANANEILDFFHG YKVIPDSVSIQYNEEGLPLGEAIVSMTNYNEALSAVKDLSGRPVGPRKVKLSLL >ENSMUSP00000059682.7 pep:known chromosome:GRCm38:19:36917550:36919615:-1 gene:ENSMUSG00000047632.10 transcript:ENSMUST00000057337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfbp3 description:fibroblast growth factor binding protein 3 [Source:MGI Symbol;Acc:MGI:1919764] MANAGMSPPRPRASLSPLTLLLLLGGCLLSAAGRDKGAAGREVTRASRPTVGSSGRFVSP EQHACSWQLLVPAPGTPTGGELALRCQTPGGASLHCAYRGHPERCAATGARRAHYWRRLL GALRRRPRPCLDPAPLPPRLCARKTAGSDLHSPAHPSLPARPSEPPRSRARSPARSRQSV RSPSSQPEKKPLLVKSNSGGRKAGSDPVPEPPAAAGFQPNGLDQNAELTETYCTEKWHSL CNFFVNFWNG >ENSMUSP00000087611.4 pep:known chromosome:GRCm38:15:37366175:37792433:-1 gene:ENSMUSG00000051359.14 transcript:ENSMUST00000090150.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncald description:neurocalcin delta [Source:MGI Symbol;Acc:MGI:1196326] MGKQNSKLRPEVMQDLLESTDFTEHEIQEWYKGFLRDCPSGHLSMEEFKKIYGNFFPYGD ASKFAEHVFRTFDANGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISKAE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNRDGKLSLEEFIRGAKSDPSIV RLLQCDPSSAGQF >ENSMUSP00000112898.1 pep:known chromosome:GRCm38:15:37366218:37459360:-1 gene:ENSMUSG00000051359.14 transcript:ENSMUST00000120746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncald description:neurocalcin delta [Source:MGI Symbol;Acc:MGI:1196326] MGKQNSKLRPEVMQDLLESTDFTEHEIQEWYKGFLRDCPSGHLSMEEFKKIYGNFFPYGD ASKFAEHVFRTFDANGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISKAE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNRDGKLSLEEFIRGAKSDPSIV RLLQCDPSSAGQF >ENSMUSP00000113858.1 pep:known chromosome:GRCm38:15:37366267:37459349:-1 gene:ENSMUSG00000051359.14 transcript:ENSMUST00000119730.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncald description:neurocalcin delta [Source:MGI Symbol;Acc:MGI:1196326] MGKQNSKLRPEVMQDLLESTDFTEHEIQEWYKGFLRDCPSGHLSMEEFKKIYGNFFPYGD ASKFAEHVFRTFDANGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISKAE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNRDGKLSLEEFIRGAKSDPSIV RLLQCDPSSAGQF >ENSMUSP00000119726.1 pep:known chromosome:GRCm38:15:37397171:37792570:-1 gene:ENSMUSG00000051359.14 transcript:ENSMUST00000150453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncald description:neurocalcin delta [Source:MGI Symbol;Acc:MGI:1196326] MGKQNSKLRPEVMQDLLESTDFTEHEIQEWYKGFLRDCPSGHLSMEEFKKIYGNFFPYGD ASKFAEHVFRTFDANGDGTIDFREFIIA >ENSMUSP00000121460.1 pep:known chromosome:GRCm38:15:37372111:37792000:-1 gene:ENSMUSG00000051359.14 transcript:ENSMUST00000148652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncald description:neurocalcin delta [Source:MGI Symbol;Acc:MGI:1196326] MGKQNSKLRPEVMQDLLESTDFTEHEIQEWYKGFLRDCPSGHLSMEEFKKIYGNFFPYGD ASKFAEHVFRTFDANGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISKAE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNRDGK >ENSMUSP00000114576.1 pep:known chromosome:GRCm38:15:37368888:37734634:-1 gene:ENSMUSG00000051359.14 transcript:ENSMUST00000153775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncald description:neurocalcin delta [Source:MGI Symbol;Acc:MGI:1196326] MGKQNSKLRPEVMQDLLESTDFTEHEIQEWYKGFLRDCPSGHLSMEEFKKIYGNFFPYGD ASKFAEHVFRTFDANGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISKAE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNRDGKLSLEEFIRGAK >ENSMUSP00000112146.2 pep:known chromosome:GRCm38:15:37368624:37458541:-1 gene:ENSMUSG00000051359.14 transcript:ENSMUST00000116445.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncald description:neurocalcin delta [Source:MGI Symbol;Acc:MGI:1196326] MGKQNSKLRPEVMQDLLESTDFTEHEIQEWYKGFLRDCPSGHLSMEEFKKIYGNFFPYGD ASKFAEHVFRTFDANGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISKAE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNRDGKLSLEEFIRGAKSDPSIV RLLQCDPSSAGQF >ENSMUSP00000130126.1 pep:known chromosome:GRCm38:15:37366175:37792000:-1 gene:ENSMUSG00000051359.14 transcript:ENSMUST00000168992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncald description:neurocalcin delta [Source:MGI Symbol;Acc:MGI:1196326] MGKQNSKLRPEVMQDLLESTDFTEHEIQEWYKGFLRDCPSGHLSMEEFKKIYGNFFPYGD ASKFAEHVFRTFDANGDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISKAE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNRDGKLSLEEFIRGAKSDPSIV RLLQCDPSSAGQF >ENSMUSP00000031931.5 pep:known chromosome:GRCm38:6:41030268:41035509:-1 gene:ENSMUSG00000029882.5 transcript:ENSMUST00000031931.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210010C04Rik description:RIKEN cDNA 2210010C04 gene [Source:MGI Symbol;Acc:MGI:1914623] MKTLIFLAFLGAAVALPLDDDDDKIVGGYTCQRNALPYQVSLNSGYHFCGGSLINSQWVV SAAHCYKSRIQVRLGEHNIDALEGGEQFIDAAKIIRHPNYNANTYNNDIMLIKLKTAATL NSRVSTVALPRSCPSAGTRCLVSGWGNTLSSGTNYPSLLQCLDAPVLSDSSCTSSYPGKI TSNMFCLGFLEGGKDSCQGDSGGPVVCNGQLQGVVSWGYGCAQRGKPGVYTKVCKYVNWI QQTIAAN >ENSMUSP00000077296.3 pep:known chromosome:GRCm38:7:108544527:108545498:1 gene:ENSMUSG00000060759.3 transcript:ENSMUST00000078162.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr503 description:olfactory receptor 503 [Source:MGI Symbol;Acc:MGI:3030337] MVMSARNNPDLTPASFVLNGIPGLEHMHIWISFPFCSMYAVAMMGNCGLLYLIFFEDSLH RPMYYFLAMLSLTDLVMCSSTIPKALCIFWFHLKEIGFDDCLVQMFFIHTFMGMESGVLM LMALDHYVAICYPLHYSTILTNPIIAKIGLATFLRGVLLIIPFIFLSKCLPYFRGNIINH TYCDHMSVAKLPCGNVKVNAIYGLMVALLIGGFDILCITISYIMILRAVVSLSSADARQK AFSTCTAHICAIVFSYSPTFLSFFSHHFGGHTIPPSCHIIVANIYLLLPPTMNPVVYGVK TKQIQDCVIRIFSESKDSKAHGI >ENSMUSP00000048320.8 pep:known chromosome:GRCm38:5:43662346:43740972:1 gene:ENSMUSG00000039765.15 transcript:ENSMUST00000048150.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cc2d2a description:coiled-coil and C2 domain containing 2A [Source:MGI Symbol;Acc:MGI:1924487] MNTNDEKMKIISEDFTGDGVDTEAGRRKKNSKVRRQQRKKKPPASPPEEMVSDKFQDGGQ QEVVEEEPETNLLSLTARRGPRSLPPIPSASRTGFAEFSMRERMREKLQAARSKAESALL RDVPTPRPRRLRSPSREKETETEFGTEPSTEVQDTQKEDDTKSYSRIKFRDSVRKIKSKP QLPPGFPSAEEAYNFFTFNFDPEPEESEEKSPVKGGERAHHEDQEGEEGTQAQERAKKTE EEELLNGKDAEDFLLGLDPTAHDFVAVRAAEYKSARIQLQKEKEILFTPSRLTVPTYKKL PENIQPRFLEDEGLYIGARPEVARTNENIMENRLLIQEPGSKWFGDDGRILALPSPIKPF PSRPSLTTREQSPKAGLETLYKKAEKYVHSRQHMIGSGDPPGNFQLDIDISGLIFTHHPC FSREHVLASKLAQLYDQYLARQQRNKTKFLTDKLQALRKAVQTSLNPEKPHQSLDTTQKT INEYKSEIRQTRKLRDAEQEKDRTLLKTIIKVWKEMKSLREFQRFTNTPLKLVLRKEKVD PKLDEDAYEAEIQAEIHELLEEHMEEYATKMEEYRTSHQQWKAWRKAQRAKKKKKKQTTE EHLEEEEAEESFPEEEVTKPIPPEPTDPAVIEQQVRERAAHSRRRPGEPTLIPELSLAGN VTPNDQCPRVEVSRREDVRRRSVYLKVVFNSKEVSRTVSRPLGADFRVHFGQIFNLQIFN WPESLMLQVYETIGHSGTTLLAEVFLPIPETTLVTGRAPIEEVEFSSNQHVTLDHEGVGS GVPFSFEADGSNQLTLMTSGKVSHSVAWAVGENGIPLIPPLSQQNIGFRSALRRADAISS IGTSGLTDMKKLAKWAAESKLDPNDPNHAPLMQLISVATSGESYVPDFFRLEQLQQEFNF VSEEELNRSKRFRLLHLRSQEVPEFRNYKQIPAYDREIMEKVFQDYEKRLRDRNVIETKD HLDMHRATVAKYLQQVREAVVNRFLTAKHHFLLTDLVVEEEVPNISSEGSGILGLSLFKL AEQKRPLRPRRKGRKKVTAQNLSDGDIKLLVNIIRAYDIPVRKPVVSKFQQPSRSSRTFS EKQTASPSTHSPLHNADYPLGQVLVRPFVEVSFQRTICHTTTAEGPNPSWNEELELPFRA PNGDYSTASLQSVKDDVYINIFDEVLYDILEDDRERGSGIHTRIERHWLGCVKIPFSTIY FQARIDGTFKIDIPPVLLGYSKERNIIMERAFDSARSLSEGSYITLFITIEPQLVPGEPM REKMSDMLKKFDTQEDEKLLQATEKFQAECALKFPQRQCLTTVTDMTGKTVFITRYLKPL NPPQELLHVYPNNPQATAELVARYVSLIPFLPDSVSFAGVCDLWSTSDQFLDLLAGDEEE HAVLLCNYFLFLGKKAWLVMGSAIPEGPTAYVLTWEKNYYLIWNPCSGHCYGQFDAFCPL KSVGCLIGPDNIWFNIQHHDSPLRINFDVTKPKLWKSFFSRSLPYPGLSSVQPEELIYQH TDKAVAAELQDRIEKILKEKIMDWRPRHLTRWNRYCTSTLRHFLPLLERSQGEDIEDDHR AELLKQLGDYRFSGFPLHMPYSEVKPLVEAVYSTGVHNIDLPNVEFALAVYIHPYPKNVL SVWIYVASLVRNR >ENSMUSP00000114349.2 pep:known chromosome:GRCm38:5:43672289:43739317:1 gene:ENSMUSG00000039765.15 transcript:ENSMUST00000125866.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cc2d2a description:coiled-coil and C2 domain containing 2A [Source:MGI Symbol;Acc:MGI:1924487] MVSDKFQDGGQQEVVEEEPETNLLSLTARRGPRSLPPIPSASRTGFAEFSMRERMREKLQ AARSKAESALLRDVPTPRPRRLRSPSREKETETEFGTEPSTEVQDTQKEDDTKSYSRIKF RDSVRKIKSKPQLPPGFPSAEEAYNFFTFNFDPEPEESEEKSPVKGGERAHHEDQEGEEG TQAQERAKKTEEEELLNGKDAEDFLLGLDPTAHDFVAVRAAEYKSARIQLQKEKEILFTP SRLTVPTYKKLPENIQPRFLEDEGLYIGARPEVARTNENIMENRLLIQEPGSKWFGDDGR ILALPSPIKPFPSRPSLTTREQSPKAGLETLYKKAEKYVHSRQHMIGSGDPPGNFQLDID ISGLIFTHHPCFSREHVLASKLAQLYDQYLARQQRNKTKFLTDKLQALRKAVQTSLNPEK PHQSLDTTQKTINEYKSEIRQTRKLRDAEQEKDRTLLKTIIKVWKEMKSLREFQRFTNTP LKLVLRKEKVDPKLDEDAYEAEIQAEIHELLEEHMEEYATKMEEYRTSHQQWKAWRKAQR AKKKKKKQTTEEHLEEEEAEESFPEEEVTKPIPPEPTDPAVIEQQVRERAAHSRRRPGEP TLIPELSLAGNVTPNDQCPRVEVSRREDVRRRSVYLKVVFNSKEVSRTVSRPLGADFRVH FGQIFNLQIFNWPESLMLQVYETIGHSGTTLLAEVFLPIPETTLVTGRAPIEEVEFSSNQ HVTLDHEGVGSGVPFSFEADGSNQLTLMTSGKVSHSVAWAVGENGIPLIPPLSQQNIGFR SALRRADAISSIGTSGLTDMKKLAKWAAESKLDPNDPNHAPLMQLISVATSGESYVPDFF RLEQLQQEFNFVSEEELNRSKRFRLLHLRSQEVPEFRNYKQIPAYDREIMEKVFQDYEKR LRDRNVIETKDHLDMHRATVAKYLQQVREAVVNRFLTAKHHFLLTDLVVEEEVPNISILG LSLFKLAEQKRPLRPRRKGRKKVTAQNLSDGDIKLLVNIIRAYDIPVRKPVVSKFQQPSR SSRTFSEKQTASPSTHSPLHNADYPLGQVLVRPFVEVSFQRTICHTTTAEGPNPSWNEEL ELPFRAPNGDYSTASLQSVKDDVYINIFDEVLYDILEDDRERGSGIHTRIERHWLGCVKI PFSTIYFQARIDGTFKIDIPPVLLGYSKERNIIMERAFDSARSLSEGSYITLFITIEPQL VPGEPMREKFDTQEDEKLLQATEKFQAECALKFPQRQCLTTVTDMTGKTVFITRYLKPLN PPQELLHVYPNNPQATAELVARYVSLIPFLPDSVSFAGVCDLWSTSDQFLDLLAGDEEEH AVLLCNYFLFLGKKAWLVMGSAIPEGPTAYVLTWEKNYYLIWNPCSGHCYGQFDAFCPLK SVGCLIGPDNIWFNIQHHDSPLRINFDVTKPKLWKSFFSRSLPYPGLSSVQPEELIYQHT DKAVAAELQDRIEKILK >ENSMUSP00000111429.3 pep:known chromosome:GRCm38:17:6673583:6715600:1 gene:ENSMUSG00000041831.15 transcript:ENSMUST00000115763.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sytl3 description:synaptotagmin-like 3 [Source:MGI Symbol;Acc:MGI:1933367] MAHEVDLESFKELERDIILRVLYRDQTVQSTEEERVRKLKSHLQHLRWKGAKSSSQEYKE KCCARCQRALGLLLNRGAVCQGCSHRVCSECRVFLRRTRAWKCTVCFEDRNVKIKTGEWF FEERARKFPTAAKSLWSLRHHHLSVRASAAAPAGYRNLATSEDLISLWKTCFCLSPPR >ENSMUSP00000124146.1 pep:known chromosome:GRCm38:17:6673610:6737836:1 gene:ENSMUSG00000041831.15 transcript:ENSMUST00000159394.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sytl3 description:synaptotagmin-like 3 [Source:MGI Symbol;Acc:MGI:1933367] MAHEVDLESFKELERDIILRVLYRDQTVQSTEEERVRKLKSHLQHLRWKGAKSSSQEYKE KCCARCQRALGLLLNRGAVCQGCSHRVCSECRVFLRRTRAWKCTVCFEDSPQRHVTHCES ERCHIRSSSVRKDQSSEKTAK >ENSMUSP00000095041.2 pep:known chromosome:GRCm38:17:6673610:6737836:1 gene:ENSMUSG00000041831.15 transcript:ENSMUST00000097430.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl3 description:synaptotagmin-like 3 [Source:MGI Symbol;Acc:MGI:1933367] MAHEVDLESFKELERDIILRVLYRDQTVQSTEEERVRKLKSHLQHLRWKGAKSSSQEYKE KCCARCQRALGLLLNRGAVCQGCSHRVCSECRVFLRRTRAWKCTVCFEDRNVKIKTGEWF FEERARKFPTAGRRETAGAKLLQSYQRLSKISVVPPTPPPFSESQCSSSSRLQELGHFRG FNKSVENLFLSVTTQMRKLSKSQNDMTSEKHLLAMDPRQCVGHTERRSQSDTAVNVTSRK ASTPDILKAFHQEDPKHPPDPVLKQDTPPSSPTHSAVFSGGLRHGSLISINSTCTEMGNF DNANVTGEIEFAIHYCVKSCSLEICIKTCKNLAYGEEKKRKCNPYVKTYLLPDRSSQGKR KTRVQKNTLDPTFEETLKYQVDPGQLMTRRLQVSVWHLGTLARRVFLGEVILPLAMWDFK DSTAQNARWYPLRAKAEKYEENIPQNNGELAVRAKLVLPAGPRKPQEAQEGQLALNGQLC LVVLGAKNLPVRSDGTLNSFVKGCLTLPNQQKLRVKSPVLKKQACPQWKHSFVFNGVSSS QLRQSTLELTVWDQAIFGMNDRLLGEARLGSKGGAAGCPDSGSQSKLQWHRVLSSPNLWT DMTLVLH >ENSMUSP00000124496.1 pep:known chromosome:GRCm38:17:6673610:6737836:1 gene:ENSMUSG00000041831.15 transcript:ENSMUST00000162635.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sytl3 description:synaptotagmin-like 3 [Source:MGI Symbol;Acc:MGI:1933367] MAHEVDLESFKELERDIILRVLYRDQTVQSTEEERVRKLKSHLQHLRWKGAKSSSQEYKE KCCARCQRALGLLLNRGAVCQGCSHRVCSECRVFLRRTRAWKCTVCFEDRNVKIKTGEWF FEERARKFPTAGRRETAGAKLLQSYQRLSKISVVPPTPPPFSESQCSSSSRSSPSPRMT >ENSMUSP00000123996.1 pep:known chromosome:GRCm38:17:6689072:6738042:1 gene:ENSMUSG00000041831.15 transcript:ENSMUST00000160483.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl3 description:synaptotagmin-like 3 [Source:MGI Symbol;Acc:MGI:1933367] MRKLSKSQNDMTSEKHLLAMDPRQCVGHTERRSQSDTAVNVTSRKASTPDILKAFHQEDP KHPPDPVLKQDTPPSSPTHSAVFSGGLRHGSLISINSTCTEMGNFDNANVTGEIEFAIHY CVKSCSLEICIKTCKNLAYGEEKKRKCNPYVKTYLLPDRSSQGKRKTRVQKNTLDPTFEE TLKYQVDPGQLMTRRLQVSVWHLGTLARRVFLGEVILPLAMWDFKDSTAQNARWYPLRAK AEKYEENIPQNNGELAVRAKLVLPAGPRKPQEAQEGQLALNGQLCLVVLGAKNLPVRSDG TLNSFVKGCLTLPNQQKLRVKSPVLKKQACPQWKHSFVFNGVSSSQLRQSTLELTVWDQA IFGMNDRLLGEARLGSKGGAAGCPDSGSQSKLQWHRVLSSPNLWTDMTLVLH >ENSMUSP00000124198.1 pep:known chromosome:GRCm38:17:6705830:6737836:1 gene:ENSMUSG00000041831.15 transcript:ENSMUST00000161118.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sytl3 description:synaptotagmin-like 3 [Source:MGI Symbol;Acc:MGI:1933367] MTSEKHLLAMDPRQCVGHTERRSQSDTAVNVTSRPTC >ENSMUSP00000125469.1 pep:known chromosome:GRCm38:17:6705830:6737836:1 gene:ENSMUSG00000041831.15 transcript:ENSMUST00000159880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl3 description:synaptotagmin-like 3 [Source:MGI Symbol;Acc:MGI:1933367] MTSEKHLLAMDPRQCVGHTERRSQSDTAVNVTSRKASTPDILKAFHQEDPKHPPDPVLKQ DTPPSSPTHSAVFSGGLRHGSLISINSTCTEMGNFDNANVTGEIEFAIHYCVKSCSLEIC IKTCKNLAYGEEKKRKCNPYVKTYLLPDRSSQGKRKTRVQKNTLDPTFEETLKYQVDPGQ LMTRRLQVSVWHLGTLARRVFLGEVILPLAMWDFKDSTAQNARWYPLRAKAEKYEENIPQ NNGELAVRAKLVLPAGPRKPQEAQEGQLALNGQLCLVVLGAKNLPVRSDGTLNSFVKGCL TLPNQQKLRVKSPVLKKQACPQWKHSFVFNGVSSSQLRQSTLELTVWDQAIFGMNDRLLG EARLGSKGGAAGCPDSGSQSKLQWHRVLSSPNLWTDMTLVLH >ENSMUSP00000029371.2 pep:known chromosome:GRCm38:3:54755582:54801257:1 gene:ENSMUSG00000027796.2 transcript:ENSMUST00000029371.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad9 description:SMAD family member 9 [Source:MGI Symbol;Acc:MGI:1859993] MHPSTPISSLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDSLVKKLKKKKGAMDELERALS CPGQPSKCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLECCEFPFGSK QKEVCINPYHYRRVETPVLPPVLVPRHSEYNPQLSLLAKFRSASLHSEPLMPHNATYPDS FQQSLCPAPPSSPGHVFPQSPCPTSYPHSPGSPSESDSPYQHSDFRPVCYEEPQHWCSVA YYELNNRVGETFQASSRSVLIDGFTDPSNNRNRFCLGLLSNVNRNSTIENTRRHIGKGVH LYYVGGEVYAECVSDSSIFVQSRNCNYQHGFHPATVCKIPSGCSLKVFNNQLFAQLLAQS VHHGFEVVYELTKMCTIRMSFVKGWGAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMG SPHNPISSVS >ENSMUSP00000084282.5 pep:known chromosome:GRCm38:1:82448423:82586849:-1 gene:ENSMUSG00000067158.9 transcript:ENSMUST00000087050.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a4 description:collagen, type IV, alpha 4 [Source:MGI Symbol;Acc:MGI:104687] MRCFFRWTKSFVTAPWSLIFILFTIQYEYGSGKKYGGPCGGRNCSVCQCFPEKGSRGHPG PLGPQGPIGPLGPLGPIGIPGEKGERGDSGSPGPPGEKGDKGPTGVPGFPGVDGVPGHPG PPGPRGKPGVDGYNGSRGDPGYPGERGAPGPGGPPGQPGENGEKGRSVYITGGVKGIQGD RGDPGPPGLPGSRGAQGSPGPMGHAGAPGLAGPIGHPGSPGLKGNPATGLKGQRGEPGEV GQRGPPGPTLLVQPPDLSIYKGEKGVKGMPGMIGPPGPPGRKGAPGVGIKGEKGIPGFPG PRGEPGSHGPPGFPGFKGIQGAAGEPGLFGFLGPKGDLGDRGYPGPPGILLTPAPPLKGV PGDPGPPGYYGEIGDVGLPGPPGPPGRPGETCPGMMGPPGPPGVPGPPGFPGEAGVPGRL DCAPGKPGKPGLPGLPGAPGPEGPPGSDVIYCRPGCPGPMGEKGKVGPPGRRGAKGAKGN KGLCTCPPGPMGPPGPPGPPGRQGSKGDLGLPGWHGEKGDPGQPGAEGPPGPPGRPGAMG PPGHKGEKGDMVISRVKGQKGERGLDGPPGFPGPHGQDGGDGRPGERGDPGPRGDHKDAA PGERGLPGLPGPPGRTGPEGPPGLGFPGPPGQRGLPGEPGRPGTRGFDGTKGQKGDSILC NVSYPGKPGLPGLDGPPGLKGFPGPPGAPGMRCPDGQKGQRGKPGMSGIPGPPGFRGDMG DPGIKGEKGTSPIGPPGPPGSPGKDGQKGIPGDPAFGDPGPPGERGLPGAPGMKGQKGHP GCPGAGGPPGIPGSPGLKGPKGREGSRGFPGIPGSPGHSCERGAPGIPGQPGLPGTPGDP GAPGWKGQPGDMGPSGPAGMKGLPGLPGLPGADGLRGPPGIPGPNGEDGLPGLPGLKGLP GLPGFPGFPGERGKPGPDGEPGRKGEVGEKGWPGLKGDLGERGAKGDRGLPGDAGEAVTS RKGEPGDAGPPGDGGFSGERGDKGSSGMRGGRGDPGRDGLPGLHRGQPGIDGPPGPPGPP GPPGSPGLRGVIGFPGFPGDQGDPGSPGPPGFPGDDGARGPKGYKGDPASQCGPPGPKGE PGSPGYQGRTGVPGEKGFPGDEGPRGPPGRPGQPGSFGPPGCPGDPGMPGLKGHPGEVGD PGPRGDAGDFGRPGPAGVKGPLGSPGLNGLHGLKGEKGTKGASGLLEMGPPGPMGMPGQK GEKGDPGSPGISPPGLPGEKGFPGPPGRPGPPGPAGAPGRAAKGDIPDPGPPGDRGPPGP DGPRGVPGPPGSPGNVDLLKGDPGDCGLPGPPGSRGPPGPPGCQGPPGCDGKDGQKGPMG LPGLPGPPGLPGAPGEKGLPGPPGRKGPVGPPGCRGEPGPPADVDSCPRIPGLPGVPGPR GPEGAMGEPGRRGLPGPGCKGEPGPDGRRGQDGIPGSPGPPGRKGDTGEAGCPGAPGPPG PTGDPGPKGFGPGSLSGFLLVLHSQTDQEPACPVGMPRLWTGYSLLYMEGQEKAHNQDLG LAGSCLPVFSTLPFAYCNIHQVCHYAQRNDRSYWLSSAAPLPMMPLSEEEIRSYISRCAV CEAPAQAVAVHSQDQSIPPCPRTWRSLWIGYSFLMHTGAGDQGGGQALMSPGSCLEDFRA APFVECQGRQGTCHFFANEYSFWLTTVNPDLQFASGPSPDTLKEVQAQRRKISRCQVCMK HS >ENSMUSP00000036945.5 pep:known chromosome:GRCm38:4:132781843:132796387:-1 gene:ENSMUSG00000037731.5 transcript:ENSMUST00000045154.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Themis2 description:thymocyte selection associated family member 2 [Source:MGI Symbol;Acc:MGI:2446213] MEPVPLQDFVSGLDPTSLPRVLRVCSGVYFEGSVYELFGNECCLSTGDLIKVTHVQLQKV VCEYPETGQTLELNPNFTGLFSPLTSLRSYRTLEDLVSAMPQNSTRWPIYFKSTQRIVTK ASVVPEDQPLRLEAVEIHHGIRYARCVQVSKTKELLHLPLSQKGPFWRCKPSAPQTLHQI LQDPALKDLTLSCPSLPWNSVILKPQYMLQAIMHMRSSIVKIPSTLEVEVEDVTASSQHI HFFKPLRLSEVLAGGGPFPLTTEILEVPEGPPVFLSPWVSFLRKGQRLCIYGPASPSWRV VASSKSRKVPRYFMLSGAYQGKLKRRPREFSTAYDLLGALQPGRPLRVVATKDCDGNEEE NPDFSFLAVGDRLEVLRSGQVCGTKGQDIDVLVCQRLSEQSGEEEEDLEEIEDEAEDKEQ ILLPLYLSGSFVEEVNDSRRYNLVDLTAQYSLPCEVKVVTKDTRHPTDPLASFPGLRLEE KLTEPFLVVSLDSQPEMCFEIPPRWLDLTVVEAEGQPAQVARPLSIAPVEELSEAFYYSL RKLPASESQAPPPRPPKSQGINKKQQNIQSCKESSVKPQVVEPQKSCPQPQLKAKTLEAL PKNKSNVYSKISVHKKDRKPNPQTQNSVLSMKPKTSSSLGKHSTMESHLLPDPDMDDHDY EEI >ENSMUSP00000125062.1 pep:known chromosome:GRCm38:8:105131800:105150109:1 gene:ENSMUSG00000060560.8 transcript:ENSMUST00000161289.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces4a description:carboxylesterase 4A [Source:MGI Symbol;Acc:MGI:2384581] MAEAGWNMKWILGLSLTLCLVVQTALGALHTKEPLLITKHGILQGKQVHVGDTPIQVFLG IPFSKPPVGTRRFAPPEPPLPWNGIRDATTYPPSCLQESWGQILSMYLNTRKQYKWLHFS EDCLYLNVYAPVLAPGAPLLPVMVWFPGGAFLAGSASTYEGSELAARGKVVLVFLQYRLG ILGFFSTGNSHARGNWGLLDQIAALRWVQENIEAFGGDPDSVTLFGQSAGAMSVSGLMVS PLAQGLFHQAISQSGTAVLKAFITPDPLTSAKKIAHLAGCDHNSTKVMVECLRSLSAEEV TLVSKRMSFFQANSHKDPKEIVWFLSPVVDGVVFPEDPVVLLTRGQVKPVPYLLGVNNAE FEWNLPFLMKIQLNQRMMNQTNINKILWSFNVLLNITKEQVPVVAREYLNDAISNHDWKM FRNRLIDLIGDATFVYATLQAARYHRDAGSPVYLYEFKHHASSGIIIKPYNGADHGDELS YLFGSPFSKGSSAGEEKEFSLQMMKYWANFAHTGNPNGEKLPYWPRFDKDEKYLQLDFDT RVGVKLKEKKMAFWSQLRQSQKP >ENSMUSP00000027769.5 pep:known chromosome:GRCm38:1:179528055:179546267:-1 gene:ENSMUSG00000026492.11 transcript:ENSMUST00000027769.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfb2m description:transcription factor B2, mitochondrial [Source:MGI Symbol;Acc:MGI:107937] MRGPAMRLPPRIALSALARGPSCILGSGAATRKDWQTRNRRGFSDFNIEPLPDSDLEESS PWTSRNRSEPTRHIACKKAARNLVRDLLEHQNPSRQIILECNPGPGILTGALLKAGARVV AFESEKTFIPHLEPLQRNMDGELQVVHCDFFKMDPRYQEVVRPDVSSQAIFQNLGIKAVP WSAGVPIKVFGILPYKHERRILWKILFDLYSCESIYRYGRVELNMFVSEKEFRKLIATPK RPDLYQVMAVLWQVACDVKFLHMEPWSSFSVHTENGHLEKSKHGESVNLLKQNLYLVRMT PRRTLFTENLSPLNYDIFFHLVKHCFGKRNAPIIRHLRSLSTVDPINILRQIRKNPGDTA ARMYPHDFKKLFETIEQSEDSVFKWIYDYCPEDMEF >ENSMUSP00000124281.1 pep:known chromosome:GRCm38:9:14541966:14615006:-1 gene:ENSMUSG00000013076.15 transcript:ENSMUST00000160770.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl1 description:angiomotin-like 1 [Source:MGI Symbol;Acc:MGI:1922973] MRGSEDVASGRVLQRLIQEQLRYGTPTENMNLLAIQHQATGSAGPAHATTNFSSTETLTQ EDPQMVYQSARQEPQGQEHQGDNTVMEKQVRSTQPQQNNEELPTYEEAKAQSQFFRGQQQ QQQQQQQQQQQQQQQGQGPLSHTYYMAGGTSQKSRTEGRPTVNRANSGQAHKDEALKELK QGHVRSLSERIMQLSLERNGAKQHLPSSGNGKSFKAGGEPSPAQPVCKALDPRGPPPEYP FKTKPMKSPVSKNQDHGLYYNDQHPGVLHEMVKPYPAPQPARTEVAVLRYQPPPEYGVTS RPCQLPFPSTVQQHSPMSSQTSSIGGTLHSVSLPLPLPISLAASQPLPASPNQQLGPDAF AIVERAQQMVEILTEENRVLHQELQGCYDNADKLHKFEKELQSISEAYESLVKSTTKRES LDKAMRTKLEGEIRRLHDFNRDLRDRLETANRQLSSREYDGHEDKAAESHYVSQNKEFLK EKEKLEMELAAVRTASEDHRRHIEILDQALSNAQARVIKLEEELREKQAYVEKVEKLQQA LTQLQSACEKRGQMERRLRTWLERELDALRTQQKHGTGPPVSLPECNAPALMELVREKEE RILALEADMTKWEQKYLEESTIRHFAMSAAAAATAERDTTISNHSRNGSYGESSLEAHIW PEEEEVVQANRRCQDMEYTIKNLHAKIIEKDAMIKVLQQRSRKDAGKTDSASLRPARSVP SIAAATGTHSRQTSLTSSQLTEEKKEEKTTWKGSIGFLLGKEHQGQASAPLLPTTPASAL SLPASTTSASSTHAKTGSKDSSTQTDKSTELFWPSMASLPSRGRLSTAPSNSPILKHPAA KGAVEKQENSPGHGKASEHRGRVSNLLHKPEFPDGEMMEVLI >ENSMUSP00000123983.1 pep:known chromosome:GRCm38:9:14593444:14615483:-1 gene:ENSMUSG00000013076.15 transcript:ENSMUST00000162901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl1 description:angiomotin-like 1 [Source:MGI Symbol;Acc:MGI:1922973] MRGSEDVASGRVLQRLIQEQLRYGTPTENMNLLAIQHQATGSAGPAHATTNFSSTETLTQ EDPQMVYQSA >ENSMUSP00000124559.1 pep:known chromosome:GRCm38:9:14593478:14614586:-1 gene:ENSMUSG00000013076.15 transcript:ENSMUST00000162802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl1 description:angiomotin-like 1 [Source:MGI Symbol;Acc:MGI:1922973] MRGSEDVASGRVLQRLIQEQLRYGTPTENMNLLAIQHQATGSAGPAHATTNFSSTETL >ENSMUSP00000013220.6 pep:known chromosome:GRCm38:9:14541966:14615000:-1 gene:ENSMUSG00000013076.15 transcript:ENSMUST00000013220.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl1 description:angiomotin-like 1 [Source:MGI Symbol;Acc:MGI:1922973] MRRAKSRRGPCEPVLRAPPPICYSPSSPVQILEDPAYFYPDLQLYSGRHEASTLTVEASG GLRGKSVEDPLSSFHSPNFLRTPEVEMRGSEDVASGRVLQRLIQEQLRYGTPTENMNLLA IQHQATGSAGPAHATTNFSSTETLTQEDPQMVYQSARQEPQGQEHQGDNTVMEKQVRSTQ PQQNNEELPTYEEAKAQSQFFRGQQQQQQQQQQQQQQQQQQGQGPLSHTYYMAGGTSQKS RTEGRPTVNRANSGQAHKDEALKELKQGHVRSLSERIMQLSLERNGAKQHLPSSGNGKSF KAGGEPSPAQPVCKALDPRGPPPEYPFKTKPMKSPVSKNQDHGLYYNDQHPGVLHEMVKP YPAPQPARTEVAVLRYQPPPEYGVTSRPCQLPFPSTVQQHSPMSSQTSSIGGTLHSVSLP LPLPISLAASQPLPASPNQQLGPDAFAIVERAQQMVEILTEENRVLHQELQGCYDNADKL HKFEKELQSISEAYESLVKSTTKRESLDKAMRTKLEGEIRRLHDFNRDLRDRLETANRQL SSREYDGHEDKAAESHYVSQNKEFLKEKEKLEMELAAVRTASEDHRRHIEILDQALSNAQ ARVIKLEEELREKQAYVEKVEKLQQALTQLQSACEKRGQMERRLRTWLERELDALRTQQK HGTGPPVSLPECNAPALMELVREKEERILALEADMTKWEQKYLEESTIRHFAMSAAAAAT AERDTTISNHSRNGSYGESSLEAHIWPEEEEVVQANRRCQDMEYTIKNLHAKIIEKDAMI KVLQQRSRKDAGKTDSASLRPARSVPSIAAATGTHSRQTSLTSSQLTEEKKEEKTTWKGS IGFLLGKEHQGQASAPLLPTTPASALSLPASTTSASSTHAKTGSKDSSTQTDKSTELFWP SMASLPSRGRLSTAPSNSPILKHPAAKGAVEKQENSPGHGKASEHRGRVSNLLHKPEFPD GEMMEVLI >ENSMUSP00000104725.2 pep:known chromosome:GRCm38:11:51692264:51756618:-1 gene:ENSMUSG00000036391.16 transcript:ENSMUST00000109097.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec24a description:Sec24 related gene family, member A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924621] MAQPRIPAARGAAASLQAQNGAASASGSPYTNGPVHNTLMSPQVSSSQGYDSQPPGSYPR PMPAKTLNPFSAQSNYGGSQGSGQTLNSPLVTSGPVLPSLHSGPVPRMPLPTSQNPAATP MPSGSFLPGANPPPPLNWQYNYPSTGPQTNHFPHVAPPTLPGNPNLTADHQYVSSGDPAL QTSFKKPGSALPLQNPPLPPTFQPGAPPGPPPAGGPPPSRGPAPQKTPPRAAPPPSFNSA VNQEGITSNANNGSTAAHNTYDEIEGGGFLATPQLVNQNPKTSRSVGSAYPSLPPGYQNS APPVAGMPPPSLSYPSGPQAFTQTPLGANHLTASMSGLSLHPEGLRVVNLLQERNMLPST PLQPPVPNLLEDIQKLNCNPELFRCTLTSVPQTQALLNKAKLPLGLLLHPFKDLVQLPVV TSSTIVRCRSCRTYINPFVNFLDQRRWKCNLCYRVNDVPEEFMYNPLTRVYGEPHKRPEV QNATIEFMAPSEYMLRPPQPPVYLFVFDVSHNAIETGYLNSVCQSLLDNLDLLPGNTRTK IGFITFDSTIHFYSLQEGLSQPQMLIVSDIDDVFIPMPENLLVNLNESKELVQDLLKTLP QMFTKTLETQSALGPALQAAFKLISPTGGRMSVFQTQLPTLGVGALKPREEPNQRSSAKE IHLTPSTDFYKKLALDCSGQQAAVDLFLLSGQYSDLASLGCISRYSAGSVYYYPSYHHQH NPVQVQKLQKELHRYLTRKIGFEAVMRIRCTKGLSIHTFHGNFFVRSTDLLSLPNVNPDA GYAVQMSVEESLTDTQLVSFQSALLYTSSKGERRIRVHTLCLPVVSTLNEVFLGADVQAI SGLLANMAVDRSVTASLSDARDALVNAVIDSLSAYRSSVLSGQQPGLMVPFSLRLFPLFV LALLKQKSFQTGTSIRLDERIFAMCQVKSQPLVHLMLTTHPSLYRVDNLSDEGALNINDR TIPQPPILQLSVEKLSRDGAFLMDAGSLLMLWVGRNCSQNFLSQVLGVQNYASIPQTMTD LPELDTPESARIAAFISWLREQRPFFPVLYVIREESLMKAAFLQSLVEDRTESALSYYEF LLHIQQQVNK >ENSMUSP00000044370.5 pep:known chromosome:GRCm38:11:51694635:51756211:-1 gene:ENSMUSG00000036391.16 transcript:ENSMUST00000038210.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec24a description:Sec24 related gene family, member A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924621] MAQPRIPAARGAAASLQAQNGAASASGSPYTNGPVHNTLMSPQVSSSQGYDSQPPGSYPR PMPAKTLNPFSAQSNYGGSQGSGQTLNSPLVTSGPVLPSLHSGPVPRMPLPTSQNPAATP MPSGSFLPGANPPPPLNWQYNYPSTGPQTNHFPHVAPPTLPGNPNLTADHQYVSSGDPAL QTSFKKPGSALPLQNPPLPPTFQPGAPPGPPPAGGPPPSRGPAPQKTPPRAAPPPSFNSA VNQEGITSNANNGSTAAHNTYDEIEGGGFLATPQLVNQNPKTSRSVGSAYPSLPPGYQNS APPVAGMPPPSLSYPSGPQAFTQTPLGANHLTASMSGLSLHPEGLRVVNLLQERNMLPST PLQPPVPNLLEDIQKLNCNPELFRCTLTSVPQTQALLNKAKLPLGLLLHPFKDLVLPVVT SSTIVRCRSCRTYINPFVNFLDQRRWKCNLCYRVNDVPEEFMYNPLTRVYGEPHKRPEVQ NATIEFMAPSEYMLRPPQPPVYLFVFDVSHNAIETGYLNSVCQSLLDNLDLLPGNTRTKI GFITFDSTIHFYSLQEGLSQPQMLIVSDIDDVFIPMPENLLVNLNESKELVQDLLKTLPQ MFTKTLETQSALGPALQAAFKLISPTGGRMSVFQTQLPTLGVGALKPREEPNQRSSAKEI HLTPSTDFYKKLALDCSGQQAAVDLFLLSGQYSDLASLGCISRYSAGSVYYYPSYHHQHN PVQVQKLQKELHRYLTRKIGFEAVMRIRCTKGLSIHTFHGNFFVRSTDLLSLPNVNPDAG YAVQMSVEESLTDTQLVSFQSALLYTSSKGERRIRVHTLCLPVVSTLNEVFLGADVQAIS GLLANMAVDRSVTASLSDARDALVNAVIDSLSAYRSSVLSGQQPGLMVPFSLRLFPLFVL ALLKQKSFQTGTSIRLDERIFAMCQVKSQPLVHLMLTTHPSLYRVDNLSDEGALNINDRT IPQPPILQLSVEKLSRDGAFLMDAGSLLMLWVGRNCSQNFLSQVLGVQNYASIPQTMTDL PELDTPESARIAAFISWLREQRPFFPVLYVIREESLMKAAFLQSLVEDRTESALSYYEFL LHIQQQVNK >ENSMUSP00000104720.1 pep:known chromosome:GRCm38:11:51721690:51756431:-1 gene:ENSMUSG00000036391.16 transcript:ENSMUST00000109092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec24a description:Sec24 related gene family, member A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924621] MAQPRIPAARGAAASLQAQNGAASASGSPYTNGPVHNTLMSPQVSSSQGYDSQPPGSYPR PMPAKTLNPFSAQSNYGGSQGSGQTLNSPLVTSGPVLPSLHSGPVPRMPLPTSQNPAATP MPSGSFLPGANPPPPLNWQYNYPSTGPQTNHFPHVAPPTLPGNPNLTADHQYVSSGDPAL QTSFKKPGSALPLQNPPLPPTFQPGAPPGPPPAGGPPPSRGPAPQKTPPRAAPPPSFNSA VNQEGITSNANNGSTAAHNTYDEIEGGGFLATPQLVNQNPKTSRSVGSAYPSLPPGYQNS APPVAGMPPPSLSYPSGPQAFTQTPLGANHLTASMSGLSLHPEGLRVVNLLQERNMLPST PLQPPVPNLLEDIQKLNCNPELFRCTLTSVPQTQALLNKAKLPLGLLLHPFKDLVLPVVT SSTIVRCRSCRTYINPFVNFLDQRRWKCNLCYRVNDVPEEFMYNPLTRVYGEPHKRPEVQ NATIEFMAPSEYMLRPPQPPVYLFVFDVSHNAIETGYLNSVCQSLLDNLDLLPGNTRTKI GFITFDSTIHFYSLQEGLSQPQMLIVSDIDGMCYTQTHSTSWRIVPFRNVS >ENSMUSP00000068065.4 pep:known chromosome:GRCm38:11:51721690:51756438:-1 gene:ENSMUSG00000036391.16 transcript:ENSMUST00000064297.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec24a description:Sec24 related gene family, member A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924621] MAQPRIPAARGAAASLQAQNGAASASGSPYTNGPVHNTLMSPQVSSSQGYDSQPPGSYPR PMPAKTLNPFSAQSNYGGSQGSGQTLNSPLVTSGPVLPSLHSGPVPRMPLPTSQNPAATP MPSGSFLPGANPPPPLNWQYNYPSTGPQTNHFPHVAPPTLPGNPNLTADHQYVSSGDPAL QTSFKKPGSALPLQNPPLPPTFQPGAPPGPPPAGGPPPSRGPAPQKTPPRAAPPPSFNSA VNQEGITSNANNGSTAAHNTYDEIEGGGFLATPQLVNQNPKTSRSVGSAYPSLPPGYQNS APPVAGMPPPSLSYPSGPQAFTQTPLGANHLTASMSGLSLHPEGLRVVNLLQERNMLPST PLQPPVPNLLEDIQKLNCNPELFRCTLTSVPQTQALLNKAKLPLGLLLHPFKDLVQLPVV TSSTIVRCRSCRTYINPFVNFLDQRRWKCNLCYRVNDVPEEFMYNPLTRVYGEPHKRPEV QNATIEFMAPSEYMLRPPQPPVYLFVFDVSHNAIETGYLNSVCQSLLDNLDLLPGNTRTK IGFITFDSTIHFYSLQEGLSQPQMLIVSDIDGMCYTQTHSTSWRIVPFRNVS >ENSMUSP00000124967.1 pep:known chromosome:GRCm38:17:13230257:13237184:1 gene:ENSMUSG00000073457.4 transcript:ENSMUST00000162940.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smok2b description:sperm motility kinase 2B [Source:MGI Symbol;Acc:MGI:3037705] MENFHAQYVMLETIGHGGCSKVMLARHRLTGSHVAVKMIRKSECWCNPVMSEVELLMMAD HPNIISLLQVIETKKKVYLIMELCEGKSLYQHIRNAGYLQEDEARALFKQLLSAMNYCHN QGIVHRDLKPDNIMVEKDGKVKIIDFGLGTQVKPGQKLNLFCGTYPFSAPEVLLSRPYDG PKIDVWTLGVVLYFMVTGKVPFDAASIQKLVRQILAGKYFVPSRLSVELRDLISLLMTAN PKLRPTVAEVMVHPWVTEGSGVFPDPCEEQMPLKPNPAIVKAMGYIGFQAQDIEDSLRQR KFNETMASYCLLKKQILKECDRPIRAQPMNPSVTPFPSLVDTSTFHLGLRRRETEPTSLR LSANRQMSVCGRSTSKKRDRRFSWPSVSGRPLHTTHTMDHTHTRTRSVPCIYSMFCTIQP NSSDDSTEGHTSASAEDKPVRSRGWPRGIKGWTRKIGNVMRKLCCCIPSKETSHVGHSRV SPKK >ENSMUSP00000061516.6 pep:known chromosome:GRCm38:17:13234871:13236454:1 gene:ENSMUSG00000073457.4 transcript:ENSMUST00000059824.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smok2b description:sperm motility kinase 2B [Source:MGI Symbol;Acc:MGI:3037705] MENFHAQYVMLETIGHGGCSKVMLARHRLTGSHVAVKMIRKSECWCNPVMSEVELLMMAD HPNIISLLQVIETKKKVYLIMELCEGKSLYQHIRNAGYLQEDEARALFKQLLSAMNYCHN QGIVHRDLKPDNIMVEKDGKVKIIDFGLGTQVKPGQKLNLFCGTYPFSAPEVLLSRPYDG PKIDVWTLGVVLYFMVTGKVPFDAASIQKLVRQILAGKYFVPSRLSVELRDLISLLMTAN PKLRPTVAEVMVHPWVTEGSGVFPDPCEEQMPLKPNPAIVKAMGYIGFQAQDIEDSLRQR KFNETMASYCLLKKQILKECDRPIRAQPMNPSVTPFPSLVDTSTFHLGLRRRETEPTSLR LSANRQMSVCGRSTSKKRDRRFSWPSVSGRPLHTTHTMDHTHTRTRSVPCIYSMFCTIQP NSSDDSTEGHTSASAEDKPVRSRGWPRGIKGWTRKIGNVMRKLCCCIPSKETSHVGHSRV SPKK >ENSMUSP00000050768.1 pep:known chromosome:GRCm38:X:50009306:50010235:-1 gene:ENSMUSG00000050504.1 transcript:ENSMUST00000057071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1323 description:olfactory receptor 1323 [Source:MGI Symbol;Acc:MGI:3031157] MSMENSSTGTKFILLGMTDNYQLAVLLFGLFFIIYFITVLGNLGLVVLIQVSHRLHTPMY FFLSNLSFLDVCFSSITTPKTLVNLLSQLQEVSFFGCMAQMGLFIVFASAECNILASMAY DRYTAICRPLLYHITMSKVRCLLLVAGCYLGGLLNMVAVTTSITQLSFCQPQVISHFFCD IPPLLALACSDPWVTQVLVVGCGGFTLVTSVMVILVSYLSIFMTIMGIPSVSGKQKAFST CASHLTAVALYYGTTMYTYLQPSRHGSQAGNRMISVFYTMLIPMLNPLIYSLRNQEVKVA LQKILRHSQ >ENSMUSP00000108433.1 pep:known chromosome:GRCm38:X:147765991:147833456:1 gene:ENSMUSG00000095872.7 transcript:ENSMUST00000112814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15128 description:predicted gene 15128 [Source:MGI Symbol;Acc:MGI:3712219] MANREDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSRNRFRRAPVQSERSHVYSGRTNNYTDRPQHDLSNRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV GLEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000136038.1 pep:known chromosome:GRCm38:X:147789728:147832855:1 gene:ENSMUSG00000095872.7 transcript:ENSMUST00000178833.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15128 description:predicted gene 15128 [Source:MGI Symbol;Acc:MGI:3712219] MANREDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSRNRFRRAPVQSERSHVYSGRTNNYTDRPQHDLSNRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV GLEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000112410.1 pep:known chromosome:GRCm38:8:125054195:125261858:1 gene:ENSMUSG00000043051.17 transcript:ENSMUST00000118942.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disc1 description:disrupted in schizophrenia 1 [Source:MGI Symbol;Acc:MGI:2447658] MQGGGPRGAPIHSPSHGADSGHGLPPAVAPQRRRLTRRPGYMRSTAGSGIGFLSPAVGMP HPSSAGLTGQQSQHSQSKAGQCGLDPGSHCQASLVGKPFLKSSLVPAVASEGHLHPAQRS MRKRPVHFAVHSKNDSRQSERLTGSFKPGDSGFWQELLSSDSFKSLAPSLDAPWNKGSRG LKTVKPLASPALNGPADIASLPGFQDTFTSSFSFIQLSLGAAGERGEAEGCLPSREAEPL HQRPQEMAAEASSSDRPHGDPRHLWTFSLHAAPGLADLAQVTRSSSRQSECGTVSSSSSD TGFSSQDASSAGGRGDQGGGWADAHGWHTLLREWEPMLQDYLLSNRRQLEVTSLILKLQK CQEKVVEDGDYDTAETLRQRLEELEQEKGRLSWALPSQQPALRSFLGYLAAQIQVALHGA TQRAGSDDPEAPLEGQLRTTAQDSLPASITRRDWLIREKQRLQKEIEALQARMSALEAKE KRLSQELEEQEVLLRWPGCDLMALVAQMSPGQLQEVSKALGETLTSANQAPFQVEPPETL RSLRERTKSLNLAVRELTAQVCSGEKLCSSLRRRLSDLDTRLPALLEAKMLALSGSCFST AKELTEEIWALSSEREGLEMFLGRLLALSSRNSRRLGIVKEDHLRCRQDLALQDAAHKTR MKANTVKCMEVLEGQLSSCRCPLLGRVWKADLETCQLLMQSLQLQEAGSSPHAEDEEQVH STGEAAQTAALAVPRTPHPEEEKSPLQVLQEWDTHSALSPHCAAGPWKEDSHIVSAEVGE KCEAIGVKLLHLEDQLLGAMYSHDEALFQSLQGELQTVKETLQAMILQLQPTKEAGEASA SYPTAGAQETEA >ENSMUSP00000112757.1 pep:known chromosome:GRCm38:8:125054195:125261858:1 gene:ENSMUSG00000043051.17 transcript:ENSMUST00000117658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disc1 description:disrupted in schizophrenia 1 [Source:MGI Symbol;Acc:MGI:2447658] MQGGGPRGAPIHSPSHGADSGHGLPPAVAPQRRRLTRRPGYMRSTAGSGIGFLSPAVGMP HPSSAGLTGQQSQHSQSKAGQCGLDPGSHCQASLVGKPFLKSSLVPAVASEGHLHPAQRS MRKRPVHFAVHSKNDSRQSERLTGSFKPGDSGFWQELLSSDSFKSLAPSLDAPWNKGSRG LKTVKPLASPALNGPADIASLPGFQDTFTSSFSFIQLSLGAAGERGEAEGCLPSREAEPL HQRPQEMAAEASSSDRPHGDPRHLWTFSLHAAPGLADLAQVTRSSSRQSECGTVSSSSSD TGFSSQDASSAGGRGDQGGGWADAHGWHTLLREWEPMLQDYLLSNRRQLEVTSLILKLQK CQEKVVEDGDYDTAETLRQRLEELEQEKGRLSWALPSQQPALRSFLGYLAAQIQVALHGA TQRAGSDDPEAPLEGQLRTTAQDSLPASITRRDWLIREKQRLQKEIEALQARMSALEAKE KRLSQELEEQEVLLRWPGCDLMALVAQMSPGQLQEVSKALGETLTSANQAPFQVEPPETL RSLRERTKSLNLAVRELTAQVCSGEKLCSSLRRRLSDLDTRLPALLEAKMLALSETRMKA NTVKCMEVLEGQLSSCRCPLLGRVWKADLETCQLLMQSLQLQEAGSSPHAEDEEQVHSTG EAAQTAALAVPRTPHPEEEKSPLQVLQEWDTHSALSPHCAAGPWKEDSHIVSAEVGEKCE AIGVKLLHLEDQLLGAMYSHDEALFQSLQGELQTVKETLQAMILQLQPTKEAGEASASYP TAGAQETEA >ENSMUSP00000112929.1 pep:known chromosome:GRCm38:8:125054230:125261148:1 gene:ENSMUSG00000043051.17 transcript:ENSMUST00000121953.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disc1 description:disrupted in schizophrenia 1 [Source:MGI Symbol;Acc:MGI:2447658] MEAENSSWLTLPCLLYDSGHGLPPAVAPQRRRLTRRPGYMRSTAGSGIGFLSPAVGMPHP SSAGLTGQQSQHSQSKAGQCGLDPGSHCQASLVGKPFLKSSLVPAVASEGHLHPAQRSMR KRPVHFAVHSKNDSRQSERLTGSFKPGDSGFWQELLSSDSFKSLAPSLDAPWNKGSRGLK TVKPLASPALNGPADIASLPGFQDTFTSSFSFIQLSLGAAGERGEAEGCLPSREAEPLHQ RPQEMAAEASSSDRPHGDPRHLWTFSLHAAPGLADLAQVTRSSSRQSECGTVSSSSSDTG FSSQDASSAGGRGDQGGGWADAHGWHTLLREWEPMLQDYLLSNRRQLEVTSLILKLQKCQ EKVVEDGDYDTAETLRQRLEELEQEKGRLSWALPSQQPALRSFLGYLAAQIQVALHGATQ RAGSDDPEAPLEGQLRTTAQDSLPASITRRDWLIREKQRLQKEIEALQARMSALEAKEKR LSQELEEQEVLLRWPGCDLMALVAQMSPGQLQEVSKALGETLTSANQAPFQVEPPETLRS LRERTKSLNLAVRELTAQVCSGEKLCSSLRRRLSDLDTRLPALLEAKMLALSGSCFSTAK ELTEEIWALSSEREGLEMFLGRLLALSSRNSRRLGIVKEDHLRCRQDLALQDAAHKTRMK ANTVKCMEVLEGQLSSCRCPLLGRVWKADLETCQLLMQSLQLQEAGSSPHAEDEEQVHST GEAAQTAALAVPRTPHPEEEKSPLQVLQEWDTHSALSPHCAAGPWKEDSHIVSAEVGEKC EAIGVKLLHLEDQLLGAMYSHDEALFQSLQGELQTVKETLQAMILQLQPTKEAGEASASY PTAGAQETEA >ENSMUSP00000112593.1 pep:known chromosome:GRCm38:8:125054209:125090995:1 gene:ENSMUSG00000043051.17 transcript:ENSMUST00000122389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disc1 description:disrupted in schizophrenia 1 [Source:MGI Symbol;Acc:MGI:2447658] MQGGGPRGAPIHSPSHGADSGHGLPPAVAPQRRRLTRRPGYMRSTAGSGIGFLSPAVGMP HPSSAGLTGQQSQHSQSKAGQCGLDPGSHCQASLVGKPFLKSSLVPAVASEGHLHPAQRS MRKRPVHFAVHSKNDSRQSERLTGSFKPGDSGFWQELLSSDSFKSLAPSLDAPWNKGSRG LKTVKPLASPALNGPADIASLPGFQDTFTSSFSFIQLSLGAAGERGEAEGCLPSREAEPL HQRPQEMAAEASSSDRPHGDPRHLWTFSLHAAPGLADLAQVTRSSSRQSECGTVSSSSSD TGFSSQDASSAGGRGDQGGGWADAHGWHTLLREWEPMLQDYLLSNRRQLEVTSLILKLQK CQEKVVEDGDYDTGESKAVRRQFHLSP >ENSMUSP00000095914.4 pep:known chromosome:GRCm38:8:125054195:125261151:1 gene:ENSMUSG00000043051.17 transcript:ENSMUST00000098311.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disc1 description:disrupted in schizophrenia 1 [Source:MGI Symbol;Acc:MGI:2447658] MQGGGPRGAPIHSPSHGADSGHGLPPAVAPQRRRLTRRPGYMRSTAGSGIGFLSPAVGMP HPSSAGLTGQQSQHSQSKAGQCGLDPGSHCQASLVGKPFLKSSLVPAVASEGHLHPAQRS MRKRPVHFAVHSKNDSRQSERLTGSFKPGDSGFWQELLSSDSFKSLAPSLDAPWNKGSRG LKTVKPLASPALNGPADIASLPGFQDTFTSSFSFIQLSLGAAGERGEAEGCLPSREAEPL HQRPQEMAAEASSSDRPHGDPRHLWTFSLHAAPGLADLAQVTRSSSRQSECGTVSSSSSD TGFSSQDASSAGGRGDQGGGWADAHGWHTLLREWEPMLQDYLLSNRRQLEVTSLILKLQK CQEKVVEDGDYDTAETLRQRLEELEQEKGRLSWALPSQQPALRSFLGYLAAQIQVALHGA TQRAGSDDPEAPLEGQLRTTAQDSLPASITRRDWLIREKQRLQKEIEALQARMSALEAKE KRLSQELEEQEVLLRWPGCDLMALVAQMSPGQLQEVSKALGETLTSANQAPFQVEPPETL RSLRERTKSLNLAVRELTAQVCSGEKLCSSLRRRLSDLDTRLPALLEAKMLALSGSCFST AKELTEEIWALSSEREGLEMFLGRLLALSSRNSRRLGIVKEDHLRCRQDLALQDAAHKTR MKANTVKCMEVLEGQLSSCRCPLLGRVWKADLETCQLLMQSLQLQEAGSSPHAEDEEQVH STGEAAQTAALAVPRTPHPEEEKSPLQVLQEWDTHSALSPHCAAGPWKEDSHIVSAEVGE KCEAIGVKLLHLEDQLLGAMYSHDEALFQSLQGELQTVKETLQAMILQLQPTKEAGEASA SYPTAGAQETEA >ENSMUSP00000075145.4 pep:known chromosome:GRCm38:8:125054195:125261151:1 gene:ENSMUSG00000043051.17 transcript:ENSMUST00000075730.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disc1 description:disrupted in schizophrenia 1 [Source:MGI Symbol;Acc:MGI:2447658] MQGGGPRGAPIHSPSHGADSGHGLPPAVAPQRRRLTRRPGYMRSTAGSGIGFLSPAVGMP HPSSAGLTGQQSQHSQSKAGQCGLDPGSHCQASLVGKPFLKSSLVPAVASEGHLHPAQRS MRKRPVHFAVHSKNDSRQSERLTGSFKPGDSGFWQELLSSDSFKSLAPSLDAPWNKGSRG LKTVKPLASPALNGPADIASLPGFQDTFTSSFSFIQLSLGAAGERGEAEGCLPSREAEPL HQRPQEMAAEASSSDRPHGDPRHLWTFSLHAAPGLADLAQVTRSSSRQSECGTVSSSSSD TGFSSQDASSAGGRGDQGGGWADAHGWHTLLREWEPMLQDYLLSNRRQLEVTSLILKLQK CQEKVVEDGDYDTAETLRQRLEELEQEKGRLSWALPSQQPALRSFLGYLAAQIQVALHGA TQRAGSDDPEAPLEGQLRTTAQDSLPASITRRDWLIREKQRLQKEIEALQARMSALEAKE KRLSQELEEQEVLLRWPGCDLMALVAQMSPGQLQEVSKALGETLTSANQAPFQVEPPETL RSLRERTKSLNLAVRELTAQVCSGEKLCSSLRRRLSDLDTRLPALLEAKMLALSETRMKA NTVKCMEVLEGQLSSCRCPLLGRVWKADLETCQLLMQSLQLQEAGSSPHAEDEEQVHSTG EAAQTAALAVPRTPHPEEEKSPLQVLQEWDTHSALSPHCAAGPWKEDSHIVSAEVGEKCE AIGVKLLHLEDQLLGAMYSHDEALFQSLQGELQTVKETLQAMILQLQPTKEAGEASASYP TAGAQETEA >ENSMUSP00000074147.4 pep:known chromosome:GRCm38:8:125054195:125261151:1 gene:ENSMUSG00000043051.17 transcript:ENSMUST00000074562.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disc1 description:disrupted in schizophrenia 1 [Source:MGI Symbol;Acc:MGI:2447658] MQGGGPRGAPIHSPSHGADSGHGLPPAVAPQRRRLTRRPGYMRSTAGSGIGFLSPAVGMP HPSSAGLTGQQSQHSQSKAGQCGLDPGSHCQASLVGKPFLKSSLVPAVASEGHLHPAQRS MRKRPVHFAVHSKNDSRQSERLTGSFKPGDSGFWQELLSSDSFKSLAPSLDAPWNKGSRG LKTVKPLASPALNGPADIASLPGFQDTFTSSFSFIQLSLGAAGERGEAEGCLPSREAEPL HQRPQEMAAEASSSDRPHGDPRHLWTFSLHAAPGLADLAQVTRSSSRQSECGTVSSSSSD TGFSSQDASSAGGRGDQGGGWADAHGWHTLLREWEPMLQDYLLSNRRQLEVTSLILKLQK CQEKVVEDGDYDTAETLRQRLEELEQEKGRLSWALPSQQPALRSFLGYLAAQIQVALHGA TQRAGSDDPEAPLEGQLRTTAQDSLPASITRRDWLIREKQRLQKEIEALQARMSALEAKE KRLSQELEEQEVLLRWPGCDLMALVAQMSPGQLQEVSKALGETLTSANQAPFQVEPPETL RSLRERTKSLNLAVRELTAQVCSGEKLCSSLRRRLSDLDTRLPALLEAKMLALSGSCFST AKELTEEIWALSSEREGLEMFLGRLLALSSRNSRRLGIVKEDHLRCRQDLALQDAAHKTR MKANTVKCMEVLEGQLSSCRCPLLGRVWKADLETCQLLMQSLQLQEAGSSPHAEDEEQVH STGEAAQTAALAVPRTPHPEEEKSPLQVLQEWDTHSALSPHCAAGPWKEDSHIVSAEVGE KCEAIGVKLLHLEDQLLGAMYSHDEALFHSLQGELQTVKETLQAMILQLQPTKEAGEASA SYPTAGAQETEA >ENSMUSP00000111552.2 pep:known chromosome:GRCm38:8:125054195:125261151:1 gene:ENSMUSG00000043051.17 transcript:ENSMUST00000115885.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disc1 description:disrupted in schizophrenia 1 [Source:MGI Symbol;Acc:MGI:2447658] MQGGGPRGAPIHSPSHGADSGHGLPPAVAPQRRRLTRRPGYMRSTAGSGIGFLSPAVGMP HPSSAGLTGQQSQHSQSKAGQCGLDPGSHCQASLVGKPFLKSSLVPAVASEGHLHPAQRS MRKRPVHFAVHSKNDSRQSERLTGSFKPGDSGFWQELLSSDSFKSLAPSLDAPWNKGSRG LKTVKPLASPALNGPADIASLPGFQDTFTSSFSFIQLSLGAAGERGEAEGCLPSREAEPL HQRPQEMAAEASSSDRPHGDPRHLWTFSLHAAPGLADLAQVTRSSSRQSECGTVSSSSSD TGFSSQDASSAGGRGDQGGGWADAHGWHTLLREWEPMLQDYLLSNRRQLEVTSLILKLQK CQEKVVEDGDYDTAETLRQRLEELEQEKGRLSWALPSQQPALRSFLGYLAAQIQVALHGA TQRAGSDDPEAPLEGQLRTTAQDSLPASITRRDWLIREKQRLQKEIEALQARMSALEAKE KRLSQELEEQEVLLRWPGCDLMALVAQMSPGQLQEVSKALGETLTSANQAPFQVEPPETL RSLRERTKSLNLAVRELTAQVCSGEKLCSSLRRRLSDLDTRLPALLEAKMLALSETRMKA NTVKCMEVLEGQLSSCRCPLLGRVWKADLETCQLLMQSLQLQEAGSSPHAEDEEQVHSTG EAAQTAALAVPRTPHPEEEKSPLQVLQEWDTHSALSPHCAAGPWKEDSHIVSAEVGEKCE AIGVKLLHLEDQLLGAMYSHDEALFHSLQGELQTVKETLQAMILQLQPTKEAGEASASYP TAGAQETEA >ENSMUSP00000141707.1 pep:known chromosome:GRCm38:3:86786151:86920379:-1 gene:ENSMUSG00000028078.14 transcript:ENSMUST00000191752.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk2 description:doublecortin-like kinase 2 [Source:MGI Symbol;Acc:MGI:1918012] MASTRSIELEHFEERDKRPRPGSRRGAPSSSGGSSISGPKGNGLIPSPAHSAHCSFYRTR TLQALSSEKKAKKARFYRNGDRYFKGLVFAISNDRFRSFDALLIELTRSLSDNVNLPQGV RTIYTIDGSRKVTSLDELLEGESYVCASNEPFRKVDYTKNVNPNWSVNIKGGTTRTLAVA SAKSEVKESKDFIKPKLVTVIRSGVKPRKAVRILLNKKTAHSFEQVLTDITEAIKLDSGV VKRLCTLDGKQVTCLQDFFGDDDVFIACGPEKYRYAQDDFVLDHSECRVLKSSYSRASAA KYSGSRSPGFSRRSKSPASVNGTPSSQLSTPKSTKSSSSSPTSPGSFRGLKQISAQGRSS SNVNGGPELDRCLSPEGVNGNRCSESFPLLEKYRIGKVIGDGNFAVVKECVDRYTGKEFA LKIIDKAKCCGKEHLIENEVSILRRVKHPNIIMLVEEMETATDLFLVMELVKGGDLFDAI TSSTKYTERDGSAMVYNLANALRYLHSLSIVHRDIKPENLLVCEYPDGTKSLKLGDFGLA TVVEGPLYTVCGTPTYVAPEIIAETGYGLKVDVWAAGVITYILLCGFPPFRSENNLQEDL FDQILAGKLEFPAPYWDNITDSAKDDASQENNMQAEVTGKLKQHFNNALPKQNSTTTGVS VIMVSGTQSSASESRGWPSWSCCLDSQGSAHGSWCLPCSCLHGGLPGM >ENSMUSP00000141567.1 pep:known chromosome:GRCm38:3:86786153:86920188:-1 gene:ENSMUSG00000028078.14 transcript:ENSMUST00000192773.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dclk2 description:doublecortin-like kinase 2 [Source:MGI Symbol;Acc:MGI:1918012] MASTRSIELEHFEERDKRPRPGSRRGAPSSSGGSSISGPKGNGLIPSPAHSAHCSFYRTR TLQALSSEKKAKKARFYRNGDRYFKGLVFAISNDRFRSFDALLIELTRSLSDNVNLPQGV RTIYTIDGSRKVTSLDELLEGESYVCASNEPFRKVDYTKNVNPNWSVNIKGGTTRTLAVA SAKSEVKESKDFIKPKLVTVIRSGVKPRKAVRILLNKKTAHSFEQVLTDITEAIKLDSGV VKRLCTLDGKQVTCLQDFFGDDDVFIACGPEKYRYAQDDFVLDHSECRVLKSSYSRASAA KYSGSRSPGFSRRSKSPASVNGTPSSQLSTPKSTKSSSSSPTSPGSFRGLKISAQGRSSS NVNGGPELDRCLSPEGVNGNRCSESFPLLEKYRIGKVIGDGNFAVVKECVDRYTGKEFAL KIIDKAKCCGKEHLIENEVSILRRVKHPNIIMLVEEMETATDLFLVMELVKGGDLFDAIT SSTKYTERDGSAMVYNLANALRYLHSLSIVHRDIKPENLLVCEYPDGTKSLKLGDFGLAT VVEGPLYTVCGTPTYVAPEIIAETGYGLKVDVWAAGVITYILLCGFPPFRSENNLQEDLF DQILAGKLEFPAPYWDNITDSAKVLCRALPVYTVGSLHVGL >ENSMUSP00000029719.8 pep:known chromosome:GRCm38:3:86786153:86920852:-1 gene:ENSMUSG00000028078.14 transcript:ENSMUST00000029719.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk2 description:doublecortin-like kinase 2 [Source:MGI Symbol;Acc:MGI:1918012] MASTRSIELEHFEERDKRPRPGSRRGAPSSSGGSSISGPKGNGLIPSPAHSAHCSFYRTR TLQALSSEKKAKKARFYRNGDRYFKGLVFAISNDRFRSFDALLIELTRSLSDNVNLPQGV RTIYTIDGSRKVTSLDELLEGESYVCASNEPFRKVDYTKNVNPNWSVNIKGGTTRTLAVA SAKSEVKESKDFIKPKLVTVIRSGVKPRKAVRILLNKKTAHSFEQVLTDITEAIKLDSGV VKRLCTLDGKQVTCLQDFFGDDDVFIACGPEKYRYAQDDFVLDHSECRVLKSSYSRASAA KYSGSRSPGFSRRSKSPASVNGTPSSQLSTPKSTKSSSSSPTSPGSFRGLKQISAQGRSS SNVNGGPELDRCLSPEGVNGNRCSESFPLLEKYRIGKVIGDGNFAVVKECVDRYTGKEFA LKIIDKAKCCGKEHLIENEVSILRRVKHPNIIMLVEEMETATDLFLVMELVKGGDLFDAI TSSTKYTERDGSAMVYNLANALRYLHSLSIVHRDIKPENLLVCEYPDGTKSLKLGDFGLA TVVEGPLYTVCGTPTYVAPEIIAETGYGLKVDVWAAGVITYILLCGFPPFRSENNLQEDL FDQILAGKLEFPAPYWDNITDSAKELISQMLQVNVEARCTAGEILSHPWVSDDASQENNM QAEVTGKLKQHFNNALPKQNSTTTGVSVIMNTALDKEGQIFCSKLCQDSSRPSREQTSPV PPSAQEAPPPLESPRPPGPPATSGCDLAGTWRRHRD >ENSMUSP00000142267.1 pep:known chromosome:GRCm38:3:86786159:86920335:-1 gene:ENSMUSG00000028078.14 transcript:ENSMUST00000195561.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk2 description:doublecortin-like kinase 2 [Source:MGI Symbol;Acc:MGI:1918012] MASTRSIELEHFEERDKRPRPGSRRGAPSSSGGSSISGPKGNGLIPSPAHSAHCSFYRTR TLQALSSEKKAKKARFYRNGDRYFKGLVFAISNDRFRSFDALLIELTRSLSDNVNLPQGV RTIYTIDGSRKVTSLDELLEGESYVCASNEPFRKVDYTKNVNPNWSVNIKGGTTRTLAVA SAKSEVKESKDFIKPKLVTVIRSGVKPRKAVRILLNKKTAHSFEQVLTDITEAIKLDSGV VKRLCTLDGKQVTCLQDFFGDDDVFIACGPEKYRYAQDDFVLDHSECRVLKSSYSRASAA KYSGSRSPGFSRRSKSPASVNGTPSSQLSTPKSTKSSSSSPTSPGSFRGLKISAQGRSSS NVNGGPELDRCLSPEGVNGNRCSESFPLLEKYRIGKVIGDGNFAVVKECVDRYTGKEFAL KIIDKAKCCGKEHLIENEVSILRRVKHPNIIMLVEEMETATDLFLVMELVKGGDLFDAIT SSTKYTERDGSAMVYNLANALRYLHSLSIVHRDIKPENLLVCEYPDGTKSLKLGDFGLAT VVEGPLYTVCGTPTYVAPEIIAETGYGLKVDVWAAGVITYILLCGFPPFRSENNLQEDLF DQILAGKLEFPAPYWDNITDSAKELISQMLQVNVEARCTAGEILSHPWVSDDASQENNMQ AEVTGKLKQHFNNALPKQNSTTTGVSVIMNTALDKEGQIFCSKLCQDSSRPSREQTSPVP PSAQEAPPPLESPRPPGPPATSGCDLAGTWRRHRD >ENSMUSP00000141866.1 pep:known chromosome:GRCm38:3:86787212:86920170:-1 gene:ENSMUSG00000028078.14 transcript:ENSMUST00000193632.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk2 description:doublecortin-like kinase 2 [Source:MGI Symbol;Acc:MGI:1918012] MASTRSIELEHFEERDKRPRPGSRRGAPSSSGGSSISGPKGNGLIPSPAHSAHCSFYRTR TLQALSSEKKAKKARFYRNGDRYFKGLVFAISNDRFRSFDALLIELTRSLSDNVNLPQGV RTIYTIDGSRKVTSLDELLEGESYVCASNEPFRKVDYTKNVNPNWSVNIKGGTTRTLAVA SAKSEVKESKDFIKPKLVTVIRSGVKPRKAVRILLNKKTAHSFEQVLTDITEAIKLDSGV VKRLCTLDGKQVTCLQDFFGDDDVFIACGPEKYRYAQDDFVLDHSECRVLKSSYSRASAA KYSGSRSPGFSRRSKSPASVKRAGHSSAYSTAKSPVNGTPSSQLSTPKSTKSSSSSPTSP GSFRGLKQISAQGRSSSNVNGGPELDRCLSPEGVNGNRCSESFPLLEKYRIGKVIGDGNF AVVKECVDRYTGKEFALKIIDKAKCCGKEHLIENEVSILRRVKHPNIIMLVEEMETATDL FLVMELVKGGDLFDAITSSTKYTERDGSAMVYNLANALRYLHSLSIVHRDIKPENLLVCE YPDGTKSLKLGDFGLATVVEGPLYTVCGTPTYVAPEIIAETGYGLKVDVWAAGVITYILL CGFPPFRSENNLQEDLFDQILAGKLEFPAPYWDNITDSAKELISQMLQVNVEARCTAGEI LSHPWVSDDASQENNMQAEVTGKLKQHFNNALPKQNSTTTGVSVIMVSPRP >ENSMUSP00000141816.1 pep:known chromosome:GRCm38:3:86798921:86920374:-1 gene:ENSMUSG00000028078.14 transcript:ENSMUST00000194452.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk2 description:doublecortin-like kinase 2 [Source:MGI Symbol;Acc:MGI:1918012] MASTRSIELEHFEERDKRPRPGSRRGAPSSSGGSSISGPKGNGLIPSPAHSAHCSFYRTR TLQALSSEKKAKKARFYRNGDRYFKGLVFAISNDRFRSFDALLIELTRSLSDNVNLPQGV RTIYTIDGSRKVTSLDELLEGESYVCASNEPFRKVDYTKNVNPNWSVNIKGGTTRTLAVA SAKSEVKESKDFIKPKLVTVIRSGVKPRKAVRILLNKKTAHSFEQVLTDITEAIKLDSGV VKRLCTLDGKQVTCLQDFFGDDDVFIACGPEKYRYAQDDFVLDHSECRVLKSSYSRASAA KYSGSRSPGFSRRSKSPASVNGTPSSQLSTPKSTKSSSSSPTSPGSFRGLKISAQGRSSS NVNGGPELDRCLSPEGVNGNRCSESFPLLEKYRIGKVIGDGNFAVVKECVDRYTGKEFAL KIIDKAKCCGKEHLIENEVSILRRVKHPNIIMLVEEMETATDLFLVMELVKGGDLFDAIT SSTKYTERDGSAMVYNLANALRYLHSLSIVHRDIKPENLLVCEYPDGTKSLKLGDFGLAT VVEGPLYTVCGTPTYVAPEIIAETGYGLKVDVWAAGVITYILLCGFPPFRR >ENSMUSP00000085159.2 pep:known chromosome:GRCm38:6:132206795:132210521:-1 gene:ENSMUSG00000067543.8 transcript:ENSMUST00000087853.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prb1 description:proline-rich protein BstNI subfamily 1 [Source:MGI Symbol;Acc:MGI:2681872] MLVVLLTAALLVLSSAQRQDEEITYEDSNSQLLERGEKSQGYGHHFPKPPPGGMPPRPPS SDENNDGDEDGSEEDVNRPEGPPQHPPHPGHHHGPPQQGGPQGPPRPGNQQGPPPPGPPP QGSPQQRPPQPGKQQGPPPQGGSQGPPMPVNQQGPPPKGGPQQRPPQPGNQQGPPPQGGP QQRPPQPGNQQGPPPQGGPQQRPPQPGNQQGPPLHGGPQRPPQPGNQQGPPPQGGPQQRP PQPGNQQGPHPQGGLQGPPRPGNQQGPPPKGGPQRPPQPGYQQGPPPQGGPQGPPMPGNQ QGPPPQGGLQQRPPQPGNPQGPPPQGGPQGPPRPGNQQGPPPQGGPQQRPPQPGNQQGPP PKGGPQRPPQPGYQQGPPPQGGPQGPPMSGNQQGPPPQGGLQQRPPQPGNPQGPSPQGGP QGPPRPGNQQGPPPQGGPQQRPPQPGNQQGPPQQGGPQGPPRPGNQQGPPPQGGPQRPSQ PGNHQGPPQHGNKEQPNYLWSLFA >ENSMUSP00000137298.1 pep:known chromosome:GRCm38:1:156255296:156303664:-1 gene:ENSMUSG00000060985.15 transcript:ENSMUST00000121146.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd5 description:tudor domain containing 5 [Source:MGI Symbol;Acc:MGI:2684949] MSEQERIQDCLRKEIRSLLISTKDGLTPQQLEKEYLLMVGNHLPLRILGYRSTMELVLDM PDVVSVCPGGDGTVILKAIPDESTKGIASLVAKQRRSHKVRNSMPKGRPSICSGRVPYRG RVPPILPAVVKSELKDLLALSPVLLSDFEKAFARRFGRSFQYMQYGFLSMFEVLNAASDV ISVEQTRAGSLLTLKKSVSEDKQRGWPAGKVFTQPFRMKQQGSYSTGFPVMKAHFSQPIS NMEPPKQVLSMAKTPTFNSVEASRLNHTEKLNQLESTFKSVIAQIGPGGTVDPELKRKIQ FVVSKFPQGLFISKLLGEFELTFKEQLSPKQLGFLNVTELVGALSDILRVEFSEERQDLL VFDADLKPLPSGGPLSSVRNSCLVQPDKKTEANPWTSSLSRNSLSTVAVKKTTWDCPLKN QKEPEQKIYKKPNLVVKPLQLQVETNKPQLSLSVANHDIPPDAVRAKKLCRLPPLDTSTL VGVFVEYIISPSQFYIRIYSRDSSELLEDMMIEMRRCYSNQLVSDRYIMPEYFIQPGHLC CVKISEDKWWYRVIIHRILGKKEVEVFYPDFGNIGTVQKSSLRFLKCCYTKLPAQAIPCS LAWVRPAEEHWTARAILHFQKLCGLKPLVGVVDEYIDGILNIFLCDTSSNEDVYFHHVLR TEGHAIVCRENAPSKGFRDFNPPALYTNASSAGDMVLTDLGHPAQQHYLNEDQEILQQAQ QDINDGKCISYLKSAPKELLKDSKLSSLKTHKSCEEDPRWSILQPKDLKEENEDEVPTGM PCLESVTIGDDVWDENWLPLQAKMGKAGSPASQLFTSNLVGKKQYQTGGEMAQKDWCFST SKDIWDDSWQPLGLANDVKGGIHTPEGPIAQEKNTSTTRIQQQPDLQYPLDSSTLPKLEE FYISLIKSQQSAERSQSEPASIQTHAGRAASKALSSTPAVGDSPENHSGSVESSPGSLKK EDVSNSRAEATAKDKSQGAIDQLSFILSPQHQISQKLYIPRSTATAVLGAAARLATSRSL LHWYPSVKGGKLEAERDGVK >ENSMUSP00000137182.1 pep:known chromosome:GRCm38:1:156255298:156303348:-1 gene:ENSMUSG00000060985.15 transcript:ENSMUST00000167528.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd5 description:tudor domain containing 5 [Source:MGI Symbol;Acc:MGI:2684949] MSEQERIQDCLRKEIRSLLISTKDGLTPQQLEKEYLLMVGNHLPLRILGYRSTMELVLDM PDVVSVCPGGDGTVILKAIPDESTKGIASLVAKQRRSHKVRNSMPKGRPSICSGRVPYRG RVPPILPAVVKSKVFTQPFRMKQQGSYSTGFPVMKAHFSQPISNMEPPKQVLSMAKTPTF NSVEASRLNHTEKLNQLESTFKSVIAQIGPGGTVDPELKRKIQFVVSKFPQGLFISKLLG EFELTFKEQLSPKQLGFLNVTELVGALSDILRVEFSEERQDLLVFDADLKPLPSGGPLSS VRNSCLVQPDKKTEANPWTSSLSRNSLSTVAVKKTTWDCPLKNQKEPEQKIYKKPNLVVK PLQLQVETNKPQLSLSVANHDIPPDAVRAKKLCRLPPLDTSTLVGVFVEYIISPSQFYIR IYSRDSSELLEDMMIEMRRCYSNQLVSDRYIMPEYFIQPGHLCCVKISEDKWWYRVIIHR ILGKKEVEVFYPDFGNIGTVQKSSLRFLKCCYTKLPAQAIPCSLAWVRPAEEHWTARAIL HFQKLCGLKPLVGVVDEYIDGILNIFLCDTSSNEDVYFHHVLRTEGHAIVCRENAPSKGF RDFNPPALYTNASSAGDMVLTDLGHPAQQHYLNEDQEILQQAQQDINDGKCISYLKSAPK ELLKDSKLSSLKTHKSCEEDPRWSILQPKDLKEENEDEVPTGMPCLESVTIGDDVWDENW LPLQAKMGKAGSPASQLFTSNLVGKKQYQTGGEMAQKDWCFSTSKDIWDDSWQPLGLAND VKGGIHTPEGPIAQEKNTSTTRIQQQPDLQYPLDSSTLPKLEEFYISLIKSQQSAERSQS EPASIQTHAGRAASKALSSTPAVGDSPENHSGSVESSPGSLKKEDVSNSRAEATAKDKSQ GAIDQLSFILSPQHQISQKLYIPRSTATAVLGAAARLATSRSLLHWYPSVKGGKLEAERD GVK >ENSMUSP00000137156.1 pep:known chromosome:GRCm38:1:156294468:156303606:-1 gene:ENSMUSG00000060985.15 transcript:ENSMUST00000141760.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd5 description:tudor domain containing 5 [Source:MGI Symbol;Acc:MGI:2684949] MSEQERIQDCLRKEIRSLLISTKDGLTPQQLEKEYLLMVGNHLPLRILGYRSTMELVLDM PDVVSVCPGGDGTVILKAIPDESTKGIASLVAKQRRSHKVRNSMPKGRPSICSGRVPYRG RVPPILPAVVKRFPVMKAHFSQPISNMEPPKQVLSMAKTPTFNSVEASRLNHTEKLNQLE STFKSVIAQIGPGGT >ENSMUSP00000047347.8 pep:known chromosome:GRCm38:6:132311590:132314757:-1 gene:ENSMUSG00000107874.1 transcript:ENSMUST00000048686.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpmp5 description:proline-rich protein MP5 [Source:MGI Symbol;Acc:MGI:1927478] MLVVLLTAALLVLSSAQRQDEEITYEDSNSQLLEMGEQSQGYGHHFPKPPPGGMPPRPPS SDENDDGDEDGSEEDVNRPEEPPQHPPHPGHHHGPPPQGGPQQRPPQPGKQQGPPPQGGP QSPLRPGNQQGPPPQGGPQQRPPQPGNQQGPPLQGGPQGPLRPGNHEGPPPQGGPQGHPR PGNQQGPPPQGGLQRPPQPGNQQGPPPQRGPQQRPPQPGNQQGPPPQGGPQQRPPQPGNQ QGPPPPGSPQGPPRPGNQQGPPPQGGPQRPPQPRNHQGPPQYGNNEQPSYLWSLFA >ENSMUSP00000075025.1 pep:known chromosome:GRCm38:7:108564836:108565793:-1 gene:ENSMUSG00000060105.2 transcript:ENSMUST00000075595.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr504 description:olfactory receptor 504 [Source:MGI Symbol;Acc:MGI:3030338] MSASLKDFNSSKFLVSEFILLGFPGIHSWQHWLSLPLTLLYLSAIGTNVLILIIICQDPS LKQPMYLFLGILSLVDMGLATTIMPKILAIFWFDAKVISLPECFAQIYAIHCFVSMESGI CLCMAFDRYVAICYPLHYSSIITNSLIFKATLFMVLRNGFCVISVPVLASQWNYCSRNEI DHCLCSNLGITSLACDDRRPNSIFQLILAWVGMGSELGLIILSYTLLLRSVLRLNSAEAV SKALNTCSSHLILTLFYTVIVVISVTHLSETKATLIPVLLNVMHNITPPSLNPIVYALRT RQLRQGFQKVLCRSLQEK >ENSMUSP00000062045.4 pep:known chromosome:GRCm38:16:93919032:94008837:-1 gene:ENSMUSG00000047109.12 transcript:ENSMUST00000050962.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn14 description:claudin 14 [Source:MGI Symbol;Acc:MGI:1860425] MASTAVQLLGFLLSFLGMVGTLITTILPHWRRTAHVGTNILTAVSYLKGLWMECVWHSTG IYQCQIYRSLLALPRDLQAARALMVISCLLSGMACACAVVGMKCTRCAKGTPAKTTFAVL GGALFLLAGLLCMVAVSWTTNDVVQNFYNPLLPSGMKFEIGQALYLGFISSSLSLIGGTL LCLSCQDEAPYRPYPPQSRAGATTTATAPAYRPPAAYKDNRAPSVTSAAHSGYRLNDYV >ENSMUSP00000126455.1 pep:known chromosome:GRCm38:16:93919031:93929817:-1 gene:ENSMUSG00000047109.12 transcript:ENSMUST00000169391.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn14 description:claudin 14 [Source:MGI Symbol;Acc:MGI:1860425] MASTAVQLLGFLLSFLGMVGTLITTILPHWRRTAHVGTNILTAVSYLKGLWMECVWHSTG IYQCQIYRSLLALPRDLQAARALMVISCLLSGMACACAVVGMKCTRCAKGTPAKTTFAVL GGALFLLAGLLCMVAVSWTTNDVVQNFYNPLLPSGMKFEIGQALYLGFISSSLSLIGGTL LCLSCQDEAPYRPYPPQSRAGATTTATAPAYRPPAAYKDNRAPSVTSAAHSGYRLNDYV >ENSMUSP00000136156.1 pep:known chromosome:GRCm38:16:93919031:93929567:-1 gene:ENSMUSG00000047109.12 transcript:ENSMUST00000177648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn14 description:claudin 14 [Source:MGI Symbol;Acc:MGI:1860425] MASTAVQLLGFLLSFLGMVGTLITTILPHWRRTAHVGTNILTAVSYLKGLWMECVWHSTG IYQCQIYRSLLALPRDLQAARALMVISCLLSGMACACAVVGMKCTRCAKGTPAKTTFAVL GGALFLLAGLLCMVAVSWTTNDVVQNFYNPLLPSGMKFEIGQALYLGFISSSLSLIGGTL LCLSCQDEAPYRPYPPQSRAGATTTATAPAYRPPAAYKDNRAPSVTSAAHSGYRLNDYV >ENSMUSP00000140019.1 pep:known chromosome:GRCm38:1:118389058:118609497:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000190571.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLDGTTTKAEGRIRTRRQSSGSTTN VASTPSDSRGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKLLGSGLAGGSSRGPPVTPSS EKRSKIPRSQGCSRETSPNRIGLARSSRIPRPSMSQGCSRDTSRESSRDTSPARGFTPLD RFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALLLGDARSKKKPVRRRYEPYGMYSDDD ANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWSERKEGLLGLQNLLKSQ RTLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLVDFIIIHKDDLQDWLFVLLTQLLKK MGADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIVDQTQTPNLKVKVAILKYIESLA RQMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKAAQIVLISLFELNTPEFTMLLGALP KTFQDGATKLLHNHLKNSSNTGVGSPSNTIGRTPSRHPSSRTSPLTSPTNCSHGGLSPSR LWGWSADGLSKPPPPFSQPNSIPTAPSHKTLRRSYSPSMLDYDTENLNSEEIYSSLRGVT EAIEKFSFRSQEDLNEPIKRDGKKDCDIVSRDGGAASPATEGRGGSEIEGGRMALDNKTS LLNTQPPRAFPGPRAREYNPYPYSDTINTYDKTALKEAVFDDDMEQLRDVPIDHSDLVAD LLKELSNHNERVEERKGALLELLKITREDSLGVWEEHFKTILLLLLETLGDKDHSIRALA LRVLREILRNQPARFKNYAELTIMKTLEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKV LCPIIQTADYPINLAAIKMQTKVVERITKESLLQLLVDIIPGLLQGYDNTESSVRKASVF CLVAIYSVIGEDLKPHLAQLTGSKMKLLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000140778.1 pep:known chromosome:GRCm38:1:118389446:118607165:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000190733.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVECHFYKI >ENSMUSP00000140665.2 pep:known chromosome:GRCm38:1:118389455:118607582:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000189738.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLDGTTTKAEGRIRTRRQSSGSTTN VASTPSDSRGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKLLGSGLAGGSSRGPPVTPSS EKRSKIPRSQGCSRETSPNRIGLDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALLL GDARSKKKPVRRRYEPYGMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLN HCASSNWSERKEGLLGLQNLLKSQRTLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLV DFIIIHKDDLQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRF IVDQTQTPNLKVKVAILKYIESLARQMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKA AQIVLISLFELNTPEFTMLLGALPKTFQDGATKLLHNHLKNSSNTGVGSPSNTIGRTPSR HPSSRTSPLTSPTNCSHGGLSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLN EPIKRDGKKDCDIVSRDGGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRA REYNPYPYSDTINTYDKTALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEER KGALLELLKITREDSLGVWEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARF KNYAELTIMKTLEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLA AIKMQTKVVERITKESLLQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKP HLAQLTGSKMKLLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000139526.2 pep:known chromosome:GRCm38:1:118389455:118607582:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000187713.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLESRHMREDLEYVGLDAGRIRTRR QSSGSTTNVASTPSDSRGRSRAKVVSQSQPGSRSSSPGKLLGSGLAGGSSRGPPVTPSSE KRSKIPRSQGCSRETSPNRIGLDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALLLG DARSKKPVRRRYEPYGMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHC ASSNWSERKEGLLGLQNLLKSQRTLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLVDF IIIHKDDLQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIV DQTQTPNLKVKVAILKYIESLARQMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKAAQ IVLISLFELNTPEFTMLLGALPKTFQDGATKLLHNHLKNSSNTGVGSPSNTIGRTPSRHP SSRTSPLTSPTNCSHGGLSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNEP IKRDGKKDCDIVSRDGGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRARE YNPYPYSDTINTYDKTALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERKG ALLELLKITREDSLGVWEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARFKN YAELTIMKTLEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAAI KMQTKVVERITKESLLQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPHL AQLTGSKMKLLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000128089.2 pep:known chromosome:GRCm38:1:118389455:118609491:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000165223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLGRIRTRRQSSGSTTNVASTPSDS RGRSRAKVVSQSQPGSRSSSPGKLLGSGLAGGSSRGPPVTPSSEKRSKIPRSQGCSRETS PNRIGLDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALKKPVRRRYEPYGMYSDDDA NSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWSERKEGLLGLQNLLKSQR TLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLVDFIIIHKDDLQDWLFVLLTQLLKKM GADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIVDQTQTPNLKVKVAILKYIESLAR QMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKAAQIVLISLFELNTPEFTMLLGALPK TFQDGATKLLHNHLKNSSNTGVGSPSNTIGRTPSRHPSSRTSPLTSPTNCSHGGLSPSML DYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNEPIKRDGKKDCDIVSRDGGAASPAT EGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRAREYNPYPYSDTINTYDKTALKEAVF DDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERKGALLELLKITREDSLGVWEEHFKT ILLLLLETLGDKDHSIRALALRVLREILRNQPARFKNYAELTIMKTLEAHKDSHKEVVRA AEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAAIKMQTKVVERITKESLLQLLVDII PGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPHLAQLTGSKMKLLNLYIKRAQTTNS NSSSSSDVSTHS >ENSMUSP00000140167.2 pep:known chromosome:GRCm38:1:118389456:118607739:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000189570.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLESRHMREDLEYVGLDAGRIRTRR QSSGSTTNVASTPSDSRGRSRAKVVSQSQPGSRSSSPGKLLGSGLAGGSSRGPPVTPSSE KRSKIPRSQGCSRETSPNRIGLDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALKKP VRRRYEPYGMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWSE RKEGLLGLQNLLKSQRTLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLVDFIIIHKDD LQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIVDQTQTPN LKVKVAILKYIESLARQMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKAAQIVLISLF ELNTPEFTMLLGALPKTFQDGATKLLHNHLKNSSNTGVGSPSNTIGRTPSRHPSSRTSPL TSPTNCSHGGLSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNEPIKRDGKK DCDIVSRDGGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRAREYNPYPYS DTINTYDKTALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERKGALLELLK ITREDSLGVWEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARFKNYAELTIM KTLEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAAIKMQTKVV ERITKESLLQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPHLAQLTGSK MKLLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000140095.2 pep:known chromosome:GRCm38:1:118389473:118607165:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000191445.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLGRIRTRRQSSGSTTNVASTPSDS RGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKLLGSGLAGGSSRGPPVTPSSEKRSKIPR SQGCSRETSPNRIGLDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALLLGDARSKKK PVRRRYEPYGMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWS ERKEGLLGLQNLLKSQRTLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLVDFIIIHKD DLQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIVDQTQTP NLKVKVAILKYIESLARQMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKAAQIVLISL FELNTPEFTMLLGALPKTFQDGATKLLHNHLKNSSNTGVGSPSNTIGRTPSRHPSSRTSP LTSPTNCSHGGLSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNEPIKRDGK KDCDIVSRDGGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRAREYNPYPY SDTINTYDKTALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERKGALLELL KITREDSLGVWEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARFKNYAELTI MKTLEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAAIKMQTKV VERITKESLLQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPHLAQLTGS KMKLLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000140860.2 pep:known chromosome:GRCm38:1:118389517:118609240:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000189262.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLGRIRTRRQSSGSTTNVASTPSDS RGRSRAKVVSQSQPGSRSSSPGKLLGSGLAGGSSRGPPVTPSSEKRSKIPRSQGCSRETS PNRIGLDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALLLGDARSKKKPVRRRYEPY GMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWSERKEGLLGL QNLLKSQRTLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLVDFIIIHKDDLQDWLFVL LTQLLKKMGADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIVDQTQTPNLKVKVAIL KYIESLARQMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKAAQIVLISLFELNTPEFT MLLGALPKTFQDGATKLLHNHLKNSSNTGVGSPSNTIGRTPSRHPSSRTSPLTSPTNCSH GGLSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNEPIKRDGKKDCDIVSRD GGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRAREYNPYPYSDTINTYDK TALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERKGALLELLKITREDSLG VWEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARFKNYAELTIMKTLEAHKD SHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAAIKMQTKVVERITKESL LQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPHLAQLTGSKMKLLNLYI KRAQTTNSNSSSSSDVSTHS >ENSMUSP00000141105.1 pep:known chromosome:GRCm38:1:118419560:118609500:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000186349.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLGRIRTRRQSSGSTTNVASTPSDS RGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKLLGSGLAGGSSRGPPVTPSSEKRSKIPR SQGCSRETSPNRIGLARSSRIPRPSMSQGCSRDTSRESSRDTSPARGFTPLDRFGLGQSG RIPGSVNAMRVLSTSTDLEAAVADALKKPVRRRYEPYGMYSDDDANSDASSVCSERSYGS RNGGIPHYLRQTEDVAEVLNHCASSNWSERKEGLLGLQNLLKSQRTLSRVELKRLCEIFT RMFADPHSKRVFSMFLETLVDFIIIHKDDLQDWLFVLLTQLLKKMGADLLGSVQAKVQKA LDVTRDSFPFDQQFNILMRFIVDQTQTPNLKVKVAILKYIESLARQMDPTDFVNSSETRL AVSRIITWTTEPKSSDVRKAAQIVLISLFELNTPEFTMLLGALPKTFQDGATKLLHNHLK NSSNTGVGSPSNTIGRTPSRHPSSRTSPLTSPTNCSHGGLSPSRLWGWSADGLSKPPPPF SQPNSIPTAPSHKTLRRSYSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNE PIKRDGKKDCDIVSRDGGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRAR EYNPYPYSDTINTYDKTALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERK GALLELLKITREDSLGVWEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARFK NYAELTIMKTLEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAA IKMQTKVVERITKESLLQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPH LAQLTGSKMKLLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000139619.2 pep:known chromosome:GRCm38:1:118419724:118607112:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000185405.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLGRIRTRRQSSGSTTNVASTPSDS RGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKLLGSGLAGGSSRGPPVTPSSEKRSKIPR SQGCSRETSPNRIGLDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALLLGDARSKKK PVRRRYEPYGMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWS ERKEGLLGLQNLLKSQRTLSRVELKRLCEIFTRMFADPHSKIADSEAECEDKEGNLFPSE GSCPRVFSMFLETLVDFIIIHKDDLQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDVTR DSFPFDQQFNILMRFIVDQTQTPNLKVKVAILKYIESLARQMDPTDFVNSSETRLAVSRI ITWTTEPKSSDVRKAAQIVLISLFELNTPEFTMLLGALPKTFQDGATKLLHNHLKNSSNT GVGSPSNTIGRTPSRHPSSRTSPLTSPTNCSHGGLSPSMLDYDTENLNSEEIYSSLRGVT EAIEKFSFRSQEDLNEPIKRDGKKDCDIVSRDGGAASPATEGRGGSEIEGGRMALDNKTS LLNTQPPRAFPGPRAREYNPYPYSDTINTYDKTALKEAVFDDDMEQLRDVPIDHSDLVAD LLKELSNHNERVEERKGALLELLKITREDSLGVWEEHFKTILLLLLETLGDKDHSIRALA LRVLREILRNQPARFKNYAELTIMKTLEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKV LCPIIQTADYPINLAAIKMQTKVVERITKESLLQLLVDIIPGLLQGYDNTESSVRKASVF CLVAIYSVIGEDLKPHLAQLTGSKMKLLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000140593.1 pep:known chromosome:GRCm38:1:118419724:118612678:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000188710.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLGRIRTRRQSSGSTTNVASTPSDS RGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKLLGSGLAGGSSRGPPVTPSSEKRSKIPR SQGCSRETSPNRIGLARSSRIPRPSMSQGCSRDTSRESSRDTSPARGFTPLASRRHSRST SALSTAESVGQSDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALLLGDARSKKKPVR RRYEPYGMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWSERK EGLLGLQNLLKSQRTLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLVDFIIIHKDDLQ DWLFVLLTQLLKKMGADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIVDQTQTPNLK VKVAILKYIESLARQMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKAAQIVLISLFEL NTPEFTMLLGALPKTFQDGATKLLHNHLKNSSNTGVGSPSNTIGRTPSRHPSSRTSPLTS PTNCSHGGLSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNEPIKRDGKKDC DIVSRDGGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRAREYNPYPYSDT INTYDKTALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERKGALLELLKIT REDSLGVWEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARFKNYAELTIMKT LEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAAIKMQTKVVER ITKESLLQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPHLAQLTGSKMK LLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000145100.1 pep:known chromosome:GRCm38:1:118471772:118504956:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000204904.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] XLNVIFTKFDEVQKSGNMIQSANEKNFDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGT TRRLMSSSLGSKSSAAKEGAGAVDEEDFIKAFDDVPVVQIYSSRDLEESINKIREILSDD KHDWEQRVNALKKIRSLLLAGAAEYDNFFQHLRLLDGAFKLSAKDLRSQASVISSGK >ENSMUSP00000142203.2 pep:known chromosome:GRCm38:1:118482039:118607137:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000191823.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MTTGKEKNFDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKE GAGAVDEEDFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLL LAGAAEYDNFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMP TIFNLIPNSAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLL QEWQTHSLERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSY QKALQSHLKNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTT GSLQRSRSDIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLDGTTTKAEGRIRTRR QSSGSTTNVASTPSDSRGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKLLGSGLAGGSSR GPPVTPSSEKRSKIPRSQGCSRETSPNRIGLDRFGLGQSGRIPGSVNAMRVLSTSTDLEA AVADALLLGDARSKKPVRRRYEPYGMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTE DVAEVLNHCASSNWSERKEGLLGLQNLLKSQRTLSRVELKRLCEIFTRMFADPHSKRVFS MFLETLVDFIIIHKDDLQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDVTRDSFPFDQQ FNILMRFIVDQTQTPNLKVKVAILKYIESLARQMDPTDFVNSSETRLAVSRIITWTTEPK SSDVRKAAQIVLISLFELNTPEFTMLLGALPKTFQDGATKLLHNHLKNSSNTGVGSPSNT IGRTPSRHPSSRTSPLTSPTNCSHGGLSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSF RSQEDLNEPIKRDGKKDCDIVSRDGGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPR AFPGPRAREYNPYPYSDTINTYDKTALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNH NERVEERKGALLELLKITREDSLGVWEEHFKTILLLLLETLGDKDHSIRALALRVLREIL RNQPARFKNYAELTIMKTLEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTA DYPINLAAIKMQTKVVERITKESLLQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSV IGEDLKPHLAQLTGSKMKLLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000144818.1 pep:known chromosome:GRCm38:1:118512717:118547911:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000205176.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] XKAEGRIRTRRQSSGSTTNVASTPSDSRGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKL LGSGLAGGSSRGPPVTPSSEKRSKIPRSQGCSRETSPNRIGLASRRHSRSTSALSTAESV GQSDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALLLGDARSKKKPVRRRYEPYGMY SDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWSERKEGLLGLQNL LKSQRTLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLVDFIII >ENSMUSP00000144798.1 pep:known chromosome:GRCm38:1:118532079:118609457:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000204325.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] PLVE >ENSMUSP00000141582.2 pep:known chromosome:GRCm38:1:118547977:118581283:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000192387.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] XLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIVDQTQTPNLKVKVAILKYIESLARQM DPTDFVNSSETRLAVSRIITWTTEPKSSDVRKSQRQRSRAGEDVPGRSVPTCSGPGEGSL EESCKQAAQIVLISLFELNTPEFTMLLGALPKTFQDGATKLLHNHLKNSSNTGVGSPSNT IGRTPSRHPSSRTSPLTSPTNCSHGGLSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSF RSQEDLNEPIKRDGKKDCDIVSRDGGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPR AFPGPRAREYNPYPYSDTINTYDKTALKEAVFDDDMEQLRDVPID >ENSMUSP00000042266.6 pep:known chromosome:GRCm38:1:118389058:118609432:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000049404.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLGRIRTRRQSSGSTTNVASTPSDS RGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKLLGSGLAGGSSRGPPVTPSSEKRSKIPR SQGCSRETSPNRIGLARSSRIPRPSMSQGCSRDTSRESSRDTSPARGFTPLDRFGLGQSG RIPGSVNAMRVLSTSTDLEAAVADALKKPVRRRYEPYGMYSDDDANSDASSVCSERSYGS RNGGIPHYLRQTEDVAEVLNHCASSNWSERKEGLLGLQNLLKSQRTLSRVELKRLCEIFT RMFADPHSKRVFSMFLETLVDFIIIHKDDLQDWLFVLLTQLLKKMGADLLGSVQAKVQKA LDVTRDSFPFDQQFNILMRFIVDQTQTPNLKVKVAILKYIESLARQMDPTDFVNSSETRL AVSRIITWTTEPKSSDVRKAAQIVLISLFELNTPEFTMLLGALPKTFQDGATKLLHNHLK NSSNTGVGSPSNTIGRTPSRHPSSRTSPLTSPTNCSHGGLSPSRLWGWSADGLSKPPPPF SQPNSIPTAPSHKTLRRSYSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNE PIKRDGKKDCDIVSRDGGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRAR EYNPYPYSDTINTYDKTALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERK GALLELLKITREDSLGVWEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARFK NYAELTIMKTLEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAA IKMQTKVVERITKESLLQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPH LAQLTGSKMKLLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000137137.1 pep:known chromosome:GRCm38:1:118389058:118609432:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000178710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLGRIRTRRQSSGSTTNVASTPSDS RGRSRAKVVSQSQPGSRSSSPGKLLGSGLAGGSSRGPPVTPSSEKRSKIPRSQGCSRETS PNRIGLDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALLLGDARSKKKPVRRRYEPY GMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWSERKEGLLGL QNLLKSQRTLSRVELKRLCEIFTRMFADPHSKVFSMFLETLVDFIIIHKDDLQDWLFVLL TQLLKKMGADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIVDQTQTPNLKVKVAILK YIESLARQMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKAAQIVLISLFELNTPEFTM LLGALPKTFQDGATKLLHNHLKNSSNTGVGSPSNTIGRTPSRHPSSRTSPLTSPTNCSHG GLSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNEPIKRDGKKDCDIVSRDG GAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRAREYNPYPYSDTINTYDKT ALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERKGALLELLKITREDSLGV WEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARFKNYAELTIMKTLEAHKDS HKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAAIKMQTKVVERITKESLL QLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPHLAQLTGSKMKLLNLYIK RAQTTNSNSSSSSDVSTHS >ENSMUSP00000067858.7 pep:known chromosome:GRCm38:1:118389058:118609432:1 gene:ENSMUSG00000064302.13 transcript:ENSMUST00000070989.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp1 description:CLIP associating protein 1 [Source:MGI Symbol;Acc:MGI:1923957] MEPRMESCLAQVLQKDVGKRLQVGQELIDYFSDRQKSADLEHDQTLLDKLVDGLATSWVN SSNYKVVLLGMDILSALVTRLQDRFKAQIGTVLPSLIDRLGDAKDSVREQDQTLLLKIMD QAANPQYVWDRMLGGFKHKNFRTREGICLCLIATLNASGAQTLTLSKIVPHICNLLGDPN SQVRDAAINSLVEIYRHVGERVRADLSKKGLPQSRLNVIFTKFDEVQKSGNMIQSANEKN FDDEDSVDGNRPSSASSSSSKAPSSSRRNVNLGTTRRLMSSSLGSKSSAAKEGAGAVDEE DFIKAFDDVPVVQIYSSRDLEESINKIREILSDDKHDWEQRVNALKKIRSLLLAGAAEYD NFFQHLRLLDGAFKLSAKDLRSQVVREACITLGHLSSVLGNKFDHGAEAIMPTIFNLIPN SAKIMATSGVVAVRLIIRHTHIPRLIPVITSNCTSKSVAVRRRCFEFLDLLLQEWQTHSL ERHISVLAETIKKGIHDADSEARIEARKCYWGFHSHFSREAEHLYHTLESSYQKALQSHL KNSDSIVSLPQSDRSSSSSQESLNRPLSAKRSPTGSTASRGSTVSTKSVSTTGSLQRSRS DIDVNAAASAKSKVSSSSGSPAFSSAAALPPGSYASLGRIRTRRQSSGSTTNVASTPSDS RGRSRAKVVSQSQRSRSANPAGAGSRSSSPGKLLGSGLAGGSSRGPPVTPSSEKRSKIPR SQGCSRETSPNRIGLARSSRIPRPSMSQGCSRDTSRESSRDTSPARGFTPLASRRHSRST SALSTAESVGQSDRFGLGQSGRIPGSVNAMRVLSTSTDLEAAVADALVRGTEKEKKKPVR RRYEPYGMYSDDDANSDASSVCSERSYGSRNGGIPHYLRQTEDVAEVLNHCASSNWSERK EGLLGLQNLLKSQRTLSRVELKRLCEIFTRMFADPHSKRVFSMFLETLVDFIIIHKDDLQ DWLFVLLTQLLKKMGADLLGSVQAKVQKALDVTRDSFPFDQQFNILMRFIVDQTQTPNLK VKVAILKYIESLARQMDPTDFVNSSETRLAVSRIITWTTEPKSSDVRKAAQIVLISLFEL NTPEFTMLLGALPKTFQDGATKLLHNHLKNSSNTGVGSPSNTIGRTPSRHPSSRTSPLTS PTNCSHGGLSPSMLDYDTENLNSEEIYSSLRGVTEAIEKFSFRSQEDLNEPIKRDGKKDC DIVSRDGGAASPATEGRGGSEIEGGRMALDNKTSLLNTQPPRAFPGPRAREYNPYPYSDT INTYDKTALKEAVFDDDMEQLRDVPIDHSDLVADLLKELSNHNERVEERKGALLELLKIT REDSLGVWEEHFKTILLLLLETLGDKDHSIRALALRVLREILRNQPARFKNYAELTIMKT LEAHKDSHKEVVRAAEEAASTLASSIHPEQCIKVLCPIIQTADYPINLAAIKMQTKVVER ITKESLLQLLVDIIPGLLQGYDNTESSVRKASVFCLVAIYSVIGEDLKPHLAQLTGSKMK LLNLYIKRAQTTNSNSSSSSDVSTHS >ENSMUSP00000104209.2 pep:known chromosome:GRCm38:7:5408887:5413145:-1 gene:ENSMUSG00000078808.4 transcript:ENSMUST00000108569.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r58 description:vomeronasal 1 receptor 58 [Source:MGI Symbol;Acc:MGI:3033473] MEMLALQILLLCHVVVGTVGNILLFVHNFSPILTDSRLKPIQVILINLAVANAFMLLLFA YSYDLTDIVPRKPPTDLKCKLAYFFHMVARGTIMCSTCILSTYQFVTLVPGTWARVMFRE ISPKVVSYCCCICWLFSVLNNAYIPMNVSGPQKSHNDSDSKGNSICSISGVSVDMNILRF SHDIIFLSIMAWTSVSMVIHLHRHHQRMNHIHKANQNNRGHAETRAAHTILMLVVTFVSL YILNCITILFHISFVESRLWLRYATKLLALSFPTISPFLLIFRDRKGHCSLHIIVSMGIH VTGGAITEGQ >ENSMUSP00000020668.8 pep:known chromosome:GRCm38:11:46454935:46481255:1 gene:ENSMUSG00000020399.14 transcript:ENSMUST00000020668.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Havcr2 description:hepatitis A virus cellular receptor 2 [Source:MGI Symbol;Acc:MGI:2159682] MFSGLTLNCVLLLLQLLLARSLENAYVFEVGKNAYLPCSYTLSTPGALVPMCWGKGFCPW SQCTNELLRTDERNVTYQKSSRYQLKGDLNKGDVSLIIKNVTLDDHGTYCCRIQFPGLMN DKKLELKLDIKAAKVTPAQTAHGDSTTASPRTLTTERNGSETQTLVTLHNNNGTKISTWA DEIKDSGETIRTAIHIGVGVSAGLTLALIIGVLILKWYSCKKKKLSSLSLITLANLPPGG LANAGAVRIRSEENIYTIEENVYEVENSNEYYCYVNSQQPS >ENSMUSP00000104852.1 pep:known chromosome:GRCm38:11:46454969:46481246:1 gene:ENSMUSG00000020399.14 transcript:ENSMUST00000109229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Havcr2 description:hepatitis A virus cellular receptor 2 [Source:MGI Symbol;Acc:MGI:2159682] MFSGLTLNCVLLLLQLLLARSLENAYVFEKSSRYQLKGDLNKGDVSLIIKNVTLDDHGTY CCRIQFPGLMNDKKLELKLDIKAAKVTPAQTAHGDSTTASPRTLTTERNGSETQTLVTLH NNNGTKISTWADEIKDSGETIRTAIHIGVGVSAGLTLALIIGVLILKWYSCKKKKLSSLS LITLANLPPGGLANAGAVRIRSEENIYTIEENVYEVENSNEYYCYVNSQQPS >ENSMUSP00000029273.1 pep:known chromosome:GRCm38:3:37222759:37232618:-1 gene:ENSMUSG00000027718.8 transcript:ENSMUST00000029273.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il21 description:interleukin 21 [Source:MGI Symbol;Acc:MGI:1890474] MERTLVCLVVIFLGTVAHKSSPQGPDRLLIRLRHLIDIVEQLKIYENDLDPELLSAPQDV KGHCEHAAFACFQKAKLKPSNPGNNKTFIIDLVAQLRRRLPARRGGKKQKHIAKCPSCDS YEKRTPKEFLERLKWLLQKMIHQHLS >ENSMUSP00000124668.1 pep:known chromosome:GRCm38:3:37225337:37232565:-1 gene:ENSMUSG00000027718.8 transcript:ENSMUST00000161015.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il21 description:interleukin 21 [Source:MGI Symbol;Acc:MGI:1890474] MERTLVCLVVIFLGTVAHKSSPQGPDRLLIRLRHLIDIVEQLKIYENDLDPELLSAPQDV KGHCEHAAFACFQKAKLKPSNPGNNKTFIIDLVAQLRRRLPARRGGKKQKHIAKCPSCDS YEKRTPKEFLERLKWLLQKVCTLNAFLSLPCCVRVPPVPSDS >ENSMUSP00000080268.3 pep:known chromosome:GRCm38:2:148990343:149003251:-1 gene:ENSMUSG00000063507.4 transcript:ENSMUST00000081553.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1330 description:predicted gene 1330 [Source:MGI Symbol;Acc:MGI:2686176] MCKTMGSPLLQLAIFVLLLYFSHAAKYFTINIFENYDKEHPVGNTEEEIFDIFRNVYNFY NNGKYLSNIKSVYFRHKVSTYPRVKNIVDLSKCETIRCDAINPFSFLKQAHGVTRYVKSE EVTCKDLLSKLASCPFNEQADQHKNRCSHIPSYQDYQSQNIKMRKDSKVGKIIRDSQTPI DRTP >ENSMUSP00000105568.1 pep:known chromosome:GRCm38:2:148990343:149003251:-1 gene:ENSMUSG00000063507.4 transcript:ENSMUST00000109942.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1330 description:predicted gene 1330 [Source:MGI Symbol;Acc:MGI:2686176] MCKTMGSPLLQLAIFVLLLYFSHAAKYFTINIFENYDKEHPVGNTEEEIFDIFRNVYNFY NNGKYLSNIKSVYFRHKVSTYPRVKNIVDLSKCETILCDAINPFSFLKQAHGVTRYVKSE EVTCKDLLSKLASCPFNEQADQHKNRCSHIPSYQDYQSQNIKMRKDSKVGKIIRDSQTPI DRTP >ENSMUSP00000122159.1 pep:known chromosome:GRCm38:8:84872111:84888221:1 gene:ENSMUSG00000003824.12 transcript:ENSMUST00000136026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syce2 description:synaptonemal complex central element protein 2 [Source:MGI Symbol;Acc:MGI:1919096] MERHGVAAPPVELKDQEPPAIVESGEHRQSENHEETPGSVAPSASCQLPGPFSSLDSSIE TLKKKAQELIENINESRQKDHALMTNFRDSLKMKVSDLTEKLEERMYQVYSHHSKIIQER LQEFTQKMAKINHLEMELKQVCQTVETVYKDLCVQSEVPTCEEQNYKDGEC >ENSMUSP00000003922.5 pep:known chromosome:GRCm38:8:84872193:84887456:1 gene:ENSMUSG00000003824.12 transcript:ENSMUST00000003922.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syce2 description:synaptonemal complex central element protein 2 [Source:MGI Symbol;Acc:MGI:1919096] MERHGVAAPPVELKDQEPPAIVESGEHRQSENHEETPGSVAPRSQI >ENSMUSP00000131438.1 pep:known chromosome:GRCm38:8:84872111:84887446:1 gene:ENSMUSG00000003824.12 transcript:ENSMUST00000170296.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syce2 description:synaptonemal complex central element protein 2 [Source:MGI Symbol;Acc:MGI:1919096] MERHGSWLRSQVAAPPVELKDQEPPAIVESGEHRQSENHEETPGSVAPSASCQLPGPFSS LDSSIETLKKKAQELIENINESRQKDHALMTNFRDSLKMKVSDLTEKLEERMYQVYSHHS KIIQERLQEFTQKMAKINHLEMELKQVCQTVETVYKDLCVQSEVPTCEEQNYKDGEC >ENSMUSP00000050189.8 pep:known chromosome:GRCm38:5:93181933:93206495:-1 gene:ENSMUSG00000063015.12 transcript:ENSMUST00000058550.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccni description:cyclin I [Source:MGI Symbol;Acc:MGI:1341077] MKFPGPLENQRLSSLLERAISREAQMWKVNVPKIPTNQNVSPSQRDEVIQWLAKLKYQFN LYPETFALASSLLDRFLATVKAHPKYLNCIAISCFFLAAKTVEEDEKIPVLKVLARDSFC GCSSSEILRMERIILDKLNWDLHTATPLDFLHIFHAIAVSARPQLLFSLPKLSPSQHLAV LTKQLLHCMACNQLLQFKGSMLALAMVSLEMEKLIPDWLPLTIELLQKAQMDSSQLIHCR ELVAYHLSALQSALPLNSVYVYRPLKHTLVTCDKGAFKLHPSSVSGPDFSKDNSKPEVPV RGPAAFHLHLPAASGCKQTSAKRKVEEMEVDDFYDGIKRLYNEDNGPENVGSVCGTDLSR QEGHASPCPPLQPVSVM >ENSMUSP00000143972.1 pep:known chromosome:GRCm38:5:93183293:93189665:-1 gene:ENSMUSG00000063015.12 transcript:ENSMUST00000201823.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccni description:cyclin I [Source:MGI Symbol;Acc:MGI:1341077] VIQWLAKLKYQFNLYPETFALASSLLDRFLATVKAHPKYLNCIAISCFFLAAKTVEEDEK IPVLKVLARDSFCGCSSSEILRMERIILDKLNWDLHTATPLDFLHIMDSSQLIHCRELVA YHLSALQSALPLNSVYVYRPLKHTLVTC >ENSMUSP00000116224.1 pep:known chromosome:GRCm38:5:93187762:93203364:-1 gene:ENSMUSG00000063015.12 transcript:ENSMUST00000151568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccni description:cyclin I [Source:MGI Symbol;Acc:MGI:1341077] MKFPGPLENQRLSSLLERAISREAQMWKVNVPKIPTNQNVSPSQRDEVIQWLAKLKYQFN LYPETFALASSLLDRFLATVKAHPKYLNCIAISCFFLAAKTVEEDEKIPVLKVLARDSFC GCSSSEILRMERIILDKLNWDLHTATPLDFLHIFHAIAVSARPQLLFSLPKL >ENSMUSP00000122434.1 pep:known chromosome:GRCm38:5:93189564:93206428:-1 gene:ENSMUSG00000063015.12 transcript:ENSMUST00000144514.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccni description:cyclin I [Source:MGI Symbol;Acc:MGI:1341077] MKFPGPLENQRLSSLLERAISREAQMWKVNVPKIPTNQNVSPSQRDEVIQWLAKLKYQFN LYPETFALASSLLDRFLATVK >ENSMUSP00000129736.1 pep:known chromosome:GRCm38:17:31494323:31512268:-1 gene:ENSMUSG00000024037.12 transcript:ENSMUST00000172284.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr4 description:WD repeat domain 4 [Source:MGI Symbol;Acc:MGI:1889002] XAGGPMASSAGLALCAQTLVVRGGSRFLAFSTTGSDDDCVFTYDCSTAEKKATPEDKGED GQPADTGSDSILASTFSKSGRYFALTDDRWWCGGAPP >ENSMUSP00000126061.1 pep:known chromosome:GRCm38:17:31494323:31512751:-1 gene:ENSMUSG00000024037.12 transcript:ENSMUST00000171171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr4 description:WD repeat domain 4 [Source:MGI Symbol;Acc:MGI:1889002] MRLRPARMLLDGTPFARRRVTSLTSANRKGAARRTCPEAAGGPMASSAGLALCAQTLVVR GGSRFLAFSTTGSDDDCVFTYDCSTAEKKATPEDKGEDGQPADTGSDSILASTFSKSGRY FALTDDSKRLILFRTKPWQCLSVRMVVRRCTALTFTASEDRVLVADKSGDVYSFSVLEPD GCGRLELGHLSMLLDVAVSPDDQFVLTADRDEKIRVSWAAAPHSIESFCLGHTEFVSRIL VVPSHPELLLSSSGDGTLRLWEYRSGRQLQCCDLAGLQEPGEQPGHKGLAASRIAFWGQE SYVVLLCECVPVVFVFQLDASRQQLVFRQRLTFPHRVWDVVFEEARGLWVLQDCRDAPLV LWRPVGGEWQAAPDGAVSPRLCSHLRESWAMLEGSVGTDDSFRSLYKATFDNMTSYLKKK EERLQQQLKKKRQRSPFPGSPEQTKKACPGQSALSC >ENSMUSP00000127073.1 pep:known chromosome:GRCm38:17:31494325:31512268:-1 gene:ENSMUSG00000024037.12 transcript:ENSMUST00000170176.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr4 description:WD repeat domain 4 [Source:MGI Symbol;Acc:MGI:1889002] XAGGPMASSAGLALCAQTLVVRGGSRFLAFSTTGSDDDCVFTYDCSTAEKKATPEDKGED GQPADTGSDSILASTFSKSGRYFALTDDSKRLILFRTKPWQCLSVRL >ENSMUSP00000132290.1 pep:known chromosome:GRCm38:17:31503526:31519965:-1 gene:ENSMUSG00000024037.12 transcript:ENSMUST00000171291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr4 description:WD repeat domain 4 [Source:MGI Symbol;Acc:MGI:1889002] MVVRRCTALTFTASEDRVLVADKSGDVYSFSVLEPDGCGRLELGHLS >ENSMUSP00000127617.1 pep:known chromosome:GRCm38:17:31503537:31519914:-1 gene:ENSMUSG00000024037.12 transcript:ENSMUST00000167419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr4 description:WD repeat domain 4 [Source:MGI Symbol;Acc:MGI:1889002] MRLRPARMLLDGTPFARRRVTSLTSANRKGAARRTCPEAAGGPMASSAGLALCAQTLVVR GGSRFLAFSTTGSDDDCVFTYDCSTAEKKATPEDKGEDGQPADTGSDSILASTFSKSGRY FALTDDSKRLILFRTKPWQCLSVRV >ENSMUSP00000125954.1 pep:known chromosome:GRCm38:17:31503547:31512278:-1 gene:ENSMUSG00000024037.12 transcript:ENSMUST00000166626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr4 description:WD repeat domain 4 [Source:MGI Symbol;Acc:MGI:1889002] XPEAAGGPMASSAGLALCAQTLVVRGGSRFLAFSTTGSDDDCVFTYDCSTAEKKATPEDK GEDGQPADTGSDSILASTFSKSGRYFALTDDRSEEGLR >ENSMUSP00000040946.6 pep:known chromosome:GRCm38:5:3690000:3802925:-1 gene:ENSMUSG00000040351.11 transcript:ENSMUST00000043551.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankib1 description:ankyrin repeat and IBR domain containing 1 [Source:MGI Symbol;Acc:MGI:1918047] MGNTTTKFRKALINGDENLACQIYENNPQLKESLDPNISYGEPYQHNTPLHYAARHGMNR ILGTFLFGRDGNPNKRNVHNETSMHLLCMGPQIMISEGTLHPRLARPVEDDFRRADCLQM ILQWKGAKLDQGEYERAAIDAVDNKKNTPLHYAAASGMKACVELLVKHGGDLFAENENRD TPCDCAEKQQHKDLALSLESQMVFSRDPEAEEIEAEYAALDKREPYEGLRPQDLRRLKDM LIVETADMLQAPLFTAEALLRAHDWDREKLLEAWMSNPENCCQRSGVQMPTPPPSGYNAW DTLPSPRTPRTTRSSVTSPDEISLSPGDLDTSLCDICMCSISVFEDPVDMPCGHDFCRGC WEAFLNLKIQEGEAHNIFCPAYECFQLVPVDVIESVVSKEMDKRYLQFDIKAFVENNPAI KWCPTAGCERAVRLTKQGSNPSGSDTLSFPLLRAPAVDCGKGHLFCWECLGEAHEPCDCQ TWKNWLQKITEMKPEELVGVSEAYEDAANCLWLLTNSKPCANCKSPIQKNEGCNHMQCAK CKYDFCWICLEEWKKHSSSTGGYYRCTRYEVIQHVEEQSKEMTVEAEKKHKRFQELDRFM HYYTRYKNHEHSYQLEQRLLKTAKEKMEQLSRALKETEGGCPDTTFIEDAVHVLLKTRRI LKCSYPYGFFLEPKSTKKEIFELMQTDLEMVTEDLAQKVNRPYLRTPRHKIIRAACLVQQ KRQEFLASVARGVAPADSPDAPRRSFAGGTWDWEYLGFASPEYRRRHRQQRRRGDVHSLL SNPTDLDEPSESTFDLPEGSSGRRPGASVVSSASMSVLHSSSLRDYSPASRSANQDSLQA LSSLDEDDPNILLAIQLSLQESGLDMDEETRDFLSNETSLGAIGSSLPSRLDSVPRSTES PRAALSSSELLELGDSLMRLGADSDPFSTDTLSSRPLSETRSDFCPSSSDLDSAGQDPSA NDNLLGNIMAWFHDMNPQSIALIPPAATTEISAEPQLPCIRDGSEGVRDMELVPPEDSVS KDTGVHEGERAQMEENPLEENILAREELSQAGDSSNEAVGRGDRPDAASQTPQTSSDWLE QVHSV >ENSMUSP00000142687.1 pep:known chromosome:GRCm38:5:3693880:3795490:-1 gene:ENSMUSG00000040351.11 transcript:ENSMUST00000200335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankib1 description:ankyrin repeat and IBR domain containing 1 [Source:MGI Symbol;Acc:MGI:1918047] MGNTTTKFRKALINGDENLACQIYENNPQLKESLDPNISYGEPYQHNTPLHYAARHGMNR ILGTFLFGRDGNPNKRNVHNETSMHLLCMGPQIMISEGTLHPRLARPVEDDFRRADCLQM ILQWKGAKLDQGEYERAAIDAVDNKKNTPLHYAAASGMKACVELLVKHGGDLFAENENRD TPCDCAEKQQHKDLALSLESQMVFSRDPEAEEIEAEYAALDKREPYEGLRPQDLRRLKDM LIVETADMLQAPLFTAEALLRAHDWDREKLLEAWMSNPENCCQRSGVQMPTPPPSGYNAW DTLPSPRTPRTTRSSVTSPDEISLSPGDLDTSLCDICMCSISVFEDPVDMPCGHDFCRGC WEAFLNLKIQEGEAHNIFCPAYECFQLVPVDVIESVVSKEMDKRYLQFDIKAFVENNPAI KWCPTAGCERAVRLTKQGSNPSGSDTLSFPLLRAPAVDCGKGHLFCWECLGEAHEPCDCQ TWKNWLQKITEMKPEELVGVSEAYEDAANCLWLLTNSKPCANCKSPIQKNEGCNHMQCAK CKYDFCWICLEEWKKHSSSTGGYYRCTRYEVIQHVEEQSKEMTVEAEKKHKRFQELDRFM HYYTRYKNHEHSYQLEQRLLKTAKEKMEQLSRALKETEGGCPDTTFIEDAVHVLLKTRRI LKCSYPYGFFLEPKSTKKEIFELMQTDLEMVTEDLAQKVNRPYLRTPRHKIIRAACLVQQ KRQEFLASVARGVAPADSPDAPRRSFAGGTWDWEYLGFASPEEYAEFQYRRRHRQQRRRG DVHSLLSNPTDLDEPSESTFDLPEGSSGR >ENSMUSP00000043795.6 pep:known chromosome:GRCm38:2:119897228:119967749:1 gene:ENSMUSG00000033943.15 transcript:ENSMUST00000046717.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mga description:MAX gene associated [Source:MGI Symbol;Acc:MGI:1352483] MEEKQQIILANQDGGTVTGGAPTFFVILKQPGNGKTDQGILVTNRDARALLSRESSPGKS KEKICLPADCTVGKITVTLDNNSMWNEFHNRSTEMILTKQGRRMFPYCRYWITGLDSNLK YILVMDISPVDSHRYKWNGRWWEPSGKAEPHILGRVFIHPESPSTGHYWMHQPVSFYKLK LTNNTLDQEGHIILHSMHRYLPRLHLVPAEKATEVIQLNGPGVHTFTFPQTEFFAVTAYQ NIQITQLKIDYNPFAKGFRDDGLSSKPQREGKQRNSSDQEGNSVSSSPAHRVRLTEGEGS EIHSGDFDPVLRGHEASSLSLEKAPHNVKQDFLGFMNTDSTHEVPQLKHEISESRIVNSF EDDSQISSPSNPNGNFNVVIKEEPLDDYDYELGECPEGITVKQEETDEETDVYSNSDDDP ILEKQLKRHNKVDNLEADHPSYKWLPNSPGVAKAKMFKLDAGKMPVVYLEPCAVTKSTVK ISELPDNMLSTSRKDKSMLAELEYLPAYIENSDGTDFCLSKDSENSLRKHSPDLRIVQKY TLLKEPNWKYPDILDNSSTERIHDSSKGSTAESFSGKEDLGKKRTTMLKMAIPSKTVTAS HSASPNTPGKRGRPRKLRLSKAGRPPKNTGKSLTAAKNIPVGPGSTFPDVKPDLEDVDGV LFVSFESKEALDIHAVDGTTEEPSSLQTTTTNDSGCRTRISQLEKELIEDLKSLRHKQVI HPALQEVGLKLNSVDPTVSIDLKYLGVQLPLAPATSFPLWNVTGTNPASPDAGFPFVSRT GKTNDFTKIKGWRGKFQNASASRNEGGNSEASLKNRSAFCSDKLDEYLENEGKLMETNIG FSSNAPTSPVVYQLPTKSTSYVRTLDSVLKKQSTISPSTSHSVKPQSVTTASRKTKAQNK QTTLSGRTKSSYKSILPYPVSPKQKNSHVSQGDKITKNSLSSTSDNQVTNLVVPSVDENA FPKQISLRQAQQQHLQQQGTRPPGLSKSQVKLMDLEDCALWEGKPRTYITEERADVSLTT LLTAQASLKTKPIHTIIRKRAPPCNNDFCRLGCVCSSLALEKRQPAHCRRPDCMFGCTCL KRKVVLVKGGSKTKHFHKKAANRDPLFYDTLGEEGREGGGVREDEEQLKEKKKRKKLEYT VCEAEPEQPVRHYPLWVKVEGEVDPEPVYIPTPSVIEPIKPLVLPQPDLSSTTKGKLTPG IKPARTYTPKPNPVIREEDKDPVYLYFESMMTCARVRVYERKKEEQRQLSPPLSPSSSFQ QQSSCYSSPENRVTKELDSEQTLKQLICDLEDDSDKSQEKSWKSSCNEGESSSTSYVHQR SPGGPTKLIEIISDCNWEEDRNKILSILSQHINSNMPQSLKVGSFIIELASQRKCRGEKT PPVYSSRVKISMPSSQDQDDMAEKSGSETPDGPLSPGKMDDISPVQTDALDSVRERLHGG KGLPFYAGLSPSGKLVAYKRKPSSTTSGLIQVASNAKVAASRKPRTLLPSTSNSKMASSG PATNRSGKNLKAFVPAKRPIAARPSPGGVFTQFVMSKVGALQQKIPGVRTPQPLTGPQKF SIRPSPVMVVTPVVSSEQVQVCSTVAAAVTTSPQVFLENVTAVPSLTANSDMGAKEATYS SSASTAGVVEISETNNTTLVTSTQSTATVNLTKTTGITTSPVASVSFAKPLVASPTITLP VASTASTSIVMVTTAASSSVVTTPTSSLSSVPIILSGINGSPPVSQRPENAPQIPVTTPQ ISSNNVKRTGPRLLHPNGQIVQLLPLHQIRGSNAQPSLQPVVFRNPGSMVGIRLPAPCKS SETPSSSASSSAFSVMSPVIQAVGSSPTVNVISQAPSLLSSGSSFVSQAGTLTLRISPPE TQNLASKTGSESKITPSTGGQPVGTASLIPLQSGSFALLQLPGQKPIPSSVLQHVASLQI KKESQSTDQKDETNSIKREEETKKALPSKDKALDSEANIMKQNSGIIASENTSNNSLDDG GDLLDEETLREDARPYEYSYSTGSHTDEDKDGDEDSGNKNQNSPKEKQTVPEVRAGSKNI DIMALQSIRSIRPQKCVKVKVEPQEGSDNPENPDDFLVLSKDSKFELSGNQVKEQQSNSQ AEAKKDCEDSLGKDSLRERWRKHLKGPLTQKYIGISQNFNKEANVQFFTEMKPCQENSEQ DISELLGKSGTIESGGVLKTEDGSWSGISSSAAFSIIPRRATKGRRGSRHFQGHLLLPRE QMKPKQQTKDGRSSAADFTVLDLEDEDEEDEKTDDSLDEIVDVVSGYQSEEVDVEKNNYV DYLEDDEQVDVETIEELSEEINFPYKKTTATHTQSFKQQCHSHISADEKASEKSRKVSLI SSKLKDDCWGDKPHKETEAFAYYRRTHTANERRRRGEMRDLFEKLKITLGLLHSSKVSKS LILNRAFSEIQGLTDQADKLIGQKNLLSRKRSILIRKVSSLSGKTEEVVLKKLEYIYAKQ QALEAQKRKKKLGSDEFCVSPRIGTQLEGSSASSVDLGQMLMNNRRGKPLILSRKRDQAT ENASPSDTPHSSANLVMTPQGQLLTLKGPLFSGPVVAVSPALLEGGLKPQVASSTMSQSE NDDLFMMPRIVNVTSLAAEEDLGGMSGNKYRHEVPDGKPLDHLRDIAGSEASSLKDTERI SSRGNHRDSRKALGPTQVLLANKDSGFPHVADVSTMQAAQEFIPKNMSGDVRGHRYKWKE CELRGERLKSKESQFHKLKMKDLKDSSIEMELRKVASAIEEAALHPSELLTNMEDEDDTD ETLTSLLNEIAFLNQQLNDDSGLAELSGSMDTEFSGDAQRAFISKLAPGNRSAFQVGHLG AGVKELPDVQEESESISPLLLHLEDDDFSENEKQLGDTASEPDVLKIVIDPEIKDSLVSH RKSSDGGQSTSGLPAEPESVSSPPILHMKTGPENSNTDTLWRPMPKLAPLGLKVANPPSD ADGQSLKVMPALAPIAAKVGSIGHKMNLAGIDQEGRGSKVMPTLAPVVPKLGNSGAPSSS SGK >ENSMUSP00000119044.1 pep:known chromosome:GRCm38:2:119897305:119960794:1 gene:ENSMUSG00000033943.15 transcript:ENSMUST00000156510.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mga description:MAX gene associated [Source:MGI Symbol;Acc:MGI:1352483] MEEKQQIILANQDGGTVTGGAPTFFVILKQPGNGKTDQGILVTNRDARALLSRESSPGKS KEKICLPADCTVGKITVTLDNNSMWNEFHNRSTEMILTKQGRRMFPYCRYWITGLDSNLK YILVMDISPVDSHRYKWNGRWWEPSGKAEPHILGRVFIHPESPSTGHYWMHQPVSFYKLK LTNNTLDQEGHIILHSMHRYLPRLHLVPAEKATEVIQLNGPGVHTFTFPQTEFFAVTAYQ NIQITQLKIDYNPFAKGFRDDGLSSKPQREGKQRNSSDQEGNSVSSSPAHRVRLTEGEGS EIHSGDFDPVLRGHEASSLSLEKAPHNVKQDFLGFMNTDSTHEVPQLKHEISESRIVNSF EDDSQISSPSNPNGNFNVVIKEEPLDDYDYELGECPEGITVKQEETDEETDVYSNSDDDP ILEKQLKRHNKVDNLEADHPSYKWLPNSPGVAKAKMFKLDAGKMPVVYLEPCAVTKSTVK ISELPDNMLSTSRKDKSMLAELEYLPAYIENSDGTDFCLSKDSENSLRKHSPDLRIVQKY TLLKEPNWKYPDILDNSSTERIHDSSKGSTAESFSGKEDLGKKRTTMLKMAIPSKTVTAS HSASPNTPGKRGRPRKLRLSKAGRPPKNTGKSLTAAKNIPVGPGSTFPDVKPDLEDVDGV LFVSFESKEALDIHAVDGTTEEPSSLQTTTTNDSGCRTRISQLEKELIEDLKSLRHKQVI HPALQEVGLKLNSVDPTVSIDLKYLGVQLPLAPATSFPLWNVTGTNPASPDAGFPFVSRT GKTNDFTKIKGWRGKFQNASASRNEGGNSEASLKNRSAFCSDKLDEYLENEGKLMETNIG FSSNAPTSPVVYQLPTKSTSYVRTLDSVLKKQSTISPSTSHSVKPQSVTTASRKTKAQNK QTTLSGRTKSSYKSILPYPVSPKQKNSHVSQGDKITKNSLSSTSDNQVTNLVVPSVDENA FPKQISLRQAQQQHLQQQGTRPPGLSKSQVKLMDLEDCALWEGKPRTYITEERADVSLTT LLTAQASLKTKPIHTIIRKRAPPCNNDFCRLGCVCSSLALEKRQPAHCRRPDCMFGCTCL KRKVVLVKGGSKTKHFHKKAANRDPLFYDTLGEEGREGGGVREDEEQLKEKKKRKKLEYT VCEAEPEQPVRHYPLWVKVEGEVDPEPVYIPTPSVIEPIKPLVLPQPDLSSTTKGKLTPG IKPARTYTPKPNPIREEDKDPVYLYFESMMTCARVRVYERKKEEQRQLSPPLSPSSSFQQ QSSCYSSPENRVTKELDSEQTLKQLICDLEDDSDKSQEKSWKSSCNEGESSSTSYVHQRS PGGPTKLIEIISDCNWEEDRNKILSILSQHINSNMPQSLKVGSFIIELASQRKCRGEKTP PVYSSRVKISMPSSQDQDDMAEKSGSETPDGPLSPGKMDDISPVQTDALDSVRERLHGGK GLPFYAGLSPSGKLVAYKRKPSSTTSGLIQVASNAKVAASRKPRTLLPSTSNSKMASSGP ATNRSGKNLKAFVPAKRPIENAPQIPVTTPQISSNNVKRTGPRLLLIPVQQGSPTLRPIQ NPQLQGQRMVLQPVRGPSGMNLFRHPNGQIVQLLPLHQIRGSNAQPSLQPVVFRNPGSMV GIRLPAPCKSSETPSSSASSSAFSVMSPVIQAVGSSPTVNVISQAPSLLSSGSSFVSQAG TLTLRISPPETQNLASKTGSESKITPSTGGQPVGTASLIPLQSGSFALLQLPGQKPIPSS VLQHVASLQIKKESQSTDQKDETNSIKREEETKKALPSKDKALDSEANIMKQNSGIIASE NTSNNSLDDGGDLLDEETLREDARPYEYSYSTGSHTDEDKDGDEDSGNKNQNSPKEKQTV PEVRAGSKNIDIMALQSIRSIRPQKCVKVKVEPQEGSDNPENPDDFLVLSKDSKFELSGN QVKEQQSNSQAEAKKDCEDSLGKDSLRERWRKHLKGPLTQKYIGISQNFNKEANVQFFTE MKPCQENSEQDISELLGKSGTIESGGVLKTEDGSWSGISSSAAFSIIPRRATKGRRGSRH FQGHLLLPREQMKPKQQTKDGRSSAADFTVLDLEDEDEEDEKTDDSLDEIVDVVSGYQSE EVDVEKNNYVDYLEDDEQVDVETIEELSEEINFPYKKTTATHTQSFKQQCHSHISADEKA SEKSRKVSLISSKLKDDCWGDKPHKETEAFAYYRRTHTANERRRRGEMRDLFEKLKITLG LLHSSKVSKSLILNRAFSEIQGLTDQADKLIGQKNLLSRKRSILIRKVSSLSGKTEEVVL KKLEYIYAKQQALEA >ENSMUSP00000078853.5 pep:known chromosome:GRCm38:2:119897228:119969581:1 gene:ENSMUSG00000033943.15 transcript:ENSMUST00000079934.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mga description:MAX gene associated [Source:MGI Symbol;Acc:MGI:1352483] MEEKQQIILANQDGGTVTGGAPTFFVILKQPGNGKTDQGILVTNRDARALLSRESSPGKS KEKICLPADCTVGKITVTLDNNSMWNEFHNRSTEMILTKQGRRMFPYCRYWITGLDSNLK YILVMDISPVDSHRYKWNGRWWEPSGKAEPHILGRVFIHPESPSTGHYWMHQPVSFYKLK LTNNTLDQEGHIILHSMHRYLPRLHLVPAEKATEVIQLNGPGVHTFTFPQTEFFAVTAYQ NIQITQLKIDYNPFAKGFRDDGLSSKPQREGKQRNSSDQEGNSVSSSPAHRVRLTEGEGS EIHSGDFDPVLRGHEASSLSLEKAPHNVKQDFLGFMNTDSTHEVPQLKHEISESRIVNSF EDDSQISSPSNPNGNFNVVIKEEPLDDYDYELGECPEGITVKQEETDEETDVYSNSDDDP ILEKQLKRHNKVDNLEADHPSYKWLPNSPGVAKAKMFKLDAGKMPVVYLEPCAVTKSTVK ISELPDNMLSTSRKDKSMLAELEYLPAYIENSDGTDFCLSKDSENSLRKHSPDLRIVQKY TLLKEPNWKYPDILDNSSTERIHDSSKGSTAESFSGKEDLGKKRTTMLKMAIPSKTVTAS HSASPNTPGKRGRPRKLRLSKAGRPPKNTGKSLTAAKNIPVGPGSTFPDVKPDLEDVDGV LFVSFESKEALDIHAVDGTTEEPSSLQTTTTNDSGCRTRISQLEKELIEDLKSLRHKQVI HPALQEVGLKLNSVDPTVSIDLKYLGVQLPLAPATSFPLWNVTGTNPASPDAGFPFVSRT GKTNDFTKIKGWRGKFQNASASRNEGGNSEASLKNRSAFCSDKLDEYLENEGKLMETNIG FSSNAPTSPVVYQLPTKSTSYVRTLDSVLKKQSTISPSTSHSVKPQSVTTASRKTKAQNK QTTLSGRTKSSYKSILPYPVSPKQKNSHVSQGDKITKNSLSSTSDNQVTNLVVPSVDENA FPKQISLRQAQQQHLQQQGTRPPGLSKSQVKLMDLEDCALWEGKPRTYITEERADVSLTT LLTAQASLKTKPIHTIIRKRAPPCNNDFCRLGCVCSSLALEKRQPAHCRRPDCMFGCTCL KRKVVLVKGGSKTKHFHKKAANRDPLFYDTLGEEGREGGGVREDEEQLKEKKKRKKLEYT VCEAEPEQPVRHYPLWVKVEGEVDPEPVYIPTPSVIEPIKPLVLPQPDLSSTTKGKLTPG IKPARTYTPKPNPIREEDKDPVYLYFESMMTCARVRVYERKKEEQRQLSPPLSPSSSFQQ QSSCYSSPENRVTKELDSEQTLKQLICDLEDDSDKSQEKSWKSSCNEGESSSTSYVHQRS PGGPTKLIEIISDCNWEEDRNKILSILSQHINSNMPQSLKVGSFIIELASQRKCRGEKTP PVYSSRVKISMPSSQDQDDMAEKSGSETPDGPLSPGKMDDISPVQTDALDSVRERLHGGK GLPFYAGLSPSGKLVAYKRKPSSTTSGLIQVASNAKVAASRKPRTLLPSTSNSKMASSGP ATNRSGKNLKAFVPAKRPIENAPQIPVTTPQISSNNVKRTGPRLLLIPVQQGSPTLRPIQ NPQLQGQRMVLQPVRGPSGMNLFRHPNGQIVQLLPLHQIRGSNAQPSLQPVVFRNPGSMV GIRLPAPCKSSETPSSSASSSAFSVMSPVIQAVGSSPTVNVISQAPSLLSSGSSFVSQAG TLTLRISPPETQNLASKTGSESKITPSTGGQPVGTASLIPLQSGSFALLQLPGQKPIPSS VLQHVASLQIKKESQSTDQKDETNSIKREEETKKALPSKDKALDSEANIMKQNSGIIASE NTSNNSLDDGGDLLDEETLREDARPYEYSYSTGSHTDEDKDGDEDSGNKNQNSPKEKQTV PEVRAGSKNIDIMALQSIRSIRPQKCVKVKVEPQEGSDNPENPDDFLVLSKDSKFELSGN QVKEQQSNSQAEAKKDCEDSLGKDSLRERWRKHLKGPLTQKYIGISQNFNKEANVQFFTE MKPCQENSEQDISELLGKSGTIESGGVLKTEDGSWSGISSSAAFSIIPRRATKGRRGSRH FQGHLLLPREQMKPKQQTKDGRSSAADFTVLDLEDEDEEDEKTDDSLDEIVDVVSGYQSE EVDVEKNNYVDYLEDDEQVDVETIEELSEEINFPYKKTTATHTQSFKQQCHSHISADEKA SEKSRKVSLISSKLKDDCWGDKPHKETEAFAYYRRTHTANERRRRGEMRDLFEKLKITLG LLHSSKVSKSLILNRAFSEIQGLTDQADKLIGQKNLLSRKRSILIRKVSSLSGKTEEVVL KKLEYIYAKQQALEAQKRKKKLGSDEFCVSPRIGTQLEGSSASSVDLGQMLMNNRRGKPL ILSRKRDQATENASPSDTPHSSANLVMTPQGQLLTLKGPLFSGPVVAVSPALLEGGLKPQ VASSTMSQSENDDLFMMPRIVNVTSLAAEEDLGGMSGNKYRHEVPDGKPLDHLRDIAGSE ASSLKDTERISSRGNHRDSRKALGPTQVLLANKDSGFPHVADVSTMQAAQEFIPKNMSGD VRGHRYKWKECELRGERLKSKESQFHKLKMKDLKDSSIEMELRKVASAIEEAALHPSELL TNMEDEDDTDETLTSLLNEIAFLNQQLNDDSGLAELSGSMDTEFSGDAQRAFISKLAPGN RSAFQVGHLGAGVKELPDVQEESESISPLLLHLEDDDFSENEKQLGDTASEPDVLKIVID PEIKDSLVSHRKSSDGGQSTSGLPAEPESVSSPPILHMKTGPENSNTDTLWRPMPKLAPL GLKVANPPSDADGQSLKVMPALAPIAAKVGSIGHKMNLAGIDQEGRGSKVMPTLAPVVPK LGNSGAPSSSSGK >ENSMUSP00000106401.1 pep:known chromosome:GRCm38:2:119897228:119969581:1 gene:ENSMUSG00000033943.15 transcript:ENSMUST00000110774.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mga description:MAX gene associated [Source:MGI Symbol;Acc:MGI:1352483] MEEKQQIILANQDGGTVTGGAPTFFVILKQPGNGKTDQGILVTNRDARALLSRESSPGKS KEKICLPADCTVGKITVTLDNNSMWNEFHNRSTEMILTKQGRRMFPYCRYWITGLDSNLK YILVMDISPVDSHRYKWNGRWWEPSGKAEPHILGRVFIHPESPSTGHYWMHQPVSFYKLK LTNNTLDQEGHIILHSMHRYLPRLHLVPAEKATEVIQLNGPGVHTFTFPQTEFFAVTAYQ NIQITQLKIDYNPFAKGFRDDGLSSKPQREGKQRNSSDQEGNSVSSSPAHRVRLTEGEGS EIHSGDFDPVLRGHEASSLSLEKAPHNVKQDFLGFMNTDSTHEVPQLKHEISESRIVNSF EDDSQISSPSNPNGNFNVVIKEEPLDDYDYELGECPEGITVKQEETDEETDVYSNSDDDP ILEKQLKRHNKVDNLEADHPSYKWLPNSPGVAKAKMFKLDAGKMPVVYLEPCAVTKSTVK ISELPDNMLSTSRKDKSMLAELEYLPAYIENSDGTDFCLSKDSENSLRKHSPDLRIVQKY TLLKEPNWKYPDILDNSSTERIHDSSKGSTAESFSGKEDLGKKRTTMLKMAIPSKTVTAS HSASPNTPGKRGRPRKLRLSKAGRPPKNTGKSLTAAKNIPVGPGSTFPDVKPDLEDVDGV LFVSFESKEALDIHAVDGTTEEPSSLQTTTTNDSGCRTRISQLEKELIEDLKSLRHKQVI HPALQEVGLKLNSVDPTVSIDLKYLGVQLPLAPATSFPLWNVTGTNPASPDAGFPFVSRT GKTNDFTKIKGWRGKFQNASASRNEGGNSEASLKNRSAFCSDKLDEYLENEGKLMETNIG FSSNAPTSPVVYQLPTKSTSYVRTLDSVLKKQSTISPSTSHSVKPQSVTTASRKTKAQNK QTTLSGRTKSSYKSILPYPVSPKQKNSHVSQGDKITKNSLSSTSDNQVTNLVVPSVDENA FPKQISLRQAQQQHLQQQGTRPPGLSKSQVKLMDLEDCALWEGKPRTYITEERADVSLTT LLTAQASLKTKPIHTIIRKRAPPCNNDFCRLGCVCSSLALEKRQPAHCRRPDCMFGCTCL KRKVVLVKGGSKTKHFHKKAANRDPLFYDTLGEEGREGGGVREDEEQLKEKKKRKKLEYT VCEAEPEQPVRHYPLWVKVEGEVDPEPVYIPTPSVIEPIKPLVLPQPDLSSTTKGKLTPG IKPARTYTPKPNPVIREEDKDPVYLYFESMMTCARVRVYERKKEEQRQLSPPLSPSSSFQ QQSSCYSSPENRVTKELDSEQTLKQLICDLEDDSDKSQEKSWKSSCNEGESSSTSYVHQR SPGGPTKLIEIISDCNWEEDRNKILSILSQHINSNMPQSLKVGSFIIELASQRKCRGEKT PPVYSSRVKISMPSSQDQDDMAEKSGSETPDGPLSPGKMDDISPVQTDALDSVRERLHGG KGLPFYAGLSPSGKLVAYKRKPSSTTSGLIQVASNAKVAASRKPRTLLPSTSNSKMASSG PATNRSGKNLKAFVPAKRPIAARPSPGGVFTQFVMSKVGALQQKIPGVRTPQPLTGPQKF SIRPSPVMVVTPVVSSEQVQVCSTVAAAVTTSPQVFLENVTAVPSLTANSDMGAKEATYS SSASTAGVVEISETNNTTLVTSTQSTATVNLTKTTGITTSPVASVSFAKPLVASPTITLP VASTASTSIVMVTTAASSSVVTTPTSSLSSVPIILSGINGSPPVSQRPENAPQIPVTTPQ ISSNNVKRTGPRLLLIPVQQGSPTLRPIQNPQLQGQRMVLQPVRGPSGMNLFRHPNGQIV QLLPLHQIRGSNAQPSLQPVVFRNPGSMVGIRLPAPCKSSETPSSSASSSAFSVMSPVIQ AVGSSPTVNVISQAPSLLSSGSSFVSQAGTLTLRISPPETQNLASKTGSESKITPSTGGQ PVGTASLIPLQSGSFALLQLPGQKPIPSSVLQHVASLQIKKESQSTDQKDETNSIKREEE TKKALPSKDKALDSEANIMKQNSGIIASENTSNNSLDDGGDLLDEETLREDARPYEYSYS TGSHTDEDKDGDEDSGNKNQNSPKEKQTVPEVRAGSKNIDIMALQSIRSIRPQKCVKVKV EPQEGSDNPENPDDFLVLSKDSKFELSGNQVKEQQSNSQAEAKKDCEDSLGKDSLRERWR KHLKGPLTQKYIGISQNFNKEANVQFFTEMKPCQENSEQDISELLGKSGTIESGGVLKTE DGSWSGISSSAAFSIIPRRATKGRRGSRHFQGHLLLPREQMKPKQQTKDGRSSAADFTVL DLEDEDEEDEKTDDSLDEIVDVVSGYQSEEVDVEKNNYVDYLEDDEQVDVETIEELSEEI NFPYKKTTATHTQSFKQQCHSHISADEKASEKSRKVSLISSKLKDDCWGDKPHKETEAFA YYRRTHTANERRRRGEMRDLFEKLKITLGLLHSSKVSKSLILNRAFSEIQGLTDQADKLI GQKNLLSRKRSILIRKVSSLSGKTEEVVLKKLEYIYAKQQALEAQKRKKKLGSDEFCVSP RIGTQLEGSSASSVDLGQMLMNNRRGKPLILSRKRDQATENASPSDTPHSSANLVMTPQG QLLTLKGPLFSGPVVAVSPALLEGGLKPQVASSTMSQSENDDLFMMPRIVNVTSLAAEED LGGMSGNKYRHEVPDGKPLDHLRDIAGSEASSLKDTERISSRGNHRDSRKALGPTQVLLA NKDSGFPHVADVSTMQAAQEFIPKNMSGDVRGHRYKWKECELRGERLKSKESQFHKLKMK DLKDSSIEMELRKVASAIEEAALHPSELLTNMEDEDDTDETLTSLLNEIAFLNQQLNDDS GLAELSGSMDTEFSGDAQRAFISKLAPGNRSAFQVGHLGAGVKELPDVQEESESISPLLL HLEDDDFSENEKQLGDTASEPDVLKIVIDPEIKDSLVSHRKSSDGGQSTSGLPAEPESVS SPPILHMKTGPENSNTDTLWRPMPKLAPLGLKVANPPSDADGQSLKVMPALAPIAAKVGS IGHKMNLAGIDQEGRGSKVMPTLAPVVPKLGNSGAPSSSSGK >ENSMUSP00000106400.2 pep:known chromosome:GRCm38:2:119897228:119969581:1 gene:ENSMUSG00000033943.15 transcript:ENSMUST00000110773.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mga description:MAX gene associated [Source:MGI Symbol;Acc:MGI:1352483] MEEKQQIILANQDGGTVTGGAPTFFVILKQPGNGKTDQGILVTNRDARALLSRESSPGKS KEKICLPADCTVGKITVTLDNNSMWNEFHNRSTEMILTKQGRRMFPYCRYWITGLDSNLK YILVMDISPVDSHRYKWNGRWWEPSGKAEPHILGRVFIHPESPSTGHYWMHQPVSFYKLK LTNNTLDQEGHIILHSMHRYLPRLHLVPAEKATEVIQLNGPGVHTFTFPQTEFFAVTAYQ NIQITQLKIDYNPFAKGFRDDGLSSKPQREGKQRNSSDQEGNSVSSSPAHRVRLTEGEGS EIHSGDFDPVLRGHEASSLSLEKAPHNVKQDFLGFMNTDSTHEVPQLKHEISESRIVNSF EDDSQISSPSNPNGNFNVVIKEEPLDDYDYELGECPEGITVKQEETDEETDVYSNSDDDP ILEKQLKRHNKVDNLEADHPSYKWLPNSPGVAKAKMFKLDAGKMPVVYLEPCAVTKSTVK ISELPDNMLSTSRKDKSMLAELEYLPAYIENSDGTDFCLSKDSENSLRKHSPDLRIVQKY TLLKEPNWKYPDILDNSSTERIHDSSKGSTAESFSGKEDLGKKRTTMLKMAIPSKTVTAS HSASPNTPGKRGRPRKLRLSKAGRPPKNTGKSLTAAKNIPVGPGSTFPDVKPDLEDVDGV LFVSFESKEALDIHAVDGTTEEPSSLQTTTTNDSGCRTRISQLEKELIEDLKSLRHKQVI HPALQEGGNSEASLKNRSAFCSDKLDEYLENEGKLMETNIGFSSNAPTSPVVYQLPTKST SYVRTLDSVLKKQSTISPSTSHSVKPQSVTTASRKTKAQNKQTTLSGRTKSSYKSILPYP VSPKQKNSHVSQGDKITKNSLSSTSDNQVTNLVVPSVDENAFPKQISLRQAQQQHLQQQG TRPPGLSKSQVKLMDLEDCALWEGKPRTYITEERADVSLTTLLTAQASLKTKPIHTIIRK RAPPCNNDFCRLGCVCSSLALEKRQPAHCRRPDCMFGCTCLKRKVVLVKGGSKTKHFHKK AANRDPLFYDTLGEEGREGGGVREDEEQLKEKKKRKKLEYTVCEAEPEQPVRHYPLWVKV EGEVDPEPVYIPTPSVIEPIKPLVLPQPDLSSTTKGKLTPGIKPARTYTPKPNPVIREED KDPVYLYFESMMTCARVRVYERKKEEQRQLSPPLSPSSSFQQQSSCYSSPENRVTKELDS EQTLKQLICDLEDDSDKSQEKSWKSSCNEGESSSTSYVHQRSPGGPTKLIEIISDCNWEE DRNKILSILSQHINSNMPQSLKVGSFIIELASQRKCRGEKTPPVYSSRVKISMPSSQDQD DMAEKSGSETPDGPLSPGKMDDISPVQTDALDSVRERLHGGKGLPFYAGLSPSGKLVAYK RKPSSTTSGLIQVASNAKVAASRKPRTLLPSTSNSKMASSGPATNRSGKNLKAFVPAKRP IAARPSPGGVFTQFVMSKVGALQQKIPGVRTPQPLTGPQKFSIRPSPVMVVTPVVSSEQV QVCSTVAAAVTTSPQVFLENVTAVPSLTANSDMGAKEATYSSSASTAGVVEISETNNTTL VTSTQSTATVNLTKTTGITTSPVASVSFAKPLVASPTITLPVASTASTSIVMVTTAASSS VVTTPTSSLSSVPIILSGINGSPPVSQRPENAPQIPVTTPQISSNNVKRTGPRLLHPNGQ IVQLLPLHQIRGSNAQPSLQPVVFRNPGSMVGIRLPAPCKSSETPSSSASSSAFSVMSPV IQAVGSSPTVNVISQAPSLLSSGSSFVSQAGTLTLRISPPETQNLASKTGSESKITPSTG GQPVGTASLIPLQSGSFALLQLPGQKPIPSSVLQHVASLQIKKESQSTDQKDETNSIKRE EETKKALPSKDKALDSEANIMKQNSGIIASENTSNNSLDDGGDLLDEETLREDARPYEYS YSTGSHTDEDKDGDEDSGNKNQNSPKEKQTVPEVRAGSKNIDIMALQSIRSIRPQKCVKV KVEPQEGSDNPENPDDFLVLSKDSKFELSGNQVKEQQSNSQAEAKKDCEDSLGKDSLRER WRKHLKGPLTQKYIGISQNFNKEANVQFFTEMKPCQENSEQDISELLGKSGTIESGGVLK TEDGSWSGISSSAAFSIIPRRATKGRRGSRHFQGHLLLPREQMKPKQQTKDGRSSAADFT VLDLEDEDEEDEKTDDSLDEIVDVVSGYQSEEVDVEKNNYVDYLEDDEQVDVETIEELSE EINFPYKKTTATHTQSFKQQCHSHISADEKASEKSRKVSLISSKLKDDCWGDKPHKETEA FAYYRRTHTANERRRRGEMRDLFEKLKITLGLLHSSKVSKSLILNRAFSEIQGLTDQADK LIGQKNLLSRKRSILIRKVSSLSGKTEEVVLKKLEYIYAKQQALEAQKRKKKLGSDEFCV SPRIGTQLEGSSASSVDLGQMLMNNRRGKPLILSRKRDQATENASPSDTPHSSANLVMTP QGQLLTLKGPLFSGPVVAVSPALLEGGLKPQVASSTMSQSENDDLFMMPRIVNVTSLAAE EDLGGMSGNKYRHEVPDGKPLDHLRDIAGSEASSLKDTERISSRGNHRDSRKALGPTQVL LANKDSGFPHVADVSTMQAAQEFIPKNMSGDVRGHRYKWKECELRGERLKSKESQFHKLK MKDLKDSSIEMELRKVASAIEEAALHPSELLTNMEDEDDTDETLTSLLNEIAFLNQQLND DSGLAELSGSMDTEFSGDAQRAFISKLAPGNRSAFQVGHLGAGVKELPDVQEESESISPL LLHLEDDDFSENEKQLGDTASEPDVLKIVIDPEIKDSLVSHRKSSDGGQSTSGLPAEPES VSSPPILHMKTGPENSNTDTLWRPMPKLAPLGLKVANPPSDADGQSLKVMPALAPIAAKV GSIGHKMNLAGIDQEGRGSKVMPTLAPVVPKLGNSGAPSSSSGK >ENSMUSP00000137666.1 pep:known chromosome:GRCm38:10:80808498:80813492:-1 gene:ENSMUSG00000020216.13 transcript:ENSMUST00000181039.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jsrp1 description:junctional sarcoplasmic reticulum protein 1 [Source:MGI Symbol;Acc:MGI:1916700] MTTRGLEDLDGGLGSCLPSDDLPFLEEPASGRRPESKARGTSRRADSSDWTHVLQDPVAA GAGDAGLKKMEKELAGKESTAGKAGTSPRIVPARRKPQAPPPLQPPPPPLQPPPRTPSDD LPWGDLTLNKCLVLASLVALLGSALQLCRDAVAGEVVAAPHPWVPPSSPPKKEASPAPKP PVLVSPSGSPQPKPGPPQARMQDEPELPGSPEATETRVERGGSISEASGEESVPLGDRGS QEKPRKEKPSKGEKLKKEKPRREKPRREDKSQVTGEPRQSLPRRWEAREGGRRPWGRDSR DLLEHGKLQAWAPRRRHDRDDRPRQKRGKGRD >ENSMUSP00000137960.1 pep:known chromosome:GRCm38:10:80808501:80813495:-1 gene:ENSMUSG00000020216.13 transcript:ENSMUST00000181945.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jsrp1 description:junctional sarcoplasmic reticulum protein 1 [Source:MGI Symbol;Acc:MGI:1916700] MTTRGLEDLDGGLGSCLPSDDLPFLEEPASGRRPESKARGTSRRADSSDWTHVSSFSLSL SLSFTLSFFFLLFLLLELGSHIAQAVLKFKPQG >ENSMUSP00000137701.1 pep:known chromosome:GRCm38:10:80810183:80813498:-1 gene:ENSMUSG00000020216.13 transcript:ENSMUST00000180438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jsrp1 description:junctional sarcoplasmic reticulum protein 1 [Source:MGI Symbol;Acc:MGI:1916700] MEKELAGKESTAGKAGTSPRIVPARRKPQAPPPLQPPPPPLQPPPRTPSDDLPWGDLTLN KCLVLASLVALLGSALQL >ENSMUSP00000020435.4 pep:known chromosome:GRCm38:10:80808496:80811885:-1 gene:ENSMUSG00000020216.13 transcript:ENSMUST00000020435.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jsrp1 description:junctional sarcoplasmic reticulum protein 1 [Source:MGI Symbol;Acc:MGI:1916700] MLGTESWLPACWVLQDPVAAGAGDAGLKKMEKELAGKESTAGKAGTSPRIVPARRKPQAP PPLQPPPPPLQPPPRTPSDDLPWGDLTLNKCLVLASLVALLGSALQLCRDAVAGEVVAAP HPWVPPSSPPKKEASPAPKPPVLVSPSGSPQPKPGPPQARMQDEPELPGSPEATETRVER GGSISEASGEESVPLGDRGSQEKPRKEKPSKGEKLKKEKPRREKPRREDKSQVTGEPRQS LPRRWEAREGGRRPWGRDSRDLLEHGKLQAWAPRRRHDRDDRPRQKRGKGRD >ENSMUSP00000048205.8 pep:known chromosome:GRCm38:1:179546370:179627478:1 gene:ENSMUSG00000038949.8 transcript:ENSMUST00000040706.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnst description:consortin, connexin sorting protein [Source:MGI Symbol;Acc:MGI:2445141] MDDSDPPTYSLQIEPQDGCHPGDSVERRVTRLPSVSDENENQLAGDGPAGLTTSEGAMGR ATVSEQDSLNNNESFPSSCEAAPTENAENTPSEGPKDDPPSLGQDQKLPAKRSPRAKKSS PKSAPPGDAVPVMQTQNATSQAAGEEEAAGVNANDPPKAPALQPLFSLIRGEVAQMDSRA LPLFLHQVAETYFQEEDYEKAMKFIQLERLYHEQLLANLSAIQEQWETKWKAVQPRTVTP LRNSEKGFNGEDFEQLAKICTTHQDPLLSKLKTAPVEPSPERKSLARAIMSEEAVGTEAA AKEPEIETCPSTDPSGDRHEEEPQESSPGCHQMEWQTASPELPGTAGKDHTEELPSSTNA TLDLHTQSLETAGSRSGPAAASNACKDSSCVPAPPTEDHCGVARDPKVAPPSESVAEQKL STGDDGALPGLISEGKYSQAHRKELCLPLQDAFEALPRDQPHSSEVAEPRQPDVTASDGK SAQSQAGLETGPESALCGDRKACDVSTLCLEVCMAPEERRDSEDRVSKETEDYLHSLLER CLKDAEDSLSYEDIQDDDSDLLQDLSPEEASYSLQEDLPPDESTLSLDDLAKKIEIAEAI PAEGLVSILKKRNDTVGSHPAQMQQKPAKRRVRFQEIDDNLEQDEVGGGSCILLILLCIA TVFLSVGGTALYCTLGNIESPVCTDFADNVDFYYTKLLQGVAGLKHWVYLS >ENSMUSP00000055048.6 pep:known chromosome:GRCm38:13:25252040:25270082:-1 gene:ENSMUSG00000048978.13 transcript:ENSMUST00000057866.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrsn1 description:neurensin 1 [Source:MGI Symbol;Acc:MGI:894662] MTSCSNTCGSRRAQADTEGGYQQRYGVRSYLHQFYEDCTASIWEYEDDFQIQRSPNRWSS VFWKVGLISGTVFVILGLTVLAVGFLVPPKIEAFGEADFMVVDTHAVKYNGALDTCKLAG AVLFCIGGTSMAGCLLMSVFAKSYSKEEKFLQQKFKERIADIKAHTQPITKAPGPGDTKI PVTLSRVQNVQPLSAT >ENSMUSP00000128979.1 pep:known chromosome:GRCm38:13:25252192:25262685:-1 gene:ENSMUSG00000048978.13 transcript:ENSMUST00000167305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrsn1 description:neurensin 1 [Source:MGI Symbol;Acc:MGI:894662] MTSCSNTCGSRRAQADTEGGYQQRYGVRSYLHQFYEDCTASIWEYEDDFQIQRSPNRWSS VFWKVGLISGTVFVILGLTVLAVGFLVPPKIEAFGEADFMVVDTHAVKYNGALDTCKLAG AVLFCIGGTSMAGCLLMSVFAKSYSKEEKFLQQKFKERIADIKAHTQPITKAPGPGDTKI PVTLSRVQNVQPLSAT >ENSMUSP00000079616.1 pep:known chromosome:GRCm38:19:13479252:13480196:-1 gene:ENSMUSG00000096708.1 transcript:ENSMUST00000080801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1475 description:olfactory receptor 1475 [Source:MGI Symbol;Acc:MGI:3031309] MENISEVTEFILMGITDAPELQIPLFIIFTLIYLIALFGNLGMIMLILLDSRLHSPMYFF LCNLSLVDCVYASAVTPKVMEGFLTGNKIISYNACAAQMFFFVAFGAIESLILASMAYDR HAAVCKPLHYTTIMTSTTCILIVTCCYMCGILQSSIHVALAFCLSFCNSNVINHFFCDIP PLLDISCSDTYTNEITVLILGTCDGILTLLVILNTYLLIFIAILRMRSAEAQRKAFSTCA SHLITVFIFFGSTLFMYLQPSSNHSMDTDKIASVFYTMVIPMLNPVVYSLRNKEVKNAFK KVVGKLMTSLQLVN >ENSMUSP00000103951.3 pep:known chromosome:GRCm38:7:28293553:28302238:-1 gene:ENSMUSG00000003436.11 transcript:ENSMUST00000108315.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dll3 description:delta-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1096877] MVSLQVSPLSQTLILAFLLPQALPAGVFELQIHSFGPGPGLGTPRSPCNARGPCRLFFRV CLKPGVSQEATESLCALGAALSTSVPVYTEHPGESAAALPLPDGLVRVPFRDAWPGTFSL VIETWREQLGEHAGGPAWNLLARVVGRRRLAAGGPWARDVQRTGTWELHFSYRARCEPPA VGAACARLCRSRSAPSRCGPGLRPCTPFPDECEAPSVCRPGCSPEHGYCEEPDECRCLEG WTGPLCTVPVSTSSCLNSRVPGPASTGCLLPGPGPCDGNPCANGGSCSETSGSFECACPR GFYGLRCEVSGVTCADGPCFNGGLCVGGEDPDSAYVCHCPPGFQGSNCEKRVDRCSLQPC QNGGLCLDLGHALRCRCRAGFAGPRCEHDLDDCAGRACANGGTCVEGGGSRRCSCALGFG GRDCRERADPCASRPCAHGGRCYAHFSGLVCACAPGYMGVRCEFAVRPDGADAVPAAPRG LRQADPQRFLLPPALGLLVAAGLAGAALLVIHVRRRGPGQDTGTRLLSGTREPSVHTLPD ALNNLRLQDGAGDGPSSSADWNHPEDGDSRSIYVIPAPSIYAREA >ENSMUSP00000018610.6 pep:known chromosome:GRCm38:11:78920787:78960254:1 gene:ENSMUSG00000020826.7 transcript:ENSMUST00000018610.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos2 description:nitric oxide synthase 2, inducible [Source:MGI Symbol;Acc:MGI:97361] MACPWKFLFKVKSYQSDLKEEKDINNNVKKTPCAVLSPTIQDDPKSHQNGSPQLLTGTAQ NVPESLDKLHVTSTRPQYVRIKNWGSGEILHDTLHHKATSDFTCKSKSCLGSIMNPKSLT RGPRDKPTPLEELLPHAIEFINQYYGSFKEAKIEEHLARLEAVTKEIETTGTYQLTLDEL IFATKMAWRNAPRCIGRIQWSNLQVFDARNCSTAQEMFQHICRHILYATNNGNIRSAITV FPQRSDGKHDFRLWNSQLIRYAGYQMPDGTIRGDAATLEFTQLCIDLGWKPRYGRFDVLP LVLQADGQDPEVFEIPPDLVLEVTMEHPKYEWFQELGLKWYALPAVANMLLEVGGLEFPA CPFNGWYMGTEIGVRDFCDTQRYNILEEVGRRMGLETHTLASLWKDRAVTEINVAVLHSF QKQNVTIMDHHTASESFMKHMQNEYRARGGCPADWIWLVPPVSGSITPVFHQEMLNYVLS PFYYYQIEPWKTHIWQNEKLRPRRREIRFRVLVKVVFFASMLMRKVMASRVRATVLFATE TGKSEALARDLATLFSYAFNTKVVCMDQYKASTLEEEQLLLVVTSTFGNGDCPSNGQTLK KSLFMLRELNHTFRYAVFGLGSSMYPQFCAFAHDIDQKLSHLGASQLAPTGEGDELSGQE DAFRSWAVQTFRAACETFDVRSKHHIQIPKRFTSNATWEPQQYRLIQSPEPLDLNRALSS IHAKNVFTMRLKSQQNLQSEKSSRTTLLVQLTFEGSRGPSYLPGEHLGIFPGNQTALVQG ILERVVDCPTPHQTVCLEVLDESGSYWVKDKRLPPCSLSQALTYFLDITTPPTQLQLHKL ARFATDETDRQRLEALCQPSEYNDWKFSNNPTFLEVLEEFPSLHVPAAFLLSQLPILKPR YYSISSSQDHTPSEVHLTVAVVTYRTRDGQGPLHHGVCSTWIRNLKPQDPVPCFVRSVSG FQLPEDPSQPCILIGPGTGIAPFRSFWQQRLHDSQHKGLKGGRMSLVFGCRHPEEDHLYQ EEMQEMVRKRVLFQVHTGYSRLPGKPKVYVQDILQKQLANEVLSVLHGEQGHLYICGDVR MARDVATTLKKLVATKLNLSEEQVEDYFFQLKSQKRYHEDIFGAVFSYGAKKGSALEEPK ATRL >ENSMUSP00000003907.8 pep:known chromosome:GRCm38:8:84886393:84893917:-1 gene:ENSMUSG00000003809.14 transcript:ENSMUST00000003907.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcdh description:glutaryl-Coenzyme A dehydrogenase [Source:MGI Symbol;Acc:MGI:104541] MVPAMLTGYMSLRGVSARLLSRRSGLRFPRFPRTWSSAAAHTEKTQIRPAKSSRPVFDWK DPLILEEQLTADEKLIRDTFRNYCQERLMSRILLANRNEVFHRDIVYEMGELGVLGPTIK GYGCAGVSSVAYGLLTRELERVDSGYRSMMSVQSSLVMHPIYTYGSEEQRQKYLPGLAKG ELLGCFGLTEPNHGSDPGGMETRARHNPSNQSYTLSGTKTWITNSPVADLFIVWARCEDN CIRGFILEKGMRGLSAPRIEGKFSLRASATGMIIMDSVEVPEENVLPNVSSLAGPFGCLN TARYGITWGVLGAAEFCLHTARQYALDRIQFGVPLARNQLVQKKLADMLTEITLGLHACL QLGRLKDQDKATPEMVSMLKRNNCGKALDIARQARDILGGNGISDEYHVIRHAMNLEAVN TYEGTHDIHALILGRAITGIQAFTVGK >ENSMUSP00000116584.2 pep:known chromosome:GRCm38:8:84893094:84893921:-1 gene:ENSMUSG00000003809.14 transcript:ENSMUST00000142748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcdh description:glutaryl-Coenzyme A dehydrogenase [Source:MGI Symbol;Acc:MGI:104541] MVPAMLTGYMSLRGVSARLLSRRSGLRFPRFPRTWSSAAAHTEKTQIRPAKSSRPVFDWK DPLILEEQLTADEKL >ENSMUSP00000105367.1 pep:known chromosome:GRCm38:8:84886393:84893921:-1 gene:ENSMUSG00000003809.14 transcript:ENSMUST00000109745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcdh description:glutaryl-Coenzyme A dehydrogenase [Source:MGI Symbol;Acc:MGI:104541] MSLRGVSARLLSRRSGLRFPRFPRTWSSAAAHTEKTQIRPAKSSRPVFDWKDPLILEEQL TADEKLIRDTFRNYCQERLMSRILLANRNEVFHRDIVYEMGELGVLGPTIKGYGCAGVSS VAYGLLTRELERVDSGYRSMMSVQSSLVMHPIYTYGSEEQRQKYLPGLAKGELLGCFGLT EPNHGSDPGGMETRARHNPSNQSYTLSGTKTWITNSPVADLFIVWARCEDNCIRGFILEK GMRGLSAPRIEGKFSLRASATGMIIMDSVEVPEENVLPNVSSLAGPFGCLNTARYGITWG VLGAAEFCLHTARQYALDRIQFGVPLARNQLVQKKLADMLTEITLGLHACLQLGRLKDQD KATPEMVSMLKRNNCGKALDIARQARDILGGNGISDEYHVIRHAMNLEAVNTYEGTHDIH ALILGRAITGIQAFTVGK >ENSMUSP00000143306.1 pep:known chromosome:GRCm38:3:145576205:145604113:1 gene:ENSMUSG00000074182.8 transcript:ENSMUST00000199033.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit6 description:zinc finger, HIT type 6 [Source:MGI Symbol;Acc:MGI:1916996] MDAAPIKEEGSLKSEAMEDAKVKEEPQMNPRVGSKRKLALSRCETCGTEEAKYRCPRCMR FSCSLPCVKKHKADLTCSGVRDKTAYVSLQQFTEMNLLSDYRFLEDVARTADKVSRDTFL KRPKRKKYLFFMKNRARKQGIYLRLLPNGFSKRKENSTVFDHRKQQFCWHVKLQFPQSQA EYIEKRVPDDKTINEILKPYIDPEESDPVIRQRLKAYAQSQTGVQILMRVENMQQNMIRY HELDPYKSLSDNLKDKVIIEYPTLHVVLRGSSNDKQLLQVKSESAQKLGNGN >ENSMUSP00000096136.4 pep:known chromosome:GRCm38:3:145576232:145604795:1 gene:ENSMUSG00000074182.8 transcript:ENSMUST00000098534.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit6 description:zinc finger, HIT type 6 [Source:MGI Symbol;Acc:MGI:1916996] MESAAEKEGTPGGGSQRVAEGARPRPAAGGEGARDLDGSPEAGDGEERNGLAGTKTTEDA EEIKMDLAVVKQEVVDWSDLDSGVADSQWVKQEVEGGPEVKDEKGVLEVKQEADSSLVVK EEEVDEPEVKEEKVKVKEEVTDWEEVKEEDLTIKQELFVGQNVKEEQVMDAAPIKEEGSL KSEAMEDAKVKEEPQMNPRVGSKRKLALSRCETCGTEEAKYRCPRCMRFSCSLPCVKKHK ADLTCSGVRDKTAYVSLQQFTEMNLLSDYRFLEDVARTADKVSRDTFLKRPKRKKYLFFM KNRARKQGIYLRLLPNGFSKRKENSTVFDHRKQQFCWHVKLQFPQSQAEYIEKRVPDDKT INEILKPYIDPEESDPVIRQRLKAYAQSQTGVQILMRVENMQQNMIRYHELDPYKSLSDN LKDKVIIEYPTLHVVLRGSSNDKQLLQVKSESAQKLGNGN >ENSMUSP00000143259.1 pep:known chromosome:GRCm38:3:145576247:145578530:1 gene:ENSMUSG00000074182.8 transcript:ENSMUST00000200574.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit6 description:zinc finger, HIT type 6 [Source:MGI Symbol;Acc:MGI:1916996] MDAAPIKEEGSLKSEAMEDAKVKEEPQMNPRVGSKRKLALSRCETCGTEEAKYRCPRCMR FSCSLPCVKKHKADLTCSGVRDKTAYVSLQQFTEMNLLSDYRFLEDVARTADKVSRDTFL KRPKRKKY >ENSMUSP00000143376.1 pep:known chromosome:GRCm38:3:145576258:145594665:1 gene:ENSMUSG00000074182.8 transcript:ENSMUST00000196413.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit6 description:zinc finger, HIT type 6 [Source:MGI Symbol;Acc:MGI:1916996] MESAAEKEGTPGGGSQRNVKEEQVMDAAPIKEEGSLKSEAMEDAKVKEEPQMNPRVGSKR KLALSRCETCGTEEAKYRCPRCMRFSCSLPCVKKHKADLTCSGVRDKTAYVSLQQFTEMN LLSDYRFLEDVARTADKVSRDTFLKRPKRKKYLFFMKNRARKQGIYLRLLPNGFSKRKEN STVFDHRKQQFCWHVKLQFPQSQAEYIEKRVPDDKTINEILKPYIDPEESDP >ENSMUSP00000142642.1 pep:known chromosome:GRCm38:3:145576274:145582636:1 gene:ENSMUSG00000074182.8 transcript:ENSMUST00000197940.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Znhit6 description:zinc finger, HIT type 6 [Source:MGI Symbol;Acc:MGI:1916996] MDAAPIKEEGSLKSEAMEDAKVKEEPQMNPRVGSKRKLALSRYRAASCLFLQFALCKETQ S >ENSMUSP00000143484.1 pep:known chromosome:GRCm38:3:145576336:145592884:1 gene:ENSMUSG00000074182.8 transcript:ENSMUST00000197604.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znhit6 description:zinc finger, HIT type 6 [Source:MGI Symbol;Acc:MGI:1916996] MDAAPIKEEGSLKSEAMEDAKVKEEPQMNPRVGSKRKLALSRCETCGTEEAKYRCPRCMR FSCSLPCVKKHKADLTCSGVRDKTAYVSLQQFTEMNLLSDYRFLEDVARTADKVSRDTFL KRPKRKKYLFFMKNRARKQGIYLRLLPNGFSKRKENSTVFDHRKQQFCWHVKLQFPQSQA EYIEK >ENSMUSP00000011058.2 pep:known chromosome:GRCm38:2:103021075:103073513:-1 gene:ENSMUSG00000010914.10 transcript:ENSMUST00000011058.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdhx description:pyruvate dehydrogenase complex, component X [Source:MGI Symbol;Acc:MGI:1351627] MAASWRLHCNQPLLRYLLGFSSRRSLGLAQGAAAWPVDRGASWRWFHSTQLLQADPIKVL MPSLSPTMEQGNIVKWLRKEGEAVSAGDSLCEIETDKAVVTLDANDDGILAKIVVEEGAK NIQLGSLIALMVEEGEDWKQVEIPKDVSAPPPVSKPPAPTQPSPQPQIPCPARKEHKGTA RFRLSPAARNILEKHSLDASQGTATGPRGIFTKEDALKLVELKQMGKITESRPASAPPPS LSASVPPQATAGPSYPRPMTPPVSIPGQPNAAGTFTEIPASNIRRVIAKRLTESKSTVPH AYATADCDLGAVLKVRRDLVKDDIKVSVNDFIIRAAAVTLKQMPGVNVTWDGEGPKQLPS VDISVAVATDKGLITPIIKDAAAKGIQEIADSVKVLSKKARDGKLMPEEYQGGSFSISNL GMFGIDEFAAVINPPQACILAVGRFRPVLKLTEDEEGNPQLQQHQLITVTMSSDSRVVDD ELATRFLETFKANLENPMRLG >ENSMUSP00000106814.1 pep:known chromosome:GRCm38:2:103039619:103073462:-1 gene:ENSMUSG00000010914.10 transcript:ENSMUST00000111183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdhx description:pyruvate dehydrogenase complex, component X [Source:MGI Symbol;Acc:MGI:1351627] MAASWRLHCNQPLLRYLLGFSSRRSLGLAQGAAAWPVDRGASWRWFHSTQLLQADPIKVL MPSLSPTMEQGNIVKWLRKEGEAVSAGDSLCEIETDKAVVTLDANDDGILAKIVVEEGAK NIQLGSLIALMVEEGEDWKQVEIPKDVSAPPPVSKPPAPTQPSPQPQIPCPARKEHKGTA RFRLSPAARNILEKHSLDASQGTATGPRGIFTKEYVRCLL >ENSMUSP00000119172.1 pep:known chromosome:GRCm38:2:103033277:103073335:-1 gene:ENSMUSG00000010914.10 transcript:ENSMUST00000132449.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdhx description:pyruvate dehydrogenase complex, component X [Source:MGI Symbol;Acc:MGI:1351627] RGASWRWFHSTQLLQGEAVSAGDSLCEIETDKAVVTLDANDDGILAKIVVEEGAKNIQLG SLIALMVEEGEDWKQVEIPKDVSAPPPVSKPPAPTQPSPQPQIPCPARKEHKGTARFRLS PAARNILEKHSLDASQGTATGPRGIFTKEDALKLVELKQMGKITESRPASAPPPSLSASV PPQATAGPSYPRPMTPPVSIPGQPNAAGTFTEIPASNI >ENSMUSP00000079660.5 pep:known chromosome:GRCm38:6:132361041:132364134:-1 gene:ENSMUSG00000030143.7 transcript:ENSMUST00000080849.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8882 description:predicted gene 8882 [Source:MGI Symbol;Acc:MGI:3645847] MLVILLTAALLVLSSAQREDEEITYEDSNSQLLEMGEQSQGYGHHFPKPPPGGMPPRPPS SDENDDGDEDGSEEDVNRPERPPQHPPHSGHHHGPPPQGDAQGPPRPGNQQGPPPPGPPP QGSSQQRSPQPGNQQGPPPQGGPQQRPPQPGNQQGPPPQGGPQQRPPQPGNQQGPPPQGG PQGPPRPGNQQGPPPQGGPQQRPTQPGNQQGPPQQGGPQGPPRPGNQQGPPPQGGPQGPP RPGNQQGPPPQGGPQRPPQPRNHQGPPQHGNNEQPSYLWSLFA >ENSMUSP00000026886.7 pep:known chromosome:GRCm38:2:10153571:10256529:1 gene:ENSMUSG00000025780.7 transcript:ENSMUST00000026886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih5 description:inter-alpha (globulin) inhibitor H5 [Source:MGI Symbol;Acc:MGI:1925751] MLLLLGLCLGLPLFSESQEEARSWDDTSEQVVLRVPRQLRLLQRLKTKPLMAEFSVKSTI ISRYAFTTVSCRMLNRASEDQEAEFQMQIPESAFITNFTMLIGDSVYRGEITQKDKKSSE SVKDKRNRTSDDNEENGSDMFKASLVIPSKDKAAFFLSYEELLQRRLGKYEHSISVRPQQ LVGRLTVEVDILERSGITSLEVLPLHNSRKKGSGKAEGDVGPPPSTLINQNETFAKVIFK PTVVQQAKIAQNGILGDFIVRYDVEREQNIGDIQVLNGYFVHYFAPKNLPPLPKNVVFVL DISASMVGAKLQQTREALVTILNDLRPQDRFNIIGFSNRIKMWKDHLLPVTPDNIRNGKI YMYHLSPTGGTDINGALQAAIKLLNNYVAQNDIEDRSVSLIIFLTDGKPTFGETNTLKIL SNTKEATRGQICIFTVGIGDDVDFKLLEKLSLENCGLTRRVHEEDKAGAQLIGFYDEIRT PLLSDIRIDYPPDVVEHATKTLFPNYFNGSEIVIAGKMVDKKFDQLHVEVTASNSKKFVI LKRDIPVEFRKMGNDVSVTPGSARDGGKDLNHIERLWSYLTVKELLSSWRQSNSEQEKEQ LRQKAQDLALNYHFLTPFTSMKLRKPGLRTNQLEDTYGMSAATGPATVVQNLREAGKQPE PDLKKTYDPRIKISKTSVDGDPHFVVDFPLSKLTVCFNIDGEPGDILRLVSDHLNSGVTV NGELIGAPAPPNGHKKQRTYFRTITILINRPERSYLEITPSRVILDGGDRLVLPCNQSVV VGSRGLEVSVSANANITVVIQGNIAFVILIHLYKNPAPFQRDHLGFYIANSRGLSDNCHG LLGQFLNQDAKLVGAPEEYGKNLSNQPFPRAEGMPEAILKVKGRRVPVVWKQRKIYNGQA QVDCWFDRNNAAKLIDGVYKDYLASHPFDTESALGLSTPRKPETDRPHEESV >ENSMUSP00000045621.6 pep:known chromosome:GRCm38:17:26081211:26090300:-1 gene:ENSMUSG00000036775.12 transcript:ENSMUST00000040907.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Decr2 description:2-4-dienoyl-Coenzyme A reductase 2, peroxisomal [Source:MGI Symbol;Acc:MGI:1347059] MAQPPPDVEGDDCLPEYHHLFCPDLLQDKVAFITGGGSGIGFRIAEIFMRHGCHTVIVGR SLQKVTTAAKKLVAATGKRCLPLSMDVRVPPEVMTAVDQALQEFGKINILINCAAGNFLC PASALSFNAFKTVVDIDTIGTFNVSSVLYKKFFRDHGGVIVNITATLSMRGQVLQLHAGA AKAAVDAMTRHLAVEWGPQNIRVNSLAPGAISGTEGLRRLRGSNASSKLKHFSNPIPRLG TKTEIAHSVLYLASPLASYVSGIVLVVDGGSWMTFPNGIKQLLEFESFSAKL >ENSMUSP00000092054.1 pep:known chromosome:GRCm38:4:147868979:147870358:1 gene:ENSMUSG00000070583.1 transcript:ENSMUST00000094481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fv1 description:Friend virus susceptibility 1 [Source:MGI Symbol;Acc:MGI:95595] MNFPRALAGFSSWLFKPELAEDSPDNDSPDNDTVNPWRELLQKINVADLPDSSFSSGKEL NDSVYHTFEHFCKIRDYDAVGELLLAFLDKVTKERDQFRDEISQLRMHINDLKASKCVLG ETLLSYRHRIEVGEKQTEALIVRLADVQSQVMCQPARKVSADKVRALIGKEWDPVTWDGD VWEDIDSEGSEEAELPTVLASPSLSEESGYALSKERTQQDKADAPQIQSSTSLVTSEPVT RPKSLSDLTSQKHRHTNHELNSLAHSNRQKAKEHARKWILRVWDNGGRLTILDQIEFLSL GPLSLDSEFNVIARTVEDNGVKSLFDWLAEAWVQRWPTTRELQSPDTLEWYSIEDGIERL RELGMIEWLCVKATCPQWRGPEDVPITRAMRITFVRETRETWKSFVFSLLCIKDITVGSV AAQLHDLIELSLKPTAAGLTSVGSVGVLSLSPWKHQSNS >ENSMUSP00000119278.1 pep:known chromosome:GRCm38:4:86053928:86428385:1 gene:ENSMUSG00000066113.15 transcript:ENSMUST00000141889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl1 description:ADAMTS-like 1 [Source:MGI Symbol;Acc:MGI:1924989] MECCRRAAPGTPLLVLAFLLLSSRTARSEEDREGLWDAWGPWSECSRTCGGGASYSLRRC LSSKSCEGRNIRYRTCSNVDCPPEAGDFRAQQCSAHNDVKYHGQLYEWLPVSNDPDNPCS LKCQAKGTSLVVELAPKVLDGTRCYTESLDMCISGLCQIVGCDHQLGSTVKEDNCGVCNG DGSTCRLVRGQYKSQLSASKSDDTVVAIPYGSRHIRLVLKGPDHLYLETKTLQGTKGENS LSSTGIFLVDNSTVDFQKLPDKEILRMTGPLTADFIIKIHDLGPADSTVQFIFYQPIIHR WRETDFFPCSATCGGGYQLTSAECYDLRSNRVVADQYCHYYPENVKPKPKLQECNLDPCP ASDGYKQIMPYDLYHPLPRWEATPWTACSSSCGGGIQSRAVSCVEEDIQGHVTSVEEWKC MYTPKMPVVQPCNIFDCPKWLAQEWSPCTVTCGQGLRYRVVLCIDHRGMHTGGCSAKTKP HIKEECIIPTPCYKPREKLPIEAKLPWFKQAQELEEGAAVSEEPSFIPEAWSACTVTCGV GTQVRIIRCQVLLPFSQSVADLPADECEGPKPASQRACYAGPCNGETPEFNPDNGDGLLG GLQDLDELYDWEYEGFTKCSESCGGGVQEAVVSCLNKQTRELADENLCVTSRRPPQLLKS CNLDPCPASHLLSREMNEVVVLADELCHHPKPSTVQACNRFNCPPAWYPAQWQLCSRTCG GGIQKRDVLCKQRMADGSFLELPETFCSASKPTSHQGCKKDDCPSEWLLSEWSECSVSCG EGTQTRSAICQRVLKTGVSTVVNSTLCPPLPFSSSIRPCMLATCARPGRPSTKHSPHIAA ARNIYIQTRRQRKLHFVVGGFAYLLPKTTVVLRCPTRRFRKPLITWEKDGQHSISSAHVT VAPFGYLKIHRLKPSDAGIYTCSAGPAREQFVIKLIGGNRKLVARPLSLWSEEEEALQVR KTNPKEALQTHKHQNGIFSNGSKAEKRGLTADPGNRYDDIVSRLLEQGGWPGELLASWEV QDSAERNASSEEDPNAEQALLHLPFTMVAEQKRLDDILRNLSQQPEELRDLYSKHLVAQL AQDIFRSHLENQDLLPKPSEQRFPPMAVPAHKHVSGFSSSLRSSSGEAGGGSRRPHRKPA ILRKISAAQQLSASEVVTHLGQTVALASGTLSVLLHCEAVGNPRPTIHWTRNGEAVQFSD RILLQPDDSLQILAPVEADVGFYTCNATNALGYDSVSIAVTLAGKPLVKTSRMTVLNTEK PTVTVDIGGTVRTVRGVNVTINCQVAGVPEAEVTWFRNKSKLGSSHHLHEGSSHHLHEGS LLLTDVSFSDQGLYSCRAANLHGEQTESTQLLILDPPQVPTQLEDIRALLLATGPNLPSV LMSPLGTQLVLDPGNSALLGCPIKGHPTPNITWFQNGQPIATAPGLTHHIWGAGQILRVA NLSGGPQGEFSCLAQNEAGTLLQKASLVIQDYWWSVDRLATCSASCGNRGIHQPRLRCLL NTTEVDPEHCTGKPRPAVQPVACNRRDCPSRWMVTSWSACTRSCGGGVQTRRVTCQKLKA SGISTPVSNDMCSQLAKRPVDTQACNQQLCVEWAFSSWGQCNGPCIGPRLAVQHRQVFCQ TRDGITLPSEQCSALPRPVSTQNCWSEACSVHWRVSLWTLCTATCGNYGFQSRRVECVHV RTNKAVPEHLCSWGPRPANWQRCNVTPCENTECRDTTRYCEKVRQLKLCQLGQFRSRCCG TCGKA >ENSMUSP00000043073.5 pep:known chromosome:GRCm38:4:86053923:86252973:1 gene:ENSMUSG00000066113.15 transcript:ENSMUST00000048885.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl1 description:ADAMTS-like 1 [Source:MGI Symbol;Acc:MGI:1924989] MECCRRAAPGTPLLVLAFLLLSSRTARSEEDREGLWDAWGPWSECSRTCGGGASYSLRRC LSSKSCEGRNIRYRTCSNVDCPPEAGDFRAQQCSAHNDVKYHGQLYEWLPVSNDPDNPCS LKCQAKGTSLVVELAPKVLDGTRCYTESLDMCISGLCQIVGCDHQLGSTVKEDNCGVCNG DGSTCRLVRGQYKSQLSASKSDDTVVAIPYGSRHIRLVLKGPDHLYLETKTLQGTKGENS LSSTGIFLVDNSTVDFQKLPDKEILRMTGPLTADFIIKIHDLGPADSTVQFIFYQPIIHR WRETDFFPCSATCGGGYQLTSAECYDLRSNRVVADQYCHYYPENVKPKPKLQECNLDPCP ASDGYKQIMPYDLYHPLPRWEATPWTACSSSCGGGIQSRAVSCVEEDIQGHVTSVEEWKC MYTPKMPVVQPCNIFDCPKWLAQEWSPCTVTCGQGLRYRVVLCIDHRGMHTGGCSAKTKP HIKEECIIPTPCYKPREKLPIEAKLPWFKQAQELEEGAAVSEEPS >ENSMUSP00000113330.1 pep:known chromosome:GRCm38:4:86053975:86199695:1 gene:ENSMUSG00000066113.15 transcript:ENSMUST00000120678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl1 description:ADAMTS-like 1 [Source:MGI Symbol;Acc:MGI:1924989] MECCRRAAPGTPLLVLAFLLLSSRTARSEEDREGLWDAWGPWSECSRTCGGGASYSLRRC LSSKSCEGRNIRYRTCSNVDCPPEAGDFRAQQCSAHNDVKYHGQLYEWLPVSNDPDNPCS LKCQAKGTSLVVELAPKVLDGTRCYTESLDMCISGLCQIVGCDHQLGSTVKEDNCGVCNG DGSTCRLVRGQYKSQLSASKCKT >ENSMUSP00000123343.1 pep:known chromosome:GRCm38:4:86199317:86248129:1 gene:ENSMUSG00000066113.15 transcript:ENSMUST00000136320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl1 description:ADAMTS-like 1 [Source:MGI Symbol;Acc:MGI:1924989] XDNCGVCNGDGSTCRLVRGQYKSQLSASKSDDTVVAIPYGSRHIRLVLKGPDHLYLETKT LQGTKGENSLSSTGIFLVDNSTVDFQKLPDKEILRMTGPLTADFIIKIHDLGPADSTVQF IFYQPIIHRWRETDFFPCSATCGGGYQLTSAECYDLRSNRVVADQYCHYYPENVKPKPKL QECNLDPCPARWEATPWTACSSSCGGGIQSRAVSCVEEDIQGHVTSVEEWKCMYTPKMPV VQP >ENSMUSP00000102796.2 pep:known chromosome:GRCm38:4:86053915:86428382:1 gene:ENSMUSG00000066113.15 transcript:ENSMUST00000107178.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl1 description:ADAMTS-like 1 [Source:MGI Symbol;Acc:MGI:1924989] MECCRRAAPGTPLLVLAFLLLSSRTARSEEDREGLWDAWGPWSECSRTCGGGASYSLRRC LSSKSCEGRNIRYRTCSNVDCPPEAGDFRAQQCSAHNDVKYHGQLYEWLPVSNDPDNPCS LKCQAKGTSLVVELAPKVLDGTRCYTESLDMCISGLCQIVGCDHQLGSTVKEDNCGVCNG DGSTCRLVRGQYKSQLSASKSDDTVVAIPYGSRHIRLVLKGPDHLYLETKTLQGTKGENS LSSTGIFLVDNSTVDFQKLPDKEILRMTGPLTADFIIKIHDLGPADSTVQFIFYQPIIHR WRETDFFPCSATCGGGYQLTSAECYDLRSNRVVADQYCHYYPENVKPKPKLQECNLDPCP ARWEATPWTACSSSCGGGIQSRAVSCVEEDIQGHVTSVEEWKCMYTPKMPVVQPCNIFDC PKWLAQEWSPCTVTCGQGLRYRVVLCIDHRGMHTGGCSAKTKPHIKEECIIPTPCYKPRE KLPIEAKLPWFKQAQELEEGAAVSEEPSFIPEAWSACTVTCGVGTQVRIIRCQVLLPFSQ SVADLPADECEGPKPASQRACYAGPCNGETPEFNPDNGDGLLGGLQDLDELYDWEYEGFT KCSESCGGGVQEAVVSCLNKQTRELADENLCVTSRRPPQLLKSCNLDPCPARWEIGKWSP CSLTCGVGLQTRDVVCSHLLSREMNEVVVLADELCHHPKPSTVQACNRFNCPPAWYPAQW QLCSRTCGGGIQKRDVLCKQRMADGSFLELPETFCSASKPTSHQGCKKDDCPSEWLLSEW SECSVSCGEGTQTRSAICQRVLKTGVSTVVNSTLCPPLPFSSSIRPCMLATCARPGRPST KHSPHIAAARNIYIQTRRQRKLHFVVGGFAYLLPKTTVVLRCPTRRFRKPLITWEKDGQH SISSAHVTVAPFGYLKIHRLKPSDAGIYTCSAGPAREQFVIKLIGGNRKLVARPLSLWSE EEEALQVRKTNPKEALQTHKHQNGIFSNGSKAEKRGLTADPGNRYDDIVSRLLEQGGWPG ELLASWEVQDSAERNASSEEDPNAEQALLHLPFTMVAEQKRLDDILRNLSQQPEELRDLY SKHLVAQLAQDIFRSHLENQDLLPKPSEQRFPPMAVPAHKHVSGFSSSLRSSSGEAGGGS RRPHRKPAILRKISAAQQLSASEVVTHLGQTVALASGTLSVLLHCEAVGNPRPTIHWTRN GEAVQFSDRILLQPDDSLQILAPVEADVGFYTCNATNALGYDSVSIAVTLAGKPLVKTSR MTVLNTEKPTVTVDIGGTVRTVRGVNVTINCQVAGVPEAEVTWFRNKSKLGSSHHLHEGS SHHLHEGSLLLTDVSFSDQGLYSCRAANLHGEQTESTQLLILDPPQVPTQLEDIRALLLA TGPNLPSVLMSPLGTQLVLDPGNSALLGCPIKGHPTPNITWFQNGQPIATAPGLTHHIWG AGQILRVANLSGGPQGEFSCLAQNEAGTLLQKASLVIQDYWWSVDRLATCSASCGNRGIH QPRLRCLLNTTEVDPEHCTGKPRPAVQPVACNRRDCPSRWMVTSWSACTRSCGGGVQTRR VTCQKLKASGISTPVSNDMCSQLAKRPVDTQACNQQLCVEWAFSSWGQCNGPCIGPRLAV QHRQVFCQTRDGITLPSEQCSALPRPVSTQNCWSEACSVHWRVSLWTLCTATCGNYGFQS RRVECVHVRTNKAVPEHLCSWGPRPANWQRCNVTPCENTECRDTTRYCEKVRQLKLCQLG QFRSRCCGTCGKA >ENSMUSP00000045332.6 pep:known chromosome:GRCm38:6:55836895:55978622:1 gene:ENSMUSG00000037973.6 transcript:ENSMUST00000044729.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc129 description:coiled-coil domain containing 129 [Source:MGI Symbol;Acc:MGI:2685304] MIMEELQGSDTPRAGLEMSKRDILRHTKRAWAPLDGHLLPDSEEENPMVTMYVQENSKQE SIQQWLDSGFFVSVNENFQQTINHTASLHEQGIIQMTVKDYMRSLHQFSETPTLSRGTSF NSCYSTTGVPQSIPEWLEFWEKDPVEILLDLGFGADEPDICTQIPARFLGYSSAARGINI HVFLEAQKQRMDFENPDLYGRFQQLEILDHVTNAFSSLLDGVKTQQNQHEEKAERQAMQN PSSSGAKEHKRKMSQLLKRASRQTSRMDGNPALSKSCKTEHEIPTLPTKPWDPRVELQVA SISHDASQMLPLIECGSAQVHNDLSPCPPLCPPPHALLDKPWPCSCTLAKQSPHTCLSEG SVRRRNWKEKWNHMDRLKNLSHIVSKGPDSFEMEEVQSFEEDTGNPLILTSGIVGTRVDR TNSCQSDSSGFLEELPELQPLQVSSMTGSQSPTVCRGCKPRDQSHSPASQQDSLQESYGS KSKSMTSSSLLSQDWSTLEENASASVVEEELQLKAMEEPPEIVNPDMTLIKTIMVGEHPE SVAGPVVTSTSTCNNTMGVLVTHVTEKEDRSVGHKGTRKMLIQRHHFESPRSFRIDQPSD NFYHVDSEITKTEDNCKVCPDTKQSSLVQERPAQHSHQHRGAMPYKGDLVQTSEKSIPHL DKPPGHVPTDSNAASSWSVTTQMSSNLVSAAQRVADLGTYNKGTAFECTPCDPLNTTDLK LQTETRQVKDVAVQTYAHECKLRPSHNDFIHGPRPLAKSISLDTGFPSISATGFCHTIPA HCCVCCHHCPNCQWRRQSPGPEPSICRHSLYSQAEDPKVQFMKTLKILQDTTVRDLCSCT VYEMETMKMVCQSFREHLEEIEQHFMGQQALYPRDMSEEEREEAEYLRTLREALRQQVAE LAFQLGDRARQIKEGILLQLDLLCEELPEHCDNLPQCNWTEGKHGQRSCVQTHAVAPDPT LPASSGQWTPCSGMTQPVALSPSHLETRGGISLQSPARAESGPGPSLSHVGEKSLLDQSG FDDLCTKCNVQEQL >ENSMUSP00000031264.5 pep:known chromosome:GRCm38:5:100553725:100572245:-1 gene:ENSMUSG00000029322.12 transcript:ENSMUST00000031264.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac8 description:placenta-specific 8 [Source:MGI Symbol;Acc:MGI:2445289] MAQAPTVIVTQPGFVRAPQNSNWQTSLCDCFSDCGVCLCGTFCFTCLGCQVAADMNECCL CGTTVAMRTLYRTRYGIPGSICDDYMVTLFCPVCSVCQLKRDINRRRAMNAF >ENSMUSP00000108533.1 pep:known chromosome:GRCm38:5:100553726:100562858:-1 gene:ENSMUSG00000029322.12 transcript:ENSMUST00000097437.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac8 description:placenta-specific 8 [Source:MGI Symbol;Acc:MGI:2445289] MAQAPTVIVTQPGFVRAPQNSNWQTSLCDCFSDCGVCLCGTFCFTCLGCQVAADMNECCL CGTTVAMRTLYRTRYGIPGSICDDYMVTLFCPVCSVCQLKRDINRRRAMNAF >ENSMUSP00000108531.1 pep:known chromosome:GRCm38:5:100553727:100563423:-1 gene:ENSMUSG00000029322.12 transcript:ENSMUST00000112910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac8 description:placenta-specific 8 [Source:MGI Symbol;Acc:MGI:2445289] MAQAPTVIVTQPGFVRAPQNSNWQTSLCDCFSDCGVCLCGTFCFTCLGCQVAADMNECCL CGTTVAMRTLYRTRYGIPGSICDDYMVTLFCPVCSVCQLKRDINRRRAMNAF >ENSMUSP00000104177.1 pep:known chromosome:GRCm38:7:12977848:12983396:1 gene:ENSMUSG00000033961.15 transcript:ENSMUST00000108537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp446 description:zinc finger protein 446 [Source:MGI Symbol;Acc:MGI:2442185] MPSPRGTPHLSLRDSRTTLEEPEAARLRFRGFCYEEVEGPREALAQLRELCHQWLQPESS SKEHIIELLILEQFLGVLPPEIQAWVRGQRPGSPEEAAVLVEGLQHDPGQLLGWDSEGHL EHQETVSISFQTGRIQEWGLLDSSQKELCWGVMPEKYDTVVSQASLPLLQPETHVDSELR PKQEMPHEGPESLRSHPPEVGAIADPRLVQATPSERQSPCKDPSVLSPTPLLEAPARPTP RKLYVCEQCGLSFDWKSVFIIHLRTHTRGPGLERPSQVAREPAMKRSPGLRGYTCVECGR SFSWKSQLVIHRKSHAGQRRHFCRDCGCSFDWKFQLVIHRKIHQPEGP >ENSMUSP00000104175.1 pep:known chromosome:GRCm38:7:12977848:12983396:1 gene:ENSMUSG00000033961.15 transcript:ENSMUST00000108535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp446 description:zinc finger protein 446 [Source:MGI Symbol;Acc:MGI:2442185] MPSPRGTPHLSLRDSRTTLEEPEAARLRFRGFCYEEVEGPREALAQLRELCHQWLQPESS SKEHIIELLILEQFLGVLPPEIQAWVRGQRPGSPEEAAVLVEGLQHDPGQLLGWITAHIL KPKMLPLVQKESSGSHHISAATESSKAGLAEAPLKAGIDRSTQISCSVKEEVSADGQEMV SPSALLSTQDSEGHLEHQETVSISFQTGRIQEWGLLDSSQKELCWGVMPEKYDTVVSQGE DQWPSLSHPPHTSHVY >ENSMUSP00000039073.7 pep:known chromosome:GRCm38:7:12977848:12984309:1 gene:ENSMUSG00000033961.15 transcript:ENSMUST00000045810.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp446 description:zinc finger protein 446 [Source:MGI Symbol;Acc:MGI:2442185] MRKEANPQSAPSYVSPTAAVAREERLPSQEWEPPPELCSNATPRRGAKSLARERPWKRAV VLAEAHGRRSPSEGGRSGGADAGLAGLVPLSPPKRPFKTRMPSPRGTPHLSLRDSRTTLE EPEAARLRFRGFCYEEVEGPREALAQLRELCHQWLQPESSSKEHIIELLILEQFLGVLPP EIQAWVRGQRPGSPEEAAVLVEGLQHDPGQLLGWITAHILKPKMLPLVQKESSGSHHISA ATESSKAGLAEAPLKAGIDRSTQISCSVKEEVSADGQEMVSPSALLSTQDSEGHLEHQET VSISFQTGRIQEWGLLDSSQKELCWGVMPEKYDTVVSQASLPLLQPETHVDSELRPKQEM PHEGPESLRSHPPEVGAIADPRLVQATPSERQSPCKDPSVLSPTPLLEAPARPTPRKLYV CEQCGLSFDWKSVFIIHLRTHTRGPGLERPSQVAREPAMKRSPGLRGYTCVECGRSFSWK SQLVIHRKSHAGQRRHFCRDCGCSFDWKFQLVIHRKIHQPEGP >ENSMUSP00000104176.1 pep:known chromosome:GRCm38:7:12978238:12984315:1 gene:ENSMUSG00000033961.15 transcript:ENSMUST00000108536.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp446 description:zinc finger protein 446 [Source:MGI Symbol;Acc:MGI:2442185] MPSPRGTPHLSLRDSRTTLEEPEAARLRFRGFCYEEVEGPREALAQLRELCHQWLQPESS SKEHIIELLILEQFLGVLPPEIQAWVRGQRPGSPEEAAVLVEGLQHDPGQLLGWITAHIL KPKMLPLVQKESSGSHHISAATESSKAGLAEAPLKAGIDRSTQISCSVKEEVSADGQEMV SPSALLSTQDSEGHLEHQETVSISFQTGRIQEWGLLDSSQKELCWGVMPEKYDTVVSQAS LPLLQPETHVDSELRPKQEMPHEGPESLRSHPPEVGAIADPRLVQATPSERQSPCKDPSV LSPTPLLEAPARPTPRKLYVCEQCGLSFDWKSVFIIHLRTHTRGPGLERPSQVAREPAMK RSPGLRGYTCVECGRSFSWKSQLVIHRKSHAGQRRHFCRDCGCSFDWKFQLVIHRKIHQP EGP >ENSMUSP00000002121.4 pep:known chromosome:GRCm38:11:78206746:78245987:-1 gene:ENSMUSG00000002052.6 transcript:ENSMUST00000002121.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt6 description:suppressor of Ty 6 [Source:MGI Symbol;Acc:MGI:107726] MSDFVESEAEESEEEYNHEGEVVPRVTKKFVEEEDDDEEEEEENLDDQDERGNLKDFIND DDDEEEGEEDEGSDSGDSEDDVGHKKRKRPSFDDRLEDDDFDLIEENLGVKVKRGQKYRR VKKMSDDDEDDEEEYGKEEHEKEAIAGEIFQDEEGEEGQEAVEAPMAPPDEEEEDDEESD IDDFIVDDDGQPLKKPKWRKKLPGYTDAALQEAQEIFGVDFDYDEFEKYNEYDEELEEDY EYEDDETEGEIRVRPKKTTKKRVSRRSIFEMYEPSELESSHLTDQDNEIRATDLPERFQL RSIPVKAAEDDELEEEADWIYRNAFATPTISLQDSCDYLDRGQPTSSFSRKGPSTVQKIK EALGFMRNQHFEVPFIAFYRKEYVEPELHINDLWRVWQWDEKWTQLRIRKENLTRLFEKM QAYQYEQISADPDKPLADGIRALDTTDMERLKDVQSMDELKDVYNHFLLYYGRDIPKMQN AAKASRKKLKRIKEDGDEEGEGEEAEDEEQRGPELKQASRRDMYTICQSAGLDGLAKKFG LTPEQFGENLRDSYQRHETEQFPAEPLELAKDYVCSQFPTPEAVLEGARYMVALQIAREP LVRQVLRQTFQERAKLNITPTKKGRKDVDEAHYAYSFKYLKNKPVKELRDDQFLKIGLAE DEGLLTIDISIDMKGVEGYGNDQTYFEEIKQFYYRDEFSHQVQEWNRQRTMAIERALQQF LYVQMAKELKNKLLAEARESVVKACSRKLYNWLRVAPYRPDQQVEEDDDFMDENQGKGIR VLGIAFSSARDHPVFCALVNGEGEVTDFLRLPHFTKRRTAWREEEREKKAQDIETLKKFL VNKKPHVVTIAGENRDAQMLTEDVKRIVHELDQGQQLSSIGVELVDNELAILYMNSKKSE AEFRDYPPVLRQAVSLARRIQDPLIEFAQVCSSDEDILCLKFHPLQEHVVKEELLNALYC EFINRVNEVGVDVNRAIAHPYSQALIQYVCGLGPRKGTHLLKILKQNNTRLESRTQLVTM CHMGPKVFMNCAGFLKIDTASLGDSTDSYIEVLDGSRVHPETYEWARKMAVDALEYDESA EDANPAGALEEILENPERLKDLDLDAFAEELERQGYGDKHITLYDIRAELSCRYKDLRTA YRSPNTEEIFNMLTKETPETFYIGKLIICNVTGIAHRRPQGESYDQAIRNDETGLWQCPF CQQDNFPELSEVWNHFDSGSCPGQAIGVKTRLDNGVTGFIPTKFLSDKVVKRPEERVKVG MTVHCRIMKIDIEKFSADLTCRTSDLMDRNNEWKLPKDTYYDFDAEAADHKQEEDMKRKQ QRTTYIKRVIAHPSFHNINFKQAEKMMETMDQGDVIIRPSSKGENHLTVTWKVSAGIYQH VDVREEGKENAFSLGATLWINSEEFEDLDEIVARYVQPMASFARDLLNHKYYQDCSGGDR KKLEELLIKTKKEKPTFIPYFICACKELPGKFLLGYQPRGKPRIEYVTVTPEGFRYRGQI FPTVNGLFRWFKDHYQDPVPGITPSSSNRTRTPASINATPANINLADLTRAVNALPQNMT SQMFSAIAAVTGQGQNPNATPAQWASSQYGYGGSGGGSSAYHVFPTPAQQPVATPLMTPS YSYTTPSQPITTPQYHQLQASTTPQSTQAQPQPSSSSRQRQQQPKSNSHAAIDWGKMAEQ WLQEKEAERRKQKQRLTPRPSPSPMIESTPMSIAGDATPLLDEMDR >ENSMUSP00000101855.1 pep:known chromosome:GRCm38:7:128003949:128011033:1 gene:ENSMUSG00000042828.12 transcript:ENSMUST00000106248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim72 description:tripartite motif-containing 72 [Source:MGI Symbol;Acc:MGI:3612190] MSAAPGLLRQELSCPLCLQLFDAPVTAECGHSFCRACLIRVAGEPAADGTVACPCCQAPT RPQALSTNLQLSRLVEGLAQVPQGHCEEHLDPLSIYCEQDRTLVCGVCASLGSHRGHRLL PAAEAQARLKTQLPQQKMQLQEACMRKEKTVAVLEHQLVEVEETVRQFRGAVGEQLGKMR MFLAALESSLDREAERVRGDAGVALRRELSSLNSYLEQLRQMEKVLEEVADKPQTEFLMK FCLVTSRLQKILSESPPPARLDIQLPVISDDFKFQVWKKMFRALMPALEELTFDPSSAHP SLVVSSSGRRVECSDQKAPPAGEDTRQFDKAVAVVAQQLLSQGEHYWEVEVGDKPRWALG VMAADASRRGRLHAVPSQGLWLLGLRDGKILEAHVEAKEPRALRTPERPPARIGLYLSFA DGVLAFYDASNPDVLTPIFSFHERLPGPVYPIFDVCWHDKGKNAQPLLLVGPEQEQA >ENSMUSP00000079832.4 pep:known chromosome:GRCm38:7:128004378:128010676:1 gene:ENSMUSG00000042828.12 transcript:ENSMUST00000081042.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim72 description:tripartite motif-containing 72 [Source:MGI Symbol;Acc:MGI:3612190] MSAAPGLLRQELSCPLCLQLFDAPVTAECGHSFCRACLIRVAGEPAADGTVACPCCQAPT RPQALSTNLQLSRLVEGLAQVPQGHCEEHLDPLSIYCEQDRTLVCGVCASLGSHRGHRLL PAAEAQARLKTQLPQQKMQLQEACMRKEKTVAVLEHQLVEVEETVRQFRGAVGEQLGKMR MFLAALESSLDREAERVRGDAGVALRRELSSLNSYLEQLRQMEKVLEEVADKPQTEFLMK FCLVTSRLQKILSESPPPARLDIQLPVISDDFKFQVWKKMFRALMPALEELTFDPSSAHP SLVVSSSGRRVECSDQKAPPAGEDTRQFDKAVAVVAQQLLSQGEHYWEVEVGDKPRWALG VMAADASRRGRLHAVPSQGLWLLGLRDGKILEAHVEAKEPRALRTPERPPARIGLYLSFA DGVLAFYDASNPDVLTPIFSFHERLPGPVYPIFDVCWHDKGKNAQPLLLVGPEQEQA >ENSMUSP00000050933.9 pep:known chromosome:GRCm38:19:43612325:43615892:1 gene:ENSMUSG00000044220.13 transcript:ENSMUST00000057178.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx2-3 description:NK2 homeobox 3 [Source:MGI Symbol;Acc:MGI:97348] MMLPSPVTSTPFSVKDILNLEQQRHFHGAHLQAELEQHFHSAPCMLATAEGTQFSDAGEE DEEEEGEKLSYLNSLAAAEGHGDSGLCPQSYVHTVLRDACSGPKEQEEEVVSERSQKSCQ LKKSLEAAGDCKTSEDGERPKPRSRRKPRVLFSQAQVFELERRFKQQRYLSAPEREHLAS SLKLTSTQVKIWFQNRRYKCKRQRQDKSLELGTHAPPPPPRRVAVPVLVRDGKPCVTPSA QTYGSPYGVGAGAYSYNSFPAYGYGNSAAAAAAAAAAAAAAAAYSGSYGCAYPTGGGGGG GGTASAATTAMQPACSATGGGSFVNVSNLGGFGSGGGAQPLHQGAAAGSACTQGTLQGIR AW >ENSMUSP00000133608.1 pep:known chromosome:GRCm38:7:22328794:22329717:-1 gene:ENSMUSG00000093871.1 transcript:ENSMUST00000172551.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4187 description:predicted gene 4187 [Source:MGI Symbol;Acc:MGI:3782363] MSAHGKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFYPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVGRSTNLCSTCVLGVHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKVSGPQITDNNTDSKRKLFCST SGFSVGIVLLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAETRATR TILMLVVTFVSFYLLNFICIMLHVLFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000125436.1 pep:known chromosome:GRCm38:10:117232237:117238654:-1 gene:ENSMUSG00000020177.12 transcript:ENSMUST00000159193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9530003J23Rik description:RIKEN cDNA 9530003J23 gene [Source:MGI Symbol;Acc:MGI:1924647] MKAPLTLLTLGLLLLSITIQGKVYDRCSLARTLQSLGLAGFQGITLANWVCLAKWESNFN TNTTRFNPEDQSTSYGIFQINSRFWCNDGKTPGSRNFCRISCKALLKSNIWSAVVCAKRI VKDPQGIYSWAGWIKHCKNKNLKEYIRGCHL >ENSMUSP00000020392.4 pep:known chromosome:GRCm38:10:117233761:117238703:-1 gene:ENSMUSG00000020177.12 transcript:ENSMUST00000020392.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9530003J23Rik description:RIKEN cDNA 9530003J23 gene [Source:MGI Symbol;Acc:MGI:1924647] MKAPLTLLTLGLLLLSITIQGKVYDRCSLARTLQSLGLAGFQGITLANWVCLAKWESNFN TNTTRFNPEDQSTSYGIFQINSRFWCNDGKTPGSRNFCRISCKALLKSNIWSAVVCAKRI VKDPQGIYSWAGWIKHCKNKNLKEYIRGCHL >ENSMUSP00000115237.2 pep:known chromosome:GRCm38:X:60891366:60893430:-1 gene:ENSMUSG00000045179.9 transcript:ENSMUST00000135107.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox3 description:SRY (sex determining region Y)-box 3 [Source:MGI Symbol;Acc:MGI:98365] MRPARENASGERSPRVPADFARSPSASLPFPPELPARRPLSTTPTESPGLFTVAAPAPGA PSPPATLAHLLPAPAMYSLLETELKNPVGPPTPAAGTGVPAAPGAAGKSGANPAGGANAG NGGSGGANGGGGGGGGGGSDQDRVKRPMNAFMVWSRGQRRKMALENPKMHNSEISKRLGA DWKLLTDAEKRPFIDEAKRLRAVHMKEYPDYKYRPRRKTKTLLKKDKYSLPGGLLPPGAA AAAAAAAAAAAASSPVGVGQRLDTYTHVNGWANGAYSLVQEQLGYAQPPSMSSPPPPPAL PQMHRYDMAGLQYSPMMPPGAQSYMNAAAAAAAASGYGGMAPSAAAAAAAAYGQQPATAA AAAAAAAAMSLGPMGSVVKSEPSSPPPAIASHSQRACLGDLRDMISMYLPPGGDAADAAS PLPGGRLHGVHQHYQGAGTAVNGTVPLTHI >ENSMUSP00000043520.2 pep:known chromosome:GRCm38:2:149405249:149410293:1 gene:ENSMUSG00000033156.9 transcript:ENSMUST00000047008.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst10 description:cystatin 10 (chondrocytes) [Source:MGI Symbol;Acc:MGI:1930004] MASLLSPSMPVLAAVALTLTLAVIPEASTNAEAKQVVLGGVEPADPKDKEVQKVVKFAVR TYNDMDNDLYLSKPIRLMSASQQVVAGKNYYLKIELGRTTCTKTESNLVDCPFNEQPDQQ KRVICNFQINVAPWLNKMSMTNFNCYNF >ENSMUSP00000105564.1 pep:known chromosome:GRCm38:2:149405057:149410293:1 gene:ENSMUSG00000033156.9 transcript:ENSMUST00000109938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst10 description:cystatin 10 (chondrocytes) [Source:MGI Symbol;Acc:MGI:1930004] MASLLSPSMPVLAAVALTLTLAVIPEASTNAEAKQVVLGGVEPADPKDKEVQKVVKFAVR TYNDMDNDLYLSKPIRLMSASQQVVAGKNYYLKIELGRTTCTKTESNLVDCPFNEQPDQQ KRVICNFQINVAPWLNKMSMTNFNCYNF >ENSMUSP00000105565.2 pep:known chromosome:GRCm38:2:149405333:149410293:1 gene:ENSMUSG00000033156.9 transcript:ENSMUST00000109939.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst10 description:cystatin 10 (chondrocytes) [Source:MGI Symbol;Acc:MGI:1930004] MASLLSPSMPVLAAVALTLTLAVIPEASTNAEAKQVVLGGVEPADPKDKEVQKVVKFAVR TYNDMDNDLYLSKPIRLMSASQQRVICNFQINVAPWLNKMSMTNFNCYNF >ENSMUSP00000100822.2 pep:known chromosome:GRCm38:7:22370122:22371039:-1 gene:ENSMUSG00000093917.1 transcript:ENSMUST00000105187.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8660 description:predicted gene 8660 [Source:MGI Symbol;Acc:MGI:3645692] MSDHAKSLKSTEEVALQLLLLCQFGVGTLANVFLFVHNFYPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHHFVTLVL VNRGKLILRASVPNFVSYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIGGIVFLQFSYDATFMSIMVWTSVSMILLLHRHRQRMQHILTPNQYRRGQAKSRATHTI LMLVVTFVSFYLLNFICIIFHTLLMHSHLFIRLVSEVLAAVFPSICPLLLIFRDPKDPCS VLFNC >ENSMUSP00000139062.1 pep:known chromosome:GRCm38:X:38013908:38018815:-1 gene:ENSMUSG00000068173.12 transcript:ENSMUST00000184432.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btg1-ps1 description:B cell translocation gene 1, anti-proliferative, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3588262] MIGEICAAATFICKFLCTKGLRNEELLQTFSQSLQELLVEHYKHHWFPEQPCKGSGYRCI RINHKMDPLVSQAAQQIGLSSRELFRLLPSELTLWVDPYEVSYRIGEDGSICVLYEASPV GGSSHNSTNAQMVDSRISFKEEPLLGRTGPSKNYNMMAVSV >ENSMUSP00000105295.1 pep:known chromosome:GRCm38:2:155390665:155473806:-1 gene:ENSMUSG00000038369.14 transcript:ENSMUST00000109670.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa6 description:nuclear receptor coactivator 6 [Source:MGI Symbol;Acc:MGI:1929915] MVLDDLPNFEDIYTSLCSSTMGDSEVEFDSGLEDDDTKSDSILEDSTIFVAFKGNIDDKD FKWKLDAILKNVPNLLHMESSKLKVQKVEPWNSVRVTFNIPREAAERLRILAQSNNQQLR DLGILSVQIEGEGAINLALGQNRSQDVRMNGPVASGNSVRMEAGFPMASGPGLIRMTSPA AVMTPQGGNMSSSMMAPGPNPELQPRTPRPASQSDAMDPLLSGLHIQQQSHPSGSLPPAH HSMQPVPVNRQMNPANFPQLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQTRPLQQ HQQQPQGIRPQFTAPTQVPVPPGWNQLPSGALQPPPAQGSLGTMTTNQGWKKAPLPSPMQ AQLQARPSLATVQTPSHPPPPYPFGSQQASQAHTNFPQMSNPGQFTAPQMKGLQGGPSRV PTPLQQPHLTNKSPASSPSSFQQGSPASSPTVNQTQQQMGPRPPQNNPLSQGFQQPVSSP GRNPMVQQGNVPPNFMVMQQQPPNQGPQSLHPGLGGMPKRLPPGFSAGQANPNFMQGQVP STTAATPGNSGALQLQANQNVQHAGGQGAGPPQNQMQVSHGPPNMMQPSLMGIHGNINNQ QAGSSGVPQVTLGNMQGQPQQGPPSQLMGMHQQIVPSQGQMAQQQGTLNPQNPMILSRAQ LMPQGQMMVNAQNQNLGPSPQRMTPPKQMLPQQGPQMMAPHNQMMGPQGQVLLQQNPMIE QIMTNQMQGNKAQFNSQNQSNVMPGPAQIMRGPTPNMQGNMVQFTGQMSGQMLPQQGPVN NSPSQVMGIQGQVLRPPGPSPHMAQQHNDPVTTANNDVNLSQMMPDVSMQQASMVPPHVQ SMQGNSASGSHFSGHGVSFNAPFGGAPNGSQMSCGQNPGFPVNKDVTLTSPLLVNLLQSD ISAGHFGVNNKQNNTNANKPKKKKPPRKKKNCHQDLNTPDNRPTGLEEVDQQSLPGEQGI NLDTTGPKLPDFSNRPPGYPTQPVEQRPLPQMPPQLMQHVAPPPQPPQQQPQPQLPQQQQ PPPPSQPQSQQQQQQQQMMMMLMMQQDPKSIRLPVSQNVHPPRGPLNPDSQRMPVQQSGN VPVMVGLQGPASVPPSPDKQRMPMSVNTPMGSNSRKMVYQENPQNSSSSPLGEMSSLPEA SGSEVPSVAGGPNNMPSHLVVSQNQLMMTGPKPGPSPLSATQGATPQQPPVNSLPSSHGH HFPNVAAPTQTSRPKTPNRASPRPYYPQTPNNRPPSTEPSEISLSPERLNASIAGLFPPQ INIPLPPRPNLNRGFDQQGLNPTTLKAIGQAPSNLTITNPPNFAAPQAHKLDSVVVNSGK QSNPGTTKRASPSNSRRSSPGSSRKTTPSPGRQNSKAPKLTLASQTSTTMLQNMELPRNV LVGPTPLANPPLPGSFPNNTGLNPQNPTVPVPAMGTVLEDNKESVNIPQDSDCQNAQGRK EQVNTELKVVPTQEAKMAVPEDQSKKDGQPLDPNKLPSVEENKNLMSPAMREAPTSLSQL LDNSGAPNVTIKPPGLTDLEVTPPVVSGEDLRKASVIPTLQDPPSKEPSTSLSSPHSSEP CSTLARSELSEVSSNTAPSIPPVMSRPVSSSSISTPLPPNQITVFVTSNPITTSSNTSAA LPTHLQSALMSTVVTMPNVGNKVMVSEGQSAAQSNARPQFITPVFINSSSIIQVMKGSQP STIPATPLTTNSGLMPPSVAVVGPLHIPQNIKFSSAPVTPNVPSSSPAPNIQTGRPLVLS SRATPVQLPSPPCTSSPVVAPNPSVQQVKELNPDEASPQTNTSADQSTLPPSQPTTVVSP LLTNSPGSSANRRSPVSSSKGKGKVDKIGQILLTKACKKVTGSLEKGEEQYGADGETEGP GLEITTPGLMGTEQCSTELDSKTPTPSAPTLLKMTSSPMGPSSTSTGPILPGGALPTSVR SIVTTLVPSELISTAPTTKGNHGGVTSEPLAGGLVEEKVGSHPELLPSIAPSQNLAPKET PATALQGSVARPELEANAAIASGQSCEPKEIVEKSKTLTSRRNSRTEEPTMASESVENGH RKRSSRPASASSSTKDITGAVQSKRRKSK >ENSMUSP00000118113.1 pep:known chromosome:GRCm38:2:155406833:155473894:-1 gene:ENSMUSG00000038369.14 transcript:ENSMUST00000123293.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa6 description:nuclear receptor coactivator 6 [Source:MGI Symbol;Acc:MGI:1929915] MVLDDLPNFEDIYTSLCSSTMGDSEVEFDSGLEDDDTKSDSILEDSTIFVAFKGNIDDKD FKWKLDAILKNVPNLLHMESSKLKVQKVEPWNSVRVTFNIPREAAERLRILAQSNNQQLR DLGILSVQIEGEGAINLALGQNRSQDVRMNGPVASGNSVRMEAGFPMASGPGLIRMTSPA AVMTPQGGNMSSSMMAPGPNPELQPRTPRPASQSDAMDPLLSGLHIQQQSHPSGSLPPAH HSMQPVPVNRQMNPANFPQLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQTRPLQQ HQQQPQGIRPQFTAPTQVPVPPGWNQLPSGALQPPPAQGSLGTMTTNQGWKKAPLPSPMQ AQLQARPSLATVQTPSHPPPPYPFGSQQASQAHTNFPQMSNPGQFTAPQMKGLQGGPSRV PTPLQQPHLTNKSPASSPSSFQQGSPASSPTVNQTQQQMGPRPPQNNPLSQGFQQPVSSP GRNPMVQQGNVPPNFMVMQQQPPNQGPQSLHPGLGGMPKRLPPGFSAGQANPNFMQGQVP STTAATPGNSGALQLQANQNVQHAGGQGAGPPQNQMQVSHGPPNMMQPSLMGIHGNINNQ QAGSSGVPQVTLGNMQGQPQQGPPSQLMGMHQQIVPSQGQMAQQQGTLNPQNPMILSRAQ LMPQGQMMVNAQNQNLGPSPQRMTPPKQMLPQQGPQMMAPHNQMMGPQGQVLLQQNPMIE QIMTNQMQGNKAQFNSQNQSNVMPGPAQIMRGPTPNMQGNMVQFTGQMSGQMLPQQGPVN NSPSQVMGIQGQVLRPPGPSPHMAQQHNDPVTTANNDVNLSQMMPDVSMQQASMVPPHVQ SMQGNSASGSHFSGHGVSFNAPFGGAPNGSQMSCGQNPGFPVNKDVTLTSPLLVNLLQSD ISAGHFGVNNKQNNTNANKPKKKKPPRKKKNCHQDLNTPDNRPTGLEEVDQQSLPGEQGI NLDTTGPKLPDFSNRPPGYPTQPVEQRPLPQMPPQLMQHVAPPPQPPQQQPQPQLPQQQQ PPPPSQPQSQQQQQQQQMMMMLMMQQDPKSIRLPVSQNVHPPRGPLNPDSQRMPVQQSGN VPVMVGLQGPASVPPSPDKQRMPMSVNTPMGSNSRKMVYQENPQNSSSSPLGEMSSLPEA SGSEVPSVAGGPNNMPSHLVVSQNQLMMTGPKPGPSPLSATQGATPQQPPVNSLPSSHGH HFPNVAAPTQTSRPKTPNRASPRPYYPQTPNNRPPSTEPSEISLSPERLNASIAGLFPPQ INIPLPPRPNLNRGFDQQGLNPTTLKAIGQAPSNLTITNPPNFAAPQAHKLDSVVVNSGK QSNPGTTKRASPSNSRRSSPGSSRKTTPSPGRQNSKAPKLTLASQTSTTMLQNMELPRNV LVGPTPLANPPLPGSFPNNTGLNPQNPTVPVPAMGTVLEDNKESVNIPQDSDCQNAQGRK EQVNTELKVVPTQEAKMAVPEDQSKKDGQPLDPNKLPSVEENKNLMSPAMREAPTSLSQL LDNSGAPNVTIKPPGLT >ENSMUSP00000105294.1 pep:known chromosome:GRCm38:2:155420277:155440785:-1 gene:ENSMUSG00000038369.14 transcript:ENSMUST00000109669.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa6 description:nuclear receptor coactivator 6 [Source:MGI Symbol;Acc:MGI:1929915] MVLDDLPNFEDIYTSLCSSTMGDSEVEFDSGLEDDDTKSDSILEDSTIFVAFKGNIDDKD FKWKLDAILKNVPNLLHMESSKLKVQKVEPWNSVRVTFNIPREAAERLRILAQSNNQQLR DLGILSVQIEGEGAINLALGQNRSQDVRMNGPVASGNSVRMEAGFPMASGPGLIRMTSPA AVMTPQGGNMSSSMMAPGPNPELQPRTPRPASQSDAMDPLLSGLHIQQQSHPSGSLPPAH HSMQPVPVNRQMNPANFPQLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQTRPLQQ HQQQPQGIRPQFTAPTQVPVPPGWNQLPSGALQPPPAQGSLGTMTTNQGWKKAPLPSPMQ AQLQARPSLATVQTPSHPPPPYPFGSQQASQAHTNFPQMSNPGQFTAPQMKGLQGGPSRV PTPLQQPHLTNKSPASSPSSFQQGSPASSPTVNQTQQQMGPRPPQNNPLSQGFQQPVSSP GRNPMVQQGNVPPNFMVMQQQPPNQGPQSLHPGLGGEEH >ENSMUSP00000045386.5 pep:known chromosome:GRCm38:2:155390656:155440783:-1 gene:ENSMUSG00000038369.14 transcript:ENSMUST00000043126.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa6 description:nuclear receptor coactivator 6 [Source:MGI Symbol;Acc:MGI:1929915] MVLDDLPNFEDIYTSLCSSTMGDSEVEFDSGLEDDDTKSDSILEDSTIFVAFKGNIDDKD FKWKLDAILKNVPNLLHMESSKLKVQKVEPWNSVRVTFNIPREAAERLRILAQSNNQQLR DLGILSVQIEGEGAINLALGQNRSQDVRMNGPVASGNSVRMEAGFPMASGPGLIRMTSPA AVMTPQGGNMSSSMMAPGPNPELQPRTPRPASQSDAMDPLLSGLHIQQQSHPSGSLPPAH HSMQPVPVNRQMNPANFPQLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLQTRPLQQ HQQQPQGIRPQFTAPTQVPVPPGWNQLPSGALQPPPAQGSLGTMTTNQGWKKAPLPSPMQ AQLQARPSLATVQTPSHPPPPYPFGSQQASQAHTNFPQMSNPGQFTAPQMKGLQGGPSRV PTPLQQPHLTNKSPASSPSSFQQGSPASSPTVNQTQQQMGPRPPQNNPLSQGFQQPVSSP GRNPMVQQGNVPPNFMVMQQQPPNQGPQSLHPGLGGMPKRLPPGFSAGQANPNFMQGQVP STTAATPGNSGALQLQANQNVQHAGGQGAGPPQNQMQVSHGPPNMMQPSLMGIHGNINNQ QAGSSGVPQVTLGNMQGQPQQGPPSQLMGMHQQIVPSQGQMAQQQGTLNPQNPMILSRAQ LMPQGQMMVNAQNQNLGPSPQRMTPPKQMLPQQGPQMMAPHNQMMGPQGQVLLQQNPMIE QIMTNQMQGNKAQFNSQNQSNVMPGPAQIMRGPTPNMQGNMVQFTGQMSGQMLPQQGPVN NSPSQVMGIQGQVLRPPGPSPHMAQQHNDPVTTANNDVNLSQMMPDVSMQQASMVPPHVQ SMQGNSASGSHFSGHGVSFNAPFGGAPNGSQMSCGQNPGFPVNKDVTLTSPLLVNLLQSD ISAGHFGVNNKQNNTNANKPKKKKPPRKKKNCHQDLNTPDNRPTGLEEVDQQSLPGEQGI NLDTTGPKLPDFSNRPPGYPTQPVEQRPLPQMPPQLMQHVAPPPQPPQQQPQPQLPQQQQ PPPPSQPQSQQQQQQQQMMMMLMMQQDPKSIRLPVSQNVHPPRGPLNPDSQRMPVQQSGN VPVMVGLQGPASVPPSPDKQRMPMSVNTPMGSNSRKMVYQENPQNSSSSPLGEMSSLPEA SGSEVPSVAGGPNNMPSHLVVSQNQLMMTGPKPGPSPLSATQGATPQQPPVNSLPSSHGH HFPNVAAPTQTSRPKTPNRASPRPYYPQTPNNRPPSTEPSEISLSPERLNASIAGLFPPQ INIPLPPRPNLNRGFDQQGLNPTTLKAIGQAPSNLTITNPPNFAAPQAHKLDSVVVNSGK QSNPGTTKRASPSNSRRSSPGSSRKTTPSPGRQNSKAPKLTLASQTSTTMLQNMELPRNV LVGPTPLANPPLPGSFPNNTGLNPQNPTVPVPAMGTVLEDNKESVNIPQDSDCQNAQGRK EQVNTELKVVPTQEAKMAVPEDQSKKDGQPLDPNKLPSVEENKNLMSPAMREAPTSLSQL LDNSGAPNVTIKPPGLTDLEVTPPVVSGEDLRKASVIPTLQDPPSKEPSTSLSSPHSSEP CSTLARSELSEVSSNTAPSIPPVMSRPVSSSSISTPLPPNQITVFVTSNPITTSSNTSAA LPTHLQSALMSTVVTMPNVGNKVMVSEGQSAAQSNARPQFITPVFINSSSIIQVMKGSQP STIPATPLTTNSGLMPPSVAVVGPLHIPQNIKFSSAPVTPNVPSSSPAPNIQTGRPLVLS SRATPVQLPSPPCTSSPVVAPNPSVQQVKELNPDEASPQTNTSADQSTLPPSQPTTVVSP LLTNSPGSSANRRSPVSSSKGKGKVDKIGQILLTKACKKVTGSLEKGEEQYGADGETEGP GLEITTPGLMGTEQCSTELDSKTPTPSAPTLLKMTSSPMGPSSTSTGPILPGGALPTSVR SIVTTLVPSELISTAPTTKGNHGGVTSEPLAGGLVEEKVGSHPELLPSIAPSQNLAPKET PATALQGSVARPELEANAAIASGQSCEPKEIVEKSKTLTSRRNSRTEEPTMASESVENGH RKRSSRPASASSSTKDITGAVQSKRRKSK >ENSMUSP00000089329.1 pep:known chromosome:GRCm38:13:22293193:22294098:1 gene:ENSMUSG00000069295.1 transcript:ENSMUST00000091735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r196 description:vomeronasal 1 receptor 196 [Source:MGI Symbol;Acc:MGI:4438447] MKLKIVLNISEITVFLLFTGLGTVANVSIFVNYICTFMGSGKKSIYLILIHLALTNITLL FSKVMPVTIAALGLRHFLGDIGCHVIVYLERMARGLSICTSSLLTVVQAVIMSPRASWWG RLRLRSKWHVLLSLFFLWILNMLISMNFLLSIRDTATDTLQLRQISEYCYFKKESQRRNN IFLILMVLRDAVFQGAMGGASGYMVFLLHKHHQHVLYLQNSKLLYRTPPELRAAQSVLLL MLCFVFFYWTDCVVSLYLSSSSNNDSLTIHFQEFLTIGYAVLSPFMLIQRDGLLAECCHA R >ENSMUSP00000104851.1 pep:known chromosome:GRCm38:11:46524212:46538156:1 gene:ENSMUSG00000078924.1 transcript:ENSMUST00000109228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12169 description:predicted gene 12169 [Source:MGI Symbol;Acc:MGI:3650838] MMSHQVLISGLLLLLPAAVHAFPEVHGVVGQPVTLPCTYPVSNGLASMCWGRGECTSDTC GQTLVWTDGNRVNYQTSNRYQINSQLLQGNASLTIEDAYESDSGLYCCRVEMKGWDGVQT LTTSLQVQPVWIATVTSSNHPWNNNTEVVPTETPLKIPIRGLFIGISVSASLLILASIPT IIRCRHREKKSPEGNSECSSVFFHAYQNGAFQSIVQLQAEDIIYVIEDNFHPRIKSQLPF EMTTFQSCRK >ENSMUSP00000022897.1 pep:known chromosome:GRCm38:15:37425554:37440644:1 gene:ENSMUSG00000022288.1 transcript:ENSMUST00000022897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930447A16Rik description:RIKEN cDNA 4930447A16 gene [Source:MGI Symbol;Acc:MGI:1922142] MTSFIYSGRQGALRWGGCTVPRALRALHQSETQQSCGILHAIIYDHEYYLFLNWSYKFTR DFWQRGKATFRSQTPVSILQKERTDHSSDEDVGEDCASLRRQILAGESGSLEKSPMSSVC VPLHLVTLQTLL >ENSMUSP00000132187.2 pep:known chromosome:GRCm38:3:88243380:88287210:-1 gene:ENSMUSG00000103766.5 transcript:ENSMUST00000165196.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm38392 description:predicted gene, 38392 [Source:MGI Symbol;Acc:MGI:5613632] XEDSVACFCPAKSSPSYIDLPANFTPANFLTIQTKLSSGFQDVHVMVFVGFGFLMVFLQR YGFSSVGFTFLVASLTLQWATLLQGFLHSFHGGHIHVGVESLINADFCAGAVLISFGAVL GKTGPAQLLLMALLEAVLFSVNEFILLSLLGVRDAGGSMTIHTFGAYFGLFLSWVLYRSQ LEKSRHRQSSVYNSDLFAMIGTIFLWVFWPSFNSAPTALGDGQHRTVVNTYYSLTASTLS TFALSALVSGDGRLDMVHVQNAALAGGVVVGTSSEMMLTPFGALAAGFLAGTVSTLGYKF FTPILESRFKLQDTCGVHNLHGMPGVLGAILGVVVAALATHEAYGDGLQSVFPLIAKGQR SATSQAVYQLFGMFVTLVFASVGGSLGGLLLRLPFLDSPPDSQCFEDQVYWEVPGEQETE TQRPLRGGESDTRA >ENSMUSP00000141315.1 pep:known chromosome:GRCm38:3:88245195:88287210:-1 gene:ENSMUSG00000103766.5 transcript:ENSMUST00000163277.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm38392 description:predicted gene, 38392 [Source:MGI Symbol;Acc:MGI:5613632] XEDSVACFCPAKSSPSYIDLPANFTPANFLTIQTKLSSELFQVDGCFA >ENSMUSP00000136142.1 pep:known chromosome:GRCm38:7:22413583:22414506:1 gene:ENSMUSG00000095543.2 transcript:ENSMUST00000177774.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r148 description:vomeronasal 1 receptor 148 [Source:MGI Symbol;Acc:MGI:3033483] MSAHGKSVKTTEEVALQILLLCQFGIGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLILRASVPNFANYSCYSCWFFSVLSNIHIPIKVTVPQIIDNNTDSKSNLFCST SGFIVGIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000134217.1 pep:known chromosome:GRCm38:9:20495068:20521417:-1 gene:ENSMUSG00000060510.13 transcript:ENSMUST00000174462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp266 description:zinc finger protein 266 [Source:MGI Symbol;Acc:MGI:1924769] MAAIDLSHGLLSREPIYVYEANIEVDGTVTDNQANCYQDSVTFDDVAVEFTPDEWTLLDL TQKNLYREVMLENYENLTSVGCQLFIPSLTPWLKQEESEVAESAVPQQLELQPTIDDSEL ENYFRMQSSSATEMVGDSSLHEQSGNHNEEDLCDSKPCGNVLGEQLCLNTEVSMQSQGYS SECNWYGKDILSLLKETSTGQTVSELNQCGKLFSLTPNIMYPSTSTNEKPFECTDCETAF FNQSYFQPDMRPHNGGEPFDWSKYGNGFIHPTGLAMHLPILNARNPYKFEECGKDFQYFA CLNNPMGMCTGEKFCDCKECWKAFTVSSHLTQYVSIHTEEKSKVCKICGKSFANYSRLSA HVKTHNEEKPFVCKECGKAFKNMSYLNDHVRIHTGIKSYKCMECGKAFLRWSGLTEHIRV HTGEKPYECKECGKTFSRSTQLTEHIRTHTGIKPYECKECGKAFTQYSGLATHVRIHSGE KPFACKECGKAFTRTSGLIHHVRTHTGEKPFECVHCGKTFITSSHRTKHLKIHSGEKPFV CNICGKAFIYSTSLNIHMRTHTGEKPYICKQCGKAFAVYSRLRKHSRVHTEEKPYQCEGM AVAI >ENSMUSP00000066012.7 pep:known chromosome:GRCm38:9:20499065:20521408:-1 gene:ENSMUSG00000060510.13 transcript:ENSMUST00000068296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp266 description:zinc finger protein 266 [Source:MGI Symbol;Acc:MGI:1924769] MAAIDLSHGLLSREPIYVYEANIEVDGTVTDNQANCYQDSVTFDDVAVEFTPDEWTLLDL TQKNLYREVMLENYENLTSVGCQLFIPSLTPWLKQEESEVAESAVPQQLELQPTIDDSEL ENYFRMQSSSATEMVGDSSLHEQSGNHNEEDLCDSKPCGNVLGEQLCLNTEVSMQSQGYS SECNWYGKDILSLLKETSTGQTVSELNQCGKLFSLTPNIMYPSTSTNEKPFECTDCETAF FNQSYFQPDMRPHNGGEPFDWSKYGNGFIHPTGLAMHLPILNARNPYKFEECGKDFQYFA CLNNPMGMCTGEKFCDCKECWKAFTVSSHLTQYVSIHTEEKSKVCKICGKSFANYSRLSA HVKTHNEEKPFVCKECGKAFKNMSYLNDHVRIHTGIKSYKCMECGKAFLRWSGLTEHIRV HTGEKPYECKECGKTFSRSTQLTEHIRTHTGIKPYECKECGKAFTQYSGLATHVRIHSGE KPFACKECGKAFTRTSGLIHHVRTHTGEKPFECVHCGKTFITSSHRTKHLKIHSGEKPFV CNICGKAFIYSTSLNIHMRTHTGEKPYICKQCGKAFAVYSRLRKHSRVHTEEKPYQCEGM AVAI >ENSMUSP00000073768.5 pep:known chromosome:GRCm38:7:5453400:5454838:-1 gene:ENSMUSG00000074401.2 transcript:ENSMUST00000074132.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r59 description:vomeronasal 1 receptor 59 [Source:MGI Symbol;Acc:MGI:3033479] MEMLALQILLLCQVVVGTVGNILLFVHNFSPVLTDSRLRPIQVILINLAVANAFMLLLLT YSHDMIDFVPRKPPTDLKCKLAYFFHMVAQGTIMCSTCVLSTYQFVTVVPGTWARVMFRE ISPKVVSYSCFSCWLFSVLHNAYIPMNVSGPQKTHNDSDSKGNSICSISGVNVDMHFLRF SHDIIFLGIMAWTSVSMMIHLNRHHQRMNRIHKVNQNNRGHAETRAAHTILMLVVTFVSL YILNCISILFHISFVESRLWSRHVIKVLALSFPTISPLLLIFRDPRGHCSLLFSVGLEIH VTGGVITEEQ >ENSMUSP00000130767.1 pep:known chromosome:GRCm38:3:88242874:88254709:-1 gene:ENSMUSG00000104445.1 transcript:ENSMUST00000171887.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbg description:Rhesus blood group-associated B glycoprotein [Source:MGI Symbol;Acc:MGI:1927379] MARVPRHRRLVLPLLCLLFQGATALLFAIFVRYNHETDAALWHWGNHSNVDNEFYFRYPS FQDVHVMVFVGFGFLMVFLQRYGFSSVGFTFLVASLTLQWATLLQGFLHSFHGGHIHVGV ESLINADFCAGAVLISFGAVLGKTGPAQLLLMALLEAVLFSVNEFILLSLLGVRDAGGSM TIHTFGAYFGLFLSWVLYRSQLEKSRHRQSSVYNSDLFAMIGTIFLWVFWPSFNSAPTAL GDGQHRTVVNTYYSLTASTLSTFALSALVSGDGRLDMVHVQNAALAGGVVVGTSSEMMLT PFGALAAGFLAGTVSTLGYKFFTPILESRFKLQDTCGVHNLHGMPGVLGAILGVVVAALA THEAYGDGLQSVFPLIAKGQRSATSQAVYQLFGMFVTLVFASVGGSLGGLLLRLPFLDSP PDSQCFEDQVYWEVPGEQETETQRPLRGGESDTRA >ENSMUSP00000093795.2 pep:known chromosome:GRCm38:16:36119946:36131241:-1 gene:ENSMUSG00000034362.7 transcript:ENSMUST00000096090.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csta1 description:cystatin A1 [Source:MGI Symbol;Acc:MGI:3524930] MIPGGLTEARPATAEVQEIADRVKAQLEEETNEKYEIFKAVEYKTQVVAGVNYFIKMDVG GGCFTHIKVFKDLSGKNNLELTGYQTNKTEDDELTYF >ENSMUSP00000125577.1 pep:known chromosome:GRCm38:16:36122169:36131205:-1 gene:ENSMUSG00000034362.7 transcript:ENSMUST00000161638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csta1 description:cystatin A1 [Source:MGI Symbol;Acc:MGI:3524930] MIPGGLTEARPATAEVQEIADRMDVGGGCFTHIKVFKDLSGKNNLELTGYQTNKTEDDEL TYF >ENSMUSP00000135877.1 pep:known chromosome:GRCm38:6:132569809:132572941:1 gene:ENSMUSG00000059934.12 transcript:ENSMUST00000177523.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prh1 description:proline rich protein HaeIII subfamily 1 [Source:MGI Symbol;Acc:MGI:97773] MLVVLFTVALLALSSAQEPREENQNQIQIPNQRPPPSGFQPRPPVNGSQQGPPPPGGPQP RPPQGPPPPGGPQPRPPQGPPPPGVPQPRPPQGPPPPGGPQQRPPQGPPPPGGPQHRPPQ GPPPPGGPQQRPPQGPPPPGGPQPRPPQGPPPPGGPQQRPPQGPPPPGGPQLRPPQGPPP PAGPQPRPPQGPPPPAGPQPRPPQGPPTTGPQPRPTQGPPPTGGPQQRPPQGPPPPGGPQ PRPPQGPPPPGGPQPSPTQGPPPTGGPQQTPPLAGNTQGPPQGRPQGPR >ENSMUSP00000107603.3 pep:known chromosome:GRCm38:6:132569842:132572401:1 gene:ENSMUSG00000059934.12 transcript:ENSMUST00000111972.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prh1 description:proline rich protein HaeIII subfamily 1 [Source:MGI Symbol;Acc:MGI:97773] MLVVLFTVALLALSSAQEPREENQNQIQIPNQRPPPSGFQPRPPVNGSQQGPPPPGGPQP RPPQGPPPPGGPQPRPPQGPPPPGVPQPRPPQGPPPPGGPQQRPPQGPPPPGGPQHRPPQ GPPPPGGPQQRPPQGPPPPGGPQPRPPQGPPPPGGPQQRPPQGPPPPGGPQLRPPQGPPP PAGPQPRPPQGPPPPAGPQPRPPQGPPTTGPQPRPTQGPPPTGGPQQRPPQGPPPPGGPQ PRPPQGPPPPGGPQPSPTQGPPPTGGPQQTPPLAGNTQGPPQGRPQGPR >ENSMUSP00000073689.4 pep:known chromosome:GRCm38:6:132569809:132572401:1 gene:ENSMUSG00000059934.12 transcript:ENSMUST00000074042.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prh1 description:proline rich protein HaeIII subfamily 1 [Source:MGI Symbol;Acc:MGI:97773] MLVVLFTVALLALSSAQEPREENQNQIQIPNQRPPPSGFQPRPPVNGSQQGPPPPGGPQP RPPQGPPPPGGPQPRPPQGPPPPGVPQPRPPQGPPPPGGPQQRPPQGPPPPGGPQHRPPQ GPPPPGGPQQRPPQGPPPPGGPQLRPPQGPPPPAGPQPRPPQGPPPPAGPQPRPPQGPPT TGPQPRPTQGPPPTGGPQQRPPQGPPPPGGPQPRPPQGPPPPGGPQPSPTQGPPPTGGPQ QTPPLAGNTQGPPQGRPQGPR >ENSMUSP00000110944.1 pep:known chromosome:GRCm38:6:29272488:29275186:1 gene:ENSMUSG00000043421.8 transcript:ENSMUST00000115289.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hilpda description:hypoxia inducible lipid droplet associated [Source:MGI Symbol;Acc:MGI:1916823] MKFMLNLYVLGIMLTLLSIFVRVMESLGGLLESPLPGSSWITRGQLANTQPPKGLPDHPS RGVQ >ENSMUSP00000060791.8 pep:known chromosome:GRCm38:6:29272626:29275446:1 gene:ENSMUSG00000043421.8 transcript:ENSMUST00000054445.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hilpda description:hypoxia inducible lipid droplet associated [Source:MGI Symbol;Acc:MGI:1916823] MKFMLNLYVLGIMLTLLSIFVRVMESLGGLLESPLPGSSWITRGQLANTQPPKGLPDHPS RGVQ >ENSMUSP00000086792.4 pep:known chromosome:GRCm38:X:8583404:8591816:-1 gene:ENSMUSG00000079701.7 transcript:ENSMUST00000089374.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb3 description:synovial sarcoma, X member B, breakpoint 3 [Source:MGI Symbol;Acc:MGI:2446772] METVSSCEKIPMEVLYEPKNICKAFQDISTYFSDEEWGKLTQWQKSAYMYMKRNYIRMTD LGVTVNQPVFMRGKEQEKQSLVEGIEVHDSDDECFEGSFDVTPRKRMKLTSVTISIHNVE GSLASGKNDSNLAETDGIQVNVWSHRLRERKYRVIYSEISDPEEEEDDDY >ENSMUSP00000086797.4 pep:known chromosome:GRCm38:X:8583544:8589246:-1 gene:ENSMUSG00000079701.7 transcript:ENSMUST00000089379.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb3 description:synovial sarcoma, X member B, breakpoint 3 [Source:MGI Symbol;Acc:MGI:2446772] METVSSCEKIPMEVLYEPKNICKAFQDISTYFSDEEWGKLTQWQKSAYMYMKRNYIRMTD LGVTVNQPVFMRGKEQEKQSLVEGIEVHDSDDECFEGSFDVTPRKRMKLTSVTISIHNVE GSLASGKNDSNLAETDGIQVNVWSHRLRERKYRVIYSEISDPEEEEDDDY >ENSMUSP00000131207.1 pep:known chromosome:GRCm38:6:88257334:88446539:-1 gene:ENSMUSG00000033216.9 transcript:ENSMUST00000165242.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eefsec description:eukaryotic elongation factor, selenocysteine-tRNA-specific [Source:MGI Symbol;Acc:MGI:2137092] MAGRRVNVNVGVLGHIDSGKTALARALSTTASTAAFDKQPQSRERGITLDLGFSCFVVPL PGAEPGSSDTLLQVTLVDCPGHASLIRTIIGGAQIIDLMMLVIDVTKGMQTQSAECLVIG QIACQKLVVVLNKIDLLAEGKRQAAIDKMTKKMQKTLENTKFRGAPIIPVAAKPGGPEAP ETEAPQGISELIELLKSQISIPTRDPSGPFLMSVDHCFSIKGQGTVMTGTILSGTISLGD SVEIPALKVVKKVKSMQMFHTPVTSAMQGDRLGICVTQFDPKLLERGLVCAPESLHTVHA ALISVEKIPYFRGPLQTKAKFHITVGHETVMGRTLFFSPAPDSFDLEPVLDSFDLSREYL FQEQYLCKDSMPTATEGDDEADPKAGHAPGGHCPRQQWALVEFEKPVTCPRLCLVIGSRL DADIHTNTCRLAFHGVLLQGLEDKNYIESFLPALRVYKLKHKHGLVERVMDDYSVIGRSL FKKETNIQLFVGLKVQLSTGEQGIIDSAFGQSGKFKIHIPGGLSPESKKILTPTLKKRSR AGRGETTKPEEGTERPEPIQPVTLNLSFKRYVFDTQKRMVQTP >ENSMUSP00000144839.1 pep:known chromosome:GRCm38:6:88257873:88446527:-1 gene:ENSMUSG00000033216.9 transcript:ENSMUST00000205179.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eefsec description:eukaryotic elongation factor, selenocysteine-tRNA-specific [Source:MGI Symbol;Acc:MGI:2137092] MAGRRVNVNVGVLGHIDSGKTALARALSTTASTAAFDKQPQSRERGITLDLGFSCFVVPL PGAEPGSSDTLLQVTLVDCPGHASLIRTIIGGAQIIDLMMLVIDVTKGMQTQSAECLVIG QIACQKLVVVLNKIDLLAEGKRQAAIDKMTKKMQKTLENTKFRGAPIIPVAAKPGGPEAP ETEAPQGISELIELLKSQISIPTRDPSGPFLMSVDHCFSIKGQGTVMTGTILSGTISLGD SVEIPALKVVKKVKSMQMFHTPVTSAMQGDRLGICVTQFDPKLLERGLVCAPESLHTVHA ALISVEKIPYFRGPLQTKAKFHITVGHETVMGRTLFFSPAPDSFDLEPVLDSFDLSREYL FQEQYLCKDSMPTATEGDDEADPKAGHAPGGHCPRQQWALVEFEKPVTCPRLCLVIGSRL DADIHTNTCRLAFHGVLLQGLEDKNYIESFLPALRVYKLKHKHGLVERVMDDYSVIGRSL FKKETNIQLFVGLKVQLSTGEQGIIDSAFGQSGKFKIHIPEQDGIQRMATKESP >ENSMUSP00000145480.1 pep:known chromosome:GRCm38:6:88258273:88446514:-1 gene:ENSMUSG00000033216.9 transcript:ENSMUST00000203213.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eefsec description:eukaryotic elongation factor, selenocysteine-tRNA-specific [Source:MGI Symbol;Acc:MGI:2137092] MMLVIDVTKGMQTQSAECLVIGQIACQKLVVVLNKIDLLAEGKRQAAIDKMTKKMQKTLE NTKFRGAPIIPVAAKPGGPEAPETEAPQGISELIELLKSQISIPTRDPSGPFLMSVDHCF SIKGQGTVMTGTILSGTISLGDSVEIPALKVMDDYSVIGRSLFKKETNIQLFVGLKVQLS TGEQGIIDSAFGQSGKFKIHIPGGLSPESKKILTPTLKKRSRAGRGETTKPEEGTERPEP IQ >ENSMUSP00000144824.1 pep:known chromosome:GRCm38:6:88281652:88446502:-1 gene:ENSMUSG00000033216.9 transcript:ENSMUST00000204459.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eefsec description:eukaryotic elongation factor, selenocysteine-tRNA-specific [Source:MGI Symbol;Acc:MGI:2137092] MAGRRVNVNVGVLGHIDSGKTALARALSTTASTAAFDKQPQSRERGITLDLGFSCFVVPL PGAEPGSSDTLLQVTLVDCPGHASLIRTIIGGAQIIDLMMLVIDVTKGMQTQSAECLVIG QIACQKLVVVLNKIDLLAEGKRQAAIDKMTKKMQKTLENTKFRGAPIIPVAAKPGGPEAP ETEAPQGISELIELLKSQISIPTRDPSGPFLMSVDHCFSIKGQGTVMTGTILSGTISLGD SVEIPALKVMDDYSVIGRSLFKKETN >ENSMUSP00000145017.1 pep:known chromosome:GRCm38:6:88297923:88439835:-1 gene:ENSMUSG00000033216.9 transcript:ENSMUST00000203886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eefsec description:eukaryotic elongation factor, selenocysteine-tRNA-specific [Source:MGI Symbol;Acc:MGI:2137092] MMLVIDVTKGMQTQSAECLVIGQIACQKLVVVLNKIDLLAEGKRQAAIDKMTKKMQKTLE NTKFRGAPIIPVAAKPGGPEAPETEAPQGISELIELLKSQISIPTRDPSGPFLMSVDHCF SIKGQGTVMTGTILSGTISLGDSVEIPALKVVKKVKSMQMFHTPVTSAMQGDRLGICVTQ FDPKLLERGLVCAPESLHTVHAALISVEKIPYFRGPLQTKAKFHITVGHETVMGRTLFFS PAPDSFDLEPVLDS >ENSMUSP00000145448.1 pep:known chromosome:GRCm38:6:88298106:88446484:-1 gene:ENSMUSG00000033216.9 transcript:ENSMUST00000205014.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eefsec description:eukaryotic elongation factor, selenocysteine-tRNA-specific [Source:MGI Symbol;Acc:MGI:2137092] MAGRRVNVNVGVLGHIDSGKTALARALSTTASTAAFDKQPQSRERGITLDLGFSCFVVPL PGAEPGSSDTLLQVTLVDCPGHASLIRTIIGDSGVHRLFPWQPNLGDQKPLKLKLHRASQ NSLSS >ENSMUSP00000089328.1 pep:known chromosome:GRCm38:13:22327911:22328807:1 gene:ENSMUSG00000069294.1 transcript:ENSMUST00000091734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r197 description:vomeronasal 1 receptor 197 [Source:MGI Symbol;Acc:MGI:2159686] MVLDPVKGTVFLCLTGLGTVGNSLVFVSYMHMFQSTEQKPIHLILAHLTLTNIIMLLSKG MPKTIEAFNFGNYLNDTSCKAVVYLARVSRGLSICTSSLLTVVQAITMSPRHSMWQRLNL KTPQHTLFSLLCLWILNSLISMNLPYYIKNVSSLNITQFKKNGNYCYFLPESWITRWIFL TLMVLRDAVFQGAMGGASGYMVFLLHKHHQHVLYLQNSKLLYRTPPELRAAQSVLLLMLC FLFFYWADCFISLFFTFSIENHSTVLYVPEFLTLGYAVLSPFMLIHRDEHVIKCFHTQ >ENSMUSP00000019109.7 pep:known chromosome:GRCm38:5:33018816:33027966:1 gene:ENSMUSG00000018965.11 transcript:ENSMUST00000019109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhah description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, eta polypeptide [Source:MGI Symbol;Acc:MGI:109194] MGDREQLLQRARLAEQAERYDDMASAMKAVTELNEPLSNEDRNLLSVAYKNVVGARRSSW RVISSIEQKTMADGNEKKLEKVKAYREKIEKELETVCNDVLALLDKFLIKNCNDFQYESK VFYLKMKGDYYRYLAEVASGEKKNSVVEASEAAYKEAFEISKEHMQPTHPIRLGLALNFS VFYYEIQNAPEQACLLAKQAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDQQDE EAGEGN >ENSMUSP00000025050.5 pep:known chromosome:GRCm38:17:27579382:27623465:-1 gene:ENSMUSG00000024213.13 transcript:ENSMUST00000025050.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt3 description:nudix (nucleotide diphosphate linked moiety X)-type motif 3 [Source:MGI Symbol;Acc:MGI:1928484] MMKLKSNQTRTYDGDGYKKRAACLCFRSESEEEVLLVSSSRHPDRWIVPGGGMEPEEEPS VAAVREVCEEAGVKGTLGRLVGIFENQERKHRTYVYVLIVTEVLEDWEDSVNIGRKREWF KIEDAIKVLQCHKPVQASYFETLRQGYPANNGTPVVPTTYSSSVSGIR >ENSMUSP00000110536.1 pep:known chromosome:GRCm38:17:27580574:27622964:-1 gene:ENSMUSG00000024213.13 transcript:ENSMUST00000114886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt3 description:nudix (nucleotide diphosphate linked moiety X)-type motif 3 [Source:MGI Symbol;Acc:MGI:1928484] MSRRVLLVSSSRHPDRWIVPGGGMEPEEEPSVAAVREVCEEAGVKGTLGRLVGIFENQER KHRTYVYVLIVTEVLEDWEDSVNIGRKREWFKIEDAIKVLQCHKPVQASYFETLRQGYPA NNGTPVVPTTYSSSVSGIR >ENSMUSP00000059061.6 pep:known chromosome:GRCm38:17:27580788:27623263:-1 gene:ENSMUSG00000024213.13 transcript:ENSMUST00000062397.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt3 description:nudix (nucleotide diphosphate linked moiety X)-type motif 3 [Source:MGI Symbol;Acc:MGI:1928484] MMKLKSNQTRTYDGDGYKKRAACLCFRSESEEEVLLVSSSRHPDRWIVPGGGMEPEEEPS VAAVREVCEEAGVKGTLGRLVGIFENQERKHRTYVYVLIVTEVLEDWEDSVNIEDAIKVL QCHKPVQASYFETLRQGYPANNGTPVVPTTYSSSVSGIR >ENSMUSP00000135890.1 pep:known chromosome:GRCm38:17:27581961:27623263:-1 gene:ENSMUSG00000024213.13 transcript:ENSMUST00000176876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt3 description:nudix (nucleotide diphosphate linked moiety X)-type motif 3 [Source:MGI Symbol;Acc:MGI:1928484] MMKLKSNQTRTYDGDGYKKRAACLCFRSESEEEVLLVSSSRHPDRWIVPGGGMEPEEEPS VAAVREVCEEAGVKGTLGRLVGIFENQERKHRTYVYVLIVTEVLEDWEDSVNIGESASGL GN >ENSMUSP00000135175.1 pep:known chromosome:GRCm38:17:27582034:27622844:-1 gene:ENSMUSG00000024213.13 transcript:ENSMUST00000176458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt3 description:nudix (nucleotide diphosphate linked moiety X)-type motif 3 [Source:MGI Symbol;Acc:MGI:1928484] MSRRVLLVSSSRHPDRWIVPGGGMEPEEEPSVAAVREVCEENQERKHRTYVYVLIVTEVL >ENSMUSP00000107515.1 pep:known chromosome:GRCm38:5:120594305:120612339:-1 gene:ENSMUSG00000029600.10 transcript:ENSMUST00000111884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rita1 description:RBPJ interacting and tubulin associated 1 [Source:MGI Symbol;Acc:MGI:1922021] MKALHLQHRSPTSYRVKARASYVDETLFGSPARTRPAQPDFDPPWVQNCNRSRGVGPGPP KGSLAKRDCESPSSRGSTPNLTPRKKNKYRQEEGAEREVQESS >ENSMUSP00000122910.1 pep:known chromosome:GRCm38:5:120602104:120611637:-1 gene:ENSMUSG00000029600.10 transcript:ENSMUST00000156356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rita1 description:RBPJ interacting and tubulin associated 1 [Source:MGI Symbol;Acc:MGI:1922021] LHLQHRSPTSYRVKARASYVDETLFGSPARTRPAQPDFDPPWVQNCNRSRGVGPGPPKGS LAKRDCESPSSRGSTPNLTPRKKNKYRKNRN >ENSMUSP00000031599.2 pep:known chromosome:GRCm38:5:120609058:120612589:-1 gene:ENSMUSG00000029600.10 transcript:ENSMUST00000031599.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rita1 description:RBPJ interacting and tubulin associated 1 [Source:MGI Symbol;Acc:MGI:1922021] MKALHLQHRSPTSYRVKARASYVDETLFGSPARTRPAQPDFDPPWVQNCNRSRGVGPGPP KGSLAKRDCESPSSRGSTPNLTPRKKNKYRLIGHAPSYCDESLFGTSKEGSRMAVGDAAK LRTLFWTPPATPRGSHTPCPRETPLRAIHPAGPSRTEPRVTAGSQMSSQDGLCVPCSLGQ RRSHSLTHLTVPSTGHPASSAPQTNGPWSPRPNTSGATVQSPLVTSKACSGRVSGPAPPR RGACPPKPKPPWK >ENSMUSP00000116344.1 pep:known chromosome:GRCm38:5:120609911:120612570:-1 gene:ENSMUSG00000029600.10 transcript:ENSMUST00000140554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rita1 description:RBPJ interacting and tubulin associated 1 [Source:MGI Symbol;Acc:MGI:1922021] MKALHLQHRSPTSYRVKARASYVDETLFGSPARTRPAQPDFDPPWVQNCNRSRGVGPGPP KGSLAKRDCESPSSRGSTPNLTPRKKNKYRLIGHAPSYCDESLFGTS >ENSMUSP00000136946.1 pep:known chromosome:GRCm38:5:120609058:120612589:-1 gene:ENSMUSG00000029600.10 transcript:ENSMUST00000177800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rita1 description:RBPJ interacting and tubulin associated 1 [Source:MGI Symbol;Acc:MGI:1922021] MKALHLQHRSPTSYRVKARASYVDETLFGSPARTRPAQPDFDPPWVQNCNRSRGVGPGPP KGSLAKRDCESPSSRGSTPNLTPRKKNKYRLIGHAPSYCDESLFGTSKEGSRMAVGDAAK LRTLFWTPPATPRGSHTPCPRETPLRAIHPAGPSRTEPRVTAGSQMSSQDGLCVPCSLGQ RRSHSLTHLTVPSTGHPASSAPQTNGPWSPRPNTSGATVQSPLVTSKACSGRVSGPAPPR RGACPPKPKPPWK >ENSMUSP00000103180.3 pep:known chromosome:GRCm38:3:88282757:88296999:-1 gene:ENSMUSG00000010538.14 transcript:ENSMUST00000107556.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsacc description:TSSK6 activating co-chaperone [Source:MGI Symbol;Acc:MGI:1924177] MEQHTSNPTKEKAKEEDSVACFCPAKSSPSYIDLPANFTPANFLTIQTKLSSGAGQKPKG CLGVLECMYANLQLQTQLAQRQIAILENLQASLSQPGSGRESKNCSLPGLCCKLLLNHLP QFHK >ENSMUSP00000010682.3 pep:known chromosome:GRCm38:3:88282760:88296838:-1 gene:ENSMUSG00000010538.14 transcript:ENSMUST00000010682.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsacc description:TSSK6 activating co-chaperone [Source:MGI Symbol;Acc:MGI:1924177] MEQHTSNPTKEKAKEEDSVACFCPAKSSPSYIDLPANFTPANFLTIQTKLSSGAGQKPKG CLGVLECMYANLQLQTQLAQRQIAILENLQASLSQPGSGRESKNCSLPGLCCKLLLNHLP QFHK >ENSMUSP00000083477.5 pep:known chromosome:GRCm38:7:5479531:5493967:-1 gene:ENSMUSG00000066820.6 transcript:ENSMUST00000086297.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r28 description:vomeronasal 2, receptor 28 [Source:MGI Symbol;Acc:MGI:3645468] MFILVGVFFLLKIQLLMANYIDSTCFWRLNLNEVNDKDLDQTCSFILGAVQMPMEKDYFK ETLNVLKTTKNHKYALALAFSMDEINRNPDLLPNMSLIIKYNLGRCDGKTMTNTADFLDQ KKYKRIPNYFCNEETMCSFLLTGPDMTTSLYFQMFLDIFLSPHFLQLTYGPFSSIINDSE KYPYVYQMAPKDTSLALAMVYFILYFQWNWVGLIIPDDEDGYQFLSQLKKESKGKEICFA FVNMIGISDILTYATTEMNYNQIMMSSTNVIIIYGETNNFIELSFRIWESTVIQRIWVTT IQLNFPTSKKDLNHGTFYGTFTFLPHHAEISGYKKFVQTLFHLKSTDLNLEMQEWKYFNC EDSACNCKILMNFSSNASLDWLIEQKFDITFSDGSQNIYNAVHAMAHALHETNLQQVDNQ AIDNGKLSRYPCFKVNSFLRKTHFTNPLGDKVIMKHRVILQEDYDIFHFWNLSQHLGIKV KLGKFSPYFPHGEYFHLYVDMLELATARRKMPSSVCSADCGPGFRRSRKERVAACCFICS PCPENEISNETNMDHCVNCPEYQYANTEQNKCIQKAVIFLSYEDPLGMALALMAFGFSAF TALVLWAFVKHHDTPIVKANNRILSYLLLMSLMFCFLCTFFFIGHPNRVTCVLQQITFGI VFTVAVSTVLAKTITVILAFKFTGPGRTLKNILVSGTPNYIIPICSLFQCILCAIWLAVS PPFVDIDEHSEHGNIILVCNKGSVTAFYCVLGYLACLALGSFTVAFLARNLPDAFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKVMVAVEIFSILASSSGILGCIFAPKIYIIFLRPER NSIKKIREKSHF >ENSMUSP00000111272.2 pep:known chromosome:GRCm38:16:18407346:18426852:-1 gene:ENSMUSG00000000326.12 transcript:ENSMUST00000115609.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comt description:catechol-O-methyltransferase [Source:MGI Symbol;Acc:MGI:88470] MLLAAVSLGLLLLAFLLLLRHLGWGLVAIGWFEFVQQPVHNLLMGGTKEQRILRHVQQHA KPGDPQSVLEAIDTYCSEKEWAMNVGDAKGQIMDAVIREYRPSLVLELGAYCGYSAVRMA RLLPPGARLLTMEINPDYAAITQQMLDFAGLQDKVSILIGASQDLIPQLKKKYDVDTLDM VFLDHWKDRYLPDTLLLEECGLLRKGTVLLADNVIVPGTPDFLAYVRGSSSFECTHYSSY LEYMKVVDGLEKAVYQGPGSSPVKS >ENSMUSP00000000335.4 pep:known chromosome:GRCm38:16:18407544:18426385:-1 gene:ENSMUSG00000000326.12 transcript:ENSMUST00000000335.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comt description:catechol-O-methyltransferase [Source:MGI Symbol;Acc:MGI:88470] MLLAAVSLGLLLLAFLLLLRHLGWGLVAIGWFEFVQQPVHNLLMGGTKEQRILRHVQQHA KPGDPQSVLEAIDTYCSEKEWAMNVGDAKGQIMDAVIREYRPSLVLELGAYCGYSAVRMA RLLPPGARLLTMEINPDYAAITQQMLDFAGLQDKVSILIGASQDLIPQLKKKYDVDTLDM VFLDHWKDRYLPDTLLLEECGLLRKGTVLLADNVIVPGTPDFLAYVRGSSSFECTHYSSY LEYMKVVDGLEKAVYQGPGSSPVKS >ENSMUSP00000121810.1 pep:known chromosome:GRCm38:16:18410763:18413494:-1 gene:ENSMUSG00000000326.12 transcript:ENSMUST00000147720.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comt description:catechol-O-methyltransferase [Source:MGI Symbol;Acc:MGI:88470] MLLAAVSLGLLLLAFLLLLRHLGWGLVAIGWFEFVQQPVHNLLMGGTKEQRILRHVQQHA KPGDPQSVLEAIDTYCSEKEWAMNVGDAKGQIMDAVIREYRPSLVLELGAYCGYSAVRMA RLLPPGARLLTMEINPDYAAITQQMLDFAGLQDKVSILIGASQDLIPQLKK >ENSMUSP00000130077.1 pep:known chromosome:GRCm38:16:18406886:18413494:-1 gene:ENSMUSG00000000326.12 transcript:ENSMUST00000165430.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comt description:catechol-O-methyltransferase [Source:MGI Symbol;Acc:MGI:88470] MLLAAVSLGLLLLAFLLLLRHLGWGLVAIGWFEFVQQPVHNLLMGGTKEQRILRHVQQHA KPGDPQSVLEAIDTYCSEKEWAMNVGDAKGQIMDAVIREYRPSLVLELGAYCGYSAVRMA RLLPPGARLLTMEINPDYAAITQQMLDFAGLQDKVSILIGASQDLIPQLKKKYDVDTLDM VFLDHWKDRYLPDTLLLEECGLLRKGTVLLADNVIVPGTPDFLAYVRGSSSFECTHYSSY LEYMKVVDGLEKAVYQGPGSSPVKS >ENSMUSP00000107656.1 pep:known chromosome:GRCm38:1:138851983:138856852:-1 gene:ENSMUSG00000079283.2 transcript:ENSMUST00000112025.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310009B15Rik description:RIKEN cDNA 2310009B15 gene [Source:MGI Symbol;Acc:MGI:1916799] MAAQGIWTLARVSLGRPPPRAEGFRQQLNSTLGSTSEGARGGSAADSWGTPEGEPRHPAS RELTAAERHIVELHRAACAAGQLSYMDPATGYVVLTRLAHLQRGACCGSACRHCPYGQVN VKDPSKKKQFNSYFYV >ENSMUSP00000141565.1 pep:known chromosome:GRCm38:1:138852033:138856847:-1 gene:ENSMUSG00000079283.2 transcript:ENSMUST00000193374.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2310009B15Rik description:RIKEN cDNA 2310009B15 gene [Source:MGI Symbol;Acc:MGI:1916799] MAAQGIWTLARVSLGRPPPRAEGFRQQLNSTLGSTSEGARGGSAADSWGTPEGEPRHPAS RELTAAERHIVELHRAACAVRPAPACPARGLCACARPATRPRGGPAGRCWTSGLGRVGLG RPLRGSCEKPGRTFAFRTERCLP >ENSMUSP00000020500.7 pep:known chromosome:GRCm38:10:83600033:83648738:-1 gene:ENSMUSG00000020263.14 transcript:ENSMUST00000020500.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Appl2 description:adaptor protein, phosphotyrosine interaction, PH domain and leucine zipper containing 2 [Source:MGI Symbol;Acc:MGI:2384914] MPAVDKLLLEEALQDSPQARSLLSVFEEDAGTLTDYTNQLLQAMQRVYGAQNEMCLATQQ LSRQLLAYEKQNFALGKGDEEVISTLHYFSKVMDELNGLHTELAKQLADTMVLPVIQFRE KDLTEVSTLKDLFGLASSEHDLSMAKYSRLPKKKENEKAKTEIVKEVAAARRKQHLSSLQ YYCALNALQYRKRAAMMEPLIGFAHGQINFFKRGAEMFSKSMDGFLSSVKDMVQSIQVEL EAEADKMRVSQQELLSVSESVYTPDIDVATAQINRNLIQKTGYLNLRNKTGLVTTTWERL YFFTQGGNLMCQPRGAVAGGLIQDLDNCSVMAVDCEDRRYCFQISTPSGKPGIILQAESR KEYEEWICAVNNISRQIYLTDNPEAVAIKLNQTALQAVTPITSFGKKQESSCSSQNIKNS DIEDDNIVPKATASIPETEELIAPGTPIQFDIVLPATEFLDQNRGGRRTNPFGETEDGSF PEAEDSLLQQMFIVRFLGSMAVKTDSTAEVIYEAMRQVLAARAIHNIFRMTESHLMVTSQ TLRLIDPQTQVSRACFELTSVTQFAAHQENKRLVGFVIRVPESTGEESLSTYIFESNSEG EKICYAINLGKEIIEVQKDPEALARLMLSVPLTNDGKYVLLNDQADDTGGSPSENRGAES EA >ENSMUSP00000135157.1 pep:known chromosome:GRCm38:10:83600397:83603130:-1 gene:ENSMUSG00000020263.14 transcript:ENSMUST00000177187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Appl2 description:adaptor protein, phosphotyrosine interaction, PH domain and leucine zipper containing 2 [Source:MGI Symbol;Acc:MGI:2384914] XCFELTSVTQFAAHQENKRLVGFVIRVPESTGEESLSTYIFESNSEGEKICYAINLGKEI IEVQKAQ >ENSMUSP00000135672.1 pep:known chromosome:GRCm38:10:83600742:83609008:-1 gene:ENSMUSG00000020263.14 transcript:ENSMUST00000176675.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Appl2 description:adaptor protein, phosphotyrosine interaction, PH domain and leucine zipper containing 2 [Source:MGI Symbol;Acc:MGI:2384914] XPGTPIQFDIVLPATEFLDQNRGGRLSSAADVHRSVFGINGS >ENSMUSP00000121336.2 pep:known chromosome:GRCm38:10:83617419:83648665:-1 gene:ENSMUSG00000020263.14 transcript:ENSMUST00000146876.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Appl2 description:adaptor protein, phosphotyrosine interaction, PH domain and leucine zipper containing 2 [Source:MGI Symbol;Acc:MGI:2384914] MPAVDKLLLEEALQDSPQARSLLSVFEEDAGTLTDYTNQLLQAMQRVYGAQNEMCLATQQ LSRQLLAYEKQNFALGKGDEEVISTLHYFSKVMDELNGLHTELAKQLADTMVLPVIQFRE KDLTVSTLKDLFGLASSEHDLSMAKYSRLPKKKENEKAKTEIVKEVAAARRKQHLSSLQY YCALNALQYRKRAAMMEPLIGFAHGQINF >ENSMUSP00000115903.1 pep:known chromosome:GRCm38:10:83620713:83648603:-1 gene:ENSMUSG00000020263.14 transcript:ENSMUST00000150685.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Appl2 description:adaptor protein, phosphotyrosine interaction, PH domain and leucine zipper containing 2 [Source:MGI Symbol;Acc:MGI:2384914] MPAVDKLLLEEALQDSPQARSLLSVFEEDAGTLTDYTNQLLQAMQRVYGAQNEMCLATQQ LSRQLLAYEKQNFALGKGDEEVISTLHYFSKVMDESSSTAGTKRPFCNTGSIINSSF >ENSMUSP00000135645.1 pep:known chromosome:GRCm38:10:83624646:83648675:-1 gene:ENSMUSG00000020263.14 transcript:ENSMUST00000176294.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Appl2 description:adaptor protein, phosphotyrosine interaction, PH domain and leucine zipper containing 2 [Source:MGI Symbol;Acc:MGI:2384914] MPAVDKLLLEEALQDSPQARSLLSVFEEDAGTLTDYTNQLLQAMQRVYGAQNFALGKGDE EVISTLHYFSKVMDELNGLHTELAKQLADTMVLPV >ENSMUSP00000076120.4 pep:known chromosome:GRCm38:8:110741840:110805903:-1 gene:ENSMUSG00000031750.15 transcript:ENSMUST00000076846.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il34 description:interleukin 34 [Source:MGI Symbol;Acc:MGI:1923777] MPWGLAWLYCLGILLDVALGNENLEIWTLTQDKECDLTGYLRGKLQYKNRLQYMKHYFPI NYRIAVPYEGVLRVANITRLQKAHVSERELRYLWVLVSLNATESVMDVLLEGHPSWKYLQ EVQTLLENVQRSLMDVEIGPHVEAVLSLLSTPGLSLKLVRPKALLDNCFRVMELLYCSCC KQSPILKWQDCELPRLHPHSPGSLMQCTATNVYPLSRQTPTSLPGSPSSSHGSLP >ENSMUSP00000114398.1 pep:known chromosome:GRCm38:8:110741840:110805872:-1 gene:ENSMUSG00000031750.15 transcript:ENSMUST00000150680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il34 description:interleukin 34 [Source:MGI Symbol;Acc:MGI:1923777] MPWGLAWLYCLGILLDVALGNENLEIWTLTQDKECDLTGYLRGKLQYKNRLQYMKHYFPI NYRIAVPYEGVLRVANITRLQKAHVSERELRYLWVLVSLNATESVMDVLLEGHPSWKYLQ EVQTLLENVQRSLMAVGVHLPGHVLVTLLSQLPGLPSPWARSFDTSWELLMMKGCGDWPS RGSCVISSEYSRPKPEAGAAQSLAGQLLPGHGTAVLFLL >ENSMUSP00000044418.3 pep:known chromosome:GRCm38:11:72451638:72489904:-1 gene:ENSMUSG00000040447.15 transcript:ENSMUST00000045303.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spns2 description:spinster homolog 2 [Source:MGI Symbol;Acc:MGI:2384936] MMCLECASAAAGGAEEEEADAERRRRRRGAQPGAGGSACCGARGVGGAGVVSADEEVQTL SGSVRRVPSGLPSIPSTPGCAAAAKGPSAPQPKPASLGRGRGAAAAILSLGNVLNYLDRY TVAGVLLDIQQHFGVKDRGAGLLQSVFICSFMVAAPIFGYLGDRFNRKVILSCGIFFWSA VTFSSSFIPQQYFWLLVLSRGLVGIGEASYSTIAPTIIGDLFTKNTRTLMLSVFYFAIPL GSGLGYITGSSVKQAAGDWHWALRVSPVLGMITGTLILILVPATKRGHADQLGGQLKART SWLRDMKALIRNRSYVFSSLATSAVSFATGALGMWIPLYLHRAQVVQKTAETCNSPPCGA KDSLIFGAITCFTGFLGVVTGAGATRWCRLRTQRADPLVCAVGMLGSAIFICLIFVAAKT SIVGAYICIFVGETLLFSNWAITADILMYVVIPTRRATAVALQSFTSHLLGDAGSPYLIG FISDLIRQSTKDSPLWEFLSLGYALMLCPFVVVLGGMFFLATALFFLSDRAKAEQQVNQL VMPPASVKV >ENSMUSP00000120722.1 pep:known chromosome:GRCm38:11:72452732:72456621:-1 gene:ENSMUSG00000040447.15 transcript:ENSMUST00000144940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spns2 description:spinster homolog 2 [Source:MGI Symbol;Acc:MGI:2384936] XWCRLRTQRADPLVCAVGMLGSAIFICLIFVAAKTSIVGAYICIFVGETLLFSNWAITAD ILMISDLIRQSTKDSPLWEFLSLGYALMLCPFVVVLGGMFFLATALFFLSDRAKAEQQVN QLVMPPASVKV >ENSMUSP00000089290.4 pep:known chromosome:GRCm38:13:23839449:23858359:1 gene:ENSMUSG00000036083.14 transcript:ENSMUST00000091698.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a3 description:solute carrier family 17 (sodium phosphate), member 3 [Source:MGI Symbol;Acc:MGI:2389216] MSPTSEQSEFVLGTPVNKKTPALKVPSLCSMRYGIAFVTHFCNFTLMAQNSIISITMVAM VNNTDHPPHLNSSTEQLPAGLSGDQHEASKHLPIKGTGYGGQFALWQKWAPPNERSRLCT IALSGMTLGIFTVLLVGGIISEALGWPFVFYSFGGGGVLCSLLWFILIYDDPVSHPWISG PEREYILSSLNQQFSSEEQPLPIKAMLKSLPLWSMCLCTMTHQWLVNTFIMYTPTYISSV FKVNIRDNGFLSSLPFIVAWVLGILGGWLADFLLSKNFRLITVRKFITLLGNAPPAALVA ALPYIQSSYITTIIFLTISCGLCPLSQAGIYINALDIAPRYASFLMGTSRGLAHSSAVLV PIVAGFFLSQDSEFGWRNFFFVVFAVNLLGLIIYLVFGKADVQEWARERKLTRL >ENSMUSP00000106052.1 pep:known chromosome:GRCm38:13:23839478:23858359:1 gene:ENSMUSG00000036083.14 transcript:ENSMUST00000110422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a3 description:solute carrier family 17 (sodium phosphate), member 3 [Source:MGI Symbol;Acc:MGI:2389216] MSPTSEQSEFVLGTPVNKKTPALKVPSLCSMRYGIAFVTHFCNFTLMAQNSIISITMVAM VNNTDHPPHLNSSTEQLPAGLSGDQHEASKHLPIKAPVYNWSPQTQGIIFSSVQYGMILM QGPGGYLAGKIGTKKVVGIALLGSSLLTLCIPLAANLGLVFFLATRAVQGLMQGTGYGGQ FALWQKWAPPNERSRLCTIALSGMTLGIFTVLLVGGIISEALGWPFVFYSFGGGGVLCSL LWFILIYDDPVSHPWISGPEREYILSSLNQQFSSEEQPLPIKAMLKSLPLWSMCLCTMTH QWLVNTFIMYTPTYISSVFKVNIRDNGFLSSLPFIVAWVLGILGGWLADFLLSKNFRLIT VRKFITLLGNAPPAALVAALPYIQSSYITTIIFLTISCGLCPLSQAGIYINALDIAPRYA SFLMGTSRGLAHSSAVLVPIVAGFFLSQDSEFGWRNFFFVVFAVNLLGLIIYLVFGKADV QEWARERKLTRL >ENSMUSP00000131308.1 pep:known chromosome:GRCm38:13:23839434:23860714:1 gene:ENSMUSG00000036083.14 transcript:ENSMUST00000166467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a3 description:solute carrier family 17 (sodium phosphate), member 3 [Source:MGI Symbol;Acc:MGI:2389216] MRYGIAFVTHFCNFTLMAQNSIISITMVAMVNNTDHPPHLNSSTEQLPAGLSGDQHEASK HLPIKAPVYNWSPQTQGIIFSSVQYGMILMQGPGGYLAGKIGTKKVVGIALLGSSLLTLC IPLAANLGLVFFLATRAVQGLMQGTGYGGQFALWQKWAPPNERSRLCTIALSGMTLGIFT VLLVGGIISEALGWPFVFYSFGGGGVLCSLLWFILIYDDPVSHPWISGPEREYILSSLNQ QFSSEEQPLPIKAMLKSLPLWSMCLCTMTHQWLVNTFIMYTPTYISSVFKVNIRDNGFLS SLPFIVAWVLGILGGWLADFLLSKNFRLITVRKFITLLGNAPPAALVAALPYIQSSYITT IIFLTISCGLCPLSQAGIYINALDIAPRYASFLMGTSRGLAHSSAVLVPIVAGFFLSQDS EFGWRNFFFVVFAVNLLGLIIYLVFGKADVQEWARERKLTRL >ENSMUSP00000039062.7 pep:known chromosome:GRCm38:13:23839434:23860714:1 gene:ENSMUSG00000036083.14 transcript:ENSMUST00000039721.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a3 description:solute carrier family 17 (sodium phosphate), member 3 [Source:MGI Symbol;Acc:MGI:2389216] MATVVEMSPTSEQSEFVLGTPVNKKTPALKVPSLCSMRYGIAFVTHFCNFTLMAQNSIIS ITMVAMVNNTDHPPHLNSSTEQLPAGLSGDQHEASKHLPIKAPVYNWSPQTQGIIFSSVQ YGMILMQGPGGYLAGKIGTKKVVGIALLGSSLLTLCIPLAANLGLVFFLATRAVQGLMQG TGYGGQFALWQKWAPPNERSRLCTIALSGMTLGIFTVLLVGGIISEALGWPFVFYSFGGG GVLCSLLWFILIYDDPVSHPWISGPEREYILSSLNQQFSSEEQPLPIKAMLKSLPLWSMC LCTMTHQWLVNTFIMYTPTYISSVFKVNIRDNGFLSSLPFIVAWVLGILGGWLADFLLSK NFRLITVRKFITLLGNAPPAALVAALPYIQSSYITTIIFLTISCGLCPLSQAGIYINALD IAPRYASFLMGTSRGLAHSSAVLVPIVAGFFLSQDSEFGWRNFFFVVFAVNLLGLIIYLV FGKADVQEWARERKLTRL >ENSMUSP00000026289.3 pep:known chromosome:GRCm38:X:152001845:152004442:1 gene:ENSMUSG00000025260.10 transcript:ENSMUST00000026289.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b10 description:hydroxysteroid (17-beta) dehydrogenase 10 [Source:MGI Symbol;Acc:MGI:1333871] MAAAVRSVKGLVAVVTGGASGLGLATAKRLVGQGATAVLLDVPDSEGEAQAKKLGESCIF APANVTSEKEIQAALTLAKEKFGRIDVAVNCAGIAVAIKTYHQKKNKIHTLEDFQRVINV NLIGTFNVIRLVAGEMGQNEPDQGGQRGVIINTASVAAFEGQVGQAAYSASKGGIVGMTL PIARDLAPTGIRVVTIAPGLFATPLLTTLPEKVRNFLASQVPFPSRLGDPAEYAHLVQTI IENPFLNGEVIRLDGAIRMQP >ENSMUSP00000108236.3 pep:known chromosome:GRCm38:X:152001845:152004442:1 gene:ENSMUSG00000025260.10 transcript:ENSMUST00000112617.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b10 description:hydroxysteroid (17-beta) dehydrogenase 10 [Source:MGI Symbol;Acc:MGI:1333871] MAAAVRSVKGLVAVVTGGASGLGLATAKRLVGQGATAVLLDVPDSEGEAQAKKLGESCIF APANVTSEKEIQAALTLAKEKFGRIDVAVNCAGIAVAIKTYHQKKNKIHTLEDFQRVINI LHHGSTILQVNLIGTFNVIRLVAGEMGQNEPDQGGQRGVIINTASVAAFEGQVGQAAYSA SKGGIVGMTLPIARDLAPTGIRVVTIAPGLFATPLLTTLPEKVRNFLASQVPFPSRLGDP AEYAHLVQTIIENPFLNGEVIRLDGAIRMQP >ENSMUSP00000127177.1 pep:known chromosome:GRCm38:14:4709238:4717664:1 gene:ENSMUSG00000091700.7 transcript:ENSMUST00000169465.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7876 description:predicted gene 7876 [Source:MGI Symbol;Acc:MGI:3779769] MFFWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIQQKHRLRECSQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000129841.1 pep:known chromosome:GRCm38:14:4709296:4717678:1 gene:ENSMUSG00000091700.7 transcript:ENSMUST00000171305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7876 description:predicted gene 7876 [Source:MGI Symbol;Acc:MGI:3779769] MFFWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIQQKHRLRECSQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRKSTECAQEIHHC CLSSLITMAVMGCMLLLYFVSLV >ENSMUSP00000143432.1 pep:known chromosome:GRCm38:3:157947239:158007428:1 gene:ENSMUSG00000039988.14 transcript:ENSMUST00000199727.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd13c description:ankyrin repeat domain 13c [Source:MGI Symbol;Acc:MGI:2139746] MTGEKIRSLRRDHKPSKEDGDVLEPCEEEATAALGGAFTGGRSGPGGSGKGGKACHKIFS HHHRLQLKAPAVPGPGVPAPPQHNAVTATCPAPACLGGSNPALVADGGGCPSLYPVHECV FKGDVRRLSSLIRTHNIGQKDNHGNTPLHLAVMLGNKECAHLLLAHNAPVKVKNAQGWSP LAEAISYGDRQMITALLRKLKQQSRESVGEKRPRLLKALKECLCFPEFCLLMPVKYTSKV STSGLTQLS >ENSMUSP00000038662.8 pep:known chromosome:GRCm38:3:157947414:158008034:1 gene:ENSMUSG00000039988.14 transcript:ENSMUST00000040787.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13c description:ankyrin repeat domain 13c [Source:MGI Symbol;Acc:MGI:2139746] MTGEKIRSLRRDHKPSKEDGDVLEPCEEEATAALGGAFTGGRSGPGGSGKGGKACHKIFS HHHRLQLKAPAVPGPGVPAPPQHNAVTATCPAPACLGGSNPALVADGGGCPSLYPVHECV FKGDVRRLSSLIRTHNIGQKDNHGNTPLHLAVMLGNKECAHLLLAHNAPVKVKNAQGWSP LAEAISYGDRQMITALLRKLKQQSRESVGEKRPRLLKALKELGDFYLELHWDFQSWVPLL SRILPSDACKIYKQGINIRLDTTLIDFTDMKCQRGDLSFIFNGDAAPSESFVVLDNEQKV YQRIHHEESEMETEEEVDILMSSDIYSATLSTKSISFTRAQTGWLFREDKTERVGNFLAD FYLVNGLVLESRKRREHLSEEDILRNKAIMESLSKGGSLAEQSFEPVRRQSLTPPPQNTI TWEEYISAENGKAPHLGRELVCKESKKTFKATVAMSQEFPLGIESLLNVLEVIAPFKHFN KLREFVQMKLPPGFPVKLDIPVFPTITATVTFQEFRCDEFDGSIFAIPEDYKEDPSRFPD L >ENSMUSP00000125831.1 pep:known chromosome:GRCm38:3:157947414:158005910:1 gene:ENSMUSG00000039988.14 transcript:ENSMUST00000164582.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13c description:ankyrin repeat domain 13c [Source:MGI Symbol;Acc:MGI:2139746] MTGEKIRSLRRDHKPSKEDGDVLEPCEEEATAALGGAFTGGRSGPGGSGKGGKACHKIFS HHHRLQLKAPAVPGPGVPAPPQHNAVTATCPAPACLGGSNPALVADGGGCPSLYPVHECV FKGDVRRLSSLIRTHNIGQKDNHGNTPLHLAVMLGNKECAHLLLAHNAPVKVKNAQGWSP LAEAISYGDRQMITALLRKLKQQSRESVGEKRPRLLKALKELGDFYLELHWDFQSWVPLL SRILPSDACKIYKQGINIRLDTTLIDFTDMKCQRGDLSFIFNGDAAPSESFVVLDNEQKV YQRIHHEESEMETEEEVDILMSSDIYSATLSTKSISFTRAQTGWLFREDKTERVGNFLAD FYLVNGLVLESRKRREHLSEEDILRNKAIMESLSKGGSLAEQSFEPVRRQSLTPPPQNTI TWEEYISAENGKAPHLGRELVCKESKKTFKATVAMSQEFPLGIESLLNVLEVIAPFKHFN KLREFVQMKLPPGFPVKLDIPVFPTITATVTFQEFRCDEFDGSIFAIPEDYKEDPSRFPD L >ENSMUSP00000101450.1 pep:known chromosome:GRCm38:4:138250403:138261332:1 gene:ENSMUSG00000045349.15 transcript:ENSMUST00000105824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d5 description:SH2 domain containing 5 [Source:MGI Symbol;Acc:MGI:2446215] MQRAGAGARRASDCGPAPYRPRCIAKLAQYVGSFPVDDLDTQESVGLVQQQLWALQDCSR RRAVILKFSLQGLKIYSGEGEVLLMAHALKRILYATWYPAACQFAFIARNPRSPSSKLFC HLFVGSQPGEVHILYLLLCRSFQLAYLLQHPEERAQSEPCLAPVGDLSLKPLCSPGVPPA LVREPFSRDQLSQNVHALVSFRRLPAEGLLGSNGKELPESEGRGGTRHIRLGNPYCSPTL VRKKAIRSKVIRSGAYRGCTYETQLQLSAREAFPAAWEAWPRGPGGPSCLVENEGSLTEN IWAFAGLSRSCALSLLRRDVHGAFLLWPEPGTSDQWSLSVRTQCGVVPHQVFRNHLGRFC LEHLPAEFPSLEALVESHAGVERSLFCPLSMGRLNPTYEEQDCGTEGRFPRTLRPLSHAK SEAELQGLG >ENSMUSP00000119052.1 pep:known chromosome:GRCm38:4:138250413:138257279:1 gene:ENSMUSG00000045349.15 transcript:ENSMUST00000124239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d5 description:SH2 domain containing 5 [Source:MGI Symbol;Acc:MGI:2446215] MQRAGAGARRASDCGPAPYRPRCIAKLAQYVGSFPVDDLDTQESVGLVQQQLWALQVHIL YLLLCRSFQLAYLLQHPEERAQSEPCLAPVGDLSLKPLCSPGVPPALVREPFSRDQLSQN VHALVSFRRLPAEGLLGSNGKELPESEGRGGTRHIRLGNPYCSPTLVRKKAIRSKVIRSG AYRGCTYETQLQLSA >ENSMUSP00000101449.1 pep:known chromosome:GRCm38:4:138250464:138261332:1 gene:ENSMUSG00000045349.15 transcript:ENSMUST00000105823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d5 description:SH2 domain containing 5 [Source:MGI Symbol;Acc:MGI:2446215] MQRAGAGARRASDCGPAPYRPRCIAKLAQYVGSFPVDDLDTQESVGLVQQQLWALQDCSR RRAVILKFSLQGLKIYSGEGEVLLMAHALKRILYATWYPAACQFAFIARNPRSPSSKLFC HLFVGSQPGEVHILYLLLCRSFQLAYLLQHPEERAQSEPCLAPVGDLSLKPLCSPGVPPA LVREPFSRDQLSQNVHALVSFRRLPAEGLLGSNGKELPESEGRGGTRHIRLGNPYCSPTL VRKKAIRSKVIRSGAYRGCTYETQLQLSAREAFPAAWEAWPRGPGGPSCLVENEGSLTEN IWAFAGLSRSCALSLLRRDVHGAFLLWPEPGTSDQWSLSVRTQCGVVPHQVFRNHLGRFC LEHLPAEFPSLEALVESHAGVERSLFCPLSMGRLNPTYEEQDCGTEGRFPRTLRPLSHAK SEAELQGLG >ENSMUSP00000075435.3 pep:known chromosome:GRCm38:6:132595913:132601236:1 gene:ENSMUSG00000058295.6 transcript:ENSMUST00000076061.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prp2 description:proline rich protein 2 [Source:MGI Symbol;Acc:MGI:1932491] MLVVLFTVALLALSSAQEPREELQNQIQIPNQRPPPSGSQPRPPVNGSQQGPPPPGGPQP RPPQGPPPPGGPQPRPPQGPPPPGGPQPRPPQGPPPPGGPQPRPPQGPPPPGGPQPRPPQ GPPPPGGPQQRPPQGPPPPGGPQQRPPQGPPPPGGPQPRPPQGPPPPAGPQPRPPQGPPP PGPHLRPTQGPPPTGGPQQRYPQSPPPPGGPQPRPPQGPPPPGGPHPRPTQGPPPTGPQP RPTQGPPPTGGPQQRPPQGPPPPGGPQPRPPQGPPPPTGPQPRPTQGPHPTGGPQQTPPL AGNPQGPPQGRPQGPQ >ENSMUSP00000135942.1 pep:known chromosome:GRCm38:6:132595946:132600702:1 gene:ENSMUSG00000058295.6 transcript:ENSMUST00000178961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prp2 description:proline rich protein 2 [Source:MGI Symbol;Acc:MGI:1932491] MLVVLFTVALLALSSAQEPREELQNQIQIPNQRPPPSGSQPRPPVNGSQQGPPPPGGPQP RPPQGPPPPGGPQPRPPQGPPPPGGPQPRPPQGPPPPGGPQPRPPQGPPPPGGPQPRPPQ GPPPPGGPQQRPPQGPPPPGGPQQRPPQGPPPPGGPQPRPPQGPPPPAGPQPRPPQGPPP PGPHLRPTQGPPPTGGPQQRYPQSPPPPGGPQPRPPQGPPPPGGPHPRPTQGPPPTGPQP RPTQGPPPTGGPQQRPPQGPPPPGGPQPRPPQGPPPPTGPQPRPTQGPHPTGGPQQTPPL AGNPQGPPQGRPQGPQ >ENSMUSP00000129837.1 pep:known chromosome:GRCm38:7:29181538:29213984:-1 gene:ENSMUSG00000049676.14 transcript:ENSMUST00000169143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsperg1 description:catsper channel auxiliary subunit gamma 1 [Source:MGI Symbol;Acc:MGI:2443617] MVSRPAMSPVSPVWPRKPNLWAFWVLRLVLLLSLKSWAEDTLQHCTWLLVLNKFEKVGLH LSKDRFQDHEPIDTVAKVFQKLTDSPIDPSENYLSFPYYLQINFSCPGQNSEELARKGHL MGMKPMVRINYMYSVNFYRWEMENLQILMEAAPMRSTGYCPAEAMCILNWYTPMPFKNGS VVSSVDIYTNGIGPFIPKKRFYVNMNGFLKRDASGKSLFAIGYESLVLKSSHFRLSKSRP LWYTVNHAPVFILGGFYDEKAILFSDSNFQDYVLLELSIDSCWVGSFYCPILGFSATIHD AIATESTLFIRQNQLVYYFTGTYSTLFDKSHGSSRWVRVLPSECIKRLCPVYFSGNGSEY VLALTTGKNEGYIHIGTITDGLVSFEMVPDGWSVCEKLPGKNCSIDWATYITDERNLLLL VKIDSGQFYLVNFNTEFKTLNILYKIPEFIPEAKELDFLVLLDTVTYTNTPMTPKGLFFN TLNNMLYIWGNFILQSYNREEFIFLADFPKESTIKYMVNSFKGQMAVVTENEEIWYFLEG GYDVYQVVPSQGWRTYLKLQKMQKSPLYSTNESLVSLFYQDENLFQLVYLFDVGKERLVK RLLPVGTLMEYNLPKPFTVVNQGNYKMITFTNTCPFKAIHAVDVPKKQHASRTESYVALP PLVSESLGFHNNNTLAVYQGLVYYLLWLHSKYDKPYADPVHDPTWRWWQHKTKDKDYFFY LFSNRLAAEGIYINMNAYQKLYNMSGDYGIPDLFFLDKGNWFTFTVVLLSHQDTFTSSDS QGPTINVDKKLSLSLVLADPECLSVTATREFLLNRNTLLTKIKVIDKKRCSEQGMVGRNI KKTSMLIKVLGAPGNCIQRTYLGDHIQGIRLVPIFIGCPPGKRLAFDVSYTIKHSEEINK HYFDCVIKDAEMPCFLFRDLFQPFFLVQDLVTGDSGSFLGSYVLKVVGGGRTLNTIRDYT EEEIFRYNSPLDTTNSLIWKTKVERTTEDKKFYIMSHESPGVEWLCLENSPCYDIIPQSI YPPEFFFKLLVSNRGVDNSTYCDYKLTFIVHIHGLPLSSKRSSFIVMVSTSFFIALVVFY ILFCLVWPHIVKAWVSFRWKIHNMMAPETYSSSSSSGGFTLHSHSSEGSFEGPSRPGTKE DNVQAKRAKVA >ENSMUSP00000127409.1 pep:known chromosome:GRCm38:7:29181585:29210695:-1 gene:ENSMUSG00000049676.14 transcript:ENSMUST00000163782.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Catsperg1 description:catsper channel auxiliary subunit gamma 1 [Source:MGI Symbol;Acc:MGI:2443617] TVAKVFQKLTDSPIDPSENYLSFPYYLQINFSCPGQNSEELARKGHLMGMKPMVRINYMY SVNFYRWEMENLQILMEAAPMRSTEPCSEVESL >ENSMUSP00000131827.1 pep:known chromosome:GRCm38:7:29185451:29214035:-1 gene:ENSMUSG00000049676.14 transcript:ENSMUST00000164653.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Catsperg1 description:catsper channel auxiliary subunit gamma 1 [Source:MGI Symbol;Acc:MGI:2443617] MVSRPAMSPVSPVWPRKPNLWAFWVLRLVLLLSLKSWAEDTLQHCTWLLVLNKFEKVGLH LSKDRFQDHEPIDTVAKVFQKLTDSPIDPSENYLSFPYYLQINFSCPGQLSIDSCWVGSF YCPILGFSATIHDAIATESTLFIRQNQLVYYFTGTYSTLFDKSHGSSRWVRVLPSECIKR LCPVYFSGNGSEYVLALTTGKNEGYIHIGTITGKNCSIDWATYITDERNLLLLVKIDSGQ FYLVNFNTEFKTLNILYKIPEFIPEAKELDFLVLLDTVTYTNTPMTPKGLFFNTLNNMLY IWGNFILQSYNREEFIFLADFPKESTIKYMVNSFKGQMAVVTENEEELQDDHLHQHVPF >ENSMUSP00000067388.3 pep:known chromosome:GRCm38:7:29196750:29211495:-1 gene:ENSMUSG00000049676.14 transcript:ENSMUST00000069861.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsperg1 description:catsper channel auxiliary subunit gamma 1 [Source:MGI Symbol;Acc:MGI:2443617] MVSRPAMSPVSPVWPRKPNLWAFWVLRLVLLLSLKSWAEDTLQHCTWLLVLNKFEKNYLS FPYYLQINFSCPGQLSIDSCWVGSFYCPILGFSATIHDAIATESTLFIRQNQLVYYFTGT YSTLFDKSHGSSRWVRVLPSECIKRLCPVYFSGNGSEYVLALTTGKNEGYIHIGTITDGL VSFEMVPDGWSVCEKLPGTHFFLCVWGIWNRRVVGL >ENSMUSP00000045233.6 pep:known chromosome:GRCm38:7:29181532:29214033:-1 gene:ENSMUSG00000049676.14 transcript:ENSMUST00000047846.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsperg1 description:catsper channel auxiliary subunit gamma 1 [Source:MGI Symbol;Acc:MGI:2443617] MVSRPAMSPVSPVWPRKPNLWAFWVLRLVLLLSLKSWAEDTLQHCTWLLVLNKFEKVGLH LSKDRFQDHEPIDTVAKVFQKLTDSPIDPSENYLSFPYYLQINFSCPGQNSEELARKGHL MGMKPMVRINYMYSVNFYRWEMENLQILMEAAPMRSTGYCPAEAMCILNWYTPMPFKNGS VVSSVDIYTNGIGPFIPKKRFYVNMNGFLKRDASGKSLFAIGYESLVLKSSHFRLSKSRP LWYTVNHAPVFILGGFYDEKAILFSDSNFQDYVLLELSIDSCWVGSFYCPILGFSATIHD AIATESTLFIRQNQLVYYFTGTYSTLFDKSHGSSRWVRVLPSECIKRLCPVYFSGNGSEY VLALTTGKNEGYIHIGTITDGLVSFEMVPDGWSVCEKLPGKNCSIDWATYITDERNLLLL VKIDSGQFYLVNFNTEFKTLNILYKIPEFIPEAKELDFLVLLDTVTYTNTPMTPKGLFFN TLNNMLYIWGNFILQSYNREEFIFLADFPKESTIKYMVNSFKGQMAVVTENEELVYLFDV GKERLVKRLLPVGTLMEYNLPKPFTVVNQGNYKMITFTNTCPFKAIHAVDVPKKQHASRT ESYVALPPLVSESLGFHNNNTLAVYQGLVYYLLWLHSKYDKPYADPVHDPTWRWWQHKTK DKDYFFYLFSNRLAAEGIYINMNAYQKLYNMSGDYGIPDLFFLDKGNWFTFTVVLLSHQD TFTSSDSQGPTINVDKKLSLSLVLADPECLSVTATREFLLNRNTLLTKIKVIDKKRCSEQ GMVGRNIKKTSMLIKVLGAPGNCIQRTYLGDHIQGIRLVPIFIGCPPGKRLAFDVSYTIK HSEEINKHYFDCVIKDAEMPCFLFRDLFQPFFLVQDLVTGDSGSFLGSYVLKVVGGGRTL NTIRDYTEEEIFRYNSPLDTTNSLIWKTKVERTTEDKKFYIMSHESPGVEWLCLENSPCY DIIPQSIYPPEFFFKLLVSNRGVDNSTYCDYKLTFIVHIHGLPLSSKRSSFIVMVSTSFF IALVVFYILFCLVWPHIVKAWVSFRWKIHNMMAPETYSSSSSSGGFTLHSHSSEGSFEGP SRPGTKEDNVQAKRAKVA >ENSMUSP00000113431.1 pep:known chromosome:GRCm38:16:11192970:11203331:-1 gene:ENSMUSG00000005846.11 transcript:ENSMUST00000119953.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsl1d1 description:ribosomal L1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1913659] MKGSASESPSASVAEATTTDVQVTPTALLQLDREQIRKAVEVISNRSKSKKNNNELLLSG SENLFLMVILWKIPEKELRVKVPLPHSILSESSDVCLFTKDEFDSPEQTEGFYKKLLKKH GVNTISQIIPFKTLKTEYKAYEAKLRLLGSFEVFITDARIRRHLPSHIGRHFYQRKKVPV SVNLLAKNLSKEINRCITGTVLNISKRGSCSTIRIGHTGMETEHIVDNILAVSEMLSEKL PEKWQSVKLLFLKTEKSVSLPIFSSFVTSQDENAVSLRSLRKQELKKRKRENAKLKKESK MLRKKSKKATSLLTQSGLASSAPAKSPGAQKKKTNKAHKKQKVTEECEEAIPQLVPIGET PDKENVKMQENITGKTPKSKSDPSTPKGKKRKALLATETPEASAPGTSGKKQKKDVQEFR KPEASSFSTPRKSGKKASNTPRDKKTKAAHSN >ENSMUSP00000002133.8 pep:known chromosome:GRCm38:11:78245746:78255496:1 gene:ENSMUSG00000002064.10 transcript:ENSMUST00000002133.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdf2 description:stromal cell derived factor 2 [Source:MGI Symbol;Acc:MGI:108019] MISSLGSRMAVLSLLLLGGLWSAVGASNMAVVTCGSVVKLLNTRHNVRLHSHDVRYGSGS GQQSVTGVTSVDDSNSYWRIRGKTATVCERGTPIKCGQPIRLTHINTGRNLHSHHFTSPL SGNQEVSAFGEEGEGDYLDDWTVLCNGPYWVRDGEVRFKHSSTDVLLSVTGEQYGRPISG QKEVHGMAQPSQNNYWKAMEGIFMKPSELLRAEVHHAEL >ENSMUSP00000132932.1 pep:known chromosome:GRCm38:7:22437306:22438229:-1 gene:ENSMUSG00000094542.1 transcript:ENSMUST00000165916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r149 description:vomeronasal 1 receptor 149 [Source:MGI Symbol;Acc:MGI:3782370] MSAHGKSVKTTEEVALQLLLLCQFGVGTVANVFLFVRNFSPVLTGSKQRPRQVILSHMAV ANALTRFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVARSTNLCSTCVLSFHQFVTPVP LNRGKGKLVLRASVTNMACYSSYSCWFFSVLSNIHIPIKVSGPQITNNNTDSNRKLFCST SGFSVGIVLLQFAYDATFMSIMVWASVSMVLLLHRHCQRMQHILTPNQDARGQAESRATR TILMLVVTFVSFYLLNFICIMLHALFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000142021.1 pep:known chromosome:GRCm38:2:32377097:32381862:-1 gene:ENSMUSG00000039195.4 transcript:ENSMUST00000146423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110008P14Rik description:RIKEN cDNA 1110008P14 gene [Source:MGI Symbol;Acc:MGI:1920987] MSGPNGDLGMPVDAGTEGENDSFGEAGDLGVCCHQLHVGSDQLLSGPPGGEE >ENSMUSP00000038970.4 pep:known chromosome:GRCm38:2:32379101:32381938:-1 gene:ENSMUSG00000039195.4 transcript:ENSMUST00000048792.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110008P14Rik description:RIKEN cDNA 1110008P14 gene [Source:MGI Symbol;Acc:MGI:1920987] MSGPNGDLGMPVDAGTEGENDSFGEAEYAAINSMLDQINSCLDHLEEKNDHLHARLQELL ESNRQTRLEFQQQLGEAPGDASP >ENSMUSP00000069167.2 pep:known chromosome:GRCm38:5:120589016:120607114:1 gene:ENSMUSG00000029601.13 transcript:ENSMUST00000069259.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcd description:IQ motif containing D [Source:MGI Symbol;Acc:MGI:1922982] MALDQVSIPPSYHGLAIQRIPLRTGLVPAEPMKTLVPSKSKLNTIEAKRIMSVLDEAIHK IELITLMSYMESHPEALEDTLPEDFVRALREHLDIGQTLVERASILQRRHKKLEEEEEAE ETRNQERLLSLELHKVNLLTLAHQFRDSTKTVLRLVLGEPQFTRLLQVQAPGRSPGAQCL LDGLVELRGFLFEKLLTSPMEVREKNQFIQDISRRSERNQEVIDDLQAELANVLKNKESE VEKENFVIQELKNHLHQVFKFSENSLLRTKQEAEKQQKVDFRASQKKYKVETEIENWIQK YDMEMGEKQDEYEDLESIHKEEKLQLEELRERHAVLVEEFSQIRAESEINSKKRVEAERE MVRMVRAATLIQAVWKGYLVRSILRSKKKKRGKGKGKDKGKGKEKPKEEKAKEKKPKAKG KGKKK >ENSMUSP00000091955.4 pep:known chromosome:GRCm38:5:120589023:120607118:1 gene:ENSMUSG00000029601.13 transcript:ENSMUST00000094391.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcd description:IQ motif containing D [Source:MGI Symbol;Acc:MGI:1922982] MALDQVSIPPSYHGLAIQRIPLRTGLVPAEPMKTLVPSKSKLNTIEAKRIMSVLDEAIHK IELITLMSYMESHPEALEDTLPEDFVRALREHLDIGQTLVERASILQRRHKKLEEEEEAE ETRNQERLLSLELHKVNLLTLAHQFRDSTKTVLRLVLGEPQFTRLLQVQAPGRSPGAQCL LDGLVELRGFLFEKLLTSPMEVREKNQFIQDISRRSERNQEVIDDLQAELANVLKNKESE VEKENFVIQELKNHLHQVFKFSENSLLRTKQEAEKQQKVDFRASQVRLAKTQQDILALRA QYHNLVMENREAEQALRKKKYKVETEIENWIQKYDMEMGEKQDEYEDLESIHKEEKLQLE ELRERHAVLVEEFSQIRAESEINSKKRVEAEREMVRMVRAATLIQAVWKGYLVRSILRSK KKKRGKGKGKDKGKGKEKPKEEKAKEKKPKAKGKGKKK >ENSMUSP00000113457.1 pep:known chromosome:GRCm38:19:56787481:56822190:-1 gene:ENSMUSG00000035173.14 transcript:ENSMUST00000118592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc186 description:coiled-coil domain containing 186 [Source:MGI Symbol;Acc:MGI:2445022] MKIRSRFEEMQSELVPVSMSETEHIASISSDATTEKTSELRDDSCISVSGDESSRLETGA ELLSLDSDRILCQTNEHCSQIEVQESHIPDCGSGENSCANTDTCPEDSGQIDDFPGGDFT EQVSKTKEPEQTVTQILAELKSSAPAEAANPKTASASLYDTDCTRKLISEMKTVSASDDL LGEIESELLSAEFAEGHQVPNGLNKGEQALALFEKCVHSRYLQQELTVKQLIKENKNHQE LILNICSEKDSLREELRKRTETEKQHMNTIKQLELRIEELNKEIKASKDQLVAQDVTAKN AIQQIHKEMAQRMDQANKKCEEARQEKEAMVMKYVRGEKEALDLRKEKETLERKLRDASK ELEKNTNKIKQLSQEKGRLQQLYESKEGETTRLIREIEKLKEEMNSQVIKVKWAQNKLKA EMDSHKETKDKLKETTTKLTQAKEEAEQIRQNCQDMIKTYQESEEIKSNELDAKLRVTKG ELEKQMQEKSDQLEMHHAKIKELEDLKRTFKEGMDELRTLRTKAKCLEDERLRTEDELSK YREIINRQKSEIQNLLDKVKITDQLHEQLQSGKQEIEHLKEEMESLNSLINDLQKDIEGS RKRESELLLFTEKLTSKNAQLQSESSALQSQVDNLSCTESQLQSQCQQMGQANRNLESKL LKEEELRKEEVQTLQAELSAAQTEVKALSTQVEELKDELVTQRRKHASNVKDLSKQLQQA RRKLEQTENGNHDKDISSMGSRSSSSGSLNARISAEDRSPENTSSSVAVDNFPEVDKAML IERIVRLQKAHARKNEKIEFMEDHIKQLVEEIRKKTKIIQSYVLREESGTLSSEASDFNK VHLSRRGGIMASLYTSHPADSGLTLELSLEINRKLQAVLEDTLLKNITLKENLQTLGTEI ERLIKHQHELEQRTKKA >ENSMUSP00000122539.1 pep:known chromosome:GRCm38:19:56790531:56795155:-1 gene:ENSMUSG00000035173.14 transcript:ENSMUST00000135666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc186 description:coiled-coil domain containing 186 [Source:MGI Symbol;Acc:MGI:2445022] XQTENGNHDKDISSMGSRSSSSGSLNARISAEDRSPENTSSSVAVDNFPEVDKAMLIERI VRLQKAHARKNEKIEFMEDHIKQLVEEIRKKTKIIQSYVLREESGTLSSEASDFNKVHLS RRGGIMASLYTSHPADSGLTLELSLEINRKLQAVLEDTLLKNITLKENLQTLGTEIERLI KHQHELEQRTKKA >ENSMUSP00000075454.3 pep:known chromosome:GRCm38:19:56790963:56813683:-1 gene:ENSMUSG00000035173.14 transcript:ENSMUST00000076085.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc186 description:coiled-coil domain containing 186 [Source:MGI Symbol;Acc:MGI:2445022] MKIRSRFEEMQSELVPVSMSETEHIASISSDATTEKTSELRDDSCISVSGDESSRLETGA ELLSLDSDRILCQTNEHCSQIEVQESHIPDCGSGENSCANTDTCPEDSGQIDDFPGGDFT EQVSKTKEPEQTVTQILAELKSSAPAEAANPKTASASLYDTDCTRKLISEMKTVSASDDL LGEIESELLSAEFAEGHQVPNGLNKGEQALALFEKCVHSRYLQQELTVKQLIKENKNHQE LILNICSEKDSLREELRKRTETEKQHMNTIKQLELRIEELNKEIKASKDQLVAQDVTAKN AIQQIHKEMAQRMDQANKKCEEARQEKEAMVMKYVRGEKEALDLRKEKETLERKLRDASK ELEKNTNKIKQLSQEKGRLQQLYESKEGETTRLIREIEKLKEEMNSQVIKVKWAQNKLKA EMDSHKETKDKLKETTTKLTQAKEEAEQIRQNCQDMIKTYQESEEIKSNELDAKLRVTKG ELEKQMQEKSDQLEMHHAKIKELEDLKRTFKEGMDELRTLRTKAKCLEDERLRTEDELSK YREIINRQKSEIQNLLDKVKITDQLHEQLQSGKQEIEHLKEEMESLNSLINDLQKDIEGS RKRESELLLFTEKLTSKNAQLQSESSALQSQVDNLSCTESQLQSQCQQMGQANRNLESKL LKEEELRKEEVQTLQAELSAAQTEVKALSTQVEELKDELVTQRRKHASNVKDLSKQLQQA RRKLEQTENGNHDKDISSMGSRSSSSGSLNARISAEDRSPENTSSSVAVDNFPEVDKAML IERIVRLQKAHARKNEKIEFMEDHIKQLVEEIRKKTKIIQSYVLREESGTLSSEASDFNK VHLSRRGGIMASLYTSHPADSGLTLELSLEINRKLQAVLEDTLLKNITLKENLQTLGTEI ERLIKHQHELEQRTKKA >ENSMUSP00000138523.1 pep:known chromosome:GRCm38:15:100641077:100669553:-1 gene:ENSMUSG00000098112.7 transcript:ENSMUST00000183211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bin2 description:bridging integrator 2 [Source:MGI Symbol;Acc:MGI:3611448] MAEGKAGGAAGLFAKQMQKKFSRAQEKVLQKLGKTVETKDERFEQSASNFYQQQAEGHKL YKDLKNFLSAVKVMHESSKRVSETLQEVYSSDWDGHEDLKAIVGNNDLLWEDYEEKLADQ ALRTMENYVSQFSEIKERIAKRGRKLVDYDSARHHLEAVQNAKKKDDAKMAKAEEDFSKA QIVFEDLNQELLEELPILYNSRIGCYVTVFQNISNLRDVFYREMSKLNHNLYEVMSKLEK QHSNKVFVVKGLSSSSRRSLVISPPVQSCAASSPVSPVSPVSPVTSPTSPSATSEPESVS ATGEELTSEAGGEDSCESQESLKDEEADEAQSETSSSEEEDEEDEEDEEDEEEPLPACNG PTPAPASPAAEVGSQEEALSSSAQSPGRGQTGKDTPSPGDVVLRARASSEGAEQSKRAAS IQRTSAPPSRPPPPRASGSGSCNAPGSPEGSSQLCSPRASPDASSNPEPAETREKEGAGS SGPEEPRAVSTKSATQVFTSENTEL >ENSMUSP00000138158.1 pep:known chromosome:GRCm38:15:100641083:100669505:-1 gene:ENSMUSG00000098112.7 transcript:ENSMUST00000182814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bin2 description:bridging integrator 2 [Source:MGI Symbol;Acc:MGI:3611448] MAEGKAGGAAGLFAKQMQKKFSRAQEKAEGHKLYKDLKNFLSAVKVMHESSKRVSETLQE VYSSDWDGHEDLKAIVGNNDLLWEDYEEKLADQALRTMENYVSQFSEIKERIAKRGRKLV DYDSARHHLEAVQNAKKKDDAKMAKAEEDFSKAQIVFEDLNQELLEELPILYNSRIGCYV TVFQNISNLRDVFYREMSKLNHNLYEVMSKLEKQHSNKVFVVKGLSSSSRRSLVISPPVQ SCAASSPVSPVSPVSPVTSPTSPSATSEPESVSATGEELTSEAGGEDSCESQESLKDEEA DEAQSETSSSEEEDEEDEEDEEDEEEPLPACNGPTPAPASPAAEVGSQEEALSSSAQSPG RGQTGKDTPSPGDVVLRARASSEGAEQSKRAASIQRTSAPPSRPPPPRASGSGSCNAPGS PEGSSQLCSPRASPDASSNPEPAETREKEGAGSSGPEEPRAVSTKSATQVFTSENTEL >ENSMUSP00000138673.1 pep:known chromosome:GRCm38:15:100641083:100669527:-1 gene:ENSMUSG00000098112.7 transcript:ENSMUST00000182775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bin2 description:bridging integrator 2 [Source:MGI Symbol;Acc:MGI:3611448] MAEGKAGGAAGLFAKQMQKKFSRAQEKVLQKLGKTVETKDERFEQSASNFYQQQAEGHKL YKDLKNFLSAVKVMHESSKRVSETLQEVYSSDWDGHEDLKAIVGERIAKRGRKLVDYDSA RHHLEAVQNAKKKDDAKMAKAEEDFSKAQIVFEDLNQELLEELPILYNSRIGCYVTVFQN ISNLRDVFYREMSKLNHNLYEVMSKLEKQHSNKVFVVKGLSSSSRRSLVISPPVQSCAAS SPVSPVSPVSPVTSPTSPSATSEPESVSATGEELTSEAGGEDSCESQESLKDEEADEAQS ETSSSEEEDEEDEEDEEDEEEPLPACNGPTPAPASPAAEVGSQEEALSSSAQSPGRGQTG KDTPSPGDVVLRARASSEGAEQSKRAASIQRTSAPPSRPPPPRASGSGSCNAPGSPEGSS QLCSPRASPDASSNPEPAETREKEGAGSSGPEEPRAVSTKSATQVFTSENTEL >ENSMUSP00000138316.1 pep:known chromosome:GRCm38:15:100645305:100669505:-1 gene:ENSMUSG00000098112.7 transcript:ENSMUST00000182068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bin2 description:bridging integrator 2 [Source:MGI Symbol;Acc:MGI:3611448] MAEGKAGGAAGLFAKQMQKKFSRAQEKVLQKLGKTVETKDERFEQSASNFYQQQLNHNLY EVMSKLEKQHSNKVFVVKGLSSSSRRSLVISPPVQSCAASSPVSPVSPVSPVTSPTSPSA TSEPESVSATGEELTSEAGGEDSCESQESLKDEEADEAQS >ENSMUSP00000138102.1 pep:known chromosome:GRCm38:15:100645401:100669525:-1 gene:ENSMUSG00000098112.7 transcript:ENSMUST00000182574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bin2 description:bridging integrator 2 [Source:MGI Symbol;Acc:MGI:3611448] MAEGKAGGAAGLFAKQMQKKFSRAQEKVLQKLGKTVETKDERFEQSASNFYQQQAEGHKL YKDLKNFLSAVKVMHESSKRVSETLQEVYSSDWDGHEDLKAIVGNNDLLWEDYEEKLADQ ALRTMENYVSQFSEIKLNHNLYEVMSKLEKQHSNKVFVVKGLSSSSRRSLVISPPVQSCA ASSPVSPVSPVSPVTSPTSPSATSEPESVS >ENSMUSP00000118366.1 pep:known chromosome:GRCm38:3:36863156:36948152:1 gene:ENSMUSG00000037270.17 transcript:ENSMUST00000152881.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4932438A13Rik description:RIKEN cDNA 4932438A13 gene [Source:MGI Symbol;Acc:MGI:2444631] MDQRKNDSIVPSITQLEDFLTEHNSNVVWLLVATILSCGWIIYLTYYNSRNVGLILTLVL NRLYKHGYIHIGSFSFSVLSGKVMVREIYYITEDMSIRIQDGFIIFRWWKMYNPKQKQHD PKAETRLYITVNDFEFHVYNRSDLYGRLQELFGLEPTIIPPKKDDDKTRENGRTRTQSKI ERVKVKTESQDPTSSWRSLIPVIKVNVSTGRLAFGNHYQPQTLCINFDDAFLTYTTKPPS SHLDQFMHIVKGKLENVRVMLVPSPRYVGLQNDEPPRLMGEGFVVLQSNDVDLYYYMDEP GMSSLKVVQEWLCFFPEGLLPTHWKSSES >ENSMUSP00000117808.1 pep:known chromosome:GRCm38:3:36869998:37053033:1 gene:ENSMUSG00000037270.17 transcript:ENSMUST00000152564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932438A13Rik description:RIKEN cDNA 4932438A13 gene [Source:MGI Symbol;Acc:MGI:2444631] MDQRKNDSIVPSITQLEDFLTEHNSNVVWLLVATILSCGWIIYLTYYNSRNVGLILTLVL NRLYKHGYIHIGSFSFSVLSGKVMVREIYYITEDMSIRIQDGFIIFRWWKMYNPKQKQHD PKAETRLYITVNDFEFHVYNRSDLYGRLQELFGLEPTIIPPKKDDDKTRENGRTRTQSKI ERVKVKTESQDPTSSWRSLIPVIKVNVSTGRLAFGNHYQPQTLCINFDDAFLTYTTKPPS SHLDQFMHIVKGKLENVRVMLVPSPRYVGLQNDEPPRLMGEGFVVLQSNDVDLYYYMDEP GLVPEETEESTEGDISSEDCKLQDLPPCWGLDIVCGKGTDFNYGPWADRQRDCLWKFFFP PDYQVLKVSEIAQPGRPRQILAFELRMNIITDATIDLLFTKNRETNAVHVNVGAGSYLEI NIPMTVDENGYTPAIKGQLLHVDATTSMQYRTLLEAEMLAFHINASYPRIWNMPQTWQCE LEVYKATYHFIFAQKNFFTDLIQDWSSDNAPDIFSFVPYTWNFKIMFHQFEMIWAANQHN WIDCSTKQQENVYLAACGETLNIDFSLPFTDFVPATCNTRFSLRGEDVDLHLFLPDCHPS KYSLFMLVKNCHPNKMVPETGIPAECQSGQKTVKPKWRNVTQEKAGWVECWTVPSVMLTI DYTWHPIYPQKADEQLKQSLSEMEETMLSVLRPAQKTSERVVSSPSMSPRPPVDPSELPP DKLHVEMELSPDSQITLYGPLLNAFLCIKENYFGEDDMYMDFEEVISSPVLSLSTSSSSG WTAVGMDNDKRENESSAKSIHPLALRPWDITVLVNLYKVHGRLPVHGTTDGPECPTAFLE RLCFEMKKGFRETMLQLVLSPLNVFVSDNYQQRPPVDEVLREGHINLSGLQLRAHAMFSA EGLPLGSDSLEYAWLIDVQAGSLTAKVTAPQLACLLEWGQTFVFHVVCREYELERPKSVI VCQHGIDRRFCESKLSCIPGPCPTSDDLKYTMTRLAIDGSDIYIVEHGCATNIKMGAVRI ANCNLHNQSVGEGISAAIQDFQVRQYIEQLNNCRIGLQPAVLRRAYWLEAGSANLGLITV DIALAADHHSKHEAQRHFLETHDARTKRLWFLWPDDTLKNKRCRNKCGCLGGCRFFGGTV TGLDFFKLEELTPSSSSAFSSTSAESDMYYGQSLLQPGEWIITKEIPKTVDGNVNSMKRK EWENKSVGIEGERKTQHLSLQVPLRSHSSSSSSEENSSSSAAQPLLAGEKESPSSAADDH SVQKDLLHSARRDDGQASVPTEISGTSPVSPNTQDKSVGQSPLRSPLKRQASVCSTRLGS TKSLTAAFYGDKQPVTVGVQFSSDVSRSDENVLDSPKQRRSFGSFPYTPSADSNSFHQYR SMDSSMSMADSEAYFSAAEEFEPISSDEGPGTYPGRKKKKKQMQQIDYSRGSIYHSVEGP LAVHGEGITDPRTLPFKTHPSQASFVSALGGEDEVIEHVYIVEGEKRGESEQVTSQQPVM SCYHTYLTQFQVINWSVKHPTNKRTSKSSLHRPLDLDTPTSEESSSSFEQLCVPTFKVIK QGLTANSLLDRGMQLSGSTSNTPYTPLDKKIVDTTDDETLTEEWTLDQPVAQTKTTAIVE VKGTVDVVLTPLVAEALDRYIEAMVHRVSTRHPAAIVDDLHTKVLREAVQNSKTTFSENL SPKQDIRGTKTEHPMIGTTNQGQIQTNVTTKQDNVTIKGLQANVSIPKVNLCLLQASVEE SPATVPSRSVTHVSLVALCFDRIATQVRMNRGVVEETANNVDAGKTSNFDRYVHASKMQP QSSGSLRSNAGAEKGKEIAAKLNIHRVHGQLRGLDTTDIGTCAITAIPFEKSKVLFTLEE LDEFTFVDETDQQAIPDVTRIGPSQEKWGWIMFECGLENLTIKGGRQSGAVLYNSFGIMG KNSVTERGGVLTSNNSSDSPTGSGYNTDVSDDNLPCDRTSPSSDINGNSVSDEQDEGVES DDLKKDLPLMPPPPDSCSMKLTIKEIWFSFAAPTNVRSPAHAFSRQLNLLSTATPAVGAW LVPIDQLKSSLNKLETEGTLRICAVMGCIMTEALENKSVHFPLRSKYNRLTKVARFLQEN PSCLLCNILHHYLHQANYSIIDDATMSDGLPALVTLKKGLVALARQWMKFIVVTPAFKGV SLHRPAQPLKPPATVDQEHEEGLGLDNGGGLQSDTSADGAEFEFDAATVSEHTMLLEGTA NRPPPGSSGPVTGAEIMRKLSKTHTHSDSALKIKGIHPYHSLSYTSGDTATDSPVHVGRA GMPVKESPRKESLLSYLTGSFPSLHNLLEGTPQRSSAAVKSSSLTRTGNTVATDMLSEHP LLSEPSSVSFYNWMSNAVGNRGSVVQESPVTKSGHNSLPTGVAPNLPTIPSASDFNTVLS SDQNTLDGTHSQHSTSQDDVAGVEEANQGFPAVQLADAQVVFKPLLSHTGIQSQDTMPLC YRMYFGEHLSFSGTLDCLRADIVDSDTAKDRKGKRARRQGHVNLPPLEFKPALMLETFSI SAVVMEKSVCTPQNSTSALSFHDLNKRYYNTFHCNFTISCQSISQHVDMALVRLIHQFST MIDDIKATQTDIKLSRYTAGSASPTPTFKTRKHRDFRSSDFSRSSRGSLNGGNRVNNAKN KRANNENNKKESRNKNSLGRSERRTSKVSRKGSKDVVDHMTIHMDDSDSITVSEQSEPSA ECWQNMYKLLNFYSLISDPTGILEKSSETFGPAGVRSPTEPTCRVVFENEQDNNSLTKTQ RKRSLVTSEPQHVTLIVFGIGMVNRTHLEADIGGLTMESELKRIHGSFTLKEKMKDVLHQ KMTETCATAHIGGVNIVLLEGITPNIQLEDFPTSPTSTAKQEFLTVVKCSIAKSQALYSA QRGLKTNNAAVFKVGAISINIPQHPATLHSMMVRSSHQLSKQISDLIRQPSTAPQPMKED IATPLPSEKTPTSVNQTPIETNEFPQLPEGLEKKPIVLKFSAMLDGIAIGAALLPSLKAE YKMGRMRSHGMTGAQTRFTFELPNHRLRFTSKVSATDMSTIPPSASLNLPPVTMSGKYIM EEHDSYSDQVWSIDELPSKQGYYLQGNYLRCVAEVGSFEHNLTTDLLNHLVFVQKVFMKE VNEVIQKVSGGEQPIPLWNEHDGTTDGDKPKILLYSLNLQFKGIQVTATTPSMRAVRFET GLIELELSNRLQTKASPGSSSYLKLFGKCQVDLNLALGQIVKHQVYEEAGSDFHQVAYFK TRIGLRNALREEISGSSDREAVLITLNRPIVYAQPVAFDRAVLFWLNYKAAYDNWNEQRM ALHKDIHMATKEVVDMLPGIQQTSAQAFGTLFLQLTVNDLGICLPITNTAQSNHTGDLDT GSALVLTIESTLITACSSESLVSKGHFKNFCIRFADGFETSWDDWKPEIRGDLVMNACVV PDGTYEVCSRTTGQAAAESSSAGTWTLNVLWKMCGIDVHMDPNIGKRLNALGNTLTTLTG EEDIDDIADLNSVNIADLSDEDEVDTMSPTIHTEAVDYRRQGTSSSQPGELRGRKIMKRL VDIRELNEQAKVIDDLKKLGASEGTINQEIQRYQQLESVAVNDIRRDVRKKLRRSSMRAA SLKDKWGLGYKPSYSRSKSISASGRPPLKRMERASSRIGETDELPEIRVDAASPGPRVTF NIQDTFPEETELDLLSVTIEGPSHYSSNSEGSCSVFSSPKTTGGFSPSVPFQSEDGRRDD SLSSTSEDSEKDEKDEDRERERFYIYRKPSHTSRKKATGFAAVHQLLTERWPTTPVNRSL SGTATERNIDFELDIRVEIDSGKCVLHPTTLLQEHDDISLRRSYDRSSRSLDQDSPSKKK KFQTNYASTTHLMTGKKVPSSLQTKPSDLETTVFYIPGVDVKLHYNSKTLKTESPNASRG SSLPRTLSKESKLYGMKDSAASPSPSPLPCTVQSKTNTLLPPQPPPIPSAKGKGSGGVKT AKLYAWVALQSLPEEMVISPCLLDFLEKALETIPITPIERNYTAVSSQDEDMGHFDIPDP MEESTTSLVSSSTSAYSSFPVDVVVYVRVQPSQIKFSCLPVSRVECMLKLPSLDLVFSSN RGELETLGTTYPAETVSPGSNAPQTGAKTSASKAGMPGSSGLGSPLGRSRHSSSQSDLTG SSSSSSGLSFTACMSDFSLYVFHPYGAGKQKSTVSGLTSGSGGLGNVDEEPTSVTGRKDS LSINLEFVKVSLSRIRRSGGASFFESQSVSKSTSKMDTTLINISAVCDIGSASFKYDMRR LSEILAFPRAWYRRSIARRLFLGDQTVNLPTSGPGTPDSIEGVSQHLSPESSRKAYCRTW DQPSQSASFTHMPQSPNVFNEHMTNNTMSPGTAAQSLKSPASIRSRSVSDSSVPRRDSIS KTSTPVNKSNKAASQQGTPWETLVVFAINLKQLNVQMNMSNVMGNTTWTTSGLKSQGRLS VGSNRDREISMSVGLGRSQLDSKGGVVGGTIDVNALEMVAHISEHPNQQPNHKIQITMGS TESRVDYMGSSILMGIFSNADLKLQDEWKVNLYNALDSSMTDKSEIFVHGDLKWDIFQVM ISRSTTPDLIKIGMKLQEFFTQQFDTSKRALSTWGPVPYLPPKTMTNNLEKNSQEQLLDA AHHRHWPGVLKVVSGCHISLFQVPLPEDGMQFGGSMSLHGNHMTLACFHGPNFRSKSWAL FHLEEPNIAFWTEAQKIWEDGSSDHSTYIVQTLDFHLGHNTMVTKPCGALESPMATITKI TRRRHENPPHGVASVKEWFNYVTATRNEELNLLRNVDANNTENSTTVKNSSLLSGFRGGS SYNHETETIFALPRMQLEFKSIHVQEPQEPSLQDASLKPKVECSVVTEFTDHICVTMDAE LIMFLHDLVSAYLKEKEKAIFPPRILSTRPGQKCPLIIHDDSSSDRDREDSITYTTVDWR DFMCNTWHLEPTLRLISWTGRKIDPVGVDYILQKLGFHHARTTIPKWLQRGVMDPLDKVL SVLIKKLGTALQDEKEKKGKDKEEH >ENSMUSP00000116345.1 pep:known chromosome:GRCm38:3:36895373:36948154:1 gene:ENSMUSG00000037270.17 transcript:ENSMUST00000128061.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4932438A13Rik description:RIKEN cDNA 4932438A13 gene [Source:MGI Symbol;Acc:MGI:2444631] XITDATIDLLFTKNRETNAVHVNVGAGSYLEINIPMTVDENGYTPAIKGQLLHVDATTSM QYRTLLEAEMLAFHINASYPRIWNMPQTWQCELEVYKATYHFIFAQKNFFTDLIQDWSSD NAPDIFSFVPYTWNFKIMFHQFEMIWAANQHNWIDCSTKQQENVYLAACGETLNIDFSLP FTDFVPATCNTRFSLRGEDVDLHLFLPDCHPRLVGLNAGLSQVSCSPLIIPGIQFIHKKQ MNS >ENSMUSP00000121356.1 pep:known chromosome:GRCm38:3:37007186:37053015:1 gene:ENSMUSG00000037270.17 transcript:ENSMUST00000146948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932438A13Rik description:RIKEN cDNA 4932438A13 gene [Source:MGI Symbol;Acc:MGI:2444631] XTAQSNHTGDLDTGSALVLTIESTLITACSSESLVSKGHFKNFCIRFADGFETSWDDWKP EIRGDLVMNACVVPDGTYEVCSRTTGQAAAESSSAGTWTLNVLWKMCGIDVHMDPNIGKR LNALGNTLTTLTGEEDIDDIADLNSVNIADLSDEDEVDTMSPTIHTSSDGSSVSGDGHKL TIGQRLVNHLLGLAPPNQRYSVPAEYLCDSEMRGSPQSSQSHLKACRAHSWGNEAVDYRR QGTSSSQPGELRGRKIMKRLVDIRELNEQAKVIDDLKKLGASEGTINQEIQRYQQLESVA VNDIRRDVRKKLRRSSMRAASLKDKWGLGYKPSYSRSKSISASGRPPLKRMERASSRIGE TDELPEIRVDAASPGPRVTFNIQDTLKNTVWGSSPQSRSPGEGYFQFPEETELDLLSVTI EGPSHYSSNSEGSCSVFSSPKTTGGFSPSVPFQSEDGRRDDSLSSTSEDSEKDEKDEDRE RERFYIYRKPSHTSRKKATGFAAVHQLLTERWPTTPVNRSLSGTATERNIDFELDIRVEI DSGKCVLHPTTLLQEHDDISLRRSYDRSSRSLDQDSPSKKKKFQTNYASTTHLMTGKKVP SSLQTKPSDLETTVFYIPGVDVKLHYNSKTLKTESPNASRGSSLPRTLSKESKLYAKGKG SGGVKTAKLYAWVALQSLPEEMVISPCLLDFLEKALETIPITPIERNYTAVSSQDEDMGH FDIPDPMEESTTSLVSSSTSAYSSFPVDVVVYVRVQPSQIKFSCLPVSRVECMLKLPSLD LVFSSNRGELETLGTTYPAETVSPGSNAPQTGAKTSASKAGMPGSSGLGSPLGRSRHSSS QSDLTGSSSSSSGLSFTACMSDFSLYVFHPYGAGKQKSTVSGLTSGSGGLGNVDEEPTSV TGRKDSLSINLEFVKVSLSRIRRSGGASFFESQSVSKSTSKMDTTLINISAVCDIGSASF KYDMRRLSEILAFPRAWYRRSIARRLFLGDQTVNLPTSGPGTPDSIEGVSQHLSPESSRK AYCRTWDQPSQSASFTHMPQSPNVFNEHMTNNTMSPGTAAQSLKSPASIRSRSVSDSSVP RRDSISKTSTPVNKSNKAASQQGTPWETLVVFAINLKQLNVQMNMSNVMGNTTWTTSGLK SQGRLSVGSNRDREISMSVGLGRSQLDSKGGVVGGTIDVNALEMVAHISEHPNQQPNHKI QITMGSTESRVDYMGSSILMGIFSNADLKLQDEWKVNLYNALDSSMTDKSEIFVHGDLKW DIFQVMISRSTTPDLIKIGMKLQEFFTQQFDTSKRALSTWGPVPYLPPKTMTNNLEKNSQ EQLLDAAHHRHWPGVLKVVSGCHISLFQVPLPEDGMQFGGSMSLHGNHMTLACFHGPNFR SKSWALFHLEEPNIAFWTEAQKIWEDGSSDHSTYIVQTLDFHLGHNTMVTKPCGALESPM ATITKITRRRHENPPHGVASVKEWFNYVTATRNEELNLLRNVDANNTENSTTVKNSSLLS GFRGGSSYNHETETIFALPRMQLEFKSIHVQEPQEPSLQDASLKPKVECSVVTEFTDHIC VTMDAELIMFLHDLVSAYLKEKEKAIFPPRILSTRPGQKCPLIIHDDSSSDRDREDSITY TTVDWRDFMCNTWHLEPTLRLISWTGRKIDPVGVDYILQKLGFHHARTTIPKWLQRGVMD PLDKVLSVLIKKLGTALQDEKEKKGKDKEEH >ENSMUSP00000117814.1 pep:known chromosome:GRCm38:3:37011577:37053016:1 gene:ENSMUSG00000037270.17 transcript:ENSMUST00000141740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932438A13Rik description:RIKEN cDNA 4932438A13 gene [Source:MGI Symbol;Acc:MGI:2444631] XAGTWTLNVLWKMCGIDVHMDPNIGKRLNALGNTLTTLTGEEDIDDIADLNSVNIADLSD EDEVDTMSPTIHTEAVDYRRQGTSSSQPGELRGRKIMKRLVDIRELNEQAKVIDDLKKLG ASEGTINQEIQRYQQLESVAVNDIRRDVRKKLRRSSMRAASLKDKWGLGYKPSYSRSKSI SASGRPPLKRMERASSRIGETDELPEIRVDAASPGPRVTFNIQDTLKNTVWGSSPQSRSP GEGYFQFPEETELDLLSVTIEGPSHYSSNSEGSCSVFSSPKTTGGFSPSVPFQSEDGRRD DSLSSTSEDSEKDEKDEDRERERFYIYRKPSHTSRKKATGFAAVHQLLTERWPTTPVNRS LSGTATERNIDFELDIRVEIDSGKCVLHPTTLLQEHDDISLRRSYDRSSRSLDQDSPSKK KKFQTNYASTTHLMTGKKVPSSLQTKPSDLETTVFYIPGVDVKLHYNSKTLKTESPNASR GSSLPRTLSKESKLYGMKDSAASPSPSPLPCTVQSKTNTLLPPQPPPIPSAKGKGSGGVK TAKLYAWVALQSLPEEMVISPCLLDFLEKALETIPITPIERNYTAVSSQDEDMGHFDIPD PMEESTTSLVSSSTSAYSSFPVDVVVYVRVQPSQIKFSCLPVSRVECMLKLPSLDLVFSS NRGELETLGTTYPAETVSPGSNAPQTGAKTSASKAGMPGSSGLGSPLGRSRHSSSQSDLT GSSSSSSGLSFTACMSDFSLYVFHPYGAGKQKSTVSGLTSGSGGLGNVDEEPTSVTGRKD SLSINLEFVKVSLSRIRRSGGASFFESQSVSKSTSKMDTTLINISAVCDIGSASFKYDMR RLSEILAFPRAWYRRSIARRLFLGDQTVNLPTSGPGTPDSIEGVSQHLSPESSRKAYCRT WDQPSQSASFTHMPQSPNVFNEHMTNNTMSPGTAAQSLKSPASIRSRSVSDSSVPRRDSI SKTSTPVNKSNKAASQQGTPWETLVVFAINLKQLNVQMNMSNVMGNTTWTTSGLKSQGRL SVGSNRDREISMSVGLGRSQLDSKGGVVGGTIDVNALEMVAHISEHPNQQPNHKIQITMG STESRVDYMGSSILMGIFSNADLKLQDEWKVNLYNALDSSMTDKSEIFVHGDLKWDIFQV MISRSTTPDLIKIGMKLQEFFTQQFDTSKRALSTWGPVPYLPPKTMTNNLEKNSQEQLLD AAHHRHWPGVLKVVSGCHISLFQVPLPEDGMQFGGSMSLHGNHMTLACFHGPNFRSKSWA LFHLEEPNIAFWTEAQKIWEDGSSDHSTYIVQTLDFHLGHNTMVTKPCGALESPMATITK ITRRRHENPPHGVASVKEWFNYVTATRNEELNLLRNVDANNTENSTTVKNSSLLSGFRGG SSYNHETETIFALPRMQLEFKSIHVQEPQEPSLQDASLKPKVECSVVTEFTDHICVTMDA ELIMFLHDLVSAYLKEKEKAIFPPRILSTRPGQKCPLIIHDDSSSDRDREDSITYTTVDW RDFMCNTWHLEPTLRLISWTGRKIDPVGVDYILQKLGFHHARTTIPKWLQRGVMDPLDKV LSVLIKKLGTALQDEKEKKGKDKEEH >ENSMUSP00000118092.1 pep:known chromosome:GRCm38:3:37011708:37053014:1 gene:ENSMUSG00000037270.17 transcript:ENSMUST00000138950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932438A13Rik description:RIKEN cDNA 4932438A13 gene [Source:MGI Symbol;Acc:MGI:2444631] DDIADLNSVNIADLSDEDEVDTMSPTIHTEAVDYRRQGTSSSQPGELRGRKIMKRLVDIR ELNEQAKVIDDLKKLGASEGTINQEIQRYQQLESVAVNDIRRDVRKKLRRSSMRAASLKD KWGLGYKPSYSRSKSISASGRPPLKRMERASSRIGETDELPEIRVDAASPGPRVTFNIQD TLKNTVWGSSPQSRSPGEGYFQFPEETELDLLSVTIEGPSHYSSNSEGSCSVFSSPKTTG GFSPSVPFQSEDGRRDDSLSSTSEDSEKDEKDEDRERERFYIYRKPSHTSRKKATGFAAV HQLLTERWPTTPVNRSLSGTATERNIDFELDIRVEIDSGKCVLHPTTLLQEHDDISLRRS YDRSSRSLDQDSPSKKKKFQTNYASTTHLMTGKKVPSSLQTKPSDLETTVFYIPGVDVKL HYNSKTLKTESPNASRGSSLPRTLSKESKLYAKGKGSGGVKTAKLYAWVALQSLPEEMVI SPCLLDFLEKALETIPITPIERNYTAVSSQDEDMGHFDIPDPMEESTTSLVSSSTSAYSS FPVDVVVYVRVQPSQIKFSCLPVSRVECMLKLPSLDLVFSSNRGELETLGTTYPAETVSP GSNAPQTGAKTSASKAGMPGSSGLGSPLGRSRHSSSQSDLTGSSSSSSGLSFTACMSDFS LYVFHPYGAGKQKSTVSGLTSGSGGLGNVDEEPTSVTGRKDSLSINLEFVKVSLSRIRRS GGASFFESQSVSKSTSKMDTTLINISAVCDIGSASFKYDMRRLSEILAFPRAWYRRSIAR RLFLGDQTVNLPTSGPGTPDSIEGVSQHLSPESSRKAYCRTWDQPSQSASFTHMPQSPNV FNEHMTNNTMSPGTAAQSLKSPASIRSRSVSDSSVPRRDSISKTSTPVNKSNKAASQQGT PWETLVVFAINLKQLNVQMNMSNVMGNTTWTTSGLKSQGRLSVGSNRDREISMSVGLGRS QLDSKGGVVGGTIDVNALEMVAHISEHPNQQPNHKIQITMGSTESRVDYMGSSILMGIFS NADLKLQDEWKVNLYNALDSSMTDKSEIFVHGDLKWDIFQVMISRSTTPDLIKIGMKLQE FFTQQFDTSKRALSTWGPVPYLPPKTMTNNLEKNSQEQLLDAAHHRHWPGVLKVVSGCHI SLFQVPLPEDGMQFGGSMSLHGNHMTLACFHGPNFRSKSWALFHLEEPNIAFWTEAQKIW EDGSSDHSTYIVQTLDFHLGHNTMVTKPCGALESPMATITKITRRRHENPPHGVASVKEW FNYVTATRNEELNLLRNVDANNTENSTTVKNSSLLSGFRGGSSYNHETETIFALPRMQLE FKSIHVQEPQEPSLQDASLKPKVECSVVTEFTDHICVTMDAELIMFLHDLVSAYLKEKEK AIFPPRILSTRPGQKCPLIIHDDSSSDRDREDSITYTTVDWRDFMCNTWHLEPTLRLISW TGRKIDPVGVDYILQKLGFHHARTTIPKWLQRGVMDPLDKVLSVLIKKLGTALQDEKEKK GKDKEEH >ENSMUSP00000117809.1 pep:known chromosome:GRCm38:3:37014504:37030026:1 gene:ENSMUSG00000037270.17 transcript:ENSMUST00000138152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932438A13Rik description:RIKEN cDNA 4932438A13 gene [Source:MGI Symbol;Acc:MGI:2444631] XKLRRSSMRAASLKDKWGLGYKPSYSRSKSISASGRPPLKRMERASSRIGETDELPEIRV DAASPGPRVTFNIQDTSEDGRRDDSLSSTSEDSEKDEKDEDRERERFYIYRKPSHTSRKK ATGFAAVHQLLTERWPTTPVNRSLSGTATERNIDFELDIRVEIDSGKCVLHPTTLLQEHD DISLRRSYDRSSRSLDQDSPSKKKKFQTNYASTTHLMTGKKVPSSLQT >ENSMUSP00000060199.8 pep:known chromosome:GRCm38:3:36863106:37053033:1 gene:ENSMUSG00000037270.17 transcript:ENSMUST00000057272.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932438A13Rik description:RIKEN cDNA 4932438A13 gene [Source:MGI Symbol;Acc:MGI:2444631] MDQRKNDSIVPSITQLEDFLTEHNSNVVWLLVATILSCGWIIYLTYYNSRNVGLILTLVL NRLYKHGYIHIGSFSFSVLSGKVMVREIYYITEDMSIRIQDGFIIFRWWKMYNPKQKQHD PKAETRLYITVNDFEFHVYNRSDLYGRLQELFGLEPTIIPPKKDDDKTRENGRTRTQSKI ERVKVKTESQDPTSSWRSLIPVIKVNVSTGRLAFGNHYQPQTLCINFDDAFLTYTTKPPS SHLDQFMHIVKGKLENVRVMLVPSPRYVGLQNDEPPRLMGEGFVVLQSNDVDLYYYMDEP GLVPEETEESTEGDISSEDCKLQDLPPCWGLDIVCGKGTDFNYGPWADRQRDCLWKFFFP PDYQVLKVSEIAQPGRPRQILAFELRMNIITDATIDLLFTKNRETNAVHVNVGAGSYLEI NIPMTVDENGYTPAIKGQLLHVDATTSMQYRTLLEAEMLAFHINASYPRIWNMPQTWQCE LEVYKATYHFIFAQKNFFTDLIQDWSSDNAPDIFSFVPYTWNFKIMFHQFEMIWAANQHN WIDCSTKQQENVYLAACGETLNIDFSLPFTDFVPATCNTRFSLRGEDVDLHLFLPDCHPS KYSLFMLVKNCHPNKMVPETGIPAECQSGQKTVKPKWRNVTQEKAGWVECWTVPSVMLTI DYTWHPIYPQKADEQLKQSLSEMEETMLSVLRPAQKTSERVVSSPSMSPRPPVDPSELPP DKLHVEMELSPDSQITLYGPLLNAFLCIKENYFGEDDMYMDFEEVISSPVLSLSTSSSSG WTAVGMDNDKRENESSAKSIHPLALRPWDITVLVNLYKVHGRLPVHGTTDGPECPTAFLE RLCFEMKKGFRETMLQLVLSPLNVFVSDNYQQRPPVDEVLREGHINLSGLQLRAHAMFSA EGLPLGSDSLEYAWLIDVQAGSLTAKVTAPQLACLLEWGQTFVFHVVCREYELERPKSVI VCQHGIDRRFCESKLSCIPGPCPTSDDLKYTMTRLAIDGSDIYIVEHGCATNIKMGAVRI ANCNLHNQSVGEGISAAIQDFQVRQYIEQLNNCRIGLQPAVLRRAYWLEAGSANLGLITV DIALAADHHSKHEAQRHFLETHDARTKRLWFLWPDDTLKNKRCRNKCGCLGGCRFFGGTV TGLDFFKLEELTPSSSSAFSSTSAESDMYYGQSLLQPGEWIITKEIPKTVDGNVNSMKRK EWENKSVGIEGERKTQHLSLQVPLRSHSSSSSSEENSSSSAAQPLLAGEKESPSSAADDH SVQKDLLHSARRDDGQASVPTEISGTSPVSPNTQDKSVGQSPLRSPLKRQASVCSTRLGS TKSLTAAFYGDKQPVTVGVQFSSDVSRSDENVLDSPKQRRSFGSFPYTPSADSNSFHQYR SMDSSMSMADSEAYFSAAEEFEPISSDEGPGTYPGRKKKKKQMQQIDYSRGSIYHSVEGP LAVHGEGITDPRTLPFKTHPSQASFVSALGGEDEVIEHVYIVEGEKRGESEQVTSQQPVM SCYHTYLTQFQVINWSVKHPTNKRTSKSSLHRPLDLDTPTSEESSSSFEQLCVPTFKVIK QGLTANSLLDRGMQLSGSTSNTPYTPLDKKIVDTTDDETLTEEWTLDQPVAQTKTTAIVE VKGTVDVVLTPLVAEALDRYIEAMVHRVSTRHPAAIVDDLHTKVLREAVQNSKTTFSENL SPKQDIRGTKTEHPMIGTTNQGQIQTNVTTKQDNVTIKGLQANVSIPKVNLCLLQASVEE SPATVPSRSVTHVSLVALCFDRIATQVRMNRGVVEETANNVDAGKTSNFDRYVHASKMQP QSSGSLRSNAGAEKGKEIAAKLNIHRVHGQLRGLDTTDIGTCAITAIPFEKSKVLFTLEE LDEFTFVDETDQQAIPDVTRIGPSQEKWGWIMFECGLENLTIKGGRQSGAVLYNSFGIMG KNSVTERGGVLTSNNSSDSPTGSGYNTDVSDDNLPCDRTSPSSDINGNSVSDEQDEGVES DDLKKDLPLMPPPPDSCSMKLTIKEIWFSFAAPTNVRSPAHAFSRQLNLLSTATPAVGAW LVPIDQLKSSLNKLETEGTLRICAVMGCIMTEALENKSVHFPLRSKYNRLTKVARFLQEN PSCLLCNILHHYLHQANYSIIDDATMSDGLPALVTLKKGLVALARQWMKFIVVTPAFKGV SLHRPAQPLKPPATVDQEHEEGLGLDNGGGLQSDTSADGAEFEFDAATVSEHTMLLEGTA NRPPPGSSGPVTGAEIMRKLSKTHTHSDSALKIKGIHPYHSLSYTSGDTATDSPVHVGRA GMPVKESPRKESLLSYLTGSFPSLHNLLEGTPQRSSAAVKSSSLTRTGNTVATDMLSEHP LLSEPSSVSFYNWMSNAVGNRGSVVQESPVTKSGHNSLPTGVAPNLPTIPSASDFNTVLS SDQNTLDGTHSQHSTSQDDVAGVEEANQGFPAVQLADAQVVFKPLLSHTGIQSQDTMPLC YRMYFGEHLSFSGTLDCLRADIVDSDTAKDRKGKRARRQGHVNLPPLEFKPALMLETFSI SAVVMEKSVCTPQNSTSALSFHDLNKRYYNTFHCNFTISCQSISQHVDMALVRLIHQFST MIDDIKATQTDIKLSRYTAGSASPTPTFKTRKHRDFRSSDFSRSSRGSLNGGNRVNNAKN KRANNENNKKESRNKNSLGRSERRTSKVSRKGSKDVVDHMTIHMDDSDSITVSEQSEPSA ECWQNMYKLLNFYSLISDPTGILEKSSETFGPAGVRSPTEPTCRVVFENEQDNNSLTKTQ RKRSLVTSEPQHVTLIVFGIGMVNRTHLEADIGGLTMESELKRIHGSFTLKEKMKDVLHQ KMTETCATAHIGGVNIVLLEGITPNIQLEDFPTSPTSTAKQEFLTVVKCSIAKSQALYSA QRGLKTNNAAVFKVGAISINIPQHPATLHSMMVRSSHQLSKQISDLIRQPSTAPQPMKED IATPLPSEKTPTSVNQTPIETNEFPQLPEGLEKKPIVLKFSAMLDGIAIGAALLPSLKAE YKMGRMRSHGMTGAQTRFTFELPNHRLRFTSKVSATDMSTIPPSASLNLPPVTMSGKYIM EEHDSYSDQVWSIDELPSKQGYYLQGNYLRCVAEVGSFEHNLTTDLLNHLVFVQKVFMKE VNEVIQKVSGGEQPIPLWNEHDGTTDGDKPKILLYSLNLQFKGIQVTATTPSMRAVRFET GLIELELSNRLQTKASPGSSSYLKLFGKCQVDLNLALGQIVKHQVYEEAGSDFHQVAYFK TRIGLRNALREEISGSSDREAVLITLNRPIVYAQPVAFDRAVLFWLNYKAAYDNWNEQRM ALHKDIHMATKEVVDMLPGIQQTSAQAFGTLFLQLTVNDLGICLPITNTAQSNHTGDLDT GSALVLTIESTLITACSSESLVSKGHFKNFCIRFADGFETSWDDWKPEIRGDLVMNACVV PDGTYEVCSRTTGQAAAESSSAGTWTLNVLWKMCGIDVHMDPNIGKRLNALGNTLTTLTG EEDIDDIADLNSVNIADLSDEDEVDTMSPTIHTEAVDYRRQGTSSSQPGELRGRKIMKRL VDIRELNEQAKVIDDLKKLGASEGTINQEIQRYQQLESVAVNDIRRDVRKKLRRSSMRAA SLKDKWGLGYKPSYSRSKSISASGRPPLKRMERASSRIGETDELPEIRVDAASPGPRVTF NIQDTFPEETELDLLSVTIEGPSHYSSNSEGSCSVFSSPKTTGGFSPSVPFQSEDGRRDD SLSSTSEDSEKDEKDEDRERERFYIYRKPSHTSRKKATGFAAVHQLLTERWPTTPVNRSL SGTATERNIDFELDIRVEIDSGKCVLHPTTLLQEHDDISLRRSYDRSSRSLDQDSPSKKK KFQTNYASTTHLMTGKKVPSSLQTKPSDLETTVFYIPGVDVKLHYNSKTLKTESPNASRG SSLPRTLSKESKLYGMKDSAASPSPSPLPCTVQSKTNTLLPPQPPPIPSAKGKGSGGVKT AKLYAWVALQSLPEEMVISPCLLDFLEKALETIPITPIERNYTAVSSQDEDMGHFDIPDP MEESTTSLVSSSTSAYSSFPVDVVVYVRVQPSQIKFSCLPVSRVECMLKLPSLDLVFSSN RGELETLGTTYPAETVSPGSNAPQTGAKTSASKAGMPGSSGLGSPLGRSRHSSSQSDLTG SSSSSSGLSFTACMSDFSLYVFHPYGAGKQKSTVSGLTSGSGGLGNVDEEPTSVTGRKDS LSINLEFVKVSLSRIRRSGGASFFESQSVSKSTSKMDTTLINISAVCDIGSASFKYDMRR LSEILAFPRAWYRRSIARRLFLGDQTVNLPTSGPGTPDSIEGVSQHLSPESSRKAYCRTW DQPSQSASFTHMPQSPNVFNEHMTNNTMSPGTAAQSLKSPASIRSRSVSDSSVPRRDSIS KTSTPVNKSNKAASQQGTPWETLVVFAINLKQLNVQMNMSNVMGNTTWTTSGLKSQGRLS VGSNRDREISMSVGLGRSQLDSKGGVVGGTIDVNALEMVAHISEHPNQQPNHKIQITMGS TESRVDYMGSSILMGIFSNADLKLQDEWKVNLYNALDSSMTDKSEIFVHGDLKWDIFQVM ISRSTTPDLIKIGMKLQEFFTQQFDTSKRALSTWGPVPYLPPKTMTNNLEKNSQEQLLDA AHHRHWPGVLKVVSGCHISLFQVPLPEDGMQFGGSMSLHGNHMTLACFHGPNFRSKSWAL FHLEEPNIAFWTEAQKIWEDGSSDHSTYIVQTLDFHLGHNTMVTKPCGALESPMATITKI TRRRHENPPHGVASVKEWFNYVTATRNEELNLLRNVDANNTENSTTVKNSSLLSGFRGGS SYNHETETIFALPRMQLEFKSIHVQEPQEPSLQDASLKPKVECSVVTEFTDHICVTMDAE LIMFLHDLVSAYLKEKEKAIFPPRILSTRPGQKCPLIIHDDSSSDRDREDSITYTTVDWR DFMCNTWHLEPTLRLISWTGRKIDPVGVDYILQKLGFHHARTTIPKWLQRGVMDPLDKVL SVLIKKLGTALQDEKEKKGKDKEEH >ENSMUSP00000094192.2 pep:known chromosome:GRCm38:X:38038199:38043114:-1 gene:ENSMUSG00000098348.7 transcript:ENSMUST00000089060.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btg1-ps2 description:B cell translocation gene 1, anti-proliferative, pseudogene 2 [Source:MGI Symbol;Acc:MGI:3588265] MIGEICAAATFICKFLCTKGLRNEELLQTFSQSLQELLVEHYKHHWFPEQPCKGSGYRCI RINHKMDPLVSQAAQQIGLSSRELFRLLPSELTLWVDPYEVSYRIGEDGSICVLYEASPV GGSSHNSTNAQMVDSRSGCKEERLLRRTNSAKNYNMMTVLG >ENSMUSP00000089395.5 pep:known chromosome:GRCm38:6:132625111:132627511:-1 gene:ENSMUSG00000067541.7 transcript:ENSMUST00000091791.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630073D07Rik description:RIKEN cDNA A630073D07 gene [Source:MGI Symbol;Acc:MGI:2686534] MLVVLLIAVLLALSSAQNPRRDFVVSSHNNRERLTSSQLFPVGDQSQEYQIRDDKQKHLQ QSLQERPHLQVQQENQPLQSKQKQPPPPPPPPRKPARRFE >ENSMUSP00000044827.5 pep:known chromosome:GRCm38:11:72441355:72451768:1 gene:ENSMUSG00000040463.16 transcript:ENSMUST00000045633.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybbp1a description:MYB binding protein (P160) 1a [Source:MGI Symbol;Acc:MGI:106181] MAEMKSPTKAEPATPAEAAQSDRHSLLEHSREFLDFFWDIAKPDQETRLRATEKLLEYLR TRPNDSEMKYALKRLITGLGVGREAARPCYSLALAQLLQSFEDIPLCDILDQIQEKYSLQ AMNKAMMRPSLFANLFGVLALFQSGRLVKDKEALMKSVQLLKILSQHPNHLQGQPIKALV DILSEVPESMFQEILPKVLKGNMKVILRSPKYLELFLLAKQRVPTKLESLMGSVDLFSED NIPSLVNILKVAANSVKKEHKLPNVALDLLRLALKESRFELFWKKVLEEGLLKNPSWTSS YMCFRLLGASLPLLSEEQLQLVMRGDLIRHFGENMVISKPQNLFKIIPEISTYVGTFLEG CQDDPKRQLTMMVAFTTITNQGLPVMPTFWRVTRFLNAEALQSYVAWLRDMFLQPDLNSL VDFSTANQKRAQDASLNVPERAVFRLRKWIIHRLVSLVDHLHLEKDEAVVEQIARFCLFH AFFKTKKATPQIPETKQHFSFPLDDRNRGVFVSAFFSLLQTLSVKFRQTPDLAENGKPWT YRLVQLADMLLNHNRNVTSVTSLTTQQRQAWDQMMSTLKELEARSSETRAIAFQHLLLLV GLHIFKSPAESCDVLGDIQTCIKKSMEQNPRRSRSRAKASQEPVWVEVMVEILLSLLAQP SNLMRQVVRSVFGHICPHLTPRCLQLILAVLSPVTNEDEDDNVVVTDDADEKQLQHGEDE DSDNEDNKNSESDMDSEDGEESEEEDRDKDVDPGFRQQLMEVLKAGNALGGVDNEEEEEL GDEAMMALDQNLASLFKEQKMRIQARNEEKNKLQKEKKLRRDFQIRALDLIEVLVTKQPE HPLILELLEPLLNVIQHSMRSKGSTKQEQDLLHKTARIFMHHLCRARRYCHEVGPCAEAL HAQVERLVQQAGSQADASVALYYFNASLYLLRVLKGNTNKRHQDGHKLHGADTEDSEDQA ANCLDLDFVTRVYSASLESLLTKRNSSLTVPMFLSLFSRYPVICKNLLPVLAQHVAGPSR PRHQAQACLMLQKTLSARELRVCFEDPEWEQLITQLLGKATQTLQTLGEAQSKGEHQKEL SILELLNTLLRTVNHEKLSVDLTAPLGVLQSKQQKLQQSLQQGNHSSGSNRLYDLYWQAM RMLGVQRPKSEKKNAKDIPSDTQSPVSTKRKKKGFLPETKKRKKLKSEGTTPEKNAASQQ DAVTEGAMPAATGKDQPPSTGKKKRKRVKASTPSQVNGITGAKSPAPSNPTLSPSTPAKT PKLQKKKEKLSQVNGATPVSPIEPESKKHHQEALSTKEVIRKSPHPQSALPKKRARLSLV SRSPSLLQSGVKKRRVASRRVQTP >ENSMUSP00000111219.2 pep:known chromosome:GRCm38:9:20581325:20595192:1 gene:ENSMUSG00000058192.15 transcript:ENSMUST00000115557.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp846 description:zinc finger protein 846 [Source:MGI Symbol;Acc:MGI:1924012] MVADCLTNCYQVSVTFDDVAVDFTQEEWILLDQAHRDLYREVMLENYQNLASAGCEVIKP SLISWLEEEDLHREDLQEWEMPLGTQESPLHQDFLRGQTSSGIQTGRSHSAHELCYYTQC GEIFSEHSYFKTHVKTHSAWNTGHLTHSDHDVSAQVHTIKTYPCKICGKAFGRSSNLNRH LRSHTGEKPYECKECGKAFTTYSRLVEHFRTHTGEKPYKCKDCGKAFAKRSGLITHISTH ASEKPFACKECGKAFASSPRLSQHIRIHSGERPYICKECGRAFLTSSYLRNHVGRTHSGE RPYICGECGKAFHSYSNLRRHVRTHSGERPYICKECGKAFLNSSYLHNHIRKTHSGEMPH ICGECGKVFHASSYLRRHLRTHSGERPCICKECGKAFLNSSYLRKHLTIHTGDKPYECKE CGKAYRRYNLLHDHLKTHAVEKPFECDVCGKSFQYFSYLNKHIRIHTGTKPYKCKYCGKD FTTSSSRTEHIRTHTGERPYECSECEKTFTSSSNLIHHVKIHAREKPFVENIGKPTVDFP Y >ENSMUSP00000115945.1 pep:known chromosome:GRCm38:9:20581525:20595194:1 gene:ENSMUSG00000058192.15 transcript:ENSMUST00000140668.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp846 description:zinc finger protein 846 [Source:MGI Symbol;Acc:MGI:1924012] MVADCLTNCYQVSVTFDDVAVDFTQEEWILLDQAHRDLYREVMLENYQNLASAGSHSVAT AELELIV >ENSMUSP00000051593.8 pep:known chromosome:GRCm38:9:20587891:20594471:1 gene:ENSMUSG00000058192.15 transcript:ENSMUST00000060063.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp846 description:zinc finger protein 846 [Source:MGI Symbol;Acc:MGI:1924012] MVADCLTNCYQVSVTFDDVAVDFTQEEWILLDQAHRDLYREVMLENYQNLASAGCEVIKP SLISWLEEEDLHREDLQEWEMPLGTQESPLHQDFLRGQTSSGIQTGRSHSAHELCYYTQC GEIFSEHSYFKTHVKTHSAWNTGHLTHSDHDVSAQVHTIKTYPCKICGKAFGRSSNLNRH LRSHTGEKPYECKECGKAFTTYSRLVEHFRTHTGEKPYKCKDCGKAFAKRSGLITHISTH ASEKPFACKECGKAFASSPRLSQHIRIHSGERPYICKECGRAFLTSSYLRNHVGRTHSGE RPYICGECGKAFHSYSNLRRHVRTHSGERPYICKECGKAFLNSSYLHNHIRKTHSGEMPH ICGECGKVFHASSYLRRHLRTHSGERPCICKECGKAFLNSSYLRKHLTIHTGDKPYECKE CGKAYRRYNLLHDHLKTHAVEKPFECDVCGKSFQYFSYLNKHIRIHTGTKPYKCKYCGKD FTTSSSRTEHIRTHTGERPYECSECEKTFTSSSNLIHHVKIHAREKPFVENIGKPTVDFP Y >ENSMUSP00000104545.1 pep:known chromosome:GRCm38:2:178118975:178338492:1 gene:ENSMUSG00000027525.17 transcript:ENSMUST00000108917.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr3 description:phosphatase and actin regulator 3 [Source:MGI Symbol;Acc:MGI:1921439] MRGQGRGHARWPAPLRSLLRAFGPQDTTTGGLEQDEMDQTPPARSEPLVSGIRTPPVRRN SKLATLGRIFKPWKWRKKKNEKLKQTTSALEKKMAGRQGREELIKQGLLEMMEQDSENKA CSPKEGSQPVQSEPPAGEQETLTSEGAQPGSPSASGTDQVSQDELLSSDAHLDDTANIPS ASTAEEADAGSLLPTTDEPSQALAGSDSLDSPPRSLERSVSQLPSPPLLPTPPPKASSKA TKNVTGQAALFQGPSMKNNEPALRGQLATPTGSPHVTTVHRPLPPSRVMEELHRALATKH RQDSFQGRECRGSPKKRMDVRLSRTSSMERGKERDEAWSFDGASENKWTATKDSEENKEN LMLSSELKDDMLLYQDEEALNDSIISGTLPRKCKKELLAVKLRNRPSKQELEDRNIFPRR TDEERQEIRQQIEMKLSKLSQRPAVEELERRNILKQRNDQTEQEERREIKQRLTRKLNQR PTVDELRDRKILIRFSDYVEVARAQDYDRRADKPWTRLSAADKAAIRKELNEYKSNEMEV HASSKHLTRFHRP >ENSMUSP00000104544.1 pep:known chromosome:GRCm38:2:178119179:178336491:1 gene:ENSMUSG00000027525.17 transcript:ENSMUST00000108916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr3 description:phosphatase and actin regulator 3 [Source:MGI Symbol;Acc:MGI:1921439] MRGQGRGHARWPAPLRSLLRAFGPQDTTTGGLEQDEMDQTPPARSEPLVSGIRTPPVRRN SKLATLGRIFKPWKWRKKKNEKLKQTTSALEKKMAGRQGREELIKQGLLEMMEQDSENKA CSPKEGSQPVQSEPPAGEQETLTSEGAQPGSPSASGTDQVSQDELLSSDAHLDDTANIPS ASTAEEADAGSLLPTTDEPSQALAGSDSLDSPPRSLERSVSQLPSPPLLPTPPPKASSKA TKNVTGQAALFQGPSMKNNEPALRGQLATPTGSPHVTTVHRPLPPSRVMEELHRALATKH RQDSFQGRECRGSPKKRMDVRLSRTSSMERGKERDEAWSFDGASENKWTATKDSEENKEN LMLSSELKDDMLLYQDEEALNDSIISGTLPRKCKKELLAVKLRNRPSKQELEDRNIFPRR TDEERQEIRQQIEMKLSKRLSQRPAVEELERRNILKQRNDQTEQEERREIKQRLTRKLNQ RPTVDELRDRKILIRFSDYVEVARAQDYDRRADKPWTRLSAADKAAIRKELNEYKSNEME VHASSKHLTRFHRP >ENSMUSP00000099355.3 pep:known chromosome:GRCm38:2:178141933:178336072:1 gene:ENSMUSG00000027525.17 transcript:ENSMUST00000103066.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr3 description:phosphatase and actin regulator 3 [Source:MGI Symbol;Acc:MGI:1921439] MAASEDGSSCLVSRGRSQSDPSFLSDSSATSTDAGENPDEMDQTPPARSEPLVSGIRTPP VRRNSKLATLGRIFKPWKWRKKKNEKLKQTTSALEKKMAGRQGREELIKQGLLEMMEQDS ENKACSPKEGSQPVQSEPPAGEQETLTSEGAQPGSPSASGTDQVSQDELLSSDAHLDDTA NIPSASTAEEADAGSLLPTTDEPSQALAGSDSLDSPPRSLERSVSQLPSPPLLPTPPPKA SSKATKNVTGQAALFQGPSMKNNEPALRGQLATPTGSPHVTTVHRPLPPSRVMEELHRAL ATKHRQDSFQGRECRGSPKKRMDVRLSRTSSMERGKERDEAWSFDGASENKWTATKDSEE NKENLMLSSELKDDMLLYQDEEALNDSIISGTLPRKCKKELLAVKLRNRPSKQELEDRNI FPRRTDEERQEIRQQIEMKLSKRLSQRPAVEELERRNILKQRNDQTEQEERREIKQRLTR KLNQRPTVDELRDRKILIRFSDYVEVARAQDYDRRADKPWTRLSAADKAAIRKELNEYKS NEMEVHASSKHLTRFHRP >ENSMUSP00000104543.1 pep:known chromosome:GRCm38:2:178175349:178336491:1 gene:ENSMUSG00000027525.17 transcript:ENSMUST00000108915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr3 description:phosphatase and actin regulator 3 [Source:MGI Symbol;Acc:MGI:1921439] MQTANQMLSLNFRRMKSGTAAVRTRARHRPPGSGPSRCGDEMDQTPPARSEPLVSGIRTP PVRRNSKLATLGRIFKPWKWRKKKNEKLKQTTSALEKKMAGRQGREELIKQGLLEMMEQD SENKACSPKEGSQPVQSEPPAGEQETLTSEGAQPGSPSASGTDQVSQDELLSSDAHLDDT ANIPSASTAEEADAGSLLPTTDEPSQALAGSDSLDSPPRSLERSVSQLPSPPLLPTPPPK ASSKATKNVTGQAALFQGPSMKNNEPALRGQLATPTGSPHVTTVHRPLPPSRVMEELHRA LATKHRQDSFQGRECRGSPKKRMDVRLSRTSSMERGKERDEAWSFDGASENKWTATKDSE ENKENLMLSSELKDDMLLYQDEEALNDSIISGTLPRKCKKELLAVKLRNRPSKQELEDRN IFPRRTDEERQEIRQQIEMKLSKRLSQRPAVEELERRNILKQRNDQTEQEERREIKQRLT RKLNQRPTVDELRDRKILIRFSDYVEVARAQDYDRRADKPWTRLSAADKAAIRKELNEYK SNEMEVHASSKHLTRFHRP >ENSMUSP00000099354.1 pep:known chromosome:GRCm38:2:178193084:178336491:1 gene:ENSMUSG00000027525.17 transcript:ENSMUST00000103065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr3 description:phosphatase and actin regulator 3 [Source:MGI Symbol;Acc:MGI:1921439] MDQTPPARSEPLVSGIRTPPVRRNSKLATLGRIFKPWKWRKKKNEKLKQTTSALEKKMAG RQGREELIKQGLLEMMEQDSENKACSPKEGSQPVQSEPPAGEQETLTSEGAQPGSPSASG TDQVSQDELLSSDAHLDDTANIPSASTAEEADAGSLLPTTDEPSQALAGSDSLDSPPRSL ERSVSQLPSPPLLPTPPPKASSKATKNVTGQAALFQGPSMKNNEPALRGQLATPTGSPHV TTVHRPLPPSRVMEELHRALATKHRQDSFQGRECRGSPKKRMDVRLSRTSSMERGKERDE AWSFDGASENKWTATKDSEENKENLMLSSELKDDMLLYQDEEALNDSIISGTLPRKCKKE LLAVKLRNRPSKQELEDRNIFPRRTDEERQEIRQQIEMKLSKRLSQRPAVEELERRNILK QRNDQTEQEERREIKQRLTRKLNQRPTVDELRDRKILIRFSDYVEVARAQDYDRRADKPW TRLSAADKAAIRKELNEYKSNEMEVHASSKHLTRFHRP >ENSMUSP00000099904.4 pep:known chromosome:GRCm38:2:31470207:31520672:1 gene:ENSMUSG00000076441.9 transcript:ENSMUST00000102840.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ass1 description:argininosuccinate synthetase 1 [Source:MGI Symbol;Acc:MGI:88090] MSSKGSVVLAYSGGLDTSCILVWLKEQGYDVIAYLANIGQKEDFEEARKKALKLGAKKVF IEDVSKEFVEEFIWPAVQSSALYEDRYLLGTSLARPCIARRQVEIAQREGAKYVSHGATG KGNDQVRFELTCYSLAPQIKVIAPWRMPEFYNRFKGRNDLMEYAKQHGIPIPVTPKSPWS MDENLMHISYEAGILENPKNQAPPGLYTKTQDPAKAPNSPDVLEIEFKKGVPVKVTNIKD GTTRTTSLELFMYLNEVAGKHGVGRIDIVENRFIGMKSRGIYETPAGTILYHAHLDIEAF TMDREVRKIKQGLGLKFAELVYTGFWHSPECEFVRHCIQKSQERVEGKVQVSVFKGQVYI LGRESPLSLYNEELVSMNVQGDYEPIDATGFININSLRLKEYHRLQSKVTAK >ENSMUSP00000065200.3 pep:known chromosome:GRCm38:5:104325329:104338611:1 gene:ENSMUSG00000053863.3 transcript:ENSMUST00000066207.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mepe description:matrix extracellular phosphoglycoprotein with ASARM motif (bone) [Source:MGI Symbol;Acc:MGI:2137384] MTPEGLMKMQAVSVGLLLFSMTWAAPMPNEDRSSCGNQDSIHKDLAASVYPDPTVDEGTE DGQGALLHPPGQDRYGAALLRNITQPVKSLVTGAELRREGNQEKRPQSVLSVIPADVNDA KVSLKDIKNQESYLLTQSSPVKSKHTKHTRQTRRSTHYLTHLPQIKKTPSDLEGSGSPDL LVRGDNDVPPFSGDGQHFMHIPGKGGAGSGPESSTSRPLSGSSKAEVIDPHMSGLGSNEI PGREGHGGSAYATRDKAAQGAGSAGGSLVGGSNEITGSTNFRELPGKEGNRINAGSQNAH QGKVEFHYPQVASREKVKGGVEHAGRAGYNEIPKSSKGSSSKDAEESKGNQLTLTASQRF PGKGKSQGPALPSHSLSNEVKSEENHYVFHGQNNLTPNKGMSQRRGSWPSRRPNSHRRAS TRQRDSSESSSSGSSSESHGD >ENSMUSP00000143424.1 pep:known chromosome:GRCm38:5:16553550:16620152:1 gene:ENSMUSG00000028864.7 transcript:ENSMUST00000199581.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgf description:hepatocyte growth factor [Source:MGI Symbol;Acc:MGI:96079] MMWGTKLLPVLLLQHVLLHLLLLHVAIPYAEGQKKRRNTLHEFKKSAKTTLTKEDPLLKI KTKKVNSADECANRCIRNRGFTFTCKAFVFDKSRKRCYWYPFNSMSSGVKKGFGHEFDLY ENKDYIRNCIIGKGGSYKGTVSITKSGIKCQPWNSMIPHEHSFLPSSYRGKDLQENYCRN PRGEEGGPWCFTSNPEVRYEVCDIPQCSEVECMTCNGESYRGPMDHTESGKTCQRWDQQT PHRHKFLPERYPDKGFDDNYCRNPDGKPRPWCYTLDPDTPWEYCAIKTCAHSAVNETDVP METTECIQGQGEGYRGTSNTIWNGIPCQRWDSQYPHKHDITPENFKCKDLRENYCRNPDG AESPWCFTTDPNIRVGYCSQIPKCDVSSGQDCYRGNGKNYMGNLSKTRSGLTCSMWDKNM EDLHRHIFWEPDASKLNKNYCRNPDDDAHGPWCYTGNPLIPWDYCPISRCEGDTTPTIVN LDHPVISCAKTKQLRVVNGIPTQTTVGWMVSLKYRNKHICGGSLIKESWVLTARQCFPAR NKDLKDYEAWLGIHDVHERGEEKRKQILNISQLVYGPEGSDLVLLKLARPAILDNFVSTI DLPSYGCTIPEKTTCSIYGWGYTGLINADGLLRVAHLYIMGNEKCSQHHQGKVTLNESEL CAGAEKIGSGPCEGDYGGPLICEQHKMRMVLGVIVPGRGCAIPNRPGIFVRVAYYAKWIH KVILTYKL >ENSMUSP00000030683.3 pep:known chromosome:GRCm38:5:16553786:16619306:1 gene:ENSMUSG00000028864.7 transcript:ENSMUST00000030683.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgf description:hepatocyte growth factor [Source:MGI Symbol;Acc:MGI:96079] MMWGTKLLPVLLLQHVLLHLLLLHVAIPYAEGQKKRRNTLHEFKKSAKTTLTKEDPLLKI KTKKVNSADECANRCIRNRGFTFTCKAFVFDKSRKRCYWYPFNSMSSGVKKGFGHEFDLY ENKDYIRNCIIGKGGSYKGTVSITKSGIKCQPWNSMIPHEHSFLPSSYRGKDLQENYCRN PRGEEGGPWCFTSNPEVRYEVCDIPQCSEVECMTCNGESYRGPMDHTESGKTCQRWDQQT PHRHKFLPERYPDKGFDDNYCRNPDGKPRPWCYTLDPDTPWEYCAIKTCAHSAVNETDVP METTECIQGQGEGYRGTSNTIWNGIPCQRWDSQYPHKHDITPENFKCKDLRENYCRNPDG AESPWCFTTDPNIRVGYCSQIPKCDVSSGQDCYRGNGKNYMGNLSKTRSGLTCSMWDKNM EDLHRHIFWEPDASKLNKNYCRNPDDDAHGPWCYTGNPLIPWDYCPISRCEGDTTPTIVN LDHPVISCAKTKQLRVVNGIPTQTTVGWMVSLKYRNKHICGGSLIKESWVLTARQCFPAR NKDLKDYEAWLGIHDVHERGEEKRKQILNISQLVYGPEGSDLVLLKLARPAILDNFVSTI DLPSYGCTIPEKTTCSIYGWGYTGLINADGLLRVAHLYIMGNEKCSQHHQGKVTLNESEL CAGAEKIGSGPCEGDYGGPLICEQHKMRMVLGVIVPGRGCAIPNRPGIFVRVAYYAKWIH KVILTYKL >ENSMUSP00000142517.1 pep:known chromosome:GRCm38:5:16553928:16619010:1 gene:ENSMUSG00000028864.7 transcript:ENSMUST00000196645.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgf description:hepatocyte growth factor [Source:MGI Symbol;Acc:MGI:96079] MMWGTKLLPVLLLQHVLLHLLLLHVAIPYAEGQKKRRNTLHEFKKSAKTTLTKEDPLLKI KTKKVNSADECANRCIRNRGFTFTCKAFVFDKSRKRCYWYPFNSMSSGVKKGFGHEFDLY ENKDYIRNCIIGKGGSYKGTVSITKSGIKCQPWNSMIPHEHSYRGKDLQENYCRNPRGEE GGPWCFTSNPEVRYEVCDIPQCSEVECMTCNGESYRGPMDHTESGKTCQRWDQQTPHRHK FLPERYPDKGFDDNYCRNPDGKPRPWCYTLDPDTPWEYCAIKTCAHSAVNETDVPMETTE CIQGQGEGYRGTSNTIWNGIPCQRWDSQYPHKHDITPENFKCKDLRENYCRNPDGAESPW CFTTDPNIRVGYCSQIPKCDVSSGQDCYRGNGKNYMGNLSKTRSGLTCSMWDKNMEDLHR HIFWEPDASKLNKNYCRNPDDDAHGPWCYTGNPLIPWDYCPISRCEGDTTPTIVNLDHPV ISCAKTKQLRVVNGIPTQTTVGWMVSLKYRNKHICGGSLIKESWVLTARQCFPARNKDLK DYEAWLGIHDVHERGEEKRKQILNISQLVYGPEGSDLVLLKLARPAILDNFVSTIDLPSY GCTIPEKTTCSIYGWGYTGLINADGLLRVAHLYIMGNEKCSQHHQGKVTLNESELCAGAE KIGSGPCEGDYGGPLICEQHKMRMVLGVIVPGRGCAIPNRPGIFVRVAYYAKWIHKVILT YKL >ENSMUSP00000032667.8 pep:known chromosome:GRCm38:7:43651070:43660161:-1 gene:ENSMUSG00000030474.9 transcript:ENSMUST00000032667.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglece description:sialic acid binding Ig-like lectin E [Source:MGI Symbol;Acc:MGI:1932475] MLLLLLLLLLWGIKGVEGQNPQEVFTLNVERKVVVQEGLCVLVPCNFSYLKKRLTDWTDS DPVHGFWYREGTDRRKDSIVATNNPIRKAVKETRNRFFLLGDPWRNDCSLNIREIRKKDA GLYFFRLERGKTKYNYMWDKMTLVVTALTNTPQILLPETLEAGHPSNLTCSVPWDCGWTA PPIFSWTGTSVSFLSTNTTGSSVLTITPQPQDHGTNLTCQVTLPGTNVSTRMTIRLNVSY APKNLTVTIYQGADSVSTILKNGSSLPISEGQSLRLICSTDSYPPANLSWSWDNLTLCPS KLSKPGLLELFPVHLKHGGVYTCQAQHALGSQHISLSLSPQSSATLSEMMMGTFVGSGVT ALLFLSVCILLLAVRSYRRKPARPAVVAPHPDALKVSVSQNPLVESQADDSSEPLPSILE AAPSSTEEEIHYATLSFHEMKPMNLWGQQDTTTEYSEIKFPQRTAWP >ENSMUSP00000146072.1 pep:known chromosome:GRCm38:7:43651541:43659353:-1 gene:ENSMUSG00000030474.9 transcript:ENSMUST00000206421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglece description:sialic acid binding Ig-like lectin E [Source:MGI Symbol;Acc:MGI:1932475] XFLSTNTTGSSVLTITPQPQDHGTNLTCQVTLPGTNVSTRMTIRLNVSYAPKNLTVTIYQ GADSVSTILKNGSSLPISEGQSLRLICSTDSYPPANLSWSWDNLTLCPSKLSKPGLLELF PVHLKHGGVYTCQAQHALGSQHISLSLSPQSSATLSEMMMGTFVGSGVTALLFLSVCILL LAIPWLNPRQMTALSPCLPYLRRPPPPQRKRYIMRPSAFTR >ENSMUSP00000000312.5 pep:known chromosome:GRCm38:8:106603351:106670246:1 gene:ENSMUSG00000000303.12 transcript:ENSMUST00000000312.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh1 description:cadherin 1 [Source:MGI Symbol;Acc:MGI:88354] MGARCRSFSALLLLLQVSSWLCQELEPESCSPGFSSEVYTFPVPERHLERGHVLGRVRFE GCTGRPRTAFFSEDSRFKVATDGTITVKRHLKLHKLETSFLVRARDSSHRELSTKVTLKS MGHHHHRHHHRDPASESNPELLMFPSVYPGLRRQKRDWVIPPISCPENEKGEFPKNLVQI KSNRDKETKVFYSITGQGADKPPVGVFIIERETGWLKVTQPLDREAIAKYILYSHAVSSN GEAVEDPMEIVITVTDQNDNRPEFTQPVFEGFVAEGAVPGTSVMKVSATDADDDVNTYNA AIAYTIVSQDPELPHKNMFTVNRDTGVISVLTSGLDRESYPTYTLVVQAADLQGEGLSTT AKAVITVKDINDNAPVFNPSTYQGQVPENEVNARIATLKVTDDDAPNTPAWKAVYTVVND PDQQFVVVTDPTTNDGILKTAKGLDFEAKQQYILHVRVENEEPFEGSLVPSTATVTVDVV DVNEAPIFMPAERRVEVPEDFGVGQEITSYTAREPDTFMDQKITYRIWRDTANWLEINPE TGAIFTRAEMDREDAEHVKNSTYVALIIATDDGSPIATGTGTLLLVLLDVNDNAPIPEPR NMQFCQRNPQPHIITILDPDLPPNTSPFTAELTHGASVNWTIEYNDAAQESLILQPRKDL EIGEYKIHLKLADNQNKDQVTTLDVHVCDCEGTVNNCMKAGIVAAGLQVPAILGILGGIL ALLILILLLLLFLRRRTVVKEPLLPPDDDTRDNVYYYDEEGGGEEDQDFDLSQLHRGLDA RPEVTRNDVAPTLMSVPQYRPRPANPDEIGNFIDENLKAADSDPTAPPYDSLLVFDYEGS GSEAASLSSLNSSESDQDQDYDYLNEWGNRFKKLADMYGGGEDD >ENSMUSP00000132112.1 pep:known chromosome:GRCm38:8:106603379:106669362:1 gene:ENSMUSG00000000303.12 transcript:ENSMUST00000167688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh1 description:cadherin 1 [Source:MGI Symbol;Acc:MGI:88354] MGARCRSFSALLLLLQVSSWLCQELEPESCSPGFSSEVYTFPVPERHLERGHVLGRVRFE GCTGRPRTAFFSEDSRFKVATDGTITVKRHLKLHKLETSFLVRARDSSHRELSTKVTLKS MGHHHHRHHHRDPASESNPELLMFPSVYPGLRRQKRDWVIPPISCPENEKGEFPKNLVQI KSNRDKETKVFYSITGQGADKPPVGVFIIERETGWLKVTQPLDREAIAKYILYSHAVSSN GEAVEDPMEIVITVTDQNDNRPEFTQPVFEGFVAEGAVPGTSVMKVSATDADDDVNTYNA AIAYTIVSQDPELPHKNMFTVNRDTGVISVLTSGLDRESYPTYTLVVQAADLQGEGLSTT AKAVITVKDINDNAPVFNPSTYQGQVPENEVNARIATLKVTDDDAPNTPAWKAVYTVVND PDQQFVVVTDPTTNDGILKTAKGLDFEAKQQYILHVRVENEEPFEGSLVPSTATVTVDVV DVNEAPIFMPAERRVEVPEDFGVGQEITSYTAREPDTFMDQKITYRIWRDTANWLEINPE TGAIFTRAEMDREDAEHVKNSTYVALIIATDDGSPIATGTGTLLLVLLDVNDNAPIPEPR NMQFCQRNPQPHIITILDPDLPPNTSPFTAELTHGASVNWTIEYNDAAQESLILQPRKDL EIGEYKIHLKLADNQNKDQVTTLDVHVCDCEGTVNNCMKAGIVAAGLQVPAILGILGGIL ALLILILLLLLFLRRRTVVKEPLLPPDDDTRDNVYYYDEEGGGEEDQDFDLSQLHRGLDA RPEVTRNDVAPTLMSVPQYRPRPANPDEIGNFIDENLKAADSDPTAPPYDSLLVFDYEGS GSEAASLSSLNSSESDQDQDYDYLNEWGNRFKKLADMYGGGEDD >ENSMUSP00000111278.2 pep:known chromosome:GRCm38:16:18348182:18407076:1 gene:ENSMUSG00000000325.15 transcript:ENSMUST00000115614.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arvcf description:armadillo repeat gene deleted in velo-cardio-facial syndrome [Source:MGI Symbol;Acc:MGI:109620] MEDCNVHSAASILASVKEQEARFERLTRALEQERRHVALQLERAQQPGMSSGGMVGSGQP LPMAWQQLVLQEQSPGSQASLATMPEAPEVLEETVTVEEDPGTPTSHVSIVTSEDGTTRR TETKVTKTVKTVTTRTVRQVPLGPDGLPLLDGGPPLGSFADGPLDRHYLLRGGGGPAATL SRTYHSSGGGFPDGPESRDIPSYGSLSRGLGVRPPRTGLLGPGPGDGCFTLPGRREAFPM GSESGPPSGRSLPEHFQAEPYGLEDDTRSLAADDEGGPDLEPDYSTATRRRPEYGRGLRA RAFEDTADDAGELIEERPPFPAATAPLAQPERGSLGSLDRVVRRSPSVDSTRKEPRWRDP ELPEVLAMLRHPVDPVKANAAAYLQHLCFENEGIKRRVRQLRGLPLLVALLDHPRAEVRR RACGALRNLSYGRDTDNKAAIRDCGGVPALVRLLRAARDNEVRELVTGTLWNLSSYEPLK MVIIDHGLQTLTHEVIVPHSGWEREPNEDSKPRDAEWTTVFKNTSGCLRNVSSDGAEARR RLRECEGLVDALLHALQSAVGRKDTDNKSVENCVCIMRNLSYHVHKEVPGADRYQEAEPG IPGSTTSQRRRKDDASCFGGKKAKGKKDAEMDRNFDTLDLPKRTEAAKGFELLYQPEVVR LYLSLLTESRNFNTLEAAAGALQNLSAGNWTWATYIRATVRKERGLPVLVELLQSETDKV VRAVAIALRNLSLDQRNKDLIGSYAMTELVRNVRNAQAPAHPSAHLEEDTVVAVLNTIHE IVSDSLDNARSLLQARGVPALVALVASSQSVREAKAASHVLQTVWSYKELRGALQRDGWT KSRFQSASTAKGPKGTPSSGGFDDSTLPLVDKSLDGEKSNTRDVIPMDTLGPDGYATVDR RERRTLGSDSTGDTSEKELLRPDPGRKAPPPGPSRPSVRLVDAVGDTKPQPVDSWV >ENSMUSP00000111276.1 pep:known chromosome:GRCm38:16:18348274:18407076:1 gene:ENSMUSG00000000325.15 transcript:ENSMUST00000115613.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arvcf description:armadillo repeat gene deleted in velo-cardio-facial syndrome [Source:MGI Symbol;Acc:MGI:109620] MEDCNVHSAASILASVKEQEARFERLTRALEQERRHVALQLERAQQPGMSSGGMVGSGQP LPMAWQQLVLQEQSPGSQASLATMPEAPEVLEETVTVEEDPGTPTSHVSIVTSEDGTTRR TETKVTKTVKTVTTRTVRQVPLGPDGLPLLDGGPPLGSFADGPLDRHYLLRGGGGPAATL SRTYHSSGGGFPDGPESRDIPSYGSLSRGLGVRPPRTGLLGPGPGDGCFTLPGRREAFPM GSESGPPSGRSLPEHFQAEPYGLEDDTRSLAADDEGGPDLEPDYSTATRRRPEYGRGLRA RAFEDTADDAGELIEERPPFPAATAPLAQPERGSLGSLDRVVRRSPSVDSTRKEPRWRDP ELPEVLAMLRHPVDPVKANAAAYLQHLCFENEGIKRRVRQLRGLPLLVALLDHPRAEVRR RACGALRNLSYGRDTDNKAAIRDCGGVPALVRLLRAARDNEVRELVTGTLWNLSSYEPLK MVIIDHGLQTLTHEVIVPHSGWEREPNEDSKPRDAEWTTVFKNTSGCLRNVSSDGAEARR RLRECEGLVDALLHALQSAVGRKDTDNKSVENCVCIMRNLSYHVHKEVPGADRYQEAEPG IPGSTTSQRRRKDDASCFGGKKAKEEWFHQGKKDAEMDRNFDTLDLPKRTEAAKGFELLY QPEVVRLYLSLLTESRNFNTLEAAAGALQNLSAGNWTWATYIRATVRKERGLPVLVELLQ SETDKVVRAVAIALRNLSLDQRNKDLIGSYAMTELVRNVRNAQAPAHPSAHLEEDTVVAV LNTIHEIVSDSLDNARSLLQARGVPALVALVASSQSVREAKAASHVLQTVWSYKELRGAL QRDGWTKSRFQSASTAKGPKGTPSSGGFDDSTLPLVDKSLDGEKSNTRDVIPMDTLGPDG YATVDRRERRTLGSDSTGDTSEKELLRPDPGRKAPPPGPSRPSVRLVDAVGDTKPQPVDS WV >ENSMUSP00000111275.1 pep:known chromosome:GRCm38:16:18380783:18406747:1 gene:ENSMUSG00000000325.15 transcript:ENSMUST00000115612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arvcf description:armadillo repeat gene deleted in velo-cardio-facial syndrome [Source:MGI Symbol;Acc:MGI:109620] MEDCNVHSAASILASVKEQEARFERLTRALEQERRHVALQLERAQQPGMSSGGMVGSGQP LPMAWQQLVLQEQSPGSQASLATMPEAPEVLEETVTVEEDPGTPTSHVSIVTSEDGTTRR TETKVTKTVKTVTTRTVRQVPLGPDGLPLLDGGPPLGSFADGPLDRHYLLRGGGGPAATL SRTYHSSGGGFPDGPESRDIPSYGSLSRGLGVRPPRTGLLGPGPGDGCFTLPGRREAFPM GSESGPPSGRSLPEHFQAEPYGLEDDTRSLAADDEGGPDLEPDYSTATRRRPEYGRGLRA RAFEDTADDAGELIEERPPFPAATAPLAQPERGSLGSLDRVVRRSPSVDSTRKEPRWRDP ELPEVLAMLRHPVDPVKANAAAYLQHLCFENEGIKRRVRQLRGLPLLVALLDHPRAEVRR RACGALRNLSYGRDTDNKAAIRDCGGVPALVRLLRAARDNEVRELVTGTLWNLSSYEPLK MVIIDHGLQTLTHEVIVPHSGWEREPNEDSKPRDAEWTTVFKNTSGCLRNVSSDGAEARR RLRECEGLVDALLHALQSAVGRKDTDNKSVENCVCIMRNLSYHVHKEVPGADRYQEAEPG IPGSTTSQRRRKDDASCFGGKKAKGKKDAEMDRNFDTLDLPKRTEAAKGFELLYQPEVVR LYLSLLTESRNFNTLEAAAGALQNLSAGNWTWATYIRATVRKERGLPVLVELLQSETDKV VRAVAIALRNLSLDQRNKDLIGSYAMTELVRNVRNAQAPAHPSAHLEEDTVVAVLNTIHE IVSDSLDNARSLLQARGVPALVALVASSQSVREAKAASHVLQTVWSYKELRGALQRDGWT KSRFQSASTAKGPKGTPSSGGFDDSTLPLVDKSLDGEKSNTRDVIPMDTLGPDGYATVDR RERRTLGSDSTGDTSEKELLRPDPGRKAPPPGPSRPSVRLVDAVGDTKPQPVDSWV >ENSMUSP00000118505.1 pep:known chromosome:GRCm38:16:18392588:18407076:1 gene:ENSMUSG00000000325.15 transcript:ENSMUST00000150253.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arvcf description:armadillo repeat gene deleted in velo-cardio-facial syndrome [Source:MGI Symbol;Acc:MGI:109620] MPAELRQEQSPGSQASLATMPEAQPPSDGGRMTPAALVARKQKGRRMQRWTGTLTHWTCL NERRLQKASSCCTSRRWYVSTSHSLRRAGTSTPWKLQPVPCKTSVLATGRGPRTSVPQCA RNVGCQYWWNCYSLRPTRWCAL >ENSMUSP00000111273.1 pep:known chromosome:GRCm38:16:18392589:18406256:1 gene:ENSMUSG00000000325.15 transcript:ENSMUST00000115610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arvcf description:armadillo repeat gene deleted in velo-cardio-facial syndrome [Source:MGI Symbol;Acc:MGI:109620] MPAELRQEQSPGSQASLATMPEAPEVLEETVTVEEDPGTPTSHVSIVTSEDGTTRRTETK VTKTVKTVTTRTVRQVPLGPDGLPLLDGGPPLGSFADGPLDRHYLLRGGGGPAATLSRTY HSSGGGFPDGPESRDIPSYGSLSRGLGVRPPRTGLLGPGPGDGCFTLPGRREAFPMGSES GPPSGRSLPEHFQAEPYGLEDDTRSLAADDEGGPDLEPDYSTATRRRPEYGRGLRARAFE DTADDAGELIEERPPFPAATAPLAQPERGSLGSLDRVVRRSPSVDSTRKEPRWRDPELPE VLAMLRHPVDPVKANAAAYLQHLCFENEGIKRRVRQLRGLPLLVALLDHPRAEVRRRACG ALRNLSYGRDTDNKAAIRDCGGVPALVRLLRAARDNEVRELVTGTLWNLSSYEPLKMVII DHGLQTLTHEVIVPHSGWEREPNEDSKPRDAEWTTVFKNTSGCLRNVSSDGAEARRRLRE CEGLVDALLHALQSAVGRKDTDNKSVENCVCIMRNLSYHVHKEVPGADRYQEAEPGIPGS TTSQRRRKDDASCFGGKKAKGKKDAEMDRNFDTLDLPKRTEAAKGFELLYQPEVVRLYLS LLTESRNFNTLEAAAGALQNLSAGNWTWATYIRATVRKERGLPVLVELLQSETDKVVRAV AIALRNLSLDQRNKDLIGSYAMTELVRNVRNAQAPAHPSAHLEEDTVVAVLNTIHEIVSD SLDNARSLLQARGVPALVALVASSQSVREAKAASHVLQTVWSYKELRGALQRDGWTKSRF QSASTAKGPKGTPSSGGFDDSTLPLVDKSLDGEKSNTRDVIPMDTLGPDGYATVDRRERR TLGSDSTGDTSEKELLRPDPGRKAPPPGPSRPSVRLVDAVGDTKPQPVDSWV >ENSMUSP00000087562.3 pep:known chromosome:GRCm38:16:18348274:18407072:1 gene:ENSMUSG00000000325.15 transcript:ENSMUST00000090103.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arvcf description:armadillo repeat gene deleted in velo-cardio-facial syndrome [Source:MGI Symbol;Acc:MGI:109620] MEDCNVHSAASILASVKEQEARFERLTRALEQERRHVALQLERAQQPGMSSGGMVGSGQP LPMAWQQLVLQEQSPGSQASLATMPEAPEVLEETVTVEEDPGTPTSHVSIVTSEDGTTRR TETKVTKTVKTVTTRTVRQVPLGPDGLPLLDGGPPLGSFADGPLDRHYLLRGGGGPAATL SRTYHSSGGGFPDGPESRDIPSYGSLSRGLGVRPPRTGLLGPGPGDGCFTLPGRREAFPM GSESGPPSGRSLPEHFQAEPYGLEDDTRSLAADDEGGPDLEPDYSTATRRRPEYGRGLRA RAFEDTADDAGELIEERPPFPAATAPLAQPERGSLGSLDRVVRRSPSVDSTRKEPRWRDP ELPEVLAMLRHPVDPVKANAAAYLQHLCFENEGIKRRVRQLRGLPLLVALLDHPRAEVRR RACGALRNLSYGRDTDNKAAIRDCGGVPALVRLLRAARDNEVRELVTGTLWNLSSYEPLK MVIIDHGLQTLTHEVIVPHSGWEREPNEDSKPRDAEWTTVFKNTSGCLRNVSSDGAEARR RLRECEGLVDALLHALQSAVGRKDTDNKSVENCVCIMRNLSYHVHKEVPGADRYQEAEPG IPGSTTSQRRRKDDASCFGGKKAKEEWFHQGKKDAEMDRNFDTLDLPKRTEAAKGFELLY QPEVVRLYLSLLTESRNFNTLEAAAGALQNLSAGNWTWATYIRATVRKERGLPVLVELLQ SETDKVVRAVAIALRNLSLDQRNKDLIGSYAMTELVRNVRNAQAPAHPSAHLEEDTVVAV LNTIHEIVSDSLDNARSLLQARGVPALVALVASSQSVREAKAASHVLQTVWSYKELRGAL QRDGWTKSRFQSASTAKGPKGTPSSGGFDDSTLPLVDKSLDGEKSNTRDVIPMDTLGPDG YATVDRRERRTLGSDSTGDTSEKELLRPDPGRKAPPPGPSRPSVRLVDAVGDTKPQPVDS WV >ENSMUSP00000005452.5 pep:known chromosome:GRCm38:13:55152640:55168759:1 gene:ENSMUSG00000005320.9 transcript:ENSMUST00000005452.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr4 description:fibroblast growth factor receptor 4 [Source:MGI Symbol;Acc:MGI:95525] MWLLLALLSIFQGTPALSLEASEEMEQEPCLAPILEQQEQVLTVALGQPVRLCCGRTERG RHWYKEGSRLASAGRVRGWRGRLEIASFLPEDAGRYLCLARGSMTVVHNLTLLMDDSLTS ISNDEDPKTLSSSSSGHVYPQQAPYWTHPQRMEKKLHAVPAGNTVKFRCPAAGNPMPTIH WLKDGQAFHGENRIGGIRLRHQHWSLVMESVVPSDRGTYTCLVENSLGSIRYSYLLDVLE RSPHRPILQAGLPANTTAVVGSDVELLCKVYSDAQPHIQWLKHVVINGSSFGADGFPYVQ VLKTTDINSSEVEVLYLRNVSAEDAGEYTCLAGNSIGLSYQSAWLTVLPEEDLTWTTATP EARYTDIILYVSGSLVLLVLLLLAGVYHRQVIRGHYSRQPVTIQKLSRFPLARQFSLESR SSGKSSLSLVRGVRLSSSGPPLLTGLVNLDLPLDPLWEFPRDRLVLGKPLGEGCFGQVVR AEAFGMDPSRPDQTSTVAVKMLKDNASDKDLADLVSEMEVMKLIGRHKNIINLLGVCTQE GPLYVIVECAAKGNLREFLRARRPPGPDLSPDGPRSSEGPLSFPALVSCAYQVARGMQYL ESRKCIHRDLAARNVLVTEDDVMKIADFGLARGVHHIDYYKKTSNGRLPVKWMAPEALFD RVYTHQSDVWSFGILLWEIFTLGGSPYPGIPVEELFSLLREGHRMERPPNCPSELYGLMR ECWHAAPSQRPTFKQLVEALDKVLLAVSEEYLDLRLTFGPFSPSNGDASSTCSSSDSVFS HDPLPLEPSPFPFSDSQTT >ENSMUSP00000083835.4 pep:known chromosome:GRCm38:5:111330763:111388359:1 gene:ENSMUSG00000050017.11 transcript:ENSMUST00000086635.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnb description:phosphatidylinositol transfer protein, beta [Source:MGI Symbol;Acc:MGI:1927542] MVLIKEFRVVLPCSVQEYQVGQLYSVAEASKNETGGGEGIEVLKNEPYENDGEKGQYTHK IYHLKSKVPAFVRMIAPEGSLVFHEKAWNAYPYCRTIVTNEYMKDDFFIKIETWHKPDLG TLENVHGLDPNTWKTVEIVHIDIADRSQVEPADYKADEDPALFHSVKTKRGPLGPNWKKE LANTPDCPRMCAYKLVTIKFKWWGLQSKVENFIQKQEKRIFTNLHRQLFCWIDKWIDLTM EDIRRMEDETQKELETMRKKGSVRGTSAADA >ENSMUSP00000142732.1 pep:known chromosome:GRCm38:5:111330807:111388359:1 gene:ENSMUSG00000050017.11 transcript:ENSMUST00000200298.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnb description:phosphatidylinositol transfer protein, beta [Source:MGI Symbol;Acc:MGI:1927542] MVLIKEFRVVLPCSVQEYQVGQLYSVAEASKNETGGGEGIEVLKNEPYENDGEKGQYTHK IYHLKSKVPAFVRMIAPEGSLVFHEKAWNAYPYCRTIVTNEYMKDDFFIKIETWHKPDLG TLENVHGLDPNTWKTVEIVHIDIADRSQVEPADYKADEDPALFHSVKTKRGPLGPNWKKE LANTPDCPRMCAYKLVTIKFKWWGLQSKVENFIQKQEKRIFTNLHRQLFCWIDKWIDLTM EDIRRMEDETQKELETLRSQGQVRGTSAACDD >ENSMUSP00000101444.1 pep:known chromosome:GRCm38:4:138250435:138301967:1 gene:ENSMUSG00000028758.12 transcript:ENSMUST00000105818.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif17 description:kinesin family member 17 [Source:MGI Symbol;Acc:MGI:1098229] MQGLPDPPCQRGIIPRAFEHVFESVQCAENTKFLVRASYLEIYNEDVHDLLGADTKQRLE LKEHPEKGVYVKGLSMHTVHNVAQCERVMETGWKNRAVGYTLMNKDSSRSHSIFTINIEI YAVDNNYDETLSTLRYANRAKNIKNKPRINEDPKDALLREYQEEIKRLKAILAQQMGPGN LSALLSTQTPPGPVQSEEKLLSPTTVQQDTEAEKQLIREEYEERLARLKADYEAEQESRV RLQEDITAMRNSYDVKLSTLQENLRKEKETEAILKAEVLCKTEVMSRAELASGPEYSPPL QYETAVKPTILSMPDMPPSGKVTKSQAPLAFEEPHGETSRSEFSFESNECSTLEDSATSE AFPGPEEFSNMEFSMAAALTESRYLPEEYLGGQEAAASPLEAERYVQENEPSLEPLRILA SLQDPFAEVEAKLARLSSTVAMSDSSQTVVPQIPKQQPSSADLLEPSDTKSEADVAVADN VVLGTEPDVNLRVAEEVVSEAETGVWMESEAQVAHVAQVSEEAQPQPLLAMVSVRRESVG VEVAVLTEEELQPVDQQQVLARLQLLEQQVVGGEQAKNKDLREKHKRRKRYADERKKQLV AALQNSDEDGGDWVLLNVYDSIQEEVRAKSKLLEKMQRKLRAAEVEIKDLQSEFQLEKID YLATIRRQERDSMLFQQLLEQVQPLIRRDCNYSNLEKIRRESSWDEDNGFWKIPDPIILK TSLPVVPTGTQNKPARKTSAVDSGEPHMHITTHHRG >ENSMUSP00000030539.3 pep:known chromosome:GRCm38:4:138262232:138301492:1 gene:ENSMUSG00000028758.12 transcript:ENSMUST00000030539.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif17 description:kinesin family member 17 [Source:MGI Symbol;Acc:MGI:1098229] MASESVKVVVRCRPMNKRERELSCQSVVTVDSARGQCFIQNPGAADEPPKQFTFDGAYYI EHFTEQIYNEIAYPLVEGVTEGYNGTIFAYGQTGSGKSFTMQGLPDPPCQRGIIPRAFEH VFESVQCAENTKFLVRASYLEIYNEDVHDLLGADTKQRLELKEHPEKGVYVKGLSMHTVH NVAQCERVMETGWKNRAVGYTLMNKDSSRSHSIFTINIEIYAVDERGKDHLRAGKLNLVD LAGSERQSKTGATGERLKEATKINLSLSALGNVISALVDGRCKHIPYRDSKLTRLLQDSL GGNTKTLMVACLSPADNNYDETLSTLRYANRAKNIKNKPRINEDPKDALLREYQEEIKRL KAILAQQMGPGNLSALLSTQTPPGPVQSEEKLLSPTTVQQDTEAEKQLIREEYEERLARL KADYEAEQESRVRLQEDITAMRNSYDVKLSTLQENLRKEKETEAILKAEVLCKTEVMSRA ELASGPEYSPPLQYETAVKPTILSMPDMPPSGKVTKSQAPLAFEEPHGETSRSEFSFESN ECSTLEDSATSEAFPGPEEFSNMEFSMAAALTESRYLPEEYLGGQEAAASPLEAERYVQE NEPSLEPLRILASLQDPFAEVEAKLARLSSTVAMSDSSQTVVPQIPKQPSSADLLEPSDT KSEADVAVADNVVLGTEPDVNLRVAEEVVSEAETGVWMESEAQVAHVAQVSEEAQPQPLL AMVSVRRESVGVEVAVLTEEELQPVDQQQVLARLQLLEQQVVGGEQAKNKDLREKHKRRK RYADERKKQLVAALQNSDEDGGDWVLLNVYDSIQEEVRAKSKLLEKMQRKLRAAEVEIKD LQSEFQLEKIDYLATIRRQERDSMLFQQLLEQVQPLIRRDCNYSNLEKIRRESSWDEDNG FWKIPDPIILKTSLPVVPTGTQNKPARKTSAVDSGEPHMQEEDRYKLMLSRSDSENIASN YFRSKRASQILSTDPMKSLTYHNSPPGLNSSLSNNSALPPTQTPEMPQPRPFRLESLDIP FSKAKRKKSKNSFGGEPL >ENSMUSP00000101447.2 pep:known chromosome:GRCm38:4:138262472:138301382:1 gene:ENSMUSG00000028758.12 transcript:ENSMUST00000105821.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif17 description:kinesin family member 17 [Source:MGI Symbol;Acc:MGI:1098229] MASESVKVVVRCRPMNKRERELSCQSVVTVDSARGQCFIQNPGAADEPPKQFTFDGAYYI EHFTEQIYNEIAYPLVEGVTEGYNGTIFAYGQTGSGKSFTMQGLPDPPCQRGIIPRAFEH VFESVQCAENTKFLVRASYLEIYNEDVHDLLGADTKQRLELKEHPEKGVYVKGLSMHTVH NVAQCERVMETGWKNRAVGYTLMNKDSSRSHSIFTINIEIYAVDERGKDHLRAGKLNLVD LAGSERQSKTGATGERLKEATKINLSLSALGNVISALVDGRCKHIPYRDSKLTRLLQDSL GGNTKTLMVACLSPADNNYDETLSTLRYANRAKNIKNKPRINEDPKDALLREYQEEIKRL KAILAQQMGPGNLSALLSTQTPPGPVQSEEKLLSPTTVQQDTEAEKQLIREPSSADLLEP SDTKSEADVAVADNVVLGTEPDVNLRVAEEVVSEAETGVWMESEAQVAHVAQVSEEAQPQ PLLAMVSVRRESVGVEVAVLTEEELQPVDQQQVLARLQLLEQQVVGGEQAKNKDLREKHK RRKRYADERKKQLVAALQNSDEDGGDWVLLNVYDSIQEEVRAKSKLLEKMQRKLRAAEVE IKDLQSEFQLEKIDYLATIRRQERDSMLFQQLLEQVQPLIRRDCNYSNLEKIRRESSWDE DNGFWKIPDPIILKTSLPVVPTGTQNKPARKTSAVDSGEPHMHITTHHRG >ENSMUSP00000135251.1 pep:known chromosome:GRCm38:12:59129720:59190176:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000175912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MELEGLGEEVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWRGFRSIRSRFYV GREKKLALELSALIEEKCKLLDKVSIVQKEYEGLESSLKEASFEKESTEAQSLEATYENL EQSKSKLEDEILLLEEKLEEERAKHSEQDELMADISKRIQSLEDESKSLKSQVAEAKTTF RIFEINEERLKGAIKDALNENSQLQESQKQLLQETEMMKEQVNDLDKQKVALEESRAQAE QALSEKESQIETLVTSLLKMKDWAAVLGEADDGNLDLDMKSGLENTAALDNQPKGALKKL IYAAKLNASLKALEGERNQVYTQLSEVDQVKEDLTEHIKSLESKQASLQSEKTEFESESQ KLQQKLKVITELYQENEMKLHRKLTVEENYRLEKEEKLSKVDEKISHATEELETCRQRAK DLEEELERTIHSYQGQVISHEKKAHDNWLAARTLERNLNDLRKENAHNRQKLTETEFKFE LLEKDPYALDVPNTAFGREHSPYGPSPLGRPPSETRAFLSPPTLLEGPLRLSPLLPGGGG RGSRGPENLLDHQMNTERGESSYDRLSDAPRAPSDRSLSPPWEQDRRMTAHPPPGQPYSD PALQRQDRFYPNSGRLSGPAELRSYNMPSLDKVDGPVPSEMESSGNGTKDNLGNSNVPDS PIPAECEAAGRGFFPPPFPPVRDPLFPVDPRSQFMRRGPSFPPPPPGSIYAAPRDYFPPR DFPGPPLPPFPGRTVYAPRGFPPYLPPRAGFFPPPPHPESRSELPPDLIPPSKEPAADPP ETQEA >ENSMUSP00000135245.1 pep:known chromosome:GRCm38:12:59129746:59190173:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000176892.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MELEGLGEEVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWRGFRSIRSRFYV GREKKLALELSALIEEKCKLLDKVSIVQKEATYENLEQSKSKLEDEILLLEEKLEEERAK HSEQDELMADISKRIQSLEDESKSLKSQVAEAKTTFRIFEINEERLKGAIKDALNENSQL QESQKQLLQETEMMKEQVNDLDKQKVALEESRAQAEQALSEKESQIETLVTSLLKMKDWA AVLGEADDGNLDLDMKSGLENTAALDNQPKGALKKLIYAAKLNASLKALEGERNQVYTQL SEVDQVKEDLTEHIKSLESKQASLQSEKTEFESESQKLQQKLKVITELYQENEMKLHRKL TVEENYRLEKEEKLSKVDEKISHATEELETCRQRAKDLEEELERTIHSYQGQVISHEKKA HDNWLAARTLERNLNDLRKENAHNRQKLTETEFKFELLEKDPYALDVPNTAFGREHSPYG PSPLGRPPSETRAFLSPPTLLEGPLRLSPLLPGGGGRGSRGPENLLDHQMNTERGESSYD RLSDAPRAPSDRSLSPPWEQDRRMTAHPPPGQPYSDPALQRQDRFYPNSGRLSGPAELRS YNMPSLDKVDGPVPSEMESSGNGTKDNLGNSNVPDSPIPAECEAAGRGFFPPPFPPVRDP LFPVDPRSQFMRRGPSFPPPPPGSIYAAPRDYFPPRDFPGPPLPPFPGRTVYAPRGFPPY LPPRAGFFPPPPHPESRSELPPDLIPPSKEPAADPPETQEA >ENSMUSP00000070572.8 pep:known chromosome:GRCm38:12:59129763:59190176:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000069430.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MELEGLGEEVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWRGFRSIRSRFYV GREKKLALELSALIEEKCKLLDKVSIVQKEYEGLESSLKEASFEKESTEAQSLEFVEGSQ ISEATYENLEQSKSKLEDEILLLEEKLEEERAKHSEQDELMADISKRIQSLEDESKSLKS QVAEAKTTFRIFEINEERLKGAIKDALNENSQLQESQKQLLQETEMMKEQVNDLDKQKVA LEESRAQAEQALSEKESQIETLVTSLLKMKDWAAVLGEADDGNLDLDMKSGLENTAALDN QPKGALKKLIYAAKLNASLKALEGERNQVYTQLSEVDQVKEDLTEHIKSLESKQASLQSE KTEFESESQKLQQKLKVITELYQENEMKLHRKLTVEENYRLEKEEKLSKVDEKISHATEE LETCRQRAKDLEEELERTIHSYQGQVISHEKKAHDNWLAARTLERNLNDLRKENAHNRQK LTETEFKFELLEKDPYALDVPNTAFGREHSPYGPSPLGRPPSETRAFLSPPTLLEGPLRL SPLLPGGGGRGSRGPENLLDHQMNTERGESSYDRLSDAPRAPSDRSLSPPWEQDRRMTAH PPPGQPYSDPALQRQDRFYPNSGRLSGPAELRSYNMPSLDKVDGPVPSEMESSGNGTKDN LGNSNVPDSPIPAECEAAGRGFFPPPFPPVRDPLFPVDPRSQFMRRGPSFPPPPPGSIYA APRDYFPPRDFPGPPLPPFPGRTVYAPRGFPPYLPPRAGFFPPPPHPESRSELPPDLIPP SKEPAADPPETQEA >ENSMUSP00000135179.1 pep:known chromosome:GRCm38:12:59129765:59149538:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000177370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MELEGLGEEVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWRGFRSIRSRFYV GREKKLALELSALIEEKCKLLDKVSIVQKEFVEGSQISEATYENLEQSKSKLEDEILLLE EKLEEERAKHSEQDELMADISKRIQSLEDESKSLKSQVAEAKTTFRIFEINEERLKGAIK DALNE >ENSMUSP00000134972.1 pep:known chromosome:GRCm38:12:59129785:59190222:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000176752.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MELEGLGEEVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWRGFRSGEKRNLL LSFLH >ENSMUSP00000135694.1 pep:known chromosome:GRCm38:12:59129810:59168945:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000176727.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MELEGLGEEVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWRGFRSGEKRNLL LSFLH >ENSMUSP00000135618.1 pep:known chromosome:GRCm38:12:59130377:59189950:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000177225.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MRADFNPSGFSLELAVCVLSVGLLAVVLFLWRGFRSIRSRFYVGREKKLALELSALIEEK CKLLDKVSIVQKEYEGLESSLKEASFEKESTEAQSLEATYENLEQSKSKLEDEILLLEEK LEEERAKHSEQDELMADISKRIQSLEDESKSLKSQVAEAKTTFRIFEINEERLKGAIKDA LNENSQLQESQKQLLQETEMMKEQVNDLDKQKVALEESRAQAEQALSEKESQIETLVTSL LKMKDWAAVLGEADDGNLDLDMKSGLENTAALDNQPKGALKKLIYAAKLNASLKALEGER NQVYTQLSEVDQVKEDLTEHIKSLESKQASLQSEKTEFESESQKLQQKLKVITELYQENE MKLHRKLTVEENYRLEKEEKLSKVDEKISHATEELETCRQRAKDLEEELERTIHSYQGQV ISHEKKAHDNWLAARTLERNLNDLRKENAHNRQKLTETEFKFELLEKDPYALDVPNTAFG RGSRGPENLLDHQMNTERGESSYDRLSDAPRAPSDRSLSPPWEQDRRMTAHPPPGQPYSD PALQRQDRFYPNSGRLSGPAELRSYNMPSLDKVDGPVPSEMESSGNGTKDNLGNSNVPDS PIPAECEAAGRGFFPPPFPPVRDPLFPVDPRSQFMRRGPSFPPPPPGSIYAAPRDYFPPR DFPGPPLPPFPGRTVYAPRGFPPYLPPRAGFFPPPPHPESRSELPPDLIPPSKEPAADPP ETQEA >ENSMUSP00000135440.1 pep:known chromosome:GRCm38:12:59130837:59190222:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000175877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MRADFNPSGFSLELAVCVLSVGLLAVVLFLWRGFRSIRSRFYVGREKKLALELSALIEEK CKLLDKVSIVQKEATYENLEQSKSKLEDEILLLEEKLEEERAKHSEQDELMADISKRIQS LEDESKSLKSQVAEAKTTFRIFEINEERLKGAIKDALNENSQLQESQKQLLQETEMMKEQ VNDLDKQKVALEESRAQAEQALSEKESQIETLVTSLLKMKDWAAVLGEADDGNLDLDMKS GLENTAALDNQPKGALKKLIYAAKLNASLKALEGERNQVYTQLSEVDQVKEDLTEHIKSL ESKQASLQSEKTEFESESQKLQQKLKVITELYQENEMKLHRKLTVEENYRLEKEEKLSKV DEKISHATEELETCRQRAKDLEEELERTIHSYQGQVISHEKKAHDNWLAARTLERNLNDL RKENAHNRQKLTETEFKFELLEKDPYALDVPNTAFGRGSRGPENLLDHQMNTERGESSYD RLSDAPRAPSDRSLSPPWEQDRRMTAHPPPGQPYSDPALQRQDRFYPNSGRLSGPAELRS YNMPSLDKVDGPVPSEMESSGNGTKDNLGNSNVPDSPIPAECEAAGRGFFPPPFPPVRDP LFPVDPRSQFMRRGPSFPPPPPGSIYAAPRDYFPPRDFPGPPLPPFPGRTVYAPRGFPPY LPPRAGFFPPPPHPESRSELPPDLIPPSKEPAADPPETQEA >ENSMUSP00000134887.1 pep:known chromosome:GRCm38:12:59131022:59146872:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000177460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MAEAGVSVTEGSPGVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWRGFRSIR SRFYVGREKKLALELSALIEEKCKLLDKVSIVQKEYEGLESSLKEASFEKESTEAQSLEF VEGSQISEATYENLEQS >ENSMUSP00000135390.1 pep:known chromosome:GRCm38:12:59131286:59191583:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000176464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MAGPGAAAGCARRVLEQLRWVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWR GFRSIRSRFYVGREKKLALELSALIEEKCKLLDKVSIVQKEYEGLESSLKEASFEKESTE AQSLEATYENLEQSKSKLEDEILLLEEKLEEERAKHSEQDELMADISKRIQSLEDESKSL KSQVAEAKTTFRIFEINEERLKGAIKDALNENSQLQESQKQLLQETEMMKEQVNDLDKQK VALEESRAQAEQALSEKESQIETLVTSLLKMKDWAAVLGEADDGNLDLDMKSGLENTAAL DNQPKGALKKLIYAAKLNASLKALEGERNQVYTQLSEVDQVKEDLTEHIKSLESKQASLQ SEKTEFESESQKLQQKLKVITELYQENEMKLHRKLTVEENYRLEKEEKLSKVDEKISHAT EELETCRQRAKDLEEELERTIHSYQGQVISHEKKAHDNWLAARTLERNLNDLRKENAHNR QKLTETEFKFELLEKDPYALDVPNTAFGREHSPYGPSPLGRPPSETRAFLSPPTLLEGPL RLSPLLPGGGGRGSRGPENLLDHQMNTERGESSYDRLSDAPRAPSDRSLSPPWEQDRRMT AHPPPGQPYSDPALQRQDRFYPNSGRLSGPAELRSYNMPSLDKVDGPVPSEMESSGNGTK DNLGNSNVPDSPIPAECEAAGRGFFPPPFPPVRDPLFPVDPRSQFMRRGPSFPPPPPGSI YAAPRDYFPPRDFPGPPLPPFPGRTVYAPRGFPPYLPPRAGFFPPPPHPESRSELPPDLI PPSKEPAADPPETQEA >ENSMUSP00000126538.2 pep:known chromosome:GRCm38:12:59131453:59190173:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000170992.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MAGPGAAAGCARRVLEQLRWVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWR GFRSIRSRFYVGREKKLALELSALIEEKCKLLDKVSIVQKEATYENLEQSKSKLEDEILL LEEKLEEERAKHSEQDELMADISKRIQSLEDESKSLKSQVAEAKTTFRIFEINEERLKGA IKDALNENSQLQESQKQLLQETEMMKEQVNDLDKQKVALEESRAQAEQALSEKESQIETL VTSLLKMKDWAAVLGEADDGNLDLDMKSGLENTAALDNQPKGALKKLIYAAKLNASLKAL EGERNQVYTQLSEVDQVKEDLTEHIKSLESKQASLQSEKTEFESESQKLQQKLKVITELY QENEMKLHRKLTVEENYRLEKEEKLSKVDEKISHATEELETCRQRAKDLEEELERTIHSY QGQVISHEKKAHDNWLAARTLERNLNDLRKENAHNRQKLTETEFKFELLEKDPYALDVPN TAFGREHSPYGPSPLGRPPSETRAFLSPPTLLEGPLRLSPLLPGGGGRGSRGPENLLDHQ MNTERGESSYDRLSDAPRAPSDRSLSPPWEQDRRMTAHPPPGQPYSDPALQRQDRFYPNS GRLSGPAELRSYNMPSLDKVDGPVPSEMESSGNGTKDNLGNSNVPDSPIPAECEAAGRGF FPPPFPPVRDPLFPVDPRSQFMRRGPSFPPPPPGSIYAAPRDYFPPRDFPGPPLPPFPGR TVYAPRGFPPYLPPRAGFFPPPPHPESRSELPPDLIPPSKEPAADPPETQEA >ENSMUSP00000135100.1 pep:known chromosome:GRCm38:12:59131467:59189952:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000176322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MAGPGAAAGCARRVLEQLRWVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWR GFRSIRSRFYVGREKKLALELSALIEEKCKLLDKVSIVQKEYEGLESSLKEASFEKESTE AQSLEFVEGSQISEATYENLEQSKSKLEDEILLLEEKLEEERAKHSEQDELMADISKRIQ SLEDESKSLKSQVAEAKTTFRIFEINEERLKGAIKDALNENSQLQESQKQLLQETEMMKE QVNDLDKQKVALEESRAQAEQALSEKESQIETLVTSLLKMKDWAAVLGEADDGNLDLDMK SGLENTAALDNQPKGALKKLIYAAKLNASLKALEGERNQVYTQLSEVDQVKEDLTEHIKS LESKQASLQSEKTEFESESQKLQQKLKVITELYQENEMKLHRKLTVEENYRLEKEEKLSK VDEKISHATEELETCRQRAKDLEEELERTIHSYQGQVISHEKKAHDNWLAARTLERNLND LRKENAHNRQKLTETEFKFELLEKDPYALDVPNTAFGREHSPYGPSPLGRPPSETRAFLS PPTLLEGPLRLSPLLPGGGGRGSRGPENLLDHQMNTERGESSYDRLSDAPRAPSDRSLSP PWEQDRRMTAHPPPGQPYSDPALQRQDRFYPNSGRLSGPAELRSYNMPSLDKVDGPVPSE MESSGNGTKDNLGNSNVPDSPIPAECEAAGRGFFPPPFPPVRDPLFPVDPRSQFMRRGPS FPPPPPGSIYAAPRDYFPPRDFPGPPLPPFPGRTVYAPRGFPPYLPPRAGFFPPPPHPES RSELPPDLIPPSKEPAADPPETQEA >ENSMUSP00000135001.1 pep:known chromosome:GRCm38:12:59131502:59190222:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000177162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] MAGPGAAAGCARRVLEQLRWVVAALPEDMRADFNPSGFSLELAVCVLSVGLLAVVLFLWR GFRSIRSRFYVGREKKLALELSALIEEKCKLLDKVSIVQKEYEGLESSLKEASFEKESTE AQSLEATYENLEQSKSKLEDEILLLEEKLEEERAKHSEQDELMADISKRIQSLEDESKSL KSQVAEAKTTFRIFEINEERLKGAIKDALNENSQLQESQKQLLQETEMMKEQVNDLDKQK VALEESRAQAEQALSEKESQIETLVTSLLKMKDWAAVLGEADDGNLDLDMKSGLENTAAL DNQPKGALKKLIYAAKLNASLKALEGERNQVYTQLSEVDQVKEDLTEHIKSLESKQASLQ SEKTEFESESQKLQQKLKVITELYQENEMKLHRKLTVEENYRLEKEEKLSKVDEKISHAT EELETCRQRAKDLEEELERTIHSYQGQVISHEKKAHDNWLAARTLERNLNDLRKENAHNR QKLTETEFKFELLEKDPYALDVPNTAFGRGSRGPENLLDHQMNTERGESSYDRLSDAPRA PSDRSLSPPWEQDRRMTAHPPPGQPYSDPALQRQDRFYPNSGRLSGPAELRSYNMPSLDK VDGPVPSEMESSGNGTKDNLGNSNVPDSPIPAECEAAGRGFFPPPFPPVRDPLFPVDPRS QFMRRGPSFPPPPPGSIYAAPRDYFPPRDFPGPPLPPFPGRTVYAPRGFPPYLPPRAGFF PPPPHPESRSELPPDLIPPSKEPAADPPETQEA >ENSMUSP00000134872.1 pep:known chromosome:GRCm38:12:59136109:59189952:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000176336.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] SGFSLELAVCVLSVGLLAVVLFLWRGFRSIRSRFYVGREKKLALELSALIEEKCKLLDKV SIVQKEYEGLESSLKEASFEKESTEAQSLEATYENLEQSKSKLEDEILLLEEKLEEERAK HSEQDELMADISKRIQSLEDESKSLKSQVAEAKTTFRIFEINEERLKGAIKDALNENSQL QESQKQLLQETEMMKEQVNDLDKQKVALEESRAQAEQALSEKESQIETLVTSLLKMKDWA AVLGEADDGNLDLDMKSGLENTAALDNQPKGALKKLIYAAKLNASLKALEGERNQVYTQL SEVDQVKEDLTEHIKSLESKQASLQSEKTEFESESQKLQQKLKVITELYQENEMKLHRKL TVEENYRLEKEEKLSKVDEKISHATEELETCRQRAKDLEEELERTIHSYQGQVISHEKKA HDNWLAARTLERNLNDLRKENAHNRQKLTETEFKFELLEKDPYALDVPNTAFGREHSPYG PSPLGRPPSETRAFLSPPTLLEGPCHLRGNKIEG >ENSMUSP00000135105.1 pep:known chromosome:GRCm38:12:59149511:59189952:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000176617.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] XGAIKDALNENSQLQESQKQLLQETEMMKEQVNDLDKQKVALEESRAQAEQALSEKESQI ETLVTSLLKMKDWAAVLGEADDGNLDLDMKSGLENTAALDNQPKGALKKLIYAAKLNASL KALEGERNQVYTQLSEVDQVKEDLTEHIKSLESKQASLQSEKTEFESESQKLQQKLKVIT ELYQENEMKLHRKLTVEENYRLEKEEKLSKVDEKISHATEELETCSVPRILKKSWREQFI LTRGRLFLMKKKHMIIGWQLGLLKEISMI >ENSMUSP00000134828.1 pep:known chromosome:GRCm38:12:59162890:59184297:1 gene:ENSMUSG00000021000.15 transcript:ENSMUST00000175837.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctage5 description:CTAGE family, member 5 [Source:MGI Symbol;Acc:MGI:1346056] XSLESKQASLQSEKTEFESESQKLQQKLKVITELYQENEMKLHRKLTVEENYRLEKEEKL SKVDEKISHATEELETCRQRAKDLEEELERTIHSYQGQVISHEKKAHDNWLAARTLERNL NDLRKENAHNRQKALPIWSLTIGPTSI >ENSMUSP00000030993.6 pep:known chromosome:GRCm38:5:33897916:33936413:-1 gene:ENSMUSG00000029111.7 transcript:ENSMUST00000030993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfa description:negative elongation factor complex member A, Whsc2 [Source:MGI Symbol;Acc:MGI:1346098] MASMRESDTGLWLHNKLGATDELWAPPSIASLLTAAVIDNIRLCFHRLSSAVKLKLLLGT LHLPRRTVDEMKAALMDIIQLATLDSDPWVLMVADILKSFPDTGSLNLDLEEQNPNVQDI LGELREKVSECEASAMLPLECQYLNKNALTTLAGPLTPPVKHFQLKRKPKSATLRAELLQ KSTETAQQLKRSAGVPFHAKGRGLLRKMDTTTPLKGIPKQAPFRSPTTPSVFSPSGNRTP IPPSRTPLQKERGVKLLDISELNTVGAGREAKRRRKTLDTEVVEKPTKEETVVENATPDY AAGLVSTQKLGSLNSEPTLPSTSYLPSTPSVVPASSYIPSSETPPAPPSREASRPPEEPS APSPTLPTQFKQRAPMYNSGLSPATPAPAAPTSPLTPTTPPAVTPTAQTPPVAMVAPQTQ APAPVQQQPKKNLSLTREQMFAAQEMFKTANKVTRPEKALILGFMAGSRENPCPEQGDVI QIKLSEHTEDLPKADGQGSTTMLVDTVFEMNYATGQWTRFKKYKPMTNVS >ENSMUSP00000026199.7 pep:known chromosome:GRCm38:19:43752996:43768631:1 gene:ENSMUSG00000025193.14 transcript:ENSMUST00000026199.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cutc description:cutC copper transporter [Source:MGI Symbol;Acc:MGI:1913638] MKKGASCERKQAWISSGKAGAGNGFLMEVCVDSVESAVNAERGGAGRIELCSGLLEGGTT PSMGVLQVVKQSVQIPVFVMIRPRGGDFLYSDREVEVMKADIRLAKLYGADGLVFGALTE DGHIDKELCLSLVALCRPLPVTFHRALETLLTLGFERVLTSGCDSSALEGLPLIKQLIDQ AKGRIVVMPGGGITDKNLQRILEGSGATEFHCSARSSRDSGMKFRNSSVAMGASLAHSEY SLKVTDVTKVRTLNAIAKDVLV >ENSMUSP00000107678.3 pep:known chromosome:GRCm38:19:43753098:43768638:1 gene:ENSMUSG00000025193.14 transcript:ENSMUST00000112047.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cutc description:cutC copper transporter [Source:MGI Symbol;Acc:MGI:1913638] MKKGASCERKQAWISSGKAGAGNGFLMEVCVDSVESAVNAERGGAGRIELCSGLLEGGTT PSMGVLQVVKQSVQIPVFVMIRPRGGDFLYSDREVEVMKADIRLAKLYGADGLVFGALTE DGHIDKELCLSLVALCRPLPVTFHRAFDMVHDPMAALETLLTLGFERVLTSGCDSSALEG LPLIKQLIDQAKGRIVVMPGGGITDKNLQRILEGSGATEFHCSARSSRDSGMKFRNSSVA MGASLAHSEYSLKVTDVTKVRTLNAIAKDVLV >ENSMUSP00000118906.1 pep:known chromosome:GRCm38:19:43753137:43768238:1 gene:ENSMUSG00000025193.14 transcript:ENSMUST00000153295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cutc description:cutC copper transporter [Source:MGI Symbol;Acc:MGI:1913638] MKKGASCERKQAWISSGKAGNGFLMEVCVDSVESAVNAERGGAGRIELCSGLLEGGTTPS MGVLQVVKQSVQIPVFVMIRPRGGDFLYSDREVEVMKADIRLAKLYGADGLVFGALTEDG HIDKELCLSLVALCRPLPVTFHRAFDMVHDPMAALETLLTLGFERVLTSGCDSSALEGLP LIKQLIDQAKGRIVVMPGGGITDKNLQRILEGSGATEFHCSARSSRDSGMKFRNSSVAMG ASLAHSEYSLKVTD >ENSMUSP00000023336.9 pep:known chromosome:GRCm38:16:46035657:46120251:-1 gene:ENSMUSG00000022657.9 transcript:ENSMUST00000023336.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd96 description:CD96 antigen [Source:MGI Symbol;Acc:MGI:1934368] MGRKWTYCVVYTIIQIQFFRGVWEELFNVGDDVYALPGSDINLTCQTKEKNFLVQMQWSK VTDKNDMIALYHPQYGLYCGQEHACESQVAATETEKGVTNWTLYLRNISSALGGKYECIF TLYPEGIKTTVYNLIVEPYTQDEHNYTIEIETNRTLEIPCFQNTSSEIPPRFTFSWLVEK DGVEEVLFTHHHHVNNSTSFKGRIRLGGDYRLHLSPVQIQDDGRTFSCHLTVNPLKAWKM STTVKVFAKPEILMTVENSTMDVLGERVFTCLLKNVFPKANITWFIDGRFLQGNEEGIYI TNEEKNCSSGFWELKSVLTRMHSGPSQSNNMTAWCMALSPGPRNKMWNTSSQPITVSFDS VIAPTKHLPTVTGSTLGTQPFSDAGVSPTGYLATPSVTIVDENGLTPDATPQTSNSSMTT KDGNYLEASSGTDAKNSSRAAASSKSGSWPFPFTSPPEWHSLPGTSTGPQEPDSPVSWIP SEVHTSAPLDASLAPHDTIISTTTEFPNVLTTANGTTKIDHGPITSIIVNQPSDGMSWPV LVAALLFFCTLLFGLGVRKWYRYQNEIMERPPPFKPPPPPIKYTYIQEPIGCDLCCHEME VL >ENSMUSP00000017783.6 pep:known chromosome:GRCm38:11:79591212:79698023:1 gene:ENSMUSG00000017639.13 transcript:ENSMUST00000017783.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip4 description:RAB11 family interacting protein 4 (class II) [Source:MGI Symbol;Acc:MGI:2442920] MAGGAGWAGAPAALLRSVRRLREVFEVCGRDPDGFLRVERVAALGLRFGQGEEVEKLVKC LDPNDLGRINFKDFCRGVFAMKGCEELLKDVLSVESAGTLPCSPDIPDCVEQGSDFSGST DGEQLPREPDFFQEDEEEAMTLALPEGPQELDMDSPMESSQGPEGSVKGCGEEKEPELGG LFLPEDKCLVLTPSVTTSDLSTHSTASLISNEEQFEDYGEGDDVDCAPSSPCPDDETRTN VYSDLGSSVSSSAGQTPRKMRHAYNSELLDVYCSQCCKKINLLNDLEARLKNLKANSPNR KISSTAFGRQLMHNSNFSSSNGSTEDLFRDSIDSCDNDITEKVSFLEKKVTELENDSLTS GGLKSKLKQENMQLVHRVHELEEMVKDQETTAEQALEEEARRHREVYCKLEREKSTELEL LNTRVQQLEEENTDLRTTVARLKSQTEKLDEERQRMSDRLEDTSLRLKDEMDLYKRMMDK LRQNRLEFQKEREATQELIEDLRRELEHLQMYKLDCERPGRGRSSSGLGEFNARAREVEL EHEVKRLKQENHKLRDQNDDLNGQILSLSLYEAKNLFATQTKAQSLAAEIDTASRDELME ALKEQEEINFRLRQYMDKIILAILDHNPSILEIKH >ENSMUSP00000114971.1 pep:known chromosome:GRCm38:11:79660562:79683498:1 gene:ENSMUSG00000017639.13 transcript:ENSMUST00000155381.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip4 description:RAB11 family interacting protein 4 (class II) [Source:MGI Symbol;Acc:MGI:2442920] MRSPPAPGCQGSDFSGSTDGEQLPREPDFFQEDEEEAMTLALPEGPQELDMDSPMESSQG PEGSVKGCGEEKEPELGGLFLPEDKCLVLTPSVTTSDLSTHSTASLISNEEQFEDYGEGD DVDCAPSSPCPDDETRT >ENSMUSP00000114019.2 pep:known chromosome:GRCm38:3:20122084:20155317:-1 gene:ENSMUSG00000019528.18 transcript:ENSMUST00000118015.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gyg description:glycogenin [Source:MGI Symbol;Acc:MGI:1351614] MRPGLEPARPRDGRYKSGGLRTPALPAGRGHLPAPGSSDPPGHTMTDQAFVTLTTNDAYA KGALVLGSSLKQHRTTRRMVVLTSPQVSDSMRKVLETVFDDVIMVDVLDSGDSAHLTLMK RPELGITLTKLHCWSLTQYSKCVFMDADTLVLSNIDDLFEREELSAAPDPGWPDCFNSGV FVYQPSIETYNQLLHLASEQGSFDGGDQGLLNTYFSGWATTDITKHLPFVYNLSSISIYS YLPAFKAFGKNAKVVHFLGRTKPWNYTYNPQTKSVNCDSQDPTVSHPEFLNLWWDTFTTN VLPLLQHHGLVKDASSYLMMEHVSGALSDLSFGEAPAAPQPSMSSEERKERWEQGQADYM GADSFDNIKRKLDTYLQ >ENSMUSP00000138949.1 pep:known chromosome:GRCm38:3:20122147:20155069:-1 gene:ENSMUSG00000019528.18 transcript:ENSMUST00000184552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gyg description:glycogenin [Source:MGI Symbol;Acc:MGI:1351614] XALPAGRGHLPAPGSSDPPGHTMTDQAFVTLTTNDAYAKGALVLGSSLKQHRTTRRMVVL TSPQVSDSMRKVLETVFDDVIMVDVLDSGDSAHLTLMKRPELGITLTKLHCWSLTQYSKC VFMDADTLVLSNIDDLFEREELSAAPDPGWPDCFNSGVFVYQPSIETYNQLLHLASEQGS FDGGDQGLLNTYFSGWATTDITKHLPFVYNLSSISIYSYLPAFKAFGKNAKVVHFLGRTK PWNYTYNPQTKSVNCDSQDPTVSHPEFLNLWWDTFTTNVLPLLQHHGLVKDASSYLMMLS DLVYTLAFSCGFCRKEHVSGALSDLSFGEAPAAPQPSMSSEERKERWEQGQADYMGADSF DNIKRKLDTYLQ >ENSMUSP00000136035.1 pep:known chromosome:GRCm38:3:20122087:20155096:-1 gene:ENSMUSG00000019528.18 transcript:ENSMUST00000178328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gyg description:glycogenin [Source:MGI Symbol;Acc:MGI:1351614] MTDQAFVTLTTNDAYAKGALVLGSSLKQHRTTRRMVVLTSPQVSDSMRKVLETVFDDVIM VDVLDSGDSAHLTLMKRPELGITLTKLHCWSLTQYSKCVFMDADTLVLSNIDDLFEREEL SAAPDPGWPDCFNSGVFVYQPSIETYNQLLHLASEQGSFDGGDQGLLNTYFSGWATTDIT KHLPFVYNLSSISIYSYLPAFKAFGKNAKVVHFLGRTKPWNYTYNPQTKSVNCDSQDPTV SHPEFLNLWWDTFTTNVLPLLQHHGLVKDASSYLMMEHVSGALSDLSFGEAPAAPQPSMS SEERKERWEQGQADYMGADSFDNIKRKLDTYLQ >ENSMUSP00000026133.8 pep:known chromosome:GRCm38:11:120639416:120643748:-1 gene:ENSMUSG00000025140.15 transcript:ENSMUST00000026133.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pycr1 description:pyrroline-5-carboxylate reductase 1 [Source:MGI Symbol;Acc:MGI:2384795] MSVGFIGAGQLAFALAKGFTAAGVLAAHKIMASSPDMDQATVSALRKIGVNLTPHNKETV RHSDVLFLAVKPHIIPFILDEIGANIEDRHIVVSCAAGVTINSIEKKLTAFQPAPKVIRC MTNTPVVVREGVTVYATGTHAQVEDGRLVEQLMGSVGFCTEVEEDLIDAVTGLSGSGPAY AFTALDALADGGVKMGLPRRLAVRLGAQALLGAAKMLLDSEQHPSQLKDNVCSPGGATIH ALHVLESGGFRSLLINAVEASCIRTRELQTMADQETISPAAIKKTVLDKVKLDSSAGASL SSDHVKPLP >ENSMUSP00000114863.1 pep:known chromosome:GRCm38:11:120641224:120643643:-1 gene:ENSMUSG00000025140.15 transcript:ENSMUST00000141254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pycr1 description:pyrroline-5-carboxylate reductase 1 [Source:MGI Symbol;Acc:MGI:2384795] MSVGFIGAGQLAFALAKGFTAAGVLAAHKIMASSPDMDQATVSALRKIGVNLTPHNKETV RHSDVLFLAVKPHIIPFILDEIGANIEDRHIVVSCAAGVTINSIEKKLTAFQPAPKVIRC MTNTPVVVREGVTVYATGTHAQVEDGRLVEQLMGSVGFCTEVEEDLIDAVTGLSGSGPAY AFTALDALADGGVKMGLPRRLAVRLGAQALLGAAKMLLDSEQHPSQLKDNVCSPGGAT >ENSMUSP00000120558.1 pep:known chromosome:GRCm38:11:120641478:120643704:-1 gene:ENSMUSG00000025140.15 transcript:ENSMUST00000151876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pycr1 description:pyrroline-5-carboxylate reductase 1 [Source:MGI Symbol;Acc:MGI:2384795] MASSPDMDQATVSALRKIGVNLTPHNKETVRHSDVLFLAVKPHIIPFILDEIGANIEDRH IVVSCAAGVTINSIEKKLTAFQPAPKVIRCMTNTPVVVREGVTVYATGTHAQVEDGRLVE QLMGSVGFCTEVEEDLIDAVTGLSGSGPAYAFTALDALADGGVK >ENSMUSP00000117737.1 pep:known chromosome:GRCm38:11:120641714:120643769:-1 gene:ENSMUSG00000025140.15 transcript:ENSMUST00000139706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pycr1 description:pyrroline-5-carboxylate reductase 1 [Source:MGI Symbol;Acc:MGI:2384795] MSVGFIGAGQLAFALAKGFTAAGVLAAHKIMASSPDMDQATVSALRKIGVNLTPHNKETV RHSDVLFLAVKPHIIPFILDEIGANIEDRHIVVSCAAGVTINSIEKKLTAFQPAPKVIRC MTNTPVVVREGVTVYATGTHAQVEDGRLVEQLMGSVGF >ENSMUSP00000131199.1 pep:known chromosome:GRCm38:11:120635712:120643670:-1 gene:ENSMUSG00000025140.15 transcript:ENSMUST00000170556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pycr1 description:pyrroline-5-carboxylate reductase 1 [Source:MGI Symbol;Acc:MGI:2384795] MSVGFIGAGQLAFALAKGFTAAGVLAAHKIMASSPDMDQATVSALRKIGVNLTPHNKETV RHSDVLFLAVKPHIIPFILDEIGANIEDRHIVVSCAAGVTINSIEKKLTAFQPAPKVIRC MTNTPVVVREGVTVYATGTHAQVEDGRLVEQLMGSVGFCTEVEEDLIDAVTGLSGSGPAY AFTALDALADGGVKMGLPRRLAVRLGAQALLGAAKMLLDSEQHPSQLKDNVCSPGGATIH ALHVLESGGFRSLLINAVEASCIRTRELQTMADQETISPAAIKKTVLDKVKLDSSAGASL SSDHVKPLP >ENSMUSP00000045650.9 pep:known chromosome:GRCm38:6:146602352:146634597:-1 gene:ENSMUSG00000040234.16 transcript:ENSMUST00000037709.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm7sf3 description:transmembrane 7 superfamily member 3 [Source:MGI Symbol;Acc:MGI:1914873] MWRLRLLVLAVLAAGSAEAQANSSDGFLEFSVGKFTYFVLSKSSPQEAVLRHISSNVTFL LFQIHSQYQNTTVSFTKTLLPSTSGTGNDRGLVFILRPEQAVCTWYLETGDTKPVQSVAL TLSYSERDPIPGGCNLEFDLDIDPNLYLDYNFFETTIKFAPANIGYARATEPPPCDVSTS RESRWRLRYDVYQYFLPEGDLTEASLLHHLQRMAQVAQVKASAIKVATLTADDKTAVSFS SLPGQGVIYNVIVWDPSLNTSAAYVPVHTYACSFESVDGNCAFPGRVSTKVFSTLVALLG LFVCFFGHRFWKTDLFFIGFIFLGFFFYILITRLTPLQYDVRLALTAVAGSFGGLLLVAS WWRFGILTLCMLCVGLVLGFLVSSGTFFTPLGNLNVFHDDGVFWVTFSCIALLVPVIFMG CLRILNILACGVVGSYSVVLAVNSYMFTSLSYITLNVLRRALNTDFRGAVIRVPFQTNDY IILAVWGMLAVSGITLQIRRERGQPPFPPHPYKLWKQERERRVTNILDPSHHIPPLRERL YGRVARIKELFQKEQPAGERTPLLL >ENSMUSP00000118517.1 pep:known chromosome:GRCm38:6:146610891:146634498:-1 gene:ENSMUSG00000040234.16 transcript:ENSMUST00000127529.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tm7sf3 description:transmembrane 7 superfamily member 3 [Source:MGI Symbol;Acc:MGI:1914873] MWRLRLLVLAVLAAGSAEAQANSSDGFLEFSVGKFTYFVLSKSSPQEAVLRHISSNVTFL LFQIHSQYQNTTVSFTKGYRAPTV >ENSMUSP00000096316.1 pep:known chromosome:GRCm38:7:22463110:22464075:-1 gene:ENSMUSG00000096871.1 transcript:ENSMUST00000098720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10665 description:predicted gene 10665 [Source:MGI Symbol;Acc:MGI:3642415] MSVRGKSLKTTEEVALQIILLCQFGVGTMANDFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTVFPNNMMAFAPRNPPTELKCKLKFFSHLVTRSTNLCSTCVLSMHQFVTLVP ISRGKLLLRASVPNLVSYSCYGCWFFSVLSNIHIPIKVTGPQITDNNTDSKSKFFCSTSG FTVRIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAESRATNTI LMLVVTFVSFYLLNFICIIFHTFFIHYNLFIRLLGEILAAGFPTISPLLLIFRDPKNPCL ELFHCFKPESLQNLKHRRQLH >ENSMUSP00000112380.1 pep:known chromosome:GRCm38:17:24886643:24892149:1 gene:ENSMUSG00000024160.16 transcript:ENSMUST00000117890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb3 description:splA/ryanodine receptor domain and SOCS box containing 3 [Source:MGI Symbol;Acc:MGI:1891471] MARRTRSSRAWHFVLSAARRDTDARAVALAGSSNWGYDSDGQHSDSDSDPEYSSLPPSIP SAVPVTGESFCDCEGQNEATFCNSLHTAHRGKDCRCGEEDEDFDWVWDDLNKSSATLLSC DNRKVSFHMEYSCGTAAIRGTKELGDGQHFWEIKMTSPVYGTDMMVGIGTSDVDLDKYHH TFCSLLGRDEDSWGLSYTGLLHHKGDKTSFSSRFGQGSIIGVHLDTWHGTLTFFKNRKCI GVAATRLQNRRFYPMVCSTAAKSSMKVIRSCASSTSLQYLCCYRLRQLRPNSGDTLEGLP LPPGLKQVLHNKLGWVLSMNCNHWKSPVPPPGTATPGAESLETRPCQRKRCRRS >ENSMUSP00000112492.1 pep:known chromosome:GRCm38:17:24886680:24892149:1 gene:ENSMUSG00000024160.16 transcript:ENSMUST00000120943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb3 description:splA/ryanodine receptor domain and SOCS box containing 3 [Source:MGI Symbol;Acc:MGI:1891471] MARRTRSSRAWHFVLSAARRDTDARAVALAGSSNWGYDSDGQHSDSDSDPEYSSLPPSIP SAVPVTGESFCDCEGQNEATFCNSLHTAHRGKDCRCGEEDEDFDWVWDDLNKSSATLLSC DNRKVSFHMEYSCGTAAIRGTKELGDGQHFWEIKMTSPVYGTDMMVGIGTSDVDLDKYHH TFCSLLGRDEDSWGLSYTGLLHHKGDKTSFSSRFGQGSIIGVHLDTWHGTLTFFKNRKCI GVAATRLQNRRFYPMVCSTAAKSSMKVIRSCASSTSLQYLCCYRLRQLRPNSGDTLEGLP LPPGLKQVLHNKLGWVLSMNCNHWKSPVPPPGTATPGAESLETRPCQRKRCRRS >ENSMUSP00000068567.6 pep:known chromosome:GRCm38:17:24886680:24892149:1 gene:ENSMUSG00000024160.16 transcript:ENSMUST00000068508.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb3 description:splA/ryanodine receptor domain and SOCS box containing 3 [Source:MGI Symbol;Acc:MGI:1891471] MARRTRSSRAWHFVLSAARRDTDARAVALAGSSNWGYDSDGQHSDSDSDPEYSSLPPSIP SAVPVTGESFCDCEGQNEATFCNSLHTAHRGKDCRCGEEDEDFDWVWDDLNKSSATLLSC DNRKVSFHMEYSCGTAAIRGTKELGDGQHFWEIKMTSPVYGTDMMVGIGTSDVDLDKYHH TFCSLLGRDEDSWGLSYTGLLHHKGDKTSFSSRFGQGSIIGVHLDTWHGTLTFFKNRKCI GGASWLPTHMALRLSLPPRSGCYSASKQKVLPDGLLHGCQEQHESHPLLRQLHIPAVPVL LPLAPTAAKFRGHPRGPALATWPQASAA >ENSMUSP00000119896.1 pep:known chromosome:GRCm38:17:24886702:24890596:1 gene:ENSMUSG00000024160.16 transcript:ENSMUST00000130194.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spsb3 description:splA/ryanodine receptor domain and SOCS box containing 3 [Source:MGI Symbol;Acc:MGI:1891471] MARRTRSSRAWHFVLSAARRDTDARAVALAGSSNWGYDSDGQHSDSDSDPEYSSLPPSIP SAVPVTGESFCDCEGQNEATFCNSLHTAHRGKDCRCGEEDEGPLSYRF >ENSMUSP00000112589.1 pep:known chromosome:GRCm38:17:24886737:24892137:1 gene:ENSMUSG00000024160.16 transcript:ENSMUST00000119829.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb3 description:splA/ryanodine receptor domain and SOCS box containing 3 [Source:MGI Symbol;Acc:MGI:1891471] MARRTRSSRAWHFVLSAARRDTDARAVALAGSSNWGYDSDGQHSDSDSDPEYSSLPPSIP SAVPVTGESFCDCEGQNEATFCNSLHTAHRGKDCRCGEEDEDFDWVWDDLNKSSATLLSC DNRKVSFHMEYSCGTAAIRGTKELGDGQHFWEIKMTSPVYGTDMMVGIGTSDVDLDKYHH TFCSLLGRDEDSWGLSYTGRCCLGHGWGWQYSRPPRITPLLLAGLLHHKGDKTSFSSRFG QGSIIGVHLDTWHGTLTFFKNRKCIGVAATRLQNRRFYPMVCSTAAKSSMKVIRSCASST SLQYLCCYRLRQLRPNSGDTLEGLPLPPGLKQVLHNKLGWVLSMNCNHWKSPVPPPGTAT PGAESLETRPCQRKRCRRS >ENSMUSP00000024976.4 pep:known chromosome:GRCm38:17:24887165:24892149:1 gene:ENSMUSG00000024160.16 transcript:ENSMUST00000024976.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb3 description:splA/ryanodine receptor domain and SOCS box containing 3 [Source:MGI Symbol;Acc:MGI:1891471] MGWDTSKVMGVWVVFHTIALGLIEVLRYSSQVLSTMARRTRSSRAWHFVLSAARRDTDAR AVALAGSSNWGYDSDGQHSDSDSDPEYSSLPPSIPSAVPVTGESFCDCEGQNEATFCNSL HTAHRGKDCRCGEEDEDFDWVWDDLNKSSATLLSCDNRKVSFHMEYSCGTAAIRGTKELG DGQHFWEIKMTSPVYGTDMMVGIGTSDVDLDKYHHTFCSLLGRDEDSWGLSYTGLLHHKG DKTSFSSRFGQGSIIGVHLDTWHGTLTFFKNRKCIGVAATRLQNRRFYPMVCSTAAKSSM KVIRSCASSTSLQYLCCYRLRQLRPNSGDTLEGLPLPPGLKQVLHNKLGWVLSMNCNHWK SPVPPPGTATPGAESLETRPCQRKRCRRS >ENSMUSP00000117226.1 pep:known chromosome:GRCm38:17:24887864:24890440:1 gene:ENSMUSG00000024160.16 transcript:ENSMUST00000144430.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spsb3 description:splA/ryanodine receptor domain and SOCS box containing 3 [Source:MGI Symbol;Acc:MGI:1891471] RAVALAGSSNWGYDSDGQADWLLTQWICLASRRSHLCQWGTRSALSTATPTLTLSTPPCH HPSPVLCL >ENSMUSP00000126878.1 pep:known chromosome:GRCm38:17:24886674:24892147:1 gene:ENSMUSG00000024160.16 transcript:ENSMUST00000168265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb3 description:splA/ryanodine receptor domain and SOCS box containing 3 [Source:MGI Symbol;Acc:MGI:1891471] MQGGGGDCLRRGRNGKWVSAGGAFWPQVSRAGPARSGPAAGGLTLQAVKPATRCSLAVAL GSLGGGLGVRRQGRHRCGEIREPLAREATFSPAEAERGYVQGHLWFIHWVPTVCSYTALS QPNCCGVLCAAPPGFFVDGRGEVLSTMARRTRSSRAWHFVLSAARRDTDARAVALAGSSN WGYDSDGQHSDSDSDPEYSSLPPSIPSAVPVTGESFCDCEGQNEATFCNSLHTAHRGKDC RCGEEDEDFDWVWDDLNKSSATLLSCDNRKVSFHMEYSCGTAAIRGTKELGDGQHFWEIK MTSPVYGTDMMVGIGTSDVDLDKYHHTFCSLLGRDEDSWGLSYTGLLHHKGDKTSFSSRF GQGSIIGVHLDTWHGTLTFFKNRKCIGVAATRLQNRRFYPMVCSTAAKSSMKVIRSCASS TSLQYLCCYRLRQLRPNSGDTLEGLPLPPGLKQVLHNKLGWVLSMNCNHWKSPVPPPGTA TPGAESLETRPCQRKRCRRS >ENSMUSP00000129533.1 pep:known chromosome:GRCm38:14:4726775:4747493:1 gene:ENSMUSG00000094628.7 transcript:ENSMUST00000165619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3252 description:predicted gene 3252 [Source:MGI Symbol;Acc:MGI:3781430] MLNSSKLGISGMFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNT STQNSKMTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRL LVEQAGHKCPVGKKRGSLRKPARTSVSQVPKNSSVK >ENSMUSP00000137178.1 pep:known chromosome:GRCm38:14:4741737:4744069:1 gene:ENSMUSG00000094628.7 transcript:ENSMUST00000178748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3252 description:predicted gene 3252 [Source:MGI Symbol;Acc:MGI:3781430] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAG HKCPVGKKRGSLRKPARTSVSQVPKNSSLK >ENSMUSP00000127282.1 pep:known chromosome:GRCm38:7:22498761:22499678:-1 gene:ENSMUSG00000093853.1 transcript:ENSMUST00000166079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r151 description:vomeronasal 1 receptor 151 [Source:MGI Symbol;Acc:MGI:3644394] MSDHGKSVKTTEEVALQILLLCQFGVGTLANVFLFVHNFYPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIYQFVTLVL VNRGKLILRASVPNFVSYSCYSCWFFSVLSNIHISIKVTGPQITDNNTDSKSNLFCSTSG FIVGIVSLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQYRRGQAETRATRTI LMLVVTFVSFYLLNFICIIFHTLLMHSHLFIRLVSEVLAAVFPSISPLLLIFRGPKDPCS VLFNC >ENSMUSP00000050750.4 pep:known chromosome:GRCm38:7:6703892:6730421:-1 gene:ENSMUSG00000002265.16 transcript:ENSMUST00000051209.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peg3 description:paternally expressed 3 [Source:MGI Symbol;Acc:MGI:104748] MYHHEDDTNSDMNSDDDMSRSGRETPPPRPSHAFGSERDLERRGRSRDVEPRDRWPYTRN PRSRLPQRDLSLPVMSRPHFGLDRDDDRRSMDYESRSQDAESYQNVVELKEDKKPQNPIQ DNLENYRKLLSLGVQLAEDDRHSHMTQGHSSRSKRTAYPSTSRGLKPMPEAKKPSHRRGI CEDESSHGVIMEKFIKDVARNPKSGRARELNERPPPRFPRPNDNWKDSSSSRRESVIQER GYEGSAFRGGFRFNADLASRSRALERKRRYHFDSDERGSGHEHKSCVRKKPFECGAEMRQ AMSMGNLNSPSFSESQSIDFGANPYVCDECGRQFSVISEFVEHQIMHTRENLYEYGESFI HSVAVNEVQKGQGGGKRFECKECGETFSRSAALAEHRQIHAREYLAECRDQEDEETIMPS PTFSELQKMYGKDKFYECKVCKETFLHSSALIEHQKIHGRGNSDDRDNERERERDRLRAR AREQRERERERERERELGEPFLTCPNFNEFRKMYRKDKIYECKVCGESFLHLSSLREHQK IHTRGNPFENKSRMCEETFVPSQSLRRRQKTYREKLFDFNNARDALMGNSDSSEHQKNRS RRNFFEGRGFEKPFVESQKSHTITRPPENKDDDKPFTISVNPNDKLKFPIMENGSQGKSY ERSVIHSLGSAEAQKSHGGLGFSKPRPVAESSTQSSSSIYYPRAHSGGNTYEGKEYKDSI IHSLPAPRPLKRHRANDHIQCDEGGESSIYIPDIINKGRKIPAREDAYEGSSSSNYHTPN VSRAEPPSLSGESHDSKQDVTFSVPSSSVREHQKARAKKKYIEPRNNETSVIHSLPFGEL LAGHRRAKFFECQECGEAFARRSELIEHQKIHDRERPSGSRHYERSVIRSLAPSDPQTSY AQERFIQEQVRKFRAFGQRSTTSNNLSVQKIYAQETFNAEEPHDKETHGQKIHDKEPYGK EPSGKEPHGDEPQDKEPLDQEMRSEEPHDDKPHGQEPHDDKPHGQEPHDDKPHGQEPHGD EPHGQEPHGDEPHDKEPIDQEMRSEEPHSEESHGDEPHGEESHGQEKVEDATIQASVSEE HQKDDAGDAIYECQDCGLGFTDLNDLTSHQDTHSRKALVDSREYAHSEVHAHSVSEFEKK CSGEKLYECPKCGESFIHSSLLFEHQRVHEQDQLYSVKACDDAFIALLPVRPRRNCTVER NPAVSGSAIRCRQCGQGFIHSSALNEHMRQHRDNEIMEQSELSDEIFIQGLALTEYQGSE TEEKLFECTICGECFFTAKQLGDHHTKVHKDEPYEYGPSYTHASFLTEPLRKHIPLYECK DCGQSFLDDTVIAERMVFHPEREGGSEIVAATAQEVEANVLIPQEVLRIQGSNAEAAEPE VEAAEPEVEAAEPEVEAAEPNGEAEGPDGEAAEPDGEAEQPNGEAEQPNGDADEPDGAGI EDPEERADEPEEDVEEPEGDADEPDGADIEDPEEEGEDQEIEVEEPYYNCHECAETFASS SAFGEHLKSHASVIIFEPANAPGECSGYIERASTSAGGAEQADDKYFKCDVCGQLFNDRL SLARHQNSHTG >ENSMUSP00000116161.1 pep:known chromosome:GRCm38:7:6709395:6730419:-1 gene:ENSMUSG00000002265.16 transcript:ENSMUST00000150182.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Peg3 description:paternally expressed 3 [Source:MGI Symbol;Acc:MGI:104748] MYHHEDDTNSDMNSDDDMSRSGRETPPPRPSHAFGSERDLERRGRSRDVEPRDRWPYTRN PRSRLPQRDLSLPVMSRPHFGLDRDDDRRSMDYESRSQLHEGKEYVSCVFGICLRV >ENSMUSP00000122423.1 pep:known chromosome:GRCm38:7:6711696:6723215:-1 gene:ENSMUSG00000002265.16 transcript:ENSMUST00000143703.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Peg3 description:paternally expressed 3 [Source:MGI Symbol;Acc:MGI:104748] MYHHEDDTNSDMNSDDDMSRSGRETPPPRPSHAFGSERDLERRGRSRDVEPRDRWPYTRN PRSRLPQRDLSLPVMSRPHFGLDRDDDRRSMDYESRSQLHEGKEYVSCVFGICLRV >ENSMUSP00000012873.8 pep:known chromosome:GRCm38:13:67589443:67609707:-1 gene:ENSMUSG00000058093.12 transcript:ENSMUST00000012873.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp729b description:zinc finger protein 729b [Source:MGI Symbol;Acc:MGI:2145180] MEEMLSFKDVAIDFSAEERECLDPAQWKLYRNVMLENYDNLVFLGLTSSKPYLVTCLEQI QEPSDVKRGAATSMLPECREYGKVFEWSKVFQNHQIIDLEVKPYRSEERAKSFHIPSLSS EESTIHAGEKPYKCEVCGKAFCIPLLLSKHKIIHKGENLYKCEVCGKAFQHPSRLSRHKK IHSEEKPYKCEVCGKAFHFPSLLLVHKRVHTGEKPYKCEVCGKAFHYPSILSKHKRIHTG EKPYKCEECGKAFHISSFLSKHKIIHRGEKPYKCEVCGKAFHYPSRLSNHKKIHSVEKPY KCEICGKAFRILSLLSKHKIIHTEENPYKCEVCGKAFDYPSRLSTHAKMHTGEKPYKCEV CEKAFRSLSSLSKHRRIHMGDNYYNSELCSNAFVYPSRLSKHKKICRGEKPYKCEVCGKA FHVSSLLSKHRTIHTGEKLYKCEVCGKAFYYPSRLSTHKRIHTGEKPYKCEVCGKAFCFP PSLSKHKRIHTGEKPYKCKECGKAFRFPSSLSAHKKIHTGEKPYKCEVCGKAFHYPSLLS KHKIIHTGEKPYKCDICDQAFHVPSKLSHHKIIHTGEKPYKCEVCGKAFHYSSLLSKHKI IHTGKKPYKCEVCDKAFHYPSRLFSHTKMHTGEKPYKCEVCGKAFCSPSSLSKHKRIHKV EKAYSCEICGKTFCIPLLLSKHKIIHTGENHYNSEVCSKFFVYPSRLPKHKKIYKREKPY NCDVCGKAFDYPSRLSKHKKIHTREKPYKCDVCGKAFHIASLLLVHKGIHTGEKPYKCED CGKAFYYPSFLSKHKRIHTGEKPYQCEVCGKAFHVSSSLSKHRIIHTGERPYKCEVCGKA FRFSSSLSKHKRIHTGKKPYKCEECGKAFHFPSLLSKHKISHTREKFYNCDLCSKTFHYP SLLSKHKMIHMEGKPHKCDVCGKAFHYPSKLSNHKKIHTGEKPYKCEVCGNVFRFPSSLS EHKKTHTGENPYKCEVCGKAFCSASLLSKHKIIHIGKKPYKCEVCGKAFHYPSRLSKHKA IHGAGEMSRVEGTGCPSRGPEFNSQ >ENSMUSP00000115783.1 pep:known chromosome:GRCm38:13:67593429:67609617:-1 gene:ENSMUSG00000058093.12 transcript:ENSMUST00000138725.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp729b description:zinc finger protein 729b [Source:MGI Symbol;Acc:MGI:2145180] MEVSAGSSAIFQEMLSFKDVAIDFSAEERECLDPAQWKLYRNVMLENYDNLVFLGLTSSK PYLVTCLEQIQEPSDVKRGAATSMLPECREYGKVFEWSKVFQNHQIIDLEVKPYRSEERA KSFHIPSLSSEESTIHAGEKPYKCEVCGKAFCIPLLLSKHKIIHKGENLYKCEVCGKAFQ HPSRLSRHKKIHSEEKPYKCEVCGKAFHFPSLLLVHKRVHTGEKPYKCEVCGKAFHYPSI LSKHKRIHT >ENSMUSP00000128566.1 pep:known chromosome:GRCm38:7:22522967:22523890:1 gene:ENSMUSG00000095383.1 transcript:ENSMUST00000168326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r152 description:vomeronasal 1 receptor 152 [Source:MGI Symbol;Acc:MGI:3643438] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFASKTPPNELKCKLEFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFGST SGFIVGMVFLQFSHDATFMTIMVWTSVSMVLLLHRHRQQMQHILTPNQDARGQAETRATH TILMLVVTFVRFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000021572.4 pep:known chromosome:GRCm38:13:8802995:8871044:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000021572.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] MPTESGSCSTARQAKQKRKSHSLSIRRTNSSEQERTGLPREMLEGQDSKLPSSVRSTLLE LFGQIEREFENLYIENLELRREIDTLNERLAGEGQAIDGAELSKGQLKTKASHSTSQLSQ KLKTTYKASTSKIVSSFKTTTSRAICQLVKEYIGHRDGIWDVSVTRTQPIVLGTASADHT ALLWSIETGKCLVKYAGHVGSVNSIKFHPSEQLALTASGDQTAHIWRYVVQLPTPQPVAD TSQQISGEDEIECSDKDEPDIDGDVSSDCPTVRVPLTSLKSHQGVVIAADWLVGGKQVVT ASWDRTANLYDVETSELVHSLTGHDQELTHCCTHPTQRLVVTSSRDTTFRLWDFRDPSIH SVNVFQGHTDTVTSAVFTVGDNVVSGSDDRTVKVWDLKNMRSPIATIRTDSAINRINVCV GQKIIALPHDNRQVRLFDMSGVRLARLPRSSRQGHRRMVCCSAWSEDHPICNLFTCGFDR QAIGWNINIPALLQEK >ENSMUSP00000135577.1 pep:known chromosome:GRCm38:13:8805815:8847637:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000177537.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] XYAGHVGSVNSIKFHPSEQLALTASGDQTAHIWRYVVQLPTPQPVADTSHCDLCCVHCGR QRRFRQ >ENSMUSP00000135094.1 pep:known chromosome:GRCm38:13:8819863:8849558:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000176098.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] IVLGTASADHTALLWSIETGKCLVKYAGHVGSVNSIKFHPSEQLALTASGDQTAHIWRYV VQLPTPQPVADTSGMTRS >ENSMUSP00000134916.1 pep:known chromosome:GRCm38:13:8819921:8853707:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000176429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] XKLKTTYKASTSKIVSSFKTTTSRAICQLVKEYIGHRDGIWDVSVTRTQPIVLGTASADH TALLWSIETGKCLVKYAGHVGSGHDQELTHCCTHPTQRLVVTSSRDTTFRLWDFRDPSIH SVNVFQGHTDTVTSAVFTVGDNVVSGSDDRTVKVWDLKNMRSPIATIRTDSAINRINVCV GQKIIALPHDNRQV >ENSMUSP00000135101.1 pep:known chromosome:GRCm38:13:8842764:8858762:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000176329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] MDSKLPSSVRSTLLELFGQIEREFENLYIENLELRREIDTLNERLAGEGQAIDGAELSKG QLKTKASHSTSQLSQKLKTTYKASTSKIVSSFKTTTSRAICQLVKEYIGHRDGIWDVSVT RTQPIVLGTASADHTALLWSIETGKCLVKYAGHVGSVNSIKFHPSEQLALTASGDQTAHI WRYVV >ENSMUSP00000135097.1 pep:known chromosome:GRCm38:13:8847680:8871736:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000176813.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] MPTESGSCSTARQAKQKRKSHSLSIRRTNSSEQERTGLPREMLEGQDSKLPSSVRSTLLE LFGQIEREFENLYIENLELRREIDTLNERLAGEGQAIDGAELSKGQLKTKASHSTSQLSQ KLKTTYKASTSKIVSSFKTTTSRAICQLVKEYIGHRDGIWDVSVTRTQPIVLGTASADHT >ENSMUSP00000134987.1 pep:known chromosome:GRCm38:13:8849543:8861225:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000176715.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] MPTESGSCSTARQAKQKRKSHSLSIRRTNSSEQERTGLPREMLEGQCAEKLILLMNV >ENSMUSP00000135742.1 pep:known chromosome:GRCm38:13:8850480:8871023:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000176922.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] MPTESGSCSTARQAKQKRKSHSLSIRRTNSSEQERTGLPREMLEGQDSKLPSSVRSTLLE LFGQIEREFENLYIENLELRREIDTLNERLAGEGQAIDGAELSKGQLKTKASHSTSQLSQ KLKTTYKASTSKVCKALALEPVMWCLRCADVYFNLHIFQLEMCNRKMSGF >ENSMUSP00000131469.2 pep:known chromosome:GRCm38:13:8852669:8870979:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000164183.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] MPTESGSCSTARQAKQKRKSHSLSIRRTNSSEQERTGLPREMLEGQDSKLPSSVRSTLLE LFGQIEREFENLYIENLELRREIDTLNERLAGEGQAIDGAELSKGQLKTKASHSTSQLSQ KLKTTYKASTSKVCKALALEPVMWCLRCADVYFNLHIFQLEMCNRKMSGF >ENSMUSP00000135271.1 pep:known chromosome:GRCm38:13:8852672:8861222:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000176587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] PTESGSCSTARQAKQKRKSHSLSIRRTNSSEQERTGLPREMLEGQDSKLPSSVRSTLLEL FGQIEREFENLYIENLELRREIDTLNERLAGEGQAIDGAELSKGQLKTKASHSTSQLSQK LKTTYKASTSKVH >ENSMUSP00000135182.1 pep:known chromosome:GRCm38:13:8856918:8871909:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000175958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] MPTESGSCSTARQAKQKRKSHSLSIRRTNSSEQERTGLPREMLEGQDSKL >ENSMUSP00000135785.1 pep:known chromosome:GRCm38:13:8861136:8871007:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000177404.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] MPTESGSCSTARQAKQKRKSHSLSIRRTNS >ENSMUSP00000062174.6 pep:known chromosome:GRCm38:13:8802968:8871730:-1 gene:ENSMUSG00000021147.16 transcript:ENSMUST00000054251.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr37 description:WD repeat domain 37 [Source:MGI Symbol;Acc:MGI:1920393] MPTESGSCSTARQAKQKRKSHSLSIRRTNSSEQERTGLPREMLEGQDSKLPSSVRSTLLE LFGQIEREFENLYIENLELRREIDTLNERLAGEGQAIDGAELSKGQLKTKASHSTSQLSQ KLKTTYKASTSKIVSSFKTTTSRAICQLVKEYIGHRDGIWDVSVTRTQPIVLGTASADHT ALLWSIETGKCLVKYAGHVGSVNSIKFHPSEQLALTASGDQTAHIWRYVVQLPTPQPVAD TSQQISGEDEIECSDKDEPDIDGDVSSDCPTVRVPLTSLKSHQGVVIAADWLVGGKQVVT ASWDRTANLYDVETSELVHSLTGHDQELTHCCTHPTQRLVVTSSRDTTFRLWDFRDPSIH SVNVFQGHTDTVTSAVFTVGDNVVSGSDDRTVKVWDLKNMRSPIATIRTDSAINRINVCV GQKIIALPHDNRQVRLFDMSGVRLARLPRSSRQGHRRMVCCSAWSEDHPICNLFTCGFDR QAIGWNINIPALLQEK >ENSMUSP00000101341.2 pep:known chromosome:GRCm38:4:147873599:147904687:-1 gene:ENSMUSG00000029020.13 transcript:ENSMUST00000105716.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn2 description:mitofusin 2 [Source:MGI Symbol;Acc:MGI:2442230] MSLLFSRCNSIVTVKKDKRHMAEVNASPLKHFVTAKKKINGIFEQLGAYIQESASFLEDT HRNTELDPVTTEEQVLDVKGYLSKVRGISEVLARRHMKVAFFGRTSNGKSTVINAMLWDK VLPSGIGHTTNCFLRVGGTDGHEAFLLTEGSEEKKSVKTVNQLAHALHQDEQLHAGSMVS VMWPNSKCPLLKDDLVLMDSPGIDVTTELDSWIDKFCLDADVFVLVANSESTLMQTEKQF FHKVSERLSRPNIFILNNRWDASASEPEYMEEVRRQHMERCTSFLVDELGVVDRAQAGDR IFFVSAKEVLSARVQKAQGMPEGGGALAEGFQVRMFEFQNFERQFEECISQSAVKTKFEQ HTVRAKQIAEAVRLIMDSLHIAAQEQRVYCLEMREERQDRLRFIDKQLELLAQDYKLRIK QITEEVERQVSTAMAEEIRRLSVLVDEYQMDFHPSPVVLKVYKNELHRHIEEGLGRNLSD RCSTAIASSLQTMQQDMIDGLKPLLPVSMRNQIDMLVPRQCFSLSYDLNCDKLCADFQED IEFHFSLGWTMLVNRFLGPKNSRRALLGYSDQVQRPLPLTPANPSMPPLPQSSLTQEELM VSMVTGLASLTSRTSMGILVVGGVVWKAVGWRLIALSFGLYGLLYVYERLTWTTKAKERA FKRQFVEYASEKLQLIISYTGSNCSHQVQQELSGTFAHLCQQVDITRDNLEQEIAAMNKK VEALDSLQSRAKLLRNKAGWLDSELNMFTHQYLQPSR >ENSMUSP00000030884.3 pep:known chromosome:GRCm38:4:147873599:147904704:-1 gene:ENSMUSG00000029020.13 transcript:ENSMUST00000030884.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn2 description:mitofusin 2 [Source:MGI Symbol;Acc:MGI:2442230] MSLLFSRCNSIVTVKKDKRHMAEVNASPLKHFVTAKKKINGIFEQLGAYIQESASFLEDT HRNTELDPVTTEEQVLDVKGYLSKVRGISEVLARRHMKVAFFGRTSNGKSTVINAMLWDK VLPSGIGHTTNCFLRVGGTDGHEAFLLTEGSEEKKSVKTVNQLAHALHQDEQLHAGSMVS VMWPNSKCPLLKDDLVLMDSPGIDVTTELDSWIDKFCLDADVFVLVANSESTLMQTEKQF FHKVSERLSRPNIFILNNRWDASASEPEYMEEVRRQHMERCTSFLVDELGVVDRAQAGDR IFFVSAKEVLSARVQKAQGMPEGGGALAEGFQVRMFEFQNFERQFEECISQSAVKTKFEQ HTVRAKQIAEAVRLIMDSLHIAAQEQRVYCLEMREERQDRLRFIDKQLELLAQDYKLRIK QITEEVERQVSTAMAEEIRRLSVLVDEYQMDFHPSPVVLKVYKNELHRHIEEGLGRNLSD RCSTAIASSLQTMQQDMIDGLKPLLPVSMRNQIDMLVPRQCFSLSYDLNCDKLCADFQED IEFHFSLGWTMLVNRFLGPKNSRRALLGYSDQVQRPLPLTPANPSMPPLPQSSLTQEELM VSMVTGLASLTSRTSMGILVVGGVVWKAVGWRLIALSFGLYGLLYVYERLTWTTKAKERA FKRQFVEYASEKLQLIISYTGSNCSHQVQQELSGTFAHLCQQVDITRDNLEQEIAAMNKK VEALDSLQSRAKLLRNKAGWLDSELNMFTHQYLQPSR >ENSMUSP00000101340.1 pep:known chromosome:GRCm38:4:147874016:147904698:-1 gene:ENSMUSG00000029020.13 transcript:ENSMUST00000105715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn2 description:mitofusin 2 [Source:MGI Symbol;Acc:MGI:2442230] MSLLFSRCNSIVTVKKDKRHMAEVNASPLKHFVTAKKKINGIFEQLGAYIQESASFLEDT HRNTELDPVTTEEQVLDVKGYLSKVRGISEVLARRHMKVAFFGRTSNGKSTVINAMLWDK VLPSGIGHTTNCFLRVGGTDGHEAFLLTEGSEEKKSVKTVNQLAHALHQDEQLHAGSMVS VMWPNSKCPLLKDDLVLMDSPGIDVTTELDSWIDKFCLDADVFVLVANSESTLMQTEKQF FHKVSERLSRPNIFILNNRWDASASEPEYMEEVRRQHMERCTSFLVDELGVVDRAQAGDR IFFVSAKEVLSARVQKAQGMPEGGGALAEGFQVRMFEFQNFERQFEECISQSAVKTKFEQ HTVRAKQIAEAVRLIMDSLHIAAQEQRVYCLEMREERQDRLRFIDKQLELLAQDYKLRIK QITEEVERQVSTAMAEEIRRLSVLVDEYQMDFHPSPVVLKVYKNELHRHIEEGLGRNLSD RCSTAIASSLQTMQQDMIDGLKPLLPVSMRNQIDMLVPRQCFSLSYDLNCDKLCADFQED IEFHFSLGWTMLVNRFLGPKNSRRALLGYSDQVQRPLPLTPANPSMPPLPQSSLTQEELM VSMVTGLASLTSRTSMGILVVGGVVWKAVGWRLIALSFGLYGLLYVYERLTWTTKAKERA FKRQFVEYASEKLQLIISYTGSNCSHQVQQELSGTFAHLCQQVDITRDNLEQEIAAMNKK VEALDSLQSRAKLLRNKAGWLDSELNMFTHQYLQPSR >ENSMUSP00000101339.1 pep:known chromosome:GRCm38:4:147881494:147904698:-1 gene:ENSMUSG00000029020.13 transcript:ENSMUST00000105714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn2 description:mitofusin 2 [Source:MGI Symbol;Acc:MGI:2442230] MSLLFSRCNSIVTVKKDKRHMAEVNASPLKHFVTAKKKINGIFEQLGAYIQESASFLEDT HRNTELDPVTTEEQVLDVKGYLSKVRGISEVLARRHMKVAFFGRTSNGKSTVINAMLWDK VLPSGIGHTTNCFLRVGGTDGHEAFLLTEGSEEKKSVKTVNQLAHALHQDEQLHAGSMVS VMWPNSKCPLLKDDLVLMDSPGIDVTTELDSWIDKFCLDADVFVLVANSESTLMQTEKQF FHKVSERLSRPNIFILNNRWDASASEPEYMEEVRRQHMERCTSFLVDELGVVDRAQAGDR IFFVSAKEVLSARVQKAQGMPEGGGALAEGFQVRMFEFQNFERQFEECISQSAVKTKFEQ HTVRAKQIAEAVRLIMDSLHIAAQEQRVYCLEMREERQDRLRFIDKQLELLAQDYKLRIK QITEEVERQVSTAMAEEIRRLSVLVDEYQMDFHPSPVVLKVYKNELHRHIEEGLGRNLSD RCSTAIASSLQTMQQDMIDGLKPLLPVSMRNQIDMLVPRQCFSLSYDLNCDKLCADFQED IEFHFSLGWTMLVNRFLGPKNSRRALLGYSDQARSSFPWCIMGDHPDTRYGSQSTTAGVL RAEAI >ENSMUSP00000123021.1 pep:known chromosome:GRCm38:4:147887334:147903395:-1 gene:ENSMUSG00000029020.13 transcript:ENSMUST00000134172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn2 description:mitofusin 2 [Source:MGI Symbol;Acc:MGI:2442230] MSLLFSRCNSIVTVKKDKRHMAEVNASPLKHFVTAKKKINGIFEQLGAYIQESASFLEDT HRNTELDPVTTEEQVLDVKGYLSKVRGISEVLARRHMKVAFFGRTSNGKSTVINAMLWDK VLPSGIGHTTNCFLRVGGTDGHEAFLLTEGSEEKKSVKTVNQLAHALHQDEQLHAGSMVS VMWPNSKCPLLKDDLVLMDRWLVGPGLAGPLLH >ENSMUSP00000117758.1 pep:known chromosome:GRCm38:4:147894583:147904658:-1 gene:ENSMUSG00000029020.13 transcript:ENSMUST00000150881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfn2 description:mitofusin 2 [Source:MGI Symbol;Acc:MGI:2442230] MSLLFSRCNSIVTVKKDKRHMAEVNASPLKHFVTAKKKINGIFEQLGAYIQESASFLEDT HRNTELDPVTTEEQVLDVKGYLSKVRGISEVLARRHM >ENSMUSP00000077307.7 pep:known chromosome:GRCm38:13:67424549:67451585:-1 gene:ENSMUSG00000069206.13 transcript:ENSMUST00000057241.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp874a description:zinc finger protein 874a [Source:MGI Symbol;Acc:MGI:3040703] MEEMLSFWDVAIDFSPEEKECLEPAQWDLYRDVMLENFSHLDFLGLAVAKPYLVTFLEQN QGSSGVKSQTSATIPPGLAVTKPYLVTCLERNQASSGVKRQRAGTVPPGITGNETSSRQF ILERNPTSVGSGFPLLNPLKDIK >ENSMUSP00000137953.1 pep:known chromosome:GRCm38:13:67440433:67451580:-1 gene:ENSMUSG00000069206.13 transcript:ENSMUST00000180580.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp874a description:zinc finger protein 874a [Source:MGI Symbol;Acc:MGI:3040703] MEEMLSFWDVAIDFSPEEKECLEPAQWDLYRDVMLENFSHLDFLGLAVAKPYLVTFLEQN QGSSGVKSQTSATIPPGV >ENSMUSP00000074735.5 pep:known chromosome:GRCm38:13:67440433:67451624:-1 gene:ENSMUSG00000069206.13 transcript:ENSMUST00000075255.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp874a description:zinc finger protein 874a [Source:MGI Symbol;Acc:MGI:3040703] MEEMLSFWDVAIDFSPEEKECLEPAQWDLYRDVMLENFSHLDFLGLAVAKPYLVTFLEQN QGSSGVKSQTSATIPPGTTGNEFNNHNEAIDHSSLSMQCQRIHTGEEPYYFEDCGKALSS QATLSVLQGHFIGDKPYKCRECHKTLSSRSSLLIHQKYHTDEKTYKCEKCGKGFFRSSDL QHHQKIHTGEKPYKCEECDKAFLHHSYLRKHQAIHTGEKPYKCEECGNSFYYPAMLKQHQ RIHSGEKLDKCEECGKVFSSAFFLNQHKGIDSGEKRYKCQECGKSFCYRSYLREHYRMHS GEYPYKCEECGKGFSRSSKLQEHQTIHTGVKPYKCEECGKCFSSFTSLKRHQIIHSEDTP HECVECGKRFSSSSRLQEHQKIHIEEKPYKCEECDKAFLYHSFLRRHRAVHTREKPYTCE KCGKCFSSFTSLKRHQIHSIDISHECV >ENSMUSP00000110803.3 pep:known chromosome:GRCm38:X:38066475:38071691:1 gene:ENSMUSG00000053909.8 transcript:ENSMUST00000115150.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox10 description:reproductive homeobox 10 [Source:MGI Symbol;Acc:MGI:3580249] MESKYFYFDLDYYGVSFYEEVIMTESQQRAAARAAQCRFGRGVRDLHELGQDDHPTFKYT QTYSSETRKETQARPKEPEKAAGAVSRRSNSKKYTNAQMCELEKAFQETQYPDAHQRKAL AKLIDVDECKVKAWFKYKRAKYRRKQKELLLSNATSGTSNNFSAQMNEDPKSSTSVPEEQ IGFIVCQQHLGKSCWS >ENSMUSP00000139129.1 pep:known chromosome:GRCm38:1:45889941:45890078:-1 gene:ENSMUSG00000098549.1 transcript:ENSMUST00000183590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5269 description:predicted gene 5269 [Source:MGI Symbol;Acc:MGI:3646793] MTNRCSGKKRDFAFVAFDDHDSVDKIVIQKYHTVNGHNCEVRKAL >ENSMUSP00000109833.1 pep:known chromosome:GRCm38:16:84774123:84825928:1 gene:ENSMUSG00000053062.15 transcript:ENSMUST00000114195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jam2 description:junction adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:1933820] MARSPQGLLMLLLLHYLIVALDYHKANGFSASKDHRQEVTVIEFQEAILACKTPKKTTSS RLEWKKVGQGVSLVYYQQALQGDFKDRAEMIDFNIRIKNVTRSDAGEYRCEVSAPTEQGQ NLQEDKVMLEVLVAPAVPACEVPTSVMTGSVVELRCQDKEGNPAPEYIWFKDGTSLLGNP KGGTHNNSSYTMNTKSGILQFNMISKMDSGEYYCEARNSVGHRRCPGKRMQVDVLNISGI IATVVVVAFVISVCGLGTCYAQRKGYFSKETSFQKGSPASKVTTMSENDFKHTKSFII >ENSMUSP00000096007.2 pep:known chromosome:GRCm38:16:84774386:84810996:1 gene:ENSMUSG00000053062.15 transcript:ENSMUST00000098407.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jam2 description:junction adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:1933820] MARSPQGLLMLLLLHYLIVALDYHKANGFSASKDHRQEVTVIEFQEAILACKTPKKTTSS RLEWKKVGQGVSLVYYQQALQGDFKDRAEMIDFNIRIKNVTRSDAGEYRCEVSAPTEQGQ NLQEDKVMLEVLGTAHTYVTAASCPVLVPQPRGKILALFVFQQPGWYYISFLSLLSLSSS V >ENSMUSP00000123728.1 pep:known chromosome:GRCm38:1:58522806:58586323:-1 gene:ENSMUSG00000038174.14 transcript:ENSMUST00000161600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam126b description:family with sequence similarity 126, member B [Source:MGI Symbol;Acc:MGI:1098784] MLGSERAVVEEWLSEFKALPDTQITSYAATLHRKKALVPALYKVIQDSNNELLEPVCHQL FELYRSSEVRLKRFTLQFLPELIWVYLRLTVSRDRQSNGCIEALLLGIYNLEIADKDGNN KVLSFTIPSLSKPSIYHEPSTIGSMALTEGALCQHDLIRVVYSDLHPQRETFTAQNRFEV LSFLMLCYNSAIVYMPASSYQSLCRMGSRVCVSGFPRQHEKQWKELCGRIVLDPEFMVQL LTGVYYAMYNGQWDLGQEVLDDIIYRAQLELFSQPLLVANAMKNSLPFDAPDSSQEGQKV LKVEVTPTVPRISRTAITTASIRRHRWRREGAEGLNGGEESLNMNDADEGFSSGASLSSQ PHGTKPPSSSQRGSLRKVATGRSAKDKETALAIKSNESPRDSVVGKQFVQQQADLSIDSV ELTPMKKHLSLPAGQVVPKTNSLSLIRTASASSSKSFDYVNGGQASTSIGVGTEGVTNLA ATNANRYSTISLQEDRLGHAGEGKELLSPGAPLTKQSRSPSFNMQLISQV >ENSMUSP00000095331.3 pep:known chromosome:GRCm38:1:58527021:58586266:-1 gene:ENSMUSG00000038174.14 transcript:ENSMUST00000097724.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam126b description:family with sequence similarity 126, member B [Source:MGI Symbol;Acc:MGI:1098784] MLGSERAVVEEWLSEFKALPDTQITSYAATLHRKKALVPALYKVIQDSNNELLEPVCHQL FELYRSSEVRLKRFTLQFLPELIWVYLRLTVSRDRQSNGCIEALLLGIYNLEIADKDGNN KVLSFTIPSLSKPSIYHEPSTIGSMALTEGALCQHDLIRVVYSDLHPQRETFTAQNRFEV LSFLMLCYNSAIVYMPASSYQSLCRMGSRVCVSGFPRQHEKQWKELCGRIVLDPEFMVQL LTGVYYAMYNGQWDLGQEVLDDIIYRAQLELFSQPLLVANAMKNSLPFDAPDSSQEGQKV LKVEVTPTVPRISRTAITTASIRRHRWRREDGFDFSNEADSSIPGSPIQHGSTDLGIKRV QEGEVLVRRTPEHGSPEPTSAAATTEGAEGLNGGEESLNMNDADEGFSSGASLSSQPHGT KPPSSSQRGSLRKVATGRSAKDKETALAIKSNESPRDSVVGKQFVQQQADLSIDSVELTP MKKHLSLPAGQVVPKTNSLSLIRTASASSSKSFDYVNGGQASTSIGVGTEGVTNLAATNA NRYSTISLQEDRLGHAGEGKELLSPGAPLTKQSRSPSFNMQLISQV >ENSMUSP00000141058.1 pep:known chromosome:GRCm38:1:58527743:58565954:-1 gene:ENSMUSG00000038174.14 transcript:ENSMUST00000187717.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam126b description:family with sequence similarity 126, member B [Source:MGI Symbol;Acc:MGI:1098784] MLGSERAVVEEWLSEFKALPDTQITSYAATLHRKKALVPALYKVIQDSNNEMQPPRLPLL CTAVSLKTVSSWSLSATNCLSSIVALKFDLRGSHCSSCPN >ENSMUSP00000038718.7 pep:known chromosome:GRCm38:1:58529083:58586191:-1 gene:ENSMUSG00000038174.14 transcript:ENSMUST00000038372.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam126b description:family with sequence similarity 126, member B [Source:MGI Symbol;Acc:MGI:1098784] MLGSERAVVEEWLSEFKALPDTQITSYAATLHRKKALVPALYKVIQDSNNELLEPVCHQL FELYRSSEVRLKRFTLQFLPELIWVYLRLTVSRDRQSNGCIEALLLGIYNLEIADKDGNN KVLSFTIPSLSKPSIYHEPSTIGSMALTEGALCQHDLIRVVYSDLHPQRETFTAQNRFEV LSFLMLCYNSAIVYMPASSYQSLCRMGSRVCVSGFPRQHEKQWKELCGRIVLDPEFMVQL LTGVYYAMYNGQWDLGQEVLDDIIYRAQLELFSQPLLVANAMKNSLPFDAPDSSQEGQKV LKVEVTPTVPRISRTAITTASIRRHRWRREGAEGLNGGEESLNMNDADEGFSSGASLSSQ PHGTKPPSSSQRGSLRKVATGRSAKDKETALAIKSNESPRDSVVGKQFVQQQADLSIDSV ELTPMKKHLSLPAGQVVPKTNSLSLIRTASASSSKSFDYVNGGQASTSIGVGTEGVTNLA ATNANRYSTISLQEDRLGHAGEGKELLSPGAPLTKQSRSPSFNMQLISQV >ENSMUSP00000125653.1 pep:known chromosome:GRCm38:1:58552187:58586275:-1 gene:ENSMUSG00000038174.14 transcript:ENSMUST00000161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam126b description:family with sequence similarity 126, member B [Source:MGI Symbol;Acc:MGI:1098784] MLGSERAVVEEWLSEFKALPDTQITSYAATLHRKKALVPALYKVIQDSNNELLEPVCHQL FELYRSSEVRLKRFTLQFLPELIWVYLRLTVSRDRQSNG >ENSMUSP00000084353.3 pep:known chromosome:GRCm38:17:40923051:40935047:-1 gene:ENSMUSG00000023919.7 transcript:ENSMUST00000087114.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpq description:centromere protein Q [Source:MGI Symbol;Acc:MGI:1933744] MKMSGKARASRKKPQQVKRSLKQRANKEADLPENEVGNTAKRNRSHAKHLSSKVTGQATY VHLKRVKISSSKRTTWQPLPKDTEEYLQSMMESVILGILFNIKRKEEIQCHLNQLKKRLL QQCATLKVPPRKLNYLKDVSKMLKMEKAQERANEESLASLQEEIDKIVETTESMTESIQS LKNKIQILTSEVEEEEQEVKQVFHIDSNKVLALPELSQKSLKAPILQEEILALIPNQNAL LKDLDVLHDSAPVKNVSAFIEEAYKKLDS >ENSMUSP00000070621.2 pep:known chromosome:GRCm38:4:119323841:119415521:-1 gene:ENSMUSG00000028637.15 transcript:ENSMUST00000063642.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc30 description:coiled-coil domain containing 30 [Source:MGI Symbol;Acc:MGI:1920582] MCQEGLFRLALSSPRKQTACSLGERRTLAEALGLVDSTLESRRDPNSSLQKEFPQHQDED QSRAAAPQDCLLRDVECIAQKLEASLEEIHRGAREPREEREQRTLGDALENARLEIEKLK DNLMKLKESGTTDLQRAREHNQRLDEEILALRNRVRSLDSEKKVLGEMYLTSGEKTSCQH QGELRELRQNLRRLQILCNSAEKELRYERGRSLDLKQHNSLLQEENIKIKIELKQAQEKL LDNARLHSSLTAEWKHCQQKVKELELEGLRQTQSLKSQQGLQEKLAREKSKAAEAQEKIL DLQQKLDHGRQVCLSDVCILRKKQLEEEIKEAKSIEARLQQQCQEEQQRRILLDQDINEL QTQVRALQDKEEQQEAVNSQQQEALRKQLERERRKCEEYVKSNQELSEKLSNLQQEKEAL WQEHGRFLEQLGDHVRNYKDKHHCHKAKLQKVKDRLTHELEIRNKRIKELEDETGKLQQK IEMEKVFQGQIMAQNDILLLEKRKLLEQVTDQEELICSSKCTISAFQSKASLLDKENQQL QENCLRLMQQIGLLEQIIRSIQIRREEETVITDNAAFEILKKILPLQNSSFSGTGFVLSA ENLQETELHKWEGAAAIPKSPEPLSRSQDSESGYINVTSLKETHNTQGDQKPEL >ENSMUSP00000047534.2 pep:known chromosome:GRCm38:4:119352246:119383760:-1 gene:ENSMUSG00000028637.15 transcript:ENSMUST00000044781.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc30 description:coiled-coil domain containing 30 [Source:MGI Symbol;Acc:MGI:1920582] MTSENACEDLVSKGPLLEVEAVSPSEDRQALCSELGESKQEETPDESVKAATFPRERQES QQMRGHEQPLAVEPKEVGRLEEEASLQSQSCGGSSDDSSTKYLTSGEKTSCQHQGELREL RQNLRRLQILCNSAEKELRYERGRSLDLKQHNSLLQEENIKIKIELKQAQEKLLDNARLH SSLTAEWKHCQQKVKELELEGLRQTQSLKSQQGLQEKLAREKSKAAEAQEKILDLQQKLD HGRQVCLSDVCILRKKQLEEEIKEAKSIEARLQQQCQEEQQRRGLGFAPQHCTNTAIFPG PPLFLSESC >ENSMUSP00000118202.1 pep:known chromosome:GRCm38:4:119353194:119393643:-1 gene:ENSMUSG00000028637.15 transcript:ENSMUST00000143494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc30 description:coiled-coil domain containing 30 [Source:MGI Symbol;Acc:MGI:1920582] QRAREHNQRLDEEILALRNRVRSLDSEKKYLTSGEKTSCQHQGELRELRQNLRRLQILCN SAEKELRYERGRSLDLKQHNSLLQEENIKIKIELKQAQEKLLDNARLHSSLTAEWKHCQQ KVKELELEGLRQTQSLKSQQGLQEKLAREKSKAAEAQEKILDLQQKLDH >ENSMUSP00000081332.3 pep:known chromosome:GRCm38:4:119367650:119383760:-1 gene:ENSMUSG00000028637.15 transcript:ENSMUST00000084307.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc30 description:coiled-coil domain containing 30 [Source:MGI Symbol;Acc:MGI:1920582] MKDQETIKVQYFNEILKMGQENIKVLESDLSEEREKRQHLTSAFTAIQKSLQVNSEEFQN SKSELLCLYKEIQSLPRAEGREQFLVAYNLLLRENSELEAKVSKLAQELELLKHCSVGDR TANLMTSENACEDLVSKGPLLEVEAVSPSEDRQALCSELGESKQEETPDESVKAATFPRE RQESQQMRGHEQPLAVEPKEVGRLEEEASLQSQSCGGSSDDSSTKVCAADTRSGAHPSD >ENSMUSP00000032977.4 pep:known chromosome:GRCm38:7:100006404:100034726:1 gene:ENSMUSG00000030732.13 transcript:ENSMUST00000032977.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrdl2 description:chordin-like 2 [Source:MGI Symbol;Acc:MGI:1916371] MVPGVRIIPSLLGLVMFWLPLDSQALSRSGKVCLFGEKIYTPGQSWHPYLEPQGTIYCVR CTCSENGHVNCYRLRCPPLHCSQPVMEPQQCCPRCVDPHVPSGLRVPLKSCQLNETTYQH GEIFSAQELFPARLSNQCVLCSCIEGHTYCGLMTCPEPSCPTTLPLPDSCCQTCKDRTTE SSTEENLTQLQHGERHSQDPCSERRGPSTPAPTSLSSPLGFIPRHFQSVGMGSTTIKIIL KEKHKKACTHNGKTYSHGEVWHPTVLSFGPMPCILCTCIDGYQDCHRVTCPTQYPCSQPK KVAGKCCKICPEDEAEDDHSEVISTRCPKVPGQFQVYTLASPSPDSLHRFVLEHEASDQV EMYIWKLVKGIYHLVQIKRVRKQDFQKEAQNFRLLTGTHEGYWTVFLAQTPELKVTASPD KVTKTL >ENSMUSP00000102699.1 pep:known chromosome:GRCm38:7:100009914:100034728:1 gene:ENSMUSG00000030732.13 transcript:ENSMUST00000107084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrdl2 description:chordin-like 2 [Source:MGI Symbol;Acc:MGI:1916371] MRHKDQTPLWVGPEPVAHSCIQEFFIVSQPSLFARSGKVCLFGEKIYTPGQSWHPYLEPQ GTIYCVRCTCSENGHVNCYRLRCPPLHCSQPVMEPQQCCPRCVDPHVPSGLRVPLKSCQL NETTYQHGEIFSAQELFPARLSNQCVLCSCIEGHTYCGLMTCPEPSCPTTLPLPDSCCQT CKDRTTESSTEENLTQLQHGERHSQDPCSERRGPSTPAPTSLSSPLGFIPRHFQSVGMGS TTIKIILKEKHKKACTHNGKTYSHGEVWHPTVLSFGPMPCILCTCIDGYQDCHRVTCPTQ YPCSQPKKVAGKCCKICPEDEAEDDHSEVISTRCPKVPGQFQVYTLASPSPDSLHRFVLE HEASDQVEMYIWKLVKGIYHLVQIKRVRKQDFQKEAQNFRLLTGTHEGYWTVFLAQTPEL KVTASPDKVTKTL >ENSMUSP00000120760.1 pep:known chromosome:GRCm38:7:100022099:100034726:1 gene:ENSMUSG00000030732.13 transcript:ENSMUST00000144808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrdl2 description:chordin-like 2 [Source:MGI Symbol;Acc:MGI:1916371] XSCIEGHTYCGLMTCPEPSCPTTLPLPDSCCQTCKDRTTESSTEENLTQLQHGERHSQDP CSERRGPSTPAPTSLSSPLGFIPRHFQSVGMGSTTIKIILKEKHKKEDEAEDDHSEVIST RCPKVPGQFQVYTLASPSPDSLHRFVLEHEASDQVEMYIWKLVKGIYHLVQIKRVRKQDF QKEAQNFRLLTGTHEGYWTVFLAQTPELKVTASPDKVTKTL >ENSMUSP00000101887.1 pep:known chromosome:GRCm38:4:120930137:120951699:-1 gene:ENSMUSG00000064141.14 transcript:ENSMUST00000106280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp69 description:zinc finger protein 69 [Source:MGI Symbol;Acc:MGI:107794] MPQQLLITPATEATWVKLKEVSLWEDVTKMFGGEALLSHDANGTQQESLADGTTPGTPAA GSHDGATPGTTATGSHDEATPGTPAAGSHDGETPGIPAAGSHDGETPGTPTAGSHDGVTP GTTAAGSQESLTFKDIAVDLSQEEWGQLAPAYQDLYREVMLENYRNLVSVAGYQLSKPTV ISQLEKGEGPCMAESQGPEDPILDVKNKLETKESTAEDDISVKLDHGITRGRLIEDDIVC SPLKKASSYSDTLESHRATCGKGTRRAIWTHKKKRQEGNKLENPESSNVILEQKHRKHKP ARKRNKYKLDSIDHPVSCMRARRYPCNVCEKMFKQPIHLVEHMRTHTGEKPFRCKECGRA FSQSASLNTHQRIHTGEKPFACEECGKAFRHRSSLNQHHRTHTGEKPFTCDKCQKAFSQN ISLVQHLRTHSGEKPFSCSECGKPFRQIRHLSEHVRIHTGEKPYKCTSCCKTFSHRAYLT HHQRIHTGERPYKCKECGKAFRQRIHLSNHRTVHTGVKAYECNRCGKAYRHDSSFKKHQR HHTGEKPYECTECGKSFSYNSSLSRHQKIHRRNTFRDDPGHENKRQL >ENSMUSP00000133312.1 pep:known chromosome:GRCm38:4:120931306:120947816:-1 gene:ENSMUSG00000064141.14 transcript:ENSMUST00000130702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp69 description:zinc finger protein 69 [Source:MGI Symbol;Acc:MGI:107794] MLENYRNLVSVGYQLSKPTVISQLEKGEGPCMAESQGPEDPILDVKNKLETKESTAEDDI SVKLDHGITRGRLIEDDIVCSPLKKASSYSDTLESHRATCGKGTRRAIWT >ENSMUSP00000101888.2 pep:known chromosome:GRCm38:4:120930353:120949434:-1 gene:ENSMUSG00000064141.14 transcript:ENSMUST00000106281.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp69 description:zinc finger protein 69 [Source:MGI Symbol;Acc:MGI:107794] MPQQLLITPATEATWVKLKEVSLWEDVTKMFGGEALLSHDANGTQQESLADGTTPGTPAA GSHDGATPGTTATGSHDEATPGTPAAGSHDGETPGIPAAGSHDGETPGTPTAGSHDGVTP GTTAAGSQESLTFKDIAVDLSQEEWGQLAPAYQDLYREVMLENYRNLVSVAGYQLSKPTV ISQLEKGEGPCMAESQGPEDPILDVKNKLETKESTAEDDISVKLDHGITRGRLIEDDIVC SPLKKASSYSDTLESHRATCGKGTRRAIWTHKKKRQEGNKLENPESSNVILEQKHRKHKP ARKRNKYKLDSIDHPVSCMRARRYPCNVCEKMFKQPIHLVEHMRTHTGEKPFRCKECGRA FSQSASLNTHQRIHTGEKPFACEECGKAFRHRSSLNQHHRTHTGEKPFTCDKCQKAFSQN ISLVQHLRTHSGEKPFSCSECGKPFRQIRHLSEHVRIHTGEKPYKCTSCCKTFSHRAYLT HHQRIHTGERPYKCKECGKAFRQRIHLSNHRTVHTGVKAYECNRCGKAYRHDSSFKKHQR HHTGEKPYECTECGKSFSYNSSLSRHQKIHRRNTFRDDPGHENKRQL >ENSMUSP00000109870.2 pep:known chromosome:GRCm38:17:34153072:34160230:1 gene:ENSMUSG00000079547.3 transcript:ENSMUST00000114232.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-DMb1 description:histocompatibility 2, class II, locus Mb1 [Source:MGI Symbol;Acc:MGI:95922] MAALWLLLLVLSLDCMGAGGFVAHVESTCVLDDAGTPQDFTYCVSFNKDLLACWDPDVGK IVPCEFGVLYPWAENFSRILNKEESLLQRLQNGLLDCASHTQPFWNALTHRTRAPSVRVA QTTPFNTREPVMLACYVWGFYPADVTITWMKNGQLVPSHSNKEKTAQPNGDWTYQTVSYL ALTPSYGDVYTCVVQHSGTSEPIRGDWTPGLSPIQTVKVSVSAATLGLGFIIFCVGFFRW RKSHSSSYTPLSGSTYPEGQH >ENSMUSP00000079864.5 pep:known chromosome:GRCm38:19:43689672:43733697:1 gene:ENSMUSG00000025192.13 transcript:ENSMUST00000081079.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd7 description:ectonucleoside triphosphate diphosphohydrolase 7 [Source:MGI Symbol;Acc:MGI:2135885] MARISFSYLCPASWYFTVPTVSPFLRQRVAFLGLFFIPCVLLLLLIMDLRHWATSLPRDR QYERYLARVGDLEATNTEDPNLNYGLVVDCGSSGSRIFVYFWPRHNGNPHDLLDIKQMRD RNSQPVVKKIKPGISAMADTPEHASDYLRPLLSFAAAHVPVKKHRETPLYILCTAGMRLL PERQQLAILADLVKDLPLEFDFLFSQSQAEVISGKQEGVYAWIGINFVLGRFDHEDESDS DTSVDSAAGRRRTVGILDMGGASLQIAYEVPTSASDLPPKQEEAAKILLAEFNLGCDVQH TEHVYRVYVTTFLGFGGNFARQRYEDLVLNETLNKNRLLGQKTGLSPDNPFLDPCLPVGL TDMVKRNNQVLHFRGKGDWASCRTLLSPLLARSNTSQASLNGIYQSPIDFNNSEFYGFSE FFYCTEDVLRIGGHYHGPTFAKAAQDYCGMAWPVLAQRFKNGLFSSHADEHRLKYQCFKS AWMYEVLHEGFHFPYDYPNLQTAQLVYDREVQWTLGAILYKTRFLPLRDLRQGQGGVRPA HGSWLRLSFVYNHYLFFACTLVVLLAIVLYLLRIHRIHRRQTRASAPLDLLWIEQVVPMI GVQVGP >ENSMUSP00000098379.4 pep:known chromosome:GRCm38:5:118560719:118765438:1 gene:ENSMUSG00000018076.12 transcript:ENSMUST00000100816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med13l description:mediator complex subunit 13-like [Source:MGI Symbol;Acc:MGI:2670178] MTAAANWVANGASLEDCHSNLFSLAELTGIKWRRYNFGGHGDCGPIISAPAQDDPILLSF IRCLQANLLCVWRRDVKPDCKELWIFWWGDEPNLVGVIHHELQVVEEGLWENGLSYECRT LLFKAIHNLLERCLMDKNFVRIGKWFVRPYDKDEKPVNKSEHLSCAFTFFLHGESNVCTS VEIAQHQPIYLINEEHLHMAQSSPAPFQVLVSPYGLNGTLTGHAYKMSDPAARKLIEEWH CFYPMVLRKREEPREEAELGYDDDFPVAVEVIVGGVRMVYPSAFVLVSQNDIPVPQSGHG TVAQQGLGSVKDPSNCGMPLTPPTSPEQVVIGESGGVQSAASHLGSQDGGMSTMHSPKRS RKTPPKLHSHMVRRVWRECILSRAQSKRSQMSTPTREEEAAHSPAAWDFVDPTQRVSCSC SRHKLLKRCAVGPSRPPAISQPGFSAGLPSSSSLPPPASSKHKTTERQEKGDKLQKRPLV PFHHRPSVAEELCVEQDAPGQKLGLAGIDASLEVSNTRKYDKQMAVPSRNTSKQMNLNPM DSPHSPISPLPPTLSPQPRGQEAESLDPPSVPVNPALYGNGLDLQQLSTIEDRTVLVGQR LPLMAEASETALYSGLRPSYTESSDRWWQSFRLPSSEDAEFRPPELQGERFDTALDLNPE STALQRLLAQPNKRFKIWQDEQPQVQPLPFLDPSPLSQQPGDTLGEVNDPYTFEDGDIKY IFTANKKCKQGTEKDSLKKNKSEDGFGTKDVTTPGHSTPVPDGKNAMSIFSSATKTDVRQ DSAAGRAGSGSLTQVTDLAPSLHDLDNIFDNSDEDELGAVSPALRSSKMPTVGTEERPPG KDGRAAGPYPPTVADLQRMFPTPPSLEQHPAFSPVMNYKDGVSSETVTALGMMESPVVSM VPTHLTEFRMEVEDGLGSPKPEEIKDFSYVHKVPQFQPFVGSSMFAPLKTLPSHCLLPLK TPDACLFRPSWAVPPKMEQLPMPPAASSIRDGYNNVPSVGSLADPDYLNTPQMNTPVTLN SAAPASNSGAGVLPSPATPRFSVPTPRTPRTPRTPRGGGTASGQGSVKYDSTDQGSPAST PSTTRPLNSVEPATMQPIPEAHSLYVTLILSDSVMNVFKDRNFDSCCICACNMNIKGADV GLYIPDSSKEDQYRCTCGFSAIVNRKLGYNSGLFLEDELDIFGKNSDIGQAAERRLMMCQ SSGQSTLLPQVEGARKAPEPPVSLLLLLQNQHTQPFASLSFLDYISSANRHALPCVSWTY DRVQADNNDYWTECFNALEQGRQYVDNPTGGKVDEALVRSATVHCWPHSNVLDTSMLSSQ DVVRMLLSLQPFLQDAIQKKRTGRTWENIQHVQGPLTWQQFHKMAGRGTYGSEESPEPLP IPTLLVGYDKEFLTISPFSLPFWERLLLEPYGGHRDVAYIVVCPENEALLEGAKTFFRDL SAVYEMCRLGQHKPICKVLRDGIMRVGKTVAQKLTEELVSEWFNQPWSSEESDNHSRLKL YAQVCRHHLAPYLATLQLDSGLLMPPKHQSPPAEAQGQATPGNAGSLPSNSGSGAPPAGS AFNPTSSSSANPTTSSSSASSGPPGSSAASAPGITQMNTTSSSGFGGGVGGQNPSAGGSS TDRTPGNVACGDTEPGQSCTQSSQDGQDSVTERERIGIPTEPDSADSHAYPPAVVIYMVD PFTYTAEEDSSSGNFWLLSLMRCYTEMLDHLPEHMRSSFILQIVPCQYMLQTMKDEHVFY IQYLKSMAFSVYCQCRRPLPTQIHIKSLTGFGPAASIEMTLKNPERPSPIQLYSPPFILA PIKDKQTEPGETFGEASQKYNVLFVGYCLSHDQRWLLASCTDLHGELLETCVVNIALPSR SRKSKVSARKVGLQKLWEWCLGIVQMTSLPWRVVIGRLGRLGHGELKDWSILLGECSLQT ISKQLKDVCRMCGISAADSPSILSACLVAMEPQGSFVVMPDAVTMGSVFGRSTALNMQSS QLNTPQDASCTHILVFPTSSTIQVAPANYPNEDGFSPNNDDMFVDLPFPDDMDNDIGILM TGNLHSSPNSSPVPSPGSPSGIGVGSHFQHSRSQGERLLSREAPEELKQQPLALGYFVST AKAENLPQWFWSSCPQARNQCPLFLKASLHHHISVAQTDELLPARTSQRAPHPLDSKTTS DVLRFVLEQYNALSWLTCNPATQDRTSCLPVHFVVLTQLYNAIMNML >ENSMUSP00000144092.1 pep:known chromosome:GRCm38:5:118560739:118763916:1 gene:ENSMUSG00000018076.12 transcript:ENSMUST00000201010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med13l description:mediator complex subunit 13-like [Source:MGI Symbol;Acc:MGI:2670178] MTAAANWVANGASLEDCHSNLFSLAELTGIKWRRYNFGGHGDCGPIISAPAQDDPILLSF IRCLQANLLCVWRRDVKPDCKELWIFWWGDEPNLVGVIHHELQVVEEGLWENGLSYECRT LLFKAIHNLLERCLMDKNFVRIGKWFVRPYDKDEKPVNKSEHLSCAFTFFLHGESNVCTS VEIAQHQPIYLINEEHLHMAQSSPAPFQVLVSPYGLNGTLTGHAYKMSDPAARKLIEEWH CFYPMVLRKREEPREEAELGYDDDFPVAVEVIVGGVRMVYPSAFVLVSQNDIPVPQSGHG TVAQQGLGSVKDPSNCGMPLTPPTSPEQVVIGESGGVQSAASHLGSQDGGMSTMHSPKRS RKTPPKLHSHMVRRVWRECILSRAQSKRSQMSTPTREEEAAHSPAAWDFVDPTQRVSCSC SRHKLLKRCAVGPSRPPAISQPGFSAGLPSSSSLPPPASSKHKTTERQEKGDKLQKRPLV PFHHRPSVAEELCVEQDAPGQKLGLAGIDASLEVSNTRKYDKQMAVPSRNTSKQMNLNPM DSPHSPISPLPPTLSPQPRGQEAESLDPPSVPVNPALYGNGLDLQQLSTIEDRTVLVGQR LPLMAEASETALYSGLRPSYTESSDRWWQSFRLPSSEDAEFRPPELQGERFDTALDLNPE STALQRLLAQPNKRFKIWQDEQPQVQPLPFLDPSPLSQQPGDTLGEVNDPYTFEDGDIKY IFTANKKCKQGTEKDSLKKNKSEDGFGTKDVTTPGHSTPVPDGKNAMSIFSSATKTDVRQ DSAAGRAGSGSLTQVTDLAPSLHDLDNIFDNSDEDELGAVSPALRSSKMPTVGTEERPPG KDGRAAGPYPPTVADLQRMFPTPPSLEQHPAFSPVMNYKDGVSSETVTALGMMESPVVSM VPTHLTEFRMEVEDGLGSPKPEEIKDFSYVHKVPQFQPFVGSSMFAPLKTLPSHCLLPLK TPDACLFRPSWAVPPKMEQLPMPPAASSIRDGYNNVPSVGSLADPDYLNTPQMNTPVTLN SAAPASNSGAGVLPSPATPRFSVPTPRTPRTPRTPRGGGTASGQGSVKYDSTDQGSPAST PSTTRPLNSVEPATMQPIPEAHSLYVTLILSDSVMNVFKDRNFDSCCICACNMNIKGADV GLYIPDSSKEDQYRCTCGFSAIVNRKLGYNSGLFLEDELDIFGKNSDIGQAAERRLMMCQ SSGQSTLLPQVEGARKAPEPPVSLLLLLQNQHTQPFASLSFLDYISSANRHALPCVSWTY DRVQADNNDYWTECFNALEQGRQYVDNPTGGKVDEALVRSATVHCWPHSNVLDTSMLSSQ DVVRMLLSLQPFLQDAIQKKRTGRTWENIQHVQGPLTWQQFHKMAGRGTYGSEESPEPLP IPTLLVGYDKEFLTISPFSLPFWERLLLEPYGGHRDVAYIVVCPENEALLEGAKTFFRDL SAVYEMCRLGQHKPICKVLRDGIMRVGKTVAQKLTEELVSEWFNQPWSSEESDNHSRLKL YAQVCRHHLAPYLATLQLDSGLLMPPKHQSPPAEAQGQATPGNAGSLPSNSGSGAPPAGS AFNPTSSSSANPTTSSSSASSGPPGSSAASAPGITQMNTTSSSGFGGGVGGQNPSAGGSS TDRTPGNVACGDTEPGQSCTQSSQDGQDSVTERERIGIPTEPDSADSHAYPPAVVIYMVD PFTYTAEEDSSSGNFWLLSLMRCYTEMLDHLPEHMRSSFILQIVPCQYMLQTMKDEHVFY IQYLKSMAFSVYCQCRRPLPTQIHIKSLTGFGPAASIEMTLKNPERPSPIQLYSPPFILA PIKDKQTEPGETFGEASQKYNVLFVGYCLSHDQRWLLASCTDLHGELLETCVVNIALPSR TLHVPLSVRSRKSKVSARKVGLQKLWEWCLGIVQMTSLPWRVVIGRLGRLGHGELKDWSI LLGECSLQTISKQLKDVCRMCGISAADSPSILSACLVAMEPQGSFVVMPDAVTMGSVFGR STALNMQSSQLNTPQDASCTHILVFPTSSTIQVAPANYPNEDGFSPNNDDMFVDLPFPDD MDNDIGILMTGNLHSSPNSSPVPSPGSPSGIGVGSHFQHSRSQGERLLSREAPEELKQQP LALGYFVSTAKAENLPQWFWSSCPQARNQCPLFLKASLHHHISVAQTDELLPARTSQRAP HPLDSKTTSDVLRFVLEQYNALSWLTCNPATQDRTSCLPVHFVVLTQLYNAIMNML >ENSMUSP00000032429.2 pep:known chromosome:GRCm38:6:146642547:146650602:1 gene:ENSMUSG00000030291.12 transcript:ENSMUST00000032429.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med21 description:mediator complex subunit 21 [Source:MGI Symbol;Acc:MGI:1347064] MADRLTQLQDAVNSLADQFCNAIGVLQQCGPPASFSNIQTAINKDQPANPTEEYAQLFAA LIARTAKDIDVLIDSLPSEESTAALQAASLYKLEEENHEAATCLEDVVYRGDMLLEKIQS ALADIAQSQLKTRSVTHSHSLPDS >ENSMUSP00000107277.1 pep:known chromosome:GRCm38:6:146642580:146649533:1 gene:ENSMUSG00000030291.12 transcript:ENSMUST00000111650.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med21 description:mediator complex subunit 21 [Source:MGI Symbol;Acc:MGI:1347064] MADRLTQLQDAVNSLADQFCNAIGVLQQCGPPASFSNIQTAINKDQPANPTEEYAQLFAA LIARTAKDIDVLIDSLPSEESTAALQVKLF >ENSMUSP00000145512.1 pep:known chromosome:GRCm38:6:146642591:146650732:1 gene:ENSMUSG00000030291.12 transcript:ENSMUST00000204040.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Med21 description:mediator complex subunit 21 [Source:MGI Symbol;Acc:MGI:1347064] MADRLTQLQDAVNSLADQFCNAIGVLQQCGPPASFSNIQTAINKDQPANPTEEYAQLFAA LIARTAKDIDVLIDSLPSEESTAALQAASLYKLEEENHEAATCLEDVVYRGDMLLEKIQS ALADIAQSQLKTRSVTHSHSLPDS >ENSMUSP00000089327.1 pep:known chromosome:GRCm38:13:22354346:22355248:1 gene:ENSMUSG00000095125.1 transcript:ENSMUST00000091733.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r198 description:vomeronasal 1 receptor 198 [Source:MGI Symbol;Acc:MGI:2159689] MNWSNVIHTIIFLSLIGPGIMGNILMFARLGYTSALETEKKPIDVILIHLVFSNMIIICT TGLKELATVFYFRSFLGDIGCKTTVYLARMARGLSICTTCLLSVVQAVTISPRTTFWTKL KPQTSCQVLPFLLLFWIVNVLISSNLLSYIKGGSSLNRSVAIMYIGHCYMLPSRHIIKWF FLSLMTLRDVIFQSLMGWSSGSMALHLYNHHKRVLYLYSSRFANNSPPEIRATWSILILM ACFLFFYWVDFILSFYTGFTVTHDSISLNIKTFLELGYASFSPYVLISRNVRVPNTLHAH >ENSMUSP00000121917.1 pep:known chromosome:GRCm38:11:102289300:102294163:-1 gene:ENSMUSG00000059995.12 transcript:ENSMUST00000141516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l3 description:ataxin 7-like 3 [Source:MGI Symbol;Acc:MGI:3036270] XRNSSRIANRRIANSNNMNKSESDQEDNDDINDNDWSYGSEKKAKKRKSDKNPNSPRRSK SLKHKNGFSVCTSASNTLPLLFSSSGELSNSDPFKYSNSTGISYETLGPEELRSLLTTQC GVISEHTKKMCTRSLRCPQHTDEQRRTVRIYFLGPSAVLPEVESSLDNDGFDMTDSQALI SRLQWDGSSDLSPSDSGSSKTSENQGWGLGTNSSESRKTKKKKSHLSLVGTASGLGSNKK KKPKPPAPPTPSIYDDIN >ENSMUSP00000122610.1 pep:known chromosome:GRCm38:11:102289300:102294987:-1 gene:ENSMUSG00000059995.12 transcript:ENSMUST00000137387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l3 description:ataxin 7-like 3 [Source:MGI Symbol;Acc:MGI:3036270] EMSLSGLDNSKLEAIAQEIYADLVEDSCLGFCFEVHRAVKCGYFFLDDTDPDSMKDFEIV DQPGLDIFGQVFNQWKSKECVCPNCSRSIAASRFAPHLEKCLGMGRNSSRIANRRIANSN NMNKSESDQEDNDDINDNDWSYGSEKKAKKRKSDKLWYLPFQNPNSPRRSKSLKHKNGEL SNSDPFKYSNSTGISYETLGPEELRSLLTTQCGVISEHTKKMCTRSLRCPQHTDEQRRTV RIYFLGPSAVLPEVESSLDNDGFDMTDSQALISRLQWDGSSDLSPSDSGSSKTSENQGWG LGTNSSESRKTKKKKSHLSLVGTASGLGSNKKKKPKPPAPPTPSIYDDIN >ENSMUSP00000102752.1 pep:known chromosome:GRCm38:11:102289300:102295312:-1 gene:ENSMUSG00000059995.12 transcript:ENSMUST00000107134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l3 description:ataxin 7-like 3 [Source:MGI Symbol;Acc:MGI:3036270] MKMEEMSLSGLDNSKLEAIAQEIYADLVEDSCLGFCFEVHRAVKCGYFFLDDTDPDSMKD FEIVDQPGLDIFGQVFNQWKSKECVCPNCSRSIAASRFAPHLEKCLGMGRNSSRIANRRI ANSNNMNKSESDQEDNDDINDNDWSYGSEKKAKKRKSDKNPNSPRRSKSLKHKNGELSNS DPFKYSNSTGISYETLGPEELRSLLTTQCGVISEHTKKMCTRSLRCPQHTDEQRRTVRIY FLGPSAVLPEVESSLDNDGFDMTDSQALISRLQWDGSSDLSPSDSGSSKTSENQGWGLGT NSSESRKTKKKKSHLSLVGTASGLGSNKKKKPKPPAPPTPSIYDDIN >ENSMUSP00000072967.2 pep:known chromosome:GRCm38:11:102289300:102296631:-1 gene:ENSMUSG00000059995.12 transcript:ENSMUST00000073234.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l3 description:ataxin 7-like 3 [Source:MGI Symbol;Acc:MGI:3036270] MKMEEMSLSGLDNSKLEAIAQEIYADLVEDSCLGFCFEVHRAVKCGYFFLDDTDPDSMKD FEIVDQPGLDIFGQVFNQWKSKECVCPNCSRSIAASRFAPHLEKCLGMGRNSSRIANRRI ANSNNMNKSESDQEDNDDINDNDWSYGSEKKAKKRKSDKNPNSPRRSKSLKHKNGELSNS DPFKYSNSTGISYETLGPEELRSLLTTQCGVISEHTKKMCTRSLRCPQHTDEQRRTVRIY FLGPSAVLPEVESSLDNDGFDMTDSQALISRLQWDGSSDLSPSDSGSSKTSENQGWGLGT NSSESRKTKKKKSHLSLVGTASGLGSNKKKKPKPPAPPTPSIYDDIN >ENSMUSP00000102750.2 pep:known chromosome:GRCm38:11:102289301:102296629:-1 gene:ENSMUSG00000059995.12 transcript:ENSMUST00000107132.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l3 description:ataxin 7-like 3 [Source:MGI Symbol;Acc:MGI:3036270] MKMEEMSLSGLDNSKLEAIAQEIYADLVEDSCLGFCFEVHRAVKCGYFFLDDTDPDSMKD FEIVDQPGLDIFGQVFNQWKSKECVCPNCSRSIAASRFAPHLEKCLGMGRNSSRIANRRI ANSNNMNKSESDQEDNDDINDNDWSYGSEKKAKKRKSDKLWYLPFQNPNSPRRSKSLKHK NGELSNSDPFKYSNSTGISYETLGPEELRSLLTTQCGVISEHTKKMCTRSLRCPQHTDEQ RRTVRIYFLGPSAVLPEVESSLDNDGFDMTDSQALISRLQWDGSSDLSPSDSGSSKTSEN QGWGLGTNSSESRKTKKKKSHLSLVGTASGLGSNKKKKPKPPAPPTPSIYDDIN >ENSMUSP00000019572.6 pep:known chromosome:GRCm38:13:67471514:67484225:-1 gene:ENSMUSG00000059839.8 transcript:ENSMUST00000019572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp874b description:zinc finger protein 874b [Source:MGI Symbol;Acc:MGI:3040702] MEEMLSFRDVAIDFSPEERDYLGPAQWDLYRDVMLENYSHLVFLGLAVAKPYLVTFLEQN QGSSGVKSHAAATIPGTTGNEFNNHNEAIDHSSLSMQCQRIHTGEEPYYFEDCGKALSSQ ATLSVLQRRYSGDKPYKCKECHKTLSSRSSLLIHQKYHTDEKTYKCEKCGKRFLRSSHLQ HHQKIHTGEKPYKCEECDKAFLHHSYLRKHQAVHTGEKPYKCEECGNSFYYPAMLKQHQR IHSGEKLDKCEECGKVFSSAFFLNQHKGIDSIEKRYKCQECGKSFCYRSYLREHYRRHSG EYPYKCEECGKGFSRSSKLQEHQTIHTGVKPYKCEECEKCFSSFTSLKRHQIIHSEDTPH ECVECGKRFSSSSRLQEHQKIHTEEKPYKCEECDKAFLYHSFLRRHRAVHTREKPYTCEE CGKCYTSFTSLKRHQTIHSVDTPHEWV >ENSMUSP00000042265.5 pep:known chromosome:GRCm38:2:28822305:28840286:-1 gene:ENSMUSG00000035666.14 transcript:ENSMUST00000037117.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c4 description:general transcription factor IIIC, polypeptide 4 [Source:MGI Symbol;Acc:MGI:2138937] MSEADQALVGPKADEPSPPAEEKDEGGGKEAAADAAPGPSASFRLMVTRREPAVKLQYAV SGLEPLSWSEDHRVSVSTARSVAVLELICDVHNPGQDLVIHRTSVPAPLNSCLLKVGSKT EVAECKEKFASSKDPTISQTFMLDRMFNPEGKALPPMRGFKYTSWSPMGCDANGRCLLAA LTMDNRLTVQVNLNRLQWVQLVDLTEIYGDRLYETSYRLSKNEAPEGNLGDFAEFQRRHS MQTPVRMEWSSICTTQQVKHNNECRDVSSVLLAVLFENGNIAVWQFQLPFVGKESISSCN TIESGISSPSVLFWWEYEHNNRKMSGLIVGSAFGPVKILPVNLKAVKGYFTLRQPVVLWK EMDKLPVHSIKCVPLYHPYQKCSCSLVVAARGSYVFWCLLLISKAGLNVHNSHVTGLHSL PIVSITADKQNGTVYTCSSDGKVRQLIPIFTDVALKFEHQLIKLSDVFGSVRTHGIAVSP CGAYLAIITTEGMMNGLHPVNKNYQVQFVTLKTFEEAAAQLLESSVQNLFKQVDLIDLVR WKILKDKHIPQFLHEALEKKIESSGVTYFWRFKLFLLRILYQSMQKSPSEALWKPTHEDS KILLVDSPGMGDGEDEQQEEGTSKQGTKAGLQEKSKEGDTEETPEDSLTAGGDTGGREPV EEKLLEIQGKIEAVEMHLTREHMKRVLGEVYLHTWITENTSIPTRGLCNFLMSDEDYDDR TAQVLIGHISKKMNKQTFPERCSLCKEILPFTDRKQAVCSNGHIWLRCFLTYQSCQSLIY RRCLLHDSIARHPVPEDPDWIKRLLQSPCPFCDSPVF >ENSMUSP00000132171.1 pep:known chromosome:GRCm38:2:28822299:28840360:-1 gene:ENSMUSG00000035666.14 transcript:ENSMUST00000171404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c4 description:general transcription factor IIIC, polypeptide 4 [Source:MGI Symbol;Acc:MGI:2138937] MLDRMFNPEGKALPPMRGFKYTSWSPMGCDANGRCLLAALTMDNRLTVQVNLNRLQWVQL VDLTEIYGDRLYETSYRLSKNEAPEGNLGDFAEFQRRHSMQTPVRMEWSSICTTQQVKHN NECRDVSSVLLAVLFENGNIAVWQFQLPFVGKESISSCNTIESGISSPSVLFWWEYEHNN RKMSGLIVGSAFGPVKILPVNLKAVKGYFTLRQPVVLWKEMDKLPVHSIKCVPLYHPYQK CSCSLVVAARGSYVFWCLLLISKAGLNVHNSHVTGLHSLPIVSITADKQNGTVYTCSSDG KVRQLIPIFTDVALKFEHQLIKLSDVFGSVRTHGIAVSPCGAYLAIITTEGMMNGLHPVN KNYQVQFVTLKTFEEAAAQLLESSVQNLFKQVDLIDLVRWKILKDKHIPQFLHEALEKKI ESSGVTYFWRFKLFLLRILYQSMQKSPSEALWKPTHEDSKILLVDSPGMGDGEDEQQEEG TSKQGTKAGLQEKSKEGDTEETPEDSLTAGGDTGGREPVEEKLLEIQGKIEAVEMHLTRE HMKRVLGEVYLHTWITENTSIPTRGLCNFLMSDEDYDDRTAQVLIGHISKKMNKQTFPER CSLCKEILPFTDRKQAVCSNGHIWLRCFLTYQSCQSLIYRRCLLHDSIARHPVPEDPDWI KRLLQSPCPFCDSPVF >ENSMUSP00000134659.1 pep:known chromosome:GRCm38:7:22533964:22534887:-1 gene:ENSMUSG00000094748.1 transcript:ENSMUST00000174637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8677 description:predicted gene 8677 [Source:MGI Symbol;Acc:MGI:3646576] MSAHGNSLKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSHLVARSTNLCSTCVLSVHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSYWFFSVLSNIHIPIKFSGPQIADNNTDSKRKLFCSS SGFSVGIVFLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAESKATH TILILVFTFVSFYLLNCICIMLHALFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLLNY >ENSMUSP00000089326.3 pep:known chromosome:GRCm38:13:22382538:22383641:1 gene:ENSMUSG00000069292.3 transcript:ENSMUST00000091732.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r199 description:vomeronasal 1 receptor 199 [Source:MGI Symbol;Acc:MGI:2159662] MHPPLPLEHQKMLSCKMCCSDPCPALLILSLKCICILHTRKEEMILKLIKKILFLFMTVL GIMGNMSVSVNYMFSCWGSPEKKPVHLILIHLAFTNTVILLAKGLPKTIVAFGLRNFLDD SGCKIIVYLERVAHGLSLCASSLLTVVQAIIISPRASGWRMLRPKSAWHILPFFLFFWLF SALINMNLINSITSTRLNISQFKTDSNNCYFMLPSQKIKGIVLPLMVLRDAVFQGAMGGA SGYMVFLLYKHHQHVLYLQNSKLLYRTPPELRAAQSVLLLMFCFVFFYWTDCAFSLILSL SSKDNTLMVNPRELLTLGYATFSPLVLIHRDGLLVERWHVQWEKLRKCLLFICSTRKKKL SVLQYCG >ENSMUSP00000105357.2 pep:known chromosome:GRCm38:13:67312998:67332547:-1 gene:ENSMUSG00000057842.13 transcript:ENSMUST00000109735.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp595 description:zinc finger protein 595 [Source:MGI Symbol;Acc:MGI:3040707] MEDMLSFWDVAMYFSAEEWEYLGPAQWKMYRDVMLENYSNLVFLGLASTKPYLVTFLEQR QELSDVKRQAATAKYSGGKSYTCKECGKVFEAEKGFQNHQIIHLGVKSCKCEECGKSFHF SSLLSEHKRIHTGEKPYKCEIHSKAFHVPSKLFQHRIIHTGEKPYNCEVCGKAFCTSLFL SKHKIIHMGKNPCKCEVCGKAFEYPSRLSKHKKIHTGEKPYKCKVCGKAYRSPSSLCEHK RTHTGGKPYKCEVCGKAFHYPSLLSKHKIIHTEEKPYKCEVCGKAFHYLSRLSNHKTIHT GEKPYKCEVCGKAFHVPSSLSEHKRTHTGKKPYKCEVCGKEFRCPSLFSNHKRIHTGEKP YSCEVCGKAFHTTTLCSIHKRIHTGEKPYKCEVCGKAFHYSSRLSNHKKIHAGEKPYKCE VCGKAFHYPSILSKHKTIHTEDNPYKCEVCGKAFDYPSRLSNHKKVHTEEKPYQCDICGK AFHYASLLSKHKIIHIGEKPYKCEVCDKAFHYPSRLSNHKKIHTGEKPYKCEICGNVFRF PSSLSEHKRIHTGDKPYKCQICGKAFHYPSLLSKHKIIHTGENPYKCEVCGKFFNYSSRL YKHKKIHTREKSYKYKECGLAFYCQ >ENSMUSP00000129905.1 pep:known chromosome:GRCm38:13:67313720:67332560:-1 gene:ENSMUSG00000057842.13 transcript:ENSMUST00000169142.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp595 description:zinc finger protein 595 [Source:MGI Symbol;Acc:MGI:3040707] MEDMLSFWDVAMYFSAEEWEYLGPAQWKMYRDVMLENYSNLVFLGLASTKPYLVTFLEQR QELSDVKRQAATAKYSGGKSYTCKECGKVFEAEKGFQNHQIIHLGVKSCKCEECGKSFHF SSLLSEHKRIHTGEKPYKCEIHSKAFHVPSKLFQHRIIHTGEKPYNCEVCGKAFCTSLFL SKHKIIHMGKNPCKCEVCGKAFEYPSRLSKHKKIHTGEKPYKCKVCGKAYRSPSSLCEHK RTHTGGKPYKCEVCGKAFHYPSLLSKHKIIHTEEKPYKCEVCGKAFHYLSRLSNHKTIHT GEKPYKCEVCGKAFHVPSSLSEHKRTHTGKKPYKCEVCGKEFRCPSLFSNHKRIHTGEKP YSCEVCGKAFHTTTLCSIHKRIHTGEKPYKCEVCGKAFHYSSRLSNHKKIHAGEKPYKCE VCGKAFHYPSILSKHKTIHTEDNPYKCEVCGKAFDYPSRLSNHKKVHTEEKPYQCDICGK AFHYASLLSKHKIIHIGEKPYKCEVCDKAFHYPSRLSNHKKIHTGEKPYKCEICGNVFRF PSSLSEHKRIHTGDKPYKCQICGKAFHYPSLLSKHKIIHTGENPYKCEVCGKFFNYSSRL YKHKKIHTREKSYKYKECGLAFYCQ >ENSMUSP00000126862.1 pep:known chromosome:GRCm38:13:67314432:67332536:-1 gene:ENSMUSG00000057842.13 transcript:ENSMUST00000168892.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp595 description:zinc finger protein 595 [Source:MGI Symbol;Acc:MGI:3040707] MEDMLSFWDVAMYFSAEEWEYLGPAQWKMYRDVMLENYSNLVFLGLASTKPYLVTFLEQR QELSDVKRQAATAKYSGGKSYTCKECGKVFEAEKGFQNHQIIHLGVKSCKCEECGKSFHF SSLLSEHKRIHTGEKPYKCEIHSKAFHVPSKLFQHRIIHTGEKPYNCEVCGKAFCTSLFL SKHKIIHMGKNPCKCEVCGKAFEYPSRLSKHKKIHTGEKPYKCKVCGKAYRSPSSLCEHK RTHTGGKPYKCEVCGKAFHYPSLLSKHKIIHTEEKPYKCEVCGKAFHYLSRLSNHKTIHT GEKPYKCEVCGKAFHVPSSLSEHKRTHTGKKPYKCEVCGKEFRCPSLFSNHKRIHTGEKP YSCEVCGKAFHTTTLCSIHKRIHTGEKPYKCEVCGKAFHYSSRLSNHKKIHAGEKPYKCE VCGKAFHYPSILSKHKTIHTEDNPYKCEVCGKAFDYPSRLSNHKKVHTEEKPYQCDICGK AFHYASLLSKHKIIHIGEKPYKCEVCDKAFHYPSRLSNHKKIHTGEKPYKCEICGNVFRF PSSLSEHKRIHTGDKPYKCQICGKAFHYPSLLSKHKIIHTGENPYKCEVCGKFFNYSSRL YKHKKIHTREKSYKYKECGLAFYCQ >ENSMUSP00000127010.1 pep:known chromosome:GRCm38:13:67314740:67332500:-1 gene:ENSMUSG00000057842.13 transcript:ENSMUST00000171466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp595 description:zinc finger protein 595 [Source:MGI Symbol;Acc:MGI:3040707] MLSFWDVAMYFSAEEWEYLGPAQWKMYRDVMLENYSNLVFLGLASTKPYLVTFLEQRQEL SDVKRQAATAKYSGGKSYTCKECGKVFEAEKGFQNHQIIHLGVKSCKCEECGKSFHFSSL LSEHKRIHTGEKPYKCEIHSKAFHVPSKLFQHRIIHTGEKPYNCEVCGKAFCTSLFLSKH KIIHMGKNPCKCEVCGKAFEYPSRLSKHKKIHTGEKPYKCKVCGKAYRSPSSLCEHKRTH TGGKPYKCEVCGKAFHYPSLLSKHKIIHTEEKPYKCEVCGKAFHYLSRLSNHKTIHTGEK PYKCEVCGKAFHVPSSLSEHKRTHTGKKPYKCEVCGKEFRCPSLFSNHKRIHTGEKPYSC EVCGKAFHTTTLCSIHKRIHTGEKPYKCEVCGKAFHYSSRLSNHKKIHAGEKPYKCEVCG KAFHYPSILSKHKTIHTEDNPYKCEVCGKAFDYPSRLSNHKKVHTEEKPYQCDICGKAFH YASLLSKHKIIHIGEKPYKCEVCDKAFHYPSRLSNHKKIHTGEKPYKCEICGNVFRFPSS LSEHKRIHTGDKPYKCQICGKAFHYPSLLSKHKIIHTGENPYKCEVCGKFFNYSSRLYKH KKIHTREKSYKYKECGLAFYCQ >ENSMUSP00000133911.1 pep:known chromosome:GRCm38:7:22564423:22565343:-1 gene:ENSMUSG00000095984.1 transcript:ENSMUST00000174775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4201 description:predicted gene 4201 [Source:MGI Symbol;Acc:MGI:3782378] MSAHGKSLKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILGHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSLLVARSTNLCSTCVLSIHQFFTLFP VSRGKGKLILRASVQNLASYSFYSCWFFSVLSNIHIPIRVTGPQITDNNTDSKSNLFCST SGFIVGIVLQFAHDDTFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAESRATHT ILMLVFTFVSFYLLNCICIIFHAFSIHSCLFIRLVSEVLAAVFPSICPLLLIFRDPKDPC SVLFKC >ENSMUSP00000066324.4 pep:known chromosome:GRCm38:6:71493894:71510881:1 gene:ENSMUSG00000052656.12 transcript:ENSMUST00000064637.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf103 description:ring finger protein 103 [Source:MGI Symbol;Acc:MGI:109483] MWLKLFFLLLYFLVLFVLARFFEAIVWYETGIFATQLVDPVALSFKKLKTILECRGLGYS GLPEKKDVRELVEKSGDLMEGELYSALKEEEASESVSSTNFSGEMHFYELVEDTKDGIWL VQVIANDRSPLVGKIHWEKMVKKVSRFGIRTGTFNCSSDPRYCRRRGWVRSTLIMSVPQT STSKGKVMLKEYSGRKIEVEHIFKWITAHAASRIKTIYNVEHLKEEWNKSDQYWVKIYLF ANLDQPPAFFSALSIKFTGRVEFIFVNVENWNNKSYMTDIGIYNMPSYILRTPEGIYRYG NHTGEFISLQAMDSFLRSLQPEVNDLFVLSLVLVNLMAWMDLFITQGATIKRFVVLISTL GTYNSLLIISWLPVLGFLQLPYLDSFYDYSLRLLRYSNTTTLASWVRADWMFYTSHPALF LSTYLGHGLLIDYFEKKRRRSNNDEVNANNLEWLSSLWDWYTSYLFHPIASFQNFPVDSD WDEDPDLFLERLAFPDLWLHPLIPTDYIKNLPMWRFKCLGVQSEEEMSESSQDTENDSDS DNMDTFSSSKDIFEDKQSVVHSSPGRTSHCDTEACSCANKCESSPCERKRRSYGSHNTDE DMEPDWLTWPAGTLHCTECVVCLENFENGCLLMGLPCGHVFHQNCIVMWLAGGRHCCPVC RWPSYKKKQPYAQQQPLSNDVPS >ENSMUSP00000109816.1 pep:known chromosome:GRCm38:6:71493910:71510881:1 gene:ENSMUSG00000052656.12 transcript:ENSMUST00000114178.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf103 description:ring finger protein 103 [Source:MGI Symbol;Acc:MGI:109483] MWLKLFFLLLYFLVLFVLARFFEAIVWYETGIFATQLVDPVALSFKKLKTILECRGLGYS GLPEKKDVRELVEKSGDLMEGELYSALKEEEASESVSSTNFSGEMHFYELVEDTKDGIWL VQVIANDRSPLVGKIHWEKMVKKVSRFGIRTGTFNCSSDPRNLKTTTVNLLVLAQLPSIG LSLVT >ENSMUSP00000109817.2 pep:known chromosome:GRCm38:6:71494003:71510763:1 gene:ENSMUSG00000052656.12 transcript:ENSMUST00000114179.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf103 description:ring finger protein 103 [Source:MGI Symbol;Acc:MGI:109483] MWLKLFFLLLYFLVLFVLARFFEAIVWYETGIFATQLVDPVALSFKKLKTILECRGLGYS GLPEKKDVRELVEKSGDLMEGELYSALKEEEASESVSSTNFSGEMHFYELVEDTKDGIWL VQVIANDRSPLVGKIHWEKMVKKVSRFGIRTGTFNCSSDPRYCRRRGWVRSTLIMSVPQT STSKGKVMLKEYSGRKIEVEHIFKWITAHAASRIKTIYNVEHLKEEWNKSDQYWVKIYLF ANLDQPPAFFSALSIKFTGRVEFIFVNVENWNNKSYMTDIGIYNMPSYILRTPEGIYRYG NHTGEFISLQAMDSFLRSLQPEVNDLFVLSLVLVNLMAWMDLFITQGATIKRFVVLISTL GTYNSLLIISWLPVLGFLQLPYLDSFYDYSLRLLRYSNTTTLASWVRADWMFYTSHPALF LSTYLGHGLLIDYFEKKRRRSNNDEVNANNLEWLSSLWDWYTSYLFHPIASFQNFPVDSD WDEDPDLFLERLAFPDLWLHPLIPTDYIKNLPMWRFKCLGVQSEEEMSESSQDTENDSDS DNMDTFSSSKDIFEDKQSVVHSSPGRTSHCDTEACSCANKCESSPCERKRRSYGSHNTDE DMEPDWLTWPAGTLHCTECVVCLENFENGCLLMGLPCGHVFHQNCIVMWLAGGRHCCPVC RWPSYKKKQPYAQQQPLSNDVPS >ENSMUSP00000133943.2 pep:known chromosome:GRCm38:7:5544172:5545178:-1 gene:ENSMUSG00000090794.3 transcript:ENSMUST00000173782.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r60 description:vomeronasal 1 receptor 60 [Source:MGI Symbol;Acc:MGI:3645244] MEMLALQILLLCHVVVGTVGNILLFVHNFSPILTDSRLRPIQVILINLAVANAFMLLLFA YSYDLIDIVPRKPPTDLKCKLAYFFHLVARGTIMCSTCVLSTYQFVTLVPGTWARVMFSE ISPKVVSYCCYSCWLFSVLNNAYMPMYVSGPQKTHNDSDSKGNSICSISGVSVDMNVLRF SHDIIFLNIMAWTSVSMVIHLNRHHQRMNHIHKVNQNNRGHAETRAAHTILMLVVTFVSL YILNCISILLHFSFVEFRLWMRYVTNLLSLSFPTISPLLLIFRDCKGHCSVHIMSVWKSM >ENSMUSP00000147120.1 pep:known chromosome:GRCm38:7:22582158:22588127:-1 gene:ENSMUSG00000109396.1 transcript:ENSMUST00000208134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4565 description:predicted gene 4565 [Source:MGI Symbol;Acc:MGI:3782749] MMEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRREITYLVMEYASEGELQDRIIKVGSLEE SETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDCRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAVKYEGPPVDIWSLGVLLFLMLSGNLPFQGRSFVDLKQEIISANFSI PSHVSIDISNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000040801.2 pep:known chromosome:GRCm38:4:70461826:70534995:-1 gene:ENSMUSG00000039270.9 transcript:ENSMUST00000037881.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Megf9 description:multiple EGF-like-domains 9 [Source:MGI Symbol;Acc:MGI:1918264] MNGGAERAMRSLPSLGGLALLCCAAAAAASTASAGNVTGGGGAEGQVVPSPSPGLRDQAS SPFPKTAAPTAQAPRTGPPRTTVRKTGATTPSAGSPEIIPPLRTSAQPAATPFPALDLSP ATPSEDGHTPTTESPPSRPAPTTLASTVGQPPTTSVVTTAQASSTPGTPTAESPDRSSNS SGVPPTAPVTEAPTSPPPEHMCNCSEVGSLDVKRCNQTTGQCDCHVGYQGLHCDTCKEGF YLNHTVGLCLPCHCSPHGAVSILCNRGETVNLQLFPFESVNKHSLLQPPPSHLYH >ENSMUSP00000102982.2 pep:known chromosome:GRCm38:4:70427065:70534928:-1 gene:ENSMUSG00000039270.9 transcript:ENSMUST00000107359.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf9 description:multiple EGF-like-domains 9 [Source:MGI Symbol;Acc:MGI:1918264] MNGGAERAMRSLPSLGGLALLCCAAAAAASTASAGNVTGGGGAEGQVVPSPSPGLRDQAS SPFPKTAAPTAQAPRTGPPRTTVRKTGATTPSAGSPEIIPPLRTSAQPAATPFPALDLSP ATPSEDGHTPTTESPPSRPAPTTLASTVGQPPTTSVVTTAQASSTPGTPTAESPDRSSNS SGVPPTAPVTEAPTSPPPEHMCNCSEVGSLDVKRCNQTTGQCDCHVGYQGLHCDTCKEGF YLNHTVGLCLPCHCSPHGAVSILCNSSGNCQCKVGVTGSMCDKCQDGHYGFGKTGCLPCQ CNNRSDSCDVHTGACLNCQENSKGEHCEECKEGFYPSPDAAKQCHRCPCSAVTSTGNCTI ESGELEPTCDQCKDGYTGQNCNKCENGYYNSDSICTQCECHGHVDPIKTPKICKPESGEC INCLHNTTGFWCEKCLEGYVRDLQRNCIKQEVIVPTPEGSTILVSNASLTTSVPTPVINS TFAPTTLQTIFAVSSSENSTSALADVSWTQFNIIILTVIIIVVVLLMGFVGAVYMYREYQ NRKLNAPFWTIELKEDNISFSSYHDSIPNADVSGLLEDDANEVAPNGQLTLTTPIHNYKA >ENSMUSP00000052929.9 pep:known chromosome:GRCm38:X:38076594:38085141:-1 gene:ENSMUSG00000051038.10 transcript:ENSMUST00000054147.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox11 description:reproductive homeobox 11 [Source:MGI Symbol;Acc:MGI:2681831] MSRKYFYFDYDYYGMSFCEEEITTEPEEKVAYTSNSGGFADEVTGIPKEGHQSSIGEHSC VMPNTQDTGREEPEETSKVAETSEQSLFRIPRKAYRFTPGQLWELQAVFVENQYPDALKR KELAGLLNVDEQKIKDWFNNKRAKYRKIQREILGGKNITPTQEELRMKTLVESKKIIIFQ EQEGDGLFWEHQNIDTQNSPLSLLFS >ENSMUSP00000023660.8 pep:known chromosome:GRCm38:16:94328420:94336935:1 gene:ENSMUSG00000022941.8 transcript:ENSMUST00000023660.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripply3 description:ripply3 homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:2181192] MRPEAAGVREARGRLCHCPGDDPGRLPLPRGPESSIPAPWRPWMSPPPGDAELTRTERPC ESWGDQHTSGSKGAFGFQHPVRLYLPVSKRQEYLQSSGEKVLASFPVQATIHFYNDDSES GSEEEQEEEAQPNHLQCLEAEVRDSAQEERAE >ENSMUSP00000117218.1 pep:known chromosome:GRCm38:13:67705306:67724272:-1 gene:ENSMUSG00000071281.10 transcript:ENSMUST00000127979.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp65 description:zinc finger protein 65 [Source:MGI Symbol;Acc:MGI:107769] MLSFRDVAIDFSEEEWECLGPAQWNLYRDVMLENYSHLVFLGLALSKPYLVTCLEKIQET SGVKRGGAPFMLPGGKCYTCTECGKIFEWKKVFQNHQMLDLRMNPYKCEECAKSFHSPSL SSKESRIHTGGKPYKCEVCGKAFCIPLLLSKHKIIHTVEKPYKCDICGKAFQYPSKLSRH KQIHSEEKPYKCEVCGMAFHFPSLLLVHKRIHTGEKPYKCEECGKAFRNSSFLSKHKIIH RGEKPYKCEVCGKDFHYPSRLYNHKKIHSGEKPYKCELCGKAFCFPSSLSKHKRIHTGEK PYKCKECEKPFCYLSSLSKHKIIHTGEKPYKCDLCGKAFRYPSLLSEHKVIHTGNKPYKC EVCGKAFHFSSQLKKHKKIHGAGDMAQ >ENSMUSP00000072900.6 pep:known chromosome:GRCm38:13:67705306:67729119:-1 gene:ENSMUSG00000071281.10 transcript:ENSMUST00000073157.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp65 description:zinc finger protein 65 [Source:MGI Symbol;Acc:MGI:107769] MEEMLSFRDVAIDFSEEEWECLGPAQWNLYRDVMLENYSHLVFLGLALSKPYLVTCLEKI QETSGVKRGGAPFMLPGGKCYTCTECGKIFEWKKVFQNHQMLDLRMNPYKCEECAKSFHS PSLSSKESRIHTGGKPYKCEVCGKAFCIPLLLSKHKIIHTVEKPYKCDICGKAFQYPSKL SRHKQIHSEEKPYKCEVCGMAFHFPSLLLVHKRIHTGEKPYKCEECGKAFRNSSFLSKHK IIHRGEKPYKCEVCGKDFHYPSRLYNHKKIHSGEKPYKCELCGKAFCFPSSLSKHKRIHT GEKPYKCKECEKPFCYLSSLSKHKIIHTGEKPYKCDLCGKAFRYPSLLSEHKVIHTGNKP YKCEVCGKAFHFSSQLKKHKKIHGAGDMAQ >ENSMUSP00000123380.1 pep:known chromosome:GRCm38:13:67708327:67724306:-1 gene:ENSMUSG00000071281.10 transcript:ENSMUST00000130891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp65 description:zinc finger protein 65 [Source:MGI Symbol;Acc:MGI:107769] MEVSAGSSATFQEMLSFRDVAIDFSEEEWECLGPAQWNLYRDVMLENYSHLVFLGLALSK PYLVTCLEKIQETSGVKRGGAPFMLPGGKCYTCTECGKIFEWKKVFQNHQMLDLRMNPYK CEECAKSFHSPSLSSKESRIHTGGKPYKCEVCGKAFCIPLLLSKHKIIHTVEKPYKCDIC GKAFQYPSKLSRHKQIHSEEKPYKCEVCGMAFHFPSLLLVHKRIHTGEKPYKCEECGKAF RNSSFLSKHKIIHRGEKPYKCEVCGKDFHYPSRLYNHKKIHSGEKPY >ENSMUSP00000035800.7 pep:known chromosome:GRCm38:4:120968317:121017247:-1 gene:ENSMUSG00000032870.8 transcript:ENSMUST00000043200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smap2 description:small ArfGAP 2 [Source:MGI Symbol;Acc:MGI:1917030] MTGKSVKDVDRYQAVLANLLLEEDNKFCADCQSKGPRWASWNIGVFICIRCAGIHRNLGV HISRVKSVNLDQWTQEQIQCMQEMGNGKANRLYEAYLPETFRRPQIDPAVEGFIRDKYEK KKYMDRSLDINVLRKEKDDKWKRGNEPAPEKKMEPVVFEKVKMPQKKEDAQLPRKSSPKS AAPVMDLLGLDAPVACSIANSKTSNALEKDLDLLASVPSPSSVSRKAVGSMPTAGSAGSV PENLNLFPEPGSKSEETGKKQLSKDSILSLYGSQTPQMPAQAMFMAPAQMAYPTAYPSFP GVTPPNSIMGGMVPPPVGMVAQPGASGMLTPMAMPAGYMGGMQASMMGVPNGMMTTQQAG YMASMAAMPQTVYGVQPAQQLQWNLTQMTQQMAGMNFYGANGMMNYGQSMGGGNGQAANQ TLSPQMWK >ENSMUSP00000071626.1 pep:known chromosome:GRCm38:6:132656953:132657844:1 gene:ENSMUSG00000059382.2 transcript:ENSMUST00000071707.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r120 description:taste receptor, type 2, member 120 [Source:MGI Symbol;Acc:MGI:2681256] MNLVEWIVTIIMMTEFLLGNCANVFITIVNFIDCVKRRKISSADRIITAIAIFRIGLLWA MLTNWHSHVFTPDTDNLQMRVFGGITWAITNHFTTWLGTILSMFYLFKIANFSNSLFLHL KRKLDNVLLVIFLGSSLFLVAYLGMVNIKKIAWMSIHEGNVTTKSKLKHVTSITNMLLFS LINIVPFGISLNCVLLLIYSLSKHLKNMKFYGKGCQDQSTMVHIKALQTVVSFLLLYATY SSCVIISGWSLQNAPVFLFCVTIGSFYPAGHSCILIWGNQKLKQVFLLLLRQMRC >ENSMUSP00000076700.6 pep:known chromosome:GRCm38:13:67339307:67360605:-1 gene:ENSMUSG00000098905.1 transcript:ENSMUST00000081582.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp953 description:zinc finger protein 953 [Source:MGI Symbol;Acc:MGI:3612873] MEDMLSFWDVVIYFSAEEWEYLGPAQWKLYRDVTLENYNNFVFLDLVSSTPYLVRFLEQI QEPSDVKSQADISMYSGGKCYTCKECGNIFVGKEVFQNHLIIHLGGKPYKCEECGKSFRF PSLLSQHKLIHTGEKPYKCETCNRAFHVPSKLFQHKIIHTKAKLYKCEVCGKAFNYSSRL LHHRTIHTGEKPYKCEVCGKAFHSSPSLYQHMGIHTGEKPCKCDKCGKAFRFPSLLLKHK ISHTNQKPYKCKICGDFLRSQSSLHEHKLMHKGEKLYKCDVCGKAFEYPSRLSKHKKIHT REKP >ENSMUSP00000041820.5 pep:known chromosome:GRCm38:19:43733254:43753000:-1 gene:ENSMUSG00000040018.9 transcript:ENSMUST00000045562.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox15 description:cytochrome c oxidase assembly protein 15 [Source:MGI Symbol;Acc:MGI:1920112] MQRLLLPSLRALTGSRNVGLLVPRVASRTQCGSSCGSQRPLRPGQYSTITEVALQSGKGT VSLPSRAAERAVGRWLLVCSGTVAGAVILGGVTRLTESGLSMVDWHLIKEMKPPTSQEEW EAEFQKYQQFPEFKILNHDMTLAEFKFIWYMEYSHRMWGRAVGLAYILPAAYFWRKGWLN RGMKGRVLALCGLVCFQGLLGWYMVKSGLEEKPESYDIPRVSQYRLAAHLGSALVLYCAS LWTSLSLLLPQHKLPETRQLLWLRRFAGGTAGLVFLTALSGAFVAGLDAGLVYNSFPKMG ESWIPEDLLTFSPVLKNVFENPTMVQFDHRLLGVTSVTAITVLYFLSRRIPLPRRTKMAA VTLLALAYAQVALGISTLLMYVPTPLAATHQSGSLALLSGALWLMNELRRVPK >ENSMUSP00000074475.7 pep:known chromosome:GRCm38:18:23989632:24005376:1 gene:ENSMUSG00000063281.9 transcript:ENSMUST00000074941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp35 description:zinc finger protein 35 [Source:MGI Symbol;Acc:MGI:99179] MEIQFSYESQDHHFLSDGETKIKIGEPATEEEMTGKIGTVTEESGSLEEDVPHDSRGKEF REFGEELNDQMLFRRRQYNCDECDQSFAWSTGLIRHQRTHWKPYECEECGKAFRMSSALV LHQRIHTGEKPYPCSWCIKSFSRSSDLIKHQRVHTGEKPYKCDECGKAFSQSSDLMIHQR IHTGEKPYQCSHCSKSFSQHSGMVKHLRIHTGEKPYMCNHCYKHFSQSSDLIKHQRIHTG EKPYKCDVCGKAFSQSSDRILHQRIHTGEKPYPCAQCNKSFSQNSDLIKHRRIHTGEKPY KCSECGKAFNQSSVLILHQRIHTGEKPYPCNQCTKSFSRLSDLINHQRIHTGEKPYPCSQ CSKMFSRRSDLVKHYRIHTGEKPYECDKCGKTFSQSSNLILHQRIHTGEKPYPCNSCSKS FSRGSDLIKHQRVHTGEKPYTCNLCSKSFSQSSDLTKHQRVHSGEKPYHCSSCNKAFRQS SDLILHHRVHTGERPYACTQCPRSFSQKSDLIKHQRIHTGEKPYKCMCGKAFSQCSAFTL HQRIHTGEKPYPCAQCGKSFSQRSDLVNHQRVHADQKLQM >ENSMUSP00000093044.1 pep:known chromosome:GRCm38:6:132700090:132701007:-1 gene:ENSMUSG00000071150.1 transcript:ENSMUST00000095395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r121 description:taste receptor, type 2, member 121 [Source:MGI Symbol;Acc:MGI:2681259] MGSNVYGILTMVMIAEFVFGNMSNGFIVLINCIDWVRKGTLSSIGWILLFLAISRMVLIW EMLITWIKYMKYSFSFVTGTELRGIMFTWVISNHFSLWLATILSIFYLLKIASFSKPVFL YLKWREKKVLLIVLLGNLIFLMLNILQINKHIEHWMYQYERNITWSSRVSDFAGFSNLVL LEMIVFSVTPFTVALVSFILLIFSLWKHLQKMHLNSRGERDPSTKAHVNALRIMVSFLLL YATYFISFFLSLIPMAHKTRLGLMFSITVGLFYPSSHSFILILGHSNLRQASLWVMTYLK CGQKH >ENSMUSP00000049225.6 pep:known chromosome:GRCm38:13:67317942:67360536:-1 gene:ENSMUSG00000098781.1 transcript:ENSMUST00000044819.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28044 description:predicted gene, 28044 [Source:MGI Symbol;Acc:MGI:5547780] MEDMLSFWDVVIYFSAEEWEYLGPAQWKLYRDVTLENYNNFVFLDLVSSTPYLVRFLEQI QEPSDVKSQADISMYSGYAVILGCGYVFLCRGVGIPGSCSVENVQRCDAGELQQPCVPG >ENSMUSP00000023524.6 pep:known chromosome:GRCm38:16:37539885:37572385:1 gene:ENSMUSG00000022827.14 transcript:ENSMUST00000023524.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabl3 description:RAB, member RAS oncogene family-like 3 [Source:MGI Symbol;Acc:MGI:1914907] MASLDRVKVLVLGDSGVGKSSLVHLLCHNQVLGNPSWTVGCSVDIRVHDYKEGTPEEKTY YIELWDVGGSVGSASSVKSTRAVFYNSVNGIILVHDLTNKKSSQNLYRWSLEVLNRDAVP TGVLVTNGDYDREQFADNQIPLLVIGTKLDQIHETKRHEVLIRTAFLAEDFNAEEINLDC TNPRSSAAGSSNAVKLSRFFDKVIEKRYFFREGNQIPGFSDRKRFGGGALKNFHCD >ENSMUSP00000122441.1 pep:known chromosome:GRCm38:16:37539900:37572382:1 gene:ENSMUSG00000022827.14 transcript:ENSMUST00000130028.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabl3 description:RAB, member RAS oncogene family-like 3 [Source:MGI Symbol;Acc:MGI:1914907] MASLDRVKVLVLGDSGVGKSSLVHLLCHNQVLGNPSWTVGCSVDIRVHDYKEGTPEEKTY YIELWDVGGSVGSASSVKSTRAVFYNSVNGIILVHDLTNKKSSQNLYRWSLEVLNRDAVP TGVLVTNGD >ENSMUSP00000021941.7 pep:known chromosome:GRCm38:13:55325168:55329758:-1 gene:ENSMUSG00000021485.13 transcript:ENSMUST00000021941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxd3 description:Max dimerization protein 3 [Source:MGI Symbol;Acc:MGI:104987] MEPVASNIQVLLQAAEFLERREREAEHGYASLCPHHSPGTVCRRRKPPLQAPGALNSGRS VHNELEKRRRAQLKRCLEQLRQQMPLGVDCTRYTTLSLLRRARVHIQKLEEQEQQARRLK EKLRSKQQSLQQQLEQLQGLPGARERERLRADSLDSSGLSSERSDSDQEDLEVDVESLVF GTETELLQSFSAGREHSYSHSTCAWL >ENSMUSP00000121275.2 pep:known chromosome:GRCm38:13:67667437:67683467:-1 gene:ENSMUSG00000048280.17 transcript:ENSMUST00000137496.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp738 description:zinc finger protein 738 [Source:MGI Symbol;Acc:MGI:3040706] MEVGAGSSAIFQEMLSFRDVAIDFSAEEWECLEPAQLDLYRDVMLENYSNLVFLGLASSK PYLVTCLEQIQEPSDVKRGAATSMLPERKCYKCKECGKVSEWTKVFQNHQMLDLGMNYYK CEECAKSFHSPSLSSEENRIHAGEKPYKCEVCGKAFCIPLLLSKHKIIHKGENLYKCEVC GKAFQHPSRLSRHKKIHSEEKPYKCEVCGKAFHFPSLLLVHKRVHTGEKPYKCTVCGKAF HYPSIFSKHKRIHTGEKPYKCEECGKAFHISSFLSKHKIIHRGEKPYKCEVCGKAFHYPS RLSNHKKIHSGEKPFKCEVCGKAFRILSLLSKHKIIHTEENPYKCEVCGKAFDYPSRLSN HAEMHTREKPYKCEVCQKAFRSLSSFSKHKRIHTKDNYYNSELCGKAFIYPSRLSKHKKI CAGEKPYKCEVCGKAFHVASLLSKHRTIHTGEKLYKCEVCGKAFYYPSRLSNHKKIHTGE KPFQCEVCGKAFCFPSSLSKHKRIHTGEKPYKCKECGKAFRSLSSLSKHKRIHTGEKPYK CEECGKAFHYPSLLSKHKIIHTGEKPYKCDICDQAFHVPSKLSHHKIIHRGEKPHKCEVC GKAFHYPSRLSNHKKIHSEEKPYKCEVCGKAFRILSLLSKHKIIHTEENPYKCEVCGKAF DYPSRLSTHAKMHTREKPYKCEVCQNAFRSLSSLSKHKIIHKEEKPYKSDICGQAFHVPS KLSHHKIIQTGEKPYKCEVCGKAFCIPLLVSKHKRVHTGENPYNSQVYNKAFIYPLRLSK HKKICTKEKPYKC >ENSMUSP00000135050.1 pep:known chromosome:GRCm38:13:67671267:67683516:-1 gene:ENSMUSG00000048280.17 transcript:ENSMUST00000175821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp738 description:zinc finger protein 738 [Source:MGI Symbol;Acc:MGI:3040706] MEEMLSFRDVAIDFSAEEWECLEPAQLDLYRDVMLENYSNLVFLGLASSKPYLVTCLEQI QEPSDVKRGAATSMLPERKCYKCKECGKVSEWTKVFQNHQMLDLGMNYYKCEECAKSFHS PSLSSEENRIHAGEKPYKCEVCGKAFCIPLLLSKHKIIHKGENLYKCEVCGKAFQHPSRL SRHKKIHSEEK >ENSMUSP00000106600.2 pep:known chromosome:GRCm38:13:67671452:67687071:-1 gene:ENSMUSG00000048280.17 transcript:ENSMUST00000110973.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp738 description:zinc finger protein 738 [Source:MGI Symbol;Acc:MGI:3040706] MLSFRDVAIDFSAEEWECLEPAQLDLYRDVMLENYSNLVFLGLASSKPYLVTCLEQIQEP SDVKRGAATSMLPERKCYKCKECGKVSEWTKVFQNHQMLDLGMNYYKCEECAKSFHSPSL SSEENR >ENSMUSP00000135683.1 pep:known chromosome:GRCm38:13:67672628:67683551:-1 gene:ENSMUSG00000048280.17 transcript:ENSMUST00000125495.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp738 description:zinc finger protein 738 [Source:MGI Symbol;Acc:MGI:3040706] MEEMLSFRDVAIDFSAEEWECLEPAQLDLYRDVMLENYSNLVFLGLASSKPYLVTCLEQI QEPSDVKRGAATSMLPGVLGGGNQRTEWEILRSNIKPLRHNIVV >ENSMUSP00000134865.1 pep:known chromosome:GRCm38:13:67672724:67683514:-1 gene:ENSMUSG00000048280.17 transcript:ENSMUST00000175678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp738 description:zinc finger protein 738 [Source:MGI Symbol;Acc:MGI:3040706] MEVGAGSSAIFQEMLSFRDVAIDFSAEEWECLEPAQLDLYRDVMLENYSNLVFLGLASSK PYLVTCLEQIQEPSDVKRGAATSMLPGVLGGGNQRTEWEILRSNIKPLRHNIVV >ENSMUSP00000024711.4 pep:known chromosome:GRCm38:17:42656891:42692284:-1 gene:ENSMUSG00000023918.12 transcript:ENSMUST00000024711.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrf4 description:adhesion G protein-coupled receptor F4 [Source:MGI Symbol;Acc:MGI:1925499] MKPWIAMVCCLVFFLTTECSHSKPKTHRKDEDKFQISLQKHEFRPRQGKCDGLCSSSSSC NQSCPWNFRGEIVFTCNQNKWQKTIETCTSLSVDTLFQRIHPAASLSLASSSVFPMSLIG NAAPVHIGNVFQGIQKYCPEDYVCIVDAVKSSAVTSGNIAFIVELLKNISSNLQTSGIHD NVNWKKMKNYGKVANHILGPTAISNWAFIANKNASSDLLESVNSFAKKLQIQGKSESIVD ELFIQTKGSRISHSSSEHSLSLSVPRYNATEDVLVVIEIPRQALQELSFNASQAIVVAFP TLGAILKEVHRPNTNLQKPIDDLILSLVLPEGLNEIILTFDKINKSQSTSSQCVSWDPAT GQWDESPCTVMSDINSTVKCRCRHTKAVTSFSILMSSKPVKNTILNHITFIGLSISIFSL VLCLVIEAIVWSRVVVTEISYMRHVCIVNIAVSLLTANVWFIIGSNFSANVQEDHKWCVA VTFLCHFFFLSLFFWMLFKALLIVYGILVVFRRMMKSRMMAIGFAIGYGCPLVIAVITVT VTEPGEGYTRKDACWLNWNQTKALFAFAIPALAIVAVNLLVVLAVAINTQRPLIGSSKSQ DMAIVFRISKNVAILTPLLGLTWGFGLTTLLEGVHLVFHIIFALLNAFQGFFILLFGTIM DHKIRDALRMRVSSLKGKSRAAEKVSLSPANGSRILNR >ENSMUSP00000132890.1 pep:known chromosome:GRCm38:17:42656894:42692284:-1 gene:ENSMUSG00000023918.12 transcript:ENSMUST00000167993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrf4 description:adhesion G protein-coupled receptor F4 [Source:MGI Symbol;Acc:MGI:1925499] MKPWIAMVCCLVFFLTTECSHSKPKTHRKDEDKFQISLQKHEFRPRQGKCDGLCSSSSSC NQSCPWNFRGEIVFTCNQNKWQKTIETCTSLSVDTLFQRIHPAASLSLASSSVFPMSLIG NAAPVHIGNVFQGIQKYCPEDYVCIVDAVKSSAVTSGNIAFIVELLKNISSNLQTSGIHD NVNWKKMKNYGKVANHILGPTAISNWAFIANKNASSDLLESVNSFAKKLQIQGKSESIVD ELFIQTKGSRISHSSSEHSLSLSVPRYNATEDVLVVIEIPRQALQELSFNASQAIVVAFP TLGAILKEVHRPNTNLQKPIDDLILSLVLPEGLNEIILTFDKINKSQSTSSQCVSWDPAT GQWDESPCTVMSDINSTVKCRCRHTKAVTSFSILMSSKPVKNTILNHITFIGLSISIFSL VLCLVIEAIVWSRVVVTEISYMRHVCIVNIAVSLLTANVWFIIGSNFSANVQEDHKWCVA VTFLCHFFFLSLFFWMLFKALLIVYGILVVFRRMMKSRMMAIGFAIGYGCPLVIAVITVT VTEPGEGYTRKDACWLNWNQTKALFAFAIPALAIVAVNLLVVLAVAINTQRPLIGSSKSQ DMAIVFRISKNVAILTPLLGLTWGFGLTTLLEGVHLVFHIIFALLNAFQGFFILLFGTIM DHKIRDALRMRVSSLKGKSRAAEKVSLSPANGSRILNR >ENSMUSP00000133261.1 pep:known chromosome:GRCm38:17:42657091:42692262:-1 gene:ENSMUSG00000023918.12 transcript:ENSMUST00000170723.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrf4 description:adhesion G protein-coupled receptor F4 [Source:MGI Symbol;Acc:MGI:1925499] MKPWIAMVCCLVFFLTTECSHSKPKTHRKDEDKFQISLQKHEFRPRQGKCDGLCSSSSSC NQSCPWNFRGEIVFTCNQNKWQKTIETCTSLSVDTLFQRIHPAASLSLASSSVFPMSLIG NAAPVHIGNVFQGIQKYCPEDYVCIVDAVKSSAVTSGNIAFIVELLKNISSNLQTSGIHD NVNWKKMKNYGKVANHILGPTAISNWAFIANKNASSDLLESVNSFAKKLQIQGKSESIVD ELFIQTKGSRISHSSSEHSLSLSVPRYNATEDVLVVIEIPRQALQELSFNASQAIVVAFP TLGAILKEVHRPNTNLQKPIDDLILSLVLPEGLNEIILTFDKINKSQSTSSQCVSWDPAT GQWDESPCTVMSDINSTVKCRCRHTKAVTSFSILMSSKPVKNTILNHITFIGLSISIFSL VLCLVIEAIVWSRVVVTEISYMRHVCIVNIAVSLLTANVWFIIGSNFSANVQEDHKWCVA VTFLCHFFFLSLFFWMLFKALLIVYGILVVFRRMMKSRMMAIGFAIGYGCPLVIAVITVT VTEPGEGYTRKDACWLNWNQTKALFAFAIPALAIVAVNLLVVLAVAINTQRPLIGSSKSQ DMAIVFRISKNVAILTPLLGLTWGFGLTTLLEGVHLVFHIIFALLNAFQGFFILLFGTIM DHKIRDALRMRVSSLKGKSRAAEKVSLSPANGSRILNR >ENSMUSP00000129114.1 pep:known chromosome:GRCm38:17:42672588:42692273:-1 gene:ENSMUSG00000023918.12 transcript:ENSMUST00000164524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrf4 description:adhesion G protein-coupled receptor F4 [Source:MGI Symbol;Acc:MGI:1925499] MKPWIAMVCCLVFFLTTECSHSKPKTHRKDEDKFQISLQKHEFRPRQGKCDGLCSSSSSC NQSCPWN >ENSMUSP00000126151.1 pep:known chromosome:GRCm38:14:4776337:4785002:1 gene:ENSMUSG00000090539.8 transcript:ENSMUST00000163325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9602 description:predicted gene 9602 [Source:MGI Symbol;Acc:MGI:3780010] MFSRLLRLCLKENGDEGETRPKKKEEGILSQEKGRRKLFWRRNRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGAMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC EEEKRFCEEASKNICASSAKEQQLP >ENSMUSP00000137206.1 pep:known chromosome:GRCm38:14:4776414:4779395:1 gene:ENSMUSG00000090539.8 transcript:ENSMUST00000177973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9602 description:predicted gene 9602 [Source:MGI Symbol;Acc:MGI:3780010] GMFSRLLRLCLKENGDEGETRPKKKEEGILSQEKGRRKLFWRRNRSARNTSTQNSNITNQ ISNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNK MTQSIIGAMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVS CEEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000047980.7 pep:known chromosome:GRCm38:5:124004641:124032270:-1 gene:ENSMUSG00000066278.6 transcript:ENSMUST00000040967.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps37b description:vacuolar protein sorting 37B (yeast) [Source:MGI Symbol;Acc:MGI:1916724] MAGAVSEARFAGLSLMQLHELLEDDAQLGDMVRGMEEAQTVQLNKEMTLASNRSLAEGNL LYQPQLDAQKARLTQKYQELQVLFEAYQIKKTKLDKQSNNASLETLLALLQAEGAKIEED TENMAEKFLDGELPLDSFIDVYQSKRKLAHMRRVKVEKLQELVLKGQRHPQAGAPPPPRV PEPSPATALPYPSLEATGLPSVVPRRIPPPPPPVPAGHVATPFAAAMGSGQVSAYPGLQC PPLPPRVGLPSQQGFSAQLVSPYPPALPQRPPPRMAPHQPGFILQ >ENSMUSP00000038502.9 pep:known chromosome:GRCm38:5:108518554:108550024:-1 gene:ENSMUSG00000033615.9 transcript:ENSMUST00000046892.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cplx1 description:complexin 1 [Source:MGI Symbol;Acc:MGI:104727] MEFVMKQALGGATKDMGKMLGGDEEKDPDAAKKEEERQEALRQAEEERKAKYAKMEAERE VMRQGIRDKYGIKKKEEREAEAQAAMEANSEGSLTRPKKAIPPGCGDEPEEEDESILDTV IKYLPGPLQDMFKK >ENSMUSP00000118118.1 pep:known chromosome:GRCm38:5:108520259:108550000:-1 gene:ENSMUSG00000033615.9 transcript:ENSMUST00000129040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cplx1 description:complexin 1 [Source:MGI Symbol;Acc:MGI:104727] MGKMLGGDEEKDPDAAKKEEERQEALRQAEEERKAKYAKMEAEREVMRQGIRDKYGIKKK EEREAEAQAAMEANSEG >ENSMUSP00000099087.3 pep:known chromosome:GRCm38:12:21323509:21373593:-1 gene:ENSMUSG00000052593.15 transcript:ENSMUST00000101551.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam17 description:a disintegrin and metallopeptidase domain 17 [Source:MGI Symbol;Acc:MGI:1096335] MRRRLLILTTLVPFVLAPRPPEEAGSGSHPRLEKLDSLLSDYDILSLANIQQHSIRKRDL QSATHLETLLTFSALKRHFKLYLTSSTERFSQNLRVVVVDGKEESEYSVKWQNFFSGHVV GEPDSRVLAHIGDDDVTVRINTDGAEYNVEPLWRFVNDTKDKRMLVYKSEDIKDFSRLQS PKVCGYLNADSEELLPKGLIDREPSEEFVRRVKRRAEPNPLKNTCKLLVVADHRFYKYMG RGEESTTTNYLGYISGTRDRVTTDCFLEFLIELIDRVDDIYRNTSWDNAGFKGYGVQIEQ IRILKSPQEVKPGERHFNMAKSFPNEEKDAWDVKMLLEQFSFDIAEEASKVCLAHLFTYQ DFDMGTLGLAYVGSPRANSHGGVCPKAYYNPTVKKNIYLNSGLTSTKNYGKTILTKEADL VTTHELGHNFGAEHDPDGLAECAPNEDQGGKYVMYPIAVSGDHENNKMFSNCSKQSIYKT IESKAQECFQERSNKVCGNSRVDEGEECDPGIMYLNNDTCCNSDCTLKPGVQCSDRNSPC CKNCQFETAQKKCQEAINATCKGVSYCTGNSSECPPPGDAEDDTVCLDLGKCKAGKCIPF CKREQELESCACVDTDNSCKVCCRNLSGPCVPYVDAEQKNLFLRKGKPCTVGFCDMNGKC EKRVQDVIERFWDFIDQLSINTFGKFLADNIVGSVLVFSLIFWIPFSILVHCVDKKLDKQ YESLSLFHHSNIEMLSSMDSASVRIIKPFPAPQTPGRLQALQPAAMMPPVPAAPKLDHQR MDTIQEDPSTDSHADDDGFEKDPFPNSSTAAKSFEDLTDHPVTRSEKAASFKLQRQSRVD SKETEC >ENSMUSP00000136677.1 pep:known chromosome:GRCm38:12:21323517:21373593:-1 gene:ENSMUSG00000052593.15 transcript:ENSMUST00000127974.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam17 description:a disintegrin and metallopeptidase domain 17 [Source:MGI Symbol;Acc:MGI:1096335] MRRRLLILTTLVPFVLAPRPPEEAGSGSHPRLEKLDSLLSDYDILSLANIQQHSIRKRDL QSATHLETLLTFSALKRHFKLYLTSSTERFSQNLRVVVVDGKEESEYSVKWQNFFSGHVV GEPDSRVLAHIGDDDVTVRINTDGAEYNVEPLWRFVNDTKDKRMLVYKSEDIKDFSRLQS PKVCGYLNADSEELLPKGLIDREPSEVHTNSYRVCSSSEETS >ENSMUSP00000136407.1 pep:known chromosome:GRCm38:12:21323517:21373593:-1 gene:ENSMUSG00000052593.15 transcript:ENSMUST00000145118.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam17 description:a disintegrin and metallopeptidase domain 17 [Source:MGI Symbol;Acc:MGI:1096335] MRRRLLILTTLVPFVLAPRPPEEAGSGSHPRLEKLDSLLSDYDILSLANIQQHSIRKRDL QSATHLETLLTFSALKRHFKLYLTSSTERFSQNLRVVVVDGKEESEYSVKWQNFFSGHVV GEPDSRVLAHIGDDDVTVRINTDGAEYNVEPLWRFVNDTKDKRMLVYKSEDIKDFSRLQS PKVCGYLNADSEELLPKGLIDREPSEEFVRRVKRRAEPNPLKNTCKLLVVADHRFYKYMG RGEESTTTNYLIELIDRVDDIYRNTSWDNAGFKGYGVQIEQIRILKSPQEVKPGERHFNM AKSFPNEEKDAWDVKMLLEQFSFDIAEEASKVCLAHLFTYQDFDMGTLGLAYVGSPRANS HGGVCPKAYYNPTVKKNIYLNSGLTSTKNYGKTILTKEADLVTTHELGHNFGAEHDPDGL AECAPNEDQGGKYVMYPIAVSGDHENNKMFSNCSKQSIYKTIESKAQECFQERSNKVCGN SRVDEGEECDPGIMYLNNDTCCNSDCTLKPGVQCSDRNSPCCKNCQFETAQKKCQEAINA TCKGVSYCTGNSSECPPPGDAEDDTVCLDLGKCKAGKCIPFCKREQELESCACVDTDNSC KVCCRNLSGPCVPYVDAEQKNLFLRKGKPCTVGFCDMNCDFFSPYRANVRNEYRT >ENSMUSP00000067953.6 pep:known chromosome:GRCm38:12:21323517:21373632:-1 gene:ENSMUSG00000052593.15 transcript:ENSMUST00000064536.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam17 description:a disintegrin and metallopeptidase domain 17 [Source:MGI Symbol;Acc:MGI:1096335] MRRRLLILTTLVPFVLAPRPPEEAGSGSHPRLEKLDSLLSDYDILSLANIQQHSIRKRDL QSATHLETLLTFSALKRHFKLYLTSSTERFSQNLRVVVVDGKEESEYSVKWQNFFSGHVV GEPDSRVLAHIGDDDVTVRINTDGAEYNVEPLWRFVNDTKDKRMLVYKSEDIKDFSRLQS PKVCGYLNADSEELLPKGLIDREPSEEFVRRVKRRAEPNPLKNTCKLLVVADHRFYKYMG RGEESTTTNYLIELIDRVDDIYRNTSWDNAGFKGYGVQIEQIRILKSPQEVKPGERHFNM AKSFPNEEKDAWDVKMLLEQFSFDIAEEASKVCLAHLFTYQDFDMGTLGLAYVGSPRANS HGGVCPKAYYNPTVKKNIYLNSGLTSTKNYGKTILTKEADLVTTHELGHNFGAEHDPDGL AECAPNEDQGGKYVMYPIAVSGDHENNKMFSNCSKQSIYKTIESKAQECFQERSNKVCGN SRVDEGEECDPGIMYLNNDTCCNSDCTLKPGVQCSDRNSPCCKNCQFETAQKKCQEAINA TCKGVSYCTGNSSECPPPGDAEDDTVCLDLGKCKAGKCIPFCKREQELESCACVDTDNSC KVCCRNLSGPCVPYVDAEQKNLFLRKGKPCTVGFCDMNGKCEKRVQDVIERFWDFIDQLS INTFGKFLADNIVGSVLVFSLIFWIPFSILVHCVDKKLDKQYESLSLFHHSNIEMLSSMD SASVRIIKPFPAPQTPGRLQALQPAAMMPPVPAAPKLDHQRMDTIQEDPSTDSHADDDGF EKDPFPNSSTAAKSFEDLTDHPVTRSEKAASFKLQRQSRVDSKETEC >ENSMUSP00000136255.1 pep:known chromosome:GRCm38:12:21349903:21373534:-1 gene:ENSMUSG00000052593.15 transcript:ENSMUST00000142092.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam17 description:a disintegrin and metallopeptidase domain 17 [Source:MGI Symbol;Acc:MGI:1096335] MRRRLLILTTLVPFVLAPRPPEEAGSGSHPRLEKLDSLLSDYDILSLANIQQHSIRKRDL QSATHLETLLTFSALKSFQDRSRQKVLPSFPKHQ >ENSMUSP00000033738.7 pep:known chromosome:GRCm38:X:73433705:73435344:-1 gene:ENSMUSG00000031372.7 transcript:ENSMUST00000033738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trex2 description:three prime repair exonuclease 2 [Source:MGI Symbol;Acc:MGI:1346343] MSEPPRAETFVFLDLEATGLPNMDPEIAEISLFAVHRSSLENPERDDSGSLVLPRVLDKL TLCMCPERPFTAKASEITGLSSESLMHCGKAGFNGAVVRTLQGFLSRQEGPICLVAHNGF DYDFPLLCTELQRLGAHLPQDTVCLDTLPALRGLDRAHSHGTRAQGRKSYSLASLFHRYF QAEPSAAHSAEGDVHTLLLIFLHRAPELLAWADEQARSWAHIEPMYVPPDGPSLEA >ENSMUSP00000063236.7 pep:known chromosome:GRCm38:2:24603887:24763152:-1 gene:ENSMUSG00000004113.18 transcript:ENSMUST00000070864.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1b description:calcium channel, voltage-dependent, N type, alpha 1B subunit [Source:MGI Symbol;Acc:MGI:88296] MVRFGDELGGRYGGTGGGERARGGGAGGAGGPGQGGLPPGQRVLYKQSIAQRARTMALYN PIPVKQNCFTVNRSLFVFSEDNVVRKYAKRITEWPPFEYMILATIIANCIVLALEQHLPD GDKTPMSERLDDTEPYFIGIFCFEAGIKIIALGFVFHKGSYLRNGWNVMDFVVVLTGILA TAGTDFDLRTLRAVRVLRPLKLVSGIPSLQVVLKSIMKAMVPLLQIGLLLFFAILMFAII GLEFYMGKFHKACFPNSTDTEPVGDFPCGKDPPARQCDGDTECREYWPGPNFGITNFDNI LFAILTVFQCITMEGWTDILYNTNDAAGNTWNWLYFIPLIIIGSFFMLNLVLGVLSGEFA KERERVENRRAFLKLRRQQQIERELNGYLEWIFKAEEVMLAEEDKNAEEKSPLDVLKRAA TKKSRNDLIHAEEGEDRFVDLCAVGSPFARASLKSGKTESSSYFRRKEKMFRFFIRRMVK AQSFYWVVLCVVALNTLCVAMVHYNQPQRLTTALYFAEFVFLGLFLTEMSLKMYGLGPRS YFRSSFNCFDFGVIVGSIFEVVWAAIKPGTSFGISVLRALRLLRIFKVTKYWNSLRNLVV SLLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFQDETPTTNFDTFPAAILTVFQIL TGEDWNAVMYHGIESQGGVSKGMFSSFYFIVLTLFGNYTLLNVFLAIAVDNLANAQELTK DEEEMEEAANQKLALQKAKEVAEVSPMSAANISIAAQQNSAKARSVWEQRASQLRLQNLR ASCEALYSEMDPEERLRYASTRHVRPDMKTHMDRPLVVEPGRDGLRGPVGSKSKPEGTEA TESADLPRRHHRHRDRDKTSATAPAGGEQDRTESTETGAREERARPRRSHSKETPGADTQ VRCERSRRHHRRGSPEEATEREPRRHRAHRHAQDSSKEGTAPVLVPKGERRARHRGPRTG PREAENNEEPTRRHRARHKVPPTLQPPEREAAEKESNAVEGDKETRNHQPKEPHCDLEAI AVTGVGPLHMLPSTCLQKVDEQPEDADNQRNVTRMGSQPSDPSTTVHVPVTLTGPPGETP VVPSGNMNLEGQAEGKKEAEADDVLRRGPRPIVPYSSMFCLSPTNLLRRFCHYIVTMRYF EMVILVVIALSSIALAAEDPVRTDSFRNNALKYMDYIFTGVFTFEMVIKMIDLGLLLHPG AYFRDLWNILDFIVVSGALVAFAFSGSKGKDINTIKSLRVLRVLRPLKTIKRLPKLKAVF DCVVNSLKNVLNILIVYMLFMFIFAVIAVQLFKGKFFYCTDESKELERDCRGQYLDYEKE EVEAQPRQWKKYDFHYDNVLWALLTLFTVSTGEGWPMVLKHSVDATYEEQGPSPGFRMEL SIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKVMSECSLEKNERACIDFAISAKPLTRY MPQNKQSFQYKTWTFVVSPPFEYFIMAMIALNTVVLMMKFYDAPYEYELMLKCLNIVFTS MFSMECILKIIAFGVLNYFRDAWNVFDFVTVLGSITDILVTEIAETNNFINLSFLRLFRA ARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIALDDDTSI NRHNNFRTFLQALMLLFRSATGEAWHEIMLSCLGNRACDPHANASECGSDFAYFYFVSFI FLCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEFIRVWAEYDPAACGRISYNDMFEM LKHMSPPLGLGKKCPARVAYKRLVRMNMPISNEDMTVHFTSTLMALIRTALEIKLAPADE MTVGKVYAALMIFDFYKQNKTTRDQTHQAPGGLSQMGPVSLFHPLKATLEQTQPAVLRGA RVFLRQKSATSLSNGGAIQTQESGIKESLSWGTQRTQDALYEARAPLERGHSAEIPVGQS GTLAVDVQMQNMTLRGPDGEPQPGLESQGRAASMPRLAAETQPAPNASPMKRSISTLAPR PHGTQLCSTVLDRPPPSQASHHHHHRCHRRRDKKQRSLEKGPSLSVDPEGAPSTAAGPGL PHGEGSTACRRDRKQERGRSQERRQPSSSSSEKQRFYSCDRFGSREPPQLMPSLSSHPTS PTAALEPAPHPQGSGSVNGSPLMSTSGASTPGRGGRRQLPQTPLTPRPSITYKTANSSPV HFAEGQSGLPAFSPGRLSRGLSEHNALLQKEPLSQPLAPGSRIGSDPYLGQRLDSEASAH TLPEDTLTFEEAVATNSGRSSRTSYVSSLTSQSHPLRRVPNGYHCTLGLSTGVRARHSYH HPDQDHWC >ENSMUSP00000100003.2 pep:known chromosome:GRCm38:2:24603894:24763129:-1 gene:ENSMUSG00000004113.18 transcript:ENSMUST00000102939.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1b description:calcium channel, voltage-dependent, N type, alpha 1B subunit [Source:MGI Symbol;Acc:MGI:88296] MVRFGDELGGRYGGTGGGERARGGGAGGAGGPGQGGLPPGQRVLYKQSIAQRARTMALYN PIPVKQNCFTVNRSLFVFSEDNVVRKYAKRITEWPPFEYMILATIIANCIVLALEQHLPD GDKTPMSERLDDTEPYFIGIFCFEAGIKIIALGFVFHKGSYLRNGWNVMDFVVVLTGILA TAGTDFDLRTLRAVRVLRPLKLVSGIPSLQVVLKSIMKAMVPLLQIGLLLFFAILMFAII GLEFYMGKFHKACFPNSTDTEPVGDFPCGKDPPARQCDGDTECREYWPGPNFGITNFDNI LFAILTVFQCITMEGWTDILYNTNDAAGNTWNWLYFIPLIIIGSFFMLNLVLGVLSGEFA KERERVENRRAFLKLRRQQQIERELNGYLEWIFKAEEVMLAEEDKNAEEKSPLDVLKRAA TKKSRNDLIHAEEGEDRFVDLCAVGSPFARASLKSGKTESSSYFRRKEKMFRFFIRRMVK AQSFYWVVLCVVALNTLCVAMVHYNQPQRLTTALYFAEFVFLGLFLTEMSLKMYGLGPRS YFRSSFNCFDFGVIVGSIFEVVWAAIKPGTSFGISVLRALRLLRIFKVTKYWNSLRNLVV SLLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFQDETPTTNFDTFPAAILTVFQIL TGEDWNAVMYHGIESQGGVSKGMFSSFYFIVLTLFGNYTLLNVFLAIAVDNLANAQELTK DEEEMEEAANQKLALQKAKEVAEVSPMSAANISIAARQQNSAKARSVWEQRASQLRLQNL RASCEALYSEMDPEERLRYASTRHVRPDMKTHMDRPLVVEPGRDGLRGPVGSKSKPEGTE ATESADLPRRHHRHRDRDKTSATAPAGGEQDRTESTETGAREERARPRRSHSKETPGADT QVRCERSRRHHRRGSPEEATEREPRRHRAHRHAQDSSKEGTAPVLVPKGERRARHRGPRT GPREAENNEEPTRRHRARHKVPPTLQPPEREAAEKESNAVEGDKETRNHQPKEPHCDLEA IAVTGVGPLHMLPSTCLQKVDEQPEDADNQRNVTRMGSQPSDPSTTVHVPVTLTGPPGET PVVPSGNMNLEGQAEGKKEAEADDVLRRGPRPIVPYSSMFCLSPTNLLRRFCHYIVTMRY FEMVILVVIALSSIALAAEDPVRTDSFRNNALKYMDYIFTGVFTFEMVIKMIDLGLLLHP GAYFRDLWNILDFIVVSGALVAFAFSGSKGKDINTIKSLRVLRVLRPLKTIKRLPKLKAV FDCVVNSLKNVLNILIVYMLFMFIFAVIAVQLFKGKFFYCTDESKELERDCRGQYLDYEK EEVEAQPRQWKKYDFHYDNVLWALLTLFTVSTGEGWPMVLKHSVDATYEEQGPSPGFRME LSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKVMSECSLEKNERACIDFAISAKPLTR YMPQNKQSFQYKTWTFVVSPPFEYFIMAMIALNTVVLMMKFYDAPYEYELMLKCLNIVFT SMFSMECILKIIAFGVLNYFRDAWNVFDFVTVLGSITDILVTEIAETNNFINLSFLRLFR AARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIALDDDTS INRHNNFRTFLQALMLLFRSATGEAWHEIMLSCLGNRACDPHANASECGSDFAYFYFVSF IFLCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEFIRVWAEYDPAACGRISYNDMFE MLKHMSPPLGLGKKCPARVAYKRLVRMNMPISNEDMTVHFTSTLMALIRTALEIKLAPAG TKQHQCDAELRKEISSVWANLPQKTLDLLVPPHKPDEMTVGKVYAALMIFDFYKQNKTTR DQTHQAPGGLSQMGPVSLFHPLKATLEQTQPAVLRGARVFLRQKSATSLSNGGAIQTQES GIKESLSWGTQRTQDALYEARAPLERGHSAEIPVGQSGTLAVDVQMQNMTLRGPDGEPQP GLESQGRAASMPRLAAETQPAPNASPMKRSISTLAPRPHGTQLCSTVLDRPPPSQASHHH HHRCHRRRDKKQRSLEKGPSLSVDPEGAPSTAAGPGLPHGEGSTACRRDRKQERGRSQER RQPSSSSSEKQRFYSCDRFGSREPPQLMPSLSSHPTSPTAALEPAPHPQGSGSVNGSPLM STSGASTPGRGGRRQLPQTPLTPRPSITYKTANSSPVHFAEGQSGLPAFSPGRLSRGLSE HNALLQKEPLSQPLAPGSRIGSDPYLGQRLDSEASAHTLPEDTLTFEEAVATNSGRSSRT SYVSSLTSQSHPLRRVPNGYHCTLGLSTGVRARHSYHHPDQDHWC >ENSMUSP00000141653.1 pep:known chromosome:GRCm38:2:24606379:24607534:-1 gene:ENSMUSG00000004113.18 transcript:ENSMUST00000131861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1b description:calcium channel, voltage-dependent, N type, alpha 1B subunit [Source:MGI Symbol;Acc:MGI:88296] FGSREPPQLMPSLSSHPTSPTAALEPAPHPQGSVWTVRPPPTPCLRIHSPLKRQWPPTLA APPGLPMCPPSLPNPTLSAVYPMAITALWDSALASGRGTATTTPIRTTGASCTTTTHVPA PWVRVPVDEFYHPLWAVGSQPWEEGPHISASVVEAPASLPPSLLHWID >ENSMUSP00000037416.5 pep:known chromosome:GRCm38:2:24606570:24763047:-1 gene:ENSMUSG00000004113.18 transcript:ENSMUST00000041342.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1b description:calcium channel, voltage-dependent, N type, alpha 1B subunit [Source:MGI Symbol;Acc:MGI:88296] MVRFGDELGGRYGGTGGGERARGGGAGGAGGPGQGGLPPGQRVLYKQSIAQRARTMALYN PIPVKQNCFTVNRSLFVFSEDNVVRKYAKRITEWPPFEYMILATIIANCIVLALEQHLPD GDKTPMSERLDDTEPYFIGIFCFEAGIKIIALGFVFHKGSYLRNGWNVMDFVVVLTGILA TAGTDFDLRTLRAVRVLRPLKLVSGIPSLQVVLKSIMKAMVPLLQIGLLLFFAILMFAII GLEFYMGKFHKACFPNSTDTEPVGDFPCGKDPPARQCDGDTECREYWPGPNFGITNFDNI LFAILTVFQCITMEGWTDILYNTNDAAGNTWNWLYFIPLIIIGSFFMLNLVLGVLSGEFA KERERVENRRAFLKLRRQQQIERELNGYLEWIFKAEEVMLAEEDKNAEEKSPLDVLKRAA TKKSRNDLIHAEEGEDRFVDLCAVGSPFARASLKSGKTESSSYFRRKEKMFRFFIRRMVK AQSFYWVVLCVVALNTLCVAMVHYNQPQRLTTALYFAEFVFLGLFLTEMSLKMYGLGPRS YFRSSFNCFDFGVIVGSIFEVVWAAIKPGTSFGISVLRALRLLRIFKVTKYWNSLRNLVV SLLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFQDETPTTNFDTFPAAILTVFQIL TGEDWNAVMYHGIESQGGVSKGMFSSFYFIVLTLFGNYTLLNVFLAIAVDNLANAQELTK DEEEMEEAANQKLALQKAKEVAEVSPMSAANISIAARQQNSAKARSVWEQRASQLRLQNL RASCEALYSEMDPEERLRYASTRHVRPDMKTHMDRPLVVEPGRDGLRGPVGSKSKPEGTE ATESADLPRRHHRHRDRDKTSATAPAGGEQDRTESTETGAREERARPRRSHSKETPGADT QVRCERSRRHHRRGSPEEATEREPRRHRAHRHAQDSSKEGTAPVLVPKGERRARHRGPRT GPREAENNEEPTRRHRARHKVPPTLQPPEREAAEKESNAVEGDKETRNHQPKEPHCDLEA IAVTGVGPLHMLPSTCLQKVDEQPEDADNQRNVTRMGSQPSDPSTTVHVPVTLTGPPGET PVVPSGNMNLEGQAEGKKEAEADDVLRRGPRPIVPYSSMFCLSPTNLLRRFCHYIVTMRY FEMVILVVIALSSIALAAEDPVRTDSFRNNALKYMDYIFTGVFTFEMVIKMIDLGLLLHP GAYFRDLWNILDFIVVSGALVAFAFSSFMGGSKGKDINTIKSLRVLRVLRPLKTIKRLPK LKAVFDCVVNSLKNVLNILIVYMLFMFIFAVIAVQLFKGKFFYCTDESKELERDCRGQYL DYEKEEVEAQPRQWKKYDFHYDNVLWALLTLFTVSTGEGWPMVLKHSVDATYEEQGPSPG FRMELSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKVMSECSLEKNERACIDFAISAK PLTRYMPQNKQSFQYKTWTFVVSPPFEYFIMAMIALNTVVLMMKFYDAPYEYELMLKCLN IVFTSMFSMECILKIIAFGVLNYFRDAWNVFDFVTVLGSITDILVTEIANNFINLSFLRL FRAARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIALDDD TSINRHNNFRTFLQALMLLFRSATGEAWHEIMLSCLGNRACDPHANASECGSDFAYFYFV SFIFLCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEFIRVWAEYDPAACGRISYNDM FEMLKHMSPPLGLGKKCPARVAYKRLVRMNMPISNEDMTVHFTSTLMALIRTALEIKLAP AGTKQHQCDAELRKEISSVWANLPQKTLDLLVPPHKPDEMTVGKVYAALMIFDFYKQNKT TRDQTHQAPGGLSQMGPVSLFHPLKATLEQTQPAVLRGARVFLRQKSATSLSNGGAIQTQ ESGIKESLSWGTQRTQDALYEARAPLERGHSAEIPVGQSGTLAVDVQMQNMTLRGPDGEP QPGLESQGRAASMPRLAAETQPAPNASPMKRSISTLAPRPHGTQLCSTVLDRPPPSQASH HHHHRCHRRRDKKQRSLEKGPSLSVDPEGAPSTAAGPGLPHGEGSTACRRDRKQERGRSQ ERRQPSSSSSEKQRFYSCDRFGSREPPQLMPSLSSHPTSPTAALEPAPHPQGSGSVNGSP LMSTSGASTPGRGGRRQLPQTPLTPRPSITYKTANSSPVHFAEGQSGLPAFSPGRLSRGL SEHNALLQKEPLSQPLAPGSRIGSDPYLGQRLDSEASAHTLPEDTLTFEEAVATNSGRSS RTSYVSSLTSQSHPLRRVPNGYHCTLGLSTGVRARHSYHHPDQDHWC >ENSMUSP00000110090.1 pep:known chromosome:GRCm38:2:24606570:24763047:-1 gene:ENSMUSG00000004113.18 transcript:ENSMUST00000114447.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1b description:calcium channel, voltage-dependent, N type, alpha 1B subunit [Source:MGI Symbol;Acc:MGI:88296] MVRFGDELGGRYGGTGGGERARGGGAGGAGGPGQGGLPPGQRVLYKQSIAQRARTMALYN PIPVKQNCFTVNRSLFVFSEDNVVRKYAKRITEWPPFEYMILATIIANCIVLALEQHLPD GDKTPMSERLDDTEPYFIGIFCFEAGIKIIALGFVFHKGSYLRNGWNVMDFVVVLTGILA TAGTDFDLRTLRAVRVLRPLKLVSGIPSLQVVLKSIMKAMVPLLQIGLLLFFAILMFAII GLEFYMGKFHKACFPNSTDTEPVGDFPCGKDPPARQCDGDTECREYWPGPNFGITNFDNI LFAILTVFQCITMEGWTDILYNTNDAAGNTWNWLYFIPLIIIGSFFMLNLVLGVLSGEFA KERERVENRRAFLKLRRQQQIERELNGYLEWIFKAEEVMLAEEDKNAEEKSPLDAVLKRA ATKKSRNDLIHAEEGEDRFVDLCAVGSPFARASLKSGKTESSSYFRRKEKMFRFFIRRMV KAQSFYWVVLCVVALNTLCVAMVHYNQPQRLTTALYFAEFVFLGLFLTEMSLKMYGLGPR SYFRSSFNCFDFGVIVGSIFEVVWAAIKPGTSFGISVLRALRLLRIFKVTKYWNSLRNLV VSLLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFQDETPTTNFDTFPAAILTVFQI LTGEDWNAVMYHGIESQGGVSKGMFSSFYFIVLTLFGNYTLLNVFLAIAVDNLANAQELT KDEEEMEEAANQKLALQKAKEVAEVSPMSAANISIAARQQNSAKARSVWEQRASQLRLQN LRASCEALYSEMDPEERLRYASTRHVRPDMKTHMDRPLVVEPGRDGLRGPVGSKSKPEGT EATESADLPRRHHRHRDRDKTSATAPAGGEQDRTESTETGAREERARPRRSHSKETPGAD TQVRCERSRRHHRRGSPEEATEREPRRHRAHRHAQDSSKEGTAPVLVPKGERRARHRGPR TGPREAENNEEPTRRHRARHKVPPTLQPPEREAAEKESNAVEGDKETRNHQPKEPHCDLE AIAVTGVGPLHMLPSTCLQKVDEQPEDADNQRNVTRMGSQPSDPSTTVHVPVTLTGPPGE TPVVPSGNMNLEGQAEGKKEAEADDVLRRGPRPIVPYSSMFCLSPTNLLRRFCHYIVTMR YFEMVILVVIALSSIALAAEDPVRTDSFRNNALKYMDYIFTGVFTFEMVIKMIDLGLLLH PGAYFRDLWNILDFIVVSGALVAFAFSSFMGGSKGKDINTIKSLRVLRVLRPLKTIKRLP KLKAVFDCVVNSLKNVLNILIVYMLFMFIFAVIAVQLFKGKFFYCTDESKELERDCRGQY LDYEKEEVEAQPRQWKKYDFHYDNVLWALLTLFTVSTGEGWPMVLKHSVDATYEEQGPSP GFRMELSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKVMSECSLEKNERACIDFAISA KPLTRYMPQNKQSFQYKTWTFVVSPPFEYFIMAMIALNTVVLMMKFYDAPYEYELMLKCL NIVFTSMFSMECILKIIAFGVLNYFRDAWNVFDFVTVLGSITDILVTEIANNFINLSFLR LFRAARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIALDD DTSINRHNNFRTFLQALMLLFRSATGEAWHEIMLSCLGNRACDPHANASECGSDFAYFYF VSFIFLCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEFIRVWAEYDPAACGRISYND MFEMLKHMSPPLGLGKKCPARVAYKRLVRMNMPISNEDMTVHFTSTLMALIRTALEIKLA PAGTKQHQCDAELRKEISSVWANLPQKTLDLLVPPHKPDEMTVGKVYAALMIFDFYKQNK TTRDQTHQAPGGLSQMGPVSLFHPLKATLEQTQPAVLRGARVFLRQKSATSLSNGGAIQT QESGIKESLSWGTQRTQDALYEARAPLERGHSAEIPVGQSGTLAVDVQMQNMTLRGPDGE PQPGLESQGRAASMPRLAAETQPAPNASPMKRSISTLAPRPHGTQLCSTVLDRPPPSQAS HHHHHRCHRRRDKKQRSLEKGPSLSVDPEGAPSTAAGPGLPHGEGSTACRRDRKQERGRS QERRQPSSSSSEKQRFYSCDRFGSREPPQLMPSLSSHPTSPTAALEPAPHPQGSGSVNGS PLMSTSGASTPGRGGRRQLPQTPLTPRPSITYKTANSSPVHFAEGQSGLPAFSPGRLSRG LSEHNALLQKEPLSQPLAPGSRIGSDPYLGQRLDSEASAHTLPEDTLTFEEAVATNSGRS SRTSYVSSLTSQSHPLRRVPNGYHCTLGLSTGVRARHSYHHPDQDHWC >ENSMUSP00000115285.1 pep:known chromosome:GRCm38:2:24607991:24618321:-1 gene:ENSMUSG00000004113.18 transcript:ENSMUST00000133892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1b description:calcium channel, voltage-dependent, N type, alpha 1B subunit [Source:MGI Symbol;Acc:MGI:88296] EISSVWANLPQKTLDLLVPPHKPDEMTVGKVYAALMIFDFYKQNKTTRDQTHQAPGGLSQ ATLEQTQPAVLRGARVFLRQKSATSLSNGGAIQTQESGIKESLSWGTQRTQDALYEARAP LERGHSAEIPVGQSGTLAVDVQMQNMTLRGPDGEPQPGLESQGRAASMPRLAAETQPAPN ASPMKRSISTLAPRPHGTQLCSTVLDRPPPSQASHHHHHRCHRRRDKK >ENSMUSP00000141767.1 pep:known chromosome:GRCm38:2:24609932:24637479:-1 gene:ENSMUSG00000004113.18 transcript:ENSMUST00000125798.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cacna1b description:calcium channel, voltage-dependent, N type, alpha 1B subunit [Source:MGI Symbol;Acc:MGI:88296] XNRHNNFRTFLQALMLLFRSATGEAWHEIMLSCLGNRACDPHANASECGSDFAYFYFVSF IFLCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEFIRVWAEYDPAACAWFA >ENSMUSP00000116674.2 pep:known chromosome:GRCm38:2:24622599:24632024:-1 gene:ENSMUSG00000004113.18 transcript:ENSMUST00000155356.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1b description:calcium channel, voltage-dependent, N type, alpha 1B subunit [Source:MGI Symbol;Acc:MGI:88296] XLNLFVAVIMDNFEYLTRDSSILGPHHLDEFIRVWAEYDPAACCRIHYKDMYSLLRCIAP PVGLGKNCPRRLAYKRLVRMNMPISNEDMTVHFTSTLMALIRTALEIKLAP >ENSMUSP00000114605.1 pep:known chromosome:GRCm38:2:24679500:24685868:-1 gene:ENSMUSG00000004113.18 transcript:ENSMUST00000124183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1b description:calcium channel, voltage-dependent, N type, alpha 1B subunit [Source:MGI Symbol;Acc:MGI:88296] EEMEEAANQKLALQKAKEVAEVSPMSAANISIAAFVKQTRGTVSRSSSVSSVNSPRQQNS AKARSVWEQRASQLRLQNLRASCEALYSEMDPEERLRYASTR >ENSMUSP00000097920.3 pep:known chromosome:GRCm38:2:24606375:24763047:-1 gene:ENSMUSG00000004113.18 transcript:ENSMUST00000100348.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1b description:calcium channel, voltage-dependent, N type, alpha 1B subunit [Source:MGI Symbol;Acc:MGI:88296] MVRFGDELGGRYGGTGGGERARGGGAGGAGGPGQGGLPPGQRVLYKQSIAQRARTMALYN PIPVKQNCFTVNRSLFVFSEDNVVRKYAKRITEWPPFEYMILATIIANCIVLALEQHLPD GDKTPMSERLDDTEPYFIGIFCFEAGIKIIALGFVFHKGSYLRNGWNVMDFVVVLTGILA TAGTDFDLRTLRAVRVLRPLKLVSGIPSLQVVLKSIMKAMVPLLQIGLLLFFAILMFAII GLEFYMGKFHKACFPNSTDTEPVGDFPCGKDPPARQCDGDTECREYWPGPNFGITNFDNI LFAILTVFQCITMEGWTDILYNTNDAAGNTWNWLYFIPLIIIGSFFMLNLVLGVLSGEFA KERERVENRRAFLKLRRQQQIERELNGYLEWIFKAEEVMLAEEDKNAEEKSPLDAVLKRA ATKKSRNDLIHAEEGEDRFVDLCAVGSPFARASLKSGKTESSSYFRRKEKMFRFFIRRMV KAQSFYWVVLCVVALNTLCVAMVHYNQPQRLTTALYFAEFVFLGLFLTEMSLKMYGLGPR SYFRSSFNCFDFGVIVGSIFEVVWAAIKPGTSFGISVLRALRLLRIFKVTKYWNSLRNLV VSLLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFQDETPTTNFDTFPAAILTVFQI LTGEDWNAVMYHGIESQGGVSKGMFSSFYFIVLTLFGNYTLLNVFLAIAVDNLANAQELT KDEEEMEEAANQKLALQKAKEVAEVSPMSAANISIAARQQNSAKARSVWEQRASQLRLQN LRASCEALYSEMDPEERLRYASTRHVRPDMKTHMDRPLVVEPGRDGLRGPVGSKSKPEGT EATESADLPRRHHRHRDRDKTSATAPAGGEQDRTESTETGAREERARPRRSHSKETPGAD TQVRCERSRRHHRRGSPEEATEREPRRHRAHRHAQDSSKEGTAPVLVPKGERRARHRGPR TGPREAENNEEPTRRHRARHKVPPTLQPPEREAAEKESNAVEGDKETRNHQPKEPHCDLE AIAVTGVGPLHMLPSTCLQKVDEQPEDADNQRNVTRMGSQPSDPSTTVHVPVTLTGPPGE TPVVPSGNMNLEGQAEGKKEAEADDVLRRGPRPIVPYSSMFCLSPTNLLRRFCHYIVTMR YFEMVILVVIALSSIALAAEDPVRTDSFRNNALKYMDYIFTGVFTFEMVIKMIDLGLLLH PGAYFRDLWNILDFIVVSGALVAFAFSSFMGGSKGKDINTIKSLRVLRVLRPLKTIKRLP KLKAVFDCVVNSLKNVLNILIVYMLFMFIFAVIAVQLFKGKFFYCTDESKELERDCRGQY LDYEKEEVEAQPRQWKKYDFHYDNVLWALLTLFTVSTGEGWPMVLKHSVDATYEEQGPSP GFRMELSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKVMSECSLEKNERACIDFAISA KPLTRYMPQNKQSFQYKTWTFVVSPPFEYFIMAMIALNTVVLMMKFYDAPYEYELMLKCL NIVFTSMFSMECILKIIAFGVLNYFRDAWNVFDFVTVLGSITDILVTEIANNFINLSFLR LFRAARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIALDD DTSINRHNNFRTFLQALMLLFRSATGEAWHEIMLSCLGNRACDPHANASECGSDFAYFYF VSFIFLCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEFIRVWAEYDPAACGRISYND MFEMLKHMSPPLGLGKKCPARVAYKRLVRMNMPISNEDMTVHFTSTLMALIRTALEIKLA PAGTKQHQCDAELRKEISSVWANLPQKTLDLLVPPHKPDEMTVGKVYAALMIFDFYKQNK TTRDQTHQAPGGLSQMGPVSLFHPLKATLEQTQPAVLRGARVFLRQKSATSLSNGGAIQT QESGIKESLSWGTQRTQDALYEARAPLERGHSAEIPVGQSGTLAVDVQMQNMTLRGPDGE PQPGLESQGRAASMPRLAAETQPAPNASPMKRSISTLAPRPHGTQLCSTVLDRPPPSQAS HHHHHRCHRRRDKKQRSLEKGPSLSVDPEGAPSTAAGPGLPHGEGSTACRRDRKQERGRS QERRQPSSSSSEKQRFYSCDRFGSREPPQLMPSLSSHPTSPTAALEPAPHPQGSGSVNGS PLMSTSGASTPGRGGRRQLPQTPLTPRPSITYKTANSSPVHFAEGQSGLPAFSPGRLSRG LSEHNALLQKEPLSQPLAPGSRIGSDPYLGQRLDSEASAHTLPEDTLTFEEAVATNSGRS SRTSYVSSLTSQSHPLRRVPNGYHCTLGLSTGVRARHSYHHPDQDHWC >ENSMUSP00000108423.2 pep:known chromosome:GRCm38:X:147992993:148033174:1 gene:ENSMUSG00000072931.4 transcript:ENSMUST00000112804.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15080 description:predicted gene 15080 [Source:MGI Symbol;Acc:MGI:3712217] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHLRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSRNRFRRAPVQSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV ALEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000043555.6 pep:known chromosome:GRCm38:11:74925823:75164448:1 gene:ENSMUSG00000038290.15 transcript:ENSMUST00000045281.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg6 description:Smg-6 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:2144117] MAEGLERVRISASELRGILATLAPQAGSRENMKELKEPRQRKDNRRPDLEIYKPGLSRLR NRPKTKEASGNEEFKDEIVNDRDSSAVGNDTQLIQVCKELDSQQQNGPIDAENSQAQETF PKTVGLEDRSLKIIKRSKKPDLQIYQPGRRLQTITKESAGRADEEEILNQVEQLRIEEDE CKGEAIKEEVNNKPDKTEIEKHQSNDRVRTAKGEKGKKIEKGEGSKKVADDSVPGKPGSV KRYSRSDKRRNRYRTCSTSSAGSNNSAEGAGLTDNGCRRRRQDRAKERPRLKKQVSLSST DSLDEDRVDEPDVLGSRRSSERKKHLERNWSGCGEGEQKSNGKENRSALRVTFDAETMSK DSPVVRSVKDNVDRMKSDKGPSSGGKGSEKQELRHPRQELRDRGRGILILPAHTALSVSS SGSPESTPLGPRLLFGSGSKGSRSWGRGGTTRRLWDPNNPDQKPALKSQTPQLHFLDTDD EISPTSWGDSRQAQASYYKFQNSDNPYYYPRTPGPASQYPYAGYSPLQYPVGPTNGMYPG AYYPGYPAPSGQYVCSPLPASTMSPEEIEQHVRNMQQQELHRLLRVADNQELQLSNLLSR DRISTEGMEKMAQLRTELLQLYERCILLDIEFSDSQNVDQILWKNAFYQVIEKFRQLLKD PNSENPEQIRNRLLELLDEGSDFFDSLLQKLQVTYKFKLEDYMDGLAIRSKPLRKTVKYA LISAQRSMICQGDISRYREQANDTANYGKARSWYLKAQHIAPKNGRPYNQLALLAVYTRR KLDAVYYYMRSLAASNPILTAKESLMSLFEETKRKAEQMEKKQHEEFDMSPDKWRKGKKS TFRHVGDDTTRLEIWIHPSHSRSAQGTESGKDSEQENGLGSLSPSDLNKRFILSFLHAHG KLFTRIGMETFPAVAEKVLKEFQVLLQHSPSPIGSTRMLQLMTINMFAVHNSQLKDCFSE ECRSVIQEQAASLGLAMFSLLVQRCTCLLKDSAKAQLSSPEDQEDQDDIKVSSFVPDLKE LLPSVKVWSDWMLGYPDTWNPPPTSLDLPLQVAVDVWSTLADFCNILTAVNQSEVPLYKD PDDDLTLLILEEDRLLSGFVPLLAAPQDPCYVEKTSDKVIAADCKRVTVLKYFLEALCGQ EEPLLAFKGGKYVSVAPVPDTMGKEMGSQEGKQLEDEEEDVVIEDFEEDSEAEGSGGEDD IRELRAKKLALARKIAEQQRRQEKIQAVLEDQSQMRQMELEIRPLFLVPDTNGFIDHLAS LARLLESRKYILVVPLIVINELDGLAKGQETDHRAGGYARVVQEKARKSIEFLERRFESR DSCLRALTSRGNELESIAFRSEDITGQLGNNDDLILSCCLHYCKDKAKDYMPTSKEEPIR LLREVVLLTDDRNLRVKALTRNVPVRDIPAFLTWAQVG >ENSMUSP00000120229.1 pep:known chromosome:GRCm38:11:74930520:75164448:1 gene:ENSMUSG00000038290.15 transcript:ENSMUST00000130145.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smg6 description:Smg-6 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:2144117] XGAYYPGYPAPSGQYVCSPLPASTMSPEEIEQHVRNMQQQELHRLLRVADNQELQLSNLL SRDRISTEGMEKMAQLRTELLQLYERCILLDIEFSDSQNVDQILWKNAFYQVIEKFRQLL KDPNSENPEQIRNRLLELLDEGSDFFDSLLQKLQVTYKFKLEDYMDGLAIRSKPLRKTVK YALISAQRSMICQGDISRYREQANDTANYGKARSWYLKAQHIAPKNGRPYNQLALLAVYT RRKLDAVYYYMRSLAASNPILTAKESLMSLFEETKRKAEQMEKKQHEEFDMSPDKWRKGK KSTFRHVGDDTTRLEIWIHPSHSRSAQGTESGKDSEQENGLGSLSPSDDGDIPCSG >ENSMUSP00000120060.1 pep:known chromosome:GRCm38:11:74993853:75139369:1 gene:ENSMUSG00000038290.15 transcript:ENSMUST00000140197.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg6 description:Smg-6 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:2144117] VFNTSVAIWVAGKKLKDCFSEECRSVIQEQAASLGLAMFSLLVQRCTCLLKDSAKAQLSS PEDQEDQDDIKVSSFVPDLKELLPSVKVWSDWMLGYPDTWNPPPTSLDLPLQVAVDVWST LADFCNILTAVNQSEVPLYKDPDDDLTLLILEEDRLLSGFVPLLAAPQDPCYVEKTSDKV IAADCKRVTVLKYFLEALCGQEEPLLAFKGGKYVSVAPVPDTMGKEMGSQEGKQLEDE >ENSMUSP00000048558.5 pep:known chromosome:GRCm38:6:30568369:30592418:1 gene:ENSMUSG00000039070.5 transcript:ENSMUST00000049251.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpa4 description:carboxypeptidase A4 [Source:MGI Symbol;Acc:MGI:1919041] MKWLLFFGALIGAGICGRDKFFGDQVFRINVRNGDEIRKLTELVNSDHLKLSVWKSPSTF DRPVDILVPSVSLLPVKSFLKSQGLDYSVTIEDLQALLDNEDEEMQHNEGIERSGDFNYG AYHPLEAIYHEMDSIATDFPELVSRVKIGETFEKRPMYVLKFSTGGGKKRPAIWLNAGIH AREWISQATAIWTARKIVTDYKKDPAITSILKKVDIFLLPVANPDGYVYTQSQNRLWRKT RSRNPGSRCVGADPNRNWNASFAGEGTSDNPCSEVYHGSHPNSEVEVKSVVDFIQKHGNF KCFIDLHSYSQLLMYPYGYTVKKAPDAEELDDVARNAAQALASLSGTKYRVGPTCTTVYP ASGSSVDWAYDNGIKYAFTFELRDTGYYGFLLPASQIIPTAEETWLGLKTIMEHVRDHLY >ENSMUSP00000130833.1 pep:known chromosome:GRCm38:5:11918043:11922821:1 gene:ENSMUSG00000067848.12 transcript:ENSMUST00000168329.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933402N22Rik description:RIKEN cDNA 4933402N22 gene [Source:MGI Symbol;Acc:MGI:3703021] XRASVDGRETRERRKGAGLPSESNEGRRRWTWRMWRARRHTSSTAPDLSKKEFKKEKERL TTELHLLIQLRNEQRDHLIDFKKSSNYNRTKPTQKKNPFYEQLRSTKNQVLSSVHKLEMG IIEAQENIQELNKWIDYFTNLHSQLLMEKNLKMSITQNQKNKEVEIDWALIEKYLVDLNL NGRTGADQQP >ENSMUSP00000130317.1 pep:known chromosome:GRCm38:5:11918043:11922788:1 gene:ENSMUSG00000067848.12 transcript:ENSMUST00000170301.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933402N22Rik description:RIKEN cDNA 4933402N22 gene [Source:MGI Symbol;Acc:MGI:3703021] MWRARRHTSSTAPDLSKKEFKKEKERLTTELHLLIQLRNEQRDHLIDFKKSSNYNRTKPT QKKNPFYEQLRSTKNQVLSSVHKLEMGIIEAQENIQELNKWIDYFTNLHSQLLMEKNLKM SITQNQKNKEVEIDWALIEKYLVDLNLNGRTGADQQP >ENSMUSP00000027896.4 pep:known chromosome:GRCm38:1:156310727:156328035:1 gene:ENSMUSG00000026602.9 transcript:ENSMUST00000027896.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphs2 description:nephrosis 2, podocin [Source:MGI Symbol;Acc:MGI:2157018] MDSRARSSSREAHGRSSRSSSRDDKKAKAGRGSRGRARPDAGAERQSTGRTATRGEPRAP AATATVVDVDEVRGPGEEGTEVVALLESERPEEGIKPSGLGACEWLLVLASLIFIIMTFP FSIWFCIKVVQEYERVIIFRLGHLLPGRAKGPGLFFFLPCLDTYHKVDLRLQTLEIPFHE VVTKDMFIMEIDAVCYYRMENASLLLSSLAHVSKAIQFLVQTTMKRLLAHRSLTEILLER KSIAQDVKVALDAVTCIWGIKVERTEIKDVRLPAGLQHSLAVEAEAQRQAKVRVIAAEGE KAASESLRMAAEILSGTPAAVQLRYLHTLQSLSTEKPATVVLPLPFDMLSLLSSPGNRAQ GSINYPSSSKPVEPLNPKKKDSPML >ENSMUSP00000141328.1 pep:known chromosome:GRCm38:1:156311013:156326431:1 gene:ENSMUSG00000026602.9 transcript:ENSMUST00000193020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphs2 description:nephrosis 2, podocin [Source:MGI Symbol;Acc:MGI:2157018] GEEGTEVVALLESERPEEGIKPSGLGACEWLLVLASLIFIIMTFPFSIWFCIKVVQEYER VIIFRLGHLLPGRAKGPGLFFFLPCLDTYHKVDLRLQTLEIPFHEVVTKDMFIMEIDAVC YYRMENASLLLSSLAHVSKAIQFLVQTTMKRLLAHRSLTEILLERKSIAQDVKVIAAEGE KAASESLRMAAEILSGTPAAVQLRYLHTLQSLSTEKPATVVLPLPFDMLSLLSSPGNRAQ GSINYPSSSKPVEPLNPKKKDSPML >ENSMUSP00000137755.1 pep:known chromosome:GRCm38:13:67389309:67399750:-1 gene:ENSMUSG00000078994.8 transcript:ENSMUST00000181071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp429 description:zinc finger protein 429 [Source:MGI Symbol;Acc:MGI:1920057] MRLSIPVVSDKKEMLSFWDVAIDFSPEEWECLEPAQWDLYRNVMLENFSHLVFLGLAVSK PFLVTFLEQRQGPWDMKRQGAATVYPVNLNINTPWRSWGPHCPDLFVVPLSTVQE >ENSMUSP00000105354.1 pep:known chromosome:GRCm38:13:67389327:67399767:-1 gene:ENSMUSG00000078994.8 transcript:ENSMUST00000109732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp429 description:zinc finger protein 429 [Source:MGI Symbol;Acc:MGI:1920057] MRLSIPVVSDKKEMLSFWDVAIDFSPEEWECLEPAQWDLYRNVMLENFSHLVFLGLAVSK PFLVTFLEQRQGPWDMKRQGAATVYPGITPNDPNNYSKLTNCKSLLITQRRNHIGEKPYK CGEFGKALSSHKTLSIHQRLHTGDKPYKCKECHKAFSTRSSLFIHMKNHTDEKIYKCEDC GRTFYYLSMLKQHQRIHSGEKPYKCEECGKCFGFPSFLKQHQRLHCRKNAYMYEECVKRF PPPLPLQEHEQIRTEEKPYKCGERYKTFRYHSALRIHKAVHTGERPYKCEECGKCFSSSS CLKKHQILHSEDNPYKCEECYKAFRNHSALRIHKTVHTGERPYKCKDCGKCYSSSSCLKR HQILHSKYNPYKCEECGKCLSSSSCLKRHQPIHSEDKPYKCEDCGRCFCSSSSLRRHQKF HSEGNPYKCEKCDKRFSCSGSLQEHQTTHTGEKPYTCENCHKAFCYRSSLRKHKTVHTRE KSTQM >ENSMUSP00000109815.3 pep:known chromosome:GRCm38:6:71543797:71581579:1 gene:ENSMUSG00000053119.11 transcript:ENSMUST00000059462.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp3 description:charged multivesicular body protein 3 [Source:MGI Symbol;Acc:MGI:1913950] MGLFGKTQEKPPKELVNEWSLKIRKEMRVVDRQIRDIQREEEKVKRSVKDAAKKGQKEVC VVLAKEMIRSRKAVSKLYASKAHMNSVLMGMKNQLAVLRVAGSLQKSTEVMKAMQSLVKI PEIQATMRELSKEMMKAGIIEEMLEDTFESMDDQEEMEEAAEMEIDRILFEITAGALGKA PSKVTDALPEPEPAGAMAASEEGEEEEDEEDLEAMQSRLATLRS >ENSMUSP00000145223.1 pep:known chromosome:GRCm38:6:71543892:71574205:1 gene:ENSMUSG00000053119.11 transcript:ENSMUST00000204535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp3 description:charged multivesicular body protein 3 [Source:MGI Symbol;Acc:MGI:1913950] MIRSRKAVSKLYASKAHMNSVLMGMKNQLAVLRVAGSLQKSTEVMKAMQSLVKIPEIQAT >ENSMUSP00000068410.2 pep:known chromosome:GRCm38:6:71543917:71582609:1 gene:ENSMUSG00000053119.11 transcript:ENSMUST00000065364.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp3 description:charged multivesicular body protein 3 [Source:MGI Symbol;Acc:MGI:1913950] MGLFGKTQEKPPKELVNEWSLKIRKEMRVVDRQIRDIQREEEKVKRSVKDAAKKGQKEVC VVLAKEMIRSRKAVSKLYASKAHMNSVLMGMKNQLAVLRVAGSLQKSTEVMKAMQSLVKI PEIQATMRELSKEMMKAGIIEEMLEDTFESMDDQEEMEEAAEMEIDRILFEITAGALGKA PSKVTDALPEPEPAGAMAASEEGEEEEDEEDLEAMQSRLATLRS >ENSMUSP00000144985.1 pep:known chromosome:GRCm38:6:71543929:71560971:1 gene:ENSMUSG00000053119.11 transcript:ENSMUST00000132917.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chmp3 description:charged multivesicular body protein 3 [Source:MGI Symbol;Acc:MGI:1913950] MGLFGKTQEKPPKELVNEWSLKIRKEMRVVDRQIRGFQDRV >ENSMUSP00000144867.1 pep:known chromosome:GRCm38:6:71543929:71577792:1 gene:ENSMUSG00000053119.11 transcript:ENSMUST00000204199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp3 description:charged multivesicular body protein 3 [Source:MGI Symbol;Acc:MGI:1913950] MGLFGKTQEKPPKELVNEWSLKIRKEMRVVDRQIRAVLRVAGSLQKSTEVMKAMQSLVKI PEIQATMRELSKEMMKAGIIEEMLEDTFESMDDQEE >ENSMUSP00000107899.1 pep:known chromosome:GRCm38:X:164088830:164118860:1 gene:ENSMUSG00000015401.12 transcript:ENSMUST00000112280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem27 description:transmembrane protein 27 [Source:MGI Symbol;Acc:MGI:1926234] MLWALFFLVTTIHAELCHPDAENAFKVRLSIRAALGDKAYVWDTDQEYLFRAMVAFSMRK VPNREATEISHVLLCNITQRVSFWFVVTDPSNNYTLPAAEVQSAIRKNRNRINSAFFLDD HTLEFLKIPSTLAPPMEPSVPVWIIVFGVIFCIVTVAIALLVLSGIRQRRRNNKGPPGVE DAEDKCENIITIENGIPCDPLDMKGGHINDGFLTEDERLTPL >ENSMUSP00000123629.1 pep:known chromosome:GRCm38:X:164092189:164118214:1 gene:ENSMUSG00000015401.12 transcript:ENSMUST00000141818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem27 description:transmembrane protein 27 [Source:MGI Symbol;Acc:MGI:1926234] MVAFSMRKVPNREATEISHVLLCNITQRVSFWFVVTDPSNNYTLPAAEVQSAIRNNKGPP >ENSMUSP00000015545.3 pep:known chromosome:GRCm38:X:164090187:164118859:1 gene:ENSMUSG00000015401.12 transcript:ENSMUST00000015545.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem27 description:transmembrane protein 27 [Source:MGI Symbol;Acc:MGI:1926234] MLWALFFLVTTIHAELCHPDAENAFKVRLSIRAALGDKAYVWDTDQEYLFRAMVAFSMRK VPNREATEISHVLLCNITQRVSFWFVVTDPSNNYTLPAAEVQSAIRKNRNRINSAFFLDD HTLEFLKIPSTLAPPMEPSVPVWIIVFGVIFCIVTVAIALLVLSGIRQRRRNNKGPPGVE DAEDKCENIITIENGIPCDPLDMKGGHINDGFLTEDERLTPL >ENSMUSP00000093690.2 pep:known chromosome:GRCm38:16:58760152:58761240:-1 gene:ENSMUSG00000071510.2 transcript:ENSMUST00000095991.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr172 description:olfactory receptor 172 [Source:MGI Symbol;Acc:MGI:3030006] MLEGNHTMKHEFILTGFTNHPEMKGLLFAVFFFIYLITMIGNMGLVILICTERSLHTPMY IFLGNLAFIDSCCAYAITPKMLENFFSEDRIISLYECMAQFYFLSTVETADCFLLSAMAY DRYVAICNPLQYHTMMSKKLCLQMITGAFIVGNLHSMVHVGLLFRLAFCGSNQINHFYCD ILPLYRLSCVDPYVNELVLLVFSAPIQVFTIGCVLISYLFIVYTIFQMKSKEGRIKAFST CASHFLSVSLFYGSLFFIYIKPNLLEEGDKDMPAAILFTIVVPLLNPFIYSLRNKEVKNV LQKILQKNK >ENSMUSP00000142609.1 pep:known chromosome:GRCm38:9:109832749:109842529:1 gene:ENSMUSG00000032478.14 transcript:ENSMUST00000200005.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme6 description:NME/NM23 nucleoside diphosphate kinase 6 [Source:MGI Symbol;Acc:MGI:1861676] MTSILRSPQALQLTLALIKPDAVAHPLILEAVHQQILSNKFLIVRTRELQWKLEDCRRFY REHEGRFFYQRLVEFMTSGPIRAYILAHKDAIQLWRTLMGPTRVFRARYIAPDSIRGSLG LTDTRNTTHGSDSVVSASREIAAFFPDFSEQRWYEEE >ENSMUSP00000035053.5 pep:known chromosome:GRCm38:9:109832758:109842974:1 gene:ENSMUSG00000032478.14 transcript:ENSMUST00000035053.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme6 description:NME/NM23 nucleoside diphosphate kinase 6 [Source:MGI Symbol;Acc:MGI:1861676] MTSILRSPQALQLTLALIKPDAVAHPLILEAVHQQILSNKFLIVRTRELQWKLEDCRRFY REHEGRFFYQRLVEFMTSGPIRAYILAHKDAIQLWRTLMGPTRVFRARYIAPDSIRGSLG LTDTRNTTHGSDSVVSASREIAAFFPDFSEQRWYEEEEPQLRCGPVHYSPEEGIHCAAET GGHKQPNKT >ENSMUSP00000142392.1 pep:known chromosome:GRCm38:9:109832781:109842038:1 gene:ENSMUSG00000032478.14 transcript:ENSMUST00000200345.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme6 description:NME/NM23 nucleoside diphosphate kinase 6 [Source:MGI Symbol;Acc:MGI:1861676] MTSILRSPQALQLTLALIKPDAVAHPLILEAVHQQILSNKFLIVRTRELQWKLEDCRRFY REHEGRFFYQRLVEFMTSGPIRAYILAHKDAIQLWRTLMGPTRVFRARYIAPDSI >ENSMUSP00000143591.1 pep:known chromosome:GRCm38:9:109832886:109842586:1 gene:ENSMUSG00000032478.14 transcript:ENSMUST00000199758.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nme6 description:NME/NM23 nucleoside diphosphate kinase 6 [Source:MGI Symbol;Acc:MGI:1861676] MTSILRSPQALQLTLALIKPDAVAHPLILEAVHQQILSNKFLIVRTRELQWKLEDCRRFY REHEVGQSEPISLPTKMPSNFGGH >ENSMUSP00000142778.1 pep:known chromosome:GRCm38:9:109833030:109842724:1 gene:ENSMUSG00000032478.14 transcript:ENSMUST00000197627.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme6 description:NME/NM23 nucleoside diphosphate kinase 6 [Source:MGI Symbol;Acc:MGI:1861676] MTSILRSPQALQLTLALIKPDAVAHPLILEAVHQQILSNKFLIVRTRELQWKLEDCRRFY REHEGRFFYQRLVEFMTRCHPTLEDTDGTHQSISSTLYSPRFNSWKFGPH >ENSMUSP00000143021.1 pep:known chromosome:GRCm38:9:109833042:109842661:1 gene:ENSMUSG00000032478.14 transcript:ENSMUST00000200468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme6 description:NME/NM23 nucleoside diphosphate kinase 6 [Source:MGI Symbol;Acc:MGI:1861676] MTSILRSPQALQLTLALIKPDAVAHPLILEAVHQQILSNKFLIVRTRELQWKLEDCRRFY REHEGRFFYQRLVEFMTSGPIRAYILAHKDAIQLWRTLMGPTRVFRARYIAPDSIRGSLG LTDTRNTTHGSDSVVSASREIAAFFPDFSEQRWYEEEEPQLRCGPVHYSPEEGIHCAAET GGHKQPNKT >ENSMUSP00000080614.4 pep:known chromosome:GRCm38:7:28305516:28312072:-1 gene:ENSMUSG00000003438.17 transcript:ENSMUST00000081946.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm50 description:translocase of inner mitochondrial membrane 50 [Source:MGI Symbol;Acc:MGI:1913775] MAASAALFSRLRSGLRVGARGLCTRLAPPPPRTPEQVTEIANRGGSKAQGPQHQPGSEGP SYAKKIALWIAGLLGAGGTVSIVYIFGNNPVDENGTKIPDEFDSDPILVQQLRRTYKYFK DYRQMIIEPTSPCLLPDPLREPYYQPPYTLVLELTGVLLHPEWSLATGWRFKKRPGIETL FQQLAPLYEIVIFTSETGMTAFPLIDSVDPHGFISYRLFRDATRYMEGHHVKDISCLNRD PARVVVVDCKKEAFRLQPFNGVALRPWDGNSDDRVLLDLSAFLKTIALNQVEDVRTVLEH YALEDDPLEAFKQRQSRLEQEEQQRLAELSKSNRQGLSFGSLASRLWPRSKQP >ENSMUSP00000135184.1 pep:known chromosome:GRCm38:9:107992497:107999684:1 gene:ENSMUSG00000032595.10 transcript:ENSMUST00000177173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr4 description:cadherin-related family member 4 [Source:MGI Symbol;Acc:MGI:1916648] MALLRLLEFLFALLIPVLYSLPWTMYISENNGPGTVLRPFSFNCSSYMPTLELLSVRPPT SFFNKPSMHGDKGVYLVMVSLSSSARLDALAVNQYELQLQYRCGNFVVDGSIFVHVQRDP GRIRCTGAFASPAGEFIYVPETITPGALVYTLLLPGVQRAQINITSAQNPSPGPFSIDEQ GLLRAPSQGLRGQAQKTFQLQISVSFEKNQSCRGMLTVKVLPAPSSQVSFLQKAQSITIS EDLVPGSEVVRVQATGFNLLYEIISPRPSLFYSIGQADGVVRTTAPLDLARVQGAMVTKL QVRAFERPRPWASAVFDLTVSVRAVNQWPPRCHPALLVTQIPETSLVGTVLDALTCVDPD SAGRPLDYQLRFHSPPDSASLRLRDRILEVNATLDCDTPGACFQHSASILVVDGGQPQMT TEIPVLVMVTPVNEFSPACVPRTFRVQEDTGPHTLLGSIVGTDMDYPRNSLEYYISGGPS TFAVDRLSGELHLLGPLDYEQQRLYKITILLIDHSQDWDLNSHRSGSCTITIEVEDVNDH APECEPPFQELTIYTPMGHSMEVTKVSCWIPQEPQRLTLSYSIVGGNSQSRFRLQGAILV YNDTVLGPPWPEQPCTYELLIHVADVGPSIPHLSTTTTIIVHLVPWKASTVATSTHQSTV SSTMTPLIVTELEAFWKPEPWFVVVLTATGAVLLLALGWLLSRILRGLAPSLQAPSKPAK AQLLNSIQGNEGPIERQMEAPRIEMSQVPRTAMSLQHFDGRAQDVCMGRDYLFNTLTGAR RWL >ENSMUSP00000135198.1 pep:known chromosome:GRCm38:9:107995690:107998062:1 gene:ENSMUSG00000032595.10 transcript:ENSMUST00000176306.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdhr4 description:cadherin-related family member 4 [Source:MGI Symbol;Acc:MGI:1916648] SAVFDLTVSVRAVNQWPPRCHPALLVLCRPPPRLPAAVPQPS >ENSMUSP00000135743.1 pep:known chromosome:GRCm38:9:107995944:107999684:1 gene:ENSMUSG00000032595.10 transcript:ENSMUST00000175759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr4 description:cadherin-related family member 4 [Source:MGI Symbol;Acc:MGI:1916648] RPLDYQLRFHSPPDSASLRLRDRILEVNATLDCDTPGACFQHSASILVVDGGQPQMTREL HLLGPLDYEQQRLYKITILLIDHSQDWDLNSHRSGSCTITIEVEVSSTMTPLIVTELEAF WKPEPWFVVVLTATGAVLLLALGWLLSRILRGLAPSLQAPSKPAKAQLLNSIQGNEGPIE RQMEAPRIEMSQQHFDGRAQDVCMGRDYLFNTLTGARRWL >ENSMUSP00000135003.1 pep:known chromosome:GRCm38:9:107996616:107999679:1 gene:ENSMUSG00000032595.10 transcript:ENSMUST00000175802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr4 description:cadherin-related family member 4 [Source:MGI Symbol;Acc:MGI:1916648] XMVTPVNEFSPACVPRTFRVQEDTGPHTLLGSIVGTDMDYPRNSLEYYISGGPSTFAVDR LSGELHLLGPLDYEQQRLYKITILLIDHSQDWDLNSHRSGSCTITIEVEQHFDGRAQDVC MGRDYLFNTLTGARRWL >ENSMUSP00000135366.1 pep:known chromosome:GRCm38:9:107997372:107999680:1 gene:ENSMUSG00000032595.10 transcript:ENSMUST00000176334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr4 description:cadherin-related family member 4 [Source:MGI Symbol;Acc:MGI:1916648] XRLTLSYSIVGGNSQSRFRLQGAILVYNDTVLGPPWPEQPCTYELLIHVADVGPSIPHLS TTTTIIVHLVPWKASTVATSTHQSTVSSTMTPLIVTELEAFWKPEPWFVVVLTATGAVLL LALGWLLSRILRGIQGNEGPIERQMEAPRIEMSQQHFDGRAQDVCMGRDYLFNTLTGARR WL >ENSMUSP00000135332.1 pep:known chromosome:GRCm38:9:107997593:107999677:1 gene:ENSMUSG00000032595.10 transcript:ENSMUST00000177508.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr4 description:cadherin-related family member 4 [Source:MGI Symbol;Acc:MGI:1916648] IHVADVGPSIPHLSTTTTIIVHLVPWKASTVATSTHQSTVSSTMTPLIVTELEAFWKPEP WFVVVLTATGAVLLLALGWLLSRILRGLAPSLQAPSKPAKAQLLNSIQGNEGPIERQMEA PRIEMSQQHFDGRAQDVCMGRDYLFNTLTGARRWL >ENSMUSP00000134896.2 pep:known chromosome:GRCm38:9:107997678:107999679:1 gene:ENSMUSG00000032595.10 transcript:ENSMUST00000176373.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr4 description:cadherin-related family member 4 [Source:MGI Symbol;Acc:MGI:1916648] MTPLIVTELEAFWKPEPWFVVVLTATGAVLLLALGWLLSRILRGIQGNEGPIERQMEAPR IEMSQQHFDGRAQDVCMGRDYLFNTLTGARRWL >ENSMUSP00000000939.8 pep:known chromosome:GRCm38:5:123973628:124005558:1 gene:ENSMUSG00000000915.15 transcript:ENSMUST00000000939.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hip1r description:huntingtin interacting protein 1 related [Source:MGI Symbol;Acc:MGI:1352504] MNSIKNVPARVLSRRPGHSLEAEREQFDKTQAISISKAINSQEAPVKEKHARRIILGTHH EKGAFTFWSYAIGLPLSSSSILSWKFCHVLHKVLRDGHPNVLHDYQRYRSNIREIGDLWG HLRDQYGHLVNIYTKLLLTKISFHLKHPQFPAGLEVTDEVLEKAAGTDVNNIFQLTVEMF DYMDCELKLSESVFRQLNTAIAVSQMSSGQCRLAPLIQVIQDCSHLYHYTVKLMFKLHSC LPADTLQGHRDRFHEQFHSLKNFFRRASDMLYFKRLIQIPRLPEGPPNFLRASALAEHIK PVVVIPEEAPEEEEPENLIEISSAPPAGEPVVVADLFDQTFGPPNGSMKDDRDLQIENLK REVETLRAELEKIKMEAQRYISQLKGQVNGLEAELEEQRKQKQKALVDNEQLRHELAQLK ALQLEGARNQGLREEAERKASATEARYSKLKEKHSELINTHAELLRKNADTAKQLTVTQQ SQEEVARVKEQLAFQMEQAKRESEMKMEEQSDQLEKLKRELAARAGELARAQEALSRTEQ SGSELSSRLDTLNAEKEALSGVVRQREAELLAAQSLVREKEEALSQEQQRSSQEKGELRG QLAEKESQEQGLRQKLLDEQLAVLRSAAAEAEAILQDAVSKLDDPLHLRCTSSPDYLVSR AQAALDSVSGLEQGHTQYLASSEDASALVAALTRFSHLAADTIVNGAATSHLAPTDPADR LMDTCRECGARALELVGQLQDQTVLPRAQPSLMRAPLQGILQLGQDLKPKSLDVRQEELG AMVDKEMAATSAAIEDAVRRIEDMMSQARHESSGVKLEVNERILNSCTDLMKAIRLLVMT STSLQKEIVESGRGAATQQEFYAKNSRWTEGLISASKAVGWGATQLVESADKVVLHMGKY EELIVCSHEIAASTAQLVAASKVKANKNSPHLSRLQECSRTVNERAANVVASTKSGQEQI EDRDTMDFSGLSLIKLKKQEMETQVRVLELEKTLEAERVRLGELRKQHYVLAGGMGTPSE EEPSRPSPAPRSGATKKPPLAQKPSIAPRTDNQLDKKDGVYPAQLVNY >ENSMUSP00000127361.1 pep:known chromosome:GRCm38:5:123980254:123989984:1 gene:ENSMUSG00000000915.15 transcript:ENSMUST00000167879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hip1r description:huntingtin interacting protein 1 related [Source:MGI Symbol;Acc:MGI:1352504] MRRAQSVGISGGHIDRVCLDVPGRDSDLRTLKAISISKAINSQEAPVKEKHARRIILGTH HEKGAFTFWSYAIGLPLSSSSILSWKFCHVLHKVLRDGHPNVLHDYQRYRSNIREIG >ENSMUSP00000130766.1 pep:known chromosome:GRCm38:5:123994805:123997399:1 gene:ENSMUSG00000000915.15 transcript:ENSMUST00000166258.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hip1r description:huntingtin interacting protein 1 related [Source:MGI Symbol;Acc:MGI:1352504] XCSHLYHYTVKLMFKLHSCLPADTLQGHRDRFHEQFHSLKNFFRRASDMLYFKRLIQIPR LPEGPPNFLRASALAEHIKPVVVIPEEAPEEEEPENLIEISSAPPAGEPVGVGGRSERHV LCYGRWWLTSLIRPLDPPMAP >ENSMUSP00000143309.1 pep:known chromosome:GRCm38:5:123999786:124001662:1 gene:ENSMUSG00000000915.15 transcript:ENSMUST00000198664.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hip1r description:huntingtin interacting protein 1 related [Source:MGI Symbol;Acc:MGI:1352504] XRECGARALELVGQLQDQTVLPRAQPSLMRAPLQGILQLGQDLKPKSLDVRQEELGAMVD KEMAATSAAIEDAVRRIEDMMSQARHESSGVKLEVNERILNSCTDLMKAIRLLVMTSTSL QKEIVESGRGAATQQEFYAKNSRWTEGLISASKAVGWGATQLGVS >ENSMUSP00000130928.1 pep:known chromosome:GRCm38:13:67362113:67375810:-1 gene:ENSMUSG00000078995.9 transcript:ENSMUST00000172266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp456 description:zinc finger protein 456 [Source:MGI Symbol;Acc:MGI:3040694] MLSFWDVAIDFSPEEWDCLEPAQWDLYRNVMLENFSHLVFLGLAVSKPFLVTFLEQRQGP WDMKRQGMATVYPGCDYTMVAPPPIESHNYNAKKWRLQKTCKWMLQLQGWAHLT >ENSMUSP00000059686.8 pep:known chromosome:GRCm38:13:67363584:67375810:-1 gene:ENSMUSG00000078995.9 transcript:ENSMUST00000057070.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp456 description:zinc finger protein 456 [Source:MGI Symbol;Acc:MGI:3040694] MLSFWDVAIDFSPEEWDCLEPAQWDLYRNVMLENFSHLVFLGLAVSKPFLVTFLEQRQGP WDMKRQGMATVYPGIKPNDPNNFSKLTNCKSLLITQRRNHIGEKPYKCGECGKALSSHKT LSIHQRLHTGDKPYKCEECHKAFSTRSSLFIYMKNHTDEKIYKCEDCGRTFYYLSMLKQH QRIHSGEKPYKCEECGKCFGFPSFLKQHQNIHCRKNAYTYEDCVKRLSPPLPLQDNEQIH TEEKPYKCGECYKAFSYHSAFRIHKTVHTGENPYKCEECGKCFSSSSYLKRHQTLHSEDK PYKCEDCGRCFCSSSSLRRHQKFHSEGNPYKCEKCDKRFSCSASLQEHQTIHTGEKPYTC ENCHKAFRYRSSLRKHKTVHTREKSYNCKKCPRAFSSYSCFVRHQAIHSEDNPHKCVECG KAFVNVYSLTRHMTVHAGEKSYKGLKTLILLQSLKDIK >ENSMUSP00000029441.3 pep:known chromosome:GRCm38:15:89782393:89841860:-1 gene:ENSMUSG00000063260.2 transcript:ENSMUST00000029441.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt10 description:synaptotagmin X [Source:MGI Symbol;Acc:MGI:1859546] MSFRKEDGVSSLCQKALHIITELCFAGQVEWDKCSGIFPADRSGQGGGGTDISVSLLAVV VSFCGLALLVVSLFVFWKLCWPCWKSKLVAPNLSVLPQSISSAPTEVFETEEKKEVEENE KPAPKAIEPAIKISHTSPDIPAEVQTALKEHLIKHARVQRQTTEPTSSSRHNSFRRHLPR QMNVSSVDFSVGTEPILQRGETRTSIGRIKPELYKQKSVDSEGNRKDDVKTCGKLNFALQ YDYENELLVVKIIKALDLPAKDFTGTSDPYVKIYLLPDRKKKFQTRVHRKTLNPLFDELF QFPVVYDQLSNRKLHFSIYDFDRFSRHDMIGEVILDNLFEVSDLSREATVWKDIHCATTE SIDLGEIMFSLCYLPTAGRMTLTVIKCRNLKAMDITGSSDPYVKVSLMCEGRRLKKRKTT TKKNTLNPVYNEAIIFDIPPENVDQVSLCIAVMDYDRVGHNEVIGVCRTGLDAEGLGRDH WNEMLAYHRKPITHWHPLLELPGRATSFDSQGSCSSPRPPSTP >ENSMUSP00000125406.1 pep:known chromosome:GRCm38:6:38875404:38952973:1 gene:ENSMUSG00000029925.13 transcript:ENSMUST00000162521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbxas1 description:thromboxane A synthase 1, platelet [Source:MGI Symbol;Acc:MGI:98497] MEVLGLLKFEVSGTIVTVTLLVALLALLKWYSMSAFSRLEKLGIRHPKPSPFVGNLMFFR QGFWESQLELRERYGPLCGCLQRTSTLQFTHTERWLSFGPVMFHPLGKVCLLTVILLSAT LAHF >ENSMUSP00000003017.6 pep:known chromosome:GRCm38:6:38918980:39084585:1 gene:ENSMUSG00000029925.13 transcript:ENSMUST00000003017.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbxas1 description:thromboxane A synthase 1, platelet [Source:MGI Symbol;Acc:MGI:98497] MEVLGLLKFEVSGTIVTVTLLVALLALLKWYSMSAFSRLEKLGIRHPKPSPFVGNLMFFR QGFWESQLELRERYGPLCGYYLGRRMHVVISEPDMIKQVLVENFSNFSNRMASGLEPKMV ADSVLLLRDRRWEEVRGALMSSFSPEKLDEMTPLISQACELLVAHLKRYAASRDAFNIQR CYCCYTIDVVASVAFGTQVDSQNSPEDPFVQHCRRASTFCIPRPLLVLILSFPSIMVPLA RILPNKNRDELNGFFNTLIRNVIALRDQQAAEERRRDFLQMVLDAQHSMNSVGVEGFDMV PESLSSSECTKEPPQRCHPTSTSKPFTVDEIVGQAFLFLIAGHEVITNTLSFITYLLATH PDCQERLLKEVDLFMGKHPAPEYHSLQEGLPYLDMVISETLRMYPPAFRFTREAAQDCEV LGQRIPAGTVLEIAVGALHHDPEHWPNPETFDPERFTAEARLQRRPFTYLPFGAGPRSCL GVRLGLLVVKLTILQVLHKFRFEASPETQVPLQLESKSALGPKNGVYIKIVSR >ENSMUSP00000124640.1 pep:known chromosome:GRCm38:6:38941401:39001363:1 gene:ENSMUSG00000029925.13 transcript:ENSMUST00000160963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbxas1 description:thromboxane A synthase 1, platelet [Source:MGI Symbol;Acc:MGI:98497] ESPVFSKRWLWTIAVRYSMSAFSRLEKLGIRHPKPSPFVGNLMFFRQGFWESQLELRERY GPLCGYYLGRRMHVVISEPDMIKQVLVENFSNFSNRMASGLEPKMVADSVLLLRDRRWEE VRGALMSSFSPEKL >ENSMUSP00000015391.3 pep:known chromosome:GRCm38:4:53011880:53022060:1 gene:ENSMUSG00000015247.10 transcript:ENSMUST00000015391.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipsnap3b description:nipsnap homolog 3B (C. elegans) [Source:MGI Symbol;Acc:MGI:1913786] MLALRSGLRTALAPRVLTPQVCSPFATGPRQSNGTFYEFRTYFLKPSKTNEFLENFKNSV HLRTAHSEMIGYWTVEFGGRTNRVFHIWKYDNFAHRTAVRKALAKDKEWQERFLIPNLAF IDKQEVEITYLVPWCKIGTPPKEGVYELATFQMKPGGPALWGNAFKRAVNAHVELGYSTL VGVFHTEYGALNRVHVLWWNESADSRAAGRHWSHEDPRVVAAVRESVSYLESQQNTFLIP TSFSPLK >ENSMUSP00000103292.3 pep:known chromosome:GRCm38:4:53011950:53021576:1 gene:ENSMUSG00000015247.10 transcript:ENSMUST00000107665.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipsnap3b description:nipsnap homolog 3B (C. elegans) [Source:MGI Symbol;Acc:MGI:1913786] MLALRSGLRTALAPRVLTPQVCSPFATGPRQSNGTFYEFRTYFLKPSKTNEFLENFKNSV HLRTAHSEMIGYWTVEFGGRTNRVFHIWKYDNFAHRTAVRKALAKDKEWQERFLIPNLAF IDKQEVEITYLVPWCKIGTPPKEVHVLWWNESADSRAAGRHWSHEDPRVVAAVRESVSYL ESQQNTFLIPTSFSPLK >ENSMUSP00000030091.3 pep:known chromosome:GRCm38:4:62522649:62525068:-1 gene:ENSMUSG00000028394.9 transcript:ENSMUST00000030091.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pole3 description:polymerase (DNA directed), epsilon 3 (p17 subunit) [Source:MGI Symbol;Acc:MGI:1933378] MAERPEDLNLPNAVITRIIKEALPDGVNISKEARSAISRAASVFVLYATSCANNFAMKGK RKTLNASDVLSAMEEMEFQRFITPLKEALEAYRREQKGKKEASEQKKKDKDKKDSEEQDK SREEEEEDEERLDEDDQNEEEEIDN >ENSMUSP00000114486.1 pep:known chromosome:GRCm38:4:62522649:62525068:-1 gene:ENSMUSG00000028394.9 transcript:ENSMUST00000128100.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pole3 description:polymerase (DNA directed), epsilon 3 (p17 subunit) [Source:MGI Symbol;Acc:MGI:1933378] MAERPEDLNLPNAVITRIIKEALPDGVNISKEARSAISRAASVFVLYATSW >ENSMUSP00000114802.1 pep:known chromosome:GRCm38:17:24892153:24936938:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000146923.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRKQAEEKFIEFEDALEQEKK ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE RSKMQQVGGSGQTESSLPGRSRKERPTSLNVFPLADGMVRAQMGGKLVPAGDHWHLSDLG QLQSSSSYQCPNDEMSESGQSSAAATPSTTGTKSNTPTSSVPSAAVTPLNESLQPLGDYV SVTKNNKQAREKRNSRNMEVQVTQEMRNVSIGMGSSDEWSDVQDIIDSTPELDVCPETRL ERTGSSPTQGIVNKAFGINTDSLYHELSTAGSEVIGDVDEGADLLGEFSVRDDFFGMGKE VGNLLLENSQLLETKNALNVVKNDLIAKVDQLSGEQEVLKGELEAAKQAKVKLENRIKEL EEELKRVKSEAVTARREPREEVEDVSSYLCTELDKIPMAQRRRFTRVEMARVLMERNQYK ERLMELQEAVRWTEMIRASREHPSVQEKKKSTIWQFFSRLFSSSSSPPPAKRSYPSVNIH YKSPTAAGFSQRRSHALCQISAGSRPLEFFPDDDCTSSARREQKREQYRQVREHVRNDDG RLQACGWSLPAKYKQLSPNGGQEDTRMKNVPVPVYCRPLVEKDPSTKLWCAAGVNLSGWK PHEEDSSNGPKPVPGRDPLTCDREGEGEPKSTHPSPEKKKAKETPEADATSSRVWILTST LTTSKVVIIDANQPGTIVDQFTVCNAHVLCISSIPAASDSDYPPGEMFLDSDVNPEDSGA DGVLAGITLVGCATRCNVPRSNCSSRGDTPVLDKGQGDVATTANGKVNPSQSTEEATEAT EVPDPGPSESEATTVRPGPLTEHVFTDPAPTPSSSTQPASENGSESNGTIVQPQVEPSGE LSTTTSSAAPTMWLGAQNGWLYVHSAVANWKKCLHSIKLKDSVLSLVHVKGRVLVALADG TLAIFHRGEDGQWDLSNYHLMDLGHPHHSIRCMAVVNDRVWCGYKNKVHVIQPKTMQIEK SFDAHPRRESQVRQLAWIGDGVWVSIRLDSTLRLYHAHTHQHLQDVDIEPYVSKMLGTGK LGFSFVRITALLIAGNRLWVGTGNGVVISIPLTETVVLHRGQLLGLRANKTSPTSGEGTR PGGIIHVYGDDSSDKAASSFIPYCSMAQAQLCFHGHRDAVKFFVSVPGNVLATLNGSVLD SPSEGPGPAAPAADAEGQKLKNALVLSGGEGYIDFRIGDGEDDETEECAGDVNQTKPSLS KAERSHIIVWQVSYTPE >ENSMUSP00000110883.2 pep:known chromosome:GRCm38:17:24897533:24936894:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000115228.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRKQAEEKFIEFEDALEQEKK ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE RSKMQQVGGSGQTESSLPGRSRKERPTSLNVFPLADGMVRAQMGGKLVPAGDHWHLSDLG QLQSSSSYQCPNDEMSESGQSSAAATPSTTGTKSNTPTSSVPSAAVTPLNESLQPLGDYV SVTKNNKQAREKRNSRNMEVQVTQEMRNVSIGMGSSDEWSDVQDIIDSTPELDVCPETRL ERTGSSPTQGIVNKAFGINTDSLYHELSTAGSEVIGDVDEGADLLGEFSGMGKEVGNLLL ENSQLLETKNALNVVKNDLIAKVDQLSGEQEVLKGELEAAKQAKVKLENRIKELEEELKR VKSEAVTARREPREEVEDDKIPMAQRRRFTRVEMARVLMERNQYKERLMELQEAVRWTEM IRASREHPSVQEKKKSTIWQFFSRLFSSSSSPPPAKRSYPSVNIHYKSPTAAGFSQRRSH ALCQISAGSRPLEFFPDDDCTSSARREQKREQYRQVREHVRNDDGRLQACGWSLPAKYKQ LSPNGGQEDTRMKNVPVPVYCRPLVEKDPSTKLWCAAGVNLSGWKPHEEDSSNGPKPVPG RDPLTCDREGEGEPKSTHPSPEKKKAKETPEADATSSRVWILTSTLTTSKVVIIDANQPG TIVDQFTVCNAHVLCISSIPAASDSDYPPGEMFLDSDVNPEDSGADGVLAGITLVGCATR CNVPRSNCSSRGDTPVLDKGQGDVATTANGKVNPSQSTEEATEATEVPDPGPSESEATTV RPGPLTEHVFTDPAPTPSSSTQPASENGSESNGTIVQPQVEPSGELSTTTSSAAPTMWLG AQNGWLYVHSAVANWKKCLHSIKLKDSVLSLVHVKGRVLVALADGTLAIFHRGEDGQWDL SNYHLMDLGHPHHSIRCMAVVNDRVWCGYKNKVHVIQPKTMQIEKSFDAHPRRESQVRQL AWIGDGVWVSIRLDSTLRLYHAHTHQHLQDVDIEPYVSKMLGTGKLGFSFVRITALLIAG NRLWVGTGNGVVISIPLTETVVLHRGQLLGLRANKTSPTSGEGTRPGGIIHVYGDDSSDK AASSFIPYCSMAQAQLCFHGHRDAVKFFVSVPGNVLATLNGSVLDSPSEGPGPAAPAADA EGQKLKNALVLSGGEGYIDFRIGDGEDDETEECAGDVNQTKPSLSKAERSHIIVWQVSYT PE >ENSMUSP00000112712.1 pep:known chromosome:GRCm38:17:24897533:24936912:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000117509.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRKQAEEKFIEFEDALEQEKK ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE RSKMQQVGGSGQTESSLPGRSPRQSWRKSRKERPTSLNVFPLADGMCPNDEMSESGQSSA AATPSTTGTKSNTPTSSVPSAAVTPLNESLQPLGDYVSVTKNNKQAREKRNSRNMEVQVT QEMRNVSIGMGSSDEWSDVQDIIDSTPELDVCPETRLERTGSSPTQGIVNKAFGINTDSL YHELSTAGSEVIGDVDEGADLLGEFSVRDDFFGMGKEVGNLLLENSQLLETKNALNVVKN DLIAKVDQLSGEQEVLKGELEAAKQAKVKLENRIKELEEELKRVKSEAVTARREPREEVE DVSSYLCTELDKIPMAQRRRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASREHP SVQEKKKSTIWQFFSRLFSSSSSPPPAKRSYPSVNIHYKSPTAAGFSQRRSHALCQISAG SRPLEFFPDDDCTSSARREQKREQYRQVREHVRNDDGRLQACGWSLPAKYKQLSPNGGQE DTRMKNVPVPVYCRPLVEKDPSTKLWCAAGVNLSGWKPHEEDSSNGPKPVPGRDPLTCDR EGEGEPKSTHPSPEKKKAKETPEADATSSRVWILTSTLTTSKVVIIDANQPGTIVDQFTV CNAHVLCISSIPAASDSDYPPGEMFLDSDVNPEDSGADGVLAGITLVGCATRCNVPRSNC SSRGDTPVLDKGQGDVATTANGKVNPSQSTEEATEATEVPDPGPSESEATTVRPGPLTEH VFTDPAPTPSSSTQPASENGSESNGTIVQPQVEPSGELSTTTSSAAPTMWLGAQNGWLYV HSAVANWKKCLHSIKLKDSVLSLVHVKGRVLVALADGTLAIFHRGEDGQWDLSNYHLMDL GHPHHSIRCMAVVNDRVWCGYKNKVHVIQPKTMQIEKSFDAHPRRESQVRQLAWIGDGVW VSIRLDSTLRLYHAHTHQHLQDVDIEPYVSKMLGTGKLGFSFVRITALLIAGNRLWVGTG NGVVISIPLTETVVLHRGQLLGLRANKTSPTSGEGTRPGGIIHVYGDDSSDKAASSFIPY CSMAQAQLCFHGHRDAVKFFVSVPGNVLATLNGSVLDSPSEGPGPAAPAADAEGQKLKNA LVLSGGEGYIDFRIGDGEDDETEECAGDVNQTKPSLSKAERSHIIVWQVSYTPE >ENSMUSP00000113753.1 pep:known chromosome:GRCm38:17:24897533:24936967:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000121787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRKQAEEKFIEFEDALEQEKK ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE RSKMQQVGGSGQTESSLPGRSRKERPTSLNVFPLADGMCPNDEMSESGQSSAAATPSTTG TKSNTPTSSVPSAAVTPLNESLQPLGDYVSVTKNNKQAREKRNSRNMEVQVTQEMRNVSI GMGSSDEWSDVQDIIDSTPELDVCPETRLERTGSSPTQGIVNKAFGINTDSLYHELSTAG SEVIGDVDEGADLLGEFSGMGKEVGNLLLENSQLLETKNALNVVKNDLIAKVDQLSGEQE VLKGELEAAKQAKVKLENRIKELEEELKRVKSEAVTARREPREEVEDVSSYLCTELDKIP MAQRRRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASREHPSVQEKKKSTIWQFF SRLFSSSSSPPPAKRSYPSVNIHYKSPTAAGFSQRRSHALCQISAGSRPLEFFPDDDCTS SARREQKREQYRQVREHVRNDDGRLQACGWSLPAKYKQLSPNGGQEDTRMKNVPVPVYCR PLVEKDPSTKLWCAAGVNLSGWKPHEEDSSNGPKPVPGRDPLTCDREGEGEPKSTHPSPE KKKAKETPEADATSSRVWILTSTLTTSKVVIIDANQPGTIVDQFTVCNAHVLCISSIPAA SDSDYPPGEMFLDSDVNPEDSGADGVLAGITLVGCATRCNVPRSNCSSRGDTPVLDKGQG DVATTANGKVNPSQSTEEATEATEVPDPGPSESEATTVRPGPLTEHVFTDPAPTPSSSTQ PASENGSESNGTIVQPQVEPSGELSTTTSSAAPTMWLGAQNGWLYVHSAVANWKKCLHSI KLKDSVLSLVHVKGRVLVALADGTLAIFHRGEDGQWDLSNYHLMDLGHPHHSIRCMAVVN DRVWCGYKNKVHVIQPKTMQIEKSFDAHPRRESQVRQLAWIGDGVWVSIRLDSTLRLYHA HTHQHLQDVDIEPYVSKMLGTGKLGFSFVRITALLIAGNRLWVGTGNGVVISIPLTETVV LHRGQLLGLRANKTSPTSGEGTRPGGIIHVYGDDSSDKAASSFIPYCSMAQAQLCFHGHR DAVKFFVSVPGNVLATLNGSVLDSPSEGPGPAAPAADAEGQKLKNALVLSGGEGYIDFRI GDGEDDETEECAGDVNQTKPSLSKAERSHIIVWQVSYTPE >ENSMUSP00000085683.5 pep:known chromosome:GRCm38:17:24897533:24936968:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000088345.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRKQAEEKFIEFEDALEQEKK ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE RSKMQQVGGSGQTESSLPGRSRKERPTSLNVFPLADGMVRAQMGGKLVPAGDHWHLSDLG QLQSSSSYQCPNDEMSESGQSSAAATPSTTGTKSNTPTSSVPSAAVTPLNESLQPLGDYV SVTKNNKQAREKRNSRNMEVQVTQEMRNVSIGMGSSDEWSDVQDIIDSTPELDVCPETRL ERTGSSPTQGIVNKAFGINTDSLYHELSTAGSEVIGDVDEGADLLGEFSVRDDFFGMGKE VGNLLLENSQLLETKNALNVVKNDLIAKVDQLSGEQEVLKGELEAAKQAKVKLENRIKEL EEELKRVKSEAVTARREPREEVEDVSSYLCTELDKIPMAQRRRFTRVEMARVLMERNQYK ERLMELQEAVRWTEMIRASREHPSVQEKKKSTIWQFFSRLFSSSSSPPPAKRSYPSVNIH YKSPTAAGFSQRRSHALCQISAGSRPLEFFPDDDCTSSARREQKREQYRQVREHVRNDDG RLQACGWSLPAKYKQLSPNGGQEDTRMKNVPVPVYCRPLVEKDPSTKLWCAAGVNLSGWK PHEEDSSNGPKPVPGRDPLTCDREGEGEPKSTHPSPEKKKAKETPEADATSSRVWILTST LTTSKVVIIDANQPGTIVDQFTVCNAHVLCISSIPAASDSDYPPGEMFLDSDVNPEDSGA DGVLAGITLVGCATRCNVPRSNCSSRGDTPVLDKGQGDVATTANGKVNPSQSTEEATEAT EVPDPGPSESEATTVRPGPLTEHVFTDPAPTPSSSTQPASENGSESNGTIVQPQVEPSGE LSTTTSSAAPTMWLGAQNGWLYVHSAVANWKKCLHSIKLKDSVLSLVHVKGRVLVALADG TLAIFHRGEDGQWDLSNYHLMDLGHPHHSIRCMAVVNDRVWCGYKNKVHVIQPKTMQIEK SFDAHPRRESQVRQLAWIGDGVWVSIRLDSTLRLYHAHTHQHLQDVDIEPYVSKMLGTGK LGFSFVRITALLIAGNRLWVGTGNGVVISIPLTETVVLHRGQLLGLRANKTSPTSGEGTR PGGIIHVYGDDSSDKAASSFIPYCSMAQAQLCFHGHRDAVKFFVSVPGNVLATLNGSVLD SPSEGPGPAAPAADAEGQKLKNALVLSGGEGYIDFRIGDGEDDETEECAGDVNQTKPSLS KAERSHIIVWQVSYTPE >ENSMUSP00000113698.1 pep:known chromosome:GRCm38:17:24897534:24936929:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000121723.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRKQAEEKFIEFEDALEQEKK ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE RSKMQQVGGSGQTESSLPGRSRKERPTSLNVFPLADGMCPNDEMSESGQSSAAATPSTTG TKSNTPTSSVPSAAVTPLNESLQPLGDYVSVTKNNKQAREKRNSRNMEVQVTQEMRNVSI GMGSSDEWSDVQDIIDSTPELDVCPETRLERTGSSPTQGIVNKAFGINTDSLYHELSTAG SEVIGDVDEGADLLGEFSVRDDFFGMGKEVGNLLLENSQLLETKNALNVVKNDLIAKVDQ LSGEQEVLKGELEAAKQAKVKLENRIKELEEELKRVKSEAVTARREPREEVEDVSSYLCT ELDKIPMAQRRRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASREHPSVQEKKKS TIWQFFSRLFSSSSSPPPAKRSYPSVNIHYKSPTAAGFSQRRSHALCQISAGSRPLEFFP DDDCTSSARREQKREQYRQVREHVRNDDGRLQACGWSLPAKYKQLSPNGGQEDTRMKNVP VPVYCRPLVEKDPSTKLWCAAGVNLSGWKPHEEDSSNGPKPVPGRDPLTCDREGEGEPKS THPSPEKKKAKETPEADATSSRVWILTSTLTTSKVVIIDANQPGTIVDQFTVCNAHVLCI SSIPAASDSDYPPGEMFLDSDVNPEDSGADGVLAGITLVGCATRCNVPRSNCSSRGDTPV LDKGQGDVATTANGKVNPSQSTEEATEATEVPDPGPSESEATTVRPGPLTEHVFTDPAPT PSSSTQPASENGSESNGTIVQPQVEPSGELSTTTSSAAPTMWLGAQNGWLYVHSAVANWK KCLHSIKLKDSVLSLVHVKGRVLVALADGTLAIFHRGEDGQWDLSNYHLMDLGHPHHSIR CMAVVNDRVWCGYKNKVHVIQPKTMQIEKSFDAHPRRESQVRQLAWIGDGVWVSIRLDST LRLYHAHTHQHLQDVDIEPYVSKMLGTGKLGFSFVRITALLIAGNRLWVGTGNGVVISIP LTETVVLHRGQLLGLRANKTSPTSGEGTRPGGIIHVYGDDSSDKAASSFIPYCSMAQAQL CFHGHRDAVKFFVSVPGNVLATLNGSVLDSPSEGPGPAAPAADAEGQKLKNALVLSGGEG YIDFRIGDGEDDETEECAGDVNQTKPSLSKAERSHIIVWQVSYTPE >ENSMUSP00000112955.1 pep:known chromosome:GRCm38:17:24898799:24936960:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000119115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRKQAEEKFIEFEDALEQEKK ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE RSKMQQVGGSGQTESSLPGRRKERPTSLNVFPLADGMCPNDEMSESGQSSAAATPSTTGT KSNTPTSSVPSAAVTPLNESLQPLGDYVSVTKNNKQAREKRNSRNMEVQVTQEMRNVSIG MGSSDEWSDVQDIIDSTPELDVCPETRLERTGSSPTQGIVNKAFGINTDSLYHELSTAGS EVIGDVDEGADLLGEFSVRDDFFGMGKEVGNLLLENSQLLETKNALNVVKNDLIAKVDQL SGEQEVLKGELEAAKQAKVKLENRIKELEEELKRVKSEAVTARREPREEVEDVSSYLCTE LDKIPMAQRRRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASREHPSVQEKKKST IWQFFSRLFSSSSSPPPAKRSYPSVNIHYKSPTAAGFSQRRSHALCQISAGSRPLEFFPD DDCTSSARREQKREQYRQVREHVRNDDGRLQACGWSLPAKYKQLSPNGGQEDTRMKNVPV PVYCRPLVEKDPSTKLWCAAGVNLSGWKPHEEDSSNGPKPVPGRDPLTCDREGEGEPKST HPSPEKKKAKETPEADATSSRVWILTSTLTTSKVVIIDANQPGTIVDQFTVCNAHVLCIS SIPAASDSDYPPGEMFLDSDVNPEDSGADGVLAGITLVGCATRCNVPRSNCSSRGDTPVL DKGQGDVATTANGKVNPSQSTEEATEATEVPDPGPSESEATTVRPGPLTEHVFTDPAPTP SSSTQPASENGSESNGTIVQPQVEPSGELSTTTSSAAPTMWLGAQNGWLYVHSAVANWKK CLHSIKLKDSVLSLVHVKGRVLVALADGTLAIFHRGEDGQWDLSNYHLMDLGHPHHSIRC MAVVNDRVWCGYKNKVHVIQPKTMQIEKSFDAHPRRESQVRQLAWIGDGVWVSIRLDSTL RLYHAHTHQHLQDVDIEPYVSKMLGTGKLGFSFVRITALLIAGNRLWVGTGNGVVISIPL TETVVLHRGQLLGLRANKTSPTSGEGTRPGGIIHVYGDDSSDKAASSFIPYCSMAQAQLC FHGHRDAVKFFVSVPGNVLATLNGSVLDSPSEGPGPAAPAADAEGQKLKNALVLSGGEGY IDFRIGDGEDDETEECAGDVNQTKPSLSKAERSHIIVWQVSYTPE >ENSMUSP00000114084.1 pep:known chromosome:GRCm38:17:24898948:24936968:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000120035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRKQAEEKFIEFEDALEQEKK ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE RSKMQQVGGSGQTESSLPGRRKERPTSLNVFPLADGMVRAQMGGKLVPAGDHWHLSDLGQ LQSSSSYQCPNDEMSESGQSSAAATPSTTGTKSNTPTSSVPSAAVTPLNESLQPLGDYVS VTKNNKQAREKRNSRNMEVQVTQEMRNVSIGMGSSDEWSDVQDIIDSTPELDVCPETRLE RTGSSPTQGIVNKAFGINTDSLYHELSTAGSEVIGDVDEGADLLGEFSVRDDFFGMGKEV GNLLLENSQLLETKNALNVVKNDLIAKVDQLSGEQEVLKGELEAAKQAKVKLENRIKELE EELKRVKSEAVTARREPREEVEDVSSYLCTELDKIPMAQRRRFTRVEMARVLMERNQYKE RLMELQEAVRWTEMIRASREHPSVQEKKKSTIWQFFSRLFSSSSSPPPAKRSYPSVNIHY KSPTAAGFSQRRSHALCQISAGSRPLEFFPDDDCTSSARREQKREQYRQVREHVRNDDGR LQACGWSLPAKYKQLSPNGGQEDTRMKNVPVPVYCRPLVEKDPSTKLWCAAGVNLSGWKP HEEDSSNGPKPVPGRDPLTCDREGEGEPKSTHPSPEKKKAKETPEADATSSRVWILTSTL TTSKVVIIDANQPGTIVDQFTVCNAHVLCISSIPAASDSDYPPGEMFLDSDVNPEDSGAD GVLAGITLVGCATRCNVPRSNCSSRGDTPVLDKGQGDVATTANGKVNPSQSTEEATEATE VPDPGPSESEATTVRPGPLTEHVFTDPAPTPSSSTQPASENGSESNGTIVQPQVEPSGEL STTTSSAAPTMWLGAQNGWLYVHSAVANWKKCLHSIKLKDSVLSLVHVKGRVLVALADGT LAIFHRGEDGQWDLSNYHLMDLGHPHHSIRCMAVVNDRVWCGYKNKVHVIQPKTMQIEKS FDAHPRRESQVRQLAWIGDGVWVSIRLDSTLRLYHAHTHQHLQDVDIEPYVSKMLGTGKL GFSFVRITALLIAGNRLWVGTGNGVVISIPLTETVVLHRGQLLGLRANKTSPTSGEGTRP GGIIHVYGDDSSDKAASSFIPYCSMAQAQLCFHGHRDAVKFFVSVPGNVLATLNGSVLDS PSEGPGPAAPAADAEGQKLKNALVLSGGEGYIDFRIGDGEDDETEECAGDVNQTKPSLSK AERSHIIVWQVSYTPE >ENSMUSP00000118422.1 pep:known chromosome:GRCm38:17:24906925:24923868:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000146706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MQQVGGSGQTESSLPGRSPRQSWRKSRKERPTSLNVFPLADGMCPNDEMSESGQSSAAAT PSTTGTKSNTPTSSVPSAAVTPLNESLQPLGDYVSVTKNNKQAREKRNSRNMEVQVTQEM RNVSIGMGSSDEWSDVQDIIDSTPELDVCPETRLERTGSSPTQGIVNKAFGINTDSLYHE LSTAGSEVIGDVDEGADLLGEFSGMGKEVGNLLLENSQLLETKNALNVVKNDLIA >ENSMUSP00000110884.3 pep:known chromosome:GRCm38:17:24897506:24936977:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000115229.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRKQAEEKFIEFEDALEQEKK ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE RSKMQQVGGSGQTESSLPGRSPRQSWRKSRKERPTSLNVFPLADGMVRAQMGGKLVPAGD HWHLSDLGQLQSSSSYQCPNDEMSESGQSSAAATPSTTGTKSNTPTSSVPSAAVTPLNES LQPLGDYVSVTKNNKQAREKRNSRNMEVQVTQEMRNVSIGMGSSDEWSDVQDIIDSTPEL DVCPETRLERTGSSPTQGIVNKAFGINTDSLYHELSTAGSEVIGDVDEGADLLGKYNLRD DFFGMGKEVGNLLLENSQLLETKNALNVVKNDLIAKVDQLSGEQEVLKGELEAAKQAKVK LENRIKELEEELKRVKSEAVTARREPREEVEDVSSYLCTELDKIPMAQRRRFTRVEMARV LMERNQYKERLMELQEAVRWTEMIRASREHPSVQEKKKSTIWQFFSRLFSSSSSPPPAKR SYPSVNIHYKSPTAAGFSQRRSHALCQISAGSRPLEFFPDDDCTSSARREQKREQYRQVR EHVRNDDGRLQACGWSLPAKYKQLSPNGGQEDTRMKNVPVPVYCRPLVEKDPSTKLWCAA GVNLSGWKPHEEDSSNGPKPVPGRDPLTCDREGEGEPKSTHPSPEKKKAKETPEADATSS RVWILTSTLTTSKVVIIDANQPGTIVDQFTVCNAHVLCISSIPAASDSDYPPGEMFLDSD VNPEDSGADGVLAGITLVGCATRCNVPRSNCSSRGDTPVLDKGQGDVATTANGKVNPSQS TEEATEATEVPDPGPSESEATTVRPGPLTEHVFTDPAPTPSSSTQPASENGSESNGTIVQ PQVEPSGELSTTTSSAAPTMWLGAQNGWLYVHSAVANWKKCLHSIKLKDSVLSLVHVKGR VLVALADGTLAIFHRGEDGQWDLSNYHLMDLGHPHHSIRCMAVVNDRVWCGYKNKVHVIQ PKTMQIEKSFDAHPRRESQVRQLAWIGDGVWVSIRLDSTLRLYHAHTHQHLQDVDIEPYV SKMLGTGKLGFSFVRITALLIAGNRLWVGTGNGVVISIPLTETVVLHRGQLLGLRANKTS PTSGEGTRPGGIIHVYGDDSSDKAASSFIPYCSMAQAQLCFHGHRDAVKFFVSVPGNVLA TLNGSVLDSPSEGPGPAAPAADAEGQKLKNALVLSGGEGYIDFRIGDGEDDETEECAGDV NQTKPSLSKAERSHIIVWQVSYTPE >ENSMUSP00000136924.1 pep:known chromosome:GRCm38:17:24897506:24936977:-1 gene:ENSMUSG00000024163.17 transcript:ENSMUST00000178969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip3 description:mitogen-activated protein kinase 8 interacting protein 3 [Source:MGI Symbol;Acc:MGI:1353598] MMEIQMDEGGGVVVYQDDYCSGSVMSERVSGLAGSIYREFERLIHCYDEEVVKELMPLVV NVLENLDSVLSENQEHEVELELLREDNEQLLTQYEREKALRKQAEEKFIEFEDALEQEKK ELQIQVEHYEFQTRQLELKAKNYADQISRLEERESEMKKEYNALHQRHTEMIQTYVEHIE RSKMQQVGGSGQTESSLPGRSRKERPTSLNVFPLADGMVRAQMGGKLVPAGDHWHLSDLG QLQSSSSYQCPNDEMSESGQSSAAATPSTTGTKSNTPTSSVPSAAVTPLNESLQPLGDYV SVTKNNKQAREKRNSRNMEVQVTQEMRNVSIGMGSSDEWSDVQDIIDSTPELDVCPETRL ERTGSSPTQGIVNKAFGINTDSLYHELSTAGSEVIGDVDEGADLLGKYNLRDDFFGMGKE VGNLLLENSQLLETKNALNVVKNDLIAKVDQLSGEQEVLKGELEAAKQAKVKLENRIKEL EEELKRVKSEAVTARREPREEVEDVSSYLCTELDKIPMAQRRRFTRVEMARVLMERNQYK ERLMELQEAVRWTEMIRASREHPSVQEKKKSTIWQFFSRLFSSSSSPPPAKRSYPSVNIH YKSPTAAGFSQRRSHALCQISAGSRPLEFFPDDDCTSSARREQKREQYRQVREHVRNDDG RLQACGWSLPAKYKQLSPNGGQEDTRMKNVPVPVYCRPLVEKDPSTKLWCAAGVNLSGWK PHEEDSSNGPKPVPGRDPLTCDREGEGEPKSTHPSPEKKKAKETPEADATSSRVWILTST LTTSKVVIIDANQPGTIVDQFTVCNAHVLCISSIPAASDSDYPPGEMFLDSDVNPEDSGA DGVLAGITLVGCATRCNVPRSNCSSRGDTPVLDKGQGDVATTANGKVNPSQSTEEATEAT EVPDPGPSESEATTVRPGPLTEHVFTDPAPTPSSSTQPASENGSESNGTIVQPQVEPSGE LSTTTSSAAPTMWLGAQNGWLYVHSAVANWKKCLHSIKLKDSVLSLVHVKGRVLVALADG TLAIFHRGEDGQWDLSNYHLMDLGHPHHSIRCMAVVNDRVWCGYKNKVHVIQPKTMQIEK SFDAHPRRESQVRQLAWIGDGVWVSIRLDSTLRLYHAHTHQHLQDVDIEPYVSKMLGTGK LGFSFVRITALLIAGNRLWVGTGNGVVISIPLTETVVLHRGQLLGLRANKTSPTSGEGTR PGGIIHVYGDDSSDKAASSFIPYCSMAQAQLCFHGHRDAVKFFVSVPGNVLATLNGSVLD SPSEGPGPAAPAADAEGQKLKNALVLSGGEGYIDFRIGDGEDDETEECAGDVNQTKPSLS KAERSHIIVWQVSYTPE >ENSMUSP00000102877.2 pep:known chromosome:GRCm38:7:88430273:88491572:1 gene:ENSMUSG00000030559.8 transcript:ENSMUST00000107256.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab38 description:RAB38, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1919683] MQTPHKEHLYKLLVIGDLGVGKTSIIKRYVHQNFSSHYRATIGVDFALKVLHWDPETVVR LQLWDIAGQERFGNMTRVYYREAMGAFIVFDVTRPATFEAVAKWKNDLDSKLTLPNGKPV SVVLLANKCDQGKDVLMNNGLKMDQFCKEHGFVGWFETSAKENINIDEASRCLVKHILAN ECDLLESIEPDIVKPHLTSPKVVSCSGCAKS >ENSMUSP00000146448.1 pep:known chromosome:GRCm38:7:88430394:88490829:1 gene:ENSMUSG00000030559.8 transcript:ENSMUST00000208478.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab38 description:RAB38, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1919683] MQTPHKEHLYKLLVIGDLGVGKTSIIKRYVHQNFSSHYRATIGVDFALKVLHWDPETVVR LQLWDIAGQERFGNMTRVYYREAMGAFIVFDVTRPATFEAVAKWKNDLDSKLTLPNGKHK H >ENSMUSP00000063027.7 pep:known chromosome:GRCm38:13:67405722:67421418:-1 gene:ENSMUSG00000055560.7 transcript:ENSMUST00000056470.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp459 description:zinc finger protein 459 [Source:MGI Symbol;Acc:MGI:3040701] MLSFRDVAVDFSAEEWECLEPAQWHLYRDVMLENYSHLVFLGLADSKPQLVTFLEQRQGS TGEERQAVKAVFPGITPNDPNHYSKPTNCESLLLKRRRINTGEKPYKCEECGKALSSHKT LSIHQRLHTGDKPYKCEVCHKAFCAPSSLFIHQKNHTDEKNFKCEDCGRTFYYLSMLKQH QRIHSGEKCYKCKNCGYASYDPSFLEQHQKINCREKCYKCEDCHKAFHHQPLGYTREFIL ERNLSNVKSVADVLGYLNPLSNIKKST >ENSMUSP00000001569.8 pep:known chromosome:GRCm38:17:35823230:35832791:1 gene:ENSMUSG00000059714.13 transcript:ENSMUST00000001569.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flot1 description:flotillin 1 [Source:MGI Symbol;Acc:MGI:1100500] MFFTCGPNEAMVVSGFCRSPPVMVAGGRVFVLPCIQQIQRISLNTLTLNVKSEKVYTRHG VPISVTGIAQVKIQGQNKEMLAAACQMFLGKTEAEIAHIALETLEGHQRAIMAHMTVEEI YKDRQKFSEQVFKVASSDLVNMGISVVSYTLKDIHDDQDYLHSLGKARTAQVQKDARIGE AEAKRDAGIREAKAKQEKVSAQCLSEIEMAKAQRDYELKKATYDIEVNTRRAQADLAYQL QVAKTKQQIEEQRVQVQVVERAQQVAVQEQEIARREKELEARVRKPAEAERYRLERLAEA EKAQLIMQAEAEAESVRMRGEAEAFAIGARARAEAEQMAKKAEAFQMYQEAAQLDMLLEK LPQVAEEISGPLTSANKITLVSSGSGTMGAAKVTGEVLDILSRLPESVERLTGVSISQVN HNKPLRTA >ENSMUSP00000134227.1 pep:known chromosome:GRCm38:17:35823347:35832610:1 gene:ENSMUSG00000059714.13 transcript:ENSMUST00000174080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flot1 description:flotillin 1 [Source:MGI Symbol;Acc:MGI:1100500] MFFTCGPNEAMVVSGFCRSPPVMVAGGRVFVLPCIQQIQRISLNTLTLNVKSEKVYTRHG VPISVTGIAQEIYKDRQKFSEQVFKVASSDLVNMGISVVSYTLKDIHDDQDYLHSLGKAR TAQVQKDARIGEAEAKRDAGIREAKAKQEKVSAQCLSEIEMAKAQRDYELKKATYDIEVN TRRAQADLAYQLQVAKTKQQIEEQRVQVQVVERAQQVAVQEQEIARREKELEARVRKPAE AERYRLERLAEAEKAQLIMQAEAEAESVRMRGEAEAFAIGARARAEAEQMAKKAEAFQMY QEAAQLDMLLEKLPQVAEEISGPLTSANKITLVSSGSGTMGAAKVTGEVLDILSRLPESV ERLTGVSISQVNHNKPLRTA >ENSMUSP00000133289.1 pep:known chromosome:GRCm38:17:35823382:35830024:1 gene:ENSMUSG00000059714.13 transcript:ENSMUST00000173628.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Flot1 description:flotillin 1 [Source:MGI Symbol;Acc:MGI:1100500] MFFTCGPNEAMVVSGFCRSPPVMVAGGRVFVLPCIQQIQR >ENSMUSP00000134699.1 pep:known chromosome:GRCm38:17:35823526:35825745:1 gene:ENSMUSG00000059714.13 transcript:ENSMUST00000173493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flot1 description:flotillin 1 [Source:MGI Symbol;Acc:MGI:1100500] MFFTCGPNEAMVVSGFCRSPPVMVAGGRVFVLPCIQQIQRISLNTLTLNVKSEKVYTRHG VPISVTGIAQVKIQGQNKEMLAAACQMFLGKTEAEIAHIALETLEGHQRAIMAHMTVEEI YKDRQKFSEQVFKVASSDLVNMGISVVSYTLKDIHDDQDYLHSLGKARTAQVQKDARIGE AEAKRDAGIREA >ENSMUSP00000133454.1 pep:known chromosome:GRCm38:17:35823549:35825757:1 gene:ENSMUSG00000059714.13 transcript:ENSMUST00000173147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flot1 description:flotillin 1 [Source:MGI Symbol;Acc:MGI:1100500] MFFTCGPNEAMVVSGFCRSPPVMVAGGRVFVLPCIQQIQRISLNTLTLNVKSEKVYTRHG VPISVTGIAQVKIQGQNKEMLAAACQMFLGKTEAEIAHIALETLEGHQRAIMAHMTVEEI YKDRQKFSEQVFKVASSDLVNMGISVVSYTLKDIHDDQDYLHSLGKARTAQVQKDARIGE AEAKRDAGIREAKAKQ >ENSMUSP00000134681.1 pep:known chromosome:GRCm38:17:35823593:35825744:1 gene:ENSMUSG00000059714.13 transcript:ENSMUST00000172846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flot1 description:flotillin 1 [Source:MGI Symbol;Acc:MGI:1100500] MFFTCGPNEAMVVSGFCRSPPVMVAGGRVFVLPCIQQIQRISLNTLTLNVKSEKVYTRHG VPISVTGIAQVKIQGQNKEMLAAACQMFLGKTEAEIAHIALETLEGHQRAIMAHMTVEEI YKDRQKFSEQVFKVASSDLVNMGISVVSYTLKDIHDDQDYLHSLGKARTAQVQKDARIGE AEAKRDAGIREA >ENSMUSP00000052798.4 pep:known chromosome:GRCm38:16:58796779:58797942:-1 gene:ENSMUSG00000049362.5 transcript:ENSMUST00000049940.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr173 description:olfactory receptor 173 [Source:MGI Symbol;Acc:MGI:3030007] MVEENHTMKREFVLTGFTDHPEMKGLLFAVFFFIYLITMIGNMGLVILISKERSLHTPMY IFLGNLAFIDSCCACAITPKMLENFFSEDRIISLYECMAQFYFLCTVETADCFLLSAMAY DRYVAICNPLQYHTTMSKKLCLQMTTGAFIAGNLHSMVHVGLLFRLAFCGSNQINHFYCD ILPLYRLSCVDPYINELVLFVFSGSIQVFTIGCVLISYLFIVYTIFQMKSKEGRIKAFST CASHFLSVSLFYGSLFFMYIRPNLLEEGDKDMPAAILFTIVVPLLNPFIYSLRNKEVKNV LQKILQKKIISKNFKQASIIV >ENSMUSP00000145946.1 pep:known chromosome:GRCm38:16:58797449:58800254:-1 gene:ENSMUSG00000049362.5 transcript:ENSMUST00000206205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr173 description:olfactory receptor 173 [Source:MGI Symbol;Acc:MGI:3030007] MVEENHTMKREFVLTGFTDHPEMKGLLFAVFFFIYLITMIGNMGLVILISKERSLHTPMY IFLGNLAFIDSCCACAITPKMLENFFSEDRIISLYECMAQFYFLCTVETADCFLLSAMAY DRYVAICNPLQY >ENSMUSP00000137928.1 pep:known chromosome:GRCm38:13:67538641:67553138:-1 gene:ENSMUSG00000095432.8 transcript:ENSMUST00000181892.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp748 description:zinc finger protein 748 [Source:MGI Symbol;Acc:MGI:1916455] MEEMLSFRDVAVDFSAEEWECLEPAQWNLYRDVMLENYSHLVFLGLAFSKPYLVTFLEQR QEPLNEKRQVATTMHPDIKPYKCKECGKACDWNSLLLEHQRTNPGENAYKCEECGQASGS YSVIPEHHINDTEEKACKCEECGKVICTCSENSSYQRICIGENPYKCEECGKAFSSHSCL AQHEVEHTGQQFYNCEECGKLFYCPSHLTEHQKIHSQENLFKIEVCSEVFCAPIELSKDQ NFCTEEKPYRYEDYVKAFSACSVLSEHPTIHPGEKAFKCEECGNAFCTLHSVSKMKIHYE VKSYKCEECGKAFATHLSLIQHKIGHTREKPYQCEECGKMFYCSSNLKQHQITHSQEKPY KCEVCGKVFRTCWQLSKHLRIHSGEKPYKCEECGKAFYTLSYLTQHKLGHTGEKPYKCEE CGKTFYYPSVLKEHLAIHSGEKPYRCDECGKDFCTRSGRSRHQRIHTGEKPYKCEQCGKA FSTHSYLSHHKIVHTGHKPYKCEECGKKFYYPSRLKEHQRIHSQENPYKCEICGKAFYTH SYFTQHKLGHTGEKPYKCEECGKTFYYPSILKEHLAIHSGKKPYRCEECGKDFCTRSGRS RHQRIHTGEKPHKCEECGKVFSTHSYLTQHKVVHSGEKPYKCEECGKKFYYPSRLKEHQR IHSQENPYKCEICGNVFCTPKGLSKHQRFHTGEKPYKCEECGKMFYYPSRLKEHQRIHSQ ENPYKCEICGKAFYTHSYLTQHKLGHTGEKPYKCEECGKTFYYPSILKEHLAIHSGKKPY RCEECGKDFCTRSGRSRHQRIHTGEKPYKCEQCGKAFSTHSYLSQHKVVHSGEKPYKCEE CGKMFYYSSRLKEHQRIHSQENPYKCEVCGKVFSAHLELATHLSIHSG >ENSMUSP00000080439.7 pep:known chromosome:GRCm38:13:67544955:67553138:-1 gene:ENSMUSG00000095432.8 transcript:ENSMUST00000053289.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp748 description:zinc finger protein 748 [Source:MGI Symbol;Acc:MGI:1916455] MEEMLSFRDVAVDFSAEEWECLEPAQWNLYRDVMLENYSHLVFLGLAFSKPYLVTFLEQR QEPLNEKRQVATTMHPGVWE >ENSMUSP00000112754.1 pep:known chromosome:GRCm38:16:4710059:4719109:-1 gene:ENSMUSG00000063445.14 transcript:ENSMUST00000120056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmral1 description:NmrA-like family domain containing 1 [Source:MGI Symbol;Acc:MGI:1915074] MADRKLVVVFGATGAQGGSVARALLEDGTFRIRVVTRNPEQRAAKELKQQGAEVVRGDQD DAASMELALAGAHATFIVTNYWETCSQDREVQQGKLLADLAKRLGLHYVVYSGLENIRKL TAGKLAAGHFDGKGEVEEYFRDIGVPMTSVRLPCYFENLLSYFLPQKAADGKSFLLDLPM GDVPMDGMSVSDLGPVVLSLLKKPEEYVGQNIGLSTCRHTAEEYAALLSKHTGKAVHHAK TTPEDYEKLGFQGAQDLANMFRFYTLKPDRNIHLTLRLNPKAQTLDQWLEQHKGDFAQL >ENSMUSP00000111517.3 pep:known chromosome:GRCm38:16:4711317:4719156:-1 gene:ENSMUSG00000063445.14 transcript:ENSMUST00000115851.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmral1 description:NmrA-like family domain containing 1 [Source:MGI Symbol;Acc:MGI:1915074] MADRKLVVVFGATGAQGGSVARALLEDGTFRIRVVTRNPEQRAAKELKQQGAEVVRGDQD DAASMELALAGAHATFIVTNYWETCSQDREVQQGKLLADLAKRLGLHYVVYSGLENIRKL TAGKLAAGHFDGKGEVEEYFRDIGVPMTSVRLPCYFENLLSYFLPQKAADGKSFLLDLPM GDVPMDGMSVSDLGPVVLSLLKKPEEYVGQNIGLSTCRHTAEEYAALLSKHTGKAVHHAK DSGGSQSSWHHQGCRGDRHGGFSVSRQLLRITRNLVSRGLKTWPTCSVSTP >ENSMUSP00000078132.7 pep:known chromosome:GRCm38:16:4711317:4719356:-1 gene:ENSMUSG00000063445.14 transcript:ENSMUST00000079130.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmral1 description:NmrA-like family domain containing 1 [Source:MGI Symbol;Acc:MGI:1915074] MADRKLVVVFGATGAQGGSVARALLEDGTFRIRVVTRNPEQRAAKELKQQGAEVVRGDQD DAASMELALAGAHATFIVTNYWETCSQDREVQQPHQWDQVFKQGKLLADLAKRLGLHYVV YSGLENIRKLTAGKLAAGHFDGKGEVEEYFRDIGVPMTSVRLPCYFENLLSYFLPQKAAD GKSFLLDLPMGDVPMDGMSVSDLGPVVLSLLKKPEEYVGQNIGLSTCRHTAEEYAALLSK HTGKAVHHAKTTPEDYEKLGFQGAQDLANMFRFYTLKPDRNIHLTLRLNPKAQTLDQWLE QHKGDFAQL >ENSMUSP00000074500.7 pep:known chromosome:GRCm38:16:4712295:4719112:-1 gene:ENSMUSG00000063445.14 transcript:ENSMUST00000074970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmral1 description:NmrA-like family domain containing 1 [Source:MGI Symbol;Acc:MGI:1915074] MADRKLVVVFGATGAQGGSVARALLEDGTFRIRVVTRNPEQRAAKELKQQGAEVVRGDQD DAASMELALAGAHATFIVTNYWETCSQDREVQQGKLLADLAKRLGLHYVVYSGLENIRKL TAGKLAAGHFDGKGEVEEYFRDIGVPMTSVRLPCYFENLLSYFLPQKAADGKSFLLDLPM GDVPMDGMSVSDLGPVVLSLLKKPEEYVGQNIGLSTCRHTAEEYAALLSKHTGKAVHHAK PVH >ENSMUSP00000146749.1 pep:known chromosome:GRCm38:8:70329817:70331587:1 gene:ENSMUSG00000109523.1 transcript:ENSMUST00000207684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf1 description:growth differentiation factor 1 [Source:MGI Symbol;Acc:MGI:95683] MLPVCHRFCDHLLLLLLLPSTTLAPAPASMGPAAALLQVLGLPEAPRSVPTHRPVPPVMW RLFRRRDPQEARVGRPLRPCHVEELGVAGNIVRHIPDSGLSSRPAQPARTSGLCPEWTVV FDLSNVEPTERPTRARLELRLEAESEDTGGWELSVALWADAEHPGPELLRVPAPPGVLLR ADLLGTAVAANASVPCTVRLALSLHPGATAACGRLAEASLLLVTLDPRLCPLPRLRRHTE PRVEVGPVGTCRTRRLHVSFREVGWHRWVIAPRGFLANFCQGTCALPETLRGPGGPPALN HAVLRALMHAAAPTPGAGSPCCVPERLSPISVLFFDNSDNVVLRHYEDMVVDECGCR >ENSMUSP00000135900.1 pep:known chromosome:GRCm38:1:156323509:156419310:-1 gene:ENSMUSG00000026601.13 transcript:ENSMUST00000177824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axdnd1 description:axonemal dynein light chain domain containing 1 [Source:MGI Symbol;Acc:MGI:1924602] LNYAANAGPCPESLLPVKKPKAPKCALPRLVDHVWQHPIRRDKFKYLIDHPVSLTGAGRD ISFLYDVKYVKGEAVEKKLCPPNKSTQSQSSAMVPHKEKTLADTLVPEEFHIVSNTGVSG LECYDDKYTTLLTDSENRLLLFPSMKPNKRVEVVQLSNVMDTMLERAGIENENYVGPTKM HQLLNVLKREQSIYNTVFHELIRQVSVDCADRGELLSKIREKYVQMLDHIAQQMIEFYKD LVTQRMMDQRILQELYNFKNVIEELTRELCLVQAHDRKLTKEAEKVQKNLAEALLEAEKN AKIVEDYHDLYTMQRGRMESDIKLLMTERDIWSSATYELALKVIERNRVILAKKLYLSEK GWNKYAKHFIIMLSTKDTGDLGMVEKLTEKWKKLVNKFKQDVERTEESTKEKSEIVKAGI IKWQEFFNNNLGKDVIIPSKRSPFAVALNDFKEYEKMLEEEKEKFTGDFLLSRYDALKVI KRLQENWTDIGFGILSRHKSMDGLLPPEHEYMEDIVKIISKLYREYEIRINGDNGISKVL PNLVISLDMCVFKLENFLDYSQVPTEEWLEIDEKINEMKFHLEALLNIIDSVPEGMDMEP GAALLEHICEMIQQWLLKLGNEITNGQIELLRHMDEMHIAMIQWMVNLLTIMLPDFTDQD ILPKREESSLETPEEHEIGIAELEINAIALVKRLARYSSYLHSCCIGMVRAMALSRAAHL QKNPAKDRQDLERMNGPSPSTNEKTIRYIKKDENIHCKPLFEEGIFFSWREPPSQGVMEP KYLEIMNVIEHMQRKLTEMDTRARKAEERFDEVNEQLHHSLIRNRNLERELEELVKTTMM GSQVKTENAKENQEDRGGKDDDEHQQTQTPPTSAKKGHCI >ENSMUSP00000137354.1 pep:known chromosome:GRCm38:1:156323509:156421159:-1 gene:ENSMUSG00000026601.13 transcript:ENSMUST00000178036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axdnd1 description:axonemal dynein light chain domain containing 1 [Source:MGI Symbol;Acc:MGI:1924602] MSLHKVSDTSLTSPSTSESKQAKLYVVKEAKGLPELKEKKSMVNHLKPLPPLQNDYRIPE EVLLSLNYAANAGPCPESLLPVKKPKAPKCALPRLVDHVWQHPIRRDKFKYLIDHPVSLT GAGRDISFLYDVKYVKGEAVEKKLCPPNKSTQSQSSAMVPHKEKTLADTLVPEEFHIVSN TGVSGLECYDDKYTTLLTDSENRLLLFPSMKPNKRVEVVQLSNVMDTMLERAGIENENYV GPTKMHQLLNVLKREQSIYNTVFHELIRQVSVDCADRGELLSKIREKYVQMLDHIAQQMI EFYKDLVTQRMMDQRILQELYNFKNVIEELTRELCLVQAHDRKLTKEAEKVQKNLAEALL EAEKNAKIVEDYHDLYTMQRGRMESDIKLLMTERDIWSSATYELALKVIERNRVILAKKL YLSEKGWNKYAKHFIIMLSTKDTGDLGMVEKLTEKWKKLVNKFKQDVERTEESTKEKSEI VKAGIIKWQEFFNNNLGKDVIIPSKRSPFAVALNDFKEYEKMLEEEKEKFTGDFLLSRYD ALKVIKRLQENWTDIGFGILSRHKSMDGLLPPEHEYMEDIVKIISKLYREYEIRINGDNG ISKVLPNLVISLDMCVFKLENFLDYSQVPTEEWLEIDEKINEMKFHLEALLNIIDSVPEG MDMEPGAALLEHICEMIQQWLLKLGNEITNGQIELLRHMDEMHIAMIQWMVNLLTIMLPD FTDQDILPKREESSLETPEEHEIGIAELEINAIALVKRLARYSSYLHSCCIGMVRAMALS RAAHLQKNPAKDRQDLERMNRECYDWISTCSHLISDIKGRKIRLLSYEEAEKLLGEEGPS PSTNEKTIRYIKKDENIHCKPLFEEGIFFSWREPPSQGVMEPKYLEIMNVIEHMQRKLTE MDTRARKAEERFDEVNEQLHHSLIRNRNLERELEELVKTTMMGSQVKTENAKENQEDRGG KDDDEHQQTQTPPTSAKKGHCI >ENSMUSP00000136744.1 pep:known chromosome:GRCm38:1:156365519:156398542:-1 gene:ENSMUSG00000026601.13 transcript:ENSMUST00000180173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axdnd1 description:axonemal dynein light chain domain containing 1 [Source:MGI Symbol;Acc:MGI:1924602] XTTLLTDSENRLLLFPSMKPNKRVEVVQLSNVMDTMLERAGIENENYVGPTKMHQLLNVL KREQSIYNTVFHELIRQVSVDCADRGELLSKIRYLLIFCVRAAREEPGFPPITSPPVLCL SHREKYVQMLDHIAQQMIEFYKDLVTQRMMDQRILQELYNFKNVIEELTRELCLVQAHDR KLTKEAEKVQKNLAEALLEAEKNAKIVEDYHDLYTMQRGRMESDIKLLMTERDIWSSATY ELALKDTGDLGMVEKLTEKWKKLVNKFKQDVERTEESTKEKSEIVKAGIIKWQEFFNNNL GKDVIIPSKRSPFAVALNDFKEYEKMLEEEKEKFTGDFLLSRYDALKVIKRLQENWTDIG FGILSRHKSMDGLLPPEHEYMEDIVKIISKLYREYEIRINGDNGISKVLPNLVISLDMCV FKLENFLDYSQVPTEEWLEIDEKINEMKFHLEALLNIIDSVPEGMDMEPGA >ENSMUSP00000136339.1 pep:known chromosome:GRCm38:1:156419361:156420886:-1 gene:ENSMUSG00000026601.13 transcript:ENSMUST00000179985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axdnd1 description:axonemal dynein light chain domain containing 1 [Source:MGI Symbol;Acc:MGI:1924602] MSLHKVSDTSLTSPSTSESKQAKLYVVKEAKGLPELKEKKSMVNHLKP >ENSMUSP00000137094.1 pep:known chromosome:GRCm38:1:156419361:156420922:-1 gene:ENSMUSG00000026601.13 transcript:ENSMUST00000179744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axdnd1 description:axonemal dynein light chain domain containing 1 [Source:MGI Symbol;Acc:MGI:1924602] MSLHKVSDTSLTSPSTSESKQAKLYVVKEAKGLPELKEKKSMVNHLKP >ENSMUSP00000120598.1 pep:known chromosome:GRCm38:8:70315787:70331587:1 gene:ENSMUSG00000087408.10 transcript:ENSMUST00000140239.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers1 description:ceramide synthase 1 [Source:MGI Symbol;Acc:MGI:2136690] MAAAAATPRLEAPEPMPSYAQMLQRSWASALAAAQGCGDCGWGLARRGLAEHAHLAAPEL LLAVLCALGWTALRWAATTHIFRPLAKRCRLQPRDAARLPESAWKLLFYLACWSYCAYLL LGTSYPFFHDPPSVFYDWRSGMAVPWDIAVAYLLQGSFYCHSIYATVYMDSWRKDSVVML VHHVVTLLLIASSYAFRYHNVGLLVFFLHDVSDVQLEFTKLNIYFKARGGAYHRLHGLVA NLGCLSFCFCWFWFRLYWFPLKVLYATCHCSLQSVPDIPYYFFFNILLLLLMVMNIYWFL YIVAFAAKVLTGQMRELEDLREYDTLEAQTAKPCKAEKPLRNGLVKDKLF >ENSMUSP00000128325.2 pep:known chromosome:GRCm38:8:70315775:70331592:1 gene:ENSMUSG00000087408.10 transcript:ENSMUST00000165819.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers1 description:ceramide synthase 1 [Source:MGI Symbol;Acc:MGI:2136690] MLPVCHRFCDHLLLLLLLPSTTLAPAPASMGPAAALLQVLGLPEAPRSVPTHRPVPPVMW RLFRRRDPQEARVGRPLRPCHVEELGVAGNIVRHIPDSGLSSRPAQPARTSGLCPEWTVV FDLSNVEPTERPTRARLELRLEAESEDTGGWELSVALWADAEHPGPELLRVPAPPGVLLR ADLLGTAVAANASVPCTVRLALSLHPGATAACGRLAEASLLLVTLDPRLCPLPRLRRHTE PRVEVGPVGTCRTRRLHVSFREVGWHRWVIAPRGFLANFCQGTCALPETLRGPGGPPALN HAVLRALMHAAAPTPGAGSPCCVPERLSPISVLFFDNSDNVVLRHYEDMVVDECGCR >ENSMUSP00000082895.6 pep:known chromosome:GRCm38:12:8973892:9028847:1 gene:ENSMUSG00000066643.12 transcript:ENSMUST00000085745.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr35 description:WD repeat domain 35 [Source:MGI Symbol;Acc:MGI:1921932] MFFYLSKKIAVPNNVKLKCISWNKDQGFIACGGEDGLLKVLRLETQTDDSKLRGLAAPSN LSMNQNLEGHSGAVQVVTWNEQYQKLTTSDQNGLIIVWMLYKGSWYEEMINNRNKSVVRS MSWNADGQKICIVYEDGAVIVGSVDGNRIWGKDLKGIQLCHVTWSADSKILLFGMANGEI HIYDNQGNFIMKMKLNCLVNVTGAISIAGIHWYHGTEGYVEPDCPCLAICFDNGRCQIMR HENDQNPVLIDTGMYVVGIQWNHIGSVLAVAGSQKVVTQDKDINIVQFYTPFGEHLGTLK VPGKQMCSLSWEGGGLKIALAVDSFIYFANIRPDYKWGYCSNTVVYAYTRPDRPEYCVVF WDTKNSEKYVKYVKSLISITTCGDFCILATKADENHPQEENEMETFGATFVLVLCNSIGT PLDPKYIDLVPLFVAMTKTHVIAASKEAFYTWQYRVAKKLTALEINQITRSRKEGRERIY HVDDVPSGSVDGVFDYSKAIQGTRDPICAITASDKTLIVGRESGVIQRYSFPNVALIQKY SLDCRACQLSLNCNSSRLAIIDIAGVLTFFDLDTRVTDSTGQQVVGELLKLERKDVWDMK WAKDNPDLFAMMEKTRMYVFRNLDPEEPIQTSGYICNFEDLEIKSVLLDEILKDPEHPSK DYIMNFEIRSLRDSRALIEKVGIEDASQFIEDNPHPRLWRLLAEAALQKLDLYTAQQAFV RCKDYQGIKFVKLLGNLQSESMKQAEVIAYFGRFEDAERMYQDMDRRDLAIGLRMKLGDW FRVLQLLKTGSGDADDSLLEQANNAIGEYFADRQKWQNAVQYYVKGRNQERLAECYYMLE DYEGLETLANSLPENHKLLPEIAQMFVRVGMCEQAVSAFLKCNQPKAAVDTCVHLNQWNK AVELAKSHSMKEIGSLLARYASHLLEKNKTLDAIELYRKASYFFDAAKLMYKIADEEAKK RTKPLRVKKLYVLSALLIEQYHEQMKNAQRGKVKGKNSEATSALAGLLEEEVLSTTSRFT DNAWRGAEAYHFFILAQRQLYEGYVDTALKTALHLRDYEDIIPSVEIYSLLALCACASRA FGTCSKAFIKLESLETLSAEQKQQYEDLALEIFTKHTPKDNRKSELNSLLEGGEGKLPTC IATGSPIIEYQFWVCKVCKHYVLAQEISNYNFCPLCHSSVE >ENSMUSP00000106742.2 pep:known chromosome:GRCm38:12:8974047:9028846:1 gene:ENSMUSG00000066643.12 transcript:ENSMUST00000111113.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr35 description:WD repeat domain 35 [Source:MGI Symbol;Acc:MGI:1921932] MFFYLSKKIAVPNNVKLKCISWNKDQGFIACGGEDGLLKVLRLETQTDDSKLRGLAAPSN LSMNQNLEGHSGAVQVVTWNEQYQKLTTSDQNGLIIVWMLYKGSWYEEMINNRNKSVVRS MSWNADGQKICIVYEDGAVIVGSVDGNRIWGKDLKGIQLCHVTWSADSKILLFGMANGEI HIYDNQGNFIMKMKLNCLVNVTGAISIAGIHWYHGTEGYVEPDCPCLAICFDNGRCQIMR HENDQNPVLIDTGMYVVGIQWNHIGSVLAVAGSQKVVTQDKDINIVQFYTPFGEHLGTLK VPGKQMCSLSWEGGGLKIALAVDSFIYFANIRPDYKWGYCSNTVVYAYTRPDRPEYCVVF WDTKNSEKYVKYVKSLISITTCGDFCILATKADENHPQFVLVLCNSIGTPLDPKYIDLVP LFVAMTKTHVIAASKEAFYTWQYRVAKKLTALEINQITRSRKEGRERIYHVDDVPSGSVD GVFDYSKAIQGTRDPICAITASDKTLIVGRESGVIQRYSFPNVALIQKYSLDCRACQLSL NCNSSRLAIIDIAGVLTFFDLDTRVTDSTGQQVVGELLKLERKDVWDMKWAKDNPDLFAM MEKTRMYVFRNLDPEEPIQTSGYICNFEDLEIKSVLLDEILKDPEHPSKDYIMNFEIRSL RDSRALIEKVGIEDASQFIEDNPHPRLWRLLAEAALQKLDLYTAQQAFVRCKDYQGIKFV KLLGNLQSESMKQAEVIAYFGRFEDAERMYQDMDRRDLAIGLRMKLGDWFRVLQLLKTGS GDADDSLLEQANNAIGEYFADRQKWQNAVQYYVKGRNQERLAECYYMLEDYEGLETLANS LPENHKLLPEIAQMFVRVGMCEQAVSAFLKCNQPKAAVDTCVHLNQWNKAVELAKSHSMK EIGSLLARYASHLLEKNKTLDAIELYRKASYFFDAAKLMYKIADEEAKKRTKPLRVKKLY VLSALLIEQYHEQMKNAQRGKVKGKNSEATSALAGLLEEEVLSTTSRFTDNAWRGAEAYH FFILAQRQLYEGYVDTALKTALHLRDYEDIIPSVEIYSLLALCACASRAFGTCSKAFIKL ESLETLSAEQKQQYEDLALEIFTKHTPKDNRKSELNSLLEGGEGKLPTCIATGSPIIEYQ FWVCKVCKHYVLAQEISNYNFCPLCHSSVE >ENSMUSP00000124285.1 pep:known chromosome:GRCm38:12:8974011:8991677:1 gene:ENSMUSG00000066643.12 transcript:ENSMUST00000160329.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr35 description:WD repeat domain 35 [Source:MGI Symbol;Acc:MGI:1921932] MFFYLSKKIAVPNNVKLKCISWNKDQGFIACGGEDGLLKVLRLETQTDDSKLRGLAAPSN LSMNQNLEGHSGAVQVVTWNEQYQKLTTSDQNGLIIVWMLYKGSWYEEMINNRNKSVVRS MSWNADGQKICIVYEDGAVIVGSVDDENEAELLGERHWSDQYRWDSLVPWHRRLRGARLP LPGNLL >ENSMUSP00000138087.1 pep:known chromosome:GRCm38:13:67515781:67526177:-1 gene:ENSMUSG00000097333.7 transcript:ENSMUST00000181767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp87 description:zinc finger protein 87 [Source:MGI Symbol;Acc:MGI:107768] MEEMLSFRDVAIDFSAEEWECLEPAQWNLYRDVMLENYSHLVFLGLASCKPYLVTFLEQR QEPSVVKRPAAATVHPGGKPYKCQEFDKTFDWNSLLLQRQRVHPVEKPYKCEECGKVFSA HSALSIHQRIHTGEKPYKCEECGKAFSTRSALYIHKKIHSGDKPYKCEECAKAFYFPSLL KQHQRTHSAENLCKCEECGKAFYLPSFLKQHQRIHSAENPYRCEECGKAFSYPSTLKQHQ RIHSGEKPYRCEECGKAFRRSTYFHQHQRIHTGEKPYQCEECGKTFYYRSNLKGHQIIHS GVKPYKCEVCGSMFRTSSDLSKHQRIHAEVKLYSCEECGKAFSTHSYLIRHKLGHSREKP YKCEECGKTFCYPSILKEHQRIHSGVKPYNCEICGSMFRTRSELSKHQRIHAEVKLYNCE ECGKAFSTHSYLMRHRLGHSGEKPYKCEECGKSYSYPSLLKEHQRIHSQNPYKCDVCGKV FCTRSGRSKHQRIHTGEKPYKCEDCGKGFSTHSYLTLHKLLHTGEKPFKWEECGEVFYTC >ENSMUSP00000137812.1 pep:known chromosome:GRCm38:13:67517576:67526121:-1 gene:ENSMUSG00000097333.7 transcript:ENSMUST00000180536.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp87 description:zinc finger protein 87 [Source:MGI Symbol;Acc:MGI:107768] MEEMLSFRDVAIDFSAEEWECLEPAQWNLYRDVMLENYSHLVFLGEVHVHK >ENSMUSP00000137689.1 pep:known chromosome:GRCm38:13:67517981:67526146:-1 gene:ENSMUSG00000097333.7 transcript:ENSMUST00000181341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp87 description:zinc finger protein 87 [Source:MGI Symbol;Acc:MGI:107768] MEEMLSFRDVAIDFSAEEWECLEPAQWNLYRDVMLENYSHLVFLGLASCKPYLVTFLEQR QEPSVVKRPAAATVHPEMYEL >ENSMUSP00000138011.1 pep:known chromosome:GRCm38:13:67521039:67526177:-1 gene:ENSMUSG00000097333.7 transcript:ENSMUST00000181573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp87 description:zinc finger protein 87 [Source:MGI Symbol;Acc:MGI:107768] MEEMLSFRDVAIDFSAEEWECLEPAQWNLYRDVMLENYSHLVFLGEVHVHK >ENSMUSP00000063412.7 pep:known chromosome:GRCm38:5:35583040:35613801:1 gene:ENSMUSG00000029098.16 transcript:ENSMUST00000068947.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox3 description:acyl-Coenzyme A oxidase 3, pristanoyl [Source:MGI Symbol;Acc:MGI:1933156] MGSLPEEKDSALWSDTPKGPLSAYRARASFNSGELLLFWDGQDVIHFKKTIFSTLENDPL FARSYGADLPLEKLRELNFLRCKRVFEYGFFKVEELLKNPLKILVLINCLGMYDWSLANK CVLHMLVFGTTVFVSGSEKHFKYLEKIYSLEIFGCFALTELSHGSNTKAMRTTAHYDPDT QEFILHSPDFEAAKFWVGNLGKTATHAVVFAQLYMPDGQCHGLHSFLVQIRDTKTLLPMT GVMVGDIGKKLGQNGLDNGFAMFNKVRIPRQNLLDRTGNITSEGTYNSPFKDVRQRLGAS LGSLSSGRISIISMSVVNLKLAVSIAIRFSATRCQFGPTDKEEIPVLEYPLQQWRILPYL AAAYALDHFSKTIFMDLIEVQSARLRGDHSDQQAELGREIHALASAGKPLASWTAQRGIQ ECREACGGHGYLAMNRFGDLRNDNDPNCTYEGDNNVLLQQTSNYLLSLLEPPLQDGAHFT SPLKTVDFLEAYPGILGQKFLGSSKADWMDSAAPLAAYRWLVCYLLQESHRRYCQEKKSR GSDFEARNNSQVYGCRPLALAFMELTVMQRFHEHIHSSGLSPSLRTVLGRLSTLYGLWCL SQHMALLYRGGYISGEQTGRAMEDAILTLCEQLKDDAVALVDVIAPSDFVLNSPIAKADG ELYKNLWAAVLQQNGVLERAAWWPEFSANKSVADRLKSQL >ENSMUSP00000109875.1 pep:known chromosome:GRCm38:5:35583051:35612607:1 gene:ENSMUSG00000029098.16 transcript:ENSMUST00000114237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox3 description:acyl-Coenzyme A oxidase 3, pristanoyl [Source:MGI Symbol;Acc:MGI:1933156] MGSLPEEKDSALWSDTPKGPLSAYRARASFNSGELLLFWDGQDVIHFKKTIFSTLENDPL FARSYGADLPLEKLRELNFLRCKRVFEYGFFKVEELLKNPLKILVLINCLGMYDWSLANK CVLHMLVFGTTVFVSGSEKHFKYLEKIYSLEIFGCFALTELSHGSNTKAMRTTAHYDPDT QEFILHSPDFEAAKFWVGNLGKTATHAVVFAQLYMPDGQCHGLHSFLVQIRDTKTLLPMT GVMVGDIGKKLGQNGLDNGFAMFNKVRIPRQNLLDRTGNITSEGTYNSPFKDVRQRLGAS LGSLSSGRISIISMSVVNLKLAVSIAIRFSATRCQFGPTDKEEIPVLEYPLQQWRILPYL AAAYALDHFSKTIFMDLIEVQSARLRGDHSDQQAELGREIHALASAGKPLASWTAQRGIQ ECREACGGHGYLAMNRFGDLRNDNDPNCTYEGDNNVLLQQTSNYLLSLLEPPLQDGAHFT SPLKTVDFLEAYPGILGQKFLGSSKADWMDSAAPLAAYRWLVCYLLQESHRRYCQEKKSR GSDFEARNNSQVYGCRPLALAFMELTVMQRFHEHIHSSGLSPSLRTVLGRLSTLYGLWCL SQHMALLYRGGYISGEQTGRAMEDAILTLCEQLKDDAVALVDVIAPSDFVLNSPIAKADG ELYKNLWAAVLQQNGVLERAAWWPEFSANKSVADRLKSQL >ENSMUSP00000119216.1 pep:known chromosome:GRCm38:5:35583078:35588724:1 gene:ENSMUSG00000029098.16 transcript:ENSMUST00000156125.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox3 description:acyl-Coenzyme A oxidase 3, pristanoyl [Source:MGI Symbol;Acc:MGI:1933156] MGSLPEEKDSALWSDTPKGPLSAYRARASFNSGELLLFWDGQDVIHFKKTIFSTLENDPL FARSYGADLPLEKLRELNFLRCK >ENSMUSP00000144499.1 pep:known chromosome:GRCm38:5:35583079:35615352:1 gene:ENSMUSG00000029098.16 transcript:ENSMUST00000202266.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox3 description:acyl-Coenzyme A oxidase 3, pristanoyl [Source:MGI Symbol;Acc:MGI:1933156] MGSLPEEKDSALWSDTPKGPLSAYRARASFNSGELLLFWDGQDVIHFKKTIFSTLENDPL FARSYGADLPLEKLRELNFLRCKRVFEYGFFKVEELLKNPLKILVLINCLGMYDWSLANK CVLHMLVFGTTVFVSGSEKHFKYLEKIYSLEIFGCFALTELSHGSNTKAMRTTAHYDPDT QEFILHSPDFEAAKFWVGNLGKTATHAVVFAQLYMPDGQCHGLHSFLVQIRDTKTLLPMT GVMVGDIGKKLGQNGLDNGFAMFNKVRIPRQNLLDRTGNITSEGTYNSPFKDVRQRLGAS LGSLSSGRISIISMSVVNLKLAVSIAIRFSATRCQFGPTDKEEIPVLEYPLQQWRILPYL AAAYALDHFSKTIFMDLIEVQSARLRGDHSDQQAELGREIHALASAGKPLASWTAQRGIQ ECREACGGHGYLAMNRFGDLRNDNDPNCTYEGDNNVLLQQTSNYLLSLLEPPLQDGAHFT SPLKTVDFLEAYPGILGQKFLGSSKADWMDSAAPLAAYRWLVCYLLQESHRRYCQEKKSR GSDFEARNNSQVYGCRPLALAFMELTVMQRFHEHIHSSGLSPSLRTVLGRLSTLYGLWCL SQHMALLYRGGYISGEQTGRAMEDAILTLCEQLKDDAVALVDVIAPSDFVLNSPIAKADG EVLSTQEKLEKRK >ENSMUSP00000067178.5 pep:known chromosome:GRCm38:5:35583102:35611876:1 gene:ENSMUSG00000029098.16 transcript:ENSMUST00000068563.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox3 description:acyl-Coenzyme A oxidase 3, pristanoyl [Source:MGI Symbol;Acc:MGI:1933156] MGSLPEEKDSALWSDTPKGPLSAYRARASFNSGELLLFWDGQDVIHFKKTIFSTLENDPL FARSYGADLPLEKLRELNFLRCKRVFEYGFFKVEELLKNPLKILVLINCLGMYDWSLANK CVLHMLVFGTTVFVSGSEKHFKYLEKIYSLEIFGCFALTELSHGSNTKAMRTTAHYDPDT QEFILHSPDFEAAKFWVGNLGKTATHAVVFAQLYMPDGQCHGLHSFLVQIRDTKTLLPMT GVMVGDIGKKLGQNGLDNGFAMFNKVRIPRQNLLDRTGNITSEGTYNSPFKDVRQRLGAS LGSLSSGRISIISMSVVNLKLAVSIAIRFSATRCQFGPTDKEEIPVLEYPLQQWRILPYL AAAYALDHFSKTIFMDLIEVQSARLRGDHSDQQAELGREIHALASAGKPLASWTAQRGIQ ECREACGGHGYLAMNRFGDLRNDNDPNCTYEGDNNVLLQQTSNYLLSLLEPPLQDGAHFT SPLKTVDFLEAYPGILGQKFLGSSKADWMDSAAPLAAYRWLVCYLLQESHRRYCQEKKSR GSDFEARNNSQVYGCRPLALAFMELTVMQRFHEHIHSSGLSPSLRTVLGRLSTLYGLWCL SQHMALLYRGGYISGEQTGRAMEDAILTLCEQLKDDAVALVDVIAPSDFVLNSPIAKADG EVDFSGICRQDLLGRTTTCRACCLQKELSTCSLVKNC >ENSMUSP00000109876.2 pep:known chromosome:GRCm38:5:35583523:35611869:1 gene:ENSMUSG00000029098.16 transcript:ENSMUST00000114238.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox3 description:acyl-Coenzyme A oxidase 3, pristanoyl [Source:MGI Symbol;Acc:MGI:1933156] MGSLPEEKDSALWSDTPKGPLSAYRARASFNSGELLLFWDGQDVIHFKKTIFSTLENDPL FARSYGADLPLEKLRELNFLRCKRVFEYGFFKVEELLKNPLKILVLINCLGMYDWSLANK CVLHMLVFGTTVFVSGSEKHFKYLEKIYSLEIFGCFALTELSHGSNTKAMRTTAHYDPDT QEFILHSPDFEAAKFWVGNLGKTATHAVVFAQLYMPDGQCHGLHSFLVQIRDTKTLLPMT GVMVGDIGKKLGQNGLDNGFAMFNKVRIPRQNLLDRTGNITSEGTYNSPFKDVRQRLGAS LGSLSSGRISIISMSVVNLKLAVSIAIRFSATRCQFGPTDKEEIPVLEYPLQQWRILPYL AAAYALDHFSKTIFMDLIEVQSARLRGDHSDQQAELGREIHALASAGKPLASWTAQRGIQ ECREACGGHGYLAMNRFGDLRNDNDPNCTYEGDNNVLLQQTSNYLLSLLEPPLQDGAHFT SPLKTVDFLEAYPGILGQKFLGSSKADWMDSAAPLAAYRWLVCYLLQESHRRYCQEKKSR GSDFEARNNSQVYGCRPLALAFMELTVMQRFHEHIHSSGLSPSLRTVLGRLSTLYGLWCL SQHMALLYRGGYISGEQTGRAMEDAILTLCEQLKDDAVALVDVIAPSDFVLNSPIAKADG EVSDLARYDLDLPPAWHPFRLPLRN >ENSMUSP00000144658.1 pep:known chromosome:GRCm38:5:35601624:35608334:1 gene:ENSMUSG00000029098.16 transcript:ENSMUST00000201106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox3 description:acyl-Coenzyme A oxidase 3, pristanoyl [Source:MGI Symbol;Acc:MGI:1933156] XVNRFGDLRNDNDPNCTYEGDNNVLLQQTSNYLLSLLEPPLQDGAHFTSPLKTVDFLEAY PGILGQKFLGSSKADWMDSAAPLAAYRWLVCYLLQESHRRYCQEKKSRGSDFEARNNSQV YGCRPLALAFMELTVMQRFHEHIH >ENSMUSP00000050689.9 pep:known chromosome:GRCm38:15:98737621:98763211:-1 gene:ENSMUSG00000051853.9 transcript:ENSMUST00000053183.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf3 description:ADP-ribosylation factor 3 [Source:MGI Symbol;Acc:MGI:99432] MGNIFGNLLKSLIGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN ISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELMRMLAEDELRDAV LLVFANKQDLPNAMNAAEITDKLGLHSLRHRNWYIQATCATSGDGLYEGLDWLANQLKNK K >ENSMUSP00000114739.1 pep:known chromosome:GRCm38:15:98742593:98762992:-1 gene:ENSMUSG00000051853.9 transcript:ENSMUST00000156572.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf3 description:ADP-ribosylation factor 3 [Source:MGI Symbol;Acc:MGI:99432] MGNIFGNLLKSLIGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTI >ENSMUSP00000038833.5 pep:known chromosome:GRCm38:4:16122733:16163647:-1 gene:ENSMUSG00000041135.15 transcript:ENSMUST00000037035.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripk2 description:receptor (TNFRSF)-interacting serine-threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1891456] MNGDAICSALPPIPYHKLADLHYLSRGASGTVSSARHADWRVRVAVKHLHIHTPLLDSER NDILREAEILHKARFSYILPILGICNEPEFLGIVTEYMPNGSLNELLHRKTEYPDIAWPL RFRILHEIALGVNYLHNMNPPLLHHDLKTQNILLDNEFHVKIADFGLSKWRMMSLSQSRS YKSAPEGGTIIYMPPENYEPGQKSRASVKHDIYSYAVIMWEVLSRKQPFEEVTNPLQIMY SVSQGHRPDTSEENLPFDIPHRGLMISLIQSGWAQNPDERPSFLKCLIELEPVLRTFEDI TFLEAVIQLKKAKIQSSSSTIHLCDKKMDLSLNIPANHPPQEESCGSSLLSRNTGSPGPS RSLSAPQDKGFLSGAPQDCSSLKAHHCPGNHSWDGIVSVPPGAAFCDRRASSCSLAVISP FLVEKGSERPPIGIAQQWIQSKREAIVSQMTEACLNQSLDALLSRDLIMKEDYELISTKP TRTSKVRQLLDTSDIQGEEFAKVVVQKLKDNKQLGLQPYPEVPVLSKAPPSNFPQNKSL >ENSMUSP00000139381.1 pep:known chromosome:GRCm38:4:16122741:16163643:-1 gene:ENSMUSG00000041135.15 transcript:ENSMUST00000183871.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ripk2 description:receptor (TNFRSF)-interacting serine-threonine kinase 2 [Source:MGI Symbol;Acc:MGI:1891456] MNGDAICSALPPIPYHKLADLHYLSRGASGTVSSARHADWRVRVAVKHLHIHTPLLDSER NDILREAEILHKARFSYILPILGICNEPEFLGIVTEYMPNGSLNELLHRKTEYPDIAWPL RFRILHEIALGVNYLHNMNPPLLHHDLKTQNILLDNEFHVKIADFGLSKWRMMSLSQSRS YKSAPEGGTIIYMPPENYEPGQKSRASVKHDIYSYAVIMWEVLSRKQPFEEVTNPLQIMY SVSQGHRPDTSEENLPFDIPHRGLMISLIQSGWAQNPDERPSFLKCLIELEPVLRTFEDI TFLEAVIQLKKAKQN >ENSMUSP00000033737.8 pep:known chromosome:GRCm38:X:73437315:73459044:-1 gene:ENSMUSG00000031371.14 transcript:ENSMUST00000033737.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus7 description:HAUS augmin-like complex, subunit 7 [Source:MGI Symbol;Acc:MGI:1920988] MAEEGAGAGSDDSYYKDVGDDCVVKAAVEVFEKLKGVNCPFLDGLYITEPKTIMELLCRP SKYRLDILEWMCIRVCPSLQDKFISLKGNALDLKIQEMLKLGHELMFCAPDDQDLLMGRE CPQKQLQFMDKILDMMQSLATGCSSSSSLKEHLEDTTEKNEALLGELFSSPNLRAILKPE SDPWPLDMQSSLNKQSDDLPKADPSAQSEGEKVADLARQLQESATKLQTLRDQCFAQHKA GTDTSTIDQKLRLVISDFYQLILAFLQVYDDELRECCQRPVPSLHPSGPIIQAVYQTLAS CGQLLRAVMEIADTSAEAMKAARKQEGEPNCWSSNNSGISLAARIDEVTQKYKILTDRFH RGTR >ENSMUSP00000076479.4 pep:known chromosome:GRCm38:X:73437315:73459044:-1 gene:ENSMUSG00000031371.14 transcript:ENSMUST00000077243.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus7 description:HAUS augmin-like complex, subunit 7 [Source:MGI Symbol;Acc:MGI:1920988] MAEEGAGAGSDDSYYKDVGDDCVVKAAVEVFEKLKGVNCPFLDGLYITEPKTIMELLCRP SKYRLDILEWMCIRVCPSLQDKFISLKGNALDLKIQEMLKLGHELMFCAPDDQDLLMCFA QHKAGTDTSTIDQKLRLVISDFYQLILAFLQVYDDELRECCQRPVPSLHPSGPIIQAVYQ TLASCGQLLRAVMEIADTSAEAMKAARKQEGEPNCWSSNNSGISLAARIDEVTQKYKILT DRFHRGTR >ENSMUSP00000087054.3 pep:known chromosome:GRCm38:16:36210403:36217788:1 gene:ENSMUSG00000094733.1 transcript:ENSMUST00000089628.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5416 description:predicted gene 5416 [Source:MGI Symbol;Acc:MGI:3644688] MILGGVSEARPATPEIQEIANKVRPQLEARTSEKYEKFEAVEYKSQFVAGRNYFIKMDVG CGCFLHIKVYRAHSGKDNFELHGYQTNKTKTDELTYF >ENSMUSP00000064637.5 pep:known chromosome:GRCm38:18:24009702:24020810:-1 gene:ENSMUSG00000051469.14 transcript:ENSMUST00000066497.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp24 description:zinc finger protein 24 [Source:MGI Symbol;Acc:MGI:1929704] MSAQSVEEDSILIIPNPDEEEKILRVKLEEDPDGEEGSSISWNHLPDPEVFRQRFRQFGY QDSPGPREAVSQLRELCRLWLRPETHTKEQILELVVLEQFVAILPKELQTWVREHHPENG EEAVAVLEDLESELDDPGQPVSLRRQKREVLVEEITSQEDAQGLPSSELDAVENQLKWAS WELHSLRHCDDDATTENGALAPKQEMASAGESHEGPGTLNIGVPQLFKYGETCFPKGRFE RKRNPSRKKQHICDECGKHFSQGSALILHQRIHSGEKPYGCVECGKAFSRSSILVQHQRV HTGEKPYKCLECGKAFSQNSGLINHQRIHTGEKPYECVQCGKSYSQSSNLFRHQRRHNAE KLLNVVKV >ENSMUSP00000122579.1 pep:known chromosome:GRCm38:18:24013925:24020320:-1 gene:ENSMUSG00000051469.14 transcript:ENSMUST00000153337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp24 description:zinc finger protein 24 [Source:MGI Symbol;Acc:MGI:1929704] MSAQSVEEDSILIIPNPDEEEKILRVKLEEDPDGEEGSSISWNHLPDPEVFRQRFRQFGY QDSPGPREAVSQLRELCRLWLRPETHTKEQILELVVLEQFVAILPKELQTWVREHHPENG EEAVAVLEDLESELDDPGQPVSLRRQKREVLVEEITSQEDAQGLPSSELDAVENQLKWAS WELHSLRHCDDDATTENGALAPKQEMASAGESHEGPGTLNIGVPQLFKYGETCFPKGRFE RKRNPSRKKQHICDECGKHFSQGSALILHQRIHSGEKPYGCVECGKAFSRSSILVQHQRV HTGEKPYKCLECGKAFSQNSGLINHQRIHTGEKPYECVQCGKSYSQSSNLFRHQRRHNAE KLLNVVKV >ENSMUSP00000114282.1 pep:known chromosome:GRCm38:18:24017971:24020370:-1 gene:ENSMUSG00000051469.14 transcript:ENSMUST00000148525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp24 description:zinc finger protein 24 [Source:MGI Symbol;Acc:MGI:1929704] MSAQSVEEDSILIIPNPDEEEKILRVKLEEDPDGEEGSS >ENSMUSP00000056345.7 pep:known chromosome:GRCm38:11:50025346:50104758:1 gene:ENSMUSG00000020376.16 transcript:ENSMUST00000054684.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf130 description:ring finger protein 130 [Source:MGI Symbol;Acc:MGI:1891717] MSGAARAGPARLAALALLTCSLWPTRADNASQEYYTALINVTVQEPGRGTPLTFRIDRGR YGLDSPKAEVRGQVLAPLPIHGVADHLGCDPQTRFFVPPNIKQWIALLQRGNCTFKEKIS RAAFHNAVAVVIYNNKSKEEPVTMTHPGTGDIIAVMITELRGKDILSYLEKNISVQMTIA VGTRMPPKNFSRGSLVFVSISFIVLMIISSAWLIFYFIQKIRYTNARDRNQRRLGDAAKK AISKLTTRTVKKGDKETDPDFDHCAVCIESYKQNDVVRVLPCKHVFHKSCVDPWLSEHCT CPMCKLNILKALGIVPNLPCTDNVAFDMERLTRTQAVNRRAALGDLAGDSSLGLEPLRTS GISPLPQDGELTPRTGEINIAVTR >ENSMUSP00000099837.4 pep:known chromosome:GRCm38:11:50025348:50104753:1 gene:ENSMUSG00000020376.16 transcript:ENSMUST00000102776.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf130 description:ring finger protein 130 [Source:MGI Symbol;Acc:MGI:1891717] MSGAARAGPARLAALALLTCSLWPTRADNASQEYYTALINVTVQEPGRGTPLTFRIDRGR YGLDSPKAEVRGQVLAPLPIHGVADHLGCDPQTRFFVPPNIKQWIALLQRGNCTFKEKIS RAAFHNAVAVVIYNNKSKEEPVTMTHPGTGDIIAVMITELRGKDILSYLEKNISVQMTIA VGTRMPPKNFSRGSLVFVSISFIVLMIISSAWLIFYFIQKIRYTNARDRNQRRLGDAAKK AISKLTTRTVKKGDKETDPDFDHCAVCIESYKQNDVVRVLPCKHVFHKSCVDPWLSEHCT CPMCKLNILKALGIVPNLPCTDNVAFDMERLTRTQAVNRRAALGDLAGDSSLGLEPLRTS GISPLPQDGELTPRTGEINIAVTKEWFIIASFGLLSALTLCYMIIRATASLNANEVEWF >ENSMUSP00000017751.2 pep:known chromosome:GRCm38:11:99065678:99089306:-1 gene:ENSMUSG00000017607.9 transcript:ENSMUST00000017751.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns4 description:tensin 4 [Source:MGI Symbol;Acc:MGI:2144377] MSSSLLAGGHMVSLTPCEESRMALHPTPSPGLPALCPYYTTESWGTQPLMAPTLRKGSSD RLQQAQQAEARAHCLLQGPGEQASGASQDLESCIDFSLEALNQMILEIDPTFQLLPSGTA GPQAESTNSIMSRNKKEEPEALDIKYIEVTSTRSRYLDGPQRCSSPCATPPFGSPRSGSL FLSRDIPRETRSSSNESLIFSGNQGRGPSPLTPSSLSNAIPCRESRTSGSPLATPPGWEK GLRAPQRGSRVSILSASPVSDVSYVFGSNQSLPHSSLSSYPSSSRSLGSPASSSSSLHSL DRGSQCGRPSDAQAPSNPILGMGQPQAVQSTPVAKEQASSCPASVTNSMADIPIVLINGS PEPQSPPAQRTPGHQDSVQSRVTSPSHLCQAIKSPSKTLPDVPLPASPDGPAKDMQPTMK FVMDTSKYWFKPSITREQAINLLRTEKPGAFVIRDSSSYRGSFGLALKVQETSASAPNRP GEDSSDLIRHFLIESSAKGVHLKGADEEPYFGSLSSFVCQHSIMALALPCKLTIPQKELG GAEPASDSPTHGQTSCLKISAGCHTLYLSSVSVETLSGALAVQKAISVTLERDVLPTPTV VHFKVTEQGITLTDVQRKVFFRRHYPLSALRFCGMDPEQRKWQKYCKPSRIFGFVAKSQT EPQENVCHLFAEYDAVQPASQVISLVTALLQDTERM >ENSMUSP00000050057.6 pep:known chromosome:GRCm38:11:46571536:46589232:1 gene:ENSMUSG00000046974.6 transcript:ENSMUST00000050937.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC053393 description:cDNA sequence BC053393 [Source:MGI Symbol;Acc:MGI:3039605] MVLPQVLLSTFLLLLPAASGAFQEVHGTGGDPVTLPCSYPESRILSFVCWGRGECASDTC GQTLVWTDGHRVNYRTSNRYQINSQLLQGNASLTIEYAYESDSGLYCCRVEMKGWDGVQT LTTSLQIQPGSSSARTKGLAIGLSIFFLLLVLVGTLVITNYILMKKRPESPSLVALCVSK IRTLLNKEVASP >ENSMUSP00000022640.7 pep:known chromosome:GRCm38:14:68497336:68533689:-1 gene:ENSMUSG00000022056.8 transcript:ENSMUST00000022640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam7 description:a disintegrin and metallopeptidase domain 7 [Source:MGI Symbol;Acc:MGI:107247] MLTTGIFWMTVLISHIQERGIVGVEGQELVHPKKLPLLHKRDLERIHDSDIPEEYEEELL YEIKLGKKTLILHLLKAREFLSSNYSETYYNVKREVFTKHPQILDHCFYQGSIIHEFDSA ASISTCNGLRGFFRVNDQRYLIEPVKYSDDGEHLVYKYNVKAPYATNHSCVGLNFTKKSA LIDVENIEEHNAEDHHKEKFIELFVVADEYVYRRNNKPQNKLRKRIWGMVNFVNMIYKTL NIHVTLAGFEIWSAGDKIEIVSNLESTLLHFSTWQETVLKKRKDFDHVILLSGKWLYTSM QGIAYPGGICQILRSCSVVKDLLPDVNIIGNRMAHQLGHSLGMQHDGFPCTCPLGKCVMG DGSIPAIKFSKCSQTQYQQFLQDQKPACILNNPFPEEFNDYPFCGNKKVDEGEECDCGPV QECTNPCCDAHKCVLKPGFTCVEGECCESCQMKKEGAVCRLAKNECDISEVCTGYSPECP KDEFQANGFPCRNGEGYCFMGLCPTRNEQCSELFIGGAEESHSLCYRMNKKGNRFGYCKN KGNTFVPCEEKDLKCGKIYCSGGRPSSRLGEDKAYNLKNVKQNVTIKCRTMFLHHNSRDM GLVNSGTKCGDGMVCSNGECIEMEKAYNSTICSSPCDENDVDDNEPECQCEEGSIITEWG EALNLTSVSIMVIVLVMVIIGVGLVILLIRYQKCIKMKQVQSSPREIRGVENKGYFPEEH QTRSEPILTDIHPLHTTAESLERVPSSFSSPHYITLKSVSKDSRGIADPKQTDNVNLNLD TQSGCERLG >ENSMUSP00000124133.1 pep:known chromosome:GRCm38:6:38694390:38876165:-1 gene:ENSMUSG00000061436.15 transcript:ENSMUST00000161779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk2 description:homeodomain interacting protein kinase 2 [Source:MGI Symbol;Acc:MGI:1314872] MAPVYEGMASHVQVFSPHTLQSSAFCSVKKLKVEPSSNWDMTGYGSHSKVYSQSKNIPPS QPASTTVSTSLPIPNPSLPYEQTIIFPGSTGHIVVTSASSTSVTGQVLGGPHNLMRRSTV SLLDTYQKCGLKRKSEEIENTSSVQIIEEHPPMIQNNASGATVATATTSTATSKNSGSNS EGDYQLVQHEVLCSMTNTYEVLEFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQG QIEVSILARLSTESADDYNFVRAYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKY IRPVLQQVATALMKLKSLGLIHADLKPENIMLVDPSRQPYRVKVIDFGSASHVSKAVCST YLQSRYYRAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLP AEYLLSAGTKTTRFFNRDTDSPYPLWRLKTPDDHEAETGIKSKEARKYIFNCLDDMAQVN MTTDLEGSDMLVEKADRREFIDLLKKMLTIDADKRVTPIETLNHPFVTMTHLLDFPHSAH VKSCFQNMEICKRRVNMYDTVNQSKTPFITHVAPSTSTNLTMTFNNQLTTVHNQAPTTSS ATLSLANPEVSILNYQSALYQPSAASMAAVAPRSMPLQTGTAQICARPDPFQQALIVCPP GFQGLQASPSKHAGYSVRMENAVPIVTQAPGAQPLQIQPGLLAQQAWPGGAQQILLPPAW QQLTGVATHTSVQHAAVIPETMAGTQQLADWRNTHAHGSHYNPIMQQPALLTGHVTLPAA QPLNVGVAHVMRQQPTSTTSSRKSKQHQSSVRNVSTCEVTSSQAISSPQRSKRVKENTPP RCAMVHSSPACSTSVTCGWGDVASSTTRERQRQTIVIPDTPSPTVSVITISSDTDEEEEQ KHAPTSTVSKQRKNVISCVTVHDSPYSDSSSNTSPYSVQQRTGHNGTNTLDTKGGLENHC TGNPRTIIVPPLKTQASEVLVECDSLGPAISASHHSSSFKSKSSSTVTSTSGHSSGSSSG AIAYRQQRPGPHFQQQQPLNLSQAQQHMAADRTGSHRRQQAYITPTMAQAPYTFPHNSPS HGTVHPHLAAAAHLPTQPHLYTYTAPTALGSTGTVAHLVASQGSARHTVQHTAYPASIVH QVPVSMGPRVLPSPTIHPSQYPAQFAHQTYISASPASTVYTGYPLSPAKVNQYPYI >ENSMUSP00000125572.1 pep:known chromosome:GRCm38:6:38697880:38837224:-1 gene:ENSMUSG00000061436.15 transcript:ENSMUST00000160962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk2 description:homeodomain interacting protein kinase 2 [Source:MGI Symbol;Acc:MGI:1314872] MASHVQVFSPHTLQSSAFCSVKKLKVEPSSNWDMTGYGSHSKVYSQSKNIPPSQPASTTV STSLPIPNPSLPYEQTIIFPGSTGHIVVTSASSTSVTGQVLGGPHNLMRRSTVSLLDTYQ KCGLKRKSEEIENTSSVQIIEEHPPMIQNNASGATVATATTSTATSKNSGSNSEGDYQLV QHEVLCSMTNTYEVLEFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQGQIEVSIL ARLSTESADDYNFVRAYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKYIRPVLQQ VATALMKLKSLGLIHADLKPENIMLVDPSRQPYRVKVIDFGSASHVSKAVCSTYLQSRYY RAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLPAEYLLSA GTKTTRFFNRDTDSPYPLWRLKTPDDHEAETGIKSKEARKYIFNCLDDMAQVNMTTDLEG SDMLVEKADRREFIDLLKKMLTIDADKRVTPIETLNHPFVTMTHLLDFPHSAHVKSCFQN MEICKRRVNMYDTVNQSKTPFITHVAPSTSTNLTMTFNNQLTTVHNQPSAASMAAVAPRS MPLQTGTAQICARPDPFQQALIVCPPGFQGLQASPSKHAGYSVRMENAVPIVTQAPGAQP LQIQPGLLAQAWPGGAQQILLPPAWQQLTGVATHTSVQHAAVIPETMAGTQQLADWRNTH AHGSHYNPIMQQPALLTGHVTLPAAQPLNVGVAHVMRQQPTSTTSSRKSKQHQSSVRNVS TCEVTSSQAISSPQRSKRVKENTPPRCAMVHSSPACSTSVTCGWGDVASSTTRERQRQTI VIPDTPSPTVSVITISSDTDEEEEQKHAPTSTVSKQRKNVISCVTVHDSPYSDSSSNTSP YSVQQRTGHNGTNTLDTKGGLENHCTGNPRTIIVPPLKTQASEVLVECDSLGPAISASHH SSSFKSKSSSTVTSTSGHSSGSSSGAIAYRQQRPGPHFQQQQPLNLSQAQQHMAADRTGS HRRQQAYITPTMAQAPYTFPHNSPSHGTVHPHLAAAAHLPTQPHLYTYTAPTALGSTGTV AHLVASQGSARHTVQHTAYPASIVHQVPVSMGPRVLPSPTIHPSQYPAQFAHQTYISASP ASTVYTGYPLSPAKVNQYPYI >ENSMUSP00000125150.1 pep:known chromosome:GRCm38:6:38697884:38875923:-1 gene:ENSMUSG00000061436.15 transcript:ENSMUST00000162359.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk2 description:homeodomain interacting protein kinase 2 [Source:MGI Symbol;Acc:MGI:1314872] MAPVYEGMASHVQVFSPHTLQSSAFCSVKKLKVEPSSNWDMTGYGSHSKVYSQSKNIPPS QPASTTVSTSLPIPNPSLPYEQTIIFPGSTGHIVVTSASSTSVTGQVLGGPHNLMRRSTV SLLDTYQKCGLKRKSEEIENTSSVQIIEEHPPMIQNNASGATVATATTSTATSKNSGSNS EGDYQLVQHEVLCSMTNTYEVLEFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQG QIEVSILARLSTESADDYNFVRAYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKY IRPVLQQVATALMKLKSLGLIHADLKPENIMLVDPSRQPYRVKVIDFGSASHVSKAVCST YLQSRYYRAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLP AEYLLSAGTKTTRFFNRDTDSPYPLWRLKTPDDHEAETGIKSKEARKYIFNCLDDMAQVN MTTDLEGSDMLVEKADRREFIDLLKKMLTIDADKRVTPIETLNHPFVTMTHLLDFPHSAH VKSCFQNMEICKRRVNMYDTVNQSKTPFITHVAPSTSTNLTMTFNNQLTTVHNQPSAASM AAVAPRSMPLQTGTAQICARPDPFQQALIVCPPGFQGLQASPSKHAGYSVRMENAVPIVT QAPGAQPLQIQPGLLAQQAWPGGAQQILLPPAWQQLTGVATHTSVQHAAVIPETMAGTQQ LADWRNTHAHGSHYNPIMQQPALLTGHVTLPAAQPLNVGVAHVMRQQPTSTTSSRKSKQH QSSVRNVSTCEVTSSQAISSPQRSKRVKENTPPRCAMVHSSPACSTSVTCGWGDVASSTT RERQRQTIVIPDTPSPTVSVITISSDTDEEEEQKHAPTSTVSKQRKNVISCVTVHDSPYS DSSSNTSPYSVQQRTGHNGTNTLDTKGGLENHCTGNPRTIIVPPLKTQASEVLVECDSLG PAISASHHSSSFKSKSSSTVTSTSGHSSGSSSGAIAYRQQRPGPHFQQQQPLNLSQAQQH MAADRTGSHRRQQAYITPTMAQAPYTFPHNSPSHGTVHPHLAAAAHLPTQPHLYTYTAPT ALGSTGTVAHLVASQGSARHTVQHTAYPASIVHQVPVSMGPRVLPSPTIHPSQYPAQFAH QTYISASPASTVYTGYPLSPAKVNQYPYI >ENSMUSP00000125500.1 pep:known chromosome:GRCm38:6:38697889:38875971:-1 gene:ENSMUSG00000061436.15 transcript:ENSMUST00000160360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk2 description:homeodomain interacting protein kinase 2 [Source:MGI Symbol;Acc:MGI:1314872] MAPVYEGMASHVQVFSPHTLQSSAFCSVKKLKVEPSSNWDMTGYGSHSKVYSQSKNIPPS QPASTTVSTSLPIPNPSLPYEQTIIFPGSTGHIVVTSASSTSVTGQVLGGPHNLMRRSTV SLLDTYQKCGLKRKSEEIENTSSVQIIEEHPPMIQNNASGATVATATTSTATSKNSGSNS EGDYQLVQHEVLCSMTNTYEVLEFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQG QIEVSILARLSTESADDYNFVRAYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKY IRPVLQQVATALMKLKSLGLIHADLKPENIMLVDPSRQPYRVKVIDFGSASHVSKAVCST YLQSRYYRAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLP AEYLLSAGTKTTRFFNRDTDSPYPLWRLKTPDDHEAETGIKSKEARKYIFNCLDDMAQVN MTTDLEGSDMLVEKADRREFIDLLKKMLTIDADKRVTPIETLNHPFVTMTHLLDFPHSAH VKSCFQNMEICKRRVNMYDTVNQSKTPFITHVAPSTSTNLTMTFNNQLTTVHNQPSAASM AAVAPRSMPLQTGTAQICARPDPFQQALIVCPPGFQGLQASPSKHAGYSVRMENAVPIVT QAPGAQPLQIQPGLLAQAWPGGAQQILLPPAWQQLTGVATHTSVQHAAVIPETMAGTQQL ADWRNTHAHGSHYNPIMQQPALLTGHVTLPAAQPLNVGVAHVMRQQPTSTTSSRKSKQHQ SSVRNVSTCEVTSSQAISSPQRSKRVKENTPPRCAMVHSSPACSTSVTCGWGDVASSTTR ERQRQTIVIPDTPSPTVSVITISSDTDEEEEQKHAPTSTVSKQRKNVISCVTVHDSPYSD SSSNTSPYSVQQRTGHNGTNTLDTKGGLENHCTGNPRTIIVPPLKTQASEVLVECDSLGP AISASHHSSSFKSKSSSTVTSTSGHSSGSSSGAIAYRQQRPGPHFQQQQPLNLSQAQQHM AADRTGSHRRQQAYITPTMAQAPYTFPHNSPSHGTVHPHLAAAAHLPTQPHLYTYTAPTA LGSTGTVAHLVASQGSARHTVQHTAYPASIVHQVPVSMGPRVLPSPTIHPSQYPAQFAHQ TYISASPASTVYTGYPLSPAKVNQYPYI >ENSMUSP00000101528.3 pep:known chromosome:GRCm38:4:133253090:133263087:-1 gene:ENSMUSG00000028860.13 transcript:ENSMUST00000105908.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl1 description:synaptotagmin-like 1 [Source:MGI Symbol;Acc:MGI:1933365] MPQRGHPSQERLWALPSLPMAHGPGSEAEGLLDLSFLTEEEQEAISDVLKRDAHLRQLEE GRVSKLRASLEDPWQLKILTGDWFQEARSQRHHHAHFGSDLVRASIRRKKSPKGDQALGS DGEAEAAGENTIEGEPESRVSIEVAAPERNTETQASEGQEEEPQDQECELEAPGEGGVQV AEADPELDPEQKAEQESQPTPAQSKATSKILENGEEAPGLGPSLDRMLSSSSSVSSLNSS TLSGSLMSLSGEAEAGTVQVRGSVLFSLRYEPGTSELRVQVIQCQGLAAARRRRSDPYVK SYLLPDKQSKRKTSVKKRNLNPIFNETLRVPPSPDELPSRGLLSLSLKYVPAGSEGGGQP QSGELHFWVKEAQSLVPLRPGSLDTYIQCSVLPDDSRASRQRTRVVRRSLSPVFNHTMVY DGFGPADLRQACAELSLWDHGALASRQLGGTRLSLGTGSSYGLQVPWMDSTPEEKQLWQT LLERPCEWVDGLLPLRTNLVPRA >ENSMUSP00000030674.7 pep:known chromosome:GRCm38:4:133253090:133263113:-1 gene:ENSMUSG00000028860.13 transcript:ENSMUST00000030674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl1 description:synaptotagmin-like 1 [Source:MGI Symbol;Acc:MGI:1933365] MPQRGHPSQERLWALPSLPMAHGPGSEAEGLLDLSFLTEEEQEAISDVLKRDAHLRQLEE GRVSKLRASLEDPWQLKILTGDWFQEARSQRHHHAHFGSDLVRASIRRKKSPKGDQALGS DGEAEAAGENTIEGEPESRVSIEVAAPERNTETQGPDLFSPYVPSKASEGQEEEPQDQEC ELEAPGEGGVQVAEADPELDPEQKAEQESQPTPAQSKATSKILENGEEAPGLGPSLDRML SSSSSVSSLNSSTLSGSLMSLSGEAEAGTVQVRGSVLFSLRYEPGTSELRVQVIQCQGLA AARRRRSDPYVKSYLLPDKQSKRKTSVKKRNLNPIFNETLRHSVQQADLPGRVLSLSVWH RESLGRNIFLGEVEVPLDTWNWDSEATWLPLQPRVPPSPDELPSRGLLSLSLKYVPAGSE GGGQPQSGELHFWVKEAQSLVPLRPGSLDTYIQCSVLPDDSRASRQRTRVVRRSLSPVFN HTMVYDGFGPADLRQACAELSLWDHGALASRQLGGTRLSLGTGSSYGLQVPWMDSTPEEK QLWQTLLERPCEWVDGLLPLRTNLVPRA >ENSMUSP00000078873.3 pep:known chromosome:GRCm38:16:58823781:58826782:-1 gene:ENSMUSG00000060663.4 transcript:ENSMUST00000079955.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr175-ps1 description:olfactory receptor 175, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030009] MTEDNYSLTTEFILIGFSDHPDLKILLFLVLSTIYLVTMVGNLGLVALIYMEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVNRRISLYECMAQFYFLCLAETADCFLLAAMAY DRYVAICNPLQYHTMMSKKLCLQMTTGAYIAGNLHSMIHIGFLFRLIFCRSHVIKHFFCD VLPLYRLSCVDPYINELMILIFSGSVQTFSIIIVLISYFCILFTIFTMKSREGRSKALST CASHFLSVSIFYGSLLYTYIRPSSINEGNEDIPVAIFYTLVIPLLNPFIYSLRNKEVINA IKRTMNKG >ENSMUSP00000012314.7 pep:known chromosome:GRCm38:13:67617001:67637791:-1 gene:ENSMUSG00000021510.10 transcript:ENSMUST00000012314.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp729a description:zinc finger protein 729a [Source:MGI Symbol;Acc:MGI:3036250] MEFGAGTSAFFQEMLSFRDVAIDFSAEEWECLEPAQWNLYRDVMLENYSNLVFLGLASCK PHLITCLEQIQEPSDVKRGAATSMLRGEKCYTCKECGKVFEWTKVFQNHEMLYIGMNPNK CEECARSFHSPSLSSEENRIHTGEKPYKCEVCGKAFCIPLLLSKHKRVHTGENLYKCEVC GKAFQHPSRLSRHKKIHSEEKPYKCEVCGKAFHFPSLLLVHKRVHTGEKPYKCEICDKAF HYPSILSKHKRIHTGEKPYKCEECGKAFHISSFLSKHKIIHRGEKPYKCEVCGKAFHYPS RLSNHKKIHSGEKPFKCEVCGKAFRILSLLSKHKIIHTEENPYKCEVCGKAFDYPSRLST HAKMHTGEKPYKCEVCQKAFRSLSSLSKHKRIHTEDNYYNNELCGKAFIYPSRLSKHKRI CAGEKPYKCEVCGKAFHVSSLLSKHRTIHTGEKLYKCEVCGKAFYYPSRLSNHKKIHTGE KPFQCEVCGKAFCFPSSLSKHKRIHTGEKPYKCKECGKAFRSLSSLSKHKRIHTGEKPYK CEECGKAFHYPSLLSKHKIIHTEEKPYKCDVCGQAFHVPSKLSHHKIIHTGEKPYKCEVC GKAFCIPLLLSKHKRVHTGENPYNSQVCSKAFVYPSKLSKHKKVRTKEKPYKCEVCGKAF RFPSLLLIHKGMHTGEKPYKCEDCGKAFHYPSLLSKHKRIHTGEKPYQCEVCGKDFHVSS SLSKHRIIHTGEKPYKCEICGKTFRFSSSLSKHKRNHTGKKPYKCEECGKAFHFPSILAK HKISHTGEKPYNCDLCGKAFHYASLLSKHKMIHTGEKPHKCDICGKAFHYPSKLSNHKKI HTGEKPYKCEVCGNVFCFASSLSKHKRIHTGENPYKCEVCGKAFYYPSLLSKHKIIHTGE KPYKCDLCGKAFHYPSLLSKHKVIHTGKKPYKCEVCGKAFHYPSRLSKHKKIHGAGDMAQ QLRDLAAISIVLS >ENSMUSP00000071348.6 pep:known chromosome:GRCm38:7:17074040:17133450:1 gene:ENSMUSG00000066760.12 transcript:ENSMUST00000071399.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg16 description:pregnancy specific glycoprotein 16 [Source:MGI Symbol;Acc:MGI:1347249] MGQMYSGREIVSSNGSLWIHNVTRKDTGLYTLRTVNRRGEIVSTSFTFLYVYTSLFICGR PSFPAKLTIESVPPSVAEGGSVLLRVHNLQDKLRGLSWYKGAHVSRNLEIARQIIAKNSS VPGPAHSGRETVYSNGSLLLQNVTRNDTGFYTLQTLSRHRKMELAHVQLQVDTSLSSCCD TLDSTQLIIDPMPRYAAEGESILLRVLNLPEDFQVFCWYKGALIFQIFKIAEYSRARNSI TKGPAQSRTERVYTNGSLLLQDVTEKDTGLYTLQTIDRNFKIEKAHVQIQVNKPVTQPFM RVTDSTVRVQSSVVFTCFSDNTGVSIHWLFNNQSLQLTERITLSPSKCQLRINPVRKEDG GEYQYEDHQRNPRLIGMQETTDTMGFPSPTDLSVP >ENSMUSP00000113025.2 pep:known chromosome:GRCm38:7:17074041:17098971:1 gene:ENSMUSG00000066760.12 transcript:ENSMUST00000118367.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg16 description:pregnancy specific glycoprotein 16 [Source:MGI Symbol;Acc:MGI:1347249] MGQMYSGREIVSSNGSLWIHNVTRKDTGLYTLRTVNRRGEIVSTSFTFLYVYTSLFICGR PSFPAKLTIESVPPSVAEGGSVLLRVHNLQDKLRGLSWYKGAHVSRNLEIARQIIAKNSS VPGPAHSGRETVYSNGSLLLQNVTRNDTGFYTLQTLSRHRKMELAHVQLQVDTSLSSCCD TLDSTQLIIDPMPRYAAEGESILLRVLNLPEDFQVFCWYKGALIFQIFKIAEYSRARNSI TKGPAQSRTERVYTNGSLLLQDVTEKDTGLYTLQTIDRNFKIEKAHVQIQVNKPVTQPFM RVTDSTVRVQSSVVFTCFSDNTGVSIHWLFNNQSLQLTERITLSPSKCQLRINPVRKEDG GEYQCEVFNLASSKSSLPVSLAVMNE >ENSMUSP00000118977.1 pep:known chromosome:GRCm38:7:17087934:17093907:1 gene:ENSMUSG00000066760.12 transcript:ENSMUST00000152671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg16 description:pregnancy specific glycoprotein 16 [Source:MGI Symbol;Acc:MGI:1347249] MTVRRQMEVFSVLPCKGCTPWQGLLLAASLLACWLLSTTAQVTIESVPFNVVEGENVLLR VDNLPENLITLAWYRGLRKIVVYTLNTKVSVMGQMYSGREIVSSNGSLWIHNVTRKDTGL YTLRTVNRRGEIVSTSFTFLYVYTSLFICGRPSFPAKLTIESVPPSVAEGGSVLLRVHNL QDKLRGLSWYKGAHVSRNLEIARQIIAKNSSVPGPAHSGRETVYSNGSLLLQNVTRNDTG FYTLQTLSRHRKMELAHVQLQV >ENSMUSP00000126669.1 pep:known chromosome:GRCm38:13:67345246:67375733:-1 gene:ENSMUSG00000098692.1 transcript:ENSMUST00000166080.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28041 description:predicted gene, 28041 [Source:MGI Symbol;Acc:MGI:5547777] MLSFWDVAIDFSPEEWDCLEPAQWDLYRNVMLENFSHLVFLGLAVSKPFLVTFLEQRQGP WDMKRQGMATVYPGCDYTMVAPPPIESHNYNAKKWRLQKTCKWMLQLQGWAHLT >ENSMUSP00000043580.2 pep:known chromosome:GRCm38:4:47469833:47474333:-1 gene:ENSMUSG00000039740.6 transcript:ENSMUST00000044148.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg2 description:asparagine-linked glycosylation 2 (alpha-1,3-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:1914731] MAENLYRARSRVYSPSVLFLHPDMGIGGAERLVLDAALALQEYGCDVKIWTAHYDPNHCF IETRELSVQCAGDWLPRSLGWGGRGAAICSYVRMVFLALYVLFLSGEEFDVVVCDQVSAC IPVFKLARRRKRVLFYCHFPDLLLTQRNSALKKFYRAPIDWIEEYTTGMADRILVNSQYT ASVFKETFKTLSHRNPDVLYPSLNIGSFDLAIPEKIDDLVPKGKQFLFLSINRYERKKNL PLALRSLVQLRNRLPSQEWDKVHLFMAGGYDDRIPENVEHYKELKKMVQESDLERHVTFL RSFSDRQKISLLHGCLCVLYTPSNEHFGIVPLEAMYMQCPVIAVNNGGPLESIVHKVTGF LCEPDPVHFSEAMEKFIHKPSLKATMGLAGKARVAEKFSADAFADQLYQYVTKLV >ENSMUSP00000121934.1 pep:known chromosome:GRCm38:4:47471894:47473977:-1 gene:ENSMUSG00000039740.6 transcript:ENSMUST00000143104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg2 description:asparagine-linked glycosylation 2 (alpha-1,3-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:1914731] XLRRGVRRGGVRPDLLLTQRNSALKKFYRAPIDWIEEYTTGMADRILVNSQYTASVFKET FKTLSHRNPDVLYPSLNIGSFDLAIPEKIDDLVPKGKQFLFLSINRYERKKNLPLALRSL VQLRNRLPSQEWDKVHLFMAGGYDDRIPENVEHYKELKKMVQESDLERHVTFLRSFS >ENSMUSP00000132285.1 pep:known chromosome:GRCm38:13:67490167:67500503:-1 gene:ENSMUSG00000071291.10 transcript:ENSMUST00000171518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp58 description:zinc finger protein 58 [Source:MGI Symbol;Acc:MGI:99205] MLSFWDVAIDFSPEERECLEPDQWDLYRDVMLENYSHLDFLGLALSKPFLVTFLEQRQGP WDVKRQAAAAVHPGKTVNKCKDFSKAFFCKSLLTQHQRIRTGEKAFKCEECGKAFNNRSN LSEHKRIHTGEKPYKCEECGKAFRIRSKLSTHQRVHTGEKPYKCEECGKAFNSHSNLSEH KRIHTGEKPYKCEECGKAFSTRSTYYRHQKNHTGKKPYKCEECAKEFSYPSLLKVHQRIH SAEKSYKCEECGKPFYCPLLLKKHQIIHSAEKPYKCAECGKAFHYPSLLKRHQRIHAGKK PCKCKDCDRAFYSSAFLKRHQRIHSEENSYKCGECGKRFCSFPHLQYHQRFHSGEKPYKC EQCGKTFSTLSYLPWHKLRHSGEKSYKCEKCGKMFYSTLDLKKHQKIHEYKCGECHYGFP NYAALTAHQRVHTGEKPHVCEQCGKDFSRIDSLNQHQLVHTGEKPYKCEKCGKCFYRSSS LKRHQGIHS >ENSMUSP00000075487.5 pep:known chromosome:GRCm38:13:67490168:67500555:-1 gene:ENSMUSG00000071291.10 transcript:ENSMUST00000076123.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp58 description:zinc finger protein 58 [Source:MGI Symbol;Acc:MGI:99205] MLSFWDVAIDFSPEERECLEPDQWDLYRDVMLENYSHLDFLGLALSKPFLVTFLEQRQGP WDVKRQAAAAVHPGKTVNKCKDFSKAFFCKSLLTQHQRIRTGEKAFKCEECGKAFNNRSN LSEHKRIHTGEKPYKCEECGKAFRIRSKLSTHQRVHTGEKPYKCEECGKAFNSHSNLSEH KRIHTGEKPYKCEECGKAFSTRSTYYRHQKNHTGKKPYKCEECAKEFSYPSLLKVHQRIH SAEKSYKCEECGKPFYCPLLLKKHQIIHSAEKPYKCAECGKAFHYPSLLKRHQRIHAGKK PCKCKDCDRAFYSSAFLKRHQRIHSEENSYKCGECGKRFCSFPHLQYHQRFHSGEKPYKC EQCGKTFSTLSYLPWHKLRHSGEKSYKCEKCGKMFYSTLDLKKHQKIHEYKCGECHYGFP NYAALTAHQRVHTGEKPHVCEQCGKDFSRIDSLNQHQLVHTGEKPYKCEKCGKCFYRSSS LKRHQGIHS >ENSMUSP00000089108.2 pep:known chromosome:GRCm38:13:67491647:67500487:-1 gene:ENSMUSG00000071291.10 transcript:ENSMUST00000091523.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp58 description:zinc finger protein 58 [Source:MGI Symbol;Acc:MGI:99205] MLSFWDVAIDFSPEERECLEPDQWDLYRDVMLENYSHLDFLGLALSKPFLVTFLEQRQGP WDVKRQAAAAVHPGKTVNKCKDFSKAFFCKSLLTQHQRIRTGEKAFKCEECGKAFNNRSN LSEHKRIHTGEKPYKCEECGKAFRIRSKLSTHQRVHTGEKPYKCEECGKAFNSHSNLSEH KRIHTGEKPYKCEECGKAFSTRSTYYRHQKNHTGKKPYKCEECAKEFSYPSLLKVHQRIH S >ENSMUSP00000129177.1 pep:known chromosome:GRCm38:13:67491862:67500444:-1 gene:ENSMUSG00000071291.10 transcript:ENSMUST00000163534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp58 description:zinc finger protein 58 [Source:MGI Symbol;Acc:MGI:99205] MLSFWDVAIDFSPEERECLEPDQWDLYRDVMLENYSHLDFLGLALSKPFLVTFLEQRQGP WDVKRQAAAAVHPGKTVNKCKDFSKAFFCKSLLTQHQRIRTGEKAFKCEECGKAFNNRSN LSEHKRIHTGEKPYKCEECGKAFRIRSKLSTHQRVHTGEKPYKCEECGKA >ENSMUSP00000124333.1 pep:known chromosome:GRCm38:1:65012689:65032747:-1 gene:ENSMUSG00000025955.13 transcript:ENSMUST00000162800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1cl description:aldo-keto reductase family 1, member C-like [Source:MGI Symbol;Acc:MGI:1918111] MVAKATKIAIDAGFRHIDSAYFYQNEEEVGLAIRSKVADGTVRREDIFYTSKLPCTCHRP ELVQPCLEQSLRKLQLDYVDLYLIHCPVSMKPGNDLIPTDENGKLLFDTVDLCDTWEAME KCKDSGLAKSIGVSNFNRRQLEMILNKPGLRYKPVCNQVECHPYLNQSKLLDYCKSKDIV LVAYGALGSQRCKNWIEENAPYLLEDPTLCAMAEKHKQTPALISLRYLLQRGIVIVTKSF NEKRIKENLKVFEFHLPAEDMAVIDRLNRNYRYATARIISAHPNYPFLDEY >ENSMUSP00000063309.5 pep:known chromosome:GRCm38:1:65012689:65032759:-1 gene:ENSMUSG00000025955.13 transcript:ENSMUST00000069142.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1cl description:aldo-keto reductase family 1, member C-like [Source:MGI Symbol;Acc:MGI:1918111] MNPKRYMELSDGHHIPVLGFGTFVPGEVSKSMVAKATKIAIDAGFRHIDSAYFYQNEEEV GLAIRSKVADGTVRREDIFYTSKLPCTCHRPELVQPCLEQSLRKLQLDYVDLYLIHCPVS MKPGNDLIPTDENGKLLFDTVDLCDTWEAMEKCKDSGLAKSIGVSNFNRRQLEMILNKPG LRYKPVCNQVECHPYLNQSKLLDYCKSKDIVLVAYGALGSQRCKNWIEENAPYLLEDPTL CAMAEKHKQTPALISLRYLLQRGIVIVTKSFNEKRIKENLKVFEFHLPAEDMAVIDRLNR NYRYATARIISAHPNYPFLDEY >ENSMUSP00000123943.1 pep:known chromosome:GRCm38:1:65016068:65032686:-1 gene:ENSMUSG00000025955.13 transcript:ENSMUST00000159566.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Akr1cl description:aldo-keto reductase family 1, member C-like [Source:MGI Symbol;Acc:MGI:1918111] MNPKRYMELSDGHHIPVLGFGTFVPGEVSKSMVAKATKIAIDAGFRHIDSAYFYQNEEEV GLAIRSKVADGTVRREDIFYTSKLPCTCHRPELVQPCLEQSLRKLQLDYVDLYLIHCPVS MKAMILFQQMKMGNYYLTQWISVTHGRPWRSVRIQG >ENSMUSP00000121384.1 pep:known chromosome:GRCm38:11:74898114:74908494:1 gene:ENSMUSG00000038335.13 transcript:ENSMUST00000128230.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsr1 description:TSR1 20S rRNA accumulation [Source:MGI Symbol;Acc:MGI:2144566] MAAHRSGPLKQQNKAHKGGRHHGGGSAQRDSKGRVGPKILCKKLKRQLSRIDQRHRASQL RKQKRESVLAEKRQLGSKDGPPHQGICILC >ENSMUSP00000039027.7 pep:known chromosome:GRCm38:11:74898078:74909342:1 gene:ENSMUSG00000038335.13 transcript:ENSMUST00000045807.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsr1 description:TSR1 20S rRNA accumulation [Source:MGI Symbol;Acc:MGI:2144566] MAAHRSGPLKQQNKAHKGGRHHGGGSAQRDSKGRVGPKILCKKLKRQLSRIDQRHRASQL RKQKRESVLAEKRQLGSKDGPPHQVLVVPLHSRISLPEAFKLLQNEDLGTVYLSERGSTQ SFMLLCPSLKHRWFFTYARPGDLHTLLDMAKVADTILFLLDPLEGWDSTGDYCLSCLFAQ GLPTYTLAVQGLSGFPPKKQIDARKKLSKMVEKRFPEDKLLLLDTQQESGMLLRQLANQK QRHLAFRDRRAYLFAHVADFVPSEESDLVGTLKISGYVRGRTLNVNSLLHIVGHGDFQMN QIDAPVDPFPLNPRVIKSQKKPNMAMEVCVTDAAPDMEEDLKVLMKADPDHQESLQTEAI PDPMEGEQTWPTEEELDEADDLLKQRSRVVKKVPKGTSSYQAEWILDEGDESDGEGGEYD DIQHEGFMEEESQDGSGEEEEEECETMTLGESVRDDLYDEKVDAEDEERMLEKYKQERLE EMFPDEMDTPRDVAARIRFQKYRGLKSFRTSPWDPKENLPRDYARIFQFQNFVNTRKRIF KEIEEKEAEGAEVGWYVTLHVSDVPVSVVEYFRQGAPLIAFSLLPYEQKMSVLNMVVSRN PGNTEPVKAKEELIFHCGFRRFRASPLFSQHTAADKHKFQRFLTADAAFVVTVFAPITFP PASVLLFKQRRNGMHSLIATGHLFSVDPDRMVIKRVVLSGHPFKIFTKMAVVRYMFFNRE DVMWFKPVELRTKWGRRGHIKEPLGTHGHMKCSFDGKLKSQDTVLMNLYKRVFPKWTYDP YVPEPVPWVKSDISSTVSEVDME >ENSMUSP00000119868.1 pep:known chromosome:GRCm38:11:74898071:74904940:1 gene:ENSMUSG00000038335.13 transcript:ENSMUST00000155702.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsr1 description:TSR1 20S rRNA accumulation [Source:MGI Symbol;Acc:MGI:2144566] MAAHRSGPLKQQNKAHKGGRHHGGGSAQRDSKGSGREEAAGQQGWSSTSGAGGASAQQDF PSRGI >ENSMUSP00000114183.1 pep:known chromosome:GRCm38:11:74903970:74908314:1 gene:ENSMUSG00000038335.13 transcript:ENSMUST00000153316.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsr1 description:TSR1 20S rRNA accumulation [Source:MGI Symbol;Acc:MGI:2144566] PDEMDTPRDVAARIRWAGMSHSMFLMSLSQWLSISGKERP >ENSMUSP00000003910.6 pep:known chromosome:GRCm38:8:84908560:84911469:1 gene:ENSMUSG00000003812.13 transcript:ENSMUST00000003910.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase2a description:deoxyribonuclease II alpha [Source:MGI Symbol;Acc:MGI:1329019] MATLRSLLLAALLWVPAEALSCYGDSGQPVDWFVVYKLPAHSGSRDTPKGLTYKYMDQNS DGWQDGVGYINSSEGAVGRSLQPLYRKNSSQLAFLLYNDQPPKSSSARDSTGHGHTKGVL LLDQEGGFWLVHSVPRFPPPASSGAYTWPPNAQTFGQTLLCVSLPFTQFARIGKQLTYTY PLVYDHKLEGFFAQKLPDLETVIKNQHVLHEPWNSSVILTSQAGATFQSFAKFGKFGDDL YSGWLAEALGTNLQVQFWQNSPGILPSNCSGAYQVLDVTQTGFPGPSRLTFSATEDHSKW CVAPQGPWACVGDMNRNKAETHRGGGTVCTQLPSFWKAFQSLVKDWKPCIEGS >ENSMUSP00000105366.1 pep:known chromosome:GRCm38:8:84908560:84911708:1 gene:ENSMUSG00000003812.13 transcript:ENSMUST00000109744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase2a description:deoxyribonuclease II alpha [Source:MGI Symbol;Acc:MGI:1329019] MGTPGSLWIGEFVVYKLPAHSGSRDTPKGLTYKYMDQNSDGWQDGVGYINSSEGAVGRSL QPLYRKNSSQLAFLLYNDQPPKSSSARDSTGHGHTKGVLLLDQEGGFWLVHSVPRFPPPA SSGAYTWPPNAQTFGQTLLCVSLPFTQFARIGKQLTYTYPLVYDHKLEGFFAQKLPDLET VIKNQHVLHEPWNSSVILTSQAGATFQSFAKFGKFGDDLYSGWLAEALGTNLQVQFWQNS PGILPSNCSGAYQVLDVTQTGFPGPSRLTFSATEDHSKWCVAPQGPWACVGDMNRNKAET HRGGGTVCTQLPSFWKAFQSLVKDWKPCIEGS >ENSMUSP00000138203.1 pep:known chromosome:GRCm38:8:84908690:84909925:1 gene:ENSMUSG00000003812.13 transcript:ENSMUST00000145292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase2a description:deoxyribonuclease II alpha [Source:MGI Symbol;Acc:MGI:1329019] MATLRSLLLAALLWVPAEALSCYGDSGQPVDWFVVYKLPAHSGSRDTPKGLTYKYMDQNS DGWQDGVGYINSSEGAVGRSLQPLYRKNSSQVSCSWTKKGASGWSTVCLASHPLRPLVHT PGLLMLKPSARPYSVCPSRSLSLQGLASS >ENSMUSP00000117198.1 pep:known chromosome:GRCm38:8:84908731:84909964:1 gene:ENSMUSG00000003812.13 transcript:ENSMUST00000134569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase2a description:deoxyribonuclease II alpha [Source:MGI Symbol;Acc:MGI:1329019] MATLRSLLLAALLWVPAEALSCYGDSGQPVDWFVVYKLPAHSGSRDTPKGLTYKYMDQNS DGWQDGVGYINSSEGAVGRSLQPLYRKNSSQLAFLLYNDQPPKSSSARDSTGHGHTKGKQ LTYTYPLVYDHKLEGFFAQKLPDLETVIKNQHVLHEPWNSSVILTSQAGATFQSFAKFGK FG >ENSMUSP00000138440.1 pep:known chromosome:GRCm38:10:88948994:89257790:-1 gene:ENSMUSG00000035189.16 transcript:ENSMUST00000182462.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ano4 description:anoctamin 4 [Source:MGI Symbol;Acc:MGI:2443344] MEASSSGITNGKNKVFHAVAKDVNILFDELEAVNSPCKDDDSLLHPGNLTSTSEDTSRLE AGGETVRERNKSNGLYFRDGKCRIDYILVYRKSNPQTEKREVFERNIRAEGLQMEKESSL INSDIIFVKLHAPWEVLGRYAEQMNVRMPFSSNCN >ENSMUSP00000138193.1 pep:known chromosome:GRCm38:10:88948995:89257590:-1 gene:ENSMUSG00000035189.16 transcript:ENSMUST00000182341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano4 description:anoctamin 4 [Source:MGI Symbol;Acc:MGI:2443344] MEASSSGITNGKNKVFHAEGGLDLQSHQLDMQILPDGPKSDVDFSEILNAIQEMAKDVNI LFDELEAVNSPCKDDDSLLHPGNLTSTSEDTSRLEAGGETVRERNKSNGLYFRDGKCRID YILVYRKSNPQTEKREVFERNIRAEGLQMEKESSLINSDIIFVKLHAPWEVLGRYAEQMN VRMPFRRKIYYLPRRYKFMSRIDKQISRFRRWLPKKPMRLDKETLPDLEENDCYTAPFSQ QRIHHFIIHNKDTFFNNATRSRIVHHILQRIKYEEGKNKIGLNRLLTNGSYEAAFPLHEG SYRSKNSIKTHGAVNHRHLLYECWASWGVWYKYQPLDLVRRYFGEKIGLYFAWLGWYTGM LFPAAFIGLFVFLYGVTTLDHCQVSKEVCQATDIIMCPVCDKYCPFMRLSDSCVYAKVTH LFDNGATVFFAVFMAVWATVFLEFWKRRRAVIAYDWDLIDWEEEEEEIRPQFEAKYSKKE RMNPISGKPEPYQAFTDKCSRLIVSASGIFFMICVVIAAVFGIVIYRVVTVSTFAAFKWA LIRNNSQVATTGTAVCINFCIIMLLNVLYEKVALLLTNLEQPRTESEWENSFTLKMFLFQ FVNLNSSTFYIAFFLGRFTGHPGAYLRLINRWRLEECHPSGCLIDLCMQMGIIMVLKQTW NNFMELGYPLIQNWWTRRKVRQEHGTERKINFPQWEKDYNLQPMNAYGLFDEYLEMILQF GFTTIFVAAFPLAPLLALLNNIIEIRLDAYKFVTQWRRPLASRAKDIGIWYGILEGIGIL SVITNAFVIAITSDFIPRLVYAYKYGPCAGQGEAGQKCMVGYVNASLSVFRISDFENRSE PESDGSEFSGTPLKYCRYRDYRDPPHSLAPYGYTLQFWHVLAARLAFIIVFEHLVFCIKH LISYLIPDLPKDLRDRMRREKYLIQEMMYEAELERLQKERKERKKNGKAHHNEWP >ENSMUSP00000138268.1 pep:known chromosome:GRCm38:10:88949001:89257622:-1 gene:ENSMUSG00000035189.16 transcript:ENSMUST00000182613.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano4 description:anoctamin 4 [Source:MGI Symbol;Acc:MGI:2443344] MEASSSGITNGKNKVFHAVAKDVNILFDELEAVNSPCKDDDSLLHPGNLTSTSEDTSRLE AGGETVRERNKSNGLYFRDGKCRIDYILVYRKSNPQTEKREVFERNIRAEGLQMEKESSL INSDIIFVKLHAPWEVLGRYAEQMNVRMPFRRKIYYLPRRYKFMSRIDKQISRFRRWLPK KPMRLDKETLPDLEENDCYTAPFSQQRIHHFIIHNKDTFFNNATRSRIVHHILQRIKYEE GKNKIGLNRLLTNGSYEAAFPLHEGSYRSKNSIKTHGAVNHRHLLYECWASWGVWYKYQP LDLVRRYFGEKIGLYFAWLGWYTGMLFPAAFIGLFVFLYGVTTLDHCQVSKEVCQATDII MCPVCDKYCPFMRLSDSCVYAKVTHLFDNGATVFFAVFMAVWATVFLEFWKRRRAVIAYD WDLIDWEEEEEEIRPQFEAKYSKKERMNPISGKPEPYQAFTDKCSRLIVSASGIFFMICV VIAAVFGIVIYRVVTVSTFAAFKWALIRNNSQVATTGTAVCINFCIIMLLNVLYEKVALL LTNLEQPRTESEWENSFTLKMFLFQFVNLNSSTFYIAFFLGRFTGHPGAYLRLINRWRLE ECHPSGCLIDLCMQMGIIMVLKQTWNNFMELGYPLIQNWWTRRKVRQEHGTERKINFPQW EKDYNLQPMNAYGLFDEYLEMILQFGFTTIFVAAFPLAPLLALLNNIIEIRLDAYKFVTQ WRRPLASRAKDIGIWYGILEGIGILSVITNAFVIAITSDFIPRLVYAYKYGPCAGQGEAG QKCMVGYVNASLSVFRISDFENRSEPESDGSEFSGTPLKYCRYRDYRDPPHSLAPYGYTL QFWHVLAARLAFIIVFEHLVFCIKHLISYLIPDLPKDLRDRMRREKYLIQEMMYEAELER LQKERKERKKNGKAHHNEWP >ENSMUSP00000138325.1 pep:known chromosome:GRCm38:10:88949002:89257300:-1 gene:ENSMUSG00000035189.16 transcript:ENSMUST00000182790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano4 description:anoctamin 4 [Source:MGI Symbol;Acc:MGI:2443344] MEASSSGITNGKNKVFHAVAKDVNILFDELEAVNSPCKDDDSLLHPGNLTSTSEDTSRLE AGGETVRERNKSNGLYFRDGKCRIDYILVYRKSNPQTEKREVFERNIRAEGLQMEKESSL INSDIIFVKLHAPWEVLGRYAEQMNVRMPFRRKIYYLPRRYKFMSRIDKQISRFRRWLPK KPMRLDKETLPDLEENDCYTAPFSQQRIHHFIIHNKDTFFNNATRSRIVHHILQRIKYEE GKNKIGLNRLLTNGSYEAAFPLHEGSYRSKNSIKTHGAVNHRHLLYECWASWGVWYKYQP LDLVRRYFGEKIGLYFAWLGWYTGMLFPAAFIGLFVFLYGVTTLDHCQVSKEVCQATDII MCPVCDKYCPFMRLSDSCVYAKVTHLFDNGATVFFAVFMAVWATVFLEFWKRRRAVIAYD WDLIDWEEEEEEIRPQFEAKYSKKERMNPISGKPEPYQAFTDKCSRLIVSASGIFFMICV VIAAVFGIVIYRVVTVSTFAAFKWALIRNNSQVATTGTAVCINFCIIMLLNVLYEKVALL LTNLEQPRTESEWENSFTLKMFLFQFVNLNSSTFYIAFFLGRFTGHPGAYLRLINRWRLE ECHPSGCLIDLCMQMGIIMVLKQTWNNFMELGYPLIQNWWTRRKVRQEHGTERKINFPQW EKDYNLQPMNAYGLFDEYLEMILQFGFTTIFVAAFPLAPLLALLNNIIEIRLDAYKFVTQ WRRPLASRAKDIGIWYGILEGIGILSVITNAFVIAITSDFIPRLVYAYKYGPCAGQGEAG QKCMVGYVNASLSVFRISDFENRSEPESDGSEFSGTPLKYCRYRDYRDPPHSLAPYGYTL QFWHVLAARLAFIIVFEHLVFCIKHLISYLIPDLPKDLRDRMRREKYLIQEMMYEAELER LQKERKERKKNGKAHHNEWP >ENSMUSP00000138174.1 pep:known chromosome:GRCm38:10:88981166:88998160:-1 gene:ENSMUSG00000035189.16 transcript:ENSMUST00000182598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano4 description:anoctamin 4 [Source:MGI Symbol;Acc:MGI:2443344] XTVFLEFWKRRRAVIAYDWDLIDWEEEEICVVIAAVFGIVIYRVVTVSTFAAFKWALIRN NSQVATTGTAVCINFCIIMLLNVLYEKVALLLTNLEQPRTESEWENSFTLKMFLFQFVNL NSSTFYIAFFLGRFTGHPGAYLRLINRWRL >ENSMUSP00000138435.1 pep:known chromosome:GRCm38:10:89035091:89344645:-1 gene:ENSMUSG00000035189.16 transcript:ENSMUST00000182419.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ano4 description:anoctamin 4 [Source:MGI Symbol;Acc:MGI:2443344] MASLTAYDKDVSSGSYNLSSATTGSYYSCVSQITIGYDVAGPVAIGLTHWKNPYVQGRPT LPSDLPLFLSKDAGIPVYHQSFTRKADLATPLSCPASLSITPVPSYSSSSQETLSQDTTG LSLSIHGAEKRLQIHQRRSVASRPGSRRRLPVVRHSSLPPGRRSIKMEASSSGITNGKNK VFHAEGGLDLQSHQLDMQILPDGPKSDVDFSEILNAIQEMAKDVNILFDELEAVNSPCKD DDSLLHPGNLTSTSEDTSRLEAGGETVRERNKSNGLYFRDGKCRIDYILVYRKSNPQTEK REVFERNIRAEGLQMEKESSLINSDIIFVKLHAPWEVLGRYAEQMNVRMPFSSNCN >ENSMUSP00000138627.1 pep:known chromosome:GRCm38:10:89067180:89257590:-1 gene:ENSMUSG00000035189.16 transcript:ENSMUST00000183268.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ano4 description:anoctamin 4 [Source:MGI Symbol;Acc:MGI:2443344] MEASSSGITNGKNKVFHAEGGLDLQSHQLDMQILPDGPKSDVDFSEILNAIQEMAKDVNI LFDELEAVNSPCKDDDSLLHPGNLTSTSEDTSRLEAGGETVRERNKSNGLYFRDGKCRID YILVYRKSNPQTEKREVFERNIRAEGLQMEKESSLINSDIIFVKLHAPWEVLGRYAEQMN VRMPFSSNCN >ENSMUSP00000138792.1 pep:known chromosome:GRCm38:10:89067732:89257136:-1 gene:ENSMUSG00000035189.16 transcript:ENSMUST00000181976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano4 description:anoctamin 4 [Source:MGI Symbol;Acc:MGI:2443344] MEASSSGITNGKNKVFHAEGGLDLQSHQLDMQILPDGPKSDVDFSEILNAIQEMAKDVNI LFDELEAVNSPCKDDDSLLHPGNLTSTSEDTSRLEAGGETVRERNKSNGLYFRDGKCRID YILVYRKSNPQTEKREVFERNIRAEGLQMEKESSLINSDIIFVKLHAPWEVLGRYAEQMN VRMPFRRKIYYLPRRYKFMSRIDKQISRFRRWLPKKPMRLDKETLPDLEENDCYTAPFSQ QRIHQ >ENSMUSP00000138525.1 pep:known chromosome:GRCm38:10:89088290:89317250:-1 gene:ENSMUSG00000035189.16 transcript:ENSMUST00000182624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano4 description:anoctamin 4 [Source:MGI Symbol;Acc:MGI:2443344] LFLSKDAGIPVYHQSFTRKADLATPLSCPASLSITPVPSYSSSSQETLSQDTTGLSLSIH GAEKRLQIHQRRSVASRPGSRRRLPVVRHSSLPPGRRSIKMEASSSGITNGKNKVFHAVA KDVNILFDELEAVNSPCKDDDSLLHPGNLTSTSEDTSRLEAGGETVRERNKSNGLYFRDG KCRIDYILVYRKSNPQTEKREVFERNIRAEGLQMEKES >ENSMUSP00000099843.3 pep:known chromosome:GRCm38:1:156366040:156366484:1 gene:ENSMUSG00000078193.2 transcript:ENSMUST00000102782.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2000 description:predicted gene 2000 [Source:MGI Symbol;Acc:MGI:3780170] MAKIKARDLRGKKKEELLKQLDDLKVELSQLRVAKVTGGAASKLSKIRVVRKSIARVLTV INQTQKENLRKFYKGKKYKPLDLRPKKTRAMRRRLTKHEEKLKTKKQQRKERLYPLRKYA VKA >ENSMUSP00000140745.1 pep:known chromosome:GRCm38:16:36221468:36257444:1 gene:ENSMUSG00000095620.7 transcript:ENSMUST00000187183.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010005H15Rik description:RIKEN cDNA 2010005H15 gene [Source:MGI Symbol;Acc:MGI:1924020] MMPGGLSRARSATPEIQEIANKVKSLLEEKTNEKYEVFKAVEYKSQVVAGQNYFIKMDVG GGCFLHIKVFTGISGENVLELSGYQTNKTRKDELSYF >ENSMUSP00000139876.1 pep:known chromosome:GRCm38:16:36221562:36257427:1 gene:ENSMUSG00000095620.7 transcript:ENSMUST00000187742.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010005H15Rik description:RIKEN cDNA 2010005H15 gene [Source:MGI Symbol;Acc:MGI:1924020] MMPGGLSRARSATPEIQEIANKVKSLLEEKTNEKYEVFKAVEYKSQVVAGQNYFIKMDVG GGCFLHIKVFTGISGENVLELSGYQTNKTRKDELSYF >ENSMUSP00000067712.6 pep:known chromosome:GRCm38:16:36253046:36257372:1 gene:ENSMUSG00000095620.7 transcript:ENSMUST00000063539.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010005H15Rik description:RIKEN cDNA 2010005H15 gene [Source:MGI Symbol;Acc:MGI:1924020] MMPGGLSRARSATPEIQEIANKVKSLLEEKTNEKYEVFKAVEYKSQVVAGQNYFIKMDVG GGCFLHIKVFTGISGENVLELSGYQTNKTRKDELSYF >ENSMUSP00000049026.7 pep:known chromosome:GRCm38:11:54438199:54518235:1 gene:ENSMUSG00000035992.15 transcript:ENSMUST00000046835.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnip1 description:folliculin interacting protein 1 [Source:MGI Symbol;Acc:MGI:2444668] MAPTLFQKLFSKRSGLGAPGRDARDPDCAFSWPLPEFDPSQIRLIVYQDCERRGRNVLFD SSVKRKNEDTSVSKLCNDAQVKVFGKCCQLKPGGDSSSSLDSSITLSSDGKDQCPKYQGS RCSSDANMLGEMMFGSVAMSYKGSTLKIHQIRSPPQLMLSKVFTARTGSSICGSLNTLQD SLEFINQDSNTLKADSSTVSNGLLGNIGLSQFCSPRRAFSEQGPLRLIRSASFFAVHSNP MDMPGRELNEDRDSGIARSASLSSLFITPFPSPNSSLTRSCASSYQRRWRRSQTTSLENG VFPRWSVEESFNLSDESCGPNPGIVRKKKIAIGVIFSLSKDEDENNKFNEFFFSHFPLFE SHMNKLKSAIEQAMKMSRRSADASQRSLAYNRILDALTEFRTTICNLYTMPRIGEPVWLT MMSGTPEKNQLCHRFMKEFTFLMENASKNQFLPALITAVLTNHLAWVPTVMPNGQPPIKI FLEKHSSQSVGMLAKTHPYNPLWAQLGDLYGAIGSPVRLARTVVVGKRQDLVQRLLYFLT YFIRCSELQETHLLENGEDEAIVMPGTVITTTLEKGEIEESEYVLITMHRNKSSLLFKES EETRTPNCNCKYCSHPVLGQNTENVSQPEREDTQDNSKELLGISDECQKISPPDCQEENA VDVQQYRDKLRTCLDTKLETVVCTGSAPADKCVLSETCLEPREESWQNKELLDSDNHTGT AMRPTGIVVEKKPPDKNVPSAFSCEVTQTKVTFLIGDSMSPDSDTELRSQAVVDQINRHH SEPLKEDRGVADKHQESKITKDQSEDSDTQNIVSGESCELPCWSHSDPESMSLFDEYFND DSIETRTIDDVPVKTSTDSKEYCCMLEYPKRLYTKTNKQKSELCKCIETVHQDSCNACFP QQDQRNSLSILVPHGDKESSDKKNAVGTEWDIPRNESSDSALGDSESEDTGPDIRRQAGG YCGGDQEDWTEEDEIPFPGSKLIEVSAVQPNIANFGRSLLGGYCSSYVPDFVLQGIGNDE RLRQCLVSDLSHAVQHPVLDEPIAEAVCIIADMDKWTVQVASSQRRVTDNKLGKEVLVSS LVSNLLHSTLQLYKHNLSPNFCVMHLEDRLQELYFKSKMLSEYLRGQMRVHVKELGVVLG IESSDLPLLAAVASTHSPYVAQILL >ENSMUSP00000121399.1 pep:known chromosome:GRCm38:11:54464560:54502705:1 gene:ENSMUSG00000035992.15 transcript:ENSMUST00000143650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnip1 description:folliculin interacting protein 1 [Source:MGI Symbol;Acc:MGI:2444668] MHPCPLSWPLPEFDPSQIRLIVYQDCERRGRNVLFDSSVKRKNEDTSVSKLCNDAQVKVF GKCCQLKPGGDSSSSLDSSITLSSDGKDQCPKYQGSRCSSDANMLGEMMFGSVAMSYKGS TLKIHQIRSPPQLMLSKVFTARTGSSICGSLNTLQDSLEFINQDSNTLKADSSTVSNGLL GNIGLSQFCSPRRAFSEQGPLRLIRSASFFAVHSNPMDMPGRELNEDRDSGIARSASLSS LFITPFPSPNSSLTRSCASSYQRRWRRSQTTSLENGVFPRWSVEESFNLSDESCGPNPGI VRKKKIAIGVIFSLSKDEDENNKFNEFFFSHFPLFESHMNKLKSAIEQAMKMSRRSADAS QRSLAYNRILDALTEFRTTICNLYTMPRIGEPVWLTMMSGTPEKNQLCHRFMKEFTFLME NASKNQFLPALITAVLTNHLAWVPTVMPNGQPPIKIFLEKHSSQSVGMLAKTHPYNPLWA QLGDLYGAIGSPVRLARTVVVGKRQDLVQRLLYFLTYFIRCSELQETHLLENGEDEAIVM PGTVITTTLEKGEIEESEYVLITMHRNKSSLLFKESEETRTPNCNCKYCSHPVLGQNTEN VSQPEREDTQDNSKELLGISDECQKISPPDC >ENSMUSP00000004786.9 pep:known chromosome:GRCm38:10:80035949:80039795:-1 gene:ENSMUSG00000004667.18 transcript:ENSMUST00000004786.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2e description:polymerase (RNA) II (DNA directed) polypeptide E [Source:MGI Symbol;Acc:MGI:1913670] MDDEEETYRLWKIRKTIMQLCHDRGYLVTQDELDQTLEEFKAQFGDKPSEGRPRRTDLTV LVAHNDDPTDQMFVFFPEEPKVGIKTIKVYCQRMQEENITRALIVVQQGMTPSAKQSLVD MAPKYVLEQFLQQELLINITEHELVPEHVVMTKEEVTELLARYKLRESQLPRIQAGDPVA RYFGIKRGQVVKIIRPSETAGRYITYRLVQ >ENSMUSP00000100699.1 pep:known chromosome:GRCm38:6:132710999:132711928:-1 gene:ENSMUSG00000078280.1 transcript:ENSMUST00000105077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r122 description:taste receptor, type 2, member 122 [Source:MGI Symbol;Acc:MGI:2681262] MSSLLEIFFVIISVVEFIIGTLGNGFIVLINSTSWFKNQKISVIDFILTWLAISRMCVLW TTIAGASLRKFYKTLSYSKNFKFCFDIIWTGSNYLCIACTTCISVFYLFKIANFSNSIFF WIKQRIHAVLLAIVLGTLMYFILFLIFMKMIANNFIYKWTKLEQNTTFPVLDTLSGFLVY HSLYNGILIFFFIVSLTSFLLLIFSLWSHLRRMKLQGIHTKDISTEAHIKAMKTMMSFLL FFIIYYISNIMLIVASSILDNVVAQIFSYNLIFLYLSVHPFLLVLWNSKLKWTFQHVLRK LVCHCGGYS >ENSMUSP00000023053.5 pep:known chromosome:GRCm38:15:55307750:55520803:1 gene:ENSMUSG00000022371.15 transcript:ENSMUST00000023053.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col14a1 description:collagen, type XIV, alpha 1 [Source:MGI Symbol;Acc:MGI:1341272] MMIWQCKMRDWLILAFLAAACFCTIVRGQVAPPTRLRYNVISHDSIQISWKAPRGKFGGY KLLVAPASGGKTNQMNLQNTATKAIIQGLLPEQNYTVQLIAYYKDKESKPAQGQFRIKDL EKRKDPTKPKVKVVDKGNGSKPTSPEEVKFFCETPAIADIVILVDGSWSIGRFNFRLVRN FLENLVTAFNVGSEKTRIGLAQYSGDPRIEWHLNAFNTKDEVIDAVRSLPYKGGNTLTGL ALNFIFENSFKPEAGSRSGVSKIGILITDGKSQDDIIPPSRNLRESGVELFAIGVKNADL SELQEIASEPDSTHVYNVAEFDLMHTVVESLTRTVCSRVEEQDKEIKASALATIGPPTEL ITSEVTARSFMVNWTQSPGKVEKYRVVYYPTRGGKPEEVVVDGSVSSTVLKNLMSSTEYQ IAVFAVSAHTASEGLRGAETTLALPMASDLELYDVTENSMRVRWDAVPGATGYLILYAPL TEGLAGDEKEMKIGETHTDIELSGLFPNTEYTVTVYAMFGEEASDPATGQETTLPLTPPR NLRISNVGSNSARLTWDPASGKISGYRIVYTSADGTEINEVEVDPITTFPLKGLTPLTEY SIAIFSIYEEGQSLPLVGEFTTEEVPAQQYLEIDEVKTDSFRVTWHPLSAEEGQHKLMWI PVYGGKTQEVDLKEEQDSYVIEGLDPGTEYEVSLLAVLDDGSESEVVTAVGTTLDDFWTE APTAIEPTSPVTSVLQTGIRNLVVDDETATSLRVSWDISDSNVEQFRVTYLKAQGDPMEE VVGTVMVPGVQNSLLLKALLPDTEYKVTVTPVYTVGEGVSVSAPGKTLPSSGPQNLRVSE EWYNRVRITWDPPSGPVKGYRIVYKPVSVPGQTLETFVGADINTIVMTNLLSGMDYNVKI FASQASGFSDALTGLVQTLFLGVTDLQANQVEMTSLCARWQIHRHATAYRIVLESLQDTQ AQESTVGGGVNRHCFYGLQPDSEYKISVYTKLQELEGPSVSIMQKTQSLPTEPPTFPPTI PPAKEVCKAAKADLVFMVDGSWSIGDDNFNKIINFLYSTVGALDKIGADGTQVAMVQFTD DPRTEFKLDSYKTKETLLDAIRHISYKGGNTKTGKAIKHVRDTLFTSDSGTRRGIPKVIV VITDGRSQDDVNKISREMQADGFNIFAIGVADADYSELVQIGSKPSSRHVFFVDDFDAFK KIEDELITFVCETASATCPMVHKDGVDLAGFKMMEMFGLVEKDFSAVEGVSMEPGTFNLF PCYQIHKDALVSQPTKYLHPEGLPSDYTMSFLFRILPDTPQEPFALWEILNKNSEPLVGI ILDNGGKTLTYFNYDYTGDFQTVTFEGPDIRKMFYGSFHKLHVVVSKTLAKVVVDCKEVG QKAINASANITSDGVEVLGRMVRSRGPNGNSAPFQLQMFDIVCSTSWASKDRCCELPGLR DEESCPDLPRSCSCSETNEVALGPAGPPGGPGLRGPKGQQGEQGPKGPEGPRGETGPAGP QGPPGPQGPSGLSIQGMPGMPGDKGDKGDAGLPGPQGVPGGVGSPGRDGSPGQRGFPGKD GSSGPPGPPGPIGIPGAPGVPGITGSMGPQGALGPPGVPGAKGERGERGDLQSQAMVRAV ARQVCEQLIQSHMARYTAILNQIPSQSSSIRTIQGPPGEPGRPGSPGTPGEQGPPGTPGF PGNAGVPGTPGERGLTGVKGEKGNPGIGTQGPRGPPGPAGPSGESRPGSPGPPGSPGPRG PPGHLGVPGPQGPSGQPGYCDPSSCSAYGVGVSHPDQPEFTPVQDEQEAMDLWSAGI >ENSMUSP00000122455.1 pep:known chromosome:GRCm38:15:55448826:55519689:1 gene:ENSMUSG00000022371.15 transcript:ENSMUST00000125416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col14a1 description:collagen, type XIV, alpha 1 [Source:MGI Symbol;Acc:MGI:1341272] XCYQIHKDALVSQPTKYLHPEGLPSDYTMSFLFRILPDTPQEPFALWEILNKNSEPLVGI ILDNGGKTLTYFNYDYTGDFQTVTFEGPDIRKMFYGSFHKLHVVVSKTLAKVVVDCKEVG QKAINASANITSDGVEVLGRMVRSRGPNGNSAPFQLQMFDIVCSTSWASKDRCCELPGLR DEESCPDLPRSCSCSETNEVALGPAGPPGGPGLRGPKGQQGEQGPKGPEGPRGETGPAGP QGPPGPQGPSGLSIQGMPGMPGDKGDKGDAGLPGPQGVPGGVGSPGRDGSPGQRGFPGKD GSSGPPGPPGPIGIPGAPGVPGITGSMGPQGALGPPGVPGAKGERGERGDLQSQAMVRAV ARQVCEQLIQSHMARYTAILNQIPSQSSSIRTIQGPPGEPGRPGSPGTPGEQGPPGTPGF PGNAGVPGTPGERGLTGVKGEKGNPGIGTQGPRGPPGPAGPSGESRPGSPGPPGSPGPRG PPGHLGVPGPQGPSGQPGYCDPSSCSAYGVGDLIPYNDYQH >ENSMUSP00000105850.2 pep:known chromosome:GRCm38:15:55307750:55520802:1 gene:ENSMUSG00000022371.15 transcript:ENSMUST00000110221.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col14a1 description:collagen, type XIV, alpha 1 [Source:MGI Symbol;Acc:MGI:1341272] MMIWQCKMRDWLILAFLAAACFCTIVRGQVAPPTRLRYNVISHDSIQISWKAPRGKFGGY KLLVAPASGGKTNQMNLQNTATKAIIQGLLPEQNYTVQLIAYYKDKESKPAQGQFRIKDL EKRKDPTKPKVKVVDKGNGSKPTSPEEVKFFCETPAIADIVILVDGSWSIGRFNFRLVRN FLENLVTAFNVGSEKTRIGLAQYSGDPRIEWHLNAFNTKDEVIDAVRSLPYKGGNTLTGL ALNFIFENSFKPEAGSRSGVSKIGILITDGKSQDDIIPPSRNLRESGVELFAIGVKNADL SELQEIASEPDSTHVYNVAEFDLMHTVVESLTRTVCSRVEEQDKEIKASALATIGPPTEL ITSEVTARSFMVNWTQSPGKVEKYRVVYYPTRGGKPEEVVVDGSVSSTVLKNLMSSTEYQ IAVFAVSAHTASEGLRGAETTLALPMASDLELYDVTENSMRVRWDAVPGATGYLILYAPL TEGLAGDEKEMKIGETHTDIELSGLFPNTEYTVTVYAMFGEEASDPATGQETTLPLTPPR NLRISNVGSNSARLTWDPASGKISGYRIVYTSADGTEINEVEVDPITTFPLKGLTPLTEY SIAIFSIYEEGQSLPLVGEFTTEEVPAQQYLEIDEVKTDSFRVTWHPLSAEEGQHKLMWI PVYGGKTQEVDLKEEQDSYVIEGLDPGTEYEVSLLAVLDDGSESEVVTAVGTTLDDFWTE APTAIEPTSPVTSVLQTGIRNLVVDDETATSLRVSWDISDSNVEQFRVTYLKAQGDPMEE VVMVPGVQNSLLLKALLPDTEYKVTVTPVYTVGEGVSVSAPGKTLPSSGPQNLRVSEEWY NRVRITWDPPSGPVKGYRIVYKPVSVPGQTLETFVGADINTIVMTNLLSGMDYNVKIFAS QASGFSDALTGLVQTLFLGVTDLQANQVEMTSLCARWQIHRHATAYRIVLESLQDTQAQE STVGGGVNRHCFYGLQPDSEYKISVYTKLQELEGPSVSIMQKTQSLPTEPPTFPPTIPPA KEVCKAAKADLVFMVDGSWSIGDDNFNKIINFLYSTVGALDKIGADGTQVAMVQFTDDPR TEFKLDSYKTKETLLDAIRHISYKGGNTKTGKAIKHVRDTLFTSDSGTRRGIPKVIVVIT DGRSQDDVNKISREMQADGFNIFAIGVADADYSELVQIGSKPSSRHVFFVDDFDAFKKIE DELITFVCETASATCPMVHKDGVDLAGFKMMEMFGLVEKDFSAVEGVSMEPGTFNLFPCY QIHKDALVSQPTKYLHPEGLPSDYTMSFLFRILPDTPQEPFALWEILNKNSEPLVGIILD NGGKTLTYFNYDYTGDFQTVTFEGPDIRKMFYGSFHKLHVVVSKTLAKVVVDCKEVGQKA INASANITSDGVEVLGRMVRSRGPNGNSAPFQLQMFDIVCSTSWASKDRCCELPGLRDEE SCPDLPRSCSCSETNEVALGPAGPPGGPGLRGPKGQQGEQGPKGPEGPRGETGPAGPQGP PGPQGPSGLSIQGMPGMPGDKGDKGDAGLPGPQGVPGGVGSPGRDGSPGQRGFPGKDGSS GPPGPPGPIGIPGAPGVPGITGSMGPQGALGPPGVPGAKGERGERGDLQSQAMVRAVARQ VCEQLIQSHMARYTAILNQIPSQSSSIRTIQGPPGEPGRPGSPGTPGEQGPPGTPGFPGN AGVPGTPGERGLTGVKGEKGNPGIGTQGPRGPPGPAGPSGESRPGSPGPPGSPGPRGPPG HLGVPGPQGPSGQPGYCDPSSCSAYGVGVSHPDQPEFTPVQDEQEAMDLWSAGI >ENSMUSP00000105846.3 pep:known chromosome:GRCm38:15:55307751:55520801:1 gene:ENSMUSG00000022371.15 transcript:ENSMUST00000110217.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col14a1 description:collagen, type XIV, alpha 1 [Source:MGI Symbol;Acc:MGI:1341272] MMIWQCKMRDWLILAFLAAACFCTIVRGQVAPPTRLRYNVISHDSIQISWKAPRGKFGGY KLLVAPASGGKTNQMNLQNTATKAIIQGLLPEQNYTVQLIAYYKDKESKPAQGQFRIKDL EKRKDPTKPKVKVVDKGNGSKPTSPEEVKFFCETPAIADIVILVDGSWSIGRFNFRLVRN FLENLVTAFNVGSEKTRIGLAQYSGDPRIEWHLNAFNTKDEVIDAVRSLPYKGGNTLTGL ALNFIFENSFKPEAGSRSGVSKIGILITDGKSQDDIIPPSRNLRESGVELFAIGVKNADL SELQEIASEPDSTHVYNVAEFDLMHTVVESLTRTVCSRVEEQDKEIKASALATIGPPTEL ITSEVTARSFMVNWTQSPGKVEKYRVVYYPTRGGKPEEVVVDGSVSSTVLKNLMSSTEYQ IAVFAVSAHTASEGLRGAETTLALPMASDLELYDVTENSMRVRWDAVPGATGYLILYAPL TEGLAGDEKEMKIGETHTDIELSGLFPNTEYTVTVYAMFGEEASDPATGQETTLPLTPPR NLRISNVGSNSARLTWDPASGKISGYRIVYTSADGTEINEVEVDPITTFPLKGLTPLTEY SIAIFSIYEEGQSLPLVGEFTTEEVPAQQYLEIDEVKTDSFRVTWHPLSAEEGQHKLMWI PVYGGKTQEVDLKEEQDSYVIEGLDPGTEYEVSLLAVLDDGSESEVVTAVGTTLDDFWTE APTAIEPTSPVTSVLQTGIRNLVVDDETATSLRVSWDISDSNVEQFRVTYLKAQGDPMEE VVGTQVMVPGVQNSLLLKALLPDTEYKVTVTPVYTVGEGVSVSAPGKTLPSSGPQNLRVS EEWYNRVRITWDPPSGPVKGYRIVYKPVSVPGQTLETFVGADINTIVMTNLLSGMDYNVK IFASQASGFSDALTGLVQTLFLGVTDLQANQVEMTSLCARWQIHRHATAYRIVLESLQDT QAQESTVGGGVNRHCFYGLQPDSEYKISVYTKLQELEGPSVSIMQKTQSLPTEPPTFPPT IPPAKEVCKAAKADLVFMVDGSWSIGDDNFNKIINFLYSTVGALDKIGADGTQVAMVQFT DDPRTEFKLDSYKTKETLLDAIRHISYKGGNTKTGKAIKHVRDTLFTSDSGTRRGIPKVI VVITDGRSQDDVNKISREMQADGFNIFAIGVADADYSELVQIGSKPSSRHVFFVDDFDAF KKIEDELITFVCETASATCPMVHKDGVDLAGFKMMEMFGLVEKDFSAVEGVSMEPGTFNL FPCYQIHKDALVSQPTKYLHPEGLPSDYTMSFLFRILPDTPQEPFALWEILNKNSEPLVG IILDNGGKTLTYFNYDYTGDFQTVTFEGPDIRKMFYGSFHKLHVVVSKTLAKVVVDCKEV GQKAINASANITSDGVEVLGRMVRSRGPNGNSAPFQLQMFDIVCSTSWASKDRCCELPGL RDEESCPDLPRSCSCSETNEVALGPAGPPGGPGLRGPKGQQGEQGPKGPEGPRGETGPAG PQGPPGPQGPSGLSIQGMPGMPGDKGDKGDAGLPGPQGVPGGVGSPGRDGSPGQRGFPGK DGSSGPPGPPGPIGIPGAPGVPGITGSMGPQGALGPPGVPGAKGERGERGDLQSQAMVRA VARQVCEQLIQSHMARYTAILNQIPSQSSSIRTIQGPPGEPGRPGSPGTPGEQGPPGTPG FPGNAGVPGTPGERGLTGVKGEKGNPGIGTQGPRGPPGPAGPSGESRPGSPGPPGSPGPR GPPGHLGVPGPQGPSGQPGYCDPSSCSAYGVGVSHPDQPEFTPVQDEQEAMDLWSAGI >ENSMUSP00000033505.6 pep:known chromosome:GRCm38:X:8081453:8090498:-1 gene:ENSMUSG00000031165.6 transcript:ENSMUST00000033505.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Was description:Wiskott-Aldrich syndrome homolog (human) [Source:MGI Symbol;Acc:MGI:105059] MNSGPGPVGGRPGGRGGPAVQQNIPSNLLQDHENQRLFELLGRKCWTLATTVVQLYLALP PGAEHWTMEHCGAVCFVKDNPQKSYFIRLYGLQAGRLLWEQELYSQLVYLTPTPFFHTFA GDDCQVGLNFADESEAQAFRALVQEKIQKRNQRQSGERRQLPPPPAPINEERRGGLPPVP PHPGGDHGGPSGGPLSLGLVTVDIQNPDITSSRYRGLPAPGPGPTDKKRSGKKKISKADI GAPSGFKHVSHVGWDPQNGFDVNNLDPDLRSLFSRAGISEAQLTDAETSKLIYDFIEDQG GLEAVRQEMRRQEPLPPPPPPCRGGGGGGGGGGGGGGGGGGQPLRPPVVGSNKGRSGPLP PVPMGGAPPPPTPRGPPPPGRGGPPPPPPPATGRSGPPPPPLPGAGGPPAPPPPPPPPPP PPCPGSGPAPPPLPPTPVSGGSPAPGGGRGALLDQIRQGIQLNKTPGALENSVQQPPAQQ SEGLVGALMHVMQKRSRVIHSSDEGEDQTGEDEEDDEWDD >ENSMUSP00000023072.6 pep:known chromosome:GRCm38:15:84232043:84315688:1 gene:ENSMUSG00000022438.6 transcript:ENSMUST00000023072.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parvb description:parvin, beta [Source:MGI Symbol;Acc:MGI:2153063] MSSAPPRSPTPRAPKMKKDESFLGKLGGTLARKKKTREVTDLQEEGKSAINSPMAPALVD IHPEDTQLEENEERTMIDPTSREDPKFKELVKVLLDWINDVLAEERIIVKQLEEDLYDGQ VLQKLLEKLAHCKLNVAEVTQSEIGQKQKLQTVLEAVQDLLRPHGWPLRWNVDSIHGKNL VAILHLLVSLAMHFRAPIHLPEHVTVQVVVVRKREGLLHSSHISEELTTTTEIMMGRFER DAFDTLFDHAPDKLNLVKKSLITFVNKHLNKLNLEVTDLETQFADGVYLVLLLGLLEDYF VPLHNFYLTPDSFDQKVHNVAFAFELMLDGGLKKPKARPEDVVNLDLKSTLRVLYTLFTK YKDVE >ENSMUSP00000117594.1 pep:known chromosome:GRCm38:15:84232066:84271318:1 gene:ENSMUSG00000022438.6 transcript:ENSMUST00000122818.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parvb description:parvin, beta [Source:MGI Symbol;Acc:MGI:2153063] MSSAPPRSPTPRAPKMKKDESFLGKLGGTLARKKKTREEPLWTSGYVGTLPIRLITAGST AGKRASPAPLRSDSPAAISALIPVLHSAFLYLY >ENSMUSP00000137830.1 pep:known chromosome:GRCm38:13:67491152:67526151:-1 gene:ENSMUSG00000097565.1 transcript:ENSMUST00000167914.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm26965 description:predicted gene, 26965 [Source:MGI Symbol;Acc:MGI:5504080] MEEMLSFRDVAIDFSAEEWECLEPAQWNLYRDVMLENYSHLVFLGLASCKPYLVTFLEQR QEPSVVKRPAAATVHPGNAVILGCGH >ENSMUSP00000065529.2 pep:known chromosome:GRCm38:12:113489511:113492057:1 gene:ENSMUSG00000051804.3 transcript:ENSMUST00000063317.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam6b description:a disintegrin and metallopeptidase domain 6B [Source:MGI Symbol;Acc:MGI:2444636] MLSLTWGMRLVERPVVPRVLLLLFALWLLLLVPVWCSQGHPTWRYISSEVVIPRKEIYHT KGLQAQRLLSYSLHFRGQRHIIHLRRKTLIWPRHLLLTTQDDQGALQMDYPFFPVDCYYI GYLEGIPQSMVTVDTCYGGLSGVMKLDDLTYEIKPLNDSQSFEHLVSQIVSESDDTGPMN AWKHWSHNTGSPSSRLEYADGAPRISSKNYATHPAAIKGHFQATNSVYNSAAGDKLSSTV GYLFQVISLMDTYLTNLHMRYYVFLMTVYTNSDPFRLEFAVPGGSAYNYYVSVFYNKFKP DAGVLLNKYGPQDNQVNPAERSICSSLALICIGKYDRNPLFLSPIITNRVGRSLGLKYDE GYCVCQRRNTCIMFRHPQLTDAFSNCSLAEISNILNTPGLMPCLFYDRHVYYNTSLTYKF CGNFKVDNDEQCDCGSQKACYSDPCCGNDCRLTPGSICDKELCCANCTYSPSGTLCRPIQ NICDLPEYCNGTKYICPDDTYLQDGTPCSEDGYCYKGNCTDRNIQCMEIFGVSAKNANIK CYDINKQRFRFGHCTRAEESLTFNACADQDKLCGRLQCTNVTNLPYLQEHVSFHQSIISG FTCFGLDEHRGTETTDAGMVRHGTPCSKSKFCDQGACSGSLSHLGYDCTPEKCSFRGVCN NHRNCHCHFGWKPPECKEEGLSGSIDSGSPPVQRHTIKQKQEPVVYLRILFGRIYFLFVA LLFGIATRVGVTKIFRFEDLQATLRSGQGPARDKPK >ENSMUSP00000038382.9 pep:known chromosome:GRCm38:5:34638536:34660148:-1 gene:ENSMUSG00000036693.12 transcript:ENSMUST00000041364.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop14 description:NOP14 nucleolar protein [Source:MGI Symbol;Acc:MGI:1922666] MGKAKRTGARRQVHKAPAGASGGPAKTNPNPFEVKVNRQKFQILGRKTRHDVGLPGVSRA RAIRKRTQTLLKEYKERNKSNVFADKRFGEYNSNISPEEKMMKRFALEQQRYHEKKNIYN LNEDEELTHYGQSLADIEKHNDIVDSDSDTEDRGALSAELTASHFGGGVHKNSSQKEGED GDKPKTRKELIEELIAKSKQEKRERQAQREDALELTEKLDQDWKEIQILMSRKPKKSEDK EKKEKPQPDEYDMMVRELGFEMKAQPSNRMKTEEELAKEEQERLKKLEAERLRRMLGKDE HENKKKPKHTSADDLNDGFILDKDDRRLLSYKDGKMNIEDVQEEQSKEADGQENDQKEGE DDSEEEDESHEDSEESEDPDSHSDLESNIESEEENETPKKEQRQTPGGKLPKDDQKAQKA VAAELPYVFAAPESFEELKFLLSGRSMEEQLLVVERIQKCNHPSLAVGNKAKLEKLFGFL LQYIGDLATDSTPDLKTIDKLVVHLYSLCQMFPESASDSIRFVLRDAMHEMEEMIETKGR AAFPGLDVLIYLKITGLLFPTSDFWHPVVTPALLCMSQMLTKCPVMSLQDVIKGLFVCCL FLEYVSLSRRFIPELFNFLLGILYIATPNTKSQGSTLVHPFRALGKNSELLVVSDKADVT TWQRGSLPLHWANRLSTLTATEANHTRLSCVASCLSLMKHCVLMYQALPSFHAIFRPHQA LLSKHLADCSLPQELQELAQSILSAMEGQKQHCRPLVCEKSKPVPLKQFTPRLVKVLEFG RKQGSSKEEQERKRLIHKHKREFKGAVREIRKDNQFLARMQLSEIMERDAERKRKVKQLF NSLATQEGEWKALKRKKFKK >ENSMUSP00000143989.1 pep:known chromosome:GRCm38:5:34641327:34647241:-1 gene:ENSMUSG00000036693.12 transcript:ENSMUST00000201897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop14 description:NOP14 nucleolar protein [Source:MGI Symbol;Acc:MGI:1922666] XVLRDAMHEMEEMIETKGRAAFPGLDVLIYLKITGLLFPTSDFWHPVVTPALLCMSQMLT KCPVMSLQDVIKGLFVCCLFLEYVSLSRRFIPELFNFLLGILYIATPNTKSQEHLGRTRN CSWSPTKQM >ENSMUSP00000047457.6 pep:known chromosome:GRCm38:11:33200752:33203589:-1 gene:ENSMUSG00000040610.7 transcript:ENSMUST00000037746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlx3 description:T cell leukemia, homeobox 3 [Source:MGI Symbol;Acc:MGI:1351209] MEAPASAQTPHPHEPISFGIDQILNSPDQDSAPAPRGPDGASYLGGPPGGRPGAAYPSLP ASFAGLGAPFEDAGSYSVNLSLAPAGVIRVPAHRPLPGAVPPPLPSALPAMPSVPTVSSL GGLNFPWMESSRRFVKDRFTAAAALTPFTVTRRIGHPYQNRTPPKRKKPRTSFSRVQICE LEKRFHRQKYLASAERAALAKSLKMTDAQVKTWFQNRRTKWRRQTAEEREAERQQASRLM LQLQHDAFQKSLNDSIQPDPLCLHNSSLFALQNLQPWEEDSSKVPAVTSLV >ENSMUSP00000105363.2 pep:known chromosome:GRCm38:8:84911903:84937353:-1 gene:ENSMUSG00000053693.16 transcript:ENSMUST00000109741.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast1 description:microtubule associated serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:1861901] MSDSLWTALSNFSMPSFPGGSMFRRTKSCRTSNRKSLILTSTSPTLPRPHSPLPGHLGSS PLDSPRNFSPNTPAHFSFASSRRADGRRWSLASLPSSGYGTNTPSSTVSSSCSSQERLHQ LPYQPTVDELHFLSKHFGSTESITDEDGGRRSPAVRPRSRSLSPGRSPSSYDNEIVMMNH VYKERFPKATAQMEEKLRDFARAYEPDSVLPLADGVLSFIHHQIIELARDCLTKSRDGLI TTVYFYELQENLEKLLQDAYERSESLEVAFVTQLVKKLLIIISRPARLLECLEFNPEEFY HLLEAAEGHAKEGHLVKTDIPRYIIRQLGLTRDPFPDVVRLEEQDSGGSNTPEQDDTSEG RSSTSKAKKPPGESDFDTIKLISNGAYGAVYLVRHRDTRQRFAMKKINKQNLILRNQIQQ AFVERDILTFAENPFVVGMFCSFETRRHLCMVMEYVEGGDCATLLKNIGALPVEMARMYF AETVLALEYLHNYGIVHRDLKPDNLLITSMGHIKLTDFGLSKMGLMSLTTNLYEGHIEKD AREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQ VISDDILWPEGDEALPTDAQLLISSLLQTNPLVRLGAGGAFEVKQHSFFRDLDWTGLLRQ KAEFIPHLESEDDTSYFDTRSDRYHHVNSYDEDDTTEEEPVEIRQFSSCSPRFSKVYSSM EQLSQHEPKTPVSASGASKRDPSAKGPEEKVAGKREGLGGLTLREKTWRGGSPEIKRFSA SEASFLEGEASPPLGARRRFSALLEPSRFTAPQEDEDEARLRRPPRPSSDPPSSLDTRVP KEAVQGEGTSTPGEPEATERSHPGDLGPPSKDGDPSGPRATNDLVLRRARHQQLSGDLAV EKRPSRTGGKVIKSASATALSVMIPAVDPHGGSPLASPMSPRSLSSNPSSRDSSPSRDYS PAVSGLRSPITIQRSGKKYGFTLRAIRVYMGDSDVYSVHHIVWHVEEGGPAQEAGLCAGD LITHVNGEPVHGMVHPEVVELILKSGNKVAVTTTPFENTSIRIGPARRSSYKAKMARRNK RPSAKDGQESKKRSSLFRKITKQSNLLHTSRSLSSLNRSLSSSDSLPGSPTHGLPARSPT HSYRSTPDSAYLGASSQSSSPASSTPNSPASSASHHIRPSTLHGLSPKLHRQYRSARCKS AGNIPLSPLAHTPSPTQASPPPLPGHTVGSSHTTQSFPAKLHSSPPVVRPRPKSAEPPRS PLLKRVQSAEKLGASLGADKKGALRKHSLEVGHPDFRKDFHGELALHSLAESDGETPPIE GPGATRQVAVRRLGRQESPLSLGADPLLPDGVQRPMASSKEDSAGGTEACTPPRATTPGS RTLERDSGCTRHQSVQTEDGPGGVARALAKAALSPVQEHETGRRSSSGEAGTPPVPIVVE PARPGVKTQAPQPLGTDSKGLKEPVAQMPLMPDAPRGRERWVLEEVEERTTLSGLRSKPA SPKLSPDPQTPTLVPTKNVPRSAAPSVPPASLMVPGTKPEAGLNSRCPAEAVTPAGLTKT GAPSPASLGP >ENSMUSP00000113547.1 pep:known chromosome:GRCm38:8:84911908:84937359:-1 gene:ENSMUSG00000053693.16 transcript:ENSMUST00000119820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast1 description:microtubule associated serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:1861901] MSDSLWTALSNFSMPSFPGGSMFRRTKSCRTSNRKSLILTSTSPTLPRPHSPLPGHLGSS PLDSPRNFSPNTPAHFSFASSRRADGRRWSLASLPSSGYGTNTPSSTVSSSCSSQERLHQ LPYQPTVDELHFLSKHFGSTESITDEDGGRRSPAVRPRSRSLSPGRSPSSYDNEIVMMNH VYKERFPKATAQMEEKLRDFARAYEPDSVLPLADGVLSFIHHQIIELARDCLTKSRDGLI TTVYFYELQENLEKLLQDAYERSESLEVAFVTQLVKKLLIIISRPARLLECLEFNPEEFY HLLEAAEGHAKEGHLVKTDIPRYIIRQLGLTRDPFPDVVRLEEQDSGGSNTPEQDDTSEG RSSTSKAKKPPGESDFDTIKLISNGAYGAVYLVRHRDTRQRFAMKKINKQNLILRNQIQQ AFVERDILTFAENPFVVGMFCSFETRRHLCMVMEYVEGGDCATLLKNIGALPVEMARMYF AETVLALEYLHNYGIVHRDLKPDNLLITSMGHIKLTDFGLSKMGLMSLTTNLYEGHIEKD AREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQ VISDDILWPEGDEALPTDAQLLISSLLQTNPLPCISRSIAEQAFGAVLYRCLYTYVHI >ENSMUSP00000105561.1 pep:known chromosome:GRCm38:2:149830788:150004392:1 gene:ENSMUSG00000074736.10 transcript:ENSMUST00000109935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syndig1 description:synapse differentiation inducing 1 [Source:MGI Symbol;Acc:MGI:3702158] MDGIIEQKSVLVHSKISDAGKRNGLINTRNFMAESRDGLVSVYPAPQYQSHRLVASAAPG SLEGGRSEPVQQLLDPNTLQQSVESHYRPNIILYSDGVLRSWGDGVATDCCETTFIEDRS PTKDSLEYPDGKFIDLSGDDIKIHTLSYDVEEEEELQELESDYSSDTESEDNFLMMPPRD HLGLSVFSMLCCFWPLGIAAFYLSHETNKAVAKGDFHQASTSSRRALFLAVLSITIGTGI YVGVAVALIAYLSKNNHL >ENSMUSP00000116877.1 pep:known chromosome:GRCm38:2:149829211:149899714:1 gene:ENSMUSG00000074736.10 transcript:ENSMUST00000144179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syndig1 description:synapse differentiation inducing 1 [Source:MGI Symbol;Acc:MGI:3702158] MDGIIEQKSVLVHSKISDAGKRNGLINTRNFMAESRDGLVSVYPAPQYQSHRLVASAAPG SLEGGRSEPVQQL >ENSMUSP00000105560.1 pep:known chromosome:GRCm38:2:149830843:150004392:1 gene:ENSMUSG00000074736.10 transcript:ENSMUST00000109934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syndig1 description:synapse differentiation inducing 1 [Source:MGI Symbol;Acc:MGI:3702158] MDGIIEQKSVLVHSKISDAGKRNGLINTRNFMAESRDGLVSVYPAPQYQSHRLVASAAPG SLEGGRSEPVQQLLDPNTLQQSVESHYRPNIILYSDGVLRSWGDGVATDCCETTFIEDRS PTKDSLEYPDGKFIDLSGDDIKIHTLSYDVEEEEELQELESDYSSDTESEDNFLMMPPRD HLGLSVFSMLCCFWPLGIAAFYLSHETNKAVAKGDFHQASTSSRRALFLAVLSITIGTGI YVGVAVALIAYLSKNNHL >ENSMUSP00000122838.1 pep:known chromosome:GRCm38:2:149830901:149899751:1 gene:ENSMUSG00000074736.10 transcript:ENSMUST00000137280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syndig1 description:synapse differentiation inducing 1 [Source:MGI Symbol;Acc:MGI:3702158] MDGIIEQKSVLVHSKISDAGKRNGLINTRNFMAESRDGLVSVYPAPQYQSHRLVASAAPG SLEGGRSEPVQQLLDPNTLQQSVES >ENSMUSP00000122327.1 pep:known chromosome:GRCm38:2:149830922:149899881:1 gene:ENSMUSG00000074736.10 transcript:ENSMUST00000149705.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syndig1 description:synapse differentiation inducing 1 [Source:MGI Symbol;Acc:MGI:3702158] MDGIIEQKSVLVHSKISDAGKRNGLINTRNFMAESRDGLVSVYPAPQYQSHRLVASAAPG SLEGGRSEPVQQLLDPNTLQQSVESHYRPNIILYSDGVLRSWGDGVATDCCETTFIEDRS PTKDSLEYP >ENSMUSP00000114499.1 pep:known chromosome:GRCm38:2:149830869:149899755:1 gene:ENSMUSG00000074736.10 transcript:ENSMUST00000140870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syndig1 description:synapse differentiation inducing 1 [Source:MGI Symbol;Acc:MGI:3702158] MDGIIEQKSVLVHSKISDAGKRNGLINTRNFMAESRDGLVSVYPAPQYQSHRLVASAAPG SLEGGRSEPVQQLLDPNTLQQSVESH >ENSMUSP00000035214.4 pep:known chromosome:GRCm38:9:108002501:108048782:1 gene:ENSMUSG00000032594.11 transcript:ENSMUST00000035214.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k1 description:inositol hexaphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:1351633] MCVCQTMEVGQYGKNASRAGDRGVLLEPFIHQVGGHSSMMRYDDHTVCKPLISREQRFYE SLPPEMKEFTPEYKGVVSVCFEGDSDGYINLVAYPYVESETVEQDDTPEREQPRRKHSRR SLHRSGSGSDHKEEKASLSFETSESSQEAKSPKVELHSHSDVPFQMLDSNSGLSSEKISY NPWSLRCHKQQLSRMRSESKDRKLYKFLLLENVVHHFKYPCVLDLKMGTRQHGDDASAEK AARQMRKCEQSTSATLGVRVCGMQVYQLDTGHYLCRNKYYGRGLSIEGFRNALYQYLHNG LDLRRDLFEPILSKLRGLKAVLERQASYRFYSSSLLVIYDGKECRSELRLKHVDMGLPEV PPPCGPSTSPSSTSLEAGPSSPPKVDVRMIDFAHSTFKGFRDDPTVHDGPDRGYVFGLEN LISIMEQMRDENQ >ENSMUSP00000128534.2 pep:known chromosome:GRCm38:9:108002629:108044847:1 gene:ENSMUSG00000032594.11 transcript:ENSMUST00000164395.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ip6k1 description:inositol hexaphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:1351633] MCVCQTMEVGQYGKNASRAGDRGVLLEPFIHQVGGHSSMMRYDDHTVCKPLISREQRFYE SLPPEMKEFTPEYKAPRRQRVQRWNCTATQMSLSRC >ENSMUSP00000141232.1 pep:known chromosome:GRCm38:9:108002646:108033724:1 gene:ENSMUSG00000032594.11 transcript:ENSMUST00000176854.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k1 description:inositol hexaphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:1351633] MCVCQTMEVGQYGKNASRAGDRGVLLEPFIHQVGGHSSMMRYDDHTVCKPLISREQRFYE SLPPEMKEFTPEYKGVVSVCFEGDSDGYINLVAYPYVESETVEQDDTPEREQPRRKHSRR SLHRSGSGSDHKEEKASLSFETSER >ENSMUSP00000135747.1 pep:known chromosome:GRCm38:9:108002671:108040916:1 gene:ENSMUSG00000032594.11 transcript:ENSMUST00000175874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k1 description:inositol hexaphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:1351633] MCVCQTMEVGQYGKNASRAGDRGVLLEPFIHQVGGHSSMMRYDDHTVCKPLISREQRFYE SLPPEMKEFTPEYKGVVSVCFEGDSDGYINLVAYPYVESETVEQDDTPEREQPRRKHSRR SLHRSGSGSDHKEEKASLSFETSESSQEA >ENSMUSP00000135350.1 pep:known chromosome:GRCm38:9:108024252:108045607:1 gene:ENSMUSG00000032594.11 transcript:ENSMUST00000176566.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ip6k1 description:inositol hexaphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:1351633] VGQYGKNASRAGDRGVLLEPFIHQAWFLSVLRETVMVTSTW >ENSMUSP00000134754.1 pep:known chromosome:GRCm38:9:108032073:108046143:1 gene:ENSMUSG00000032594.11 transcript:ENSMUST00000177158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k1 description:inositol hexaphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:1351633] ETVEQDDTPEREQPRRKHSRRSLHRSGSGSDHKEEKASLSFETSESSQEAKSPKVELHSH SDVPFQMLDSNSGLSSEKISYNPWSLRCHKQQLSRMRSESKDRKLYKFLLLENVVHHFKY PCVLDLKMGTRQHGDDASAEKAARQMRKCEQSTSATLGVRVCGMQLHDWKTWGECGLPAP VGGAEELRCTSWTQVITSAGISTMAVDSPSRASAMRSISTCTMAWTFDVTFLSQS >ENSMUSP00000135587.1 pep:known chromosome:GRCm38:9:108044863:108045539:1 gene:ENSMUSG00000032594.11 transcript:ENSMUST00000176613.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k1 description:inositol hexaphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:1351633] XSATLGVRVCGMQSLLLKGVPAGHRSLPLQE >ENSMUSP00000001027.6 pep:known chromosome:GRCm38:1:58029931:58106413:1 gene:ENSMUSG00000063558.4 transcript:ENSMUST00000001027.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aox1 description:aldehyde oxidase 1 [Source:MGI Symbol;Acc:MGI:88035] MDPIQLLFYVNGQKVVEKNVDPEMMLLPYLRKNLRLTGTKYGCGGGGCGACTVMISRYNP STKAIRHHPVNACLTPICSLHGTAVTTVEGLGNTRTRLHPIQERIAKCHGTQCGFCTPGM VMSMYALLRNHPEPTLDQLTDALGGNLCRCTGYRPIIDACKTFCKASGCCQSKENGVCCL DQEINGLAESQEEDKTSPELFSEEEFLPLDPTQELIFPPELMRIAEKQPPKTRVFYGERV TWISPVTLKELVEAKFKYPQAPIVMGYTSVGPEVKFKGVFHPIIISPDRIEELGVISQAR DGLTLGAGLSLDQVKDILADIVQKLPEEKTQTYRALLKHLRTLAGSQIRNMASLGGHIVS RHLDSDLNPLLAVGNCTLNLLSKDGERRIPLSEEFLRKCPEADLKPQEVLVSVNIPWSRK WEFVSAFRQAQRQQNALAIVNSGMRVLFREGGGVIEELSILYGGVGSTIISAKNSCQRLI GRPWNEGMLDTACRLVLDEVTLAASAPGGKVEFKRTLIISFLFKFYLEVSQGLKREDPGH SPSLAGNHESALDDLHSKHPWRTLTHQNVDPAQLPQDPIGRPIMHLSGIKHATGEAIYCD DMPAVDRELFLTFVTSSRAHAKIVSIDLSEALSLPGVVDIITADHLQEANTFGTETFLAT DEVHCVGHLVCAVIADSETRAKQAAKQVKVVYQDLAPLILTIEEAIQHKSFFKSERKLEC GNVDEAFKIVDQILEGEIHIGGQEHFYMETQSMLVVPKGEDGEIDIYVSTQFPKYIQDIV AATLKLSANKVMCHVRRVGGAFGGKVGKTSILAAITAFAASKHGRAVRCILERGEDMLIT GGRHPYLGKYKAGFMNDGRILALDVEHYCNGGCSLDESLWVIEMGLLKLDNAYKFPNLRC RGWACRTNLPSNTALRGFGFPQAGLVTEACITEVAIKCGLSPEQVRTINMYKHVDTTHYK QEFSAKALSECWRECMAKCSYFERKAAIGKFNAENSWKKRGMAVIPLKFPVGIGSVAMGQ AAALVHIYLDGSALVSHGGIEMGQGVHTKMIQVVSRELRMPMSSVHLRGTSTETVPNTNA SGGSVVADLNGLAVKDACQTLLKRLEPIISKNPQGTWKDWAQTAFDQSISLSAVGYFRGY ESNIDWEKGEGHPFEYFVFGAACSEVEIDCLTGDHKNIRTNIVMDVGHSINPALDIGQVE GAFIQGMGLYTIEELSYSPQGTLYSRGPNQYKIPAICDIPTEMHISFLPPSEHSNTLYSS KGLGESGVFLGCSVFFAIHDAVKAARQERGISGPWKLNSPLTPEKIRMACEDKFTKMIPR DEPGSYVPWNIPV >ENSMUSP00000142524.1 pep:known chromosome:GRCm38:3:90000140:90052455:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000198322.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDI TGKNQDECVIALHDCNGDVNRAINVLLEGNPDTHSWEMVGKKKGVSGQKDGGQTESNEEG KENRDRDRDYSRRRGGPPRRGRGASRGREFRGQENGLDGTKSGGPSGRGTDRGRRGRGRG RGSSGRRGGRFSAQGMGTFNPADYAEPANTDDNYGNSSGNTWNNTGHFEPDDGTSAWRTA TEEWGTEDWNEDLSETKIFTASNVSSVPLPAENVTITAGQRIDLAVLLGKTPSSMENDSS NLDPSQAPSLAQPLVFSNSKQNAISQPASGSTFSHHSMVSMLGKGFGDVGEAKGGSTTGS QFLEQFKTAQALAQLAAQHSQSGSTTTSSWDMGSTTQSPSLVQYDLKSANDSTVHSPFTK RQAFTPSSTMMEVFLQEKPPAVATSTAAPPPPSSPLPSKSTSAPQMSPGSSDNQSSSPQP AQQKLKQQKKKTSLTSKIPALAVEMPGSADISGLNLQFGALQFGSEPVLSDYESTPTTSA SSSQAPSSLYTSTASESSSTVSSNQSQESGYQSGPIQSTTYTSQNNAQGPLYEQRSTQTR RYPSSISSSPQKDLTQAKNGFSSVQATQLQTTQSVEGATGSAVKSESPSTSSIPSLNETV PAASLLTTANQHSSSLSGLSHTEEIPNTTTTQHSSALSTQQNTLSSSTSSGRTSTSTLLH TSVESEANLHSSSSTFSTTSSTVSAPPPVVSVSSSLNSGSSLGLSLGSNSTVTASTRSSV ATTSGKAPPNLPPGVPPLLPNPYIMAPGLLHAYPPQVYGYDDLQMLQTRFPLDYYSIPFP TPTTPLTGRDGSLASNPYSGDLTKFGRGDASSPAPATTLAQPQQNQTQTHHTTQQTFLNP ALPPGYSYTSLPYYTGVPGLPSTFQYGPAVFPVAPTSSKQHGVNVSVNASATPFQQPSGY GSHGYNTGVSVTSSNTGVPDISGSVYSKTQSFEKQGFHSGTPAASFNLPSALGSGGPINP ATAAAYPPAPFMHILTPHQQPHSQILHHHLQQDGQDILTLVDDQLGE >ENSMUSP00000029553.9 pep:known chromosome:GRCm38:3:90000140:90052465:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000029553.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDI TGKNQDECVIALHDCNGDVNRAINVLLEGNPDTHSWEMVGKKKGVSGQKDGGQTESNEEG KENRDRDRDYSRRRGGPPRRGRGASRGREFRGQENGLDGTKSGGPSGRGTDRGRRGRGRG RGSSGRRGGRFSAQGMGTFNPADYAEPANTDDNYGNSSGNTWNNTGHFEPDDGTRLDFIG VEGSNYPRKFETAPGAWRTATEEWGTEDWNEDLSETKIFTASNVSSVPLPAENVTITAGQ RIDLAVLLGKTPSSMENDSSNLDPSQAPSLAQPLVFSNSKQNAISQPASGSTFSHHSMVS MLGKGFGDVGEAKGGSTTGSQFLEQFKTAQALAQLAAQHSQSGSTTTSSWDMGSTTQSPS LVQYDLKSANDSTVHSPFTKRQAFTPSSTMMEVFLQEKPPAVATSTAAPPPPSSPLPSKS TSAPQMSPGSSDNQSSSPQPAQQKLKQQKKKTSLTSKIPALAVEMPGSADISGLNLQFGA LQFGSEPVLSDYESTPTTSASSSQAPSSLYTSTASESSSTVSSNQSQESGYQSGPIQSTT YTSQNNAQGPLYEQRSTQTRRYPSSISSSPQKDLTQAKNGFSSVQATQLQTTQSVEGATG SAVKSESPSTSSIPSLNETVPAASLLTTANQHSSSLSGLSHTEEIPNTTTTQHSSALSTQ QNTLSSSTSSGRTSTSTLLHTSVESEANLHSSSSTFSTTSSTVSAPPPVVSVSSSLNSGS SLGLSLGSNSTVTASTRSSVATTSGKAPPNLPPGVPPLLPNPYIMAPGLLHAYPPQVYGY DDLQMLQTRFPLDYYSIPFPTPTTPLTGRDGSLASNPYSGDLTKFGRGDASSPAPATTLA QPQQNQTQTHHTTQQTFLNPALPPGYSYTSLPYYTGVPGLPSTFQYGPAVFPVAPTSSKQ HGVNVSVNASATPFQQPSGYGSHGYNTGVSVTSSNTGVPDISGSVYSKTQQSFEKQGFHS GTPAASFNLPSALGSGGPINPATAAAYPPAPFMHILTPHQQPHSQILHHHLQQDGQLPYL QMILCCQRQQEEQDILTLVDDQLGE >ENSMUSP00000066138.8 pep:known chromosome:GRCm38:3:90000288:90052475:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000064639.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDI TGKNQDECVIALHDCNGDVNRAINVLLEGNPDTHSWEMVGKKKGVSGQKDGGQTESNEEG KENRDRDRDYSRRRGGPPRRGRGASRGREFRGQENGLDGTKSGGPSGRGTDRGRRGRGRG RGSSGRRGGRFSAQGMGTFNPADYAEPANTDDNYGNSSGNTWNNTGHFEPDDGTRLDFIG VEGSNYPRKFETAPGMIHPGAWRTATEEWGTEDWNEDLSETKIFTASNVSSVPLPAENVT ITAGQRIDLAVLLGKTPSSMENDSSNLDPSQAPSLAQPLVFSNSKQNAISQPASGSTFSH HSMVSMLGKGFGDVGEAKGGSTTGSQFLEQFKTAQALAQLAAQHSQSGSTTTSSWDMGST TQSPSLVQYDLKSANDSTVHSPFTKRQAFTPSSTMMEVFLQEKPPAVATSTAAPPPPSSP LPSKSTSAPQMSPGSSDNQSSSPQPAQQKLKQQKKKTSLTSKIPALAVEMPGSADISGLN LQFGALQFGSEPVLSDYESTPTTSASSSQAPSSLYTSTASESSSTVSSNQSQESGYQSGP IQSTTYTSQNNAQGPLYEQRSTQTRRYPSSISSSPQKDLTQAKNGFSSVQATQLQTTQSV EGATGSAVKSESPSTSSIPSLNETVPAASLLTTANQHSSSLSGLSHTEEIPNTTTTQHSS ALSTQQNTLSSSTSSGRTSTSTLLHTSVESEANLHSSSSTFSTTSSTVSAPPPVVSVSSS LNSGSSLGLSLGSNSTVTASTRSSVATTSGKAPPNLPPGVPPLLPNPYIMAPGLLHAYPP QVYGYDDLQMLQTRFPLDYYSIPFPTPTTPLTGRDGSLASNPYSGDLTKFGRGDASSPAP ATTLAQPQQNQTQTHHTTQQTFLNPALPPGYSYTSLPYYTGVPGLPSTFQYGPAVFPVAP TSSKQHGVNVSVNASATPFQQPSGYGSHGYNTGVSVTSSNTGVPDISGSVYSKTQQSFEK QGFHSGTPAASFNLPSALGSGGPINPATAAAYPPAPFMHILTPHQQPHSQILHHHLQQDG QTGSGQRSQTSSIPQKPQTNKSAYNSYSWGAN >ENSMUSP00000143459.1 pep:known chromosome:GRCm38:3:90000293:90052462:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000196843.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDI TGKNQDECVIALHDCNGDVNRAINVLLEGNPDTHSWEMVGKKKGVSGQKDGGQTESNEEG KENRDRDRDYSRRRGGPPRRGRGASRGREFRGQENGLDGTKSGGPSGRGTDRGRRGRGRG RGSSGRRGGRFSAQGMGTFNPADYAEPANTDDNYGNSSGNTWNNTGHFEPDDGTRLDFIG VEGSNYPRKFETAPGAWRTATEEWGTEDWNEDLSETKIFTASNVSSVPLPAENVTITAGQ RIDLAVLLGKTPSSMENDSSNLDPSQAPSLAQPLVFSNSKQNAISQPASGSTFSHHSMVS MLGKGFGDVGEAKGGSTTGSQFLEQFKTAQALAQLAAQHSQSGSTTTSSWDMGSTTQSPS LVQYDLKSANDSTVHSPFTKRQAFTPSSTMMEVFLQEKPPAVATSTAAPPPPSSPLPSKS TSAPQMSPGSSDNQSSSPQPAQQKLKQQKKKTSLTSKIPALAVEMPGSADISGLNLQFGA LQFGSEPVLSDYESTPTTSASSSQAPSSLYTSTASESSSTVSSNQSQESGYQSGPIQSTT YTSQNNAQGPLYEQRSTQTRRYPSSISSSPQKDLTQAKNGFSSVQATQLQTTQSVEGATG SAVKSESPSTSSIPSLNETVPAASLLTTANQHSSSLSGLSHTEEIPNTTTTQHSSALSTQ QNTLSSSTSSGRTSTSTLLHTSVESEANLHSSSSTFSTTSSTVSAPPPVVSVSSSLNSGS SLGLSLGSNSTVTASTRSSVATTSGKAPPNLPPGVPPLLPNPYIMAPGLLHAYPPQVYGY DDLQMLQTRFPLDYYSIPFPTPTTPLTGRDGSLASNPYSGDLTKFGRGDASSPAPATTLA QPQQNQTQTHHTTQQTFLNPALPPGYSYTSLPYYTGVPGLPSTFQYGPAVFPVAPTSSKQ HGVNVSVNASATPFQQPSGYGSHGYNTGVSVTSSNTGVPDISGSVYSKTQQSFEKQGFHS GTPAASFNLPSALGSGGPINPATAAAYPPAPFMHILTPHQQPHSQILHHHLQQDGQTGSG QRSQTSSIPQKPQTNKSAYNSYSWGAN >ENSMUSP00000142719.1 pep:known chromosome:GRCm38:3:90000813:90003289:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000199050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] TGVPDISGSVYSKTQQSFEKQGFHSGTPAASFNLPSALGSGGPINPATAAAYPPAPFMHI LTPHQQPHSQILHHHLQQDGQLPYLQMILCCQRQQEEQTGSGQRSQTSSIPQKPQTNKSA YNSYSWGAN >ENSMUSP00000088424.7 pep:known chromosome:GRCm38:3:90005994:90052452:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000090908.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDI TGKNQDECVIALHDCNGDVNRAINVLLEGNPDTHSWEMVGKKKGVSGQKDGGQTESNEEG KENRDRDRDYSRRRGGPPRRGRGASRGREFRGQENGLDGTKSGGPSGRGTDRGRRGRGRG RGSSGRRGGRFSAQGMGTFNPADYAEPANTDDNYGNSSGNTWNNTGHFEPDDGTSAWRTA TEEWGTEDWNEDLSETKIFTASNVSSVPLPAENVTITAGQRIDLAVLLGKTPSSMENDSS NLDPSQAPSLAQPLVFSNSKQNAISQPASGSTFSHHSMVSMLGKGFGDVGEAKGGSTTGS QFLEQFKTAQALAQLAAQHSQSGSTTTSSWDMGSTTQSPSLVQYDLKSANDSTVHSPFTK RQAFTPSSTMMEVFLQEKPPAVATSTAAPPPPSSPLPSKSTSAPQMSPGSSDNQSSSPQP AQQKLKQQKKKTSLTSKIPALAVEMPGSADISGLNLQFGALQFGSEPVLSDYESTPTTSA SSSQAPSSLYTSTASESSSTVSSNQSQESGYQSGPIQSTTYTSQNNAQGPLYEQRSTQTR RYPSSISSSPQKDLTQAKNGFSSVQATQLQTTQSVEGATGSAVKSESPSTSSIPSLNETV PAASLLTTANQHSSSLSGLSHTEEIPNTTTTQHSSALSTQQNTLSSSTSSGRTSTSTLLH TSVESEANLHSSSSTFSTTSSTVSAPPPVVSVSSSLNSGSSLGLSLGSNSTVTASTRSSV ATTSGKAPPNLPPGVPPLLPNPYIMAPGLLHAYPPQVYGYDDLQMLQTRFPLDYYSIPFP TPTTPLTGRDGSLASNPYSGDLTKFGRGDASSPAPATTLAQPQQNQTQTHHTTQQTFLNP ALPPGYSYTSLPYYTGVPGLPSTFQYGPAVFPVAPTSSKQHGVNVSVNASATPFQQPSGY GSHGYNTGRKYPPPYKHFWTAES >ENSMUSP00000143246.1 pep:known chromosome:GRCm38:3:90006219:90021293:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000197177.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] XPAQQKLKQQKKKTSLTSKIPALAVEMPGSADISGLNLQFGALQFGSEPVLSDYESTPTT SASSSQAPSSLYTSTASESSSTVSSNQSQESGYQSGPIQSTTYTSQNNAQGPLYEQRSTQ TRRYPSSISSSPQKDLTQAKNGFSSVQATQLQTTQSVEGATGSAVKSESPSTSSIPSLNE TVPAASLLTTANQHSSSLSGLSHTEEIPNTTTTQHSSALSTQQNTLSSSTSSGRTSTSTL LHTSVESEANLHSSSSTFSTTSSTVSAPPPVVSVSSSLNSGSSLGLSLGSNSTVTASTRS SVATTSGKAPPNLPPGVPPLLPNPYIMAPGLLHAYPPQVYGYDDLQMLQTRFPLDYYSIP FPTPTTPLTGRDGSLASNPYSGDLTKFGRGDASSPAPATTLAQPQQNQTQTHHTTQQTFL NPALPPGYSYTSLPYYTGVPGLPSTFQYGPAVFPVAPTSSKQHGVNVSVNASATPFQQPS GYGSHGYNTGKLPLTLA >ENSMUSP00000143638.1 pep:known chromosome:GRCm38:3:90006220:90052472:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000195995.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDI TGKNQDECVIALHDCNGDVNRAINVLLEGNPDTHSWEMVGKKKGVSGQKDGGQTESNEEG KENRDRDRDYSRRRGGPPRRGRGASRGRECMHGALSKPAVVRGQENGLDGTKSGGPSGRG TDRGRRGRGRGRGSSGRRGGRFSAQGMGTFNPADYAEPANTDDNYGNSSGNTWNNTGHFE PDDGTRLDFIGVEGSNYPRKFETAPGAWRTATEEWGTEDWNEDLSETKIFTASNVSSVPL PAENVTITAGQRIDLAVLLGKTPSSMENDSSNLDPSQAPSLAQPLVFSNSKQNAISQPAS GSTFSHHSMVSMLGKGFGDVGEAKGGSTTGSQFLEQFKTAQALAQLAAQHSQSGSTTTSS WDMGSTTQSPSLVQYDLKSANDSTVHSPFTKRQAFTPSSTMMEVFLQEKPPAVATSTAAP PPPSSPLPSKSTSAPQMSPGSSDNQSSSPQPAQQKLKQQKKKTSLTSKIPALAVEMPGSA DISGLNLQFGALQFGSEPVLSDYESTPTTSASSSQAPSSLYTSTASESSSTVSSNQSQES GYQSGPIQSTTYTSQNNAQGPLYEQRSTQTRRYPSSISSSPQKDLTQAKNGFSSVQATQL QTTQSVEGATGSAVKSESPSTSSIPSLNETVPAASLLTTANQHSSSLSGLSHTEEIPNTT TTQHSSALSTQQNTLSSSTSSGRTSTSTLLHTSVESEANLHSSSSTFSTTSSTVSAPPPV VSVSSSLNSGSSLGLSLGSNSTVTASTRSSVATTSGKAPPNLPPGVPPLLPNPYIMAPGL LHAYPPQVYGYDDLQMLQTRFPLDYYSIPFPTPTTPLTGRDGSLASNPYSGDLTKFGRGD ASSPAPATTLAQPQQNQTQTHHTTQQTFLNPALPPGYSYTSLPYYTGVPGLPSTFQYGPA VFPVAPTSSKQHGVNVSVNASATPFQQPSGYGSHGYNTGRKYPPPYKHFWTAES >ENSMUSP00000143254.1 pep:known chromosome:GRCm38:3:90006220:90052515:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000199834.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDI TGKNQDECVIALHDCNGDVNRAINVLLEGNPDTHSWEMVGKKKGVSGQKDGGQTESNEEG KENRDRDRDYSRRRGGPPRRGRGASRGRECMHGALSKPAVVRGQENGLDGTKSGGPSGRG TDRGRRGRGRGRGSSGRRGGRFSAQGMGTFNPADYAEPANTDDNYGNSSGNTWNNTGHFE PDDGTRLDFIGVEGSNYPRKFETAPGAWRTATEEWGTEDWNEDLSETKIFTASNVSSVPL PAENVTITAGQRIDLAVLLGKTPSSMENDSSNLDPSQAPSLAQPLVFSNSKQNAISQPAS GSTFSHHSMVSMLGKGFGDVGEAKGGSTTGSQFLEQFKTAQALAQLAAQHSQSGSTTTSS WDMGSTTQSPSLVQYDLKSANDSTVHSPFTKRQAFTPSSTMMEVFLQEKPPAVATSTAAP PPPSSPLPSKSTSAPQMSPGSSDNQSSSPQPAQQKLKQQKKKTSLTSKIPALAVEMPGSA DISGLNLQFGALQFGSEPVLSDYESTPTTSASSSQAPSSLYTSTASESSSTVSSNQSQES GYQSGPIQSTTYTSQNNAQGPLYEQRSTQTRRYPSSISSSPQKDLTQAKNGFSSVQATQL QTTQSVEGATGSAVKSESPSTSSIPSLNETVPAASLLTTANQHSSSLSGLSHTEEIPNTT TTQHSSALSTQQNTLSSSTSSGRTSTSTLLHTSVESEANLHSSSSTFSTTSSTVSAPPPV VSVSSSLNSGSSLGLSLGSNSTVTASTRSSVATTSGKAPPNLPPGVPPLLPNPYIMAPGL LHAYPPQVYGYDDLQMLQTRFPLDYYSIPFPTPTTPLTGRDGSLASNPYSGDLTKFGRGD ASSPAPATTLAQPQQNQTQTHHTTQQTFLNPALPPGYSYTSLPYYTGVPGLPSTFQYGPA VFPVAPTSSKQHGVNVSVNASATPFQQPSGYGSHGYNTGRKYPPPYKHFWTAES >ENSMUSP00000143423.1 pep:known chromosome:GRCm38:3:90038980:90052082:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000196633.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDI TGKNQDECVIALHDCNGDVNRAINVLLEGNPDTHSWEMVGKKKGV >ENSMUSP00000143519.1 pep:known chromosome:GRCm38:3:90043736:90052628:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000197903.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQLIDI TGKNQDECVIALHDCNGDVNR >ENSMUSP00000142488.1 pep:known chromosome:GRCm38:3:90043806:90052438:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000199929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADFEEKVKQL >ENSMUSP00000142602.1 pep:known chromosome:GRCm38:3:90044870:90048299:-1 gene:ENSMUSG00000042520.16 transcript:ENSMUST00000196917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2l description:ubiquitin-associated protein 2-like [Source:MGI Symbol;Acc:MGI:1921633] MMTSVGTNRARGNWEQPQNQNQTQHKQRPQATAEQIRLAQMISDHNDADF >ENSMUSP00000000010.8 pep:known chromosome:GRCm38:11:96271457:96276595:1 gene:ENSMUSG00000020875.9 transcript:ENSMUST00000000010.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb9 description:homeobox B9 [Source:MGI Symbol;Acc:MGI:96190] MSISGTLSSYYVDSIISHESEDAPPAKFPSGQYANPRQPGHAEHLDFPSCSFQPKAPVFG ASWAPLSPHASGSLPSVYHPYLQPQGAPAAESRYLRTWLEPAPRAEAAPGQGQAAVKAEP LLGAPGELLKQGTPEYSLETSAGREAVLSNQRAGYGDNKICEGSEDKERPDQTNPSANWL HARSSRKKRCPYTKYQTLELEKEFLFNMYLTRDRRHEVARLLNLSERQVKIWFQNRRMKM KKMNKEQGKE >ENSMUSP00000133919.1 pep:known chromosome:GRCm38:11:96271523:96276595:1 gene:ENSMUSG00000020875.9 transcript:ENSMUST00000174042.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb9 description:homeobox B9 [Source:MGI Symbol;Acc:MGI:96190] MSISGTLSSYYVDSIISHESEDAPPAKFPSGQYANPRQPGHAEHLDFPSCSFQPKAPVFG ASWAPLSPHASGSLPSVYHPYLQPQGAPAAESSQPLCQLAARPLFPEKALSLHQIPDAGA REGVSVQYVPHQGPQA >ENSMUSP00000114433.1 pep:known chromosome:GRCm38:8:84148025:84162347:1 gene:ENSMUSG00000008129.14 transcript:ENSMUST00000143833.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930432K21Rik description:RIKEN cDNA 4930432K21 gene [Source:MGI Symbol;Acc:MGI:1921916] MNKKKQRNSGVGLHPSKPSKNPRLRDSQSSMMVHSHYSRESEDSSEPAPSVELGGEEPLH EAFSCPVEDTGAASDLAGSPKELVPLPPSQNSVGKFVPQFAKPRKT >ENSMUSP00000113651.1 pep:known chromosome:GRCm38:8:84148159:84172590:1 gene:ENSMUSG00000008129.14 transcript:ENSMUST00000118856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930432K21Rik description:RIKEN cDNA 4930432K21 gene [Source:MGI Symbol;Acc:MGI:1921916] MNKKKQRNSGVGLHPSKPSKNPRLRDSQSSMMVHSHYSRESEDSSEPAPSVELGGEEPLH EAFSCPVEDTGAASDLAGSPKELVPLPPSQNSVGKFVPQFAKPRKTVTRKAKAWEEDLEG CTTSQETRPELGALKAASQPQRESLRFPPHDIRPEVQTQPDGTLSKERTISLDNRSLGNN GFEMATVQDSSSPLSDAAAEGREADSRDPQERDAQGGEAGAQHSGEPQEGEDILYTSALA PASEPTWSVAQDLSVPTYTLSSTAAAPSSTSPADASLMDTVITEVSLDPSVLQQSAPQVA KLLGSLDEQIPDGGCIGTLLSSTPLAEETTAGREEARWEERCHGDTLASFTETEPEKQEP VTEAGDSGHIAQEMDPVVKTKDSGSDEQSPGDIGMLPLPAQSMNQMLVELRGLTCDQDLE GLSTPHTSSQLEHTCAASDPPQSTKDCHSSPGIPVHLAAPCPRDQAAWQESSAMELDFLP DSQIQDALDATNMEQGFPSGSMPDLGWPVPSSQSIGGSPKAVTKPQSRSHVETWAQETYR MQDATDTVRGLVVELSGLNRLIMSTHRDLEAFKRRKTKSLPYLTKGLGSLPRGDQSWRDL >ENSMUSP00000091067.4 pep:known chromosome:GRCm38:8:84148252:84172589:1 gene:ENSMUSG00000008129.14 transcript:ENSMUST00000093375.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930432K21Rik description:RIKEN cDNA 4930432K21 gene [Source:MGI Symbol;Acc:MGI:1921916] MNKKKQRNSGVGLHPSKPSKNPRLRDSQSSMMVHSHYSRESEDSSEPAPSVELGGEEPLH EAFSCPVEDTGAASDLAGSPKELVPLPPSQETRPELGALKAASQPQRESLRFPPHDIRPE VQTQPDGTLSKERTISLDNRSLGNNGFEMATVQDSSSPLSDAAAEGREADSRDPQERDAQ GGEAGAQHSGEPQEGEDILYTSALAPASEPTWSVAQDLSVPTYTLSSTAAAPSSTSPADA SLMDTVITEVSLDPSVLQQSAPQVAKLLGSLDEQIPDGGCIGTLLSSTPLAEETTAGREE ARWEERCHGDTLASFTETEPEKQEPVTEAGDSGHIAQEMDPVVKTKDSGSDEQSPGDIGM LPLPAQSMNQMLVELRGLTCDQDLEGLSTPHTSSQLEHTCAASDPPQSTKDCHSSPGIPV HLAAPCPRDQAAWQESSAMELDFLPDSQIQDALDATNMEQGFPSGSMPDLGWPVPSSQSI GGSPKAVTKPQSRSHVETWAQETYRMQDATDTVRGLVVELSGLNRLIMSTHRDLEAFKRR KTKSLPYLTKGLGSLPRGDQSWRDL >ENSMUSP00000011178.2 pep:known chromosome:GRCm38:5:33104219:33162870:1 gene:ENSMUSG00000011034.6 transcript:ENSMUST00000011178.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a1 description:solute carrier family 5 (sodium/glucose cotransporter), member 1 [Source:MGI Symbol;Acc:MGI:107678] MDSSTLSPAVTATDAPIPSYERIRNAADISVIVIYFVVVMAVGLWAMFSTNRGTVGGFFL AGRSMVWWPIGASLFASNIGSGHFVGLAGTGAAAGIAMGGFEWNALVLVVVLGWIFVPIY IKAGVVTMPEYLRKRFGGKRIQIYLSVLSLLLYIFTKISADIFSGAIFINLALGLDIYLA IFILLAITALYTITGGLAAVIYTDTLQTAIMLVGSFILTGFAFNEVGGYEAFMDKYMKAI PTKVSNGNFTAKEECYTPRADSFHIFRDPITGDMPWPGLIFGLAILALWYWCTDQVIVQR CLSAKNMSHVKAGCTLCGYLKLLPMFLMVMPGMISRILYTEKIACVLPEECQKYCGTPVG CTNIAYPTLVVELMPNGLRGLMLSVMMASLMSSLTSIFNSASTLFTMDIYTKIRKKASEK ELMIAGRLFILVLIGISIAWVPIVQSAQSGQLFDYIQSITSYLGPPIAAVFLLAIFCKRV NEQGAFWGLILGFLIGISRMITEFAYGTGSCMEPSNCPKIICGVHYLYFAIILFVISVIT ILIISFLTKPIPDVHLYRLCWSLRNSKEERIDLDAGEEEDIPEDSKDTIEIDTEAPQKKK GCFRRAYDLFCGLDQDKGPKMTKEEEEAMKMKMTDTSEKPLWRTVVNINGIILLAVAVFC HAYFA >ENSMUSP00000008966.6 pep:known chromosome:GRCm38:12:85272398:85280435:-1 gene:ENSMUSG00000008822.15 transcript:ENSMUST00000008966.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acyp1 description:acylphosphatase 1, erythrocyte (common) type [Source:MGI Symbol;Acc:MGI:1913454] MAEGDTLVSVDYEIFGKVQGVFFRKYTQAEGKKLGLVGWVQNTDRGTVQGQLQGPVSKVR FMQQWLETRGSPKSHIDRANFNNEKVIANLDYSDFQIVK >ENSMUSP00000113161.2 pep:known chromosome:GRCm38:12:85272409:85280306:-1 gene:ENSMUSG00000008822.15 transcript:ENSMUST00000117138.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acyp1 description:acylphosphatase 1, erythrocyte (common) type [Source:MGI Symbol;Acc:MGI:1913454] MAEGDTLVSVDYEIFGKVQGVFFRKYTQAEGKKLGLVGWVQNTDRGTVQGQLQGPVSKVR FMQQWLETRGSPKSHIDRANFNNEKVIANLDYSDFQIVK >ENSMUSP00000112609.1 pep:known chromosome:GRCm38:12:85272547:85288438:-1 gene:ENSMUSG00000008822.15 transcript:ENSMUST00000121930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acyp1 description:acylphosphatase 1, erythrocyte (common) type [Source:MGI Symbol;Acc:MGI:1913454] MKLPDLRVCPCLEKGGAPRWAAESSRPRIRESVQLAGVGLLITLLSALGYCAQAPGLSMA EGDTLVSVDYEIFGKVQGVFFRKYTQAEGKKLGLVGWVQNTDRGTVQGQLQGPVSKVRFM QQWLETRGSPKSHIDRANFNNEKVIANLDYSDFQIVK >ENSMUSP00000070555.7 pep:known chromosome:GRCm38:12:85278893:85280418:-1 gene:ENSMUSG00000008822.15 transcript:ENSMUST00000065913.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acyp1 description:acylphosphatase 1, erythrocyte (common) type [Source:MGI Symbol;Acc:MGI:1913454] MAEGDTLVSVDYEIFGKVQGVFFRKYTQAEALPTSSCLPLHQPLVARILHTHVCIRVLKS EDQLRESVLPYHVDSRDQTQVTGLGGKCAPSCWISINLTALASAWVVS >ENSMUSP00000124763.2 pep:known chromosome:GRCm38:19:45791839:45794299:-1 gene:ENSMUSG00000025221.15 transcript:ENSMUST00000159210.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip2 description:Kv channel-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2135916] XLDIMKSIYDMMGKYTYPALREEAPREHVESFFQKMDRNKDGVVTIEEFIESCQQCGCDL AHDQDSRWKSAPTGISSHDSRGHRKGGMPSKGCMC >ENSMUSP00000125142.2 pep:known chromosome:GRCm38:19:45792346:45816061:-1 gene:ENSMUSG00000025221.15 transcript:ENSMUST00000162528.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip2 description:Kv channel-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2135916] MRGQGRKESLSESRDLDGSYDQLTGHPPGPSKKALKQRFLKLLPCCGPQALPSVSETLAA PASLRPHRPRPLDPDSVEDEFELSTVCHRPEGLEQLQEQTKFTRRELQVLYRGFKNECPS GIVNEENFKQIYSQFFPQGDSSNYATFLFNAFDTNHDGSVSFEDFVAGLSVILRGTIDDR LNWAFNLYDLNKDGCITKEEMLDIMKSIYDMMGKYTYPALREEAPREHVESFFQKMDRNK DGVVTIEEFIESCQQDENIMRSMQLFDNVI >ENSMUSP00000084215.4 pep:known chromosome:GRCm38:19:45793349:45812291:-1 gene:ENSMUSG00000025221.15 transcript:ENSMUST00000086993.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip2 description:Kv channel-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2135916] MNRCPRRCRSPLGQAARSLYQLVTGSLSPDSVEDEFELSTVCHRPEGLEQLQEQTKFTRR ELQVLYRGFKNECPSGIVNEENFKQIYSQFFPQGDSSNYATFLFNAFDTNHDGSVSFEDF VAGLSVILRGTIDDRLNWAFNLYDLNKDGCITKEEMLDIMKSIYDMMGKYTYPALREEAP REHVESFFQKMDRNKDGVVTIEEFIESCQQDENIMRSMQLFDNVI >ENSMUSP00000026247.6 pep:known chromosome:GRCm38:19:45793448:45816025:-1 gene:ENSMUSG00000025221.15 transcript:ENSMUST00000026247.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip2 description:Kv channel-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2135916] MRGQGRKESLSESRDLDGSYDQLTGHPPGPSKKALKQRFLKLLPCCGPQALPSVSESNVE DEFELSTVCHRPEGLEQLQEQTKFTRRELQVLYRGFKNECPSGIVNEENFKQIYSQFFPQ GDSSNYATFLFNAFDTNHDGSVSFEDFVAGLSVILRGTIDDRLNWAFNLYDLNKDGCITK EEMLDIMKSIYDMMGKYTYPALREEAPREHVESFFQKMDRNKDGVVTIEEFIESCQQDEN IMRSMQLFDNVI >ENSMUSP00000124346.1 pep:known chromosome:GRCm38:19:45793670:45795704:-1 gene:ENSMUSG00000025221.15 transcript:ENSMUST00000159245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip2 description:Kv channel-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2135916] TAWRMSLNYPRCATGLRVWNNSRNKPSSHAESCRSCTEASRTNVPAELSTRRTSSKFILS SFPKEDFVAGLSVILRGTIDDRLNWAFNLYDLNKDGCITKEEMLDIMKSIYDMMGKYTYP ALREEAPREHVESFFQKMDRNKDGVVTIEEFIESCQQDENIMRSMQLFDNVI >ENSMUSP00000124482.2 pep:known chromosome:GRCm38:19:45793670:45815833:-1 gene:ENSMUSG00000025221.15 transcript:ENSMUST00000161886.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip2 description:Kv channel-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2135916] MRGQGRKESLSESRDLDGSYDQLTDSVEDEFELSTVCHRPEGLEQLQEQTKFTRRELQVL YRGFKNECPSGIVNEENFKQIYSQFFPQGDSSNYATFLFNAFDTNHDGSVSFEDFVAGLS VILRGTIDDRLNWAFNLYDLNKDGCITKEEMLDIMKSIYDMMGKYTYPALREEAPREHVE SFFQKMDRNKDGVVTIEEFIESCQQDENIMRSMQLFDNVI >ENSMUSP00000124821.3 pep:known chromosome:GRCm38:19:45793991:45815803:-1 gene:ENSMUSG00000025221.15 transcript:ENSMUST00000162661.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip2 description:Kv channel-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2135916] MRGQGRKESLSESRDLDGSYDQLTDSVEDEFELSTVCHRPEGLEQLQEQTKFTRRELQVL YRGFKNECPSGIVNEENFKQIYSQFFPQGDSSNYATFLFNAFDTNHDGSVSFEEMLDIMK SIYDMMGKYTYPALREEAPREHVESFFQKMDRNKDGVVTIEEFIESCQQVWL >ENSMUSP00000125499.1 pep:known chromosome:GRCm38:19:45794982:45797044:-1 gene:ENSMUSG00000025221.15 transcript:ENSMUST00000159446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip2 description:Kv channel-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2135916] VRGWVREVWWDWELAGSECEGCSYKLECIVGSCMLVSIPSVQNALAAPASLRPHRPRPLD PDSVEDEFELSTVCHRPEGLEQLQEQTKFTRRELQVLYRGFKNECPSGIVNEENFKQIYS QFFPQ >ENSMUSP00000078400.3 pep:known chromosome:GRCm38:19:45792346:45816022:-1 gene:ENSMUSG00000025221.15 transcript:ENSMUST00000079431.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip2 description:Kv channel-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2135916] MRGQGRKESLSESRDLDGSYDQLTGHPPGPSKKALKQRFLKLLPCCGPQALPSVSENSVE DEFELSTVCHRPEGLEQLQEQTKFTRRELQVLYRGFKNECPSGIVNEENFKQIYSQFFPQ GDSSNYATFLFNAFDTNHDGSVSFEDFVAGLSVILRGTIDDRLNWAFNLYDLNKDGCITK EEMLDIMKSIYDMMGKYTYPALREEAPREHVESFFQKMDRNKDGVVTIEEFIESCQQDEN IMRSMQLFDNVI >ENSMUSP00000097093.3 pep:known chromosome:GRCm38:19:36926079:37012752:1 gene:ENSMUSG00000040565.7 transcript:ENSMUST00000099494.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btaf1 description:B-TFIID TATA-box binding protein associated factor 1 [Source:MGI Symbol;Acc:MGI:2147538] MAVSRLDRLFILLDTGTTPVTRKAAAQQLGEVVKLHPHELNNLLSKVLIYLRSTNWDTRI AAGQAVEAIVKNVPEWNPVPRTKQEPTESSMEDSSTTDRLNFDRFDICRLLQHGASLLGS AGAEFEVQDEKSGEVDPKERIARQRKLLQKKLGLNMGEAIGMSTEELFNDEDLDYTPTSA ALVNKQSTLQAAELIDSEFRAGMSNRQKNKAKRMAKLFAKQRSRDAVETNEKSNDSTDGE PEEKRRKVANVVINQTASDSKVLIDNVPESSSLIEETNEWPLESFCEELCNDLFNPSWEV RHGAGTGLREILKAHGKSGGKMGDSTLEEMIQQHQEWLEDLVIRLLCVFALDRFGDFVSD EVVAPVRETCAQTLGVVLKHMNETGVHKTVDVLLKLLTQEQWEVRHGGLLGIKYALAVRQ DVINTLLPKVLTRIIEGLQDLDDDVRAVAAASLVPVVESLVYLQTQKVPSIINTLWDSLL ELDDLTASTNSIMTLLSSMLTYPQVQQCSIQQSLTVLVPRVWPFLHHTISSVRRAALETL FTLLSTQDENSSSWLIPILSDMLRHIFQFCVLESSQEILDLIHKVWMELLSKASVQYLVA AACPWMSAWLCLMMQPSHLPIDLNMLLEVKARAKEKTGGKVRQGQIQNKEVLQEYIAGAD TIMEDPATRDFVVMRARMMAAKLLGALCCYICDPSVNMVNQEIKPADSLGQLLLFYLNSK SALQRISVALVICEWAALQKECKAVTLAVQPRLLDILSEHLYYDEIAVPFTRMQNECKQF ISSLADAHIEVGNRVNNNVLTIDQANDLVTTIFNEVTSTFDLNPQVLQQLDSKRHQVQMT VAETNQEWQVLQLRVHTFAACAVVSLQQLPEKLNPIIKPLMETIKKEENTLVQNYAAQYI AKLLQQCTTRTPCPNSKVIKNLCSSLCVDPYLTPCVTCPVPTQSGQENSKGSNSEKDGMH HTVTKHRGIITLYRHQKAAFAITSRRGPIPKAIKAQIADLPAGSSGTILVELDEGQKPYL VQRRGAEFALTTIVKHFGAEMAVKLPHLWDAMVGPLKSMIDLNNFDGKSLLERGDVPAQE LVNSLQVFEIAAASMDSALHPLLVQHLPHLYMCLQYPSTAVRHMAARCIGVMSKIATMET MNIFLEKVLPWLGAIDDNVKQEGAIEALACVMEQLDVGIVPYIVLLVVPVLGRMSDQTDS VRFMATQCFATLIRLMPLEAGIPDPPNMSEELIQLKAKERHFLEQLLDGKKLENYKIPVP INAELRKYQQDGVNWLAFLNKYKLHGILCDDMGLGKTLQSICILAGDHCQRAQEYARSKL AECMPLPSLVVCPPTLTGHWVDEVGKFCSREYLNPLHYTGPPTERIRLQHQVKRHNLIVA SYDVVRNDIDFFRNIKFNYCILDEGHVIKNGKTKLSKAVKQLTANYRIILSGTPIQNNVL ELWSLFDFLMPGFLGTERQFAARYGKPILASRDARSSSREQEAGVLAMDALHRQVLPFLL RRMKEDVLQDLPPKIIQDYYCTLSPLQVQLYEDFAKSRAKCDVDETVSSAALSEETEKPK LKATGHVFQALQYLRKLCNHPALVLTPQHPEFKSTTEKLTVQNSSLHDIQHAPKLSALKQ LLLDCGLGNGSSTESGTESVVAQHRILIFCQLKSMLDIVEHDLLKPHLPSVTYLRLDGSI PPGQRHSIVSRFNNDPSIDVLLLTTHVGGLGLNLTGADTVVFVEHDWNPMRDLQAMDRAH RIGQKRVVNVYRLITRGTLEEKIMGLQKFKMNIANTVISQENSSLQSMGTDQLLDLFTLD KDGKAEKADSSTSGKASMKSVLENLSDLWDAEQYDSEYNLETFMRSLE >ENSMUSP00000050465.1 pep:known chromosome:GRCm38:4:62525369:62547993:1 gene:ENSMUSG00000058046.1 transcript:ENSMUST00000062145.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933430I17Rik description:RIKEN cDNA 4933430I17 gene [Source:MGI Symbol;Acc:MGI:3045314] MRLRSSIWSGSVLKAQESFDSMNVADESQWDEAVCTLSGCQHPQCWASLRRIERGHPRIL DPSPKSPRETEDKLPTLTIVNITDTCLWTQKRVAQQQPSEFTFPKDRPSLSKPASKRQSR SPKALRDKDVTSRSPRPLKLSVLNLNEAKLPLSENVSNMVVTWVPEETEKDVSPVQKTDV SSWPGKKRRKKLRKKSKPSLYYPGRQYSRSPAAIVPPPSPEHHLEQLSPEAIPLWAQVGM LPQDLLEECILAHEKSIIGPEVKIELSKMRKSLPLERRRPESAISSKMYLTIQRLTLQRP SLRYPARLRKLCPNLKQGEGLAGHGSSDSLMQQGKAKTFPPKQEPKKKAKRNVKGQYGEE TTSGHFFHDSVGLRISGQEDQQTPWEEEDIEKTSAETHVSLEEVYEFDKYYTEYYATPES AVLYETVYQNLDDDEETMVGIKASSKDRNLKNLSAMMDGIGWNPELKLLRILQATEEEDE EGHNSRAQSKTSLEA >ENSMUSP00000099794.3 pep:known chromosome:GRCm38:2:62474530:62483650:-1 gene:ENSMUSG00000000394.15 transcript:ENSMUST00000102733.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcg description:glucagon [Source:MGI Symbol;Acc:MGI:95674] MKTIYFVAGLLIMLVQGSWQHALQDTEENPRSFPASQTEAHEDPDEMNEDKRHSQGTFTS DYSKYLDSRRAQDFVQWLMNTKRNRNNIAKRHDEFERHAEGTFTSDVSSYLEGQAAKEFI AWLVKGRGRRDFPEEVAIAEELGRRHADGSFSDEMSTILDNLATRDFINWLIQTKITDKK >ENSMUSP00000115892.1 pep:known chromosome:GRCm38:2:62475733:62483645:-1 gene:ENSMUSG00000000394.15 transcript:ENSMUST00000136686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcg description:glucagon [Source:MGI Symbol;Acc:MGI:95674] MKTIYFVAGLLIMLVQGSWQHALQDTEENPRSFPASQTEAHEDPDEMNEDKRHSQGTFTS DYSKYLDSRRAQDFVQWLMNTKRNRNNIAKRHDEFERHAEGTFTSDVSSYLEGQAAKEFI AWLVKGRGRRDFPEEVAIAEELGRRHADGSFSDEMSTILDNLATRDFINWLIQ >ENSMUSP00000093043.2 pep:known chromosome:GRCm38:6:132737003:132738035:-1 gene:ENSMUSG00000071149.3 transcript:ENSMUST00000095394.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r115 description:taste receptor, type 2, member 115 [Source:MGI Symbol;Acc:MGI:2681223] MCAVLRSILTIIFILEFFIGNLGNGFIALVQCMDLRKRRTFPSADHFLTALAISRLALIW VLFLDSFLFIQSPLLMTRNTLRLIQTAWNISNHFSIWFATSLSIFYLFKIAIFSNYLFFY LKRRVKRVVLVILLLSMILLFFNIFLEIKHIDVWIYGTKRNITNGLSSNSFSEFSRLILI PSLMFTLVPFGVSLIAFLLLIFSLMKHVRKMQYYTKGCKDVRTMAHTTALQTVVAFLLLY TTFFLSLVVEVSTLEMDESLMLLFAKVTIMIFPSIHSCIFILKHNKLRQDLLSVLKWLQY WCKREKTLDS >ENSMUSP00000062702.3 pep:known chromosome:GRCm38:2:83812636:83883486:1 gene:ENSMUSG00000048388.3 transcript:ENSMUST00000051454.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam171b description:family with sequence similarity 171, member B [Source:MGI Symbol;Acc:MGI:2444579] MARLCRRVPCALLLGLAAVLLKARLVPAAARAELSRSDLSLIQQQQQQQLQEQKQREEAE EGRPEVPGASSTLVAPVSVFMLKVQVNDIVSRQYLSQAVVEVFVNYSKTNSTVTRSNGAV LIKVPYQLGLSLTIVAYKDGYVLTSLPWKTGRMPIYSSVTLSLFPQSQANIWLFEDTVLI TGKLADAKSQPSVQFSKAFIKLPDNHHISNVTGYLTVLHQFLKVDSFLPATGVTYKSGLE NVELTPHAAICVKIYSGGKELKVDGSIHVSLPLLHTSNIKIGDRIPAWTFDMNAGVWVHH GWGTVKEHNSHLIWTYDAPHLGYWIAAPSPATLDFGINDDFQDITAYHTVFLTAILGGTI VIIIGFFAILLCYCRGKCATPQKRERNITKLEILKRDQTTSTTHINHISSVKTALKAEDK PQLFNAKTSSYSPQRKETTKTEAEERISMVKTRDNFKIYNEDVSFLSVNHNYSRNPTQSL EPSMGSKQPKHINNNLSPSLGDAQEEKRYLTGTEEVYGRSHIPEQLMHIYSQPIAILQTS DLFSMPEQLHAAKSATLPRKGQLVYGQLMEPVNRENFTQTLPKMPMHSHVQAPDAREEDI VLEGQQSLPSQTSDWSRYSNSLLESVSVPGTLNEAVVMTPFSSELQGISEQTLLELSKGK PPHPRAWFVSLDGKPVAQVRHSFIDLKKGKRTQSNDTSLDSGVDMNEHQSSRKLEREKTF IKSMHQPKILYLEDLDLSSSESGTTVCSPEDPALRHILEGGSGVIIEHPGEESPGRKSTV EDFEANTSPTKKRGRPPPLAKRDSKTNIWKKREERPLIPLN >ENSMUSP00000015138.9 pep:known chromosome:GRCm38:5:134702593:134747323:-1 gene:ENSMUSG00000029675.12 transcript:ENSMUST00000015138.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eln description:elastin [Source:MGI Symbol;Acc:MGI:95317] MAGLTAVVPQPGVLLILLLNLLHPAQPGGVPGAVPGGLPGGVPGGVYYPGAGIGGLGGGG GALGPGGKPPKPGAGLLGTFGAGPGGLGGAGPGAGLGAFPAGTFPGAGALVPGGAAGAAA AYKAAAKAGAGLGGVGGVPGGVGVGGVPGGVGVGGVPGGVGVGGVPGGVGGIGGIGGLGV STGAVVPQVGAGIGAGGKPGKVPGVGLPGVYPGGVLPGTGARFPGVGVLPGVPTGTGVKA KAPGGGGAFAGIPGVGPFGGQQPGVPLGYPIKAPKLPGGYGLPYTNGKLPYGVAGAGGKA GYPTGTGVGSQAAAAAAKAAKYGAGGAGVLPGVGGGGIPGGAGAIPGIGGIAGAGTPAAA AAAKAAAKAAKYGAAGGLVPGGPGVRLPGAGIPGVGGIPGVGGIPGVGGPGIGGPGIVGG PGAVSPAAAAKAAAKAAKYGARGGVGIPTYGVGAGGFPGYGVGAGAGLGGASPAAAAAAA KAAKYGAGGAGALGGLVPGAVPGALPGAVPAVPGAGGVPGAGTPAAAAAAAAAKAAAKAG LGPGVGGVPGGVGVGGIPGGVGVGGVPGGVGPGGVTGIGAGPGGLGGAGSPAAAKSAAKA AAKAQYRAAAGLGAGVPGFGAGAGVPGFGAGAGVPGFGAGAGVPGFGAGAGVPGFGAGAV PGSLAASKAAKYGAAGGLGGPGGLGGPGGLGGPGGLGGAGVPGRVAGAAPPAAAAAAAKA AAKAAQYGLGGAGGLGAGGLGAGGLGAGGLGAGGLGAGGLGAGGLGAGGLGAGGGVSPAA AAKAAKYGAAGLGGVLGARPFPGGGVAARPGFGLSPIYPGGGAGGLGVGGKPPKPYGGAL GALGYQGGGCFGKSCGRKRK >ENSMUSP00000144555.1 pep:known chromosome:GRCm38:5:134702838:134747246:-1 gene:ENSMUSG00000029675.12 transcript:ENSMUST00000201856.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eln description:elastin [Source:MGI Symbol;Acc:MGI:95317] MAGLTAVVPQPGVLLILLLNLLHPAQPGGVPGAVPGGLPGGVPGGVYYPGAGIGGLGGGG GALGPGGKPPKPGAGLLGTFGAGPGGLGGAGPGAGLGAFPAGTFPGAGALVPGGAAGAAA AYKAAAKAGAGLGGVGGVPGGVGVGGVPGGVGVGGVPGGVGVGGVPGGVGGIGGIGGLGV STGAVVPQVGAGIGAGGKPGKVPGVGLPGVYPGGVLPGTGARFPGVGVLPGVPTGTGVKA KAPGIWCWWDSRWSWCWWGSWWSWPWWCYWYWSWSWRSWRSRVTGCR >ENSMUSP00000124732.1 pep:known chromosome:GRCm38:18:38185914:38212053:-1 gene:ENSMUSG00000051375.15 transcript:ENSMUST00000160721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh1 description:protocadherin 1 [Source:MGI Symbol;Acc:MGI:104692] MGPLRPSPGPGGQRLLLPPLLLALLLLLAPSASHTTQVVYKVPEEQPPNTLIGSLAADYG LPDVGHLYKLEVGAPYLRVDGKTGDIFTTETSIDREGLRECQNQIPGNPCILEFEVSITD LVQNGSPRLLEGQIEVQDINDNTPNFASPVITLAIPENTNIGSLFPIPLATDRDAGPNGV ASYELQAGPEAQELFGLQVAEDQEEKQPQLIVMGNLDRERWDSYDLTIKVQDGGNPPRAS SALLRVTVLDTNDNAPKFERPTYEAELSENSPIGHSVIQLPHRRVTFSATSQAQELQDPS QHSYYDSGLEESETPSSKSSSGPRLGPLALPEDHYERTTPDGSIGEMEHPENEPAGRSRP >ENSMUSP00000125576.1 pep:known chromosome:GRCm38:18:38188890:38203163:-1 gene:ENSMUSG00000051375.15 transcript:ENSMUST00000161701.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh1 description:protocadherin 1 [Source:MGI Symbol;Acc:MGI:104692] NDNTPNFASPVITLAIPENTNIGSLFPIPLATDRDAGPNGVASYELQAGPEAQELFGLQV AEDQEEKQPQLIVMGNLDRERWDSYDLTIKVQDGGNPPRASSALLRVTVLDTNDNAPKFE RPTYEAELSENSPIGHSVIQVKANDSDQGANAEIDYTFHQAPEVVRRLLRLDRNTGLITV QGPVDREDLSTLRFSVLAKDRGATPKSARAQVVVTVKDMNDNAPTIEIRGIGLVTHQDGM ANISEDVAEETAVALVQVSDRDEGENAAVTCVVAGDVPFQLRQASETGSDSKKKYFLQTT TPLDYEKVKDYTIEIVAVDSGNPPLSSTNSLKVQVVDVNDNAPVFTQSITEVAFPENNKP GEVVAEVTASDADSGSNAELVYSLEPEPAAQGLFTISPENGEIRVKTSLDREQRDSYELK VVAADRGSPSLQGTATVLVNVLDCNDNDPKFMLSGYNFSVMENMPALSPVGMVTVIDGDK GENARVQLSVEQDNGDFVIQNGTGTILSSLSFDREQQSTYTFQLKAVDGGVPPRSAYVGV TINVLDENDNAPFITAPSNTSHRLLTPQTRLGETVSQVTAEDIDSGINAELTYSIAGGNP YGLFQIGSHSGAITLEKEIERRHHGLHRLVVKVSDRGKPPRYGTALVHLYVNETLANRTL LETLLVHSLDTPLDIDIAGDPEYERSKQRGNILFGVVAGVVAVALLIALAVLVRYCRQRE AKSGYQAGKKETKDLYAPKPSGKAAKGSKSKGKKSKSPKPVKPVEDEDDTGLQKSLKFNL MSDAPGDSPRIHLPLNYPPGSPDLGRHYRSNSPLPSIQLQPQSPSASKKHQVVQDLPPAN TFVGTGDTTSTGSEQYSDYSYRTNPPKYPSKQLPHRRVTFSATSQAQELQDPSQHSYYDS GLEESETPSSKSSSGPRLGPLALPEDHYERTTPDGSIGEMEHPENDLRPLPDVAMTGTCT RECSEFGHSDTCWMPGQSSPSRRTKSSALKLSTFVPYQDRGGQEPAGAGSPSPPEDRNTK TAPVRLLPSYSAFSHSSHDSCKDSATLEEIPLTQTSDFPPTATPASAQTAAKREIYL >ENSMUSP00000055199.6 pep:known chromosome:GRCm38:18:38196694:38209762:-1 gene:ENSMUSG00000051375.15 transcript:ENSMUST00000057185.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh1 description:protocadherin 1 [Source:MGI Symbol;Acc:MGI:104692] MGPLRPSPGPGGQRLLLPPLLLALLLLLAPSASHTTQVVYKVPEEQPPNTLIGSLAADYG LPDVGHLYKLEVGAPYLRVDGKTGDIFTTETSIDREGLRECQNQIPGNPCILEFEVSITD LVQNGSPRLLEGQIEVQDINDNTPNFASPVITLAIPENTNIGSLFPIPLATDRDAGPNGV ASYELQAGPEAQELFGLQVAEDQEEKQPQLIVMGNLDRERWDSYDLTIKVQDGGNPPRAS SALLRVTVLDTNDNAPKFERPTYEAELSENSPIGHSVIQVKANDSDQGANAEIDYTFHQA PEVVRRLLRLDRNTGLITVQGPVDREDLSTLRFSVLAKDRGATPKSARAQVVVTVKDMND NAPTIEIRGIGLVTHQDGMANISEDVAEETAVALVQVSDRDEGENAAVTCVVAGDVPFQL RQASETGSDSKKKYFLQTTTPLDYEKVKDYTIEIVAVDSGNPPLSSTNSLKVQVVDVNDN APVFTQSITEVAFPENNKPGEVVAEVTASDADSGSNAELVYSLEPEPAAQGLFTISPENG EIRVKTSLDREQRDSYELKVVAADRGSPSLQGTATVLVNVLDCNDNDPKFMLSGYNFSVM ENMPALSPVGMVTVIDGDKGENARVQLSVEQDNGDFVIQNGTGTILSSLSFDREQQSTYT FQLKAVDGGVPPRSAYVGVTINVLDENDNAPFITAPSNTSHRLLTPQTRLGETVSQVTAE DIDSGINAELTYSIAGGNPYGLFQIGSHSGAITLEKEIERRHHGLHRLVVKVSDRGKPPR YGTALVHLYVNETLANRTLLETLLVHSLDTPLDIDIAGDPEYERSKQRGNILFGVVAGVV AVALLIALAVLVRYCRQREAKSGYQAGKKETKDLYAPKPSGKAAKGSKSKGKKSKSPKPV KPVEDEDDTGLQKSLKFNLMSDAPGDSPRIHLPLNYPPGSPDLGRHYRSNSPLPSIQLQP QSPSASKKHQVVQDLPPANTFVGTGDTTSTGSEQYSDYSYRTNPPKYPSKQVGQPFRLST PQPPPHPYHGAIWTEVWE >ENSMUSP00000125309.1 pep:known chromosome:GRCm38:18:38196694:38211970:-1 gene:ENSMUSG00000051375.15 transcript:ENSMUST00000159405.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh1 description:protocadherin 1 [Source:MGI Symbol;Acc:MGI:104692] MGPLRPSPGPGGQRLLLPPLLLALLLLLAPSASHTTQVVYKVPEEQPPNTLIGSLAADYG LPDVGHLYKLEVGAPYLRVDGKTGDIFTTETSIDREGLRECQNQIPGNPCILEFEVSITD LVQNGSPRLLEGQIEVQDINDNTPNFASPVITLAIPENTNIGSLFPIPLATDRDAGPNGV ASYELQAGPEAQELFGLQVAEDQEEKQPQLIVMGNLDRERWDSYDLTIKVQDGGNPPRAS SALLRVTVLDTNDNAPKFERPTYEAELSENSPIGHSVIQVKANDSDQGANAEIDYTFHQA PEVVRRLLRLDRNTGLITVQGPVDREDLSTLRFSVLAKDRGATPKSARAQVVVTVKDMND NAPTIEIRGIGLVTHQDGMANISEDVAEETAVALVQVSDRDEGENAAVTCVVAGDVPFQL RQASETGSDSKKKYFLQTTTPLDYEKVKDYTIEIVAVDSGNPPLSSTNSLKVQVVDVNDN APVFTQSITEVAFPENNKPGEVVAEVTASDADSGSNAELVYSLEPEPAAQGLFTISPENG EIRVKTSLDREQRDSYELKVVAADRGSPSLQGTATVLVNVLDCNDNDPKFMLSGYNFSVM ENMPALSPVGMVTVIDGDKGENARVQLSVEQDNGDFVIQNGTGTILSSLSFDREQQSTYT FQLKAVDGGVPPRSAYVGVTINVLDENDNAPFITAPSNTSHRLLTPQTRLGETVSQVTAE DIDSGINAELTYSIAGGNPYGLFQIGSHSGAITLEKEIERRHHGLHRLVVKVSDRGKPPR YGTALVHLYVNETLANRTLLETLLVHSLDTPLDIDIAGDPEYERSKQRGNILFGVVAGVV AVALLIALAVLVRYCRQREAKSGYQAGKKETKDLYAPKPSGKAAKGSKSKGKKSKSPKPV KPVEDEDDTGLQKSLKFNLMSDAPGDSPRIHLPLNYPPGSPDLGRHYRSNSPLPSIQLQP QSPSASKKHQVVQDLPPANTFVGTGDTTSTGSEQYSDYSYRTNPPKYPSKQVGQPFRLST PQPPPHPYHGAIWTEVWE >ENSMUSP00000141877.1 pep:known chromosome:GRCm38:18:38203444:38203840:-1 gene:ENSMUSG00000051375.15 transcript:ENSMUST00000194312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh1 description:protocadherin 1 [Source:MGI Symbol;Acc:MGI:104692] MGPLRPSPGPGGQRLLLPPLLLALLLLLAPSASHTTQVVYKVPEE >ENSMUSP00000142328.1 pep:known chromosome:GRCm38:18:38203444:38210554:-1 gene:ENSMUSG00000051375.15 transcript:ENSMUST00000193828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh1 description:protocadherin 1 [Source:MGI Symbol;Acc:MGI:104692] MRRRKTVLLILEPARMGPLRPSPGPGGQRLLLPPLLLALLLLLAPSASHTTQVVYKVPEE >ENSMUSP00000122232.1 pep:known chromosome:GRCm38:13:42680623:43138524:1 gene:ENSMUSG00000054728.16 transcript:ENSMUST00000128646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr1 description:phosphatase and actin regulator 1 [Source:MGI Symbol;Acc:MGI:2659021] MDYPKMDYFLDVESAHRLLDVESAQRFFYSQGAQARRATLLLPPTLMAASSEDDIDRRPI RRVRSKSDTPYLAEARISFNLGAAEEVERLAAMRSDSLVPGTHTPPIRRRSKFANLGRIF KPWKWRKKKSEKFKHTSAALERKISMRQSREELIKRGVLKEIYDKDGELSISNEDDSLEN GQSLSSSQLSLPALSEMEPVPMPRDPCSYEVLQASDIMDGPDPGAPVKLPCLPVKLSPPL PPKKVLICMPVGGPELTLASYAAQKSSQQAVAQHHHTVLPSQMQHQLQYGSHGQHLPSST GTLPMHPSGCRMIDELNKTLAMTMQRLESSEQRVPCSTSYHSSGLHSSDGITKAGPMGLP EIRQVPTVVIECDDNKENVPHEPDYEDSPCLYGREEEEEEEDEDDDASLYTSSLAMKVCR KDSLAIKLSNRPSKRELEEKNILPRQTDEERLELRQQIGTKLTRRLSQRPTAEELEQRNI LKPRNEQEEQEEKREIKRRLTRKLSQRPTVEELRERKILIRFSDYVEVADAQDYDRRADK PWTRLTAADKAAIRKELNEFKSTEMEVHELSRHLTRFHRP >ENSMUSP00000066663.5 pep:known chromosome:GRCm38:13:42709543:43135401:1 gene:ENSMUSG00000054728.16 transcript:ENSMUST00000066928.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr1 description:phosphatase and actin regulator 1 [Source:MGI Symbol;Acc:MGI:2659021] MCVSLLLSPPPPFRLSPSPSLHLLLLSARRATLLLPPTLMAASSEDDIDRRPIRRVRSKS DTPYLAEARISFNLGAAEEVERLAAMRSDSLVPGTHTPPIRRRSKFANLGRIFKPWKWRK KKSEKFKHTSAALERKISMRQSREELIKRGVLKEIYDKDGELSISNEDDSLENGQSLSSS QLSLPALSEMEPVPMPRDPCSYEVLQASDIMDGPDPGAPVKLPCLPVKLSPPLPPKKVLI CMPVGGPELTLASYAAQKSSQQAVAQHHHTVLPSQMQHQLQYGSHGQHLPSSTGTLPMHP SGCRMIDELNKTLAMTMQRLESSEQRVPCSTSYHSSGLHSSDGITKAGPMGLPEIRQVPT VVIECDDNKENVPHEPDYEDSPCLYGREEEEEEEDEDDDASLYTSSLAMKVCRKDSLAIK LSNRPSKRELEEKNILPRQTDEERLELRQQIGTKLTRRLSQRPTAEELEQRNILKPRNEQ EEQEEKREIKRRLTRKLSQRPTVEELRERKILIRFSDYVEVADAQDYDRRADKPWTRLTA ADKAAIRKELNEFKSTEMEVHELSRHLTRFHRP >ENSMUSP00000115228.1 pep:known chromosome:GRCm38:13:42709581:43138526:1 gene:ENSMUSG00000054728.16 transcript:ENSMUST00000148891.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr1 description:phosphatase and actin regulator 1 [Source:MGI Symbol;Acc:MGI:2659021] MCVSLLLSPPPPFRLSPSPSLHLLLLSARRATLLLPPTLMAASSEDDIDRRPIRRVRSKS DTPYLAEARISFNLGAAEEVERLAAMRSDSLVPGTHTPPIRRRSKFANLGRIFKPWKWRK KKSEKFKHTSAALERKISMRQSREELIKRGVLKEIYDKDGELSISNEDDSLENGQSLSSS QLSLPALSEMEPVPMPRDPCSYEVLQASDIMDGPVSEESPSASESGVLLSQDPSAKPVLF LPPKKSAAFPGDHEETPVKQLSLHKQPPALPPKPTARIANHLTDPGAPVKLPCLPVKLSP PLPPKKVLICMPVGGPELTLASYAAQKSSQQAVAQHHHTVLPSQMQHQLQYGSHGQHLPS STGTLPMHPSGCRMIDELNKTLAMTMQRLESSEQRVPCSTSYHSSGLHSSDGITKAGPMG LPEIRQVPTVVIECDDNKENVPHEPDYEDSPCLYGREEEEEEEDEDDDASLYTSSLAMKV CRKDSLAIKLSNRPSKRELEEKNILPRQTDEERLELRQQIGTKLTRRLSQRPTAEELEQR NILKPRNEQEEQEEKREIKRRLTRKLSQRPTVEELRERKILIRFSDYVEVADAQDYDRRA DKPWTRLTAADKAAIRKELNEFKSTEMEVHELSRHLTRFHRP >ENSMUSP00000123346.1 pep:known chromosome:GRCm38:13:42866247:43135304:1 gene:ENSMUSG00000054728.16 transcript:ENSMUST00000131942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr1 description:phosphatase and actin regulator 1 [Source:MGI Symbol;Acc:MGI:2659021] MRSDSLVPGTHTPPIRRRSKFANLGRIFKPWKWRKKKSEKFKHTSAALERKISMRQSREE LIKRGVLKEIYDKDGELSISNEDDSLENGQSLSSSQLSLPALSEMEPVPMPRDPCSYEVL QASDIMDGPVSEESPSASESGVLLSQDPSAKPVLFLPPKKSAAFPGDHEETPVKQLSLHK QPPALPPKPTARIANHLTDPGAPVKLPCLPVKLSPPLPPKKVLICMPVGGPELTLASYAA QKSSQQAVAQHHHTVLPSQMQHQLQYGSHGQHLPSSTGTLPMHPSGCRMIDELNKTLAMT MQRLESSEQRVPCSTSYHSSGLHSSDGITKAGPMGLPEIRQVPTVVIECDDNKENVPHEP DYEDSPCLYGREEEEEEEDEDDDASLYTSSLAMKVCRKDSLAIKLSNRPSKRELEEKNIL PRQTDEERLELRQQIGTKLTRRLSQRPTAEELEQRNILKPRNEQEEQEEKREIKRRLTRK LSQRPTVEELRERKILIRFSDYVEVADAQDYDRRADKPWTRLTAADKAAIRKELNEFKST EMEVHELSRHLTRFHRP >ENSMUSP00000115207.1 pep:known chromosome:GRCm38:13:42681513:43135245:1 gene:ENSMUSG00000054728.16 transcript:ENSMUST00000149235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr1 description:phosphatase and actin regulator 1 [Source:MGI Symbol;Acc:MGI:2659021] MDYPKMDYFLDVESAHRLLDVESAQRFFYSQGAQARRATLLLPPTLMAASSEDDIDRRPI RRVRSKSDTPYLAEARISFNLGAAEEVERLAAMRSDSLVPGTHTPPIRRRSKFANLGRIF KPWKWRKKKSEKFKHTSAALERKISMRQSREELIKRGVLKEIYDKDGELSISNEDDSLEN GQSLSSSQLSLPALSEMEPVPMPRDPCSYEVLQASDIMDGPDPGAPVKLPCLPVKLSPPL PPKKVLICMPVGGPELTLASYAAQKSSQQAVAQHHHTVLPSQMQHQLQYGSHGQHLPSST GTLPMHPSGCRMIDELNKTLAMTMQRLESSEQRVPCSTSYHSSGLHSSDGITKAGPMGLP EIRQVPTVVIECDDNKENVPHEPDYEDSPCLYGREEEEEEEDEDDDASLYTSSLAMKVCR KDSLAIKLSNRPSKRELEEKNILPRQTDEERLELRQQIGTKLTRRLSQRPTAEELEQRNI LKPRNEQEEQEEKREIKRRLTRKLSQRPTVEELRERKILIRFSDYVEVADAQDYDRRADK PWTRLTAADKAAIRKELNEFKSTEMEVHELSRHLTRFHRP >ENSMUSP00000105790.3 pep:known chromosome:GRCm38:13:42682698:43135189:1 gene:ENSMUSG00000054728.16 transcript:ENSMUST00000110161.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr1 description:phosphatase and actin regulator 1 [Source:MGI Symbol;Acc:MGI:2659021] MDYPKMDYFLDVESAHRLLDVESAQRFFYSQGAQARRATLLLPPTLMAASSEDDIDRRPI RRVRSKSDTPYLAEARISFNLGAAEEVERLAAMRSDSLVPGTHTPPIRRRSKFANLGRIF KPWKWRKKKSEKFKHTSAALERKISMRQSREELIKRGVLKEIYDKDGELSISNEDDSLEN GQSLSSSQLSLPALSEMEPVPMPRDPCSYEVLQASDIMDGPVSEESPSASESGVLLSQDP SAKPVLFLPPKKSAAFPGDHEETPVKQLSLHKQPPALPPKPTARIANHLTDPGAPVKLPC LPVKLSPPLPPKKVLICMPVGGPELTLASYAAQKSSQQAVAQHHHTVLPSQMQHQLQYGS HGQHLPSSTGTLPMHPSGCRMIDELNKTLAMTMQRLESSEQRVPCSTSYHSSGLHSSDGI TKAGPMGLPEIRQVPTVVIECDDNKENVPHEPDYEDSPCLYGREEEEEEEDEDDDASLYT SSLAMKVCRKDSLAIKLSNRPSKRELEEKNILPRQTDEERLELRQQIGTKLTRRLSQRPT AEELEQRNILKPRNEQEEQEEKREIKRRLTRKLSQRPTVEELRERKILIRFSDYVEVADA QDYDRRADKPWTRLTAADKAAIRKELNEFKSTEMEVHELSRHLTRFHRP >ENSMUSP00000075509.2 pep:known chromosome:GRCm38:6:132754730:132755659:1 gene:ENSMUSG00000060412.2 transcript:ENSMUST00000076150.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r124 description:taste receptor, type 2, member 124 [Source:MGI Symbol;Acc:MGI:2681267] MVPVLHSLSTIILIAEFVWGNLSNGLIVLKNCIDWINKKELSTVDQILIVLAISRISLIW ETLIIWVKDQLISSITIEELKIIVFSFILSSHFSLWLATALSIFYLFRIPNCYWQIFLYL KWRIKQLIVHMLLGSLVFLVANMIQITITLEERFYQYGGNTSVNSMETEFSILIELMLFN MTMFSIIPFSLALISFLLLIFSLWKHLQKMPLNSRGDRDPSATAHRNALRILVSFLLLYT IYFLSLLISWVAQKNQSELVHIICMITSLVYPSFHSYILILGNYKLKQTSLWVMRQLGCR MKRQNTPTT >ENSMUSP00000114643.1 pep:known chromosome:GRCm38:18:24104757:24121953:-1 gene:ENSMUSG00000047989.11 transcript:ENSMUST00000153360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80c description:INO80 complex subunit C [Source:MGI Symbol;Acc:MGI:2443014] MAAQIPIVAATSTPAVARNSKKRPASPSHNSSGGGYGASKKKKLSASGFAQGVSIEAMNE SKMASSELSSGPVEKAAKPLPFKDPNFVHSGHGGAVAGKKNRTWKNLKQILAAERALPWQ LNDPNYFSIDAPPSFKPAKKYSDISGLLANYTDPQSKLRFSTVEEFSYIRRLPSDVVTGY LALRKATSIVP >ENSMUSP00000122372.1 pep:known chromosome:GRCm38:18:24104757:24121953:-1 gene:ENSMUSG00000047989.11 transcript:ENSMUST00000141489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80c description:INO80 complex subunit C [Source:MGI Symbol;Acc:MGI:2443014] MAAQIPIVAATSTPAVARNSKKRPASPSHNSSGGGYGASKKKKLSASGFAQANYTDPQSK LRFSTVEEFSYIRRLPSDVVTGYLALRKATSIVP >ENSMUSP00000117684.1 pep:known chromosome:GRCm38:18:24106378:24114913:-1 gene:ENSMUSG00000047989.11 transcript:ENSMUST00000125169.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80c description:INO80 complex subunit C [Source:MGI Symbol;Acc:MGI:2443014] MNESKMASSELSSGPVEKAAKPLPFKDPNFVHSGHGGAVAGKKNRTWKNLKQILAAERAL PWQLNDPNYFSIDAPPSFKPAKKYSDISGLLANYTDPQSKLRFSTVEEFSYIRRLPSDVV TGYLALRKATSIVP >ENSMUSP00000055342.5 pep:known chromosome:GRCm38:18:24106374:24121835:-1 gene:ENSMUSG00000047989.11 transcript:ENSMUST00000055012.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80c description:INO80 complex subunit C [Source:MGI Symbol;Acc:MGI:2443014] MAAQIPIVAATSTPAVARNSKKRPASPSHNSSGGGYGASKKKKLSASGFAQGVSIEAMNE SKMASSELSSGPVEKAAKPLPFKDPNFVHSGHGGAVAGKKNRTWKNLKQILAAERALPWQ LNDPNYFSIDAPPSFKPAKKYSDISGLLLDLVVSEQMWKLSPWCVHFLLSHGQQLAQWLS PVKRQNIVLKNITSSCMPTTQTLRASCGSARLRNSPTFAGCLRMWSQATWP >ENSMUSP00000068332.2 pep:known chromosome:GRCm38:6:132762131:132763174:1 gene:ENSMUSG00000056901.1 transcript:ENSMUST00000069268.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r102 description:taste receptor, type 2, member 102 [Source:MGI Symbol;Acc:MGI:2681171] MGHLVTQGQATLLYAKLSMNMESVLHNFATVLIYVEFIFGNLSNGFIVLSNFLDWVIKQK LSLIDKILLTLAISRITLIWEIYAWFKSLYDPSSFLIGIEFQIIYFSWVLSSHFSLWLAT TLSVFYLLRIANCSWQIFLYLKWRLKQLIVGMLLGSLVFLLGNLMQSMLEERFYQYGRNT SVNTMSNDLAMWTELIFFNMAMFSVIPFTLALISFLLLIFSLWKHLQKMQLISRRHRDPS TKAHMNALRIMVSFLLLYTMHFLSLLISWIAQKHQSELADIIGMITELMYPSVHSCILIL GNSKLKQTSLCMLRHLRCRLKGENITIAYSNQITSFCVFCVANKSMR >ENSMUSP00000030868.6 pep:known chromosome:GRCm38:5:12383385:12588948:1 gene:ENSMUSG00000040254.11 transcript:ENSMUST00000030868.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3d description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3D [Source:MGI Symbol;Acc:MGI:1860118] MNVTKDENPRSRSQDLHLFHAWMMLIMTVLFLPVTETSKQNIPRLKLTYKDLLLSNTCIP FLGSSEGLDFQTLLLDEERGILLLGAKDHVFLLSLVDLNKNFKKIYWPAAKERVELCKLA GKDANAECANFIRVLQPYNKTHVYVCGTGAFHPLCGYIDLGANKEELIFKLDTHNLESGR LKCPFDPQQPFASVMTDEHLYSGTASDFLGKDTAFTRSLGLMQDHHSIRTDISEHHWLNG AKFIGTFPIPDTYNPDDDKIYFFFRESSQEGSTSDRSILSRVGRVCKNDVGGQRSLINKW TTFLKARLICSIPGSDGADTHFDELQDIYLLPTRDERNPVVYGVFTTTSSIFKGSAVCVY SMADIRAVFNGPYAHKESADHRWVQYDGRIPYPRPGTCPSKTYDPLIKSTRDFPDDVISF IRRHPVMYKSVYPVAGAPTFKRINVDYRLTQIVVDHVVAEDGQYDVMFLGTDIGTVLKVV SISKEKWNMEEVVLEELQVFKHPTAILNMELSLKQQQLYVGSWDGLVQLSLHRCDTYGKA CADCCLARDPYCAWDGNACSRYAPTSKRRARRQDVKYGDPITQCWDIEDSISHETADEKV IFGIEFNSTFLECIPKSQQASVEWYIQRSGDEHREELKPDERIIKTDYGLLIRSLQKKDS GMYYCKAQEHTFIHTIVKLTLNVIENEQMENTQRAEYQEGQVKDLLAESRLRYKDYIQIL SSPNFSLDQYCEQMWYKEKRRQRNKGSPKWKHMQEMKKKRNRRHHRDLDELQRSVAT >ENSMUSP00000142453.1 pep:known chromosome:GRCm38:5:12383506:12578844:1 gene:ENSMUSG00000040254.11 transcript:ENSMUST00000197927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3d description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3D [Source:MGI Symbol;Acc:MGI:1860118] MNVTKDENPRSRSQDLHLFHAWMMLIMTVLFLPVTETSKQNIPRLKLTYKDLLLSNTCIP FLGSSEGLDFQTLLLDEERGILLLGAKDHVFLLSLVDLNKNFKKIYWPAAKERVELCKLA GKDANAECANFIRVLQPYNKTHVYVCGTGAFHPLCGYIDLGANKEELIFKLDTHNLESGR LKCPFDPQQPFASVMTDEHLYSGTASDFLGKDTAFTRSLGLMQDHHSIRTDISEHHWLNG AKFIGTFPIPDTYNPDDDKIYFFFRESSQEGSTSDRSILSRVGRVCKNDVGGQRSLINKW TTFLKARLICSIPGSDGADTHFDELQDIYLLPTRDERNPVVYGVFTTTSSIFKGSAVCVY SMADIRAVFNGPYAHKESADHRWVQYDGRIPYPRPGTCPSKTYDPLIKSTRDFPDDVISF IRRHPVMYKSVYPVAGAPTFKRINVDYRLTQIVVDHVVAEDGQYDVMFLGTDIGTVLKVV SISKEKWNMEEVVLEELQVFKHPTAILNMELSLKQQQLYVGSWDGLVQLSLHRCDTYGKA CADCCLARDPYCAWDGNACSRYAPTSKRRARRQDVKYGDPITQCWDIEDSISHETADEKV IFGIEFNSTFLECIPKSQQASVEWYIQRSGDEHREEVSYHQQGCCCQTEVKLFTMKVMQP >ENSMUSP00000145874.1 pep:known chromosome:GRCm38:16:58872219:58873977:-1 gene:ENSMUSG00000063137.3 transcript:ENSMUST00000205920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr177 description:olfactory receptor 177 [Source:MGI Symbol;Acc:MGI:3030011] MTEDNYSLATEFILIGFSDHPDLKTLLFLVFSAIYLVTMVGNLGLVTLIYIEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVDRRISLYECMAQFYFLCLAETTDCFLLAAMAY DRYVAICNPLQYHSMMSKKLCLQMTTGAYIAGNLHSMIHIGFLFRLTFCRSHVIKHFFCD VLPLYRLSCVDPYINELMILIFSGSLQTFTITIVLISYICILFTIFTMKSREGRSKALST CASHFLSVSIFYGSLLYMYIRPSSLNEGYKDIPVAIFYTLVIPLLNPFIYSLRNKEVINV MKRAMKKRL >ENSMUSP00000072631.2 pep:known chromosome:GRCm38:16:58872219:58873148:-1 gene:ENSMUSG00000063137.3 transcript:ENSMUST00000072853.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr177 description:olfactory receptor 177 [Source:MGI Symbol;Acc:MGI:3030011] MTEDNYSLATEFILIGFSDHPDLKTLLFLVFSAIYLVTMVGNLGLVTLIYIEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVDRRISLYECMAQFYFLCLAETTDCFLLAAMAY DRYVAICNPLQYHSMMSKKLCLQMTTGAYIAGNLHSMIHIGFLFRLTFCRSHVIKHFFCD VLPLYRLSCVDPYINELMILIFSGSLQTFTITIVLISYICILFTIFTMKSREGRSKALST CASHFLSVSIFYGSLLYMYIRPSSLNEGYKDIPVAIFYTLVIPLLNPFIYSLRNKEVINV MKRAMKKRL >ENSMUSP00000133102.1 pep:known chromosome:GRCm38:7:4460674:4469970:1 gene:ENSMUSG00000006154.13 transcript:ENSMUST00000163804.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eps8l1 description:EPS8-like 1 [Source:MGI Symbol;Acc:MGI:1914675] MQKRKIPPPELQRARADTGWGIISFAGGPAWLNEQAWGWKDFFRERVLRMAMFLQ >ENSMUSP00000133142.1 pep:known chromosome:GRCm38:7:4460702:4469227:1 gene:ENSMUSG00000006154.13 transcript:ENSMUST00000167298.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l1 description:EPS8-like 1 [Source:MGI Symbol;Acc:MGI:1914675] MQKRKIPPPELQRARADTGWGIISFAGGPAWLNEQAWGWKDFFRERVLRTEKTLLHRGY >ENSMUSP00000133206.1 pep:known chromosome:GRCm38:7:4460715:4479404:1 gene:ENSMUSG00000006154.13 transcript:ENSMUST00000171445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l1 description:EPS8-like 1 [Source:MGI Symbol;Acc:MGI:1914675] MQKRKIPPPELQRARADTGWGIISFAGGPAWLNEQAWGWKDFFRERVLRHLQVDRAPHLA TMSTTTGPEAAPKPSAKSIYEQRKRYSTVVMADVSQYHVNHLVTFCLGEEDGVHTVEDAS RKLAVMDSQGRVWAQEMLLRVSPSQVTLLDPVSKEELESYPLDAIVRCDAVMPRGRSRSL LLLVCQEPERAQPDVHFFQGLLLGAELIREDIQGALQNYRSGRGERRAAALRATQEELRR GASPAAETPPLQRRPSVRLVINTVEPSAVRGRPQVESIPETEEARKPDQARTTSSADPTS PDLGPRGPELAGLQAERDVDILNHVFDDVESFVSRLQKSAEATRVLEHRERGRRTRRRAA GEGLLTLRAKPPTEAEYTDVLQKIKYAFSLLARLRGNIANPSSPELLHFLFGPLQMIVNT SGGPEFAKSVRRPHLTLEAVTLLRDNVTPGENELWTSLGDSWTCPGVELPPEEGSPYSPE FYNGWEPPATDPQGRPWEDPVEKQLQHEKRRRQQSAPQVAVNGQQDPELETESQLEEKAR KWVLCNYDFQARNGSELSVKHRDVLEVLDDRRKWWKVRDHQGQEGYVPYNILTPHPGPQV HRSQSPARHLETSTPPPPPAPAPAPTQVRPQWDSCDSLNSLDPSEKEKFSQMLCVNEELQ SRLAQGRSGPSRVTPGPRAQEPQLSPRSEASVVRAWLQTKGFSSGTVEALGVLTGAQLFS LQKEELRAVCPEEGARVYSQVTVQRALLEDREKVSELEAVMEKQKKKVEGETKTEVI >ENSMUSP00000126720.1 pep:known chromosome:GRCm38:7:4464493:4470834:1 gene:ENSMUSG00000006154.13 transcript:ENSMUST00000167810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l1 description:EPS8-like 1 [Source:MGI Symbol;Acc:MGI:1914675] MSTTTGPEAAPKPSAKSIYEQRKRYSTVVMADVSQYHVNHLVTFCLGEEDGVHTVEDASR KLAVMDSQGRVWAQEMLLRVSPSQVTLLDPVSKEELESYPLDAIVRCDAVMPRGRSRSLL LLVCQEPERAQPDVHFFQGLLLGAELIREDIQ >ENSMUSP00000083559.1 pep:known chromosome:GRCm38:7:4464742:4479242:1 gene:ENSMUSG00000006154.13 transcript:ENSMUST00000086372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l1 description:EPS8-like 1 [Source:MGI Symbol;Acc:MGI:1914675] MSTTTGPEAAPKPSAKSIYEQRKRYSTVVMADVSQYHVNHLVTFCLGEEDGVHTVEDASR KLAVMDSQGRVWAQEMLLRVSPSQVTLLDPVSKEELESYPLDAIVRCDAVMPRGRSRSLL LLVCQEPERAQPDVHFFQGLLLGAELIREDIQGALQNYRSGRGERRAAALRATQEELRRG ASPAAETPPLQRRPSVRLVINTVEPSAVRGRPQVESIPETEEARKPDQARTTSSADPTSP DLGPRGPELAGLQAERDVDILNHVFDDVESFVSRLQKSAEATRVLEHRERGRRTRRRAAG EGLLTLRAKPPTEAEYTDVLQKIKYAFSLLARLRGNIANPSSPELLHFLFGPLQMIVNTS GGPEFAKSVRRPHLTLEAVTLLRDNVTPGENELWTSLGDSWTCPGVELPPEEGSPYSPEF YNGWEPPATDPQGRPWEDPVEKQLQHEKRRRQQSAPQVAVNGQQDPELETESQLEEKARK WVLCNYDFQARNGSELSVKHRDVLEVLDDRRKWWKVRDHQGQEGYVPYNILTPHPGPQVH RSQSPARHLETSTPPPPPAPAPAPTQVRPQWDSCDSLNSLDPSEKEKFSQMLCVNEELQS RLAQGRSGPSRVTPGPRAQEPQLSPRSEASVVRAWLQTKGFSSGTVEALGVLTGAQLFSL QKEELRAVCPEEGARVYSQVTVQRALLEDREKVSELEAVMEKQKKKVEGETKTEVI >ENSMUSP00000131773.1 pep:known chromosome:GRCm38:7:4464769:4470042:1 gene:ENSMUSG00000006154.13 transcript:ENSMUST00000169820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l1 description:EPS8-like 1 [Source:MGI Symbol;Acc:MGI:1914675] MSTTTGPEAAPKPSAKSIYEQRKRYSTVVMADVSQYHVNHLVTFCLGEEDGVHTVEDASR KLAVMDSQGRVWAQEMLLRVSPSQVTLLDPVSK >ENSMUSP00000125840.1 pep:known chromosome:GRCm38:7:4464849:4480487:1 gene:ENSMUSG00000006154.13 transcript:ENSMUST00000163893.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l1 description:EPS8-like 1 [Source:MGI Symbol;Acc:MGI:1914675] MSTTTGPEAAPKPSAKSIYEQRKRYSTVVMADVSQYHVNHLVTFCLGEEDGVHTVEDASR KLAVMDSQGRVWAQEMLLRVSPSQVTLLDPVSKEELESYPLDAIVRCDAVMPRGRSRSLL LLVCQEPERAQPDVHFFQGLLLGAELIREDIQGALQNYRSGRGERRAAALRATQEELRRG ASPAAETPPLQRRPSVRLVINTVEPSAVRGRPQVESIPETEEARKPDQARTTSSADPTSP DLGPRGPELAGLQAERDVDILNHVFDDVESFVSRLQKSAEATRVLEHRERGRRTRRRAAG EGLLTLRAKPPTEAEYTDVLQKIKYAFSLLARLRGNIANPSSPELLHFLFGPLQMIVNTS GGPEFAKSVRRPHLTLEAVTLLRDNVTPGENELWTSLGDSWTCPGVELPPEEGSPYSPEF YNGWEPPATDPQGRPWEDPVEKQLQHEKRRRQQSAPQVAVNGQQDPELETESQLEEKARK WVLCNYDFQARNGSELSVKHRDVLEVLDDRRKWWKVRDHQGQEGYVPYNILTPHPGPQVH RSQSPARHLETSTPPPPPAPAPAPTQVRPQWDSCDSLNSLDPSEKEKFSQMLCVNEELQS RLAQGRSGPSRVTPGPRAQEPQLSPRSEASVVRAWLQTKGFSSGTVEALGVLTGAQLFSL QKEELRAVCPEEGARVYSQVTVQRALLEDREKVSELEAVMEKQKKKVEGETKTEVI >ENSMUSP00000131345.1 pep:known chromosome:GRCm38:7:4464861:4479240:1 gene:ENSMUSG00000006154.13 transcript:ENSMUST00000163137.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eps8l1 description:EPS8-like 1 [Source:MGI Symbol;Acc:MGI:1914675] MSTTTGPEAAPKPSAKSIYEQRKRYSTVVMADVSQYHVNHLVTFCLGEEDGVHTVEDASR KLAVMDSQGRVWAQEMLLRVSPSQVTLLDPVSKAPELFRLPCPKSWTLL >ENSMUSP00000127999.1 pep:known chromosome:GRCm38:7:4464869:4469919:1 gene:ENSMUSG00000006154.13 transcript:ENSMUST00000170635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l1 description:EPS8-like 1 [Source:MGI Symbol;Acc:MGI:1914675] MSTTTGPEAAPKPSAKSIYEQRKRYSTVVMADVSQYHVNHLVTFCLGEEDGV >ENSMUSP00000130665.1 pep:known chromosome:GRCm38:7:4477666:4479244:1 gene:ENSMUSG00000006154.13 transcript:ENSMUST00000164987.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eps8l1 description:EPS8-like 1 [Source:MGI Symbol;Acc:MGI:1914675] HRSQSPARHLETSTPPPPPAPAPAPTQVRPQWDSCDSLNSLDPSEKGLWRRSVC >ENSMUSP00000137509.1 pep:known chromosome:GRCm38:X:7371276:7375826:-1 gene:ENSMUSG00000046269.16 transcript:ENSMUST00000178293.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp27x description:ubiquitin specific peptidase 27, X chromosome [Source:MGI Symbol;Acc:MGI:1859645] MCKDYVYDIDIEQIAKEEQGEALKLQASTSTEVSQQQCSVPGLGEKYPTWETTKPELELL GHNPRRRRIASSFTIGLRGLINLGNTCFMNCIVQALTHTPILRDFFLSDRHRCEMPSPEL CLVCEMSSLFRELYSGNPSPHVPYKLLHLVWIHARHLAGYRQQDAHEFLIAALDVLHRHC KGDDVGKVASNPNHCNCIIDQIFTGGLQSDVTCQACHGVSTTIDPCWDISLDLPGSCTSF WPMSPGRESSLNGESHIPGITTLTDCLRRFTRPEHLGSSAKIKCGSCQSYQESTKQLTMK KLPVVACFHFKRFEHSAKQRRKITTYISFPLELDMTPFMASSKETRVNGQLQLPTNSANN ENKYSLFAVVNHQGTLESGHYTSFIRHHRDQWFKCDDAVITKASIKDVLDSEGYLLFYHK QVLEPEPEKVKEMTPQAY >ENSMUSP00000111409.1 pep:known chromosome:GRCm38:X:7372591:7375830:-1 gene:ENSMUSG00000046269.16 transcript:ENSMUST00000115744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp27x description:ubiquitin specific peptidase 27, X chromosome [Source:MGI Symbol;Acc:MGI:1859645] MCKDYVYDIDIEQIAKEEQGEALKLQASTSTEVSQQQCSVPGLGEKYPTWETTKPELELL GHNPRRRRIASSFTIGLRGLINLGNTCFMNCIVQALTHTPILRDFFLSDRHRCEMPSPEL CLVCEMSSLFRELYSGNPSPHVPYKLLHLVWIHARHLAGYRQQDAHEFLIAALDVLHRHC KGDDVGKVASNPNHCNCIIDQIFTGGLQSDVTCQACHGVSTTIDPCWDISLDLPGSCTSF WPMSPGRESSLNGESHIPGITTLTDCLRRFTRPEHLGSSAKIKCGSCQSYQESTKQLTMK KLPVVACFHFKRFEHSAKQRRKITTYISFPLELDMTPFMASSKETRVNGQLQLPTNSANN ENKYSLFAVVNHQGTLESGHYTSFIRHHRDQWFKCDDAVITKASIKDVLDSEGYLLFYHK QVLEPEPEKVKEMTPQAY >ENSMUSP00000139402.1 pep:known chromosome:GRCm38:X:7371276:7375826:-1 gene:ENSMUSG00000046269.16 transcript:ENSMUST00000191497.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp27x description:ubiquitin specific peptidase 27, X chromosome [Source:MGI Symbol;Acc:MGI:1859645] MCKDYVYDIDIEQIAKEEQGEALKLQASTSTEVSQQQCSVPGLGEKYPTWETTKPELELL GHNPRRRRIASSFTIGLRGLINLGNTCFMNCIVQALTHTPILRDFFLSDRHRCEMPSPEL CLVCEMSSLFRELYSGNPSPHVPYKLLHLVWIHARHLAGYRQQDAHEFLIAALDVLHRHC KGDDVGKVASNPNHCNCIIDQIFTGGLQSDVTCQACHGVSTTIDPCWDISLDLPGSCTSF WPMSPGRESSLNGESHIPGITTLTDCLRRFTRPEHLGSSAKIKCGSCQSYQESTKQLTMK KLPVVACFHFKRFEHSAKQRRKITTYISFPLELDMTPFMASSKETRVNGQLQLPTNSANN ENKYSLFAVVNHQGTLESGHYTSFIRHHRDQWFKCDDAVITKASIKDVLDSEGYLLFYHK QVLEPEPEKVKEMTPQAY >ENSMUSP00000070791.1 pep:known chromosome:GRCm38:6:132777179:132778162:-1 gene:ENSMUSG00000053217.3 transcript:ENSMUST00000065532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r136 description:taste receptor, type 2, member 136 [Source:MGI Symbol;Acc:MGI:2681304] MKSQPVTQQLPFIFPLFKTCSDMMSFLVSIASIAMLVKIVLGTFANVFIVLVNFTDCIKK RKFLLADRILTVLAIFRFDLLWIILMNWSSSVFHVGLYFQVRFCICVVWIVTNHFNTWLA NILSILYLLKIDNFSNLIFLGLKGKIKCPYIVLLPCFVLLFPNLIMVTICETTQANGHQG NLTGKTKLTYFTNLIAMTFTLGSLVPFTTFMICFLLLICSLCKHLRTMRLYGKGSQGPSA STHIKVLQVLISFLLLFSMFILLLIISDYNYTKSLEEPIHLICQVIGTLYPSRHSYILLW GNKRIKQAFVLAMVQVRARFWLKEKKP >ENSMUSP00000120730.1 pep:known chromosome:GRCm38:17:13712444:13761378:-1 gene:ENSMUSG00000038347.14 transcript:ENSMUST00000143162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcte2 description:t-complex-associated testis expressed 2 [Source:MGI Symbol;Acc:MGI:98641] MPGQLKPSLQQSLAQYPNHKEIAATVIGHVQVIPCSREGFDVTHHSTWHGVTQLTSVGGM NNLGDPEKATRRLGSQGRVTRPSPHQAFSISKAYVTSVFRMSPAAVSGRSSSTGVFSLPE >ENSMUSP00000059081.5 pep:known chromosome:GRCm38:17:13712450:13761402:-1 gene:ENSMUSG00000038347.14 transcript:ENSMUST00000053376.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcte2 description:t-complex-associated testis expressed 2 [Source:MGI Symbol;Acc:MGI:98641] MPGQLKPSLQQSLAQYPNHKEIAATVIGHVQGKSDETLPTPSFLHLQSLCHLCLQNVPCC SKRKVFFHWSLQPSRMKNSKNQVTGNPRATGQCRVLSLENG >ENSMUSP00000117173.1 pep:known chromosome:GRCm38:17:13714031:13761367:-1 gene:ENSMUSG00000038347.14 transcript:ENSMUST00000128194.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcte2 description:t-complex-associated testis expressed 2 [Source:MGI Symbol;Acc:MGI:98641] MPGQLKPSLQQSLAQYPNHKEIAATVIGHVQGLEKMGTQRLHYVGTRMVSRQQLMC >ENSMUSP00000122304.1 pep:known chromosome:GRCm38:17:13714049:13732898:-1 gene:ENSMUSG00000038347.14 transcript:ENSMUST00000142863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcte2 description:t-complex-associated testis expressed 2 [Source:MGI Symbol;Acc:MGI:98641] MPGQLKPSLQQSLAQYPNHKEIAATVIGHVQGLEKGRVTRPSPHQAFSISKAYVTSVF >ENSMUSP00000116305.1 pep:known chromosome:GRCm38:17:13716436:13761386:-1 gene:ENSMUSG00000038347.14 transcript:ENSMUST00000130033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcte2 description:t-complex-associated testis expressed 2 [Source:MGI Symbol;Acc:MGI:98641] MPGQLKPSLQQSLAQYPNHKEIAATVIGHVQGRKSWLMETLHPLPPEGWS >ENSMUSP00000118927.1 pep:known chromosome:GRCm38:17:13716436:13761601:-1 gene:ENSMUSG00000038347.14 transcript:ENSMUST00000127032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcte2 description:t-complex-associated testis expressed 2 [Source:MGI Symbol;Acc:MGI:98641] MPGQLKPSLQQSLAQYPNHKEIAATVIGHVQDGNTKTALCRHSHGLPAAAHVLTFDFILV TTRSRKSSEFANLQQRGCLRSRHPPSYSVCLTKLVEDGMCLVVIPLISMIKAYVILVQ >ENSMUSP00000114746.1 pep:known chromosome:GRCm38:17:13716436:13761825:-1 gene:ENSMUSG00000038347.14 transcript:ENSMUST00000148430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcte2 description:t-complex-associated testis expressed 2 [Source:MGI Symbol;Acc:MGI:98641] MPGQLKPSLQQSLAQYPNHKEIAATVIGHVQVIPCSREGFDVTHHSTWHGVTQLTSVGGM NNLGDPEKATRRLGSQVSD >ENSMUSP00000132121.1 pep:known chromosome:GRCm38:14:4838106:4846867:1 gene:ENSMUSG00000091494.8 transcript:ENSMUST00000166025.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3269 description:predicted gene 3269 [Source:MGI Symbol;Acc:MGI:3781447] MFSRLLRLCQKENGDEGDTRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKRKIINDMEEMCGILIVYMYEDLNYRMNTEFNIIKSQHEKTMLDIDKM TQSIIASMKFSEELLNDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRRSTVCAQEIHHC CLSSLITMAVMGCMLLFILVSWV >ENSMUSP00000137595.1 pep:known chromosome:GRCm38:14:4838111:4841082:1 gene:ENSMUSG00000091494.8 transcript:ENSMUST00000178137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3269 description:predicted gene 3269 [Source:MGI Symbol;Acc:MGI:3781447] MFSRLLRLCQKENGDEGDTRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKRKIINDMEEMCGILIVYMYEDLNYRMNTEFNIIKSQHEKTMLDIDKM TQSIIASMKFSEELLNDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000059708.4 pep:known chromosome:GRCm38:6:56017497:56032689:1 gene:ENSMUSG00000002930.6 transcript:ENSMUST00000052827.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r17 description:protein phosphatase 1, regulatory subunit 17 [Source:MGI Symbol;Acc:MGI:1333876] MSTEMMTTEPVPPLELSDDILGKLDPQCSPSDDLSDQFIKDCDLKKKPRKGKNVQATLNV ESDQKKPRRKDTPAVHIPPFIPGVISEHLIKRYDVQERIPKAKSGPALHNSDMEQKRPRR KDTPALHMPPFVAGLTLLRDESAGVILEDEEMDGDKLAI >ENSMUSP00000052365.2 pep:known chromosome:GRCm38:X:50425496:50426494:-1 gene:ENSMUSG00000048173.3 transcript:ENSMUST00000050044.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1324 description:olfactory receptor 1324 [Source:MGI Symbol;Acc:MGI:3031158] MLPFNDEDEFSLFRYSRTMHQGNQTAISGFILLGLTVGSEQQLLLFTLFLCMYLVTMVGN SLIILAIISDTHLYSPMYFFLANLSFTDICFTTTTVPKILADIQSQNPTISFVGCFTQMY FFMFLVDLDNFLLAAMAYDRYIAICHPLHYAALLNPKRCALLVVVPWVISNLVSVLHLSL LSRLPFCDQRVIPHFFCDLEPVLRLACSDTQINNLLILIVGGTVIFVPFVFILVSYALIG TAVLNVPSVKGKWKTFSTCGSHLSAVSLFYGSIVGVYFLPASSYSAERDKVAAIMYTVVT PMMNPFIYSLRNKDMKRALRRLLSQKSLICSW >ENSMUSP00000025249.6 pep:known chromosome:GRCm38:17:35128997:35132050:-1 gene:ENSMUSG00000024391.7 transcript:ENSMUST00000025249.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apom description:apolipoprotein M [Source:MGI Symbol;Acc:MGI:1930124] MFHQVWAALLSLYGLLFNSMNQCPEHSQLTALGMDDTETPEPHLGLWYFIAGAASTTEEL ATFDPVDNIVFNMAAGSAPRQLQLRATIRTKSGVCVPRKWTYRLTEGKGNMELRTEGRPD MKTDLFSSSCPGGIMLKETGQGYQRFLLYNRSPHPPEKCVEEFQSLTSCLDFKAFLVTPR NQEACPLSSK >ENSMUSP00000069768.3 pep:known chromosome:GRCm38:6:132802818:132803975:1 gene:ENSMUSG00000058349.2 transcript:ENSMUST00000068302.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r117 description:taste receptor, type 2, member 117 [Source:MGI Symbol;Acc:MGI:2681242] MKHFWKILSVISQSTLSVILIVELVIGIIGNGFMVLVHCMDWVKKKKMSLVNQILTALSI SRIFQLCLLFISLVINFSYTDLTTSSRMIQVMYNAWILANHFSIWIATCLTVLYFLKIAN FSNSFFLYLKWRVEKVVSVTLLVSLLLLILNILLTNLETDMWTNEYQRNISCSFSSHYYA KCHRQVLRLHIIFLSVPVVLSLSTFLLLIFSLWTHHKRMQQHVQGGRDARTTAHFKALQT VIAFFLLYSIFILSVLIQIWKYELLKKNLFVVFCEVVYIAFPTFHSYILIVGDMKLRQAC LPLCIIAAEIQTTLCRNFRSLKYFRLCCIF >ENSMUSP00000104087.1 pep:known chromosome:GRCm38:11:74906793:74925773:-1 gene:ENSMUSG00000001323.17 transcript:ENSMUST00000108448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srr description:serine racemase [Source:MGI Symbol;Acc:MGI:1351636] MCAQYCISFADVEKAHINIQDSIHLTPVLTSSILNQIAGRNLFFKCELFQKTGSFKIRGA LNAIRGLIPDTPEEKPKAVVTHSSGNHGQALTYAAKLEGIPAYIVVPQTAPNCKKLAIQA YGASIVYCDPSDESREKVTQRIMQETEGILVHPNQEPAVIAGQGTIALEVLNQVPLVDAL VVPVGGGGMVAGIAITIKALKPSVKVYAAEPSNADDCYQSKLKGELTPNLHPPETIADGV KSSIGLNTWPIIRDLVDDVFTVTEDEIKYATQLVWGRMKLLIEPTAGVALAAVLSQHFQT VSPEVKNVCIVLSGGNVDLTSLNWVGQAERPAPYQTVSV >ENSMUSP00000113372.1 pep:known chromosome:GRCm38:11:74906799:74925948:-1 gene:ENSMUSG00000001323.17 transcript:ENSMUST00000121738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srr description:serine racemase [Source:MGI Symbol;Acc:MGI:1351636] MCAQYCISFADVEKAHINIQDSIHLTPVLTSSILNQIAGRNLFFKCELFQKTGSFKIRGA LNAIRGLIPDTPEEKPKAVVTHSSGNHGQALTYAAKLEGIPAYIVVPQTAPNCKKLAIQA YGASIVYCDPSDESREKVTQRIMQETEGILVHPNQEPAVIAGQGTIALEVLNQVPLVDAL VVPVGGGGMVAGIAITIKALKPSVKVYAAEPSNADDCYQSKLKGELTPNLHPPETIADGV KSSIGLNTWPIIRDLVDDVFTVTEDEIKYATQLVWGRMKLLIEPTAGVALAAVLSQHFQT VSPEVKNVCIVLSGGNVDLTSLNWVGQAERPAPYQTVSV >ENSMUSP00000104086.1 pep:known chromosome:GRCm38:11:74906819:74925773:-1 gene:ENSMUSG00000001323.17 transcript:ENSMUST00000108447.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srr description:serine racemase [Source:MGI Symbol;Acc:MGI:1351636] MCAQYCISFADVEKAHINIQDSIHLTPVLTSSILNQIAGRNLFFKCELFQKTGSFKIRGA LNAIRGLIPDTPEEKPKAVVTHSSGNHGQALTYAAKLEGIPAYIVVPQTAPNCKKLAIQA YGASIVYCDPSDESREKVTQRIMQETEGILVHPNQEPAVIAGQGTIALEVLNQALKPSVK VYAAEPSNADDCYQSKLKGELTPNLHPPETIADGVKSSIGLNTWPIIRDLVDDVFTVTED EIKYATQLVWGRMKLLIEPTAGVALAAVLSQHFQTVSPEVKNVCIVLSGGNVDLTSLNWV GQAERPAPYQTVSV >ENSMUSP00000120012.1 pep:known chromosome:GRCm38:11:74909741:74925682:-1 gene:ENSMUSG00000001323.17 transcript:ENSMUST00000128556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srr description:serine racemase [Source:MGI Symbol;Acc:MGI:1351636] MCAQYCISFADVEKAHINIQDSIHLTPVLTSSILNQIAGRNLFFKCELFQKTGSFKIRGA LNAIRGLIPDTPEEKPKAVVTHSSGNHGQALTYAAKLEGIPAYIVVPQTAPNCKKLAIQA YGASIVYCDPSDESREKVTQRIMQETEGILVHPNQEPAVIAGQGTIALEVLNQVPLVDAL VV >ENSMUSP00000118485.1 pep:known chromosome:GRCm38:11:74910222:74925660:-1 gene:ENSMUSG00000001323.17 transcript:ENSMUST00000123855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srr description:serine racemase [Source:MGI Symbol;Acc:MGI:1351636] MCAQYCISFADVEKAHINIQDSIHLTPVLTSSILNQIAGRNLFFKCELFQKTGSFKIRGA LNAIRGLIPDTPEEKPKAVVTHSSGNHGQALTYAAKLEGIPAYIVVPQTAPNCKKLAIQA YGASIVYCDPSDESREKVTQRIMQETEGILVHPNQEPAVIAGQGTI >ENSMUSP00000119256.1 pep:known chromosome:GRCm38:11:74911102:74925658:-1 gene:ENSMUSG00000001323.17 transcript:ENSMUST00000138612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srr description:serine racemase [Source:MGI Symbol;Acc:MGI:1351636] MCAQYCISFADVEKAHINIQDSIHLTPVLTSSILNQIAGRNLFFKCELFQKTGSFKIRGA LNAIRGLIPDTPEEKPKAVVTHSSGNHGQALTYAAKLEGIPAYIVVPQTAPNC >ENSMUSP00000067552.2 pep:known chromosome:GRCm38:11:74906359:74925798:-1 gene:ENSMUSG00000001323.17 transcript:ENSMUST00000065211.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srr description:serine racemase [Source:MGI Symbol;Acc:MGI:1351636] MCAQYCISFADVEKAHINIQDSIHLTPVLTSSILNQIAGRNLFFKCELFQKTGSFKIRGA LNAIRGLIPDTPEEKPKAVVTHSSGNHGQALTYAAKLEGIPAYIVVPQTAPNCKKLAIQA YGASIVYCDPSDESREKVTQRIMQETEGILVHPNQEPAVIAGQGTIALEVLNQVPLVDAL VVPVGGGGMVAGIAITIKALKPSVKVYAAEPSNADDCYQSKLKGELTPNLHPPETIADGV KSSIGLNTWPIIRDLVDDVFTVTEDEIKYATQLVWGRMKLLIEPTAGVALAAVLSQHFQT VSPEVKNVCIVLSGGNVDLTSLNWVGQAERPAPYQTVSV >ENSMUSP00000061679.3 pep:known chromosome:GRCm38:8:125669818:125673359:1 gene:ENSMUSG00000050930.5 transcript:ENSMUST00000053078.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map10 description:microtubule-associated protein 10 [Source:MGI Symbol;Acc:MGI:1921643] MATTAAGRLFSLELLVDWVRLETGLSPCAASPAVAFRLLDFPPLLVFPPAAPGPEPQRGA ISFGRGKACLLRLHPAALRRPRLRAALLQLPVGPTSAPGLVGACDILLVPSLGQRGVFAL RGPEAERVGELALFYRLTELGRFSPGVPQLRGPLSPACILDSEALEVSEPRTEETSKPCT KGISARCLQCVSNARLLEGSEPCAKDTNSWSAGDSDASVVQKSWEEAVLHSKASSGDMAS APCSPAPSGKTVSSVSQDVTELDFETNTFCPPPLYYTHLTQEKTPSARVEITIEPQRNEP EDLDDIFPETKLVSPPLRPVKHTRAAVQESPPVLPNLPQTQGPGEANEAPCPPQIEQSPV NAIRQLPLLNALLIELSLLCNQPVASPTQVHPHLAWLYRGEDKGPDPSTKSTSPSESKSN KLSVRENEKLVNPQSKKNPKGKHPKIGGSPPPKVTKGRLLYGLTNTLRLRLQQTNPNMLV VHEKREQYRRSQIQAVGPKLRIPSWKGKVSSSAAESQMSPQLPADTPTDSNGKLPSLAVQ SQLPPQLPGDESLDSIGSFEEGSDTSMQIRAGFDESSTTKEVKQSHAMKQEMVDQSENRT IVTALRAPVSPAGSVTPERSLRSNSFGGNWKNKVPSPGLSLQEPTVDKTVDEGKDGRQVK AISAADTSENRPTSRKSSCESISELLYRDGFTSPCYSEDFCTTENNSRSLPAPDSSTGVE HVQKGSRASKSSEARLSTRKNSSDSSSVLTPPFSAGSPVCSHKRSRALKIHDSLEEASSL STSDFSSQWTNEKENQADPGSSKVMRKGRDSSTKLKVRAGHKSSEKSQSPRTSQVSSYEP SNLSELELKAIDDSDLADFQEEEDGLGSLRISRQCKDICELVINKLPGYTV >ENSMUSP00000038506.8 pep:known chromosome:GRCm38:3:76074270:76710019:1 gene:ENSMUSG00000034098.14 transcript:ENSMUST00000038364.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fstl5 description:follistatin-like 5 [Source:MGI Symbol;Acc:MGI:2442179] MFRCWSAILILGFIFLASEGRPTKESGYGLKSYQPLTRLRHKQEKSQESSRIKEFLIHDG PFGSCENKYCGLGRHCVINRETRHAECACMDLCKQHYKPVCGSDGEFYENHCEVHRAACL KKQKITIVHNEDCFFEGDNCMAIEYSKMKSMLLDLQNQKYITQENENPNSDDISRKKPLV DQMFKYFDADSNGLVDINELTQVIKQEELNKDLSDCTLYDLLKYDDFNADKHLALEEFYR AFQVIQLSLPEDQRVSITAATVGQSAVLSCAIVGTLRPPIIWKRNNIVLNNLDLEDINDF GDDGSLYITKVTTVHMGNYTCYADGYENVRQTHIFQVNVPPVIRVYPESQAREPGVTASL RCHAEGIPDPQLGWLKNGIDITPKLSKQLTLQANGSEVHISNVRYEDTGAYTCIARNEAG VDEDISSLFVEDSARKTLANILWREEGLGIGNMFYVFYEDGIKVIQPTECEFQRHIKPSE KLLGYQDEVCPKAEEDEVQRCVWASAVNVKDKFIYVAQPTLDRILIVDVQSQKVVQAVST DPVPVKLHYDKSHDQVWVLSWGSMEKTSPTLQVITLASGNVPHHTIHTQPVGKQFDRVDD FFIPTPTLIITHMRFGFILHKDESALHKIDLETMSYIKTINLKDSRCIPLSLAYTHLGGY YFISCKADITGATPPQLVVDSVTDSIIGFNSDVTGTPYVSPDGHYLVSVNDVKGLVRVQY ITIRGEILDAFDIHTNLHISDLAFQPSFTEAHQYNIYGSSSQQTDVLFVELSSGKVKMIK SLKEPLKTEDWPWSQKNRHIQGSGLFGQYLMTPSKDSLFILDGRLNKLNCEITEVQKGNT VVWVGDA >ENSMUSP00000125393.1 pep:known chromosome:GRCm38:3:76075583:76708636:1 gene:ENSMUSG00000034098.14 transcript:ENSMUST00000160261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fstl5 description:follistatin-like 5 [Source:MGI Symbol;Acc:MGI:2442179] MFRCWSAILILGFIFLASEGRPTKESGYGLKSYQPLTRLRHKQEKSQESSRIKEFLIHDG PFGSCENKYCGLGRHCVINRETRHAECACMDLCKQHYKPVCGSDGEFYENHCEVHRAACL KKQKITIVHNEDCFFEGDNCMAIEYSKMKSMLLDLQNQKYITQENENPNSDDISRKKPLV DQMFKYFDADSNGLVDINELTQVIKQEELNKDLSDCTLYDLLKYDDFNADKHLALEEFYR AFQVIQLSLPEDQRVSITAATVGQSAVLSCAIVGTLRPPIIWKRNNIVLNNLDLEDINDF GDDGSLYITKVTTVHMGNYTCYADGYENVRQTHIFQVNVPPVIRVYPESQAREPGVTASL RCHAEGIPDPQLGWLKNGIDITPKLSKQLTLQANGSEVHISNVRYEDTGAYTCIARNEAG VDEDISSLFVEDSARKTLANILWREEGLGIGNMFYVFYEDGIKVIQPTECEFQRHIKPSE KLLGYQDEVCPKAEEDEVQRCVWASAVNVKDKFIYVAQPTLDRILIVDVQSQKVVQAVST DPVPVKLHYDKSHDQVWVLSWGSMEKTSPTLQVITLASGNVPHHTIHTQPVGKQFDRVDD FFIPTPTLIITHMRFGFILHKDESALHKIDLETMSYIKTINLKDSRCIPLSLAYTHLGGY YFISCKADITGATPPQLVVDSVTDSIIGFNSDVTGTPYVSPDGHYLVSVNDVKGLVRVQY ITIRGEILDAFDIHTNLHISDLAFQPSFTEAHQYNIYGSSSQQTDVLFVELSSGKVKMIK SLKEPLKTEDWPWSQKNRHIQGSGLFGQYLMTPSKDSLFILDGRLNKLNCEITEVQKGNT VVWVGDA >ENSMUSP00000125688.1 pep:known chromosome:GRCm38:3:76593550:76707549:1 gene:ENSMUSG00000034098.14 transcript:ENSMUST00000162471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fstl5 description:follistatin-like 5 [Source:MGI Symbol;Acc:MGI:2442179] FVEDSARKTRLGIGNMFYVFYEDGIKVIQPTECEFQRHIKPSEKLLGYQDEVCPKAEEDE VQRCVWASAVNVKDKFIYVAQPTLDRILIVDVQSQKVVQAVSTDPVPVKLHYDKSHDQVW VLSWGSMEKTSPTLQVITLASGNVPHHTIHTQPVGKQFDRVDDFFIPTPTLIITHMRFGF ILHKDESALHKIDLETMSYIKT >ENSMUSP00000108408.3 pep:known chromosome:GRCm38:X:148488949:148521446:1 gene:ENSMUSG00000079395.3 transcript:ENSMUST00000112788.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15114 description:predicted gene 15114 [Source:MGI Symbol;Acc:MGI:3713330] MENHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSRNRFRRAPVHSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLKQSWRGQNNQSQR GHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSIQSWMLHERERYHGPRG RNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNVALEDGYSSAEESDESF DRLTCSSMLDTLY >ENSMUSP00000144099.1 pep:known chromosome:GRCm38:7:127016234:127021039:-1 gene:ENSMUSG00000107068.1 transcript:ENSMUST00000202624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm42742 description:predicted gene 42742 [Source:MGI Symbol;Acc:MGI:5662879] MSLALGHGTIAGSTAAPLSEEGEVTSGLQALAVEDTGGPSVSASKAEEEGKGSQEEAGRE GSRPEEALEAPSAASDERAEGEAEDWCVPCSDEEVELPANGQSWMPPPSEIQRLYELLAT QGTLELQAEILPRRPPTPEAQSEEERSDEEPEAKEEEEEKPHMPTEFDFDDEPMTP >ENSMUSP00000144243.1 pep:known chromosome:GRCm38:7:127015051:127017352:-1 gene:ENSMUSG00000107068.1 transcript:ENSMUST00000202798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm42742 description:predicted gene 42742 [Source:MGI Symbol;Acc:MGI:5662879] MSLALGHGTIAGSTAAPLSEEGEVTSGLQALAVEDTGGPSVSASKAEEEGKGSQEEAGRE GSRPEEALEAPSAASDERAEGEAEDWCVPCSDEEVELPANGQSWMPPPSEIQRLYELLAT QGTLELQAEILPRRPPTPEAQSEEERSDEEPEAKEEEEEKPHMPTEFDFDDEPMTPKDSL IDRRRTPGSSARSQKREARLDKVLSDMKRHKKLEEQILRTGRDLFSLDSEGPSPTSPPLR SSGNSLFPRQRKY >ENSMUSP00000051664.4 pep:known chromosome:GRCm38:12:85288591:85299358:1 gene:ENSMUSG00000045064.4 transcript:ENSMUST00000059341.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc2hc1c description:zinc finger, C2HC-type containing 1C [Source:MGI Symbol;Acc:MGI:1919600] MAGLQLAPHLPVGVMFPHNKTEAPGLHSAKHDPYEQSDSSQRPSMGHLRNSFQSKLWSNT EMEQEDEVSTRPKRNVCTKARRHSCPHSAGIRQQGSGNNAQGQGKGLFYLSSPTPRYPKA NDQDFIPFRRKRVGVDRAYPLKPMVHRKSHSTSDAGADGDQNGYPRLPDSSEFSDNSFGL RSWVNSSLLASVQAEKVVAELHRTEWTQIQRLEAAGESLQKEIRRKEILLQEKLKKTEEG LRRMQKEKKQAIFQEDRELQRMVLPRRRVRDGDHDTPHKPCLSPEFRSEVFSRNRGEDQT CDQAQENPSPRQLSDYELQKLKRERLMASNSKIRDQDAGPSADAFFQPAEELGSTLQESS RSGTPGSSGSSSSTEEPELAKCSHCGRSFLSLRLQRHSTVCGKMQGSKRKVFDSSRARAK GTELEQYLNWRGPATAKAETPPPPRKSTWRQKHESFIRTLRHARQVQQVIARGGNPSDLP SILPADNPDYVQCPHCSRHFAPKVAERHIPKCKTIKNRPPPPRRHDS >ENSMUSP00000108416.1 pep:known chromosome:GRCm38:X:148180724:148224710:1 gene:ENSMUSG00000072930.3 transcript:ENSMUST00000112796.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15107 description:predicted gene 15107 [Source:MGI Symbol;Acc:MGI:3713328] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSRNRFRRAPVQSERSHVYSGRTNNYTDRPHHDLSNRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIWSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV GLEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000131885.1 pep:known chromosome:GRCm38:14:4892416:4902431:1 gene:ENSMUSG00000091185.1 transcript:ENSMUST00000164459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3278 description:predicted gene 3278 [Source:MGI Symbol;Acc:MGI:3781456] MFSWLLRLCQKENGDEGEIRTTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQR SKINELEELKLDMRKISNDMEEMGGILELYIYEDLNYRMNTEFNIIKSQHEKTILDMNEM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLSEKVRILLNENRKLLVEQAGMQLSH EEEKRFCEEASKNICASSAKEQQCVNSSRNRNMAQTTT >ENSMUSP00000124548.1 pep:known chromosome:GRCm38:9:108051534:108083346:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000160249.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] MASKGTGMSFSRKSYRLTSDAEKSRVTGIVQEKLLSDYLYRIFSPPDRGPAAATSRKPLN FHNLPEHVDQLLQVDSEDNESQGQVEGRLGPSTVVLDHTGGFEGLLLVDDDLLGVIGHSN FGTIRSTTCVYKGKWVYEVLISSQGLMQIGWCTINCRFNQEEGVGDTHNSYAYDGNRVRK WNVTTTNYGKAWAAGDIVSCLIDLDDGTLSFCLNGVSLGTAFENLSRGLGMAYFPAISLS FKESVAFNFGSRPLRYPVAGFRPLQDPPFADLVRAQRLLGCFQAVLSVELDPVEGRLVET ESSEWQLQGQPTVLLTLAHIFHHFAPLLRKVYLVEAVLMSFLLGVVEKGTPEQAQSVVHQ ILDLLWLFMEDYEVQDCLKQLMMSLLRLYRFSPIVPDLGLQIHYLRLTMSILRHEKSRKF LLSNVLFDMLRSVVFFYIKSPLRVEEAGLKELIPTTWWPHRSSRESRDGKEAREETTEER QRRRAYERGCQRLKKRIEVVEELQVQILKLLLDNKDDNGGEASRYIFLTKFRKFLQENAS GRGNTPVLCPPEYMVCFLHRLVSALRFYWDEYKASNPRASFSEEAYIPPQIFYNGKVDYF DLQRLGGLLSHLRKTLKDDLASKANIVIDPLELQAATMDDLDEDEEPAPSAAQRPMQALA IGGALPLPRPGWLSSPTLGRANRFLSTAAVSLMTPRRLLSTMEKVKVRSLNVEQRTREDI EGSHWNEGLLLGRPPEEPEQPLTENSLLEVLDGTVMMYNLSVHQQLGKMVGVSDDVNEYA MALRDTEDKLRRCPKRRKDILAELTKSQKVFSEKLDHLSRRLAWVHATVYSQEKMLDIYW LLRVCLRTIEHGDRTGSLFAFMPEFYLSVAINSYSALKNYFGPVHSMEELPGYEETLTRL AAILAKHFADPRIVGTDIRDSLMQALASYVCYPHSLRAVERIPEEQRIAMVRNLLAPYEQ RPWAQTNWILVRLWRGCGFGYRYTRLPHLLKTKPEDANLPSLQKPCPSTLLQQHMADLLR QGSDVAPSFLNSVLNQLNWAFSEFIGMIQEIQQAAERLERNFVDSRQLKVCATCFDLSVS LLRVLEMTITLVPEIFLDWSRPTSEMLLRRLAQLLNQVLNRVTAERNLFDRVVTLRLPGL ESVDHYPILVAVTGILVRLLVHGPTSETEQATSVLLADPCFQLRSICYLLGQPEPLAPGT TLPAPDRKRFSLQSYTDYISAEELAQVEQMLAHLTAASAQAAAASLPTNEEDLCPICYAH PISAVFQPCGHKSCKACINQHLMNNKDCFFCKATIVSVEDWDKAANTSAMSSAA >ENSMUSP00000125745.1 pep:known chromosome:GRCm38:9:108051672:108079301:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000162355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] MASKGTGMSFSRKSYRLTSDAEKSRVTGIVQEKLLSDYLYRIFSPPDRGPAAATSRKPLN FHNLPEHVDQLLQVDSEDNESQGQVEGRLGPSTVVLDHTGGFEGLLLVDDDLLGVIGHSN FGTIRSTTCVYKGKWVYEVLISSQGLMQIGWCTINCRFNQEEGVGDTHNSYAYDGNRVRK WNVTTTNYGKAWAAGDIVSCLIDLDDGTLSFCLNGVSLGTAFENLSRGLGMAYFPAISLS FKESVAFNFGSRPLRYPVAGFRPLQDPPFADLVRAQRLLGCFQAVLSVELDPVEGRLVET ESSEWQLQGQPTVLLTLAHIFHHFAPLLRKVYLVEAVLMSFLLGVVEKGTPEQAQSVVHQ ILDLLWLFMEDYEVQDCLKQLMMSLLRLYRFSPIVPDLGLQIHYLRLTMSILRHEKSRKF LLSNVLFDMLRSVVFFYIKSPLRVEEAGLKELIPTTWWPHRSSRESRDGKEAREETTEER QRRRAYERGCQRLKKRIEVVEELQVQILKLLLDNKDDNGGEASRYIFLTKFRKFLQENAS GRGNTPVLCPPEYMVCFLHRLVSALRFYWDEYKASNPRASFSEEAYIPPQIFYNGKVDYF DLQRLGGLLSHLRKTLKDDLASKANIVIDPLELQAATMDDLDEDEEPAPSAAQVWQEGQR PMQALAIGGALPLPRPGWLSSPTLGRANRFLSTAAVSLMTPRRLLSTMEKVKVRSLNVEQ RTREDIEGSHWNEGLLLGRPPEEPEQPLTENSLLEVLDGTVMMYNLSVHQQLGKMVGVSD DVNEYAMALRDTEDKLRRCPKRRKDILAELTKSQKVFSEKLDHLSRRLAWVHATVYSQEK MLDIYWLLRVCLRTIEHGDRTGSLFAFMPEFYLSVAINSYSALKNYFGPVHSMEELPGYE ETLTRLAAILAKHFADPRIVGTDIRDSLMQALASYVCYPHSLRAVERIPEEQRIAMVRNL LAPYEQRPWAQTNWILVRLWRGCGFGYRYTRLPHLLKTKPEDANLPSLQKPCPSTLLQQH MADLLRQGSDVAPSFLNSVLNQLNWAFSEFIGMIQEIQQAAERLERNFVDSRQLKVCATC FDLSVSLLRVLEMTITLVPEIFLDWSRPTSEMLLRRLAQLLNQVLNRVTAERNLFDRVVT LRLPGLESVDHYPILVAVTGILVRLLVHGPTSETEQATSVLLADPCFQLRSICYLLGQPE PLAPGTTLPAPDRKRFSLQSYTDYISAEELAQVEQMLAHLTAASAQAAAASLPTNEEDLC PICYAHPISAVFQPCGHKSCKACINQHLMNNKDCFFCKATIVSVEDWDKAANTSAMSSAA >ENSMUSP00000125695.1 pep:known chromosome:GRCm38:9:108052225:108059730:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000159306.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] XAINSYSALKNYFGPVHSMEELPGYEETLTRLAAILAKHFADPRIVGTDIRDSLMQALAS YVCYPHSLRAVERIPEEQRIAMVRNLLAPYEQRPWAQTNWILVRLWRGCGFGYRYTRLPH LLKTKPEDANLPSLQKPCPSTLLQQHMADLLRQGSDVAPSFLNSVLNQLNWAFSEFIGMI QEIQQAAERLERNFVDSRQLKVCATCFDLSVSLLRVLEMTITLVPEIFLDWSRPTSEMLL RRLAQASALTAAEPGAEPGDS >ENSMUSP00000125495.1 pep:known chromosome:GRCm38:9:108052234:108079375:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000160649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] MASKGTGMSFSRKSYRLTSDAEKSRVTGIVQEKLLSDYLYRIFSPPDRGPAAATSRKPLN FHNLPEHVDQLLQVDSEDNESQGQVEGRLGPSTVVLDHTGGFEGLLLVDDDLLGVIGHSN FGTIRSTTCVYKGKWVYEVLISSQGLMQIGWCTINCRFNQEEGVGDTHNSYAYDGNRVRK WNVTTTNYGKAWAAGDIVSCLIDLDDGTLSFCLNGVSLGTAFENLSRGLGMAYFPAISLS FKESVAFNFGSRPLRYPVAGFRPLQDPPFADLVRAQRLLGCFQAVLSVELDPVEGRLVET ESSEWQLQGQPTVLLTLAHIFHHFAPLLRKVYLVEAVLMSFLLGVVEKGTPEQAQSVVHQ ILDLLWLFMEDYEVQDCLKQLMMSLLRLYRFSPIVPDLGLQIHYLRLTMSILRHEKSRKF LLSNVLFDMLRSVVFFYIKSPLRVEEAGLKELIPTTWWPHRSSRESRDGKEAREETTEER QRRRAYERGCQRLKKRIEVVEELQVQILKLLLDNKDDNGGEASRYIFLTKFRKFLQENAS GRGNTPVLCPPEYMVCFLHRLVSALRFYWDEYKASNPRASFSEEAYIPPQIFYNGKVDYF DLQRLGGLLSHLRKTLKDDLASKANIVIDPLELQAATMDDLDEDEEPAPSAAQRPMQALA IGGALPLPRPGWLSSPTLGRANRFLSTAAVSLMTPRRLLSTMEKVKVRSLNVEQRTREDI EGSHWNEGLLLGRPPEEPEQPLTENSLLEVLDGTVMMYNLSVHQQLGKMVGVSDDVNEYA MALRDTEDKLRRCPKRRKDILAELTKSQKVFSEKLDHLSRRLAWVHATVYSQEKMLDIYW LLRVCLRTIEHGDRTGSLFAFMPEFYLSVAINSYSALKNYFGPVHSMEELPGYEETLTRL AAILAKHFADPRIVGTDIRDSLMQALASYVCYPHSLRAVERIPEEQRIAMVRNLLAPYEQ RPWAQTNWILVRLWRGCGFGYRYTRLPHLLKTKPEDANLPSLQKPCPSTLLQQHMADLLR QGSDVAPSFLNSVLNQLNWAFSEFIGMIQEIQQAAERLERNFVDSRQLKVCATCFDLSVS LLRVLEMTITLVPEIFLDWSRPTSEMLLRRLAQLLNQVLNRVTAERNLFDRVVTLRLPGL ESVDHYPILVAVTGILVRLLVHGPTSETEQATSVLLADPCFQLRSICYLLGQPEPLAPGT TLPAPDRKRFSLQSYTDYISAEELAQVEQMLAHLTAASA >ENSMUSP00000124824.1 pep:known chromosome:GRCm38:9:108056560:108059765:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000162753.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] LFAFMPEFYLSVAINSYSALKNYFGPVHSMEELPGYEETLTRLAAILAKHFADPRIIFET H >ENSMUSP00000125213.1 pep:known chromosome:GRCm38:9:108071160:108078269:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000161828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] MASKGTGMSFSRKSYRLTSDAEKSRVTGIVQEKLLSDYLYRIFSPPDRGPAAATSRKPLN FHNLPEHVDQLLQVDSEDNESQGQVEGRLGPSTVVLDHTGGFEGLLLVDDD >ENSMUSP00000134087.1 pep:known chromosome:GRCm38:9:108071219:108079305:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000174504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] MASKGTGMSFSRKSYRLTSDAEKSRVTGIVQEKLLSDYLYRIFSPPDRGPAAATSRKPLN FHNLPEHVDQLLQVDSEDNESQGQVEGRLGP >ENSMUSP00000124986.1 pep:known chromosome:GRCm38:9:108071446:108079266:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000162516.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] MASKGTGMSFSRKSYRLTSDAEKSRVTGIVQEKLLSDYLYRIFSPPDRGPAAATSRKPL >ENSMUSP00000123933.1 pep:known chromosome:GRCm38:9:108077722:108083344:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000159372.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] MASKGTGMSFSRKSYRLTSDAEKSRVT >ENSMUSP00000136953.1 pep:known chromosome:GRCm38:9:108051677:108079375:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000178267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] MASKGTGMSFSRKSYRLTSDAEKSRVTGIVQEKLLSDYLYRIFSPPDRGPAAATSRKPLN FHNLPEHVDQLLQVDSEDNESQGQVEGRLGPSTVVLDHTGGFEGLLLVDDDLLGVIGHSN FGTIRSTTCVYKGKWVYEVLISSQGLMQIGWCTINCRFNQEEGVGDTHNSYAYDGNRVRK WNVTTTNYGKAWAAGDIVSCLIDLDDGTLSFCLNGVSLGTAFENLSRGLGMAYFPAISLS FKESVAFNFGSRPLRYPVAGFRPLQDPPFADLVRAQRLLGCFQAVLSVELDPVEGRLVET ESSEWQLQGQPTVLLTLAHIFHHFAPLLRKVYLVEAVLMSFLLGVVEKGTPEQAQSVVHQ ILDLLWLFMEDYEVQDCLKQLMMSLLRLYRFSPIVPDLGLQIHYLRLTMSILRHEKSRKF LLSNVLFDMLRSVVFFYIKSPLRVEEAGLKELIPTTWWPHRSSRESRDGKEAREETTEER QRRRAYERGCQRLKKRIEVVEELQVQILKLLLDNKDDNGGEASRYIFLTKFRKFLQENAS GRGNTPVLCPPEYMVCFLHRLVSALRFYWDEYKASNPRASFSEEAYIPPQIFYNGKVDYF DLQRLGGLLSHLRKTLKDDLASKANIVIDPLELQAATMDDLDEDEEPAPSAAQRPMQALA IGGALPLPRPGWLSSPTLGRANRFLSTAAVSLMTPRRLLSTMEKVKVRSLNVEQRTREDI EGSHWNEGLLLGRPPEEPEQPLTENSLLEVLDGTVMMYNLSVHQQLGKMVGVSDDVNEYA MALRDTEDKLRRCPKRRKDILAELTKSQKVFSEKLDHLSRRLAWVHATVYSQEKMLDIYW LLRVCLRTIEHGDRTGSLFAFMPEFYLSVAINSYSALKNYFGPVHSMEELPGYEETLTRL AAILAKHFADPRIVGTDIRDSLMQALASYVCYPHSLRAVERIPEEQRIAMVRNLLAPYEQ RPWAQTNWILVRLWRGCGFGYRYTRLPHLLKTKPEDANLPSLQKPCPSTLLQQHMADLLR QGSDVAPSFLNSVLNQLNWAFSEFIGMIQEIQQAAERLERNFVDSRQLKVCATCFDLSVS LLRVLEMTITLVPEIFLDWSRPTSEMLLRRLAQLLNQVLNRVTAERNLFDRVVTLRLPGL ESVDHYPILVAVTGILVRLLVHGPTSETEQATSVLLADPCFQLRSICYLLGQPEPLAPGT TLPAPDRKRFSLQSYTDYISAEELAQVEQMLAHLTAASAQAAAASLPTNEEDLCPICYAH PISAVFQPCGHKSCKACINQHLMNNKDCFFCKATIVSVEDWDKAANTSAMSSAA >ENSMUSP00000040803.6 pep:known chromosome:GRCm38:9:108051677:108079301:-1 gene:ENSMUSG00000041528.15 transcript:ENSMUST00000047746.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf123 description:ring finger protein 123 [Source:MGI Symbol;Acc:MGI:2148796] MASKGTGMSFSRKSYRLTSDAEKSRVTGIVQEKLLSDYLYRIFSPPDRGPAAATSRKPLN FHNLPEHVDQLLQVDSEDNESQGQVEGRLGPSTVVLDHTGGFEGLLLVDDDLLGVIGHSN FGTIRSTTCVYKGKWVYEVLISSQGLMQIGWCTINCRFNQEEGVGDTHNSYAYDGNRVRK WNVTTTNYGKAWAAGDIVSCLIDLDDGTLSFCLNGVSLGTAFENLSRGLGMAYFPAISLS FKESVAFNFGSRPLRYPVAGFRPLQDPPFADLVRAQRLLGCFQAVLSVELDPVEGRLVET ESSEWQLQGQPTVLLTLAHIFHHFAPLLRKVYLVEAVLMSFLLGVVEKGTPEQAQSVVHQ ILDLLWLFMEDYEVQDCLKQLMMSLLRLYRFSPIVPDLGLQIHYLRLTMSILRHEKSRKF LLSNVLFDMLRSVVFFYIKSPLRVEEAGLKELIPTTWWPHRSSRESRDGKEAREETTEER QRRRAYERGCQRLKKRIEVVEELQVQILKLLLDNKDDNGGEASRYIFLTKFRKFLQENAS GRGNTPVLCPPEYMVCFLHRLVSALRFYWDEYKASNPRASFSEEAYIPPQIFYNGKVDYF DLQRLGGLLSHLRKTLKDDLASKANIVIDPLELQAATMDDLDEDEEPAPSAAQVWQEGQR PMQALAIGGALPLPRPGWLSSPTLGRANRFLSTAAVSLMTPRRLLSTMEKVKVRSLNVEQ RTREDIEGSHWNEGLLLGRPPEEPEQPLTENSLLEVLDGTVMMYNLSVHQQLGKMVGVSD DVNEYAMALRDTEDKLRRCPKRRKDILAELTKSQKVFSEKLDHLSRRLAWVHATVYSQEK MLDIYWLLRVCLRTIEHGDRTGSLFAFMPEFYLSVAINSYSALKNYFGPVHSMEELPGYE ETLTRLAAILAKHFADPRIVGTDIRDSLMQALASYVCYPHSLRAVERIPEEQRIAMVRNL LAPYEQRPWAQTNWILVRLWRGCGFGYRYTRLPHLLKTKPEDANLPSLQKPCPSTLLQQH MADLLRQGSDVAPSFLNSVLNQLNWAFSEFIGMIQEIQQAAERLERNFVDSRQLKVCATC FDLSVSLLRVLEMTITLVPEIFLDWSRPTSEMLLRRLAQLLNQVLNRVTAERNLFDRVVT LRLPGLESVDHYPILVAVTGILVRLLVHGPTSETEQATSVLLADPCFQLRSICYLLGQPE PLAPGTTLPAPDRKRFSLQSYTDYISAEELAQVEQMLAHLTAASAQAAAASLPTNEEDLC PICYAHPISAVFQPCGHKSCKACINQHLMNNKDCFFCKATIVSVEDWDKAANTSAMSSAA >ENSMUSP00000145885.1 pep:known chromosome:GRCm38:16:58889259:58892058:-1 gene:ENSMUSG00000044029.4 transcript:ENSMUST00000206523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr178 description:olfactory receptor 178 [Source:MGI Symbol;Acc:MGI:3030012] MRLEKTNHSLTTQFILVGFSDHPDLKTPLFLLFSVIYLVTMVGNLGLVALIYMEPRLHTP MYIFLGNLALMDSCCSCAITPKMLENFFSIDRRISLYECMAQFYFLCLAETSDCFLLAAM AYDRYVAICNPLQYHSMMSKKLSIQMSIGTFIASNLISILHVGCLLRLTFCKSNRIDHFF CDILPLYRLSCTDPFINELMIYIFSMPIQFLTITTVLVSYFCILLTIFKMKSKDGRGKAL STCASHFFSVSIFYACLLMYIRPFDDSNKDIPVAIFYTIIIPLLNPFIYSLRNTEVVNAV KKVMKIYTIFKRSSASAAH >ENSMUSP00000049578.3 pep:known chromosome:GRCm38:16:58889259:58890218:-1 gene:ENSMUSG00000044029.4 transcript:ENSMUST00000058564.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr178 description:olfactory receptor 178 [Source:MGI Symbol;Acc:MGI:3030012] MRLEKTNHSLTTQFILVGFSDHPDLKTPLFLLFSVIYLVTMVGNLGLVALIYMEPRLHTP MYIFLGNLALMDSCCSCAITPKMLENFFSIDRRISLYECMAQFYFLCLAETSDCFLLAAM AYDRYVAICNPLQYHSMMSKKLSIQMSIGTFIASNLISILHVGCLLRLTFCKSNRIDHFF CDILPLYRLSCTDPFINELMIYIFSMPIQFLTITTVLVSYFCILLTIFKMKSKDGRGKAL STCASHFFSVSIFYACLLMYIRPFDDSNKDIPVAIFYTIIIPLLNPFIYSLRNTEVVNAV KKVMKIYTIFKRSSASAAH >ENSMUSP00000134420.1 pep:known chromosome:GRCm38:7:127001480:127016484:-1 gene:ENSMUSG00000092534.8 transcript:ENSMUST00000172958.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pagr1b description:PAXIP1 associated glutamate rich protein 1B [Source:MGI Symbol;Acc:MGI:5141883] XSDEEPEAKEEEEEKPHMPTEFDFDDEPMTPKDSLIDRRRTPGSSARSQKREARLDKVLS DMKRHKKLEEQILRTGRDLFSLDSEGPSPTSPPLRSSGNSLFPRQRKY >ENSMUSP00000113439.1 pep:known chromosome:GRCm38:6:83349147:83358396:1 gene:ENSMUSG00000045160.14 transcript:ENSMUST00000122216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bola3 description:bolA-like 3 (E. coli) [Source:MGI Symbol;Acc:MGI:1925903] MFGLPLWHCAQRMFASQTEGELKVTQVLKEKFPRATAIQVTDISGGCGAMYEIKIESEEF KEKRTVQQHQMVNQALKEEIKGMHGLRIFTSVPKC >ENSMUSP00000112582.1 pep:known chromosome:GRCm38:6:83349216:83358371:1 gene:ENSMUSG00000045160.14 transcript:ENSMUST00000120040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bola3 description:bolA-like 3 (E. coli) [Source:MGI Symbol;Acc:MGI:1925903] MFGVRATCSVHPRVLAAEECDLGYLGDPAVAARPRQDGERPCLQLPLWHCAQRMFASQTE GELKVTQVLKEKFPRATAIQVTDISGGCGAMYEIKIESEEFKEKRTVQQHQMVNQALKEE IKGMHGLRIFTSVPKC >ENSMUSP00000118348.1 pep:known chromosome:GRCm38:6:83349446:83360136:1 gene:ENSMUSG00000045160.14 transcript:ENSMUST00000136501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bola3 description:bolA-like 3 (E. coli) [Source:MGI Symbol;Acc:MGI:1925903] MAAWGPAAAAPLLRGSRGLPLWHCAQRMFASQTEGELKVTQVLKEKFPRATAIQVTDISG GCGAMYEIKIESEEFKEKRTVQQHQMVNQALKEEIKGMHGLRIFTSVPKC >ENSMUSP00000131011.1 pep:known chromosome:GRCm38:14:4855576:4875851:1 gene:ENSMUSG00000094132.7 transcript:ENSMUST00000166776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3264 description:predicted gene 3264 [Source:MGI Symbol;Acc:MGI:3781442] MLNSSKLGVSGMFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNT STQNSKMTKKRSKINELEELKLDMRKISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRL LVEQAGHKCPVGKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000128744.2 pep:known chromosome:GRCm38:14:4871156:4875851:1 gene:ENSMUSG00000094132.7 transcript:ENSMUST00000166410.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3264 description:predicted gene 3264 [Source:MGI Symbol;Acc:MGI:3781442] MTKKRSKINELEELKLDMRKISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000124520.1 pep:known chromosome:GRCm38:7:127017531:127021211:-1 gene:ENSMUSG00000045114.10 transcript:ENSMUST00000159916.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrt2 description:proline-rich transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1916267] MAASSSQVSEMKGVEDSSKTQTEGPRHSEEGLGPVQVVAEIPDQPEALQPGPGITAAPVD SGPKAELAPETTETPVETPETVQATDLSLNPEEGSKASPSPSPSEARQEPASKPDVNRET AAEEGSEPQSTAPPEPTSEPAFQINTQSDPQPTSQPPPKPPLQAEPPTQEDPTTEVLTES TGEKQENGAVVPLQAGDGEEGPAPQPHSPPSTKTPPANGAPPRVLQKLVEEDRIGRAHGG HPGSPRGSLSRHPSSQLAGPGVEGGEGTQKPRDYIILAILSCFCPMWPVNIVAFAYAVMS RNSLQQGDVDGAQRLGRVAKLLSIVALVGGVLIIIASCVINLGVYK >ENSMUSP00000144451.1 pep:known chromosome:GRCm38:7:127017648:127019902:-1 gene:ENSMUSG00000045114.10 transcript:ENSMUST00000201686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrt2 description:proline-rich transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1916267] XTAPPEPTSEPAFQINTQSDPQPTSQPPPKPPLQAESQLRCISELAGFFVCFLSFLKKKK K >ENSMUSP00000143833.1 pep:known chromosome:GRCm38:7:127018158:127019595:-1 gene:ENSMUSG00000045114.10 transcript:ENSMUST00000161931.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prrt2 description:proline-rich transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1916267] RIGRAPRNLGTISSLPSCPASAPCGLSTLWPSLMPSCPGTACNRGTWMGLNVWAE >ENSMUSP00000144042.1 pep:known chromosome:GRCm38:7:127018704:127021137:-1 gene:ENSMUSG00000045114.10 transcript:ENSMUST00000202045.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrt2 description:proline-rich transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1916267] MWPVNIVAFAYAVMSRNSLQQGDVDGAQRLGRVAKLLSIVALVGGVLIIIASCVINLGVY K >ENSMUSP00000109967.1 pep:known chromosome:GRCm38:X:74150944:74167838:-1 gene:ENSMUSG00000062564.12 transcript:ENSMUST00000114328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex28 description:testis expressed 28 [Source:MGI Symbol;Acc:MGI:2686384] MVLKVESTKSSSATLPTNLPSYRSLSSFCEDCPSSHTSFSDGELARNVREGVKHRIFYLS EQLRVEKASRDENTMSYLKLVSKADRHQAPHIRKAFERVNQRTSATIAHIERKLYQCHQQ LKELEEGCSPTSSVLKVGSGLDSHKQPSGKVSYSKLSKPGGEDSLPINVARSSTLESHLS EMQQRKFSDKKYVAQQQKLLLQKMKEELTEAKKVHASFQVSHQSLKESHMIDVQRILESL QEKKTKQSLMEKQVNDHLQRYLDEICHLKQHLACTEEKMAYLSYERAKEIWDVMEIFKSR ITKLETLQQATQLEMMASLRTRPKDFLFRFISLLLTLTTILLVVVSTLCSCPLPLLSSRL RIFIVFMIIGLGTLAWQKRHVISIIDWQAWVPFKWRQDLKDAKPPSDGH >ENSMUSP00000077207.3 pep:known chromosome:GRCm38:X:74150944:74167838:-1 gene:ENSMUSG00000062564.12 transcript:ENSMUST00000078060.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex28 description:testis expressed 28 [Source:MGI Symbol;Acc:MGI:2686384] MVLKVESTKSSSATLPTNLPSYRSLSSFCEDCPSSHTSFSDGELARNVREGVKHRIFYLS EQLRVEKASRDENTMSYLKLVSKADRHQAPHIRKAFERVNQRTSATIAHIERKLYQCHQQ LKELEEGCSPTSSVLKVGSGLDSHKQPSGKVSYSKLSKPGGEDSLPINVARSSTLESHLS EMQQRKFSDKKYVAQQQKLLLQKMKEELTEAKKVHASFQVSHQSLKESHMIDVQRILESL QEKKTKQSLMEKQVNDHLQRYLDEICHLKQHLACTEEKMAYLSYERAKEIWDVMEIFKSR ITKLETLQQATQLEMMASLRTRPKDFLFRFISLLLTLTTILLVVVSTLCSCPLPLLSSRL RIFIVFMIIGLGTLAWQKRHVISIIDWQAWVPFKWRQDLKDAKPPSDGH >ENSMUSP00000084617.5 pep:known chromosome:GRCm38:1:65048554:65051149:-1 gene:ENSMUSG00000070870.6 transcript:ENSMUST00000087359.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryge description:crystallin, gamma E [Source:MGI Symbol;Acc:MGI:88525] MGKITFYEDRGFQGRHYECSTDHSNLQPYFSRCNSVRVDSGCWMLYEQPNFAGCQYFLRR GDYPDYQQWMGFSDSVRSCRLIPHSSSHRIKIYEREDYRGQMVEITDDCSHLQDRFHFSD FHSFHVMEGYWVLYEMPNYRGRQYLLRPGEYRRYHDWGAMNARVGSLRRIMDFY >ENSMUSP00000124711.1 pep:known chromosome:GRCm38:1:65048557:65051119:-1 gene:ENSMUSG00000070870.6 transcript:ENSMUST00000161960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryge description:crystallin, gamma E [Source:MGI Symbol;Acc:MGI:88525] MGKVSPEHPHFGKGPALGSRPHQASEPCLALPYRSPSMRTAASRAATMSAAPTTPTCSPT SAAATLCAWTVAAGCSMSSPTSQAASTSCVAGTTLTTSSGWVSVTLSAPAASSPTPVLTG SRSTSERTTEAKWWRSQTTAPTCRTASTSVTSTPST >ENSMUSP00000116103.1 pep:known chromosome:GRCm38:15:78934933:78943634:1 gene:ENSMUSG00000033099.9 transcript:ENSMUST00000138880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol12 description:nucleolar protein 12 [Source:MGI Symbol;Acc:MGI:2146285] MGRNKKKKKRDGDDRRPRLVLNFDEEKRREYLTGFHKRKVERKKAAIEEIKQRLKQEQKK LREERHQEYLKMLAEREEALEEADELERLVTAKTESVQYDHPNHTVTVTTISDLDLSGAR LLGLPLPEQGDQDGSQEEEMSSLEKPTKALPRKSKDPLLSQRISSLTATLHAHSRKKVKR KHPRRAQDSTKKPPSATRTSKTQRRRRMTGKARHNGE >ENSMUSP00000115374.1 pep:known chromosome:GRCm38:15:78934951:78940932:1 gene:ENSMUSG00000033099.9 transcript:ENSMUST00000149580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol12 description:nucleolar protein 12 [Source:MGI Symbol;Acc:MGI:2146285] MGRNKKKKKRDGDDRRPRLVLNFDEEKRREYLTGFHKRKVERKKAAIEEIKQRLKQEQKK LREERHQEYLKMLAEREEALEEADELERLVTAKTESVQYDHPNHTVTVTTISDLDLSGAR LLGLPLPEPENQDFAIQGHPCFEPRRNGLLRARVPGACRTVLGLVHKCTSTWD >ENSMUSP00000042908.3 pep:known chromosome:GRCm38:15:78934995:78940864:1 gene:ENSMUSG00000033099.9 transcript:ENSMUST00000041164.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol12 description:nucleolar protein 12 [Source:MGI Symbol;Acc:MGI:2146285] XRNKKKKKRDGDDRRPRLVLNFDEEKRREYLTGFHKRKVERKKAAIEEIKQRLKQEQKKL REERHQEYLKMLAEREEALARGPGWVPGRGDVIPGKANKSLAQEVQRSPALSAHLLPHSY TARTQSEEGQEETPSAGTGLHQETSKCHTYQQDPAPPSDDWKSQAQRGVSPQTWGSQLES LAVPVTQLHRGLPAA >ENSMUSP00000122905.1 pep:known chromosome:GRCm38:15:78935186:78937824:1 gene:ENSMUSG00000033099.9 transcript:ENSMUST00000145157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol12 description:nucleolar protein 12 [Source:MGI Symbol;Acc:MGI:2146285] MLAEREEALEEADEL >ENSMUSP00000121877.1 pep:known chromosome:GRCm38:15:78935208:78936581:1 gene:ENSMUSG00000033099.9 transcript:ENSMUST00000123013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol12 description:nucleolar protein 12 [Source:MGI Symbol;Acc:MGI:2146285] MLRQEDCKVKPCAGKFKNANSGTAEIRQEEGSTSQEGGGTPRTRHTGPREYLTGFHKRKV ERKKAAIEEIKQRLKQEQKKLRE >ENSMUSP00000052086.3 pep:known chromosome:GRCm38:13:111255013:111257749:1 gene:ENSMUSG00000055194.3 transcript:ENSMUST00000054716.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actbl2 description:actin, beta-like 2 [Source:MGI Symbol;Acc:MGI:2444552] MVDDELTALVVDNGSGMCKAGFGGDDAPRAVFPSMVGRPRHQGVMVGMGQKDCYVGDEAQ SKRGILTLKYPIEHGVVTNWDDMEKIWYHTFYNELRVAPDEHPILLTEAPLNPKINREKM TQIMFEAFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLD LAGRDLTDYLMKILTERGYNFTTTAEREIVRDVKEKLCYVALDFEQEMVTAAASSSLERS YELPDGQVITIGNERFRCPEAIFQPSFLGIESRGIHETTFNSIMKCDVDIRKDLFANTVL SGGSTMYPGIADRMQKEIVTLAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISK QEYDEAGPPIVHRKCF >ENSMUSP00000039586.4 pep:known chromosome:GRCm38:5:137061504:137072259:-1 gene:ENSMUSG00000037411.10 transcript:ENSMUST00000041388.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpine1 description:serine (or cysteine) peptidase inhibitor, clade E, member 1 [Source:MGI Symbol;Acc:MGI:97608] MQMSSALACLILGLVLVSGKGFTLPLRESHTAHQATDFGVKVFQQVVQASKDRNVVFSPY GVSSVLAMLQMTTAGKTRRQIQDAMGFKVNEKGTAHALRQLSKELMGPWNKNEISTADAI FVQRDLELVQGFMPHFFKLFQTMVKQVDFSEVERARFIINDWVERHTKGMISDLLAKGAV DELTRLVLVNALYFSGQWKTPFLEASTHQRLFHKSDGSTVSVPMMAQSNKFNYTEFTTPD GLEYDVVELPYQGDTLSMFIAAPFEKDVHLSALTNILDAELIRQWKGNMTRLPRLLILPK FSLETEVDLRGPLEKLGMPDMFSATLADFTSLSDQEQLSVAQALQKVRIEVNESGTVASS STAFVISARMAPTEMVIDRSFLFVVRHNPTETILFMGQVMEP >ENSMUSP00000076728.3 pep:known chromosome:GRCm38:5:137061512:137072254:-1 gene:ENSMUSG00000037411.10 transcript:ENSMUST00000077523.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpine1 description:serine (or cysteine) peptidase inhibitor, clade E, member 1 [Source:MGI Symbol;Acc:MGI:97608] MQMSSALACLILGLVLVSGKGFTLPLRESHTAHQATDFGVKVFQQVVQASKDRNVVFSPY GVSSVLAMLQMTTAGKTRRQIQDAMGFKVNEKGTAHALRQLSKELMGPWNKNEISTADAI FVQRDLELVQGFMPHFFKLFQTMVKQVDFSEVERARFIINDWVERHTKGMISDLLAKGAV DELTRLVLVNALYFSGQWKTPFLEASTHQRLFHKSDGSTVSVPMMAQSNKFNYTEFTTPD GLEYDVVELPYQGDTLSMFIAAPFEKDVHLSALTNILDAELIRQWKGNMTRLPRLLILPK FSLETEVDLRGPLEKLGMPDMFSATLADFTSLSDQEQLSVAQALQKVRIEVNESGTVASS STAFVISARMAPTEMVIDRSFLFVVRHNPTETILFMGQVMEP >ENSMUSP00000029135.8 pep:known chromosome:GRCm38:2:155517948:155562746:1 gene:ENSMUSG00000027605.18 transcript:ENSMUST00000029135.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acss2 description:acyl-CoA synthetase short-chain family member 2 [Source:MGI Symbol;Acc:MGI:1890410] MGLPEERRKSGSGSRAREETGAEGRVRGWSPPPEVRRSAHVPSLQRYRELHRRSVEEPRE FWGNIAKEFYWKTACPGPFLQYNFDVTKGKIFTEWMKGATTNICYNVLDRNVHEKKLGDK VAFYWEGNEPGETTKITYRELLVQVCQFSNVLRKQGIQKGDRVAIYMPMILELVVAMLAC ARLGALHSIVFAGFSAESLCERILDSSCCLLITTDAFYRGEKLVNLKELADESLEKCREK GFPVRCCIVVKHLGRAELGMNDSPSQSPPVKRPCPDVQICWNEGVDLWWHELMQQAGDEC EPEWCDAEDPLFILYTSGSTGKPKGVVHTIGGYMLYVATTFKYVFDFHPEDVFWCTADIG WITGHSYVTYGPLANGATSVLFEGIPTYPDEGRLWSIVDKYKVTKFYTAPTAIRMLMKFG DDPVTKHSRASLQVLGTVGEPINPEAWLWYHRVVGSQRCPIVDTFWQTETGGHMLTPLPG ATPMKPGSASFPFFGVAPAILNESGEELEGEAEGYLVFKQPWPGIMRTVYGNHTRFETTY FKKFPGYYVTGDGCRRDQDGYYWITGRIDDMLNVSGHLLSTAEVESALVEHEAVAEAAVV GHPHPVKGECLYCFVTLCDGHTFSPTLTEELKKQIREKIGPIATPDYIQNAPGLPKTRSG KIMRRVLRKIAQNDHDLGDTSTVADPSVINHLFSHRCLTTQ >ENSMUSP00000068776.2 pep:known chromosome:GRCm38:2:155518057:155585724:1 gene:ENSMUSG00000027605.18 transcript:ENSMUST00000065973.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acss2 description:acyl-CoA synthetase short-chain family member 2 [Source:MGI Symbol;Acc:MGI:1890410] MGLPEERRKSGSGSRAREETGAEGRVRGWSPPPEVRRSAHVPSLQRYRELHRRSVEEPRE FWGNIAKEFYWKTACPGPFLQYNFDVTKGKIFTEWMKGATTNICYNVLDRNVHEKKLGDK VAFYWEGNEPGETTKITYRELLVQVCQFSNVLRKQGIQKGDRVAIYMPMILELVVAMLAC ARLGALHSIVFAGFSAESLCERILDSSCCLLITTDAFYRGEKLVNLKELADESLEKCREK GFPVRCCIVVKHLGRAELGMNDSPSQSPPVKRPCPDVQICWNEGVDLWWHELMQQAGDEC EPEWCDAEDPLFILYTSGSTGKPKGVVHTIGGYMLYVATTFKYVFDFHPEDVFWCTADIG WITGHSYVTYGPLANGATSVLFEGIPTYPDEGRLWSIVDKYKVTKFYTAPTAIRMLMKFG DDPVTKHSRASLQVLGTVGEPINPEAWLWYHRVVGSQRCPIVDTFWQTETGGHMLTPLPG ATPMKPGSASFPFFGVAPAILNESGEELEGEAEGYLVFKQPWPGIMRTVYGNHTRFETTY FKKFPGYYVTGDGCRRDQDGYYWITGRIDDMLNVSGHLLSTAEVESALVEHEAVAEAAVV GHPHPVKGECLYCFVTLCDGHTFSPTLTEELKKQIREKIGPIATPDYIQNAPGLPKTRSV APPHCRKSTSEPGSPQTSPFLLLLQRELPTWLNTLPACLRESRGQI >ENSMUSP00000099431.5 pep:known chromosome:GRCm38:2:155518107:155562080:1 gene:ENSMUSG00000027605.18 transcript:ENSMUST00000103142.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acss2 description:acyl-CoA synthetase short-chain family member 2 [Source:MGI Symbol;Acc:MGI:1890410] MGLPEERRKSGSGSRAREETGAEGRVRGWSPPPEVRRSAHVPSLQRYRELHRRSVEEPRE FWGNIAKEFYWKTACPGPFLQYNFDVTKGKIFTEWMKGATTNICYNVLDRNVHEKKLGDK VAFYWEGNEPGETTKITYRELLVQVCQFSNVLRKQGIQKGDRVAIYMPMILELVVAMLAC ARLGALHSIVFAGFSAESLCERILDSSCCLLITTDAFYRGEKLVNLKELADESLEKCREK GFPVRCCIVVKHLGRAELGMNDSPSQSPPVKRPCPDVQGKLREKSKCIRPQICWNEGVDL WWHELMQQAGDECEPEWCDAEDPLFILYTSGSTGKPKGVVHTIGGYMLYVATTFKYVFDF HPEDVFWCTADIGWITGHSYVTYGPLANGATSVLFEGIPTYPDEGRLWSIVDKYKVTKFY TAPTAIRMLMKFGDDPVTKHSRASLQVLGTVGEPINPEAWLWYHRVVGSQRCPIVDTFWQ TETGGHMLTPLPGATPMKPGSASFPFFGVAPAILNESGEELEGEAEGYLVFKQPWPGIMR TVYGNHTRFETTYFKKFPGYYVTGDGCRRDQDGYYWITGRIDDMLNVSGHLLSTAEVESA LVEHEAVAEAAVVGHPHPVKGECLYCFVTLCDGHTFSPTLTEELKKQIREKIGPIATPDY IQNAPGLPKTRSGKIMRRVLRKIAQNDHDLGDTSTVADPSVINHLFSHRCLTTQ >ENSMUSP00000116501.2 pep:known chromosome:GRCm38:2:155518107:155562080:1 gene:ENSMUSG00000027605.18 transcript:ENSMUST00000133654.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acss2 description:acyl-CoA synthetase short-chain family member 2 [Source:MGI Symbol;Acc:MGI:1890410] MGLPEERRKSGSGSRAREETGAEGRVRGWSPPPEVRRSAHVPSLQRYRELHRRSVEEPRE FWGNIAKEFYWKTACPGPFLQYNFDVTKGKIFTEWMKGATTNICYNVLDRNVHEKKLGDK VAFY >ENSMUSP00000122545.1 pep:known chromosome:GRCm38:2:155549232:155557364:1 gene:ENSMUSG00000027605.18 transcript:ENSMUST00000151781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acss2 description:acyl-CoA synthetase short-chain family member 2 [Source:MGI Symbol;Acc:MGI:1890410] XELLVQVCQFSNVLRKQGIQKGDRVAIYMPMILELVVAMLACARLGALHSIVFAGFSAES LCERILDSSCCLLITTDAFYRGEKLVNLKELADESLEKCREKGFPVRCCIVVKHLGRAEL GMNDSPSQSPPVKRPCPDVQICWNEGVDLWWHELMQQAGDECEPEWCDAEDPLFILYTSG STGKPKFEGIPTYPDEGRLWSIVDKYKVTKFYTAPTAIRMLMKFGDDPVTKHSRASLQVL GTVGEPINPEAWLWYHRVVGSQRCPIVDTFWQTETGGHMLTPLPGATPMKPGS >ENSMUSP00000028403.2 pep:known chromosome:GRCm38:2:71117923:71142926:1 gene:ENSMUSG00000027015.4 transcript:ENSMUST00000028403.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cybrd1 description:cytochrome b reductase 1 [Source:MGI Symbol;Acc:MGI:2654575] MAMEGYRGFLGLLVSALLVGFLSVIFVLIWVLHFREGLGWNGSGLEFNWHPVLAVTGFVF IQGIAIIVYRLPWTWKCSKLLMKSIHAGLNAVAAILAIISVVAVFEYHNVQKVPHMYSLH SWVGLTALILYIQQLVVGFFVFLLPWAPPSLRAIVMPIHVYSGLLLFGTVIATVLMGVTE KLFFVLKHPSYHSFPPEGVFTNTLGLLILVFGALIFWIVTRPQWKRPREPGSVPLQLNGG NAECRMEGAIAISSAHSMDAADPADAESSSEGAARKRTLGLADSGQRSTM >ENSMUSP00000139186.1 pep:known chromosome:GRCm38:11:33275879:33276334:-1 gene:ENSMUSG00000098456.1 transcript:ENSMUST00000183831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12117 description:predicted gene 12117 [Source:MGI Symbol;Acc:MGI:3652019] MNHEKYDNSLKIVSNACCTISCLAPLAKVIPDNFGIVERLMTTVHAIIATQKTVDGPSRK LWCVGHGAAQNIIPASTSAAKAVGKVIPELNRKLTGMAFHVPAPSVSVVDLTCCLEKPAQ YEDIKKVLKQASEGPLKGILQLQQQLPLFHL >ENSMUSP00000093905.4 pep:known chromosome:GRCm38:16:21423118:21579247:1 gene:ENSMUSG00000033653.17 transcript:ENSMUST00000096191.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps8 description:vacuolar protein sorting 8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146407] METEPDQEHLDQNPCARTVEEELSKSFNLEASLSKFSCLDLDKELEFRSDLIDDKEFDIP QVDTPPTLESILNETDDEDESFVLEDPTLLNVDTIDSHSYDTSSVASSDSGDRANLKRKK KLPDSFSLHGSVMRHSLLKGISAQIVSAADKVDAGLPTAIAVSSLIAVGTSHGLALIFDQ NQALRLCLGSTSVGGQYGAISALSINNDCSRLLCGFAKGQITMWDLASGKLLRSITDAHP PGTAILHIKFTDDPTLAICNDSGGSVFELTFKRVMGVRTCESRCLFSGSKGEVCCIEPLH SKPELKDHPITQFSLLAMASLTKILVIGLKPSLKVWMTFPYGRMDPSSVPLLAWHFVAVN NSVNPMLAFCRGDMVHFLLVKRDESGAIHVTKQKHLHLYYDLINFTWINSRTVVLLDSVE KLHVIDRQTQEELETMEISEVQLVYNSSHFKSLATGGNVSQALALVGEKACYQSISSYGG QIFYLGTKSVYVMMLRSWRERMDHLLKQDCLTEALALAWSFHEGKAKAVVGLSGDVSKRK AVVADRMVEILFHYADRALKKCPDQGKIQVMEQHFQDTVPVIVDYCLLLQRKDLLFGQMY DKLSENSVAKGVFLECLEPYILSDKLVGITPQVMKDLIVHFQDKKLLENVEALIVHMDIT SLDIQQVVLMCWENRLYDAMVYVYNRGMNEFISPMEKLFKVIAPPLNAGKTLTDEQVVMG NKLLVYISCCLAGRAYPLGDIPEDLVPLVKNQVFEFLIRLHSVEASSEEEVYPYVRTLLH FDTREFLNVLALTFEDFKNDKQAVEYQQRIVDILLKVMVENSDFTPSQVGCLFTFLARQL AKPDNTLFVNRTLFDQVLEFLCSPDDDSRHSERQQVLLELLQAGGIVQFEESRLIRMAEK AEFYQICEFMYEREHQYDKIIDCYLHDPLREEEVFNYIHNILSIPGHSAEEKQSVWQKAM NHMEELVSLKPCKAAELVATHFSEQIEVVIGQLQNQLLLFKFLRSLLDPREGVHVNQELL QIPPHITEQFIELLCQFSPDQVIQTLQVLECYRLEETIQITQKYQLHEVTAYLLEKKGDA HGAFLLLLERLQSRLQEMTRQDENTKEDILLKGVEDTMVETIALCQRNSQNLNQQQREAL WFPLLEAMMTPQKLSSSAAAPHPHCEALKSLTMQVLNSMAAFIALPSILQRILQDPIYGK GKLGEIQGLILGMLDTFNYEQTLLETTASLLNQDLHWSLCNLRASVSRGLNPKQDYCSIC LQQYKRRQEMADEIIVFRFLYWVTGASPIQPK >ENSMUSP00000112636.1 pep:known chromosome:GRCm38:16:21423169:21644680:1 gene:ENSMUSG00000033653.17 transcript:ENSMUST00000118923.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps8 description:vacuolar protein sorting 8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146407] METEPDQEHLDQNPCARTVEEELSKSFNLEASLSKFSCLDLDKELEFRSDLIDDKEFDIP QVDTPPTLESILNETDDEDESFVLEDPTLLNVDTIDSHSYDTSSVASSDSGDRANLKRKK KLPDSFSLHGSVMRHSLLKGISAQIVSAADKVDAGLPTAIAVSSLIAVGTSHGLALIFGK DQNQALRLCLGSTSVGGQYGAISALSINNDCSRLLCGFAKGQITMWDLASGKLLRSITDA HPPGTAILHIKFTDDPTLAICNDSGGSVFELTFKRVMGVRTCESRCLFSGSKGEVCCIEP LHSKPELKDHPITQFSLLAMASLTKILVIGLKPSLKVWMTFPYGRMDPSSVPLLAWHFVA VNNSVNPMLAFCRGDMVHFLLVKRDESGAIHVTKQKHLHLYYDLINFTWINSRTVVLLDS VEKLHVIDRQTQEELETMEISEVQLVYNSSHFKSLATGGNVSQALALVGEKACYQSISSY GGQIFYLGTKSVYVMMLRSWRERMDHLLKQDCLTEALALAWSFHEGKAKAVVGLSGDVSK RKAVVADRMVEILFHYADRALKKCPDQGKIQVMEQHFQDTVPVIVDYCLLLQRKDLLFGQ MYDKLSENSVAKGVFLECLEPYILSDKLVGITPQVMKDLIVHFQDKKLLENVEALIVHMD ITSLDIQQVVLMCWENRLYDAMVYVYNRGMNEFISPMEKLFKVIAPPLNAGKTLTDEQVV MGNKLLVYISCCLAGRAYPLGDIPEDLVPLVKNQVFEFLIRLHSVEASSEEEVYPYVRTL LHFDTREFLNVLALTFEDFKNDKQAVEYQQRIVDILLKVMVENSDFTPSQVGCLFTFLAR QLAKPDNTLFVNRTLFDQVLEFLCSPDDDSRHSERQQVLLELLQAGGIVQFEESRLIRMA EKAEFYQICEFMYEREHQYDKIIDCYLHDPLREEEVFNYIHNILSIPGHSAEEKQSVWQK AMNHMENQLLLFKFLRSLLDPREGVHVNQELLQIPPHITEQFIELLCQFSPDQVIQTLQV LECYRLEETIQITQKYQLHEVTAYLLEKKGDAHGAFLLLLERLQSRLQEMTRQDENTKED ILLKGVEDTMVETIALCQRNSQNLNQQQREALWFPLLEAMMTPQKLSSSAAAPHPHCEAL KSLTMQVLNSMAAFIALPSILQRILQDPIYGKGKLGEIQGLILGMLDTFNYEQTLLETTA SLLNQDLHWSLCNLRASVSRGLNPKQDYCSICLQQYKRRQEMADEIIVFSCGHLYHSFCL QSKECTLEVEGQTRWACHKCSSSNKAGKLSENPSENKKGRITSSQVKMSPSYHQSKGDPP ARKANSEPVLDPQQMQAFDQLCRLYRGSSRLALLTELSQNRGGDSCRPFAGPQSGPAFNS VFQKENFQLQLAPPPVAED >ENSMUSP00000112622.1 pep:known chromosome:GRCm38:16:21423196:21546417:1 gene:ENSMUSG00000033653.17 transcript:ENSMUST00000122235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps8 description:vacuolar protein sorting 8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146407] METEPDQEHLDQNPCARTVEEELSKSFNLEASLSKFSCLDLDKELEFRSDLIDDKEFDIP QVDTPPTLESILNETDDEDESFVLEDPTLLNVDTIDSHSYDTSSVASSDSGDRANLKRKK KLPDSFSLHGSVMRHSLLKGISAQIVSAADKVDAGLPTAIAVSSLIAVGTSHGLALIFDQ NQALRLCLGSTSVGGQYGAISALSINNDCSRLLCGFAKGQITMWDLASGKLLRSITDAHP PGTAILHIKFTDDPTLAICNDSGGIVQFEESRLIRMAEKAEFYQICEFMYEREHQYDKII DCYLHDPLREEEVFNYIHNILSIPGHSAEEKQSVWQKAMNHMEELVSLKPCKAAELVATH FSEQIEVVIGQLQNQLLLFKFLRSLLDPRYWAIHPLEHGQCAGPTPLERTDSSSTIRCQP LATAVRASVFPRAAVLSHPEDTAVQQVALTAGFALFLQLFCRFPSAIGCGSDVLVTVCPL FSFFLSSVGSLCINHRPKNKTKPTTFQLRDKSCTNLGMSVSI >ENSMUSP00000112937.1 pep:known chromosome:GRCm38:16:21423201:21644672:1 gene:ENSMUSG00000033653.17 transcript:ENSMUST00000117598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps8 description:vacuolar protein sorting 8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146407] METEPDQEHLDQNPCARTVEEELSKSFNLEASLSKFSCLDLDKELEFRSDLIDDKEFDIP QVDTPPTLESILNETDDEDESFVLEDPTLLNVDTIDSHSYDTSSVASSDSGDRANLKRKK KLPDSFSLHGSVMRHSLLKGISAQIVSAADKVDAGLPTAIAVSSLIAVGTSHGLALIFDQ NQALRLCLGSTSVGGQYGAISALSINNDCSRLLCGFAKGQITMWDLASGKLLRSITDAHP PGTAILHIKFTDDPTLAICNDSGGSVFELTFKRVMGVRTCESRCLFSGSKGEVCCIEPLH SKPELKDHPITQFSLLAMASLTKILVIGLKPSLKVWMTFPYGRMDPSSVPLLAWHFVAVN NSVNPMLAFCRGDMVHFLLVKRDESGAIHVTKQKHLHLYYDLINFTWINSRTVVLLDSVE KLHVIDRQTQEELETMEISEVQLVYNSSHFKSLATGGNVSQALALVGEKACYQSISSYGG QIFYLGTKSVYVMMLRSWRERMDHLLKQDCLTEALALAWSFHEGKAKAVVGLSGDVSKRK AVVADRMVEILFHYADRALKKCPDQGKIQVMEQHFQDTVPVIVDYCLLLQRKDLLFGQMY DKLSENSVAKGVFLECLEPYILSDKLVGITPQVMKDLIVHFQDKKLLENVEALIVHMDIT SLDIQQVVLMCWENRLYDAMVYVYNRGMNEFISPMEKLFKVIAPPLNAGKTLTDEQVVMG NKLLVYISCCLAGRAYPLGDIPEDLVPLVKNQVFEFLIRLHSVEASSEEEVYPYVRTLLH FDTREFLNVLALTFEDFKNDKQAVEYQQRIVDILLKVMVENSDFTPSQVGCLFTFLARQL AKPDNTLFVNRTLFDQVLEFLCSPDDDSRHSERQQVLLELLQAGGIVQFEESRLIRMAEK AEFYQICEFMYEREHQYDKIIDCYLHDPLREEEVFNYIHNILSIPGHSAEEKQSVWQKAM NHMEELVSLKPCKAAELVATHFSEQIEVVIGQLQNQLLLFKFLRSLLDPREGVHVNQELL QIPPHITEQFIELLCQFSPDQVIQTLQVLECYRLEETIQITQKYQLHEVTAYLLEKKGDA HGAFLLLLERLQSRLQEMTRQDENTKEDILLKGVEDTMVETIALCQRNSQNLNQQQREAL WFPLLEAMMTPQKLSSSAAAPHPHCEALKSLTMQVLNSMAAFIALPSILQRILQDPIYGK GKLGEIQGLILGMLDTFNYEQTLLETTASLLNQDLHWSLCNLRASVSRGLNPKQDYCSIC LQQYKRRQEMADEIIVFSCGHLYHSFCLQSKECTLEVEGQTRWACHKCSSSNKAGKLSEN PSENKKGRITSSQVKMSPSYHQSKGDPPARKANSEPVLDPQQMQAFDQLCRLYRGSSRLA LLTELSQNRGGDSCRPFAGPQSGPAFNSVFQKENFQLQLAPPPVAED >ENSMUSP00000119311.1 pep:known chromosome:GRCm38:16:21423272:21434973:1 gene:ENSMUSG00000033653.17 transcript:ENSMUST00000139473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps8 description:vacuolar protein sorting 8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146407] METEPDQEHLDQNPCARTVEE >ENSMUSP00000114719.1 pep:known chromosome:GRCm38:16:21470187:21641572:1 gene:ENSMUSG00000033653.17 transcript:ENSMUST00000125487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps8 description:vacuolar protein sorting 8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146407] XEELETMEISEVQLVYNSSHFKSLATGGNVSQALALVGEKACYQSISSYGGQIFYLGTKS VYVMMLRSWRERMDHLLKQDCLTEALALAWSFHEGKAKAVVGLSGDVSKRKAVVADRMVE ILFHYADRALKKCPDQGKIQVMEQHFQDTVPVIVDYCLLLQRKDLLFGQMYDKLSENSVA KGVFLECLEPYILSDKLVGITPQVMKDLIVHFQDKKLLENVEALIVHMDITSLDIQQVVL MCWENRLYDAMVYVYNRGMNEFISPMEKLFKVIAPPLNAGKTLTDEQVVMGNKLLVYISC CLAGRAYPLGDIPEDLVPLVKNQVFEFLIRLHSVEASSEEEVYPYVRTLLHFDTREFLNV LALTFEDFKNDKQAVEYQQRIVDILLKVMVENSDFTPSQVGCLFTFLARQLAKPDNTLFV NRTLFDQVLEFLCSPDDDSRHSERQQVLLELLQAGGIVQFEESRLIRMAEKAEFYQICEF MYEREHQYDKIIDCYLHDPLREEEVFNYIHNILSIPGHSAEEKQSVWQKAMNHMEELVSL KPCKAAELVATHFSEQIEVVIGQLQNQLLLFKFLRSLLDPREGVHVNQELLQIPPHITEQ FIELLCQFSPDQVIQTLQVLECYRLEETIQITQKYQLHEVTAYLLEKKGDAHGAFLLLLE RLQSRLQEMTRQDENTKEDILLKGVEDTMVETIALCQRNSQNLNQQQREALWFPLLEAMM TPQKLSSSAAAPHPHCEALKSLTMQVLNSMAAFIALPSILQRILQDPIYGKGKLGEIQGL ILGMLDTFNYEQTLLETTASLLNQDLHWSLCNLRASVSRGLNPKQDYCSICLQQYKRRQE MADEIIVFSCGHLYHSFCLQSKECTLEVEGQTRWACHKCSSSNKAGKLSENPSENKKGRI TSSQANSEPVLDPQQMQAFDQLCRLYRGSSRRASRKPISSFRRPSCISETAKPALQPAPP STSA >ENSMUSP00000113447.1 pep:known chromosome:GRCm38:16:21508633:21644675:1 gene:ENSMUSG00000033653.17 transcript:ENSMUST00000118138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps8 description:vacuolar protein sorting 8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146407] MGNKLLVYISCCLAGRAYPLGDIPEDLVPLVKNQVFEFLIRLHSVEASSEEEVYPYVRTL LHFDTREFLNVLALTFEDFKNDKQAVEYQQRIVDILLKVMVENSDFTPSQVGCLFTFLAR QLAKPDNTLFVNRTLFDQVLEFLCSPDDDSRHSERQQVLLELLQAGGIVQFEESRLIRMA EKAEFYQICEFMYEREHQYDKIIDCYLHDPLREEEVFNYIHNILSIPGHSAEEKQSVWQK AMNHMEELVSLKPCKAAELVATHFSEQIEVVIGQLQNQLLLFKFLRSLLDPREGVHVNQE LLQIPPHITEQFIELLCQFSPDQVIQTLQVLECYRLEETIQITQKYQLHEVTAYLLEKKG DAHGAFLLLLERLQSRLQEMTRQDENTKEDILLKGVEDTMVETIALCQRNSQNLNQQQRE ALWFPLLEAMMTPQKLSSSAAAPHPHCEALKSLTMQVLNSMAAFIALPSILQRILQDPIY GKGKLGEIQGLILGMLDTFNYEQTLLETTASLLNQDLHWSLCNLRASVSRGLNPKQDYCS ICLQQYKRRQEMADEIIVFSCGHLYHSFCLQSKECTLEVEGQTRWACHKCSSSNKAGKLS ENPSENKKGRITSSQVKMSPSYHQSKGDPPARKANSEPVLDPQQMQAFDQLCRLYRGSSR LALLTELSQNRGGDSCRPFAGPQSGPAFNSVFQKENFQLQLAPPPVAED >ENSMUSP00000114139.1 pep:known chromosome:GRCm38:16:21527536:21559423:1 gene:ENSMUSG00000033653.17 transcript:ENSMUST00000156580.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps8 description:vacuolar protein sorting 8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146407] VLEFLCSPDDDSRHSERQQVLLELLQAGGIVQFEESRLIRMAEKAELKKSSIISTTSYLF LVTVLRRSSLCGRKQ >ENSMUSP00000111055.1 pep:known chromosome:GRCm38:16:21423118:21644680:1 gene:ENSMUSG00000033653.17 transcript:ENSMUST00000115397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps8 description:vacuolar protein sorting 8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146407] METEPDQEHLDQNPCARTVEEELSKSFNLEASLSKFSCLDLDKELEFRSDLIDDKEFDIP QVDTPPTLESILNETDDEDESFVLEDPTLLNVDTIDSHSYDTSSVASSDSGDRANLKRKK KLPDSFSLHGSVMRHSLLKGISAQIVSAADKVDAGLPTAIAVSSLIAVGTSHGLALIFGK DQNQALRLCLGSTSVGGQYGAISALSINNDCSRLLCGFAKGQITMWDLASGKLLRSITDA HPPGTAILHIKFTDDPTLAICNDSGGSVFELTFKRVMGVRTCESRCLFSGSKGEVCCIEP LHSKPELKDHPITQFSLLAMASLTKILVIGLKPSLKVWMTFPYGRMDPSSVPLLAWHFVA VNNSVNPMLAFCRGDMVHFLLVKRDESGAIHVTKQKHLHLYYDLINFTWINSRTVVLLDS VEKLHVIDRQTQEELETMEISEVQLVYNSSHFKSLATGGNVSQALALVGEKACYQSISSY GGQIFYLGTKSVYVMMLRSWRERMDHLLKQDCLTEALALAWSFHEGKAKAVVGLSGDVSK RKAVVADRMVEILFHYADRALKKCPDQGKIQVMEQHFQDTVPVIVDYCLLLQRKDLLFGQ MYDKLSENSVAKGVFLECLEPYILSDKLVGITPQVMKDLIVHFQDKKLLENVEALIVHMD ITSLDIQQVVLMCWENRLYDAMVYVYNRGMNEFISPMEKLFKVIAPPLNAGKTLTDEQVV MGNKLLVYISCCLAGRAYPLGDIPEDLVPLVKNQVFEFLIRLHSVEASSEEEVYPYVRTL LHFDTREFLNVLALTFEDFKNDKQAVEYQQRIVDILLKVMVENSDFTPSQVGCLFTFLAR QLAKPDNTLFVNRTLFDQVLEFLCSPDDDSRHSERQQVLLELLQAGGIVQFEESRLIRMA EKAEFYQICEFMYEREHQYDKIIDCYLHDPLREEEVFNYIHNILSIPGHSAEEKQSVWQK AMNHMEELVSLKPCKAAELVATHFSEQIEVVIGQLQNQLLLFKFLRSLLDPREGVHVNQE LLQIPPHITEQFIELLCQFSPDQVIQTLQVLECYRLEETIQITQKYQLHEVTAYLLEKKG DAHGAFLLLLERLQSRLQEMTRQDENTKEDILLKGVEDTMVETIALCQRNSQNLNQQQRE ALWFPLLEAMMTPQKLSSSAAAPHPHCEALKSLTMQVLNSMAAFIALPSILQRILQDPIY GKGKLGEIQGLILGMLDTFNYEQTLLETTASLLNQDLHWSLCNLRASVSRGLNPKQDYCS ICLQQYKRRQEMADEIIVFSCGHLYHSFCLQSKECTLEVEGQTRWACHKCSSSNKAGKLS ENPSENKKGRITSSQVKMSPSYHQSKGDPPARKANSEPVLDPQQMQAFDQLCRLYRGSSR LALLTELSQNRGGDSCRPFAGPQSGPAFNSVFQKENFQLQLAPPPVAED >ENSMUSP00000093906.5 pep:known chromosome:GRCm38:16:21423118:21644680:1 gene:ENSMUSG00000033653.17 transcript:ENSMUST00000096192.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps8 description:vacuolar protein sorting 8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2146407] METEPDQEHLDQNPCARTVEEELSKSFNLEASLSKFSCLDLDKELEFRSDLIDDKEFDIP QVDTPPTLESILNETDDEDESFVLEDPTLLNVDTIDSHSYDTSSVASSDSGDRANLKRKK KLPDSFSLHGSVMRHSLLKGISAQIVSAADKVDAGLPTAIAVSSLIAVGTSHGLALIFAS DQNQALRLCLGSTSVGGQYGAISALSINNDCSRLLCGFAKGQITMWDLASGKLLRSITDA HPPGTAILHIKFTDDPTLAICNDSGGSVFELTFKRVMGVRTCESRCLFSGSKGEVCCIEP LHSKPELKDHPITQFSLLAMASLTKILVIGLKPSLKVWMTFPYGRMDPSSVPLLAWHFVA VNNSVNPMLAFCRGDMVHFLLVKRDESGAIHVTKQKHLHLYYDLINFTWINSRTVVLLDS VEKLHVIDRQTQEELETMEISEVQLVYNSSHFKSLATGGNVSQALALVGEKACYQSISSY GGQIFYLGTKSVYVMMLRSWRERMDHLLKQDCLTEALALAWSFHEGKAKAVVGLSGDVSK RKAVVADRMVEILFHYADRALKKCPDQGKIQVMEQHFQDTVPVIVDYCLLLQRKDLLFGQ MYDKLSENSVAKGVFLECLEPYILSDKLVGITPQVMKDLIVHFQDKKLLENVEALIVHMD ITSLDIQQVVLMCWENRLYDAMVYVYNRGMNEFISPMEKLFKVIAPPLNAGKTLTDEQVV MGNKLLVYISCCLAGRAYPLGDIPEDLVPLVKNQVFEFLIRLHSVEASSEEEVYPYVRTL LHFDTREFLNVLALTFEDFKNDKQAVEYQQRIVDILLKVMVENSDFTPSQVGCLFTFLAR QLAKPDNTLFVNRTLFDQVLEFLCSPDDDSRHSERQQVLLELLQAGGIVQFEESRLIRMA EKAEFYQICEFMYEREHQYDKIIDCYLHDPLREEEVFNYIHNILSIPGHSAEEKQSVWQK AMNHMENQLLLFKFLRSLLDPREGVHVNQELLQIPPHITEQFIELLCQFSPDQVIQTLQV LECYRLEETIQITQKYQLHEVTAYLLEKKGDAHGAFLLLLERLQSRLQEMTRQDENTKED ILLKGVEDTMVETIALCQRNSQNLNQQQREALWFPLLEAMMTPQKLSSSAAAPHPHCEAL KSLTMQVLNSMAAFIALPSILQRILQDPIYGKGKLGEIQGLILGMLDTFNYEQTLLETTA SLLNQDLHWSLCNLRASVSRGLNPKQDYCSICLQQYKRRQEMADEIIVFSCGHLYHSFCL QSKECTLEVEGQTRWACHKCSSSNKAGKLSENPSENKKGRITSSQVKMSPSYHQSKGDPP ARKANSEPVLDPQQMQAFDQLCRLYRGSSRLALLTELSQNRGGDSCRPFAGPQSGPAFNS VFQKENFQLQLAPPPVAED >ENSMUSP00000101783.1 pep:known chromosome:GRCm38:11:120653788:120660606:-1 gene:ENSMUSG00000042988.10 transcript:ENSMUST00000106177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Notum description:notum pectinacetylesterase homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1924833] MGGEVRVLLLLGLLHWVGGSEGRKTWRRRGQQPPQPPPPPPLPQRAEVEPGAGQPVESFP LDFTAVEGNMDSFMAQVKSLAQSLYPCSAQQLNEDLRLHLLLNTSVTCNDGSPAGYYLKE SKGSRRWLLFLEGGWYCFNRENCDSRYSTMRRLMSSKDWPHTRTGTGILSSQPEENPHWW NANMVFIPYCSSDVWSGASPKSDKNEYAFMGSLIIQEVVRELLGKGLSGAKVLLLAGSSA GGTGVLLNVDRVAELLEELGYPSIQVRGLADSGWFLDNKQYRRSDCIDTINCAPTDAIRR GIRYWSGMVPERCQRQFKEGEEWNCFFGYKVYPTLRCPVFVVQWLFDEAQLTVDNVHLTG QPVQEGQWLYIQNLGRELRGTLKDVQASFAPACLSHEIIIRSYWTDVQVKGTSLPRALHC WDRSFHDSHKASKTPMKGCPFHLVDSCPWPHCNPSCPTIRDQFTGQEMNVAQFLMHMGFD VQTVAQQQGMEPSKLLGMLSNGN >ENSMUSP00000101784.2 pep:known chromosome:GRCm38:11:120653788:120660829:-1 gene:ENSMUSG00000042988.10 transcript:ENSMUST00000106178.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Notum description:notum pectinacetylesterase homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1924833] MGGEVRVLLLLGLLHWVGGSEGRKTWRRRGQQPPQPPPPPPLPQRAEVEPGAGQPVESFP LDFTAVEGNMDSFMAQVKSLAQSLYPCSAQQLNEDLRLHLLLNTSVTCNDGSPAGYYLKE SKGSRRWLLFLEGGWYCFNRENCDSRYSTMRRLMSSKDWPHTRTGTGILSSQPEENPHWW NANMVFIPYCSSDVWSGASPKSDKNEYAFMGSLIIQEVVRELLGKGLSGAKVLLLAGSSA GGTGVLLNVDRVAELLEELGYPSIQVRGLADSGWFLDNKQYRRSDCIDTINCAPTDAIRR GIRYWSGMVPERCQRQFKEGEEWNCFFGYKVYPTLRCPVFVVQWLFDEAQLTVDNVHLTG QPVQEGQWLYIQNLGRELRGTLKDVQASFAPACLSHEIIIRSYWTDVQVKGTSLPRALHC WDRSFHDSHKASKTPMKGCPFHLVDSCPWPHCNPSCPTIRDQFTGQEMNVAQFLMHMGFD VQTVAQQQGMEPSKLLGMLSNGN >ENSMUSP00000122788.1 pep:known chromosome:GRCm38:11:120657563:120661175:-1 gene:ENSMUSG00000042988.10 transcript:ENSMUST00000150458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Notum description:notum pectinacetylesterase homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1924833] MGGEVRVLLLLGLLHWVGGSEGRKTWRRRGQQPPQPPPPPPLPQRAEVEPGAGQPVESFP LDFTAVEGNMDSFMAQVKSLAQSLYPCSAQQLNEDLRLHLLLNTSVTCNDGSPAGYYLKE SKGSRRWLLFLEGGWYCFNRENCDSRYSTMRRLMSSKDWPHTRTGTGILSSQPEENPHWW NANMVFIPYCSSDVWSGASPKSDKNEYAFMGSLII >ENSMUSP00000093794.2 pep:known chromosome:GRCm38:16:36359382:36367748:-1 gene:ENSMUSG00000071561.2 transcript:ENSMUST00000096089.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC100530 description:cDNA sequence BC100530 [Source:MGI Symbol;Acc:MGI:3696883] MSLGGVSEASRATPEIQKIADKVRPQLEAKTNKKYEKFEAVEYKTQAVAGENIFIKMDVG HGCFIHIKVFNGPTGKDNYELHGYQTDKTKDDELTYF >ENSMUSP00000117436.1 pep:known chromosome:GRCm38:16:36359385:36367548:-1 gene:ENSMUSG00000071561.2 transcript:ENSMUST00000138796.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC100530 description:cDNA sequence BC100530 [Source:MGI Symbol;Acc:MGI:3696883] MSLGGVSEASRATPEIQKIADKVRPQLEAKTNKKYEKFEAVEYKTQAVAGENIFIKVDTN LP >ENSMUSP00000144863.1 pep:known chromosome:GRCm38:6:42349630:42351148:1 gene:ENSMUSG00000029860.16 transcript:ENSMUST00000203329.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zyx description:zyxin [Source:MGI Symbol;Acc:MGI:103072] MAAPRPPPAISVSVSAPAFYAPQKKFAPVVAPKPKVNPFRPGDSEPPVAAGAQRAQMGRV GEIPPPPPEDFPLPPPPLIGEGDDSEGALGGAFPPPPPPMIEEPFPPAPLEEDIFPSPPP PLEEEGGPEAPTQLPPQ >ENSMUSP00000145189.1 pep:known chromosome:GRCm38:6:42349780:42351607:1 gene:ENSMUSG00000029860.16 transcript:ENSMUST00000203846.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zyx description:zyxin [Source:MGI Symbol;Acc:MGI:103072] MAAPRPPPAISVSVSAPAFYAPQKKFAPVVAPKPKVNPFRPGDSEPPVAAGAQRAQMGRV GEIPPPPPEDFPLPPPPLIGEGDDSEGALGGAFPPPPPPMIEEPFPPAPLEEDIFPSPPP PLEEEGGPEAPTQLPPQPREKVCSIDLEIDSLSSLLDDMTKNDPFKARVSSGYVPPPVAT PFVPKPSTKPAPGGTAPLPPWKTPSSSQPPPQ >ENSMUSP00000145451.1 pep:known chromosome:GRCm38:6:42349828:42358562:1 gene:ENSMUSG00000029860.16 transcript:ENSMUST00000203652.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zyx description:zyxin [Source:MGI Symbol;Acc:MGI:103072] MAAPRPPPAISVSVSAPAFYAPQKKFAPVVAPKPKVNPFRPGDSEPPVAAGAQRAQMGRV GEIPPPPPEDFPLPPPPLIGEGDDSEGALGGAFPPPPPPMIEEPFPPAPLEEDIFPSPPP PLEEEGGPEAPTQLPPQPREKVCSIDLEIDSLSSLLDDMTKNDPFKARVSSGYVPPPVAT PFVPKPSTKPAPGGTAPLPPWKTPSSSQPPPQPQAKPQVQLHVQPQAKPHVQPQPVSSAN TQPRGPLSQAPTPAPKFAPVAPKFTPVVSKFSPGAPSGPGPQPNQKMVPPDAPSSVSTGS PQPPSFTYAQQKEKPLVQEKQHPQPPPAQNQNQVRSPGGPGPLTLKEVEELEQLTQQLMQ DMEHPQRQSVAVNESCGKCNQPLARAQPAVRALGQLFHITCFTCHQCQQQLQGQQFYSLE GAPYCEGCYTDTLEKCNTCGQPITDRMLRATGKAYHPQCFTCVVCACPLEGTSFIVDQAN QPHCVPDYHKQYAPRCSVCSEPIMPEPGRDETVRVVALDKNFHMKCYKCEDCGKPLSIEA DDNGCFPLDGHVLCRKCHSARAQT >ENSMUSP00000070427.7 pep:known chromosome:GRCm38:6:42349869:42358392:1 gene:ENSMUSG00000029860.16 transcript:ENSMUST00000070635.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zyx description:zyxin [Source:MGI Symbol;Acc:MGI:103072] MAAPRPPPAISVSVSAPAFYAPQKKFAPVVAPKPKVNPFRPGDSEPPVAAGAQRAQMGRV GEIPPPPPEDFPLPPPPLIGEGDDSEGALGGAFPPPPPPMIEEPFPPAPLEEDIFPSPPP PLEEEGGPEAPTQLPPQVSSGYVPPPVATPFVPKPSTKPAPGGTAPLPPWKTPSSSQPPP QPQAKPQVQLHVQPQAKPHVQPQPVSSANTQPRGPLSQAPTPAPKFAPVAPKFTPVVSKF SPGAPSGPGPQPNQKMVPPDAPSSVSTGSPQPPSFTYAQQKEKPLVQEKQHPQPPPAQNQ NQVRSPGGPGPLTLKEVEELEQLTQQLMQDMEHPQRQSVAVNESCGKCNQPLARAQPAVR ALGQLFHITCFTCHQCQQQLQGQQFYSLEGAPYCEGCYTDTLEKCNTCGQPITDRMLRAT GKAYHPQCFTCVVCACPLEGTSFIVDQANQPHCVPDYHKQYAPRCSVCSEPIMPEPGRDE TVRVVALDKNFHMKCYKCEDCGKPLSIEADDNGCFPLDGHVLCRKCHSARAQT >ENSMUSP00000145236.1 pep:known chromosome:GRCm38:6:42350033:42358392:1 gene:ENSMUSG00000029860.16 transcript:ENSMUST00000203401.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zyx description:zyxin [Source:MGI Symbol;Acc:MGI:103072] MAAPRPPPAISVSVSAPAFYAPQKKFAPVVAPKPKVNPFRPGDSEPPVAAGAQRAQMGRV GEIPPPPPEDFPLPPPPLIGEGDDSEGALGGAFPPPPPPMIEEPFPPAPLEEDIFPSPPP PLEEEGGPEAPTQLPPQVSSGYVPPPVATPFVPKPSTKPAPGGTAPLPPWKTPSSSQPPP QPQAKPQVQLHVQPQAKPHVQPQPVSSANTQPRGPLSQAPTPAPKFAPVAPKFTPVVSKF SPGAPSGPGPQPNQKMVPPDAPSSVSTGSPQPPSFTYAQQKEKPLVQEKQHPQPPPAQNQ NQVRSPGGPGPLTLKEVEELEQLTQQLMQDMEHPQRQSVAVNESCGKCNQPLARAQPAVR ALGQLFHITCFTCHQCQQQLQGQQFYSLEGAPYCEGCYTDTLEKCNTCGQPITDRMLRAT GKAYHPQCFTCVVCACPLEGTSFIVDQANQPHCVPDYHKQYAPRCSVCSEPIMPEPGRDE TVRVVALDKNFHMKCYKCEDCGKPLSIEADDNGCFPLDGHVLCRKCHSARAQT >ENSMUSP00000126622.1 pep:known chromosome:GRCm38:6:42350039:42360213:1 gene:ENSMUSG00000029860.16 transcript:ENSMUST00000164375.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zyx description:zyxin [Source:MGI Symbol;Acc:MGI:103072] MAAPRPPPAISVSVSAPAFYAPQKKFAPVVAPKPKVNPFRPGDSEPPVAAGAQRAQMGRV GEIPPPPPEDFPLPPPPLIGEGDDSEGALGGAFPPPPPPMIEEPFPPAPLEEDIFPSPPP PLEEEGGPEAPTQLPPQPREKVCSIDLEIDSLSSLLDDMTKNDPFKARVSSGYVPPPVAT PFVPKPSTKPAPGGTAPLPPWKTPSSSQPPPQPQAKPQVQLHVQPQAKPHVQPQPVSSAN TQPRGPLSQAPTPAPKFAPVAPKFTPVVSKFSPGAPSGPGPQPNQKMVPPDAPSSVSTGS PQPPSFTYAQQKEKPLVQEKQHPQPPPAQNQNQVRSPGGPGPLTLKEVEELEQLTQQLMQ DMEHPQRQSVAVNESCGKCNQPLARAQPAVRALGQLFHITCFTCHQCQQQLQGQQFYSLE GAPYCEGCYTDTLEKCNTCGQPITDRMLRATGKAYHPQCFTCVVCACPLEGTSFIVDQAN QPHCVPDYHKQYAPRCSVCSEPIMPEPGRDETVRVVALDKNFHMKCYKCEDCGKPLSIEA DDNGCFPLDGHVLCRKCHSARAQT >ENSMUSP00000145052.1 pep:known chromosome:GRCm38:6:42350071:42351064:1 gene:ENSMUSG00000029860.16 transcript:ENSMUST00000203849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zyx description:zyxin [Source:MGI Symbol;Acc:MGI:103072] MAAPRPPPAISVSVSAPAFYAPQKKFAPVVAPKPKVNPFRPGDSEPPVAAGAQRAQMGRV GEIPPPPPEDFPLPPPPLIGEGDDSEGALGGAFPPPPPPMIEEPFPPAP >ENSMUSP00000099879.3 pep:known chromosome:GRCm38:11:33211795:33513746:-1 gene:ENSMUSG00000040594.19 transcript:ENSMUST00000102815.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp17 description:RAN binding protein 17 [Source:MGI Symbol;Acc:MGI:1929706] MALHFQSLAELEVLCTHLYVGTDLTERIEAEKALLELIDSPECLSKCQLLLEQGTTSYAQ LLAATCLSKLVTRINPLPIEQRIDIRNYILNYVASQPKLAPFVIQALIQVIAKLTKLGWF EVQKDEFVFREIIADVKKFLQGTVEHCIIGVIILCELTQEMNLVDYSRPSAKHRKIATSF RDTSLKDILVLACSLLKQVLAKPLNLQDQDQQSLVMQVLKLVLSCLNFDFLGSSADESAD DLCTVQIPTTWRTIFLEPETLDLFFNLYHSLPPLLSQLALSCLVQFASTRRSLFSSPERA KYLGNLIKGVKRILENPQGLSDPGNYHEFCRFLARLKTNYQLGELVLVKEYAEVIGLIAN FTITSLQHWEFAPNSVHYLLTLWQRMVASVPFVKSAEPHLLDTYAPEITKAFITSRLESV AIVVRDNLEDPLDDTATVFQQLEQLCTVSRCEYEKTCTLLVQLFDQNAQNYQKLLHAAPG LAVDMAIQEGRLAWLIYLVGTVVGGRLTYTSTDEHDAMDGELSCRVFQLISLMDTRLPHC TNEKIELAVLWFLDQFRKTYVGDQLQRTSKVYARMSEVLGITDDNHVLETFMTKIVTNLK YWGRCEPVISRTLQFLSDLSVGYILLKKLVKIDAVKFMLKNHTSEHFPFLGISETYNVGD FRCRTTFYTALTRLLMVDLGEDEDEFENFMLPLTVSFETVLQIFNNNFKQEEVKRMLIGL ARDLRGIAFALNTKTSYTMLFDWIYPAYLPVLQRAIERWYGEPACTTPILKLLAELMQNR SQRLNFDVSSPNGILLFREASKMICTYGNQILSLGSLSKDKIYPMKLKGISICYSALKSA LCGNYVSFGVFKLYGDNHFDNVLQAFVKMLLSVSHSDLLQYRKLSQSYYPLLECLTQDHM SFITNLEPPVLLYVLTSLSEGLTTLDTVVSSSCCTSLDYMVTYLFKHIAKEGKKPLRSRE AMQAGQRLLHFMQQNPDVLQQMMSVLMNTIVFEDCRNQWSVSRPLLGLILLNEKYFSELR ASLINSQPLPKQEVLGQCFRNLMEGVEQNLSVKNRDRFTQNLSVFRRDVAEALRSDGHTD LSSLDMMS >ENSMUSP00000147123.1 pep:known chromosome:GRCm38:11:33289564:33452514:-1 gene:ENSMUSG00000040594.19 transcript:ENSMUST00000207401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp17 description:RAN binding protein 17 [Source:MGI Symbol;Acc:MGI:1929706] VVGGRLTYTSTDEHDAMDGELSCRVFQLISLMDTRLPHCTNEKIELAVLWFLDQFRKTYV GDQLQRTSKVVRPKNDVSRVPEKAWKIVKVYARMSEVLGITDDNHVLETFMTKIVTNLKY WGRCEPVISRTLQFLSDLSVGYILLKKLVKIDAVKFMLKNHTSEHFPFLGISETYNVGDF RCRTTFYTALTRLLMVDLGEDEDEFENFMLPLTVSFETVLQIFNNNFKQEEVKRMLIGLA RDLRGIAFALNTKTSYTMLFDWIYPAYLPVLQRAIERWYGEPACTTPILKLLAELMQN >ENSMUSP00000137898.1 pep:known chromosome:GRCm38:11:33296394:33513642:-1 gene:ENSMUSG00000040594.19 transcript:ENSMUST00000129179.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ranbp17 description:RAN binding protein 17 [Source:MGI Symbol;Acc:MGI:1929706] MALHFQSLAELEVLCTHLYVGTDLTERIEAEKALLELIDSPECLSKCQLLLEQGTTSYAQ LLAATCLSKLVTRINPLPIEQRIDIRNYILNYVASQPKLAPFVIQALIQVIAKLTKLGWF EVQKDEFVFREIIADVKKFLQGTVEHCIIGVIILCELTQEMNLVDYSRPSAKHRKIATSF RDTSLKDILVLACSLLKQVLAKPLNLQDQDQQSLVMQVLKLVLSCLNFDFLGSSADESAD DLCTVQIPTTWRTIFLEPETLDLFFNLYHSLPPLLSQLALSCLVQFASTRRSLFSSPERA KYLGNLIKGVKRILENPQGLSDPGNYHEFCRFLARLKTNYQLGELVLVKEYAEVIGLIAN FTITSLQHWEFAPNSVHYLLTLWQRMVASVPFVKSAEPHLLDTYAPEITKAFITSRLESV AIVVRDNLEDPLDDTATVFQQLEQLCTVSRCEYEKTCTLLVQLFDQNAQNYQKLLHAAPG LAVDMAIQEGRLAWLIYLVGTVVGGRLTYTSTDEHDAMDGELSCRVFQLISLMDTRLPHC TNEKIELAVLWFLDQFRKTYVGDQLQRTSKKTGIFL >ENSMUSP00000035840.7 pep:known chromosome:GRCm38:11:33472416:33513630:-1 gene:ENSMUSG00000040594.19 transcript:ENSMUST00000037522.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp17 description:RAN binding protein 17 [Source:MGI Symbol;Acc:MGI:1929706] MALHFQSLAELEVLCTHLYVGTDLTERIEAEKALLELIDSPECLSKCQLLLEQGTTSYAQ LLAATCLSKLVTRINPLPIEQRIDIRNYILNYVASQPKLAPFVIQALIQVIAKLTKLGWF EVQKDEFVFREIIADVKKFLQGTVEHCIIGVIILCELTQEMNLVDYSRPSAKHRKIATSF RDTSLKDILVLACSLLKQVLAKPLNLQDQDQQSLVMQVLKLVLSCLNFDFLGSSADESAD DLCTVQIPTTWRTIFLEPETLDLFFNLYHSLPPLLSQLALSCLVQFASTRRSLFSSPERA KYLGNLIKGVKRILENPQGLSDPGNYHEFCRFLARLKTNYQLGELVLVKEYAEVIGLIAN FTITSLQHWEFAPNSVHYLLTLWQRMVASVPFVKSAEPHLLDTYAPEITKAFITSRLESV AIVVRDNLEDPLDDTATVFQQLEQLCTVSRCEYEKTCTLLVQLFDQNAQNYQKLLHAAPG LAVDMAIQEGQSVLDSCEQRAIGNHCQSPSVSQVWW >ENSMUSP00000118519.1 pep:known chromosome:GRCm38:11:33486033:33513094:-1 gene:ENSMUSG00000040594.19 transcript:ENSMUST00000147751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp17 description:RAN binding protein 17 [Source:MGI Symbol;Acc:MGI:1929706] MSLAELEVLCTHLYVGTDLTERIEAEKALLELIDSPECLSKCQLLLEQGTTSYAQLLAAT CLSKLVTRINPLPIEQRIDIRNYILNYVASQPKLAPFVIQALIQVIAKLTKLGWFEVQKD EFVFREIIADVKKFLQGTVEHCIIGVIILCELTQEMNLVDYSRPSAKHRKIATSFRDTSL KDILVLACSLLKQVLAKPLNLQDQDQQSLV >ENSMUSP00000145601.1 pep:known chromosome:GRCm38:16:58914285:58929392:-1 gene:ENSMUSG00000090629.2 transcript:ENSMUST00000206168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr180 description:olfactory receptor 180 [Source:MGI Symbol;Acc:MGI:3030014] MEKTNHSLTTQFILVGFSDHPDLKTPLFLLFSVIYLVTMVGNLGLVAVIYLEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVDRRISLYECMAQFYFLCLAETADCFLLAAMAY DRYVAICNPLQYHSMMSKKLSIQMSIGTFITSNLHSLIHVGCLLRLTFCKSNRIDHFFCD ILPLYRLSCTDPFINELMIYIFSMPIQVFTITTVLVSYFCILLTIFKMKSKDGRGKAFST CASHFFSVSIFYVCLLMYIRPFDEVFRCQRRGQQSSGCGIRSNCEPSGKDSGTKVRYSRR ATRTFSH >ENSMUSP00000128358.1 pep:known chromosome:GRCm38:16:58915686:58918498:-1 gene:ENSMUSG00000090629.2 transcript:ENSMUST00000171656.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr180 description:olfactory receptor 180 [Source:MGI Symbol;Acc:MGI:3030014] MEKTNHSLTTQFILVGFSDHPDLKTPLFLLFSVIYLVTMVGNLGLVAVIYLEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVDRRISLYECMAQFYFLCLAETADCFLLAAMAY DRYVAICNPLQYHSMMSKKLSIQMSIGTFITSNLHSLIHVGCLLRLTFCKSNRIDHFFCD ILPLYRLSCTDPFINELMIYIFSMPIQVFTITTVLVSYFCILLTIFKMKSKDGRGKAFST CASHFFSVSIFYVCLLMYIRPFDEGNKDIPVAVFYTIIIPLLNPFIYSLRNKEVVNAVKK VMKTHSIFKNASASMAR >ENSMUSP00000145802.1 pep:known chromosome:GRCm38:16:58916234:58918498:-1 gene:ENSMUSG00000090629.2 transcript:ENSMUST00000205883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr180 description:olfactory receptor 180 [Source:MGI Symbol;Acc:MGI:3030014] MEKTNHSLTTQFILVGFSDHPDLKTPLFLLFSVIYLVTMVGNLGLVAVIYLEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVDRRISLYECMAQFYFLCLAETADCFLLAAMAY DRYVAICNPLQYHSM >ENSMUSP00000020822.5 pep:known chromosome:GRCm38:11:58104153:58118594:1 gene:ENSMUSG00000020515.13 transcript:ENSMUST00000020822.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot8 description:CCR4-NOT transcription complex, subunit 8 [Source:MGI Symbol;Acc:MGI:1916375] MPAALVENSQVICEVWASNLEEEMRKIREIVLSYSYIAMDTEFPGVVVRPIGEFRSSIDY QYQLLRCNVDLLKIIQLGLTFTNEKGEYPSGINTWQFNFKFNLTEDMYSQDSIDLLANSG LQFQKHEEEGIDTLHFAELLMTSGVVLCDNVKWLSFHSGYDFGYMVKLLTDSRLPEEEHE FFHILNLFFPSIYDVKYLMKSCKNLKGGLQEVADQLDLQRIGRQHQAGSDSLLTGMAFFR MKELFFEDSIDDAKYCGRLYGLGTGVAQKQNEDVDCAQEKMSILAMINNMQQ >ENSMUSP00000116116.1 pep:known chromosome:GRCm38:11:58104366:58111369:1 gene:ENSMUSG00000020515.13 transcript:ENSMUST00000134896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot8 description:CCR4-NOT transcription complex, subunit 8 [Source:MGI Symbol;Acc:MGI:1916375] MPAALVENSQVICEVWASNLEEEMRKIREIVLSYSYIAMDTEFPGVVVRPIGEFRSSIDY QYQLLRCNVDLLKII >ENSMUSP00000104471.1 pep:known chromosome:GRCm38:11:58104229:58118594:1 gene:ENSMUSG00000020515.13 transcript:ENSMUST00000108843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot8 description:CCR4-NOT transcription complex, subunit 8 [Source:MGI Symbol;Acc:MGI:1916375] MPAALVENSQVICEVWASNLEEEMRKIREIVLSYSYIAMDTEFPGVVVRPIGEFRSSIDY QYQLLRCNVDLLKIIQLGLTFTNEKGEYPSGINTWQFNFKFNLTEDMYSQDSIDLLANSG LQFQKHEEEGIDTLHFAELLMTSGVVLCDNVKWLSFHSGYDFGYMVKLLTDSRLPEEEHE FFHILNLFFPSIYDVKYLMKSCKNLKGGLQEVADQLDLQRIGRQHQAGSDSLLTGMAFFR MKELFFEDSIDDAKYCGRLYGLGTGVAQKQNEDVDCAQEKMSILAMINNMQQ >ENSMUSP00000144469.1 pep:known chromosome:GRCm38:7:127015033:127017352:-1 gene:ENSMUSG00000030680.6 transcript:ENSMUST00000200948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pagr1a description:PAXIP1 associated glutamate rich protein 1A [Source:MGI Symbol;Acc:MGI:1914528] MSLALGHGTIAGSTAAPLSEEGEVTSGLQALAVEDTGGPSVSASKAEEEGKGSQEEAGRE GSRPEEALEAPSAASDERAEGEAEDWCVPCSDEEVELPANGQSWMPPPSEIQRLYELLAT QGTLELQAEILPRRPPTPEAQSEEERSDEEPEAKEEEEEKPHMPTEFDFDDEPMTPKDSL IDRRRTPGSSARSQKREARLDKVLSDMKRHKKLEEQILRTGRDLFSLDSEGPSPTSPPLR SSGNSLFPRQRKY >ENSMUSP00000123889.2 pep:known chromosome:GRCm38:7:127015175:127017320:-1 gene:ENSMUSG00000030680.6 transcript:ENSMUST00000162069.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pagr1a description:PAXIP1 associated glutamate rich protein 1A [Source:MGI Symbol;Acc:MGI:1914528] MPTEFDFDDEPMTPKDSLIDRRRTPGSSARSQKREARLDKVLSDMKRHKKLEEQILRTGR DLFSLDSEGPSPTSPPLRSSGNSLFPRQRKY >ENSMUSP00000146318.1 pep:known chromosome:GRCm38:7:127015406:127017317:-1 gene:ENSMUSG00000030680.6 transcript:ENSMUST00000206416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pagr1a description:PAXIP1 associated glutamate rich protein 1A [Source:MGI Symbol;Acc:MGI:1914528] MSLALGHGTIAGSTAAPLSEEGEVTSGLQALAVEDTGGPSVSASKAEEEGKGSQEEAGRE GSRPEEALEAPSAASDERAEGEAEDWCVPCSDEEVELPANGQSWMPPPSEIQRLYELLAT QGRTCLQNLTLMMSQ >ENSMUSP00000037930.7 pep:known chromosome:GRCm38:1:36140027:36244305:-1 gene:ENSMUSG00000037470.14 transcript:ENSMUST00000046875.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uggt1 description:UDP-glucose glycoprotein glucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2443162] MCSRGDANTADAAAARRVTGLRYNMRLLIALALPCLFSLAEANSKAITTSLTTKWFSAPL LLEASEFLAEDSQEKFWSFVEATQNIGSSDHHDTDHSYYDAVLEAAFRFLSPLQQNLLKF CLSLRSYSASIQAFQQIAVDEPPPEGCKSFLSVHGKQTCDLDTLESLLLTAADRPKPLLF KGDHRYPSSNPESPVVILYSEIGHEEFSNIHHQLISKSNEGKINYVFRHYISNPSKEPVY LSGYGVELAIKSTEYKAKDDTQVKGTEVNATVIGESDPIDEVQGFLFGKLRELYPALEGQ LKEFRKHLVESTNEMAPLKVWQLQDLSFQTAARILAASGALSLVVMKDISQNFPTKARAI TKTAVSAQLRAEVEENQKYFKGTIGLQPGDSALFINGLHIDLDTQDIFSLFDTLRNEARV MEGLHRLGIEGLSLHNILKLNIQPSETDYAVDIRSPAISWVNNLEVDSRYNSWPSSLQEL LRPTFPGVIRQIRKNLHNMVFIIDPVHETTAELISIAEMFLSNHIPLRIGFIFVVNDSED VDGMQDAGVAVLRAYNYVAQEVDGYHAFQTLTQIYNKVRTGETVKVEHVVSVLEKKYPYV EVNSILGIDSAYDQNRKEARGYYEQTGVGPLPVVLFNGMPFEKEQLDPDELETITMHKIL ETTTFFQRAVYLGELSHDQDVVEYIMNQPNVVPRINSRILTAKREYLDLTASNNFYVDDF ARFSALDSRGKTAAIANSMNYLTKKGMSSKEIYDDSFIRPVTFWIVGDFDSPSGRQLLYD AIKHQKTSNNVRISMINNPSQEISDSSTPIFRAIWAALQTQASSSAKNFITKMAKEETAE ALAAGVDIAEFSVGGMDVSLFKEVFESSRMDFILSHALYCRDVLKLKKGQRVVISNGRII GPLEDNELFNQDDFHLLENIILKTSGQKIKSHIQQLRVEEDVASDLVMKVDALLSAQPKG EARIEYQFFEDKHSAIKLKPKEGETYYDVVAVVDPVTREAQRLAPLLLVLTQLINMNLRV FMNCQSKLSDMPLKSFYRYVLEPEISFTADSSFAKGPIAKFLDMPQSPLFTLNLNTPESW MVESVRTPYDLDNIYLEEVDSIVAAEYELEYLLLEGHCYDITTGQPPRGLQFTLGTSANP TIVDTIVMANLGYFQLKANPGAWILRLRKGRSDDIYRIYSHDGTDSPPDANDVVVILNNF KSKIIKVKVQKKADMANEDLLSDGTNENESGFWDSFKWGFSGQKAEEVKQDKDDIINIFS VASGHLYERFLRIMMLSVLKNTKTPVKFWFLKNYLSPTFKEFIPYMAKKYNFQYELVQYK WPRWLHQQTEKQRIIWGYKILFLDVLFPLVVDKFLFVDADQIVRTDLKELRDFNLDGAPY GYTPFCDSRREMDGYRFWKSGYWASHLAGRKYHISALYVVDLKKFRKIAAGDRLRGQYQG LSQDPNSLSNLDQDLPNNMIHQVPIKSLPQEWLWCETWCDDASKKRAKTIDLCNNPMTKE PKLEAAVRIVPEWQDYDQEIKQLQTLFQEEKELGTLHTEETQEGSQKHEEL >ENSMUSP00000134640.1 pep:known chromosome:GRCm38:1:36143486:36244161:-1 gene:ENSMUSG00000037470.14 transcript:ENSMUST00000174266.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uggt1 description:UDP-glucose glycoprotein glucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2443162] MCSRGDANTADAAAARRVTGLRYNMRLLIALALPCLFSLAEANSKAITTSLTTKWFSAPL LLEASEFLAEDSQEKFWSFVEATQNIGSSDHHDTDHSYYDAVLEAAFRFLSPLQQNLLKF CLSLRSYSASIQAFQQIAVDEPPPEGCKSFLSVHGKQTCDLDTLESLLLTAADRPKPLLF KGDHRYPSSNPESPVVILYSEIGHEEFSNIHHQLISKSNEGKINYVFRHYISNPSKEPVY LSGYGVELAIKSTEYKAKDDTQELR >ENSMUSP00000133971.1 pep:known chromosome:GRCm38:1:36161747:36173473:-1 gene:ENSMUSG00000037470.14 transcript:ENSMUST00000174224.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uggt1 description:UDP-glucose glycoprotein glucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2443162] XKSHIQQLRVEEDVASDLVMKVDALLSAQPKGEARIEYQFFEDKHRF >ENSMUSP00000134078.1 pep:known chromosome:GRCm38:1:36162226:36182634:-1 gene:ENSMUSG00000037470.14 transcript:ENSMUST00000173166.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uggt1 description:UDP-glucose glycoprotein glucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2443162] EARGYYEQTGVGPLPVVLFNGMPFEKEQLDPDELETITMHKILETTTFFQRAVYLGELSH DQDVVEYIMNQPNVVPRINSRILTAKREYLDLTASNNFYVDDFARFSALDSRGKTAAIAN SMNYLTKKGMSSKEIYDDSFIRPVTFWIVGDFDSPSGRQLLYDAIKHQKTSNNVRISMIN NPSQEISDSSTPIFRAIWAALQTQASSSAKNFITKMAKEETAEALAAGVDIAEFSVGGCS EAEEGTASGDQQRKDHWASGGQ >ENSMUSP00000133929.1 pep:known chromosome:GRCm38:1:36185887:36210030:-1 gene:ENSMUSG00000037470.14 transcript:ENSMUST00000174142.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uggt1 description:UDP-glucose glycoprotein glucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2443162] XDPIDEVQGFLFGKLRELYPALEGQLKEFRKHLVESTNEMAPLKVWQLQVFQGNYRITAW RLSALHQWTSYRFRHPGYIQSI >ENSMUSP00000101481.1 pep:known chromosome:GRCm38:4:135541888:135573630:-1 gene:ENSMUSG00000037188.7 transcript:ENSMUST00000105855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grhl3 description:grainyhead-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2655333] MSNELDFRSVRLLKNDPVSFQKFPYSNEDEAWKTYLENPLTAATKAMMRVNGDEESVAAL SFLYDYYMGPKEKRILSSSTGGRNDQGKKFYHSMDYEPDLAPLESPTHLMKFLTENVSGS PDYTDQLKKNNLLGLEGVLPTPGKTNTVPPGPSKLEASSMDSYLLPASDIYDNGSLNSLF ESIHGVPPTQRWQPDSTFKDDPQESLLFPDILKTSPDPPCPEDYPGLKSDFEYTLGSPKA IHIKAGESPMAYLNKGQFYPVTLRTPAGGKGLALSSSKVKSVVMVVFDNDKVPVEQLRFW RHWHSRQPTAKQRVIDVADCKENFNTVQHIEEVAYNALSFVWNVNEEAKVFIGVNCLSTD FSSQKGVKGVPLNLQIDTYDCGAGTERLVHRAVCQIKIFCDKGAERKMRDDERKQFRRKV KCPDSSNNAGIKGCLLSGFRGNETTYLRPETDLETQPVLFIPNLHFSSLQRPGGVVPSAG HSSSDRLPLKRTCSPFAEEFEPLPSKQAKEDDLQRVLLYVRRETEEVFDALMLKTPDLKG LRNAISEKYGLPEENICKVYKKCKRGILVNMDNNIIQHYSNHVAFLLDMGELDGKIQIIL KEL >ENSMUSP00000077446.6 pep:known chromosome:GRCm38:1:82724890:82752390:1 gene:ENSMUSG00000026150.14 transcript:ENSMUST00000078332.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mff description:mitochondrial fission factor [Source:MGI Symbol;Acc:MGI:1922984] MAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQEFQDGVPNASVIMQVPERIVVT GNNEDISFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPLPTPQSEESRA VGRLKRERSMSENAVRQNGQLVRNDSIVTPSPPQARVCPPHMLPEDGANLSSARGILSLI QSSTRRAYQQILDVLDENRRPVLRGGSAAATSNPHHDNVRYGISNLDAAIEGASDDMTVV DAASLRRQIIKLNRRLQLLEEENKERAKREMVMYSITVAFWLLNSWLWFRR >ENSMUSP00000072784.5 pep:known chromosome:GRCm38:1:82724919:82752387:1 gene:ENSMUSG00000026150.14 transcript:ENSMUST00000073025.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mff description:mitochondrial fission factor [Source:MGI Symbol;Acc:MGI:1922984] MAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQEFQDGVPNASVIMQVPERIVVT GNNEDISFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPLPTPQSEEQSR AVGRLKRERSMSENAVRQNGQLVRNDSIPVLRGGSAAATSNPHHDNVRYGISNLDAAIEG ASDDMTVVDAASLRRQIIKLNRRLQLLEEENKERAKREMVMYSITVAFWLLNSWLWFRR >ENSMUSP00000124164.1 pep:known chromosome:GRCm38:1:82724925:82752390:1 gene:ENSMUSG00000026150.14 transcript:ENSMUST00000161648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mff description:mitochondrial fission factor [Source:MGI Symbol;Acc:MGI:1922984] MAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQEFQDGVPNASVIMQVPERIVVT GNNEDISFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPLPTPQSEESRA VGRLKRERSMSENAVRQNGQLVRNDSMWHRSDSAPRNKISRFQSLISAPEYTYGISNLDA AIEGASDDMTVVDAASLRRQIIKLNRRLQLLEEENKERAKREMVMYSITVAFWLLNSWLW FRR >ENSMUSP00000125230.1 pep:known chromosome:GRCm38:1:82724940:82752350:1 gene:ENSMUSG00000026150.14 transcript:ENSMUST00000160786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mff description:mitochondrial fission factor [Source:MGI Symbol;Acc:MGI:1922984] MAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQEFQDGVPNASVIMQVPERIVVT GNNEDISFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPLPTPQSEESRA VGRLKRERSMSENAVRQNGQLVRNDSIPVLRGGSAAATSNPHHDNVRYGISNLDAAIEGA SDDMTVVDAASLRRQIIKLNRRLQLLEEENKERAKREMVMYSITVAFWLLNSWLWFRR >ENSMUSP00000124334.1 pep:known chromosome:GRCm38:1:82724948:82752394:1 gene:ENSMUSG00000026150.14 transcript:ENSMUST00000162003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mff description:mitochondrial fission factor [Source:MGI Symbol;Acc:MGI:1922984] MAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQEFQDGVPNASVIMQVPERIVVT GNNEDISFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPLPTPQSEESRA VGRLKRERSMSENAVRQNGQLVRNDSMWHRSDSAPRNKISRFQSLISAPEYTVTPSPPQA RVCPPHMLPEDGANLSSARGILSLIQSSTRRAYQQILDVLDENRRPVLRGGSAAATSNPH HDNVRYGISNLDAAIEGASDDMTVVDAASLRRQIIKLNRRLQLLEEENKERAKREMVMYS ITVAFWLLNSWLWFRR >ENSMUSP00000124200.1 pep:known chromosome:GRCm38:1:82729229:82752390:1 gene:ENSMUSG00000026150.14 transcript:ENSMUST00000160972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mff description:mitochondrial fission factor [Source:MGI Symbol;Acc:MGI:1922984] MAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQEFQDGVPNASVIMQVPERIVVT GNNEDISFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPLPTPQSEESRA VGRLKRERSMSENAVRQNGQLVRNDSMYGISNLDAAIEGASDDMTVVDAASLRRQIIKLN RRLQLLEEENKERAKREMVMYSITVAFWLLNSWLWFRR >ENSMUSP00000125223.1 pep:known chromosome:GRCm38:1:82729272:82751641:1 gene:ENSMUSG00000026150.14 transcript:ENSMUST00000160750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mff description:mitochondrial fission factor [Source:MGI Symbol;Acc:MGI:1922984] XIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQEFQDGVPNASVIMQVPERIVVTGNNED ISFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPLPTPQSEESRAVGRLK RERSMSENAVRQNGQLVRNDSIVRRQNEIRYERPVLRGGSAAATSNPHHDNVRYGISNLD AAIEGASDDMTVVDAASLRRQIIKLNRRLQLLEEENKERA >ENSMUSP00000123713.1 pep:known chromosome:GRCm38:1:82729326:82741884:1 gene:ENSMUSG00000026150.14 transcript:ENSMUST00000159279.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mff description:mitochondrial fission factor [Source:MGI Symbol;Acc:MGI:1922984] XEKLKVAPPNADLEQEFQDGVPNASVIMQVPERIVVTGNNEDISFSRPADLDLIQSTPFK PLALKTPPRVLTLSERPLDFLDLERPLPTPQSEEQSRAVGRLKRERSMSENAVRQNGQLV RNDSIVTPSPPQARVCPPHMLPEDGAN >ENSMUSP00000125005.1 pep:known chromosome:GRCm38:1:82729326:82750581:1 gene:ENSMUSG00000026150.14 transcript:ENSMUST00000160044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mff description:mitochondrial fission factor [Source:MGI Symbol;Acc:MGI:1922984] XEKLKVAPPNADLEQEFQDGVPNASVIMQVPERIVVTGNNEDISFSRPADLDLIQSTPFK PLALKTPPRVLTLSERPLDFLDLERPLPTPQSEEQSRAVGRLKRERSMSENAVRQNGQLV RNDSMYGISNLDAAIEGASDDMTVV >ENSMUSP00000125629.1 pep:known chromosome:GRCm38:1:82735470:82752158:1 gene:ENSMUSG00000026150.14 transcript:ENSMUST00000160744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mff description:mitochondrial fission factor [Source:MGI Symbol;Acc:MGI:1922984] VRQNGQLVRNDSIVTPSPPQARVCPPHMLPEDGANLSSARGILSLIQSSTRRAYQQILDV LDENRRYGISNLDAAIEGASDDMTVVDAASLRRQIIKLNRRLQLLEEENKERAKREMVMY SITVAFWLLNSWLWFRR >ENSMUSP00000052496.2 pep:known chromosome:GRCm38:11:96281905:96285315:1 gene:ENSMUSG00000056648.5 transcript:ENSMUST00000052650.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb8 description:homeobox B8 [Source:MGI Symbol;Acc:MGI:96189] MSSYFVNSLFSKYKTGESLRPNYYDCGFAQDLGGRPTVVYGPSSGGSFQHPSQIQEFYHG PSSLSTAPYQQNPCAVACHGDPGNFYGYDPLQRQSLFGAQDPDLVQYADCKLAAASGLGE EAEGSEQSPSPTQLFPWMRPQAAAGRRRGRQTYSRYQTLELEKEFLFNPYLTRKRRIEVS HALGLTERQVKIWFQNRRMKWKKENNKDKFPSSKCEQEELEKEKLERAPETAEQGDAQKG DKK >ENSMUSP00000120351.1 pep:known chromosome:GRCm38:11:96282556:96284315:1 gene:ENSMUSG00000056648.5 transcript:ENSMUST00000125410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb8 description:homeobox B8 [Source:MGI Symbol;Acc:MGI:96189] MSSYFVNSLFSKYKTGESLRPNYYDCGFAQDLGGRPTVVYGPSSGGSFQHPSQIQEFYHG PSSLSTAPYQQNPCAVACHGDPGNFYGYDPLQRQSLFGAQDPDLVQYADCKLAAASGLGE EAEGSEQSPSPTQLFPWMRPQAAGRRRGRQTYSRYQTLELEKEFLFNPYLTRKRRIEVSH ALGLTERQVKI >ENSMUSP00000128136.1 pep:known chromosome:GRCm38:11:96282725:96284693:1 gene:ENSMUSG00000056648.5 transcript:ENSMUST00000168043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb8 description:homeobox B8 [Source:MGI Symbol;Acc:MGI:96189] MSSYFVNSLFSKYKTGESLRPNYYDCGFAQDLGGRPTVVYGPSSGGSFQHPSQIQEFYHG PSSLSTAPYQQNPCAVACHGDPGNFYGYDPLQRQSLFGAQDPDLVQYADCKLAAASGLGE EAEGSEQSPSPTQLFPWMRPQAAAGRRRGRQTYSRYQTLELEKEFLFNPYLTRKRRIEVS HALGLTERQVKIWFQNRRMKWKKENNKDKFPSSKCEQEELEKEKLERAPETAEQGDAQKG DKK >ENSMUSP00000035376.5 pep:known chromosome:GRCm38:12:72881109:72917906:-1 gene:ENSMUSG00000021098.14 transcript:ENSMUST00000044000.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930447C04Rik description:RIKEN cDNA 4930447C04 gene [Source:MGI Symbol;Acc:MGI:1923051] MNDNLFVSLDRLLLEFVFQYEQDISIKEDTIQRINKCLESIKENKANVSKLREAINKVDE DIAFHYKHSKEIKDSCSNWKPTCDVFHKHEDYIKDQLTAYQETNEKDKKMYHDYICQYED VLKQYQLKYSETRFSCKYYEKKKEHEEIKNRVLACTEQLQLNETILMKFLVPAPFPSLTK WTLYVVNLRYRTQDILKRANNFTKRSFELEKEADDMEIEINSLNKMARLFESKTFSEALD EKNKNTEKRKEFEERIFEKDEQVSNRSSQNSQLLLPCESQKFVRNMNSSEARVTDKKEES SANQSKFVRSDVRQKENNPQIFNDSGMDSNSKSSHIPAVKSSQGFMQFRLNQPNYNQRIE KEHIDAECGDKETVRQVRESKCSTQALYIEHFGKSIENNSVEEERDENFPQTPETPSFLR TPEALKTPESMEKMQFPKSPFFEITKNATSEGHKQKDSPGFSFLMSYTSRSPGLNLFDSS VSDSEISSDQFNEHYSAVNLNPSSSQQGIGNLFGKSEGEDAFTFSFSSDSSHTFGAGKDD FSFPFSFEQDPSTMTSSSSKDFSSSQNKTQFMFF >ENSMUSP00000116391.1 pep:known chromosome:GRCm38:12:72892816:72906277:-1 gene:ENSMUSG00000021098.14 transcript:ENSMUST00000143960.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930447C04Rik description:RIKEN cDNA 4930447C04 gene [Source:MGI Symbol;Acc:MGI:1923051] XARLFESKTFSEALDEKNKNTEKRKEFEERIFEKDEQVSNRSSQNSQLLLPCESQKFVRN MNSSEARVTDI >ENSMUSP00000119777.1 pep:known chromosome:GRCm38:12:72906234:72917857:-1 gene:ENSMUSG00000021098.14 transcript:ENSMUST00000131033.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930447C04Rik description:RIKEN cDNA 4930447C04 gene [Source:MGI Symbol;Acc:MGI:1923051] MNDNLFVSLDRLLLEFVFQYEQDISIKEDTIQRINKCLESIKENKANVSKLREAINKVDE DIAFHYKHSKEIKDSCSNWKPTCDVFHKHEDYIKDQLTAYQETNEKDKKMYHDYICQYED VLKQYQLKYSETRFSCKYYEKKKEHEEIKNRVLACTEQLQLNETILMKFLVPAPFPSLTK WTLYVYPQQISLIIFLDKYNLRF >ENSMUSP00000121857.1 pep:known chromosome:GRCm38:12:72911659:72940774:-1 gene:ENSMUSG00000021098.14 transcript:ENSMUST00000136075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930447C04Rik description:RIKEN cDNA 4930447C04 gene [Source:MGI Symbol;Acc:MGI:1923051] MKKEAPIPACLPLTISSCIGSKPGTEGTEPQICSRVSKMNDNLFVSLDRLLLEFVFQYEQ DISIKEDTIQRINTGSQHVMFFINMKII >ENSMUSP00000120109.1 pep:known chromosome:GRCm38:12:72913187:72937977:-1 gene:ENSMUSG00000021098.14 transcript:ENSMUST00000130635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930447C04Rik description:RIKEN cDNA 4930447C04 gene [Source:MGI Symbol;Acc:MGI:1923051] MNDNLFVSLDRLLLEFVFQYEQDISIKEDTIQRINKCLESIKENKANVSKLREAINKVDE DIAFHYKHSKEIKDSCSNWKPTCDVFHKHEDYIKDQLTAYQETNEKD >ENSMUSP00000118104.1 pep:known chromosome:GRCm38:12:72913191:72940083:-1 gene:ENSMUSG00000021098.14 transcript:ENSMUST00000132067.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930447C04Rik description:RIKEN cDNA 4930447C04 gene [Source:MGI Symbol;Acc:MGI:1923051] MKKEAPIPACLPLTISSCIGSKPGTEGTEPQICSRVSKMNDNLFVSLDRLLLEFVFQYEQ DISIKEDTIQRINKCLESIKENKANVSKLREAINKVDEDIAFHYKHSKEIKDSCSNWKPT CDVFHKHEDYIKDQLTAYQETNE >ENSMUSP00000106115.2 pep:known chromosome:GRCm38:12:72881109:72917760:-1 gene:ENSMUSG00000021098.14 transcript:ENSMUST00000110489.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930447C04Rik description:RIKEN cDNA 4930447C04 gene [Source:MGI Symbol;Acc:MGI:1923051] MNDNLFVSLDRLLLEFVFQYEQDISIKEDTIQRINKCLESIKENKANVSKLREAINKVDE DIAFHYKHSKEIKDSCSNWKPTCDVFHKHEDYIKDQLTAYQETNEKDKKMYHDYICQYED VLKQYQLKYSETRFSCKYYEKKKEHEEIKNRVLACTEQLQLNETILMKFLVPAPFPSLTK WTLYVVNLRYRTQDILKRANNFTKRSFELEKEADDMEIEINSLNKQMARLFESKTFSEAL DEKNKNTEKRKEFEERIFEKDEQVSNRSSQNSQLLLPCESQKFVRNMNSSEARVTDKKEE SSANQSKFVRSDVRQKENNPQIFNDSGMDSNSKSSHIPAVKSSQGFMQFRLNQPNYNQRI EKEHIDAECGDKETVRQVRESKCSTQALYIEHFGKSIENNSVEEERDENFPQTPETPSFL RTPEALKTPESMEKMQFPKSPFFEITKNATSEGHKQKDSPGFSFLMSYTSRSPGLNLFDS SVSDSEISSDQFNEHYSAVNLNPSSSQQGIGNLFGKSEGEDAFTFSFSSDSSHTFGAGKD DFSFPFSFEQDPSTMTSSSSKDFSSSQNKTQFMFF >ENSMUSP00000145806.1 pep:known chromosome:GRCm38:16:58925557:58926660:-1 gene:ENSMUSG00000090951.2 transcript:ENSMUST00000205742.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr181 description:olfactory receptor 181 [Source:MGI Symbol;Acc:MGI:3030015] MMKANHSLTVEFILIGFSDHTDLKTLLFLLFSAIYLVTIVGNLGLVALIYMEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVDRRISLYECMVQFYFLCLAETADCFLLAAMAY DRYVAICNPLQYHTMMSKKLSIQMSIGTFIASNLHSLIHTGCLLRLNFCKSRRIDHFFCD ILPLYKLSCTDPFINELMLYIFSMPIQVFTITTVLVSYSCILLTVFKMKSKDGRGKAFST CASHFFSVSIFYICLLMYIGPSKNSNKDIPVGVFYTIVIPLLNPFIYSLRNKEVVNAVKK VMKTHSIFKNSSASIAH >ENSMUSP00000146247.1 pep:known chromosome:GRCm38:16:58926185:58928644:-1 gene:ENSMUSG00000090951.2 transcript:ENSMUST00000205668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr181 description:olfactory receptor 181 [Source:MGI Symbol;Acc:MGI:3030015] MMKANHSLTVEFILIGFSDHTDLKTLLFLLFSAIYLVTIVGNLGLVALIYMEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVDRRISLYECMVQFYFLCLAETADCFLLAAMAY DRYVAICN >ENSMUSP00000145877.1 pep:known chromosome:GRCm38:16:58926333:58928608:-1 gene:ENSMUSG00000090951.2 transcript:ENSMUST00000205986.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr181 description:olfactory receptor 181 [Source:MGI Symbol;Acc:MGI:3030015] MMKANHSLTVEFILIGFSDHTDLKTLLFLLFSAIYLVTIVGNLGLVALIYMEPRLHTPMY IFLGNLALMDSCCSCAITP >ENSMUSP00000074825.3 pep:known chromosome:GRCm38:16:58925557:58928644:-1 gene:ENSMUSG00000090951.2 transcript:ENSMUST00000075361.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr181 description:olfactory receptor 181 [Source:MGI Symbol;Acc:MGI:3030015] MMKANHSLTVEFILIGFSDHTDLKTLLFLLFSAIYLVTIVGNLGLVALIYMEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVDRRISLYECMVQFYFLCLAETADCFLLAAMAY DRYVAICNPLQYHTMMSKKLSIQMSIGTFIASNLHSLIHTGCLLRLNFCKSRRIDHFFCD ILPLYKLSCTDPFINELMLYIFSMPIQVFTITTVLVSYSCILLTVFKMKSKDGRGKAFST CASHFFSVSIFYICLLMYIGPSKNSNKDIPVGVFYTIVIPLLNPFIYSLRNKEVVNAVKK VMKTHSIFKNSSASIAH >ENSMUSP00000118318.1 pep:known chromosome:GRCm38:17:13760548:13906150:1 gene:ENSMUSG00000068036.14 transcript:ENSMUST00000139666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt4 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 4 [Source:MGI Symbol;Acc:MGI:1314653] MSAGGRDEERRKLADIIHHWNANRLDLFEISQPTEDLEFHGVMRFYFQDKAAGNFATKCI RVSSTATTQDVIETLAEKFRPDMRMLSSPKYSLYEVHVSGERRLDIDEKPLVVQLNWNKD DREGRFVLKNENDAIPAKKAQSNGPEKQEKEGVIQNFKRTLSKKEKKEKKKKEKEALRQA SDKEERPSQGDDSENSRLAAEVYKDMPETSFTRTISNPEVVMKRRRQQKLEKRMQEFRSS DGRPDSGGTLRIYADSLKPNIPYKTILLSTTDTADFAVAESLEKYGLEKENPKDYCIARV MLPPGAQHSDERGAKEIILDDDECPLQIFREWPSDKGILVFQLKRRPPDYIPKKMKKHVE GKSLKGKDRADGSGYGSALPPEKLPYLVELSPGRRNHFAYYSYHTYEDGSDSRDKPKLYR LQLSVTEVGTEKFDDNSIQLFGPGIQPHHCDLTNMDGVVTVTPRSMDAETYVDGQRISET TMLQSGMRLQFGTSHVFKFVDPIQDHVLSKRSVDGGLMVKGPRHKPGAVQETTFELGGDV HSGTALPASRSTTRLDSDRVSSASSTAERGMVKPMIRLDQEQEYRRRENRTQDATGPELI LPASIEFRESSEDSFLSAIINYTNSSTVHFKLSPTYVLYMACRYVLSSQHRPDISPTERT HKAIAVVNKMVSMMEGVIQKQKNIAGALAFWMANASELLNFIKQDRDLSRITLDAQDVLA HLVQMAFKYLVHCLQSELNNYMPAFLDDPEENSLQRPKIDDVLHTLTGAMSLLRRCRVNA ALTIQLFSQLFHFINMWLFNRLVTDPDSGLCSHYWGAIIRQQLGHIEAWAEKQGLELAAD CHLSRIVQATTLLTMDKYVPDDIPNINSTCFKLNSLQLQALLQNYHCAPDEPFIPTDLIE NVVAVAENTADELARSDGRDVQLEEDPDLQLPFLLPEDGYSCDVVRNIPNGLQEFLDPLC QRGFCRLVPHTRSPGTWTIYFEGADYESHLMRENAELAQPLRKEPEIITVTLKKQNGMGL SIVAAKGAGQDKLGIYVKSVVKGGAADVDGRLAAGDQLLSVDGRSLVGLSQERAAELMTR TSSVVTLEVAKQGAIYHGLATLLNQPSPMMQRISDRRGSGKPRPKSEGFELYNNSAQNGS PESPQMPWTEYSEPKKLPGDDRLMKNRADHRSSPNVANQPPSPGGKGPYTSGTAAKITSV STGNLCTEEQSPPPRPEAYPIPTQTYTREYFTFPASKSQDRMAPPQSQWPNYEEKPHVHT ESNHSSIAIQRVTRSQEELREEKVYQLERHRVEAGMDRKCDSDMWINQSSSVESSTSSQE HLNHSSKSVTPASTLTKSGPGRWKTPAAVLPTPVAVSQPIRTDLPPPPPPPPVHYTSEFD GIPMDLPLPPPPANQAGPQSAQVAAAEWKKREEHQRWYEKEKARLEEERERKRREQERKL GQMRSQTLNPASFSPLATQAKPEKPSTLQRPQETVIRELQPQQQPRTIERKDLQYITISK EELSSGDSLSPDPWKRDAREKLEKQQQMHIVDMLSKEIHELQNKVDRTAEESDRLRKLML EWQFQKRLQESKQKDEDDDEEEDDDVDTMLIMQRLEAERRARMQDEERRRQQQLEEMRKR EAEDRVRQEEDGRHQEEERVKRDAEEKRRQEEGYYSRLEAERRRQHEEAARRLLEPEEPG LSRPPLPRDYEPPSLSSAPCAPPPPPQRNASYLKTQVLSPDSLFTAKFVAYDEEEEDYGP AGPNSYSGSAGTAVGAYDAPREAREKLTRSQDADLPGSSGAPENLTFKERQRLFSQGQDV SDKVKASRKLTELENELNTK >ENSMUSP00000122447.1 pep:known chromosome:GRCm38:17:13760674:13904007:1 gene:ENSMUSG00000068036.14 transcript:ENSMUST00000150848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt4 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 4 [Source:MGI Symbol;Acc:MGI:1314653] MSAGGRDEERRKLADIIHHWNANRLDLFEISQPTEDLEFHGVMRFYFQDKAAGNFATKCI RVSSTATTQDVIETLAEKFRPDMRMLSSPKYSLYEVHVSGERRLDIDEKPLVVQLNWNKD DREGRFVLKNENDAIPAKKAQSNGPEKQEKEGVIQNFKRTLSKKEKKEKKKKEKEALRQA SDKEERPSQGDDSENSRLAAEVYKDMPETSFTRTISNPEVVMKRRRQQKLEKRMQEFRSS DGRPDSGGTLRIYADSLKPNIPYKTILLSTTDTADFAVAESLEKYGLEKENPKDYCIARV MLPPGAQHSDERGAKEIILDDDECPLQIFREWPSDKGILVFQLKRRPPDYIPKKMKKHVE GKSLKGKDRADGSGYGSALPPEKLPYLVELSPDGSDSRDKPKLYRLQLSVTEVGTEKFDD NSIQLFGPGIQPHHCDLTNMDGVVTVTPRSMDAETYVDGQRISETTMLQSGMRLQFGTSH VFKFVDPIQDHVLSKRSVDGGLMVKGPRHKPGAVQETTFELGGDVHSGTALPASRSTTRL DSDRVSSASSTAERGMVKPMIRLDQEQEYRRRENRTQDATGPELILPASIEFRESSEDSF LSAIINYTNSSTVHFKLSPTYVLYMACRYVLSSQHRPDISPTERTHKAIAVVNKMVSMME GVIQKQKNIAGALAFWMANASELLNFIKQDRDLSRITLDAQDVLAHLVQMAFKYLVHCLQ SELNNYMPAFLDDPEENSLQRPKIDDVLHTLTGAMSLLRRCRVNAALTIQLFSQLFHFIN MWLFNRLVTDPDSGLCSHYWGAIIRQQLGHIEAWAEKQGLELAADCHLSRIVQATTLLTM DKYVPDDIPNINSTCFKLNSLQLQALLQNYHCAPDEPFIPTDLIENVVAVAENTADELAR SDGRDVQLEEDPDLQLPFLLPEDGYSCDVVRNIPNGLQEFLDPLCQRGFCRLVPHTRSPG TWTIYFEGADYESHLMRENAELAQPLRKEPEIITVTLKKQNGMGLSIVAAKGAGQDKLGI YVKSVVKGGAADVDGRLAAGDQLLSVDGRSLVGLSQERAAELMTRTSSVVTLEVAKQGAI YHGLATLLNQPSPMMQRISDRRGSGKPRPKSEGFELYNNSAQNGSPESPQMPWTEYSEPK KLPGDDRLMKNRADHRSSPNVANQPPSPGGKGPYTSGTAAKITSVSTGNLCTEEQSPPPR PEAYPIPTQTYTREYFTFPASKSQDRMAPPQSQWPNYEEKPHVHTESNHSSIAIQRVTRS QEELREEKVYQLERHRVEAGMDRKCDSDMWINQSSSVESSTSSQEHLNHSSKSVTPASTL TKSGPGRWKTPAAVLPTPVAVSQPIRTDLPPPPPPPPVHYTSEFDGIPMDLPLPPPPANQ AGPQSAQVAAAEWKKREEHQRWYEKEKARLEEERERKRREQERKLGQMRSQTLNPASFSP LATQAKPEKPSTLQRPQETVIRELQPQQQPRTIERKDLQYITISKEELSSGDSLSPDPWK RDAREKLEKQQQMHIVDMLSKEIHELQNKVDRTAEESDRLRKLMLEWQFQKRLQESKQKD EDDDEEEDDDVDTMLIMQRLEAERRARMQDEERRRQQQLEEMRKREAEDRVRQEEDGRHQ EEERVKRDAEEKRRQEEGYYSRLEAERRRQHEEAARRLLEPEEPGLSRPPLPRDYEPPSL SSAPCAPPPPPQRNASYLKTQVLSPDSLFTAKFVAYDEEEEDYGPAGPNSYSGSAGTAVG AYDAPREAREKLTRSQDADLPGSSGAPENLTFKERQRLFSQGQDVSDKVKASRKLTELEN ELNTK >ENSMUSP00000119153.1 pep:known chromosome:GRCm38:17:13760599:13904132:1 gene:ENSMUSG00000068036.14 transcript:ENSMUST00000137784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt4 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 4 [Source:MGI Symbol;Acc:MGI:1314653] MSAGGRDEERRKLADIIHHWNANRLDLFEISQPTEDLEFHGVMRFYFQDKAAGNFATKCI RVSSTATTQDVIETLAEKFRPDMRMLSSPKYSLYEVHVSGERRLDIDEKPLVVQLNWNKD DREGRFVLKNENDAIPAKKAQSNGPEKQEKEGVIQNFKRTLSKKEKKEKKKKEKEALRQA SDKEERPSQGDDSENSRLAAEVYKDMPETSFTRTISNPEVVMKRRRQQKLEKRMQEFRSS DGRPDSGGTLRIYADSLKPNIPYKTILLSTTDTADFAVAESLEKYGLEKENPKDYCIARV MLPPGAQHSDERGAKEIILDDDECPLQIFREWPSDKGILVFQLKRRPPDYIPKKMKKHVE GKSLKGKDRADGSGYGSALPPEKLPYLVELSPGRRNHFAYYSYHTYEDGSDSRDKPKLYR LQLSVTEVGTEKFDDNSIQLFGPGIQPHHCDLTNMDGVVTVTPRSMDAETYVDGQRISET TMLQSGMRLQFGTSHVFKFVDPIQDHVLSKRSVDGGLMVKGPRHKPGAVQETTFELGGDV HSGTALPASRSTTRLDSDRVSSASSTAERGMVKPMIRLDQEQEYRRRENRTQDATGPELI LPASIEFRESSEDSFLSAIINYTNSSTVHFKLSPTYVLYMACRYVLSSQHRPDISPTERT HKAIAVVNKMVSMMEGVIQEVDQVDQKQKNIAGALAFWMANASELLNFIKQDRDLSRITL DAQDVLAHLVQMAFKYLVHCLQSELNNYMPAFLDDPEENSLQRPKIDDVLHTLTGAMSLL RRCRVNAALTIQLFSQLFHFINMWLFNRLVTDPDSGLCSHYWGAIIRQQLGHIEAWAEKQ GLELAADCHLSRIVQATTLLTMDKYVPDDIPNINSTCFKLNSLQLQALLQNYHCAPDEPF IPTDLIENVVAVAENTADELARSDGRDVQLEEDPDLQLPFLLPEDGYSCDVVRNIPNGLQ EFLDPLCQRGFCRLVPHTRSPGTWTIYFEGADYESHLMRENAELAQPLRKEPEIITVTLK KQNGMGLSIVAAKGAGQDKLGIYVKSVVKGGAADVDGRLAAGDQLLSVDGRSLVGLSQER AAELMTRTSSVVTLEVAKQGAIYHGLATLLNQPSPMMQRISDRRGSGKPRPKSEGFELYN NSAQNGSPESPQMPWTEYSEPKKLPGDDRLMKNRADHRSSPNVANQPPSPGGKGPYTSGT AAKITSVSTGNLCTEEQSPPPRPEAYPIPTQTYTREYFTFPASKSQDRMAPPQSQWPNYE EKPHVHTESNHSSIAIQRVTRSQEELREEKVYQLERHRVEAGMDRKCDSDMWINQSSSVE SSTSSQEHLNHSSKSVTPASTLTKSGPGRWKTPAAVLPTPVAVSQPIRTDLPPPPPPPPV HYTSEFDGIPMDLPLPPPPANQAGPQSAQVAAAEWKKREEHQRWYEKEKARLEEERERKR REQERKLGQMRSQTLNPASFSPLATQAKPEKPSTLQRPQETVIRELQPQQQPRTIERKDL QYITISKEELSSGDSLSPDPWKRDAREKLEKQQQMHIVDMLSKEIHELQNKVDRTAEESD RLRKLMLEWQFQKRLQESKQKDEDDDEEEDDDVDTMLIMQRLEAERRARMQDEERRRQQQ LEEMRKREAEDRVRQEEDGRHQEEERVKRDAEEKRRQEEGYYSRLEAERRRQHEEAARRL LEPEEPGLSRPPLPRDYEPPSLSSAPCAPPPPPQRNASYLKTQVLSPDSLFTAKFVAYDE EEEDYGPAGPNSYSGSAGTAVGAYDAPREAREKLTRSQDADLPGSSGAPENLTFKERQRL FSQGQDVSDKVKASRKLTELENELNTK >ENSMUSP00000114485.1 pep:known chromosome:GRCm38:17:13760599:13896323:1 gene:ENSMUSG00000068036.14 transcript:ENSMUST00000137708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt4 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 4 [Source:MGI Symbol;Acc:MGI:1314653] MSAGGRDEERRKLADIIHHWNANRLDLFEISQPTEDLEFHGVMRFYFQDKAAGNFATKCI RVSSTATTQDVIETLAEKFRPDMRMLSSPKYSLYEVHVSGERRLDIDEKPLVVQLNWNKD DREGRFVLKNENDAIPAKKAQSNGPEKQEKEGVIQNFKRTLSKKEKKEKKKKEKEALRQA SDKEERPSQGDDSENSRLAAEVYKDMPETSFTRTISNPEVVMKRRRQQKLEKRMQEFRSS DGRPDSGGTLRIYADSLKPNIPYKTILLSTTDTADFAVAESLEKYGLEKENPKDYCIARV MLPPGAQHSDERGAKEIILDDDECPLQIFREWPSDKGILVFQLKRRPPDYIPKKMKKHVE GKSLKGKDRADGSGYGSALPPEKLPYLVELSPGRRNHFAYYSYHTYEDGSDSRDKPKLYR LQLSVTEVGTEKFDDNSIQLFGPGIQPHHCDLTNMDGVVTVTPRSMDAETYVDGQRISET TMLQSGMRLQFGTSHVFKFVDPIQDHVLSKRSVDGGLMVKGPRHKPGAVQETTFELGGDV HSGTALPASRSTTRLDSDRVSSASSTAERGMVKPMIRLDQEQEYRRRENRTQDATGPELI LPASIEFRESSEDSFLSAIINYTNSSTVHFKLSPTYVLYMACRYVLSSQHRPDISPTERT HKAIAVVNKMVSMMEGVIQKQKNIAGALAFWMANASELLNFIKQDRDLSRITLDAQDVLA HLVQMAFKYLVHCLQSELNNYMPAFLDDPEENSLQRPKIDDVLHTLTGAMSLLRRCRVNA ALTIQLFSQLFHFINMWLFNRLVTDPDSGLCSHYWGAIIRQQLGHIEAWAEKQGLELAAD CHLSRIVQATTLLTMDKYVPDDIPNINSTCFKLNSLQLQALLQNYHCAPDEPFIPTDLIE NVVAVAENTADELARSDGRDVQLEEDPDLQLPFLLPEDGYSCDVVRNIPNGLQEFLDPLC QRGFCRLVPHTRSPGTWTIYFEGADYESHLMRENAELAQPLRKEPEIITVTLKKQNGMGL SIVAAKGAGQDKLGIYVKSVVKGGAADVDGRLAAGDQLLSVDGRSLVGLSQERAAELMTR TSSVVTLEVAKQGAIYHGLATLLNQPSPMMQRISDRRGSGKPRPKSEGFELYNNSAQNGS PESPQMPWTEYSEPKKLPGDDRLMKNRADHRSSPNVANQPPSPGGKGPYTSGTAAKITSV STGNLCTEEQSPPPRPEAYPIPTQTYTREYFTFPASKSQDRMAPPQSQWPNYEEKPHVHT ESNHSSIAIQRVTRSQEELREEKVYQLERHRVEAGMDRKCDSDMWINQSSSVESSTSSQE HLNHSSKSVTPASTLTKSGPGRWKTPAAVLPTPVAVSQPIRTDLPPPPPPPPVHYTSEFD GIPMDLPLPPPPANQAGPQSAQVAAAEWKKREEHQRWYEKEKARLEEERERKRREQERKL GQMRSQTLNPASFSPLATQAKPEKPSTLQRPQETVIRELQPQQQPRTIERKDLQYITISK EELSSGDSLSPDPWKRDAREKLEKQQQMHIVDMLSKEIHELQNKVDRTAEESDRLRKLML EWQFQKRLQESKQKDEDDDEEEDDDVDTMLIMQRLEAERRARQTAMPAISVLDLMQDEER RRQQQLEEMRKREAEDRVRQEEDGRHQEEERVKRDAEEKVMVL >ENSMUSP00000116711.1 pep:known chromosome:GRCm38:17:13888530:13904076:1 gene:ENSMUSG00000068036.14 transcript:ENSMUST00000137531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt4 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 4 [Source:MGI Symbol;Acc:MGI:1314653] XSPLATQAKPEKPSTLQRPQETVIRELQPQQQPRTIERKDLQYITISKEELSSGDSLSPD PWKRDAREKLEKQQQMHIVDMLSKEIHELQNKVDRTAEESDRLRKLMLEWQFQKRLQESK QKDEDDDEEEDDDVDTMLIMQRLEAERRARQTAMPAISVLDLMQDEERRRQQQLEEMRKR EAEDRVRQEEDGRHQEEERVKRDAEEKRRQEEGYYSRLEAERRRQHEEAARRLLEPEEPG LSRPPLPRDYEPPSLSSAPCAPPPPPQRNASYLKTQVLSPDSLFTAKFVAYDEEEEDYGP AGPNSYSGSAGTAVGAYDAPREAREKLTRSQDADLPGSSGAPENLTFKERQRLFS >ENSMUSP00000115250.1 pep:known chromosome:GRCm38:17:13760539:13810518:1 gene:ENSMUSG00000068036.14 transcript:ENSMUST00000139347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt4 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 4 [Source:MGI Symbol;Acc:MGI:1314653] MSAGGRDEERRKLADIIHHWNANRLDLFEISQPTEDLEFHGVMRFYFQDKAAGNFATKCI RVSSTATTQDVIETLAEKFRPDMRMLSSPKYSLYEVHVSGEERRLDIDEKPLVVQLNWNK DDREGRFVLKNENDAIPAKAQSNGPEKQEKEGVIQNFKRTLSKKEKKEKKKKEKEALRQA SDKEERPSQGDDSENSRLAAEVYKDMPETSFTRTISNPEVVMKRRRQQK >ENSMUSP00000122204.1 pep:known chromosome:GRCm38:17:13760541:13810493:1 gene:ENSMUSG00000068036.14 transcript:ENSMUST00000156591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt4 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 4 [Source:MGI Symbol;Acc:MGI:1314653] MSAGGRDEERRKLADIIHHWNANRLDLFEISQPTEDLEFHGVMRFYFQDKAAGNFATKCI RVSSTATTQDVIETLAEKFRPDMRMLSSPKYSLYEVHVSGEERRLDIDEKPLVVQLNWNK DDREGRFVLKNENDAIPAKKAQSNGPEKQEKEGVIQNFKRTLSKKEKKEKKKKEKEALRQ ASDKEERPSQGDDSENSRLAAEVYKDMPETSFTRTISNPEVV >ENSMUSP00000128891.2 pep:known chromosome:GRCm38:17:13760541:13905794:1 gene:ENSMUSG00000068036.14 transcript:ENSMUST00000170827.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt4 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 4 [Source:MGI Symbol;Acc:MGI:1314653] MSAGGRDEERRKLADIIHHWNANRLDLFEISQPTEDLEFHGVMRFYFQDKAAGNFATKCI RVSSTATTQDVIETLAEKFRPDMRMLSSPKYSLYEVHVSGERRLDIDEKPLVVQLNWNKD DREGRFVLKNENDAIPAKKAQSNGPEKQEKEGVIQNFKRTLSKKEKKEKKKKEKEALRQA SDKEERPSQGDDSENSRLAAEVYKDMPETSFTRTISNPEVVMKRRRQQKLEKRMQEFRSS DGRPDSGGTLRIYADSLKPNIPYKTILLSTTDTADFAVAESLEKYGLEKENPKDYCIARV MLPPGAQHSDERGAKEIILDDDECPLQIFREWPSDKGILVFQLKRRPPDYIPKKMKKHVE GKSLKGKDRADGSGYGSALPPEKLPYLVELSPDGSDSRDKPKLYRLQLSVTEVGTEKFDD NSIQLFGPGIQPHHCDLTNMDGVVTVTPRSMDAETYVDGQRISETTMLQSGMRLQFGTSH VFKFVDPIQDHVLSKRSVDGGLMVKGPRHKPGAVQETTFELGGDVHSGTALPASRSTTRL DSDRVSSASSTAERGMVKPMIRLDQEQEYRRRENRTQDATGPELILPASIEFRESSEDSF LSAIINYTNSSTVHFKLSPTYVLYMACRYVLSSQHRPDISPTERTHKAIAVVNKMVSMME GVIQKQKNIAGALAFWMANASELLNFIKQDRDLSRITLDAQDVLAHLVQMAFKYLVHCLQ SELNNYMPAFLDDPEENSLQRPKIDDVLHTLTGAMSLLRRCRVNAALTIQLFSQLFHFIN MWLFNRLVTDPDSGLCSHYWGAIIRQQLGHIEAWAEKQGLELAADCHLSRIVQATTLLTM DKYVPDDIPNINSTCFKLNSLQLQALLQNYHCAPDEPFIPTDLIENVVAVAENTADELAR SDGRDVQLEEDPDLQLPFLLPEDGYSCDVVRNIPNGLQEFLDPLCQRGFCRLVPHTRSPG TWTIYFEGADYESHLMRENAELATPLRKEPEIITVTLKKQNGMGLSIVAAKGAGQDKLGI YVKSVVKGGAADVDGRLAAGDQLLSVDGRSLVGLSQERAAELMTRTSSVVTLEVAKQGAI YHGLATLLNQPSPMMQRISDRRGSGKPRPKSEGFELYNNSAQNGSPESPQMPWTEYSEPK KLPGDDRLMKNRADHRSSPNVANQPPSPGGKGPYTSGTAAKITSVSTGNLCTEEQSPPPR PEAYPIPTQTYTREYFTFPASKSQDRMAPPQSQWPNYEEKPHVHTESNHSSIAIQRVTRS QEELREEKVYQLERHRVEAGMDRKCDSDMWINQSSSVESSTSSQEHLNHSSKSVTPASTL TKSGPGRWKTPAAVLPTPVAVSQPIRTDLPPPPPPPPVHYTSEFDGIPMDLPLPPPPANQ AGPQSAQVAAAEWKKREEHQRWYEKEKARLEEERERKRREQERKLGQMRSQTLNPASFSP LATQAKPEKPSTLQRPQETVIRELQPQQQPRTIERKDLQYITISKEELSSGDSLSPDPWK RDAREKLEKQQQMHIVDMLSKEIHELQNKVDRTAEESDRLRKLMLEWQFQKRLQESKQKD EDDDEEEDDDVDTMLIMQRLEAERRARMQDEERRRQQQLEEMRKREAEDRVRQEEDGRHQ EEERVKRDAEEKRRQEEGYYSRLEAERRRQHEEAARRLLEPEEPGLSRPPLPRDYEPPSL SSAPCAPPPPPQRNASYLKTQVLSPDSLFTAKFVAYDEEEEDYGPAGPNSYSGSAGTAVG AYDAPREAREKLTRSQDADLPGSSGAPENLTFKERQRLFSQGQDVSDKVKASRKLTELEN ELNTK >ENSMUSP00000114159.1 pep:known chromosome:GRCm38:4:116685544:116700822:1 gene:ENSMUSG00000028691.12 transcript:ENSMUST00000135573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx1 description:peroxiredoxin 1 [Source:MGI Symbol;Acc:MGI:99523] MSSGNAKIGYPAPNFKATAVMPDGQFKDISLSEYKGKYVVFFFYPLDFTFVCPTEIIAFS DRADEFKKLNCQVIGASVDSHFCHLAWINTPKKQGGLGPMNIPLISDPKRTIAQDYGVLK ADEGISFRGLFIIDDKGILRQITINDLPVGRSVDEIIRLVQAFQFTDKHGEVCPAGWKPG SDTIKPDVNKSKEYFSKQK >ENSMUSP00000119794.1 pep:known chromosome:GRCm38:4:116685599:116693842:1 gene:ENSMUSG00000028691.12 transcript:ENSMUST00000151129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx1 description:peroxiredoxin 1 [Source:MGI Symbol;Acc:MGI:99523] MSSGNAKIGYPAPNFKATAVMPDGQFKDISLSEYKGKYVVFFFYPLDFTFVCPTEIIAFS DRADEFKKLNCQVIGASVDSHFCHLAWINTPKKQGGLGPMNIPLISDPKRTIAQDYGVLK ADEGISFRGLFIIDDKGILRQITINDLPVGRSVDEIIRLVQAFQFTDKHG >ENSMUSP00000117007.1 pep:known chromosome:GRCm38:4:116685861:116692992:1 gene:ENSMUSG00000028691.12 transcript:ENSMUST00000129315.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx1 description:peroxiredoxin 1 [Source:MGI Symbol;Acc:MGI:99523] MSSGNAKIGYPAPNFKATAVMPDGQFKDISLSEYKGKYVVFFFYPLDFTFVCPTEIIAFS DRADEFKKLNCQVIGASVDSHFCHLAWINTPKKQGGLGPMNIPLISDPKRTIAQDYGVLK ADE >ENSMUSP00000102078.1 pep:known chromosome:GRCm38:4:116685887:116699272:1 gene:ENSMUSG00000028691.12 transcript:ENSMUST00000106470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx1 description:peroxiredoxin 1 [Source:MGI Symbol;Acc:MGI:99523] MSSGNAKIGYPAPNFKATAVMPDGQFKDISLSEYKGKYVVFFFYPLDFTFVCPTEIIAFS DRADEFKKLNCQVIGASVDSHFCHLAWINTPKKQGGLGPMNIPLISDPKRTIAQDYGVLK ADEGISFRGLFIIDDKGILRQITINDLPVGRSVDEIIRLVQAFQFTDKHGEVCPAGWKPG SDTIKPDVNKSKEYFSKQK >ENSMUSP00000030454.5 pep:known chromosome:GRCm38:4:116687312:116699186:1 gene:ENSMUSG00000028691.12 transcript:ENSMUST00000030454.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx1 description:peroxiredoxin 1 [Source:MGI Symbol;Acc:MGI:99523] MSSGNAKIGYPAPNFKATAVMPDGQFKDISLSEYKGKYVVFFFYPLDFTFVCPTEIIAFS DRADEFKKLNCQVIGASVDSHFCHLAWINTPKKQGGLGPMNIPLISDPKRTIAQDYGVLK ADEGISFRGLFIIDDKGILRQITINDLPVGRSVDEIIRLVQAFQFTDKHGEVCPAG >ENSMUSP00000071231.6 pep:known chromosome:GRCm38:12:70190811:70227683:-1 gene:ENSMUSG00000021069.16 transcript:ENSMUST00000071250.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pygl description:liver glycogen phosphorylase [Source:MGI Symbol;Acc:MGI:97829] MAKPLTDQEKRRQISIRGIVGVENVAELKKGFNRHLHFTLVKDRNVATPRDYYFALAHTV RDHLVGRWIRTQQHYYDKCPKRVYYLSLEFYMGRTLQNTMINLGLQNACDEAIYQLGLDM EELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEYGIFNQKIREGWQVEEA DDWLRHGNPWEKARPEFMLPVHFYGRVEHTQTGTKWVDTQVVLALPYDTPVPGYMNNTVN TMRLWSARAPNDFNLQDFNVGDYIQAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFV VAATLQDVIRRFKASKFGSKDGMGTVFDAFPDQVAIQLNDTHPALAIPELMRIFVDIEKL PWAKAWEITKKTFAYTNHTVLPEALERWPVELVEKLLPRHLEIIYEINQKHLDRIVALFP KDISRMRRMSLIEEEGGKRINMAHLCIVGCHAVNGVAKIHSDIVKTQVFKDFSELEPDKF QNKTNGITPRRWLLLCNPGLADLIAEKIGEDYVKDLSQLTKLHSFVSDDIFLREIAKVKQ ENKLKFSQFLEKEYKVKINPSSMFDVHVKRIHEYKRQLLNCLHVITMYNRIKKDPKKFFV PRTVIIGGKAAPGYHMAKMIIKLITSVAEVVNNDPMVGSKLKVIFLENYRVSLAEKVIPA TDLSEQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENLFIFGMRVDDVA ALDKKGYEAKEYYEALPELKLVIDQIDNGFFSPNQPDLFKDIINMLFYHDRFKVFADYEA YVKCQEKVSQLYMNQKAWNTMVLKNIAASGKFSSDRTIKEYAKDIWNMEPSDLKISLSNE SSNGVSANGK >ENSMUSP00000125585.1 pep:known chromosome:GRCm38:12:70190819:70231488:-1 gene:ENSMUSG00000021069.16 transcript:ENSMUST00000161083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pygl description:liver glycogen phosphorylase [Source:MGI Symbol;Acc:MGI:97829] MGRTLQNTMINLGLQNACDEAIYQLGLDMEELEEIEEDAGLGNGGLGRLAACFLDSMATL GLAAYGYGIRYEYGIFNQKIREGWQVEEADDWLRHGNPWEKARPEFMLPVHFYGRVEHTQ TGTKWVDTQVVLALPYDTPVPGYMNNTVNTMRLWSARAPNDFNLQDFNVGDYIQAVLDRN LAENISRVLYPNDNFFEGKELRLKQEYFVVAATLQDVIRRFKASKFGSKDGMGTVFDAFP DQVAIQLNDTHPALAIPELMRIFVDIEKLPWAKAWEITKKTFAYTNHTVLPEALERWPVE LVEKLLPRHLEIIYEINQKHLDRIVALFPKDISRMRRMSLIEEEGGKRINMAHLCIVGCH AVNGVAKIHSDIVKTQVFKDFSELEPDKFQNKTNGITPRRWLLLCNPGLADLIAEKIGED YVKDLSQLTKLHSFVSDDIFLREIAKVKQENKLKFSQFLEKEYKVKINPSSMFDVHVKRI HEYKRQLLNCLHVITMYNRIKKDPKKFFVPRTVIIGGKAAPGYHMAKMIIKLITSVAEVV NNDPMVGSKLKVIFLENYRVSLAEKVIPATDLSEQISTAGTEASGTGNMKFMLNGALTIG TMDGANVEMAEEAGEENLFIFGMRVDDVAALDKKGYEAKEYYEALPELKLVIDQIDNGFF SPNQPDLFKDIINMLFYHDRFKVFADYEAYVKCQEKVSQLYMNQKAWNTMVLKNIAASGK FSSDRTIKEYAKDIWNMEPSDLKISLSNESSNGVSANGK >ENSMUSP00000031055.5 pep:known chromosome:GRCm38:5:30913402:30921277:1 gene:ENSMUSG00000029163.9 transcript:ENSMUST00000031055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emilin1 description:elastin microfibril interfacer 1 [Source:MGI Symbol;Acc:MGI:1926189] MAPRALWSCYLCCLLTIATEAASYPPRGYSLYTGGTGALSPGGPQAQNSPRPASRHRNWC AYVVTRTVSCVLEDGVETIVKPDYQPCGWGQPHCSRSIMYRSFLRPRYRVAYKTVTDMEW RCCQGYGGDDCGEGPASVLGPAPSTPLPRPRPVRPNLSGSSAGSHLSGLGGEGPVESEKV QQLERQVKSLTKELQGLRGVLQGMNGRLAEDVQRAVDTVFNGRQQPADAAARPGVHETLS EIQQQLQLLDNRVSTHDQELGHLNNHHNGGPGGGGRASGPVPVPSGPSEELLRQLERQLQ ESCSVCLTGLDGFRQQQQEDRERLRTLEKLMSSMEERQQQLVGPAMARRPPQECCPPELG RRVSELERRLDVVTGSLTVLSGRRGSELGGAAGQGGHPPGYTSLASRLSRLEDRFNSTLG PSEEQEKNWPGGPGRLGHWLPAAPGRLEKLEGLLANVSRELGGRMDLLEEQVAGAVRTCG QICSGAPGEQDSRVNEILSALERRVLDSEGRLQLVGSGLHEAEAAGEAQQAVLEGLQGLL SRLRERMDAQEETAAEILLRLNLTAAQLSQLEGLLQARGDEGCGACGGVQEELGRLRDGV ERCSCPLLPPRGPGAGPGVGGPSRGPLDGFSVFGGSSGSALQALQGELSEVILTFSSLND SLHELQTTVEGQGADLADLGATKDSIISEINRLQQEATEHVTESEERFRGLEEGQAQAGQ CPSLEGRLGRLEGVCERLDTVAGGLQGLREGLSRHVAGLWAAVRESNSTSLTQAALLEKL LGGQAGLGRRLGALNNSLLLLEDRLQQLSLKDFTGPSGKAGPPGPPGLQGPSGPAGPPGP PGKDGQQGAIGPPGPQGEQGAEGAPAAPVPRVAFSAALSLPRSEPGTVPFDRVLLNDGGY YDPETGVFTAPLAGRYLLSAVLTGHRHEKVEAVLSRSNLGVARIDSGGYEPEGLENKPVA ESQPSPGALGVFSLILPLQVGDTVCIDLVMGQLAHSEEPLTIFSGALLYEDTELEQV >ENSMUSP00000067681.5 pep:known chromosome:GRCm38:4:47474658:47483242:1 gene:ENSMUSG00000053317.11 transcript:ENSMUST00000065678.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61b description:Sec61 beta subunit [Source:MGI Symbol;Acc:MGI:1913462] MPGPTPSGTNVGSSGRSPSKAVAARAAGSTVRQRKNASCGTRSAGRTTSAGTGGMWRFYT EDSPGLKVGPVPVLVMSLLFIAAVFMLHIWGKYTRS >ENSMUSP00000120880.1 pep:known chromosome:GRCm38:4:47474764:47483128:1 gene:ENSMUSG00000053317.11 transcript:ENSMUST00000137461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61b description:Sec61 beta subunit [Source:MGI Symbol;Acc:MGI:1913462] MGCTSPYLGLPFWDLIALPSFSAGSNAQWHQRGLLWPLSQQSGGRTGCGIHCSAEKKCQL WDPERRPHHLCRDWGDVAILHGRFPRAQSGPCPSAGDESSVHRCCIYAAHLGQVHAIIDW ATSICHLKKKKKEKNPTYLG >ENSMUSP00000114184.1 pep:known chromosome:GRCm38:4:47474808:47483094:1 gene:ENSMUSG00000053317.11 transcript:ENSMUST00000125622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61b description:Sec61 beta subunit [Source:MGI Symbol;Acc:MGI:1913462] MFTVCLLPSAAGSNAQWHQRGLLWPLSQQSGGRTGCGIHCSAEKKCQLWDPERRPHHLCR DWGDVAILHGRFPRAQSGPCPSAGDESSVHRCCIYAAHLGQVHAIIDWATSICHLKK >ENSMUSP00000127250.2 pep:known chromosome:GRCm38:7:126986860:127014621:-1 gene:ENSMUSG00000030681.18 transcript:ENSMUST00000165096.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvp description:major vault protein [Source:MGI Symbol;Acc:MGI:1925638] MLGRGLGFTMATEEAIIRIPPYHYIHVLDQNSNVSRVEVGPKTYIRQDNERVLFAPVRMV TVPPRHYCIVANPVSRDAQSSVLFDVTGQVRLRHADQEIRLAQDPFPLYPGELLEKDITP LQVVLPNTALHLKALLDFEDKNGDKVMAGDEWLFEGPGTYIPQKEVEVVEIIQATVIKQN QALRLRARKECFDRDGKERVTGEEWLVRSVGAYLPAVFEEVLDLVDAVILTEKTALHLRA RQNFKDLRGVAHRTGEEWLVTVQDTEAHVPDVYEEVLGVVPITTLGPRHYCVILDPMGPD GKNQLGQKRVVKGEKSFFLQPGERLERGIQDVYVLSEQQGLLLKALQPLEEGEGEEKVAH QAGDRWLIRGPLEYVPSAKVEVVEERQAIPLDQNEGIYVQDVKTGKVRAVIGSTYMLTQD EVLWEKELPSGVEELLNLGHDPLADRGQKGTAKVLQPSAARNKTRVVSYRVPHNAAVQVY DYRAKRARVVFGPELVSLDPEEQFTVLSLSAGRPKRPHARRALCLLLGPDFFTDVITIET ADHARLQLQLAYNWHFELKNRNDPEETAKLFSVPDFVGDACKAIASRVRGAVASVTFDDF HKNSARIIRMAVFGFEMSEDAGPDGALLPRARDRAVFPQNGLVVSSVDVQSVEPVDQRTR DALQRSVQLAIEITTNSQEAAAKHEAQRLEQEARGRLERQKILDQSEAEKARKELLELEA MSMAVESTGNAKAEAESRAEAARIEGEGSVLQAKLKAQALAIETEAELERVKKVREMELI YSRAQLELEVSKAQQLADVEAKKFKEMTEALGPGTIRDLAVAGPEMQVKLLQSLGLKSTL ITDGSSPINLFNTAFGLLGLGSDGQPPVQK >ENSMUSP00000119213.1 pep:known chromosome:GRCm38:7:126998542:127011865:-1 gene:ENSMUSG00000030681.18 transcript:ENSMUST00000133172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvp description:major vault protein [Source:MGI Symbol;Acc:MGI:1925638] MLGRGLGFTMATEEAIIRIPPYHYIHVLDQNSNVSRVEVGPKTYIRQDNERVLFAPVRMV TVPPRHYCIVANPVSRDAQSSVLFDVTGQVRLRHADQEIRLAQDPFPLYPGELLEKDITP LQVVLPNTALHLKA >ENSMUSP00000040121.6 pep:known chromosome:GRCm38:11:96286623:96290162:1 gene:ENSMUSG00000038721.8 transcript:ENSMUST00000049352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb7 description:homeobox B7 [Source:MGI Symbol;Acc:MGI:96188] MSSLYYANALFSKYPAASSVFAPGAFPEQTSCAFASNPQRPGYGAGPGAPFSASVQGLYS GGGAMAGQSAAGVYAAGYGLEPSSFNMHCAPFEQNLSGVCPGDPAKAAGAKEQRDSDLAA ESNFRIYPWMRSSGPDRKRGRQTYTRYQTLELEKEFHYNRYLTRRRRIEIAHTLCLTERQ IKIWFQNRRMKWKKENKTSGPGTTGQDKAEAEEEEEE >ENSMUSP00000119934.1 pep:known chromosome:GRCm38:16:4036942:4039256:1 gene:ENSMUSG00000005980.15 transcript:ENSMUST00000137748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1 description:deoxyribonuclease I [Source:MGI Symbol;Acc:MGI:103157] MRYTGLMGTLLTLVNLLQLAGTLRIAAFNIRTFGETKMSNATLSVYFVKILSRYDIAVIQ EVRDSHLVAVGKLLDELNRDKPDTYRYVVSEPLGRKSYKEQYLFVYRPDQVSILDSYQYD DGCEPCGNDTFSREPAIVKFFSPYTEVQEFAIVPLHAAPTEAVSEIDALYDVYLDVWQKW GLEDIMFMGDFNAGCSYVTSSQWSSIRLRTSPIFQWLIPDSADTT >ENSMUSP00000006136.4 pep:known chromosome:GRCm38:16:4036970:4040024:1 gene:ENSMUSG00000005980.15 transcript:ENSMUST00000006136.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1 description:deoxyribonuclease I [Source:MGI Symbol;Acc:MGI:103157] MRYTGLMGTLLTLVNLLQLAGTLRIAAFNIRTFGETKMSNATLSVYFVKILSRYDIAVIQ EVRDSHLVAVGKLLDELNRDKPDTYRYVVSEPLGRKSYKEQYLFVYRPDQVSILDSYQYD DGCEPCGNDTFSREPAIVKFFSPYTEVQEFAIVPLHAAPTEAVSEIDALYDVYLDVWQKW GLEDIMFMGDFNAGCSYVTSSQWSSIRLRTSPIFQWLIPDSADTTVTSTHCAYDRIVVAG ALLQAAVVPNSAVPFDFQAEYGLSNQLAEAISDHYPVEVTLRKI >ENSMUSP00000120642.1 pep:known chromosome:GRCm38:16:4036973:4038021:1 gene:ENSMUSG00000005980.15 transcript:ENSMUST00000157044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1 description:deoxyribonuclease I [Source:MGI Symbol;Acc:MGI:103157] MRYTGLMGTLLTLVNLLQLAGTLRIAAFNIRTFGETKMSNATLSVYFVKILSRYDIAVIQ EVRDSHLVAVG >ENSMUSP00000113119.1 pep:known chromosome:GRCm38:16:4037031:4040024:1 gene:ENSMUSG00000005980.15 transcript:ENSMUST00000120009.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1 description:deoxyribonuclease I [Source:MGI Symbol;Acc:MGI:103157] MRYTGLMGTLLTLVNLLQLAGTLRIAAFNIRTFGETKMSNATLSVYFVKILSRYDIAVIQ EVRDSHLVAVGKLLDELNRDKPDTYRYVVSEPLGRKSYKEQYLFVYRPDQVSILDSYQYD DGCEPCGNDTFSREPAIVKFFSPYTEVQEFAIVPLHAAPTEAVSEIDALYDVYLDVWQKW GLEDIMFMGDFNAGCSYVTSSQWSSIRLRTSPIFQWLIPDSADTTVTSTHCAYDRIVVAG ALLQAAVVPNSAVPFDFQAEYGLSNQLAEAISDHYPVEVTLRKI >ENSMUSP00000135442.1 pep:known chromosome:GRCm38:16:4037155:4039875:1 gene:ENSMUSG00000005980.15 transcript:ENSMUST00000177337.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnase1 description:deoxyribonuclease I [Source:MGI Symbol;Acc:MGI:103157] MRYTGLMGTLLTLVNLLQLAGTLRIAAFNIRTFGETKMSNATLSVYFVKILSRYDIAVIQ EVRDSHLVAVGKLLDELNRDKPDTYRYVVSEPLGRKSYKEQYLFVYRPDQVSILDSYQYD DGCEPCGNDTFSREPAIVKFFSPYTEVQEFAIVPLHAAPTEAACLLVGHHVHGRLQCWLQ LRHFLPVVLHSPSDKPHLPVADP >ENSMUSP00000135060.1 pep:known chromosome:GRCm38:16:4039130:4040024:1 gene:ENSMUSG00000005980.15 transcript:ENSMUST00000175755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1 description:deoxyribonuclease I [Source:MGI Symbol;Acc:MGI:103157] DIMFMGDFNAGCSYVTSSQWSSIRLRTSPIFQWLIPDSADTTVTSTHCAYDRLKPSVTIT QWR >ENSMUSP00000023734.7 pep:known chromosome:GRCm38:15:98789857:98793837:1 gene:ENSMUSG00000022997.9 transcript:ENSMUST00000023734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt1 description:wingless-type MMTV integration site family, member 1 [Source:MGI Symbol;Acc:MGI:98953] MGLWALLPSWVSTTLLLALTALPAALAANSSGRWWGIVNIASSTNLLTDSKSLQLVLEPS LQLLSRKQRRLIRQNPGILHSVSGGLQSAVRECKWQFRNRRWNCPTAPGPHLFGKIVNRG CRETAFIFAITSAGVTHSVARSCSEGSIESCTCDYRRRGPGGPDWHWGGCSDNIDFGRLF GREFVDSGEKGRDLRFLMNLHNNEAGRTTVFSEMRQECKCHGMSGSCTVRTCWMRLPTLR AVGDVLRDRFDGASRVLYGNRGSNRASRAELLRLEPEDPAHKPPSPHDLVYFEKSPNFCT YSGRLGTAGTAGRACNSSSPALDGCELLCCGRGHRTRTQRVTERCNCTFHWCCHVSCRNC THTRVLHECL >ENSMUSP00000112801.1 pep:known chromosome:GRCm38:16:94370618:94469222:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000117648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MDDFAEGGLSLADDILLEDYPYEDDCICTPDFTTDDYVRVTQLYYEGVGMQYKDYAQSEK NLEYDICNIWCSKPLSILQDYCDAIKLYIFWPLLFQHQHSSIISRLHPCVEAIRSRAAEI SLKKLQHLELMEDIVDLAKKVANDSFLIEGLLKIGYKIENKILAMEDALNWIKYTGDVTI LPKLGSVDNCWPMLSIFFTEYKYHITRVVTENCNLLEEFRRHSCMQCVKQGELMKMRGNE EFSKEKFEIAVIYYTRAIEYRPENHLLYGNRALCFLRMGQFRNALSDGKRAIVLKNTWPK GHYRYCDALCMLGEYDWALQANIKAQKLCKNDPEGIKDLIQQHVKLQKQIEDLQGRTSNK NPIKAFYESRAYIPRNSSAPAFRTSLNFVETERGFRKTKYKMANGGDQNQKVADEALKGD DCDCHPEFLPPPSQPPRHKGKQKSRNNESEKPSSNSEVSLQVDLKSILEKQFSKSSRAAH QDFANIMKMLRSLIQDGYTALLEQRCRSAAQAFTELLNGLDPQKIKQLNLAMINYVLVVY GLAVSLLGIGRPEELSEAENQFKRIIEHYPNEGLDCLAYCGIGKVYLKKNRFLEALNHFE KAKTLISRLPGILTWPTSNVIIEESKPEKVKVMLEKFVEECKFPPVPDAVCCYQKCRGYS KIQIYLTDPDFKGFIRISCCQYCKVEFHMNCWKKLKTTTFNDKIDKDFLQGICLTPDCEG IISKIIIYSSGGQVKCEFEHKVIKEKVPSRPVLKQKCSSLEKLRLKEDKKLKRKIQKQEA KKLAQERMEEDLRESNPPKNEEPEETSDSAQRCQFLDDRILQCIKQNADKIKSVVLNTST LLKELLSWKVLSTEDYTTCFSSKNFVHEAVDYVIGHLIQEKNRVKTRIFLHVLSELKELD PKLAPWIQRLNSFGLDAIGPFFTRYGASLKELDFHVVTVLWDEKYGHKLGSIEGKQLDYF FEPASAMEARCLIWLLEEHRDKFPALHSALDEFFDIMDSRCTVLRKQDSDEMPFGCIKVK NKGKKKKPKDSKPMLVGSGAASVAPSSEAVTPEDHSRRNSDSAGPFAVPDHLRQDVEEFE ALYDQHSSEYVVRNKKLWDINPKQKCSTLYDYFSQLLEEHGPLDMSDRMFSEEYEFFPEE TRQILEKAGGLKSFLLGCPRFVVIDNCIALKKVASRLKKKRKKKNMKAKVEDISKTGEYL RVKLPLNPTAREFQPDVKSEALSEDVKSIPGPADSSTLAAEDLKAQLDSDSSSGSASEDS RLEVASPDSPTPLCEDASPSPTPAPEEAKPTYWAQSHLVTGFCTYLPFQGFGITQRPAYI NMVPSLSQFTSIYTPLANISSEYPMQRSMPVVPSFVASNRADENAAAYFESPNLNTEHDS GDHMASETQILEDTLGVCVRSQGSAADADPALSEPEGNSEHSGSSDSLWEASLENVSGTT DAPAAPSVAIQVSRSMVHQEVNTEPYEPFETQQGDLSQKEKECHLLREQLKVACENCEQI ELRSSQEIKDLEEKLQRHTEENKISKTELDWFLQDLDREIKKWQQEKKEIQERLKALKKK IKKVINTSEMPAQKNDGFDKECEPHPDQSLGFSSALTDEKTKVEESVRKGKELYEESHQR AVAAEVSVLENWKEREVCKLQGVASQSEAYLKSLKLMSSDSATYPDVEYDILSWESFLST VREEIESKKSQFEEHIKAIKNGSRLSDLSSVQLSEVSFPGCSTIHPQFLSESSGHEDPGL VACVDSMTGAVLNDPYMDSASGCPEEVPELSLGSPTHQPEVTQQLELKGASQVSPSEQSP EADEKLSGQATRSSQSPKKPSNSIIEHLSVIFPCYTSTELAGFIKKVRNKTKNSFSGLTI EEIVEKVTEHIVDEQKKKKPNPGKDKKTSEAHSAASVAKSSQSPPLAAAGPSARTKGQKK DDVPAPDGNSCEICHEIFKSKNMRVLKCGHKFHKGCFKQWLKGQSTCPTCGSSDLLSEE >ENSMUSP00000116097.1 pep:known chromosome:GRCm38:16:94370739:94442667:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000147352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MDDFAEGGLSLADDILLEDYPYEDDCICTPDFTTDDYVRVTQLYYEGVGMQYKDYAQSEK NLEYDICNIWCSKPLSILQDYCDAIKLYIFWPLLFQHQHSSIISRLHPCVEAIRSRAAEI SLKKLQHLELMEDIVDLAKKVAKILAMEDALNWIKYTGDVTILPKLGSVDNCWPMLSIFF TEYKYHITRVVTENCNLLEEFRRHSCMQCVKQGELMKMRGNEEFSKEKFEIAVIYYTRAI EYRPENHLLYGNRALCFLRMGQFRNALSDGKRAIVLKNTWPKGHYRYCDALCMLGEYDWA LQANIKAQKLCKNDPEGIKDLIQQHVKLQKQIEDLQGRTSNKNPIKAFYESRAYIPRNSS APAFRTSLNFVETERGFRKTKYKMANGGDQNQKVADEALKGDDCDCHPEFLPPPSQPPRH KGKQKSRNNESEKPSSNSEVSLQVDLKSILEKQFSKSSRAAHQDFANIMKMLRSLIQDGY TALLEQRCRSAAQAFTELLNGLDPQKIKQLNLAMINYVLVVYGLAVSLLGIGRPEELSEA ENQFKRIIEHYPNEGLDCLAYCGIGKVYLKKNRFLEALNHFEKAKTLISRLPGILTWPTS NVIIEESKPEKVKVMLEKFVEECKFPPVPDAVCCYQKCRGYSKIQIYLTDPDFKGFIRIS CCQYCKVEFHMNCWKKLKTTTFNDKIDKDFLQGICLTPDCEGIISKIIIYSSGGQVKCEF EHKVIKEKVPSRPVLKQKCSSLEKLRLKEDKKLKRKIQKQEAKKLAQERMEEDLRESNPP KNEEPEETSDSAQRCQFLDDRILQCIKQNADKIKSVVLNTSTLLKELLSWKVLSTEDYTT CFSSKNFVHEAVDYVIGHLIQEKNRVKTRIFLHVLSELKELDPKLAPWIQRLNSFGLDAI GPFFTRYGASLKELDFHVVTVLWDEKYGHKLGSIEGKQLDYFFEPASAMEARCLIWLLEE HRDKFPALHSALDEFFDIMDSRCTVLRKQDSDEMPFGCIKVKNKGKKKKPKDSKPMLVGS GAASVAPSSEAVTPEDHRRNSDSAGPFAVPDHLRQDVEEFEALYDQHSSDYFSQLLEEHG PLDMSDRMFSEEYEFFPEETRQILEKAGGLKSFLLGCPRFVVIDNCIALKKVASR >ENSMUSP00000122726.1 pep:known chromosome:GRCm38:16:94370743:94434345:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000150346.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MANGGDQNQKVADEALKGDDCDCHPEFLPPPSQPPRHKGKQKSRNNESEKPSSNSEVSLQ VDLKSILEKQFSKSSRAAHQDFANIMKMLRSLIQDGYTALLEQRCRSAAQAFTELLNGLD PQKIKQLNLAMINYVLVVYGLAVSLLGIGRPEELSEAENQFKRIIEHYPNEGLDCLAYCG IGKVYLKKNRFLEALNHFEKAKTLISRLPGILTWPTSNVIIEESKPEKVKVMLEKFVEEC KFPPVPDAVCCYQKCRGYSKIQIYLTDPDFKGFIRISCCQYCKVEFHMNCWKKLKTTTFN DKIDKDFLQGICLTPDCEGIISKIIIYSSGGQVKCEFEHKVIKEKVPSRPVLKQKCSSLE KLRLKEDKKLKRKIQKQEAKKLAQERMEEDLRESNPPKNEEPEETSDSAQRCQFLDDRIL QCIKQNADKIKSVVLNTSTLLKELLSWKVLSTEDYTTCFSSKNFVHEAVDYVIGHLIQEK NRVKTRIFLHVLSELKELDPKLAPWIQRLNSFGLDAIGPFFTRYGASLKELDFHVVTVLW DEKYGHKLGSIEGKQLDYFFEPASAMEARCLIWLLEEHRDKFPALHSALDEFFDIMDSRC TVLRKQDSDEMPFGCIKVKNKG >ENSMUSP00000122724.1 pep:known chromosome:GRCm38:16:94370746:94442672:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000155692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MPGHRGAPHLPPWVCGLCSMDDFAEGGLSLADDILLEDYPYEDDCICTPDFTTDDYVRVT QLYYEGVGMQYKDYAQSEKNLEYDICNIWCSKPLSILQDYCDAIKLYIFWPLLFQHQHSS IISRLHPCVEAIRSRAAEISLKKLQHLELMEDIVDLAKKVANDSFLIEGLLKIGYKIENK ILAMEDALNWIKYTGDVTILPKLGSVDNCWPMLSIFFTEYKYHITRVVTENCNLLEEFRR HSCMQCVKQGELMKMRGNEEFSKEKFEIAVIYYTRAIEYRPENHLLYGNRALCFLRMGQF RNALSDGKRAIVLKNTWPKGHYRYCDALCMLGEYDWALQANIKAQKLCKNDPEGIKDLIQ QHVKLQKQIEDLQGRTSNKNPIKAFYESRAYIPRNSSAPAFRTSLNFVETERGFRKTKYK MANGGDQNQKVADEALKGDDCDCHPEFLPPPSQPPRHKGKQKSRNNESEKPSSNSEVSLQ VDLKSILEKQFSKSSRAAHQDFANIMKMLRSLIQDGYTALLEQRCRSAAQAFTELLNGLD PQKIKQLNLAMINYVLVVYGLAVSLLGIGRPEELSEAENQFKRIIEHYPNEGLDCLAYCG IGKVYLKKNRFLEALNHFEKAKTLISRLPGILTWPTSNVIIEESKPEKVKVMLEKFVEEC KFPPVPDAVCCYQKCRGYSKIQIYLTDPDFKGFIRISCCQYCKVEFHMNCWKKLKTTTFN DKIDKDFLQGICLTPDCEGIISKIIIYSSGGQVKCEFEHKVIKEKVPSRPVLKQKCSSLE KLRLKEDKKLKRKIQKQEAKKLAQERMEEDLRESNPPKNEEPEETSDSAQRCQFLDDRIL QCIKQNADKIKSVVLNTSTLLKELLSWKVLSTEDYTTCFSSKNFVHEAVDYVIGHLIQEK NRVKTRIFLHVLSELKELDPKLAPWIQRLNSFGLDAIGPFFTRYGASLKELDFHVVTVLW DEKYGHKLGSIEGKQLDYFFEPASAMEARCLIWLLEEHRDKFPALHSALDEFFDIMDSRC TVLRKQDSDEMPFGCIKVKNKGKKKKPKDSKPMLVGSGAASVAPSSEAVTPEDHSRRNSD SAGPFAVPDHLRQDVEEFEALYDQHSSEYVVRNKKLWDINPKQKCSTLYDYFSQLLEEHG PLDMSDRMFSEEYEFFPEETRQILEKAGGLKSFLLGCPRFVVIDNCIALKKVASRLK >ENSMUSP00000118763.1 pep:known chromosome:GRCm38:16:94370749:94418693:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000153988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MLGEYDWALQANIKAQKLCKNDPEGIKDLIQQHVKLQKQIEDLQGRTSNKNPIKAFYESR AYIPRNSSAPAFRTSLNFVETERGFRKTKYKMANGGDQNQKVADEALKGDDCDCHPEFLP PPSQPPRHKGKQKSRNNESEKPSSNSEVSLQVDLKSILEKQFSKSSRAAHQDFANIMKML RSLIQDGYTALLEQRCRSAA >ENSMUSP00000121501.1 pep:known chromosome:GRCm38:16:94370750:94396382:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000145432.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MDDFAEGGLSLADDILLEDYPYEDDCICTPDFTTDDYVRVTQLYYEGVNMTSAISGAVSH SPSCKITVMPLSCTSSGHFFFNINTVL >ENSMUSP00000117881.1 pep:known chromosome:GRCm38:16:94370751:94418621:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000139513.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MKAGLTYLETHQHLLLGHHLTLWKQKEVSEKLSTKWQMVAIRIKRWQMRL >ENSMUSP00000117369.1 pep:known chromosome:GRCm38:16:94370751:94422296:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000141856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MKMLRSLIQDGYTALLEQRCRSAAQAFTELLNGLDPQKIKQLNLAMINYVLVVYGLAVSL LGIGRPEELSEAENQFKRIIEHYPNEGLDCLAYCGIGKVYLKKNRFLEALNHFEKAKTLI SRLPGILT >ENSMUSP00000122650.1 pep:known chromosome:GRCm38:16:94370757:94442679:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000143145.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MDDFAEGGLSLADDILLEDYPYEDDCICTPDFTTDDYVRVTQLYYEGVNMTSAISGAVSH SPSCKITVMPLSCTSSGHFFFNINTVL >ENSMUSP00000122439.1 pep:known chromosome:GRCm38:16:94370767:94387369:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000154243.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MPGHRGAPHLPPWVCGLCSMDDFAEGGLSLADDILLEDYPYEDDCICTPDFTTDDYVRVT QLYYEGVNMTSAISGAVSHSPSCKITVMPLSCTSSGHFFFNINTVL >ENSMUSP00000115389.1 pep:known chromosome:GRCm38:16:94370767:94390263:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000153062.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MDDFAEGGLSLADDILLEDYPYEDDCICTPDFTTDDYVRVTQLYYEGVNMTSAISGAVSH SPSCKITVMPLSCTSSGHFFFNINTVL >ENSMUSP00000116896.1 pep:known chromosome:GRCm38:16:94370767:94442669:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000152117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MANGGDQNQKVADEALKGDDCDCHPEFLPPPSQPPRHKGKQKSRNNESEKPSSNSEVSLQ VDLKSILEKQFSKSSRAAHQDFANIMKMLRSLIQDGYTALLEQRCRSAAQAFTELLNGLD PQKIKQLNLAMINYVLVVYGLAVSLLGIGRPEELSEAENQFKRIIEHYPNEGLDCLAYCG IGKVYLKKNRFLEALNHFEKAKTLISRLPGILTWPTSNVIIEESKPEKVKVMLEKFVEEC KFPPVPDAVCCYQKCRGYSKIQIYLTDPDFKGFIRISCCQYCKVEFHMNCWKKLKTTTFN DKIDKDFLQGICLTPDCEGIISKIIIYSSGGQVKCEFEHKVIKEKVPSRPVLKQKCSSLE KLRLKEDKKLKRKIQKQEAKKLAQERMEEDLRESNPPKNEEPEETSDSAQRCQFLDDRIL QCIKQNADKIKSVVLNTSTLLKELLSWKVLSTEDYTTCFSSKNFVHEAVDYVIGHLIQEK NRVKTRIFLHVLSELKELDPKLAPWIQRLNSFGLDAIGPFFTRYGASLKELDFHVVTVLW DEKYGHKLGSIEGKQLDYFFEPASAMEARCLIWLLEEHRDKFPALHSALDEFFDIMDSRC TVLRKQDSDEMPFGCIKVKNKGKKKKPKDSKPMLVGSGAASVAPSSEAVTPEDHSRRNSD SAGPFAVPDHLRQDVEEFEALYDQHSSEYVVRNKKLWDINPKQKCSTLYDYFSQLLEEHG PLDMSDRMFSEEYEFFPEETRQILEKAGGLKSFLLGCPRFVVIDNCIALKKVASRL >ENSMUSP00000119035.1 pep:known chromosome:GRCm38:16:94370780:94415958:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000150097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MLGEYDWALQANIKAQKLCKNDPEGIKDLIQQHVKLQKQIEDLQGRTSNKNPIKAFYESR AYIPRNSSAPAFRTSLNFVETERGFRKTKYKMANGGDQNQKVADEALKGDDCDCHPEFLP PPSQPP >ENSMUSP00000123037.1 pep:known chromosome:GRCm38:16:94370781:94442679:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000122895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MDDFAEGGLSLADDILLEDYPYEDDCICTPDFTTDDYVRVTQLYYEGVGMQYKDYAQSEK NLEYDICNIWCSKPLSILQDYCDAIKLYIFWPLLFQHQHSSIISRLHPCVEAIRSRAAEI SLKKLQHLELMEDIVDLAKKVAKILAMEDALNWIKYTGDVTILPKLGSVDNCWPMLSIFF TEYKYHITRVVTENCNLLEEFRRHSCMQCVKQGELMKMRGNEEFSKEKFEIAVIYYTRAI EYRPENHLLYGNRALCFLRMGQFRNALSDGKRAIVLKNTWPKGHYRYCDALCMLGEYDWA LQANIKAQKLCKNDPEGIKDLIQQHVKLQKQIEDLQGRTSNKNPIKAFYESRAYIPRNSS APAFRTSLNFVETERGFRKTKYKMANGGDQNQKVADEALKGDDCDCHPEFLPPPSQPPRH KGKQKSRNNESEKPSSNSEVSLQVDLKSILEKQFSKSSRAAHQDFANIMKMLRSLIQDGY TALLEQRCRSAAQAFTELLNGLDPQKIKQLNLAMINYVLVVYGLAVSLLGIGRPEELSEA ENQFKRIIEHYPNEGLDCLAYCGIGKVYLKKNRFLEALNHFEKAKTLISRLPGILTWPTS NVIIEESKPEKVKVMLEKFVEECKFPPVPDAVCCYQKCRGYSKIQIYLTDPDFKGFIRIS CCQYCKVEFHMNCWKKLKTTTFNDKIDKDFLQGICLTPDCEGIISKIIIYSSGGQVKCEF EHKVIKEKVPSRPVLKQKCSSLEKLRLKEDKKLKRKIQKQEAKKLAQERMEEDLRESNPP KNEEPEETSDSAQRCQFLDDRILQCIKQNADKIKSVVLNTSTLLKELLSWKVLSTEDYTT CFSSKNFVHEAVDYVIGHLIQEKNRVKTRIFLHVLSELKELDPKLAPWIQRLNSFGLDAI GPFFTRYGASLKELDFHVVTVLWDEKYGHKLGSIEGKQLDYFFEPASAMEARCLIWLLEE HRDKFPALHSALDEFFDIMDSRCTVLRKQDSDEMPFGCIKVKNKGKKKKPKDSKPMLVGS GAASVAPSSEAVTPEDHSRRNSDSAGPFAVPDHLRQDVEEFEALYDQHSSEYVVRNKKLW DINPKQKCSTLYDYFSQLLEEHGPLDMSDRMFSEEYEFFPEETRQILEKAGGLKSFLLGC PRFVVIDNCIALKKVASRLKKK >ENSMUSP00000121349.1 pep:known chromosome:GRCm38:16:94370781:94442679:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000151770.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MDDFAEGGLSLADDILLEDYPYEDDCICTPDFTTDDYVRVTQLYYEGVGMQYKDYAQSEK NLEYDICNIWCSKPLSILQDYCDAIKLYIFWPLLFQHQHSSIISRLHPCVEAIRSRAAEI SLKKLQHLELMEDIVDLAKKVANDSFLIEGLLKIGYKIENKILAMEDALNWIKYTGDVTI LPKLGSVDNCWPMLSIFFTEYKYHITRVVTENCNLLEEFRRHSCMQCVKQGELMKMRGNE EFSKEKFEIAVIYYTRAIEYRPENHLLYGNRALCFLRMGQFRNALSDGKRAIVLKNTWPK GHYRYCDALCMLGEYDWALQANIKAQKLCKNDPEGIKDLIQQHVKLQKQIEDLQGRTSNK NPIKAFYESRAYIPRNSSAPAFRTSLNFVETERGFRKTKYKMANGGDQNQKVADEALKGD DCDCHPEFLPPPSQPPRHKGKQKSRNNESEKPSSNSEVSLQVDLKSILEKQFSKSSRAAH QDFANIMKMLRSLIQDGYTALLEQRCRSAAQAFTELLNGLDPQKIKQLNLAMINYVLVVY GLAVSLLGIGRPEELSEAENQFKRIIEHYPNEGLDCLAYCGIGKVYLKKNRFLEALNHFE KAKTLISRLPGILTWPTSNVIIEESKPEKVKVMLEKFVEECKFPPVPDAVCCYQKCRGYS KIQIYLTDPDFKGFIRISCCQYCKVEFHMNCWKKLKTTTFNDKIDKDFLQGICLTPDCEG IISKIIIYSSGGQVKCEFEHKVIKEKVPSRPVLKQKCSSLEKLRLKEDKKLKRKIQKQEA KKLAQERMEEDLRESNPPKNEEPEETSDSAQRCQFLDDRILQCIKQNADKIKSVVLNTST LLKELLSWKVLSTEDYTTCFSSKNFVHEAVDYVIGHLIQEKNRVKTRIFLHVLSELKELD PKLAPWIQRLNSFGLDAIGPFFTRYGASLKELDFHVVTVLWDEKYGHKLGSIEGKQLDYF FEPASAMEARCLIWLLEEHRDKFPALHSALDEFFDIMDSRCTVLRKQDSDEMPFGCIKVK NKGKKKKPKDSKPMLVGSGAASVAPSSEAVTPEDHRRNSDSAGPFAVPDHLRQDVEEFEA LYDQHSSEYVVRNKKLWDINPKQKCSTLYDYFSQLLEEHGPLDMSDRMFSEEYEFFPEET RQILEKAGGLKSFLLGCPRFVVIDNCIALKKVASRLKKK >ENSMUSP00000119265.1 pep:known chromosome:GRCm38:16:94370796:94427865:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000147046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MANGGDQNQKVADEALKGDDCDCHPEFLPPPSQPPRHKGKQKSRNNESEKPSSNSEVSLQ VDLKSILEKQFSKSSRAAHQDFANIMKMLRSLIQDGYTALLEQRCRSAAQAFTELLNGLD PQKIKQLNLAMINYVLVVYGLAVSLLGIGRPEELSEAENQFKRIIEHYPNEGLDCLAYCG IGKVYLKKNRFLEALNHFEKAKTLISRLPGILTWPTSNVIIEESKPEKVKVMLEKFVEEC KFPPVPDAVCCYQKCRGYSKIQIYLTDPDFKGFIRISCCQYCKVEFHMNCWKKLKTTTFN DKIDKDFLQGICLTPDCEGIISKIIIYSSGGQVKCEFEHKVIKEKVPSRPVLKQKCSSLE KLRLKEDKKLKRKIQKQEAKKLA >ENSMUSP00000122006.1 pep:known chromosome:GRCm38:16:94370797:94403343:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000149885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MKMRGNEEFSKEKFEIAVIYYTRAIEYRPENHLLYGNRALCFLRMGQFRNALSDGKRA >ENSMUSP00000122425.1 pep:known chromosome:GRCm38:16:94370797:94410840:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000127667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] XVDVEGRRRRQPASEARGLRARQGELMKMRGNEEFSKEKFEIAVIYYTRAIEYRPENHLL YGNRALCFLRMGQFRNALSDGKRAIVLKNTWPKVGHQIRIQLKLFMKAGLT >ENSMUSP00000113311.1 pep:known chromosome:GRCm38:16:94370798:94396626:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000119131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MDDFAEGGLSLADDILLEDYPYEDDCICTPDFTTDDYVRVTQLYYEGVGMQYKDYAQSEK NLEYDICNIWCSKPLSILQDYCDAIKLYIFWPLLFQHQHSSIISRLHPCVEAIRSRAAEI SLKKLQHLELMEDIVDLAKKVANDSFLIEGLLKIGYKIENKILAMEDALNWIKYTGDVTI LPKLGSVDNCWPMLSIFFTEYKYHITRVVTENCNLLEEFRRHSCMQCVKQGELMKMRGNE EFSKEKFEIAVIYYTRAIEYRPENHLLYGNRALCFLRMGQFRIHSHGRKFGKYKSLKRRK >ENSMUSP00000123442.1 pep:known chromosome:GRCm38:16:94370823:94420280:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000145883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] MKMLRSLIQDGYTALLEQRCRSAAQAFTELLNGLDPQKIKQLNLAMINYVLVVYGLAVSL LGIGRPEELSEAENQFKR >ENSMUSP00000114483.1 pep:known chromosome:GRCm38:16:94425148:94433154:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000141176.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] XKLKTTTFNDKIDKDFLQGICLTPDCEGIISKIIIYSSGGQVKCEFEHKVIKEKVPSRPV LKQKCSSLEKLRLKEDKKLKRKIQKQEAKKLAQERMEEDLRESNPPKNEEPEGLDAIGPF FTRYGASLKELDFHVVTVLWDEKYGHKLGSIEGKQLDYFFEPASAMEARCLIWLLEEHRD KFPALHSALDEFFDIMDSRCTVLRKQDSD >ENSMUSP00000117530.1 pep:known chromosome:GRCm38:16:94451126:94460077:1 gene:ENSMUSG00000040785.17 transcript:ENSMUST00000141192.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc3 description:tetratricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1276539] XKIKKVINTSEMPAQKNDGFDKECEPHPDQSLGFSSALTDEKTKVEESVRKGKELYEESH QRAVAAEVSVLENWKEREVCKLQG >ENSMUSP00000116794.1 pep:known chromosome:GRCm38:4:155086577:155112181:1 gene:ENSMUSG00000029049.14 transcript:ENSMUST00000132367.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Morn1 description:MORN repeat containing 1 [Source:MGI Symbol;Acc:MGI:1924116] MEAAGDPYQASLQPRRHSPRLPPRNGYGVYVYPNSFFRYEGEWKGGKKHGHGKLLFKDGS YYEGEFVDGEITGEGCQHWAWSGNTYSGQFVLGEPQGHGVMKYKAGGRYEGELSQGLREG QGFLEDQDGQVYQGSFHDNKRHGRGQMIFKNGDKYEGDWVRDQRQGHGVLCCADGSTYKG QWHSDVFSGLGSLVHCSGVTYCGMFINGHPAEQAKKIVILGPEVLEVVQGSPFTLSVQLQ QDDGEVAKMRVAGS >ENSMUSP00000030915.4 pep:known chromosome:GRCm38:4:155086577:155145505:1 gene:ENSMUSG00000029049.14 transcript:ENSMUST00000030915.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morn1 description:MORN repeat containing 1 [Source:MGI Symbol;Acc:MGI:1924116] MEAAGDPYQASLQPRRHSPRLPPRNGYGVYVYPNSFFRYEGEWKGGKKHGHGKLLFKDGS YYEGEFVDGEITGEGCQHWAWSGNTYSGQFVLGEPQGHGVMKYKAGGRYEGELSQGLREG QGFLEDQDGQVYQGSFHDNKRHGRGQMIFKNGDKYEGDWVRDQRQGHGVLCCADGSTYKG QWHSDVFSGLGSLVHCSGVTYCGMFINGHPAEQAKKIVILGPEVLEVVQGSPFTLSVQLQ QDDGEVAKSESGRVLKISAGVRYVQLPEYSEVSFFKMDEDYMETPIQTPFGFQCISYPLS VPMSWGPEPGSTVESARADLLLSKRDSEPVLDSGAFHGQGDTLSILPARRHKPCCQQVDH GCAEFVDILLGAPPPGMQPYLFLPGTLEKTLGRPKGGPSSPEVLPTAQEPLKGTNRPDGT TVEPPTAAYLGEYVLMVCDVTSPPFLGHRLPTTFKHLRILAKGDTHWPHVPEDHQKL >ENSMUSP00000123207.1 pep:known chromosome:GRCm38:4:155086589:155093095:1 gene:ENSMUSG00000029049.14 transcript:ENSMUST00000155775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morn1 description:MORN repeat containing 1 [Source:MGI Symbol;Acc:MGI:1924116] MEAAGDPYQASLQPRRHSPRLPPRNGYGVYVYPNSFFRYEGEWKGGKKHGHGKLLFKDGS YYEGEFVDGEITGEGCQHWAWSGQGFLEDQDGQVYQGSFHDNKRHGRGQMIFKNGDKYEG DWVR >ENSMUSP00000116690.1 pep:known chromosome:GRCm38:4:155086603:155093376:1 gene:ENSMUSG00000029049.14 transcript:ENSMUST00000127457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morn1 description:MORN repeat containing 1 [Source:MGI Symbol;Acc:MGI:1924116] MEAAGDPYQASLQPRRHSPRLPPRNGYGVYVYPNSFFRYEGEWKGGKKHGHGKLLFKDGS YYEGEFVDGEITGEGCQHWAWSGNTYSGQFVLGEPQGHGVMKYKAGGRYEGELSQGLREG QGFLEDQDGQVYQGSFHDNKRHGRGQMIFKNGDKYEGDWVRDQRQGHGVLCCADGSTYKS APEADFPYSVLKSDEPCATRETEVCPNACACPNG >ENSMUSP00000142138.1 pep:known chromosome:GRCm38:3:82092427:82145073:-1 gene:ENSMUSG00000033910.13 transcript:ENSMUST00000193924.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy1a3 description:guanylate cyclase 1, soluble, alpha 3 [Source:MGI Symbol;Acc:MGI:1926562] MFCRKFKDLKITGECPFSLLAPGQVPKEPTEEVAGGSEGCQATLPICQYFPEKNAEGSLP QRKTSRNRVYLHTLAESICKLIFPECERLNLALQRTLAKHKIEENRKSSEKEDLEKIIAE EAIAAGAPVEALKDSLGEELFKICYEEDEHILGVVGGTLKDFLNSFSTLLKQSSHCQEAE RRGRLEDASILCLDKDQDFLNVYYFFPKRTTALLLPGIIKAAARILYESHVEVSLMPPCF RSDCTEFVNQPYLLYSVHVKSTKPSLSPGKPQSSLVIPASLFCKTFPFHFMLDRDLAILQ LGNGIRRLVNKRDFQGKPNFEEFFEILTPKINQTFSGIMTMLNMQFVIRVRRWDNSVKKS SRVMDLKGQMIYIVESSAILFLGSPCVDRLEDFTGRGLYLSDIPIHNALRDVVLIGEQAR AQDGLKKRLGKLKATLEHAHQALEEEKKRTVDLLCSIFPSEVAQQLWQGQIVQAKKFSEV TMLFSDIVGFTAICSQCSPLQVITMLNALYTRFDQQCGELDVYKVETIGDAYCVAGGLHR ESDTHAVQIALMALKMMELSNEVMSPHGEPIKMRIGLHSGSVFAGVVGVKMPRYCLFGNN VTLANKFESCSVPRKINVSPTTYRLLKDCPGFVFTPRSREELPPNFPSDIPGICHFLDAY HHQGPNSKPWFQDKDVEDGNANFLGKASGID >ENSMUSP00000048918.6 pep:known chromosome:GRCm38:3:82092437:82145787:-1 gene:ENSMUSG00000033910.13 transcript:ENSMUST00000048976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy1a3 description:guanylate cyclase 1, soluble, alpha 3 [Source:MGI Symbol;Acc:MGI:1926562] MFCRKFKDLKITGECPFSLLAPGQVPKEPTEEVAGGSEGCQATLPICQYFPEKNAEGSLP QRKTSRNRVYLHTLAESICKLIFPECERLNLALQRTLAKHKIEENRKSSEKEDLEKIIAE EAIAAGAPVEALKDSLGEELFKICYEEDEHILGVVGGTLKDFLNSFSTLLKQSSHCQEAE RRGRLEDASILCLDKDQDFLNVYYFFPKRTTALLLPGIIKAAARILYESHVEVSLMPPCF RSDCTEFVNQPYLLYSVHVKSTKPSLSPGKPQSSLVIPASLFCKTFPFHFMLDRDLAILQ LGNGIRRLVNKRDFQGKPNFEEFFEILTPKINQTFSGIMTMLNMQFVIRVRRWDNSVKKS SRVMDLKGQMIYIVESSAILFLGSPCVDRLEDFTGRGLYLSDIPIHNALRDVVLIGEQAR AQDGLKKRLGKLKATLEHAHQALEEEKKRTVDLLCSIFPSEVAQQLWQGQIVQAKKFSEV TMLFSDIVGFTAICSQCSPLQVITMLNALYTRFDQQCGELDVYKVETIGDAYCVAGGLHR ESDTHAVQIALMALKMMELSNEVMSPHGEPIKMRIGLHSGSVFAGVVGVKMPRYCLFGNN VTLANKFESCSVPRKINVSPTTYRLLKDCPGFVFTPRSREELPPNFPSDIPGICHFLDAY HHQGPNSKPWFQDKDVEDGNANFLGKASGID >ENSMUSP00000141478.1 pep:known chromosome:GRCm38:3:82094552:82102111:-1 gene:ENSMUSG00000033910.13 transcript:ENSMUST00000192289.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy1a3 description:guanylate cyclase 1, soluble, alpha 3 [Source:MGI Symbol;Acc:MGI:1926562] XAYCVAGGLHRESDTHAVQIALMALKMMELSNEVMSPHGEPIKVTQRLSWLCVHPEIKGG ASTKLP >ENSMUSP00000099721.1 pep:known chromosome:GRCm38:2:77180340:77265768:-1 gene:ENSMUSG00000042272.17 transcript:ENSMUST00000102660.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sestd1 description:SEC14 and spectrin domains 1 [Source:MGI Symbol;Acc:MGI:1916262] MEASVILPILKKKLAFLSGGKDRRSGLILTIPLCLEQTSMDELSVTLDYLLSIPSEKCKA RGFTVIVDGRKSQWNVVKTVVLMLQNVVPAEVSLVCVVKPDEFWDKKVTHFCFWKEKDRL GFEVILVSANKLTRYIEPCQLTEDFGGSLTYDHMDWLNKRLVFEKFTKESTSLLDELALI NNGSDKGNEQEKERSVDLNFLPSVDPETVLQTGHELLSELQQRRFNGSDGGVSWSPMDDE LLAQPQVMKLLDSLREQYTRYQEVCRQRSKRTQLEEIQQKVMQVVNWLEGPGSEQLRAQW GIGDSIRASQALQQKHEEIESQHSEWFAVYVELNQQIAALLNAGDEEDLVELKSLQQQLS DVCYRQASQLEFRQNLLQAALEFHGVAQDLSQQLDGLLGMLCVDVAPADGASIQQTLKLL EEKLKSVDVGLQGLREKGQGLLDQISNQASWAYGKDVTIENKENVDHIQGVMEDMQLRKQ RCEDMVDVRRLKMLQMVQLFKCEEDASQAVEWLSELLDALLKTHIRLGDDAQETKVLLEK HRKFVDVAQSTYDYGRQLLQATVVLCQSLRCTSRSSGDTLPRLNRVWKQFTVASEERVHR LEMAIAFHSNAEKILQDCPEEPEAMNDEEQFEEIEAIGKSLLDRLTIPVVYPDGTEQYFG SPSDMASTAEHIRDRMKLVSLKRQQLRHPELVTTES >ENSMUSP00000099720.1 pep:known chromosome:GRCm38:2:77186555:77280592:-1 gene:ENSMUSG00000042272.17 transcript:ENSMUST00000102659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sestd1 description:SEC14 and spectrin domains 1 [Source:MGI Symbol;Acc:MGI:1916262] MEASVILPILKKKLAFLSGGKDRRSGLILTIPLCLEQTSMDELSVTLDYLLSIPSEKCKA RGFTVIVDGRKSQWNVVKTVVLMLQNVVPAEVSLVCVVKPDEFWDKKVTHFCFWKEKDRL GFEVILVSANKLTRYIEPCQLTEDFGGSLTYDHMDWLNKRLVFEKFTKESTSLLDELALI NNGSDKGNEQEKERSVDLNFLPSVDPETVLQTGHELLSELQQRRFNGSDGGVSWSPMDDE LLAQPQVMKLLDSLREQYTRYQEVCRQRSKRTQLEEIQQKVMQVVNWLEGPGSEQLRAQW GIGDSIRASQALQQKHEEIESQHSEWFAVYVELNQQIAALLNAGDEEDLVELKSLQQQLS DVCYRQASQLEFRQNLLQAALEFHGVAQDLSQQLDGLLGMLCVDVAPADGASIQQTLKLL EEKLKSVDVGLQGLREKGQGLLDQISNQASWAYGKDVTIENKENVDHIQGVMEDMQLRKQ RCEDMVDVRRLKMLQMVQLFKCEEDASQAVEWLSELLDALLKTHIRLGDDAQETKVLLEK HRKFVDVAQSTYDYGRQLLQATVVLCQSLRCTSRSSGDTLPRLNRVWKQFTVASEERVHR LEMAIAFHSNAEKILQDCPEEPEAMNDEEQFEEIEAIGKSLLDRLTIPVVYPDGTEQYFG SPSDMASTAEHIRDRMKLVSLKRQQLRHPELVTTES >ENSMUSP00000076416.6 pep:known chromosome:GRCm38:11:46607772:46629261:-1 gene:ENSMUSG00000064010.12 transcript:ENSMUST00000077173.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dppa1 description:developmental pluripotency associated 1 [Source:MGI Symbol;Acc:MGI:2157522] MMSLQVLISGLLLLLPAVWSTTVTFSHDPWNNHTEVIPTQPSLKISTKDLYIGISVSAAL LILLASALTIIKCRHRKKKTLESSVVFHGFQNEVFQSAEQPEAVDTVDIIKVPVAI >ENSMUSP00000104850.1 pep:known chromosome:GRCm38:11:46608710:46629261:-1 gene:ENSMUSG00000064010.12 transcript:ENSMUST00000109227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dppa1 description:developmental pluripotency associated 1 [Source:MGI Symbol;Acc:MGI:2157522] MMSLQVLISGLLLLLPAVWSTTVTFSHDPWNNHTEVIPTQPSLKISTKDLYIGISVSAAL LILLASALTIIKCRHRKKKTLESSVVFHGFQNEVFQSAEQPEAVDTVDIIKVPVAI >ENSMUSP00000108876.2 pep:known chromosome:GRCm38:9:80313995:80465481:-1 gene:ENSMUSG00000032343.15 transcript:ENSMUST00000113250.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impg1 description:interphotoreceptor matrix proteoglycan 1 [Source:MGI Symbol;Acc:MGI:1926876] MNLQIKHAIFVLGIFLQVQGIKDTSIKIFSSEIKNIDKTPRIETIESTSTVHKVSTMKRI FDLPKLRTKRSALFPAANICPQESLRQILASLQEYYRLRVCQEVVWEAYRIFLDRIPDTE EYQDWVSLCQKETFCLFDIGKNFSNSQEHLDLLQQRIKQRSFPGRKDETASMETLEAPTE APVVPTDVSRMSLGPFPLPSDDTDLKEILSVTLKDIQKPTTESKTEPIHVSEFSSEEKVE FSISLPNHRFKAELTNSGSPYYQELVGQSQLQLQKIFKKLPGFGEIRVLGFRPKKEEDGS SSTEIQLMAIFKRDHAEAKSPDSHLLSLDSNKIESERIHHGVIEDKQPETYLTATDLKKL IIQLLDGDLSLVEGKIPFGDEVTGTLFRPVTEPDLPKPLADVTEDATLSPELPFVEPRLE AVDREGSELPGMSSKDSSWSPPVSASISRSENLPSFTPSIFSLDAQSPPPLMTTGPTALI PKPTLPTIDYSTIRQLPLESSHWPASSSDRELITSSHDTIRDLDGMDVSDTPALSEISEL SGYDSASGQFLEMTTPIPTVRFITTSSETIATKGQELVVFFSLRVANMPFSYDLFNKSSL EYQALEQRFTDLLVPYLRSNLTGFKQLEILSFRNGSVIVNSKVRFAKAVPYNLTQAVRGV LEDLRSTAAQGLNLEIESYSLDIEPADQADPCKLLDCGKFAQCVKNEWTEEAECRCRQGH ESHGTLDYQTLNLCPPGKTCVAGREQATPCRPPDHSTNQAQEPGVKKLRQQNKVVKKRNS KLSAIGFEEFEDQDWEGN >ENSMUSP00000139151.1 pep:known chromosome:GRCm38:9:80383535:80465438:-1 gene:ENSMUSG00000032343.15 transcript:ENSMUST00000185068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impg1 description:interphotoreceptor matrix proteoglycan 1 [Source:MGI Symbol;Acc:MGI:1926876] MNLQIKHAIFVLGIFLQVQGIKVCQEVVWEAYRIFLDRIPDTEEYQDWVSLCQKETFCLF DIGKNFSNSQEHLDLLQQRIKQRSFPGRKDETASMETLEAPTEAPVVPTDVSRMSLGPFP LPSDDTDLKEILSVTLKDIQKPTTESKTEPIHVSEFSSEEKVEFSISLPNHRFKAELTNS GSPYYQELVGQSQLQLQKIFKKLPGFGEIRVLGFRP >ENSMUSP00000082395.5 pep:known chromosome:GRCm38:9:80313330:80465438:-1 gene:ENSMUSG00000032343.15 transcript:ENSMUST00000085289.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impg1 description:interphotoreceptor matrix proteoglycan 1 [Source:MGI Symbol;Acc:MGI:1926876] MNLQIKHAIFVLGIFLQVQGIKVCQEVVWEAYRIFLDRIPDTEEYQDWVSLCQKETFCLF DIGKNFSNSQEHLDLLQQRIKQRSFPGRKDETASMETLEAPTEAPVVPTDVSRMSLGPFP LPSDDTDLKEILSVTLKDIQKPTTESKTEPIHVSEFSSEEKVEFSISLPNHRFKAELTNS GSPYYQELVGQSQLQLQKIFKKLPGFGEIRVLGFRPKKEEDGSSSTEIQLMAIFKRDHAE AKSPDSHLLSLDSNKIESERIHHGVIEDKQPETYLTATDLKKLIIQLLDGDLSLVEGKIP FGDEVTGTLFRPVTEPDLPKPLADVTEDATLSPELPFVEPRLEAVDREGSELPGMSSKDS SWSPPVSASISRSENLPSFTPSIFSLDAQSPPPLMTTGPTALIPKPTLPTIDYSTIRQLP LESSHWPASSSDRELITSSHDTIRDLDGMDVSDTPALSEISELSGYDSASGQFLEMTTPI PTVRFITTSSETIATKGQELVVFFSLRVANMPFSYDLFNKSSLEYQALEQRFTDLLVPYL RSNLTGFKQLEILSFRNGSVIVNSKVRFAKAVPYNLTQAVRGVLEDLRSTAAQGLNLEIE SYSLDIEPADQADPCKLLDCGKFAQCVKNEWTEEAECRCRQGHESHGTLDYQTLNLCPPG KTCVAGREQATPCRPPDHSTNQAQEPGVKKLRQQNKVVKKRNSKLSAIGFEEFEDQDWEG N >ENSMUSP00000021918.8 pep:known chromosome:GRCm38:13:53109317:53286124:-1 gene:ENSMUSG00000021464.14 transcript:ENSMUST00000021918.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ror2 description:receptor tyrosine kinase-like orphan receptor 2 [Source:MGI Symbol;Acc:MGI:1347521] MARGWVRPSRVPLCARAVWTAAALLLWTPWTAGEVEDSEAIDTLGQPDGPDSPLPTLKGY FLNFLEPVNNITIVQGQTAILHCKVAGNPPPNVRWLKNDAPVVQEPRRVIIRKTEYGSRL RIQDLDTTDTGYYQCVATNGLKTITATGVLYVRLGPTHSPNHNFQDDDQEDGFCQPYRGI ACARFIGNRTIYVDSLQMQGEIENRITAAFTMIGTSTQLSDQCSQFAIPSFCHFVFPLCD ARSRAPKPRELCRDECEVLENDLCRQEYTIARSNPLILMRLQLPKCEALPMPESPDAANC MRIGIPAERLGRYHQCYNGSGADYRGMASTTKSGHQCQPWALQHPHSHRLSSTEFPELGG GHAYCRNPGGQVEGPWCFTQNKNVRVELCDVPPCSPRDGSKMGILYILVPSIAIPLVIAC LFFLVCMCRNKQKASASTPQRRQLMASPSQDMEMPLISQHKQAKLKEISLSTVRFMEELG EDRFGKVYKGHLFGPAPGEPTQAVAIKTLKDKAEGPLREEFRQEAMLRARLQHPNIVCLL GVVTKDQPLSMIFSYCSHGDLHEFLVMRSPHSDVGSTDDDRTVKSALEPPDFVHVVAQIA AGMEFLSSHHVVHKDLATRNVLVYDKLNVRISDLGLFREVYSADYYKLMGNSLLPIRWMS PEAVMYGKFSIDSDIWSYGVVLWEVFSYGLQPYCGYSNQDVVEMIRSRQVLPCPDDCPAW VYALMIECWNEFPSRRPRFKDIHSRLRSWGNLSNYNSSAQTSGASNTTQTSSLSTSPVSN VSNARYMAPKQKAQPFPQPQFIPMKGQIRPLVPPAQLYIPVNGYQPVPAYGAYLPNFYPV QIPMQMAPQQVPPQMVPKPSSHHSGSGSTSTGYVTTAPSNTSVADRAALLSEGTEDAQNI AEDVAQSPVQEAEEEEEGSVPETELLGDNDTLQVTEAAHVQLEA >ENSMUSP00000123362.1 pep:known chromosome:GRCm38:13:53109312:53285549:-1 gene:ENSMUSG00000021464.14 transcript:ENSMUST00000130235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ror2 description:receptor tyrosine kinase-like orphan receptor 2 [Source:MGI Symbol;Acc:MGI:1347521] MCTGRRALCDPRPGLHRFFPGEVEDSEAIDTLGQPDGPDSPLPTLKGYFLNFLEPVNNIT IVQGQTAILHCKVAGNPPPNVRWLKNDAPVVQEPRRVIIRKTEYGSRLRIQDLDTTDTGY YQCVATNGLKTITATGVLYVRLGPTHSPNHNFQDDDQEDGFCQPYRGIACARFIGNRTIY VDSLQMQGEIENRITAAFTMIGTSTQLSDQCSQFAIPSFCHFVFPLCDARSRAPKPRELC RDECEVLENDLCRQEYTIARSNPLILMRLQLPKCEALPMPESPDAANCMRIGIPAERLGR YHQCYNGSGADYRGMASTTKSGHQCQPWALQHPHSHRLSSTEFPELGGGHAYCRNPGGQV EGPWCFTQNKNVRVELCDVPPCSPRDGSKMGILYILVPSIAIPLVIACLFFLVCMCRNKQ KASASTPQRRQLMASPSQDMEMPLISQHKQAKLKEISLSTVRFMEELGEDRFGKVYKGHL FGPAPGEPTQAVAIKTLKDKAEGPLREEFRQEAMLRARLQHPNIVCLLGVVTKDQPLSMI FSYCSHGDLHEFLVMRSPHSDVGSTDDDRTVKSALEPPDFVHVVAQIAAGMEFLSSHHVV HKDLATRNVLVYDKLNVRISDLGLFREVYSADYYKLMGNSLLPIRWMSPEAVMYGKFSID SDIWSYGVVLWEVFSYGLQPYCGYSNQDVVEMIRSRQVLPCPDDCPAWVYALMIECWNEF PSRRPRFKDIHSRLRSWGNLSNYNSSAQTSGASNTTQTSSLSTSPVSNVSNARYMAPKQK AQPFPQPQFIPMKGQIRPLVPPAQLYIPVNGYQPVPAYGAYLPNFYPVQIPMQMAPQQVP PQMVPKPSSHHSGSGSTSTGYVTTAPSNTSVADRAALLSEGTEDAQNIAEDVAQSPVQEA EEEEEGSVPETELLGDNDTLQVTEAAHVQLEA >ENSMUSP00000035423.3 pep:known chromosome:GRCm38:11:96303512:96306120:1 gene:ENSMUSG00000038700.4 transcript:ENSMUST00000049272.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb5 description:homeobox B5 [Source:MGI Symbol;Acc:MGI:96186] MSSYFVNSFSGRYPNGPDYQLLNYGSGSSLSGSYRDPAAMHTGSYGYNYNGMDLSVNRSS ASSSHFGAVGESSRAFPASAQEPRFRQATSSCSLSSPESLPCTNGDSHGAKPSASSPSDQ ATPASSSANFTEIDEASASSEPEEAASQLSSPSLARAQPEPMATSTAAPEGQTPQIFPWM RKLHISHDMTGPDGKRARTAYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLSERQIKI WFQNRRMKWKKDNKLKSMSLATAGSAFQP >ENSMUSP00000084043.6 pep:known chromosome:GRCm38:5:104435118:104441048:1 gene:ENSMUSG00000029304.14 transcript:ENSMUST00000086833.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spp1 description:secreted phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:98389] MRLAVICFCLFGIASSLPVKVTDSGSSEEKKLYSLHPDPIATWLVPDPSQKQNLLAPQNA VSSEEKDDFKQETLPSNSNESHDHMDDDDDDDDDDGDHAESEDSVDSDESDESHHSDESD ETVTASTQADTFTPIVPTVDVPNGRGDSLAYGLRSKSRSFQVSDEQYPDATDEDLTSHMK SGESKESLDVIPVAQLLSMPSDQDNNGKGSHESSQLDEPSLETHRLEHSKESQESADQSD VIDSQASSKASLEHQSHKFHSHKDKLVLDPKSKEDDRYLKFRISHELESSSSEVN >ENSMUSP00000031243.8 pep:known chromosome:GRCm38:5:104435118:104441050:1 gene:ENSMUSG00000029304.14 transcript:ENSMUST00000031243.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spp1 description:secreted phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:98389] MRLAVICFCLFGIASSLPVKVTDSGSSEEKLYSLHPDPIATWLVPDPSQKQNLLAPQNAV SSEEKDDFKQETLPSNSNESHDHMDDDDDDDDDDGDHAESEDSVDSDESDESHHSDESDE TVTASTQADTFTPIVPTVDVPNGRGDSLAYGLRSKSRSFQVSDEQYPDATDEDLTSHMKS GESKESLDVIPVAQLLSMPSDQDNNGKGSHESSQLDEPSLETHRLEHSKESQESADQSDV IDSQASSKASLEHQSHKFHSHKDKLVLDPKSKEDDRYLKFRISHELESSSSEVN >ENSMUSP00000108368.1 pep:known chromosome:GRCm38:5:104435121:104441047:1 gene:ENSMUSG00000029304.14 transcript:ENSMUST00000112748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spp1 description:secreted phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:98389] MRLAVICFCLFGIASSLPVKVTDSGSSEEKLYSLHPDPIATWLVPDPSQKQNLLAPQNAV SSEEKDDFKQETLPSNSNESHDHMDDDDDDDDDDGDHAESEDSVDSDESDESHHSDESDE TVTASTQADTFTPIVPTVDVPNGRGDSLAYGLRSKSRSFQVSDEQYPDATDEDLTSHMKS GESKESLDVIPVAQLLSMPSDQDNNGKGSHESSQLDEPSLETHRLEHSKESQESADQSDV IDSQASSKASLEHQSHKFHSHKDKLVLDPKSKEDDRYLKFRISHELESSSSEVN >ENSMUSP00000108366.1 pep:known chromosome:GRCm38:5:104435139:104439542:1 gene:ENSMUSG00000029304.14 transcript:ENSMUST00000112746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spp1 description:secreted phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:98389] MRLAVICFCLFGIASSLPVKVTDSGSSEEKKLYSLHPDPIATWLVPDPSQKQNLLAPQNA VSSEEKDDFKQETLPSNSNESHDHMDDDDDDDDDDGDHAESEDSVDSDESDESHHSDESD ETVTASTQADTFTPIVPTVDVPNGRGDSLAYGLRSKSRSFQVSD >ENSMUSP00000117338.1 pep:known chromosome:GRCm38:5:104435142:104439504:1 gene:ENSMUSG00000029304.14 transcript:ENSMUST00000145084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spp1 description:secreted phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:98389] MRLAVICFCLFGIASSLPVKVTDSGSSEEKKLYSLHPDPIATWLVPDPSQKQNLLAPQNA VSSEEKDDFKQETLPSNSNESHDHMDDDDDDDDDDGDHAESEDSVDSDESDESHHSDESD ETVTASTQADTFTPIVPTVDVPNGRGDSLAYG >ENSMUSP00000123163.1 pep:known chromosome:GRCm38:5:104435158:104437710:1 gene:ENSMUSG00000029304.14 transcript:ENSMUST00000132457.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spp1 description:secreted phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:98389] MRLAVICFCLFGIASSLPVKVTDSGSSEEKLYSLHPDPIATWLVPDPS >ENSMUSP00000108367.1 pep:known chromosome:GRCm38:5:104436192:104441043:1 gene:ENSMUSG00000029304.14 transcript:ENSMUST00000112747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spp1 description:secreted phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:98389] MRLAVICFCLFGIASSLPVKVTDSGSSEEKLYSLHPDPIATWLVPDPSQKQNLLAPQNAV SSEEKDDFKQETLPSNSNESHDHMDDDDDDDDDDGDHAESEDSVDSDESDESHHSDESDE TVTASTQADTFTPIVPTVDVPNGRGDSLAYGLRSKSRSFQVSDEQYPDATDEDLTSHMKS GESKESLDVIPVAQLLSMPSDQDNNGKGSHESSQLDEPSLETHRLEHSKESQESADQSDV IDSQASSKASLEHQSHKFHSHKDKLVLDPKSKEDDRYLKFRISHELESSSSEVN >ENSMUSP00000101679.3 pep:known chromosome:GRCm38:4:129105548:129111626:1 gene:ENSMUSG00000028786.15 transcript:ENSMUST00000106064.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem54 description:transmembrane protein 54 [Source:MGI Symbol;Acc:MGI:1913510] MCLRIGSLNVDEFRKVLMKTGLVLVVLGHVSFIAAAVLHGTMLRFVATTSDAVVLQYCAV DILSVTSAIVVIVAGISTIVLSRYLPSTPLRWTVFSLSVACALLSLTCALGLLASIAVTF ATKGRALLAACTFENPELPTLAPDCPFDPTRIYSSSLCLWAISLIFCLAESMSAVRCAQL MHGLLELRPWWGKSCHHTIQASPEPLDAHDLLSCASSCS >ENSMUSP00000030575.8 pep:known chromosome:GRCm38:4:129105574:129111626:1 gene:ENSMUSG00000028786.15 transcript:ENSMUST00000030575.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem54 description:transmembrane protein 54 [Source:MGI Symbol;Acc:MGI:1913510] MCLRIGSLNVDEFRKVLMKTGLVLVVLGHVSFIAAAVLHGTMLRFVATTSDAVVLQYCAV DILSVTSAIVRWTVFSLSVACALLSLTCALGLLASIAVTFATKGRALLAACTFENPELPT LAPDCPFDPTRIYSSSLCLWAISLIFCLAESMSAVRCAQLMHGLLELRPWWGKSCHHTKP FLLPI >ENSMUSP00000030577.3 pep:known chromosome:GRCm38:4:129105601:129111517:1 gene:ENSMUSG00000028786.15 transcript:ENSMUST00000030577.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem54 description:transmembrane protein 54 [Source:MGI Symbol;Acc:MGI:1913510] MCLRIGSLNVDEFRKVLMKTGLVLVVLGHVSFIAAAVLHGTMLRFVATTSDAVVLQYCAV DILSVTSAIVVIVAGISTIVLSRYLPSTPLRWTVFSLSVACALLSLTCALGLLASIAVTF ATKGRALLAACTFENPELPTLAPDCPFDPTRIYSSSLCLWAISLIFCLAESMSAVRCAQL MHGLLELRPWWGKSCHHTKPFLLPI >ENSMUSP00000123006.1 pep:known chromosome:GRCm38:4:129106869:129110544:1 gene:ENSMUSG00000028786.15 transcript:ENSMUST00000148979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem54 description:transmembrane protein 54 [Source:MGI Symbol;Acc:MGI:1913510] MQKKSGVTSEQDRLVPGSLNVDEFRKVLMKTGLVLVVLGHVSFIAAAVLHGTMLRFVATT SDAVVLQYCAVDILSVTSAIVVIVAGISTIVLSRYLPSTPLRWTVF >ENSMUSP00000026134.2 pep:known chromosome:GRCm38:11:120646031:120648158:-1 gene:ENSMUSG00000025141.2 transcript:ENSMUST00000026134.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myadml2 description:myeloid-associated differentiation marker-like 2 [Source:MGI Symbol;Acc:MGI:1915765] MGSTMEPPGGAYLHLGAVTSPVGTARMLQLAFGCTTFSLVAHRGGFGGVQGTFCMAAWGF CFAFSVLVVACEFTKLHSCLRLSWGNFTAAFAMLATLLCATAAVIYPLYFTRLECPPEPA GCMVAPCQRPAPESPWKDDDVMTAMEYLSRHPT >ENSMUSP00000133281.1 pep:known chromosome:GRCm38:11:96292476:96301569:1 gene:ENSMUSG00000000690.5 transcript:ENSMUST00000173432.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb6 description:homeobox B6 [Source:MGI Symbol;Acc:MGI:96187] MSSYFVNSTFPVTLASGQESFLGQLPLYSSGYADPLRHYPAPYGPGPGQDKGFAASSYYP PAGGGYGRAAPCDYGPAPAFYREKDAACALSGADEPPPFHPEPRKSDCAQDKSVFGETEE QKCSTPVYPWMQRMNSCNSSSFGPSGRRGRQTYTRYQTLELEKEFHYNRYLTRRRRIEIA HALCLTERQIKIWFQNRRMKWKKESKLLSASQLSAEEEEEKPAE >ENSMUSP00000000704.3 pep:known chromosome:GRCm38:11:96299171:96301568:1 gene:ENSMUSG00000000690.5 transcript:ENSMUST00000000704.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb6 description:homeobox B6 [Source:MGI Symbol;Acc:MGI:96187] MSSYFVNSTFPVTLASGQESFLGQLPLYSSGYADPLRHYPAPYGPGPGQDKGFAASSYYP PAGGGYGRAAPCDYGPAPAFYREKDAACALSGADEPPPFHPEPRKSDCAQDKSVFGETEE QKCSTPVYPWMQRMNSCNSSSFGPSGRRGRQTYTRYQTLELEKEFHYNRYLTRRRRIEIA HALCLTERQIKIWFQNRRMKWKKESKLLSASQLSAEEEEEKPAE >ENSMUSP00000010127.5 pep:known chromosome:GRCm38:X:74177259:74208500:1 gene:ENSMUSG00000031397.11 transcript:ENSMUST00000010127.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tktl1 description:transketolase-like 1 [Source:MGI Symbol;Acc:MGI:1933244] MSEAEASSGMAHNAGPDEKTLQVLRDMANRLRIRSIKATNSSTTSYLIPCSNAEIMSVLF FYTMRYKQEDPENPDNDRCILSKGLPFVNVATGWPGQGLGAACGMAYTGKYFDQASYRVF CLLGDEESTEGSVWEAFAFASYYNLDNLMAIFDVNRIGHSSSMSVEHCIAIYQKRCEAFG WNTYVVDGRDVKTLCHVFSQAAQVRGKPTAVVAKTFKARGMPNVEDAESWYGRPMPKERA DAIVKLIESQIQTNKILVPSPPIEDSPQINIMNICMTSPPVYVADDKVSTQRACGLALAK LGHENDRVIVLGSDTKNCNFSDIFKKEHPERFIQCCIAEQNMVNVALGCSTRDRTIVFAY SFAAFFTRAFDQIRLGAISQININLIGCHCGVSTGDDNPYHMALEDLAMFRAIPNCVVFY PSDAVSTEHAVYLAANTKEMCFIRTSQAETAIIYTTQETFQIGQAKVVRHSDNDKVIVIG AGVTLHEALVAAAELSKEDISIRVIDLFTIKPLDIATIISNAKATGGRIITVEDHYPEGG IGGAVCAAVSMEPNIVVHNLAVMDVPRSGRCNEALDFSGISSRHIIVAVKCILMT >ENSMUSP00000117388.1 pep:known chromosome:GRCm38:X:74177290:74197044:1 gene:ENSMUSG00000031397.11 transcript:ENSMUST00000156959.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tktl1 description:transketolase-like 1 [Source:MGI Symbol;Acc:MGI:1933244] MSEAEASSGMAHNAGPDEKTLQVLRDMANRLRIRSIKATNSSTTSYLIPCSNAEIMSVLF FYTMRYKQEDPENPDNDRCILSKGLPFVNVATGWPGQGLGAACGILTWWMAVMSRPCAMY SHRQLK >ENSMUSP00000038463.6 pep:known chromosome:GRCm38:3:51404677:51408988:-1 gene:ENSMUSG00000037152.11 transcript:ENSMUST00000038108.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufc1 description:NADH dehydrogenase (ubiquinone) 1, subcomplex unknown, 1 [Source:MGI Symbol;Acc:MGI:1913627] MAPSVVLRSFSRLLAPARLPSCSSTRSKFYVREPVNAKPNWLAVGLSVGASVFMWIYLIQ THNEDVLEYKRRNGLE >ENSMUSP00000141933.1 pep:known chromosome:GRCm38:3:51405582:51408964:-1 gene:ENSMUSG00000037152.11 transcript:ENSMUST00000193279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufc1 description:NADH dehydrogenase (ubiquinone) 1, subcomplex unknown, 1 [Source:MGI Symbol;Acc:MGI:1913627] MAPSVVLRSFSRLLAPARLPSCSSTRSKFYVREPVNAKPNWLAVGLSVGASVFMWIYLIQ THNEDVLEYKRRNGLE >ENSMUSP00000109822.1 pep:known chromosome:GRCm38:16:84834925:84861315:1 gene:ENSMUSG00000008976.16 transcript:ENSMUST00000114184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpa description:GA repeat binding protein, alpha [Source:MGI Symbol;Acc:MGI:95610] MTKREAEELIEIEIDGTEKAECTEESIVEQTYTPAECVSQAIDINEPIGNLKKLLEPRLQ CSLDAHEICLQDIQLDPDRSLFDQGVKTDGTVQLSVQVISYQGMEPKLNILEIVKTAETV EVVIDPDAHHAEAEAHLVEEAQVITLDGTKHITTISDETSEQVTRWAAALEGYRKEQERL GIPYDPIHWSTDQVLHWVVWVMKEFSMTDIDLTTLNISGRELCSLNQEDFFQRVPRGEIL WSHLELLRKYVLASQEQQMNEIVTIDQPVQIIPASVPPATPTTIKVINSSAKAAKVQRSP RISGEDRSSPGNRTGNNGQIQLWQFLLELLTDKDARDCISWVGDEGEFKLNQPELVAQKW GQRKNKPTMNYEKLSRALRYYYDGDMICKVQGKRFVYKFVCDLKTLIGYSAAELNRLVIE CEQKKLARMQLHGIAQPVTAVALAATSLQADKEI >ENSMUSP00000009120.7 pep:known chromosome:GRCm38:16:84835124:84863779:1 gene:ENSMUSG00000008976.16 transcript:ENSMUST00000009120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpa description:GA repeat binding protein, alpha [Source:MGI Symbol;Acc:MGI:95610] MTKREAEELIEIEIDGTEKAECTEESIVEQTYTPAECVSQAIDINEPIGNLKKLLEPRLQ CSLDAHEICLQDIQLDPDRSLFDQGVKTDGTVQLSVQVISYQGMEPKLNILEIVKTAETV EVVIDPDAHHAEAEAHLVEEAQVITLDGTKHITTISDETSEQVTRWAAALEGYRKEQERL GIPYDPIHWSTDQVLHWVVWVMKEFSMTDIDLTTLNISGRELCSLNQEDFFQRVPRGEIL WSHLELLRKYVLASQEQQMNEIVTIDQPVQIIPASVPPATPTTIKVINSSAKAAKVQRSP RISGEDRSSPGNRTGNNGQIQLWQFLLELLTDKDARDCISWVGDEGEFKLNQPELVAQKW GQRKNKPTMNYEKLSRALRYYYDGDMICKVQGKRFVYKFVCDLKTLIGYSAAELNRLVIE CEQKKLARMQLHGIAQPVTAVALAATSLQADKEI >ENSMUSP00000049056.7 pep:known chromosome:GRCm38:12:85299514:85339362:-1 gene:ENSMUSG00000034290.9 transcript:ENSMUST00000040992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek9 description:NIMA (never in mitosis gene a)-related expressed kinase 9 [Source:MGI Symbol;Acc:MGI:2387995] MSVLGEYERHCDSINSDFGSESGGGGDSGPGPSAVPGPRAGGGAAEQEELHYIPIRVLGR GAFGEATLYRRTEDDSLVVWKEVDLTRLSEKERRDALNEIVILALLQHDNIIAYYNHFMD NTTLLIELEYCNGGNLYDKILRQKDKLFEEEMVVWYLFQIVSAVSCIHKAGILHRDIKTL NIFLTKANLIKLGDYGLAKKLNSEYSMAETLVGTPYYMSPELCQGVKYNFKSDIWAVGCV IFELLTLKRTFDATNPLNLCVKIVQGIRAMEVDSSQYSLELIQLVHACLDQDPEQRPAAD ALLDLPLLRTRRREMEEKVTLLNAPTKRPRSSTVTEAPIAVVTSRTSEVYVWGGGKSTPQ KLDVIKSGCSARQVCAGNTHFAVVTVEKELYTWVNMQGGTKLHGQLGHGDKASYRQPKHV EKLQGKAIHQVSCGDDFTVCVTDEGQLYAFGSDYYGCMGVDKVSGPEVLEPMQLNFFLSN PVEQVSCGDNHVVVLTRNKEVYSWGCGEYGRLGLDSEEDYYTPQRVDVPKALIIVAVQCG CDGTFLLTQSGKVLACGLNEFNKLGLNQCMSGIINHEAYHEVPYTTSFTLAKQLSFYKIR TIAPGKTHTAAIDERGRLLTFGCNKCGQLGVGNYKKRLGINLLGGPLGGKQVIRVSCGDE FTIAATDDNHIFAWGNGGNGRLAMTPTERPHGSDICTSWPRPIFGSLHHVPDLSCRGWHT ILIVEKVLNSKTIRSNSSGLSIGTVVQSSSPGGGIGGGGGGGGGGGGEEEDSQQESETPD PSGGFRGTMEADRGMEGLISPTEAVGNSCGASSSCPGWLRKELENAEFIPMPDSPAPLSA AFSQSEKDTLPYEELQGLKVASEVPPEPQRAAGAWPPRLDPAVPCVGKALTSAACACSAL QVEVDRLQALVLKCLEEQQKLQQENLQMFTQLQKLNKKLEGGQQVGMHSRGTQTAKEEME MDPKPDLDSESWCLLGTDSCRPSL >ENSMUSP00000040956.3 pep:known chromosome:GRCm38:1:179668210:179687189:1 gene:ENSMUSG00000038936.13 transcript:ENSMUST00000040538.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sccpdh description:saccharopine dehydrogenase (putative) [Source:MGI Symbol;Acc:MGI:1924486] MATEQRPFHLVVFGASGFTGQFVTEEVAREQIASEQSSRLPWAVAGRSKEKLQQVLEKAA QKLGRPSLSSEVGVIICDISNPASLDEMAKQAKLVLNCVGPYRFYGEPVVKACIENGTSC IDICGEPQFLELMHAKYHEKAAEKGVYIIGSSGFDSIPADLGVLYTRNQMNGTLTAVESF LTINTGPEGLCIHDGTWKSAIYGFGDKGSLRKLRSVSCLKPVPIVGTKLKRRWPVSYCRE LNSYSIPFLGSDISVVKRTQRYLHENLEDSPVQYAAYVTVGGITSVIKLMFAGLFFLFFV KFSIGRQLLIKFPWLFSFGYFSKQGPTQKQMDETSFTMTFFGQGYSHGTCVEKNKPNIRI CTQVKGPEAGYVATPIAMVQAAMTFLSDASDLPKGGGVFTPGAAFSRTKLIDRLNKHGIE FSVISSSEV >ENSMUSP00000136880.1 pep:known chromosome:GRCm38:1:179668210:179687189:1 gene:ENSMUSG00000038936.13 transcript:ENSMUST00000134287.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sccpdh description:saccharopine dehydrogenase (putative) [Source:MGI Symbol;Acc:MGI:1924486] MATEQRPFHLVVFGASGFTGQFVTEEVAREQIASEQSSRLPWAVAGRSKEKLQQVLEKAA QKLGRPSLSSEVGVIICDISNPASLDEMAKQAKLVLNCVGPYRFYGEPVVKACIENGTSC IDICGEPQVL >ENSMUSP00000121285.1 pep:known chromosome:GRCm38:1:179680518:179686825:1 gene:ENSMUSG00000038936.13 transcript:ENSMUST00000144480.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sccpdh description:saccharopine dehydrogenase (putative) [Source:MGI Symbol;Acc:MGI:1924486] XNSYSIPFLGSDISVVKRTQRYLHENLEDSPVQYAAYVTVGGITSVIKLMFAGLFFLFFV KFSIGRQLLIKMDETSFTMTFFGQGYSHGTCVEKNKPNIRICTQVKGPEAGYVATPIAMV QAAMTFLSDASDLPKGGGVFTPGAAFSRTKLIDRLNKHGIEFSVISSSEV >ENSMUSP00000115769.1 pep:known chromosome:GRCm38:1:179680547:179684491:1 gene:ENSMUSG00000038936.13 transcript:ENSMUST00000143936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sccpdh description:saccharopine dehydrogenase (putative) [Source:MGI Symbol;Acc:MGI:1924486] SDISVVKRTQRYLHENLEDSPVQYAAYVTVGGITSVIKLMFAGLFFLFFVKFSIGRQLLI KFPWLFSFGYFSKQGPTQKQMDETSFTMTFFGQGYSHGTCVEKNKPNIRICTQVKGPGIL >ENSMUSP00000032539.7 pep:known chromosome:GRCm38:7:12988346:12998150:-1 gene:ENSMUSG00000030382.14 transcript:ENSMUST00000032539.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc27a5 description:solute carrier family 27 (fatty acid transporter), member 5 [Source:MGI Symbol;Acc:MGI:1347100] MGIWKKLTLLLLLLLLVGLGQPPWPAAMALALRWFLGDPTCLVLLGLALLGRPWISSWMP HWLSLVGAALTLFLLPLQPPPGLRWLHKDVAFTFKMLFYGLKFRRRLNKHPPETFVDALE RQALAWPDRVALVCTGSEGSSITNSQLDARSCQAAWVLKAKLKDAVIQNTRDAAAILVLP SKTISALSVFLGLAKLGCPVAWINPHSRGMPLLHSVRSSGASVLIVDPDLQENLEEVLPK LLAENIHCFYLGHSSPTPGVEALGASLDAAPSDPVPASLRATIKWKSPAIFIFTSGTTGL PKPAILSHERVIQVSNVLSFCGCRADDVVYDVLPLYHTIGLVLGFLGCLQVGATCVLAPK FSASRFWAECRQHGVTVILYVGEILRYLCNVPEQPEDKIHTVRLAMGNGLRANVWKNFQQ RFGPIRIWEFYGSTEGNVGLMNYVGHCGAVGRTSCILRMLTPFELVQFDIETAEPLRDKQ GFCIPVEPGKPGLLLTKVRKNQPFLGYRGSQAESNRKLVANVRRVGDLYFNTGDVLTLDQ EGFFYFQDRLGDTFRWKGENVSTGEVECVLSSLDFLEEVNVYGVPVPGCEGKVGMAAVKL APGKTFDGQKLYQHVRSWLPAYATPHFIRIQDSLEITNTYKLVKSRLVREGFDVGIIADP LYILDNKAQTFRSLMPDVYQAVCEGTWNL >ENSMUSP00000112495.1 pep:known chromosome:GRCm38:7:12988352:12998192:-1 gene:ENSMUSG00000030382.14 transcript:ENSMUST00000120903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc27a5 description:solute carrier family 27 (fatty acid transporter), member 5 [Source:MGI Symbol;Acc:MGI:1347100] MGIWKKLTLLLLLLLLVGLGQPPWPAAMALALRWFLGDPTCLVLLGLALLGRPWISSWMP HWLSLVGAALTLFLLPLQPPPGLRWLHKDVAFTFKMLFYGLKFRRRLNKHPPETFVDALE RQALAWPDRVALVCTGSEGSSITNSQLDARSCQAAWVLKAKLKDAVIQNTRDAAAILVLP SKTISALSVFLGLAKLGCPVAWINPHSRGMPLLHSVRSSGASVLIVDPDLQENLEEVLPK LLAENIHCFYLGHSSPTPGVEALGASLDAAPSDPVPASLRATIKWKSPAIFIFTSGTTGL PKPAILSHERVIQVSNVLSFCGCRADDVVYDVLPLYHTIGLVLGFLGCLQVGATCVLAPK FSASRFWAECRQHGVTVILYVGEILRYLCNVPEQPEDKIHTVRLAMGNGLRAIQKCRD >ENSMUSP00000117208.1 pep:known chromosome:GRCm38:7:12993315:12997677:-1 gene:ENSMUSG00000030382.14 transcript:ENSMUST00000133977.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc27a5 description:solute carrier family 27 (fatty acid transporter), member 5 [Source:MGI Symbol;Acc:MGI:1347100] XVALVCTGSEGSSITNSQLDARSCQAAWVLKAKLKDAVIQNTRDAAAILVLPSKTISALS VFLGLAKLGCPVAWINPHSRGMPLLHSVRSSGASVLIVDPGLPKPAILSHERVIQVSNVL SFCGCRADDVVYDVLPLYHTIGLVLGFLGCLQVGATCVLAPKFSASRFWAECRQHGVTVI LYVGEILRYLCNVPE >ENSMUSP00000114205.1 pep:known chromosome:GRCm38:2:150114406:150136678:-1 gene:ENSMUSG00000068134.13 transcript:ENSMUST00000122859.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp120 description:zinc finger protein 120 [Source:MGI Symbol;Acc:MGI:1345179] MFLLDVFCVPLLGAGTSGKPRSSDMDIVTYDDVHVNFTWEEWALLDPSQKDLYRDVMLET YRNLAAIGYYWKGHNISEGHFQNSRRNGR >ENSMUSP00000105557.1 pep:known chromosome:GRCm38:2:150114406:150136678:-1 gene:ENSMUSG00000068134.13 transcript:ENSMUST00000109931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp120 description:zinc finger protein 120 [Source:MGI Symbol;Acc:MGI:1345179] MFLLDVFCVPLLGAGTSGKPRSSDMDIVTYDDVHVNFTWEEWALLDPSQKDLYRDVMLET YRNLAAIGMKEVILKRNFLNLLNMIKTLHIRAILKGMKEFILERNPMKVSNILKTLHITV VSKYREEHML >ENSMUSP00000086615.6 pep:known chromosome:GRCm38:2:150114406:150136708:-1 gene:ENSMUSG00000068134.13 transcript:ENSMUST00000089207.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp120 description:zinc finger protein 120 [Source:MGI Symbol;Acc:MGI:1345179] MFLLDVFCVPLLGAGTSGKPRSSDMDIVTYDDVHVNFTWEEWALLDPSQKDLYRDVMLET YRNLAAIGYYWKGHNISEGHFQNSRRNGRHERSDTEEKLSEFTQYDKDFAYQSHPQRHER IYSGEKPYEGVQYFEDFAHHSSLQIQRRTHVVEKPYECNQCGKAFAYHSYLQRHERSHTG EKPYECNQCGKAFGRHSHLQRHERIHTGEKSYDCNQCGKTFVHHSHLQIHKRTHIGEKPF ECNQCGKAFARNSHLLIHKRIHTGEKPYECKQCGKAFAYQSGLLYHKRRYTVEKLYECNQ CGKAFACHNSLQVHKRTHTGEKPYKCNQCGKAFGRYSSLQRHERIHTGEKPYECKQCGKA FGRHSSLQRHERIHTGQKPDECSQCCKDCGCYNSLQIHKRPHTGEKYFECNQCGKGFSQN CYLEIHKRAHTVEKHY >ENSMUSP00000092116.5 pep:known chromosome:GRCm38:2:150117156:150136642:-1 gene:ENSMUSG00000068134.13 transcript:ENSMUST00000094538.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp120 description:zinc finger protein 120 [Source:MGI Symbol;Acc:MGI:1345179] MFLLDVFCVPLLGAGTSGKPRSSDMDIVTYDDVHVNFTWEEWALLDPSQKDLYRDVMLET YRNLAAIGMKEVILKRNFLNLLNMIKTLHIRAILKGMKEFILERNPMKVSNILDFAHHSS LQIQRRTHVVEKPYECNQCGKAFAYHSYLQRHERSHTGEKPYECNQCGKAFGRHSHLQRH ERIHTGEKSYDCNQCGKTFVHHSHLQIHKRTHIGEKPFECNQCGKAFARNSHLLIHKRIH TGEKPYECKQCGKAFAYQSGLLYHKRRYTVEKLYECNQCGKAFACHNSLQVHKRTHTGEK PYKCNQCGKAFGRYSSLQRHERIHTGEKPYECKQCGKAFGRHSSLQRHERIHTGQKPDEC SQCCKDCGCYNSLQIHKRPHTGEKYFECNQCGKGFSQNCYLEIHKRAHTVEKHY >ENSMUSP00000048357.5 pep:known chromosome:GRCm38:12:103614786:103631444:-1 gene:ENSMUSG00000061947.10 transcript:ENSMUST00000044231.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina10 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 10 [Source:MGI Symbol;Acc:MGI:2667725] MRVASSLFLPVLLTEVWLVTSFNLSSHSPEASVHLESQDYENQTWEEYTRTDPREEEEEE EEKEEGKDEEYWLRASQQLSNETSSFGFNLLRKISMRHDGNVIFSPFGLSVAMVNLMLGT KGETKVQIENGLNLQALSQAGPLILPALFKKVKETFSSNRDLGLSQGSFAFIHKDFDIKE TYFNLSKKYFDIEYVSINFQNSSQARGLINHCIVKETEGKIPKLFDEINPETKLILVDYV LFKGKWLTPFDPSFTEADTFHLDKYRAIKVPMMYREGNFTSTFDKKFRCHILKLPYQGNA TMLVVLMEKTGDYLALEDYLTVDLVETWLQNMKTRKMEVFFPKFKLNQRYEMHELLKQMG IRRLFSTSADLSELSAMARNLQVSRVLQQSVLEVDERGTEAVSGTLSEIIAYSMPPAIKV NRPFHFIIYEEMSRMLLFLGRVVNPTVL >ENSMUSP00000113644.1 pep:known chromosome:GRCm38:12:103616675:103631421:-1 gene:ENSMUSG00000061947.10 transcript:ENSMUST00000121625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina10 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 10 [Source:MGI Symbol;Acc:MGI:2667725] MRVASSLFLPVLLTEVWLVTSFNLSSHSPEASVHLESQDYENQTWEEYTRTDPREEEEEE EEKEEGKDEEYWLRASQQLSNETSSFGFNLLRKISMRHDGNVIFSPFGLSVAMVNLMLGT KGETKVQIENGLNLQALSQAGPLILPALFKKVKETFSSNRDLGLSQGSFAFIHKDFDIKE TYFNLSKKYFDIEYVSINFQNSSQARGLINHCIVKETEGKIPKLFDEINPETKLILVDYV LFKGNATMLVVLMEKTGDYLALEDYLTVDLVETWLQNMKTRKMEVFFPKFKLNQRYEMHE LLKQMGIRRLFSTSADLSELSAMARNLQVSRVLQQSVLEVDERGTEAVSGTLSEIIAYSM PPAIKVNRPFHFIIYEEMSRMLLFLGRVVNPTVL >ENSMUSP00000062766.6 pep:known chromosome:GRCm38:5:24164963:24184013:1 gene:ENSMUSG00000048439.15 transcript:ENSMUST00000049887.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nupl2 description:nucleoporin like 2 [Source:MGI Symbol;Acc:MGI:2387631] MTICQFFLQGRCRFGDRCWNEHPGARGAGGARQPPPQQQPPSGNNRRGWNASSQRYSNVI QPSSFPKSTPWGGSRDQDKPPFGSFDSGASTSRGFGSSQNPFASPLSDEQKDEKKLLEGI VKDVEVWESSGQWMFSVYSPVRKKPNISGFTDISPEELRLEYHNFLTSNNLQSYLNSVQQ LVSQWRNRINELKNLTMSTKGALLSDVKDGVSQAVPAFGFGSKQAGSFGSPGFPVNNSSS STVQNFSFKTSPGLATPPSGSTSVFGSHPAFGAGPSAGSSISSSTPAFGLGKPEATSAAS FSFKSPEGSSFASPGFSGFPASMAASPSGSTTAPPLRSGSSVAGFGSPSPHSQAVFAKPS TDVFGGSGISTSVLASGAADNALFTPRDQLMKEELEQFQSQRFTLGKIPLKPPPVELLTV >ENSMUSP00000110753.3 pep:known chromosome:GRCm38:5:24165000:24182837:1 gene:ENSMUSG00000048439.15 transcript:ENSMUST00000115101.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nupl2 description:nucleoporin like 2 [Source:MGI Symbol;Acc:MGI:2387631] MTICQFFLQGRCRFGDRCWNEHPGARGAGGARQPPPQQQPPSGNNRRGWNASSQRYSNVI QPSSFPKSTPWGGSRDQDKPPFGSFDSGASTSRGFGSSQNPFASPLSDEQKDEKKLLEGI VKDVEVWESSGQWMFSVYSPVRKKPNISAKLCSTACKSVEE >ENSMUSP00000099423.3 pep:known chromosome:GRCm38:11:99144196:99155077:-1 gene:ENSMUSG00000037944.8 transcript:ENSMUST00000103134.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr7 description:chemokine (C-C motif) receptor 7 [Source:MGI Symbol;Acc:MGI:103011] MDPGKPRKNVLVVALLVIFQVCFCQDEVTDDYIGENTTVDYTLYESVCFKKDVRNFKAWF LPLMYSVICFVGLLGNGLVILTYIYFKRLKTMTDTYLLNLAVADILFLLILPFWAYSEAK SWIFGVYLCKGIFGIYKLSFFSGMLLLLCISIDRYVAIVQAVSAHRHRARVLLISKLSCV GIWMLALFLSIPELLYSGLQKNSGEDTLRCSLVSAQVEALITIQVAQMVFGFLVPMLAMS FCYLIIIRTLLQARNFERNKAIKVIIAVVVVFIVFQLPYNGVVLAQTVANFNITNSSCET SKQLNIAYDVTYSLASVRCCVNPFLYAFIGVKFRSDLFKLFKDLGCLSQERLRHWSSCRH VRNASVSMEAETTTTFSP >ENSMUSP00000076566.2 pep:known chromosome:GRCm38:7:108612280:108613287:1 gene:ENSMUSG00000058244.2 transcript:ENSMUST00000077343.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr506 description:olfactory receptor 506 [Source:MGI Symbol;Acc:MGI:3030340] MAFLEDGNHTALTEFILLGLTDEPVLRVVLFTIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASADIGYSSSVTPNMLVNFLVEKNTITYLGCGIQLGSGAFFGTVECFVLAA MAYDRFVAICSPLLYSTKMSIQVCIQLLVVAYISGFLNASSFTLSFFTFFFCGPNIINHF FCDFTPLVELSCSDDRVSIILATISVGTVIFITVLIIVVSYIYILITILKMHSTEGRHKA FSTCTSHLTAVTLFYGTVTFIYVMPKSNYSTDQNKVVSVFYMVVIPMLNPLIYSLRNNEI KGALKRQLGRKIFS >ENSMUSP00000028282.8 pep:known chromosome:GRCm38:2:27445579:27475398:-1 gene:ENSMUSG00000026918.16 transcript:ENSMUST00000028282.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd3 description:bromodomain containing 3 [Source:MGI Symbol;Acc:MGI:1914632] MSTTAAAPTGIPAVPGPVNPPPPEVSNPSKPGRKTNQLQYMQNVVVKTLWKHQFAWPFYQ PVDAIKLNLPDYHKIIKNPMDMGTIKKRLENNYYWSASECMQDFNTMFTNCYIYNKPTDD IVLMAQALEKIFLQKVAQMPQEEVELLPPAPKGKGRKPAAGAQNAGSQQVAAVSSVSPAT PFQNIPPTVSQTPVIAATPVPTITANVTSVPVPPPAAPPPPATPIVPVVPPTPPVVKKKG VKRKADTTTPTTSAITASRSESPPPLSEPKQAKVVARRESGGRPIKPPKKDLEDGEVPQH AGKKGKLSEHLRHCDSILREMLSKKHAAYAWPFYKPVDAEALELHDYHDIIKHPMDLSTV KRKMDSREYPDAQGFAADIRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPME APALPAPTAPIVSKGAESSRSSEESSSDSGSSDSEEERATRLAELQEQLKAVHEQLAALS QAPVNKPKKKKEKKEKEKKKKDKDKDKEKEKHKAKSEEEKKAKAAPAAKQAQQKKAPTKK ANSTTTASRQLKKGGKQASASYDSEEEEEGLPMSYDEKRQLSLDINRLPGEKLGRVVHII QSREPSLRDSNPDEIEIDFETLKPTTLRELERYVKSCLQKKQRKPLSTSGKKQAAKSKEE LAQEKKKELEKRLQDVSGQLNSKKPTKKEKSGSAPSGGPSRLSSSSSSESASSSSSGSSS DSSDSE >ENSMUSP00000076918.6 pep:known chromosome:GRCm38:2:27445579:27475686:-1 gene:ENSMUSG00000026918.16 transcript:ENSMUST00000077737.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd3 description:bromodomain containing 3 [Source:MGI Symbol;Acc:MGI:1914632] MSTTAAAPTGIPAVPGPVNPPPPEVSNPSKPGRKTNQLQYMQNVVVKTLWKHQFAWPFYQ PVDAIKLNLPDYHKIIKNPMDMGTIKKRLENNYYWSASECMQDFNTMFTNCYIYNKPTDD IVLMAQALEKIFLQKVAQMPQEEVELLPPAPKGKGRKPAAGAQNAGSQQVAAVSSVSPAT PFQNIPPTVSQTPVIAATPVPTITANVTSVPVPPPAAPPPPATPIVPVVPPTPPVVKKKG VKRKADTTTPTTSAITASRSESPPPLSEPKQAKVVARRESGGRPIKPPKKDLEDGEVPQH AGKKGKLSEHLRHCDSILREMLSKKHAAYAWPFYKPVDAEALELHDYHDIIKHPMDLSTV KRKMDSREYPDAQGFAADIRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPME APALPAPTAPIVSKGAESSRSSEESSSDSGSSDSEEERATRLAELQEQLKAVHEQLAALS QAPVNKPKKKKEKKEKEKKKKDKDKDKEKEKHKAKSEEEKKAKAAPAAKQAQQKKAPTKK ANSTTTASRQLKKGGKQASASYDSEEEEEGLPMSYDEKRQLSLDINRLPGEKLGRVVHII QSREPSLRDSNPDEIEIDFETLKPTTLRELERYVKSCLQKKQRKPLSTSGKKQAAKSKEE LAQEKKKELEKRLQDVSGQLNSKKPTKKEKSGSAPSGGPSRLSSSSSSESASSSSSGSSS DSSDSE >ENSMUSP00000115755.1 pep:known chromosome:GRCm38:2:27449269:27475654:-1 gene:ENSMUSG00000026918.16 transcript:ENSMUST00000138693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd3 description:bromodomain containing 3 [Source:MGI Symbol;Acc:MGI:1914632] MSTTAAAPTGIPAVPGPVNPPPPEVSNPSKPGRKTNQLQYMQNVVVKTLWKHQFAWPFYQ PVDAIKLNLPDYHKIIKNPMDMGTIKKRLENNYYWSASECMQDFNTMFTNCYIYNKPTDD IVLMAQALEKIFLQKVAQMPQEEVELLPPAPKGKGRKPAAGAQNAGSQQVAAVSSVSPAT PFQNIPPTVSQTPVIAATPVPTITANVTSVPVPPPAAPPPPATPIVPVVPPTPPVVKKKG VKRKADTTTPTTSAITASRSESPPPLSEPKQAKVVARRESGGRPIKPPKKDLEDGEVPQH AGKKGKLSEHLRHCDSILREMLSKKHAAYAWPFYKPVDAEALELHDYHDIIKHPMDLSTV KRKMDSREYPDAQGFAADIRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPME APALPAPTAPIVSKGAESSRSSEESSSDSGSSDSEEERATRLAELQEQTGCGAFQDQLLN VSSVQLKAVHEQLAALSQAPVNKPKKKKEKKEKEKKKKDKDKDKEKEKHKAKSEEEKKAK AAPAAKQAQQKKAPTKKANSTTTASRQLKKGGKQASASYDSEEEEEGLPMSYDEKRQLSL DINRLPGEKLGRVVHIIQSREPSLRDSNPDEIEIDFETLKPTTLRELERYVKSCLQKKQR KPLSTSGKKQAAKSKEELAQEK >ENSMUSP00000114422.1 pep:known chromosome:GRCm38:2:27449269:27507662:-1 gene:ENSMUSG00000026918.16 transcript:ENSMUST00000154316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd3 description:bromodomain containing 3 [Source:MGI Symbol;Acc:MGI:1914632] MSTTAAAPTGIPAVPGPVNPPPPEVSNPSKPGRKTNQLQYMQNVVVKTLWKHQFAWPFYQ PVDAIKLNLPDYHKIIKNPMDMGTIKKRLENNYYWSASECMQDFNTMFTNCYIYNKPTDD IVLMAQALEKIFLQKVAQMPQEEVELLPPAPKGKGRKPAAGAQNAGSQQVAAVSSVSPAT PFQNIPPTVSQTPVIAATPVPTITANVTSVPVPPPAAPPPPATPIVPVVPPTPPVVKKKG VKRKADTTTPTTSAITASRSESPPPLSEPKQAKVVARRESGGRPIKPPKKDLEDGEVPQH AGKKGKLSEHLRHCDSILREMLSKKHAAYAWPFYKPVDAEALELHDYHDIIKHPMDLSTV KRKMDSREYPDAQGFAADIRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPME APALPAPTAPIVSKGAESSRSSEESSSDSGSSDSEEERATRLAELQEQTGCGAFQDQLLN VSSVQLKAVHEQLAALSQAPVNKPKKKKEKKEKEKKKKDKDKDKEKEKHKAKSEEEKKAK AAPAAKQAQQKKAPTKKANSTTTASRQLKKGGKQASASYDSEEEEEGLPMSYDEKRQLSL DINRLPGEKLGRVVHIIQSREPSLRDSNPDEIEIDFETLKPTTLRELERYVKSCLQKKQR KPLSTSGKKQAAKSKEELAQEK >ENSMUSP00000122009.1 pep:known chromosome:GRCm38:2:27463531:27475607:-1 gene:ENSMUSG00000026918.16 transcript:ENSMUST00000147736.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd3 description:bromodomain containing 3 [Source:MGI Symbol;Acc:MGI:1914632] MSTTAAAPTGIPAVPGPVNPPPPEVSNPSKPGRKTNQLQYMQNVVVKTLWKHQFAWPFYQ PVDAIKLNLPDYHKIIKNPMDMGTIKKRLE >ENSMUSP00000128812.1 pep:known chromosome:GRCm38:2:27447843:27464116:-1 gene:ENSMUSG00000026918.16 transcript:ENSMUST00000164296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd3 description:bromodomain containing 3 [Source:MGI Symbol;Acc:MGI:1914632] MSTTAAAPTGIPAVPGPVNPPPPEVSNPSKPGRKTNQLQYMQNVVVKTLWKHQFAWPFYQ PVDAIKLNLPDYHKIIKNPMDMGTIKKRLENNYYWSASECMQDFNTMFTNCYIYNKPTDD IVLMAQALEKIFLQKVAQMPQEEVELLPPAPKGKGRKPAAGAQNAGSQQVAAVSSVSPAT PFQNIPPTVSQTPVIAATPVPTITANVTSVPVPPPAAPPPPATPIVPVVPPTPPVVKKKG VKRKADTTTPTTSAITASRSESPPPLSEPKQAKVVARRESGGRPIKPPKKDLEDGEVPQH AGKKGKLSEHLRHCDSILREMLSKKHAAYAWPFYKPVDAEALELHDYHDIIKHPMDLSTV KRKMDSREYPDAQGFAADIRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPME APALPAPTAPIVSKGAESSRSSEESSSDSGSSDSEEERATRLAELQEQLKAVHEQLAALS QAPVNKPKKKKEKKEKEKKKKDKDKDKEKEKHKAKSEEEKKAKAAPAAKQAQQKKAPTKK ANSTTTASRQLKKGGKQASASYDSEEEEEGLPMSYDEKRQLSLDINRLPGEKLGRVVHII QSREPSLRDSNPDEIEIDFETLKPTTLRELERYVKSCLQKKQRKPLSTSGKKQAAKSKEE LAQEKKKELEKRLQDVSGQLNSKKPTKKEKSGSAPSGGPSRLSSSSSSESASSSSSGSSS DSSDSE >ENSMUSP00000109574.2 pep:known chromosome:GRCm38:2:27445600:27475673:-1 gene:ENSMUSG00000026918.16 transcript:ENSMUST00000113941.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd3 description:bromodomain containing 3 [Source:MGI Symbol;Acc:MGI:1914632] MSTTAAAPTGIPAVPGPVNPPPPEVSNPSKPGRKTNQLQYMQNVVVKTLWKHQFAWPFYQ PVDAIKLNLPDYHKIIKNPMDMGTIKKRLENNYYWSASECMQDFNTMFTNCYIYNKPTDD IVLMAQALEKIFLQKVAQMPQEEVELLPPAPKGKGRKPAAGAQNAGSQQVAAVSSVSPAT PFQNIPPTVSQTPVIAATPVPTITANVTSVPVPPPAAPPPPATPIVPVVPPTPPVVKKKG VKRKADTTTPTTSAITASRSESPPPLSEPKQAKVVARRESGGRPIKPPKKDLEDGEVPQH AGKKGKLSEHLRHCDSILREMLSKKHAAYAWPFYKPVDAEALELHDYHDIIKHPMDLSTV KRKMDSREYPDAQGFAADIRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPME APALPAPTAPIVSKGAESSRSSEESSSDSGSSDSEEERATRLAELQEQTGCGAFQDQLLN VSSVQLKAVHEQLAALSQAPVNKPKKKKEKKEKEKKKKDKDKDKEKEKHKAKSEEEKKAK AAPAAKQAQQKKAPTKKANSTTTASRQLKKGGKQASASYDSEEEEEGLPMSYDEKRQLSL DINRLPGEKLGRVVHIIQSREPSLRDSNPDEIEIDFETLKPTTLRELERYVKSCLQKKQR KPLSTSGKKQAAKSKEELAQEKKKELEKRLQDVSGQLNSKKPTKKEKSGSAPSGGPSRLS SSSSSESASSSSSGSSSDSSDSE >ENSMUSP00000073099.2 pep:known chromosome:GRCm38:6:42358487:42373268:-1 gene:ENSMUSG00000029859.6 transcript:ENSMUST00000073387.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha1 description:Eph receptor A1 [Source:MGI Symbol;Acc:MGI:107381] MERRWPLGLALLLLLLCAPLPPGARAEEVTLMDTSTAQGELGWLLDPPETGWSEVQQMLN GTPLYMYQDCPIQEGGDTDHWLRSNWIYRGEEASRIYVELQFTVRDCKSFPGGAGPLGCK ETFNLFYMESDQDVGIQLRRPLFQKVTTVAADQSFTIRDLASGSVKLNVERCSLGHLTRR GLYLAFHNPGSCVALVSVRVFYQRCAETVHGLAHFPDTLPGPGGLVEVAGTCLSHAQISL GSSGTPRMHCSPDGEWLVPVGQCQCEPGYEESSGNVGCTACPTGFYRVDMNTLRCLKCPQ HSIAESEGSTICTCENGHYRAPGEGPQVACTRPPSAPQNLSFSTSGTQLSLRWEPPRDTG GRHDIRYSVECLQCRGIAQDGGPCQPCGKGVHFSPAASGLTTSTVQVQGLEPYANYTFTV KSQNRVSGLDSSSPSSASLSINMGHAESLSGLSLKLVKKEPRQLELTWAGSRPRNPGGNL SYELHVLNQDEEWHQMVLEPRVLLTKLQPDTTYIVRVRTLTPLGPGPFSPDHEFRTSPPV SRSLTGGEIVAVIFGLLLGIALLIGIYVFRSRRGQRQRQQRQRERTTNVDREDKLWLKPY VDLQAYEDPAQGALDFAQELDPAWLIVDTVIGEGEFGEVYRGALRLPSQDCKTVAIKTLK DTSPDGYWWNFLREATIMGQFNHPHILRLEGVITKRKPIMIITEFMENGALDAFLKERED QLAPGQLVAMLLGIASGMNCLSGHNYVHRDLAARNILVNQNLCCKVSDFGLTRLLDDFDG TYETQGGKIPIRWTAPEAIAHRIFTTASDVWSFGIVMWEVLSFGDKPYGEMSNQEVMKSI EDGYRLPPPVDCPAPLYELMKNCWAYDRARRPHFLQLQAHLEQLLTDPHSLRTIANFDPR VTLRLPSLSGSDGIPYRSVSEWLESIRMKRYILHFRSAGLDTMECVLELTAEDLTQMGIT LPGHQKRILCSIQGFKD >ENSMUSP00000144763.1 pep:known chromosome:GRCm38:6:42358716:42373268:-1 gene:ENSMUSG00000029859.6 transcript:ENSMUST00000204357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha1 description:Eph receptor A1 [Source:MGI Symbol;Acc:MGI:107381] MERRWPLGLALLLLLLCAPLPPGARAEEVTLMDTSTAQGELGWLLDPPETGWSEVQQMLN GTPLYMYQDCPIQEGGDTDHWLRSNWIYRGEEASRIYVELQFTVRDCKSFPGGAGPLGCK ETFNLFYMESDQDVGIQLRRPLFQKVTTVAADQSFTIRDLASGSVKLNVERCSLGHLTRR GLYLAFHNPGSCVALVSVRVFYQRCAETVHGLAHFPDTLPGPGGLVEVAGTCLSHAQISL GSSGTPRMHCSPDGEWLVPVGQCQCEPGYEESSGNVGCTACPTGFYRVDMNTLRCLKCPQ HSIAESEGSTICTCENGHYRAPGEGPQVACTRPPSAPQNLSFSTSGTQLSLRWEPPRDTG GRHDIRYSVECLQCRGIAQDGGPCQPCGKGVHFSPAASGLTTSTVQVQGLEPYANYTFTV KSQNRVSGLDSSSPSSASLSINMGHAGEAPKTIGLSDGQEAAELQLTLFLLTFRTQPCRS GCPESLSGLSLKLVKKEPRQLELTWAGSRPRNPGGNLSYELHVLNQDEEWHQMVLEPRVL LTKLQPDTTYIVRVRTLTPLGPGPFSPDHEFRTSPPVSRSLTGGEIVAVIFGLLLGIALL IGIYVFRSRRGQRQRQQRQRERTTNVDREDKLWLKPYVDLQAYEDPAQGALDFAQELDPA WLIVDTVIGEGEFGEVYRGALRLPSQDCKTVAIKTLKDTSPDGYWWNFLREATIMGQFNH PHILRLEGVITKRKPIMIITEFMENGALDAFLKGGKIPIRWTAPEAIAHRIFTTASDVWS FGIVMWEVLSFGDKPYGEMSNQEVMKSIEDGYRLPPPVDCPAPLYELMKNCWAYDRARRP HFLQLQAHLEQLLTDPHSLRTIANFDPRVTLRLPSLSGSDGIPYRSVSEWLESIRMKRYI LHFRSAGLDTMECVLELTAEDLTQMGITLPGHQKRILCSIQGFKD >ENSMUSP00000020358.5 pep:known chromosome:GRCm38:11:20201432:20226856:1 gene:ENSMUSG00000020149.17 transcript:ENSMUST00000020358.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab1a description:RAB1A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97842] MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTIKLQ IWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLQEIDRYASENVNKLLVG NKCDLTTKKVVDYTTAKEFADSLGIPFLETSAKNATNVEQSFMTMAAEIKKRMGPGATAG GAEKSNVKIQSTPVKQSGGGCC >ENSMUSP00000105231.1 pep:known chromosome:GRCm38:11:20201435:20226850:1 gene:ENSMUSG00000020149.17 transcript:ENSMUST00000109602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab1a description:RAB1A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97842] MNPEYDYLFKLLLIGDSGVGKSCLLLRFAESFNNVKQWLQEIDRYASENVNKLLVGNKCD LTTKKVVDYTTAKEFADSLGIPFLETSAKNATNVEQSFMTMAAEIKKRMGPGATAGGAEK SNVKIQSTPVKQSGGGCC >ENSMUSP00000105230.1 pep:known chromosome:GRCm38:11:20201439:20225464:1 gene:ENSMUSG00000020149.17 transcript:ENSMUST00000109601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab1a description:RAB1A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97842] MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTIKLQ IEFADSLGIPFLETSAKNATNVEQSFMTMAAEIKKRMGPGATAGGAEKSNVKIQSTPVKQ SGGGCC >ENSMUSP00000127330.1 pep:known chromosome:GRCm38:11:20201602:20226856:1 gene:ENSMUSG00000020149.17 transcript:ENSMUST00000163483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab1a description:RAB1A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97842] MSSMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTI KLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLQEIDRYASENVNKL LVGNKCDLTTKKVVDYTTAKEFADSLGIPFLETSAKNATNVEQSFMTMAAEIKKRMGPGA TAGGAEKSNVKIQSTPVKQSGGGCC >ENSMUSP00000103786.2 pep:known chromosome:GRCm38:7:30589681:30592942:-1 gene:ENSMUSG00000006310.10 transcript:ENSMUST00000108151.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb32 description:zinc finger and BTB domain containing 32 [Source:MGI Symbol;Acc:MGI:1891838] MPQTPTRLISPYGSDRLVQLAARLRPALCDTLITVGGLEFPAHSLVLAGASPRLGCRGRW ALVEDISPSTFAQILTFVYGESIELQPGELGDLEEAAKALGVQALEEACQRAQKGKDEDE LDPGLKRHQQSEDFMRGSERGLGSPGEKQKPEKDFRSNGREQEMSHKHKAPGERPEMAGA TRMMSSEEVMRGIESHKGSEESLRGCPDPLSPPGSLLTSLIPRPWWAEVPRLGEGQSALW SILLWPSRYGAPFSHSTPITAAWQVRPQDQRIPLTLNHSKALWSQNQLASSSPTPGSFPQ GTETLSPWQIETSGQGFTGTLATCVSQERTLNCPSHQHPPLPSPARSRPYSCSVCGKRFS LKHQMETHYRVHTGEKPFSCSLCPQRSRDFSAMTKHLRTHGAAPYRCPLCRAGCPSLASM QAHMRGHSPSRLPPGWTIRSTFLYSSSRPTRASSSPGSPTSSAAT >ENSMUSP00000103785.1 pep:known chromosome:GRCm38:7:30589683:30598869:-1 gene:ENSMUSG00000006310.10 transcript:ENSMUST00000108150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb32 description:zinc finger and BTB domain containing 32 [Source:MGI Symbol;Acc:MGI:1891838] METHYRVHTGEKPFSCSLCPQRSRDFSAMTKHLRTHGAAPYRCPLCRAGCPSLASMQAHM RGHSPSRLPPGWTIRSTFLYSSSRPTRASSSPGSPTSSAAT >ENSMUSP00000059315.1 pep:known chromosome:GRCm38:12:113543908:113546465:1 gene:ENSMUSG00000043945.4 transcript:ENSMUST00000053086.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam6a description:a disintegrin and metallopeptidase domain 6A [Source:MGI Symbol;Acc:MGI:2676316] MLSLTWGMRLVERPVVPRVLLLLFALWLLLLVPVWCSQGHPTWRYISSEVVIPRKEIYHT KGLQAQRLLSYSLRFRGQRHIIHLRRKTLIWPRHLLLTTQDDQGALQMEYPFFPVDCYYI GYLEGILQSMVTVDTCYGGLSGVIKLDNLTYEIKPLNDSQSFEHLVSQIVSESDDTGPMN AWKHWSHNTGSPSSRLEYADGAPRLSSKNYATHPAAIKGHFQATHSVYSASGGDKLSSTV EYLFKVISLMDTYLTNLHMRYYVFLMTVYTEADPFSQDFRVPGGQAHTFYERVFYAHFRP DAGAIINKNSPGDDAVNPAERSICSPSALICLGQHGRNPLFLSIIITNRVGRSLGLKHDE GYCICQRRNTCIMFKNPQLTDAFSNCSLAEISNILNTPDLMPCLFYDRHVYYNTSLTYKF CGNFKVDNNEQCDCGSQKACYSDPCCGNDCRLTPGSICDKELCCANCTYSPSGTLCRPIQ NICDLPEYCSGSKFICPDDTYLQDGTPCSEEGYCYKGNCTDRNIQCMEIFGVSAKNANIK CYDINKQRFRFGHCTRAEESLTFNACADQDKLCGRLQCTNVTNLPFLQEHVSFHQSVISG VTCFGLDEHRGTETADAGLVRHGTPCSRGKFCDRGACNGSLSRLGYDCTPEKCNFRGVCN NRRNCHCHFGWSPPKCKEEGHSGSIDSGSPPVQRRIIKQNLEPVVYLRILFGRIYFLFVA LLFGIATRVGVTKIFRFEDLQAALRSWQEQAKDK >ENSMUSP00000071615.1 pep:known chromosome:GRCm38:6:132847142:132848143:1 gene:ENSMUSG00000057381.1 transcript:ENSMUST00000071696.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r123 description:taste receptor, type 2, member 123 [Source:MGI Symbol;Acc:MGI:2681264] MFSQKINYSHLFTFSITLYVEIVTGILGHGFIALVNIMDWVKRRRISSVDQILTALALTR FIYVLSMLICILLFMLCPHLPRRSEMLSAMGIFWVVNSHFSIWLTTCLGVFYFLKIANFS NSFFLYLKWRVKKVILIIILASLIFLTLHILSLGIYDQFSIAAYVGNMSYSLTDLTQFSS TFLFSNSSNVFLITNSSHVFLPINSLFMLIPFTVSLVAFLMLIFSLWKHHKKMQVNAKQP RDVSTMAHIKALQTVFSFLLLYAIYLLFLIIGILNLGLMEKIVILIFDHISGAVFPISHS FVLILGNSKLRQASLSVLPCLRCQSKDMDTMGL >ENSMUSP00000027062.5 pep:known chromosome:GRCm38:1:14753346:14755990:-1 gene:ENSMUSG00000025930.6 transcript:ENSMUST00000027062.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msc description:musculin [Source:MGI Symbol;Acc:MGI:1333884] MSTGSVSDPEDSEMRGLQRVYPAPASKRPPLLRMERGYGSPSDISSAEEEDGEEEPGSLG AAGGCKRKRLRGADAGGAGGRAGGAGKKPLPPKGSAAECKQSQRNAANARERARMRVLSK AFSRLKTSLPWVPPDTKLSKLDTLRLASSYIAHLRQLLQEDRYEDSYVHPVNLTWPFVVS GRPDSDSKDVSAANRLCGTSA >ENSMUSP00000140741.1 pep:known chromosome:GRCm38:1:14753746:14755353:-1 gene:ENSMUSG00000025930.6 transcript:ENSMUST00000190719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msc description:musculin [Source:MGI Symbol;Acc:MGI:1333884] AARHQAFQTGHAAPGFQLHRAPAPAAAGGPLRGQLCAPCEPEKSCGQPMRKA >ENSMUSP00000025163.7 pep:known chromosome:GRCm38:17:33938821:33940343:-1 gene:ENSMUSG00000024309.14 transcript:ENSMUST00000025163.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn6 description:prefoldin subunit 6 [Source:MGI Symbol;Acc:MGI:95908] MAELIQKKLQGEVEKYQQLQKDLSKSMSGRQKLEAQLTENNIVKEELALLDGSNVVFKLL GPVLVKQELGEARATVGKRLDYITAEIKRYESQLRDLERQSEQQRETLAQLQQEFQRAQA AKAPGKA >ENSMUSP00000133656.1 pep:known chromosome:GRCm38:17:33938919:33940325:-1 gene:ENSMUSG00000024309.14 transcript:ENSMUST00000174048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn6 description:prefoldin subunit 6 [Source:MGI Symbol;Acc:MGI:95908] MAELIQKKLQGEVEKYQQLQKDLSKSMSGRQKLEAQLTENNIVKEELALLDGSNVVFKLL GPVLVKQELGEARATVGKRLDYITAEIKRYESQLRDLERQSEQQRETLAQLQQEFQRAQA AKAPGKA >ENSMUSP00000138662.1 pep:known chromosome:GRCm38:17:33938923:33940304:-1 gene:ENSMUSG00000024309.14 transcript:ENSMUST00000173363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn6 description:prefoldin subunit 6 [Source:MGI Symbol;Acc:MGI:95908] MSGRQKLEAQLTENNIVKEELALLDGSNVVFKLLGPVLVKQELGEARATVGKRLDYITAE IKRYESQLRDLERQSEQQRETLAQLQQEFQRAQAAKAPGKA >ENSMUSP00000134069.1 pep:known chromosome:GRCm38:17:33938946:33940330:-1 gene:ENSMUSG00000024309.14 transcript:ENSMUST00000174426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn6 description:prefoldin subunit 6 [Source:MGI Symbol;Acc:MGI:95908] MSGRQKLEAQLTENNIVKEELALLDGSNVVFKLLGPVLVKQELGEARATVGKRLDYITAE IKRYESQLRDLERQSEQQRETLAQLQQEFQRAQAAKAPGKA >ENSMUSP00000137072.1 pep:known chromosome:GRCm38:17:33938910:33940330:-1 gene:ENSMUSG00000024309.14 transcript:ENSMUST00000179418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn6 description:prefoldin subunit 6 [Source:MGI Symbol;Acc:MGI:95908] MAELIQKKLQGEVEKYQQLQKDLSKSMSGRQKLEAQLTENNIVKEELALLDGSNVVFKLL GPVLVKQELGEARATVGKRLDYITAEIKRYESQLRDLERQSEQQRETLAQLQQEFQRAQA AKAPGKA >ENSMUSP00000040917.4 pep:known chromosome:GRCm38:3:54803115:54807791:-1 gene:ENSMUSG00000036615.5 transcript:ENSMUST00000044373.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfxap description:regulatory factor X-associated protein [Source:MGI Symbol;Acc:MGI:2180854] TEAQAVPEGSGPSTASPRTAPPVTVLVMRQDEAEADGALRPGLAGSEAAADAEDEAGDDD ADLLDTSDPAGGGESAASPEELEDEDAEGGGAARRRGSKTCTYEGCRETTSQVAKQRKPW MCKKHRNKMYKDKYKKKKSDQALGSGGPSAASTGNVKLEESTDNILSIVKQRTGSFGDRP ARPTLLEQVLNQKRLSLLRSPEVVQFLQKQQQLLNQQVLEQRQQHFPGAPV >ENSMUSP00000078926.1 pep:known chromosome:GRCm38:7:108621814:108622764:1 gene:ENSMUSG00000061000.1 transcript:ENSMUST00000080014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr507 description:olfactory receptor 507 [Source:MGI Symbol;Acc:MGI:3030341] MGILKDGNHTAVTEFILLGLTDDPVLKVVLFTIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASVDIGISSSVTPNMLVNFLLERSTISYLGCGIQLGSGAFFGSTESFLLAA MAYDHFMAICNPLLYSTKMSTQVCIQLLVGSYIGGFLNASSFILSFFSFLFCGPNKVNHF FCDFTPLVELSCSDNSVLLILDSFSAGSIIVITVLVIAISYTYILITILKMHSTEGRHKA FSTCTSHLTAVTVFYGTVTFIYVMPKSSYSTDQNKVLSVFYMIAIAIPMLNPLIYSLRNN EIKNALKRQLSKKTFS >ENSMUSP00000032315.2 pep:known chromosome:GRCm38:6:132855438:132856355:1 gene:ENSMUSG00000030194.2 transcript:ENSMUST00000032315.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r116 description:taste receptor, type 2, member 116 [Source:MGI Symbol;Acc:MGI:1890258] MNGVLQVTFIVILSVEFIIGIFGNGFIAVVNIKDLVKGRKISSVDQILTALAISRIALLW LILVSWWIFVLYPGQWMTDRRVSIMHSIWTTFNQSSLWFATSLSIFYFFKIANFSNPIFL YLKVRLKKVMIGTLIMSLILFCLNIIIMNAPENILITEYNVSMSYSLILNNTQLSMLFPF ANTMFGFIPFAVSLVTFVLLVFSLWKHQRKMQHSAHGCRDASTKAHIRALQTLIASLLLY SIFFLSHVMKVWSALLLERTLLLLITQVARTAFPSVHSWVLILGNAKMRKASLYVFLWLR CRHKE >ENSMUSP00000021519.4 pep:known chromosome:GRCm38:12:72939892:72944899:1 gene:ENSMUSG00000021099.6 transcript:ENSMUST00000021519.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Six6 description:sine oculis-related homeobox 6 [Source:MGI Symbol;Acc:MGI:1341840] MFQLPILNFSPQQVAGVCETLEESGDVERLGRFLWSLPVAPAACEALNKNESVLRARAIV AFHGGNYRELYHILENHKFTKESHAKLQALWLEAHYQEAEKLRGRPLGPVDKYRVRKKFP LPRTIWDGEQKTHCFKERTRHLLREWYLQDPYPNPSKKRELAQATGLTPTQVGNWFKNRR QRDRAAAAKNRLQQQVLSQGPGRVLRSEGEGTPEVLGVASSPAASLSSKAATSAISITSS DSECDI >ENSMUSP00000072686.1 pep:known chromosome:GRCm38:7:108629994:108630926:1 gene:ENSMUSG00000063764.1 transcript:ENSMUST00000072914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr508 description:olfactory receptor 508 [Source:MGI Symbol;Acc:MGI:3030342] MEPGNYTVVTEVILLGFTEDAIIRAILFIVFLIIYSVTLMGNASIIMLIRRSPQLHTPMY LLLSHLAFVDIGYSSSVTPIMLKGFLRKETFILVSGCVAQLCSVVTFGSTECFLLAAMAY DRYVAICSPLLYATQMSSTVCILLVGASYLGGCVNAWTFTGCLLNLSFCRPNKVNHFFCD YSPLLKISCSHDFSSEVIPAISSGSIIVVTVFIIALSYVYILVSILKMRSTEGRQKAFST CTSHLTAVTLFYGTITFIYVMPKSSYSTDQNKVVSVFYTVVIPMLNPIIYSLRNKDVKEA MKKLMANTHH >ENSMUSP00000109831.1 pep:known chromosome:GRCm38:16:84827866:84834239:-1 gene:ENSMUSG00000022890.13 transcript:ENSMUST00000114193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5j description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit F [Source:MGI Symbol;Acc:MGI:107777] MVLQRIFRLSSVLRSAVSVHLKRNIGVTAVAFNKELDPVQKLFVDKIREYKSKRQASGGP VDIGPEYQQDLDRELYKLKQMYGKGEMDTFPTFKFDDPKFEVIDKPQS >ENSMUSP00000023608.7 pep:known chromosome:GRCm38:16:84827871:84835625:-1 gene:ENSMUSG00000022890.13 transcript:ENSMUST00000023608.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5j description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit F [Source:MGI Symbol;Acc:MGI:107777] MVLQRIFRLSSVLRSAVSVHLKRNIGVTAVAFNKELDPVQKLFVDKIREYKSKRQASGGP VDIGPEYQQDLDRELYKLKQMYGKGEMDTFPTFKFDDPKFEVIDKPQS >ENSMUSP00000109829.1 pep:known chromosome:GRCm38:16:84827871:84835503:-1 gene:ENSMUSG00000022890.13 transcript:ENSMUST00000114191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5j description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit F [Source:MGI Symbol;Acc:MGI:107777] MVLQRIFRLSSVLRSAVSVHLKRNIGVTAVAFNKELDPVQKLFVDKIREYKSKRQASGGP VDIGPEYQQDLDRELYKLKQMYGKGEMDTFPTFKFDDPKFEVIDKPQS >ENSMUSP00000122527.1 pep:known chromosome:GRCm38:16:84828439:84835602:-1 gene:ENSMUSG00000022890.13 transcript:ENSMUST00000138279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5j description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit F [Source:MGI Symbol;Acc:MGI:107777] MVLQRIFRLSSVLRSAVSVHLKRNIGVTAVAFNKELDPVQKLFVDKIREYKSKRQASGGP VDIGPEYQQDLDRELYKLKQMYGKGEMDTFPT >ENSMUSP00000011055.6 pep:known chromosome:GRCm38:2:103073675:103092644:1 gene:ENSMUSG00000010911.12 transcript:ENSMUST00000011055.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apip description:APAF1 interacting protein [Source:MGI Symbol;Acc:MGI:1926788] MSGCQAQGDCCSRPCGAQDKEHPRFLIPELCKQFYHLGWVTGTGGGISLKHGNEIYIAPS GVQKERIQPEDMFVCDINEQDISGPPASKKLKKSQCTPLFMNAYTMRGAGAVIHTHSKAA VMATLLFPGQEFKITHQEMIKGIRKCTSGGYYRYDDMLVVPIIENTPEEKDLKERMAHAM NEYPDSCAVLVRRHGVYVWGETWEKAKTMCECYDYLFDIAVSMKKMGLDPTQLPVGENGI V >ENSMUSP00000100045.4 pep:known chromosome:GRCm38:6:34303934:34317478:-1 gene:ENSMUSG00000001642.18 transcript:ENSMUST00000102980.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1b3 description:aldo-keto reductase family 1, member B3 (aldose reductase) [Source:MGI Symbol;Acc:MGI:1353494] MASHLELNNGTKMPTLGLGTWKSPPGQVTEAVKVAIDLGYRHIDCAQVYQNEKEVGVALQ EKLKEQVVKRQDLFIVSKLWCTFHDKSMVKGAFQKTLSDLQLDYLDLYLIHWPTGFKPGP DYFPLDASGNVIPSDTDFVDTWTAMEQLVDEGLVKTIGVSNFNPLQIERILNKPGLKYKP AVNQIECHPYLTQEKLIEYCHSKGIVVTAYSPLGSPDRPWAKPEDPSLLEDPRIKAIAAK YNKTTAQVLIRFPIQRNLVVIPKSVTPVRIAENLKVFDFEVSSEDMATLLSYNRNWRVCA LMSCAKHKDYPFHAEV >ENSMUSP00000114391.1 pep:known chromosome:GRCm38:6:34310605:34317459:-1 gene:ENSMUSG00000001642.18 transcript:ENSMUST00000154655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1b3 description:aldo-keto reductase family 1, member B3 (aldose reductase) [Source:MGI Symbol;Acc:MGI:1353494] MASHLELNNGTKMPTLGLGTWKTDAVTSIFKNLEYGGPGHLDTVKSPPGQVTEAVKVAID LGYRHIDCAQVYQNEKEVGVALQEKLKEQVVKRQDLFIVSKLWCTFHDKSMVKGAFQKTL SDLQLDYLDLYLIHWPTGFKPGPDYFPLDASGNVIPSDTDFVDTWTAMEQLVDEGL >ENSMUSP00000120301.1 pep:known chromosome:GRCm38:17:28951950:28964121:1 gene:ENSMUSG00000005936.18 transcript:ENSMUST00000153462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd20 description:potassium channel tetramerisation domain containing 20 [Source:MGI Symbol;Acc:MGI:1914239] MPLPEDNKGSCFQSGSKRSHEPFIVPERFGNSGLGFGGGAHSQAPEKVTLLVDGTRFVVN PQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYVIAEGISATVFRTVLDYYKTGIINCPD GISIPDLRDTCDYLCINFDFNTIRCQDLSALLHELSNDGAHKQFDHYLEELILPIMVGCA KKGERECHIVVLTDEDSVDWDE >ENSMUSP00000112890.1 pep:known chromosome:GRCm38:17:28952436:28969549:1 gene:ENSMUSG00000005936.18 transcript:ENSMUST00000118762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd20 description:potassium channel tetramerisation domain containing 20 [Source:MGI Symbol;Acc:MGI:1914239] MPLPEDNKGSCFQSGSKRSHEPFIVPERFGNSGLGFGGGAHSQAPEKVTLLVDGTRFVVN PQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYVIAEGISATVFRTVLDYYKTGIINCPD GISIPDLRDTCDYLCINFDFNTIRCQDLSALLHELSNDGAHKQFDHYLEELILPIMVGCA KKGERECHIVVLTDEDSVDWDEDHPPPMGEEYSQILYSSKLYRFFKYIENRDVAKTVLKE RGLKNIRIGIEGYPTCKEKIKRRPGGRSEVIYNYVQRPFIQMSWEKEEGKSRHVDFQCVR SKSLTNLVAAGEDVLEDQEIIMHHPPQVDELDRLNAPLSQMAPNDFQD >ENSMUSP00000062282.8 pep:known chromosome:GRCm38:17:28952466:28967929:1 gene:ENSMUSG00000005936.18 transcript:ENSMUST00000057174.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd20 description:potassium channel tetramerisation domain containing 20 [Source:MGI Symbol;Acc:MGI:1914239] MNVHQGSDGDWSLQPELSCLGDEALAATQEKEGSSLVSSGLHSVTYPLAARSEDLALDYA SQPASLPHPHIMPLPEDNKGSCFQSGSKRSHEPFIVPERFGNSGLGFGGGAHSQAPEKVT LLVDGTRFVVNPQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYVIAEGISATVFRTVLD YYKTGIINCPDGISIPDLRDTCDYLCINFDFNTIRCQDLSALLHELSNDGAHKQFDHYLE ELILPIMVGCAKKGERECHIVVLTDEDSVDWDEDHPPPMGEEYSQILYSSKLYRFFKYIE NRDVAKTVLKERGLKNIRIGIEGYPTCKEKIKRRPGGRSEVIYNYVQRPFIQMSWEKEEG KSRHVDFQCVRSKSLTNLVAAGEDVLEDQEIIMHHPPQVDELDRLNAPLSQMAPNDFQD >ENSMUSP00000112957.1 pep:known chromosome:GRCm38:17:28952599:28967929:1 gene:ENSMUSG00000005936.18 transcript:ENSMUST00000122163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd20 description:potassium channel tetramerisation domain containing 20 [Source:MGI Symbol;Acc:MGI:1914239] MNVHQGSDGDWSLQPELSCLGDEALAATQEKEGSSLVSSGLHSVTYPLAARSEDLALDYA SQPASLPHPHIMPLPEDNKGSCFQSGSKRSHEPFIVPERFGNSGLGFGGGAHSQAPEKVT LLVDGTRFVVNPQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYVIAEGISATVFRTVLD YYKTGIINCPDGISIPDLRDTCDYLCINFDFNTIRCQDLSALLHELSNDGAHKQFDHYLE ELILPIMVGCAKKGERECHIVVLTDEDSVDWDEDHPPPMGEEYSQILYSSKLYRFFKYIE NRDVAKTVLKERGLKNIRIGIEGYPTCKEKIKRRPGGRSEVIYNYVQRPFIQMSWEKEEG KSRHVDFQCVRSKSLTNLVAAGEDVLEDQEIIMHHPPQVDELDRLNAPLSQMAPNDFQD >ENSMUSP00000122690.1 pep:known chromosome:GRCm38:17:28952688:28965078:1 gene:ENSMUSG00000005936.18 transcript:ENSMUST00000153831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd20 description:potassium channel tetramerisation domain containing 20 [Source:MGI Symbol;Acc:MGI:1914239] MPLPEDNKGSCFQSGSKRSHEPFIVPERFGNSGLGFGGGAHSQAPEKVTLLVDGTRFVVN PQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYVIAEGISATVFRTVLDYYKTGIINCPD GISIPDLRDTCDYLCINFDFNTIRCQDLSALLHELSNDGAHKQFDHYLEELILPIMVGCA KKGERECHIVVLTDEDSVDWDEDHPPPMGEEYSQILYSSKLYRFFKYIENRDVAKTVLKE RGLKNIRIG >ENSMUSP00000113740.1 pep:known chromosome:GRCm38:17:28953216:28967935:1 gene:ENSMUSG00000005936.18 transcript:ENSMUST00000117672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd20 description:potassium channel tetramerisation domain containing 20 [Source:MGI Symbol;Acc:MGI:1914239] MPLPEDNKGSCFQSGSKRSHEPFIVPERFGNSGLGFGGGAHSQAPEKVTLLVDGTRFVVN PQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYVIAEGISATVFRTVLDYYKTGIINCPD GISIPDLRDTCDYLCINFDFNTIRCQDLSALLHELSNDGAHKQFDHYLEELILPIMVGCA KKGERECHIVVLTDEDSVDWDEDHPPPMGEEYSQILYSSKLYRFFKYIENRDVAKTVLKE RGLKNIRIGIEGYPTCKEKIKRRPGGRSEVIYNYVQRPFIQMSWEKEEGKSRHVDFQCVR SKSLTNLVAAGEDVLEDQEIIMHHPPQVDELDRLNAPLSQMAPNDFQD >ENSMUSP00000131435.1 pep:known chromosome:GRCm38:17:28953216:28967937:1 gene:ENSMUSG00000005936.18 transcript:ENSMUST00000168507.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd20 description:potassium channel tetramerisation domain containing 20 [Source:MGI Symbol;Acc:MGI:1914239] MNVHQGSDGDWSLQPELSCLGDEALAATQEKEGSSLVSSGLHSVTYPLAARSEDLALDYA SQPASLPHPHIMPLPEDNKGSCFQSGSKRSHEPFIVPERFGNSGLGFGGGAHSQAPEKVT LLVDGTRFVVNPQIFTAHPDTMLGRMFGPGREYNFTRPNEKGEYVIAEGISATVFRTVLD YYKTGIINCPDGISIPDLRDTCDYLCINFDFNTIRCQDLSALLHELSNDGAHKQFDHYLE ELILPIMVGCAKKGERECHIVVLTDEDSVDWDEDHPPPMGEEYSQILYSSKLYRFFKYIE NRDVAKTVLKERGLKNIRIGIEGYPTCKEKIKRRPGGRSEVIYNYVQRPFIQMSWEKEEG KSRHVDFQCVRSKSLTNLVAAGEDVLEDQEIIMHHPPQVDELDRLNAPLSQMAPNDFQD >ENSMUSP00000031330.4 pep:known chromosome:GRCm38:5:93206518:93213475:1 gene:ENSMUSG00000029384.5 transcript:ENSMUST00000031330.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010109A12Rik description:RIKEN cDNA 2010109A12 gene [Source:MGI Symbol;Acc:MGI:1922860] MKRESAFCVHKEKESLKSMAFLHNLSWHNYCDTVESFLPLISSRKTGIKFLSESLKIMLD IERKKIASEICGERNQSVCENNSPPPQISDVLYHVSWRWPLIDFYWSTETITQEVTAHV >ENSMUSP00000053819.2 pep:known chromosome:GRCm38:7:108645584:108646658:-1 gene:ENSMUSG00000049280.3 transcript:ENSMUST00000061690.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr509 description:olfactory receptor 509 [Source:MGI Symbol;Acc:MGI:3030343] MGNHTTVNMFLLWGFSSFPELHNLLFVVVLLSHVTIILANAFIMVAIKLNHNLHAPMYFF LFALSFSETCTTMVILPRLLVDLISKNKAISLPECATQMFFFFGLGGNNCFILSAMSYDR YTAIHNPLHYPILMTQKICLHLIVASGVLGFSISLCIVITIFNLSFCNSNIIQHFFCDID PVVSLACNLTFYHKVILFALTAFVLVGSFIFIMVSYVFIVTVVIKMPSAKGRYKTFSTCS SHFTVVFIHYGFASFVYLRPKNSYSFRDATLLAVTYTILTPLLNPIIYSLRNKGIQTALK KDIGNIIRFFSKMVNKKAQKI >ENSMUSP00000029131.4 pep:known chromosome:GRCm38:2:155490379:155514848:-1 gene:ENSMUSG00000027603.15 transcript:ENSMUST00000029131.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt7 description:gamma-glutamyltransferase 7 [Source:MGI Symbol;Acc:MGI:1913385] MAAENEASQESALGAYSPVDYMSITSFPRLPEDEPAPAAPLRGRKDEDAFLGDPDTDPDS FLKSARLQRLPSSSSEMGSQDGSPLRETRKDPFSAAAAECSCRQDGLTVIVTACLTFATG VTVALVMQIYFGDPQIFQQGAVVTDASSCTALGMEVLSKQGSSVDAAVAAALCLGIVAPH SSGLGGGGVMLVHDIRRNESHLIDFRESAPGALREEALQRSWDTKPGLLVGVPGMVKGLH EAHQLYGRLPWSQVLAFAAAVAQDGFNVTHDLAHALAEQLPPNASDRFLDTFLPLGHPPL PGSLLRRPDLAEVLDILGTSGPAAFYNGGNLTLEMVAEAQHAGGVITEEDFSNYSALTEK PVCGVYRGHLVLSPPPPHTGPALISALNILEGFNLTSLVSREQALHWVAETLKIALALAS RLGDPVYDSTITESMDDMLSKVEAANFRGHISDSQAAPAPLLPVYELDGAPTAAQVLVMG PDDFIVAMVSSLNRPFGSGLLTPSGILLNSQMLDFSWPNRTANHSAPSLENSVQPGKRPL SFLLPTVVRPAEGLCGTYLALGANGAARGLSGLTQVLLNVLTLNRNLSDSLARGRLHPDL QSNLLQVDSEFTEEEIEFLEARGHHVEKVDVLSWVHGSRRTNTFIIGVKDPRSPDAAGAT IL >ENSMUSP00000135314.1 pep:known chromosome:GRCm38:2:155500765:155506954:-1 gene:ENSMUSG00000027603.15 transcript:ENSMUST00000176117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt7 description:gamma-glutamyltransferase 7 [Source:MGI Symbol;Acc:MGI:1913385] MGSQDGSPLRETRKDPFSAAAAECSCRQDGLTVIVTACLTFATGVTVALVMQIYFGDPQI FQQGAVVTDASSCTALGMEVLSKQGSSVDAAVAAALCLGIVAPHSSGLGGGGVMLVHDIR RNESHLIDFRESAPGALREEALQRSWDTKPGLLVGVPGMVKGLHEAHQLYGRLPWSQVLA FAAAVAQDGFNVTHDLAHALAEQLPPNASDRFLDTFLPLGHPPLPGSLLRRPDLAEVLDI LGTSGPAAFYNGGNLTLEMVAEAQHAGGVIT >ENSMUSP00000120560.1 pep:known chromosome:GRCm38:2:155504773:155518237:-1 gene:ENSMUSG00000027603.15 transcript:ENSMUST00000147601.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt7 description:gamma-glutamyltransferase 7 [Source:MGI Symbol;Acc:MGI:1913385] MAAENEASQESALGAYSPVDYMSITSFPRLPEDEPAPAAPLRGRKDEDAFLGDPDTDPDS FLKSARLQRLPSSSSEMGSQDGSPLRETRKDPFSAAAAECSCRQDGLTVIVTACLTFATG VTVALVMQIYFGDPQIFQQGAVVTDASSCTALGMEVLSKQGSSVDAAVAAALCLGIVAPH SSGLGGGGVMLVHDIRRNESHL >ENSMUSP00000036912.4 pep:known chromosome:GRCm38:9:51289686:51328917:-1 gene:ENSMUSG00000036027.11 transcript:ENSMUST00000039959.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810046K07Rik description:RIKEN cDNA 1810046K07 gene [Source:MGI Symbol;Acc:MGI:1917059] MPGSPVMSGYYGVRRSFLSDSDFHSSKQFSNDLYTSGMSKPFACESTAGQSHTGLLESYL AEPYGDYRPPALTPTPSSLFSTSTLPPLLPPPFPSDPTHFVFRDSWEQTVPDGLSQPDPM PADALQSLPPSTSCLSQLESGSSTQHRNMGWGASLAGAQSYSLHALEDLHHTPGYPTSPP YPFTSFMTVSNDLPPKVGPLSPEEGSDVSSLHDPSPWTKEDGSMAWGSYECRRAY >ENSMUSP00000137735.1 pep:known chromosome:GRCm38:9:51290444:51312669:-1 gene:ENSMUSG00000036027.11 transcript:ENSMUST00000181366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810046K07Rik description:RIKEN cDNA 1810046K07 gene [Source:MGI Symbol;Acc:MGI:1917059] MPGSPVMSGYYGVRRSFLSDSDFHSSKQFSNDLYTSGMSKPFACESTAGQSHTGLLESYL AEPYGDYRPPALTPTPSSLFSTSTLPPLLPPPFPSDPTHFVFR >ENSMUSP00000091713.4 pep:known chromosome:GRCm38:7:98350668:98361288:-1 gene:ENSMUSG00000049580.12 transcript:ENSMUST00000094161.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsku description:tsukushi, small leucine rich proteoglycan [Source:MGI Symbol;Acc:MGI:2443855] MLCSLFLLLLAVGRVQTTRPCFPGCQCEEETFGLFDSFSLIRVDCSSLGPHIVPVPIPLD TAHLDLSSNRLETVNESVLAGPGYTTLAGLDLSYNLLTSIMPSAFSRLRYLESLDLSHNG LAALPAEIFTSSPLSDINLSHNRLREVSISAFTTHSQGRALHVDLSHNLIHRLLPHPARA SLPAPTIQSLNLSWNRFRAVPDLRDLPLRYLSLDGNPLATINPDAFMGLAGLTHLSLASL QGILHLPPHGFRELPGLQVLDLSGNPKLKWAGAEVFSGLGLLQELDLSGSSLVPLPEMLL HHLPALQSVSVGQDVQCRRLVREGAYHRQPGSSPKVVLHCGDTQESAARGPDIL >ENSMUSP00000131789.1 pep:known chromosome:GRCm38:7:98350668:98361292:-1 gene:ENSMUSG00000049580.12 transcript:ENSMUST00000167405.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsku description:tsukushi, small leucine rich proteoglycan [Source:MGI Symbol;Acc:MGI:2443855] MLCSLFLLLLAVGRVQTTRPCFPGCQCEEETFGLFDSFSLIRVDCSSLGPHIVPVPIPLD TAHLDLSSNRLETVNESVLAGPGYTTLAGLDLSYNLLTSIMPSAFSRLRYLESLDLSHNG LAALPAEIFTSSPLSDINLSHNRLREVSISAFTTHSQGRALHVDLSHNLIHRLLPHPARA SLPAPTIQSLNLSWNRFRAVPDLRDLPLRYLSLDGNPLATINPDAFMGLAGLTHLSLASL QGILHLPPHGFRELPGLQVLDLSGNPKLKWAGAEVFSGLGLLQELDLSGSSLVPLPEMLL HHLPALQSVSVGQDVQCRRLVREGAYHRQPGSSPKVVLHCGDTQESAARGPDIL >ENSMUSP00000137437.1 pep:known chromosome:GRCm38:7:98350786:98360079:-1 gene:ENSMUSG00000049580.12 transcript:ENSMUST00000179780.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsku description:tsukushi, small leucine rich proteoglycan [Source:MGI Symbol;Acc:MGI:2443855] MLCSLFLLLLAVGRVQTTRPCFPGCQCEEETFGLFDSFSLIRVDCSSLGPHIVPVPIPLD TAHLDLSSNRLETVNESVLAGPGYTTLAGLDLSYNLLTSIMPSAFSRLRYLESLDLSHNG LAALPAEIFTSSPLSDINLSHNRLREVSISAFTTHSQGRALHVDLSHNLIHRLLPHPARA SLPAPTIQSLNLSWNRFRAVPDLRDLPLRYLSLDGNPLATINPDAFMGLAGLTHLSLASL QGILHLPPHGFRELPGLQVLDLSGNPKLKWAGAEVFSGLGLLQELDLSGSSLVPLPEMLL HHLPALQSVSVGQDVQCRRLVREGAYHRQPGSSPKVVLHCGDTQESAARGPDIL >ENSMUSP00000127242.1 pep:known chromosome:GRCm38:7:98350786:98360079:-1 gene:ENSMUSG00000049580.12 transcript:ENSMUST00000165901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsku description:tsukushi, small leucine rich proteoglycan [Source:MGI Symbol;Acc:MGI:2443855] MLCSLFLLLLAVGRVQTTRPCFPGCQCEEETFGLFDSFSLIRVDCSSLGPHIVPVPIPLD TAHLDLSSNRLETVNESVLAGPGYTTLAGLDLSYNLLTSIMPSAFSRLRYLESLDLSHNG LAALPAEIFTSSPLSDINLSHNRLREVSISAFTTHSQGRALHVDLSHNLIHRLLPHPARA SLPAPTIQSLNLSWNRFRAVPDLRDLPLRYLSLDGNPLATINPDAFMGLAGLTHLSLASL QGILHLPPHGFRELPGLQVLDLSGNPKLKWAGAEVFSGLGLLQELDLSGSSLVPLPEMLL HHLPALQSVSVGQDVQCRRLVREGAYHRQPGSSPKVVLHCGDTQESAARGPDIL >ENSMUSP00000146025.1 pep:known chromosome:GRCm38:7:98350868:98361288:-1 gene:ENSMUSG00000049580.12 transcript:ENSMUST00000206414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsku description:tsukushi, small leucine rich proteoglycan [Source:MGI Symbol;Acc:MGI:2443855] MLCSLFLLLLAVGRVQTTRPCFPGCQCEEETFGLFDSFSLIRVDCSSLGPHIVPVPIPLD TAHLDLSSNRLETVNESVLAGPGYTTLAGLDLSYNLLTSIMPSAFSRLRYLESLDLSHNG LAALPAEIFTSSPLSDINLSHNRLREVSISAFTTHSQGRALHVDLSHNLIHRLLPHPARA SLPAPTIQSLNLSWNRFRAVPDLRDLPLRYLSLDGNPLATINPDAFMGLAGLTHLSLASL QGILHLPPHGFRELPGLQVLDLSGNPKLKWAGAEVFSGLGLLQELDLSGSSLVPLPEMLL HHLPALQSVSVGQDVQCRRLVREGAYHRQPGSSPKVVLHCGDTQESAARGPDIL >ENSMUSP00000128431.1 pep:known chromosome:GRCm38:7:98350668:98361328:-1 gene:ENSMUSG00000049580.12 transcript:ENSMUST00000165257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsku description:tsukushi, small leucine rich proteoglycan [Source:MGI Symbol;Acc:MGI:2443855] MLCSLFLLLLAVGRVQTTRPCFPGCQCEEETFGLFDSFSLIRVDCSSLGPHIVPVPIPLD TAHLDLSSNRLETVNESVLAGPGYTTLAGLDLSYNLLTSIMPSAFSRLRYLESLDLSHNG LAALPAEIFTSSPLSDINLSHNRLREVSISAFTTHSQGRALHVDLSHNLIHRLLPHPARA SLPAPTIQSLNLSWNRFRAVPDLRDLPLRYLSLDGNPLATINPDAFMGLAGLTHLSLASL QGILHLPPHGFRELPGLQVLDLSGNPKLKWAGAEVFSGLGLLQELDLSGSSLVPLPEMLL HHLPALQSVSVGQDVQCRRLVREGAYHRQPGSSPKVVLHCGDTQESAARGPDIL >ENSMUSP00000130917.1 pep:known chromosome:GRCm38:7:98350668:98361288:-1 gene:ENSMUSG00000049580.12 transcript:ENSMUST00000164726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsku description:tsukushi, small leucine rich proteoglycan [Source:MGI Symbol;Acc:MGI:2443855] MLCSLFLLLLAVGRVQTTRPCFPGCQCEEETFGLFDSFSLIRVDCSSLGPHIVPVPIPLD TAHLDLSSNRLETVNESVLAGPGYTTLAGLDLSYNLLTSIMPSAFSRLRYLESLDLSHNG LAALPAEIFTSSPLSDINLSHNRLREVSISAFTTHSQGRALHVDLSHNLIHRLLPHPARA SLPAPTIQSLNLSWNRFRAVPDLRDLPLRYLSLDGNPLATINPDAFMGLAGLTHLSLASL QGILHLPPHGFRELPGLQVLDLSGNPKLKWAGAEVFSGLGLLQELDLSGSSLVPLPEMLL HHLPALQSVSVGQDVQCRRLVREGAYHRQPGSSPKVVLHCGDTQESAARGPDIL >ENSMUSP00000089622.2 pep:known chromosome:GRCm38:10:128989849:128990900:1 gene:ENSMUSG00000069430.2 transcript:ENSMUST00000091996.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr9 description:olfactory receptor 9 [Source:MGI Symbol;Acc:MGI:107600] MGDDNDTDITEFILLGFSGYGFLQGHLFWGVLCIYVVTLLGNSLIVLLTLADSALHSPMY FFLRHFSVVEILYTTTIVPRMLADLRSSCPTIPLASCFTQLYFFALFGIAECCLLTAMAY DRYAAICCPLHYTTLMSQGTYTGLVGASYLAGVISGTTHSIFIFTLPFRGAKTIHHFLCD ILPVLRLATASTFWGEVGNLFVTITFIFVPFLLIVASYACILVTILGVATSQGRQKLFST CSSHLFVVILFFGTATVAYMRPQADSFGNTDQILTLVYTVVTPMCNPFVYSLRNKEVTGA MRRLMKRYLWGP >ENSMUSP00000093486.3 pep:known chromosome:GRCm38:4:129111570:129118967:-1 gene:ENSMUSG00000028785.12 transcript:ENSMUST00000095807.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpca description:hippocalcin [Source:MGI Symbol;Acc:MGI:1336200] MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNVDEFKKIYANFFPYGD ASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGRLEQKLMWAFSMYDLDGNGYISREE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNNDGKLSLEEFIRGAKSDPSIV RLLQCDPSSASQF >ENSMUSP00000112145.2 pep:known chromosome:GRCm38:4:129111570:129122036:-1 gene:ENSMUSG00000028785.12 transcript:ENSMUST00000116444.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpca description:hippocalcin [Source:MGI Symbol;Acc:MGI:1336200] MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNVDEFKKIYANFFPYGD ASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGRLEQKLMWAFSMYDLDGNGYISREE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNNDGKLSLEEFIRGAKSDPSIV RLLQCDPSSASQF >ENSMUSP00000112143.2 pep:known chromosome:GRCm38:4:129112260:129120946:-1 gene:ENSMUSG00000028785.12 transcript:ENSMUST00000116442.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpca description:hippocalcin [Source:MGI Symbol;Acc:MGI:1336200] MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNVDEFKKIYANFFPYGD ASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGRLEQKLMWAFSMYDLDGNGYISREE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNNDGKLSLEEFIRGAKSDPSIV RLLQCDPSSASQF >ENSMUSP00000119178.2 pep:known chromosome:GRCm38:4:129112274:129121889:-1 gene:ENSMUSG00000028785.12 transcript:ENSMUST00000139450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpca description:hippocalcin [Source:MGI Symbol;Acc:MGI:1336200] MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNVDEFKKIYANFFPYGD ASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGRLEQKLMWAFSMYDLDGNGYISREE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNNDGKLSLEEFIRGAKSDPSIV RLLQCDPSSASQF >ENSMUSP00000115031.1 pep:known chromosome:GRCm38:4:129112449:129121925:-1 gene:ENSMUSG00000028785.12 transcript:ENSMUST00000125931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpca description:hippocalcin [Source:MGI Symbol;Acc:MGI:1336200] MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNVDEFKKIYANFFPYGD ASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGRLEQKLMWAFSMYDLDGNGYISREE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNNDGKLSLEEFIRGAKSDPSIV RLLQCDP >ENSMUSP00000115619.1 pep:known chromosome:GRCm38:4:129112675:129121739:-1 gene:ENSMUSG00000028785.12 transcript:ENSMUST00000149763.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpca description:hippocalcin [Source:MGI Symbol;Acc:MGI:1336200] MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNVDEFKKIYANFFPYGD ASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGRLEQKLMWAFSMYDLDGNGYISREE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNND >ENSMUSP00000120655.1 pep:known chromosome:GRCm38:4:129118605:129121708:-1 gene:ENSMUSG00000028785.12 transcript:ENSMUST00000135763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpca description:hippocalcin [Source:MGI Symbol;Acc:MGI:1336200] MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNV >ENSMUSP00000030572.3 pep:known chromosome:GRCm38:4:129111576:129121291:-1 gene:ENSMUSG00000028785.12 transcript:ENSMUST00000030572.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpca description:hippocalcin [Source:MGI Symbol;Acc:MGI:1336200] MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNVDEFKKIYANFFPYGD ASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGRLEQKLMWAFSMYDLDGNGYISREE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNNDGKLSLEEFIRGAKSDPSIV RLLQCDPSSASQF >ENSMUSP00000129548.1 pep:known chromosome:GRCm38:4:129111576:129121740:-1 gene:ENSMUSG00000028785.12 transcript:ENSMUST00000164649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpca description:hippocalcin [Source:MGI Symbol;Acc:MGI:1336200] MGKQNSKLRPEMLQDLRENTEFSELELQEWYKGFLKDCPTGILNVDEFKKIYANFFPYGD ASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGRLEQKLMWAFSMYDLDGNGYISREE MLEIVQAIYKMVSSVMKMPEDESTPEKRTEKIFRQMDTNNDGKLSLEEFIRGAKSDPSIV RLLQCDPSSASQF >ENSMUSP00000057557.3 pep:known chromosome:GRCm38:13:26768173:26770119:-1 gene:ENSMUSG00000045835.4 transcript:ENSMUST00000055915.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdgfl1 description:hepatoma derived growth factor-like 1 [Source:MGI Symbol;Acc:MGI:1194493] MSCFSRSKYKTGDLVFAKLKGYAHWPARIEHVAEANRYQVFFFGTHETALLGPRHLFPYE ESKEKFGKPNKRRGFSEGLWEIEHDPMVEASSSLCSEEDQSYTEDPGLAEEPELGQELVQ ELEPEFMPELEAEPEMPETECEQEPEPQPAYDLLDAVEEPGLTKAEPGDQQAEHVQEKHP EVEAEAEAEAEAEAEAEAEAKAEVEEPGSLKRSAEDEEPHCPLKRPREAAPGALEMEPAE EREAEACPFVEEPDQAQEQLPPLEEEATEKAVQGLIVGEIEGL >ENSMUSP00000076671.3 pep:known chromosome:GRCm38:10:129011222:129012265:1 gene:ENSMUSG00000063374.3 transcript:ENSMUST00000077460.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr763 description:olfactory receptor 763 [Source:MGI Symbol;Acc:MGI:3030597] MGDDNDTDITEFILLGFSGYGFLQGHLFWGVLCIYVVTLLGNSLIVLLTLADSALHSPMY FFLRHFSVVEILYTTTIVPRMLADLRSSCPTIPLASCFTQLYFFALFGIAECCLLTAMAY DRYAAICCPLHYTTLMSQGTYTGLVGASYLAGVISGTTHSIFIFTLPFRGAKTIHHFLCD ILPVLRLATASTFWGEVGNLFVTITFIFAPFLLIVASYACILATILGVATSQGRQKLFST CSSHLFVVILFFGTGTVAYMRPQADSFGDTDQIITLFYTVVTPMCNPFVYTLRNKEVTGA MRRLVKRYF >ENSMUSP00000050495.5 pep:known chromosome:GRCm38:1:93373930:93403402:1 gene:ENSMUSG00000034107.10 transcript:ENSMUST00000058682.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano7 description:anoctamin 7 [Source:MGI Symbol;Acc:MGI:3052714] MLRGQAREEDSVVLIDMASPEAGNGCSYGSTAQASEAGKQQVAPSRVGSSAKPPIDFVLV WEEDLRNQENPTKDKTDTHEVWRETFLENLCLAGLKIDQHDVQDEAAAVHYILLRAPWAV LCYYAEDLRLKLPLQELPNQASNWSATLLEWLGIPNILLEHVPDTPPEYYSCQFKASKLQ WFLGSDNQDTFFTSTKRHQILFEILAKTPYGHEKKGLFGIDQLLAEGVFSAAFPLHDGPF SAVPESSQVLGLIQRQVLFQHWARWGKWNKYQPLDHVRRYFGEKVALYFAWLGFYTGWLL PAAVVGTVVFLVGCFLVFSDIPTQELCHSSDSFDMCPLCSDCSFWLLSSACTLAQAGRLF DHGGTVFFSLFMALWAVLLLEYWKRKNATLAYRWDCSDYEDIEERPRPQFAATAPMTALN PITGEDEPYFPEKNRVRRMLAGSVVLLMMVAVVIMCLVSVILYRAVMAIIVSRSDNAFLS AWASRIASLTGSVVNLVFILILSKVYVLLAQVLTRWEMHRTQTEFEDAFTLKVFIFQFVN FYASPVYIAFFKGRFVGYPGNYHTLFGIRNEECPAGGCLSELAQELLVIMVGKQIINNVQ EVLVPKLKGCWQKFSRGKKAGTGTHPAPWEADYELLPCEGLFHEYLEMVLQFGFVTIFVA ACPLAPLFALLNNWVEIRLDARKFVCEYRRPVAERAQDIGIWFHILTGLTHLAVISNAFL LAFSSDFLPRVYYSWTHAPDLHGFLNFTLARAPPTFTSAHNRTCRYRAFRDDDGHYSPTY WTLLAIRLAFVIVFEHVVFSIGRVLDLLVPDIPESVEIKVKREYYLAKQALAENEVTVGV TGG >ENSMUSP00000140438.1 pep:known chromosome:GRCm38:1:93373930:93404303:1 gene:ENSMUSG00000034107.10 transcript:ENSMUST00000186641.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano7 description:anoctamin 7 [Source:MGI Symbol;Acc:MGI:3052714] MLRGQAREEDSVVLIDMASPEAGNGCSYGSTAQASEAGKQQVAPSRVGSSAKPPIDFVLV WEEDLRNQENPTKDKTDTHEVWRETFLENLCLAGLKIDQHDVQDEAAAVHYILLRAPWAV LCYYAEDLRLKLPLQELPNQASNWSATLLEWLGIPNILLEHVPDTPPEYYSCQFKASKLQ WFLGSDNQDTFFTSTKRHQILFEILAKTPYGHEKKGLFGIDQLLAEGVFSAAFPLHDGPF SAVPESSQVLGLIQRQVLFQHWARWGKWNKYQPLDHVRRYFGEKVALYFAWLGFYTGWLL PAAVVGTVVFLVGCFLVFSDIPTQELCHSSDSFDMCPLCSDCSFWLLSSACTLAQAGRLF DHGGTVFFSLFMALWAVLLLEYWKRKNATLAYRWDCSDYEDIEERPRPQFAATAPMTALN PITGEDEPYFPEKNRVRRMLAGSVVLLMMVAVVIMCLVSVILYRAVMAIIVSRSDNAFLS AWASRIASLTGSVVNLVFILILSKVYVLLAQVLTRWEMHRTQTEFEDAFTLKVFIFQFVN FYASPVYIAFFKGRFVGYPGNYHTLFGIRNEECPAGGCLSELAQELLVIMVGKQIINNVQ EVLVPKLKGCWQKFSRGKKAGTGTHPAPWEADYELLPCEGLFHEYLEMVLQFGFVTIFVA ACPLAPLFALLNNWVEIRLDARKFVCEYRRPVAERAQDIGIWFHILTGLTHLAVISNAFL LAFSSDFLPRVYYSWTHAPDLHGFLNFTLARAPPTFTSAHNRTCRYRAFRDDDGHYSPTY WTLLAIRLAFVIVFEHVVFSIGRVLDLLVPDIPESVEIKVKREYYLAKQALAENEALLGA TGVKDDQPPSSEPSLGLPA >ENSMUSP00000139733.1 pep:known chromosome:GRCm38:1:93401861:93403570:1 gene:ENSMUSG00000034107.10 transcript:ENSMUST00000190340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano7 description:anoctamin 7 [Source:MGI Symbol;Acc:MGI:3052714] XAPPTFTSAHNRTCRYRAFRDDDGHYSPTYWTLLAIRLAFVIVFEALLGATGVKDDQPPS SEPSLGLPA >ENSMUSP00000139040.1 pep:known chromosome:GRCm38:X:8684887:8690844:-1 gene:ENSMUSG00000079703.12 transcript:ENSMUST00000185125.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb8 description:synovial sarcoma, X member B, breakpoint 8 [Source:MGI Symbol;Acc:MGI:2446777] METVSFCEKVPMEVLYEPKNICKAFQDISTYFSDEEWGKLTQWQKSAYVYMKRNYIRMTD LGVTVNQPVFMSGKEQDKQSLVEGIEVHDSEDECFEGSFGVTPIKQMKLTPVTISIHNVE GSLASGENNSNLAETSGIQVNVWSHRLRERKYRVIYEEISDPEEEEDDDY >ENSMUSP00000099213.2 pep:known chromosome:GRCm38:X:8685331:8690844:-1 gene:ENSMUSG00000079703.12 transcript:ENSMUST00000101690.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb8 description:synovial sarcoma, X member B, breakpoint 8 [Source:MGI Symbol;Acc:MGI:2446777] METVSFCEKVPMEVLYEPKNICKAFQDISTYFSDEEWGKLTQWQKSAYVYMKRNYIRMTD LGVTVNQPVFMSGKEQDKQSLVEGIEVHDSEDECFEGSFGVTPIKQMKLTPVTISIHNVE GSLASGENNSNLAETSGIQVNVWSHRLRERKYRVIYEEISDPEEEEDDDY >ENSMUSP00000122511.1 pep:known chromosome:GRCm38:16:32097044:32099711:-1 gene:ENSMUSG00000023791.14 transcript:ENSMUST00000144216.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigx description:phosphatidylinositol glycan anchor biosynthesis, class X [Source:MGI Symbol;Acc:MGI:1919334] LAAGAVAWLLLWAAWLVGRLAADFSDAPFSAGKGQGAERVCAELGRRWGAPAAPGVPEAG GS >ENSMUSP00000119754.1 pep:known chromosome:GRCm38:16:32087296:32099696:-1 gene:ENSMUSG00000023791.14 transcript:ENSMUST00000133584.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigx description:phosphatidylinositol glycan anchor biosynthesis, class X [Source:MGI Symbol;Acc:MGI:1919334] LAAGAVAWLLLWAAWLVGRLAADFSDAPFSAGVRATCSEIILRQEFLKDGFHRQKMDSVG YPRTGVTDNSEPPCGC >ENSMUSP00000118256.1 pep:known chromosome:GRCm38:16:32087502:32099700:-1 gene:ENSMUSG00000023791.14 transcript:ENSMUST00000136643.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigx description:phosphatidylinositol glycan anchor biosynthesis, class X [Source:MGI Symbol;Acc:MGI:1919334] LAAGAVAWLLLWAAWLVGRLAADFSDAPFSAGKGVRATCSEIILRQEFLKDGFHRQKMDS VGYPRTGVTDNSEPPCGC >ENSMUSP00000121801.1 pep:known chromosome:GRCm38:16:32084459:32099707:-1 gene:ENSMUSG00000023791.14 transcript:ENSMUST00000147688.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigx description:phosphatidylinositol glycan anchor biosynthesis, class X [Source:MGI Symbol;Acc:MGI:1919334] LAAGAVAWLLLWAAWLVGRLAADFSDAPFSAGKGVRATCSEIILRQEFLKDGFHRDLLIK VKFGESIEDLQTCRLLIKHYIPPGLFVDPYELASLRERNLTESFQF >ENSMUSP00000093819.4 pep:known chromosome:GRCm38:16:32084424:32099738:-1 gene:ENSMUSG00000023791.14 transcript:ENSMUST00000096109.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigx description:phosphatidylinositol glycan anchor biosynthesis, class X [Source:MGI Symbol;Acc:MGI:1919334] LAAGAVAWLLLWAAWLVGRLAADFSDAPFSAGKGVRATCSEIILRQEFLKDGFHRDLLIK VKFGESIEDLQTCRLLIKHYIPPGLFVDPYELASLRERNLTEAVMLSESFNIEAPNYLSN ESAVLIYARQDAQCIDCFQAFLPVHYRYHRPHKKDGDTLIVVNNPDLLMYCDQEFPILKC WAQSEVAAPCALKSEEICQWKSMQYKSILKNLTVQVPVGLTIHTSLVCSVTLLITILCST LILLAVFKYGHFSL >ENSMUSP00000114854.1 pep:known chromosome:GRCm38:16:32084438:32099728:-1 gene:ENSMUSG00000023791.14 transcript:ENSMUST00000155966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigx description:phosphatidylinositol glycan anchor biosynthesis, class X [Source:MGI Symbol;Acc:MGI:1919334] LAAGAVAWLLLWAAWLVGRLAADFSDAPFSAGVRATCSEIILRQEFLKDGFHRDLLIKVK FGESIEDLQTCRLLIKHYIPPGLFVDPYELASLRERNLTEAVMLSESFNIEAPNYLSNES AVLIYARQDAQCIDCFQAFLPVHYRYHRPHKKDGDTLIVVNNPDLLMYCDQEFPILKCWA QSEVAAPCALKSEEICQWKSMQYKSILKNLTVQVPVGLTIHTSLVCSVTLLITILCSTLI LLAVFKYGHFSL >ENSMUSP00000120272.1 pep:known chromosome:GRCm38:16:32085288:32099693:-1 gene:ENSMUSG00000023791.14 transcript:ENSMUST00000147003.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigx description:phosphatidylinositol glycan anchor biosynthesis, class X [Source:MGI Symbol;Acc:MGI:1919334] LAAGAVAWLLLWAAWLVGRLAADFSDAPFSAVCPQQLLTRFYIVGPSSVSQLLILLASCC >ENSMUSP00000141122.1 pep:known chromosome:GRCm38:16:32084418:32099727:-1 gene:ENSMUSG00000023791.14 transcript:ENSMUST00000189013.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigx description:phosphatidylinositol glycan anchor biosynthesis, class X [Source:MGI Symbol;Acc:MGI:1919334] MAAGAVAWLLLWAAWLVGRLAADFSDAPFSAGVRATCSEIILRQEFLKDGFHRDLLIKVK FGESIEDLQTCRLLIKHYIPPGLFVDPYELASLRERNLTEAVMLSESFNIEAPNYLSNES AVLIYARQDAQCIDCFQAFLPVHYRYHRPHKKDGDTLIVVNNPDLLMYCDQEFPILKCWA QSEVAAPCALKSEEICQWKSMQYKSILKNLTVQVPVGLTIHTSLVCSVTLLITILCSTLI LLAVFKYGHFSL >ENSMUSP00000123994.1 pep:known chromosome:GRCm38:19:6067842:6080324:-1 gene:ENSMUSG00000024797.12 transcript:ENSMUST00000159832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps51 description:vacuolar protein sorting 51 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915755] MAAAAAVGPGLGSGPGDSPEGPEADAPERRRKAHGMLKLYYGLSEGEVAGHPAGPDPLDP TDLNGAHFDPEVYLDKLRRECPLAQLMDSETDMVRQIRALDSDMQTLVYENYNKFISATD TIRKMKNDFRKMEDEMDRLATNMAVITNFSARISATLQDRHERITKLAGVHALLRKLQFL FELPSRLTKCVELGAYGQAVRYQGRARAVLQQYQHLPSFRAIQDDCQVITARLAQQLRQR FREGCSGAPEQAECVELLLALGEPAEELCEEFLAHARGRLEEELSSLEAELGPSPPAPDV LEFTDRGGNGFVGGLCQVAAAYQELFAAQGPAGAEKLAAFAQELGGRYFALVERRLAQEQ GGSDNSLLVRALDRFHRRLRAPGALLAAAGLSESATEIVERVARERLSHHLQGLKAAFLS SLTDVRQALAAPRLAGKEGPSLAELLANVASSILSHIKTSLASVHLFTAKEVSFSNKPYF RGEFCSQGVREGLIVGFIRSMCQTAQSFCDSPGEKGGATPPALLLLLSRLCLDYETATIS YILTLTDEQFLVQDQSPVTPVSTLCAEARETARRLLTHYVKVQGLVISQMLRKSVETRDW LSTLEPRNVRAVMKRVVEDTTAIDVQVGLLYEEGVRKAQSSDSSKRTFSVYSSSRQQGRY APSYTPSAPMDTNLLSNIQKLFSERIDVFSPVEFNKVSVLTGIIKISLKTLLECVRLRTF GRFGLQQVQVDCHFLQLYLWRFVADEELVHLLLDEVVASAALRCPDPVPMEPSVVEVICE RG >ENSMUSP00000124244.1 pep:known chromosome:GRCm38:19:6068217:6069071:-1 gene:ENSMUSG00000024797.12 transcript:ENSMUST00000159475.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps51 description:vacuolar protein sorting 51 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915755] XSSRQQGRYAPSYTPSAPMDTNLLSNIQKLFSERIDVFSPVEFNKNLDVSSSPKDGNPEL HPCVHLQVSVLTGIIKISLKTLLECVRLRTFGRFGLQQVQVDCHFLQLYLWRFVADEELV >ENSMUSP00000124842.1 pep:known chromosome:GRCm38:19:6071482:6077198:-1 gene:ENSMUSG00000024797.12 transcript:ENSMUST00000160233.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps51 description:vacuolar protein sorting 51 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915755] MAAAAAVGPGLGSGPGDSPEGPEADAPERRRKAHGMLKLYYGLSEGEVAGHPAGPDPLDP TDLNGAHFDPEVYLDKTPFER >ENSMUSP00000123857.1 pep:known chromosome:GRCm38:19:6075903:6077200:-1 gene:ENSMUSG00000024797.12 transcript:ENSMUST00000160590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps51 description:vacuolar protein sorting 51 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915755] MAAAAAVGPGLGSGPGDSPEGPEADAPERRRKAHGMLKLYYGLSEGEVAGHPAGPDPLDP TDLNGAHFDPEVYLDKLRRECPLAQLMDSETDMVRQIRALDSDMQTLVYENYNKFISATG DPTGTHSSVLHHPHQVLTPC >ENSMUSP00000025711.5 pep:known chromosome:GRCm38:19:6067994:6077197:-1 gene:ENSMUSG00000024797.12 transcript:ENSMUST00000025711.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps51 description:vacuolar protein sorting 51 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915755] MAAAAAVGPGLGSGPGDSPEGPEADAPERRRKAHGMLKLYYGLSEGEVAGHPAGPDPLDP TDLNGAHFDPEVYLDKLRRECPLAQLMDSETDMVRQIRALDSDMQTLVYENYNKFISATD TIRKMKNDFRKMEDEMDRLATNMAVITNFSARISATLQDRHERITKLAGVHALLRKLQFL FELPSRLTKCVELGAYGQAVRYQGRARAVLQQYQHLPSFRAIQDDCQVITARLAQQLRQR FREGCSGAPEQAECVELLLALGEPAEELCEEFLAHARGRLEEELSSLEAELGPSPPAPDV LEFTDRGGNGFVGGLCQVAAAYQELFAAQGPAGAEKLAAFAQELGGRYFALVERRLAQEQ GGSDNSLLVRALDRFHRRLRAPGALLAAAGLSESATEIVERVARERLSHHLQGLKAAFLS SLTDVRQALAAPRLAGKEGPSLAELLANVASSILSHIKTSLASVHLFTAKEVSFSNKPYF RGEFCSQGVREGLIVGFIRSMCQTAQSFCDSPGEKGGATPPALLLLLSRLCLDYETATIS YILTLTDEQFLVQDQSPVTPVSTLCAEARETARRLLTHYVKVQGLVISQMLRKSVETRDW LSTLEPRNVRAVMKRVVEDTTAIDVQVGLLYEEGVRKAQSSDSSKRTFSVYSSSRQQGRY APSYTPSAPMDTNLLSNIQKLFSERIDVFSPVEFNKVSVLTGIIKISLKTLLECVRLRTF GRFGLQQVQVDCHFLQLYLWRFVADEELVHLLLDEVVASAALRCPDPVPMEPSVVEVICE RG >ENSMUSP00000075637.1 pep:known chromosome:GRCm38:7:108667418:108668362:1 gene:ENSMUSG00000096209.1 transcript:ENSMUST00000076289.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr510 description:olfactory receptor 510 [Source:MGI Symbol;Acc:MGI:3030344] MAFLEDGNHTVVTEFILLGLTDDPVLRVILFIIILCIYLVTVSGNLSTILLIRVSSQLHH PMYFFLSHLASIDIAISSSVTPNMVVNFLVERSSISYIGCGIQLGSAVFFGAIECFLLAV MAYDRFVAICNPLLYSTKMSKQVCIQLLVGSYIGGFIHASFFTLSFVSFLFCGPNRINHF FCDFTPLVELSCSDNSVLIILDSFSTGTIIVITVFVIAISYTCILITILKMHSTEGRHKA FSTCTSHLTVVTLLYGTVTFIYVMPKSSYSTDQNKVISVFYMVVIPMLNPIIYSLRNNEI KGALKKQLGEKNIF >ENSMUSP00000081532.2 pep:known chromosome:GRCm38:4:71755643:71757965:-1 gene:ENSMUSG00000066141.2 transcript:ENSMUST00000084489.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11232 description:predicted gene 11232 [Source:MGI Symbol;Acc:MGI:3651439] MNETPDEKPGHVKLEMSTQSSSKRMQPLDQKDKENQSSESWGNTGRSSKSSPKGKENTWP KSEVKVPSQKKFQQSWAVSSCGPNKRSSKLKEKKRDKPSPSRERGRDKLVSTHRRDISHT KLHVRDQRPQPRSPVRTLKRSRGGDSPDAPYHSAKRCRKPSLPEASLDLTFSQLMSRVFT NLSALQVTLDDLQAPGGAFLHVPSSSLEPTVSQRAWLTWQLYHAGATVHWALQTVNSILN SQASLPRHTCPQGYSFTADPRTVPTSSLLRRPRSASWHRKRGTYHFPTWDDHSRP >ENSMUSP00000045327.8 pep:known chromosome:GRCm38:1:65061872:65063452:-1 gene:ENSMUSG00000067299.11 transcript:ENSMUST00000045028.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crygd description:crystallin, gamma D [Source:MGI Symbol;Acc:MGI:88524] MGKITFYEDRGFQGRHYECSTDHSNLQPYFSRCNSVRVDSGCWMLYEQPNFTGCQYFLRR GDYPDYQQWMGFSDSVRSCRLIPHAGSHRIRLYEREEYRGQMIEFTEDCPSLQDRFHFNE IYSLNVLEGCWVLYDMTNYRGRQYLLRPGEYRRYHDWGAMNARVGSLRRVMDFY >ENSMUSP00000122528.1 pep:known chromosome:GRCm38:1:65062345:65063301:-1 gene:ENSMUSG00000067299.11 transcript:ENSMUST00000146122.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crygd description:crystallin, gamma D [Source:MGI Symbol;Acc:MGI:88524] ITFYEDRGFQGRHYECSTDHSNLQPYFSRCNSVRVDSGCWMLYEQPNFTGCQYFLRRGDY PDYQQWMGFSDSVRSCRLIPHYAAQEVVWALQFLNTLIVPSPIHFLILPD >ENSMUSP00000109084.2 pep:known chromosome:GRCm38:1:82586976:82722059:1 gene:ENSMUSG00000079465.8 transcript:ENSMUST00000113457.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a3 description:collagen, type IV, alpha 3 [Source:MGI Symbol;Acc:MGI:104688] MHSKTAPRFLVFLLLTLLLLLAASPVASKGCVCKGKGQCLCAGTKGEKGEKGVPGSPGFP GQKGFPGPEGLPGPQGPKGSPGLPGLTGPKGIRGITGLPGFAGPPGLPGLPGHPGPRGLA GLPGCNGSKGEQGFPGFPGTPGYAGLPGPDGLKGQKGEPAQGEDRGFNGKGDPGPPGVPG FQGFPGLPGFPGPAGPPGPPGFFGLPGAMGPRGPKGHMGDSVIGQKGERGMKGLTGPPGP PGTVIFTLTQPYNKSDFKGEKGDEGERGEPGPPGPSGPPGDSYGSEKGAPGEPGPRGKPG KDGAPGFPGTEGAKGNRGFPGLRGEAGIKGRKGDIGPPGFPGPTEYYDAYLEKGERGMPG LPGPKGARGPQGPSGPPGVPGSPGLSRPGLRGPIGWPGLKGSKGERGPPGKDTVGPPGPL GCPGSPGPPGPPGPPGCPGDIVFKCSPGEHGMPGDTGPPGVPGLDGPKGEPGSPCTECHC FPGPPGVPGFPGLDGIKGIPGGRGVPGLKGNPGSPGSAGLPGFAGFPGDQGHPGLKGDKG DTPLPWGQVGNPGDPGLRGLPGRKGFDGTPGGPGAKGPPGPQGEPALSGRKGDQGPPGPP GFPGPPGPAGPAGPPGYGPQGEPGPKGAQGVPGVLGPPGEAGLKGEPSTSTPDLGPPGPP GPPGQAGPRGLPGLPGPVGKCDPGLPGPDGEPGIPEAGCPGPPGPKGNQGFPGTKGSPGC PGEMGKPGRPGEPGIPGAKGEPSVGRPGKPGKPGFPGERGNAGENGDIGLPGLPGLPGTP GRGGLDGPPGDPGQPGSPGAKGSPGRCIPGPRGTQGLPGLNGLKGQPGRRGDTGPKGDPG IPGMDRSGVPGDPGPPGTPGCPGEMGPPGQKGYPGAPGFPGPPGEKGEVGMMGYPGTTGP PGLPGKPGSQGQRGSLGIPGMKGEKGRPGAKGERGEKGKPGPSQTTLLKGDKGEPGLKGF VGNPGEKGNRGNPGLPGPKGLEGLPGLPGPPGPRGDTGSRGNPGRPGPHGMPGSMGIMGV PGPKGRKGTSGLPGLAGRPGLTGIHGPQGDKGEPGYSEGARPGPPGPKGDPGLPGDKGKK GERGVPGPPGQSGPAGPDGAPGSPGSPGHPGKPGPAGDLGLKGQKGFPGPPGSTGPPGPP GLPGLPGPMGMRGDQGRDGIPGPPGEKGETGLLGAYPGPKGSPGVPGAKGDRGVPGLSGL PGRKGVMGDVGPQGPPGTAGLPGPPGLPGAIIPGPKGDRGLPGLRGNPGEPGPPGPPGPI GKGIKGDKGFMGPPGPKGLPGTVGDMGPPGFPGAPGTPGLPGVRGDPGFPGFPGIKGEKG NPGFLGPIGHPGPVGPKGPPGPRGKPGTLKVISLPGSPGPPGVPGQPGMKGDPGPLGLPG IPGPCGPRGKPGKDGKPGTPGPAGTKGNKGLKGQQGPPGLDGLPGLKGNPGDRGTPATGT RMRGFIFTRHSQTTAIPSCPEGTQPLYSGFSLLFVQGNKRAHGQDLGTLGSCLQRFTTMP FLFCNINNVCNFASRNDYSYWLSTPALMPMDMAPISGRALEPYISRCTVCEGPAMAIAVH SQTTAIPPCPQDWVSLWKGFSFIMFTSAGSEGAGQALASPGSCLEEFRASPFIECHGRGT CNYYSNSYSFWLASLNPERMFRKPIPSTVKAGDLEKIISRCQVCMKKRH >ENSMUSP00000137944.1 pep:known chromosome:GRCm38:1:82715304:82718884:1 gene:ENSMUSG00000079465.8 transcript:ENSMUST00000125563.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Col4a3 description:collagen, type IV, alpha 3 [Source:MGI Symbol;Acc:MGI:104688] ATGTRMRGFIFTRHSQTTAIPSCPEGTQPLYSGFSLLFVQGNKRAHGQDLDAPSVKVQQW P >ENSMUSP00000119094.1 pep:known chromosome:GRCm38:1:82715304:82718982:1 gene:ENSMUSG00000079465.8 transcript:ENSMUST00000152664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a3 description:collagen, type IV, alpha 3 [Source:MGI Symbol;Acc:MGI:104688] ATGTRMRGFIFTRHSQTTAIPSCPEGTQPLYSGFSLLFVQGNKRAHGQDLGTLGSCLQRF TTMPFLFCNINNVCNFASRNDYSYWLSTPALMPMDMAPISGRALEPYISRCTVCEGPAMA IAVHSQTTAIPPCPQDWVSLWKGFSFIMKTYSINCESWRLRENHKPLSGVHEEKTLTKSK KPEPFFFFFFFFLFLLK >ENSMUSP00000046774.5 pep:known chromosome:GRCm38:15:73512560:73572242:1 gene:ENSMUSG00000036661.13 transcript:ENSMUST00000043414.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd3 description:DENN/MADD domain containing 3 [Source:MGI Symbol;Acc:MGI:2146009] MAEPAARHLSLPSGLLELCALLGASQDSLRGLEQIAQKRGVKSASSLVPEVLSVFVPPFT TKEDGQVPGASCALGKGRRRSFRKKREKPRMEPWKSHPGDSKGPDSEDVTIPGGVDLLAL PQLCFPGCVCVASEPKEDYIHFLVLTDVCGNRTYGVVAQYYRPLHDEYCFYNGKSHWEPS VISARCFVPFAVCVVSRFPYYNSLKDCLSCLLTHLKLCKDFEVDNHIKDFAARLSLIPSP PPGPLHLIFNMKPLQVVFPSRADPESPIVDLDLHLPLLCFRPEKVLQILTCILTEQRIVF FSSDWALLTLMAECFVAYLHPLQWQHTFVPILSGQMLDFVMAPTSFLMGCHLDHFEEVRK EADGLVLIDIDHGSVTCSKSSDDNIDIPDVPLLLAQTFIQRVQSLQLHPDLHLAHLSAST DLNEGRARRRAWQQTLNCKIQHITLQLLVGIFREVKNHLNYEHRVFNSEEFLKTRAAGDQ QFYKQVLDTYMFHSFLKARLNGRMDAFARMDLDTQSEEDRIDRMLISPRRPTVEKMASRK ASPLHITHRRMVVSMPNLQDISLPELPPRNSSLRIMDTSNCRSSSPVLKVTPKSTYMFKI PDIHFPLESQCVQAYYTDFVTLLSKAMALLGPGDSLLLARYFYLRGLLHLMQGQLLSALL DFQNLYKTDIGIFPADLVKRTVESMSASERAQAERTPELRRLITEVFDKHGEAPKADDAV KNFELPKKHMQLNDFVKRVQESGIVKDAVIIHRLFDALTFGHEKQIDPETFRDFYTCWKE TEAEAQEVSLPALLMEHLDKNECVYKLSSSVKTNRGVGKIAMTQKRLFLLTEGRPGYVEI ATFRNIEEVKNSTVAFLLLRIPTLKIKTVAKKEVFEANLKSECDLWHLMVKEMWAGKQLA DDHKDPQYVQQALTNVLLMDAVVGTLQSPSAIHAASKLAYFDNMKKKSPMAVPKTTSETL KHKINPSAGETAPQAIEVLLYTPGRLDPAEKVEDAHPKLWCALNEGKVVVFDASSWTVHQ HCFKVGSSKVNCMVMAEHNQVWVGSEDSVIYIINVHSMSCNKQLTDHRSPVTGLAVHNGK KPSEIYSCSLDGTVIAWNVSTLRVISRFQLSYGDLLSISLHNDRIWCCTVHKILVVTPQG FVRQELKHPKDASFLAFQLLPEEQQLWAASTGVSELYMWSLKDLDQPPQKTYLQDCSEVT CMIRVKRQIWVGGRGLSQGKTRGKIYVMDVEKVTVEKELVAHLDTVRTLCSAEDRYVLSG AGQEEGKIAIWKVE >ENSMUSP00000134002.1 pep:known chromosome:GRCm38:15:73512672:73572099:1 gene:ENSMUSG00000036661.13 transcript:ENSMUST00000173292.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd3 description:DENN/MADD domain containing 3 [Source:MGI Symbol;Acc:MGI:2146009] MAEPAARHLSLPSGLLELCALLGASQDSLRGLEQIAQKRGVKSASSLVPEVLSVFVPPFT TKEDGQVPGASCALGKGRRRSFRKKREKPRMEPWKSHPGDSKGPDSEDVTIPGGVDLLAL PQLCFPGCVCVASEPKEDYIHFLVLTDVCGNRTYGVVAQYYRPLHDEYCFYNGKSHWEPS VISARCFVPFAVCVVSRFPYYNSLKDCLSCLLTHLKLCKDFEVDNHIKDFAARLSLIPSP PPGPLHLIFNMKPLQVVFPSRADPESPIVDLDLHLPLLCFRPEKVLQILTCILTEQRIVF FSSDWALLTLMAECFVAYLHPLQWQHTFVPILSGQMLDFVMAPTSFLMGCHLDHFEEVRK EADGLVLIDIDHGSVTCSKSSDDNIDIPDVPLLLAQTFIQRVQSLQLHPDLHLAHLSAST DLNEGRARRRAWQQTLNCKIQHITLQLLVGIFREVKNHLNYEHRVFNSEEFLKTRAAGDQ QFYKQVLDTYMFHSFLKARLNGRMDAFARMDLDTQSEEDRIDRMLISPRRPTVEKMASRK ASPLHITHRRMVVSMPNLQDISLPELPPRNSSLRIMDTSNCRSSSPVLKVTPKSTYMFKI PDIHFPLESQCVQAYYTDFVTLLSKAMALLGPGDSLLLARYFYLRGLLHLMQGQLLSALL DFQNLYKTDIGIFPADLVKRTVESMSASERAQAERTPELRRLITEVFDKHGEAPKADDAV KNFELPKKHMQLNDFVKRVQESGIVKDAVIIHRLFDALTFGHEKQIDPETFRDFYTCWKE TEAEAQEVSLPALLMEHLDKNECVYKLSSSVKTNRGVGKIAMTQKRLFLLTEGRPGYVEI ATFRNIEEVKNSTVAFLLLRIPTLKIKTVAKKEVFEANLKSECDLWHLMVKEMWAGKQLA DDHKDPQYVQQALTNVLLMDAVVGTLQSPSAIHAASKLAYFDNMKKKSPMAVPKTTSETL KHKINPSAGETAPQAIEVLLYTPGRLDPAEKVEDAHPKLWCALNEGKVVVFDASSWTVHQ HCFKVGSSKVNCMVMAEHNQVWVGSEDSVIYIINVHSMSCNKQLTDHRSPVTGLAVHNGK KPSEIYSCSLDGTVIAWNVSTLRVISRFQLSYGDLLSISLHNDRIWCSSLPASLSHGCS >ENSMUSP00000125657.1 pep:known chromosome:GRCm38:15:73522342:73570954:1 gene:ENSMUSG00000036661.13 transcript:ENSMUST00000162488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd3 description:DENN/MADD domain containing 3 [Source:MGI Symbol;Acc:MGI:2146009] XALGKGRRRSFRKKREKPRMEPWKSHPGDSKGPDSEDVTIPGGVDLLALPQLCFPGCVCV ASEPKEDYIHFLVLTDVCGNRTYGVVAQYYRPLHDEYCFYNGKSHWEPSVISARCFVPFA VCVVSRFPYYNSLKDCLSCLLTHLKLCKDFEVDNHIKDFAARLSLIPSPPPGPLHLIFNM KPLQVVFPSRADPESPIVDLDLHLPLLCFRPEKVLQILTCILTEQRIVFFSSDWALLTLM AECFVAYLHPLQWQHTFVPILSGQMLDFVMAPTSFLMGCHLDHFEEVRKEADGLVLIDID HGSVTCSKSSDDNIDIPDVPLLLAQTFIQREVKNHLNYEHRVFNSEEFLKTRAAGDQQFY KQVLDTYMFHSFLKARLNGRMDAFARMDLDTQSEEDRIDRMLISPRRPTVEKMASRKASP LHITHRRMVVSMPNLQDISLPELPPRNSSLRIMDTSNCRSSSPVLKVTPKSTYMFKIPDI HFPLESQCVQAYYTDFVTLLSKAMALLGPGDSLLLARYFYLRGLLHLMQGQLLSALLDFQ NLYKTDIGIFPADLVKRTVESMSASERAQAERTPELRRLITEVFDKHGEAPKADDAVKNF ELPKKHMQLNDFVKRVQESGIVKDAVIIHRLFDALTFGHEKQIDPETFRDFYTCWKETEA EAQEVSLPALLMEHLDKNECVYKLSSSVKTNRGVGKIAMTQKRLFLLTEGRPGYVEIATF RNIEEVKNSTVAFLLLRIPTLKIKTVAKKEVFEANLKSECDLWHLMVKEMWAGKQLADDH KDPQYVQQALTNVLLMDAVVGTLQSPSAIHAASKLAYFDNMKKKSPMAVPKTTSETLKHK INPSAGETAPQAIEVLLYTPGRLDPAEKVEDAHPKLWCALNEGKVVVFDASSWTVHQHCF KVGSSKVNCMVMAEHNQVWVGSEDSVIYIINVHSMSCNKQLTDHRSPVTGLAVHNGKKPS EIYSCSLDGTVIAWNVSTLRVISRFQLSYGDLLSISLHNDRIWCCTVHKILVVTPQGFVR QELKHPKDASFLAFQLLPEEQQLWAASTGVSELYMWSLKDLDQPPQKTYLQDCSEVTCMI RVKRQIWVGGRGLSQGKTRGKIYVMDVEKVTVEKELVAHLDTVRTLCSAEDRYVLSGAGQ EEGKIAIWKVE >ENSMUSP00000124538.2 pep:known chromosome:GRCm38:15:73557636:73568107:1 gene:ENSMUSG00000036661.13 transcript:ENSMUST00000160267.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd3 description:DENN/MADD domain containing 3 [Source:MGI Symbol;Acc:MGI:2146009] VGTLQSPSAIHAASKLAYFDNMKKKSPMAVPKTTSETLKHKINPSAGETAPQAIEVLLYT PGRLDPAEKVEDAHPKLWCALNEGKVVVFDASSWTVHQHCFKVGSSKVHVLQQTAHGSPF SSHWLGRAQWKEAQ >ENSMUSP00000110496.2 pep:known chromosome:GRCm38:16:36493696:36562134:-1 gene:ENSMUSG00000051980.13 transcript:ENSMUST00000114847.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casr description:calcium-sensing receptor [Source:MGI Symbol;Acc:MGI:1351351] MAWFGYCLALLALTWHSSAYGPDQRAQKKGDIILGGLFPIHFGVAAKDQDLKSRPESVEC IRYNFRGFRWLQAMIFAIEEINSSPALLPNMTLGYRIFDTCNTVSKALEATLSFVAQNKI DSLNLDEFCNCSEHIPSTIAVVGATGSGVSTAVANLLGLFYIPQVSYASSSRLLSNKNQF KSFLRTIPNDEHQATAMADIIEYFRWNWVGTIAADDDYGRPGIEKFREEAEERDICIDFS ELISQYSDEEEIQQVVEVIQNSTAKVIVVFSSGPDLEPLIKEIVRRNITGRIWLASEAWA SSSLIAMPEYFHVVGGTIGFGLKAGQIPGFREFLQKVHPRKSVHNGFAKEFWEETFNCHL QDGAKGPLPVDTFVRSHEEGGNRLLNSSTAFRPLCTGDENINSVETPYMDYEHLRISYNV YLAVYSIAHALQDIYTCLPGRGLFTNGSCADIKKVEAWQVPFSNCSRDCQAGTRKGIIEG EPTCCFECVECPDGEYSGETDASACDKCPDDFWSNENHTSCIAKEIEFLAWTEPFGIALT LFAVLGIFLTAFVLGVFIKFRNTPIVKATNRELSYLLLFSLLCCFSSSLFFIGEPQDWTC RLRQPAFGISFVLCISCILVKTNRVLLVFEAKIPTSFHRKWWGLNLQFLLVFLCTFMQIV ICIIWLYTAPPSSYRNHELEDEIIFITCHEGSLMALGSLIGYTCLLAAICFFFAFKSRKL PENFNEAKFITFSMLIFFIVWISFIPAYASTYGKFVSAVEVIAILAASFGLLACIFFNKV YIILFKPSRNTIEEVRSSTAAHAFKVAARATLRRPNISRKRSSSLGGSTGSIPSSSISSK SNSEDRFPQPERQKQQQPLALTQQEQQQQPLTLQPQQQQQPQQPRCKQKVIFGSGTVTFS LSFDEPQKNAMAHRNSMRQNSLEAQKSNDTLNRHQALLPLQCAEADSEMTIQETGLQGPM VGDHQPEIESPDEMSPALVMSTSRSFVISGGGSSVTENILHS >ENSMUSP00000069080.7 pep:known chromosome:GRCm38:16:36493696:36562134:-1 gene:ENSMUSG00000051980.13 transcript:ENSMUST00000063597.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casr description:calcium-sensing receptor [Source:MGI Symbol;Acc:MGI:1351351] MAWFGYCLALLALTWHSSAYGPDQRAQKKGDIILGGLFPIHFGVAAKDQDLKSRPESVEC IRYNFRGFRWLQAMIFAIEEINSSPALLPNMTLGYRIFDTCNTVSKALEATLSFVAQNKI DSLNLDEFCNCSEHIPSTIAVVGATGSGVSTAVANLLGLFYIPQVSYASSSRLLSNKNQF KSFLRTIPNDEHQATAMADIIEYFRWNWVGTIAADDDYGRPGIEKFREEAEERDICIDFS ELISQYSDEEEIQQVVEVIQNSTAKVIVVFSSGPDLEPLIKEIVRRNITGRIWLASEAWA SSSLIAMPEYFHVVGGTIGFGLKAGQIPGFREFLQKVHPRKSVHNGFAKEFWEETFNCHL QDGAKGPLPVDTFVRSHEEGGNRLLNSSTAFRPLCTGDENINSVETPYMDYEHLRISYNV YLAVYSIAHALQDIYTCLPGRGLFTNGSCADIKKVEAWQVLKHLRHLNFTNNMGEQVTFD ECGDLVGNYSIINWHLSPEDGSIVFKEVGYYNVYAKKGERLFINEGKILWSGFSREVPFS NCSRDCQAGTRKGIIEGEPTCCFECVECPDGEYSGETDASACDKCPDDFWSNENHTSCIA KEIEFLAWTEPFGIALTLFAVLGIFLTAFVLGVFIKFRNTPIVKATNRELSYLLLFSLLC CFSSSLFFIGEPQDWTCRLRQPAFGISFVLCISCILVKTNRVLLVFEAKIPTSFHRKWWG LNLQFLLVFLCTFMQIVICIIWLYTAPPSSYRNHELEDEIIFITCHEGSLMALGSLIGYT CLLAAICFFFAFKSRKLPENFNEAKFITFSMLIFFIVWISFIPAYASTYGKFVSAVEVIA ILAASFGLLACIFFNKVYIILFKPSRNTIEEVRSSTAAHAFKVAARATLRRPNISRKRSS SLGGSTGSIPSSSISSKSNSEDRFPQPERQKQQQPLALTQQEQQQQPLTLQPQQQQQPQQ PRCKQKVIFGSGTVTFSLSFDEPQKNAMAHRNSMRQNSLEAQKSNDTLNRHQALLPLQCA EADSEMTIQETGLQGPMVGDHQPEIESPDEMSPALVMSTSRSFVISGGGSSVTENILHS >ENSMUSP00000133500.1 pep:known chromosome:GRCm38:16:36494467:36561606:-1 gene:ENSMUSG00000051980.13 transcript:ENSMUST00000172826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casr description:calcium-sensing receptor [Source:MGI Symbol;Acc:MGI:1351351] MAWFGYCLALLALTWHSSAYGPDQRAQKKGDIILGGLFPIHFGVAAKDQDLKSRPESVEC IRYNFRGFRWLQAMIFAIEEINSSPALLPNMTLGYRIFDTCNTVSKALEATLSFVAQNKI DSLNLDEFCNCSEHIPSTIAVVGATGSGVSTAVANLLGLFYIPQVSYASSSRLLSNKNQF KSFLRTIPNDEHQATAMADIIEYFRWNWVGTIAADDDYGRPGIEKFREEAEERDICIDFS ELISQYSDEEEIQQVVEVIQNSTAKVIVVFSSGPDLEPLIKEIVRRNITGRIWLASEAWA SSSLIAMPEYFHVVGGTIGFGLKAGQIPGFREFLQKVHPRKSVHNGFAKEFWEETFNCHL QDGAKGPLPVDTFVRSHEEGGNRLLNSSTAFRPLCTGDENINSVETPYMDYEHLRISYNV YLAVYSIAHALQDIYTCLPGRGLFTNGSCADIKKVEAWQVLKHLRHLNFTNNMGEQVTFD ECGDLVGNYSIINWHLSPEDGSIVFKEVGYYNVYAKKGERLFINEGKILWSGFSREVPFS NCSRDCQAGTRKGIIEGEPTCCFECVECPDGEYSGETDASACDKCPDDFWSNENHTSCIA KEIEFLAWTEPFGIALTLFAVLGIFLTAFVLGVFIKFRNTPIVKATNRELSYLLLFSLLC CFSSSLFFIGEPQDWTCRLRQPAFGISFVLCISCILVKTNRVLLVFEAKIPTSFHRKWWG LNLQFLLVFLCTFMQIVICIIWLYTAPPSSYRNHELEDEIIFITCHEGSLMALGSLIGYT CLLAAICFFFAFKSRKLPENFNEAKFITFSMLIFFIVWISFIPAYASTYGKFVSAVEVIA ILAASFGLLACIFFNKVYIILFKPSRNTIEEVRSSTAAHAFKVAARATLRRPNISRKRSS SLGGSTGSIPSSSISSKSNSEDRFPQPERQKQQQPLALTQQEQQQQPLTLQPQQQQQPQQ PRCKQKVIFGSGTVTFSLSFDEPQKNAMAHRNSMRQNSLEAQKSNDTLNRHQALLPLQCA EADSEMTIQETGLQGPMVGDHQPEIESPDEMSPALVMSTSRSFVISGGGSSVTENILHS >ENSMUSP00000143276.1 pep:known chromosome:GRCm38:5:128757791:128820069:-1 gene:ENSMUSG00000029420.12 transcript:ENSMUST00000199537.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimbp2 description:RIMS binding protein 2 [Source:MGI Symbol;Acc:MGI:2443235] MREAAERRQQLELEHEQALAFLNAKQQEIQLLQQAQVEAKKEHEGAVQLLESKVRELEEK CRVQSEQFNLLSRDLEKFRQHTGSIDLLGSSSVALLDVPLAPGKPFPQYMNGLATSIHKG HEGPTGHYSVIGDYIPLSGDKLESPCVKPSFLLRSSSPRCRFESEMDNDRNSNNSKQSSS GKVHLCVARYSYNPFDGPNENPEAELPLTAGKYLYVYGDMDEDGFYEGELLDGQRGLVPS NFVDFIQDNESRLAGTLGSEQDQNFLNHSGISLERDSILHLHSPTQVDSGITDNGGGTLD VNIDDIGEDTVPYPRKITLIKQLAKSVIVGWEPPAVPPGWGTVSSYNVLVDKETRMSLAL GRRTKALIEKLNTAACTYRISVQCVTSRGNSDELQCTLLVGKDVVVAPSQLRVDNITQIS AQLSWLPTNSNYSHIIFLNEEELDIVKAARYKYQFFNLRPNMAYKVKVLAQPHQMPWQLP LEQREKKEACVEFSTLPAGPPAPPQDVTVHAGATAASVQVSWKPPALTPTGLSNGANVTG YGVYAKGQRVAEVIAPTADGTAVELIRLRSLEAKAVSVRTLSVQGESMDSALAAIPPDLL VPPAPHPRTAPPPKPLASDMDTKDQHLGPHVKVDESWEQSRSPGPAHGHMLEPPDMHSAG PGRRSPSPSRILPQPQGAPVSTTVAKAMAREAAQRVAESNRLEKRSLFLEQSSAGQYTNS DEEDGYASPEVKRRGTSVDDFLKGSELGKQPHCCHGDEYHTESSRGSDLSDIMEEDEEEL YSEMQLEDGGRRRPSGTSHNALKILGNSTLMGRADRMEHVSRRYSHSGGGSHRHRPAMAP SIDEYTGRDHLSPDFYDESETDPGAEELPARIFVALFDYDPLTMSPNPDAAEEELPFKEG QIIKVYGDKDADGFYRGETCARLGLIPCNMVSEIHADDEEMMDQLLRQGFLPLNTPVEKI ERSRRSGRGHSVPTRRMVALYDYDPRESSPNVDVEAELPFCTGDIITVFGEIDEDGFYYG ELNGQKGLVPSNFLEEVPDDVEVHLSDAPPHYSHDPPMRSKAKRVSQPP >ENSMUSP00000142455.1 pep:known chromosome:GRCm38:5:128757795:128820022:-1 gene:ENSMUSG00000029420.12 transcript:ENSMUST00000198941.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimbp2 description:RIMS binding protein 2 [Source:MGI Symbol;Acc:MGI:2443235] MREAAERRQQLELEHEQALAFLNAKQQEIQLLQQAQVEAKKEHEGAVQLLENTLDCMQSK VRELEEKCRVQSEQFNLLSRDLEKFRQHTGSIDLLGSSSVALLDVPLAPGKPFPQYMNGL ATSIHKGHEGPTGHYSVIGDYIPLSGDKLESPCVKPSFLLRSSSPRCRFESEMDNDRNSN NSKQSSSGKVHLCVARYSYNPFDGPNENPEAELPLTAGKYLYVYGDMDEDGFYEGELLDG QRGLVPSNFVDFIQDNESRLAGTLGSEQDQNFLNHSGISLERDSILHLHSPTQVDSGITD NGGGTLDVNIDDIGEDTVPYPRKITLIKQLAKSVIVGWEPPAVPPGWGTVSSYNVLVDKE TRMSLALGRRTKALIEKLNTAACTYRISVQCVTSRGNSDELQCTLLVGKDVVVAPSQLRV DNITQISAQLSWLPTNSNYSHIIFLNEEELDIVKAARYKYQFFNLRPNMAYKVKVLAQPH QMPWQLPLEQREKKEACVEFSTLPAGPPAPPQDVTVHAGATAASVQVSWKPPALTPTGLS NGANVTGYGVYAKGQRVAEVIAPTADGTAVELIRLRSLEAKAVSVRTLSVQGESMDSALA AIPPDLLVPPAPHPRTAPPPKPLASDMDTKDQHLGPHVKVDESWEQSRSPGPAHGHMLEP PDMHSAGPGRRSPSPSRILPQPQGAPVSTTVAKAMAREAAQRVAESNRLEKRSLFLEQSS AGQYTNSDEEDGYASPEVKRRGTSVDDFLKGSELGKQPHCCHGDEYHTESSRGSDLSDIM EEDEEELYSEMQLEDGGRRRPSGTSHNALKILGNSTLMGRADRMEHVSRRYSHSGGGSHR HRPAMAPSIDEYTGRDHLSPDFYDESETDPGAEELPARIFVALFDYDPLTMSPNPDAAEE ELPFKEGQIIKVYGDKDADGFYRGETCARLGLIPCNMVSEIHADDEEMMDQLLRQGFLPL NTPVEKIERSRRSGRGHSVPTRRMVALYDYDPRESSPNVDVEAELPFCTGDIITVFGEID EDGFYYGELNGQKGLVPSNFLEEVPDDVEVHLSDAPPHYSHDPPMRSKAKRKKSVHFTP >ENSMUSP00000143725.1 pep:known chromosome:GRCm38:5:128760401:128953055:-1 gene:ENSMUSG00000029420.12 transcript:ENSMUST00000196085.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimbp2 description:RIMS binding protein 2 [Source:MGI Symbol;Acc:MGI:2443235] MREAAERRQQLELEHEQALAFLNAKQQEIQLLQQAQVEAKKEHEGAVQLLENTLDCMQSK VRELEEKCRVQSEQFNLLSRDLEKFRQHTGSIDLLGSSSVALLDVPLAPGKPFPQYMNGL ATSIHKGHEGPTGHYSVIGDYIPLSGDKLESPCVKPSFLLRSSSPRCRFESEMDNDRNSN NSKQSSSGKVHLCVARYSYNPFDGPNENPEAELPLTAGKYLYVYGDMDEDGFYEGELLDG QRGLVPSNFVDFIQDNESRLAGTLGSEQDQNFLNHSGISLERDSILHLHSPTQVDSGITD NGGGTLDVNIDDIGEDTVPYPRKITLIKQLAKSVIVGWEPPAVPPGWGTVSSYNVLVDKE TRMSLALGRRTKALIEKLNTAACTYRISVQCVTSRGNSDELQCTLLVGKDVVVAPSQLRV DNITQISAQLSWLPTNSNYSHIIFLNEEELDIVKAARYKYQFFNLRPNMAYKVKVLAQPH QMPWQLPLEQREKKEACVEFSTLPAGPPAPPQDVTVHAGATAASVQVSWKPPALTPTGLS NGANVTGYGVYAKGQRVAEVIAPTADGTAVELIRLRSLEAKAVSVRTLSVQGESMDSALA AIPPDLLVPPAPHPRTAPPPKPLASDMDTKDQHLGPHVKVDESWEQSRSPGPAHGHMLEP PDMHSAGPGRRSPSPSRILPQPQGAPVSTTYHTESSRGSDLSDIMEEDEEELYSEMQLED GGRRRPSGTSHNALKILGNSTLMGRADRMEHVSRRYSHSGGGSHRHRPAMAPSIDEYTGR DHLSPDFYDESETDPGAEELPARIFVALFDYDPLTMSPNPDAAEEELPFKEGQIIKVYGD KDADGFYRGETCARLGLIPCNMVSEIHADDEEMMDQLLRQGFLPLNTPVEKIERSRRSGR GHSVPTRRMVALYDYDPRESSPNVDVEAELPFCTGDIITVFGEIDEDGFYYGELNGQKGL VPSNFLEEVPDDVEVHLSDAPPHYSHDPPMRSKAKRKKSVHFTP >ENSMUSP00000143099.1 pep:known chromosome:GRCm38:5:128760682:128953486:-1 gene:ENSMUSG00000029420.12 transcript:ENSMUST00000200470.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimbp2 description:RIMS binding protein 2 [Source:MGI Symbol;Acc:MGI:2443235] MREAAERRQQLELEHEQALAFLNAKQQEIQLLQQAQVEAKKEHEGAVQLLESKVRELEEK CRVQSEQFNLLSRDLEKFRQHTGSIDLLGSSSVALLDVPLAPGKPFPQYMNGLATSIHKG HEGPTGHYSVIGDYIPLSGDKLESPCVKPSFLLRSSSPRCRFESEMDNDRNSNNSKQSSS GKVHLCVARYSYNPFDGPNENPEAELPLTAGKYLYVYGDMDEDGFYEGELLDGQRGLVPS NFVDFIQDNESRLAGTLGSEQDQNFLNHSGISLERDSILHLHSPTQVDSGITDNGGGTLD VNIDDIGEDTVPYPRKITLIKQLAKSVIVGWEPPAVPPGWGTVSSYNVLVDKETRMSLAL GRRTKALIEKLNTAACTYRISVQCVTSRGNSDELQCTLLVGKDVVVAPSQLRVDNITQIS AQLSWLPTNSNYSHIIFLNEEELDIVKAARYKYQFFNLRPNMAYKVKVLAQPHQMPWQLP LEQREKKEACVEFSTLPAGPPAPPQDVTVHAGATAASVQVSWKPPALTPTGLSNGANVTG YGVYAKGQRVAEVIAPTADGTAVELIRLRSLEAKAVSVRTLSVQGESMDSALAAIPPDLL VPPAPHPRTAPPPKPLASDMDTKDQHLGPHVKVDESWEQSRSPGPAHGHMLEPPDMHSAG PGRRSPSPSRILPQPQGAPVSTTVAKAMAREAAQRVAESNRLEKRSLFLEQSSAGQYTNS DEEDGYASPEVKRRGTSVDDFLKGSELGKQPHCCHGDEYHTESSRGSDLSDIMEEDEEEL YSEMQLEDGGRRRPSGTSHNALKILGNSTLMGRADRMEHVSRRYSHSGGGSHRHRPAMAP SIDEYTGRDHLSPDFYDESETDPGAEELPARIFVALFDYDPLTMSPNPDAAEEELPFKEG QIIKVYGDKDADGFYRGETCARLGLIPCNMVSEIHADDEEMMDQLLRQGFLPLNTPVEKI ERSRRSGRGHSVPTRRMVALYDYDPRESSPNVDVEAELPFCTGDIITVFGEIDEDGFYYG ELNGQKGLVPSNFLEEVPDDVEVHLSDAPPHYSHDPPMRSKAKRKSVHFTP >ENSMUSP00000142712.1 pep:known chromosome:GRCm38:5:128806414:128877066:-1 gene:ENSMUSG00000029420.12 transcript:ENSMUST00000199737.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimbp2 description:RIMS binding protein 2 [Source:MGI Symbol;Acc:MGI:2443235] MREAAERRQQLELEHEQALAFLNAKQQEIQLLQQAQVEAKKEHEGAVQLLESKVRELEEK CRVQSEQFNLLSRDLEKFRQHTGSIDLLGSSSVALLDVPL >ENSMUSP00000106978.1 pep:known chromosome:GRCm38:5:128760401:128953362:-1 gene:ENSMUSG00000029420.12 transcript:ENSMUST00000111346.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimbp2 description:RIMS binding protein 2 [Source:MGI Symbol;Acc:MGI:2443235] MREAAERRQQLELEHEQALAFLNAKQQEIQLLQQAQVEAKKEHEGAVQLLENTLDCMQSK VRELEEKCRVQSEQFNLLSRDLEKFRQHTGSIDLLGSSSVALLDVPLAPGKPFPQYMNGL ATSIHKGHEGPTGHYSVIGDYIPLSGDKLESPCVKPSFLLRSSSPRCRFESEMDNDRNSN NSKQSSSGKVHLCVARYSYNPFDGPNENPEAELPLTAGKYLYVYGDMDEDGFYEGELLDG QRGLVPSNFVDFIQDNESRLAGTLGSEQDQNFLNHSGISLERDSILHLHSPTQVDSGITD NGGGTLDVNIDDIGEDTVPYPRKITLIKQLAKSVIVGWEPPAVPPGWGTVSSYNVLVDKE TRMSLALGRRTKALIEKLNTAACTYRISVQCVTSRGNSDELQCTLLVGKDVVVAPSQLRV DNITQISAQLSWLPTNSNYSHIIFLNEEELDIVKAARYKYQFFNLRPNMAYKVKVLAQPH QMPWQLPLEQREKKEACVEFSTLPAGPPAPPQDVTVHAGATAASVQVSWKPPALTPTGLS NGANVTGYGVYAKGQRVAEVIAPTADGTAVELIRLRSLEAKAVSVRTLSVQGESMDSALA AIPPDLLVPPAPHPRTAPPPKPLASDMDTKDQHLGPHVKVDESWEQSRSPGPAHGHMLEP PDMHSAGPGRRSPSPSRILPQPQGAPVSTTVAKAMAREAAQRVAESNRLEKRSLFLEQSS AGQYTNSDEEDGYASPEVKRRGTSVDDFLKGSELGKQPHCCHGDEYHTESSRGSDLSDIM EEDEEELYSEMQLEDGGRRRPSGTSHNALKILGNSTLMGRADRMEHVSRRYSHSGGGSHR HRPAMAPSIDEYTGRDHLSPDFYDESETDPGAEELPARIFVALFDYDPLTMSPNPDAAEE ELPFKEGQIIKVYGDKDADGFYRGETCARLGLIPCNMVSEIHADDEEMMDQLLRQGFLPL NTPVEKIERSRRSGRGHSVPTRRMVALYDYDPRESSPNVDVEAELPFCTGDIITVFGEID EDGFYYGELNGQKGLVPSNFLEEVPDDVEVHLSDAPPHYSHDPPMRSKAKRKKSVHFTP >ENSMUSP00000131394.1 pep:known chromosome:GRCm38:14:4983935:4992408:1 gene:ENSMUSG00000090827.8 transcript:ENSMUST00000171636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8297 description:predicted gene 8297 [Source:MGI Symbol;Acc:MGI:3643799] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQE >ENSMUSP00000127256.1 pep:known chromosome:GRCm38:14:4983994:4992409:1 gene:ENSMUSG00000090827.8 transcript:ENSMUST00000171964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8297 description:predicted gene 8297 [Source:MGI Symbol;Acc:MGI:3643799] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQSEIVQQKAERGTDHDMISLKEKCWRRSTECAQEIHHC CLSSLITMAVMGCMLLLYFGFFGMNRP >ENSMUSP00000136942.1 pep:known chromosome:GRCm38:14:4983998:4986991:1 gene:ENSMUSG00000090827.8 transcript:ENSMUST00000178389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8297 description:predicted gene 8297 [Source:MGI Symbol;Acc:MGI:3643799] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000075916.5 pep:known chromosome:GRCm38:8:70382355:70439579:-1 gene:ENSMUSG00000003575.13 transcript:ENSMUST00000076615.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crtc1 description:CREB regulated transcription coactivator 1 [Source:MGI Symbol;Acc:MGI:2142523] MATSNNPRKFSEKIALHNQKQAEETAAFEEVMKDLSLTRAARLQLQKSQYLQLGPSRGQY YGGSLPNVNQIGSSSVDLAFQTPFQSSGLDTSRTTRHHGLVDRVYRERGRLGSPHRRPLS VDKHGRQADSCPYGTVYLSPPADTSWRRTNSDSALHQSTMTPSQAESFTGGSQDAHQKRV LLLTVPGMEDTGAETDKTLSKQSWDSKKAGSRPKSCEVPGINIFPSADQENTTALIPATH NTGGSLPDLTNIHFPSPLPTPLDPEEPPFPALTSSSSTGSLAHLGVGGAGQGMNTPSSSP QHRPAVVSPLSLSTEARRQQAQQVSPTLSPLSPITQAVAMDALSLEQQLPYAFFTQTGSQ QPPPQPQPPPPPPPVSQQQPPPPQVSVGLPQGGPLLPSASLTRGPQLPPLSVTVPSTLPQ SPTENPGQSPMGIDATSAPALQYRTSAGSPATQSPTSPVSNQGFSPGSSPQHTSTLGSVF GDAYYEQQMTARQANALSRQLEQFNMMENAISSSSLYNPGSTLNYSQAAMMGLSGSHGGL QDPQQLGYTGHGGIPNIILTVTGESPPSLSKELSSTLAGVSDVSFDSDHQFPLDELKIDP LTLDGLHMLNDPDMVLADPATEDTFRMDRL >ENSMUSP00000099483.3 pep:known chromosome:GRCm38:11:84957788:84966044:1 gene:ENSMUSG00000000805.18 transcript:ENSMUST00000103194.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car4 description:carbonic anhydrase 4 [Source:MGI Symbol;Acc:MGI:1096574] MQLLLALLALAYVAPSTEDSGWCYEIQTKDPRSSCLGPEKWPGACKENQQSPINIVTART KVNPRLTPFILVGYDQKQQWPIKNNQHTVEMTLGGGACIIGGDLPARYEAVQLHLHWSNG NDNGSEHSIDGRHFAMEMHIVHKKLTSSKEDSKDKFAVLAFMIEVGDKVNKGFQPLVEAL PSISKPHSTSTVRESSLQDMLPPSTKMYTYFRYNGSLTTPNCDETVIWTVYKQPIKIHKN QFLEFSKNLYYDEDQKLNMKDNVRPLQPLGKRQVFKSHAPGQLLSLPLPTLLVPTLTCLV ANFLQ >ENSMUSP00000121381.1 pep:known chromosome:GRCm38:11:84957825:84964563:1 gene:ENSMUSG00000000805.18 transcript:ENSMUST00000150596.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Car4 description:carbonic anhydrase 4 [Source:MGI Symbol;Acc:MGI:1096574] MRFKPRILEAVAWGLRSGLEPVRRISSPPSTLSLLGQR >ENSMUSP00000115878.1 pep:known chromosome:GRCm38:11:84958104:84964228:1 gene:ENSMUSG00000000805.18 transcript:ENSMUST00000127827.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Car4 description:carbonic anhydrase 4 [Source:MGI Symbol;Acc:MGI:1096574] MRFKPRILEAVAWGLRSGLEPVRRISSPPSTLSLLGQR >ENSMUSP00000103711.2 pep:known chromosome:GRCm38:11:84964347:84966044:1 gene:ENSMUSG00000000805.18 transcript:ENSMUST00000108076.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car4 description:carbonic anhydrase 4 [Source:MGI Symbol;Acc:MGI:1096574] XMHIVHKKLTSSKEDSKDKFAVLAFMIEVGDKVNKGFQPLVEALPSISKPLRESSLQDML PPSTKMYTYFRYNGSLTTPNCDETVIWTVYKQPIKIHKNQFLEFSKNLYYDEDQKLNMKD NVRPLQPLGKRQVFKSHAPGQLLSLPLPTLLVPTLTCLVANFLQ >ENSMUSP00000030805.7 pep:known chromosome:GRCm38:4:150897133:150909926:-1 gene:ENSMUSG00000028964.14 transcript:ENSMUST00000030805.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park7 description:Parkinson disease (autosomal recessive, early onset) 7 [Source:MGI Symbol;Acc:MGI:2135637] MASKRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVMICPDTSLED AKTQGPYDVVVLPGGNLGAQNLSESPMVKEILKEQESRKGLIAAICAGPTALLAHEVGFG CKVTTHPLAKDKMMNGSHYSYSESRVEKDGLILTSRGPGTSFEFALAIVEALVGKDMANQ VKAPLVLKD >ENSMUSP00000101300.1 pep:known chromosome:GRCm38:4:150897133:150914437:-1 gene:ENSMUSG00000028964.14 transcript:ENSMUST00000105675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park7 description:Parkinson disease (autosomal recessive, early onset) 7 [Source:MGI Symbol;Acc:MGI:2135637] MASKRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVMICPDTSLED AKTQGPYDVVVLPGGNLGAQNLSESPMVKEILKEQESRKGLIAAICAGPTALLAHEVGFG CKVTTHPLAKDKMMNGSHYSYSESRVEKDGLILTSRGPGTSFEFALAIVEALVGKDMANQ VKAPLVLKD >ENSMUSP00000101299.1 pep:known chromosome:GRCm38:4:150897145:150909626:-1 gene:ENSMUSG00000028964.14 transcript:ENSMUST00000105674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park7 description:Parkinson disease (autosomal recessive, early onset) 7 [Source:MGI Symbol;Acc:MGI:2135637] MASKRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVMICPDTSLED AKTQGPYDVVVLPGGNLGAQNLSESPMVKEILKEQESRKGLIAAICAGPTALLAHEVGFG CKVTTHPLAKDKMMNGSHYSYSESRVEKDGLILTSRGPGTSFEFALAIVEALVGKDMANQ VKAPLVLKD >ENSMUSP00000101301.1 pep:known chromosome:GRCm38:4:150897236:150908413:-1 gene:ENSMUSG00000028964.14 transcript:ENSMUST00000105676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park7 description:Parkinson disease (autosomal recessive, early onset) 7 [Source:MGI Symbol;Acc:MGI:2135637] MASKRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVMICPDTSLED AKTQGPYDVVVLPGGNLGAQNLSESPMVKEILKEQESRKGLIAAICAGPTALLAHEVGFG CKVTTHPLAKDKMMNGSHYSYSESRVEKDGLILTSRGPGTSFEFALAIVDPGPHA >ENSMUSP00000101298.1 pep:known chromosome:GRCm38:4:150897239:150909651:-1 gene:ENSMUSG00000028964.14 transcript:ENSMUST00000105673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park7 description:Parkinson disease (autosomal recessive, early onset) 7 [Source:MGI Symbol;Acc:MGI:2135637] MASKRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVMICPDTSLED AKTQGPYDVVVLPGGNLGAQNLSESPMVKEILKEQESRKGLIAAICAGPTALLAHEVGFG CKVTTHPLAKDKMMNGSHYSYSESRVEKDGLILTSRGPGTSFEFALAIVEALVGKDMANQ VKAPLVLKD >ENSMUSP00000115875.1 pep:known chromosome:GRCm38:4:150901010:150909483:-1 gene:ENSMUSG00000028964.14 transcript:ENSMUST00000128075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park7 description:Parkinson disease (autosomal recessive, early onset) 7 [Source:MGI Symbol;Acc:MGI:2135637] MASKRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVMICPDTSLED AKTQGPYDVVVLPGGNLGAQNLSESPMVKEILKEQESRKGLIAAICAGPTALLAHEVGFG CKVTTHPLAKDKMMNG >ENSMUSP00000122265.1 pep:known chromosome:GRCm38:4:150905126:150909868:-1 gene:ENSMUSG00000028964.14 transcript:ENSMUST00000134751.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park7 description:Parkinson disease (autosomal recessive, early onset) 7 [Source:MGI Symbol;Acc:MGI:2135637] MASKRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVMICPDTSLED AKTQGPYDVVVLPGGNLGAQNLSEVKAAQSCLSEGLVRKVAASASLSCVCPSVLHGCAVG TGETA >ENSMUSP00000120832.1 pep:known chromosome:GRCm38:4:150905250:150914206:-1 gene:ENSMUSG00000028964.14 transcript:ENSMUST00000146184.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park7 description:Parkinson disease (autosomal recessive, early onset) 7 [Source:MGI Symbol;Acc:MGI:2135637] MASKRALVILAKGAEEMETVIPVDVMRRAGIKVTVAGLAGKDPVQCSRDVMICPDTSLED AKTQGPYDVVVLPGGNLGAQNLSE >ENSMUSP00000078630.6 pep:known chromosome:GRCm38:2:155563181:155592810:-1 gene:ENSMUSG00000027610.17 transcript:ENSMUST00000079691.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gss description:glutathione synthetase [Source:MGI Symbol;Acc:MGI:95852] MATSWGSILQDEKQLEELAKQAIDRALAEGVLLRSAQHPSSSDVVTYAPFTLFPSPVPSA LLEQAYAVQMDFNILVDAVSQNPAFLEQTLSSTIKKDDYTARLFDIYKQVLKEGIAQTVF LGLNRSDYMFQCGADGSKALKQIEINTISASFGGLASRTPAVHRHVLNVLNKTKEASKIL SNNPSKGLALGIAKAWELYGSANAVVLLIAQEKERNIFDQRAVENELLDRKIHVIRGRFE DVSERGSLDQNRRLFMDDQEVAVVYFRDGYMPSQYNSQNWEARLMLERSRAAKCPDIAIQ LAGTKKVQQELSRVGLLEALLPGQPEAVARLRATFAGLYSLDMGEEGDQAIAEALAAPSH FVLKPQREGGGNNLYGEEMVQALEQLKDSEERASYILMEKIEPEPFRNCLLRPGSPAQVV QCISELGIFGVYVRQGTTLVMNKHVGHLLRTKAVEHADGGVAAGVAVLDNPYPV >ENSMUSP00000135319.1 pep:known chromosome:GRCm38:2:155563189:155592714:-1 gene:ENSMUSG00000027610.17 transcript:ENSMUST00000130881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gss description:glutathione synthetase [Source:MGI Symbol;Acc:MGI:95852] MDFNILVDAVSQNPAFLEQTLSSTIKKDDYTARLFDIYKQVLKEGIAQTVFLGLNRSDYM FQCGADGSKALKQIEINTISASFGGLASRTPAVHRHVLNVLNKTKEASKILSNNPSKGLA LGIAKAWELYGSANAVVLLIAQEKERNIFDQRAVENELLDRKIHVIRGRFEDVSERGSLD QNRRLFMDDQEVAVVYFRDGYMPSQYNSQNWEARLMLERSRAAKCPDIAIQLAGTKKVQQ ELSRVGLLEALLPGQPEAVARLRATFAGLYSLDMGEEGDQAIAEALAAPSHFVLKPQREG GGNNLYGEEMVQALEQLKDSEERASYILMEKIEPEPFRNCLLRPGSPAQVVQCISELGIF GVYVRQGTTLVMNKHVGHLLRTKAVEHADGGVAAGVAVLDNPYPV >ENSMUSP00000135392.1 pep:known chromosome:GRCm38:2:155563489:155573004:-1 gene:ENSMUSG00000027610.17 transcript:ENSMUST00000175993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gss description:glutathione synthetase [Source:MGI Symbol;Acc:MGI:95852] XRKIHVIRGRFEDVSERGSLDQNRRLFMDDQEVAVVYFRDGYMPSQYNSQNWEARLMLER SRAAKCPDIAIQLAGTKKVQQELSRGEEGDQAIAEALAAPSHFVLKPQREGGGNNLYGEE MVQALEQLKDSEERASYILMEKIEPEPFRNCLLRPGSPAQVVQCISELGIFGVYVRQGTT LVMNKHVGHLLRTKAVEHADGGVAAGVAVLDNPYPV >ENSMUSP00000117266.1 pep:known chromosome:GRCm38:2:155572965:155582662:-1 gene:ENSMUSG00000027610.17 transcript:ENSMUST00000126322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gss description:glutathione synthetase [Source:MGI Symbol;Acc:MGI:95852] MVVTYAPFTLFPSPVPSALLEQAYAVQMDFNILVDAVSQNPAFLEQTLSSTIKKDDYTAR LFDIYKQVLKEGIAQTVFLGLNRSDYMFQCGADGSKALKQIEINTISASFGGLASRTPAV HRHVLNVLNKTKEASKILSNNPSKGLALGIAKAWELYGSANAVVLLIAQEKERNIFDQRA VENELLDRKIHVIRGRFEDV >ENSMUSP00000122662.1 pep:known chromosome:GRCm38:2:155577593:155592567:-1 gene:ENSMUSG00000027610.17 transcript:ENSMUST00000155347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gss description:glutathione synthetase [Source:MGI Symbol;Acc:MGI:95852] MATSWGSILQDEKQLEELAKQAIDRALAEGVLLRSAQHPSSSDVVTYAPFTLFPSPVPSA LLEQAYAVQMDFNILVDAVSQNPAFLEQTLSSTIKKDDYTARLFDIYKQVLKEGIAQTVF LGLNRSDYMFQCGADGSKALKQIEINTISASFGGLASRTPAVHRHVLNVLNKTKEASKI >ENSMUSP00000006137.8 pep:known chromosome:GRCm38:16:4039971:4077827:-1 gene:ENSMUSG00000005981.11 transcript:ENSMUST00000006137.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trap1 description:TNF receptor-associated protein 1 [Source:MGI Symbol;Acc:MGI:1915265] MACELRAVLLWGRGLQTVLRAPALAGVRRGKPVLHLQKTTVQFRGPTQSLASGISAGQLY STQAAEDKEEESLHSIISNTEAVRGSVSKHEFQAETKKLLDIVARSLYSEKEVFIRELIS NASDALEKLRHKLVCEGQVLPEMEIHLQTDAKKGTITIQDTGIGMTQEELVSNLGTIARS GSKAFLEALQNQAETSSKIIGQFGVGFYSAFMVADKVEVYSRSAAPESPGYQWLSDGSGV FEIAEASGVRPGTKIIIHLKSDCKDFASESRVQDVVTKYSNFVSFPLYLNGKRINTLQAI WMMDPKDISEFQHEEFYRYIAQAYDKPRFTLHYKTDAPLNIRSIFYVPEMKPSMFDVSRE LGSSVALYSRKVLIQTKAADILPKWLRFIRGVVDSEDIPLNLSRELLQESALIRKLRDVL QQRLIKFFIDQSKKDAEKYAKFFEDYGLFMREGIVTTAEQDIKEDIAKLLRYESSALPAG QLTSLPDYASRMQAGTRNIYYLCAPNRHLAEHSPYYEAMKQKHTEVLFCYEQFDELTLLH LREFDKKKLISVETDIVVDHYKEEKFEDTSPADERLSEKETEDLMAWMRNALGSRVTNVK VTFRLDTHPAMVTVLEMGAARHFLRMQQLAKTQEERAQLLQPTLEINPRHTLIKKLCQLR ESEPELAQLLVDQIYENAMIAAGLVDDPRAMVGRLNDLLVKVLEKH >ENSMUSP00000138307.1 pep:known chromosome:GRCm38:16:4056416:4077775:-1 gene:ENSMUSG00000005981.11 transcript:ENSMUST00000150354.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trap1 description:TNF receptor-associated protein 1 [Source:MGI Symbol;Acc:MGI:1915265] XASCELCCCGAAGCRLYCGPPRWLEFGEESQFCTFRRLQSSLGAPHKVWLQGSLQDSYTA HRQPRTRRRRACTPSSATLRQCGVLSPNMKPGRDQQQDHWSVWSGFLFSLHGS >ENSMUSP00000087049.4 pep:known chromosome:GRCm38:6:83362373:83441675:-1 gene:ENSMUSG00000034832.15 transcript:ENSMUST00000089622.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet3 description:tet methylcytosine dioxygenase 3 [Source:MGI Symbol;Acc:MGI:2446229] MDSGPVYHGDSRQLSTSGAPVNGAREPAGPGLLGAAGPWRVDQKPDWEAASGPTHAARLE DAHDLVAFSAVAEAVSSYGALSTRLYETFNREMSREAGSNGRGPRPESCSEGSEDLDTLQ TALALARHGMKPPNCTCDGPECPDFLEWLEGKIKSMAMEGGQGRPRLPGALPPSEAGLPA PSTRPPLLSSEVPQVPPLEGLPLSQSALSIAKEKNISLQTAIAIEALTQLSSALPQPSHS TSQASCPLPEALSPSAPFRSPQSYLRAPSWPVVPPEEHPSFAPDSPAFPPATPRPEFSEA WGTDTPPATPRNSWPVPRPSPDPMAELEQLLGSASDYIQSVFKRPEALPTKPKVKVEAPS SSPAPVPSPISQREAPLLSSEPDTHQKAQTALQQHLHHKRNLFLEQAQDASFPTSTEPQA PGWWAPPGSPAPRPPDKPPKEKKKKPPTPAGGPVGAEKTTPGIKTSVRKPIQIKKSRSRD MQPLFLPVRQIVLEGLKPQASEGQAPLPAQLSVPPPASQGAASQSCATPLTPEPSLALFA PSPSGDSLLPPTQEMRSPSPMVALQSGSTGGPLPPADDKLEELIRQFEAEFGDSFGLPGP PSVPIQEPENQSTCLPAPESPFATRSPKKIKIESSGAVTVLSTTCFHSEEGGQEATPTKA ENPLTPTLSGFLESPLKYLDTPTKSLLDTPAKKAQSEFPTCDCVEQIVEKDEGPYYTHLG SGPTVASIRELMEDRYGEKGKAIRIEKVIYTGKEGKSSRGCPIAKWVIRRHTLEEKLLCL VRHRAGHHCQNAVIVILILAWEGIPRSLGDTLYQELTDTLRKYGNPTSRRCGLNDDRTCA CQGKDPNTCGASFSFGCSWSMYFNGCKYARSKTPRKFRLTGDNPKEEEVLRNSFQDLATE VAPLYKRLAPQAYQNQVTNEDVAIDCRLGLKEGRPFSGVTACMDFCAHAHKDQHNLYNGC TVVCTLTKEDNRCVGQIPEDEQLHVLPLYKMASTDEFGSEENQNAKVSSGAIQVLTAFPR EVRRLPEPAKSCRQRQLEARKAAAEKKKLQKEKLSTPEKIKQEALELAGVTTDPGLSLKG GLSQQSLKPSLKVEPQNHFSSFKYSGNAVVESYSVLGSCRPSDPYSMSSVYSYHSRYAQP GLASVNGFHSKYTLPSFGYYGFPSSNPVFPSQFLGPSAWGHGGSGGSFEKKPDLHALHNS LNPAYGGAEFAELPGQAVATDNHHPIPHHQQPAYPGPKEYLLPKVPQLHPASRDPSPFAQ SSSCYNRSIKQEPIDPLTQAESIPRDSAKMSRTPLPEASQNGGPSHLWGQYSGGPSMSPK RTNSVGGNWGVFPPGESPTIVPDKLNSFGASCLTPSHFPESQWGLFTGEGQQSAPHAGAR LRGKPWSPCKFGNGTSALTGPSLTEKPWGMGTGDFNPALKGGPGFQDKLWNPVKVEEGRI PTPGANPLDKAWQAFGMPLSSNEKLFGALKSEEKLWDPFSLEEGTAEEPPSKGVVKEEKS GPTVEEDEEELWSDSEHNFLDENIGGVAVAPAHCSILIECARRELHATTPLKKPNRCHPT RISLVFYQHKNLNQPNHGLALWEAKMKQLAERARQRQEEAARLGLGQQEAKLYGKKRKWG GAMVAEPQHKEKKGAIPTRQALAMPTDSAVTVSSYAYTKVTGPYSRWI >ENSMUSP00000139630.1 pep:known chromosome:GRCm38:6:83368042:83456392:-1 gene:ENSMUSG00000034832.15 transcript:ENSMUST00000186548.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet3 description:tet methylcytosine dioxygenase 3 [Source:MGI Symbol;Acc:MGI:2446229] MSQFQVPLAVQPDLSGLYDFPQGQVMVGGFQGPGLPMAGSETQLRGGGDGRKKRKRCGTC DPCRRLENCGSCTSCTNRRTHQICKLRKCEVLKKKAGLLKEVEINAREGTGPWAQGATVK TGSELSPVDGPVPGQMDSGPVYHGDSRQLSTSGAPVNGAREPAGPGLLGAAGPWRVDQKP DWEAASGPTHAARLEDAHDLVAFSAVAEAVSSYGALSTRLYETFNREMSREAGSNGRGPR PESCSEGSEDLDTLQTALALARHGMKPPNCTCDGPECPDFLEWLEGKIKSMAMEGGQGRP RLPGALPPSEAGLPAPSTRPPLLSSEVPQVPPLEGLPLSQSALSIAKEKNISLQTAIAIE ALTQLSSALPQPSHSTSQASCPLPEALSPSAPFRSPQSYLRAPSWPVVPPEEHPSFAPDS PAFPPATPRPEFSEAWGTDTPPATPRNSWPVPRPSPDPMAELEQLLGSASDYIQSVFKRP EALPTKPKVKVEAPSSSPAPVPSPISQREAPLLSSEPDTHQKAQTALQQHLHHKRNLFLE QAQDASFPTSTEPQAPGWWAPPGSPAPRPPDKPPKEKKKKPPTPAGGPVGAEKTTPGIKT SVRKPIQIKKSRSRDMQPLFLPVRQIVLEGLKPQASEGQAPLPAQLSVPPPASQGAASQS CATPLTPEPSLALFAPSPSGDSLLPPTQEMRSPSPMVALQSGSTGGPLPPADDKLEELIR QFEAEFGDSFGLPGPPSVPIQEPENQSTCLPAPESPFATRSPKKIKIESSGAVTVLSTTC FHSEEGGQEATPTKAENPLTPTLSGFLESPLKYLDTPTKSLLDTPAKKAQSEFPTCDCVE QIVEKDEGPYYTHLGSGPTVASIRELMEDRYGEKGKAIRIEKVIYTGKEGKSSRGCPIAK WVIRRHTLEEKLLCLVRHRAGHHCQNAVIVILILAWEGIPRSLGDTLYQELTDTLRKYGN PTSRRCGLNDDRTCACQGKDPNTCGASFSFGCSWSMYFNGCKYARSKTPRKFRLTGDNPK EEEVLRNSFQDLATEVAPLYKRLAPQAYQNQVTNEDVAIDCRLGLKEGRPFSGVTACMDF CAHAHKDQHNLYNGCTVVCTLTKEDNRCVGQIPEDEQLHVLPLYKMASTDEFGSEENQNA KVSSGAIQVLTAFPREVRRLPEPAKSCRQRQLEARKAAAEKKKLQKEKLSTPEKIKQEAL ELAGVTTDPGLSLKGGLSQQSLKPSLKVEPQNHFSSFKYSGNAVVESYSVLGSCRPSDPY SMSSVYSYHSRYAQPGLASVNGFHSKYTLPSFGYYGFPSSNPVFPSQFLGPSAWGHGGSG GSFEKKPDLHALHNSLNPAYGGAEFAELPGQAVATDNHHPIPHHQQPAYPGPKEYLLPKV PQLHPASRDPSPFAQSSSCYNRSIKQEPIDPLTQAESIPRDSAKMSRTPLPEASQNGGPS HLWGQYSGGPSMSPKRTNSVGGNWGVFPPGESPTIVPDKLNSFGASCLTPSHFPESQWGL FTGEGQQSAPHAGARLRGKPWSPCKFGNGTSALTGPSLTEKPWGMGTGDFNPALKGGPGF QDKLWNPVKVEEGRIPTPGANPLDKAWQAFGMPLSSNEKLFGALKSEEKLWDPFSLEEGT AEEPPSKGVVKEEKSGPTVEEDEEELWSDSEHNFLDENIGGVAVAPAHCSILIECARREL HATTPLKKPNRCHPTRISLVFYQHKNLNQPNHGLALWEAKMKQLAERARQRQEEAARLGL GQQEAKLYGKKRKWGGAMVAEPQHKEKKGAIPTRQALAMPTDSAVTVSSYAYTKVTGPYS RWI >ENSMUSP00000139679.1 pep:known chromosome:GRCm38:6:83404491:83459084:-1 gene:ENSMUSG00000034832.15 transcript:ENSMUST00000190295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet3 description:tet methylcytosine dioxygenase 3 [Source:MGI Symbol;Acc:MGI:2446229] MFLPETPQQYAVEINAREGTGPWAQGATVKTGSELSPVDGPVPGQMDSGPVYHGDSRQLS TSGAPVNGAREPAGPGLLGAAGPWRVDQKPDWEAASGPTHAARLEDAHDLVAFSAVAEAV SSYGALSTRLYETFNREMSRE >ENSMUSP00000030372.5 pep:known chromosome:GRCm38:4:121039385:121055322:1 gene:ENSMUSG00000028626.5 transcript:ENSMUST00000030372.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col9a2 description:collagen, type IX, alpha 2 [Source:MGI Symbol;Acc:MGI:88466] MTAVPAPRSLFVLLQVLWLALAQIRGPPGEPGPPGPPGPPGVPGSDGIDGDKGPPGKVGP PGSKGEPGKPGPDGPDGKPGIDGLMGAKGEPGPVGTPGVKGQPGLPGPPGLPGPGFAGPP GPPGPVGLPGEIGTPGPKGDPGPEGPSGPPGPPGKPGRPGTIQGLEGSADFLCPTNCPAG VKGPQGLQGVKGHPGKRGILGDPGRQGKPGPKGDVGASGEQGIPGPPGPQGIRGYPGMAG PKGEMGPRGYKGMVGSIGAAGPPGEEGPRGPPGRAGEKGDVGSQGARGPQGITGPKGTTG PPGIDGKDGTPGIPGMKGSAGQVGRPGSPGHQGLAGVPGQPGTKGGPGDKGEPGQQGLPG VSGPPGKEGEPGPRGEIGPQGIMGQKGDQGERGPVGQPGPQGRQGPKGEQGPPGIPGPQG LPGIKGDKGSPGKTGPRGGVGDPGVAGLPGEKGEKGQSGEPGLKGQQGVRGETGYPGPSG DAGAPGVQGYPGLPGPRGLVGDRGVPGQPGRQGVVGRAASDQHIVDVVLKMIQEQLAEVA VSAKREALGAAGMVGLPGPPGPPGYPGKQGPNGHPGPRGIPGIVGAVGQIGNTGPKGKRG EKGDRGEMGRGHPGMPGPPGIPGLPGRPGQAINGKDGDRGSPGAPGEAGRPGRPGPVGLP GFCEPAACLGASAYTSARLTEPGSIKGP >ENSMUSP00000111101.2 pep:known chromosome:GRCm38:5:5537454:5559538:-1 gene:ENSMUSG00000040464.15 transcript:ENSMUST00000115441.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp10 description:GTP-binding protein 10 (putative) [Source:MGI Symbol;Acc:MGI:2385599] MVRCGCALLRKYGNFIDNLRIFTKGGSGGMGYPRLGGEGGRGGDVWVVAHKNMTLKQLKN KYPQKRFVAGGGANSRVSALQGSKGKDCEVPAPVGISVTDENGQVLGELNKEEDRVLVAK GGLGGKLHTNFLPLKGQKRIVHLDLKVIADVGLVGFPNAGKSSLLSRVSHATPVIADYAF TTLRPELGKIMYNDFKQISVADLPGLIEGAHMNKGMGHKFLKHLERTRQLLFVVDISGFQ LSSVTPYRTAFETIILLTKELELYKEELQTKPALLAINKMDLPDAQVKLQELMKQLLSPE DFLHLFETKMIPEKALEFQHIVPISTVTGEGIAELKSCIRKALDEQDGKESDAHRSKQLL NLQSSS >ENSMUSP00000086225.4 pep:known chromosome:GRCm38:5:5539233:5559523:-1 gene:ENSMUSG00000040464.15 transcript:ENSMUST00000088842.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp10 description:GTP-binding protein 10 (putative) [Source:MGI Symbol;Acc:MGI:2385599] MVRCGCALLRKYGNFIDNLRIFTKGGSGGMGYPRLGGEGGRGGDVWVVAHKNMTLKQLKN KYPQKRFVAGGGANSRFPNAGKSSLLSRVSHATPVIADYAFTTLRPELGKIMYNDFKQIS VADLPGLIEGAHMNKGMGHKFLKHLERTRQLLFVVDISGFQLSSVTPYRTAFETIILLTK ELELYKEELQTKPALLAINKMDLPDAQVKLQELMKQLLSPEDFLHLFETKMIPEKALEFQ HIVPISTVTGEGIAELKSCIRKALDEQDGKESDAHRSKQLLNLQSSS >ENSMUSP00000113648.2 pep:known chromosome:GRCm38:5:5539411:5559513:-1 gene:ENSMUSG00000040464.15 transcript:ENSMUST00000119521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp10 description:GTP-binding protein 10 (putative) [Source:MGI Symbol;Acc:MGI:2385599] MVRCGCALLRKYGNFIDNLRIFTKGGSGGMGYPRLGGEGGRGGDVWVVAHKNMTLKQLKN KYPQKRFVAGGGANSRVSALQGSKGKDCEVPAPVGISVTDENGQVLVTTLRPELGKIMYN DFKQISVADLPGLIEGAHMNKGMGHKFLKHLERTRQLLFVVDISGFQLSSVTPYRTAFET IILLTKELELYKEELQTKPALLAINKMDLPDAQVKLQELMKQLLSPEDFLHLFETKMIPE K >ENSMUSP00000121101.1 pep:known chromosome:GRCm38:5:5542339:5557242:-1 gene:ENSMUSG00000040464.15 transcript:ENSMUST00000128887.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtpbp10 description:GTP-binding protein 10 (putative) [Source:MGI Symbol;Acc:MGI:2385599] XFVAGGGANSRVSALQGSKGKDCEVPAPVGISVTDENGQVLVFVVFPLKGELNKEEDRVL VAKGGLGGKLHTNFLPLKGQKRIVHLDLKVIADVGLVGSGQLMDLLLQPLVLVWWF >ENSMUSP00000118395.1 pep:known chromosome:GRCm38:5:5544066:5559461:-1 gene:ENSMUSG00000040464.15 transcript:ENSMUST00000126855.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtpbp10 description:GTP-binding protein 10 (putative) [Source:MGI Symbol;Acc:MGI:2385599] XRCGCALLRKYGNFIDNLRIFTKGGSGGMGYPRLGGEGGRGGDVWVVAHKNMTLKQLKNK YPQKRFVAGGGANSRRTQ >ENSMUSP00000119250.1 pep:known chromosome:GRCm38:5:5546350:5559482:-1 gene:ENSMUSG00000040464.15 transcript:ENSMUST00000147244.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtpbp10 description:GTP-binding protein 10 (putative) [Source:MGI Symbol;Acc:MGI:2385599] MVRCGCALLRKYGNFIDNLRIFTKGGSGGMGYPRLGGEGGRGGDVWVVAHKNMTLKQLKN KYPQKRFVAGGGANSR >ENSMUSP00000143003.1 pep:known chromosome:GRCm38:5:5558869:5559516:-1 gene:ENSMUSG00000040464.15 transcript:ENSMUST00000198799.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp10 description:GTP-binding protein 10 (putative) [Source:MGI Symbol;Acc:MGI:2385599] MVRCGCALLRKVRDGGLPSFGVFAALLLGCRSSVPVSWEKIVSQ >ENSMUSP00000112870.1 pep:known chromosome:GRCm38:10:3973118:4156111:1 gene:ENSMUSG00000040675.17 transcript:ENSMUST00000117291.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfd1l description:methylenetetrahydrofolate dehydrogenase (NADP+ dependent) 1-like [Source:MGI Symbol;Acc:MGI:1924836] MSVRLPLLLRQLGRQQLPSGPACRLRELCRSGSRSSSSGGGDPEGLRGRRLQDGQTFSSH GPGNPEAPGMDSIVRDVIHNSKEVLSLLQEKNPAFKPVLVVIQAGDDNLMKDMNQNLAKE AGLDITHICLPPDSGEDEIIDEILKINEDPRVHGLTLQISEDSLSNKVLNALKPEKDVDG VTDINLGKLVRGDAPECFVSPLAKAAVELVEKSGITLDGKKVLVVGAHGPLEAALQWLFQ RKGSMTMSCPWATPQLPDKLREADIVVLGSPKPEEIPAVWIPSGTTILNCFHDFLSGKLS GGSPGVPVDKLIAEESVSLLAAALRIQNMVSSGRRWLREQQHRRWRLHCLKLQPLSPVPS DIEISRGQTPKAVDVLAKEIGLLADEIEIYGKSKAKVQLSLLERLKDQTDGKYVLVAGIT PTPLGEGKSTVTIGLVQALTAHLKVNSFACLRQPSQGPTFGVKGGAAGGGYAQVIPMEEF NLHLTGDIHAITAANNLLAAAIDTRILHESTQTDKALYNRLVPLVNGVREFSEIQLSRLK KLGIHKTDPSTLTEEEVRKFARLNIDPATITWQRVLDTNDRFLRKITIGQGSTEKGYSRQ AQFDIAVASEIMAVLALTDSLTDMKERLGRMVVASDKDGQPVTAEDLGVTGALTVLMKDA IKPNLMQTLEGTPVFVHAGPFANIAHGNSSVLADKIALKLVGEEGFVVTEAGFGADIGME KFFNIKCRASGLVPNVVVLVATVRALKMHGGGPSVTAGVPLKKEYTEENIQLVADGCCNL QKQIQIAQLFGVPVVVALNVFKTDTRAEIDLVCELAKRAGAFDAVPCYHWSAGGKGSVDL ARAVREAANKRSRFQFLYDVQLPIVEKIRVIAQTVYGAKDIELSPEAQSKIDRYTQQGFG NLPICMAKTHLSLSHEPDKKGVPRDFTLPISDVRASIGAGFIYPLVGTMSTMPGLPTRPC FYDIDLDTETEQVKGLF >ENSMUSP00000036178.7 pep:known chromosome:GRCm38:10:3973144:4156111:1 gene:ENSMUSG00000040675.17 transcript:ENSMUST00000043735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfd1l description:methylenetetrahydrofolate dehydrogenase (NADP+ dependent) 1-like [Source:MGI Symbol;Acc:MGI:1924836] MSVRLPLLLRQLGRQQLPSGPACRLRELCRSGSRSSSSGGGDPEGLRGRRLQDGQTFSSH GPGNPEAPGMDSIVRDVIHNSKEVLSLLQEKNPAFKPVLVVIQAGDDNLMKDMNQNLAKE AGLDITHICLPPDSGEDEIIDEILKINEDPRVHGLTLQISEDSLSNKVLNALKPEKDVDG VTDINLGKLVRGDAPECFVSPLAKAAVELVEKSGITLDGKKVLVVGAHGPLEAALQWLFQ RKGSMTMSCPWATPQLPDKLREADIVVLGSPKPEEIPAVWIPSGTTILNCFHDFLSGKLS GGSPGVPVDKLIAEESVSLLAAALRIQNMVSSGRRWLREQQHRRWRLHCLKLQPLSPVPS DIEISRGQTPKAVDVLAKEIGLLADEIEIYGKSKAKVQLSLLERLKDQTDGKYVLVAGIT PTPLGEGKSTVTIGLVQALTAHLKVNSFACLRQPSQGPTFGVKGGAAGGGYAQVIPMEEF NLHLTGDIHAITAANNLLAAAIDTRILHESTQTDKALYNRLVPLVNGVREFSEIQLSRLK KLGIHKTDPSTLTEEEVRKFARLNIDPATITWQRVLDTNDRFLRKITIGQGSTEKGYSRQ AQFDIAVASEIMAVLALTDSLTDMKERLGRMVVASDKDGQPVTAEDLGVTGALTVLMKDA IKPNLMQTLEGTPVFVHAGPFANIAHGNSSVLADKIALKLVGEEGFVVTEAGFGADIGME KFFNIKCRASGLVPNVVVLVATVRALKMHGGGPSVTAGVPLKKEYTEENIQLVADGCCNL QKQIQIAQLFGVPVVVALNVFKTDTRAEIDLVCELAKRAGAFDAVPCYHWSAGGKGSVDL ARAVREAANKRSRFQFLYDVQLPIVEKIRVIAQTVYGAKDIELSPEAQSKIDRYTQQGFG NLPICMAKTHLSLSHEPDKKGVPRDFTLPISDVRASIGAGFIYPLVGTMSTMPGLPTRPC FYDIDLDTETEQVKGLF >ENSMUSP00000112897.1 pep:known chromosome:GRCm38:10:3973131:4167081:1 gene:ENSMUSG00000040675.17 transcript:ENSMUST00000120585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfd1l description:methylenetetrahydrofolate dehydrogenase (NADP+ dependent) 1-like [Source:MGI Symbol;Acc:MGI:1924836] MSVRLPLLLRQLGRQQLPSGPACRLRELCRSGSRSSSSGGGDPEGLRGRRLQDGQTFSSH GPGNPEAPGMDSIVRDVIHNSKEVLSLLQEKNPAFKPVLVVIQAGDDNLMKDMNQNLAKE AGLDITHICLPPDSGEDEIIDEILKINEDPRVHGLTLQISEDSLSNKVLNALKPEKDVDG VTDINLGKLVRGDAPECFVSPLAKAAVELVEKSGITLDGKKVLVVGAHGPLEAALQWLFQ RKGSMTMSCPWATPQLPDKLREADIVVLGSPKPEEIPAVWIPSGTTILNCFHDFLSGKLS GGSPGVPVDKLIAEESVSLLAAALRIQNMVSSGRRWLREQQHRRWRLHCLKLQPLSPVPS DIEISRGQTPKAVDVLAKEIGLLADEIEIYGKSKAKVQLSLLERLKDQTDGKYVLVAGIT PTPLGEGKSTVTIGLVQALTAHLKVNSFACLRQPSQGPTFGVKGGAAGGGYAQVIPMEEF NLHLTGDIHAITAANNLLAAAIDTRILHESTQTDKALYNRLVPLVNGVREFSEIQLSRLK KLGIHKTDPSTLTEEEVRKFARLNIDPATITWQRVLDTNDRFLRKITIGQGSTEKGYSRQ AQFDIAVASEIMAVLALTDSLTDMKERLGRMVVASDKDGQPVTAEDLGVTGALTVLMKDA IKPNLMQTLEGTPVFVHAGPFANIAHGNSSVLADKIALKLVGEEGFVVTEAGFGADIGME KFFNIKCRASGLVPNVVVLVATVRALKMHGGGPSVTAGVPLKKEYTEENIQLVADGCCNL QKQIQIAQLFGVPVVVALNVFKTDTRAEIDLVCELAKRAGAFDAVPCYHWSAGGKGSVDL ARAVREAANKRSRFQFLYDVQLPIVEKIRVIAQTVYGAKDIELSPEAQSKIDRYTQQGFG NLPICMAKTHLSLSHEPDKKGVPRDFTLPISDVRASIGAGFIYPLVGTMSTMPGLPTRPC FYDIDLDTETEQVKGLF >ENSMUSP00000066209.4 pep:known chromosome:GRCm38:4:129548349:129558391:-1 gene:ENSMUSG00000000409.14 transcript:ENSMUST00000067240.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lck description:lymphocyte protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:96756] MGCVCSSNPEDDWMENIDVCENCHYPIVPLDSKISLPIRNGSEVRDPLVTYEGSLPPASP LQDNLVIALHSYEPSHDGDLGFEKGEQLRILEQSGEWWKAQSLTTGQEGFIPFNFVAKAN SLEPEPWFFKNLSRKDAERQLLAPGNTHGSFLIRESESTAGSFSLSVRDFDQNQGEVVKH YKIRNLDNGGFYISPRITFPGLHDLVRHYTNASDGLCTKLSRPCQTQKPQKPWWEDEWEV PRETLKLVERLGAGQFGEVWMGYYNGHTKVAVKSLKQGSMSPDAFLAEANLMKQLQHPRL VRLYAVVTQEPIYIITEYMENGSLVDFLKTPSGIKLNVNKLLDMAAQIAEGMAFIEEQNY IHRDLRAANILVSDTLSCKIADFGLARLIEDNEYTAREGAKFPIKWTAPEAINYGTFTIK SDVWSFGILLTEIVTHGRIPYPGMTNPEVIQNLERGYRMVRPDNCPEELYHLMMLCWKER PEDRPTFDYLRSVLDDFFTATEGQYQPQP >ENSMUSP00000099656.1 pep:known chromosome:GRCm38:4:129548349:129573641:-1 gene:ENSMUSG00000000409.14 transcript:ENSMUST00000102596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lck description:lymphocyte protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:96756] MGCVCSSNPEDDWMENIDVCENCHYPIVPLDSKISLPIRNGSEVRDPLVTYEGSLPPASP LQDNLVIALHSYEPSHDGDLGFEKGEQLRILEQSGEWWKAQSLTTGQEGFIPFNFVAKAN SLEPEPWFFKNLSRKDAERQLLAPGNTHGSFLIRESESTAGSFSLSVRDFDQNQGEVVKH YKIRNLDNGGFYISPRITFPGLHDLVRHYTNASDGLCTKLSRPCQTQKPQKPWWEDEWEV PRETLKLVERLGAGQFGEVWMGYYNGHTKVAVKSLKQGSMSPDAFLAEANLMKQLQHPRL VRLYAVVTQEPIYIITEYMENGSLVDFLKTPSGIKLNVNKLLDMAAQIAEGMAFIEEQNY IHRDLRAANILVSDTLSCKIADFGLARLIEDNEYTAREGAKFPIKWTAPEAINYGTFTIK SDVWSFGILLTEIVTHGRIPYPGMTNPEVIQNLERGYRMVRPDNCPEELYHLMMLCWKER PEDRPTFDYLRSVLDDFFTATEGQYQPQP >ENSMUSP00000119263.1 pep:known chromosome:GRCm38:4:129557365:129558372:-1 gene:ENSMUSG00000000409.14 transcript:ENSMUST00000134336.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lck description:lymphocyte protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:96756] MGCVCSSNPEDDWMENIDVCENCHYPIVPLDSKISLPIRNGSEVRDPLVTYEGSLPPASP LQDNLVIALHSYEPSHDGDLGFEKGEQLRILE >ENSMUSP00000125777.1 pep:known chromosome:GRCm38:4:129548344:129558372:-1 gene:ENSMUSG00000000409.14 transcript:ENSMUST00000167288.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lck description:lymphocyte protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:96756] MGASELTISGIMGCVCSSNPEDDWMENIDVCENCHYPIVPLDSKISLPIRNGSEVRDPLV TYEGSLPPASPLQDNLVIALHSYEPSHDGDLGFEKGEQLRILEQSGEWWKAQSLTTGQEG FIPFNFVAKANSLEPEPWFFKNLSRKDAERQLLAPGNTHGSFLIRESESTAGSFSLSVRD FDQNQGEVVKHYKIRNLDNGGFYISPRITFPGLHDLVRHYTNASDGLCTKLSRPCQTQKP QKPWWEDEWEVPRETLKLVERLGAGQFGEVWMGYYNGHTKVAVKSLKQGSMSPDAFLAEA NLMKQLQHPRLVRLYAVVTQEPIYIITEYMENGSLVDFLKTPSGIKLNVNKLLDMAAQIA EGMAFIEEQNYIHRDLRAANILVSDTLSCKIADFGLARLIEDNEYTAREGAKFPIKWTAP EAINYGTFTIKSDVWSFGILLTEIVTHGRIPYPGMTNPEVIQNLERGYRMVRPDNCPEEL YHLMMLCWKERPEDRPTFDYLRSVLDDFFTATEGQYQPQP >ENSMUSP00000116436.1 pep:known chromosome:GRCm38:19:6080033:6080785:1 gene:ENSMUSG00000047733.10 transcript:ENSMUST00000149347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048609 description:cDNA sequence BC048609 [Source:MGI Symbol;Acc:MGI:3690536] MRWRDRIAVLCFPPGLMLTVAALILFFIHMGVFASDVHNFCVIHNYDHMSFRYTVVLISS MEPCSSTACAWSFWPSITERRDTEAWGLGTGLRREMEKAASEGLIKSVVY >ENSMUSP00000121339.1 pep:known chromosome:GRCm38:19:6080033:6080788:1 gene:ENSMUSG00000047733.10 transcript:ENSMUST00000143303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048609 description:cDNA sequence BC048609 [Source:MGI Symbol;Acc:MGI:3690536] MRWRDRIAVLCFPPGLMLTVAALILFFIHMGVFASDVHNFCVIHNYDHMSFRYTVVLIFS QVISIGWAAMGSLYAEMTGDKFLRCFALTILILNGAMFFNRLCLEFLAINYREERH >ENSMUSP00000025707.2 pep:known chromosome:GRCm38:19:6080762:6084943:-1 gene:ENSMUSG00000024792.8 transcript:ENSMUST00000025707.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfpl1 description:zinc finger like protein 1 [Source:MGI Symbol;Acc:MGI:1891017] MGLCKCPKRKVTNLFCFEHRVNVCEHCLVANHAKCIVQSYLQWLQDSDYNPNCRLCNTPL ASRETTRLVCYDLFHWACINERAAQLPRNTAPAGYQCPSCNGPIFPPANLAGPVASALRE KLATVNWARAGLGLPLIDEVISPEPEPLNSSDFSDWSSFNATTTSVQEERASTPSAPAFY SQAPRPPPSPSRPEQHTVIHMGSTEALAHAPRKVYDTRDDDRTAGIHGDCDDDKYRRRPA LGWLAQLLRSRAGSRKRPLTLLQRAGLLLLLGLLGFLALLALMSRLGRAAADSDPNLDPL MNPHIRVGPS >ENSMUSP00000125176.1 pep:known chromosome:GRCm38:19:6081168:6084915:-1 gene:ENSMUSG00000024792.8 transcript:ENSMUST00000160977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfpl1 description:zinc finger like protein 1 [Source:MGI Symbol;Acc:MGI:1891017] MGLCKCPKRKVTNLFCFEHRVNVCEHCLVANHAKCIVQSYLQWLQDSDYNPNCRLCNTPL ASRETTRLVCYDLFHWACINERAAQLPRNTAPAGYQCPSCNGPIFPPANLAGPVASALRE KLATVNWARAGLGLPLIDEVISPEPEPLNSSDFSDWSSFNATTTSVQEERASTPSAPAFY SQAPRPPPSPSRPEQHTVIHMGSTEALAHAPRKVYDTRDDDRTAGIHGDCDDDKYRRRPA LGWLAQLLRSRAGSRKRPLTLLQR >ENSMUSP00000125459.1 pep:known chromosome:GRCm38:19:6081865:6084693:-1 gene:ENSMUSG00000024792.8 transcript:ENSMUST00000161548.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfpl1 description:zinc finger like protein 1 [Source:MGI Symbol;Acc:MGI:1891017] MGLCKCPKRKVTNLFCFEHRVNVCEHCLVANHAKCIVQSYLQWLQDSDYNPNCRLCNTPL ASRETTRLVCYDLFHWACINERAAQLPRNTAPAGYQCPSCNGPIFPPANLAGPVASALRE KLATVNWARAGLGLPLIDEVISPEPEPLNSSDFSDWSSFNATTTSVQEERASTPSAPAFY SQAPRPPPSPSRPEQHTVIHMGSTEALAHAPRKVYDTRDDDRTAGIHGDCDDDKYRRRPA LG >ENSMUSP00000124799.1 pep:known chromosome:GRCm38:19:6082215:6084904:-1 gene:ENSMUSG00000024792.8 transcript:ENSMUST00000160448.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfpl1 description:zinc finger like protein 1 [Source:MGI Symbol;Acc:MGI:1891017] MGLCKCPKRKVTNLFCFEHRVNVCEHCLVANHAKISFIGPVSMNVLPSYHETQRLQATSA PAVMAPSSPQPTSLAQWLQH >ENSMUSP00000125085.1 pep:known chromosome:GRCm38:19:6082261:6084944:-1 gene:ENSMUSG00000024792.8 transcript:ENSMUST00000160712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfpl1 description:zinc finger like protein 1 [Source:MGI Symbol;Acc:MGI:1891017] MGLCKCPKRKVTNLFCFEHRVNVCEHCLVANHAKCIVQSYLQWLQDSDYNPNCRLCNTPL ASRETTRLVCYDLFHWACINERAAQLPRNTAPAGYQCPSCNGPIFPPANLAGPVASALRE KLATVNWARAGLGLPLIDEVISPEPEPLNSSDFSDWSSFNATTTSVQEERASTPSAPAFY SQA >ENSMUSP00000124857.1 pep:known chromosome:GRCm38:19:6082606:6084927:-1 gene:ENSMUSG00000024792.8 transcript:ENSMUST00000159859.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfpl1 description:zinc finger like protein 1 [Source:MGI Symbol;Acc:MGI:1891017] MGLCKCPKRKVTNLFCFEHRVNVCEHCLVANHAKCIVQSYLQWLQDSDYNPNCRLCNTPL ASRETTRLVCYDLFHWACINERAAQLPRNTAPAGYQCPSCNGPIFPPANLAGPVASALRE KLATVNWAR >ENSMUSP00000079909.3 pep:known chromosome:GRCm38:2:178345293:178407685:-1 gene:ENSMUSG00000060445.11 transcript:ENSMUST00000081134.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycp2 description:synaptonemal complex protein 2 [Source:MGI Symbol;Acc:MGI:1933281] MPVRPDLQQLEKCIDDALRKNDFKPLLALLQIDICEDVKIKCSKQFLRKLDDLICRELNK KDIQTVSSILISIGRCSKNIFILGQAGLQTMIKQGLVQKMVSWFENSKEIILNQQQSKDE AVMNMIEDLFDLLMVIYDISDEGKNQVLESFIPQICALVIDSRVNFCIQQEALKKMNLML DRIPQDANKILSNQEMLTLMSNMGERILDVGDYELQVGIVEALCRMTTEKRRQELAYEWF SMDFIANAFKEIKDCEFETDCRIFLNLVNGILGDKRRVYTFPCLSAFLGKYELQIPSDEK LEEFWIDFNLGSHTLSFYIAGDEEDHQWEAVTVPEEKVQMYNIEVRESKKLLTLTLKNIV KISKKEGKELLFYFDESLEITNVTKKVFGGNKYKEFTRKQGISVAKTSIHVLFDASGSQI LVPESQPSPVKENLIHLKEKSDIQKKLVNPLELGNSSSQDEITTPSRKKMSEASMIVPDT DRYTVRSPILLINTSTPRRSREPLQAINSVEKAVSKTSESGMDYAASPKSRQSDGRKRWN NRANHNKTTAVIQNKQYEDNESPDQNFNEIEDTLSNVSSAVGKVDKPVLPGVLDISKNTT HSRWACWTPVTTIKLCNNQRSRALPGDTCTQDTGVNKKCTKQKSVSDDDSEETQKGKYSK DVIKCNKSDEAEFCERNIQEQNHPKYSQKKNTANAKKSDWHIESETTYKSVLLNKTTEES LIYKKTCVLSKDVNTTICDKSPSRKSKRNHTKSRKELMSELTSCELEEIPVRENSKGKRF TGASESLINQISRRYNPSDSMMSTRKLKEPQDGSGFSKKPDLQFNKVQRKSYRKLKATVV NVTSECPLDDVYNFSLNGADEPVIKLGIQEFQATTREASMDNSLKLVKNHDEHDPFLKTK DKRMLSYEKKTLLSDTETECGCDDSKTDISWLKEPKTKRLMDYSRNKNTTKYKSRKSRSS MEKGQPRPTMVLNKNSMKNDYEVVVDGRTRLPRRATKTKKNYKDLSTSESESESEKECSY LFKDKLPTKEETIHSRAQTKKLPEKQQKVFNSEALKGQPSEEQKNSSRLREGREDSLCLS SASVSRSSSSVEVMRCTEKITERDFTQDYDYITKSLSPYPKAPSPEFLNGNNSVVGRGQS PRISETSAMCVRKSYSPASGPPFSPRHTPTKNNSVVNMKKANSVINNQRTQHCNSYSDVS SNSSEKLYMEPESPESCDNHMQNKREGNHAASPLSLSSEKIEKMWFDMPSENTHVSGPSQ RGSKRRMYLEDDELSNSNEAEVEEAEEREHLLSKKRCQWENSDQHTFKTSLSTPDFSVPK DWQQELQGAGMFYDNISSDYKRKTDSQHKIMDDFTTKTLKLTQQHLMAMTSQAQGRRDEN VEKFQVTLLDELEKVEKDSQTLRDLEKELVDIEEKLVQKMRAYHRCERERFRVLKTSLDK SFLVYNSVYEESVFTSEMCLMKANMKMLQDKLLKEMHEEEVLNIRRGLQSLFKAHEGNDA >ENSMUSP00000116917.1 pep:known chromosome:GRCm38:2:178395265:178407422:-1 gene:ENSMUSG00000060445.11 transcript:ENSMUST00000138175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycp2 description:synaptonemal complex protein 2 [Source:MGI Symbol;Acc:MGI:1933281] MPVRPDLQQLEKCIDDALRKNDFKPLLALLQIDICEDVKIKCSKQFLRKLDDLICRELNK KDIQTVSSILISIGRCSKNIFILGQAGLQTMIKQGLVQKMVSWFENSKEIILNQQQSKDE AVMNMIEDLFDLLMVIYDISDEGKNQVLESFIPQICALVIDSRVNFCIQQEALKKMNLML DRIPQ >ENSMUSP00000099573.3 pep:known chromosome:GRCm38:3:146729574:146812960:-1 gene:ENSMUSG00000005034.15 transcript:ENSMUST00000102515.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkacb description:protein kinase, cAMP dependent, catalytic, beta [Source:MGI Symbol;Acc:MGI:97594] MGNTAIAKKGSEVESVKEFLAKAKEDFLRKWENPPPSNAGLEDFERKKTLGTGSFGRVML VKHKATEQYYAMKILDKQKVVKLKQIEHTLNEKRILQAVEFPFLVRLEYSFKDNSNLYMV MEYVPGGEMFSHLRRIGRFSEPHARFYAAQIVLTFEYLHSLDLIYRDLKPENLLIDHQGY IQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFF ADQPIQIYEKIVSGKVRFPSHFSSDLKDLLRNLLQVDLTKRFGNLKNGVSDIKTHKWFAT TDWIAIYQRKVEAPFIPKFRGSGDTSNFDDYEEEEIRVSITEKCGKEFCEF >ENSMUSP00000101743.1 pep:known chromosome:GRCm38:3:146729865:146770261:-1 gene:ENSMUSG00000005034.15 transcript:ENSMUST00000106137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkacb description:protein kinase, cAMP dependent, catalytic, beta [Source:MGI Symbol;Acc:MGI:97594] MNVKEFLAKAKEDFLRKWENPPPSNAGLEDFERKKTLGTGSFGRVMLVKHKATEQYYAMK ILDKQKVVKLKQIEHTLNEKRILQAVEFPFLVRLEYSFKDNSNLYMVMEYVPGGEMFSHL RRIGRFSEPHARFYAAQIVLTFEYLHSLDLIYRDLKPENLLIDHQGYIQVTDFGFAKRVK GRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFFADQPIQIYEKIVS GKVRFPSHFSSDLKDLLRNLLQVDLTKRFGNLKNGVSDIKTHKWFATTDWIAIYQRKVEA PFIPKFRGSGDTSNFDDYEEEEIRVSITEKCGKEFCEF >ENSMUSP00000101744.1 pep:known chromosome:GRCm38:3:146729865:146770781:-1 gene:ENSMUSG00000005034.15 transcript:ENSMUST00000106138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkacb description:protein kinase, cAMP dependent, catalytic, beta [Source:MGI Symbol;Acc:MGI:97594] MGLLKEFLAKAKEDFLRKWENPPPSNAGLEDFERKKTLGTGSFGRVMLVKHKATEQYYAM KILDKQKVVKLKQIEHTLNEKRILQAVEFPFLVRLEYSFKDNSNLYMVMEYVPGGEMFSH LRRIGRFSEPHARFYAAQIVLTFEYLHSLDLIYRDLKPENLLIDHQGYIQVTDFGFAKRV KGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFFADQPIQIYEKIV SGKVRFPSHFSSDLKDLLRNLLQVDLTKRFGNLKNGVSDIKTHKWFATTDWIAIYQRKVE APFIPKFRGSGDTSNFDDYEEEEIRVSITEKCGKEFCEF >ENSMUSP00000005164.7 pep:known chromosome:GRCm38:3:146729867:146781416:-1 gene:ENSMUSG00000005034.15 transcript:ENSMUST00000005164.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkacb description:protein kinase, cAMP dependent, catalytic, beta [Source:MGI Symbol;Acc:MGI:97594] MAAHKELSSGQHSGTPTALQKLEGFASRLFHRHSRGTAQEHRAALEDDGLRASEHTASWD KSMKEFLAKAKEDFLRKWENPPPSNAGLEDFERKKTLGTGSFGRVMLVKHKATEQYYAMK ILDKQKVVKLKQIEHTLNEKRILQAVEFPFLVRLEYSFKDNSNLYMVMEYVPGGEMFSHL RRIGRFSEPHARFYAAQIVLTFEYLHSLDLIYRDLKPENLLIDHQGYIQVTDFGFAKRVK GRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFFADQPIQIYEKIVS GKVRFPSHFSSDLKDLLRNLLQVDLTKRFGNLKNGVSDIKTHKWFATTDWIAIYQRKVEA PFIPKFRGSGDTSNFDDYEEEEIRVSITEKCGKEFCEF >ENSMUSP00000143303.1 pep:known chromosome:GRCm38:3:146747973:146764570:-1 gene:ENSMUSG00000005034.15 transcript:ENSMUST00000199722.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkacb description:protein kinase, cAMP dependent, catalytic, beta [Source:MGI Symbol;Acc:MGI:97594] MLVKHKATEQYYAMKILDKQKVVKLKQIEHTLNEKRILQAVEFPFLVRLEYSFKDNSNLY MVMEYVPGGEMFSHLRRIGRFSEPHARFYAAQIVLTFEYLHSLDLI >ENSMUSP00000142490.1 pep:known chromosome:GRCm38:3:146747973:146788836:-1 gene:ENSMUSG00000005034.15 transcript:ENSMUST00000197616.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkacb description:protein kinase, cAMP dependent, catalytic, beta [Source:MGI Symbol;Acc:MGI:97594] MWRESEEEQVWHESTEEMRDQRNKMGFWGMEDDGRVSKNQVKEFLAKAKEDFLRKWENPP PSNAGLEDFERKKTLGTGSFGRVMLVKHKATEQYYAMKILDKQKVVKLKQIEHTLNEKRI LQAVEFPFLVRLEYSFKDNSNLYMVMEYVPGGEMFSHLRRIGRFSEPHARFYAAQIVLTF EYLHSLDLI >ENSMUSP00000059382.2 pep:known chromosome:GRCm38:8:105170674:105217989:1 gene:ENSMUSG00000031885.13 transcript:ENSMUST00000052209.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfb description:core binding factor beta [Source:MGI Symbol;Acc:MGI:99851] MPRVVPDQRSKFENEEFFRKLSRECEIKYTGFRDRPHEERQTRFQNACRDGRSEIAFVAT GTNLSLQFFPASWQGEQRQTPSREYVDLEREAGKVYLKAPMILNGVCVIWKGWIDLHRLD GMGCLEFDEERAQQEDALAQQAFEEARRRTREFEDRDRSHREEMEARRQQDPSPGSNLGG GDDLKLR >ENSMUSP00000105019.1 pep:known chromosome:GRCm38:8:105170744:105217981:1 gene:ENSMUSG00000031885.13 transcript:ENSMUST00000109392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfb description:core binding factor beta [Source:MGI Symbol;Acc:MGI:99851] MPRVVPDQRSKFENEEFFRKLSRECEIKYTGFRDRPHEERQTRFQNACRDGRSEIAFVAT GTNLSLQFFPASWQGEQRQTPSREYVDLEREAGKVYLKAPMILNGVCVIWKGWIDLHRLD GMGCLEFDEERAQQEDALAQQAFEEARRRTREFEDRDRSHREEMEVRVSQLLAVTGKKTA RP >ENSMUSP00000105022.1 pep:known chromosome:GRCm38:8:105170744:105217981:1 gene:ENSMUSG00000031885.13 transcript:ENSMUST00000109395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfb description:core binding factor beta [Source:MGI Symbol;Acc:MGI:99851] MPRVVPDQRSKFENEEFFRKLSRECEIKYTGFRDRPHEERQTRFQNACRDGRSEIAFVAT GTNLSLQFFPASWQGEQRQTPSREYVDLEREAGKVYLKAPMILNGVCVIWKGWIDLHRLD GMGCLEFDEERAQARRQQDPSPGSNLGGGDDLKLR >ENSMUSP00000105021.1 pep:known chromosome:GRCm38:8:105170945:105217981:1 gene:ENSMUSG00000031885.13 transcript:ENSMUST00000109394.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfb description:core binding factor beta [Source:MGI Symbol;Acc:MGI:99851] MPRVVPDQRSKFENEEFFRKLSRECEIKYTGFRDRPHEERQTRFQNACRDGRSEIVYLKA PMILNGVCVIWKGWIDLHRLDGMGCLEFDEERAQQEDALAQQAFEEARRRTREFEDRDRS HREEMEARRQQDPSPGSNLGGGDDLKLR >ENSMUSP00000038137.4 pep:known chromosome:GRCm38:17:23668618:23673842:-1 gene:ENSMUSG00000041319.13 transcript:ENSMUST00000047436.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc6 description:THO complex 6 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2677480] MEHAAPLAVPLGQAEVFQALQRLHMTIFSQSVSPCGKFLAAGNNYGQIAIFSLSAALSSE AKEESKKPVVVFHAHDGPVYSMVSTDRHLLSAGDGEVKGWLWAEILKKGCKELWRRQPPY RTSLEVPEINALLLVPKENSLILAGGDCQLHSMDLETGAFTRALRGHTDYIHCLALRERS PEVLSGGEDGAVRLWDLRIAKEVQTIEVYKHEECSRPHNGRWIGCLATDSDWMVCGGGPA LTLWHLRSSTPTTVFPIRAPQKHVTFYQDLILSAGQGCCVNHWQLSGELKAQVPGSSPGL LSLSLNQQPAAPECKVLTASGNSCRVDVFTNLGYRAFSLSF >ENSMUSP00000093239.4 pep:known chromosome:GRCm38:17:23668618:23673882:-1 gene:ENSMUSG00000041319.13 transcript:ENSMUST00000095579.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc6 description:THO complex 6 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2677480] MEHAAPLAVPLGQAEVFQALQRLHMTIFSQSVSPCGKFLAAGNNYGQIAIFSLSAALSSE AKEESKKPVVVFHAHDGPVYSMVSTDRHLLSAGDGEVKGWLWAEILKKGCKELWRRQPPY RTSLEVPEINALLLVPKENSLILAGGDCQLHSMDLETGAFTRALRGHTDYIHCLALRERS PEVLSGGEDGAVRLWDLRIAKEVQTIEVYKHEECSRPHNGRWIGCLATDSDWMVCGGGPA LTLWHLRSSTPTTVFPIRAPQKHVTFYQDLILSAGQGCCVNHWQLSGELKAQVPGSSPGL LSLSLNQQPAAPECKVLTASGNSCRVDVFTNLGYRAFSLSF >ENSMUSP00000111152.1 pep:known chromosome:GRCm38:17:23668797:23673605:-1 gene:ENSMUSG00000041319.13 transcript:ENSMUST00000115489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc6 description:THO complex 6 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2677480] MPHRSRCLWAEVFQALQRLHMTIFSQSVSPCGKFLAAGNNYGQIAIFSLSAALSSEAKEE SKKPVVVFHAHDGPVYSMVSTDRHLLSAGDGEVKGWLWAEILKKGCKELWRRQPPYRTSL EVPEINALLLVPKENSLILAGGDCQLHSMDLETGAFTRALRGHTDYIHCLALRERSPEVL SGGEDGAVRLWDLRIAKEVQTIEVYKHEECSRPHNGRWIGCLATDSDWMVCGGGPALTLW HLRSSTPTTVFPIRAPQKHVTFYQDLILSAGQGCCVNHWQLSGELKAQVPGSSPGLLSLS LNQQPAAPECKVLTASGNSCRVDVFTNLGYRAFSLSF >ENSMUSP00000111153.1 pep:known chromosome:GRCm38:17:23668797:23673829:-1 gene:ENSMUSG00000041319.13 transcript:ENSMUST00000115490.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc6 description:THO complex 6 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2677480] MEHAAPLAVPLGQAEVFQALQRLHMTIFSQSVSPCGKFLAAGNNYGQIAIFSLSAALSSE AKEESKKPVVVFHAHDGPVYSMVSTDRHLLSAGDGEVKGWLWAEILKKGCKELWRRQPPY RTSLEVPEINALLLVPKENSLILAGGDCQLHSMDLETGAFTRALRGHTDYIHCLALRERS PEVLSGGEDGAVRLWDLRIAKEVQTIEVYKHEECSRPHNGRWIGCLATDSDWMVCGGGPA LTLWHLRSSTPTTVFPIRAPQKHVTFYQDLVLTASGNSCRVDVFTNLGYRAFSLSF >ENSMUSP00000119920.1 pep:known chromosome:GRCm38:17:23669200:23670509:-1 gene:ENSMUSG00000041319.13 transcript:ENSMUST00000135259.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc6 description:THO complex 6 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2677480] XLLSAGDGEVKGWLWAEILKKGCKELWRRQPPYRTSLEVPEINALLLVPKENSLILAGGD CQLHSMDLETGAFTRALRGHTDYIHCLALRERSPEVLSGGEDGAVRLWDLRIAKEVQTIE VYKHEECSRPHNGRWIGCLATDSDWMVSWAECRVRVATEGPWLTVTGACHLSVQVCGGGP ALTLWHLRSSTPTTVFPIRAPQKHVTFYQ >ENSMUSP00000123075.1 pep:known chromosome:GRCm38:17:23670322:23673871:-1 gene:ENSMUSG00000041319.13 transcript:ENSMUST00000138190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc6 description:THO complex 6 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2677480] MEHAAPLAVPLGQAEVFQALQRLHMTIFSQSVSPCGKFLAAGNNYGQIAIFSLSAALSSE AKEESKKPVVVFHAHDGPVYSMVSTDRHLLSAGDGEVKGWLWAEILKKGCKELWRRQPPY R >ENSMUSP00000124102.1 pep:known chromosome:GRCm38:3:54897068:54915031:-1 gene:ENSMUSG00000056306.5 transcript:ENSMUST00000162201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertm1 description:serine rich and transmembrane domain containing 1 [Source:MGI Symbol;Acc:MGI:3607715] MSEADSSSGFAGSVENGTFLELFPTSLSTSVDSSSGHLSNVYIYVSIFLSLLAFLLLLLI IALQRLKNIISSSSSYPEYPSDAGSSFTNLEVCSISSQRSTFSNLSS >ENSMUSP00000064870.3 pep:known chromosome:GRCm38:3:54897071:54915887:-1 gene:ENSMUSG00000056306.5 transcript:ENSMUST00000070342.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertm1 description:serine rich and transmembrane domain containing 1 [Source:MGI Symbol;Acc:MGI:3607715] MSEADSSSGFAGSVENGTFLELFPTSLSTSVDSSSGHLSNVYIYVSIFLSLLAFLLLLLI IALQRLKNIISSSSSYPEYPSDAGSSFTNLEVCSISSQRSTFSNLSS >ENSMUSP00000142738.1 pep:known chromosome:GRCm38:1:138963435:139063718:1 gene:ENSMUSG00000056268.15 transcript:ENSMUST00000200533.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd1b description:DENN/MADD domain containing 1B [Source:MGI Symbol;Acc:MGI:2447812] MDRRTRENPERTFDLVLKVKCHASENEDPEVLWKFPEDFGDQEVLQSVPKFCFPFDVERV SQNQVGQHFTFVLTDMESKQRFGFCRLTSGGRICLCILSYLPWFEVYYKLLNTLADYLAK ELEEDLNETLKSLYNHPVPKANTPVNLSVNQELFIASEQVLKDDPSLIPHSCFITPDITG LPTIPESRNLTEYFVAVDVNNMLRLYASMLHERRIIITSSKLSTVSPSHLRL >ENSMUSP00000143691.1 pep:known chromosome:GRCm38:1:138963728:139085928:1 gene:ENSMUSG00000056268.15 transcript:ENSMUST00000198759.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd1b description:DENN/MADD domain containing 1B [Source:MGI Symbol;Acc:MGI:2447812] MDRRTRENPERTFDLVLKVKCHASENEDPEVLWKFPEDFGDQLLAMV >ENSMUSP00000143783.1 pep:known chromosome:GRCm38:1:138963869:139178960:1 gene:ENSMUSG00000056268.15 transcript:ENSMUST00000200429.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd1b description:DENN/MADD domain containing 1B [Source:MGI Symbol;Acc:MGI:2447812] MDRRTRENPERTFDLVLKVKCHASENEDPEVLWKFPEDFGDQEVLQSVPKFCFPFDVERV SQNQVGQHFTFVLTDMESKQRFGFCRLTSGGRICLCILSYLPWFEVYYKLLNTLADYLAK ELEEDLNETLKSLYNHPVPKANTPVNLSVHSCFITPDITGLPTIPESRNLTEYFVAVDVN NMLRLYASMLHERRIIITSSKLSTLTACLHGSAALLYPMYWQHIYIPVLPPHLLDYCCAP MPYLIGIHSSLIERVKNKSLEDVVVLNVDTNTLESPFNDLSSLPSDVVSALKNKLKKQST ATGDGVARAFLRAQAALFGSYRDALRYKPGARGHISSGCIQSRKEVHCSTQQ >ENSMUSP00000092082.3 pep:known chromosome:GRCm38:1:138967720:139176043:1 gene:ENSMUSG00000056268.15 transcript:ENSMUST00000094505.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd1b description:DENN/MADD domain containing 1B [Source:MGI Symbol;Acc:MGI:2447812] MESKQRFGFCRLTSGGRICLCILSYLPWFEVYYKLLNTLADYLAKELEEDLNETLKSLYN HPVPKANTPVNLSVHSCFITPDITGLPTIPESRNLTEYFVAVDVNNMLRLYASMLHERRI IITSSKLSTLTACLHGSAALLYPMYWQHIYIPVLPPHLLDYCCAPMPYLIGIHSSLIERV KNKSLEDVVVLNVDTNTLESPFNDLSSLPSDVVSALKNKLKKQSTATGDGVARAFLRAQA ALFGSYRDALRYKPGEPITFCEESFVKHRSSVMKQFLETAVNLQLFKQFIDGRLAKLNAG RGFSDIFEEEITSGGFCGGSPRSYQQWVYTVKKGGALFNTAVTKATPAVRTAYKFAKSHA RLGLKEVKSRLKHKDNEEEYGTCSGLVQYTPVYTLHNEKGENREKHKLSQTHLKRPHKSL DGTLYDDDDDDDDIERASKISSEDGEETRAYFYESDDSVEAQVKAPYSGEMDLLGEILDT LSTHSSDQGKLAPAKSLDFFRSMDDIDYKPTNKSNAPSENNLALLCASGDQGEWNLGQDD SALHGRQLPPSPRKRVSSGGLTESLFILKEESREKPLCADSVSGPTVVGKPAPTSGLRSQ PAAPEASQTERGRAEVKQTPGQAPLQSEDLSVPGPGSRQSTFVPWEKAGKEDTKPSKDVG LLQEVVSLCHMSCDFQQDLNISEESRSGNQT >ENSMUSP00000127580.1 pep:known chromosome:GRCm38:1:138963709:139176043:1 gene:ENSMUSG00000056268.15 transcript:ENSMUST00000168527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd1b description:DENN/MADD domain containing 1B [Source:MGI Symbol;Acc:MGI:2447812] MDRRTRENPERTFDLVLKVKCHASENEDPEVLWKFPEDFGDQEVLQSVPKFCFPFDVERV SQNQVGQHFTFVLTDMESKQRFGFCRLTSGGRICLCILSYLPWFEVYYKLLNTLADYLAK ELEEDLNETLKSLYNHPVPKANTPVNLSVHSCFITPDITGLPTIPESRNLTEYFVAVDVN NMLRLYASMLHERRIIITSSKLSTLTACLHGSAALLYPMYWQHIYIPVLPPHLLDYCCAP MPYLIGIHSSLIERVKNKSLEDVVVLNVDTNTLESPFNDLSSLPSDVVSALKNKLKKQST ATGDGVARAFLRAQAALFGSYRDALRYKPGEPITFCEESFVKHRSSVMKQFLETAVNLQL FKQFIDGRLAKLNAGRGFSDIFEEEITSGGFCGGSPRSYQQWVYTVKKGGALFNTAVTKA TPAVRTAYKFAKSHARLGLKEVKSRLKHKDNEEEYGTCSGLVQYTPVYTLHNEKGENREK HKLSQTHLKRPHKSLDGTLYDDDDDDDDIERASKISSEDGEETRAYFYESDDSVEAQVKA PYSGEMDLLGEILDTLSTHSSDQGKLAPAKSLDFFRSMDDIDYKPTNKSNAPSENNLALL CASGDQGEWNLGQDDSALHGRQLPPSPRKRVSSGGLTESLFILKEESREKPLCADSVSGP TVVGKPAPTSGLRSQPAAPEASQTERGRAEVKQTPGQAPLQSEDLSVPGPGSRQSTFVPW EKAGKEDTKPSKDVGLLQEVVSLCHMSCDFQQDLNISEESRSGNQT >ENSMUSP00000128401.1 pep:known chromosome:GRCm38:17:34187553:34197225:1 gene:ENSMUSG00000037321.17 transcript:ENSMUST00000170086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tap1 description:transporter 1, ATP-binding cassette, sub-family B (MDR/TAP) [Source:MGI Symbol;Acc:MGI:98483] MAAHVWLAAALLLLVDWLLLRPMLPGIFSLLVPEVPLLRVWVVGLSRWAILGLGVRGVLG VTAGAHGWLAALQPLVAALSLALPGLALFRELAAWGTLREGDSAGLLYWNSRPDAFAISY VAALPAAALWHKLGSLWAPSGNRDAGDMLCRMLGFLGPKKRRLYLVLVLLILSCLGEMAI PFFTGRITDWILQDKTVPSFTRNIWLMSILTIASTALEFASDGIYNITMGHMHGRVHREV FRAVLRQETGFFLKNPAGSITSRVTEDTANVCESISGTLSLLLWYLGRALCLLVFMFWGS PYLTLVTLINLPLLFLLPKKLGKVHQSLAVKVQESLAKSTQVALEALSAMPTVRSFANEE GEAQKFRQKLEEMKTLNKKEALAYVAEVWTTSVSGMLLKVGILYLGGQLVIRGAVSSGNL VSFVLYQLQFTQAVQVLLSLYPSMQKAVGSSEKIFEYLDRTPCSPLSGSLAPSNMKGLVE FQDVSFAYPNQPKVQVLQGLTFTLHPGTVTALVGPNGSGKSTVAALLQNLYQPTGGQLLL DGQCLVQYDHHYLHTQVAAVGQEPLLFGRSFRENIAYGLNRTPTMEEITAVAVESGAHDF ISGFPQGYDTEVGETGNQLSGGQRQAVALARALIRKPLLLILDDATSALDAGNQLRVQRL LYESPKRASRTVLLITQQLSLAEQAHHILFLREGSVGEQGTHLQLMKRGGCYRAMVEALA APAD >ENSMUSP00000039264.8 pep:known chromosome:GRCm38:17:34187802:34197219:1 gene:ENSMUSG00000037321.17 transcript:ENSMUST00000041633.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tap1 description:transporter 1, ATP-binding cassette, sub-family B (MDR/TAP) [Source:MGI Symbol;Acc:MGI:98483] MAAHVWLAAALLLLVDWLLLRPMLPGIFSLLVPEVPLLRVWVVGLSRWAILGLGVRGVLG VTAGAHGWLAALQPLVAALSLALPGLALFRELAAWGTLREGDSAGLLYWNSRPDAFAISY VAALPAAALWHKLGSLWAPSGNRDAGDMLCRMLGFLGPKKRRLYLVLVLLILSCLGEMAI PFFTGRITDWILQDKTVPSFTRNIWLMSILTIASTALEFASDGIYNITMGHMHGRVHREV FRAVLRQETGFFLKNPAGSITSRVTEDTANVCESISGTLSLLLWYLGRALCLLVFMFWGS PYLTLVTLINLPLLFLLPKKLGKVHQSLAVKVQESLAKSTQVALEALSAMPTVRSFANEE GEAQKFRQKLEEMKTLNKKEALAYVAEVWTTSVSGMLLKLQFTQAVQVLLSLYPSMQKAV GSSEKIFEYLDRTPCSPLSGSLAPSNMKGLVEFQDVSFAYPNQPKVQVLQGLTFTLHPGT VTALVGPNGSGKSTVAALLQNLYQPTGGQLLLDGQCLVQYDHHYLHTQVAAVGQEPLLFG RSFRENIAYGLNRTPTMEEITAVAVESGAHDFISGFPQGYDTEVGETGNQLSGGQRQAVA LARALIRKPLLLILDDATSALDAGNQLRVQRLLYESPKRASRTVLLITQQLSLAEQAHHI LFLREGSVGEQGTHLQLMKRGGCYRAMVEALAAPAD >ENSMUSP00000130189.1 pep:known chromosome:GRCm38:17:34190752:34193568:1 gene:ENSMUSG00000037321.17 transcript:ENSMUST00000171148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tap1 description:transporter 1, ATP-binding cassette, sub-family B (MDR/TAP) [Source:MGI Symbol;Acc:MGI:98483] XGKVHQSLAVKVQESLAKSTQVALEALSAMPTVRSFANEEGEAQKFRQKLEEMKTLNKKE ALAYVAEVWTTSVSGMLLKVGILYLGGQLVIRGAVSSGNLVSFVLYQLQFTQAVQKAVGS SEKIFEYLDRTPCSPLSGSLAPSNMKGLVEFQDVSFAYPNQPKVQVLQGLTFTLHPGTVT ALVGPNGSGKSTVAAL >ENSMUSP00000023074.2 pep:known chromosome:GRCm38:15:84324720:84342942:1 gene:ENSMUSG00000022439.9 transcript:ENSMUST00000023074.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parvg description:parvin, gamma [Source:MGI Symbol;Acc:MGI:2158329] MELEFLYDLLQLPKEVAQPTEEELPRGGKKKYLSPNSKRNPKFEELQKVLMEWINTTLLP EHIVVRSLEEDMFDGLILHHLFQKLASLKLEVEEISLTSASQRHKLGVILEAVNQNLQVE EKQAKWSVETIFNKDLLATLHLLVALAKRFQPDLPLPDNVQVEVIHIESTKTGLKSDKQV EQLTECKSHKDQPLQDAFDELFKLAPEKVHAVQEAIVSFVNQKLERLGLSVQSLDTQFAD GVILLLLIGQLEGFFLHLKEFYLTPSSPTEMLHNVTLALDLLKDEGLFSYPVNPEDIVNK DAKSTLRILYSLFQKHSLRAEGGGAHHATPN >ENSMUSP00000115109.1 pep:known chromosome:GRCm38:15:84326119:84328926:1 gene:ENSMUSG00000022439.9 transcript:ENSMUST00000125928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parvg description:parvin, gamma [Source:MGI Symbol;Acc:MGI:2158329] MDWGTRLGGFGLQPGPPHLHLGESGLFFTCLGFRDPEGMDGRPLHPTGKARKKMELEFLY DLLQLPKEVAQPTEEELPRGGKKKYLSPNSKRNPKFEELQKVLMEWINTTLLPEHIVVRS LE >ENSMUSP00000123002.1 pep:known chromosome:GRCm38:15:84324734:84328890:1 gene:ENSMUSG00000022439.9 transcript:ENSMUST00000139235.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parvg description:parvin, gamma [Source:MGI Symbol;Acc:MGI:2158329] MELEFLYDLLQLPKEVAQPTEEELPRGGKKKYLSPNSKRNPKFEELQKTLKSG >ENSMUSP00000116330.1 pep:known chromosome:GRCm38:15:84324762:84328876:1 gene:ENSMUSG00000022439.9 transcript:ENSMUST00000151072.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parvg description:parvin, gamma [Source:MGI Symbol;Acc:MGI:2158329] MELEFLYDLLQLPKEVAQPTEEELPRGGKKKYLSPNSKRNPKFEELQKGELSGTRLDLEE WVGVADLAR >ENSMUSP00000131443.1 pep:known chromosome:GRCm38:15:84326119:84342978:1 gene:ENSMUSG00000022439.9 transcript:ENSMUST00000163667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parvg description:parvin, gamma [Source:MGI Symbol;Acc:MGI:2158329] MDWGTRLGGFGLQPGPPHLHLGESGLFFTCLGFRDPEGMDGRPLHPTGKARKKMELEFLY DLLQLPKEVAQPTEEELPRGGKKKYLSPNSKRNPKFEELQKVLMEWINTTLLPEHIVVRS LEEDMFDGLILHHLFQKLASLKLEVEEISLTSASQRHKLGVILEAVNQNLQVEEKQAKWS VETIFNKDLLATLHLLVALAKRFQPDLPLPDNVQVEVIHIESTKTGLKSDKQVEQLTECK SHKDQPLQDAFDELFKLAPEKVHAVQEAIVSFVNQKLERLGLSVQSLDTQFADGVILLLL IGQLEGFFLHLKEFYLTPSSPTEMLHNVTLALDLLKDEGLFSYPVNPEDIVNKDAKSTLR ILYSLFQKHSLRAEGGGAHHATPN >ENSMUSP00000110899.2 pep:known chromosome:GRCm38:1:24263994:24587472:-1 gene:ENSMUSG00000026141.13 transcript:ENSMUST00000115244.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col19a1 description:collagen, type XIX, alpha 1 [Source:MGI Symbol;Acc:MGI:1095415] MRHTGSWKLWTWVTTFLLPACTCLTVRDKPETTCPTLRTERYQDDRNKSELSGFDLGESF ALRHAFCEGDKTCFKLGSVLLIRDTVKIFPKGLPEEYAIAVMFRVRRSTKKERWFLWKIL NQQNMAQISVVIDGTKKVVEFMFRGAEGDLLNYVFKNRELRPLFDRQWHKLGIGVQSRVL SLYMDCNLIASRHTEEKNSVDFQGRTIIAARASDGKPVDIELHQLRIYCNANFLAEESCC NLSPTKCPEQDDFGSTTSSWGTSNTGKMSSYLPGKQELKDTCQCIPNKEEAGLPGTLRSI GHKGDKGEPGEHGLDGTPGLPGQKGEQGLEGIKGEIGEKGEPGAKGDSGLDGLNGQDGLK GDSGPQGPPGPKGDKGDMGPPGPPALTGSIGIQGPQGPPGKEGQRGRRGKTGPPGNPGPP GPPGPPGLQGLQQPFGGYFNKGTGEHGASGPKGEKGDTGLPGFPGSVGPKGHKGEPGEPL TKGEKGDRGEPGLLGPQGIKGEPGDPGPPGLLGSPGLKGQQGPAGSMGPRGPPGDVGLPG EHGIPGKQGVKGEKGDPGGRLGPPGLPGLKGDAGPPGISLPGKPGLDGNPGSPGPRGPKG ERGLPGLHGSPGDTGPPGVGIPGRTGSQGPAGEPGIQGPRGLPGLPGTPGMPGNDGAPGK DGKPGLPGPPGDPIALPLLGDIGALLKNFCGNCQANVPGLKSIKGDDGSTGEPGKYDPAA RKGDVGPRGPPGFPGREGPKGSKGERGYPGIHGEKGDEGLQGIPGLSGAPGPTGPPGLTG RTGHPGPTGAKGDKGSEGPPGKPGPPGPPGVPLNEGNGMSSLYKIQGGVNVPGYPGPPGP PGPKGDPGPVGEPGAMGLPGLEGFPGVKGDRGPAGPPGIAGISGKPGAPGPPGVPGEQGE RGPIGDTGFPGPEGPSGKPGINGKDGLPGAQGIMGKPGDRGPKGERGDQGIPGDRGPQGE RGKPGLTGMKGAIGPVGPAGSKGSTGPPGHQGPPGNPGIPGTPADAVSFEEIKHYINQEV LRIFEERMAVFLSQLKLPAAMLSAQAHGRPGPPGKDGLPGPPGDPGPQGYRGQKGERGEP GIGLPGSPGLPGSSAVGLPGSPGAPGPQGPPGPSGRCNPEDCLYPAPPPHQQAGGK >ENSMUSP00000052606.5 pep:known chromosome:GRCm38:1:24279770:24587411:-1 gene:ENSMUSG00000026141.13 transcript:ENSMUST00000051344.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col19a1 description:collagen, type XIX, alpha 1 [Source:MGI Symbol;Acc:MGI:1095415] MRHTGSWKLWTWVTTFLLPACTCLTVRDKPETTCPTLRTERYQDDRNKSELSGFDLGESF ALRHAFCEGDKTCFKLGSVLLIRDTVKIFPKGLPEEYAIAVMFRVRRSTKKERWFLWKIL NQQNMAQISVVIDGTKKVVEFMFRGAEGDLLNYVFKNRELRPLFDRQWHKLGIGVQSRVL SLYMDCNLIASRHTEEKNSVDFQGRTIIAARASDGKPVDIELHQLRIYCNANFLAEESCC NLSPTKCPEQDDFGSTTSSWGTSNTGKMSSYLPGKQELKDTCQCIPNKEEAGLPGTLRSI GHKGDKGEPGEHGLDGTPGLPGQKGEQGLEGIKGEIGEKGEPGAKGDSGLDGLNGQDGLK GDSGPQGPPGPKGDKGDMGPPGPPALTGSIGIQGPQGPPGKEGQRGRRGKTGPPGNPGPP GPPGPPGLQGLQQPFGGYFNKGTGEHGASGPKGEKGDTGLPGFPGSVGPKGHKGEPGEPL TKGEKGDRGEPGLLGPQGIKGEPGDPGPPGLLGSPGLKGQQGPAGSMGPRGPPGDVGLPG EHGIPGKQGVKGEKGDPGGRLGPPGLPGLKGDAGPPGISLPGKPGLDGNPGSPGPRGPKG ERGLPGLHGSPGDTGPPGVGIPGRTGSQGPAGEPGIQGPRGLPGLPGTPGMPGNDGAPGK DGKPGLPGPPGDPIALPLLGDIGALLKNFCGNCQANVPGLKSIKGDDGSTGEPGKYDPAA RKGDVGPRGPPGFPGREGPKGSKGERGYPGIHGEKGDEGLQGIPGLSGAPGPTGPPGLTG RTGHPGPTGAKGDKGSEGPPGKPGPPGPPGVPLNEGNGMSSLYKIQGGVNVPGYPGPPGP PGPKGDPGPVGEPGAMGLPGLEGFPGVKGDRGPAGPPGIAGISGKPGAPGPPGVPGEQGE RGPIGDTGFPGPEGPSGKPGINGKDGLPGAQGIMGKPGDRGPKGERGDQGIPGDRGPQGE RGKPGLTGMKGAIGPVGPAGSKGSTGPPGHQGPPGNPGIPGTPADAVSFEEIKHYINQEV LRIFEGQVCFIILLDFLLPIPLWGIPSVTFLNCDSNLSRLSVSWRGSSC >ENSMUSP00000031429.7 pep:known chromosome:GRCm38:5:122707544:122729738:1 gene:ENSMUSG00000029470.15 transcript:ENSMUST00000031429.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx4 description:purinergic receptor P2X, ligand-gated ion channel 4 [Source:MGI Symbol;Acc:MGI:1338859] MAGCCSVLRAFLFEYDTPRIVLIRSRKVGLMNRVVQLLILAYVIGWVFVWEKGYQETDSV VSSVTTKAKGVAVTNTSQLGFRIWDVADYVVPAQEENSLFIMTNMIVTVNQTQGTCPEIP DKTSICDSDANCTLGSSDTHSSGIGTGRCVPFNASVKTCEVAAWCPVENDAGVPTPAFLK AAENFTLLVKNNIWYPKFNFSKRNILPNITTSYLKSCIYNARTDPFCPIFRLGQIVADAG HSFQEMAVEGGIMGIQIKWDCNLDRAASHCLPRYSFRRLDTRDLEHNVSPGYNFRFAKYY RDLAGNEQRTLTKAYGIRFDIIVFGKAGKFDIIPTMINVGSGLALLGVATVLCDVIVLYC MKKRYYYRDKKYKYVEDYEQGLSGETDQ >ENSMUSP00000142849.1 pep:known chromosome:GRCm38:5:122707580:122725366:1 gene:ENSMUSG00000029470.15 transcript:ENSMUST00000198560.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:P2rx4 description:purinergic receptor P2X, ligand-gated ion channel 4 [Source:MGI Symbol;Acc:MGI:1338859] MAGCCSVLRAFLFEYDTPRIVLIRSRKVGLMNRVVQLLILAYVIGRKTPSSS >ENSMUSP00000080269.6 pep:known chromosome:GRCm38:5:122707584:122729044:1 gene:ENSMUSG00000029470.15 transcript:ENSMUST00000081554.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx4 description:purinergic receptor P2X, ligand-gated ion channel 4 [Source:MGI Symbol;Acc:MGI:1338859] MAGCCSVLRAFLFEYDTPRIVLIRSRKVGLMNRVVQLLILAYVIGWVFVWEKGYQETDSV VSSVTTKAKGVAVTNTSQLGFRIWDVADYVVPAQEENSLFIMTNMIVTVNQTQGTCPEIP DKTSICDSDANCTLGSSDTHSSGIGTGRCVPFNASVKTCEVAAWCPVENDAGVPTRNILP NITTSYLKSCIYNARTDPFCPIFRLGQIVADAGHSFQEMAVEGGIMGIQIKWDCNLDRAA SHCLPRYSFRRLDTRDLEHNVSPGYNFRFAKYYRDLAGNEQRTLTKAYGIRFDIIVFGKA GKFDIIPTMINVGSGLALLGVATVLCDVIVLYCMKKRYYYRDKKYKYVEDYEQGLSGETD Q >ENSMUSP00000118163.2 pep:known chromosome:GRCm38:5:122707654:122728323:1 gene:ENSMUSG00000029470.15 transcript:ENSMUST00000139631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx4 description:purinergic receptor P2X, ligand-gated ion channel 4 [Source:MGI Symbol;Acc:MGI:1338859] MAGCCSVLRAFLFEYDTPRIVLIRSRKVGLMNRVVQLLILAYVIGWVFVWEKGYQETDSV VSSVTTKAKGVAVTNTSQLGFRIWDVADYVVPAQEENSLFIMTNMIVTVNQTQGTCPEIP DKTSICDSDANCTLGSSDTHSSGIGTGRCVPFNASVKTCEVAAWCPVENDAGVPTRNILP NITTSYLKSCIYNARTDPFCPIFRLGQIVADAGHSFQEMAVEGGIMGIQIKWDCNLDRAA SHCLPRYSFRRLDTRDLEHNVSPGYNFRFAKYYRDLAGNEQRTLTKAYGIRFDIIVFGKA TVLCDVIVLYCMKKRYYYRDKKYKYVEDYEQGLSGETDQ >ENSMUSP00000117193.2 pep:known chromosome:GRCm38:5:122707654:122728323:1 gene:ENSMUSG00000029470.15 transcript:ENSMUST00000142664.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx4 description:purinergic receptor P2X, ligand-gated ion channel 4 [Source:MGI Symbol;Acc:MGI:1338859] MAGCCSVLRAFLFEYDTPRIVLIRSRKVGLMNRVVQLLILAYVIGWVFVWEKGYQETDSV VSSVTTKAKGVAVTNTSQLGFRIWDVADYVVPAQEENSLFIMTNMIVTVNQTQGTCPEIP DKTSICDSDANCTLGSSDTHSSGIGTGRCVPFNASVKTCEVAAWCPVENDAGVPTPAFLK AAENFTLLVKNNIWYPKFNFSKRNILPNITTSYLKSCIYNARTDPFCPIFRLGQIVADAG HSFQEMAVEGGIMGIQIKWDCNLDRAASHCLPRYSFRRLDTRDLEHNVSPGYNFRFAKYY RDLAGNEQRTLTKAYGIRFDIIVFGKATVLCDVIVLYCMKKRYYYRDKKYKYVEDYEQGL SGETDQ >ENSMUSP00000058109.5 pep:known chromosome:GRCm38:5:137105644:137116207:-1 gene:ENSMUSG00000043279.9 transcript:ENSMUST00000054384.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim56 description:tripartite motif-containing 56 [Source:MGI Symbol;Acc:MGI:2685298] MNSKDSSPTLLEALSSDFLACKICLEQLHTPKTLPCLHTYCQDCLAQLDIGGQVRCPECR EIVPVPAEGVAAFKTNFFVNGLLDLVKARAPGDVHSGKPTCALCPLVGGKSSGGPATARC LDCADDLCQACADGHRCSRQTHKHRVVDLVGYRAGWYDEEARERQASQCPQHPGEALCFL CQPCSQLLCKDCRLGPHIDHPCLPLAEAVRSRKPGLEELLAGVDSNLVELEATRVAEKEA LALLREQAASVGTQVEEAAERILKSLLAQKQEVLGQLRALVEAAEEATRERLTKIERQEQ VAKAAAAFARRVLSLGLEAEILSLEGAITQRLRQLQDAPWTSGPTRCVLPQLELHPGLED KNCHLLRLIFEEPKQSPKDSGKGGAGTQGGDEAQGQGDDRTKIGKQGGAQPLTPKEGKDQ NPQEDDGVFIERGNRPNKKKKCKGRGKSVSREPSPILRPNLEGSGLLPRPVFSWSFPTRM PGDKRSPRITGLCPYGPQEILVADEQNRVLKRFSLNGDYKGTVQVPEGCSPCSVAALQNA VAFSANAKLYLVSPDGEIQWRRSLSLTQSSHAVAAMPCGDRVAVSVAGHVEVYKKDGSLA TRFIPGGKASRGQRALVFLTTSPQGNFVGSDWQQNSVVFCDGLGQVIWEYKGPGLHGCQP GSVSVDKKGYIFLTLREVNKVVILDPKGSLLGDFLTAYHGLEKPRVTTMVDGKYLVVSLS NGTIHVFRVRFPDS >ENSMUSP00000117874.1 pep:known chromosome:GRCm38:5:137114024:137116209:-1 gene:ENSMUSG00000043279.9 transcript:ENSMUST00000152207.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim56 description:tripartite motif-containing 56 [Source:MGI Symbol;Acc:MGI:2685298] MNSKDSSPTLLEALSSDFLACKICLEQLHTPKTLPCLHTYCQDCLAQLDIGGQVRCPECR EIVPVPAEGVAAFKTNFFVNGLLDLVKARAPGDVHSGKPTCALCPLVGGKSSGGPATARC LDCADDLCQACADGHRCSRQTHKHRVVDLVGYRAGWYDEEARERQASQCPQHPGEALCFL CQPCSQLLCKDCRLGPHIDHPCLPLAEAVRSR >ENSMUSP00000049898.8 pep:known chromosome:GRCm38:14:69171802:69175518:1 gene:ENSMUSG00000044186.10 transcript:ENSMUST00000062437.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx2-6 description:NK2 homeobox 6 [Source:MGI Symbol;Acc:MGI:97351] MLSSPVASTPFSVADILRLECQQKDSKTLSQWELHRNPVKPRYLRMNQESGWFESSDRAQ AVPFRCTWETVLEMGSNPVGEPQTPPGTISRLGARNPMTDRGVGNLSGDMRRGGPVSTRT RPQRKSRVLFSQAQVLALERRFKQQRYLTAPEREHLASALQLTSTQVKIWFQNRRYKSKS QRQDQTLELAGHPLAPRRVAVPVLVLDGKPCLDPDVAAFLGPYKATSPYSCFGGYAGTPY DASYASRCTSASAGPGPLTPLASSGFSPGGQSAAPQGHLPATPQGVTAW >ENSMUSP00000097910.3 pep:known chromosome:GRCm38:14:101729957:101934710:1 gene:ENSMUSG00000033060.15 transcript:ENSMUST00000100337.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo7 description:LIM domain only 7 [Source:MGI Symbol;Acc:MGI:1353586] MEGMEDAEADCSVAFAEAQRWVEAVTEKNFETTDFRASLENGVLLCDLINKLKPGVVKKI NRLSTPIAGLDNINVFLRACEQIGLKEAQLFHPGDLQDLSNRVTVKQEETDRRLKNVLIT LYWLGRKAQSNPYYNGPYLNLKAFETLLGQALTKALEDSSCLKRSGRDSGYGDIWCPDRG EFPAPPGSHRREDSFESLDSLGSRSLTSCSSDFTLRVGKEGCESDIDWEFTFKMQDYNKD DMSYRRISAIEPKSALPFNRFLPNKSKQPSYVPAPLRKKRPDKHEDNRRSWASPVYTETD GTFSSTQRRTWGPKMETWHTVQETSTSSWCVEEEEEKLTRMPNIVKDDLYVRKLSPVMPS PGSSFDQFLPKCWTPEDMNWKKIKRETYKPWYKEFQGFSQFLLLQALQTYSDDILSSETS VKLDPTSGPRLITRRKNRSPAPGYRAHDLELPALDPDLENDDFFVRKTGAFHANPCVLRA FEDFRSFSEPDDAVERDIILQCREGELVLPDLEKDDMIVRRIPAQKKEVPLSGAPDRYQP VPFPEPWTLPPEIQAKFLSVLERTRPPKEQSSGCRVLVPSYRQKKDDMLARKIQSWKLGT AVPPISFKPGPCSEADLQKWEAIWEASRLRHRKRLMVERLFQKIYGENGSKSMSDVSAED VQNLRQVRYEEMQKIKSQLKEQDQKWQDDLAKWKNRRKSYTSDLQKKKEEREEIEKQALE KSDRSSKTFREMLQDRESRGSTVTSWRRTYSSDDILDDGVLPPTVTLSETSYQSERLEDE ARAHPAEMPKEDSTTFANREDSVVAETQLASHSPEEQRLAPSPSEEPRQASGSSAEPHQA PLLSTEPRRTPLPSEEPHQASLSSTLQRPSSLSSQQSWSTRAESTRISASLPRSYQRTDT ARLTSVVTARPFGTSSRGISSLPRSYTMDDGWKYNGDVEVVKREQLDLGRATGPKPDSSH FISGSASEKEVIATEDVASLSSPTLPSSSLSHDRAVSSKATFSSMSGLDSVSDSGEGRGS PLREVSRSLDQFSDMRVSINQTPGNKPVFGFTIKWDISGIFVASVEQGSPAEFSQLQVDD EILAINNTKFSYKDTKKWEEVMANAQETGNLVMDVRRYGKSGSSETKWIDTTSGIYNSDK SSSLSVTTDFSESLQSPYTESKEVNGIHEESNPFDSKASESISLKNLKRRSQFFEQGSSD SVAPDLPVPTLSAPSRWAWDQEEERRRQERWQKEQDRLLQEKYQREQEKLREEWQRAQQE AERENSKYLDEELMVLNSNSISLTSREPVAATWEATWSEGSKSLDSEGTRAGEEDRGQLE DDAVYEDQSQKLQELEQEKKRKEQEAQEEERRKQREAQAWAEAEAKAWAEAQARAEAEAK ARAEAQARAEAEAQKRAEAQKLQAERERETSVKIYQYRRPIDSYDLPKREDDTSGMLPTD RSKSRSTTELNDPLIEKNGSSKYSERIGATSFSHRSSKKDQAPSEAELERQQILQEMRKR TSLHNDNSWIRQRSASVNKEPICLPGIMRRGESLDNLDSQRPGSWRQSPWHSQPAGVYAS SSVQDFSRPPPQLLSTSNRAYMRNPSSAVPPPAGSVKTSPGSPSPRSHSPSMSQSGSQLR NRSVSGKRVCSYCNNILGKGAAMIIETLGLSYHLHCFKCVSCGCDLGGSSSGAEVRIRNH QLYCNDCYLRFKSGRPTAM >ENSMUSP00000125465.1 pep:known chromosome:GRCm38:14:101838512:101934689:1 gene:ENSMUSG00000033060.15 transcript:ENSMUST00000159258.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lmo7 description:LIM domain only 7 [Source:MGI Symbol;Acc:MGI:1353586] MQDYNKDDMSYRRISAIEPKSALPFNRFLPNKSKQPSYVPAPLRKKRPDKHEDNRRSWAS PVYTETDGTFSSTQRRTWGPKMETWHTVQETSTSSWCVEEEEEKLTRMPNIVKDDLYVRK LSPVMPSPGSSFDQFLPKCWTPEDMNWKKIKRETYKPWYKEFQGFSQFLLLQALQTYSDD ILSSETSVKLDPTSGPRLITRRKNRSPAPGYRAHDLELPALDPDLENDDFFVRKTGAFHA NPCVLRAFEDFRSFSEPDDAVERDIILQCREGELVLPDLEKDDMIVRRIPAQKKEVPLSG APDRYQPVPFPEPWTLPPEIQAKFLSVLERTRPPKEQSSGCRVLVPSYRQKKDDMLARKI QSWKLGTAVPPISFKPGPCSEADLQKWEAIWEASRLRHRKRLMVERSECVSARSWLVMDA LV >ENSMUSP00000124605.1 pep:known chromosome:GRCm38:14:101840507:101898604:1 gene:ENSMUSG00000033060.15 transcript:ENSMUST00000159026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo7 description:LIM domain only 7 [Source:MGI Symbol;Acc:MGI:1353586] MQDYNKDDMSYRRISAIEPKSALPFNRFLPNKSKQPSYVPAPLRKKRPDKHEDNRRSWAS PVYTETDGTFSSTQRRTWGPKMETWHTVQETSTSSWCVEEEEEKLTRMPNIVKDDLYVRK LSPVMPSPGSSFDQFLPKCWTPEDMNWKKIKRETYKPWYKEFQGFSQFLLLQALQTYSDD ILSSETSVKLDPTSGPRLITRRKNRSPAPGYRAHDLELPALDPDLENDDFFVRKTGAFHA NPCVLRAFEDFRSFSEPDDAVERDIILQCREGELVLPDLEKDDMIVRRIPAQKKEVPLSG APDRYQPVPFPEPWTLPPEIQAKFLSVLERTRPPKEQSSGCRVLVPSYRQKKDDMLARKI QSWKLGTAVPPISFKPGPCSEADLQKWEAIWEASRLRHRKRLMVERLFQKIYGENGSKSM SDVSAEDVQNLRQVRYEEMQKIKSQLKEQDQKWQDDLAKWKNRRKSYTSDL >ENSMUSP00000124349.1 pep:known chromosome:GRCm38:14:101840648:101934687:1 gene:ENSMUSG00000033060.15 transcript:ENSMUST00000159314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo7 description:LIM domain only 7 [Source:MGI Symbol;Acc:MGI:1353586] MQDYNKDDMSYRRISAIEPKSALPFNRFLPNKSKQPSYVPAPLRKKRPDKHEDNRRSWAS PVYTETDGTFSSTQRRTWGPKMETWHTVQETSTSSWCVEEEEEKLTRMPNIVKDDLYVRK LSPVMPSPGSSFDQFLPKCWTPEDMNWKKIKRETYKPWYKEFQGFSQFLLLQALQTYSDD ILSSETSVKLDPTSGPRLITRRKNRSPAPGYRAHDLELPALDPDLENDDFFVRKTGAFHA NPCVLRAFEDFRSFSEPDDAVERDIILQCREGELVLPDLEKDDMIVRRIPAQKKEVPLSG APDRYQPVPFPEPWTLPPEIQAKFLSVLERTRPPKEQSSGCRVLVPSYRQKKDDMLARKI QSWKLGTAVPPISFKPGPCSEADLQKWEAIWEASRLRHRKRLMVERLFQKIYGENGSKSM SDVSAEDVQNLRQVRYEEMQKIKSQLKEQDQKWQDDLAKWKNRRKSYTSDLQKKKEEREE IEKQALEKSDRSSKTFREMLQDRESRGSTVTSWRRTYSSDDILDDGVLPPTVTLSETSYQ SERLEDEARAHPAEMPKEDSTTFANREDSVVAETQLASHSPEEQRLAPSPSEEPRQASGS SAEPHQAPLLSTEPRRTPLPSEEPHQASLSSTLQRPSSLSSQQSWSTRAESTRISASLPR SYQRTDTARLTSVVTARPFGTSSRGISSLPRSYTMDDGWKYNGDVEVVKREQLDLGRATG PKPDSSHFISGSASEKEVIATEDVASLSSPTLPSSSLSHDRAVSSKATFSSMSGLDSVSD SGEGRGSPLREVSRSLDQFSDMRVSINQTPGNKPVFGFTIKWDISGIFVASVEQGSPAEF SQLQVDDEILAINNTKFSYKDTKKWEEVMANAQETGNLVMDVRRYGKSGSSETKWIDTTS GIYNSDKSSSLSVTTDFSESLQSPYTESKEVNGIHEESNPFDSKASESISLKNLKRRSQF FEQGSSDSVAPDLPVPTLSAPSRWAWDQEEERRRQERWQKEQDRLLQEKYQREQEKLREE WQRAQQEAERENSKYLDEELMVLNSNSISLTSREPVAATWEATWSEGSKSLDSEGTRAGE EDRGQLEDDAVYEDQSQKLQELEQEKKRKEQEAQEEERRKQREAQAWAEAEAKAWAEAQA RAEAEAKARAEAQARAEAEAQKRAEAQKLQAERERETSVKIYQYRRPIDSYDLPKREDDT SGMLPTDRSKSRSTTELNDPLIEKNGSSKYSERIGATSFSHRSSKKDQAPSEAELERQQI LQEMRKRTSLHNDNSWIRQRSASVNKEPICLPGIMRRGESLDNLDSQRPGSWRQSPWHSQ PAGVYASSSVQDFSRPPPQLLSTSNRAYMRNPSSAVPPPAGSVKTSPGSPSPRSHSPSMS QSGSQLRNSVSPVGVTSEALPQELKSESETTNSTAMTAISDSNLDGQPPCDVNPHSKALL QIEEEALVTDAEL >ENSMUSP00000123706.1 pep:known chromosome:GRCm38:14:101876824:101934526:1 gene:ENSMUSG00000033060.15 transcript:ENSMUST00000159597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo7 description:LIM domain only 7 [Source:MGI Symbol;Acc:MGI:1353586] WLGRKAQSNPYYNGPYLNLKAFETLLGQALTKALEDSSCLKRSGRDSGYGDIWCPDRGEF PAPPGSHRREDSFESLDSLGSRSLTSCSSDFTLRVGKEGCESDIDWEFTFKMQDYNKDDM SYRRISAIEPKSALPFNRFLPNKSKQPSYVPAPLRKKRPDKHEDNRRSWASPVYTETDGT FSSTQRRTWGPKMETWHTVQETSTSSWCVEEEEEKLTRMPNIVKDDLYVRKLSPVMPSPG SSFDQFLPKCWTPEDMNWKKIKRETYKPWYKEFQGFSQFLLLQALQTYSDDILSSETSVK LDPTSGPRLITRRKNRSPAPGYRAHDLELPALDPDLENDDFFVRKTGAFHANPCVLRAFE DFRSFSEPDDAVERDIILQCREGELVLPDLEKDDMIVRRIPAQKKEVPLSGAPDRYQPVP FPEPWTLPPEIQAKFLSVLERTRPPKEQSSGCRVLVPSYRQKKDDMLARKIQSWKLGTAV PPISFKPGPCSEADLQKWEAIWEASRLRHRKRLMVERLFQKIYGENGSKSMSDVSAEDVQ NLRQVRYEEMQKIKSQLKEQDQKWQDDLAKWKNRRKSYTSDLQKKKEEREEIEKQALEKS DRSSKTFREMLQDRESRGSTVTSWRRTYSSDDILDDGVLPPTVTLSETSYQSERLEDEAR AHPAEMPKEDSTTFANREDSVVAETQLASHSPEEQRLAPSPSEEPRQASGSSAEPHQAPL LSTEPRRTPLPSEEPHQASLSSTLQRPSSLSSQQSWSTRAESTRISASLPRSYQRTDTAR LTSVVTARPFGTSSRGISSLPRSYTMDDGWKYNGDVEVVKREQLDLGRATGPKPDSSHFI SGSASEKEVIATEDVASLSSPTLPSSSLSHDRAVSSKATFSSMSGLDSVSDSGEGRGSPL REVSRSLDQFSDMRVSINQTPGNKPVFGFTIKWDISGIFVASVEQGSPAEFSQLQVDDEI LAINNTKFSYKDTKKWEEVMANAQETGNLVMDVRRYGKSDWGKDQPSLPFIRHKTLNLTS VATKIIGSSETKWIDTTSGIYNSDKSSSLSVTTDFSESLQSPYTESKEVNGIHEESNPFD SKASESISLKNLKRRSQFFEQGSSDSVAPDLPVPTLSAPSRWAWDQEEERRRQERWQKEQ DRLLQEKYQREQEKLREEWQRAQQEAERENSKYLDEELMVLNSNSISLTSREPVAATWEA TWSEGSKSLDSEGTRAGEEDRGQLEDDAVYEDQSQKLQELEQEKKRKEQEAQEEERRKQR EAQAWAEAEAKAWAEAQARAEAEAKARAEAQARAEAEAQKRAEAQKLQAERERETSVKIY QYRRPIDSYDLPKREDDTSGMLPTDRSKSRSTTELNDPLIEKNGSSKYSERIGATSFSHR SSKKDQAPSEAELERQQILQEMRKRTSLHNDNSWIRQRSASVNKEPICLPGIMRRGESLD NLDSQRPGSWRQSPWHSQPAGVYASSSVQDFSRPPPQLLSTSNRAYMRNPSSAVPPPAGS VKTSPGSPSPRSHSPSMSQSGSQLRNRSVSGKRVCSYCNNILGKGAAMIIETLGLSYHLH CFKCVSCGCDLGGSSSGAEVRIRNHQLYCNDCYLRFKSGRPTAM >ENSMUSP00000124300.1 pep:known chromosome:GRCm38:14:101880841:101934195:1 gene:ENSMUSG00000033060.15 transcript:ENSMUST00000159806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo7 description:LIM domain only 7 [Source:MGI Symbol;Acc:MGI:1353586] XDSGYGDIWCPDRGEFPAPPGSHRREDSFESLDSLGSRSLTSCSSDFTLRVGKEGCESDI DWEFTFKMQDYNKDDMSYRRISAIEPKSALPFNRFLPNKSKQPSYVPAPLRKKRPDKHED NRRSWASPVYTETDGTFSRSKSMSDVSAEDVQNLRQVRYEEMQKIKSQLKEQDQKWQDDL AKWKNRRKSYTSDLQKKKEEREEIEKQALEKSDRSSKTFREMLQDRESRGSTVTSWRRTY SSDDILDDGVLPPTVTLSETSYQSERLEDEARAHPAEMPKEDSTTFANREDSVVAETQLA SHSPEEQRLAPSPSEEPRQASGSSAEPHQAPLLSTEPRRTPLPSEEPHQASLSSTLQRPS SLSSQQSWSTRAESTRISASLPRSYQRTDTARLTSVVTARPFGTSSRGISSLPRSYTMDD GWKYNGDVEVVKREQLDLGRATGPKPDSSHFISGSASEKEVIATEDVASLSSPTLPSSSL SHDRAVSSKATFSSMSGLDSVSDSGEGRGSPLREVSRSLDQFSDMRVSINQTPGNKPVFG FTIKWDISGIFVASVEQGSPAEFSQLQVDDEILAINNTKFSYKDTKKWEEVMANAQETGN LVMDVRRYGKSGSSETKWIDTTSGIYNSDKSSSLSVTTDFSESLQSPYTESKEVNGIHEE SNPFDSKASESISLKNLKRRSQFFEQGSSDSVAPDLPVPTLSAPSRWAWDQEEERRRQER WQKEQDRLLQEKYQREQEKLREEWQRAQQEAERENSKYLDEELMVLNSNSISLTSREPVA ATWEATWSEGSKSLDSEGTRAGEEDRGQLEDDAVYEDQSQKLQELEQEKKRKEQEAQEEE RRKQREAQAWAEAEAKAWAEAQARAEAEAKARAEAQARAEAEAQKRAEAQKLQAERERET SVKIYQYRRPIDSYDLPKREDDTSGMLPTDRSKSRSTTELNDPLIEKNGSSKYSERIGAT SFSHRSSKKDQAPSEAELERQQILQEMRKRTSLHNDNSWIRQRSASVNKEPICLPGIMRR GESLDNLDSQRPGSWRQSPWHSQPAGVYASSSVQDFSRPPPQLLSTSNRAYMRNPSSAVP PPAGSVKTSPGSPSPRSHSPSMSQSGSQLRNRSVSGKRVCSYCNNILGKGAAMIIETLGL SYHLHCFKCVSCGCDLGGSSSGAEVRIRNHQLYCNDCYLRFKSGRPTAM >ENSMUSP00000120851.1 pep:known chromosome:GRCm38:9:14696230:14705070:-1 gene:ENSMUSG00000036912.17 transcript:ENSMUST00000136399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piwil4 description:piwi-like RNA-mediated gene silencing 4 [Source:MGI Symbol;Acc:MGI:3041167] XHSPGALNRWYRHNHDLPARIVVYRDGVGNGQLKAVLEYEVPQLLKSVTECGSDARSCRL SVVVVRKRCLLRLFASTDHTVQNPPLGTVVDSEATRPEWYDFYLISQTANRGTVSPTHYN VIYDDNALKPDHMQRLTFKLCHLYYNWQSCHGIQAALKPVTVPLPQLLSTGTMQ >ENSMUSP00000111308.2 pep:known chromosome:GRCm38:9:14701778:14740721:-1 gene:ENSMUSG00000036912.17 transcript:ENSMUST00000115644.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piwil4 description:piwi-like RNA-mediated gene silencing 4 [Source:MGI Symbol;Acc:MGI:3041167] MSGRARVRARGITTGHSAREVGRSSRDLMVTSASPGDSEAGGGTSVISQPYELGVSSGDG GRTFMERRGKGRQDFEELGVCTREKLTHVKDCKTGSSGIPVRLVTNLFNLDLPQDWQLYQ YHVTYSPDLASRRLRIALLYNHSILSDKAKAFDGASLFLSEKLDQKVTELTSETQRGETI KITLTLTSKLFPNSPVCIQFFNVIFRKILKNLSMYQIGRNFYKPSEPVEIPQYKLSLWPG FAISVSHFESKLLFNADVNYKVLRNETVLDFMTDLCLRTGMSCFTEMCHKQLVGLVVLTR YNNKTYRIDDIDWSVKPTQAFQKRDGSEVTYVDYYKQQYDITLSDLNQPVLVSLLKRKRN DNSEPQMVHLMPELCFLTGLSSQATSDFRLMKAVAEETRLSPVGRQQQLARLVDDIQRNP VARFELETWGLHFGSQLSLTGRVVPSEKILLQDHTCQPAFAADWSKDMRSCKVLSSQPLN RWLIVCCNRAEHLIEAFLSCLRRVGGSMGFNVGYPKIIKVDETPAAFLRAIQVHGDPDVQ LVMCILPSNQKNYYDSIKKYLSSDCPVPSQCVLTRTLNKQGTMLSVATKIAMQMTCKLGG ELWSVEIPLKSLMVVGIDICRDALNKNVVVVGFVASINSRITRWFSRCVLQRTAADIADC LKVCMTGALNRWYRHNHDLPARIVVYRDGVGNGQLKAVLEYEVPQLLKSVTECGSDARSC RLSVVVVRKRCLLRLFASTDHTVQNPPLGTVVDSEATRPEWYDFYLISQTANRGTVSPTH YNVIYDDNALKPDHMQRLTFKLCHLYYNWQGLISVPAPCQYAHKLTFLVAQSVHKEPSLE LANNLFYL >ENSMUSP00000111307.1 pep:known chromosome:GRCm38:9:14701779:14718473:-1 gene:ENSMUSG00000036912.17 transcript:ENSMUST00000115643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piwil4 description:piwi-like RNA-mediated gene silencing 4 [Source:MGI Symbol;Acc:MGI:3041167] MKAVAEETRLSPVGRQQQLARLVDDIQRNPVARFELETWGLHFGSQLSLTGRVVPSEKIL LQDHTCQPAFAADWSKDMRSCKVLSSQPLNRWLIVCCNRAEHLIEAFLSCLRRVGGSMGF NVGYPKIIKVDETPAAFLRAIQVHGDPDVQLVMCILPSNQKNYYDSIKKYLSSDCPVPSQ CVLTRTLNKQGTMLSVATKIAMQMTCKLGGELWSVEIPLKSLMVVGIDICRDALNKNVVV VGFVASINSRITRWFSRCVLQRTAADIADCLKVCMTGALNRWYRHNHDLPARIVVYRDGV GNGQLKAVLEYEVPQLLKSVTECGSDARSCRLSVVVVRKRCLLRLFASTDHTVQNPPLGT VVDSEATRPEWYDFYLISQTANRGTVSPTHYNVIYDDNALKPDHMQRLTFKLCHLYYNWQ GLISVPAPCQYAHKLTFLVAQSVHKEPSLELANNLFYL >ENSMUSP00000076213.3 pep:known chromosome:GRCm38:9:14702358:14740733:-1 gene:ENSMUSG00000036912.17 transcript:ENSMUST00000076946.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piwil4 description:piwi-like RNA-mediated gene silencing 4 [Source:MGI Symbol;Acc:MGI:3041167] MRLRILGVHRALPTHARVAVCSNYLGKLEYSQTPSHSHTVSFAKEKTLLLRLTSPGKPLA PRNMSGRARVRARGITTGHSAREVGRSSRDLMVTSASPGDSEAGGGTSVISQPYELGVSS GDGGRTFMERRGKGRQDFEELGVCTREKLTHVKDCKTGSSGIPVRLVTNLFNLDLPQDWQ LYQYHVTYSPDLASRRLRIALLYNHSILSDKAKAFDGASLFLSEKLDQKVTELTSETQRG ETIKITLTLTSKLFPNSPVCIQFFNVIFRKILKNLSMYQIGRNFYKPSEPVEIPQYNKLL FNADVNYKVLRNETVLDFMTDLCLRTGMSCFTEMCHKQLVGLVVLTRYNNKTYRIDDIDW SVKPTQAFQKRDGSEVTYVDYYKQQYDITLSDLNQPVLVSLLKRKRNDNSEPQMVHLMPE LCFLTGLSSQATSDFRLMKAVAEETRLSPVGRQQQLARLVDDIQRTLPSSQEVLSHTSLP LWAPEPGGLSSAIPLSTVLPFAQQLLTALSLSPGIPLPHLKPPSFLFLCQPAFAADWSKD MRSCKVLSSQPLNRWLIVCCNRAEHLIEAFLSCLRRVGGSMGFNVGYPKIIKVDETPAAF LRAIQVHGDPDVQLVMCILPSNQKNYYDSIKKYLSSDCPVPSQCVLTRTLNKQGTMLSVA TKIAMQMTCKLGGELWSVEIPLKSLMVVGIDICRDALNKNVVVVGFVASINSRITRWFSR CVLQRTAADIADCLKVCMTGALNRWYRHNHDLPARIVVYRDGVGNGQLKAVLEYEVPQLL KSVTECGSDARYDFYLISQTANRGTVSPTHYNVIYDDNALKPDHMQRLTFKLCHLYYNWQ GLISVPAPCQYAHKLTFLVAQSVHKEPSLELANNLFYL >ENSMUSP00000078134.5 pep:known chromosome:GRCm38:3:106113229:106132120:1 gene:ENSMUSG00000062778.12 transcript:ENSMUST00000079132.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chia1 description:chitinase, acidic 1 [Source:MGI Symbol;Acc:MGI:1932052] MAKLLLVTGLALLLNAQLGSAYNLICYFTNWAQYRPGLGSFKPDDINPCLCTHLIYAFAG MQNNEITTIEWNDVTLYKAFNDLKNRNSKLKTLLAIGGWNFGTAPFTTMVSTSQNRQTFI TSVIKFLRQYGFDGLDLDWEYPGSRGSPPQDKHLFTVLVKEMREAFEQEAIESNRPRLMV TAAVAGGISNIQAGYEIPELSKYLDFIHVMTYDLHGSWEGYTGENSPLYKYPTETGSNAY LNVDYVMNYWKNNGAPAEKLIVGFPEYGHTFILRNPSDNGIGAPTSGDGPAGPYTRQAGF WAYYEICTFLRSGATEVWDASQEVPYAYKANEWLGYDNIKSFSVKAQWLKQNNFGGAMIW AIDLDDFTGSFCDQGKFPLTSTLNKALGISTEGCTAPDVPSEPVTTPPGSGSGGGSSGGS SGGSGFCADKADGLYPVADDRNAFWQCINGITYQQHCQAGLVFDTSCNCCNWP >ENSMUSP00000121967.1 pep:known chromosome:GRCm38:3:106113390:106128931:1 gene:ENSMUSG00000062778.12 transcript:ENSMUST00000139086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chia1 description:chitinase, acidic 1 [Source:MGI Symbol;Acc:MGI:1932052] MQNNEITTIEWNDVTLYKAFNDLKNRNSKLKTLLAIGGWNFGTAPFTTMVSTSQNRQTFI TSVIKFLRQYGFDGLDLDWEYPGSRGSPPQDKHLFTVLVKEMREAFEQEAIESNRPRLMV TAAVAGGISNIQAGYEIPELSKYLDFIHVMTYDLHGSWEGYTGENSPLYKYPTETGSNAY LNVDYVMNYWKNNGAPAEKLIVGFPEYGHTFILRN >ENSMUSP00000087257.4 pep:known chromosome:GRCm38:16:29209695:29230531:1 gene:ENSMUSG00000022525.13 transcript:ENSMUST00000089824.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrasls description:HRAS-like suppressor [Source:MGI Symbol;Acc:MGI:1351473] MAVNDCFSLTYPHNPHPGDLIEVFRPCYQHWALYLGDGYVINIAPIDGIRSSFTSAKSVF STKALVKMQLLKDVVGNDTYRINNKYDTTYPPLPVEEVIQRSEFAIGQEVAYDLLVNNCE HFVTLLRYGEGVSEQANRAIGTIGLVAAGIDIFTFLGLFPKRQRTKY >ENSMUSP00000123809.1 pep:known chromosome:GRCm38:16:29210108:29228382:1 gene:ENSMUSG00000022525.13 transcript:ENSMUST00000162747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrasls description:HRAS-like suppressor [Source:MGI Symbol;Acc:MGI:1351473] MAVNDCFSLTYPHNPHPGDLIEVFRPCYQHWALYLGDGYVINIAPIDGIRSSFTSAKSVF STKALVKMQLLKDVVGNDTYRINNKYDTTYPPLPVEEVIQRSEFAIGQEVAYDLLVNNCE HFVTLLRYGEGVSEQANRAIGTIGLVAAGIDIFTFLGLFPKRQRTKY >ENSMUSP00000124252.1 pep:known chromosome:GRCm38:16:29210170:29220339:1 gene:ENSMUSG00000022525.13 transcript:ENSMUST00000161294.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hrasls description:HRAS-like suppressor [Source:MGI Symbol;Acc:MGI:1351473] MAVNDCFSLTYPHNPHPGDLIEVFRPCYQHWALYLGDGYVINIAPIVSII >ENSMUSP00000036603.6 pep:known chromosome:GRCm38:11:58121290:58168539:-1 gene:ENSMUSG00000037275.14 transcript:ENSMUST00000035604.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin5 description:gem (nuclear organelle) associated protein 5 [Source:MGI Symbol;Acc:MGI:2449311] MKPEPRTLPPSPNWYCSRCSDAAPGGIFGFAARTSVFLVRVGPGAGASPGAPPFRVVGEL VGHTERVSGFTFSHHPGQYNLCATSSDDGTVKVWDVETKTVVTEHTLHQHTISALHWSPT VKDLIVSGDEKGVVFCYWLNRNDSQHLFTEPRTIFCLTCSPHHENLVAIGYKDGIVVIID ISKKGEVIHRLRGHDDEIHSIAWCPLSGEDCLSISQEENSEEPDIPNGKLIAETPITKGC YLATGSKDQTIRIWSCSRGRGVMVLKLPFLKRRSGGVDPTVKERLWLTLHWPKNQPTQLV SSCFGGELLLWDLTQSWRRKYTLFSTSAEGHNHSRIVFNLCSLKTEDGKQLLLSTSMDRD VKCWDMATLECCWTLPSLGGFAYSLAFSPVDVGSLAIGVGDGMIRVWNTLSIKNNYDVKN FWQGVKSKVTALCWHPNKEGCLAFGTDDGKVGLYDTCSNKPPQISSTYHKKTVYRLAWGP PVPPMSLGGEGDRPSLTLYSCGGEGVVLQHNPWKLSGEAFDINKLVRDTNSIRYKLPVHT EISWKGDGKVLALGNEDGSIEIFQVPNLRLLCTIQQHHKLVNAIVWHHEHGSRPELSCLL ASGSNNAVIYVHNLKAVLESNPESPITITEPYRTLSGHTAKITSLAWSPHHDGRLVSACY DGTAQVWDALREEPLFNFRGHRGRLLCVAWSPVDPECIYSGADDFCVYRWLTSMQDHSRP PQGKKCIELEKKRLSQFKPKLKKKKKPTLRLPVKQDSSVGNEDESVKENSGPAENGLSDQ DGEEEAQEPELPPSPVVCVEPVSCTDICSGFEKSKVTVSSKATSLKKEPAKEKPEALLKK RKARSMLPLSTSLDHRSKEELHRDCLVLATATHAKAELNEDVSADLEERFHLGLFTDRAT LYRMMETEGKGHLESGHPELFHQLMLWKGDLKGVLQAAAERGELTDSLVAVAPVAGYSVW LWAVEAFAKQLCFQDQYVKAASYLLSIHKVYEAVELLKSNHLYREAIAVAKARLRPEDPV LKELYLSWGSILERDGHYAIAAKCYLGATSAYDAAKVLARKGDAASLRTAAELAAIAGEH ELAASLALRCAQELLLMKNWVGAQEALGLHESLQGQRLVFCLLELLCRHLEEKQPLEVRG PSSIYHQWATGSEGTLVQRVTGVWRSAFSVDTPEQCQAALQKLQDVKYPSATSNTPFRQL LLHVCHDLTLAMLSQQAAAWEEAVPALLQAVVRSYTSGNFTLMQEIYSAFLPGGCDHLRD KLGDLSPAMAAYKSLEAFCIYGQLYEVWWSLCGPGPESSVWVLSAESTVSDKQSKPEDSA SAEDMEQPPGPGPRLSAESERLLSACKELFSERHASLQTSQRTVAEVQETLAEMIRQHQK SQLCKATTNGPSRDEPSRDEPSQEAERAPSQPPSPTEERNAPVSLPELTRRLTEANERIA EFPESVKAWPFPDVLECCLVLLHIGSQCPDAVDPEMQQQAQELLHKYGHTRAYRRHCQSR HT >ENSMUSP00000099772.2 pep:known chromosome:GRCm38:11:58121290:58168539:-1 gene:ENSMUSG00000037275.14 transcript:ENSMUST00000102711.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin5 description:gem (nuclear organelle) associated protein 5 [Source:MGI Symbol;Acc:MGI:2449311] MKPEPRTLPPSPNWYCSRCSDAAPGGIFGFAARTSVFLVRVGPGAGASPGAPPFRVVGEL VGHTERVSGFTFSHHPGQYNLCATSSDDGTVKVWDVETKTVVTEHTLHQHTISALHWSPT VKDLIVSGDEKGVVFCYWLNRNDSQHLFTEPRTIFCLTCSPHHENLVAIGYKDGIVVIID ISKKGEVIHRLRGHDDEIHSIAWCPLSGEDCLSISQEENSEEPDIPNGKLIAETPITKGC YLATGSKDQTIRIWSCSRGRGVMVLKLPFLKRRSGGVDPTVKERLWLTLHWPKNQPTQLV SSCFGGELLLWDLTQSWRRKYTLFSTSAEGHNHSRIVFNLCSLKTEDGKQLLLSTSMDRD VKCWDMATLECCWTLPSLGGFAYSLAFSPVDVGSLAIGVGDGMIRVWNTLSIKNNYDVKN FWQGVKSKVTALCWHPNKEGCLAFGTDDGKVGLYDTCSNKPPQISSTYHKKTVYRLAWGP PVPPMSLGGEGDRPSLTLYSCGGEGVVLQHNPWKLSGEAFDINKLVRDTNSIRYKLPVHT EISWKGDGKVLALGNEDGSIEIFQVPNLRLLCTIQQHHKLVNAIVWHHEHGSRPELSCLL ASGSNNAVIYVHNLKAVLESNPESPITITEPYRTLSGHTAKITSLAWSPHHDGRLVSACY DGTAQVWDALREEPLFNFRGHRGRLLCVAWSPVDPECIYSGADDFCVYRWLTSMQDHSRP PQGKKCIELEKKRLSQFKPKLKKKKKPTLRLPVKQDSSVGNEDESVKENSGPAENGLSDQ DGEEEAQEPELPPSPVVCVEPVSCTDICSGFEKSKVTVSSKATSLKKEPAKEKPEALLKK RKARSMLPLSTSLDHRSKEELHRDCLVLATATHAKELNEDVSADLEERFHLGLFTDRATL YRMMETEGKGHLESGHPELFHQLMLWKGDLKGVLQAAAERGELTDSLVAVAPVAGYSVWL WAVEAFAKQLCFQDQYVKAASYLLSIHKVYEAVELLKSNHLYREAIAVAKARLRPEDPVL KELYLSWGSILERDGHYAIAAKCYLGATSAYDAAKVLARKGDAASLRTAAELAAIAGEHE LAASLALRCAQELLLMKNWVGAQEALGLHESLQGQRLVFCLLELLCRHLEEKQPLEVRGP SSIYHQWATGSEGTLVQRVTGVWRSAFSVDTPEQCQAALQKLQDVKYPSATSNTPFRQLL LHVCHDLTLAMLSQQAAAWEEAVPALLQAVVRSYTSGNFTLMQEIYSAFLPGGCDHLRDK LGDLSPAMAAYKSLEAFCIYGQLYEVWWSLCGPGPESSVWVLSAESTVSDKQSKPEDSAS AEDMEQPPGPGPRLSAESERLLSACKELFSERHASLQTSQRTVAEVQETLAEMIRQHQKS QLCKATTNGPSRDEPSRDEPSQEAERAPSQPPSPTEERNAPVSLPELTRRLTEANERIAE FPESVKAWPFPDVLECCLVLLHIGSQCPDAVDPEMQQQAQELLHKYGHTRAYRRHCQSRH T >ENSMUSP00000116600.1 pep:known chromosome:GRCm38:11:58155029:58160768:-1 gene:ENSMUSG00000037275.14 transcript:ENSMUST00000134953.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin5 description:gem (nuclear organelle) associated protein 5 [Source:MGI Symbol;Acc:MGI:2449311] XLWLTLHWPKNQPTQLVSSCFGGELLLWDLTQSWRRKYTLFSTSAEGHNHSRIVFNLCSL KTEDGKQLLLSTSMDRDVKCWDMATLECCWTLPSLGGFAYSLAFSPVDVGSLAIGVGDGM IRVWNTLSIKNNYDVKNFWQGVKSKVTALCWHPNKEGCLAFGTDDGKVGLYDTCSNK >ENSMUSP00000131842.1 pep:known chromosome:GRCm38:11:58120002:58168539:-1 gene:ENSMUSG00000037275.14 transcript:ENSMUST00000172035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin5 description:gem (nuclear organelle) associated protein 5 [Source:MGI Symbol;Acc:MGI:2449311] MKPEPRTLPPSPNWYCSRCSDAAPGGIFGFAARTSVFLVRVGPGAGASPGAPPFRVVGEL VGHTERVSGFTFSHHPGQYNLCATSSDDGTVKVWDVETKTVVTEHTLHQHTISALHWSPT VKDLIVSGDEKGVVFCYWLNRNDSQHLFTEPRTIFCLTCSPHHENLVAIGYKDGIVVIID ISKKGEVIHRLRGHDDEIHSIAWCPLSGEDCLSISQEENSEEPDIPNGKLIAETPITKGC YLATGSKDQTIRIWSCSRGRGVMVLKLPFLKRRSGGVDPTVKERLWLTLHWPKNQPTQLV SSCFGGELLLWDLTQSWRRKYTLFSTSAEGHNHSRIVFNLCSLKTEDGKQLLLSTSMDRD VKCWDMATLECCWTLPSLGGFAYSLAFSPVDVGSLAIGVGDGMIRVWNTLSIKNNYDVKN FWQGVKSKVTALCWHPNKEGCLAFGTDDGKVGLYDTCSNKPPQISSTYHKKTVYRLAWGP PVPPMSLGGEGDRPSLTLYSCGGEGVVLQHNPWKLSGEAFDINKLVRDTNSIRYKLPVHT EISWKGDGKVLALGNEDGSIEIFQVPNLRLLCTIQQHHKLVNAIVWHHEHGSRPELSCLL ASGSNNAVIYVHNLKAVLESNPESPITITEPYRTLSGHTAKITSLAWSPHHDGRLVSACY DGTAQVWDALREEPLFNFRGHRGRLLCVAWSPVDPECIYSGADDFCVYRWLTSMQDHSRP PQGKKCIELEKKRLSQFKPKLKKKKKPTLRLPVKQDSSVGNEDESVKENSGPAENGLSDQ DGEEEAQEPELPPSPVVCVEPVSCTDICSGFEKSKVTVSSKATSLKKEPAKEKPEALLKK RKARSMLPLSTSLDHRSKEELHRDCLVLATATHAKAELNEDVSADLEERFHLGLFTDRAT LYRMMETEGKGHLESGHPELFHQLMLWKGDLKGVLQAAAERGELTDSLVAVAPVAGYSVW LWAVEAFAKQLCFQDQYVKAASYLLSIHKVYEAVELLKSNHLYREAIAVAKARLRPEDPV LKELYLSWGSILERDGHYAIAAKCYLGATSAYDAAKVLARKGDAASLRTAAELAAIAGEH ELAASLALRCAQELLLMKNWVGAQEALGLHESLQGQRLVFCLLELLCRHLEEKQPLEVRG PSSIYHQWATGSEGTLVQRVTGVWRSAFSVDTPEQCQAALQKLQDVKYPSATSNTPFRQL LLHVCHDLTLAMLSQQAAAWEEAVPALLQAVVRSYTSGNFTLMQEIYSAFLPGGCDHLRD KLGDLSPAMAAYKSLEAFCIYGQLYEVWWSLCGPGPESSVWVLSAESTVSDKQSKPEDSA SAEDMEQPPGPGPRLSAESERLLSACKELFSERHASLQTSQRTVAEVQETLAEMIRQHQK SQLCKATTNGPSRDEPSRDEPSQEAERAPSQPPSPTREERNAPVSLPELTRRLTEANERI AEFPESVKAWPFPDVLECCLVLLHIGSQCPDAVDPEMQQQAQELLHKYGHTRAYRRHCQS RHT >ENSMUSP00000071490.2 pep:known chromosome:GRCm38:10:129046132:129047061:-1 gene:ENSMUSG00000056853.2 transcript:ENSMUST00000071559.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr765 description:olfactory receptor 765 [Source:MGI Symbol;Acc:MGI:3030599] MKNKTSLTEFILLGLTDVPELQVAVFTFLLLAYVFSMIGNLTILVLTLLDSHLHTPMYFF LRNFSFLEISFTNIFIPRVLVSITTGNKSISFAGCFAQYFFAIFLGATEFYLLAAMSYDR YVAICKPLHYMAIMSNRVCTHLVLCSWLAGLMVIIPPITLMSQQNFCASNRLNHYFCDFE PLRKLSCSDTSLIEKVVFLVASVTLVVTLMLVTLSYTFIIKTILKLPSAQQRTKAFSTCS SHMIVISLSYGSCFFIYVKPSAKVGGTFDKGVALFITSVAPLLNPFIYTLRNQQVKQAFK DTIKKLVNL >ENSMUSP00000032165.9 pep:known chromosome:GRCm38:6:88465409:88497572:1 gene:ENSMUSG00000030079.15 transcript:ENSMUST00000032165.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ruvbl1 description:RuvB-like protein 1 [Source:MGI Symbol;Acc:MGI:1928760] MKIEEVKSTTKTQRIASHSHVKGLGLDESGLAKQAASGLVGQENAREACGVIVELIKSKK MAGRAVLLAGPPGTGKTALALAIAQELGSKVPFCPMVGSEVYSTEIKKTEVLMENFRRAI GLRIKETKEVYEGEVTELTPCETENPMGGYGKTISHVIIGLKTAKGTKQLKLDPSIFESL QKERVEAGDVIYIEANSGAVKRQGRCDTYATEFDLEAEEYVPLPKGDVHKKKEIIQDVTL HDLDVANARPQGGQDILSMMGQLMKPKKTEITDKLRGEINKVVNKYIDQGVAELVPGVLF VDEVHMLDIECFTYLHRALESSIAPIVIFASNRGNCVIRGTEDITSPHGIPLDLLDRVMI IRTMLYTPQEMKQIIKIRAQTEGINISEEALNHLGEIGTKTTLRYSVQLLTPANLLAKIN GKDSIEKEHVEEISELFYDAKSSAKILADQQDKYMK >ENSMUSP00000117925.1 pep:known chromosome:GRCm38:6:88465727:88482187:1 gene:ENSMUSG00000030079.15 transcript:ENSMUST00000129035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ruvbl1 description:RuvB-like protein 1 [Source:MGI Symbol;Acc:MGI:1928760] MAGRAVLLAGPPGTGKTALALAIAQELGSKVPFCPMVGSEVYSTEIKKTEVLMENFRRAI GLRIKETKEVYEGEVTELTPCETENPMGGYGKTISHVIIGLKTAKGTKQLKLDPSIFESL QKERVEAGDVIYIE >ENSMUSP00000132263.1 pep:known chromosome:GRCm38:13:102732486:103334192:-1 gene:ENSMUSG00000034751.15 transcript:ENSMUST00000166726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast4 description:microtubule associated serine/threonine kinase family member 4 [Source:MGI Symbol;Acc:MGI:1918885] MGEKVSEAPEPVPRGCSGHGARTLVSSAAAVSSEGASSAESSSGSETLSEEGEPSRFSCR SQPPRPPGGALGTRLPAAWAPARVALERGVPTLPLPHPGGAVLPVPQVSSASQEEQDEEL DHILSPPPMPFRKCSNPDVACGLGKSLKYKRQLSEDGKQLRRGSLGGALTGRYLLPNPVA GQAWPASAETSNLVRMRSQALGQSAPSLTASLKELSLPRRGSLCRTSNRKSLIGNGQSPA LPRPHSPLSAHAGNSPQDSPRNFSPSASAHFSFARRTDGRRWSLASLPSSGYGTNTPSST VSSSCSSQEKLHQLPYQPTPDELHFLSKHFCTTESIATENRCRNTPMRPRSRSLSPGRSP ACCDHEIIMMNHVYKERFPKATAQMEERLKEIITSYSPDHVLPLADGVLSFTHHQIIELA RDCLDKSHQGLITSRYFFELQHKLDKLLQEAHDRSESGELAFIKQLVRKILIVIARPARL LECLEFDPEEFYYLLEAAEGHAKEGQGIKTDIPRYIISQLGLNKDPLEEMAQLGNYDSRT AETPEMDESVSSSNTSLRLRRKPRESDFETIKLISNGAYGAVYFVRHKESRQRFAMKKIN KQNLILRNQIQQAFVERDILTFAENPFVVSMYCSFETRRHLCMVMEYVEGGDCATLMKNM GPLPVDMARMYFAETVLALEYLHNYGIVHRDLKPDNLLVTSMGHIKLTDFGLSKVGLMSM TTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVP FFGDTPEELFGQVISDEINWPEKDEAPPPDAQELITLLLRQNPLERLGTGGAYEVKQHRF FRSLDWNSLLRQKAEFIPQLESEDDTSYFDTRSEKYHHMETEEEDDTNDEDFTVEIRQFS SCSHRFSKVFSSIDRITQNSGEDKDDSEDKTKSTTLPSTETLSWSSEYSEMQQLSTSNSS DTESNRCKLSSGLLPKLAISTDGEQDEAVPCSGDPREEPEKPVPPSEECTQEEPEVTTPA STISSSTLSDMFAVSPLGSPMSPHSLSSDPSSSRDSSPSRDSSAASASPHQPIVIHSSGK NYGFTIRAIRVYVGDSDIYTVHHIVWNVEEGSPAYQAGLKAGDLITHINGEPVHGLVHTE VIELLLKSGNKVSITTTPFENTSIKTGPARRNSYKGRMVRRSKKSKKKESLERRRDRKKK KGTFFQMPSQ >ENSMUSP00000128464.1 pep:known chromosome:GRCm38:13:102732491:103334460:-1 gene:ENSMUSG00000034751.15 transcript:ENSMUST00000167058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast4 description:microtubule associated serine/threonine kinase family member 4 [Source:MGI Symbol;Acc:MGI:1918885] MGEKVSEAPEPVPRGCSGHGARTLVSSAAAVSSEGASSAESSSGSETLSEEGEPSRFSCR SQPPRPPGGALGTRLPAAWAPARVALERGVPTLPLPHPGGAVLPVPQVSSASQEEQDEEL DHILSPPPMPFRKCSNPDVACGLGKSLKYKRQLSEDGKQLRRGSLGGALTGRYLLPNPVA GQAWPASAETSNLVRMRSQALGQSAPSLTASLKELSLPRRGSLCRTSNRKSLIGNGQSPA LPRPHSPLSAHAGNSPQDSPRNFSPSASAHFSFARRTDGRRWSLASLPSSGYGTNTPSST VSSSCSSQEKLHQLPYQPTPDELHFLSKHFCTTESIATENRCRNTPMRPRSRSLSPGRSP ACCDHEIIMMNHVYKERFPKATAQMEERLKEIITSYSPDHVLPLADGVLSFTHHQIIELA RDCLDKSHQGLITSRYFFELQHKLDKLLQEAHDRSESGELAFIKQLVRKILIVIARPARL LECLEFDPEEFYYLLEAAEGHAKEGQGIKTDIPRYIISQLGLNKDPLEEMAQLGNYDSRT AETPEMDESVSSSNTSLRLRRKPRESDFETIKLISNGAYGAVYFVRHKESRQRFAMKKIN KQNLILRNQIQQAFVERDILTFAENPFVVSMYCSFETRRHLCMVMEYVEGGDCATLMKNM GPLPVDMARMYFAETVLALEYLHNYGIVHRDLKPDNLLVTSMGHIKLTDFGLSKVGLMSM TTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVP FFGDTPEELFGQVISDEINWPEKDEAPPPDAQELITLLLRQNPLERLGTGGAYEVKQHRF FRSLDWNSLLRQKAEFIPQLESEDDTSYFDTRSEKYHHMETEEEDDTNDEDFTVEIRQFS SCSHRFSKVFSSIDRITQNSGEDKDDSEDKTKSTTLPSTETLSWSSEYSEMQQLSTSNSS DTESNRCKLSSGLLPKLAISTDGEQDEAVPCSGDPREEPEKPVPPSEECTQEEPEVTTPA STISSSTLSVGSFSEHLDQINGRSECVDSTDNSSKPSSEPTSHVARQRLESTEKKKISGK VTKSLSASALSLMIPGDMFAVSPLGSPMSPHSLSSDPSSSRDSSPSRDSSAASASPHQPI VIHSSGKNYGFTIRAIRVYVGDSDIYTVHHIVWNVEEGSPAYQAGLKAGDLITHINGEPV HGLVHTEVIELLLKSGNKVSITTTPFENTSIKTGPARRNSYKGRMVRRSKKSKKKESLER RRSLFKKLAKQPSPLLHTSRSFSCLNRSLSSGESLPGSPTHSLSPRSPTPSYRSTPDFPS GTNSSQSSSPSSSAPNSPAGSGHIRPSTLHGLAPKLSGQRYRSGRRKSAGSIPLSPLART PSPTPQPTSPQRSPSPLLGHSLGNAKITQAFPSKMHSPPTIVRHIVRPKSAEPPRSPLLK RVQSEEKLSPSYGSDKKLLCSRKHSLEVTQEEVQREQCQREVTLQSLEENVCDAPSLSRA RPVEQGCLKRPVSRKVGRQESVDDLDRDKLKAKVVVKKPEEKHESHQKPHSLGGDSESYA LFRLEEREKKVYSKGLERSGHFENTSAELPSVGSLLKDTLHKQASVRASEGVTSDGAACS LTPGEHSQSLGDFKRASASGILHDSVCPISDRPAPGKVEYSEKASQAKELLRSEKLDSKL ANIDYLRKKMSLDDKDDSHCAILKPKITSSAHECLPGNPIRPMAGQQETPPASENRAFIN STHTPQMSAVSFVPLKALAGRVENGGEKAGLAAPESPVRKSPSEYKLEGRSVSCLKPIEG TLDIALLSGPHASKTELLSPEPAQSPSPGINVGPCVPLALPGSSGKKGDSTSLREPSSAN LKVNKSYLLEPRFLPPSRALQDSLAASGPEPKSKPERKLIHPSARSPATVTESNLQQKEG GPATHQDRSTDTRNLPGPGQTLHNVDLPRLCTRAPLPPEGTPAKEKPCLKEPSAKVKSEW SAVRDDGHRDPCAKLCPAETGKASDSSKPLPSGGRTQPDFYKQTQTSEKAWAHAKTNHKD SQDEVKSLAREDSASLLYEKEIGRARKGPEPKPEVPATRCPPQPPGIEGEKREKLSAAPS LQKQAPKEPDRKEQTSQRPGGSGPQQPPPTKELSNSASWQHGSSPSHTLKKEPGTKAAAA EPSTSLHDTPRSATATTTAIATTTTTTSAGHSDCSSHKARPGPDPSPSKSKHQDRSLSSQ KLSAGSAKGKEPVTQPLGGSIREGKGGSKGPVDTFSAVLTTQGKASDVLVQGEGRVSIIV HTEECPLDAKLKNTNGGCPPEMQAKHPPRQGHLSEAADQKPLIAGEKQSPSPKHPKPSTV KDYPSLCRQTDRSPSHQATTGDRKAEGKKCTDALYVAAPEGYKPEASPSLHHGETGLRGS ERPPMGMGKGFSEPKGKGPGPQKSLAETGKPSGMKRSPSATVQSSLRSAAPPEKSLSYSA SFPEAQPGVREVPAANSSPSSAKATGGTSEFPAPSSRDHRKLQSGGDGRSQMIKSDSLPS FRLSTSALESHFQDPQVPIASGHRGRALSVTAATGEPKGRELAQPPPVRKQNACREATRA PPAPSTDRSLPLSSEKDFVVRQRRGKETLRSSPHKKAS >ENSMUSP00000141977.1 pep:known chromosome:GRCm38:13:102734786:103096845:-1 gene:ENSMUSG00000034751.15 transcript:ENSMUST00000194446.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast4 description:microtubule associated serine/threonine kinase family member 4 [Source:MGI Symbol;Acc:MGI:1918885] XEVVQHGQTVATGSEKAESEMDESSILRRRGLQKELSLPRRGSLVDSQKWNCLVKRCRTS NRKSLIGNGQSPALPRPHSPLSAHAGNSPQDSPRNFSPSASAHFSFARRTDGRRWSLASL PSSGYGTNTPSSTVSSSCSSQEKLHQLPYQPTPDELHFLSKHFCTTESIATENRCRNTPM RPRSRSLSPGRSPACCDHEIIMMNHVYKERFPKATAQMEERLKEIITSYSPDHVLPLADG VLSFTHHQIIELARDCLDKSHQGLITSRYFFELQHKLDKLLQEAHDRSESGELAFIKQLV RKILIVIARPARLLECLEFDPEEFYYLLEAAEGHAKEGQGIKTDIPRYIISQLGLNKDPL EEMAQLGNYDSRTAETPEMDESVSSSNTSLRLRRKPRESDFETIKLISNGAYGAVYFVRH KESRQRFAMKKINKQNLILRNQIQQAFVERDILTFAENPFVVSMYCSFETRRHLCMVMEY VEGGDCATLMKNMGPLPVDMARMYFAETVLALEYLHNYGIVHRDLKPDNLLVTSMGHIKL TDFGLSKVGLMSMTTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAM GIILYEFLVGCVPFFGDTPEELFGQVISDEINWPEKDEAPPPDAQELITLLLRQNPLERL GTGGAYEVKQHRFFRSLDWNSLLRQKAEFIPQLESEDDTSYFDTRSEKYHHMETEEEDDT NDEDFTVEIRQFSSCSHRFSKVFSSIDRITQNSGEDKDDSEDKTKSTTLPSTETLSWSSE YSEMQQLSTSNSSDTESNRCKLSSGLLPKLAISTDGEQDEAVPCSGDPREEPEKPVPPSE ECTQEEPEVTTPASTISSSTLSVGSFSEHLDQINGRSECVDSTDNSSKPSSEPTSHVARQ RLESTEKKKISGKVTKSLSASALSLMIPGDMFAVSPLGSPMSPHSLSSDPSSSRDSSPSR DSSAASASPHQPIVIHSSGKNYGFTIRAIRVYVGDSDIYTVHHIVWNVEEGSPAYQAGLK AGDLITHINGEPVHGLVHTEVIELLLKSGNKVSITTTPFENTSIKTGPARRNSYKGRMVR RSKKSKKKESLERRRSLFKKLAKQPSPLLHTSRSFSCLNRSLSSGESLPGSPTHSLSPRS PTPSYRSTPDFPSGTNSSQSSSPSSSAPNSPAGSGHIRPSTLHGLAPKLSGQRYRSGRRK SAGSIPLSPLARTPSPTPQPTSPQRSPSPLLGHSLGNAKITQAFPSKMHSPPTIVRHIVR PKSAEPPRSPLLKRVQSEEKLSPSYGSDKKLLCSRKHSLEVTQEEVQREQCQREVTLQSL EENVCDAPSLSRARPVEQGCLKRPVSRKVGRQESVDDLDRDKLKAKVVVKKPEEKHESHQ KPHSLGGDSESYALFRLEEREKKVYSKGLERSGHFENTSAELPSVGSLLKDTLHKQASVR ASEGVTSDGAACSLTPGEHSQSLGDFKRASASGILHDSVCPISDRPAPGKVEYSEKASQA KELLRSEKLDSKLANIDYLRKKMSLDDKDDSHCAILKPKITSSAHECLPGNPIRPMAGQQ ETPPASENRAFINSTHTPQMSAVSFVPLKALAGRVENGGEKAGLAAPESPVRKSPSEYKL EGRSVSCLKPIEGTLDIALLSGPHASKTELLSPEPAQSPSPGINVGPCVPLALPGSSGKK GDSTSLREPSSANLKVNKSYLLEPRFLPPSRALQDSLAASGPEPKSKPERKLIHPSARSP ATVTESNLQQKEGGPATHQDRSTDTRNLPGPGQTLHNVDLPRLCTRAPLPPEGTPAKEKP CLKEPSAKVKSEWSAVRDDGHRDPCAKLCPAETGKASDSSKPLPSGGRTQPDFYKQTQTS EKAWAHAKTNHKDSQDEVKSLAREDSASLLYEKEIGRARKGPEPKPEVPATRCPPQPPGI EGEKREKLSAAPSLQKQAPKEPDRKEQTSQRPGGSGPQQPPPTKELSNSASWQHGSSPSH TLKKEPGTKAAAAEPSTSLHDTPRSATATTTAIATTTTTTSAGHSDCSSHKARPGPDPSP SKSKHQDRSLSSQKLSAGSAKGKEPVTQPLGGSIREGKGGSKGPVDTFSAVLTTQGKASD VLVQGEGRVSIIVHTEECPLDAKLKNTNGGCPPEMQAKHPPRQGHLSEAADQKPLIAGEK QSPSPKHPKPSTVKDYPSLCRQTDRSPSHQATTGDRKAEGKKCTDALYVAAPEGYKPEAS PSLHHGETGLRGSERPPMGMGKGFSEPKGKGPGPQKSLAETGKPSGMKRSPSATVQSSLR SAAPPEKSLSYSASFPEAQPGVREVPAANSSPSSAKATGGTSEFPAPSSRDHRKLQSGGD GRSQMIKSDSLPSFRLSTSALESHFQDPQVPIASGHRGRALSVTAATGEPKGRELAQPPP VRKQNACREATRAPPAPSTDRSLPLSSEKDFVVRQRRGKETLRSSPHKKAS >ENSMUSP00000131651.1 pep:known chromosome:GRCm38:13:102735002:102906106:-1 gene:ENSMUSG00000034751.15 transcript:ENSMUST00000171791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast4 description:microtubule associated serine/threonine kinase family member 4 [Source:MGI Symbol;Acc:MGI:1918885] MDMSDPNFWTVLSNFTLPHLRSGNRLRRTQSCRTSNRKSLIGNGQSPALPRPHSPLSAHA GNSPQDSPRNFSPSASAHFSFARRTDGRRWSLASLPSSGYGTNTPSSTVSSSCSSQEKLH QLPYQPTPDELHFLSKHFCTTESIATENRCRNTPMRPRSRSLSPGRSPACCDHEIIMMNH VYKERFPKATAQMEERLKEIITSYSPDHVLPLADGVLSFTHHQIIELARDCLDKSHQGLI TSRYFFELQHKLDKLLQEAHDRSESGELAFIKQLVRKILIVIARPARLLECLEFDPEEFY YLLEAAEGHAKEGQGIKTDIPRYIISQLGLNKDPLEEMAQLGNYDSRTAETPEMDESVSS SNTSLRLRRKPRESDFETIKLISNGAYGAVYFVRHKESRQRFAMKKINKQNLILRNQIQQ AFVERDILTFAENPFVVSMYCSFETRRHLCMVMEYVEGGDCATLMKNMGPLPVDMARMYF AETVLALEYLHNYGIVHRDLKPDNLLVTSMGHIKLTDFGLSKVGLMSMTTNLYEGHIEKD AREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQ VISDEINWPEKDEAPPPDAQELITLLLRQNPLERLGTGGAYEVKQHRFFRSLDWNSLLRQ KAEFIPQLESEDDTSYFDTRSEKYHHMETEEEDDTNDEDFTVEIRQFSSCSHRFSKVFSS IDRITQNSGEDKDDSEDKTKSTTLPSTETLSWSSEYSEMQQLSTSNSSDTESNRCKLSSG LLPKLAISTDGEQDEAVPCSGDPREEPEKPVPPSEECTQEEPEVTTPASTISSSTLSVGS FSEHLDQINGRSECVDSTDNSSKPSSEPTSHVARQRLESTEKKKISGKVTKSLSASALSL MIPGDMFAVSPLGSPMSPHSLSSDPSSSRDSSPSRDSSAASASPHQPIVIHSSGKNYGFT IRAIRVYVGDSDIYTVHHIVWNVEEGSPAYQAGLKAGDLITHINGEPVHGLVHTEVIELL LKSGNKVSITTTPFENTSIKTGPARRNSYKGRMVRRSKKSKKKESLERRRSLFKKLAKQP SPLLHTSRSFSCLNRSLSSGESLPGSPTHSLSPRSPTPSYRSTPDFPSGTNSSQSSSPSS SAPNSPAGSGHIRPSTLHGLAPKLSGQRYRSGRRKSAGSIPLSPLARTPSPTPQPTSPQR SPSPLLGHSLGNAKITQAFPSKMHSPPTIVRHIVRPKSAEPPRSPLLKRVQSEEKLSPSY GSDKKLLCSRKHSLEVTQEEVQREQCQREVTLQSLEENVCDAPSLSRARPVEQGCLKRPV SRKVGRQESVDDLDRDKLKAKVVVKKPEEKHESHQKPHSLGGDSESYALFRLEEREKKVY SKGLERSGHFENTSAELPSVGSLLKDTLHKQASVRASEGVTSDGAACSLTPGEHSQSLGD FKRASASGILHDSVCPISDRPAPGKVEYSEKASQAKELLRSEKLDSKLANIDYLRKKMSL DDKDDSHCAILKPKITSSAHECLPGNPIRPMAGQQETPPASENRAFINSTHTPQMSAVSF VPLKALAGRVENGGEKAGLAAPESPVRKSPSEYKLEGRSVSCLKPIEGTLDIALLSGPHA SKTELLSPEPAQSPSPGINVGPCVPLALPGSSGKKGDSTSLREPSSANLKVNKSYLLEPR FLPPSRALQDSLAASGPEPKSKPERKLIHPSARSPATVTESNLQQKEGGPATHQDRSTDT RNLPGPGQTLHNVDLPRLCTRAPLPPEGTPAKEKPCLKEPSAKVKSEWSAVRDDGHRDPC AKLCPAETGKASDSSKPLPSGGRTQPDFYKQTQTSEKAWAHAKTNHKDSQDEVKSLARED SASLLYEKEIGRARKGPEPKPEVPATRCPPQPPGIEGEKREKLSAAPSLQKQAPKEPDRK EQTSQRPGGSGPQQPPPTKELSNSASWQHGSSPSHTLKKEPGTKAAAAEPSTSLHDTPRS ATATTTAIATTTTTTSAGHSDCSSHKARPGPDPSPSKSKHQDRSLSSQKLSAGSAKGKEP VTQPLGGSIREGKGGSKGPVDTFSAVLTTQGKASDVLVQGEGRVSIIVHTEECPLDAKLK NTNGGCPPEMQAKHPPRQGHLSEAADQKPLIAGEKQSPSPKHPKPSTVKDYPSLCRQTDR SPSHQATTGDRKAEGKKCTDALYVAAPEGYKPEASPSLHHGETGLRGSERPPMGMGKGFS EPKGKGPGPQKSLAETGKPSGMKRSPSATVQSSLRSAAPPEKSLSYSASFPEAQPGVREV PAANSSPSSAKATGGTSEFPAPSSRDHRKLQSGGDGRSQMIKSDSLPSFRLSTSALESHF QDPQVPIASGHRGRALSVTAATGEPKGRELAQPPPVRKQNACREATRAPPAPSTDRSLPL SSEKDFVVRQRRGKETLRSSPHKKAS >ENSMUSP00000096808.3 pep:known chromosome:GRCm38:13:102735002:102958467:-1 gene:ENSMUSG00000034751.15 transcript:ENSMUST00000099202.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast4 description:microtubule associated serine/threonine kinase family member 4 [Source:MGI Symbol;Acc:MGI:1918885] MKAQRERLQIPGLTLDLTPRSLSPTPSSPGSPCSPLLTFHFWSCRTSNRKSLIGNGQSPA LPRPHSPLSAHAGNSPQDSPRNFSPSASAHFSFARRNDRTDGRRWSLASLPSSGYGTNTP SSTVSSSCSSQEKLHQLPYQPTPDELHFLSKHFCTTESIATENRCRNTPMRPRSRSLSPG RSPACCDHEIIMMNHVYKERFPKATAQMEERLKEIITSYSPDHVLPLADGVLSFTHHQII ELARDCLDKSHQGLITSRYFFELQHKLDKLLQEAHDRSESGELAFIKQLVRKILIVIARP ARLLECLEFDPEEFYYLLEAAEGHAKEGQGIKTDIPRYIISQLGLNKDPLEEMAQLGNYD SRTAETPEMDESVSSSNTSLRLRRKPRESDFETIKLISNGAYGAVYFVRHKESRQRFAMK KINKQNLILRNQIQQAFVERDILTFAENPFVVSMYCSFETRRHLCMVMEYVEGGDCATLM KNMGPLPVDMARMYFAETVLALEYLHNYGIVHRDLKPDNLLVTSMGHIKLTDFGLSKVGL MSMTTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVG CVPFFGDTPEELFGQVISDEINWPEKDEAPPPDAQELITLLLRQNPLERLGTGGAYEVKQ HRFFRSLDWNSLLRQKAEFIPQLESEDDTSYFDTRSEKYHHMETEEEDDTNDEDFTVEIR QFSSCSHRFSKVFSSIDRITQNSGEDKDDSEDKTKSTTLPSTETLSWSSEYSEMQQLSTS NSSDTESNRCKLSSGLLPKLAISTDGEQDEAVPCSGDPREEPEKPVPPSEECTQEEPEVT TPASTISSSTLSVGSFSEHLDQINGRSECVDSTDNSSKPSSEPTSHVARQRLESTEKKKI SGKVTKSLSASALSLMIPGDMFAVSPLGSPMSPHSLSSDPSSSRDSSPSRDSSAASASPH QPIVIHSSGKNYGFTIRAIRVYVGDSDIYTVHHIVWNVEEGSPAYQAGLKAGDLITHING EPVHGLVHTEVIELLLKSGNKVSITTTPFENTSIKTGPARRNSYKGRMVRRSKKSKKKES LERRRSLFKKLAKQPSPLLHTSRSFSCLNRSLSSGESLPGSPTHSLSPRSPTPSYRSTPD FPSGTNSSQSSSPSSSAPNSPAGSGHIRPSTLHGLAPKLSGQRYRSGRRKSAGSIPLSPL ARTPSPTPQPTSPQRSPSPLLGHSLGNAKITQAFPSKMHSPPTIVRHIVRPKSAEPPRSP LLKRVQSEEKLSPSYGSDKKLLCSRKHSLEVTQEEVQREQCQREVTLQSLEENVCDAPSL SRARPVEQGCLKRPVSRKVGRQESVDDLDRDKLKAKVVVKKPEEKHESHQKPHSLGGDSE SYALFRLEEREKKVYSKGLERSGHFENTSAELPSVGSLLKDTLHKQASVRASEGVTSDGA ACSLTPGEHSQSLGDFKRASASGILHDSVCPISDRPAPGKVEYSEKASQAKELLRSEKLD SKLANIDYLRKKMSLDDKDDSHCAILKPKITSSAHECLPGNPIRPMAGQQETPPASENRA FINSTHTPQMSAVSFVPLKALAGRVENGGEKAGLAAPESPVRKSPSEYKLEGRSVSCLKP IEGTLDIALLSGPHASKTELLSPEPAQSPSPGINVGPCVPLALPGSSGKKGDSTSLREPS SANLKVNKSYLLEPRFLPPSRALQDSLAASGPEPKSKPERKLIHPSARSPATVTESNLQQ KEGGPATHQDRSTDTRNLPGPGQTLHNVDLPRLCTRAPLPPEGTPAKEKPCLKEPSAKVK SEWSAVRDDGHRDPCAKLCPAETGKASDSSKPLPSGGRTQPDFYKQTQTSEKAWAHAKTN HKDSQDEVKSLAREDSASLLYEKEIGRARKGPEPKPEVPATRCPPQPPGIEGEKREKLSA APSLQKQAPKEPDRKEQTSQRPGGSGPQQPPPTKELSNSASWQHGSSPSHTLKKEPGTKA AAAEPSTSLHDTPRSATATTTAIATTTTTTSAGHSDCSSHKARPGPDPSPSKSKHQDRSL SSQKLSAGSAKGKEPVTQPLGGSIREGKGGSKGPVDTFSAVLTTQGKASDVLVQGEGRVS IIVHTEECPLDAKLKNTNGGCPPEMQAKHPPRQGHLSEAADQKPLIAGEKQSPSPKHPKP STVKDYPSLCRQTDRSPSHQATTGDRKAEGKKCTDALYVAAPEGYKPEASPSLHHGETGL RGSERPPMGMGKGFSEPKGKGPGPQKSLAETGKPSGMKRSPSATVQSSLRSAAPPEKSLS YSASFPEAQPGVREVPAANSSPSSAKATGGTSEFPAPSSRDHRKLQSGGDGRSQMIKSDS LPSFRLSTSALESHFQDPQVPIASGHRGRALSVTAATGEPKGRELAQPPPVRKQNACREA TRAPPAPSTDRSLPLSSEKDFVVRQRRGKETLRSSPHKKAS >ENSMUSP00000131910.1 pep:known chromosome:GRCm38:13:102741969:102905786:-1 gene:ENSMUSG00000034751.15 transcript:ENSMUST00000167462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast4 description:microtubule associated serine/threonine kinase family member 4 [Source:MGI Symbol;Acc:MGI:1918885] MDMSDPNFWTVLSNFTLPHLRSGNRLRRTQSCRTSNRKSLIGNGQSPALPRPHSPLSAHA GNSPQDSPRNFSPSASAHFSFARRTDGRRWSLASLPSSGYGTNTPSSTVSSSCSSQEKLH QLPYQPTPDELHFLSKHFCTTESIATENRCRNTPMRPRSRSLSPGRSPACCDHEIIMMNH VYKERFPKATAQMEERLKEIITSYSPDHVLPLADGVLSFTHHQIIELARDCLDKSHQGLI TSRYFFELQHKLDKLLQEAHDRSESGELAFIKQLVRKILIVIARPARLLECLEFDPEEFY YLLEAAEGHAKEGQGIKTDIPRYIISQLGLNKDPLEEMAQLGNYDSRTAETPEMDESVSS SNTSLRLRRKPRESDFETIKLISNGAYGAVYFVRHKESRQRFAMKKINKQNLILRNQIQQ AFVERDILTFAENPFVVSMYCSFETRRHLCMVMEYVEGGDCATLMKNMGPLPVDMARMYF AETVLALEYLHNYGIVHRDLKPDNLLVTSMGHIKLTDFGLSKVGLMSMTTNLYEGHIEKD AREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQ VISDEINWPEKDEAPPPDAQELITLLLRQNPLERLGTGGAYEVKQHRFFRSLDWNSLLRQ KAEFIPQLESEDDTSYFDTRSEKYHHMETEEEDDTNDEDFTVEIRQFSSCSHRFSKVFSS IDRITQNSGEDKDDSEDKTKSTTLPSTETLSWSSEYSEMQQLSTSNSSDTESNRCKLSSG LLPKLAISTDGEQDEAVPCSGDPREEPEKPVPPSEECTQEEPEVTTPASTISSSTLSDMF AVSPLGSPMSPHSLSSDPSSSRDSSPSRDSSAASASPHQPIVIHSSGKNYGFTIRAIRVY VGDSDIYTVHHIVWNVEEGSPAYQAGLKAGDLITHINGEPVHGLVHTEVIELLLKSGNKV SITTTPFENTSIKTGPARRNSYKGRMVRRSKKS >ENSMUSP00000128129.1 pep:known chromosome:GRCm38:13:102754128:102958346:-1 gene:ENSMUSG00000034751.15 transcript:ENSMUST00000172264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast4 description:microtubule associated serine/threonine kinase family member 4 [Source:MGI Symbol;Acc:MGI:1918885] MKAQRERLQIPGLTLDCRTSNRKSLIGNGQSPALPRPHSPLSAHAGNSPQDSPRNFSPSA SAHFSFARRNDRTDGRRWSLASLPSSGYGTNTPSSTVSSSCSSQEKLHQLPYQPTPDELH FLSKHFCTTESIATENRCRNTPMRPRSRSLSPGRSPACCDHEIIMMNHVYKERFPKATAQ MEERLKEIITSYSPDHVLPLADGVLSFTHHQIIELARDCLDKSHQGLITSRYFFELQHKL DKLLQEAHDRSESGELAFIKQLVRKILIVIARPARLLECLEFDPEEFYYLLEAAEGHAKE GQGIKTDIPRYIISQLGLNKDPLEEMAQLGNYDSRTAETPEMDESVSSSNTSLRLRRKPR ESDFETIKLISNGAYGAVYFVRHKESRQRFAMKKINKQNLILRNQIQQAFVERDILTFAE NPFVVSMYCSFETRRHLCMVMEYVEGGDCATLMKNMGPLPVDMARMYFAETVLALEYLHN YGIVHRDLKPDNLLVTSMGHIKLTDFGLSKVGLMSMTTNLYEGHIEKDAREFLDKQVCGT PEYIAPEVILRQGYGKPVDWWAMGIILYEFLVGCVPFFGDTPEELFGQVISDEINWPEKD EAPPPDAQELITLLLRQNPLERLGTGGAYEVKQHRFFRSLDWNSLLRQKAEFIPQLESED DTSYFDTRSEKYHHMETEEEDDTNDEDFTVEIRQFSSCSHRFSKVFSSIDRITQNSGEDK DDSEDKTKSTTLPSTETLSWSSEYSEMQQLSTSNSSDTESNRCKLSSGLLPKLAISTDGE QDEAVPCSGDPREEPEKPVPPSEECTQEEPEVTTPASTISSSTLSVGSFSEHLDQINGRS ECVDSTDNSSKPSSEPTSHVARQRLEST >ENSMUSP00000126516.1 pep:known chromosome:GRCm38:13:102794128:103096828:-1 gene:ENSMUSG00000034751.15 transcript:ENSMUST00000166336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast4 description:microtubule associated serine/threonine kinase family member 4 [Source:MGI Symbol;Acc:MGI:1918885] GQTVATGSEKAESEMDESSILRRRGLQKELSLPRRGSLCRTSNRKSLIGNGQSPALPRPH SPLSAHAGNSPQDSPRNFSPSASAHFSFARRTDGRRWSLASLPSSGYGTNTPSSTVSSSC SSQEKLHQLPYQPTPDELHFLSKHFCTTESIATENRCRNTPMRPRSRSLSPGRSPACCDH EIIMMNHVYKERFPKATAQMEERLKEIITSYS >ENSMUSP00000126625.1 pep:known chromosome:GRCm38:13:102852506:103334460:-1 gene:ENSMUSG00000034751.15 transcript:ENSMUST00000164111.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast4 description:microtubule associated serine/threonine kinase family member 4 [Source:MGI Symbol;Acc:MGI:1918885] MGEKVSEAPEPVPRGCSGHGARTLVSSAAAVSSEGASSAESSSGSETLSEEGEPSRFSCR SQPPRPPGGALGTRLPAAWAPARVALERGVPTLPLPHPGGAVLPVPQVSSASQEEQDEEL DHILSPPPMPFRKCSNPDVACGLGKSLKYKRQLSEDGKQLRRGSLGGALTGRYLLPNPVA GQAWPASAETSNLVRMRSQALGQSAPSLTASLKELSLPRRGSLCRTSNRKSLIGNGQSPA LPRPHSPLSAHAGN >ENSMUSP00000074291.2 pep:known chromosome:GRCm38:7:108713320:108714375:1 gene:ENSMUSG00000056946.2 transcript:ENSMUST00000074730.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr512 description:olfactory receptor 512 [Source:MGI Symbol;Acc:MGI:3030346] MSLRQTQGSNIVMRRQNHSSTVEFILLRFSNYPELQDQMFGTFLVIYLVTVMGNAIIITI IFLDQSLHIPMYLFLQNLSLVDLCFSTVITPKMLVVLTSKKATISFGGCFAQMYFILFFG VTECFLLGAMAYDRFAAICHPLSYPMIMNKRVFMKLVMFSWVSGTMMSTLQTTWVFSFPY CDHKEINHLFCETPPVLELACADTFLFEVYAFTGTIFIVMVPFLLILLSYTRILFTILRM PSTTGRQKAFSTCASHLTSVTLFYGTASIIYLQPKSRYSPDTKKLMSLAYILLTPLLNPL IYSLRNKEMKRAVLKLWQRKVAFHTA >ENSMUSP00000111359.3 pep:known chromosome:GRCm38:X:7674626:7681089:-1 gene:ENSMUSG00000031147.8 transcript:ENSMUST00000115695.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magix description:MAGI family member, X-linked [Source:MGI Symbol;Acc:MGI:1859644] MDSRAGNTADPRGGRRGGGLQGSRSPRARQLLERLDARPLAARAAADLTALVRKAGATLR LRHTEGVSGLDSADIEVTDSRLPHATLVKHRPQHQRSETLGTWTEPLPVTQNKASYALKV PQATGRFSVELTRGPAGFGLTLSGGRNVSGDAPLTVHGLLKDGPAQRCGRLQAGDLVLYI NGQSTQGLTHAQVVERIRTGGPHLCLVLQRPQDMDGSRIKEVGGHRKTDRSLDPRGSRVE SRSTISPVHHRPKTRTSPRPSPEAVAIGHVVRAVEHPTEDLENRIPGTPGPWLVPSEDRL SRALGVRGGGAQLALEMAAGRRRH >ENSMUSP00000045196.9 pep:known chromosome:GRCm38:5:137134922:137149322:-1 gene:ENSMUSG00000037390.12 transcript:ENSMUST00000041226.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc3 description:mucin 3, intestinal [Source:MGI Symbol;Acc:MGI:1203527] MNGGFWTGDKCICPNGFGGDRCENIVNVVNCENGGTWDGLKCQCTSLFYGPRCEELVESV EIEPTVAASVEVSVTVTSQEYSEKLQDRKSEEFSNFNKTFTKQMALIYAGIPEYEGVIIK NLSKGSIVVDYDVILKAKYTPGFENTLDTVVKNLETKIKNATEVQVQDVNNNCSALLCFN STATKVQNSATVSVNPEETCKKEAGEDFAKFVTLGQKGDKWFCITPCSAGYSTSKNCSYG KCQLQRSGPQCLCLITDTHWYSGENCDWGIQKSLVYGLGGAGVAVLLVILVILLVFSIRF RKDAQRQRSRVSEMYKWGEEEGRASPGTFHNFGFDHNEERENYMPLDSVYNTFQPSLNHI NPERKIQIQRPQVVMTSL >ENSMUSP00000050578.1 pep:known chromosome:GRCm38:7:108754858:108755787:1 gene:ENSMUSG00000051200.1 transcript:ENSMUST00000055146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr513 description:olfactory receptor 513 [Source:MGI Symbol;Acc:MGI:3030347] MGRGNRTTVTEFVLMGFTDRPELQLPLFVVFLILITLVGNLGMILLIKADSRLHTPMYYF LSHLAFIDLCYSSSIGPKMLQNLLVKKKTISFSGCFAQLYFSSAFVTTECFLLATMAYDR YMAICNPLTYTAIMTQRVCKELVIGVYTYGFLNSVIQTVLTFQLSFCNSNVIHHFYCADP PLLALSCSDTHNKERQLLIFSAVNLTGSLMTVLISYICILVSIIKIEPSQGKCKAFSTCA SHLTVVTIFYGTLFFMYMRQPKTGSSWKYSKVISVFYSLVIPMLNPLIYSLRNTEVKDTL KKMLEGKTS >ENSMUSP00000122623.1 pep:known chromosome:GRCm38:8:84249906:84257324:-1 gene:ENSMUSG00000004996.9 transcript:ENSMUST00000126435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mri1 description:methylthioribose-1-phosphate isomerase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915123] MTLEAIRYSPGSLQILDQLQLPEHCHYEALSSVQQASEAIRAMKVRGAPAIALVGCLSLA VELRAGAGGPGLAALVAFVRDQLRLLVAARPTAVNMARAARDLGQVAAQEAEREGATEET VRERVIRFAEDMLEKDLKDNRSIGDLGARHLLEQTNPRGGKVTVLTHCNTGALATAGYGT ALGVIRSLHEMGRLEHTFCTETRPYNQGARLTAFELVYEQIPATLITDSMAAAAMAHRGV SAVVVGADRVVANGDTANKIGTYQLAIVAKHHGVPFYVAAPSSSCDLHLETGKEIVIEER PSQELTDLNGVRIAAQGIRVWNPAFDVTPHELITGGIITELGVFAPEELRGALSASVFSE GQTLDSPWV >ENSMUSP00000117115.1 pep:known chromosome:GRCm38:8:84251022:84256413:-1 gene:ENSMUSG00000004996.9 transcript:ENSMUST00000125498.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mri1 description:methylthioribose-1-phosphate isomerase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915123] XRFAEDMLEKDLKDNRSIGDLGARHLLEQTNPRGGKVTVLTHCNTGALATAGYGTALGVI RSLHEMGRLEHTFCTETRPYNQGARLTAFELVYEQIPATLITDSMAAAAMAHRAVVVGAD RVVANGDTANKIGTYQLAIVAKHHGVPFYVAAPSSSCDLHLETGKEIVIEERPSQELTDL NGVRIAAQDRIFLCLLGYPGTICRSGWLQTQRSSCLCLPSAGIKCMHH >ENSMUSP00000005122.3 pep:known chromosome:GRCm38:8:84253931:84257247:-1 gene:ENSMUSG00000004996.9 transcript:ENSMUST00000005122.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mri1 description:methylthioribose-1-phosphate isomerase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915123] XIRYSPGSLQILDQLQLPEHCHYEALSSVQQASEAIRAMKVRGAPAIALVGCLSLAVELR AGAGGPGLAALVAFVRDQLRLLVAARPTAVNMARAARDLGQVAAQEAEREGATEETVRER VIRFAEDMLEKDLKDNRSIGDLGARHLLEQTNPRGGKV >ENSMUSP00000080775.3 pep:known chromosome:GRCm38:10:129078972:129080057:-1 gene:ENSMUSG00000059762.3 transcript:ENSMUST00000082131.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr767 description:olfactory receptor 767 [Source:MGI Symbol;Acc:MGI:3030601] MKNKTSLTEFILLGLTDVPELQVAVFTFLFLAYVFSMIGNLTILILTLLDSHLHTPMYFF LRNFSFLEISFTNIFIPRVLVSITTGNKSISFAGCFAQYFFAIFLGATEFYLLAAMSYDR YVAICKPLHYMAIMSNRVCTHLVLCSWLGGLMAIIPPITLMSQQNFCASNRLNHYFCDFE PLLELSCSDTSLIEKVVFLVASVTLVVTLMLVTLSYTFIIKTILKLPSAQQRTKAFSTCS SHMIVISLSYGSCFFMYVKPSAKVGGTFDKGVALLITSVAPLLNPFIYTLRNQQVKQAFK DTVKKLVNL >ENSMUSP00000144050.1 pep:known chromosome:GRCm38:5:30921431:30931248:1 gene:ENSMUSG00000029162.15 transcript:ENSMUST00000201621.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khk description:ketohexokinase [Source:MGI Symbol;Acc:MGI:1096353] MEEKQILCVGLVVLDIINVVDKYPEEDTDRRCLSQRWQRGGNASNSCTVLSLLGARCAFM GSLAPGHVADFLVADFRQRGVDVSQVTWQSQGDTPCSCCIVNNSNGSRTIILYDTNLPDV SAKDFEKVDLTRFKWIHIEGRNASEQVKMLQRIEEHNAKQPLPQKVRVSVEIEKPREELF QLFSYGEVVFVSKDVAKHLGFQSAVEALRGLYSRVKKGATLVCAWAEEGADALGPDGQLL HSDAFPPPRVVDTLGAGDTFNASVIFSLSKGNSMQEALRFGCQVAGKKCGLQGFDGIV >ENSMUSP00000144226.1 pep:known chromosome:GRCm38:5:30921590:30931046:1 gene:ENSMUSG00000029162.15 transcript:ENSMUST00000201571.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khk description:ketohexokinase [Source:MGI Symbol;Acc:MGI:1096353] MEEKQILCVGLVVLDIINVVDKYPEEDTDRRCLSQRWQRGGNASNSCTVLSLLGARCAFM GSLAPGHVAENLPDVSAKDFEKVDLTRFKWIHIEGRNASEQVKMLQRIEEHNAKQPLPQK VRVSVEIEKPREELFQLFSYGEVVFVSKDVAKHLGFQSAVEALRGLYSRVKKGATLVCAW AEEGADALGPDGQLLHSDAFPPPRVVDTLGAGDTFNASVIFSLSKGNSMQEALRFGCQVA GKKCGLQGFDGIV >ENSMUSP00000031053.9 pep:known chromosome:GRCm38:5:30921833:30931189:1 gene:ENSMUSG00000029162.15 transcript:ENSMUST00000031053.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khk description:ketohexokinase [Source:MGI Symbol;Acc:MGI:1096353] MEEKQILCVGLVVLDIINVVDKYPEEDTDRRCLSQRWQRGGNASNSCTVLSLLGARCAFM GSLAPGHVADFVLDDLRQHSVDLRYVVLQTEGSIPTSTVIINEASGSRTILHAYSFLVAD FRQRGVDVSQVTWQSQGDTPCSCCIVNNSNGSRTIILYDTNLPDVSAKDFEKVDLTRFKW IHIEGRNASEQVKMLQRIEEHNAKQPLPQKVRVSVEIEKPREELFQLFSYGEVVFVSKDV AKHLGFQSAVEALRGLYSRVKKGATLVCAWAEEGADALGPDGQLLHSDAFPPPRVVDTLG AGDTFNASVIFSLSKGNSMQEALRFGCQVAGKKCGLQGFDGIV >ENSMUSP00000143850.1 pep:known chromosome:GRCm38:5:30921858:30930793:1 gene:ENSMUSG00000029162.15 transcript:ENSMUST00000202752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khk description:ketohexokinase [Source:MGI Symbol;Acc:MGI:1096353] MEEKQILCVGLVVLDIINVVDKYPEEDTDRRCLSQRWQRGGNASNSCTVLSLLGARCAFM GSLAPGHVADFVLDDLRQHSVDLRYVVLQTEGSIPTSTVIINEASGSRTILHAYRNLPDV SAKDFEKVDLTRFKWIHIEGRNASEQVKMLQRIEEHNAKQPLPQKVRVSVEIEKPREELF QLFSYGEVVFVSKDVAKHLGFQSAVEALRGLYSRVKKGATLVCAWAEEGADALGPDGQLL HSDA >ENSMUSP00000124868.1 pep:known chromosome:GRCm38:19:6062821:6067803:-1 gene:ENSMUSG00000024799.14 transcript:ENSMUST00000159084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm7sf2 description:transmembrane 7 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1920416] MTSREASQAPLEFGGPLGVAALLILLPATMFHLLLAARSGPARLLALPAYLPGLEELWSP WALLLLFIWLGLQVALYLLPARKVAEGLELKDKSRLRYPINGFQALVLTALLMGLGVSVG LPLGALPGMLLPLAFATTLTSFIFSLLLYAKALVAPASALAPGGNSGNSMYDFFLGRELN PRLGSFDFKYFCELRPGLIGWVFINLALLMQEAELRGSPSLAMWLVNGFQLLYVGDALWY EESVLTTMDIIHDGFGFMLVFGDLAWVPFTYSLQAQFLLYHPQPLGLPMALLICLLKGLE TIPTATGRQLLVSGWWGMVRHPNYLGDLIMALAWSLPCGLSHLLPYFYVLYFTALLVHRE ARDEQQCLQKYGRAWQEYCKRVPYRIIPYVY >ENSMUSP00000025713.5 pep:known chromosome:GRCm38:19:6062821:6067842:-1 gene:ENSMUSG00000024799.14 transcript:ENSMUST00000025713.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm7sf2 description:transmembrane 7 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1920416] MTSREASQAPLEFGGPLGVAALLILLPATMFHLLLAARSGPARLLALPAYLPGLEELWSP WALLLLFIWLGLQVALYLLPARKVAEGLELKDKSRLRYPINGFQALVLTALLMGLGVSVG LPLGALPGMLLPLAFATTLTSFIFSLLLYAKALVAPASALAPGGNSGNSMYDFFLGRELN PRLGSFDFKYFCELRPGLIGWVFINLALLMQEAELRGSPSLAMWLVNGFQLLYVGDALWY EESVLTTMDIIHDGFGFMLVFGDLAWVPFTYSLQAQFLLYHPQPLGLPMALLICLLKVIG YYIFRGANSQKNTFRKNPSDPSVAGLETIPTATGRQLLVSGWWGMVRHPNYLGDLIMALA WSLPCGLSHLLPYFYVLYFTALLVHREARDEQQCLQKYGRAWQEYCKRVPYRIIPYVY >ENSMUSP00000109171.2 pep:known chromosome:GRCm38:19:6062822:6067842:-1 gene:ENSMUSG00000024799.14 transcript:ENSMUST00000113543.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm7sf2 description:transmembrane 7 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1920416] MTSREASQAPLEFGGPLGVAALLILLPATMFHLLLAARSGPARLLALPAYLPGLEELWSP WALLLLFIWLGLQVALYLLPARKVAEGLELKDKSRLRYPINGFQALVLTALLMGLGVSVG LPLGALPGMLLPLAFATTLTSFIFSLLLYAKALVAPASALAPGGNSGNSMYDFFLGRELN PRLGSFDFKYFCELRPGLIGWVFINLALLMQEAELRGSPSLAMWLVNGFQLLYVGDALWY EESVLTTMDIIHDGFGFMLVFGDLAWVPFTYSLQAQFLLYHPQPLGLPMALLICLLKVIG YYIFRGANSQKNTFRKNPSDPSVAGLETIPTATGRQLLVSGWWGMVRHPNYLGDLIMALA WSLPCGLSHGSLPSRAIPSAALLLRPLLHCTAGAPRGQR >ENSMUSP00000125543.1 pep:known chromosome:GRCm38:19:6062901:6067803:-1 gene:ENSMUSG00000024799.14 transcript:ENSMUST00000161090.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tm7sf2 description:transmembrane 7 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1920416] MTSREASQAPLEFGGPLGVAALLILLPATMFHLLLAARSGPARLLALPAYLPGLEELWSP WALLLLFIWLGLQVALYLLPARKASRLWC >ENSMUSP00000124283.1 pep:known chromosome:GRCm38:19:6062978:6067808:-1 gene:ENSMUSG00000024799.14 transcript:ENSMUST00000161718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm7sf2 description:transmembrane 7 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1920416] MVFINLALLMQEAELRGSPSLAMWLVNGFQLLYVGDALWYEESVLTTMDIIHDGFGFMLV FGDLAWVPFTYSLQAQFLLYHPQPLGLPMALLICLLKVIGYYIFRGANSQKNTFRKNPSD PSVAGLETIPTATGRQLLVSGWWGMVRHPNYLGDLIMALAWSLPCGLSHLLPYFYVLYFT ALLVHREARDEQQCLQK >ENSMUSP00000125586.1 pep:known chromosome:GRCm38:19:6063822:6067785:-1 gene:ENSMUSG00000024799.14 transcript:ENSMUST00000162575.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm7sf2 description:transmembrane 7 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1920416] MTSREASQAPLEFGGPLGVAALLILLPATMFHLLLAARSGPARLLALPAYLPGLEELWSP WALLLLFIWLGLQVALYLLPARKALVAPASALAPGGNSGNSMYDFFLGRELNPRLGSFDF KYFCELRPGLIGWVFINLALLMQEAELRGSPSLAMWLVNGFQLLYVGDALWYEESVLTTM DIIHDGFGFMLVFGDLAWVPFTYSLQAQFLLYHPQPLGLPMALLICLLK >ENSMUSP00000124825.1 pep:known chromosome:GRCm38:19:6064093:6067808:-1 gene:ENSMUSG00000024799.14 transcript:ENSMUST00000162810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm7sf2 description:transmembrane 7 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1920416] MGLGVSVGLPLGALPGMLLPLAFATTLTSFIFSLLLYAKALVAPASALAPGGNSGNSMYD FFLGRELNPRLGSFDFKYFCELRPGLIGWVFINLALLMQEAELRGSPSLAMWLVNGFQLL YVGD >ENSMUSP00000123989.1 pep:known chromosome:GRCm38:19:6066187:6067855:-1 gene:ENSMUSG00000024799.14 transcript:ENSMUST00000161528.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm7sf2 description:transmembrane 7 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1920416] MTSREASQAPLEFGGPLGVAALLILLPATMFHLLLAARSGPARLLALPAYLPGLEELWSP WALLLLFIWLGLQVALYLLPARKVAEGLELKDKSRLRYPINASSSMRRLW >ENSMUSP00000124847.1 pep:known chromosome:GRCm38:19:6067163:6068373:-1 gene:ENSMUSG00000024799.14 transcript:ENSMUST00000160028.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm7sf2 description:transmembrane 7 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1920416] MQSHNPAWGGVAALLILLPATMFHLLLAARSGPARLLALPAYLPGLEELWSPWALLLLFI WL >ENSMUSP00000140586.1 pep:known chromosome:GRCm38:6:54681624:54703851:1 gene:ENSMUSG00000038065.13 transcript:ENSMUST00000190641.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mturn description:maturin, neural progenitor differentiation regulator homolog (Xenopus) [Source:MGI Symbol;Acc:MGI:1915485] MDFQQLADVAEKWCSSTPFELIAAEETERRMDFYADPGVSFYVLCPDNGCGDSFHVWSES EDCLPFLQLAQDYISSCGKKTLHEVLEKVFKSFRPLLGLPDADDDAFEEYSADVEEEEPE ADHPQMGVSQQ >ENSMUSP00000140358.1 pep:known chromosome:GRCm38:6:54681754:54701141:1 gene:ENSMUSG00000038065.13 transcript:ENSMUST00000187701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mturn description:maturin, neural progenitor differentiation regulator homolog (Xenopus) [Source:MGI Symbol;Acc:MGI:1915485] MDFQQLADVAEKWCSSTPFELIAAEETERRMDFYADPGVSFYVLCPDNGCGDSFHVWSES EDCLPFLQLAQDYISSCGKKTLHEVLEKVFKSFRPLLGLPDADDDAFEEYSADVEEEEPE ADHPQMGVTLLRKSMTEEKRSLHCLCTF >ENSMUSP00000022646.8 pep:known chromosome:GRCm38:14:69190638:69194662:1 gene:ENSMUSG00000022061.8 transcript:ENSMUST00000022646.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx3-1 description:NK-3 transcription factor, locus 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:97352] MLRVAEPREPRVEAGGRSPWAAPPTQSKRLTSFLIQDILRDRAERHGGHSGNPQHSPDPR RDSAPEPDKAGGRGVAPEDPPSIRHSPAETPTEPESDAHFETYLLDCEHNPGDLASAPQV TKQPQKRSRAAFSHTQVIELERKFSHQKYLSAPERAHLAKNLKLTETQVKIWFQNRRYKT KRKQLSEDLGVLEKNSPLSLPALKDDSLPSTSLVSVYTSYPYYPYLYCLGSWHPSFW >ENSMUSP00000123971.1 pep:known chromosome:GRCm38:9:20643318:20646964:1 gene:ENSMUSG00000084786.9 transcript:ENSMUST00000129414.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl5 description:ubiquitin-like 5 [Source:MGI Symbol;Acc:MGI:1913427] MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKWYTIFKDHVSLGDY EIHDGMNLELYYQ >ENSMUSP00000124672.1 pep:known chromosome:GRCm38:9:20644071:20646777:1 gene:ENSMUSG00000084786.9 transcript:ENSMUST00000160682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl5 description:ubiquitin-like 5 [Source:MGI Symbol;Acc:MGI:1913427] MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKWYTIFKDHVSLGDY EIHDGMNLELYYQ >ENSMUSP00000123843.1 pep:known chromosome:GRCm38:9:20644340:20645649:1 gene:ENSMUSG00000084786.9 transcript:ENSMUST00000161887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl5 description:ubiquitin-like 5 [Source:MGI Symbol;Acc:MGI:1913427] MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKWYTIFKDHVS >ENSMUSP00000124812.1 pep:known chromosome:GRCm38:9:20644821:20646775:1 gene:ENSMUSG00000084786.9 transcript:ENSMUST00000162303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl5 description:ubiquitin-like 5 [Source:MGI Symbol;Acc:MGI:1913427] MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKWYTIFKDHVSLGDY EIHDGMNLELYYQ >ENSMUSP00000124506.1 pep:known chromosome:GRCm38:9:20644834:20647140:1 gene:ENSMUSG00000084786.9 transcript:ENSMUST00000161486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl5 description:ubiquitin-like 5 [Source:MGI Symbol;Acc:MGI:1913427] MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKCFSLNPLGTRFLRT TCLWEIMKSTMG >ENSMUSP00000124405.1 pep:known chromosome:GRCm38:9:20644835:20646775:1 gene:ENSMUSG00000084786.9 transcript:ENSMUST00000160874.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ubl5 description:ubiquitin-like 5 [Source:MGI Symbol;Acc:MGI:1913427] MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKW >ENSMUSP00000125540.1 pep:known chromosome:GRCm38:9:20644868:20646769:1 gene:ENSMUSG00000084786.9 transcript:ENSMUST00000161882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl5 description:ubiquitin-like 5 [Source:MGI Symbol;Acc:MGI:1913427] MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKWYTIFKDHVSLGDC ILFLKFMKEAMVDVELQDPAVSRWKVVISPSKFLNTCPSDEIHDGMNLELYYQ >ENSMUSP00000125364.1 pep:known chromosome:GRCm38:9:20645041:20646775:1 gene:ENSMUSG00000084786.9 transcript:ENSMUST00000160124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl5 description:ubiquitin-like 5 [Source:MGI Symbol;Acc:MGI:1913427] MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIVLKKWYTIFKDHVSLGDY EIHDGMNLELYYQ >ENSMUSP00000133396.1 pep:known chromosome:GRCm38:9:20645218:20646776:1 gene:ENSMUSG00000084786.9 transcript:ENSMUST00000161834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl5 description:ubiquitin-like 5 [Source:MGI Symbol;Acc:MGI:1913427] MTLMGRPDYVFLGLPLPFIYLNSFVPSTDDTIGDLKKLIAAQTGTRWNKIVLKKCFSLNP LGTRFLRTTCLWEIMKSTMG >ENSMUSP00000118205.1 pep:known chromosome:GRCm38:9:60568859:60688124:-1 gene:ENSMUSG00000047766.15 transcript:ENSMUST00000150060.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc49 description:leucine rich repeat containing 49 [Source:MGI Symbol;Acc:MGI:2442689] MIPGKYRSVSGRAANNVNCGLHLVIQTSSLSDKNKVEFRLNRETPPFPGRLLQHDLERNY SSRQGDHISLVSSSMPAFPILQRSSEEKTLYSDRLTLERQKLTVCPIIDGEEHLRLLNFQ HNFITRIQNISNLQRLIFLDLYDNQIEEISGLSTLKSLRVLLLGKNRIKKISNLENLKNL DVLDLHGNQITKIENVNHLCDLRVLNLARNLLSHVDNLNGLDSLTELNLRHNQITFVRDV DNLPCLQRLFLSFNNITSFESVSCLAESTSLSDITFDGNPIAQESWYKHTVLQNMMQLRQ LDMKRITEEERRVASVVAKKEEEKKRESHKQSLLKVHPSVRPPLAQEDTSTLASTQEHGF QN >ENSMUSP00000070606.5 pep:known chromosome:GRCm38:9:60587229:60688158:-1 gene:ENSMUSG00000047766.15 transcript:ENSMUST00000065603.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc49 description:leucine rich repeat containing 49 [Source:MGI Symbol;Acc:MGI:2442689] MIPGKYRSVSGRAANNVNCGLHLVIQTSSLSDKNKVEFRLNRETPPFPGRLLQHDLERNY SSRQGDGRVISPSPRNKSSCISSTTNVTDLCGLDMRAATTSLSAFGDSSIQTPSKPRIRQ GCHSAGPNVSGDHISLVSSSMPAFPILQRSSEEKTLYSDRLTLERQKLTVCPIIDGEEHL RLLNFQHNFITRIQNISNLQRLIFLDLYDNQIEEISGLSTLKSLRVLLLGKNRIKKISNL ENLKNLDVLDLHGNQITKIENVNHLCDLRVLNLARNLLSHVDNLNGLDSLTELNLRHNQI TFVRDVDNLPCLQRLFLSFNNITSFESVSCLAESTSLSDITFDGNPIAQESWYKHTVLQN MMQLRQLDMKRITEEERRVASVVAKKEEEKKRESHKQSLLKEKKRLTINNVARKWDLQQR VANIASTQDRKDSESPPQESCQLDGGNISAFPEEAGSLDAGLSSALQGLSVTETHLVEID GETLSLYGSGALECLDRNWSVQTAGMVTTVSFTFIEFDEIVQVLPKLKMKFPNSLHLKFR ETNLVMLQQFNALAQLRRVDQLTIDPQGNPVVNFTLWKYYVLFRLSHFSMQKINGTEVTQ NDMIMAERLFGILAHVASSELPQYRMISILGDARKKQFRYLLESKGKKPGIICEETSDSK RFLGENTNRATLNYTTREFYHEKLEEIKDKKKFCRLYVEDLVKEATAINMKNEALQKLWP QMFIELVRDAVIEIRSKDSYMKLCLQQITDQK >ENSMUSP00000109668.2 pep:known chromosome:GRCm38:9:60587229:60688118:-1 gene:ENSMUSG00000047766.15 transcript:ENSMUST00000114034.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc49 description:leucine rich repeat containing 49 [Source:MGI Symbol;Acc:MGI:2442689] MIPGKYRSVSGRAANNVNCGLHLVIQTSSLSDKNKVEFRLNRETPPFPGRLLQHDLERNY SSRQGDHISLVSSSMPAFPILQRSSEEKTLYSDRLTLERQKLTVCPIIDGEEHLRLLNFQ HNFITRIQNISNLQRLIFLDLYDNQIEEISGLSTLKSLRVLLLGKNRIKKISNLENLKNL DVLDLHGNQITKIENVNHLCDLRVLNLARNLLSHVDNLNGLDSLTELNLRHNQITFVRDV DNLPCLQRLFLSFNNITSFESVSCLAESTSLSDITFDGNPIAQESWYKHTVLQNMMQLRQ LDMKRITEEERRVASVVAKKEEEKKRESHKQSLLKEKKRLTINNVARKWDLQQRVANIAS TQDRKDSESPPQESCQLDGGNISAFPEEAGSLDAGLSSALQGLSVTETHLVEIDGETLSL YGSGALECLDRNWSVQTAGMVTTVSFTFIEFDEIVQVLPKLKMKFPNSLHLKFRETNLVM LQQFNALAQLRRVDQLTIDPQGNPVVNFTLWKYYVLFRLSHFSMQKINGTEVTQNDMIMA ERLFGILAHVASSELPQYRMISILGDARKKQFRYLLESKGKKPGIICEETSDSKRFLGEN TNRATLNYTTREFYHEKLEEIKDKKKFCRLYVEDLVKEATAINMKNEALQKLWPQMFIEL VRDAVIEIRSKDSYMKLCLQQITDQK >ENSMUSP00000109666.1 pep:known chromosome:GRCm38:9:60587239:60687534:-1 gene:ENSMUSG00000047766.15 transcript:ENSMUST00000114032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc49 description:leucine rich repeat containing 49 [Source:MGI Symbol;Acc:MGI:2442689] MSGLQSLRYKVNCGLHLVIQTSSLSDKNKVEFRLNRETPPFPGRLLQHDLERNYSSRQGD HISLVSSSMPAFPILQRSSEEKTLYSDRLTLERQKLTVCPIIDGEEHLRLLNFQHNFITR IQNISNLQRLIFLDLYDNQIEEISGLSTLKSLRVLLLGKNRIKKISNLENLKNLDVLDLH GNQITKIENVNHLCDLRVLNLARNLLSHVDNLNGLDSLTELNLRHNQITFVRDVDNLPCL QRLFLSFNNITSFESVSCLAESTSLSDITFDGNPIAQESWYKHTVLQNMMQLRQLDMKRI TEEERRVASVVAKKEEEKKRESHKQSLLKEKKRLTINNVARKWDLQQRVANIASTQDRKD SESPPQESCQLDGGNISAFPEEAGSLDAGLSSALQGLSVTETHLVEIDGETLSLYGSGAL ECLDRNWSVQTAGMVTTVSFTFIEFDEIVQVLPKLKMKFPNSLHLKFRETNLVMLQQFNA LAQLRRVDQLTIDPQGNPVVNFTLWKYYVLFRLSHFSMQKINGTEVTQNDMIMAERLFGI LAHVASSELPQYRMISILGDARKKQFRYLLESKGKKPGIICEETSDSKRFLGENTNRATL NYTTREFYHEKLEEIKDKKKFCRLYVEDLVKEATAINMKNEALQKLWPQMFIELVRDAVI EIRSKDSYMKLCLQQITDQK >ENSMUSP00000057014.7 pep:known chromosome:GRCm38:9:60569172:60649801:-1 gene:ENSMUSG00000047766.15 transcript:ENSMUST00000053171.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc49 description:leucine rich repeat containing 49 [Source:MGI Symbol;Acc:MGI:2442689] MMQLRQLDMKRITEEERRVASVVAKKEEEKKRESHKQSLLKEKKRLTINNVARKWDLQQR VANIASTQDRKDSESPPQESCQLDGGNISAFPEEAGSLDAGLSSALQGLSVTETHLVEID GETLSLYGSGALECLDRNWSVQTAGMVTTVSFTFIEFDEIVQVLPKLKMKFPNSLHLKFR ETNLVMLQQFNALAQLRRVDQLTIDPQGNPVVNFTLWKYYVLFRLSHFSMQKINGTEVTQ NDMIMAERLFGILAHVASSELPQYRMISILGDARKKQFRYLLESKGKKPGIICEETSDSK RFLGENTNRATLNYTTREFYHEKLEEIKDKKKFCRLYVEDLVKEATAINMKNEALQKLWP QMFIELVRDAVIEIRSKDSYMKLCLQQITDQK >ENSMUSP00000121491.1 pep:known chromosome:GRCm38:9:60680510:60687672:-1 gene:ENSMUSG00000047766.15 transcript:ENSMUST00000132366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc49 description:leucine rich repeat containing 49 [Source:MGI Symbol;Acc:MGI:2442689] MSGLQSLRYKVNCGLHLVIQTSSLSDKNKVEFRLNRETPPFPGRLLQHDLERNYSSRQGD GRVISPSPRNKSSCISSTTNVTDLCGLDMRAATTSLSAFGDSSIQTPSKPRIRQGCHSAG PNV >ENSMUSP00000128842.1 pep:known chromosome:GRCm38:9:60587239:60687534:-1 gene:ENSMUSG00000047766.15 transcript:ENSMUST00000166168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc49 description:leucine rich repeat containing 49 [Source:MGI Symbol;Acc:MGI:2442689] MSGLQSLRYKVNCGLHLVIQTSSLSDKNKVEFRLNRETPPFPGRLLQHDLERNYSSRQGD GRVISPSPRNKSSCISSTTNVTDLCGLDMRAATTSLSAFGDSSIQTPSKPRIRQGCHSAG PNVSGDHISLVSSSMPAFPILQRSSEEKTLYSDRLTLERQKLTVCPIIDGEEHLRLLNFQ HNFITRIQNISNLQRLIFLDLYDNQIEEISGLSTLKSLRVLLLGKNRIKKISNLENLKNL DVLDLHGNQITKIENVNHLCDLRVLNLARNLLSHVDNLNGLDSLTELNLRHNQITFVRDV DNLPCLQRLFLSFNNITSFESVSCLAESTSLSDITFDGNPIAQESWYKHTVLQNMMQLRQ LDMKRITEEERRVASVVAKKEEEKKRESHKQSLLKEKKRLTINNVARKWDLQQRVANIAS TQDRKDSESPPQESCQLDGGNISAFPEEAGSLDAGLSSALQGLSVTETHLVEIDGETLSL YGSGALECLDRNWSVQTAGMVTTVSFTFIEFDEIVQVLPKLKMKFPNSLHLKFRETNLVM LQQFNALAQLRRVDQLTIDPQGNPVVNFTLWKYYVLFRLSHFSMQKINGTEVTQNDMIMA ERLFGILAHVASSELPQYRMISILGDARKKQFRYLLESKGKKPGIICEETSDSKRFLGEN TNRATLNYTTREFYHEKLEEIKDKKKFCRLYVEDLVKEATAINMKNEALQKLWPQMFIEL VRDAVIEIRSKDSYMKLCLQQITDQK >ENSMUSP00000094513.4 pep:known chromosome:GRCm38:19:8809075:8819333:-1 gene:ENSMUSG00000071660.10 transcript:ENSMUST00000096751.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc9c description:tetratricopeptide repeat domain 9C [Source:MGI Symbol;Acc:MGI:1917637] MEKRLQEAQVYKEEGNQRYREGKYRDAVSRYHRALLQLRGLDPSLPSPLSSLGPQGPALT PEQENILHTIQTHCYNNLAACLLQMEPVNYERVREYSQKVLERQPDNAKALYRAGVAFFH LQDYDRARHHLLAAVNRQPKDANVRRYLQLTQSELSSYHRKEKQLYLGMFG >ENSMUSP00000120656.1 pep:known chromosome:GRCm38:19:8811136:8818924:-1 gene:ENSMUSG00000071660.10 transcript:ENSMUST00000153281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc9c description:tetratricopeptide repeat domain 9C [Source:MGI Symbol;Acc:MGI:1917637] MEKRLQEAQVYKEEGNQRYREGKYRDAVSRYHRALLQLRGLDPSLPSPLSSLGPQGPALT PEQENILHTIQTHCYNNLADANVRRYLQLTQSELSSYHRKEKQLYLGMFG >ENSMUSP00000085413.5 pep:known chromosome:GRCm38:19:8811296:8819294:-1 gene:ENSMUSG00000071660.10 transcript:ENSMUST00000088092.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc9c description:tetratricopeptide repeat domain 9C [Source:MGI Symbol;Acc:MGI:1917637] MEKRLQEAQVYKEEGNQRYREGKYRDAVSRYHRALLQLRGLDPSLPSPLSSLGPQGPALT PEQENILHTIQTHCYNNLAACLLQMEPVNYERVREYSQKVLERQPDNAKALYRAGVAFFH LQDYDRARHHLLAAVNRQPKDANVRRYLQLTQSELSSYHRKEKQLYLGMFG >ENSMUSP00000112392.2 pep:known chromosome:GRCm38:5:106964322:106984431:1 gene:ENSMUSG00000029283.17 transcript:ENSMUST00000117196.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc7 description:cell division cycle 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1309511] MEEPMAFSSLRGSDRCPADDSLKKYEQSVKLSGIKRDIEELCEAVPQLVNVFKIKDKIGE GTFSSVYLATAQLQEGHEEKIALKHLIPTSHPMRIAAELQCLTVAGGQDNVMGLKYCFRK NDHVVIAMPYLEHESFLDILNSLSFQEVREYMYNLFVALKRIHQFGIVHRDVKPSNFLYN RRLKKYALVDFGLAQGTRDTKIELLKFVQSEAQQEDCSRNKYHGVVGHKGLLSRPAPKTV DQQCTPKTSVKRSYTQVHIKQGKDGKLIKQSKTVDIISRKLATKKTAISTKAMNSVMRET ARSCPAVLTCDCYGSDRVCSVCLSRRQQVAPRAGTPGFRAPEVLTKCPDQTTAIDMWSAG VIFLSLLSGRYPFYKASDDLTALAQIMTIRGSRETIQAAKAFGKSVLCSKEVPAQDLRAL CERLRGLDSTTPRSASGPPGNASYDPAASKNTDHKASRVQAAQAQHSEDSLYKRDNDGYW SHPKDCTSNSEGWDSVPDEAYDLLDKLLDLNPASRITAEAALLHAFFKDMCS >ENSMUSP00000031221.5 pep:known chromosome:GRCm38:5:106964322:106984432:1 gene:ENSMUSG00000029283.17 transcript:ENSMUST00000031221.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc7 description:cell division cycle 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1309511] MEEPMAFSSLRGSDRCPADDSLKKYEQSVKLSGIKRDIEELCEAVPQLVNVFKIKDKIGE GTFSSVYLATAQLQEGHEEKIALKHLIPTSHPMRIAAELQCLTVAGGQDNVMGLKYCFRK NDHVVIAMPYLEHESFLDILNSLSFQEVREYMYNLFVALKRIHQFGIVHRDVKPSNFLYN RRLKKYALVDFGLAQGTRDTKIELLKFVQSEAQQEDCSRNKYHGVVGHKGLLSRPAPKTV DQQCTPKTSVKRSYTQVHIKQGKDGKERSVGLSVQRSVFGERNFNIHSSISHESPAEKLI KQSKTVDIISRKLATKKTAISTKAMNSVMRETARSCPAVLTCDCYGSDRVCSVCLSRRQQ VAPRAGTPGFRAPEVLTKCPDQTTAIDMWSAGVIFLSLLSGRYPFYKASDDLTALAQIMT IRGSRETIQAAKAFGKSVLCSKEVPAQDLRALCERLRGLDSTTPRSASGPPGNASYDPAA SKNTDHKASRVQAAQAQHSEDSLYKRDNDGYWSHPKDCTSNSEGWDSVPDEAYDLLDKLL DLNPASRITAEAALLHAFFKDMCS >ENSMUSP00000075792.6 pep:known chromosome:GRCm38:5:106964381:106984432:1 gene:ENSMUSG00000029283.17 transcript:ENSMUST00000076467.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc7 description:cell division cycle 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1309511] MEEPMAFSSLRGSDRCPADDSLKKYEQSVKLSGIKRDIEELCEAVPQLVNVFKIKDKIGE GTFSSVYLATAQLQEGHEEKIALKHLIPTSHPMRIAAELQCLTVAGGQDNVMGLKYCFRK NDHVVIAMPYLEHESFLDILNSLSFQEVREYMYNLFVALKRIHQFGIVHRDVKPSNFLYN RRLKKYALVDFGLAQGTRDTKIELLKFVQSEAQQEDCSRNKYHGVVGHKGLLSRPAPKTV DQQCTPKTSVKRSYTQVHIKQGKDGKLIKQSKTVDIISRKLATKKTAISTKAMNSVMRET ARSCPAVLTCDCYGSDRVCSVCLSRRQQVAPRAGTPGFRAPEVLTKCPDQTTGVIFLSLL SGRYPFYKASDDLTALAQIMTIRGSRETIQAAKAFGKSVLCSKEVPAQDLRALCERLRGL DSTTPRSASGPPGNASYDPAASKNTDHKASRVQAAQAQHSEDSLYKRDNDGYWSHPKDCT SNSEGWDSVPDEAYDLLDKLLDLNPASRITAEAALLHAFFKDMCS >ENSMUSP00000113385.1 pep:known chromosome:GRCm38:5:106964561:106984431:1 gene:ENSMUSG00000029283.17 transcript:ENSMUST00000118261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc7 description:cell division cycle 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1309511] MEEPMAFSSLRGSDRCPADDSLKKYEQSVKLSGIKRDIEELCEAVPQLVNVFKIKDKIGE GTFSSVYLATAQLQEGHEEKIALKHLIPTSHPMRIAAELQCLTVAGGQDNVMGLKYCFRK NDHVVIAMPYLEHESFLDILNSLSFQEVREYMYNLFVALKRIHQFGIVHRDVKPSNFLYN RRLKKYALVDFGLAQGTRDTKIELLKFVQSEAQQEDCSRNKYHGVVGHKGLLSRPAPKTV DQQCTPKTSVKRSYTQVHIKQGKDGKERSVGLSVQRSVFGERNFNIHSSISHESPAEKLI KQSKTVDIISRKLATKKTAISTKAMNSVMRETARSCPAVLTCDCYGSDRVCSVCLSRRQQ VAPRAGTPGFRAPEVLTKCPDQTTAIDMWSAGVIFLSLLSGRYPFYKASDDLTALAQIMT IRGSRETIQAAKAFGKSVLCSKEVPAQDLRALCERLRGLDSTTPRSASGPPGNASYDPAA SKNTDHKASRVQAAQAQHSEDSLYKRDNDGYWSHPKDCTSNSEGWDSVPDEAYDLLDKLL DLNPASRITAEAALLHAFFKDMCS >ENSMUSP00000119612.1 pep:known chromosome:GRCm38:5:106965018:106983355:1 gene:ENSMUSG00000029283.17 transcript:ENSMUST00000129938.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdc7 description:cell division cycle 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1309511] MEEPMAFSSLRGSDRCPADDSLKKYEQSVKLSGIKRDIEELCEAVPQLVNVFKIKDKIGE GTFSSVYLATAQLQEGHEEKIALKHLIPTSHPMRIAAELQCLTVAGGQDNVMGLKYCFRK NDHVVIAMPYLEHESFLDILNSLSFQEVREYMYNLFVALKRIHQFGIVHRDVKPSNFLYN RRLKKYALVDFGLAQGTRDTKIELLKFVQSEAQQEDCSRNKYHGVVGHKGLLSRPAPKTV DQQCTPKTSVKRSYTQVHIKQGKDGKERSVGLSVQRSVFGERNFNIHSSISHESPAEKEH FRTRLDFTM >ENSMUSP00000067774.5 pep:known chromosome:GRCm38:15:90487483:90679432:-1 gene:ENSMUSG00000052560.15 transcript:ENSMUST00000064391.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne8 description:copine VIII [Source:MGI Symbol;Acc:MGI:1914121] MWARDKCSVPQLEMDSRYTSATGIGDLNQLSAAIPATRVEVSVSCRNLLDRDTFSKSDPI CVLYTQAVGNKEWREFGRTEVIDNTLNPDFVRKFILDYFFEERENLRFDLYDVDSKSPNL SKHDFLGQVFCTLGEIVGSQGSRLEKPIVGIPGRKCGTIILTAEELNCCRDAVLMQFCAN KLDKKDFFGKSDPFLVFYRSNEDGSFTICHKTEVVKNTLNPVWQAFKISVRALCNGDYDR TIKVEVYDWDRDGSHDFIGEFTTSYRELARGQSQFNVYEVVNPKKKGKKKKYTNSGTVTL LSFLVETEVSFLDYIKGGTQINFTVAIDFTASNGNPAQPTSLHYMNPYQLNAYGMALKAV GEIVQDYDSDKMFPALGFGAKLPPDGRISHEFALNGNPQNPYCDGIEGVMEAYYRSLKSV QLYGPTNFAPVINHVARYASSVKDGSQYFVLLIVTDGVISDMAQTKESIVNASKLPMSII IVGVGPAEFDAMVELDGDDVRVSSRGKYAERDIVQFVPFRDYIDRSGNHILSMARLAKDV LAEIPEQFLSYMRARGIKPSPAPPPYTPPTHVLQTQI >ENSMUSP00000014777.8 pep:known chromosome:GRCm38:15:90643326:90679388:-1 gene:ENSMUSG00000052560.15 transcript:ENSMUST00000014777.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne8 description:copine VIII [Source:MGI Symbol;Acc:MGI:1914121] MWARDKCSVPQLEMDSRYTSATGIGDLNQLSAAIPATRVEVSVSCRNLLDRDTFSKSDPI CVLYTQAVGNKEWREEAAPLEEPLHRWENSTQYYLVRALHSALL >ENSMUSP00000086024.4 pep:known chromosome:GRCm38:15:90487482:90679371:-1 gene:ENSMUSG00000052560.15 transcript:ENSMUST00000088649.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpne8 description:copine VIII [Source:MGI Symbol;Acc:MGI:1914121] MWARDKCSVPQLEMDSRYTSATGIGDLNQLSAAIPATRVEVSVSCRNLLDRDTFSKSDPI CVLYTQAVGNKEWREFGRTEVIDNTLNPDFVRKFILDYFFEERENLRFDLYDVDSKSPNL SKHRNSRQEMWDNHTDGRGAKLLQGCCLDAVLCKQIG >ENSMUSP00000089619.1 pep:known chromosome:GRCm38:10:129093034:129093972:-1 gene:ENSMUSG00000050198.3 transcript:ENSMUST00000063031.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr768 description:olfactory receptor 768 [Source:MGI Symbol;Acc:MGI:3030602] MKNYTAITTFILVGLTNDANLQILLFIFLLLTYLLSVIGNLTIITLTLVDSHLKTPMYFF LRNFSLLEVSFTTVCIPRFLYTMASGDNTITYNACATQLFFVIVLGVTEFFLLTAMSYDR YVAICKPLHYTTIMSNRVCIQFLIGCYLMALIIVIPPYSISFKLEFCNSNVIDHFGCDAA PILKISCSNTEFIERFVLILAVLTLMFTLLCVVMSYTYIIRTILRFPSAQQRKKAFSTCS SHIIVVSITYGSCIFIYIKPSAKEGVAVNKVVSVLTTSVAPVMNPFIYTLRNKQVVQAFK DMIKRIASIIKS >ENSMUSP00000137336.1 pep:known chromosome:GRCm38:17:23673596:23675227:1 gene:ENSMUSG00000023904.10 transcript:ENSMUST00000180140.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcfc1r1 description:host cell factor C1 regulator 1 (XPO1-dependent) [Source:MGI Symbol;Acc:MGI:2663619] MILQQPLERGPPSRDPRATTGVTRGLNASLSPREPLHKQFLSEENMATHFSRLSLHNDHP YCSPPVTFPEALPPLRSPCPELLLWRYPGSLIPEALRLLRLGDTPSPYYPASPAGDIVEL >ENSMUSP00000024697.4 pep:known chromosome:GRCm38:17:23673608:23675227:1 gene:ENSMUSG00000023904.10 transcript:ENSMUST00000024697.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcfc1r1 description:host cell factor C1 regulator 1 (XPO1-dependent) [Source:MGI Symbol;Acc:MGI:2663619] MILQQPLERGPPSRDPRATTGVTRGLNASLSPREPLHKQFLSEENMATHFSRLSLHNDHP YCSPPVTFPEALPPLRSPCPELLLWRYPGSLIPEALRLLRLGDTPSPYYPASPAGDIVEL >ENSMUSP00000137205.1 pep:known chromosome:GRCm38:17:23673627:23675174:1 gene:ENSMUSG00000023904.10 transcript:ENSMUST00000179928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcfc1r1 description:host cell factor C1 regulator 1 (XPO1-dependent) [Source:MGI Symbol;Acc:MGI:2663619] MILQQPLERGPPSRDPRATTGVTRGLNAREPLHKQFLSEENMATHFSRLSLHNDHPYCSP PVTFPEALPPLRSPCPELLLWRYPGSLIPEALRLLRLGDTPSPYYPASPAGDIVEL >ENSMUSP00000001675.7 pep:known chromosome:GRCm38:6:146724995:146778812:1 gene:ENSMUSG00000001630.13 transcript:ENSMUST00000001675.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk38l description:serine/threonine kinase 38 like [Source:MGI Symbol;Acc:MGI:1922250] MAMTAGATTTFPMSNHTRERVTVAKLTLENFYSNLILQHEERETRQKKLEVAMEEEGLAD EEKKLRRSQHARKETEFLRLKRTRLGLDDFESLKVIGRGAFGEVRLVQKKDTGHIYAMKI LRKADMLEKEQVAHIRAERDILVEADGAWVVKMFYSFQDKRNLYLIMEFLPGGDMMTLLM KKDTLTEEETQFYISETVLAIDAIHQLGFIHRDVKPDNLLLDAKGHVKLSDFGLCTGLKK AHRTEFYRNLTHNPPSDFSFQNMNSKRKAETWKKNRRQLAYSTVGTPDYIAPEVFMQTGY NKLCDWWSLGVIMYEMLIGFPPFCSETPQETYRKVMSWKETLAFPPEVPVSEKAKDLILR FCTDSENRIGNGGVEEIKGHPFFEGVDWGHIRERPAAIPIEIRSIDDTSNFDDFPESDIL QPVPNTTEPDYKSKDWVFLNYTYKRFEGLTQRGSIPTYMKAGKL >ENSMUSP00000107271.1 pep:known chromosome:GRCm38:6:146725036:146776556:1 gene:ENSMUSG00000001630.13 transcript:ENSMUST00000111644.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk38l description:serine/threonine kinase 38 like [Source:MGI Symbol;Acc:MGI:1922250] MAMTAGATTTFPMSNHTRERVTVAKLTLENFYSNLILQHEERETRQKKLEVAMEEEGLAD EEKKLRRSQHARKETEFLRLKRTRLGLDDFESLKVIGRGAFGEVRLVQKKDTGHIYAMKI LRKADMLEKEQVAHIRAERDILVEADGAWVVKMFYSFQDKRNLYLIMEFLPGGDMMTLLM KKDTLTEEETQFYISETVLAIDAIHQLGFIHRDVKPDNLLLDAKGHVKLSDFGLCTGLKK AHRTEFYRNLTHNPPSDFSFQNMNSKRKAETWKKNRRQLAYSTVGTPDYIAPEVFMQTGY NKLCDWWSLGVIMYEMLIGFPPFCSETPQETYRKVMSWKETLAFPPEVPVSEKAKDLILR FCTDSENRIGNGGVEEIKGHPFFEGVDWGHIRERPAAIPIEIRSIDDTSNFDDFPESDIL QPVAFFLFLVPNTTEPDYKSKDWVFLNYTYKRFEGLTQRGSIPTYMKAGKL >ENSMUSP00000145209.1 pep:known chromosome:GRCm38:6:146725312:146758562:1 gene:ENSMUSG00000001630.13 transcript:ENSMUST00000203318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk38l description:serine/threonine kinase 38 like [Source:MGI Symbol;Acc:MGI:1922250] MAMTAGATTTFPMSNHTRERVTVAKLTLEN >ENSMUSP00000142706.1 pep:known chromosome:GRCm38:3:55045469:55050638:-1 gene:ENSMUSG00000027793.6 transcript:ENSMUST00000198102.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccna1 description:cyclin A1 [Source:MGI Symbol;Acc:MGI:108042] AQSEEATDFGSDVINVTEYAEEIHRYLREAEVRHRPKAHYMRKQPDITEGMRAILVDWLV EVGEEYKLRTETLYLAVNFLDRFLSCMSVLRGKLQLVGTAAILLASKYEEIYPPDVDEFV YITDDTYTKRQLLRMEHLLLKVLAFDLTVPTTNQFLLQYLRRQGVCIRTENLAKYVAELS LLEADPFLKYLPSLVAAAAYCLANYIVNRHFWVPARVPHGAACSSSPAVNGKAHWPHQQA CSEVSASGAN >ENSMUSP00000029368.2 pep:known chromosome:GRCm38:3:55045475:55055055:-1 gene:ENSMUSG00000027793.6 transcript:ENSMUST00000029368.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccna1 description:cyclin A1 [Source:MGI Symbol;Acc:MGI:108042] MHRQSSKSGVALPPVGQGPDACQMLSRAQLGQDPPQRTVLGVLTENEQYRRTCGQEITAI RCFSGSENVFPAAGKKVLSDHGVNEPAKRGFDIYMDDPEQGDRDTCSGKEGIIFEDVYEV DTSMLKSDLHFLLDFNTVSPMLVDPTTHAQSEEATDFGSDVINVTEYAEEIHRYLREAEV RHRPKAHYMRKQPDITEGMRAILVDWLVEVGEEYKLRTETLYLAVNFLDRFLSCMSVLRG KLQLVGTAAILLASKYEEIYPPDVDEFVYITDDTYTKRQLLRMEHLLLKVLAFDLTVPTT NQFLLQYLRRQGVCIRTENLAKYVAELSLLEADPFLKYLPSLVAAAAYCLANYIVNRHFW PETLAAFTGYSLNEIVPCLSELHKACLSIPHRPQQAIREKYKASKYLHVSLMEPPVVLPL Q >ENSMUSP00000143447.1 pep:known chromosome:GRCm38:3:55045477:55055042:-1 gene:ENSMUSG00000027793.6 transcript:ENSMUST00000198320.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccna1 description:cyclin A1 [Source:MGI Symbol;Acc:MGI:108042] MHRQSSKSGVALPPVGQGPDACQMLSRAQLGQDPPQRTVLGVLTENEQYRRTCGQEITAI RCFSGSENVFPAAGKKVLSDHGVNEPAKRGFDIYMDDPEQGDRDTCSGKEGIIFEDVYEV DTSMLKSDLHFLLDFNTVSPMLVDPTTHAQSEEATDFGSDVINVTEYAEEIHRYLREAEV RHRPKAHYMRKQPDITEGMRAILVDWLVEVGEEYKLRTETLYLAVNFLDRFLSCMSVLRG KLQLVGTAAILLASKYEEIYPPDVDEFVYITDDTYTKRQLLRMEHLLLKVLAFDLTVPTT NQFLLQYLRRQGVCIRTENLAKYVAELSLLEADPFLKYLPSLVAAAAYCLANYIVNRHFW PETLAAFTGYSLNEIVPCLSELHKACLSIPHRPQQAIREKYKASKYLHVSLMEPPVVLPL Q >ENSMUSP00000142692.1 pep:known chromosome:GRCm38:3:55045478:55055501:-1 gene:ENSMUSG00000027793.6 transcript:ENSMUST00000197238.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccna1 description:cyclin A1 [Source:MGI Symbol;Acc:MGI:108042] MHRQSSKSGVALPPVGQGPDACQMLSRAQLGQDPPQRTVLGVLTENEQYRRTCGQEITAI RCFSGSENVFPAAGKKVLSDHGVNEPAKRGFDIYMDDPEQGDRDTCSGKEGIIFEDVYEV DTSMLKSDLHFLLDFNTVSPMLVDPTTHAQSEEATDFGSDVINVTEYAEEIHRYLREAEV RHRPKAHYMRKQPDITEGMRAILVDWLVEVGEEYKLRTETLYLAVNFLDRFLSCMSVLRG KLQLVGTAAILLASKYEEIYPPDVDEFVYITDDTYTKRQLLRMEHLLLKVLAFDLTVPTT NQFLLQYLRRQGVCIRTENLAKYVAELSLLEADPFLKYLPSLVAAAAYCLANYIVNRHFW PETLAAFTGYSLNEIVPCLSELHKACLSIPHRPQQAIREKYKASKYLHVSLMEPPVVLPL Q >ENSMUSP00000143275.1 pep:known chromosome:GRCm38:3:55050586:55055482:-1 gene:ENSMUSG00000027793.6 transcript:ENSMUST00000199144.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccna1 description:cyclin A1 [Source:MGI Symbol;Acc:MGI:108042] MHRQSSKSGVALPPVGQGPDACQMLSRAQLGQDPPQRTVLGVLTENEQYRRTCGQEITAI RCFSGSENVFPAAGKKVLSDHGVNEPAKRGFDIYMDDPEQGDRDTCSGKEGIIFEDVYEV DTSMLKSDLHFLLDFNTVSPMLVDPTTHAQSEEATDFGSDVINVT >ENSMUSP00000143555.1 pep:known chromosome:GRCm38:3:55050980:55055037:-1 gene:ENSMUSG00000027793.6 transcript:ENSMUST00000199352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccna1 description:cyclin A1 [Source:MGI Symbol;Acc:MGI:108042] MHRQSSKSGVALPPVGQGPDACQMLSRAQLGQDPPQRTVLGVLTENEQYRRTCGQEITAI RCFSGSENVFPAAGKKVLSDHGVNEPAKRGFDI >ENSMUSP00000030025.3 pep:known chromosome:GRCm38:4:48045153:48086445:1 gene:ENSMUSG00000028341.9 transcript:ENSMUST00000030025.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr4a3 description:nuclear receptor subfamily 4, group A, member 3 [Source:MGI Symbol;Acc:MGI:1352457] MPCVQAQYSPSPPGSTYATQTYGSEYTTEIMNPDYTKLTMDLGSTGIMATATTSLPSFST FMEGYPSSCELKPSCLYQMPPSGPRPLIKMEEGREHGYHHHHHHHHHHHHHQQQQPSIPP PSGPEDEVLPSTSMYFKQSPPSTPTTPGFPPQAGALWDDELPSAPGCIAPGPLLDPQMKA VPPMAAAARFPIFFKPSPPHPPAPSPAGGHHLGYDPTAAAALSLPLGAAAAAGSQAAALE GHPYGLPLAKRTATLTFPPLGLTASPTASSLLGESPSLPSPPNRSSSSGEGTCAVCGDNA ACQHYGVRTCEGCKGFFKRTVQKNAKYVCLANKNCPVDKRRRNRCQYCRFQKCLSVGMVK EVVRTDSLKGRRGRLPSKPKSPLQQEPSQPSPPSPPICMMNALVRALTDATPRDLDYSRY CPTDQATAGTDAEHVQQFYNLLTASIDVSRSWAEKIPGFTDLPKEDQTLLIESAFLELFV LRLSIRSNTAEDKFVFCNGLVLHRLQCLRGFGEWLDSIKDFSLNLQSLNLDIQALACLSA LSMITERHGLKEPKRVEELCTKITSSLKDHQRKGQALEPSEPKVLRALVELRKICTQGLQ RIFYLKLEDLVPPPSVIDKLFLDTLPF >ENSMUSP00000121455.1 pep:known chromosome:GRCm38:4:48049114:48086447:1 gene:ENSMUSG00000028341.9 transcript:ENSMUST00000153369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr4a3 description:nuclear receptor subfamily 4, group A, member 3 [Source:MGI Symbol;Acc:MGI:1352457] XRYPPGPQHLFSRQDLIPYTHDSISFGNVDMPCVQAQYSPSPPGSTYATQTYGSEYTTEI MNPDYTKLTMDLGSTGIMATATTSLPSFSTFMEGYPSSCELKPSCLYQMPPSGPRPLIKM EEGREHGYHHHHHHHHHHHHHQQQQPSIPPPSGPEDEVLPSTSMYFKQSPPSTPTTPGFP PQAGALWDDELPSAPGCIAPGPLLDPQMKAVPPMAAAARFPIFFKPSPPHPPAPSPAGGH HLGYDPTAAAALSLPLGAAAAAGSQAAALEGHPYGLPLAKRTATLTFPPLGLTASPTASS LLGESPSLPSPPNRSSSSGEGTCAVCGDNAACQHYGVRTCEGCKGFFKRTVQKNAKYVCL ANKNCPVDKRRRNRCQYCRFQKCLSVGMVKEVVRTDSLKGRRGRLPSKPKSPLQQEPSQP SPPSPPICMMNALVRALTDATPRDLDYSRYCPTDQATAGTDAEHVQQFYNLLTASIDVSR SWAEKIPGFTDLPKEDQTLLIESAFLELFVLRLSIRSNTAEDKFVFCNGLVLHRLQCLRG FGEWLDSIKDFSLNLQSLNLDIQALACLSALSMITERHGLKEPKRVEELCTKITSSLKDH QRKGQALEPSEPKVLRALVELRKICTQGLQRIFYLKLEDLVPPPSVIDKLFLDTLPF >ENSMUSP00000135029.1 pep:known chromosome:GRCm38:8:106883863:106893593:-1 gene:ENSMUSG00000046691.13 transcript:ENSMUST00000177068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtf8 description:CTF8, chromosome transmission fidelity factor 8 [Source:MGI Symbol;Acc:MGI:2443370] MVQIVISSTGAEGLAEWVLMELQGEIEARYSTGLAGNLLGDLHYTTEGIPVLIVGHHILY GKTIHLEKPFAVLVKHTPGKQDCDEPGRGTGTQYLVTALIKNKILFKTRPKPIITNVPKK V >ENSMUSP00000129823.1 pep:known chromosome:GRCm38:8:106883890:106893593:-1 gene:ENSMUSG00000046691.13 transcript:ENSMUST00000169312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtf8 description:CTF8, chromosome transmission fidelity factor 8 [Source:MGI Symbol;Acc:MGI:2443370] MKEPRIFPRERPTPWTRAPLPPRGRLDGGPVMNAGHPMGVNSDPFLMAAGSLGGNLAPFP RNAAPFQNPSGSLASNPAHFAAGARDPGMTSFPRGMNPTGTGAVSFPRPGGLLGPGPGPG LNPRTGALPGPGPMSNPRLGGLPGPGPMANPRAGGLLGASPDPRSGGPMVPGCGPNMRAG VLSSGTGPPNPRPVGLGPGPSPNLRSSFLGTNPAPRSGMFPGPGLGPNPRACGLGPGLGP NPRAGGLGPGPNLDNRAGGLLGTGSGLNLRMAGPQGLDLAPILRAAGLLGTNSVSFSQAS GNMGTNPPTMTRVPGPIGPNTGPSSRGLGLPGPNPSPMSRAPGPMGPNSAHFSRPGGPMG VNAGVFPRGTGSGGLNPNAFSQSSGTLASNPGTFQRSAGLQGSNQAVFPRASGPLGPNPA NFPRATGLQGPSPAAFPRSAGPLGPGQVAFPRSAAGHLGSSPAGPVGINPAPFARPTGTL GLNPASFPRMNGPVGKTLVPFPRVGSLPGSNPAAFPRPGGPMAAMYPNGMLPP >ENSMUSP00000135077.1 pep:known chromosome:GRCm38:8:106883894:106893215:-1 gene:ENSMUSG00000046691.13 transcript:ENSMUST00000175940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtf8 description:CTF8, chromosome transmission fidelity factor 8 [Source:MGI Symbol;Acc:MGI:2443370] MVQIVISSTGAEGLAEWVLMELQGEIEARYSTGLAGNLLGDLHYTTEGIPVLIVGHHILY GKTIHLEKPFAVLVKHTPGKQDCDEPGRGTGTQYLVTALIKNKILFKTRPKPIITNVPKK V >ENSMUSP00000134860.1 pep:known chromosome:GRCm38:8:106885946:106893591:-1 gene:ENSMUSG00000046691.13 transcript:ENSMUST00000176437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtf8 description:CTF8, chromosome transmission fidelity factor 8 [Source:MGI Symbol;Acc:MGI:2443370] MKEPRIFPRERPTPWTRAPLPPRGRLDGGPVMNAGHPMGVNSDPFLMAAGSLGGNLAPFP RNAAPFQNPSGSLASNPAHFAAGARDPGMTSFPRGMNPTGTGAVSFPRPGGLLGPGPGPG >ENSMUSP00000135221.1 pep:known chromosome:GRCm38:8:106886045:106893515:-1 gene:ENSMUSG00000046691.13 transcript:ENSMUST00000176090.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtf8 description:CTF8, chromosome transmission fidelity factor 8 [Source:MGI Symbol;Acc:MGI:2443370] MELQGEIEARYSTGLAGNLLGDLHYTTEGIPVLIVGHHILYGKTIHLEKPFAVLVKHTPG KQDCDEPGRGTGTQYLVTALIKNKILFKTRPKPIITNVPKKV >ENSMUSP00000135688.1 pep:known chromosome:GRCm38:8:106886122:106893601:-1 gene:ENSMUSG00000046691.13 transcript:ENSMUST00000176515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtf8 description:CTF8, chromosome transmission fidelity factor 8 [Source:MGI Symbol;Acc:MGI:2443370] MELQGEIEARYSTGLAGNLLGDLHYTTEGIPVLIVGHHILYGKTIHLEKPFAVLVKHTPG KQDCDEPGRGTGTQYLVTALIKNKILFKTRPKPIITNVPKKV >ENSMUSP00000126694.2 pep:known chromosome:GRCm38:17:57279100:57327950:1 gene:ENSMUSG00000034116.17 transcript:ENSMUST00000169220.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vav1 description:vav 1 oncogene [Source:MGI Symbol;Acc:MGI:98923] MELWRQCTHWLIQCRVLPPSHRVTWEGAQAINLREVNLRPQMSQFLCLKNIRTFLSTCCE KFGLKRSELFEAFDLFDVQDFGKVIYTLSALSWTPIAQNKGIMPFPTEDSALNDEDIYSG LSDQIDDTAEEDEDLYDCVENEEAEGDEIYEDLMRLESVPTPPKMTEYDKRCCCLREIQQ TEEKYTDTLGSIQQHFMKPLQRFLKPQDMETIFVNIEELFSVHTHFLKELKDALAGPGAT TLYQVFIKYKERFLVYGRYCSQVESASKHLDQVATAREDVQMKLEECSQRANNGRFTLRD LLMVPMQRVLKYHLLLQELVKHTQDATEKENLRLALDAMRDLAQCVNEVKRDNETLRQIT NFQLSIENLDQSLANYGRPKIDGELKITSVERRSKTDRYAFLLDKALLICKRRGDSYDLK ASVNLHSFQVRDDSSGERDNKKWSHMFLLIEDQGAQGYELFFKTRELKKKWMEQFEMAIS NIYPENATANGHDFQMFSFEETTSCKACQMLLRGTFYQGYRCYRCRAPAHKECLGRVPPC GRHGQDFAGTMKKDKLHRRAQDKKRNELGLPKMEVFQEYYGIPPPPGAFGPFLRLNPGDI VELTKAEAEHNWWEGRNTATNEVGWFPCNRVHPYVHGPPQDLSVHLWYAGPMERAGAEGI LTNRSDGTYLVRQRVKDTAEFAISIKYNVEVKHIKIMTSEGLYRITEKKAFRGLLELVEF YQQNSLKDCFKSLDTTLQFPYKEPERRAISKPPAGSTKYFGTAKARYDFCARDRSELSLK EGDIIKILNKKGQQGWWRGEIYGRIGWFPSNYVEEDYSEYC >ENSMUSP00000005889.9 pep:known chromosome:GRCm38:17:57279100:57328031:1 gene:ENSMUSG00000034116.17 transcript:ENSMUST00000005889.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vav1 description:vav 1 oncogene [Source:MGI Symbol;Acc:MGI:98923] MELWRQCTHWLIQCRVLPPSHRVTWEGAQVCELAQALRDGVLLCQLLNNLLPQAINLREV NLRPQMSQFLCLKNIRTFLSTCCEKFGLKRSELFEAFDLFDVQDFGKVIYTLSALSWTPI AQNKGIMPFPTEDSALNDEDIYSGLSDQIDDTAEEDEDLYDCVENEEAEGDEIYEDLMRL ESVPTPPKMTEYDKRCCCLREIQQTEEKYTDTLGSIQQHFMKPLQRFLKPQDMETIFVNI EELFSVHTHFLKELKDALAGPGATTLYQVFIKYKERFLVYGRYCSQVESASKHLDQVATA REDVQMKLEECSQRANNGRFTLRDLLMVPMQRVLKYHLLLQELVKHTQDATEKENLRLAL DAMRDLAQCVNEVKRDNETLRQITNFQLSIENLDQSLANYGRPKIDGELKITSVERRSKT DRYAFLLDKALLICKRRGDSYDLKASVNLHSFQVRDDSSGERDNKKWSHMFLLIEDQGAQ GYELFFKTRELKKKWMEQFEMAISNIYPENATANGHDFQMFSFEETTSCKACQMLLRGTF YQGYRCYRCRAPAHKECLGRVPPCGRHGQDFAGTMKKDKLHRRAQDKKRNELGLPKMEVF QEYYGIPPPPGAFGPFLRLNPGDIVELTKAEAEHNWWEGRNTATNEVGWFPCNRVHPYVH GPPQDLSVHLWYAGPMERAGAEGILTNRSDGTYLVRQRVKDTAEFAISIKYNVEVKHIKI MTSEGLYRITEKKAFRGLLELVEFYQQNSLKDCFKSLDTTLQFPYKEPERRAISKPPAGS TKYFGTAKARYDFCARDRSELSLKEGDIIKILNKKGQQGWWRGEIYGRIGWFPSNYVEED YSEYC >ENSMUSP00000108491.4 pep:known chromosome:GRCm38:17:57279127:57327955:1 gene:ENSMUSG00000034116.17 transcript:ENSMUST00000112870.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vav1 description:vav 1 oncogene [Source:MGI Symbol;Acc:MGI:98923] MELWRQCTHWLIQCRVLPPSHRVTWEGAQVCELAQALRDGVLLCQLLNNLLPQAINLREV NLRPQMSQFLCLKNIRTFLSTCCEKFGLKRSELFEAFDLFDVQDFGKVIYTLSALSWTPI AQNKGIMPFPTEDSALNDEDIYSGLSDQIDDTAEEDEDLYDCVENEEAEGDEIYEDLMRL ESVPTPPKMTEYDKRCCCLREIQQTEEKYTDTLGSIQQHFMKPLQRFLKPQDMETIFVNI EELFSVHTHFLKELKDALAGPGATTLYQVFIKYKERFLVYGRYCSQVESASKHLDQVATA REDVQMKLEECSQRANNGRFTLRDLLMVPMQRVLKYHLLLQELVKHTQDATEKENLRLAL DAMRDLAQCVNEVKRDNETLRQITNFQLSIENLDQSLANYGRPKIDGELKITSVERRSKT DRYAFLLDKALLICKRRGDSYDLKASVNLHSFQVRDDSSGERDNKKWSHMFLLIEDQGAQ GYELFFKTRELKKKWMEQFEMAISNIYPENATANGHDFQMFSFEETTSCKACQMLLRGTF YQGYRCYRCRAPAHKECLGRVPPCGRHGQDFAGTMKKDKLHRRAQDKKRNELGLPKMEVF QEYYGIPPPPGAFGPFLRLNPGDIVELTKAEAEHNWWEGRNTATNEVGWFPCNRVHPYVH GPPQDLSVHLWYNVEVKHIKIMTSEGLYRITEKKAFRGLLELVEFYQQNSLKDCFKSLDT TLQFPYKEPERRAISKPPAGSTKYFGTAKARYDFCARDRSELSLKEGDIIKILNKKGQQG WWRGEIYGRIGWFPSNYVEEDYSEYC >ENSMUSP00000108715.2 pep:known chromosome:GRCm38:6:113415319:113419340:-1 gene:ENSMUSG00000051169.14 transcript:ENSMUST00000113092.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpusd3 description:RNA pseudouridylate synthase domain containing 3 [Source:MGI Symbol;Acc:MGI:2141440] MGALRVLRYVSMIWRPELGSCARQRDAGFGTEARRPSQPHRSSKHKDLVEDQPFPGLLRT ENLGLEELAHVLRAAVVDQKGPLVTLNKPQGLPVTGRPGELTLLSVLPQLSQALGLEHQE LQVVRAPGKEASGLVLLSSCPQTASRLQKFFIHSRRAQRPTATYCAVTDGIPEPSEGTVC MPLKMEQMNDVDLAVPVMSPSRKDIQEGVKRTLSRFHVMATGRGCALVQLQPLTVFPNQL QVHMALQLCPILGDHTYAARVGTVLGQRFLWPAETTKPQRQVLDEALLRHLRLSPSQVAQ MPLHLHLHRLLLPGTGSRDPPSELLAPLPPYFSRTLQCLRLSQQ >ENSMUSP00000059057.7 pep:known chromosome:GRCm38:6:113415329:113419319:-1 gene:ENSMUSG00000051169.14 transcript:ENSMUST00000060634.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpusd3 description:RNA pseudouridylate synthase domain containing 3 [Source:MGI Symbol;Acc:MGI:2141440] MGALRVLRYVSMIWRPELGSCARQRDAGFGTEARRPSQPHRSSKHKDLVEDQPFPGLLRT ENLGLEELAHVLRAAVVDQKGPLVTLNKPQGLPVTGRPGELTLLSVLPQLSQALGLEHQE LQVVRAPGKEASGLVLLSSCPQTASRLQKFFIHSRRAQRPTATYCAVTDGIPEPSEGTVC MPLKMEQMNDVDLAVPVMSPSRKDIQEGVKRTLSRFHVMATGRGCALVQLQPLTAAGTHG STALSNSWGPHLCCSCGHRSGPALSVASRDHQAPETGPG >ENSMUSP00000120380.1 pep:known chromosome:GRCm38:6:113416106:113419319:-1 gene:ENSMUSG00000051169.14 transcript:ENSMUST00000129047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpusd3 description:RNA pseudouridylate synthase domain containing 3 [Source:MGI Symbol;Acc:MGI:2141440] MGALRVLRYVSMIWRPELGSCARQRDAGFGTEARRPSQPHRSSKHKDLVEDQPFPGLLRT ENLGLEELAHVLRAAVVDQKGPLVTLNKPQGLPVTGRPGELTLLSVLPQLSQALGLEHQE LQVVRAPGKEASGLVLLSSCPQTASRLQKFFIHSRRAQRPTATYCAVTDGIPEPSEGTVC MPLKMEQMNDVDLAVPVMSPSRKDIQEGVKRTLSRFHVMATGRGCALVQLQPLTVFPNQL QVHMALQLCPILGDHTYAARVGTVLGQRFLWPAETTKPQRQVGPLSHMPALCGFGGNCVS QISCTFRLGGSWLGV >ENSMUSP00000120250.1 pep:known chromosome:GRCm38:6:113416310:113419310:-1 gene:ENSMUSG00000051169.14 transcript:ENSMUST00000147726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpusd3 description:RNA pseudouridylate synthase domain containing 3 [Source:MGI Symbol;Acc:MGI:2141440] XLRVLRYVSMIWRPELGSCARQRDAGFGTEARKHKDLVEDQPFPGLLRTENLGLEELAHV LRAAVVDQKGPLVTLNKPQGLPVTGRPGELTLLSVLPQLSQALGLEHQELQVVRAPGKEA SGLVLLSSCPQTASRLQKFFIHSRRAQRPTATYCAVTDGIPEPSEGTVCMPLKMEQMNDV DLAVPVMSPSRKDIQEGVKRTLSRFHVMATGRGCALVQLQPLTVFPNQLQVHMALQLCPI LGDHTYAARVGTVLGQRFLWPAETTKPQR >ENSMUSP00000115950.1 pep:known chromosome:GRCm38:6:113416894:113419314:-1 gene:ENSMUSG00000051169.14 transcript:ENSMUST00000151618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpusd3 description:RNA pseudouridylate synthase domain containing 3 [Source:MGI Symbol;Acc:MGI:2141440] XALRVLRYVSMIWRPELGSCARQRDAGFGTEARRPSQPHRSSKHKDLVEDQPFPGLLRTE NLGLEELAHVLRAAVVDQKGRPGELTLLSVLPQLSQALGLEHQELQVVRAPGKEASGLVL LSSCPQTASRLQKFFIHSRRAQRPTATYCAVTDGIPEPSEGTVCMPLKMEQMNDVDLAVP VMS >ENSMUSP00000114511.1 pep:known chromosome:GRCm38:6:113417223:113419331:-1 gene:ENSMUSG00000051169.14 transcript:ENSMUST00000129560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpusd3 description:RNA pseudouridylate synthase domain containing 3 [Source:MGI Symbol;Acc:MGI:2141440] MGALRVLRYVSMIWRPELGSCARQRDAGFGTEARRPSQPHRSSKHKDLVEDQPFPGLLRT ENLGLEELAHVLRAAVVDQKGRPPDLCSSPAVPRQQAACRSSLSTQGEHRDPQPPTV >ENSMUSP00000104131.2 pep:known chromosome:GRCm38:7:17150282:17164253:1 gene:ENSMUSG00000053228.12 transcript:ENSMUST00000108491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam3 description:carcinoembryonic antigen-related cell adhesion molecule 3 [Source:MGI Symbol;Acc:MGI:3646296] MDVSSVLPCKGCILLTASLLTCWLLPTTTQVDIESLPPQVVEGENVLLRVDNLPENLLGF IWYKGVTDMSLGIALYSLTYSRGVTGPVHSGRETLYRNGSLWIQNVTQEDTGFYTLRTIS KRGEIISNTSMHLHVYSSILTCGRPPTSAQLSIESVPPSVAKGESVLLLARNLPENLRAI FWYKGAIVFKNLEVARYVIAKNSSVLGPAHSGREIMYSNGSLVLQNVTRNDAGFYTLRTL STDLKAEVAHVQLQVDTCLSIYENPPTSAQLTVESAPTSVAEGESVLLLVHNLPENLRAV FWYKGAIVFKDLEVARYVIAKNSSVPGPAHSRRETVYSNGSLLIQNVTRNDAGFYTLRTL STDLKAEVAHVQLQVDTSFSTCCDPLASAPLTIDPVPQQAAKGENVLLQVHNLPEDLRMF SWFKSMYSTQIFKIAEYSRAFNSVIRGPAHSRREIVYTNGSLLLQDATEKDTGMYTLQTV DRNFKIETAHVKIQINACIHPSATGQLVIESVPPNVVEGRDVLLLVHNMPENLRSFSWYK GVAIVSKHEISRNIITSNRSVLGPAHSGRETVYSNGSLLLHNATQKDTGLYTLLTLNRRF ETQGIHVHIHIYKPVVQPFMRVTDTTVRVQSSVVLTCLSADTGISTQWLFNNQNLRLTER MMLSPSKCQLRIDPVRREDAGEYRCEVSNPVSSKTSLPVSLTVVIE >ENSMUSP00000031331.6 pep:known chromosome:GRCm38:5:93267257:93276231:1 gene:ENSMUSG00000029385.14 transcript:ENSMUST00000031331.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccng2 description:cyclin G2 [Source:MGI Symbol;Acc:MGI:1095734] MKDLGAKHLAGGEGVQLFGLLNFYLEQEQRYQPREKGLILMEATPENDNTLCSRLRNAKV EDLRSLTNFFGSGTETFVLAVNILDRFLALMKVKPKHLSCIGVCCFLLAARLAEEEGDVP PTHDVIRISQCKCTASDIKRMEKIISEKLHYELEATTALNFLHLYHAIVFCHTSERKEIL SLDKLEAQLKACNCRVVFSKARPSVLALCLLNLEIETIKSVELLEILLLVKKHLKLSDTE FFYWRELVSKCLAEYSSPRCCKPDLKKLVWIVSRRTAQNLHSSYYSVPELPTIPEGGCFD GSESEDSGEDMSCGEESLSSSPPSDQECTFFFDFQVAQTLCFPP >ENSMUSP00000113278.1 pep:known chromosome:GRCm38:5:93268314:93276224:1 gene:ENSMUSG00000029385.14 transcript:ENSMUST00000121127.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccng2 description:cyclin G2 [Source:MGI Symbol;Acc:MGI:1095734] MKDLGAKHLAGGEGVQLFGLLNFYLEQEQRYQPREKGLILMEATPENDNTLCSRLRNAKV EDLRSLTNFFGSGTETFVLAVNILDRFLALMKVKPKHLSCIGVCCFLLAARLAEEEGDVP PTHDVIRISQCKCTASDIKRMEKIISEKLHYELEATTALNFLHLYHAIVFCHTSERKEIL SLDKLEAQLKACNCRVVFSKARPSVLALCLLNLEIETIKSVELLEILLLVKKHLKLSDTE FFYWRELVSKCLAEYSSPRCCKPDLKKLVWIVSRRTAQNLHSSYYSVPELPTIPEGGCFD GSESEDSGEDMSCGEESLSSSPPSDQECTFFFDFQVAQTLCFPP >ENSMUSP00000100066.3 pep:known chromosome:GRCm38:X:8748432:8754587:1 gene:ENSMUSG00000035371.8 transcript:ENSMUST00000103001.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssx9 description:synovial sarcoma, X breakpoint 9 [Source:MGI Symbol;Acc:MGI:2446773] METLRSCEKIPMEVLYEPKNICKAFQDISTYYSDEEWGKLTQWQKSAYVYMKRNYIRMTD LGVTMNQPVFMRGKEQAKQSLFEGIEVHDSDVECFEGSFGVIPRKRMKLTLVTISIRNVE ERLASGEHDSNLAETGGIQVNVWSNRLRERKYRVIYSEISYPEEEEDDDY >ENSMUSP00000018061.5 pep:known chromosome:GRCm38:13:27057577:27065191:1 gene:ENSMUSG00000021342.13 transcript:ENSMUST00000018061.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl description:prolactin [Source:MGI Symbol;Acc:MGI:97762] MNSQGSAQKGTLLLLLISNLLFCQNVQPLPICSAGDCQTSLRELFDRVVILSHYIHTLYT DMFIEFDKQYVQDREFMVKVINDCPTSSLATPEDKEQALKVPPEVLLNLILSLVQSSSDP LFQLITGVGGIQEAPEYILSRAKEIEEQNKQLLEGVEKIISQAYPEAKGNGIYFVWSQLP SLQGVDEESKILSLRNTIRCLRRDSHKVDNFLKVLRCQIAHQNNC >ENSMUSP00000105998.3 pep:known chromosome:GRCm38:13:27057570:27065204:1 gene:ENSMUSG00000021342.13 transcript:ENSMUST00000110369.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl description:prolactin [Source:MGI Symbol;Acc:MGI:97762] MTMNSQGSAQKAGTLLLLLISNLLFCQNVQPLPICSAGDCQTSLRELFDRVVILSHYIHT LYTDMFIEFDKQYVQDREFMVKVINDCPTSSLATPEDKEQALKVPPEVLLNLILSLVQSS SDPLFQLITGVGGIQEAPEYILSRAKEIEEQNKQLLEGVEKIISQAYPEAKGNGIYFVWS QLPSLQGVDEESKILSLRNTIRCLRRDSHKVDNFLKVLRCQIAHQNNC >ENSMUSP00000030702.7 pep:known chromosome:GRCm38:4:132826929:132843169:-1 gene:ENSMUSG00000028882.13 transcript:ENSMUST00000030702.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r8 description:protein phosphatase 1, regulatory (inhibitor) subunit 8 [Source:MGI Symbol;Acc:MGI:2140494] MAAAVNSGSSLPLFDCPTWAGKPPPGLHLDVVKGDKLIEKLIIDEKKYYLFGRNPDLCDF TIDHQSCSRVHAALVYHKHLKRVFLIDLNSTHGTFLGHIRLEPHKPQQIPIDSTVSFGAS TRAYTLREKPQTLPSAVKGDEKMGGEDDELKGLLGLPEEETELDNLTEFNTAHNKRISTL TIEEGNLDIQRPKRKRKNSRVTFSEDDEIINPEDVDPSVGRFRNMVQTAVVPVKKKRMEG SGSLGLEESGSRRMQNFAFSGGLYGGLPPTHSETGSQPHGIHGTALIGGLPMPYPNLAPD VDLTPVVPSAVAINPTPNPAVYNPEAVNEPKKKKYAKEAWPGKKPTPSLLI >ENSMUSP00000101539.1 pep:known chromosome:GRCm38:4:132827827:132843123:-1 gene:ENSMUSG00000028882.13 transcript:ENSMUST00000105919.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r8 description:protein phosphatase 1, regulatory (inhibitor) subunit 8 [Source:MGI Symbol;Acc:MGI:2140494] MAAAVNSGSSLPLFDCPTWAGKPPPGLHLDVVKGDKLIEKLIIDEKKYYLFGRNPDLCDF TIDHQSCSRVHAALVYHKHLKRVFLIDLNSTHGTFLGHIRLEPHKPQQIPIDSTVSFGAS TRAYTLREKPQTLPSAVKGDEKMGGEDDELKGLLGLPEEETELDNLTEFNTAHNKRISTL TIEEGNLDIQRPKRKRKNSRVTFSEDDEIINPEDVDPSVGRFRNMVQTAVVPVKKRMEGS GSLGLEESGSRRMQNFAFSGGLYGGLPPTHSETGSQPHGIHGTALIGGLPMPYPNLAPDV DLTPVVPSAVAINPTPNPAVYNPEAVNEPKKKKYAKEAWPGKKPTPSLLI >ENSMUSP00000144827.1 pep:known chromosome:GRCm38:6:95473009:95718800:-1 gene:ENSMUSG00000061838.7 transcript:ENSMUST00000204224.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suclg2 description:succinate-Coenzyme A ligase, GDP-forming, beta subunit [Source:MGI Symbol;Acc:MGI:1306824] MASPVAIAAQAGKLLRERALRPLLAVRSQAGHLTPRRWLNLQEYQSKKLMSEHGVRVQRF FVANTAKEALEAAKRLNAKEIVLKAQILAGGRGKGVFNSGLKGGVHLTKDPKVVGELAQQ MIGYNLATKQTPKEGVKVNKVMVAEALDISRETYLAILMDRSHNGPVIVGSPQGGVDIEE VAASSPELIFKEQIDIFEGIKDSQAQRMAENLGFLGSLKNQAADQITKLYHLFLKIDATQ VEVNPFGETPEGQVVCFDAKINFDDNAEFRQKDIFAMDDKSENEPIENEAARYDLKYIGL DGNIACFVNGAGLAMATCDIIFLNGGKPANFLDLGGGVKEAQVYEAFKLLTSDPKVEAIL VNIFGGIVNCAIIANGITKACRELELKVPLVVRLEGTNVQEAQNILKSSGLPITSAVDLE DAAKKAVASVAKK >ENSMUSP00000078774.6 pep:known chromosome:GRCm38:6:95474142:95692882:-1 gene:ENSMUSG00000061838.7 transcript:ENSMUST00000079847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suclg2 description:succinate-Coenzyme A ligase, GDP-forming, beta subunit [Source:MGI Symbol;Acc:MGI:1306824] MSEHGVRVQRFFVANTAKEALEAAKRLNAKEIVLKAQILAGGRGKGVFNSGLKGGVHLTK DPKVVGELAQQMIGYNLATKQTPKEGVKVNKVMVAEALDISRETYLAILMDRSHNGPVIV GSPQGGVDIEEVAASSPELIFKEQIDIFEGIKDSQAQRMAENLGFLGSLKNQAADQITKL YHLFLKIDATQVEVNPFGETPEGQVVCFDAKINFDDNAEFRQKDIFAMDDKSENEPIENE AARYDLKYIGLDGNIACFVNGAGLAMATCDIIFLNGGKPANFLDLGGGVKEAQVYEAFKL LTSDPKVEAILVNIFGGIVNCAIIANGITKACRELELKVPLVVRLEGTNVQEAQNILKSS GLPITSAVDLEDAAKKAVASVAKK >ENSMUSP00000145471.1 pep:known chromosome:GRCm38:6:95594683:95657468:-1 gene:ENSMUSG00000061838.7 transcript:ENSMUST00000204567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suclg2 description:succinate-Coenzyme A ligase, GDP-forming, beta subunit [Source:MGI Symbol;Acc:MGI:1306824] MSEHGVRVQRFFVANTAKEALEAAKRLNAKEIVLKAQILAGGRGKGVFNSGLKGGVHLTK DPKVVGELAQQMIGYNLATKQTP >ENSMUSP00000034385.5 pep:known chromosome:GRCm38:8:106870242:106882902:1 gene:ENSMUSG00000031910.14 transcript:ENSMUST00000034385.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Has3 description:hyaluronan synthase 3 [Source:MGI Symbol;Acc:MGI:109599] MPVQLTTALRVVGTSLFALVVLGGILAAYVTGYQFIHTEKHYLSFGLYGAILGLHLLIQS LFAFLEHRRMRRAGRPLKLHCSQRPRSVALCIAAYQEDPEYLRKCLRSAQRIAFPNLKVV MVVDGNRQEDTYMLDIFHEVLGGTEQAGFFVWRSNFHEAGEGETEASLQEGMERVRAVVW ASTFSCIMQKWGGKREVMYTAFKALGNSVDYIQVCDSDTVLDPACTIEMLRVLEEDPQVG GVGGDVQILNKYDSWISFLSSVRYWMAFNVERACQSYFGCVQCISGPLGMYRNSLLQQFL EDWYHQKFLGSKCSFGDDRHLTNRVLSLGYRTKYTARSKCLTETPTRYLRWLNQQTRWSK SYFREWLYNSLWFHKHHLWMTYESVVTGFFPFFLIATVIQLFYRGRIWNILLFLLTVQLV GIIKATYACFLRGNAEMIFMSLYSLLYMSSLLPAKIFAIATINKSGWGTSGRKTIVVNFI GLIPVSIWVAVLLGGLAYTAYCQDLFSETELAFLVSGAILYGCYWVALLMLYLAIIARRC GKKPEQYSLAFAEV >ENSMUSP00000135303.1 pep:known chromosome:GRCm38:8:106870836:106881197:1 gene:ENSMUSG00000031910.14 transcript:ENSMUST00000176144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Has3 description:hyaluronan synthase 3 [Source:MGI Symbol;Acc:MGI:109599] MPVQLTTALRVVGTSLFALVVLGGILAAYVTGYQFIHTEKHYLSFGLYGAILGLHLLIQS LFAFLEHRRMRRAGRPLKLHCSQRPRSVALCIAAYQEDPEYLRKCLRSAQRIAFPNLKVV MVVDGNRQEDTYMLDIFHEVLGGTEQAGFFVWRSNFHEAGEGETEASLQEGMERVRAVVW ASTFSCIMQKWGGKREVMYTAFKALGNSVDYIQVCDSDTVLDPACTIEMLRVLEEDPQVG GVGGDVQILNKYDSWISFLSSVRYWMAFNVERACQSYFGCVQCISGPLGMYRNSLLQQFL EDWYHQKFLGSKCSFGDDRHLTNRVLSLGYRTKYTARSKCLTETPTRYLRWLNQQTRWSK SYFREWLYNSLWFHKHHLWMTYESVVTGFFPFFLIATVIQLFYRGRIWNILLFLLTVQLV GIIKATYACFLRGNAEMIFMSLYSLLYMSSLLPAKIFAIATINKSGWGTSGRKTIVVNFI GLIPVSIWVAVLLGGLAYTAYCQDLFSETELAFLVSGAILYGCYWVALLMLYLAIIARRC GKKPEQYSLAFAEV >ENSMUSP00000135596.1 pep:known chromosome:GRCm38:8:106870891:106884566:1 gene:ENSMUSG00000031910.14 transcript:ENSMUST00000175987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Has3 description:hyaluronan synthase 3 [Source:MGI Symbol;Acc:MGI:109599] MPVQLTTALRVVGTSLFALVVLGGILAAYVTGYQFIHTEKHYLSFGLYGAILGLHLLIQS LFAFLEHRRMRRAGRPLKLHCSQRPRSVALCIAAYQEDPEYLRKCLRSAQRIAFPNLKVV MVVDGNRQEDTYMLDIFHEVLGGTEQAGFFVWRSNFHEAGEGETEASLQEGMERVRAVVW ASTFSCIMQKWGGKREVMYTAFKALGNSVDYIQVCDSDTVLDPACTIEMLRVLEEDPQVG GVGGDVQPPGKGLAVEHD >ENSMUSP00000136469.1 pep:known chromosome:GRCm38:10:80826683:80828957:1 gene:ENSMUSG00000035242.15 transcript:ENSMUST00000177850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz1 description:ornithine decarboxylase antizyme 1 [Source:MGI Symbol;Acc:MGI:109433] MVKSSLQRILNSHCFAREKEGDKRSATLHASRTMPLLSQHSRGGCSSERVALNCCSNLGP GPRWCSDVPHPPLKIPGGRGNSQRDHSLSASILYSDERLNVTEEPTSNDKTRVLSIQSTL TEAKQVTWRAVWSGGGLYIELPAGPLPEGSKDSFAALLEFAEEQLQADHVFICFPKNRED RAALLRTFSFLGFEIVRPGHPLVPKRPDAC >ENSMUSP00000137400.1 pep:known chromosome:GRCm38:10:80826686:80829290:1 gene:ENSMUSG00000035242.15 transcript:ENSMUST00000180036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz1 description:ornithine decarboxylase antizyme 1 [Source:MGI Symbol;Acc:MGI:109433] MVKSSLQRILNSHCFAREKEGDKRSATLHASRTMPLLSQHSRGGCSSESSRVALNCCSNL GPGPRWCSDVPHPPLKIPGGRGNSQRDHSLSASILYSDERLNVTEEPTSNDKTRVLSIQS TLTEAKQVTWRAVWSGGGLYIELPAGPLPEGSKDSFAALLEFAEEQLQADHVFICFPKNR EDRAALLRTFSFLGFEIVRPGHPLVPKRPDACFMVYTLEREDPGEED >ENSMUSP00000136186.1 pep:known chromosome:GRCm38:10:80826693:80829005:1 gene:ENSMUSG00000035242.15 transcript:ENSMUST00000179172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz1 description:ornithine decarboxylase antizyme 1 [Source:MGI Symbol;Acc:MGI:109433] MVKSSLQRILNSHCFAREKEGDKRSATLHASRTMPLLSQHSRGGCSSESSRVALNCCSNL GPGPRWCSDVPHPPLKIPGGRGNSQRDHSLSASILYSDERLNVTEEPTSNDKTRVLSIQS TLTEAKQVTWRAVWSGGGLYIELPAGPLPEGSKDSSPRVRRGATPG >ENSMUSP00000136134.1 pep:known chromosome:GRCm38:10:80827712:80828977:1 gene:ENSMUSG00000035242.15 transcript:ENSMUST00000179620.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz1 description:ornithine decarboxylase antizyme 1 [Source:MGI Symbol;Acc:MGI:109433] MEVVWCVLGLPLIAVVTWVRGLGGAPDVPHPPLKIPGGRGNSQRDHSLSASILYSDERLN VTEEPTSNDKTRVLSIQSTLTEAKQVTWRAVWSGGGLYIELPAGPLPEGSKDSFAALLEF AEEQLQADHVFICFPKNREDRAALLRTFSFLGFEIVRPGHPLVPKRPDACFMVYTLE >ENSMUSP00000136251.1 pep:known chromosome:GRCm38:10:80828593:80829285:1 gene:ENSMUSG00000035242.15 transcript:ENSMUST00000179341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz1 description:ornithine decarboxylase antizyme 1 [Source:MGI Symbol;Acc:MGI:109433] ELPAGPLPEGSKDSFAALLEFAEEQLQADHVFICFPKNREDRAFLALRL >ENSMUSP00000055922.8 pep:known chromosome:GRCm38:10:80826656:80829290:1 gene:ENSMUSG00000035242.15 transcript:ENSMUST00000060987.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz1 description:ornithine decarboxylase antizyme 1 [Source:MGI Symbol;Acc:MGI:109433] MVKSSLQRILNSHCFAREKEGDKRSATLHASRTMPLLSQHSRGGCSSESSRVALNCCSNL GPGPRWCDVPHPPLKIPGGRGNSQRDHSLSASILYSDERLNVTEEPTSNDKTRVLSIQST LTEAKQVTWRAVWSGGGLYIELPAGPLPEGSKDSFAALLEFAEEQLQADHVFICFPKNRE DRAALLRTFSFLGFEIVRPGHPLVPKRPDACFMVYTLEREDPGEED >ENSMUSP00000096177.3 pep:known chromosome:GRCm38:8:84257795:84270380:-1 gene:ENSMUSG00000004994.12 transcript:ENSMUST00000098578.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc130 description:coiled-coil domain containing 130 [Source:MGI Symbol;Acc:MGI:1914986] MGERKGQNKYYPPDFNPEKHGSLNRYHNSHPLRERARKLSQGILVIRFEMPYNIWCDGCK NHIGMGVRYNAEKKKVGNYYTTPIYRFRMKCHLCVNYIEMQTDPANCDYVIVSGASRKEE RWDMEDNEQVLTTEHEKKEKLETDAMFRLEHGEADRSTLKKALPTLSHIQEAQNAWKDDF ALNSMLRRHFREKKKAMQEEEEKDQALQAKASLAIPLVPESEDDRRLAALLRLHTLDSYE DKQRMKRTEIIHRSWFPSAQGPSASSSKASSVLKKLCQGRRPPPSSTGTVGDLGIVRRKS RDVPESPQCAADNSLSEEQRRPPGTTQGSKTLEEAAEASRTSKTSESKRNCSDQAFPLGS SQEDLLNPNTPNASLVADYSDSESE >ENSMUSP00000005120.5 pep:known chromosome:GRCm38:8:84258301:84270347:-1 gene:ENSMUSG00000004994.12 transcript:ENSMUST00000005120.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc130 description:coiled-coil domain containing 130 [Source:MGI Symbol;Acc:MGI:1914986] MGERKGQNKYYPPDFNPEKHGSLNRYHNSHPLRERARKLSQGILVIRFEMPYNIWCDGCK NHIGMGVRYNAEKKKVGNYYTTPIYRFRMKCHLCVNYIEMQTDPANCDYVIVSGASRKEE RWDMEDNEQVLTTEHEKKEKLETDAMFRLEHGEADRSTLKKALPTLSHIQEAQNAWKDDF ALNSMLRRHFRLMRTSSE >ENSMUSP00000128605.1 pep:known chromosome:GRCm38:8:84259115:84266838:-1 gene:ENSMUSG00000004994.12 transcript:ENSMUST00000172320.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc130 description:coiled-coil domain containing 130 [Source:MGI Symbol;Acc:MGI:1914986] MGERKGQNKYYPPDFNPEKHGSLNRYHNSHPLRERARKLSQGILVIRFEMPYNIWCDGCK NHIGMGERG >ENSMUSP00000125894.1 pep:known chromosome:GRCm38:8:84263906:84270370:-1 gene:ENSMUSG00000004994.12 transcript:ENSMUST00000163993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc130 description:coiled-coil domain containing 130 [Source:MGI Symbol;Acc:MGI:1914986] MHGSLNRYHNSHPL >ENSMUSP00000105656.2 pep:known chromosome:GRCm38:11:3344256:3356141:-1 gene:ENSMUSG00000020451.17 transcript:ENSMUST00000110029.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limk2 description:LIM motif-containing protein kinase 2 [Source:MGI Symbol;Acc:MGI:1197517] MHISPNNRNAIHPGDRILEINGTPVRTLRVEEVEDAIKQTSQTLQLLIEHDPVPQRLDQL RLDARLPPHMQSTGHTLMLSTLDTKENQEGTLRRRSLRRSNSISKSPGPSSPKEPLLLSR DISRSESLRCSSSYSQQIFRPCDLIHGEVLGKGFFGQAIKVTHKATGKVMVMKELIRCDE ETQKTFLTEVKVMRSLDHPNVLKFIGVLYKDKKLNLLTEYIEGGTLKDFLRSVDPFPWQQ KVRFAKGISSGMAYLHSMCIIHRDLNSHNCLIKLDKTVVVADFGLSRLIVEERKRPPVEK ATTKKRTLRKSDRKKRYTVVGNPYWMAPEMLNGKSYDETVDVFSFGIVLCEIIGQVYADP DCLPRTLDFGLNVKLFWEKFVPTDCPPAFFPLAAICCKLEPESRPAFSKLEDSFEALSLF LGELAIPLPAELEDLDHTVSMEYGLTRDSPP >ENSMUSP00000099163.3 pep:known chromosome:GRCm38:11:3344256:3371376:-1 gene:ENSMUSG00000020451.17 transcript:ENSMUST00000101640.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limk2 description:LIM motif-containing protein kinase 2 [Source:MGI Symbol;Acc:MGI:1197517] MGSYLSVPAYFTSRDPFRCSECQESLTNWYYEKDGKLYCHKDYWAKFGEFCHGCSLLMTG PAMVAGEFKYHPECFACMSCKVIIEDGDAYALVQHATLYCGKCHNEVVLAPMFERLSTES VQDQLPYSVTLISMPATTECRRGFSVTVESASSNYATTVQVKEVNRMHISPNNRNAIHPG DRILEINGTPVRTLRVEEVEDAIKQTSQTLQLLIEHDPVPQRLDQLRLDARLPPHMQSTG HTLMLSTLDTKENQEGTLRRRSLRRSNSISKSPGPSSPKEPLLLSRDISRSESLRCSSSY SQQIFRPCDLIHGEVLGKGFFGQAIKSWEGGQVTHKATGKVMVMKELIRCDEETQKTFLT EVKVMRSLDHPNVLKFIGVLYKDKKLNLLTEYIEGGTLKDFLRSVDPFPWQQKVRFAKGI SSGMAYLHSMCIIHRDLNSHNCLIKLDKTVVVADFGLSRLIVEERKRPPVEKATTKKRTL RKSDRKKRYTVVGNPYWMAPEMLNGKSYDETVDVFSFGIVLCEIIGQVYADPDCLPRTLD FGLNVKLFWEKFVPTDCPPAFFPLAAICCKLEPESRPAFSKLEDSFEALSLFLGELAIPL PAELEDLDHTVSMEYGLTRDSPP >ENSMUSP00000099165.3 pep:known chromosome:GRCm38:11:3344256:3371376:-1 gene:ENSMUSG00000020451.17 transcript:ENSMUST00000101642.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limk2 description:LIM motif-containing protein kinase 2 [Source:MGI Symbol;Acc:MGI:1197517] MGSYLSVPAYFTSRDPFRCSECQESLTNWYYEKDGKLYCHKDYWAKFGEFCHGCSLLMTG PAMVAGEFKYHPECFACMSCKVIIEDGDAYALVQHATLYCGKCHNEVVLAPMFERLSTES VQDQLPYSVTLISMPATTECRRGFSVTVESASSNYATTVQVKEVNRMHISPNNRNAIHPG DRILEINGTPVRTLRVEEVEDAIKQTSQTLQLLIEHDPVPQRLDQLRLDARLPPHMQSTG HTLMLSTLDTKENQEGTLRRRSLRRSNSISKSPGPSSPKEPLLLSRDISRSESLRCSSSY SQQIFRPCDLIHGEVLGKGFFGQAIKVTHKATGKVMVMKELIRCDEETQKTFLTEVKVMR SLDHPNVLKFIGVLYKDKKLNLLTEYIEGGTLKDFLRSVDPFPWQQKVRFAKGISSGMAY LHSMCIIHRDLNSHNCLIKLDKTVVVADFGLSRLIVEERKRPPVEKATTKKRTLRKSDRK KRYTVVGNPYWMAPEMLNGKSYDETVDVFSFGIVLCEIIGQVYADPDCLPRTLDFGLNVK LFWEKFVPTDCPPAFFPLAAICCKLEPESRPAFSKLEDSFEALSLFLGELAIPLPAELED LDHTVSMEYGLTRDSPP >ENSMUSP00000099162.3 pep:known chromosome:GRCm38:11:3344256:3409174:-1 gene:ENSMUSG00000020451.17 transcript:ENSMUST00000101638.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limk2 description:LIM motif-containing protein kinase 2 [Source:MGI Symbol;Acc:MGI:1197517] MAALAGDEAWRCRGCGTYVPLSQRLYRTANEAWHGSCFRCSECQESLTNWYYEKDGKLYC HKDYWAKFGEFCHGCSLLMTGPAMVAGEFKYHPECFACMSCKVIIEDGDAYALVQHATLY CGKCHNEVVLAPMFERLSTESVQDQLPYSVTLISMPATTECRRGFSVTVESASSNYATTV QVKEVNRMHISPNNRNAIHPGDRILEINGTPVRTLRVEEVEDAIKQTSQTLQLLIEHDPV PQRLDQLRLDARLPPHMQSTGHTLMLSTLDTKENQEGTLRRRSLRRSNSISKSPGPSSPK EPLLLSRDISRSESLRCSSSYSQQIFRPCDLIHGEVLGKGFFGQAIKVTHKATGKVMVMK ELIRCDEETQKTFLTEVKVMRSLDHPNVLKFIGVLYKDKKLNLLTEYIEGGTLKDFLRSV DPFPWQQKVRFAKGISSGMAYLHSMCIIHRDLNSHNCLIKLDKTVVVADFGLSRLIVEER KRPPVEKATTKKRTLRKSDRKKRYTVVGNPYWMAPEMLNGKSYDETVDVFSFGIVLCEII GQVYADPDCLPRTLDFGLNVKLFWEKFVPTDCPPAFFPLAAICCKLEPESRPAFSKLEDS FEALSLFLGELAIPLPAELEDLDHTVSMEYGLTRDSPP >ENSMUSP00000081775.3 pep:known chromosome:GRCm38:4:35191285:35226123:-1 gene:ENSMUSG00000028300.14 transcript:ENSMUST00000084724.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110043O21Rik description:RIKEN cDNA 3110043O21 gene [Source:MGI Symbol;Acc:MGI:1920455] MSTICPPPSPAVAKTEIALSGESPLLAATFAYWDNILGPRVRHIWAPKTDQVLLSDGEIT FLANHTLNGEILRNAESGAIDVKFFVLSEKGVIIVSLIFDGNWNGDRSTYGLSIILPQTE LSFYLPLHRVCVDRLTHIIRKGRIWMHKERQENVQKIVLEGTERMEDQGQSIIPMLTGEV IPVMELLASMKSHSVPEDIDIADTVLNDDDIGDSCHEGFLLNAISSHLQTCGCSVVVGSS AEKVNKIVRTLCLFLTPAERKCSRLCEAESSFKYESGLFVQGLLKDATGSFVLPFRQVMY APYPTTHIDVDVNTVKQMPPCHEHIYNQRRYMRSELTAFWRATSEEDMAQDTIIYTDESF TPDLNIFQDVLHRDTLVKAFLDQVFHLKPGLSLRSTFLAQFLLILHRKALTLIKYIEDDT >ENSMUSP00000103761.1 pep:known chromosome:GRCm38:4:35191287:35225834:-1 gene:ENSMUSG00000028300.14 transcript:ENSMUST00000108126.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110043O21Rik description:RIKEN cDNA 3110043O21 gene [Source:MGI Symbol;Acc:MGI:1920455] MEDQGQSIIPMLTGEVIPVMELLASMKSHSVPEDIDIADTVLNDDDIGDSCHEGFLLNAI SSHLQTCGCSVVVGSSAEKVNKIVRTLCLFLTPAERKCSRLCEAESSFKYESGLFVQGLL KDATGSFVLPFRQVMYAPYPTTHIDVDVNTVKQMPPCHEHIYNQRRYMRSELTAFWRATS EEDMAQDTIIYTDESFTPDLNIFQDVLHRDTLVKAFLDQVFHLKPGLSLRSTFLAQFLLI LHRKALTLIKYIEDDTQKGKKPFKSLRNLKIDLDLTAEGDLNIIMALAEKIKPGLHSFIF GRPFYTSVQERDVLMTF >ENSMUSP00000103762.3 pep:known chromosome:GRCm38:4:35191287:35225880:-1 gene:ENSMUSG00000028300.14 transcript:ENSMUST00000108127.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110043O21Rik description:RIKEN cDNA 3110043O21 gene [Source:MGI Symbol;Acc:MGI:1920455] MSTICPPPSPAVAKTEIALSGESPLLAATFAYWDNILGPRVRHIWAPKTDQVLLSDGEIT FLANHTLNGEILRNAESGAIDVKFFVLSEKGVIIVSLIFDGNWNGDRSTYGLSIILPQTE LSFYLPLHRVCVDRLTHIIRKGRIWMHKERQENVQKIVLEGTERMEDQGQSIIPMLTGEV IPVMELLASMKSHSVPEDIDIADTVLNDDDIGDSCHEGFLLNAISSHLQTCGCSVVVGSS AEKVNKIVRTLCLFLTPAERKCSRLCEAESSFKYESGLFVQGLLKDATGSFVLPFRQVMY APYPTTHIDVDVNTVKQMPPCHEHIYNQRRYMRSELTAFWRATSEEDMAQDTIIYTDESF TPDLNIFQDVLHRDTLVKAFLDQVFHLKPGLSLRSTFLAQFLLILHRKALTLIKYIEDDT QKGKKPFKSLRNLKIDLDLTAEGDLNIIMALAEKIKPGLHSFIFGRPFYTSVQERDVLMT F >ENSMUSP00000044838.6 pep:known chromosome:GRCm38:11:101009452:101063719:1 gene:ENSMUSG00000019302.16 transcript:ENSMUST00000044721.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0a1 description:ATPase, H+ transporting, lysosomal V0 subunit A1 [Source:MGI Symbol;Acc:MGI:103286] MGELFRSEEMTLAQLFLQSEAAYCCVSELGELGKVQFRDLNPDVNVFQRKFVNEVRRCEE MDRKLRFVEKEIRKANIPIMDTGENPEVPFPRDMIDLEANFEKIENELKEINTNQEALKR NFLELTELKFILRKTQQFFDEMADPDLLEESSSLLEPNEMGRGAPLRLGFVAGVINRERI PTFERMLWRVCRGNVFLRQAEIENPLEDPVTGDYVHKSVFIIFFQGDQLKNRVKKICEGF RASLYPCPETPQERKEMASGVNTRIDDLQMVLNQTEDHRQRVLQAAAKNIRVWFIKVRKM KAIYHTLNLCNIDVTQKCLIAEVWCPVTDLDSIQFALRRGTEHSGSTVPSILNRMQTNQT PPTYNKTNKFTHGFQNIVDAYGIGTYREINPAPYTVITFPFLFAVMFGDFGHGILMTLFA VWMVLRESRILSQKHENEMFSMVFSGRYIILLMGLFSIYTGLIYNDCFSKSLNIFGSSWS VRPMFTQGNWTEETLLGSSVLQLNPAIPGVFGGPYPFGIDPIWNIATNKLTFLNSFKMKM SVILGIIHMLFGVSLSLFNHIYFKKPLNIYFGFIPEIIFMSSLFGYLVILIFYKWTAYDA HSSRNAPSLLIHFINMFLFSYPESGNAMLYSGQKGIQCFLIVVAMLCVPWMLLFKPLILR HQYLRKKHLGTLNFGGIRVGNGPTEEDAEIIQHDQLSTHSEDAEEPTEDEVFDFGDTMVH QAIHTIEYCLGCISNTASYLRLWALSLAHAQLSEVLWTMVIHIGLHVRSLAGGLGLFFIF AAFATLTVAILLIMEGLSAFLHALRLHWVEFQNKFYTGTGFKFLPFSFEHIREGKFDE >ENSMUSP00000099399.3 pep:known chromosome:GRCm38:11:101009452:101062424:1 gene:ENSMUSG00000019302.16 transcript:ENSMUST00000103110.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0a1 description:ATPase, H+ transporting, lysosomal V0 subunit A1 [Source:MGI Symbol;Acc:MGI:103286] MGELFRSEEMTLAQLFLQSEAAYCCVSELGELGKVQFRDLNPDVNVFQRKFVNEVRRCEE MDRKLRFVEKEIRKANIPIMDTGENPEVPFPRDMIDLEANFEKIENELKEINTNQEALKR NFLELTELKFILRKTQQFFDEAELHHQQMADPDLLEESSSLLEPNEMGRGAPLRLGFVAG VINRERIPTFERMLWRVCRGNVFLRQAEIENPLEDPVTGDYVHKSVFIIFFQGDQLKNRV KKICEGFRASLYPCPETPQERKEMASGVNTRIDDLQMVLNQTEDHRQRVLQAAAKNIRVW FIKVRKMKAIYHTLNLCNIDVTQKCLIAEVWCPVTDLDSIQFALRRGTEHSGSTVPSILN RMQTNQTPPTYNKTNKFTHGFQNIVDAYGIGTYREINPAPYTVITFPFLFAVMFGDFGHG ILMTLFAVWMVLRESRILSQKHENEMFSMVFSGRYIILLMGLFSIYTGLIYNDCFSKSLN IFGSSWSVRPMFTQGNWTEETLLGSSVLQLNPAIPGVFGGPYPFGIDPIWNIATNKLTFL NSFKMKMSVILGIIHMLFGVSLSLFNHIYFKKPLNIYFGFIPEIIFMSSLFGYLVILIFY KWTAYDAHSSRNAPSLLIHFINMFLFSYPESGNAMLYSGQKGIQCFLIVVAMLCVPWMLL FKPLILRHQYLRKKHLGTLNFGGIRVGNGPTEEDAEIIQHDQLSTHSEDAEEFDFGDTMV HQAIHTIEYCLGCISNTASYLRLWALSLAHAQLSEVLWTMVIHIGLHVRSLAGGLGLFFI FAAFATLTVAILLIMEGLSAFLHALRLHWVEFQNKFYTGTGFKFLPFSFEHIREGKFDE >ENSMUSP00000090333.3 pep:known chromosome:GRCm38:11:101011821:101063719:1 gene:ENSMUSG00000019302.16 transcript:ENSMUST00000092663.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0a1 description:ATPase, H+ transporting, lysosomal V0 subunit A1 [Source:MGI Symbol;Acc:MGI:103286] MGELFRSEEMTLAQLFLQSEAAYCCVSELGELGKVQFRDLNPDVNVFQRKFVNEVRRCEE MDRKLRFVEKEIRKANIPIMDTGENPEVPFPRDMIDLEANFEKIENELKEINTNQEALKR NFLELTELKFILRKTQQFFDEMADPDLLEESSSLLEPNEMGRGAPLRLGFVAGVINRERI PTFERMLWRVCRGNVFLRQAEIENPLEDPVTGDYVHKSVFIIFFQGDQLKNRVKKICEGF RASLYPCPETPQERKEMASGVNTRIDDLQMVLNQTEDHRQRVLQAAAKNIRVWFIKVRKM KAIYHTLNLCNIDVTQKCLIAEVWCPVTDLDSIQFALRRGTEHSGSTVPSILNRMQTNQT PPTYNKTNKFTHGFQNIVDAYGIGTYREINPAPYTVITFPFLFAVMFGDFGHGILMTLFA VWMVLRESRILSQKHENEMFSMVFSGRYIILLMGLFSIYTGLIYNDCFSKSLNIFGSSWS VRPMFTQGNWTEETLLGSSVLQLNPAIPGVFGGPYPFGIDPIWNIATNKLTFLNSFKMKM SVILGIIHMLFGVSLSLFNHIYFKKPLNIYFGFIPEIIFMSSLFGYLVILIFYKWTAYDA HSSRNAPSLLIHFINMFLFSYPESGNAMLYSGQKGIQCFLIVVAMLCVPWMLLFKPLILR HQYLRKKHLGTLNFGGIRVGNGPTEEDAEIIQHDQLSTHSEDAEEFDFGDTMVHQAIHTI EYCLGCISNTASYLRLWALSLAHAQLSEVLWTMVIHIGLHVRSLAGGLGLFFIFAAFATL TVAILLIMEGLSAFLHALRLHWVEFQNKFYTGTGFKFLPFSFEHIREGKFDE >ENSMUSP00000121247.1 pep:known chromosome:GRCm38:11:101010657:101020515:1 gene:ENSMUSG00000019302.16 transcript:ENSMUST00000139619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0a1 description:ATPase, H+ transporting, lysosomal V0 subunit A1 [Source:MGI Symbol;Acc:MGI:103286] MGELFRSEEMTLAQLFLQSEAAYCCVSELGELGKVQFRDLNPDVNVFQRKFVNEVRRCEE MDRKLRFVEKEIRKANIPI >ENSMUSP00000131848.2 pep:known chromosome:GRCm38:11:101009487:101063713:1 gene:ENSMUSG00000019302.16 transcript:ENSMUST00000168757.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0a1 description:ATPase, H+ transporting, lysosomal V0 subunit A1 [Source:MGI Symbol;Acc:MGI:103286] MGELFRSEEMTLAQLFLQSEAAYCCVSELGELGKVQFRDLNPDVNVFQRKFVNEVRRCEE MDRKLRFVEKEIRKANIPIMDTGENPEVPFPRDMIDLEANFEKIENELKEINTNQEALKR NFLELTELKFILRKTQQFFDEMADPDLLEESSSLLEPNEMGRGAPLRLGFVAGVINRERI PTFERMLWRVCRGNVFLRQAEIENPLEDPVTGDYVHKSVFIIFFQGDQLKNRVKKICEGF RASLYPCPETPQERKEMASGVNTRIDDLQMVLNQTEDHRQRVLQAAAKNIRVWFIKVRKM KAIYHTLNLCNIDVTQKCLIAEVWCPVTDLDSIQFALRRGTEHSGSTVPSILNRMQTNQT PPTYNKTNKFTHGFQNIVDAYGIGTYREINPAPYTVITFPFLFAVMFGDFGHGILMTLFA VWMVLRESRILSQKHENEMFSMVFSGRYIILLMGLFSIYTGLIYNDCFSKSLNIFGSSWS VRPMFTQGNWTEETLLGSSVLQLNPAIPGVFGGPYPFGIDPIWNIATNKLTFLNSFKMKM SVILGIIHMLFGVSLSLFNHIYFKKPLNIYFGFIPEIIFMSSLFGYLVILIFYKWTAYDA HSSRNAPSLLIHFINMFLFSYPESGNAMLYSGQKGIQCFLIVVAMLCVPWMLLFKPLILR HQYLRKKHLGTLNFGGIRVGNGPTEEDAEIIQHDQLSTHSEDAEEARKDFWFDFGDTMVH QAIHTIEYCLGCISNTASYLRLWALSLAHAQLSEVLWTMVIHIGLHVRSLAGGLGLFFIF AAFATLTVAILLIMEGLSAFLHALRLHWVEFQNKFYTGTGFKFLPFSFEHIREGKFDE >ENSMUSP00000118369.1 pep:known chromosome:GRCm38:9:20618118:20642313:-1 gene:ENSMUSG00000066892.13 transcript:ENSMUST00000155301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl12 description:F-box and leucine-rich repeat protein 12 [Source:MGI Symbol;Acc:MGI:1354738] MATLFDLPDLVLLEIFSYLPVRDRIRISRVCHRWKRLVDDRWLWRHVDLTLYTYEVHRRT NPKEGLLPVSLLLGSSTQSDCCQSVE >ENSMUSP00000115058.1 pep:known chromosome:GRCm38:9:20637749:20644755:-1 gene:ENSMUSG00000066892.13 transcript:ENSMUST00000131128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl12 description:F-box and leucine-rich repeat protein 12 [Source:MGI Symbol;Acc:MGI:1354738] MRPKVMWHLLRRYMASRLYSLRMGGYLFSGSQAPQLSPALMRALGQKCPNLKRLCLHVAD LSMVPITSLPSTLRTLELHSCEISMIWLQKEQDPTVLPLLECIVLDRVPAFRDEHLQGLT RFRALRSLVLGGTYRVTETGLDASLQELSYLQRLEVLGCTLSADSTLLAISRHLRDVRKI RLTVGGLSAQGLVFLEGMPVLESLCFQGPLITPDMPTPTQIVSSCLTMPKLRVLEVQGLG WEGQEAEKILCKGLPHCIVIVRACPKESMDWWM >ENSMUSP00000114466.2 pep:known chromosome:GRCm38:9:20637786:20639340:-1 gene:ENSMUSG00000066892.13 transcript:ENSMUST00000140702.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl12 description:F-box and leucine-rich repeat protein 12 [Source:MGI Symbol;Acc:MGI:1354738] MRPKVMWHLLRRYMASRLYSLRMGGYLFSGSQAPQLSPALMRALGQKCPNLKRLCLHVAD LSMVPITSLPSTLRTLELHSCEISMIWLQKEQDPTVLPLLECIVLDRVPAFRDEHLQGLT RFRALRSLVLGGTYRVTETGLDASLQELSYLQRLEVLGCTLSADSTLLAISRHLRDVRKI RLTVGGLSAQGLVFLEGMPVLESLCFQGPLITPDMPTPTQIVSSCLTMPKLRVLEVQGLG WEGQEAEKILCKGLPHCIVIVRACPKESMDWWM >ENSMUSP00000119124.1 pep:known chromosome:GRCm38:9:20637786:20644747:-1 gene:ENSMUSG00000066892.13 transcript:ENSMUST00000148631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl12 description:F-box and leucine-rich repeat protein 12 [Source:MGI Symbol;Acc:MGI:1354738] MATLFDLPDLVLLEIFSYLPVRDRIRISRVCHRWKRLVDDRWLWRHVDLTLYTMRPKVMW HLLRRYMASRLYSLRMGGYLFSGSQAPQLSPALMRALGQKCPNLKRLCLHVADLSMVPIT SLPSTLRTLELHSCEISMIWLQKEQDPTVLPLLECIVLDRVPAFRDEHLQGLTRFRALRS LVLGGTYRVTETGLDASLQELSYLQRLEVLGCTLSADSTLLAISRHLRDVRKIRLTVGGL SAQGLVFLEGMPVLESLCFQGPLITPDMPTPTQIVSSCLTMPKLRVLEVQGLGWEGQEAE KILCKGLPHCIVIVRACPKESMDWWM >ENSMUSP00000121429.1 pep:known chromosome:GRCm38:9:20637787:20644755:-1 gene:ENSMUSG00000066892.13 transcript:ENSMUST00000151861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl12 description:F-box and leucine-rich repeat protein 12 [Source:MGI Symbol;Acc:MGI:1354738] MRPKVMWHLLRRYMASRLYSLRMGGYLFSGSQAPQLSPALMRALGQKCPNLKRLCLHVAD LSMVPITSLPSTLRTLELHSCEISMIWLQKEQDPTVLPLLECIVLDRVPAFRDEHLQGLT RFRALRSLVLGGTYRVTETGLDASLQELSYLQRLEVLGCTLSADSTLLAISRHLRDVRKI RLTVGGLSAQGLVFLEGMPVLESLCFQGPLITPDMPTPTQIVSSCLTMPKLRVLEVQGLG WEGQEAEKILCKGLPHCIVIVRACPKESMDWWM >ENSMUSP00000083649.3 pep:known chromosome:GRCm38:9:20637789:20644767:-1 gene:ENSMUSG00000066892.13 transcript:ENSMUST00000086458.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl12 description:F-box and leucine-rich repeat protein 12 [Source:MGI Symbol;Acc:MGI:1354738] MRPKVMWHLLRRYMASRLYSLRMGGYLFSGSQAPQLSPALMRALGQKCPNLKRLCLHVAD LSMVPITSLPSTLRTLELHSCEISMIWLQKEQDPTVLPLLECIVLDRVPAFRDEHLQGLT RFRALRSLVLGGTYRVTETGLDASLQELSYLQRLEVLGCTLSADSTLLAISRHLRDVRKI RLTVGGLSAQGLVFLEGMPVLESLCFQGPLITPDMPTPTQIVSSCLTMPKLRVLEVQGLG WEGQEAEKILCKGLPHCIVIVRACPKESMDWWM >ENSMUSP00000118873.1 pep:known chromosome:GRCm38:9:20638801:20644758:-1 gene:ENSMUSG00000066892.13 transcript:ENSMUST00000131343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl12 description:F-box and leucine-rich repeat protein 12 [Source:MGI Symbol;Acc:MGI:1354738] MRPKVMWHLLRRYMASRLYSLRMGGYLFSGSQAPQLSPALMRALGQKCPNLKRLCLHVAD LSMVPITSLPSTLRTLELHSCEISMIWLQKEQDPTVLPLLECIVLDRVPAFRDEHLQGLT RFRALRSLVLGGT >ENSMUSP00000083650.4 pep:known chromosome:GRCm38:9:20637789:20642806:-1 gene:ENSMUSG00000066892.13 transcript:ENSMUST00000086459.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl12 description:F-box and leucine-rich repeat protein 12 [Source:MGI Symbol;Acc:MGI:1354738] MATLFDLPDLVLLEIFSYLPVRDRIRISRVCHRWKRLVDDRWLWRHVDLTLYTMRPKVMW HLLRRYMASRLYSLRMGGYLFSGSQAPQLSPALMRALGQKCPNLKRLCLHVADLSMVPIT SLPSTLRTLELHSCEISMIWLQKEQDPTVLPLLECIVLDRVPAFRDEHLQGLTRFRALRS LVLGGTYRVTETGLDASLQELSYLQRLEVLGCTLSADSTLLAISRHLRDVRKIRLTVGGL SAQGLVFLEGMPVLESLCFQGPLITPDMPTPTQIVSSCLTMPKLRVLEVQGLGWEGQEAE KILCKGLPHCIVIVRACPKESMDWWM >ENSMUSP00000046302.2 pep:known chromosome:GRCm38:2:110262697:110305741:-1 gene:ENSMUSG00000041660.8 transcript:ENSMUST00000046233.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbox1 description:butyrobetaine (gamma), 2-oxoglutarate dioxygenase 1 (gamma-butyrobetaine hydroxylase) [Source:MGI Symbol;Acc:MGI:1891372] MHCAILKAEAVDGAHLMQIFWHDGAESLYPAVWLRDNCQCSDCYLHSAKARKLLLEALDV NIRIDDLTFDRKKVYITWPNDHYSEFEANWLKKRCFSQQARARLQGELFLPECQYWGSEL QLPTLNFEDVLNDDDHAYKWLSSLKKVGIVRLTGAADKRGEIIKLGKRIGFLYLTFYGHT WQVQDKIDANNVAYTTGKLSFHTDYPALHHPPGVQLLHCIKQTVTGGDSEIVDGFNVCQK LKEKNPQAFHILSSTFVDFTDIGVDYCDFSVQSKHKIIELDDKGQVVRVNFNNATRDTVF DVPIERVQPFYAALKEFVDLMNSKEYKYTFKMNPGDVITFDNWRLLHGRRSYEAGTEISR HLEGAYADWDVVMSRLRILRQRVTNGN >ENSMUSP00000116663.1 pep:known chromosome:GRCm38:2:110292507:110314560:-1 gene:ENSMUSG00000041660.8 transcript:ENSMUST00000133608.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbox1 description:butyrobetaine (gamma), 2-oxoglutarate dioxygenase 1 (gamma-butyrobetaine hydroxylase) [Source:MGI Symbol;Acc:MGI:1891372] MHCAILKAEAVDGAHLMQIFWHDGAESLYPAVWLRDNCQCSDCYLHSAKARKLLLEALDV NIRIDDLTFDRKKVYITWPNDHYSEFEANWLKKRCFSQQARARLQGELFLPECQYWGSEL QLPTLNFEDVLNDDDHAYKWLSSLK >ENSMUSP00000066303.8 pep:known chromosome:GRCm38:17:31520115:31531323:1 gene:ENSMUSG00000024038.16 transcript:ENSMUST00000064798.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv3 description:NADH dehydrogenase (ubiquinone) flavoprotein 3 [Source:MGI Symbol;Acc:MGI:1890894] MAVSLLLRGGRIRALKAVLLEARVFPGELVSVVRLSTESEKSAKEKELHPKTQSVLKEPE PTDTTTYKNLQHHDYNTYTFLDLNLDLSKFRLPQPSSGRESPRH >ENSMUSP00000049000.8 pep:known chromosome:GRCm38:17:31520158:31531326:1 gene:ENSMUSG00000024038.16 transcript:ENSMUST00000046288.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv3 description:NADH dehydrogenase (ubiquinone) flavoprotein 3 [Source:MGI Symbol;Acc:MGI:1890894] MAVSLLLRGGRIRALKAVLLEARVFPGELVSVVRLSTESEKSAKEKELHPKTQSVLKDVG AEERGKLLTAHTAAALSKNSPPPSSYPSVENTGGAVAGPHPGGSLLPTDEGLPKHLSRKT LVAFPQKVMPPPQARGSDSKAQGHVQKVTNDSSSSSSSSSSDSDSDGEEHDSDRAPRVAS KGKAGSSKPEASRPLTTGAPKITVSAKEKAKVQKPPTDVTYPEKTLQPKKKWTKPVEDSK EARSKPVTSRPQSSEMLEQNVKEEHQRGKPRPDKTGKESAKPCEAEGILPSHGKVRVPTQ PTSGPVPTTQIQEASAEHPPAVAPRKGARYPEPKVPEPGWKTSSPLLRKESAEKQVPEGG SQVQEVLEDQKPVSYSKTLPVQEKDTVEESARPHLEGRFQVAVEAPPTDAVLPQEAPADT QEPEPTDTTTYKNLQHHDYNTYTFLDLNLDLSKFRLPQPSSGRESPRH >ENSMUSP00000140032.1 pep:known chromosome:GRCm38:17:31520185:31527242:1 gene:ENSMUSG00000024038.16 transcript:ENSMUST00000191598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv3 description:NADH dehydrogenase (ubiquinone) flavoprotein 3 [Source:MGI Symbol;Acc:MGI:1890894] MAVSLLLRGGRIRALKAVLLEARVFPGELVSVVRLSTESEKSAKEKELHPKTQSVLKGQL YKKSYCHSLRPTDLSHVSPDAPGTLV >ENSMUSP00000141433.1 pep:known chromosome:GRCm38:3:51415148:51476507:1 gene:ENSMUSG00000063273.11 transcript:ENSMUST00000193266.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa15 description:N(alpha)-acetyltransferase 15, NatA auxiliary subunit [Source:MGI Symbol;Acc:MGI:1922088] MKGLTLNCLGKKEEAYELVRRGLRNDLKSHVCWHVYGLLQRSDKKYDEAIKCYRNALKWD KDNLQILRDLSLLQIQMRDLEGYRETRYQLLQLRPAQRASWIGYAIAYHLLEDYEMAAKI LEEFRKTQQTSPDKVDYEYSELLLYQNQVLREAGLYREALEHLCTYEKQICDKLAVEETK GELLLQLCRLEDAADVYRGLQERNPENWAYYKGLEKALKPANMLERLKIYEEAWTKYPRG LVPRRLPLNFLSGEKFKECLDRFLRMNFSKGCPPVFNTLRSLYRDKEKVAIVEELVVGYE TSLKSCRLFNPNDDGKEEPPTTLLWVQYYLAQHYDKIGQPSIALEYINTAIESTPTLIEL FLVKAKIYKHAGNIKEAARWMDEAQALDTADRFINSKCAKYMLKANLIKEAEEMCSKFTR EGTSAVENLNEMQCMWFQTECAQAYKAMNKFGEALKKCHEIERHFIEITDDQFDFHTYCM RKITLRSYVDLLKLEDVLRQHPFYFKAARIAIEIYLKLHDNPLTDENKEHEADTANMSDK ELKKLRNKQRRAQKKAQIEEEKKNAEKEKQQRNQKKKKDDDDEEIGGPKEELIPEKLAKV ETPLEEAIKFLTPLKNLVKNKIETHLFAFEIYFRKEKFLLMLQSVKRAFAIDSSHPWLHE CMIRLFHSVCESKDLPETVRTVLKQEMNRLFGATNPKNFNETFLKRNSDSLPHRLSAAKM VYYLDSSSQKRAIELATTLDGSLTNRNLQTCMEVLEALCDGSLGDCKEAAEAYRASCHKL FPYALAFMPPGYEEDMKITVNGDSSAETEELANEI >ENSMUSP00000141965.1 pep:known chromosome:GRCm38:3:51416614:51442863:1 gene:ENSMUSG00000063273.11 transcript:ENSMUST00000192419.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa15 description:N(alpha)-acetyltransferase 15, NatA auxiliary subunit [Source:MGI Symbol;Acc:MGI:1922088] MKGLTLNCLGKKEEAYELVRRGLRNDLKSHVCWHVYGLLQRSDKKYDEAIKCYRNALKWD KDNLQILRDLSLLQIQMRDLEGYRETRYQLLQLRPAQRASWIGYAIAYHLLEDYEMAAKI LEEFRKT >ENSMUSP00000141886.1 pep:known chromosome:GRCm38:3:51441906:51448559:1 gene:ENSMUSG00000063273.11 transcript:ENSMUST00000192197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa15 description:N(alpha)-acetyltransferase 15, NatA auxiliary subunit [Source:MGI Symbol;Acc:MGI:1922088] XWHVYGLLQRSDKKYDEAIKCYRNALKWDKDNLQILRDLSLLQIQMRDLEGYRETRYQLL QLRPAQRASWIGYAIAYHLLEDYEMAAKILEEFRKTQQTSPDKVDYEYSELLLYQNQVLR EAGLYREALEHLCTYEKQICDKLAVEETKGELLLQLCRLEDAADVYRGLQERNPENWAYY KGLEKALKPVFFPLSLLPSPPPKANMLERLKIYEEAWTKYPRGLVPRRLPLNFLSGE >ENSMUSP00000029303.7 pep:known chromosome:GRCm38:3:51416016:51475985:1 gene:ENSMUSG00000063273.11 transcript:ENSMUST00000029303.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa15 description:N(alpha)-acetyltransferase 15, NatA auxiliary subunit [Source:MGI Symbol;Acc:MGI:1922088] MPAVSLPPKENALFKRILRCYEHKQYRNGLKFCKQILSNPKFAEHGETLAMKGLTLNCLG KKEEAYELVRRGLRNDLKSHVCWHVYGLLQRSDKKYDEAIKCYRNALKWDKDNLQILRDL SLLQIQMRDLEGYRETRYQLLQLRPAQRASWIGYAIAYHLLEDYEMAAKILEEFRKTQQT SPDKVDYEYSELLLYQNQVLREAGLYREALEHLCTYEKQICDKLAVEETKGELLLQLCRL EDAADVYRGLQERNPENWAYYKGLEKALKPANMLERLKIYEEAWTKYPRGLVPRRLPLNF LSGEKFKECLDRFLRMNFSKGCPPVFNTLRSLYRDKEKVAIVEELVVGYETSLKSCRLFN PNDDGKEEPPTTLLWVQYYLAQHYDKIGQPSIALEYINTAIESTPTLIELFLVKAKIYKH AGNIKEAARWMDEAQALDTADRFINSKCAKYMLKANLIKEAEEMCSKFTREGTSAVENLN EMQCMWFQTECAQAYKAMNKFGEALKKCHEIERHFIEITDDQFDFHTYCMRKITLRSYVD LLKLEDVLRQHPFYFKAARIAIEIYLKLHDNPLTDENKEHEADTANMSDKELKKLRNKQR RAQKKAQIEEEKKNAEKEKQQRNQKKKKDDDDEEIGGPKEELIPEKLAKVETPLEEAIKF LTPLKNLVKNKIETHLFAFEIYFRKEKFLLMLQSVKRAFAIDSSHPWLHECMIRLFHSVC ESKDLPETVRTVLKQEMNRLFGATNPKNFNETFLKRNSDSLPHRLSAAKMVYYLDSSSQK RAIELATTLDGSLTNRNLQTCMEVLEALCDGSLGDCKEAAEAYRASCHKLFPYALAFMPP GYEEDMKITVNGDSSAETEELANEI >ENSMUSP00000146961.1 pep:known chromosome:GRCm38:7:28314891:28338746:-1 gene:ENSMUSG00000003435.9 transcript:ENSMUST00000207563.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Supt5 description:suppressor of Ty 5 [Source:MGI Symbol;Acc:MGI:1202400] MSDSEDSNFSEEEDSERSSEAEEAEVSPVVPEVCMYCLLCASLYKSLSLGSC >ENSMUSP00000003527.8 pep:known chromosome:GRCm38:7:28314894:28338719:-1 gene:ENSMUSG00000003435.9 transcript:ENSMUST00000003527.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt5 description:suppressor of Ty 5 [Source:MGI Symbol;Acc:MGI:1202400] MSDSEDSNFSEEEDSERSSEAEEAEVEEDQRSAAGSEKEEEPEEEEEEEEEYDEEEEEED DDRPPKKPRHGGFILDEADVDDEYEDEDQWEDGAEDILEKEEIEASNIDNVVLDEDRSGA RRLQNLWRDQREEELGEYYMKKYAKSSVGETVYGGSDELSDDITQQQLLPGVKDPNLWTV KCKIGEERATAISLMRKFIAYQFTDTPLQIKSVVAPEHVKGYIYVEAYKQTHVKQAIEGV GNLRLGYWNQQMVPIKEMTDVLKVVKEVANLKPKSWVRLKRGIYKDDIAQVDYVEPSQNT ISLKMIPRIDYDRIKARMSLKDWFAKRKKFKRPPQRLFDAEKIRSLGGDVASDGDFLIFE GNRYSRKGFLFKSFAMSAVITEGVKPTLSELEKFEDQPEGIDLEVVTESTGKEREHNFQP GDNVEVCEGELINLQGKVLSVDGNKITIMPKHEDLKDMLEFPAQELRKYFKMGDHVKVIA GRFEGDTGLIVRVEENFVILFSDLTMHELKVLPRDLQLCSETASGVDVGGQHEWGELVQL DPRTVGVIVRLERETFQVLNMHGKVVTVRHQAVTQKKDNRFAVALDSDQNNIHVKDIVKV IDGPHSGREGEIRHLYRSFAFLHCKKLVENGGMFVCKARHLVLAGGSKPRDVTNLTVGGF TPMSPRISSPMHPSAEGQHGGFGSPGGMSRGRGRRDNELIGQTVRISQGPYKGYIGVVKD ATESTARVELHSTCQTISVDRQRLTTVDSQRPGGMTSTYGRTPMYGSQTPMYGSGSRTPM YGSQTPLQDGSRTPHYGSQTPLHDGSRTPAQSGAWDPNNPNTPSRAEEEYEYAFDDEPTP SPQAYGGTPNPQTPGYPDPSSPQVNPQYNPQTPGTPAMYNTDQFSPYAAPSPQGSYQPSP SPQSYHQVAPSPAGYQNTHSPASYHPTPSPMAYQASPSPSPVGYSPMTPGAPSPGGYNPH TPGSGIEQNSSDWVTTDIQVKVRDTYLDTQIVGQTGVIRSVTGGMCSVYLKDSEKVVSIS SEHLEPITPTKNNKVKVILGEDREATGVLLSIDGEDGIIRMDLEDQQIKILNLRFLGKLL EA >ENSMUSP00000147164.1 pep:known chromosome:GRCm38:7:28314898:28338706:-1 gene:ENSMUSG00000003435.9 transcript:ENSMUST00000209141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt5 description:suppressor of Ty 5 [Source:MGI Symbol;Acc:MGI:1202400] MSDSEDSNFSEEEDSERSSEAEEAEVEEDQRSAAGSEKEEEPEEEEEEEEEYDEEEEEED DDRPPKKPRHGGFILDEADVDDEYEDEDQWEDGAEDILEKEEIEASNIDNVVLDEDRSGA RRLQNLWRDQREEELGEYYMKKYAKSSVGETVYGGSDELSDDITQQQLLPGVKDPNLWTV KCKIGEERATAISLMRKFIAYQFTDTPLQIKSVVAPEHVKGYIYVEAYKQTHVKQAIEGV GNLRLGYWNQQMVPIKEMTDVLKVVKEVANLKPKSWVRLKRGIYKDDIAQVDYVEPSQNT ISLKMIPRIDYDRIKARMSLKDWFAKRKKFKRPPQRLFDAEKIRSLGGDVASDGDFLIFE GNRYSRKGFLFKSFAMSAVITEGVKPTLSELEKFEDQPEGIDLEVVTESTGKEREHNFQP GDNVEVCEGELINLQGKVLSVDGNKITIMPKHEDLKDMLEFPAQELRKYFKMGDHVKVIA GRFEGDTGLIVRVEENFVILFSDLTMHELKVLPRDLQLCSETASGVDVGGQHEWGELVQL DPRTVGVIVRLERETFQVLNMHGKVVTVRHQAVTQKKDNRFAVALDSDQNNIHVKDIVKV IDGPHSGREGEIRHLYRSFAFLHCKKLVENGGMFVCKARHLVLAGGSKPRDVTNLTVGGF TPMSPRISSPMHPSAEGQHGGFGSPGGMSRGRGRRDNELIGQTVRISQGPYKGYIGVVKD ATESTARVELHSTCQTISVDRQRLTTVDSQRPGGMTSTYGRTPMYGSQTPMYGSGSRTPM YGSQTPLQDGSRTPHYGSQTPLHDGSRTPAQSGAWDPNNPNTPSRAEEEYEYAFDDEPTP SPQAYGGTPNPQTPGYPDPSSPQVNPQYNPQTPGTPAMYNTDQFSPYAAPSPQGSYQPSP SPQSYHQVAPSPAGYQNTHSPASYHPTPSPMAYQASPSPSPVGYSPMTPGAPSPGGYNPH TPGSGIEQNSSDWVTTDIQVKVRDTYLDTQIVGQTGVIRSVTGGMCSVYLKDSEKVVSIS SEHLEPITPTKNNKVKVILGEDREATGVLLSIDGEDGIIRMDLEDQQIKILNLRFLGKLL EA >ENSMUSP00000147059.1 pep:known chromosome:GRCm38:7:28323769:28329423:-1 gene:ENSMUSG00000003435.9 transcript:ENSMUST00000208243.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Supt5 description:suppressor of Ty 5 [Source:MGI Symbol;Acc:MGI:1202400] GETVYGGSDELSDDITQQQLLPGVKDPNLWTVKCKIGEERATAISLMRKFIAYQFTDTPL QIKSVVAPEHVKGYIYVEAYKQTHVKQAIEGVGNLRLGYWNQQMVPIKEMTDVLKVVKEV ANLKPKSWVRLKRGIYKDDIAQDIERQVE >ENSMUSP00000133499.1 pep:known chromosome:GRCm38:17:34181988:34187764:-1 gene:ENSMUSG00000096727.2 transcript:ENSMUST00000174576.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb9 description:proteasome (prosome, macropain) subunit, beta type 9 (large multifunctional peptidase 2) [Source:MGI Symbol;Acc:MGI:1346526] MLRAGAPTAGSFRTEEVHTGTTIMAVEFDGGVVVGSDSRVSAGTAVVNRVFDKLSPLHQH IFCALSGSAADAQAIADMAAYQLELHGLELEEPPLVLAAANVVKNISYKYREDLLAHLIV AGWDQCEGGQVYGTMGGMLIRQPFTIGGSGSSYIYGYVDAAYKPGMTPEECRRFTTDAIT LAMNRDGSSGGVIYLVTITAAGVDHRVILGDELPKFYDE >ENSMUSP00000128195.1 pep:known chromosome:GRCm38:17:34182036:34183731:-1 gene:ENSMUSG00000096727.2 transcript:ENSMUST00000171321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb9 description:proteasome (prosome, macropain) subunit, beta type 9 (large multifunctional peptidase 2) [Source:MGI Symbol;Acc:MGI:1346526] EPPLVLAAANVVKNISYKYREDLLAHLIVAGWDQCEGGQPSLWP >ENSMUSP00000134120.1 pep:known chromosome:GRCm38:17:34184282:34187219:-1 gene:ENSMUSG00000096727.2 transcript:ENSMUST00000173831.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb9 description:proteasome (prosome, macropain) subunit, beta type 9 (large multifunctional peptidase 2) [Source:MGI Symbol;Acc:MGI:1346526] MAVEFDGGVVVGSDSRVSAGTAVVNRVFDKLSPLHQHIFCALSGSAADAQAIADMAAYQL ELHG >ENSMUSP00000107202.1 pep:known chromosome:GRCm38:2:86890226:86892163:-1 gene:ENSMUSG00000075170.3 transcript:ENSMUST00000111576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1097 description:olfactory receptor 1097 [Source:MGI Symbol;Acc:MGI:3030931] MSACNDTNEPEFTLVGLTDSKEIQLVLSVLFLLIYMLTVLGNIGMILIIHLDVQLHTPMY FFLTHLSFLDLSYSTVITPKTLQNTLTSIKNISFMGCFTQLYFFVLLAASECFILSSMAY DRYVAICNPLHYPVIMSPRRSYTLITVSYMIGVLDSSVTVFCLSTLDFCNSKVIHHFFCD TFPILALSCSDTYNAEATIFVLAGSTLLLSLITISSSYVSILSTILKINSSSGKHKAFST CASHLIGVTVFYGTMIFTYLKPSTSYSLGKDQVASVFYTIVIPMLNPLIYSLRNKEVKSA VVRVMKKRECTQKLK >ENSMUSP00000027768.7 pep:known chromosome:GRCm38:1:179744894:179803680:-1 gene:ENSMUSG00000026491.13 transcript:ENSMUST00000027768.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahctf1 description:AT hook containing transcription factor 1 [Source:MGI Symbol;Acc:MGI:1915033] MQDLTAQVTSDLLHFPEVTIEALGEDEITLESVLRGKFAAGKNGLACLACGPQLEVVNSL TGERLSAYRFSGVNEQPPVVLAVKEFSWHKRTGLLIGLEEADGSVLCLYDLGISRVVKAV VLPGRVTAIEPIINHGGASASTQHLHPSLRWLFGVAAVVTDVGQILLIDLCLDDLSCSQN EVEASDLEVITGIPAEVPHIRERVMREGRHLCFQLVSPLGVAISTLSYINRTNQLAVGFS DGYLALWNMKSMKREYYTQLEGGRVPVHAVAFQEPENDPRNCCYLWAVQSTQDSEGDVLS LHLLQLAFGDRKCLASGQILYEGLEYCEERYTLDLAGGTFPLRGQTSNTKLLGCQSIERF PSHGDREESMREALSPDTSVSVFTWQVNIYGQGKPSVYLGLFDINRWYHAQMPDSLRSGE SLHNCSYFALWSLDSVVSRTSPHHILDILVHERSLNRGVPPSYPPPEQFFNPSTFNFDAT CLLDSGVIHVTCAGFQKETLTFLKKSGPTLNEVIPDSYNRCLVAGLLSPRLIDIQPSSLS QEEQLEAILSAAIQTSSLGLLTGYIRTWIIEEQPNSAANLRFVLEWTWNKVVLTKEEFDR LCVPLFDGSCRFIDPQTIQSIQQCHLLLSNLSTVLSCFAMEAQGITERGLVDLSNKHMVT QLLCQYAHMVLWFCHSGLLPEGLDDALQLSRLRYNYPVIQNYYTSRRQKSERSPRGKWNH DCLMIDGLVSQLGDEVEKLWKRDEGGTGRYPPASIHALLDIYLLDNITEASKHAITIYLL LDIMYSFPNKTDTPIESFPTAFAISWGQVKLVQGFWLLDHNDYENGLDLLFHPVTAKPAS WQHSKIIEAFMSQGEHKQALRYLQTMKPTVSSSNEVILHLTVLLFNRCMVEAWNLLRQNS NRVNIEELLKHAYEVCQEMGLMEDLLKLPFTNTEQECLVKFLQSSTSVENHEFLLVHHLQ RANYISALKLNQILKNNLMSDRDPRLRERSVTRNSILDQYGKILPRVQRKLAVERAKPYH LSTSSVFHEVSRPKPLSAFPKKAITGTVLTRSTFISNVLSKIGEVWASHEPRNGVSLFNS PKTEQPSPVVHSFPHPELPEAFVGTPISNTSQRISRLLDLVVHPVPQPSQCLEFIQQSPT RSPLCLLSSSLPLSSQFKRPHQNTSRPSELLLLETPLIVKKAKSLALSATSSGFAEFTPP SILRSGFRTTPLASPSLSPGRSLTPPFRVKETRISFMEEGMNTHWTDRATDDRNTKAFVS TSFHKCGLPAETEWMKTSDKNTYFPLDVPAKGPQKVVAESLATHSGRLEKLDVSKEDSTA STRSDQTSLEYHDAPSPEDLEGAVFVSPKPASSSTELTTNSTLQTERDNDKDAFKSEGAP SPVKKQIGTGDAAVEAFSELSRLDPVERAEASFAVSSVCEGETSTSNSKTSVLDGIVPIE SRTSILTADHKESVANTVADVESSGSTSSKCPVTSERSLGQKLTLNLKEDEIEAHVPKEN VGLPEESPRISAAPSDTHEIHLIGCENLEVQNSEEEAKNLSFDELYPLGAEKLEYNLSTI EQQFCDLPDDKDSAECDAAEVDGELFVAQSNFTLILEGEEGEAEASDSAAPNMLPKATKE KPVCHREPHNQERVTDLPSAVTADQESHKVETLPYVPEPVKVAIAENLLDVIKDTRSKEA TPVAAGEAGDEDGAVIVSKAAHSSRLTNSTPKTVKEPHAETVNTSQNDDMVSSRTLTRRQ HALSLNVTSEQEPSAVATPKKRTRKIKETPESSERTCSDLKVAPENQLTAQSPPAPRRGK KKDVSQGTLPSSGAVEPEPEPQGTPGRLRLRTQPPEPAAEETPSRTKVRLSSVRKGTPRR LKKSVENGQSTEILDDLKGSEAASHDGTVTELRNANLEDTQNMEYKQDEHSDQQLPLKRK RVREREVSVSSVTEEPKLDSSQLPLQTGLDVPATPRKRGRPRKVVPLEADGGTTGKEQTS PQKKDVPVVRRSTRNTPARNVSTLEKSVLVPNKEAALVVTSKRRPTKKSAEESSKDPSAA VSDLAGGAAHTESADRRDGLLAAAALTPSAQGTRTRSRRTMLLTDISEPKTEPLFPPPSV KVPKKKSKAENMEAAAQLKELVSDLSSQFVVSPPALRTRQKSISNTSKLLGELESDPKPL EIIEQKPKRSRTVKTRASRNTGKGSSWSPPPVEIKLVSPLASPVDEIKTGKPRKTAEIAG KTLGRGRKKPSSFPKQILRRKML >ENSMUSP00000115253.1 pep:known chromosome:GRCm38:1:179745337:179752409:-1 gene:ENSMUSG00000026491.13 transcript:ENSMUST00000140489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahctf1 description:AT hook containing transcription factor 1 [Source:MGI Symbol;Acc:MGI:1915033] XSRRTMLLTDISEPKTEPLFPPPSVKVPKKKSKAENMEAAAQLKELVSDLSSQFVVSPPA LRTRQKSISNTSKLLGELESDPKPLEIIEQKPKRSRTVKTRASRKRKFLVTSSCRN >ENSMUSP00000119738.1 pep:known chromosome:GRCm38:1:179753699:179757989:-1 gene:ENSMUSG00000026491.13 transcript:ENSMUST00000125816.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ahctf1 description:AT hook containing transcription factor 1 [Source:MGI Symbol;Acc:MGI:1915033] GIVPIESRTSILTADHKESVANTVADVESSGSTSSKCPVTSERSLGQKLTLNLKEDEIEA HVPKENVGLPEESPRISAAPSDTHEIHVNARTIPSSTKPLQERY >ENSMUSP00000120551.1 pep:known chromosome:GRCm38:1:179760263:179765907:-1 gene:ENSMUSG00000026491.13 transcript:ENSMUST00000127250.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ahctf1 description:AT hook containing transcription factor 1 [Source:MGI Symbol;Acc:MGI:1915033] LLLETPLIVKVWFSNNTFSISLFVTWKISHSAFQS >ENSMUSP00000115795.1 pep:known chromosome:GRCm38:1:179768295:179770918:-1 gene:ENSMUSG00000026491.13 transcript:ENSMUST00000145968.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahctf1 description:AT hook containing transcription factor 1 [Source:MGI Symbol;Acc:MGI:1915033] LRQNSNRVNIEELLKHAYEVCQEMGLMEDLLKLPFTNTEQSDRDPRLRERSVTRNSILDQ YGKILPRVQRKLAVERAKPYHLSTSSVFHEVSRPKPLSAFPKKAITGTVLTRSTFISNVL SKIGEVWASHEPRNGVSLFNSPKTEQPSPVVHSFPHPELPEAFVGTPISNTSQRIS >ENSMUSP00000008032.7 pep:known chromosome:GRCm38:8:70493158:70504081:1 gene:ENSMUSG00000007888.15 transcript:ENSMUST00000008032.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crlf1 description:cytokine receptor-like factor 1 [Source:MGI Symbol;Acc:MGI:1340030] MPAGRPGPVAQSARRPPRPLSSLWSPLLLCVLGVPRGGSGAHTAVISPQDPTLLIGSSLQ ATCSIHGDTPGATAEGLYWTLNGRRLPSELSRLLNTSTLALALANLNGSRQQSGDNLVCH ARDGSILAGSCLYVGLPPEKPFNISCWSRNMKDLTCRWTPGAHGETFLHTNYSLKYKLRW YGQDNTCEEYHTVGPHSCHIPKDLALFTPYEIWVEATNRLGSARSDVLTLDVLDVVTTDP PPDVHVSRVGGLEDQLSVRWVSPPALKDFLFQAKYQIRYRVEDSVDWKVVDDVSNQTSCR LAGLKPGTVYFVQVRCNPFGIYGSKKAGIWSEWSHPTAASTPRSERPGPGGGVCEPRGGE PSSGPVRRELKQFLGWLKKHAYCSNLSFRLYDQWRAWMQKSHKTRNQDEGILPSGRRGAA RGPAG >ENSMUSP00000119545.1 pep:known chromosome:GRCm38:8:70493361:70500930:1 gene:ENSMUSG00000007888.15 transcript:ENSMUST00000132648.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crlf1 description:cytokine receptor-like factor 1 [Source:MGI Symbol;Acc:MGI:1340030] LCVLGVPRGGSGALPPEKPFNISCWSRNMKDLTCRWTPGAHGETFLHTNYSLKYKLRWYG QDNTCEEYHTVGPHSCHIPKDLALFTPYEIWVEATNRLGSARSDVLTLDVLDVVTTDPPP DVHVSRVGG >ENSMUSP00000115614.1 pep:known chromosome:GRCm38:8:70501133:70504030:1 gene:ENSMUSG00000007888.15 transcript:ENSMUST00000127983.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crlf1 description:cytokine receptor-like factor 1 [Source:MGI Symbol;Acc:MGI:1340030] XTSCRLAGLKPGTVYFVQVRCNPFGIYGSKKAGIWSEWSHPTAASTPRSERPGPGGGVCE PRGGEPSSGPVRRELKQFLGWLKKHAYCSNLSFRLYDQWRAWMQKSHKTRNQVLPAKL >ENSMUSP00000110822.2 pep:known chromosome:GRCm38:16:32099800:32108069:1 gene:ENSMUSG00000035790.15 transcript:ENSMUST00000115168.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep19 description:centrosomal protein 19 [Source:MGI Symbol;Acc:MGI:1914244] MKYIAKKCGVRFQPPAVILIYENETEGKSRQRIMPVRNFSKFSDCTRAAEQLKNNPRHKS YLEQVPLKQLEKLFVFLRGSLQGQSLAETMEQIRRETTIDPEEDLNKLDDKELAKRKSIM DELFEKNQKRKDDPTFVYDVEVEFPQDEQLLSCSWDTASVDDF >ENSMUSP00000046587.6 pep:known chromosome:GRCm38:16:32099952:32108051:1 gene:ENSMUSG00000035790.15 transcript:ENSMUST00000042869.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep19 description:centrosomal protein 19 [Source:MGI Symbol;Acc:MGI:1914244] MKYIAKKCGVRFQPPAVILIYENETEGKSRQRIMPVRNFSKFSDCTRAAEQLKNNPRHKS YLEQVPLKQLEKLFVFLRGSLQGQSLAETMEQIRRETTIDPEEDLNKLDDKELAKRKSIM DELFEKNQKRKDDPTFVYDVEVEFPQDEQLLSCSWDTASVDDF >ENSMUSP00000126083.2 pep:known chromosome:GRCm38:16:32103878:32108055:1 gene:ENSMUSG00000035790.15 transcript:ENSMUST00000169186.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep19 description:centrosomal protein 19 [Source:MGI Symbol;Acc:MGI:1914244] MKYIAKKCGVRFQPPAVILIYENETEGKSRQRIMPVRNFSKFSDCTRAAEQLKNNPRHKS YLEQVPLKQLEKLFVFLRGSLQGQSLAETMEQIRRETTIDPEEDLNKLDDKELAKRKSIM DELFEKNQKRKDDPTFVYDVEVEFPQDEQLLSCSWDTASVDDF >ENSMUSP00000105552.1 pep:known chromosome:GRCm38:2:150181755:150193279:1 gene:ENSMUSG00000079009.8 transcript:ENSMUST00000109926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14139 description:predicted gene 14139 [Source:MGI Symbol;Acc:MGI:3836251] MLETYRNLAAIGYVWEDHNTEEHLHISRRYGRHERSHTEQLLSEFIQCDKAFAFQSHNQR QERIHTAETPYECNQCGKSFARKSHLLRHKRTHTGEKPFQCYQCGKTLARNTHLLQHKKV HTGEKPYECKHCDKASVCHIGLLYHKRTHAGQRPYEWNECGKSIVYHSCIKQCKRRHTGE KPFECNQCGKSFARKSNLLRHKRIHTGEKPYKCDQCGKDFASPSSLKCHKRVHTGENPYE CKQCGKAFARLSILRTHKRTHTGEKPFECNECGKSFARKSHLLRHKRIHTGEKPYKCDQC GKDLACPNSLQYHKRVHTGEKSYECKQCGKAFAFSTSLRIHKRTHTGEKPYECNQCGKAF SSPSGFLYHKQTHTGERPYECNECGKSFIHRSNFQMHKRTHTGEKPFECDQCGKSFAQNT YLLQHKRVHTGEKPYECKHCGKAFAYSNNLRVHIRTHTKYLVW >ENSMUSP00000105555.2 pep:known chromosome:GRCm38:2:150190393:150193152:1 gene:ENSMUSG00000079009.8 transcript:ENSMUST00000109929.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14139 description:predicted gene 14139 [Source:MGI Symbol;Acc:MGI:3836251] GRVTYDDVHVNFTREEWALLDSSQKNLYKEVMLETYRNLAAIGYVWEDHNTEEHLHISRR YGRHERSHTEQLLSEFIQCDKAFAFQSHNQRQERIHTAETPYECNQCGKSFARKSHLLRH KRTHTGEKPFQCYQCGKTLARNTHLLQHKKVHTGEKPYECKHCDKASVCHIGLLYHKRTH AGQRPYEWNECGKSIVYHSCIKQCKRRHTGEKPFECNQCGKSFARKSNLLRHKRIHTGEK PYKCDQCGKDFASPSSLKCHKRVHTGENPYECKQCGKAFARLSILRTHKRTHTGEKPFEC NECGKSFARKSHLLRHKRIHTGEKPYKCDQCGKDLACPNSLQYHKRVHTGEKSYECKQCG KAFAFSTSLRIHKRTHTGEKPYECNQCGKAFSSPSGFLYHKQTHTGERPYECNECGKSFI HRSNFQMHKRTHTGEKPFECDQCGKSFAQNTYLLQHKRVHTGEKPYECKHCGKAFAYSNN LRVHIRTHTKYLVW >ENSMUSP00000132049.1 pep:known chromosome:GRCm38:6:29279593:29287780:1 gene:ENSMUSG00000079652.8 transcript:ENSMUST00000167131.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71f2 description:family with sequence similarity 71, member F2 [Source:MGI Symbol;Acc:MGI:2141439] MDAYERSATMILGVTSSVPSLPLPNILLMANVTWPHGPFSTCSTLGAPVITLSRILPLKY VELQIYDRTQRILRVRTVTEKIYYLRLHEKHPQAVFQFWIRLVKILQKGLSITTKDPRIQ FTHCLVPKMSNSSTETATESSLPASSQNSEAIMLLAAERNSSSLLELSNRHQTSRD >ENSMUSP00000110941.2 pep:known chromosome:GRCm38:6:29281141:29290680:1 gene:ENSMUSG00000079652.8 transcript:ENSMUST00000115286.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71f2 description:family with sequence similarity 71, member F2 [Source:MGI Symbol;Acc:MGI:2141439] MSKIRGLPPAIRDPGPGVELGVVDGLLCQLIHSPEFNLFSDSVVFESTFIQVTKQGNWMD AYERSATMILGVTSSVPSLPLPNILLMANVTWPHGPFSTCSTLGAPVITLSRILPLKYVE LQIYDRTQRILRVRTVTEKIYYLRLHEKHPQAVFQFWIRLVKILQKGLSITTKDPRIQFT HCLVPKMSNSSTETATESSLPASSQNSEAIMLLAAERNSSSLLELSNRHQTSRDRHTDTA TETDNSGNCKSTPLVASSASMPMRAALTHSLWEQEDSNENFLQAPVASSLGENLLGP >ENSMUSP00000125895.1 pep:known chromosome:GRCm38:6:29281143:29290675:1 gene:ENSMUSG00000079652.8 transcript:ENSMUST00000167608.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam71f2 description:family with sequence similarity 71, member F2 [Source:MGI Symbol;Acc:MGI:2141439] MSKIRGLPPAIRDPGPGVELGVVDGLLCQLIHSPEFNLFSDSVVFESTFIQDSPPEVCGA ANL >ENSMUSP00000027127.7 pep:known chromosome:GRCm38:1:54985169:55027481:-1 gene:ENSMUSG00000025982.13 transcript:ENSMUST00000027127.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3b1 description:splicing factor 3b, subunit 1 [Source:MGI Symbol;Acc:MGI:1932339] MAKIAKTHEDIEAQIREIQGKKAALDEAQGVGLDSTGYYDQEIYGGSDSRFAGYVTSIAA TELEDDDDDYSSSTSLLGQKKPGYHAPVALLNDIPQSTEQYDPFAEHRPPKIADREDEYK KHRRTMIISPERLDPFADGGKTPDPKMNARTYMDVMREQHLTKEEREIRQQLAEKAKAGE LKVVNGAAASQPPSKRKRRWDQTADQTPGATPKKLSSWDQAETPGHTPSLRWDETPGRAK GSETPGATPGSKIWDPTPSHTPAGAATPGRGDTPGHATPGHGGATSSARKNRWDETPKTE RDTPGHGSGWAETPRTDRGGDSIGETPTPGASKRKSRWDETPASQMGGSTPVLTPGKTPI GTPAMNMATPTPGHIMSMTPEQLQAWRWEREIDERNRPLSDEELDAMFPEGYKVLPPPAG YVPIRTPARKLTATPTPLGGMTGFHMQTEDRTMKSVNDQPSGNLPFLKPDDIQYFDKLLV DVDESTLSPEEQKERKIMKLLLKIKNGTPPMRKAALRQITDKAREFGAGPLFNQILPLLM SPTLEDQERHLLVKVIDRILYKLDDLVRPYVHKILVVIEPLLIDEDYYARVEGREIISNL AKAAGLATMISTMRPDIDNMDEYVRNTTARAFAVVASALGIPSLLPFLKAVCKSKKSWQA RHTGIKIVQQIAILMGCAILPHLRSLVEIIEHGLVDEQQKVRTISALAIAALAEAATPYG IESFDSVLKPLWKGIRQHRGKGLAAFLKAIGYLIPLMDAEYANYYTREVMLILIREFQSP DEEMKKIVLKVVKQCCGTDGVEANYIKTEILPPFFKHFWQHRMALDRRNYRQLVDTTVEL ANKVGAAEIISRIVDDLKDEAEQYRKMVMETIEKIMGNLGAADIDHKLEEQLIDGILYAF QEQTTEDSVMLNGFGTVVNALGKRVKPYLPQICGTVLWRLNNKSAKVRQQAADLISRTAV VMKTCQEEKLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMHKMTPPIKDLLPRL TPILKNRHEKVQENCIDLVGRIADRGAEYVSAREWMRICFELLELLKAHKKAIRRATVNT FGYIAKAIGPHDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPE LNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTASAVVQHMSLGVY GFGCEDSLNHLLNYVWPNVFETSPHVIQAVMGALEGLRVAIGPCRMLQYCLQGLFHPARK VRDVYWKIYNSIYIGSQDALIAHYPRIYNDDKNTYIRYELDYIL >ENSMUSP00000139469.1 pep:known chromosome:GRCm38:1:55012252:55027394:-1 gene:ENSMUSG00000025982.13 transcript:ENSMUST00000191303.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sf3b1 description:splicing factor 3b, subunit 1 [Source:MGI Symbol;Acc:MGI:1932339] MAKIAKTHEDIEAQIREIQGKKAALDEAQGVGLDSTGYYDQEIYGGSDSRFAGYVTSIAA TELEDDDDDYSSSTSLLGQKKPGYHAPVALLNDIPQSTEQYDPFAEHRPPKIADREDEYK KHRRTMIISPERLDPFADGFYSAA >ENSMUSP00000107266.1 pep:known chromosome:GRCm38:6:146796065:146833529:1 gene:ENSMUSG00000040187.15 transcript:ENSMUST00000111639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arntl2 description:aryl hydrocarbon receptor nuclear translocator-like 2 [Source:MGI Symbol;Acc:MGI:2684845] MEFPRKRRGRDSQPLQSEFMTDTTVESLPQNPFASLLSTRTGVSAPSGIREAHSQMEKRR RDKMNHLIQKLSSMIPPHIPTAHKLDKLSVLRRAVQYLRSLRGMTELYLGENSKPSFIQD KELSHLILKAAEGFLFVVGCERGRIFYVSKSVSKTLRYDQASLIGQNLFDFLHPKDVAKV KEQLSCDGSPREKPIDTKTSQVYSHPYTGRPRMHSGSRRSFFFRMKSCTVPVKEEQPCSS CSKKKDHRKFHTVHCTGYLRSWPLNVVGMEKESGGGKDSGPLTCLVAMGRLHPYIVPQKS GKINVRPAEFITRFAMNGKFVYVDQRATAILGYLPQELLGTSCYEYFHQDDHSSLTDKHK AVLQSKEKILTDSYKFRVKDGAFVTLKSEWFSFTNPWTKELEYIVSVNTLVLGRSETRLS LLHCGGSSQSSEDSFRQSCINVPGVSTGTVLGAGSIGTDIANEVLSLQRLHSSSPEDASP SEEVRDDCSVNGGNAYGPASTREPFAVSPSETEVLEAARQHQSTEPAHPHGPLPGDSAQL GFDVLCDSDSIDMAAFMNYLEAEGGLGDPGDFSDIQWAL >ENSMUSP00000107263.1 pep:known chromosome:GRCm38:6:146805530:146832646:1 gene:ENSMUSG00000040187.15 transcript:ENSMUST00000111636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arntl2 description:aryl hydrocarbon receptor nuclear translocator-like 2 [Source:MGI Symbol;Acc:MGI:2684845] MEFPRKRRGRDSQPLQSEFMTDTTVESLPQNPFASLLSTRTGVSAPSGIREAHSQMEKRR RDKMNHLIQKLSSMIPPHIPTAHKLDKLSVLRRAVQYLRSLRGMTELYLGENSKPSFIQD KELSHLILKAAEGFLFVVGCERGRIFYVSKSVSKTLRYDQASLIGQNLFDFLHPKDVAKV KEQLSCDGSPREKPIDTKTSQVYSHPYTGRPRMHSGSRRSFFFRMKSCTVPVKEEQPCSS CSKKKDHRKFHTVHCTGYLRSWPLNVVGMEKESGGGKDSGPLTCLVAMGRLHPYIVPQKS GKINVRPAEFITRFAMNGKFVYVDQRATAILGYLPQELLGTSCYEYFHQDDHSSLTDKHK AVLQSKEKILTDSYKFRVKDGAFVTLKSEWFSFTNPWTKELEYIVSVNTLVLGRSETRLS LLHCGGSSQSSEDSFRQSCINVPGVSTGTVLGAGSIGTDIANEVLSLQRLHSSSPEDASP SEEVRDDCSASTREPFAVSPSETEVLEAARQHQSTEPAHPHGPLPGDSAQLGFDVLCDSD SIDMAAFMNYLEAEGGLGDPGDFSDIQWAL >ENSMUSP00000121170.1 pep:known chromosome:GRCm38:6:146805530:146832646:1 gene:ENSMUSG00000040187.15 transcript:ENSMUST00000129788.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arntl2 description:aryl hydrocarbon receptor nuclear translocator-like 2 [Source:MGI Symbol;Acc:MGI:2684845] MEFPRKRRGRDSQPLQSEFMTDTTVESLPQNPFASLLSTRTGVSAPSGIREAHSQMEKRR RDKMNHLIQKLSSMIPPHIPTAHKLDKLSVLRRAVQYLRSLRGMTELYLGENSKPSFIQD KELSHLILKAAEGFLFVVGCERGRIFYVSKSVSKTLRYDQASLIGQNLFDFLHPKDVAKV KEQLSCDGSPREKPIDTKK >ENSMUSP00000107265.1 pep:known chromosome:GRCm38:6:146805530:146832646:1 gene:ENSMUSG00000040187.15 transcript:ENSMUST00000111638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arntl2 description:aryl hydrocarbon receptor nuclear translocator-like 2 [Source:MGI Symbol;Acc:MGI:2684845] MEFPRKRRGRDSQPLQSEFMTDTTVESLPQNPFASLLSTRTGVSAPSGIREAHSQMEKRR RDKMNHLIQKLSSMIPPHIPTAHKLDKLSVLRRAVQYLRSLRGMTELYLGENSKPSFIQD KELSHLILKAAEGFLFVVGCERGRIFYVSKSVSKTLRYDQASLIGQNLFDFLHPKDVAKV KEQLSCDGSPREKPIDTKTSQVYSHPYTGRPRMHSGSRRSFFFRMKSCTVPVKEEQPCSS CSKKKDHRKFHTVHCTGYLRSWPLNVVGMEKESGGGKDSGPLTCLVAMGRLHPYIVPQKS GKINVRPAEFITRFAMNGKFVYVDQRATAILGYLPQELLGTSCYEYFHQDDHSSLTDKHK ADSYKFRVKDGAFVTLKSEWFSFTNPWTKELEYIVSVNTLVLGRSETRLSLLHCGGSSQS SEDSFRQSCINVPGVSTGTVLGAGSIGTDIANEVLSLQRLHSSSPEDASPSEEVRDDCSV NGGNAYGPASTREPFAVSPSETEVLEAARQHQSTEPAHPHGPLPGDSAQLGFDVLCDSDS IDMAAFMNYLEAEGGLGDPGDFSDIQWAL >ENSMUSP00000079373.4 pep:known chromosome:GRCm38:6:146805530:146832646:1 gene:ENSMUSG00000040187.15 transcript:ENSMUST00000080530.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arntl2 description:aryl hydrocarbon receptor nuclear translocator-like 2 [Source:MGI Symbol;Acc:MGI:2684845] MEFPRKRRGRDSQPLQSEFMTDTTVESLPQNPFASLLSTRTGVSAPSGIREAHSQMEKRR RDKMNHLIQKLSSMIPPHIPTAHKLDKLSVLRRAVQYLRSLRGMTELYLGENSKPSFIQD KELSHLILKAAEGFLFVVGCERGRIFYVSKSVSKTLRYDQASLIGQNLFDFLHPKDVAKV KEQLSCDGSPREKPIDTKTSQVYSHPYTGRPRMHSGSRRSFFFRMKSCTVPVKEEQPCSS CSKKKDHRKFHTVHCTGYLRSWPLNVVGMEKESGGGKDSGPLTCLVAMGRLHPYIVPQKS GKINVRPAEFITRFAMNGKFVYVDQRATAILGYLPQELLGTSCYEYFHQDDHSSLTDKHK AVLQSKEKILTDSYKFRVKDGAFVTLKSEWFSFTNPWTKELEYIVSVNTLVLGRSETRLS LLHCGGSSQSSEDSFRQSCINVPGVSTGTVLGAGSIGTDIANEVLSLQRLHSSSPEDASP SEEVRDDCSVNGGNAYGPASTREPFAVSPSETEVLEAARQHQSTEPAHPHGPLPGDSAQL GFDVLCDSDSIDMAAFMNYLEAEGGLGDPGDFSDIQWAL >ENSMUSP00000124641.2 pep:known chromosome:GRCm38:19:6076945:6082256:-1 gene:ENSMUSG00000100621.1 transcript:ENSMUST00000162374.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28374 description:predicted gene 28374 [Source:MGI Symbol;Acc:MGI:5579080] XALLPPQAVPSSTQSYTWGVLKPWHTPQGKYMTHGMMTGQQAFMETVMMTNTAAGLLWAG WPSCSDPPCDAYSIFCKSLSCADAGLGRHDSPK >ENSMUSP00000080674.1 pep:known chromosome:GRCm38:6:132868008:132869009:1 gene:ENSMUSG00000062952.1 transcript:ENSMUST00000082014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r110 description:taste receptor, type 2, member 110 [Source:MGI Symbol;Acc:MGI:2681216] MFSQIISTSDIFTFTIILFVELVIGILGNGFIALVNIMDWTKRRSISSADQILTALAITR FLYVWFMIICILLFMLCPHLLTRSEIVTSIGIIWIVNNHFSVWLATCLGVFYFLKIANFS NSLFLYLKWRVKKVVLMIIQVSMIFLILNLLSLSMYDQFSIDVYEGNTSYNLGDSTPFPT ISLFINSSKVFVITNSSHIFLPINSLFMLIPFTVSLVAFLMLIFSLWKHHKKMQVNAKPP RDASTMAHIKALQTGFSFLLLYAVYLLFIVIGMLSLRLIGGKLILLFDHISGIGFPISHS FVLILGNNKLRQASLSVLHCLRCRSKDMDTMGP >ENSMUSP00000131294.1 pep:known chromosome:GRCm38:3:130617448:130634809:1 gene:ENSMUSG00000019232.14 transcript:ENSMUST00000166187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etnppl description:ethanolamine phosphate phospholyase [Source:MGI Symbol;Acc:MGI:1919010] MCELYSKQDTLALRERHIGPSCKIFFAADPIKIMRAQGQYMFDEKGERYLDCINNVAHVG HCHPEVVKAAAKQMELLNTNSRFLHDNIIEFAKRLTATLPQELSVCYFTNSGSEANDLAL RLARQFRGHQDVITLDHAYHGHLSSLIEISPYKFQKGKDVKRETVHVAPAPDTYRGKYRE DHEDPSTAYADEVKKIIEEAHSSGRKIAAFIAESMQSCGGQIIPPAGYFQKVAEHIHKAG GVFIADEVQVGFGRVGRYFWSFQMYGEDFVPDIVTMGKPMGNGHPISCVVTTKEIAEAFS SSGMEYFNTYGGNPVSCAVGLAVLDVIEKENLQGNAVRVGTYLMELLSEQKAKHPLIGDI RGVGLFIGIDLVKDREKRTPATAEAQHIIYEMKGKGVLLSADGPHRNVLKIKPPMCFTED DAKFLVDHLDGILTVLEEAMDSKSGTVFSENTAYRTKMPKEIQVELPNLSATEAREIPRG KRNGVCSDQQALLSKRLKT >ENSMUSP00000072121.6 pep:known chromosome:GRCm38:3:130617569:130637521:1 gene:ENSMUSG00000019232.14 transcript:ENSMUST00000072271.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etnppl description:ethanolamine phosphate phospholyase [Source:MGI Symbol;Acc:MGI:1919010] MCELYSKQDTLALRERHIGPSCKIFFAADPIKIMRAQGQYMFDEKGERYLDCINNVAHVG HCHPEVVKAAAKQMELLNTNSRFLHDNIIEFAKRLTATLPQELSVCYFTNSGSEANDLAL RLARQFRGHQDVITLDHAYHGHLSSLIEISPYKFQKGKDVKRETVHVAPAPDTYRGKYRE DHEDPSTAYADEVKKIIEEAHSSGRKIAAFIAESMQSCGGQIIPPAGYFQKVAEHIHKAG GVFIADEVQVGFGRVGRYFWSFQMYGEDFVPDIVTMGKPMGNGHPISCVVTTKEIAEAFS SSGMEYFNTYGGNPVSCAVGLAVLDVIEKENLQGNAVRVGTYLMELLSEQKAKHPLIGDI RGVGLFIGIDLVKDREKRTPATAEAQHIIYEMKGKGVLLSADGPHRNVLKIKPPMCFTED DAKFLVDHLDGILTVLEEAMDSKSGTVFSENTAYRTKMPKEIQVELPNLSATEAREIPRG KRNGVCSDQQALLSKRLKT >ENSMUSP00000129120.1 pep:known chromosome:GRCm38:3:130617693:130634677:1 gene:ENSMUSG00000019232.14 transcript:ENSMUST00000163620.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etnppl description:ethanolamine phosphate phospholyase [Source:MGI Symbol;Acc:MGI:1919010] MCELYSKQDTLALRERHIGPSCKIFFAADPIKIMRAQGQYMFDEKGERYLDCINNVAHEV VKAAAKQMELLNTNSRFLHDNIIEFAKRLTATLPQELSVCYFTNSGSEANDLALRLARQF RGHQDVITLDHAYHGHLSSLIEISPYKFQKGKDVKRETVHVAPAPDTYRGKYREDHEDPS TAYADEVKKIIEEAHSSGRKIAAFIAESMQSCGGQIIPPAGYFQKVAEHIHKAGGVFIAD EVQVGFGRVGRYFWSFQMYGEDFVPDIVTMGKPMGNGHPISCVVTTKEIAEAFSSSGMEY FNTYGGNPVSCAVGLAVLDVIEKENLQGNAVRVGTYLMELLSEQKAKHPLIGDIRGVGLF IGIDLVKDREKRTPATAEAQHIIYEMKGKGVLLSADGPHRNVLKIKPPMCFTEDDAKFLV DHLDGILTVLEEAMDSKSGTVFSENTAYRTKMPKEIQVELPNLSATEAREIPRGKRNGVC SDQQALLSKRLKT >ENSMUSP00000128425.1 pep:known chromosome:GRCm38:3:130626520:130629724:1 gene:ENSMUSG00000019232.14 transcript:ENSMUST00000170664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etnppl description:ethanolamine phosphate phospholyase [Source:MGI Symbol;Acc:MGI:1919010] XIIEEAHSSGRKIAAFIAESMQSCGGQIIPPAGYFQKVAEHIHKAGGVFIADEVQVGFGR VGRYFWSFQMYGEDFVPDIVTMGKPMGNGHPISCVVTTKEIAEAFSSSGMEYFNTVNFGS QT >ENSMUSP00000143027.1 pep:known chromosome:GRCm38:5:137208813:137212148:-1 gene:ENSMUSG00000094840.5 transcript:ENSMUST00000196391.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc3a description:mucin 3A, cell surface associated [Source:MGI Symbol;Acc:MGI:3588263] XTALCFKSDSIKVNNRTEKDLTPEAICHRTAPKGFEEHYFPLVELSRLRCVTNCTPGVNG TIDCNHGQCFLQKSGPTCRCFSTDTYWVSGPRCELAVDWRVLVGGLVGAVIVLLLLLVAL SVWVARSRGRDKDRQSSGRSWTQDRKWFEIWDEDIAGTFSNTGFQDAPTATNENFHVALE KVDTNMMVHIQRPEVASSSPPSPSPSPSSSPSPSSSS >ENSMUSP00000136061.1 pep:known chromosome:GRCm38:5:137208813:137212389:-1 gene:ENSMUSG00000094840.5 transcript:ENSMUST00000179412.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc3a description:mucin 3A, cell surface associated [Source:MGI Symbol;Acc:MGI:3588263] DNQTLCFKSDSIKVNNRTEKDLTPEAICHRTAPKGFEEHYFPLVELSRLRCVTNCTPGVN GTIDCNHGQCFLQKSGPTCRCFSTDTYWVSGPRCELAVDWRVLVGGLVGAVIVLLLLLVA LSVWVARSRGRDKDRQSSGRSWTQDRKWFEIWDEDIAGTFSNTGFQDAPTATNENFHVAL EKVDTNMMVHIQRPEVASSSPPSPSPSPSSSPSPSSSS >ENSMUSP00000078044.2 pep:known chromosome:GRCm38:6:132893011:132893940:1 gene:ENSMUSG00000056926.2 transcript:ENSMUST00000079035.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r113 description:taste receptor, type 2, member 113 [Source:MGI Symbol;Acc:MGI:2681217] MVAVLQSTLPIIFSMEFIMGTLGNGFIFLIVCIDWVQRRKISLVDQIRTALAISRIALIW LIFLDWWVSVHYPALHETGKMLSTYLISWTVINHCNFWLTANLSILYFLKIANFSNIIFL YLKFRSKNVVLVTLLVSLFFLFLNTVIIKIFSDVCFDSVQRNVSQIFIMYNHEQICKFLS FTNPMFTFIPFVMSTVMFSLLIFSLWRHLKNMQHTAKGCRDISTTVHIRALQTIIVSVVL YTIFFLSFFVKVWSFVSPERYLIFLFVWALGNAVFSAHPFVMILVNRRLRLASLSLIFWL WYRFKNIEV >ENSMUSP00000028312.6 pep:known chromosome:GRCm38:2:25490845:25493911:-1 gene:ENSMUSG00000026943.12 transcript:ENSMUST00000028312.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn12 description:lipocalin 12 [Source:MGI Symbol;Acc:MGI:1924951] MGPWWALWLILTLPQILESQISAMSQGFPQMTSFQSDQFQGEWFVLGLADNTFRREHRAL LNFFTTLFELKEKSQFQVTNSMTRGKHCNTWSYTLIPATKPGQFTRDNRGSGPGADRENI QVIETDYITFALVLSLRQTSSQNITRVSLLGRNWRLSHKTIDKFICLTRTQNLTKDNFLF PDLSDWLPDPQVC >ENSMUSP00000109883.1 pep:known chromosome:GRCm38:2:25490847:25493432:-1 gene:ENSMUSG00000026943.12 transcript:ENSMUST00000114245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn12 description:lipocalin 12 [Source:MGI Symbol;Acc:MGI:1924951] MQFQGEWFVLGLADNTFRREHRALLNFFTTLFELKEKSQFQVTNSMTRGKHCNTWSYTLI PATKPGQFTRDNRGSGPGADRENIQVIETDYITFALVLSLRQTSSQNITRVSLLGRNWRL SHKTIDKFICLTRTQNLTKDNFLFPDLSDWLPDPQVC >ENSMUSP00000027137.4 pep:known chromosome:GRCm38:1:45908068:45925582:-1 gene:ENSMUSG00000025993.10 transcript:ENSMUST00000027137.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc40a1 description:solute carrier family 40 (iron-regulated transporter), member 1 [Source:MGI Symbol;Acc:MGI:1315204] MTKARDQTHQEGCCGSLANYLTSAKFLLYLGHSLSTWGDRMWHFAVSVFLVELYGNSLLL TAVYGLVVAGSVLVLGAIIGDWVDKNARLKVAQTSLVVQNVSVILCGIILMMVFLHKNEL LTMYHGWVLTVCYILIITIANIANLASTATAITIQRDWIVVVAGENRSRLADMNATIRRI DQLTNILAPMAVGQIMTFGSPVIGCGFISGWNLVSMCVEYFLLWKVYQKTPALAVKAALK VEESELKQLTSPKDTEPKPLEGTHLMGEKDSNIRELECEQEPTCASQMAEPFRTFRDGWV SYYNQPVFLAGMGLAFLYMTVLGFDCITTGYAYTQGLSGSILSILMGASAITGIMGTVAF TWLRRKCGLVRTGLFSGLAQLSCLILCVISVFMPGSPLDLSVSPFEDIRSRFVNVEPVSP TTKIPETVFTTEMHMSNMSNVHEMSTKPIPIVSVSLLFAGVIAARIGLWSFDLTVTQLLQ ENVIESERGIINGVQNSMNYLLDLLHFIMVILAPNPEAFGLLVLISVSFVAMGHLMYFRF AQKTLGNQIFVCGPDEKEVTDENQPNTSVV >ENSMUSP00000140549.1 pep:known chromosome:GRCm38:1:45921217:45926519:-1 gene:ENSMUSG00000025993.10 transcript:ENSMUST00000187420.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc40a1 description:solute carrier family 40 (iron-regulated transporter), member 1 [Source:MGI Symbol;Acc:MGI:1315204] MTKARDQTHQEGCCGSLANYLTSAKFLLYLGHSLSTWGDRMWHFAVSVFLVELYGNSLLL TAVYGLVVAG >ENSMUSP00000140734.1 pep:known chromosome:GRCm38:1:45921217:45926519:-1 gene:ENSMUSG00000025993.10 transcript:ENSMUST00000187406.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc40a1 description:solute carrier family 40 (iron-regulated transporter), member 1 [Source:MGI Symbol;Acc:MGI:1315204] MTKARDQTHQEGCCGSLANYLTSAKFLLYLGHSLSTWGDRMWHFAVSVFLVELYGNSLLL TAVYGLVVAG >ENSMUSP00000140969.1 pep:known chromosome:GRCm38:1:45921217:45926519:-1 gene:ENSMUSG00000025993.10 transcript:ENSMUST00000186804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc40a1 description:solute carrier family 40 (iron-regulated transporter), member 1 [Source:MGI Symbol;Acc:MGI:1315204] MTKARDQTHQEGCCGSLANYLTSAKFLLYLGHSLSTWGDRMWHFAVSVFLVELYGNSLLL TAVYGLVVAG >ENSMUSP00000116590.1 pep:known chromosome:GRCm38:13:98263158:98273853:1 gene:ENSMUSG00000021661.15 transcript:ENSMUST00000150916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankra2 description:ankyrin repeat, family A (RFXANK-like), 2 [Source:MGI Symbol;Acc:MGI:1915808] MLYLATRIEQENVINHTDEEGFTPLMWAAAHGQIAVVEFLLQNGADPQLLGKGRESALSL ACSKGYTDIVKMLLDCGVDVNEYDWNGGTPLLYAVHGNHVKCVKMLLENGADPTIETDSG YNSMDLAVALGYRGGLTVSVWEGNNVLVEL >ENSMUSP00000088915.3 pep:known chromosome:GRCm38:13:98263191:98273916:1 gene:ENSMUSG00000021661.15 transcript:ENSMUST00000091356.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankra2 description:ankyrin repeat, family A (RFXANK-like), 2 [Source:MGI Symbol;Acc:MGI:1915808] MLYLATRIEQENVINHTDEEGFTPLMWAAAHGQIAVVEFLLQNGADPQLLGKGRESALSL ACSKGYTDIVKMLLDCGVDVNEYDWNGGTPLLYAVHGNHVKCVKMLLENGADPTIETDSG YNSMDLAVALGYRGGEYFRTLGSF >ENSMUSP00000122701.1 pep:known chromosome:GRCm38:13:98263194:98273918:1 gene:ENSMUSG00000021661.15 transcript:ENSMUST00000123924.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankra2 description:ankyrin repeat, family A (RFXANK-like), 2 [Source:MGI Symbol;Acc:MGI:1915808] MATSANLDIGAQLIVEECPSSYISGMPDIKLEHQLDPNPDEGAAQGVAMGMKFILPNRFD MNVCSRFVKSLNEEDSKNIQDQVNSDLEVASVLFKAECNIHTSPSPGIQVRHVYTPSTTK HFSPIKQSTTLTNKHRGNEVSTTPLLANSLSAHQLAAQGEMLYLATRIEQENVINHTDEE GFTPLMWAAAHGQIAVVEFLLQNGADPQLLGKGRESALSLACSKGYTDIVKMLLDCGVDV NEYDWNGGTPLLYAVHGNHVKCVKMLLENGADPTIETDSGYNSMDLAVALGYRGGEYFRT LGSF >ENSMUSP00000022164.8 pep:known chromosome:GRCm38:13:98263242:98273913:1 gene:ENSMUSG00000021661.15 transcript:ENSMUST00000022164.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankra2 description:ankyrin repeat, family A (RFXANK-like), 2 [Source:MGI Symbol;Acc:MGI:1915808] MATSANLDIGAQLIVEECPSSYISGMPDIKLEHQLDPNPDEGAAQGVAMGMKFILPNRFD MNVCSRFVKSLNEEDSKNIQDQVNSDLEVASVLFKAECNIHTSPSPGIQVRHVYTPSTTK HFSPIKQSTTLTNKHRGNEVSTTPLLANSLSAHQLAAQGEMLYLATRIEQENVINHTDEE GFTPLMWAAAHGQIAVVEFLLQNGADPQLLGKGRESALSLACSKGYTDIVKMLLDCGVDV NEYDWNGGTPLLYAVHGNHVKCVKMLLENGADPTIETDSGYNSMDLAVALGYRGVQQAIE SHLLKLLQNIRE >ENSMUSP00000117508.1 pep:known chromosome:GRCm38:13:98263259:98274750:1 gene:ENSMUSG00000021661.15 transcript:ENSMUST00000150352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankra2 description:ankyrin repeat, family A (RFXANK-like), 2 [Source:MGI Symbol;Acc:MGI:1915808] MATSANLDIGAQLIVEECPSSYISGMPDIKLEHQLDPNPDEGAAQGVAMGMKFILPNRFD MNVCSRFVKSLNEEDSKNIQDQVNSDLEVASVLFKAECNIHTSPSPGIQVRHVYTPSTTK HFSPIKQSTTLTNKHRGNEVSTTPLLANSLSAHQLAAQGEMLYLATRIEQENVINHTDEE GFTPLMWAAAHGQIAVVEFLLQNGADPQLLGKGRESALSLACSKGYTDIVKMLLDCGVDV NEYDWNGGTPLLYAVHGNHVKCVKMLLENGADPTIETDSGYNSMDLAVALGYRGALIICL SQMSSGFSV >ENSMUSP00000056728.5 pep:known chromosome:GRCm38:3:67478972:67515523:-1 gene:ENSMUSG00000049404.7 transcript:ENSMUST00000054825.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rarres1 description:retinoic acid receptor responder (tazarotene induced) 1 [Source:MGI Symbol;Acc:MGI:1924461] MQPRQPPMPALLLSLWLLPSLALAAAVTEPADLEYTEVPRQPAAVGLPRGILQLAARAAL HFFNFRAGSPSALRVLATVQEGRAWVNPQEGCEVDLVFSTEQYNPEQEGERLGKCSARVF FKNEKPRPAVNVTCARLFDKVKRQEKDYRLYKQMKQLKTPLHSISIPDSHGHIDNSLRPL WDLAFLGSSYVMWEKTTQVLHYYLVQLSSVERLKTDDDSIDFDFTVLLHEFSTQEIIPCR IHLVWYPGKPLKVNYHCQEQQSPEEASGTMEASAMAPPEFGNF >ENSMUSP00000099422.3 pep:known chromosome:GRCm38:11:99209047:99231017:-1 gene:ENSMUSG00000037935.16 transcript:ENSMUST00000103133.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarce1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily e, member 1 [Source:MGI Symbol;Acc:MGI:1927347] MSKRPSYAPPPTPAPATQMPSTPGFVGYNPYSHLAYNNYRLGGNPGTNSRVTASSGITIP KPPKPPDKPLMPYMRYSRKVWDQVKASNPDLKLWEIGKIIGGMWRDLTDEEKQEYLNEYE AEKIEYNESMKAYHNSPAYLAYINAKSRAEAALEEESRQRQSRMEKGEPYMSIQPAEDPD DYDDGFSMKHTATARFQRNHRLISEILSESVVPDVRSVVTTARMQVLKRQVQSLMVHQRK LEAELLQIEERHQEKKRKFLESTDSFNNELKRLCGLKVEVDMEKIAAEIAQAEEQARKRQ EEREKEAAEQAERSQSSMAPEEEQVANKAEEKKDEESIPMETEETHLEDTAESQQNGEEG TSTPEDKESGQEGVDSMEVEGTSDSNTGSESNSATVEEPPTDPVPEDEKKE >ENSMUSP00000073454.3 pep:known chromosome:GRCm38:2:150218073:150240725:1 gene:ENSMUSG00000060336.6 transcript:ENSMUST00000073782.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp937 description:zinc finger protein 937 [Source:MGI Symbol;Acc:MGI:3644476] MGVVTYDDVHVNFTQEEWALLDPSQKNLYRDVMLETYRNLAAIGYSWEDHNPEEHFQSSR RHGRLEKSHIEEKPSEFTQCGKVIAYQSHPQGHQQIHTGEKPYECEQCGKSFVCQSGLQQ HKRTHHGEKSYECNQCDKAFALLCHLRGHQRIHTGEKPYECNQCDKAFACQTSLLYHKRT HSGEKLYVCNECGKAFVLQSYLQIHKRTHTGEKPFGCDQCDKAFAQNSHLLTHKRTHTGE KPFGCDQCDKAFAQNSHLLTHKRTHTGEKPFGCDQCDKAFAQNSHLLTHKRTHTGEKPYE CKQCGKAFGFQSGLQKHKRTHTGEKPYECKQCGKAFGYQSGLQKHKRTHTGEKPYECNQC DKAFACQASLLNHKRSHTGEKPYECSECGKAFVLHSYLQIHKRTHTGEKPFECDQCDKAF ARNTLLLKHKRIHTGQKAYGCKQCGKAFANHSNLQVHKRTHTGEKPYECKQCGKAFGCQS GLQYHKRTQHIQERNPMTVINVI >ENSMUSP00000078661.4 pep:known chromosome:GRCm38:3:89995446:89998697:-1 gene:ENSMUSG00000027944.13 transcript:ENSMUST00000079724.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hax1 description:HCLS1 associated X-1 [Source:MGI Symbol;Acc:MGI:1346319] MSVFDLFRGFFGFPGPRSHRDPFFGGMTRDDDDDDDDDDEAEEDRGAWGRESYAFDGSQP PEEFGFSFSPRGGMRFHGNFGFDDLVRDFNSIFSEMGAWTLPSHSPELPGPESETPGERL REGQTLRDSMLKYPDSHQPRIFEGVLESHAKPESPKPAPDWGSQGPFHRLDDTWPVSPHS RAKEDKDLDSQVSQEGLGPLLQPQPKSYFKSISVTKITKPDGTVEERRTVVDSEGRRETT VTHQEAHDSSRSDPDSQRSSALDDPFSILDLLLGRWFRSR >ENSMUSP00000142445.1 pep:known chromosome:GRCm38:3:89995453:89998666:-1 gene:ENSMUSG00000027944.13 transcript:ENSMUST00000197767.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hax1 description:HCLS1 associated X-1 [Source:MGI Symbol;Acc:MGI:1346319] MSVFDLFRGFFGFPGPRSHRDPFFGGMTRDDDDDDDDDDEAEEDRGAWGRESYAFDGSQP PEEFGFSFSPRGGMRFHGNFGFDDLVRDFNSIFSEMGAWTLPSHSPGPESETPGERLREG QTLRDSMLKYPDSHQPRIFEGVLESHAKPESPKPAPDWGSQGPFHRLDDTWPVSPHSRAK EDKDLDSQVSQEGLGPLLQPQPKSYFKSISVTKITKPDGTVEERRTVVDSEGRRETTVTH QEAHDSSRSDPDSQRSSALDDPFSILDLLLGRWFRSR >ENSMUSP00000143136.1 pep:known chromosome:GRCm38:3:89995456:89996270:-1 gene:ENSMUSG00000027944.13 transcript:ENSMUST00000197432.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hax1 description:HCLS1 associated X-1 [Source:MGI Symbol;Acc:MGI:1346319] ILEPKRTKTVEERRTVVDSEGRRETTVTHQEAHDSSRSDPDSQRSSALDDPFSILDLLLG RWFRSR >ENSMUSP00000142627.1 pep:known chromosome:GRCm38:3:89995456:89998677:-1 gene:ENSMUSG00000027944.13 transcript:ENSMUST00000197786.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hax1 description:HCLS1 associated X-1 [Source:MGI Symbol;Acc:MGI:1346319] MSVFDLFRGFFGFPGPRSHRDPFFGGMTRDDDDDDDDDDEAEEDRGAWGRESYAFDGSQP PEEFGFSFSPRGGMRFHGNFGFDDLLDDTWPVSPHSRAKEDKDLDSQVSQEGLGPLLQPQ PKSYFKSISVTKITKPDGTVEERRTVVDSEGRRETTVTHQEAHDSSRSDPDSQRSSALDD PFSILDLLLGRWFRSR >ENSMUSP00000142741.1 pep:known chromosome:GRCm38:3:89995594:89998620:-1 gene:ENSMUSG00000027944.13 transcript:ENSMUST00000197725.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hax1 description:HCLS1 associated X-1 [Source:MGI Symbol;Acc:MGI:1346319] MLKYPDSHQPRIFEGVLESHAKPESPKPAPDWGSQGPFHRLDDTWPVSPHSRAKEDKDLD SQVSQEGLGPLLQPQPKSYFKSISVTKITKPDGTVEERRTVVDSEGRRETTVTHQEAHDS SRSDPDSQRSSALDDPFSILDLLLGRWFRSR >ENSMUSP00000143155.1 pep:known chromosome:GRCm38:3:89995625:89998389:-1 gene:ENSMUSG00000027944.13 transcript:ENSMUST00000198782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hax1 description:HCLS1 associated X-1 [Source:MGI Symbol;Acc:MGI:1346319] MTRDDDDDDDDDDEAEEDRGAWGRESYAFDGSQPPEEFGFSFSPRGGMRFHGNFGFDDLV RDFNSIFSEMGAWTLPSHSPELPGPESETPGERLREGQTLRDSMLKYPDSHQPRIFEGVL ESHAKPESPKPAPDWGSQGPFHRLDDTWPVSPHSRAKEDKDLDSQVSQEGLGPLLQPQPK SYFKSISVTKITKPDGTVEERRTVVDSEGRRETTVTHQEAHDSSRSDPDSQRSSALDDPF SILDLLLGRWFRSR >ENSMUSP00000143663.1 pep:known chromosome:GRCm38:3:89995894:89998680:-1 gene:ENSMUSG00000027944.13 transcript:ENSMUST00000199163.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hax1 description:HCLS1 associated X-1 [Source:MGI Symbol;Acc:MGI:1346319] MSVFDLFRGFFGFPGPRSCPDPSLAQFAALVLIFMA >ENSMUSP00000143557.1 pep:known chromosome:GRCm38:3:89997770:89998362:-1 gene:ENSMUSG00000027944.13 transcript:ENSMUST00000199740.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hax1 description:HCLS1 associated X-1 [Source:MGI Symbol;Acc:MGI:1346319] MTRDDDDDDDDDDEAEEDRGAWGRESYAFDGSQPPEEFGFSFSPRGGMRFHGNFGFDDLV RDFNSIFSE >ENSMUSP00000125315.2 pep:known chromosome:GRCm38:10:99108159:99113247:1 gene:ENSMUSG00000090035.3 transcript:ENSMUST00000161240.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt4 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 4 [Source:MGI Symbol;Acc:MGI:894692] MAVRWTWAGKSCLLLALLTLAYILVEFSVSTLYASPGAGGARELGPRRLPDLDTREEDLS QPLYIKPPADSHALGEWGRASKLQLNEGELKQQEELIERYAINIYLSDRISLHRHIEDKR MYECKAKKFHYRSLPTTSVIIAFYNEAWSTLLRTIHSVLETSPAVLLKEIILVDDLSDRI YLKAQLETYISNLERVRLIRTNKREGLVRARLIGATFATGDVLTFLDCHCECNTGWLEPL LERISRDETAIVCPVIDTIDWNTFEFYMQTGEPMIGGFDWRLTFQWHSVPKHERDRRTSR IDPIRSPTMAGGLFAVSKKYFQYLGTYDTGMEVWGGENLELSFRVWQCGGKLEIHPCSHV GHVFPKRAPYARPNFLQNTARAAEVWMDEYKEHFYNRNPPARKEAYGDLSERKLLRERLK CKSFDWYLKNVFSNLHVPEDRPGWHGAIRSMGISSECLDYNAPDNNPTGANLSLFGCHGQ GGNQFFEYTSNKEIRFNSVTELCAEVPQQKDYVGMQNCPKDGLPVPVNIIWHFKEDGTIF HPHTRLCLSAYRTAEGRPSVHMKTCDALDKNQLWRFEK >ENSMUSP00000098746.3 pep:known chromosome:GRCm38:X:148663598:148703849:1 gene:ENSMUSG00000096834.7 transcript:ENSMUST00000101186.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15127 description:predicted gene 15127 [Source:MGI Symbol;Acc:MGI:3711248] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHYSRRSRNRFRRAPVHSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPSHSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGRNNQSQR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIVRSSAIRERTDYLWVQEHRRNETVELNV GLEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000108373.3 pep:known chromosome:GRCm38:X:148671291:148703849:1 gene:ENSMUSG00000096834.7 transcript:ENSMUST00000112753.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15127 description:predicted gene 15127 [Source:MGI Symbol;Acc:MGI:3711248] MVNHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHYSRRSRNRFRRAPVHSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPSHSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGRNNQSQR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIVRSSAIRERTDYLWVQEHRRNETVELNV GLEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000098751.4 pep:known chromosome:GRCm38:X:148663604:148703849:1 gene:ENSMUSG00000096834.7 transcript:ENSMUST00000101190.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15127 description:predicted gene 15127 [Source:MGI Symbol;Acc:MGI:3711248] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGTGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHYSRRSRNRFRRAPVHSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPSHSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGRNNQSQR GHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSIQSWMLHERERYHGPRG RNGPRDASPPGSEPEIVRSSAIRERTDYLWVQEHRRNETVELNVGLEDGYSSAEESDESF DRLTCRSMLDTLY >ENSMUSP00000030385.6 pep:known chromosome:GRCm38:4:119418530:119422420:-1 gene:ENSMUSG00000028636.14 transcript:ENSMUST00000030385.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppcs description:phosphopantothenoylcysteine synthetase [Source:MGI Symbol;Acc:MGI:1915237] MAEMDLVAELPRPAGAARWAEVMARFAARLGEQGRRVVLITSGGTKVPLEARAVRFLDNF SSGRRGAASAEVFLAAGYGVLFLYRARSAFPYAHRFPPQAWLSALRPSGPAQSGKLSLEA EENALPGFAAALQSYQEAAAAGTFLAVEFTTLADYLHLLQAAALALSPLGSSAMFYLAAA VSDFYIPVSEMPEHKIHSSGGPLQITMKMVPKMLSPLVKDWAPKAFVVSFKLETDPDIII SRARNALEVYQHQVVVANILESIKSFVIIVTKDSETELLLSEEEVAKGLVIEEKIVDDLR SRHTAFICDKN >ENSMUSP00000101923.1 pep:known chromosome:GRCm38:4:119418530:119422376:-1 gene:ENSMUSG00000028636.14 transcript:ENSMUST00000106316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppcs description:phosphopantothenoylcysteine synthetase [Source:MGI Symbol;Acc:MGI:1915237] MFYLAAAVSDFYIPVSEMPEHKIHSSGGPLQITMKMVPKMLSPLVKDWAPKAFVVSFKLE TDPDIIISRARNALEVYQHQVVVANILESIKSFVIIVTKDSETELLLSEEEVAKGLVIEE KIVDDLRSRHTAFICDKN >ENSMUSP00000081120.3 pep:known chromosome:GRCm38:4:155154075:155222535:-1 gene:ENSMUSG00000029050.15 transcript:ENSMUST00000084103.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ski description:ski sarcoma viral oncogene homolog (avian) [Source:MGI Symbol;Acc:MGI:98310] MEAAAAGRGGFQPHPGLQKTLEQFHLSSMSSLGGPAAFSARWAQEAYKKESAKEGETISC FVVGGEKRLCLPQILNSVLRDFSLQQINSVCDELHIYCSRCTADQLEILKVMGILPFSAP SCGLITKTDAERLCNALLYGGAYPPPCKKELAASLALGLELSERSVRVYHECFGKCKGLL VPELYSSPSAACIQCLDCRLMYPPHKFVVHSHKALENRTCHWGFDSANWRAYILLSQDYT GKEEQARLGRCLDDVKEKFDYANKYKRRVPRVSEPPASIRPKTDDTSSQSPASSEKDKQS TWLRTLAGSSNKSLGCTHPRQRLSAFRPWSPAVSASEKETSPHLPALIRDSFYSYKSFET AVAPNVALAPPTQQKVVNSPPCTTVVSRAPEPLTTCIQPRKRKLTLDTAGAPDMLTPVAA AEEDKDSEAEVEVESREEFTSSLSSLSSPSFTSSSSAKDLSSPGMHAPPVVAPDAAAHVD APSGLEAELEHLRQALEGGLDTKEAKEKFLHEVVKMRVKQEEKLTAALQAKRSLHQELEF LRVAKKEKLREATEAKRSLRKEIERLRAENEKKMKEANESRVRLKRELEQARQVRVCDKG CEAGRLRAKYSAQIEDLQAKLQHAEADREQLRADLLREREAREHLEKVVRELQEQLRPRP RPEHPGGESNAELGP >ENSMUSP00000030917.5 pep:known chromosome:GRCm38:4:155154075:155222592:-1 gene:ENSMUSG00000029050.15 transcript:ENSMUST00000030917.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ski description:ski sarcoma viral oncogene homolog (avian) [Source:MGI Symbol;Acc:MGI:98310] MEAAAAGRGGFQPHPGLQKTLEQFHLSSMSSLGGPAAFSARWAQEAYKKESAKEAGAATV PAPVPTAAEPPPVLHLPAIQPPPPVLPGPFFMPSDRSTERCETVLEGETISCFVVGGEKR LCLPQILNSVLRDFSLQQINSVCDELHIYCSRCTADQLEILKVMGILPFSAPSCGLITKT DAERLCNALLYGGAYPPPCKKELAASLALGLELSERSVRVYHECFGKCKGLLVPELYSSP SAACIQCLDCRLMYPPHKFVVHSHKALENRTCHWGFDSANWRAYILLSQDYTGKEEQARL GRCLDDVKEKFDYANKYKRRVPRVSEPPASIRPKTDDTSSQSPASSEKDKQSTWLRTLAG SSNKSLGCTHPRQRLSAFRPWSPAVSASEKETSPHLPALIRDSFYSYKSFETAVAPNVAL APPTQQKVVNSPPCTTVVSRAPEPLTTCIQPRKRKLTLDTAGAPDMLTPVAAAEEDKDSE AEVEVESREEFTSSLSSLSSPSFTSSSSAKDLSSPGMHAPPVVAPDAAAHVDAPSGLEAE LEHLRQALEGGLDTKEAKEKFLHEVVKMRVKQEEKLTAALQAKRSLHQELEFLRVAKKEK LREATEAKRSLRKEIERLRAENEKKMKEANESRVRLKRELEQARQVRVCDKGCEAGRLRA KYSAQIEDLQAKLQHAEADREQLRADLLREREAREHLEKVVRELQEQLRPRPRPEHPGGE SNAELGP >ENSMUSP00000091539.3 pep:known chromosome:GRCm38:7:134265779:134376828:-1 gene:ENSMUSG00000030994.15 transcript:ENSMUST00000094002.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D7Ertd443e description:DNA segment, Chr 7, ERATO Doi 443, expressed [Source:MGI Symbol;Acc:MGI:1196431] MISPVVISRLIDEKKSMENGAILPQAIAQPQLCPTKPALARRDGVSMHRRFALSPDRLGI LTPSDDQGLETEPLSTGDNLGKGSHSGFSSITITARRVGPPASSLVWDTFRDPLCPKCKA KDALFQEPPVLAGDAHLCQHNRPFTCTESPSNGSVEGMKVFQAHSRLSARQDYWVTHTND NEDSFSSDNSPSRKVPLVFSSCVHFRVSQQCPNAIYYLDKSLSVPLERPQIASPKMHRSV LSLSLRCSSHQLTADGVDSSANGEPISTALSQELSEGKQDLLGPQWGQPQGGHWKESPAL VPVHLGSGTCPRTGSPPLENVKFADVGRNQVPVRKEKEDHATCTSSSHTNQLSIHIPGWS YRAETKVLSGSKKQQQEAQRTLPAFPVGQKTIKHFPPEGDSSPSSDGQPSILSESNERQH PYFMIPRVPLPGFYCPLQTGCASLQEDGAVQIETHFPKDYTCCDLVVKLKECEKNEDPTV TPEPSPATPSPSTPEGAQSSDPSEDSYEPLLASSMTLQEALEVHRPQFISRSQERLQKLK RMVQQRKTQQKESLGQKQSLLPVRANKKQFTIPHPLSDNLFKPKERCISEKEMHMRSKRI YNNLPEVKKKKEEQKKRMILQSNRLRAEVFKKQLLDQLLQRNAV >ENSMUSP00000134479.1 pep:known chromosome:GRCm38:7:134266262:134364884:-1 gene:ENSMUSG00000030994.15 transcript:ENSMUST00000172947.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D7Ertd443e description:DNA segment, Chr 7, ERATO Doi 443, expressed [Source:MGI Symbol;Acc:MGI:1196431] MIKLSGEGLLHTCYSTNDQIALKNLQSDVTERKLDFSKETLASQNTRMISPVVISRLIDE KKSMENGAILPQAIAQPQLCPTKPALARRDGVSMHRRFALSPDRLGILTPSDDQGLETEP LSTGDNLGKGSHSGFSSITITARRVGPPASSLVWDTFRDPLCPKCKAKDALFQEPPVLAG DAHLCQHNRPFTCTESPSNGSVEGMKVFQAHSRLSARQDYWVTHTNDNEDSFSSDNSPSR KVPLVFSSCVHFRVSQQCPNAIYYLDKSLSVPLERPQIASPKMHRSVLSLSLRCSSHQLT ADGVDSSANGEPISTALSQELSEGKQDLLGPQWGQPQGGHWKESPALVPVHLGSGTCPRT GSPPLENVKFADVGRNQVPVRKEKEDHATCTSSSHTNQLSIHIPGWSYRAETKVLSGSKK QQQEAQRTLPAFPVGQKTIKHFPPEGDSSPSSDGQPSILSESNERQHPYFMIPRVPLPGF YCPLQTGCASLQEDGAVQIETHFPKDYTCCDLVVKLKECEKNEDPTVTPEPSPATPSPST PEGAQSSDPSEDSYEPLLASSMTLQEALEVHRPQFISRSQERLQKLKRMVQQRKTQQKES LGQKQSLLPVRANKKQFTIPHPLSDNLFKPKERCISEKEMHMRSKRIYNNLPEVKKKKEE QKKRMILQSNRLRAEVFKKQLLDQLLQRNAV >ENSMUSP00000101735.2 pep:known chromosome:GRCm38:7:134266275:134341986:-1 gene:ENSMUSG00000030994.15 transcript:ENSMUST00000106129.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D7Ertd443e description:DNA segment, Chr 7, ERATO Doi 443, expressed [Source:MGI Symbol;Acc:MGI:1196431] MPASETKVLSGSKKQQQEAQRTLPAFPVGQKTIKHFPPEGDSSPSSDGQPSILSESNERQ HPYFMIPRVPLPGFYCPLQTGCASLQEDGAVQIETHFPKDYTCCDLVVKLKECEKNEDPT VTPEPSPATPSPSTPEGAQSSDPSEDSYEPLLASSMTLQEALEVHRPQFISRSQERLQKL KRMVQQRKTQQKESLGQKQSLLPVRANKKQFTIPHPLSDNLFKPKERCISEKEMHMRSKR IYNNLPEVKKKKEEQKKRMILQSNRLRAEVFKKQLLDQLLQRNAV >ENSMUSP00000134411.1 pep:known chromosome:GRCm38:7:134266814:134348916:-1 gene:ENSMUSG00000030994.15 transcript:ENSMUST00000174700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D7Ertd443e description:DNA segment, Chr 7, ERATO Doi 443, expressed [Source:MGI Symbol;Acc:MGI:1196431] ESPALVPVHLGSGTCPRTGSPPLENVKFADVGRNQVPVRKEKEDHATCTSSSHTNQLSIH IPGWSYRADNLFKPKERCISEKEMHMRSKRIYNNLPEV >ENSMUSP00000134585.1 pep:known chromosome:GRCm38:7:134349553:134376831:-1 gene:ENSMUSG00000030994.15 transcript:ENSMUST00000173195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D7Ertd443e description:DNA segment, Chr 7, ERATO Doi 443, expressed [Source:MGI Symbol;Acc:MGI:1196431] MISPVVISRLIDEKKSMENGAILPQAIAQPQLCPTKPALARRDGVSMHRRFALSPDRLGI LTPSDDQGLETEPLSTGDNLGKG >ENSMUSP00000133963.1 pep:known chromosome:GRCm38:7:134349674:134376825:-1 gene:ENSMUSG00000030994.15 transcript:ENSMUST00000173754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D7Ertd443e description:DNA segment, Chr 7, ERATO Doi 443, expressed [Source:MGI Symbol;Acc:MGI:1196431] MISPVVISRLIDEKKSMENGAILPQAIAQPQLCPTKPALARR >ENSMUSP00000020113.8 pep:known chromosome:GRCm38:10:99107036:99197988:1 gene:ENSMUSG00000019952.14 transcript:ENSMUST00000020113.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poc1b description:POC1 centriolar protein homolog B (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1918511] MASGLEDPILERSFKGHKAAITSADFSPNCKQIATASWDTFLMLWSLKPHARAYRYVGHK DVVTSLQFSPQGNLLASASRDRTVRLWVLDRKGKSSEFKAHTAPVRSVDFSADGQLLVTA SEDKSIKVWSMFRQRFLYSLYRHTHWVRCAKFSPDGRLIVSCSEDKTIKIWDTTNKQCVN NFSDSVGFANFVDFNPNGTCIASAGSDHAVKIWDIRMNKLLQHYQVHSCGVNCLSFHPLG NSLVTASSDGTVKMLDLIEGRLIYTLQGHTGPVFTVSFSKDGELLTSGGADAQVLIWRTN FIHLHCKDPKRNLKRLHFEASPHLLDIYPRSPHSHEDRKETIEINPKREVMDLQSSSPPV VDVLSFDSTTMTDSTYRAVPGKGEDICRYFLNPLLMPECSSTTVKKRPEDVSDVPSESLR SVPLAVADALEHIMEQLNILTQTVSILEQRLSLTEDKLRDCLENQQKLFSAVQQKS >ENSMUSP00000124144.1 pep:known chromosome:GRCm38:10:99107082:99197052:1 gene:ENSMUSG00000019952.14 transcript:ENSMUST00000159228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poc1b description:POC1 centriolar protein homolog B (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1918511] MASGLEDPILERSFKGHKAAITSADFSPNCKQIATASWDTFLMLWSLKPHARAYRYVGHK DVVTSLQFSPQGNLLASASRDRTVRLWVLDRKGKSSEFKAHTAPVRSVDFSADGQLLVTA SEDKSIKVWSMFRQRFLYSLYRHTHWVRCAKFSPDGRLIVSCSEDKTIKIWDTTNKQCVN NFSDSVGFANFVDFNPNGTCIASAGSDHAVKIWDIRMNKLLQHYQVHSCGVNCLSFHPLG NSLVTASSDGTVKMLDLIEGRLIYTLQGHTGPVFTVSFSKDGELLTSGGADAQVLIWRTN FIHLHCKDPKRNLKRLHFEASPHLLDIYPRSPHSHEDRKETIEMTDSTYRAVPGKGEDIC RYFLNPLLMPECSSTTVKKRPEDVSDVPSESLRSVPLAVADALEHIMEQLNILTQTVSIL EQRLSLTEDKLRDCLENQQKLFSAVQQKS >ENSMUSP00000125423.1 pep:known chromosome:GRCm38:10:99107103:99198074:1 gene:ENSMUSG00000019952.14 transcript:ENSMUST00000159990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poc1b description:POC1 centriolar protein homolog B (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1918511] MLWSLKPHARAYRYVGHKDVVTSLQFSPQGNLLASASRDRTVRLWVLDRKGKSSEFKAHT APVRSVDFSADGQLLVTASEDKSIKVWSMFRQRFLYSLYRHTHWVRCAKFSPDGRLIVSC SEDKTIKIWDTTNKQCVNNFSDSVGFANFVDFNPNGTCIASAGSDHAVKIWDIRMNKLLQ HYQVHSCGVNCLSFHPLGNSLVTASSDGTVKMLDLIEGRLIYTLQGHTGPVFTVSFSKDG ELLTSGGADAQVLIWRTNFIHLHCKDPKRNLKRLHFEASPHLLDIYPRSPHSHEDRKETI EINPKREVMDLQSSSPPVVDVLSFDSTTMTDSTYRAVPGKGEDICRYFLNPLLMPECSST TVKKRPEDVSDVPSESLRSVPLAVADALEHIMEQLNILTQTVSILEQRLSLTEDKLRDCL ENQQKLFSAVQQKS >ENSMUSP00000123719.1 pep:known chromosome:GRCm38:10:99129487:99192789:1 gene:ENSMUSG00000019952.14 transcript:ENSMUST00000159043.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Poc1b description:POC1 centriolar protein homolog B (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1918511] GKSSEFKAHTAPVRSVDFSADGQLLVTASEDKSIKVWSMFRQRFLYSLYRHTHWVRCAKL ALNSRSSCLSLPGAEIVRMGHRAQLESLLASFRSRCTC >ENSMUSP00000081807.2 pep:known chromosome:GRCm38:7:108825065:108825997:-1 gene:ENSMUSG00000066241.2 transcript:ENSMUST00000084754.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr514 description:olfactory receptor 514 [Source:MGI Symbol;Acc:MGI:3030348] MGQNDSSVVEFILLGFSHFPELQVHMFGAFLVIYLVTLTGNATIVTVIFLDHSLHIPMYL FLQNLSVVEASFSTTVMPEMLVVLTSEKATISFGGCFAQTYFILLFGGTECFLLGAMAYD RFAAICYPLTYPMIMSKRIFVKLVVCSWVLGIMTATVSVTWVFSFPFCGPSKINHISCEV PAVLELACADTFLFEVYSFTGTILLVLVPFLLILLSYTQILFTVLRMPSTTGRQKAFSTC ASHLTSVTLFYSTACMTYLQPKSKYSPDTKKLMSLAYSLLTPLLNPLIYSLRNKEMKRAV VKLCQIKVVF >ENSMUSP00000105548.1 pep:known chromosome:GRCm38:2:150257517:150270300:1 gene:ENSMUSG00000079008.2 transcript:ENSMUST00000109922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14124 description:predicted gene 14124 [Source:MGI Symbol;Acc:MGI:3652002] MGRVTYDDVHVNFTREEWALLDPSQKNLYRDVMLETYRNLAAIGYVWEDHNTEEHLHISR RYERHERSHTAQQLSEFIQCDKAFAFQSHNQRKEKIHNAETPYECNQCGKSFARKSHLLR HKRIHTGEKPYACNQCGKDFASPSYLQYHKRVRTGEKPYKCNQCGKAFACSTGLRGHKRT HTEEKLNECNQCDKAFAGQSGLLYHKRTHTGEKPFQCNQCGKTFARNTHLLQHKRVHTGE KPYECNQCEKASVCHIGLLYHKRTHAGQRPYECNECGKSIVYHSCIKQCKRRHTGEKPFE CNQCGKSFARKSHLLRHKRIHTGEKPYKCDQCGKDFARPSSLQYHKRVHTGEKPYECKQC GKAFACSTVLRRHKRAHTGEKPFECYQCGKSFASKSHLLRHKRIHTGEKPYKCDQCGKDF VYHSCIKQCKRRHAGEKPFECNQCGKSFASKSYLLRHKRIHTGEKHYKCDQCGKDFASTY SLQNHKRVHTGEKPYECKQCGKAFACSSKLRSHKRTHTGEKPFECNQCGKSFAIKSHLLR HKRIHTGEKPYKCDQCGKDFARPSSLQYHKRVHTGEKPYECKQCGKAFACSRRLRIHKRT HTGEKPYECNQCGKAFSSPSGFLYHKRTHTGERPYECNECGKSFIHRSNFQMHKRTHTGE KPFECDQCGKSFAQNTYLLQHKRVHTGEKPYECKHCGKAFAYSNKLRVHVRTHTK >ENSMUSP00000059078.4 pep:known chromosome:GRCm38:10:129111428:129112511:-1 gene:ENSMUSG00000042801.4 transcript:ENSMUST00000050915.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr769 description:olfactory receptor 769 [Source:MGI Symbol;Acc:MGI:3030603] MTNHTAITTFILLGLTDDPKLQVLIFLFLFLTYILSVTGNLTIITLTLLDPHLKTPMYFF LRNFSFLEVSFTTVCIPRFLYMMATGDNTVTYNACATQLFFVVLFGATEFFLLAAMSYDR YVAICKPLHYTTIMNNRVCTVLVLSCWCAGLLIILPPLGLGLQLEFCDSNVIDHFGCDAS PILQITCSDTAFIEKIVLAFAILTLIITLVCVVLSYTYIIKTILKFPSAQQRKKAFSTCS SHMIVVSITYGSCIFIYIKPSAKEGVAINKVVSVLTTSVAPLLNPFIYTLRNKQVKEAFK DTVKRIVFLTKK >ENSMUSP00000075483.5 pep:known chromosome:GRCm38:6:132909651:132910587:1 gene:ENSMUSG00000059410.5 transcript:ENSMUST00000076119.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r125 description:taste receptor, type 2, member 125 [Source:MGI Symbol;Acc:MGI:2681269] MMGIAIDILWAAIIIVQFIIGNIANGFIALVNIIDWVKRRKISLMDKIITALAISRIYLL WSTFLITLTSSLDPDIKMAVKIIRISNNTWIIANHFSIWFATCLSIFYFLKIANFSNYIF LYLRWRFKKVVSVTLLISLIFLLLNILLMNMHIDIWSDKSKRNLSFSVRSNNCTQFPRLV LLINTMFTSIPFTVSLLAFLLLIFSLWRHLKTMQYYAKGSEDTTTAAHIKALHMVVAFLL FYTVFFLSLAIQYWTSGSQENNNLFYATIVITFPSVHSCILILRNSQLRQASLLVLWWLL CKSKDVRMLVP >ENSMUSP00000027089.8 pep:known chromosome:GRCm38:1:65071525:65073689:-1 gene:ENSMUSG00000025952.15 transcript:ENSMUST00000027089.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crygc description:crystallin, gamma C [Source:MGI Symbol;Acc:MGI:88523] MGKITFFEDRSFQGRCYECSSDCPNLQTYFSRCNSVRVDSGCWMLYERPNYQGHQYFLRR GEYPDYQQWMGFSDSIRSCRLIPHAGSHRMRLYEKEDHKGVMMELSEDCSCIQDRFHLSE VRSLQVLEGCWVLYEMPNYRGRQYLLRPQEYRRFQDWGSVDAKAGSLRRVVDLY >ENSMUSP00000109698.1 pep:known chromosome:GRCm38:1:65071531:65073521:-1 gene:ENSMUSG00000025952.15 transcript:ENSMUST00000114064.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crygc description:crystallin, gamma C [Source:MGI Symbol;Acc:MGI:88523] MGKITFFEDRSFQGRCYECSSDCPNLQTYFSRCNSVRVDSGCWMLYERPNYQGHQYFLRR GEYPDYQQWMGFSDSIRSCRLIPHQAGSHRMRLYEKEDHKGVMMELSEDCSCIQDRFHLS EVRSLQVLEGCWVLYEMPNYRGRQYLLRPQEYRRFQDWGSVDAKAGSLRRVVDLY >ENSMUSP00000043378.4 pep:known chromosome:GRCm38:3:26637620:26983212:1 gene:ENSMUSG00000039335.11 transcript:ENSMUST00000047005.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata16 description:spermatogenesis associated 16 [Source:MGI Symbol;Acc:MGI:1918112] MDSGKSRSLETTVKRVYRDLLLPKINTSKKMSTLTNSPSSLEGTPGIKKNSGEMQAEASA ERVKLTKSIKEKQNNELEKVALKRKADSEEKLVGKKEAKIMELDNQLVTTVPLPHIPLKN IMDVEMKLVYVDEEDVSYEFAQPNMCLGLQATGQTATTMPPASPRDLTTLPQIDKWLQVA LKDASSCYRQKKYAVAAGQFRTALELCSKGAALGKPFEAHAEDIASIASFIETKLVTCYL RMRKPDLALNHAHRSIVLNPAYFRNHLRQAAVFRCLERYSEAARSAMIADYMFWLCGGSE HSVSKLIKLYWQAMIEEAITRAEAFSVMYTPFATRIKPENIEKVKEVFMRTHPTYVDCIY TDTQGLHVLPQTADWSCFPPQQYLLTLGFKNKEDGKFLEKVSSRKLPTYTEHKTPFSPLT REDTVRHMETVGKRILPILDFIRSTQLNGNFHACSGVMEKLHYASLLSRLQRVKEQAQVI NQAMAELATVPYLQDISQQEAELLQSLMADAMDTLEGKKSDKERVWNTIQKVGRIEDFLY QLEDSFLKTKKLRTARRQKTKMKRLQTVQQN >ENSMUSP00000103941.1 pep:known chromosome:GRCm38:3:26637650:26927479:1 gene:ENSMUSG00000039335.11 transcript:ENSMUST00000108305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata16 description:spermatogenesis associated 16 [Source:MGI Symbol;Acc:MGI:1918112] MDSGKSRSLETTVKRVYRDLLLPKINTSKKMSTLTNSPSSLEGTPGIKKNSGEMQAEASA ERVKLTKSIKEKQNNELEKVALKRKADSEEKLVGKKEAKIMELDNQLVTTVPLPHIPLKN IMDVEMKLVYVDEEDVSYEFAQPNMCLGLQATGQTATTMPPASPRDLTTLPQIDKWLQVA LKDASSCYRQKKYAVAAGQFRTALELCSKGAALGKPFEAHAEDIASIASFIETKLVTCYL RMRKPDLALNHAHRSIVLNPAYFRNHLRQAAVFRCLERYSEAARSAMIADYMFWLCGGSE HSVSKLIKLYWQAMIEEAITRAEAFSVMYTPFATRIKPENIEKVKEVFMRTHPTYVDCIY TDTQGLHVLPQTADWSCFPPQQYLLTLGFKNKEDGKFLEKVSSRKLPTYTEHKTPFSPLT REDTVRHMETVGKRILPILDFIRSTQLNGNFHACSGVMEKLHYASLLSRLQRVKEQAQVI NQAMAELATVPYLQDISQQEAELLQSLMADAMDTLEGKKSDKERVWNTIQKVKF >ENSMUSP00000040433.4 pep:known chromosome:GRCm38:9:107984223:107985879:-1 gene:ENSMUSG00000042106.5 transcript:ENSMUST00000048568.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam212a description:family with sequence similarity 212, member A [Source:MGI Symbol;Acc:MGI:1915426] MHSARLDSFLSQLRWELLCARDTGSPPMSGPLQPKPRTDQNVQPKRQFRASDVLEEDSVC CVEEEEEEGLVAEDKGLPLGCPREHALDWDSGFSEVSGSTWREEEPSVPQRQAPRERPPH SQRFSVSDIPMRSRAAVTNIPPAHRPRPKSTPDACLEHWQGLEAEDWTAALLNRGRSRQP LVLGDNCFADLVHNWMELPEATSEGSDGDVPRARARPPQFLLGLSEQLRRRLARARRTAM ASKRLSCPPRSEPDLPADISRFAALMNCRSRQPIIYNDVSYL >ENSMUSP00000134980.1 pep:known chromosome:GRCm38:9:107984633:107985632:-1 gene:ENSMUSG00000042106.5 transcript:ENSMUST00000175914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam212a description:family with sequence similarity 212, member A [Source:MGI Symbol;Acc:MGI:1915426] MAQMARFGFLTVGNCSGHQLCARDTGSPPMSGPLQPKPRTDQNVQPKRQFRASDVLEEDS VCCVEEEEEEGLVAEDKGLPLGCPREHALDWDSGFSEVSGSTWREEEPSVPQRQAPRERP PHSQRFSVSDIPMRSRAAVTNIPPAHRPRPKSTPDACLEHWQG >ENSMUSP00000125492.1 pep:known chromosome:GRCm38:16:37580153:37632020:1 gene:ENSMUSG00000022821.13 transcript:ENSMUST00000160847.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgd description:homogentisate 1, 2-dioxygenase [Source:MGI Symbol;Acc:MGI:96078] MAELKYISGFGNECASEDPRCPGSLPKGQNNPQVCPYNLYAEQLSGSAFTCPRNTNKRSW LYRILPSVSHKPFESIDQGHVTHNWDEVGPDPNQLRWKPFEIPKASEKKVDFVSGLYTLC GAGDIKSNNGLAVHIFLCNSSMENRCFYNSDGDFLIVPQKGKLLIYTEFGKMSLQPNEIC VIQRGMRFSVDVFEETRGYILEVYGVHFELPDLGPIGANGLANPRDFLIPVAWYEDRRVP GGYTVINKFQGKLFACKQDVSPFNVVAWHGNYTPYKYNLENFMVINAVAFDHADPSIFTV LTAKSLRPGVAIADFVIFPPRWGVADKTFRPPYYHRNCMSEFMGLIKGHYEAKQGGFLPG GGSLHSAMTPHGPDADCFEKASKAKLEPERIADGTMAFMFESSLSLAVTKWGLKTCSCLD ENYYKCWEPLRSHFTPNSRSPTEPK >ENSMUSP00000107200.1 pep:known chromosome:GRCm38:2:86922583:86924498:-1 gene:ENSMUSG00000075169.4 transcript:ENSMUST00000111574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1098 description:olfactory receptor 1098 [Source:MGI Symbol;Acc:MGI:3030932] MSACNPTNEPEFMLVGLTDSKEIQLVLSVLFLLIYMLTVLGNIGIILIIHLDVQLHTPMY FFLTHLSFLDLSYSTVITPKTLQNTLTSIKNISFMGCFTQLYFFAFLAGSECFILSSMAY DRYVAICNPLHYPVIMSPRRSYILITVSYIVGAIDSSATVFWLSTLDFCNSTVIHHFFCD TFPILALSCSDTYNAEATIFVLAGSTLLLSLITISASYVSILSTILKINSSSGKHKAFST CASHLIGVTVFYGTMIFTYLKPSTSYSLGKDQVASVFYTIVIPMLNPLIYSLRNKEVKSA VVRVMKKRECIQKLK >ENSMUSP00000097457.3 pep:known chromosome:GRCm38:2:86922583:86923530:-1 gene:ENSMUSG00000075169.4 transcript:ENSMUST00000099872.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1098 description:olfactory receptor 1098 [Source:MGI Symbol;Acc:MGI:3030932] MSACNPTNEPEFMLVGLTDSKEIQLVLSVLFLLIYMLTVLGNIGIILIIHLDVQLHTPMY FFLTHLSFLDLSYSTVITPKTLQNTLTSIKNISFMGCFTQLYFFAFLAGSECFILSSMAY DRYVAICNPLHYPVIMSPRRSYILITVSYIVGAIDSSATVFWLSTLDFCNSTVIHHFFCD TFPILALSCSDTYNAEATIFVLAGSTLLLSLITISASYVSILSTILKINSSSGKHKAFST CASHLIGVTVFYGTMIFTYLKPSTSYSLGKDQVASVFYTIVIPMLNPLIYSLRNKEVKSA VVRVMKKRECIQKLK >ENSMUSP00000026817.4 pep:known chromosome:GRCm38:7:80902228:80905076:-1 gene:ENSMUSG00000025723.12 transcript:ENSMUST00000026817.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmb description:neuromedin B [Source:MGI Symbol;Acc:MGI:1915289] MTRQAGSSWLLRGLLLFALFASGVAPFNWDLPEPRSRASKIRVHPRGNLWATGHFMGKKS LEPPSLSLVGTAPPNTPRDQRLQLSHDLLRILLRKKALGMNFSGPAPPIQYRRLLEPLLQ K >ENSMUSP00000113407.1 pep:known chromosome:GRCm38:7:80902234:80905060:-1 gene:ENSMUSG00000025723.12 transcript:ENSMUST00000119428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmb description:neuromedin B [Source:MGI Symbol;Acc:MGI:1915289] MTRQAGSSWLLRGLLLFALFASGVAPFNWDLPEPRSRASKIRVHPRGNLWATGHFMGKKS LEPPSLSLVGTAPPNTPRDQRLQLSHDLLRILLRKKALGMNFSGPAPPIQEAAGATTAEV MPIMEQTGCWA >ENSMUSP00000029846.3 pep:known chromosome:GRCm38:3:145646976:145649981:-1 gene:ENSMUSG00000028195.4 transcript:ENSMUST00000029846.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyr61 description:cysteine rich protein 61 [Source:MGI Symbol;Acc:MGI:88613] MSSSTFRTLAVAVTLLHLTRLALSTCPAACHCPLEAPKCAPGVGLVRDGCGCCKVCAKQL NEDCSKTQPCDHTKGLECNFGASSTALKGICRAQSEGRPCEYNSRIYQNGESFQPNCKHQ CTCIDGAVGCIPLCPQELSLPNLGCPNPRLVKVSGQCCEEWVCDEDSIKDSLDDQDDLLG LDASEVELTRNNELIAIGKGSSLKRLPVFGTEPRVLFNPLHAHGQKCIVQTTSWSQCSKS CGTGISTRVTNDNPECRLVKETRICEVRPCGQPVYSSLKKGKKCSKTKKSPEPVRFTYAG CSSVKKYRPKYCGSCVDGRCCTPLQTRTVKMRFRCEDGEMFSKNVMMIQSCKCNYNCPHP NEASFRLYSLFNDIHKFRD >ENSMUSP00000030453.4 pep:known chromosome:GRCm38:4:116702279:116708406:-1 gene:ENSMUSG00000028690.4 transcript:ENSMUST00000030453.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmachc description:methylmalonic aciduria cblC type, with homocystinuria [Source:MGI Symbol;Acc:MGI:1914346] MEPRVAELKQKIEDTLCPFGFEVYPFQVAWYNELLPPAFHLPFPGPTLAFLVLSTPAMFD RALKPFLKSCHFQTLRDPVDQCVSYHLRSVTEKFPEVHMEVIADYEVHPNRRPKILAQTA AHVAGAAYYYQRQDVDADPWGTQHIAGVCIHPRFGGWFAIRGVMLLPGIEVPNLPPRKPP DCVPTRAGRITLLEGFNFHWRDWTYRDAVTPEERYSEEQKIYFSTPPAQRLALLGLAQPS EHPSTTSELPLSLLTKPQNSRRARSWLSPSVSPPVSPGP >ENSMUSP00000082137.2 pep:known chromosome:GRCm38:9:108053159:108055701:1 gene:ENSMUSG00000032593.5 transcript:ENSMUST00000085060.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amigo3 description:adhesion molecule with Ig like domain 3 [Source:MGI Symbol;Acc:MGI:2444854] MAWLVLSGILLCMLGAGLGTSDLEDVLPPAPHNCPDICICAADVLSCAGRGLQDLPVALP TTAAELDLSHNALKRLHPGWLAPLSRLRALHLGYNKLEVLGHGAFTNASGLRTLDLSSNM LRMLHTHDLDGLEELEKLLLFNNSLMHLDLDAFQGLRMLSHLYLSCNELSSFSFNHLHGL GLTRLRTLDLSSNWLKHISIPELAALPTYLKNRLYLHNNPLPCDCSLYHLLRRWHQRGLS ALHDFEREYTCLVFKVSESRVRFFEHSRVFKNCSVAAAPGLELPEEQLHAQVGQSLRLFC NTSVPATRVAWVSPKNELLVAPASQDGSIAVLADGSLAIGRVQEQHAGVFVCLASGPRLH HNQTLEYNVSVQKARPEPETFNTGFTTLLGCIVGLVLVLLYLFAPPCRGCCHCCQRACRN RCWPRASSPLQELSAQSSMLSTTPPDAPSRKASVHKHVVFLEPGKKGLNGRVQLAVAEDF DLCNPMGLQLKAGSESASSTGSEGLVMS >ENSMUSP00000067640.3 pep:known chromosome:GRCm38:6:132951102:132952066:1 gene:ENSMUSG00000063762.5 transcript:ENSMUST00000070991.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r129 description:taste receptor, type 2, member 129 [Source:MGI Symbol;Acc:MGI:2681276] MDGIVQNMFTFIVIVEIIIGWIGNGFIALVNCIHWYKRRKISALNQILTALAFSRIYLLL TVFTVIAVSTLYTHVLVTRRVVKLINFHLLFSNHFSMWLAACLGLYYFLKIAHFPNSIFV YLKMRINQVVSGTLLMSLGLLFLNTLLINSYIDTKIDDYREHLLYDFTSNNTASFYRVIL VINNCIFTSIPFTLSQSTFLLLIFSLWRHYKKMQQHAQRCRDVLADAHIRVLQTMVTYVL LCAIFFLSLSMQILRSELLKNILYVRFCEIVAAVFPSGHSCVLICRDTNLRGTFLSVLSW LKQRFTSWIPNINCRSSCIF >ENSMUSP00000029938.8 pep:known chromosome:GRCm38:3:142765045:142783606:1 gene:ENSMUSG00000028271.9 transcript:ENSMUST00000029938.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2b description:general transcription factor IIB [Source:MGI Symbol;Acc:MGI:2385191] MASTSRLDALPRVTCPNHPDAILVEDYRAGDMICPECGLVVGDRVIDVGSEWRTFSNDKA TKDPSRVGDSQNPLLSDGDLSTMIGKGTGAASFDEFGNSKYQNRRTMSSSDRAMMNAFKE ITTMADRINLPRNIVDRTNNLFKQVYEQKSLKGRANDAIASACLYIACRQEGVPRTFKEI CAVSRISKKEIGRCFKLILKALETSVDLITTGDFMSRFCSNLCLPKQVQMAATHIARKAV ELDLVPGRSPISVAAAAIYMASQASAEKRTQKEIGDIAGVADVTIRQSYRLIYPRAPDLF PSDFKFDTPVDKLPQL >ENSMUSP00000057722.4 pep:known chromosome:GRCm38:6:30611010:30631745:1 gene:ENSMUSG00000029788.13 transcript:ENSMUST00000062758.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpa5 description:carboxypeptidase A5 [Source:MGI Symbol;Acc:MGI:1921899] MQGTQRGGLVPGLSPLDRRTLLFCNFILAVAWGQVNFTGDQVLRVLAKNEKQLSLLRDLE TQKPQKVDFWRGPARPSLPVDMRVPFSELPSVKAYLKSHGLAYSIMIKDIQVLLDEERDA MAKSRRLERSTNSFSYSSYHTLDEIYSWIDNFVAEHSNLVSKIHIGKSFENRSILVLKFS TGGPNRPAIWIDTGIHSREWITHATGIWISQKIVNAYGKDHVLKRILNTMDIFIEIVTNP DGFAFTHSMNRLWRKNKSSQPGIFCIGVDLNRNWKAGFGGNGSNKNPCSETYRGPAPESE PEVAAIVDFITGHGNFKAMISIHSYSQMVMYPYGHSLEPVPNHEELFNLAKDAVKALNKV HGIQYIFGSISTTLYSASGISVDWAYDSGIKYAFSFELRDTGQYGFLLPASQIVPTAEET WMALQTIMKHTLNHPY >ENSMUSP00000110791.1 pep:known chromosome:GRCm38:6:30611051:30631520:1 gene:ENSMUSG00000029788.13 transcript:ENSMUST00000115138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpa5 description:carboxypeptidase A5 [Source:MGI Symbol;Acc:MGI:1921899] MQGTQRGGLVPGLSPLDRRTLLFCNFILAVAWGQVNFTGDQVLRVLAKNEKQLSLLRDLE TQKPQKVDFWRGPARPSLPVDMRVPFSELPSVKAYLKSHGLAYSIMIKDIQVLLDEERDA MAKSRRLERSTNSFSYSSYHTLDEIYSWIDNFVAEHSNLVSKIHIGKSFENRSILVLKFS TGGPNRPAIWIDTGIHSREWITHATGIWISQKIVNAYGKDHVLKRILNTMDIFIEIVTNP DGFAFTHSMNRLWRKNKSSQPGIFCIGVDLNRNWKAGFGGNGSNKNPCSETYRGPAPESE PEVAAIVDFITGHGNFKAMISIHSYSQMVMYPYGHSLEPVPNHEELIQPVGSRWTGLMTA ASSMPSASSSGTLDNMASCCQPHRLSPQPRRHGWHYRPS >ENSMUSP00000110792.1 pep:known chromosome:GRCm38:6:30612188:30631743:1 gene:ENSMUSG00000029788.13 transcript:ENSMUST00000115139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpa5 description:carboxypeptidase A5 [Source:MGI Symbol;Acc:MGI:1921899] MQGTQRGGLVPGLSPLDRRTLLFCNFILAVAWGQVNFTGDQVLRVLAKNEKQLSLLRDLE TQKPQKVDFWRGPARPSLPVDMRVPFSELPSVKAYLKSHGLAYSIMIKDIQVLLDEERDA MAKSRRLERSTNSFSYSSYHTLDEIYSWIDNFVAEHSNLVSKIHIGKSFENRSILVLKFS TGGPNRPAIWIDTGIHSREWITHATGIWISQKIVNAYGKDHVLKRILNTMDIFIEIVTNP DGFAFTHSMNRLWRKNKSSQPGIFCIGVDLNRNWKAGFGGNGSNKNPCSETYRGPAPESE PEVAAIVDFITGHGNFKAMISIHSYSQMVMYPYGHSLEPVPNHEELFNLAKDAVKALNKV HGIQYIFGSISTTLYSASGISVDWAYDSGIKYAFSFELRDTGQYGFLLPASQIVPTAEET WMALQTIMKHTLNHPY >ENSMUSP00000126436.1 pep:known chromosome:GRCm38:6:30612555:30631436:1 gene:ENSMUSG00000029788.13 transcript:ENSMUST00000165949.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpa5 description:carboxypeptidase A5 [Source:MGI Symbol;Acc:MGI:1921899] MQGTQRGGLVPGLSPLDRRTLLFCNFILAVAWGQVNFTGDQVLRVLAKNEKQLSLLRDLE TQKPQKVDFWRGPARPSLPVDMRVPFSELPSVKAYLKSHGLAYSIMIKDIQVLLDEERDA MAKSRRLERSTNSFSYSSYHTLDEIYSWIDNFVAEHSNLVSKIHIGKSFENRSILVLKFS TGGPNRPAIWIDTGIHSREWITHATGIWISQKIVNAYGKDHVLKRILNTMDIFIEIVTNP DGFAFTHSMNRLWRKNKSSQPGIFCIGVDLNRNWKAGFGATLRWSCILMAILWSLYLTTK SCSILPRMQ >ENSMUSP00000112944.1 pep:known chromosome:GRCm38:7:80907716:80947513:-1 gene:ENSMUSG00000025724.12 transcript:ENSMUST00000120285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec11a description:SEC11 homolog A, signal peptidase complex subunit [Source:MGI Symbol;Acc:MGI:1929464] MLSLDFLDDVRRMNKRQLYYQVLNFGMIVSSALMIWKGLMVITGSESPIVVVLSGSMEPA FHRGDLLFLTNRVEDPIRVGEIVVFRIEGREIPIVHRVLKIHEKQDGHIKFLTKGDNNAV DDRGLYKQGQHWLEKKDVVGRARGFVPYIGIVTILMNDYPKFKPADPHRAGVDAHVDGYA ITLSGPHLFSVDDTLPSVHLDRLANLLTFVVSLDNLNFIFLSNGHYTSVSAFGEWKTSCS CKRELVH >ENSMUSP00000026818.5 pep:known chromosome:GRCm38:7:80915377:80947780:-1 gene:ENSMUSG00000025724.12 transcript:ENSMUST00000026818.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec11a description:SEC11 homolog A, signal peptidase complex subunit [Source:MGI Symbol;Acc:MGI:1929464] MLSLDFLDDVRRMNKRQLYYQVLNFGMIVSSALMIWKGLMVITGSESPIVVVLSGSMEPA FHRGDLLFLTNRVEDPIRVGEIVVFRIEGREIPIVHRVLKIHEKQDGHIKFLTKGDNNAV DDRGLYKQGQHWLEKKDVVGRARGFVPYIGIVTILMNDYPKFKYAVLFLLGLFVLVHRE >ENSMUSP00000113601.1 pep:known chromosome:GRCm38:7:80915661:80947780:-1 gene:ENSMUSG00000025724.12 transcript:ENSMUST00000117383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec11a description:SEC11 homolog A, signal peptidase complex subunit [Source:MGI Symbol;Acc:MGI:1929464] MLSLDFLDDVRRMNKRQLYYQVLNFGMIVSSALMIWKGLMVITGSESPIVVVLSGSMEPA FHRGDLLFLTNRVEDPIRVGEIVVFRIEGREIPIVHRVLKIHENSAGERKHSICWQDGHI KFLTKGDNNAVDDRGLYKQGQHWLEKKDVVGRARGFVPYIGIVTILMNDYPKFKYAVLFL LGLFVLVHRE >ENSMUSP00000112425.1 pep:known chromosome:GRCm38:7:80916089:80947780:-1 gene:ENSMUSG00000025724.12 transcript:ENSMUST00000119980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec11a description:SEC11 homolog A, signal peptidase complex subunit [Source:MGI Symbol;Acc:MGI:1929464] MLSLDFLDDVRRMNKRQLYYQVLNFGMIVSSALMIWKGLMVITGSESPIVVVLSGSMEPA FHRGDLLFLTNRVEDPIRVGEIVVFRIEGREIPIVHRVLKIHEKQDGHIKFLTKGDNNAV DDRGLYKQGQHWLEKKDVVGRARGFVPYIGIVTILMNDYPKFKVRAQPYV >ENSMUSP00000080058.8 pep:known chromosome:GRCm38:9:108085413:108094441:-1 gene:ENSMUSG00000032590.14 transcript:ENSMUST00000081309.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apeh description:acylpeptide hydrolase [Source:MGI Symbol;Acc:MGI:88041] XRQVLLSEPQEAAALYRGLSRQPSLSAACLGPEVTTQYGGLYRTVHTEWTQRDLDRMENI RFCRQYLVFHDGDSVVFAGPAGNSVETRGELLSRESPSGTMKAVLRKAGGAVSGEEKQFL EVWEKNRKLKSFNLSALEKHGPVYEDDCFGCLSWSHSETHLLYVAEKKRPKAESFFQTKA LDVSASDEEMARPKKPDQAIKGDQFVFYEDWGETMVSKSIPVLCVLDIESGNISVLEGVP ENVSPGQAFWAPGDTGVVFVGWWHEPFRLGIRYCTNRRSALYYVDLSGGKCELLSDESLA VCSPRLSPDQCRVVYLQYPSLAPHHQCSQLFLYDWYTKVTSLVVDIVPRQLGESFSGIYC SLLPLGCWSADSQRVVFDSVQRSRQDLFAVDTQTGSVTSLTAGGSAGSWKLLTIDRDLMV AQFSTPNLPPSLKVGFLPPAGKEQSVSWVSLEEAEPIPDIHWGIRVLHPPPDQENVQYAD LDFEAILLQPSNSPDKSQVPMVVMPHAMLCKMGFAVLLVNYRGSTGFGQDSILSLPGNVG HQDVKDVQFAVQQVLQEEHFDARRVALMGGSHGGFLSCHLIGQYPETYSACIARNPVINI VSMMGTTDIPDWCMVETGFPYSNDYLPDLNVLEEMLDKSPIKYIPQVKTPVLLMLGQEDR RVPFKQGLEYYHALKARNVPVRLLLYPKSTHALSEVEVESDSFMNTVLWLHTHLGS >ENSMUSP00000141856.1 pep:known chromosome:GRCm38:9:108085413:108094606:-1 gene:ENSMUSG00000032590.14 transcript:ENSMUST00000193254.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apeh description:acylpeptide hydrolase [Source:MGI Symbol;Acc:MGI:88041] MERQVLLSEPQEAAALYRGLSRQPSLSAACLGPEVTTQYGGLYRTVHTEWTQRDLDRMEN IRFCRQYLVFHDGDSVVFAGPAGNSVETRGELLSRESPSGTMKAVLRKAGGAVSGEEKQF LEVWEKNRKLKSFNLSALEKHGPVYEDDCFGCLSWSHSETHLLYVAEKKRPKAESFFQTK ALDVSASDEEMARPKKPDQAIKGDQFVFYEDWGETMVSKSIPVLCVLDIESGNISVLEGV PENVSPGQAFWAPGDTGVVFVGWWHEPFRLGIRYCTNRRSALYYVDLSGGKCELLSDESL AVCSPRLSPDQCRVVYLQYPSLAPHHQCSQLFLYDWYTKVTSLVVDIVPRQLGESFSGIY CSLLPLGCWSADSQRVVFDSVQRSRQDLFAVDTQTGSVTSLTAGGSAGSWKLLTIDRDLM VAQFSTPNLPPSLKVGFLPPAGKEQSVSWVSLEEAEPIPDIHWGIRVLHPPPDQENVQYA DLDFEAILLQPSNSPDKSQVPMVVMPHGGPHSSFVTAWMLFPAMLCKMGFAVLLVNYRGS TGFGQDSILSLPGNVGHQDVKDVQFAVQQVLQEEHFDARRVALMGGSHGGFLSCHLIGQY PETYSACIARNPVINIVSMMGTTDIPDWCMVETGFPYSNDYLPDLNVLEEMLDKSPIKYI PQVKTPVLLMLGQEDRRVPFKQGLEYYHALKARNVPVRLLLYPKSTHALSEVEVESDSFM NTVLWLHTHLGS >ENSMUSP00000142150.1 pep:known chromosome:GRCm38:9:108092623:108094479:-1 gene:ENSMUSG00000032590.14 transcript:ENSMUST00000191985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apeh description:acylpeptide hydrolase [Source:MGI Symbol;Acc:MGI:88041] MERQVRARPVAPVASVARAEPDPGVSPAQVLLSEPQEAAALYRGLSRQPSLSAACLGPEV TTQYGGLYRTVHTEWTQRDLDRMENIRFCRQYLVFHDGDSVVFAGPAGNSVETRGELLSR ESPSGTMKAVLRKAGGAVSGEEKQFLEVWEKNRKLKSFNLSALEKHGPVYEDDCFGCLSW SHSETHLLYVAEKKRPKAESFFQT >ENSMUSP00000107653.2 pep:known chromosome:GRCm38:9:109847379:109849617:-1 gene:ENSMUSG00000038357.10 transcript:ENSMUST00000112022.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camp description:cathelicidin antimicrobial peptide [Source:MGI Symbol;Acc:MGI:108443] MQFQRDVPSLWLWRSLSLLLLLGLGFSQTPSYRDAVLRAVDDFNQQSLDTNLYRLLDLDP EPQGDEDPDTPKSVRFRVKETVCGKAERQLPEQCAFKEQGVVKQCMGAVTLNPAADSFDI SCNEPGAQPFRFKKISRLAGLLRKGGEKIGEKLKKIGQKIKNFFQKLVPQPE >ENSMUSP00000068932.5 pep:known chromosome:GRCm38:4:57908483:57916297:-1 gene:ENSMUSG00000052117.5 transcript:ENSMUST00000063816.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630039A03Rik description:RIKEN cDNA D630039A03 gene [Source:MGI Symbol;Acc:MGI:2442889] MRMKGLACPCPALPKFLELGTCLMAEGSRTQAPGQGPPVNIQFLRAQYEGLRKQQRTQAH LMVFPKEGTMPTPAESMTSAVWINKERRSSLSPEETDSEAEGMLEEGDRSCHQASETPWH TYLELHRLVQTFHLEAGHQGNPKGYLVEPEPRFSPEGDTDVLKNNQKTQQEVKIPEVAQC QSQEGCAPLQVAGSRAQYLSSTKHLRSGKPAYYPFPQRKPPRISQAARNLGLYGPP >ENSMUSP00000075320.5 pep:known chromosome:GRCm38:7:17203477:17215760:1 gene:ENSMUSG00000023159.8 transcript:ENSMUST00000075934.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg29 description:pregnancy-specific glycoprotein 29 [Source:MGI Symbol;Acc:MGI:1891361] MKVSGLLFCQGCAPWQGVLLTASFLTCWYLSTTSKVTIELLPSQVVEGEDVLFLVNNLPG NLTAFAWFKGRTNRKHGIALYAVASDLYVHSDRETLYNNGSLMIHNVTQKDRGYYTLRTF NKHAETVSTTFTFLHVNPFLWNCGRLVTSSQPRIESFPSIVTEGQHVILHVYNIPENLQG FIWFKGMTVHRHLEIGRYTIGRKSSVFGPAYSGREKLDSNGSLRIENVTQKDAGLYTLRV LGTDMKSEEAHVELQVNNPAFQCCNPSTSSKLMVEAVPRYVAEGESVLLLVHNLPEELIS FTWYNSMYRVPAFKIVEFNVIRNITTWGDVYRGRDTVYANGSLMLQDVTEEDARMYTLET LNVNYTVERAHVQFYVNKPVTQPFVQITDTTANAQRSLTFTCVTPDIATSILWFFNSHSL KPTERITLSPTKCGLRIDDVRSEDAGNYQCVVVNRNGDAKASHPIRWP >ENSMUSP00000118935.2 pep:known chromosome:GRCm38:1:179695297:179745185:-1 gene:ENSMUSG00000087236.1 transcript:ENSMUST00000131716.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1305 description:predicted gene 1305 [Source:MGI Symbol;Acc:MGI:2686151] MHSGNISIRDPKNEERVKTFTFDLTYWSHDGFQKDEDGVLIPSDPASKFAGQSDVFHDIG RGILDSAWRGYNATLLAYGQTGSGKSYSMIGFGTNKGLIPRVCEELFQAIEKQKENLEPQ VMFSMLEIYNEQIRDLLSRTKAPGGLRVREDQQLGFFVEGLKWVPCENYAQIEKLVEQGS KIRMTASTNMNASSSRSHMLIAIQFKQVFLDTALTKRSSINMVDLAGSERQRSSGSEGDR LREGSRVNLSLTSLGNVISALADLAMGKKVLHIPYRDSVLTKLLQSALGGNSRTTLIAAL SPADICYEETLSTLRYAERAKKVRNRAVINTCPLARASRAENALLLGFRGAGAAEHPACF WAEQQLGNQGTWAQLLEQARREWEEQYEALTQEQKMVRILPHLLNVNEDPQLTGVLKFFI HNGSCDVGRAASNAICLQGLGISDKHASFVNLDGKVTVAPHSKCKVIVNGVPVTGRTKLQ HLDRIILGSNSAFLYVGFPSERGAEDLSRFDYDFFQLERAAAEGVSVATLGCVSPGDDQA DPSILAVFQDYVKLMPLVVEANQMSEELKKGLTMELKVKNLASSDSRGYDLQKEVMVKVT KQGTHEVWIWSKAKFINRKFLMEELYQRFLESRDSHVAQEDDPFWDPLEVVHLGSAHVWL QPLAHCMMLEEQVEFLNCDGLEEAVLHIRITPCSPEGWAHGEEDMVIDPLELLGKRIDFQ THIVRCLGVKWLKEDGSRGIQMGYGIYDLPNTLYTKPVWKSVNPRIEETVHFAALGASRE FLDYLLTNALIVDLWGLQEGCAPLGVSQLDVLLTGEGHIMVDTKTFSSVKDVSQITSNQV PELYQKLLKLEQETELLRDVNRALRGENVFLKASLENAGSAPQAQKLDNPEGATRTAARE AKQVCAQQASSDAQLARALKVFYQGMGVARGQLLRLRRCRPPEDDQMLRPFVHQQSQMLK DLEDLLESSLHKLKADVAFIVKKRKEYLLPSQQ >ENSMUSP00000117452.1 pep:known chromosome:GRCm38:5:137287519:137290154:1 gene:ENSMUSG00000023328.14 transcript:ENSMUST00000132191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ache description:acetylcholinesterase [Source:MGI Symbol;Acc:MGI:87876] MRPPWYPLHTPSLAFPLLFLLLSLLGGGARAEGREDPQLL >ENSMUSP00000121378.1 pep:known chromosome:GRCm38:5:137287766:137290154:1 gene:ENSMUSG00000023328.14 transcript:ENSMUST00000138591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ache description:acetylcholinesterase [Source:MGI Symbol;Acc:MGI:87876] MRPPWYPLHTPSLAFPLLFLLLSLLGGGARAEGREDPQLL >ENSMUSP00000123295.1 pep:known chromosome:GRCm38:5:137287766:137290154:1 gene:ENSMUSG00000023328.14 transcript:ENSMUST00000141123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ache description:acetylcholinesterase [Source:MGI Symbol;Acc:MGI:87876] MRPPWYPLHTPSLAFPLLFLLLSLLGGGARAEGREDPQLL >ENSMUSP00000024099.4 pep:known chromosome:GRCm38:5:137288277:137294466:1 gene:ENSMUSG00000023328.14 transcript:ENSMUST00000024099.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ache description:acetylcholinesterase [Source:MGI Symbol;Acc:MGI:87876] MRPPWYPLHTPSLAFPLLFLLLSLLGGGARAEGREDPQLLVRVRGGQLRGIRLKAPGGPV SAFLGIPFAEPPVGSRRFMPPEPKRPWSGVLDATTFQNVCYQYVDTLYPGFEGTEMWNPN RELSEDCLYLNVWTPYPRPASPTPVLIWIYGGGFYSGAASLDVYDGRFLAQVEGAVLVSM NYRVGTFGFLALPGSREAPGNVGLLDQRLALQWVQENIAAFGGDPMSVTLFGESAGAASV GMHILSLPSRSLFHRAVLQSGTPNGPWATVSAGEARRRATLLARLVGCPPGGAGGNDTEL IACLRTRPAQDLVDHEWHVLPQESIFRFSFVPVVDGDFLSDTPEALINTGDFQDLQVLVG VVKDEGSYFLVYGVPGFSKDNESLISRAQFLAGVRIGVPQASDLAAEAVVLHYTDWLHPE DPTHLRDAMSAVVGDHNVVCPVAQLAGRLAAQGARVYAYIFEHRASTLTWPLWMGVPHGY EIEFIFGLPLDPSLNYTTEERIFAQRLMKYWTNFARTGDPNDPRDSKSPQWPPYTTAAQQ YVSLNLKPLEVRRGLRAQTCAFWNRFLPKLLSATDTLDEAERQWKAEFHRWSSYMVHWKN QFDHYSKQERCSDL >ENSMUSP00000142427.1 pep:known chromosome:GRCm38:5:137288283:137294461:1 gene:ENSMUSG00000023328.14 transcript:ENSMUST00000196208.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ache description:acetylcholinesterase [Source:MGI Symbol;Acc:MGI:87876] MRPPWYPLHTPSLAFPLLFLLLSLLGGGARAEGREDPQLLVRVRGGQLRGIRLKAPGGPV SAFLGIPFAEPPVGSRRFMPPEPKRPWSGVLDATTFQNVCYQYVDTLYPGFEGTEMWNPN RELSEDCLYLNVWTPYPRPASPTPVLIWIYGGGFYSGAASLDVYDGRFLAQVEGAVLVSM NYRVGTFGFLALPGSREAPGNVGLLDQRLALQWVQENIAAFGGDPMSVTLFGESAGAASV GMHILSLPSRSLFHRAVLQSGTPNGPWATVSAGEARRRATLLARLVGCPPGGAGGNDTEL IACLRTRPAQDLVDHEWHVLPQESIFRFSFVPVVDGDFLSDTPEALINTGDFQDLQLAGR LAAQGARVYAYIFEHRASTLTWPLWMGVPHGYEIEFIFGLPLDPSLNYTTEERIFAQRLM KYWTNFARTGDPNDPRDSKSPQWPPYTTAAQQYVSLNLKPLEVRRGLRAQTCAFWNRFLP KLLSATDTLDEAERQWKAEFHRWSSYMVHWKNQFDHYSKQERCSDL >ENSMUSP00000083097.3 pep:known chromosome:GRCm38:5:137288284:137294460:1 gene:ENSMUSG00000023328.14 transcript:ENSMUST00000085934.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ache description:acetylcholinesterase [Source:MGI Symbol;Acc:MGI:87876] MRPPWYPLHTPSLAFPLLFLLLSLLGGGARAEGREDPQLLVRVRGGQLRGIRLKAPGGPV SAFLGIPFAEPPVGSRRFMPPEPKRPWSGVLDATTFQNVCYQYVDTLYPGFEGTEMWNPN RELSEDCLYLNVWTPYPRPASPTPVLIWIYGGGFYSGAASLDVYDGRFLAQVEGAVLVSM NYRVGTFGFLALPGSREAPGNVGLLDQRLALQWVQENIAAFGGDPMSVTLFGESAGAASV GMHILSLPSRSLFHRAVLQSGTPNGPWATVSAGEARRRATLLARLVGCPPGGAGGNDTEL IACLRTRPAQDLVDHEWHVLPQESIFRFSFVPVVDGDFLSDTPEALINTGDFQDLQVLVG VVKDEGSYFLVYGVPGFSKDNESLISRAQFLAGVRIGVPQASDLAAEAVVLHYTDWLHPE DPTHLRDAMSAVVGDHNVVCPVAQLAGRLAAQGARVYAYIFEHRASTLTWPLWMGVPHGY EIEFIFGLPLDPSLNYTTEERIFAQRLMKYWTNFARTGDPNDPRDSKSPQWPPYTTAAQQ YVSLNLKPLEVRRGLRAQTCAFWNRFLPKLLSATDTLDEAERQWKAEFHRWSSYMVHWKN QFDHYSKQERCSDL >ENSMUSP00000119813.1 pep:known chromosome:GRCm38:5:137289088:137290154:1 gene:ENSMUSG00000023328.14 transcript:ENSMUST00000125195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ache description:acetylcholinesterase [Source:MGI Symbol;Acc:MGI:87876] MRPPWYPLHTPSLAFPLLFLLLSLLGGGARAEGREDPQLL >ENSMUSP00000123248.1 pep:known chromosome:GRCm38:5:137289247:137290154:1 gene:ENSMUSG00000023328.14 transcript:ENSMUST00000137126.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ache description:acetylcholinesterase [Source:MGI Symbol;Acc:MGI:87876] MRPPWYPLHTPSLAFPLLFLLLSLLGGGARAEGREDPQLL >ENSMUSP00000032766.4 pep:known chromosome:GRCm38:7:79593363:79617657:-1 gene:ENSMUSG00000030549.6 transcript:ENSMUST00000032766.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhcg description:Rhesus blood group-associated C glycoprotein [Source:MGI Symbol;Acc:MGI:1888517] MAWNTNLRGRLPITCLILQVTMVVLFGVFVRYDIQADAHWWLEKKRKNISSDVENEFYYR YPSFQDVHAMVFVGFGFLMTFLQRYGFSAVGFNFLLAAFGIQWALLMQGWFHYFEEGHIV LSVENIIQADFCVASSCVAFGAVLGKVSPMQLLIMTFFQVTLFTVNEFILLNLIEAKDAG GSMTIHTFGAYFGLTVTWILYRKNLDQSKQRQSSVYHSDLFAMIGTLFLWIYWPSFNSAS SFHGDAQHRAALNTYLSLAASVLTTVTVSSIVHKKGKLDMVHIQNATLAGGVGVGTAAEM MLTPYGALIVGFFCGIFSTLGFAYLTPFLESRHRIQDTCGIHNLHGIPGIIGGIVGAVTA AYSSPDVYGEPGIVHSFGFGSYKMDWNKRMQGRSQIFGLLLSLAMALVGGIIVGFILKLP FWGQAADENCFEDSIYWEVHEEVNTVYIPEDLAHKHSTSLVPAMPLVLPTTSASIVPPVP PTPPVSLATSAPSAALVH >ENSMUSP00000134230.2 pep:known chromosome:GRCm38:7:79593369:79594929:-1 gene:ENSMUSG00000030549.6 transcript:ENSMUST00000172788.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhcg description:Rhesus blood group-associated C glycoprotein [Source:MGI Symbol;Acc:MGI:1888517] XSLVPAMPLVLPTTSASIVPPVPPTPPVSLATSAPSAALVH >ENSMUSP00000134005.1 pep:known chromosome:GRCm38:7:79603766:79607873:-1 gene:ENSMUSG00000030549.6 transcript:ENSMUST00000161084.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhcg description:Rhesus blood group-associated C glycoprotein [Source:MGI Symbol;Acc:MGI:1888517] MQGWFHYFEEGHIVLSVENIIQADFCVASSCVAFGAVLGKVSPMQLLIMTFFQVTLFTVN >ENSMUSP00000124554.1 pep:known chromosome:GRCm38:3:90051636:90062018:1 gene:ENSMUSG00000027942.16 transcript:ENSMUST00000159064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933434E20Rik description:RIKEN cDNA 4933434E20 gene [Source:MGI Symbol;Acc:MGI:1914027] MASSSNWLSGVNVVLVMAYGSLVFVLLFIFVKRQIMRFAMKSRRGPHVPVGHNAPKDLKE EIDIRLSRVQDIKYEPQLLADDDTRLLQLETQGNQSCYNYLYRMKALDAIRASEIPFHAE GRHPCSLMGKNFRSYLLDLRNTSTPFKGVGKALIDTLLDGYETARYGTGVFGQSEYLRYQ EALSELATVVKARIGSSQRQHQSAAKDLTQSPEMSPTTIQVTYLPSSQKSKRPKHFLELK SFKDNYNTLESTL >ENSMUSP00000124028.1 pep:known chromosome:GRCm38:3:90052814:90063341:1 gene:ENSMUSG00000027942.16 transcript:ENSMUST00000160640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933434E20Rik description:RIKEN cDNA 4933434E20 gene [Source:MGI Symbol;Acc:MGI:1914027] MASSSNWLSGVNVVLVMAYGSLVFVLLFIFVKRQIMRFAMKSRRGPHVPVGHNAPKDLKE EIDIRLSRVQDIKYEPQLLADDDTRLLQLETQGNQSCYNYLYRMKALDAIRASEIPFHAE GRHPCSLMGKNFRSYLLDLRNTSTPFKGVGKALIDTLLDGYETARYGTGVFGQSEYLRYQ EALSELATVVKARIGSSQRQHQSAAKDLTQSPEMSPTTIQVTYLPSSQKSKRPKHFLELK SFKDNYNTLESTL >ENSMUSP00000029552.6 pep:known chromosome:GRCm38:3:90052837:90059097:1 gene:ENSMUSG00000027942.16 transcript:ENSMUST00000029552.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933434E20Rik description:RIKEN cDNA 4933434E20 gene [Source:MGI Symbol;Acc:MGI:1914027] MASSSNWLSGVNVVLVMAYGSLVFVLLFIFVKRQIMRFAMKSRRGPHVPVGHNAPKDLKE EIDIRLSRVQDIKYEPQLLADDDTRLLQLETQGNQSCYNYLYRMKALDAIRASEIPFHAE GRHPCSLMGKNFRSYLLDLRNTSTPFKGVGKALIDTLLDGYETARYGTGVFGQSEYLRYQ EALSELATV >ENSMUSP00000124822.1 pep:known chromosome:GRCm38:3:90052842:90060994:1 gene:ENSMUSG00000027942.16 transcript:ENSMUST00000162114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933434E20Rik description:RIKEN cDNA 4933434E20 gene [Source:MGI Symbol;Acc:MGI:1914027] MASSSNWLSGVNVVLVMAYGSLVFVLLFIFVKRQIMRFAMKSRRGPHVPVGHNAPKDLKE EIDIRLSRVQDIKYEPQLLADDDTRLLQLETQGNQSCYNYLYRMKALDAIRASEIPFHAE GRHPCSLMGKNFRSYLLDLRNTSTPFKGVGKALIDTLLDGYETARYGTGVFGQSEYLRYQ EALSELATVFTYFMYMSTL >ENSMUSP00000066840.6 pep:known chromosome:GRCm38:3:90052871:90062442:1 gene:ENSMUSG00000027942.16 transcript:ENSMUST00000068798.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933434E20Rik description:RIKEN cDNA 4933434E20 gene [Source:MGI Symbol;Acc:MGI:1914027] MASSSNWLSGVNVVLVMAYGSLVFVLLFIFVKRQIMRFAMKSRRGPHVPVGHNAPKDLKE EIDIRLSRVQDIKYEPQLLADDDTRLLQLETQGNQKIPFHAEGRHPCSLMGKNFRSYLLD LRNTSTPFKGVGKALIDTLLDGYETARYGTGVFGQSEYLRYQEALSELATVVKARIGSSQ RQHQSAAKDLTQSPEMSPTTIQVTYLPSSQKSKRPKHFLELKSFKDNYNTLESTL >ENSMUSP00000123740.1 pep:known chromosome:GRCm38:3:90052871:90062445:1 gene:ENSMUSG00000027942.16 transcript:ENSMUST00000161918.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4933434E20Rik description:RIKEN cDNA 4933434E20 gene [Source:MGI Symbol;Acc:MGI:1914027] MASSSNWLSGVNVVLVMAYGSLVFVLLFIFVKRQIMRFAMKSRRGPHVPVGHNAPKRSRF MLKAGTPVL >ENSMUSP00000029551.2 pep:known chromosome:GRCm38:3:90062796:90068347:1 gene:ENSMUSG00000027942.16 transcript:ENSMUST00000029551.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933434E20Rik description:RIKEN cDNA 4933434E20 gene [Source:MGI Symbol;Acc:MGI:1914027] MSVVDMSAEKMTKLEENLQRAVALKKTVDRWRNFHIHCMWQTTLDQRRNLFAALRMKDTK EQELALSNKQLLVVRQAALHELFEKEYQQYQQELNQMGKAFYEERL >ENSMUSP00000047951.5 pep:known chromosome:GRCm38:5:114254164:114273807:-1 gene:ENSMUSG00000042002.11 transcript:ENSMUST00000044790.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn4 description:forkhead box N4 [Source:MGI Symbol;Acc:MGI:2151057] MIESGIWSRMSEMIRSSGHSHHCSPQEYRFLPPVGDDDLPGDLQSLSWLTAVDVPRLQQM ANGRIDLGSSGVTHPHPGALAGTADLHVGAAPRPLLRRSQTAVVPRGVLGLSPIGNHRAS AEQMNQFPAGGQASSGLQEMPQLYSPATQIPFPLPLGSQQCPPAGLYGSPFSARPSYPQA HGAMHASQEPHPKHYPKPIYSYSCLIAMALKNSKTGSLPVSEIYSFMKEHFPYFKTAPDG WKNSVRHNLSLNKCFEKVETKSSGSSRKGCLWALNLARIDKMEEEMHKWKRKDLAAIHRS MANPEELDKLISDRPESCRRPGKRGEPKAPMLTHATTVAMAHSCLAISQLPPKPLMTLSL QSVPLHHQLQPQAHLAPDSPAPAQTPPLHALPSLSPGPLPQPAMGRVPGDFLNINSDMNT EVDALDPSIMDFALQGNLWEEMKEDSFSLDTLEAFGDSPLGCDLGAPSLTPVSGNSDQSF PDVQVTGLYAAYSTAADGVAPSAANSAQYLGTPGNKPIALL >ENSMUSP00000126256.1 pep:known chromosome:GRCm38:5:114255581:114263120:-1 gene:ENSMUSG00000042002.11 transcript:ENSMUST00000129530.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Foxn4 description:forkhead box N4 [Source:MGI Symbol;Acc:MGI:2151057] GDLQSLSWLTAVDVPRLQQMANGRIDLGSSGVTHPHPGALAGTADLHVGAAPRPLLRRSQ TAVVPRGVLGLSPIGNHRASQMNQFPAGGQASSGLQEMPQLYSPATQIPFPLPLGSQQLS DRHGLEEQQNRQLARE >ENSMUSP00000126361.1 pep:known chromosome:GRCm38:5:114260356:114273702:-1 gene:ENSMUSG00000042002.11 transcript:ENSMUST00000144050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn4 description:forkhead box N4 [Source:MGI Symbol;Acc:MGI:2151057] MANGRIDLGSSGVTHPHPGALAGTADLHVGAAPRPLLRRSQTAVVPRGVLGLSPIGNHRA SAEQMNQFPAGGQASSGLQEMPQLYSPATQIPFPLPLGSQQCPPAGLYGSPFSARPSYPQ AHGAMHASQEPHPKHYPKPIYS >ENSMUSP00000039990.3 pep:known chromosome:GRCm38:14:69241848:69285112:-1 gene:ENSMUSG00000034248.7 transcript:ENSMUST00000037064.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a37 description:solute carrier family 25, member 37 [Source:MGI Symbol;Acc:MGI:1914962] MELRRGGVGNQAAGRRMDGDCRDGGCGSKDAGSEDYENLPTSASVSTHMTAGAMAGILEH SIMYPVDSVKTRMQSLNPDPKARYTSIYGALKRIMHTEGFWRPLRGLNVMMMGAGPAHAM YFACYENMKRTLNDVFSHQGNSHLANGVAGSMATLLHDAVMNPAEVVKQRLQMYNSQHQS AFSCIRTVWRTEGLGAFYRSYTTQLTMNIPFQSIHFITYEFLQEQVNPRRDYNPQSHIIS GGLAGALAAAATTPLDVCKTLLNTQENMALSLANVSGRLSGMANAFRTVYQLNGLAGYFK GIQARVIYQMPSTAISWSVYEFFKYILTKRQLENRTLY >ENSMUSP00000139104.1 pep:known chromosome:GRCm38:14:69244176:69284967:-1 gene:ENSMUSG00000034248.7 transcript:ENSMUST00000184914.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a37 description:solute carrier family 25, member 37 [Source:MGI Symbol;Acc:MGI:1914962] MELRRGGVGNQAAGRRMDGDCRDGGCGSKDAGSEDYENLPTSASVSTHMTAGAMAGILEH SIMYPVDSVKTRMQSLNPDPKARYTSIYGALKRIMHTEGFWRPLRGLNVMMMGAGPAHAM YFACYENMKRTLNDVFSHQGNSHLANGILKAFVWSWEALLSGASSPGPSNLHPRQTENSR VT >ENSMUSP00000139178.1 pep:known chromosome:GRCm38:14:69270699:69284982:-1 gene:ENSMUSG00000034248.7 transcript:ENSMUST00000183882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a37 description:solute carrier family 25, member 37 [Source:MGI Symbol;Acc:MGI:1914962] MELRRGGVGNQAAGRRMDGDCRDGGCGSKDAGSEDYENLPTSASVSTHMTAGAMAGILEH SIMYPVDSVKVPSPLGLLTSLSSWCLLVFDIKNRRLSDPC >ENSMUSP00000030201.7 pep:known chromosome:GRCm38:4:44034075:44084177:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000030201.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] METHAHLHREQSYAGPHELYFKKLSSKKKQVMEKNGNNRKLRVCVATCNRADYSKLAPIM FGIKTEPAFFELDVVVLGSHLIDDYGNTYRMIEQDDFDINTRLHTIVRGEDEAAMVESVG LALVKLPDVLNRLKPDIMIVHGDRFDALALATSAALMNIRILHIEGGEVSGTIDDSIRHA ITKLAHYHVCCTRSAEQHLISMCEDHDRILLAGCPSYDKLLSAKNKDYMSIIRMWLGDDV KCKDYIVALQHPVTTDIKHSIKMFELTLDALISFNKRTLVLFPNIDAGSKEMVRVMRKKG IEHHPNFRAVKHVPFDQFIQLVAHAGCMIGNSSCGVREVGAFGTPVINLGTRQIGRETGE NVLHVRDADTQDKILQALHLQFGKQYPCSKIYGDGNAVPRILKFLKSIDLQEPLQKKFCF PPVKENISQDIDHILETLSALAVDLGGTNLRVAIVSMKGEIVKKYTQFNPKTYEERISLI LQMCVEAAAEAVKLNCRILGVGISTGGRVNPQEGVVLHSTKLIQEWNSVDLRTPLSDTLH LPVWVDNDGNCAAMAERKFGQGKGQENFVTLITGTGIGGGIIHQHELIHGSSFCAAELGH LVVSLDGPDCSCGSHGCIEAYASGMALQREAKKLHDEDLLLVEGMSVPKDEAVGALHLIQ AAKLGNVKAQSILRTAGTALGLGVVNILHTMNPSLVILSGVLASHYIHIVKDVIRQQALS SVQDVDVVVSDLVDPALLGAASMVLDYTTRRIH >ENSMUSP00000134191.1 pep:known chromosome:GRCm38:4:44036810:44055297:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000174522.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] XKHSIKMFELTLDALISFNKRTLVLFPNIDAARRWFE >ENSMUSP00000100000.2 pep:known chromosome:GRCm38:4:44036826:44072778:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000102936.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] MEKNGNNRKLRVCVATCNRADYSKLAPIMFGIKTEPAFFELDVVVLGSHLIDDYGNTYRM IEQDDFDINTRLHTIVRGEDEAAMVESVGLALVKLPDVLNRLKPDIMIVHGDRFDALALA TSAALMNIRILHIEGGEVSGTIDDSIRHAITKLAHYHVCCTRSAEQHLISMCEDHDRILL AGCPSYDKLLSAKNKDYMSIIRMWLGDDVKCKDYIVALQHPVTTDIKHSIKMFELTLDAL ISFNKRTLVLFPNIDAGSKEMVRVMRKKGIEHHPNFRAVKHVPFDQFIQLVAHAGCMIGN SSCGVREVGAFGTPVINLGTRQIGRETGENVLHVRDADTQDKILQALHLQFGKQYPCSKI YGDGNAVPRILKFLKSIDLQEPLQKKFCFPPVKENISQDIDHILETLSALAVDLGGTNLR VAIVSMKGEIVKKYTQFNPKTYEERISLILQMCVEAAAEAVKLNCRILGVGISTGGRVNP QEGVVLHSTKLIQEWNSVDLRTPLSDTLHLPVWVDNDGNCAAMAERKFGQGKGQENFVTL ITGTGIGGGIIHQHELIHGSSFCAAELGHLVVSLDGPDCSCGSHGCIEAYASGMALQREA KKLHDEDLLLVEGMSVPKDEAVGALHLIQAAKLGNVKAQSILRTAGTALGLGVVNILHTM NPSLVILSGVLASHYIHIVKDVIRQQALSSVQDVDVVVSDLVDPALLGAASMVLDYTTRR IH >ENSMUSP00000134040.1 pep:known chromosome:GRCm38:4:44036830:44066920:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000172533.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] MEKNGNNRKLRVCVATCNRADYSKLAPIMFGIKTEPAFFELDVVVLGSHLIDDYGNTYRM IEQDDFDINTRLHTIVRGEDEAAMVESVGLALVKLPDVLNRLKPDIMIVHGDRFDALALA TSAALMNIRILHIEGGEVSGTIDDSIRHAITKLAHYHVCCTRSAEQHLISMCEDHDRILL AGCPSYDKLLSAKNKDYMSIIRMWLGDDVKCKDYIVALQHPVTTDIKHSIKMFELTLDAL ISFNKRTLVLFPNIDAGSKEMVRVMRKKGIEHHPNFRAVKHVPFDQFIQLVAHAGCMIGN SSCGVREVGAFGTPVINLGTRQIGRETGENVLHVRDADTQDKILQALHLQFGKQYPCSKI YGDGNAVPRILKFLKSIDLQEPLQKKFCFPPVKENISQDIDHILETLSALAVDLGGTNLR VAIVSMKGEIVKKYTQFNPKTYEERISLILQMCVEAAAEAVKLNCRILGVAQASPQVAA >ENSMUSP00000133521.1 pep:known chromosome:GRCm38:4:44036958:44066960:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000173234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] MEKNGNNRKLRVCVATCNRADYSKLAPIMFGIKTEPAFFELDVVVLGSHLIDDYGNTYRM IEQDDFDINTRLHTIVRGEDEAAMVESVGLALVKLPDVLNRLKPDIMIVHGDRFDALALA TSAALMNIRILHIEGGEVSGTIDDSIRHAITKLAHYHVCCTRSAEQHLISMCEDHDRILL AGCPSYDKLLSAKNKDYMSIIRMWLGDDVKCKDYIVALQHPVTTDIKHSIKMFELTLDAL ISFNKRTLVLFPNIDAGSKEMVRVMRKKGIEHHPNFRAVKHVPFDQFIQLVAHAGCMIGN SSCGVREVGAFGTPVINLGTRQIGRETGENVLHVRDADTQDKILQALHLQFGKQYPCSKI YGDGNAVPRILKFLKSIDLQEPLQKKFCFPPVKENISQDIDHILETLSALAVDLGGTNLR VAIVSMKGEIVKKYTQFNPKTYEERISLILQMCVEAAAEAVKLNCRILGVGIGGGIIHQH ELIHGSSFCAAELGHLVVSLDGPDCSCGSHGCIEAYASGMALQREAKKLHDEDLLLVEGM SVPKDEAVGALHLIQAAKLGNVKAQSILRTAGTALGLGVVNILHTMNPSLVILSGVLASH YIHIVKDVIRQQALSSVQDVDVVVSDLVDPALLGAASMVLDYTTRRIH >ENSMUSP00000133551.1 pep:known chromosome:GRCm38:4:44045955:44072624:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000133709.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] MEKNGNNRKLRVCVATCNRADYSKLAPIMFGIKTEPAFFELDVVVLGSHLIDDYGR >ENSMUSP00000134406.1 pep:known chromosome:GRCm38:4:44052875:44067061:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000173274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] MEKNGNNRKLRVCVATCNRADYSKLAPIMFGIKTEPAFFELDVVVLGSHLIDDYGNTYRM IEQDDFDINTRLHTIVRGEDEAAMVESVGLALVKLPDVLNRLKPDIMIVHGDRFDALALA TSAALMNIRILHIEGGEVSGTIDDSIRHAITKLAHYHVCCTRSAEQHLISMCEDHDRILL AGCPSYDKLLSAKNKDYMSIIRMWLGDDVKCKDYIVALQHPVTTDIKHSIKMFELTLDAL ISFNKRTLVLFPNIDAGSKEMVRVMRKKGIEHHPNFRAVKHVPFDQFIQLVA >ENSMUSP00000118443.1 pep:known chromosome:GRCm38:4:44059868:44083923:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000144985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] MVSHCTALGSLDVIILSSSEFPNGEELYFKKLSSKKKQVMEKNGNNRKLRVCVATCNRAD YSKLAPIMFGIKTEPAFFELDVVVLGSHLIDDYGNTYRMIEQDDFDINTRLHTIVRGEDE AAMVESVGLALVKLPDVLNRLKPDIMIVHGDRFDALALATSAALMNIRILHIEGGEVSGT IDDSIRHAITKLAHYHVCCTRSAEQHLISMCED >ENSMUSP00000133440.1 pep:known chromosome:GRCm38:4:44059991:44072602:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000173383.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] MEKNGNNRKLRVCVATCNRADYSKLAPIMFGIKTEPAFFELDVVVLGSHLIDDYGNTYRM IEQDDFDINTRLHTIVRGEDEAAMVESVGLALVKLPDVLNRLKPDIMIVHGDRFDALALA TSAALMNIRILHI >ENSMUSP00000115858.1 pep:known chromosome:GRCm38:4:44060103:44073017:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000128439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] MPLPEATRQRKELYFKKLSSKKKQVMEKNGNNRKLRVCVATCNRADYSKLAPIMFGIKTE PAFFELDVVVLGSHLIDDYGNTYRMIEQDDFDINTRLHTIVRGEDEAAMVESVGLALVKL P >ENSMUSP00000122793.2 pep:known chromosome:GRCm38:4:44060112:44073018:-1 gene:ENSMUSG00000028479.18 transcript:ENSMUST00000140724.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gne description:glucosamine (UDP-N-acetyl)-2-epimerase/N-acetylmannosamine kinase [Source:MGI Symbol;Acc:MGI:1354951] MPLPEATRQRKELYFKKLSSKKKQVMEKNGNNRKLRVCVATCNRADYSKLAPIMFGIKTE PAFFELDVVVLGSHLIDDYGNTYRMIEQDDFDINTRLHTIVRGEDEAAMVESVGLALV >ENSMUSP00000020653.5 pep:known chromosome:GRCm38:11:51763687:51791925:1 gene:ENSMUSG00000020386.5 transcript:ENSMUST00000020653.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sar1b description:SAR1 gene homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913647] MSFIFDWIYSGFSSVLQFLGLYKKSGKLVFLGLDNAGKTTLLHMLKDDRLGQHVPTLHPT SEELTIAGMTFTTFDLGGHVQARRVWKNYLPAINGIVFLVDCADHERLLESKEELDSLMT DETIANVPILILGNKIDRPEAISEERLREMFGLYGQTTGKGSVSLKELNARPLEVFMCSV LKRQGYGEGFRWMAQYID >ENSMUSP00000021674.6 pep:known chromosome:GRCm38:12:85473890:85477273:1 gene:ENSMUSG00000021250.13 transcript:ENSMUST00000021674.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fos description:FBJ osteosarcoma oncogene [Source:MGI Symbol;Acc:MGI:95574] MMFSGFNADYEASSSRCSSASPAGDSLSYYHSPADSFSSMGSPVNTQDFCADLSVSSANF IPTVTAISTSPDLQWLVQPTLVSSVAPSQTRAPHPYGLPTQSAGAYARAGMVKTVSGGRA QSIGRRGKVEQLSPEEEEKRRIRRERNKMAAAKCRNRRRELTDTLQAETDQLEDEKSALQ TEIANLLKEKEKLEFILAAHRPACKIPDDLGFPEEMSVASLDLTGGLPEASTPESEEAFT LPLLNDPEPKPSLEPVKSISNVELKAEPFDDFLFPASSRPSGSETSRSVPDVDLSGSFYA ADWEPLHSNSLGMGPMVTELEPLCTPVVTCTPGCTTYTSSFVFTYPEADSFPSCAAAHRK GSSSNEPSSDSLSSPTLLAL >ENSMUSP00000055454.5 pep:known chromosome:GRCm38:2:150310935:150362765:-1 gene:ENSMUSG00000063364.10 transcript:ENSMUST00000051153.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3300002I08Rik description:RIKEN cDNA 3300002I08 gene [Source:MGI Symbol;Acc:MGI:1916527] MFRVPPRSPVRRAQASPDMGVVTYDDVHVNFTQEEWALLDPSQKNLYRDVMLETYRNLAA IGYSWEDHNPEEHFQSSRRHGRSGRIHNSSFWMLSYLFLPFVGSDPVLPCCNFGAVLSSN HSAQKLLPLPPSIKVHNLCAAGHPSGM >ENSMUSP00000061402.4 pep:known chromosome:GRCm38:2:178411206:178414472:-1 gene:ENSMUSG00000049999.4 transcript:ENSMUST00000058678.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r3d description:protein phosphatase 1, regulatory subunit 3D [Source:MGI Symbol;Acc:MGI:1917664] MSKGSGSAPLPSTPGSRKLVPRSLSCLSDMDRRPCRPPGCDPRLRPIIQRRSRSLPTSPE RRAKAAGAPGAACGAGCNRQVRVRFADALGLELAQVKVFNAGDDPSVPLHVLSRLAINSD LCCSSQDLEFTLQCLVPDFSPPIETPGFGERLARQLVCLERVTCSDLGISGTVRVRNVAF EKQVTVRYTFSGWRSAHEAVARWRGPAGAEGTEDIFAFGFPVPPFLLELGSQVHFALRYG VAGAEYWDNNDGRDYSLTCRSHALHMPRGECEESWIHFI >ENSMUSP00000080736.4 pep:known chromosome:GRCm38:6:132956884:132957919:-1 gene:ENSMUSG00000057699.5 transcript:ENSMUST00000082085.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r131 description:taste receptor, type 2, member 131 [Source:MGI Symbol;Acc:MGI:2681280] MYMILVRAVFITGMLGNMFIGLANCSDWVKNQKITFINFIMVCLAASRISSVLMLFIDAT IQELAPHFYYSYRLVKCSDIFWVITDQLSTWLATCLSIFYLFKVAHISHPLFLWFKWRLR GVLVVFLVFSLFLLISYFLLLETLPIWGDIYVTLKNNLTLFSGTIKTTAFQKIIVFDIIY LVPFLVSLASLLLLFLSLVKHSRSLDLISTTSEDSRTKIHKKAMKMLVSFLILFIIHIFF MQLARWLLFLFPMSRPINFILTLNIFALTHSFILILGNSNLRQRAMRILQHLKSQLQELI LSLHRFSSLY >ENSMUSP00000110091.1 pep:known chromosome:GRCm38:6:51470360:51483033:1 gene:ENSMUSG00000029836.15 transcript:ENSMUST00000094623.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx3 description:chromobox 3 [Source:MGI Symbol;Acc:MGI:108515] MASNKTTLQKMGKKQNGKSKKVEEAEPEEFVVEKVLDRRVVNGKVEYFLKWKGFTDADNT WEPEENLDCPELIEAFLNSQKAGKEKDGTKRKSLSDSESDDSKSKKKRDAADKPRGFARG LDPERIIGATDSSGELMFLMKWKDSDEADLVLAKEANMKCPQIVIAFYEERLTWHSCPED EAQ >ENSMUSP00000031862.7 pep:known chromosome:GRCm38:6:51470530:51483704:1 gene:ENSMUSG00000029836.15 transcript:ENSMUST00000031862.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx3 description:chromobox 3 [Source:MGI Symbol;Acc:MGI:108515] MASNKTTLQKMGKKQNGKSKKVEEAEPEEFVVEKVLDRRVVNGKVEYFLKWKGFTDADNT WEPEENLDCPELIEAFLNSQKAGKEKDGTKRKSLSDSESDDSKSKKKRDAADKPRGFARG LDPERIIGATDSSGELMFLMKWKDSDEADLVLAKEANMKCPQIVIAFYEERLTWHSCPED EAQ >ENSMUSP00000110088.1 pep:known chromosome:GRCm38:6:51470666:51483704:1 gene:ENSMUSG00000029836.15 transcript:ENSMUST00000114445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx3 description:chromobox 3 [Source:MGI Symbol;Acc:MGI:108515] MASNKTTLQKMGKKQNGKSKKVEEAEPEEFVVEKVLDRRVVNGKVEYFLKWKGFTELTNQ GALPEVSTLNE >ENSMUSP00000110089.1 pep:known chromosome:GRCm38:6:51470695:51483704:1 gene:ENSMUSG00000029836.15 transcript:ENSMUST00000114446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx3 description:chromobox 3 [Source:MGI Symbol;Acc:MGI:108515] MASNKTTLQKMGKKQNGKSKKVEEAEPEEFVVEKVLDRRVVNGKVEYFLKWKGFTDADNT WEPEENLDCPELIEAFLNSQKAGKEKDGTKRKSLSDSESDDSKSKKKRDAADKPRGFARG LDPERIIGATDSSGELMFLMKWKDSDEADLVLAKEANMKCPQIVIAFYEERLTWHSCPED EAQ >ENSMUSP00000121370.1 pep:known chromosome:GRCm38:6:51470746:51478600:1 gene:ENSMUSG00000029836.15 transcript:ENSMUST00000141711.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx3 description:chromobox 3 [Source:MGI Symbol;Acc:MGI:108515] MASNKTTLQKMGKKQNGKSKKVEEAEPEEFVVEKVLDRRVVNGKVEYFLKWKGFTDADNT WEPEENLDCPELIEAFLNSQKAGKEKDGTKRKSLSDSESDDSKSKKKRDA >ENSMUSP00000091805.4 pep:known chromosome:GRCm38:2:178414524:178424428:1 gene:ENSMUSG00000070476.10 transcript:ENSMUST00000094251.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam217b description:family with sequence similarity 217, member B [Source:MGI Symbol;Acc:MGI:1918782] MRDCHQKSSINAGSSWNQVQHSKTTSGKRQSGSQGPHVSSQLRSSLLGISQPAAEKLMET VPTEGIQEQAALSSRYQAASGSKLFLDFHSMEIMKGADEDSASDLSDSERVAIPPSPFTP PELNLRAEEIDPVSFSLHPELSQAESRQTYPDFLPPPFNSWDLRDMAVVMNSECRSEALP RATGFLGKYIDRLLQLEWLQVQTVQCEKARAAKAKPPGASGVLKSPGRGKLLTSALSKPL PSPEGISKSGPSRKKGFRHEEAHPSYYAFETLPNSVDGPGRPRLCSQKQAPELRMEKKKK SSKGPKLQPRAPPCAEGNPAMEANGNIRIPRQSAVLLDPVDSHRASRTQAHVDLKKKGSA NTCGYAPSSSEKKLKTNGAKQSTYKLK >ENSMUSP00000030051.5 pep:known chromosome:GRCm38:4:57943373:57956411:-1 gene:ENSMUSG00000028367.5 transcript:ENSMUST00000030051.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txn1 description:thioredoxin 1 [Source:MGI Symbol;Acc:MGI:98874] MVKLIESKEAFQEALAAAGDKLVVVDFSATWCGPCKMIKPFFHSLCDKYSNVVFLEVDVD DCQDVAADCEVKCMPTFQFYKKGQKVGEFSGANKEKLEASITEYA >ENSMUSP00000069300.3 pep:known chromosome:GRCm38:6:132980015:132980965:-1 gene:ENSMUSG00000062528.2 transcript:ENSMUST00000067539.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r109 description:taste receptor, type 2, member 109 [Source:MGI Symbol;Acc:MGI:2681214] MEHLLKRTFDITENILLIILFIELIIGLIGNGFTALVHCMDWVKRKKMSLVNKILTALAT SRIFLLWFMLVGFPISSLYPYLVTTRLMIQFTSTLWTIANHISVWFATCLSVFYFLKIAN FSNSPFLYLKRRVEKVVSVTLLVSLVLLFLNILLLNLEINMCINEYHQINISYIFISYYH LSCQIQVLGSHIIFLSVPVVLSLSTFLLLIFSLWTLHKRMQQHVQGGRDARTTAHFKALQ AVIAFLLLYSIFILSLLLQFWIHGLRKKPPFIAFCQVVDTAFPSFHSYVLILRDRKLRHA SLSVLSWLKCRPNYVK >ENSMUSP00000061107.2 pep:known chromosome:GRCm38:14:69289344:69294299:-1 gene:ENSMUSG00000047977.3 transcript:ENSMUST00000059362.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synb description:syncytin b [Source:MGI Symbol;Acc:MGI:3045308] MTGFWVLCFVLFPSSLSYPESWMPLVNLTHHILRDTNSSLFSNCWVCLSTQTQRSLAVPA PLSIWTDTPMKLHLTYSVRPFSGSFSISDIERRLRLFRPLTASYSFHNPDRRAIAFLQLV SSTGIFRIITRITSVIYPHKDRFFESAQRPLWGPLFTETVLRSQAPLCISRFFKVSAYAT FVGNLSASLCNYTMHISPSTSHENLDLSTTHTFKQAMKRPDAKWKNPLRFSGPPSLIFSK PAYYPCPTDIKHCHTSPATPWMHCPQAPFGTCYNLTLFEPDNSTHPVTMSVNPTHFKVKL QGHRDPYPLSHYQPLTGAALSGQYSVWENEITVQENWDITSNIFSHLLSFSYAFCLNSSG VFFLCGTSTYICLPANWSGVCTLVFQYPDIELLPNNQTVPVPLFASVLSSDSVLRPKRSP HLFPFLAGLGISSALGTGIAGLATSTLYFQQLSKVLSETLEEIAASITTLQNQIDSLAGV VLQNRRALDLITAEKGGTCLFLQEECCFYVNQSGIVRDAARKLQERASELGQHSDSWGQW PDLGRWLPWLTPFLGPLLFLFFLLTFGSCLLNCLTRFVSQRLGSFVQDTAKRHVDSILQN FQYKKLPQDSPDEDTIPT >ENSMUSP00000062790.5 pep:known chromosome:GRCm38:10:99125167:99126325:-1 gene:ENSMUSG00000055108.6 transcript:ENSMUST00000060761.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phxr2 description:per-hexamer repeat gene 2 [Source:MGI Symbol;Acc:MGI:104524] MLTLQSSGTGQRKVAVHMGLLENISLKISKEVGGLYDTRMAQQGQLLKLLVGTVRKWDHS FFMDL >ENSMUSP00000116871.1 pep:known chromosome:GRCm38:9:40293233:40310054:-1 gene:ENSMUSG00000040111.14 transcript:ENSMUST00000137454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1b description:GRAM domain containing 1B [Source:MGI Symbol;Acc:MGI:1925037] XYCEEIPIEENEVNDSSSKSSIETKPDASPQLPKKSITNSTLTSTGSSEAPVSFDGLPLE EEVMEGDGSLEKELAIDNIIGEKIEIMAPVTSPSLDFNDNEDIPTELSDSSDTHDEGEVQ AFYEDLSGRQYVNEVFNFSVDKLYDLLFTNSPFLRDFMEQRRFSDIIFHPWKKEENGNQS RVILYTITLTNPLAPKTATVRETQTMYKASQESECYVIDAEVLTHDVPYHDYFYTINRYT LTRVARNKSRLRVSTELRYRKQPWGFVKTFIEKNFWSGLEDYFRHLETELTKTESTYLAE IHRQSPKEKASKSSAVRRRKRPHAHLRVPHLEEVMSPVTTPTDEDVGHRIKHVAGSTQTR HIPEDTPDGFHLQSVSKLLLVISCVLVLLVVLNMMLFYKLWMLEYTTQTLTAWQGLRLQE RLPQSQTEWAQLLESQQKYHDTELQKWREIIKSSVLLLDQMKDSLINLQNGIRSRDYTAE SDEKRNRYH >ENSMUSP00000112564.1 pep:known chromosome:GRCm38:9:40293233:40346290:-1 gene:ENSMUSG00000040111.14 transcript:ENSMUST00000121357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1b description:GRAM domain containing 1B [Source:MGI Symbol;Acc:MGI:1925037] MASSTASNSNRSTPACSPILRKRSRSPTPQNQDGDTMVEKGSDHSSDKSPSTPEQGVQRS CSSQSGRSGGKNSKKSQSWYNVLSPTYKQRNEDFRKLFKQLPDTERLIVDYSCALQRDIL LQGRLYLSENWICFYSNIFRWETLLTVRLKDICSMTKEKTARLIPNAIQVCTDSEKHFFT SFGARDRTYMMMFRLWQNALLEKPLCPKELWHFVHQCYGNELGLTSDDEDYVPPDDDFNT MGYCEEIPIEENEVNDSSSKSSIETKPDASPQLPKKSITNSTLTSTGSSEAPVSFDGLPL EEEVMEGDGSLEKELAIDNIIGEKIEIMAPVTSPSLDFNDNEDIPTELSDSSDTHDEGEV QAFYEDLSGRQYVNEVFNFSVDKLYDLLFTNSPFLRDFMEQRRFSDIIFHPWKKEENGNQ SRVILYTITLTNPLAPKTATVRETQTMYKASQESECYVIDAEVLTHDVPYHDYFYTINRY TLTRVARNKSRLRVSTELRYRKQPWGFVKTFIEKNFWSGLEDYFRHLETELTKTESTYLA EIHRQSPKEKASKSSAVRRRKRPHAHLRVPHLEEVMSPVTTPTDEDVGHRIKHVAGSTQT RHIPEDTPDGFHLQSVSKLLLVISCVICFSLVLLVVLNMMLFYKLWMLEYTTQTLTAWQG LRLQERLPQSQTEWAQLLESQQKYHDTELQKWREIIKSSVLLLDQMKDSLINLQNGIRSR DYTAESDEKRNRYH >ENSMUSP00000112489.1 pep:known chromosome:GRCm38:9:40293239:40531383:-1 gene:ENSMUSG00000040111.14 transcript:ENSMUST00000119373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1b description:GRAM domain containing 1B [Source:MGI Symbol;Acc:MGI:1925037] MNSFPNRPSSQQSSQQSSHDDDSSRFLSPRVRDESTASNSNRSTPACSPILRKRSRSPTP QNQDGDTMVEKGSDHSSDKSPSTPEQGVQRSCSSQSGRSGGKNSKVSRKSQSWYNVLSPT YKQRNEDFRKLFKQLPDTERLIVDYSCALQRDILLQGRLYLSENWICFYSNIFRWETLLT VRLKDICSMTKEKTARLIPNAIQVCTDSEKHFFTSFGARDRTYMMMFRLWQNALLEKPLC PKELWHFVHQCYGNELGLTSDDEDYVPPDDDFNTMGYCEEIPIEENEVNDSSSKSSIETK PDASPQLPKKSITNSTLTSTGSSEAPVSFDGLPLEEEVMEGDGSLEKELAIDNIIGEKIE IMAPVTSPSLDFNDNEDIPTELSDSSDTHDEGEVQAFYEDLSGRQYVNEVFNFSVDKLYD LLFTNSPFLRDFMEQRRFSDIIFHPWKKEENGNQSRVILYTITLTNPLAPKTATVRETQT MYKASQESECYVIDAEVLTHDVPYHDYFYTINRYTLTRVARNKSRLRVSTELRYRKQPWG FVKTFIEKNFWSGLEDYFRHLETELTKTESTYLAEIHRQSPKEKASKSSAVRRRKRPHAH LRVPHLEEVMSPVTTPTDEDVGHRIKHVAGSTQTRHIPEDTPDGFHLQSVSKLLLVISCV LVLLVVLNMMLFYKLWMLEYTTQTLTAWQGLRLQERLPQSQTEWAQLLESQQKYHDTELQ KWREIIKSSVLLLDQMKDSLINLQNGIRSRDYTAESDEKRNRYH >ENSMUSP00000112417.1 pep:known chromosome:GRCm38:9:40297876:40392152:-1 gene:ENSMUSG00000040111.14 transcript:ENSMUST00000118159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1b description:GRAM domain containing 1B [Source:MGI Symbol;Acc:MGI:1925037] MVEKGSDHSSDKSPSTPEQGVQRSCSSQSGRSGGKNSKKSQSWYNVLSPTYKQRNEDFRK LFKQLPDTERLIVDYSCALQRDILLQGRLYLSENWICFYSNIFRWETLLTVRLKDICSMT KEKTARLIPNAIQVCTDSEKHFFTSFGARDRTYMMMFRLWQNALLEKPLCPKELWHFVHQ CYGNELGLTSDDEDYVPPDDDFNTMGYCEEIPIEENEVNDSSSKSSIETKPDASPQLPKK SITNSTLTSTGSSEAPVSFDGLPLEEEVMEGDGSLEKELAIDNIIGEKIEIMAPVTSPSL DFNDNEDIPTELSDSSDTHDEGEVQAFYEDLSGRQYVNEVFNFSVDKLYDLLFTNSPFLR DFMEQRRFSDIIFHPWKKEENGNQSRVILYTITLTNPLAPKTATVRETQTMYKASQESEC YVIDAEVLTHDVPYHDYFYTINRYTLTRVARNKSRLRVSTELRYRKQPWGFVKTFIEKNF WSGLEDYFRHLETELTKTESTYLAEIHRQSPKEKASKSSAVRRRKRPHAHLRVPHLEEVM SPVTTPTDEDVGHRIKHVAGSTQTRHIPEDTPDGFHLQSVSKLLLVISCVICFSLVLLVV LNMMLFYKLWMLEYTTQTLTAWQGLRLQERLPQSQTEWAQLLESQQKYHDTELQKWREII KSSVLLLDQMKDSLINLQNGIRSRDYTAESDEKRNRYH >ENSMUSP00000048126.5 pep:known chromosome:GRCm38:9:40297907:40455764:-1 gene:ENSMUSG00000040111.14 transcript:ENSMUST00000045682.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1b description:GRAM domain containing 1B [Source:MGI Symbol;Acc:MGI:1925037] MPAANMMENLQLPALQVPEPQGAPEGSAVWSSSSTPTLRRRRFKMRRMKNVQEQSLEAGL VAPDLPGVLAPGKEFLQLPSIEITPSSDEDTPWSNCSTPSASPRRKRFLLRKWLRVRERK ECSESSSQQSSQQSSHDDDSSRFLSPRVRDESTASNSNRSTPACSPILRKRSRSPTPQNQ DGDTMVEKGSDHSSDKSPSTPEQGVQRSCSSQSGRSGGKNSKKSQSWYNVLSPTYKQRNE DFRKLFKQLPDTERLIVDYSCALQRDILLQGRLYLSENWICFYSNIFRWETLLTVRLKDI CSMTKEKTARLIPNAIQVCTDSEKHFFTSFGARDRTYMMMFRLWQNALLEKPLCPKELWH FVHQCYGNELGLTSDDEDYVPPDDDFNTMGYCEEIPIEENEVNDSSSKSSIETKPDASPQ LPKKSITNSTLTSTGSSEAPVSFDGLPLEEEVMEGDGSLEKELAIDNIIGEKIEIMAPVT SPSLDFNDNEDIPTELSDSSDTHDEGEVQAFYEDLSGRQYVNEVFNFSVDKLYDLLFTNS PFLRDFMEQRRFSDIIFHPWKKEENGNQSRVILYTITLTNPLAPKTATVRETQTMYKASQ ESECYVIDAEVLTHDVPYHDYFYTINRYTLTRVARNKSRLRVSTELRYRKQPWGFVKTFI EKNFWSGLEDYFRHLETELTKTESTYLAEIHRQSPKEKASKSSAVRRRKRPHAHLRVPHL EEVMSPVTTPTDEDVGHRIKHVAGSTQTRHIPEDTPDGFHLQSVSKLLLVISCVLVLLVV LNMMLFYKLWMLEYTTQTLTAWQGLRLQERLPQSQTEWAQLLESQQKYHDTELQKWREII KSSVLLLDQMKDSLINLQNGIRSRDYTAESDEKRNRYH >ENSMUSP00000130050.1 pep:known chromosome:GRCm38:9:40293245:40455670:-1 gene:ENSMUSG00000040111.14 transcript:ENSMUST00000165104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1b description:GRAM domain containing 1B [Source:MGI Symbol;Acc:MGI:1925037] MPAANMMENLQLPALQVPEPQGAPEGSAVWSSSSTPTLRRRRFKMRRMKNVQEQSLEAGL VAPDLPGVLAPGKEFLQLPSIEITPSSDEDTPWSNCSTPSASPRRKRFLLRKWLRVRERK ECSESSSQQSSQQSSHDDDSSRFLSPRVRDESTASNSNRSTPACSPILRKRSRSPTPQNQ DGDTMVEKGSDHSSDKSPSTPEQGVQRSCSSQSGRSGGKNSKKSQSWYNVLSPTYKQRNE DFRKLFKQLPDTERLIVDYSCALQRDILLQGRLYLSENWICFYSNIFRWETLLTVRLKDI CSMTKEKTARLIPNAIQVCTDSEKHFFTSFGARDRTYMMMFRLWQNALLEKPLCPKELWH FVHQCYGNELGLTSDDEDYVPPDDDFNTMGYCEEIPIEENEVNDSSSKSSIETKPDASPQ LPKKSITNSTLTSTGSSEAPVSFDGLPLEEEVMEGDGSLEKELAIDNIIGEKIEIMAPVT SPSLDFNDNEDIPTELSDSSDTHDEGEVQAFYEDLSGRQYVNEVFNFSVDKLYDLLFTNS PFLRDFMEQRRFSDIIFHPWKKEENGNQSRVILYTITLTNPLAPKTATVRETQTMYKASQ ESECYVIDAEVLTHDVPYHDYFYTINRYTLTRVARNKSRLRVSTELRYRKQPWGFVKTFI EKNFWSGLEDYFRHLETELTKTESTYLAEIHRQSPKEKASKSSAVRRRKRPHAHLRVPHL EEVMSPVTTPTDEDVGHRIKHVAGSTQTRHIPEDTPDGFHLQSVSKLLLVISCVLVLLVV LNMMLFYKLWMLEYTTQTLTAWQGLRLQERLPQSQTEWAQLLESQQKYHDTELQKWREII KSSVLLLDQMKDSLINLQNGIRSRDYTAESDEKRNRYH >ENSMUSP00000020220.8 pep:known chromosome:GRCm38:10:84370905:84440597:-1 gene:ENSMUSG00000020032.14 transcript:ENSMUST00000020220.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nuak1 description:NUAK family, SNF1-like kinase, 1 [Source:MGI Symbol;Acc:MGI:1925226] MEGAAVSAAGDGPAVETGLPGSPLEAVAGATAAPVEPRKPHGVKRHHHKHNLKHRYELQE TLGKGTYGKVKRATERFSGRVVAIKSIRKDKIKDELDMVHIRREIEIMSSLNHPHIISIY EVFENKDKIVIIMEYASKGELYDYISERRRLSERETRHFFRQIVSAVHYCHKNGVVHRDL KLENILLDDNCNIKIADFGLSNLYQKDKFLQTFCGSPLYASPEIVNGRPYRGPEVDSWAL GVLLYTLIYGTMPFDGFDHKNLIRQISSGEYREPTQPSDARGLIRWMLMVNPDRRATIED IANHWWVNWGYKSSVCDCDALPDSESPLLARIIDWHHRSTGLQAEAEAKMKGLAKPGASE VVLERQRSLKKSKKENDFPQSGQDSVPESPSKLSSKRPKGILKKRSNSEHRSHSTGFIEG IVSPALPSPFKMEQDLCRTAIPLPSSPEADMSGKLSLKQSATMPKKGILKKTQQRESGYY SSPERSESSELLDSNDVVISGGLSSPPPDPARGTSHSLSCRRKGILKHSSRYSDGGTDPA LTRPEMPTLESLSPPGVPSDGISRSYSRPSSIISDDSVLSSDSFDLLELQENRPARQRIR SCVSAENFLQLQDFETPHNRPRPQYLKRLADSSFSLLTDMDDVTQVYKKALEICSKLN >ENSMUSP00000122927.1 pep:known chromosome:GRCm38:10:84375296:84392388:-1 gene:ENSMUSG00000020032.14 transcript:ENSMUST00000129355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nuak1 description:NUAK family, SNF1-like kinase, 1 [Source:MGI Symbol;Acc:MGI:1925226] XSHTLLLWLLLLLLLSSSVSSLQNGVVHRDLKLENILLDDNCNIKIADFGLSNLYQKDKF LQTFCGSPLYASPEIVNGRPYRGPEVDSWALGVLLYTLIYGTMPFDGFDHKNLIRQISSG EYREPTQPSDARGLIRWMLMVNPDRRATIEDIANHWWVNW >ENSMUSP00000043594.4 pep:known chromosome:GRCm38:1:14872648:14918862:-1 gene:ENSMUSG00000032769.4 transcript:ENSMUST00000041447.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpa1 description:transient receptor potential cation channel, subfamily A, member 1 [Source:MGI Symbol;Acc:MGI:3522699] MKRGLRRILLPEERKEVQGVVYRGVGEDMDCSKESFKVDIEGDMCRLEDFIKNRRKLSKY EDENLCPLHHAAAEGQVELMELIINGSSCEVLNIMDGYGNTPLHCAAEKNQVESVKFLLS QGANPNLRNRNMMSPLHIAVHGMYNEVIKVLTEHKATNINLEGENGNTALMSTCAKDNSE ALQILLEKGAKLCKSNKWGDYPVHQAAFSGAKKCMELILAYGEKNGYSRETHINFVNHKK ASPLHLAVQSGDLDMIKMCLDNGAHIDMMENAKCMALHFAATQGATDIVKLMISSYTGSS DIVNAVDGNQETLLHRASLFDHHDLAEYLISVGADINSTDSEGRSPLILATASASWNIVN LLLCKGAKVDIKDHLGRNFLHLTVQQPYGLRNLRPEFMQMQHIKELVMDEDNDGCTPLHY ACRQGVPVSVNNLLGFNVSIHSKSKDKKSPLHFAASYGRINTCQRLLQDISDTRLLNEGD LHGMTPLHLAAKNGHDKVVQLLLKKGALFLSDHNGWTALHHASMGGYTQTMKVILDTNLK CTDRLDEEGNTALHFAAREGHAKAVAMLLSYNADILLNKKQASFLHIALHNKRKEVVLTT IRNKRWDECLQVFTHNSPSNRCPIMEMVEYLPECMKVLLDFCMIPSTEDKSCQDYHIEYN FKYLQCPLSMTKKVAPTQDVVYEPLTILNVMVQHNRIELLNHPVCREYLLMKWCAYGFRA HMMNLGSYCLGLIPMTLLVVKIQPGMAFNSTGIINGTSSTHEERIDTLNSFPIKICMILV FLSSIFGYCKEVIQIFQQKRNYFLDYNNALEWVIYTTSIIFVLPLFLNIPAYMQWQCGAI AIFFYWMNFLLYLQRFENCGIFIVMLEVIFKTLLRSTGVFIFLLLAFGLSFYVLLNFQDA FSTPLLSLIQTFSMMLGDINYRDAFLEPLFRNELAYPVLTFGQLIAFTMFVPIVLMNLLI GLAVGDIAEVQKHASLKRIAMQVELHTNLEKKLPLWYLRKVDQRSTIVYPNRPRHGRMLR FFHYFLNMQETRQEVPNIDTCLEMEILKQKYRLKDLTSLLEKQHELIKLIIQKMEIISET EDEDNHCSFQDRFKKERLEQMHSKWNFVLNAVKTKTHCSISHPDF >ENSMUSP00000133728.1 pep:known chromosome:GRCm38:7:28808541:28822266:1 gene:ENSMUSG00000015165.16 transcript:ENSMUST00000174548.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpl description:heterogeneous nuclear ribonucleoprotein L [Source:MGI Symbol;Acc:MGI:104816] MSRRLLPRAEKRRRRLEQRQQPDEQLRRAGAMVKMAAAGGGGGGGRYYGGGNEGGRAPKR LKTENAGDQHGGGGGGGSGAAGGGGGENYDDPHKTPASPVVHIRGLIDGVVEADLVEALQ EFGPISYVVVMPKKRQALVEFEDVLGACNAVNYAADNQIYIAGHPAFVNYSTSQKISRPG DSDDSRSVNSVLLFTILNPIYSITTDVLYTICNPCGPVQRIVIFRKNGVQAMVEFDSVQS AQRAKASLNGADIYSGCCTLKIEYAKPTRLNVFKNDQDTWDYTNPNLSGQGDPGSNPNKR QRQPPLLGDHPAEYGGPHGGYHSHYHDEGYGPPPPHYEGRRMGPPVGGHRRGPSRYGPQY GHPPPPPPPPDYGPHADSPVLMVYGLDQSKMNCDRVFNVFCLYGNVEKVKFMKSKPGAAM VEMADGYAVDRAITHLNNNFMFGQKMNVCVSKQPAIMPGQSYGLEDGSCSYKDFSESRNN RFSTPEQAAKNRIQHPSNVLHFFNAPLEVTEENFFEICDELGVKRPTSVKVFSGKSERSS SGLLEWDSKSDALETLGFLNHYQMKNPNGPYPYTLKLCFSTAQHAS >ENSMUSP00000133932.1 pep:known chromosome:GRCm38:7:28808808:28822249:1 gene:ENSMUSG00000015165.16 transcript:ENSMUST00000172529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpl description:heterogeneous nuclear ribonucleoprotein L [Source:MGI Symbol;Acc:MGI:104816] MPKKRQALVEFEDVLGACNAVNYAADNQIYIAGHPAFVNYSTSQKISRPGDSDDSRSVNS VLLFTILNPIYSITTDVLYTICNPCGPVQRIVIFRKNGVQAMVEFDSVQSAQRAKASLNG ADIYSGCCTLKIEYAKPTRLNVFKNDQDTWDYTNPNLSGQGDPGSNPNKRQRQPPLLGDH PAEYGGPHGGYHSHYHDEGYGPPPPHYEGRRMGPPVGGHRRGPSRYGPQYGHPPPPPPPP DYGPHADSPVLMVYGLDQSKMNCDRVFNVFCLYGNVEKVKFMKSKPGAAMVEMADGYAVD RAITHLNNNFMFGQKMNVCVSKQPAIMPGQSYGLEDGSCSYKDFSESRNNRFSTPEQAAK NRIQHPSNVLHFFNAPLEVTEENFFEICDELGVKRPTSVKVFSGKSERSSSGLLEWDSKS DALETLGFLNHYQMKNPNGPYPYTLKLCFSTAQHAS >ENSMUSP00000134271.1 pep:known chromosome:GRCm38:7:28809518:28815125:1 gene:ENSMUSG00000015165.16 transcript:ENSMUST00000172884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpl description:heterogeneous nuclear ribonucleoprotein L [Source:MGI Symbol;Acc:MGI:104816] MPKKRQALVEFEDVLGACNAVNYAADNQIYIAGHPAFVNYSTSQKISRPGDSDDSRSVNS VLLFTILNPIYSITTDVLYTICNPCGPVQRIVIFRKNGVQAMVEFDSVQSAQR >ENSMUSP00000134734.1 pep:known chromosome:GRCm38:7:28810937:28822240:1 gene:ENSMUSG00000015165.16 transcript:ENSMUST00000174477.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpl description:heterogeneous nuclear ribonucleoprotein L [Source:MGI Symbol;Acc:MGI:104816] XEKRRRRLEQRQQPDEQLRRAGAMVKMAAAGGGGGGGRYYGGGNEGGRAPKRLKTENAGD QHGGGGGGGSGAAGGGGGENYDDPHKTPASPVVHIRGLIDGVVEADLVEALQEFGPISYV VVMPKKRQALVEFEDVLGACNAVNYAADNQIYIAGHPAFVNYSTSQKISRPGDSDDSRSV NSVLLFTILNPIYSITTDVLYTICNPCGPVQRIVIFRKNGVQAMVEFDSVQSAQRAKASL NGADIYSGCCTLKIEYAKPTRLNVFKNDQDTWDYTNPNLSGQGDPGSNPNKRQRQPPLLG DHPAEYGEGRGFPSVDSRGSCAPARRPPRKFSPVLPLFPSHPPGGPHGGYHSHYHDEGYG PPPPHYEGRRMGPPVGGHRRGPSRYGPQYGHPPPPPPPPDYGPHADSPVLMVYGLDQSKM NCDRVFNVFCLYGNVEKVKFMKSKPGAAMVEMADGYAVDRAITHLNNNFMFGQKMNVCVS KQPAIMPGQSYGLEDGSCSYKDFSESRNNRFSTPEQAAKNRIQHPSNVLHFFNAPLEVTE ENFFEICDELGVKRPTSVKVFSGKSERSSSGLLEWDSKSDALETLGFLNHYQMKNPNGPY PYTLKLCFSTAQHAS >ENSMUSP00000133952.1 pep:known chromosome:GRCm38:7:28813345:28822249:1 gene:ENSMUSG00000015165.16 transcript:ENSMUST00000174882.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpl description:heterogeneous nuclear ribonucleoprotein L [Source:MGI Symbol;Acc:MGI:104816] GVVEADLVEALQEFGPISYVVVMPKKRQALVEFEDVLGACNAVNYAADNQIYIAGHPAFV NYSTSQKISRPGDSDDSRSVNSVLLFTILNPIYSITTDVLYTICNPCGPVQRIVIFRKNG VQAMVEFDSVQSAQRAKASLNGADIYSGCCTLKIEYAKPTRLNVFKNDQDTWDYTNPNLS GQGSQCMFDGTPSFRTVLTHC >ENSMUSP00000049407.8 pep:known chromosome:GRCm38:7:28810890:28822266:1 gene:ENSMUSG00000015165.16 transcript:ENSMUST00000038572.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpl description:heterogeneous nuclear ribonucleoprotein L [Source:MGI Symbol;Acc:MGI:104816] MSRRLLPRAEKRRRRLEQRQQPDEQLRRAGAMVKMAAAGGGGGGGRYYGGGNEGGRAPKR LKTENAGDQHGGGGGGGSGAAGGGGGENYDDPHKTPASPVVHIRGLIDGVVEADLVEALQ EFGPISYVVVMPKKRQALVEFEDVLGACNAVNYAADNQIYIAGHPAFVNYSTSQKISRPG DSDDSRSVNSVLLFTILNPIYSITTDVLYTICNPCGPVQRIVIFRKNGVQAMVEFDSVQS AQRAKASLNGADIYSGCCTLKIEYAKPTRLNVFKNDQDTWDYTNPNLSGQGDPGSNPNKR QRQPPLLGDHPAEYGGPHGGYHSHYHDEGYGPPPPHYEGRRMGPPVGGHRRGPSRYGPQY GHPPPPPPPPDYGPHADSPVLMVYGLDQSKMNCDRVFNVFCLYGNVEKVKFMKSKPGAAM VEMADGYAVDRAITHLNNNFMFGQKMNVCVSKQPAIMPGQSYGLEDGSCSYKDFSESRNN RFSTPEQAAKNRIQHPSNVLHFFNAPLEVTEENFFEICDELGVKRPTSVKVFSGKSERSS SGLLEWDSKSDALETLGFLNHYQMKNPNGPYPYTLKLCFSTAQHAS >ENSMUSP00000140386.1 pep:known chromosome:GRCm38:9:14756587:14761659:-1 gene:ENSMUSG00000031927.9 transcript:ENSMUST00000191167.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700012B09Rik description:RIKEN cDNA 1700012B09 gene [Source:MGI Symbol;Acc:MGI:1916575] RNSSLPQAKYYSRHGGLRR >ENSMUSP00000139796.1 pep:known chromosome:GRCm38:9:14756593:14771013:-1 gene:ENSMUSG00000031927.9 transcript:ENSMUST00000188350.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700012B09Rik description:RIKEN cDNA 1700012B09 gene [Source:MGI Symbol;Acc:MGI:1916575] MRRQEALAGTASEAGREGEQPRPAGLGCRTRAEPGGPQESRQQWKTFLYCEPHKRIKEVL EEELSIKRDECHVKSPPTVALDGIWSIRRNLPVGGTISGQQSRNSSLPQAKYYSRHGGLR R >ENSMUSP00000140756.1 pep:known chromosome:GRCm38:9:14756595:14761615:-1 gene:ENSMUSG00000031927.9 transcript:ENSMUST00000186706.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700012B09Rik description:RIKEN cDNA 1700012B09 gene [Source:MGI Symbol;Acc:MGI:1916575] XGLRR >ENSMUSP00000140908.1 pep:known chromosome:GRCm38:9:14758191:14771013:-1 gene:ENSMUSG00000031927.9 transcript:ENSMUST00000191047.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700012B09Rik description:RIKEN cDNA 1700012B09 gene [Source:MGI Symbol;Acc:MGI:1916575] MRRQEALAGTASEAGREGEQPRPAGLGCRTRAEPGGPQESRQQWKTFLYCEPHKRIKEVL EEELSIKRDECHVKSPPTVALDGIWSIRRNLPVGGTISGQQSRNSSLPQAKYYSRIRWTH LSTEMELHSPKV >ENSMUSP00000049826.3 pep:known chromosome:GRCm38:9:14758191:14771030:-1 gene:ENSMUSG00000031927.9 transcript:ENSMUST00000060330.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700012B09Rik description:RIKEN cDNA 1700012B09 gene [Source:MGI Symbol;Acc:MGI:1916575] MRRQEALAGTASEAGREGEQPRPAGLGCRTRAEPGGPQESRQQWKTFLYCEPHKRIKEVL EEELSIKRDECHVKSPPTVALDGIWSIRRNLPVGGTISGQQSRNSSLPQAKYYSRHGGLR R >ENSMUSP00000140721.1 pep:known chromosome:GRCm38:1:156424525:156474331:-1 gene:ENSMUSG00000026600.12 transcript:ENSMUST00000189661.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Soat1 description:sterol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:104665] MSLRNRLSKSGENPEQDEAQKNFMDTYRNGHITMKQLIAKKRLLAAEAEELKPLFMKEVG CHFDDFVTNLIEKSASLDNGGCALTTFSILEEMKKNHRAKDLRAPPEQGKIFISRQSLLD ELFEVDHIRTIYHMFIALLILFVLSTIVVDYIDEGRLVLEFNLLAYAFGKFPTVIWTWWA MFLSTLSIPYFLFQRWAHGYSKSSHPLIYSLVHGLLFLVFQLGVLGFVPTYVVLAYTLPP ASRFILILEQIRLIMKAHSFVRENIPRVLNAAKEKSSKDPLPTVNQYLYFLFAPTLIYRD NYPRTPTVRWGYVAMQFLQVFGCLFYVYYIFERLCAPLFRNIKQEPFSARVLVLCVFNSI LPGVLILFLSFFAFLHCWLNAFAEMLRFGDRMFYKDWWNSTSYSNYYRTWNVVVHDWLYY YVYKDLLWFFSKRFKSAAMLAVFALSAVVHEYALAICLSYFYPVLFVLFMFFGMAFNFIV NDSRKRPIWNIMVWASLFLGYGLILCFYSQEWYARQHCPLKNPTFLDYVRPRTWTCRYVF >ENSMUSP00000058344.6 pep:known chromosome:GRCm38:1:156429793:156466804:-1 gene:ENSMUSG00000026600.12 transcript:ENSMUST00000051396.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Soat1 description:sterol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:104665] MSLRNRLSKSGENPEQDEAQKNFMDTYRNGHITMKQLIAKKRLLAAEAEELKPLFMKEVG CHFDDFVTNLIEKSASLDNGGCALTTFSILEEMKKNHRAKDLRAPPEQGKIFISRQSLLD ELFEVDHIRTIYHMFIALLILFVLSTIVVDYIDEGRLVLEFNLLAYAFGKFPTVIWTWWA MFLSTLSIPYFLFQRWAHGYSKSSHPLIYSLVHGLLFLVFQLGVLGFVPTYVVLAYTLPP ASRFILILEQIRLIMKAHSFVRENIPRVLNAAKEKSSKDPLPTVNQYLYFLFAPTLIYRD NYPRTPTVRWGYVAMQFLQVFGCLFYVYYIFERLCAPLFRNIKQEPFSARVLVLCVFNSI LPGVLILFLSFFAFLHCWLNAFAEMLRFGDRMFYKDWWNSTSYSNYYRTWNVVVHDWLYY YVYKDLLWFFSKRFKSAAMLAVFALSAVVHEYALAICLSYFYPVLFVLFMFFGMAFNFIV NDSRKRPIWNIMVWASLFLGYGLILCFYSQEWYARQHCPLKNPTFLDYVRPRTWTCRYVF >ENSMUSP00000139431.1 pep:known chromosome:GRCm38:1:156440576:156474296:-1 gene:ENSMUSG00000026600.12 transcript:ENSMUST00000187507.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Soat1 description:sterol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:104665] MKQLIAKKRLLAAEAEELKPLFMKEVGCHFDDFVTNLIEKSASLDNGGCALTTFSILEEM KKNHRAKDLRAPPEQGKIFISRQSLLDELFEVDHIRTIYHMFIALLILFVLSTIVVDYID EGRLVLEFNLLAYAFGKFPTVIWTWWAMFLSTLSIPYFLFQRWAHGYSKSSHPLIYSLVH GLLFLVFQLGVLGFVPTYVVLAYTLPPASRFILILEQIRL >ENSMUSP00000141074.1 pep:known chromosome:GRCm38:1:156446644:156474264:-1 gene:ENSMUSG00000026600.12 transcript:ENSMUST00000188027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Soat1 description:sterol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:104665] MKQLIAKKRLLAAEAEELKPLFMKEVGCHFDD >ENSMUSP00000105312.3 pep:known chromosome:GRCm38:15:78947724:79005565:1 gene:ENSMUSG00000033088.18 transcript:ENSMUST00000109690.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triobp description:TRIO and F-actin binding protein [Source:MGI Symbol;Acc:MGI:1349410] MSMEQDTRALLPTQGTAWATASAPVARLQGPQGDSHQACSQEPHSPSSAEAPYCDLPRCP PALQNPLRTTTCVGQSVHSLGLGLGQEPQRVWSPTTALPAEGPAAAPKNRHQDSEGIPYL EGLARSSCTDDNDNKDEDEDPNSNTSSSQDSNTPHDTSNSSSVDWDTTERPGVVPSRNRL TEMIPRRPQEGLRADSARKATRSPARGDTAGQRKENSGSGGQSAGQHWAKLRSESGYFSL ERQRSGQTQASSGTPPSGPRGTTQASSAQRDVFQAAPAQEAPQTSSLPRNTQRDTQRSTP RTSSPSRVSQRDTPRVMSTQRKNTPLSSPLRATPETLKISAPEDGTHVTPSPCVQDSSLN RTSQRDSSRTPCIQWDNPRASSPNRTTQRDNPRTPCTQRDNPRASSPNRTTQRDNPRTPC TQRDNPRASSPNRTTQRDNPRTPCAQRDNPRAASPNRSTQRDSPRTPCAQRDNPRASSPN RTAQRDNPRTPCAQRDNPRTSCTSQNTPRTPSTQADKTTASCSKWEHLRSACTQRDNPRT FSQGCTQKDNPGPPSPRRATQGSNSRNPSPHRTNKDIPWASFPLRPTQSDSPRTSSPSRT KQNQVPWASISLRPTQGDKPQTSAPTRLAHNDPPQQYSPSLATTSSSSHNPGHSSASRTS SPLHAAPRGAPQTSLESSQPPCTVCIGHRDAPRASSPPRYFQYDPFPFFPDPRSSESESP HHEPPYMPPAVCIGHRDAPRATSPPRHTQFDPFPFLPDTSDADNESPQHDPPQFPPPVCI GYRDAPRASSPPRQFPEPSFFQDLPRASTESLVPSTDSMHEPPHIPTPVCIGHRDAPSFS SPPRQAPEPSLFFQDPPGTSMESLAPSIDSLHGCPLLPPQVCIGHRDAPRASSPPRHPPS DIGLLAPSPPPGSSGSRGSAPPGETRHNLEREEYTMLADLPPPRRLAQRGPEPQAQGSNE GRTRSPGRAEVERLFGQERRKSEAPGAFQTRDEGRSQRPSQAQSQLRRQSSPAPSRQVTK PSAKQAEPTRQSRTGPPHPKSPDKRPEGDRQLQRTSPPARTPARPPERKAQIERHLESGH TGPRQSLGGWQSQERLSGPQSPNRHPEKSWGSQKEGPSLGGWPELEGPSLEGIWRGPPQE HREQWGHSEAWEEPPSNGIQGAPPRGQGRLQELSRPHQPTPSSENSWAGPAECSCALQPE ASTAVGWRAEGTSPHQRSAERPPDLDWRDLLGLLRAPEDGAWTRLPRLDWEGLLELLQAR LPQKDPARHWHDPAKASGPEQGSSGTEDTLKTEPQTQPEGRAKATLANGHRPGQQSESPA QLPSPACTSTQWPTTKVTSGPETSPLAALEQIDHLESHSPPDLEFQPEEPEASEPSRGED SRAVQKQADSADKRPAEGKAGSPLKGRLVTSWRMPGDRPALFNPYLLSLGVLRWQRPDLL NFKKGWMSILDEPGEWKKHWFVLTDSSLKYYRDSTAEEADELDGEIDLRSCTDVTEYAVQ RNYGFQIHTKDAVYTLSAMTSGIRRNWIEALRKTVRPTSAPDVTKLSDCNKENTLHGYGT QKSSLKIGEQRTGSEVIGRGGPRKADGPRPSLDYVELSPLAPSSPQRMRTLSRSTPERPT KQEDLERDLAQRSEERRKWFESTDGRTPETPSGDGSRRGLGAPLTDDQQSRLSEEIEKKW QELEKLPLRENKRVPLTALLNQAHNDRRGPTSDSHEALEKEVQSLRAQLEAWRLRGEAPQ NAPRLQEDSHIPPGYISQEACERSLAEMESSHQQVMEQLQRHHERELQRLQQEKEWLLAE ETAATASAIEAMKKAYQEELSRELSKTRSLQQGPESLRKQHQLDMEALKQELQVLSERYS QKCLEIGALTRQAEEREHTLRRCQQEGQELLRHNQELHSHLSEEIDRLRSFIASQGTGNS CGRSNERSSCELEVLLRVKENELQYLKKEVQCLRDELQVIQKDKRFTGKYQDVYVELNHI KTRSEREIEQLKEHLRLAMAALQEKEAVRNSLAE >ENSMUSP00000105311.2 pep:known chromosome:GRCm38:15:78947724:79005867:1 gene:ENSMUSG00000033088.18 transcript:ENSMUST00000109689.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triobp description:TRIO and F-actin binding protein [Source:MGI Symbol;Acc:MGI:1349410] MSMEQDTRALLPTQGTAWATASAPVARLQGPQGDSHQACSQEPHSPSSAEAPYCDLPRCP PALQNPLRTTTCVGQSVHSLGLGLGQEPQRVWSPTTALPAEGPAAAPKNRHQDSEGIPYL EGLARSSCTDDNDNKDEDEDPNSNTSSSQDSNTPHDTSNSSSVDWDTTERPGVVPSRNRL TEMIPRRPQEGLRADSARKATRSPARGDTAGQRKENSGSGGQSAGQHWAKLRSESGYFSL ERQRSGQTQASSGTPPSGPRGTTQASSAQRDVFQAAPAQEAPQTSSLPRNTQRDTQRSTP RTSSPSRVSQRDTPRVMSTQRKNTPLSSPLRATPETLKISAPEDGTHVTPSPCVQDSSLN RTSQRDSSRTPCIQWDNPRASSPNRTTQRDNPRTPCTQRDNPRASSPNRTTQRDNPRTPC TQRDNPRASSPNRTTQRDNPRTPCAQRDNPRAASPNRSTQRDSPRTPCAQRDNPRASSPN RTAQRDNPRTPCAQRDNPRTSCTSQNTPRTPSTQADKTTASCSKWEHLRSACTQRDNPRT FSQGCTQKDNPGPPSPRRATQGSNSRNPSPHRTNKDIPWASFPLRPTQSDSPRTSSPSRT KQNQVPWASISLRPTQGDKPQTSAPTRLAHNDPPQQYSPSLATTSSSSHNPGHSSASRTS SPLHAAPRGAPQTSLESSQPPCTVCIGHRDAPRASSPPRYFQYDPFPFFPDPRSSESESP HHEPPYMPPAVCIGHRDAPRATSPPRHTQFDPFPFLPDTSDADNESPQHDPPQFPPPVCI GYRDAPRASSPPRQFPEPSFFQDLPRASTESLVPSTDSMHEPPHIPTPVCIGHRDAPSFS SPPRQAPEPSLFFQDPPGTSMESLAPSIDSLHGCPLLPPQVCIGHRDAPRASSPPRHPPS DIGLLAPSPPPGSSGSRGSAPPGETRHNLEREEYTMLADLPPPRRLAQRGPEPQAQGSNE GRTRSPGRAEVERLFGQERRKSEAPGAFQTRDEGRSQRPSQAQSQLRRQSSPAPSRQVTK PSAKQAEPTRQSRTGPPHPKSPDKRPEGDRQLQRTSPPARTPARPPERKAQIERHLESGH TGPRQSLGGWQSQERLSGPQSPNRHPEKSWGSQKEGPSLGGWPELEGPSLEGIWRGPPQE HREQWGHSEAWEEPPSNGIQGAPPRGQGRLQELSRPHQPTPSSENSWAGPAECSCALQPE ASTAVGWRAEGTSPHQRSAERPPDLDWRDLLGLLRAPEDGAWTRLPRLDWEGLLELLQAR LPQKDPARHWHDPAKASGPEQGSSGTEDTLKTEPQTQPEGRAKATLANGHRPGQQSESPA QLPSPACTSTQWPTTKVTSGPETSPLAALEQIDHLESHSPPDLEFQPEEPEASEPSRGED SRAVQKQADSPDLLNFKKGWMSILDEPGEWKKHWFVLTDSSLKYYRDSTAEEADELDGEI DLRSCTDVTEYAVQRNYGFQIHTKDAVYTLSAMTSGIRRNWIEALRKTVRPTSAPDVTKL SDCNKENTLHGYGTQKSSLKIGEQRTGSEVIGRGGPRKADGPRPSLDYVELSPLAPSSPQ RMRTLSRSTPERPTKQEDLERDLAQRSEERRKWFESTDGRTPETPSGDGSRRGLGAPLTD DQQSRLSEEIEKKWQELEKLPLRENKRVPLTALLNQAHNDRRGPTSDSHEALEKEVQSLR AQLEAWRLRGEAPQNAPRLQEDSHIPPGYISQEACERSLAEMESSHQQVMEQLQRHHERE LQRLQQEKEWLLAEETAATASAIEAMKKAYQEELSRELSKTRSLQQGPESLRKQHQLDME ALKQELQVLSERYSQKCLEIGALTRQAEEREHTLRRCQQEGQELLRHNQELHSHLSEEID RLRSFIASQGTGNSCGRSNERSSCELEVLLRVKENELQYLKKEVQCLRDELQVIQKDKRF TGKYQDVYVELNHIKTRSEREIEQLKEHLRLAMAALQEKEAVRNSLAE >ENSMUSP00000105309.1 pep:known chromosome:GRCm38:15:78983041:79005864:1 gene:ENSMUSG00000033088.18 transcript:ENSMUST00000109687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triobp description:TRIO and F-actin binding protein [Source:MGI Symbol;Acc:MGI:1349410] MTPDLLNFKKGWMSILDEPGEWKKHWFVLTDSSLKYYRDSTAEEADELDGEIDLRSCTDV TEYAVQRNYGFQIHTKDAVYTLSAMTSGIRRNWIEALRKTVRPTSAPDVTKLSDCNKENT LHGYGTQKSSLKIGEQRTGSEVIGRGGPRKADGPRPSLDYVELSPLAPSSPQRMRTLSRS TPERPTKQEDLERDLAQRSEERRKWFESTDGRTPETPSGDGSRRGLGAPLTDDQQSRLSE EIEKKWQELEKLPLRENKRVPLTALLNQAHNDRRGPTSDSHEALEKEVQSLRAQLEAWRL RGEAPQNAPRLQEDSHIPPGYISQEACERSLAEMESSHQQVMEQLQRHHERELQRLQQEK EWLLAEETAATASAIEAMKKAYQEELSRELSKTRSLQQGPESLRKQHQLDMEALKQELQV LSERYSQKCLEIGALTRQAEEREHTLRRCQQEGQELLRHNQELHSHLSEEIDRLRSFIAS QGTGNSCGRSNERSSCELEVLLRVKENELQYLKKEVQCLRDELQVIQKDKRFTGKYQDVY VELNHIKTRSEREIEQLKEHLRLAMAALQEKEAVRNSLAE >ENSMUSP00000122988.2 pep:known chromosome:GRCm38:15:78983077:78994859:1 gene:ENSMUSG00000033088.18 transcript:ENSMUST00000130663.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triobp description:TRIO and F-actin binding protein [Source:MGI Symbol;Acc:MGI:1349410] MTPDLLNFKKGWMSILDEPGEWKKHWFVLTDSSLKYYRDSTAEEADELDGEIDLRSCTDV TEYAVQRNYGFQIHTKDAVYTLSAMTSGIRRNWIEALRKTVRPTSAPDVTKLSDCNKENT LHGYGTQKSSLKIGEQRTGSEVIGRGGPRKADGPRPSLDYVELSPLAPSSPQRMRTLSRS TPERPTKQEDLERDLAQRSEERRKWFESTDGRTPETPSGDGSRRGLGAPLTDDQQSRLSE EIEKKWQELEKLPLRENKRVPLTALLNQAHNDRRGPTSDSHEALEKEVQSLRAQLEAWRL RGEAPQNAPRLQEDSHIPPGYISQLVGMITVPILQTRPLSSERVCDPPEVPQPAGVRGGI >ENSMUSP00000116765.1 pep:known chromosome:GRCm38:15:78983705:78991438:1 gene:ENSMUSG00000033088.18 transcript:ENSMUST00000144151.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triobp description:TRIO and F-actin binding protein [Source:MGI Symbol;Acc:MGI:1349410] MSILDEPGEWKKHWFVLTDSSLKYYRDSTAEEADELDGEIDLRSCTDVTEYAVQRNYGFQ IHTKDAVYTLSAMTSGIRRNWIEALRKTVRPTSAPDVT >ENSMUSP00000105310.1 pep:known chromosome:GRCm38:15:78983056:79005862:1 gene:ENSMUSG00000033088.18 transcript:ENSMUST00000109688.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triobp description:TRIO and F-actin binding protein [Source:MGI Symbol;Acc:MGI:1349410] MGGWKGPGQLRGREGLELRRPAAERGGGLGAPRSPARVSRAASPGAAMTPDLLNFKKGWM SILDEPGEWKKHWFVLTDSSLKYYRDSTAEEADELDGEIDLRSCTDVTEYAVQRNYGFQI HTKDAVYTLSAMTSGIRRNWIEALRKTVRPTSAPDVTKLSDCNKENTLHGYGTQKSSLKI GEQRTGSEVIGRGGPRKADGPRPSLDYVELSPLAPSSPQRMRTLSRSTPERPTKQEDLER DLAQRSEERRKWFESTDGRTPETPSGDGSRRGLGAPLTDDQQSRLSEEIEKKWQELEKLP LRENKRVPLTALLNQAHNDRRGPTSDSHEALEKEVQSLRAQLEAWRLRGEAPQNAPRLQE DSHIPPGYISQEACERSLAEMESSHQQVMEQLQRHHERELQRLQQEKEWLLAEETAATAS AIEAMKKAYQEELSRELSKTRSLQQGPESLRKQHQLDMEALKQELQVLSERYSQKCLEIG ALTRQAEEREHTLRRCQQEGQELLRHNQELHSHLSEEIDRLRSFIASQGTGNSCGRSNER SSCELEVLLRVKENELQYLKKEVQCLRDELQVIQKDKRFTGKYQDVYVELNHIKTRSERE IEQLKEHLRLAMAALQEKEAVRNSLAE >ENSMUSP00000040465.6 pep:known chromosome:GRCm38:11:9191942:9684259:1 gene:ENSMUSG00000004668.14 transcript:ENSMUST00000042740.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca13 description:ATP-binding cassette, sub-family A (ABC1), member 13 [Source:MGI Symbol;Acc:MGI:2388707] MGHAGRQFQALLWKNWICRLRHPVLSLAEFFWPCILFMILTVLRFQEPPRHRENCYLQAR DLPSRGVLPFVQGLLCNTGSSCRNISFESSMDHHFRLSRFQTASDDRKVSSLAFLNEIQD LAEDIFETMDKAKNLQKLWLKRSETPGSSYGSGFLTMDLNKTEDVISKLESLHQQPHIWD FLHSLPRLYMSSAHLEDSMGAVTHFLQAGLNSLASLGDLDWLPLHQTIPQVSRHVLNVTI STLRFLQQHSAVVNETIYHLSLKNIVWDPQKVQSDLKSQFGFNDFQMQHILNYSAKLEEI PTHSFLERMVCSILSNTSEDEAESKGYRADCHPKWSAVKNYIIHAVSWLKLYGQVFDQWQ QGSLLQSLLAGASHSLAALREQFKQQSESWKVVEALHTALLILNDSLAADVPRDYHLFPQ IFQHLSKLQHALSDLSPWPALKRLLLLDTVLRNMIAQDLRFVQEFLSYLERSAKDFIPAG SEGWRLEKDVLFQGLNQLLTKNASALCLNGHLSQEAALPTGNSSIWRSVWGVLCHTLFFN ETSVLNELLRAVKDAGHSLQAVIAGHTNGSVSEHGGHFGWQDLGTQLSEISLTCSRVFQL PRADTSPENSFSPGGCESQLVSIVVSHILEDVQMSTQKKSYWKILSKIVRKTCELARYVN MNGSLQNSGLISFSEDSPCYTQDMNWKVIIDNYFVFFNNFKKSPITSITTAFNFTKHLLV VDQKLHTLENGQMNILLSFVEFVEKLLSNPSGSFPAPEFDNLSSLTELVFNATVSWLTHL KRLKADSHDAAPQELLEFDHLVTEKIQRLKNHWMKKGSKNILNFLELILLEMNSELQEFR LNGIPQEERTNIEGFSTLVNFSVAEYEKILCKRFTFSQLFHSYWPKSPAINADFIHLSET IIQSLCGLSFLTQEQVSIALNTVSALQNTSELFSALSEPQKQELDNFLTHVYINVFKDKN IALFLQTYSSFYRYIHKFFNIQNREPLIAYFTQISRHILDLIKQFNIQNIREALSFLSET TEALGMISEVSHCQQLLSIFNFLELQAWSLMARGGPAEAVIHASLTGLKQLFAADEGFRV SLLQYVTQCFNGSAATLVASECFIQENATISSVNYSGNEGSSLPFPWTQFFSNLSVNGSA ISEFTAIHCTLSWIQTWAEIWRSIAQIFKLELSIFTPLHVGITQLLDDLGNYGNISKDCQ GIVPTYLTARLILNLFRNITQENNFHDWDGLQDLRDLWVAFGNELTTVQSLNLDQVEKTF ITMETSLHQLKTFPMKINASREFLYSLFDVFIELSKASDYVDRNVELINNFLSDDLPDHQ AKFASIIKELKETILFLRHVSQGRTLSACADIFQRLTELIFEDSLLLVNTSNKPEHILAM LSSMFSSKNTSSSLEGCITWIDIINHLCIMYNSSSLPSHSYNILGSFKDMGDKMSSALNI LTWMLNKKRPICPWNESNINCVNIYLKDITDFLNIIVTTGLEKENVPNVEILLSLFNDST KQVDMIITNLTEDLNVASQSNWKHFKDLLLRPTEMSDDIPDQFQNIWQHIIALGKEMQKL LKGIFHSVLGNNFSSNTETMFSVFSTSPKEGDISHLGKSIYNLANYFALNLTHNLQNSSE VFPHEILKAVDLSIQLTRDVFNFLMPAVHFNIPQNSDHAQTLKKVTSLMQSLKKADIELL VGQLGENSEILMSFFKNLSRSGIDNLGVNMLVGLVEKFVDSSHSWSVSHLLRLSRLLPKD VVDTVLDVYYALPHIVGLLRRIVDKNITESLRDVYNFTLLHGITMFKITKEDFADVVKTL LDAVELVSDEPAIVPEALMCLTRVWCANYTTFRLEKNPKVEDCNIQRHMPSSFFHMVTSL LDLLHLPPPSDSQCIGEKYAVEITRRLACAVHDLADWNSILSELLEIFHVKNLLVKTLQG FWHKVLLFMSSSGIQGNGSIPELCPTSTIKQGALQIIEKLKYVNFTKFSLGETILDRLGN LDRILSLTKGTEKSVQNNIYLNLERLFKLISATWTLRNSTHYLLSPITNFLNGNYTGWSL FKNNRTSSNIEELWLDFKQIPKDLTSNWSLGQLLSDINKNIQGAGLQNTTVQLPQLLELL DSSPLKTSEIIEGFLFLIKPWLREYENGDFFRFIQSLLNAVASGNSTDVSRLARDFTMYL GYLRNQSREGNFDVGFFSHMLDQEHLTDLPVVQRLLESIVMNSVSSFAALSQETPPSFSG TNLQIADLMNLILKHAQSKNHAEAEGSTEDFLKQLPETFFSSVINGLHSDVTAEVSFVPR DKILEILKLDPFLTWMNKNPLMNIFSGLKTLYYLIKSSFSLDNRELSGVLKDLSHTHPWE TTVKTDAEGHLDFFSVVTQFLSQVNSSEDLSKFNQNLRSVLYLVQESSTEMATIIDTVLH STSGACYSPYPMLQHSTVAKLSDLFSDVNSSFPLRSREILESTMRLFGTISQVGEESHVL ESALEIFRTLTMLVNSTVELGHLASTADSMVRLLNLAKIVSRKMATMLGTLSISSTEDSG KFLDTLYSFMLQSVPHHVKQITTLKKGDPFIVEKTKDLLIPFLDLAFGMIGVTPNVSQDS DVFSMPFSILSYINQSRDFSETLEGMAENLISIKISLRDWEHFVAMIKNRTQNFSIDAAD LWEEILGCLVPISNITTQMDFLKLYELSSTSHPQVPKQERTHDVIRYLDGMLTDNGTERE TFLKMVIDLTLQALWNGLKEDNWDIFNLLLAFAQHPNDLLKAIESVVAVSSGIHSDYPDD FNQDSFSDLSLIQNTTRYQLAKAVLIGLGKAGFSREGLPLNNTQWTHFTRTLFHPDYNSF PNSTPHQNVTSAKDGRTKDEMMVILHGSEPMPYLQRFLKALFVSIEHWQEVPQAEQSVFE MCQVFQQLQKPMKTVKMLQRVEMMALRVLIIFAENPSLTKDILCAALSCKQGAMRHLILA ALQGVTLVHRHYQEIGKIWFSPDQLDCERLSRNLSSALEGFKSILDNASSHRCTCQPLVG VVQQHIRRLTKSLEEVWMSKIPAMTFLSNFTVTEDVKIKDLMRNITKFTEDLRSFFHISE ETIHSILEANISHSKVLSSVLTIALSGKCDEEILHLLLTFPESEKSWFVTRELCSLPGSQ VFSLLVMMGQNLNLRNLIYKTLIPSEANGLLKSLLDVVASLSSILARAQHALEYLPEFLH TFKITALLDMPDFQQVSSKGQTRSSAFASFQSVMKLLCKDQESFLSNSNMFINLPRVNEL LEDNKEKFNIPHDSTPFCLKLYQEILQSPNGALVWSFLKPVLHGKILYTPNSPEINEVIQ KANYTFYFVDKIKVLSETFLKISKLFQGSGNGQMFNQLQDALRNKFIRNFVESQLHIDMD KLTEDLQTYGRMLDKMFNHVEAGHFRFLGGMLANLSSCVVLDRFQAVETVDTLETKAHEL MQQNSFLASIIFNSSLRHRHIRSAPHKLPPHVTYTIRTNVLYSMRTDMIKNPSWKFHPQN LPAGGFKYNYIFVPLQDMIERAIIVVQTGQESLEPTTQAQAAPYPCHTSDLFLNNVGFFF PLIMMLTWMVAVASMVRKLVYEREIQIEEYMRMMGLHPTIHFLSWFLENMATLALSSAAL AVILKMSGIFMHSDAFIIFLYLLDFGVSAVMMSYFLSVFFNQANTAALCTSLGYMISFLP YVVLLVLHNQLSFAIQTLLCLLSTTAFGQGVFFITFLEGQEEGIQWGNMYRAPEPGGMTF GWVCWMILFDAILYFLGGWYFSNLVPGTFGLGKPWYFPFTASYWKSICGLMERRRCSLSS GLFFFNEDFGNKGLSQQNGPGEMEGGNPGVALISVTKEYEDHKVAVQELTLTFHRDQITA LLGTNGAGKTTIISMLMGLFPPTSGTITINGKNLQTDLSKVREELGVCPQQDVLLDNLTV REHLMLFASIKAPWWTTKELQQQVNKTLDEVELTQHQHKPAGVLSGGMKRKLSIGIAFMG MSKTVVLDEPSSGVDPCSRRSLWDILLKYREGRTIIFTTHHLDEAEMLSDHVAVLQQGRL RCYAPPADLKETYGQGLTLTLSKQPSILETQEPKDVARVTSLIQIYIPQAFLKDSCGGEL TYTIPKDADRTCFKGLCQALDQNLQHLHLTGYGISDTTLEEVFLMLLQDTNKKSYITPDN KVEPQNERPVGPLSPHNVSPLSTPPEGALPEPIGGCQLLLGQAVALLRKRLLHTLRAWKS TTSDLLLPVLFVALAMGLFMVQPLAITYPPLKLTPGHYETAETYFFSSGNHGPDLTHVLL RKFRDQDPVCADAFRMNSSSWHRDPYSGPESQDSCGCLKCPNKSAGAPSLTNCLGHTLLN LSGYDVEEYLLVPSAKPRLGGWSFGGQIPNDAEDVKTNTSKPRTLAKVWYNQKGFHSLPS YLNHLNNLILWHHLPANAVDWRQYGITLYSHPYGGALLNEDRILESIRQCGVALCIVLGF SILSASIGSSVVRDRVTGAKRLQHISGLGHRTYWLINFLYDMLFYLVSVCLCVAVIGAFQ LTAFTFRENLAATALLLALFGYAMIPWMYLMSRIFSSSDVAFISYISLNFIFGLCTMLMT TMPRLLAIISKAQNLQKIYNVLKWAFTIFPQFCLGQGLIELCYNQIKYDLTHNFGIDSYV SPFEMNFLGWIFVELTLQGTFLLLLRLMLHGDLLRWPRDHSVLQDIVKPAKDIDVETEQM RVLEGRTGGDMMVLCNLSKSYRSVFGGKTTAVHGISLGIPRGECFGLLGVNGAGKSTTFK ILNGETPPSSGYTVIRTPQGDMVDLASAGKAGILIGYCPQQDALDELLTGWEHLQYYCRL RGIPKQYIPEVAADLVRRLHLESHVDKPVATYSGGTRRKLSTALALVGKPDILLLDEPSS GMDPCSKRYLWQTITQEVRDGCAAVLTSHSMEECEALCTRLAIMVDGSFRCLGPPQHIKN RFGDGYTVKVWLHKEGSQPSAVSDCLKLHFPGIQFKGQRLNLLEYHVQKSWECLADLFKV LENNKSLLNIEHYSISQTTLEQVFVNFATEQLQTPCFPVDSPSTDAQHPCYTRI >ENSMUSP00000116819.1 pep:known chromosome:GRCm38:11:9193451:9256178:1 gene:ENSMUSG00000004668.14 transcript:ENSMUST00000151522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca13 description:ATP-binding cassette, sub-family A (ABC1), member 13 [Source:MGI Symbol;Acc:MGI:2388707] MVLSLAEFFWPCILFMILTVLRFQEPPRHRENCYLQARDLPSRGVLPFVQGLLCNTGSSC RNISFESSMDHHFRLSRFQTASDDRKVSSLAFLNEIQDLAEDIFETMDKAKNLQKLWLKR SETPGSSYGSGFLTMDL >ENSMUSP00000105542.1 pep:known chromosome:GRCm38:2:150407141:150451486:-1 gene:ENSMUSG00000068130.11 transcript:ENSMUST00000109916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp442 description:zinc finger protein 442 [Source:MGI Symbol;Acc:MGI:3651999] MGVVTYDDVHVNFTQEEWALLDSSQKNLYRDVMLETYRNLAAIGYSWEDHNPEEHFQSSR RHGRHEKSHIEEKPSEFTQCGKVFAYQSHPQGHQQIHTGEKPYEVIQYLEAFAYHSSLQI HKRTHGGDKHYKINECGKAFACHNSLQRYKRVHTGEKLYECNQCGTDFAQHSHLQQHERM HTVKCKQSGKDFACYTNLQIYQRTHTGEKPYECIFCDKTFIHHSHLERHERIHTGEKPYE CNQCGKAFSQNSSLQLHKRTHTGEKPYECKQCGKSFACQSGLQQHKKTHHGEKSYECKRC GKAFACQSDLQQHKRTHTGEKSYECNQCDKAFALRCHLRRHQRIHTVEKPYKCNQCGKFF AQSNHFVRHKRTHTGEKPYECNQCDKAFACQTSLLYHKRTHSGEKLYVCNECGKAFVLQS YLQIHKRTHTGEKPFGCDQCDKAFAQNSHLLTHKRTHTGEKPYECEQCGKAFASNSNLQV HKKTHTGEKPYECKQCGKAFGFQSGLQKHKRTHTGEKPYECNQCDKAFACQASLLNHKRS HTGEKPYECSECGKAFVLHSYLQIHKRIHTGEKPFECDQCDKAFARNTLLLKHKRIHTGQ KPYGCKQCGKAFANHSNLQVHKRTHTGEKPYECKHCGKPFKCQSGLQYHKRTQHIQERNP MTVINVI >ENSMUSP00000140098.1 pep:known chromosome:GRCm38:2:150407977:150411337:-1 gene:ENSMUSG00000068130.11 transcript:ENSMUST00000185796.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp442 description:zinc finger protein 442 [Source:MGI Symbol;Acc:MGI:3651999] GVVTYDDVHVNFTQEEWALLDSSQKNLYRDVMLETYRNLAAIGYSWEDHNPEEHFQSSRR HGRHEKSHIEEKPSEFTQCGKVFAYQSHPQGHQQIHTGEKPYEVIQYLEAFAYHSSLQIH KRTHGGDKHYKINECGKAFACHNSLQRYKRVHTGEKLYECNQCGTDFAQHSHLQQHERMH TVKCKQSGKDFACYTNLQIYQRTHTGEKPYECIFCDKTFIHHSHLERHERIHTGEKPYEC NQCGKAFSQNSSLQLHKRTHTGEKPYECKQCGKSFACQSGLQQHKKTHHGEKSYECKRCG KAFACQSDLQQHKRTHTGEKSYECNQCDKAFALRCHLRRHQRIHTVEKPYKCNQCGKFFA QSNHFVRHKRTHTGEKPFGCDQCDKAFAQNSHLLTHKRTHTGEKPYECEQCGKAFASNSN LQVHKKTHTGEKPYECKQCGKAFGFQSGLQKHKRTHTGEKPYECNQCDKAFACQASLLNH KRSHTGEKPYECSECGKAFVLHSYLQIHKRIHTGEKPFECDQCDKAFARNTLLLKHKRIH TGQKPYGCKQCGKAFANHSNLQVHKRTHTGEKPYECKHCGKPFKCQSGLQYHKRTQHIQE RNPMTVINVI >ENSMUSP00000097792.3 pep:known chromosome:GRCm38:2:30284231:30286334:-1 gene:ENSMUSG00000075419.4 transcript:ENSMUST00000100219.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dolk description:dolichol kinase [Source:MGI Symbol;Acc:MGI:2677836] MTRQCPPQESGAALSGSVLAEAAVVFAVVLSIHAAVWDRYSWCAVALAVQAFYVQYKWDR LLQQGNAVFQFRMSANSGLLPASMVMPLLGLVMKERCQTAGNPYFERFGIVVAATGMAVA LFSSVLALGITRPVPTNTCAISGLAGGVIIYIMRHSLSVGEVIEVLEVLLIFVYLNMILL YLLPRCFTPGEALLVLGGISFVLNQLIKRSLTESQGDPVDFFLLVVVVGMVLMGVFFSTL FVFMDSGTWASSIFFHLMTCVLGLGVVLPWLHWLIRRNPLLWLLQFLFYTETRIYLLAYW SLLASVACLVVLYQNAKRSSSESKKHRAPTITRKYFHFIVVATYIPGIIFDRPLLYVAAT VCLAVFIFLEYVRYFRIKPLGHTLRSLLSLFLDERDSGPLILTHIYLLLGMSLPIWLIPR PCTQKDSLEGARALVPYAGVLAVGVGDTVASIFGSTMGEIRWPGTKKTFEGTMTSIFAQI ISVALILIFDSGVDLNYSYAWILGSISTVSLLEAYTTQIDNLLLPLYLLILLMA >ENSMUSP00000115741.1 pep:known chromosome:GRCm38:19:6341155:6342656:1 gene:ENSMUSG00000024948.14 transcript:ENSMUST00000152349.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPAGNHHPS >ENSMUSP00000025897.6 pep:known chromosome:GRCm38:19:6341160:6355615:1 gene:ENSMUSG00000024948.14 transcript:ENSMUST00000025897.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPGDDISSLQQ EITILRECRHPNVVAYIGSYLRNDRLWICMEFCGGGSLQEIYHATGPLEERQIAYVCREA LKGLHHLHSQGKIHRDIKGANLLLTLQGDVKLADFGVSGELTASVAKRRSFIGTPYWMAP EVAAVERKGGYNELCDVWALGITAIELGELQPPLFHLHPMRALMLMSKSSFQPPKLRDKT RWTQNFHHFLKLALTKNPKKRPTAERLLQHPFTTQHLPPALLTQLLDKASDPHLGTLSPE DSELETHDMFPDTIHSRSHHGPAERTPSEIQFHQVKFGAPRRKETDPLNEPWEEEWTLLG KEELSGSLLQSVQEALEERSLTIRPALELQELDSPDDAIGTIKRAPFLGLPHTESTSGDN AQSCSPGTLSAPPAGPGSPALLPTAWATLKQQEDRERSSCHGLPPTPKVHMGACFSKVFN GCPLQIHAAVTWVHPVTRDQFLVVGAEEGIYTLNLHELHEDTMEKLISQRCSWLYCVNNV LLSLSGKSTHIWAHDLPGLFEQRRLQHQAPLSIPTNRITQRIIPRRFALSTKIPDTKGCL QCRVVRNPYTGSTFLLAALPASLLLLQWYEPLQKFLLLKNFSSPLPSPAGMLEPLVLDGK ELPQVCVGAEGPEGPGCRVLFHVLPLEAGLTPDILIPPEGIPGSAQQVIQVDRDTVLVSF ERCVRIVNLQGEPTAALAPELTFDFTIETVVCLQDSVLAFWSHGMQGRSLDTNEVTQEIT DETRIFRVLGAHRDIILESIPTDNPGAHSNLYILTGHQSSY >ENSMUSP00000121375.1 pep:known chromosome:GRCm38:19:6341208:6355301:1 gene:ENSMUSG00000024948.14 transcript:ENSMUST00000124556.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPGNHHPS >ENSMUSP00000120123.1 pep:known chromosome:GRCm38:19:6341224:6345742:1 gene:ENSMUSG00000024948.14 transcript:ENSMUST00000130382.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPGDDISSLQQ EITILRECRHPNVVAYIGSYLRNDRLWICMEFCGGGSLQEIYHATGPLEERQIAYVCREA LKGLHHLHSQGKIHRDIKGANLLLTLQGDVKLADFGVSGELTASVAKRRSFIGTPYWALM LMSKSSFQPPKLRDKTRWTQNFHHFLKLALTKNPKKRPTAERLLQHPFTTQHLPPALLTQ LLDKASDPHLGTLSPEDSELETHDMFPDTIHSRSHHGPAERTPSEIQFHQVKFGAPRRKE TDPLNEPWEEEWTLLGKEELSGSLLQSVQEALEERSLTIRPALELQELDSP >ENSMUSP00000114243.1 pep:known chromosome:GRCm38:19:6341250:6353527:1 gene:ENSMUSG00000024948.14 transcript:ENSMUST00000142496.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPGNHHPS >ENSMUSP00000121856.1 pep:known chromosome:GRCm38:19:6346692:6353838:1 gene:ENSMUSG00000024948.14 transcript:ENSMUST00000128170.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] XKSTHIWAHDLPGLFEQRRLQHQAPLSIPTNRITQRIIPRRFALSTKIPDTKGCLQCRVV RNPYTGSTFLLAALPASLLLLQWYEPLQKFLLLKNFSSPLPSPAGMLEPLVLDGKELPQV CVGAEGPEGPGCRVLFHVLHSR >ENSMUSP00000032317.3 pep:known chromosome:GRCm38:6:133036163:133037101:-1 gene:ENSMUSG00000030196.3 transcript:ENSMUST00000032317.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r103 description:taste receptor, type 2, member 103 [Source:MGI Symbol;Acc:MGI:1890257] MVLTIRAILWVTLITIISLEFIIGILGNVFIALVNIIDWVKRGKISAVDKTYMALAISRT AFLLSLITGFLVSLLDPALLGMRTMVRLLTISWMVTNHFSVWFATCLSIFYFLKIANFSN SIFLVLKWEAKKVVSVTLVVSVIILIMNIIVINKFTDRLQVNTLQNCSTSNTLKDYGLFL FISTGFTLTPFAVSLTMFLLLIFSLWRHLKNMCHSATGSRDVSTVAHIKGLQTVVTFLLL YTAFVMSLLSESLNINIQHTNLLSHFLRSIGVAFPTGHSCVLILGNSKLRQASLSVILWL RYKYKHIENWGP >ENSMUSP00000058832.6 pep:known chromosome:GRCm38:16:44347121:44388497:1 gene:ENSMUSG00000043065.12 transcript:ENSMUST00000050897.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spice1 description:spindle and centriole associated protein 1 [Source:MGI Symbol;Acc:MGI:1196252] MSLIRVNRFGPRGGGRKTLKVKKKAAVRQEWDNTVNDLTVHRATPEDLVRRHEMHKSKNR ALVHWELQEKALKRKWKKQKPETSSLEKRKLSIMKEILSDQYLTQDVLEKSDHLMAAAKG LFADVPRKRTGFPNVTRAPDSSQSHTGINQDPVTQSILNESIIEPQALNEVDDAGEQSTA HSQSEDSENELPNSLSQHSNRSTERFLHQLKEENSELINQLWTDIQQKIATQSQRTPPGS PSSELSAEDQKAALNATDAVKRIQAGPQPEEAAEPVDFSSSYLGQVLNTRKQKPLLAKVK RKQDMHAASKQKTNMLSSSTASADRPSSTGSSLDVLKHVIHEVEHEMEEYERCTGREVTG LQGGQGLTGFTLSLVSSLCRLVRYLKESEIQLRKEVETRQQLEQMLGDHRELIDALTAEI LSLREENSTMQARLQQYMVTTDEQLISLTHAIKNCPVINNSRQESQAPERAAMGRRLVDN VEGPVISSNGSMPLMFRGEEVVEFPQEELPVKLSQGPTPTENLNLANNFPTHIFEPAVML TPPRQKSNSEFSPLQDVLRRTVQTRPAPRIPPTVEVIEKEQNWEKKALPIDPDIQNSSEE NRLFTQRWRVSHMGEDLENKGQPAFVSLSQPPCSSLPSTQQPRNPVLSEEPTVLGDGQQL RTSEALVQRKDIMARIAELTLQNSAIKAHLNNITSSGGEQGDGLREPRKQGSASEVSTNF PAVQSLTPSSMEERIAELNRQSMEARSKLLQLIEQQKLVGLNLSSSPVSPVESPLRAWAE EGKRTIEVSVPGMEASESSKCNTVSPVSGNSSRRSSGAISNSCSPLNATSGSGKFTPVNP RTKTEKKNEEGWFALSAHIP >ENSMUSP00000104540.2 pep:known chromosome:GRCm38:2:178430531:178487364:1 gene:ENSMUSG00000039155.15 transcript:ENSMUST00000108912.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh26 description:cadherin-like 26 [Source:MGI Symbol;Acc:MGI:2685856] MDTRGCAWLLLLLSLPQGQSHQPLHRSKRRWVLTTLELQEEDPGPFPKLVGELFNNMSNN VSLIYLIRGPGVDEFPEIGLFSIEDHQSGKIYVHRPVDREVTPSFMVHFDAVDRSTGKVV DESLIFNIRIRDVNDHAPQFPEKEFNISVKESQAAGQPIFQLLTVDLDQENTPNSQVLYF LVSQTPLLRESGFRIDLISGEVRLSGCLHYETAPLFTLIVRASDCGEPSLTSTATIHVSV EDSNNHMPTFMEDRYEIRISEGQVEQGVLYLPVQDGDSPFTPAWRTQFNIWDGNEEGHFD IVTDPETNQGLLSIIKPLDYESQVAHSLVVVVENQEQLFVCTEGQLQPLRKAMASTMVSV QVLDTNDPPAFHPQSFIVSEEDGAWPAIQLGYFNATDPDRADSQIRYKLVHDPENWVTVD EKSGVVTTKKQIDRESPHVNDSFYTIIVHAVDNGLPPLTGTGTLMLFLSDVNDNAPTLRP HSRHLEVCESAGSQPLLIEAEDADLDPYADPFTFDLDNAQGDVEETWMLRTKQGEGHSAE LTMLRSVPPGDYLVPLFIADRQGLAQKQTVHVRICSCRSGSECEEPSDTWLLWWALSPVG AALMVLSAALLCLLRCSCTFGPKRLRGFIPSDSGHQTLIVYNEESKVPSAQVYLDRMVPR QQPLQLLEGRVVEAWSQKLQSIDVLEGDTGYLPHVYREEGECEGAETLSSLTFLEQDLSP KLLGCSGSKSTPSEAMCFTSRVPS >ENSMUSP00000048829.8 pep:known chromosome:GRCm38:2:178430607:178487366:1 gene:ENSMUSG00000039155.15 transcript:ENSMUST00000042092.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh26 description:cadherin-like 26 [Source:MGI Symbol;Acc:MGI:2685856] MDTRGCAWLLLLLSLPQGQSHQPLHRSKRRWVLTTLELQEEDPGPFPKLVGELFNNMSNN VSLIYLIRGPGVDEFPEIGLFSIEDHQSGKIYVHRPVDREVTPSFMVHFDAVDRSTGKVV DESLIFNIRIRDVNDHAPQFPEKEFNISVKESQAAGQPIFQLLTVDLDQENTPNSQVLYF LVSQTPLLRESGFRIDLISGEVRLSGCLHYETAPLFTLIVRASDCGEPSLTSTATIHVSV EDSNNHMPTFMEDRYEIRISEGQVEQGVLYLPVQDGDSPFTPAWRTQFNIWDGNEEGHFD IVTDPETNQGLLSIIKPLDYESQVAHSLVVVVENQEQLFVCTEGQLQPLRKAMASTMVSV QVLDTNDPPAFHPQSFIVSEEDGAWPAIQLGYFNATDPDRADSQIRYKLVHDPENWVTVD EKSGVVTTKKQIDRESPHVNDSFYTIIVHAVDNGLPPLTGTGTLMLFLSDVNDNAPTLRP HSRHLEVCESAGSQPLLIEAEDADLDPYADPFTFDLDNAQGDVEETWMLRTKQGEGHSAE LTMLRSVPPGDYLVPLFIADRQGLAQKQTVHVRICSCRSGSECEEPSDTWLLWWALSPVG AALMVLSAALLCLLRCSCTFGPKRLRGFIPSDSGHQTLIVYNEESKVPSAQGCDTFFEPR GVKTLLSSTPVYLDRMVPRQQPLQLLEGRVVEAWSQKLQSIDVLEGDTGYLPHVYREEGE CEGAETLSSLTFLEQDLSPKLLGCSGSKSTPSEAMCFTSRVPS >ENSMUSP00000121742.1 pep:known chromosome:GRCm38:2:30286397:30307732:1 gene:ENSMUSG00000052533.14 transcript:ENSMUST00000148969.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nup188 description:nucleoporin 188 [Source:MGI Symbol;Acc:MGI:2446190] MAAAAGGPCVRSSRELWTILLGRSALRELNQIEAELNKYWQRLLEGLSYYKPPSPSSAER VKANKDVASPLKELGLRVSKFLGLDEEQSVQLLQCYLQEDYRGTRDSLKLNSPCKTAS >ENSMUSP00000065836.5 pep:known chromosome:GRCm38:2:30286397:30344266:1 gene:ENSMUSG00000052533.14 transcript:ENSMUST00000064447.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup188 description:nucleoporin 188 [Source:MGI Symbol;Acc:MGI:2446190] MAAAAGGPCVRSSRELWTILLGRSALRELNQIEAELNKYWQRLLEGLSYYKPPSPSSAER VKANKDVASPLKELGLRVSKFLGLDEEQSVQLLQCYLQEDYRGTRDSLKTVLQDERQSQA LTLKIADYYYEERTCILRCVLHLLTYFQDERHPYRAEYADCVDKLEKELVLKYRQQFEEL YRTEAPTWETHGNLMTERQVSRWLVQCLREQSMLLEIIFLYYAYFEMAPSDLLVLTKMFK EQGFGSRQTSRHLVGGTMDPFVDRIGYFSALILVEGMDIESLHKYALDDRRELHQFAQDG LICQDMDRAMLTLGDIPHHAPVLLAWALLRHTLSPEETSSVVRKIGGTAIQLNVFQYLTR LLRSLASGGNDCTTSTACMCVYGLLSFALTSLELHTLGNQQDVIDTACEVLADPSLPELF WGTEPTSGLGIILDSVCGMFPHLLSPLLQLLRALVSGKSTAKKVYSFLDKMSFYNELHKH KPHDVLSHEDGTLWRRQTPKLLYPLGGQTNLRIPQGTVGQVMLDDRAYLVRWEYSYSSWT LFTCEIEMLLHVVSTADVIQHCQRVKPIIDLVHKVISTDLSIADCLLPITSRIYMLLQRL TTVISPPVNVIASCVNCLTVLAARNPAKVWTDLRHTGFLPFVAHPVSNMTQMISAEGMNA GGYGSLLMNSEQPQGEYGVTIAFLRLVTTLVKGQLGSTQSQGLVPCVMFVLKEMLPSYHK WRYNSHGVRELIGCLILELIHAILNLCQETELHSSHTPSLPSLCICSLAYTEAGQTVISI MGIGVDTIDMVMAAQPRSDGPEGQGQGQLLIKTVKLAFSVTNNVIRLKPPSNVVSPLEQA LTQHGAHGNNLIAVLAKYIYHRHDPALPRLAIQLLKRLATVAPMSVYACLGSDAAAIRDA FLTRLQSKIEDMRIKVMILEFLTVAVETQPGLIELFLNLEVKDGSNGSKEFSLGVWSCLH VVLELIDSQQQDRYWCPPLLHRAAIAFLHALWQDRRDSAMLVLRTKPKFWENLTSPLFGT LSPPSETSEPSVLETCALIMKIICLEIYYVVKGSLDQSLKDTLKKFSSEKRFAYWSGYVK SLAVYMADTEGSSCTSLLEYQMLVSAWRILLIIAASHADVMHLTDMAVRRQLFLDVLDGT KALLLVAASVNCLRLGSMMCTLLLILLRQWKRELGAVEKILGPLTEILEGVLQADQQLME KTKAKVFSAFITVLQMKELRVGDIPQYSQLVLNVCETLQEEVIALFDQTRHSLASDSAAE DKDSMETDDCPRPRHKDQRDGVCVLGLHLAKELCEVDEDGDSWLQVTRRLPILPTLLTTL EVSLRMKQNLHFTEAALHLLLTLARTQQGATAVAGAGITQSICLPLLSVYQLSSNGTGQT PSTSRKSLDAPSWPGVYRLSMSLMERLLKTLRYNFLTEALDFVGVHQERTLQCLNAVKTV QSLACLEEADHTVGFILQLSHFRKEWHFHLPQLMRDVQVNLGYLCQACTSLLHSRKMLQH YLQNKNGDGLPSAVTPRAQRPSTTTTTTTTTTALATPAGCSSKQPTADTEASEQRALHTV QYGLLKILSRTLAALRHFTPDVCQILLDQSLDLAEYNFLFALSFTTPTFDSEVAPSFGTL LATVNVALNMLGELDKKKESLTQAVGLSTQAEGTRTLKSLLMFTMENCFYLLISQAVRYL RDPAVHPRDKQRMKQELSSELSTLLSSLSRYFRRGAPSSPAAGVLPSPQGKATSLSKASP ESQEPLIQLVQAFVRHVQR >ENSMUSP00000122398.1 pep:known chromosome:GRCm38:2:30286424:30303810:1 gene:ENSMUSG00000052533.14 transcript:ENSMUST00000138666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup188 description:nucleoporin 188 [Source:MGI Symbol;Acc:MGI:2446190] MAAAAGGPCVRSSRELWTILLGRSALRELNQIEAELNKYWQRLLEGLSYYKPPSPSSAER VKANKDVASPLKELGLRVSKFLGLDEEQSVQLLQCYLQEDYRGTRDSLKSCKMRGRARP >ENSMUSP00000109264.2 pep:known chromosome:GRCm38:2:30286427:30303809:1 gene:ENSMUSG00000052533.14 transcript:ENSMUST00000113634.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup188 description:nucleoporin 188 [Source:MGI Symbol;Acc:MGI:2446190] MAAAAGGPCVRSSRELWTILLGRSALRELNQIEAELNKYWQRLLEGLSYYKPPSPSSAER VKANKDVASPLKELGLRVSKFLGLDEEQSVQLLQCYLQEDYRGTRDSLKTVLQDERQSQA LTLKGPSLGSWHPWQLRNALPLAPDPSLPFFFWPW >ENSMUSP00000022124.3 pep:known chromosome:GRCm38:13:102693558:102706955:1 gene:ENSMUSG00000021624.9 transcript:ENSMUST00000022124.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd180 description:CD180 antigen [Source:MGI Symbol;Acc:MGI:1194924] MAPDISCFFLVALFLASCRATTSSDQKCIEKEVNKTYNCENLGLNEIPGTLPNSTECLEF SFNVLPTIQNTTFSRLINLTFLDLTRCQIYWIHEDTFQSQHRLDTLVLTANPLIFMAETA LSGPKALKHLFFIQTGISSIDFIPLHNQKTLESLYLGSNHISSIKLPKGFPTEKLKVLDF QNNAIHYLSKEDMSSLQQATNLSLNLNGNDIAGIELGAFDSAVFQSLNFGGTQNLLVIFK GLKNSTIQSLWLGTFEDMDDEDISPAVFEGLCEMSVESINLQKHYFFNISSNTFHCFSGL QELDLTATHLSELPSGLVGLSTLKKLVLSANKFENLCQISASNFPSLTHLSIKGNTKRLE LGTGCLENLENLRELDLSHDDIETSDCCNLQLRNLSHLQSLNLSYNEPLSLKTEAFKECP QLELLDLAFTRLKVKDAQSPFQNLHLLKVLNLSHSLLDISSEQLFDGLPALQHLNLQGNH FPKGNIQKTNSLQTLGRLEILVLSFCDLSSIDQHAFTSLKMMNHVDLSHNRLTSSSIEAL SHLKGIYLNLASNRISIILPSLLPILSQQRTINLRQNPLDCTCSNIYFLEWYKENMQKLE DTEDTLCENPPLLRGVRLSDVTLSCSMAAVGIFFLIVFLLVFAILLIFAVKYFLRWKYQH I >ENSMUSP00000129096.1 pep:known chromosome:GRCm38:13:102693565:102704262:1 gene:ENSMUSG00000021624.9 transcript:ENSMUST00000171267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd180 description:CD180 antigen [Source:MGI Symbol;Acc:MGI:1194924] MAPDISCFFLVALFLASCRATTSSDQKCIEKEVNKTYNCENLGLNEIPGTLPNSTECLEF SFNVLPTIQNTTFSRLINLTFLDLTR >ENSMUSP00000133015.1 pep:known chromosome:GRCm38:13:102693573:102705066:1 gene:ENSMUSG00000021624.9 transcript:ENSMUST00000167144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd180 description:CD180 antigen [Source:MGI Symbol;Acc:MGI:1194924] MAPDISCFFLVALFLASCRATTSSDQKCIEEYPVLILSHCTIKKPWKVSISEATIFPPLS SPKVSQQRS >ENSMUSP00000127880.1 pep:known chromosome:GRCm38:13:102693579:102739629:1 gene:ENSMUSG00000021624.9 transcript:ENSMUST00000170878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd180 description:CD180 antigen [Source:MGI Symbol;Acc:MGI:1194924] MAPDISCFFLVALFLASCRATTSSDQKCIEKEVNKTYNCENLGLNEIPGTLPNSTECLEF SFNVLPTIQNTTFSRLINLTFLDLTRAVCLGEKIYLPDGKSGVER >ENSMUSP00000131402.1 pep:known chromosome:GRCm38:13:102693611:102739504:1 gene:ENSMUSG00000021624.9 transcript:ENSMUST00000172138.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd180 description:CD180 antigen [Source:MGI Symbol;Acc:MGI:1194924] MAPDISCFFLVALFLASCRATTSSDQKCIESCLSRREDLLT >ENSMUSP00000000430.7 pep:known chromosome:GRCm38:18:24205344:24286818:1 gene:ENSMUSG00000000420.15 transcript:ENSMUST00000000430.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt1 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:894693] MRKFAYCKVVLATSLVWVLLDMFLLLYFSECNKCEEKQERGLPAGDVLELVQKPHEGPGE MGKPVVIPKEDQEKMKEMFKINQFNLMASEMIALNRSLPDVRLEGCKTKVYPDNLPTTSV VIVFHNEAWSTLLRTVHSVINRSPRHMIEEIVLVDDASERDFLKRPLESYVKKLKVPVHV IRMEQRSGLIRARLKGAAVSRGQVITFLDAHCECTAGWLEPLLARIKHDRRTVVCPIIDV ISDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDR DYFQEIGTYDAGMDIWGGENLEISFRIWQCGGTLEIVTCSHVGHVFRKATPYTFPGGTGQ IINKNNRRLAEVWMDEFKNFFYIISPGVTKVDYGDISSRLGLRRKLQCKPFSWYLENIYP DSQIPRHYFSLGEIRNVETNQCLDNMARKENEKVGIFNCHGMGGNQVFSYTANKEIRTDD LCLDVSKLNGPVTMLKCHHLKGNQLWEYDPVKLTLQHVNSNQCLDKATEEDSQVPSIRDC TGSRSQQWLLRNVTLPEIF >ENSMUSP00000132142.1 pep:known chromosome:GRCm38:18:24205767:24286810:1 gene:ENSMUSG00000000420.15 transcript:ENSMUST00000170243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt1 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:894693] MRKFAYCKVVLATSLVWVLLDMFLLLYFSECNKCEEKQERGLPAGDVLELVQKPHEGPGE MGKPVVIPKEDQEKMKEMFKINQFNLMASEMIALNRSLPDVRLEGCKTKVYPDNLPTTSV VIVFHNEAWSTLLRTVHSVINRSPRHMIEEIVLVDDASERDFLKRPLESYVKKLKVPVHV IRMEQRSGLIRARLKGAAVSRGQVITFLDAHCECTAGWLEPLLARIKHDRRTVVCPIIDV ISDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDR DYFQEIGTYDAGMDIWGGENLEISFRIWQCGGTLEIVTCSHVGHVFRKATPYTFPGGTGQ IINKNNRRLAEVWMDEFKNFFYIISPGVTKVDYGDISSRLGLRRKLQCKPFSWYLENIYP DSQIPRHYFSLGEIRNVETNQCLDNMARKENEKVGIFNCHGMGGNQVFSYTANKEIRTDD LCLDVSKLNGPVTMLKCHHLKGNQLWEYDPVKLTLQHVNSNQCLDKATEEDSQVPSIRDC TGSRSQQWLLRNVTLPEIF >ENSMUSP00000132411.1 pep:known chromosome:GRCm38:18:24205959:24254630:1 gene:ENSMUSG00000000420.15 transcript:ENSMUST00000164998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt1 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:894693] MRKFAYCKVVLATSLVWVLLDMFLLLYFSECNKCEEKQERGLPAGDVLELVQKPHEGPGE MGKPVVIPKEDQEKMKEMFKINQFNLMASEMIALNRSLPDVRLEGCKTKVYPDNLPTTSV VIVFHNEAWSTLLRTVHSVINR >ENSMUSP00000131755.1 pep:known chromosome:GRCm38:18:24238323:24267667:1 gene:ENSMUSG00000000420.15 transcript:ENSMUST00000171583.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Galnt1 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:894693] MRKFAYCKVVLATSLVWVLLDMFLLLYFSECNKCEEKQERGLPAGDGVKQRCIQITFLQQ VW >ENSMUSP00000130238.1 pep:known chromosome:GRCm38:18:24246086:24271669:1 gene:ENSMUSG00000000420.15 transcript:ENSMUST00000164066.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Galnt1 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:894693] XEMGKPVVIPKEDQEKMKEMFKINQFNLMASEMIALNRSLPDVRLEGLFEKTSRELCEKT ESAGSCNSNGAALWVDQS >ENSMUSP00000137427.1 pep:known chromosome:GRCm38:18:24232597:24286810:1 gene:ENSMUSG00000000420.15 transcript:ENSMUST00000178605.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt1 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:894693] MRKFAYCKVVLATSLVWVLLDMFLLLYFSECNKCEEKQERGLPAGDVLELVQKPHEGPGE MGKPVVIPKEDQEKMKEMFKINQFNLMASEMIALNRSLPDVRLEGCKTKVYPDNLPTTSV VIVFHNEAWSTLLRTVHSVINRSPRHMIEEIVLVDDASERDFLKRPLESYVKKLKVPVHV IRMEQRSGLIRARLKGAAVSRGQVITFLDAHCECTAGWLEPLLARIKHDRRTVVCPIIDV ISDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDR DYFQEIGTYDAGMDIWGGENLEISFRIWQCGGTLEIVTCSHVGHVFRKATPYTFPGGTGQ IINKNNRRLAEVWMDEFKNFFYIISPGVTKVDYGDISSRLGLRRKLQCKPFSWYLENIYP DSQIPRHYFSLGEIRNVETNQCLDNMARKENEKVGIFNCHGMGGNQVFSYTANKEIRTDD LCLDVSKLNGPVTMLKCHHLKGNQLWEYDPVKLTLQHVNSNQCLDKATEEDSQVPSIRDC TGSRSQQWLLRNVTLPEIF >ENSMUSP00000093040.2 pep:known chromosome:GRCm38:6:133054817:133055816:-1 gene:ENSMUSG00000071147.2 transcript:ENSMUST00000095391.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r140 description:taste receptor, type 2, member 140 [Source:MGI Symbol;Acc:MGI:2681298] MNATVKCTLLIILGVEIIIGCLGNGFIAVVNIMDWAKRRKISLVDQIFTALAISRLAFVW SLLTVLFTSELYSALMTTRKVLIIFNNSWTVINHFNIWLATCLSIFYFLMIANFSNSIFL SLRWRVKTVVSVTLLMSLLLLFVNVLVINTFIVISVDVYKVNTSYSSHSDNNIHISRIFL FTNTIFTFIPFSVTLTIFLLLIFSLWTHLKNMQHNAKDSRDPSTTAHIKALQMMVTFLLL YTIFFLALVMQSSKMKFLSSTVFNYFFEVISLAFPSGHSCVLILGNSKLRQTFISTVWWL KSSFNAAELPGP >ENSMUSP00000026288.4 pep:known chromosome:GRCm38:X:152004582:152016295:-1 gene:ENSMUSG00000025257.5 transcript:ENSMUST00000026288.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ribc1 description:RIB43A domain with coiled-coils 1 [Source:MGI Symbol;Acc:MGI:1913861] MYKLDLVSDPRELAAIEARRNREKERQSRFFNVRNRVMGVDVEALNYQVEERKFREAIER NKDIAYGTKHAHYDLVAQMLEKEEAERAYRLSKRVQDFREQRQQQYKNGHEFDFWDPDQF QEFRVPYYEKDAYFGPASMQYFFGEDLERASHVRMQQEQMRYNLEKQLQEQQAAREEEAR AALLSDQLRLAADTRAAELARLEESCRAAMRTAMANANKAQAAKQALQQRREQQRQQEAN LTEVKKQVTSDLLTENPQVAQRANAPHRVLPYCWKGMSAEQRAAIRKTQETQRQEKKEQR QAEKLVEAEWGRQNKRLAEAALELEEQERELCAEFRRGLGSFNRELAKEQQAQQNYLNSV IYTNQPTAHYYLQFNTSSR >ENSMUSP00000139389.1 pep:known chromosome:GRCm38:9:81628291:81633828:-1 gene:ENSMUSG00000049511.5 transcript:ENSMUST00000183482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr1b description:5-hydroxytryptamine (serotonin) receptor 1B [Source:MGI Symbol;Acc:MGI:96274] MEEQGIQCAPPPPAASQTGVPLTNLSHNCSADGYIYQDSIALPWKVLLVALLALITLATT LSNAFVIATVYRTRKLHTPANYLIASLAVTDLLVSILVMPISTMYTVTGRWTLGQVVCDF WLSSDITCCTASIMHLCVIALDRYWAITDAVEYSAKRTPKRAAIMIVLVWVFSISISLPP FFWRQAKAEEEMLDCFVNTDHVLYTVYSTVGAFYLPTLLLIALYGRIYVEARSRILKQTP NKTGKRLTRAQLITDSPGSTSSVTSINSRAPDVPSESGSPVYVNQVKVRVSDALLEKKKL MAARERKATKTLGIILGAFIVCWLPFFIISLVMPICKDACWFHMAIFDFFNWLGYLNSLI NPIIYTMSNEDFKQAFHKLIRFKCAG >ENSMUSP00000050898.4 pep:known chromosome:GRCm38:9:81631343:81632615:-1 gene:ENSMUSG00000049511.5 transcript:ENSMUST00000051005.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr1b description:5-hydroxytryptamine (serotonin) receptor 1B [Source:MGI Symbol;Acc:MGI:96274] MEEQGIQCAPPPPAASQTGVPLTNLSHNCSADGYIYQDSIALPWKVLLVALLALITLATT LSNAFVIATVYRTRKLHTPANYLIASLAVTDLLVSILVMPISTMYTVTGRWTLGQVVCDF WLSSDITCCTASIMHLCVIALDRYWAITDAVEYSAKRTPKRAAIMIVLVWVFSISISLPP FFWRQAKAEEEMLDCFVNTDHVLYTVYSTVGAFYLPTLLLIALYGRIYVEARSRILKQTP NKTGKRLTRAQLITDSPGSTSSVTSINSRAPDVPSESGSPVYVNQVKVRVSDALLEKKKL MAARERKATKTLGIILGAFIVCWLPFFIISLVMPICKDACWFHMAIFDFFNWLGYLNSLI NPIIYTMSNEDFKQAFHKLIRFKCAG >ENSMUSP00000068408.6 pep:known chromosome:GRCm38:10:117344673:117376970:-1 gene:ENSMUSG00000055531.12 transcript:ENSMUST00000069168.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf6 description:cleavage and polyadenylation specific factor 6 [Source:MGI Symbol;Acc:MGI:1913948] MADGVDHIDIYADVGEEFNQEAEYGGHDQIDLYDDVISPSANNGDAPEDRDYMDTLPPTV GDDVGKGAAPNVVYTYTGKRIALYIGNLTWWTTDEDLTEAVHSLGVNDILEIKFFENRAN GQSKGFALVGVGSEASSKKLMDLLPKRELHGQSPVVTPCNKQFLSQFEMQSRKTTQSGQM SGEGKAGPPGGGSRAAFPQGGRGRGRFPGAVPGGDRFPGPAGPGGPPPPFPAGQTPPRPP LGPPGPPGPPGPPPPGQVLPPPLAGPPNRGDRPPPPVLFPGQPFGQPPLGPLPPGPPPPV PGYGPPPGPPPPQQGPPPPPGPFPPRPPGPLGPPLTLAPPPHLPGPPPGAPPPAPHVNPA FFPPPTNSGMPTSDSRGPPPTDPYGRPPPYDRGDYGPPGREMDTARTPLSEAEFEEIMNR NRAISSSAISRAVSDASAGDYGSAIETLVTAISLIKQSKVSADDRCKVLISSLQDCLHGI ESKSYGSGSRRERSRERDHSRSREKSRRHKSRSRDRHDDYYRERSRERERHRDRDRDRDR ERDREREYRHR >ENSMUSP00000134823.1 pep:known chromosome:GRCm38:10:117349039:117376973:-1 gene:ENSMUSG00000055531.12 transcript:ENSMUST00000176686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf6 description:cleavage and polyadenylation specific factor 6 [Source:MGI Symbol;Acc:MGI:1913948] MADGVDHIDIYADVGEEFNQEAEYGGHDQIDLYDDVISPSANNGDAPEDRDYMDTLPPTV GDDVGKGAAPNVVYTYTGKRIALYIGNLTWWTTDEDLTEAVHSLGVNDILEIKFFENRAN GQSKGFALVGVGSEASSKKLMDLLPKRELHGQSPVVTPCNKQFLSQFEMQSRKTTQSGQM SGEGKAGPPGGGSRAAFPQGGRGRGRFPGAVPGGDRFPGPAGPGGPPPPFPAGQTPPRPP LGPPGPPGPPGPPPPGQVLPPPLAGPPNRGDRPPPPVLFPGQPFGQPPLGPLPPGPPPPV PGYGPPPGPPPPQQGPPPPPGPFPPRPPGPLGPPLTLAPPPHLPGPPPGAPPPAPHVNPA FFPPPTNSGMPTSDSRGPPPTDPYGRPPPYDRGDYGPPGREMDTARTPLSEAEFEEIMNR NRAISSSAISRAVSDASAGDYGSAIETLVTAISLIKQSKVSADDRCKVLISSLQDCLHGI ESKSYGSGSRRRERSRERDHSRSREKSRRHKSRSRDRHDDYYRERSRERERHRDRDRDRD RERDREREYRHR >ENSMUSP00000135150.1 pep:known chromosome:GRCm38:10:117349042:117376929:-1 gene:ENSMUSG00000055531.12 transcript:ENSMUST00000176670.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf6 description:cleavage and polyadenylation specific factor 6 [Source:MGI Symbol;Acc:MGI:1913948] MADGVDHIDIYADVGEEFNQEAEYGGHDQIDLYDDVISPSANNGDAPEDRDYMDTLPPTV GDDVGKGAAPNVVYTYTGKRIALYIGNLTWWTTDEDLTEAVHSLGVNDILEIKFFENRAN GQSKGFALVGVGSEASSKKLMDLLPKRELHGQSPVVTPCNKQFLSQFEMQSRKTTQSGQM SGEGKAGPPGGGSRAAFPQGGRGRGRFPGAVPGGDRFPGPAGPGGPPPPFPGNLIKHLVK GTRPLFLETRIPWHMGHSIEEIPIFGLKAGQTPPRPPLGPPGPPGPPGPPPPGQVLPPPL AGPPNRGDRPPPPVLFPGQPFGQPPLGPLPPGPPPPVPGYGPPPGPPPPQQGPPPPPGPF PPRPPGPLGPPLTLAPPPHLPGPPPGAPPPAPHVNPAFFPPPTNSGMPTSDSRGPPPTDP YGRPPPYDRGDYGPPGREMDTARTPLSEAEFEEIMNRNRAISSSAISRAVSDASAGDYGS AIETLVTAISLIKQSKVSADDRCKVLISSLQDCLHGIESKSYGSGSRRERSRERDHSRSR EKSRRHKSRSRDRHDDYYRERSRERERHRDRDRDRDRERDREREYRHR >ENSMUSP00000135550.1 pep:known chromosome:GRCm38:10:117349374:117360944:-1 gene:ENSMUSG00000055531.12 transcript:ENSMUST00000176480.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpsf6 description:cleavage and polyadenylation specific factor 6 [Source:MGI Symbol;Acc:MGI:1913948] PTSDSRGPPPTDPYGRPPPYDRGDYGPPGREMDTARTPLSEAEFEEIMNRNRAISSSAIS RAVSDASAGDYGSAIETLVTAISLIKQSKVSADDRCKVLISSLQDCLHGIESKSYGSGSR RRERSRERDHSRSREKSRRHKSRSRDRHDDYYRERSRERERHRDRDRDRDRERDREREYR HR >ENSMUSP00000135136.1 pep:known chromosome:GRCm38:10:117353805:117376928:-1 gene:ENSMUSG00000055531.12 transcript:ENSMUST00000177145.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf6 description:cleavage and polyadenylation specific factor 6 [Source:MGI Symbol;Acc:MGI:1913948] MADGVDHIDIYADVGEEFNQEAEYGGHDQIDLYDDVISPSANNGDAPEDRDYMDTLPPTV GDDVGKGAAPNVVYTYTGKRIALYIGNLTWWTTDEDLTEAVHSLGVNDILEIKFFENRAN GQSKGFALVGVGSEASSKKLMDLLPKRELHGQSPVVTPCNKQFLSQFEMQSRKTTQSGQM SGEGKAGPPGGGSRAAFPQGGRGRGRFPGAVPGGDRFPGPAGPGGPPPPFPAGQTPPRPP LGPPGPPGPPGPPPPGQVLPPPLAGPPNRGDRPPPPVLFPGQPFGQPPLGPLPPGPPPPV PGYGPPPGPPPPQQGPPPPPGPFPPRPPGPLGPPLTLAPPPHLPGPPPGAPPPAPHVNPA FFPPPTNSGMPTSDSRGPPPTDPYGRPPPYDRGDYGPPGREMDTARTPLSEAEFEEIMNR NRAISSSAISRAVSDASAGDYGSAIETLVTAISLIKQSKVSADDRCKVLISSLQDCLHGI ESKSYGSGSRRERSRERDHSRSREKSRRHKSRSRDRHDDYYRERSRERERHRDRDRDRDR ERDREREYRHR >ENSMUSP00000135840.1 pep:known chromosome:GRCm38:10:117355526:117356056:-1 gene:ENSMUSG00000055531.12 transcript:ENSMUST00000175888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf6 description:cleavage and polyadenylation specific factor 6 [Source:MGI Symbol;Acc:MGI:1913948] XRDRHDDYYRERSRERERHRDRDRDRDRERDREREYRHR >ENSMUSP00000135848.1 pep:known chromosome:GRCm38:10:117366178:117380015:-1 gene:ENSMUSG00000055531.12 transcript:ENSMUST00000175924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf6 description:cleavage and polyadenylation specific factor 6 [Source:MGI Symbol;Acc:MGI:1913948] MRIESFERWLEKTYNRDKKEAEYGGHDQIDLYDDVISPSANNGDAPEDRDYMDTLPPTVG DDVGKGAAPNVVYTYTGKRIALYIGNLTWWT >ENSMUSP00000102814.2 pep:known chromosome:GRCm38:7:91090706:92449247:1 gene:ENSMUSG00000052572.16 transcript:ENSMUST00000107196.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg2 description:discs, large homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1344351] MFFACYCALRTNVKKYRYQDEDGPHDHSLPRLTHEVRGPELVHVSEKNLSQIENVHGYVL QSHISPLKASPAPIIVNTDTLDTIPYVNGTEIEYEFEEITLERGNSGLGFSIAGGTDNPH IGDDPGIFITKIIPGGAAAEDGRLRVNDCILRVNEVDVSEVSHSKAVEALKEAGSIVRLY VRRRRPILETVVEIKLFKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIDGGAAQKDGRLQ VGDRLLMVNNYSLEEVTHEEAVAILKNTSDVVYLKVGKPTTIYMTDPYGPPDITHSYSPP MENHLLSGNNGTLEYKTSLPPISPGRYSPIPKHMLGEDDYTRPPEPVYSTVNKLCDKPAS PRHYSPVECDKSFLLSTPYPHYHLGLLPDSDMTSHSQHSTATRQPSVTLQRAISLEGEPR KVVLHKGSTGLGFNIVGGEDGEGIFVSFILAGGPADLSGELQRGDQILSVNGIDLRGASH EQAAAALKGAGQTVTIIAQYQPEDYARFEAKIHDLREQMMNHSMSSGSGSLRTNQKRSLY VRAMFDYDKSKDSGLPSQGLSFKYGDILHVINASDDEWWQARRVTLDGDSEEMGVIPSKR RVERKERARLKTVKFNAKPGVIDSKGDIPGLGDDGYGTKTLRGQEDLILSYEPVTRQEIN YTRPVIILGPMKDRINDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVISREQMEKD IQEHKFIEAGQYNDNLYGTSVQSVRFVAERGKHCILDVSGNAIKRLQVAQLYPIAIFIKP KSLEPLMEMNKRLTEEQAKKTYDRAIKLEQEFGEYFTAIVQGDTLEDIYNQCKLVIEEQS GPFIWIPSKEKL >ENSMUSP00000073885.3 pep:known chromosome:GRCm38:7:91090728:92447003:1 gene:ENSMUSG00000052572.16 transcript:ENSMUST00000074273.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg2 description:discs, large homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1344351] MFFACYCALRTNVKKYRYQDEDGPHDHSLPRLTHEVRGPELVHVSEKNLSQIENVHGYVL QSHISPLKASPAPIIVNTDTLDTIPYVNGTEIEYEFEEITLERGNSGLGFSIAGGTDNPH IGDDPGIFITKIIPGGAAAEDGRLRVNDCILRVNEVDVSEVSHSKAVEALKEAGSIVRLY VRRRRPILETVVEIKLFKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIDGGAAQKDGRLQ VGDRLLMVNNYSLEEVTHEEAVAILKNTSDVVYLKVGKPTTIYMTDPYGPPDITHSYSPP MENHLLSGNNGTLEYKTSLPPISPGRYSPIPKHMLGEDDYTRPPEPVYSTVNKLCDKPAS PRHYSPVECDKSFLLSTPYPHYHLGLLPDSDMTSHSQHSTATRQPSVTLQRAISLEGEPR KVVLHKGSTGLGFNIVGGEDGEGIFVSFILAGGPADLSGELQRGDQILSVNGIDLRGASH EQAAAALKGAGQTVTIIAQYQPEDYARFEAKIHDLREQMMNHSMSSGSGSLRTNQKRSLY VRAMFDYDKSKDSGLPSQGLSFKYGDILHVINASDDEWWQARRVTLDGDSEEMGVIPSKR RVERKERARLKTVKFNAKPGVIDSKGSFNDKRKKSFIFSRKFPFYKNKEQSEQETSDPER GQEDLILSYEPVTRQEINYTRPVIILGPMKDRINDDLISEFPDKFGSCVPHTTRPKRDYE VDGRDYHFVISREQMEKDIQEHKFIEAGQYNDNLYGTSVQSVRFVAERGKHCILDVSGNA IKRLQVAQLYPIAIFIKPKSLEPLMEMNKRLTEEQAKKTYDRAIKLEQEFGEYFTAIVQG DTLEDIYNQCKLVIEEQSGPFIWIPSKEKL >ENSMUSP00000102811.1 pep:known chromosome:GRCm38:7:91711420:92447003:1 gene:ENSMUSG00000052572.16 transcript:ENSMUST00000107193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg2 description:discs, large homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1344351] MLPTFDMQRPSASRAENYQLLWDTIASLKQCEEAMQHAFIPVNGTEIEYEFEEITLERGN SGLGFSIAGGTDNPHIGDDPGIFITKIIPGGAAAEDGRLRVNDCILRVNEVDVSEVSHSK AVEALKEAGSIVRLYVRRRRPILETVVEIKLFKGPKGLGFSIAGGVGNQHIPGDNSIYVT KIIDGGAAQKDGRLQVGDRLLMVNNYSLEEVTHEEAVAILKNTSDVVYLKVGKPTTIYMT DPYGPPDITHSYSPPMENHLLSGNNGTLEYKTSLPPISPGRYSPIPKHMLGEDDYTSHSQ HSTATRQPSVTLQRAISLEGEPRKVVLHKGSTGLGFNIVGGEDGEGIFVSFILAGGPADL SGELQRGDQILSVNGIDLRGASHEQAAAALKGAGQTVTIIAQYQPEDYARFEAKIHDLRE QMMNHSMSSGSGSLRTNQKRSLYVRAMFDYDKSKDSGLPSQGLSFKYGDILHVINASDDE WWQARRVTLDGDSEEMGVIPSKRRVERKERARLKTVKFNAKPGVIDSKGDIPGLGDDGYG TKTLRGQEDLILSYEPVTRQEINYTRPVIILGPMKDRINDDLISEFPDKFGSCVPHTTRP KRDYEVDGRDYHFVISREQMEKDIQEHKFIEAGQYNDNLYGTSVQSVRFVAERGKHCILD VSGNAIKRLQVAQLYPIAIFIKPKSLEPLMEMNKRLTEEQAKKTYDRAIKLEQEFGEYFT AIVQGDTLEDIYNQCKLVIEEQSGPFIWIPSKEKL >ENSMUSP00000095910.2 pep:known chromosome:GRCm38:7:92062394:92445325:1 gene:ENSMUSG00000052572.16 transcript:ENSMUST00000098308.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg2 description:discs, large homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1344351] MSSQRWELKGWLPWEPRKVVLHKGSTGLGFNIVGGEDGEGIFVSFILAGGPADLSGELQR GDQILSVNGIDLRGASHEQAAAALKGAGQTVTIIAQYQPEDYARFEAKIHDLREQMMNHS MSSGSGSLRTNQKRSLYVRAMFDYDKSKDSGLPSQGLSFKYGDILHVINASDDEWWQARR VTLDGDSEEMGVIPSKRRVERKERARLKTVKFNAKPGVIDSKGSFNDKRKKSFIFSRKFP FYKNKEQSEQETSDPEQHVSSNASDSESSCRGQEDLILSYEPVTRQEINYTRPVIILGPM KDRINDDLISEFPDKFGSCVPHTTRPKRDYEVDGRDYHFVISREQMEKDIQEHKFIEAGQ YNDNLYGTSVQSVRFVAERGKHCILDVSGNAIKRLQVAQLYPIAIFIKPKSLEPLMEMNK RLTEEQAKKTYDRAIKLEQEFGEYFTAIVQGDTLEDIYNQCKLVIEEQSGPFIWIPSKEK L >ENSMUSP00000084361.5 pep:known chromosome:GRCm38:1:75375297:75432320:1 gene:ENSMUSG00000026207.16 transcript:ENSMUST00000087122.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speg description:SPEG complex locus [Source:MGI Symbol;Acc:MGI:109282] MQKARGTRGEDAGTRAPPSPGVPPKRAKVGAGRGVLVTGDGAGAPVFLRPLKNAAVCAGS DVRLRVVVSGTPQPSLSWFRDGQLLPPPAPEPSCLWLRSCGAQDAGVYSCSAQNERGQAS CEAVLTVLEVRDSETAEDDISDVPGTQRLELRDDRAFSTPTGGSDTLVGTSLDTPPTSVT GTSEEQVSWWGSGQTVLEQEAGSGGGTRPLPGSPRQAQTTGAGPRHLGVEPLVRASRANL VGASWGSEDSLSVASDLYGSAFSLYRGRALSIHVSIPPSGLHREEPDLQPQPASDALRPR PALPPPSKSALLPPPSPRVGKRALPGPSTQPPATPTSPHRRAQEPSLPEDITTTEEKRGK KPKSSGPSLAGTVESRPQTPLSEASGRLSALGRSPRLVRAGSRILDKLQFFEERRRSLER SDSPPAPLRPWVPLRKARSLEQPKSEGGAAWGTPEASQEELRSPRGSVAERRRLFQQKAA SLDERTRQRSATSDLELRFAQELGRIRRSTSREELVRSHESLRATLQRAPSPREPGEPPL FSRPSTPKTSRAVSPAATQPPPPSGAGKSGDEPGRPRSRGPVGRTEPGEGPQQEIKRRDQ FPLTRSRAIQECRSPVPPYTADPPESRTKAPSGRKREPPAQAVRFLPWATPGVEDSVLPQ TLEKNRAGPEAEKRLRRGPEEDGPWGPWDRRGTRSQGKGRRARPTSPELESSDDSYVSAG EEPLEAPVFEIPLQNMVVAPGADVLLKCIITANPPPQVSWKKDGSMLHSEGRLLIRAEGE RHTLLLREAQAADAGSYTATATNELGQATCASSLAVRPGGSTSPFSSPITSDEEYLSPPE EFPEPGETWPRTPTMKLSPSQDHDSSDSSSKAPPTFKVSLMDQSVREGQDVIMSIRVQGE PKPVVSWLRNRQPVRPDQRRFAEEAEGGLCRLRILAAERGDAGFYTCKAVNEYGARQCEA RLEVRAHPESRSLAVLAPLQDVDVGAGEMALFECLVAGPADVEVDWLCRGRLLQPALLKC KMHFDGRKCKLLLTSVHEDDSGVYTCKLSTAKDELTCSARLTVRPSLAPLFTRLLEDVEV LEGRAARLDCKISGTPPPSVTWTHFGHPVNEGDNLRLQQDGGLHSLHIARVGSEDEGLYE VSATNTHGQAHCSAQLYVEEPRTAASGPSSKLEKMPSIPEEPEHGDLERLSIPDFLRPLQ DLEVGLAKEAMLECQVTGLPYPTISWFHNGHRIQSSDDRRMTQYRDIHRLVFPAVGPQHA GVYKSVIANKLGKAACYAHLYVTDVVPGPPDGAPEVVAVTGRMVTLSWNPPRSLDMAIDP DSLTYTVQHQVLGSDQWTALVTGLREPAWAATGLKKGIQHIFRVLSSSGKSSSKPSAPSE PVQLLEHGPPLEEAPAVLDKQDIVYVVEGQPACVTVTFNHVEAQVVWRSCRGALLEARTG VYELSQPDDDQYCLRICRVSRRDLGPLTCSARNRHGTKACSVTLELAEAPRFESIMEDVE VGPGETARFAVVVEGKPLPDIMWYKDEVLLAESNHVSFVYEENECSLVLLSAGSQDGGVY TCTARNLAGEVSCKAELSVLSAQTAMEVEGVGEDEEHRGRRLSDYYDIHQEIGRGAFSYL RRVVERSSGLEFAAKFIPSQAKPKASARREARLLARLQHGCVLYFHEAFERRRGLVIVTE LCTEELLERMARKPTVCESETRTYMRQVLEGICYLHQSHVLHLDVKPENLLVWDGAGGEE QVRICDFGNAQELTPGEPQYCQYGTPEFVAPEIVNQSPVSGVTDIWPVGVVAFLCLTGIS PFVGENDRTTLMNIRNYNVAFEETTFLSLSREARGFLIKVLVQDRLRPTAEETLEHPWFK TEAKGAEVSTDHLKLFLSRRRWQRSQISYKCHLVLRPIPELLRAPPERVWVAMPRRQPPS GGLSSSSDSEEEELEELPSVPRPLQPEFSGSRVSLTDIPTEDEALGTPEAGAATPMDWQE QERTPSKDQEAPSPEALPSPGQESPDGPSPRRPELRRGSSAESALPRVGSREPGRSLHKA ASVELPQRRSPSPGATRLTRGGLGEGEYAQRLQALRQRLLRGGPEDGKVSGLRGPLLESL GGRARDPRMARAASSEAAPHHQPPPESRGLQKSSSFSQGEAEPRGRHRRAGAPLEIPVAR LGARRLQESPSLSALSETQPPSPARPSVPKLSITKSPEPSAVTSRDSPQPPEPQPVPEKV PEPKPEPVRAAKPAQPPLALQMPTQPLTPYAQIMQSLQLSSPTLSPQDPAVPPSEPKPHA AVFARVASPPPGVSEKRVPSARTPPVLAEKARVPTVPPRPGSSLSGSIENLESEAVFEAK FKRSRESPLSRGLRLLSRSRSEERGPFRGAEDDGIYRPSPAGTPLELVRRPERSRSVQDL RVAGEPGLVRRLSLSLSQKLRRTPPGQRHPAWESRSGDGESSEGGSSARASPVLAVRRRL SSTLERLSSRLQRSGSSEDSGGASGRSTPLFGRLRRATSEGESLRRLGVPHNQLGSQTGA TTPSAESLGSEASGTSGSSAPGESRSRHRWGLSRLRKDKGLSQPNLSSSVQEDLGHQYVP SESDFPPVFHIKLKDQVLLEGEAATLLCLPAACPAPRISWMKDKQSLRSEPSVVIVSCKD GRQLLSIPRAGKRHAGLYECSATNVLGSITSSCTVAVARIPGKLAPPEVPQTYHDTALVV WKPGDGRAPCTYTLERRVDGESVWHPVSSGIPDCYYNVTQLPVGVTVRFRVACSNRAGQG PFSNPSEKVFIRGTPDSPAQPAAAPRDAPVTSGPTRAPPPDSPTSLAPTPALAPPASQAS TLSPSTSSMSANQALSSLKAVGPPPATPPRKHRGLLATQQAEPSPPSIVVTPSEPRSFVP DTGTLTPTSSPQGVKPAPSSTSLYMVTSFVSAPPAPQAPAPEPPPEPTKVTVRSLSPAKE VVSSPTPESTTLRQGPPQKPYTFLEEKARGRFGVVRSCRENATGRTFVAKIVPYAAEGKR RVLQEYEVLRTLHHERLMSLHEAYITPRYLVLIAESCGNRELLCGLSDRFRYSEDDVATY VVQLLQGLDYLHGHHVLHLDIKPDNLLLAADNALKIVDFGSAQPYNPQALKPLGHRTGTL EFMAPEMVKGDPIGSATDIWGAGVLTYIMLSGYSPFYEPDPQETEARIVGGRFDAFQLYP NTSQSATLFLRKVLSVHPWSRPSLQDCLAHPWLQDAYLMKLRRQTLTFTTNRLKEFLGEQ RRRRAEAATRHKVLLRSYPGSP >ENSMUSP00000116953.1 pep:known chromosome:GRCm38:1:75382114:75388345:1 gene:ENSMUSG00000026207.16 transcript:ENSMUST00000148515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speg description:SPEG complex locus [Source:MGI Symbol;Acc:MGI:109282] MKKLWVKKRFQKTGHSRRAFGRLTHVFRSCRKQSYDSETAEDDISDVPGTQRLELRDDRA FSTPTGGSDTLVGTSLDTPPTSVTGTSEEQVSWWGSGQTVLEQEAGSGGGTRPLPGSPSS IPPSGLHREEPDLQPQPASDALRPRPALPPPSKSALLPPPSPRVGKRALPGPSTQPPATP TSPHRRAQEPSLPEDITTTEEKRGKKPKSSGPSLAGTVESRPQTPLSEASGRLSALGRSP RLVRAGSRILDKLQFFEERRRSLERSDSPPAPLRPWVPLRKARSLEQPKSEGGAAWGTPE A >ENSMUSP00000109220.1 pep:known chromosome:GRCm38:1:75382114:75404563:1 gene:ENSMUSG00000026207.16 transcript:ENSMUST00000113590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speg description:SPEG complex locus [Source:MGI Symbol;Acc:MGI:109282] MKKLWVKKRFQKTGHSRRAFGRLTHDSETAEDDISDVPGTQRLELRDDRAFSTPTGGSDT LVGTSLDTPPTSVTGTSEEQVSWWGSGQTVLEQEAGSGGGTRPLPGSPRQAQTTGAGPRH LGVEPLVRASRANLVGASWGSEDSLSVASDLYGSAFSLYRGRALSIHVSIPPSGLHREEP DLQPQPASDALRPRPALPPPSKSALLPPPSPRVGKRALPGPSTQPPATPTSPHRRAQEPS LPEDITTTEEKRGKKPKSSGPSLAGTVESRPQTPLSEASGRLSALGRSPRLVRAGSRILD KLQFFEERRRSLERSDSPPAPLRPWVPLRKARSLEQPKSEGGAAWGTPEASQEELRSPRG SVAERRRLFQQKAASLDERTRQRSATSDLELRFAQELGRIRRSTSREELVRSHESLRATL QRAPSPREPGEPPLFSRPSTPKTSRAVSPAATQPPPPSGAGKSGDEPGRPRSRGPVGRTE PGEGPQQEIKRRDQFPLTRSRAIQECRSPVPPYTADPPESRTKAPSGRKREPPAQAVRFL PWATPGVEDSVLPQTLEKNRAGPEAEKRLRRGPEEDGPWGPWDRRGTRSQGKGRRARPTS PELESSDDSYVSAGEEPLEAPVFEIPLQNMVVAPGADVLLKCIITANPPPQVSWKKDGSM LHSEGRLLIRAEGERHTLLLREAQAADAGSYTATATNELGQATCASSLAVRPGGSTSPFS SPITSDEEYLSPPEEFPEPGETWPRTPTMKLSPSQDHDSSDSSSKAPPTFKVSLMDQSVR EGQDVIMSIRVQGEPKPVVSWLRNRQPVRPDQRRFAEEAEGGLCRLRILAAERGDAGFYT CKAVNEYGARQCEARLEVRGE >ENSMUSP00000119969.1 pep:known chromosome:GRCm38:1:75382138:75387895:1 gene:ENSMUSG00000026207.16 transcript:ENSMUST00000125306.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Speg description:SPEG complex locus [Source:MGI Symbol;Acc:MGI:109282] MKKLWVKKRFQKTGHSRRAFGRLTHAKFSAPAESKVTTRRLPRMTSAMCRGPSAWSFGMT GPSAPPRGALIPWWVPPWTHPRPP >ENSMUSP00000121825.1 pep:known chromosome:GRCm38:1:75384828:75391923:1 gene:ENSMUSG00000026207.16 transcript:ENSMUST00000132228.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Speg description:SPEG complex locus [Source:MGI Symbol;Acc:MGI:109282] XGSDTLVGTSLDTPPTSVTGTSEEQVSWWGSGQTVLEQEAGSGGGTRPLPGSPRQAQTTG AGPRHLGVEPLVRASRANLVGASWGSEDSLSVASDLYGSAFSLYRGRALSIHVVLRRLLC VRWGRAPGGTRV >ENSMUSP00000141009.1 pep:known chromosome:GRCm38:1:75385610:75432304:1 gene:ENSMUSG00000026207.16 transcript:ENSMUST00000137868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speg description:SPEG complex locus [Source:MGI Symbol;Acc:MGI:109282] XSARQLPGEQGNETAPRRETRASIPPSGLHREEPDLQPQPASDALRPRPALPPPSKSALL PPPSPRVGKRALPGPSTQPPATPTSPHRRAQEPSLPEDITTTEEKRGKKPKSSGPSLAGT VESRPQTPLSEASGRLSALGRSPRLVRAGSRILDKLQFFEERRRSLERSDSPPAPLRPWV PLRKARSLEQPKSEGGAAWGTPEASQEELRSPRGSVAERRRLFQQKAASLDERTRQRSAT SDLELRFAQELGRIRRSTSREELVRSHESLRATLQRAPSPREPGEPPLFSRPSTPKTSRA VSPAATQPPPPSGAGKSGDEPGRPRSRGPVGRTEPGEGPQQEIKRRDQFPLTRSRAIQEC RSPVPPYTADPPESRTKAPSGRKREPPAQAVRFLPWATPGVEDSVLPQTLEKNRAGPEAE KRLRRGPEEDGPWGPWDRRGTRSQGKGRRARPTSPELESSDDSYVSAGEEPLEAPVFEIP LQNMVVAPGADVLLKCIITANPPPQVSWKKDGSMLHSEGRLLIRAEGERHTLLLREAQAA DAGSYTATATNELGQATCASSLAVRPGGSTSPFSSPITSDEEYLSPPEEFPEPGETWPRT PTMKLSPSQDHDSSDSSSKAPPTFKVSLMDQSVREGQDVIMSIRVQGEPKPVVSWLRNRQ PVRPDQRRFAEEAEGGLCRLRILAAERGDAGFYTCKAVNEYGARQCEARLEVRAHPESRS LAVLAPLQDVDVGAGEMALFECLVAGPADVEVDWLCRGRLLQPALLKCKMHFDGRKCKLL LTSVHEDDSGVYTCKLSTAKDELTCSARLTVRPSLAPLFTRLLEDVEVLEGRAARLDCKI SGTPPPSVTWTHFGHPVNEGDNLRLQQDGGLHSLHIARVGSEDEGLYEVSATNTHGQAHC SAQLYVEEPRTAASGPSSKLEKMPSIPEEPEHGDLERLSIPDFLRPLQDLEVGLAKEAML ECQVTGLPYPTISWFHNGHRIQSSDDRRMTQYRDIHRLVFPAVGPQHAGVYKSVIANKLG KAACYAHLYVTDVVPGPPDGAPEVVAVTGRMVTLSWNPPRSLDMAIDPDSLTYTVQHQVL GSDQWTALVTGLREPAWAATGLKKGIQHIFRVLSSSGKSSSKPSAPSEPVQLLEHGPPLE EAPAVLDKQDIVYVVEGQPACVTVTFNHVEAQVVWRSCRGALLEARTGVYELSQPDDDQY CLRICRVSRRDLGPLTCSARNRHGTKACSVTLELAEAPRFESIMEDVEVGPGETARFAVV VEGKPLPDIMWYKDEVLLAESNHVSFVYEENECSLVLLSAGSQDGGVYTCTARNLAGEVS CKAELSVLSAQTAMEVEGVGEDEEHRGRRLSDYYDIHQEIGRGAFSYLRRVVERSSGLEF AAKFIPSQAKPKASARREARLLARLQHGCVLYFHEAFERRRGLVIVTELCTEELLERMAR KPTVCESETRTYMRQVLEGICYLHQSHVLHLDVKPENLLVWDGAGGEEQVRICDFGNAQE LTPGEPQYCQYGTPEFVAPEIVNQSPVSGVTDIWPVGVVAFLCLTGISPFVGENDRTTLM NIRNYNVAFEETTFLSLSREARGFLIKVLVQDRLRPTAEETLEHPWFKTEAKGAEVSTDH LKLFLSRRRWQRSQISYKCHLVLRPIPELLRAPPERVWVAMPRRQPPSGGLSSSSDSEEE ELEELPSVPRPLQPEFSGSRVSLTDIPTEDEALGTPEAGAATPMDWQEQERTPSKDQEAP SPEALPSPGQESPDGPSPRRPELRRGSSAESALPRVGSREPGRSLHKAASVELPQRRSPS PGATRLTRGGLGEGEYAQRLQALRQRLLRGGPEDGKVSGLRGPLLESLGGRARDPRMARA ASSEAAPHHQPPPESRGLQKSSSFSQGEAEPRGRHRRAGAPLEIPVARLGARRLQESPSL SALSETQPPSPARPSVPKLSITKSPEPSAVTSRDSPQPPEPQPVPEKVPEPKPEPVRAAK PAQPPLALQMPTQPLTPYAQIMQSLQLSSPTLSPQDPAVPPSEPKPHAAVFARVASPPPG VSEKRVPSARTPPVLAEKARVPTVPPRPGSSLSGSIENLESEAVFEAKFKRSRESPLSRG LRLLSRSRSEERGPFRGAEDDGIYRPSPAGTPLELVRRPERSRSVQDLRVAGEPGLVRRL SLSLSQKLRRTPPGQRHPAWESRSGDGESSEGGSSARASPVLAVRRRLSSTLERLSSRLQ RSGSSEDSGGASGRSTPLFGRLRRATSEGESLRRLGVPHNQLGSQTGATTPSAESLGSEA SGTSGSSAPGESRSRHRWGLSRLRKDKGLSQPNLSSSVQEDLGHQYVPSESDFPPVFHIK LKDQVLLEGEAATLLCLPAACPAPRISWMKDKQSLRSEPSVVIVSCKDGRQLLSIPRAGK RHAGLYECSATNVLGSITSSCTVAVARIPGKLAPPEVPQTYHDTALVVWKPGDGRAPCTY TLERRVDGESVWHPVSSGIPDCYYNVTQLPVGVTVRFRVACSNRAGQGPFSNPSEKVFIR GTPDSPAQPAAAPRDAPVTSGPTRAPPPDSPTSLAPTPALAPPASQASTLSPSTSSMSAN QALSSLKAVGPPPATPPRKHRGLLATQQAEPSPPSIVVTPSEPRSFVPDTGTLTPTSSPQ GVKPAPSSTSLYMVTSFVSAPPAPQAPAPEPPPEPTKVTVRSLSPAKEVVSSPTPESTTL RQGPPQKPYTFLEEKARGRFGVVRSCRENATGRTFVAKIVPYAAEGKRRVLQEYEVLRTL HHERLMSLHEAYITPRYLVLIAESCGNRELLCGLSDRFRYSEDDVATYVVQLLQGLDYLH GHHVLHLDIKPDNLLLAADNALKIVDFGSAQPYNPQALKPLGHRTGTLEFMAPEMVKGDP IGSATDIWGAGVLTYIMLSGYSPFYEPDPQETEARIVGGRFDAFQLYPNTSQSATLFLRK VLSVHPWSRPSLQDCLAHPWLQDAYLMKLRRQTLTFTTNRLKEFLGEQRRRRAEAATRHK VLLRSYPGSP >ENSMUSP00000109219.1 pep:known chromosome:GRCm38:1:75400085:75403990:1 gene:ENSMUSG00000026207.16 transcript:ENSMUST00000113589.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speg description:SPEG complex locus [Source:MGI Symbol;Acc:MGI:109282] MKLSPSQDHDSSDSSSKAPPTFKVSLMDQSVREGQDVIMSIRVQGEPKPVVSWLRNRQPV RPDQRRFAEEAEGGLCRLRILAAERGDAGFYTCKAVNEYGARQCEARLEVRGE >ENSMUSP00000109218.1 pep:known chromosome:GRCm38:1:75400521:75404370:1 gene:ENSMUSG00000026207.16 transcript:ENSMUST00000113588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speg description:SPEG complex locus [Source:MGI Symbol;Acc:MGI:109282] MKLSPSQDHDSSDSSSKAPPTFKVSLMDQSVREGQDVIMSIRVQGEPKPVVSWLRNRQPV RPDQRRFAEEAEGGLCRLRILAAERGDAGFYTCKAVNEYGARQCEARLEVRGE >ENSMUSP00000109217.1 pep:known chromosome:GRCm38:1:75400635:75404562:1 gene:ENSMUSG00000026207.16 transcript:ENSMUST00000113587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speg description:SPEG complex locus [Source:MGI Symbol;Acc:MGI:109282] MKLSPSQDHDSSDSSSKAPPTFKVSLMDQSVREGQDVIMSIRVQGEPKPVVSWLRNRQPV RPDQRRFAEEAEGGLCRLRILAAERGDAGFYTCKAVNEYGARQCEARLEVRGE >ENSMUSP00000113646.1 pep:known chromosome:GRCm38:1:75401010:75404207:1 gene:ENSMUSG00000026207.16 transcript:ENSMUST00000122266.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speg description:SPEG complex locus [Source:MGI Symbol;Acc:MGI:109282] MKLSPSQDHDSSDSSSKAPPTFKVSLMDQSVREGQDVIMSIRVQGEPKPVVSWLRNRQPV RPDQRRFAEEAEGGLCRLRILAAERGDAGFYTCKAVNEYGARQCEARLEVRGE >ENSMUSP00000141907.1 pep:known chromosome:GRCm38:18:38267092:38284402:-1 gene:ENSMUSG00000024440.12 transcript:ENSMUST00000194012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh12 description:protocadherin 12 [Source:MGI Symbol;Acc:MGI:1855700] MARLSLPLTTNYRDNLSSPDATTSEEPRTFQTFGKTVGPGPELSPTGTRLASTFVSEMSS LLEMLLGQHTVPVEAASAALRRLSVCGRTLSLDLATSGASASEAQGRKKAAESRLGCGRN L >ENSMUSP00000025311.5 pep:known chromosome:GRCm38:18:38268776:38284357:-1 gene:ENSMUSG00000024440.12 transcript:ENSMUST00000025311.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh12 description:protocadherin 12 [Source:MGI Symbol;Acc:MGI:1855700] MMLLLPFLLGLLGPGSYLFISGDCQEVATVMVKFQVTEEVPSGTVIGKLSQELRVEERRG KAGDAFQILQLPQALPVQMNSEDGLLSTSSRLDREKLCRQEDPCLVSFDVLATGASALIH VEIQVLDINDHQPQFPKDEQELEISESASLHTRIPLDRALDQDTGPNSLYSYSLSPSEHF ALDVIVGPDETKHAELVVVKELDRELHSYFDLVLTAYDNGNPPKSGISVVKVNVLDSNDN SPVFAESSLALEIPEDTVPGTLLINLTATDPDQGPNGEVEFFFGKHVSPEVMNTFGIDAK TGQIILRQALDYEKNPAYEVDVQARDLGPNSIPGHCKVLIKVLDVNDNAPSILITWASQT SLVSEDLPRDSFIALVSANDLDSGNNGLVHCWLNQELGHFRLKRTNGNTYMLLTNATLDR EQWPIYTLTVFAQDQGPQPLSAEKELQIQVSDVNDNAPVFEKSRYEVSTWENNPPSLHLI TLKAHDADLGSNGKVSYRIKDSPVSHLVIIDFETGEVTAQRSLDYEQMAGFEFQVIAEDR GQPQLASSISVWVSLLDANDNAPEVIQPVLSEGKATLSVLVNASTGHLLLPIENPSGMDP AGTGIPPKATHSPWSFLLLTIVARDADSGANGELFYSIQSGNDAHLFFLSPSLGQLFINV TNASSLIGSQWDLGIVVEDQGSPSLQTQVSLKVVFVTSVDHLRDSAHEPGVLSTPALALI CLAVLLAIFGLLLALFVSICRTERKDNRAYNCREAESSYRHQPKRPQKHIQKADIHLVPV LRAHENETDEVRPSHKDTSKETLMEAGWDSCLQAPFHLTPTLYRTLRNQGNQGELAESQE VLQDTFNFLFNHPRQRNASRENLNLPESPPAVRQPLLRPLKVPGSPIARATGDQDKEEAP QSPPASSATLRRQRNFNGKVSPRGESGPHQILRSLVRLSVAAFAERNPVEEPAGDSPPVQ QISQLLSLLHQGQFQPKPNHRGNKYLAKPGGSSRGTIPDTEGLVGLKPSGQAEPDLEEGP PSPEEDLSVKRLLEEELSSLLDPNTGLALDKLSPPDPAWMARLSLPLTTNYRDNLSSPDA TTSEEPRTFQTFGKTVGPGPELSPTGTRLASTFVSEMSSLLEMLLGQHTVPVEAASAALR RLSVCGRTLSLDLATSGASASEAQGRKKAAESRLGCGRNL >ENSMUSP00000105540.1 pep:known chromosome:GRCm38:2:150470991:150485091:-1 gene:ENSMUSG00000074731.3 transcript:ENSMUST00000109914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp345 description:zinc finger protein 345 [Source:MGI Symbol;Acc:MGI:3652219] MGRVTYDDVHVNFTWEEWALLDPSQKNLYRDVMLETYRNLAAIGYVWEDHNMEEHLHISR RYGRHERSHTEQLLSEFIQCDKAFAFQSHNQRQERIYTAETPYECNQCGKSFARKSHLLR QKRTHTGEKPFQCNQCGKTFARNTHLLQHKKVHTGEKPYECNQCDKASVCHIGLLYHKRT HAGERPYEWNECGKSFVYHSCIKQCKRRHAGETPFECNQCGKSFARKSNLLRHKRIHTGE KPYKCDQCGKDFASPSSFKCHKRKHTGEKPYKCDQCGKDFASPSSLQYHKRIHTGEKPYE CIQCGKAFARLSILRRHKRTHTGEKPFECNQCGKSFARKSHLLRHKRIHTGEKQHKRTHT GEKSFECNECGKSFARKSHLLRHKRIHTGEKPYKCDQCGKDFACPNSLQYHKRVHTGEKP YECKQCGKAFAFSTSLRIHKRRHTGEKPYECNQCDKAFLCPSGLLYHKRTHTGERPYECN ECGKSFIHHSSFRMHKRTHTGEKPFECDQCGKSFAQNTYLLQHKRVHTGEKPYECKHCGK AFAYSNNLQVHIRTHTKYLVW >ENSMUSP00000140811.1 pep:known chromosome:GRCm38:7:30233439:30235725:-1 gene:ENSMUSG00000100512.1 transcript:ENSMUST00000189482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ovol3 description:OVO homolog-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2388075] MPRVFLVRSRRPQPPNWSHLPDQLRGDAYVPDCSSLAVPPAHRSSGLRDTWAVSSQGTLT SAPKGPGTLGCPLCPKAFPLQRMLTRHLKCHSPARRHVCHYCGKGFHDAFDLKRHMRTHT GIRPFRCGACGKAFTQRCSLEAHLAKVHGQPASYAYRERREKLHVCEDCGFTSSRPDAYA QHRTLHRTT >ENSMUSP00000143585.1 pep:known chromosome:GRCm38:3:127553489:127618016:1 gene:ENSMUSG00000051278.12 transcript:ENSMUST00000200490.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zgrf1 description:zinc finger, GRF-type containing 1 [Source:MGI Symbol;Acc:MGI:1918893] MECQEFIVLYTHQKMKKSKVWQDGVLKITHLGNKAILYDDKGACLESLFLKCLEVKPGDD LESERYLITVEEAKAVGSRAVEPDGSREALESGSRTLVSSSRSLGCQPSGLKRKATGFQR PYKMPKKVTITENSEPAASLGDENPGPPGPRLLPTFSSTLPLFPTVGQKDLTPVSTDNQS PITFSNRERSDTPLSLPSSYFKINTNTLGKEDKLCFPVSSETKHSDSLLASEPMRRNGLD SHCPGVSQNVRSKAQILALLKSSSTNRKDLHGEIPGHFPKIEPQGCLNIISKPEEDYAET QSIGNLRCEQQSENPTRTTSRWARYLPSQRSPPCSAADENDTEDKPEAQEDVNIFNLSEL LQKKSELFETCSKKGELHSEDKPVDNTCQYWNQEDNLAPSFCKNSSVLVSCSSKENASLL SESDIQYSSKVPVNQHEKVWTREGSQGADADAALEPEYRPVSPLPEIGHKQTEVEASLST SSRISDDIADMGSKSNADREDLKTVHKAVQPFLEVSFNLSNFETSDTDEASQEDSRLSQD SERWEKEAVLTDDSCVQKSCEDIGCREIVGKLPLLSSTDDEPKEALPADGTLLSEFCDRT CVGFNSGPHGDVKTGKALEGQCHSDTGSSLDSSLEWSEDVAGDSREDASQSIQGNAINCG GVSPSKKPRGVNRSLYSPYLLTAVTDPAPENSDLLSEARKSPAIEVSRALLECPCEHRAS QQPVEFQGHQVKGSATSGVMVRGHSLQRGCTQFPDSIDYENFLTDTCVWTPGLPSTYGQT DFLQD >ENSMUSP00000142693.1 pep:known chromosome:GRCm38:3:127553502:127617133:1 gene:ENSMUSG00000051278.12 transcript:ENSMUST00000199888.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zgrf1 description:zinc finger, GRF-type containing 1 [Source:MGI Symbol;Acc:MGI:1918893] MECQEFIVLYTHQKMKKSKVWQDGVLKITHLGNKAILYDDKGACLESLFLKCLEVKPGDD LESERYLITVEEAKAVGSRAVEPDGSREALESGSRTLVSSSRSLGCQPSGLKRKATGFQR PYKMPKKVTITENSEPAASLGDENPGPPGPRLLPTFSSTLPLFPTVGQKDLTPVSTDNQS PITFSNRERSDTPLSLPSSYFKINTNTLGKEDKLCFPVSSETKHSDSLLASEPMRRNGLD SHCPGVSQNVRSKAQILALLKSSSTNRKDLHGEIPGHFPKIEPQGCLNIISKPEEDYAET QSIGNLRCEQQSENPTRTTSRWARYLPSQRSPPCSAADENDTEDKPEAQEDVNIFNLSEL LQKKSELFETCSKKGELHSEDKPVDNTCQYWNQEDNLAPSFCKNSSVLVSCSSKENASLL SESDIQYSSKVPVNQHEKVWTREGSQGADADAALEPEYRPVSPLPEIGHKQTEVEASLST SSRISDDIADMGSKSNADREDLKTVHKAVQPFLEVSFNLSNFETSDTDEASQEDSRLSQD SERWEKEAVLTDDSCVQKSCEDIGCREIVGKLPLLSSTDDEPKEALPADGTLLSEFCDRT CVGFNSGPHGDVKTGKALEGQCHSDTGSSLDSSLEWSEDVAGDSREDASQSIQGNAINCG GVSPSKKPRGVNRSLYSPYLLTAVTDPAPENSDLLSEARKSPAIEVSRALLECPCEHRAS QQPVEFQGHQVKGSATSGVMVRGHSLQRGCTQFPDSIDYENFLTDTCVWTPGLPSTYGQT DFLQD >ENSMUSP00000143761.1 pep:known chromosome:GRCm38:3:127553502:127618023:1 gene:ENSMUSG00000051278.12 transcript:ENSMUST00000196141.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zgrf1 description:zinc finger, GRF-type containing 1 [Source:MGI Symbol;Acc:MGI:1918893] MECQEFIVLYTHQKMKKSKVWQDGVLKITHLGNKAILYDDKGACLESLFLKCLEVKPGDD LESERYLITVEEAKAVGSRAVEPDGSREALESGSRTLVSSSRSLGCQPSGLKRKATGFQR PYKMPKKVTITENSEPAASLGDENPGPPGPRLLPTFSSTLPLFPTVGQKDLTPVSTDNQS PITFSNRERSDTPLSLPSSYFKINTNTLGKEDKLCFPVSSETKHSDSLLASEPMRRNGLD SHCPGVSQNVRSKAQILALLKSSSTNRKDLHGEIPGHFPKIEPQGCLNIISKPEEDYAET QSIGNLRCEQQSENPTRTTSRWARYLPSQRSPPCSAADENDTEDKPEAQEDVNIFNLSEL LQKKSELFETCSKKGELHSEDKPVDNTCQYWNQEDNLAPSFCKNSSVLVSCSSKENASLL SESDIQYSSKVPVNQHEKVWTREGSQGADADAALEPEYRPVSPLPEIGHKQTEVEASLST SSRISDDIADMGSKSNADREDLKTVHKAVQPFLEVSFNLSNFETSDTDEASQEDSRLSQD SERWEKEAVLTDDSCVQKSCEDIGCREIVGKLPLLSSTDDEPKEALPADGTLLSEFCDRT CVGFNSGPHGDVKTGKALEGQCHSDTGSSLDSSLEWSEDVAGDSREDASQSIQGNAINCG GVSPSKKPRGVNRSLYSPYLLTAVTDPAPENSDLLSEARKSPAIEVSRALLECPCEHRAS QQPVEFQGHQVKGSATSGVMVRGHSLQRGCTQFPDSIDYENFLTDTCVWTPGLPSTYGQT DFLQVISPEQKIPALSPAPTFSFNTRNEDTVLELSEESLKTRTLPGLKTIGFQDSKNLQR FPFLSGASAPFATLPADDGPAVLDPCSFMIDDDAREPSGSSMLNLCEESGLSFDLGLEGQ GGTPGGVSLLPKSSTQSKWLKYQNPPQCNSTAPNRLASEVTEGLFAEAVSGLHFSHTSES ESSVDPVRLQMIKGLLHQQQQDLVSRKQAFSLTLNQTCKTQEHETVLGSSASKNCRAKDL QEINNSDLCFPNGQKIISAYLPQRQVHIPAVFQSPAHYRQVFTASIIEHLNILLFELAQR LYKALSKVDISFYTSSKGETMRSGKNNSPSCHHNQPAKLVMVKKEGPNKGRLFYTCDKSK DNQCKFFKWLEEVTPGQLPQNTSQSTMVFNDIKSIGSYLRSQKVPVYEECQLLLRRGFDF QRKQCGKLKKLTTVNPDFYSETKSKIYLKLSRRESSSVYSKGDLWVISKTLDFELDTFIA CSAFFGPSSVNEVELLPLKGYFPSNWPTNITVHALLVCNASTELTTLQNIQDYFNPAALP LMPYLLAMSQSATVSSKNISKRKFIPPAITSIKTKTELHLGATLQLARELINVHRLNKDQ ATALIQIAQMMASQGSDEDALEPFGHSLPITVIHGVFGAGKSYLLAVVILFLVELFEKCD SGTVGNARPWKVLVSSSTNVAVDRVLLGLLSLGFEKFIRVGSVRKIAKPVLPYSLHAGSD NESEQLKELNALLKEELTPIERVYVRKSIEQHKLGTNRVLLKQVRVVGVTCAACAFPCLN DLKFPVVVLDECSQMTEPASLLPIARFQCEKLILVGDPKQLPPTIQGSDAAHENGLEQTL FDRLCLMGHKPVLLRTQYRCHPAISAIANDLFYEGSLVNGISERERSPVLEWLPTLCFYN VTGAEQVERENSFVNVAEATFTLKLIQSLMASGVESCMIGVITLYKSQMYKICNLLSAVD VGHPDVKAVQVSTVDAFQGAEKEITILSCVRTRQVGFIDSEKRMNVALTRGRRHLLIVGS LSCLRKNRLWGRVIQHCEGREDGLQHASQCEPQLDHLLKDYLEKQAEEKQKKKEKEKSKD KSH >ENSMUSP00000142886.1 pep:known chromosome:GRCm38:3:127553633:127564838:1 gene:ENSMUSG00000051278.12 transcript:ENSMUST00000195955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zgrf1 description:zinc finger, GRF-type containing 1 [Source:MGI Symbol;Acc:MGI:1918893] MECQEFIVLYTHQKMKKSKVWQDGVLKITHLGNKAILYDDKGACLESLFLKCLEVKPGDD LESERYLITVEEAKAVGSRAVEPDGSREALESGSRTLVSSSRSLGCQPSGLKRKATGFQR PYKMPKKVTITENSEPAASLGDENPGPPGPRLLPTFSSTLPLFPTVGQKDLTPVSTDNQS PITFSNRERSDTPLSLPSSYFKINTNTLGKEDKLCFPVSSETKHSDSLLASEPMRRNGLD SHCPGVSQNVRSKAQILALLKSSSTNRKDLHGEIPGHFPKIEPQGCLNIISKPEEDYAET QSIGNLRCEQQSENPTRTTSRWARYLPSQRSPPCSAADENDTEDKPEAQEDVNIFNLSEL LQKKSELFETCSKKGELHSEDKPVDNTCQYWNQEDNLAPSFCKNSSVLVSCSSKENASLL SESDIQYSSKVPVNQHEKVWTREGSQGADADAALEPEYRPVSPLPEIGHKQTEVEASLST SSRISDDIADMGSKSNADREDLKTVHKAVQPFLEVSFNLSNFETSDTDEASQEDSRLSQD SERWEKEAVLTDDSCVQKSCEDIGCREIVGKLPLLSSTDDEPKEALPADGTLLSEFCDRT CVGFNSGPHGDVKTGKALEGQCHSDTGSSLDSSLEWSEDVAGDSREDASQSIQGNAINCG GVSPSKKPRGVNRSLYSPYLLTAVTDPAPENSDLLSEGTQLFILQSHRDRNDEQVLSPIS SSDISGQLLSTTQDHFECHELEESSTQISSPLFYPMGIKHPIYRDSEAYISESKELGRIR SLPCDHFEVETTQRNQQSWATSKSSSELSEVINNMSLLKSQSEHSTALGHLTILKKKHAF PHEVPHSRDPDVSPKG >ENSMUSP00000143570.1 pep:known chromosome:GRCm38:3:127583760:127616985:1 gene:ENSMUSG00000051278.12 transcript:ENSMUST00000196341.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zgrf1 description:zinc finger, GRF-type containing 1 [Source:MGI Symbol;Acc:MGI:1918893] LCEESGLSFDLGLEGQGGTPGGVSLLPKSSTQSKWLKYQNPPQCNSTAPNRLASEVTEGL FAEAVSGLHFSHTSESESSVDPVRLQMIKGLLHQQQQDLVSRKQAFSLTLNQTCKTQEHE TVLGSSASKNCRAKDLQEINNSDLCFPNGQKIISAYLPQRQVHIPAVFQSPAHYRQVFTA SIIEHLNILLFELAQRLYKALSKVDISFYTSSKGETMRSGKNNSPSCHHNQPAKLVMVKK EGPNKGRLFYTCDKSKDNQCKFFKWLEEVTPGQLPQNTSQSTMVFNDIKSIGSYLRSQKV PVYEECQLLLRRGFDFQRKQCGKLKKLTTVNPDFYSETKSKIYLKLSRRESSSVYSKGDL WVISKTLDFELDTFIACSAFFGPSSVNEVELLPLKGYFPSNWPTNITVHALLVCNASTEL TTLQNIQDYFNPAALPLMPYLLAMSQSATVSSKNISKRKFIPPAITSIKTKTELHLGATL QLARELINVHRLNKDQATALIQIAQMMASQGSDEDALEPFGHSLPITVIHGVFGAGKSYL LAVVILFLVELFEKCDSGTVGNARPWKVLVSSSTNVAVDRVLLGLLSLGFEKFIRVGSVR KIAKPVLPYSLHAGSDNESEQLKELNALLKEELTPIERVYVRKSIEQHKLGTNRVLLKQV SV >ENSMUSP00000044432.4 pep:known chromosome:GRCm38:3:127553489:127618021:1 gene:ENSMUSG00000051278.12 transcript:ENSMUST00000043108.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zgrf1 description:zinc finger, GRF-type containing 1 [Source:MGI Symbol;Acc:MGI:1918893] MECQEFIVLYTHQKMKKSKVWQDGVLKITHLGNKAILYDDKGACLESLFLKCLEVKPGDD LESERYLITVEEAKAVGSRAVEPDGSREALESGSRTLVSSSRSLGCQPSGLKRKATGFQR PYKMPKKVTITENSEPAASLGDENPGPPGPRLLPTFSSTLPLFPTVGQKDLTPVSTDNQS PITFSNRERSDTPLSLPSSYFKINTNTLGKEDKLCFPVSSETKHSDSLLASEPMRRNGLD SHCPGVSQNVRSKAQILALLKSSSTNRKDLHGEIPGHFPKIEPQGCLNIISKPEEDYAET QSIGNLRCEQQSENPTRTTSRWARYLPSQRSPPCSAADENDTEDKPEAQEDVNIFNLSEL LQKKSELFETCSKKGELHSEDKPVDNTCQYWNQEDNLAPSFCKNSSVLVSCSSKENASLL SESDIQYSSKVPVNQHEKVWTREGSQGADADAALEPEYRPVSPLPEIGHKQTEVEASLST SSRISDDIADMGSKSNADREDLKTVHKAVQPFLEVSFNLSNFETSDTDEASQEDSRLSQD SERWEKEAVLTDDSCVQKSCEDIGCREIVGKLPLLSSTDDEPKEALPADGTLLSEFCDRT CVGFNSGPHGDVKTGKALEGQCHSDTGSSLDSSLEWSEDVAGDSREDASQSIQGNAINCG GVSPSKKPRGVNRSLYSPYLLTAVTDPAPENSDLLSEARKSPAIEVSRALLECPCEHRAS QQPVEFQGHQVKGSATSGVMVRGHSLQRGCTQFPDSIDYENFLTDTCVWTPGLPSTYGQT DFLQVISPEQKIPALSPAPTFSFNTRNEDTVLELSEESLKTRTLPGLKTIGFQDSKNLQR FPFLSGASAPFATLPADDGPAVLDPCSFMIDDDAREPSGSSMLNLCEESGLSFDLGLEGQ GGTPGGVSLLPKSSTQSKWLKYQNPPQCNSTAPNRLASEVTEGLFAEAVSGLHFSHTSES ESSVDPVRLQMIKGLLHQQQQDLVSRKQAFSLTLNQTCKTQEHETVLGSSASKNCRAKDL QEINNSDLCFPNGQKIISAYLPQRQVHIPAVFQSPAHYRQVFTASIIEHLNILLFELAQR LYKALSKVDISFYTSSKGETMRSGKNNSPSCHHNQPAKLVMVKKEGPNKGRLFYTCDKSK DNQCKFFKWLEEVTPGQLPQNTSQSTMVFNDIKSIGSYLRSQKVPVYEECQLLLRRGFDF QRKQCGKLKKLTTVNPDFYSETKSKIYLKLSRRESSSVYSKGDLWVISKTLDFELDTFIA CSAFFGPSSVNEVELLPLKGYFPSNWPTNITVHALLVCNASTELTTLQNIQDYFNPAALP LMPYLLAMSQSATVSSKNISKRKFIPPAITSIKTKTELHLGATLQLARELINVHRLNKDQ ATALIQIAQMMASQGSDEDALEPFGHSLPITVIHGVFGAGKSYLLAVVILFLVELFEKCD SGTVGNARPWKVLVSSSTNVAVDRVLLGLLSLGFEKFIRVGSVRKIAKPVLPYSLHAGSD NESEQLKELNALLKEELTPIERVYVRKSIEQHKLGTNRVLLKQVRVVGVTCAACAFPCLN DLKFPVVVLDECSQMTEPASLLPIARFQCEKLILVGDPKQLPPTIQGSDAAHENGLEQTL FDRLCLMGHKPVLLRTQYRCHPAISAIANDLFYEGSLVNGISERERSPVLEWLPTLCFYN VTGAEQVERENSFVNVAEATFTLKLIQSLMASGVESCMIGVITLYKSQMYKICNLLSAVD VGHPDVKAVQVSTVDAFQGAEKEITILSCVRTRQVGFIDSEKRMNVALTRGRRHLLIVGS LSCLRKNRLWGRVIQHCEGREDGLQHASQCEPQLDHLLKDYLEKQAEEKQKKKEKEKSKD KSH >ENSMUSP00000058114.6 pep:known chromosome:GRCm38:3:63914684:63929472:-1 gene:ENSMUSG00000048581.12 transcript:ENSMUST00000061706.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130311K13Rik description:RIKEN cDNA E130311K13 gene [Source:MGI Symbol;Acc:MGI:3607716] MARPPASLGSQAPDRDRGEANVVTRVSQWADNHLRLVQNISTGMAIAGIMLLIRSVRLTS KFTTSSDIPVEFIRKKVKLRGRLQRITECGLEIEHIPITLPFISSWKEEPRGVLLVKLAG VELTESGKVWLQAELKPSQLLWFQLLGKEDSALFCYLLVNKGGYFNVNLNEEILRRGLGK TVLVKGLNYDSKTHWKIHRNLLKAELTALKKGEGIWKEESEKESYFRKLKDSWRERWTKD NDLKPAGADLGSTKDSYHDSRRRASGKGKDSVSNYSFFLKLREFVSRLHFWRKG >ENSMUSP00000032969.7 pep:known chromosome:GRCm38:7:100082111:100121565:-1 gene:ENSMUSG00000030726.16 transcript:ENSMUST00000032969.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pold3 description:polymerase (DNA-directed), delta 3, accessory subunit [Source:MGI Symbol;Acc:MGI:1915217] MAEQLYLENIDEFVTDQNKIVTYKWLSYTLGVHVNQAKQMLYEYVERKRKENSGAQLHVT YLVSGSLIQNGHSCHKVAVVREDKLEAVKSKLAVTASIHVYSIQKAMLKDSGPLFNTDYD ILKSNLQNCSKFSAIQCAAAVPRAPAESPSSRKYEQSNLQAASEAQASELTTNGHGPPAS KQASQQPKGIMGMLISKAATKTQDTNKETKPEAREVTSASSAGGKAPGKGSVMSNFFGKA AMNKLKVNLDSEQAVKEEKTVEQPPVSVTEPKLAAPPAQKKSSRKSEPGKVQQKEKSRGK RVDLSDEEAKETEHLKKKRRRIKLPQSDSSEDEVFEDSPEMYEADSPSPPPVSPPPDPMP KTEPPPVKRSSGETKRRRKRVLKSKTFVDEEGCIVTEKVYESESCTDSEEELKMKPASAH KPPAAAVKREPREERKGPKKGAAALGKANRQVSITGFFQKK >ENSMUSP00000115707.1 pep:known chromosome:GRCm38:7:100083393:100121455:-1 gene:ENSMUSG00000030726.16 transcript:ENSMUST00000127128.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pold3 description:polymerase (DNA-directed), delta 3, accessory subunit [Source:MGI Symbol;Acc:MGI:1915217] MAEQLYLENIDEFVTDQNKIVTYKWLSYTLGVHVNQAKHATRLQ >ENSMUSP00000146603.1 pep:known chromosome:GRCm38:7:100083411:100121466:-1 gene:ENSMUSG00000030726.16 transcript:ENSMUST00000208670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pold3 description:polymerase (DNA-directed), delta 3, accessory subunit [Source:MGI Symbol;Acc:MGI:1915217] MLYEYVERKRKENSGAQLHVTYLVSGSLIQNGHSCHKVAVVREDKLEAVKSKLAVTASIH VYSIQKAMLKDSGPLFNTDYDILKSNLQNCSKFSAIQCAAAVPRAPAESPSSRKYEQSNL QAASEAQASELTTNGHGPPASKQASQQPKGIMGMLISKAATKTQDTNKETKPEAREVTSA SSAGGKAPGKGSVMSNFFGKAAMNKLKVNLDSEQAVKEEKTVEQPPVSVTEPKLAAPPAQ KKSSRKSEPGKVQQKEKSRGKRVDLSDEEAKETEHLKKKRRRIKLPQSDSSEDEVFEDSP EMYEADSPSPPPVSPPPDPMPKTEPPPVKRSSGETKRRRKRVLKSKTFVDEEGCIVTEKV YESESCTDSEEELKMKPASAHKPPAAAVKREPREERKGPKKGAAALGKANRQVSITGFFQ KK >ENSMUSP00000146986.1 pep:known chromosome:GRCm38:7:100088121:100121078:-1 gene:ENSMUSG00000030726.16 transcript:ENSMUST00000208184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pold3 description:polymerase (DNA-directed), delta 3, accessory subunit [Source:MGI Symbol;Acc:MGI:1915217] MLKDSGPLFNTDYDILKSNLQNCSKFSAIQCAAAVPRAPAESPSSRKYEQSNLQAASEAQ ASELTTNGHGPPASKQASQQPKGIMGMLISKAATKTQDTNKETKPEAREVTSASSAGGKA PGKGSVMSNFFGKAAMNKLKVNLDSEQAVKEEKTVEQPPVSVTEPKLAAPPAQKKSSRKS EPGKVQQKEKSRGKRVDLSDEEAKETEHLKKKRRRIKLPQSDSSEDEVFEDSPEMYEADS PSPPPVSPPPDPMPKTEPPPVKRSSGETK >ENSMUSP00000146431.1 pep:known chromosome:GRCm38:7:100099508:100121458:-1 gene:ENSMUSG00000030726.16 transcript:ENSMUST00000156202.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pold3 description:polymerase (DNA-directed), delta 3, accessory subunit [Source:MGI Symbol;Acc:MGI:1915217] MLYEYVERKRKENSGAQLHVTYLVSGSLIQNGHSCHKVAVVREDKLEGLVPYSVQLQSPE LLQNPHLPESMNSQIFRQRVRHKPVS >ENSMUSP00000087973.5 pep:known chromosome:GRCm38:6:29319140:29336019:1 gene:ENSMUSG00000039742.15 transcript:ENSMUST00000090487.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71f1 description:family with sequence similarity 71, member F1 [Source:MGI Symbol;Acc:MGI:3032524] MMTSVPPRKSWWTSKKTVKVIRSYPTFPSLNAWEKFRGLLPVDGETNPGVGLGVEEGLLC QMVHSPEFNLFPNSVVFESNFVQVRRSRNWKEIYKASNTMALGVTSSVPCLPLPNILLMA RVKWHQGQSQTWNRPSRAPSINLKSILPLKFVELQIWDDQERVLRLRTVTEKIYYLKLHP DHPETVFHFWIRLVQILHKGLSITTKDPTILVTHCLVPKSLCSPGGKTELVQKKSKGLQP SESLTHLMAQGESETLSQIFSDLHQQKQYRSEKMHINKTSSEKATPCEDSIPCTCDLNWR DAFMFGEWERENPSGPQPLSLLGTLAASSRPRLSLTGGNSI >ENSMUSP00000126496.1 pep:known chromosome:GRCm38:6:29319157:29335854:1 gene:ENSMUSG00000039742.15 transcript:ENSMUST00000164560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71f1 description:family with sequence similarity 71, member F1 [Source:MGI Symbol;Acc:MGI:3032524] MMTSVPPRKSWWTSKKTVKVIRSYPTFPSLNAWEKFRGLLPVDGETNPGVGLGVEEGLLC QMVHSPEFNLFPNSVVFESNFVQVRRSRNWKEIYKASNTMALGVTSSVPCLPLPNILLMA RVKWHQGQSQTWNRPSRAPSINLKSILPLKFVELQIWDDQERVLRLRTVTEKIYYLKLHP DHPETVFHFWIRLVQILHKGLSITTKDPTILVTHCLVPKSLCSPGGKTELVQKKSKGLQP SESLTHLMAQGESETLSQIFSDLHQQKQYSSSRSEKMHINKTSSEKATPCEDSIPCTCDL NWRDAFMFGEWERENPSGPQPLSLLGTLAASSRPRLSLTGGNSI >ENSMUSP00000132402.1 pep:known chromosome:GRCm38:6:29319157:29335854:1 gene:ENSMUSG00000039742.15 transcript:ENSMUST00000163229.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam71f1 description:family with sequence similarity 71, member F1 [Source:MGI Symbol;Acc:MGI:3032524] MMTSVPPRKSWWTSKKTVKVIRSYPTFPSLNAWEKFRGLLPVDGETNPGVGLGVEEGLLC QMVHSPEFNLFPNSVVFESNFVQKEQKLERNL >ENSMUSP00000132703.1 pep:known chromosome:GRCm38:6:29319199:29335854:1 gene:ENSMUSG00000039742.15 transcript:ENSMUST00000166462.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71f1 description:family with sequence similarity 71, member F1 [Source:MGI Symbol;Acc:MGI:3032524] MMTSVPPRKSWWTSKKTVKVIRSYPTFPSLNAWEKFRGLLPVDGETNPGVGLGVEEGLLC QMVHSPEFNLFPNSVVFESNFVQVRRSRNWKEIYKASNTMALGVTSSVPCLPLPNILLMA RVKWHQGQSQTWNRPSRAPSINLKSILPLKFVELQIWDDQERVLRLRTVTEKIYYLKLHP DHPETVFHFWIRLVQILHKGLSITTKDPTILVTHCLVPKSLCSPGGKTELVQKKSKGLQP SESLTHLMAQGESETLSQIFSDLHQQKQYSRSEKMHINKTSSEKATPCEDSIPCTCDLNW RDAFMFGEWERENPSGPQPLSLLGTLAASSRPRLSLTGGNSI >ENSMUSP00000086606.2 pep:known chromosome:GRCm38:2:150570415:150578944:1 gene:ENSMUSG00000068129.5 transcript:ENSMUST00000089200.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst7 description:cystatin F (leukocystatin) [Source:MGI Symbol;Acc:MGI:1298217] MWLAILLALCCLTSDTHGARPPDFCSKDLISSVKPGFPKTIETNNPGVLKAARHSVEKFN NCTNDIFLFKESHVSKALVQVVKGLKYMLEVKIGRTTCRKTMHHQLDNCDFQTNPALKRT LYCYSEVWVIPWLHSFEVPVLLCQ >ENSMUSP00000068516.7 pep:known chromosome:GRCm38:2:119972699:120027408:1 gene:ENSMUSG00000033902.11 transcript:ENSMUST00000066058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkbp1 description:mitogen-activated protein kinase binding protein 1 [Source:MGI Symbol;Acc:MGI:1347004] MMAGEGSTITSRIKNLLRSPSIKLRRSKAGNRREDLSSKVTLEKVLGVTVSGGRGLACDP RSGLVAYPAGCVVVLFNPRKHKQHHILNSSRKTITALAFSPDGKYLVTGESGHMPAVRVW DVAERSQVAELQEHKYGVACVAFSPSAKYIVSVGYQHDMIVNVWAWKKNIVVASNKVSSR VTAVSFSEDCSYFVTAGNRHIKFWYLDDSKTSKVNATVPLLGRSGLLGELRNNLFTDVAC GRGEKADSTFCITSSGLLCEFSDRRLLDKWVELRTTVAHCISVTQEYIFCGCADGTVRLF NPSNLHFLSTLPRPHALGTDIASITEASRLFSGGVNARYPDTIALTFDPTNQWLSCVYND HSIYVWDVRDPKKVGKVYSALYHSSCVWSVEVYPEIKDSHQACLPPSSFITCSSDNTIRL WNTESSGVHGSTLHRNILSNDLIKIIYVDGNTQALLDTELPGGDKADGSLMDPRVGIRSV CISPNGQHLASGDRMGTLRIHELQSLSEMLKVEAHDSEILCLEYSKPDTGLKLLASASRD RLIHVLDAGREYSLQQTLDEHSSSITAVKFAASDGQVRMISCGADKSIYFRTAQKSGEGV QFTRTHHVVRKTTLYDMDVEPSWKYTAIGCQDRNIRIFNISSGKQKKLFKGSQGEDGTLI KVQTDPSGIYIATSCSDKNLSIFDFSSGECVATMFGHSEIVTGMKFSNDCKHLISVSGDS CIFVWRLSSEMTISMRQRLAELRQRQRGIKQQGPTSPQRASGAKQHHAPVVPPSGPALSS DSDKEGEDEGTEEEELPALPILSKSTKKELASGSSPALLRSLSHWEMSRAQETMEYLDPA PVANTGPKRRGRWAQPGVELSVRSMLDLRQIETLAPSPRGPSQDSLAVSPAGPGKHGPQG PELSCVSQNERAPRLQTSQPCSCPHIIQLLSQEEGVFAQDLEPAPIEDGIVYPEPSDSPT MDTSAFQVQAPTGGSLGRMYPGSRGSEKHSPDSACSVDYSSSRLSSPEHPNEDSESTEPL SVDGISSDLEEPAEGDEDEEEEGGTGLCGLQEGGPHTPDQEQFLKQHFETLANGTAPGGP ARVLERTESQSISSRFLLQVQTSPLREPSLSSSGLALTSRPDQVSQVSGEQLKGSGATPP GAPPEMEPSSGNSGPKQVAPVLLTRRHNNLDNSWASKKMAATRPLAGLQKAQSVHSLVPQ DEVPSSRPLLFQEAETQGSLGSLPQAGGCSSQPHSYQNHTTSSMAKLARSISVGENPGLA TEPQAPVPIRISPFNKLALPSRAHLVLDIPKPLPDRPTLTTFSPVSKGLAHNETEQSGPL VSLGKAHTTVEKHSCLGEGTTHKSRTECQAYPGPNHPCAQQLPVNNLLQGPESLQPLSPE KTRNPVESSRPGVALSQDSELALSLQQCEQLVAELQGNVRQAVELYRAVTSYKTPSAEQS HITRLLRDTFSSVRQELEVLAGAVLSSPGGSPGAVGAEQTQALLEQYSELLLRAVERRME RRL >ENSMUSP00000105536.2 pep:known chromosome:GRCm38:11:4765845:4849536:-1 gene:ENSMUSG00000009073.16 transcript:ENSMUST00000109910.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nf2 description:neurofibromatosis 2 [Source:MGI Symbol;Acc:MGI:97307] MAGAIASRMSFSSLKRKQPKTFTVRIVTMDAEMEFNCEMKWKGKDLFDLVCRTLGLRETW FFGLQYTIKDTVAWLKMDKKVLDHDVSKEEPVTFHFLAKFYPENAEEELVQEITQHLFFL QVKKQILDEKVYCPPEASVLLASYAVQAKYGDYDPSVHKRGFLAQEELLPKRVINLYQMT PEMWEERITAWYAEHRGRARDEAEMEYLKIAQDLEMYGVNYFTIRNKKGTELLLGVDALG LHIYDPENRLTPKISFPWNEIRNISYSDKEFTIKPLDKKIDVFKFNSSKLRVNKLILQLC IGNHDLFMRRRKADSLEVQQMKAQAREEKARKQMERQRLAREKQMREEAERTRDELERRL LQMKEEATMANEALMRSEETADLLAEKAQITEEEAKLLAQKAAEAEQEMQRIKATAIRTE EEKRLMEQKVLEAEVLALKMAEESERRAKEADQLKQDLQEAREAERRAKQKLLEIATKPT YPPMNPIPPPLPPDIPSFDIIADSLSFDFKDTDMKRLSMEIEKEKVEYMEKSKHLQEQLN ELKTEIEALKLKERETALDVLHSESSDRGGPSSKHNTIKKLTLQSAKSRVAFFEEL >ENSMUSP00000055061.6 pep:known chromosome:GRCm38:11:4767665:4848985:-1 gene:ENSMUSG00000009073.16 transcript:ENSMUST00000056290.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nf2 description:neurofibromatosis 2 [Source:MGI Symbol;Acc:MGI:97307] MAGAIASRMSFSSLKRKQPKTFTVRIVTMDAEMEFNCEMKWKGKDLFDLVCRTLGLRETW FFGLQYTIKDTVAWLKMDKKVLDHDVSKEEPVTFHFLAKFYPENAEEELVQEITQHLFFL QVKKQILDEKVYCPPEASVLLASYAVQAKYGDYDPSVHKRGFLAQEELLPKRVINLYQMT PEMWEERITAWYAEHRGRARDEAEMEYLKIAQDLEMYGVNYFTIRNKKGTELLLGVDALG LHIYDPENRLTPKISFPWNEIRNISYSDKEFTIKPLDKKIDVFKFNSSKLRVNKLILQLC IGNHDLFMRRRKADSLEVQQMKAQAREEKARKQMERQRLAREKQMREEAERTRDELERRL LQMKEEATMANEALMRSEETADLLAEKAQITEEEAKLLAQKAAEAEQEMQRIKATAIRTE EEKRLMEQKVLEAEVLALKMAEESERRAKEADQLKQDLQEAREAERRAKQKLLEIATKPT YPPMNPIPPPLPPDIPSFDIIADSLSFDFKDTDMKRLSMEIEKEKVEYMEKSKHLQEQLN ELKTEIEALKLKERETALDVLHSESSDRGGPSSKHNTIKKPQAQGRRPICI >ENSMUSP00000055033.6 pep:known chromosome:GRCm38:11:4772334:4849365:-1 gene:ENSMUSG00000009073.16 transcript:ENSMUST00000053079.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nf2 description:neurofibromatosis 2 [Source:MGI Symbol;Acc:MGI:97307] MAGAIASRMSFSSLKRKQPKTFTVRIVTMDAEMEFNCEMKWKGKDLFDLVCRTLGLRETW FFGLQYTIKDTVAWLKMDKKVLDHDVSKEEPVTFHFLAKFYPENAEEELVQEITQHLFFL QVKKQILDEKVYCPPEASVLLASYAVQAKYGDYDPSVHKRGFLAQEELLPKRVINLYQMT PEMWEERITAWYAEHRGRARDEAEMEYLKIAQDLEMYGVNYFTIRNKKGTELLLGVDALG LHIYDPENRLTPKISFPWNEIRNISYSDKEFTIKPLDKKIDVFKFNSSKLRVNKLILQLC IGNHDLFMRRRKADSLEVQQMKAQAREEKARKQMERQRLAREKQMREEAERTRDELERRL LQMKEEATMANEALMRSEETADLLAEKAQITEEEAKLLAQKAAEAEQEMQRIKATAIRTE EEKRLMEQKVLEAEVLALKMAEESERRAKEADQLKQDLQEAREAERRAKQKLLEIATKPT YPPMNPIPPPLPPDIPSFDIIADSLSFDFKDTDMKRLSMEIEKEKVEYMEKSKHLQEQLN ELKTEIEALKLKERETALDVLHSESSDRGGPSSKHNTIKKPQAQGRRPICI >ENSMUSP00000091066.4 pep:known chromosome:GRCm38:11:4779814:4820396:-1 gene:ENSMUSG00000009073.16 transcript:ENSMUST00000093374.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nf2 description:neurofibromatosis 2 [Source:MGI Symbol;Acc:MGI:97307] XVAWLKMDKKVLDHDVSKEEPVTFHFLAKFYPENAEEELVQEITQHLFFLQVKKQILDEK VYCPPEASVLLASYAVQAKYGDYDPSVHKRGFLAQEELLPKRVINLYQMTPEMWEERITA WYAEHRGRARDEAEMEYLKIAQDLEMYGVNYFTIRNKKGTELLLGVDALGLHIYDPENRL TPKISFPWNEIRNISYSDKEFTIKPLDKKIDVFKFNSSKLRVNKLILQLCIGNHDLFMRR RKADSLEVQQMKAQAREEKARKQMERQRLAREKQMREEAERTRDELERRLLQMKEEATMA NEALMRSEETADLLAEKAQITEEEAKLLAQKAAEAEQEMQRIKATAIRTEEEKRLMEQKV LEAEVLALKMAEESERRAKEADQLKQDLQEAREAERRAKQKLLEIATKPTYPPMNPIPPP LPPDIPSFDIIADSLSFDFKDTDMKRLSMEIEKEKVEYMEKSKHLQEQLNELKTEIEALK LKERETALDVLHSESSDRGGPSSKHNTIKKPQAQGRRPICI >ENSMUSP00000128494.1 pep:known chromosome:GRCm38:11:4784436:4816165:-1 gene:ENSMUSG00000009073.16 transcript:ENSMUST00000152656.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nf2 description:neurofibromatosis 2 [Source:MGI Symbol;Acc:MGI:97307] VKKQILDEKVYCPPEASVLLASYAVQAKNKKGTELLLGVDALGLHIYDPENRLTPKISFP WNEIRNISYSDKEFTIKPLDKKIDVFKFNSSKLRVNKLILQLCIGNHDLFMRRRKADSLE VQQMKAQAREEKARKQGQGG >ENSMUSP00000129388.1 pep:known chromosome:GRCm38:11:4791201:4849194:-1 gene:ENSMUSG00000009073.16 transcript:ENSMUST00000164190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nf2 description:neurofibromatosis 2 [Source:MGI Symbol;Acc:MGI:97307] MAGAIASRMSFSSLKRKQPKTFTVRIVTMDAEMEFNCEMKWKGKDLFDLVCRTLGLRETW FFGLQYTIKDTVAWLKMDKKVKKQILDEKVYCPPEASVLLASYAVQAKYGDYDPSVHKRG FLAQEELLPKRVINLYQMTPEMWEERITAWYAEHRGRARDEAEMEYLKIAQDLEMYGVNY FTIRFTIKPLDKKIDVFKFNSSKLRVNKLILQLCIGNHDLFMRRRKADSLEVQQMKAQAR EEKARKQMERQ >ENSMUSP00000116505.2 pep:known chromosome:GRCm38:11:4794480:4818547:-1 gene:ENSMUSG00000009073.16 transcript:ENSMUST00000137926.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nf2 description:neurofibromatosis 2 [Source:MGI Symbol;Acc:MGI:97307] XELVQEITQHLFFLQVKKQILDEKVYCPPEASVLLASYAVQAKYGDYDPSVHKRGFLAQE ELLPKRVINLYQMTPEMWEERITAWYAEHRGRARDEAEMEYLKIAQDLEMYGVNYFTIRF TIKPLDKKIDVFKFNSSKLRVNKLILQLCIGNHDLFMRR >ENSMUSP00000132184.1 pep:known chromosome:GRCm38:11:4799861:4820396:-1 gene:ENSMUSG00000009073.16 transcript:ENSMUST00000124878.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nf2 description:neurofibromatosis 2 [Source:MGI Symbol;Acc:MGI:97307] XVAWLKMDKKVLDHDVSKEEPVTFHFLAKFYPENAEEELVQEITQHLFFLQVKKQILDEK VYCPPEASVLLASYAVQAKKWQC >ENSMUSP00000130263.1 pep:known chromosome:GRCm38:11:4829843:4849125:-1 gene:ENSMUSG00000009073.16 transcript:ENSMUST00000172305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nf2 description:neurofibromatosis 2 [Source:MGI Symbol;Acc:MGI:97307] MAGAIASRMSFSSLKRKQPKTFTVRIVTMDAEMEFNCEAHHISVTSHCSLLMERQQAQPQ PSSSKGSFQPHCLKGTLLCLSSFWKPPSLLLESEHLPQPFFFLFSSHDQGGGLQMERDRF KCTLMKTRDCS >ENSMUSP00000075366.4 pep:known chromosome:GRCm38:X:61709497:61710955:1 gene:ENSMUSG00000057615.5 transcript:ENSMUST00000075983.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldoc1 description:leucine zipper, down-regulated in cancer 1 [Source:MGI Symbol;Acc:MGI:2685212] MVEELLSLLHALLDRHQALCMENHQLLKQLRLLVCERARLLRQVCPPSCPVPYPSRFSGE SGRLPEFIMQTMSYMLANEEHFCNDAMKVAFLISLLSGEAEEWVMPYIESNSYVLGDYQA FVDEMKQYFGWGTDDEDDGDDDEEEEMEEDH >ENSMUSP00000078602.5 pep:known chromosome:GRCm38:9:95456898:95511894:-1 gene:ENSMUSG00000032407.13 transcript:ENSMUST00000079659.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2surp description:U2 snRNP-associated SURP domain containing [Source:MGI Symbol;Acc:MGI:1915208] MADKTPGGSQKASSKNRSSDVHSSGSSDAHMDASGPSDSDMPSRTRPKSPRKHNYRNESS RESLCDSPHQNLSRPLLENKLKAFSIGKMSTAKRTLSKKEQEELKKKEDEKAAAEIYEEF LAAFEGSDGNKVKTFVRGGVVNAAKDEHETDEKRGKIYKPSSRFADQKNPPNQSSNERPP SLLVIETKKPPLKKGEKEKKKSNLELFKEELKQIQEERDERHKTKGRLSRFEPPQSDSDG QRRSMDVPSRRNRSSGVLDDYAPGSHDVGDPSTTNLYLGNINPQMNEEMLCQEFGRFGPL ASVKIMWPRTDEERARERNCGFVAFMNRRDAERALKNLNGKMIMSFEMKLGWGKAVPIPP HPIYIPPSMMEHTLPPPPSGLPFNAQPRERLKNPNAPMLPPPKNKEDFEKTLSQAIVKVV IPTERNLLALIHRMIEFVVREGPMFEAMIMNREINNPMFRFLFENQTPAHVYYRWKLYSI LQGDSPTKWRTEDFRMFKNGSFWRPPPLNPYLHGMSEEQETEAFVEEPSKKGALKEEQRD KLEEILRGLTPRKNDIGDAMVFCLNNAEAAEEIVDCITESLSILKTPLPKKIARLYLVSD VLYNSSAKVANASYYRKFFETKLCQIFSDLNATYRTIQGHLQSENFKQRVMTCFRAWEDW AIYPEPFLIKLQNIFLGLVNIIEEKETEDVPDDLDGAPIEEELDGAPLEDVDGIPIDATP IDDLDGVPIKSLDDDLDGVPLDATEDSKKNEPIFKVAPSKWEAVDESELEAQAVTTSKWE LFDQHEESEEEENQNQEEESEDEEDTQSSKSEEHHLYSNPVREEATESKFSKYSEMSEEK RAKLREIELKVMKFQDELESGKRPKKPGQSFQEQVEHYRDKLLQREKEKELERERERDKK DKEKLESRSKDKKEKDECTPTRKERKRRHSTSPSPSRSSSGRRVKSPSPKSERSERSERS HKESSRSRSSHKDSPRDASKKAKRSPSGSRTPKRSRRSRSRSPKKSGKKSRSQSRSPHRS HKKSKKNKH >ENSMUSP00000077482.6 pep:known chromosome:GRCm38:9:95460978:95511996:-1 gene:ENSMUSG00000032407.13 transcript:ENSMUST00000078374.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2surp description:U2 snRNP-associated SURP domain containing [Source:MGI Symbol;Acc:MGI:1915208] MADKTPGGSQKASSKNRSSDVHSSGSSDAHPLLENKLKAFSIGKMSTAKRTLSKKEQEEL KKKEDEKAAAEIYEEFLAAFEGSDGNKVKTFVRGGVVNAAKDEHETDEKRGKIYKPSSRF ADQKNPPNQSSNERPPSLLVIETKKPPLKKGEKEKKKSNLELFKEELKQIQEERDERHKT KGRLSRFEPPQSDSDGQRRSMDVPSRRNRSSGVLDDYAPGSHDVGDPSTTNLYLGNINPQ MNEEMLCQEFGRFGPLASVKIMWPRTDEERARERNCGFVAFMNRRDAERALKNLNGKMIM SFEMKLGWGKAVPIPPHPIYIPPSMMEHTLPPPPSGLPFNAQPRERLKNPNAPMLPPPKN KEDFEKTLSQAIVKVVIPTERNLLALIHRMIEFVVREGPMFEAMIMNREINNPMFRFLFE NQTPAHVYYRWKLYSILQGDSPTKWRTEDFRMFKNGSFWRPPPLNPYLHGMSEEQETEAF VEEPSKKGALKEEQRDKLEEILRGLTPRKNDIGDAMVFCLNNAEAAEEIVDCITESLSIL KTPLPKKIARLYLVSDVLYNSSAKVANASYYRKFFETKLCQIFSDLNATYRTIQGHLQSE NFKQRVMTCFRAWEDWAIYPEPFLIKLQNIFLGLVNIIEEKETEDVPDDLDGAPIEEELD GAPLEDVDGIPIDATPIDDLDGVPIKSLDDDLDGVPLDATEDSKKNEPIFKVAPSKWEAV DESELEAQAVTTSKWELFDQHEESEEEENQNQEEESEDEEDTQSSKSEEHHLYSNPVREE ATESKFSKYSEMSEEKRAKLREIELKVMKFQDELESGKRPKKPGQSFQEQVEHYRDKLLQ REKEKELERERERDKKDKEKLESRSKDKKEKDECTPTRKERKRRHSTSPSPSRSSSGRRV KSPSPKSERSERSERSHKESSRSRSSHKDSPRDASKKAKRSPSGSRTPKRSRRSRSRSPK KSGKKSRSQSRSPHRSHKKSKKNKH >ENSMUSP00000140614.1 pep:known chromosome:GRCm38:9:95461354:95511995:-1 gene:ENSMUSG00000032407.13 transcript:ENSMUST00000191213.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2surp description:U2 snRNP-associated SURP domain containing [Source:MGI Symbol;Acc:MGI:1915208] MADKTPGGSQKASSKNRSSDVHSSGSSDAHMDASGPSDSDMPSRTRPKSPRKHNYRNESS RESLCDSPHQNLSRPLLENKLKAFSIGKMSTAKRTLSKKEQEELKKKEDEKAAAEIYEEF LAAFEGSDGNKVKTFVRGGVVNAAKDEHETDEKRGKIYKPSSRFADQKNPPNQSSNERPP SLLVIETKKPPLKKGEKEKKKSNLELFKEELKQIQEERDERHKTKGRLSRFEPPQSDSDG QRRSMDVPSRRNRSSGVLDDYAPGSHDVGDPSTTNLYLGNINPQMNEEMLCQEFGRFGPL ASVKIMWPRTDEERARERNCGFVAFMNRRDAERALKNLNGKMIMSFEMKLGWGKAVPIPP HPIYIPPSMMEHTLPPPPSGLPFNAQPRERLKNPNAPMLPPPKNKEDFEKEFTRPDTPND RICCT >ENSMUSP00000140525.1 pep:known chromosome:GRCm38:9:95493108:95511859:-1 gene:ENSMUSG00000032407.13 transcript:ENSMUST00000185515.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2surp description:U2 snRNP-associated SURP domain containing [Source:MGI Symbol;Acc:MGI:1915208] XADKTPGGSQKASSKNRSSDVHSSGSSDAHFLVLWMSYLKPFLKLYLKMDASGPSDSDMP SRTRPKSPRKHNYRNESSRESLCDSPHQNLSRPLLENKLKAFSIGKMSTAKRTLSKKEQE ELKKKEDEKAAAEIYEEFLAAFEGSDGNKVKTFVRGGVVNAAKDEHETDEKRGKIYKPSS RFADQKNPPNQSSNERPPSLLVIET >ENSMUSP00000140331.1 pep:known chromosome:GRCm38:9:95493726:95511856:-1 gene:ENSMUSG00000032407.13 transcript:ENSMUST00000190019.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2surp description:U2 snRNP-associated SURP domain containing [Source:MGI Symbol;Acc:MGI:1915208] XDKTPGGSQKASSKPLLENKLKAFSIGKMSTAKRTLSKKEQEELKKKEDEKAAAEIYEEF LAAFEGSDGNKVKTFVRG >ENSMUSP00000107914.2 pep:known chromosome:GRCm38:9:108049242:108052801:1 gene:ENSMUSG00000070284.10 transcript:ENSMUST00000112295.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppb description:GDP-mannose pyrophosphorylase B [Source:MGI Symbol;Acc:MGI:2660880] MKALILVGGYGTRLRPLTLSTPKPLVDFCNKPILLHQVEALAAAGVDHVILAVSYMSQML EKEMKAQEQRLGIRISMSHEEEPLGTAGPLALARDLLSETADPFFVLNSDVICDFPFQAM VQFHRHHGQEGSILVTKVEEPSKYGVVVCEADTGRIHRFVEKPQVFVSNKINAGMYILSP AVLQRIQLKPTSIEKEIFPVMAKEGQLYAMELQGFWMDIGQPKDFLTGMCLFLQSLRQKH PERLYSGPGIVGNVLVDPSARIGQNCSIGPNVSLGPGVVVEDGVCIRRCTVLRDAHIRSH SWLESCIVGWRCRVGQWVRMENVTVLGEDVIVNDELYLNGASVLPHKSIGESVPEPRIIM >ENSMUSP00000036898.7 pep:known chromosome:GRCm38:9:108049325:108051702:1 gene:ENSMUSG00000070284.10 transcript:ENSMUST00000047947.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppb description:GDP-mannose pyrophosphorylase B [Source:MGI Symbol;Acc:MGI:2660880] MKALILVGGYGTRLRPLTLSTPKPLVDFCNKPILLHQVEALAAAGVDHVILAVSYMSQML EKEMKAQEQRLGIRISMSHEEEPLGTAGPLALARDLLSETADPFFVLNSDVICDFPFQAM VQFHRHHGQEGSILVTKVEEPSKYGVVVCEADTGRIHRFVEKPQVFVSNKINAGMYILSP AVLQRIQLKPTSIEKEIFPVMAKEGQLYAMELQGFWMDIGQPKDFLTGMCLFLQSLRQKH PERLYSGPGIVGNVLVDPSARIGQNCSIGPNVSLGPGVVVEDGVCIRRCTVLRDAHIRSH SWLESCIVGWRCRVGQWVRMENVTVLGEDVIVNDELYLNGASVLPHKSIGESVPEPRIIM >ENSMUSP00000109484.2 pep:known chromosome:GRCm38:2:28840459:28905571:1 gene:ENSMUSG00000026806.15 transcript:ENSMUST00000113853.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx31 description:DEAD/H (Asp-Glu-Ala-Asp/His) box polypeptide 31 [Source:MGI Symbol;Acc:MGI:2682639] MKRQAEATKRKHQVSSDAPPAKRRSEISSVLAKKASDKETQRTFKGSTHKTFPPKKYLDS IGNGRQEEKPCIKTSSLFKNNPEIPELHSTVVKQAREQVFSPEAFQELDLHPHLISTINT VLKMSSMTSVQKQSIPVLLEGRDALVRSQTGSGKTLAYCVPVVQSLQALTSKIQRSDGPY ALVLVPTRELALQSFDTVQKLLKPFTWIVPGVLMGGEKRKSEKARLRKGINILISTPGRL VDHIKSTKNLHFNRIRWLIVDEADRILDLGFEKDITVILNAVNAECQKRQNVLLSATLTE GVTRLVDISLHNPVSISVLDKNCNQPNPKEVASIQLNSFAIPESLDQHVVLVPSKLRLVC LAAFILQKCKFEKNQKMIVFFSSCELVEFHYSLFLHTLLCHSGTPTSEHLPSASWPLKFL RLHGNMEQEERTSVFHEFSHSETGVLLCTDVASRGLDLPQVTWIVQYSAPSSPAEYIHRI GRTARIGCHGSSLLILAPSEAEYVNSLASHKINVGEIKMEDILAVLAKDDCFKRRQRGAQ KSRASGPQEIRERATVLQTVFEDYVHSSQRMVSWAKKALQSFIRAYATYPKELKSIFHVR ALHLGHVAKSFGLRDAPRNLSVSAVKKASLKRPHPRRKTQRKQHLVPAEVLHSEHSSGLE GGATKCRKQGKQQGLQVAPSKPGPWRE >ENSMUSP00000044033.6 pep:known chromosome:GRCm38:12:103646630:103657212:-1 gene:ENSMUSG00000060807.7 transcript:ENSMUST00000044159.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina6 description:serine (or cysteine) peptidase inhibitor, clade A, member 6 [Source:MGI Symbol;Acc:MGI:88278] MSLALYTCLFWLCTSGLWTTQAVTDEDSSSHRDLAPTNVDFAFNLYKRLVALNSDKNTLI SPVSISMALAMLSLSTRGSTQYLENLGFNMSKMSEAEIHQGFQYLNSLLQQSDTGLEMNM GNVMFLLQNLKLKDSFLADTKHYYESEALTIPSKDWTKAGEQINNHVKNKTQGKIEHVVS DLDSSATLILINYIFLKGIWKLPFSPENTREEDFYVNETSTVKVPMMVQSGNISYFRDSA IPCQMVQMNYVGNGTTFIILPDQGQMDTVVAALNRDTIDRWGKLMIPRQMNLYIPKFSMS DTYDLQDVLADVGIKDLFTNQSDFADTTKDTPLTLTVLHKAMLQLDEGNVLPAATNGPPV HLPSESFTLKYNRPFIFLAFDKYTWSSLMMSQVMNPA >ENSMUSP00000119290.1 pep:known chromosome:GRCm38:12:103654374:103657102:-1 gene:ENSMUSG00000060807.7 transcript:ENSMUST00000152517.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina6 description:serine (or cysteine) peptidase inhibitor, clade A, member 6 [Source:MGI Symbol;Acc:MGI:88278] MSLALYTCLFWLCTSGLWTTQAVTDEDSSSHRDLAPTN >ENSMUSP00000033671.6 pep:known chromosome:GRCm38:X:159255858:159368244:1 gene:ENSMUSG00000031309.15 transcript:ENSMUST00000033671.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka3 description:ribosomal protein S6 kinase polypeptide 3 [Source:MGI Symbol;Acc:MGI:104557] MPLAQLADPWQKMAVESPSDSAENGQQIMDEPMGEEEINPQTEEGSIKEIAITHHVKEGH EKADPSQFELLKVLGQGSFGKVFLVKKISGSDARQLYAMKVLKKATLKVRDRVRTKMERD ILVEVNHPFIVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALA LDHLHSLGIIYRDLKPENILLDEEGHIKLTDFGLSKESIDHEKKAYSFCGTVEYMAPEVV NRRGHTQSADWWSFGVLMFEMLTGTLPFQGKDRKETMTMILKAKLGMPQFLSPEAQSLLR MLFKRNPANRLGAGPDGVEEIKRHSFFSTIDWNKLYRREIHPPFKPATGRPEDTFYFDPE FTAKTPKDSPGIPPSANAHQLFRGFSFVAITSDDESQAMQTVGVHSIVQQLHRNSIQFTD GYEVKEDIGVGSYSVCKRCIHKATNMEFAVKIIDKSKRDPTEEIEILLRYGQHPNIITLK DVYDDGKYVYVVTELMKGGELLDKILRQKFFSEREASAVLFTITKTVEYLHAQGVVHRDL KPSNILYVDESGNPESIRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDAACD IWSLGVLLYTMLTGYTPFANGPDDTPEEILARIGSGKFSLSGGYWNSVSDTAKDLVSKML HVDPHQRLTAALVLRHPWIVHWDQLPQYQLNRQDAPHLVKGAMAATYSALNRNQSPVLEP VGRSTLAQRRGIKKITSTAL >ENSMUSP00000108112.1 pep:known chromosome:GRCm38:X:159210307:159364502:1 gene:ENSMUSG00000031309.15 transcript:ENSMUST00000112493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka3 description:ribosomal protein S6 kinase polypeptide 3 [Source:MGI Symbol;Acc:MGI:104557] MDEPMGEEEINPQTEEGSIKEIAITHHVKEGHEKADPSQFELLKVLGQGSFGKVFLVKKI SGSDARQLYAMKVLKKATLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQTEGKLYLILD FLRGGDLFTRLSKEVMFTEEDVKFYLAELALALDHLHSLGIIYRDLKPENILLDEEGHIK LTDFGLSKESIDHEKKAYSFCGTVEYMAPEVVNRRGHTQSADWWSFGVLMFEMLTGTLPF QGKDRKETMTMILKAKLGMPQFLSPEAQSLLRMLFKRNPANRLGAGPDGVEEIKRHSFFS TIDWNKLYRREIHPPFKPATGRPEDTFYFDPEFTAKTPKDSPGIPPSANAHQLFRGFSFV AITSDDESQAMQTVGVHSIVQQLHRNSIQFTDGYEVKEDIGVGSYSVCKRCIHKATNMEF AVKIIDKSKRDPTEEIEILLRYGQHPNIITLKDVYDDGKYVYVVTELMKGGELLDKILRQ KFFSEREASAVLFTITKTVEYLHAQGVVHRDLKPSNILYVDESGNPESIRICDFGFAKQL RAENGLLMTPCYTANFVAPEVLKRQGYDAACDIWSLGVLLYTMLTGYTPFANGPDDTPEE ILARIGSGKFSLSGGYWNSVSDTAKDLVSKMLHVDPHQRLTAALVLRHPWIVHWDQLPQY QLNRQDAPHLVKGAMAATYSALNRNQSPVLEPVGRSTLAQRRGIKKITSTAL >ENSMUSP00000118007.1 pep:known chromosome:GRCm38:X:159255782:159311525:1 gene:ENSMUSG00000031309.15 transcript:ENSMUST00000126686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka3 description:ribosomal protein S6 kinase polypeptide 3 [Source:MGI Symbol;Acc:MGI:104557] MDEPMGEEEINPQTEEGSIKEIAITHHVKEGHEKA >ENSMUSP00000108110.1 pep:known chromosome:GRCm38:X:159303281:159330877:1 gene:ENSMUSG00000031309.15 transcript:ENSMUST00000112491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka3 description:ribosomal protein S6 kinase polypeptide 3 [Source:MGI Symbol;Acc:MGI:104557] MFKFLSFRVRPIQEEGSIKEIAITHHVKEGHEKADPSQFELLKVLGQGSFGKVFLVKKIS GSDARQLYAMKVLKKATLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQTEGKLYLILDF LRGGDLFTRLSKEVMFTEEDVKFYLAELALALDHLHSLGIIYRDLKPENILLDEEGHIKL TDFGLSKESIDHEKKAYSFCGTVEYMAPEVV >ENSMUSP00000108111.1 pep:known chromosome:GRCm38:X:159255925:159328374:1 gene:ENSMUSG00000031309.15 transcript:ENSMUST00000112492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka3 description:ribosomal protein S6 kinase polypeptide 3 [Source:MGI Symbol;Acc:MGI:104557] MDEPMGEEEINPQTEEGSIKEIAITHHVKEGHEKADPSQFELLKVLGQGSFGKVFLVKKI SGSDARQLYAMKVLKKATLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQTEGKLYLILD FLRGGDLFTRLSKEV >ENSMUSP00000123059.1 pep:known chromosome:GRCm38:X:159256126:159328464:1 gene:ENSMUSG00000031309.15 transcript:ENSMUST00000148570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka3 description:ribosomal protein S6 kinase polypeptide 3 [Source:MGI Symbol;Acc:MGI:104557] LAQLADPWQKMAVESPSDSAEEEGSIKEIAITHHVKEGHEKADPSQFELLKVLGQGSFGK VFLVKKISGSDARQLYAMKVLKKATLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQTEG KLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALALDHLHSLGIIYRD >ENSMUSP00000115271.1 pep:known chromosome:GRCm38:X:159276276:159326872:1 gene:ENSMUSG00000031309.15 transcript:ENSMUST00000156648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka3 description:ribosomal protein S6 kinase polypeptide 3 [Source:MGI Symbol;Acc:MGI:104557] XFLQNVRLLEVLEGSISHSAVINEELILNPVTLNGQQIMDEPMGEEEINPQTEEGSIKEI AITHHVKEGHEKADPSQFELLKVLGQGSFGKVFLVKKISGSDARQLYAMKVLKKATLKVR DRVRTKMER >ENSMUSP00000101011.1 pep:known chromosome:GRCm38:10:80053488:80056439:1 gene:ENSMUSG00000075706.10 transcript:ENSMUST00000105372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx4 description:glutathione peroxidase 4 [Source:MGI Symbol;Acc:MGI:104767] MSWGRLSRLLKPALLCGALAAPGLAGTMCASRDDWRCARSMHEFSAKDIDGHMVCLDKYR GFVCIVTNVASQUGKTDVNYTQLVDLHARYAECGLRILAFPCNQFGRQEPGSNQEIKEFA AGYNVKFDMYSKICVNGDDAHPLWKWMKVQPKGRGMLGNAIKWNFTKFLIDKNGCVVKRY GPMEEPQVIEKDLPCYL >ENSMUSP00000094863.3 pep:known chromosome:GRCm38:10:80054037:80056439:1 gene:ENSMUSG00000075706.10 transcript:ENSMUST00000097227.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx4 description:glutathione peroxidase 4 [Source:MGI Symbol;Acc:MGI:104767] MGRAAARKRGRCRQRGGSPRGRRRRGPGRQSPRKRPGPRRRKARARRRRRARPRRMEPIP EPFNPGPLLQEPPQYCNSSEFLGLCASRDDWRCARSMHEFSAKDIDGHMVCLDKYRGFVC IVTNVASQUGKTDVNYTQLVDLHARYAECGLRILAFPCNQFGRQEPGSNQEIKEFAAGYN VKFDMYSKICVNGDDAHPLWKWMKVQPKGRGMLGNAIKWNFTKFLIDKNGCVVKRYGPME EPQVIEKDLPCYL >ENSMUSP00000138186.1 pep:known chromosome:GRCm38:10:80054465:80056394:1 gene:ENSMUSG00000075706.10 transcript:ENSMUST00000183037.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx4 description:glutathione peroxidase 4 [Source:MGI Symbol;Acc:MGI:104767] MHEFSAKDIDGHMVCLDKYRGFVCIVTNVASQUGKTDVNYTQLVDLHARYAECGLRILAF PCNQFGRQEPGSNQEIKEFAAGYNVKFDMYSKICVNGDDAHPLWKWMKVQPKGRGMLGNA IKWNFTKFLIDKNGCVVKRYGPMEEPQVIEKDLPCYL >ENSMUSP00000019939.5 pep:known chromosome:GRCm38:10:42502031:42535370:1 gene:ENSMUSG00000019804.12 transcript:ENSMUST00000019939.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx3 description:sorting nexin 3 [Source:MGI Symbol;Acc:MGI:1860188] MAETVADTRRLITKPQNLNDAYGPPSNFLEIDVSNPQTVGVGRGRFTTYEIRVKTNLPIF KLKESTVRRRYSDFEWLRSELERESKVVVPPLPGKAFLRQLPFRGDDGIFDDNFIEERKQ GLEQFINKVAGHPLAQNERCLHMFLQDEIIDKSYTPSKIRHA >ENSMUSP00000101139.1 pep:known chromosome:GRCm38:10:42502030:42534787:1 gene:ENSMUSG00000019804.12 transcript:ENSMUST00000105500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx3 description:sorting nexin 3 [Source:MGI Symbol;Acc:MGI:1860188] MAETVADTRRLITKPQNLNDAYGPPSNFLEIDTNLPIFKLKESTVRRRYSDFEWLRSELE RESKVVVPPLPGKAFLRQLPFRGDDGIFDDNFIEERKQGLEQFINKVAGHPLAQNERCLH MFLQDEIIDKSYTPSKIRHA >ENSMUSP00000101138.1 pep:known chromosome:GRCm38:10:42502290:42535381:1 gene:ENSMUSG00000019804.12 transcript:ENSMUST00000105499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx3 description:sorting nexin 3 [Source:MGI Symbol;Acc:MGI:1860188] MAETVADTRRLITKPQNLNDAYGPPSNFLEIDVSNPQTVGVGRGRFTTYEIRVKVVVPPL PGKAFLRQLPFRGDDGIFDDNFIEERKQGLEQFINKVAGHPLAQNERCLHMFLQDEIIDK SYTPSKIRHA >ENSMUSP00000123102.1 pep:known chromosome:GRCm38:17:17064113:17089628:1 gene:ENSMUSG00000096696.1 transcript:ENSMUST00000127027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp960 description:zinc finger protein 960 [Source:MGI Symbol;Acc:MGI:3052731] MMRILSLPTENAVTYYDVLVSFTQEEWALLDPSQKSLYKDVMLETYRNLTAIGYNWEEDN IEEDCENSGRPTRHLQRDGPSNTGEKPFECIQYDGAFARNHHQLHKDTGSFMSYTDRQIH RRPHTEEKVYDGNQCGKTFSCHNHFEIRKGTYTGEKPYECNQCGKAFARHSNLLDHKRIH TGEKPYKCKQCGKDFTHHSTLHIHKRIHTREKTYKCNQCMTIAHLQCHKITHTGEKPYAC KKCGKTFAYHKTLQIHERTHTGEKLYQCNQCAKAFPYHRTLQIHERTHTGEKPYECNQCG KAFACLRNLQNHKTTHTGEKPYECNQCGRAFRQYVYLQCHERIHTGEKPFECNQCGKAFA RHSTLQRHKRTHTGEKPYECNQCGKAFACPRYLQIHKRTHTGEKPYECNQCGKAFACHRY LQIHKRTHTGERPYECNQCGKAFTCRSNLQIHKRTHTGERPYECNQCGKAFTCRSNLQIH KRTHTGEKPYECNQCGKAFTQFFPLKRHEITHTKEKPYECNQCGKAFTRHSTLQIHKRTH TGEKPV >ENSMUSP00000015620.6 pep:known chromosome:GRCm38:17:34629533:34633126:1 gene:ENSMUSG00000015476.6 transcript:ENSMUST00000015620.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrt1 description:proline-rich transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1932118] MSSEKSGLPDSVPHTSPPPYNAPQPPAEPPIPPPQTAPSSHHHHHHHYHQSGTATLPRLG AGGLASAAASAQRGPSSSATLPRPPHHAPPGPAAGAPPPGCATLPRMPPDPYLQETRFEG PLPPPPPAAAAPPPPAPAPTAQAPGFVVPTHAGAVGTLPLGGYVAPGYPLQLQPCTAYVP VYPVGTPYAGGTPGGPGVTSTLPPPPQGPGLALLEPRRPPHDYMPIAVLTTICCFWPTGI IAIFKAVQVRTALARGDLVSAEIASREARNFSFISLAVGIAAMVLCTILTVVIIIAAQHH ENYWDP >ENSMUSP00000120143.1 pep:known chromosome:GRCm38:16:4750348:4770097:-1 gene:ENSMUSG00000004071.12 transcript:ENSMUST00000147225.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdip1 description:cell death inducing Trp53 target 1 [Source:MGI Symbol;Acc:MGI:1913876] GGPTAPLLEEKSGAPLTPGRTSPAVMQPPPGMPLPSADIAPPPYEPPGQPVPQPGFVPPH MNADGTYMPAGFYPPPGPHPPMGYYPPGPYPPGPYPGPGGHTATVLVPSGAATTVTVLQG EIFEGAPVQTVCPHCQQAITTKISYEIGLMNFVLGFFCCFMGKN >ENSMUSP00000004173.5 pep:known chromosome:GRCm38:16:4765461:4789990:-1 gene:ENSMUSG00000004071.12 transcript:ENSMUST00000004173.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdip1 description:cell death inducing Trp53 target 1 [Source:MGI Symbol;Acc:MGI:1913876] MSNEPPPPYPGGPTAPLLEEKSGAPLTPGRTSPAVMQPPPGMPLPSADIAPPPYEPPGQP VPQPGFVPPHMNADGTYMPAGFYPPPGPHPPMGYYPPGPYPPGPYPGPGGHTATVLVPSG AATTVTVLQGEIFEGAPVQTVCPHCQQAITTKISYEIGLMNFVLGFFCCFMGCDLGCCLI PCLINDFKDVTHTCPSCKAYICTYKRLC >ENSMUSP00000113889.1 pep:known chromosome:GRCm38:16:4766841:4790292:-1 gene:ENSMUSG00000004071.12 transcript:ENSMUST00000118703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdip1 description:cell death inducing Trp53 target 1 [Source:MGI Symbol;Acc:MGI:1913876] MSNEPPPPYPGGPTAPLLEEKSGAPLTPGRTSPAVMQPPPGMPLPSADIAPPPYEPPGQP VPQPGFVPPHMNADGTYMPAGFYPPPGPHPPMGYYPPGPYPPGPYPGPGGHTATVLVPSG AATTVTVLQGEIFEGAPVQTVCPHCQQAITTKISYEIGLMNFVLGFFCCFMGCDLGCCLI PCLINDFKDVTHTCPSCKAYICTYKRLC >ENSMUSP00000113618.1 pep:known chromosome:GRCm38:16:4767339:4789930:-1 gene:ENSMUSG00000004071.12 transcript:ENSMUST00000117713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdip1 description:cell death inducing Trp53 target 1 [Source:MGI Symbol;Acc:MGI:1913876] MSNEPPPPYPGGPTAPLLEEKSGAPLTPGRTSPAVMQPPPGMPLPSADIAPPPYEPPGQP VPQPGFVPPHMNADGTYMPAGPYPPGPYPGPGGHTATVLVPSGAATTVTVLQGEIFEGAP VQTVCPHCQQAITTKISYEIGLMNFVLGFFCCFMGCDLGCCLIPCLINDFKDVTHTCPSC KAYICTYKRLC >ENSMUSP00000042701.4 pep:known chromosome:GRCm38:5:33213927:33218455:-1 gene:ENSMUSG00000037379.7 transcript:ENSMUST00000046186.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spon2 description:spondin 2, extracellular matrix protein [Source:MGI Symbol;Acc:MGI:1923724] MENVSLALGRALWVFLLAMIGSTTSQPLGGESVCTARPLARYSITFIGKWSQTAFPKQYP LFRPPAQWSSLLGAAHSSDYSMWRKNEYVSNGLRDFAERGEAWALMKEIEAAGEKLQSVH AVFSAPAIPSGTGQTSTELEVHPRHSLVSFVVRIVPSPDWFVGIDSLDLCEGGRWKEQVV LDLYPHDAGTDSGFTFSSPNFATIPQDTVTEITASSPSHPANSFYYPRLKSLPPIAKVTF VRLQQSPRAFAPPSLDLASRGNEIVDSLSVPETPLDCEVSLWSSWGLCGGPCGKLGAKSR TRYVRVQPANNGTPCPELEEEAECAPDNCV >ENSMUSP00000144416.1 pep:known chromosome:GRCm38:5:33216429:33218195:-1 gene:ENSMUSG00000037379.7 transcript:ENSMUST00000201475.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spon2 description:spondin 2, extracellular matrix protein [Source:MGI Symbol;Acc:MGI:1923724] MENVSLALGRALWVFLLAMIGSTTSQPLGGESVCTARPLARYSITFIGKWSQTAFPKQYP LFRPPAQWSSLLGAAHSSDYSMWRKNEYVSNGLRDFAERGEAWALMKEIEAAGEKLQSVH AVFSAPAIPSGTGQTSTELEVHPRHSLVSFVVRIVPSPDWFVGIDSLDLCEGGRWKEQV >ENSMUSP00000097456.2 pep:known chromosome:GRCm38:2:86958518:86959456:-1 gene:ENSMUSG00000075168.3 transcript:ENSMUST00000099871.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1099 description:olfactory receptor 1099 [Source:MGI Symbol;Acc:MGI:3030933] MNTLNYTFKPDFILMGLTDSKEVQLVLSVLFLLIYLVTVLGNIGMILIIRLDVQLHTPMY FFLTHLSFLDLSYSTVITPKTLENLLTSTKNISFMGCFTQMYFFVLLAATECFLLSSMAY DRYVAICKPLHYSVIMSKRFCSALLTGSYVFGAVDSTVNMLCMGTLDFCNSNVIHDFFCD TSPLIALSCSNTHDIEFIIFIFAGSTLLLSLITISASYLSILSTILKISSTSGKQKAFST CASHILAVTIFYGTMIFTHLKSNKSFSLGKDQVASVFYTIVIPMLNPLIYSLRNKEVKCA IDRIIKKREKGL >ENSMUSP00000136848.1 pep:known chromosome:GRCm38:7:22658018:22658941:1 gene:ENSMUSG00000094905.1 transcript:ENSMUST00000177632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r155 description:vomeronasal 1 receptor 155 [Source:MGI Symbol;Acc:MGI:3646821] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPNELKCKLDFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000071358.1 pep:known chromosome:GRCm38:7:108845064:108846008:-1 gene:ENSMUSG00000062434.1 transcript:ENSMUST00000071410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr516 description:olfactory receptor 516 [Source:MGI Symbol;Acc:MGI:3030350] MRRQNHNSTVEFILLGFSNYPELQGQMFGAFLVIYLVTVLGNAIIITIIFLDQSLHIPMY LFLQNLSLVDLCFSTVITPEILVVLTSEKATISFGGCFVQMYFILLFGGTECFLLGAMAY DRFAAICHPLSYPVIMNKSVFVKLVMFSWVSGTMMTTLQTTWVFSFPYCDHKEINHLFCE TPPVLELACADTFLFEVYAFTGTILIVMVPFLLILLSYTRILFSILRMPSTTGRQKAFST CASHLTSVTLFYGTASITYLQPKSRYSPDTKKLMSLAYTLLTPLLNPLIYSLRNKEMKRA VVKLWQRKVTLHTG >ENSMUSP00000092517.3 pep:known chromosome:GRCm38:2:86977829:86978794:-1 gene:ENSMUSG00000070875.4 transcript:ENSMUST00000094913.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1100 description:olfactory receptor 1100 [Source:MGI Symbol;Acc:MGI:3030934] MNTWNYTKESDFILMGLTDSKEIQLVLTVLFVLIYLVTVLGNAGMMLIIRLDAQLHTPMY FFLSHLSFLDLSYSTVITPKTLQNLLTSTKVISFIGCFTQMYAFVLLAAAECFLLASMAY DRYVAICNPLQYPVIMSTRFCSTLLTGSYMIGTMDSTVNIFCMNTLYFCRTKVIHHFFCD TSPILALSCSDTRNIQIIIFIFAGSTLVVSLITISASYVSILSTILKINSTSGKHKAFST CASHLLGVTVFYGTLIFTYLKPSNSYYSGKEQVASVFYTIVIPMLNPLIYSLRNKEVKSA IHRVIKKQKGSRLKFRVALSD >ENSMUSP00000048002.7 pep:known chromosome:GRCm38:11:96318267:96321638:1 gene:ENSMUSG00000038692.8 transcript:ENSMUST00000049241.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb4 description:homeobox B4 [Source:MGI Symbol;Acc:MGI:96185] MAMSSFLINSNYVDPKFPPCEEYSQSDYLPSDHSPGYYAGGQRRESGFQPEAAFGRRAPC TVQRYAACRDPGPPPPPPPPPPPPPPGLSPRAPVQPTAGALLPEPGQRSEAVSSSPPPPP CAQNPLHPSPSHSACKEPVVYPWMRKVHVSTVNPNYAGGEPKRSRTAYTRQQVLELEKEF HYNRYLTRRRRVEIAHALCLSERQIKIWFQNRRMKWKKDHKLPNTKIRSGGTAGAAGGPP GRPNGGPPAL >ENSMUSP00000097455.1 pep:known chromosome:GRCm38:2:86988242:86989174:-1 gene:ENSMUSG00000075167.1 transcript:ENSMUST00000099870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1101 description:olfactory receptor 1101 [Source:MGI Symbol;Acc:MGI:3030935] MENVTVSLFILRGLTDNAELQISLFFLFLMIYLFTLMGNIGLISVVIGDSQLHNPMYYFL GVLSFIDTCFSTIITPKMLIDFMSKRKVISFLGCVAQMFLAVSCGTTECFLLAAMAYDRY VAIYNPLLYAVNMSPRVYMSLIIASNVGGILHASIHTAATASLSFCDSNEIKHFFCDIPP LLAISCSDTKMNELLLFIFVSSIEVVTILIIIVSYSFILFAILKMHSAEGRQKVFSTCGS HLTGVSIYYGTIFFMYMRPSSSYTLEHDMIVSTFYAVVIPMLNPIIYSLRNKDVKKAMKR LLAKVFMSIR >ENSMUSP00000098428.4 pep:known chromosome:GRCm38:6:133104909:133107750:1 gene:ENSMUSG00000072704.6 transcript:ENSMUST00000100864.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim10l1 description:small integral membrane protein 10 like 1 [Source:MGI Symbol;Acc:MGI:1914379] MGPADAPSALALRAVGPAAATPYGVFCKGLSRTLLAFFELAWQLRMNFPYFYIAGSVILN IRLQVHI >ENSMUSP00000139452.1 pep:known chromosome:GRCm38:6:133105256:133110897:1 gene:ENSMUSG00000072704.6 transcript:ENSMUST00000191462.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim10l1 description:small integral membrane protein 10 like 1 [Source:MGI Symbol;Acc:MGI:1914379] MGPADAPSALALRAVGPAAATPYGVFCKGLSRTLLAFFELAWQLRMNFPYFYIAGSVILN IRLQGFSGNCCVFQASSRLMISLPSPFDY >ENSMUSP00000139832.1 pep:known chromosome:GRCm38:6:133105290:133110899:1 gene:ENSMUSG00000072704.6 transcript:ENSMUST00000187237.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smim10l1 description:small integral membrane protein 10 like 1 [Source:MGI Symbol;Acc:MGI:1914379] MGPADAPSALALRAVGPAAATPYGVFCKGLSRTLLAFFELAWQLRMNFPYFYIAGSVILN IRLQEIHLTSGGTRN >ENSMUSP00000139534.1 pep:known chromosome:GRCm38:6:133105492:133107429:1 gene:ENSMUSG00000072704.6 transcript:ENSMUST00000186945.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim10l1 description:small integral membrane protein 10 like 1 [Source:MGI Symbol;Acc:MGI:1914379] CKGLSRTLLAFFELAWQLRMNFPYFYIAGSVILNIRLQEIHLTSGGTRN >ENSMUSP00000024627.7 pep:known chromosome:GRCm38:17:15704967:15772610:1 gene:ENSMUSG00000023852.13 transcript:ENSMUST00000024627.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd1 description:chromodomain helicase DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:88393] MNGHSDEESVRNGSGESSQSGDDCGSASGSGSGSSSGSSSDGSSSQSGSSDSDSGSDSGS QSESESDTSRENKVQAKPPKVDGAEFWKSSPSILAVQRSAMLRKQPQQAQQQRPASSNSG SEEDSSSSEDSDDSSSGAKRKKHNDEDWQMSGSGSPSQSGSDSESEEERDKSSCDGTESD YEPKNKVRSRKPQNRSKSKNGKKILGQKKRQIDSSEDEDDEDYDNDKRSSRRQATVNVSY KEDEEMKTDSDDLLEVCGEDVPQPEDEEFETIERVMDCRVGRKGATGATTTIYAVEADGD PNAGFERNKEPGDIQYLIKWKGWSHIHNTWETEETLKQQNVRGMKKLDNYKKKDQETKRW LKNASPEDVEYYNCQQELTDDLHKQYQIVERIIAHSNQKSAAGLPDYYCKWQGLPYSECS WEDGALISKKFQTCIDEYFSRNQSKTTPFKDCKVLKQRPRFVALKKQPSYIGGHEGLELR DYQLNGLNWLAHSWCKGNSCILADEMGLGKTIQTISFLNYLFHEHQLYGPFLLVVPLSTL TSWQREIQTWASQMNAVVYLGDINSRNMIRTHEWMHPQTKRLKFNILLTTYEILLKDKAF LGGLNWAFIGVDEAHRLKNDDSLLYKTLIDFKSNHRLLITGTPLQNSLKELWSLLHFIMP EKFSSWEDFEEEHGKGREYGYASLHKELEPFLLRRVKKDVEKSLPAKVEQILRMEMSALQ KQYYKWILTRNYKALSKGSKGSTSGFLNIMMELKKCCNHCYLIKPPDNNEFYNKQEALQH LIRSSGKLILLDKLLIRLRERGNRVLIFSQMVRMLDILAEYLKYRQFPFQRLDGSIKGEL RKQALDHFNAEGSEDFCFLLSTRAGGLGINLASADTVVIFDSDWNPQNDLQAQARAHRIG QKKQVNIYRLVTKGSVEEDILERAKKKMVLDHLVIQRMDTTGKTVLHTGSAPSSSTPFNK EELSAILKFGAEELFKEPEGEEQEPQEMDIDEILKRAETHENEPGPLSVGDELLSQFKVA NFSNMDEDDIELEPERNSKNWEEIIPEEQRRRLEEEERQKELEEIYMLPRMRNCAKQISF NGSEGRRSRSRRYSGSDSDSISERKRPKKRGRPRTIPRENIKGFSDAEIRRFIKSYKKFG GPLERLDAIARDAELVDKSETDLRRLGELVHNGCVKALKDSSSGTERAGGRLGKVKGPTF RISGVQVNAKLVIAHEDELIPLHKSIPSDPEERKQYTIPCHTKAAHFDIDWGKEDDSNLL IGIYEYGYGSWEMIKMDPDLSLTHKILPDDPDKKPQAKQLQTRADYLIKLLSRDLAKREA QRLCGAGGSKRRKTRAKKSKAMKSIKVKEEIKSDSSPLPSEKSDEDDDKLNDSKPESKDR SKKSVVSDAPVHITASGEPVPIAEESEELDQKTFSICKERMRPVKAALKQLDRPEKGLSE REQLEHTRQCLIKIGDHITECLKEYSNPEQIKQWRKNLWIFVSKFTEFDARKLHKLYKHA IKKRQESQQNSDQNSNVATTHVIRNPDMERLKENTNHDDSSRDSYSSDRHLSQYHDHHKD RHQGDSYKKSDSRKRPYSSFSNGKDHREWDHYRQDSRYYSDREKHRKLDDHRSREHRPSL EGGLKDRCHSDHRSHSDHRMHSDHRSSSEHTHHKSSRDYRYLSDWQLDHRAASSGPRSPL DQRSPYGSRSPFEHSAEHRSTPEHTWSSRKT >ENSMUSP00000134091.1 pep:known chromosome:GRCm38:17:15704974:15752992:1 gene:ENSMUSG00000023852.13 transcript:ENSMUST00000173311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd1 description:chromodomain helicase DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:88393] MNGHSDEESVRNGSGESSQSGDDCGSASGSGSGSSSGSSSDGSSSQSGSSDSDSGSDSGS QSESESDTSRENKVQAKPPKVDGAEFWKSSPSILAVQRSAMLRKQPQQAQQQRPASSNSG SEEDSSSSEDSDDSSSGAKRKKHNDEDWQMSGSGSPSQSGSDSESEEERDKSSCDGTESD YEPKNKVRSRKPQNRSKSKNGKKILGQKKRQIDSSEDEDDEDYDNDKRSSRRQATVNVSY KEDEEMKTDSDDLLEVCGEDVPQPEDEEFETIERVMDCRVGRKGATGATTTIYAVEADGD PNAGFERNKEPGDIQYLIKWKGWSHIHNTWETEETLKQQNVRGMKKLDNYKKKDQETKRW LKNASPEDVEYYNCQQELTDDLHKQYQIVERIIAHSNQKSAAGLPDYYCKWQGLPYSECS WEDGALISKKFQTCIDEYFSRNQSKTTPFKDCKVLKQRPRFVALKKQPSYIGGHEGLELR DYQLNGLNWLAHSWCKGNSCILADEMGLGKTIQTISFLNYLFHEHQLYGPFLLVVPLSTL TSWQREIQTWASQMNAVVYLGDINSRNMIRTHEWMHPQTKRLKFNILLTTYEILLKDKAF LGGLNWAFIGVDEAHRLKNDDSLLYKTLIDFKSNHRLLITGTPLQNSLKELWSLLHFIMP EKFSSWEDFEEEHGKGREYGYASLHKELEPFLLRRVKKDVEKSLPAKVEQILRMEMSALQ KQYYKWILTRNYKALSKGSKGSTSGFLNIMMELKKCCNHCYLIKPPDNNEFYNKQEALQH LIRSSGKLILLDKLLIRLRERGNRVLIFSQMVRMLDILAEYLKYRQFPFQRLDGSIKGEL RKQALDHFNAEGSEDFCFLLSTRAGGLGINLASADTVVIFDSDWNPQNDLQAQARAHRIG QKKQVNIYRLVTKGSVEEDILERAKKKMVLDHLVIQRMDTTGKTVLHTGSAPSSSTPFNK EELSAILKFGAEELFKEPEGEEQEPQEMDIDEILKRAETHENEPGPLSVGDELLSQFKVA NFSNMDEDDIELEPERNSKNWEEIIPEEQRRRLEEEERQKELEEIYMLPRMRNCAKQVMS F >ENSMUSP00000134718.1 pep:known chromosome:GRCm38:17:15738535:15755348:1 gene:ENSMUSG00000023852.13 transcript:ENSMUST00000174461.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd1 description:chromodomain helicase DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:88393] XLKNDDSLLYKTLIDFKSNHRLLITGTPLQNSLKELWSLLHFIMPEKFSSWEDFEEEHGK GREYGYASLHKELEPFLLRRVKKDVEKSLPAKVEQILRMEMSALQKQYYKWILTRNYKAL SKGSKGSTSGFLNIMMELKKCCNHCYLIKPPDNNEFYNKQEALQHLIRSSGKLILLDKLL IRLRERGNRVLIFSQMVRMLDILAEYLKYRQFPFQRLDGSIKGELRKQALDHFNAEGSED FCFLLSTRAGGLGINLASADTVVIFDSDWNPQNDLQAQARAHRIGQKKQVNIYRLVTKGS VEEDILERAKKKMVLDHLVIQRMDTTGKTVLHTGSAPSSSTPFNKEELSAILKFGAEELF KEPEGEEQEPQEMDIDEILKRAETHENEPGPLSVGDELLSQFKVANFSNMDEDDIELEPE RNSKNWEEIIPEEQRRRLEEEERQKELEEIYMLPRMRNCAKQISFNGSEGRRSRSRRYSG SDSDSISERKRPKKRGRPRTIPRENIKGFSDAEIRR >ENSMUSP00000133406.1 pep:known chromosome:GRCm38:17:15756765:15761335:1 gene:ENSMUSG00000023852.13 transcript:ENSMUST00000173040.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chd1 description:chromodomain helicase DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:88393] XKSYKKFGGPLERLDAIARDAELVDKSETDLRRLGELVHNGCVKALKDSSSGTERAGGRL GKVKGPTFRISGVQVNAKLVIAHEDELIPLHKSIPSDPEERKQYTIPCHTKAAHFDIDWG KEDDSNLLIGIYEYGYGSWEMIKMDPDLSLTHKPPCIALSDSSR >ENSMUSP00000024620.6 pep:known chromosome:GRCm38:17:17374332:17394898:1 gene:ENSMUSG00000023852.13 transcript:ENSMUST00000024620.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd1 description:chromodomain helicase DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:88393] MGKVNVAKLRYMSRDDFRVLTAVEMGMKNHEIVPCSLIASIASLKHGGCNKILRELVKHK LIAWERTKTVQGYRLTNAGYDYLALKTLSSRQVVESVGNQMGVGKESDIYIVANEAGQQL ALKLHRLGRTSFRNLKNKRDYHKHRHNVSWLYLSRLSAMKEFAYMKALYERKFPVPKPID YNRHAVIMELINGYPLCQIHHVEDPASVYDEAMELIVKLGNHGLIHGDFNEFNLMLDKDD HITMIDFPQMVSTSHPNAEWYFDRDVKCIREFFMKRFSYESELYPTFSDIRKEDSLDVEV SASGYTKEMQADDELLHPVGPDDKITETEEDSDFTFSDEEMLEKAKVWRSELEKEADPAD ESGGSWCCSSTDSKQIKDGGLPEESAHVSSFEVTALSQAVEEMERQVLPHRSVTEFSEES RRTENDGQPGQRSPAGSEDCDDEPPHLIALSSVNREFRPFRDEESMSSVTRHRTRTLSVT SAGSALSCSTIPPELVKQKVKRQLTRQQKAAARRRLQKGEANVFTKQRRENMQNIKSSLE AASFWGD >ENSMUSP00000144892.1 pep:known chromosome:GRCm38:10:129132831:129138047:-1 gene:ENSMUSG00000047868.5 transcript:ENSMUST00000204250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr770 description:olfactory receptor 770 [Source:MGI Symbol;Acc:MGI:3030604] MRNHTVTTFILLGLTEDPQIQSLLLIFLLLTYLLNITGNLTIILLTLIDPHLKTPMYFFL QNFSFLEILFTSACIPRYLYNLATGDKTITYGACASQAFFTDLFGVTEFFLLATMSYDRY VAICKPLHYTTIMSTACRRLLLCCWVAGVIIILPPFSLSQNLQFCDSNIIDSFLCDVSPF LKISCSDTWVIEQMVIGCAVLTFITTLFCVVLSYVYIIKTILKFPSAQQRKKAFSTCSSH MIVVSITYGSCIFIYVKPSAKDSVAINKGVIVLTTSIAPMLNPFIYTLRNKQVKQAFNDS IKKIALECQNG >ENSMUSP00000144960.1 pep:known chromosome:GRCm38:10:129133377:129138790:-1 gene:ENSMUSG00000047868.5 transcript:ENSMUST00000204712.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr770 description:olfactory receptor 770 [Source:MGI Symbol;Acc:MGI:3030604] MRNHTVTTFILLGLTEDPQIQSLLLIFLLLTYLLNITGNLTIILLTLIDPHLKTPMYFFL QNFSFLEILFTSACIPRYLYNLATGDKTITYGACASQAFFTDLFGVTEFFLLATMSYDRY VAICKPLHYT >ENSMUSP00000144950.1 pep:known chromosome:GRCm38:10:129133444:129138794:-1 gene:ENSMUSG00000047868.5 transcript:ENSMUST00000203887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr770 description:olfactory receptor 770 [Source:MGI Symbol;Acc:MGI:3030604] MRNHTVTTFILLGLTEDPQIQSLLLIFLLLTYLLNITGNLTIILLTLIDPHLKTPMYFFL QNFSFLEILFTSACIPRYLYNLATGDKTITYGACASQAFFTDLFGVT >ENSMUSP00000055193.3 pep:known chromosome:GRCm38:10:129132831:129133766:-1 gene:ENSMUSG00000047868.5 transcript:ENSMUST00000062314.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr770 description:olfactory receptor 770 [Source:MGI Symbol;Acc:MGI:3030604] MRNHTVTTFILLGLTEDPQIQSLLLIFLLLTYLLNITGNLTIILLTLIDPHLKTPMYFFL QNFSFLEILFTSACIPRYLYNLATGDKTITYGACASQAFFTDLFGVTEFFLLATMSYDRY VAICKPLHYTTIMSTACRRLLLCCWVAGVIIILPPFSLSQNLQFCDSNIIDSFLCDVSPF LKISCSDTWVIEQMVIGCAVLTFITTLFCVVLSYVYIIKTILKFPSAQQRKKAFSTCSSH MIVVSITYGSCIFIYVKPSAKDSVAINKGVIVLTTSIAPMLNPFIYTLRNKQVKQAFNDS IKKIALECQNG >ENSMUSP00000133767.1 pep:known chromosome:GRCm38:5:37028335:37091447:1 gene:ENSMUSG00000063646.14 transcript:ENSMUST00000173836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip1 description:janus kinase and microtubule interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923321] MSKKGRSKGDKPEAETDSVQMANEELRAKLTNIQIEFQQEKSKVGKLRERLQEAKLEREQ EQRRHTAYISELKAKLHEEKTKELQALREALIRQHEQEAARTAKIKEGELQRLQATLNVL RDGAADKVKTALLADAREEARRTFDGERQR >ENSMUSP00000133913.1 pep:known chromosome:GRCm38:5:37029867:37091543:1 gene:ENSMUSG00000063646.14 transcript:ENSMUST00000172917.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip1 description:janus kinase and microtubule interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923321] MSKKGRSKGDKPEAETDSVQMANEELRAKLTNIQIEFQQEKSKVGKLRERLQEAKLEREQ EQRRHTAYISELKAKLHEEKTKELQALREALIRQHEQEAARTAKIKEGELQRLQATLNVL RDGAADKVKTALLADAREEARRTFDGERQRLQQEILELKAARKQAEEALSNCMQADKAKA AD >ENSMUSP00000133770.1 pep:known chromosome:GRCm38:5:37029887:37091242:1 gene:ENSMUSG00000063646.14 transcript:ENSMUST00000174097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip1 description:janus kinase and microtubule interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923321] MSKKGRSKGDKPEAETDSVQMANEELRAKLTNIQIEFQQEKSKVGKLRERLQEAKLEREQ EQRRHTAYISELKAKLHEEKT >ENSMUSP00000122584.1 pep:known chromosome:GRCm38:5:37047473:37104875:1 gene:ENSMUSG00000063646.14 transcript:ENSMUST00000137019.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip1 description:janus kinase and microtubule interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923321] MSKKGRSKGDKPEAETDSVQMANEELRAKLTNIQIEFQQEKSKVGKLRERLQEAKLEREQ EQRRHTAYISELKAKLHEEKTKELQALREALIRQHEQEAARTAKIKEGELQRLQATLNVL RDGAADKVKTALLADAREEARRTFDGERQRLQQEILELKAARKQAEEALSNCMQADKAKA ADLRAAYQAHQDEVHRIKRECERDIRRLMDEIKGKERVILALEKELGVQTGQTQRLLLQK EALDEQLVQVKEAERHHSSPKRELPPGIGDMAELMGGQDQHMDERDVRRFQLKIAELNSV IRKLEDRNTLLADERNELLKRSRETEVQLKPLVEKNKRM >ENSMUSP00000038504.4 pep:known chromosome:GRCm38:5:37050857:37125299:1 gene:ENSMUSG00000063646.14 transcript:ENSMUST00000043794.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip1 description:janus kinase and microtubule interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923321] MSKKGRSKGDKPEAETDSVQMANEELRAKLTNIQIEFQQEKSKVGKLRERLQEAKLEREQ EQRRHTAYISELKAKLHEEKTKELQALREALIRQHEQEAARTAKIKEGELQRLQATLNVL RDGAADKVKTALLADAREEARRTFDGERQRLQQEILELKAARKQAEEALSNCMQADKAKA ADLRAAYQAHQDEVHRIKRECERDIRRLMDEIKGKERVILALEKELGVQTGQTQRLLLQK EALDEQLVQVKEAERHHSSPKRELPPGIGDMAELMGGQDQHMDERDVRRFQLKIAELNSV IRKLEDRNTLLADERNELLKRSRETEVQLKPLVEKNKRMNKKNEELLHSIQRMEEKLKSL TRENVEMKEKLSAQASLKRHTSLNDLSLTRDEQEIEFLRLQVLEQQHVIDDLSLERERLL RSKRHRGKSLKPPKKHVVETFFGFDEESVDSETLSETSYNTDRTDRTPATPEEDLDETTT REEADLRFCQLTREYQALQRAYALLQEQVGGTLDAEREARTREQLQADLLRCQAKIEDLE KLLVEKGQDAAWVEEKQVLMRTNQDLLEKIYRLEMEENQLKSEMQDAKDQNELLEFRVLE LEVRDSICCKLSNGADILFEPKLKFM >ENSMUSP00000113624.2 pep:known chromosome:GRCm38:5:37085370:37148001:1 gene:ENSMUSG00000063646.14 transcript:ENSMUST00000121010.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip1 description:janus kinase and microtubule interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923321] MSKKGRSKGDKPEAETDSVQMANEELRAKLTNIQIEFQQEKSKVGKLRERLQEAKLEREQ EQRRHTAYISELKAKLHEEKTKELQALREALIRQHEQEAARTAKIKEGELQRLQATLNVL RDGAADKVKTALLADAREEARRTFDGERQRLQQEILELKAARKQAEEALSNCMQADKAKA ADLRAAYQAHQDEVHRIKRECERDIRRLMDEIKGKERVILALEKELGVQTGQTQRLLLQK EALDEQLVQVKEAERHHSSPKRELPPGIGDMAELMGGQDQHMDERDVRRFQLKIAELNSV IRKLEDRNTLLADERNELLKRSRETEVQLKPLVEKNKRMNKKNEELLHSIQRMEEKLKSL TRENVEMKEKLSAQASLKRHTSLNDLSLTRDEQEIEFLRLQVLEQQHVIDDLSLERERLL RSKRHRGKSLKPPKKHVVETFFGFDEESVDSETLSETSYNTDRTDRTPATPEEDLDETTT REEADLRFCQLTREYQALQRAYALLQEQVGGTLDAEREARTREQLQADLLRCQAKIEDLE KLLVEKGQDAAWVEEKQVLMRTNQDLLEKIYRLEMEENQLKSEMQDAKDQNELLEFRVLE LEERERRSPAFNLQITTFPENNSSALQLFCHQEGVKGVNISELMKNLDILGDNGNLRNEE QVAVIQAGTVLALCEKWLKQIEGTEAALTQKMMDLEKEKDQFSRQKGYLEQELDYRKEAL DQAYLKIQDLEATLYNALQQEPGRRASEALSASQREDLQAAVEKVRRQLLRQSREFDSQI LRERMELLQQAQQKIREMEGKLELQRRQLKELEEKFLFLFLFFSLAFILWP >ENSMUSP00000134288.1 pep:known chromosome:GRCm38:5:37085370:37148001:1 gene:ENSMUSG00000063646.14 transcript:ENSMUST00000174629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip1 description:janus kinase and microtubule interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923321] MSKKGRSKGDKPEAETDSVQMANEELRAKLTNIQIEFQQEKSKMDEIKGKERVILALEKE LGVQTGQTQRLLLQKEALDEQLVQVKEAERHHSSPKRELPPGIGDMAELMGGQDQHMDER DVRRFQLKIAELNSVIRKLEDRNTLLADERNELLKRSRETEVQLKPLVEKNKRMNKKNEE LLHSIQRMEEKLKSLTRENVEMKEKLSAQASLKRHTSLNDLSLTRDEQEIEFLRLQVLEQ QHVIDDLSLKHVVETFFGFDEESVDSETLSETSYNTDRTDRTPATPEEDLDETTTREEAD LRFCQLTREYQALQRAYALLQEQVGGTLDAEREARTREQLQADLLRCQAKIEDLEKLLVE KGQDAAWVEEKQVLMRTNQDLLEKIYRLEMEENQLKSEMQDAKDQNELLEFRVLELEERE RRSPAFNLQITTFPENNSSALQLFCHQEGVKGVNISELMKNLDILGDNGNLRNEEQVAVI QAGTVLALCEKWLKQIEGTEAALTQKMMDLEKEKDQFSRQKGYLEQELDYRKEALDQAYL KIQDLEATLYNALQQEPGRRASEALSASQREDLQAAVEKVRRQLLRQSREFDSQILRERM ELLQQAQQKIREMEGKLELQRRQLKELEEKFLFLFLFFSLAFILWP >ENSMUSP00000146844.1 pep:known chromosome:GRCm38:5:37141640:37150607:1 gene:ENSMUSG00000063646.14 transcript:ENSMUST00000208852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip1 description:janus kinase and microtubule interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923321] YNALQQEPGRRASEALSASQREDLQAAVEKVRRQLLRQSREFDSQILRERMELLQQAQQK IREMEGKLELQRRQLKELEEKFLFLFLFFSLAFILWP >ENSMUSP00000081805.2 pep:known chromosome:GRCm38:7:108868121:108869175:-1 gene:ENSMUSG00000066240.2 transcript:ENSMUST00000084753.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr517 description:olfactory receptor 517 [Source:MGI Symbol;Acc:MGI:3030351] MRGQNDSSVAEFILLGFSNYPELQRQMFGAFLVIYLVTLTGNALIMSVILLDRSLHIPMY LFLQNLSVVETGFSTTVMPEMLVVLTSEKATISFGGCFAQMYFILLFGGTECFLLGAMAY DRFAAICHPLSYPVIMNKRVFMTLVTCSWLSGTMMTTLQTIWVFSFPYCGSNEINHISCE TPAVLELACTDIFFFEIYAFTGTVLIILTPFVLILLSYIRILFSILKMPSTTGRQKAFST CASHLTSVTLFYGTASMTYLQPKSKYSPDTKKLMSLAYSLLTPLLNPLIYSLRNKEMKRA VVKLWERKVALHTT >ENSMUSP00000081664.2 pep:known chromosome:GRCm38:7:122670492:122769393:1 gene:ENSMUSG00000066189.9 transcript:ENSMUST00000084615.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng3 description:calcium channel, voltage-dependent, gamma subunit 3 [Source:MGI Symbol;Acc:MGI:1859165] MRMCDRGIQMLITTVGAFAAFSLMTIAVGTDYWLYSRGVCRTKSTSDNETSRKNEEVMTH SGLWRTCCLEGAFRGVCKKIDHFPEDADYEQDTAEYLLRAVRASSVFPILSVTLLFFGGL CVAASEFHRSRHSVILSAGIFFVSAGLSNIIGIIVYISANAGDPGQRDSKKSYSYGWSFY FGAFSFIIAEIVGVVAVHIYIEKHQQLRARSHSELLKKSTFARLPPYRYRFRRRSSSRST EPRSRDLSPISKGFHTIPSTDISMFTLSRDPSKLTMGTLLNSDRDHAFLQFHNSTPKEFK ESLHNNPANRRTTPV >ENSMUSP00000138495.1 pep:known chromosome:GRCm38:7:122671391:122768421:1 gene:ENSMUSG00000066189.9 transcript:ENSMUST00000182563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng3 description:calcium channel, voltage-dependent, gamma subunit 3 [Source:MGI Symbol;Acc:MGI:1859165] MRMCDRGIQMLITTVGAFAAFSLMTIAVGTDYWLYSRGVCRTKSTSDNETSRKNEEVMTH SGLWRTCCLEGAFRGVCKKIDHFPEDADYEQDTAEYLLRLSNIIGIIVYISANAGDPGQR DSKKSYS >ENSMUSP00000138755.1 pep:known chromosome:GRCm38:7:122671418:122768540:1 gene:ENSMUSG00000066189.9 transcript:ENSMUST00000182095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng3 description:calcium channel, voltage-dependent, gamma subunit 3 [Source:MGI Symbol;Acc:MGI:1859165] MRMCDRGIQMLITTVGAFAAFSLMTIAVGTDYWLYSRGVCRTKSTSDNETSRKNEEVMTH SGLWRTCCLEGAVRASSVFPILSVTLLFFGGLCVAASEFHRSRHSVILSAGIFFVSAGLS NIIGIIVYISANAGDPGQRDSKKSYSYGWSFYFGAFSFIIAEIVGVVAVHIYIEKHQQLR ARSHSE >ENSMUSP00000026461.7 pep:known chromosome:GRCm38:10:128015172:128030037:1 gene:ENSMUSG00000025395.14 transcript:ENSMUST00000026461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prim1 description:DNA primase, p49 subunit [Source:MGI Symbol;Acc:MGI:97757] MEPFDPAELPELLKLYYRRLFPYAQYYRWLNYGGVTKNYFQHREFSFTLKDDIYIRYQSF NNQSELEKEMQKMNPYKIDIGAVYSHRPNQHNTVKLGAFQAQEKELVFDIDMTDYDDVRR CCSSADICSKCWTLMTMAMRIIDRALKEDFGFKHRLWVYSGRRGVHCWVCDESVRKLSSA VRSGIVEYLSLVKGGQDVKKKVHLNEKVHPFVRKSINIIKKYFEEYALVGQDILENKENW DKILALVPETIHDELQRGFQKFHSSPQRWEHLRKVANSSQNMKNDKCGPWLEWEVMLQYC FPRLDVNVSKGVNHLLKSPFSVHPKTGRISVPIDFHKVDQFDPFTVPTISAICRELDMVS THEKEKEENEADSKHRVRGYKKTSLAPYVKVFEQFLENLDKSRKGELLKKSDLQKDF >ENSMUSP00000136556.1 pep:known chromosome:GRCm38:10:128015168:128029983:1 gene:ENSMUSG00000025395.14 transcript:ENSMUST00000178041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prim1 description:DNA primase, p49 subunit [Source:MGI Symbol;Acc:MGI:97757] MEPFDPAELPELLKLYYRRLFPYAQYYRWLNYGGVTKNYFQHREFSFTLKDDIYIRYQSF NNQSELEKEMQKMNPYKIDIGAVYSHRPNQHNTVKLGAFQAQEKELVFDIDMTDYDDVRR CCSSADICSKCWTLMTMAMRIIDRALKEDFGFKHRLWVYSGRRGVHCWVCDESVRKLSSA VRSGIVEYLSLVKGGQDVKKKVHLNEKVHPFVRKSINIIKKYFEEYALVGQDILENKENW DKILALVPETPIHDELQRGFQKFHSSPQRWEHLRKVANSSQNMKNDKCGPWLEWEVMLQY CFPRLDVNVSKGVNHLLKSPFSVHPKTGRISVPIDFHKVDQFDPFTVPTISAICRELDMV STHEKEKEENEADSKHRVRGYKKTSLAPYVKVFEQFLENLDKSRKGELLKKSDLQKDF >ENSMUSP00000030169.8 pep:known chromosome:GRCm38:4:43027690:43031710:-1 gene:ENSMUSG00000028455.15 transcript:ENSMUST00000030169.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stoml2 description:stomatin (Epb7.2)-like 2 [Source:MGI Symbol;Acc:MGI:1913842] MLARAARGTGALLLRGSVQASGRVPRRASSGLPRNTVILFVPQQEAWVVERMGRFHRILE PGLNVLIPVLDRIRYVQSLKEIVINVPEQSAVTLDNVTLQIDGVLYLRIMDPYKASYGVE DPEYAVTQLAQTTMRSELGKLSLDKVFRERESLNANIVDAINQAADCWGIRCLRYEIKDI HVPPRVKESMQMQVEAERRKRATVLESEGTRESAINVAEGKKQAQILASEAEKAEQINQA AGEASAVLAKAKAKAEAIRILAGALTQHNGDAAASLTVAEQYVSAFSKLAKDSNTVLLPS NPSDVTSMVAQAMGVYGALTKAPVPGAQNSSQSRRDVQATDTSIEELGRVKLS >ENSMUSP00000117586.1 pep:known chromosome:GRCm38:4:43028229:43031456:-1 gene:ENSMUSG00000028455.15 transcript:ENSMUST00000136326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stoml2 description:stomatin (Epb7.2)-like 2 [Source:MGI Symbol;Acc:MGI:1913842] MLARAARGTGALLLRGLNVLIPVLDRIRYVQSLKEIVINVPEQSAVTLDNVTLQIDGVLY LRIMDPYKASYGVEDPEYAVTQLAQTTMRSELGKLSLDKVFRERESLNANIVDAINQAAD CWGIRCLRYEIKDIHVPPRVKESMQMQVEAERRKRATVLESEGTRESAINVAEGKKQAQI LASEAEKAEQINQAAGEASAVLAKAKAKAEAIRILAGALTQHNGDAAASLTVAEQYVSAF SKLAKDSNTVLLPSNPSDVTSMVAQAMGVYGALTKAPVPGAQNSSQ >ENSMUSP00000123478.1 pep:known chromosome:GRCm38:4:43028241:43030593:-1 gene:ENSMUSG00000028455.15 transcript:ENSMUST00000135660.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stoml2 description:stomatin (Epb7.2)-like 2 [Source:MGI Symbol;Acc:MGI:1913842] XLEFKFTSLTLLRIYGSSSQGLNVLIPVLDRIRYVQSLKEIVINVPEQSAVTLDNVTLQI DGVLYLRIMDPYKASYGVEDPEYAVTQLAQTTMRSELGKLSLDKVFRERESLNANIVDAI NQAADCWGIRCLRYEIKDIHVPPRVKESMQMQVEAERRKRATVLESEGTRESAINVAEGK KQAQILASEAEKAEQINQAAGEASAVLAKAKAKAEAIRILAGALTQHNGDAAASLTVAEQ YVSAFSKLAKDSNTVLLPSNPSDVTSMVAQAMGVYGALTKAPVPGAQ >ENSMUSP00000118465.1 pep:known chromosome:GRCm38:4:43029597:43031370:-1 gene:ENSMUSG00000028455.15 transcript:ENSMUST00000138030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stoml2 description:stomatin (Epb7.2)-like 2 [Source:MGI Symbol;Acc:MGI:1913842] LARAARGTGALLLRPTLVFLQGSVQASGRVPRRASSGLPRNTVILFVPQQEAWVVERMGR FHRILEPGLNVLIPVLDRIRYVQSLKEIVINVPEQSAVTLDNVTLQIDGVLYLRIMDPYK ASYGVEDPEYAVTQLAQTTMRSELGKLSLDKVFRERESLNANIVDAINQAADCWGIRCLR YEIKDIHVPPRVKESMQMQVEAERRKRATVLES >ENSMUSP00000112692.1 pep:known chromosome:GRCm38:8:77549410:77581338:1 gene:ENSMUSG00000037134.16 transcript:ENSMUST00000118622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt10 description:protein arginine methyltransferase 10 (putative) [Source:MGI Symbol;Acc:MGI:2142651] MPNSRPRPRRGAGGGAGAAGRDRLVARSLQSAEHCLGDQDFGTAYAHYLLVLSLAPELKD DVKETFQYTLFKWAEELHALSRIQDLLGCYEQALELFPDDEVICNSMGEHLFRMGFRDEA AGYFHKAVKLNPDFNDAKENFYRVANWLVERWHFIMLNDTRRNMVYNAAIQKAVCLGSRT VLDIGTGTGILSMFAKKAGAQSVYACELSKTMYELACDVVAANKMENGIKLLHMKSLDIE IPKHIPERLSLVVTETVDAGVFGEGIVESLIHAWEHLLLQPKTKEENGNCGKYGKVIPAG AVIFGMAVECAEIRRHHRVGAKDIAGIHLPTNVKFQSPAYTSVDTEETVEPYTTEKMSGI PGGYLPLTECFQIMKVDFNNLQELKSLATKKPHSLNVPAIKEGVLDAIMVWFVLQLDDEY SLSTSPSEETCWEQAVYPVQALEDYCIQPGDRVTMEASCHDCYLRIQGISILHLEHEMEV MKGFTKSKDLLSLGNEAELCSALANLQTSRPEALEQTCMLEPTEIALLNNIPYHEGFKTA MRKVLSSLAPELLWQPMDTHCQYMEMNSGSGQSDAAPSTADPFYVLDVSEGFSLLPILAG TLGHVKPYSSVEKDQHCIALDLIAEANHFPKETLEFWLRHIEDEAAVLQRPKSDKLWSII ILDVIEPSGLIQQELMEKAAISRCLLQSGGKIFPQYVLMFGMLVESQTLVEESAVQGTEH TLGLNIAPFINQFQVPIRVCLDLSSLPCVPLSQPVELLRLDLMTPYLNTSNKEVKVRVCR SGRVTAVPFWFHLCLDDEVRLDTSGEASHWKQAAVVLDNPIQVQAGEELVLSVEHHKSNV SIAVKP >ENSMUSP00000050181.8 pep:known chromosome:GRCm38:8:77549397:77581338:1 gene:ENSMUSG00000037134.16 transcript:ENSMUST00000056237.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt10 description:protein arginine methyltransferase 10 (putative) [Source:MGI Symbol;Acc:MGI:2142651] MPNSRPRPRRGAGGGAGAAGRDRLVARSLQSAEHCLGDQDFGTAYAHYLLVLSLAPELKD DVKETFQYTLFKWAEELHALSRIQDLLGCYEQALELFPDDEVICNSMGEHLFRMGFRDEA AGYFHKAVKLNPDFNDAKENFYRVANWLVERWHFIMLNDTRRNMVYNAAIQKAVCLGSRT VLDIGTGTGILSMFAKKAGAQSVYACELSKTMYELACDVVAANKMENGIKLLHMKSLDIE IPKHIPERVSLVVTETVDAGVFGEGIVESLIHAWEHLLLQPKTKEENGNCGKYGKVIPAG AVIFGMAVECAEIRRHHRVGAKDIAGIHLPTNVKFQSPAYTSVDTEETVEPYTTEKMSGI PGGYLPLTECFQIMKVDFNNLQELKSLATKKPHSLNVPAIKEGVLDAIMVWFVLQLDDEY SLSTSPSEETCWEQAVYPVQALEDYCIQPGDRVTMEASCHDCYLRIQGISILHLEHEMEV MKGFTKSKDLLSLGNEAELCSALANLQTSRPEALEQTCMLEPTEIALLNNIPYHEGFKTA MRKVLSSLAPELLWQPMDTHCQYMEMNSGSGQSDAAPSTADPFYVLDVSEGFSLLPILAG TLGHVKPYSSVEKDQHCIALDLIAEANHFPKETLEFWLRHIEDEAAVLQRPKSDKLWSII ILDVIEPSGLIQQELMEKAAISRCLLQSGGKIFPQYVLMFGMLVESQTLVEESAVQGTEH TLGLNIAPFINQFQVPIRVCLDLSSLPCVPLSQPVELLRLDLMTPYLNTSNKEVKVRVCR SGRVTAVPFWFHLCLDDEVRLDTSGEASHWKQAAVVLDNPIQVQAGEELVLSVEHHKSNV SIAVKP >ENSMUSP00000122699.1 pep:known chromosome:GRCm38:16:4726361:4764848:1 gene:ENSMUSG00000004070.15 transcript:ENSMUST00000154117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmox2 description:heme oxygenase 2 [Source:MGI Symbol;Acc:MGI:109373] MDRNKDHPAFAPLYFPTELHRKAALIKDMKYFFGENWEEQVKCSEAAQKYVDRIHYVGQN EPELL >ENSMUSP00000004172.8 pep:known chromosome:GRCm38:16:4726363:4766742:1 gene:ENSMUSG00000004070.15 transcript:ENSMUST00000004172.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmox2 description:heme oxygenase 2 [Source:MGI Symbol;Acc:MGI:109373] MSSEVETSEGVDESEKNSMAPEKENHTKMADLSELLKEGTKEAHDRAENTQFVKDFLKGN IKKELFKLATTALYFTYSALEEEMDRNKDHPAFAPLYFPTELHRKAALIKDMKYFFGENW EEQVKCSEAAQKYVDRIHYVGQNEPELLVAHAYTRYMGDLSGGQVLKKVAQRALKLPSTG EGTQFYLFEHVDNAQQFKQFYRARMNALDLNLKTKERIVEEANKAFEYNMQIFSELDQAG SMLARETLEDGLPVHDGKGDIRKCPFYAAQPDKGTLGGSNCPFQTTVAVLRKPSLQLILA ASVALVAGLLAWYYM >ENSMUSP00000112397.1 pep:known chromosome:GRCm38:16:4741581:4766249:1 gene:ENSMUSG00000004070.15 transcript:ENSMUST00000120232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmox2 description:heme oxygenase 2 [Source:MGI Symbol;Acc:MGI:109373] MSSEVETSEGVDESEKNSMAPEKENHTKMADLSELLKEGTKEAHDRAENTQFVKDFLKGN IKKELFKLATTALYFTYSALEEEMDRNKDHPAFAPLYFPTELHRKAALIKDMKYFFGENW EEQVKCSEAAQKYVDRIHYVGQNEPELLVAHAYTRYMGDLSGGQVLKKVAQRALKLPSTG EGTQFYLFEHVDNAQQFKQFYRARMNALDLNLKTKERIVEEANKAFEYNMQIFSELDQAG SMLARETLEDGLPVHDGKGDIRKCPFYAAQPDKGTLGGSNCPFQTTVAVLRKPSLQLILA ASVALVAGLLAWYYM >ENSMUSP00000115932.1 pep:known chromosome:GRCm38:16:4756845:4764684:1 gene:ENSMUSG00000004070.15 transcript:ENSMUST00000140367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmox2 description:heme oxygenase 2 [Source:MGI Symbol;Acc:MGI:109373] MSSEVETSEGVDESEKNSMAPEKENHTKMADLSELLKEGTKEAHDRAENTQFVKDFLKGN IKKELFKLATTALYFTYSALEEEMDRNKDHPAF >ENSMUSP00000113110.1 pep:known chromosome:GRCm38:16:4756903:4766245:1 gene:ENSMUSG00000004070.15 transcript:ENSMUST00000118885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmox2 description:heme oxygenase 2 [Source:MGI Symbol;Acc:MGI:109373] MSSEVETSEGVDESEKNSMAPEKENHTKMADLSELLKEGTKEAHDRAENTQFVKDFLKGN IKKELFKLATTALYFTYSALEEEMDRNKDHPAFAPLYFPTELHRKAALIKDMKYFFGENW EEQVKCSEAAQKYVDRIHYVGQNEPELLVAHAYTRYMGDLSGGQVLKKVAQRALKLPSTG EGTQFYLFEHVDNAQQFKQFYRARMNALDLNLKTKERIVEEANKAFEYNMQIFSELDQAG SMLARETLEDGLPVHDGKGDIRKCPFYAAQPDKGTLGGSNCPFQTTVAVLRKPSLQLILA ASVALVAGLLAWYYM >ENSMUSP00000112378.1 pep:known chromosome:GRCm38:16:4756994:4765090:1 gene:ENSMUSG00000004070.15 transcript:ENSMUST00000121529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmox2 description:heme oxygenase 2 [Source:MGI Symbol;Acc:MGI:109373] MSSEVETSEGVDESEKNSMAPEKENHTKMADLSELLKEGTKEAHDRAENTQFVKDFLKGN IKKELFKLATTALYFTYSALEEEMDRNKDHPAFAPLYFPTELHRKAALIKDMKYFFGENW EEQVKCSEAAQKYVDRIHYVGQNEPELLVAHAYTRYMGDLSGGQVLKKVAQRALKLPSTG EGTQFYLFEHVDNAQQFKQFYRARMNALDLNLKTKERIVEEANKAFEY >ENSMUSP00000033741.7 pep:known chromosome:GRCm38:X:73483602:73495933:1 gene:ENSMUSG00000031375.17 transcript:ENSMUST00000033741.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bgn description:biglycan [Source:MGI Symbol;Acc:MGI:88158] MCPLWLLTLLLALSQALPFEQKGFWDFTLDDGLLMMNDEEASGSDTTSGVPDLDSVTPTF SAMCPFGCHCHLRVVQCSDLGLKTVPKEISPDTTLLDLQNNDISELRKDDFKGLQHLYAL VLVNNKISKIHEKAFSPLRKLQKLYISKNHLVEIPPNLPSSLVELRIHDNRIRKVPKGVF SGLRNMNCIEMGGNPLENSGFEPGAFDGLKLNYLRISEAKLTGIPKDLPETLNELHLDHN KIQAIELEDLLRYSKLYRLGLGHNQIRMIENGSLSFLPTLRELHLDNNKLSRVPAGLPDL KLLQVVYLHSNNITKVGINDFCPMGFGVKRAYYNGISLFNNPVPYWEVQPATFRCVTDRL AIQFGNYKK >ENSMUSP00000126768.1 pep:known chromosome:GRCm38:X:73483635:73495929:1 gene:ENSMUSG00000031375.17 transcript:ENSMUST00000169489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bgn description:biglycan [Source:MGI Symbol;Acc:MGI:88158] MCPLWLLTLLLALSQALPFEQKGFWDFTLDDGLLMMNDEEASGSDTTSGVPDLDSVTPTF SAMCPFGCHCHLRVVQCSDLGLKTVPKEISPDTTLLDLQNNDISELRKDDFKGLQHLYAL VLVNNKISKIHEKAFSPLRKLQKLYISKNHLVEIPPNLPSSLVELRIHDNRIRKVPKGVF SGLRNMNCIEMGGNPLENSGFEPGAFDGLKLNYLRISEAKLTGIPKDLPETLNELHLDHN KIQAIELEDLLRYSKLYRLGLGHNQIRMIENGSLSFLPTLRELHLDNNKLSRVPAGLPDL KLLQVVYLHSNNITKVGINDFCPMGFGVKRAYYNGISLFNNPVPYWEVQPATFRCVTDRL AIQFGNYKK >ENSMUSP00000068426.1 pep:known chromosome:GRCm38:5:113357294:113357821:1 gene:ENSMUSG00000053873.1 transcript:ENSMUST00000066573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aym1 description:activator of yeast meiotic promoters 1 [Source:MGI Symbol;Acc:MGI:1891321] MKPRALTELSEDALVPLSLRERSTRTARGHSPLSLLLCSGSSGNK >ENSMUSP00000027090.7 pep:known chromosome:GRCm38:1:65080219:65082288:-1 gene:ENSMUSG00000073658.4 transcript:ENSMUST00000027090.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crygb description:crystallin, gamma B [Source:MGI Symbol;Acc:MGI:88522] MGKITFFEDRSFQGRCYECSSDCPNLQTYFSRCNSVRVDSGCWMLYERPNYQGHQYFLRR GEYPDYQQWMGFSDSIRSCCLIPQHSGTYRMRIYEKDDFRGQMSEITDDCLSLQDRFHFS EIHSLNVMEGCWVLYEMPSYRGRQYLLRPGEYRRYLDWGAANAKVGSFRRVMDFY >ENSMUSP00000000314.6 pep:known chromosome:GRCm38:2:179442431:179899373:1 gene:ENSMUSG00000000305.12 transcript:ENSMUST00000000314.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh4 description:cadherin 4 [Source:MGI Symbol;Acc:MGI:99218] MTTGSVLPLLLLGLSGALRAHREDLTVREACKAGFSEEGYTALISPNVLEGEKLLKVEFS SCVGTKGMQYETNSLDFKVGADGTVFATRELKIPSEQVAFTVTARERQSAEQWAAMVRLL VAQTSSAHSEHKKGQTVALDPSQPPNDTLLPWPQHQSSGGLRRQKRDWVIPPINVPENSR GPFPQQLVRIRSDKDNDIPIRYSITGVGADQPPMEVFNIDSMSGRMYVTRPMDREERASY HLRAHAVDMNGNKVENPIDLYIYVIDMNDNRPEFINQVYNGSVDEGSKPGTYVMTVTAND ADDSTTANGMVRYRIVTQTPQSPSQNMFTINSETGDIVTVAAGLDREKVQQYTVIVQATD MEGNLNYGLSNTATAIITVTDVNDNPPEFTTSTFAGEVPENRIETVVANLTVMDRDQPHS PNWNAVYRIISGDPSGHFSVRTDPVTNEGMVTVVKAVDYELNRAFMLTVMVSNQAPLASG IQMSFQSTAGVTISVTDVNEAPYFPSNHKLIRLEEGVPAGTALTTFSAVDPDRFMQQAVR YSKLSDPANWLHINTSNGQITTAAILDRESLYTKNNVYEATFLAADNGIPPASGTGTLQI YLIDINDNAPQLLPKEAQICERPGLNAINITAADADMDPNIGPYVFELPFIPTTVRKNWT ITRLNGDYAQLSLRILYLEAGVYDVPIIVTDSGNPPLSNTSVIKVKVCPCDENGDCTTVG AVAAAGLGTGAIVAILICIVILLIMVLLFVVWMKRREKERHTKQLLIDPEDDVRDNILKY DEEGGGEEDQDYDLSQLQQPEAMEHVLSKTPGVRRVDERPVGAEPQYPVRPVVPHPGDIG DFINEGLRAADNDPTAPPYDSLLVFDYEGSGSTAGSVSSLNSSSSGDQDYDYLNDWGPRF KKLADMYGGGEED >ENSMUSP00000104539.1 pep:known chromosome:GRCm38:2:179442622:179847704:1 gene:ENSMUSG00000000305.12 transcript:ENSMUST00000108911.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh4 description:cadherin 4 [Source:MGI Symbol;Acc:MGI:99218] MTTGSVLPLLLLGLSGALRAHREDLTVREACKAGFSEEGYTALISPNVLEGEKLLKVEFS SCVGTKGMQYETNSLDFKVGADGTVFATRELKIPSEQVAFTVTARERQSAEQWAAMVRLL VAQTSSAHSEHKKGQTVALDPSQPPNDTLLPWPQHQSSGGLRRQKRDWVIPPINVPENSR GPFPQQLVRIRSDKDNDIPIRYSITGVGADQPPMEVFNIDSMSGRMYVTRPMDREERASY HLRAHAVDMNGNKVENPIDLYIYVIDMNDNRPEFINQVYNGSVDEGSKPGEASWGPHALH GTWVWDCNVQHCCPVSHTSRYRESAESRHCSNRE >ENSMUSP00000096594.1 pep:known chromosome:GRCm38:2:179893909:179894693:1 gene:ENSMUSG00000000305.12 transcript:ENSMUST00000098996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh4 description:cadherin 4 [Source:MGI Symbol;Acc:MGI:99218] QLVCLETDRHTSHSALLAVGCIK >ENSMUSP00000032433.3 pep:known chromosome:GRCm38:6:146850104:146871406:1 gene:ENSMUSG00000030292.10 transcript:ENSMUST00000032433.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smco2 description:single-pass membrane protein with coiled-coil domains 2 [Source:MGI Symbol;Acc:MGI:1916621] MMSLQLGTAGKERQLAEKSRDLQNVSMTEGSEEVSEMDHISDRPDEKDKPSENLQTDSLY KMDTEKWDGLEQESEHSQDPPSKPDEQEVTLVCEGPQVSQLSPSTDESTPIPESLTHKLN YWHAKMGLQMKELGADHGDWLERINNIIQNINNTESTVKSLLTEVISLENQSKNLEDSDQ EADIEEKITEIRRQLKEVNIKLTQVDACEEARELKEKLVEQIESFHKEMNVLNSKLEMYY TQGSDADSHNSEDVDTEQEEPLVPEASPSLSASPTPPCSAVWKNALKLFVIVYVVTITGL SCYILFVDATFLFERVLPSVLGHRTMWDLREMMAPFLNLEAEDLLPS >ENSMUSP00000142014.1 pep:known chromosome:GRCm38:3:82358044:82383592:1 gene:ENSMUSG00000033900.13 transcript:ENSMUST00000193559.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map9 description:microtubule-associated protein 9 [Source:MGI Symbol;Acc:MGI:2442208] MSDEIFSTTLAYTKSPKATKRTSFQDELIRAITARSARQRSSEYSDDFDSDEIVSLGEFS DTSTDESLVRKKMNDFHISDDEEKNSPRLSFLKTKKVNRAISNDALDSSTPGSEGSSPDA QEDVTGDSLPKSQNDDREVGREIITVKPTPRMHPVKRSTSSGETSSGLDADGHFKPSPQP RSMLKKSSHTEEGVRPGVDKEHSISEASAPTPSLPRQNGTELQTEEKIYSENLDLEDSLL QSLTSSSFKESPGGCTSPGSQEKVPIKDHDGEPTEIWDSLLSNENEGSSVLVNCVTPELE QPKDGQVAADDLEEEREKGGFTEDDLTTDPLLSTSPSVITPTEPAEPAKKANEDRNTKNK KTTNNRVSSASGRLMTSEFLKRSGPTKRSPSAATSSHYLGSLKVLDQKQPRKQSLEPDKA DHIRAAVYQEWLEKKNVYLHEMHRIKRIESENLRIQNEQKKAAKREEALASFEAWKAMKE KEAKRIAAKKRLEEKNKKKTEEENAMRKGEALQAFEKWKEKKLEYLKEKTRREKEYERA >ENSMUSP00000141828.1 pep:known chromosome:GRCm38:3:82358072:82378960:1 gene:ENSMUSG00000033900.13 transcript:ENSMUST00000192595.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map9 description:microtubule-associated protein 9 [Source:MGI Symbol;Acc:MGI:2442208] MSDEIFSTTLAYTKSPKATKRTSFQDELIRAITARSARQRSSEYSDDFDSDEIVSLGEFS DTSTDESLVRKKMNDFHISDDEEKNSPRLSFLKTKKVNRAISNDALDSSTPGSEGSSPDA QEDVTGDSLPKSQNDDREVGREIITVKPTPRMHPVKRSTSSGETSSGLDADGHFKPSPQP RSMLKKSSHTEEGVRPGVDKEHSISEASAPTPSLPRQNGTELQTEEKIYSENLDLEDSLL QSLTSSSFKESPGGCTSPGSQEKVPIKDHDGEPTEIWDSLLSNENEGSSVLVNCVTPELE QPKDGQVAADDLEEEREKGGFTEDDLTTDPLLSTSPSVITPTEPAEPAKKANEDRNT >ENSMUSP00000141282.1 pep:known chromosome:GRCm38:3:82358170:82383528:1 gene:ENSMUSG00000033900.13 transcript:ENSMUST00000195471.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map9 description:microtubule-associated protein 9 [Source:MGI Symbol;Acc:MGI:2442208] MSDEIFSTTLAYTKSPKATKRTSFQDELIRAITARSARQRSSEYSDDFDSDEIVSLGEFS DTSTDESLVRKKMNDFHISDDEEKNSPRLSFLKTKKVNRAISNDALDSSTPGSEGSSPDA QEDVTGDSLPKSQNDDREVGREIITVKPTPRMHPVKRSTSSGETSSGLDADGHFKPSPQP RSMLKKSSHTEEGVRPGVDKEHSISEASAPTPSLPRQNGTELQTEEKIYSENLDLEDSLL QSLTSSSFKESPGGCTSPGSQEKVPIKDHDGEPTEIWDSLLSNENEGSSVLVNCVTPELE QPKDGQVAADDLEEEREKGGFTEDDLTTDPLLSTSPSVITPTEPAEPAKKANEDRNTKNK KTTNNRVSSASGRLMTSEFLKRSGPTKRSPSAATSSHYLGSLKVLDQKQPRKQSLEPDKA DHIRAAVYQEWLEKKNVYLHEMHRIKRIESENLRIQNEQKKAAKREEALASFEAWKAMKE KEAKRIAAKKRLEEKNKKKTEEENAMRKGEALQAFEK >ENSMUSP00000142206.1 pep:known chromosome:GRCm38:3:82358187:82395268:1 gene:ENSMUSG00000033900.13 transcript:ENSMUST00000195640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map9 description:microtubule-associated protein 9 [Source:MGI Symbol;Acc:MGI:2442208] MSDEIFSTTLAYTKSPKATKRTSFQDELIRAITARSARQRSSEYSDDFDSDEIVSLGEFS DTSTDESLVRKKMNDFHISDDEEKNSPRLSFLKTKKVNRAISNDALDSSTPGSEGSSPDA QEDVTGDSLPKSQNDDREVGREIITVKPTPRMHPVKRSTSSGETSSGLDADGHFKPSPQP RSMLKKSSHTEEGVRPGVDKEHSISEASAPTPSLPRQNGTELQTEEKIYSENLDLEDSLL QSLTSSSFKESPGGCTSPGSQEKVPIKDHDGEPTEIWDSLLSNENEGSSVLVNCVTPELE QPKDGQVAADDLEEEREKGGFTEDDLTTDPLLSTSPSVITPTEPAEPAKKANEDRNTKNK KTTNNRVSSASGRLMTSEFLKRSGPTKRSPSAATSSHYLGSLKVLDQKQPRKQSLEPDKA DHIRAAVYQEWLEKKNVYLHEMHRIKRIESENLRIQNEQKKAAKREEALASFEAWKAMKE KEAKRIAAKKRLEEKNKKKTEEENAMRKGEALQAFEKWKEKKLEYLKEKTRREKEYERAK KQKEEEAVAEKKKDSLTAFEKWSERKEALLKQKEKEKINERRKEELKRAEKKDKDKQAIS EYEKWLEKKERQERIERKQKKRHSFLESETHPPWSPPSRTAPSKVF >ENSMUSP00000141231.1 pep:known chromosome:GRCm38:3:82380073:82383310:1 gene:ENSMUSG00000033900.13 transcript:ENSMUST00000195793.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map9 description:microtubule-associated protein 9 [Source:MGI Symbol;Acc:MGI:2442208] PRLMTSEFLKRSGPTKRSPSAATSSHYLGSLKVLDQKQPRKQSLEPDKADHIRAAVYQKK AAKREEALASFEAWKAMKEKEAKRIAAKKRLE >ENSMUSP00000088535.4 pep:known chromosome:GRCm38:3:82358072:82395268:1 gene:ENSMUSG00000033900.13 transcript:ENSMUST00000091014.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map9 description:microtubule-associated protein 9 [Source:MGI Symbol;Acc:MGI:2442208] MSDEIFSTTLAYTKSPKATKRTSFQDELIRAITARSARQRSSEYSDDFDSDEIVSLGEFS DTSTDESLVRKKMNDFHISDDEEKNSPRLSFLKTKKVNRAISNDALDSSTPGSEGSSPDA QEDVTGDSLPKSQNDDREVGREIITVKPTPRMHPVKRSTSSGETSSGLDADGHFKPSPQP RSMLKKSSHTEEGVRPGVDKEHSISEASAPTPSLPRQNGTELQTEEKIYSENLDLEDSLL QSLTSSSFKESPGGCTSPGSQEKVPIKDHDGEPTEIWDSLLSNENEGSSVLVNCVTPELE QPKDGQVAADDLEEEREKGGFTEDDLTTDPLLSTSPSVITPTEPAEPAKKANEDRNTKNK KTTNNRVSSASGRLMTSEFLKRSGPTKRSPSAATSSHYLGSLKVLDQKQPRKQSLEPDKA DHIRAAVYQEWLEKKNVYLHEMHRIKRIESENLRIQNEQKKAAKREEALASFEAWKAMKE KEAKRIAAKKRLEEKNKKKTEEENAMRKGEALQAFEKWKEKKLEYLKEKTRREKEYERAK KQKEEEAVAEKKKDSLTAFEKWSERKEALLKQKEKEKINERRKEELKRAEKKDKDKQAIS EYEKWLEKKERQERIERKQKKRHSFLESETHPPWSPPSRTAPSKVF >ENSMUSP00000040430.8 pep:known chromosome:GRCm38:9:107935674:107949691:1 gene:ENSMUSG00000032936.13 transcript:ENSMUST00000035700.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkv description:CaM kinase-like vesicle-associated [Source:MGI Symbol;Acc:MGI:2384296] MPFGCVTLGDKKNYNQPSEVTDRYDLGQVIKTEEFCEIFRAKDKTTGKLHTCKKFQKRDG RKVRKAAKNEIGILKMVKHPNILQLVDVFVTRKEYFIFLELATGREVFDWILDQGYYSER DTSNVVRQVLEAVAYLHSLKIVHRNLKLENLVYYNRLKNSKIVISDFHLAKLENGLIKEP CGTPEYLAPEVVGRQRYGRPVDCWAIGVIMYILLSGNPPFYEEVEEDDYENHDKNLFRKI LAGDYEFDSPYWDDISQAAKDLVTRLMEVEQDQRITAEEAISHEWISGNAASDKNIKDGV CAQIEKNFARAKWKKAVRVTTLMKRLRAPEQSGTAATQSASDAATPGAAGGAIAAAAAAA AAGGAASASGASATAATEGGAGCAAKSDDIASADRSATPATDGSATPATDGSVTPATDGS ITPATDGSVTPATDRSATPATDGRATPATEESTVPATQSSALPAAKAAATPEPAVAQPDS TALEGATGQAPPSSKGEEATGCAQESQRVETS >ENSMUSP00000141347.1 pep:known chromosome:GRCm38:9:107935908:107946188:1 gene:ENSMUSG00000032936.13 transcript:ENSMUST00000193533.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Camkv description:CaM kinase-like vesicle-associated [Source:MGI Symbol;Acc:MGI:2384296] MPFGCVTLGDKKNYNQPSEVTDRYDLGQVIKTTRQRASCTPVRSSRSVMAARCGRQPRTR LESSRW >ENSMUSP00000142005.1 pep:known chromosome:GRCm38:9:107937300:107945537:1 gene:ENSMUSG00000032936.13 transcript:ENSMUST00000195219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkv description:CaM kinase-like vesicle-associated [Source:MGI Symbol;Acc:MGI:2384296] MPFGCVTLGDKKNYNQPSEVTDRYDLGQVIKTEEFCEIFRAKDKTTGK >ENSMUSP00000141444.1 pep:known chromosome:GRCm38:9:107945259:107949683:1 gene:ENSMUSG00000032936.13 transcript:ENSMUST00000194206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkv description:CaM kinase-like vesicle-associated [Source:MGI Symbol;Acc:MGI:2384296] MPFGCVTLGDKKNYNQPSEVTDRYDLGQVIKTEEFCEIFRAKDKTTGKLHTCKKFQKRDG RKVRKAAKNEIGILKMVKHPNILQLVDVFVTRKEYFIFLELATGREVFDWILDQGYYSER DTSNVVRQVLEAVAYLHSLKIVHRNLKLENLVYYNRLKNSKIVISDFHLAKLENGLIKEP CGTPEYLGNPPFYEEVEEDDYENHDKNLFRKILAGDYEFDSPYWDDISQAAKDLVTRLME VEQDQRITAEEAISHEWISGNAASDKNIKDGVCAQIEKNFARAKWKKAVRVTTLMKRLRA PEQSGTAATQSASDAATPGAAGGAIAAAAAAAAAGGAASASGASATAATEGGAGCAAKSD DIASADRSATPATDGSATPATDGSVTPATDGSITPATDGSVTPATDRSATPATDGRATPA TEESTVPATQSSALPAAKAAATPEPAVAQPDSTALEGATGQAPPSSKGEEATGCAQESQR VETS >ENSMUSP00000069081.8 pep:known chromosome:GRCm38:18:38327558:38339003:-1 gene:ENSMUSG00000052102.14 transcript:ENSMUST00000063814.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnpda1 description:glucosamine-6-phosphate deaminase 1 [Source:MGI Symbol;Acc:MGI:1347054] MKLIILEHYSQASEWAAKYIRNRIIQFNPGPDKYFTLGLPTGSTPLGCYQKLIEYYKNGD LSFQYVKTFNMDEYVGLPRDHPESYHSFMWNNFFKHIDIHPENTHILDGNAADLQAECDA FEEKIQAAGGIELFVGGIGPDGHIAFNEPGSSLVSRTRVKTLAMDTILANARFFDGDLAK VPTMALTVGVGTVMDAKEVMILITGAHKAFALYKAIEEGVNHMWTVSAFQQHPRTVFVCD EDATLELKVKTVKYFKGLMLVHNKLVDPLYSIKEKEIQKSQSAKKPYSD >ENSMUSP00000115359.1 pep:known chromosome:GRCm38:18:38333189:38338909:-1 gene:ENSMUSG00000052102.14 transcript:ENSMUST00000139885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnpda1 description:glucosamine-6-phosphate deaminase 1 [Source:MGI Symbol;Acc:MGI:1347054] MKLIILEHYSQASEWAAKYIRNRIIQFNPGPDKYFTLGLPTGSTPLGCYQKLIEYYKNGD LSFQYVKTFNMDEYVGLPRDHPESYHSFMWNNFFKHIDIHPENTHILDGNAADLQAECDA FEEK >ENSMUSP00000144496.1 pep:known chromosome:GRCm38:5:134901593:134906733:-1 gene:ENSMUSG00000040576.8 transcript:ENSMUST00000201316.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr28 description:Williams-Beuren syndrome chromosome region 28 (human) [Source:MGI Symbol;Acc:MGI:1923879] MEAAPRVRSGLLRILLRAGRLSALLIQNRTHLYRFLLLKMAIFQHWVLGLAQEARGSGSD QARQLPEVIITCALSLALRAGLTLLWVPMWLLLWGPRLAYRVGLCCTRTVRLALGHLCVC EPLGLSPATFRDLFLSCLHSLMLVALLLLLLTWKLMQKAHHFSLGWLPSQNSVLLEAPAL LRRLYLWVEHRTTLTSWNLAYLVTWTTCLASHLLQAAFEHTTQLAQAQEVKSQETSGPPP QFLIPESSTTESGPLPPQPETPGE >ENSMUSP00000045190.5 pep:known chromosome:GRCm38:5:134901594:134906733:-1 gene:ENSMUSG00000040576.8 transcript:ENSMUST00000047305.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr28 description:Williams-Beuren syndrome chromosome region 28 (human) [Source:MGI Symbol;Acc:MGI:1923879] MEAAPRVRSGLLRILLRAGRLSALLIQNRTHLYRFLLLKMAIFQHWVLGLAQEARGSGSD QARQLPEVIITCALSLALRAGLTLLWVPMWLLLWGPRLAYRVGLCCTRTVRLALGHLCVC EPLGLSPATFRDLFLSCLHSLMLVALLLLLLTWKLMQKAHHFSLGWLPSQGWGRGSNLAD LSHPSSPYQCSCLAGPSCRILCCWKPRPC >ENSMUSP00000091882.3 pep:known chromosome:GRCm38:9:109875579:109893895:1 gene:ENSMUSG00000032477.13 transcript:ENSMUST00000094324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc25a description:cell division cycle 25A [Source:MGI Symbol;Acc:MGI:103198] MELGPEPPHRRRLFFACSPTPAPQPTGKMLFGASAAGGLSPVTNLTVTMDQLEGLGSDCE KMEVRNNSSLQRMGSSESTDSGFCLDSPGPLDSKENLEISLTRINSLPQKLLGCSPALKR SHSDSLDHDTFHLIDQDENKENEAFEFKKPIRPASRHIYEESKDPFTHRQNSAPARMLSS NESESGNFSPLFIPQSPVKATLSDEDDGFIDLLDGENMKNDEETPSCMASLWTAPLVMRR PANLADRCGLFDSPSPCGSSTRAVLKRADRSHEEPPRGTKRRKSVPSPVKAKADVPEPAQ LPSQSLSLMSSPKGTIENILDSDPRDLIGDFSKGYLFNTVSGKHQDLKYISPEIMASVLN GKFAGLIKEFVIIDCRYPYEYEGGHIKGAVNLHMEEEVEDFLLKNPIVPTDGKRVIVVFH CEFSSERGPRMCRYVRERDRLGNEYPKLHYPELYVLKGGYKEFFLKCQSHCEPPSYRPMH HEDFKEDLKKFRTKSRTWAGEKSKREMYSRLKKL >ENSMUSP00000142819.1 pep:known chromosome:GRCm38:9:109875940:109881480:1 gene:ENSMUSG00000032477.13 transcript:ENSMUST00000198848.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdc25a description:cell division cycle 25A [Source:MGI Symbol;Acc:MGI:103198] MELGPEPPHRRRLFFACSPTPAPQPTGKMLFGASAAGGLSPVTNLTVTMDQLEGLGSDCE KMEVRNNSSLQRMGSSESTDSALKFP >ENSMUSP00000142958.1 pep:known chromosome:GRCm38:9:109876306:109892647:1 gene:ENSMUSG00000032477.13 transcript:ENSMUST00000198308.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc25a description:cell division cycle 25A [Source:MGI Symbol;Acc:MGI:103198] MEVRNNSSLQRMGSSESTDSGFCLDSPGPLDSKENLEISLTRINSLPQKLLGCSPALKRS HSDSLDHDTFHLIDQDENKENEAFEFKKPIRPASRHIYEESKDPFTHRQNSAPARMLSSN ESESGNFSPLFIPQSPVKATLSDEDDGFIDLLDGENMKNDEETPSCMASLWTAPLVMRRP ANLADRCGLFDSPSPCGSSTRAVLKRADRSHEEPPRGTKRRKSVPSPVKAKADVPEPAQL PSQSLSLMSSPKGTIENILDSDPRDLIGDFSKGYLFNTVSGKHQDLKYISPEIMASVLNG KFAGLIKEFVIIDCRYPYEYEGGHIKGAVNLHMEEEVEDFLLKNPIVPTDGKRVIVVFHC EFSSERGPRMCRYVRERDRLGNEYPKLHYPELYVLKGGYKEFFLKCQVRCL >ENSMUSP00000142752.1 pep:known chromosome:GRCm38:9:109883613:109892141:1 gene:ENSMUSG00000032477.13 transcript:ENSMUST00000199787.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc25a description:cell division cycle 25A [Source:MGI Symbol;Acc:MGI:103198] XNDEETPSCMASLWTAPLVMRRPANLADRCGLFDSPSPCGSSTRAVLKRADRSHEEPPRG TKRRKSVPSPVKAKADVPEPAQLPSQSLSLMSSPKGTIENILDSDPRDLIGDFSKGYLFN TVSGKHQDLKYISPEIMASVLNGKFAGLIKEFVIIDCRYPYEYEGGHIKGAVNLHMEEEV EDFLLKNPIVPTDGKRVIVVFHCEFSSERGPRILTVNPPATGQCTMKTLKKT >ENSMUSP00000078047.2 pep:known chromosome:GRCm38:8:79970345:80058006:-1 gene:ENSMUSG00000064325.3 transcript:ENSMUST00000079038.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhip description:Hedgehog-interacting protein [Source:MGI Symbol;Acc:MGI:1341847] MLKMLSFKLLLLAVALGFFEGDAKFGERNEGSGARRRRCLNGNPPKRLKRRDRRVMSQLE LLSGGEILCGGFYPRVSCCLQSDSPGLGRLENKIFSATNNSECSRLLEEIQCAPCSPHSQ SLFYTPERDVLDGDLALPLLCKDYCKEFFYTCRGHIPGLLQTTADEFCFYYARKDAGLCF PDFPRKQVRGPASNYLGQMEDYEKVGGISRKHKHNCLCVQEVMSGLRQPVSAVHSGDGSH RLFILEKEGYVKILTPEGELFKEPYLDIHKLVQSGIKGGDERGLLSLAFHPNYKKNGKLY VSYTTNQERWAIGPHDHILRVVEYTVSRKNPHQVDVRTARVFLEVAELHRKHLGGQLLFG PDGFLYIILGDGMITLDDMEEMDGLSDFTGSVLRLDVDTDMCNVPYSIPRSNPHFNSTNQ PPEVFAHGLHDPGRCAVDRHPTDININLTILCSDSNGKNRSSARILQIIKGRDYESEPSL LEFKPFSNGPLVGGFVYRGCQSERLYGSYVFGDRNGNFLTLQQSPVTKQWQEKPLCLGAS SSCRGYFSGHILGFGEDELGEVYILSSSKSMTQTHNGKLYKIVDPKRPLMPEECRVTVQP AQPLTSDCSRLCRNGYYTPTGKCCCSPGWEGDFCRIAKCEPACRHGGVCVRPNKCLCKKG YLGPQCEQVDRNVRRVTRAGILDQIIDMTSYLLDLTSYIV >ENSMUSP00000013759.5 pep:known chromosome:GRCm38:2:90745370:90781021:1 gene:ENSMUSG00000008200.14 transcript:ENSMUST00000013759.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp4 description:formin binding protein 4 [Source:MGI Symbol;Acc:MGI:1860513] MRKCTAPVKSGSPREAVPRFMNIDYAPGRCAPAPLICRRSLLALRLMMGKKSRAVPGRRP ILQLSPPGPRSSTPGRDPDPDPDPEADSTAAATSQSAPAAATAAAATSPAVPASAAPEDS PSEDEQEVVVEVPNVVQNPPTPVMTTRPTAVKATGGLCLLGAYADSDDDESDVSEKTAQS KEANGNQATDIDSTLANFLAEIDAITAPQPAAPVVASAPPPTPPRPEPKEAATPALSPTA SNGSDTAQTPGWHYDTQCSLAGVEIEMGDWQEVWDENTGCYYYWNTQTNEVTWELPQYLA TQVQGLQHYQPSSVTGTEAAFVVNTDMYTKERTTAASSSKSGPVITKREVKKEVNEGIQA LSNSEEERKGVAAALLAPLLPEGVKEEEERWRRKVICKEADPVSETKETSTASEETGPSI KPPEVMMDGTEDPSQEELCSVVQSGESEEEEEEEEQDTLELELALERKKAELRALEEGDG SVSGSSPRSDISQPASQDGVRRIMSKRGKWKMFVRATSPESTSRSSSKTGRDSPENGETA IGAEDSEKIDEISDKETEVEESSEKIKVQLAPKVEEEQDLKFQIGELANTLTSKFEFLGI NRQSISNFHMLLLQTETRIADWREGALNGNYLKRKLQDAAEQLKQYEINATPKGWSCHWD RDHRRYFYVNEQSGESQWEFPDGEEEEESQTKEVRDESLPKLTVKDKTCTDPNSTESSEN PTGSLCKESFSGQVSSSLMPLTPFWTLLQSNVPVLQPPLPLEMPPPPPPPPESPPPPPPP PPPPPPLEDGEIQEVEMEDEGSEEPPAPGTEEDTPLKPSTQTTAVTSQSLVDSTASSPPS NKAVKRKAPEMSTSVVQRSATIGSSPVLYSQSAIAAGHQAVGMAHQAVGMAHQAVSASHA AAAGVGHQARGMSLQSNYLGLAAAPALMSYAECSVPIGVTTPSLQPAQARGTMAAPAVVE PPPPPPPPPTPTPPPPPPAPKVPPPEKTRKGKKDKAKKSKTKMPSLVKKWQSIQRELDEE DNSSSSEEDRESTAQKRIEEWKQQQLVSGLAERNANFEALPEDWRARLKRRKMAPST >ENSMUSP00000104848.2 pep:known chromosome:GRCm38:11:46670009:46698962:-1 gene:ENSMUSG00000040413.15 transcript:ENSMUST00000109225.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timd2 description:T cell immunoglobulin and mucin domain containing 2 [Source:MGI Symbol;Acc:MGI:2159681] MNQIQVFISGLILLLPGAVESHTAVQGLAGHPVTLPCIYSTHLGGIVPMCWGLGECRHSY CIRSLIWTNGYTVTHQRNSRYQLKGNISEGNVSLTIENTVVGDGGPYCCVVEIPGAFHFV DYMLEVKPEISTSPPTRPTATGRPTTISTRSTHVPTSTRVSTSTSPTPAHTETYKPEATT FYPDQTTAEVTETLPSTPADWHNTVTSSDDPWDDNTEVIPPQKPQKNLNKGFYVGISIAA LLILMLLSTMVITRYVVMKRKSESLSFVAFPISKIGASPKKVVERTRCEDQVYIIEDTPY PEEES >ENSMUSP00000060891.6 pep:known chromosome:GRCm38:11:46670009:46707059:-1 gene:ENSMUSG00000040413.15 transcript:ENSMUST00000055102.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timd2 description:T cell immunoglobulin and mucin domain containing 2 [Source:MGI Symbol;Acc:MGI:2159681] MNQIQVFISGLILLLPGAVESHTAVQGLAGHPVTLPCIYSTHLGGIVPMCWGLGECRHSY CIRSLIWTNGYTVTHQRNSRYQLKGNISEGNVSLTIENTVVGDGGPYCCVVEIPGAFHFV DYMLEVKPEISTSPPTRPTATGRPTTISTRSTHVPTSTRVSTSTSPTPAHTETYKPEATT FYPDQTTAEVTETLPSTPADWHNTVTSSDDPWDDNTEVIPPQKPQKNLNKGFYVGISIAA LLILMLLSTMVITRYVVMKRKSESLSFVAFPISKIGASPKKVVERTRCEDQVYIIEDTPY PEEES >ENSMUSP00000123042.1 pep:known chromosome:GRCm38:11:46687026:46707061:-1 gene:ENSMUSG00000040413.15 transcript:ENSMUST00000125008.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timd2 description:T cell immunoglobulin and mucin domain containing 2 [Source:MGI Symbol;Acc:MGI:2159681] MNQIQVFISGLILLLPGAVESHTAVQGLAGHPVTLPCIYSTHLGGIVPMCWGLGECRHSY CIRSLIWTNGYTVTHQRNSRYQLKGNISEGNV >ENSMUSP00000131540.1 pep:known chromosome:GRCm38:11:46668960:46690357:-1 gene:ENSMUSG00000040413.15 transcript:ENSMUST00000169584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timd2 description:T cell immunoglobulin and mucin domain containing 2 [Source:MGI Symbol;Acc:MGI:2159681] MNQIQVFISGLILLLPGAVESHTAVQGLAGHPVTLPCIYSTHLGGIVPMCWGLGECRHSY CIRSLIWTNGYTVTHQRNSRYQLKGNISEGNVSLTIENTVVGDGGPYCCVVEIPGAFHFV DYMLEVKPEISTSPPTRPTATGRPTTISTRSTHVPTSTRVSTSTSPTPAHTETYKPEATT FYPDQTTAEVTETLPSTPADWHNTVTSSDDPWDDNTEVIPPQKPQKNLNKGFYVGISIAA LLILMLLSTMVITRYVVMKRKSESLSFVAFPISKIGASPKKVVERTRCEDQVYIIEDTPY PEEES >ENSMUSP00000122771.1 pep:known chromosome:GRCm38:10:112083354:112107311:1 gene:ENSMUSG00000020214.10 transcript:ENSMUST00000148897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glipr1l2 description:GLI pathogenesis-related 1 like 2 [Source:MGI Symbol;Acc:MGI:1914787] MKASLPWSVVWRAQSNYVRLRRVLKLCELWLLLVGSGLNAKLPLEEDVDFINEYVGLHNE LRGTVFPPGVNLRFMTWDVALSRTARAWGKKCMYSRNTHLDKLHESHPVFTEIGENMWVG PVEDFTVTTAIRSWHEERKSYSYLNDTCVEDQNCSHYIQLVWDSSYKVGCAVTSCARAGG FTHAALFICNYAPGGTLTRRPYQAGQFCSRCGPGDQCTDYLCSKTK >ENSMUSP00000020434.3 pep:known chromosome:GRCm38:10:112083354:112108098:1 gene:ENSMUSG00000020214.10 transcript:ENSMUST00000020434.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glipr1l2 description:GLI pathogenesis-related 1 like 2 [Source:MGI Symbol;Acc:MGI:1914787] MKASLPWSVVWRAQSNYVRLRRVLKLCELWLLLVGSGLNAKLPLEEDVDFINEYVGLHNE LRGTVFPPGVNLRFMTWDVALSRTARAWGKKCMYSRNTHLDKLHESHPVFTEIGENMWVG PVEDFTVTTAIRSWHEERKSYSYLNDTCVEDQNCSHYIQLVWDSSYKVGCAVTSCARAGG FTHAALFICNYAPGGTLTRRPYQAGQFCSRCGPGDQCTDYLCSNTVRDEATYYQFWYPPW EKPRPVVCNPMCIFILFLRVASLLLCVIVVLIVQSRFPVILMETPTIISAEEEGKTEVEI VMEEGEGEGEGGEGEGEGEEKEEEEMLEEDEQ >ENSMUSP00000140515.1 pep:known chromosome:GRCm38:1:156524012:156526664:1 gene:ENSMUSG00000101523.1 transcript:ENSMUST00000190422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10031 description:predicted pseudogene 10031 [Source:MGI Symbol;Acc:MGI:3704198] MSGPVPSRARVYTDVNTHRPREYWDYESHVVEWGNQDDYQLVRKLGRGKYSEVFEAINIT NNEKVVVKILKPVKKKKIKREIKILENLRGGPNIITLADIVKDPVSRTPALVFEHVNNTD FKQLYQTLTDYDIRFYMYEILKALDYCHSMGIMHRDVKPHNVMIDHEHRKLRLIDWGLAE FYHPGQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSLGCMLASMIFRKEPFFHGHDNYD QLVRIAKVLGTEDLYDYIDKYNIELDPRFNDILGRHSRKRWERFVHSENQHLVSPEALDF LDKLLRYDHQSRLTAREAMEHPYFYTVVKDQARMSSTSMAGGSTPVSSANMMSGISSVPT PSPLGPLAGSPVIAAANSLGIPVPAAAGAQQ >ENSMUSP00000092034.4 pep:known chromosome:GRCm38:5:111417442:111457033:1 gene:ENSMUSG00000070576.4 transcript:ENSMUST00000094463.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mn1 description:meningioma 1 [Source:MGI Symbol;Acc:MGI:1261813] MFGLDQFEPQINSRHAGQGEGNFNEAGLSMNAHFKAPAFHAGPPTGPVDPAISALGEPPI LGLNMEPYGFHARSHSELHAGGLQAQPVHGFFGGQQPHHSHPGGHHPHQHHPHFGGNFGG PDPGASCLHGGRLLGYGGAAGGLGSQPPFAESYEHMAESQGPEGFGPQRPGNLPDFHSSG TSGHAVPAPCLPLDQSPNRAASFHGLSASSGSDSHSLEPRRVTNQGAVDSLEYNYPSEPP SGHFDMFSPSDSEGQLPHYAAGRQVPGGAFPGASAMPRASGMVGLSKMHSQPPQPPPQQQ QPQHGVFFERFGGARKMPVGLEPAVGSRHPLMQPPQQAPPPPQQPPPQQQPPPPGLLVRQ NSCPPALPRPQQGEAGTPSGGLQDGGPMLPSQHAQFEYPIHRLENRSMHPYSEPVFSMQH PPPQQAPNQRLQHFDAPPYMNVAKRPRFDFPGSAGVDRCASWNGSMHNGTLDNQLSPSAY PGLPGEFTPPVPDSFSSGPPLQHPGPDHQSLQQQQQQQQQQQQQQQQQQQQQQQQQQRQN AALMIKQMASRNQQQRLRQPNLAQLGHPGDVGQGGLVHGGSVGGLAQTNFEREGGSAGAG RLSGFEQQAPHLAQESAWFPGPHPPGDLLPRRMGGAGLPTDCGPHDPALAPPPAPGGSGV LFRGSLQEPLRMPGEGHVPALASPGLQFGGSLAGLGQLQSPGAGVGLPNAPSERRPPPPD FPAPALGGQPGFPFGSGSRQATPHSAPGVNSPPSAGSGSSGAGGGAYPPQPDFQPSQRNS ASKLGALSLGSFNKPSSKDNLFGQSCLAALSTACQNMIASLGAPNLNVTFNKKNPPEGKR KLSQNEPDSAVAAGNPGSDYFPGGTTPGAPGPGGPSGTSGGGSKASGPPNPPIQGDSTSL SPNYTLESTSGNDGKPVPGGSGRGRGRRKRDSGHVSPGTFFDKYSTAPDSGGAPGVSPGQ QQAPGSAAGGSSVNEARGPTPHEKALTSPSWGKGAELLLGDQPDLMASLDSTAKSDGSSP HVGEFASDEVSTSYANEDEVSSSSDNTTALAKASRSPLVTSSPKLPPRGVGAGEHTPKAS ALGLGILSTSTSTPDSYGGGVGTGHPGTPGLEQVRTPTSSSGAQPPDEIHPLEILQAQIQ LQRQQFSISEDQPLGLKGSKKAECAVGASGAQNGDSELGSCCSEAVKSAMSTIDLDSLMA EHSTTWYMPPDKALVDGGDEDKTLAPWEKAKSQNPNNKEAHDHPTNKASATQPGSHLQCL TVHCTDGDPKARTSVPTWRSLHSDISNRFGTFVAALT >ENSMUSP00000114238.3 pep:known chromosome:GRCm38:3:133112278:133234889:-1 gene:ENSMUSG00000040969.14 transcript:ENSMUST00000147041.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef38 description:Rho guanine nucleotide exchange factor (GEF) 38 [Source:MGI Symbol;Acc:MGI:1924919] MEPREAAGKETMGSKKKNLTFLRSRLYMLERRKTDTVVDSSVSGDHSGSLRRSQSDRTEY NQKLQEKMTPQAECSSAETPTPEDEQQVGRMMAKRAKIIRELIQTERDYLTDLELCLREV VQPLRSKQIDRLDVEGLFSNIESVHQISAKLLSLLEEATTDVEPAMQVIGEVFLQIKGPL EDIYKIYCYHHDEAHSVLESYEKEEELKQHLSHCLQSLKNIYLQEGKPNLLDMGSLMIKP IQRVMKYPLLLCELRNSTPPSHPDYRALGEAFAAVKDINVNINELKRRKDLVLKYKKNEE EESLKDKLSKLNIHSISKKSKRVTNHLKILTRGESQVKDNTFNREEKLFRSLEKTVRLCV KNISSCLQHIEEATPFTLQSGAELREISYQDGEKNGTEPQDQASKPYQDFAARSQRLILN PLSALLSLFPGPQKLIQKRYDKLLDYNSSLPRSATTESDLAKREYEALNAQLVEELQAFN QAAKTILLNCLCSFVTLLRDLMQVALQAYSTVKTVPLPVLGISEIQSRVLEEVHSLTFVK ENSATFIERKLSFEKKKPAQILPEVPHQTDAHRSKLLSTYGAEELYRAKRKCNATQEHDI NLLEGELVAVLEQKDPLGSTSRWFVDTGCVKGYVYSSFLKPHNPGKGQKVDADNRFCDDD FENISLFVSCRPAGDRVSISDSSSSLSGSCGKFETNGADADNFQEVDEQIFYAVHAFQAR SDHELSLQEYQRVHILRFCDLSGNKEWWLAEAQGQKGYVPANYLGKMTYA >ENSMUSP00000124280.2 pep:known chromosome:GRCm38:3:133159529:133234889:-1 gene:ENSMUSG00000040969.14 transcript:ENSMUST00000161022.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef38 description:Rho guanine nucleotide exchange factor (GEF) 38 [Source:MGI Symbol;Acc:MGI:1924919] MEPREAAGKETMGSKKKNLTFLRSRLYMLERRKTDTVVDSSVSGDHSGSLRRSQSDRTEY NQKLQEKMTPQAECSSAETPTPEDEQQVGRMMAKRAKIIRELIQTERDYLTDLELCLREV VQPLRSKQIDRLDVEGLFSNIESVHQISAKLLSLLEEATTDVEPAMQVIGEVFLQIKGPL EDIYKIYCYHHDEAHSVLESYEKEEELKQHLSHCLQSLK >ENSMUSP00000124776.1 pep:known chromosome:GRCm38:3:133172880:133234949:-1 gene:ENSMUSG00000040969.14 transcript:ENSMUST00000161932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef38 description:Rho guanine nucleotide exchange factor (GEF) 38 [Source:MGI Symbol;Acc:MGI:1924919] MEPREAAGKETMGSKKKNLTFLRSRLYMLERRKTDTVVDSSVSGDHSGSLRRSQSDRTEY NQKLQEKMTPQAECSSAETPTPEDEQQVGRMMAKRAKIIRELIQTERDYLTDLELCLREV VQPLRSKQIDRLDVEGLFSNIESVHQISAKLLSLLEEATTDVEPAMQVIGGNYGK >ENSMUSP00000054558.3 pep:known chromosome:GRCm38:3:133116432:133137302:-1 gene:ENSMUSG00000040969.14 transcript:ENSMUST00000054105.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef38 description:Rho guanine nucleotide exchange factor (GEF) 38 [Source:MGI Symbol;Acc:MGI:1924919] ELYRAKRKCNATQEHDINLLEGELVAVLEQKDPLGSTSRWFVDTGCVKGYVYSSFLKPHN PGKGQKVDADNRFCDDDFENISLFVSCRPAGDRVSISDSSSSLSGSCGKFETNGADADNF QEVDEQIFYAVHAFQARSDHELSLQEYQRVHILRFCDLSGNKEWWLAEAQGQKGYVPQLP WEDDVCLRK >ENSMUSP00000035964.2 pep:known chromosome:GRCm38:17:25133391:25162104:1 gene:ENSMUSG00000036636.9 transcript:ENSMUST00000040729.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn7 description:chloride channel, voltage-sensitive 7 [Source:MGI Symbol;Acc:MGI:1347048] MANVSKKVSWSGRDRDDEEGAPLLRRTGQPDEETPLLNGAGPGARQSHSALFRIGQMNNV ELDDELLDPEVDPPHTFPKEIPHNEKLLSLKYESLDYDNSENQLFLEEERRINHTAFRTV EIKRWVICALIGILTGLVACFIDIVVENLAGLKYRVIKDNIDKFTEKGGLSFSLLLWATL NSAFVLVGSVIVAFIEPVAAGSGIPQIKCFLNGVKIPHVVRLKTLVIKVSGVILSVVGGL AVGKEGPMIHSGSVIAAGISQGRSTSLKRDFKIFEYFRRDTEKRDFVSAGAAAGVSAAFG APVGGVLFSLEEGASFWNQFLTWRIFFASMISTFTLNFVLSIYHGNMWDLSSPGLINFGR FDSEKMAYTIHEIPVFIAMGVVGGILGAVFNALNYWLTMFRIRYIHRPCLQVIEAMLVAA VTATVAFVLIYSSRDCQPLQGSSMSYPLQLFCADGEYNSMAAAFFNTPEKSVVSLFHDPP GSYNPMTLGLFTLVYFFLACWTYGLTVSAGVFIPSLLIGAAWGRLFGISLSYLTGAAIWA DPGKYALMGAAAQLGGIVRMTLSLTVIMMEATSNVTYGFPIMLVLMTAKIVGDVFIEGLY DMHIQLQSVPFLHWEAPVTSHSLTAREVMSTPVTCLRRREKVGIIVDVLSDTASNHNGFP VVEDVGDTQPARLQGLILRSQLIVLLKHKVFVERSNMGLVQRRLRLKDFRDAYPRFPPIQ SIHVSQDERECTMDLSEFMNPSPYTVPQEASLPRVFKLFRALGLRHLVVVDNHNQVVGLV TRKDLARYRLGKGGLEELSLAQT >ENSMUSP00000124194.1 pep:known chromosome:GRCm38:17:25136622:25162098:1 gene:ENSMUSG00000036636.9 transcript:ENSMUST00000160961.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn7 description:chloride channel, voltage-sensitive 7 [Source:MGI Symbol;Acc:MGI:1347048] MQGILTGPLWESPPETPPPSAEEGPQSHSALFRIGQMNNVELDDELLDPEVDPPHTFPKE IPHNEKLLSLKYESLDYDNSENQLFLEEERRINHTAFRTVEIKRWVICALIGILTGLVAC FIDIVVENLAGLKYRVIKDNIDKFTEKGGLSFSLLLWATLNSAFVLVGSVIVAFIEPVAA GSGIPQIKCFLNGVKIPHVVRLKTLVIKVSGVILSVVGGLAVGKEGPMIHSGSVIAAGIS QGRSTSLKRDFKIFEYFRRDTEKRDFVSAGAAAGVSAAFGAPVGGVLFSLEEGASFWNQF LTWRIFFASMISTFTLNFVLSIYHGNMWDLSSPGLINFGRFDSEKMAYTIHEIPVFIAMG VVGGILGAVFNALNYWLTMFRIRYIHRPCLQVIEAMLVAAVTATVAFVLIYSSRDCQPLQ GSSMSYPLQLFCADGEYNSMAAAFFNTPEKSVVSLFHDPPGSYNPMTLGLFTLVYFFLAC WTYGLTVSAGVFIPSLLIGAAWGRLFGISLSYLTGAAIWADPGKYALMGAAAQLGGIVRM TLSLTVIMMEATSNVTYGFPIMLVLMTAKIVGDVFIEGLYDMHIQLQSVPFLHWEAPVTS HSLTAREVMSTPVTCLRRREKVGIIVDVLSDTASNHNGFPVVEDVGDTQPARLQGLILRS QLIVLLKHKVFVERSNMGLVQRRLRLKDFRDAYPRFPPIQSIHVSQDERECTMDLSEFMN PSPYTVPQEASLPRVFKLFRALGLRHLVVVDNHNQVVGLVTRKDLARYRLGKGGLEELSL AQT >ENSMUSP00000125546.1 pep:known chromosome:GRCm38:17:25145809:25150586:1 gene:ENSMUSG00000036636.9 transcript:ENSMUST00000159773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn7 description:chloride channel, voltage-sensitive 7 [Source:MGI Symbol;Acc:MGI:1347048] XEERRINHTAFRTVEIKRWVICALIGILTGLVACFIDIVVENLAGLKYRVIKDNIDKFTE KGGLSFSLLLWATLNSAFVLVGSVIVAFIEPVAAGSGIPQIKCFLNGTLVIKVSGVILSV VGGLAVGKEGPMIHSGSVIAAGISQGRSTSLKRDFKIFEYFRRDTEKRDFVSAGAAAGVS AAFGAPVGGVLFSLEEGASFWN >ENSMUSP00000124527.1 pep:known chromosome:GRCm38:17:25151174:25157902:1 gene:ENSMUSG00000036636.9 transcript:ENSMUST00000162862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn7 description:chloride channel, voltage-sensitive 7 [Source:MGI Symbol;Acc:MGI:1347048] XNMWDLSSPGLINFGRFDSEKMAYTIHEIPVFIAMGVVGGILGAVFNALNYWLTMFRIRY IHRPCLQVIEAMLVAAVTATVAFVLIYSSRDCQPLQGSSMSYPLQRGGHASETLSELHLG LYSEPRSCLVLSRLGVHEQHSAAQHLPCGHLSLCVLPFSTLQLFCADGEYNSMAAAFFNT PEKSVVSLFHDPPGSYNPMTLGLFTLVYFFLACWTYGLTVSAGVFIPSLLIGAAWGRLFG ISLSYLTGAAIWADPGKYALMGAAAQLGGIVRMTLSLTVIMMEATSNVTYGFPIMLVLMT AKIVGDVFIEGLYDMHIQLQSVPFLHWEAPVTSHSLTAREVMSTPVTCL >ENSMUSP00000126933.1 pep:known chromosome:GRCm38:12:103668808:103676139:-1 gene:ENSMUSG00000071179.8 transcript:ENSMUST00000164148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina16 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 16 [Source:MGI Symbol;Acc:MGI:2684892] MAVSILSLWLLLAVLVPCFGGPVTPPTETSNTSRTPAIQGAPPFFNNQKFALSLYTQLPK SKLGKNVIFSPLSITMPLVLLAFQDKPEARRQVLQGLGFGVTGALDAKAAVQYGKLLSAL LPAEHCGIHTGSLFFIDKTLKPQTTFLTLANSSYSSDVILISFGNHKLAKKQIDLAIKVK TQGKVTRLLRNLKPPTHLFLTNYNLFKGKWKYRFNPKYTGMRNFSLSNGTNILVPMMQKI GWFQLKYFSHIHSYVLQLPFTCNISGVFFLPNDGDLKECEKALLEQSFNTWIQPFPLRKR WLFFPKFSIPVALQLESFKHVNSSLKLFNKRMDLSGITLQKAPLRVTMAVHRAELAVSED GEGEDVSNSRVNPEPGLAALHFNRSFLLLILDEASKSLLFMGRVLNPTRVITDAVHRP >ENSMUSP00000093103.1 pep:known chromosome:GRCm38:12:103668897:103675464:-1 gene:ENSMUSG00000071179.8 transcript:ENSMUST00000095451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina16 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 16 [Source:MGI Symbol;Acc:MGI:2684892] MAVSILSLWLLLAVLVPCFGGPVTPPTETSNTSRTPAIQGAPPFFNNQKFALSLYTQLPK SKLGKNVIFSPLSITMPLVLLAFQDKPEARRQVLQGLGFGVTGALDAKAAVQYGKLLSAL LPAEHCGIHTGSLFFIDKTLKPQTTFLTLANSSYSSDVILISFGNHKLAKKQIDLAIKVK TQGKVTRLLRNLKPPTHLFLTNYNLFKGKWKYRFNPKYTGMRNFSLSNGTNILVPMMQKI GWFQLKYFSHIHSYVLQLPFTCNISGVFFLPNDGDLKECEKALLEQSFNTWIQPFPLRKR WLFFPKFSIPVALQLESFKHVNSSLKLFNKRMDLSGITLQKAPLRVTMAVHRAELAVSED GEGEDVSNSRVNPEPGLAALHFNRSFLLLILDEASKSLLFMGRVLNPTRVITDAVHRP >ENSMUSP00000052861.3 pep:known chromosome:GRCm38:2:87001971:87002945:1 gene:ENSMUSG00000049843.5 transcript:ENSMUST00000055129.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1102 description:olfactory receptor 1102 [Source:MGI Symbol;Acc:MGI:3030936] MPRTPSYTNTKTTQVNNVTEITVFILLGFTDDVDMNIFLFILFLAIYVVTLIGNLGLVVL VIEDSRLHNPMYYFLTVLSSLDACFSSVLTPKMLVNFLSKNKSISFAGCATQMLLFVTFG TTECFLLAAMAYDRYLAIYSPLLYAVRMSPRVYVPLIIASYTGGILHATIHTVATFSLSF CGSNEIRHVFCDIPPLLALSCSDTHLNQLLLFYCAGSIELITILIVLVSYGFVLLAILKI NSAEGRRKIFSTCGAHLTGVSIFHGTILFMYVRPSSNYTLEQDMVVSTFYTIVIPMLNPI IYSLRNKDVKEAMRKLLKRKLVHE >ENSMUSP00000031262.7 pep:known chromosome:GRCm38:5:100654723:100674284:-1 gene:ENSMUSG00000029319.8 transcript:ENSMUST00000031262.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq2 description:coenzyme Q2 4-hydroxybenzoate polyprenyltransferase [Source:MGI Symbol;Acc:MGI:1919133] MLRWGGAGLARGLRAVRSAWLRGPRGLPLALVRSAGVPGARDRRAPAPGTQRGRALSLSA AAVVNSAPRPLQPYLRLMRLDKPIGTWLLYLPCTWSIGLAADPGCFPDWYMLSLFGTGAI LMRGAGCTINDMWDRDFDKKVTRTANRPIAAGDISTFQSFVFLGGQLTLALGVLLCLNYY SIAMGAASLLLVVTYPLVKRITFWPQLALGLTFNWGALLGWSAVKGSCDPAVCLPLYFSG VMWTLIYDTIYAHQDKKDDALIGLKSTALLFQENTRQWLSGFGVAMVAALSLAGANNGQT VPYYAAVAAVGAHLAHQIYTVDIHRAEDCWDKFTSNRTVGMLLFLGIVLGNLCKEKTEEA KDAEAVRVGSEQTS >ENSMUSP00000122631.1 pep:known chromosome:GRCm38:5:100655255:100674156:-1 gene:ENSMUSG00000029319.8 transcript:ENSMUST00000135146.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Coq2 description:coenzyme Q2 4-hydroxybenzoate polyprenyltransferase [Source:MGI Symbol;Acc:MGI:1919133] XALVRSAGVPGARDRRAPAPGTQRGRALSLSAAAVVNSAPRPLQPYLRLMRLDKPIGHQT WRRVPALTVSGARGASANHCLCERLTWHITEGLSFPVLRLQGQQTAQSLLETFRPSSPSS SLGDS >ENSMUSP00000122296.1 pep:known chromosome:GRCm38:5:100657943:100674249:-1 gene:ENSMUSG00000029319.8 transcript:ENSMUST00000126981.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Coq2 description:coenzyme Q2 4-hydroxybenzoate polyprenyltransferase [Source:MGI Symbol;Acc:MGI:1919133] MLRWGGAGLARGLRAVRSAWLRGPRGLPLALVRSAGVPGARDRRAPAPGTQRGRALSLSA AAVVNSAPRPLQPYLRLMRLDKPIGYKDSKPPNRCWRHFDLPVLRLPWGTADLGTGRSPV FKLLQYSNGSSVLTSCRHLPTSEKNHVLASVGFGIDF >ENSMUSP00000119410.1 pep:known chromosome:GRCm38:5:100660172:100664419:-1 gene:ENSMUSG00000029319.8 transcript:ENSMUST00000135801.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq2 description:coenzyme Q2 4-hydroxybenzoate polyprenyltransferase [Source:MGI Symbol;Acc:MGI:1919133] MGAASLLLVVTYPLVKRITFWPQLALGLTFNWGALLGWSAVKGSCDPAVCLPLYFSGVMW TLIYDTIYAHQ >ENSMUSP00000115605.1 pep:known chromosome:GRCm38:5:100661866:100675140:-1 gene:ENSMUSG00000029319.8 transcript:ENSMUST00000127811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq2 description:coenzyme Q2 4-hydroxybenzoate polyprenyltransferase [Source:MGI Symbol;Acc:MGI:1919133] MGAASLLLVVTYPLVK >ENSMUSP00000054330.6 pep:known chromosome:GRCm38:13:92425896:92441658:1 gene:ENSMUSG00000045034.12 transcript:ENSMUST00000061594.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd34b description:ankyrin repeat domain 34B [Source:MGI Symbol;Acc:MGI:2443245] MDEGSEVSTDGNSLIKAVHQSRLRLTRLLLEGGAYINESNDRGETPLMIACKTKHVDQQS VGRAKMVKYLLENSADPNIQDKSGKSALMHACLERAGPEVVSLLLKSGADLSLQDHSGYS ALVYAINAEDRDTLKVLLSACQAKGKEVIIITTAKSPSGRHTTQHHLNMPPADMDGSHPP ATPSEIDIKTASLPLSYSSETDLTLFGFKDKELCGGSDNTWDPDSPPRKPVIATNGPKLS QAPAWIKSTPSLKHQARVASLQEELQDITPEEEIAYKTNALALSKRFITRHQSIDVKDTA HLLRAFDQVNSRKMSYDEINYHSLFPEGSQTSVEIPTDRDPDSNQIFASTLKSIVQKRNS GANHYSSDSQLAEGVTPPTVEDGKAAKKKIFAPSPSLLSGSKELVEPAPPGPLSRRNHAV LERRGSGAFPLDHSLAQSRPGFLPPLNVNPHPPITDIGVNNKICGLLSCGQKALMPTAPI FPKEFKTKKMLLRRQSLQTEQIKQLVNF >ENSMUSP00000128171.1 pep:known chromosome:GRCm38:13:92426341:92438353:1 gene:ENSMUSG00000045034.12 transcript:ENSMUST00000165225.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd34b description:ankyrin repeat domain 34B [Source:MGI Symbol;Acc:MGI:2443245] MDEGSEVSTDGNSLIKAVHQSRLRLTRLLL >ENSMUSP00000126289.1 pep:known chromosome:GRCm38:13:92426658:92440930:1 gene:ENSMUSG00000045034.12 transcript:ENSMUST00000168871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd34b description:ankyrin repeat domain 34B [Source:MGI Symbol;Acc:MGI:2443245] MDEGSEVSTDGNSLIKAVHQSRLRLTRLLLEGGAYINESNDRGETPLMIACKTKHVDQQS VGRAKMVKYLLENSADPNIQDKSGKSALMHACLERAGPEVVSLLLKSGADLSLQDHSGYS ALVYAINAEDRDTLKVLLSACQAKGKEVIIITTAKSPSGRHTTQHHLNMPPADMDGSHPP ATPSEIDIKTASLPLSYSSETDLTLFGFKDKELCGGSDNTWDPDSPPRKPVIATNGPKLS QAPAWIKSTPSLKHQARVASLQEELQDITPEEEIAYKTNALALSKRFITRHQSIDVKDTA HLLRAFDQVNSRKMSYDEINYHSLFPEGSQTSVEIPTDRDPDSNQIFASTLKSIVQKRNS GANHYSSDSQLAEGVTPPTVEDGKAAKKKIFAPSPSLLSGSKELVEPAPPGPLSRRNHAV LERRGSGAFPLDHSLAQSRPGFLPPLNVNPHPPITDIGVNNKICGLLSCGQKALMPTAPI FPKEFKTKKMLLRRQSLQTEQIKQLVNF >ENSMUSP00000022537.5 pep:known chromosome:GRCm38:14:64104286:64113755:1 gene:ENSMUSG00000021966.7 transcript:ENSMUST00000022537.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss52 description:protease, serine 52 [Source:MGI Symbol;Acc:MGI:1920632] MKRWKDRRTGLLLPLVLLLFGACSSLAWVCGRRMSSRSQQLNNASAIVEGKPASAIVGGK PANILEFPWHVGIMNHGSHLCGGSILNEWWVLSASHCFDQLNNSKLEIIHGTEDLSTKGI KYQKVDKLFLHPKFDDWLLDNDIALLLLKSPLNLSVNRIPICTSEISDIQAWRNCWVTGW GITNTSEKGVQPTILQAVKVDLYRWDWCGYILSLLTKNMLCAGTQDPGKDACQGDSGGAL VCNKKRNTAIWYQVGIVSWGMGCGKKNLPGVYTKVSHYVRWISKQTAKAGRPYMYEQNSA CPLVLSCRAILFLYFVMFLLT >ENSMUSP00000087515.4 pep:known chromosome:GRCm38:6:96164497:96166243:-1 gene:ENSMUSG00000072878.4 transcript:ENSMUST00000090061.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700123L14Rik description:RIKEN cDNA 1700123L14 gene [Source:MGI Symbol;Acc:MGI:1925732] MAKKNAKKEATARNWQGDAEEEGTFSLASEDVLRNRTIKRAKRRHARPQPNGKGTSKGIK SLAVPSGRGEFRGGPGEKPQEVLTTRRNTARAAAEPKAALGSVTANEPPSLEHEQISDAQ TNVHSQQPASPGLTFSQACAGSVYHRQLTGLNCSVRDWIVKHVNANPFCDLTPVFKQYEK YLAAIEKQLHSSCGCLSESEPNRGFVAVTQPPFLAVATELQPELVTSEKTEFMCEKKANS AQGATSTSCNFGKNTETPAWGSLNSGPMHRVSSATRSSNVSGKGTTRKKPASAKALESPA QGNSDECKLLVEEEEEEEEEEEEGEEEESAFYCKKCKLFYKKDNEFKEKGVGTLYLKSTA NQKTKLLVRDTKLGSILLNILIPPDMPCSRMGKNNVLIVCVPDPPLDKKNATIKVTMLIR VKTSKDADELHKILLQKTDA >ENSMUSP00000121371.1 pep:known chromosome:GRCm38:2:30266203:30282148:1 gene:ENSMUSG00000079484.12 transcript:ENSMUST00000154647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhd1 description:phytanoyl-CoA dioxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:3612860] MACLSPSQLKKFQEDGFLLLEGFFTADECVAMQQRIGEIVAEMDVPLHCRTEFSTQEDEQ LQTQGKTDYFLSSGDKIRFFFEKGVFDEKGNFLVPPEKSINKIGHALHAHDPVFRSITHS PKVQALVRSLGLQMPVVVQSMYIFKQPHFGGEVSPHQDATFLYTEPLGRVLGLWIAMEDA MLENGCLWFIPGSHTRGVSRRMIRAPSDSGPGTSFLGSDPAWASNLFVPLPVRRGGLVLI HGEVVHKSEQNHSDHSRQAYTVHLMEAAGTVWSPGNWLQPTPELPFPPLYS >ENSMUSP00000109275.1 pep:known chromosome:GRCm38:2:30266721:30282148:1 gene:ENSMUSG00000079484.12 transcript:ENSMUST00000113645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhd1 description:phytanoyl-CoA dioxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:3612860] MACLSPSQLKKFQEDGFLLLEGFFTADECVAMQQRIGEIVAEMDVPLHCRTEFSTQEDEQ LQTQGKTDYFLSSGDKIRFFFEKGVFDEKGNFLVPPEKSINKIGHALHAHDPVFRSITHS PKVQALVRSLGLQMPVVVQSMYIFKQPHFGGEVSPHQDATFLYTEPLGRVLGLWIAMEDA MLENGCLWFIPGSHTRGVSRRMIRAPSDSGPGTSFLGSDPAWASNLFVPLPVRRGGLVLI HGEVVHKSEQNHSDHSRQAYTVHLMEAAGTVWSPGNWLQPTPELPFPPLYS >ENSMUSP00000117643.1 pep:known chromosome:GRCm38:2:30266735:30281066:1 gene:ENSMUSG00000079484.12 transcript:ENSMUST00000133877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhd1 description:phytanoyl-CoA dioxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:3612860] MGLNIFWFQEDGFLLLEGFFTADECVAMQQRIGEIVAEMDVPLHCRTEFSTQEDEQLQTQ GKTDYFLSSGDKIRFFFEKGVFDEKGNFLVPPEKSINKIGHALHAHDPVFRSITHSPKVQ ALVRSLGLQMPVVVQSMYIFKQPHFGGEVSPHQDATFLYTEPLGRVLGLWIAMEDAMLEN GCLWFIPGSHTRGVSRRMIRAPSDSGPGTSFLGSDPAWASNLFVPLPVRRGGLVLIHGEV VHKSEQNHS >ENSMUSP00000116450.1 pep:known chromosome:GRCm38:2:30266819:30280245:1 gene:ENSMUSG00000079484.12 transcript:ENSMUST00000139719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhd1 description:phytanoyl-CoA dioxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:3612860] MACLSPSQLKKFQEDGFLLLEGFFTADECVAMQQRIGEIVAEMDVPLHCRTEFSTQEDEQ LQTQGKTDYFLSSGDKIRFFFEKGVFDEKGNFLVPPEKSINKIGHALHAHDPVFRSITHS PKVQALVRSLGLQMPVVVQSMYIFKQPHFGGEVSPHQDATFLYTEPLGRVLGLWIAMEDA MLENGCLWFIPGSHTRGVSRRMIRAPSDSGPGTSFLGSDP >ENSMUSP00000109273.1 pep:known chromosome:GRCm38:2:30266849:30282137:1 gene:ENSMUSG00000079484.12 transcript:ENSMUST00000113643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhd1 description:phytanoyl-CoA dioxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:3612860] MACLSPSQLKKFQEDGFLLLEGFFTADECVAMQQRIGEIVAEMDVPLHCRTEFSTQEDEQ LQTQGKTDYFLSSGDKIRFFFEKGVFDEKGNFLVPPEKSINKIGHALHAHDPVFRSITHS PKVQQPHFGGEVSPHQDATFLYTEPLGRVLGLWIAMEDAMLENGCLWFIPGSHTRGVSRR MIRAPSDSGPGTSFLGSDPAWASNLFVPLPVRRGGLVLIHGEVVHKSEQNHSDHSRQAYT VHLMEAAGTVWSPGNWLQPTPELPFPPLYS >ENSMUSP00000121995.1 pep:known chromosome:GRCm38:2:30266856:30281114:1 gene:ENSMUSG00000079484.12 transcript:ENSMUST00000150695.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhd1 description:phytanoyl-CoA dioxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:3612860] MACLSPSQLKKFQEDGFLLLEGFFTADECVAMQQRIGEIVAEMDVPLHCRTEFSTQEDEQ LQTQGKTDYFLSSGDKIRFFFEKGVFDEKGNFLVPPEKSINKIGHVSPHQDATFLYTEPL GRVLGLWIAMEDAMLENGCLWFIPGSHTRGVSRRMIRAPSDSGPGTSFLGSDPAWASNLF VPLPVRRGGLVLIHGEVVHKSEQNHSDHSRQAYTVHLMEAAG >ENSMUSP00000119543.1 pep:known chromosome:GRCm38:2:30266863:30282098:1 gene:ENSMUSG00000079484.12 transcript:ENSMUST00000127689.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phyhd1 description:phytanoyl-CoA dioxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:3612860] MACLSPSQLKKFQEDGFLLLEGFFTADECVAMQQRIGEIVAEMDVPLHCRTEFSTQEDEQ LQTQGKTDYFLSSGDKIRFFFEKGVFDEKGNFLVPPEKSINKIGHALHAHDPVFRSITHS PKVQALVRSLGLQMPVVVQSMYIFKSPLTRMLPSSTRSP >ENSMUSP00000116062.1 pep:known chromosome:GRCm38:2:30266863:30282098:1 gene:ENSMUSG00000079484.12 transcript:ENSMUST00000138254.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phyhd1 description:phytanoyl-CoA dioxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:3612860] MACLSPSQLKKFQEDGFLLLEGFFTADECVAMQQRIGEIVAEMDVPLHCRTEFSTQEDEQ LQTQGKTDYFLSSGDKIRFFFEKGVFDEKGNFLVPPEKSINKIGHALHAHDPVFRSITHS PKVQALVRSLGLQMPVVVQSMYIFKQPHFGGEGELRLGPCAVGAIKGLGLEMGHQWPSGV >ENSMUSP00000122095.1 pep:known chromosome:GRCm38:2:30277003:30282140:1 gene:ENSMUSG00000079484.12 transcript:ENSMUST00000147204.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phyhd1 description:phytanoyl-CoA dioxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:3612860] XLHAHDPVFRSITHSPKVQALVRSLGLQMPVVVQSMYIFKSPLTRMLPSSTRSP >ENSMUSP00000088663.6 pep:known chromosome:GRCm38:2:30266529:30282149:1 gene:ENSMUSG00000079484.12 transcript:ENSMUST00000091132.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhd1 description:phytanoyl-CoA dioxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:3612860] MKENSPGAIELSQLSPAVFPMACLSPSQLKKFQEDGFLLLEGFFTADECVAMQQRIGEIV AEMDVPLHCRTEFSTQEDEQLQTQGKTDYFLSSGDKIRFFFEKGVFDEKGNFLVPPEKSI NKIGHALHAHDPVFRSITHSPKVQALVRSLGLQMPVVVQSMYIFKQPHFGGEVSPHQDAT FLYTEPLGRVLGLWIAMEDAMLENGCLWFIPGSHTRGVSRRMIRAPSDSGPGTSFLGSDP AWASNLFVPLPVRRGGLVLIHGEVVHKSEQNHSDHSRQAYTVHLMEAAGTVWSPGNWLQP TPELPFPPLYS >ENSMUSP00000113152.1 pep:known chromosome:GRCm38:6:96113154:96657198:1 gene:ENSMUSG00000059187.12 transcript:ENSMUST00000122120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam19a1 description:family with sequence similarity 19, member A1 [Source:MGI Symbol;Acc:MGI:2443695] MAMVSAMSWALYLWISACAMLLCHGSLQHTFQQHHLHRPEGGTCEVIAAHRCCNKNRIEE RSQTVKCSCLPGKVAGTTRNRPSCVDASIVIGKWWCEMEPCLEGEECKTLPDNSGWMCAT GNKIKTTRIHPRT >ENSMUSP00000074589.5 pep:known chromosome:GRCm38:6:96115311:96656467:1 gene:ENSMUSG00000059187.12 transcript:ENSMUST00000075080.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam19a1 description:family with sequence similarity 19, member A1 [Source:MGI Symbol;Acc:MGI:2443695] MAMVSAMSWALYLWISACAMLLCHGSLQHTFQQHHLHRPEGGTCEVIAAHRCCNKNRIEE RSQTVKCSCLPGKVAGTTRNRPSCVDASIVIGKWWCEMEPCLEGEECKTLPDNSGWMCAT GNKIKTTRIHPRT >ENSMUSP00000120124.1 pep:known chromosome:GRCm38:9:51765660:51850651:1 gene:ENSMUSG00000053199.13 transcript:ENSMUST00000130405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap20 description:Rho GTPase activating protein 20 [Source:MGI Symbol;Acc:MGI:2445175] MKTLAERRRSAPSLILDKALQKRPSTRDSHSASIDTCAFLSSFMCSSRTLLIDGPVELKR GLQRQERHLFLFNDLFVSAKIKYNNNFKIKNKIRLTDMWTASCVEEVGEGNMNAQKSFVL GWPTVNFVATFSSPEQKDKWLSLLQRYIALEKEKDYPKSIPLKIFAKDIGNCAYFKTITV MNSDTASEVINMSLQMLGITGSERDYQLWVNSGKEAAPYPLIGHEYPYGIKMSHLRDTAL LTQGSRDSASPSQLQEPFLMEQLPREMQCQFILKPTRLATAQQLSDSSQKTFKRRRSIIN WAFWRGSSTHLDNLPMSPTSPMPGQLFGVSLPDLCENDNLPKPILDMLSFLNQKGPLTKG IFRQSANMKSCRELKEKLNSGIEVHLDCESIFVIASVLKDFLRNIPESIFSSDLYDHWVC VMDQGNDEEKINIIQRLLDQLPRANVVFLRYLFGVLHNIEQHSLSNQMTAFNLAVCIAPS ILWPPASSSPELENEFTKKVSLLIQFLIENCCRVFGEEIASLLGELSERSDREHTPDITC FQMNDSSYDSLENELNEEADAPCSDLVKKLGQGSRSMDSVLTLSDYDLEQPEVEGLLTLS NFDLDQSKEEHIPIKPPLEPKPVNVFVGYRKVSLGEHARAPAGPGTLSCLPVAAADAPKV LRRHRRSSEPSIDYLDTKLSYLREFYQKKLRKSSCDAVLSRKDEDYLKQTQPQKKGDKVC LKQSSVTGTDVSKRNTANENIKKKSLSGHEGTQVTLFTKSKPVPISVASYSHGSSQDHPR KQAFDADPCRFSPPHLTDAQKSSRVQHRRCSEPSIDDQNYKLSYLRGIYSMKQNKASCEA GLLHGEDDYLRRHKSLQIEGQKLINQSLVMGIEVGKSSSSHQSTEKVLPPRLNLCPRASY SSLSSPGSSPSGSSVSSQDSAFSQISEHSVFTPTETSSPIDCTFQTQRKQEELSSDFDSP SRLSGMPGPSMGQASSHLAYLRKGTTEQPSQMHSVTLHPSAWLRSGLVTLKNWSLKKKTK AARPEDRKVCSLKEPLELPSCASGTPEADSLQESQDDLQGDEGPGQTACGFSSYACQDSE QHAGSPFHLAESRLKPCMKLYKGEESGGQYPCDNPWEGASSSLETTEDTANPGAEPTTFA MTGTDI >ENSMUSP00000065633.5 pep:known chromosome:GRCm38:9:51765352:51853856:1 gene:ENSMUSG00000053199.13 transcript:ENSMUST00000065496.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap20 description:Rho GTPase activating protein 20 [Source:MGI Symbol;Acc:MGI:2445175] MEAMSPQQDALGAQPGRSSSLTGMSRIAGGPGTKKKMKTLAERRRSAPSLILDKALQKRP STRDSHSASIDTCAFLSSFMCSSRTLLIDGPVELKRGLQRQERHLFLFNDLFVSAKIKYN NNFKIKNKIRLTDMWTASCVEEVGEGNMNAQKSFVLGWPTVNFVATFSSPEQKDKWLSLL QRYIALEKEKDYPKSIPLKIFAKDIGNCAYFKTITVMNSDTASEVINMSLQMLGITGSER DYQLWVNSGKEAAPYPLIGHEYPYGIKMSHLRDTALLTQGSRDSASPSQLQEPFLMEQLP REMQCQFILKPTRLATAQQLSDSSQKTFKRRRSIINWAFWRGSSTHLDNLPMSPTSPMPG QLFGVSLPDLCENDNLPKPILDMLSFLNQKGPLTKGIFRQSANMKSCRELKEKLNSGIEV HLDCESIFVIASVLKDFLRNIPESIFSSDLYDHWVCVMDQGNDEEKINIIQRLLDQLPRA NVVFLRYLFGVLHNIEQHSLSNQMTAFNLAVCIAPSILWPPASSSPELENEFTKKVSLLI QFLIENCCRVFGEEIASLLGELSERSDREHTPDITCFQMNDSSYDSLENELNEEADAPCS DLVKKLGQGSRSMDSVLTLSDYDLEQPEVEGLLTLSNFDLDQSKEEHIPIKPPLEPKPVN VFVGYRKVSLGEHARAPAGPGTLSCLPVAAADAPKVLRRHRRSSEPSIDYLDTKLSYLRE FYQKKLRKSSCDAVLSRKDEDYLKQTQPQKKGDKVCLKQSSVTGTDVSKRNTANENIKKK SLSGHEGTQVTLFTKSKPVPISVASYSHGSSQDHPRKQAFDADPCRFSPPHLTDAQKSSR VQHRRCSEPSIDDQNYKLSYLRGIYSMKQNKASCEAGLLHGEDDYLRRHKSLQIEGQKLI NQSLVMGIEVGKSSSSHQSTEKVLPPRLNLCPRASYSSLSSPGSSPSGSSVSSQDSAFSQ ISEHSVFTPTETSSPIDCTFQTQRKQEELSSDFDSPSRLSGMPGPSMGQASSHLAYLRKG TTEQPSQMHSVTLHPSAWLRSGLVTLKNWSLKKKTKAARPEDRKVCSLKEPLELPSCASG TPEADSLQESQDDLQGDEGPGQTACGFSSYACQDSEQHAGSPFHLAESRLKPCMKLYKGE ESGGQYPCDNPWEGASSSLETTEDTANPGAEPTTFAMTGTDI >ENSMUSP00000098092.4 pep:known chromosome:GRCm38:11:96351631:96354012:1 gene:ENSMUSG00000075588.6 transcript:ENSMUST00000100523.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb2 description:homeobox B2 [Source:MGI Symbol;Acc:MGI:96183] MNFEFEREIGFINSQPSLAECLTSFPAVLETFQTSSIKESTLIPPPPPLEQTFPSLQLGA STLQRPGSQKQAGDGPALRSPPPLPVAPPAPEFPWMKEKKSTKKPSQSAASPSPAASSVR ASEVGSPSDGPGLPECGGSGSRRLRTAYTNTQLLELEKEFHFNKYLCRPRRVEIAALLDL TERQVKVWFQNRRMKHKRQTQHREPPEGEPGGPSAQDDAGEPAEEPTVSPGDVATHRLRE ACFHPAEAAQGPRGAPPSALPATTLESVGASSPGCTMLRAGGRQSEPLPEDACPERQDSP FLPDLNFFAADSCLQMSGGLSPSLQGSLDSPVPFSEEELDFFTSTLCAIDLQFP >ENSMUSP00000044913.1 pep:known chromosome:GRCm38:2:83915079:83941226:-1 gene:ENSMUSG00000034552.8 transcript:ENSMUST00000038223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zswim2 description:zinc finger SWIM-type containing 2 [Source:MGI Symbol;Acc:MGI:1919111] MLRGGCKASEKRRHLSESLSWQQDQALSSSIYLLRQIGPTGFLLKEEEPEKGDFRVLLGN PHECSCPTFLKRGELCKHICWVLLKKFKLPRNHESAFQLGLTEGEINDLLRGIHQVQAPQ LRASDETAQVEEDGYLKQKDINAGDICPICQEVLLEKKLPVTFCRFGCGNNVHIKCMRIL ANYQDTGSDSSVLRCPLCREEFAPLKVILEEFKNSNKLITISEKERLDKHLGIPCNNCNQ LPIEGRCYKCTECVEYHLCQECFDSCCHSSHAFASREKRNQRWRSVEKRSEVMKYLNTEN EGEAKPGCFQEKQGQFYTPKHVVKSLPLLMITKKSKLLAPGYQCRLCLKSFSFGQYTRLL PCTHKFHRKCIDNWLLHKCNSCPIDRQVIYNPLIWKGIATDGQAHQLASSKDIACLSKQQ EPKLFIPGTGLVLKGKRMGVLPSIPQYNSKVLTTLQNPSDNYQNITMDDLCSVKLDNSNS RKLVFGYKISKQFPTYLKNPTTGQTPSQTFLPSLPHKNIICLTGRESPHIYEKDHIGQSQ KTSRGYEHINYNTRKSLGSRLRQHKRSSALSSEDLNLTINLGTTKLSLSKRQNNSMGKVR QKLGHPPRRPAYPPLQTQNAALSLIMQGIQL >ENSMUSP00000119439.1 pep:known chromosome:GRCm38:2:83916764:83941228:-1 gene:ENSMUSG00000034552.8 transcript:ENSMUST00000152829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zswim2 description:zinc finger SWIM-type containing 2 [Source:MGI Symbol;Acc:MGI:1919111] MLRGGCKASEKRRHLSESLSWQQDQALSSSIYLLRQIGPTGFLLKEEEPEKGDFRVLLGN PHECSCPTFLKRGELCKHICWVLLKKFKLPRNHESAFQLGLTEGEINDLLRGIHQVQAPQ LRASDETAQVEEDGYLKQKDINAGDICPICQEVLLEKKLPVTFCRFGCGNNVHIKCMRIL ANYQDTGSDSSVLRCPLCREEFAPLKVILEEFKNSNKLITISEKERLDKHLGIPCNNCNQ LPIEGRCYKCTECVEYHLCQECFDSCCHSSHAFASREKRNQRWRSVEKRSEVMKYLNTEN EGEAKPGCFQEKQGQFYTPKHVVKSLPLLMITKKSKLLAPGYQCRLCLKSFSFGQYTRLL PCTHKVKATTLHFTFTKRQFSNKFRSKVAVEV >ENSMUSP00000006476.4 pep:known chromosome:GRCm38:7:30603092:30612847:-1 gene:ENSMUSG00000006313.5 transcript:ENSMUST00000006476.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upk1a description:uroplakin 1A [Source:MGI Symbol;Acc:MGI:98911] MASAATEGEKGSPVVVGLLVVGNIIILLSGLALFAETVWVTADQYRVYPLMGVSGKDDVF AGAWIAIFCGFSFFVVASFGVGAALCRRRYMILTYLLLMLIVYIFECASCITSYTHRDYM VSNPSLITKQMLTYYSADTDQGQELTRLWDRIMIEQECCGTSGPMDWVNYTSAFRAATPE VVFPWPPLCCRRTGNFIPINEDGCRVGHMDYLFTKGCFEHIGHAIDSYTWGISWFGFAIL MWTLPVMLIAMYFYTTL >ENSMUSP00000092548.3 pep:known chromosome:GRCm38:2:69758033:69789575:-1 gene:ENSMUSG00000070883.3 transcript:ENSMUST00000094942.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc173 description:coiled-coil domain containing 173 [Source:MGI Symbol;Acc:MGI:1923100] MQVRFGRRSGQAKESQGMDCFEKEEIPYPPLLPSKVDLQQITIIPHAEWERIRDSLNRLT REAAVLRAERAAKKKMHVKSQELVKHWTNTYAGMKEQKLKAKQKRDEEIEAERKVLDLEE EIYKEGERKKAIESARQCQFHQTERVKRFHSGLLLSRVMKERDVQIQYKKNAVKSDKKWE EQVKLNDEKAFKEDQEKEEKRRRERVALAEDHLKQIEEHKEEEEARKKSEEKDAEEMKRQ NLLYEIEMKKNLSKKQEEIDTNRKLLLDNMHNKNIIRAVEQQQQEEEDEKIRKFIKAKKR LIQMRMDKDAETHRLMEERRERINNFLSKLIKEKLDTEDLIIARDISEADAELEKREKEK HEKNQADLKAIAEYRASVMKNKEEEERQRKIEAKEQLQAVLKADKIFQELEKEKSLKVTR EKLEIQDAHIQQIAINKYNAKQMKEEELDYWRLTDALTVEKEKEFEKYAREVINFESEST KKYAYPMVKAVQEGVGGGRGPPFVGRGGIRPSYQATDATGVQLPCFKSQGSKYNDFQKSK RRLGFTW >ENSMUSP00000024078.7 pep:known chromosome:GRCm38:19:37207543:37222139:1 gene:ENSMUSG00000023307.13 transcript:ENSMUST00000024078.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March5 description:membrane-associated ring finger (C3HC4) 5 [Source:MGI Symbol;Acc:MGI:1915207] MPDQALQQMLDRSCWVCFATDEDDRTAEWVRPCRCRGSTKWVHQACLQRWVDEKQRGNST ARVACPQCNAEYLIVFPKLGPVVYVLDLADRLISKACPFAAAGIMVGSIYWTAVTYGAVT VMQVVGHKEGLDVMERADPLFLLIGLPTIPVMLILGKMIRWEDYVLRLWRKYSNKLQILN SIFPGIGCPVPRIPAEANPLADHVSATRILCGALVFPTIATIVGKLMFSSVNSNLQRTIL GGIAFVAIKGAFKVYFKQQQYLRQAHRKILNYPEQEEA >ENSMUSP00000108010.1 pep:known chromosome:GRCm38:19:37207568:37221076:1 gene:ENSMUSG00000023307.13 transcript:ENSMUST00000112391.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March5 description:membrane-associated ring finger (C3HC4) 5 [Source:MGI Symbol;Acc:MGI:1915207] MPDQALQQMLDRSCWVCFATDEDDRTAEWVRPCRCRGSTKWVHQACLQRWVDEKQRGNST ARVACPQCNAEYLIVFPKLGPVVYVLDLADRLISKACPFAAAGIMVGSIYWTAVTYGAVT VMQVVGHKEGLDVMERADPLFLLIGLPTIPVMLILGKMIRWEDYVLRLWRKYSNKLQILN SIFPGIGCPVPRIPAEANPLADHVSATRILCGALVFPTIATIVGKLMFSSVNSNLQRTIL VRWI >ENSMUSP00000118920.1 pep:known chromosome:GRCm38:19:37217190:37221977:1 gene:ENSMUSG00000023307.13 transcript:ENSMUST00000128530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March5 description:membrane-associated ring finger (C3HC4) 5 [Source:MGI Symbol;Acc:MGI:1915207] XVYVLDLADRLISKACPFAAAGIMVGSIYWTAVTYGAVTVMQVVGHKEGLDVMERADPLF LLIGLPTIPVMLILGKMIRWEDYVLRLWRKYSNKLQILNSIFPAEANPLADHVSATRILC GALVFPTIATIVGKLMFSSVNSNLQRTILGGIAFVAIKGAFKVYFKQQQYLRQAHRKILN YPEQEEA >ENSMUSP00000092021.3 pep:known chromosome:GRCm38:5:113490447:113589725:1 gene:ENSMUSG00000063430.9 transcript:ENSMUST00000094452.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wscd2 description:WSC domain containing 2 [Source:MGI Symbol;Acc:MGI:2445030] MAKLWFKFQRCFRYFRRKPVRFFTLLAIYLTAGSLVFLHSGFVGQPAVPQSQASPAAGSP VEGAELPFLGDLHLGRGFRDTVEASSIARRYGPTFKGKDTSERAKLGDYGGAWSRALKGR VVREKEKEKEEEKAKYIGCYLDDTQSRALRGVSFFDYKKMTVFRCQDNCAERGYLYAGLE FGAECYCGHKIQAANVSESDCDMECKGERGSVCGGINRLSVYRLQLAQESARRYGSAVFR GCFRRPNNLSLALPVSAAMPNMSVDKCVDLCTEKEFPLAALAGTACHCGFPTTRFPLHDR EDEQLCAQKCSAEEFESCGTPSYFIVYQTQVQDNRCMDRRFLPAKSKKLIALASFPGAGN TWARHLIELATGFYTGSYYFDGSLYNKGFKGERDHWRSGRTICIKTHESGQKEIEAFDAA ILLIRNPYKALMAEFNRKYGGHIGFAAHAHWKGKEWPEFVRNYAPWWATHTLDWLKFGKT VLVVHFEDLKQDLFTQLGRMVSLLGVAVREDRLLCVESQKDGNFKRSGLRKLEYDPYTAE MRRTIAAYIRMVDTALRSRNLTGVPDAYGPR >ENSMUSP00000038610.7 pep:known chromosome:GRCm38:2:179912152:179976646:-1 gene:ENSMUSG00000039117.15 transcript:ENSMUST00000041618.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf4a description:TAF4A RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:2152346] MAAGSDLLDEVFFNSEVDEKVVSDLVGSLESQLAASAAHHHHLAPRTPELRAAAAGALGN HVVSGSPAGAAGAGPAASAEGAPAAAPEPPPAGRARPAGGGPRRPGSSSPRRLLVPAGPA PAPAKLRPLPEASAGPCPAAAAAAAATAAAVAGPDPAAAAPPAGPGASKPGPPGPPGPGA AQTLNGSAAPPTAPHAAPAVSPVNNGPAPPPAAGSVIQAAPAPAAPSPPAAPAPAAPPPP APPAPVAPARPPGHPAAPAPPVSPAAAAAAAAAAAAAQNGAAPAPAAASGGAAGLGQPGA GAQGAKAEAPKPGPGPGPGPAAAAAAAGVAGGGAAGSVLLGPAMPGALPGPAPGTPAGLA KGAAAATPSLPRTPAATTGAIRATLTPTVLAPRLPQPPQNPTNIQNFQLPPGMVLVRSEN GQLLMIPQQALAQMQAHAQAQPQSTMAPRPATPTGAPPVQISTVQAPGTPIIARQVTPTT IIKQVSQAQTTVQPTTTLQRSPGVQPQLVLGGSAQPASLGTATAVQTGTPQRTVPGASTT STAATETMENVKKCKSFLSTLIKLASSGKQSTETAANVKDLVQNLLDGKIEAEDFTSRLY RELNSSPQPYLVPFLKRSLPALRQLTPDSAAFIQQSQQQQPPASQATTALTAVVLSSSVQ RTAGKTAASVTSALQPPVISLTQPTQVGVGKQAPPTPLVIQQPPKPGALIRPPQVTLTQT PMVALRQPHNRIMLTTPQQIQLNQLQPVPVVKPTVLPGTKALSTVSAQAAAAQKNKLKEP GGGSFRDDDDINDVASMAGVNLSEESARILATNSELVGTLTRSCKDDTFLLPAPLQRRIL EIGKKHGITELHPDVVSYVSHATQQRLQNLVEKISETAQQKNFSYKDDDRYEQASDVRAQ LKFFEQLDQIEKQRKDEQEREILMRAAKSRSRQEDPEQLRLKQKAKEMQQQELAQMRQRD ANLTALAAIGPRKKRKVDCTGPGSGAEGSGPGAAVPGGSGVGTPRQFTRQRITRVNLRDL IFCLENERETSHSLLLYKAFLK >ENSMUSP00000101582.3 pep:known chromosome:GRCm38:4:132311763:132329489:-1 gene:ENSMUSG00000028898.15 transcript:ENSMUST00000105962.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnau1ap description:tRNA selenocysteine 1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1919037] MAASLWMGDLEPYMDENFISRAFATMGETVMSVKIIRNRLTGIPAGYCFVEFADLATAEK CLHKINGKPLPGATPAKRFKLNYATYGKQPDNSPEYSLFVGDLTPDVDDGMLYEFFVKVY PSCRGGKVVLDPTGVSKSRVKPVEYSQMYSYSYNQYYQQYQNYYAQWGYDQNTGSYSYSY PQYGYTQSTMQTYEEVGDDALEDPAPQLDVTEANKEFMEQSEELYDALMDCHWQPLDTVS SEIPAMM >ENSMUSP00000030730.7 pep:known chromosome:GRCm38:4:132311763:132329538:-1 gene:ENSMUSG00000028898.15 transcript:ENSMUST00000030730.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnau1ap description:tRNA selenocysteine 1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1919037] MAASLWMGDLEPYMDENFISRAFATMGETVMSVKIIRNRLTGIPAGYCFVEFADLATAEK CLHKINGKPLPGATPAKRFKLNYATYGKQPDNSPEYSLFVGDLTPDVDDGMLYEFFVKVY PSCRGGKVVLDPTGVSKGYGFVKFTDELEQKRALTECQGAVGLGCKPVRLSVAIPKASRV KPVEYSQMYSYSYNQYYQQYQNYYAQWGYDQNTGSYSYSYPQYGYTQSTMQTYEEVGDDA LEDPAPQLDVTEANKEFMEQSEELYDALMDCHWQPLDTVSSEIPAMM >ENSMUSP00000127273.1 pep:known chromosome:GRCm38:4:132311865:132329389:-1 gene:ENSMUSG00000028898.15 transcript:ENSMUST00000147652.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trnau1ap description:tRNA selenocysteine 1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1919037] MAASLWMGDLEPYMDENFISRAFATMGETVMSVKIIRNRLTGIPAGYCFVEFADLATAEK CLHKINGKPLPGATPLLSLQLPHLGS >ENSMUSP00000120657.1 pep:known chromosome:GRCm38:4:132314207:132329414:-1 gene:ENSMUSG00000028898.15 transcript:ENSMUST00000127402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnau1ap description:tRNA selenocysteine 1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1919037] MAASLWMGDLEPYMDENFISRAFATMGETVMSVKIIRNRLTGIPAGYCFVEFADLATAEK CLHKINGKPLPGATPAKRFKLNYATYGKQPDNRSRVKPVEYSQMYSYSYNQYYQQYQNYY AQWGYDQNTGSYSYSYPQYGYTQSTM >ENSMUSP00000127767.1 pep:known chromosome:GRCm38:4:132314313:132329504:-1 gene:ENSMUSG00000028898.15 transcript:ENSMUST00000137520.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trnau1ap description:tRNA selenocysteine 1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1919037] MAASLWMGDLEPYMDENFISRAFATMGETVMSVKIIRNRLTGQNVLN >ENSMUSP00000126492.1 pep:known chromosome:GRCm38:4:132314353:132329538:-1 gene:ENSMUSG00000028898.15 transcript:ENSMUST00000125513.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trnau1ap description:tRNA selenocysteine 1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1919037] MAASLWMGDDLDVVGHAFNPSTLEAAVENPSWLLLCGIRRFGHSREVFA >ENSMUSP00000101580.1 pep:known chromosome:GRCm38:4:132321679:132329538:-1 gene:ENSMUSG00000028898.15 transcript:ENSMUST00000105960.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnau1ap description:tRNA selenocysteine 1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1919037] MAASLWMGDAKRFKLNYATYGKQPDNSPEYSLFVGDLTPDVDDGMLYEFFVKVYPSCRGG KVVLDPTGVS >ENSMUSP00000135553.1 pep:known chromosome:GRCm38:9:107983287:107994562:1 gene:ENSMUSG00000079323.9 transcript:ENSMUST00000177368.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20661 description:predicted gene 20661 [Source:MGI Symbol;Acc:MGI:5313108] MLLHHQALLYSSGWSSEKQAQHLCLRVAVMNGRIHWKQRKMGFSSSSWSLPGI >ENSMUSP00000091476.3 pep:known chromosome:GRCm38:11:96323326:96347930:1 gene:ENSMUSG00000048763.11 transcript:ENSMUST00000093944.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb3 description:homeobox B3 [Source:MGI Symbol;Acc:MGI:96184] MQKATYYDNTAAALFGGYSSYPGSNGFGYDGPPQPPFQAATHLEGDYQRSACSLQSLGNA APHAKSKELNGSCMRPGLAPEPLPAPPGSPPPSAAPTSTTSNSNNGGGPSKSGPPKCGAG SNSTLTKQIFPWMKESRQTSKLKNSSPGTAEGCGGGGGGGGGGGGGGGGSSGGGGGGGGG GDKSPPGSAASKRARTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLSERQIKIWFQ NRRMKYKKDQKAKGLASSSGGPSPAGSPPQPMQSTAGFMNALHSMTPSYDSPSPPAFGKG HQNAYALPSNYQPPLKGCGAPQKYPPTPASEYEPHVLQANGGAYGTPTMQGSPVYVGGGG YADPLPPPAGPSLYGLNHLSHHPSGNLDYNGAAPMGPNQHHGPCDPHPTYTDLSSHHAPP QGRIQEAPKLTHL >ENSMUSP00000134435.1 pep:known chromosome:GRCm38:11:96328062:96344303:1 gene:ENSMUSG00000048763.11 transcript:ENSMUST00000123091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb3 description:homeobox B3 [Source:MGI Symbol;Acc:MGI:96184] MQKATYYDNTAAALFGGY >ENSMUSP00000053426.2 pep:known chromosome:GRCm38:11:96343769:96346507:1 gene:ENSMUSG00000048763.11 transcript:ENSMUST00000055334.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb3 description:homeobox B3 [Source:MGI Symbol;Acc:MGI:96184] MQKATYYDNTAAALFGGYSSYPGSNGFGYDGPPQPPFQAATHLEGDYQRSACSLQSLGNA APHAKSKELNGSCMRPGLAPEPLPAPPGSPPPSAAPTSTTSNSNNGGGPSKSGPPKCGAG SNSTLTKQIFPWMKESRQTSKLKNSSPGTAEGCGGGGGGGGGGGGGGGGSSGGGGGGGGG GDKSPPGSAASKRARTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLSERQIKIWFQ NRRMKYKKDQKAKGLASSSGGPSPAGSPPQPMQSTAGFMNALHSMTPSYDSPSPPAFGKG HQNAYALPSNYQPPLKGCGAPQKYPPTPASEYEPHVLQANGGAYGTPTMQGSPVYVGGGG YADPLPPPAGPSLYGLNHLSHHPSGNLDYNGAAPMGPNQHHGPCDPHPTYTDLSSHHAPP QGRIQEAPKLTHL >ENSMUSP00000008987.4 pep:known chromosome:GRCm38:5:134914249:134915526:-1 gene:ENSMUSG00000008843.4 transcript:ENSMUST00000008987.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn13 description:claudin 13 [Source:MGI Symbol;Acc:MGI:1913102] MVVSKQEAISFSVTSLGWVGAIVSCVLPVWRVTFPDDETDPDATIWEGLWHICQVRENRW IQCTLYDTRILVAQDIKVSRVFMVICTIGTWLGLLLCVLGDWRINCFMNFTIEENLLKVA GGMFLSVGLLMLVPLSWVTHNIIHGFFNPLLGFSKKVQMGSSLSLAWTSSLLLLLGGILL CVNIPVCRDFPRCIETPSARPSGANNDTLDV >ENSMUSP00000128277.1 pep:known chromosome:GRCm38:1:40465552:40491578:1 gene:ENSMUSG00000026070.15 transcript:ENSMUST00000167723.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il18r1 description:interleukin 18 receptor 1 [Source:MGI Symbol;Acc:MGI:105383] MHHEELILTLCILIVKSASKSCIHRSQIHVVEGEPFYLKPCGISAPVHRNETATMRWFKG SASHEYRELNNRSSPRVTFHDHTLEFWPVEMEDEGTYISQVGNDRRNWTLNVTKRNKHSC FSDKLVTSRDVEVNKSLHITCKNPNYEELIQDTWLYKNCKEISKTPRILKDAEFGDEGYY SCVFSVHHNGTRYNITKTVNITVIEGRSKVTPAILGPKCEKVGVELGKDVELNCSASLNK DDLFYWSIRKEDSSDPNVQEDRKETTTWISEGKLHASKILRFQKITENYLNVLYNCTVAN EEAIDTKSFVLVRKEIPDIPGHVFTGGVTVLVLASVAAVCIVILCVIYKVDLVLFYRRIA ERDETLTGNMLL >ENSMUSP00000141695.1 pep:known chromosome:GRCm38:1:40465676:40491580:1 gene:ENSMUSG00000026070.15 transcript:ENSMUST00000193391.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il18r1 description:interleukin 18 receptor 1 [Source:MGI Symbol;Acc:MGI:105383] MHHEELILTLCILIVKSASKSCIHRSQIHVVEGEPFYLKPCGISAPVHRNETATMRWFKG SASHEYRELNNRSSPRVTFHDHTLEFWPVEMEDEGTYISQVGNDRRNWTLNVTKRNKHSC FSDKLVTSRDVEVNKSLHITCKNPNYEELIQDTWLYKNCKEISKTPRILKDAEFGDEGYY SCVFSVHHNGTRYNITKTVNITVIEGRSKVTPAILGPKCEKVGVELGKDVELNCSASLNK DDLFYWSIRKEDSSDPNVQEDRKETTTWISEGKLHASKILRFQKITENYLNVLYNCTVAN EEAIDTKSFVLVRKEIPDIPGHVFTGGVTVLVLASVAAVCIVILCVIYKVDLVLFYRRIA ERDETLTGNMLL >ENSMUSP00000141464.1 pep:known chromosome:GRCm38:1:40465970:40491578:1 gene:ENSMUSG00000026070.15 transcript:ENSMUST00000193793.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il18r1 description:interleukin 18 receptor 1 [Source:MGI Symbol;Acc:MGI:105383] MHHEELILTLCILIVKSASKSCIHRSQIHVVEGEPFYLKPCGISAPVHRNETATMRWFKG SASHEYRELNNRSSPRVTFHDHTLEFWPVEMEDEGTYISQVGNDRRNWTLNVTKRNKHSC FSDKLVTSRDVEVNKSLHITCKNPNYEELIQDTWLYKNCKEISKTPRILKDAEFGDEGYY SCVFSVHHNGTRYNITKTVNITVIEGRSKVTPAILGPKCEKVGVELGKDVELNCSASLNK DDLFYWSIRKEDSSDPNVQEDRKETTT >ENSMUSP00000142070.1 pep:known chromosome:GRCm38:1:40466006:40500853:1 gene:ENSMUSG00000026070.15 transcript:ENSMUST00000195684.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il18r1 description:interleukin 18 receptor 1 [Source:MGI Symbol;Acc:MGI:105383] MHHEELILTLCILIVKSASKSCIHRSQIHVVEGEPFYLKPCGISAPVHRNETATMRWFKG SASHEYRELNNRSSPRVTFHDHTLEFWPVEMEDEGTYISQVGNDRRNWTLNVTKRNKHSC FSDKLVTSRDVEVNKSLHITCKNPNYEELIQDTWLYKNCKEISKTPRILKDAEFGDEGYY SCVFSVHHNGTRYNITKTVNITVIEGRSKVTPAILGPKCEKVGVELGKDVELNCSASLNK DDLFYWSIRKEDSSDPNVQEDRKETTTWISEGKLHASKILRFQKITENYLNVLYNCTVAN EEAIDTKSFVLVRKEIPDIPGHVFTGGVTVLVLASVAAVCIVILCVIYKVDLVLFYRRIA ERDETLTDGKTYDAFVSYLKECHPENKEEYTFAVETLPRVLEKQFGYKLCIFERDVVPGG AVVEEIHSLIEKSRRLIIVLSQSYLTNGARRELESGLHEALVERKIKIILIEFTPASNIT FLPPSLKLLKSYRVLKWRADSPSMNSRFWKNLVYLMPAKAVKPWREESEARSVLSAP >ENSMUSP00000085298.2 pep:known chromosome:GRCm38:1:40466006:40500833:1 gene:ENSMUSG00000026070.15 transcript:ENSMUST00000087983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il18r1 description:interleukin 18 receptor 1 [Source:MGI Symbol;Acc:MGI:105383] MHHEELILTLCILIVKSASKSCIHRSQIHVVEGEPFYLKPCGISAPVHRNETATMRWFKG SASHEYRELNNRSSPRVTFHDHTLEFWPVEMEDEGTYISQVGNDRRNWTLNVTKRNKHSC FSDKLVTSRDVEVNKSLHITCKNPNYEELIQDTWLYKNCKEISKTPRILKDAEFGDEGYY SCVFSVHHNGTRYNITKTVNITVIEGRSKVTPAILGPKCEKVGVELGKDVELNCSASLNK DDLFYWSIRKEDSSDPNVQEDRKETTTWISEGKLHASKILRFQKITENYLNVLYNCTVAN EEAIDTKSFVLVRKEIPDIPGHVFTGGVTVLVLASVAAVCIVILCVIYKVDLVLFYRRIA ERDETLTDGKTYDAFVSYLKECHPENKEEYTFAVETLPRVLEKQFGYKLCIFERDVVPGG AVVEEIHSLIEKSRRLIIVLSQSYLTNGARRELESGLHEALVERKIKIILIEFTPASNIT FLPPSLKLLKSYRVLKWRADSPSMNSRFWKNLVYLMPAKAVKPWREESEARSVLSAP >ENSMUSP00000103679.2 pep:known chromosome:GRCm38:1:40466006:40500854:1 gene:ENSMUSG00000026070.15 transcript:ENSMUST00000108044.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il18r1 description:interleukin 18 receptor 1 [Source:MGI Symbol;Acc:MGI:105383] MHHEELILTLCILIVKSASKSCIHRSQIHVVEGEPFYLKPCGISAPVHRNETATMRWFKG SASHEYRELNNRSSPRVTFHDHTLEFWPVEMEDEGTYISQVGNDRRNWTLNVTKRNKHSC FSDKLVTSRDVEVNKSLHITCKNPNYEELIQDTWLYKNCKEISKTPRILKDAEFGDEGYY SCVFSVHHNGTRYNITKTVNITVIEGRSKVTPAILGPKCEKVGVELGKDVELNCSASLNK DDLFYWSIRKEDSSDPNVQEDRKETTTWISEGKLHASKILRFQKITENYLNVLYNCTVAN EEAIDTKSFVLVRKEIPDIPGHVFTGGVTVLVLASVAAVCIVILCVIYKVDLVLFYRRIA ERDETLTDGKTYDAFVSYLKECHPENKEEYTFAVETLPRVLEKQFGYKLCIFERDVVPGG AVVEEIHSLIEKSRRLIIVLSQSYLTNGARRELESGLHEALVERKIKIILIEFTPASNIT FLPPSLKLLKSYRVLKWRADSPSMNSRFWKNLVYLMPAKAVKPWREESEARSVLSAP >ENSMUSP00000042327.6 pep:known chromosome:GRCm38:14:73237895:73243294:1 gene:ENSMUSG00000033446.7 transcript:ENSMUST00000044405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar6 description:lysophosphatidic acid receptor 6 [Source:MGI Symbol;Acc:MGI:1914418] MVSSNGSQCPYDDSFKYTLYGCMFSMVFVLGLISNCVAIYIFICALKVRNETTTYMINLA MSDLLFVFTLPFRIFYFATRNWPFGDLLCKISVMLFYTNMYGSILFLTCISVDRFLAIVY PFKSKTLRTKRNAKIVCIAVWFTVMGGSAPAVFFQSTHSQGNNTSEACFENFPAATWKTY LSRIVIFIEIVGFFIPLILNVTCSSMVLRTLNKPVTLSRSKMNKTKVLKMIFVHLVIFCF CFVPYNINLILYSLMRTQTFVNCSVVAAVRTMYPITLCIAVSNCCFDPIVYYFTSDTIQN SIKMKNWSVRRSDSRFSEVQGTENFIQHNLQTLKNKIFDNESAI >ENSMUSP00000144252.1 pep:known chromosome:GRCm38:5:93481829:93493701:-1 gene:ENSMUSG00000096044.4 transcript:ENSMUST00000201432.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16427 description:predicted gene 16427 [Source:MGI Symbol;Acc:MGI:3704103] MSGQTPPTLQKRARQTQLRDEALAISPLESVPAVYVPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGPLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHGFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000143929.1 pep:known chromosome:GRCm38:5:93482216:93540200:-1 gene:ENSMUSG00000096044.4 transcript:ENSMUST00000200745.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16427 description:predicted gene 16427 [Source:MGI Symbol;Acc:MGI:3704103] MSGQTPPTLQKRARQTQLRDEALAISPLESVPAVYVPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGPLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHGFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000136600.1 pep:known chromosome:GRCm38:5:93482139:93485346:-1 gene:ENSMUSG00000096044.4 transcript:ENSMUST00000179091.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16427 description:predicted gene 16427 [Source:MGI Symbol;Acc:MGI:3704103] PPTLQKRARQTQLRDEALAISPLESVPAVYVPWLFQEAFAGRHNSLIKTMVAAWPFQYLP VGPLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHGFWNIGSDANDSDSD AETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFCCTKMK IWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAPLHKNT SPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQTLSIT HCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDFQWCSM KDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPLECYDA LGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCLQSKEM GSD >ENSMUSP00000080602.2 pep:known chromosome:GRCm38:16:58995385:59000616:1 gene:ENSMUSG00000062105.3 transcript:ENSMUST00000073320.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr183 description:olfactory receptor 183 [Source:MGI Symbol;Acc:MGI:3030017] MEKKNETLWTEFVLTGLTCLPQWKPLLFLVFLVIYFMTIVGNLGLITLIWNDPHLHIPMY LFLSNLAFVDTWLSSTVTPRMLFNLLDKGKVISVAECKTQFFSFAISVTTECFLLAAMAY DRYAAICNPLLYPVIMTNRLCVRLLALSFIGGFLHAVIHESFLSRLTFCNSNIIYHFYCD VIPLLKISCTDPSLNYLIIFIFSGSIQVFTIMTVLISYTFVLFTILKKKSDKGIRKAFST CGSHLLSVSLYYGPLLFMYVHPASSEVDDQDMILSLFYTVIIPVLNPIIYSLRNKQVIDS LKKMLKMMV >ENSMUSP00000145583.1 pep:known chromosome:GRCm38:16:58995404:59000152:1 gene:ENSMUSG00000062105.3 transcript:ENSMUST00000206303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr183 description:olfactory receptor 183 [Source:MGI Symbol;Acc:MGI:3030017] MEKKNETLWTEFVLTGLTCLPQWKPLLFLVFLVIYFMTIVGNLGLITLIWNDPHLHIPMY LFLSNLAFVDTWLSSTVTPRMLFNLLDKGKVISVAECKTQFFSFAISVTTECFLLAAMAY DRYAAICNPLLYPVIMTNRLCVRLLALSFIGGFLH >ENSMUSP00000095597.3 pep:known chromosome:GRCm38:7:135268639:135272942:1 gene:ENSMUSG00000073804.4 transcript:ENSMUST00000097983.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nps description:neuropeptide S [Source:MGI Symbol;Acc:MGI:3642232] MIGSLKLSFVLALSLSVMHVLWCYPVLSSKVPGKPDYFLILLSSCPARLEGSDRLAFLKP ILEKTSMKRSFRNGVGSGAKKTSFRRAKQ >ENSMUSP00000136532.1 pep:known chromosome:GRCm38:13:98279887:98307154:1 gene:ENSMUSG00000096330.7 transcript:ENSMUST00000180188.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21976 description:predicted gene 21976 [Source:MGI Symbol;Acc:MGI:5439445] MRLNSGFRVTSEKEVANCRSAGIKGDRSIFSSYCSRCAFGSAS >ENSMUSP00000136360.1 pep:known chromosome:GRCm38:13:98279892:98293770:1 gene:ENSMUSG00000096330.7 transcript:ENSMUST00000178658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21976 description:predicted gene 21976 [Source:MGI Symbol;Acc:MGI:5439445] MRLNSGFRVTSEKEVANCRSAGIKGVPRTGSIVHQSPGLPGFMAAHRVHPQGQATGVSFL PTAADVPLDRHRKKAICRSVLTA >ENSMUSP00000136875.1 pep:known chromosome:GRCm38:13:98279938:98307154:1 gene:ENSMUSG00000096330.7 transcript:ENSMUST00000180066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21976 description:predicted gene 21976 [Source:MGI Symbol;Acc:MGI:5439445] XEKEVANCRSAGIKGVPRTGSIVHQSPGLPGFMAAHRVHPQGQATGVSFLPTAADVPLDR HRKKGHSCLPTRKCLLTSCGVFLVLGVVAAAIAVGIIFGTPTKPASWTYHQCRTDSQQPG FLCEDRTTCLPPSLLCDGKMDCRDGWDEAAASCGQMPDSLPQNLIFKCPNQKTWTFVDRV CDTRNDCGDCSDESVSRCPECSGWRCETVFFADCACIPRSRCRNGIQDCADWSDENLCD >ENSMUSP00000139945.1 pep:known chromosome:GRCm38:13:98302504:98307092:1 gene:ENSMUSG00000096330.7 transcript:ENSMUST00000186911.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21976 description:predicted gene 21976 [Source:MGI Symbol;Acc:MGI:5439445] GHSCLPTRKCLLTSCGVFLVLGVVAAAIAVGIIFGTPTKPASWTYHQCRTDSQQPGFLCE DRTTCLPPSLLCDGKMDCRDGWDEAAASCGQMPDSLPQNLIFKCPNQKTWTFVDRVCDTR NDCGDCSDESVSRCPECSGWRCETVFFADCACIPRSRCRNGIQDCADWSDENLCD >ENSMUSP00000057291.7 pep:known chromosome:GRCm38:10:80901203:80918245:-1 gene:ENSMUSG00000062075.13 transcript:ENSMUST00000057623.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmnb2 description:lamin B2 [Source:MGI Symbol;Acc:MGI:96796] MSAPHSGNRGDSPAAATSAMASLPPHAGPATPLSPTRLSRLQEKEELRELNDRLAHYIDR VRALELENDRLLLRISEKEEVTTREVSGIKTLYESELADARRVLDETARERARLQIEIGK VQAELEEARKSAKKREGELTVAQGRVKDLESLFHRSEAELATALSDKQGLETEVAELRAQ LAKAEDGHAVAKKQLEKETLMRVDLENRCQSLQEELAFSKSVFEEEVRETRRRHERRLVE VDSSRQQEYDFKMAQALEDLRSQHDEQVRLYRVELEQTYQAKLDNAKLLSDQNDKAAHAA REELKEARMRVESLSYQLLGLQKQASAAENHIHELEEALAGERDKFRKMLDAKEQEMTEV RDAMQQQLAEYQELLDIKLALDMEISAYRKLLEGEEERLKLSPSPSSRITISRATSSSSS SSGVGMSVGQGRGKRRRLETEDTSGSPSRASRVSSGSRLAQQTVATGVVNIDEVDPEGRF VRLKNSSDKDQSLGNWRIKRQVLEGEDIAYKFTPKYVLRAGQTVTVWAAGAGATHSPPST LVWKSQTNWGPGESFRTALVSADGEEVAVKAAKHSSVQGRENGEEEEEEEAEFGEEDLFH QQGDPRTTSRGCRLM >ENSMUSP00000100969.2 pep:known chromosome:GRCm38:10:80902798:80906412:-1 gene:ENSMUSG00000062075.13 transcript:ENSMUST00000105332.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmnb2 description:lamin B2 [Source:MGI Symbol;Acc:MGI:96796] MGESESMRGTGEGCGRDCPEAARPLMETVEGALPELRGRPLREYVKRRPRGLGKTPVEDP VKSEGAVGYPRTWNNHLRVPTREQEVRETRRRHERRLVEVDSSRQQEYDFKMAQALEDLR SQHDEQVRLYRVELEQTYQAKLDNAKLLSDQNDKAAHAAREELKEARMRVESLSYQLLGL QKQASAAENHIHELEEALAGERDKFRKMLDAKEQEMTEVRDAMQQQLAEYQELLDIKLAL DMEISAYRKLLEGEEERLKLSPSPSSRITISRATSSSSSSSGVGMSVGQGRGKRRRLETE DTSGSPSRASRVSSGSRLAQQTVATGVVNIDEVDPEGRFVRLKNSSDKDQSLGNWRIKRQ VLEGEDIAYKFTPKYVLRAGQTVTVWAAGAGATHSPPSTLVWKSQTNWGPGESFRTALVS ADGEEVAVKAAKHSSVQGRENGEEEEEEEAEFGEEDLFHQQGDPRTTSRGCRLM >ENSMUSP00000136524.1 pep:known chromosome:GRCm38:10:80901364:80918245:-1 gene:ENSMUSG00000062075.13 transcript:ENSMUST00000179022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmnb2 description:lamin B2 [Source:MGI Symbol;Acc:MGI:96796] MASLPPHAGPATPLSPTRLSRLQEKEELRELNDRLAHYIDRVRALELENDRLLLRISEKE EVTTREVSGIKTLYESELADARRVLDETARERARLQIEIGKVQAELEEARKSAKKREGEL TVAQGRVKDLESLFHRSEAELATALSDKQGLETEVAELRAQLAKAEDGHAVAKKQLEKET LMRVDLENRCQSLQEELAFSKSVFEEEVRETRRRHERRLVEVDSSRQQEYDFKMAQALED LRSQHDEQVRLYRVELEQTYQAKLDNAKLLSDQNDKAAHAAREELKEARMRVESLSYQLL GLQKQASAAENHIHELEEALAGERDKFRKMLDAKEQEMTEVRDAMQQQLAEYQELLDIKL ALDMEISAYRKLLEGEEERLKLSPSPSSRITISRATSSSSSSSGVGMSVGQGRGKRRRLE TEDTSGSPSRASRVSSGSRLAQQTVATGVVNIDEVDPEGRFVRLKNSSDKDQSLGNWRIK RQVLEGEDIAYKFTPKYVLRAGQTVTVWAAGAGATHSPPSTLVWKSQTNWGPGESFRTAL VSADGEEVAVKAAKHSSVQGRENGEEEEEEEAEFGEEDLFHQQGDPRTTSRGCRLM >ENSMUSP00000090825.6 pep:known chromosome:GRCm38:11:50131396:50172030:1 gene:ENSMUSG00000036644.15 transcript:ENSMUST00000093138.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d9b description:TBC1 domain family, member 9B [Source:MGI Symbol;Acc:MGI:1924045] MWLGPEEVLVANALWVTERANPFFVLQRRRGHGKGGGLTGLLVGTLDVVLDSSARVAPYR ILHQTQDSQVYWIVACGSSRKEITKHWEWLENNLLQTLSIFDNEEDITTFVKGKIHGIIA EENKNLQPQGDEDPGKFKEAELKMRKQFGMPEGEKLVNYYSCNFWKGRVPRQGWLYLTVN HLCFYSFLLGKEVSLVVQWVDVTRLEKNATLLFPESIRVDTRDQELFFSMFLNIGETFKL MEQLANLAMRQLLDSEGFLEDKALPRPIRPHKNISALKRDLDARAKNECYRATFRLPKDE RLDGHTGCTLWTPFNKLHIPGQMFISNNYICFASKEEDACRLIIPLREVTIVEKADSSSV LPSPLSISTKSKMTFLFANLKDRDFLVQRISDFLQKTPSKQTGSSIGGTKASVSDPAPES LPTPQEASEPPASPSSPLSSPPSFSTQEIPTTSQGLLKVFQKNSPMEDLGAKGAKEKMKE ESWNIHFFEYGRGMCMYRTAKTRELVLKGIPESLRGELWLLFSGAWNEMVTHPGYYAELV EKSLGKYSLATEEIERDLHRSMPEHPAFQNELGIAALRRVLTAYAFRNPTIGYCQAMNIV TSVLLLYGSEEEAFWLLVALCERMLPDYYNTRVVGALVDQGIFEELTRDVLPRLSEKMQE LGVISSISLSWFLTLFLSVMPFESAVVIVDCFFYEGIKVILQVALAVLDANVEQLLDCND EGEAMTVLGRYLDNVVNKQSISPPIPHLHALLTSGDDPPVEVDIFDLLRVSYEKFSNLRA DDIEQMRFKQRLKVIQSLEDTAKRSVVRAIPGDIGFSIEELEDLYMVFKAKHLASQYWGG NRSAAVHRDPSLPYLEQYRIDASQFRELFASLTPWACGSHTPVLAGRMFRLLDQNKDSLI NFKEFVTGMSGMYHGDLTEKLKALYKLHLPPALIPEEAESALEAAHYFTEDSSSEALLQE QQEGSGNEDTPERREEKGTSPPDYRHYLRMWAKEKEAQKETIKDLPKMNQEQFIELCKTL YNMFSEDPMEQDLYHAIATVASLLLRIGEVGKKFSALTTKKPRDGAHSGDPNSATEEDEP PTPKLHQDPTQECQPPAAGDRQAKASGDMHLGKALQDSHVIVEGGSGEGQGSPSLLLSDD ETKDDMSMSSYSVVSTGSLQCEDLTEDTVLVGGGACSPTATSRAGGTVDTDWCISFEQIL ASILTESVLVNFFEKRVDIGLKIKDQKKVERQFSTSSDHEPPGVLG >ENSMUSP00000098828.4 pep:known chromosome:GRCm38:11:50131396:50172785:1 gene:ENSMUSG00000036644.15 transcript:ENSMUST00000101270.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d9b description:TBC1 domain family, member 9B [Source:MGI Symbol;Acc:MGI:1924045] MWLGPEEVLVANALWVTERANPFFVLQRRRGHGKGGGLTGLLVGTLDVVLDSSARVAPYR ILHQTQDSQVYWIVACGSSRKEITKHWEWLENNLLQTLSIFDNEEDITTFVKGKIHGIIA EENKNLQPQGDEDPGKFKEAELKMRKQFGMPEGEKLVNYYSCNFWKGRVPRQGWLYLTVN HLCFYSFLLGKEVSLVVQWVDVTRLEKNATLLFPESIRVDTRDQELFFSMFLNIGETFKL MEQLANLAMRQLLDSEGFLEDKALPRPIRPHKNISALKRDLDARAKNECYRATFRLPKDE RLDGHTGCTLWTPFNKLHIPGQMFISNNYICFASKEEDACRLIIPLREVTIVEKADSSSV LPSPLSISTKSKMTFLFANLKDRDFLVQRISDFLQKTPSKQTGSSIGGTKASVSDPAPES LPTPQEASEPPASPSSPLSSPPSFSTQEIPTTSQGLLKVFQKNSPMEDLGAKGAKEKMKE ESWNIHFFEYGRGMCMYRTAKTRELVLKGIPESLRGELWLLFSGAWNEMVTHPGYYAELV EKSLGKYSLATEEIERDLHRSMPEHPAFQNELGIAALRRVLTAYAFRNPTIGYCQAMNIV TSVLLLYGSEEEAFWLLVALCERMLPDYYNTRVVGALVDQGIFEELTRDVLPRLSEKMQE LGVISSISLSWFLTLFLSVMPFESAVVIVDCFFYEGIKVILQVALAVLDANVEQLLDCND EGEAMTVLGRYLDNVVNKQSISPPIPHLHALLTSGDDPPVEVDIFDLLRVSYEKFSNLRA DDIEQMRFKQRLKVIQSLEDTAKRSVVRAIPGDIGFSIEELEDLYMVFKAKHLASQYWGG NRSAAVHRDPSLPYLEQYRIDASQFRELFASLTPWACGSHTPVLAGRMFRLLDQNKDSLI NFKEFVTGMSGMYHGDLTEKLKALYKLHLPPALIPEEAESALEAAHYFTEDSSSEASPLA SDLDLFLPWEAQALLQEQQEGSGNEDTPERREEKGTSPPDYRHYLRMWAKEKEAQKETIK DLPKMNQEQFIELCKTLYNMFSEDPMEQDLYHAIATVASLLLRIGEVGKKFSALTTKKPR DGAHSGDPNSATEEDEPPTPKLHQDPTQECQPPAAGDRQAKASGDMHLGKALQDSHVIVE GGSGEGQGSPSLLLSDDETKDDMSMSSYSVVSTGSLQCEDLTEDTVLVGGGACSPTATSR AGGTVDTDWCISFEQILASILTESVLVNFFEKRVDIGLKIKDQKKVERQFSTSSDHEPPG VLG >ENSMUSP00000131374.1 pep:known chromosome:GRCm38:14:73123037:73161986:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000163339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MLDVG >ENSMUSP00000132723.1 pep:known chromosome:GRCm38:14:73138768:73162337:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000171070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIFVL >ENSMUSP00000130732.1 pep:known chromosome:GRCm38:14:73142536:73164719:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000170370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIFVLGTNCSGCLGVGDIQSTIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEV FTWGHNAY >ENSMUSP00000131588.1 pep:known chromosome:GRCm38:14:73142537:73184218:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000164822.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIFVLGTNCSGCLGVGDIQSTIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEV FTWGHNAYSQLGNGTTNHGLVPCHISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNN SGQVGSGSTANQPIPRRVTGCLQNKVVMNIACGQMCSMAVVDTGEVYVWGYNGNGQLGLG SSGNQPTPCRVAALQGIRVQRVACGYAHTLVLTDEGQIYAWGANSYGQLGTGNKSNQSYP TPVVVEKDRIIEIAACHSAHTSAAKTQGGHVYMWGQCRGQSVILPHHTHFCCTDDVFACF ATPAVTWRLLSVEPDDHLTVAESLKREFDNPDTADLKFLVDGKYIYAHKVLLKIRCEHFR SSLEDSEDDIVEMSEFSYPVFRAFLEYLYTDNISLSPEEAVGLLDLATFYSETRLKKLCQ QTIKQGICEENAIALLSAAVKYDAQDLEEFCFRFCINHLTVVTQTSGFAEMDHDLLKNFI SKASRVGAFKN >ENSMUSP00000127258.1 pep:known chromosome:GRCm38:14:73142558:73166507:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000165429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLYTTVNDEIFVLGTNCSGCLGVGDIQ STIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEVFTWGHNAYSQLGNGTTNHGLVPCH ISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNNS >ENSMUSP00000126276.1 pep:known chromosome:GRCm38:14:73142723:73162388:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000170368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIFVLGTNCSGCLGVGDIQST >ENSMUSP00000127397.1 pep:known chromosome:GRCm38:14:73142863:73207843:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000171767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIFVLGTNCSGCLGVGDIQSTIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEV FTWGHNAYSQLGNGTTNHGLVPCHISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNN SGQVGSGSTANQPIPRRVTGCLQNKVVMNIACGQMCSMAVVDTGEVYVWGYNGNGQLGLG SSGNQPTPCRVAALQGIRVQRVACGYAHTLVLTDEGQIYAWGANSYGQLGTGNKSNQSYP TPVVVEKDRIIEIAACHSAHTSAAKTQGGHVYMWGQCRGQSVILPHHTHFCCTDDVFACF ATPAVTWRLLSVEPDDHLTVAESLKREFDNPDTADLKFLVDGKYIYAHKVLLKIRCEHFR SSLEDSEDDIVEMSEFSYPVFRAFLEYLYTDNISLSPEEAVGLLDLATFYSETRLKKLCQ QTIKQGICEENAIALLSAAVKYDAQSYHERLPTSWAA >ENSMUSP00000130828.1 pep:known chromosome:GRCm38:14:73142992:73164783:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000163533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIFVLGTNCSGCLGVGDIQSTIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEV FTWGHNAYSQLGNGTTNHGLVPCHISTNLS >ENSMUSP00000126510.1 pep:known chromosome:GRCm38:14:73143062:73184047:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000170677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLYTTVNDEIFVLGTNCSGCLGVGDIQ STIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEVFTWGHNAYSQLGNGTTNHGLVPCH ISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNNSGQVGSGSTANQPIPRRVTGCLQN KVVMNIACGQMCSMAVVDTGEVYVWGYNGNGQLGLGSSGNQPTPCRVAALQGIRVQRVAC GYAHTLVLTDEGQIYAWGANSYGQLGTGNKSNQSYPTPVVVEKDRIIEIAACHSAHTSAA KTQGGHVYMWGQCRGQSVILPHHTHFCCTDDVFACFATPAVTWRLLSVEPDDHLTVAESL KREFDNPDTADLKFLVDGKYIYAHKVLLKIRCEHFRSSLEDSEDDIVEMSEFSYPVFRAF LEYLYTDNISLSPEEAVGLLDLATFYSETRLKKLCQQTIKQGICEENAIALLSAAVKYDA QDLEEFCFRFCINHLTVVTQTSGFAEMDHDLLKNFISKASRVGAFKN >ENSMUSP00000128221.1 pep:known chromosome:GRCm38:14:73143076:73183162:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000167401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIYSSRH >ENSMUSP00000128579.1 pep:known chromosome:GRCm38:14:73143099:73184218:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000169513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLYTTVNDEIFVLGTNCSGCLGVGDIQ STIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEVFTWGHNAYSQLGNGTTNHGLVPCH ISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNNSGQVGSGSTANQPIPRRVTGCLQN KVVMNIACGQMCSMAVVDTGEVYVWGYNGNGQLGLGSSGNQPTPCRVAALQGIRVQRVAC GYAHTLVLTDEGQIYAWGANSYGQLGTGNKSNQSYPTPVVVEKDRIIEIAACHSAHTSAA KTQGGHVYMWGQCRGQSVILPHHTHFCCTDDVFACFATPAVTWRLLSVEPDDHLTVAESL KREFDNPDTADLKFLVDGKYIYAHKVLLKIRCEHFRSSLEDSEDDIVEMSEFSYPVFRAF LEYLYTDNISLSPEEAVGLLDLATFYSETRLKKLCQQTIKQGICEENAIALLSAAVKYDA QDLEEFCFRFCINHLTVVTQTSGFAEMDHDLLKNFISKASRVGAFKN >ENSMUSP00000128479.1 pep:known chromosome:GRCm38:14:73143110:73166840:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000165727.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MGVALISSLRQQVFAWGYNNSGQVGSGSTANQPIPRRVTGCLQNKVVMNIACGQMCSMAV VDTGEVYVWGYNGNGQLGLGSSGNQPTPCR >ENSMUSP00000130240.1 pep:known chromosome:GRCm38:14:73143157:73164850:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000165567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIFVLGTNCSGCLGVGDIQSTIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEV FTWGHNAYSQLGNGTTNHGLVPCHISTNLSNKQVIEVACGSYHSLVLTSDGE >ENSMUSP00000022702.6 pep:known chromosome:GRCm38:14:73143162:73183693:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000022702.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIFVLGTNCSGCLGVGDIQSTIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEV FTWGHNAYSQLGNGTTNHGLVPCHISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNN SGQVGSGSTANQPIPRRVTGCLQNKVVMNIACGQMCSMAVVDTGEVYVWGYNGNGQLGLG SSGNQPTPCRVAALQGIRVQRVACGYAHTLVLTDEGQIYAWGANSYGQLGTGNKSNQSYP TPVVVEKDRIIEIAACHSAHTSAAKTQGGHVYMWGQCRGQSVILPHHTHFCCTDDVFACF ATPAVTWRLLSVEPDDHLTVAESLKREFDNPDTADLKFLVDGKYIYAHKVLLKIRCEHFR SSLEDSEDDIVEMSEFSYPVFRAFLEYLYTDNISLSPEEAVGLLDLATFYSETRLKKLCQ QTIKQGICEENAIALLSAAVKYDAQDLEEFCFRFCINHLTVVTQTSGFAEMDHDLLKNFI SKASRVGAFKN >ENSMUSP00000131496.1 pep:known chromosome:GRCm38:14:73143400:73161969:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000167021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLK >ENSMUSP00000126651.1 pep:known chromosome:GRCm38:14:73161940:73182599:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000164298.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] SVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLYTTVNDEIFVLGTNC SGCLGVGDIQSTIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEVFTWGHNAYSQLGNG TTNHGLVPCHISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNNSGQVGSGSTANQPI PRRVTGCLQNKVVMNIACGQMCSMAVVDTGEVYVWGYNGNGQLGLGSSGNQPTPCRVAAL QGIRVQRNHRDCSLSLCPHICCQDTRWARVHVGPVPGPVGDPSTPHSLLLHR >ENSMUSP00000132125.1 pep:known chromosome:GRCm38:14:73161940:73182602:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000163797.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] SVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLYTTVNDEIFVLGTNC SGCLGVGDIQSTIEPRRLDSLTGKKIASLSYGSGPHIVLATTANQPIPRRVTGCLQNKVV MNIACGQMCSMAVVDTGEVYVWGYNGNGQLGLGSSGNQPTPCRVAALQGIRVQRNHRDCS LSLCPHICCQDTRWARVHVGPVPGPVGDPSTPHSLLLHR >ENSMUSP00000130168.1 pep:known chromosome:GRCm38:14:73173884:73182518:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000166875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] XAVTWRLLSVEPDDHLTVAESLKREFDNPDTADLKFLVDGKYIYAHKVLLKIRCEHFRSS LEDSEDDIVEMSEFSYPVFRAFLEYLYTDNISLSPEEAVGLRRVLLQVLHQPSDCSNTN >ENSMUSP00000126898.1 pep:known chromosome:GRCm38:14:73151485:73184047:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000169479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIFVLGTNCSGCLGVGDIQSTIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEV FTWGHNAYSQLGNGTTNHGLVPCHISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNN SGQVGSGSTANQPIPRRVTGCLQNKVVMNIACGQMCSMAVVDTGEVYVWGYNGNGQLGLG SSGNQPTPCRVAALQGIRVQRVACGYAHTLVLTDEGQIYAWGANSYGQLGTGNKSNQSYP TPVVVEKDRIIEIAACHSAHTSAAKTQGGHVYMWGQCRGQSVILPHHTHFCCTDDVFACF ATPAVTWRLLSVEPDDHLTVAESLKREFDNPDTADLKFLVDGKYIYAHKVLLKIRCEHFR SSLEDSEDDIVEMSEFSYPVFRAFLEYLYTDNISLSPEEAVGLLDLATFYSETRLKKLCQ QTIKQGICEENAIALLSAAVKYDAQDLEEFCFRFCINHLTVVTQTSGFAEMDHDLLKNFI SKASRVGAFKN >ENSMUSP00000106577.3 pep:known chromosome:GRCm38:14:73143593:73182682:1 gene:ENSMUSG00000022106.14 transcript:ENSMUST00000110952.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb2 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 2 [Source:MGI Symbol;Acc:MGI:1917200] MEEEVPGFYGESGKSVQATLSSLKMLDVGKWPIFSLCSEEELQLIRQACVFGSAGNEVLY TTVNDEIFVLGTNCSGCLGVGDIQSTIEPRRLDSLTGKKIASLSYGSGPHIVLATTDGEV FTWGHNAYSQLGNGTTNHGLVPCHISTNLSNKQVIEVACGSYHSLVLTSDGEVFAWGYNN SGQVGSGSTANQPIPRRVTGCLQNKVVMNIACGQMCSMAVVDTGEVYVWGYNGNGQLGLG SSGNQPTPCRVAALQGIRVQRVACGYAHTLVLTDEGQIYAWGANSYGQLGTGNKSNQSYP TPVVVEKDRIIEIAACHSAHTSAAKTQGGHVYMWGQCRGQSVILPHHTHFCCTDDVFACF ATPAVTWRLLSVEPDDHLTVAESLKREFDNPDTADLKFLVDGKYIYAHKVLLKIRCEHFR SSLEDSEDDIVEMSEFSYPVFRAFLEYLYTDNISLSPEEAVGLLDLATFYSETRLKKLCQ QTIKQGICEENAIALLSAAVKYDAQDLEEFCFRFCINHLTVVTQTSGFAEMDHDLLKNFI SKASRVGAFKN >ENSMUSP00000016088.8 pep:known chromosome:GRCm38:5:134099711:134144343:1 gene:ENSMUSG00000015944.9 transcript:ENSMUST00000016088.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatsl2 description:GATS protein-like 2 [Source:MGI Symbol;Acc:MGI:1933384] MELHILEHRLQVASVAKESIPLFTYGLIKLAFLSSKTRCKFFSLTETPEDYTIIVDEEGF LELPSSEHLSVADATWLALNVVSGGGSFSSSQPIGVTKIAKSVIAPLADQNISVFMLSTY QTDFILVRERDLPFVTHTLSSEFTILRVVNGETVAAENLSFTNGFVKPKMVQRPVIHPLS SPSNRFCVTSLDPDTLPAVATLLMDVMFYSNGVKDPMAASDDCGHIRFFSFSLIEGYISL VMDVQTQQRFPSHLLFTSASGELWKMVRIGGQPLGFDECGIVAQISEPLAAADIPAYYIS TFKFDHALVPEENISGVIHALKVSQAGKH >ENSMUSP00000035208.6 pep:known chromosome:GRCm38:9:108096022:108190384:-1 gene:ENSMUSG00000032589.14 transcript:ENSMUST00000035208.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsn description:bassoon [Source:MGI Symbol;Acc:MGI:1277955] MGNEASLEGGAGEGPLPPGGSGLGPGPGAGKPPSALAGGGQLPVAGAARAAGPPTPGLGP VPGPGPGPGPGSVPRRLDPKEPLGSQRTTSPTPKQASATAPGRESPRETRAQGPSGQEAE SPRRTLQVDSRTQRSGRSPSVSPDRGSTPTSPYSVPQIAPLPSSTLCPICKTSDLTSTPS QPNFNTCTQCHNKVCNQCGFNPNPHLTQVKEWLCLNCQMQRALGMDMTTAPRSKSQQQLH SPALSPAHSPAKQPLGKPEQERSPRGPGATQSGPRQAEAARATSVPGPTQATAPPEVGRV SPQPPLSTKPSTAEPRPPAGEAQGKSATTVPSGLGAGEQTQEGLTGKLFGLGASLLTQAS TLMSVQPEADTQGQPSPSKGQPKIVFSDASKEAGPRPPGSGPGPGPTPGAKTEPGARMGP GSGPGALAKTGGTASPKHGRAEHQAASKAAAKPKTMPKERASACPLCQAELNMGSRGPAN YNTCTACKLQVCNLCGFNPTPHLVEKTEWLCLNCQTKRLLEGSLGEPAPLPLPTPQQPPA GVPHRAAGAAPLKQKGPQGLGQPSGSLPAKASPQATKASPQATKASPQATKASPQTTKAS PQAKPLRATEPSKTSSSAQEKKTVTSAKAEPVPKPPPETTVPPGTPKAKSGVKRTDPATP VVKPVPEAPKGGEAEEPVPKPYSQDLSRSPQSLSDTGYSSDGVSSSQSEITGVVQQEVEQ LDSAGVTGPRPPSPSELHKVGSSLRPSLEAQAVAPSAEWSKPPRSSSSAVEDQKRRPHSL SITPEAFDSDEELGDILEEDDSLAWGRQREQQDTAESSDDFGSQLRHDYVEDSSEGGLSP LPPQPPARADMTDEEFMRRQILEMSAEEDNLEEDDTAVSGRGLAKHSAQKASARPRPESS QEPKRRLPHNATTGYEELLSEAGPAEPTDSSGALQGGLRRFKTIELNSTGSYGHELDLGQ GPDPNLDREPELEMESLTGSPEDRSRGEHSSTLPASTPSYTSGTSPTSLSSLEEDSDSSP SRRQRLEEAKQQRKARHRSHGPLLPTIEDSSEEEELREEEELLREQEKMREVEQQRIRST ARKTRRDKEELRAQRRRERSKTPPSNLSPIEDASPTEELRQAAEMEELHRSSCSEYSPSP SLDSEAETLDGGPTRLYKSGSEYNLPAFMSLYSPTETPSGSSTTPSSGRPLKSAEEAYED MMRKAEMLQRQQGQVAGARGPHGGPSQPTGPRSQGSFEYQDTQDHDYGGRASQPVAESTP AGLGAAVYEEILQTSQSIARMRQASSRDLGFTEDKKKEKQFLNAESAYMDPMKQNGGPLT PGTSPTQLAAPVSFSTSTSSDSSGGRVIPDVRVTQHFAKEPQDPLKLHSSPVSSTLTSKE VGMTFSQGPGSPATTASPTRGYMTPTSPAGSERSPSTSSTIHSYGQPPTTANYGSQTEEL PHAPSGPPGSGRAPREKPLSGGDSEVGAPQPSRGYSYFTGSSPPLSPSTPSESPTFSPGK LGPRATAEFSTQTPSLTLSSDIPRSPGPPSPMVAQGTQTPHRPSTPRLVWQQSSQEAPIM VITLASDASSQTRMVHASASTSPLCSPTDSQPTSHSYSQTTPPSASQMPSEPAGPPGFPR APSAGTDGPLALYGWGALPAENISLCRISSVPGTSRVEPGPRPPGTAVVDLRTAVKPTPI ILTDQGMDLTSLAVEARKYGLALDPVSGRQSTAVQPLVINLNAQEQTHTFLATATTVSIT MASSVLMAQQKQPVVYGDPFQSRLDFGQGSGSPVCLAQVKQVEQAVQTAPYRGGPRGRPR EAKFARYNLPNQVTPLARRDILITQMGTAQGVGLKPGPVPEPGAEPHRATPAELRSHAPP GTRKPHTVVVQMGEGTAGTVTTLLPEEPAGALDLTGMRPESQLACCDMVYKFPFGSSCTG TFHPAPSAPDKSVTDTALPGQSSGPFYSPRDPEPPEPLTFRTQGVVGPGPHEEQRPYPQG LPGRLYSSMSDTNLAEAGLNYHAQRLGQLFQGPGRDSAVDLSSLKHSYSLGFADGRYLGQ GLQYGSFTDLRHPTDLLSHPLPLRRYSSVSNIYSDHRYGPRGDAVGFQEASLAQYSATTA REISRMCAALNSMDQYGGRHGSGSGGPDLVQYQPQHGPGLSAPQGLAPLRSGLLGNPTYP EGQPSPGNLAQYGPAASQATAVRQLLPSTATVRAADGMIYSTINTPIAATLPITTQPASV LRPMVRGGMYRPYVSGGVTAVPLTSLTRVPMIAPRVPLGPAGLYRYPAPRFPIASSVPPA EGPVYLGKPAAAKASGAGGPPRPELPAGVAREEPFSTTAPAVIKEAPVAPAPGPAPAPPP GQKPAGEAVAGSGSGVLSRPASEKEEASQEDRQRKQQEQLLQLERERVELEKLRQLRLQE ELERERVELQRHREEEQLLVQRELQELQTIKQHVLQQQQEERQAQFALQREQLAQQRLQL EQIQQLQQQLQLQLEEQKQRQKAPFPATCEAPSRGPPPAATELAQNGQYWPPLTHAAFIA VAGTEGPGQPREPVLHRGLPSSASDMSLQTEEQWEAGRSGIKKRHSMPRLRDACEPESGP DPSTVRRIADSSVQTDDEEGEGRYLVTRRRRTRRSADCSVQTDDEDNADWEQPVRRRRSR LSRHSDSGSDSKHDATASSSTTAAATARAMSSVGIQTISDCSVQTEPEQLPRVSPAIHIT AATDPKVEIVRYISAPEKTGRGESLACQTEPDGQAQGVAGPQLIGPTAISPYLPGIQIVT PGALGRFEKKKPDPLEIGYQAHLPPESLSQLVSRQPPKSPQVLYSPVSPLSPHRLLDTSF ASSERLNKAHVSPQKQFIADSTLRQQTLPRPMKTLQRSLSDPKPLSPTAEESAKERFSLY QHQGGLGSQVSALPPNGLVRKVKRTLPSPPPEEAHLPLAGQVPSQLYAASLLQRGLAGPT TVPATKASLLRELDRDLRLVEHESTKLRKKQAELDEEEKEIDAKLKYLELGITQRKESLA KDRGGRDYPPLRGLGEHRDYLSDSELNQLRLQGCTTPAGQYVDYPASAAVPATPSGPTAF QQPRFPPAAPQYTAGSSGPTQNGFPAHQAPTYTGPSTYPAPTYPPGTGYPAEPGLPSQPA FHPTGHYAAPTPMPTTQSAPFPVQADSRAAHQKPRQTSLADLEQKVPTNYEVIGSPAVTM SSAPPETGYSGPAVSGSYEQGKAPEHPRGSDRSSVSQSPAPTYPSDSHYTSLEQNVPRNY VMIDDISELTKDSTPTASESQRLEPLGPGGVSGRPGKDPGEPAVLEGPTLPCCYGRGEEE SEEDSYDPRGKSGHHRSMESNGRPSTHYYGDSDYRHGARADKYGPGPMGPKHPSKSLAPA AISSKRSKHRKQGMEQKISKFSPIEEAKDVESDLASYPPPTVSSSLTSRGRKFQDEITYG LKKNVYEQQRYYGVSSRDAAEEDERMYGSSSRSRMASAYSGEKLSSHDYSSRGKGYERER DTAERLQKAGSKPSSLSMAHGRARPPMRSQASEEESPVSPLGRPRPAGGALPPGDTCPQF CSSHSMPDVQEHVKDGPRAHAYKREEGYMLDDSHCVVSDSEAYHLGQEETDWFDKPRDAR SDRFRHHGGHTVSSSQKRGPARHSYHDYDEPPEEGLWPHDEGGPGRHTSAKEHRHHSDHG RHSGRHAGEEPGRRAAKPHARDMGRHEARPHPQASPAPAMQKKGQPGYPSSADYSQSSRA PSAYHHASESKKGSRQAHTGPSALQPKADTQAQPQMQGRQAAPGPQQSQPPSSRQTPSGT ASRQPQTQQQQQQQQQQQGLGQQAPQQAPSQARLQPQSQPTTRGTAPAASQPAGKPQPGP TTAPGPQPAGPPRAEQASSSKPPAAKAPQQGRAPQAQTTPGPGPAGAKPGARPGGTPGAP ASQPGAEGESVFSKILPGGAAEQAGKLTEAVSAFGKKFSSFW >ENSMUSP00000139053.1 pep:known chromosome:GRCm38:9:108096023:108110413:-1 gene:ENSMUSG00000032589.14 transcript:ENSMUST00000124763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsn description:bassoon [Source:MGI Symbol;Acc:MGI:1277955] XSAPEKTGRGESLACQTEPDGQAQGVAGPQLIGPTAISPYLPGIQIVTPGALGRFEKKKP DPLEIGYQAHLPPESLSQLVSRQPPKSPQVLYSPVSPLSPHRLLDTSFASSERLNKAHVS ALPPNGLVRKVKRTLPSPPPEEAHLPLAGQVPSQLYAASLLQRGLAGPTTVPATKASLLR ELDRDLRLVEHESTKLRKKQAELDEEEKEIDAKLKYLELGITQRKESLAKDRGGRDYPPL RGLGEHRDYLSDSELNQLRLQGCTTPAGQYVDYPASAAVPATPSGPTAFQQPRFPPAAPQ YTAGSSGPTQNGFPAHQAPTYTGPSTYPAPTYPPGTGYPAEPGLPSQPAFHPTGHYAAPT PMPTTQSAPFPVQADSRAAHQKPRQTSLADLEQKVPTNYEVIGSPAVTMSSAPPETGYSG PAVSGSYEQGKAPEHPRGSDRSSVSQSPAPTYPSDSHYTSLEQNVPRNYVMIDDISELTK DSTPTASESQRLEPLGPGGVSGRPGKDPGEPAVLEGPTLPCCYGRGEEESEEDSYDPRGK SGHHRSMESNGRPSTHYYGDSDYRHGARADKYGPGPMGPKHPSKSLAPAAISSKRSKHRK QGMEQKISKFSPIEEAKDVESDLASYPPPTVSSSLTSRGRKFQDEITYGLKKNVYEQQRY YGVSSRDAAEEDERMYGSSSRSRMASAYSGEKLSSHDYSSRGKGYERERDTAERLQKAGS KPSSLSMAHGRARPPMRSQASEEESPVSPLGRPRPAGGALPPGDTCPQFCSSHSMPDVQE HVKDGPRAHAYKREEGYMLDDSHCVVSDSEAYHLGQEETDWFDKPRDARSDRFRHHGGHT VSSSQKRGPARHSYHDYDEPPEEGLWPHDEGGPGRHTSAKEHRHHSDHGRHSGRHAGEEP GRRAAKPHARDMGRHEARPHPQASPAPAMQKKGQPGYPSSADYSQSSRAPSAYHHASESK KGSRQAHTGPSALQPKADTQAQPQMQGRQAAPGPQQSQPPSSRQTPSGTASRQPQTQQQQ QQQQQQQGLGQQAPQQAPSQARLQPQSQPTTRGTAPAASQPAGKPQPGPTTAPGPQPAGP PRAEQASSSKPPAAKAPQQGRAPQAQTTPGPGPAGAKPGARPGGTPGAPASQPGAEGESV FSKILPGGAAEQAGKLTEAVSAFGKKFSSFW >ENSMUSP00000050503.1 pep:known chromosome:GRCm38:7:108880603:108881604:-1 gene:ENSMUSG00000046431.1 transcript:ENSMUST00000059617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr518 description:olfactory receptor 518 [Source:MGI Symbol;Acc:MGI:3030352] MTPLLYFAPLRAARGSTAATRGQNDSSVAEFILLGFSAFPELQGQMFGAFLVIYLVTLMG NATIVAVILLDQSLHIPMYLFLQNLSVVEMSFSAAITPEMLVVLTSEKATISFGGCFAQM YFILLFGGTECFLLGAMAYDRFAAICHPLSYPMIMNKRVFVKLVIFSWVSGIMVATVQTT WVFSFPYCDHKEINHLFCETPPVLELACADTFLFEVYAFTGTILIVMVPFLLILLSYTRI LFSILRMPSTTGRQKAFSTCASHLTSVTLFYGTASITYLQPKSRYSPDTKKLMSLAYTLL TPLLNPLIYSLRNKEMKRAVLKLWQRKVALHRG >ENSMUSP00000094191.4 pep:known chromosome:GRCm38:X:38104077:38110907:-1 gene:ENSMUSG00000071766.12 transcript:ENSMUST00000096453.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox12 description:reproductive homeobox 12 [Source:MGI Symbol;Acc:MGI:2685994] MALEPHHVDPNFYKLGENEIEVTLDADQEADGAAEGGSFGDGSLNGSDKLKCQGIPDDKD DVIYVGELKNIGNDIKDECHGSHQGSGDPQPEEKQKNSAAARVPQVRRTRPRIQLGFTPR QLNELEDFFEKTKYPDALTRKNLAKHLYLAESKVQRWFKKRRAHYRKEQQSQVLQCASAD GQDAMQ >ENSMUSP00000139303.1 pep:known chromosome:GRCm38:X:38105577:38110787:-1 gene:ENSMUSG00000071766.12 transcript:ENSMUST00000183737.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox12 description:reproductive homeobox 12 [Source:MGI Symbol;Acc:MGI:2685994] MALEPHHVDPNFYKLGENEIEVTLDADQEADGAAEGGSFGDGSLNGSDKLKCQGIPDDKD DVIYVGELKNIGNDIKDECHGSHQGSGDPQPEEKQKNSAAARVPQVRRTRPRIQLGFTPR QLNELEDFFEKTKYPDALTRKNLAKHLYLAESKVQVTMS >ENSMUSP00000110799.2 pep:known chromosome:GRCm38:X:38104178:38108143:-1 gene:ENSMUSG00000071766.12 transcript:ENSMUST00000115146.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox12 description:reproductive homeobox 12 [Source:MGI Symbol;Acc:MGI:2685994] MALEPHHVDPNFYKLGENEIEVTLDADQEADGAAEGGSFGDGSLNGSDKLKCQGIPDDKD DVIYVGELKNIGNDIKDECHGSHQGSGDPQPEEKQKNSAAARVPQVRRTRPRIQLGFTPR QLNELEDFFEKTKYPDALTRKNLAKHLYLAESKVQRWFKKRRAHYRKEQQSQVLQCASAD GQDAMQ >ENSMUSP00000043802.8 pep:known chromosome:GRCm38:6:146950329:146954422:-1 gene:ENSMUSG00000040163.14 transcript:ENSMUST00000036592.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700034J05Rik description:RIKEN cDNA 1700034J05 gene [Source:MGI Symbol;Acc:MGI:1920594] MTTSPSSSDYSSTEDSIYECKSNQSASVGYYPSENTFSYEDLVSREETASVDSLVHFLPP VQSTWRTESLRRLFRKRDQVEHDPEQFSKLSITLAWDIDVDSNHADSLANLDLNAHSQWM DKWPEDKTKLTPCKLYNLVQKLETFLGKEKGGQHDGCVLPESTQKEDVHLNSTTPPHTAQ VSPKERDVCQDLSKQRSLENEDICQVLEDPPRLLKDEVVQEIRQASESSLETSSVSSPQP EGASRSHNIFCMNFRWVFQWLRTQIFSRWRRQRPSQATNTWHQKAVRNIHSLRSNRIQPQ E >ENSMUSP00000107249.1 pep:known chromosome:GRCm38:6:146951302:146954414:-1 gene:ENSMUSG00000040163.14 transcript:ENSMUST00000111622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700034J05Rik description:RIKEN cDNA 1700034J05 gene [Source:MGI Symbol;Acc:MGI:1920594] MTTSPSSSDYSSTEDSIYECKSNQSASVGYYPSENTFSYEDLVSREETASVDSLVHFLPP VQSTWRTESLRRLFRKRDQVEHDPEQFSKLSITLAWDIDVDSNHADSLANLDLNAHSQWM DKWPEDKTKLTPCKLYNLVQKLETFLGKEKGGQHDGCVLPESTQKEDVHLNSTTPPHTAQ VSPKERDVCQDLSKQRSLENEDICQVLEDPPRLLKDEVVEIRQASESSLETSSVSSPQPE GASRSHNIFCMNFRWVFQWLRTQIFSRWRRQRPSQATNTWHQKAVRNIHSLRSNRIQPQE >ENSMUSP00000099961.3 pep:known chromosome:GRCm38:4:57984027:58009124:-1 gene:ENSMUSG00000038709.14 transcript:ENSMUST00000102897.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc8 description:thioredoxin domain containing 8 [Source:MGI Symbol;Acc:MGI:1914652] MMNGTATVILHLNQQERVSSKSQMLIMVKRIKNMSELKELFSDAGNKLVVVEFSAKWCGP CKTIAPVFQAMSLKYQNVTFAQVDVDSSKELAEHCDITMLPTFQMFKYTQKVTPFSRLKR VLCCLRSGPKSKMIFECHGADAKQLEKKIQELM >ENSMUSP00000117634.1 pep:known chromosome:GRCm38:4:57984029:58000310:-1 gene:ENSMUSG00000038709.14 transcript:ENSMUST00000139256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc8 description:thioredoxin domain containing 8 [Source:MGI Symbol;Acc:MGI:1914652] ELAEHCDITMLPTFQMFKYTQKIFECHGADAKQLEKKIQELM >ENSMUSP00000074670.5 pep:known chromosome:GRCm38:8:70504295:70506752:-1 gene:ENSMUSG00000058833.11 transcript:ENSMUST00000075175.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810428I15Rik description:RIKEN cDNA 2810428I15 gene [Source:MGI Symbol;Acc:MGI:1913712] MLTAATEVLAAADGPGSAESAWAWRDAPIATLVQRIQQLQNERAQAFRRLDQAHRQYLLS GQHYDFPSYRSVVHEVTQAFAAASREVLAVEAELAGPRAQPVLARHVRSLQELEQTRLAT VALLQVMGTPGVSEQDPEKLHQLKIKVIKTMEAIGEVLQELRFDAESAE >ENSMUSP00000120446.1 pep:known chromosome:GRCm38:8:70504299:70506737:-1 gene:ENSMUSG00000058833.11 transcript:ENSMUST00000136913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810428I15Rik description:RIKEN cDNA 2810428I15 gene [Source:MGI Symbol;Acc:MGI:1913712] MLTAATEVLAAADGPGSAESAWAWRDAPIATLVQRIQQLQNERAQAFRRLDQAHRQYLLS GQHYDFPSYRSVVHEVTQAFAAASREVLAVEAELAGPRAQPVLARHVRSLQELEQTRLAT VALLQVMGTPGVSEQDPEKLHQLKINFHPLL >ENSMUSP00000077948.2 pep:known chromosome:GRCm38:10:129160029:129160982:-1 gene:ENSMUSG00000061367.2 transcript:ENSMUST00000078914.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr771 description:olfactory receptor 771 [Source:MGI Symbol;Acc:MGI:3030605] MKNNTITTFILLGLTDDPQLQIPIFVFLFFAYMLSITGNLTIISLTILDSHLKTPMYFFL QNFSILEISFTSACIPRYLYNIATGDRSITYNVCVIQVFFTDVFGVIEFFLLAIMSYDRY VAICKPLHYVTIMSSKVCQTLVLCCWSAGLLIILPPLTLFLNLRFCDSNVIDYFFCDASP ILKISCSDTWLIEQLVIVCAVLTFILTLVCVTLSYVHIIKTILRFPSAQQRKKAFSTCSS HMIVVSITYGSCIFIYINPSAKESVAINKGVAVLMTSIAPMLNPFIYTLRNKQVRQAFSD SFKKIAIISMKKENVQI >ENSMUSP00000123080.1 pep:known chromosome:GRCm38:19:37174623:37208601:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000131917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPTAPGASLSPSFGSTWST >ENSMUSP00000116749.1 pep:known chromosome:GRCm38:19:37174437:37178017:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000133988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPTAPGASLSPSFGSTWSTGTT NAVEDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPQPPQ >ENSMUSP00000117242.1 pep:known chromosome:GRCm38:19:37174593:37176056:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000142973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPTAPGASLSPSFGSTWSTGTT NAVEDSF >ENSMUSP00000115038.1 pep:known chromosome:GRCm38:19:37174688:37175216:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000128642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPP >ENSMUSP00000078690.4 pep:known chromosome:GRCm38:19:37021291:37207462:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000079754.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPTAPGASLSPSFGSTWSTGTT NAVEDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPQPPQP AQPPQAQPSQQRRSPASPSQAPYAQRSAAAYGHQPIMTSKPSSSSAVAAAAAAAAASSAS SSWNTHQSVNAAWSAPSNPWGGLQAGRDPRRAVGVGVGVGVGVPSPLNPISPLKKPFSSN VIAPPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENSLMDMIRT DHEPLKGKHYPPSGPPMSFADIMWRNHFAGRMGINFHHPGTDNIMALNTRSYGRRRGRSS LFPFEDAFLDDSHGDQALSSGLSSPTRCQNGERVERYSRKVFVGGLPPDIDEDEITASFR RFGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQALIDACLEEDGKLYLCVSSPTIKD KPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGID TDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHNDIDKRVEVKPYVLDDQMCDECQGT RCGGKFAPFFCANVTCLQYYCEYCWASIHSRAGREFHKPLVKEGGDRPRHVPFRWS >ENSMUSP00000122442.1 pep:known chromosome:GRCm38:19:37054062:37207508:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000126781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPTAPGASLSPSFGSTWSTGTT NAVEDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPQPPQP AQPPQAQPSQQRRSPASPSQAPYAQRSAAAYGHQPIMTSKPSSSSAVAAAAAAAAASSAS SSWNTHQSVNAAWSAPSNPWGGLQAGRDPRRAVGVGVGVGVGVPSPLNPISPLKKPFSSN VIAPPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENSLMDMIRT DHEPLKGRMGINFHHPGTDNIMALNTRSYGRRRGRSSLFPFEDAFLDDSHGDQALSSGLS SPTRCQNGERVERYSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKG YAFLLFQEESSVQALIDACLEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPL DPRKTIFVGGVPRPLRAGEWK >ENSMUSP00000120416.1 pep:known chromosome:GRCm38:19:37022970:37207473:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000126188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPTAPGASLSPSFGSTWSTGTT NAVEDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPQPPQP AQPPQAQPSQQRRSPASPSQAPYAQRSAAAYGHQPIMTSKPSSSSAVAAAAAAAAASSAS SSWNTHQSVNAAWSAPSNPWGGLQAGRDPRRAVGVGVGVGVGVPSPLNPISPLKKPFSSN VIAPPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENSLMDMIRT DHEPLKGRMGINFHHPGTDNIMALNTRSYGRRRGRSSLFPFEDAFLDDSHGDQALSSGLS SPTRCQNGERVERYSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKG YAFLLFQEESSVQALIDACLEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPL DPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYI AAISARFVQLQHNDIDKRVEVKPYVLDDQMCDECQGTRCGGKFAPFFCANVTCLQYYCEY CWASIHSRAGREFHKPLVKEGGDRPRHVPFRWS >ENSMUSP00000118723.1 pep:known chromosome:GRCm38:19:37023935:37207293:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000132580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPTAPGASLSPSFGSTWSTGTT NAVEDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPQPPQP AQPPQAQPSQQRRSPASPSQAPYAQRSAAAYGHQPIMTSKPSSSSAVAAAAAAAAASSAS SSWNTHQSVNAAWSAPSNPWGGLQAGRDPRRAVGVGVGVGVGVPSPLNPISPLKKPFSSN VIAPPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENSLMDMIRT DHEPLKGKHYPPSGPPMSFADIMWRNHFAGRMGINFHHPGTDNIMALNTRSYGRRRGRSS LFPFEDAFLDDSHGDQALSSGLSSPTRCQNGERVERYSRKVFVGGLPPDIDEDEITASFR RFGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQALIDACLEEDGKLYLCVSSPTIKD KPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGID TDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHNDIDKRVEVKPYVLDDQMCDECQGT RCGGKFAPFFCANVTCLQYYCEYCWASIHSRAGREFHKPLVKEGGDRPRHVPFRWS >ENSMUSP00000116172.1 pep:known chromosome:GRCm38:19:37021879:37176063:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000154376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPTAPGASLSPSFGSTWSTGTT NAVEDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPQPPQP AQPPQAQPSQQRRSPASPSQAPYAQRSAAAYGHQPIMTSKPSSSSAVAAAAAAAAASSAS SSWNTHQSVNAAWSAPSNPWGGLQAGRDPRRAVGVGVGVGVGVPSPLNPISPLKKPFSSN VIAPPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENSLMDMIRT DHEPLKGKHYPPSGPPMSFADIMWRNHFAGRMGINFHHPGTDNIMALNTRSYGRRRGRSS LFPFEDAFLDDSHGDQALSSGLSSPTRCQNGERVERYSRKVFVGGLPPDIDEDEITASFR RFGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQALIDACLEEDGKLYLCVSSPTIKD KPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGID TDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHNDIDKRVEVKPYVLDDQMCDECQGT RCGGKFAPFFCANVTCLQYYCEYCWASIHSRAGREFHKPLVKEGGDRPRHVPFRWS >ENSMUSP00000121987.1 pep:known chromosome:GRCm38:19:37024639:37198109:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000123727.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPTAPGASLSPSFGSTWSTGTT NAVEDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPQPPQP AQPPQAQPSQQRRSPASPSQAPYAQRSAAAYGHQPIMTSKPSSSSAVAAAAAAAAASSAS SSWNTHQSVNAAWSAPSNPWGGLQAGRDPRRAVGVGVGVGVGVPSPLNPISPLKKPFSSN VIAPPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENSLMDMIRT DHEPLKGRMGINFHHPGTDNIMALNSRSSLFPFEDAFLDDSHGDQALSSGLSSPTRCQNG ERVERYSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKSYFPPKGYAFLLFQE ESSVQALIDACLEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPRKTIFV GGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAAISARFV QLQHNDIDKRVEVKPYVLDDQMCDECQGTRCGGKFAPFFCANVTCLQYYCEYCWASIHSR AGREFHKPLVKEGGDRPRHVPFRWS >ENSMUSP00000116309.1 pep:known chromosome:GRCm38:19:37021879:37207462:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000136286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] MQDDLLMDKSKTQPQSQQQQRQQQQQQQQLQPEPGAAEAPSTPLSSEIPKPEDSSAVPAL SPASAPPAPNGPDKMQMESPLLPGLSFHQPPQQPPPPQEPTAPGASLSPSFGSTWSTGTT NAVEDSFFQGITPVNGTMLFQNFPHHVNPVFGGTFSPQIGLAQTQHHQQPPPPAPQPPQP AQPPQAQPSQQRRSPASPSQAPYAQRSAAAYGHQPIMTSKPSSSSAVAAAAAAAAASSAS SSWNTHQSVNAAWSAPSNPWGGLQAGRDPRRAVGVGVGVGVGVPSPLNPISPLKKPFSSN VIAPPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENSLMDMIRT DHEPLKGKHYPPSGPPMSFADIMWRNHFAGRMGINFHHPGTDNIMALNNAFLDDSHGDQA LSSGLSSPTRCQNGERVERYSRKVFVGGLPPDIDEDEITASFRRFGPLVVDWPHKAESKS YFPPKGYAFLLFQEESSVQALIDACLEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVM DGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFS NQQSYIAAISARFVQLQHNDIDKRVEVKPYVLDDQMCDECQGTRCGGKFAPFFCANVTCL QYYCEYCWASIHSRAGREFHKPLVKEGGDRPRHVPFRWS >ENSMUSP00000115656.1 pep:known chromosome:GRCm38:19:37021297:37174095:-1 gene:ENSMUSG00000039652.16 transcript:ENSMUST00000124158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb3 description:cytoplasmic polyadenylation element binding protein 3 [Source:MGI Symbol;Acc:MGI:2443075] KKPFSSNVIAPPKFPRAAPLTSKSWMEDNAFRTDNGNNLLPFQDRSRPYDTFNLHSLENS LMDMIRTDHEPLKGKHYPPSGPPMSFADIMWRNHFAGRMGINFHHPGTDNIMALNSRSSL FPFEDAFLDDSHGDQALSSGLSSPTRCQNGERVERYSRKVFVGGLPPDIDEDEITASFRR FGPLVVDWPHKAESKSYFPPKGYAFLLFQEESSVQALIDACLEEDGKLYLCVSSPTIKDK PVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDT DPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHNDIDKRVEVKPYVLDDQMCDECQGTR CGGKFAPFFCANVTCLQYYCEYCWASIHSRAGREFHKPLVKEGGDRPRHVPFRWS >ENSMUSP00000123986.1 pep:known chromosome:GRCm38:3:63933507:63964666:-1 gene:ENSMUSG00000027822.16 transcript:ENSMUST00000161659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc33a1 description:solute carrier family 33 (acetyl-CoA transporter), member 1 [Source:MGI Symbol;Acc:MGI:1332247] MSPTISHKDSSRQRRSGMFSHALDMKSGPLPPGGWDDSRRDSVGGEGDREVLLGDAGPGD LPKAPRSYRSELSSILLLLFLYVLQGIPLGLAGSIPLILQSKNVSYTDQAFFSFVFWPFS LKLLWAPLVDAVYFKNFGRRKSWLVPTQYTLGIFMIYLSTQVDRLLGNIDGRTPDVVALT VTFFLFEFLAATQDIAVDGWALTMLSRENVGYASTCNSVGQTAGYFLGNVLFLALESADF CNKYLRFQPQPRGIVTLSDFLFFWGTVFLITTTLVALLKKENREASIVKEETQGITDTYK LLFSIIKMPAVLAFCLLILTSKIGFSAADAVTGLKLVEEGVPKEHLALLAVPMVPLQIIL PLLISKYTAGPQPLNIFYKAMPYRLLLGLEYALLVWWTPKVEHQGGFPLYYYIIVLLSYA LHQVTLYSMYVSIMAFNAKVSDPLIGGTYMTLLNTVSNLGGNWPSTVALWLVDPLTVKEC VGASNQNCRTPDAIELCKKLGGSCVTALDGYYVESIICVLIGFGWWFFLGPKFKKLQDEG PSSWKCKRNN >ENSMUSP00000029402.8 pep:known chromosome:GRCm38:3:63942330:63964768:-1 gene:ENSMUSG00000027822.16 transcript:ENSMUST00000029402.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc33a1 description:solute carrier family 33 (acetyl-CoA transporter), member 1 [Source:MGI Symbol;Acc:MGI:1332247] MSPTISHKDSSRQRRSGMFSHALDMKSGPLPPGGWDDSRRDSVGGEGDREVLLGDAGPGD LPKAPRSYRSELSSILLLLFLYVLQGIPLGLAGSIPLILQSKNVSYTDQAFFSFVFWPFS LKLLWAPLVDAVYFKNFGRRKSWLVPTQYTLGIFMIYLSTQVDRLLGNIDGRTPDVVALT VTFFLFEFLAATQDIAVDGWALTMLSRENVGYASTCNSVGQTAGYFLGNVLFLALESADF CNKYLRFQPQPRGIVTLSDFLFFWGTVFLITTTLVALLKKENREASIVKEETQGITDTYK LLFSIIKMPAVLAFCLLILTSKIGFSAADAVTGLKLVEEGVPKEHLALLAVPMVPLQIIL PLLISKYTAGPQPLNIFYKAMPYRLLLGLEYALLVWWTPKVEHQGGFPLYYYIIVLLSYA LHQVTLYSMYVSIMAFNAKVSDPLIGGTYMTLLNTVSNLGGNWPSTVALWLVDPLTVKEC VGASNQNCRTPDAIELCKKLGGSCVTALDGYYVESIICVLIGFGWWFFLGPKFKKLQDEG PSSWKCKRNN >ENSMUSP00000125713.1 pep:known chromosome:GRCm38:3:63942414:63964372:-1 gene:ENSMUSG00000027822.16 transcript:ENSMUST00000160883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc33a1 description:solute carrier family 33 (acetyl-CoA transporter), member 1 [Source:MGI Symbol;Acc:MGI:1332247] MSPTISHKDSSRQRRSGMFSHALDMKSGPLPPGGWDDSRRDSVGGEGDREVLLGDAGPGD LPKAPRSYRSELSSILLLLFLYVLQGIPLGLAGSIPLILQSKNVSYTDQAFFSFVFWPFS LKLLWAPLVDAVYFKNFGRRKSWLVPTQYTLGIFMIYLSTQVDRLLGNIDGRTPDVVALT VTFFLFEFLAATQDIAVDGWALTMLSRENVGYASTCNSVGQTAGYFLGNVLFLALESADF CNKYLRFQPQPRGIVTLSDFLFFWGTVFLITTTLVALLKKENREASIVKEETQGITDTYK LLFSIIKMPAVLAFCLLILTSKIGFSAADAVTGLKLVEEGVPKEHLALLAVPMVPLQIIL PLLISKYTAGPQPLNIFYKAMPYRLLLGLEYALLVWWTPKVEHQGGFPLYYYIIVLLSYA LHQVTLYSMYVSIMAFNAKVSDPLIGGTYMTLLNTVSNLGGNWPSTVALWLVDPLTVKEC VGASNQNCRTPDAIELCKKLGGSCVTALDGYYVESIICVLIGFGWWFFLGPKFKKLQDEG PSSWKCKRNN >ENSMUSP00000005064.7 pep:known chromosome:GRCm38:10:81153266:81167923:-1 gene:ENSMUSG00000004934.13 transcript:ENSMUST00000005064.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias4 description:protein inhibitor of activated STAT 4 [Source:MGI Symbol;Acc:MGI:2136940] MAAELVEAKNMVMSFRVSDLQMLLGFVGRSKSGLKHELVTRALQLVQFDCSPELFKKIKE LYETRYAKKSAEPGPQAPRPLDPLALHSMPRTPLSGPTVDYPVLYGKYLNGLGRLPTKTL KPEVRLVKLPFFNMLDELLKPTELVPQSAEKLQESPCIFALTPRQVEMIRNSRELQPGVK AVQVVLRICYSDTSCPQEDQYPPNIAVKVNHSYCSVPGYYPSNKPGVEPKRPCRPINLTH LMYLSSATNRITVTWGNYGKSYSVALYLVRQLTSSDLLQRLKTIGVKHPELCKALVKEKL RLDPDSEIATTGVRVSLICPLVKMRLSVPCRAETCAHLQCFDAVFYLQMNEKKPTWMCPV CDKPAAYDQLIIDGLLSKILSECEGADEIEFLAEGSWRPIRAEKEPSCSPQGPILVLGTS DANGLAPASSTPGIGSGLSGPGSAGSGAGAAGSLENGKTGADVVDLTLDSSSSSEDEDED EDDDEDEDEGPRPKRRCPFQKGLVPAC >ENSMUSP00000122183.1 pep:known chromosome:GRCm38:10:81155672:81167721:-1 gene:ENSMUSG00000004934.13 transcript:ENSMUST00000146764.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pias4 description:protein inhibitor of activated STAT 4 [Source:MGI Symbol;Acc:MGI:2136940] MAAELVEAKNMVMSFRVSDLQMLLGFVGRSKSGLKHELVTRALQLVQFDCSPELFKKIKE LYETRYAKKSAEPGPQAPRPLDPLALHSMPRTPLSGPTVDYPVLYGKYLNGLGRLPTKTL KPEVRLVKLPFFNMLDELLKPTELVPQSAEKLQESPCIFALTPRQVEMIRNSRELQPGVK AVQVVLRICYSDTSCPQEDQYPPNIAVKVNHSYCSVPGYYPSNKPGVEPKRPCRPINLTH LMYLSSATNRITVTWGNYGKSYSVALYLVRQLTSSDLLQRLKTIGVKHPELCKALGE >ENSMUSP00000121361.1 pep:known chromosome:GRCm38:10:81155859:81157259:-1 gene:ENSMUSG00000004934.13 transcript:ENSMUST00000135765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias4 description:protein inhibitor of activated STAT 4 [Source:MGI Symbol;Acc:MGI:2136940] RITVTWGNYGKSYSVALYLVRQLTSSDLLQRLKTIGVKHPELCKALVPSPLSPHTVKEKL RLDPDSEIATT >ENSMUSP00000028515.3 pep:known chromosome:GRCm38:2:73563215:73580338:-1 gene:ENSMUSG00000027107.3 transcript:ENSMUST00000028515.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna1 description:cholinergic receptor, nicotinic, alpha polypeptide 1 (muscle) [Source:MGI Symbol;Acc:MGI:87885] MELSTVLLLLGLCSAGLVLGSEHETRLVAKLFEDYSSVVRPVEDHREIVQVTVGLQLIQL INVDEVNQIVTTNVRLKQQWVDYNLKWNPDDYGGVKKIHIPSEKIWRPDVVLYNNADGDF AIVKFTKVLLDYTGHITWTPPAIFKSYCEIIVTHFPFDEQNCSMKLGTWTYDGSVVAINP ESDQPDLSNFMESGEWVIKEARGWKHWVFYSCCPTTPYLDITYHFVMQRLPLYFIVNVII PCLLFSFLTSLVFYLPTDSGEKMTLSISVLLSLTVFLLVIVELIPSTSSAVPLIGKYMLF TMVFVIASIIITVIVINTHHRSPSTHIMPEWVRKVFIDTIPNIMFFSTMKRPSRDKQEKR IFTEDIDISDISGKPGPPPMGFHSPLIKHPEVKSAIEGVKYIAETMKSDQESNNAAEEWK YVAMVMDHILLGVFMLVCLIGTLAVFAGRLIELHQQG >ENSMUSP00000112533.1 pep:known chromosome:GRCm38:12:103688044:103694666:-1 gene:ENSMUSG00000021081.12 transcript:ENSMUST00000117053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1f description:serine (or cysteine) peptidase inhibitor, clade A, member 1F [Source:MGI Symbol;Acc:MGI:1915598] MTTPFSSHGLLLLVGLCCLLLITKTKHEKLYEDPSIDPFQCRKVALTICNVSITLFKKMA QLSGNGNILFSPIRVIAAISMLSLGSNGNLSKHILETLRFNKTGLPEAEIHKCFWYLLHS IHQTEEPSSLQTGSSVFIHQDLTSVDKFVKGVKDLYHSDMISINFTDSSQAKTQINNYVM EKSQKEIVNIVKNLESDTFLAVVNYIIWNGNFATYFIIPDPGKMQKVEQSLTYPHFRRMR RQLLTRLVDLEIPELSLSETHDLESMMSLLGITYVFNSGTNSSDMNDTLQKSFKVVSKAV LTIDEKGSKPSTNSCFKKLGSTDMGRMQLNRPFLIFIQDHTNDVPLFLGRVVNPQN >ENSMUSP00000021490.5 pep:known chromosome:GRCm38:12:103689633:103695529:-1 gene:ENSMUSG00000021081.12 transcript:ENSMUST00000021490.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1f description:serine (or cysteine) peptidase inhibitor, clade A, member 1F [Source:MGI Symbol;Acc:MGI:1915598] MTTPFSSHGLLLLVGLCCLLLITKTKHEKLYEDPSIDPFQCRKVALTICNVSITLFKKMA QLSGNGNILFSPIRVIAAISMLSLGSNGNLSKHILETLRFNKTGLPEAEIHKCFWYLLHS IHQTEEPSSLQTGSSVFIHQDLTSVDKFVKGVKDLYHSDMISINFTDSSQAKTQINNYVM EKSQKEIVNIVKNLESDTFLAVVNYIIWNAKLDSNFGCRSVKVKDYHLGYGMTIKVPMIH NMAMHYLFRVEDLSSTVLMLTLLTGNFATYFIIPDPGKMQKVEQSLTYPHFRRMRRQLLT RLVDLEIPELSLSETHDLESMMSLLGITYVFNSGTNSSDMNDTLQKSFKVVSKAVLTIDE KGSKPSTNSCFKKLGSTDMGRMQLNRPFLIFIQDHTNDVPLFLGRVVNPQN >ENSMUSP00000113736.1 pep:known chromosome:GRCm38:12:103689636:103694716:-1 gene:ENSMUSG00000021081.12 transcript:ENSMUST00000118101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1f description:serine (or cysteine) peptidase inhibitor, clade A, member 1F [Source:MGI Symbol;Acc:MGI:1915598] MTTPFSSHGLLLLVGLCCLLLITKTKHEKLYEDPSIDPFQCRKVALTICNVSITLFKKMA QLSGNGNILFSPIRVIAAISMLSLGSNGNLSKHILETLRFNKTGLPEAEIHKCFWYLLHS IHQTEEPSSLQTGSSVFIHQDLTSVDKFVKGVKDLYHSDMISINFTDSSQAKTQINNYVM EKSQKEIVNIVKNLESDTFLAVVNYIIWNAKLDSNFGCRSVKVKDYHLGYGMTIKVPMIH NMAMHYLFRVEDLSSTVLMLTLLTGNFATYFIIPDPGKMQKVEQSLTYPHFRRMRRQLLT RLVDLEIPELSLSETHDLESMMSLLGITYVFNSGTNSSDMNDTLQKSFKVVSKAVLTIDE KGSKPSTNSCFKKLGSTDMGRMQLNRPFLIFIQDHTNDVPLFLGRVVNPQN >ENSMUSP00000040840.6 pep:known chromosome:GRCm38:2:150583080:150608567:-1 gene:ENSMUSG00000033096.7 transcript:ENSMUST00000046399.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apmap description:adipocyte plasma membrane associated protein [Source:MGI Symbol;Acc:MGI:1919131] MSEADGLRQRRPLRPQVVTDDGQVPEVKEGSSFSGRVFRMTFLMLAVSLAIPLLGAMMLL ESPIDPQSFSFKEPPFMFGVLHPNTKLRQAERLFENQLSGPESIVNIGDVLFTGTADGRV VKLENGEIETIARFGSGPCKTRDDEPTCGRPLGIRAGPNGTLFVVDAYKGLFEVNPQKRS VKLLLSSETPIEGKKMSFVNDLTVTRDGRKIYFTDSSSKWQRRDYLLLVMEATDDGRLLE YDTVTKEVKVLLDQLQFPNGVQLSPEEDFVLVAETTMARIRRVYVSGLMKGGADMFVENM PGFPDNIRPSSSGGYWVAAATIRANPGFSMLDFLSDKPFIKRMIFKMFSQETVMKFVPRY SLVLEVSDSGAFRRSLHDPDGQVVTYVSEAHEHDGYLYLGSFRSPFICRLSLQSI >ENSMUSP00000137197.1 pep:known chromosome:GRCm38:7:22691397:22692317:-1 gene:ENSMUSG00000095081.1 transcript:ENSMUST00000178871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8693 description:predicted gene 8693 [Source:MGI Symbol;Acc:MGI:3642968] MSVHDKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGYKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSRLVARSTNLCSTCVLSIHQFVTLFP LSRGKGKLILRASVQNLASYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGIVLQFAHDATFMSIMIWTSVSMILLLHRHCQRMQDILTPNQNPRGQAETRATRT ILMLVVTFVSFYLLNCICIIFHAFFIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDPC SVLFKC >ENSMUSP00000086710.4 pep:known chromosome:GRCm38:6:96831203:97060413:-1 gene:ENSMUSG00000046500.8 transcript:ENSMUST00000089295.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam19a4 description:family with sequence similarity 19, member A4 [Source:MGI Symbol;Acc:MGI:2444563] MRVCAKWVLLSRWLVLTYVLMVCCKLMSASSQHLRGHAGHHLIKPGTCEVVAVHRCCNKN RIEERSQTVKCSCFPGQVAGTTRAQPSCVEAAIVIEKWWCHMNPCLEGEDCKVLPDSSGW SCSSGNKVKTTKVTR >ENSMUSP00000144271.1 pep:known chromosome:GRCm38:7:108893461:108894405:-1 gene:ENSMUSG00000066239.2 transcript:ENSMUST00000202706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr519 description:olfactory receptor 519 [Source:MGI Symbol;Acc:MGI:3030353] MRRQNHSSTVEFILLGFSNYPELQGQMFGAFLVIYLVTVLGNAIIITIIFLDQSLHIPMY LFLQNLSLVDFCFSTVITPEILVILTSEKATISFGGCFVQMYFILLFGATECFLLGAMAY DRFAAICHPLTYPVIMSKRTFVKLVMCPWVLSIMTAVLSVTWVASFPYCDHKEINHLFCE IPPVLELACADTFLFEVYAFTSTILIVMVPFLLILLSYTRILFSILKMPSTTGRQKAFST CASHLTSVILFYGTASITYLQPKSGYSPDTKKLMSLAYTLLTPLLNPLIYSLRNKEMKRA VVKLWQRKVTLHTG >ENSMUSP00000081804.1 pep:known chromosome:GRCm38:7:108893461:108894420:-1 gene:ENSMUSG00000066239.2 transcript:ENSMUST00000084752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr519 description:olfactory receptor 519 [Source:MGI Symbol;Acc:MGI:3030353] GSAIVMRRQNHSSTVEFILLGFSNYPELQGQMFGAFLVIYLVTVLGNAIIITIIFLDQSL HIPMYLFLQNLSLVDFCFSTVITPEILVILTSEKATISFGGCFVQMYFILLFGATECFLL GAMAYDRFAAICHPLTYPVIMSKRTFVKLVMCPWVLSIMTAVLSVTWVASFPYCDHKEIN HLFCEIPPVLELACADTFLFEVYAFTSTILIVMVPFLLILLSYTRILFSILKMPSTTGRQ KAFSTCASHLTSVILFYGTASITYLQPKSGYSPDTKKLMSLAYTLLTPLLNPLIYSLRNK EMKRAVVKLWQRKVTLHTG >ENSMUSP00000074009.6 pep:known chromosome:GRCm38:4:135686287:135708181:1 gene:ENSMUSG00000062157.6 transcript:ENSMUST00000074408.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnlr1 description:interferon lambda receptor 1 [Source:MGI Symbol;Acc:MGI:2429859] MWRADRWAPLLLFLLQSALGRPRLAPPRNVTLFSQNFTVYLTWLPGLGSPPNVTYFVTYQ SYIKTGWRPVEHCAGIKALVCPLMCLKKLNLYSKFKGRVQAASAHGRSPRVESRYLEYLF DVELAPPTLVLTQMEKILRVNATYQLPPCMPSLELKYQVEFWKEGLGSKTLFPDTPYGQP VQIPLQQGASRRHCLSARTVYTLIDIKYSQFSEPSCIFLEAPGDKRAVLAMPSLLLLLIA AVAAGVAWKIMKGNPWFQGVKTPRALDFSEYRYPVATFQPSGPEFSDDLILCPQKELTIR NRPAPQVRNPATLQAGPERDSTEDEDEDTDYDDDGDSVQPYLERPLFISEKPRVMEHSET DESGVDSGGPWTSPVGSDGSSAWDSSDRSWSSTGDSSYKDEVGSSSCLDRKEPDQAPCGD WLQEALPCLEFSEDLGTVEEPLKDGLSGWRISGSLSSKRDLAPVEPPVSLQTLTFCWVNN PEGEEEQEDEEEEEEEEEEEDWESEPKGSNAGCWGTSSVQRTEVRGRMLGDYLVR >ENSMUSP00000048240.7 pep:known chromosome:GRCm38:13:111425680:111490111:-1 gene:ENSMUSG00000032745.17 transcript:ENSMUST00000047627.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpbp1 description:GC-rich promoter binding protein 1 [Source:MGI Symbol;Acc:MGI:1920524] MAQHDFAPAWLNFPTPPSSTKSSLNFEKHSENFSWTENRYDVSRRRHNSSDGFDSGIGRP NGGNFGRKEKNGWRTHGRNGTENINHRGGYHGGNSRSRSSIFHSGKSQGLHENSIPDNET GRKEDKRERRQFEAEDFPSLNPEYEREPNQNKSLAAGVWGLHAQTHTYPTKKISQAPLLD YPPNPKSRTPRMLVIKKGNTKDLQLSGFPVAGNLQSQPVKNGTGPSVYKGLVPKPAVPPT KPTQWKSQTKENKVGTSFSHESTYGVGNFNTFKSTAKNISPSTNSVKECNRSNSSSPVDK LNQQPRLTKLTRMRSDKKSEFLKALKRDRVEEEHEDESHAGSEKDDDSFNLHNSNTTHQE RDINRNFDENEIPQENGNASMISQQIIRSSTFPQTDVLSSSLEAEHRLLKEMGWQEDSEN DETCAPLTEDEMREFQVISEQLQKNGLRKNGILKNGLICDFKFGPWKNSTFKPTIENDDT ETSSSDTSDDDDV >ENSMUSP00000088777.4 pep:known chromosome:GRCm38:13:111425932:111490028:-1 gene:ENSMUSG00000032745.17 transcript:ENSMUST00000091236.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpbp1 description:GC-rich promoter binding protein 1 [Source:MGI Symbol;Acc:MGI:1920524] MAQHDFAPAWLNFPTPPSSTKSSLNFEKHSENFSWTENRYDVSRRRHNSSDGFDSGIGRP NGGNFGRKEKNGWRTHGRNGTENINHRGGYHGGNSRSRSSIFHSGKSQGLHENSIPDNET GRKEDKRERRQFEAEDFPSLNPEYEREPNQNKSLAAGVWDYPPNPKSRTPRMLVIKKGNT KDLQLSGFPVAGNLQSQPVKNGTGPSVYKGLVPKPAVPPTKPTQWKSQTKENKVGTSFSH ESTYGVGNFNTFKSTAKNISPSTNSVKECNRSNSSSPVDKLNQQPRLTKLTRMRSDKKSE FLKALKRDRVEEEHEDESHAGSEKDDDSFNLHNSNTTHQERDINRNFDENEIPQENGNAS MISQQIIRSSTFPQTDVLSSSLEAEHRLLKEMGWQEDSENDETCAPLTEDEMREFQVISE QLQKNGLRKNGILKNGLICDFKFGPWKNSTFKPTIENDDTETSSSDTSDDDDV >ENSMUSP00000123249.1 pep:known chromosome:GRCm38:13:111426158:111487712:-1 gene:ENSMUSG00000032745.17 transcript:ENSMUST00000136471.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpbp1 description:GC-rich promoter binding protein 1 [Source:MGI Symbol;Acc:MGI:1920524] MAQHDFAPAWLNFPTPPSSTKSSLNFEKHSENFSWTENRYDVSRRRHNSSDGFDSGIGRP NGAIFKS >ENSMUSP00000137026.1 pep:known chromosome:GRCm38:2:83955693:83958185:1 gene:ENSMUSG00000094125.1 transcript:ENSMUST00000179192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13698 description:predicted gene 13698 [Source:MGI Symbol;Acc:MGI:3702072] MKSSVAHMKQSSGHNRRETHSSYCRSSSPEDRYTEQERFPRDRDYSDYSRSDYERSRRGY SYDDSMESRSRDREKRRERERDADHRKRPWKSPSPDRSPARGIRQSSPQEEPTWKKKKDE LDPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISII IQELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNF RKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECG LKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVE EDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGS SEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHK LLKMEFAESQTKELCNMILDCCAQQRTYENFFGLLAGRFCMLEKEYMESFESIFKEQYDT IHRLETNKLRNVAKVFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMG LPKLNARLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIV AQKPEAEQKKTALTSSSSESSSASDSSDSDSDSSESSSESSSEASDSSSSSSTQSSTSAK GTRKKRQGKARGEEVDKLARGHQALERRQGGREDQRHQEGRTERARSERHRAQNSRDADW RDSLAKHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKKQREKRFFL >ENSMUSP00000136916.1 pep:known chromosome:GRCm38:2:83956398:83957184:1 gene:ENSMUSG00000094125.1 transcript:ENSMUST00000178325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13698 description:predicted gene 13698 [Source:MGI Symbol;Acc:MGI:3702072] LILNFRKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGF LKECGLKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEG LDLVEEDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTD QGAGSSEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSTKQKN SAT >ENSMUSP00000016631.7 pep:known chromosome:GRCm38:6:146888487:147032025:1 gene:ENSMUSG00000016487.15 transcript:ENSMUST00000016631.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp1 description:PTPRF interacting protein, binding protein 1 (liprin beta 1) [Source:MGI Symbol;Acc:MGI:1914783] MMSDASDMLAAALEQMDGIIAGSKALEYSNGIFDCQSPTSPFMGSLRALHLVEDLRGLLE MMETDEKEGLRCQIPDSTAEVLIEWLQNQMTNGHLPGNGDVYQERLARLENDKESLVLQV SVLTDQVEAQGEKIRDLEFCLEEHREKLNATEEMLQQELLSRTSLETQKLELMAEISNLK LKLTAVEKDRLDYEDRFRDTEGLIQEINDLRLKVNEMDGERLQYEKKLKSTKDELASLKE QLEEKECEVKRLQERLVCKAKGEGIEVLDRDIEVQKMKKAVESLMAANEEKERKIEDLRQ CLSRYRKMQDPAVLAQGQDSECEGLFHSSSISTLLDAQGFSDLERSTSSTPGMGSPSRDL LHTSAPEEFHTSVLQASIPSLLPPSVDVDTCEKPKLPTKPETSFEEGDGRAILGAAAEVS LSDGVSTSSLQKSSSLGNLKKEASDGTDKAPTDSRTFGTLPPKVPGHEASVDDNPFGTRK ARSSFGRGFFKIKSGKRTASAPNLAETEKETAEHLNLAGTSRSKGSQGTSPFPMSPPSPD SRKKSRGIMRLFGKLRRSQSTTFNPDDMSEPEFKRGGTRATAGPRLGWSRDLGQSNSDLD MPFAKWTKEQVCSWLAEQGLGSYLSSGKHWIISGQTLLQASQQDLEKELGIKHSLHRKKL QLALQALGSEEETNYGKLDFNWVTRWLDDIGLPQYKTQFDEGRVDGRMLHYMTVDDLLSL KVVSVLHHLSIKRAIQVLRINNFEPNCLRRRPSDENSITPSEVQQWTNHRVMEWLRSVDL AEYAPNLRGSGVHGGLMVLEPRFNVETMAQLLNIPPNKTLLRRHLATHFNLLIGAEAQHQ KRDAMELPDYVLLTATAKVKPKKLTFSNFGNLRKKKHEDGEEYVCPMELGQASGSSQKGF RPGLDMRLYEEDDLDRLEQMEDSEGTVRQIGAFSEGINNLTHMLKEDDMFKDFAARSPSA SITDEDSNV >ENSMUSP00000145088.1 pep:known chromosome:GRCm38:6:146888509:146978054:1 gene:ENSMUSG00000016487.15 transcript:ENSMUST00000203730.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp1 description:PTPRF interacting protein, binding protein 1 (liprin beta 1) [Source:MGI Symbol;Acc:MGI:1914783] MMSDASDMLAAALEQMDGIIAGSKA >ENSMUSP00000107250.2 pep:known chromosome:GRCm38:6:146888589:147032025:1 gene:ENSMUSG00000016487.15 transcript:ENSMUST00000111623.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp1 description:PTPRF interacting protein, binding protein 1 (liprin beta 1) [Source:MGI Symbol;Acc:MGI:1914783] MMSDASDMLAAALEQMDGIIAGSKALEYSNGIFDCQSPTSPFMGSLRALHLVEDLRGLLE MMETDEKEGLRCQIPDSTAEVLIEWLQNQMTNGHLPGNGDVYQERLARLENDKESLVLQV SVLTDQVEAQGEKIRDLEFCLEEHREKLNATEEMLQQELLSRTSLETQKLELMAEISNLK LKLTAVEKDRLDYEDRFRDTEGLIQEINDLRLKVNEMDGERLQYEKKLKSTKDELASLKE QLEEKECEVKRLQERLVCKAKGEGIEVLDRDENIKKKLKEKNIEVQKMKKAVESLMAANE EKERKIEDLRQCLSRYRKMQDPAVLAQGQDSECEGLFHSSSISTLLDAQGFSDLERSTSS TPGMGSPSRDLLHTSAPEEFHTSVLQASIPSLLPPSVDVDTCEKPKLPTKPETSFEEGDG RAILGAAAEVSLSDGVSTSSLQKSSSLGNLKKEASDGTDKAPTDSRTFGTLPPKVPGHEA SVDDNPFGTRKARSSFGRGFFKIKSGKRTASAPNLAETEKETAEHLNLAGTSRSKGSQGT SPFPMSPPSPDSRKKSRGIMRLFGKLRRSQSTTFNPDDMSEPEFKRGGTRATAGPRLGWS RDLGQSNSDLDMPFAKWTKEQVCSWLAEQGLGSYLSSGKHWIISGQTLLQASQQDLEKEL GIKHSLHRKKLQLALQALGSEEETNYGKLDFNWVTRWLDDIGLPQYKTQFDEGRVDGRML HYMTVDDLLSLKVVSVLHHLSIKRAIQVLRINNFEPNCLRRRPSDENSITPSEVQQWTNH RVMEWLRSVDLAEYAPNLRGSGVHGGLMVLEPRFNVETMAQLLNIPPNKTLLRRHLATHF NLLIGAEAQHQKRDAMELPDYVLLTATAKVKPKKLTFSNFGNLRKKKHEDGEEYVCPMEL GQASGSSQKGFRPGLDMRLYEEDDLDRLEQMEDSEGTVRQIGAFSEGINNLTHMLKEDDM FKDFAARSPSASITDEDSNV >ENSMUSP00000144887.1 pep:known chromosome:GRCm38:6:146976483:146992435:1 gene:ENSMUSG00000016487.15 transcript:ENSMUST00000204660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp1 description:PTPRF interacting protein, binding protein 1 (liprin beta 1) [Source:MGI Symbol;Acc:MGI:1914783] MMSDASDMLAAALEQMDGIIAGSKALEYSNGIFDCQSPTSPFMGSLRALHLVEDLRGLLE MMETDEKEGLRCQIPDSTAEVLIEWLQNQMTNGHLPGNGDVYQERLARLENDKESLVLQV SVLTDQVEAQ >ENSMUSP00000122515.1 pep:known chromosome:GRCm38:6:146992480:147005967:1 gene:ENSMUSG00000016487.15 transcript:ENSMUST00000154221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp1 description:PTPRF interacting protein, binding protein 1 (liprin beta 1) [Source:MGI Symbol;Acc:MGI:1914783] EKLNATEEMLQQELLSRTSLETQKLELMAEISNLKLKLTAVEKDRLDYEDRFRDTEGLIQ EINDLRLKVNEMDGERLQYEKKLKSTKSLMAKLSSMKIKVGQMQYEKQRMEQKWETLKDE LASLKEQLEEKECEVKRLQERLVCKAKGEGIEVLDRDIEVQKMKKAVESLMAANEEKERK IEDLRQCLSRYRKMQDPAVLAQGQDSECEGLFHSSSISTLLDAQGFSDLERSTSSTPGMG SP >ENSMUSP00000121270.1 pep:known chromosome:GRCm38:6:147002243:147019173:1 gene:ENSMUSG00000016487.15 transcript:ENSMUST00000155415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp1 description:PTPRF interacting protein, binding protein 1 (liprin beta 1) [Source:MGI Symbol;Acc:MGI:1914783] XQDPAVLAQGQDSECEGLFHSSSISTLLDAQGFSDLERSTSSTPGMGSPSRDLLHTSAPE EFHTSVLQASIPSLLPPSVDVDTCEKPKLPTKPETSFEEGDGRAILGAAAEVSLSDGVST SSLQKSSSLGNLKKEASDGTEKESIQKLSEDKAPTDSRTFGTLPPKVPGHEASVDDNPFG TRKARSSFGRGFFKIKSGKRTASAPNLAETEKETAEHLNLAGTSRSKGSQGTSPFPMSPP SPDSRKKSRGIMRL >ENSMUSP00000114340.1 pep:known chromosome:GRCm38:6:147010879:147019580:1 gene:ENSMUSG00000016487.15 transcript:ENSMUST00000136837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfibp1 description:PTPRF interacting protein, binding protein 1 (liprin beta 1) [Source:MGI Symbol;Acc:MGI:1914783] LGAAAEVSLSDGVSTSSLQKSSSLGNLKKEASDGTDKAPTDSRTFGTLPPKVPGHEASVD DNPFGTRKARSSFGRGFFKIKSGKRTASAPNLDRKRSASAPTLAETEKETAEHLNLAGTS RSKGSQGTSPFPMSPPSPDSRKKSRGIMRLFGKLRRSQSTTFNPDDMSEPEFKRGGTRAT AGPRLGWSRDLGQ >ENSMUSP00000021471.6 pep:known chromosome:GRCm38:12:70453095:70468040:1 gene:ENSMUSG00000021072.12 transcript:ENSMUST00000021471.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmx1 description:thioredoxin-related transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1919986] MAHLGRLMVPLAALVLLLWAVPGAHGRRNNVRVLTDENWTSLLEGEWMIEFYAPWCPACQ NLQPEWESFAEWGEDLEVKVAKVDVTEQTGLSGRFIITALPSIYHCKDGEFRRYVGPRTK KDFINFVSDKEWKNIEPISSWFGPSSVLMTMMSALFQLSVYIRTSHSYFVHDLGIPAWGS YLVFAFATVLSGLLLGLCMIFVADCLCPSKRRKPQQQYAKKTSPEFSQPLKKVEEEQEAD EEDVSEEEAEDREGASKATSQSSIRQRCVGLPSATDTS >ENSMUSP00000123893.1 pep:known chromosome:GRCm38:12:70456038:70466253:1 gene:ENSMUSG00000021072.12 transcript:ENSMUST00000162277.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmx1 description:thioredoxin-related transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1919986] XNLQPEWESFAEWGEDLEVKVAKVDVTEQTGLSGRFIITALPSIYHCKDGEFRRYVGPRT KKDFINFVSDKEWKNIEPISSWFGPSSVLLATAILFMTLEYQRGVHTWFLLLPQYSQDCC WDFV >ENSMUSP00000055036.5 pep:known chromosome:GRCm38:2:180024987:180035461:1 gene:ENSMUSG00000039108.14 transcript:ENSMUST00000055485.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm14b description:LSM14 homolog B (SCD6, S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3040677] MSGSSGTPYLGSKISLISKAQIRYEGILYTIDTDNSTVALAKVRSFGTEDRPTDRPAPPR EEIYEYIIFRGSDIKDITVCEPPKAQHTLPQDPAIVQSSLGSASASPFQPHVPYSPFRGM PPYGQLAASSLLSQQYAASLGLGAGFPSTPVGKSPMVEQAVQTSSVDNLNAKKLLPSKVT SATQLNGRQAQPSSKPASDVVQPAPVHTQGQVNDENRRPPRRRSGNRRTRNRSRGQNRPT NVKENTIKFEGDFDFESANAQFNREELDKEFKKKLNFKDDKADKGEEKDPAVMAQSEETA AEEDLLGPNCYYDKSKSFFDNISSELKTSSRRTTWAEERKLNTETFGVSGRFLRGRSSRG GFRGGRGNGTTRRNPTSHRAGTGRV >ENSMUSP00000062519.4 pep:known chromosome:GRCm38:2:180026398:180035465:1 gene:ENSMUSG00000039108.14 transcript:ENSMUST00000058764.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm14b description:LSM14 homolog B (SCD6, S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3040677] MPPYGQLAASSLLSQQYAASLGLEKLVSPPASAAASSPSSSPSPQPVSELDMSSEPPQLT CKGAGFPSTPVGKSPMVEQAVQTSSVDNLNAKKLLPSKVTSATQLNGRQAQPSSKPASDV VQPAPVHTQGQVNDENRRPPRRRSGNRRTRNRSRGQNRPTNVKENTIKFEGDFDFESANA QFNREELDKEFKKKLNFKDDKADKGEEKDPAVMAQSEETAAEEDLLGPNCYYDKSKSFFD NISSELKTSSRRTTWAEERKLNTETFGVSGRFLRGRSSRGGFRGGRGNGTTRRNPTSHRA GTGRV >ENSMUSP00000114301.1 pep:known chromosome:GRCm38:17:17121415:17146831:1 gene:ENSMUSG00000095990.1 transcript:ENSMUST00000147630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp97 description:zinc finger protein 97 [Source:MGI Symbol;Acc:MGI:105921] MMRILSLPTENAVTYYDVLVSFTQEEWALLDPSQKSLYKDVMLETYRNLTAIGYNWEEDN IEEDCENSGRPTRHLQRDGPSNTGEKPFECIQYDGAFARNHHQLHKDTGSFMSYTDRQIH RRPHTEEKVYDGNQCGKTFSCHNHFEIRKGTYTGEKPYECNQCGKAFARNSNLLDHKRIH TGEKPYKCKQCGKDFTHHSTLHIHKRIHTREKTYKCNQCMTIAHLQCHKITHTGEKLCEY NQCWKAFAYHKTLQIHERTHTGEKLYQCNQCAKAFPYHRTLQIHERTHTGEKPYECNQCG KAFACLRNLQNHKTTHTGEKPYECNQCGRAFRQYVYLQCHERIHTREKPFECNQCGKAFA HHSTLQRHKRTHTGEKPYECNQCGKAFACPRYLQIHKRTHTGEKPYECNQCGKAFACYQS FQIHKRTHTGEKPYECNQCGKAFACNRYLQIHKRTHTGERPYECNQCGKAFTCRSNLQIH KRTHTGEKPYECNQCGKAFTQFFPLKRHEITHTKEKPYECNQCGKAFTRHSTLQIHKRTH TGEKPV >ENSMUSP00000061341.2 pep:known chromosome:GRCm38:9:81863670:82027026:1 gene:ENSMUSG00000043289.12 transcript:ENSMUST00000057067.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mei4 description:meiotic double-stranded break formation protein 4 [Source:MGI Symbol;Acc:MGI:1922283] MDIQPWYLKTSKLALALAIIHSKPADRSSREYTEYLASLVTQKESTWKSKLEALEAEVLQ LRQKLLLSRISSGLFKNGPDVLPTLSDQEPTSSENTLTLMDDSGCVLSNEQRNEPAELSQ HFVESTDPPLLPLPLEKRPRTTLENPLSSHMQFFQHLLELKKWTESSSLKVYLTHFEKDS STVSDSVSQLLDALITFYRNPKLPFSSFWTEAVGTLARLASDFNLSNHIFKRCSKKLEEF EKTLLQAILENNSINRFQVQRYVSQSLVTLGSCSLLRKSIISLLLSEVNSFVDDLGAIDQ DQGIYDVTRYENIFSLFWILEQVLQQAPQGDRTAHMDHSIPEMQTFLQKHDEVIFRLSDA FPLFAFYLWRLGVLLNSAEMETVKNESLP >ENSMUSP00000140647.1 pep:known chromosome:GRCm38:9:81863705:82206007:1 gene:ENSMUSG00000043289.12 transcript:ENSMUST00000189832.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mei4 description:meiotic double-stranded break formation protein 4 [Source:MGI Symbol;Acc:MGI:1922283] MDIQPWYLKTSKLALALAIIHSKPADRSSREYTEYLASLVTQKESTWKSKLEALEAEVLQ LRQKLLLSRISSGLFKNGPDVLPTLSDQEPTSSENTLTLMDDSGCVLSNEQRNEPAELSQ HFVESTDPPLLPLPLEKRPRTTLENPLSSHMQFFQHLLELKKWTESSSLKVYLTHFEKDS STVSDSVSQLLDALITFYRNPKLPFSSFWTEAVGTLARLASDFNLSNHIFKRCSKKLEEF EKTLLQAILENNSINRFQVQRYVSQSLVTLGSCSLLRKSIISLLLSEVNSFVDDLGAIDQ ALPVELADSIQA >ENSMUSP00000139589.1 pep:known chromosome:GRCm38:9:81863709:82026458:1 gene:ENSMUSG00000043289.12 transcript:ENSMUST00000189391.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mei4 description:meiotic double-stranded break formation protein 4 [Source:MGI Symbol;Acc:MGI:1922283] MDDSGCVLSNEQRNEPAELSQHFVESTDPPLLPLPLEKRPRTTLENPLSSHMQFFQHLLE LKKWTESSSLKVYLTHFEKDSSTVSDSVSQLLDALITFYRNPKLPFSSFWTEAVGTLARL ASDFNLSNHIFKRCSKKLEEFEKTLLQAILENNSINRFQVQRYVSQSLVTLGSCSLLRKS IISLLLSEVNSFVDDLGAIDQDQGIYDVTRYENIFSLFWILEQVLQQAPQGDRTAHMDHS IPEMQTFLQKHDEVIFRLSDAFPLFAFYLWRLGVLLNSAEMETVKNESLP >ENSMUSP00000104007.2 pep:known chromosome:GRCm38:3:14533788:14572658:1 gene:ENSMUSG00000027550.14 transcript:ENSMUST00000108370.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrcc1 description:leucine rich repeat and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:1918960] MEAAVCSEIEREDGDSSCGDVCFMDKGLHSISELSLDSSIHAINLHCNNISKISSIDHIW NLRHLDLSSNQISQIEGLNTLTKLCTLNLSCNLITRVEGLEALVNLTKLNLSYNHINDLS GLMPLHGLKYKLRYIDLHSNYIDSIHHLLQCTVGLHFLTNLILEKDGEGNPICLIPGYRA IILQTLPQLRILDCKNIFGEPVSLEEINSSHLQCLEGLLDNLVSSDSPLNISEDEVNDDV SAPPMDVLPSLKEFKSTPEDNVLASLLSVCPSSEPEKINQENDFQNEVKLQKLDDQILQL LNETNNSLIDNVPEKDLRPKRDTDITSESDYGNRRECSRKVPRRTKIPYYSRTIQTIKHH NKNNGAFVSCNRKMRQPYLRDLYVRSSLVNCNNLRDLDEQKTGVIKVDKNFSDNSTYRSL VEQLDQEREMRWKAEQTEKKLMDYIDELHKQADEKKDVHSQALITTDRLKDAIFKERHCK AQLEIIVHRLQNEVKKLTIELMKARDQQEDHIRHLRTLERALEKMEKQKAQQQAAQIRLI QEVELKASAADREINLLRTSLHQEKQQVQQLHELLALKEQEHRQEIETRQFFTDAEFQDA LTKRLCKEERKHEQEVKEYQEKIDILNQQYLDLENEFRIALTVEARRFKDVQDGFEDVAT ELAKSKHALIWAQRKENESSSLIKDLTCMVKEQKTKLSEVCKLKQEAAANLQNQINTLEI LIEDDKQKSIQIELLKHEKTQLISELAAKESLIYGLRTERKVWGQELACQSSTLSQSRGK LEAQIESLCRENESLRKSHESDCDALRIKCKIIEDQNETIRKLKDSLQEKDGQIKLLQEQ IALIEKCSQEQLNEKSSQLDSIVEKLERHNERKEKLKQQLKAKELELEEIRKAYSTLNKK WHDKGELLSHLEMQVKEVKEKFEDKERKLKAERDKSLELQKDAMEKLQNMDDAFRRQVDE IVEAHQAEIMQLANEKQKYIDCANLKGDYARGD >ENSMUSP00000126560.1 pep:known chromosome:GRCm38:3:14533823:14568301:1 gene:ENSMUSG00000027550.14 transcript:ENSMUST00000169079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrcc1 description:leucine rich repeat and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:1918960] MEAAVCSEIEREDGDSSCGDVCFMDKGLHSISELSLDSSIHAINLHCNNISKISSIDHIW NLRHLDLSSNQISQIEGLNTLTKLCTLNLSCNLITRVEGLEALVNLTKLNLSYNHINDLS GLMPLHGLKYKLRYIDLHSNYIDSIHHLLQCTVGLHFLTNLILEKDGEGNPICLIPGYRA IILQTLPQLRILDCKNIFGEPVSLEEINSSHLQCLEGLLDNLVSSDSPLNISEDEVNDDV SAPPMDVLPSLKEFKSTPEDNVLASLLSVCPSSEPEKINQENDFQNEVKLQKLDDQILQL LNETNNSLIDNVPEKDLRPKRDTDITSESDYGNRRECSRKVPRRTKIPYYSRTIQTIKHH NKNNGAFVSCNRKMRQPYLRDLYVRSSLVNCNNLRDLDEQKTGVIKVDKNFSDNSTYRSL VEQLDQEREMRWKAEQTEKKLMDYIDELHKQADEKKDVHSQALITTDRLKDAIFKERHCK AQLEIIVHRLQNEVKKLTIELMKARDQQEDHIRHLRTLERALEKMEKQKAQQQAAQIRLI QEVELKASAADREINLLRTSLHQEKQQVQQLHELLALKEQEHRQEIETRQFFTDAEFQDA LTKRLCKEERKHEQEVKEYQEKIDILNQQYLDLENEFRIALTVEARRFKDVQDGFEDVAT ELAKSKHALIWAQRKENESSSLIKDLTCMVKEQKTKLSEVCKLKQEAAANLQNQINTLEI LIEDDKQKSIQIELLKHEKTQLISELAAKESLIYGLRTERKVWGQELACQSSTLSQSRGK LEAQIESLCRENESLRKSHESDCDALRIKCKIIEDQNETIRKLKDSLQEKDGQIKLLQEQ IALIEKCSQEQLNEKSSQLDSIVEKLERHNERKEKLKQQLKAKELELEEIRKAYSTLNKK WHDKGELLSHLEMQVKEVKEKFEDKERKLKAERDKSLELQKDAMEKLQNMDDAFRRQVDE IVEAHQAEIMQLANEKQKYIDCANLKVQQVEDEMRGLLDETCKNKKMMEEKIKQLACAIS EIQKEM >ENSMUSP00000088875.3 pep:known chromosome:GRCm38:3:14533824:14566103:1 gene:ENSMUSG00000027550.14 transcript:ENSMUST00000091325.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrcc1 description:leucine rich repeat and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:1918960] MEAAVCSEIEREDGDSSCGDVCFMDKGLHSISELSLDSSIHAINLHCNNISKISSIDHIW NLRHLDLSSNQISQIEGLNTLTKLCTLNLSCNLITRVEGLEALVNLTKLNLSYNHINDLS GLMPLHGLKYKLRYIDLHSNYIDSIHHLLQCTVGLHFLTNLILEKDGEGNPICLIPGYRA IILQTLPQLRILDCKNIFGEPVSLEEINSSHLQCLEGLLDNLVSSDSPLNISEDEVNDDV SAPPMDVLPSLKEFKSTPEDNVLASLLSVCPSSEPEKINQENDFQNETNNSLIDNVPEKD LRPKRDTDITSESDYGNRRECSRKVPRRTKIPYYSRTIQTIKHHNKNNGAFVSCNRKMRQ PYLRDLYVRSSLVNCNNLRDLDEQKTGVIKVDKNFSDNSTYRSLVEQLDQEREMRWKAEQ TEKKLMDYIDELHKQADEKKDVHSQALITTDRLKDAIFKERHCKAQLEIIVHRLQNEVKK LTIELMKARDQQEDHIRHLRTLERALEKMEKQKAQQQAAQIRLIQEVELKASAADREINL LRTSLHQEKQQVQQLHELLALKEQEHRQEIETRQFFTDAEFQDALTKRLCKEERKHEQEV KEYQEKIDILNQQYLDLENEFRIALTVEARRFKDVQDGFEDVATELAKSKHALIWAQRKE NESSSLIKDLTCMVKEQKTKLSEVCKLKQEAAANLQNQINTLEILIEDDKQKSIQIELLK HEKTQLISELAAKESLIYGLRTERKVWGQELACQSSTLSQSRGKLEAQIESLCRENESLR KSHESDCDALRIKCKIIEDQNETIRKLKDSLQEKDGQIKLLQEQIALIEKCSQEQLNEKS SQLDSIVEKLERHNERKEKLKQQLKAKELELEEIRKAYSTLNKKWHDKGELLSHLEMQVK EVKEKFEDKERKLKAERDKSLELQKDAMEKLQNMDDAFRRQVDEIVEAHQAEIMQLANEK QKYIDCANLKVQQVEDEMRGLLDETCKNKKMMEEKIKQLACAISEIQKEM >ENSMUSP00000128733.1 pep:known chromosome:GRCm38:3:14533879:14562163:1 gene:ENSMUSG00000027550.14 transcript:ENSMUST00000163660.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrcc1 description:leucine rich repeat and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:1918960] MPLHGLKYKLRYIDLHSNYIDSIHHLLQCTVGLHFLTNLILEKDGEGNPICLIPGYRAII LQTLPQLRILDCKNIFGEPVSLEEINSSHLQCLEGLLDNLVSSDSPLNISEDEVNDDVSA PPMDVLPSLKEFKSTPEDNVLASLLSVCPSSEPEKINQENDFQNEVKLQKLDDQILQLLN ETNNSLIDNVPEKDLRPKRDTDITSESDYGNRRECSRKVPRRTKIPYYSRTIQTIKHHNK NNGAFVSCNRKMRQPYLRDLYVRSSLVNCNNLRDLDEQKTGVIKVDKNFSDNSTYRSLVE QLDQEREMRWKAEQTEKKLMDYIDELHKQADEKKDVHSQALITTDRLKDAIFKERHCKAQ LEIIVHRLQNEVKKLTIELMKARDQQEDHIRHLRTLERALEKMEKQKAQQQAAQIRLIQE VELKASAADREINLLRTSLHQEKQQVQQLHELLALKEQEHRQEIETRQFFTDAEFQDALT KRLCKEERKHEQEVKEYQEKIDILNQQYLDLENEFRIALTVEARRFKDVQDGFEDVATEL AKSKHALIWAQRKENESSSLIKDLTCMVKEQKTKLSEVCKLKQEAAANLQNQINTLEILI EDDKQKSIQIELLKHEKTQLISELAAKESLIYGLRTERKVWGQELACQSSTLSQSRGKLE AQIESLCRENESLRKSHESDCDALRIKCKIIEDQNETIRKLKDSLQEKDGQIKLLQ >ENSMUSP00000129430.1 pep:known chromosome:GRCm38:3:14533934:14551473:1 gene:ENSMUSG00000027550.14 transcript:ENSMUST00000165436.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrcc1 description:leucine rich repeat and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:1918960] MEAAVCSEIEREDGDSSCGDVCFMDKGLHSISELSLDSSIHAINLHCNNISKISSIDHIW NLRHLDLSSNQISQIEGLNTLTKLCTLNLSCNLITRVEGLEALVNLTKLNLSYNHINDLS GLMPLHGLKYKLRYIDLHSNYIDSIHHLLQCTVGLHFLTNLILEKDGEGNPICLIPGQ >ENSMUSP00000129368.1 pep:known chromosome:GRCm38:3:14548117:14566406:1 gene:ENSMUSG00000027550.14 transcript:ENSMUST00000167858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrcc1 description:leucine rich repeat and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:1918960] EQLDQEREMRWKAEQTEKKLMDYIDELHKQADEKKDVHSQALITTDRLKDAIFKERHCKA QLEIIVHRLQNEVKKLTIELMKARDQQEDHIRHLRTLERALEKMEKQKAQQQAAQIRLIQ EVELKASAADREINLLRTSLHQEKQQVQQLHELLALKEQEHRQEIETRQFFTDAEFQDAL TKRLCKEERKHEQEVKEYQEKIDILNQQYLDLENEFRIALTVEARRFKDVQDGFEDVATE LAKSKHALIWAQRKENESSSLIKDLTCMVKEQKTKLSEVCKLKQEAAANLQNQINTLEIL IEDDKQKSIQIELLKHEKTQLISELAAKESLIYGLRTERKVWGQELACQSSTLSQSRGKL EAQIESLCRENESLRKSHESDCDALRIKCKIIEDQNETIRKLKDSLQEKDGQIKLLQEQI ALIEKCSQEQLNEKSSQLDSIVEKLERHNERKEKLKQQLKAKELELEEIRKAYSTLNKKW HDKGELLSHLEMQVKEVKEKFEDKERKLKAERDKSLELQKDAMEKLQNMDDAFRRQVDEI VEAHQAEIMQLANEKQKYIDCANLKDDSEKLPGAAQVCFMM >ENSMUSP00000128252.1 pep:known chromosome:GRCm38:3:14548193:14554472:1 gene:ENSMUSG00000027550.14 transcript:ENSMUST00000171620.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrcc1 description:leucine rich repeat and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:1918960] XLHKQADEKKDVHSQALITTDRLKDAIFKERHCKAQLEIIVHRLQNEVKKLTIELMKARD QQEDHIRHLRTLERALEKMEKQKAQQQAAQTAGRSPSYLP >ENSMUSP00000126592.1 pep:known chromosome:GRCm38:3:14550380:14559325:1 gene:ENSMUSG00000027550.14 transcript:ENSMUST00000169799.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrcc1 description:leucine rich repeat and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:1918960] XIRHLRTLERALEKMEKQKAQQQAAQIRLIQEVELKASAADREINLLRTSLHQEKQQVQQ LHELLALKEQEHRQEIETRQFFTDAEFQDALTKRLCKEERKHEQEVKEYQEKIDILNQQY LDLENEFRIALTVEARRFKDNQINTLEILIEDDKQKSIQIELLKHEKTQLISELAAKESL IYGLRTERKVWGQELACQSSTLSQSRGKLEAQIESLCRENESLRKSHESDC >ENSMUSP00000087047.4 pep:known chromosome:GRCm38:16:36603869:36666077:-1 gene:ENSMUSG00000022901.13 transcript:ENSMUST00000089620.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd86 description:CD86 antigen [Source:MGI Symbol;Acc:MGI:101773] MDPRCTMGLAILIFVTVLLISDAVSVETQAYFNGTAYLPCPFTKAQNISLSELVVFWQDQ QKLVLYEHYLGTEKLDSVNAKYLGRTSFDRNNWTLRLHNVQIKDMGSYDCFIQKKPPTGS IILQQTLTELSVIANFSEPEIKLAQNVTGNSGINLTCTSKQGHPKPKKMYFLITNSTNEY GDNMQISQDNVTELFSISNSLSLSFPDGVWHMTVVCVLETESMKISSKPLNFTQEFPSPQ TYWKEITASVTVALLLVMLLIIVCHKKPNQPSRPSNTASKLERDSNADRETINLKELEPQ IASAKPNAE >ENSMUSP00000117756.1 pep:known chromosome:GRCm38:16:36620713:36642805:-1 gene:ENSMUSG00000022901.13 transcript:ENSMUST00000135280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd86 description:CD86 antigen [Source:MGI Symbol;Acc:MGI:101773] MYVIKTCATCTMGLAILIFVTVLLISDAVSVETQAYFNGTAYLPCPFTKAQNISLSELVV FWQDQQKLVLYEHYLGTEKLDSVNAKYLGRTSFDRNNWTLRLHNVQIKDMGSYDCFIQKK PPTGSIILQQTLTEL >ENSMUSP00000037127.6 pep:known chromosome:GRCm38:3:40950354:40978165:1 gene:ENSMUSG00000025762.14 transcript:ENSMUST00000048490.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp1b description:La ribonucleoprotein domain family, member 1B [Source:MGI Symbol;Acc:MGI:1914604] MANWPTPGELVNTGSQSVINQGNKKPQIRKEKEEKIEKRSNSESKENREAKLDGPTENIS EDEAQSSSQRKRANKHKWVPLHLDDVRPDSQERPGSRNSSRCQPEANKPTHSNRKSDTRS WRREREKRDDQDEVSSVRSEGGTIRGSTRGRGRGRGRGRGRGRGNPRLNFDYSYGYREPG ERTEQPFSTELNTSMMYYYDDGTGVRVYPVEETLLKEYIKRQIEYYFSTENLERDFFLRR KMDEQGFLPISLIAGFHRVQALTTNLNLILEALKDSTEVEIVDEKMRKKIEPEKWPIPGP PPRNVPQTDFSQLIDCPEFIPGQAFGSHTVRVMIY >ENSMUSP00000142106.1 pep:known chromosome:GRCm38:3:40950792:40977629:1 gene:ENSMUSG00000025762.14 transcript:ENSMUST00000191872.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp1b description:La ribonucleoprotein domain family, member 1B [Source:MGI Symbol;Acc:MGI:1914604] MANWPTPGELVNTGSQSVINQGNKKPQIRKEKEEKIEKRSNSESKENREAKLDGPTENIS EDEAQSSSQRKRGWRREREKRDDQDEVSSVRSEGGTIRGSTRGRGRGRGRGRGRGRGNPR LNFDYSYGYREPGERTEQPFSTELNTSMMYYYDDGTGVRVYPVEETLLKEYIKRQIEYYF STENLERDFFLRRKMDEQGFLPISLIAGFHRVQALTTNLNLILEALKDSTEVEIVDEKMR KKIEPEKWPIPGPPPRNVPQTDFSQLIDCPEFIPGQAFGSHTVRVMIY >ENSMUSP00000143244.1 pep:known chromosome:GRCm38:3:40950818:40962228:1 gene:ENSMUSG00000025762.14 transcript:ENSMUST00000200432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp1b description:La ribonucleoprotein domain family, member 1B [Source:MGI Symbol;Acc:MGI:1914604] MANWPTPGELVNTG >ENSMUSP00000141487.1 pep:known chromosome:GRCm38:3:40962169:40977782:1 gene:ENSMUSG00000025762.14 transcript:ENSMUST00000191805.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp1b description:La ribonucleoprotein domain family, member 1B [Source:MGI Symbol;Acc:MGI:1914604] MANWPTPGELVNTGSQSVINQGNKKPQIRKEKEEKIEKRSNSESKENREAKLDGPTENIS EDEAQSSSQRKRANKHKWVPLHLDDVRPDSQERPGSRNSSRCQPEANKPTHSNRKSDTRS WRREREKRDDQDEVSSVRSEGGTIRGSTRGRGRGRGRGRGRGRGNPRLNFDYSYGYREPG ERTEQPFSTELNTSMMYYYDDGTGVRVYPVEETLLKEYIKRQIEYYFSTENLERDFFLRR KMDEQGFLPISLIAGFHRVQALTTNLNLILEALKDSTEVEIVDEKMRKKIEPEKWPIPGP PPRNVPQTDFSQLIDCPEFIPGQAFGSHTVRVMIY >ENSMUSP00000142020.1 pep:known chromosome:GRCm38:3:40963981:41012377:1 gene:ENSMUSG00000025762.14 transcript:ENSMUST00000193795.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Larp1b description:La ribonucleoprotein domain family, member 1B [Source:MGI Symbol;Acc:MGI:1914604] XNQGNKKPQIRKEKEEKIEKRSNSESKENREAKLDGPTENISEDEAQSSSQRKRANKHKW VPLHLDDVRPDSQERPGSRNSSRCQPEANKPTHSNRKSDTRSWRREREKRDDQDEVSSVR SEGGTIRGSTRGRGRGRGRGRGRGRGNPRLNFDYSYGYREPGERTEQPFSTELNTSMMYY YDDGTGVRVYPVEETLLKEYIKRQIEYYFSTENLERDFFLRRKMDEQGFLPISLIAGFHR VQALTTNLNLILEALKDSTEVEIVDEKMRKKIEPEKWPIPGPPPRNVPQTDFSQLIDCPE FIPGQAFGSHTVSTPNSPRIGSPLTPKKNTVTNTLQVVSEVCLPAYLTWTQNQGSEEGGC ESSPVPSDTE >ENSMUSP00000026862.7 pep:known chromosome:GRCm38:3:41033944:41040196:1 gene:ENSMUSG00000025762.14 transcript:ENSMUST00000026862.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp1b description:La ribonucleoprotein domain family, member 1B [Source:MGI Symbol;Acc:MGI:1914604] MQKRITGQLYGLEKFWAYLEYSQSKTQPVDPKLQEYLSRFKKLEDFRVDLPSSEEFGRQR HSSTSGEERIHHTLPPPSAAEPASAGNCSPKDGVQGKSTGAQ >ENSMUSP00000096721.3 pep:known chromosome:GRCm38:3:41024373:41039236:1 gene:ENSMUSG00000025762.14 transcript:ENSMUST00000099121.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp1b description:La ribonucleoprotein domain family, member 1B [Source:MGI Symbol;Acc:MGI:1914604] MESRDHGPRPPSVSSSNASPSEGVPLTGSYSYPPQSFTKVQHPSYELLKENGFTQQLYHR YRRKCLSERKRLGIGQSQEMNTLFRFWSFFLRDHFNWKMYEEFRQLAWEDAKENYRYGLE CLFRFYSYGLEKNFRKEIFKDFQEETKKDYESGQLYGLEKFWAYLEYSQSKTQPVDPKLQ EYLSRFKKLEDFRVDLPSSEEFGRQRHSSTSGEERIHHTLPPPSAAEPASAGNCSPKDGV QGKSTGAQ >ENSMUSP00000035635.6 pep:known chromosome:GRCm38:6:133292216:133295792:1 gene:ENSMUSG00000055594.6 transcript:ENSMUST00000048459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5530400C23Rik description:RIKEN cDNA 5530400C23 gene [Source:MGI Symbol;Acc:MGI:1918650] MLVVLLTAALLVLSSAQRAEEDLNNDSTSGVQPIPSPAEKTQDSELGPSAAAANIGNIQE SSPLVKVDPSSNSGNEQQVEPEESQQAESQEHCDSTGKQEKNQPRKRNVVEVKNLSSSPE NSQGSPQQIQSKQKPLIEGGSGQERVPPPHPLKLLRPRVVNHDVSFWRGPRRN >ENSMUSP00000089815.1 pep:known chromosome:GRCm38:10:112163621:112216555:1 gene:ENSMUSG00000035694.15 transcript:ENSMUST00000092176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caps2 description:calcyphosphine 2 [Source:MGI Symbol;Acc:MGI:2441980] MVPPLDLGSLVDSDEEDNFSQTARGTVHVHLNPPRSEPALGWVLPCQRPNSQHRLQEVEQ DVIPEDLPAPTGKYRLKYQQYASEMKDGYKQYIQRSTEKPKAASRPEATEKVEGASLDDL MTLDRKALLQQGYADSPYGRQSITRKSDVETVAIEKKKQTVAEQMMMDHLSRAVISDPEQ DLNTKNQESSRVPPDSERAPLRVRRRTLHETKIRTNSALTENDLSQKVEFDGRVLSRNGR DACRELIGFFFAHDQSLTVYEYRMFGKNRTSVLPFIKKDIYHHQCGRRKGKQYELGDVYT GATLTFLSCDQPSLPKTIKENALLRLRITNIDQVALNSLKAASAEHGEEEAVSPEAHDQL VLQAIQDKLKEQLHKKGARILTGLGRYFQGLDKEGNGLLEKADFQQALKTFHLEVSEQDF ESFWLILQGYGHSKNKVDYGEFKRAIFGEMNEYRKSFVRKAFMQLDFNKTGIVSVIDIRK CYCAKKHPRVISGHSTEEEIKSSFLETLKGTCSKCDEVSYGEFEDYYEGLSIGVAGDEDF VNILRIPWRI >ENSMUSP00000129887.1 pep:known chromosome:GRCm38:10:112165676:112216116:1 gene:ENSMUSG00000035694.15 transcript:ENSMUST00000170013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caps2 description:calcyphosphine 2 [Source:MGI Symbol;Acc:MGI:2441980] MDLEVKGVAASRSQTRLFSGRKNSLQQGWTSRSWTNQNSCLPMVPPLDLGSLVDSDEEDN FSQTARGTVHVHLNPPRSEPALGWVLPCQRPNSQHRLQEVEQDVIPEDLPAPTGKYRLKY QQYASEMKDGYKQYIQRSTEKPKAASRPEATEKVEGASLDDLMTLDRKALLQQGYADSPY GRQSITRKSDVETVAIEKKKQTVAEQMMMDHLSRAVISDPEQDLNTKNQESSRVPPDSER APLRVRRRTLHETKIRTNSALTENDLSQKVEFDGRVLSRNGRDACRELIGFFFAHDQSLT VYEYRMFGKNRTSVLPFIKKDIYHHQCGRRKGKQYELGDVYTGATLTFLSCDQPSLPKTI KENALLRLRITNIDQVALNSLKAASAEHGEEEAVSPEAHDQLVLQAIQDKLKEQLHKKGA RILTGLGRYFQGLDKEGNGLLEKADFQQALKTFHLEVSEQDFESFWLILQGYGHSKNKVD YGEFKRAIFGEMNEYRKSFVRKAFMQLDFNKTGIVSVIDIRKCYCAKKHPRVISGHSTEE EIKSSFLETLKGTCSKCDEVSYGEFEDYYEGLSIGVAGDEDFVNILRIPWRI >ENSMUSP00000130208.1 pep:known chromosome:GRCm38:10:112172540:112215682:1 gene:ENSMUSG00000035694.15 transcript:ENSMUST00000132994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caps2 description:calcyphosphine 2 [Source:MGI Symbol;Acc:MGI:2441980] MVLFISITCLYVFSSFSVRTSTCLIVFSCFSLRTCNSLAVFSCISLSDLLKSFLMSSTII MRYAFKSRSRFSGVLGCPGLGEVGVLGSDDGLV >ENSMUSP00000132389.1 pep:known chromosome:GRCm38:10:129174084:129175019:-1 gene:ENSMUSG00000107662.1 transcript:ENSMUST00000169800.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr772 description:olfactory receptor 772 [Source:MGI Symbol;Acc:MGI:3030606] MRNHTVTTFILLGLTDDQQLQVLIFIILFFTYSLSISGNLAIISLILVDPHLKTAMYYFL KNFAVLEISFTSASIPRYLYNIATGDKMITYNACVAQVFFTDLFGVTEFFLLAAMSYDRY VAICRPLHYLTIMSTTVCRRLVFCSWVAGLFILIPPLSLGLNLQFCDSNIIDHFICDASP LLKISCSDTWFMEQTVLICAVLTLIITLVCVVLSYVNIIKTVLRFPSAQQKKKAFSTCSS HMIVVSITYGSCIFIYIKPSAKDEVAINKGVTVLTTSIAPMLNPFIYTLRNRQVKQAFWD SIKRIIAFSKQ >ENSMUSP00000020655.7 pep:known chromosome:GRCm38:11:51813455:51857653:-1 gene:ENSMUSG00000020387.15 transcript:ENSMUST00000020655.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade2 description:jade family PHD finger 2 [Source:MGI Symbol;Acc:MGI:1924151] MEEKRRKYSISSDNSDTTDGHVTSTSASRCSKLPSSTKSGWPRQNEKKPSEVFRTDLITA MKIPDSYQLSPDDYYILADPWRQEWEKGVQVPAGAEAIPEPVVRLLPPLKGPPTQMSPDS PTLGEGAHPDWPGGSRYDLDEIDAYWLELLNSELKEMEKPELDELTLERVLEELETLCHQ NMAQAIETQEGLGIEYDEDVVCDVCRSPEGEDGNEMVFCDKCNVCVHQACYGILKVPTGS WLCRTCALGVQPKCLLCPKRGGALKPTRSGTKWVHVSCALWIPEVSIGCPEKMEPITKIS HIPASRWALSCSLCKECTGTCIQCSMPSCITAFHVTCAFDRGLEMRTILADNDEVKFKSL CQEHSDGGPRSEPTSEPVEPSQAVEDLEKVTLRKQRLQQLEENFYELVEPAEVAERLDLA EALVDFIYQYWKLKRRANANQPLLTPKTDEVDNLAQQEQDVLYRRLKLFTHLRQDLERVR NLCYMVTRRERTKHTICKLQEQIFHLQMKLIEQDLCREPSGRRSKGKKNDSKRKGREGPK GSSPEKKEKVKAGPESVLGQLGLSTSFPIDGTFFNSWLAQSVQITAEDMAMSEWSLNSGH REDPAPGLLSEELLQDEETLLSFMRDPSLRPGDPARKARGRTRLPAKKKPSPLQDGPSAR TTPDKQPKKAWAQDGKGTQGPPMRKPPRRTSSHLPSSPAAGDCPVPATLESPPPLASEIL DKTAPMASDLNVQVPGPTVSPKPLGRLRPPREMKVSRKSPGARSDAGTGLPSAVAERPKV SLHFDTEADGYFSDEEMSDSEVEAEDSGVQRASREAGAEEVVRMGVLAS >ENSMUSP00000104718.1 pep:known chromosome:GRCm38:11:51813455:51857653:-1 gene:ENSMUSG00000020387.15 transcript:ENSMUST00000109090.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade2 description:jade family PHD finger 2 [Source:MGI Symbol;Acc:MGI:1924151] MEEKRRKYSISSDNSDTTDGHVTSTSASRCSKLPSSTKSGWPRQNEKKPSEVFRTDLITA MKIPDSYQLSPDDYYILADPWRQEWEKGVQVPAGAEAIPEPVVRLLPPLKGPPTQMSPDS PTLGEGAHPDWPGGSRYDLDEIDAYWLELLNSELKEMEKPELDELTLERVLEELETLCHQ NMAQAIETQEGLGIEYDEDVVCDVCRSPEGEDGNEMVFCDKCNVCVHQACYGILKVPTGS WLCRTCALGVQPKCLLCPKRGGALKPTRSGTKWVHVSCALWIPEVSIGCPEKMEPITKIS HIPASRWALSCSLCKECTGTCIQCSMPSCITAFHVTCAFDRGLEMRTILADNDEVKFKSL CQEHSDGGPRSEPTSEPVEPSQAVEDLEKVTLRKQRLQQLEENFYELVEPAEVAERLDLA EALVDFIYQYWKLKRRANANQPLLTPKTDEVDNLAQQEQDVLYRRLKLFTHLRQDLERVR NLCYMVTRRERTKHTICKLQEQIFHLQMKLIEQDLCREPSGRRSKGKKNDSKRKGREGPK GSSPEKKEKVKAGPESVLGQLAGWHSRFRSQQRTWP >ENSMUSP00000104719.1 pep:known chromosome:GRCm38:11:51813460:51857396:-1 gene:ENSMUSG00000020387.15 transcript:ENSMUST00000109091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade2 description:jade family PHD finger 2 [Source:MGI Symbol;Acc:MGI:1924151] MEEKRRKYSISSDNSDTTDGHVTSTSASRCSKLPSSTKSGWPRQNEKKPSEVFRTDLITA MKIPDSYQLSPDDYYILADPWRQEWEKGVQVPAGAEAIPEPVVRLLPPLKGPPTQMSPDS PTLGEGAHPDWPGGSRYDLDEIDAYWLELLNSELKEMEKPELDELTLERVLEELETLCHQ NMAQAIETQEGLGIEYDEDVVCDVCRSPEGEDGNEMVFCDKCNVCVHQACYGILKVPTGS WLCRTCALGVQPKCLLCPKRGGALKPTRSGTKWVHVSCALWIPEVSIGCPEKMEPITKIS HIPASRWALSCSLCKECTGTCIQCSMPSCITAFHVTCAFDRGLEMRTILADNDEVKFKSL CQEHSDGGPRSEPTSEPVEPSQAVEDLEKVTLRKQRLQQLEENFYELVEPAEVAERLDLA EALVDFIYQYWKLKRRANANQPLLTPKTDEVDNLAQQEQDVLYRRLKLFTHLRQDLERVR NLCYMVTRRERTKHTICKLQEQIFHLQMKLIEQDLCREPSGRRSKGKKNDSKRKGREGPK GSSPEKKEKVKAGPESVLGQLGLSTSFPIDGTFFNSWLAQSVQITAEDMAMSEWSLNSGH REDPAPGLLSEELLQDEETLLSFMRDPSLRPGDPARKARGRTRLPAKKKPSPLQDGPSAR TTPDKQPKKAWAQDGKGTQGPPMRKPPRRTSSHLPSSPAAGDCPVPATLESPPPLASEIL DKTAPMASDLNVQVPGPTVSPKPLGRLRPPREMKVSRKSPGARSDAGTGLPSAVAERPKV SLHFDTEADGYFSDEEMSDSEVEAEDSGVQRASREAGAEEVVRMGVLAS >ENSMUSP00000035211.7 pep:known chromosome:GRCm38:9:108080436:108085003:1 gene:ENSMUSG00000032591.15 transcript:ENSMUST00000035211.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mst1 description:macrophage stimulating 1 (hepatocyte growth factor-like) [Source:MGI Symbol;Acc:MGI:96080] MGWLPLLLLLVQCSRALGQRSPLNDFQLFRGTELRNLLHTAVPGPWQEDVADAEECARRC GPLLDCRAFHYNMSSHGCQLLPWTQHSLHTQLYHSSLCHLFQKKDYVRTCIMDNGVSYRG TVARTAGGLPCQAWSRRFPNDHKYTPTPKNGLEENFCRNPDGDPRGPWCYTTNRSVRFQS CGIKTCREAVCVLCNGEDYRGEVDVTESGRECQRWDLQHPHSHPFQPEKFLDKDLKDNYC RNPDGSERPWCYTTDPNVEREFCDLPSCGPNLPPTVKGSKSQRRNKGKALNCFRGKGEDY RGTTNTTSAGVPCQRWDAQSPHQHRFVPEKYACKDLRENFCRNPDGSEAPWCFTSRPGLR MAFCHQIPRCTEELVPEGCYHGSGEQYRGSVSKTRKGVQCQHWSSETPHKPQFTPTSAPQ AGLEANFCRNPDGDSHGPWCYTLDPDILFDYCALQRCDDDQPPSILDPPDQVVFEKCGKR VDKSNKLRVVGGHPGNSPWTVSLRNRQGQHFCGGSLVKEQWVLTARQCIWSCHEPLTGYE VWLGTINQNPQPGEANLQRVPVAKAVCGPAGSQLVLLKLERPVILNHHVALICLPPEQYV VPPGTKCEIAGWGESIGTSNNTVLHVASMNVISNQECNTKYRGHIQESEICTQGLVVPVG ACEGDYGGPLACYTHDCWVLQGLIIPNRVCARPRWPAIFTRVSVFVDWINKVMQLE >ENSMUSP00000125175.1 pep:known chromosome:GRCm38:9:108080454:108084995:1 gene:ENSMUSG00000032591.15 transcript:ENSMUST00000162886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mst1 description:macrophage stimulating 1 (hepatocyte growth factor-like) [Source:MGI Symbol;Acc:MGI:96080] MGWLPLLLLLVQCSRALGQRSPLNDFQLFRGTELRNLLHTAVPGPWQEDVADAEECARRC GPLLDCRAFHYNMSSHGCQLLPWTQHSLHTQLYHSSLCHLFQKKDYVRTCIMDNGVSYRG TVARTAGGLPCQAWSRRFPNDHKYTPTPKNGLEENFCRNPDGDPRGPWCYTTNRSVRFQS CGIKTCREAVCVLCNGEDYRGEVDVTESGRECQRWDLQHPHSHPFQPEKFLDKDLKDNYC RNPDGSERPWCYTTDPNVEREFCDLPSCGSKSQRRNKGKALNCFRGKGEDYRGTTNTTSA GVPCQRWDAQSPHQHRFVPEKYACKDLRENFCRNPDGSEAPWCFTSRPGLRMAFCHQIPR CTEELVPEGCYHGSGEQYRGSVSKTRKGVQCQHWSSETPHKPQFTPTSAPQAGLEANFCR NPDGDSHGPWCYTLDPDILFDYCALQRCDDDQPPSILDPPDQVVFEKCGKRVDKSNKLRV VGGHPGNSPWTVSLRNRQGQHFCGGSLVKEQWVLTARQCIWSCHEPLTGYEVWLGTINQN PQPGEANLQRVPVAKAVCGPAGSQLVLLKLERPVILNHHVALICLPPEQYVVPPGTKCEI AGWGESIGTSNNTVLHVASMNVISNQECNTKYRGHIQESEICTQGLVVPVGACEGDYGGP LACYTHDCWVLQGLIIPNRVCARPRWPAIFTRVSVFVDWINKVMQLE >ENSMUSP00000125462.1 pep:known chromosome:GRCm38:9:108080483:108082314:1 gene:ENSMUSG00000032591.15 transcript:ENSMUST00000160184.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mst1 description:macrophage stimulating 1 (hepatocyte growth factor-like) [Source:MGI Symbol;Acc:MGI:96080] XWLPLLLLLVQCSRALAVPGHRVKEPVTHSGAGAMAGGCGRC >ENSMUSP00000141729.1 pep:known chromosome:GRCm38:9:108084305:108084998:1 gene:ENSMUSG00000032591.15 transcript:ENSMUST00000161253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mst1 description:macrophage stimulating 1 (hepatocyte growth factor-like) [Source:MGI Symbol;Acc:MGI:96080] XHVALICLPPEQYVVPPGTKCEIAGWGESIGTSNNTVLHVASMNVISNQECNTKYRGHIQ ER >ENSMUSP00000030538.4 pep:known chromosome:GRCm38:4:138304730:138312628:1 gene:ENSMUSG00000028757.4 transcript:ENSMUST00000030538.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddost description:dolichyl-di-phosphooligosaccharide-protein glycotransferase [Source:MGI Symbol;Acc:MGI:1194508] MKMDPRLAVRAWPLCGLLLAVLGCVCASGPRTLVLLDNLNVRDTHSLFFRSLKDRGFELT FKTADDPSLSLIKYGEFLYDNLIIFSPSVEDFGGNINVETISAFIDGGGSVLVAASSDIG DPLRELGSECGIEFDEEKTAVIDHHNYDVSDLGQHTLIVADTENLLKAPTIVGKSSLNPI LFRGVGMVADPDNPLVLDILTGSSTSYSFFPDKPITQYPHAVGRNTLLIAGLQARNNARV IFSGSLDFFSDAFFNSAVQKATPGAQRYSQTGNYELAVALSRWVFKEEGVLRVGPVSHHR VGEMAPPNAYTVTDLVEYSIIIEQLSNGKWVPFDGDDIQLEFVRIDPFVRTFLKRKGGKY SVQFKLPDVYGVFQFKVDYNRLGYTHLYSSTQVSVRPLQHTQYERFIPSAYPYYASAFSM MAGLFIFSIVFLHMKEKEKSD >ENSMUSP00000092414.4 pep:known chromosome:GRCm38:4:119422814:119453988:1 gene:ENSMUSG00000070806.5 transcript:ENSMUST00000094819.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd12 description:zinc finger, MYND domain containing 12 [Source:MGI Symbol;Acc:MGI:2140259] MNVIYPLAVPKGRRLSCEVCEAPAERMCTACTVTYYCGPVHQKADWDSIHAKICQLLIPL RTTMPFYNSEEERQHGLQQLQRRQKHLIEFCYTVAQKYLFEGRHEDAVPAALHSLRFRMN VHGLSSVELVPAYLLLAEASLGLGRIVQAEEYLSQAQWTVLKSTDCSNATHSLLHRNLGL LYIAKKNLDEARYHLANDIYFASCAYGTEDIRTSGGYFHLANIFYGLKKMELADTLYTKV SEIWYTYLNSHYQALLEARSQQTDLLGKQFVNDTGLDEAQEAEAIRILTSTLIIQESTST KAPQKSILILKTLSILYYLMLECSKAKEYAMKALSLAETYVSEEEQRFIQELLSVISAEE KQP >ENSMUSP00000112786.1 pep:known chromosome:GRCm38:19:56826286:56870012:1 gene:ENSMUSG00000025081.13 transcript:ENSMUST00000121249.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd1 description:tudor domain containing 1 [Source:MGI Symbol;Acc:MGI:1933218] MMPRNNLEASTCKMAEPFNFEKKESKPPPQDPLRSPVAQHNHPTFRLKSPENGNTKNNFL LCEQNKQYLASQEDSSVVSSNPAVVNGEVGGSKGDRKPPPTGNPVSPLSLGNSSPPNQVK TKPSSNVTPEKSKKSHKLFENALSVNNPALFNSLGPPLRSTTCHRCGLFGSLRCSQCKQT YYCSTACQRRDWSSHSTICRPVQQSLNKLEDNKSPFETKAIEVKSEVDCPPGVTKEITAG AERVMFSDLRSLQLKKTMEIKGTVTEFKHPSNFYIQLYSSEVLENMNQLSTSLKETYANV VPEDGYLPVKGEVCVAKYTVDQTWNRAIVQAVDVLQRKAHVLYIDYGNEEMIPIDSVHPL SRGLDLFPPSAIKCCVSGVIPTAGEWSEGCVAAVKALLFEQFCSVKVMDILEEEVLTCAV DLVLQSSGKQLDHVLVEMGYGVKPGEQSSTEQSVDHSALEDVGRVTVESKIVTDRNALIP KVLTLNVGDEFCGVVAHIQTPEDFFCQQLQSGHKLAELQESLSEYCGHVIPRSDFYPTIG DVCCAQFSEDDQWYRASVLAYASEESVLVGYVDYGNFEILSLKRLCPIIPKLLDLPMQAL NCVLAGVKPSLGIWTPEAVCVMKEMVQNRMVTVRVVGMLGTRALVELIDKSVAPHVSASK ALIDSGFAIKEKDVADKGSSMHTASVPLAIEGPAEALEWTWVEFTVDETVDVVVCMMYSP GEFYCHFLKDDALEKLDDLNQSLADYCAQKPPNGFKAEIGRPCCAFFSGDGNWYRALVKE ILPSGNVKVHFVDYGNVEEVTTDQLQAILPQFLLLPFQGMQCWLVDIQPPNKHWTKEATA RFQACVVGLKLQARVVEITANGVGVELTDLSTPYPKIISDVLIREQLVLRCGSPQDSLMS RPANQHKQIDSHRVQASPSAEQWKTMELPVNKTIAANVLEIISPALFYAIPSEMSENQEK LCVLAAELLEHCNAQKGQPAYRPRTGDACCAKYTNDDFWYRAIVLETSESDVKVLYADYG NIETLPLSRVQPIPASHLELPFQIIRCSLEGPMELNGSCSQLVMELLRNAMLNQSVVLSV KAISKNVHAVSVEKCSENGMINIAENLVMCGLAENLTSKRKSASTKEIPHSRDCCCTELQ KQIEKHEQILLFLLNNPTNQSKFTEMKKLLRS >ENSMUSP00000107233.1 pep:known chromosome:GRCm38:19:56826209:56870012:1 gene:ENSMUSG00000025081.13 transcript:ENSMUST00000111606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd1 description:tudor domain containing 1 [Source:MGI Symbol;Acc:MGI:1933218] MMPRNNLEASTCKMAEPFNFEKKESKPPPQDPLRSPVAQHNHPTFRLKSPENGNTKNNFL LCEQNKQYLASQEDSSVVSSNPAVVNGEVGGSKGDRKPPPTGNPVSPLSLGNSSPPNQVK TKPSSNVTPEKSKKSHKLFENALSVNNPALFNSLGPPLRSTTCHRCGLFGSLRCSQCKQT YYCSTACQRRDWSSHSTICRPVQQSLNKLEDNKSPFETKAIEVKSEVDCPPGVTKEITAG AERVMFSDLRSLQLKKTMEIKGTVTEFKHPSNFYIQLYSSEVLENMNQLSTSLKETYANV VPEDGYLPVKGEVCVAKYTVDQTWNRAIVQAVDVLQRKAHVLYIDYGNEEMIPIDSVHPL SRGLDLFPPSAIKCCVSGVIPTAGEWSEGCVAAVKALLFEQFCSVKVMDILEEEVLTCAV DLVLQSSGKQLDHVLVEMGYGVKPGEQSSTEQSVDHSALEDVGRVTVESKIVTDRNALIP KVLTLNVGDEFCGVVAHIQTPEDFFCQQLQSGHKLAELQESLSEYCGHVIPRSDFYPTIG DVCCAQFSEDDQWYRASVLAYASEESVLVGYVDYGNFEILSLKRLCPIIPKLLDLPMQAL NCVLAGVKPSLGIWTPEAVCVMKEMVQNRMVTVRVVGMLGTRALVELIDKSVAPHVSASK ALIDSGFAIKEKDVADKGSSMHTASVPLAIEGPAEALEWTWVEFTVDETVDVVVCMMYSP GEFYCHFLKDDALEKLDDLNQSLADYCAQKPPNGFKAEIGRPCCAFFSGDGNWYRALVKE ILPSGNVKVHFVDYGNVEEVTTDQLQAILPQFLLLPFQGMQCWLVDIQPPNKHWTKEATA RFQACVVGLKLQARVVEITANGVGVELTDLSTPYPKIISDVLIREQLVLRCGSPQDSLMS RPANQHKQIDSHRVQASPSAEQWKTMELPVNKTIAANVLEIISPALFYAIPSEMSENQEK LCVLAAELLEHCNAQKGQPAYRPRTGDACCAKYTNDDFWYRAIVLETSESDVKVLYADYG NIETLPLSRVQPIPASHLELPFQIIRCSLEGPMELNGSCSQLVMELLRNAMLNQSVVLSV KAISKNVHAVSVEKCSENGMINIAENLVMCGLAENLTSKRKSASTKEIPHSRDCCCTELQ KQIEKHEQILLFLLNNPTNQSKFTEMKKLLRS >ENSMUSP00000077785.4 pep:known chromosome:GRCm38:19:56826275:56870012:1 gene:ENSMUSG00000025081.13 transcript:ENSMUST00000078723.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd1 description:tudor domain containing 1 [Source:MGI Symbol;Acc:MGI:1933218] MMPRNNLEASTCKMAEPFNFEKKESKPPPQDPLRSPVAQHNHPTFRLKSPENGNTKNNFL LCEQNKQYLASQEDSSVVSSNPAVVNGEVGGSKGDRKPPPTGNPVSPLSLGNSSPPNQVK TKPSSNVTPEKSKKSHKLFENALSVNNPALFNSLGPPLRSTTCHRCGLFGSLRCSQCKQT YYCSTACQRRDWSSHSTICRPVQQSLNKLEDNKSPFETKAIEVKSEVDCPPGVTKEITAG AERVMFSDLRSLQLKKTMEIKGTVTEFKHPSNFYIQLYSSEVLENMNQLSTSLKETYANV VPEDGYLPVKGEVCVAKYTVDQTWNRAIVQAVDVLQRKAHVLYIDYGNEEMIPIDSVHPL SRGLDLFPPSAIKCCVSGVIPTAGEWSEGCVAAVKALLFEQFCSVKVMDILEEEVLTCAV DLVLQSSGKQLDHVLVEMGYGVKPGEQSSTEQSVDHSALEDVGRVTVESKIVTDRNALIP KVLTLNVGDEFCGVVAHIQTPEDFFCQQLQSGHKLAELQESLSEYCGHVIPRSDFYPTIG DVCCAQFSEDDQWYRASVLAYASEESVLVGYVDYGNFEILSLKRLCPIIPKLLDLPMQAL NCVLAGVKPSLGIWTPEAVCVMKEMVQNRMVTVRVVGMLGTRALVELIDKSVAPHVSASK ALIDSGFAIKEKDVADKGSSMHTASVPLAIEGPAEALEWTWVEFTVDETVDVVVCMMYSP GEFYCHFLKDDALEKLDDLNQSLADYCAQKPPNGFKAEIGRPCCAFFSGDGNWYRALVKE ILPSGNVKVHFVDYGNVEEVTTDQLQAILPQFLLLPFQGMQCWLVDIQPPNKHWTKEATA RFQACVVGLKLQARVVEITANGVGVELTDLSTPYPKIISDVLIREQLVLRCGSPQDSLMS RPANQHKQIDSHRVQASPSAEQWKTMELPVNKTIAANVLEIISPALFYAIPSEMSENQEK LCVLAAELLEHCNAQKGQPAYRPRTGDACCAKYTNDDFWYRAIVLETSESDVKVLYADYG NIETLPLSRVQPIPASHLELPFQIIRCSLEGPMELNGSCSQLVMELLRNAMLNQSVVLSV KAISKNVHAVSVEKCSENGMINIAENLVMCGLAENLTSKRKSASTKEIPHSRDCCCTELQ KQIEKHEQILLFLLNNPTNQSKFTEMKKLLRS >ENSMUSP00000107231.1 pep:known chromosome:GRCm38:19:56830712:56870012:1 gene:ENSMUSG00000025081.13 transcript:ENSMUST00000111604.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd1 description:tudor domain containing 1 [Source:MGI Symbol;Acc:MGI:1933218] MMPRNNLEASTCKMAEPFNFEKKESKPPPQDPLRSPVAQHNHPTFRLKSPENGNTKNNFL LCEQNKQYLASQEDSSVVSSNPAVVNGEVGGSKGDRKPPPTGNPVSPLSLGNSSPPNQVK TKPSSNVTPEKSKKSHKLFENALSVNNPALFNSLGPPLRSTTCHRCGLFGSLRCSQCKQT YYCSTACQRRDWSSHSTICRPVQQSLNKLEDNKSPFETKAIEVKSEVDCPPGVTKEITAG AERVMFSDLRSLQLKKTMEIKGTVTEFKHPSNFYIQLYSSEVLENMNQLSTSLKETYANV VPEDGYLPVKGEVCVAKYTVDQTWNRAIVQAVDVLQRKAHVLYIDYGNEEMIPIDSVHPL SRGLDLFPPSAIKCCVSGVIPTAGEWSEGCVAAVKALLFEQFCSVKVMDILEEEVLTCAV DLVLQSSGKQLDHVLVEMGYGVKPGEQSSTEQSVDHSALEDVGRVTVESKIVTDRNALIP KVLTLNVGDEFCGVVAHIQTPEDFFCQQLQSGHKLAELQESLSEYCGHVIPRSDFYPTIG DVCCAQFSEDDQWYRASVLAYASEESVLVGYVDYGNFEILSLKRLCPIIPKLLDLPMQAL NCVLAGVKPSLGIWTPEAVCVMKEMVQNRMVTVRVVGMLGTRALVELIDKSVAPHVSASK ALIDSGFAIKEKDVADKGSSMHTASVPLAIEGPAEALEWTWVEFTVDETVDVVVCMMYSP GEFYCHFLKDDALEKLDDLNQSLADYCAQKPPNGFKAEIGRPCCAFFSGDGNWYRALVKE ILPSGNVKVHFVDYGNVEEVTTDQLQAILPQFLLLPFQGMQCWLVDIQPPNKHWTKEATA RFQACVVGLKLQARVVEITANGVGVELTDLSTPYPKIISDVLIREQLVLRCGSPQDSLMS RPANQHKQIDSHRVQASPSAEQWKTMELPVNKTIAANVLEIISPALFYAIPSEMSENQEK LCVLAAELLEHCNAQKGQPAYRPRTGDACCAKYTNDDFWYRAIVLETSESDVKVLYADYG NIETLPLSRVQPIPASHLELPFQIIRCSLEGPMELNGSCSQLVMELLRNAMLNQSVVLSV KAISKNVHAVSVEKCSENGMINIAENLVMCGLAENLTSKRKSASTKEIPHSRDCCCTELQ KQIEKHEQILLFLLNNPTNQSKFTEMKKLLRS >ENSMUSP00000120090.1 pep:known chromosome:GRCm38:1:65105285:65123214:-1 gene:ENSMUSG00000044816.10 transcript:ENSMUST00000148020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630023F18Rik description:RIKEN cDNA D630023F18 gene [Source:MGI Symbol;Acc:MGI:2138198] MERRLVKQEVKRLLGEYIGIRLRENEFDPKGRGQLTFLDDMAHYDLAISVASQWLDCSEN LTWLQWEKVRAPLHVRPAYPNRKEREAMILSSYAGVLMNSIPIEEVLKIYGANSSASPDS TKVAQALLPRRSLHPFAMLTAPRAAECNRRQSVKLRRGATNKNTTSSSTKKATGQNGDPV GKGTHTPATKSLRNVD >ENSMUSP00000056196.3 pep:known chromosome:GRCm38:1:65107313:65123193:-1 gene:ENSMUSG00000044816.10 transcript:ENSMUST00000050047.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630023F18Rik description:RIKEN cDNA D630023F18 gene [Source:MGI Symbol;Acc:MGI:2138198] MERRLVKQEVKRLLGEYIGIRLRENEFDPKGRGQLTFLDDMVNQVTLAHYDLAISVASQW LDCSENLTWLQWEKVRAPLHVRPAYPNRKEREAMILSSYAGVLMNSIPIEEVLKIYGANS SASPDSTKVAQALLPRRSLHPFAMLTAPRAAECNRRQSVKLRRGATNKNTTSSSTKKATG QNGDPVGKGTHTPATNISPTPVLSSAQPFHSSTVMWRNLESAQRQMGLEGK >ENSMUSP00000115574.1 pep:known chromosome:GRCm38:1:65108719:65123114:-1 gene:ENSMUSG00000044816.10 transcript:ENSMUST00000153330.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:D630023F18Rik description:RIKEN cDNA D630023F18 gene [Source:MGI Symbol;Acc:MGI:2138198] MERRLVKQEVKRLLGEYIGIRLRENEFDPKGRGQLTFLDDMESTTSCQTCVSKPKRARSN DLIIIRWSLNEQYSN >ENSMUSP00000050252.3 pep:known chromosome:GRCm38:7:108921852:108930178:-1 gene:ENSMUSG00000049709.4 transcript:ENSMUST00000055745.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp10 description:NLR family, pyrin domain containing 10 [Source:MGI Symbol;Acc:MGI:2444084] MALARANSPQEALLWALNDLEENSFKTLKFHLRDVTQFHLARGELESLSQVDLASKLISM YGAQEAVRVVSRSLLAMNLMELVDYLNQVCLNDYREIYREHVRCLEERQDWGVNSSHNKL LLMATSSSGGRRSPSCSDLEQELDPVDVETLFAPEAESYSTPPIVVMQGSAGTGKTTLVK KLVQDWSKGKLYPGQFDYVFYVSCREVVLLPKCDLPNLICWCCGDDQAPVTEILRQPGRL LFILDGYDELQKSSRAECVLHILMRRREVPCSLLITTRPPALQSLEPMLGERRHVLVLGF SEEERETYFSSCFTDKEQLKNALEFVQNNAVLYKACQVPGICWVVCSWLKKKMARGQEVS ETPSNSTDIFTAYVSTFLPTDGNGDSSELTRHKVLKSLCSLAAEGMRHQRLLFEEEVLRK HGLDGPSLTAFLNCIDYRAGLGIKKFYSFRHISFQEFFYAMSFLVKEDQSQQGEATHKEV AKLVDPENHEEVTLSLQFLFDMLKTEGTLSLGLKFCFRIAPSVRQDLKHFKEQIEAIKYK RSWDLEFSLYDSKIKKLTQGIQMKDVILNVQHLDEKKSDKKKSVSVTSSFSSGKVQSPFL GNDKSTRKQKKASNGKSRGAEEPAPGVRNRRLASREKGHMEMNDKEDGGVEEQEDEEGQT LKKDGEMIDKMNG >ENSMUSP00000072743.3 pep:known chromosome:GRCm38:10:129186484:129187419:-1 gene:ENSMUSG00000096000.1 transcript:ENSMUST00000072976.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr773 description:olfactory receptor 773 [Source:MGI Symbol;Acc:MGI:3030607] MRNHTVTTFILLGLTDDQQLQVLIFIILFFTYSLSISGNLAIISLILVDPHLKTAMYYFL KNFAVLEISFTSASIPRYLYNIATGDKMITYNACVAQVFFTDLFGVTEFFLLAAMSYDRY VAICRPLHYLTIMSTTVCRRLVFCSWVAGLFILIPPLSLGLNLQFCDSNIIDHFVCDASP LLKISCSDTWFMEQTVLICAVLTLIITLVCVVLSYVNIIKTVLRFPSAQQKKKAFSTCSS HMIVVSITYGSCIFIYIKPSAKDEVAINKGVMILTTSIAPMLNPFIYTLRNRQVKQAFWD SIKRTIAFSKQ >ENSMUSP00000070550.6 pep:known chromosome:GRCm38:7:137375574:137410762:-1 gene:ENSMUSG00000040139.14 transcript:ENSMUST00000068996.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430038I01Rik description:RIKEN cDNA 9430038I01 gene [Source:MGI Symbol;Acc:MGI:1924502] MDSLASGRWRRRRTEELPAAGDAKRACRRSEPGGYECSGHMLTTCALLSWSTEDQEPRPR GLPASQPDCSQERLSSMVLQNGGRSSAQPCLRCISGESGHFNHTDNH >ENSMUSP00000112956.1 pep:known chromosome:GRCm38:7:137383046:137410732:-1 gene:ENSMUSG00000040139.14 transcript:ENSMUST00000120340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430038I01Rik description:RIKEN cDNA 9430038I01 gene [Source:MGI Symbol;Acc:MGI:1924502] MDSLASGRWRRRRTEELPAAGDAKRACRRSEPGGYECSGHMLTTCALLSWSTEDQEPRPR GLPASQPDCSQERLSSMVLQNGGRSSAQPCLRCISGESVSVASLRPK >ENSMUSP00000112892.1 pep:known chromosome:GRCm38:7:137376137:137410735:-1 gene:ENSMUSG00000040139.14 transcript:ENSMUST00000117404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430038I01Rik description:RIKEN cDNA 9430038I01 gene [Source:MGI Symbol;Acc:MGI:1924502] MDSLASGRWRRRRTEELPAAGDAKRACRRSEPGGYECSGHMLTTCALLSWSTEDQEPRPR GLPASQPDCSQERLSSMVLQNGGRSSAQPCLRCISGESVVQCLASRHPQAAGSPSYCRAA LRGLQKHGEGPWWEPLRSWGASRHPQDHQATLRPF >ENSMUSP00000107890.3 pep:known chromosome:GRCm38:X:164139332:164188420:1 gene:ENSMUSG00000015405.15 transcript:ENSMUST00000112271.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ace2 description:angiotensin I converting enzyme (peptidyl-dipeptidase A) 2 [Source:MGI Symbol;Acc:MGI:1917258] MSSSSWLLLSLVAVTTAQSLTEENAKTFLNNFNQEAEDLSYQSSLASWNYNTNITEENAQ KMSEAAAKWSAFYEEQSKTAQSFSLQEIQTPIIKRQLQALQQSGSSALSADKNKQLNTIL NTMSTIYSTGKVCNPKNPQECLLLEPGLDEIMATSTDYNSRLWAWEGWRAEVGKQLRPLY EEYVVLKNEMARANNYNDYGDYWRGDYEAEGADGYNYNRNQLIEDVERTFAEIKPLYEHL HAYVRRKLMDTYPSYISPTGCLPAHLLGDMWGRFWTNLYPLTVPFAQKPNIDVTDAMMNQ GWDAERIFQEAEKFFVSVGLPHMTQGFWANSMLTEPADGRKVVCHPTAWDLGHGDFRIKM CTKVTMDNFLTAHHEMGHIQYDMAYARQPFLLRNGANEGFHEAVGEIMSLSAATPKHLKS IGLLPSDFQEDSETEINFLLKQALTIVGTLPFTYMLEKWRWMVFRGEIPKEQWMKKWWEM KREIVGVVEPLPHDETYCDPASLFHVSNDYSFIRYYTRTIYQFQFQEALCQAAKYNGSLH KCDISNSTEAGQKLLKMLSLGNSEPWTKALENVVGARNMDVKPLLNYFQPLFDWLKEQNR NSFVGWNTEWSPYADQSIKVRISLKSALGANAYEWTNNEMFLFRSSVAYAMRKYFSIIKN QTVPFLEEDVRVSDLKPRVSFYFFVTSPQNVSDVIPRSEVEDAIRMSRGRINDVFGLNDN SLEFLGIHPTLEPPYQPPVTIWLIIFGVVMALVVVGIIILIVTGIKGRKKKNETKREENP YDSMDIGKGESNAGFQNSDDAQTSF >ENSMUSP00000073626.4 pep:known chromosome:GRCm38:X:164140478:164188420:1 gene:ENSMUSG00000015405.15 transcript:ENSMUST00000073973.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ace2 description:angiotensin I converting enzyme (peptidyl-dipeptidase A) 2 [Source:MGI Symbol;Acc:MGI:1917258] MSSSSWLLLSLVAVTTAQSLTEENAKTFLNNFNQEAEDLSYQSSLASWNYNTNITEENAQ KMSEAAAKWSAFYEEQSKTAQSFSLQEIQTPIIKRQLQALQQSGSSALSADKNKQLNTIL NTMSTIYSTGKVCNPKNPQECLLLEPGLDEIMATSTDYNSRLWAWEGWRAEVGKQLRPLY EEYVVLKNEMARANNYNDYGDYWRGDYEAEGADGYNYNRNQLIEDVERTFAEIKPLYEHL HAYVRRKLMDTYPSYISPTGCLPAHLLGDMWGRFWTNLYPLTVPFAQKPNIDVTDAMMNQ GWDAERIFQEAEKFFVSVGLPHMTQGFWANSMLTEPADGRKVVCHPTAWDLGHGDFRIKM CTKVTMDNFLTAHHEMGHIQYDMAYARQPFLLRNGANEGFHEAVGEIMSLSAATPKHLKS IGLLPSDFQEDSETEINFLLKQALTIVGTLPFTYMLEKWRWMVFRGEIPKEQWMKKWWEM KREIVGVVEPLPHDETYCDPASLFHVSNDYSFIRYYTRTIYQFQFQEALCQAAKYNGSLH KCDISNSTEAGQKLLKMLSLGNSEPWTKALENVVGARNMDVKPLLNYFQPLFDWLKEQNR NSFVGWNTEWSPYADQSIKVRISLKSALGANAYEWTNNEMFLFRSSVAYAMRKYFSIIKN QTVPFLEEDVRVSDLKPRVSFYFFVTSPQNVSDVIPRSEVEDAIRMSRGRINDVFGLNDN SLEFLGIHPTLEPPYQPPVTIWLIIFGVVMALVVVGIIILIVTGIKGRKKKNETKREENP YDSMDIGKGESNAGFQNSDDAQTSF >ENSMUSP00000123313.1 pep:known chromosome:GRCm38:X:164162178:164185824:1 gene:ENSMUSG00000015405.15 transcript:ENSMUST00000131543.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ace2 description:angiotensin I converting enzyme (peptidyl-dipeptidase A) 2 [Source:MGI Symbol;Acc:MGI:1917258] MDTYPSYISPTGCLPAHLLGDMWGRFWTNLYPLTVPFAQKPNIDVTDAMMNQGWDAERIF QEAEKFFVSVGLPHMTQGFWANSMLTEPADGRKVVCHPTAWDLGHGDFRIKMCTKVTMDN FLTAHHEMGHIQYDMAYARQPFLLRNGANEGFHEAVGEIMSLSAATPKHLKSIGLLPSDF QEDSETEINFLLKQALTIVGTLPFTYMLEKWRWMVFRGEIPKEQWMKKWWEMKREIVGVV EPLPHDETYCDPASLFHVSNDYSFIRYYTRTIYQFQFQEALCQAAKYNGSLHKCDISNST EAGQKLLKMLSLGNSEPWTKALENVVGARNMDVKPLLNYFQPLFDWLKEQNRNSFVGWNT EWSPYADQSIKVRISLKSALGANAYEWTNNEMFLFRSSVAYAMRKYFSIIKNQTVPFLEE DVRVSDLKPRVSFYFFVTSPQNVSDVIPRSEVEDAIRMSRGRINDVFGLNDNSLEFLGIH PTLEPPYQPPVTIWLIIFGVVMALVVVGIIILIVTGIKGRK >ENSMUSP00000058996.7 pep:known chromosome:GRCm38:4:119465283:119492628:-1 gene:ENSMUSG00000048899.8 transcript:ENSMUST00000049994.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimkla description:ribosomal modification protein rimK-like family member A [Source:MGI Symbol;Acc:MGI:3040686] MCAQVWLLTDRLIREDYPQVQILRALRQRCSEQDVGFRAVFLDQIAVTVVGGHLGLQLSQ KPLTTFPDVVVVRVSTPSVQSDSEITILRHLEKLGCRLVNRPQSILNCINKFWTFQELAG HGVPMPDTFSYGGHEDFSKMIDEAEPLGYPVVVKSTRGHRGKAVFLARDKHHLSDICHLV RHDVPYLFQKYVKESHGKDIRVVVVGGQVIGSMLRCSTDGRMQSNCFLGGVGVKCPLTEQ GKQLAIQVSNILGMDFCGIDLLIMDDGSFTVCEANANVGFLAFDQACNLDVGAIIADYAM SLLPNRQTGKMAILPGLASPREKNEPNGCVSAQGVAESVYAITNGSTSSESEPELGEARD SSVKTMGAPPAHVAQAWLQH >ENSMUSP00000097454.1 pep:known chromosome:GRCm38:2:87021610:87022542:-1 gene:ENSMUSG00000075166.1 transcript:ENSMUST00000099869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1104 description:olfactory receptor 1104 [Source:MGI Symbol;Acc:MGI:3030938] MTENNFTKVTVFMFSGFSDHPELQVSLFLIFLFIYLFTVWGNIGLILLIRIDSQLHTPMY FFLSNLAFIDIFYSSTVTPKAVVDFQSTQKSISFVGCFVQMYFFVGLVCSECFLLGSMAY DRYVAICNPLLYSVIMSQKVCNWLAVIPYMIGFTNSLISICVISSLPLCDPYINHFFCDT TALLALSCVDAFSTELVIFVLAGFTLLSSLLIITFTYVTIISAILRIQSAAGRWKAFSTC ASHLTGVTVFYGSLIFTYLQPDNTSSLTQAQVASVFYTIVIPMLNPLIYSLRNKDVKNAL LRVIHRKHLL >ENSMUSP00000120824.1 pep:known chromosome:GRCm38:13:23867750:23874759:1 gene:ENSMUSG00000021335.13 transcript:ENSMUST00000130211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a1 description:solute carrier family 17 (sodium phosphate), member 1 [Source:MGI Symbol;Acc:MGI:103209] MENQCLPKKVPGFCSFRYGLAILLHFCNIAIMAQRVCLNLTMVAMVNNTGSPHLSNESVV EMLDN >ENSMUSP00000106043.1 pep:known chromosome:GRCm38:13:23870265:23895730:1 gene:ENSMUSG00000021335.13 transcript:ENSMUST00000110413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a1 description:solute carrier family 17 (sodium phosphate), member 1 [Source:MGI Symbol;Acc:MGI:103209] MENQCLPKKVPGFCSFRYGLAILLHFCNIAIMAQRVCLNLTMVAMVNNTGSPHLSNESVV EMLDNVKNPVYSWSPDIQGLILSSVFFGMVVVQAPVGYLSGIYPMKRIIGSSLFLSSLMS LLLPPAAQVGAALVIVCRVLQGIAQGTVSTGQHEIWVKWAPPLERGRLTSMTLSGFVMGP FIVLLVSGFICDLLGWPMVFYIFGIVGCVLSLSWFFLFFDDPKDHPYMSSSEKDYIISSL MQQASSGRQSLPIKAMLKSLPLWAIILNSFAFIWSNSLLVTYTPTFISTVLHVNVRENGL LSSLPYLLAYICGILAGQMSDFFLTRKIFSIVTVRKLFTTLGSFCPVIFIMCLLYLSYNF YSTVIFLTLANSTLSFSYCGQLINALDIAPRYYGFLKAVTALIGMFGGLISSTLAGLILN QDPEYAWHKIFFLMAGINVTCLVFYFLFAKGEIQDWAKEIKTTRL >ENSMUSP00000006785.7 pep:known chromosome:GRCm38:13:23870296:23895730:1 gene:ENSMUSG00000021335.13 transcript:ENSMUST00000006785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a1 description:solute carrier family 17 (sodium phosphate), member 1 [Source:MGI Symbol;Acc:MGI:103209] MENQCLPKKVPGFCSFRYGLAILLHFCNIAIMAQRVCLNLTMVAMVNNTGSPHLSNESVV EMLDNVKNPVYSWSPDIQGLILSSVFFGMVVVQAPVGYLSGIYPMKRIIGSSLFLSSLMS LLLPPAAQVGAALVIVCRVLQGIAQGTVSTGQHEIWVKWAPPLERGRLTSMTLSGFVMGP FIVLLVSGFICDLLGWPMVFYIFGIVGCVLSLSWFFLFFDDPKDHPYMSSSEKDYIISSL MQQASSGRQSLPIKAMLKSLPLWAIILNSFAFIWSNSLLVTYTPTFISTVLHVNVRENGL LSSLPYLLAYICGILAGQMSDFFLTRKIFSIVTVRKLFTTLGSFCPVIFIMCLLYLSYNF YSTVIFLTLANSTLSFSYCGQLINALDIAPRYYGFLKAVTALIGMFGGLISSTLAGLILN QDPEYAWHKIFFLMAGINVTCLVFYFLFAKGEIQDWAKEIKTTRL >ENSMUSP00000125607.2 pep:known chromosome:GRCm38:2:30281043:30301078:1 gene:ENSMUSG00000098794.1 transcript:ENSMUST00000143119.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28038 description:predicted gene, 28038 [Source:MGI Symbol;Acc:MGI:5547774] XKSEQNHSDHSRQAYTVHLMEAAGTVWSPGNWSSRELWTILLGRSALRELNQIEAELNKY WQRLLEGLSYYKPPSPSSAERVKANKDVASPLKELGLRVSKFLGLDEEQSVQLLQCYLQE DYRGTR >ENSMUSP00000097453.1 pep:known chromosome:GRCm38:2:87033281:87034219:-1 gene:ENSMUSG00000075165.1 transcript:ENSMUST00000099868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1105 description:olfactory receptor 1105 [Source:MGI Symbol;Acc:MGI:3030939] MADRNLTVITEFILLGLTEDPVLNTVLSVLCLLIYVITVAGNLWIIVIILATDQLHSPKY FFLTHLAFLDFCYSSVFLPKMLINYLVGQNSISYHGCLLQYSFVNMFLTAECFLLAAMAY DRYLAICSPLYYRCLMTPTFCIYLVSASYLLGCANSLTHLRSLLNLTFCGPNVIDHYFCD IPLLFQLSCSDTHDSEVLFIVLSGATSITTFLIVVSSYLGILITVLKIHSARGSYKALST CASHLTVVTLFYGTVISTYLGTSSSFPQDTEKILSVFYTLLLPVLNLFIYSVRNKEAKEA MRRMIKRKIFAQ >ENSMUSP00000109284.1 pep:known chromosome:GRCm38:2:30237715:30263785:1 gene:ENSMUSG00000007476.18 transcript:ENSMUST00000113654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8a description:leucine rich repeat containing 8A [Source:MGI Symbol;Acc:MGI:2652847] MIPVTELRYFADTQPAYRILKPWWDVFTDYISIVMLMIAVFGGTLQVTQDKMICLPCKWV TKDSCNDSFRGWAASSPEPTYPNSTVLPTPDTGPTGIKYDLDRHQYNYVDAVCYENRLHW FAKYFPYLVLLHTLIFLACSNFWFKFPRTSSKLEHFVSILLKCFDSPWTTRALSETVVEE SDPKPAFSKMNGSMDKKSSTVSEDVEATVPMLQRTKSRIEQGIVDRSETGVLDKKEGEQA KALFEKVKKFRTHVEEGDIVYRLYMRQTIIKVIKFALIICYTVYYVHNIKFDVDCTVDIE SLTGYRTYRCAHPLATLFKILASFYISLVIFYGLICMYTLWWMLRRSLKKYSFESIREES SYSDIPDVKNDFAFMLHLIDQYDPLYSKRFAVFLSEVSENKLRQLNLNNEWTLDKLRQRL TKNAQDKLELHLFMLSGIPDTVFDLVELEVLKLELIPDVTIPPSIAQLTGLKELWLYHTA AKIEAPALAFLRENLRALHIKFTDIKEIPLWIYSLKTLEELHLTGNLSAENNRYIVIDGL RELKRLKVLRLKSNLSKLPQVVTDVGVHLQKLSINNEGTKLIVLNSLKKMVNLTELELIR CDLERIPHSIFSLHNLQEIDLKDNNLKTIEEIISFQHLHRLTCLKLWYNHIAYIPIQIGN LTNLERLYLNRNKIEKIPTQLFYCRKLRYLDLSHNNLTFLPADIGLLQNLQNLAVTANRI EALPPELFQCRKLRALHLGNNVLQSLPSRVGELTNLTQIELRGNRLECLPVELGECPLLK RSGLVVEEDLFSTLPPEVKERLWRADKEQA >ENSMUSP00000092690.2 pep:known chromosome:GRCm38:2:30237722:30263790:1 gene:ENSMUSG00000007476.18 transcript:ENSMUST00000095078.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8a description:leucine rich repeat containing 8A [Source:MGI Symbol;Acc:MGI:2652847] MIPVTELRYFADTQPAYRILKPWWDVFTDYISIVMLMIAVFGGTLQVTQDKMICLPCKWV TKDSCNDSFRGWAASSPEPTYPNSTVLPTPDTGPTGIKYDLDRHQYNYVDAVCYENRLHW FAKYFPYLVLLHTLIFLACSNFWFKFPRTSSKLEHFVSILLKCFDSPWTTRALSETVVEE SDPKPAFSKMNGSMDKKSSTVSEDVEATVPMLQRTKSRIEQGIVDRSETGVLDKKEGEQA KALFEKVKKFRTHVEEGDIVYRLYMRQTIIKVIKFALIICYTVYYVHNIKFDVDCTVDIE SLTGYRTYRCAHPLATLFKILASFYISLVIFYGLICMYTLWWMLRRSLKKYSFESIREES SYSDIPDVKNDFAFMLHLIDQYDPLYSKRFAVFLSEVSENKLRQLNLNNEWTLDKLRQRL TKNAQDKLELHLFMLSGIPDTVFDLVELEVLKLELIPDVTIPPSIAQLTGLKELWLYHTA AKIEAPALAFLRENLRALHIKFTDIKEIPLWIYSLKTLEELHLTGNLSAENNRYIVIDGL RELKRLKVLRLKSNLSKLPQVVTDVGVHLQKLSINNEGTKLIVLNSLKKMVNLTELELIR CDLERIPHSIFSLHNLQEIDLKDNNLKTIEEIISFQHLHRLTCLKLWYNHIAYIPIQIGN LTNLERLYLNRNKIEKIPTQLFYCRKLRYLDLSHNNLTFLPADIGLLQNLQNLAVTANRI EALPPELFQCRKLRALHLGNNVLQSLPSRVGELTNLTQIELRGNRLECLPVELGECPLLK RSGLVVEEDLFSTLPPEVKERLWRADKEQA >ENSMUSP00000029082.8 pep:known chromosome:GRCm38:2:180036374:180042433:-1 gene:ENSMUSG00000027566.14 transcript:ENSMUST00000029082.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma7 description:proteasome (prosome, macropain) subunit, alpha type 7 [Source:MGI Symbol;Acc:MGI:1347070] MSYDRAITVFSPDGHLFQVEYAQEAVKKGSTAVGVRGKDIVVLGVEKKSVAKLQDERTVR KICALDDNVCMAFAGLTADARIVINRARVECQSHRLTVEDPVTVEYITRYIASLKQRYTQ SNGRRPFGISALIVGFDFDGTPRLYQTDPSGTYHAWKANAIGRGAKSVREFLEKNYTDDA IETDDLTIKLVIKALLEVVQSGGKNIELAVMRRDQPLKILNPEEIEKYVAEIEKEKEENE KKKQKKAS >ENSMUSP00000078795.6 pep:known chromosome:GRCm38:6:54816916:54893500:1 gene:ENSMUSG00000058446.14 transcript:ENSMUST00000079869.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf2 description:zinc and ring finger 2 [Source:MGI Symbol;Acc:MGI:1196246] MGAKQSGPAANGRTRAYSGSDLPSGTGSGGGGADGARAARFAAPVSGAQQPSASAGAAAA AAAAASAPAAPRSRSLGGAVGSASGGRAAQSAFSIPSAGGGGGPYGSQDSVHSSPEDSVG ARDRDRPAGGGPGGPRLVIGSLPAHLSPHLFGGFKCPVCSKFVPSDEMDLHLVMCLTKPR ITYNEDVLSKDTGECAICLEELQQGDTIARLPCLCIYHKGCIDEWFEVNRSCPEHPSD >ENSMUSP00000145276.1 pep:known chromosome:GRCm38:6:54817270:54878421:1 gene:ENSMUSG00000058446.14 transcript:ENSMUST00000148425.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Znrf2 description:zinc and ring finger 2 [Source:MGI Symbol;Acc:MGI:1196246] XGRAAQSAFSIPSAGGGGGPYGSQDSVHSSPEDSVGARDRDRPAGGGPGGPRLVIGSLPA HLSPHLFGVLLEPVV >ENSMUSP00000123316.1 pep:known chromosome:GRCm38:6:54817448:54885111:1 gene:ENSMUSG00000058446.14 transcript:ENSMUST00000127331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf2 description:zinc and ring finger 2 [Source:MGI Symbol;Acc:MGI:1196246] HLSPHLFGGFKCPVCSKFVPSDEMDLHLVMCLTKPRITYNEDVLSKDTGECAICLEELQQ GDTIARLPCLCIYHKGCIDEWFEVNRSCPEHPSD >ENSMUSP00000058548.8 pep:known chromosome:GRCm38:1:65100389:65103373:-1 gene:ENSMUSG00000044429.8 transcript:ENSMUST00000061497.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryga description:crystallin, gamma A [Source:MGI Symbol;Acc:MGI:88521] MGKITFYEDRGFQGRCYECSSDCPNLQTYFSRCNSIRVDSGCWMLYERPNYQGYQYFLRR GDYPDYQQWMGFSDSIRSCRSIPYTSSHRIRLYERDDYRGLVSELMDDCSCIHDRFRLHE IYSMHVLEGCWVLYEMPNYRGRQYLLRPGDYRRYHDWGAMDAKVGSLRRVMDLY >ENSMUSP00000097452.1 pep:known chromosome:GRCm38:2:87048296:87049234:-1 gene:ENSMUSG00000075164.1 transcript:ENSMUST00000099867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1106 description:olfactory receptor 1106 [Source:MGI Symbol;Acc:MGI:3030940] MADVNFTFVTEFILLGLTDRDELKVFLFILFLLIYVISLVGNLGMFMLIHITPKLHTPMY HFLRSLSFVDACYSSVFAPTLLLNFFVERETISFSACILQYFLFASLLTTEGFLLAAMAF DRYVAIVNPLTYTVVMTKLICVLLVLGSCLGGTITSLTHTIGLIKLSFCGPNVISHFFCD LPPLLKLSCSDTSMNELLLLVFSGVIAITTLLTVVLSYIFIVAAILRIRSAAGRHKAFST CASHLTAVTLFYGSISFSYIQPSSQYSLEQEKVVSVFYTLVIPMLNPLIYSLRNKEVKDA VKRVMEIKHSLH >ENSMUSP00000038015.6 pep:known chromosome:GRCm38:8:80611080:80695356:1 gene:ENSMUSG00000042353.9 transcript:ENSMUST00000039695.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frem3 description:Fras1 related extracellular matrix protein 3 [Source:MGI Symbol;Acc:MGI:2685641] MAGDSLSLPGMSLQLLVTLTCLLLTCALRERVPLSENVSHCELYLPPWGALNGGSHQNPG ILIANSGFQVPQGRSVWLNPLRDLVIRVQRGDQCKVTVLDFPRLQGALSPHQFPCDFGAQ QVKYTHFGSPSSTRTRIQLQVRYDAGNSTLVLPFTLQVNVVFPKLQLVARNRPLKVFKVL GCSHAIDRRVLNFASRSRCRLTVLPHPGGPLPKYGLLVDTAGNPLSRGHLADCEAFIQAG VRYQHTTTPSSPSRDYVPMLVELLGPESQGTRSVEVLAQEYFQIQVRIQKRARSTAPIPS LAALMIVEVEQSLLTALTPDVLAAEDSESDPDDLVFNILNVPEAPPGHHGGQGYVVNTDD PLGLPVSFFTQKELRELKIAYRPPTGSSEGDHVFQLKLQVVDEDGDTSEPFAFMVVVKSM NVLAPIASYRGGLVVFEGQARPLSDALSFQVSDKDNSKEIKIVAVRGLQHGQLVVHGAPA GCKNFTLADLSAGRVVYQHDGSDSYSDNIILKMEGEHHRVDFLFPITIVPVDDAAPVLTA NMGLSVTEGQVVQISPFVLCATDIDSEDSAILFVLEDEHLEGKEEETHEDLAPGSYSSSQ HPGNMLLRQAEPPSSLLYSDWHYVEKEGLYETVVTEWLQRDIMEGRLFFSHPGPHSPSPV AHLAFHVQDDQDPPNLSNQHFFTISIQPADTSQPQLSPETTLEMTVQGYQLTPFQQKYLQ YTDQNSDEQNLWYTLLTRPTDADSNHQVQAGEIVLTDSPGRPIVHFTQTQVNHQKIAYRP PQRNLGIVPRVVQFTYKVEDTAGNSVSGTFTVFLQPLNNQPPKVINRGFAVLEGDSFILG RGELNVSDPDTNDDQIFFILVWGPQHGHLQYFKKYMVPGESFMLADVINGSISYQNSRDQ TDSDVIYLEVSDGVHHIPITVQVSVQPTVADRSPGISITGTPVLATSLTVLENAASEITM DVTHGRKNTNDLMLSFIVEDKPKLGMILVNGWPAEQVTQEDLLGGAVSYVHTSGEIGFQR VHDTFSFILSKDAYHRAMRDNILERVLVEVTVLPVDNMAPKVLVRKPFIVYEGGKNLLTP QHVNIEDVDTSKDEILCTLTVQPSSGYLENLAPAPGSEVSRAGNPISAFFVKDVRVGCIN YVQSIHKGIEPGADQFTFYCSDGINFSPKVLLPLTILPTNDEQPQLFTREFVVLEGMSRV IDTSLVNGVDADFPSDKLHFRLTVFPQHGQITQQLATGSKPIHSFTLQEIQEPSSIAYEH DDSESTEDSFEVWLSDGRHTTHTTVPIAVILVDDEVPQLTINDGLEIETGHSEIITNHIL KAIDLDSDNKSLSFVLHSEPQQGLLQRLRKPGGDAKHNLTVGMNFTQDEIDRGLIHYIHT GQGEAVDLKFDVTDGVNTLRDRYFYITIDNSNSAVPEIVSKRVTLTEGNRMTLTTELLNT SDIHSPGEQLLYSITRAPSTGHLESSDQPGEPMASFTQLQLARNKISYVHISNDKIKLDH FELRVTGGHHSESRIFRIFVTEQDNKKPTLTIQALALQRGDNIVVTPSQLTVEDEDTPAD FILFTITQIPIHGRILYNGSRPVTTFTKKDLTKSLILYCHDGSETSKDSFSFTVTDGIHT GFYVFPDTSLETHVPQTVWIQISPFDDRLPQMGINRGATALKLLHTGHLGFLITNEYLQA THQGVPHRLLTYKVTRGPEHGYIVNAGLGNENTHMFTQADIDEMRVYYILNKGRGRATRD TFYFSVETRGGKQLRNQPFHLNWAWISLEKEYYIVDEDSPFFEVTLTRRGYLGGTSVVSI GTKGDTAEENKDFKGKAPMLVQFSPGQSTATWRVGLIPDTKYETSETFQIILSEPGAAAL EFPEMATVEIVDPEDESTVYIPEAEYQLAEAVGEFLVPVRRSGDTSQELTVICSTRPGSA TGTIPSVQLSLSDYVSRPEDNTSMLHFEKDESEKTCQVLIINDSLYEEEESFSIALSLPT GGQLGAKFPTARVTILADREDEPALHFECSEYHAEESAGYVEVAVWRRGTGLSQASSVIV RSRGTEEQAAEAGTDYIGVRQHLHFSPGVSVQRLRVTILDDLPQPAFEGPEMFELLLQMP TGAVIGEPNKTTVTINDSVTNCEEECV >ENSMUSP00000091641.5 pep:known chromosome:GRCm38:7:109972187:109986451:-1 gene:ENSMUSG00000047554.14 transcript:ENSMUST00000094097.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem41b description:transmembrane protein 41B [Source:MGI Symbol;Acc:MGI:1289225] MAKGRVADRSPTEMLHSTPAGDRAVRTQGSAAPGSKDHLNEKPCAEAGSARTSLLILVSI FSCAAFVMFLVYKNFPQLSEEERVNMKVPRDMDDAKALGKVLSKYKDTFYVQVLVAYFAT YIFLQTFAIPGSIFLSILSGFLYPFPLALFLVCLCSGLGASFCYMLSYLVGRPVVYKYLT EKAVKWSQQVERHREHLINYIIFLRITPFLPNWFINITSPVINVPLKVFFIGTFLGVAPP SFVAIKAGTTLHQLTTAGEAVSWSSVFILMVLALLSILPAIFQKQLKQKFE >ENSMUSP00000113215.1 pep:known chromosome:GRCm38:7:109973304:109986259:-1 gene:ENSMUSG00000047554.14 transcript:ENSMUST00000119929.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem41b description:transmembrane protein 41B [Source:MGI Symbol;Acc:MGI:1289225] MFLVYKNFPQLSEEERVNMKVPRDMDDAKALGKVLSKYKDTFYVQVLVAYFATYIFLQTF AIPGSIFLSILSGFLYPFPLALFLVCLCSGLGASFCYMLSYLVGRPVVYKYLTEKAVKWS QQVERHREHLINYIIFLRITPFLPNWFINITSPVINVPLKVFFIGTFLGVAPPSFVAIKA GTTLHQLTTAGEAVSWSSVFILMVLALLSILPAIFQKQLKQKFE >ENSMUSP00000112574.1 pep:known chromosome:GRCm38:7:109973485:109986929:-1 gene:ENSMUSG00000047554.14 transcript:ENSMUST00000118429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem41b description:transmembrane protein 41B [Source:MGI Symbol;Acc:MGI:1289225] MAKGRVADRSPTEMLHSTPAGDRAVRTQGSAAPGSKDHLNEKPCAEAGSARTSLLILVSI FSCAAFVMFLVYKNFPQLSEEERVNMKVPRDMDDAKALGKVLSKYKDTFYVQVLVAYFAT YIFLQTFAIPGSIFLSILSGFLYPFPLALFLVCLCSGLGASFCYMLSYLVGRPVVYKYLT EKAVKWSQQVERHREHLINYIIFLRITPFLPNWFINITSPVINVPLKVFFIGTFLGVAPP SFVAIKAGTTLHQLTTAGEAVSWSSVFILMVLALLSILPAIFQKQLKQKFE >ENSMUSP00000123138.1 pep:known chromosome:GRCm38:7:109976595:109986162:-1 gene:ENSMUSG00000047554.14 transcript:ENSMUST00000154831.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem41b description:transmembrane protein 41B [Source:MGI Symbol;Acc:MGI:1289225] MAKGRVADRSPTEMLHSTPAGDRAVRTQGSAAPGSKDHLNGKRG >ENSMUSP00000119520.1 pep:known chromosome:GRCm38:7:109976595:109986275:-1 gene:ENSMUSG00000047554.14 transcript:ENSMUST00000124821.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem41b description:transmembrane protein 41B [Source:MGI Symbol;Acc:MGI:1289225] MAKGRVADRSPTEMLHSTPAGDRAVRTQGSAAPGSKDHLNEKPCAEAGSARTSLLILVSI FSCAAFVMFLVYKNFPQLSDAPDLVPHSATCSPT >ENSMUSP00000121078.1 pep:known chromosome:GRCm38:7:109976660:109986249:-1 gene:ENSMUSG00000047554.14 transcript:ENSMUST00000135565.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem41b description:transmembrane protein 41B [Source:MGI Symbol;Acc:MGI:1289225] MAKGRVADRSPTEMLHSTPAGDRAVRTQGSAAPGSKDHLNEKPCAEAGSARTSLLILVSI FSCAAFVMFLVYKNFPQLSEEERVNMKVPRDMDDAKALGKVLSKYKDTFYVQVLVAYFAT YIF >ENSMUSP00000097450.3 pep:known chromosome:GRCm38:2:87071194:87072132:-1 gene:ENSMUSG00000075163.4 transcript:ENSMUST00000099865.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1107 description:olfactory receptor 1107 [Source:MGI Symbol;Acc:MGI:3030941] MRVWNHTGVKEFMLLGLTENPNCQVPLFLLFSIVYLIILVGNWGMIILIWLNAHLHTPMY FFLSNLSFCDICYSTVIAPKMLIDFLSEHKSSTFFGCVLQSFFFAVYITTEGILLSMMAY DRYVAIANPLMYTVIMTHRICSQMVLACYLGGLINSLTHTIGLLRLDFCGPNIVNHFFCD IPPLLKLACSDAHNNEMLLLVFSGVIAIFTFIIVMVSYIHIIIAILRIRSAEGRRKAFST CASHLTAVILFYGSVTFSYIQPSSQYSMEQEKVSAVFYTLVIPMLNPLIYSLRNKDVKEA AKKFIGRERRTS >ENSMUSP00000128518.1 pep:known chromosome:GRCm38:8:110846600:110881895:1 gene:ENSMUSG00000031753.16 transcript:ENSMUST00000165867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog4 description:component of oligomeric golgi complex 4 [Source:MGI Symbol;Acc:MGI:2142808] MVTLHRMGPSLQLIEGDAKQLAGMITFTCSLAENVSSKVRQLDLAKNRLYQAIQRADDIL DLKFCMDGVQTALRNEDYEQAAAHIHRYLCLDKSVIELSRQGKEGSMIDANLKLLQEAEQ RLKAIVAEKFAIATKEGDLPQVERFFKIFPLLGLHEDGLSKFSEYLCKQVASKAEENLLL VLGSDMSDRRAAVIFADTLTLLFEGIARIVETHQPIVETYYGPGRLFTLIKYLQVECDTQ VEKVVNKFIKQRDYHQQFRLVQSNLMRNSATEKIEPRELDPVLTEVTLMNARSELYLRFL RKRISADFEVGDSMASEEVKQEHQKCLDKLLNNCLLSCTMQELIGFYITMEEYFMRETVN KAVALDTYEKGQLTSSMVDDVFYIVKKCIGRALSSSNIDCLCAMINLATRELEADFRDVL CNKLRMGFPATTLQDIQRGVTSAVNIMHSSLQQGKFDTKGIESTDEAKLSFLVTLNNVEV CSENISTLKKTLESDCTKLFSQGIGGEQAQAKFDSCLSDLAAVSNKFRDLLQEGLAELNS SAVKPQVQPWINTFLSVSHSIEEEEFNDYEANDPWVQQFILNLEQQMAEFKASLSPVIYD SLTGLMTSLVAVELEKVVLKSTFNRLGGLQFDKELRSLIAYLTTVTTWTIRDKFARLSQM ATILNLERVTEILDYWGANSGPLTWRLTPAEVRQVLALRIDFRNEDIKRLRL >ENSMUSP00000134306.1 pep:known chromosome:GRCm38:8:110847024:110853728:1 gene:ENSMUSG00000031753.16 transcript:ENSMUST00000174165.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cog4 description:component of oligomeric golgi complex 4 [Source:MGI Symbol;Acc:MGI:2142808] MAEVESPLKLSGAPPPPEGVGGGHCSEISTELIRSLTELQELEAVYERLCGEEKAVEKEL DALLEQQNTIEIPACS >ENSMUSP00000134252.1 pep:known chromosome:GRCm38:8:110847034:110852345:1 gene:ENSMUSG00000031753.16 transcript:ENSMUST00000172668.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog4 description:component of oligomeric golgi complex 4 [Source:MGI Symbol;Acc:MGI:2142808] MAEVESPLKLSGAPPPPEGVGGGHCSEISTELIRSLTELQELEAVYERLCGEEKAVEKEL DALLEQQNTIESKMVTLHRMGLAENVSSKVRQLDLAKNRLYQAIQRADDILDLKFCM >ENSMUSP00000034203.9 pep:known chromosome:GRCm38:8:110847034:110882227:1 gene:ENSMUSG00000031753.16 transcript:ENSMUST00000034203.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog4 description:component of oligomeric golgi complex 4 [Source:MGI Symbol;Acc:MGI:2142808] MAEVESPLKLSGAPPPPEGVGGGHCSEISTELIRSLTELQELEAVYERLCGEEKAVEKEL DALLEQQNTIESKMVTLHRMGPSLQLIEGDAKQLAGMITFTCSLAENVSSKVRQLDLAKN RLYQAIQRADDILDLKFCMDGVQTALRNEDYEQAAAHIHRYLCLDKSVIELSRQGKEGSM IDANLKLLQEAEQRLKAIVAEKFAIATKEGDLPQVERFFKIFPLLGLHEDGLSKFSEYLC KQVASKAEENLLLVLGSDMSDRRAAVIFADTLTLLFEGIARIVETHQPIVETYYGPGRLF TLIKYLQVECDTQVEKVVNKFIKQRDYHQQFRLVQSNLMRNSATEKIEPRELDPVLTEVT LMNARSELYLRFLRKRISADFEVGDSMASEEVKQEHQKCLDKLLNNCLLSCTMQELIGFY ITMEEYFMRETVNKAVALDTYEKGQLTSSMVDDVFYIVKKCIGRALSSSNIDCLCAMINL ATRELEADFRDVLCNKLRMGFPATTLQDIQRGVTSAVNIMHSSLQQGKFDTKGIESTDEA KLSFLVTLNNVEVCSENISTLKKTLESDCTKLFSQGIGGEQAQAKFDSCLSDLAAVSNKF RDLLQEGLAELNSSAVKPQVQPWINTFLSVSHSIEEEEFNDYEANDPWVQQFILNLEQQM AEFKASLSPVIYDSLTGLMTSLVAVELEKVVLKSTFNRLGGLQFDKELRSLIAYLTTVTT WTIRDKFARLSQMATILNLERVTEILDYWGANSGPLTWRLTPAEVRQVLALRIDFRNEDI KRLRL >ENSMUSP00000133471.1 pep:known chromosome:GRCm38:8:110847051:110853783:1 gene:ENSMUSG00000031753.16 transcript:ENSMUST00000174723.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cog4 description:component of oligomeric golgi complex 4 [Source:MGI Symbol;Acc:MGI:2142808] MAEVESPLKLSGAPPPPEGVGGGHCSEISTELIRSLTELQELEAVYERLCGEEKAVEKEL DALLEQQNTIESKMVTLHRMGTASIRPFREPMTSWT >ENSMUSP00000133583.1 pep:known chromosome:GRCm38:8:110847051:110853829:1 gene:ENSMUSG00000031753.16 transcript:ENSMUST00000172897.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cog4 description:component of oligomeric golgi complex 4 [Source:MGI Symbol;Acc:MGI:2142808] MAEVESPLKLSGAPPPPEGVGGGHCSEISTELIRSLTELQELEAVYERLCGEESQPAVD >ENSMUSP00000133297.1 pep:known chromosome:GRCm38:8:110847054:110881865:1 gene:ENSMUSG00000031753.16 transcript:ENSMUST00000174398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog4 description:component of oligomeric golgi complex 4 [Source:MGI Symbol;Acc:MGI:2142808] AEVESPLKLSGAPPPPEGVGGGHCSEISTELIRSLTELQELEAVYERLCGEEKAVEKELD ALLEQQNTIESKMVTLHRMGPSLQLIEGDAKQLAGMITFTCSLAENVSSKVRQLDLAKNR LYQAIQRADDILDLKFCMDGVQTALRNEDYEQAAAHIHRYLCLDKSVIELSRQGKEGSMI DANLKLLQEAEQRLKAIVAEKFAIATKEGDLPQVERFFKIFPLLGLHEDGLSKFSEYLCK QVASKAEENLLLVLGSDMSDRRAAVIFADTLTLLFEGIARIVETHQPIVETYYGPGRLFT LIKYLQVECDTQVEKVVNKFIKQRDYHQQFRLVQSNLMRNSATEKIEPRELDPVLTEVTL MNARSELYLRFLRKRISADFEVGDSMASEEVKQEHQKCLDKLLNNCLLSCTMQELIGFYI TMEEYFMRETVNKAVALDTYEKGQLTSSMVDDVFYIVKKCIGRALSSSNIDCLCAMINLA TRELEADFRDVLCNKLRMGFPATTLQDIQRGVTSAVNIMHSSLQQGKFDTKGIESTDEAK LSFLSDCTKLFSQGIGGEQAQAKFDSCLSDLAAVSNKFRDLLQEGLAELNSSAVKPQVQP WINTFLSVSHSIEEEEFNDYEANDPWVQQFILNLEQQMAEFKASLSPVIYDSLTGLMTSL VAVELEKVVLKSTFNRLGGLQFDKELRSLIAYLTTVTTWTIRDKFARLSQMATILNLERV TEILDYWGANSGPLTWRLTPAEVRQVLALRIDFRNEDIKRLRL >ENSMUSP00000133458.1 pep:known chromosome:GRCm38:8:110849871:110882221:1 gene:ENSMUSG00000031753.16 transcript:ENSMUST00000174679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog4 description:component of oligomeric golgi complex 4 [Source:MGI Symbol;Acc:MGI:2142808] AVEKELDALLEQQNTIESKMVTLHRMGPSLQLIEGDAKQLAGMITFTCSLAENVSSKVRQ LDLAKNRLYQAIQRADDILDLKFCMDGVQTALRNEDYEQAAAHIHRYLCLDKSVIELSRQ GKEGSMIDANLKLLQEAEQRLKAIVAEKFAIATKEGDLPQVGGTWGLNGKAMMFTNKDTT >ENSMUSP00000133283.1 pep:known chromosome:GRCm38:8:110859859:110881865:1 gene:ENSMUSG00000031753.16 transcript:ENSMUST00000172542.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cog4 description:component of oligomeric golgi complex 4 [Source:MGI Symbol;Acc:MGI:2142808] MRNSATEKIEPRELDPVLTEVTLMNARSELYLRFLRKRISADFEVGDSMASEEVKQEHQK CLDKLLNNCLLSCTMQELIGFYITMEEYFMRETVNKAVALDTYEKGQLTSSMVDDVFYIV KKCIGRALSSSNIDCLCAMINLATRELEADFRTSSVG >ENSMUSP00000140504.1 pep:known chromosome:GRCm38:1:65100619:65119558:-1 gene:ENSMUSG00000100846.1 transcript:ENSMUST00000144760.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28845 description:predicted gene 28845 [Source:MGI Symbol;Acc:MGI:5579551] WLQWEKVRAPLHVRPAYPNRKEREAMILSSYAGVLMNSIPIEEVLKIYGANSSASPDSTK VAQALLPRRSLHPFAMLTAPRAAECNRRQSVKLRRGATNKNTTSSSTKKATGQNGDPVGK GTHTPATKSPSTRTAASRAAAMSAAATAPTCRPTSAAATPSVWTVAAGCSMSAPTTRATS TSCVAGTTLTTSSGWVSATPSAPAVPFHTPALTG >ENSMUSP00000074862.5 pep:known chromosome:GRCm38:4:118362743:118409273:-1 gene:ENSMUSG00000033253.18 transcript:ENSMUST00000075406.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Szt2 description:seizure threshold 2 [Source:MGI Symbol;Acc:MGI:3033336] MASERPEPEVEEAGQVFLLMKKDYRISRNVRLAWFLNHLHQTVQATPQELLLQSEQELEV LSVLPPGWQPDEPVVPRPFLLVPSTRVTFLAWQYRFVIELDLSPSTGIVDDSTGEILFDE VFHALSRCLGGLLRPFRVPGSCINFQPEIYVTIQAYSSIIGLQSHQVLVQGCLLDPSQRE AFLQQVYEQLCLFEDKVATMLQQQYEPQGQAEDQSPESGESLGRKVGVSMVTADLGLVSM IRQGILALQLLPSNSSAGIIVITDGVTSVPDVAVCETLLNQLRSGTVACSFVQVGGVYSY DCSFGHVPNVELMKFIAMATFGSYLSTCPETEPGNLGLTVYHRAFLLYSFLRSGEALNPE YYCGSQHRLFNEHLVSASSNPALALRRKKHTEKEVPADLVSTVSVRLREGYSVREVTLAK GGSQLEVKLVLLWKHNMRIEYVAVAPWPLEPEGPRGTRVEVTMEGGYDILHDVSCALRQP IRSLYRTHVIRRFWNTLQSINQTDQMLAHLQSFSSVPEHFTLPDSTKSGVPLFYIPPGSS TPVLSLQHSGSDSSHAQFAAYWKPVLSMDANSWQRWLHMHRLVLILEHDTPLPKHLHTPG SNGRYSTIQCRISHSSLTSLLRDWSSFVLVEGYSYVKLLSSAPDQPPSSFYMVRIISKTP CMVLRLGFPIGTPAQARHKIVSGLKEEILRLRFPHRVQSKEPTPKVKRKGLGGVGGSSPS KSPPTLGPQQALSDRPCLVVLHKPLDKLLIRYEKLPLDYRAPFLLTLEPPGPLPLVSGRS ASSSLASLSRYLYHRRWLWSVPSGLAPTLPLSATAQLLSVLTEVRLSEGFHFACSGEGII NMVLELPVQNEPLGQAAAEEKHTCVVQYILFPPHSTSTKDSFSTDDDNDVEVEALEGDSE LNLVTEVWVEPQYGRVGPGPENWKHLQDLTYSEIPQALHPRDAACIGSLLTFEYLIQLCQ SKEWGPLPPEPRLSDGLDQRGDTCVHEIPFHFDLLGLLPQCQQLQMFFLLLSREPEGVPL AEGPCPTNDMVLCLLHSCLGQELSDREIPLTPADQAAFLNEVLRRSLRDPGPEGPPVGGH AVAKDRAGNSTQASGDSTLPSQSVVIPGVLRSSISAQPPQWHCYARLLGPQHVFLTFLPA TFSDVQHLTAYGLESSFQEETKPKLGDWSGAPSLKDPGATGTKATESQVPTLSVTLASDS AQDSGEPSTPSCQDLAANSGRQAPQTEGADGPRTRCPVYIYSCSLEALREQMVGLQPPQA PRDLIFRAQDLDHPSSSSAWMEPRCKEAATHCALLQEHAQRCFVRGLFRSLQQAQSVTCQ DLLTAVDACEELLQEIDITSFLLALCGHTWGLPHAPPSPGPLSPGPFSSSIEEGPEPRER AILVSESSIETEDLSEPEFQSSRVSGNLDPGPEISLTDVCQLRGEAHDALHSLIQEKFLE ISRLHFRTVPSNPHYFFYCPPSSRREDEGPRDTVDRKISDLEFSEAELVGEEGDTSACCV VTESDPELEVEYRESREPDLGPAGLDSASLSDADTVNPDEDSFSILGGDSPTGPDSLMHD LPPLFLHLTCSVRLRGQHSSVPVCSLPTCLGQVLSSLEGPPIGGRVPLRDLSITLDVFVL TLPLEVELPPASDPQHHRSTSESSASFPRSPGQPSSLRSDDGLGPPLPPPEEERHPGLSS LAMPHRLAIESTMNEIRWLLEDEMVGALRRGGIPQSPALHRAAAHIHSSSGRPTCLRQAP PLSFVFGPERSLTQFKEEFRRLHLPGHVLLEDPDSGFFFVAAGQQPGVLHGEPPSAAWAW HNHEDRAEDAEGEVLTASPQVPGSLEDSEGTPLISLPSLSQGGSQPGPSRGLSLMSSQGS VDSDHLGYDGGSSGSDSEGPGETLGEKALFTLRTPPGPAPPQPSLPVLPGPSLPDFWLIV RILQDRVEVYAHARSLSREDGGPGAECRHLQQFLVRRVGEICREVNQRLLLQDLHDSHVC NSLLVAESEEDLWRSETPFHSRQRAVLPSDDFAADESCAPRGYLAATMQFVPGHFSCDVV WGTVIRVHSRLKMGPSMGVSRAIQALRSVLNAFSVVNRKNMFVYQERATKAVYYLRLLET SCSDRPWEGDTLPPSLALSRSQEPISSEDSVAPRSPLDMASSRSSDAVRPVGQVDRHIQL LVHGVGQAGPEITDELVRVLRRRLDEATLDIITVMLVRNCKLTPADVEFIQPPGSLPSEV LHLVLPPSCRPCLPALAWYLRQNLLTFLHSPKYTDSNSRNHFQHPLPAQGGLPDLDIYLY NKPGGQGTGGKGVACITLAFVEEGGTPISLASWPPSSPGPPDPLREEEFEQLTQVIRCPN TLDSCSAQDGSPRLRLDVWEKGNISIVQLEEKLRAAARQALADAIMELRLLPASLCTEDI PPGSLKSGPLDTKSPACRANTFPCTPVSGEPVTPPSKAGRRSFWDMLSKTEAGDLGSPKT TDDIVLDRPEDTRGRRRHKTENVRTPGGSERAPGPDSGAQRQRRRTTQLEEGEVGTLHPV FARVIQRWMGFMVQIGCASVSRSSTHMVSRFLLPSILSEFTTLVISMAGDTSVRVFEQHL GSEPDVFSPCSPGQLGPAPRPAAQRHLLLLGRNFAQWRRPTQQAAKAVQRFESGGDGSPG RSAPRQRLLLLEVTDKKLQLLTYNWAPDLGAALGRALIRLVQWQNARAHLISCLLSQKLG LFHHCGQLDFPMRDGKEPNPFLLPTMEVETLIRNASPPLSREQGRLSGSSRGGGPLSLDT FPFDEALRDITAARPSSTGGPAPRPPDPVTYHGQQFLEIKMTERKELERQMKMENLFVTW QQRSAPASMPISAGELETLKQSSRLVHYCATALLFDPAAWLHGPPETCAPSEGQRRPCPE SGSGSREVPTSCESLDVPPPGAREEPWLKELSLAFLQQYVQYLQSIGFVLVPLRPPSPAR STSRLRAMAILGTEGRGSFSCPKAKAEGSPKSTSTPVTTYHLQRALPGGIILMELTFQGC YFCVKQFALECSRIPMGQAVNSQLSLLFTEECDKVRDLMHVHSFSYDFHLRLVHQHVLGA HLALRHGYHLTTFLQHFLAHHPDGPHFGRNHIYQGTLELPTPLIAAHQLYNYVADHASSY HMKPLRMARPGGPEHNEYALVSAWHSSGSYLDSEGLRHQDDFDVSLLVCHSAAPFEEQGE AERHVLRLQFFVVLTSQRELFPRLTADMRRFRKPSRLPLEPETPGSLVGSPREASGMMLA PGPAPLFPPLAAEVGMARARLAQLVRLAGGHCRRDTLWKRLFLLEPPGPDRLRLGGRLAL AELEELLEAVHAKSIADIDPQLDCFLSMTVSWYQSLIKVLLSRFPQSCRHFQSPDLGTQY LVVLNQKFTDCFVLVFLDSHLGKTSLTVVFREPFPVQPQDSESPPAQLVSTYHHLESVIN TACFTLWTRLL >ENSMUSP00000139348.1 pep:known chromosome:GRCm38:4:118362747:118374274:-1 gene:ENSMUSG00000033253.18 transcript:ENSMUST00000183402.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Szt2 description:seizure threshold 2 [Source:MGI Symbol;Acc:MGI:3033336] XEDTRGRRRHKTENVRTPGGSERAPGPDSGAQRQRRRTTQLEEGEVGTLHPVFARVIQRW MGFMVQIGCASVSRSSTHMVSRFLLPSILSEFTTLVISMAGDTSVRVFEQHLGSEPDVFS PCSPGQLGPAPRPAAQRHLLLLGRNFAQWRRPTQQAAKAVQRFESGGDGSPGRSAPRQRL LLLEVTDKKLQLLTYNWAPDLGAALGRALIRLVQWQNARAHLISCLLSQKLGLFHHCGQL DFPMRDGKEPNPFLLPTMEVETLIRNASPPLSREQGRLSGSSRGGGPLSLDTFPFDEALR DITAARPSSTGGPAPRPPDPVTYHGQQFLEIKMTERKELERQMKMENLFVTWQQRSAPAS MPISAGELETLKQSSRLVHYCATALLFDPAAWLHGPPETCAPSEGQRRPCPESGSGSREV PTSCESLDVPPPGAREEPWLKELSLAFLQQYVQYLQSIGFVLVPLRPPSPARSTSRLRAM AILGTEGRGSFSCPKAKAEGSPKSTSTPVTTYHLQRALPGGIILMELTFQGCYFCVKQFA LECSRIPMGQAVNSQSSAR >ENSMUSP00000139038.1 pep:known chromosome:GRCm38:2:30240567:30282148:1 gene:ENSMUSG00000099041.1 transcript:ENSMUST00000139454.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28035 description:predicted gene, 28035 [Source:MGI Symbol;Acc:MGI:5547771] MIPVTELRYFADTQPAYRILKPWWDVFTDYISIVMLMIAVFGGTLQVTQDKMICLPCKWV TKDSCNDSFRGWAASSPEPTYPNSTVLPTPDTGPTGIKYDLDRHQYNYVDAVCYENRLHW FAKYFPYLVLLHTLIFLACSNFWFKFPRTSSKLEHFVSILLKCFDSPWTTRALSETVVEE SDPKPAFSKMNGSMDKKSSTVSEDVEATVPMLQRTKSRIEQGIVDRSETGVLDKKEGEQA KALFEKVKKFRTHVEEGDIVYRLYMRQTIIKVIKFALIICYTVYYVHNIKFDVDCTVDIE SLTGYRTYRCAHPLATLFKILASFYISLVIFYGLICMYTLWWMLRRSLKKYSFESIREES SYSDIPDVKNDFAFMLHLIDQYDPLYSKRFAVFLSEVSENKLRQLNLNNEWTLDKLRQRL TKNAQDKLELHLFMLSGIPDTVFDLVELEVLKLELIPDVTIPPSIAQLTGLKELWLYHTA AKIEAPALAFLRENLRALHIKFTDIKEIPLWIYSLKTLEELHLTGNLSAENNRYIVIDGL RELKRLKVLRLKSNLSKLPQVVTDVGVHLQKLSINNEGTKLIVLNSLKKMVNLTELELIR CDLERIPHSIFSLHNLQEIDLKDNNLKTIEEIISFQHLHRLTCLKLWYNHIAYIPIQIGN LTNLERLYLNRNKIEKIPTQLFYCRKLRYLDLSHNNLTFLPADIGLLQNLQNLAVTANRI EALPPELFQCRKLRALHLGNNVLQSLPSRVGELTNLTQIELRGNRLECLPVELGECPLLK RSGLVVEEDLFSTLPPEVKERLWRADKEQA >ENSMUSP00000097449.1 pep:known chromosome:GRCm38:2:87092457:87093395:-1 gene:ENSMUSG00000075161.1 transcript:ENSMUST00000099863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1109 description:olfactory receptor 1109 [Source:MGI Symbol;Acc:MGI:3030943] MDPGNHTVVKEFILLGLTENPDWQIPLFLLFSIIYLIIFVGNWGMIFLIWLNAHLHTPMY FFLSNLSFCDICYSTVIAPKMLINIVSEHKSSRLLSCVLQSFFFMVYATTEVILLSMMAY DRYVAIVNPLMYTVIMTFSICISMVLACYLCGIINSLTHTISLLRLDFCGPNVVNHFFCD VPPLLKLSCSDAHINEMLLLVFSGVIAIFTFIIVMVSYIHIIIAILKIRSTEGRRKAFST CASHLTAVTLFYGSGTFSYIQPSSQYSMEQEKVSAVFYTLVIPMLNPLIYSLRNKDVKEA AKKLICGWSNTS >ENSMUSP00000060769.5 pep:known chromosome:GRCm38:1:139197056:139377077:-1 gene:ENSMUSG00000063681.14 transcript:ENSMUST00000059825.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crb1 description:crumbs family member 1, photoreceptor morphogenesis associated [Source:MGI Symbol;Acc:MGI:2136343] MKLKRTAYLLFLYLSSSLLICIKNSFCNKNNTRCLSGPCQNNSTCKHFPQDNNCCLDTAN NLDKDCEDLKDPCFSSPCQGIATCVKIPGEGNFLCQCPPGYSGLNCETATNSCGGNLCQH GGTCRKDPEHPVCICPPGYAGRFCETDHNECASSPCHNGAMCQDGINGYSCFCVPGYQGR HCDLEVDECVSDPCKNEAVCLNEIGRYTCVCPQEFSGVNCELEIDECRSQPCLHGATCQD APGGYSCDCAPGFLGEHCELSVNECESQPCLHGGLCVDGRNSYHCDCTGSGFTGMHCESL IPLCWSKPCHNDATCEDTVDSYICHCRPGYTGALCETDINECSSNPCQFWGECVELSSEG LYGNTAGLPSSFSYVGASGYVCICQPGFTGIHCEEDVDECLLHPCLNGGTCENLPGNYAC HCPFDDTSRTFYGGENCSEILLGCTHHQCLNNGKCIPHFQNGQHGFTCQCLSGYAGPLCE TVTTLSFGSNGFLWVTSGSHTGIGPECNISLRFHTVQPNALLLIRGNKDVSMKLELLNGC VHLSIEVWNQLKVLLSISHNTSDGEWHFVEVTIAETLTLALVGGSCKEKCTTKSSVPVEN HQSICALQDSFLGGLPMGTANNSVSVLNIYNVPSTPSFVGCLQDIRFDLNHITLENVSSG LSSNVKAGCLGKDWCESQPCQNRGRCINLWQGYQCECDRPYTGSNCLKEYVAGRFGQDDS TGYAAFSVNDNYGQNFSLSMFVRTRQPLGLLLALENSTYQYVSVWLEHGSLALQTPGSPK FMVNFFLSDGNVHLISLRIKPNEIELYQSSQNLGFISVPTWTIRRGDVIFIGGLPDREKT EVYGGFFKGCVQDVRLNSQTLEFFPNSTNNAYDDPILVNVTQGCPGDNTCKSNPCHNGGV CHSLWDDFSCSCPTNTAGRACEQVQWCQLSPCPPTAECQLLPQGFECIANAVFSGLSREI LFRSNGNITRELTNITFAFRTHDTNVMILHAEKEPEFLNISIQDARLFFQLRSGNSFYTL HLMGSQLVNDGTWHQVTFSMIDPVAQTSRWQMEVNDQTPFVISEVATGSLNFLKDNTDIY VGDQSVDNPKGLQGCLSTIEIGGIYLSYFENLHGFPGKPQEEQFLKVSTNMVLTGCLPSN ACHSSPCLHGGNCEDSYSSYRCACLSGWSGTHCEINIDECFSSPCIHGNCSDGVAAYHCR CEPGYTGVNCEVDVDNCKSHQCANGATCVPEAHGYSCLCFGNFTGRFCRHSRLPSTVCGN EKRNFTCYNGGSCSMFQEDWQCMCWPGFTGEWCEEDINECASDPCINGGLCRDLVNRFLC ICDVAFAGERCELDLADDRLLGIFTAVGSGTLALFFILLLAGVASLIASNKRATQGTYSP SGQEKAGPRVEMWIRMPPPALERLI >ENSMUSP00000142552.1 pep:known chromosome:GRCm38:1:139229538:139377100:-1 gene:ENSMUSG00000063681.14 transcript:ENSMUST00000198445.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crb1 description:crumbs family member 1, photoreceptor morphogenesis associated [Source:MGI Symbol;Acc:MGI:2136343] MKLKRTAYLLFLYLSSSLLICIKNSFCNKNNTRCLSGPCQNNSTCKHFPQDNNCCLDTAN NLDKDCEDLKDPCFSSPCQGIATCVKIPGEGNFLCQCPPGYSGLNCETATNSCGGNLCQH GGTCRKDPEHPVCICPPGYAGRFCETDHNECASSPCHNGAMCQDGINGYSCFCVPGYQGR HCDLEVDECVSDPCKNEAVCLNEIGRYTCVCPQEFSGVNCELEIDECRSQPCLHGATCQD APGGYSCDCAPGFLGEHCELSVNECESQPCLHGGLCVDGRNSYHCDCTGSGFTGMHCESL IPLCWSKPCHNDATCEDTVDSYICHCRPGIHCEEDVDECLLHPCLNGGTCENLPGNYACH CPFDDTSRTFYGGENCSEILLGCTHHQCLNNGKCIPHFQNGQHGFTCQCLSGYAGPLCET VTTLSFGSNGFLWVTSGSHTGIGPECNISLRFHTVQPNALLLIRGNKDVSMKLELLNGCV HLSIEVWNQLKVLLSISHNTSDGEWHFVEVTIAETLTLALVGGSCKEKCTTKSSVPVENH QSICALQDSFLGGLPMGTANNSVSVLNIYNVPSTPSFVGCLQDIRFDLNHITLENVSSGL SSNVKAGCLGKDWCESQPCQNRGRCINLWQGYQCECDRPYTGSNCLKEYVAGRFGQDDST GYAAFSVNDNYGQNFSLSMFVRTRQPLGLLLALENSTYQYVSVWLEHGSLALQTPGSPKF MVNFFLSDGNVHLISLRIKPNEIELYQSSQNLGFISVPTWTIRRGDVIFIGGLPDREKTE VYGGFFKGCVQDVRLNSQTLEFFPNSTNNAYDDPILVNVTQGCPGDNTCKSNPCHNGGVC HSLWDDFSCSCPTNTAGRACEQVQWCQLSPCPPTAECQLLPQGFECIANAVFSGLSREIL FRSNGNITRELTNITFAFRTHDTNVMILHAEKEPEFLNISIQDARLFFQLRSGNSFYTLH LMGSQLVNDGTWHQVTFSMIDPVAQTSRWQMEVNDQTPFVISEVATGSLNFLKDNTDIYV GDQSVDNPKGLQGCLSTIEIGGIYLSYFENLHGFPGKPQEEQFLKVSTNMVLTGCLPSNA CHSSPCLHGGNCEDSYSSYRCACLSGWSGTHCEINIDECFSSPCIHGNCSDGVAAYHCRC EPGYTGVNCEVDVDNCKSHQCANGATCVPEAHGYSCLCFGNFTGRFCRHSRLPSTVCGNE KRNFTCYNGGSCSMFQEDWQCMCWPGFTGEWCEEDINECASDPCINGGLCRDLVNRFLCI CDVAFAGERCELDVSGLSFYVSLLLWQNLFQLLSYLVLRMNDEPVVEWGAQENY >ENSMUSP00000142702.1 pep:known chromosome:GRCm38:1:139247664:139377041:-1 gene:ENSMUSG00000063681.14 transcript:ENSMUST00000196402.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crb1 description:crumbs family member 1, photoreceptor morphogenesis associated [Source:MGI Symbol;Acc:MGI:2136343] MKLKRTAYLLFLYLSSSLLICIKNSFCNKNNTRCLSGPCQNNSTCKHFPQDNNCCLDTAN NLDKDCEDLKDPCFSSPCQGIATCVKIPGEGNFLCQCPPGYSGLNCETATNSCGGNLCQH GGTCRKDPEHPVCICPPGYAGRFCETDHNECASSPCHNGAMCQDGINGYSCFCVPGYQGR HCDLEVDECVSDPCKNEAVCLNEIGRYTCVCPQEFSGVNCELEIDECRSQPCLHGATCQD APGGYSCDCAPGFLGEHCELSVNECESQPCLHGGLCVDGRNSYHCDCTGSGFTGMHCESL IPLCWSKPCHNDATCEDTVDSYICHCRPGYTGALCETDINECSSNPCQFWGECVELSSEG LYGNTAGLPSSFSYVGASGYVCICQPGFTGIHCEEDVDECLLHPCLNGGTCENLPGNYAC HCPFDDTSRTFYGGENCSEILLGCTHHQCLNNGKCIPHFQNGQHGFTCQCLSGYAGPLCE TVTTLSFGSNGFLWVTSGSHTGIGPECNISLRFHTVQPNALLLIRGNKDVSMKLELLNGC VHLSIEVWNQLKVLLSISHNTSDGEWHFVEVTIAETLTLALVGGSCKEKCTTKSSVPVEN HQSICALQDSFLGGLPMGTANNSVSVLNIYNVPSTPSFVGCLQDIRFDLNHITLENVSSG LSSNVKAGCLGKDWCESQPCQNRGRCINLWQGYQCECDRPYTGSNCLKGERSGVPQSAVP LSRAISNHPGCRPLLGNIRTPQDLCWYLFTNEIKWHSHDMY >ENSMUSP00000142909.1 pep:known chromosome:GRCm38:1:139248726:139328248:-1 gene:ENSMUSG00000063681.14 transcript:ENSMUST00000200340.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crb1 description:crumbs family member 1, photoreceptor morphogenesis associated [Source:MGI Symbol;Acc:MGI:2136343] APGFLGEHCELSVNECESQPCLHGGLCVDGRNSYHCDCTGSGFTGMHCESLIPLCWSKPC HNDATCEDTVDSYICHCRPGSLIKDISEAKSLKGTSQMFL >ENSMUSP00000143386.1 pep:known chromosome:GRCm38:1:139248741:139328268:-1 gene:ENSMUSG00000063681.14 transcript:ENSMUST00000197035.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crb1 description:crumbs family member 1, photoreceptor morphogenesis associated [Source:MGI Symbol;Acc:MGI:2136343] XGYSCDCAPGFLGEHCELSVNECESQPCLHGGLCVDGRNRIHRCPV >ENSMUSP00000055208.7 pep:known chromosome:GRCm38:13:84222314:84295870:1 gene:ENSMUSG00000035762.10 transcript:ENSMUST00000057495.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem161b description:transmembrane protein 161B [Source:MGI Symbol;Acc:MGI:1919995] MGVIGIQLVVTMVMASVMQKIIPHYSLARWLLCNGSLRWYQHPSEEELRILAGKQQKGKS KKDRKYNGHIENKPLTIPKDIDLHLETKSVTEVDTLALHYFPEYQWLVDFTVAATIVYLV TEVYYSFMKPTQEMNISLVWCLLVLSFAIKVLFSLTTHYFKVEDGGERSVCVTFGFFFFV KAMAVLIVTENYLEFGLETGFTNFSDSAMQFLEKQGLESQGPVSKLTFKFFLAVFCSLIG AFLTFPGLRLAQMHLDALNMATEKITQTLLHINFLAPLFMVLLWVKPITKDYIMNPPLGR ESVPLMTEATFDTLRLWLIILLCVLRLAMMRSHLQAYLNLAQKCVDQMKKEAGRISTVEL QKMVARVFYYLCVIALQYVAPLVMLLHMTLLLKTLGNHSWGIYPEAAFPLPVDNNLPANS AYPELPSPDGKMKVTVTQITVALSSLKNIFTPLLFRGLLSFLTWWIAACLFSTSLFGLFY HQYLTVA >ENSMUSP00000145042.1 pep:known chromosome:GRCm38:10:129236287:129239089:1 gene:ENSMUSG00000096229.2 transcript:ENSMUST00000203248.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr774 description:olfactory receptor 774 [Source:MGI Symbol;Acc:MGI:3030608] MKNHSVITEFLLLGISDTPELQFVIFIFLFIAYILSVTGNLTIIILTLLDSQLKTPMYFF LRNFSFLEIIFTSVSIPRFLESIITKVKTISYNNCLAQLFFFISMGVSEFFLLTAMSYDR YVAICKPLHYTLIMNQKVCTLLVLTSWLGGFLTIFPLLMLFLKLDFCASNVIDHFCCDYF PILQLSCSDTWFLETIGFYFAFITLLFTLALVILSYICIINTILRFPSASQRKKAFSTCS SHMIVISISYGSCIFMYVKPSANERASLTKGVALLNTSIAPMLNPFIYTLRNQQVKQAFK DLINKLMFNRNK >ENSMUSP00000131609.1 pep:known chromosome:GRCm38:10:129238151:129239089:1 gene:ENSMUSG00000096229.2 transcript:ENSMUST00000097164.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr774 description:olfactory receptor 774 [Source:MGI Symbol;Acc:MGI:3030608] MKNHSVITEFLLLGISDTPELQFVIFIFLFIAYILSVTGNLTIIILTLLDSQLKTPMYFF LRNFSFLEIIFTSVSIPRFLESIITKVKTISYNNCLAQLFFFISMGVSEFFLLTAMSYDR YVAICKPLHYTLIMNQKVCTLLVLTSWLGGFLTIFPLLMLFLKLDFCASNVIDHFCCDYF PILQLSCSDTWFLETIGFYFAFITLLFTLALVILSYICIINTILRFPSASQRKKAFSTCS SHMIVISISYGSCIFMYVKPSANERASLTKGVALLNTSIAPMLNPFIYTLRNQQVKQAFK DLINKLMFNRNK >ENSMUSP00000097448.1 pep:known chromosome:GRCm38:2:87107447:87108385:-1 gene:ENSMUSG00000075160.1 transcript:ENSMUST00000099862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr259 description:olfactory receptor 259 [Source:MGI Symbol;Acc:MGI:3030093] MGIRNHTSVKEFILIGLTENPNWQVPLFFLFCIVYFIILVGNWGMIILIWLNAQLHTPMY FFLSNLSFCDICYSTIIAPKMLINFLSEHKSTRLFACILQSFFFAVYVTTEVILLSMMAY DRYVAIANPLMYTVIMTNNICTQMVLASYLGGLINSMIHTIGLLKLDFCGPNIVNHFFCD VPPLLKLACSDAHINEMLLLVFSGVFAISTFIIVMVSYIHIIIAILRIRSAEGRRKAFST CASHLTAVALFYGSLTFNYIQPSSQYSMEQEKLSAVFYTLVIPMLNPLIYSLRNKDVKEA AKKLICGERNAP >ENSMUSP00000029344.8 pep:known chromosome:GRCm38:3:67582741:67604237:1 gene:ENSMUSG00000027775.9 transcript:ENSMUST00000029344.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd1 description:major facilitator superfamily domain containing 1 [Source:MGI Symbol;Acc:MGI:1914118] MEDEDGEDRALLGGRREADSAVHGAPRALSALCDPSRLAHRLVVLSLMCFLGFGSYFCYD NPAALQTQVKRDMQVNTTKFMLLYAWYSWPNVVLCFLGGFLIDRIFGIRWGTVIFSCFVC IGQVIFALGGIFNAFWLMELGRFVFGIGGESLAVAQNTYAVSWFKGKELNLVFGLQLSMA RIGSTVNMNLMGWLYGKIEALLGSAGHMTLGVTLMIGCITCIFSLICALALAYLDRRAEK ILHKEQGKTGEVIKLRDIKDFSLPLILVFVICVCYYVAVFPFIGLGKVFFMEKFRFSSQS ASAINSIVYIISAPMSPLFGLLVDKTGKNIIWVLYAVAATLVSHMMLAFTFWNPWIAMCL LGFSYSLLACALWPMVAFIVPEHQLGTAYGFMQSIQNLGLAVIAILAGMILDSKGYLLLE VFFIACVSLSLLAVVCLYLVNRAQGGNLNYSAKQRERMKLSHPE >ENSMUSP00000040706.5 pep:known chromosome:GRCm38:12:85686669:85709087:1 gene:ENSMUSG00000034266.5 transcript:ENSMUST00000040536.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Batf description:basic leucine zipper transcription factor, ATF-like [Source:MGI Symbol;Acc:MGI:1859147] MPHSSDSSDSSFSRSPPPGKQDSSDDVRKVQRREKNRIAAQKSRQRQTQKADTLHLESED LEKQNAALRKEIKQLTEELKYFTSVLSSHEPLCSVLASGTPSPPEVVYSAHAFHQPHISS PRFQP >ENSMUSP00000101975.1 pep:known chromosome:GRCm38:4:118526999:118529855:1 gene:ENSMUSG00000028536.12 transcript:ENSMUST00000106367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610528J11Rik description:RIKEN cDNA 2610528J11 gene [Source:MGI Symbol;Acc:MGI:1913701] MIGGNTTIISGAINASTEAPGLGTGGRAWPVLVGVVLGAVVLSILIALAAKCHLCRRYHA SYRHRPLSSAGGGNRPPVGEDEDDDGFIEDNYIQPGAGEMETTGSRDHFSL >ENSMUSP00000030261.5 pep:known chromosome:GRCm38:4:118527243:118530228:1 gene:ENSMUSG00000028536.12 transcript:ENSMUST00000030261.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610528J11Rik description:RIKEN cDNA 2610528J11 gene [Source:MGI Symbol;Acc:MGI:1913701] MIGGNTTIISGAINASTEAPGLGTGGRAWPVLVGVVLGAVVLSILIALAAKCHLCRRYHA SYRHRPLSSAGGGNRPPVGEDEDDDGFIEDNYIQPGAGEMETTGSRDHFSL >ENSMUSP00000014698.3 pep:known chromosome:GRCm38:6:83480217:83506969:-1 gene:ENSMUSG00000014554.13 transcript:ENSMUST00000014698.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dguok description:deoxyguanosine kinase [Source:MGI Symbol;Acc:MGI:1351602] MAAGRFLLRRLRASFRSPLRNALVDAPHARAMHDGGGPRRLCIEGNIAVGKSTFVKLLMK THPEWQVATEPIAEWQNIQAAGAQKDGTSKRLGNLLEMMYQEPARWSYTFQTLSFMSRLK VQLEPIPGRLLQAEKSVRVFERSVYSDRYIFAKNLFENGSLSDIEWHIYQDWHSFLLQEF ANRLLLHGFIYLQASPQVCMERLYQRDREEEKGIELAYLQQLHSQHEDWFINKTTKLHFE ALQHVPVLVLDVTEDFSENAARQEELMGQVNTFMRNL >ENSMUSP00000109520.1 pep:known chromosome:GRCm38:6:83480217:83506969:-1 gene:ENSMUSG00000014554.13 transcript:ENSMUST00000113888.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dguok description:deoxyguanosine kinase [Source:MGI Symbol;Acc:MGI:1351602] MAAGRFLLRRLRASFRSPLRNALVDAPHARAMHDGGGPRRLCIEGNIAVGKSTFVKLLMK THPEWQVATEPIAEWQNIQAAGAQKDGTSKRLGNLLEMMYQEPARWSYTFQTLSFMSRLK VQLEPIPGRLLQAEKSVRVFERSVYSDRYIFAKNLFENGSLSDIEWHIYQDWHSFLLQEF ANRLLLHGFIYLQASPQVCMERLYQRDREEEKGIELAYLQQLHSQHEDWFINKTTK >ENSMUSP00000138197.1 pep:known chromosome:GRCm38:6:83480219:83506843:-1 gene:ENSMUSG00000014554.13 transcript:ENSMUST00000145455.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dguok description:deoxyguanosine kinase [Source:MGI Symbol;Acc:MGI:1351602] XSPLRNALVDAPHARAMHDGGGPRRLCIEGNIGWHFQTSWKLARNDVPGASTMVLHIPDP FFHEPSEGAAGAHPRETPAGREVCAGL >ENSMUSP00000146160.1 pep:known chromosome:GRCm38:6:83480309:83506821:-1 gene:ENSMUSG00000014554.13 transcript:ENSMUST00000138941.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dguok description:deoxyguanosine kinase [Source:MGI Symbol;Acc:MGI:1351602] XVDAPHARAMHDGGGPRRLCIEGNIAVGKSTFVKLLMKTHPEWQVATEPIAEWQNIQAAG AQKDGTSKRLGNLLEMMYQEPARWSYTFQTLSFMSRLKVQLEPIPGRLLQAEKSVRVFER SVYSDRYIFAKNLFENGSLSDIEWHIYQDWHSFLLQEFANRLLLHGFIYLQASPQEKESS RLLKCPDEKHERQGRGLYGETLPEG >ENSMUSP00000076769.4 pep:known chromosome:GRCm38:X:47809368:47892519:-1 gene:ENSMUSG00000031099.16 transcript:ENSMUST00000077569.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1935127] MEPDTATEAATVAVSDARATVVVVEDEQPGPSTFKEEGAAAAATEGTTATEKGEKKEKIT SPFQLKLAAKASKSEKEMDPEYEEKMVNMPLKADRAKRFEFLLKQTELFAHFIQPSAQKS PTSPLNMKLARPRVKKDDKQSLISVGDYRHRRTEQEEDEELLSESRKTSNVCVRFEVSPS YVKGGPLRDYQIRGLNWLISLYENGVNGILADEMGLGKTLQTIALLGYLKHYRNIPGPHM VLVPKSTLHNWMNEFKRWVPSLRVICFVGDKDVRAAFIRDEMMPGEWDVCVTSYEMVIKE KSVFKKFHWRYLVIDEAHRIKNEKSKLSEIVREFKSTNRLLLTGTPLQNNLHELWALLNF LLPDVFNSADDFDSWFDTKNCLGDQKLVERLHAVLKPFLLRRIKTDVEKSLPPKKEIKIY LGLSKMQREWYTKILMKDIDVLNSSGKMDKMRLLNILMQLRKCCNHPYLFDGAEPGPPYT TDEHIVGNSGKMVALDKLLARIKEQGSRVLIFSQMTRLLDILEDYCMWRGYEYSRLDGQT PHEEREEAIDAFNAPNSSKFIFMLSTRAGGLGINLASADVVILYDSDWNPQVDLQAMDRA HRIGQKKPVRVFRLITDNTVEERIVERAEIKLRLDSIVIQQGRLIDQQSNKLAKEEMLQM IRHGATHVFACKESELTDEDIVTILERGEKKTAEMNERMQKMGESSLRNFRMDLEQSLYK FEGEDYREKQKLGTVEWIEPPKRERKANYAVDAYFREALRVSEPKIPKAPRPPKQPNVQD FQFFPPRLFELLEKEILYYRKTIGYKVPRNPEIPNPAIAQREEQKKIDGAEPLTPQETEE KDKLLTQGFTNWTKRDFNQFIKANEKYGRDDIDNIAREVEGKSPEEVMEYSAVFWERCNE LQDIEKIMAQIERGEARIQRRISIKKALDAKIARYKAPFHQLRIQYGTSKGKNYTEEEDR FLICMLHKMGFDRENVYEELRQCVRNAPQFRFDWFIKSRTAMEFQRRCNTLISLIEKENM EIEERERAEKKKRATKTPMVKFSAFS >ENSMUSP00000099138.2 pep:known chromosome:GRCm38:X:47809370:47892519:-1 gene:ENSMUSG00000031099.16 transcript:ENSMUST00000101616.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1935127] MEPDTATEAATVAVSDARATVVVVEDEQPGPSTFKEEGAAAAATEGTTATEKGEKKEKIT SPFQLKLAAKASKSEKEMDPEYEEKMVNMPLKADRAKRFEFLLKQTELFAHFIQPSAQKS PTSPLNMKLARPRVKKDDKQSLISVGDYRHRRTEQEEDEELLSESRKTSNVCVRFEVSPS YVKGGPLRDYQIRGLNWLISLYENGVNGILADEMGLGKTLQTIALLGYLKHYRNIPGPHM VLVPKSTLHNWMNEFKRWVPSLRVICFVGDKDVRAAFIRDEMMPGEWDVCVTSYEMVIKE KSVFKKFHWRYLVIDEAHRIKNEKSKLSEIVREFKSTNRLLLTGTPLQNNLHELWALLNF LLPDVFNSADDFDSWFDTKNCLGDQKLVERLHAVLKPFLLRRIKTDVEKSLPPKKEIKIY LGLSKMQREWYTKILMKDIDVLNSSGKMDKMRLLNILMQLRKCCNHPYLFDGAEPGPPYT TDEHIVGNSGKMVALDKLLARIKEQGSRVLIFSQMTRLLDILEDYCMWRGYEYSRLDGQT PHEEREEAIDAFNAPNSSKFIFMLSTRAGGLGINLASADVVILYDSDWNPQVDLQAMDRA HRIGQKKPVRVFRLITDNTVEERIVERAEIKLRLDSIVIQQGRLIDQQSNKLAKEEMLQM IRHGATHVFACKESELTDEDIVTILERGEKKTAEMNERMQKMGESSLRNFRMDLEQSLYK FEGEDYREKQKLGTVEWIEPPKRERKANYAVDAYFREALRVSEPKIPKAPRPPKQPNVQD FQFFPPRLFELLEKEILYYRKTIGYKVPRNPEIPNPAIAQREEQKKIDGAEPLTPQETEE KDKLLTQGFTNWTKRDFNQFIKANEKYGRDDIDNIAREVEGKSPEEVMEYSAVFWERCNE LQDIEKIMAQIERGEARIQRRISIKKALDAKIARYKAPFHQLRIQYGTSKGKNYTEEEDR FLICMLHKMGFDRENVYEELRQCVRNAPQFRFDWFIKSRTAMEFQRRCNTLISLIEKENM EIEERERAEKKKRATKTPMSQKRKAESATESSGRKDVKKVKS >ENSMUSP00000086366.4 pep:known chromosome:GRCm38:X:47809633:47892552:-1 gene:ENSMUSG00000031099.16 transcript:ENSMUST00000088973.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1935127] MEPDTATEAATVAVSDARATVVVVEDEQPGPSTFKEEGAAAAATEGTTATEKGEKKEKIT SPFQLKLAAKASKSEKEMDPEYEEKMVNMPLKADRAKRFEFLLKQTELFAHFIQPSAQKS PTSPLNMKLARPRVKKDDKQSLISVGDYRHRRTEQEEDEELLSESRKTSNVCVRFEVSPS YVKGGPLRDYQIRGLNWLISLYENGVNGILADEMGLGKTLQTIALLGYLKHYRNIPGPHM VLVPKSTLHNWMNEFKRWVPSLRVICFVGDKDVRAAFIRDEMMPGEWDVCVTSYEMVIKE KSVFKKFHWRYLVIDEAHRIKNEKSKLSEIVREFKSTNRLLLTGTPLQNNLHELWALLNF LLPDVFNSADDFDSWFDTKNCLGDQKLVERLHAVLKPFLLRRIKTDVEKSLPPKKEIKIY LGLSKMQREWYTKILMKDIDVLNSSGKMDKMRLLNILMQLRKCCNHPYLFDGAEPGPPYT TDEHIVGNSGKMVALDKLLARIKEQGSRVLIFSQMTRLLDILEDYCMWRGYEYSRLDGQT PHEEREEAIDAFNAPNSSKFIFMLSTRAGGLGINLASADVVILYDSDWNPQVDLQAMDRA HRIGQKKPVRVFRLITDNTVEERIVERAEIKLRLDSIVIQQGRLIDQQSNKLAKEEMLQM IRHGATHVFACKESELTDEDIVTILERGEKKTAEMNERMQKMGESSLRNFRMDLEQSLYK FEGEDYREKQKLGTVEWIEPPKRERKANYAVDAYFREALRVSEPKIPKAPRPPKQPNVQD FQFFPPRLFELLEKEILYYRKTIGYKVPRNPEIPNPAIAQREEQKKIDGAEPLTPQETEE KDKLLTQGFTNWTKRDFNQFIKANEKYGRDDIDNIAREVEGKSPEEVMEYSAVFWERCNE LQDIEKIMAQIERGEARIQRRISIKKALDAKIARYKAPFHQLRIQYGTSKGKNYTEEEDR FLICMLHKMGFDRENVYEELRQCVRNAPQFRFDWFIKSRTAMEFQRRCNTLISLIEKENM EIEERERAEKKKRATKTPMVKFSAFS >ENSMUSP00000114296.2 pep:known chromosome:GRCm38:X:47841930:47892396:-1 gene:ENSMUSG00000031099.16 transcript:ENSMUST00000153548.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1935127] MEPDTATEAATVAVSDARATVVVVEDEQPGPSTFKEEGAAAAATEGTTATEKGEKKEKIT SPFQLKLAAKASKSEKEMDPEYEEKMKADRAKRFEFLLKQTELFAHFIQPSAQKSPTSPL NMKLARPRVKKDDKQSLISVGDYRHRRTEQEEDEELLSESRKTSNVCVRFEVSPSYVKGG PLRDYQIRGLNWLISLYENGVNGILADEMGLGKTLQTIALLGYLKHYRNIPGPHMVLVPK STLHNWMNEFKRWVPSLRVICFVGDKDVRAAFIRDEMMPGEWDVCVTSYEMVIKEKSVFK KFHWRYLVIDEAHRIKNEKSKLSEIVREFKSTNRLLLTGTPLQNNLHELWALLNFLLPDV FNSADDFDSWFDTKNCLGDQKLVERLHAVLKPFLLRRIKTDVEKSLPPKKEIKIYLGLSK MQREWYTKILMKDIDVLNSSGKMDKMRLLNILMQLRKCCNHPYLFDGAEPGPPYTTDEHI VGNSGKMVALDKLLARIKEQGSRVLIFSQMTRLLDILEDYCMWRGYEYSRLDGQTPHEER EDKFLEVELLGQREAIDAFNAPNSSKFIFMLSTRAGGLGINLASADVVILYDSDWNPQVD LQAMDRAHRIGQKKPVRVFRLITDNTVEERIVERAEIKLRLDSIVIQQGRLIDQQSNKLA KEEMLQMIRHGATHVFACKESELTDEDIVTILERGEKKTAEMNERMQKMGESSLRNFRMD LEQSLYKFEGEDYREKQKLGTVEWIEPPKRERKANYAVDAYFREALRV >ENSMUSP00000135570.1 pep:known chromosome:GRCm38:X:47874330:47892432:-1 gene:ENSMUSG00000031099.16 transcript:ENSMUST00000141084.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1935127] MEPDTATEAATVAVSDARATVVVVEDEQPGPSTFKEEGAAAAATEGTTATEKGEKKEKIT SPFQLKLAAKASKSEKEMDPEYEEKMKADRAKRFEFLLKQTELFAHFIQPSAQKSPTSPL NMKLARPRVKKDDKQSLISVGDYRHRRTEQEEDEELLSESRKTSNVCVRFEVSPSYVKGG PLRDYQIRGLNWLISLYENGVNGILADEMGLGKTLQTIALLGYLKHYRNIPGPHMVLVPK STLHNWMNEFKRWVPSLRVICFVGDKDVRAAFIRDEMMPGEWDVCVTSYEMVIKEKSVFK KFHWRYLVIDEAHRIKNEKSKLSEIVREFKSTNRLLLTGTPLQNNLHELWALLNFLLPDV FNSADVSLKQSICVRGSGAQG >ENSMUSP00000116209.1 pep:known chromosome:GRCm38:X:47887141:47892941:-1 gene:ENSMUSG00000031099.16 transcript:ENSMUST00000153587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1935127] MQRKTNSTHKQRSSLCKKGRAPQGTSLLPFLVPPRLSRSNAMEPDTATEAATVAVSDARA TVVVVEDEQPGPSTFKEEGAAAAATEGTTATEKGEKKEKITSPFQLKLAAKASKSEK >ENSMUSP00000121309.1 pep:known chromosome:GRCm38:19:6363690:6378030:1 gene:ENSMUSG00000024949.17 transcript:ENSMUST00000131252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:splicing factor 1 [Source:MGI Symbol;Acc:MGI:1095403] MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIED LTRKLRTGDLGIPPNPEDRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHTLITEMVALN PDFKPPADYKPPATRVSDKVMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGK GSVKEGKVGRKDGQMLPGEDEPLHALVTANTMENVKKAVEQIRNILKQGIETPEDQNDLR KMQLRELARLNGTLREDDNRILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDP QSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMS TTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGP PPPWMQPPPPPMNQGPHPPGHHGPPPMDQYLGSTPVGSGVYRLHQGKGMMPPPPMGMMPP PPPPPSGQPPPPPSGPLPPWQQQQQQPPPPPPPSSSMASSTPLPWQQNTTTTTTSAGTGS IPPWQQQQAAAAASPGTPQMQGNPTMVPLPPGVQPPLPPGAPPPPPPPPPGSAGMMYAPP PPPPPPMDPSNFVTMMGMGVAGMPPFGMPPAPPPPPPQN >ENSMUSP00000109117.1 pep:known chromosome:GRCm38:19:6363719:6377203:1 gene:ENSMUSG00000024949.17 transcript:ENSMUST00000113489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:splicing factor 1 [Source:MGI Symbol;Acc:MGI:1095403] MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIED LTRKLRTGDLGIPPNPEDRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHTLITEMVALN PDFKPPADYKPPATRVSDKVMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGK GSVKEGKVGRKDGQMLPGEDEPLHALVTANTMENVKKAVEQIRNILKQGIETPEDQNDLR KMQLRELARLNGTLREDDNRILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDP QSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMS TTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGP PPPWMQPPPPPMNQGPHPPGHHGPPPMGKSVPGKYACGLWGLSPASRKRYDAAAAYGHDA AASATSQWAAPASSLWSSSSMAAAAAAASATPSAQQQYGFQHPLAMAAKIPPRGSDGPSH ESEDFPRPLVTLPGRQPQQRPWWTGWFGKAA >ENSMUSP00000109116.1 pep:known chromosome:GRCm38:19:6363810:6376512:1 gene:ENSMUSG00000024949.17 transcript:ENSMUST00000113488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:splicing factor 1 [Source:MGI Symbol;Acc:MGI:1095403] MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIED LTRKLRTGDLGIPPNPEDRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHTLITEMVALN PDFKPPADYKPPATRVSDKVMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGK GSVKEGKVGRKDGQMLPGEDEPLHALVTANTMENVKKAVEQIRNILKQGIETPEDQNDLR KMQLRELARLNGTLREDDNRILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDP QSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMS TTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGP PPPWMQPPPPPMNQGPHPPGHHGPPPMDQYLGSTPVGSGVYRLHQGKGMMPPPPMGMMPP PPPPPSGQPPPPPSGPLPPWQQQQQQPPPPPPPSSSMASSTPLPWQQNTTTTTTSAGTGS IPPWQQQQAAAAASPGTPQMQGNPTMVPLPPGVQPPLPPGAPPPPPPPPPGSAGMMIPPR GSDGPSHESEDFPRPLVTLPGRQPQQRPWWTGWFGKAA >ENSMUSP00000109115.1 pep:known chromosome:GRCm38:19:6363922:6377196:1 gene:ENSMUSG00000024949.17 transcript:ENSMUST00000113487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:splicing factor 1 [Source:MGI Symbol;Acc:MGI:1095403] MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIED LTRKLRTGDLGIPPNPEDRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHTLITEMVALN PDFKPPADYKPPATRVSDKVMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGK GSVKEGKVGRKDGQMLPGEDEPLHALVTANTMENVKKAVEQIRNILKQGIETPEDQNDLR KMQLRELARLNGTLREDDNRILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDP QSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMS TTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGP PPPWMQPPPPPMNQGPHPPGHHGPPPMDQYLGSTPVGSGVYRLHQGKGMMPPPPMGMMPP PPPPPSGQPPPPPSGPLPPWQQQQQQPPPPPPPSSSMASSTPLPWQQRSLPAAAMARAMR VRTFRAHW >ENSMUSP00000109113.2 pep:known chromosome:GRCm38:19:6363922:6377202:1 gene:ENSMUSG00000024949.17 transcript:ENSMUST00000113485.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sf1 description:splicing factor 1 [Source:MGI Symbol;Acc:MGI:1095403] MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIGPLPLNQ STTARGSGLTLESSVPAKSLKRSGTPLLQRWLLSTQTLNHLQITSLQQHV >ENSMUSP00000123175.1 pep:known chromosome:GRCm38:19:6364194:6372193:1 gene:ENSMUSG00000024949.17 transcript:ENSMUST00000144409.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sf1 description:splicing factor 1 [Source:MGI Symbol;Acc:MGI:1095403] MEQKTVIPGMPTVIPPGLTREQERAYIGPLPLNQSTTARGSGLTLESSVPAKSLKRSGTP LLQRWLLSTQTLNHLQITSLQQHV >ENSMUSP00000114438.1 pep:known chromosome:GRCm38:19:6364557:6372619:1 gene:ENSMUSG00000024949.17 transcript:ENSMUST00000155973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:splicing factor 1 [Source:MGI Symbol;Acc:MGI:1095403] MEQKTVIPGMPTVIPPGLTREQERAYIVQLQIEDLTRKLRTGDLGIPPNPEDRSPSPEPI YNSEGKRLNTREFRTRKKLEEERHTLITEMVALNPDFKPPADYKPPATRVSDKVMIPQDE YPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGKGSVKEGKVGRKDGQMLPGEDEPLHAL VTANTMENVKKAVEQIRNILKQGIETPEDQNDLRKMQLRELARLNGTLREDDNRILRPWQ SSETRSITNTTVCTKCGGAGHIASDCK >ENSMUSP00000117172.1 pep:known chromosome:GRCm38:19:6373621:6376654:1 gene:ENSMUSG00000024949.17 transcript:ENSMUST00000125516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:splicing factor 1 [Source:MGI Symbol;Acc:MGI:1095403] XYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMSTTQSRPPWMNS GPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGPPPPWMQPPPPP MNQGPHPPGHHGPPPMDQYLGSTPVGSGVYRLHQGKAAAAAASATPSAQQQYGFQHPLAM AAKIPPRGSDGPSHESEDFPRPLVTLPGRQPQQRPWWTGWFGKAA >ENSMUSP00000114788.1 pep:known chromosome:GRCm38:19:6374010:6376512:1 gene:ENSMUSG00000024949.17 transcript:ENSMUST00000124667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:splicing factor 1 [Source:MGI Symbol;Acc:MGI:1095403] SLMSTTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHN PNGPPPPWMQPPPPPMNQGPHPPGHHGPPPMVPALSFHQDQYLGSTPVGSGVYRLHQGKG MMPPPPMGMMPPPPPPPSGQPPPPPSGPLPPWQQQQQQPPPPPPPSSSMASSTPLPWQQR SLPAAAMARAMRVRTFRAHW >ENSMUSP00000124590.1 pep:known chromosome:GRCm38:19:6374129:6375780:1 gene:ENSMUSG00000024949.17 transcript:ENSMUST00000162237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:splicing factor 1 [Source:MGI Symbol;Acc:MGI:1095403] XFPHPLPSLTGGHGGHPMQHNPNGPPPPWMQPPPPPMNQGPHPPGHHGPPPMVPGKYACG LWGLSPASRKRYDAAAAYGHDAAASATSQWAAPASSLWSSSSMAAAAAAASATPSAQQQY GFQHPLAMAAKYDDYHHERWHRVHPAMATAAGGCRSFSRNPSDARQPHYGAP >ENSMUSP00000028683.7 pep:known chromosome:GRCm38:2:121413775:121438687:1 gene:ENSMUSG00000027248.13 transcript:ENSMUST00000028683.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdia3 description:protein disulfide isomerase associated 3 [Source:MGI Symbol;Acc:MGI:95834] MRFSCLALLPGVALLLASARLAAASDVLELTDENFESRVSDTGSAGLMLVEFFAPWCGHC KRLAPEYEAAATRLKGIVPLAKVDCTANTNTCNKYGVSGYPTLKIFRDGEEAGAYDGPRT ADGIVSHLKKQAGPASVPLRTEEEFKKFISDKDASVVGFFRDLFSDGHSEFLKAASNLRD NYRFAHTNIESLVKEYDDNGEGITIFRPLHLANKFEDKTVAYTEKKMTSGKIKKFIQDSI FGLCPHMTEDNKDLIQGKDLLTAYYDVDYEKNAKGSNYWRNRVMMVAKKFLDAGHKLNFA VASRKTFSHELSDFGLESTTGEVPVVAIRTAKGEKFVMQEEFSRDGKALEQFLQEYFDGN LKRYLKSEPIPESNEGPVKVVVAENFDDIVNEEDKDVLIEFYAPWCGHCKNLEPKYKELG EKLSKDPNIVIAKMDATANDVPSPYEVKGFPTIYFSPANKKLTPKKYEGGRELNDFISYL QREATNPPIIQEEKPKKKKKAQEDL >ENSMUSP00000119337.1 pep:known chromosome:GRCm38:2:121414108:121433700:1 gene:ENSMUSG00000027248.13 transcript:ENSMUST00000135079.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdia3 description:protein disulfide isomerase associated 3 [Source:MGI Symbol;Acc:MGI:95834] ASDVLELTDENFESRVSDTGSAGLMLVEFFAPWCGHCKRLAPEYEAAATRLKGIVPLAKV DCTANTNTCNKYGVSGYPTLKIFRDGEEAGAYDGPRTAGGSLYSVHYILLTSLKTKLWHI LKRK >ENSMUSP00000029845.8 pep:known chromosome:GRCm38:3:145758675:145894277:1 gene:ENSMUSG00000028194.15 transcript:ENSMUST00000029845.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddah1 description:dimethylarginine dimethylaminohydrolase 1 [Source:MGI Symbol;Acc:MGI:1916469] MAGLGHPSAFGRATHAVVRAPPESLCRHALRRSQGEEVDFARAERQHELYVGVLGSKLGL QVVQLPADESLPDCVFVEDVAVVCEETALITRPGAPSRRKEVDMMKEALEKLQLNIVEMK DENATLDGGDVLFTGREFFVGLSKRTNQRGAEILADTFKDYAVSTVPVADSLHLKSFCSM AGPNLIAIGSSESAQKALKIMQQMSDHRYDKLTVPDDMAANCIYLNIPSKGHVLLHRTPE EYPESAKVYEKLKDHLLIPVSNSEMEKVDGLLTCCSVFINKKIDS >ENSMUSP00000112747.1 pep:known chromosome:GRCm38:3:145760748:145893266:1 gene:ENSMUSG00000028194.15 transcript:ENSMUST00000120310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddah1 description:dimethylarginine dimethylaminohydrolase 1 [Source:MGI Symbol;Acc:MGI:1916469] MMKEALEKLQLNIVEMKDENATLDGGDVLFTGREFFVGLSKRTNQRGAEILADTFKDYAV STVPVADSLHLKSFCSMAGPNLIAIGSSESAQKALKIMQQMSDHRYDKLTVPDDMAANCI YLNIPSKGHVLLHRTPEEYPESAKVYEKLKDHLLIPVSNSEMEKVDGLLTCCSVFINKKI DS >ENSMUSP00000079575.2 pep:known chromosome:GRCm38:15:84379203:84447097:-1 gene:ENSMUSG00000062760.10 transcript:ENSMUST00000080751.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810041L15Rik description:RIKEN cDNA 1810041L15 gene [Source:MGI Symbol;Acc:MGI:1919551] MMTSCGQRSRNVLAVFSLLFPAVLSAHFRVCEPYTDHKGRYHFGFHCPRLSDNKTFVLCC HHNNTVFKYCCNETEFQAVMQANLTAGPEGYMHNNYTALLGVWIYGFFVLTLLVLDLLYY SAMNYDICKVYLTRWGIQGRWMKQDPRRWGNPARAPRPGQPAPQPQPPPGTLPQAPQAVH TLRGDTHSPPLMTFQSSSA >ENSMUSP00000141117.1 pep:known chromosome:GRCm38:15:84380047:84446545:-1 gene:ENSMUSG00000062760.10 transcript:ENSMUST00000189248.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810041L15Rik description:RIKEN cDNA 1810041L15 gene [Source:MGI Symbol;Acc:MGI:1919551] MEAFSQLIFPGSAGRVNASRMMTSCGQRSRNVLAVFSLLFPAVLSAHFRVCEPYTDHKGR YHFGFHCPRLSDNKTFVLCCHHNNTVFKYCCNETEFQAVMQANLTAGPEGYMHNNYTALL GVWIYGFFVLTLLVLDLLYYSAMNYDICKVYLTRWGIQGRWMKQDPRRWGNPARAPRPGQ PAPQPQPPPGTLPQAPQAVHTLRGDTHSPPLMTFQSSSA >ENSMUSP00000140712.1 pep:known chromosome:GRCm38:15:84406569:84446610:-1 gene:ENSMUSG00000062760.10 transcript:ENSMUST00000189994.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810041L15Rik description:RIKEN cDNA 1810041L15 gene [Source:MGI Symbol;Acc:MGI:1919551] MMTSCGQRSRNVLAVFSLLFPAVLSAHFRVCEPYTDHKGRYHFGFHCPRLSDNKTFVLCC HHNNTVFKYCCNETEFQAVMQANLTAGPEGYMHNNYTALLGVWIYGFFVLTLLVLDLLYY SAMNYDICKVYLTRWGIQGRWMKQDPRRWGNPARAPRPG >ENSMUSP00000140964.1 pep:known chromosome:GRCm38:15:84417253:84443697:-1 gene:ENSMUSG00000062760.10 transcript:ENSMUST00000186527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810041L15Rik description:RIKEN cDNA 1810041L15 gene [Source:MGI Symbol;Acc:MGI:1919551] MMTSCGQRSRNVLAVFSLLFPAVLSAHFRVCEPYTDHKGRYH >ENSMUSP00000113014.1 pep:known chromosome:GRCm38:14:55560006:55570065:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000117236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQVLAYLLRRGQVRLVQG RGAANLQLIQALSDSEEEHDSAWDGRLGDRYNPPVDATPDTRELEYNEIKTQVGLATGRL GLRRTALQQSFPQMLHQRERGLCHRGSFSLGEQSRVMSHFLPNDLSFTDTYSQKAFCGIY SKDGQIFMSACQDQTIRLYDCRYGRFHKFKSIKARDVGWSVLDVAFTPDGNHFLYSSWSD YIHICNIYGEGDTHTALDLRPDERRFAVFSIAVSSDGREVLGGANDGCLYVFDREQNRRT LQIESHEDDVNAVAFADISSQILFSGGDDAICKVWDRRTMREDDPKPVGALAGHQDGITF IDSKGDARYLISNSKDQTIKLWDIRRFSSREGMEASRLAATQQNWDYRWQQVPKIAWKKL KLPGDSSLMTYRGHGVLHTLIRCRFSPAHSTGQQFIYSGCSTGKVVVYDLLSGHIVKKLT NHKACVRDVSWHPFEEKIVSSSWDGNLRLWQYRQAEYFQDDMPESDMNRVCSSGPTPVPC PSVAFSSPQ >ENSMUSP00000123453.1 pep:known chromosome:GRCm38:14:55560018:55561441:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000147981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQVLAYLLR >ENSMUSP00000118404.1 pep:known chromosome:GRCm38:14:55560025:55562933:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000133256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQVLAYLLRRGQVRLVQG RGAAN >ENSMUSP00000117617.1 pep:known chromosome:GRCm38:14:55560062:55570064:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000150019.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQRPSETGARKRCSKFTA HPGPLGLRGRA >ENSMUSP00000113202.1 pep:known chromosome:GRCm38:14:55560512:55569931:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000121622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQVLAYLLRRGQVRLVQG RGAANLQLIQALSDSEEEHDSAWDGRLGDRYNPPVDATPDTRELEYNEIKTQVGLATGRL GLRRTALQQSFPQMLHQRERGLCHRGSFSLGEQSRVMSHFLPNDLSFTDTYSQKAFCGIY SKDGQIFMSACQDQTIRLYDCRYGRFHKFKSIKARDVGWSVLDVAFTPDGNHFLYSSWSD YIHICNIYGEGDTHTALDLRPDERRFAVFSIAVSSDGREVLGGANDGCLYVFDREQNRRT LQIESHEDDVNAVAFADISSQILFSGGDDAICKVWDRRTMREDDPKPVGALAGHQDGITF IDSKGDARYLISNSKDQTIKLWDIRRFSSREGMEASRLAATQQNWDYRWQQVPKIAWKKL KLPGDSSLMTYRGHGVLHTLIRCRFSPAHSTGQQFIYSGCSTGKVVVYDLLSGHIVKKLT NHKACVRDVSWHPFEEKIVSSSWDGNLRLWQYRQAEYFQDDMPESDMNRVCSSGPTPVPC PSVAFSSPQ >ENSMUSP00000118762.1 pep:known chromosome:GRCm38:14:55560518:55561443:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000143431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQVLAYLLRR >ENSMUSP00000119001.1 pep:known chromosome:GRCm38:14:55560533:55564403:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000150481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQVLAYLLRRGQVRLVQG RGAANLQLIQALSDSEEEHDSAWDGRLGDRYNPPVDATPDTRELEYNEIKTQVGLATGRL GLRRTALQQSFPQMLHQRERGLCHRGSFSLGEQSRVMSHFLPNDLSFTDTYSQKAFCGIY SKDGQIFMSACQDQTIRLYDCRY >ENSMUSP00000120296.1 pep:known chromosome:GRCm38:14:55560534:55570061:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000152681.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQRPSETGARKRCSKFTA HPGPLGLRGRA >ENSMUSP00000072344.4 pep:known chromosome:GRCm38:14:55560929:55570062:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000072530.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQVLAYLLRRGQVRLVQG RGAANLQLIQALSDSEEEHDSAWDGRLGDRYNPPVDATPDTRELEYNEIKTQVGLATGRL GLRRTALQQSFPQMLHQRERGLCHRGSFSLGEQSRVMSHFLPNDLSFTDTYSQKAFCGIY SKDGQIFMSACQDQTIRLYDCRYGRFHKFKSIKARDVGWSVLDVAFTPDGNHFLYSSWSD YIHICNIYGEGDTHTALDLRPDERRFAVFSIAVSSDGREVLGGANDGCLYVFDREQNRRT LQIESHEDDVNAVAFADISSQILFSGGDDAICKVWDRRTMREDDPKPVGALAGHQDGITF IDSKGDARYLISNSKDQTIKLWDIRRFSSREGMEASRLAATQQNWDYRWQQVPKIAWKKL KLPGDSSLMTYRGHGVLHTLIRCRFSPAHSTGQQFIYSGCSTGKVVVYDLLSGHIVKKLT NHKACVRDVSWHPFEEKIVSSSWDGNLRLWQYRQAEYFQDDMPESDMNRVCSSGPTPVPC PSVAFSSPQ >ENSMUSP00000114211.1 pep:known chromosome:GRCm38:14:55560971:55563780:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000128490.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQVLAYLLRRGQVRLVQG RGAANLQLIQALSDSEEEHDSAWDGRLGDRYNPPVDATPDTRELEYNEIKTQVGLATGRL GLRRTALQQSFPQMLHQRERGLCHRGSFSLGEQSRVM >ENSMUSP00000113620.1 pep:known chromosome:GRCm38:14:55561067:55569931:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000117701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQVLAYLLRRPSRTQRKS MTVPGMVALEIDITHPWMRPPTPGSWNTMRSRHRWDWPRGGWDFGGLRCSRVFRRCYTSF LPNDLSFTDTYSQKAFCGIYSKDGQIFMSACQDQTIRLYDCRYGRFHKFKSIKARDVGWS VLDVAFTPDGNHFLYSSWSDYIHICNIYGEGDTHTALDLRPDERRFAVFSIAVSSDGREV LGGANDGCLYVFDREQNRRTLQIESHEDDVNAVAFADISSQILFSGGDDAICKVWDRRTM REDDPKPVGALAGHQDGITFIDSKGDARYLISNSKDQTIKLWDIRRFSSREGMEASRLAA TQQNWDYRWQQVPKIAWKKLKLPGDSSLMTYRGHGVLHTLIRCRFSPAHSTGQQFIYSGC STGKVVVYDLLSGHIVKKLTNHKACVRDVSWHPFEEKIVSSSWDGNLRLWQYRQAEYFQD DMPESDMNRVCSSGPTPVPCPSVAFSSPQ >ENSMUSP00000121570.1 pep:known chromosome:GRCm38:14:55561067:55569931:1 gene:ENSMUSG00000022214.14 transcript:ENSMUST00000143375.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcaf11 description:DDB1 and CUL4 associated factor 11 [Source:MGI Symbol;Acc:MGI:90168] MGSRNSSSAGSGSLEPSEGLSRRGTGLRRSEEEEEEDEDVDLAQVLAYLLRRGQVRLVQG RGAANLQLIQALSDSEEEHDSAWDGRLGDRYNPPVDATPDTRELEYNEIKTQVGLATGRL GLRRTALQQSFPQMLHQLLAQ >ENSMUSP00000041288.8 pep:known chromosome:GRCm38:2:180042509:180070201:1 gene:ENSMUSG00000039086.9 transcript:ENSMUST00000041126.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ss18l1 description:synovial sarcoma translocation gene on chromosome 18-like 1 [Source:MGI Symbol;Acc:MGI:2444061] MSVAFASARPRGKGEVTQQTIQKMLDENHHLIQCILDYQSKGKTAECTQYQQILHRNLVY LATIADSNQNMQSLLPAPPTQNMNLGPGALSQSGSSQGLHPQGSLSDTVSTGLPPASLMQ GQIGNGPNHVSMQQTAQSTLPTTSMSLSGSGHGTGPGYSHSGPTSQSVPMQGQGAISNYV SRTNINMQSNPVSMMHQQAATSHYNSAQGGSQHYQGQAPIAMMGQGGQGGSMMGQRPMAP YRPSQQGSSQQYLGQEEYYSEQYSHSQGSAEPMSQQYYPDGHGDYAYQQSSYTEQSYDRS FEDPTQHYYEGGNSQYSQQQAGYQQGTAQQQTYSQQQYPNQQSYPGQQQGYGPAQGAPSQ YSSYQQGQGQQYGSYRTSQTGPSAQQQRPYGYEQGQYGNYQQ >ENSMUSP00000123848.1 pep:known chromosome:GRCm38:15:100691813:100729376:-1 gene:ENSMUSG00000037280.12 transcript:ENSMUST00000159715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt6 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 6 [Source:MGI Symbol;Acc:MGI:1891640] MRLLRRRHMSLRLAMLGSVFMLFLFIRQKDVSNQEQAMEKPWLKSLAGQKDQVLDFMLGA VNNIRDVMPKLQIRAPEPPQTLVSTNHSCLPGFYTPAELKPFWDRPPQDPNSPGADGKAF QKKEWTNLETKEKEEGYKKHCFNAFASDRISLQRSLGPDTRPPECVDQKFRRCPPLPTTS VIIVFHNEAWSTLLRTVYSVLHTSPAILLKEIILVDDASTDEHLKERLEQYVQQLQIVRV VRQRERKGLITARLLGASVAQAEVLTFLDAHCECFHGWLEPLLARIAEDKTAVVSPDIVT IDLNTFQFSRPVQRGKAHSRGNFDWSLTFGWEMLPEHEKQRRKDETYPIKSPTFAGGLFS ISKAYFEHIGTYDNQMEIWGGENVEMSFRVWQCGGQLEIIPCSVVGHVFRTKSPHTFPKG TSVIARNQVRLAEVWMDDYKKIFYRRNLQAAKMVQENNFGDISERLRLREQLRCHNFSWY LHNVYPEMFVPDLNPTFYGAIKNLGTNQCLDVGENNRGGKPLIMYVCHNLGGNQYFEYTS QRDLRHNIGKQLCLHASGSTLGLRSCQFVGKNSRVPKDEEWELTQDQLIRNSGSGTCLTS QDKKPAMAPCNPRDPYQLWLFV >ENSMUSP00000056705.5 pep:known chromosome:GRCm38:15:100691815:100729376:-1 gene:ENSMUSG00000037280.12 transcript:ENSMUST00000052069.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt6 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 6 [Source:MGI Symbol;Acc:MGI:1891640] MRLLRRRHMSLRLAMLGSVFMLFLFIRQKDVSNQEQAMEKPWLKSLAGQKDQVLDFMLGA VNNIRDVMPKLQIRAPEPPQTLVSTNHSCLPGFYTPAELKPFWDRPPQDPNSPGADGKAF QKKEWTNLETKEKEEGYKKHCFNAFASDRISLQRSLGPDTRPPECVDQKFRRCPPLPTTS VIIVFHNEAWSTLLRTVYSVLHTSPAILLKEIILVDDASTDEHLKERLEQYVQQLQIVRV VRQRERKGLITARLLGASVAQAEVLTFLDAHCECFHGWLEPLLARIAEDKTAVVSPDIVT IDLNTFQFSRPVQRGKAHSRGNFDWSLTFGWEMLPEHEKQRRKDETYPIKSPTFAGGLFS ISKAYFEHIGTYDNQMEIWGGENVEMSFRVWQCGGQLEIIPCSVVGHVFRTKSPHTFPKG TSVIARNQVRLAEVWMDDYKKIFYRRNLQAAKMVQENNFGDISERLRLREQLRCHNFSWY LHNVYPEMFVPDLNPTFYGAIKNLGTNQCLDVGENNRGGKPLIMYVCHNLGGNQYFEYTS QRDLRHNIGKQLCLHASGSTLGLRSCQFVGKNSRVPKDEEWELTQDQLIRNSGSGTCLTS QDKKPAMAPCNPRDPYQLWLFV >ENSMUSP00000124793.1 pep:known chromosome:GRCm38:15:100692864:100720477:-1 gene:ENSMUSG00000037280.12 transcript:ENSMUST00000161514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt6 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 6 [Source:MGI Symbol;Acc:MGI:1891640] MRLLRRRHMSLRLAMLGSVFMLFLFIRQKDVSNQEQAMEKPWLKSLAGQKDQVLDFMLGA VNNIRDVMPKLQIRAPEPPQTLVSTNHSCLPGFYTPAELKPFWDRPPQDPNSPGADGKAF QKKEWTNLETKEKEEGYKKHCFNAFASDRISLQRSLGPDTRPPECVDQKFRRCPPLPTTS VIIVFHNEAWSTLLRTVYSVLHTSPAILLKEIILVDDASTDEHLKERLEQYVQQLQIVRV VRQRERKGLITARLLGASVAQAEVLTFLDAHCECFHGWLEPLLARIAEDKTAVVSPDIVT IDLNTFQFSRPVQRGKAHSRGNFDWSLTFGWEMLPEHEKQRRKDETYPIKSPTFAGGLFS ISKAYFEHIGTYDNQMEIWGGENVEMSFRVWQCGGQLEIIPCSVVGHVFRTKSPHTFPKG TSVIARNQVRLAEVWMDDYKKIFYRRNLQAAKMVQENNFGDISERLRLREQLRCHNFSWY LHNVYPEMFVPDLNPTFYGAIKNLGTNQCLDVGENNRGGKPLIMYVCHNLGGNQYFEYTS QRDLRHNIGKQLCLHASGSTLGLRSCQFVGKNSRVPKDEEWELTQDQLIRNSGSGTCLTS QDKKPAMAPCNPRDPYQLWLFV >ENSMUSP00000073114.5 pep:known chromosome:GRCm38:7:81494275:81498281:-1 gene:ENSMUSG00000061877.13 transcript:ENSMUST00000073406.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048679 description:cDNA sequence BC048679 [Source:MGI Symbol;Acc:MGI:3510776] MSFKCQSLISTQTLVGASSTIQFFFSEALMGQNFQEVELENYEPQPGDLFLFKLQTPKAQ WCGAHVGVYCGQGEIIHFEGAVALTLGCWRAACEKLWTTILLPTIPLAATVCTLH >ENSMUSP00000120616.1 pep:known chromosome:GRCm38:7:81494275:81498281:-1 gene:ENSMUSG00000061877.13 transcript:ENSMUST00000144156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048679 description:cDNA sequence BC048679 [Source:MGI Symbol;Acc:MGI:3510776] MSFKCQSLISTQTLVGASSTIQFFFSEALMGQNFQEVELENYEPQPGDLFLFKLQTPKAQ WCGAHVGVYCGQGEIIHFEGRTSNQNGVQTFLGYCEGVVCKQGHRALQRSRQLWRVLRRR GGVDPRVLESRVREAMDNDPPPYHPTSSNCVHFALNLLGMDSMSMDRNLTSD >ENSMUSP00000147131.1 pep:known chromosome:GRCm38:7:81494320:81498271:-1 gene:ENSMUSG00000061877.13 transcript:ENSMUST00000207871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048679 description:cDNA sequence BC048679 [Source:MGI Symbol;Acc:MGI:3510776] MSFKCQTLVGASSTIQFFFSEALMGQNFQEVELENYEPQPGDLFLFKLQTPKAQWCGAHV GVYCGQGEIIHFEGRTSNQNGVQTFLGYCEGVVCKQGHRALQRSRQLWRVLRRRGGVDPR VLESRVREAMDNDPPPYHPTSSNCVHFALNLLGMDSMSMDRNLTSD >ENSMUSP00000068468.8 pep:known chromosome:GRCm38:7:128062640:128118491:1 gene:ENSMUSG00000030786.18 transcript:ENSMUST00000064821.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgam description:integrin alpha M [Source:MGI Symbol;Acc:MGI:96607] MTLKALLVTALALCHGFNLDTEHPMTFQENAKGFGQSVVQLGGTSVVVAAPQEAKAVNQT GALYQCDYSTSRCHPIPLQVPPEAVNMSLGLSLAVSTVPQQLLACGPTVHQNCKENTYVN GLCYLFGSNLLRPPQQFPEALRECPQQESDIVFLIDGSGSINNIDFQKMKEFVSTVMEQF KKSKTLFSLMQYSDEFRIHFTFNDFKRNPSPRSHVSPIKQLNGRTKTASGIRKVVRELFH KTNGARENAAKILVVITDGEKFGDPLDYKDVIPEADRAGVIRYVIGVGNAFNKPQSRREL DTIASKPAGEHVFQVDNFEALNTIQNQLQEKIFAIEGTQTGSTSSFEHEMSQEGFSASIT SNGPLLGSVGSFDWAGGAFLYTSKDKVTFINTTRVDSDMNDAYLGYASAVILRNRVQSLV LGAPRYQHIGLVVMFRENFGTWEPHTSIKGSQIGSYFGASLCSVDMDADGNTNLILIGAP HYYEKTRGGQVSVCPLPRGQRARWQCEALLHGDQGHPWGRFGAALTVLGDVNGDKLTDVA IGAPGEQENQGAVYIFYGASIASLSASHSQRIIGAHFSPGLQYFGQSLSGGKDLTMDGLM DLAVGAQGHLLLLRAQPVLRLEATMEFSPKKVARSVFACQEQVLKNKDAGEVRVCLRVRK NTKDRLREGDIQSTVTYDLALDPGRSRIRAFFDETKNNTRRRTQVFGLMQKCETLKLILP DCVDDSVSPIILRLNYTLVGEPLRSFGNLRPVLAMDAQRFFTAMFPFEKNCGNDSICQDD LSITMSAMGLDTLVVGGPQDFNMSVTLRNDGEDSYGTQVTVYYPSGLSYRKDSASQNPLT KKPWFVKPAESSSSSEGHGALKSTTWNINHPIFPANSEVTFNVTFDVDSHASFGNKLLLK AIVASENNMSRTHKTKFQLELPVKYAIYMIVTSDESSIRYLNFTASEMTSKVIQHQYQFN NLGQRSLPVSVVFWIPVQINNVTVWDHPQVIFSQNLSSACHTEQKSPPHSNFRDQLERTP VLNCSVAVCKRIQCDLPSFNTQEIFNVTLKGNLSFDWYIKTSHGHLLLVSSTEILFNDSA FALLPGQESYVRSKTETKVEPYEVHNPVPLIVGSSIGGLVLLALITAGLYKLGFFKRQYK DMMNEAAPQDAPPQ >ENSMUSP00000101849.4 pep:known chromosome:GRCm38:7:128062669:128118490:1 gene:ENSMUSG00000030786.18 transcript:ENSMUST00000106242.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgam description:integrin alpha M [Source:MGI Symbol;Acc:MGI:96607] MTLKALLVTALALCHGFNLDTEHPMTFQENAKGFGQSVVQLGGTSVVVAAPQEAKAVNQT GALYQCDYSTSRCHPIPLQVPPEAVNMSLGLSLAVSTVPQQLLACGPTVHQNCKENTYVN GLCYLFGSNLLRPPQQFPEALRECPQQESDIVFLIDGSGSINNIDFQKMKEFVSTVMEQF KKSKTLFSLMQYSDEFRIHFTFNDFKRNPSPRSHVSPIKQLNGRTKTASGIRKVVRELFH KTNGARENAAKILVVITDGEKFGDPLDYKDVIPEADRAGVIRYVIGVGNAFNKPQSRREL DTIASKPAGEHVFQVDNFEALNTIQNQLQEKIFAIEGTQTGSTSSFEHEMSQEGFSASIT SNGPLLGSVGSFDWAGGAFLYTSKDKVTFINTTRVDSDMNDAYLGYASAVILRNRVQSLV LGAPRYQHIGLVVMFRENFGTWEPHTSIKGSQIGSYFGASLCSVDMDADGNTNLILIGAP HYYEKTRGGQVSVCPLPRGRARWQCEALLHGDQGHPWGRFGAALTVLGDVNGDKLTDVAI GAPGEQENQGAVYIFYGASIASLSASHSQRIIGAHFSPGLQYFGQSLSGGKDLTMDGLMD LAVGAQGHLLLLRAQPVLRLEATMEFSPKKVARSVFACQEQVLKNKDAGEVRVCLRVRKN TKDRLREGDIQSTVTYDLALDPGRSRIRAFFDETKNNTRRRTQVFGLMQKCETLKLILPD CVDDSVSPIILRLNYTLVGEPLRSFGNLRPVLAMDAQRFFTAMFPFEKNCGNDSICQDDL SITMSAMGLDTLVVGGPQDFNMSVTLRNDGEDSYGTQVTVYYPSGLSYRKDSASQNPLTK KPWFVKPAESSSSSEGHGALKSTTWNINHPIFPANSEVTFNVTFDVDSHASFGNKLLLKA IVASENNMSRTHKTKFQLELPVKYAIYMIVTSDESSIRYLNFTASEMTSKVIQHQYQFNN LGQRSLPVSVVFWIPVQINNVTVWDHPQVIFSQNLSSACHTEQKSPPHSNFRDQLERTPV LNCSVAVCKRIQCDLPSFNTQEIFNVTLKGNLSFDWYIKTSHGHLLLVSSTEILFNDSAF ALLPGQESYVRSKTETKVEPYEVHNPVPLIVGSSIGGLVLLALITAGLYKLGFFKRQYKD MMNEAAPQDAPPQ >ENSMUSP00000113957.1 pep:known chromosome:GRCm38:7:128062679:128117090:1 gene:ENSMUSG00000030786.18 transcript:ENSMUST00000120355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgam description:integrin alpha M [Source:MGI Symbol;Acc:MGI:96607] MTLKALLVTALALCHGFNLDTEHPMTFQENAKGFGQSVVQLGGTSVVVAAPQEAKAVNQT GALYQCDYSTSRCHPIPLQVPPEAVNMSLGLSLAVSTVPQQLLACGPTVHQNCKENTYVN GLCYLFGSNLLRPPQQFPEALRECPQQESDIVFLIDGSGSINNIDFQKMKEFVSTVMEQF KKSKTLFSLMQYSDEFRIHFTFNDFKRNPSPRSHVSPIKQLNGRTKTASGIRKVVRELFH KTNGARENAAKILVVITDGEKFGDPLDYKDVIPEADRAGVIRYVIGVGNAFNKPQSRREL DTIASKPAGEHVFQVDNFEALNTIQNQLQEKIFAIEGTQTGSTSSFEHEMSQEGFSASIT SNGPLLGSVGSFDWAGGAFLYTSKDKVTFINTTRVDSDMNDAYLGYASAVILRNRVQSLV LGAPRYQHIGLVVMFRENFGTWEPHTSIKGSQIGSYFGASLCSVDMDADGNTNLILIGAP HYYEKTRGGQVSVCPLPRGQRARWQCEALLHGDQGHPWGRFGAALTVLGDVNGDKLTDVA IGAPGEQENQGAVYIFYGASIASLSASHSQRIIGAHFSPGLQYFGQSLSGGKDLTMDGLM DLAVGAQGHLLLLRAQPVLRLEATMEFSPKKVARSVFACQEQVLKNKDAGEVRVCLRVRK NTKDRLREGDIQSTVTYDLALDPGRSRIRAFFDETKNNTRRRTQVFGLMQKCETLKLILP DCVDDSVSPIILRLNYTLVGEPLRSFGNLRPVLAMDAQRFFTAMFPFEKNCGNDSICQDD LSITMSAMGLDTLVVGGPQDFNMSVTLRNDGEDSYGTQVTVYYPSGLSYRKDSASQNPLT KKPWFVKPAESSSSSEGHGALKSTTWNINHPIFPANSEVTFNVTFDVDSHASFGNKLLLK AIVASENNMSRTHKTKFQLELPVKYAIYMIVTSDESSIRYLNFTASEMTSKVIQHQYQFN NLGQRSLPVSVVFWIPVQINNVTVWDHPQVIFSQNLSSACHTEQKSPPHSNFRDQLERTP VLNCSVAVCKRIQCDLPSFNTQEIFNVTLKGNLSFDWYIKTSHGHLLLVSSTEILFNDSA FALLPGQESYVRSKTETKVEPYEVHNPVPLIVGSSIGGLVLLALITAGLYKVLLLGSFCP ISLLLSRSIIGIDSVLLLTTRSWVTIS >ENSMUSP00000101847.2 pep:known chromosome:GRCm38:7:128062683:128117531:1 gene:ENSMUSG00000030786.18 transcript:ENSMUST00000106240.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgam description:integrin alpha M [Source:MGI Symbol;Acc:MGI:96607] MTLKALLVTALALCHGFNLDTEHPMTFQENAKGFGQSVVQLGGTSVVVAAPQEAKAVNQT GALYQCDYSTSRCHPIPLQVPPEAVNMSLGLSLAVSTVPQQLLACGPTVHQNCKENTYVN GLCYLFGSNLLRPPQQFPEALRECPQQESDIVFLIDGSGSINNIDFQKMKEFVSTVMEQF KKSKTLFSLMQYSDEFRIHFTFNDFKRNPSPRSHVSPIKQLNGRTKTASGIRKVVRELFH KTNGARENAAKILVVITDGEKFGDPLDYKDVIPEADRAGVIRYVIGVGNAFNKPQSRREL DTIASKPAGEHVFQVDNFEALNTIQNQLQEKIFAIEGTQTGSTSSFEHEMSQEGFSASIT SNGPLLGSVGSFDWAGGAFLYTSKDKVTFINTTRVDSDMNDAYLGYASAVILRNRVQSLV LGAPRYQHIGLVVMFRENFGTWEPHTSIKGSQRIIGAHFSPGLQYFGQSLSGGKDLTMDG LMDLAVGAQGHLLLLRAQPVLRLEATMEFSPKKVARSVFACQEQVLKNKDAGEVRVCLRV RKNTKDRLREGDIQSTVTYDLALDPGRSRIRAFFDETKNNTRRRTQVFGLMQKCETLKLI LPDCVDDSVSPIILRLNYTLVGEPLRSFGNLRPVLAMDAQRFFTAMFPFEKNCGNDSICQ DDLSITMSAMGLDTLVVGGPQDFNMSVTLRNDGEDSYGTQVTVYYPSGLSYRKDSASQNP LTKKPWFVKPAESSSSSEGHGALKSTTWNINHPIFPANSEVTFNVTFDVDSHASFGNKLL LKAIVASENNMSRTHKTKFQLELPVKYAIYMIVTSDESSIRYLNFTASEMTSKVIQHQYQ FNNLGQRSLPVSVVFWIPVQINNVTVWDHPQVIFSQNLSSACHTEQKSPPHSNFRDQLER TPVLNCSVAVCKRIQCDLPSFNTQEIFNVTLKGNLSFDWYIKTSHGHLLLVSSTEILFND SAFALLPGQESYVRSKTETKVEPYEVHNPVPLIVGSSIGGLVLLALITAGLYKLGFFKRQ YKDMMNEAAPQDAPPQ >ENSMUSP00000121676.1 pep:known chromosome:GRCm38:7:128062743:128070857:1 gene:ENSMUSG00000030786.18 transcript:ENSMUST00000156593.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itgam description:integrin alpha M [Source:MGI Symbol;Acc:MGI:96607] MTLKALLVTALALCHGFNLDTEHPMTFQENAKGFGQSVVQLGGTSVVVAAPQEAKAVNQT GALYQCDYSTSRCHPIPLQGSLCIHLSSQYLQRL >ENSMUSP00000084899.4 pep:known chromosome:GRCm38:1:55052770:55071953:1 gene:ENSMUSG00000025981.13 transcript:ENSMUST00000087617.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq10b description:coenzyme Q10B [Source:MGI Symbol;Acc:MGI:1915126] MIMAARTSQRALARVASGCHPKSTTVTEAPARGSARDVRYSMQEMYDVVSGMEDYQHFVP WCKKSDIISRRSGYCKTRLEVGFPPVLERYTSIVTLVKPHLVKASCTDGKLFNHLETIWR FSPGLPGYPRTCTLDFSISFEFRSLLHSQLATLFFDEVVKQMVAAFERRACKLYGPETNI PRELMLHEIHHT >ENSMUSP00000027125.5 pep:known chromosome:GRCm38:1:55052770:55072702:1 gene:ENSMUSG00000025981.13 transcript:ENSMUST00000027125.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq10b description:coenzyme Q10B [Source:MGI Symbol;Acc:MGI:1915126] MIMAARTSQRALARVASGCHPKSTTVTEAPARGSARDVRHLAACGVLINRTLPPCAAVLP KEICARTFFRISAPLVNKRKEYSERRILGYSMQEMYDVVSGMEDYQHFVPWCKKSDIISR RSGYCKTRLEVGFPPVLERYTSIVTLVKPHLVKASCTDGKLFNHLETIWRFSPGLPGYPR TCTLDFSISFEFRSLLHSQLATLFFDEVVKQMVAAFERRACKLYGPETNIPRELMLHEIH HT >ENSMUSP00000020527.5 pep:known chromosome:GRCm38:11:23516203:23519223:-1 gene:ENSMUSG00000020286.12 transcript:ENSMUST00000020527.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700093K21Rik description:RIKEN cDNA 1700093K21 gene [Source:MGI Symbol;Acc:MGI:1914608] MFTQSDTGKIEEIFTTNTMAFETTAITFFFILLICFICILLLLAIFLYKCYRGHNHEEPL KTLCTGEGCVAANAEMDKPEDQDKVLMHFLNMGLPMKPSILVQKQSKEEMATSLGDNIKA EDYQKKQTYEPVNARETNHEGELAEKMPIHVHRSSDTGSQKRPLKGVTFSKEVIVVDLGN EYPTPRSYAREHKERK >ENSMUSP00000123063.1 pep:known chromosome:GRCm38:11:23518788:23519942:-1 gene:ENSMUSG00000020286.12 transcript:ENSMUST00000140122.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700093K21Rik description:RIKEN cDNA 1700093K21 gene [Source:MGI Symbol;Acc:MGI:1914608] MFTQSDTGKIEEIFTTNTMAFETTAITFFFILLICFICILLLLAIFL >ENSMUSP00000122399.1 pep:known chromosome:GRCm38:11:23518817:23521155:-1 gene:ENSMUSG00000020286.12 transcript:ENSMUST00000156629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700093K21Rik description:RIKEN cDNA 1700093K21 gene [Source:MGI Symbol;Acc:MGI:1914608] MFTQSDTGKIEEIFTTNTMAFETTAITFFFILLICFI >ENSMUSP00000131204.1 pep:known chromosome:GRCm38:11:23516203:23519942:-1 gene:ENSMUSG00000020286.12 transcript:ENSMUST00000169264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700093K21Rik description:RIKEN cDNA 1700093K21 gene [Source:MGI Symbol;Acc:MGI:1914608] MFTQSDTGKIEEIFTTNTMAFETTAITFFFILLICFICILLLLAIFLYKCYRGHNHEEPL KTLCTGEGCVAANAEMDKPEDQDKVLMHFLNMGLPMKPSILVQKQSKEEMATSLGDNIKA EDYQKKQTYEPVNARETNHEGELAEKMPIHVHRSSDTGSQKRPLKGVTFSKEVIVVDLGN EYPTPRSYAREHKERK >ENSMUSP00000103903.3 pep:known chromosome:GRCm38:11:78962974:78984946:-1 gene:ENSMUSG00000001123.15 transcript:ENSMUST00000108268.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals9 description:lectin, galactose binding, soluble 9 [Source:MGI Symbol;Acc:MGI:109496] MALFSAQSPYINPIIPFTGPIQGGLQEGLQVTLQGTTKSFAQRFVVNFQNSFNGNDIAFH FNPRFEEGGYVVCNTKQNGQWGPEERKMQMPFQKGMPFELCFLVQRSEFKVMVNKKFFVQ YQHRVPYHLVDTIAVSGCLKLSFITFQTQNFRPAHQAPMAQTTIHMVHSTPGQMFSTPGI PPVVYPTPAYTIPFYTPIPNGLYPSKSIMISGNVLPDATRFHINLRCGGDIAFHLNPRFN ENAVVRNTQINNSWGQEERSLLGRMPFSRGQSFSVWIICEGHCFKVAVNGQHMCEYYHRL KNLQDINTLEVAGDIQLTHVQT >ENSMUSP00000072764.4 pep:known chromosome:GRCm38:11:78963395:78984831:-1 gene:ENSMUSG00000001123.15 transcript:ENSMUST00000073001.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals9 description:lectin, galactose binding, soluble 9 [Source:MGI Symbol;Acc:MGI:109496] MALFSAQSPYINPIIPFTGPIQGGLQEGLQVTLQGTTKSFAQRFVVNFQNSFNGNDIAFH FNPRFEEGGYVVCNTKQNGQWGPEERKMQMPFQKGMPFELCFLVQRSEFKVMVNKKFFVQ YQHRVPYHLVDTIAVSGCLKLSFITFQSAAPVQHVFSTVQFSQPVQFPRTPKGRKQKTQN FRPAHQAPMAQTTIHMVHSTPGQMFSTPGIPPVVYPTPAYTIPFYTPIPNGLYPSKSIMI SGNVLPDATRFHINLRCGGDIAFHLNPRFNENAVVRNTQINNSWGQEERSLLGRMPFSRG QSFSVWIICEGHCFKVAVNGQHMCEYYHRLKNLQDINTLEVAGDIQLTHVQT >ENSMUSP00000103904.3 pep:known chromosome:GRCm38:11:78962979:78984924:-1 gene:ENSMUSG00000001123.15 transcript:ENSMUST00000108269.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals9 description:lectin, galactose binding, soluble 9 [Source:MGI Symbol;Acc:MGI:109496] MALFSAQSPYINPIIPFTGPIQGGLQEGLQVTLQGTTKSFAQRFVVNFQNSFNGNDIAFH FNPRFEEGGYVVCNTKQNGQWGPEERKMQMPFQKGMPFELCFLVQRSEFKVMVNKKFFVQ YQHRVPYHLVDTIAVSGCLKLSFITFQNSAAPVQHVFSTVQFSQPVQFPRTPKGRKQKTQ NFRPAHQAPMAQTTIHMVHSTPGQMFSTPGIPPVVYPTPAYTIPFYTPIPNGLYPSKSIM ISGNVLPDATRFHINLRCGGDIAFHLNPRFNENAVVRNTQINNSWGQEERSLLGRMPFSR GQSFSVWIICEGHCFKVAVNGQHMCEYYHRLKNLQDINTLEVAGDIQLTHVQT >ENSMUSP00000084041.3 pep:known chromosome:GRCm38:5:104459450:104505819:1 gene:ENSMUSG00000034462.9 transcript:ENSMUST00000086831.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd2 description:polycystic kidney disease 2 [Source:MGI Symbol;Acc:MGI:1099818] MVNSRRVQPQPPGDAGRSPAPRASGPGRLVAGGAGLAVPGGLGEQRGLEIEMERIRQAAA RDPPAGASASPSPPLSSCSRQAWSRDNPGFEAEEDDDDDEVEGEEGGMVVEMDVEWRPGS RRSASSSAVSSVGARGRGLGSYRGAAHLSGRRRRLEDQGAQCPSPAGGGDPLHRHLPLEG QPPRVAWAERLVRGLRGLWGTRLMEESNANREKYLKSVLRELVTYLFFLVVLCILTYGMM SSNVYYYTRTLSQLFIDTPVSKTEKTNFKTLSSMEDFWKFTEGSFLDGLYWKAQTSNHTQ ADNRSFIFYENLLLGVPRLRQLRVRNGSCSIPQDLRDEIKECYDVYSVSSEDRAPFGPRN GTAWMYTSEKELNGSSHWGIIASYSGAGYYLDLSRTREETAAQLAGLRRNFWLDRGTRAA FIDFSVYNANINLFCVVRLLAEFPATGGVVPSWQFQPVKLIRYVTAFDFFLAACEIIFCF FIIYYVVEEILEIRIHRLSYFRSFWNCLDVVIVVLSVVAMVINIYRMSNAEGLLQFLEDQ NSFPNFEHVAYWQIQFNNISAVMVFLVWIKLFKFINFNRTMSQLSTTMSRCAKDLFGFTI MFSIIFLAYAQLAYLVFGTQVDDFSTFQECIFTQFRIILGDINFAEIEEANRVLGPLYFT TFVFFMFFILLNMFLAIINDSYSEVKSDLAQQKAEMELSDLIRKGCQKALVKLKLKRNTV DAISESLRQGGGKLNFDELRQDLKGKGHTDAEIEAIFTKYDQDGDQELTEREHQQMRDDL EKEREDLDLEHSSLPRPMSSRSFPRSLDDSEEEDDEDSGHSSRRRGSISSGVSYEEFQVL VRRVDRMEHSIGSIVSKIDAVIVKLEIMERAKLKRREVLGRLLDGVAEDARLGRDSEIHR EQMERLVREELERWESDDAASQTGHGVSTQVGLGGQPHPRNPRPPSSQSAEGLEGGGGNG SANVHA >ENSMUSP00000109547.1 pep:known chromosome:GRCm38:16:94364447:94371015:-1 gene:ENSMUSG00000022940.16 transcript:ENSMUST00000113914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigp description:phosphatidylinositol glycan anchor biosynthesis, class P [Source:MGI Symbol;Acc:MGI:1860433] MPGHLGCGGTRRRFREPRRAQGLHSPGPAAREPQTRAADASGPPRQPDPQSERSAAPEAG GSRGLGLSKATGKMVENSPSPLPERAIYGFVLFLSSQFGFILYLVWAFVPESWLNSLGLT YWPQKYWAVALPVYLLITVVIGYVLLFGINMMSTSPLDSIHTITDNYAKNQQRKNYQEDA IPALRDVPISEVNKMFFLGAKELNT >ENSMUSP00000109539.2 pep:known chromosome:GRCm38:16:94364447:94370712:-1 gene:ENSMUSG00000022940.16 transcript:ENSMUST00000113906.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigp description:phosphatidylinositol glycan anchor biosynthesis, class P [Source:MGI Symbol;Acc:MGI:1860433] MVENSPSPLPERAIYGFVLFLSSQFGFILYLVWAFVPESWLNSLGLTYWPQKYWAVALPV YLLITVVIGYVLLFGINMMSTSPLDSIHTITDNYAKNQQRKNYQEDAIPALRDVPISEVN KMFFLGAKELNT >ENSMUSP00000109538.1 pep:known chromosome:GRCm38:16:94364447:94371015:-1 gene:ENSMUSG00000022940.16 transcript:ENSMUST00000113905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigp description:phosphatidylinositol glycan anchor biosynthesis, class P [Source:MGI Symbol;Acc:MGI:1860433] MVENSPSPLPERAIYGFVLFLSSQFGFILYLVWAFVPESWLNSLGLTYWPQKYWAVALPV YLLITVVIGYVLLFGINMMSTSPLDSIHTITDNYAKNQQRKNYQEDAIPALRDVPISEVN KMFFLGAKELNT >ENSMUSP00000109543.1 pep:known chromosome:GRCm38:16:94364447:94370694:-1 gene:ENSMUSG00000022940.16 transcript:ENSMUST00000113910.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigp description:phosphatidylinositol glycan anchor biosynthesis, class P [Source:MGI Symbol;Acc:MGI:1860433] MRGQDSGPLSSPGPFLASEGPRLSKATGKMVENSPSPLPERAIYGFVLFLSSQFGFILYL VWAFVPESWLNSLGLTYWPQKYWAVALPVYLLITVVIGYVLLFGINMMSTSPLDSIHTIT DNYAKNQQRKNYQEDAIPALRDVPISEVNKMFFLGAKELNT >ENSMUSP00000114477.1 pep:known chromosome:GRCm38:16:94364706:94370509:-1 gene:ENSMUSG00000022940.16 transcript:ENSMUST00000138514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigp description:phosphatidylinositol glycan anchor biosynthesis, class P [Source:MGI Symbol;Acc:MGI:1860433] MRLCNRPLVKGGGGRCLTTIVFLFHRLSKATGKMVENSPSPLPERAIYGFVLFLSSQFGF ILYLVWAFVPESWLNSLGLTYWPQKYWAVALPVYLLITVVIGYVLLFGINMMSTSPLDSI HTITDNYAKNQQRKNYQEDAIPALRDVPISEV >ENSMUSP00000109550.1 pep:known chromosome:GRCm38:16:94358763:94370289:-1 gene:ENSMUSG00000022940.16 transcript:ENSMUST00000113917.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigp description:phosphatidylinositol glycan anchor biosynthesis, class P [Source:MGI Symbol;Acc:MGI:1860433] MRLCNRPLVKGGGGRCLTTIVFLFHRLSKATGKMVENSPSPLPERAIYGFVLFLSSQFGF ILYLVWAFVPESWLNSLGLTYWPQKYWAVALPVYLLITVVIGYVLLFGINMMSTSPLDSI HTITGISIVSHQAGEPGHV >ENSMUSP00000138233.1 pep:known chromosome:GRCm38:19:52943417:53038553:-1 gene:ENSMUSG00000025027.17 transcript:ENSMUST00000183274.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpnpep1 description:X-prolyl aminopeptidase (aminopeptidase P) 1, soluble [Source:MGI Symbol;Acc:MGI:2180003] MAASRKPPRVRANRQDFQLRNLRIIEPNEVTPSGDKSVETDHRMAPKVTSELLRQLRQAM RNSEYVAEPIQAYIIPSGDAHQSEYIAPCDCRRAFVSGFDGSAGTAIITEEHAAMWTDGR YFLQAAKQMDNNWTLMKMGLKDTPTQEDWLVSVLPEGSRVGVDPLIIPTDYWKKMAKVLR SAGHHLVPVKENLVDKIWTDRPERPCKPLLTLGLDYTGISWKEKVADLRLKMAERSIAWF VVTALDEIAWLFNLRGSDVEHNPVFFSYAIVGLETIMLFIDGDRVDAPGVKQHLLLDLGL EAEYRIQVLPYKSILSELKALCADLSPREKVWVSDKASYAVSEAIPKDHRCCMPYTPICI AKAVKNSAESDGMRRAHIKDAVALCELFNWLEQEVPKGGVTEISAADKAEEFRRQQADFV DLSFPTISSTGPNGAIIHYAPVPETNRTLSLDEVYLIDSGAQYKDGTTDVTRTMHFGTPT AYEKECFTYVLKGHIAVSAAVFPTGTKGHLLDSFARSALWDSGLDYLHGTGHGVGSFLNV HEGPCGISYKTFSDEPLEAGMIVTDEPGYYEDGAFGIRIENVVLVVPAKTKYNFNNRGSL TFEPLTLVPIQTKMIDVNALTDKEHWKERLCERTV >ENSMUSP00000138097.1 pep:known chromosome:GRCm38:19:52946141:53038641:-1 gene:ENSMUSG00000025027.17 transcript:ENSMUST00000182500.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpnpep1 description:X-prolyl aminopeptidase (aminopeptidase P) 1, soluble [Source:MGI Symbol;Acc:MGI:2180003] MAASRKPPRVRPQDGSEGDFRTASAAATSYEELRIRGRTHPGLYHPVRRCPPERVHCTL >ENSMUSP00000138250.1 pep:known chromosome:GRCm38:19:52991183:53040214:-1 gene:ENSMUSG00000025027.17 transcript:ENSMUST00000183108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpnpep1 description:X-prolyl aminopeptidase (aminopeptidase P) 1, soluble [Source:MGI Symbol;Acc:MGI:2180003] MAASRKPPRVRANRQDFQLRNLRIIEPNEVTPSGDKSVETDHRMAPKVTSELLRQLRQAM RNSEYVAEPIQAYIIPSGDAHQSEYIAPCDCRRAFVSGFDGSAGTAIITEEHAAMWTDGR YFLQAAKQMDNNWTLMKMGLKDTPTQEDWLVSVLPEGSRVGVDPLIIPTDYWKKMAKVLR SAGHHLVPVKENLVDKIWTDRPERPCKPLLTLGLDYTGISWKEKVADLRLKMAERSIAWF VVTALDEIAWLFNLRGSDVEHNPVFFSYAIVGLETIMLFIDGDRVDAPGVKQHLLLDLGL EAEYRIQVLPYKSILSELKALCADLSPREKVWVSDKASYAVSEAIPKDHRCCMPYTPICI AKAVKNSAESDGMRRAHIKDAVALCELFNWLEQEVPKGGVTEISAADKAEEFRRQQADFV DLSFPTISSTGPNGAIIHYAPVPETNRTLSLDEVYLIDSGAQYKDGTTDVTRTMHFGTPT AYEKECFTYVLKGHIAVSAAVFPTGTKGHLLDSFARSALWDSGLDYLHGTGHGVGSFLNV HEGPCGISYKTFSDEPLEAGMIVTDEPGYYEDGAFGIRIENVVLVVPAKTKYNFNNRGSL TFEPLTLVPIQTKMIDVNALTDKECDWLNSYHQTCRDVVGKELQSQGRQEALEWLIRETE PVSRQH >ENSMUSP00000138473.1 pep:known chromosome:GRCm38:19:53012211:53038560:-1 gene:ENSMUSG00000025027.17 transcript:ENSMUST00000182097.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpnpep1 description:X-prolyl aminopeptidase (aminopeptidase P) 1, soluble [Source:MGI Symbol;Acc:MGI:2180003] MAPKVTSELLRQLRQAMRNSEYVAEPIQAYIIPSGDAHQSEYIAPCDCRRAFVSGFDGSA GTAIITEEHAAMWTDGRYFLQAAKQMDNNWTLMKMGLKDTPTQEDWLVSVLPEGSRVGV >ENSMUSP00000110241.1 pep:known chromosome:GRCm38:2:20847919:20968881:-1 gene:ENSMUSG00000036591.15 transcript:ENSMUST00000114594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap21 description:Rho GTPase activating protein 21 [Source:MGI Symbol;Acc:MGI:1918685] MMATHWTGLPEEDGDKLKACGVSKNKDGKDQGEPVSPSEDEPFSWPGPKTVMLKRTSQGF GFTLRHFIVYPPESAIQFSYKDEENGNRGGKQRNRLEPMDTIFVKQVKEGGPAFEAGLCT GDRIIKVNGESVIGKTYSQVIALIQNSDTTLELSVMPKDEDILQVLQFTKDVTALAYSQD AYLKGNEAYSGNARNIPEPPPVCYPWLPSTPSATAQPVETCPPDSLPNKQQTSAPVLTQP GRAYRMEIQVPPSPTDVAKSNTAVCVCNESVRTVIVPSEKVVDLLANRNNPSGPSHRTEE VRYGVNEQASTKAASRTTSPASVPTAHLIHQTTGSRSLEPSGILLKSGNYSGHSEGISSS RSQAVDSPPVSVNHYSANSHQHIDWKNYKTYKEYIDNRRLHIGCRTIQERLDSLRAASQS AADYNQVVPTRTTLQVRRRSTSHDRVPQSVQIRQRSVSQERLEDSVLMKYCPRSASQGAL TSPPVSFNNHRTRSWDYIEGQTEATATVNSESQIPDSNGERKQTYKWSGFTEQDDRRGIH ERPRQQEMHKPFRGSNLTVAPVVNSDNRRLVGRGVGPVSQFKKIPPDLRPPHSNRNFPTT TGVSLQRGIAQDRSPLVKVRSNSLKVPPPPVSKPSFSQHSLASMKDQRPVNHLHQHSVLS QQTQFRSESTFEHQLETEVSSCLPGTSAKTSPQLSENLGTSDLELPAIPRNGDINLQEAE IQQPDVLDNKESVILREKPQSGRQTPQPLRHQSYILAVNDQETGSDTTCWLPNDARREVH IKRMEERKASSTSPPGDSLASIPFIDEPTSPSIDHEIAHIPASAVISASTAHVPSIATVP PSLTTSAPLIRRQLSHDQESVGPPSLDGQHSSKTERSKSYDEGLDDYREDAKLSFKHVSS LKGIKITDSQKSSEDSGSRKGSSSEVFSDAAREGWLQFRPLVTDKGKRVGGSIRPWKQMY VVLRGHSLYLYKDRREQTTPSEEEQPISVNACLIDISYSETKRRNVFRLTTSDCECLFQA EDRDDMLSWIKTIQESSNLNEEDTGVTNRDLISRRIKEYNSLLSKTEQLPKTPRQSLSIR QTLLGAKSEPKTQSPHSPKEESERKLLSKDDTSPPKDKGTWRRGIPSIVRKTFEKKPAAT GTFGVRLDDCPPAHTNRYIPLIVDICCKLVEERGLEYTGIYRVPGNNAAISSMQEELNKG MADIDIQDDKWRDLNVISSLLKSFFRKLPEPLFTNDKYADFIEANRKEDPLDRLRTLKRL IHDLPEHHFETLKFLSAHLKTVAENSEKNKMEPRNLAIVFGPTLVRTSEDNMTHMVTHMP DQYKIVETLIQHHDWFFTEEGAEEPLTAVQEENTVDSQPVPNIDHLLTNIGRTGVLPGDV SDSATSDSAKSKGSWGSGKDQYSRELLVSSIFAAASRKRKKPKEKAQPSSSEDELDSVFF KKENTEQSHSEIKEESKRESETSGSKQRVVVAKESNTKKDSGTTKEEKKIPWEEPSPPHS SKRNRSPTLSCRLAMLKEGPRSLLTQKPHCEETGSDSGTLLSTSSQASLLRSSTKKSTSP ETKHSEFLSIAGTTTSDYSTTSSTTYLTSLDSSRLSPEVQSVAESKGDEADDERSELVSE GRPVETDSESEFPVFPTTLTSDRLFRGKFQEVARVSRRNSEGSEASCTEGSLTPSLDSRR QQFSSHRLIECDTLSRKKSARFKSDSGSPGDTRTEKETPALAKMFDVMKKGKSTGSLLTP SRSESEKQEATWKTKIADRLKLRPRAPADDMFGVGNQKPTAETAKRKNIKRRHTLGGHRD ATEISVLSFWKAHEQSADKESELSAVNRLKPKCSAQDLSISDWLARERVRTSASDLSRGE GLEPQAESPSVLGTPISTHSPPSQQPEARVAATSTLASTSQSPLFTPPQSPDQINRESFQ NMSQNASSTANIHPHKQSESPDTKAETPP >ENSMUSP00000133851.1 pep:known chromosome:GRCm38:2:20847940:20967720:-1 gene:ENSMUSG00000036591.15 transcript:ENSMUST00000173194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap21 description:Rho GTPase activating protein 21 [Source:MGI Symbol;Acc:MGI:1918685] MMATHWTGLPEEDGDKLKACGAASACEVSKNKDGKDQGEPVSPSEDEPFSWPGPKTVMLK RTSQGFGFTLRHFIVYPPESAIQFSYKDEENGNRGGKQRNRLEPMDTIFVKQVKEGGPAF EAGLCTGDRIIKVNGESVIGKTYSQVIALIQNSDTTLELSVMPKDEDILQVAYSQDAYLK GNEAYSGNARNIPEPPPVCYPWLPSTPSATAQPVETCPPDSLPNKQQTSAPVLTQPGRAY RMEIQVPPSPTDVAKSNTAVCVCNESVRTVIVPSEKVVDLLANRNNPSGPSHRTEEVRYG VNEQASTKAASRTTSPASVPTAHLIHQTTGSRSLEPSGILLKSGNYSGHSEGISSSRSQA VDSPPVSVNHYSANSHQHIDWKNYKTYKEYIDNRRLHIGCRTIQERLDSLRAASQSAADY NQVVPTRTTLQVRRRSTSHDRVPQSVQIRQRSVSQERLEDSVLMKYCPRSASQGALTSPP VSFNNHRTRSWDYIEGQTEATATVNSESQIPDSNGERKQTYKWSGFTEQDDRRGIHERPR QQEMHKPFRGSNLTVAPVVNSDNRRLVGRGVGPVSQFKKIPPDLRPPHSNRNFPTTTGVS LQRGIAQDRSPLVKVRSNSLKVPPPPVSKPSFSQHSLASMKDQRPVNHLHQHSVLSQQTQ FRSESTFEHQLETEVSSCLPGTSAKTSPQLSENLGTSDLELPAIPRNGDINLQEAEIQQP DVLDNKESVILREKPQSGRQTPQPLRHQSYILAVNDQETGSDTTCWLPNDARREVHIKRM EERKASSTSPPGDSLASIPFIDEPTSPSIDHEIAHIPASAVISASTAHVPSIATVPPSLT TSAPLIRRQLSHDQESVGPPSLDGQHSSKTERSKSYDEGLDDYREDAKLSFKHVSSLKGI KITDSQKSSEDSGSRKGSSSEVFSDAAREGWLQFRPLVTDKGKRVGGSIRPWKQMYVVLR GHSLYLYKDRREQTTPSEEEQPISVNACLIDISYSETKRRNVFRLTTSDCECLFQAEDRD DMLSWIKTIQESSNLNEEDTGVTNRDLISRRIKEYNSLLSKTEQLPKTPRQSLSIRQTLL GAKSEPKTQSPHSPKEESERKLLSKDDTSPPKDKGTWRRGIPSIVRKTFEKKPAATGTFG VRLDDCPPAHTNRYIPLIVDICCKLVEERGLEYTGIYRVPGNNAAISSMQEELNKGMADI DIQDDKWRDLNVISSLLKSFFRKLPEPLFTNDKYADFIEANRKEDPLDRLRTLKRLIHDL PEHHFETLKFLSAHLKTVAENSEKNKMEPRNLAIVFGPTLVRTSEDNMTHMVTHMPDQYK IVETLIQHHDWFFTEEGAEEPLTAVQEENTVDSQPVPNIDHLLTNIGRTGVLPGDVSDSA TSDSAKSKGSWGSGKDQYSRELLVSSIFAAASRKRKKPKEKAQPSSSEDELDSVFFKKEN TEQSHSEIKEESKRESETSGSKQRVVVAKESNTKKDSGTTKEEKKIPWEEPSPPHSSKRN RSPTLSCRLAMLKEGPRSLLTQKPHCEETGSDSGTLLSTSSQASLLRSSTKKSTSPETKH SEFLSIAGTTTSDYSTTSSTTYLTSLDSSRLSPEVQSVAESKGDEADDERSELVSEGRPV ETDSESEFPVFPTTLTSDRLFRGKFQEVARVSRRNSEGSEASCTEGSLTPSLDSRRQQFS SHRLIECDTLSRKKSARFKSDSGSPGDTRTEKETPALAKMFDVMKKGKSTGSLLTPSRSE SEKQEATWKTKIADRLKLRPRAPADDMFGVGNQKPTAETAKRKNIKRRHTLGGHRDATEI SVLSFWKAHEQSADKESELSAVNRLKPKCSAQDLSISDWLARERVRTSASDLSRGEGLEP QAESPSVLGTPISTHSPPSQQPEARVAATSTLASTSQSPLFTPPQSPDQINRESFQNMSQ NASSTANIHPHKQSESPDTKAETPP >ENSMUSP00000120357.2 pep:known chromosome:GRCm38:2:20848594:20968526:-1 gene:ENSMUSG00000036591.15 transcript:ENSMUST00000141298.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap21 description:Rho GTPase activating protein 21 [Source:MGI Symbol;Acc:MGI:1918685] MMATHWTGLPEEDGDKLKACGAASACEVSKNKDGKDQGEPVSPSEDEPFSWPGPKTVMLK RTSQGFGFTLRHFIVYPPESAIQFSYKDEENGNRGGKQRNRLEPMDTIFVKQVKEGGPAF EAGLCTGDRIIKVNGESVIGKTYSQVIALIQNSDTTLELSVMPKDEDILQVLQFTKDVTA LAYSQDAYLKGNEAYSGNARNIPEPPPVCYPWLPSTPSATAQPVETCPPDSLPNKQQTSA PVLTQPGRAYRMEIQVPPSPTDVAKSNTAVCVCNESVRTVIVPSEKVVDLLANRNNPSGP SHRTEEVRYGVNEQASTKAASRTTSPASVPTAHLIHQTTGSRSLEPSGILLKSGNYSGHS EGISSSRSQAVDSPPVSVNHYSANSHQHIDWKNYKTYKEYIDNRRLHIGCRTIQERLDSL RAASQSAADYNQVVPTRTTLQVRRRSTSHDRVPQSVQIRQRSVSQERLEDSVLMKYCPRS ASQGALTSPPVSFNNHRTRSWDYIEGQTEATATVNSESQIPDSNGERKQTYKWSGFTEQD DRRGIHERPRQQEMHKPFRGSNLTVAPVVNSDNRRLVGRGVGPVSQFKKIPPDLRPPHSN RNFPTTTGVSLQRGIAQDRSPLVKVRSNSLKVPPPPVSKPSFSQHSLASMKDQRPVNHLH QHSVLSQQTQFRSESTFEHQLETEVSSCLPGTSAKTSPQLSENLGTSDLELPAIPRNGDI NLQEAEIQQPDVLDNKESVILREKPQSGRQTPQPLRHQSYILAVNDQETGSDTTCWLPND ARREVHIKRMEERKASSTSPPGDSLASIPFIDEPTSPSIDHEIAHIPASAVISASTAHVP SIATVPPSLTTSAPLIRRQLSHDQESVGPPSLDGQHSSKTERSKSYDEGLDDYREDAKLS FKHVSSLKGIKITDSQKSSEDSGSRKGSSSEVFSDAAREGWLQFRPLVTDKGKRVGGSIR PWKQMYVVLRGHSLYLYKDRREQTTPSEEEQPISVNACLIDISYSETKRRNVFRLTTSDC ECLFQAEDRDDMLSWIKTIQESSNLNEEDTGVTNRDLISRRIKEYNSLLSKTEQLPKTPR QSLSIRQTLLGAKSEPKTQSPHSPKEESERKLLSKDDTSPPKDKGTWRRGIPSIVRKTFE KKPAATGTFGVRLDDCPPAHTNRYIPLIVDICCKLVEERGLEYTGIYRVPGNNAAISSMQ EELNKGMADIDIQDDKWRDLNVISSLLKSFFRKLPEPLFTNDKYADFIEANRKEDPLDRL RTLKRLIHDLPEHHFETLKFLSAHLKTVAENSEKNKMEPRNLAIVFGPTLVRTSEDNMTH MVTHMPDQYKIVETLIQHHDWFFTEEGAEEPLTAVQEENTVDSQPVPNIDHLLTNIGRTG VLPGDVSDSATSDSAKSKGSWGSGKDQYSRELLVSSIFAAASRKRKKPKEKAQPSSSEDE LDSVFFKKENTEQSHSEIKEESKRESETSGSKQRVVVAKESNTKKDSGTTKEEKKIPWEE PSPPHSSKRNRSPTLSCRLAMLKEGPRSLLTQKPHCEETGSDSGTLLSTSSQASLLRSST KKSTSPETKHSEFLSIAGTTTSDYSTTSSTTYLTSLDSSRLSPEVQSVAESKGDEADDER SELVSEGRPVETDSESEFPVFPTTLTSDRLFRGKFQEVARVSRRNSEGSEASCTEGSLTP SLDSRRQQFSSHRLIECDTLSRKKSARFKSDSGSPGDTRTEKETPALAKMFDVMKKGKST GSLLTPSRSESEKQEATWKTKIADRLKLRPRAPADDMFGVGNQKPTAETAKRKNIKRRHT LGGHRDATEISVLSFWKAHEQSADKESELSAVNRLKPKCSAQDLSISDWLARERVRTSAS DLSRGEGLEPQAESPSVLGTPISTHSPPSQQPEARVAATSTLASTSQSPLFTPPQSPDQI NRESFQNMSQNASSTANIHPHKQSESPDTKAETPP >ENSMUSP00000133347.1 pep:known chromosome:GRCm38:2:20850043:20883229:-1 gene:ENSMUSG00000036591.15 transcript:ENSMUST00000174584.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap21 description:Rho GTPase activating protein 21 [Source:MGI Symbol;Acc:MGI:1918685] LQFTKDVTALAYSQDAYLKGNEAYSGNARNIPEPPPVCYPWLPSTPSATAQPVETCPPDS LPNKQQTSAPVLTQPGRAYRMEIQVPPSPTDVAKSNTAVCVCNESVRTVIVPSEKVVDLL ANRNNPSGPSHRTEEVRYGVNEQASTKAASRTTSPASVPTAHLIHQTTGSRSLEPSGILL KSGNYSGHSEGISSSRSQAVDSPPVSVNHYSANSHQHIDWKNYKTYKEYIDNRRLHIGCR TIQERLDSLRAASQSAADYNQVVPTRTTLQVRRRSTSHDRVPQSVQIRQRSVSQERLEDS VLMKYCPRSASQGALTSPPVSFNNHRTRSWDYIEGQTEATATVNSESQIPDSNGERKQTY KWSGFTEQDDRRGIHERPRQQEMHKPFRGSNLTVAPVVNSDNRRLVGRGVGPVSQFKKIP PDLRPPHSNRNFPTTTGVSLQRGIAQDRSPLVKVRSNSLKVPPPPVSKPSFSQHSLASMK DQRPVNHLHQHSVLSQQTQFRSESTFEHQLETEVSSCLPGTSAKTSPQLSENLGTSDLEL PAIPRNGDINLQEAEIQQPDVLDNKESVILREKPQSGRQTPQPLRHQSYILAVNDQETGS DTTCWLPNDARREVHIKRMEERKASSTSPPGDSLASIPFIDEPTSPSIDHEIAHIPASAV ISASTAHVPSIATVPPSLTTSAPLIRRQLSHDQESVGPPSLDGQHSSKTERSKSYDEGLD DYREDAKLSFKHVSSLKGIKITDSQKSSEDSGSRKGSSSEVFSDAAREGWLQFRPLVTDK GKRVGGSIRPWKQMYVVLRGHSLYLYKDRREQTTPSEEEQPISVNACLIDISYSETKRRN VFRLTTSDCECLFQAEDRDDMLSWIKTIQESSNLNEEDTGVTNRDLISRRIKEYNSLLSK TEQLPKTPRQSLSIRQTLLGAKSEPKTQSPHSPKEESERKLLSKDDTSPPKDKGTWRRGI PSIVRKTFEKKPAATGTFGVRLDDCPPAHTNRYIPLIVDICCKLVEERGLEYTGIYRVPG NNAAISSMQEELNKGMADIDIQDDKWRDLNVISSLLKSFFRKLPEPLFTNDKYADFIEAN RKEDPLDRLRTLKRLIHDLPEHHFETLKFLSAHLKTVAENSEKNKMEPRNLAIVFGPTLV RTSEDNMTHMVTHMPDQYKIVETLIQHHDWFFTEEGAEEPLTAVQEENTVDSQPVPNIDH LLTNIGRTGVLPGDVSGDVGGVYHTVMSLVDSVMSLMDSWSCRKKEDCCPHCSCLVCRNP RFL >ENSMUSP00000133539.1 pep:known chromosome:GRCm38:2:20858915:20871399:-1 gene:ENSMUSG00000036591.15 transcript:ENSMUST00000173784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap21 description:Rho GTPase activating protein 21 [Source:MGI Symbol;Acc:MGI:1918685] AKLSFKHVSSLKGIKITDSQKSSEDSGSRKGSSSEVFSDAAREGWLQFRPLVTDKGKRVG GSIRPWKQMYVVLRGHSLYLYKDRREQTTPSEEEQPISVNACLIDISYSETKRRNVFRLT TSDCECLFQAEDRDDMLSWIKTIQESSNLNEEDTGVTNRDLISRRIKEYNSLLSSKTEQL PKTPRQSLSIRQTLLGAKSEPKTQSPHSPKEESERKLLSKDDTSPPKDKGTWRRGIPSIV RKTFEKKPAATGTFGVRLDDCPPAHTNRYIPLIVDICCKLVEERGLEYTGIYRVPGNNAA ISSMQEELNKGMADIDIQDDKWRDLNVISSLLKSFFRKLPEPLFTNDKYADFIEANRKED PLDRLRTLKRLIHDLPEHHFETLKFLSAHLKTVAEN >ENSMUSP00000122271.1 pep:known chromosome:GRCm38:2:20889900:20943419:-1 gene:ENSMUSG00000036591.15 transcript:ENSMUST00000140230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap21 description:Rho GTPase activating protein 21 [Source:MGI Symbol;Acc:MGI:1918685] MAQFRLLDCMINHNWSLLCNLYCAWNGQVSKNKDGKDQGEPVSPSEDEPFSWPGPKTVML KRTSQGFGFTLRHFIVYPPESAIQFSYKDEENGNRGGKQRNRLEPMDTIFVKQVKEGGPA FEAGLCTGDRIIKVNGESVIGKTYS >ENSMUSP00000123403.2 pep:known chromosome:GRCm38:2:20914800:20968625:-1 gene:ENSMUSG00000036591.15 transcript:ENSMUST00000125783.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap21 description:Rho GTPase activating protein 21 [Source:MGI Symbol;Acc:MGI:1918685] MMATHWTGLPEEDGDKLKACGAASACEVSKN >ENSMUSP00000122497.2 pep:known chromosome:GRCm38:2:20847919:20967721:-1 gene:ENSMUSG00000036591.15 transcript:ENSMUST00000154230.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap21 description:Rho GTPase activating protein 21 [Source:MGI Symbol;Acc:MGI:1918685] MMATHWTGLPEEDGDKLKACGAASACEVSKNKDGKDQGEPVSPSEDEPFSWPGPKTVMLK RTSQGFGFTLRHFIVYPPESAIQFSYKDEENGNRGGKQRNRLEPMDTIFVKQVKEGGPAF EAGLCTGDRIIKVNGESVIGKTYSQVIALIQNSDTTLELSVMPKDEDILQVLQFTKDVTA LAYSQDAYLKGNEAYSGNARNIPEPPPVCYPWLPSTPSATAQPVETCPPDSLPNKQQTSA PVLTQPGRAYRMEIQVPPSPTDVAKSNTAVCVCNESVRTVIVPSEKVVDLLANRNNPSGP SHRTEEVRYGVNEQASTKAASRTTSPASVPTAHLIHQTTGSRSLEPSGILLKSGNYSGHS EGISSSRSQAVDSPPVSVNHYSANSHQHIDWKNYKTYKEYIDNRRLHIGCRTIQERLDSL RAASQSAADYNQVVPTRTTLQVRRRSTSHDRVPQSVQIRQRSVSQERLEDSVLMKYCPRS ASQGALTSPPVSFNNHRTRSWDYIEGQTEATATVNSESQIPDSNGERKQTYKWSGFTEQD DRRGIHERPRQQEMHKPFRGSNLTVAPVVNSDNRRLVGRGVGPVSQFKKIPPDLRPPHSN RNFPTTTGVSLQRGIAQDRSPLVKVRSNSLKVPPPPVSKPSFSQHSLASMKDQRPVNHLH QHSVLSQQTQFRSESTFEHQLETEVSSCLPGTSAKTSPQLSENLGTSDLELPAIPRNGDI NLQEAEIQQPDVLDNKESVILREKPQSGRQTPQPLRHQSYILAVNDQETGSDTTCWLPND ARREVHIKRMEERKASSTSPPGDSLASIPFIDEPTSPSIDHEIAHIPASAVISASTAHVP SIATVPPSLTTSAPLIRRQLSHDQESVGPPSLDGQHSSKTERSKSYDEGLDDYREDAKLS FKHVSSLKGIKITDSQKSSEDSGSRKGSSSEVFSDAAREGWLQFRPLVTDKGKRVGGSIR PWKQMYVVLRGHSLYLYKDRREQTTPSEEEQPISVNACLIDISYSETKRRNVFRLTTSDC ECLFQAEDRDDMLSWIKTIQESSNLNEEDTGVTNRDLISRRIKEYNSLLSKTEQLPKTPR QSLSIRQTLLGAKSEPKTQSPHSPKEESERKLLSKDDTSPPKDKGTWRRGIPSIVRKTFE KKPAATGTFGVRLDDCPPAHTNRYIPLIVDICCKLVEERGLEYTGIYRVPGNNAAISSMQ EELNKGMADIDIQDDKWRDLNVISSLLKSFFRKLPEPLFTNDKYADFIEANRKEDPLDRL RTLKRLIHDLPEHHFETLKFLSAHLKTVAENSEKNKMEPRNLAIVFGPTLVRTSEDNMTH MVTHMPDQYKIVETLIQHHDWFFTEEGAEEPLTAVQEENTVDSQPVPNIDHLLTNIGRTG VLPGDVSDSATSDSAKSKGSWGSGKDQYSRELLVSSIFAAASRKRKKPKEKAQPSSSEDE LDSVFFKKENTEQSHSEIKEESKRESETSGSKQRVVVAKESNTKKDSGTTKEEKKIPWEE PSPPHSSKRNRSPTLSCRLAMLKEGPRSLLTQKPHCEETGSDSGTLLSTSSQASLLRSST KKSTSPETKHSEFLSIAGTTTSDYSTTSSTTYLTSLDSSRLSPEVQSVAESKGDEADDER SELVSEGRPVETDSESEFPVFPTTLTSDRLFRGKFQEVARVSRRNSEGSEASCTEGSLTP SLDSRRQQFSSHRLIECDTLSRKKSARFKSDSGSPGDTRTEKETPALAKMFDVMKKGKST GSLLTPSRSESEKQEATWKTKIADRLKLRPRAPADDMFGVGNQKPTAETAKRKNIKRRHT LGGHRDATEISVLSFWKAHEQSADKESELSAVNRLKPKCSAQDLSISDWLARERVRTSAS DLSRGEGLEPQAESPSVLGTPISTHSPPSQQPEARVAATSTLASTSQSPLFTPPQSPDQI NRESFQNMSQNASSTANIHPHKQSESPDTKAETPP >ENSMUSP00000099572.3 pep:known chromosome:GRCm38:11:75193783:75267769:1 gene:ENSMUSG00000045287.6 transcript:ENSMUST00000102514.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4rl1 description:reticulon 4 receptor-like 1 [Source:MGI Symbol;Acc:MGI:2661375] MLRKGCCVELLLLLLAGELPLGGGCPRDCVCYPAPMTVSCQAHNFAAIPEGIPEDSERIF LQNNRITFLQQGHFSPAMVTLWIYSNNITFIAPNTFEGFVHLEELDLGDNRQLRTLAPET FQGLVKLHALYLYKCGLSALPAGIFGGLHSLQYLYLQDNHIEYLQDDIFVDLVNLSHLFL HGNKLWSLGQGIFRGLVNLDRLLLHENQLQWVHHKAFHDLHRLTTLFLFNNSLTELQGDC LAPLVALEFLRLNGNAWDCGCRARSLWEWLRRFRGSSSAVPCATPELRQGQDLKLLRVED FRNCTGPVSPHQIKSHTLTTSDRAARKEHHPSHGASRDKGHPHGHPPGSRSGYKKAGKNC TSHRNRNQISKVSSGKELTELQDYAPDYQHKFSFDIMPTARPKRKGKCARRTPIRAPSGV QQASSGTALGAPLLAWILGLAVTLR >ENSMUSP00000119091.1 pep:known chromosome:GRCm38:2:121392631:121413609:-1 gene:ENSMUSG00000033486.14 transcript:ENSMUST00000154604.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Catsper2 description:cation channel, sperm associated 2 [Source:MGI Symbol;Acc:MGI:2387404] MAQEQGHFQLLRADAIRSKLIDTFSLIEHLQGLSQAVPRHTLREILDPSYQQKLMSGDQE QLVRFSIKPRRMGHITHSRRLLSRLRVRCSRMPPLSLWAGWVLDSSVFSKFIISLIFLNT FVLMVEIGDSTLNH >ENSMUSP00000037222.4 pep:known chromosome:GRCm38:2:121394355:121413792:-1 gene:ENSMUSG00000033486.14 transcript:ENSMUST00000038073.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsper2 description:cation channel, sperm associated 2 [Source:MGI Symbol;Acc:MGI:2387404] MAQEQGHFQLLRADAIRSKLIDTFSLIEHLQGLSQAVPRHTLREILDPSYQQKLMSGDQE QLVRFSIKPRRMGHITHSRRLLSRLRVRCSRMPPLSLWAGWVLDSSVFSKFIISLIFLNT FVLMVEIELMESTNTALWPVKLALEVADWFILLSFIVEILLMWLASFSLFWKDAWNVFDF FVTLLSLLPELVVLLGVPAHSVWLQLLRVCRVLRSLKLFARFRQIKVILLALVRALKSMT FLLMLLLIFFYIFAVTGVYFFREYSRSTIEGLEYNMFFSDLLNSLVTVFILFTLDHWYAV LQDIWKVPESSRVFSSIYVILWLLLGSIIFRNIIVAMMVTNFQNIRSELSEEMSHLEVQY KADMFKQQIIQRRQHSESLRGTSLGKVSEDIIETSDASDDDDDDDDDDDDDDDDDDKSDA TESDGEKNENEESDSENSESENSESEKIDPEKDYAKKSYPEKSHPEKSYPEKSHPEKSYP EKSHPKKSYDEQAEAEESKEKAYPVSHSISSHGSTAADTAFLENLDWETLVHENLPGLMD MDQDDRIVWPRDSLFRYFELLEKLQYNLEERKKLQEFAVQALMSFEDK >ENSMUSP00000023514.3 pep:known chromosome:GRCm38:16:37647170:37654453:-1 gene:ENSMUSG00000022820.3 transcript:ENSMUST00000023514.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb4 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex 4 [Source:MGI Symbol;Acc:MGI:1915444] MSGSKYKPAPLATLPSTLDPAEYDVSPETRRAQVERLSIRARLKREYLLQYNDPKRVSHI EDPALIRWTYARSANIYPNFRPTPKNSLLGAVAGFGPLIFWYYVFKTDRDRKERLIQEGK LDRKFNISY >ENSMUSP00000125329.1 pep:known chromosome:GRCm38:2:120027493:120043033:1 gene:ENSMUSG00000033852.16 transcript:ENSMUST00000162393.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28042 description:predicted gene, 28042 [Source:MGI Symbol;Acc:MGI:5547778] MAEAALEAVRRALQEFPAAARDLNVPRVVPYLDEPPSPLCFYRDWVCPNRPCIIRNALQH WPALQKWSLSYLRATVGSTEVSVAVTPDGYADAVRGDRFVMPAERRLPISHVLDVLEGRA QHPGVLYVQKQCSNLPTELPQLLSDIESHVPWASESLGKMPDAVNFWLGDASAVTSLHKD HYENLYCVVSGEKHFLLHPPSDRPFIPYRNAVLVMVRVPDRLLAPCLPDLAFRSLHTSNL PAD >ENSMUSP00000115498.1 pep:known chromosome:GRCm38:2:120027504:120043033:1 gene:ENSMUSG00000033852.16 transcript:ENSMUST00000129685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28042 description:predicted gene, 28042 [Source:MGI Symbol;Acc:MGI:5547778] MAEAALEAVRRALQEFPAAARDLNVPRVVPYLDEPPSPLCFYRDWVCPNRPCIIRNALQH WPALQKWSLSYLRATVGSTEVSVAVTPDGYADAVRGDRFVMPAERRLPISHVLDVLEGRA QHPGVLYVQKQCSNLPTELPQLLSDIESHVPWASESLGKMPDAVNFWLGDASAVTSLHKD HYENLYCVVSGEKHFLLHPPSDRPFIPYNLYTPATYQLTEEGTFRVVDEEAMEKAKVPET CLLTVRVLRASGLPSKDLVTSSDCYVTLNLPTASSHTLQTRTVKNSRNPVWNQNFHFRIH RQLKNVMELKVFDHDLVTRDDPVLSVLFDVGTLQIGTQRQSFSLGTQEKGCLEVEFRLQT LTDCEEQLISNGIVVARELSCLHVELKRTGDPKRSERKVQLVVAGACEGPQDASAGTGSF HFHYPACWEQELNVHLQDDPHEQLKVPLRTLPSSQLVRLVFPTSQEPLMRLELKKEEGPK ELAVRLGCGPCPEEQAFLSKRKQVVAAALKKALQLDQDLHEDEIPVIAVMATGGGIRAMT SLYGQLAGLQELGLLDCISYITGASGSTWALANLYEDPEWSQKDLAGPTEVLKTQVTKSK LGALAPSQLWRYRQELAERARLGHPTCFTNLWALINEALLHDKPHEHKLSDQREALSRGQ NPLPIYCALNSKEQGLSTFDFGEWCEFSPYEVGFPKYGAFISSELFGSEFFMGRLVKQLP ESRICFLEGIWSNLFAASLQDSLYWSSEPSQFWDRWAQDQANLDKEQVPHLKIAEPPTMA GRIAELFTDLLTKRPLAHATHNFTRGLHFHKDYFQNSHFSAWKASKLDRLPNQLTPTEPH LCLLDVGYLINTSCPPLLQPTRDVDLILSLDYNLYGAFQQLQLLSRFCQEQGIPFPSISP SPEEQRQPQECHLFCDPAQPEAPAVLHFPLVNDSFQDYSAPGVPRTSEEKAAGEVNLSSS DSPYHYTKVTYSQEDVDKLLRLTHYNICNNQDRLREAMHQAVQRRRKRKQFRPE >ENSMUSP00000117535.1 pep:known chromosome:GRCm38:2:120027507:120041595:1 gene:ENSMUSG00000033852.16 transcript:ENSMUST00000156805.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28042 description:predicted gene, 28042 [Source:MGI Symbol;Acc:MGI:5547778] MAEAALEAVRRALQEFPAAARDLNVPRVVPYLDEPPSPLCFYRDWVCPNRPCIIRNALQH WPALQKWSLSYLRATVGSTEVSVAVTPDGYADAVRGDRFVMPAERRLPISHVLDVLEGRA QHPGVLYVQKQCSNLPTELPQLLSDIESHVPWASESLGKMPDAVNFWLGDASAVTSLHKD HYENLYCVVSGEKHFLLHPPSDRPFIPYNLYTPATYQLTEEGTFRVVDEEAMEKAKVPET CLLTVRVLRASGLPSKDLVTSSDCYVTLNLPTASSHTLQTRTVKNSRNPVWNQNFHFRIH RQLKNVMELKVFDHDLVTRDDPVLSVLFDVGTLQIGTQRQSFSLGTQEKGCLEVEFRLQT LTDCEEQLISNGIVVARELSCLHVELKRTGDPKRSERKVQLVVAGACEGPQDASAGTGSF HFHYPACWEQELNVHLQDDPHEQLKVPLRTLPSSQLVRLVFPTSQEPLMRLELKKEEGPK ELAVRLGCGPCPEEQAFLSKRKQVVAAALKKALQLDQDLHEDEIPVIAVMATGGGIRAMT SLYGQLAGLQELGLLDCISYITGASGSTWALANLYEDPEWSQKDLAGPTEVLKTQVTKSK LGALAPSQLWRYRQELAERARLGHPTCFTNLWALINEALLHDKPHEHKLSDQREALSRGQ NPLPIYCALNSKEQGLSTFDFGEWCEFSPYEVGFPKYGAFISSELFGSEFFMGRLVKQLP ESRICFLEGIWSNLFAASLQDSLYWSSEPSQFWDRWAQDQANLDKEQVPHLKIAEPPTMA GRIAELFTDLLTKRPLAHATHNFTRGLHFHKDYFQNSHFSAWKASKLDRLPNQLTPTEPH LCLLDVGYLINTSCPPLLQPTRDVDLILSLDYNLYGAFQGCHGHRRRRQLGR >ENSMUSP00000122869.1 pep:known chromosome:GRCm38:2:120031848:120034993:1 gene:ENSMUSG00000033852.16 transcript:ENSMUST00000125805.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28042 description:predicted gene, 28042 [Source:MGI Symbol;Acc:MGI:5547778] XIPLDPLAPDLTQYPSYSQAQALHCTVRAGEMLYLPALWFHHVQQSHGCIAVNFWYDMEY DLKYSYFQLMDTLTRATGLD >ENSMUSP00000132845.1 pep:known chromosome:GRCm38:10:129250536:129251474:1 gene:ENSMUSG00000096220.1 transcript:ENSMUST00000097163.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr775 description:olfactory receptor 775 [Source:MGI Symbol;Acc:MGI:3030609] MRNHSMVTEFLLSGISDTPEVQVVIFILLFIAYILSVTGNLTIITLTLLDSQLKTPMYFF LQNFSFLEIIFTSVSVPRFLGSIITEVKTISYNNCLTQLYFFLSLGVSEFFLLTAMSYDR YVAICKPLHYVIIMNQKVCTLLVLTSWLIGFLSIFPLIMLIHKLDFCASNTIDHFCCDYF PILQLSCSDTRLLEAFGLYCASITLLFTLALVILSYICIINTILRFPSASQRKKAFSTCS SHMIVISISYGSCIFMYVKPSANERASLTKGVAVLNTSIAPMLNPFIYTLRNQQVKQAFK DFINKVMFNRNK >ENSMUSP00000097571.4 pep:known chromosome:GRCm38:16:32142785:32165585:-1 gene:ENSMUSG00000052384.13 transcript:ENSMUST00000099991.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrros description:negative regulator of reactive oxygen species [Source:MGI Symbol;Acc:MGI:2445095] MEFPPLWLCLGFHFLIVEWRSGPGTATAASQGGCKVVDGVADCRGLNLASVPSSLPPHSR MLILDANPLKDLWNHSLQAYPRLENLSLHSCHLDRISHYAFREQGHLRNLVLADNRLSEN YKESAAALHTLLGLRRLDLSGNSLTEDMAALMLQNLSSLEVVSLARNTLMRLDDSIFEGL EHLVELDLQRNYIFEIEGGAFDGLTELRRLNLAYNNLPCIVDFSLTQLRFLNVSYNILEW FLAAREEVAFELEILDLSHNQLLFFPLLPQCGKLHTLLLQDNNMGFYRELYNTSSPQEMV AQFLLVDGNVTNITTVNLWEEFSSSDLSALRFLDMSQNQFRHLPDGFLKKTPSLSHLNLN QNCLKMLHIREHEPPGALTELDLSHNQLAELHLAPGLTGSLRNLRVFNLSSNQLLGVPTG LFDNASSITTIDMSHNQISLCPQMVPVDWEGPPSCVDFRNMGSLRSLSLDGCGLKALQDC PFQGTSLTHLDLSSNWGVLNGSISPLWAVAPTLQVLSLRDVGLGSGAAEMDFSAFGNLRA LDLSGNSLTSFPKFKGSLALRTLDLRRNSLTALPQRVVSEQPLRGLQTIYLSQNPYDCCG VEGWGALQQHFKTVADLSMVTCNLSSKIVRVVELPEGLPQGCKWEQVDTGLFYLVLILPS CLTLLVACTVVFLTFKKPLLQVIKSRCHWSSIY >ENSMUSP00000119349.1 pep:known chromosome:GRCm38:16:32142825:32165494:-1 gene:ENSMUSG00000052384.13 transcript:ENSMUST00000143682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrros description:negative regulator of reactive oxygen species [Source:MGI Symbol;Acc:MGI:2445095] MEFPPLWLCLGFHFLIVEWRSGPGTATAASQGGCKVVDGVADCRGLNLASVPSSLPPHSR MLILDANPLKDLWNHSLQAYPRLENLSLHSCHLDRISHYAFREQGHLRNLVLADNRLSEN YKESAAALHTLLGLRRLDLSGNSLTEDMAALMLQNLSSLEVVSLARNTLMRLDDSIFEGL EHLVELDLQRNYIFEIEGGAFDGLTELRRLNLAYNNLPCIVDFSLTQLRFLNVSYNILEW FLAAREEVAFELEILDLSHNQLLFFPLLPQCGKLHTLLLQDNNMGFYRELYNTSSPQEMV AQFLLVDGNVTNITTVNLWEEFSSSDLSALRFLDMSQNQFRHLPDGFLKKTPSLSHLNLN QNCLKMLHIREHEPPGALTELDLSHNQLAELHLAPGLTGSLRNLRVFNLSSNQLLGVPTG LFDNASSITTIDMSHNQISLCPQMVPVDWEGPPSCVDFRNMGSLRSLSLDGCGLKALQDC PFQGTSLTHLDLSSNWGVLNGSISPLWAVAPTLQVLSLRDVGLGSGAAEMDFSAFGNLRA LDLSGNSLTSFPKFKGSLALRTLDLRRNSLTALPQRVVSEQPLRGLQTIYLSQNPYDCCG VEGWGALQQHFKTVADLSMVTCNLSSKIVRVVELPEGLPQGCKWEQVDTGLFYLVLILPS CLTLLVACTVVFLTFKKPLLQVIKSRCHWSSIY >ENSMUSP00000110819.3 pep:known chromosome:GRCm38:16:32142795:32165557:-1 gene:ENSMUSG00000052384.13 transcript:ENSMUST00000115165.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrros description:negative regulator of reactive oxygen species [Source:MGI Symbol;Acc:MGI:2445095] MRPAEPPGPAGAVDGVADCRGLNLASVPSSLPPHSRMLILDANPLKDLWNHSLQAYPRLE NLSLHSCHLDRISHYAFREQGHLRNLVLADNRLSENYKESAAALHTLLGLRRLDLSGNSL TEDMAALMLQNLSSLEVVSLARNTLMRLDDSIFEGLEHLVELDLQRNYIFEIEGGAFDGL TELRRLNLAYNNLPCIVDFSLTQLRFLNVSYNILEWFLAAREEVAFELEILDLSHNQLLF FPLLPQCGKLHTLLLQDNNMGFYRELYNTSSPQEMVAQFLLVDGNVTNITTVNLWEEFSS SDLSALRFLDMSQNQFRHLPDGFLKKTPSLSHLNLNQNCLKMLHIREHEPPGALTELDLS HNQLAELHLAPGLTGSLRNLRVFNLSSNQLLGVPTGLFDNASSITTIDMSHNQISLCPQM VPVDWEGPPSCVDFRNMGSLRSLSLDGCGLKALQDCPFQGTSLTHLDLSSNWGVLNGSIS PLWAVAPTLQVLSLRDVGLGSGAAEMDFSAFGNLRALDLSGNSLTSFPKFKGSLALRTLD LRRNSLTALPQRVVSEQPLRGLQTIYLSQNPYDCCGVEGWGALQQHFKTVADLSMVTCNL SSKIVRVVELPEGLPQGCKWEQVDTGLFYLVLILPSCLTLLVACTVVFLTFKKPLLQVIK SRCHWSSIY >ENSMUSP00000116388.1 pep:known chromosome:GRCm38:16:32142795:32147881:-1 gene:ENSMUSG00000052384.13 transcript:ENSMUST00000126869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrros description:negative regulator of reactive oxygen species [Source:MGI Symbol;Acc:MGI:2445095] MEFPPLWLCLGFHFLIVEWRSGPGTATAASQGGCKVVDGVADCRGLNLASVPSSLPPHSR MLILDANPLKDLWNHSLQAYPRLENLSLHSCHLDRISHYAFREQGHLRNLVLADNRLSEN YKESAAALHTLLGLRRLDLSGNSLTEDMAALMLQNLSSLEVVSLARNTLMRLDDSIFEGL EHLVELDLQRNYIFEIEGGAFDGLTELRRLNLAYNNLPCIVDFSLTQLRFLNVSYNILEW FLAAREEVAFELEILDLSHNQLLFFPLLPQCGKLHTLLLQDNNMGFYRELYNTSSPQEMV AQFLLVDGNVTNITTVNLWEEFSSSDLSALRFLDMSQNQFRHLPDGFLKKTPSLSHLNLN QNCLKMLHIREHEPPGALTELDLSHNQLAELHLAPGLTGSLRNLRVFNLSSNQLLGVPTG LFDNASSITTIDMSHNQISLCPQMVPVDWEGPPSCVDFRNMGSLRSLSLDGCGLKALQDC PFQGTSLTHLDLSSNWGVLNGSISPLWAVAPTLQVLSLRDVGLGSGAAEMDFSAFGNLRA LDLSGNSLTSFPKFKGSLALRTLDLRRNSLTALPQRVVSEQPLRGLQTIYLSQNPYDCCG VEGWGALQQHFKTVADLSMVTCNLSSKIVRVVELPEGLPQGCKWEQVDTGLFYLVLILPS CLTLLVACTVVFLTFKKPLLQVIKSRCHWSSIY >ENSMUSP00000122290.1 pep:known chromosome:GRCm38:16:32144682:32165594:-1 gene:ENSMUSG00000052384.13 transcript:ENSMUST00000130410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrros description:negative regulator of reactive oxygen species [Source:MGI Symbol;Acc:MGI:2445095] MEFPPLWLCLGFHFLIVEWRSGPGTATAASQGGCKVVDGVADCRGLNLASVPSSLPPHSR MLILDANPLKDLWNHSLQAYPRLENLSLHSCHLDRISHYAFREQGHLRNLVLADNRLSEN YKESAAALHTLLGLRRLDLSGNSL >ENSMUSP00000121492.1 pep:known chromosome:GRCm38:16:32144874:32165482:-1 gene:ENSMUSG00000052384.13 transcript:ENSMUST00000144345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrros description:negative regulator of reactive oxygen species [Source:MGI Symbol;Acc:MGI:2445095] MEFPPLWLCLGFHFLIVEWRSGPGTATAASQGGCKVVDGVADCRGLNLASVPSSLPPHSR MLILDANPLKDLWNHSLQAY >ENSMUSP00000110817.3 pep:known chromosome:GRCm38:16:32142825:32165476:-1 gene:ENSMUSG00000052384.13 transcript:ENSMUST00000115163.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrros description:negative regulator of reactive oxygen species [Source:MGI Symbol;Acc:MGI:2445095] MQEPLETGSIESSGTGNVVVSHQRAVPEMEFPPLWLCLGFHFLIVEWRSGPGTATAASQG GCKVVDGVADCRGLNLASVPSSLPPHSRMLILDANPLKDLWNHSLQAYPRLENLSLHSCH LDRISHYAFREQGHLRNLVLADNRLSENYKESAAALHTLLGLRRLDLSGNSLTEDMAALM LQNLSSLEVVSLARNTLMRLDDSIFEGLEHLVELDLQRNYIFEIEGGAFDGLTELRRLNL AYNNLPCIVDFSLTQLRFLNVSYNILEWFLAAREEVAFELEILDLSHNQLLFFPLLPQCG KLHTLLLQDNNMGFYRELYNTSSPQEMVAQFLLVDGNVTNITTVNLWEEFSSSDLSALRF LDMSQNQFRHLPDGFLKKTPSLSHLNLNQNCLKMLHIREHEPPGALTELDLSHNQLAELH LAPGLTGSLRNLRVFNLSSNQLLGVPTGLFDNASSITTIDMSHNQISLCPQMVPVDWEGP PSCVDFRNMGSLRSLSLDGCGLKALQDCPFQGTSLTHLDLSSNWGVLNGSISPLWAVAPT LQVLSLRDVGLGSGAAEMDFSAFGNLRALDLSGNSLTSFPKFKGSLALRTLDLRRNSLTA LPQRVVSEQPLRGLQTIYLSQNPYDCCGVEGWGALQQHFKTVADLSMVTCNLSSKIVRVV ELPEGLPQGCKWEQVDTGLFYLVLILPSCLTLLVACTVVFLTFKKPLLQVIKSRCHWSSI Y >ENSMUSP00000133501.1 pep:known chromosome:GRCm38:12:3806527:3873381:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000172719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MPSSGPGDTSSSSLEREDDRKEGEEQEENRGKEERQEPSATARKVGRPGRKRKHPPVESS DTPKDPAVTTKSQPMAQDSGPSDLLPNGDLEKRSEPQPEEGSPAAGQKGGAPAEGEGTET PPEASRAVENGCCVTKEGRGASAGEGKEQKQTNIESMKMEGSRGRLRGGLGWESSLRQRP MPRLTFQAGDPYY >ENSMUSP00000020991.8 pep:known chromosome:GRCm38:12:3807030:3914443:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000020991.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MPSSGPGDTSSSSLEREDDRKEGEEQEENRGKEERQEPSATARKVGRPGRKRKHPPVESS DTPKDPAVTTKSQPMAQDSGPSDLLPNGDLEKRSEPQPEEGSPAAGQKGGAPAEGEGTET PPEASRAVENGCCVTKEGRGASAGEGKEQKQTNIESMKMEGSRGRLRGGLGWESSLRQRP MPRLTFQAGDPYYISKRKRDEWLARWKREAEKKAKVIAVMNAVEENQASGESQKVEEASP PAVQQPTDPASPTVATTPEPVGGDAGDKNATKAADDEPEYEDGRGFGIGELVWGKLRGFS WWPGRIVSWWMTGRSRAAEGTRWVMWFGDGKFSVVCVEKLMPLSSFCSAFHQATYNKQPM YRKAIYEVLQVASSRAGKLFPACHDSDESDSGKAVEVQNKQMIEWALGGFQPSGPKGLEP PEEEKNPYKEVYTDMWVEPEAAAYAPPPPAKKPRKSTTEKPKVKEIIDERTRERLVYEVR QKCRNIEDICISCGSLNVTLEHPLFIGGMCQNCKNCFLECAYQYDDDGYQSYCTICCGGR EVLMCGNNNCCRCFCVECVDLLVGPGAAQAAIKEDPWNCYMCGHKGTYGLLRRREDWPSR LQMFFANNHDQEFDPPKVYPPVPAEKRKPIRVLSLFDGIATGLLVLKDLGIQVDRYIASE VCEDSITVGMVRHQGKIMYVGDVRSVTQKHIQEWGPFDLVIGGSPCNDLSIVNPARKGLY EGTGRLFFEFYRLLHDARPKEGDDRPFFWLFENVVAMGVSDKRDISRFLESNPVMIDAKE VSAAHRARYFWGNLPGMNRPLASTVNDKLELQECLEHGRIAKFSKVRTITTRSNSIKQGK DQHFPVFMNEKEDILWCTEMERVFGFPVHYTDVSNMSRLARQRLLGRSWSVPVIRHLFAP LKEYFACV >ENSMUSP00000133869.1 pep:known chromosome:GRCm38:12:3807058:3896995:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000172509.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MAQDSGPSDLLPNGDLEKRSEPQPEEGSPAAGQKGGAPAEGEGTETPPEASRAVENGCCV TKEGRGASAGEGKEQKQTNIESMKMEGSRGRLRGGLGWESSLRQRPMPRLTFQAGDPYYI SKRKRDEWLARWKREAEKKAKVIAVMNAVEENQASGESQKVEEASPPAVQQPTDPASPTV ATTPEPVGGDAGDKNATKAADDEPEYEDGRGFGIGELVWGKLRGFSWWPGRIVSWWMTGR SRAAEGTRWVMWFGDGKFSVVCVEKLMPLSSFCSAFHQATYNKQPMYRKAIYEVLQVASS RAGKLFPACHDSDESDSGKAVEVQNKQMIEWAL >ENSMUSP00000134009.1 pep:known chromosome:GRCm38:12:3807160:3913491:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000174817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MPSSGPGDTSSSSLEREDDRKEGEEQEENRGKEERQEPSATARKVGRPGRKRKHPPVESS DTPKDPAVTTKSQPMAQDSGPSDLLPNGDLEKRSEPQPEEGSPAAGQKGGAPAEGEGTET PPEASRAVENGCCVTKEGRGASAGEGKEQKQTNIESMKMEGSRGRLRGGLGWESSLRQRP MPRLTFQAGDPYYISKRKRDEWLARWKREAEKKAKVIAVMNAVEENQASGESQKVEEASP PAVQQPTDPASPTVATTPEPVGGDAGDKNATKAADDEPEYEDGRGFGIGELVWGKLRGFS WWPGRIVSWWMTGRSRAAEGTRWVMWFGDGKFSVVCVEKLMPLSSFCSAFHQATYNKQPM YRKAIYEVLQVASSRAGKLFPACHDSDESDSGKAVEVQNKQMIEWALGGFQPSGPKGLEP PEEEKNPYKEVYTDMWVEPEAAAYAPPPPAKKPRKSTTEKPKVKEIIDERTRERLVYEVR QKCRNIEDICISCGSLNVTLEHPLFIGGMCQNCKNCFLECAYQYDDDGYQSYCTICCGGR EVLMCGNNNCCRCFCVECVDLLVGPGAAQAAIKEDPWNCYMCGHKGTYGLLRRREDWPSR LQMFFANNHDQEFDPPKVYPPVPAEKRKPIRVLSLFDGIATGLLVLKDLGIQVDRYIASE VCEDSITVGMVRHQGKIMYVGDVRSVTQKHIQEWGPFDLVIGGSPCNDLSIVNPARKGLY EGTGRLFFEFYRLLHDARPKEGDDRPFFWLFENVVAMGVSDKRDISRFLESNPVMIDAKE VSAAHRARYFWGNLPGMNRPLASTVNDKLELQECLEHGRIAKFSKVRTITTRSNSIKQGK DQHFPVFMNEKEDILWCTEMERVFGFPVHYTDVSNMSRLARQRLLGRSWSVPVIRHLFAP LKEYFACV >ENSMUSP00000133938.1 pep:known chromosome:GRCm38:12:3849635:3907887:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000174483.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MAQDSGPSDLLPNGDLEKRSEPQPEEGSPAAGQKGGAPAEGEGTETPPEASRAVENGCCV TKEGRGASAGEG >ENSMUSP00000134632.1 pep:known chromosome:GRCm38:12:3861021:3866162:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000172816.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MAQDSGPSDLLPNGDLEKRSEPQPEEGSPAAGQKGGAPAEG >ENSMUSP00000133567.1 pep:known chromosome:GRCm38:12:3866191:3876062:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000173197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] XRAVENGCCVTKEGRGASAGEGKEQKQTNIESMKMEGSRGRLRGGLGWESSLRQRPMPRL TFQAGDPYYISKRKRDEWLARWKREARRGHQILL >ENSMUSP00000134480.1 pep:known chromosome:GRCm38:12:3885449:3896121:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000174102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MVSLDLLDWLEKLGGHVESCHHCLAEKKAKVIAVMNAVEENQASGESQKVEEASPPAVQQ PTDPASPTVATTPEPVGGDAGDKNATKAADDEPEYEDGRGFGIGELVWGKLRGFSWWPGR IVSWWMTGRSRAAEGTRWVM >ENSMUSP00000133543.1 pep:known chromosome:GRCm38:12:3891728:3907842:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000172689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MNAVEENQASGESQKVEEASPPAVQQPTDPASPTVATTPEPVGGDAGDKNATKAADDEPE YEDGRGFGIGELVWGKLRGFSWWPGRIVSWWMTGRSRAAEGTRWVMWFGDGKFSVVCVEK LMPLSSFCSAFHQATYNKQPMYRKAIYEVLQVASSRAGKLFPACHDSDESDSGKAVEVQN KQMIEWALGGFQPSGPKGLEPPEEEKNPYKEVYTDMWVEPEAAAYAPPPPAKKPRKSTTE KPKVKEIIDERTRERLVYEVRQKCRNIEDICISCGSLNVTLEHPLFIGGMCQNCKNCFLE CAYQYDDDGYQSYCTICCGGREVLMCGNNNCCRCFCVECVDLLVGPGAAQAAIKEDPWNC YMCGHKGTYGLLRRREDWPSRLQMFFANNHDQEFDPPKVYPPVPAEKRKPIRVLSLFDGI ATGLLVLKDLGIQVDRYIASEVCEDSITVGMVRHQGKIMYVGDVRSVTQKHIQEWGPFDL VIGGSPCNDLSIVNPARKGLYEGTGRLFFEFYRLLHDARPKEGDDRPFFWLFENVVAMGV SDKRDISRFLESNPVMIDAKEVSAAHRARYFWGNLPGMNRPLASTVNDKLELQECLEHGR IAKFSKVRTITTRSNSIKQGKDQHFPVFMNEKEDILWCTEMERVFGFPVHYTDVSNMSRL ARQRLLGRSWSVPVIRHLFAPLKEYFACV >ENSMUSP00000134689.1 pep:known chromosome:GRCm38:12:3894824:3895676:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000172879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MNAVEENQASGESQKVEEASPPAVQQPTDPASPTV >ENSMUSP00000134529.1 pep:known chromosome:GRCm38:12:3894869:3896976:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000174774.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MNAVEENQASGESQKVEEASPPAVQQPTDPASPTVATTPEPVGGDAGDKNATKAADDEPE YEDGRGFGIGELVWGKLRGFSWWPGRIVSWWMTGRSRAAEGTRWVMWFGDGKFSVVCVEK LMPLSSFCSAFHQATYNKQPMYRKAIYEVLQVASSRAGKLFPACHDSDESDSGKAVEVQN K >ENSMUSP00000134567.1 pep:known chromosome:GRCm38:12:3895593:3897365:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000172749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] XQASGESQKVEEASPPAVQQPTDPASPTVATTPEPVGGDAGDKNATKAADDEPEYEDGRG FGIGELVWGKLRGFSWWPGRIVSWWMTGRSRAAEGTRWVMWFGDGKFSVVASSRAGKLFP ACHDSDESDSGKAVEVQNKQMIEWALGGFQPSGPKGLEPPEEEKNPYKEVYTDMWVEPEA AAYA >ENSMUSP00000134492.1 pep:known chromosome:GRCm38:12:3900281:3906792:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000174733.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] XPKVYPPVPAEKRKPIRVLSLFDGIATGLLVLKDLGIQVDRYIASEVCEDSITVGMVRHQ GKIMYVGDVRSVTQKHIQEWGPFDLVIGGSPCNDLSIVNPARKGLYV >ENSMUSP00000134496.1 pep:known chromosome:GRCm38:12:3906816:3908547:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000172913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] KDQHFPVFMNEKEDILWCTEMERVFGFPVHYTDVSNMSRLARQRLLGRSWSVPVIRHLFA PLKEYFACVLIR >ENSMUSP00000106817.1 pep:known chromosome:GRCm38:12:3891744:3914443:1 gene:ENSMUSG00000020661.15 transcript:ENSMUST00000111186.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3a description:DNA methyltransferase 3A [Source:MGI Symbol;Acc:MGI:1261827] MNAVEENQASGESQKVEEASPPAVQQPTDPASPTVATTPEPVGGDAGDKNATKAADDEPE YEDGRGFGIGELVWGKLRGFSWWPGRIVSWWMTGRSRAAEGTRWVMWFGDGKFSVVCVEK LMPLSSFCSAFHQATYNKQPMYRKAIYEVLQVASSRAGKLFPACHDSDESDSGKAVEVQN KQMIEWALGGFQPSGPKGLEPPEEEKNPYKEVYTDMWVEPEAAAYAPPPPAKKPRKSTTE KPKVKEIIDERTRERLVYEVRQKCRNIEDICISCGSLNVTLEHPLFIGGMCQNCKNCFLE CAYQYDDDGYQSYCTICCGGREVLMCGNNNCCRCFCVECVDLLVGPGAAQAAIKEDPWNC YMCGHKGTYGLLRRREDWPSRLQMFFANNHDQEFDPPKVYPPVPAEKRKPIRVLSLFDGI ATGLLVLKDLGIQVDRYIASEVCEDSITVGMVRHQGKIMYVGDVRSVTQKHIQEWGPFDL VIGGSPCNDLSIVNPARKGLYEGTGRLFFEFYRLLHDARPKEGDDRPFFWLFENVVAMGV SDKRDISRFLESNPVMIDAKEVSAAHRARYFWGNLPGMNRPLASTVNDKLELQECLEHGR IAKFSKVRTITTRSNSIKQGKDQHFPVFMNEKEDILWCTEMERVFGFPVHYTDVSNMSRL ARQRLLGRSWSVPVIRHLFAPLKEYFACV >ENSMUSP00000103349.1 pep:known chromosome:GRCm38:4:48124915:48185911:1 gene:ENSMUSG00000061455.13 transcript:ENSMUST00000107721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx17 description:syntaxin 17 [Source:MGI Symbol;Acc:MGI:1914977] MSEDEEKVKLRRLEPAIQKFTKIVIPTDLERLRKHQINIEKQLRSNIREMEKLCLKVHKD DLVLLKRMIDPVKEAAATATAEFLQLHLESVEELKKQVNDEELLQPSLTRSTTVDGVLHT GEAEAASQSLTQIYALPEIPQDQNAAESWETLEADLIELSHLVTDMSLLVSSQQEKIDSI ADHVNSAAVNVEEGTKNLQKAAKYKLAALPVAGRNRIRVQESLSERFEIQKKGIGRSHWS RNSHLFLRRVHQQPEHRTRLALNSEIHLSLPPECWD >ENSMUSP00000117512.1 pep:known chromosome:GRCm38:4:48124923:48159033:1 gene:ENSMUSG00000061455.13 transcript:ENSMUST00000153502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx17 description:syntaxin 17 [Source:MGI Symbol;Acc:MGI:1914977] MSEDEEKVKLRRLEPAIQKFTKIVIPTDLERLRKHQINIEKYQRCRIWDKLHEEHINAGR TVQQLRSNIREMEKLCLKVHKDDLVLLKRMIDPVKEAAATATAEFLQLHLESVEELKKQV NDEELLQPSLTRSTTVD >ENSMUSP00000103348.2 pep:known chromosome:GRCm38:4:48124926:48186507:1 gene:ENSMUSG00000061455.13 transcript:ENSMUST00000107720.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx17 description:syntaxin 17 [Source:MGI Symbol;Acc:MGI:1914977] MSEDEEKVKLRRLEPAIQKFTKIVIPTDLERLRKHQINIEKYQRCRIWDKLHEEHINAGR TVQQLRSNIREMEKLCLKVHKDDLVLLKRMIDPVKEAAATATAEFLQLHLESVEELKKQV NDEELLQPSLTRSTTVDGVLHTGEAEAASQSLTQIYALPEIPQDQNAAESWETLEADLIE LSHLVTDMSLLVSSQQEKIDSIADHVNSAAVNVEEGTKNLQKAAKYKLAALPVAGALIGG VVGGPIGLLAGFKVAGIAAALGGGVLGFTGGKLIQRRKQKMMEKLTSSCPDLPSQSDKKR S >ENSMUSP00000068087.4 pep:known chromosome:GRCm38:4:48124935:48182231:1 gene:ENSMUSG00000061455.13 transcript:ENSMUST00000064765.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx17 description:syntaxin 17 [Source:MGI Symbol;Acc:MGI:1914977] MSEDEEKVKLRRLEPAIQKFTKIVIPTDLERLRKHQINIEKYQRCRIWDKLHEEHINAGR TVQQLRSNIREMEKLCLKVHKDDLVLLKRMIDPVKEAAATATAEFLQLHLESVEELKKQV NDEELLQPSLTRSTTVDGVLHTGEAEAASQSLTQIYALPEIPQDQNAAESWETLEADLIE LSHLVTDMSLLVSSQQEKIDSIADHVNSAAVNVEEGTKNLQKAAKYKLAALPVAGALIGG VVGGPIGLLAGFKVAGIAAALGGGVLGFTGGKLIQRRKQKMMEKLTSSCPDLPSQSDKKR S >ENSMUSP00000004396.6 pep:known chromosome:GRCm38:6:29467718:29470512:1 gene:ENSMUSG00000004285.12 transcript:ENSMUST00000004396.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1f description:ATPase, H+ transporting, lysosomal V1 subunit F [Source:MGI Symbol;Acc:MGI:1913394] MAGRGKLIAVIGDEDTVTGFLLGGIGELNKNRHPNFLVVEKDTTINEIEDTFRQFLNRDD IGIILINQYIAEMVRHALDAHQRSIPAVLEIPSKEHPYDAAKDSILRRAKGMFTAEDLR >ENSMUSP00000116986.1 pep:known chromosome:GRCm38:6:29468068:29470512:1 gene:ENSMUSG00000004285.12 transcript:ENSMUST00000143101.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1f description:ATPase, H+ transporting, lysosomal V1 subunit F [Source:MGI Symbol;Acc:MGI:1913394] XGPGWSRKSSVHPEQGPPPSKFPFGAQWGPAYRRQFLNRDDIGIILINQYIAEMVRHALD AHQRSIPAVLEIPSKEHPYDAAKDSILRRAKGMFTAEDLR >ENSMUSP00000145062.1 pep:known chromosome:GRCm38:6:29468068:29470512:1 gene:ENSMUSG00000004285.12 transcript:ENSMUST00000149646.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1f description:ATPase, H+ transporting, lysosomal V1 subunit F [Source:MGI Symbol;Acc:MGI:1913394] MLDTKQFLNRDDIGIILINQYIAEMVRHALDAHQRSIPAVLEIPSKEHPYDAAKDSILRR AKGMFTAEDLR >ENSMUSP00000080302.6 pep:known chromosome:GRCm38:X:106187100:106203699:1 gene:ENSMUSG00000062070.12 transcript:ENSMUST00000081593.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgk1 description:phosphoglycerate kinase 1 [Source:MGI Symbol;Acc:MGI:97555] MSLSNKLTLDKLDVKGKRVVMRVDFNVPMKNNQITNNQRIKAAVPSIKFCLDNGAKSVVL MSHLGRPDGVPMPDKYSLEPVAAELKSLLGKDVLFLKDCVGPEVENACANPAAGTVILLE NLRFHVEEEGKGKDASGNKVKAEPAKIDAFRASLSKLGDVYVNDAFGTAHRAHSSMVGVN LPQKAGGFLMKKELNYFAKALESPERPFLAILGGAKVADKIQLINNMLDKVNEMIIGGGM AFTFLKVLNNMEIGTSLYDEEGAKIVKDLMSKAEKNGVKITLPVDFVTADKFDENAKTGQ ATVASGIPAGWMGLDCGTESSKKYAEAVGRAKQIVWNGPVGVFEWEAFARGTKSLMDEVV KATSRGCITIIGGGDTATCCAKWNTEDKVSHVSTGGGASLELLEGKVLPGVDALSNV >ENSMUSP00000138706.1 pep:known chromosome:GRCm38:X:106187163:106203661:1 gene:ENSMUSG00000062070.12 transcript:ENSMUST00000135949.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgk1 description:phosphoglycerate kinase 1 [Source:MGI Symbol;Acc:MGI:97555] MSLSNKLTLDKLDVKGKRVVMRVDFNVPMKNNQITNNQRIKAAVPSIKFCLDNGAKSVVL MSHLGRPDGVPMPDKYSLEPVAAELKSLLGKDVLFLKDCVGPEVENACANPAAGTVILLE NLRFHVEEEGKGKDASGNKVKAEPAKIDAFRASLSKLGDVYVNDAFGTAHRAHSSMVGVN LPQKAGGFLMKKELNYFAKALESPERPFLAILGGAKVADKIQLINNMLDKVNEMIIGGGM AFTFLKVLNNMEYRG >ENSMUSP00000135269.1 pep:known chromosome:GRCm38:9:107997989:108032146:1 gene:ENSMUSG00000093456.7 transcript:ENSMUST00000176356.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20662 description:predicted gene 20662 [Source:MGI Symbol;Acc:MGI:5313109] VVVLTATGAVLLLALGWLLSRILRGNILMAEPKMSDRTVN >ENSMUSP00000135534.1 pep:known chromosome:GRCm38:9:107998027:108032138:1 gene:ENSMUSG00000093456.7 transcript:ENSMUST00000176459.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20662 description:predicted gene 20662 [Source:MGI Symbol;Acc:MGI:5313109] XALGWLLSRILRGNILMAEPKMSDRTVN >ENSMUSP00000139273.1 pep:known chromosome:GRCm38:2:180070592:180085902:1 gene:ENSMUSG00000039069.19 transcript:ENSMUST00000184862.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtg2 description:mitochondrial ribosome associated GTPase 2 [Source:MGI Symbol;Acc:MGI:106565] MVSTRLVLARTCTVFEGVLKWAPSTRAVLRPSHLLLQASCRLLSVGIVDHAKHREPSGKK VLSEKKLKRHFVDHRRVLVRGGSGGSGMSCFHSEPRKEFGGPDGGDGGNGGHIILRVDQQ VKSLSSVLSQYQGFSGEDGGSKNCSGRGGATLYIQVPVGTLVKEGDKIVADLSNLGDEYV AALGGAGGKGNRFFLANDNRAPVTCTPGQPGQERVLYLELKTMAHAGMVCP >ENSMUSP00000104529.1 pep:known chromosome:GRCm38:2:180071793:180085902:1 gene:ENSMUSG00000039069.19 transcript:ENSMUST00000108901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtg2 description:mitochondrial ribosome associated GTPase 2 [Source:MGI Symbol;Acc:MGI:106565] MVSTRLVLARTCTVFEGVLKWAPSTRAVLRPSHLLLQASCRLLSVGIVDHAKHREPSGKK VLSEKKLKRHFVDHRRVLVRGGSGGSGMSCFHSEPRKEFGGPDGGDGGNGGHIILRVDQQ VKSLSSVLSQYQGFSGEDGGSKNCSGRGGATLYIQVPVGTLVKEGDKIVADLSNLGDEYV AALGGAGGKGNRFFLANDNRAPVTCTPGQPGQERVLYLELKTMAHAGMVGFPNAGKSSLL RAISNAKPAVASYPFTTLNPHVGIVHYEGHQQVAVADIPGIIRGAHQNKGLGLSFLRHIE RCRFFLFVVDLTLPEPWTQVDDLKYELEKFEEGLSERSHVIIANKIDLPQARARLPQLQA RLGQEAIGLSALTGENLEQLLLHLKELHDAHIEAELEQGRQPLRW >ENSMUSP00000084843.6 pep:known chromosome:GRCm38:2:180078623:180085902:1 gene:ENSMUSG00000039069.19 transcript:ENSMUST00000087563.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtg2 description:mitochondrial ribosome associated GTPase 2 [Source:MGI Symbol;Acc:MGI:106565] MVSTRLVLARTCTVFEGVLKWAPSTRAVLRPSHLLLQASCRLLSVGIVDHAKHREPSGKK VLSEKKLKRHFVDHRRVLVRGGSGGSGMSCFHSEPRKEFGGPDGGDGGNGGHIILRVDQQ VKSLSSVLSQYQGFSGEDGGSKNCSGRGGATLYIQVPVGTLVKEGDKIVADLSNLGDEYV AALGGAGGKGNRFFLANDNRAPVTCTPGQPGQERVLYLELKTMAHAGMVGFPNAGKSSLL RAISNAKPAVASYPFTTLNPHVGIVHYEGHQQVAVADIPGIIRGAHQNKGLGLSFLRHIE RCRFFLFVVDLTLPEPWTQVDDLKYELEKFEEGLSERSHVIIANKIDLPQARARLPQLQA RLGQEAIGLSALTGENLEQLLLHLKELHDAHIEAELEQGRQPLRW >ENSMUSP00000033342.6 pep:known chromosome:GRCm38:7:108934417:108942951:1 gene:ENSMUSG00000031029.6 transcript:ENSMUST00000033342.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3f description:eukaryotic translation initiation factor 3, subunit F [Source:MGI Symbol;Acc:MGI:1913335] MASPAVPANVPPATAAAAPAPVVTAAPASAPTPSTPAPTPAATPAASPAPVSSDPAVAAP AAPGQTPASAPAPAQTPAPSQPGPALPGPFPGGRVVRLHPVILASIVDSYERRNEGAARV IGTLLGTVDKHSVEVTNCFSVPHNESEDEVAVDMEFAKNMYELHKKVSPNELILGWYATG HDITEHSVLIHEYYSREAPNPIHLTVDTGLQHGRMSIKAYVSTLMGVPGRTMGVMFTPLT VKYAYYDTERIGVDLIMKTCFSPNRVIGLSSDLQQVGGASARIQDALSTVLQYAEDVLSG KVSADNTVGRFLMSLVNQVPKIVPDDFETMLNSNINDLLMVTYLANLTQSQIALNEKLVN L >ENSMUSP00000040001.3 pep:known chromosome:GRCm38:9:107950956:107972270:1 gene:ENSMUSG00000032586.9 transcript:ENSMUST00000049348.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traip description:TRAF-interacting protein [Source:MGI Symbol;Acc:MGI:1096377] MPIRALCTICSDFFDHSRDVAAIHCGHTFHLQCLIQWFETAPSRTCPQCRIQVGKKTIIN KLFFDLAQEEENVLDAEFLKNELDSVKAQLSQKDREKRDSQAIIDTLRDTLEERNATVES LQNALNKAEMLCSTLKKQMKFLEQRQDETKQAREEAHRLKCKMKTMEQIELLLQSQRSEV EEMIRDMGVGQSAVEQLAVYCVSLKKEYENLKEARKATGELADRLKKDLVSSRSKLKTLN TELDQAKLELRSAQKDLQSADQEITSLRKKLMILQGTLSLPPATNETVSRLVFESPAPVE MMNPRLHQPPFGDEIDLNTTFDVNTPPTQTSGSQHCLPKKLCLERARSPMQNVLKKVHKV SKPESQLSLGGQRCVGELDEELAGAFPLFIRNAVLGQKQPNRTTAESRCSTDVVRIGFDG LGGRTKFIQPRDTTIIRPVPVKSKAKSKQKVRIKTVSSASQPKLDTFLCQ >ENSMUSP00000141689.1 pep:known chromosome:GRCm38:9:107950988:107961045:1 gene:ENSMUSG00000032586.9 transcript:ENSMUST00000194271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traip description:TRAF-interacting protein [Source:MGI Symbol;Acc:MGI:1096377] MPIRALCTICSDFFDHSRDVAAIHCGHTFHLQCLIQWFETAPSRTCPQCRIQEEENVLDA EFLKNELDSVKAQLSQKDREKRDSQAIIDTLRDTLEERNATVESLQNALNKAEMLCSTLK KQMKFLEQRQDETKQAREEAHRL >ENSMUSP00000110532.1 pep:known chromosome:GRCm38:17:27630422:27635276:-1 gene:ENSMUSG00000052146.15 transcript:ENSMUST00000114882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps10 description:ribosomal protein S10 [Source:MGI Symbol;Acc:MGI:1914347] MLMPKKNRIAIYELLFKEGVMVAKKDVHMPKHPELADKNVPNLHVMKAMQSLKSRGYVKE QFAWRHFYWYLTNEGIQYLRDYLHLPPEIVPATLRRSRPETGRPRPKGPEGERPARFTRG EADRDTYRRSAVPPGADKKAEAGAGSATEFQFRGGFGRGRGQPPQ >ENSMUSP00000110531.1 pep:known chromosome:GRCm38:17:27630424:27636629:-1 gene:ENSMUSG00000052146.15 transcript:ENSMUST00000114881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps10 description:ribosomal protein S10 [Source:MGI Symbol;Acc:MGI:1914347] MLMPKKNRIAIYELLFKEGVMVAKKDVHMPKHPELADKNVPNLHVMKAMQSLKSRGYVKE QFAWRHFYWYLTNEGIQYLRDYLHLPPEIVPATLRRSRPETGRPRPKGPEGERPARFTRG EADRDTYRRSAVPPGADKKAEAGAGSATEFQFRGGFGRGRGQPPQ >ENSMUSP00000025052.6 pep:known chromosome:GRCm38:17:27630425:27635240:-1 gene:ENSMUSG00000052146.15 transcript:ENSMUST00000025052.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps10 description:ribosomal protein S10 [Source:MGI Symbol;Acc:MGI:1914347] MLMPKKNRIAIYELLFKEGVMVAKKDVHMPKHPELADKNVPNLHVMKAMQSLKSRGYVKE QFAWRHFYWYLTNEGIQYLRDYLHLPPEIVPATLRRSRPETGRPRPKGPEGERPARFTRG EADRDTYRRSAVPQRRLWSWTWSATSVKLEFMLY >ENSMUSP00000136042.1 pep:known chromosome:GRCm38:17:27630465:27634475:-1 gene:ENSMUSG00000052146.15 transcript:ENSMUST00000178774.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps10 description:ribosomal protein S10 [Source:MGI Symbol;Acc:MGI:1914347] MLMPKKNRIAIYELLFKEGVMVAKKDVHMPKHPELADKNVPNLHVMKAMQSLKSRGYVKE QFAWRHFYWYLTNEGIQYLRDYLHLPPEIVPATLRRSRPETGRPRPKGPEGERPARFTRG EADRDTYRRSAVPPGADKKAEAGAGSATEFQFRGGFGRGRGQPPQ >ENSMUSP00000097447.1 pep:known chromosome:GRCm38:2:87135381:87136319:-1 gene:ENSMUSG00000075159.3 transcript:ENSMUST00000099861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1110 description:olfactory receptor 1110 [Source:MGI Symbol;Acc:MGI:3030944] MAIWNHTGVSEFILVGLTENPNWQVPLFLLFSVVYFIILVGNWGMIILIWLNAQLHTPMY FFLSNLSFCDICYSTIIAPKMLLNFLSEHKSSTFFACILQSFFFAVYVTTEVILLSMMAY DRYVAIANPLMYTVIMTHNICTQMVLASYLGGLINSMIHTIGLLKLDFCGPNIVNHFFCD VPPLLKLACSDAHINEMLLLVFSGMIAIFTFIIIMVSYIHIIIAILRIRSAEGRRKAFST CASHLTAVTLFYGSLTFNYIQPSSQYSMEQEKLSAMFYTLVIPMLNPLIYSLRNKDVKEA AKRLICRESSTS >ENSMUSP00000065716.4 pep:known chromosome:GRCm38:6:71588972:71632905:-1 gene:ENSMUSG00000053470.13 transcript:ENSMUST00000065509.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm3a description:lysine (K)-specific demethylase 3A [Source:MGI Symbol;Acc:MGI:98847] MVLTLGESWPVLVGKRFLSLSAAEGNEGGQDNWDLERVAEWPWLSGTIRAVSHTDVTKKD LKVCVEFDGESWRKRRWIDVYSLQRKAFLVEHNLVLAERKSPEVPEQVIQWPAIMYKSLL DKAGLGAITSVRFLGDQQSVFVSKDLLKPIQDVNSLRLSLTDNQTVSKEFQALIVKHLDE SHLLQGDKNLVGSEVKIYSLDPSTQWFSATVVHGNPSSKTLQVNCEEIPALKIVDPALIH VEVVHDNFVTCGNSTRTGAVKRKSSENNGSSVSKQAKSCSEASPSMCPVQSVPTTVFKEI LLGCTAATPSSKDPRQQNTPQAANSPPNIGAKLPQGCHKQNLPEELSSCLNTKPEVPRTK PDVCKEGLLSSKSSQVGAGDLKILSEPKGSCIQPKTNTDQESRLESAPQPVTGLPKECLP AKTSSKAELDIATTPELQKHLEHAASTSDDLSDKPEVKAGVTSLNSCAEKKVEPSHLGSQ SQNLKETSVKVDNESCCTRSSNKTQTPPARKSVLTDPDKVRKLQQSGEAFVQDDSCVNIV AQLPKCRECRLDSLRKDKDQQKDSPVFCRFFHFRRLQFNKHGVLRVEGFLTPNKYDSEAI GLWLPLTKNVVGTDLDTAKYILANIGDHFCQMVISEKEAMSTIEPHRQVAWKRAVKGVRE MCDVCDTTIFNLHWVCPRCGFGVCVDCYRMKRKNCQQGAAYKTFSWIRCVKSQIHEPENL MPTQIIPGKALYDVGDIVHSVRAKWGIKANCPCSNRQFKLFSKPALKEDLKQTSLSGEKP TLGTMVQQSSPVLEPVAVCGEAASKPASSVKPTCPTSTSPLNWLADLTSGNVNKENKEKQ LTMPILKNEIKCLPPLPPLNKPSTVLHTFNSTILTPVSNNNSGFLRNLLNSSTAKTENGL KNTPKILDDIFASLVQNKTSSDSSKRPQGLTIKPSILGFDTPHYWLCDNRLLCLQDPNNK SNWNVFRECWKQGQPVMVSGVHHKLNTELWKPESFRKEFGEQEVDLVNCRTNEIITGATV GDFWDGFEDVPNRLKNDKEKEPMVLKLKDWPPGEDFRDMMPSRFDDLMANIPLPEYTRRD GKLNLASRLPNYFVRPDLGPKMYNAYGLITPEDRKYGTTNLHLDVSDAANVMVYVGIPKG QCEQEEEVLRTIQDGDSDELTIKRFIEGKEKPGALWHIYAAKDTEKIREFLKKVSEEQGQ DNPADHDPIHDQSWYLDRSLRKRLYQEYGVQGWAIVQFLGDVVFIPAGAPHQVHNLYSCI KVAEDFVSPEHVKHCFWLTQEFRYLSQTHTNHEDKLQVKNVIYHAVKDAVAMLKASESSL GKP >ENSMUSP00000145959.1 pep:known chromosome:GRCm38:6:71589037:71632990:-1 gene:ENSMUSG00000053470.13 transcript:ENSMUST00000207023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm3a description:lysine (K)-specific demethylase 3A [Source:MGI Symbol;Acc:MGI:98847] MVLTLGESWPVLVGKRFLSLSAAEGNEGGQDNWDLERVAEWPWLSGTIRAVSHTDVTKKD LKVCVEFDGESWRKRRWIDVYSLQRKAFLVEHNLVLAERKSPEVPEQVIQWPAIMYKSLL DKAGLGAITSVRFLGDQQSVFVSKDLLKPIQDVNSLRLSLTDNQTVSKEFQALIVKHLDE SHLLQGDKNLVGSEVKIYSLDPSTQWFSATVVHGNPSSKTLQVNCEEIPALKIVDPALIH VEVVHDNFVTCGNSTRTGAVKRKSSENNGSSVSKQAKSCSEASPSMCPVQSVPTTVFKEI LLGCTAATPSSKDPRQQNTPQAANSPPNIGAKLPQGCHKQNLPEELSSCLNTKPEVPRTK PDVCKEGLLSSKSSQVGAGDLKILSEPKGSCIQPKTNTDQESRLESAPQPVTGLPKECLP AKTSSKAELDIATTPELQKHLEHAASTSDDLSDKPEVKAGVTSLNSCAEKKVEPSHLGSQ SQNLKETSVKVDNESCCTRSSNKTQTPPARKSVLTDPDKVRKLQQSGEAFVQDDSCVNIV AQLPKCRECRLDSLRKDKDQQKDSPVFCRFFHFRRLQFNKHGVLRVEGFLTPNKYDSEAI GLWLPLTKNVVGTDLDTAKYILANIGDHFCQMVISEKEAMSTIEPHRQVAWKRAVKGVRE MCDVCDTTIFNLHWVCPRCGFGVCVDCYRMKRKNCQQGAAYKTFSWIRCVKSQIHEPENL MPTQIIPGKALYDVGDIVHSVRAKWGIKANCPCSNRQFKLFSKPALKEDLKQTSLSGEKP TLGTMVQQSSPVLEPVAVCGEAASKPASSVKPTCPTSTSPLNWLADLTSGNVNKENKEKQ LTMPILKNEIKCLPPLPPLNKPSTVLHTFNSTILTPVSNNNSGFLRNLLNSSTAKTENGL KNTPKILDDIFASLVQNKTSSDSSKRPQGLTIKPSILGFDTPHYWLCDNRLLCLQDPNNK SNWNVFRECWKQGQPVMVSGVHHKLNTELWKPESFRKEFGEQEVDLVNCRTNEIITGATV GDFWDGFEDVPNRLKNDKEKEPMVLKLKDWPPGEDFRDMMPSRFDDLMANIPLPEYTRRD GKLNLASRLPNYFVRPDLGPKMYNAYGLITPEDRKYGTTNLHLDVSDAANVMVYVGIPKG QCEQEEEVLRTIQDGDSDELTIKRFIEGKEKPGALWHIYAAKDTEKIREFLKKVSEEQGQ DNPADHDPIHDQSWYLDRSLRKRLYQEYGVQGWAIVQFLGDVVFIPAGAPHQVHNLYSCI KVAEDFVSPEHVKHCFWLTQEFRYLSQTHTNHEDKLQVKNVIYHAVKDAVAMLKASESSL GKP >ENSMUSP00000145719.1 pep:known chromosome:GRCm38:6:71599326:71632686:-1 gene:ENSMUSG00000053470.13 transcript:ENSMUST00000205289.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm3a description:lysine (K)-specific demethylase 3A [Source:MGI Symbol;Acc:MGI:98847] MVLTLGESWPVLVGKRFLSLSAAEGNEGGQDNWDLERVAEWPWLSGTIRAVSHTDVTKKD LKVCVEFDGESWRKRRWIDVYSLQRKAFLVEHNLVLAERKSPEVPEQVIQWPAIMYKSLL DKAGLGAITSVRFLGDQQSVFVSKDLLKPIQDVNSLRLSLTDNQTVSKEFQALIVKHLDE SHLLQGDKNLVGSEVKIYSLDPSTQWFSATVVHGNPSSKTLQVNCEEIPALKIVDPALIH VEVVHDNFVTCGNSTRTGAVKRKSSENNGSSVSKQAKSCSEASPSMCPVQSVPTTVFKEI LLGCTAATPSSKDPRQQNTPQAANSPPNIGAKLPQGCHKQNLPEELSSCLNTKPEVPRTK PDVCKEGLLSSKSSQVGAGDLKILSEPKGSCIQPKTNTDQESRLESAPQPVTGLPKECLP AKTSSKAELDIATTPELQKHLEHAASTSDDLSDKPEVKAGVTSLNSCAEKKVEPSHLGSQ SQNLKETSVKVDNESCCTRSSNKTQTPPARKSVLTDPDKVRKLQQSGEAFVQDDSCVNIV AQLPKCRECRLDSLRKDKDQQKDSPVFCRFFHFRRLQFNKHGVLRVEGFLTPNKYDSEAI GLWLPLTKNVVGTDLDTAKYILANIGDHFCQMVISEKEAMSTIEPHRQVAWKRAVKGVRE MCDVCDTTIFNLHWVCPRCGFGVCVDCYRMKRKNCQQGAAYKTFSWIRCVKSQIHEPENL MPTQIIPGKALYDVGDIVHSVRAKWGIKANCPCSNRQFKLFSKPALKEDLKQTSLSGEKP TLGTMVQQSSPVLEPVAVCGEAASKPASSVKPTCPTSTSPLNWLADLTSGNVNK >ENSMUSP00000145992.1 pep:known chromosome:GRCm38:6:71600723:71612004:-1 gene:ENSMUSG00000053470.13 transcript:ENSMUST00000206916.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kdm3a description:lysine (K)-specific demethylase 3A [Source:MGI Symbol;Acc:MGI:98847] XNLPEELSSCLNTKPEVPRTKPDVCKEGLLSSKSSQPQPGSQF >ENSMUSP00000128789.1 pep:known chromosome:GRCm38:6:71588974:71632686:-1 gene:ENSMUSG00000053470.13 transcript:ENSMUST00000167220.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm3a description:lysine (K)-specific demethylase 3A [Source:MGI Symbol;Acc:MGI:98847] MVLTLGESWPVLVGKRFLSLSAAEGNEGGQDNWDLERVAEWPWLSGTIRAVSHTDVTKKD LKVCVEFDGESWRKRRWIDVYSLQRKAFLVEHNLVLAERKSPEVPEQVIQWPAIMYKSLL DKAGLGAITSVRFLGDQQSVFVSKDLLKPIQDVNSLRLSLTDNQTVSKEFQALIVKHLDE SHLLQGDKNLVGSEVKIYSLDPSTQWFSATVVHGNPSSKTLQVNCEEIPALKIVDPALIH VEVVHDNFVTCGNSTRTGAVKRKSSENNGSSVSKQAKSCSEASPSMCPVQSVPTTVFKEI LLGCTAATPSSKDPRQQNTPQAANSPPNIGAKLPQGCHKQNLPEELSSCLNTKPEVPRTK PDVCKEGLLSSKSSQVGAGDLKILSEPKGSCIQPKTNTDQESRLESAPQPVTGLPKECLP AKTSSKAELDIATTPELQKHLEHAASTSDDLSDKPEVKAGVTSLNSCAEKKVEPSHLGSQ SQNLKETSVKVDNESCCTRSSNKTQTPPARKSVLTDPDKVRKLQQSGEAFVQDDSCVNIV AQLPKCRECRLDSLRKDKDQQKDSPVFCRFFHFRRLQFNKHGVLRVEGFLTPNKYDSEAI GLWLPLTKNVVGTDLDTAKYILANIGDHFCQMVISEKEAMSTIEPHRQVAWKRAVKGVRE MCDVCDTTIFNLHWVCPRCGFGVCVDCYRMKRKNCQQGAAYKTFSWIRCVKSQIHEPENL MPTQIIPGKALYDVGDIVHSVRAKWGIKANCPCSNRQFKLFSKPALKEDLKQTSLSGEKP TLGTMVQQSSPVLEPVAVCGEAASKPASSVKPTCPTSTSPLNWLADLTSGNVNKENKEKQ LTMPILKNEIKCLPPLPPLNKPSTVLHTFNSTILTPVSNNNSGFLRNLLNSSTAKTENGL KNTPKILDDIFASLVQNKTSSDSSKRPQGLTIKPSILGFDTPHYWLCDNRLLCLQDPNNK SNWNVFRECWKQGQPVMVSGVHHKLNTELWKPESFRKEFGEQEVDLVNCRTNEIITGATV GDFWDGFEDVPNRLKNDKEKEPMVLKLKDWPPGEDFRDMMPSRFDDLMANIPLPEYTRRD GKLNLASRLPNYFVRPDLGPKMYNAYGLITPEDRKYGTTNLHLDVSDAANVMVYVGIPKG QCEQEEEVLRTIQDGDSDELTIKRFIEGKEKPGALWHIYAAKDTEKIREFLKKVSEEQGQ DNPADHDPIHDQSWYLDRSLRKRLYQEYGVQGWAIVQFLGDVVFIPAGAPHQVHNLYSCI KVAEDFVSPEHVKHCFWLTQEFRYLSQTHTNHEDKLQVKNVIYHAVKDAVAMLKASESSL GKP >ENSMUSP00000023741.8 pep:known chromosome:GRCm38:15:98831672:98871204:-1 gene:ENSMUSG00000048154.16 transcript:ENSMUST00000023741.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2d description:lysine (K)-specific methyltransferase 2D [Source:MGI Symbol;Acc:MGI:2682319] MDSQKPPAEDKDSDPAADGLAAPEKPGATEPDLPILCIGEVSVPGSGGSRPQKPPHDCSR GPARRCALCNCGEPGLHGQRELQRFELPSDWPGFPVVPSGGNSGPCEAVLPKEDASQIGF PEGLTPAHLGEPGGHCWAHHWCAAWSAGVWGQEGPELCGVDKAIFSGISQRCSHCARFGA SVPCRSPGCSRLYHFPCATASGSFLSMKTLQLLCPEHSDGAAHLEEARCAVCEGPGQLCD LLFCTSCGHHYHGACLDTALTARKRASWQCPECKVCQSCRKPGNDSKMLVCETCDKGYHT FCLKPPMEDLPAHSWKCKTCRLCRACGAGSAELNPNSEWFENYSLCHRCHKAQGSQPVTS VAEQHPAVCSRLSPPEPGEIPIDAPDALYVACQGQPKGGHVTSMQPKELAPLQCEAKPLG RAGTQLEAQLEAPLHEEMPLLPPPEESPLSPPPEESPTSPPPEASRLSPPTEESPLSPPP ESSPFSPLEGCPPSPALDTPLSPPPEASPLSPPFEESPLSPPPEELPSSPPPEASRLSPP PEESPMSPPPEESPMSPPPEASRLFPPFEESPLSPPPEDSPLSPPPEASRLSPPPEDSPM SPPPEDSPMSPPPEVSRFLPLPVLSHLSPLPEVSRLSPPPEESPLSPPPEDSPASPPPEA SRLSPPPEDSPASPPPEASRLSRPREDSPASPPPEDSLVSLPMEESPLSPLPEELRLCPQ PEEPYLSPQPEEPRLCPQPEELPLSPQSEEPCLSPVLVEPGPSSQPEEPHLSPVPQEPHL SPQPEEPHLSPQPQQLHLSPHSEEPCLSPMPEEPCLSPQPEELNGPPQPAEPPEEPSQSS APKELSLFSPSGEPPLPPMLGEPALSEPGEPPLSPLPEELPLSLSGEPVLSPQLMPPDPL PPPLSPIIPAAAPPALSPLGELEYPFGAKGDSDPESPLAAPILETPISPPPEANCTDPEP VPPMILPPSPGSPLGPASPILMERLPPPCSPLLPHSLPPPTPPPSHCSPPALPLSVPSPL SPVQKAVDVSDEAELHEMETDKGPEPECPALEPRATSPLPSPLGDLSCPAPSPAPALDDF SGLGEDTAPLDGTGQMSGSLAGELKGSPVLLDPEELTPVTPMEVYGPECKQAGQGSPCEE QEEPGAPMAPMPPTLIKSDIVNEISNLSQGDASASFPGSEPLLGSPDPEGGGSLSMELGV STDVSPARDEGSLRLCTDSLPETDDSLLCDTGTATSGGKAEGDKGRRRSSPARSRIKQGR SSSFPGRRRPRGGAAHGGRGRGRARLKSTTSSVETLVADIDSSPSKEEEEEDDDTMQNTV VLFSNTDKFVLMQDMCVVCGSFGRGAEGHLLACSQCSQCYHPYCVNSKITKVMLLKGWRC VECIVCEVCGQASDPSRLLLCDDCDISYHTYCLDPPLLTVPKGGWKCKWCVSCMQCGAAS PGFHCEWQNSYTHCGPCASLVTCPVCHAPYVEEDLLIQCRHCERWMHAGCESLFTEDEVE QAADEGFDCVSCQPYVVKPVVPVAPPELVPVKVKEPEPQFFRFEGVWLTETGMAVLRNLT MSPLHKRRQRRGRLGLPGEAGLEGSEPSDALGPDDKKDGDLDTDDLLKGEGGVEQMECEI KLEGPASPDVELGKEETEESKKRKRKPYRPGIGGFMVRQRKSHTRVKRGPAAQAEVLSGD GQPDEVMPADLPAEGSVEQSLAEGDEKKKQQRRARKKSKLEDMFPAYLQEAFFGKDLLDL SRKALFAVGVGRPGFGLGASKPRADGGSDRKELMTAMHKGDDGPDVADEESHGPEGTADL PGLEDGGVKASPVPSDPEKPGTPGEGVLSSDLDRIPTEELPKMESKDLQQLFKDVLGSER EQHLGCGTPGLEGGRTSLQRPFLQGGLALGSLPSSSPMDSYPGLCQSPFLDSRERGGFFS PEPGEPDSPWTGSGGTTPSTPTTPTTEGEGDGLSYNQRSLQRWEKDEELGQLSTISPVLY ANINFPNLKQDYPDWSSRCKQIMKLWRKVPAADKAPYLQKAKDNRAAHRISKVQKQAESQ ISKQAKMGDIARKTDRPALHLRIPSQPGALGSPPPAAAPTIFLGSPTTPAGLSTSADGFL KPPAGTVPGPDSPGELFLKLPPQVPAQVPSQDPFGLAPAYAPEPRFSAAPPTYPPYPSPT GAPAQPPMLGTTTRPGTGQPGEFHTTPPGTPRHQPSTPDPFLKPRCPSLDNLAVPESPGV AGGKASEPLLSPPPFGESRKSLEVKKEELGASSPGYGPPNLGCVDSPSAGPHLGGLELKA PDVFKAPLTPRASQVEPQSPGLGLRAQEPPPAQALAPSPPSHPDVFRSGPYPDPYAQPPL TPRPQPPPPESCCAPPPRSLPSDPFSRVPASPQSQSSSQSPLTPRPLSAEAFCPSPVTPR FQSPDPYSRPPSRPQSRDPFAPLHKPPRPQPPEVAFKAGPLAHTPLGAGGFPAALPSGPA GELHAKVPSGQPTNFARSPGTGTFVGTPSPMRFTFPQGVGEPSLKPPVPQPGLPSPHGIN SHFGPGPTLGKPQSTNYAVATGNFHPSGSPLGPNSGPTGEGYGLSPLRPASVLPPPAPDG SLPYLTHGASQRVGITSPVEKREDPGATMSSSSLATPELSSAQDAGISSLSQTELEKQRQ RQRLRELLIRQQIQRNTLRQEKETAAAAAGAVGPPGNWGAEPSSPAFEQLSRGQTPFTGS QDRSSIVGLPASKLGGPTLGPGAFSSDDRLARPLPPATPSSMDMNSRQLVGGSQAFYQRT PYPGSLPLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLWQQQQQQQQQQQQQAAAAAAAT SMRLAMSARFPSTPGPELGRQALGSPLAGIPTRLPGPAEPVPGPAGPAQFIELRHNVQKG LGPGVSPFPGQGPPQRPRFYPVSEELHRLAPEGLRGLAVPGLPSQKPSALPAPELNNSLH QTPHAKGPALASGLELVSRPPSNTELSRPPLALEAGKLPCEDPELDDDFDAHKALEDDEE LAHLGLGVDVAKGDDELGTLENLETNDPHLDDLLNGDEFDLLAYTDPELDTGDKKDIFNE HLRLVESANEKAEREALLRGVEPVSLGPEERPPPAPDNSEPRLTSVLPEVKPKVEEGGRH PSPCQFTINTPKVEPAPPATSLSLGLKPGQTVMGTRDTRGGVGTGSFPSSGHTAEKGPFG ATGGTPAHLLNPSSLSGPAASSLLEKFELESGALTLPSGHAAAGDELDKMESSLVASELP LLIEDLLEHEKKELQKKQQLSAQTVLPAQQQQQQQQQQQQQQQQHTLLPTPGPAQALPLP HEPGPPQQLALGIGSTRQPGLGQSMVPIQPPAHALQQRLAPSVAMVSNQGHMLSGQQAGQ TGLVPQQSSQPVLAQKPMSAMPASMCMKPQQLAMQQQQLANSFFPDTDLDKFAAEDIIDP IAKAKMVALKGIKKVMAQGSIGVAPGMNRQQVSLLAQRLSGGSGSDLQNHVAPGSGQERN AGDPAQPRPNPPTFAQGVINEADQRQYEEWLFHTQQLLQMQLKVLEEQIGVHRKSRKALC AKQRTAKKAGREFPEADAEKLKLVTEQQSKIQKQLDQVRKQQKEHTNLMAEYRNKQQQQQ QQQQQQQQQQHSAVLAVSPSQNPRVLTKLPGQLLPAHGLQPPQAPPGGQAGGLRLPPGGM VLPGQSGGPFLNTTLAQQQQQQHSGVAGSLTGPPGSFFPGNLALRSLGPDSRLLQERQLQ LQQQRMQLAQKLQQQQQQQQQQQQQQHLLGQVAIQQQQGPGVQNQALGPKPQGLLPPSNH QGLLVQQLSPQQSQGSQGLLGPAQVTVLQQQQQQQQHSGALGPQGPHRQVLMTQSRVLSS PQLAQQGHSLMGHRLLTAQQQQQQQQQQQQQQQQQQQQQQQQQGSMTGLSQLQQGMMSHG GQPKMSAQALGSLQQQQQQLQQQQMLQQQQLQQQQQQLQQQQQQQQLQQQQQQQLQLQQQ QQQQQQHLQHQQQQQQQLQQQQQLQQQQQQQLHLQQQLHQQQQLQLQQQQMGLLNQNRTL LSPQQQQQQQQQQQQQQQQQQQQQQQQQQVTLGPGLPVKPLQHFSSSGALGPTLLLTGKE QNNAETALPSEVTEGPSTHQGGPPAVGTAPEPMSVEPGEVKPSISGDSQLLLVQSQAQSQ ATSVQLQPPLRLPGQPQPQVNLLHTAGGGSHGQQLGSGSSSESPAVPHLLAQPSVSLGEQ PGPMAQNLLGSQQPLGLDRPIQNNTGSQPPKSGPAPQSGQGPPGAGVMPTVGQLRAQLQG VLAKNPQLRHLSPQQQQQLQALLMQRQLQQSQAVRQTPPFQEPGTQPSPLQGLLGCQPQP GGFSVSQTGPLQELGAGSRPQGPPRLPVPQGALSTGPVLGPAHPTPPPSSPQEPKRPSQL PSPSAQLTPTHPGTPKPQGPALELPPGRVSPAAAQLADTFFGKGLGPWDPSDNLTEAQKP EQSSLVPGHLDQVNGQVVHEPSQLSIKQEPREEPCALGAQTVKREANGEPAGAPGTSNHL LLAGSRSEAGHLLLQKLLRAKNVQLGAGRGPEGLRAEINGHIDSKLSGLEQKLQGTSSNK EDAATRKPLPAKPKRVQKTSDRLPSSRKKLRKEDGVRANEALLKQLKQELSQLPLTEPTI TANFSLFAPFGSGCLVSGQSQLRGAFGSGALHTGPDYYSQLLTKNNLSNPPTPPSSLPPT PPPSVQQKMVNGVTPSDELGERPKDTASAQDSEGALRDAAEVKSLDLLAALPTPPHNQTE DVRMESDEDSDSPDSIVPASSPESILGEEAPRFPQLGSGRWEQDNRALSPVIPIIPRTGI PVFPDTKPYGVLDLEVPGKLPATAWEKGKGSEVSVMLTVSAAAAKNLNGVMVAVAELLSM KIPNSYEVLFPDGPARAGLEPKKGEAEGPGGKEKGLSGKGPDTGPDWLKQFDAVLPGYTL KSQLDILSLLKQESPAPEPSIQHSYTYNVSNLDVRQLSAPPPEEPSPPPSPLAPSPASPP AEPMVELQAERPAEPPIPSPLPLASSPESARPKPRARPPEESEDSRPPRLKKWKGVRWKR LRLLLTIQKGSGHQEDEREVAEFMEQFGTALRPSKVPRDNRRCCFCHEEGDGATDGPARL LNLDLDLWVHLNCALWSTEVYETQGGALMNVEVALHRGLLTKCSLCQRTGATSSCNRMRC PNVYHFACAIRAKCMFFKDKTMLCPVHKIKGPCEQELSSFAVFRRVYIERDEVKQIASII QRGERLHMFRVGGLVFHAIGQLLPHQMADFHSATALYPVGYEATRIYWSLRTNNRRCCYR CSISENNGRPEFVIKVIEQGLEDLVFTDASPQAVWNRIIEPVAAMRKEADMLRLFPEYLK GEELFGLTVHAVLRIAESLPGVESCQNYLFRYGRHPLMELPLMINPTGCARSEPKILTHY KRPHTLNSTSMSKAYQSTFTGETNTPYSKQFVHSKSSQYRRLRTEWKNNVYLARSRIQGL GLYAAKDLEKHTMVIEYIGTIIRNEVANRREKIYEEQNRGIYMFRINNEHVIDATLTGGP ARYINHSCAPNCVAEVVTFDKEDKIIIISSRRIPKGEELTYDYQFDFEDDQHKIPCHCGA WNCRKWMN >ENSMUSP00000142312.1 pep:known chromosome:GRCm38:15:98834301:98837121:-1 gene:ENSMUSG00000048154.16 transcript:ENSMUST00000191973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2d description:lysine (K)-specific methyltransferase 2D [Source:MGI Symbol;Acc:MGI:2682319] TGCARSEPKILTHYKRVFTSIISFDPHNNLMRPHTLNSTSMSKAYQSTFTGETNTPYSKQ FVHSKSSQYRRLRTEWKNNVYLARSRIQGLGLYAAKDLEKHTMVIEYIGTIIRNEVANRR EKIYEEQNRGIYMFRINNEHVIDATLTGGPARYINHSCAPNCVAEVVTFDKEDKIIIISS RRIPKGEELTYDYQFDFEDDQHKIPCHCGAWNCRKWMN >ENSMUSP00000139020.1 pep:known chromosome:GRCm38:15:98854714:98856309:-1 gene:ENSMUSG00000048154.16 transcript:ENSMUST00000184363.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2d description:lysine (K)-specific methyltransferase 2D [Source:MGI Symbol;Acc:MGI:2682319] EESKKRKRKPYRPGIGGFMVRQRKSHTRVKRGPAAQAEVLSGDGQPDEGETVMPADLPAE GSVEQSLAEGDEKKKQQRRARKKSKLEDMFPAYLQEAFFGKDLLDLSRKALFAVGVGRPG FGLGASKPRADGGSDRKELMTAMHKGDDGPDVADEESHGPEGTADLPGLEDGGVKASPVP SDPEKPGTPGEGVLSSDLDRIPTEELPKMESKDLQQLFKDVLGSEREQHLGCGTPGLEGG RTSLQRPFLQ >ENSMUSP00000135941.2 pep:known chromosome:GRCm38:15:98831669:98871183:-1 gene:ENSMUSG00000048154.16 transcript:ENSMUST00000178486.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2d description:lysine (K)-specific methyltransferase 2D [Source:MGI Symbol;Acc:MGI:2682319] MDSQKPPAEDKDSDPAADGLAAPEKPGATEPDLPILCIGEVSVPGSGGSRPQKPPHDCSR GPARRCALCNCGEPGLHGQRELQRFELPSDWPGFPVVPSGGNSGPCEAVLPKEDASQIGF PEGLTPAHLGEPGGHCWAHHWCAAWSAGVWGQEGPELCGVDKAIFSGISQRCSHCARFGA SVPCRSPGCSRLYHFPCATASGSFLSMKTLQLLCPEHSDGAAHLEEARCAVCEGPGQLCD LLFCTSCGHHYHGACLDTALTARKRASWQCPECKVCQSCRKPGNDSKMLVCETCDKGYHT FCLKPPMEDLPAHSWKCKTCRLCRACGAGSAELNPNSEWFENYSLCHRCHKAQGSQPVTS VAEQHPAVCSRLSPPEPGEIPIDAPDALYVACQGQPKGGHVTSMQPKELAPLQCEAKPLG RAGTQLEAQLEAPLHEEMPLLPPPEESPLSPPPEESPTSPPPEASRLSPPTEESPLSPPP ESSPFSPLEGCPPSPALDTPLSPPPEASPLSPPFEESPLSPPPEELPSSPPPEASRLSPP PEESPMSPPPEESPMSPPPEASRLFPPFEESPLSPPPEDSPLSPPPEASRLSPPPEDSPM SPPPEDSPMSPPPEVSRFLPLPVLSHLSPLPEVSRLSPPPEESPLSPPPEDSPASPPPEA SRLSPPPEDSPASPPPEASRLSRPREDSPASPPPEDSLVSLPMEESPLSPLPEELRLCPQ PEEPYLSPQPEEPRLCPQPEELPLSPQSEEPCLSPVLVEPGPSSQPEEPHLSPVPQEPHL SPQPEEPHLSPQPQQLHLSPHSEEPCLSPMPEEPCLSPQPEELNGPPQPAEPPEEPSQSS APKELSLFSPSGEPPLPPMLGEPALSEPGEPPLSPLPEELPLSLSGEPVLSPQLMPPDPL PPPLSPIIPAAAPPALSPLGELEYPFGAKGDSDPESPLAAPILETPISPPPEANCTDPEP VPPMILPPSPGSPLGPASPILMERLPPPCSPLLPHSLPPPTPPPSHCSPPALPLSVPSPL SPVQKAVDVSDEAELHEMETDKGPEPECPALEPRATSPLPSPLGDLSCPAPSPAPALDDF SGLGEDTAPLDGTGQMSGSLAGELKGSPVLLDPEELTPVTPMEVYGPECKQAGQGSPCEE QEEPGAPMAPMPPTLIKSDIVNEISNLSQGDASASFPGSEPLLGSPDPEGGGSLSMELGV STDVSPARDEGSLRLCTDSLPETDDSLLCDTGTATSGGKAEGDKGRRRSSPARSRIKQGR SSSFPGRRRPRGGAAHGGRGRGRARLKSTTSSVETLVADIDSSPSKEEEEEDDDTMQNTV VLFSNTDKFVLMQDMCVVCGSFGRGAEGHLLACSQCSQCYHPYCVNSKITKVMLLKGWRC VECIVCEVCGQASDPSRLLLCDDCDISYHTYCLDPPLLTVPKGGWKCKWCVSCMQCGAAS PGFHCEWQNSYTHCGPCASLVTCPVCHAPYVEEDLLIQCRHCERWMHAGCESLFTEDEVE QAADEGFDCVSCQPYVVKPVVPVAPPELVPVKVKEPEPQFFRFEGVWLTETGMAVLRNLT MSPLHKRRQRRGRLGLPGEAGLEGSEPSDALGPDDKKDGDLDTDDLLKGEGGVEQMECEI KLEGPASPDVELGKEETEESKKRKRKPYRPGIGGFMVRQRKSHTRVKRGPAAQAEVLSGD GQPDEVMPADLPAEGSVEQSLAEGDEKKKQQRRARKKSKLEDMFPAYLQAAFFGKDLLDL SRKALFAVGVGRPGFGLGASKPRADGGSDRKELMTAMHKGDDGPDVADEESHGPEGTADL PGLEDGGVKASPVPSDPEKPGTPGEGVLSSDLDRIPTEELPKMESKDLQQLFKDVLGSER EQHLGCGTPGLEGGRTSLQRPFLQGGLALGSLPSSSPMDSYPGLCQSPFLDSRERGGFFS PEPGEPDSPWTGSGGTTPSTPTTPTTEGEGDGLSYNQRSLQRWEKDEELGQLSTISPVLY ANINFPNLKQDYPDWSSRCKQIMKLWRKVPAADKAPYLQKAKDNRAAHRISKVQKQAESQ ISKQAKMGDIARKTDRPALHLRIPSQPGALGSPPPAAAPTIFLGSPTTPAGLSTSADGFL KPPAGTVPGPDSPGELFLKLPPQVPAQVPSQDPFGLAPAYAPEPRFSAAPPTYPPYPSPT GAPAQPPMLGTTTRPGTGQPGEFHTTPPGTPRHQPSTPDPFLKPRCPSLDNLAVPESPGV AGGKASEPLLSPPPFGESRKSLEVKKEELGASSPGYGPPNLGCVDSPSAGPHLGGLELKA PDVFKAPLTPRASQVEPQSPGLGLRAQEPPPAQALAPSPPSHPDVFRSGPYPDPYAQPPL TPRPQPPPPESCCAPPPRSLPSDPFSRVPASPQSQSSSQSPLTPRPLSAEAFCPSPVTPR FQSPDPYSRPPSRPQSRDPFAPLHKPPRPQPPEVAFKAGPLAHTPLGAGGFPAALPSGPA GELHAKVPSGQPTNFARSPGTGTFVGTPSPMRFTFPQGVGEPSLKPPVPQPGLPSPHGIN SHFGPGPTLGKPQSTNYAVATGNFHPSGSPLGPNSGPTGEGYGLSPLRPASVLPPPAPDG SLPYLTHGASQRVGITSPVEKREDPGATMSSSSLATPELSSAQDAGISSLSQTELEKQRQ RQRLRELLIRQQIQRNTLRQEKETAAAAAGAVGPPGNWGAEPSSPAFEQLSRGQTPFTGS QDRSSIVGLPASKLGGPTLGPGAFSSDDRLARPLPPATPSSMDMNSRQLVGGSQAFYQRT PYPGSLPLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLWQQQQQQQQQQQQQAAAAAAAT SMRLAMSARFPSTPGPELGRQALGSPLAGIPTRLPGPAEPVPGPAGPAQFIELRHNVQKG LGPGVSPFPGQGPPQRPRFYPVSEELHRLAPEGLRGLAVPGLPSQKPSALPAPELNNSLH QTPHAKGPALASGLELVSRPPSNTELSRPPLALEAGKLPCEDPELDDDFDAHKALEDDEE LAHLGLGVDVAKGDDELGTLENLETNDPHLDDLLNGDEFDLLAYTDPELDTGDKKDIFNE HLRLVESANEKAEREALLRGVEPVSLGPEERPPPAPDNSEPRLTSVLPEVKPKVEEGGRH PSPCQFTINTPKVEPAPPATSLSLGLKPGQTVMGTRDTRGGVGTGSFPSSGHTAEKGPFG ATGGTPAHLLNPSSLSGPAASSLLEKFELESGALTLPSGHAAAGDELDKMESSLVASELP LLIEDLLEHEKKELQKKQQLSAQTVLPAQQQQQQQQQQQQQQQQHTLLPTPGPAQALPLP HEPGPPQQLALGIGSTRQPGLGQSMVPIQPPAHALQQRLAPSVAMVSNQGHMLSGQQAGQ TGLVPQQSSQPVLAQKPMSAMPASMCMKPQQLAMQQQQLANSFFPDTDLDKFAAEDIIDP IAKAKMVALKGIKKVMAQGSIGVAPGMNRQQVSLLAQRLSGGSGSDLQNHVAPGSGQERN AGDPAQPRPNPPTFAQGVINEADQRQYEEWLFHTQQLLQMQLKVLEEQIGVHRKSRKALC AKQRTAKKAGREFPEADAEKLKLVTEQQSKIQKQLDQVRKQQKEHTNLMAEYRNKQQQQQ QQQQQQQQQQHSAVLAVSPSQNPRVLTKLPGQLLPAHGLQPPQAPPGGQAGGLRLPPGGM VLPGQSGGPFLNTTLAQQQQQQHSGVAGSLTGPPGSFFPGNLALRSLGPDSRLLQERQLQ LQQQRMQLAQKLQQQQQQQQQQQQQQHLLGQVAIQQQQGPGVQNQALGPKPQGLLPPSNH QGLLVQQLSPQQSQGSQGLLGPAQVTVLQQQQQQQQHSGALGPQGPHRQVLMTQSRVLSS PQLAQQGHSLMGHRLLTAQQQQQQQQQQQQQQQQQQQQQQQQQGSMTGLSQLQQGMMSHG GQPKMSAQALGSLQQQQQQLQQQQMLQQQQLQQQQQQLQQQQQQQQLQQQQQQQLQLQQQ QQQQQQHLQHQQQQQQQLQQQQQLQQQQQQQLHLQQQLHQQQQLQLQQQQMGLLNQNRTL LSPQQQQQQQQQQQQQQQQQQQQQQQQQQVTLGPGLPVKPLQHFSSSGALGPTLLLTGKE QNNAETALPSEVTEGPSTHQGGPPAVGTAPEPMSVEPGEVKPSISGDSQLLLVQSQAQSQ ATSVQLQPPLRLPGQPQPQVNLLHTAGGGSHGQQLGSGSSSESPAVPHLLAQPSVSLGEQ PGPMAQNLLGSQQPLGLDRPIQNNTGSQPPKSGPAPQSGQGPPGAGVMPTVGQLRAQLQG VLAKNPQLRHLSPQQQQQLQALLMQRQLQQSQAVRQTPPFQEPGTQPSPLQGLLGCQPQP GGFSVSQTGPLQELGAGSRPQGPPRLPVPQGALSTGPVLGPAHPTPPPSSPQEPKRPSQL PSPSAQLTPTHPGTPKPQGPALELPPGRVSPAAAQLADTFFGKGLGPWDPSDNLTEAQKP EQSSLVPGHLDQVNGQVVHEPSQLSIKQEPREEPCALGAQTVKREANGEPAGAPGTSNHL LLAGSRSEAGHLLLQKLLRAKNVQLGAGRGPEGLRAEINGHIDSKLSGLEQKLQGTSSNK EDAATRKPLPAKPKRVQKTSDRLPSSRKKLRKEDGVRANEALLKQLKQELSQLPLTEPTI TANFSLFAPFGSGCLVSGQSQLRGAFGSGALHTGPDYYSQLLTKNNLSNPPTPPSSLPPT PPPSVQQKMVNGVTPSDELGERPKDTASAQDSEGALRDAAEVKSLDLLAALPTPPHNQTE DVRMESDEDSDSPDSIVPASSPESILGEEAPRFPQLGSGRWEQDNRALSPVIPIIPRTGI PVFPDTKPYGVLDLEVPGKLPATAWEKGKGSEVSVMLTVSAAAAKNLNGVMVAVAELLSM KIPNSYEVLFPDGPARAGLEPKKGEAEGPGGKEKGLSGKGPDTGPDWLKQFDAVLPGYTL KSQLDILSLLKQESPAPEPSIQHSYTYNVSNLDVRQLSAPPPEEPSPPPSPLAPSPASPP AEPMVELQAERPAEPPIPSPLPLASSPESARPKPRARPPEESEDSRPPRLKKWKGVRWKR LRLLLTIQKGSGHQEDEREVAEFMEQFGTALRPSKVPRDNRRCCFCHEEGDGATDGPARL LNLDLDLWVHLNCALWSTEVYETQGGALMNVEVALHRGLLTKCSLCQRTGATSSCNRMRC PNVYHFACAIRAKCMFFKDKTMLCPVHKIKGPCEQELSSFAVFRRVYIERDEVKQIASII QRGERLHMFRVGGLVFHAIGQLLPHQMADFHSATALYPVGYEATRIYWSLRTNNRRCCYR CSISENNGRPEFVIKVIEQGLEDLVFTDASPQAVWNRIIEPVAAMRKEADMLRLFPEYLK GEELFGLTVHAVLRIAESLPGVESCQNYLFRYGRHPLMELPLMINPTGCARSEPKILTHY KRPHTLNSTSMSKAYQSTFTGETNTPYSKQFVHSKSSQYRRLRTEWKNNVYLARSRIQGL GLYAAKDLEKHTMVIEYIGTIIRNEVANRREKIYEEQNRGIYMFRINNEHVIDATLTGGP ARYINHSCAPNCVAEVVTFDKEDKIIIISSRRIPKGEELTYDYQFDFEDDQHKIPCHCGA WNCRKWMN >ENSMUSP00000030010.3 pep:known chromosome:GRCm38:4:53030787:53159895:-1 gene:ENSMUSG00000015243.4 transcript:ENSMUST00000030010.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca1 description:ATP-binding cassette, sub-family A (ABC1), member 1 [Source:MGI Symbol;Acc:MGI:99607] MACWPQLRLLLWKNLTFRRRQTCQLLLEVAWPLFIFLILISVRLSYPPYEQHECHFPNKA MPSAGTLPWVQGIICNANNPCFRYPTPGEAPGVVGNFNKSIVSRLFSDAQRLLLYSQRDT SIKDMHKVLRMLRQIKHPNSNLKLQDFLVDNETFSGFLQHNLSLPRSTVDSLLQANVGLQ KVFLQGYQLHLASLCNGSKLEEIIQLGDAEVSALCGLPRKKLDAAERVLRYNMDILKPVV TKLNSTSHLPTQHLAEATTVLLDSLGGLAQELFSTKSWSDMRQEVMFLTNVNSSSSSTQI YQAVSRIVCGHPEGGGLKIKSLNWYEDNNYKALFGGNNTEEDVDTFYDNSTTPYCNDLMK NLESSPLSRIIWKALKPLLVGKILYTPDTPATRQVMAEVNKTFQELAVFHDLEGMWEELS PQIWTFMENSQEMDLVRTLLDSRGNDQFWEQKLDGLDWTAQDIMAFLAKNPEDVQSPNGS VYTWREAFNETNQAIQTISRFMECVNLNKLEPIPTEVRLINKSMELLDERKFWAGIVFTG ITPDSVELPHHVKYKIRMDIDNVERTNKIKDGYWDPGPRADPFEDMRYVWGGFAYLQDVV EQAIIRVLTGSEKKTGVYVQQMPYPCYVDDIFLRVMSRSMPLFMTLAWIYSVAVIIKSIV YEKEARLKETMRIMGLDNGILWFSWFVSSLIPLLVSAGLLVVILKLGNLLPYSDPSVVFV FLSVFAMVTILQCFLISTLFSRANLAAACGGIIYFTLYLPYVLCVAWQDYVGFSIKIFAS LLSPVAFGFGCEYFALFEEQGIGVQWDNLFESPVEEDGFNLTTAVSMMLFDTFLYGVMTW YIEAVFPGQYGIPRPWYFPCTKSYWFGEEIDEKSHPGSSQKGVSEICMEEEPTHLRLGVS IQNLVKVYRDGMKVAVDGLALNFYEGQITSFLGHNGAGKTTTMSILTGLFPPTSGTAYIL GKDIRSEMSSIRQNLGVCPQHNVLFDMLTVEEHIWFYARLKGLSEKHVKAEMEQMALDVG LPPSKLKSKTSQLSGGMQRKLSVALAFVGGSKVVILDEPTAGVDPYSRRGIWELLLKYRQ GRTIILSTHHMDEADILGDRIAIISHGKLCCVGSSLFLKNQLGTGYYLTLVKKDVESSLS SCRNSSSTVSCLKKEDSVSQSSSDAGLGSDHESDTLTIDVSAISNLIRKHVSEARLVEDI GHELTYVLPYEAAKEGAFVELFHEIDDRLSDLGISSYGISETTLEEIFLKVAEESGVDAE TSDGTLPARRNRRAFGDKQSCLHPFTEDDAVDPNDSDIDPESRETDLLSGMDGKGSYQLK GWKLTQQQFVALLWKRLLIARRSRKGFFAQIVLPAVFVCIALVFSLIVPPFGKYPSLELQ PWMYNEQYTFVSNDAPEDMGTQELLNALTKDPGFGTRCMEGNPIPDTPCLAGEEDWTISP VPQSIVDLFQNGNWTMKNPSPACQCSSDKIKKMLPVCPPGAGGLPPPQRKQKTADILQNL TGRNISDYLVKTYVQIIAKSLKNKIWVNEFRYGGFSLGVSNSQALPPSHEVNDAIKQMKK LLKLTKDSSADRFLSSLGRFMAGLDTKNNVKVWFNNKGWHAISSFLNVINNAILRANLQK GENPSQYGITAFNHPLNLTKQQLSEVALMTTSVDVLVSICVIFAMSFVPASFVVFLIQER VSKAKHLQFISGVKPVIYWLSNFVWDMCNYVVPATLVIIIFICFQQKSYVSSTNLPVLAL LLLLYGWSITPLMYPASFVFKIPSTAYVVLTSVNLFIGINGSVATFVLELFTNNKLNDIN DILKSVFLIFPHFCLGRGLIDMVKNQAMADALERFGENRFVSPLSWDLVGRNLFAMAVEG VVFFLITVLIQYRFFIRPRPVKAKLPPLNDEDEDVRRERQRILDGGGQNDILEIKELTKI YRRKRKPAVDRICIGIPPGECFGLLGVNGAGKSTTFKMLTGDTPVTRGDAFLNKNSILSN IHEVHQNMGYCPQFDAITELLTGREHVEFFALLRGVPEKEVGKVGEWAIRKLGLVKYGEK YASNYSGGNKRKLSTAMALIGGPPVVFLDEPTTGMDPKARRFLWNCALSIVKEGRSVVLT SHSMEECEALCTRMAIMVNGRFRCLGSVQHLKNRFGDGYTIVVRIAGSNPDLKPVQEFFG LAFPGSVLKEKHRNMLQYQLPSSLSSLARIFSILSQSKKRLHIEDYSVSQTTLDQVFVNF AKDQSDDDHLKDLSLHKNQTVVDVAVLTSFLQDEKVKESYV >ENSMUSP00000034313.6 pep:known chromosome:GRCm38:8:125734203:125748235:1 gene:ENSMUSG00000031851.14 transcript:ENSMUST00000034313.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntpcr description:nucleoside-triphosphatase, cancer-related [Source:MGI Symbol;Acc:MGI:1913816] MSRHVFLTGPPGVGKTTLIQKAIEVLQSSGLPVDGFYTQEVRQEGKRIGFDVVTLSGAQG PLSRVGSQPLPGKPECRVGQYVVNLDSFEQLALPVLRNAGSSCGPKHRVCIIDEIGKMEL FSQPFIQAVRQMLSTPGIIVVGTIPVPKGKPLALVEEIRKRRDVKVFNVTRDNRNSLLPD IVAVVQSSRT >ENSMUSP00000069384.5 pep:known chromosome:GRCm38:8:125734238:125739179:1 gene:ENSMUSG00000031851.14 transcript:ENSMUST00000065135.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntpcr description:nucleoside-triphosphatase, cancer-related [Source:MGI Symbol;Acc:MGI:1913816] MSRHVFLTGPPGVGKTTLIQKAIEVLQSSGLPVDGFYTQEVRQEGKRIGFDVVTLSGAQG PLSRVGSQPLPGKPECRVGQYVVNLDSFEQLALPVLRNHQEASDDIPSGESSFGHWIHIQ N >ENSMUSP00000115996.1 pep:known chromosome:GRCm38:8:125735835:125747997:1 gene:ENSMUSG00000031851.14 transcript:ENSMUST00000152189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntpcr description:nucleoside-triphosphatase, cancer-related [Source:MGI Symbol;Acc:MGI:1913816] MSWDCIRRVGKTTLIQKAIEVLQSSGLPVDGFYTQEVRQEGKRIGFDVVTLSGAQGPLSR VGSQPLPGKPECRVGQYVVNLDSFEQLALPVLRNAGSSCGPKHRVCIIDEIGKMELFSQP FIQAVRQMLSTPGIIVVGTIPVPKGKPLALVEEIRKRRDVKVFNVTRDNRNSLLPDIVAV VQSSRT >ENSMUSP00000121271.1 pep:known chromosome:GRCm38:8:125730028:125747809:1 gene:ENSMUSG00000031851.14 transcript:ENSMUST00000143504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntpcr description:nucleoside-triphosphatase, cancer-related [Source:MGI Symbol;Acc:MGI:1913816] MDRTCAWGWQNNLDPESHRGPAVLRAARGWILHTRSQAGREEDRIRCRHPVWCPGASVQS WAGSSCGPKHRVCIIDEIGKMELFSQPFIQAVRQMLSTPGIIVVGTIPVPKGKPLALVEE IRKRRDVKVFNVTRDNRNSLLPDIVAVVQSSRT >ENSMUSP00000118021.1 pep:known chromosome:GRCm38:2:69789623:69795653:1 gene:ENSMUSG00000027088.10 transcript:ENSMUST00000151298.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phospho2 description:phosphatase, orphan 2 [Source:MGI Symbol;Acc:MGI:1920623] MKVLLVFDFDNTIIDDNSDTWIVQCAPDKKLPIELQDSYQKGLWTEFMGRV >ENSMUSP00000028494.2 pep:known chromosome:GRCm38:2:69789636:69797223:1 gene:ENSMUSG00000027088.10 transcript:ENSMUST00000028494.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phospho2 description:phosphatase, orphan 2 [Source:MGI Symbol;Acc:MGI:1920623] MKVLLVFDFDNTIIDDNSDTWIVQCAPDKKLPIELQDSYQKGLWTEFMGRVFKYLRDEGV KADELKRAVTSLPFTSGMIELLSFLRMNKDRFDCIIISDSNSIFIDWVLEAAAFHDVFDH VFTNPASFDSSGRLTVKNYHAHSCTRCPKNLCKNTVLGEFIDKQLQKGVRYTRIVYIGDG GNDVCPVTFLKKNDVAMPREGYTLHRTLAKMSQNLEPMESSIVVWSSGVEIISHLQFLIK M >ENSMUSP00000107885.1 pep:known chromosome:GRCm38:2:69789650:69797223:1 gene:ENSMUSG00000027088.10 transcript:ENSMUST00000112266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phospho2 description:phosphatase, orphan 2 [Source:MGI Symbol;Acc:MGI:1920623] MKVLLVFDFDNTIIDDNSDTWIVQCAPDKKLPIELQDSYQKGLWTEFMGRVFKYLRDEGV KADELKRAVTSLPFTSGMIELLSFLRMNKDRFDCIIISDSNSIFIDWVLEAAAFHDVFDH VFTNPASFDSSGRLTVKNYHAHSCTRCPKNLCKNTVLGEFIDKQLQKGVRYTRIVYIGDG GNDVCPVTFLKKNDVAMPREGYTLHRTLAKMSQNLEPMESSIVVWSSGVEIISHLQFLIK M >ENSMUSP00000136471.1 pep:known chromosome:GRCm38:2:69790972:69797222:1 gene:ENSMUSG00000027088.10 transcript:ENSMUST00000180290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phospho2 description:phosphatase, orphan 2 [Source:MGI Symbol;Acc:MGI:1920623] MKVLLVFDFDNTIIDDNSDTWIVQCAPDKKLPIELQDSYQKGLWTEFMGRVFKYLRDEGV KADELKRAVTSLPFTSGMIELLSFLRMNKDRFDCIIISDSNSIFIDWVLEAAAFHDVFDH VFTNPASFDSSGRLTVKNYHAHSCTRCPKNLCKNTVLGEFIDKQLQKGVRYTRIVYIGDG GNDVCPVTFLKKNDVAMPREGYTLHRTLAKMSQNLEPMESSIVVWSSGVEIISHLQFLIK M >ENSMUSP00000097446.2 pep:known chromosome:GRCm38:2:87149721:87150659:-1 gene:ENSMUSG00000075158.3 transcript:ENSMUST00000099860.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1111 description:olfactory receptor 1111 [Source:MGI Symbol;Acc:MGI:3030945] MQYTNYTKPTEFIFIGFTDYQPLRLMLFLVFFIVYTLTLVGNIGLIILVNIDLSLQTPMY HFLSNLSFLDISYSTAIAPKMLVDFLASKKSISFCGCAIQMFFFACFADAECLILAAMAY DRYAAICNPLLYSTLVSRRVCFSFVVLAYFSGSVTSLVHVSLAFMLPYCRSNIVNHFFCD IPPLLALSCADTHINELLLFALCGTIQTSTFMVILISYSCILITVLSIKSTGGRSKTFST CASHLIAVTLFYGTLLFMYLRPTTSYSPDTDKVVALFYTVVFPMLNPIIYSFRNKDVKNA LKKLFDRLGIFR >ENSMUSP00000041220.4 pep:known chromosome:GRCm38:2:120027483:120032594:1 gene:ENSMUSG00000098789.7 transcript:ENSMUST00000044675.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd7 description:jumonji domain containing 7 [Source:MGI Symbol;Acc:MGI:3845785] MAEAALEAVRRALQEFPAAARDLNVPRVVPYLDEPPSPLCFYRDWVCPNRPCIIRNALQH WPALQKWSLSYLRATVGSTEVSVAVTPDGYADAVRGDRFVMPAERRLPISHVLDVLEGRA QHPGVLYVQKQCSNLPTELPQLLSDIESHVPWASESLGKMPDAVNFWLGDASAVTSLHKD HYENLYCVVSGEKHFLLHPPSDRPFIPYNLYTPATYQLTEEGTFRVVDEEAMEKVPWIPL DPLAPDLTQYPSYSQAQALHCTVRAGEMLYLPALWFHHVQQSHGCIAVNFWYDMEYDLKY SYFQLMDTLTRATGLD >ENSMUSP00000115076.1 pep:known chromosome:GRCm38:2:120027504:120030530:1 gene:ENSMUSG00000098789.7 transcript:ENSMUST00000135365.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jmjd7 description:jumonji domain containing 7 [Source:MGI Symbol;Acc:MGI:3845785] MAEAALEAVRRALQEFPAAARGECTLTGSSDRARTARREPGHPGTQCA >ENSMUSP00000115812.1 pep:known chromosome:GRCm38:2:120027594:120030377:1 gene:ENSMUSG00000098789.7 transcript:ENSMUST00000129679.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jmjd7 description:jumonji domain containing 7 [Source:MGI Symbol;Acc:MGI:3845785] GSSDRARTARREPGHPGTQCA >ENSMUSP00000073383.1 pep:known chromosome:GRCm38:10:129260963:129261901:1 gene:ENSMUSG00000095483.1 transcript:ENSMUST00000073704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr776 description:olfactory receptor 776 [Source:MGI Symbol;Acc:MGI:3030610] MRNHSMVTEFVLLGISDTPEVQVVIFILLFIAYILSVTGNLTIITLTLLDSQLKTPMYFF LQNFSFLEIIFTSVSIPRFLESIITKVKTISYNNCLAQLYFFLSLGVSEFFLLTAMSYDR YVAICKPLHYVIIMNQKVCTLLVLTSWLAGFLSIFPLIMLILKLDFCALNIIDHFSCDYF PILQLSCSDTRLLEAFGFYCASITLLFTLALVILSYICIINTILRFPSASQRKKAFSTCS SHMIVISISYGSCIFMYVKPSANERASLTKGVAVLNTSIAPMLNPFIYTLRNQQVKQAFK DLINKLMFNRNK >ENSMUSP00000117271.2 pep:known chromosome:GRCm38:X:38121219:38129967:1 gene:ENSMUSG00000050197.5 transcript:ENSMUST00000152730.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox13 description:reproductive homeobox 13 [Source:MGI Symbol;Acc:MGI:1920864] MAHGVPFDHNYYIVECKEETNAEAQAGAMAATSTEEAPGAVEVAQAAVASSHDSGGAIGC ATVKESESDSESESDSESESDSSDSSDESDDDSSTSDEDTSDPEEAAAPSVAAVAAAAAP PTVPAAAAIQIPGPYRYRPPRRHVRRRRRGPPFHFAQWQVEEMESLFEETQYPDLLTRGE LARTLNVPEVKVKVWFTNRRAKQRKIERREMLRNIPPGAEDFIFITDFEEPS >ENSMUSP00000145475.1 pep:known chromosome:GRCm38:10:129268386:129270550:-1 gene:ENSMUSG00000062914.2 transcript:ENSMUST00000204573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr777 description:olfactory receptor 777 [Source:MGI Symbol;Acc:MGI:3030611] MRNHTVTTFILLGLTDDPQLKTLIFIFLFLSYMLSMTGNLTIISLTFIDSHLKTAMYFFL QNFSFLEISFTTACIPRYLYNISTGDKTITYNNCVIQIFCTDLFGVTEFFLLAIMSYDRY VAICKPLHYSTIMSSRICARLILSCWAAGLFVILPPLSLGLKLKFCDSNVIDHFVCDANP LLKISCTETWLIEQIVIVSAVLTFITTLLCVSLSYIYIIRTILRFPSAQQRKKAFSTCSS HMIVVSITYGSCIFIYIKPSAKDSVTINKGVMVLTTSIAPMLNPFIYTLRNKQVKQAFND SVKRIALFFQK >ENSMUSP00000079191.1 pep:known chromosome:GRCm38:10:129268386:129269321:-1 gene:ENSMUSG00000062914.2 transcript:ENSMUST00000080313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr777 description:olfactory receptor 777 [Source:MGI Symbol;Acc:MGI:3030611] MRNHTVTTFILLGLTDDPQLKTLIFIFLFLSYMLSMTGNLTIISLTFIDSHLKTAMYFFL QNFSFLEISFTTACIPRYLYNISTGDKTITYNNCVIQIFCTDLFGVTEFFLLAIMSYDRY VAICKPLHYSTIMSSRICARLILSCWAAGLFVILPPLSLGLKLKFCDSNVIDHFVCDANP LLKISCTETWLIEQIVIVSAVLTFITTLLCVSLSYIYIIRTILRFPSAQQRKKAFSTCSS HMIVVSITYGSCIFIYIKPSAKDSVTINKGVMVLTTSIAPMLNPFIYTLRNKQVKQAFND SVKRIALFFQK >ENSMUSP00000108712.1 pep:known chromosome:GRCm38:6:113424634:113435760:-1 gene:ENSMUSG00000030278.11 transcript:ENSMUST00000113089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cidec description:cell death-inducing DFFA-like effector c [Source:MGI Symbol;Acc:MGI:95585] MDYAMKSLSLLYPRSLSRHVAVSTAVVTQQLVSKPSRETPRARPCRVSTADRKVRKGIMA HSLEDLLNKVQDILKLKDKPFSLVLEEDGTIVETEEYFQALAKDTMFMVLLKGQKWKPPS EQRKKRAQLALSQKPTKKIDVARVTFDLYKLNPQDFIGCLNVKATLYDTYSLSYDLHCYK AKRIVKEMLRWTLFSMQATGHMLLGTSSYMQQFLDATEEEQPAKAKPSSLLPACLKMLQ >ENSMUSP00000032416.4 pep:known chromosome:GRCm38:6:113424636:113435756:-1 gene:ENSMUSG00000030278.11 transcript:ENSMUST00000032416.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cidec description:cell death-inducing DFFA-like effector c [Source:MGI Symbol;Acc:MGI:95585] MDYAMKSLSLLYPRSLSRHVAVSTAVVTQQLVSKPSRETPRARPCRVSTADRKVRKGIMA HSLEDLLNKVQDILKLKDKPFSLVLEEDGTIVETEEYFQALAKDTMFMVLLKGQKWKPPS EQRKKRAQLALSQKPTKKIDVARVTFDLYKLNPQDFIGCLNVKATLYDTYSLSYDLHCYK AKRIVKEMLRWTLFSMQATGHMLLGTSSYMQQFLDATEEEQPAKAKPSSLLPACLKMLQ >ENSMUSP00000108714.1 pep:known chromosome:GRCm38:6:113425557:113434764:-1 gene:ENSMUSG00000030278.11 transcript:ENSMUST00000113091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cidec description:cell death-inducing DFFA-like effector c [Source:MGI Symbol;Acc:MGI:95585] MESNTIQLTRMDYAMKSLSLLYPRSLSRHVAVSTAVVTQQLVSKPSRETPRARPCRVSTA DRKVRKGIMAHSLEDLLNKVQDILKLKDKPFSLVLEEDGTIVETEEYFQALAKDTMFMVL LKGQKWKPPSEQRKKRAQLALSQKPTKKIDVARVTFDLYKLNPQDFIGCLNVKATLYDTY SLSYDLHCYKAKRIVKEMLRWTLFSMQATGHMLLGTSSYMQQFLDATEEEQPAKAKPSSL LPACLKMLQ >ENSMUSP00000122068.1 pep:known chromosome:GRCm38:6:113428511:113434613:-1 gene:ENSMUSG00000030278.11 transcript:ENSMUST00000133348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cidec description:cell death-inducing DFFA-like effector c [Source:MGI Symbol;Acc:MGI:95585] MDYAMKSLSLLYPRSLSRHVAVSTAVVTQQLVSKPSRETPRARPCRVSTADRKVRKGIMA HSLEDLLNKVQDILKLKDKPFSL >ENSMUSP00000025170.9 pep:known chromosome:GRCm38:17:33940660:33949695:1 gene:ENSMUSG00000024312.9 transcript:ENSMUST00000025170.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr46 description:WD repeat domain 46 [Source:MGI Symbol;Acc:MGI:1931871] METAPKPGRGVPPKRDKPQAKRKKPRRYWEEETTPAAVATSPGPPRKKARTGESRPPRSK SAHIAQKSRFSKKPPISKTAPDWKKPQRTLSGAQDPFPGPVPAPLEEARKFCRIDKSKTL PHSKPKTQSKLEKAEAQEEEASVRAARAELLLAEEPGFLVGEDGEDTAKILQTDIVEAVD IASAAKHFDLNLRQFGPYRLNYSRTGRHLALGGRRGHVAALDWVTKKLMCEINVMEAVRD IHFLHSEALLAVAQNRWLYIYDNQGIELHCIRRCDRVTRLEFLPFHFLLATTSETGFLTY LDVSVGKIVTALNVRAGRLSVMAQNPYNAVIHLGHSNGTVSLWSPAVKEPLAKILCHRGG VRAVAVDSTGTYMATSGLDHQLKIFDLRGTFQPLSSRTLPQGAGHLAFSQRGLLVAGMGD VVNIWAGQGKASPPSLEQPYLTHRLSGHVHGLQFCPFEDVLGVGHSGGFTSMLVPGAAEP NFDGLENNPYRSRKQRQEWEVKALLEKVPAELICLNPRALAEVDVVTLEQQKKERIERLG YDPDAKAAFQPKAKQKGRSSTASLVKRKKKVMDQEHRDKVRQSLEQQQQKKKQDMAMPPG ARPSALDRFVRRAREGGLQVDP >ENSMUSP00000134852.1 pep:known chromosome:GRCm38:17:31564749:31605481:1 gene:ENSMUSG00000006705.12 transcript:ENSMUST00000175806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pknox1 description:Pbx/knotted 1 homeobox [Source:MGI Symbol;Acc:MGI:1201409] MMATQTLSIDSYQDGQQMQVVTELKTEQDPNCSDPDAEGVSPPPIESQTPMDADKQAIYR HPLFPLLALLFEKCEQSTQGSEGTTSASFDVDIENFVRKQEKDGKPFFCEDPETDNLMVK AIQVLRIHLLELEKVNELCKDFCSRYIACLKTKMNSETLLSGEPGSPYSPVQSQQIQSAI TGTLSPQGIVVPASALQQGNVTMATVAGGTVYQPVTVVTPQGQVVTQALSPGTIRIQNSQ LQLQLNQDLSILHQEDGSSKNKRGVLPKHATNVMRSWLFQHIGHPYPTEDEKKQIAAQTN LTLLQVNNWFINARRRILQPMLDSSCSETPKTKKKPAQNRPVQRFWPDSLASGVAQATPS ELAMSEGAVVTITTPVNMNVDSLQSLSSDGATLAVQQVMMAGQSEDESVDSTEDEGGALA PTHISGLVLENSDSLQ >ENSMUSP00000094966.3 pep:known chromosome:GRCm38:17:31564801:31607680:1 gene:ENSMUSG00000006705.12 transcript:ENSMUST00000097352.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pknox1 description:Pbx/knotted 1 homeobox [Source:MGI Symbol;Acc:MGI:1201409] MMATQTLSIDSYQDGQQMQVVTELKTEQDPNCSDPDAEGVSPPPIESQTPMDADKQAIYR HPLFPLLALLFEKCEQSTQGSEGTTSASFDVDIENFVRKQEKDGKPFFCEDPETDNLMVK AIQVLRIHLLELEKVNELCKDFCSRYIACLKTKMNSETLLSGEPGSPYSPVQSQQIQSAI TGTLSPQGIVVPASALQQGNVTMATVAGGTVYQPVTVVTPQGQVVTQALSPGTIRIQNSQ LQLQLNQDLSILHQEDGSSKNKRGVLPKHATNVMRSWLFQHIGHPYPTEDEKKQIAAQTN LTLLQVNNWFINARRRILQPMLDSSCSETPKTKKKPAQNRPVQRFWPDSLASGVAQATPS ELAMSEGAVVTITTPVNMNVDSLQSLSSDGATLAVQQVMMAGQSEDESVDSTEDEGGALA PTHISGLVLENSDSLQ >ENSMUSP00000135804.1 pep:known chromosome:GRCm38:17:31583552:31607684:1 gene:ENSMUSG00000006705.12 transcript:ENSMUST00000176701.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pknox1 description:Pbx/knotted 1 homeobox [Source:MGI Symbol;Acc:MGI:1201409] MMATQTLSIDSYQDGQQMQVVTELKTEQDPNCSDPDAEGVSPPPIESQTPMDADKQAIYR HPLFPLLALLFEKCEQSTQGSEGTTSASFDVDIENFVRKQEKDGKPFFCEDPETDNLMVK AIQVLRIHLLELEKVNELCKDFCSRYIACLKTKMNSETLLSGEPGSPYSPVQSQQIQSAI TGTLSPQGIVVPASALQQGNVTMATVAGGTVYQPVTVVTPQGQVVTQALSPGTIRIQNSQ LQLQLNQDLSILHQEDGSSKNKRGVLPKHATNVMRSWLFQHIGHPYPTEDEKKQIAAQTN LTLLQVNNWFINARRRILQPMLDSSCSETPKTKKKPAQNRPVQRFWPDSLASGVAQATPS ELAMSEGAVVTITTPVNMNVDSLQSLSSDGATLAVQQVMMAGQSEDESVDSTEDEGGALA PTHISGLVLENSDSLQ >ENSMUSP00000140310.1 pep:known chromosome:GRCm38:7:22713611:22719710:-1 gene:ENSMUSG00000095363.2 transcript:ENSMUST00000190554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4567 description:predicted gene 4567 [Source:MGI Symbol;Acc:MGI:3809658] MTEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELQDRIIKVGSLEE SETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDYRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAEKYEGPPVDIWSLGVLLFLMVSGNLPFQGRYFVDLKQEIISANFSI PSHVSIDILNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000136041.1 pep:known chromosome:GRCm38:7:22714005:22714910:-1 gene:ENSMUSG00000095363.2 transcript:ENSMUST00000178591.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4567 description:predicted gene 4567 [Source:MGI Symbol;Acc:MGI:3809658] MTEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELQDRIIKVGSLEE SETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDYRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAEKYEGPPVDIWSLGVLLFLMVSGNLPFQGRYFVDLKQEIISANFSI PSHVSIDILNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000118458.1 pep:known chromosome:GRCm38:2:120033433:120041964:1 gene:ENSMUSG00000098488.7 transcript:ENSMUST00000126150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g4b description:phospholipase A2, group IVB (cytosolic) [Source:MGI Symbol;Acc:MGI:2384819] MALQTCPVYMQAKVPETCLLTVRVLRASGLPSKDLVTSSDCYVTLNLPTASSHTLQTRTV KNSRNPVWNQNFHFRIHRQLKNVMELKVFDHDLVTRDDPVLSVLFDVGTLQIGTQRQSFS LGTQEKGCLEVEFRLQTLTDCEEQLISNGIVVARELSCLHVELKRTGDPKRSERKVQLVV AGACEGPQDASAGTGSFHFHYPACWEQELNVHLQDDPHEQLKVPLRTLPSSQLVRLVFPT SQEPLMRLELKKEEGPKELAVRLGCGPCPEEQAFLSKRKQVVAAALKKALQLDQDLHEDE IPVIAVMATGGGIRAMTSLYGQLAGLQELGLLDCISYITGASGSTWALANLYEDPEWSQK DLAGPTEVLKTQVTKSKLGALAPSQLWRYRQELAERARLGHPTCFTNLWALINEALLHDK PHEHKLSDQREALSRGQNPLPIYCALNSKEQGLSTFDFGEWCEFSPYEVGFPKYGAFISS ELFGSEFFMGRLVKQLPESRICFLEGIWSNLFAASLQDSLYWSSEPSQFWDRWAQDQANL DKEQVPHLKIAEPPTMAGRIAELFTDLLTKRPLAHATHNFTRGLHFHKDYFQNSHFSAWK ASKLDRLPNQLTPTEPHLCLLDVGYLINTSCPPLLQPTRDVDLILSLDYNLYGAFQQLQL LSRFCQEQGIPFPSISPSPEEQRQPQECHLFCDPAQPEAPAVLHFPLVNDSFQDYSAPGV PRTSEEKAAGEVNLSSSDSPYHYTKVTYSQEDVDKLLRLTHYNICNNQDRLREAMHQAVQ RRRKRKQFRPE >ENSMUSP00000049963.3 pep:known chromosome:GRCm38:2:180099465:180104488:-1 gene:ENSMUSG00000039059.11 transcript:ENSMUST00000056480.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh3 description:histamine receptor H3 [Source:MGI Symbol;Acc:MGI:2139279] MERAPPDGLMNASGALAGEAAAAGGARGFSAAWTAVLAALMALLIVATVLGNALVMLAFV ADSSLRTQNNFFLLNLAISDFLVGAFCIPLYVPYVLTGRWTFGRGLCKLWLVVDYLLCAS SVFNIVLISYDRFLSVTRAVSYRAQQGDTRRAVRKMALVWVLAFLLYGPAILSWEYLSGG SSIPEGHCYAEFFYNWYFLITASTLEFFTPFLSVTFFNLSIYLNIQRRTRLRLDGGREAG PEPPPDAQPSPPPAPPSCWGCWPKGHGEAMPLHRYGVGEAGPGVETGEAGLGGGSGGGAA ASPTSSSGSSSRGTERPRSLKRGSKPSASSASLEKRMKMVSQSITQRFRLSRDKKVAKSL AIIVSIFGLCWAPYTLLMIIRAACHGHCVPDYWYETSFWLLWANSAVNPVLYPLCHYSFR RAFTKLLCPQKLKVQPHGSLEQCWK >ENSMUSP00000127053.1 pep:known chromosome:GRCm38:2:180099651:180104151:-1 gene:ENSMUSG00000039059.11 transcript:ENSMUST00000163215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh3 description:histamine receptor H3 [Source:MGI Symbol;Acc:MGI:2139279] MERAPPDGLMNASGALAGEAAAAGGARGFSAAWTAVLAALMALLIVATVLGNALVMLAFV ADSSLRTQNNFFLLNLAISDFLVGAFCIPLYVPYVLTGRWTFGRGLCKLWLVVDYLLCAS SVFNIVLISYDRFLSVTRAVSYRAQQGDTRRAVRKMALVWVLAFLLYGPAILSWEYLSGG SSIPEGHCYAEFFYNWYFLITASTLEFFTPFLSVTFFNLSIYLNIQRRTRLRLDGGREAG PEPPPDAQPSPPPAPPSCWGCWPKGHGEAMPLHSSGSSSRGTERPRSLKRGSKPSASSAS LEKRMKMVSQSITQRFRLSRDKKVAKSLAIIVSIFGLCWAPYTLLMIIRAACHGHCVPDY CVKRPRNLEASLLFHSGCFQEDGGEENIKSVNLMFLGCLIKRDKIAEELGAGLAGVGSHA LLPRRCSFRLSRASCFCLPHPQARDDSPALLAPSAQSEFGGGLVVG >ENSMUSP00000132203.1 pep:known chromosome:GRCm38:2:180099878:180104151:-1 gene:ENSMUSG00000039059.11 transcript:ENSMUST00000165762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh3 description:histamine receptor H3 [Source:MGI Symbol;Acc:MGI:2139279] MERAPPDGLMNASGALAGEAAAAGGARGFSAAWTAVLAALMALLIVATVLGNALVMLAFV ADSSLRTQNNFFLLNLAISDFLVGAFCIPLYVPYVLTGRWTFGRGLCKLWLVVDYLLCAS SVFNIVLISYDRFLSVTRAVSYRAQQGDTRRAVRKMALVWVLAFLLYGPAILSWEYLSGG SSIPEGHCYAEFFYNWYFLITASTLEFFTPFLSVTFFNLSIYLNIQRRTRLRLDGGREAG PEPPPDAQPSPPPAPPSCWGCWPKGHGEAMPLHRYGVGEAGPGVETGEAGLGGGSGGGAA ASPTSSSGSSSRGTERPRSLKRGSKPSASSASLEKRMKMVSQSITQRFRLSRDKKVAKSL AIIVSIFGLCWAPYTLLMIIRAACHGHCVPDYWYETSFWLLWANSAVNPVLYPLCHYSFR RAFTKLLCPQKLKVQPHGSLEQCWKKMEEKKTSSL >ENSMUSP00000130181.1 pep:known chromosome:GRCm38:2:180099878:180104151:-1 gene:ENSMUSG00000039059.11 transcript:ENSMUST00000165248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh3 description:histamine receptor H3 [Source:MGI Symbol;Acc:MGI:2139279] MERAPPDGLMNASGALAGEAAAAGGARGFSAAWTAVLAALMALLIVATVLGNALVMLAFV ADSSLRTQNNFFLLNLAISDFLVGAFCIPLYVPYVLTGRWTFGRGLCKLWLVVDYLLCAS SVFNIVLISYDRFLSVTRAVSYRAQQGDTRRAVRKMALVWVLAFLLYGPAILSWEYLSGG SSIPEGHCYAEFFYNWYFLITASTLEFFTPFLSVTFFNLSIYLNIQRRTRLRLDGGREAG PEPPPDAQPSPPPAPPSCWGCWPKGHGEAMPLHRGSKPSASSASLEKRMKMVSQSITQRF RLSRDKKVAKSLAIIVSIFGLCWAPYTLLMIIRAACHGHCVPDYWYETSFWLLWANSAVN PVLYPLCHYSFRRAFTKLLCPQKLKVQPHGSLEQCWKKMEEKKTSSL >ENSMUSP00000126336.1 pep:known chromosome:GRCm38:2:180100279:180104174:-1 gene:ENSMUSG00000039059.11 transcript:ENSMUST00000166724.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hrh3 description:histamine receptor H3 [Source:MGI Symbol;Acc:MGI:2139279] MERAPPDGLMNASGALAGEAAAAGGARGFSAAWTAVLAALMALLIVATVLGNALVMLAFV ADSSLRTQNNFFLLNLAISDFLVPSASHCMYPMC >ENSMUSP00000127085.1 pep:known chromosome:GRCm38:2:180100496:180104151:-1 gene:ENSMUSG00000039059.11 transcript:ENSMUST00000171736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh3 description:histamine receptor H3 [Source:MGI Symbol;Acc:MGI:2139279] MERAPPDGLMNASGALAGEAAAAGGARGFSAAWTAVLAALMALLIVATVLGNALVMLAFV ADSSLRTQNNFFLLNLAISDFLVGAFCIPLYVPYVLTGRWTFGRGLCKLWLVVDYLLCAS SVFNIVLISYDRFLSVTRAVSYRAQQGDTRRAVRKMALVWVLAFLLYGPAILSWEYLSGG SSIPEGHCYAEFFYNWYFLITASTLEFFTPFLSVTFFNLSIYLNIQRRTRLRLDGGREAG PEPPPDAQPSPPPAPPSCWGCWPKGHGEAMPLHSFRRAFTKLLCPQKLKVQPHGSLEQCW K >ENSMUSP00000130553.1 pep:known chromosome:GRCm38:2:180100496:180104151:-1 gene:ENSMUSG00000039059.11 transcript:ENSMUST00000164442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh3 description:histamine receptor H3 [Source:MGI Symbol;Acc:MGI:2139279] MERAPPDGLMNASGALAGEAAAAGGARGFSAAWTAVLAALMALLIVATVLGNALVMLAFV ADSSLRTQNNFFLLNLAISDFLVGAFCIPLYVPYVLTGRWTFGRGLCKLWLVVDYLLCAS SVFNIVLISYDRFLSVTRAVSYRAQQGDTRRAVRKMALVWVLAFLLYGPAILSWEYLSGG SSIPEGHCYAEFFYNWYFLITASTLEFFTPFLSVTFFNLSIYLNIQRRTRLRLDGGREAG PEPPPDAQPSPPPAPPSCWGCWPKGHGEAMPLHSSGSSSRGTERPRSLKRGSKPSASSAS LEKRMKMVSQSITQRFRLSRDKKVAKSLAIIVSIFGLCWAPYTLLMIIRAACHGHCVPDY WYETSFWLLWANSAVNPVLYPLCHYSFRRAFTKLLCPQKLKVQPHGSLEQCWK >ENSMUSP00000028944.3 pep:known chromosome:GRCm38:2:150618105:150668258:-1 gene:ENSMUSG00000027452.11 transcript:ENSMUST00000028944.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acss1 description:acyl-CoA synthetase short-chain family member 1 [Source:MGI Symbol;Acc:MGI:1915988] MAARSLGSGVGRLLRGLQGRSGQSGWSLSVSRSTATRLPGCVPAAAQPGSYPALSAQAAQ EPAAFWGPLARDTLVWDTPYHTVWDCDFRTGKIGWFLGGQLNVSVNCLDQHVQKSPETIA LIWERDEPGTEVRITYRELLETTCRLANTLKRHGVHRGDRVAIYMPVSPLAVAAMLACAR IGAIHTVVFAGFSAESLAGRINDAKCKAVITFNQGLRGGRVVELKKIVDEAVKSCPTVQH VLVAHRTDTKVPMGSLDIPLEQEMAKEAPVCTPESMSSEDMLFMLYTSGSTGTPKGLVHT QAGYLLYAAMTHKLVFDYQPGDVFGCVADIGWITGHSYVVYGPLCNGATTVLFESTPVYP DAGRYWETVQRLKINQFYGAPTAVRLLLKYGDAWVKKYDRSSLRTLGSVGEPINHEAWEW LHKVVGDGRCTLVDTWWQTETGGICIAPRPSEDGAEILPGMAMRPFFGIVPVLMDEKGNV LEGGDVSGALCISQAWPGMARTIYGDHQRFVDAYFRAYPGYYFTGDGAHRTEGGYYQITG RMDDVINISGHRLGTAEIEDAMADHPAVPETAVIGYPHDIKGEAAFAFIVLKDNISDENM VVNELKLSVATKIAKYAVPDQILVVKRLPKTRSGKVMRRLLRKIITSRGQDLGDTTTLED PSVITEILSAFQKYEEQRAATN >ENSMUSP00000126766.1 pep:known chromosome:GRCm38:14:5015891:5018677:1 gene:ENSMUSG00000079383.9 transcript:ENSMUST00000165289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3298 description:predicted gene 3298 [Source:MGI Symbol;Acc:MGI:3781476] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYRALAGIIGLVGVASQWNLAGNHQFFFVDQH >ENSMUSP00000131718.2 pep:known chromosome:GRCm38:14:5015891:5019119:1 gene:ENSMUSG00000079383.9 transcript:ENSMUST00000172110.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3298 description:predicted gene 3298 [Source:MGI Symbol;Acc:MGI:3781476] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHRCPV GKKRGSLRRPARTSVSQVPRNSSLK >ENSMUSP00000137271.1 pep:known chromosome:GRCm38:7:22761697:22762590:1 gene:ENSMUSG00000095619.1 transcript:ENSMUST00000178215.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r157 description:vomeronasal 1 receptor 157 [Source:MGI Symbol;Acc:MGI:3646139] MSAHGKSVKTTEEVALQILLLCHFEVGTVANVFLFVHNFSPVLTGYKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSVHQFVTLVP VNRGKGKLILRASVPNFANYSCYSCWFFSVLSNIHIPIKVTGPQIIDNNTYDKSNLFCST SGFIVGIVFLQISHDATFMSIMVWTSVSMVLLLLTPNQDARGQAETRATHTILMLVVTFV SFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPNDPCSVLFKC >ENSMUSP00000113116.1 pep:known chromosome:GRCm38:9:36729344:36767679:-1 gene:ENSMUSG00000032116.17 transcript:ENSMUST00000120381.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stt3a description:STT3, subunit of the oligosaccharyltransferase complex, homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105124] MTKLGFLRLSYEKQDTLLKLLILSMAAVLSFSTRLFAVLRFESVIHEFDPYFNYRTTRFL AEEGFYKFHNWFDDRAWYPLGRIIGGTIYPGLMITSAAIYHVLHFFHITIDIRNVCVFLA PLFSSFTTIVTYHLTKELKDAGAGLLAAAMIAVVPGYISRSVAGSYDNEGIAIFCMLLTY YMWIKAVKTGSIYWAAKCALAYFYMVSSWGGYVFLINLIPLHVLVLMLTGRFSHRIYVAY CTVYCLGTILSMQISFVGFQPVLSSEHMAAFGVFGLCQIHAFVDYLRSKLNPQQFEVLFR SVISLVGFVLLTVGALLMLTGKISPWTGRFYSLLDPSYAKNNIPIIASVSEHQPTTWSSY YFDLQLLVFMFPVGLYYCFSNLSDARIFIIMYGVTSMYFSAVMVRLMLVLAPVMCILSGI GVSQVLSTYMKNLDISRPDKKSKKQQDSTYPIKNEVASGMILVMAFFLITYTFHSTWVTS EAYSSPSIVLSARGGDGSRIIFDDFREAYYWLRHNTPEDAKVMSWWDYGYQITAMANRTI LVDNNTWNNTHISRVGQAMASTEEKAYEIMRELDVSYVLVIFGGLTGYSSDDINKFLWMV RIGGSTETGRHIKENDYYTPTGEFRVDREGSPVLLNCLMYKMCYYRFGQVYTEAKRPPGF DRVRNAEIGNKDFELDVLEEAYTTEHWLVRIYKVKDLDNRGLSRT >ENSMUSP00000117794.1 pep:known chromosome:GRCm38:9:36732426:36754867:-1 gene:ENSMUSG00000032116.17 transcript:ENSMUST00000135934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stt3a description:STT3, subunit of the oligosaccharyltransferase complex, homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105124] XMIAVVPGYISRSVAGSYDNEGIAIFCMLLTYYMWIKAVKTGSIYWAAKCALAYFYMVSS WGGYVFLINLIPLHVLVLMLTGRFSHRIYVAYCTVYCLGTILSMQISFVGFQ >ENSMUSP00000114527.1 pep:known chromosome:GRCm38:9:36752330:36767619:-1 gene:ENSMUSG00000032116.17 transcript:ENSMUST00000128270.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stt3a description:STT3, subunit of the oligosaccharyltransferase complex, homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105124] MTKLGFLRLSYEKQDTLLKLLILSMAAVLSFSTRLFAVLRFESVIHEFDPFNDHFCCNLP CTPFLPYHH >ENSMUSP00000120360.1 pep:known chromosome:GRCm38:9:36759203:36767350:-1 gene:ENSMUSG00000032116.17 transcript:ENSMUST00000133060.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stt3a description:STT3, subunit of the oligosaccharyltransferase complex, homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105124] MTKLGFLRLSYEKQDTLLKLLILSMAAVLSFSTRLFAVLRFESVIHEFDPYFNYRTTRFL AEEGFYKFHNWFDDRAWYPLGRIIGGTIYP >ENSMUSP00000031354.4 pep:known chromosome:GRCm38:5:124061530:124095798:-1 gene:ENSMUSG00000029408.13 transcript:ENSMUST00000031354.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb9 description:ATP-binding cassette, sub-family B (MDR/TAP), member 9 [Source:MGI Symbol;Acc:MGI:1861729] MRLWKAVVVTLAFVSTDVGVTTAIYAFSHLDRSLLEDIRHFNIFDSVLDLWAACLYRSCL LLGATIGVAKNSALGPRRLRASWLVITLVCLFVGIYAMAKLLLFSEVRRPIRDPWFWALF VWTYISLAASFLLWGLLATVRPDAEALEPGNEGFHGEGGAPAEQASGATLQKLLSYTKPD VAFLVAASFFLIVAALGETFLPYYTGRAIDSIVIQKSMDQFTTAVVVVCLLAIGSSLAAG IRGGIFTLVFARLNIRLRNCLFRSLVSQETSFFDENRTGDLISRLTSDTTMVSDLVSQNI NIFLRNTVKVTGVVVFMFSLSWQLSLVTFMGFPIIMMVSNIYGKYYKRLSKEVQSALARA STTAEETISAMKTVRSFANEEEEAEVFLRKLQQVYKLNRKEAAAYMSYVWGSGLTLLVVQ VSILYYGGHLVISGQMSSGNLIAFIIYEFVLGDCMESVGSVYSGLMQGVGAAEKVFEFID RQPTMVHDGSLAPDHLEGRVDFENVTFTYRTRPHTQVLQNVSFSLSPGKVTALVGPSGSG KSSCVNILENFYPLQGGRVLLDGKPIGAYDHKYLHRVISLVSQEPVLFARSITDNISYGL PTVPFEMVVEAAQKANAHGFIMELQDGYSTETGEKGAQLSGGQKQRVAMARALVRNPPVL ILDEATSALDAESEYLIQQAIHGNLQRHTVLIIAHRLSTVERAHLIVVLDKGRVVQQGTH QQLLAQGGLYAKLVQRQMLGLEHPLDYTASHKEPPSNTEHKA >ENSMUSP00000118908.1 pep:known chromosome:GRCm38:5:124071707:124090262:-1 gene:ENSMUSG00000029408.13 transcript:ENSMUST00000126856.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcb9 description:ATP-binding cassette, sub-family B (MDR/TAP), member 9 [Source:MGI Symbol;Acc:MGI:1861729] MRLWKAVVVTLAFVSTDVGVTTAIYAFSHLDRSLLEDIRHFNIFDSVLDLWAACLYRSCL LLGATIGVAKNSALGPRRLRASWLVITLVCLFVGIYAMAKLLLFSEVRRPIRDPWFWALF VWTYISLAASFLLWGLLATVRPDAEALEPGNEGFHGEGGAPAEQASGATLQKLLSYTKPD VAFLVAASFFLIVAALGETFLPYYTGRAIDSIVIQKSMDQFTTAVVVVCLLAIGRYSGRY FHPRICQTEHSPPELSLPLTGVTGDKLL >ENSMUSP00000122969.1 pep:known chromosome:GRCm38:5:124071711:124090262:-1 gene:ENSMUSG00000029408.13 transcript:ENSMUST00000141510.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcb9 description:ATP-binding cassette, sub-family B (MDR/TAP), member 9 [Source:MGI Symbol;Acc:MGI:1861729] MRLWKAVVVTLAFVSTDVGVTTAIYAFSHLDRSLLEDIRHFNIFDSVLDLWAACLYRSCL LLGATIGVAKNSALGPRRLRASWLVITLVCLFVGIYAMAKLLLFSEVRRPIRDPWFWALF VWTYISLAASFLLWGLLATVRPDAEALEPGNEGFHGEGGAPAEQASGATLQKLLSYTKPD VAFLVAASFFLIVAALGETFLPYYTGRAIDSIVIQKSMDQFTTAVVVVCLLAIGRYSGRY FHPRICQTEHSPPELSLPLTGVTGDKLL >ENSMUSP00000018743.4 pep:known chromosome:GRCm38:11:60728398:60732951:1 gene:ENSMUSG00000018599.5 transcript:ENSMUST00000018743.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mief2 description:mitochondrial elongation factor 2 [Source:MGI Symbol;Acc:MGI:2144199] MAEFSQKQRKQSGSEGLGSVVDFLLANARLVLGVGGAAVLGIATLAVKRLIDRATSPPDE DDTKGDSWKELSLLRATSPQKPQPPPAAFSQPLATGSPSPSVPVEPTPIHSPTTPKFSTI APLCLTFQERLLAFERKHVITPEAHVTLAKQLAGDIALELQAYLRSKFPELPFGALVPGG PLYDGLQAGTAEHVRLLAPLELEPGLWSLVPGVDTVAREPRCWAVRRTQLEFHPRGCSPW DRFLVGGYLSSRVLLELLRKALSASVNWPAIGSLLGCLIWPDVASEELLLKVQHECLEFT LAVLMVVPGASTDDRLLLAWPLEGLASNLWLQDLYPVETARLRALDDQDAGTRRRLLLLL CGICRGHPALVRLGWSHLTQVVLHLGEEEVAWTEEALGERFLQALEFLVGSLEQASLPCH FNPSVNLLGNFREEEIDDIGYVLYSGLQVPESLF >ENSMUSP00000133990.1 pep:known chromosome:GRCm38:7:22789859:22790782:-1 gene:ENSMUSG00000094700.1 transcript:ENSMUST00000174643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r158 description:vomeronasal 1 receptor 158 [Source:MGI Symbol;Acc:MGI:3646143] MSAHGKSVKTTEEVALQLLLLCQFGVGTVAKVFLFVRNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVARSTNLCSTCVLSFHQFVTLVP LNRGKGKLVLRASVTNMASYSSYSCWFFSVLSNIHIPIKVSGPQIKDNNTDSNRKLFCST SGFSVGTVLLQFAYDATFMSIMVWASVSMVLLLHRHRQRMQHILTPNQDARGQAESRATR TILMLVVTFVSFYLLNFICIMLHALFMHSHFFVRLVSEILTAVFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000108390.2 pep:known chromosome:GRCm38:14:5050629:5059243:1 gene:ENSMUSG00000096197.1 transcript:ENSMUST00000112770.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930555G01Rik description:RIKEN cDNA 4930555G01 gene [Source:MGI Symbol;Acc:MGI:1922055] MFSRLLRLCLKGNGDEGETRPKKKEEGILSHEKGRRKLFWRRHRPARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGSMQYSMELIEDNYSYSIKEDHLLRECTQLNKKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAKEQQLP >ENSMUSP00000036316.6 pep:known chromosome:GRCm38:5:113612354:113650426:-1 gene:ENSMUSG00000042190.12 transcript:ENSMUST00000047936.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmklr1 description:chemokine-like receptor 1 [Source:MGI Symbol;Acc:MGI:109603] MEYDAYNDSGIYDDEYSDGFGYFVDLEEASPWEAKVAPVFLVVIYSLVCFLGLLGNGLVI VIATFKMKKTVNTVWFVNLAVADFLFNIFLPMHITYAAMDYHWVFGKAMCKISNFLLSHN MYTSVFLLTVISFDRCISVLLPVWSQNHRSIRLAYMTCSAVWVLAFFLSSPSLVFRDTAN IHGKITCFNNFSLAAPESSPHPAHSQVVSTGYSRHVAVTVTRFLCGFLIPVFIITACYLT IVFKLQRNRLAKNKKPFKIIITIIITFFLCWCPYHTLYLLELHHTAVPSSVFSLGLPLAT AVAIANSCMNPILYVFMGHDFRKFKVALFSRLANALSEDTGPSSYPSHRSFTKMSSLNEK ASVNEKETSTL >ENSMUSP00000121765.1 pep:known chromosome:GRCm38:5:113614036:113627615:-1 gene:ENSMUSG00000042190.12 transcript:ENSMUST00000132065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmklr1 description:chemokine-like receptor 1 [Source:MGI Symbol;Acc:MGI:109603] MEYDAYNDSGIYDDEYSDGFGYFVDLEEASPWEAKVAPVFLVVIYSLVCFLGLLGNGLVI VIATFKMKKTVNTVWFVNLAVADFLFNIFLPMHITYAAMDYHWVFGKAMCKISNFLLSHN MYTSVFLLTVISFDRCISVLLPVWSQNHRSIRLAYMTCSAVWVLAFFLSSPSLVFRDTAN IHGKITCFNNFSLAAPESSPHPAHSQVVSTGYSRHVAVTVTRFLCGFLIPVFIITACYLT IVFKLQRNRLAKNKKPFKIIITIIITFFLCWCPYHTLYLLELHHTAVPSSVFSLGLPLAT A >ENSMUSP00000115784.1 pep:known chromosome:GRCm38:5:113614927:113643603:-1 gene:ENSMUSG00000042190.12 transcript:ENSMUST00000142854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmklr1 description:chemokine-like receptor 1 [Source:MGI Symbol;Acc:MGI:109603] MEYD >ENSMUSP00000029046.8 pep:known chromosome:GRCm38:3:10012548:10016607:1 gene:ENSMUSG00000027533.10 transcript:ENSMUST00000029046.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp5 description:fatty acid binding protein 5, epidermal [Source:MGI Symbol;Acc:MGI:101790] MASLKDLEGKWRLMESHGFEEYMKELGVGLALRKMAAMAKPDCIITCDGNNITVKTESTV KTTVFSCNLGEKFDETTADGRKTETVCTFQDGALVQHQQWDGKESTITRKLKDGKMIVEC VMNNATCTRVYEKVQ >ENSMUSP00000120973.1 pep:known chromosome:GRCm38:4:141613376:141618633:-1 gene:ENSMUSG00000043085.14 transcript:ENSMUST00000143154.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem82 description:transmembrane protein 82 [Source:MGI Symbol;Acc:MGI:2384869] MFSLPSLSSWLPSLPSFEWGSSLFDSLLQGLIGALGVSVLNSLLKVYFFVACVNDPQRQP QKQRLSAQWASLEMLHLAGLTLFLTLIGSRVAALVVLEFSLRAVSTLLSLGKGSGNKERL QLFLVCQFSLGCGLSCGLSFLQEGAPHRSLNLLLSLGLAVLLALGARRLTRHICSLYELH SSQRYCGVCLGLLASQHGMPRLLSRTLTVAFAVSDLAAVALINKDFLSSSEAVRFWTPLT ICYTLLVIYMQAYRARSRRCWCAWVASSFC >ENSMUSP00000058412.8 pep:known chromosome:GRCm38:4:141614233:141618238:-1 gene:ENSMUSG00000043085.14 transcript:ENSMUST00000053263.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem82 description:transmembrane protein 82 [Source:MGI Symbol;Acc:MGI:2384869] MFSLPSLSSWLPSLPSFEWGSSLFDSLLQGLIGALGVSVLNSLLKVYFFVACVNDPQRQP QKQRLSAQWASLEMLHLAGLTLFLTLIGSRVAALVVLEFSLRAVSTLLSLGKGSGNKERL QLFLVCQFSLGCGLSCGLSFLQEGAPHRSLNLLLSLGLAVLLALGARRLTRHICSLYELH SSQRYCGVCLGLLASQHGMPRLLSRTLTVAFAVSDLAAVALINKDFLSSSEAVRFWTPLT ICYTLLVIYMQEEQWQHRFSLQGQVQTVLVRMGGLFLLLMTVGRWLDLLSIFFSLLGELW CLAGIRALIDLCQIQGFPPQRPTVTAAVTAEGESGWTDPCEPRPSTPAPARSAVPS >ENSMUSP00000035216.4 pep:known chromosome:GRCm38:9:107975534:107984056:1 gene:ENSMUSG00000032596.14 transcript:ENSMUST00000035216.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba7 description:ubiquitin-like modifier activating enzyme 7 [Source:MGI Symbol;Acc:MGI:1349462] MDEELYSRQLYVLGLPAMQRIQEAKVLLCGLQGLGAEVAKNLVLTGVGSLTLHDPHPTCW ADLAAQCFLSEESLGRNRAEASQAQLAQLNEAVQISVHSGDITEDLLQGFQVVVLTDSKL EDQLKVGPLCHKHGVRFLMAETRGLVGRLFCDFGEDFTVLDPTEVEPMTAAIQDISQGFP GIVTLRGDTKRHSFHDGDLVIFSDIEGMVELNSCSPQSVRVQKDGSLEIGDTTTFSRYLR GGVVTEVKRPKTVRHKPLDIALLQPHVVAQNTQEVQRAHCLHQAFHVLHKFQQLHGRLPK PWDPDDAETVVELAQDLEPLKGTEEESLDEALLRTIALSSAGTLSPMAAIMGGVAAQEVL KAISRKFMPLDQWLYFDALECLPEDETLLPSPEDCQPRNCRYDGQIAVFGTDLQEKLSDQ HYLLVGAGAIGCEMLKVFALVGLGVRANGGVTVADMDYIERSNLSRQFLFRPKDVRRPKA EVAAAAAHRLNPDLRATPYTCPLDPTTEDIYDDSFFSRVNGVVAALDSFQARHYVAARCT HYLKPLLEAGTQGTWGSASVFVPYVTEAYRGPASDAASEDAPYPVCTLRHIPSSMEHSVQ WAQDQFEGLFRLSTETINCYQQTCTSLSATDRTETLALLQQVMGVLRTRPQTWQDCVVWA LGHWQLCFHDKVLEGGTQFSSGSNKCPHPLQFDPNHDMHFLYVLAAANLYARMHGLPGSQ SQPALRELLTRLLESDSRPQNLFSAEHGQEQLKELQETLDDWRKGPPLKPVLFVKDDDSN FHVDFVVAATDLRCQNYGILPVNHARIKQIVGRIIPAIATSTAVVAGLLGLELYKVVSGL RSHGTFRHSYLHLAENHFIRSAPSAPAVQSFRDLKWTCWDRLKVPAVQPERTLKSLLAHL QEEHGLKVEMLLHHQALLYSSGWSSEKQAQHLCLRVTELVQHVTGWKPKPGLKVLVFELS CEGEEEEMAFPPLHYEL >ENSMUSP00000134910.1 pep:known chromosome:GRCm38:9:107975655:107984058:1 gene:ENSMUSG00000032596.14 transcript:ENSMUST00000177392.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uba7 description:ubiquitin-like modifier activating enzyme 7 [Source:MGI Symbol;Acc:MGI:1349462] MDEELYSRQLYVLGLPAMQRIQEAKVLLCGLQGLGAEVAKNLVLTGVGSLTLHDPHPTCW ADLAAQCFLSEESLGRNRAEASQAQLAQLNEAVQISVHSGDITEDLLQGFQVVVLTDSKL EDQLKVGPLCHKHGVRFLMAETRGLVGRLFCDFGEDFTVLDPTEVEPMTAAIQDISQGFP GIVTLRGDTKRHSFHDGDLVIFSDIEGMVELNSCSPQSVRVQKDGSLEIGDTTTFSRYLR GGVVTEVKRPKTVRHKPLDIALLQPHVVAQNTQEVQRAHCLHQAFHVLHKFQQLHGRLPK PWDPDDAETVVELAQDLEPLKGTEEESLDEALLRTIALSSAGTLSPMAAIMGGVAAQEVL KAISRKFMPLDQWLYFDALECLPEDETLLPSPEDCQPKLPIRWANCCVWN >ENSMUSP00000135584.1 pep:known chromosome:GRCm38:9:107975956:107984060:1 gene:ENSMUSG00000032596.14 transcript:ENSMUST00000177039.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uba7 description:ubiquitin-like modifier activating enzyme 7 [Source:MGI Symbol;Acc:MGI:1349462] XAAQCFLSEESLGRNRAEASQAQLAQLNEAVQISVHSGDITEDLLQGFQVVVLTDSKLED QLKVGPLCHKHGVRFLMAETRGLVGAPYSQLMSFLSNFRHPH >ENSMUSP00000033121.5 pep:known chromosome:GRCm38:7:46033698:46084212:1 gene:ENSMUSG00000030835.6 transcript:ENSMUST00000033121.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nomo1 description:nodal modulator 1 [Source:MGI Symbol;Acc:MGI:2385850] MRAGRCAAALLLLLLSGAGRAIGSEDIVVGCGGFVKSDVEINYSLIEIKLYTKHGTLKYQ TDCAPNNGYFMIPLYDKGDFILKIEPPLGWSFEPTNVELRVDGVSDICTKGGDINFLFTG FSVNGKVLSKGQPLGPAGVQVSLRSTGADSKIQSTVTQPGGKFAFFKVLPGDYEILATHP TWALKEASTTVRVTNSNANAAGPLIVAGYNVSGSVRSDGEPMKGVKFLLFSSLVNKEDVL GCNVSPVSGFQPPDESLVYLCYAVSKEDGSFSFYSLPSGGYTVVPFYRGERITFDVAPSR LDFTVEHDSLRIEPVFHVMGFSVTGRVLNGPDGEGVPEAVVTLNNQIKVKTKADGSFRLE NITTGTYTIHAQKEHLYFEMVTIKIAPNTPQLADLIATGFSICGQIAIVRSPDTIKQMSK YRVVLSSQDKDKALLTVDSDAHGSFCFKAKPGAYKVQVVVPEAETRAGLMLKPQVFPLTV TNRPVMDVAFVQFLASVSGKVSCLDTCGDLLVTLQSLSRQGEKRSLQLSGKVNSMTFTFD KVLPGRYKISIMHEDWCWRNKSLEVEVLEDDVSAVEFRQTGYMLRCALSHAITLEFHQDG NGPENVGIYNLSRGVNRFCLSKPGVYKVTPRSCHRFEQAFYTYDTSSPSILTLTAIRHHV LGTIITDKMMDVTVTIKSSIDSEPALVLGPLKSAQELRREQQLAEIETRRQEREKNGKEE GEEGRARPPGQEMVDELQGPFSYDFSYWARSGEKITVTPSSKELLFYPPSMEATVSGESC PGKLIEIHGKAGLFLEGQIHPELEGVEIVISEKGASSPLITVFTDDKGAYSVGPLHSDLE YTVNSQKEGYVLTAVEGTVGDFKAYALAGVSFEIKAEDDQPLPGVLLSLSGGVFRSNLLT QDNGILTFSNLSPGQYYFKPMMKEFRFEPSSQMIEVQEGQNLRITITGFRTAYSCYGTVS SLNGEPEQGVAVEAVGQKDCSIYGEDTVTDEEGKFRLRGLLPGCMYHVQLKAEGNDHIER ALPHHRVIEVGNNDVDDVNIIVFRQINQFDLSGNVITSSEYLSTLWVKLYKSESLDNPIQ TVSLGQSLFFHFPPLLRDGENYVVLLDTTLPRSQYDYVLPQVSFTAVGYHKHITLVFSPT RKLPEQDIAQGSYIALPLTLLLLLAGYNHDKLIPLLLQLTSRLQGVRALGQAASDSSGPE DMKRQTKKQKTRRT >ENSMUSP00000117360.1 pep:known chromosome:GRCm38:4:155249966:155256683:1 gene:ENSMUSG00000073684.13 transcript:ENSMUST00000148406.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Faap20 description:Fanconi anemia core complex associated protein 20 [Source:MGI Symbol;Acc:MGI:1914763] MEEERRLRGRLSRRRPPAGGGPLTAALGFSRREVRVSPGLLCCAAP >ENSMUSP00000101252.1 pep:known chromosome:GRCm38:4:155249989:155256383:1 gene:ENSMUSG00000073684.13 transcript:ENSMUST00000105627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faap20 description:Fanconi anemia core complex associated protein 20 [Source:MGI Symbol;Acc:MGI:1914763] MEEERRLRGRLSRRRPPAGGGKSEPWAALLRSTVSGTADWTPNRQPLPPLPAFPSQESLP DPESTVPPEAFTVGSKTFSWTPLPPALRGSGSSRHLFCEPEGSLGSPTPSLKGCPALNSG RTPSAQECVPVQSPLALLSCPLCQKAFDPKLTQLDVDSHLAQCLAECTEDVVW >ENSMUSP00000095354.2 pep:known chromosome:GRCm38:4:155250003:155256684:1 gene:ENSMUSG00000073684.13 transcript:ENSMUST00000097747.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faap20 description:Fanconi anemia core complex associated protein 20 [Source:MGI Symbol;Acc:MGI:1914763] MPRLTLPVLPRPPNCRPWFLSEGSKSEPWAALLRSTVSGTADWTPNRQPLPPLPAFPSQE SLPDPESTVPPEAFTVGSKTFSWTPLPPALRGSGSSRHLFCEPEGSLGSPTPSLKGCPAL NSGRTPSAQECVPVQSPLALLSCPLCQKAFDPKLTQLDVDSHLAQCLAECTEDVVW >ENSMUSP00000121522.1 pep:known chromosome:GRCm38:4:155250332:155256418:1 gene:ENSMUSG00000073684.13 transcript:ENSMUST00000143709.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faap20 description:Fanconi anemia core complex associated protein 20 [Source:MGI Symbol;Acc:MGI:1914763] XESIYPSNKSEPWAALLRSTVSGTADWTPNRQPLPPLPAFPSQESLPDPESTVPPEAFTV GSKTFSWTPLPPALRGSGSSRHLFCEPEGSLGSPTPSLKGCPALNSGRTPSAQECVPVQS PLALLSCPLCQKAFDPKLTQLDVDSHLAQCLAECTEDVVW >ENSMUSP00000137116.1 pep:known chromosome:GRCm38:4:155249966:155256687:1 gene:ENSMUSG00000073684.13 transcript:ENSMUST00000178473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faap20 description:Fanconi anemia core complex associated protein 20 [Source:MGI Symbol;Acc:MGI:1914763] MEEERRLRGRLSRRRPPAGGGPPNCRPWFLSEGSKSEPWAALLRSTVSGTADWTPNRQPL PPLPAFPSQESLPDPESTVPPEAFTVGSKTFSWTPLPPALRGSGSSRHLFCEPEGSLGSP TPSLKGCPALNSGRTPSAQECVPVQSPLALLSCPLCQKAFDPKLTQLDVDSHLAQCLAEC TEDVVW >ENSMUSP00000049391.7 pep:known chromosome:GRCm38:1:58113136:58200698:1 gene:ENSMUSG00000064294.12 transcript:ENSMUST00000040999.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aox3 description:aldehyde oxidase 3 [Source:MGI Symbol;Acc:MGI:1918974] MSPSKESDELIFFVNGKKVTERNADPEVNLLFYLRKVIRLTGTKYGCGGGDCGACTVMIS RYDPISKRISHFSATACLVPICSLHGAAVTTVEGIGSTKTRIHPVQERIAKGHGTQCGFC TPGMVMSIYTLLRNHPEPSTEQIMETLGGNLCRCTGYRPIVESAKSFCPSSTCCQMNGEG KCCLDEEKNEPERKNSVCTKLYEKKEFQPLDPTQELIFPPELMRMAEESQNTVLTFRGER TTWIAPGTLNDLLELKMKHPSAPLVIGNTYLGLHMKFTDVSYPIIISPARILELFVVTNT KQGLTLGAGLSLTQVKNVLSDVVSRLPKEKTQIYCALLKQLKTLAGQQIRNVASLGGHII SRLPTSDLNPILGIGNCILNVASTEGIQQIPLNDHFLAGVPDAILKPEQVLISVFVPRSS KWEFVSAFRQAPRQQNAFATVNAGMKVVFKEDTNTITDLGILYGGIGATVISADKSCRQL IGRCWDEEMLDDAGKMICEEVSLLMAAPGGMEEYRKTLAISFLFMFYLDVLKQLKTRDPH KYPDISQKLLHILEDFPLTMPYGMQSFQDVDFQQPLQDPIGRPIMHQSGIKHATGEAVFC DDMSVLPGELFLAVVTSSKSHAKIISLDASEALASLGVVDVVTARDVPGDNGREEESLYA QDEVICVGQIVCAVAADSYAHAQQAAKKVKIVYQDIEPMIVTVQDALQYESFIGPERKLE QGNVEEAFQCADQILEGEVHLGGQEHFYMETQSVRVVPKGEDKEMDIYVSSQDAAFTQEM VARTLGIPKNRINCHVKRVGGAFGGKASKPGLLASVAAVAAQKTGRPIRFILERRDDMLI TGGRHPLLGKYKIGFMNNGKIKAADIQLYINGGCTPDDSELVIEYALLKLENAYKIPNLR VRGRVCKTNLPSNTAFRGFGFPQGAFVTETCMSAVAAKCRLPPEKVRELNMYRTIDRTIH NQEFDPTNLLQCWEACVENSSYYNRKKAVDEFNQQRFWKKRGIAIIPMKFSVGFPKTFYY QAAALVQIYTDGSVLVAHGGVELGQGINTKMIQVASRELKIPMSYIHLDEMSTVTVPNTV TTGASTGADVNGRAVQNACQILMKRLEPIIKQNPSGTWEEWVKEAFVQSISLSATGYFRG YQADMDWEKGEGDIFPYFVFGAACSEVEIDCLTGAHKNIRTDIVMDGSFSINPAVDIGQI EGAFVQGLGLYTLEELKYSPEGVLYTRGPHQYKIASVTDIPEEFHVSLLTPTPNPKAIYS SKGLGEAGTFLGCSVFFAIAAAVAAAREERGLSPIWAINSPATAEVIRMACEDQFTNLVP QTDSKCCKPWSIPVA >ENSMUSP00000140140.1 pep:known chromosome:GRCm38:1:58113186:58139122:1 gene:ENSMUSG00000064294.12 transcript:ENSMUST00000162011.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aox3 description:aldehyde oxidase 3 [Source:MGI Symbol;Acc:MGI:1918974] MSPSKESDELIFFVNGKKVTERNADPEVNLLFYLRKVIRLTGTKYGCGGGDCGACTVMIS RYDPISKRISHFSATACLVPICSLHGAAVTTVEGIGSTKTRIHPVQERIAKGHGTQCGFC TPGMVMSIYTLLRNHPEPSTEQIMETLGGNLCRCTGYRPIVESAKSFCPSSTCCQMNGEG KCCLDEEKNEPERKNSVCTKLYEKKEFQPLDPTQELIFPPELMVCSGLFGFMMLAREGTH PPACPQLCLCFYLLLWVTLYYVALQSIHSF >ENSMUSP00000032865.8 pep:known chromosome:GRCm38:7:84585159:84605942:-1 gene:ENSMUSG00000030630.16 transcript:ENSMUST00000032865.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fah description:fumarylacetoacetate hydrolase [Source:MGI Symbol;Acc:MGI:95482] MSFIPVAEDSDFPIQNLPYGVFSTQSNPKPRIGVAIGDQILDLSVIKHLFTGPALSKHQH VFDETTLNNFMGLGQAAWKEARASLQNLLSASQARLRDDKELRQRAFTSQASATMHLPAT IGDYTDFYSSRQHATNVGIMFRGKENALLPNWLHLPVGYHGRASSIVVSGTPIRRPMGQM RPDNSKPPVYGACRLLDMELEMAFFVGPGNRFGEPIPISKAHEHIFGMVLMNDWSARDIQ QWEYVPLGPFLGKSFGTTISPWVVPMDALMPFVVPNPKQDPKPLPYLCHSQPYTFDINLS VSLKGEGMSQAATICRSNFKHMYWTMLQQLTHHSVNGCNLRPGDLLASGTISGSDPESFG SMLELSWKGTKAIDVEQGQTRTFLLDGDEVIITGHCQGDGYRVGFGQCAGKVLPALSPA >ENSMUSP00000121439.1 pep:known chromosome:GRCm38:7:84595529:84606722:-1 gene:ENSMUSG00000030630.16 transcript:ENSMUST00000128460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fah description:fumarylacetoacetate hydrolase [Source:MGI Symbol;Acc:MGI:95482] MGLGQAAWKEARASLQNLLSASQARLRDDKELRQRAFTSQASATMHLPATIGDYTDFYSS RQHATNVGIMFRGKENALLPNWLHLPVGYHGRASSIVVSGTPIRRPMGQMRPDNSKPPVY GACRLLDMELEMAFFVGPGNR >ENSMUSP00000136546.1 pep:known chromosome:GRCm38:2:83961503:83963995:1 gene:ENSMUSG00000094336.1 transcript:ENSMUST00000178960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13693 description:predicted gene 13693 [Source:MGI Symbol;Acc:MGI:3702055] MKSSVAHMKQSSGHNRRETHSSYCRSSSPEDRYTEQERFPRDRDYSDYSRSDYERSRRGY SYDDSMESRSRDREKRRERERDADHRKRPWKSPSPDRSPARGIRQSSPQEEPTWKKKKDE LDPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISII IQELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNF RKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECG LKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVE EDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGS SEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHK LLKMEFAESQTKELCNMILDCCAQQRTYENFFGLLAGRFCMLEKEYMESFESIFKEQYDT IHRLETNKLRNVAKVFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMG LPKLNARLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIV AQKPEAEQKKTALTSSSSESSSASDSSDSDSDSSESSSESSSEASDSSSSSSTQSSTSAK GTRKKRQGKARGEEVDKLARGHQALERRQGGREDQRHQEGRTERARSERHRAQNSRDADW RDSLAKHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKKQREKRFFL >ENSMUSP00000136590.1 pep:known chromosome:GRCm38:2:83962208:83962994:1 gene:ENSMUSG00000094336.1 transcript:ENSMUST00000179448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13693 description:predicted gene 13693 [Source:MGI Symbol;Acc:MGI:3702055] LILNFRKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGF LKECGLKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEG LDLVEEDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTD QGAGSSEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSTKQKN SAT >ENSMUSP00000110795.2 pep:known chromosome:GRCm38:X:38189812:38194684:1 gene:ENSMUSG00000048047.3 transcript:ENSMUST00000115142.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb33 description:zinc finger and BTB domain containing 33 [Source:MGI Symbol;Acc:MGI:1927290] MESRKLISATDIQYSASLLNSLNEQRGHGLFCDVTVIVEDRKFRAHRNILSASSTYFHQL FSVAGQVVELSFIRAEIFAEILNYIYSSKVVRVRADLLDELIKSGQLLGVKFIAELGVPL SQVKSISGTEQDGTAETLPSSSSDKSLDMEKSKDEAQDNGATVMPIITESFSLSAEDNEM KKIIVTDSDDDDDDDVIFCSEILPAKEDLPSNNTATQVQPNPASVAISEVTPCASNNSPP VTNITPTQLPTPVNQATLSQTQGSEELLVSSASTHLTPNIILLNQAPLTAPPSASSSLPN HMSSSVNVLVQNQQTPNSAVLTGNKAEEEEEIIDDDDDIISSSPDSAVSNTSLVPQADNS KSTTLDGSLTQKMQIPVLPQEPPSNSLKISDVITRNTNDPGLRSKHVMEGQKIITLDTAT EIEGLSTGCKVYANIGEDTYDIVIPVKDDPDGGEAKLDNELPKTSGSEPPNKRMKVKHDD HYELIVDGRVYYICIVCKRSYVCLTSLRRHFNIHSWEKKYQCRYCDKVFPLAEYRTKHEI HHTGERRYQCLTCGKSFINYQFMSSHIKSVHSQDPSGDSKLYRLHPCKSLQIRQYAYLSN KSSAMPVMKDDAVGYKVDAGKEPPVGTTSTPPQNKSTFWEDIFIQQENDSIFKQNVTDGS TEFEFIIPESY >ENSMUSP00000118993.1 pep:known chromosome:GRCm38:X:38189834:38194068:1 gene:ENSMUSG00000048047.3 transcript:ENSMUST00000131124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb33 description:zinc finger and BTB domain containing 33 [Source:MGI Symbol;Acc:MGI:1927290] MESRKLISATDIQYSASLLNSLNEQRGHGLFCDVTVIVEDRKFRAHRNILSASSTYFHQL FSVAGQVVELSFIRAEIFAEILNYIYSSKVVRVRADLLDELIKSGQLLGVKFIAELGVPL SQVKSISGTEQDGTAETLPSSSSDKSLDMEKSKDEAQDNGATVMPIITESFSLSAEDNEM KKIIVTDSDDDDDDDVIFCSEILPAKEDLPSNNTATQVQPNPASVAISEVTPCASNNSPP VTNITPTQLPTPVNQATLSQTQGSEELLVSSASTHLTPNIILLNQAPLTAPPSASSSLPN HMSSSVNVLVQNQQTPNSAVLTGNKAEEEEEIIDDDDDIISSSPDSAVSNTSLVPQADNS KSTTLDGSLTQKMQIPVLPQEPPSNSLKISDVITRNTNDPGLRSKHVMEGQKIITLDTAT EIEGLSTGCKVYANIGEDTYDIVIPVKDDPDGGEAKLDNELPKTSGSEPPNKRMKVKHDD HYELIVDGRVYYICIVCKRSYVCLTSLRRHFNIHSWEKKYQCRYCDKVFPLAEYRTKHEI HHTGERRYQCLTCGKSFINYQFMSSHIKSVHSQDPSGDSKLYRLHPCKSLQIRQYAYLSN KSSAMPVMKDDAVGYKV >ENSMUSP00000049983.2 pep:known chromosome:GRCm38:X:38189793:38197046:1 gene:ENSMUSG00000048047.3 transcript:ENSMUST00000049740.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb33 description:zinc finger and BTB domain containing 33 [Source:MGI Symbol;Acc:MGI:1927290] MESRKLISATDIQYSASLLNSLNEQRGHGLFCDVTVIVEDRKFRAHRNILSASSTYFHQL FSVAGQVVELSFIRAEIFAEILNYIYSSKVVRVRADLLDELIKSGQLLGVKFIAELGVPL SQVKSISGTEQDGTAETLPSSSSDKSLDMEKSKDEAQDNGATVMPIITESFSLSAEDNEM KKIIVTDSDDDDDDDVIFCSEILPAKEDLPSNNTATQVQPNPASVAISEVTPCASNNSPP VTNITPTQLPTPVNQATLSQTQGSEELLVSSASTHLTPNIILLNQAPLTAPPSASSSLPN HMSSSVNVLVQNQQTPNSAVLTGNKAEEEEEIIDDDDDIISSSPDSAVSNTSLVPQADNS KSTTLDGSLTQKMQIPVLPQEPPSNSLKISDVITRNTNDPGLRSKHVMEGQKIITLDTAT EIEGLSTGCKVYANIGEDTYDIVIPVKDDPDGGEAKLDNELPKTSGSEPPNKRMKVKHDD HYELIVDGRVYYICIVCKRSYVCLTSLRRHFNIHSWEKKYQCRYCDKVFPLAEYRTKHEI HHTGERRYQCLTCGKSFINYQFMSSHIKSVHSQDPSGDSKLYRLHPCKSLQIRQYAYLSN KSSAMPVMKDDAVGYKVDAGKEPPVGTTSTPPQNKSTFWEDIFIQQENDSIFKQNVTDGS TEFEFIIPESY >ENSMUSP00000097534.2 pep:known chromosome:GRCm38:2:83967313:83969805:1 gene:ENSMUSG00000096729.1 transcript:ENSMUST00000099951.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13695 description:predicted gene 13695 [Source:MGI Symbol;Acc:MGI:3702066] MKSSVAHMKQSSGHNRRETHSSYCRSSSPEDRYTEQERFPRDRDYSDYSRSDYERSRRGY SYDDSMESRSRDREKRRERERDADHRKRPWKSPSPDRSPARGIRQSSPQEEPTWKKKKDE LDPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISII IQELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNF RKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECG LKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVE EDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGS SEDEEEVEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHK LLKMEFAESQTKELCNMILDCCAQQRTYENFFGLLAGRFCMLEKEYMESFESIFKEQYDT IHRLETNKLRNVAKVFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMG LPKLNARLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIV AQKPEAEQKKTALTSSSSESSSASDSSDSDSDSSESSSESSSEASDSSSSSSTQSSTSAK GTRKKRQGKARGEEVDKLARGHQALERRQGGREDQRHQEGRTERARSERHRAQNSRDADW RDSLAKHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKKQREKRFFL >ENSMUSP00000136120.1 pep:known chromosome:GRCm38:2:83968018:83968804:1 gene:ENSMUSG00000096729.1 transcript:ENSMUST00000179504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13695 description:predicted gene 13695 [Source:MGI Symbol;Acc:MGI:3702066] LILNFRKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGF LKECGLKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEG LDLVEEDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTD QGAGSSEDEEEVEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSTKQKN SAT >ENSMUSP00000116419.1 pep:known chromosome:GRCm38:3:89183143:89184679:1 gene:ENSMUSG00000032657.15 transcript:ENSMUST00000140473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam189b description:family with sequence similarity 189, member B [Source:MGI Symbol;Acc:MGI:1915771] MAGSVLSCKNAQLARDFRECSMEGKVCVCCPPIPLHRP >ENSMUSP00000039261.6 pep:known chromosome:GRCm38:3:89183203:89189291:1 gene:ENSMUSG00000032657.15 transcript:ENSMUST00000041913.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam189b description:family with sequence similarity 189, member B [Source:MGI Symbol;Acc:MGI:1915771] MMPSPSDSSRSLTSRPSTRGLTHLRLHRPWLQALLTLGLAQVLLGILVITFSMVASSVTT TESIKRSCPSWAGFSLAFSGLVGIVSWKRPFTLVISFFSLLSVLCVMLSMAGSVLSCKNA QLARDFRECSMEGKVCVCCPPIPLHRPCPEWGQELKVALNSTCDEARGALKNLLFSVCGL TICAAIICTLSAIVCCVQIFSLDLVHMQLAPERSVSGPLGPLACTSSPPAPLLHTMLDLE EFVPPVPPPPYYPPEYTCSSETDAQSITYNGSMDSPVPLYPTDCPPSYEAVMGLRRDSQA TLFDPQLHDGSCVCERVASIVDVSMDSGSLVLSAIGDLPGGSSPSEDSCLLELQGSVRSV DYVLFRSIQRSRAGYCLSLDCGLRGPFEDSPLPRRPPRAARSYSCSAPEAPPPLGAPTAA RSCHRLEGWPPWVGPCFPELRRRVPRGGSRSAAPPPARAPARRFSDSSGSLTPPGHRPPH RTPPPPLLLPRSHSDPGITTSSDIADFRDLYTKVLEEEAASVSSADTGLCSEACLFRLAR CPSPKLLRARSAEKRRPVPTFQKVPLPSGPTPAHSLGDLKGSWPGRGLVTRFLQLSRRSP DPTGTGAHGYKQVRRSPWGRPGRESLHLRSCGDLSSGSSLRRLLSARRLEHGIRPHSLSL NGGSRETGL >ENSMUSP00000117185.1 pep:known chromosome:GRCm38:3:89183204:89189289:1 gene:ENSMUSG00000032657.15 transcript:ENSMUST00000147696.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam189b description:family with sequence similarity 189, member B [Source:MGI Symbol;Acc:MGI:1915771] MMPSPSDSSRSLTSRPSTRGLTHLRLHRPWLQALLTLGLAQVLLGILVITFSMVASSVTT TESIKRSCPSWAGFSLAFSGLVGIVSWKRPFTLVISFFSLLSVLCVMLSMAGSVLSCKNA QLARDFRECSMEGKVCVCCPPIPLHRPCPEWGQELKVALNSTCDEARGALKNLLFSVCGL TICAAIICTLSAIVCCVQIFSLDLVHMLAPERSVSGPLGPLACTSSPPAPLLHTMLDLEE FVPPVPPPPYYPPEYTCSSETDAQRPLCLTLSFTMAPVSVSGWPPLWTCPWTVGPWCCLP LATSPGALAHQKTHACWNSRALCAPSIMCSSAPSSAAAQATALAWTAASGVPLKTVPCQG DPHGLPAPIPAQPLKPHPHWVPPQLLAVATG >ENSMUSP00000114855.1 pep:known chromosome:GRCm38:3:89183580:89189290:1 gene:ENSMUSG00000032657.15 transcript:ENSMUST00000127982.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam189b description:family with sequence similarity 189, member B [Source:MGI Symbol;Acc:MGI:1915771] MMPSPSDSSRSLTSRPSTRGLTHLRLHRPWLQALLTLGLAQVLLGILVITFSMVASSVTT TESIKRSCPSWAGFSSSPSLQGWVHPVARGSLRCFPCQAEPVPTLSLAGVLRVGWHCVLE AAIHSSDLFLLLAFGALCHA >ENSMUSP00000113706.1 pep:known chromosome:GRCm38:3:89183633:89189288:1 gene:ENSMUSG00000032657.15 transcript:ENSMUST00000117278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam189b description:family with sequence similarity 189, member B [Source:MGI Symbol;Acc:MGI:1915771] MMPSPSDSSRSLTSRPSTRGLTHLRLHRPWLQALLTLGLAQVLLGILVITFSMVASSVTT TESIKRSCPSWAGFSISFFSLLSVLCVMLSMAGSVLSCKNAQLARDFRECSMEGKVCVCC PPIPLHRPCPEWGQELKVALNSTCDEARGALKNLLFSVCGLTICAAIICTLSAIVCCVQI FSLDLVHMQLAPERSVSGPLGPLACTSSPPAPLLHTMLDLEEFVPPVPPPPYYPPEYTCS SETDAQSITYNGSMDSPVPLYPTDCPPSYEAVMGLRRDSQATLFDPQLHDGSCVCERVAS IVDVSMDSGSLVLSAIGDLPGGSSPSEDSCLLELQGSVRSVDYVLFRSIQRSRAGYCLSL DCGLRGPFEDSPLPRRPPRAARSYSCSAPEAPPPLGAPTAARSCHRLEGWPPWVGPCFPE LRRRVPRGGSRSAAPPPARAPARRFSDSSGSLTPPGHRPPHRTPPPPLLLPRSHSDPGIT TSSDIADFRDLYTKVLEEEAASVSSADTGLCSEACLFRLARCPSPKLLRARSAEKRRPVP TFQKVPLPSGPTPAHSLGDLKGSWPGRGLVTRFLQLSRRSPDPTGTGAHGYKQVRRSPWG RPGRESLHLRSCGDLSSGSSLRRLLSARRLEHGIRPHSLSLNGGSRETGL >ENSMUSP00000113579.1 pep:known chromosome:GRCm38:3:89183641:89189295:1 gene:ENSMUSG00000032657.15 transcript:ENSMUST00000119707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam189b description:family with sequence similarity 189, member B [Source:MGI Symbol;Acc:MGI:1915771] MMPSPSDSSRSLTSRPSTRGLTHLRLHRPWLQALLTLGLAQVLLGILVITFSMVASSVTT TESIKRSCPSWAGFSLAFSGLVGIVSWKRPFTLVNLLFSVCGLTICAAIICTLSAIVCCV QIFSLDLVHMLAPERSVSGPLGPLACTSSPPAPLLHTMLDLEEFVPPVPPPPYYPPEYTC SSETDAQSITYNGSMDSPVPLYPTDCPPSYEAVMGLRRDSQATLFDPQLHDGSCVCERVA SIVDVSMDSGSLVLSAIGDLPGGSSPSEDSCLLELQGSVRSVDYVLFRSIQRSRAGYCLS LDCGLRGPFEDSPLPRRPPRAARSYSCSAPEAPPPLGAPTAARSCHRLEGWPPWVGPCFP ELRRRVPRGGSRSAAPPPARAPARRFSDSSGSLTPPGHRPPHRTPPPPLLLPRSHSDPGI TTSSDIADFRDLYTKVLEEEAASVSSADTGLCSEACLFRLARCPSPKLLRARSAEKRRPV PTFQKVPLPSGPTPAHSLGDLKGSWPGRGLVTRFLQLSRRSPDPTGTGAHGYKQVRRSPW GRPGRESLHLRSCGDLSSGSSLRRLLSARRLEHGIRPHSLSLNGGSRETGL >ENSMUSP00000143769.2 pep:known chromosome:GRCm38:7:6730583:6967219:1 gene:ENSMUSG00000051527.11 transcript:ENSMUST00000200535.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp29 description:ubiquitin specific peptidase 29 [Source:MGI Symbol;Acc:MGI:1888998] MAHLKINGLVQIRSTNRSKHTRASQWKEAVIEIVERKQKVNLVVSFKLEERRRVFQLGDN VTGVVVSGELGLYHLDLTLRDDTSLLIDKLSSADVEHLKSFLDSSTPCESQQPMEPMSSQ DDLESSDPFCGEHQEAACGSLNTTPESGTPLSRKMPLSMSNTTGGQKRGEKQGRKRKTEP SSSSAEVNKDIPKENTPDQKKKSRRYYSRNRGGKAEKAVTLREQEKRSNWKLEPAFNSKS YGRANLDGTILPIATCSDDRDVSIFGLEIITHNGVQSLPDPYLNQLKREGFPNLGNTCYM NSILQSVFGIPTFAKDLLTQGIPWEKVSYDDLIMPLSQLLVLKDIRDVEIKGELLTSVKK SISTVADTFSGNEQNDAHEFLSLCLDQLKLNMEKVNAMWDTERRNTCAGSAGTKRFVCPV GANFEFELHSSIICEGCGEATIKTEVSNYLSIDLHHGTKTHPLSIQKSFDLFFTPEKIEH NCEKCKNKNSVLKYTLRRLPRVLIVHLKRYQVTTDLLPVKSEQPVEISKYLNISSHCHEN RKLPFPLANTSPDVSQGMMPGIFNQSMLSKKVISESCDPMVLQVGSSVDAEIQSFQIMYE DEDASEEQQQRGLESGSMLEPELVKTENRILRQKTSLATDSMMGDGYSFLPMLCEPLSIQ DPGLAEMGLQEVPENPEFKNYEKINIYGKSDGRTNTELSKLYQNHGSRIKGLFLPASLAS VSSQEDPEKDLSRSPELQEDDPHSFAFGSDDSKDGEMGDDLQNYRLVSVVSHFGSSPNSG HYVSDVYDFQKQAWLLYSDVQVFESSDPSIQENRLNSGYIFFYMHNEIFEELLKKASECK VLSTSKEEKRDIDYFSTLLNGLTYILEEF >ENSMUSP00000143283.2 pep:known chromosome:GRCm38:7:6730741:6961754:1 gene:ENSMUSG00000051527.11 transcript:ENSMUST00000197117.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp29 description:ubiquitin specific peptidase 29 [Source:MGI Symbol;Acc:MGI:1888998] MAHLKINGLVQIRSTNRSKHTRASQWKEAVIEIVERKQKVNLVVSFKLEERRRVFQLGDN VTGVVVSGELGLYHLDLTLRDDTSLLIDKLSSADVEHLKSFLDSSTPCESQQPMEPMSSQ DDLESSDPFCGEHQEAACGSLNTTPESGTPLSRKMPLSMSNTTGGQKRGEKQGRKRKTEP SSSSAEVNKDIPKENTPD >ENSMUSP00000143267.2 pep:known chromosome:GRCm38:7:6947602:6964825:1 gene:ENSMUSG00000051527.11 transcript:ENSMUST00000198068.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp29 description:ubiquitin specific peptidase 29 [Source:MGI Symbol;Acc:MGI:1888998] MAHLKINGLVQIRSTNRSKHTRASQWKEAVIEIVERKQKVNLVVSFKLEERRRVFQLGDN VTGVVVSGELGLYHLDLTLRDDTSLLIDKLSSADVEHLKSFLDSSTPCESQQPMEPMSSQ DDLESSDPFCGEHQEAACGSLNTTPESGTPLSRKMPLSMSNTTGGQKRGEKQGRKRKTEP SSSSAEVNKDIPKENTPDQKKKSRRYYSRNRGGKAEKAVTLREQEKRSNWKLEPAFNSKS YGRANLDGTILPIATCSDDRDVSIFGLEIITHNGVQSLPDPYLNQLKREGFPNLGNTCYM NSILQSVFGIPTFAKDLLTQGIPWEKVSYDDLIMPLSQLLVLKDIRDVEIKGELLTSVKK SISTVADTFSGNEQNDAHEFLSLCLDQLKLNMEKVNAMWDTERRNTCAGSAGTKRFVCPV GANFEFELHSSIICEGCGEATIKTEVSNYLSIDLHHGTKTHPLSIQKSFDLFFTPEKIEH NCEKCKNKNSVLKYTLRRLPRVLIVHLKRYQVTTDLLPVKSEQPVEISKYLNISSHCHEN RKLPFPLANTSPDVSQGMMPGIFNQSMLSKKVISESCDPMVLQVGSSVDAEIQSFQIMYE DEDASEEQQQRGLESGSMLEPELVKTENRILRQKTSLATDSMMGDGYSFLPMLCEPLSIQ DPGLAEMGLQEVPENPEFKNYEKINIYGKSDGRTNTELSKLYQNHGSRIKGLFLPASLAS VSSQEDPEKDLSRSPELQEDDPHSFAFGSDDSKDGEMGDDLQNYRLVSVVSHFGSSPNSG HYVSDVYDFQKQAWLLYSDVQVFESSDPSIQENRLNSGYIFFYMHNEIFEELLKKASECK VLSTSKEEKRDIDYFSTLLNGLTYILEEF >ENSMUSP00000062349.6 pep:known chromosome:GRCm38:7:6961160:6963769:1 gene:ENSMUSG00000051527.11 transcript:ENSMUST00000054055.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp29 description:ubiquitin specific peptidase 29 [Source:MGI Symbol;Acc:MGI:1888998] MAHLKINGLVQIRSTNRSKHTRASQWKEAVIEIVERKQKVNLVVSFKLEERRRVFQLGDN VTGVVVSGELGLYHLDLTLRDDTSLLIDKLSSADVEHLKSFLDSSTPCESQQPMEPMSSQ DDLESSDPFCGEHQEAACGSLNTTPESGTPLSRKMPLSMSNTTGGQKRGEKQGRKRKTEP SSSSAEVNKDIPKENTPDQKKKSRRYYSRNRGGKAEKAVTLREQEKRSNWKLEPAFNSKS YGRANLDGTILPIATCSDDRDVSIFGLEIITHNGVQSLPDPYLNQLKREGFPNLGNTCYM NSILQSVFGIPTFAKDLLTQGIPWEKVSYDDLIMPLSQLLVLKDIRDVEIKGELLTSVKK SISTVADTFSGNEQNDAHEFLSLCLDQLKLNMEKVNAMWDTERRNTCAGSAGTKRFVCPV GANFEFELHSSIICEGCGEATIKTEVSNYLSIDLHHGTKTHPLSIQKSFDLFFTPEKIEH NCEKCKNKNSVLKYTLRRLPRVLIVHLKRYQVTTDLLPVKSEQPVEISKYLNISSHCHEN RKLPFPLANTSPDVSQGMMPGIFNQSMLSKKVISESCDPMVLQVGSSVDAEIQSFQIMYE DEDASEEQQQRGLESGSMLEPELVKTENRILRQKTSLATDSMMGDGYSFLPMLCEPLSIQ DPGLAEMGLQEVPENPEFKNYEKINIYGKSDGRTNTELSKLYQNHGSRIKGLFLPASLAS VSSQEDPEKDLSRSPELQEDDPHSFAFGSDDSKDGEMGDDLQNYRLVSVVSHFGSSPNSG HYVSDVYDFQKQAWLLYSDVQVFESSDPSIQENRLNSGYIFFYMHNEIFEELLKKASECK VLSTSKEEKRDIDYFSTLLNGLTYILEEF >ENSMUSP00000056260.1 pep:known chromosome:GRCm38:X:62287142:62292078:1 gene:ENSMUSG00000045330.8 transcript:ENSMUST00000051414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933402E13Rik description:RIKEN cDNA 4933402E13 gene [Source:MGI Symbol;Acc:MGI:1921687] MSRYGKHPRLSLEEGVNFQNPTETDDDDVVFPVLPTAEKDEREGEEEVLKEDEDGNKQET EEDNVEKEDEDEEKEKEEEKEESGDEVNEEEDETREEEDISSMILSSSNVSLAAFSPPSP SSSSSSSIFSSSSSSSSLFSLFQSNLVENEGFAIGMLGLFQNAQSFFPLPTLGGNLDEAA GYQEESSGVIASPEDPDSLLDTVIQEKATDLVFLFIYKYRVKEPITLTEMHEVVTKEYEN HFPVIFIEASKCLEMTFGIDIKESDLVSSAYVLVNSLNLTYEDTLSDSDRLPRNAFLIVI LGVIFIEGNCASEDRIWEFLKLVGVYDGEEHFICGDPREFLTIHLVQQNYLEYREVPNSQ PPCFEFLWGPRAYAETTKMKVLEFLAKMNGCDPSDFSIWYEEALRDEEERAWALNDSANT SPNAWFVER >ENSMUSP00000136235.1 pep:known chromosome:GRCm38:X:62283499:62292078:1 gene:ENSMUSG00000045330.8 transcript:ENSMUST00000178085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933402E13Rik description:RIKEN cDNA 4933402E13 gene [Source:MGI Symbol;Acc:MGI:1921687] MSRYGKHPRLSLEEGVNFQNPTETDDDDVVFPVLPTAEKDEREGEEEVLKEDEDGNKQET EEDNVEKEDEDEEKEKEEEKEESGDEVNEEEDETREEEDISSMILSSSNVSLAAFSPPSP SSSSSSSIFSSSSSSSSLFSLFQSNLVENEGFAIGMLGLFQNAQSFFPLPTLGGNLDEAA GYQEESSGVIASPEDPDSLLDTVIQEKATDLVFLFIYKYRVKEPITLTEMHEVVTKEYEN HFPVIFIEASKCLEMTFGIDIKESDLVSSAYVLVNSLNLTYEDTLSDSDRLPRNAFLIVI LGVIFIEGNCASEDRIWEFLKLVGVYDGEEHFICGDPREFLTIHLVQQNYLEYREVPNSQ PPCFEFLWGPRAYAETTKMKVLEFLAKMNGCDPSDFSIWYEEALRDEEERAWALNDSANT SPNAWFVER >ENSMUSP00000115184.1 pep:known chromosome:GRCm38:5:108569411:108591671:-1 gene:ENSMUSG00000062234.14 transcript:ENSMUST00000156110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gak description:cyclin G associated kinase [Source:MGI Symbol;Acc:MGI:2442153] XGGRLQAKMASMKMFQIQFHTGFVPRNATTVKFAKYDLDACDIQEKYPDLFQVNLEVEVE PRDRPSREAPPWENTSLRGLNPKILFSNREEQQDILSKFEEKEPETGLDNTSPKESQSVL IADGDGSEVSDEEEASFPSEERKPGAGEDTPRLAAGTKQQDLIFDVGMLAAPQEPVQPEE GVDLLGLHSEGDLRPAAPLQACGVPSSNTDLLSCLLEPSDAAQVGPPGDLLGGEAPLLLA SPVSPLGLQNNLQGKVPDTVDPFDQFLLSSNSDTQPCSKPDLFGEFLNSDSVASSTAFPS THSAPPPSCSTAFLHLGDLPAEPSKVIASSSHPDLLGGWDTWADTATPGPASIPVPEGTL FSSAGHPAPPGPNPSQTKSQNLDPFADLSDLSSSLQGLPAGLPAGGFVGAPAPTQKSNSP WQANRPTAPGTSWTPQAKPAPRASEQLRSHFSVIGAREERGVRVPSFAQKPKVSENDFED LLPNQGFSKSDKKGPKTMAEMRKQELARDTDPLKLKLLDWIEGKERNIRALLSTLHTVLW DGESRWTPVSMADLVTPEQVKKQYRRAVLVVHPDKATGQPYEQYAKMIFMELNDAWSEFE NQGSRPLF >ENSMUSP00000036705.8 pep:known chromosome:GRCm38:5:108569414:108629739:-1 gene:ENSMUSG00000062234.14 transcript:ENSMUST00000046603.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gak description:cyclin G associated kinase [Source:MGI Symbol;Acc:MGI:2442153] MSLLQSALDFLAGPGSLGGAAGRDQSDFVGQTVELGELRLRVRRVLAEGGFAFVYEAQDL GSGREYALKRLLSNEEEKNRAIIQEVCFLKKLSGHPNIVQFCSAASIGKEESDTGQAEFL LLTELCKGQLVEFLKRVECKGPLSCDSILKIFYQTCRAVQHMHRQKPPIIHRDLKVENLL LSNQGTIKLCDFGSATTISHYPDYSWSAQKRAMVEEEITRNTTPMYRTPEIVDLYSNFPI GEKQDIWALGCILYLLCFRQHPFEDGAKLRIVNGKYSIPVNDTRYTVFHDLIRAMLKVNP EERLSIAEVVRQLQEIAAARNVNPKAPITELLEQNGGYGNSGPSRAQPPCGGTVNSSGVL ALAEYDQPYGGFLDILRGGTERLFTNLKDTSSKVIQSVANYAKGDLDISYITSRIAVMSF PAEGVESAIKNNIEDVRMFLDAKHPGHYAVYNLSPRIYRASKFHNRVTECGWAVRRAPHL HSLYTLCRSMHAWLREDHRNVCVVHCMDGRAASAVAVCAFLCFCRLFSTAEAAVYMFSMK RCPPGIWPSHKRYIEYVCDMVAEEPITPHSKPMLVKSVVMTPVPLFSKQRNGCRPFCEVY VGEERVTTTSQEYDRMKEFKIEDGKAVIPLGVTVQGDVLIIIYHARATLGGRLQAKMASM KMFQIQFHTGFVPRNATTVKFAKYDLDACDIQEKYPDLFQVNLEVEVEPRDRPSREAPPW ENTSLRGLNPKILFSNREEQQDILSKFGKPELPRQPGSTAQYDAEAGSPEAEITESDSPQ SSSTDTNHFLHTLDWQEEKEPETGLDNTSPKESQSVLIADGDGSEVSDEEEASFPSEERK PGAGEDTPRLAAGTKQQDLIFDVGMLAAPQEPVQPEEGVDLLGLHSEGDLRPAAPLQACG VPSSNTDLLSCLLEPSDAAQVGPPGDLLGGEAPLLLASPVSPLGLQNNLQGKVPDTVDPF DQFLLSSNSDTQPCSKPDLFGEFLNSDSVASSTAFPSTHSAPPPSCSTAFLHLGDLPAEP SKVIASSSHPDLLGGWDTWADTATPGPASIPVPEGTLFSSAGHPAPPGPNPSQTKSQNLD PFADLSDLSSSLQGLPAGLPAGGFVGAPAPTQKSNSPWQANRPTAPGTSWTPQAKPAPRA SEQLRSHFSVIGAREERGVRVPSFAQKPKVSENDFEDLLPNQGFSKSDKKGPKTMAEMRK QELARDTDPLKLKLLDWIEGKERNIRALLSTLHTVLWDGESRWTPVSMADLVTPEQVKKQ YRRAVLVVHPDKATGQPYEQYAKMIFMELNDAWSEFENQGSRPLF >ENSMUSP00000118008.1 pep:known chromosome:GRCm38:5:108569414:108629739:-1 gene:ENSMUSG00000062234.14 transcript:ENSMUST00000135225.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gak description:cyclin G associated kinase [Source:MGI Symbol;Acc:MGI:2442153] MSLLQSALDFLAGPGSLGGAAGRDQSDFVGQTVELGELRLRVRRVLAEGGFAFVYEAQDL GSGREYALKRLLSNEEEKNRAIIQEVCFLKKLSGHPNIVQFCSAASIGKEESDTGQAEFL LLTELCKG >ENSMUSP00000118713.1 pep:known chromosome:GRCm38:5:108569420:108629739:-1 gene:ENSMUSG00000062234.14 transcript:ENSMUST00000145467.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gak description:cyclin G associated kinase [Source:MGI Symbol;Acc:MGI:2442153] MSLLQSALDFLAGPGSLGGAAGRDQSDFVGQTVELGELRLRVRRVLAEGGFAFVYEAQDL GSGREYALKRLLSNEEEKNRAIIQEVCFLKKLSGHPNIVQFCSAASIGKEESDTGQAEFL LLTELCKG >ENSMUSP00000142931.1 pep:known chromosome:GRCm38:5:108571431:108629755:-1 gene:ENSMUSG00000062234.14 transcript:ENSMUST00000199048.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gak description:cyclin G associated kinase [Source:MGI Symbol;Acc:MGI:2442153] MSLLQSALDFLAGPGSLGGAAGRDQSDFVGQTVELGELRLRVRRVLAEGGFAFVYEAQDL GSGREYALKDSWWSFSRELNVKVLCPATAF >ENSMUSP00000143359.1 pep:known chromosome:GRCm38:5:108583095:108591707:-1 gene:ENSMUSG00000062234.14 transcript:ENSMUST00000200204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gak description:cyclin G associated kinase [Source:MGI Symbol;Acc:MGI:2442153] XVLIIIYHARATLGGRLQAKMASMKMFQIQFHTGFVPRNATTVKFAKYDLDACDIQEKYP DLFQVNLEVEVEPRDRPSREAPPWENTSLRGLNPKILFSNREEQQDILSKFEEKEPETGL DNTSPKESQS >ENSMUSP00000116862.1 pep:known chromosome:GRCm38:5:108584371:108596828:-1 gene:ENSMUSG00000062234.14 transcript:ENSMUST00000139303.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gak description:cyclin G associated kinase [Source:MGI Symbol;Acc:MGI:2442153] STAEAAVYMFSMKRCPPGIWPSHKRYIEYVCDMVAEEPITPHSKPMLVKSVVMTPVPLFS KQRNGCRPFCEVYVGEERVTTTSQEYDRMKEFKIEDGKAVIPLGVTVQGDVLIIIYHARA TLGGRLQAKMASMKMFQIQFHTGFVPRNATTVKFAKYVGVALCCCWRGTDMTWMLVIFKR STQICSR >ENSMUSP00000143646.1 pep:known chromosome:GRCm38:5:108623309:108629693:-1 gene:ENSMUSG00000062234.14 transcript:ENSMUST00000199662.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gak description:cyclin G associated kinase [Source:MGI Symbol;Acc:MGI:2442153] MSLLQSALDFLAGPGSLGGAAGRDQSDFVGQTVELGELRLRVRRVLAEGEA >ENSMUSP00000086457.3 pep:known chromosome:GRCm38:X:38196573:38252429:-1 gene:ENSMUSG00000036502.14 transcript:ENSMUST00000089056.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem255a description:transmembrane protein 255A [Source:MGI Symbol;Acc:MGI:3045722] MHQSLTQQRSSDMSLPDSMGAFNRRKRNSIYVTVTLLIVSMLILTVGLAATTRTQNVTVG GYYPGVILGFGSFLGIIGSNLIENKRQMLVASIVFISFGVIAAFCCAIVDGVFAARHIDL KPLYANRCHYVPKTSQREAEEVITSSSKITPSTRALRNLTQAVKEVNCPQLSRGLCTPRI RGNTCFCCDLYNCGNRVEITGGYYEYIDVSSCQDIIHLYHLLWSATILNIVGLFLGIITA AVLGGFKDMNPTLPALNCSVENAHPTVSYYARPQVASYNTYYHSPPHLPPYSAYDFQVFS WCVEKYSRHSKTTGNANLLLTAMVQETMGRA >ENSMUSP00000086455.4 pep:known chromosome:GRCm38:X:38197306:38252439:-1 gene:ENSMUSG00000036502.14 transcript:ENSMUST00000089054.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem255a description:transmembrane protein 255A [Source:MGI Symbol;Acc:MGI:3045722] MHQSLTQQRSSDMSLPDSMGAFNRRKRNSIYVTVTLLIVSMLILTVGLAATTRTQNVTVG GYYPGVILGFGSFLGIIGSNLIENKRQMLVASIVFISFGVIAAFCCAIVDGVFAARHIVI TSSSKITPSTRALRNLTQAVKEVNCPQLSRGLCTPRIRGNTCFCCDLYNCGNRVEITGGY YEYIDVSSCQDIIHLYHLLWSATILNIVGLFLGIITAAVLGGFKDMNPTLPALNCSVENA HPTVSYYARPQVASYNTYYHSPPHLPPYSAYDFQHSGVFPSSPPSGLSDEQEPQSPSPSP SYMWSSSAPPRYSPPYYPPFEKPPPYSP >ENSMUSP00000064511.7 pep:known chromosome:GRCm38:X:38197306:38252439:-1 gene:ENSMUSG00000036502.14 transcript:ENSMUST00000066498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem255a description:transmembrane protein 255A [Source:MGI Symbol;Acc:MGI:3045722] MHQSLTQQRSSDMSLPDSMGAFNRRKRNSIYVTVTLLIVSMLILTVGLAATTRTQNVTVG GYYPGVILGFGSFLGIIGSNLIENKRQMLVASIVFISFGVIAAFCCAIVDGVFAARHIDL KPLYANRCHYVPKTSQREAEEVITSSSKITPSTRALRNLTQAVKEVNCPQLSRGLCTPRI RGNTCFCCDLYNCGNRVEITGGYYEYIDVSSCQDIIHLYHLLWSATILNIVGLFLGIITA AVLGGFKDMNPTLPALNCSVENAHPTVSYYARPQVASYNTYYHSPPHLPPYSAYDFQHSG VFPSSPPSGLSDEQEPQSPSPSPSYMWSSSAPPRYSPPYYPPFEKPPPYSP >ENSMUSP00000005493.7 pep:known chromosome:GRCm38:15:8634124:8710764:-1 gene:ENSMUSG00000005360.14 transcript:ENSMUST00000005493.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a3 description:solute carrier family 1 (glial high affinity glutamate transporter), member 3 [Source:MGI Symbol;Acc:MGI:99917] MTKSNGEEPRMGGRMERLQQGVRKRTLLAKKKVQSLTKEDVKSYLFRNAFVLLTVTAVIV GTILGFALRPYKMSYREVKYFSFPGELLMRMLQMLVLPLIISSLVTGMAALDSKASGKMG MRAVVYYMTTTIIAVVIGIIIVIIIHPGKGTKENMYREGKIVQVTAADAFLDLIRNMFPP NLVEACFKQFKTSYEKRSFKVPIQSNETLLGAVINNVSEAMETLTRIREEMVPVPGSVNG VNALGLVVFSMCFGFVIGNMKEQGQALREFFDSLNEAIMRLVAVIMWYAPLGILFLIAGK IVEMEDMGVIGGQLAMYTVTVIVGLLIHAVIVLPLLYFLVTRKNPWVFIGGLLQALITAL GTSSSSATLPITFKCLEENNGVDKRITRFVLPVGATINMDGTALYEALAAIFIAQVNNFD LNFGQIITISITATAASIGAAGIPQAGLVTMVIVLTSVGLPTDDITLIIAVDWFLDRLRT TTNVLGDSLGAGIVEHLSRHELKNRDVEMGNSVIEENEMKKPYQLIAQDNEPEKPVADSE TKM >ENSMUSP00000118902.1 pep:known chromosome:GRCm38:15:8686016:8710409:-1 gene:ENSMUSG00000005360.14 transcript:ENSMUST00000157065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a3 description:solute carrier family 1 (glial high affinity glutamate transporter), member 3 [Source:MGI Symbol;Acc:MGI:99917] MTKSNGEEPRMGGRMERLQQGVRKRTLLAKKKVQSLTKEDVKSYLFRNAFVLLTVTAVIV GTILGFALRPYKMSYREVKYFSFPGELLMRMLQMLVLPLIISSLVTDNIWLDSLLAIDAR MLVLRATRT >ENSMUSP00000074494.2 pep:known chromosome:GRCm38:2:69647208:69667571:1 gene:ENSMUSG00000063145.10 transcript:ENSMUST00000074963.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs5 description:Bardet-Biedl syndrome 5 (human) [Source:MGI Symbol;Acc:MGI:1919819] MSVLDVLWEDRDVRFDVSSQQMKTRPGEVLIDCLDSIEDTKGNNGDRGRLLVTNLRIIWH SLALPRVNLSIGYNCILNITTRTANSKLRGQTEALYILTKCNTTRFEFIFTNLVPGSPRL FTSVIAVHRAYETSKMYRDFKLRSAVIQNKQLRLLPQEHVYDKINGVWNLSSDQGNLGTF FITNVRIVWHANMNDSFNVSIPYLQIRSIKIRDSKFGLALVIESSQQSGGYVLGFKIDPV EKLQESVKEINSLHKVYSASPIFGVNYEMEEKPQPLEALTVEQIQDDVEIDSDDHTDAFV AYFADGNKQQDREPVFSEELGLAIEKLKDGFTLQGLWEVMS >ENSMUSP00000119377.1 pep:known chromosome:GRCm38:2:69647171:69667318:1 gene:ENSMUSG00000063145.10 transcript:ENSMUST00000134659.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bbs5 description:Bardet-Biedl syndrome 5 (human) [Source:MGI Symbol;Acc:MGI:1919819] MSVLDVLWEDRDVRFDVSSQQMKTRPGEVLIDCLDSIEDTKGNNGDRGRLLVTNLRIIWH SLALPRVNLSIGYNCILNITTRTANSSI >ENSMUSP00000107905.2 pep:known chromosome:GRCm38:2:69647216:69667571:1 gene:ENSMUSG00000063145.10 transcript:ENSMUST00000112286.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs5 description:Bardet-Biedl syndrome 5 (human) [Source:MGI Symbol;Acc:MGI:1919819] MSVLDVLWEDRDVRFDVSSQQMKTRPGEVLIDCLDSIEDTKGNNGDRGRLLVTNLRIIWH SLALPRVNLSIGYNCILNITTRTANSKLRGQTEALYILTKCNTTRFEFIFTNLVPGSPRL FTSVIAVHRAYETSKMYRDFKLRSAVIQNKQLRLLPQEHVYDKINGVWNLSSDQGNLGTF FITNVRIVWHANMNDSFNVSIPYLQISGGYVLGFKIDPVEKLQESVKEINSLHKVYSASP IFGVNYEMEEKPQPLEALTVEQIQDDVEIDSDDHTDAFVAYFADGNKQQDREPVFSEELG LAIEKLKDGFTLQGLWEVMS >ENSMUSP00000121691.1 pep:known chromosome:GRCm38:2:69656989:69667571:1 gene:ENSMUSG00000063145.10 transcript:ENSMUST00000127806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs5 description:Bardet-Biedl syndrome 5 (human) [Source:MGI Symbol;Acc:MGI:1919819] XIRSIKIRDSKFGLALVIESSQQPQPLEALTVEQIQDDVEIDSDDHTDAFVAYFADGNKQ QDREPVFSEELGLAIEKLKDGFTLQGLWEVMS >ENSMUSP00000034405.4 pep:known chromosome:GRCm38:9:14784654:14834646:1 gene:ENSMUSG00000031928.14 transcript:ENSMUST00000034405.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mre11a description:meiotic recombination 11 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1100512] MSPTDPLDDEDTFKILVATDIHLGFMEKDAVRGNDTFVTFDEILRLALENEVDFILLGGD LFHENKPSRKTLHSCLELLRKYCMGDRPVQFEVISDQSVNFGFSKFPWVNYQDGNLNISI PVFSIHGNHDDPTGADALCALDVLSCAGFVNHFGRSMSVEKVDISPVLLQKGSTKLALYG LGSIPDERLYRMFVNKKVTMLRPKEDENSWFNLFVIHQNRSKHGNTNFIPEQFLDDFIDL VIWGHEHECKIGPIKNEQQLFYVSQPGSSVVTSLSPGEAVKKHVGLLRIKGRKMNMQKLP LRTVRRFFIEDVVLANHPNLFNPDNPKVTQAIQSFCLEKIEEMLDSAERERLGNPQQPGK PLIRLRVDYSGGFEPFNVLRFSQKFVDRVANPKDVIHFFRHREQKGKTGEEINFGMLITK PASEGATLRVEDLVKQYFQTAEKNVQLSLLTERGMGEAVQEFVDKEEKDAIEELVKYQLE KTQRFLKERHIDALEDKIDEEVRRFRESRQRNTNEEDDEVREAMSRARALRSQSETSTSA FSAEDLSFDTSEQTANDSDDSLSAVPSRGRGRGRGRRGARGQSSAPRGGSQRGRDTGLEI TTRGRSSKATSSTSRNMSIIDAFRSTRQQPSRNVAPKNYSETIEVDDSDEDDIFPTNSRA DQRWSGTTSSKRMSQSQTAKGVDFESDEDDDDDPFMSSSCPRRNRR >ENSMUSP00000111295.3 pep:known chromosome:GRCm38:9:14784676:14837123:1 gene:ENSMUSG00000031928.14 transcript:ENSMUST00000115632.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mre11a description:meiotic recombination 11 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1100512] MSPTDPLDDEDTFKILVATDIHLGFMEKDAVRGNDTFVTFDEILRLALENEVDFILLGGD LFHENKPSRKTLHSCLELLRKYCMGDRPVQFEVISDQSVNFGFSKFPWVNYQDGNLNISI PVFSIHGNHDDPTGADALCALDVLSCAGFVNHFGRSMSVEKVDISPVLLQKGSTKLALYG LGSIPDERLYRMFVNKKVTMLRPKEDENSWFNLFVIHQNRSKHGNTNFIPEQFLDDFIDL VIWGHEHECKIGPIKNEQQLFYVSQPGSSVVTSLSPGEAVKKHVGLLRIKGRKMNMQKLP LRTVRRFFIEDVVLANHPNLFNPDNPKVTQAIQSFCLEKVDYSGGFEPFNVLRFSQKFVD RVANPKDVIHFFRHREQKGKTGEEINFGMLITKPASEGATLRVEDLVKQYFQTAEKNVQL SLLTERGMGEAVQEFVDKEEKDAIEELVKYQLEKTQRFLKERHIDALEDKIDEEVRRFRE SRQRNTNEEDDEVREAMSRARALRSQSETSTSAFSAEDLSFDTSEQTANDSDDSLSAVPS RGRGRGRGRRGARGQSSAPRGGSQRGRDTGLEITTRGRSSKATSSTSRNMSIIDAFRSTR QQPSRNVAPKNYSETIEVDDSDEDDIFPTNSRADQRWSGTTSSKRMSQSQTAKGVDFESD EDDDDDPFMSSSCPRRNRR >ENSMUSP00000116321.1 pep:known chromosome:GRCm38:9:14784702:14799620:1 gene:ENSMUSG00000031928.14 transcript:ENSMUST00000147305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mre11a description:meiotic recombination 11 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1100512] MSPTDPLDDEDTFKILVATDIHLGFMEKDAVRGNDTFVTFDEILRLALENEVDFILLGGD LFHENKPSRKTLHSCLELLRKYCMGDRPVQFEVISDQSVNFGFSKFPWVNYQDGNLNISI PVFSIHGNHDDPTGADALCALDVLSCAGFVNHFGRSMSVEKVDISPVLLQKGSTKLALYG LGSIPDERLYRMFVNKKVTMLRP >ENSMUSP00000121012.1 pep:known chromosome:GRCm38:9:14797166:14803853:1 gene:ENSMUSG00000031928.14 transcript:ENSMUST00000136568.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mre11a description:meiotic recombination 11 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1100512] LALYGLGSIPDERLYRMFVNKKVTMLRPKEDENSWFNLFVIHQNRSKHGNTNFIPEQFLD DFIDLVIWGHEHECKIGPIKNEQQLFYVSQPGSSVVTSLSPGEAVKKEHKGDHHREQSCK PPWSQSTNRAVATAIC >ENSMUSP00000119999.1 pep:known chromosome:GRCm38:9:14797153:14811939:1 gene:ENSMUSG00000031928.14 transcript:ENSMUST00000147676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mre11a description:meiotic recombination 11 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1100512] XGSTKLALYGLGSIPDERLYRMFVNKKVTMLRPKEDENSWFNLFVIHQNRHVGLLRIKGR KMNMQKLPLRTVRRFFIEDVVLANHPNLFNPDNPKVTQAIQSFCLEKIEEMLDSAERERL GNPQQPGKPLIRLRVDYSGGFEPFNVLRFSQKFVDRVANPKDVIHFFRHR >ENSMUSP00000074223.4 pep:known chromosome:GRCm38:5:34660379:34701082:1 gene:ENSMUSG00000052783.16 transcript:ENSMUST00000074651.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grk4 description:G protein-coupled receptor kinase 4 [Source:MGI Symbol;Acc:MGI:95801] MELENFVANNLLLKARLGFNKQTGRSKKWRELLKFPPVSMCTELRWSIEKDFSSLCDKQP IGRLLFRQFCDTKPDLKRCIEFLDAVAEYEVTIEEEQREFGLAIFSRFFKEKSEVPLPEI PPDIVKECKWNLKQNSPSQNVFEECAGFSVSRVVSLWDPKRTQMPAQAYTQKNSQLP >ENSMUSP00000001112.7 pep:known chromosome:GRCm38:5:34660379:34755303:1 gene:ENSMUSG00000052783.16 transcript:ENSMUST00000001112.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grk4 description:G protein-coupled receptor kinase 4 [Source:MGI Symbol;Acc:MGI:95801] MELENFVANNLLLKARLGFNKQTGRSKKWRELLKFPPVSMCTELRWSIEKDFSSLCDKQP IGRLLFRQFCDTKPDLKRCIEFLDAVAEYEVTIEEEQREFGLAIFSRFFKEKSEVPLPEI PPDIVKECKWNLKQNSPSQNVFEECAGIVCKYLSETPFEEYQESTYFNRFLQWKWLERRP VTKNTFRQYRVLGKGGFGEVCACQVRATGKMYACKKLEKKRIKKRKGEAMALNEKRILEK LHSRFVVSLAYTYETKDALCLVLTIMNGGDLKYHIYNLGDPGFEEPRAVFYAAELCCGLE DLQRKRIVYRDLKPENILLDDHGHIRISDLGLAMEVPEGEMVRGRVGTVGYMAPEIINHE KYTFSPDWWGLGCLIYEMIAGHSPFRKYKEKVNREELERRVKNETEEYSERFSEDAKSIC SMLLIKDPSKRLGCQRDGVSAVKQHPIFKDINFSRLEANMLDPPFIPDPQAIYCRNILDI GQFSVVKGVNLDTNDEIFYAEFATGSVTIPWQNEMIESGCFKDLNENEDDLSSLEKYKMC SSILRPKRNFFRRLFRRTGCLNIALSEEREPTEH >ENSMUSP00000122826.1 pep:known chromosome:GRCm38:5:34660975:34674715:1 gene:ENSMUSG00000052783.16 transcript:ENSMUST00000148588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grk4 description:G protein-coupled receptor kinase 4 [Source:MGI Symbol;Acc:MGI:95801] XNNLLLKARLGFNKQTGRSKKWRELLKFPPVSMCTELRWSIEKDFSSLCDKQPIGRLLFR QFCDTKPDLKRCIEFLDAVVSDSSQDCAVQFYTFEY >ENSMUSP00000081782.3 pep:known chromosome:GRCm38:7:110018274:110056609:1 gene:ENSMUSG00000066232.4 transcript:ENSMUST00000084731.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipo7 description:importin 7 [Source:MGI Symbol;Acc:MGI:2152414] MDPNTIIEALRGTMDPALREAAERQLNEAHKSLNFVSTLLQITMSEQLDLPVRQAGVIYL KNMITQYWPDREATPGDIAPYTIPEEDRHCIRENIVEAIIHSPELIRVQLTTCIHHIIKH DYPSRWTAIVDKIGFYLQSDNSACWLGILLCLYQLVKNYEYKKPEERSPLVAAMQHFLPV LKDRFIQLLSDQSDQSVLIQKQIFKIFYALVQYTLPLELINQQNLTEWVEILKTVVNRDV PNETLQVEEDDRPELPWWKCKKWALHILARLFERYGSPGNVSKEYNEFAEVFLKAFAVGV QQVLLKVLYQYKEKQYMAPRVLQQTLNYINQGVSHALTWKNLKPHIQGIIQDVIFPLMCY TDADEELWQEDPYEYIRMKFDVFEDFISPTTAAQTLLFTACSKRKEVLQKTMGFCYQILT EPNADPRKKDGALHMIGSLAEILLKKKIYKDQMEYMLQNHVFPLFSSELGYMRARACWVL HYFCEVKFKSDQNLQTALELTRRCLIDDREMPVKVEAAIALQVLISNQEKAKEYITPFIR PVMQALLHIIRETENDDLTNVIQKMICEYSEEVTPIAVEMTQHLAMTFNQVIQTGPDEEG SDDKAVTAMGILNTIDTLLSVVEDHKEITQQLEGICLQVIGTVLQQHVLEFYEEIFSLAH SLTCQQVSPQMWQLLPLVFEVFQQDGFDYFTDMMPLLHNYVTVDTDTLLSDTKYLEMIYS MCKKVLTGVAGEDAECHAAKLLEVIILQCKGRGIDQCIPLFVEAALERLTREVKTSELRT MCLQVAIAALYYNPHLLLNTLENLRFPNNVEPVTNHFITQWLNDVDCFLGLHDRKMCVLG LCALIDMEQIPQVLNQVSGQILPAFILLFNGLKRAYACHAEHENDSDDDEDAEDDDETEE LGSDEDDIDEDGQEYLEILAKQAGEDGDDEDWEEDDAEETALEGYSTIIDDEDNPVDEYQ IFKAIFQTIQNRNPVWYQALTHGLNEEQRKQLQDIATLADQRRAAHESKMIEKHGGYKFS APVVPSSFNFGGPAPGMN >ENSMUSP00000146367.1 pep:known chromosome:GRCm38:7:110018315:110056115:1 gene:ENSMUSG00000066232.4 transcript:ENSMUST00000208951.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipo7 description:importin 7 [Source:MGI Symbol;Acc:MGI:2152414] MDPNTIIEALRGTMDPALREAAERQLNEAHKSLNFVSTLLQITMSEQLDLPVRQMLMRSS GKKILMNIYA >ENSMUSP00000056156.5 pep:known chromosome:GRCm38:5:137294629:137295665:1 gene:ENSMUSG00000051502.6 transcript:ENSMUST00000052825.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufsp1 description:UFM1-specific peptidase 1 [Source:MGI Symbol;Acc:MGI:1917490] MTAALPSTLELLKDVHLGLPVPCHDPARLALLSGHYLYYHYGCDGLDDRGWGCGYRTLQT LCSWPGGQSSGVPGLPALQGALEAMGDKPPGFRGSRNWIGCVEASLCLEHFGGPQGRLCH LPRGVGLRGEEERLYSHFTTGGGPVMVGGDADAQSKALLGICEGPGSEVYVLILDPHYWG TPKNRCELQAAGWVGWQKVKSVFDSNSFYNLCFTRNL >ENSMUSP00000033537.1 pep:known chromosome:GRCm38:X:62467991:62468812:1 gene:ENSMUSG00000031194.1 transcript:ENSMUST00000033537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931400O07Rik description:RIKEN cDNA 4931400O07 gene [Source:MGI Symbol;Acc:MGI:1918186] MAFSKEQDIREEILGFTSQSLSEFNCDDVLRTSRNKGGRNIRSQKKRSHGCPRKSKGNKK VWKVVTRIKSVLEDSFEKEKEEKKHIIMVFYCHSNEERKSQKKENAEGYEEFLIPNDAIV SSGSGPVAECSASSPQN >ENSMUSP00000097221.3 pep:known chromosome:GRCm38:2:110360917:110363183:-1 gene:ENSMUSG00000074971.4 transcript:ENSMUST00000099626.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fibin description:fin bud initiation factor homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:1914856] MVFPKLIWMGFFCHLCRGYFDGPLYPEMSNGTLHHYFVPDGDYEENDDPEKCQLLFRVSD RRRCSQGEGGQASSLLSLTLREEFTVLGRQVEDAGRVLEGISKSISYDLDGEESYGKYLR RESHQIGDAYSNSDKSLTELESKFKQGQEQDSRQESRLNEDFLGMLVHTRSLLKETLDIS VGLRDKYELLAHTIRSHGTRLGRLKSDYLEGGAQKTG >ENSMUSP00000137022.1 pep:known chromosome:GRCm38:2:83973123:83975615:1 gene:ENSMUSG00000096484.1 transcript:ENSMUST00000180347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13696 description:predicted gene 13696 [Source:MGI Symbol;Acc:MGI:3702068] MKSSVAHMKQSSGHNRRETHSSYCRSSSPEDRYTEQERFPRDRDYSDYSRSDYERSRRGY SYDDSMESRSRDREKRRERERDADHRKRPWKSPSPDRSPARGIRQSSPQEEPTWKKKKDE LDPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISII IQELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNF RKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECG LKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVE EDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGS SEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHK LLKMEFAESQTKELCNMILDCCAQQRTYENFFGLLAGRFCMLEKEYMESFESIFKEQYDT IHRLETNKLRNVAKVFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMG LPKLNARLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIV AQKPEAEQKKTALTSSSSESSSASDSSDSDSDSSESSSESSSEASDSSSSSSTQSSTSAK GTRKKRQGKARGEEVDKLARGHQALERRQGGREDQRHQEGRTERARSERHRAQNSRDADW RDSLAKHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKKQREKRFFL >ENSMUSP00000136244.1 pep:known chromosome:GRCm38:2:83973828:83974614:1 gene:ENSMUSG00000096484.1 transcript:ENSMUST00000179311.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13696 description:predicted gene 13696 [Source:MGI Symbol;Acc:MGI:3702068] LILNFRKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGF LKECGLKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEG LDLVEEDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTD QGAGSSEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSTKQKN SAT >ENSMUSP00000046538.8 pep:known chromosome:GRCm38:2:180119306:180162680:1 gene:ENSMUSG00000039050.8 transcript:ENSMUST00000040668.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl2 description:oxysterol binding protein-like 2 [Source:MGI Symbol;Acc:MGI:2442832] MNGEEEFFDAVTGFDSDNSSIGEFSEANKISGMIDLDTSKSTRSGKNGEKPQQENGIQKH RTALPAPMFTRSDFSVWSILKKCIGLELSKITMPIAFNEPLSFLQRITEYMEHVYLIHKA SSQSQPLERMQSVAAFAVSAVASQWERTGKPFNPLLGETYELIREDLGFRFISEQVSHHP PISAFYSEGLNQDFRFHGSIYPKLKFWGKSVEAEPRGTITLELLKHNEAYTWTNPTCCVH NVILGQLWIEQYGIVEIVNHRTGDKCILHFKPCGLFGKELHRVEGYIQDKNRKKLFIMYG KWTECLWGIDPASYESFKKQEKRGDQARKAKMDDGPEKANSDVPGDVADDVPVAQETVQV IPGSKLLWRINSRPPNSAQMYNFTSFTVSLNELESGMEKTLPPTDCRLRPDIRGMENGNM DLASQEKERLEEKQREARKERAKEDAEWRTRWFSPGNNPYTGAPDWLYAGHYFERNFSDC PDIY >ENSMUSP00000098631.4 pep:known chromosome:GRCm38:6:113442569:113448229:1 gene:ENSMUSG00000051256.10 transcript:ENSMUST00000101070.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jagn1 description:jagunal homolog 1 [Source:MGI Symbol;Acc:MGI:1915017] MASRAGPRAAGTDGSDFQHRERVAMHYQMSVTLKYEIKKLIYVHLVIWLLLVAKMSVGHL RLLSHDQVAMPYQWEYPYLLSIVPSVLGLLSFPRNNISYLVLSMISMGLFSIAPLIYGSM EMFPAAQQLYRHGKAYRFLFGFSAVSVMYLVLVLAVQVHAWQLYYSKKLLDSWFTSTQEK KRK >ENSMUSP00000145000.1 pep:known chromosome:GRCm38:6:113442610:113448209:1 gene:ENSMUSG00000051256.10 transcript:ENSMUST00000204254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jagn1 description:jagunal homolog 1 [Source:MGI Symbol;Acc:MGI:1915017] MASRAGPRAAGTDGSDFQHRERVAMHYQMRYECDPQVRNQEADLRASRHMAAVGGQDERG TPEALVT >ENSMUSP00000009728.6 pep:known chromosome:GRCm38:15:80091334:80113441:1 gene:ENSMUSG00000022415.12 transcript:ENSMUST00000009728.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr1 description:synaptogyrin 1 [Source:MGI Symbol;Acc:MGI:1328323] MEGGAYGAGKAGGAFDPYTLVRQPHTILRVVSWVFSIVVFGSIVNEGYLNNPEEEEEFCI YNRNPNACSYGVTVGVLAFLTCLLYLALDVYFPQISSVKDRKKAVLSDIGVSAFWAFFWF VGFCFLANQWQVSKPKDNPLNEGTDAARAAIAFSFFSIFTWSLTAALAVRRFKELTFQEE YNTLFPASAQP >ENSMUSP00000009727.5 pep:known chromosome:GRCm38:15:80091344:80119501:1 gene:ENSMUSG00000022415.12 transcript:ENSMUST00000009727.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr1 description:synaptogyrin 1 [Source:MGI Symbol;Acc:MGI:1328323] MEGGAYGAGKAGGAFDPYTLVRQPHTILRVVSWVFSIVVFGSIVNEGYLNNPEEEEEFCI YNRNPNACSYGVTVGVLAFLTCLLYLALDVYFPQISSVKDRKKAVLSDIGVSAFWAFFWF VGFCFLANQWQVSKPKDNPLNEGTDAARAAIAFSFFSIFTWAGQAVLAFQRYQIGADSAL FSQDYMDPSQDSSMPYAPYVEPSAGSDPAGMGGTYQHPANAFDAEPQGYQSQGY >ENSMUSP00000120696.1 pep:known chromosome:GRCm38:15:80097870:80111662:1 gene:ENSMUSG00000022415.12 transcript:ENSMUST00000143928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr1 description:synaptogyrin 1 [Source:MGI Symbol;Acc:MGI:1328323] MNQDPVKIMVMVFSIVVFGSIVNEGYLNNPEEEEEFCIYNRNPNACSYGVTVGVLAFLTC LLYLALDVYFPQISSVKDRKKAVLSDIGVSAFWAFFWFVGFCFLANQWQVS >ENSMUSP00000121716.1 pep:known chromosome:GRCm38:15:80115473:80116265:1 gene:ENSMUSG00000022415.12 transcript:ENSMUST00000135727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr1 description:synaptogyrin 1 [Source:MGI Symbol;Acc:MGI:1328323] KMAAFRSCEEFSVLGNLFSRFTKHPRGHSLGAGCVSVGHINPPQWSGLALGHMGQAGQAV LAFQRYQIGADSALFSQDYMDPSQDSSMPYAPYVEPSAGSDPAGMGGTYQHPANAFDAEP QGYQSQGY >ENSMUSP00000075150.4 pep:known chromosome:GRCm38:7:30616861:30626151:-1 gene:ENSMUSG00000036751.7 transcript:ENSMUST00000075738.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6b1 description:cytochrome c oxidase, subunit VIb polypeptide 1 [Source:MGI Symbol;Acc:MGI:107460] MAEDIKTKIKNYKTAPFDSRFPNQNQTKNCWQNYLDFHRCEKAMTAKGGDVSVCEWYRRV YKSLCPVSWVSAWDDRIAEGTFPGKI >ENSMUSP00000146959.1 pep:known chromosome:GRCm38:7:30616974:30626114:-1 gene:ENSMUSG00000036751.7 transcript:ENSMUST00000208838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6b1 description:cytochrome c oxidase, subunit VIb polypeptide 1 [Source:MGI Symbol;Acc:MGI:107460] MTAKGGDVSVCEWYRRVYKSLCPVSWVSAWDDRIAEGTFPGKI >ENSMUSP00000127890.1 pep:known chromosome:GRCm38:15:84669620:84703673:1 gene:ENSMUSG00000036106.15 transcript:ENSMUST00000171460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr5 description:proline rich 5 (renal) [Source:MGI Symbol;Acc:MGI:1924714] MSSPSLSDLGKREPGAAGTDERGTQQRRACANATWNSIHNGVIAVFQRKGLPDQELFILN EGVRQLLKTELGSFFTEYLQNQLLTKGMVILRDKIRFYEGQKLLDSLAETWDFFFSDVLP TLQAIFYPVQGKEPSVRQLALLHFRNTITLSVKLEDALARSHARVPPAIAQMLLVLQGVH ESRGVTEDYLRLETLIQKVVSPYLGTYGLYSNEGPCTHSCILEKRFLRRSRSGDILAKNP VVRSKSYNTPLLNPVAEHEAEGTAASGTSIRRHSVSEMTSCPEPQGFVDTPGQGPSGTFR SSPTPHSGPCPSRLYPPAHSPEQGPGHGSPSTSSPETLVDQILESADSDSEGIFIDFGRG SRSSVSDFEAPGGRPSVV >ENSMUSP00000066396.4 pep:known chromosome:GRCm38:15:84681002:84703672:1 gene:ENSMUSG00000036106.15 transcript:ENSMUST00000065499.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr5 description:proline rich 5 (renal) [Source:MGI Symbol;Acc:MGI:1924714] MRTLRRLKFMSSPSLSDLGKREPGAAGTDERGTQQRRACANATWNSIHNGVIAVFQRKGL PDQELFILNEGVRQLLKTELGSFFTEYLQNQLLTKGMVILRDKIRFYEGQKLLDSLAETW DFFFSDVLPTLQAIFYPVQGKEPSVRQLALLHFRNTITLSVKLEDALARSHARVPPAIAQ MLLVLQGVHESRGVTEDYLRLETLIQKVVSPYLGTYGLYSNEGPCTHSCILEKRFLRRSR SGDILAKNPVVRSKSYNTPLLNPVAEHEAEGTAASGTSIRRHSVSEMTSCPEPQGFVDTP GQGPSGTFRSSPTPHSGPCPSRLYPPAHSPEQGPGHGSPSTSSPETLVDQILESADSDSE GIFIDFGRGSRSSVSDFEAPGGRPSVV >ENSMUSP00000123605.1 pep:known chromosome:GRCm38:12:21390071:21417637:-1 gene:ENSMUSG00000076432.12 transcript:ENSMUST00000135088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhaq description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, theta polypeptide [Source:MGI Symbol;Acc:MGI:891963] GEVQRSIGRWRARRLRARLRREGPRLKPKADQSGGTRVAAAETRRRTPDPARRSPSLAME KTELIQKAKLAEQAERYDDMATCMKAVTEQGAELSNEERNLLSVAYKNVVGGRRSAWRVI SSIEQKTDTSDKKLQLIKDYREKVESELRSICTTVLELLDKYLIANATNPESKVFYLKMK GDYFRYLAEVACGDDRKQTIENSQGAYQEAFDISKKEMQPTHPIRLGLALNFSVFYYEIL NNPELACTLAKTAFDEAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDSAGEECDAAEG AEN >ENSMUSP00000100067.1 pep:known chromosome:GRCm38:12:21390329:21417436:-1 gene:ENSMUSG00000076432.12 transcript:ENSMUST00000103002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhaq description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, theta polypeptide [Source:MGI Symbol;Acc:MGI:891963] MEKTELIQKAKLAEQAERYDDMATCMKAVTEQGAELSNEERNLLSVAYKNVVGGRRSAWR VISSIEQKTDTSDKKLQLIKDYREKVESELRSICTTVLELLDKYLIANATNPESKVFYLK MKGDYFRYLAEVACGDDRKQTIENSQGAYQEAFDISKKEMQPTHPIRLGLALNFSVFYYE ILNNPELACTLAKTAFDEAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDSAGEECDAA EGAEN >ENSMUSP00000117118.1 pep:known chromosome:GRCm38:12:21390332:21417588:-1 gene:ENSMUSG00000076432.12 transcript:ENSMUST00000155480.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhaq description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, theta polypeptide [Source:MGI Symbol;Acc:MGI:891963] XRLRREGPRLKPKADQSGGTRVAAAETRRRTPDPARRSPSLAMEKTELIQKAKLAEQAER YDDMATCMKAVTEQGAELSNEERNLLSVAYKNVVGGRRSAWRVISSIEQKTDTSDKKLQL IKDYREKVESELRSICTTVLELLDKYLIANATNPESKVFYLKMKGDYFRYLAEVACGDDR KQTIENSQGAYQEAFDISKKEMQPTHPIRLGLALNFSVFYYEILNNPELACTLAKTAFDE AIAELDTLNEDSYKDSTLIMQLLRDNLTFTCVELETVSVCFSLLS >ENSMUSP00000106602.3 pep:known chromosome:GRCm38:12:21390676:21417560:-1 gene:ENSMUSG00000076432.12 transcript:ENSMUST00000049531.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhaq description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, theta polypeptide [Source:MGI Symbol;Acc:MGI:891963] MEKTELIQKAKLAEQAERYDDMATCMKAVTEQGAELSNEERNLLSVAYKNVVGGRRSAWR VISSIEQKTDTSDKKLQLIKDYREKVESELRSICTTVLELLDKYLIANATNPESKVFYLK MKGDYFRYLAEVACGDDRKQTIENSQGAYQEAFDISKKEMQPTHPIRLGLALNFSVFYYE ILNNPELACTLAKTAFDEAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDSAGEECDAA EGAEN >ENSMUSP00000056448.2 pep:known chromosome:GRCm38:16:59026923:59027927:-1 gene:ENSMUSG00000047960.3 transcript:ENSMUST00000062380.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr186 description:olfactory receptor 186 [Source:MGI Symbol;Acc:MGI:3030020] MEDGNTTLLTEFVLTGLTDHQGLQVPLFLLFLMIYLITVVGNLGLIALIWSDPHLHIPMY LFLGSLAFVDAWISSAVTPNMLFDLLSKNKMISLSECMIQFFAFAFGGTTECFLLGTMAY DRYVAICKPLLYPVIMTNRLCIRLLVSVFIGGFLHSLFHVLFLLRLTFCNSNIIHHFYCD IIPLYNISCTDPTLNLLLVFILSGSIQVFTIMTVLVSYTLVLFTILKMKSLQGIRKAFST CGAHLLSVSLYYGPLLFMYVLPASQQTDGQGMMDSLFYTVIIPVLNPIIYSLRNKQVTDS LKKRLERHV >ENSMUSP00000146214.1 pep:known chromosome:GRCm38:16:59027520:59030278:-1 gene:ENSMUSG00000047960.3 transcript:ENSMUST00000206463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr186 description:olfactory receptor 186 [Source:MGI Symbol;Acc:MGI:3030020] MEDGNTTLLTEFVLTGLTDHQGLQVPLFLLFLMIYLITVVGNLGLIALIWSDPHLHIPMY LFLGSLAFVDAWISSAVTPNMLFDLLSKNKMISLSECMIQFFAFAFGGTTECFLLGTMAY DRYVAICKP >ENSMUSP00000041060.2 pep:known chromosome:GRCm38:6:133849851:133853762:-1 gene:ENSMUSG00000032758.4 transcript:ENSMUST00000048032.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kap description:kidney androgen regulated protein [Source:MGI Symbol;Acc:MGI:96653] MMLFKVLVITVFCGLTVAFPLSELVSINKELQNSIIDLLNSVFDQLGSYRGTKAPLEDYT DDDLSTDSEQIMDFTPAANKQNSEFSTDVETVSSGFLEEFTENTDITVKIPLAGNPVSPT S >ENSMUSP00000145449.1 pep:known chromosome:GRCm38:6:133849954:133853673:-1 gene:ENSMUSG00000032758.4 transcript:ENSMUST00000203168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kap description:kidney androgen regulated protein [Source:MGI Symbol;Acc:MGI:96653] MMLFKVLVITVFCGLTVAFPLSELVFDQLGSYRGTKAPLEDYTDDDLSTDSEQIMDFTPA ANKQNSEFSTDVETVSSGFLEEFTENTDITVKIPLAGNPVSPTS >ENSMUSP00000099421.3 pep:known chromosome:GRCm38:11:99232761:99244067:-1 gene:ENSMUSG00000035849.14 transcript:ENSMUST00000103132.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt222 description:keratin 222 [Source:MGI Symbol;Acc:MGI:2442728] MELSQLLNEIRANYEQLLTRNQIETVLSTRIQLEEDITKKMDKDGEALKAAQAELKEARR QCHHLQVEIESLHAVERGLENSLQASEQHYQMQLQDLESVIGRLERELQEVRRGIERQLR EHEMLLNTKMRLEQEIATYRRLLEQEEIRYYGCIQGEKKEEKPTKSKVGFLLPSAIINEI SFSTKVSQKYENENMETVTKQAVVNRDVKESAEAHGTIQTEKVDEVIKEWEGSFFKDNPR LRKKSVSLRFDLHLAATDEGCLESRQDNLPDIEVRLIMRRSCSIPSIKPPPGTN >ENSMUSP00000044561.6 pep:known chromosome:GRCm38:11:99233890:99244085:-1 gene:ENSMUSG00000035849.14 transcript:ENSMUST00000038214.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt222 description:keratin 222 [Source:MGI Symbol;Acc:MGI:2442728] MDKDGEALKAAQAELKEARRQCHHLQVEIESLHAVERGLENSLQASEQHYQMQLQDLESV IGRLERELQEVRRGIERQLREHEMLLNTKMRLEQEIATYRRLLEQEEIRYYGCIQGEKKE EKPTKSKVGFLLPSAIINEISFSTKVSQKYENENMETVTKQAVVNRDVKESAEAHGTIQT EKVDEVIKEWEGSFFKDNPRLRKKSVSLRFDLHLAATDEGCLESRQDNLPDIEVRLIMRR SCSIPSIKPPPGTN >ENSMUSP00000022419.6 pep:known chromosome:GRCm38:14:25694170:25700468:1 gene:ENSMUSG00000021868.6 transcript:ENSMUST00000022419.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppif description:peptidylprolyl isomerase F (cyclophilin F) [Source:MGI Symbol;Acc:MGI:2145814] MLALRCGPRLLGLLSGPRSAPLLLSATRTCSDGGARGANSSSGNPLVYLDVGADGQPLGR VVLELKADVVPKTAENFRALCTGEKGFGYKGSTFHRVIPAFMCQAGDFTNHNGTGGRSIY GSRFPDENFTLKHVGPGVLSMANAGPNTNGSQFFICTIKTDWLDGKHVVFGHVKEGMDVV KKIESFGSKSGKTSKKIVITDCGQLS >ENSMUSP00000127891.1 pep:known chromosome:GRCm38:6:29471437:29473465:1 gene:ENSMUSG00000090685.1 transcript:ENSMUST00000171317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9047 description:predicted gene 9047 [Source:MGI Symbol;Acc:MGI:3644212] MSRQLNMDTLRQNFWKEEYLKEMMLRYEWQRKYGTLVKAKQKAKAASRLPRKLPTLLPQA SVAPPPPASKTTPSKAPSPAPEPLFLSDMYPVAPNTKALLYEGISHDLQGRYQYLNTRKL DLPETRYLFPITTNFTYGWQLGPPTKQELVSCKMCRIESFFRKNGAFALLDPRDLAL >ENSMUSP00000141514.1 pep:known chromosome:GRCm38:10:13060504:13127354:1 gene:ENSMUSG00000019817.18 transcript:ENSMUST00000193426.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plagl1 description:pleiomorphic adenoma gene-like 1 [Source:MGI Symbol;Acc:MGI:1100874] MAPFRCQKCGKSFVTLEKFTIHNYSHSRERPFKCSKAECGKAFVSKYKLMRHMATHSPQK IHQCTHCEKTFNRKDHLKNHLQTHDPNKISYACDDCGKKYHTMLGYKRHLALHSASNGDL T >ENSMUSP00000112847.1 pep:known chromosome:GRCm38:10:13090788:13131694:1 gene:ENSMUSG00000019817.18 transcript:ENSMUST00000121646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plagl1 description:pleiomorphic adenoma gene-like 1 [Source:MGI Symbol;Acc:MGI:1100874] MAPFRCQKCGKSFVTLEKFTIHNYSHSRERPFKCSKAECGKAFVSKYKLMRHMATHSPQK IHQCTHCEKTFNRKDHLKNHLQTHDPNKISYACDDCGKKYHTMLGYKRHLALHSASNGDL TCGVCTLELGSTEVLLDHLKSHAEEKANQAPREKKYQCDHCDRCFYTRKDVRRHLVVHTG CKDFLCQFCAQRFGRKDHLTRHTKKTHSQELMQENMQAGDYQSNFQLIAPSTSFQIKVDP MPPFQLGAAPENGLDGGLPPEVHGLVLAAPEEAPQPMPPLEPLEPLEPLEPLEPMQSLEP LQPLEPMQPLEPMQPLEPMQPLEPLEPLEPMQPLEPMQPLEPMQPMLPMQPMQPMQPMQP MLPMQPMLPMQPMQPMQPMLPMPEPSFTLHPGVVPTSPPPIILQEHKYNPVPTSYAPFVG MPVKADGKAFCNVGFFEEFPLQEPQAPLKFNPCFEMPMEGFGKVTLSKELLVDAVNIAIP ASLEISSLLGFWQLPPPTPQNGFVNSTIPVGPGEPLPHRITCLAQQQPPPLPPPPPLPLP QPLPVPQPLPQPQMQPQFQLQIQPQMQPQMQLQPLQLQLPQLLPQLQPQQQPDPEPEPEP EPEPEPEPEPEPEPEPEPEPEPEPEEEQEEAEEEAEEGAEEGAEPEAQAEEEEEEEEAEE PQPEEAQIAVSAVNLGQPPLPPTPHIFTAGSNTAILPHFHHAFR >ENSMUSP00000112889.1 pep:known chromosome:GRCm38:10:13090832:13130982:1 gene:ENSMUSG00000019817.18 transcript:ENSMUST00000121325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plagl1 description:pleiomorphic adenoma gene-like 1 [Source:MGI Symbol;Acc:MGI:1100874] MAPFRCQKCGKSFVTLEKFTIHNYSHSRERPFKCSKAECGKAFVSKYKLMRHMATHSPQK IHQCTHCEKTFNRKDHLKNHLQTHDPNKISYACDDCGKKYHTMLGYKRHLALHSASNGDL TCGVCTLELGSTEVLLDHLKSHAEEKANQAPREKKYQCDHCDRCFYTRKDVRRHLVVHTG CKDFLCQFCAQRFGRKDHLTRHTKKTHSQELMQENMQAGDYQSNFQLIAPSTSFQIKVDP MPPFQLGAAPENGLDGGLPPEVHGLVLAAPEEAPQPMPPLEPLEPLEPLEPLEPMQSLEP LQPLEPMQPLEPMQPLEPMQPLEPLEPLEPMQPLEPMQPLEPMQPMLPMQPMQPMQPMQP MLPMQPMLPMQPMQPMQPMLPMPEPSFTLHPGVVPTSPPPIILQEHKYNPVPTSYAPFVG MPVKADGKAFCNVGFFEEFPLQEPQAPLKFNPCFEMPMEGFGKVTLSKELLVDAVNIAIP ASLEISSLLGFWQLPPPTPQNGFVNSTIPVGPGEPLPHRITCLAQQQPPPLPPPPPLPLP QPLPVPQPLPQPQMQPQFQLQIQPQMQPQMQLQPLQLQLPQLLPQLQPQQQPDPEPEPEP EPEPEPEPEPEPEPEPEPEPEPEPEEEQEEAEEEAEEGAEEGAEPEAQAEEEEEEEEAEE PQPEEAQIAGLVYKKWTV >ENSMUSP00000113710.1 pep:known chromosome:GRCm38:10:13090835:13130969:1 gene:ENSMUSG00000019817.18 transcript:ENSMUST00000121766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plagl1 description:pleiomorphic adenoma gene-like 1 [Source:MGI Symbol;Acc:MGI:1100874] MAPFRCQKCGKSFVTLEKFTIHNYSHSRERPFKCSKAECGKAFVSKYKLMRHMATHSPQK IHQCTHCEKTFNRKDHLKNHLQTHDPNKISYACDDCGKKYHTMLGYKRHLALHSASNGDL TCGVCTLELGSTEVLLDHLKSHAEEKANQAPREKKYQCDHCDRCFYTRKDVRRHLVVHTG CKDFLCQFCAQRFGRKDHLTRHTKKTHSQELMQENMQAGDYQSNFQLIAPSTSFQIKVDP MPPFQLGAAPENGLDGGLPPEVHGLVLAAPEEAPQPMPPLEPLEPLEPLEPLEPMQSLEP LQPLEPMQPLEPMQPLEPMQPLEPLEPLEPMQPLEPMQPLEPMQPMLPMQPMQPMQPMQP MLPMQPMLPMQPMQPMQPMLPMPEPSFTLHPGVVPTSPPPIILQEHKYNPVPTSYAPFVG MPVKADGKAFCNVGFFEEFPLQEPQAPLKFNPCFEMPMEGFGKVTLSKELLVDAVNIAIP ASLEISSLLGFWQLPPPTPQNGFVNSTIPVGPGEPLPHRITCLAQQQPPPLPPPPPLPLP QPLPVPQPLPQPQMQPQFQLQIQPQMQPQMQLQPLQLQLPQLLPQLQPQQQPDPEPEPEP EPEPEPEPEPEPEPEPEPEPEPEPEEEQEEAEEEAEEGAEEGAEPEAQAEEEEEEEEAEE PQPEEAQIAGLVYKKWTV >ENSMUSP00000115595.1 pep:known chromosome:GRCm38:10:13091013:13125030:1 gene:ENSMUSG00000019817.18 transcript:ENSMUST00000143582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plagl1 description:pleiomorphic adenoma gene-like 1 [Source:MGI Symbol;Acc:MGI:1100874] MAPFR >ENSMUSP00000117321.1 pep:known chromosome:GRCm38:10:13113465:13127341:1 gene:ENSMUSG00000019817.18 transcript:ENSMUST00000130313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plagl1 description:pleiomorphic adenoma gene-like 1 [Source:MGI Symbol;Acc:MGI:1100874] MAPFRCQKCGKSFVTLEKFTIHNYSHSRERPFKCSKAECGKAFVSKYKLMRHMATHSPQK IHQCTHCEKTFNRKDHLKNHLQTHDPNKISYACDDCGKKYHTMLGYKRHLALHSASN >ENSMUSP00000120581.1 pep:known chromosome:GRCm38:10:13116364:13125067:1 gene:ENSMUSG00000019817.18 transcript:ENSMUST00000145103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plagl1 description:pleiomorphic adenoma gene-like 1 [Source:MGI Symbol;Acc:MGI:1100874] MAPFRCQKCGKSFVTLE >ENSMUSP00000025696.4 pep:known chromosome:GRCm38:19:29020833:29047961:-1 gene:ENSMUSG00000024782.7 transcript:ENSMUST00000025696.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak3 description:adenylate kinase 3 [Source:MGI Symbol;Acc:MGI:1860835] MGASGRLLRAVIMGAPGSGKGTVSSRITKHFELKHLSSGDLLRQNMLQGTEIGVLAKTFI DQGKLIPDDVMTRLALHELKTLTQCSWLLDGFPRTLPQAEALDKVYQIDTVINLNVPFEV IKQRLTARWIHPASGRVYNIEFNPPKTVGIDDLTGEPLIQREDDKPETVIKRLKAYEAQT EPVLQYYQKKGVLETFSGTETNKIWPHVYSFLQTKVPETTQKASVTP >ENSMUSP00000121867.1 pep:known chromosome:GRCm38:19:29025901:29027244:-1 gene:ENSMUSG00000024782.7 transcript:ENSMUST00000125587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak3 description:adenylate kinase 3 [Source:MGI Symbol;Acc:MGI:1860835] XLTARWIHPASGRVYNIEFNPPKTVGIDDLTGEPLIQREDDKPETVIKRLKAYEAQTEPV LQYYQ >ENSMUSP00000145932.1 pep:known chromosome:GRCm38:16:59035780:59036757:-1 gene:ENSMUSG00000043357.3 transcript:ENSMUST00000206428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr187 description:olfactory receptor 187 [Source:MGI Symbol;Acc:MGI:3030021] MEKNATLLTEFVLTGLSHQPLWNIPLFLVFLVIYLITIVGNVSLITLIWTDPHLHIPMYL FLGSLAFVDTSISSIVVPKMLLNFFGKSKVITLSECMAQFFLFNISATTECFLLAAMAYD RYVAICKPLLYPVVMTNGLCVWLIALSFVAGIIHALIHEGFLLRLTFCNSNMIHHFYCDI ISLLKISCTDTSLNYLIVFIFSGSIQVFTISTILVSYTIILFTILKKKSAKGIKKAFSTC GAHLLSVSLYYGPLLFMYVHPASSEVDDQDMIDSLFYTVIIPVLNPIIYSLRNKQVIDSL AKFLKRNV >ENSMUSP00000146146.1 pep:known chromosome:GRCm38:16:59036305:59039767:-1 gene:ENSMUSG00000043357.3 transcript:ENSMUST00000206408.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr187 description:olfactory receptor 187 [Source:MGI Symbol;Acc:MGI:3030021] MEKNATLLTEFVLTGLSHQPLWNIPLFLVFLVIYLITIVGNVSLITLIWTDPHLHIPMYL FLGSLAFVDTSISSIVVPKMLLNFFGKSKVITLSECMAQFFLFNISATTECFLLAAMAYD RYVAICKPLLYPVVMTNGLCVWL >ENSMUSP00000052477.2 pep:known chromosome:GRCm38:16:59035780:59039749:-1 gene:ENSMUSG00000043357.3 transcript:ENSMUST00000054385.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr187 description:olfactory receptor 187 [Source:MGI Symbol;Acc:MGI:3030021] MEKNATLLTEFVLTGLSHQPLWNIPLFLVFLVIYLITIVGNVSLITLIWTDPHLHIPMYL FLGSLAFVDTSISSIVVPKMLLNFFGKSKVITLSECMAQFFLFNISATTECFLLAAMAYD RYVAICKPLLYPVVMTNGLCVWLIALSFVAGIIHALIHEGFLLRLTFCNSNMIHHFYCDI ISLLKISCTDTSLNYLIVFIFSGSIQVFTISTILVSYTIILFTILKKKSAKGIKKAFSTC GAHLLSVSLYYGPLLFMYVHPASSEVDDQDMIDSLFYTVIIPVLNPIIYSLRNKQVIDSL AKFLKRNV >ENSMUSP00000037007.4 pep:known chromosome:GRCm38:5:116408491:116422864:-1 gene:ENSMUSG00000041548.4 transcript:ENSMUST00000036991.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb8 description:heat shock protein 8 [Source:MGI Symbol;Acc:MGI:2135756] MADGQLPFPCSYPSRLRRDPFRDSPLSSRLLDDGFGMDPFPDDLTAPWPEWALPRLSSAW PGTLRSGMVPRGPPATARFGVPAEGRSPPPFPGEPWKVCVNVHSFKPEELMVKTKDGYVE VSGKHEEKQQEGGIVSKNFTKKIQLPAEVDPATVFASLSPEGLLIIEAPQVPPYSPFGES SFNNELPQDNQEVTCS >ENSMUSP00000022826.5 pep:known chromosome:GRCm38:14:55575617:55576952:1 gene:ENSMUSG00000022215.5 transcript:ENSMUST00000022826.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fitm1 description:fat storage-inducing transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1915930] MERGPTVGAGLGAGTRVRALLGCLVKVLLWVASALLYFGSEQAARLLGSPCLRRLYHAWL AAVVIFGPLLQFHVNSRTIFASHGNFFNIKFVNSAWGWTCTFLGGFVLLVVFLATRRVAV TARHLSRLVVGAAVWRGAGRAFLLIEDLTGSCFEPLPQGLLLHELPDRKSCLAAGHQWRG YTVSSHTFLLTFCCLLMAEEAAVFAKYLAHGLPAGAPLRLVFLLNVLLLGLWNFLLLCTV IYFHQYTHKVVGAAVGTFAWYLTYGSWYHQPWSPGIPGHGLFPRSRSMRKHN >ENSMUSP00000115530.1 pep:known chromosome:GRCm38:7:122965686:122976008:1 gene:ENSMUSG00000030779.15 transcript:ENSMUST00000148880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp6 description:retinoblastoma binding protein 6 [Source:MGI Symbol;Acc:MGI:894835] MSCVHYKFSSKLNYDTVTFDGLHISLCDLKKQIMGREKLKAADSDLQITNAQTKEEYTDD NALIPKNSSVIVRRIPIGGV >ENSMUSP00000049528.7 pep:known chromosome:GRCm38:7:122970564:123002557:1 gene:ENSMUSG00000030779.15 transcript:ENSMUST00000052135.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp6 description:retinoblastoma binding protein 6 [Source:MGI Symbol;Acc:MGI:894835] MSCVHYKFSSKLNYDTVTFDGLHISLCDLKKQIMGREKLKAADSDLQITNAQTKEEYTDD NALIPKNSSVIVRRIPIGGVKSTSKTYVISRTEPVMGTTKAIDDASASISLAQLTKTANL AEANASEEDKIKAMMSQSGHEYDPINYMKKTLVGPPPPSYTCFRCGKPGHYIKNCPTNGD KNFESGPRIKKSTGIPRSFMMEVKDPNMKGAMLTNTGKYAIPTIDAEAYAIGKKEKPPFL PEEPSSSSEEDDPIPDELLCLICKDIMTDAVVIPCCGNSYCDECIRTALLESDEHTCPTC HQNDVSPDALIANKFLRQAVNNFKNETGYTKRLRKQLPPPPPPVPPPRPLMQRNLQPLMR SPISRQQDPLMIPVTSSSAHSAPSISSLTSNPSALAPSVSGNPSSAPAPVPDITATVSIS VHSEKSDGPFRDSDNKLLPAAALTSEHSKGASSIAITALMEEKGYQVPVLGTPSLLGQSL LHGQLIPTTGPVRINAARPGGGRPGWEHSNKLGYLVSPPQQIRRGERSCYRSINRGRHHS ERSQRTQGPSLPATPVFVPVPPPPLYPPPPHTLPLPPGVPPPQFSPQFPPGQPPPAGYSV PPPGFPPAPANISTPWVSSGVQTAHSNTIPTTQAPPLSREEFYREQRRLKEEEKKKSKLD EFTNDFAKELMEYKKIQKERRRSFSRSKSPYSGSSYSRSSYTYSKSRSGSTRSRSYSRSF SRSHSRSYSRSPPYPRRGRGKSRNYRSRSRSHGYHRSRSRSPPYRRYHSRSRSPQAFRGQ SPTKRNVPQGETEREYFNRYREVPPPYDIKAYYGRSVDFRDPFEKERYREWERKYREWYE KYYKGYAVGAQPRPSANREDFSPERLLPLNIRNSPFTRGRREDYAAGQSHRNRNLGGNYP EKLSTRDSHNAKDNPKSKEKESENVPGDGKGNKHKKHRKRRKGEESESFLNPELLETSRK CRESSGIDETKTDTLFVLPSRDDATPVRDEPMDAESITFKSVSDKDKREKDKPKVKSDKT KRKSDGSATAKKDNVLKPSKGPQEKVDGDREKSPRSEPPLKKAKEEATKIDSVKPSSSSQ KDEKVTGTPRKAHSKSAKEHQEAKPAKDEKVKKDCSKDIKSEKPASKDEKAKKPEKNKLL DSKGEKRKRKTEEKSVDKDFESSSMKISKVEGTEIVKPSPKRKMEGDVEKLERTPEKDKI ASSTTPAKKIKLNRETGKKIGNAENASTTKEPSEKLESTSSKIKQEKVKGKAKRKVAGSE GSSSTLVDYTSTSSTGGSPVRKSEEKTDTKRTVIKTMEEYNNDNTAPAEDVIIMIQVPQS KWDKDDFESEEEDVKTTQPIQSVGKPSSIIKNVTTKPSATAKYTEKESEQPEKLQKLPKE ASHELMQHELRSSKGSASSEKGRAKDREHSGSEKDNPDKRKSGAQPDKESTVDRLSEQGH FKTLSQSSKETRTSEKHESVRGSSNKDFTPGRDKKVDYDSRDYSSSKRRDERGELARRKD SPPRGKESLSGQKSKLREERDLPKKGAESKKSNSSPPRDKKPHDHKAPYETKRPCEETKP VDKNSGKEREKHAAEARNGKESSGGKLPCIPNPPDPPMEKELAAGQVEKSAVKPKPQLSH SSRLSSDLTRETDEAAFEPDYNESDSESNVSVKEEEAVASISKDLKEKTTEKAKESLTVA TASQPGADRSQSQSSPSVSPSRSHSPSGSQTRSHSSSASSAGSQDSKKKKKKKEKKKHKK HKKHKKHKKHAGADGDVEKSQKHKHKKKKAKKNKDKEKEKDDQKVRSVTV >ENSMUSP00000095670.2 pep:known chromosome:GRCm38:7:122970700:122984392:1 gene:ENSMUSG00000030779.15 transcript:ENSMUST00000098062.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp6 description:retinoblastoma binding protein 6 [Source:MGI Symbol;Acc:MGI:894835] MSCVHYKFSSKLNYDTVTFDGLHISLCDLKKQIMGREKLKAADSDLQITNAQTKEEYTDD NALIPKNSSVIVRRIPIGGVKSTSKTYVISRTEPVMGTTKAVCKNTITLFLHNCFYLYNV SVT >ENSMUSP00000071519.5 pep:known chromosome:GRCm38:7:122970918:123002557:1 gene:ENSMUSG00000030779.15 transcript:ENSMUST00000071590.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp6 description:retinoblastoma binding protein 6 [Source:MGI Symbol;Acc:MGI:894835] MSCVHYKFSSKLNYDTVTFDGLHISLCDLKKQIMGREKLKAADSDLQITNAQTKEEYTDD NALIPKNSSVIVRRIPIGGVKSTSKTYVISRTEPVMGTTKAIDDASASISLAQLTKTANL AEANASEEDKIKAMMSQSGHEYDPINYMKKTLVGPPPPSYTCFRCGKPGHYIKNCPTNGD KNFESGPRIKKSTGIPRSFMMEVKDPNMKGAMLTNTGKYAIPTIDAEAYAIGKKEKPPFL PEEPSSSSEEDDPIPDELLCLICKDIMTDAVVIPCCGNSYCDECIRTALLESDEHTCPTC HQNDVSPDALIANKFLRQAVNNFKNETGYTKRLRKQLPPPPPPVPPPRPLMQRNLQPLMR SPISRQQDPLMIPVTSSSAHSAPSISSLTSNPSALAPSVSGNPSSAPAPVPDITATVSIS VHSEKSDGPFRDSDNKLLPAAALTSEHSKGASSIAITALMEEKGYQVPVLGTPSLLGQSL LHGQLIPTTGPVRINAARPGGGRPGWEHSNKLGYLVSPPQQIRRGERSCYRSINRGRHHS ERSQRTQGPSLPATPVFVPVPPPPLYPPPPHTLPLPPGVPPPQFSPQFPPGQPPPAGYSV PPPGFPPAPANISTPWVSSGVQTAHSNTIPTTQAPPLSREEFYREQRRLKEESKSPYSGS SYSRSSYTYSKSRSGSTRSRSYSRSFSRSHSRSYSRSPPYPRRGRGKSRNYRSRSRSHGY HRSRSRSPPYRRYHSRSRSPQAFRGQSPTKRNVPQGETEREYFNRYREVPPPYDIKAYYG RSVDFRDPFEKERYREWERKYREWYEKYYKGYAVGAQPRPSANREDFSPERLLPLNIRNS PFTRGRREDYAAGQSHRNRNLGGNYPEKLSTRDSHNAKDNPKSKEKESENVPGDGKGNKH KKHRKRRKGEESESFLNPELLETSRKCRESSGIDETKTDTLFVLPSRDDATPVRDEPMDA ESITFKSVSDKDKREKDKPKVKSDKTKRKSDGSATAKKDNVLKPSKGPQEKVDGDREKSP RSEPPLKKAKEEATKIDSVKPSSSSQKDEKVTGTPRKAHSKSAKEHQEAKPAKDEKVKKD CSKDIKSEKPASKDEKAKKPEKNKLLDSKGEKRKRKTEEKSVDKDFESSSMKISKVEGTE IVKPSPKRKMEGDVEKLERTPEKDKIASSTTPAKKIKLNRETGKKIGNAENASTTKEPSE KLESTSSKIKQEKVKGKAKRKVAGSEGSSSTLVDYTSTSSTGGSPVRKSEEKTDTKRTVI KTMEEYNNDNTAPAEDVIIMIQVPQSKWDKDDFESEEEDVKTTQPIQSVGKPSSIIKNVT TKPSATAKYTEKESEQPEKLQKLPKEASHELMQHELRSSKGSASSEKGRAKDREHSGSEK DNPDKRKSGAQPDKESTVDRLSEQGHFKTLSQSSKETRTSEKHESVRGSSNKDFTPGRDK KVDYDSRDYSSSKRRDERGELARRKDSPPRGKESLSGQKSKLREERDLPKKGAESKKSNS SPPRDKKPHDHKAPYETKRPCEETKPVDKNSGKEREKHAAEARNGKESSGGKLPCIPNPP DPPMEKELAAGQVEKSAVKPKPQLSHSSRLSSDLTRETDEAAFEPDYNESDSESNVSVKE EEAVASISKDLKEKTTEKAKESLTVATASQPGADRSQSQSSPSVSPSRSHSPSGSQTRSH SSSASSAGSQDSKKKKKKKEKKKHKKHKKHKKHKKHAGADGDVEKSQKHKHKKKKAKKNK DKEKEKDDQKVRSVTV >ENSMUSP00000145759.1 pep:known chromosome:GRCm38:7:123000279:123002554:1 gene:ENSMUSG00000030779.15 transcript:ENSMUST00000205495.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp6 description:retinoblastoma binding protein 6 [Source:MGI Symbol;Acc:MGI:894835] GTEARVGGGATATSVLYGK >ENSMUSP00000104977.2 pep:known chromosome:GRCm38:15:89098357:89123087:-1 gene:ENSMUSG00000051786.14 transcript:ENSMUST00000109353.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp6 description:tubulin, gamma complex associated protein 6 [Source:MGI Symbol;Acc:MGI:2146071] MASITQLFDDLCEALLPAAQARPGQRSVNRKRAKRSLKRVAYNALFANLFQEDTHQRQPD SSKLPVKNKVLMLSFDLRVGGLGPEADRLEELVEKLEAAPDCPFVEVASVLDLLVQLAGS GPPQVLRRKRDYFFNNKHAGRNIPYSGYDCYDLSVFEMDVRSFISGEENLCHHTVQEALQ VMEAAPGTGLPTVGLFSIGDSCGDRFERDTRVSLFGALVHSRTYDMDVRLDLPPVPDSAD FSGLAIKVPQIVDQWEDEGFQSASNLTPDSQSEPSMTPDLDLWEAVLTYEASKRRCWERI GCPPGHREEPYLTEAGRDAFDRFCRLRHGELQALSGGLLQAPKPVLVEESELVKDSLNVL LGVVSATFSLCRPTQAFVVEPGVHVSGASPESISSILSEVAEYGTCYTRLSHFSLQPVVG SLCSRGLVFQAFTSGLRRYLQYYRACVLSTPPTLSLLTIGFLFKKLGRQLRYLAELCGVG TVSLATSGEPRAVFPTGVKLLSYLYQEALDNCSNEHYPVLLSLLKTSCEPYTRFIHDWVY SGVFRDVYGEFMIQVNHEYLSFRDKFYWTHGYVLISKEVEDCVPVFLKHIAHDVYVCGKT INLLKLCCPRHYLCWSDVPVPRISVIFSLEELKEIEKDCAVYVGRMERVARHSCISKEEK ELRMEIAKQELIVHAREAASRVLSELSDRQMAEQIAQDTRKREQFQRLKEQFVKDQERRL AARQEELDDDFSYARELRDREKRLKALEEELERKARQALVDHYSKLSAEAARREQKALWR IQRHRLESARLRFLLEDQKCIQEMLRDMEAQQPQEPPSVFPSTGSQVTSTGPEHAGEGHS CDPGFTELHWGCPSLPCASTPSVPKSATEGADDSGAGPFSTGLSITDFLPVDSGEEQPVE NTGVPFLEVALQTICSDLSPVAPEPAALTAGGPQATQSEYDFNTILRPAMATSLSPGPFQ DVQNSVDSDKQHLLGDMSTKVDSYIHDMQETLPCPHPLSHATPVEGSLQPVGQLLEHMSE TTVSTESHASGMAPCQQLSISRHVSDANIKVGDYMSDVALPRPRWNVHGHVSEASIGVGE NMAEVAPSRPRWNVHGHVSDASIKIGENMSDVAPSRTRWNIHGHVSDASIKVGENVSDVT PSRPRWNVHGHVSEASIKVGENVSDVTPSRPRWNVHGHVSEASIKVGENVSDVTPSRPRW NVHGHVSDASIRIGENVSDTDLDLQQRGCAQPPLILEEPLPEAEADLKPHQCPPAHVSEA VLGVEAQSPALECGPQLPEKTKPTVCSGFGRTEEGSLQTKTLVAEPSMLGSGIPEEKGPG KSRDAEDLSPCLPSSSQEDTAVPSSPGPSDEVSNTEAEARRWGKEQAYLTDLTKLYHLEQ YPDSYDSMSEPPVAHLVHHMLPRAFAFPVDPQVQSAVDESAVQLSELLTLPVLMKRSLMA PLAAHVSLVSKAAVDYFFVELHLETHFEALRHFLLMEDGEFAQSLSDLLFEKLGAGQTPG ELLNPLVLNSILSKALQYSLHGDTPHASNLSFALKYLPEVFAPNAPDVLSCLELRYKVDW PLNIVITESCLNKYSGIFSFLLQLKLMMWTLKDICFHLKRTALVSHTAGSVQFRQLQLFK HEMQHFVKVIQGYIANQILHVSWCEFRARLAVVGDLEEIQRAHAEYLHRAVFRGLLTEKA APVMNIIHSIFSLVLKFRSQLISQNWGPATGPRGAEHPNFPLMQQSYSTFKYYSHFLFKV VTKLVNRGYQPHLEDFLLRINFNNYYQDS >ENSMUSP00000131359.1 pep:known chromosome:GRCm38:15:89100410:89102603:-1 gene:ENSMUSG00000051786.14 transcript:ENSMUST00000163290.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tubgcp6 description:tubulin, gamma complex associated protein 6 [Source:MGI Symbol;Acc:MGI:2146071] XPGKSRDAEDLSPCLPSSSQEDTAVPSSPGPSDEVSNTEAEARRWGKEQAYLTDLTKLYH LEQYPDSYDSMSEPPVAHLVHHMLPRAFAFPVDPQVQSAVDESAVQLSELLTLPVLMKRS LMAPLAAHVSLVSKAAVDYFFVELHLETHFEALRHFLLMEDGEFAQSLSDLLFEKLGAGQ TPGELLNPLVLNSILSKALQYSLHGDTPHASNLSFALKYLPEVFAPNAPDVLSCLELRYK VDWPLNIVITESCLNKYSGIFSFLLQLKLMMWTLKDICFHLKRTVPTAAAVQA >ENSMUSP00000040132.7 pep:known chromosome:GRCm38:15:89100410:89122886:-1 gene:ENSMUSG00000051786.14 transcript:ENSMUST00000041656.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp6 description:tubulin, gamma complex associated protein 6 [Source:MGI Symbol;Acc:MGI:2146071] MASITQLFDDLCEALLPAAQARPGQRSVNRKRAKRSLKRVAYNALFANLFQEDTHQRQPD SSKLPVKNKVLMLSFDLRVGGLGPEADRLEELVEKLEAAPDCPFVEVASVLDLLVQLAGS GPPQVLRRKRDYFFNNKHAGRNIPYSGYDCYDLSVFEMDVRSFISGEENLCHHTVQEALQ VMEAAPGTGLPTVGLFSIGDSCGDRFERDTRVSLFGALVHSRTYDMDVRLDLPPVPDSAD FSGLAIKVPQIVDQWEDEGFQSASNLTPDSQSEPSMTPDLDLWEAVLTYEASKRRCWERI GCPPGHREEPYLTEAGRDAFDRFCRLRHGELQALSGGLLQAPKPVLVEESELVKDSLNVL LGVVSATFSLCRPTQAFVVEPGVHVSGASPESISSILSEVAEYGTCYTRLSHFSLQPVVG SLCSRGLVFQAFTSGLRRYLQYYRACVLSTPPTLSLLTIGFLFKKLGRQLRYLAELCGVG TVSLATSGEPRAVFPTGVKLLSYLYQEALDNCSNEHYPVLLSLLKTSCEPYTRFIHDWVY SGVFRDVYGEFMIQVNHEYLSFRDKFYWTHGYVLISKEVEDCVPVFLKHIAHDVYVCGKT INLLKLCCPRHYLCWSDVPVPRISVIFSLEELKEIEKDCAVYVGRMERVARHSCISKEEK ELRMEIAKQELIVHAREAASRVLSELSDRQMAEQIAQDTRKREQFQRLKEQFVKDQERRL AARQEELDDDFSYARELRDREKRLKALEEELERKASKLSAEAARREQKALWRIQRHRLES ARLRFLLEDQKCIQEMLRDMEAQQPQEPPSVFPSTGSQVTSTGPEHAGEGHSCDPGFTEL HWGCPSLPCASTPSVPKSATEGADDSGAGPFSTGLSITDFLPVDSGEEQPVENTGVPFLE VALQTICSDLSPVAPEPAALTAGGPQATQSEYDFNTILRPAMATSLSPGPFQDVQNSVDS DKQHLLGDMSTKVDSYIHDMQETLPCPHPLSHATPVEGSLQPVGQLLEHMSETTVSTESH ASGMAPCQQLSISRHVSDANIKVGDYMSDVALPRPRWNVHGHVSEASIGVGENMAEVAPS RPRWNVHGHVSDASIKIGENMSDVAPSRTRWNIHGHVSDASIKVGENVSDVTPSRPRWNV HGHVSEASIKVGENVSDVTPSRPRWNVHGHVSEASIKVGENVSDVTPSRPRWNVHGHVSD ASIRIGENVSDTDLDLQQRGCAQPPLILEEPLPEAEADLKPHQCPPAHVSEAVLGVEAQS PALECGPQLPEKTKPTVCSGFGRTEEGSLQTKTLVAEPSMLGSGIPEEKGPGKSRDAEDL SPCLPSSSQEDTAVPSSPGPSDEVSNTEAEARRWGKEQAYLTDLTKLYHLEQYPDSYDSM SEPPVAHLVHHMLPRAFAFPVDPQVQSAVDESAVQLSELLTLPVLMKRSLMAPLAAHVSL VSKAAVDYFFVELHLETHFEALRHFLLMEDGEFAQSLSDLLFEKLGAGQTPGELLNPLVL NSILSKALQYSLHGDTPHASNLSFALKYLPEVFAPNAPDVLSCLELRYKVDWPLNIVITE SCLNKYSGIFSFLLQLKLMMWTLKDICFHLKRTALVSHTAGSVQFRQLQLFKHEMQHFVK VIQGYIANQILHVSWCEFRARLAVVGDLEEIQRAHAEYLHRAVFRGLLTEKAAPVMNIIH SIFSLVLKFRSQLISQNWGPATGPRGAEHPNFPLMQQSYSTFKYYSHFLFKVVTKLVNRG YQPHLEDFLLRINFNNYYQDS >ENSMUSP00000132108.1 pep:known chromosome:GRCm38:15:89100717:89102014:-1 gene:ENSMUSG00000051786.14 transcript:ENSMUST00000166480.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tubgcp6 description:tubulin, gamma complex associated protein 6 [Source:MGI Symbol;Acc:MGI:2146071] PLAAHVSLVSKAAVDYFFVELHLETHFEALRHFLLMEDGEFAQSLSDLLFEKLGAGQTPG ELLNPLVLNSILSKALQYSLHGDTPHASNLSFALKYLPEVFAPNAPDVLSCLELRSTGPS TLLSPRAA >ENSMUSP00000129291.1 pep:known chromosome:GRCm38:15:89101476:89102372:-1 gene:ENSMUSG00000051786.14 transcript:ENSMUST00000170877.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tubgcp6 description:tubulin, gamma complex associated protein 6 [Source:MGI Symbol;Acc:MGI:2146071] XDEVSNTEAEARRWGKEQAYLTDLTKLYHLEQYPDSYDSM >ENSMUSP00000132786.1 pep:known chromosome:GRCm38:15:89104216:89107429:-1 gene:ENSMUSG00000051786.14 transcript:ENSMUST00000169069.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tubgcp6 description:tubulin, gamma complex associated protein 6 [Source:MGI Symbol;Acc:MGI:2146071] XSKEEKELRMEIAKQELIVHAREAASRVLSELSDRQMAEQIAQDTRKREQFQRLKEQFVK DQERRLAARQEELDDDFSYARELRDREKRLKALEEELERKARRWLTIIASCLQRQLVESR RLCGESRGIGWKAHDFVFS >ENSMUSP00000125716.1 pep:known chromosome:GRCm38:6:29482036:29484860:-1 gene:ENSMUSG00000059022.14 transcript:ENSMUST00000161276.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcp description:kielin/chordin-like protein [Source:MGI Symbol;Acc:MGI:2141640] XLLGTVAVRLLQGRTVMVS >ENSMUSP00000124771.1 pep:known chromosome:GRCm38:6:29482036:29495914:-1 gene:ENSMUSG00000059022.14 transcript:ENSMUST00000159479.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcp description:kielin/chordin-like protein [Source:MGI Symbol;Acc:MGI:2141640] GKEFASGERFPSPNVACHVCLCWEGSVKCEPRTCAPAQCPFPTREDCCPACDSCDYLGVS YLSSQEFPDPREACNLCTCLGGFVTCTRRPCEPPACSHPLIVPEHCCPTCQGCLYHGITA ALGETLPDPLDPTCSLCTCEEGSMRCQKKPCPPAPCAHPSPGPCFCPVCRSCLSQGREHQ DGEEFEGPEGSCERCRCLAGQVSCTRLQCPSLPCLHQVTEPGTCCPRCTGCLARGEEHPE GSSWVPADSPCSSCMCHKGIITCAQVQCVSACIWPQEGPSDCCPQCSGCEHGGRKYEPGE SFQPGADPCEVCICKKREGPPSLHCSRRQCPSLVGCPPSQLLPPGPQHCCPTCAREGTE >ENSMUSP00000088954.4 pep:known chromosome:GRCm38:6:29482036:29507937:-1 gene:ENSMUSG00000059022.14 transcript:ENSMUST00000091391.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcp description:kielin/chordin-like protein [Source:MGI Symbol;Acc:MGI:2141640] MAGARAALLPLLLHLGSLALAARGGEVSREQPRLADAISQQQAPSHSLVPGETHQQQWCP LEERLERLEAEVTDLRKQNRELQARVVQLESCECWGPGHTCPEGARWEPDACTACVCRDG TAHCGPQPNLPHCRGCSHNGQSYGHGETFSPDACTTCRCLAGTVQCQGPSCSELNCLESF IPPGECCPICRPGCEYEGQLHQEGSSFLSSSNPCLQCSCLRSLVRCVPVKCQPSPCLNPV PRLGHCCPVCQASGCTEGNSHRDHGQEWTTPGDPCRICQCLEGHIQCRQRECASLCPYPA RPLPGTCCPVCDGCFLNGREHSSGEPVGSQDPCSSCRCTNGSVQCEPLPCPPAPCRYPGR IPGQCCPVCDGCKYQGHEYRSQETFTLQENGRCLRCVCQAGEVSCEEQDCPVTPCVRSAS GPQLCSACVLNGEEFAEGIQWEPDDQPCTSCSCQDGVPVCRAVLCSPVPCQHPTQPPGAC CPSCDSCTYHSLVYANGQNFTDVDSPCQTCYCEDGTVRCSLINCPFTTCAKPQNGPGQCC PKCPDCILEAQVFVDGERFPHPRDPCQECWCQEGQAHCQLRACPSAPCVHPLPGTCCKND CTGCAFGGKEYPNGADFPHPTDPCRLCRCLSGNVQCLARRCPPLSCPQPVLTPGDCCPQC PDAPADCPQSGNMVPVRHQEHFFQPGDPCSRCLCLDGSVSCQRLTCPPAPCAHPRRDACC PSCDGCLYQGKEFASGERFPSPNVACHVCLCWEGSVKCEPRTCAPAQCPFPTREDCCPAC DSCDYLGVSYLSSQEFPDPREACNLCTCLGGFVTCTRRPCEPPACSHPLIVPEHCCPTCQ GCLYHGITAALGETLPDPLDPTCSLCTCEEGSMRCQKKPCPPAPCAHPSPGPCFCPVCRS CLSQGREHQDGEEFEGPEGSCERCRCLAGQVSCTRLQCPSLPCLHQVTEPGTCCPRCTGC LARGEEHPEGSSWVPADSPCSSCMCHKGIITCAQVQCVSACIWPQEGPSDCCPQCSGCEH GGRKYEPGESFQPGADPCEVCICKKREGPPSLHCSRRQCPSLVGCPPSQLLPPGPQHCCP TCAQALSNCTEDLVGSELVPPDPCYTCQCQDLTWLCTHRACPELSCPLWERHTTPGSCCP VCKDPTQSCMHQGRWVASGEQWAVDACTSCSCVAGTVHCQTQRCRKLACSRDEVPALSPG SCCLRCLPRPASCMAFGDPHYRTFDGRLLHFQGSCSYVLAKDCHGEDFRWTSTQ >ENSMUSP00000099135.3 pep:known chromosome:GRCm38:6:29482037:29507950:-1 gene:ENSMUSG00000059022.14 transcript:ENSMUST00000101614.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcp description:kielin/chordin-like protein [Source:MGI Symbol;Acc:MGI:2141640] MAGARAALLPLLLHLGSLALAARGGEVSREQPRLADAISQQQAPSHSLVPGETHQQQWCP LEERLERLEAEVTDLRKQNRELQARVVQLESCECWGPGHTCPEGARWEPDACTACVCRDG TAHCGPQPNLPHCRGCSHNGQSYGHGETFSPDACTTCRCLAGTVQCQGPSCSELNCLESF IPPGECCPICRPGCEYEGQLHQEGSSFLSSSNPCLQCSCLRSLVRCVPVKCQPSPCLNPV PRLGHCCPVCQASGCTEGNSHRDHGQEWTTPGDPCRICQCLEGHIQCRQRECASLCPYPA RPLPGTCCPVCDGCFLNGREHSSGEPVGSQDPCSSCRCTNGSVQCEPLPCPPAPCRYPGR IPGQCCPVCDGCKYQGHEYRSQETFTLQENGRCLRCVCQAGEVSCEEQDCPVTPCVRSAS GPQLCSACVLNGEEFAEGIQWEPDDQPCTSCSCQDGVPVCRAVLCSPVPCQHPTQPPGAC CPSCDSCTYHSLVYANGQNFTDVDSPCQTCYCEDGTVRCSLINCPFTTCAKPQNGPGQCC PKCPDCILEAQVFVDGERFPHPRDPCQECWCQEGQAHCQLRACPSAPCVHPLPGTCCKND CTGCAFGGKEYPNGADFPHPTDPCRLCRCLSGNVQCLARRCPPLSCPQPVLTPGDCCPQC PDAPADCPQSGNMVPVRHQEHFFQPGDPCSRCLCLDGSVSCQRLTCPPAPCAHPRRDACC PSCDGCLYQGKEFASGERFPSPNVACHVCLCWEGSVKCEPRTCAPAQCPFPTREDCCPAC DSCDYLGVSYLSSQEFPDPREACNLCTCLGGFVTCTRRPCEPPACSHPLIVPEHCCPTCQ GCLYHGITAALGETLPDPLDPTCSLCTCEEGSMRCQKKPCPPAPCAHPSPGPCFCPVCRS CLSQGREHQDGEEFEGPEGSCERCRCLAGQVSCTRLQCPSLPCLHQVTEPGTCCPRCTGC LARGEEHPEGSSWVPADSPCSSCMCHKGIITCAQVQCVSACIWPQEGPSDCCPQCSGCEH GGRKYEPGESFQPGADPCEVCICKQKREGPPSLHCSRRQCPSLVGCPPSQLLPPGPQHCC PTCAQALSNCTEDLVGSELVPPDPCYTCQCQDLTWLCTHRACPELSCPLWERHTTPGSCC PVCKDPTQSCMHQGRWVASGEQWAVDACTSCSCVAGTVHCQTQRCRKLACSRDEVPALSP GSCCLRCLPRPASCMAFGDPHYRTFDGRLLHFQGSCSYVLAKDCHGEDFSVHVTNDDRGR RGVAWTQEVAVLLGTVAVRLLQGRTVMVDQHTVTLPFLREPLLYIELRGHTVILHAQPGL QVLWDGQSQVEVRVPSSYRGQTCGLCGNFNGFAQDDLQGPDGRLLPTEASFGNSWKVPKG LGPGRPCSAGREVDPCRAAGYRARREANARCGILKTSPFSHCHAVVPPEPFFAACVYDLC ACGPGSSSDTCLCDALEAYASHCRQAGVTPVWRGPTLCVVGCPVDRGFVFDECGPPCPRT CFNRHIPLGELAAHCVRPCVPGCQCPAGLVEHEGHCISPEVCPPVLLTGD >ENSMUSP00000125699.1 pep:known chromosome:GRCm38:6:29497930:29502824:-1 gene:ENSMUSG00000059022.14 transcript:ENSMUST00000160181.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcp description:kielin/chordin-like protein [Source:MGI Symbol;Acc:MGI:2141640] XESFIPPGECCPICRPGCEYEGQLHQEGSSFLSSSNPCLQCSCLRSLVRCVPVKCQPSPC LNPVPRLGHCCPVCQGLFSVPSLRLHRRELS >ENSMUSP00000124097.1 pep:known chromosome:GRCm38:6:29502290:29507952:-1 gene:ENSMUSG00000059022.14 transcript:ENSMUST00000161237.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcp description:kielin/chordin-like protein [Source:MGI Symbol;Acc:MGI:2141640] MAGARAALLPLLLHLGSLALAARGGEVSREQPRLADAISQQQAPSHSLVPGETHQQQWCP LEERLERLEAEVTDLRKQNRELQARVVQLESCECWGPGHTCPEGARWEPDACTACVCRDG TAHCGPQPNLPHCRGCSHNGQSYGHGETFSPDACTTCRCLAWPCL >ENSMUSP00000077251.6 pep:known chromosome:GRCm38:6:29482037:29507952:-1 gene:ENSMUSG00000059022.14 transcript:ENSMUST00000078112.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcp description:kielin/chordin-like protein [Source:MGI Symbol;Acc:MGI:2141640] MAGARAALLPLLLHLGSLALAARGGEVSREQPRLADAISQQQAPSHSLVPGETHQQQWCP LEERLERLEAEVTDLRKQNRELQARVVQLESCECWGPGHTCPEGARWEPDACTACVCRDG TAHCGPQPNLPHCRGCSHNGQSYGHGETFSPDACTTCRCLAGTVQCQGPSCSELNCLESF IPPGECCPICRPGCEYEGQLHQEGSSFLSSSNPCLQCSCLRSLVRCVPVKCQPSPCLNPV PRLGHCCPVCQASGCTEGNSHRDHGQEWTTPGDPCRICQCLEGHIQCRQRECASLCPYPA RPLPGTCCPVCDGCFLNGREHSSGEPVGSQDPCSSCRCTNGSVQCEPLPCPPAPCRYPGR IPGQCCPVCDGCKYQGHEYRSQETFTLQENGRCLRCVCQAGEVSCEEQDCPVTPCVRSAS GPQLCSACVLNGEEFAEGIQWEPDDQPCTSCSCQDGVPVCRAVLCSPVPCQHPTQPPGAC CPSCDSCTYHSLVYANGQNFTDVDSPCQTCYCEDGTVRCSLINCPFTTCAKPQNGPGQCC PKCPDCILEAQVFVDGERFPHPRDPCQECWCQEGQAHCQLRACPSAPCVHPLPGTCCKND CTGCAFGGKEYPNGADFPHPTDPCRLCRCLSGNVQCLARRCPPLSCPQPVLTPGDCCPQC PDAPADCPQSGNMVPVRHQEHFFQPGDPCSRCLCLDGSVSCQRLTCPPAPCAHPRRDACC PSCDGCLYQGKEFASGERFPSPNVACHVCLCWEGSVKCEPRTCAPAQCPFPTREDCCPAC DSCDYLGVSYLSSQEFPDPREACNLCTCLGGFVTCTRRPCEPPACSHPLIVPEHCCPTCQ GCLYHGITAALGETLPDPLDPTCSLCTCEEGSMRCQKKPCPPAPCAHPSPGPCFCPVCRS CLSQGREHQDGEEFEGPEGSCERCRCLAGQVSCTRLQCPSLPCLHQVTEPGTCCPRCTGC LARGEEHPEGSSWVPADSPCSSCMCHKGIITCAQVQCVSACIWPQEGPSDCCPQCSGCEH GGRKYEPGESFQPGADPCEVCICKQKREGPPSLHCSRRQCPSLVGCPPSQLLPPGPQHCC PTCAQALSNCTEDLVGSELVPPDPCYTCQCQDLTWLCTHRACPELSCPLWERHTTPGSCC PVCKDPTQSCMHQGRWVASGEQWAVDACTSCSCVAGTVHCQTQRCRKLACSRDEVPALSP GSCCLRCLPRPASCMAFGDPHYRTFDGRLLHFQGSCSYVLAKDCHGEDFRWTSTQ >ENSMUSP00000021676.5 pep:known chromosome:GRCm38:12:85815448:85824522:-1 gene:ENSMUSG00000021252.11 transcript:ENSMUST00000021676.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610007P14Rik description:RIKEN cDNA 0610007P14 gene [Source:MGI Symbol;Acc:MGI:1915571] MSRFLNVLRSWLVMVSIIAMGNTLQSFRDHTFLYEKLYTGKPNLVNGLQARTFGIWTLLS SVIRCLCAIDIHNKTLYHITLWTFLLALGHFLSELFVFGTAAPTVGVLAPLMVASFSILG MLVGLRYLEAEPVSRQKKRN >ENSMUSP00000114987.1 pep:known chromosome:GRCm38:12:85819539:85824550:-1 gene:ENSMUSG00000021252.11 transcript:ENSMUST00000142331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610007P14Rik description:RIKEN cDNA 0610007P14 gene [Source:MGI Symbol;Acc:MGI:1915571] MSRFLNVLRSWLVMVSIIAMGNTLQSFRDHTFLYEKLYTGKPNLVNGLQARTFG >ENSMUSP00000113761.1 pep:known chromosome:GRCm38:7:35641982:35647521:-1 gene:ENSMUSG00000030417.15 transcript:ENSMUST00000118501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd5 description:programmed cell death 5 [Source:MGI Symbol;Acc:MGI:1913538] MADEELEALRKQRLAELQAKHGDPGDAAQQEAKQREAEMRNSILAQVLDQSARARLSNLA LVKPEKTKAVENYLIQMARYGQLSGKVSEQGLIEILEKVSQQTEKKTTVKFNRRKVMDSD EDDADY >ENSMUSP00000113013.1 pep:known chromosome:GRCm38:7:35642012:35647209:-1 gene:ENSMUSG00000030417.15 transcript:ENSMUST00000120714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd5 description:programmed cell death 5 [Source:MGI Symbol;Acc:MGI:1913538] MGVSPEVTAASSAAVGSRVRLVRVGVCAPSSHPPPHPPSLGFRCRRASGDPRRDWGVLGL GGVLPTGSPRCVEGDPLSSGAEDAALTAPPRAGVEPRTPEMDPGDAAQQEAKQREAEMRN SILAQVLDQSARARLSNLALVKPEKTKAVENYLIQMARYGQLSGKVSEQGLIEILEKVSQ QTEKKTTVKFNRRKVMDSDEDDADY >ENSMUSP00000068731.7 pep:known chromosome:GRCm38:13:55369732:55384687:1 gene:ENSMUSG00000052087.13 transcript:ENSMUST00000063771.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs14 description:regulator of G-protein signaling 14 [Source:MGI Symbol;Acc:MGI:1859709] MPGKPKHLGVPNGRMVLAVSDGELTSTAGSQAQGEGRGSSLSIHSLPSGPSSPFSTEEQP VASWAQSFERLLQDPRGLAYFTEFLKKEFSAENVTFWKACERFQQIPASDTKQLAQEAHN IYHEFLSSQALSPVNIDRQAWLSEEVLAQPRPDMFRAQQLQIFNLMKFDSYARFVKSPLY QECLLAEAEGRPLREPGSSHLGSPDTARKKPKLKPGKSLPLGVEELGQLPLAEGPCGRPL RKSFRREMTGGAMNSALRRESQGSLNSSASLDLGFLAFVSSKSESHRKSLGSGESESESR PGKYCCVYLPDGTASLALARPGLTIRDMLAGICEKRGLSLPDIKVYLVGNEQKALVLDQD CTVLADQEVRLENRITFQLELVGLERVVRISAKPTKRLQEALQPILAKHGLSLDQVVLHR PGEKQPMDLENPVSSVASQTLVLDTPPDAKMSEARSISPCRSQGCLPRTQTKDSHLPPSS SSLLVEDASSSTGNRQTCDIEGLVELLNRVQSSGAHDQRGLLRKEDLVLPEFLQLPSQRP GSREAPP >ENSMUSP00000121508.1 pep:known chromosome:GRCm38:13:55369771:55379445:1 gene:ENSMUSG00000052087.13 transcript:ENSMUST00000149858.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgs14 description:regulator of G-protein signaling 14 [Source:MGI Symbol;Acc:MGI:1859709] MPGKPKHLGVPNGRMVLAVSDGGVPEEGIQRRERNFLESLRTFPADPSQRHQAASSGGPQ HLPRVPIQPGAEPSEHRPTGLA >ENSMUSP00000031127.7 pep:known chromosome:GRCm38:5:73006883:73012955:1 gene:ENSMUSG00000029219.10 transcript:ENSMUST00000031127.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a4 description:solute carrier family 10 (sodium/bile acid cotransporter family), member 4 [Source:MGI Symbol;Acc:MGI:3606480] MDSLDNTTLLLAPSSLLPDNLTLSPNAGSPSASTLSPLAVTSSPGPGLSLAPSPSIGFSP EATPTPEPTSSSLTVGVAGQGSSAFPRPWIPHEPPFWDTPLNHGLNVFVGAALCITMLGL GCTVDVNHFGAHVRRPVGALLAALCQFGFLPLLAFLLALIFKLDEVAAVAVLLCGCCPGG NLSNLMSLLVDGDMNLSIIMTISSTLLALVLMPLCLWIYSRAWINTPLVQLLPLGAVTLT LCSTLIPIGLGVFIRYKYNRVADYIVKVSLWSLLVTLVVLFIMTGTMLGPELLASIPATV YVVAIFMPLAGYASGYGLATLFHLPPNCKRTVCLETGSQNVQLCTAILKLAFPPRFIGSM YMFPLLYALFQSAEAGVFVLIYKMYGSEILHKREALDEDEDTDISYKKLKEEEMADTSYG TVGTDDLVMMETTQTAL >ENSMUSP00000144062.1 pep:known chromosome:GRCm38:5:73006903:73012955:1 gene:ENSMUSG00000029219.10 transcript:ENSMUST00000201304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a4 description:solute carrier family 10 (sodium/bile acid cotransporter family), member 4 [Source:MGI Symbol;Acc:MGI:3606480] MTISSTLLALVLMPLCLWIYSRAWINTPLVQLLPLGAVTLTLCSTLIPIGLGVFIRYKYN RVADYIVKVSLWSLLVTLVVLFIMTGTMLGPELLASIPATVYVVAIFMPLAGYASGYGLA TLFHLPPNCKRTVCLETGSQNVQLCTAILKLAFPPRFIGSMYMFPLLYALFQSAEAGVFV LIYKMYGSEILHKREALDEDEDTDISYKKLKEEEMADTSYGTVGTDDLVMMETTQTAL >ENSMUSP00000080354.7 pep:known chromosome:GRCm38:15:80077796:80083353:-1 gene:ENSMUSG00000060036.13 transcript:ENSMUST00000081650.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl3 description:ribosomal protein L3 [Source:MGI Symbol;Acc:MGI:1351605] MSHRKFSAPRHGSLGFLPRKRSSRHRGKVKSFPKDDASKPVHLTAFLGYKAGMTHIVREV DRPGSKVNKKEVVEAVTIVETPPMVVVGIVGYVETPRGLRTFKTVFAEHISDECKRRFYK NWHKSKKKAFTKYCKKWQDDTGKKQLEKDFNSMKKYCQVIRIIAHTQMRLLPLRQKKAHL MEIQVNGGTVAEKLDWARERLEQQVPVNQVFGQDEMIDVIGVTKGKGYKGVTSRWHTKKL PRKTHRGLRKVACIGAWHPARVAFSVARAGQKGYHHRTEINKKIYKIGQGYLIKDGKLIK NNASTDYDLSDKSINPLGGFVHYGEVTNDFIMLKGCVVGTKKRVLTLRKSLLVQTKRRAL EKIDLKFIDTTSKFGHGRFQTMEEKKAFMGPLKKDRIAKEEGA >ENSMUSP00000139467.1 pep:known chromosome:GRCm38:15:80080417:80083333:-1 gene:ENSMUSG00000060036.13 transcript:ENSMUST00000187832.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpl3 description:ribosomal protein L3 [Source:MGI Symbol;Acc:MGI:1351605] MSHRKFSAPRHGSLGFLPRKRSSRHRGKVKSFPKDDASKPVHLTAFLGYKAGMTHIVREV DRPGSKVNKKEVVEAVTIVETPPMVVVGIVGYVETPRGLRTFKTVFAEHISDECKRRFYK NCSARLCPMSFIQAPLAGGKGSLEAGNEPHPQMVPVCLPLTCCKGDEGLHRAPPPTVLCS >ENSMUSP00000140228.1 pep:known chromosome:GRCm38:15:80080896:80091868:-1 gene:ENSMUSG00000060036.13 transcript:ENSMUST00000185306.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl3 description:ribosomal protein L3 [Source:MGI Symbol;Acc:MGI:1351605] MGLRTSVTGAVIRLCQQGRPGQSHRKFSAPRHGSLGFLPRKRSSRHRGKVKSFPKDDASK PVHLTAFLGYKAGMTHIVREVDRPGSKVNKKEVVEAVTIVETPPMVVVGIVGYVETPRGL RTFKTVFAEHISDECKRRFYKNWHKSKKKAFTKYCKKWQDDTGKKQLEKDFNSMKKYCQV IRIIAHTQ >ENSMUSP00000029405.7 pep:known chromosome:GRCm38:3:63976106:64022579:1 gene:ENSMUSG00000027823.9 transcript:ENSMUST00000029405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmps description:guanine monophosphate synthetase [Source:MGI Symbol;Acc:MGI:2448526] MALCNGDSKPENAGGDLKDGSHHYEGAVVILDAGAQYGKVIDRRVRELFVQSEIFPLETP AFAIKEQGFRAIIISGGPNSVYAEDAPWFDPAIFTIGKPILGICYGMQMMNKVFGGTVHK KSVREDGVFNISMDNTCSLFRGLQKEEIVLLTHGDSVDKVADGFKVVARSGNIVAGIANE SKKLYGVQFHPEVGLTENGKVILKNFLYDIAGCSGNFTVQNRELECIREIKEKVGTSKVL VLLSGGVDSTVCTALLNRALNQDQVIAVHIDNGFMRKRESQSVEEALKKLGIQVKVINAA HSFYNGTTTLPISDEDRTPRKRISKTLNMTTSPEEKRKIIGDTFVKIANEVIGEMSLKPE EVFLAQGTLRPDLIESASLVASGKAELIKTHHNDTELIRKLREEGKVIEPLKDFHKDEVR ILGRELDLPEELVSRHPFPGPGLAIRVICAEEPYICKDFPETNNILKIVADFSASVKKPH TLLQRVKACTTEEDQEKLMQITSLHSLNAFLLPIKTVGVQGDCRSYSYVCGISSKDEPDW ESLIFLARLIPRMCHNINRVVYIFGPPVKEPPTDVTPTFLTTGVLSTLRQADFEAHNILR ESGFAGKISQMPVILTPLHFDRDPLQKQPSCQRSVVIRTFITSDFMTGVPATPGNEIPVE VVLKMVTEIKKIPGISRIMYDLTSKPPGTTEWE >ENSMUSP00000050292.7 pep:known chromosome:GRCm38:10:128058954:128077272:1 gene:ENSMUSG00000071072.12 transcript:ENSMUST00000052798.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptges3 description:prostaglandin E synthase 3 (cytosolic) [Source:MGI Symbol;Acc:MGI:1929282] MQPASAKWYDRRDYVFIEFCVEDSKDVNVNFEKSKLTFSCLGGSDNFKHLNEIDLFHCID PNDSKHKRTDRSILCCLRKGESGQSWPRLTKERAKLNWLSVDFNNWKDWEDDSDEDMSNF DRFSEMMDHMGGDEDVDLPEVDGADDDSQDSDDEKMPDLE >ENSMUSP00000081827.2 pep:known chromosome:GRCm38:10:128059011:128076978:1 gene:ENSMUSG00000071072.12 transcript:ENSMUST00000084771.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptges3 description:prostaglandin E synthase 3 (cytosolic) [Source:MGI Symbol;Acc:MGI:1929282] MQPASAKWYDRRDYVFIEFCVEDSKDVNVNFEKSKLTFSCLGGSDNFKHLNEIDLFHCID PNDSKHKRTDRSILCCLRKGESGQSWPRLTKERAKMMDHMGGDEDVDLPEVDGADDDSQD SDDEKMPDLE >ENSMUSP00000037273.8 pep:known chromosome:GRCm38:17:34644764:34646324:1 gene:ENSMUSG00000033739.8 transcript:ENSMUST00000036720.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbpl description:FK506 binding protein-like [Source:MGI Symbol;Acc:MGI:1932127] METSPISPMNEKNTAQPQQREENAQQILNTAIPFRQRSPGLLPEALKVGVRPDPANQIVE TQEIEHPVAGFEGDSDQFQVSTNEMAEHLQASDLWYCPDGSFVKKIIVPGHGLDKPKLGS KCQVLALGFPFGSGMPEGWTELTIGIGQWREKMWGELMEKCLESMRQGEEAKIHLPGSSA PLAKLRLDSFTNGRDSWEMEAMEKEALAKEEHRRGTELFRAGNPQGAARCYGRALRLLLT LPPPGPPERTTLYANLAACQLLLGHPQLAAQSCDRVLEREPGHLKALYRRGVARAALGDL EKATADFKKVLAVDPKNRAAKEELGKVVIQGRKQDAGLARGLRKMFS >ENSMUSP00000133960.1 pep:known chromosome:GRCm38:17:34644841:34645322:1 gene:ENSMUSG00000033739.8 transcript:ENSMUST00000174796.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbpl description:FK506 binding protein-like [Source:MGI Symbol;Acc:MGI:1932127] METSPISPMNEKNTAQPQQRE >ENSMUSP00000099605.3 pep:known chromosome:GRCm38:4:135728172:135752140:1 gene:ENSMUSG00000037157.8 transcript:ENSMUST00000102546.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il22ra1 description:interleukin 22 receptor, alpha 1 [Source:MGI Symbol;Acc:MGI:2663588] MKTLLTILTVGSLAAHTTVDTSGLLQHVKFQSSNFENILTWDGGPASTSDTVYSVEYKKY GERKWLAKAGCQRITQKFCNLTMETRNHTEFYYAKVTAVSAGGPPVTKMTDRFSSLQHTT IKPPDVTCIPKVRSIQMLVHPTLTPVLSEDGHQLTLEEIFHDLFYRLELHVNHTYQMHLE GKQREYEFLGLTPDTEFLGSITILTPILSKESAPYVCRVKTLPDRTWAYSFSGAVLFSMG FLVGLLCYLGYKYITKPPVPPNSLNVQRVLTFQPLRFIQEHVLIPVLDLSGPSSLPQPIQ YSQVVVSGPREPPGAVWRQSLSDLTYVGQSDVSILQPTNVPAQQTLSPPSYAPKAVPEVQ PPSYAPQVASDAKALFYSPQQGMKTRPATYDPQDILDSCPASYAVCVEDSGKDSTPGILS TPKYLKTKGQLQEDTLVRSCLPGDLSLQKVTSLGEGETQRPKSLPSPLGFCTDRGPDLHT LRSEEPETPRYLKGALSLLSSVQIEGHPVSLPLHVHSVSCSPSDEGPSPWGLLDSLVCPK DEGPAVETEAMCPSAAASELEQSTELDSLFKGLALTVQWES >ENSMUSP00000080851.5 pep:known chromosome:GRCm38:3:106201490:106219507:-1 gene:ENSMUSG00000063779.6 transcript:ENSMUST00000082219.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil4 description:chitinase-like 4 [Source:MGI Symbol;Acc:MGI:1341098] MAKLILVTGLAILLNVQLGSSYQLMCYYTSWAKDRPTEGSFKPGNIDPCLCTHLIYAFAG MKNNEITYLSEQDLRDYEALNGLKDRNTELKTLLAIGGWKFGPAPFSSMVSTPQNRQTFI KSVIRFLRQYNFDGLNLDWQYPGSRGSPPKDKHLFSVLVQEMRKAFEEESTLNHIPRLLL TSTGAGFIDVIKSGYKIPELSQSLDYIQVMTYDLHDPKNGYTGENSPLYKSPYDIGKSAD LNVDSIITYWKDHGAASEKLIVGFPAYGHTFILSDPSKNGIGDPTVSAGPPGKYTNEQGL LAYFEICTFLNEGATEIFDATQEVPYAYLGNEWVGYDNVRSFKLKAQWLKDNNLGGAVVW PLDMDDFSGSFCHQGRFPLTTTLKRDLNVHSASCKASYRGEL >ENSMUSP00000039188.7 pep:known chromosome:GRCm38:4:141618824:141623821:-1 gene:ENSMUSG00000040740.7 transcript:ENSMUST00000038661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a34 description:solute carrier family 25, member 34 [Source:MGI Symbol;Acc:MGI:2686215] MKPTQAQMAPAMDSREMVSPAVDLVLGASACCLACVFTNPLEVVKTRLQLQGELQAPGTY PRPYRGFVSSVAAVARADGLWGLQKGLAAGLLYQGLMNGVRFYCYSLACQAGLTQQPGGT VVAGAAAGALGAFVGSPAYLVKTQLQAQTVATMAVGHQHQHQGVLSALETIWRQQGMLGL WRGVGGAVPRVTVGSAAQLATFTSAKAWVQDRQWFLEDSWLVTLAGGMISSIAVVAVMTP LDVVSTRLYNQPVDRAGRGQLYGGLADCLVKTCQQEGPLALYKGLGPAYLRLGPHTILSM FFWDELRKLVARAQHQGT >ENSMUSP00000030184.5 pep:known chromosome:GRCm38:4:43514711:43523464:-1 gene:ENSMUSG00000028464.16 transcript:ENSMUST00000030184.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm2 description:tropomyosin 2, beta [Source:MGI Symbol;Acc:MGI:98810] MDAIKKKMQMLKLDKENAIDRAEQAEADKKQAEDRCKQLEEEQQALQKKLKGTEDEVEKY SESVKDAQEKLEQAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIENRAMKDEEKMELQEMQLKEAKHIAEDSDRKYEEVARKLVILEGELERSE ERAEVAESRARQLEEELRTMDQALKSLIASEEEYSTKEDKYEEEIKLLEEKLKEAETRAE FAERSVAKLEKTIDDLEDEVYAQKMKYKAISEELDNALNDITSL >ENSMUSP00000103547.3 pep:known chromosome:GRCm38:4:43514711:43523595:-1 gene:ENSMUSG00000028464.16 transcript:ENSMUST00000107914.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm2 description:tropomyosin 2, beta [Source:MGI Symbol;Acc:MGI:98810] MDAIKKKMQMLKLDKENAIDRAEQAEADKKQAEDRCKQLEEEQQALQKKLKGTEDEVEKY SESVKDAQEKLEQAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIENRAMKDEEKMELQEMQLKEAKHIAEDSDRKYEEVARKLVILEGELERSE ERAEVAESRARQLEEELRTMDQALKSLIASEEEYSTKEDKYEEEIKLLEEKLKEAETRAE FAERSVAKLEKTIDDLEETLASAKEENVEIHQTLDQTLLELNNL >ENSMUSP00000119908.1 pep:known chromosome:GRCm38:4:43519668:43523765:-1 gene:ENSMUSG00000028464.16 transcript:ENSMUST00000150592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm2 description:tropomyosin 2, beta [Source:MGI Symbol;Acc:MGI:98810] MDAIKKKMQMLKLDKENAIDRAEQAEADKKQAEDRCKQLEEEQQALQKKLKGTEDEVEKY SESVKDAQEKLEQAEKKATDAEADVASLNRRIQLVEEELDRAQERL >ENSMUSP00000103546.3 pep:known chromosome:GRCm38:4:43514712:43523388:-1 gene:ENSMUSG00000028464.16 transcript:ENSMUST00000107913.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm2 description:tropomyosin 2, beta [Source:MGI Symbol;Acc:MGI:98810] MDAIKKKMQMLKLDKENAIDRAEQAEADKKQAEDRCKQLEEEQQALQKKLKGTEDEVEKY SESVKDAQEKLEQAEKKATDAEADVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIENRAMKDEEKMELQEMQLKEAKHIAEDSDRKYEEVARKLVILEGELERSE ERAEVAESKCGDLEEELKIVTNNLKSLEAQADKYSTKEDKYEEEIKLLEEKLKEAETRAE FAERSVAKLEKTIDDLEDEVYAQKMKYKAISEELDNALNDITSL >ENSMUSP00000140772.1 pep:known chromosome:GRCm38:15:91199494:91260894:1 gene:ENSMUSG00000078932.8 transcript:ENSMUST00000190436.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CN725425 description:cDNA sequence CN725425 [Source:MGI Symbol;Acc:MGI:3613655] MNWVGGSRSRVLIKQERRKQKEYFERNKLKSKLKLLGVVSPVKKPSVSLDLLNLYVVNQI SSMKENSETMKRPTHVNMTRDLKVPLRKHDLELPMSPHCVPSKLCIDDMEDSVPYQRIYS KEETGPVQSSQDMKSYRMFNETGNCSYIPPSFPEELRSNRHIRSQHSTPRIGPSPQQFVY ENPHSGQFSNGKFPESLFSKLNKHQHVFSSSQTTAEFEAPYKRTNSSETGDFLTKRSMIM GEDCRSLYERRQPDFAMEKPLVQQIYANNGEEFSNFLEDVIHPTQRHLPDNHNSFVSHSM IDLLSKDQPGRRATFTKCGYDSLSDTHVVSSDESHSSSGLINGEFTVPQATSPNFPFNTS YTETCQPNRPCQEYNSNEINEFRRSFEKDCYSIGCGRKGKIESDKQLKELQRNARKHPVY TMADIPLEELHCKQSCDFDQNEIPMERRGMCPLKGQPMSTEKIYLESSQSSQSASYSPRP TESTFSSSTDLISEDEDQIQQQTEDSNKKATETTGNCCLEKMENHFDDITVKDDATAHKQ NHKCLQSSEKNNADAFPESQCNSEHTVQNKSTDNCVLQAGRCDVGVQTEEAPLVGNTADV AVQCTIITRCSCMSSPVLIREKESSHPEAGSCTEDRTADTTGGQETPTSNSL >ENSMUSP00000104907.2 pep:known chromosome:GRCm38:15:91231578:91260885:1 gene:ENSMUSG00000078932.8 transcript:ENSMUST00000109284.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CN725425 description:cDNA sequence CN725425 [Source:MGI Symbol;Acc:MGI:3613655] RSRVLIKQERRKQKEYFERNKLKSKLKLLGVVSPVKKPSVSLDLLNLYVVNQISSMKENS ETMKRPTHVNMTRDLKVPLRKHDLELPMSPHCVPSKLCIDDMEDSVPYQRIYSKEETGPV QSSQDMKSYRMFNETGNCSYIPPSFPEELRSNRHIRSQHSTPRIGPSPQQFVYENPHSGQ FSNGKFPESLFSKLNKHQHVFSSSQTTAEFEAPYKRTNSSETGDFLTKRSMIMGEDCRSL YERRQPDFAMEKPLVQQIYANNGEEFSNFLEDVIHPTQRHLPDNHNSFVSHSMIDLLSKD QPGRRATFTKCGYDSLSDTHVVSSDESHSSSGLINGEFTVPQATSPNFPFNTSYTETCQP NRPCQEYNSNEINEFRRSFEKDCYSIGCGRKGKIESDKQLKELQRNARKHPVYTMADIPL EELHCKQSCDFDQNEIPMERRGMCPLKGQPMSTEKIYLESSQSSQSASYSPRPTESTFSS STDLISEDEDQIQQQTEDSNKKATETTGNCCLEKMENHFDDITVKDDATAHKQNHKCLQS SEKNNADAFPESQCNSEHTVQNKSTDNCVLQAGRCDVGVQTEEAPLVGNTADVAVQCTII TRCSCMSSPVLIREKESSHPEAGSCTEDRTADTTGGQETPTSN >ENSMUSP00000142797.1 pep:known chromosome:GRCm38:1:139422288:139441857:1 gene:ENSMUSG00000033964.12 transcript:ENSMUST00000200243.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb41 description:zinc finger and BTB domain containing 41 homolog [Source:MGI Symbol;Acc:MGI:2444487] MKKRRKVTSNLDEKIHLGYHKDSSEENAAVECGQVTYTQAPERPTPEAAQRCQELPPSPD QRKLLSSLQYNKNLLKYLNDDRQKQPSFCDLLIIVEGKEFSAHKVVVAVGSSYFHACLSK NPSTDVVTLDHVTHSVFQHLLEFLYTSEFFVYKYEIPLVLEAAKFLDIIDAVKLLNNENV AAFQAELTEKSSPEETLNELTGRLSSSHQCKFCSRHFCYKKSLENHLAKTHRSLLLGKKH GLKMLERSFSTRRSKRNRKCPVKFEDTSDDEQESGDGSDNLHQESSEKERSDRNDSEDPG SEYNAEDEELEEEVSDEDSDTEQSDKDNDAEEEPEAGDSAGSIHEGLAPVIIQNSNKKIL QCPKCDKTFDRIGKYESHTRVHTGEKPFECDICHQRYSTKSNLTVHRKKHSNEVEFHRKE HKCPYCNKLHASKKTLAKHVKRFHPENAQEFISIKKTKSESWKCDICKKSFTRRPHLEEH MILHSQDKPFKCTYCEEHFKSRFARLKHQEKFHLGPFPCDICGRQFNDTGNLKRHIECTH GGKRKWTCFICGKSVRERTTLKEHLRIHSGEKPHLCSICGQSFRHGSSYRLHLRVHHDDK RYECDECGKTFIRHDHLTKHKKIHSGEKAHQCEECGKCFGRRDHLTVHYKSVHLGEK >ENSMUSP00000045570.7 pep:known chromosome:GRCm38:1:139422382:139453005:1 gene:ENSMUSG00000033964.12 transcript:ENSMUST00000039867.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb41 description:zinc finger and BTB domain containing 41 homolog [Source:MGI Symbol;Acc:MGI:2444487] MKKRRKVTSNLDEKIHLGYHKDSSEENAAVECGQVTYTQAPERPTPEAAQRCQELPPSPD QRKLLSSLQYNKNLLKYLNDDRQKQPSFCDLLIIVEGKEFSAHKVVVAVGSSYFHACLSK NPSTDVVTLDHVTHSVFQHLLEFLYTSEFFVYKYEIPLVLEAAKFLDIIDAVKLLNNENV AAFQAELTEKSSPEETLNELTGRLSSSHQCKFCSRHFCYKKSLENHLAKTHRSLLLGKKH GLKMLERSFSTRRSKRNRKCPVKFEDTSDDEQESGDGSDNLHQESSEKERSDRNDSEDPG SEYNAEDEELEEEVSDEDSDTEQSDKDNDAEEEPEAGDSAGSIHEGLAPVIIQNSNKKIL QCPKCDKTFDRIGKYESHTRVHTGEKPFECDICHQRYSTKSNLTVHRKKHSNEVEFHRKE HKCPYCNKLHASKKTLAKHVKRFHPENAQEFISIKKTKSESWKCDICKKSFTRRPHLEEH MILHSQDKPFKCTYCEEHFKSRFARLKHQEKFHLGPFPCDICGRQFNDTGNLKRHIECTH GGKRKWTCFICGKSVRERTTLKEHLRIHSGEKPHLCSICGQSFRHGSSYRLHLRVHHDDK RYECDECGKTFIRHDHLTKHKKIHSGEKAHQCEECGKCFGRRDHLTVHYKSVHLGEKVWQ KYKATFHQCDVCKKIFKGKSSLEMHFRTHSGEKPYKCQICNQSFRIKKTLTKHLVIHSDA RPFNCQHCNATFKRKDKLKYHIDHVHGIKSPDDSLSTSEEKLMSLPMEYSSDDKIFQAET KQYLDQPKVYQSEAKTMLQNVSAEVCVPVTLVPVQMPDTSSDLVPHTTTLPTSSHEMLPP QPQSTDYPRAADLAFLEKYTLTPQPANIVHPVRPEQMLDPREPSYLGTLLGLDSTAAVQN MCSSEHRS >ENSMUSP00000143768.1 pep:known chromosome:GRCm38:1:139423654:139449183:1 gene:ENSMUSG00000033964.12 transcript:ENSMUST00000199011.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb41 description:zinc finger and BTB domain containing 41 homolog [Source:MGI Symbol;Acc:MGI:2444487] XIDAVKLLNNENVAAFQAELTEKSSPEETLNELTGRLSSSHQCKFCSRHFCYKKSLENHL AKTHRSLLLGKKHGLKMLERSFSTRRSKRNRKCPVKFEDTSDDEQESGDGSDNLHQESSE KERSDRNDSEDPGSEYNAEDEELEEEVSDEDSDTEQSDKDNDAEEEPEAGDSAGSIHEGL APVIIQNSNKKILQCPKCDKTFDRIGKYESHTRVHTEAQ >ENSMUSP00000086787.5 pep:known chromosome:GRCm38:X:8803691:8809386:1 gene:ENSMUSG00000071816.5 transcript:ENSMUST00000089370.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxb5 description:synovial sarcoma, X member B, breakpoint 5 [Source:MGI Symbol;Acc:MGI:2446774] MEVLYEPKNNCKAFQDISTYFSDEEWGKLTQWQKSAYVYMKRNYIRMTDLGVNVNQPVFM RGKEQAKQFLVEGIEDHDSEDECFEESFGVTPRKRMKLTSVTISIHNVEGSLASGENDSN LAETDGIQVNVWSHRLRERKYRVIYSEISDPEEEEDDDY >ENSMUSP00000135979.1 pep:known chromosome:GRCm38:2:83978933:83981425:1 gene:ENSMUSG00000096337.1 transcript:ENSMUST00000178533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13694 description:predicted gene 13694 [Source:MGI Symbol;Acc:MGI:3702064] MKSSVAHMKQSSGHNRRETHSSYCRSSSPEDRYTEQERFPRDRDYSDYSRSDYERSRRGY SYDDSMESRSRDREKRRERERDADHRKRPWKSPSPDRSPARGIRQSSPQEEPTWKKKKDE LDPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISII IQELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNF RKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECG LKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVE EDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGS SEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHK LLKMEFAESQTKELCNMILDCCAQQRTYENFFGLLAGRFCMLEKEYMESFESIFKEQYDT IHRLETNKLRNVAKVFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMG LPKLNARLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIV AQKPEAEQKKTALTSSSSESSSASDSSDSDSDSSESSSESSSEASDSSSSSSTQSSTSAK GTRKKRQGKARGEEVDKLARGHQALERRQGGREDQRHQEGRTERARSERHRAQNSRDADW RDSLAKHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKKQREKRFFL >ENSMUSP00000136971.1 pep:known chromosome:GRCm38:2:83979638:83980424:1 gene:ENSMUSG00000096337.1 transcript:ENSMUST00000178655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13694 description:predicted gene 13694 [Source:MGI Symbol;Acc:MGI:3702064] LILNFRKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGF LKECGLKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEG LDLVEEDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTD QGAGSSEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSTKQKN SAT >ENSMUSP00000116676.1 pep:known chromosome:GRCm38:2:121439059:121455837:-1 gene:ENSMUSG00000046110.15 transcript:ENSMUST00000140752.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serinc4 description:serine incorporator 4 [Source:MGI Symbol;Acc:MGI:2441842] XMPSVLCAHLFGSSDCPVLSGSGAVYRVCAGTATFHLLQAVLLVRLHSPTNPRAQLHNSL ALYWHLWRLHIHPITAGAYHSLCPVLEQELSNQTLASYKPLSSAAISCI >ENSMUSP00000106243.2 pep:known chromosome:GRCm38:2:121451177:121456693:-1 gene:ENSMUSG00000046110.15 transcript:ENSMUST00000110613.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc4 description:serine incorporator 4 [Source:MGI Symbol;Acc:MGI:2441842] MMGAKVVTGRSTTQGLAQQHGGVSNVVETPFNQASCCGPVSWTSGCHSLTESRCSRLLYI LLHVGASAICCLLLSKTVVERVWGKAHGIQMPSVLCAHLFGSSDCPVLSGSGAVYRVCAG TATFHLLQAVLLVRLHSPTNPRAQLHNSFWSLKLLFLLGLCVVAFCIPDEDLFPAWHYIG ICGGFTFILLQLVLITAFAQSWNKNWQTGAAQDCSWLLGVSLATLGFYSMAGVGAVLLFH QYTHPDGCLLNKMLLSLHLCFCGLLSLLSIAPCIRRTTRLPTWPSYLDPCGLSRFTSMSS RSPQSVSAAPKQWSQRMGKGAGPGQLTKRPLQLLRCRASIFPTATLASTSPSSLLHSMSW LPLPTGSAMRKQNWRRPSLRVAGLPSGLKLPHAGPVSSSIWGCSWHHCWLPTQNHHHPSI FRQHCHGISTAT >ENSMUSP00000051261.3 pep:known chromosome:GRCm38:2:121451177:121456781:-1 gene:ENSMUSG00000046110.15 transcript:ENSMUST00000056312.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc4 description:serine incorporator 4 [Source:MGI Symbol;Acc:MGI:2441842] MMGAKVVTGRSTTQGLAQQHGGVSNVVETPFNQASCCGPVSWTSGCHSLTESRCSRLLYI LLHVGASAICCLLLSKTVVERVWGKAHGIQMPSVLCAHLFGSSDCPVLSGSGAVYRVCAG TATFHLLQAVLLVRLHSPTNPRAQLHNSFWSLKLLFLLGLCVVAFCIPDEDLFPAWHYIG ICGGFTFILLQLVLITAFAQSWNKNWQTGAAQDCSWLLGVSLATLGFYSMAGVGAVLLFH QYTHPDGCLLNKMLLSLHLCFCGLLSLLSIAPCIRRKQPNSGLLQASIISCYIMYLTFSA LSSRPPETITFQGQNHTLCLPGRNKMEPQIPDTSVAVFSAGIMYACVLFACNEASYLAEL FGPLWIIKVYKYEFQKPSVCFCCPQTVEPEDGQRSRARSADQETPPAAQVQSQHLSYSYS GFHFAFFLASLYVMVTLTNWFSYEEAELEKTFTKGSWATFWVKVASCWACVLLYLGLLLA PLLAPHSESPPP >ENSMUSP00000119373.1 pep:known chromosome:GRCm38:2:121451189:121455837:-1 gene:ENSMUSG00000046110.15 transcript:ENSMUST00000127435.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serinc4 description:serine incorporator 4 [Source:MGI Symbol;Acc:MGI:2441842] XMPSVLCAHLFGSSDCPVLSGSGAVYRVCAGTATFHLLQAVLLVRLHSPTNPRAQLHNSF WSLKLLFLLGLCVVAFCIPDEDLFPAWHYIGICGGFTFILLQLVLITAFAQSWNKNWQTG AAQDCSWLLGVSLATLGFYSMAGVGAVLLFHQYTHPDGCLLNKMLLSLHLCFCGLLSLLS IAPCIRRSGATKLWPPTSLYHQLLYHVSDLLCTVQPSPRDNNLSRTESHLVSAWPE >ENSMUSP00000117054.1 pep:known chromosome:GRCm38:2:121451247:121455831:-1 gene:ENSMUSG00000046110.15 transcript:ENSMUST00000140135.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serinc4 description:serine incorporator 4 [Source:MGI Symbol;Acc:MGI:2441842] XSVLCAHLFGSSDCPVLSGSGAVYRVCAGTATFHLLQAVLLVRLHSPTNPRAQLHNSLAL YWHLWRLHIHPITAGAYHSLCPVLEQELQRGFLPGRVIWTLVDYQGLQV >ENSMUSP00000080731.3 pep:known chromosome:GRCm38:13:27094212:27100255:1 gene:ENSMUSG00000057170.5 transcript:ENSMUST00000082079.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl3d1 description:prolactin family 3, subfamily d, member 1 [Source:MGI Symbol;Acc:MGI:97606] MQLTLNLSGSAGMQLLLLVSSLLLWENVSSKPTAMVPTEDLYTRLAELSHNTFILAADVY REFDLDFFDKTWITDRTLPLCHTASIHTPENREEVHETKTEDLLKAMINVSISWKEPLKH LVSALTALPGASESMGKKAADIKGRNLVILEGLQTIYNRTQANIEENENFDYPAWSGLEE LQSPNEDTHLFAVYNLCRCIKRDIHKIDSYIKVLRCRVVFQNEC >ENSMUSP00000032967.3 pep:known chromosome:GRCm38:7:100159277:100161365:1 gene:ENSMUSG00000030725.4 transcript:ENSMUST00000032967.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipt2 description:lipoyl(octanoyl) transferase 2 (putative) [Source:MGI Symbol;Acc:MGI:1914414] MSLPVVRLVWLGRVHYSELLALQEHWLRRLQADPRPGTLSGTKAGVLLVCEPAGPVYTGG LRGGLTPEETTRLRALGAEVRATGRGGLATFHGPGQLLCHPVLDLRLLGLRLRTHVAALE ACAVRLCELRGLQGARARPPPYTGVWLGERKICAIGVRCGRHITSHGLALNCSTDLTWFE HIVPCGLVGTGVTSLSEALQRLVTVDEVMPSFLVAFKETFKCTLISEDSPS >ENSMUSP00000147056.1 pep:known chromosome:GRCm38:7:100159359:100160654:1 gene:ENSMUSG00000030725.4 transcript:ENSMUST00000207825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipt2 description:lipoyl(octanoyl) transferase 2 (putative) [Source:MGI Symbol;Acc:MGI:1914414] XLRRLQADPRPGTLSGTKAGVLLVCEPAGPVYTGGLRGGLTPEETTRLRALGAEESAVED TSRPTAWL >ENSMUSP00000053923.8 pep:known chromosome:GRCm38:3:106147554:106167564:-1 gene:ENSMUSG00000040809.10 transcript:ENSMUST00000063062.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil3 description:chitinase-like 3 [Source:MGI Symbol;Acc:MGI:1330860] MAKLILVTGLAILLNVQLGSSYQLMCYYTSWAKDRPIEGSFKPGNIDPCLCTHLIYAFAG MQNNEITYTHEQDLRDYEALNGLKDKNTELKTLLAIGGWKFGPAPFSAMVSTPQNRQIFI QSVIRFLRQYNFDGLNLDWQYPGSRGSPPKDKHLFSVLVKEMRKAFEEESVEKDIPRLLL TSTGAGIIDVIKSGYKIPELSQSLDYIQVMTYDLHDPKDGYTGENSPLYKSPYDIGKSAD LNVDSIISYWKDHGAASEKLIVGFPAYGHTFILSDPSKTGIGAPTISTGPPGKYTDESGL LAYYEVCTFLNEGATEVWDAPQEVPYAYQGNEWVGYDNVRSFKLKAQWLKDNNLGGAVVW PLDMDDFSGSFCHQRHFPLTSTLKGDLNIHSASCKGPY >ENSMUSP00000121823.1 pep:known chromosome:GRCm38:3:106148597:106149761:-1 gene:ENSMUSG00000040809.10 transcript:ENSMUST00000149836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil3 description:chitinase-like 3 [Source:MGI Symbol;Acc:MGI:1330860] XPPGKYTDESGLLAYYEAQWLKDNNLGGAVVWPLDMDDFSGSFCHQRHFPLTSTLKGD >ENSMUSP00000050531.8 pep:known chromosome:GRCm38:4:129576815:129578549:-1 gene:ENSMUSG00000050493.8 transcript:ENSMUST00000052835.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam167b description:family with sequence similarity 167, member B [Source:MGI Symbol;Acc:MGI:2668032] MSLGPLKFQAVGEKGEEDEEESLDSLKALTAKLQLQTRRPSYLEWTARVQSRAWYRAQAR PEPVGPGAICGFDSMDSALEWLRRELQEMRAQDRQLAGQLLRLRARLHRLKVDQVCHLHQ ELLDEAELEMELESGTGLPLAPPLRHLGLTRMNISARRFTLC >ENSMUSP00000129692.1 pep:known chromosome:GRCm38:7:22842688:22843605:-1 gene:ENSMUSG00000095931.1 transcript:ENSMUST00000167871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r159 description:vomeronasal 1 receptor 159 [Source:MGI Symbol;Acc:MGI:3642763] MSDHGKSVKTTEEVALQLLLLCQFGVGTLANVFLFVHNFYPVLTGSKQRPRQVILSHMSV ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHQFVTLVL VNRGKLILRASVPNLVSYSCYGCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIVGIVFLQFAYDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQNTRGQAESKATRTI LMLVFTFVSFYLLNFICIIFHTLLMHSHFFIRLVSEVLAAVFPSISPFLLIFRDPKDPCS VLFNC >ENSMUSP00000081700.4 pep:known chromosome:GRCm38:7:119140747:119184603:-1 gene:ENSMUSG00000066197.5 transcript:ENSMUST00000084650.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr139 description:G protein-coupled receptor 139 [Source:MGI Symbol;Acc:MGI:2685341] MEHTHAHLAANSSACGLGFVPVVYYSFLLCLGLPANILTVIILSQLVARRQKSSYNYLLA LAAADILVLFFIVFVDFLLEDFILTMQMPLIPDKIIEVLEFSSIHTSIWITVPLTVDRYI AVCHPLKYHTVSYPARTRKVILSVYITCFLTSIPYYWWPNIWTEDYISTSMHHVLVWIHC FTVYLVPCSIFFILNSIIVYKLRRKSNFRLRGYSTGKTTAILFTITSIFATLWAPRIIMI LYHLYGAPIQNPWLVHIMLDVANMLALLNTAINFFLYCFISKRFRTMAAATLKALFKCQK QPVQFYTNHNFSITSSPWISPANSHCIKMLVYQYDKHGKPIKVSP >ENSMUSP00000054927.2 pep:known chromosome:GRCm38:10:129321569:129322593:1 gene:ENSMUSG00000049573.2 transcript:ENSMUST00000063168.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr780 description:olfactory receptor 780 [Source:MGI Symbol;Acc:MGI:3030614] MRNHTSITTFILLGLTDDPQLQVLLFIFLFITYLLSVTGNLTIITLTTVDPYLKTPMYFF LQNFSFLEISFTSACVPRFLYSISTGDRTITYNACATQLFFTDLFGVTEFFLLAIMSYDR YVAICKPLHYMTIMNNKVCRIMVISCWMAAFMIILPPLSLGFHLEFCDSNIIDHFGCDAN PILKISCSDTWLIEQMVIGSAVLTFIITLLCVVFSYMYIIRTVLKFPSAQQKRKAFSTCS SHMIVVSITYGSCIFIYVKPSAKEAVTINKGVSVLISSISPMLNPFIYTLRNKQVKQASQ DLIKKIAFLLKK >ENSMUSP00000111225.1 pep:known chromosome:GRCm38:X:8843994:8849607:1 gene:ENSMUSG00000079699.2 transcript:ENSMUST00000115563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6592 description:predicted gene 6592 [Source:MGI Symbol;Acc:MGI:3647394] METVISCEKIPMEVLHKPKNICKAFQDISTYFSGEEWGKLTEWQKSAYMYMKRNYTRMTG VTVNQPVFMSGKEQAKQSLVKGIEVHDSEDECFEESFGVTPRKRMKLTSVTISIHNVEGS LASGENDSNLAETDGIQVNVWSHRLRERKYRVIYSEISDPEEEEDDDY >ENSMUSP00000065447.7 pep:known chromosome:GRCm38:4:62559847:62659840:1 gene:ENSMUSG00000059810.18 transcript:ENSMUST00000065870.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs3 description:regulator of G-protein signaling 3 [Source:MGI Symbol;Acc:MGI:1354734] MERPHQDASLSKKDACTQTYPPRRRIRHAQVQDAGQLKLSIDAQDRVLLLHIIEGKGLMS REPGICDPYVKVSLIPEDSQLPCQTTQIIPDCRDPAFHEHFFFPVPEEGDQKRLLVTVWN RASETRQHTLIGCMSFGVRSLLTPDKEISGWYYLLGEDLGRTKHLKVARRRLQPLRDMLL RMPGEGDPENGEKLQITIRRGKDGFGFTICCDSPVRVQAVDSGGPAERAGLQQLDTVLQL NERPVEHWKCVELAHEIRSCPSEIILLVWRVVPQIKPGPDGGVLRRASCKSTHDLLSPPN KREKNCTHGAPVRPEQRHSCHLVCDSSDGLLLGGWERYTEVGKRSGQHTLPALSRTTTPT DPNYIILAPLNPGSQLLRPVYQEDTIPEEPGTTTKGKSYTGLGKKSRLMKTVQTMKGHSN YQDCSALRPHIPHSSYGTYVTLAPKVLVFPVFVQPLDLCNPARTLLLSEELLLYEGRNKT SQVTLFAYSDLLLFTKEEEPGRCDVLRNPLYLQSVKLQEGSSEDLKFCVLYLAEKAECLF TLEAHSQEQKKRVCWCLSENIAKQQQLAAPPTERKKLHPYGSLQQEMGPVTSISATQDRS FTSSGQTLIG >ENSMUSP00000095639.3 pep:known chromosome:GRCm38:4:62583601:62657439:1 gene:ENSMUSG00000059810.18 transcript:ENSMUST00000098031.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs3 description:regulator of G-protein signaling 3 [Source:MGI Symbol;Acc:MGI:1354734] MERSLHRVSLGSRRAHPNLSFYLTTFGQLKLSIDAQDRVLLLHIIEGKGLMSREPGICDP YVKVSLIPEDSQLPCQTTQIIPDCRDPAFHEHFFFPVPEEGDQKRLLVTVWNRASETRQH TLIGCMSFGVRSLLTPDKEISGWYYLLGEDLGRTKHLKVARRRLQPLRDMLLRMPGEGDP ENGEKLQITIRRGKDGFGFTICCDSPVRVQAVDSGGPAERAGLQQLDTVLQLNERPVEHW KCVELAHEIRSCPSEIILLVWRVVPQIKPGPDGGVLRRASCKSTHDLLSPPNKREKNCTH GAPVRPEQRHSCHLVCDSSDGLLLGGWERYTEVGKRSGQHTLPALSRTTTPTDPNYIILA PLNPGSQLLRPVYQEDTIPEEPGTTTKGKSYTGLGKKSRLMKTVQTMKGHSNYQDCSALR PHIPHSSYGTYVTLAPKVLVFPVFVQPLDLCNPARTLLLSEELLLYEGRNKTSQVTLFAY SDLLLFTKEEEPGRCDVLRNPLYLQSVKLQEGSSEDLKFCVLYLAEKAECLFTLEAHSQE QKKRVCWCLSENIAKQQQLAAPPTERKKLHPYGSLQQEMGPVTSISATQDRSFTSSGQTL IG >ENSMUSP00000081569.4 pep:known chromosome:GRCm38:4:62619520:62703018:1 gene:ENSMUSG00000059810.18 transcript:ENSMUST00000084521.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs3 description:regulator of G-protein signaling 3 [Source:MGI Symbol;Acc:MGI:1354734] MNRFNGLCKVCSERRYRQITIRRGKDGFGFTICCDSPVRVQAVDSGGPAERAGLQQLDTV LQLNERPVEHWKCVELAHEIRSCPSEIILLVWRVVPQIKPGPDGGVLRRASCKSTHDLLS PPNKREKNCTHGAPVRPEQRHSCHLVCDSSDGLLLGGWERYTEVGKRSGQHTLPALSRTT TPTDPNYIILAPLNPGSQLLRPVYQEDTIPEEPGTTTKGKSYTGLGKKSRLMKTVQTMKG HSNYQDCSALRPHIPHSSYGTYVTLAPKVLVFPVFVQPLDLCNPARTLLLSEELLLYEGR NKTSQVTLFAYSDLLLFTKEEEPGRCDVLRNPLYLQSVKLQEGSSEDLKFCVLYLAEKAE CLFTLEAHSQEQKKRVCWCLSENIAKQQQLAAPPTERKMFETEADEKEMPLVEGKGPGAE EPAPSKNPSPGQELPPGQDLPPSKDPSPSQELPAGQDLPPSKDPSPSQELPAGQDLPPSK DPSPSQELPVGQDLPPRKDSSGQEAAPGPESPSSEDIATCPKPPQSPETSTSKDSPPGQG SSPTTELPSCQGLPAGQESTSQDPLLSQEPPVIPESSASVQKRLPSQESPSSLGSLPEKD LAEQTISSGEPPVATGAVLPASRPNFVIPEVRLDNAYSQLDGAHGGSSGEDEDAEEGEEG GEGEEDEEDDTSDDNYGDRSEAKRSSLIETGQGAEGGFSLRVQNSLRRRTHSEGSLLQES RGPCFASDTTLHCSDGEGATSTWAIPSPRTLKKELGRNGGSMHHLSLFFTGHRKMSGTDL TECDEASRKRKSKNIAKDMKNKLAIFRRRNESPGAQPASKTDKTTKSFKPTSEEALKWSE SLEKLLLHKYGLEVFQAFLRTEFSEENLEFWLACEDFKKVKSQSKMAAKAKKIFAEFIAI QACKEVNLDSYTREHTKENLQSITRGCFDLAQKRIFGLMEKDSYPRFLRSDLYLDLINQK KMSPPL >ENSMUSP00000103047.1 pep:known chromosome:GRCm38:4:62619582:62659840:1 gene:ENSMUSG00000059810.18 transcript:ENSMUST00000107424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs3 description:regulator of G-protein signaling 3 [Source:MGI Symbol;Acc:MGI:1354734] MNRFNGLCKVCSERRYRQITIRRGKDGFGFTICCDSPVRVQAVDSGGPAERAGLQQLDTV LQLNERPVEHWKCVELAHEIRSCPSEIILLVWRVVPQIKPGPDGGVLRRASCKSTHDLLS PPNKREKNCTHGAPVRPEQRHSCHLVCDSSDGLLLGGWERYTEVGKRSGQHTLPALSRTT TPTDPNYIILAPLNPGSQLLRPVYQEDTIPEEPGTTTKGKSYTGLGKKSRLMKTVQTMKG HSNYQDCSALRPHIPHSSYGTYVTLAPKVLVFPVFVQPLDLCNPARTLLLSEELLLYEGR NKTSQVTLFAYSDLLLFTKEEEPGRCDVLRNPLYLQSVKLQEGSSEDLKFCVLYLAEKAE CLFTLEAHSQEQKKRVCWCLSENIAKQQQLAAPPTERKKLHPYGSLQQEMGPVTSISATQ DRSFTSSGQTLIG >ENSMUSP00000116041.1 pep:known chromosome:GRCm38:4:62663622:62689823:1 gene:ENSMUSG00000059810.18 transcript:ENSMUST00000126338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs3 description:regulator of G-protein signaling 3 [Source:MGI Symbol;Acc:MGI:1354734] MFETEADEKEMPLVEGKGPGAEEPAPSKNPSPGQELPPGQDLPPSKDPSPSQELPAGQDL PPSKDPSPSQELPAGQDLPPSKDPSPSQELPVGQDLPPRKDSSGQEAA >ENSMUSP00000115192.1 pep:known chromosome:GRCm38:4:62668892:62690282:1 gene:ENSMUSG00000059810.18 transcript:ENSMUST00000124082.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs3 description:regulator of G-protein signaling 3 [Source:MGI Symbol;Acc:MGI:1354734] MFETEADEKEMPLVEGKGPGAEEPAPSKNPSPGQELPPGQDLPPSKDPSPSQELPAGQDL PPSKDPSPSQELPAGQDLPPSKDPSPSQELPVGQDLPPRKDSSGQEAAPGPESPSSEDIA TCPKPPQSPETSTSKDSPPGQGSSPTTELPSCQGLPAGQESTSQDPLLSQEPPVIPESSA SVQKRLPSQESPSSLGSLPEKDLAEQTISSGEPPVATGAVLPASRPNFVIPEVRLDNAYS QLDGAHGGSSGEDEDAEEGEE >ENSMUSP00000103043.1 pep:known chromosome:GRCm38:4:62674039:62703018:1 gene:ENSMUSG00000059810.18 transcript:ENSMUST00000107420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs3 description:regulator of G-protein signaling 3 [Source:MGI Symbol;Acc:MGI:1354734] MFETEADEKEMPLVEGKGPGAEEPAPSKNPSPGQELPPGQDLPPSKDPSPSQELPAGQDL PPSKDPSPSQELPAGQDLPPSKDPSPSQELPVGQDLPPRKDSSGQEAAPGPESPSSEDIA TCPKPPQSPETSTSKDSPPGQGSSPTTELPSCQGLPAGQESTSQDPLLSQEPPVIPESSA SVQKRLPSQESPSSLGSLPEKDLAEQTISSGEPPVATGAVLPASRPNFVIPEVRLDNAYS QLDGAHGGSSGEDEDAEEGEEGGEGEEDEEDDTSDDNYGDRSEAKRSSLIETGQGAEGGF SLRVQNSLRRRTHSEGSLLQESRGPCFASDTTLHCSDGEGATSTWAIPSPRTLKKELGRN GGSMHHLSLFFTGHRKMSGTDLTECDEASRKRKSKNIAKDMKNKLAIFRRRNESPGAQPA SKTDKTTKSFKPTSEEALKWSESLEKLLLHKYGLEVFQAFLRTEFSEENLEFWLACEDFK KVKSQSKMAAKAKKIFAEFIAIQACKEVNLDSYTREHTKENLQSITRGCFDLAQKRIFGL MEKDSYPRFLRSDLYLDLINQKKMSPPL >ENSMUSP00000123310.1 pep:known chromosome:GRCm38:4:62700695:62704001:1 gene:ENSMUSG00000059810.18 transcript:ENSMUST00000153875.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs3 description:regulator of G-protein signaling 3 [Source:MGI Symbol;Acc:MGI:1354734] XVLRAHPGPNGLEVFQAFLRTEFSEENLEFWLACEDFKKVKSQSKMAAKAKKIFAEFIAI QACKEVNLDSYTREHTKENLQSITRGCFDLAQKRIFGLMEKDSYPRFLRSDLYLDLINQK KMSPPL >ENSMUSP00000129858.1 pep:known chromosome:GRCm38:7:22871224:22872147:1 gene:ENSMUSG00000094931.1 transcript:ENSMUST00000171745.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r160 description:vomeronasal 1 receptor 160 [Source:MGI Symbol;Acc:MGI:3779564] MSAHGKSLKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILIHMAV ANALTLFLTIFPNNMSAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHQFVTLVP VNRGKGKLILRASIPNLWNYSCYNCWFYSVLSNIHIPIKVTGPQITDNNTDSKSKLFCST SGFIVGMVFLQFAYDATFMIIMVWTSVSMVLLLHRHRQRMQHILTPNQNPRGQAETRATR TILMLVVTFVSFYLLNFICIIFHAFSIHSHLFIRLVSVVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000129165.1 pep:known chromosome:GRCm38:14:62292589:62353558:1 gene:ENSMUSG00000021932.13 transcript:ENSMUST00000166879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2b description:ribonuclease H2, subunit B [Source:MGI Symbol;Acc:MGI:1914403] MCLQQLFEIKVFKEKHHSWFINQSVQSGGLLHFATPMDPLFLLLHYLLKAGKEGKYQPLD QVVVD >ENSMUSP00000022499.6 pep:known chromosome:GRCm38:14:62332068:62372992:1 gene:ENSMUSG00000021932.13 transcript:ENSMUST00000022499.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2b description:ribonuclease H2, subunit B [Source:MGI Symbol;Acc:MGI:1914403] MAGGRDRGDLAARQLVFLLPEHLKDASKKKKKSSLLFVKLANPHSGEGATYLIDMCLQQL FEIKVFKEKHHSWFINQSVQSGGLLHFATPMDPLFLLLHYLLKAGKEGKYQPLDQVVVDD TFPDCTLLLRFPELEKSLRHVTEEKEVNSKKYYKYSSEKTLKWLEKKVNQTVVALKANNV NVGARVQSSAYFSGGQVSRDKEEDYVRYAHGLISDYIPKELSDDLSKFLKLPEPPASLTN PPSKKLKLSDEPVEAKEDYTKFNTKDLKTGKKNSKMTAAQKALAKVDKSGMKSIDAFFGA KNKKTGKI >ENSMUSP00000132267.1 pep:known chromosome:GRCm38:14:62332125:62364100:1 gene:ENSMUSG00000021932.13 transcript:ENSMUST00000169728.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnaseh2b description:ribonuclease H2, subunit B [Source:MGI Symbol;Acc:MGI:1914403] GRDRGDLAARQLVFLLPEHLKDASKKKKKSSLLFVKLANPHSAV >ENSMUSP00000111223.1 pep:known chromosome:GRCm38:X:8874253:8880750:1 gene:ENSMUSG00000079697.1 transcript:ENSMUST00000115561.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5751 description:predicted gene 5751 [Source:MGI Symbol;Acc:MGI:3642927] AFQDISTYFSDEEWGKLTQWQKSAYVYMKRNYIRMTDLGVTVNQPVFMRGKEQDKQSLVE GIEDHDSEDECFEGSFGVTLRKRMKLTSVTISIHNVEGSLASGENDSDVAETGGIQVNVW SHRLRERKYRVIYEEISDPEEEEDDDY >ENSMUSP00000082975.4 pep:known chromosome:GRCm38:7:29221926:29232515:-1 gene:ENSMUSG00000046410.10 transcript:ENSMUST00000085818.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk6 description:potassium inwardly-rectifying channel, subfamily K, member 6 [Source:MGI Symbol;Acc:MGI:1891291] MRRGALLASALAAYAGYLALGALLVARLERPHEARLRAELGTLREQLLQHSPCVAAHALD AFVERVLAAGRLGRAALANASGAANASDPAWDFASALFFASTLVTTVGYGYTTPLTDAGK AFSIVFALLGVPITMLLLTASAQRLSLLLTHAPLSWLSLHWGWPPQRAARWHLVALLMVI VAIFFLVPAAVFAYLEEAWSFLDAFYFCFISLSTIGLGDYVPGEAPGQPYRALYKVLVTA YLFLGLVAMVLVLQTFRRVSDLHGLTELILLPDPDPASLSQDEDDQVAVLDARTDLHQHL SAASHADYASIPR >ENSMUSP00000019117.2 pep:known chromosome:GRCm38:11:96365752:96368256:1 gene:ENSMUSG00000018973.2 transcript:ENSMUST00000019117.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxb1 description:homeobox B1 [Source:MGI Symbol;Acc:MGI:96182] MDYNRMSSFLEYPLCNRGPSAYSAPTSFPPCSAPAVDSYAGESRYGGGLPSSALQQNSGY PVQQPPSSLGVSFPSPAPSGYAPAACNPSYGPSQYYSVGQSEGDGSYFHPSSYGAQLGGL PDSYGAGGVGSGPYPPPQPPYGTEQTATFASAYDLLSEDKESPCSSEPSTLTPRTFDWMK VKRNPPKTAKVSELGLGAPGGLRTNFTTRQLTELEKEFHFNKYLSRARRVEIAATLELNE TQVKIWFQNRRMKQKKREREGGRMPAGPPGCPKEAAGDASDQSACTSPEASPSSITS >ENSMUSP00000097531.2 pep:known chromosome:GRCm38:2:83984743:83987235:1 gene:ENSMUSG00000095824.1 transcript:ENSMUST00000099948.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13697 description:predicted gene 13697 [Source:MGI Symbol;Acc:MGI:3702070] MKSSVAHMKQSSGHNRRETHSSYCRSSSPEDRYTEQERFPRDRDYSDYSRSDYERSRRGY SYDDSMESRSRDREKRRERERDADHRKRPWKSPSPDRSPARGIRQSSPQEEPTWKKKKDE LDPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISII IQELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNF RKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECG LKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVE EDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGS SEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHK LLKMEFAESQTKELCNMILDCCAQQRTYENFFGLLAGRFCMLEKEYMESFESIFKEQYDT IHRLETNKLRNVAKVFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMG LPKLNARLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIV AQKPEAEQKKTALTSSSSESSSASDSSDSDSDSSESSSESSSEASDSSSSSSTQSSTSAK GTRKKRQGKARGEEVDKLARGHQALERRQGGREDQRHQEGRTERARSERHRAQNSRDADW RDSLAKHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKKQREKRFFL >ENSMUSP00000135969.1 pep:known chromosome:GRCm38:2:83985448:83986234:1 gene:ENSMUSG00000095824.1 transcript:ENSMUST00000179552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13697 description:predicted gene 13697 [Source:MGI Symbol;Acc:MGI:3702070] LILNFRKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGF LKECGLKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEG LDLVEEDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTD QGAGSSEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSTKQKN SAT >ENSMUSP00000126628.1 pep:known chromosome:GRCm38:X:50895180:50942710:-1 gene:ENSMUSG00000036131.12 transcript:ENSMUST00000164311.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Frmd7 description:FERM domain containing 7 [Source:MGI Symbol;Acc:MGI:2686379] MLHLKVQFLDDSQKIFVVDQKSSGKALFNLSCGHLNLAEKEYFGLEFCSHSGNNVWLELL KPITKQVKSKYGRSLKGWGIFLLFK >ENSMUSP00000057103.5 pep:known chromosome:GRCm38:X:50895180:50942710:-1 gene:ENSMUSG00000036131.12 transcript:ENSMUST00000060650.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd7 description:FERM domain containing 7 [Source:MGI Symbol;Acc:MGI:2686379] MLHLKVQFLDDSQKIFVVDQKSSGKALFNLSCGHLNLAEKEYFGLEFCSHSGNNVWLELL KPITKQVKNPKEVVFKFMVKFFPVDPGHLREELTRYLFTLQIKKDLALGRLPCSDNCTAL MVSHILQSELGDFHEETVRKHLVQTQYLPSQASLESKIMQFHQQHIGRSPAESDILLLDI ARKLDMYGIRPQPASDGEGMQIHLAVAHMGVLVLRGNTKINTFNWAKIRKLSFKRKHFLI KLHANILVLCKDTLEFTMASRDACKAFWKTCVEYHAFFRLSEEPKSKPKTLLCSKGSSFR YSGRTQRQLLEYGKKGRLKSLPFERKQYPSQYHERQCRSSPDILSDVSKQVEDLRLTYGS SYYRNVNGVHASESMLDSRRRNSAVEVTFAAELEHSKPEAEATSLHPSQSSSSFTFIYAD PVFNTDPEPIEFFEERSPLSSFQTTSKFADSHTSKASPARQLTYTDVPYIPCTSQKVDIM PPQVFFYVDKPPQVPRRSLIMAEENMRPDSYVDHSAIKPAKRSPRNMRIKSLQQDLQELQ EAMARTSGRSNINVEPEEEDPHLDDAFAYNLQEQTPKRSQSQSDMKTIRFPFGSEFRPLG PCPALTRKTDLFACTFAEQEFPTVLIDQSSAERYVASESSDSESEIIKPDYYFLYGKGTK SPRARIRLSSGSLQLEEEDETISFATPGAEDRTLLKPCNYFLA >ENSMUSP00000017255.3 pep:known chromosome:GRCm38:11:99279959:99285262:-1 gene:ENSMUSG00000020913.3 transcript:ENSMUST00000017255.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt24 description:keratin 24 [Source:MGI Symbol;Acc:MGI:1922956] MFCSAQKGSCSSRVSSSGAVGSRGCTGGSSFGGGSSCGLGGGSAWGFQGSSNSWSLSGGS KGSMGGGFGSCSVRGGFGAASSYGGGSGFGGSSGFGGGSGFGGGSGFGGGSSGGFSSYGG SMGCGLGGVSGYDGGLLSGSEKQTMQDLNDRLANYLDKVRALEEANTDLECKIKDWYGKH GSVKGGSGRDYSQYYSIIEDLKKQILSATCENARMTLQIDNARLAADDFRMKYEHELCLR ECLEADINGLRKVLDEMTMTRCDLEMQIEGLTEELVFLRKNHEEEMKCMQGSSGGDVTVE MNAAPGVDLTKLLNDMRAQYEAMAEQNRQEAERQFNERSASLQAQISSDAGEANCARSEV MELKRTVQTLEIELQSQLALKCSLEGTLADTEAGYVAQLSGIQAQISSLEEQLSQIRAET QCQSAEYECLLNIKTRLEQEIETYRRLLNGDGGGCDYRNLVSKNVVLSDSGSCAGQGKDP SKTRVTKTIIEEVVDGRVVSSQVSNISEVKIK >ENSMUSP00000036039.5 pep:known chromosome:GRCm38:11:94211454:94215495:1 gene:ENSMUSG00000037573.5 transcript:ENSMUST00000041589.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tob1 description:transducer of ErbB-2.1 [Source:MGI Symbol;Acc:MGI:1349721] MQLEIQVALNFIISYLYNKLPRRRVNIFGEELERLLKKKYEGHWYPEKPYKGSGFRCIHV GEKVDPVIEQASKESGLDIDDVRGNLPQDLSVWIDPFEVSYQIGEKGPVKVLYVDDSSET GCELDKEIKNSFNPEAQVFMPISDPASSVSSSPSPPFGHSAAVSPTFMPRSTQPLTFTTA TFAATKFGSTKMKNSGRSSKVARTSPINLGLTVNVNDLLKQKAISSSVHSLYGLGLGSQQ QPQPQPQQQQQQQPSSSQPPPPLPQQQQQQPQQQQQQQQQTSALSPNAKEFIFPNMQGQG SSTNGMFPGDSPLNLSPLQYSNAFDVFAAYGGLNEKSFVDGLNFSLNNMQYSNQQFQPVM AN >ENSMUSP00000121044.1 pep:known chromosome:GRCm38:10:28972288:28985384:-1 gene:ENSMUSG00000015519.12 transcript:ENSMUST00000140558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310057J18Rik description:RIKEN cDNA 2310057J18 gene [Source:MGI Symbol;Acc:MGI:1914969] MTDCGNELNESLCVSVDSWWADINYYLSVIPFLAAVDSGITGISPNQITILPPPKDQMRF CYNVSDCQSAVPGTMNRWRDFFQYMQLNSSDFDGLLNYLWEAHASSLDYPTSAFGDRYNF YSEKEANFEENWAIAVNYLAAARLPTTQNRTYSFQRGLPPRVLVDTDIAPFIPDFTPLQN EVLVSLKLLGDTDRNSGSLSLTLWENLMSTKLARTLFLKAFEEFLATSS >ENSMUSP00000118049.1 pep:known chromosome:GRCm38:10:28972364:28986293:-1 gene:ENSMUSG00000015519.12 transcript:ENSMUST00000152363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310057J18Rik description:RIKEN cDNA 2310057J18 gene [Source:MGI Symbol;Acc:MGI:1914969] MAVLASWVWVLAGCFCAAVAEVSDSSDPYPPLWEDSPEQLSDYMMEDGNYIINPWVYTDR MGMYRILLEETAMYFAKYGPENEQNLLWGLPLQFGWQYQSGRLADPTGMTDCGNELNESL CVSVDSWWADINYYLSVIPFLAAVDSGITGISPNQITILPPPKDQMRFCYNVSDCQSAVP GTMNRWRDFFQYMQLNSSDFDGLLNYLWEAHASSLDYPTSAFGDRTKSWYHSNFLVILTE IQDHYL >ENSMUSP00000015663.6 pep:known chromosome:GRCm38:10:28972366:28986303:-1 gene:ENSMUSG00000015519.12 transcript:ENSMUST00000015663.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310057J18Rik description:RIKEN cDNA 2310057J18 gene [Source:MGI Symbol;Acc:MGI:1914969] MAVLASWVWVLAGCFCAAVAEVSDSSDPYPPLWEDSPEQLSDYMMEDGNYIINPWVYTDR MGMYRILLEETAMYFAKYGPENEQNLLWGLPLQFGWQYQSGRLADPTGMTDCGNELNESL CVSVDSWWADINYYLSVIPFLAAVDSGITGISPNQITILPPPKDQMRFCYNVSDCQSAVP GTMNRWRDFFQYMQLNSSDFDGLLNYLWEAHASSLDYPTSAFGDRYNFYSEKEANFEENW AIAVNYLAAARLPTTQNRTYSFQRGLPPRVLVDTDIAPFIPDFTPLQNEVLVSLKLLGDT DRNSGSLSLTLWENLMSTKLARTLFLKAFEEFLATSS >ENSMUSP00000139085.1 pep:known chromosome:GRCm38:14:69363504:69503320:-1 gene:ENSMUSG00000093954.8 transcript:ENSMUST00000183917.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm16867 description:predicted gene, 16867 [Source:MGI Symbol;Acc:MGI:4439791] MELRRGGVGNQAAGRRMDGDCRDGGCGSKDAGSEDYENLPTSASVSTHMTAGAMAGILEH SIMYPVDSVKLVVGTEVL >ENSMUSP00000138904.1 pep:known chromosome:GRCm38:14:69363914:69503226:-1 gene:ENSMUSG00000093954.8 transcript:ENSMUST00000184816.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm16867 description:predicted gene, 16867 [Source:MGI Symbol;Acc:MGI:4439791] MELRRGGVGNQAAGRRMDGDCRDGGCGSKDAGSEDYENLPTSASVSTHMTAGAMAGILEH SIMYPVDSVKGHCSASLNLKFINSARMVGPTASWMGLSSLPNAQLVVGTEVL >ENSMUSP00000138883.1 pep:known chromosome:GRCm38:14:69365102:69503236:-1 gene:ENSMUSG00000093954.8 transcript:ENSMUST00000183695.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm16867 description:predicted gene, 16867 [Source:MGI Symbol;Acc:MGI:4439791] MELRRGGVGNQAAGRRMDGDCRDGGCGSKDAGSEDYENLPTSASVSTHMTAGAMAGILEH SIMYPVDSVKGHCSASLNLKFINSARMVGPTASWMGLSSLPNAQLVVGTEVL >ENSMUSP00000138845.1 pep:known chromosome:GRCm38:14:69373826:69503362:-1 gene:ENSMUSG00000093954.8 transcript:ENSMUST00000183840.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm16867 description:predicted gene, 16867 [Source:MGI Symbol;Acc:MGI:4439791] MELRRGGVGNQAAGRRMDGDCRDGGCGSKDAGSEDYENLPTSASVSTHMTAGAMAGILEH SIMYPVDSVKGHCSASLNLKFINSARMVGPTASWMGLSSLPNAQDIGK >ENSMUSP00000135940.1 pep:known chromosome:GRCm38:14:69462309:69503362:-1 gene:ENSMUSG00000093954.8 transcript:ENSMUST00000179116.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16867 description:predicted gene, 16867 [Source:MGI Symbol;Acc:MGI:4439791] MELRRGGVGNQAAGRRMDGDCRDGGCGSKDAGSEDYENLPTSASVSTHMTAGAMAGILEH SIMYPVDSVKMDYLSPEKRIY >ENSMUSP00000137543.2 pep:known chromosome:GRCm38:14:69488949:69503232:-1 gene:ENSMUSG00000093954.8 transcript:ENSMUST00000180059.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16867 description:predicted gene, 16867 [Source:MGI Symbol;Acc:MGI:4439791] MELRRGGVGNQAAGRRMDGDCRDGGCGSKDAGSEDYENLPTSASVSTHMTAGAMAGILEH SIMYPVDSVKVPSPLGLLTSLSSWCLLVFDIKNRRLSDPC >ENSMUSP00000022901.9 pep:known chromosome:GRCm38:15:37923952:37961103:-1 gene:ENSMUSG00000022292.15 transcript:ENSMUST00000022901.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrm2b description:ribonucleotide reductase M2 B (TP53 inducible) [Source:MGI Symbol;Acc:MGI:2155865] MGDPERPEAARPEKGEQLCSETEENVVRSNEEPLLRKSSRRFVIFPIQYPDIWRMYKQAQ ASFWTAEEVDLSKDLPHWNKLKSDEKYFISHILAFFAASDGIVNENLVERFSQEVQVPEA RCFYGFQILIENVHSEMYSLLIDTYIRDPKKREFLFNAIETMPYVKKKADWALRWIADRK STFGERVVAFAAVEGIFFSGSFAAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLMFQ YLVNKPSEDRVREIIADAVQIEQEFLTEALPVGLIGMNCVLMKQYIEFVADRLLGELGFS KIFQAENPFDFMENISLEGKTNFFEKRVSEYQRFAVMAETTDNVFTLDADF >ENSMUSP00000119400.1 pep:known chromosome:GRCm38:15:37927245:37960891:-1 gene:ENSMUSG00000022292.15 transcript:ENSMUST00000137636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrm2b description:ribonucleotide reductase M2 B (TP53 inducible) [Source:MGI Symbol;Acc:MGI:2155865] MGDPERPEAARPEKGEVDLSKDLPHWNKLKSDEKYFISHILAFFAASDGIVNENLVERFS QEVQVPEARCFYGFQILIENVHSEMYSLLIDTYIRDPKKREFLFNAIETMPYVKKKADWA LRWIADRKSTFGERVVAFAAVEGIFFSGSFAAIFWLKKRGLMPGLTFSNELISRDEGLHC DFACLMFQYLVNKPSEDRVREIIADAVQIEQEFLTEALPVGLIGMNCVLMKQYIEFVADR LLGELGFSKIFQAENPFDFMENISLEGKTNFFEKRVSEYQRFAVMAETTDNVFTLDADF >ENSMUSP00000123691.1 pep:known chromosome:GRCm38:15:37927245:37960891:-1 gene:ENSMUSG00000022292.15 transcript:ENSMUST00000146821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrm2b description:ribonucleotide reductase M2 B (TP53 inducible) [Source:MGI Symbol;Acc:MGI:2155865] MGDPERPEAARPEKGEGLHCDFACLMFQYLVNKPSEDRVREIIADAVQIEQEFLTEALPV GLIGMNCVLMKQYIEFVADRLLGELGFSKIFQAENPFDFMENISLEGKTNFFEKRVSEYQ RFAVMAETTDNVFTLDADF >ENSMUSP00000121188.1 pep:known chromosome:GRCm38:15:37927245:37960891:-1 gene:ENSMUSG00000022292.15 transcript:ENSMUST00000153481.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rrm2b description:ribonucleotide reductase M2 B (TP53 inducible) [Source:MGI Symbol;Acc:MGI:2155865] MGDPERPEAARPEKGEQLCSETEENVVRSNEEPLLRKSSRRSF >ENSMUSP00000120215.1 pep:known chromosome:GRCm38:15:37946752:37961318:-1 gene:ENSMUSG00000022292.15 transcript:ENSMUST00000145155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrm2b description:ribonucleotide reductase M2 B (TP53 inducible) [Source:MGI Symbol;Acc:MGI:2155865] MYKQAQASFWTAEEVDLSKDLPHWNKLKSDEKYFISHILAFFAASDG >ENSMUSP00000114343.2 pep:known chromosome:GRCm38:15:37945153:37960849:-1 gene:ENSMUSG00000022292.15 transcript:ENSMUST00000145175.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrm2b description:ribonucleotide reductase M2 B (TP53 inducible) [Source:MGI Symbol;Acc:MGI:2155865] MQQLCSETEENVVRSNEEPLLRKSSRRFVIFPIQYPDIWRMYKQAQASFWTAEEVDLSKD LPHWNKLKSDEKYFISHILAFFAASDGIVNENLVERFSQ >ENSMUSP00000121069.1 pep:known chromosome:GRCm38:15:37931637:37960929:-1 gene:ENSMUSG00000022292.15 transcript:ENSMUST00000144498.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rrm2b description:ribonucleotide reductase M2 B (TP53 inducible) [Source:MGI Symbol;Acc:MGI:2155865] MGDPERPEAARPEKGEQLCSETEENVVRSNEEPLLRKSSRRFVIFPIQYPDIWRMYKQAQ ASFWTAEEVDLSKDLPHWNKLKSDEKYFISHILAFFAASDGIVNENLGIFI >ENSMUSP00000079579.4 pep:known chromosome:GRCm38:13:27121701:27127546:1 gene:ENSMUSG00000062737.12 transcript:ENSMUST00000080755.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl3d2 description:prolactin family 3, subfamily d, member 1 [Source:MGI Symbol;Acc:MGI:2660935] MQLTLTLSGSAGMQLLLLVSSLLLWENVSSKPTAMVPTDDLYTRLAELSHNTFILAADVY REFDLDFFDKTWITDRTLPLCHTASIHTPENREEVHEIKTEDLLKAMINVSISWKEPLKH LVSALTALPGASESMGKKAADIKGRNLIILEGLQTIYNRTQANIEENENFDYPAWTGLEE LQSPNEDTHLFAVYNLCRCIKRDIHKIDSYIKVLRCRVVFQNEC >ENSMUSP00000130458.2 pep:known chromosome:GRCm38:13:27121742:27127482:1 gene:ENSMUSG00000062737.12 transcript:ENSMUST00000164964.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl3d2 description:prolactin family 3, subfamily d, member 1 [Source:MGI Symbol;Acc:MGI:2660935] MQLTLTLSGSGMQLLLLVSSLLLWENVSSKPTAMVPTDDLYTRLAELSHNTFILAADVYR EFDLDFFDKTWITDRTLPLCHTASIHTPENREEVHEIKTEDLLKAMINVSISWKEPLKHL VSALTALPGASESMGKKAADIKGRNLIILEGLQTIYNRTQANIEENENFDYPAWTGLEEL QSPNEDTHLFAVYNLCRCIKRDIHKIDSYIKVLRCRVVFQNEC >ENSMUSP00000134516.1 pep:known chromosome:GRCm38:7:22983714:22984673:-1 gene:ENSMUSG00000092297.1 transcript:ENSMUST00000174451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4214 description:predicted gene 4214 [Source:MGI Symbol;Acc:MGI:3782390] MSVRGKSLKTTEEVALQIILLCQFGVGTMANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTVFPNNMMAFAPRNPPTELKCKLKFFSHLVTRSTNLCSTCVLSIHQFVILVP ISRGKLLLRASVPNLVSYSCYGCWFFSVLSNIHIPIKVTGPQITDNNTDSKSKFFCSTSG FTVRIVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQQMQHILTPNQDARGQAESRATQTI LIVVVTFVSFYLLNFICIIFHTFFIHYNLFIRLLGEILAAGFPTISPLLLIFRDPKNPCL ELFHCFKPESLQNL >ENSMUSP00000138382.1 pep:known chromosome:GRCm38:15:89089084:89098180:1 gene:ENSMUSG00000035757.16 transcript:ENSMUST00000130700.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Selo description:selenoprotein O [Source:MGI Symbol;Acc:MGI:1919007] MASVRAAVGASLAVARTRPRCVGLALPSSAPRSAWAAAMEPTPRWLAGLRFDNRALRELP VETPPPGPEDSLATPRPVPGACFSRARPAPLRRPRLVALSEPALALLGLEASEEAEVEAE AALFFSGNALLPGTEPAAHCYCGHQFGQFAGQLGDGAAMYLGEVCTAAGERWELQLKGAG PTPFSRQADGRKVLRSSIREFLCSEAMFHLGIPTTRAGACVTSESTVMRDVFYDDSAPLK FLSLLMSTQGVQAPAWDEMISESNCLTMSSALSTLRSRLPIPVTRTTYRGTRPFSER >ENSMUSP00000081020.4 pep:known chromosome:GRCm38:15:89089084:89100340:1 gene:ENSMUSG00000035757.16 transcript:ENSMUST00000082439.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selo description:selenoprotein O [Source:MGI Symbol;Acc:MGI:1919007] MASVRAAVGASLAVARTRPRCVGLALPSSAPRSAWAAAMEPTPRWLAGLRFDNRALRELP VETPPPGPEDSLATPRPVPGACFSRARPAPLRRPRLVALSEPALALLGLEASEEAEVEAE AALFFSGNALLPGTEPAAHCYCGHQFGQFAGQLGDGAAMYLGEVCTAAGERWELQLKGAG PTPFSRQADGRKVLRSSIREFLCSEAMFHLGIPTTRAGACVTSESTVMRDVFYDGNPKYE KCTVVLRIAPTFIRFGSFEIFKPPDEHTGRAGPSVGRDDIRVQLLDYVISSFYPEIQAAH TCDTDNIQRNAAFFREVTQRTARMVAEWQCVGFCHGVLNTDNMSIVGLTIDYGPFGFLDR YDPDHICNASDNAGRYTYSKQPQVCKWNLQKLAEALEPELPLALAEAILKEEFDTEFQRH YLQKMRKKLGLIRVEKEEDGTLVAKLLETMHLTGADFTNTFCVLSSFPADLSDSAEFLSR LTSQCASLEELRLAFRPQMDPRQLSMMLMLAQSNPQLFALIGTQANVTKELERVEHQSRL EQLSPSDLQRKNRDHWEAWLQEYRDRLDKEKEGVGDTAAWQAERVRVMRANNPKYVLRNY IAQKAIEAAENGDFSEVRRVLKLLESPYHSEEEATGPEAVARSTEEQSSYSNRPPLWAAE LCVTUSS >ENSMUSP00000134770.1 pep:known chromosome:GRCm38:10:62538626:62578440:-1 gene:ENSMUSG00000036955.16 transcript:ENSMUST00000159704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1bp description:KIF1 binding protein [Source:MGI Symbol;Acc:MGI:1919570] MANAPGPEIREKFQAALALSRVELHKNPEKEPYKSKYGARALLEEVRALLGPAPEDEDEP AADDGPGDQALGAGEPREAEGPGAQRALRLAVVEFHLGVNHIDTEELSAGEEHLVRCLSL LRPYRLSLGCVSLYIQAQNNLGILWSEREEIETARTYLESSEALYSQYMKEIGSPPLDPT EHFLPEEEKLTEQERSKRFEKVYTHNLYYLAQVYQHMEMFEKAAHYCHSTLKRQLEHNAY HPMEWAINAATLSQFYINKLCFMEARHCLSAANVIFGQTGKIPATEDTPEVEGDVPELYH QRKGEIARCWIKYCLTLMQNAQLSMQDNIGELDLDKQSELRALRKKELDEEESVRKRAVQ FGTGELCDAISAVEEKNCACLFSG >ENSMUSP00000125236.2 pep:known chromosome:GRCm38:10:62539655:62570415:-1 gene:ENSMUSG00000036955.16 transcript:ENSMUST00000162759.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1bp description:KIF1 binding protein [Source:MGI Symbol;Acc:MGI:1919570] QERSKRFEKVYTHNLYYLAQVYQHMEMFEKAAHYCHSTLKRQLEHNAYHPMEWAINAATL SQFYINKLCFMEARHCLSAANVIFGQTGKIPATEDTPEVEGDVPELYHQRKGEIARCWIK YCLTLMQNAQLSMQNCACLFSG >ENSMUSP00000065160.7 pep:known chromosome:GRCm38:10:62558470:62578457:-1 gene:ENSMUSG00000036955.16 transcript:ENSMUST00000065887.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1bp description:KIF1 binding protein [Source:MGI Symbol;Acc:MGI:1919570] MANAPGPEIREKFQAALALSRVELHKNPEKEPYKSKYGARALLEEVRALLGPAPEDEDEP AADDGPGDQALGAGEPREAEGPGAQRALRLAVVEFHLGVNHIDTEELSAGEEHLVRCLSL LRPYRLSLGCVSLYIQAQNNLGILWSEREEIETARTYLESSEALYSQYMKEIGSPPLDPT EHFLPEEEKLTEQERSKRFEKVYTHNLYYLAQVYQHMEMFEKAAHYCHSTLKRQLEHNAY HPMEWAINAATLSQFYINKLCFMEARHCLSAANVIFGQTGKIPATEDTPEVEGDVPELYH QRKGEIARCWIKYCLTLMQNAQLSMQDNIGELDLDKQSELRALRKKELDEEESVRKRAVQ FGTGELCDAISAVEEKVRYLRPLDFEEARELFLLGQHYVCEAKEFFQIDGYVTDHIEVVQ DHSALFKVLSFFEADMERRCKMHKRRIAMLEPLTVDLNPQYYLLVSRQIQFEIAHAYYDM MDLKVAIADKLRDPDSHIVKKINSLNKSALKYYQLFLDSLRDPNKVFPEHIGEDVLRPAM LAKFRVARLYGKIITADPKKELENLATSLEHYKFIVDYCETHPEAAQEIEVELELSKEMV SLLPTKMERFRAKMALT >ENSMUSP00000125162.1 pep:known chromosome:GRCm38:10:62558474:62578416:-1 gene:ENSMUSG00000036955.16 transcript:ENSMUST00000162525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1bp description:KIF1 binding protein [Source:MGI Symbol;Acc:MGI:1919570] MANAPGPEIREKFQAALALSRVELHKNPEKEPYKSKYGARALLEEVRALLGPAPEDEDEP AADDGPGDQALGAGEPREAEGPGAQRALRLAVVEFHLGVNHIDTEELSAGEEHLVRCLSL LRPYRLSLGCVSLYIQAQNNLGILWSEREEIETARTYLESSEALYSQYMKEIGSPPLDPT EHFLPEEEKLTEQERSKRFEKVYTHNLYYLAQVYQHMEMFEKAAHYCHSTLKRQLEHNAY HPMEWAINAATLSQFYINKLCFMEARHCLSAANVIFGQTGKIPATEDRQHRRA >ENSMUSP00000125070.2 pep:known chromosome:GRCm38:10:62558543:62566121:-1 gene:ENSMUSG00000036955.16 transcript:ENSMUST00000162648.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kif1bp description:KIF1 binding protein [Source:MGI Symbol;Acc:MGI:1919570] LCFMEARHCLSAANVIFGQTGKIPATEDNET >ENSMUSP00000131872.1 pep:known chromosome:GRCm38:7:23019006:23019923:-1 gene:ENSMUSG00000094532.1 transcript:ENSMUST00000168926.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4216 description:predicted gene 4216 [Source:MGI Symbol;Acc:MGI:3782392] MSDHGKSVKTTEEVALQLLLLCQFGVGTLANVFLFVHNFSPVLTGSKQRPRQVILSHMAM ANALTLFLTIFPNNMIAFAPKTPPTELKCKLEFFSHMVARSTNLCSTCVLSIHQFVTLVL VNRGKLILRASVPNFVSYSCYSCWFFSVLSNIHIPIKVTGPQITDNNTDSKSNLFCSTSG FIVGIVFLQFAYDATFMSIMVWTSVSMILLLHRHRQRMQHILTPNQNPRGQAETRATRTI LMLVVTFVSFYLLNFICIIFHTLLMHSHLFIRLVSEVLAAVFPSICPLLLIFRDPKDPCS VLFNC >ENSMUSP00000053238.3 pep:known chromosome:GRCm38:11:94235956:94242707:-1 gene:ENSMUSG00000044177.4 transcript:ENSMUST00000061469.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfikkn2 description:WAP, follistatin/kazal, immunoglobulin, kunitz and netrin domain containing 2 [Source:MGI Symbol;Acc:MGI:2669209] MCAPGYHRFWFHWGLLLLLLLEAPLRGLALPPIRYSHAGICPNDMNPNLWVDAQSTCKRE CETDQECETYEKCCPNVCGTKSCVAARYMDVKGKKGPVGMPKEATCDHFMCLQQGSECDI WDGQPVCKCKDRCEKEPSFTCASDGLTYYNRCFMDAEACSKGITLSVVTCRYHFTWPNTS PPPPETTVHPTTASPETLGLDMAAPALLNHPVHQSVTVGETVSFLCDVVGRPRPELTWEK QLEDRENVVMRPNHVRGNVVVTNIAQLVIYNVQPQDAGIYTCTARNVAGVLRADFPLSVV RGGQARATSESSLNGTAFPATECLKPPDSEDCGEEQTRWHFDAQANNCLTFTFGHCHHNL NHFETYEACMLACMSGPLAICSLPALQGPCKAYVPRWAYNSQTGLCQSFVYGGCEGNGNN FESREACEESCPFPRGNQHCRACKPRQKLVTSFCRSDFVILGRVSELTEEQDSGRALVTV DEVLKDEKMGLKFLGREPLEVTLLHVDWTCPCPNVTVGETPLIIMGEVDGGMAMLRPDSF VGASSTRRVRKLREVMYKKTCDVLKDFLGLQ >ENSMUSP00000102403.1 pep:known chromosome:GRCm38:7:105604463:105633508:-1 gene:ENSMUSG00000036989.15 transcript:ENSMUST00000106791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim3 description:tripartite motif-containing 3 [Source:MGI Symbol;Acc:MGI:1860040] MAKREDSPGPEVQPMDKQFLVCSICLDRYRCPKVLPCLHTFCERCLQNYIPPQSLTLSCP VCRQTSILPEQGVSALQNNFFISSLMEAMQQAPEGAHDPEDPHPLSAVAGRPLSCPNHEG KTMEFYCEACETAMCGECRAGEHREHGTVLLRDVVEQHKAALQRQLEAVRGRLPQLSAAI ALVGGISQQLQERKAEALAQISAAFEDLEQALQQRKQALVSDLESICGAKQKVLQTQLDT LRQGQEHIGSSCSFAEQALRLGSAPEVLLVRKHMRERLAALAAQAFPERPHENAQLELVL EVDGLRRSVLNLGALLTTSATAHETVATGEGLRQALVGQPASLTVTTKDKDGRLVRTGSA ELCAEITGPDGVRLAVPVVDHKNGTYELVYTARTEGDLLLSVLLYGQPVRGSPFRVRALR PGDLPPSPDDVKRRVKSPGGPGSHVRQKAVRRPSSMYSTGGKRKDNPIEDELVFRVGSRG REKGEFTNLQGVSAASSGRIVVADSNNQCIQVFSNEGQFKFRFGVRGRSPGQLQRPTGVA VDTNGDIIVADYDNRWVSIFSPEGKFKTKIGAGRLMGPKGVAVDRNGHIIVVDNKSCCVF TFQPNGKLVGRFGGRGATDRHFAGPHFVAVNNKNEIVVTDFHNHSVKVYSADGEFLFKFG SHGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVWRMESPRSRCWCFWCLVKMACS >ENSMUSP00000053384.7 pep:known chromosome:GRCm38:7:105610470:105633560:-1 gene:ENSMUSG00000036989.15 transcript:ENSMUST00000057525.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim3 description:tripartite motif-containing 3 [Source:MGI Symbol;Acc:MGI:1860040] MAKREDSPGPEVQPMDKQFLVCSICLDRYRCPKVLPCLHTFCERCLQNYIPPQSLTLSCP VCRQTSILPEQGVSALQNNFFISSLMEAMQQAPEGAHDPEDPHPLSAVAGRPLSCPNHEG KTMEFYCEACETAMCGECRAGEHREHGTVLLRDVVEQHKAALQRQLEAVRGRLPQLSAAI ALVGGISQQLQERKAEALAQISAAFEDLEQALQQRKQALVSDLESICGAKQKVLQTQLDT LRQGQEHIGSSCSFAEQALRLGSAPEVLLVRKHMRERLAALAAQAFPERPHENAQLELVL EVDGLRRSVLNLGALLTTSATAHETVATGEGLRQALVGQPASLTVTTKDKDGRLVRTGSA ELCAEITGPDGVRLAVPVVDHKNGTYELVYTARTEGDLLLSVLLYGQPVRGSPFRVRALR PGDLPPSPDDVKRRVKSPGGPGSHVRQKAVRRPSSMYSTGGKRKDNPIEDELVFRVGSRG REKGEFTNLQGVSAASSGRIVVADSNNQCIQVFSNEGQFKFRFGVRGRSPGQLQRPTGVA VDTNGDIIVADYDNRWVSIFSPEGKFKTKIGAGRLMGPKGVAVDRNGHIIVVDNKSCCVF TFQPNGKLVGRFGGRGATDRHFAGPHFVAVNNKNEIVVTDFHNHSVKVYSADGEFLFKFG SHGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDSSGSFLSYINTSAEPLYGPQGLA LTSDGHVVVADAGNHCFKAYRYLQ >ENSMUSP00000102401.1 pep:known chromosome:GRCm38:7:105610648:105633537:-1 gene:ENSMUSG00000036989.15 transcript:ENSMUST00000106789.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim3 description:tripartite motif-containing 3 [Source:MGI Symbol;Acc:MGI:1860040] MAKREDSPGPEVQPMDKQFLVCSICLDRYRCPKVLPCLHTFCERCLQNYIPPQSLTLSCP VCRQTSILPEQGVSALQNNFFISSLMEAMQQAPEGAHDPEDPHPLSAVAGRPLSCPNHEG KTMEFYCEACETAMCGECRAGEHREHGTVLLRDVVEQHKAALQRQLEAVRGRLPQLSAAI ALVGGISQQLQERKAEALAQISAAFEDLEQALQQRKQALVSDLESICGAKQKVLQTQLDT LRQGQEHIGSSCSFAEQALRLGSAPEVLLVRKHMRERLAALAAQAFPERPHENAQLELVL EVDGLRRSVLNLGALLTTSATAHETVATGEGLRQALVGQPASLTVTTKDKDGRLVRTGSA ELCAEITGPDGVRLAVPVVDHKNGTYELVYTARTEGDLLLSVLLYGQPVRGSPFRVRALR PGDLPPSPDDVKRRVKSPGGPGSHVRQKAVRRPSSMYSTGGKRKDNPIEDELVFRVGSRG REKGEFTNLQGVSAASSGRIVVADSNNQCIQVFSNEGQFKFRFGVRGRSPGQLQRPTGVA VDTNGDIIVADYDNRWVSIFSPEGKFKTKIGAGRLMGPKGVAVDRNGHIIVVDNKSCCVF TFQPNGKLVGRFGGRGATDRHFAGPHFVAVNNKNEIVVTDFHNHSVKVYSADGEFLFKFG SHGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDSSGSFLSYINTSAEPLYGPQGLA LTSDGHVVVADAGNHCFKAYRYLQ >ENSMUSP00000119910.1 pep:known chromosome:GRCm38:7:105618784:105633525:-1 gene:ENSMUSG00000036989.15 transcript:ENSMUST00000153371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim3 description:tripartite motif-containing 3 [Source:MGI Symbol;Acc:MGI:1860040] MAKREDSPGPEVQPMDKQFLVCSICLDRYRCPKVLPCLHTFCERCLQNYIPPQSLTLSCP VCRQTSILPEQGVSALQNNFFISSLMEAMQQAPEGAHDPEDPHPLSAVAGRPLSCPNHEG KEPLYHQTMEFYCEACETAMCGECRAGEHREHGTVLLRDVVEQHKAALQRQLEAVRGRLP QLSAAIALVGGISQQLQER >ENSMUSP00000114822.1 pep:known chromosome:GRCm38:7:105619383:105633485:-1 gene:ENSMUSG00000036989.15 transcript:ENSMUST00000147044.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim3 description:tripartite motif-containing 3 [Source:MGI Symbol;Acc:MGI:1860040] MAKREDSPGPEVQPMDKQFLVCSICLDRYRCPKVLPCLHTFCERCLQNYIPPQSLTLSCP VCRQTSILPEQGVSALQNNFFISSLMEAMQQAPEGAHDPEDPHPLSAVAGRPLSCPNHEG K >ENSMUSP00000033444.4 pep:known chromosome:GRCm38:X:50841047:50893097:1 gene:ENSMUSG00000031112.10 transcript:ENSMUST00000033444.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk26 description:serine/threonine kinase 26 [Source:MGI Symbol;Acc:MGI:1917665] MAHSPVAVQVPGMQNNIADPEELFTKLERIGKGSFGEVFKGIDNRTQQVVAIKIIDLEEA EDEIEDIQQEITVLSQCDSSYVTKYYGSYLKGSKLWIIMEYLGGGSALDLLRAGPFDEFQ IATMLKEILKGLDYLHSEKKIHRDIKAANVLLSEQGDVKLADFGVAGQLTDTQIKRNTFV GTPFWMAPEVIQQSAYDSKADIWSLGITAIELAKGEPPNSDMHPMRVLFLIPKNNPPTLI GDFTKSFKEFIDACLNKDPSFRPTAKELLKHKFIVKNSKKTSYLTELIDRFKRWKAEGHS DEESDSEGSDSESSSRESNPHPEWSFTTVRKKPDPKKLQNGEEQDLVQTLSCLSMIITPA FAELKQQDENNASRNQAIEELEKSIAVAETACPGITDKMVKKLIEKFQKCSADESP >ENSMUSP00000110537.2 pep:known chromosome:GRCm38:X:50841441:50893097:1 gene:ENSMUSG00000031112.10 transcript:ENSMUST00000114887.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk26 description:serine/threonine kinase 26 [Source:MGI Symbol;Acc:MGI:1917665] MAHSPVAVQVPGMQNNIADPEELFTKLERIGKGSFGEVFKGIDNRTQQVVAIKIIDLEEA EDEIEDIQQEITVLSQCDSSYVTKYYGSYLKGSKLWIIMEYLGGGSALDLLRAGPFDEFQ IATMLKEILKGLDYLHSEKKIHRDIKAANVLLSEQGDVKLADFGVAGQLTDTQIKRNTFV GTPFWMAPEVIQQSAYDSKADIWSLGITAIELAKGEPPNSDMHPMRVLFLIPKNNPPTLI GDFTKSFKEFIDACLNKDPSFRPTAKELLKHKFIVKNSKKTSYLTELIDRFKRWKAEGHS DEESDSEGSDSESSSRESNPHPEWSFTTVRKKPDPKKLQNGEQDENNASRNQAIEELEKS IAVAETACPGITDKMVKKLIEKFQKCSADESP >ENSMUSP00000136616.1 pep:known chromosome:GRCm38:7:100176679:100184869:1 gene:ENSMUSG00000035165.14 transcript:ENSMUST00000178946.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne3 description:potassium voltage-gated channel, Isk-related subfamily, gene 3 [Source:MGI Symbol;Acc:MGI:1891124] METSNGTETWYMSLHAVLKALNTTLHSHLLCRPGPGPGPDNQTEDRRASLPGRNDNSYMY ILFVMFLFAVTVGSLILGYTRSRKVDKRSDPYHVYIKNRVSMI >ENSMUSP00000146583.1 pep:known chromosome:GRCm38:7:100178688:100184863:1 gene:ENSMUSG00000035165.14 transcript:ENSMUST00000207358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne3 description:potassium voltage-gated channel, Isk-related subfamily, gene 3 [Source:MGI Symbol;Acc:MGI:1891124] METSNGTETWYMSLHAVLKALNTTLHSHLLCRPGPGPGPDNQTEDRRASLPGRNDNSYMY ILFVMFLFAVTVGSLILGYTRSRKVDKRSDPYHVYIKNRVSMI >ENSMUSP00000147151.1 pep:known chromosome:GRCm38:7:100178697:100184506:1 gene:ENSMUSG00000035165.14 transcript:ENSMUST00000207995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne3 description:potassium voltage-gated channel, Isk-related subfamily, gene 3 [Source:MGI Symbol;Acc:MGI:1891124] METSNGTETWYMSLHAVLKALNTTLHSHLLCRPGPGPGPDNQTEDRRASLPGRNDNSYMY ILFVMFLFAVTVGSLILGYTRSRKVDKRSDPYHVYIKNRVSMI >ENSMUSP00000039353.5 pep:known chromosome:GRCm38:7:100178697:100184864:1 gene:ENSMUSG00000035165.14 transcript:ENSMUST00000049333.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne3 description:potassium voltage-gated channel, Isk-related subfamily, gene 3 [Source:MGI Symbol;Acc:MGI:1891124] METSNGTETWYMSLHAVLKALNTTLHSHLLCRPGPGPGPDNQTEDRRASLPGRNDNSYMY ILFVMFLFAVTVGSLILGYTRSRKVDKRSDPYHVYIKNRVSMI >ENSMUSP00000130019.2 pep:known chromosome:GRCm38:7:100178697:100184865:1 gene:ENSMUSG00000035165.14 transcript:ENSMUST00000170954.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne3 description:potassium voltage-gated channel, Isk-related subfamily, gene 3 [Source:MGI Symbol;Acc:MGI:1891124] METSNGTETWYMSLHAVLKALNTTLHSHLLCRPGPGPGPDNQTEDRRASLPGRNDNSYMY ILFVMFLFAVTVGSLILGYTRSRKVDKRSDPYHVYIKNRVSMI >ENSMUSP00000136415.1 pep:known chromosome:GRCm38:7:100178702:100184865:1 gene:ENSMUSG00000035165.14 transcript:ENSMUST00000179842.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne3 description:potassium voltage-gated channel, Isk-related subfamily, gene 3 [Source:MGI Symbol;Acc:MGI:1891124] METSNGTETWYMSLHAVLKALNTTLHSHLLCRPGPGPGPDNQTEDRRASLPGRNDNSYMY ILFVMFLFAVTVGSLILGYTRSRKVDKRSDPYHVYIKNRVSMI >ENSMUSP00000147047.1 pep:known chromosome:GRCm38:7:100178716:100184734:1 gene:ENSMUSG00000035165.14 transcript:ENSMUST00000208260.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne3 description:potassium voltage-gated channel, Isk-related subfamily, gene 3 [Source:MGI Symbol;Acc:MGI:1891124] METSNGTETWYMSLHAVLKALNTTLHSHLLCRPGPGPGPDNQTEDRRASLPGRNDNSYMY ILFVMFLFAVTVGSLILGYTRSRKVDKRSDPYHVYIKNRVSMI >ENSMUSP00000130288.1 pep:known chromosome:GRCm38:7:23055540:23056463:1 gene:ENSMUSG00000094934.1 transcript:ENSMUST00000164537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r163 description:vomeronasal 1 receptor 163 [Source:MGI Symbol;Acc:MGI:3644349] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVMTGSKKRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPTELKCKLEFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLKFSHDATFMTIMVWTSVSMVLLLHRHHQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPNICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000023335.6 pep:known chromosome:GRCm38:16:46387706:46496967:-1 gene:ENSMUSG00000022656.15 transcript:ENSMUST00000023335.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl3 description:poliovirus receptor-related 3 [Source:MGI Symbol;Acc:MGI:1930171] MARTPGPAPLCPGGGKAQLSSAFPPAAGLLLPAPTPPPLLLLLIPLLLFSRLCGALAGSI IVEPHVTAVWGKNVSLKCLIEVNETITQISWEKIHGKSTQTVAVHHPQYGFSVQGDYQGR VLFKNYSLNDATITLHNIGFSDSGKYICKAVTFPLGNAQSSTTVTVLVEPTVSLIKGPDS LIDGGNETVAAVCVAATGKPVAQIDWEGDLGEMESSTTSFPNETATIVSQYKLFPTRFAR GRRITCVVKHPALEKDIRYSFILDIQYAPEVSVTGYDGNWFVGRKGVNLKCNADANPPPF KSVWSRLDGQWPDGLLASDNTLHFVHPLTVNYSGVYVCKVSNSLGQRSDQKVIYISDIPL TQTSSIAVAGAVIGAVLALFIITVFVTVLLTPRKKRPSYLDKVIDLPPTHKPPPVYEERI PSLPQKDLLGQTEHLPLQTQFKEKGAGGLQPSNGPISRRFDYEDESTMQEDGTQRMCPLY SQMCHQDRSPRQHHPRNPERLYINPREHYV >ENSMUSP00000023334.8 pep:known chromosome:GRCm38:16:46447169:46496967:-1 gene:ENSMUSG00000022656.15 transcript:ENSMUST00000023334.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl3 description:poliovirus receptor-related 3 [Source:MGI Symbol;Acc:MGI:1930171] MARTPGPAPLCPGGGKAQLSSAFPPAAGLLLPAPTPPPLLLLLIPLLLFSRLCGALAGSI IVEPHVTAVWGKNVSLKCLIEVNETITQISWEKIHGKSTQTVAVHHPQYGFSVQGDYQGR VLFKNYSLNDATITLHNIGFSDSGKYICKAVTFPLGNAQSSTTVTVLVEPTVSLIKGPDS LIDGGNETVAAVCVAATGKPVAQIDWEGDLGEMESSTTSFPNETATIVSQYKLFPTRFAR GRRITCVVKHPALEKDIRYSFILDIQYAPEVSVTGYDGNWFVGRKGVNLKCNADANPPPF KSVWSRLDGQWPDGLLASDNTLHFVHPLTVNYSGVYVCKVSNSLGQRSDQKVIYISDPPT TTTLQPTVQWHSSPADVQDIATEHKKLPFPLSTLATLKDDTIGTIIASVVGGALFLVLVS ILAGVFCYRRRRTFRGDYFAKNYIPPSDMQKESQIDVLHQDELDSYPDSVKKENKNPVNN LIRKDYLEEPEKTQWNNVENLTRFERPMDYYEDLKMGMKFVSDERYNESEDGLVSHVDGS VISRREWYV >ENSMUSP00000093757.2 pep:known chromosome:GRCm38:16:46435979:46496852:-1 gene:ENSMUSG00000022656.15 transcript:ENSMUST00000096052.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl3 description:poliovirus receptor-related 3 [Source:MGI Symbol;Acc:MGI:1930171] MARTPGPAPLCPGGGKAQLSSAFPPAAGLLLPAPTPPPLLLLLIPLLLFSRLCGALAGSI IVEPHVTAVWGKNVSLKCLIEVNETITQISWEKIHGKSTQTVAVHHPQYGFSVQGDYQGR VLFKNYSLNDATITLHNIGFSDSGKYICKAVTFPLGNAQSSTTVTVLVEPTVSLIKGPDS LIDGGNETVAAVCVAATGKPVAQIDWEGDLGEMESSTTSFPNETATIVSQYKLFPTRFAR GRRITCVVKHPALEKDIRYSFILDIQYAPEVSVTGYDGNWFVGRKGVNLKCNADANPPPF KSVWSRLDGQWPDGLLASDNTLHFVHPLTVNYSGVYVCKVSNSLGQRSDQKVIYISDIPL TQTSSIAVAGAVIGAVLALFIITVFVTVLLTPRKKRPSYLDKVIDLPPTHKPPPVYEERI PSLPQKDLLGQVRALEDT >ENSMUSP00000117479.1 pep:known chromosome:GRCm38:16:46454662:46496772:-1 gene:ENSMUSG00000022656.15 transcript:ENSMUST00000149901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl3 description:poliovirus receptor-related 3 [Source:MGI Symbol;Acc:MGI:1930171] XARTPGPAPLCPGGGKAQLSSAFPPAAGLLLPAPTPPPLLLLLIPLLLFSRLCGALAGSI IVEPHVTAVWGKNVSLKCLIEVNETITQISWEKIHGKSTQTVAVHHPQYGFSVQGDYQGR VLFKNYSLNDATITLHNIGFSDSGKYICKAVTFPLGNAQSSTTVTVLDAPEVSVTGYDGN WFVGRKGVNLKCNADANPPPFKSVWSRLDGQWPDGLLASDNTLHFVHPLTVNYSGVYVCK VSNSL >ENSMUSP00000113146.1 pep:known chromosome:GRCm38:16:46394989:46448510:-1 gene:ENSMUSG00000022656.15 transcript:ENSMUST00000121245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl3 description:poliovirus receptor-related 3 [Source:MGI Symbol;Acc:MGI:1930171] MYLSCFTVFTAILLSQDLNSNAEYFIGLRHTDIPLTQTSSIAVAGAVIGAVLALFIITVF VTVLLTPRKKRPSYLDKVIDLPPTHKPPPVYEERIPSLPQKDLLGQTEHLPLQTQFKEKG AGGLQPSNGPISRRFDYEDESTMQEDGTQRMCPLYSQMCHQDRSPRQHHPRNPERLYINP REHYV >ENSMUSP00000113301.1 pep:known chromosome:GRCm38:16:46394905:46411225:-1 gene:ENSMUSG00000022656.15 transcript:ENSMUST00000119941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl3 description:poliovirus receptor-related 3 [Source:MGI Symbol;Acc:MGI:1930171] MSSFQHPWERVSDVRLCRQLTTQFKEKGAGGLQPSNGPISRRFDYEDESTMQEDGTQRMC PLYSQMCHQDRSPRQHHPRNPERLYINPREHYV >ENSMUSP00000112567.1 pep:known chromosome:GRCm38:16:46394858:46411169:-1 gene:ENSMUSG00000022656.15 transcript:ENSMUST00000121803.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl3 description:poliovirus receptor-related 3 [Source:MGI Symbol;Acc:MGI:1930171] MSSFQHPWERVSDVRLCRQLTTEHLPLQTQFKEKGAGGLQPSNGPISRRFDYEDESTMQE DGTQRMCPLYSQMCHQDRSPRQHHPRNPERLYINPREHYV >ENSMUSP00000115927.1 pep:known chromosome:GRCm38:16:46395443:46438055:-1 gene:ENSMUSG00000022656.15 transcript:ENSMUST00000124602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl3 description:poliovirus receptor-related 3 [Source:MGI Symbol;Acc:MGI:1930171] XQTSSIAVAGAVIGAVLALFIITVFVTVLLTPRKKRPSYLDKVIDLPPTHKPPPVYEERI PSLPQKDLLGQTEHLPLQTQFKEKGAGGLQPSVSKARAVLTQVSFTVLSDGHNRSSYPLL SV >ENSMUSP00000139267.1 pep:known chromosome:GRCm38:14:69508632:69512549:-1 gene:ENSMUSG00000098773.1 transcript:ENSMUST00000184652.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm27179 description:predicted gene 27179 [Source:MGI Symbol;Acc:MGI:5521022] MTGFWVLCFVLFPSSLSYPESWMPLVNLTHHILRDTNSSLFSNCWVCLSTQTQRSLAVPA PLSIWTDTPMKLHLTYSVRPFSGSFSISDIERRLRLFRPLTASYSFHNPDRRAIAFLQLV SSTGIFRIITRITSVIYPHKDRFFESAQRPLWGPLFTETVLRSQAPLCISRFFKVSAYAT FVGNLSASLCNYTMHISPSTSHENLDLSTTHTFKQAMKRPDAKWKNPLRFSGPPSLIFSK PAYYPCPTDIKHCHTSPATPWMHCPQAPFGTCYNLTLFEPDNSTHPVTMSVNPTHFKVKL QGHRDPYPLSHYQPLTGAALSGQYSVWENEITVQENWDITSNIFSHLLSFSYAFCLNSSG VFFLCGTSTYICLPANWSGVCTLVFQYPDIELLPNNQTVPVPLFASVLSSDSVLRPKRSP HLFPFLAGLGISSALGTGIAGLATSTLYFQQLSKVLSETLEEIAASITTLQNQIDSLAGV VLQNRRALDLITAEKGGTCLFLQEECCFYVNQSGIVRDAARKLQERASELGQHSDSWGQW PDLGRWLPWLTPFLGPLLFLFFLLTFGSCLLNCLTRFVSQRLGSFVQDTAKRHVDSILQN FQYKKLPQDSPDEDTIPT >ENSMUSP00000103940.1 pep:known chromosome:GRCm38:7:28350652:28353155:1 gene:ENSMUSG00000037563.15 transcript:ENSMUST00000082134.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps16 description:ribosomal protein S16 [Source:MGI Symbol;Acc:MGI:98118] MPSKGPLQSVQVFGRKKTATAVAHCKRGNGLIKVNGRPLEMIEPRTLQYKLLEPVLLLGK ERFAGVDIRVRVKGGGHVAQIYAIRQSISKALVAYYQKYVDEASKKEIKDILIQYDRTLL VADPRRCESKKFGGPGARARYQKSYR >ENSMUSP00000073934.3 pep:known chromosome:GRCm38:13:22395029:22395967:1 gene:ENSMUSG00000101073.1 transcript:ENSMUST00000074324.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r200 description:vomeronasal 1 receptor 200 [Source:MGI Symbol;Acc:MGI:2159660] MILKYIKEIIFPSMTVLGTLGNMFVFVNYMFSWWGSPEKKPIHLILIHLAFTNIIILLTK GLQKTIAAFGLRNFLDDIGCKSIVYLERVSRGLSICTSSLLTVVQAITISPRASGWRRLR TKSAWHILPFLLFFWILNGLISMNLIHSITGTGFNISQLENRDSYCYFMLESQEIKWIVL PLMVMRDAVFQSALGGASGYMIFLLHKHHQHVLYLQNSKLLYRTPPELRAAQSVLLLMLC FAFFYWTDCAFSLILSLSSRDKTLMVNTQEFLALGYATFSPFVLIHRDGLLVDWWHAQME KLRKCYSRLYVQ >ENSMUSP00000104906.1 pep:known chromosome:GRCm38:15:91267696:91573261:-1 gene:ENSMUSG00000036298.10 transcript:ENSMUST00000109283.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a13 description:solute carrier family 2 (facilitated glucose transporter), member 13 [Source:MGI Symbol;Acc:MGI:2146030] MSRKASEDVEYTLRSLSSLMGERRRRQPEPGAPGGERSLLAAESAASLQGAELERAARRQ FQRDETPAFVYAAAAFSALGGFLFGYDTGVVSGAMLLLRRQMRLGAMWQELLVSGAVGAA AVAALAGGALNGALGRRSAILLASALCTVGSAVLAAAANKETLLAGRLVVGLGIGIASMT VPVYIAEVSPPNLRGRLVTINTLFITGGQFFASVVDGAFSYLQKDGWRYMLGLAAIPAVI QFLGFLFLPESPRWLIQKGQTQKARRILSQMRGNQTIDEEYDSIRNSIEEEEKEATAAGP IICRMLSYPPTRRALVVGCGLQMFQQLSGINTIMYYSATILQMSGVEDDRLAIWLASITA FTNFIFTLVGVWLVEKVGRRKLTFGSLAGTTVALIILALGFLLSAQVSPRVTFRPTTPSD QNTTCTGYSYCNECMLDPDCGFCYKINGSAVIDSSCVPVNKASTTEAAWGRCDNETKFKA EGAHWAYSFCPTPYSWTALVGLVLYLVFFAPGMGPMPWTVNSEIYPLWARSTGNACSAGI NWIFNVLVSLTFLHTAEYLTYYGAFFLYAGFAAVGLLFVYGCLPETKGKKLEEIESLFDH RLCSCGAADSDEGRYIEYIRVKGSNYHLSDNDASDVE >ENSMUSP00000106242.1 pep:known chromosome:GRCm38:2:121453290:121458510:1 gene:ENSMUSG00000027245.11 transcript:ENSMUST00000110612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hypk description:huntingtin interacting protein K [Source:MGI Symbol;Acc:MGI:1914943] MRRRGEIEMATEGDVELELETETSGPERPPEKPRKHDSGAADLERVTDYAEEKEIQSSNL ETAMSVIGDRRSREQKAKQEREKELAKVTIKKEDLELIMTEMEISRAAAERSLREHMGNV VEALIALTN >ENSMUSP00000117946.1 pep:known chromosome:GRCm38:2:121456963:121458672:1 gene:ENSMUSG00000027245.11 transcript:ENSMUST00000126764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hypk description:huntingtin interacting protein K [Source:MGI Symbol;Acc:MGI:1914943] MRRRGEIEMATEGDVELELETETSGPERPPEKPRKHDSGAADLERVTDYAEEKEIQSSNL ETAMSVIGDRRSREQKAKQEREKELAKVTIKKEDLELIMTEMEISRAAAERSLREHMGNV VEALIALTN >ENSMUSP00000029644.9 pep:known chromosome:GRCm38:3:133310110:133378078:1 gene:ENSMUSG00000028013.16 transcript:ENSMUST00000029644.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa2 description:pyrophosphatase (inorganic) 2 [Source:MGI Symbol;Acc:MGI:1922026] MRALLPLLSVGRGWRVGAAARPPRRVMSLYRTEELGHPRSQDYRLFFKHVAGHYISPFHD IPLKADCKEEHDIPRKKARNDEYENLFNMVVEIPRWTNAKMEIATEEPLNPIKQDIKNGK LRYTPNIFPHKGYIWNYGALPQTWEDPHLRDKSTDCCGDNDPIDVCEIGSKVLSRGDVVH VKILGTLALIDQSETDWKIIAINVNDPEAEKFHDIDDVKKFKPGYLEATLNWFRLYKVPD GKPENKFAFNGEFKNKAFALDVINSAHERWKEMVMKKCDKGAISCVNVHICDSPFHCTME EARSLVESVPTPSMNKESNVEEEVWHFLRN >ENSMUSP00000113369.1 pep:known chromosome:GRCm38:3:133310122:133378235:1 gene:ENSMUSG00000028013.16 transcript:ENSMUST00000122334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa2 description:pyrophosphatase (inorganic) 2 [Source:MGI Symbol;Acc:MGI:1922026] MRALLPLLSVGRGWRVGAAARPPRRVMSLYRTEELGHPRSQDYRLFFKHVAGHYISPFHD IPLKADCKEEHDIPRKKARNDEYENLFNMVVEIPRWTNAKMEIATEEPLNPIKQDIKNGK LRYTPNIFPHKGYIWNYGALPQTWEDPHLRDKSTDCCGDNDPIDVCEIGSKVLSRGDVVH VKILGTLALIDQSETDWKIIAINVNDPEAEKFHDIDDVKKFKPGYLEATLNWFRLYKVPD GKPENKFAFNGEFKNKAFALDVINSAHERWKEMVMKKCDKGAISCVNVHICDSPFHCTME EARSLVESVPTPSMNKESNVEEVWHFLRN >ENSMUSP00000101922.3 pep:known chromosome:GRCm38:3:133348076:133378067:1 gene:ENSMUSG00000028013.16 transcript:ENSMUST00000106315.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa2 description:pyrophosphatase (inorganic) 2 [Source:MGI Symbol;Acc:MGI:1922026] GDVVHVKILGTLALIDQSETDWKIIAINVNDPEAEKFHDIDDVKKFKPGYLEATLNWFRL YKVPDGKPENKFAFNGEFKNKAFALDVINSAHERWKEMVMKKCDKGAISCVNVHICDSPF HCTMEEARSLVESVPTPSMNKESNVEGTECLL >ENSMUSP00000034030.8 pep:known chromosome:GRCm38:8:77595982:77610653:-1 gene:ENSMUSG00000031617.16 transcript:ENSMUST00000034030.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem184c description:transmembrane protein 184C [Source:MGI Symbol;Acc:MGI:2384562] MPCACNRSNWRRWIRPLLVLFYATTILVAVPICIWKFQKMKVGMHTKSWFIAGIFLLLTI PVSLWGILQHLVHYTQPELQKPIIRILWMVPIYSVDSWVALVYPKIAIYVDTWRECYEAY VIYNFMIFLTNYLTIRFPNLILHLEAKDQQNHILPLCCCPPWAMGEMLLFRCKLGVLQYT VVRPITTVTALVCEILDVYDEGNFGFSNAWTYLVILNNLSQLFAMYCLLLFYKVLKEELS PIQPVGKFLCVKLVVFVSFWQAVLIALLVKLGVISEKRTWEWQSAEAVATGLQDFIICIE MFFAAIAHHYTFSYKPYVHEAEEGSCFDSFLAMWDVSDIRDDISEQVRRVGRTMRGYPKK KCFPGDPDHNEHSSLLSSSSQDLTSGSSKVPSPGGLYQGFGHTISSQSPISIASIYEEIM NDIPEEQQKLLNPGQDVTINIPEEQQKLNTGKDVMLDRQTITSPRPISIASIYEKIMIDI PEEQQKLTDTGQDVMINRQTITPPRPISITSIYEEVLIDITEKQQKLNDTGKNVMINIPE EQQKLIDKRKDVMIDIPEQNAIPDNSQYQDQEQIVTLQALFPSTETSENSMIDTSESQQE SSDLCTESSDSSTESSDLSTDP >ENSMUSP00000114463.1 pep:known chromosome:GRCm38:8:77602866:77610698:-1 gene:ENSMUSG00000031617.16 transcript:ENSMUST00000152168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem184c description:transmembrane protein 184C [Source:MGI Symbol;Acc:MGI:2384562] MSTVEVPKPAPAEKTTEKANLPNSWFGSIIQLLKENLLQNMPCACNRSNWRRWIRPLLVL FYATTILVAVPICIWKFQKMKVGMHTKSWFIAGIFLLLTIPVSLWGILQHLVHYTQPELQ KPIIRILWMVPIYSVDSWVALVYPKIAIYVDTWRECYEAYVIYNFMIFLTNYLTIRFPNL ILHLEAKDQQNHILPLCCCPPWAMGEMLLFRCKLGVLQYTVVRPITTV >ENSMUSP00000120041.1 pep:known chromosome:GRCm38:8:77606363:77610668:-1 gene:ENSMUSG00000031617.16 transcript:ENSMUST00000141202.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem184c description:transmembrane protein 184C [Source:MGI Symbol;Acc:MGI:2384562] MQRRLWVTSRAPAEKTTEKANLPNSWFGSIIQLLKENLLQNMPCACNRSNWRRWIRPLLV LFYATTILVAVPICIWKFQKMKVGMHTKSWFIAGIFLLLT >ENSMUSP00000128012.1 pep:known chromosome:GRCm38:7:5610251:5612068:-1 gene:ENSMUSG00000094313.2 transcript:ENSMUST00000164880.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r61 description:vomeronasal 1 receptor 61 [Source:MGI Symbol;Acc:MGI:3779692] MEMLALQILLLCHVVVGTVGNILQFVHNFSRILTDSRLKPIQVILINLAVANAIMLLLFA YSYDMIDFVPRKPPTEVKCKLAHFFHLVARGTIMCSTCVLSTYQFVTLVPGNWARVMFRE ISPKVVSYSCFSCWLFSILNNAYMPMYVSGPQKSHNDSDSKDNWICSISGVSVDMNILRF SHDIIFLSIMAWTSVSMVIHLHRHHQRMNHIHKVNQNNRGHAETRAAHTILMLVVTFVSL YILNCISILLHISFVEFRLWTRYVINLLALSFPTISPLLLIFRDCKGHCSVHIMSVWKSM >ENSMUSP00000030797.3 pep:known chromosome:GRCm38:4:151047300:151057953:-1 gene:ENSMUSG00000028955.3 transcript:ENSMUST00000030797.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp3 description:vesicle-associated membrane protein 3 [Source:MGI Symbol;Acc:MGI:1321389] MSTGVPSGSSAATGSNRRLQQTQNQVDEVVDIMRVNVDKVLERDQKLSELDDRADALQAG ASQFETSAAKLKRKYWWKNCKMWAIGISVLVIIVIIIIVWCVS >ENSMUSP00000107063.2 pep:known chromosome:GRCm38:2:91062874:91070283:-1 gene:ENSMUSG00000002105.15 transcript:ENSMUST00000111436.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a13 description:solute carrier family 39 (metal ion transporter), member 13 [Source:MGI Symbol;Acc:MGI:1915677] MPGCPCPGCGMAGQRLLFLTVLALELLERAGGSQPALRSLGAAAACRLDNKESESWGALL SGERLDTWICSLLGSLMVGLSGVFPLLVIPLEMGTLLQSEAGAWRLRQLLSFALGGLLGN VFLHLLPEAWAYTCNITPATGLAWPVSAPPAGGEGQSLQRQQQLGLWVIAGFLTFLALEK MFLNSKEDPSQAPSKDPTAAALNGGHCLAQPAAEPGLRAVVRNLKVSGYLNLLANTIDNF THGLAVAASFLVSKKIGLLTTMAILLHEIPHEVGDFAILLRAGFDRWTAAKLQFSTALGG LLGACFAICTQSPKGVEETVVWILPFTSGGFLYIALVNVLPDLLEEDDPWHSLQQVLLLC SGVLVMVLLSLFVE >ENSMUSP00000073263.5 pep:known chromosome:GRCm38:2:91061791:91070417:-1 gene:ENSMUSG00000002105.15 transcript:ENSMUST00000073575.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a13 description:solute carrier family 39 (metal ion transporter), member 13 [Source:MGI Symbol;Acc:MGI:1915677] MPGCPCPGCGMAGQRLLFLTVLALELLERAGGSQPALRSLGAAAACRLDNKESESWGALL SGERLDTWICSLLGSLMVGLSGVFPLLVIPLEMGTLLQSEAGAWRLRQLLSFALGGLLGN VFLHLLPEAWAYTCNITPGGEGQSLQRQQQLGLWVIAGFLTFLALEKMFLNSKEDPSQAP SKDPTAAALNGGHCLAQPAAEPGLRAVVRNLKVSGYLNLLANTIDNFTHGLAVAASFLVS KKIGLLTTMAILLHEIPHEVGDFAILLRAGFDRWTAAKLQFSTALGGLLGACFAICTQSP KGVEETVVWILPFTSGGFLYIALVNVLPDLLEEDDPWHSLQQVLLLCSGVLVMVLLSLFV E >ENSMUSP00000078892.3 pep:known chromosome:GRCm38:2:91061791:91070229:-1 gene:ENSMUSG00000002105.15 transcript:ENSMUST00000079976.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a13 description:solute carrier family 39 (metal ion transporter), member 13 [Source:MGI Symbol;Acc:MGI:1915677] MFLNSKEDPSQVSGYLNLLANTIDNFTHGLAVAASFLVSKKIGLLTTMAILLHEIPHEVG DFAILLRAGFDRWTAAKLQFSTALGGLLGACFAICTQSPKGVEETVVWILPFTSGGFLYI ALVNVLPDLLEEDDPWHSLQQVLLLCSGVLVMVLLSLFVE >ENSMUSP00000118308.1 pep:known chromosome:GRCm38:2:91066046:91070187:-1 gene:ENSMUSG00000002105.15 transcript:ENSMUST00000153367.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a13 description:solute carrier family 39 (metal ion transporter), member 13 [Source:MGI Symbol;Acc:MGI:1915677] MRKLRQFQAPGMPGCPCPGCGMAGQRLLFLTVLALELLERAGGSQPALRSLGAAAACRLD NKESESWGALLSGERLDTWICSLLGSLMVGLSGVFPLLVIPLEMGTLLQSEAGAWRLRQL LSFALGGLLGNVFLHLLP >ENSMUSP00000110411.2 pep:known chromosome:GRCm38:16:37776873:37836514:1 gene:ENSMUSG00000022816.11 transcript:ENSMUST00000114763.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fstl1 description:follistatin-like 1 [Source:MGI Symbol;Acc:MGI:102793] MWKRWLALSLVTIALVHGEEEPRSKSKICANVFCGAGRECAVTEKGEPTCLCIEQCKPHK RPVCGSNGKTYLNHCELHRDACLTGSKIQVDYDGHCKEKKSASPSASPVVCYQANRDELR RRLIQWLEAEIIPDGWFSKGSNYSEILDKYFKSFDNGDSHLDSSEFLKFVEQNETAINIT TYADQENNKLLRSLCVDALIELSDENADWKLSFQEFLKCLNPSFNPPEKKCALEDETYAD GAETEVDCNRCVCSCGHWVCTAMTCDGKNQKGVQTHTEEEKTGYVQELQKHQGTAEKTKK VNTKEI >ENSMUSP00000093101.4 pep:known chromosome:GRCm38:12:103728156:103738158:-1 gene:ENSMUSG00000071178.11 transcript:ENSMUST00000095450.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1b description:serine (or cysteine) preptidase inhibitor, clade A, member 1B [Source:MGI Symbol;Acc:MGI:891970] MTPSISWGLLLLAGLCCMVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELV HQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHKSFQHLLQT LNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVE KGTQGKIVEAVKELDQDTVFALANYILFKGKWKKPFDPENTEEAEFHVDKSTTVKVPMMM LSGMLDVHHCSILSSWVLLMDYAGNASAVFLLPEDGKMQHLEQTLNKELISKILLNRRRR LVQIHIPRLSISGDYNLKTLMSPLGITRIFNNGADLSGITEENAPLKLSKAVHKAVLTID ETGTEAAAATVFEAVPMSMPPILRFDHPFLFIIFEEHTQSPIFVGKVVDPTHK >ENSMUSP00000139941.1 pep:known chromosome:GRCm38:12:103728156:103740515:-1 gene:ENSMUSG00000071178.11 transcript:ENSMUST00000186166.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1b description:serine (or cysteine) preptidase inhibitor, clade A, member 1B [Source:MGI Symbol;Acc:MGI:891970] MTPSISWGLLLLAGLCCMVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELV HQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHKSFQHLLQT LNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVE KGTQGKIVEAVKELDQDTVFALANYILFKGKWKKPFDPENTEEAEFHVDKSTTVKVPMMM LSGMLDVHHCSILSSWVLLMDYAGNASAVFLLPEDGKMQHLEQTLNKELISKILLNRRRR LVQIHIPRLSISGDYNLKTLMSPLGITRIFNNGADLSGITEENAPLKLSKAVHKAVLTID ETGTEAAAATVFEAVPMSMPPILRFDHPFLFIIFEEHTQSPIFVGKVVDPTHK >ENSMUSP00000141006.1 pep:known chromosome:GRCm38:12:103732480:103738158:-1 gene:ENSMUSG00000071178.11 transcript:ENSMUST00000187220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1b description:serine (or cysteine) preptidase inhibitor, clade A, member 1B [Source:MGI Symbol;Acc:MGI:891970] MTPSISWGLLLLAGLCCMVPSFLAEDVQETDTSQKD >ENSMUSP00000127266.2 pep:known chromosome:GRCm38:12:103728158:103830373:-1 gene:ENSMUSG00000071178.11 transcript:ENSMUST00000164454.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1b description:serine (or cysteine) preptidase inhibitor, clade A, member 1B [Source:MGI Symbol;Acc:MGI:891970] MTPSISWGLLLLAGLCCMVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELV HQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHKSFQHLLQT LNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVE KGTQGKIVEAVKELDQDTVFALANYILFKGKWKKPFDPENTEEAEFHVDKSTTVKVPMMM LSGMLDVHHCSILSSWVLLMDYAGNASAVFLLPEDGKMQHLEQTLNKELISKILLNRRRR LVQIHIPRLSISGDYNLKTLMSPLGITRIFNNGADLSGITEENAPLKLSKAVHKAVLTID ETGTEAAAATVFEAVPMSMPPILRFDHPFLFIIFEEHTQSPIFVGKVVDPTHK >ENSMUSP00000110523.1 pep:known chromosome:GRCm38:17:27655509:27708659:1 gene:ENSMUSG00000040276.14 transcript:ENSMUST00000114873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin1 description:protein kinase C and casein kinase substrate in neurons 1 [Source:MGI Symbol;Acc:MGI:1345181] MSGSYDEASEEITDSFWEVGNYKRTVKRIDDGHRLCNDLMSCVQERAKIEKAYAQQLTDW AKRWRQLIEKGPQYGSLERAWGAMMTEADKVSELHQEVKNSLLNEDLEKVKNWQKDAYHK QIMGGFKETKEAEDGFRKAQKPWAKKMKELEAAKKAYHLACKEERLAMTREMNSKTEQSV TPEQQKKLVDKVDKCRQDVQKTQEKYEKVLEDVGKTTPQYMEGMEQVFEQCQQFEEKRLV FLKEVLLDIKRHLNLAENSSYMHVYRELEQAIRGADAQEDLRWFRSTSGPGMPMNWPQFE EWNPDLPHTTAKKEKQPKKAEGATLSNATGAVESTSQAGDRGSVSSYDRGQTYATEWSDD ESGNPFGGNEANGGANPFEDDAKGVRVRALYDYDGQEQDELSFKAGDELTKLGEEDEQGW CRGRLDSGQLGLYPANYVEAI >ENSMUSP00000044168.3 pep:known chromosome:GRCm38:17:27655588:27711106:1 gene:ENSMUSG00000040276.14 transcript:ENSMUST00000045896.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin1 description:protein kinase C and casein kinase substrate in neurons 1 [Source:MGI Symbol;Acc:MGI:1345181] MSGSYDEASEEITDSFWEVGNYKRTVKRIDDGHRLCNDLMSCVQERAKIEKAYAQQLTDW AKRWRQLIEKGPQYGSLERAWGAMMTEADKVSELHQEVKNSLLNEDLEKVKNWQKDAYHK QIMGGFKETKEAEDGFRKAQKPWAKKMKELEAAKKAYHLACKEERLAMTREMNSKTEQSV TPEQQKKLVDKVDKCRQDVQKTQEKYEKVLEDVGKTTPQYMEGMEQVFEQCQQFEEKRLV FLKEVLLDIKRHLNLAENSSYMHVYRELEQAIRGADAQEDLRWFRSTSGPGMPMNWPQFE EWNPDLPHTTAKKEKQPKKAEGATLSNATGAVESTSQAGDRGSVSSYDRGQTYATEWSDD ESGNPFGGNEANGGANPFEDDAKGVRVRALYDYDGQEQDELSFKAGDELTKLGEEDEQGW CRGRLDSGQLGLYPANYVEAI >ENSMUSP00000110522.1 pep:known chromosome:GRCm38:17:27655643:27708677:1 gene:ENSMUSG00000040276.14 transcript:ENSMUST00000114872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin1 description:protein kinase C and casein kinase substrate in neurons 1 [Source:MGI Symbol;Acc:MGI:1345181] MSGSYDEASEEITDSFWEVGNYKRTVKRIDDGHRLCNDLMSCVQERAKIEKAYAQQLTDW AKRWRQLIEKGPQYGSLERAWGAMMTEADKVSELHQEVKNSLLNEDLEKVKNWQKDAYHK QIMGGFKETKEAEDGFRKAQKPWAKKMKELEAAKKAYHLACKEERLAMTREMNSKTEQSV TPEQQKKLVDKVDKCRQDVQKTQEKYEKVLEDVGKTTPQYMEGMEQVFEQCQQFEEKRLV FLKEVLLDIKRHLNLAENSSYMHVYRELEQAIRGADAQEDLRWFRSTSGPGMPMNWPQFE EWNPDLPHTTAKKEKQPKKAEGATLSNATGAVESTSQAGDRGSVSSYDRGQTYATEWSDD ESGNPFGGNEANGGANPFEDDAKGVRVRALYDYDGQEQDELSFKAGDELTKLGEEDEQGW CRGRLDSGQLGLYPANYVEAI >ENSMUSP00000094973.2 pep:known chromosome:GRCm38:17:27685197:27708659:1 gene:ENSMUSG00000040276.14 transcript:ENSMUST00000097360.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin1 description:protein kinase C and casein kinase substrate in neurons 1 [Source:MGI Symbol;Acc:MGI:1345181] MSGSYDEASEEITDSFWEVGNYKRTVKRIDDGHRLCNDLMSCVQERAKIEKAYAQQLTDW AKRWRQLIEKGPQYGSLERAWGAMMTEADKVSELHQEVKNSLLNEDLEKVKNWQKDAYHK QIMGGFKETKEAEDGFRKAQKPWAKKMKELEAAKKAYHLACKEERLAMTREMNSKTEQSV TPEQQKKLVDKVDKCRQDVQKTQEKYEKVLEDVGKTTPQYMEGMEQVFEQCQQFEEKRLV FLKEVLLDIKRHLNLAENSSYMHVYRELEQAIRGADAQEDLRWFRSTSGPGMPMNWPQFE EWNPDLPHTTAKKEKQPKKAEGATLSNATGAVESTSQAGDRGSVSSYDRGQTYATEWSDD ESGNPFGGNEANGGANPFEDDAKGVRVRALYDYDGQEQDELSFKAGDELTKLGEEDEQGW CRGRLDSGQLGLYPANYVEAI >ENSMUSP00000005705.7 pep:known chromosome:GRCm38:7:13024152:13031035:1 gene:ENSMUSG00000005566.13 transcript:ENSMUST00000005705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim28 description:tripartite motif-containing 28 [Source:MGI Symbol;Acc:MGI:109274] MAASAAATAAASAATAASAASGSPGSGEGSAGGEKRPAASSAAAASAAASSPAGGGGEAQ ELLEHCGVCRERLRPERDPRLLPCLHSACSACLGPATPAAANNSGDGGSAGDGAMVDCPV CKQQCYSKDIVENYFMRDSGSKASSDSQDANQCCTSCEDNAPATSYCVECSEPLCETCVE AHQRVKYTKDHTVRSTGPAKTRDGERTVYCNVHKHEPLVLFCESCDTLTCRDCQLNAHKD HQYQFLEDAVRNQRKLLASLVKRLGDKHATLQKNTKEVRSSIRQVSDVQKRVQVDVKMAI LQIMKELNKRGRVLVNDAQKVTEGQQERLERQHWTMTKIQKHQEHILRFASWALESDNNT ALLLSKKLIYFQLHRALKMIVDPVEPHGEMKFQWDLNAWTKSAEAFGKIVAERPGTNSTG PGPMAPPRAPGPLSKQGSGSSQPMEVQEGYGFGSDDPYSSAEPHVSGMKRSRSGEGEVSG LLRKVPRVSLERLDLDLTSDSQPPVFKVFPGSTTEDYNLIVIERGAAAAAAGQAGTVPPG APGAPPLPGMAIVKEEETEAAIGAPPAAPEGPETKPVLMPLTEGPGAEGPRLASPSGSTS SGLEVVAPEVTSAPVSGPGILDDSATICRVCQKPGDLVMCNQCEFCFHLDCHLPALQDVP GEEWSCSLCHVLPDLKEEDGSLSLDGADSTGVVAKLSPANQRKCERVLLALFCHEPCRPL HQLATDSTFSMEQPGGTLDLTLIRARLQEKLSPPYSSPQEFAQDVGRMFKQFNKLTEDKA DVQSIIGLQRFFETRMNDAFGDTKFSAVLVEPPPLNLPSAGLSSQELSGPGDGP >ENSMUSP00000103710.2 pep:known chromosome:GRCm38:11:84984442:85140038:-1 gene:ENSMUSG00000000804.14 transcript:ENSMUST00000108075.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp32 description:ubiquitin specific peptidase 32 [Source:MGI Symbol;Acc:MGI:2144475] MGAKESRIGFLSYEEALRRVTDVELKRLKDAFKRTCGLSYYMSQHCFIREVLGDGVPPKV AEVIYCSFGGTSKGLHFNNLIVGLVLLTRGKDEEKAKYIFSLFSSESGSYVVREEMERML HVVDGKVPDTLRKCFSEGEKVNYEKFRNWLLLNKDAFTFSRWLLSGGVYVTLTDDSDTPT FYQTLAGVTHLEESDIIDLEKRYWLLKAQSRTGRFDLETFGPLVSPPIRPSLSEGLFNAF DENRDNHIDFKEISCGLSACCRGPLAERQKFCFKVFDVDRDGVLSRVELKDMVVALLEVW KDNRTDDIPELHMDLSDIVERILNAHDTTKVGHLTLEDYQIWSVKNVLANEFLNLLFQVC HIVLGLRPATPEEEGQIIRGWLERESRYGLQPGHNWFIISMQWWQQWKEYVKYDASPVVI EPSSVLNGGKFSFGTAAHPIEHGEDRISNNLGYMNTTEEKYSDNISSASEASESTGSGFL YSGTPGADMCFARQHNTSDNNNQCLLGANGNILLHLNPQKPGAIDNQPLVTQEPVKATSL TLEGGRLKRTPQLIHGRDYEMVPEPVWRALYHWYGSNLALPRPVIKNSKTDIPELELFPR YLLFLRQQPATRTQQSNIWVNMGNVPSPNAPLKRVLAYTGCFSRMQTIKEIHEYLSQRLR IKEEDMRLWLYNSENYLTLLDDEDHRLEYLKIQDEQHLVIEVRNKDMSWPEEMSFIANSS KIDRHKVPTEKGATGLSNLGNTCFMNSSIQCVSNTQPLTQYFISGRHLYELNRTNPIGMK GHMAKCYGDLVQELWSGTQKNVAPLKLRWTIAKYAPRFNGFQQQDSQELLAFLLDGLHED LNRVHEKPYVELKDSDGRPDWEVAAEAWDNHLRRNRSIVVDLFHGQLRSQVKCKTCGHIS VRFDPFNFLSLPLPMDSYMHLEITVIKLDGTTPIRYGLRLNMDEKYTGLKKQLSDLCGLK SEQILFAEVHSSNIKNFPQDNQKVRLSVSGFLCAFEIPIPASPVSACSPIQTDCSSSPST NGLFTLTTNGDLPRPIFIPNGMPNTVVPCGTEKNVTNGIVNGHMPPLPDDPFTGYIIAVH RKMMRTELYFLSSQKNRPSLFGMPLIVPCTVHTRKKDLYDAVWIQVSRLASPLPPQEASN HAQDCDDSMGYQYPFTLRVVQKDGNSCAWCPWYRFCRGCKIDCGEDRAFIGNACIAVDWD PTALHLRYQTSQERVVEEHESVEQSRRAQAEPINLDSCLRAFTSEEELGENEMYYCSKCK THCLATKKLDLWRLPPILIIHLKRFQFVNGRWIKSQKIVKFPRESFDPSAFLVPRDPTLC QHKPLTPQGDDFSELRIPAGDVKKVDIQSSAGEEDVLLSKSPSSLSANVTSSPKGSPSSS RKSGASCPSSKNSSPNSSPRTLGRNKGRLRLPQIGSKNKLSNSKENLDTSKENGAGQICE LADTLNRRHVLGGSQPELVTPLDHEITLANGFLYEHEACGNGYSNGQLGNHSEEDSTDDQ REETHSKPIYNLYAISCHSGILGGGHYVTYAKNPNCKWYCYNDSSCKELHPDEIDTDSAY ILFYEQQGIDCAQFLPKTDGKKMADTSSMDEDFESDYKKYCVLQ >ENSMUSP00000000821.8 pep:known chromosome:GRCm38:11:84985647:85029135:-1 gene:ENSMUSG00000000804.14 transcript:ENSMUST00000000821.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp32 description:ubiquitin specific peptidase 32 [Source:MGI Symbol;Acc:MGI:2144475] XRNKDMSWPEEMSFIANSSKIDRHKVPTEKGATGLSNLGNTCFMNSSIQCVSNTQPLTQY FISGRHLYELNRTNPIGMKGHMAKCYGDLVQELWSGTQKNVAPLKLRWTIAKYAPRFNGF QQQDSQELLAFLLDGLHEDLNRVHEKPYVELKDSDGRPDWEVAAEAWDNHLRRNRSIVVD LFHGQLRSQVKCKTCGHISVRFDPFNFLSLPLPMDSYMHLEITVIKLDGTTPIRYGLRLN MDEKYTGLKKQLSDLCGLKSEQILFAEVHSSNIKIALLLHLQMDCLP >ENSMUSP00000133531.1 pep:known chromosome:GRCm38:11:84986270:84988683:-1 gene:ENSMUSG00000000804.14 transcript:ENSMUST00000173156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp32 description:ubiquitin specific peptidase 32 [Source:MGI Symbol;Acc:MGI:2144475] XTLGRNKGRLRLPQIGSKNKLSNSKENLDTSKENGAGQICELADTLNRRHVLGGSQPELV TPLDHEITLANGFLYEHEACGNGYSNGQLGNHSEEDSTDDQREETHSKPIYNLYAISELH PDEIDTDSAYILFYEQQGIDCAQFLPKTDGKKMADTSSMDEDFESDYKKYCVLQ >ENSMUSP00000134476.1 pep:known chromosome:GRCm38:11:85027161:85040038:-1 gene:ENSMUSG00000000804.14 transcript:ENSMUST00000174602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp32 description:ubiquitin specific peptidase 32 [Source:MGI Symbol;Acc:MGI:2144475] XAIDNQPLVTQEPVKATSLTLEGGRLKRTPQLIHGRDYEMVPEPVWRALYHWYGSNLALP RPVIKNSKTDIPELELFPRYLLFLRQQPATRTQQSNIWVNMGMMSLRMFPQHLPRDNMRG KMRCCIFRNVPSPNAPLKRVLAYTGCFSRMQTIKEIHEYLSQRLRIKEEDMRLWLYNSEN YLTLLDDEDHRLEYLKIQDEQHLVIEVRNKDMSWPEEMSFIANSSKIDRHKVPTEKGATG LSNLGNTCFMNSSIQCV >ENSMUSP00000133781.1 pep:known chromosome:GRCm38:11:85036488:85042680:-1 gene:ENSMUSG00000000804.14 transcript:ENSMUST00000172515.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp32 description:ubiquitin specific peptidase 32 [Source:MGI Symbol;Acc:MGI:2144475] DASPVVIEPSSVLNGGKFSFGTAAHPIEHGEDRISNNLGYMNTTEEKYSDNISSASEASE STGSGYIINTRRRAIKTNSTANPWKRL >ENSMUSP00000101292.2 pep:known chromosome:GRCm38:4:151089570:151091449:1 gene:ENSMUSG00000078491.2 transcript:ENSMUST00000105667.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13090 description:predicted gene 13090 [Source:MGI Symbol;Acc:MGI:3650445] MLTPQAQLHSEHPAIQVTEKPGKGRSAGVPCNPHGNPRLYTRGRPFPSLAVASTNIVNRN KRAHSSETGALPPSLSNLIRPSWRISSEDYAPPWTEQPRGAAPQETKSQRL >ENSMUSP00000138944.1 pep:known chromosome:GRCm38:14:69337174:69367270:1 gene:ENSMUSG00000095463.8 transcript:ENSMUST00000184973.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd4 description:ectonucleoside triphosphate diphosphohydrolase 4 [Source:MGI Symbol;Acc:MGI:1914714] MGRIGISCLFPASWHFSISPVGCPRILNTNLRQIVVISILAAAVSLLYFSVVIIRSKYGW LSKDKKFQRYLARVTDVEATDTNNPSVNYGIVVDCGSSGSRIFVYCWPRHNGNPHDLLDI RQMRDKNRKPVVMKIKPGISEFATSPEKVSDYISPLLSFAAEHVPRAKHKETPLYILCTA GMRVLPESQQKAILEDLLTDIPVHYDFLFSDSHAEVISGKQEGVYAWIGINFVLGRFEHI EEDDEAVVEVNIPGSESSEAIVRKRTAGVLDMGGVSTQIAYEVPQTVSFASSQQEEVAKN LLAEFNLGCDVHQTEHVYRVYVATFLGFGGNAARQRYEDRLFASTVQKNRLLGKQTGLTP DAPLLDPCLPLDIKDEIQQNGQTLYLQGTGDFDLCRETLQPFMNKTNETQTSLNGVYQPP IHFQNSEFYGFSEFYYCTEDVLRMGGDYNAARFTQAAKDYCATKWSILRERFDRGLYASH ADLHRLKYQCFKSAWMFEVFHKGFSFPVTYKNLKTALQVYDKEVQWTLGAILYRTRFLPL RDIRQEVFRAGHAHWRGVSFVYNHYLFSGCFLVVLLSILLYLLRLRRIHRRAPRTGSLWM EEGLPSQKGPGPL >ENSMUSP00000138892.1 pep:known chromosome:GRCm38:14:69337198:69350333:1 gene:ENSMUSG00000095463.8 transcript:ENSMUST00000184314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd4 description:ectonucleoside triphosphate diphosphohydrolase 4 [Source:MGI Symbol;Acc:MGI:1914714] MGRIGISCLFPASWHFSISPVGCPRILNTNLRQIVVISILAAAVSLLYFSVVIIRSKYGW LSKDKKFQRGNSRLDSQQLTIGGENGLWAIMRWLNRHRNIWL >ENSMUSP00000065046.5 pep:known chromosome:GRCm38:14:69347587:69365739:1 gene:ENSMUSG00000095463.8 transcript:ENSMUST00000064831.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd4 description:ectonucleoside triphosphate diphosphohydrolase 4 [Source:MGI Symbol;Acc:MGI:1914714] MGRIGISCLFPASWHFSISPVGCPRILNTNLRQIVVISILAAAVSLLYFSVVIIRSKYGW LSKDKKFQRYLARVTDVEATDTNNPSVNYGIVVDCGSSGSRIFVYCWPRHNGNPHDLLDI RQMRDKNRKPVVMKIKPGISEFATSPEKVSDYISPLLSFAAEHVPRAKHKETPLYILCTA GMRVLPESQQKAILEDLLTDIPVHYDFLFSDSHAEVISGKQEGVYAWIGINFVLGRFEHI EEDDEAVVEVNIPGSESSEAIVRKRTAGVLDMGGVSTQIAYEVPQTVSFASSQQEEVAKN LLAEFNLGCDVHQTEHVYRVYVATFLGFGGNAARQRYEDRLFASTVQKNRLLGKQTGLTP DAPLLDPCLPLDIKDEIQQNGQTLYLQGTGDFDLCRETLQPFMNKTNETQTSLNGVYQPP IHFQNSEFYGFSEFYYCTEDVLRMGGDYNAARFTQAAKDYCATKWSILRERFDRGLYASH ADLHRLKYQCFKSAWMFEVFHKGFSFPVTYKNLKTALQVYDKEVQWTLGAILYRTRFLPL RDIRQEVFRAGHAHWRGVSFVYNHYLFSGCFLVVLLSILLYLLRLRRIHRRAPRTGSLWM EEGLPSQKGPGPL >ENSMUSP00000101480.1 pep:known chromosome:GRCm38:4:135759715:135815564:1 gene:ENSMUSG00000037139.15 transcript:ENSMUST00000105854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myom3 description:myomesin family, member 3 [Source:MGI Symbol;Acc:MGI:2685280] MTLPHSPGSAGEPQASQTVQVHRLEHRQEEEQKEERQHSLQMGSSVQRRTYRSSEEEQQF SSEDYALAAALALTASSELSWEAKLRRQTTTVELEERGQRRVGFGNDLERMELAFLRTQR LLRQRRDWKALRQRTEEKVREAKELIELCSGRGPWFWIPLRSHAVWEHTTVLLTCTVQGS PPFQVTWYKNDIRIDPRLFPAGKYRITNNYGLLTLEIMRCTVEDSATYTVLVKNAYGQAS SFAKVLIRNYLGKDAGFDSEIFKRSMFGPSAEFTSVLKPIFAQEKEPFSLTCLFSDDVLE AEQRIQWYRDGRLLRSSTRRQILYADRQASVKVSCAYKEDEGFYTIRVSSPFGPQEQSAY VFIRDAAAEKPGAPGSPLNVRCLNVHRDCLTLTWVPPSDTRGSTITGYSIEMCQGDSEEW MPCLKAPGGTCRCPIQGLVEGQSYQFRVRAISKAGTSLPSKASEAVVTGDYDAVHKSTEI PYDLGSKITISKNDFEDAVTIPSAPTNVHASEIREAYAVLSWEEPRPRGRAPLTYTLEKS VIGSGTWEAISTETPIKSPRFALLDLEKGKSYVFRVRALNQYGMSDPSEPSEPVALKGKP ATLPPPAQVQAFRNTQTSVSLAWEPVDGGSELLGYYIYSREAGASEWQTVNNKPIQDTKF TVPGLRTGKEYDFCIRSVSEAGVGESSAATQPVRVKQALATPSAPYDFALLNCGKNEMVI GWKPPKRRGGGKILGYFMDQHDSVESDWHPVNRQPIPSRVCKVTNLHEGHFYEFRARAVN WAGIGELSAPSSLFECKEWTMPEPGPPYDVRVSEVQATSVMLQWEPPLYIGAGPVTGYHV SFQEKGSEEWKPVTPDATSDTHLRVSDLQPGKQYMFRVQAMNSAGLGQPSVPTDPVLLED KPDAQEIEVGVDDEGQIYLAFEAPEAPDFPEFQWSKDYQGPPDPQRVEVEDEISKSKVIL KEPDLQDLGIYSVVVPDADEDTSASHTLTEEELNKLKKLSHEIRNPVIKLISGWNVEILE QGEVRLWLEVEKLSPAAELHLIFNEKEIFSSPNRKINFDREKGLVEVIIQQLSEDDKGSY TAQLQDGKAKNQITLALVDDEFDKLLRKADAKRRDWKRKQGPYFQEPLTWKVTDDCQVLL SCKVTNTKKESRFQWFFQKKEAPHGQYNPPTGDGSLSIEGFSKENQGVYRAVVSDERGED DTVLDLTGEALDAVLTELGRIGALSATPLKIQGTEEGIRLFSKVKYYNVDYMKTAWFHKD KRLESGDRVRAGTTLDEIWLHILDPKDSDKGKYTLEITAGKEVRQLSADLSGQAFDDALA EHQRLKALAVIEKNRAKVVRGLPDVATIMEDKTLCLTCVISGDPSPEISWLKNDQPISFF DRYHMEVKGTEVTVTIDKVTSEDSGRYGIFVKNKYGSETGQVTISVFKHGEEPKELKKK >ENSMUSP00000133381.1 pep:known chromosome:GRCm38:1:156558786:156625376:1 gene:ENSMUSG00000026596.13 transcript:ENSMUST00000173929.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abl2 description:v-abl Abelson murine leukemia viral oncogene 2 (arg, Abelson-related gene) [Source:MGI Symbol;Acc:MGI:87860] MGQQVGRVGEAPGLQQPQPRGIRGSSAARPSGRRRDPAGRTADAGFNVFTQHEVLHRPFG CDAESQALNEAIRWSSKENLLGATESDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNQ NGEWSEVRSKNGQGWVPSN >ENSMUSP00000126181.2 pep:known chromosome:GRCm38:1:156558987:156649568:1 gene:ENSMUSG00000026596.13 transcript:ENSMUST00000166172.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abl2 description:v-abl Abelson murine leukemia viral oncogene 2 (arg, Abelson-related gene) [Source:MGI Symbol;Acc:MGI:87860] MGQQVGRVGEAPGLQQPQPRGIRGSSAARPSGRRRDPAGRTADAGFNVFTQHDHFASCVE DGFEGDKTGGSSPEVLHRPFGCDAESQALNEAIRWSSKENLLGATESDPNLFVALYDFVA SGDNTLSITKGEKLRVLGYNQNGEWSEVRSKNGQGWVPSNYITPVNSLEKHSWYHGPVSR SAAEYLLSSLINGSFLVRESESSPGQLSISLRYEGRVYHYRINTTTDSKVYVTAESRFST LAELVHHHSTVADGLVTTLHYPAPKCNKPTVYGVSPIHDKWEMERTDITMKHKLGGGQYG EVYVGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLVQLLGVCTLEPPFYIV TEYMPYGNLLDYLRECSREEVTAVVLLYMATQISSAMEYLEKKNFIHRDLAARNCLVGEN HVVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNTFSIKSDVWAFGVLLWEIAT YGMSPYPGIDLSQVYDLLEKGYRMEQPEGCPPKVYELMRACWKWSPADRPSFAETHQAFE TMFHDSSISEEVAEELGRTASSSSVVPYLPRLPLLPSKTRTLRKQGENKENLDGGLDAAE SLASSSAPAGFIRSTQASSGSPALPRKQRDKSPSSLLEDAKETCFTRDRKGGFFSSFMKK RNAPTPPKRSSSFREMENQPHKKYELTGLPEQDRMAMTLPRNCQRSKLQLERTVSTSSQP EENVDRANDMLPKKSEEGAAPARERPKAKLLPRGATALPLRAPDPAITESDSPGVGVAGV AAAPKGKERNGGTRLGVAGVPEDGEQLGWSSPAKAVAVLPTTHNHKVPVLISPTLKHTPA DVQLIGTDSQGNKFKLLSEHQVTSSGDKDRPRRVKPKCAPPPPPVMRLLQHPSTCSDPEE EPTAPPAGQHTPETQEGGKKAAPGPMPSSGKPGRPVMPPPQVPLPTSSISPAKMANGTAG TKVALRKTKQAAEKISADKISKEALLECADLLSSAITEPVPNSQLVDTGHQLLDYCSGYV DSIPQTRNKFAFREAVSKLELSLQELQVSSTAAGVPGTNPVLNNLLSCVQEISDVVQR >ENSMUSP00000027888.6 pep:known chromosome:GRCm38:1:156559049:156642716:1 gene:ENSMUSG00000026596.13 transcript:ENSMUST00000027888.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abl2 description:v-abl Abelson murine leukemia viral oncogene 2 (arg, Abelson-related gene) [Source:MGI Symbol;Acc:MGI:87860] MGQQVGRVGEAPGLQQPQPRGIRGSSAARPSGRRRDPAGRTADAGFNVFTQHDHFASCVE DGFEGDKTGGSSPEVLHRPFGCDAESQALNEAIRWSSKENLLGATESDPNLFVALYDFVA SGDNTLSITKGEKLRVLGYNQNGEWSEVRSKNGQGWVPSNYITPVNSLEKHSWYHGPVSR SAAEYLLSSLINGSFLVRESESSPGQLSISLRYEGRVYHYRINTTTDSKVYVTAESRFST LAELVHHHSTVADGLVTTLHYPAPKCNKPTVYGVSPIHDKWEMERTDITMKHKLGGGQYG EVYVGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLVQLLGVCTLEPPFYIV TEYMPYGNLLDYLRECSREEVTAVVLLYMATQISSAMEYLEKKNFIHRDLAARNCLVGEN HVVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNTFSIKSDVWAFGVLLWEIAT YGMSPYPGIDLSQVYDLLEKGYRMEQPEGCPPKVYELMRACWKWSPADRPSFAETHQAFE TMFHDSSISEEVAEELGRTASSSSVVPYLPRLPLLPSKTRTLRKQGENKENLDGGLDAAE SLASSSAPAGFIRSTQASSGSPALPRKQRDKSPSSLLEDAKETCFTRDRKGGFFSSFMKK RNAPTPPKRSSSFREMENQPHKKYELTGNFSPVASLQHADGFSVAPSQQEPNLVPAKCYG GSFAQRNLCADDDSGGGGGSGTAGGGWSGITGFFTPRLIKKTLGLRAGKPTASDDTSKPF PRSNSTSSMSSGLPEQDRMAMTLPRNCQRSKLQLERTVSTSSQPEENVDRANDMLPKKSE EGAAPARERPKAKLLPRGATALPLRAPDPAITESDSPGVGVAGVAAAPKGKERNGGTRLG VAGVPEDGEQLGWSSPAKAVAVLPTTHNHKVPVLISPTLKHTPADVQLIGTDSQGNKFKL LSEHQVTSSGDKDRPRRVKPKCAPPPPPVMRLLQHPSTCSDPEEEPTAPPAGQHTPETQE GGKKAAPGPMPSSGKPGRPVMPPPQVPLPTSSISPAKMANGTAGTKVALRKTKQAAEKIS ADKISKEALLECADLLSSAITEPVPNSQLVDTGHQLLDYCSGYVDSIPQTRNKFAFREAV SKLELSLQELQVSSTAAGVPGTNPVLNNLLSCVQEISDVVQR >ENSMUSP00000140125.1 pep:known chromosome:GRCm38:1:156615923:156629993:1 gene:ENSMUSG00000026596.13 transcript:ENSMUST00000190749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abl2 description:v-abl Abelson murine leukemia viral oncogene 2 (arg, Abelson-related gene) [Source:MGI Symbol;Acc:MGI:87860] FSCCSAKGMVLGPVQLPSTSYCRDQDTLLPCLGRDVSEFAVAHVTDHFASCVEDGFEGDK TGGSSPEVLHRPFGCDAESQALNEAIRWSSKENLLGATESDPNLFVALYDFVASGDNTLS ITKGEKLRVLGYNQNGEWSEVRSKNGQGWVPSNYITPVNSLEKHSWYHGPVSRSAAEYLL SSLINGSFLVRESESSPGQLSISLRYEGRVYHYRINTTTDSKVYVTAESRFSTLAELVHH HSTVADGLVTTLHYPAPKCNKPTVYGVSP >ENSMUSP00000099493.4 pep:known chromosome:GRCm38:4:151003652:151044665:-1 gene:ENSMUSG00000028957.12 transcript:ENSMUST00000103204.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Per3 description:period circadian clock 3 [Source:MGI Symbol;Acc:MGI:1277134] MDPCGDPAVPGGDCPQTRGPGLQGASGQEGPLQGTCVDSSHSEHEDRNRMSEELIMVVQE MKKYFPAERHTKPSTLDALNYALRCVHSVQANSDFFQSLGPRGAHQADVTVYSLEDLTAL ASEHTSKNTDTFAAVFSFLSGRLVHISEQAALILNSKRGFLKSVHFVDLLAPQDVRAFYA HTAPTQLPFWNNWTQRASQYECAPAKPFFCRICGGGDREKRHYSPFRILPYLVHVHSSAQ PEPEPCCLTLVEKIHSGYEAPRIPVDKRIFTTTHTPGCVFLEVDERAVPLLGYLPQDLIG TSILTYLHPEDRPLMVAIHQKVLKYAGHPPFEHSPVRFCTQNGEYVILDSSWSSFVNPWS RKVSFIIGRHKVRTSPLNEDVFATRIKKAASNDKDIAELQEQIHKLLLQPVHASASSGYG SLGSSGSQEQHVSITSSSESSGHCPEEGQHEQMTLQQVYASVNKIKNVGQQLYIESMARS SVKPVAETCVEPQGGDEQKDFSSSQTLKNKSTTDTGSGGNLQQEQPSSSYQQMNCIDSVI RYLTSYSLPALKRKCISCTNTSSSSEEAKPIPEVDSSQRDTEQLLDIRKQETTGPSTDIE GGAARTLSTAALSVASGISQCSCSSTSGHAPPLQSESVAVACKPWALRTKASHLAAGGFK HVGLTAAVLSAHTQKEEQNYVDRFREKILTSPYGCYLQQESRNRAQYSCVQAGSTAKHSR CAGSERQKHKRKKLPAPVDTSSPGAHLCPHVTGLLPDEQHWGPSASPSPLGAGLAFPSAL VVPSQTPYLLPSFPLQDMASQGVGVSAAWGAAAGCPPLSAGPQAVAAFPSAYVDTLMTIF LHNAPLFPLWPPSFSPYPSLGAAGSSELAPLVPAMAPNPEPTTSGHSQRRVEENWEAHSE ELPFISSRSSSPLQLNLLQEEMPAPSESADAVRRGAGPDAKHHCVTGPSGSRSRHCTSGE LATATAQQESAAASGSSASSIYFSSTDYASEVSENRQRPQDRQRDEALPGAAEESIWRMI ERTPECVLMTYQVPERGREEVLKQDLEKLQSMEQQQPLFSPAQREELAKVRSWIHSHTAP QEGHLQSCVACEDRGSVGDTAEVLEQHPAEDTS >ENSMUSP00000118950.1 pep:known chromosome:GRCm38:4:151011437:151044665:-1 gene:ENSMUSG00000028957.12 transcript:ENSMUST00000136398.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Per3 description:period circadian clock 3 [Source:MGI Symbol;Acc:MGI:1277134] MDPCGDPAVPGGDCPQTRGPGLQGASGQEGPLQGTCVDSSHSEHEDRNRMSEELIMVVQE MKKYFPAERHTKPSTLDALNYALRCVHSVQANSDFFQSLGPRGAHQADVTVYSLEDLTAL ASEHTSKNTDTFAAVFSFLSGRLVHISEQAALILNSKRGFLKSVHFVDLLAPQDVRAFYA HTAPTQLPFWNNWTQRASQYECAPAKPFFCRICGGGDREKRHYSPFRILPYLVHVHSSAQ PEPEPCCLTLVEKIHSGYEAPRIPVDKRIFTTTHTPGCVFLEVDERAVPLLGYLPQDLIG TSILTYLHPEDRPLMVAIHQKVLKYAGHPPFEHSPVRFCTQNGEYVILDSSWSSFVNPWS RKVSFIIGRHKVRTYCVRGTTRRVTRHSHVEIRGHLRWSIFSPGLWDQTPVIRLAQQTVL PTAPSQ >ENSMUSP00000028679.4 pep:known chromosome:GRCm38:2:121439010:121444278:-1 gene:ENSMUSG00000027246.12 transcript:ENSMUST00000028679.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ell3 description:elongation factor RNA polymerase II-like 3 [Source:MGI Symbol;Acc:MGI:2673679] MEGTQEALSGKMRLLFTPAARTSLLMLRLNEAALRALQECQQQQVRPVIAFQGHRGYLRF PGPGWSCLFSFIVSQCGQEGTNGGLDLVYQRLGRSGPNCLHCLGSLRERLTIWAAMDTIP APLLAQEHLTEGTRESESWQDTGDEPEGHPQLAPDEVSDPLASHHEQSLPGSSSEPMAQW EMRNHTYLPSREPDQSLLSPASQKRLDKKRSAPITTEEPEEKRLRALPLASSPLQGLANQ DSQEGEDWGQDEDEEGDEDGDSRLEQSLSAPSASESPSPEEVPDYLLQYRAIHSTEQQQA YEQDFETDYAEYRILHARVGAASQRFTELGAEIKRLQRGTPEHKVLEDKIVQEYKKFRKR YPSYREEKHRCEYLHQKLSHIKGLILEFEEKNRGS >ENSMUSP00000112133.1 pep:known chromosome:GRCm38:2:121439027:121442601:-1 gene:ENSMUSG00000027246.12 transcript:ENSMUST00000116432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ell3 description:elongation factor RNA polymerase II-like 3 [Source:MGI Symbol;Acc:MGI:2673679] MEGTQEALSGKMRLLFTPAARTSLLMLRLNEAALRALQECQQQQVRPVIAFQGHRGYLRF PGPGWSCLFSFIVSQCGQEGTNGGLDLVYQRLGRSGPNCLHCLGSLRERLTIWAAMDTIP APLLAQEHLTEGTRESESWQDTGDEPEGHPQLAPDEVSDPLASHHEQSLPGSSSEPMAQW EMRNHTYLPSREPDQSLLSPASQKRLDKKRSAPITTEEPEEKRLRALPLASSPLQGLANQ DSQEGEDWGQDEDEEGDEDGDSRLEQSLSAPSASESPSPEEVPDYLLQYRAIHSTEQQQA YEQDFETDYAEYRILHARVGAASQRFTELGAEIKRLQRGTPEHKVLEDKIVQEYKKFRKR YPSYREEKHRCEYLHQKLSHIKGLILEFEEKNRGS >ENSMUSP00000079818.6 pep:known chromosome:GRCm38:6:134035700:134270155:1 gene:ENSMUSG00000030199.16 transcript:ENSMUST00000081028.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv6 description:ets variant 6 [Source:MGI Symbol;Acc:MGI:109336] MSETPAQSSIKQERISYTPPESPVASHRSSTPLHVHTVPRALRMEEDSIHLPTHLRLQPI YWSRDDVAQWLKWAENEFSLRPIESNKFEMNGKALLLLTKEDFRYRSPHSGDVLYELLQH ILKQRKSRMLFSPFFPPGDSIHTKPEVLLHQNHDEDNCVQRTPRTPAESVHHNPPTIELL HRPRSPITTNHRPSPDPEQQRPQRSPLDNMSRRLSPVEKAQGPRLQQENNHQETYPLSVS PVENNHCLPSSPWQESTRVIQLMPSPIMHPLILNPRHSHSVDFKQSRHSEDGMNREGKPI NLSHREDLAYLNHIMVSMSPPEEHAMPIGRIADCRLLWDYVYQLLSDSRYENFIRWEDKE SKIFRIVDPNGLARLWGNHKNRTNMTYEKMSRALRHYYKLNIIRKEPGQRLLFRFMKTPD EIMSGRTDRLEHLESQVLDEQTYQEDEPTIASPVGWPRGNLPTGTAGGVMEAGELGVAVK EETRE >ENSMUSP00000107594.1 pep:known chromosome:GRCm38:6:134035700:134270158:1 gene:ENSMUSG00000030199.16 transcript:ENSMUST00000111963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv6 description:ets variant 6 [Source:MGI Symbol;Acc:MGI:109336] MNGKALLLLTKEDFRYRSPHSGDVLYELLQHILKQRKSRMLFSPFFPPGDSIHTKPEVLL HQNHDEDNCVQRTPRTPAESVHHNPPTIELLHRPRSPITTNHRPSPDPEQQRPQRSPLDN MSRRLSPVEKAQGPRLQQENNHQETYPLSVSPVENNHCLPSSPWQESTRVIQLMPSPIMH PLILNPRHSHSVDFKQSRHSEDGMNREGKPINLSHREDLAYLNHIMVSMSPPEEHAMPIG RIADCRLLWDYVYQLLSDSRYENFIRWEDKESKIFRIVDPNGLARLWGNHKNRTNMTYEK MSRALRHYYKLNIIRKEPGQRLLFRFMKTPDEIMSGRTDRLEHLESQVLDEQTYQEDEPT IASPVGWPRGNLPTGTAGGVMEAGELGVAVKEETRE >ENSMUSP00000130761.1 pep:known chromosome:GRCm38:6:134035969:134248284:1 gene:ENSMUSG00000030199.16 transcript:ENSMUST00000164648.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv6 description:ets variant 6 [Source:MGI Symbol;Acc:MGI:109336] MSETPAQSSIKERISYTPPESPVASHRSSTPLHVHTVPRALRMEEDSIHLPTHLRLQPIY WSRDDVAQWLKWAENEFSLRPIESNKFEMNGKALLLLTKEDFRYRSPHSGDVLYELLQHI LKQRKSRMLFSPFFPPGDSIHTKPEVLLHQNHDEDNCV >ENSMUSP00000096260.1 pep:known chromosome:GRCm38:7:25614620:25615892:-1 gene:ENSMUSG00000074261.2 transcript:ENSMUST00000098663.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich4 description:glutamate rich 4 [Source:MGI Symbol;Acc:MGI:3646269] MVRTMEPWVQLKQAGLEPSGLGPLPKALRVPPPEGNPGQALMSSGAELGGARELILWIWE ELGNLRRVDVQLLGQLCDLGLEMGTFREELVTILEEEEEEEEQEEKSCVEENKGPEEKQD EERSRSSYPAQRLPDFEMTI >ENSMUSP00000109244.2 pep:known chromosome:GRCm38:17:42708936:42742179:-1 gene:ENSMUSG00000057899.6 transcript:ENSMUST00000113614.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrf2 description:adhesion G protein-coupled receptor F2 [Source:MGI Symbol;Acc:MGI:2182728] MIPAHWLYCLMLLLPIESCRILCQASSKSKEKVTSRPHDVCDGVCNNNGTPCFQSCPPDS EGNMKFACKAKKWHKVTETCHTLNTHSIFEEDKELYSVQSSDSTIRTHMFHRELKTIMDT LMEKCPKDLSCVIKGIERSPRMPGNIAVVVQLLHNISTTLTKDVNEEKMQSYSAMANHIL NSKSISNWTFIQDRNSSCVLLQSIHSFASKLFMKEHLINISHVFIHTLGTVVSRGSLGKN FTFSMRINETSDKVTGRLLLSPEELQKVPSAFQVISIAFPTLGAILEASLLENVTVNGLV LSVILPEELKNISLIFEKIRKSGERKSQCVGWHSLESRWDWRACKTIQENSRQAVCRCRP NKLYTSFSILMSPNTLESPVLTYITYIGLGISICSLIICLAIEVLVWSQVTKTEISYLRH LCIANIAATLLMADAWFIVASFLSGPVLHHNGCVAATFFVHFFYLSVFFWMLAKALLILY GILIVFHTLPKSCLVASLFSVGYGCPLVIAIITLAVTEPGKGYLRPEACWLNWDMTKALL AFVVPALAIVVVNLITVTMVIIKTQRAAIGSSMFQEVRAIVRICKNIAILTPLLGLTWGF GIATVINGHSLAFHIIFSLLNALQVSPDAAVDSELRECVHRFCG >ENSMUSP00000034029.7 pep:known chromosome:GRCm38:8:77663031:77724436:-1 gene:ENSMUSG00000031616.7 transcript:ENSMUST00000034029.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ednra description:endothelin receptor type A [Source:MGI Symbol;Acc:MGI:105923] MSIFCLAAYFWLTMVGGVMADNPERYSANLSSHMEDFTPFPGTEINFLGTTHRPPNLALP SNGSMHGYCPQQTKITTAFKYINTVISCTIFIVGMVGNATLLRIIYQNKCMRNGPNALIA SLALGDLIYVVIDLPINVFKLLAGRWPFDHNDFGVFLCKLFPFLQKSSVGITVLNLCALS VDRYRAVASWSRVQGIGIPLITAIEIVSIWILSFILAIPEAIGFVMVPFEYKGELHRTCM LNATSKFMEFYQDVKDWWLFGFYFCMPLVCTAIFYTLMTCEMLNRRNGSLRIALSEHLKQ RREVAKTVFCLVVIFALCWFPLHLSRILKKTVYDEMDKNRCELLSFLLLMDYIGINLATM NSCINPIALYFVSKKFKNCFQSCLCCCCHQSKSLMTSVPMNGTSIQWKNQEQNNHNTERS SHKDSMN >ENSMUSP00000078682.6 pep:known chromosome:GRCm38:5:33247723:33274994:-1 gene:ENSMUSG00000037373.12 transcript:ENSMUST00000079746.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp1 description:C-terminal binding protein 1 [Source:MGI Symbol;Acc:MGI:1201685] MGSSHLLNKGLPLGVRPPIMNGPMHPRPLVALLDGRDCTVEMPILKDVATVAFCDAQSTQ EIHEKVLNEAVGALMYHTITLTREDLEKFKALRIIVRIGSGFDNIDIKSAGDLGIAVCNV PAASVEETADSTLCHILNLYRRTTWLHQALREGTRVQSVEQIREVASGAARIRGETLGII GLGRVGQAVALRAKAFGFNVLFYDPYLSDGIERALGLQRVSTLQDLLFHSDCVTLHCGLN EHNHHLINDFTVKQMRQGAFLVNTARGGLVDEKALAQALKEGRIRGAALDVHESEPFSFS QGPLKDAPNLICTPHAAWYSEQASIEMREEAAREIRRAITGRIPDSLKNCVNKDHLTAAT HWASMDPAVVHPELNGAAYSRYPPGVVSVAPTGIPAAVEGIVPSAMSLSHGLPPVAHPPH APSPGQTVKPEADRDHTSDQL >ENSMUSP00000144554.1 pep:known chromosome:GRCm38:5:33247754:33274992:-1 gene:ENSMUSG00000037373.12 transcript:ENSMUST00000201575.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp1 description:C-terminal binding protein 1 [Source:MGI Symbol;Acc:MGI:1201685] MYHTITLTREDLEKFKALRIIVRIGSGFDNIDIKSAGDLGIAVCNVPAASVEETADSTLC HILNLYRRTTWLHQALREGTRVQSVEQIREVASGAARIRGETLGIIGLGRVGQAVALRAK AFGFNVLFYDPYLSDGIERALGLQRVSTLQDLLFHSDCVTLHCGLNEHNHHLINDFTVKQ MRQGAFLVNTARGGLVDEKALAQALKEGRIRGAALDVHESEPFSFSQGPLKDAPNLICTP HAAWYSEQASIEMREEAAREIRRAITGRIPDSLKNCVNKDHLTAATHWASMDPAVVHPEL NGAAYSRYPPGVVSVAPTGIPAAVEGIVPSAMSLSHGLPPVAHPPHAPSPGQTVKPEADR DHTSDQL >ENSMUSP00000144024.1 pep:known chromosome:GRCm38:5:33247754:33274992:-1 gene:ENSMUSG00000037373.12 transcript:ENSMUST00000202868.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp1 description:C-terminal binding protein 1 [Source:MGI Symbol;Acc:MGI:1201685] MSGVRPPIMNGPMHPRPLVALLDGRDCTVEMPILKDVATVAFCDAQSTQEIHEKVLNEAV GALMYHTITLTREDLEKFKALRIIVRIGSGFDNIDIKSAGDLGIAVCNVPAASVEETADS TLCHILNLYRRTTWLHQALREGTRVQSVEQIREVASGAARIRGETLGIIGLGRVGQAVAL RAKAFGFNVLFYDPYLSDGIERALGLQRVSTLQDLLFHSDCVTLHCGLNEHNHHLINDFT VKQMRQGAFLVNTARGGLVDEKALAQALKEGRIRGAALDVHESEPFSFSQGPLKDAPNLI CTPHAAWYSEQASIEMREEAAREIRRAITGRIPDSLKNCVNKDHLTAATHWASMDPAVVH PELNGAAYRYPPGVVSVAPTGIPAAVEGIVPSAMSLSHGLPPVAHPPHAPSPGQTVKPEA DRDHTSDQL >ENSMUSP00000144251.1 pep:known chromosome:GRCm38:5:33248025:33274981:-1 gene:ENSMUSG00000037373.12 transcript:ENSMUST00000202962.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp1 description:C-terminal binding protein 1 [Source:MGI Symbol;Acc:MGI:1201685] MSGVRPPIMNGPMHPRPLVALLDGRDCTVEMPILKDVATVAFCDAQSTQEIHEKVLNEAV GALMYHTITLTREDLEKFKALRIIVRIGSGFDNIDIKSAGDLGIAVCNVPAASVEETADS TLCHILNLYRRTTWLHQALREGTRVQSVEQIREVASGAARIRGETLGIIGLGRVGQAVAL RAKAFGFNVLFYDPYLSDGIERALGLQRVSTLQDLLFHSDCVTLHCGLNEHNHHLINDFT VKQMRQGAFLVNTARGGLVDEKALAQALKEGRIRGAALDVHESEPFRCPCALRWTMPTNL MTMTWETMYLSTALLLAGLGEGLLSALRRGQDPQESV >ENSMUSP00000144029.1 pep:known chromosome:GRCm38:5:33250383:33269488:-1 gene:ENSMUSG00000037373.12 transcript:ENSMUST00000201275.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctbp1 description:C-terminal binding protein 1 [Source:MGI Symbol;Acc:MGI:1201685] MSGVRPPIMNGPMHPRPLVALLDGRDCTVEMPILKDVATVAFCDAQSTQEIHEKASQCAM CRQHLWKKRQTPPCATS >ENSMUSP00000143877.1 pep:known chromosome:GRCm38:5:33250858:33274979:-1 gene:ENSMUSG00000037373.12 transcript:ENSMUST00000201372.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp1 description:C-terminal binding protein 1 [Source:MGI Symbol;Acc:MGI:1201685] MYHTITLTREDLEKFKALRIIVRIGSGFDNIDIKSAGDLGIAVCNVPAASVEETADSTLC HILNLYRRTTWLHQALREGTRVQSVEQIREVASGAARIRGETLGIIGLGRVGQAVALRAK AFGFNVLFYDPYLSDGIERALGLQRVSTLQDLLFHSDCVTLHCGLNEHNHHLINDFTVKQ >ENSMUSP00000144303.1 pep:known chromosome:GRCm38:5:33259301:33269636:-1 gene:ENSMUSG00000037373.12 transcript:ENSMUST00000202820.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp1 description:C-terminal binding protein 1 [Source:MGI Symbol;Acc:MGI:1201685] MSGVRPPIMNGPMHPRPLVALLDGRDCTVEMPILKDVATVAFCDAQSTQEIHEKVLNEAV GALMYHTITLTREDLEKFKALRIIVRIGSGFDNIDIKSAGDLGIAVCNVPAASVEET >ENSMUSP00000144672.1 pep:known chromosome:GRCm38:5:33261060:33274579:-1 gene:ENSMUSG00000037373.12 transcript:ENSMUST00000200899.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp1 description:C-terminal binding protein 1 [Source:MGI Symbol;Acc:MGI:1201685] MSGVRPPIMNGPMHPRPLVALLDGRDCTVEMPILKDVATVAFCDAQSTQEIHEKVLNEAV GALMYHTITLTREDLEKFKALRIIV >ENSMUSP00000144596.1 pep:known chromosome:GRCm38:5:33266952:33274590:-1 gene:ENSMUSG00000037373.12 transcript:ENSMUST00000202190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp1 description:C-terminal binding protein 1 [Source:MGI Symbol;Acc:MGI:1201685] MSGVRPPIMNGPMHPRPLVALLDGRDCTVEM >ENSMUSP00000068677.6 pep:known chromosome:GRCm38:14:25711642:25769039:-1 gene:ENSMUSG00000055538.7 transcript:ENSMUST00000069180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc24 description:zinc finger, CCHC domain containing 24 [Source:MGI Symbol;Acc:MGI:1919168] MSLLSAIDTSAASVYQPAQLLNWVYLSLQDTHQASAFDAFRPEPPAGAAPPELAFGKGRP EQLGSPLHSSYLNSVFQLQRGEALSSSVYRNASPYGSLNNIADGLSSLTEHFSDLTLTSE ARKPSKRPPPNYLCHLCFNKGHYIKDCPQARPKGEGLTPYQGKKRCFGEYKCPKCKRKWM SGNSWANMGQECIKCHINVYPHKQRPLEKPDGLDVSDQSKEHPQHLCEKCKVLGYYCRRV Q >ENSMUSP00000123181.1 pep:known chromosome:GRCm38:2:121449195:121453420:1 gene:ENSMUSG00000074884.12 transcript:ENSMUST00000139253.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serf2 description:small EDRK-rich factor 2 [Source:MGI Symbol;Acc:MGI:1337041] MTRGNQRELARQKNMKKQSDSVKGKRRDDGLSAAARKQRDSEIMQQKQKKANEKKEEPK >ENSMUSP00000106245.1 pep:known chromosome:GRCm38:2:121449378:121451290:1 gene:ENSMUSG00000074884.12 transcript:ENSMUST00000110615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serf2 description:small EDRK-rich factor 2 [Source:MGI Symbol;Acc:MGI:1337041] MTRGNQRELARQKNMKKQSDSVKGKRRDDGLSAAARKQRVGI >ENSMUSP00000097074.4 pep:known chromosome:GRCm38:2:121449383:121451054:1 gene:ENSMUSG00000074884.12 transcript:ENSMUST00000099475.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serf2 description:small EDRK-rich factor 2 [Source:MGI Symbol;Acc:MGI:1337041] MTRGNQRELARQKNMKKQSDSVKGKRRDDGLSAAARKQSAPSSLPPGTRRSCSRSRKRQT RRRRNPSSLVASCPTLLPSACVPGASPTMLEFLPVVLTGPSTDGIPFALSLQRVPFVLPS PQVASLPLGHSWG >ENSMUSP00000123257.1 pep:known chromosome:GRCm38:2:121449412:121458311:1 gene:ENSMUSG00000074884.12 transcript:ENSMUST00000154418.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serf2 description:small EDRK-rich factor 2 [Source:MGI Symbol;Acc:MGI:1337041] MTRGNQRELARQKNMKKQSDSVKGKRRDDGLSAAARKQSVLSSSWSFF >ENSMUSP00000121895.1 pep:known chromosome:GRCm38:2:121449412:121458313:1 gene:ENSMUSG00000074884.12 transcript:ENSMUST00000148575.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serf2 description:small EDRK-rich factor 2 [Source:MGI Symbol;Acc:MGI:1337041] MTRGNQRELARQKNMKKQSDSVKGKRRDDGLSAAARKQSFSRQAQRCGPRLPRPLSGRKL >ENSMUSP00000022163.8 pep:known chromosome:GRCm38:13:98309896:98316967:-1 gene:ENSMUSG00000021660.14 transcript:ENSMUST00000022163.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btf3 description:basic transcription factor 3 [Source:MGI Symbol;Acc:MGI:1202875] MRRTGAPTQADSRGRGRARGGWPGAEATPSLPLGGSRGRESQMKETIMNQEKLAKLQAQV RIGGKGTARRKKKVVHRTATADDKKLQFSLKKLGVNNISGIEEVNMFTNQGTVIHFNNPK VQASLAANTFTITGHAETKQLTEMLPSILNQLGADSLTSLRRLAEALPKQSVDGKAPLAT GEDDDDEVPDLVENFDEASKNEAN >ENSMUSP00000118093.1 pep:known chromosome:GRCm38:13:98309897:98317006:-1 gene:ENSMUSG00000021660.14 transcript:ENSMUST00000152704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btf3 description:basic transcription factor 3 [Source:MGI Symbol;Acc:MGI:1202875] MKETIMNQEKLAKLQAQVRIGGKGTARRKKKVVHRTATADDKKLQFSLKKLGVNNISGIE EVNMFTNQGTVIHFNNPKVQASLAANTFTITGHAETKQLTEMLPSILNQLGADSLTSLRR LAEALPKQSVDGKAPLATGEDDDDEVPDLVENFDEASKNEAN >ENSMUSP00000115500.1 pep:known chromosome:GRCm38:13:98309942:98316376:-1 gene:ENSMUSG00000021660.14 transcript:ENSMUST00000134542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btf3 description:basic transcription factor 3 [Source:MGI Symbol;Acc:MGI:1202875] MKETIMNQEKLAKLQAQVRIGGKGTARRKKKVVHRTATADDKKLQFSLKKLGVNNISGIE EVNMFTNQGTVIHFNNPKVQASLAANTFTITGHAETKQLTEMLPSILNQLGADSLTSLRR LAEALPKQSVDGKAPLATGEDDDDEVPDLVENFDEASKNEAN >ENSMUSP00000046480.7 pep:known chromosome:GRCm38:3:130695912:130709444:-1 gene:ENSMUSG00000041084.8 transcript:ENSMUST00000043937.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ostc description:oligosaccharyltransferase complex subunit [Source:MGI Symbol;Acc:MGI:1913607] METLYRVPFLVLECPNLKLKKPPWVHMPSAMTVYALVVVSYFLITGGIIYDVIVEPPSVG SMTDEHGHQRPVAFLAYRVNGQYIMEGLASSFLFTMGGLGFIILDRSNAPNIPKLNRFLL LFIGFVCVLLSFFMARVFMRMKLPGYLMG >ENSMUSP00000048439.2 pep:known chromosome:GRCm38:11:99315516:99322951:-1 gene:ENSMUSG00000035831.2 transcript:ENSMUST00000038004.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt25 description:keratin 25 [Source:MGI Symbol;Acc:MGI:1918060] MSLRLSSGSRRSYARPSTGSLRGASFGAGNACGVAGIGSGFSCAFGGSSTGGNTGVANSC AGFTVNEGGLLSGNEKVTMQNLNDRLASYLDNVQALQEANADLEQKIKGWYEKFGPGSCR GLDHDYSRYFPIIDDLKNQIITSTTSNANAVLQIDNARLTADDFRLKYENELALHQSVEA DVNGLRRVLDEITLCRTDLEIQYETLSEELTYLKKNHKEEMQALQCAAGGNVNVEMNAAP GVDLTVLLNNMRAEYEALAEQNRRDAEAWFQEKSASLQQQITEDVGATTSARNELTEMKR TLQTLEIELQSLLATKHSLECSLTETEGNYCTQLAQIQAQISALEEQLHQVRTETEGQKL EYEQLLNVKAHLEKEIETYCLLIGGDEGACKSSSYKSKDYGSGNAGNQIKDPVKAIVVKK VLEEVDQRSKILTTRLHSLEEKSQSN >ENSMUSP00000139102.1 pep:known chromosome:GRCm38:4:17852893:18117457:1 gene:ENSMUSG00000028226.15 transcript:ENSMUST00000183662.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mmp16 description:matrix metallopeptidase 16 [Source:MGI Symbol;Acc:MGI:1276107] MILLAFSSGRRLDFVHRSGVFFLQTLLWILCATVCGTEQYFNVEVWLQKYGYLPPTDPRM SVLRSAETMQSALAAMQQFYGINMTGKVDRNTIDWMKKPRCGVPDQTRGSSKFNIRRKRY ALTGQKWQHKHITYSIKNVTPKVGDPETRRAIRRAFDVWQNVTPLTFEEVPYSELENGKR DVDITIIFASGFHGDSSPFDGEGGFLAHAYFPGPGIGGDTHFDSDEPWTLGNPNHDGNDL FLVAVHELGHALGLEHSNDPTAIMAPFYQYMETDNFKLPNDDLQGIQKIYGPPDKIPPPT RPLPTVPPHRSVPPADPRRHDRPKPPRPPTGRPSYPGAKPNICDGNFNTLAILRREMFVF KDQWFWRVRNNRVMDGYPMQITYFWRGLPPSIDAVYENSDGNFVFFKASWMTVFC >ENSMUSP00000121087.1 pep:known chromosome:GRCm38:4:17853091:17996293:1 gene:ENSMUSG00000028226.15 transcript:ENSMUST00000142434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp16 description:matrix metallopeptidase 16 [Source:MGI Symbol;Acc:MGI:1276107] MILLAFSSGRRLDFVHRSGVFFLQTLLWILCATVCGTEQYFNVEVWLQKYGYLPPTDPRM SVLRSAETMQSALAAMQQFYGINMTGKVDRNTIDWMKKPRCGVPDQTRGSSKFNIRRKRY ALTGQKW >ENSMUSP00000029881.3 pep:known chromosome:GRCm38:4:17853458:18119145:1 gene:ENSMUSG00000028226.15 transcript:ENSMUST00000029881.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp16 description:matrix metallopeptidase 16 [Source:MGI Symbol;Acc:MGI:1276107] MILLAFSSGRRLDFVHRSGVFFLQTLLWILCATVCGTEQYFNVEVWLQKYGYLPPTDPRM SVLRSAETMQSALAAMQQFYGINMTGKVDRNTIDWMKKPRCGVPDQTRGSSKFNIRRKRY ALTGQKWQHKHITYSIKNVTPKVGDPETRRAIRRAFDVWQNVTPLTFEEVPYSELENGKR DVDITIIFASGFHGDSSPFDGEGGFLAHAYFPGPGIGGDTHFDSDEPWTLGNPNHDGNDL FLVAVHELGHALGLEHSNDPTAIMAPFYQYMETDNFKLPNDDLQGIQKIYGPPDKIPPPT RPLPTVPPHRSVPPADPRRHDRPKPPRPPTGRPSYPGAKPNICDGNFNTLAILRREMFVF KDQWFWRVRNNRVMDGYPMQITYFWRGLPPSIDAVYENSDGNFVFFKGNKYWVFKDTTLQ PGYPHDLITLGNGIPPHGIDSAIWWEDVGKTYFFKGDRYWRYSEEMKTMDPGYPKPITIW KGIPESPQGAFVHKENGFTYFYKGKEYWKFNNQILKVEPGYPRSILKDFMGCDGPTDRDK EGLSPPDDVDIVIKLDNTASTVKAIAIVIPCILALCLLVLVYTVFQFKRKGTPRHILYCK RSMQEWV >ENSMUSP00000116930.1 pep:known chromosome:GRCm38:4:17933991:18011695:1 gene:ENSMUSG00000028226.15 transcript:ENSMUST00000149353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp16 description:matrix metallopeptidase 16 [Source:MGI Symbol;Acc:MGI:1276107] MAGEVTQALGGAQKIVWLQKYGYLPPTDPRMSVLRSAETMQSALAAMQQFYGINMTGKVD RNTIDWMKKPRCGVPDQTRGSSKFNIRRKRYALTGQKWQHKHITYSIKNVTPKVGDPETR RAIRRAFDVWQNVTPLTFEEVPYSELENGKRDVDITIIFASGFHGDSSPFDGEG >ENSMUSP00000077909.5 pep:known chromosome:GRCm38:12:103763594:103773592:-1 gene:ENSMUSG00000071177.4 transcript:ENSMUST00000078869.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1d description:serine (or cysteine) peptidase inhibitor, clade A, member 1D [Source:MGI Symbol;Acc:MGI:891968] MTPSISWSLLLLAGLCCLVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFALRLYRELV HQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHKSFQHLLQT LNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVE KGTQGKIVEAVKKLDQDTVFALANYILFKGKWKQPFDPENTEEAEFHVDESTTVKVPMMT LSGMLDVHHCSMLSSWVLLMDYAGNTTAVFLLPDDGKMQHLEQTLNKELISQFLLNRRRS DAQIHIPRLSISGNYNLKTLMSPLGITRIFNNGADLSGITEENAPLKLSKAVHKAVLTID ETGTEAAAATVLQVATYSMPPIVRFDHPFLFIIFEEHTQSPIFVGKVVDPTHK >ENSMUSP00000099793.3 pep:known chromosome:GRCm38:2:62500943:62574075:-1 gene:ENSMUSG00000000392.17 transcript:ENSMUST00000102732.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fap description:fibroblast activation protein [Source:MGI Symbol;Acc:MGI:109608] MKTWLKTVFGVTTLAALALVVICIVLRPSRVYKPEGNTKRALTLKDILNGTFSYKTYFPN WISEQEYLHQSEDDNIVFYNIETRESYIILSNSTMKSVNATDYGLSPDRQFVYLESDYSK LWRYSYTATYYIYDLQNGEFVRGYELPRPIQYLCWSPVGSKLAYVYQNNIYLKQRPGDPP FQITYTGRENRIFNGIPDWVYEEEMLATKYALWWSPDGKFLAYVEFNDSDIPIIAYSYYG DGQYPRTINIPYPKAGAKNPVVRVFIVDTTYPHHVGPMEVPVPEMIASSDYYFSWLTWVS SERVCLQWLKRVQNVSVLSICDFREDWHAWECPKNQEHVEESRTGWAGGFFVSTPAFSQD ATSYYKIFSDKDGYKHIHYIKDTVENAIQITSGKWEAIYIFRVTQDSLFYSSNEFEGYPG RRNIYRISIGNSPPSKKCVTCHLRKERCQYYTASFSYKAKYYALVCYGPGLPISTLHDGR TDQEIQVLEENKELENSLRNIQLPKVEIKKLKDGGLTFWYKMILPPQFDRSKKYPLLIQV YGGPCSQSVKSVFAVNWITYLASKEGIVIALVDGRGTAFQGDKFLHAVYRKLGVYEVEDQ LTAVRKFIEMGFIDEERIAIWGWSYGGYVSSLALASGTGLFKCGIAVAPVSSWEYYASIY SERFMGLPTKDDNLEHYKNSTVMARAEYFRNVDYLLIHGTADDNVHFQNSAQIAKALVNA QVDFQAMWYSDQNHGISSGRSQNHLYTHMTHFLKQCFSLSD >ENSMUSP00000134074.1 pep:known chromosome:GRCm38:2:62501123:62503424:-1 gene:ENSMUSG00000000392.17 transcript:ENSMUST00000128139.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fap description:fibroblast activation protein [Source:MGI Symbol;Acc:MGI:109608] IYSERFMGLPTKDDNLEHYKNSTVMARAEYFRNVDYLLIHGTADGEV >ENSMUSP00000133792.1 pep:known chromosome:GRCm38:2:62501184:62573851:-1 gene:ENSMUSG00000000392.17 transcript:ENSMUST00000174234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fap description:fibroblast activation protein [Source:MGI Symbol;Acc:MGI:109608] MKTWLKTVFGVTTLAALALVVICIVLRPSRVYKPEGNTKRALTLKDILNGTFSYKTYFPN WISEQEYLHQSEDDNIVFYNIETRESYIILSNSTMLWRYSYTATYYIYDLQNGEFVRGYE LPRPIQYLCWSPVGSKLAYVYQNNIYLKQRPGDPPFQITYTGRENRIFNGIPDWVYEEEM LATKYALWWSPDGKFLAYVEFNDSDIPIIAYSYYGDGQYPRTINIPYPKAGAKNPVVRVF IVDTTYPHHVGPMEVPVPEMIASSDYYFSWLTWVSSERVCLQWLKRVQNVSVLSICDFRE DWHAWECPKNQEHVEESRTGWAGGFFVSTPAFSQDATSYYKIFSDKDGYKHIHYIKDTVE NAIQITSGKWEAIYIFRVTQDSLFYSSNEFEGYPGRRNIYRISIGNSPPSKKCVTCHLRK ERCQYYTASFSYKAKYYALVCYGPGLPISTLHDGRTDQEIQVLEENKELENSLRNIQLPK VEIKKLKDGGLTFWYKMILPPQFDRSKKYPLLIQVYGGPCSQSVKSVFAVNWITYLASKE GIVIALVDGRGTAFQGDKFLHAVYRKLGVYEVEDQLTAVRKFIEMGFIDEERIAIWGWSY GGYVSSLALASGTGLFKCGIAVAPVSSWEYYASIYSERFMGLPTKDDNLEHYKNSTVMAR AEYFRNVDYLLIHGTADDNVHFQNSAQIAKALVNAQVDFQAMWYSDQNHGISSGRSQNHL YTHMTHFLKQCFSLSD >ENSMUSP00000000402.9 pep:known chromosome:GRCm38:2:62501184:62573851:-1 gene:ENSMUSG00000000392.17 transcript:ENSMUST00000000402.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fap description:fibroblast activation protein [Source:MGI Symbol;Acc:MGI:109608] MKTWLKTVFGVTTLAALALVVICIVLRPSREQEYLHQSEDDNIVFYNIETRESYIILSNS TMKSVNATDYGLSPDRQFVYLESDYSKLWRYSYTATYYIYDLQNGEFVRGYELPRPIQYL CWSPVGSKLAYVYQNNIYLKQRPGDPPFQITYTGRENRIFNGIPDWVYEEEMLATKYALW WSPDGKFLAYVEFNDSDIPIIAYSYYGDGQYPRTINIPYPKAGAKNPVVRVFIVDTTYPH HVGPMEVPVPEMIASSDYYFSWLTWVSSERVCLQWLKRVQNVSVLSICDFREDWHAWECP KNQEHVEESRTGWAGGFFVSTPAFSQDATSYYKIFSDKDGYKHIHYIKDTVENAIQITSG KWEAIYIFRVTQDSLFYSSNEFEGYPGRRNIYRISIGNSPPSKKCVTCHLRKERCQYYTA SFSYKAKYYALVCYGPGLPISTLHDGRTDQEIQVLEENKELENSLRNIQLPKVEIKKLKD GGLTFWYKMILPPQFDRSKKYPLLIQVYGGPCSQSVKSVFAVNWITYLASKEGIVIALVD GRGTAFQGDKFLHAVYRKLGVYEVEDQLTAVRKFIEMGFIDEERIAIWGWSYGGYVSSLA LASGTGLFKCGIAVAPVSSWEYYASIYSERFMGLPTKDDNLEHYKNSTVMARAEYFRNVD YLLIHGTADDNVHFQNSAQIAKALVNAQVDFQAMWYSDQNHGISSGRSQNHLYTHMTHFL KQCFSLSD >ENSMUSP00000134386.1 pep:known chromosome:GRCm38:2:62501184:62573851:-1 gene:ENSMUSG00000000392.17 transcript:ENSMUST00000174448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fap description:fibroblast activation protein [Source:MGI Symbol;Acc:MGI:109608] MKTWLKTVFGVTTLAALALVVICIVLRPSRGNTKRALTLKDILNGTFSYKTYFPNWISEQ EYLHQSEDDNIVFYNIETRESYIILSNSTMKSVNATDYGLSPDRQFVYLESDYSKLWRYS YTATYYIYDLQNGEFVRGYELPRPIQYLCWSPVGSKLAYVYQNNIYLKQRPGDPPFQITY TGRENRIFNGIPDWVYEEEMLATKYALWWSPDGKFLAYVEFNDSDIPIIAYSYYGDGQYP RTINIPYPKAGAKNPVVRVFIVDTTYPHHVGPMEVPVPEMIASSDYYFSWLTWVSSERVC LQWLKRVQNVSVLSICDFREDWHAWECPKNQEHVEESRTGWAGGFFVSTPAFSQDATSYY KIFSDKDGYKHIHYIKDTVENAIQITSGKWEAIYIFRVTQDSLFYSSNEFEGYPGRRNIY RISIGNSPPSKKCVTCHLRKERCQYYTASFSYKAKYYALVCYGPGLPISTLHDGRTDQEI QVLEENKELENSLRNIQLPKVEIKKLKDGGLTFWYKMILPPQFDRSKKYPLLIQVYGGPC SQSVKSVFAVNWITYLASKEGIVIALVDGRGTAFQGDKFLHAVYRKLGVYEVEDQLTAVR KFIEMGFIDEERIAIWGWSYGGYVSSLALASGTGLFKCGIAVAPVSSWEYYASIYSERFM GLPTKDDNLEHYKNSTVMARAEYFRNVDYLLIHGTADDNVHFQNSAQIAKALVNAQVDFQ AMWYSDQNHGISSGRSQNHLYTHMTHFLKQCFSLSD >ENSMUSP00000134305.1 pep:known chromosome:GRCm38:2:62548584:62573560:-1 gene:ENSMUSG00000000392.17 transcript:ENSMUST00000173745.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fap description:fibroblast activation protein [Source:MGI Symbol;Acc:MGI:109608] MKSVNATDYGLSPDRQFVYLESDYSKLWRYSYTATYYIYDLQNGEFVRGYELPRPIQYLC WSP >ENSMUSP00000015981.5 pep:known chromosome:GRCm38:11:50199366:50210791:-1 gene:ENSMUSG00000015837.15 transcript:ENSMUST00000015981.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqstm1 description:sequestosome 1 [Source:MGI Symbol;Acc:MGI:107931] MASFTVKAYLLGKEEATREIRRFSFCFSPEPEAEAQAAAGPGPCERLLSRVAVLFPTLRP GGFQAHYRDEDGDLVAFSSDEELTMAMSYVKDDIFRIYIKEKKECRREHRPPCAQEAPRN MVHPNVICDGCNGPVVGTRYKCSVCPDYDLCSVCEGKGLHREHSKLIFPNPFGHLSDSFS HSRWLRKLKHGHFGWPGWEMGPPGNWSPRPPRAGDGRPCPTAESASAPPEDPNVNFLKNV GESVAAALSPLGIEVDIDVEHGGKRSRLTPTTPESSSTGTEDKSNTQPSSCSSEVSKPDG AGEGPAQSLTEQMKKIALESVGQPEEQMESGNCSGGDDDWTHLSSKEVDPSTEADPRLIE SLSQMLSMGFSDEGGWLTRLLQTKNYDIGAALDTIQYSKHPPPL >ENSMUSP00000099835.4 pep:known chromosome:GRCm38:11:50200152:50210827:-1 gene:ENSMUSG00000015837.15 transcript:ENSMUST00000102774.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqstm1 description:sequestosome 1 [Source:MGI Symbol;Acc:MGI:107931] MASFTVKAYLLGKEEATREIRRFSFCFSPEPEAEAQAAAGPGPCERLLSRVAVLFPTLRP GGFQAHYRDEDGDLVAFSSDEELTMAMSYVKDDIFRIYIKEKKECRREHRPPCAQEAPRN MVHPNVICDGCNGPVVGTRYKCSVCPDYDLCSVCEGKGLHREHSKLIFPNPFGHLSDSFS HSRWLRKLKHGHFGWPGWEMGPPGNWSPRPPRAGDGRPCPTAESASAPPEDPNVNFLKNV GESVAAALSPLGIEVDIDVEHGGKRSRLTPTTPESSSTGTEDKSNTQPSSCSSEVSKPDG AGEGPAQSLTEQMKKIALESVGQPEEQMESGNCSGGDDDWTHLSSKEVDPSTGELQSLQM PESEGPSSLDPSQEGPTGLKEAALYPHLPPEADPRLIESLSQMLSMGFSDEGGWLTRLLQ TKNYDIGAALDTIQYSKHPPPL >ENSMUSP00000120442.1 pep:known chromosome:GRCm38:11:50200167:50202954:-1 gene:ENSMUSG00000015837.15 transcript:ENSMUST00000136936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqstm1 description:sequestosome 1 [Source:MGI Symbol;Acc:MGI:107931] GTEDKSNTQPSSCSSEVSKPDGAGEGPAQSLTEQMKKIALESVGQPEEAALYPHLPPEAD PRLIESLSQMLSMGFSDEGGWLTRLLQTKNYDIGAALDTIQYSKHPPPL >ENSMUSP00000118662.1 pep:known chromosome:GRCm38:11:50200611:50210765:-1 gene:ENSMUSG00000015837.15 transcript:ENSMUST00000143379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqstm1 description:sequestosome 1 [Source:MGI Symbol;Acc:MGI:107931] MASFTVKAYLLGKEEATREIRRFSFCFSPEPEAEAQAAAGPGPCERLLSRVAVLFPTLRP GGFQAHYRDEDGDLVAFSSDEELTMAMSYVKDDIFRIYIKEKKECRREHRPPCAQEAPRN MVHPNVICDGCNGPVVGTRYKCSVCPDYDLCSVCEGKGLHREHSKLIFPNPFGHLSDSFS HSRWLRKLKHGHFGWPGWEMGPPGNWSPRPPRAGDGRPCPTAESASAPPEDPNVNFLKNV GESVAAALSPLGIEVDIDVEHGGKRSRLTPTTPESSSTGTEDKSNTQPSSCSSEVSKPDG AGEGPAQSLTEQMKKIALECCPWVSRMKAAGSPGSYRPRITTSGLLWTRSSIRSTLHHCD SAVAKPHPLCLVVASRRAAGLL >ENSMUSP00000097530.2 pep:known chromosome:GRCm38:2:83990553:83993045:1 gene:ENSMUSG00000079247.2 transcript:ENSMUST00000099947.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13691 description:predicted gene 13691 [Source:MGI Symbol;Acc:MGI:3702053] MKSSVAHMKQSSGHNRRETHSSYCRSSSPEDRYTEQERFPRDRDYSDYSRSDYERSRRGY SYDDSMESRSRDREKRRERERDADHRKRPWKSPSPDRSPARGIRQSSPQEEPTWKKKKDE LDPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISII IQELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNF RKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECG LKLAQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVE EDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGS SEDEEEEEEEEEEEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHK LLKMEFAESQTKELCNMILDCCAQQRTYENFFGLLAGRFCMLEKEYMESFESIFKEQYDT IHRLETNKLRNVAKVFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMG LPKLNARLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIV AQKPEAEQKKTALTSSSSESSSASDSSDSDSDSSESSSESSSEASDSSSSSSTQSSTSAK GTRKKRQGKARGEEVDKLARGHQALERRQGGREDQRHQEGRTERARSERHRAQNSRDADW RDSLAKHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKKQREKRFFL >ENSMUSP00000049380.5 pep:known chromosome:GRCm38:17:43270329:43324737:1 gene:ENSMUSG00000041293.5 transcript:ENSMUST00000047399.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrf1 description:adhesion G protein-coupled receptor F1 [Source:MGI Symbol;Acc:MGI:1924846] MRIGLLWLVPLFTLTEGTDGFLQQKNDGRRTKEIVSMVEERHPVHEYEVLLQVTYRDPEE KRELKRFLKLLKSPSPSLRGPSKIIRVKATTYCRSRKGFLECACEDSYTWFPPSCLDPQN CCLHTTGPVPSCNCSLRGLRQSINFCERAKVWGTFEIDEKFPEDLWNSSSDVYAHYTVGI ENQLKEAYRRVHGFESVRVTQFRKGSIVVGYEVTGSTSPPELLFAIEQEAEKAQEALRRQ FPVKYGSFRVFGKAPCNSISFGFGSENDEYTVPCSSGFTGSMTVRCQSSGWQITRESCVL SQLEELKKELRMIAGKITEAGVASLVQNLSTILLQSPSTTVGNLGSVVSLLSNISSLSLA NSLTVSNLTLMNVINIADHILDSASITNWTILLQDAKDASSQLLKTLESISSLIPSMALP LNFSGKFIDWKGTPVTQIQSTRGYNYQMEMRQNASLPIRGHVFIEPDQFQKSHPKTIISM ASLTFGDILPITQRGNAWVNGPVISTLIQNYSISEIFLNFSKIKGNLTQPRCVFWDFSQL QWSNAGCQLVNETLDTVLCRCSHLTSFSMLMSPFVPSSVVPVVKWITYIGLSISIASLIL CLIIESLFWKQTKRSQTSYTRNICLVNIAVSLLIADVWFIIAATVDPSVSPSGVCVAAVF FTHFFYLAVFFWMLVLGILLAYRIILVFHHMALTTMMAIGFCLGYGCPLLISIITLAVTQ PSNSYKRNDVCWLNWSDKSKPLLAFVVPALTIVAVNLVVVLLVLRKLWRPAVGERLNQDD KATAIRMGKSLLVLTPLLGLTWGFGIGTMANSHNLAWHVLFALLNAFQGFFIFCFGILLD TKLRQLLSNKLTTLSSWKQTSKRNASDTVTQPKCLRTFNILQHRGMYALSHTGDSSSDIT LTQFLSTE >ENSMUSP00000130629.1 pep:known chromosome:GRCm38:5:104508352:104522611:1 gene:ENSMUSG00000079065.3 transcript:ENSMUST00000096452.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC005561 description:cDNA sequence BC005561 [Source:MGI Symbol;Acc:MGI:3040669] MAMTSTTVVVPAEWIKTWEKSGRGEFLRLCRILSESKSRDTFAWRDFQQALYELSYHVIK GTLKPEQASNVLNDISEFREDIPFILADIFCILDIETNCLEEKSKRDHFTQLILACLYLV SDTVLKERLDPETLGSLGLIKQSQQFNQKSVKIKTKLFYKQQKFNLLREENEGYAKLIVE LGQDLSGNITSDLILEILKSLIGCFNLDPNRVLDIILEVFECRPEHHDFFISLLEAYMSM CEPHTLCHILGFKFKFYQEPNGETPSSLYRAAAVLLQFNLIDLDDLYVHLLPADSCIVSE YKREIVEAKQIVKKLTMVVLPSEKSDEREKEKKKDDKVEKAPDNQKLGLLEALLIIGDWK HAQSIMDHMPPYYATSHKVIALAICNLIHITIEPIYRRVGVPKGAKGSPVNALQNKKAPK QAESFEDLRRDVFSMFYYLGPHLSHDPILFAKVVRIGKSFMKEFQSDGKQENKEKMEAIL SCLLSVTDQVLLPSLSLMDCNACMSEELWGMFKTFPYQHRYRLYGQWKNETYNSHPLLVK VKAYTIDRAKYIMKRLTKENVKPSGRQIGKLSHSNPTILFDYILSQIQKYDNLITPVVDS LKYLTALNYDVLAYCIIEALANPEKERMKHDDTTISNWLQSLASFCGAVFRKYPIDLAGL LQYVANQLKAGKSFDLLILKEVVQKMAGIEVTEEMTMEQLEAMTGGEQLKAEGGYFGQIR NTKKSSQRLKDALLDHDLALPLCLLMAQQRNGVIFQEGGEKHLKLVGKLYDQCHDTLVQF GGFLASNLSTDDYIKRVPSIDVLCNEFHTPHDAAFFLSRPMYAHHISSKYDELKKSEKGS KQQHKVHKYIMSCEMVMAPVHEAVVSLHISKVWDDISPQFYTTFWSLTMYDLAVPHTSYE REVNKLKIQMKAVDDSQEMPLNKKKKEKERCTALQDKLLEEEKKQTEHVQRVLHRLKLEK DNWLLAKSTKNETITKFLQLCIFPRCIFSAIDSVYCAHFVELVHQQKTPNFSTLLCYDRV FSDIIYMVASFTENEASRYGRFLCCMLETVTRWHSDRSTYEKECGNYPGFLTILRATGFD GGNKADQLDYENFRHVVHKWHYKLTKASVHCLETGEYTHIRNILIVLTKILPWYPKVLNL GQALERRVHKICQEEKEKKPDLYALAMVYSGQLKSRKSYMIPENEFHHKDPPPRNTATNL QPSGPCSGLPSSIGSMCKLDESSAEEADKSRERAQCAVKAANKASSVTPKGNLSNGNSGS NSKAVKENDKEKGKEKEKEKKEKTPAVTPEARVLGKDSKEKPKEEQPNKDEKIREAKERM PKSDKDKEKLKKEEKAKDEKFRIIVANVESKSTQEREKEKEPSKERDLAKEMKSKENVKG GEKAPVSGSLKSPISRTDITEPEREKRRKVDSHPSPSHSSTIKDSLVKLKESSAKLYINH IPPLLCKSKEREADKKDLDKSRERSREREKKEEKDRKERKRDYSNNDREAPLDLIKRRKD ENGILGVSKHKSESPCESLYPNEKDKEKMKSKSSGKEKGDSFKPEKIDKISSGKKESGHD KEKIEKKEKWDGSGDKEEKKHHKTSDKHR >ENSMUSP00000002064.8 pep:known chromosome:GRCm38:2:127070667:127097084:1 gene:ENSMUSG00000001999.15 transcript:ENSMUST00000002064.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blvra description:biliverdin reductase A [Source:MGI Symbol;Acc:MGI:88170] MSTEPKRKFGVVVVGVGRAGSVRIRDLKDPHSSAFLNLIGYVSRRELGSLDNVRQISLED ALRSQEVDVAYICTESSSHEDYIRQFLQAGKHVLVEYPMALSFAAAQELWELAAQKGRVL HEEHIELLMEEFEFLKREVAGKELLKGSLRFTASPLEEEKFGFPAFSGISRLTWLVSLFG ELSLISATMENRKEDQYMKMTVQLETQNKSPLSWIEEKGPGLKRNRHISIHFKSGSLEEV PNVGVNKNIFLKDQDIFIQKLLGQVSAEDLAAEKKRILHCLELASDIQRLCHRKQ >ENSMUSP00000118278.1 pep:known chromosome:GRCm38:2:127070679:127090349:1 gene:ENSMUSG00000001999.15 transcript:ENSMUST00000135529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blvra description:biliverdin reductase A [Source:MGI Symbol;Acc:MGI:88170] MSTEPKRKFGVVVVGVGRAGSVRIRDLKDPHSSAFLNLIGYVSRRELGSLDNVRQISLED ALRSQEVDVAYICTESSSHEDYIRQFLQAGKHVLVEYPMALSFAAAQELWELAAQKDYHH TCCCAWCLLLCPLPAAVPAACCYAWCLLLCLL >ENSMUSP00000106019.3 pep:known chromosome:GRCm38:2:127070693:127087419:1 gene:ENSMUSG00000001999.15 transcript:ENSMUST00000110389.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blvra description:biliverdin reductase A [Source:MGI Symbol;Acc:MGI:88170] MSTEPKRKFGVVVVGVGRAGSVRIRDLKDPHSSAFLNLIGYVSRRELGSLDNVRQISLED ALRSQEVDVAYICTESSSHEDYIRQFLQAGKHVLVEYPMALSFAAAQELWELAAQKARGW VENLLAQRSRGSTQLSFLLS >ENSMUSP00000116825.1 pep:known chromosome:GRCm38:2:127080277:127092146:1 gene:ENSMUSG00000001999.15 transcript:ENSMUST00000142737.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blvra description:biliverdin reductase A [Source:MGI Symbol;Acc:MGI:88170] MSTEPKRKFGVVVVGVGRAGSVRIRDLKDPHSSAFLNLIGYVSRRELGSLDNVRQISLED ALRSQEVDVAYICTESSSHEDYIRQFLQAGKHVLVEYPMALSFAAAQELWELAAQKGRVL HEEHIELLMEEFEFLKREVAGKELLKGSLRFT >ENSMUSP00000095625.3 pep:known chromosome:GRCm38:7:128062683:128128160:1 gene:ENSMUSG00000108596.1 transcript:ENSMUST00000098015.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgam description:integrin alpha M [Source:MGI Symbol;Acc:MGI:96607] MTLKALLVTALALCHGFNLDTEHPMTFQENAKGFGQSVVQLGGTSVVVAAPQEAKAVNQT GALYQCDYSTSRCHPIPLQVPPEAVNMSLGLSLAVSTVPQQLLACGPTVHQNCKENTYVN GLCYLFGSNLLRPPQQFPEALRECPQQESDIVFLIDGSGSINNIDFQKMKEFVSTVMEQF KKSKTLFSLMQYSDEFRIHFTFNDFKRNPSPRSHVSPIKQLNGRTKTASGIRKVVRELFH KTNGARENAAKILVVITDGEKFGDPLDYKDVIPEADRAGVIRYVIGVGNAFNKPQSRREL DTIASKPAGEHVFQVDNFEALNTIQNQLQEKIFAIEGTQTGSTSSFEHEMSQEGFSASIT SNGPLLGSVGSFDWAGGAFLYTSKDKVTFINTTRVDSDMNDAYLGYASAVILRNRVQSLV LGAPRYQHIGLVVMFRENFGTWEPHTSIKGSQIGSYFGASLCSVDMDADGNTNLILIGAP HYYEKTRGGQVSVCPLPRGRARWQCEALLHGDQGHPWGRFGAALTVLGDVNGDKLTDVAI GAPGEQENQGAVYIFYGASIASLSASHSQRIIGAHFSPGLQYFGQSLSGGKDLTMDGLMD LAVGAQGHLLLLRAQPVLRLEATMEFSPKKVARSVFACQEQVLKNKDAGEVRVCLRVRKN TKDRLREGDIQSTVTYDLALDPGRSRIRAFFDETKNNTRRRTQVFGLMQKCETLKLILPD CVDDSVSPIILRLNYTLVGEPLRSFGNLRPVLAMDAQRFFTAMFPFEKNCGNDSICQDDL SITMSAMGLDTLVVGGPQDFNMSVTLRNDGEDSYGTQVTVYYPSGLSYRKDSASQNPLTK KPWFVKPAESSSSSEGHGALKSTTWNINHPIFPANSEVTFNVTFDVDSHASFGNKLLLKA IVASENNMSRTHKTKFQLELPVKYAIYMIVTSDESSIRYLNFTASEMTSKVIQHQYQFNN LGQRSLPVSVVFWIPVQINNVTVWDHPQVIFSQNLSSACHTEQKSPPHSNFRDQLERTPV LNCSVAVCKRIQCDLPSFNTQEIFNVTLKGNLSFDWYIKTSHGHLLLVSSTEILFNDSAF ALLPGQESYVRSKTETKVEPYEVHNPVPLIVGSSIGGLVLLALITAGLYKAASAVNNAEM VKIKARDLHDKKKEELLKQLDDLKVELSQLPVAKVTGSAASKLSNPKSSLLLTRLKKKTS GNSTRARSTSPWACDPRRLEPCVTRSPNTRRS >ENSMUSP00000117120.1 pep:known chromosome:GRCm38:7:128116436:128127216:1 gene:ENSMUSG00000108596.1 transcript:ENSMUST00000134694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgam description:integrin alpha M [Source:MGI Symbol;Acc:MGI:96607] XEILFNDSAFALLPGQESYVRSKAASAVNNAEMVKIKARDLHDKKKEELLKQLDDLKVEL SQLPVAKVTGSAASKLSNPKSSLLLTRLKKKTSGNSTRARSTSPWACDPRRLEPCVTRSP NTRRS >ENSMUSP00000052341.1 pep:known chromosome:GRCm38:2:87191689:87192645:1 gene:ENSMUSG00000044487.1 transcript:ENSMUST00000053050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1112 description:olfactory receptor 1112 [Source:MGI Symbol;Acc:MGI:3030946] MDGSTLQSPQQNHSTFVAFILLGFSDVPNLQEFLFGLFLMVYLIILMGNSLIIIIIRADP SLQTPMYFFLGNFSFLEMCYVSVTLPRLLTDLYRQDRIISFMACATQMYFFLIFGATECF ILTAMAYDRYVAICNPLLYPLIMNNSLCIQLAAGCWISGVPVHIGFTYWIFSLPFCGSNQ LNHFFCDIPPVLTLACGDTFMIEMLIYVIALLVVTIPFMLILASYVKIISSILKLPSATG RAKAFSTCSSHLIVVALFFGSGIITYLRPKSSHSAGVDKFLSLFYTVVTPLFNPMIYCLR NKDVMIALKKIFLRCFML >ENSMUSP00000134788.1 pep:known chromosome:GRCm38:2:4017744:4614041:1 gene:ENSMUSG00000026657.16 transcript:ENSMUST00000177457.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4a description:FERM domain containing 4A [Source:MGI Symbol;Acc:MGI:1919850] MAVQLVPDSALSLLMMTEGRRCQVHLLDDRKLELLVQPKLLAKELLDLVASHFNLKEKEY FGIAFTDETGHLNWLQLDRRVLEHDFPKKSGPVVLYFCVRFYIESISYLKDNATIELFFL NAKSCIYKELIDVDSEVVFELASYILQEAKGDFSSNEVVRSDLKKLPALPTQALKEHPSL AYCEDRVIEYYKKLNGQTRGQAIVNYMSIVESLPTYGVHYYAVKDKQGIPWWLGLSYKGI FQYDYHDKVKPRKIFQWRQLENLYFREKKFSVEVHDPRRASVTRRTFGHSGIAVHTWYAC PALIKSIWAMAISQHQFYLDRKQSKSKIHAARSLSEIAIDLTETGTLKTSKLANMGSKGK IISGSSGSLLSSGSQESDSSQSAKKDMLAALKSRQEALEETLRQRLEELKRLCLREAELT GKLPVEYPLDPGEEPPIVRRRIGTAFKLDEQKILPKGEEAELERLEREFAIQSQITEAAR RLASDPNVSKKLKKQRKTSYLNALKKLQEIENAINENRIKSGKKPTQRASLVIDDGNIAS EDSSLSDALVLEDEDSQVTSTISPLQSPHKGLPPRPPSSHNRPPPPQSLEGLRQLHYHRT DYDKSPLKPKMWSESSLDEPYEKVKKRSSHGHSSSHKRFPSTGSCTEAGVSSSLQNSPIR SLPHWNSQSSMPSTPDLRVRSPHYVHSTRSVDISPTRLHSLALHFRHRSSSLESQGKLLG SENDTGSPDFYTPRTRSSNGSDPMDDCSSCTSHSSSEHYYPAQMNANYSTLAEDSPSKAR QRQRQRQRAAGALGSASSGSMPNLAARSGAASTGGGVYLHSQSQPSSQYRIKEYPLYIEG SATPVVVRSLESDQEGHYSVKAQFKTSNSYTAGGLFKESWRGGGDEGDAGRLTPSRSQIL RTPSLGRDGAHDKGSGRAAVSDELRQWYQRSTASHKEHSRLSHTSSTSSDSGSQYSTSSQ STFVAHSRVTRMPQMCKATSAALPQSQRSSTPSSEIGATPPSSPHHILTWQTGEATENSP IMDGSESPTHQSTDE >ENSMUSP00000089079.4 pep:known chromosome:GRCm38:2:4152872:4613942:1 gene:ENSMUSG00000026657.16 transcript:ENSMUST00000091497.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4a description:FERM domain containing 4A [Source:MGI Symbol;Acc:MGI:1919850] MEGLLSPMRTKMTEGRRCQVHLLDDRKLELLVQPKLLAKELLDLVASHFNLKEKEYFGIA FTDETGHLNWLQLDRRVLEHDFPKKSGPVVLYFCVRFYIESISYLKDNATIELFFLNAKS CIYKELIDVDSEVVFELASYILQEAKGDFSSNEVVRSDLKKLPALPTQALKEHPSLAYCE DRVIEYYKKLNGQTRGQAIVNYMSIVESLPTYGVHYYAVKDKQGIPWWLGLSYKGIFQYD YHDKVKPRKIFQWRQLENLYFREKKFSVEVHDPRRASVTRRTFGHSGIAVHTWYACPALI KSIWAMAISQHQFYLDRKQSKSKIHAARSLSEIAIDLTETGTLKTSKLANMGSKGKIISG SSGSLLSSGSQESDSSQSAKKDMLAALKSRQEALEETLRQRLEELKRLCLREAELTGKLP VEYPLDPGEEPPIVRRRIGTAFKLDEQKILPKGEEAELERLEREFAIQSQITEAARRLAS DPNVSKKLKKQRKTSYLNALKKLQEIENAINENRIKSGKKPTQRASLVIDDGNIASEDSS LSDALVLEDEDSQVTSTISPLQSPHKGLPPRPPSSHNRPPPPQSLEGLRQLHYHRTDYDK SPLKPKMWSESSLDEPYEKVKKRSSHGHSSSHKRFPSTGSCTEAGVSSSLQNSPIRSLPH WNSQSSMPSTPDLRVRSPHYVHSTRSVDISPTRLHSLALHFRHRSSSLESQGKLLGSEND TGSPDFYTPRTRSSNGSDPMDDCSSCTSHSSSEHYYPAQMNANYSTLAEDSPSKARQRQR QRQRAAGALGSASSGSMPNLAARSGAASTGGGVYLHSQSQPSSQYRIKEYPLYIEGSATP VVVRSLESDQEGHYSVKAQFKTSNSYTAGGLFKESWRGGGDEGDAGRLTPSRSQILRTPS LGRDGAHDKGSGRAAVSDELRQWYQRSTASHKEHSRLSHTSSTSSDSGSQYSTSSQSTFV AHSRVTRMPQMCKATSAALPQSQRSSTPSSEIGATPPSSPHHILTWQTGSYSDSCFLDSS LYPELADVQWYGQEKAKPGTLV >ENSMUSP00000135306.1 pep:known chromosome:GRCm38:2:4300518:4572439:1 gene:ENSMUSG00000026657.16 transcript:ENSMUST00000175669.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4a description:FERM domain containing 4A [Source:MGI Symbol;Acc:MGI:1919850] MVVQTAVTPNRPQRLLKIPYGSLRRRSVERMTEGRRCQVHLLDDRKLELLVQPKLLAKEL LDLVASHFNLKEKEYFGIAFTDETGHLNWLQLDRRVLEHDFPKKSGPVVLYFCVRFYIES ISYLKDNATIELFFLNAKSCIYKELIDVDSEVVFELASYILQEAKGDFSSNEVVRSDLKK LPALPTQALKEHPSLAYCEDRVIEYYKKLNGQTRGQAIVNYMSIVESLPTYGVHYYAVKD KQGIPWWLGLSYKGIFQYDYHDKVKPRKIFQWRQLENLYFREKKFSVEVHDPRRASVTRR TFGHSGIAVHTWYACPALIKSIWAMAISQHQFYLDRKQSKSKIHAARSLSEIAIDLTETG TLKTSKLANMGSKGKIISGSSGSLLSS >ENSMUSP00000135432.1 pep:known chromosome:GRCm38:2:4301003:4560260:1 gene:ENSMUSG00000026657.16 transcript:ENSMUST00000176803.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Frmd4a description:FERM domain containing 4A [Source:MGI Symbol;Acc:MGI:1919850] RMTEGRRCQVHLLDDRKLELLVQPKLLAKELLDLVASHFNLKEKEYFGIAFTDETGHLNW LQLDRRVLEHDFPKKSGPVVLYFCVRFYIESISYLKDNATIELFFLNAKSCIYKQ >ENSMUSP00000135686.1 pep:known chromosome:GRCm38:2:4332466:4594640:1 gene:ENSMUSG00000026657.16 transcript:ENSMUST00000175944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4a description:FERM domain containing 4A [Source:MGI Symbol;Acc:MGI:1919850] MAAGLLGSEDVPCSWDLACRLLRTCREGNLHRLQLMLKPWCIPNAVYQMTEGRRCQVHLL DDRKLELLVQPKLLAKELLDLVASHFNLKEKEYFGIAFTDETGHLNWLQLDRRVLEHDFP KKSGPVVLYFCVRFYIESISYLKDNATIELFFLNAKSCIYKELIDVDSEVVFELASYILQ EAKGDFSSNEVVRSDLKKLPALPTQALKEHPSLAYCEDRVIEYYKKLNGQTRGQAIVNYM SIVESLPTYGVHYYAVKDKQGIPWWLGLSYKGIFQYDYHDKVKPRKIFQWRQLENLYFRE KKFSVEVHDPRRASVTRRTFGHSGIAVHTWYACPALIKSIWAMAISQHQFYLDRKQSKSK IHAARSLSEIAIDLTETGTLKTSKLANMGSKGKIISGSSGSLLSSGSQESDSSQSAKKDM LAALKSRQEALEETLRQRLEELKRLCLREAELTGKLPVEYPLDPGEEPPIVRRRIGTAFK LDEQKILPKGEEAELERLEREFAIQSQITEAARRLASDPNVSKKL >ENSMUSP00000110689.2 pep:known chromosome:GRCm38:2:4389614:4529798:1 gene:ENSMUSG00000026657.16 transcript:ENSMUST00000115037.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4a description:FERM domain containing 4A [Source:MGI Symbol;Acc:MGI:1919850] MTEGRRCQVHLLDDRKLELLVQPKLLAKELLDLVASHFNLKEKEYFGIAFTDETGHLNWL QLDRRVLEHDFPKKSGPVVLYFCVRFYIESISYLKDNATIELFFLNAKSCIYKELIDVDS EVVFELASYILQEAKGDFSSNE >ENSMUSP00000075172.7 pep:known chromosome:GRCm38:2:4400975:4614043:1 gene:ENSMUSG00000026657.16 transcript:ENSMUST00000075767.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4a description:FERM domain containing 4A [Source:MGI Symbol;Acc:MGI:1919850] MTEGRRCQVHLLDDRKLELLVQPKLLAKELLDLVASHFNLKEKEYFGIAFTDETGHLNWL QLDRRVLEHDFPKKSGPVVLYFCVRFYIESISYLKDNATIELFFLNAKSCIYKELIDVDS EVVFELASYILQEAKGDFSSNEVVRSDLKKLPALPTQALKEHPSLAYCEDRVIEYYKKLN GQTRGQAIVNYMSIVESLPTYGVHYYAVKDKQGIPWWLGLSYKGIFQYDYHDKVKPRKIF QWRQLENLYFREKKFSVEVHDPRRASVTRRTFGHSGIAVHTWYACPALIKSIWAMAISQH QFYLDRKQSKSKIHAARSLSEIAIDLTETGTLKTSKLANMGSKGKIISGSSGSLLSSGSQ ESDSSQSAKKDMLAALKSRQEALEETLRQRLEELKRLCLREAELTGKLPVEYPLDPGEEP PIVRRRIGTAFKLDEQKILPKGEEAELERLEREFAIQSQITEAARRLASDPNVSKKLKKQ RKTSYLNALKKLQEIENAINENRIKSGKKPTQRASLVIDDGNIASEDSSLSDALVLEDED SQVTSTISPLQSPHKGLPPRPPSSHNRPPPPQSLEGLRQLHYHRTDYDKSPLKPKMWSES SLDEPYEKVKKRSSHGHSSSHKRFPSTGSCTEAGVSSSLQNSPIRSLPHWNSQSSMPSTP DLRVRSPHYVHSTRSVDISPTRLHSLALHFRHRSSSLESQGKLLGSENDTGSPDFYTPRT RSSNGSDPMDDCSSCTSHSSSEHYYPAQMNANYSTLAEDSPSKARQRQRQRQRAAGALGS ASSGSMPNLAARSGAASTGGGVYLHSQSQPSSQYRIKEYPLYIEGSATPVVVRSLESDQE GHYSVKAQFKTSNSYTAGGLFKESWRGGGDEGDAGRLTPSRSQILRTPSLGRDGAHDKGS GRAAVSDELRQWYQRSTASHKEHSRLSHTSSTSSDSGSQYSTSSQSTFVAHSRVTRMPQM CKATSAALPQSQRSSTPSSEIGATPPSSPHHILTWQTGEATENSPIMDGSESPTHQSTDE >ENSMUSP00000134803.1 pep:known chromosome:GRCm38:2:4559756:4612584:1 gene:ENSMUSG00000026657.16 transcript:ENSMUST00000176828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4a description:FERM domain containing 4A [Source:MGI Symbol;Acc:MGI:1919850] MAISQHQFYLDRKQSKSKIHAARSLSEIAIDLTETGTLKTSKLANMGSKGKIISGSSGSL LSSGSQESDSSQSAKKDMLAALKSRQEALEETLRQRLEELKRLCLREAELTGKLPVEYPL DPGEEPPIVRRRIGTAFKLDEQKILPKGEEAELERLEREFAIQSQITEAARRLASDPNVS KKLKKQRKTSYLNALKKLQEIENAINENRIKSGKKPTQRASLVIDDGNIASEDSSLSDAL VLEDEDSQVTSTISPLQSPHKGLPPRPPSSHNRPPPPQSLEGLRQLHYHRTDYDKSPLKP KMWSESSLDEPYEKVKKRSSHGHSSSHKRFPSTGSCTEAGVSSSLQNSPIRSLPHWNSQS SMPSTPDLRVRSPHYVHSTRSVDISPTRLHSLALHFRHRSSSLESQGKLLGSENDTGSPD FYTPRTRSSNGSDPMDDCSSCTSHSSSEHYYPAQMNANYSTLAEDSPSKARQRQRQRQRA AGALGSASSGSMPNLAARSGAASTGGGVYLHSQSQPSSQYRIKEYPLYIEGSATPVVVRS LESDQEGHYSVKAQFKTSNSYTAGGLFKESWRGGGDEGDAGRLTPSRSQILRTPSLGRDG AHDKGSGRAAVSDELRQWYQRSTASHKEHSRLSHTSSTSSDSGSQYSTSSQSTFVAHSRV TRMPQMCKATSAALPQSQRSSTPSSEIGATPPSSPHHILTWQTGSYSDSCFLDSSLYPEL ADVQWYGQEKAKPGTLV >ENSMUSP00000135057.1 pep:known chromosome:GRCm38:2:4566176:4594676:1 gene:ENSMUSG00000026657.16 transcript:ENSMUST00000176864.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Frmd4a description:FERM domain containing 4A [Source:MGI Symbol;Acc:MGI:1919850] RKQSKSKIHAARSLSEIAIDLTETGTLKTSKLANMGSKGKIISGSSGSLLSSGSQESDSS QSAKKDMLAALKSRQEALEETLRQRLEELKRLCLREAELTGKLPVEYPLDPGEEPPIVRR RIGTAFKLDEQKILPKGENDITHTSGGLLTSITLVLKIS >ENSMUSP00000015239.3 pep:known chromosome:GRCm38:2:25500750:25505471:1 gene:ENSMUSG00000015095.12 transcript:ENSMUST00000015239.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw5 description:F-box and WD-40 domain protein 5 [Source:MGI Symbol;Acc:MGI:1354731] MDEGGLPLLPDSLVYQIFLSLGPADVLAAGLVCRQWQAVSRDEFLWKEQFYRYYQVARDV PRHPAATSWYEEFRRLYDMVPCVEVQTLKEHTDQVLHLSFSHSGYQFASCSKDCTVKIWN NDLTISLLHSADMRPYNWSYTQFSQFNQDDSLLLASGVFLGPHNSSSGEIAVISLDSFAL LSRVRNKPYDVFGCWLTETSLISGNLHRIGDITSCSVLWLNNAFQDVESENVNVVKRLFK IQNLNASTIRTVMVADCSRFDSPDLLLDASDQAGLPCRVFDLGGDTEEEATDPGLHTSGS DHVKKGLRRVFDSVLDGHGQLSDCALETKVAELLAQGHTKPPECNDADTRNKYLIFTTGC LTYSPHQIGIKQILPHQMTTAGPVLGEGRGSDAFFDALDHVIDVHGHIIGMGLSPDNRYL YVNSRAWPPGSVVADPMQPPPIAEEIDLLVFDLKTMREVKRALRAHRAYTPNDECFFIFL DVSRDFVASGAEDRHGYIWDRHYNICLAKLRHEDVVNSVAFSPQEQELLLTASDDATIKA WRSPRIVRVLQAPRPRPRPRPRPFFSWFASHRR >ENSMUSP00000117676.1 pep:known chromosome:GRCm38:2:25503226:25504834:1 gene:ENSMUSG00000015095.12 transcript:ENSMUST00000124375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw5 description:F-box and WD-40 domain protein 5 [Source:MGI Symbol;Acc:MGI:1354731] GDITSCSVLWLNNAFQDVESENVNVVKRLFKIQNLNASTIRTVMVADCSRFDSPDLLLDA SDQAGLPCRVFDLGGDTEEEATDPGIKQILPHQMTTAGPVLGEGRGSDAFFDALDHVIDV HGHIIGMGLSPDNRYLYVNSRAWPPGSVVADPMQPPPIAEEIDLLVFDLKTMREVKRALR AHRAYTPNDECFFIFLDVSRDFVASGAEDRHGYIWDRHYNICLAKLRHEDVVNSVAFSPQ EQELLL >ENSMUSP00000129454.1 pep:known chromosome:GRCm38:7:23066533:23067456:-1 gene:ENSMUSG00000096601.1 transcript:ENSMUST00000168392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8720 description:predicted gene 8720 [Source:MGI Symbol;Acc:MGI:3647550] MSAHGNSLKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPTELKCKLESFSHLVVRSTNLCSTCVLSVHQFVTLVP LNRGKGKLVLRASVTNMASYSSCSCWFFSVLSNIHIPIKFSGPQITDNNTDSKRKLFCST SGFSVGIVFLQFAYDATFMSIMVWTSVSMVLLLRRHRQRMQHILTPNQNPRGQAESKATH TILMLVFTFVSFYLLNCICIIVHALFMHSHFFVRLVSEILTAIFPSISPLLLIFRDPKDP CSVLFNC >ENSMUSP00000078883.3 pep:known chromosome:GRCm38:2:87212894:87213874:1 gene:ENSMUSG00000070857.3 transcript:ENSMUST00000079966.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1113 description:olfactory receptor 1113 [Source:MGI Symbol;Acc:MGI:3030947] MQSKYVNLQRANKFKITDTNLTVPIEFVLLGFSDIPQLHWFLFGIFLFIYMIILLGNGII ILITKVEPTLQTPMYFFISNFSFLEICYVSVTLPRMLMDLFTLKGNISFLACATQMCLFL ILGATECFLLAVMSYDRYVAICNPLLYPVVMSSKVCTQLVVGSWVIGVPIQVGQTYQILS LPFCESNQINHFFCDIPPLLKLACGNIFVNELVVFIFAVLIVTIPFMLILASYSRIISTI LKLPSNTGRTKAFSTCSSHLIVVFLFYGSASITYLKPKSNKFEGTDKLLSLFYTILTPMF NPLIYSLRNKDVTGALKKLFTRLLAL >ENSMUSP00000059751.8 pep:known chromosome:GRCm38:X:106206883:106219901:-1 gene:ENSMUSG00000047242.14 transcript:ENSMUST00000055497.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf9b description:TAF9B RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:3039562] MEPAKMAPIKNAPRDALVMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSH AKKPTVDADDVRLAIQCRADQSFTSPPPRDFLLDIARQKNQTPLPLIKPYAGPRLPPDRY CLTAPNYRLKSLVKKGPNQGRLVPRLSAVSSRPTTPPVAPPQAVSGPNKAATPVSVTSQR FAVQIPPSQSTPAKPAPAATAVQNVLINPSMIGPKNILITTSMVSSQNTATDSNPLKRKH DDDDDNDTM >ENSMUSP00000109123.2 pep:known chromosome:GRCm38:X:106206883:106221158:-1 gene:ENSMUSG00000047242.14 transcript:ENSMUST00000113495.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf9b description:TAF9B RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:3039562] MADQESDAFDQIVEEESSSDEGWSQTEVETACSSISEVEEPQEKMEPAKMAPIKNAPRDA LVMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSHAKKPTVDADDVRLAIQ CRADQSFTSPPPRDFLLDIARQKNQTPLPLIKPYAGPRLPPDRYCLTAPNYRLKSLVKKG PNQGRLVPRLSAVSSRPTTPPVAPPQAVSGPNKAATPVSVTSQRFAVQIPPSQSTPAKPA PAATAVQNVLINPSMIGPKNILITTSMVSSQNTATDSNPLKRKHDDDDDNDTM >ENSMUSP00000115178.1 pep:known chromosome:GRCm38:X:106218340:106220750:-1 gene:ENSMUSG00000047242.14 transcript:ENSMUST00000134547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf9b description:TAF9B RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:3039562] MEPAKMAPIKNAPRDALVMAQILKDMGITEYEPRVINQMLEFAFRYVTTILDDAKIYSSH AKKPTVDADDVRLAIQCRAD >ENSMUSP00000112409.1 pep:known chromosome:GRCm38:10:80948624:81014945:-1 gene:ENSMUSG00000048240.14 transcript:ENSMUST00000117805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng7 description:guanine nucleotide binding protein (G protein), gamma 7 [Source:MGI Symbol;Acc:MGI:95787] MSGTNNVAQARKLVEQLRIEAGIERIKVSKASSDLMGYCEQHARNDPLLVGVPASENPFK DKKPCIIL >ENSMUSP00000114003.1 pep:known chromosome:GRCm38:10:80948629:81001378:-1 gene:ENSMUSG00000048240.14 transcript:ENSMUST00000118233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng7 description:guanine nucleotide binding protein (G protein), gamma 7 [Source:MGI Symbol;Acc:MGI:95787] MSGTNNVAQARKLVEQLRIEAGIERIKVSKASSDLMGYCEQHARNDPLLVGVPASENPFK DKKPCIIL >ENSMUSP00000113798.1 pep:known chromosome:GRCm38:10:80951187:80998190:-1 gene:ENSMUSG00000048240.14 transcript:ENSMUST00000118465.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng7 description:guanine nucleotide binding protein (G protein), gamma 7 [Source:MGI Symbol;Acc:MGI:95787] MSGTNNVAQARKLVEQLRIEAGIERIKVSKASSDLMGYCEQHARNDPLLVGVPASENPFK DKKPCIIL >ENSMUSP00000122735.1 pep:known chromosome:GRCm38:10:80951712:81014913:-1 gene:ENSMUSG00000048240.14 transcript:ENSMUST00000126317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng7 description:guanine nucleotide binding protein (G protein), gamma 7 [Source:MGI Symbol;Acc:MGI:95787] MSGTNNVAQARKLVEQLRIEAGIERIKVSKASSDLMGY >ENSMUSP00000089936.3 pep:known chromosome:GRCm38:10:80948624:81014925:-1 gene:ENSMUSG00000048240.14 transcript:ENSMUST00000092285.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng7 description:guanine nucleotide binding protein (G protein), gamma 7 [Source:MGI Symbol;Acc:MGI:95787] MMSGTNNVAQARKLVEQLRIEAGIERIKVSKASSDLMGYCEQHARNDPLLVGVPASENPF KDKKPCIIL >ENSMUSP00000097061.1 pep:known chromosome:GRCm38:10:80948624:81001365:-1 gene:ENSMUSG00000048240.14 transcript:ENSMUST00000099462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng7 description:guanine nucleotide binding protein (G protein), gamma 7 [Source:MGI Symbol;Acc:MGI:95787] MMSGTNNVAQARKLVEQLRIEAGIERIKVSKASSDLMGYCEQHARNDPLLVGVPASENPF KDKKPCIIL >ENSMUSP00000056643.7 pep:known chromosome:GRCm38:3:41066326:41083046:-1 gene:ENSMUSG00000049940.7 transcript:ENSMUST00000058578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgrmc2 description:progesterone receptor membrane component 2 [Source:MGI Symbol;Acc:MGI:1918054] MAAGDGDVKLSTLGSGGESGGDGSPGGAGATAARSSWVAALLATGGEMLLNVALVALVLL GAYRLWVRWGRRGLCSGPGAGEESPAATLPRMKKRDFSLEQLRQYDGARTPRILLAVNGK VFDVTKGSKFYGPAGPYGIFAGRDASRGLATFCLDKDALRDEYDDLSDLNAVQMESVREW EMQFKEKYDYVGRLLKPGEEPSEYTDEEDTKDHSKQD >ENSMUSP00000064667.3 pep:known chromosome:GRCm38:18:24579881:24603817:-1 gene:ENSMUSG00000024270.11 transcript:ENSMUST00000070726.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a6 description:solute carrier family 39 (metal ion transporter), member 6 [Source:MGI Symbol;Acc:MGI:2147279] MATDLSVIMILTFALWVTSPLHELQSTAAFSQTTEKINSNWEPGVNVDLAVTMQRHHLQQ LFYRYGENDSLSVEGFRKLLQNIGIDKIKRVHIHHDHEHHADHEHHSDHEHHSDHEHHSD HEHHSDHEHHSDHEHHSHRSHTVAGKNNRKAFCPDLDSDNSGKNPRTSLGKGSRPAEHMN GRRNIKESASSSEVTSAVYNAVSEGTRFVETIETPKPGRRTKDVNPSTPPSITEKSRVGR LSRLARKKSNESVSEPRKSFMYSRNTNDNIQECFNTTKLLTSHGMSIQALLNATEFNYLC PAIINQIDARACLIHTASEKKAEIPPKTYSLQIAWLGGFIAISIISFLSLLGVILVPLMN RVFFKFLLSFLVALAVGTLSGDALLHLLPHSHASHQHSHSHEEPAMEMKRGPLFSHLSAQ NIEESSYFDSTWKGLTALGGLYFMFLVEHVLTLIKQFKDKKKKNQKKPENDEDVESKKQL SKYDSQLSSNEEKVDPGERPESYLRADSQEPSPFDSQQPTMLEEEEVMIAHAHPQEVYNE YVPRGCKNKCHSHFHDTLGQSDDLIHHHHDYHHILHHHHHQNHHPHSHSQRYSREELKDA GIATLAWMVIMGDGLHNFSDGLAIGAAFTEGLSSGLSTSVAVFCHELPHELGDFAVLLKA GMTVKQAVLYNALSAMLAYLGMATGIFIGHYAENVSMWIFALTAGLFMYVALVDMVPEML HNDASDHGCSRWGYFFLQNAGILLGFGIMLLISIFEHKIVFRINF >ENSMUSP00000122151.1 pep:known chromosome:GRCm38:18:24582308:24603515:-1 gene:ENSMUSG00000024270.11 transcript:ENSMUST00000154205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a6 description:solute carrier family 39 (metal ion transporter), member 6 [Source:MGI Symbol;Acc:MGI:2147279] MSIQALLNATEFNYLCPAIINQIDARACLIHTASEKKAEIPPKTYSLQIAWLGGFIAISI ISFLSLLGVILVPLMNRVFFKFLLSFLVALAVGTLSGDALLHLLPHSHASHQHSHSHEEP AMEMKRGPLFSHLSAQNIEESSYFDSTWKGLTALGGLYFMFLVEHVLTLIKQFKDKKKKN QKKPENDEDVESKKQLSKYDSQLSSNEEKVDPGERPESYLRADSQEPSPFDSQQPTMLEE EEVMIAHAHPQEVYNEYVPRGCKNKCHSHFHDTLGQSDDLIHHHHDYHHILHHHHHQNHH PHSHSQRYSREELKDAGIATLAWMVIMGDGLHNFSDGLAIGAAFTEGLSSGLSTSVAVFC HELPHELGDFAVLLKAGMTVKQAVLYNALSAMLAYLGMATGIFIGHYAENVSMWIFALTA GLFMYVALVDMVSF >ENSMUSP00000120247.1 pep:known chromosome:GRCm38:18:24601485:24603293:-1 gene:ENSMUSG00000024270.11 transcript:ENSMUST00000152504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a6 description:solute carrier family 39 (metal ion transporter), member 6 [Source:MGI Symbol;Acc:MGI:2147279] MATDLSVIMILTFALWVTSPLHELQSTAAFSQTTEKINSNWEPGVNVD >ENSMUSP00000110750.2 pep:known chromosome:GRCm38:5:24319589:24329591:-1 gene:ENSMUSG00000038319.14 transcript:ENSMUST00000115098.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh2 description:potassium voltage-gated channel, subfamily H (eag-related), member 2 [Source:MGI Symbol;Acc:MGI:1341722] MAIPAGKESRTGALQPRAQKGRVRRAVRISSLVAQEVLSLGADVLPEYKLQAPRIHRWTI LHYSPFKAVWDWLILLLVIYTAVFTPYSAAFLLKETEDGSQAPDCGYACQPLAVVDLIVD IMFIVDILINFRTTYVNANEEVVSHPGRIAVHYFKGWFLIDMVAAIPFDLLIFGSGSEEL IGLLKTARLLRLVRVARKLDRYSEYGAAVLFLLMCTFALIAHWLACIWYAIGNMEQPHMD SHIGWLHNLGDQIGKPYNSSGLGGPSIKDKYVTALYFTFSSLTSVGFGNVSPNTNSEKIF SICVMLIGSLMYASIFGNVSAIIQRLYSGTARYHTQMLRVREFIRFHQIPNPLRQRLEEY FQHAWSYTNGIDMNAVLKGFPECLQADICLHLNRSLLQHCKPFRGATKGCLRALAMKFKT THAPPGDTLVHAGDLLTALYFISRGSIEILRGDVVVAILGKNDIFGEPLNLYARPGKSNG DVRALTYCDLHKIHRDDLLEVLDMYPEFSDHFWSSLEITFNLRDTNMIPGSPGSAELESG FNRQRKRKLSFRRRTDKDTEQPGEVSALGQGPARVGPGPSCRGQPGGPWGESPSSGPSSP ESSEDEGPGRSSSPLRLVPFSSPRPPGDPPGGEPLTEDGEKSDTCNPLSGAFSGVSNIFS FWGDSRGRQYQELPRCPAPAPSLLNIPLSSPGRRSRGDVESRLDALQRQLNRLETRLSAD MATVLQLLQRQMTLVPPAYSAVTTPGPGPTSASPLLPVGPVPTLTLDSLSQVSQFVAFEE LPAGAPELPQDGPTRRLSLPGQLGALTSQPLHRHGSDPGS >ENSMUSP00000047705.7 pep:known chromosome:GRCm38:5:24319589:24351604:-1 gene:ENSMUSG00000038319.14 transcript:ENSMUST00000036092.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh2 description:potassium voltage-gated channel, subfamily H (eag-related), member 2 [Source:MGI Symbol;Acc:MGI:1341722] MPVRRGHVAPQNTFLDTIIRKFEGQSRKFIIANARVENCAVIYCNDGFCELCGYSRAEVM QRPCTCDFLHGPRTQRRAAAQIAQALLGAEERKVEIAFYRKDGSCFLCLVDVVPVKNEDG AVIMFILNFEVVMEKDMVGSPAHDTNHRGPSTSWLASGRAKTFRLKLPALLALTARESSV RTGSMRSAGAPGAVVVDVDLTPAAPSSESLALDEVSAMDNHVAGLGPAEERRALVGPGSA SPVASIRGPHPSPRAQSLNPDASGSSCSLARTRSRESCASVRRASSADDIEAMRAGALPP PPRHASTGAMHPLRSGLLNSTSDSDLVRYRTISKIPQITLNFVDLKGDPFLASPTSDREI IAPKIKERTHNVTEKVTQVLSLGADVLPEYKLQAPRIHRWTILHYSPFKAVWDWLILLLV IYTAVFTPYSAAFLLKETEDGSQAPDCGYACQPLAVVDLIVDIMFIVDILINFRTTYVNA NEEVVSHPGRIAVHYFKGWFLIDMVAAIPFDLLIFGSGSEELIGLLKTARLLRLVRVARK LDRYSEYGAAVLFLLMCTFALIAHWLACIWYAIGNMEQPHMDSHIGWLHNLGDQIGKPYN SSGLGGPSIKDKYVTALYFTFSSLTSVGFGNVSPNTNSEKIFSICVMLIGSLMYASIFGN VSAIIQRLYSGTARYHTQMLRVREFIRFHQIPNPLRQRLEEYFQHAWSYTNGIDMNAVLK GFPECLQADICLHLNRSLLQHCKPFRGATKGCLRALAMKFKTTHAPPGDTLVHAGDLLTA LYFISRGSIEILRGDVVVAILGKNDIFGEPLNLYARPGKSNGDVRALTYCDLHKIHRDDL LEVLDMYPEFSDHFWSSLEITFNLRDTNMIPGSPGSAELESGFNRQRKRKLSFRRRTDKD TEQPGEVSALGQGPARVGPGPSCRGQPGGPWGESPSSGPSSPESSEDEGPGRSSSPLRLV PFSSPRPPGDPPGGEPLTEDGEKSDTCNPLSGAFSGVSNIFSFWGDSRGRQYQELPRCPA PAPSLLNIPLSSPGRRSRGDVESRLDALQRQLNRLETRLSADMATVLQLLQRQMTLVPPA YSAVTTPGPGPTSASPLLPVGPVPTLTLDSLSQVSQFVAFEELPAGAPELPQDGPTRRLS LPGQLGALTSQPLHRHGSDPGS >ENSMUSP00000070261.3 pep:known chromosome:GRCm38:X:8892382:8894964:1 gene:ENSMUSG00000052364.4 transcript:ENSMUST00000064196.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B630019K06Rik description:RIKEN cDNA B630019K06 gene [Source:MGI Symbol;Acc:MGI:2147918] MGHLLSKEPRNRASQKKPRCCSWCRRRRPLIRLPGRTPTKSSPQPAAAAARNRDCFFRGP CMLCFIVHSPSGPAPAGPEEEPPLSPPLPRDGAYATSPLQHLEPRYAALAAEDCAAAARR FLLSSAAAAAASSASSPATRCKELGLAAAAAWEQQGRSLFVASMGPLRFLGPPAAVQLFQ GLPPQTEHPLAPDLVCNWKDDELPDYTYCSQPRCGGGGSGGGGGGGGGGPVGGGGLLLQP LDAGYCQAPEQPLPVIGSRPTSPASECSFIEATGDTLRAGSITAWSAQHQAESRNADCPR LPDPCEFLRDSPPEPLDINQLPGSIVLEVDLDD >ENSMUSP00000039088.8 pep:known chromosome:GRCm38:2:150680702:150689360:-1 gene:ENSMUSG00000033080.9 transcript:ENSMUST00000046095.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsx1 description:visual system homeobox 1 homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:1890816] MTGRDGLSDARSRSRALAPGCPPTGSRLRSFAINDLLGLEADLPTPAEPGLRSNSGDPAE AIGSGPGPGPGLCGSCPARGALPLGLGLLCGFGAQPPSAAAAARARCLLLADLRLLPSAG PEPAVAQGPVHPPPALGSQQRSESVSTSDGDSPSEEKNDPKMSLILGKRKKRRHRTVFTA HQLEELEKAFGEAHYPDVYAREMLAAKTELPEDRIQVWFQNRRAKWRKREKRWGGSSVMA EYGLYGAMVRHCIPLPDSVLNSADSLQGSCAPWLLGMHKKSTGMRKPESEDKLAGLWEFD HLKKGANKDEDGPERGPDETTQNPENSLEDVAIDLSSSSRQETKKMPPGSSTQLPQPPQV GAS >ENSMUSP00000022701.6 pep:known chromosome:GRCm38:14:73195502:73325822:-1 gene:ENSMUSG00000022105.6 transcript:ENSMUST00000022701.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rb1 description:retinoblastoma 1 [Source:MGI Symbol;Acc:MGI:97874] MPPKAPRRAAAAEPPPPPPPPPREDDPAQDSGPEELPLARLEFEEIEEPEFIALCQKLKV PDHVRERAWLTWEKVSSVDGILEGYIQKKKELWGICIFIAAVDLDEMPFTFTELQKSIET SVYKFFDLLKEIDTSTKVDNAMSRLLKKYNVLCALYSKLERTCELIYLTQPSSALSTEIN SMLVLKISWITFLLAKGEVLQMEDDLVISFQLMLCVVDYFIKFSPPALLREPYKTAAIPI NGSPRTPRRGQNRSARIAKQLENDTRIIEVLCKEHECNIDEVKNVYFKNFIPFINSLGIV SSNGLPEVESLSKRYEEVYLKNKDLDARLFLDHDKTLQTDPIDSFETERTPRKNNPDEEA NVVTPHTPVRTVMNTIQQLMVILNSASDQPSENLISYFNNCTVNPKENILKRVKDVGHIF KEKFANAVGQGCVDIGVQRYKLGVRLYYRVMESMLKSEEERLSIQNFSKLLNDNIFHMSL LACALEVVMATYSRSTLQHLDSGTDLSFPWILNVLNLKAFDFYKVIESFIKVEANLTREM IKHLERCEHRIMESLAWLSDSPLFDLIKQSKDGEGPDNLEPACPLSLPLQGNHTAADMYL SPLRSPKKRTSTTRVNSAANTETQAASAFHTQKPLKSTSLALFYKKVYRLAYLRLNTLCA RLLSDHPELEHIIWTLFQHTLQNEYELMRDRHLDQIMMCSMYGICKVKNIDLKFKIIVTA YKDLPHAAQETFKRVLIREEEFDSIIVFYNSVFMQRLKTNILQYASTRPPTLSPIPHIPR SPYKFSSSPLRIPGGNIYISPLKSPYKISEGLPTPTKMTPRSRILVSIGESFGTSEKFQK INQMVCNSDRVLKRSAEGGNPPKPLKKLRFDIEGADEADGSKHLPAESKFQQKLAEMTST RTRMQKQRMNESKDVSNKEEK >ENSMUSP00000125967.1 pep:known chromosome:GRCm38:14:73280171:73325641:-1 gene:ENSMUSG00000022105.6 transcript:ENSMUST00000164624.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rb1 description:retinoblastoma 1 [Source:MGI Symbol;Acc:MGI:97874] MPPKAPRRAAAAEPPPPPPPPPREDDPAQDSGPEELPLARRSITDGR >ENSMUSP00000095381.2 pep:known chromosome:GRCm38:4:151059525:151861876:-1 gene:ENSMUSG00000014592.19 transcript:ENSMUST00000097774.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta1 description:calmodulin binding transcription activator 1 [Source:MGI Symbol;Acc:MGI:2140230] MWRAEGKWLPKTSRKSVSQSVFCGTSTYCVLNTVPPIEDDHGNSNSSHVKIFLPKKLLEC LPKCSSLPKERHRWNTNEEIAAYLITFEKHEEWLTTSPKTRPQNGSMILYNRKKVKYRKD GYCWKKRKDGKTTREDHMKLKVQGVECLYGCYVHSSIIPTFHRRCYWLLQNPDIVLVHYL NVPAIEDCGKPCGPILCSINTDKKEWAKWTKEELIGQLKPMFHGIKWTCSNGNSSSGFSV EQLVQQILDSHQTKPQPRTHNCLCTGSLGAGSSVHHKCNSAKHRIISPKVEPRAGGYGGH SEVQHNDVSEGKHEPSHGRSTSREKRNGKVAKPALLHQNSTEVSSTNQVEVPDTTQSSPV SISSGLNSDPDMVDSPVVTGVSSMAVASVMGGLSQSATVFMSEVTNEAVYTMSPTAGPNH HLLSPDASQGLVLAVSSDGHKFAFPTTGSSDSLSMLPANVSEELVLSTTLDGGRKIPETA MNFDPDCFLNNPKQGQTYGGGGLKAEMVSTNIRHSPPAERSFGFTSVLTKEIKTEDTSFE QQMAKEAAYSSSAAAAASSSLTLTAAGSSLLPSGGGLSPSTTLEQMDFSAIDSNKDYASS FSQTGHSPHIHQTPSPSFFLQDASKPLPLEQNTHSSLSESGAAFVMPTVKTEASSQTSSC SGHVETRIESTSSLHLMQFQANFQAMAAEGEVTMETSQAAEGNEVLLKSGELQACGSEHY LQPETNGVIRSAGGVPLLPSNVVQGLYPVAQPSLGNSSNMELSLDHFDISFSNQFSDLIN DFISVEGGSGTIYGHQLVSGDSAALSQSEDGARAPFTQAEMCIPCCSPQQGSLQLSSAEG GPSTMAYMHVAEVVSAASAQGALGMLQQSGRVFMVTDYSPEWSYPEGGVKVLITGPWQEA SNNYSCLFDQISVPASLIQPGVLRCYCPAHDTGLVTLQVAFNNQIISNSVVFEYKARALP TLPSSQHDWLSLDDNQFRMSILERLEQMERRMAEMTGSQQHKQASGGGGSGSGSGSGAGG GQAQCASGAGTLGSCFESRVVVVCEKMMSRACWAKSKHLIHSKTFRGMTLLHLAAAQGYA TLIQTLIKWRTKHADSIDLELEVDPLNVDHFSCTPLMWACALGHLEAAVVLYKWDRRAIS IPDSLGRLPLGIARSRGHVKLAECLEHLQRDEQAQLGQASRIHCAPSEEPTTDSWMAQWQ REAMSPPEIPKGVTVIASTNPELRRPRSEPSNYYSTEGHKDYPAPKKHKLNPESFQARQE KLLCTALSLEQPNIRKQSPRSKQPSPETISPSEGVREYSREAAPPTPETAASQASASQPV VKWSAKDLYIGVSTVQVTGNPKGTSVVKDAAPSQVRPREPMSVLMLANREVVNTEMGAYR DRTEHEDCPQPMDDIQVNMMTLAEHIIEATPDRIKQENFVPMESSALERTDPATISSTMS WLASYLADADRLPSAAHIRSAYTEPLTPSSNASLSPAGSPVSEVAFEKPSLPSAADWSEF LSASTSEKVESELAQLTLSDHEQRELYEAARLVQTAFRKYKGRPLREQQEVAAAVIQRCY RKYKQYALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQNFYRSYKKCGRRRPARR TAVIVQQKLRSSLLTKKQDQAARKIMRFLRRCRHSPLVDHRLYKRSERIEKGQGT >ENSMUSP00000119067.1 pep:known chromosome:GRCm38:4:151059526:151079793:-1 gene:ENSMUSG00000014592.19 transcript:ENSMUST00000140030.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta1 description:calmodulin binding transcription activator 1 [Source:MGI Symbol;Acc:MGI:2140230] SSALERTDPATISSTMSWLASYLADADRLPSAAHISLSPAGSPVSEVAFEKPSLPSAADW SEFLSASTSEKVESELAQLTLSDHEQRELYEAARLVQTAFRKYKGRPLREQQEVAAAVIQ RCYRKYKQYALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQNFYRSYKKCGRRRP ARRTAVIVQQKLRSSLLTKKQDQAARKIMRFLRRCRHRVKELKKAKELEDIQQHPLAM >ENSMUSP00000054804.7 pep:known chromosome:GRCm38:4:151059526:151861746:-1 gene:ENSMUSG00000014592.19 transcript:ENSMUST00000049790.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta1 description:calmodulin binding transcription activator 1 [Source:MGI Symbol;Acc:MGI:2140230] MWRAEGKWLPKTSRKSVSQSVFCGTSTYCVLNTVPPIEDDHGNSNSSHVKIFLPKKLLEC LPKCSSLPKERHRWNTNEEIAAYLITFEKHEEWLTTSPKTRPQNGSMILYNRKKVKYRKD GYCWKKRKDGKTTREDHMKLKVQGVECLYGCYVHSSIIPTFHRRCYWLLQNPDIVLVHYL NVPAIEDCGKPCGPILCSINTDKKEWAKWTKEELIGQLKPMFHGIKWTCSNGNSSSGFSV EQLVQQILDSHQTKPQPRTHNCLCTGSLGAGSSVHHKCNSAKHRIISPKVEPRAGGYGGH SEVQHNDVSEGKHEPSHGRSTSREKRNGKVAKPALLHQNSTEVSSTNQVEVPDTTQSSPV SISSGLNSDPDMVDSPVVTGVSSMAVASVMGGLSQSATVFMSEVTNEAVYTMSPTAGPNH HLLSPDASQGLVLAVSSDGHKFAFPTTGSSDSLSMLPANVSEELVLSTTLDGGRKIPETA MNFDPDCFLNNPKQGQTYGGGGLKAEMVSTNIRHSPPAERSFGFTSVLTKEIKTEDTSFE QQMAKEAAYSSSAAAAASSSLTLTAAGSSLLPSGGGLSPSTTLEQMDFSAIDSNKDYASS FSQTGHSPHIHQTPSPSFFLQDASKPLPLEQNTHSSLSESGAAFVMPTVKTEASSQTSSC SGHVETRIESTSSLHLMQFQANFQAMAAEGEVTMETSQAAEGNEVLLKSGELQACGSEHY LQPETNGVIRSAGGVPLLPSNVVQGLYPVAQPSLGNSSNMELSLDHFDISFSNQFSDLIN DFISVEGGSGTIYGHQLVSGDSAALSQSEDGARAPFTQAEMCIPCCSPQQGSLQLSSAEG GPSTMAYMHVAEVVSAASAQGALGMLQQSGRVFMVTDYSPEWSYPEGGVKVLITGPWQEA SNNYSCLFDQISVPASLIQPGVLRCYCPAHDTGLVTLQVAFNNQIISNSVVFEYKARALP TLPSSQHDWLSLDDNQFRMSILERLEQMERRMAEMTGSQQHKQASGGGGSGSGSGSGAGG GQAQCASGAGTLGSCFESRVVVVCEKMMSRACWAKSKHLIHSKTFRGMTLLHLAAAQGYA TLIQTLIKWRTKHADSIDLELEVDPLNVDHFSCTPLMWACALGHLEAAVVLYKWDRRAIS IPDSLGRLPLGIARSRGHVKLAECLEHLQRDEQAQLGQASRIHCAPSEEPTTDSWMAQWQ REAMSPPEIPKGVTVIASTNPELRRPRSEPSNYYSTEGHKDYPAPKKHKLNPESFQARQE KLLCTALSLEQPNIRKQSPRSKQPSPETISPSEGVREYSREAAPPTPETAASQASASQPV VKWSAKDLYIGVSTVQVTGNPKGTSVVKDAAPSQVRPREPMSVLMLANREVVNTEMGAYR DRTEHEDCPQPMDDIQVNMMTLAEHIIEATPDRIKQENFVPMESSALERTDPATISSTMS WLASYLADADRLPSAAHIRSAYTEPLTPSSNASLSPAGSPVSEVAFEKPSLPSAADWSEF LSASTSEKVESELAQLTLSDHEQRELYEAARLVQTAFRKYKGRPLREQQEVAAAVIQRCY RKYKQLTWIALKYALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQNFYRSYKKCG RRRPARRTAVIVQQKLRSSLLTKKQDQAARKIMRFLRRCRHSPLVDHRLYKRSERIEKGQ GT >ENSMUSP00000101295.1 pep:known chromosome:GRCm38:4:151059814:151108576:-1 gene:ENSMUSG00000014592.19 transcript:ENSMUST00000105670.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta1 description:calmodulin binding transcription activator 1 [Source:MGI Symbol;Acc:MGI:2140230] MSILERLEQMERRMAEMTGSQQHKQASGGGGSGSGSGSGAGGGQAQCASGAGTLGSCFES RVVVVCEKMMSRACWAKSKHLIHSKTFRGMTLLHLAAAQGYATLIQTLIKWRTKHADSID LELEVDPLNVDHFSCTPLMWACALGHLEAAVVLYKWDRRAISIPDSLGRLPLGIARSRGH VKLAECLEHLQRDEQAQLGQASRIHCAPSEEPTTDSWMAQWQREAMSPPEIPKGVTVIAS TNPVQVTGNPKGTSVVKDAAPSQVRPREPMSVLMLANREVVNTEMGAYRDRTEHEDCPQP MDDIQVNMMTLAEHIIEATPDRIKQENFVPMESSALERTDPATISSTMSWLASYLADADR LPSAAHIRSAYTEPLTPSSNASLSPAGSPVSEVAFEKPSLPSAADWSEFLSASTSEKVES ELAQLTLSDHEQRELYEAARLVQTAFRKYKGRPLREQQEVAAAVIQRCYRKYKQYALYKK MTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQNFYRSYKKCGRRRPARRTAVIVQQKLRS SLLTKKQDQAARKIMRFLRRCRHRVKELKKAKELEDIQQHPLAM >ENSMUSP00000101293.1 pep:known chromosome:GRCm38:4:151059814:151129495:-1 gene:ENSMUSG00000014592.19 transcript:ENSMUST00000105668.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta1 description:calmodulin binding transcription activator 1 [Source:MGI Symbol;Acc:MGI:2140230] MSILERLEQMERRMAEMTGSQQHKQASGGGGSGSGSGSGAGGGQAQCASGAGTLGSCFES RVVVVCEKMMSRACWAKSKHLIHSKTFRGMTLLHLAAAQGYATLIQTLIKWRTKHADSID LELEVDPLNVDHFSCTPLMWACALGHLEAAVVLYKWDRRAISIPDSLGRLPLGIARSRGH VKLAECLEHLQRDEQAQLGQASRIHCAPSEEPTTDSWMAQWQREAMSPPEIPKGVTVIAS TNPVQVTGNPKGTSVVKDAAPSQVRPREPMSVLMLANREVVNTEMGAYRDRTEHEDCPQP MDDIQVNMMTLAEHIIEATPDRIKQENFVPMESSALERTDPATISSTMSWLASYLADADR LPSAAHIRSAYTEPLTPSSNASLSPAGSPVSEVAFEKPSLPSAADWSEFLSASTSEKVES ELAQLTLSDHEQRELYEAARLVQTAFRKYKGRPLREQQEVAAAVIQRCYRKYKQLTWIAL KYALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQNFYRSYKKCGRRRPARRTAVI VQQKLRSSLLTKKQDQAARKIMRFLRRCRHSPLVDHRLYKRSERIEKGQGT >ENSMUSP00000118969.1 pep:known chromosome:GRCm38:4:151086124:151108303:-1 gene:ENSMUSG00000014592.19 transcript:ENSMUST00000131948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta1 description:calmodulin binding transcription activator 1 [Source:MGI Symbol;Acc:MGI:2140230] MSILERLEQMERRMAEMTGSQQHKQASGGGGSGSGSGSGAGGGQAQCASGAGTLGSCFES RVVVVCEKMMSRACW >ENSMUSP00000134684.1 pep:known chromosome:GRCm38:4:151780453:151861704:-1 gene:ENSMUSG00000014592.19 transcript:ENSMUST00000153938.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Camta1 description:calmodulin binding transcription activator 1 [Source:MGI Symbol;Acc:MGI:2140230] MWRAEGKWLPKTSRKSVSQSVFCGTSTYCVLNTVPPIEDDHGNSNSSHVKIFLPKKLLEC LPKCSSLPKERHRWNTNERS >ENSMUSP00000127916.2 pep:known chromosome:GRCm38:4:150917322:151861669:-1 gene:ENSMUSG00000014592.19 transcript:ENSMUST00000169423.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta1 description:calmodulin binding transcription activator 1 [Source:MGI Symbol;Acc:MGI:2140230] MWRAEGKWLPKTSRKSVSQSVFCGTSTYCVLNTVPPIEDDHGNSNSSHVKIFLPKKLLEC LPKCSSLPKERHRWNTNEEIAAYLITFEKHEEWLTTSPKTRPQNGSMILYNRKKVKYRKD GYCWKKRKDGKTTREDHMKLKVQGVECLYGCYVHSSIIPTFHRRCYWLLQNPDIVLVHYL NVPAIEDCGKPCGPILCSINTDKKEWAKWTKEELIGQLKPMFHGIKWTCSNGNSSSGFSV EQLVQQILDSHQTKPQPRTHNCLCTGSLGAGSSVHHKCNSAKHRIISPKVEPRAGGYGGH SEVQHNDVSEGKHEPSHGRSTSREKRNGKVAKPALLHQNSTEVSSTNQVEVPDTTQSSPV SISSGLNSDPDMVDSPVVTGVSSMAVASVMGGLSQSATVFMSEVTNEAVYTMSPTAGPNH HLLSPDASQGLVLAVSSDGHKFAFPTTGSSDSLSMLPANVSEELVLSTTLDGGRKIPETA MNFDPDCFLNNPKQGQTYGGGGLKAEMVSTNIRHSPPAERSFGFTSVLTKEIKTEDTSFE QQMAKEAAYSSSAAAAASSSLTLTAAGSSLLPSGGGLSPSTTLEQMDFSAIDSNKDYASS FSQTGHSPHIHQTPSPSFFLQDASKPLPLEQNTHSSLSESGAAFVMPTVKTEASSQTSSC SGHVETRIESTSSLHLMQFQANFQAMAAEGEVTMETSQAAEGNEVLLKSGELQACGSEHY LQPETNGVIRSAGGVPLLPSNVVQGLYPVAQPSLGNSSNMELSLDHFDISFSNQFSDLIN DFISVEGGSGTIYGHQLVSGDSAALSQSEDGARAPFTQAEMCIPCCSPQQGSLQLSSAEG GPSTMAYMHVAEVVSAASAQGALGMLQQSGRVFMVTDYSPEWSYPEGGVKVLITGPWQEA SNNYSCLFDQISVPASLIQPGVLRCYCPAHDTGLVTLQVAFNNQIISNSVVFEYKARALP TLPSSQHDWLSLDDNQFRMSILERLEQMERRMAEMTGSQQHKQASGGGGSGSGSGSGAGG GQAQCASGAGTLGSCFESRVVVVCEKMMSRACWAKSKHLIHSKTFRGMTLLHLAAAQGYA TLIQTLIKWRTKHADSIDLELEVDPLNVDHFSCTPLMWACALGHLEAAVVLYKWDRRAIS IPDSLGRLPLGIARSRGHVKLAECLEHLQRDEQAQLGQASRIHCAPSEEPTTDSWMAQWQ REAMSPPEIPKGVTVIASTNPELRRPRSEPSNYYSTEGHKDYPAPKKHKLNPESFQARQE KLLCTALSLEQPNIRKQSPRSKQPSPETISPSEGVREYSREAAPPTPETAASQASASQPV VKWSAKDLYIGVSTVQVTGNPKGTSVVKDAAPSQVRPREPMSVLMLANREVVNTEMGAYR DRTEHEDCPQPMDDIQVNMMTLAEHIIEATPDRIKQENFVPMESSALERTDPATISSTMS WLASYLADADRLPSAAHIRSAYTEPLTPSSNASLSPAGSPVSEVAFEKPSLPSAADWSEF LSASTSEKVESELAQLTLSDHEQRELYEAARLVQTAFRKYKGRPLREQQEVAAAVIQRCY RKYKQLTWIALKYALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQNFYRSYKKCG RRRPARRTAVIVQQKLRSSLLTKKQDQAARKIMRFLRRCRHSPLVDHRLYKRSERIEKGQ GT >ENSMUSP00000030216.5 pep:known chromosome:GRCm38:4:86444641:86558303:-1 gene:ENSMUSG00000028492.13 transcript:ENSMUST00000030216.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saxo1 description:stablizer of axonemal microtubules 1 [Source:MGI Symbol;Acc:MGI:1923061] MGKRTCICNLCSCGRHRCPHLPTKIYDKTEKTRLLSEYTENYPIYQSYLPRNSFKPEWCY RKPSAPMEGLTTCRRDFGLHKMLPVTFHQPDTYVPSQETMDLLTTYKHDFNYLPTCPVGL IKPRDSKFPNGDKIMQYLPTYKVDYLPWNQPRRELLRPPHKYRPESSKFENRTTHQDDYT MKGLVTTVSCKPPSKSKIYNIPLEDLTNYKMSYVPHPVEKRFVKEAEKYIPCDIPFENLT THKESYRGLLGEPAKSTKPPAKFPVHDAPFTNTTEVQEKFQAWPTPQMVPKAPVVYVPPE EKMDLLTTVQTHYKYLKGSPAITCRPVPSIKKSKCFESSTTTKDDFKQWAAVNTKPIRPT LHLSLPAEPLDCQTTTKTCFVAHPPIITENYKPPWVGLRRNIPVEGQTTYSINFTPKDLG KCPASYMEPPGYIFEEVDSMGHKIYRSISQTGSRQNSSISICDTEKPGQKELEVSA >ENSMUSP00000020647.3 pep:known chromosome:GRCm38:11:50174849:50200115:1 gene:ENSMUSG00000020381.10 transcript:ENSMUST00000020647.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3010026O09Rik description:RIKEN cDNA 3010026O09 gene [Source:MGI Symbol;Acc:MGI:1915317] MAPAQQSRVLRCCSCHIFQAHQVKKSLKWTCKACGEKQSFVRAYGEGSGADCRRHVQKLN LLQGQVSELSLRSVEEAVNGSEEENAGPLQAEAGSQQAPSKPLESRWLKYLDKGCEDQEL DRGRPALKTQLSTSAERPSSPAQPRKRKWNQRTGQPAHSLHGQGVDSVEDNFEHQDSTGL FGTEQQGTSPALSTANHTRELGFPRWKLPSPVTQVNAPSSKWARFLLAPGNSPQVDEKPS PLQEATMLADLAQGTVENKTPVEGHFSRAPAIRPPQAIHTTTPQLDRPDRKTREQPRDMG TPRADGRPLAQGTQKAPPLQLHNLFTTGEDFDDDL >ENSMUSP00000115263.1 pep:known chromosome:GRCm38:11:50174907:50197024:1 gene:ENSMUSG00000020381.10 transcript:ENSMUST00000123164.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:3010026O09Rik description:RIKEN cDNA 3010026O09 gene [Source:MGI Symbol;Acc:MGI:1915317] RVLRCCSCHIFQAHQVKKSLKWTCKACGEKQSFVRAYGEGSGADCRRHVQKLNLLQGQVS ELSLRRNPEVDYFYRGRHFYSDGQISTLKGFMAAKKGLPGGAQ >ENSMUSP00000006629.7 pep:known chromosome:GRCm38:11:30099395:30268169:-1 gene:ENSMUSG00000020315.18 transcript:ENSMUST00000006629.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn1 description:spectrin beta, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98388] MTTTVATDYDNIEIQQQYSDVNNRWDVDDWDNENSSARLFERSRIKALADEREAVQKKTF TKWVNSHLARVSCRITDLYTDLRDGRMLIKLLEVLSGERLPKPTKGRMRIHCLENVDKAL QFLKEQRVHLENMGSHDIVDGNHRLTLGLIWTIILRFQIQDISVETEDNKEKKSAKDALL LWCQMKTAGYPNVNIHNFTTSWRDGMAFNALIHKHRPDLIDFDKLKKSNAHYNLQNAFNL AEQHLGLTKLLDPEDISVDHPDEKSIITYVVTYYHYFSKMKALAVEGKRIGKVLDNAIET EKMIEKYESLASDLLEWIEQTIIILNNRKFANSLVGVQQQLQAFNTYRTVEKPPKFTEKG NLEVLLFTIQSKMRANNQKVYMPREGKLISDINKAWERLEKAEHERELALRNELIRQEKL EQLARRFDRKAAMRETWLSENQRLVSQDNFGFDLPAVEAATKKHEAIETDIAAYEERVQA VVAVARELEAENYHDIKRITARKDNVIRLWEYLLELLRARRQRLEMNLGLQKIFQEMLYI MDWMDEMKVLLLSQDYGKHLLGVEDLLQKHALVEADIAIQAERVRGVNASAQKFATDGEG YKPCDPQVIRDRVAHMEFCYQELCQLAAERRARLEESRRLWKFFWEMAEEEGWIREKEKI LSSDDYGKDLTSVMRLLSKHRAFEDEMSGRSGHFEQAIKEGEDMIAEEHFGSEKIRERII YIREQWANLEQLSAIRKKRLEEASLLHQFQADADDIDAWMLDILKIVSSNDVGHDEYSTQ SLVKKHKDVAEEITNYRPTIDTLHEQASALPQAHAESPDVKGRLAGIEERCKEMAELTRL RKQALQDTLALYKMFSEADACELWIDEKEQWLNNMQIPEKLEDLEVIQHRFESLEPEMNN QASRVAVVNQIARQLMHNGHPSEKEIRAQQDKLNTRWSQFRELVDRKKDALLSALSIQNY HLECNETKSWIREKTKVIESTQDLGNDLAGVMALQRKLTGMERDLVAIEAKLSDLQKEAE KLESEHPDQAQAILSRLAEISDVWEEMKTTLKNREASLGEASKLQQFLRDLDDFQSWLSR TQTAIASEDMPNTLTEAEKLLTQHENIKNEIDNYEEDYQKMRDMGEMVTQGQTDAQYMFL RQRLQALDTGWNELHKMWENRQNLLSQSHAYQQFLRDTKQAEAFLNNQEYVLAHTEMPTT LEGAEAAIKKQEDFMTTMDANEEKINAVVETGRRLVSDGNINSDRIQEKVDSIDDRHRKN REAASELLMRLKDNRDLQKFLQDCQELSLWINEKMLTAQDMSYDEARNLHSKWLKHQAFM AELASNKEWLDKIEKEGMQLISEKPETEAVVKEKLTGLHKMWEVLESTTQTKAQRLFDAN KAELFTQSCADLDKWLHGLESQIQSDDYGKDLTSVNILLKKQQMLENQMEVRKKEIEELQ SQAQALSQEGKSTDEVDSKRLTVQTKFMELLEPLSERKHNLLASKEIHQFNRDVEDEILW VGERMPLATSTDHGHNLQTVQLLIKKNQTLQKEIQGHQPRIDDIFERSQNIITDSSSLNA EAIRQRLADLKQLWGLLIEETEKRHRRLEEAHKAQQYYFDAAEAEAWMSEQELYMMSEEK AKDEQSAVSMLKKHQILEQAVEDYAETVHQLSKTSRALVADSHPESERISMRQSKVDKLY AGLKDLAEERRGKLDERHRLFQLNREVDDLEQWIAEREVVAGSHELGQDYEHVTMLQERF REFARDTGNIGQERVDTVNNMADELINSGHSDAATIAEWKDGLNEAWADLLELIDTRTQI LAASYELHKFYHDAKEIFGRIQDKHKKLPEELGRDQNTVETLQRMHTTFEHDIQALGTQV RQLQEDAARLQAAYAGDKADDIQKRENEVLEAWKSLLDACEGRRVRLVDTGDKFRFFSMV RDLMLWMEDVIRQIEAQEKPRDVSSVELLMNNHQGIKAEIDARNDSFTACIELGKSLLAR KHYASEEIKEKLLQLTEKRKEMIDKWEDRWEWLRLILEVHQFSRDASVAEAWLLGQEPYL SSREIGQSVDEVEKLIKRHEAFEKSAATWDERFSALERLTTLELLEVRRQQEEEERKRRP PSPDPNTKVSEEAESQQWDTSKGDQVSQNGLPAEQGSPRMAGTMETSEMVNGAAEQRTSS KESSPVPSPTLDRKAKSALPAQSAATLPARTLETPAAQMEGFLNRKHEWEAHNKKASSRS WHNVYCVINNQEMGFYKDAKSAASGIPYHSEVPVSLKEAICEVALDYKKKKHVFKLRLSD GNEYLFQAKDDEEMNTWIQAISSAISSDKHDTSASTQSTPASSRAQTLPTSVVTITSESS PGKREKDKEKDKEKRFSLFGKKK >ENSMUSP00000099902.3 pep:known chromosome:GRCm38:11:30106785:30198257:-1 gene:ENSMUSG00000020315.18 transcript:ENSMUST00000102838.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn1 description:spectrin beta, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98388] MELQRTSSISGPLSPAYTGQVPYNYNQLEGRFKQLQDEREAVQKKTFTKWVNSHLARVSC RITDLYTDLRDGRMLIKLLEVLSGERLPKPTKGRMRIHCLENVDKALQFLKEQRVHLENM GSHDIVDGNHRLTLGLIWTIILRFQIQDISVETEDNKEKKSAKDALLLWCQMKTAGYPNV NIHNFTTSWRDGMAFNALIHKHRPDLIDFDKLKKSNAHYNLQNAFNLAEQHLGLTKLLDP EDISVDHPDEKSIITYVVTYYHYFSKMKALAVEGKRIGKVLDNAIETEKMIEKYESLASD LLEWIEQTIIILNNRKFANSLVGVQQQLQAFNTYRTVEKPPKFTEKGNLEVLLFTIQSKM RANNQKVYMPREGKLISDINKAWERLEKAEHERELALRNELIRQEKLEQLARRFDRKAAM RETWLSENQRLVSQDNFGFDLPAVEAATKKHEAIETDIAAYEERVQAVVAVARELEAENY HDIKRITARKDNVIRLWEYLLELLRARRQRLEMNLGLQKIFQEMLYIMDWMDEMKVLLLS QDYGKHLLGVEDLLQKHALVEADIAIQAERVRGVNASAQKFATDGEGYKPCDPQVIRDRV AHMEFCYQELCQLAAERRARLEESRRLWKFFWEMAEEEGWIREKEKILSSDDYGKDLTSV MRLLSKHRAFEDEMSGRSGHFEQAIKEGEDMIAEEHFGSEKIRERIIYIREQWANLEQLS AIRKKRLEEASLLHQFQADADDIDAWMLDILKIVSSNDVGHDEYSTQSLVKKHKDVAEEI TNYRPTIDTLHEQASALPQAHAESPDVKGRLAGIEERCKEMAELTRLRKQALQDTLALYK MFSEADACELWIDEKEQWLNNMQIPEKLEDLEVIQHRFESLEPEMNNQASRVAVVNQIAR QLMHNGHPSEKEIRAQQDKLNTRWSQFRELVDRKKDALLSALSIQNYHLECNETKSWIRE KTKVIESTQDLGNDLAGVMALQRKLTGMERDLVAIEAKLSDLQKEAEKLESEHPDQAQAI LSRLAEISDVWEEMKTTLKNREASLGEASKLQQFLRDLDDFQSWLSRTQTAIASEDMPNT LTEAEKLLTQHENIKNEIDNYEEDYQKMRDMGEMVTQGQTDAQYMFLRQRLQALDTGWNE LHKMWENRQNLLSQSHAYQQFLRDTKQAEAFLNNQEYVLAHTEMPTTLEGAEAAIKKQED FMTTMDANEEKINAVVETGRRLVSDGNINSDRIQEKVDSIDDRHRKNREAASELLMRLKD NRDLQKFLQDCQELSLWINEKMLTAQDMSYDEARNLHSKWLKHQAFMAELASNKEWLDKI EKEGMQLISEKPETEAVVKEKLTGLHKMWEVLESTTQTKAQRLFDANKAELFTQSCADLD KWLHGLESQIQSDDYGKDLTSVNILLKKQQMLENQMEVRKKEIEELQSQAQALSQEGKST DEVDSKRLTVQTKFMELLEPLSERKHNLLASKEIHQFNRDVEDEILWVGERMPLATSTDH GHNLQTVQLLIKKNQTLQKEIQGHQPRIDDIFERSQNIITDSSSLNAEAIRQRLADLKQL WGLLIEETEKRHRRLEEAHKAQQYYFDAAEAEAWMSEQELYMMSEEKAKDEQSAVSMLKK HQILEQAVEDYAETVHQLSKTSRALVADSHPESERISMRQSKVDKLYAGLKDLAEERRGK LDERHRLFQLNREVDDLEQWIAEREVVAGSHELGQDYEHVTMLQERFREFARDTGNIGQE RVDTVNNMADELINSGHSDAATIAEWKDGLNEAWADLLELIDTRTQILAASYELHKFYHD AKEIFGRIQDKHKKLPEELGRDQNTVETLQRMHTTFEHDIQALGTQVRQLQEDAARLQAA YAGDKADDIQKRENEVLEAWKSLLDACEGRRVRLVDTGDKFRFFSMVRDLMLWMEDVIRQ IEAQEKPRDVSSVELLMNNHQGIKAEIDARNDSFTACIELGKSLLARKHYASEEIKEKLL QLTEKRKEMIDKWEDRWEWLRLILEVHQFSRDASVAEAWLLGQEPYLSSREIGQSVDEVE KLIKRHEAFEKSAATWDERFSALERLTTLELLEVRRQQEEEERKRRPPSPDPNTKVSEEA ESQQWDTSKGDQVSQNGLPAEQGSPRVSYRSQTYQNYKNFNSRRTASDHSWSGM >ENSMUSP00000114841.1 pep:known chromosome:GRCm38:11:30109948:30267214:-1 gene:ENSMUSG00000020315.18 transcript:ENSMUST00000124231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn1 description:spectrin beta, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98388] MTTTVATDYDNIEIQQQYSDVNNRWDVDDWDNENSSARLFERSRIKALADEREAVQKKTF TKWVNSHLARVSCRITDLYTDLRDGRMLIKLLEVLSGERLPKPTKGRMRIHCLENVDKAL QFLKEQRVHLENMGSHDIVDGNHRLTLGLIWTIILRFQIQDISVETEDNKEKKSAKDALL LWCQMKTAGYPNVNIHNFTTSWRDGMAFNALIHKHRPDLIDFDKLKKSNAHYNLQNAFNL AEQHLGLTKLLDPEDISVDHPDEKSIITYVVTYYHYFSKMKALAVEGKRIGKVLDNAIET EKMIEKYESLASDLLEWIEQTIIILNNRKFANSLVGVQQQLQAFNTYRTVEKPPKFTEKG NLEVLLFTIQSKMRANNQKVYMPREGKLISDINKAWERLEKAEHERELALRNELIRQEKL EQLARRFDRKAAMRETWLSENQRLVSQDNFGFDLPAVEAATKKHEAIETDIAAYEERVQA VVAVARELEAENYHDIKRITARKDNVIRLWEYLLELLRARRQRLEMNLGLQKIFQEMLYI MDWMDEMKVLLLSQDYGKHLLGVEDLLQKHALVEADIAIQAERVRGVNASAQKFATDGEG YKPCDPQVIRDRVAHMEFCYQELCQLAAERRARLEESRRLWKFFWEMAEEEGWIREKEKI LSSDDYGKDLTSVMRLLSKHRAFEDEMSGRSGHFEQAIKEGEDMIAEEHFGSEKIRERII YIREQWANLEQLSAIRKKRLEEASLLHQFQADADDIDAWMLDILKIVSSNDVGHDEYSTQ SLVKKHKDVAEEITNYRPTIDTLHEQASALPQAHAESPDVKGRLAGIEERCKEMAELTRL RKQALQDTLALYKMFSEADACELWIDEKEQWLNNMQIPEKLEDLEVIQHRFESLEPEMNN QASRVAVVNQIARQLMHNGHPSEKEIRAQQDKLNTRWSQFRELVDRKKDALLSALSIQNY HLECNETKSWIREKTKVIESTQDLGNDLAGVMALQRKLTGMERDLVAIEAKLSDLQKEAE KLESEHPDQAQAILSRLAEISDVWEEMKTTLKNREASLGEASKLQQFLRDLDDFQSWLSR TQTAIASEDMPNTLTEAEKLLTQHENIKNEIDNYEEDYQKMRDMGEMVTQGQTDAQYMFL RQRLQALDTGWNELHKMWENRQNLLSQSHAYQQFLRDTKQAEAFLNNQEYVLAHTEMPTT LEGAEAAIKKQEDFMTTMDANEEKINAVVETGRRLVSDGNINSDRIQEKVDSIDDRHRKN REAASELLMRLKDNRDLQKFLQDCQELSLWINEKMLTAQDMSYDEARNLHSKWLKHQAFM AELASNKEWLDKIEKEGMQLISEKPETEAVVKEKLTGLHKMWEVLESTTQTKAQRLFDAN KAELFTQSCADLDKWLHGLESQIQSDDYGKDLTSVNILLKKQQMLENQMEVRKKEIEELQ SQAQALSQEGKSTDEVDSKRLTVQTKFMELLEPLSERKHNLLASKEIHQFNRDVEDEILW VGERMPLATSTDHGHNLQTVQLLIKKNQTLQKEIQGHQPRIDDIFERSQNIITDSSSLNA EAIRQRLADLKQLWGLLIEETEKRHRRLEEAHKAQQYYFDAAEAEAWMSEQELYMMSEEK AKDEQSAVSMLKKHQILEQAVEDYAETVHQLSKTSRALVADSHPESERISMRQSKVDKLY AGLKDLAEERRGKLDERHRLFQLNREVDDLEQWIAEREVVAGSHELGQDYEHVTMLQERF REFARDTGNIGQERVDTVNNMADELINSGHSDAATIAEWKDGLNEAWADLLELIDTRTQI LAASYELHKFYHDAKEIFGRIQDKHKKLPEELGRDQNTVETLQRMHTTFEHDIQALGTQV RQLQEDAARLQAAYAGDKADDIQKRENEVLEAWKSLLDACEGRRVRLVDTGDKFRFFSMV RDLMLWMEDVIRQIEAQEKPRDVSSVELLMNNHQGIKAEIDARNDSFTACIELGKSLLAR KHYASEEIKEKLLQLTEKRKEMIDKWEDRWEWLRLILEVHQFSRDASVAEAWLLGQEPYL SSREIGQSVDEVEKLIKRHEAFEKSAATWDERFSALERLTTLELLEVRRQQE >ENSMUSP00000011877.6 pep:known chromosome:GRCm38:11:30099396:30219772:-1 gene:ENSMUSG00000020315.18 transcript:ENSMUST00000011877.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn1 description:spectrin beta, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98388] MTTTVATDYDNIEIQQQYSDVNNRWDVDDWDNENSSARLFERSRIKALADEREAVQKKTF TKWVNSHLARVSCRITDLYTDLRDGRMLIKLLEVLSGERLPKPTKGRMRIHCLENVDKAL QFLKEQRVHLENMGSHDIVDGNHRLTLGLIWTIILRFQIQDISVETEDNKEKKSAKDALL LWCQMKTAGYPNVNIHNFTTSWRDGMAFNALIHKHRPDLIDFDKLKKSNAHYNLQNAFNL AEQHLGLTKLLDPEDISVDHPDEKSIITYVVTYYHYFSKMKALAVEGKRIGKVLDNAIET EKMIEKYESLASDLLEWIEQTIIILNNRKFANSLVGVQQQLQAFNTYRTVEKPPKFTEKG NLEVLLFTIQSKMRANNQKVYMPREGKLISDINKAWERLEKAEHERELALRNELIRQEKL EQLARRFDRKAAMRETWLSENQRLVSQDNFGFDLPAVEAATKKHEAIETDIAAYEERVQA VVAVARELEAENYHDIKRITARKDNVIRLWEYLLELLRARRQRLEMNLGLQKIFQEMLYI MDWMDEMKVLLLSQDYGKHLLGVEDLLQKHALVEADIAIQAERVRGVNASAQKFATDGEG YKPCDPQVIRDRVAHMEFCYQELCQLAAERRARLEESRRLWKFFWEMAEEEGWIREKEKI LSSDDYGKDLTSVMRLLSKHRAFEDEMSGRSGHFEQAIKEGEDMIAEEHFGSEKIRERII YIREQWANLEQLSAIRKKRLEEASLLHQFQADADDIDAWMLDILKIVSSNDVGHDEYSTQ SLVKKHKDVAEEITNYRPTIDTLHEQASALPQAHAESPDVKGRLAGIEERCKEMAELTRL RKQALQDTLALYKMFSEADACELWIDEKEQWLNNMQIPEKLEDLEVIQHRFESLEPEMNN QASRVAVVNQIARQLMHNGHPSEKEIRAQQDKLNTRWSQFRELVDRKKDALLSALSIQNY HLECNETKSWIREKTKVIESTQDLGNDLAGVMALQRKLTGMERDLVAIEAKLSDLQKEAE KLESEHPDQAQAILSRLAEISDVWEEMKTTLKNREASLGEASKLQQFLRDLDDFQSWLSR TQTAIASEDMPNTLTEAEKLLTQHENIKNEIDNYEEDYQKMRDMGEMVTQGQTDAQYMFL RQRLQALDTGWNELHKMWENRQNLLSQSHAYQQFLRDTKQAEAFLNNQEYVLAHTEMPTT LEGAEAAIKKQEDFMTTMDANEEKINAVVETGRRLVSDGNINSDRIQEKVDSIDDRHRKN REAASELLMRLKDNRDLQKFLQDCQELSLWINEKMLTAQDMSYDEARNLHSKWLKHQAFM AELASNKEWLDKIEKEGMQLISEKPETEAVVKEKLTGLHKMWEVLESTTQTKAQRLFDAN KAELFTQSCADLDKWLHGLESQIQSDDYGKDLTSVNILLKKQQMLENQMEVRKKEIEELQ SQAQALSQEGKSTDEVDSKRLTVQTKFMELLEPLSERKHNLLASKEIHQFNRDVEDEILW VGERMPLATSTDHGHNLQTVQLLIKKNQTLQKEIQGHQPRIDDIFERSQNIITDSSSLNA EAIRQRLADLKQLWGLLIEETEKRHRRLEEAHKAQQYYFDAAEAEAWMSEQELYMMSEEK AKDEQSAVSMLKKHQILEQAVEDYAETVHQLSKTSRALVADSHPESERISMRQSKVDKLY AGLKDLAEERRGKLDERHRLFQLNREVDDLEQWIAEREVVAGSHELGQDYEHVTMLQERF REFARDTGNIGQERVDTVNNMADELINSGHSDAATIAEWKDGLNEAWADLLELIDTRTQI LAASYELHKFYHDAKEIFGRIQDKHKKLPEELGRDQNTVETLQRMHTTFEHDIQALGTQV RQLQEDAARLQAAYAGDKADDIQKRENEVLEAWKSLLDACEGRRVRLVDTGDKFRFFSMV RDLMLWMEDVIRQIEAQEKPRDVSSVELLMNNHQGIKAEIDARNDSFTACIELGKSLLAR KHYASEEIKEKLLQLTEKRKEMIDKWEDRWEWLRLILEVHQFSRDASVAEAWLLGQEPYL SSREIGQSVDEVEKLIKRHEAFEKSAATWDERFSALERLTTLELLEVRRQQEEEERKRRP PSPDPNTKVSEEAESQQWDTSKGDQVSQNGLPAEQGSPRMAGTMETSEMVNGAAEQRTSS KESSPVPSPTLDRKAKSALPAQSAATLPARTLETPAAQMEGFLNRKHEWEAHNKKASSRS WHNVYCVINNQEMGFYKDAKSAASGIPYHSEVPVSLKEAICEVALDYKKKKHVFKLRLSD GNEYLFQAKDDEEMNTWIQAISSAISSDKHDTSASTQSTPASSRAQTLPTSVVTITSESS PGKREKDKEKDKEKRFSLFGKKK >ENSMUSP00000087961.3 pep:known chromosome:GRCm38:2:103263433:103303278:-1 gene:ENSMUSG00000012350.15 transcript:ENSMUST00000090475.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehf description:ets homologous factor [Source:MGI Symbol;Acc:MGI:1270840] MILEGSGVMNLNPANNLLHQQPAWTDSYPTCNVSSGFFGSQWHEIHPQYWTKYQVWEWLQ HLLDTNQLDASCIPFQEFDISGEHLCSMSLQEFTRAAGSAGQLLYSNLQHLKWNGQCSSD LFQSAHNVIVKTEQTDPSIMNTWKEENYLYDPSYGSTVDLLDSKTFCRAQISMTTSSHLP VAESPDMKKEQDHPVKSHTKKHNPRGTHLWEFIRDILLSPDKNPGLIKWEDRSEGIFRFL KSEAVAQLWGKKKNNSSMTYEKLSRAMRYYYKREILERVDGRRLVYKFGKNARGWRENEN >ENSMUSP00000106807.2 pep:known chromosome:GRCm38:2:103264025:103303166:-1 gene:ENSMUSG00000012350.15 transcript:ENSMUST00000111176.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehf description:ets homologous factor [Source:MGI Symbol;Acc:MGI:1270840] MILEGSGVMNLNPANNLLHQQPAWTDSYPTCNVSSGFFGSQWHEIHPQYWTKYQVWEWLQ HLLDTNQLDASCIPFQEFDISGEHLCSMSLQEFTRAAGSAGQLLYSNLQHLKWNGQCSSD LFQSAHNVIVKTEQTDPSIMNTWKEENYLYDPSYGSTVAESPDMKKEQDHPVKSHTKKHN PRGTHLWEFIRDILLSPDKNPGLIKWEDRSEGIFRFLKSEAVAQLWGKKKNNSSMTYEKL SRAMRYYYKREILERVDGRRLVYKFGKNARGWRENEN >ENSMUSP00000106805.1 pep:known chromosome:GRCm38:2:103266511:103283760:-1 gene:ENSMUSG00000012350.15 transcript:ENSMUST00000111174.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehf description:ets homologous factor [Source:MGI Symbol;Acc:MGI:1270840] MILEGSGVMNLNPANNLLHQQPAWTDSYPTCNVSSGFFGSQWHEIHPQYWTKYQVWEWLQ HLLDTNQLDASCIPFQEFDISGEHLCSMSLQEFTRAAGSAGQLLYSNLQHLKWNGQCSSD LFQSAHNVIVKTEQTDPSIMNTWKEENYLYDPSYGSTVDLLDSKTFCRAQISMTTSSHLP VAESPDMKKEQDHPVKSHTKKHNPRGTHLWEFIRDILLSPDKNPGLIKWEDRSEGIFRFL KSEAVAQLWGKKKNNSSMTYEKLSRAMRYYYKREILERVDGRRLVYKFGKNARGWRENEN >ENSMUSP00000121103.1 pep:known chromosome:GRCm38:2:103267076:103299565:-1 gene:ENSMUSG00000012350.15 transcript:ENSMUST00000125788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehf description:ets homologous factor [Source:MGI Symbol;Acc:MGI:1270840] MDPVLEEDSMIMILEGSGVMNLNPANNLLHQQPAWTDSYPTCNVSSGFFGSQWHEIHPQY WTKYQVWEWLQHLLDTNQLDASCIPFQEFDISGEHLCSMSLQEFTRAAGSAGQLLYSNLQ HLKWNGQCSSDLFQSAHNVIVKTEQTDPSIMNTWKEENYLYDPSYGSTVDLLDSKTFCRA QISMTTSSHLPVAESPDMKKEQDHPVKSHTKKHNPRGTHLWEFIRDILLSPDKNPGLIKW ED >ENSMUSP00000114979.1 pep:known chromosome:GRCm38:2:103274103:103290937:-1 gene:ENSMUSG00000012350.15 transcript:ENSMUST00000140503.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehf description:ets homologous factor [Source:MGI Symbol;Acc:MGI:1270840] MQPLTLMINLVHGAGPSQYASHFVIEVSNRPLTWHLIQIMILEGSGVMNLNPANNLLHQQ PAWTDSYPTCNVSSGFFGSQWHEIHPQYWTKYQVWEWLQHLLDTNQLDASCIPFQEFDIS GEHLCSMSLQEFTRAAGSAGQLLYSNLQHLKWNGQCSSDLFQSAHNVIVKTEQTDPSIMN T >ENSMUSP00000118275.1 pep:known chromosome:GRCm38:2:103276797:103302920:-1 gene:ENSMUSG00000012350.15 transcript:ENSMUST00000151265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehf description:ets homologous factor [Source:MGI Symbol;Acc:MGI:1270840] MILEGSGVMNLNPANNLLHQQPAWTDSYPTCNVSSGFFGSQWHEIHPQYWTKYQVWEWLQ HLLDTNQLDASCIPFQEFDISGEHLCSMSLQEFTRAAGSAGQLLYSNLQHLKWNGQCSSD LFQSAHN >ENSMUSP00000145356.1 pep:known chromosome:GRCm38:10:129328965:129333818:1 gene:ENSMUSG00000095138.2 transcript:ENSMUST00000204108.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr781 description:olfactory receptor 781 [Source:MGI Symbol;Acc:MGI:3030615] MRNRTVTTFILLGLTDDIRLQILLFIFLLSSYMLSLSGNLTIITLTLIDPHLKTPMYIFL KNFSFLEISLTTACIPRFLYSISSGDKSITYIACASQLLFIDLFAVTEFFLLAIMSYDRY VAICKPLHYMTIMNSRVCKNFIFSCWVAALIIILPPIGLGLGLEFCDSDIIDHFCCDAAP LLKISCSDTWLIEQMVIAGAVLTFIITFVCVVLSYVYIIKTILRFPSAKQRKKAFSTCSS HMIVVSITYGSCIFIYVKPSSKDDVAINKGISLLIISISPMMNPFIYALRNKQVKQAFNY SIKKIAFLSKM >ENSMUSP00000075041.1 pep:known chromosome:GRCm38:10:129332883:129333818:1 gene:ENSMUSG00000095138.2 transcript:ENSMUST00000075613.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr781 description:olfactory receptor 781 [Source:MGI Symbol;Acc:MGI:3030615] MRNRTVTTFILLGLTDDIRLQILLFIFLLSSYMLSLSGNLTIITLTLIDPHLKTPMYIFL KNFSFLEISLTTACIPRFLYSISSGDKSITYIACASQLLFIDLFAVTEFFLLAIMSYDRY VAICKPLHYMTIMNSRVCKNFIFSCWVAALIIILPPIGLGLGLEFCDSDIIDHFCCDAAP LLKISCSDTWLIEQMVIAGAVLTFIITFVCVVLSYVYIIKTILRFPSAKQRKKAFSTCSS HMIVVSITYGSCIFIYVKPSSKDDVAINKGISLLIISISPMMNPFIYALRNKQVKQAFNY SIKKIAFLSKM >ENSMUSP00000106655.2 pep:known chromosome:GRCm38:2:110597307:110647779:1 gene:ENSMUSG00000041644.14 transcript:ENSMUST00000111026.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a12 description:solute carrier family 5 (sodium/glucose cotransporter), member 12 [Source:MGI Symbol;Acc:MGI:2138890] MRVKNFEAWDYVVFAGLFVISSGIGVFFAIKERKKTTSREFLVGGRQMSFGPVALSLTAS FMSAVTVLGTPAEVYRFGASFFLFLISYVFVVFFTSELFLPVFYRSGITSTYEYLQLRFN KPVRYAATIIYIVQTILYTGVVVYAPALALNQVTGFNLWASVFATGIVCTFYCSLGGLKA VVWTDAFQMVVMIVGFLTVLIQGSNHVGGFNNVLEKAGNGSRLHIVDFDVDPLRRHTFWT ITIGGTFTWLGVYGVNQSTIQRCISCKTEKHAKLALYFNLLGLWIIVACAVFSGLIMYSH FKDCDPWTSGVISAPDQLMPYFVMEIFATMPGLPGLFVACAFSGTLSTVAASINALATVT FEDFVKSCFPHLSDKLSTWISKGLCILFGIMCTSMAVVASLMGSVVQAALSIHGMCGGPM LGLFTLGLVFPFVNWKGALGGLLTGITLSFWVAIGSFIYPAPESKTLPLPLSTEHCVELN ITTTVAPQISSRPVLADTWYSLSYLYFSAVGCLGCIAAGIIISFLTGKQRGKDIDPLLIR PVCNLFCFWSKKYKTLCWCGVQHDRETEQDYLDSGSAWKQGVESGLQNGLKQDTLAQIPG YNPKEKSYSNSVPEKTTYF >ENSMUSP00000047340.6 pep:known chromosome:GRCm38:2:110597298:110647769:1 gene:ENSMUSG00000041644.14 transcript:ENSMUST00000045972.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a12 description:solute carrier family 5 (sodium/glucose cotransporter), member 12 [Source:MGI Symbol;Acc:MGI:2138890] MRVKNFEAWDYVVFAGLFVISSGIGVFFAIKERKKTTSREFLVGGRQMSFGPVALSLTAS FMSAVTVLGTPAEVYRFGASFFLFLISYVFVVFFTSELFLPVFYRSGITSTYEYLQLRFN KPVRYAATIIYIVQTILYTGVVVYAPALALNQVTGFNLWASVFATGIVCTFYCSLGGLKA VVWTDAFQMVVMIVGFLTVLIQGSNHVGGFNNVLEKAGNGSRLHIVDFDVDPLRRHTFWT ITIGGTFTWLGVYGVNQSTIQRCISCKTEKHAKLALYFNLLGLWIIVACAVFSGLIMYSH FKDCDPWTSGVISAPDQLMPYFVMEIFATMPGLPGLFVACAFSGTLSTVAASINALATVT FEDFVKSCFPHLSDKLSTWISKGLCILFGIMCTSMAVVASLMGSVVQAPGIVSQERAITG SFQRNLASVCYGVSIWKLIMGALGGLLTGITLSFWVAIGSFIYPAPESKTLPLPLSTEHC VELNITTTVAPQISSRPVLADTWYSLSYLYFSAVGCLGCIAAGIIISFLTGKQRGKDIDP LLIRPVCNLFCFWSKKYKTLCWCGVQHDRETEQDYLDSGSAWKQGVESGLQNGLKQDTLA QIPGYNPKEKSYSNSVPEKTTYF >ENSMUSP00000100623.1 pep:known chromosome:GRCm38:X:8962134:8962975:-1 gene:ENSMUSG00000078208.1 transcript:ENSMUST00000105006.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fthl17b description:ferritin, heavy polypeptide-like 17, member B [Source:MGI Symbol;Acc:MGI:3642940] MAEAPSRVRQNYDWQCEDAINTHIQLRLYASYVYMSMAVYFDRDDVAQENFKRFFLTKSH NCQTSAEMFMHLQNKRGGCISLQDIARPERDSWHGGFQAMECAFHMEMLINQSLLNMHEV AKEKGDPHLCHFLEQNCLDQQVDILKEMSGYLTNLRQMGAIEHNLAEYLFDKLSLS >ENSMUSP00000071054.7 pep:known chromosome:GRCm38:2:91054009:91059432:1 gene:ENSMUSG00000002102.15 transcript:ENSMUST00000067663.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc3 description:proteasome (prosome, macropain) 26S subunit, ATPase 3 [Source:MGI Symbol;Acc:MGI:1098754] MQEMNLLPTPESPVTRQEKMATVWDEAEQDGIGEEVLKMSTEEIVQRTRLLDSEIKIMKS EVLRVTHELQAMKDKIKENSEKIKVNKTLPYLVSNVIELLDVDPNDQEEDGANIDLDSQR KGKCAVIKTSTRQTYFLPVIGLVDAEKLKPGDLVGVNKDSYLILETLPTEYDSRVKAMEV DERPTEQYSDIGGLDKQIQELVEAIVLPMNHKEKFENLGIQPPKGVLMYGPPGTGKTLLA RACAAQTKATFLKLAGPQLVQMFIGDGAKLVRDAFALAKEKAPSIIFIDELDAIGTKRFD SEKAGDREVQRTMLELLNQLDGFQPNTQVKVIAATNRVDILDPALLRSGRLDRKIEFPMP NEEARARIMQIHSRKMNVSPDVNYEELARCTDDFNGAQCKAVCVEAGMIALRRGATELTH EDYMEGILEVQAKKKANLQYYA >ENSMUSP00000002171.7 pep:known chromosome:GRCm38:2:91054055:91066369:1 gene:ENSMUSG00000002102.15 transcript:ENSMUST00000002171.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc3 description:proteasome (prosome, macropain) 26S subunit, ATPase 3 [Source:MGI Symbol;Acc:MGI:1098754] MQEMNLLPTPESPVTRQEKMATVWDEAEQDGIGEEVLKMSTEEIVQRTRLLDSEIKIMKS EVLRVTHELQAMKDKIKENSEKIKVNKTLPYLVSNVIELLDVDPNDQEEDGANIDLDSQR KGKCAVIKTSTRQTYFLPVIGLVDAEKLKPGDLVGVNKDSYLILETLPTEYDSRVKAMEV DERPTEQYSDIGGLDKQIQELVEAIVLPMNHKEKFENLGIQPPKGVLMYGPPGTGKTLLA RACAAQTKATFLKLAGPQLVQMFIGDGAKLVRDAFALAKEKAPSIIFIDELDAIGTKRFD SEKAGDREVQRTMLELLNQLDGFQPNTQVKVIAATNRVDILDPALLRSGRLDRKIEFPMP NEEARARIMQIHSRKMNVSPDVNYEELARCTDDFNGAQCKAVCVEAMCWAAFTAHRDTCD PKLRVGHAWCRPTPPAAGAETRCPGVHLRQS >ENSMUSP00000107068.3 pep:known chromosome:GRCm38:2:91054100:91059432:1 gene:ENSMUSG00000002102.15 transcript:ENSMUST00000111441.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc3 description:proteasome (prosome, macropain) 26S subunit, ATPase 3 [Source:MGI Symbol;Acc:MGI:1098754] MQEMNLLPTPESPVTRQEKMATVWDEAEQDGIGEEVLKMSTEEIVQRTRLLDSEIKLLDV DPNDQEEDGANIDLDSQRKGKCAVIKTSTRQTYFLPVIGLVDAEKLKPGDLVGVNKDSYL ILETLPTEYDSRVKAMEVDERPTEQYSDIGGLDKQIQELVEAIVLPMNHKEKFENLGIQP PKGVLMYGPPGTGKTLLARACAAQTKATFLKLAGPQLVQMFIGDGAKLVRDAFALAKEKA PSIIFIDELDAIGTKRFDSEKAGDREVQRTMLELLNQLDGFQPNTQVKVIAATNRVDILD PALLRSGRLDRKIEFPMPNEEARARIMQIHSRKMNVSPDVNYEELARCTDDFNGAQCKAV CVEAGMIALRRGATELTHEDYMEGILEVQAKKKANLQYYA >ENSMUSP00000139782.1 pep:known chromosome:GRCm38:2:91054278:91057845:1 gene:ENSMUSG00000002102.15 transcript:ENSMUST00000185715.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc3 description:proteasome (prosome, macropain) 26S subunit, ATPase 3 [Source:MGI Symbol;Acc:MGI:1098754] MATVWDEAEQDGIGEEVLKMSTEEIVQRTRLLDSEIKIMKSEVLRVTHELQAMKDKIKEN SEKIKVNKTLPYLVSNVIELLDVDPNDQEEDGANIDLDSQRKGKCAVIKTSTRQTYFLPV IGLVDAEKLKPGDLVGVNKDSYLILETLPTEYDSRVKAMEVDERPTEQYSDIGGLDKQIQ ELVEAIVLPMNHKEKFENLGIQPPKGVLMYGPPGTGKTLLARACAAQTKATFLKLAGPQL VQMFIGDGAKLVRDAFALAKEKAPSIIFIDELDAIGTKRFDSEKAGDREVQRTMLELLNQ LDGFQP >ENSMUSP00000121688.1 pep:known chromosome:GRCm38:2:91054301:91056996:1 gene:ENSMUSG00000002102.15 transcript:ENSMUST00000146506.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmc3 description:proteasome (prosome, macropain) 26S subunit, ATPase 3 [Source:MGI Symbol;Acc:MGI:1098754] XKMATVWDEAEQDGIGEEVLKMSTEEIVQRTRLLDSEIKIMKSEVLRVTHELQAMKDKIK ENSEKIKVNKTLPYLVSNVIELLDVDPNDQEEDGANIDLDSQRKGKCAVIKTSTRQTYFL PVIGLVDAEKLKPGDLVGVNKDSYLILETLPTEYDSRVKAMEVDERPTEQYSDIGGLDKQ IQEDFFLAAGGSHCLAYEPQREV >ENSMUSP00000029485.5 pep:known chromosome:GRCm38:3:108537541:108544723:1 gene:ENSMUSG00000027886.7 transcript:ENSMUST00000029485.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700013F07Rik description:RIKEN cDNA 1700013F07 gene [Source:MGI Symbol;Acc:MGI:1922754] MLQPQETFSNPALRDEDKHLGNLWASKKSLYKNPAHLAQQQDPWSRLSSTPTATSRSRDT FFDSKIPKDDLDFRLATLYNHHTGAFKNKTEILLHQETIEDIQGTKIQFPGECFHAPSAP ITSRTTIRHWINPKKESIHSIQGSIVSPHTAATNGGYSRKNDGGFFST >ENSMUSP00000099467.4 pep:known chromosome:GRCm38:4:155260129:155345772:-1 gene:ENSMUSG00000029053.16 transcript:ENSMUST00000103178.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcz description:protein kinase C, zeta [Source:MGI Symbol;Acc:MGI:97602] MDSVMPSQEPPVDDKNDGVDLPSEETDGIAYISSSRKHDNIKDDSEDLKPVIDGVDGIKI SQGLGLQDFDLIRVIGRGSYAKVLLVRLKKNDQIYAMKVVKKELVHDDEDIDWVQTEKHV FEQASSNPFLVGLHSCFQTTSRLFLVIEYVNGGDLMFHMQRQRKLPEEHARFYAAEICIA LNFLHERGIIYRDLKLDNVLLDADGHIKLTDYGMCKEGLGPGDTTSTFCGTPNYIAPEIL RGEEYGFSVDWWALGVLMFEMMAGRSPFDIITDNPDMNTEDYLFQVILEKPIRIPRFLSV KASHVLKGFLNKDPKERLGCRPQTGFSDIKSHAFFRSIDWDLLEKKQTLPPFQPQITDDY GLDNFDTQFTSEPVQLTPDDEDVIKRIDQSEFEGFEYINPLLLSAEESV >ENSMUSP00000030922.7 pep:known chromosome:GRCm38:4:155261721:155361361:-1 gene:ENSMUSG00000029053.16 transcript:ENSMUST00000030922.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcz description:protein kinase C, zeta [Source:MGI Symbol;Acc:MGI:97602] MPSRTDPKMDRSGGRVRLKAHYGGDILITSVDAMTTFKDLCEEVRDMCGLHQQHPLTLKW VDSEGDPCTVSSQMELEEAFRLVCQGRDEVLIIHVFPSIPEQPGMPCPGEDKSIYRRGAR RWRKLYRANGHLFQAKRFNRGAYCGQCSERIWGLSRQGYRCINCKLLVHKRCHVLVPLTC RRHMDSVMPSQEPPVDDKNDGVDLPSEETDGIAYISSSRKHDNIKDDSEDLKPVIDGVDG IKISQGLGLQDFDLIRVIGRGSYAKVLLVRLKKNDQIYAMKVVKKELVHDDEDIDWVQTE KHVFEQASSNPFLVGLHSCFQTTSRLFLVIEYVNGGDLMFHMQRQRKLPEEHARFYAAEI CIALNFLHERGIIYRDLKLDNVLLDADGHIKLTDYGMCKEGLGPGDTTSTFCGTPNYIAP EILRGEEYGFSVDWWALGVLMFEMMAGRSPFDIITDNPDMNTEDYLFQVILEKPIRIPRF LSVKASHVLKGFLNKDPKERLGCRPQTGFSDIKSHAFFRSIDWDLLEKKQTLPPFQPQIT DDYGLDNFDTQFTSEPVQLTPDDEDVIKRIDQSEFEGFEYINPLLLSAEESV >ENSMUSP00000116042.1 pep:known chromosome:GRCm38:4:155290502:155345165:-1 gene:ENSMUSG00000029053.16 transcript:ENSMUST00000131975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcz description:protein kinase C, zeta [Source:MGI Symbol;Acc:MGI:97602] MVGESIYRRGARRWRKLYRANGHLFQAKRFNRGAYCGQCSERIWGLSRQGYRCINCKLLV HKRCHVLVPLTCRRHMDSVMPSQEPPVDDKNDGVDLPSEETDGIAYISSSRKHDNIKDDS E >ENSMUSP00000121675.1 pep:known chromosome:GRCm38:4:155290502:155345382:-1 gene:ENSMUSG00000029053.16 transcript:ENSMUST00000123652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcz description:protein kinase C, zeta [Source:MGI Symbol;Acc:MGI:97602] MDSVMPSQEPPVDDKNDGVDLPSEETDGIAYISSSRKHDNIKDDSE >ENSMUSP00000101249.1 pep:known chromosome:GRCm38:4:155356134:155361340:-1 gene:ENSMUSG00000029053.16 transcript:ENSMUST00000105624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcz description:protein kinase C, zeta [Source:MGI Symbol;Acc:MGI:97602] MPSRTDPKMDRSGGRVRLKAHYGGDILITSVDAMTTFKDLCEEVRDMCGLHQQHPLTLKW VDSEGDPCTVSSQMELEEAFRLVCQGRDEVLIIHGE >ENSMUSP00000028386.5 pep:known chromosome:GRCm38:2:80500512:80581264:-1 gene:ENSMUSG00000027002.13 transcript:ENSMUST00000028386.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap1 description:NCK-associated protein 1 [Source:MGI Symbol;Acc:MGI:1355333] MSRSVLQPSQQKLAEKLTILNDRGVGMLTRLYNIKKACGDPKAKPSYLIDKNLESAVKFI VRKFPAVETRNNNQQLAQLQKEKSEILKNLALYYFTFVDVMEFKDHVCDLLNTIDVCQVF FDITVNFDLTKNYLDLTVTYTTLMILLSRIEERKAIIGLYNYAHEMTHGASDREYPRLGQ MIVDYENPLKKMMEEFVPHSKSLSDALISLQMVYPRRNLSADQWRNAQLLSLISAPSTML NPAQSDTMPCEYLSLDAMEKWIIFGFILCHGMLNTEATALNLWKLALQSSSCLSLFRDEV FHIHKAAEDLFVNIRGYNKRINDIRECKEAAVSHAGSMHRERRKFLRSALKELATVLSDQ PGLLGPKALFVFMALSFARDEIIWLLRHADNMPKKSADDFIDKHIAELIFYMEELRAHVR KYGPVMQRYYVQYLSGFDAVVLNELVQNLSVCPEDESIIMSSFVNTMTSLSVKQVEDGEV FDFRGMRLDWFRLQAYTSVSKASLSLADHRELGKMMNTIIFHTKMVDSLVEMLVETSDLS IFCFYSRAFEKMFQQCLELPSQSRYSIAFPLLCTHFMSCTHELCPEERHHIGDRSLSLCN MFLDEMAKQARNLITDICTEQCTLSDQLLPKHCAKTISQAVNKKSKKQTGKKGEPEREKP GVESMRKNRLVVTNLDKLHTALSELCFSINYVPNMAVWEHTFTPREYLTSHLEIRFTKSI VGMTMYNQATQEIAKPSELLTSVRAYMTVLQSIENYVQIDITRVFNNVLLQQTQHLDSHG EPTITSLYTNWYLETLLRQVSNGHIAYFPAMKAFVNLPTENELTFNAEEYSDISEMRSLS ELLGPYGMKFLSESLMWHISSQVAELKKLVVENVDVLTQMRTSFDKPDQMAALFKRLSSV DSVLKRMTIIGVILSFRSLAQEALRDVLSYHIPFLVSSIEDFKDHIPRETDMKVAMNVYE LSSAAGLPCEIDPALVVALSSQKSENISPEEEYKIACLLMVFVAVSLPTLASNVMSQYSP AIEGHCNNIHCLAKAINQIAAALFTIHKGSIEDRLKEFLALASSSLLKIGQETDKTTTRN RESVYLLLDMIVQESPFLTMDLLESCFPYVLLRNAYHAVYKQSVTSSA >ENSMUSP00000107390.2 pep:known chromosome:GRCm38:2:80500518:80581380:-1 gene:ENSMUSG00000027002.13 transcript:ENSMUST00000111760.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap1 description:NCK-associated protein 1 [Source:MGI Symbol;Acc:MGI:1355333] MSRSVLQPSQQKLAEKLTILNDRGVGMLTRLYNIKKQGQVWKACGDPKAKPSYLIDKNLE SAVKFIVRKFPAVETRNNNQQLAQLQKEKSEILKNLALYYFTFVDVMEFKDHVCDLLNTI DVCQVFFDITVNFDLTKNYLDLTVTYTTLMILLSRIEERKAIIGLYNYAHEMTHGASDRE YPRLGQMIVDYENPLKKMMEEFVPHSKSLSDALISLQMVYPRRNLSADQWRNAQLLSLIS APSTMLNPAQSDTMPCEYLSLDAMEKWIIFGFILCHGMLNTEATALNLWKLALQSSSCLS LFRDEVFHIHKAAEDLFVNIRGYNKRINDIRECKEAAVSHAGSMHRERRKFLRSALKELA TVLSDQPGLLGPKALFVFMALSFARDEIIWLLRHADNMPKKSADDFIDKHIAELIFYMEE LRAHVRKYGPVMQRYYVQYLSGFDAVVLNELVQNLSVCPEDESIIMSSFVNTMTSLSVKQ VEDGEVFDFRGMRLDWFRLQAYTSVSKASLSLADHRELGKMMNTIIFHTKMVDSLVEMLV ETSDLSIFCFYSRAFEKMFQQCLELPSQSRYSIAFPLLCTHFMSCTHELCPEERHHIGDR SLSLCNMFLDEMAKQARNLITDICTEQCTLSDQLLPKHCAKTISQAVNKKSKKQTGKKGE PEREKPGVESMRKNRLVVTNLDKLHTALSELCFSINYVPNMAVWEHTFTPREYLTSHLEI RFTKSIVGMTMYNQATQEIAKPSELLTSVRAYMTVLQSIENYVQIDITRVFNNVLLQQTQ HLDSHGEPTITSLYTNWYLETLLRQVSNGHIAYFPAMKAFVNLPTENELTFNAEEYSDIS EMRSLSELLGPYGMKFLSESLMWHISSQVAELKKLVVENVDVLTQMRTSFDKPDQMAALF KRLSSVDSVLKRMTIIGVILSFRSLAQEALRDVLSYHIPFLVSSIEDFKDHIPRETDMKV AMNVYELSSAAGLPCEIDPALVVALSSQKSENISPEEEYKIACLLMVFVAVSLPTLASNV MSQYSPAIEGHCNNIHCLAKAINQIAAALFTIHKGSIEDRLKEFLALASSSLLKIGQETD KTTTRNRESVYLLLDMIVQESPFLTMDLLESCFPYVLLRNAYHAVYKQSVTSSA >ENSMUSP00000075488.5 pep:known chromosome:GRCm38:5:116439276:116591817:-1 gene:ENSMUSG00000063919.10 transcript:ENSMUST00000076124.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm4 description:serine/arginine repetitive matrix 4 [Source:MGI Symbol;Acc:MGI:1916205] MASLQQGEKQLFEKFWKGTFKAVATPRPESIIVASITARKPMPRTEPQSSLLLPDQDGPS EKLGQHLAPEALGTNSWGREKACRELDPARAHSASQDRDPTPPPSSRGKKKKKKSTRKKR RRSPSYSPSPVKKKKKKSSKKHKRHRSFSKKRRHSSCSPKSKRREEKRHKKQSRSRKSHR HRHHRCPSRSQSSELRSPSCESRHRGRSPEEGRKSRRTHSRRCSKNHCKVSPDARSSHLP SQPLPRLGFLSARGVITGSGSAADLFSKSASPLAATRGRSQEYDSGNDTSSPPSTQTSSA RSRGQEKGSPGGDLSKSRDLNCGNTSDSGNSFTTSSPQNKGAVLETVSPACRSRESRGFQ SPCLQCAEVKKSSLVPSTARSSPIKECSRSSSYTSTRSSSPSSRSPNPRASPRYTRSRST SSEKRSYSRSPSYSSKSGKRSPPSRSSRSRRSPSYSRYSPSRERDLKYGEKEPQPRERAR RRRRSYSPMRKRRRDSPSHLEARRITSARKRPIPYYRPSPSSSSSLSSASSWYSSSSSSS SSSSRSPSRSYSRSRSPSRSHSSRSQTRSRTRTSRSSSSRSLSLGSRSRSRNRSLSYSSA ESYASTRR >ENSMUSP00000144367.1 pep:known chromosome:GRCm38:5:116442432:116446844:-1 gene:ENSMUSG00000063919.10 transcript:ENSMUST00000139425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm4 description:serine/arginine repetitive matrix 4 [Source:MGI Symbol;Acc:MGI:1916205] MRKRRRDSPSHLEARRITSARKRPIPYYRPSPSSSSSLSSASSWYSSSSSSSSSSSRSPS RSYSRSRSPSRSHSSRSQTRSRTRTSRSSSSRSLSLGSRSRSRNRSLSYSSAESYASTRR >ENSMUSP00000029909.2 pep:known chromosome:GRCm38:4:21879673:21912162:1 gene:ENSMUSG00000028247.2 transcript:ENSMUST00000029909.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq3 description:coenzyme Q3 methyltransferase [Source:MGI Symbol;Acc:MGI:101813] MWRGGRLGSRGVRLLETLGFGCPSAVAQPPRLTSRSAYSGTQLTRNLQIKPWELGEHGTM CFRSYRMALSCLSRVKTYRTPWKRLYSTSQTTVDSREVKNFQALAHTWWDEYGKFAPLHS MNDLRVPFIRDNLLKTSASHHPGKPLSGMKILDVGCGGGLLTEPLGRLGASVVGIDPVAE NIKIAQHHKSFDPVLDKRIQYKVCSLEEAVDESAECFDAVVASEVVEHVSHLEMFIQCCY QVLKPGGSLFITTVNKTQLSYALGIVFAEQIAGIVPKGTHTWEKFVSPEKLESILEPNGL SVETVAGLVYNPFSGYWHWSENTSLNYAAHAVRSRAQEHQEPAESALKGETGALHANTSG SPSVREEQRT >ENSMUSP00000099824.4 pep:known chromosome:GRCm38:11:51967661:51977334:1 gene:ENSMUSG00000020392.8 transcript:ENSMUST00000102763.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn2aipnl description:CDKN2A interacting protein N-terminal like [Source:MGI Symbol;Acc:MGI:1261797] MVGGEASAAVEKLVSGVRQAADFAEQFRSYSESEKQWKARMEFILRHLPDYRDPPDGGGR LDQLLSLSMVWANHLFLGCSYNKDLLDKVMEMADGIEVEDLPQFTTRSELMRKHQS >ENSMUSP00000120062.1 pep:known chromosome:GRCm38:11:51967892:51976244:1 gene:ENSMUSG00000020392.8 transcript:ENSMUST00000127136.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkn2aipnl description:CDKN2A interacting protein N-terminal like [Source:MGI Symbol;Acc:MGI:1261797] XGRLDQLLSLSMVWANHLFLGCSPEDFLSRVCLKSISSCRPRDKSADSSSR >ENSMUSP00000102236.3 pep:known chromosome:GRCm38:3:108455694:108536536:-1 gene:ENSMUSG00000040412.16 transcript:ENSMUST00000106625.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5330417C22Rik description:RIKEN cDNA 5330417C22 gene [Source:MGI Symbol;Acc:MGI:1923930] MAEPGHNPHPSARDGGKTERRTPRLLWLLLWAGTTFQVTLGTGPELHACKESEYHFEYTA CDSTGSRWRVAVPHSPGLCTSLPDPVKGTECSFSCNAGEFLDMKDQSCKPCAEGRYSLGT GIRFDEWDELPHGFASLSANLEVDDSISESTENCTSSKWVPRGDYIASNTDECTATLMYA VNLKQSGTVNFEYYYPDSSIIFEFFVQNDQCQPSADDSRWMKTTEKGWEFHSVELNRGNN VLYWRTTAFSVWSKVSKPVLVRNIAITGVAYTSECFPCKPGTYAAKQGSPFCKLCPANYY SNKGETSCHPCDADKYSEKGSSTCKVRPACTDKDYFYTHTACDAQGETQLMYKWAIPKIC GEDLEGAVKLPASGVKTRCPPCNPGFFKTDNSTCEPCPYGSYSNGSDCTHCPAGTEPAVG FEYKWWNTLPSNMETTVLSGINFEYKGLTGWEVAGDHIYTAVGASDNDFMILTLVVPGFR PPQSVVADTENKEVARITFVFETICSVNCELYFMVGMNSRTNTPVETWKGTKGKQSYTYT IEENATVSFTWAFQRTTLHETGRKYTNDVAKIYSINVTNVMGGVASYCRPCALEASDLGS SCTSCPAGHYINRDSGTCHLCPSNTILKAHQPYGAQACVPCGPGTKNNKIHSLCYNDCTF SRNTPSRIFNYNFSALAGTVSLAGVPSFTSKGLKYFHHFTLSLCGNQGKKMAVCTDNVTD LRIPDGEAGFSKSVTAYVCQVVIIPSEVMGYKAGVSSQPVSLADRLVGVSTDMTLEGIVS PVELFHPETSGIPDIVFFFRSNDVTQSCSSGRSTTIRLRCNPMKAAPGTLRLPSMCSDGT CDGCNFHFLWESVAACPLCSASDYHTFVSSCVAGIQKTTYMWREPKLCSGGISLPEQRVT ICKTIDFWLKVGISAGTCTAILLTVLTCYFWKKNQKLEYKYSKLVMNATLKDCDLPAADS CAIMEGEDVEDDFIFTSKKSLFGKIKSFTSKRTPDGFDSVPLKTSSGGPDMDM >ENSMUSP00000138870.1 pep:known chromosome:GRCm38:3:108458069:108536522:-1 gene:ENSMUSG00000040412.16 transcript:ENSMUST00000185128.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:5330417C22Rik description:RIKEN cDNA 5330417C22 gene [Source:MGI Symbol;Acc:MGI:1923930] MAEPGHNPHPSARDGGKTERRTPRLLWLLLWAGTTFQVTLGTGPELHACKEPSLAMPGNF WI >ENSMUSP00000102237.2 pep:known chromosome:GRCm38:3:108458175:108536527:-1 gene:ENSMUSG00000040412.16 transcript:ENSMUST00000106626.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5330417C22Rik description:RIKEN cDNA 5330417C22 gene [Source:MGI Symbol;Acc:MGI:1923930] MAEPGHNPHPSARDGGKTERRTPRLLWLLLWAGTTFQVTLGTGPELHACKESEYHFEYTA CDSTGSRWRVAVPHSPGLCTSLPDPVKGTECSFSCNAGEFLDMKDQSCKPCAEGRYSLGT GIRFDEWDELPHGFASLSANLEVDDSISESTENCTSSKWVPRGDYIASNTDECTATLMYA VNLKQSGTVNFEYYYPDSSIIFEFFVQNDQCQPSADDSRWMKTTEKGWEFHSVELNRGNN VLYWRTTAFSVWSKVSKPVLVRNIAITEKGSSTCKVRPACTDKDYFYTHTACDAQGETQL MYKWAIPKICGEDLEGAVKLPASGVKTRCPPCNPGFFKTDNSTCEPCPYGSYSNGSDCTH CPAGTEPAVGFEYKWWNTLPSNMETTVLSGINFEYKGLTGWEVAGDHIYTAVGASDNDFM ILTLVVPGFRPPQSVVADTENKEVARITFVFETICSVNCELYFMVGMNSRTNTPVETWKG TKGKQSYTYTIEENATVSFTWAFQRTTLHETGRKYTNDVAKIYSINVTNVMGGVASYCRP CALEASDLGSSCTSCPAGHYINRDSGTCHLCPSNTILKAHQPYGAQACVPCGPGTKNNKI HSLCYNDCTFSRNTPSRIFNYNFSALAGTVSLAGVPSFTSKGLKYFHHFTLSLCGNQGKK MAVCTDNVTDLRIPDGEAGFSKSVTAYVCQVVIIPSEVMGYKAGVSSQPVSLADRLVGVS TDMTLEGIVSPVELFHPETSGIPDIVFFFRSNDVTQSCSSGRSTTIRLRCNPMKAAPGTL RLPSMCSDGTCDGCNFHFLWESVAACPLCSASDYHTFVSSCVAGIQKTTYMWREPKLCSG GISLPEQRVTICKTIDFWLKVGISAGTCTAILLTVLTCYFWKKNQKLEYKYSKLVMNATL KDCDLPKFGFQTLVFYRVPKPVSTLASSLPNIPTLRVLF >ENSMUSP00000040128.6 pep:known chromosome:GRCm38:3:108458069:108536517:-1 gene:ENSMUSG00000040412.16 transcript:ENSMUST00000048012.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5330417C22Rik description:RIKEN cDNA 5330417C22 gene [Source:MGI Symbol;Acc:MGI:1923930] MKDQSCKPCAEGRYSLGTGIRFDEWDELPHGFASLSANLEVDDSISESTENCTSSKWVPR GDYIASNTDECTATLMYAVNLKQSGTVNFEYYYPDSSIIFEFFVQNDQCQPSADDSRWMK TTEKGWEFHSVELNRGNNVLYWRTTAFSVWSKVSKPVLVRNIAITGVAYTSECFPCKPGT YAAKQGSPFCKLCPANYYSNKGETSCHPCDADKYSEKGSSTCKVRPACTDKDYFYTHTAC DAQGETQLMYKWAIPKICGEDLEGAVKLPASGVKTRCPPCNPGFFKTDNSTCEPCPYGSY SNGSDCTHCPAGTEPAVGFEYKWWNTLPSNMETTVLSGINFEYKGLTGWEVAGDHIYTAV GASDNDFMILTLVVPGFRPPQSVVADTENKEVARITFVFETICSVNCELYFMVGMNSRTN TPVETWKGTKGKQSYTYTIEENATVSFTWAFQRTTLHETGRKYTNDVAKIYSINVTNVMG GVASYCRPCALEASDLGSSCTSCPAGHYINRDSGTCHLCPSNTILKAHQPYGAQACVPCG PGTKNNKIHSLCYNDCTFSRNTPSRIFNYNFSALAGTVSLAGVPSFTSKGLKYFHHFTLS LCGNQGKKMAVCTDNVTDLRIPDGEAGFSKSVTAYVCQVVIIPSEVMGYKAGVSSQPVSL ADRLVGVSTDMTLEGIVSPVELFHPETSGIPDIVFFFRSNDVTQSCSSGRSTTIRLRCNP MKAAPGTLRLPSMCSDGTCDGCNFHFLWESVAACPLCSASDYHTFVSSCVAGIQKTTYMW REPKLCSGGISLPEQRVTICKTIDFWLKVGISAGTCTAILLTVLTCYFWKKNQKLEYKYS KLVMNATLKDCDLPAADSCAIMEGEDVEDDFIFTSKKSLFGKIKSFTSKRTPDGFDSVPL KTSSGGPDMDM >ENSMUSP00000130487.1 pep:known chromosome:GRCm38:6:54923949:54971661:-1 gene:ENSMUSG00000038058.14 transcript:ENSMUST00000168172.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nod1 description:nucleotide-binding oligomerization domain containing 1 [Source:MGI Symbol;Acc:MGI:1341839] MEEHGHHEMEGTPLGCHSHIKLLKINREHLVTNIRNTQCLVDNLLENGYFSAEDAEIVCA CPTKPDKVRKILDLVQSKGEEVSEFFLYVLQQLEDAYVDLRLWLSEIGFSPSQLIRTKTI VNTDPVSRYTQQLRHQLGRDSKFMLCYAQKEDLLLEETYMDTLMELVGFNNENLGSLGGL DCLLDHSTGVLNEHGETVFVFGDAGVGKSMLLQRLQSLWASGRLTSTAKFFFHFRCRMFS CFKESDMLSLQDLLFKHFCYPEQDPEEVFSFLLRFPHTALFTFDGLDELHSDFDLSRVPD SCCPWEPAHPLVLLANLLSGRLLKGAGKLLTARTGVEVPRQLLRKKVLLRGFSPSHLRAY ARRMFPERTAQEHLLQQLDANPNLCSLCGVPLFCWIIFRCFQHFQTVFEGSSSQLPDCAV TLTDVFLLVTEVHLNRPQPSSLVQRNTRSPAETLRAGWRTLHALGEVAHRGTDKSLFVFG QEEVQASKLQEGDLQLGFLRALPDVGPEQGQSYEFFHLTLQAFFTAFFLVADDKVSTREL LRFFREWTSPGEATSSSCHSSFFSFQCLGGRSRLGPDPFRNKDHFQFTNLFLCGLLAKAR QKLLRQLVPKAILRRKRKALWAHLFASLRSYLKSLPRVQSGGFNQVHAMPTFLWMLRCIY ETQSQKVGRLAARGISADYLKLAFCNACSADCSALSFVLHHFHRQLALDLDNNNLNDYGV QELQPCFSRLTVIRLSVNQITDTGVKVLCEELTKYKIVTFLGLYNNQITDIGARYVAQIL DECRGLKHLKLGKNRITSEGGKCVALAVKNSTSIVDVGMWGNQIGDEGAKAFAEALKDHP SLTTLSLAFNGISPEGGKSLAQALKQNTTLTVIWLTKNELNDESAECFAEMLRVNQTLRH LWLIQNRITAKGTAQLARALQKNTAITEICLNGNLIKPEEAKVFENEKRIICF >ENSMUSP00000055747.8 pep:known chromosome:GRCm38:6:54923949:54972612:-1 gene:ENSMUSG00000038058.14 transcript:ENSMUST00000060655.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nod1 description:nucleotide-binding oligomerization domain containing 1 [Source:MGI Symbol;Acc:MGI:1341839] MEEHGHHEMEGTPLGCHSHIKLLKINREHLVTNIRNTQCLVDNLLENGYFSAEDAEIVCA CPTKPDKVRKILDLVQSKGEEVSEFFLYVLQQLEDAYVDLRLWLSEIGFSPSQLIRTKTI VNTDPVSRYTQQLRHQLGRDSKFMLCYAQKEDLLLEETYMDTLMELVGFNNENLGSLGGL DCLLDHSTGVLNEHGETVFVFGDAGVGKSMLLQRLQSLWASGRLTSTAKFFFHFRCRMFS CFKESDMLSLQDLLFKHFCYPEQDPEEVFSFLLRFPHTALFTFDGLDELHSDFDLSRVPD SCCPWEPAHPLVLLANLLSGRLLKGAGKLLTARTGVEVPRQLLRKKVLLRGFSPSHLRAY ARRMFPERTAQEHLLQQLDANPNLCSLCGVPLFCWIIFRCFQHFQTVFEGSSSQLPDCAV TLTDVFLLVTEVHLNRPQPSSLVQRNTRSPAETLRAGWRTLHALGEVAHRGTDKSLFVFG QEEVQASKLQEGDLQLGFLRALPDVGPEQGQSYEFFHLTLQAFFTAFFLVADDKVSTREL LRFFREWTSPGEATSSSCHSSFFSFQCLGGRSRLGPDPFRNKDHFQFTNLFLCGLLAKAR QKLLRQLVPKAILRRKRKALWAHLFASLRSYLKSLPRVQSGGFNQVHAMPTFLWMLRCIY ETQSQKVGRLAARGISADYLKLAFCNACSADCSALSFVLHHFHRQLALDLDNNNLNDYGV QELQPCFSRLTVIRLSVNQITDTGVKVLCEELTKYKIVTFLGLYNNQITDIGARYVAQIL DECRGLKHLKLGKNRITSEGGKCVALAVKNSTSIVDVGMWGNQIGDEGAKAFAEALKDHP SLTTLSLAFNGISPEGGKSLAQALKQNTTLTVIWLTKNELNDESAECFAEMLRVNQTLRH LWLIQNRITAKGTAQLARALQKNTAITEICLNGNLIKPEEAKVFENEKRIICF >ENSMUSP00000145123.1 pep:known chromosome:GRCm38:6:54928089:54943453:-1 gene:ENSMUSG00000038058.14 transcript:ENSMUST00000203076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nod1 description:nucleotide-binding oligomerization domain containing 1 [Source:MGI Symbol;Acc:MGI:1341839] SLRSYLKSLPRVQSGGFNQVHAMPTFLWMLRCIYETQSQKVGRLAARGISADYLKLAFCN ACSADCSALSFVLHHFHRQLALDLDNNNLNDYGVQELQPCFSRLTVIRLSVNQITDTGVK VLCEELTKYKIVTFLGLGKNRITSEGGKCVALAVKNSTSIVDVGMWGNQIGDEGAKAFAE ALKDHPSLTTLSLAFNGISPEGGKSLAQALKQNTTLTVIWLTKNELNDESAECFAEMLRV NQTLRHLWLIQNRITAKG >ENSMUSP00000145005.1 pep:known chromosome:GRCm38:6:54949476:54964688:-1 gene:ENSMUSG00000038058.14 transcript:ENSMUST00000203837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nod1 description:nucleotide-binding oligomerization domain containing 1 [Source:MGI Symbol;Acc:MGI:1341839] MEEHGHHEMEGTPLGCHSHIKLLKINREHLVTNIRNTQCLVDNLLENGYFSA >ENSMUSP00000099641.4 pep:known chromosome:GRCm38:5:114363567:114380508:-1 gene:ENSMUSG00000001098.15 transcript:ENSMUST00000102581.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd10 description:potassium channel tetramerisation domain containing 10 [Source:MGI Symbol;Acc:MGI:2141207] MEEMSGDSVVSSAVPAAATRTTSFKGASPSSKYVKLNVGGALYYTTMQTLTKQDTMLKAM FSGRMEVLTDSEGWILIDRCGKHFGTILNYLRDGGVPLPESRREIEELLAEAKYYLVQGL LEECQAALQNKDTYEPFCKVPVITSSKEEQRLIATSNKPAVKLLYNRSNNKYSYTSNSDD NMLKNIELFDKLSLRFNGRVLFIKDVIGDEICCWSFYGQGRKIAEVCCTSIVYATEKKQT KVEFPEARIYEETLNILLYEAQDGRGPDNALLEATGGAAGRSHHLDEDEERERERIERVR RIHIKRPDDRAHLHQ >ENSMUSP00000138564.1 pep:known chromosome:GRCm38:5:114364462:114380489:-1 gene:ENSMUSG00000001098.15 transcript:ENSMUST00000134532.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kctd10 description:potassium channel tetramerisation domain containing 10 [Source:MGI Symbol;Acc:MGI:2141207] MEEMSGDSVVSSAVPAAATRTTSFKGASPSSKYVKLNVGGALYYTTMQTLTKQDTMLKAM FSGRMEVLTDSEEQRYLRALLQGTRHHILQGRTEAYSDVK >ENSMUSP00000001125.5 pep:known chromosome:GRCm38:5:114365622:114380483:-1 gene:ENSMUSG00000001098.15 transcript:ENSMUST00000001125.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd10 description:potassium channel tetramerisation domain containing 10 [Source:MGI Symbol;Acc:MGI:2141207] MEEMSGDSVVSSAVPAAATRTTSFKGASPSSKYVKLNVGGALYYTTMQTLTKQDTMLKAM FSGRMEVLTDSEGWILIDRCGKHFGTILNYLRDGGVPLPESRREIEELLAEAKYYLVQGL LEECQAALQQNKDTYEPFCKVPVITSSKEEQRLIATSNKPAVKLLYNRSNNKYSYTSNSD DNMLKNIELFDKLSLRFNGRVLFIKDVIGDEICCWSFYGQGRKIAEVCCTSIVYATEKKQ TKVEFPEARIYEETLNILLYEAQDGRGPDNALLEATGGAAGRSHHLDEDEERERERIERV RRIHIKRPDDRAHLHQ >ENSMUSP00000146040.1 pep:known chromosome:GRCm38:16:37850913:37861146:-1 gene:ENSMUSG00000108763.1 transcript:ENSMUST00000205931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-198F11.3 MLVIAVSPSPLPEDCHTANPSKACCSASLASYLADQRNQLPYRMAFFLVWVSLLLLLHGA AAAEEVRAHPFTDVSLDCEFSFIDSTENLEFYWEREDIIEEYDAEDREFYRFFRYYDFFQ VFTKVVYQFYDNEEQLEDQNALYEGRVSVDQSEIAEGILTLQLQNVDFSDEALYKCKAVS PNGRGESTVKLIVEDSETPQVKFDKIDDEDVVTCTSKGWYLTPNVTWLDRGERDLSNHST VEILEEQMNGLYRVYSVLKYPVKLNEKYVCHITEMNENNRPIRSIRRYPKKRFNENNYY >ENSMUSP00000047157.6 pep:known chromosome:GRCm38:2:114101170:114175339:-1 gene:ENSMUSG00000040383.16 transcript:ENSMUST00000043160.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqr description:aquarius [Source:MGI Symbol;Acc:MGI:1276102] MAAPAQPKKIVAPTVSQINAEFVTQLACKYWAPHIKKKSPFDIKVIEEIYEKEIVKSRFA IRKIMLLEFSQYLENYLWMNYSPEVSSKAYLMSICCMVNEKFRENVPAWETFKKKPDHFP FFFKCILKAALAETDGEFSLHEQTLLLLFLDHCFNSLEVDLIRSQVQQLISLPMWMGLQP ARLELELKKTPKLRKFWNLIKKNDEKMDPEAREQAYQERRFLSRLIQKFISVLKSIPLSE PVTMDKVHYCERFIELMIDLEALLPTRRWFNTILDDSHLLVHCYLSSLVHREEDGHLFSQ LLDMLKFYTGFEINDQTGNALTENEMTTIHYDRITSLQRAAFAHFPELYDFALSNVAEVD ARDSLVKFFGPLSSNTLHQVASYLCLLPTLPKNEDTTFDKEFLLELLVSRHERRISQIQQ LNQMPLYPTEKIIWDENIVPTEYYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYE IRQDIEDSVSRMKPWQSEYGGVVFGGWARMAQPIVAFTVVEVAKPNIGENWPTRVRADVT INLNVRDHIKDEWEGLRKHDVCFLITVRPTKPYGTKFDRRRPFIEQVGLVYVRGCEIQGM LDDKGRVIEDGPEPRPNLRGESRTFRVFLDPNQYQQDMTNTIQNGAEDVYDTFNVIMRRK PKENNFKAVLETIRNLMNTDCVVPDWLHDIILGYGDPSSAHYSKMPNQIATLDFNDTFLS IEHLKASFPGHNVKVTVSDPALQIPPFRITFPVRSGKGKKRKDADGEEDDTEEAKTLIVE PHVIPNRGPYPYNQPKRNTIQFTHTQIEAIRAGMQPGLTMVVGPPGTGKTDVAVQIISNI YHNFPEQRTLIVTHSNQALNQLFEKIMALDIDERHLLRLGHGEEELETEKDFSRYGRVNY VLARRIELLEEVKRLQKSLGVPGDASYTCETAGYFFLYQVMSRWEEYMSRVKNSGTACPD AAPDAAQVATFFPFHEYFANAPQPIFKGRSYEEDMEIAEGCFRHIKKIFTQLEEFRASEL LRSGLDRSKYLLVKEAKIIAMTCTHAALKRHDLVKLGFKYDNILMEEAAQILEIETFIPL LLQNPQDGFSRLKRWIMIGDHHQLPPVIKNMAFQKYSNMEQSLFTRFVRVGVPTVDLDAQ GRARASLCNLYNWRYKNLGNLPHVQLLPEFSTANAGLLYDFQLINVEDFQGVGESEPNPY FYQNLGEAEYVVALFMYMCLLGYPADKISILTTYNGQKHLIRDIINRRCGNNPLIGRPNK VTTVDRFQGQQNDYILLSLVRTRAVGHLRDVRRLVVAMSRARLGLYIFARVSLFQNCFEL TPAFSQLTARPLHLHIIPTEPFPTSRKNGERPPHEVQVIKNMPQMANFVYNMYMHLIQTT HHYHQTFLQLPPAMVEEGEEGQSQETEMEAEEETVSAQGNLTPSPADASLSQETPAAQPD CSSQTEDTSAPCDIATAAEPVSAAAEAATPQDAESVPTETE >ENSMUSP00000099602.4 pep:known chromosome:GRCm38:2:114105162:114175277:-1 gene:ENSMUSG00000040383.16 transcript:ENSMUST00000102543.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqr description:aquarius [Source:MGI Symbol;Acc:MGI:1276102] MAAPAQPKKIVAPTVSQINAEFVTQLACKYWAPHIKKKSPFDIKVIEEIYEKEIVKSRFA IRKIMLLEFSQYLENYLWMNYSPEVSSKAYLMSICCMVNEKFRENVPAWETFKKKPDHFP FFFKCILKAALAETDGEFSLHEQTLLLLFLDHCFNSLEVDLIRSQVQQLISLPMWMGLQP ARLELELKKTPKLRKFWNLIKKNDEKMDPEAREQAYQERRFLSRLIQKFISVLKSIPLSE PVTMDKVHYCERFIELMIDLEALLPTRRWFNTILDDSHLLVHCYLSSLVHREEDGHLFSQ LLDMLKFYTGFEINDQTGNALTENEMTTIHYDRITSLQRAAFAHFPELYDFALSNVAEVD ARDSLVKFFGPLSSNTLHQVASYLCLLPTLPKNEDTTFDKEFLLELLVSRHERRISQIQQ LNQMPLYPTEKIIWDENIVPTEYYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYE IRQDIEDSVSRMKPWQSEYGGVVFGGWARMAQPIVAFTVVEVAKPNIGENWPTRVRADVT INLNVRDHIKDEWEGLRKHDVCFLITVRPTKPYGTKFDRRRPFIEQVGLVYVRGCEIQGM LDDKGRVIEDGPEPRPNLRGESRTFRVFLDPNQYQQDMTNTIQNGAEDVYDTFNVIMRRK PKENNFKAVLETIRNLMNTDCVVPDWLHDIILGYGDPSSAHYSKMPNQIATLDFNDTFLS IEHLKASFPGHNVKVTVSDPALQIPPFRITFPVRSGKGKKRKDADGEEDDTEEAKTLIVE PHVIPNRGPYPYNQPKRNTIQFTHTQIEAIRAGMQPGLTMVVGPPGTGKTDVAVQIISNI YHNFPEQRTLIVTHSNQALNQLFEKIMALDIDERHLLRLGHGEEELETEKDFSRYGRVNY VLARRIELLEEVKRLQKSLGVPGDASYTCETAGYFFLYQVMSRWEEYMSRVKNSGTACPD AAPDAAQVATFFPFHEYFANAPQPIFKGRSYEEDMEIAEGCFRHIKKIFTQLEEFRASEL LRSGLDRSKYLLVKEAKIIAMTCTHAALKRHDLVKLGFKYDNILMEEAAQILEIETFIPL LLQNPQDGFSRLKRWIMIGDHHQLPPVIKNMAFQKYSNMEQSLFTRFVRVGVPTVDLDAQ GRARASLCNLYNWRYKNLGNLPHVQLLPEFSTANAGLLYDFQLINVEDFQGVGESEPNPY FYQNLGEAEYVVALFMYMCLLGYPADKISILTTYNGQKHLIRDIINRRCGNNPLIGRPNK VTTVDRFQGQQNDYILLSLVRTRAVGHLRDVRRLVVAMSRARLGLYIFARVSLFQNCFEL TPAFSQLTARPLHLHIIPTEPFPTSRKALPSPALLLPELQTLDHARSVAVFFQLQSSLFC SFHWMKCPRDLGNFNKQTVL >ENSMUSP00000041855.4 pep:known chromosome:GRCm38:2:25498651:25500613:-1 gene:ENSMUSG00000015083.11 transcript:ENSMUST00000040042.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C8g description:complement component 8, gamma polypeptide [Source:MGI Symbol;Acc:MGI:88237] MLSPGAVLFFTLLLTASSLGQRTRKPIGSTSPISTIQAQVNFSAQKFAGTWLLVAVGSSC RFLQEQGHRAEATTLHAAPQGAAMAVSTFRKLDGICWQVRQLFENTGVPGRFLFQVSRAR GPVHMVVAETDYQSFAILYLEQGRKLSVKLYVRSLPVNDSVLDVFERRVREANLTEDQIL FFPKYGFCETADQLHILNEVPR >ENSMUSP00000015227.3 pep:known chromosome:GRCm38:2:25498651:25501719:-1 gene:ENSMUSG00000015083.11 transcript:ENSMUST00000015227.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C8g description:complement component 8, gamma polypeptide [Source:MGI Symbol;Acc:MGI:88237] MPSPMLQGTGRQFAGTWLLVAVGSSCRFLQEQGHRAEATTLHAAPQGAAMAVSTFRKLDG ICWQVRQLFENTGVPGRFLFQVSRARGPVHMVVAETDYQSFAILYLEQGRKLSVKLYVRS LPVNDSVLDVFERRVREANLTEDQILFFPKYGFCETADQLHILNEVPR >ENSMUSP00000121079.1 pep:known chromosome:GRCm38:8:3623371:3625545:-1 gene:ENSMUSG00000004630.18 transcript:ENSMUST00000136105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcp2 description:Purkinje cell protein 2 (L7) [Source:MGI Symbol;Acc:MGI:97508] MDQEEEKGEGGSDLLDKAGSPDQEGFFNLLTHVQGDRMEEQRCSLQAGPGQNPESQGGPA PEMDNLMDMLVNTQGRRMDDQRVTVNSLPGFQPIGPKDGMQKRPGTLSPQPLLTPQDPAA LSFRRNSSPQPQTQAP >ENSMUSP00000121403.1 pep:known chromosome:GRCm38:8:3623377:3625002:-1 gene:ENSMUSG00000004630.18 transcript:ENSMUST00000142431.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcp2 description:Purkinje cell protein 2 (L7) [Source:MGI Symbol;Acc:MGI:97508] MEEQRCSLQAGPGQNPESQGGPAPEMDNLMDMLVNTQGRRMDDQRVTVNSLPGFQPIGPK DGMQKRPGTLSPQPLLTPQDPAALSFRRNSSPQPQTQAP >ENSMUSP00000122902.1 pep:known chromosome:GRCm38:8:3623377:3625049:-1 gene:ENSMUSG00000004630.18 transcript:ENSMUST00000133459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcp2 description:Purkinje cell protein 2 (L7) [Source:MGI Symbol;Acc:MGI:97508] MAGSPDQEGFFNLLTHVQGDRMEEQRCSLQAGPGQNPESQGGPAPEMDNLMDMLVNTQGR RMDDQRVTVNSLPGFQPIGPKDGMQKRPGTLSPQPLLTPQDPAALSFRRNSSPQPQTQAP >ENSMUSP00000004749.6 pep:known chromosome:GRCm38:8:3623377:3625282:-1 gene:ENSMUSG00000004630.18 transcript:ENSMUST00000004749.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcp2 description:Purkinje cell protein 2 (L7) [Source:MGI Symbol;Acc:MGI:97508] MEEQRCSLQAGPGQNPESQGGPAPEMDNLMDMLVNTQGRRMDDQRVTVNSLPGFQPIGPK DGMQKRPGTLSPQPLLTPQDPAALSFRRNSSPQPQTQAP >ENSMUSP00000146683.1 pep:known chromosome:GRCm38:8:3623377:3625545:-1 gene:ENSMUSG00000004630.18 transcript:ENSMUST00000128566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcp2 description:Purkinje cell protein 2 (L7) [Source:MGI Symbol;Acc:MGI:97508] MDQEEEKGEGGSDLLDKAGSPDQEGFFNLLTHVQGDRMEEQRCSLQAGPGQNPESQGGPA PEMDNLMDMLVNTQGRRMDDQRVTVNSLPGFQPIGPKVGDVQITCETPHSSLNL >ENSMUSP00000146399.1 pep:known chromosome:GRCm38:8:3623380:3625525:-1 gene:ENSMUSG00000004630.18 transcript:ENSMUST00000144977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcp2 description:Purkinje cell protein 2 (L7) [Source:MGI Symbol;Acc:MGI:97508] MDQEEEKGEGGSDLLDKAGSPDQEGFFNLLTHVQGDRMEEQRCSLQAGPGQNPESQGGPA PEMDNLMDMLVNTQGRRMDDQRVTVNSLPGFQPIGPKYLALNSK >ENSMUSP00000138113.1 pep:known chromosome:GRCm38:X:152016428:152036212:1 gene:ENSMUSG00000041133.11 transcript:ENSMUST00000145518.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smc1a description:structural maintenance of chromosomes 1A [Source:MGI Symbol;Acc:MGI:1344345] MGFLKLIEIENFKSYKGRQIIGPFQRFTAIIGPNGSGCSGVYCHEEPQGEDSSV >ENSMUSP00000044645.5 pep:known chromosome:GRCm38:X:152016428:152062694:1 gene:ENSMUSG00000041133.11 transcript:ENSMUST00000045312.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc1a description:structural maintenance of chromosomes 1A [Source:MGI Symbol;Acc:MGI:1344345] MGFLKLIEIENFKSYKGRQIIGPFQRFTAIIGPNGSGKSNLMDAISFVLGEKTSNLRVKT LRDLIHGAPVGKPAANRAFVSMVYSEEGAEDRTFARVIVGGSSEYKINNKVVQLHEYSEE LEKLGILIKARNFLVFQGAVESIAMKNPKERTALFEEISRSGELAQEYDKRKKEMVKAEE DTQFNYHRKKNIAAERKEAKQEKEEADRYQRLKDEVVRAQVQLQLFKLYHNEVEIEKLNK ELASKNKEIEKDKKRMDKVEDELKEKKKELGKMMREQQQIEKEIKEKDSELNQKRPQYIK AKENTSHKIKKLEAAKKSLQNAQKHYKKRKGDMDELEKEMLSVEKARQEFEERMEEESQS QGRDLTLEENQVKKYHRLKEEASKRAATLAQELEKFNRDQKADQDRLDLEERKKVETEAK IKQKLREIEENQKRIEKLEEYITTSKQSLEEQKKLEGELTEEVEMAKRRIDEINKELNQV MEQLGDARIDRQESSRQQRKAEIMESIKRLYPGSVYGRLIDLCQPTQKKYQIAVTKVLGK NMDAIIVDSEKTGRDCIQYIKEQRGEPETFLPLDYLEVKPTDEKLRELKGAKLVIDVIRY EPPHIKKALQYACGNALVCDNVEDARRIAFGGHQRHKTVALDGTLFQKSGVISGGASDLK AKARRWDEKAVDKLKEKKERLTEELKEQMKAKRKEAELRQVQSQAHGLQMRLKYSQSDLE QTKTRHLALNLQEKSKLESELANFGPRINDIKRIIQSREREMKDLKEKMNQVEDEVFEEF CREIGVRNIREFEEEKVKRQNEIAKKRLEFENQKTRLGIQLDFEKNQLKEDQDKVHMWEQ TVKKDENEIEKLKKEEQRHMKIIDETMAQLQDLKNQHLAKKSEVNDKNHEMEEIRKKLGG ANKEMTHLQKEVTAIETKLEQKRSDRHNLLQACKMQDIKLPLSKGTMDDISQEEGSSQGE ESVSGSQRTSSIYAREALIEIDYGDLCEDLKDAQAEEEIKQEMNTLQQKLNEQQSVLQRI AAPNMKAMEKLESVRDKFQETSDEFEAARKRAKKAKQAFEQIKKERFDRFNACFESVATN IDEIYKALSRNSSAQAFLGPENPEEPYLDGINYNCVAPGKRFRPMDNLSGGEKTVAALAL LFAIHSYKPAPFFVLDEIDAALDNTNIGKVANYIKEQSTCNFQAIVISLKEEFYTKAESL IGVYPEQGDCVISKVLTFDLTKYPDANPNPNEQ >ENSMUSP00000073286.3 pep:known chromosome:GRCm38:13:27156790:27162590:1 gene:ENSMUSG00000062201.3 transcript:ENSMUST00000073601.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl3d3 description:prolactin family 3, subfamily d, member 3 [Source:MGI Symbol;Acc:MGI:2660938] MQLTLTLSGSGMQLLLLVSSLFLWENVSSKPTAMVPTEDLYTRLAELSHSTFILAADVYR EFDLDFFDKTWITDRTLPLCHTASIHTPENREEVHEIKTEDLLKAMINVSISWKEPLKHL VSALTALPGASESMGKKAADIKGRNLIILEGLQTIYNRTQANIEENENFDYPAWSGLEEL QSPNEDTHLFAVYNLCRCIKRDIHKIDSYIKVLRCRVVFQNEC >ENSMUSP00000038297.4 pep:known chromosome:GRCm38:1:132298626:132307357:1 gene:ENSMUSG00000042115.4 transcript:ENSMUST00000046071.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc8a description:kelch domain containing 8A [Source:MGI Symbol;Acc:MGI:2442630] MEVPNVKDFQWKRLAPLPSRRVYCSLLETGGQVYAIGGCDDNGVPMDCFEVYSPEADQWT SLPSLPTARAGVAITALGKRIMVIGGVGTNQLPVKVVEMYNIDEGKWKKRSVLREAAMGI SVTAKDYRVYAAGGMGLDLRPHNYLQHYDMLKDMWVSLAPMPTPRYAATSFLRGSKIYVL GGRQSKYAVNAFEVFDIESRSWTKFPNIPCKRAFSSFVTLDNHLYSLGGLRQGRLYRQPK FLRTMDVFDMEQGGWLKMERSFFLKKRRADFVAGGLSGRVIVAGGLGNQPTVLETAEAFH PEKNKWEALPPMPTPRCACSSIVFKNCLLAVGGVSQGLSDAVEALFVSDS >ENSMUSP00000142880.1 pep:known chromosome:GRCm38:1:139454772:139494088:1 gene:ENSMUSG00000033952.14 transcript:ENSMUST00000200083.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspm description:asp (abnormal spindle)-like, microcephaly associated (Drosophila) [Source:MGI Symbol;Acc:MGI:1334448] MATMQAASCPEERGRRARPDPEAGDPSPPVLLLSHFCGVPFLCFGDVRVGTSRTRSLVLH NPHEEPLQVELSLLRAAGQGFSVAPNRCELKPKEKLTISVTWTPLREGGVREIVTFLVND FLKHQAILLGNAEEPKKKKRSLWNTSKKIPASSKHTKRTSKNQHFNESFTISQKDRIRSP LQPCENLAMSECSSPTENKVPTPSISPIRECQSETCLPLFLRESTAYSSLHESENTQNLK VQDASISQTFDFNEEVANETFINPISVCHQSEGDRKLTLAPNCSSPLNSTQTQIHFLSPD SFVNNRYTSDNDLKSMKNVLSDTFRKDPAESVCLESQTVHEVCQTILSPDSFLNDNYGLK KGLNFKSVNPVLSPTQFVKDSMGHVGQQTGKSNEASQDWRINEGLAYTPECQHAQTPSSR SEKQNPVEVKPHTYDFTKQKPKISEFQDAFCHQSKQPHKRRPILSATVTKRKPTNAREKL PEINKPDAKRCLEGLVGERGKEVGSLREKGFHSSLPVVEPGVSKALSYRDEVTPATVVVA RKRKSHGTVGDANGKVAAEEWMDMCEVKRIHFSPLESTPSTVARTTKKEGHTSKRISSLE RSGLKKKMDSSILKTPLSKTKKKRRSIVAVAQSHLTFIKPLKAAIPRHPMPFAAKNMFYD ERWKEKQEQGFTWWLNYILTPDDFTVKTNVSKVNAASLVLGAESQHKISVPKAPTKEEVS LRAYTASCRLNRLRRTACSLFTSEKMVKAIKKVEIEIEVGRLLVRKDRHLWKDIGQRQKV LNWLLSYNPLWLRIGLETVFGELIPLADNSDVTGLAMFILNRLLWNPDIAAEYRHPTVPL LFRDGHEAALSKFTLKKLLLLICFLDHAKISRLIDHDPCLFCKDAEFKASKELLLAFSRD FLSGEGDLSRHLSFLGLPVSHVQTPLDEFDFAVTNLAVDLQCGVRLVRTVELLTQNWNLS DKLRIPAISRVQKMHNVDLVLQVLKSRGVPLTDEHGSAISSKDVVDRHREKTLGLLWKIA LAFQVDISLNLDQLKEEIDFLKHTHSIKRAMSALTCPSQAITNKQRDKRISGNFERYGDS VQLLMDWVNAVCAFYNKKVENFTVSFSDGRILCYLIHHYHPCYVPFDAICQRTSQSVACA QTGSVVLNSSSESEGGCLDLSLEALDHESTPEMYKELLENEKKNFHLVRSAARDLGGIPA MIHHSDMSNTIPDEKVVITYLSFLCARLLDLRKEIRAARLIQTTWRKYKLKRDLKHHQER DKAARVIQSVVLNFLSRRRLQKNVSAALVIQKCWRRVSAQRKLRMLKNEKLAKLQNKSAV LIQAGCRKYKAKKYLSKVEAACRIQAWYRRWRAHKKYLTLLKAVNIIEGYLSAQLARRRF LKMRAAAIIIQRKWRATLSVRGARENLKRHRAACVIQAHFRGYQARQSFLQQRSAVLIIQ RHVRAMVAAKQERIKYIKLKKSTVVVQALVRGWLVRKRVSEQKAKTRLFHFTAAAYCHMC ALKIQRAYRLHVTLRNAKKHMDSVIFIQRWFRKRLQRKRFIEQYHKILSTRREAHACWLQ QDRAASVIQKAVRRFLLCRRQEKITSCATRIQALWRGYSWRKKNDHTEIKAIRRSLRAVS TTVEEENKLYRRTERALHHLLTYKHLSAILDALKHLEVVTRLSPLCCENMAESGAVSTIF VVIRSCNRSVPCMEVVGYAVQVLLNVAKYDKTIAAVYEAENCVDTLLELLQVYREKPGDR VAEKSASIFTRTCCLLAVLLKTEQCAFDAQSRSKVTDRIYRLYKFTVPKHKVNTQGLFDK QKQNSCVGFPCIPERTMKTRLVSRLKPQWVLRRDNVEEITNSLQAIQLVMDTLGISY >ENSMUSP00000059159.8 pep:known chromosome:GRCm38:1:139454775:139494091:1 gene:ENSMUSG00000033952.14 transcript:ENSMUST00000053364.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspm description:asp (abnormal spindle)-like, microcephaly associated (Drosophila) [Source:MGI Symbol;Acc:MGI:1334448] MATMQAASCPEERGRRARPDPEAGDPSPPVLLLSHFCGVPFLCFGDVRVGTSRTRSLVLH NPHEEPLQVELSLLRAAGQGFSVAPNRCELKPKEKLTISVTWTPLREGGVREIVTFLVND FLKHQAILLGNAEEPKKKKRSLWNTSKKIPASSKHTKRTSKNQHFNESFTISQKDRIRSP LQPCENLAMSECSSPTENKVPTPSISPIRECQSETCLPLFLRESTAYSSLHESENTQNLK VQDASISQTFDFNEEVANETFINPISVCHQSEGDRKLTLAPNCSSPLNSTQTQIHFLSPD SFVNNRYTSDNDLKSMKNVLSDTFRKDPAESVCLESQTVHEVCQTILSPDSFLNDNYGLK KGLNFKSVNPVLSPTQFVKDSMGHVGQQTGKSNEASQDWRINEGLAYTPECQHAQTPSSR SEKQNPVEVKPHTYDFTKQKPKISEFQDAFCHQSKQPHKRRPILSATVTKRKPTNAREKL PEINKPDAKRCLEGLVGERGKEVGSLREKGFHSSLPVVEPGVSKALSYRDEVTPATVVVA RKRKSHGTVGDANGKVAAEEWMDMCEVKRIHFSPLESTPSTVARTTKKEGHTSKRISSLE RSGLKKKMDSSILKTPLSKTKKKRRSIVAVAQSHLTFIKPLKAAIPRHPMPFAAKNMFYD ERWKEKQEQGFTWWLNYILTPDDFTVKTNVSKVNAASLVLGAESQHKISVPKAPTKEEVS LRAYTASCRLNRLRRTACSLFTSEKMVKAIKKVEIEIEVGRLLVRKDRHLWKDIGQRQKV LNWLLSYNPLWLRIGLETVFGELIPLADNSDVTGLAMFILNRLLWNPDIAAEYRHPTVPL LFRDGHEAALSKFTLKKLLLLICFLDHAKISRLIDHDPCLFCKDAEFKASKELLLAFSRD FLSGEGDLSRHLSFLGLPVSHVQTPLDEFDFAVTNLAVDLQCGVRLVRTVELLTQNWNLS DKLRIPAISRVQKMHNVDLVLQVLKSRGVPLTDEHGSAISSKDVVDRHREKTLGLLWKIA LAFQVDISLNLDQLKEEIDFLKHTHSIKRAMSALTCPSQAITNKQRDKRISGNFERYGDS VQLLMDWVNAVCAFYNKKVENFTVSFSDGRILCYLIHHYHPCYVPFDAICQRTSQSVACA QTGSVVLNSSSESEGGCLDLSLEALDHESTPEMYKELLENEKKNFHLVRSAARDLGGIPA MIHHSDMSNTIPDEKVVITYLSFLCARLLDLRKEIRAARLIQTTWRKYKLKRDLKHHQER DKAARVIQSVVLNFLSRRRLQKNVSAALVIQKCWRRVSAQRKLRMLKNEKLAKLQNKSAV LIQAYWRRYSTRKRFLRLKHYSVILQSRIRMKIALTSYKRYLWATVTIQRHWRAYLSRKR DQQIFRKLKSSSLVIQFMFRRWKRRKLQLQTKAAVTLQRAFREWHLRKQIRERSAVVIQS WYRMHRELQKYIYIRSCVIVIQRRVRCFQAQKLYKRRKDAILTLQKHYRARQKGKLAHAD YLQKRAATIRLQAAFRGMKARHSYRLQIGAACVLQSYWRMRQERVRFLNLKKMVIKLQAH IRKYQQLQKYKKIKKAAITIQTHFRASISARRVLASYQKTRSSVIVLQSACRGMQARKAF RHALASVIKIQSYYRAYICRKTFQNFKNATIKLQSIVKMKQSRKQYLQIRAAALFIQRWY RSQKLASQKRKEYIQVRESCIKLQSHFRGCLVRKQLRLQCKAAISLQSYFRMRTARQRYL KMCKAALVIQSFYCAYRAQISQRKNFLQVKRAAICLQAAYRGCKVRRQIKQQSTAAVTIQ RVFRGHSQRMKYQTMLQSAVKIQRWYRAQKVAYDMRIQFLKTREAVVCLQSAYRGWQVRQ QLRRQHEAAVKIQSTFRMAVAQQQYKLLRAAAAVIQQHVRARAAGKRQHLAYIQLRHAAL VFQAAWKGKMLRRQIARQHQCAALIQSYYRMHIQRRKWSIMKTAALQIQLCYRAYKVGKE QRHLYLKTKAAVVTLQSAYRGMKVRKRVAECHKAAVTIQSKFRAYRTQKKYTTYRTSAIV IQRWYRNIKITTQQHQEYLNLRRAAVQVQAAYRGIRVRRRIQHMHMAATLIEAMFKMRQS RVRYLKMRTAALIIQVRYRAYYLGKIQHEKYLRTLKAIKTLQAGVRGARVRRTVRKMHFA ATLIQSHFRGHRQQTYFHRLRKAATMVQQRYRAVKEGSAEFQRYSRLRRSVLLIQAAFRG LRTRRHLKAMHLAATLIQRRFRTFAMRRKFLSLRKTAIWIQRQYRARLYAKYSRQQLLLE KAVIKIQSSYRGWVVRKRVQKMHRAATVIQATFRMHGAYMRYQHLKRASVVIQVHTAAEL QRQKHAAVILQAAVRGMKTRSHLKTMHSSATLIQSQFRAFIVRRRFIALRKAAIFVQRKF RATLYAKHKLHQFLQLRKAAITIQSSYRRLMVQKKLQEMHRAAALIQATFRMHRTYVAFH IWKCASIRIQQCYRTYRTIKLQKEKLIREEQHSAAVLIQSTYRMYRQRCFYQQRRWAAKV IQKTYRANKRRQDLLYVCKEETPLLQMHFQGLNTAKQGRQQHGAAMITQKHFRAFKARRL MEAERGFQAGCRKYKAKKYLSKVEAACRIQAWYRRWRAHKKYLTLLKAVNIIEGYLSAQL ARRRFLKMRAAAIIIQRKWRATLSVRGARENLKRHRAACVIQAHFRGYQARQSFLQQRSA VLIIQRHVRAMVAAKQERIKYIKLKKSTVVVQALVRGWLVRKRVSEQKAKTRLFHFTAAA YCHMCALKIQRAYRLHVTLRNAKKHMDSVIFIQRWFRKRLQRKRFIEQYHKILSTRREAH ACWLQQDRAASVIQKAVRRFLLCRRQEKITSCATRIQALWRGYSWRKKNDHTEIKAIRRS LRAVSTTVEEENKLYRRTERALHHLLTYKHLSAILDALKHLEVVTRLSPLCCENMAESGA VSTIFVVIRSCNRSVPCMEVVGYAVQVLLNVAKYDKTIAAVYEAENCVDTLLELLQVYRE KPGDRVAEKSASIFTRTCCLLAVLLKTEQCAFDAQSRSKVTDRIYRLYKFTVPKHKVNTQ GLFDKQKQNSCVGFPCIPERTMKTRLVSRLKPQWVLRRDNVEEITNSLQAIQLVMDTLGI SY >ENSMUSP00000080647.2 pep:known chromosome:GRCm38:2:87251939:87252919:1 gene:ENSMUSG00000070856.3 transcript:ENSMUST00000081986.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1115 description:olfactory receptor 1115 [Source:MGI Symbol;Acc:MGI:3030949] MQSKYVNLQRANKFKITDTNLSVPIEFVLLGFSDIPQLHWFLFGIFFFIYMSILLGNGLI ILITRVEPTLQTPMYFFISNLSFLEICYVSVTLPRMLMDLFTLKGNISFLACATQMCLFL ILGGTECFLLAVMSYDRYVAICNPLHYPIVMSSKVCTQLVVGSWVIGVPIQVGQTYQILS LPFCESNQINHFFCDMPPLLRLACGNIFVNELVVFIFVVLIVTIPFMLILASYSRIISTI LKLPSKTGRTKAFSTCSSHLIVVFLFYGSASITYLKPKSNKYEETDKLLSVFYTILTPMF NPLIYSLRNKDVTGALKKLFTRLLAL >ENSMUSP00000116139.1 pep:known chromosome:GRCm38:7:30240138:30262647:-1 gene:ENSMUSG00000037020.16 transcript:ENSMUST00000134570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr62 description:WD repeat domain 62 [Source:MGI Symbol;Acc:MGI:1923696] SDTRWQKNIFSDSLLKVVYVENDIQHLQDLSHFPDRGSENGTPMDMKAGVRVMQVSPDGQ HLASGDRSGNLRIHELHFMDELIKVEAHDAEVLCLEYSKPETGVTLLASASRDRLIHVLN VEKNYNLEQTLDDHSSSITAIKFAGTRDVQMISCGADKSIYFRSAQQASDGLHFVRTHHV AEKTTLYDMDIDITQKYVAVACQDRNVRVYNTVSGKQKKCYKGSQGDEGSLLKVHVDPSG TFLATSCSDKSISLIDFYSGECVAKMFGHSEIVTGMKFTYDCRHLITVSGDSCVFIWHLG PEITTCMKQHLLEINHQEQQQQPKDQKWSGPPSQETYASTPSEIRSLSPGEQTEDEMEEE CEPEELLKTPSKDSLDPDPRCLLTNGKLPLWAKRLLGDDDVADSSAFHAKRSYQPHGRWA ERAEQEPLKTILDAWSLDSYFTPMKPENLQDSVLDSVEPQNLAGLLSELGSPKEDNRGHP SYLPLQREATEASELILCSPEAEVSLTGMHREYYEEETEAGPEDQQGDTYLRVSSVSSKD QSPPEDSGESEAELECSFAAAHSSAPQTDPGPHLTMTAEYPSTEELSQPELPGLGNGSLP QTPEQEKFLRHHFETLTDAPTEELFHGSLGDIKISETEDYFFNPRLSISTQFLSRLQKTS RCPPRLPLHLMKSPEAQPVGQGGNQPKAGPLRAGTGYMSSDGTNVLSGQKAEETQEALSL LDRKPPTPTSVLTTGREQSISAPSSCSYLESTTSSHAKTTRSISLGDSEGPVTAELPQSL HKPLSPGQELQAIPTTVALTSSIKDHEPAPLSWGNHEARASLKLTLSSVCEQLLSPPPQE PPITHVWSQEPVDVPPSMAVTVASFCAPSPVDMSTLGLHSSMFLPKTSASGPLTPPAHLQ LLETRSRVPGSTAALLEPTPDASGVIADSPGHWDTEVPTPELLGSVESVLHRLQTAFQEA LDLYRMLVSSSQLGPEQQQAQTELASTFHWILNQLEASNCMAAANLAPPQTLPSPDPLSL PTLCPLASPNLQALLEHYSELLVQAVRRKARGD >ENSMUSP00000116772.1 pep:known chromosome:GRCm38:7:30240138:30280396:-1 gene:ENSMUSG00000037020.16 transcript:ENSMUST00000145027.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr62 description:WD repeat domain 62 [Source:MGI Symbol;Acc:MGI:1923696] MMAALAAGGYTRSDTIEKLSSVMAGVPARRNQSSPPPAPPLCLRRRTRLAAAPEDTVQNR VTLEKVLGITAQNSSGLTCDPGTGHVAYLAGCVVVVLNPKENKQQHIFNTTRKSLSALAF SPDGKYIVTGENGHRPAVRIWDVEEKTQVAEMLGHKYGVACVAFSPNMKHIVSMGYQHDM VLNVWDWKKDIVVASNKVSCRVIALSFSEDSSYFVTVGNRHVRFWFLEASTEAKVTSTVP LVGRSGILGELHNNIFCGVACGRGRMAGNTFCVSYSGLLCQFNEKRVLDKWINLKVSLSS CLCVSDELIFCGCTDGIVRIFQAHSLLYLTNLPKPHYLGVDVAHGLDSSFLFHRKAEAVY PDTVALTFDPVHQWLSCVYKDHSIYIWDVKDIDEVSKIWSELFHSSFVWNVEVYPEFEDQ RACLPSGTFLTCSSDNTIRFWNLDSASDTRWQKNIFSDSLLKVVYVENDIQHLQDLSHFP DRGSENGTPMDMKAGVRVMQVSPDGQHLASGDRSGNLRIHELHFMDELIKVEAHDAEVLC LEYSKPETGVTLLASASRDRLIHVLNVEKNYNLEQTLDDHSSSITAIKFAGTRDVQMISC GADKSIYFRSAQQASDGLHFVRTHHVAEKTTLYDMDIDITQKYVAVACQDRNVRVYNTVS GKQKKCYKGSQGDEGSLLKVHVDPSGTFLATSCSDKSISLIDFYSGECVAKMFGHSEIVT GMKFTYDCRHLITVSGDSCVFIWHLGPEITTCMKQHLLEINHQEQQQQPKDQKWSGPPSQ ETYASTPSEIRSLSPGEQTEDEMEEECEPEELLKTPSKDSLDPDPRCLLTNGKLPLWAKR LLGDDDVADSSAFHAKRSYQPHGRWAERAEQEPLKTILDAWSLDSYFTPMKPENLQDSVL DSVEPQNLAGLLSECSLGNGHTSPGEGLVSYLLHPELGSPKEDNRGHPSYLPLQREATEA SELILCSPEAEVSLTGMHREYYEEETEAGPEDQQGDTYLRVSSVSSKDQSPPEDSGESEA ELECSFAAAHSSAPQTDPGPHLTMTAASSAVTQSADKSSPPCLPSRVPKYRRAFPA >ENSMUSP00000103825.1 pep:known chromosome:GRCm38:7:30240138:30280419:-1 gene:ENSMUSG00000037020.16 transcript:ENSMUST00000108190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr62 description:WD repeat domain 62 [Source:MGI Symbol;Acc:MGI:1923696] MMAALAAGGYTRSDTIEKLSSVMAGVPARRNQSSPPPAPPLCLRRRTRLAAAPEDTVQNR VTLEKVLGITAQNSSGLTCDPGTGHVAYLAGCVVVVLNPKENKQQHIFNTTRKSLSALAF SPDGKYIVTGENGHRPAVRIWDVEEKTQVAEMLGHKYGVACVAFSPNMKHIVSMGYQHDM VLNVWDWKKDIVVASNKVSCRVIALSFSEDSSYFVTVGNRHVRFWFLEASTEAKVTSTVP LVGRSGILGELHNNIFCGVACGRGRMAGNTFCVSYSGLLCQFNEKRVLDKWINLKVSLSS CLCVSDELIFCGCTDGIVRIFQAHSLLYLTNLPKPHYLGVDVAHGLDSSFLFHRKAEAVY PDTVALTFDPVHQWLSCVYKDHSIYIWDVKDIDEVSKIWSELFHSSFVWNVEVYPEFEDQ RACLPSGTFLTCSSDNTIRFWNLDSASDTRWQKNIFSDSLLKVVYVENDIQHLQDLSHFP DRGSENGTPMDMKAGVRVMQVSPDGQHLASGDRSGNLRIHELHFMDELIKVEAHDAEVLC LEYSKPETGVTLLASASRDRLIHVLNVEKNYNLEQTLDDHSSSITAIKFAGTRDVQMISC GADKSIYFRSAQQASDGLHFVRTHHVAEKTTLYDMDIDITQKYVAVACQDRNVRVYNTVS GKQKKCYKGSQGDEGSLLKVHVDPSGTFLATSCSDKSISLIDFYSGECVAKMFGHSEIVT GMKFTYDCRHLITVSGDSCVFIWHLGPEITTCMKQHLLEINHQEQQQQPKDQKWSGPPSQ ETYASTPSEIRSLSPGEQTEDEMEEECEPEELLKTPSKDSLDPDPRCLLTNGKLPLWAKR LLGDDDVADSSAFHAKRSYQPHGRWAERAEQEPLKTILDAWSLDSYFTPMKPENLQDSVL DSVEPQNLAGLLSECSLGNGHTSPGEGLVSYLLHPELGSPKEDNRGHPSYLPLQREATEA SELILCSPEAEVSLTGMHREYYEEETEAGPEDQQGDTYLRVSSVSSKDQSPPEDSGESEA ELECSFAAAHSSAPQTDPGPHLTMTAGKPEYPSTEELSQPELPGLGNGSLPQTPEQEKFL RHHFETLTDAPTEELFHGSLGDIKISETEDYFFNPRLSISTQFLSRLQKTSRCPPRLPLH LMKSPEAQPVGQGGNQPKAGPLRAGTGYMSSDGTNVLSGQKAEETQEALSLLDRKPPTPT SVLTTGREQSISAPSSCSYLESTTSSHAKTTRSISLGDSEGPVTAELPQSLHKPLSPGQE LQAIPTTVALTSSIKDHEPAPLSWGNHEARASLKLTLSSVCEQLLSPPPQEPPITHVWSQ EPVDVPPSMAVTVASFCAPSPVDMSTLGLHSSMFLPKTSASGPLTPPAHLQLLETRSRVP GSTAALLEPTPDASGVIADSPGHWDTEVPTPELLGSVESVLHRLQTAFQEALDLYRMLVS SSQLGPEQQQAQTELASTFHWILNQLEASNCMAAANLAPPQTLPSPDPLSLPTLCPLASP NLQALLEHYSELLVQAVRRKARGD >ENSMUSP00000078910.6 pep:known chromosome:GRCm38:5:139471211:139484549:-1 gene:ENSMUSG00000053581.13 transcript:ENSMUST00000079996.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand2a description:zinc finger, AN1-type domain 2A [Source:MGI Symbol;Acc:MGI:2140729] MEFPDLGKHCSEPTCKQLDFLPITCDACKQDFCKDHFSYVGHKCPFAFKKDVQVPVCPLC NAPIPVKRGEIPDVVVGEHMDRDCTFHPGRNRNKVFTHRCSKEGCRKKEMLQLACAQCHG NFCIQHRHPLDHNCQAGSSSASRGRTSTSRAAEQKPSGVSWLAQRLRRTVK >ENSMUSP00000106475.1 pep:known chromosome:GRCm38:5:139471216:139484517:-1 gene:ENSMUSG00000053581.13 transcript:ENSMUST00000110851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand2a description:zinc finger, AN1-type domain 2A [Source:MGI Symbol;Acc:MGI:2140729] MEFPDLGKHCSEPTCKQLDFLPITCDACKQDFCKDHFSYVGHKCPFAFKKDVQVPVCPLC NAPIPVKRGEIPDVVVGEHMDRDCTFHPGRNRNKVFTHRCSKEGCRKKEMLQLACAQCHG NFCIQHRHPLDHNCQAGSSSASRGRTSTSRAAEQKPSGVSWLAQRLRRTVK >ENSMUSP00000123097.1 pep:known chromosome:GRCm38:5:139478482:139484464:-1 gene:ENSMUSG00000053581.13 transcript:ENSMUST00000150992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand2a description:zinc finger, AN1-type domain 2A [Source:MGI Symbol;Acc:MGI:2140729] MEFPDLGKHCSEPTCKQLDFLPITCDACKQDFCKDHFSYVGHKCPFAFKKDVQVPVCPLC NAPIPVKRGEIPDVVVGEHMDRDCTFHPG >ENSMUSP00000015605.9 pep:known chromosome:GRCm38:17:34647146:34655074:1 gene:ENSMUSG00000015461.15 transcript:ENSMUST00000015605.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf6b description:activating transcription factor 6 beta [Source:MGI Symbol;Acc:MGI:105121] MVGGGGKMAELMLLSEIADPTRFFTDNLLSPEDWDSTLYSGLDEVAEEQAQLFRCVEQDV PFDSSSLDVGMDVSPPEPPWDPLPIFPDLQVKSEPSSPCSSSSLSSESSHLSTEPPSQVP GVGEVLHVKMESLAPPLCLLGDDPASPFETVQITVGSASDDLSDIQTKLEPASPSSSVHS EASLLSADSPSQPFIGEEVLEVKTESPSPPGCLLWDVPASSLGAVQISMGPSPDSSSGKA PATRKPPLQPKPVVLTTVPVPPRAGPTSAAVLLQPLVQQPAVSPVVLIQGAIRVQPEGPA PAAPRPERKSIVPAPMPGNSCPPEVDAKLLKRQQRMIKNRESACQSRRKKKEYLQGLEAR LQAVLADNQQLRRENAALRRRLEALLAENSGLKLGSGNRKVVCIMVFLLFIAFNFGPVSI SEPPPAPMSPRMSREEPRPQRHLLGFSEPGPAHGMEPLREAAQSPGEQQPSSAGRPSFRN LTAFPGGAKELLLRDLDQLFLSSDCRHFNRTESLRLADELSGWVQRHQRGRRKIPHRAQE RQKSQLRKKSPPVKPVPTQPPGPPERDPVGQLQLYRHPGRSQPEFLDAIDRREDTFYVVS FRRDHLLLPAISHNKTSRPKMSLVMPAMAPNETVSGRGPPGDYEEMMQIECEVMDTRVIH IKTSTVPPSLRKQPSPSPGNTTGGPLPGSAASPAHQASQPLYLNHP >ENSMUSP00000133786.2 pep:known chromosome:GRCm38:17:34647201:34649147:1 gene:ENSMUSG00000015461.15 transcript:ENSMUST00000174614.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atf6b description:activating transcription factor 6 beta [Source:MGI Symbol;Acc:MGI:105121] MVGGGGKMAELMLLSEIADPTRFFTDNLLSPEDWDSTLYSGLDEVAEEQAQLFRCVEQDV PLSGCGDGCQPP >ENSMUSP00000133558.2 pep:known chromosome:GRCm38:17:34647201:34649202:1 gene:ENSMUSG00000015461.15 transcript:ENSMUST00000174519.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atf6b description:activating transcription factor 6 beta [Source:MGI Symbol;Acc:MGI:105121] MVGGGGKMAELMLLSEIADPTRFFTDNLLSPEDWV >ENSMUSP00000133516.2 pep:known chromosome:GRCm38:17:34647211:34654879:1 gene:ENSMUSG00000015461.15 transcript:ENSMUST00000173984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf6b description:activating transcription factor 6 beta [Source:MGI Symbol;Acc:MGI:105121] MVGGGGKMAELMLLSEIADPTRFFTDNLLSPEDWGARNSTLYSGLDEVAEEQAQLFRCVE QDVPFDSSSLDVGMDVSPPEPPWDPLPIFPDLQVKSEPSSPCSSSSLSSESSHLSTEPPS QVPGVGEVLHVKMESLAPPLCLLGDDPASPFETVQITVGSASDDLSDIQTKLEPASPSSS VHSEASLLSADSPSQPFIGEEVLEVKTESPSPPGCLLWDVPASSLGAVQISMGPSPDSSS GKAPATRKPPLQPKPVVLTTVPVPPRAGPTSAAVLLQPLVQQPAVSPVVLIQGAIRVQPE GPAPAAPRPERKSIVPAPMPGNSCPPEVDAKLLKRQQRMIKNRESACQSRRKKKEYLQGL EARLQAVLADNQQLRRENAALRRRLEALLAENSGLKLGSGNRKVVCIMVFLLFIAFNFGP VSISEPPPAPMSPRMSREEPRPQRHLLGFSEPGPAHGMEPLREAAQSPGEQQPSSAGRPS FRNLTAFPGGAKELLLRDLDQLFLSSDCRHFNRTESLRLADELSGWVQRHQRGRRKIPHR AQERQKSQLRKKSPPVKPVPTQPPGPPERDPVGQLQLYRHPGRSQPEFLDAIDRREDTFY VVSFRRDHLLLPAISHNKTSRPKMSLVMPAMAPNETVSGRGPPGDYEEMMQIECEVMDTR VIHIKTSTVPPSLRKQPSPSPGNTTGGPLPGSAASPAHQASQPLYLNHP >ENSMUSP00000075195.4 pep:known chromosome:GRCm38:X:8975710:8976559:-1 gene:ENSMUSG00000060685.4 transcript:ENSMUST00000075792.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fthl17c description:ferritin, heavy polypeptide-like 17, member C [Source:MGI Symbol;Acc:MGI:3705221] MAEAPSRVRQNYDWQCEDAINTHIQLRLYASYVYMSMAVYFDRDDVAQENFKRFFLTKSH NCQTSAEMFMHLQNKRGGCISLQDIARPERDSWHGGFQAMECAFHMEMLINQSLLNMHEV AKEKGDPHLCHFLEQNCLDQQVDILKEMSGYLTNLRQMGAVEHNLAEYLFDKLSLS >ENSMUSP00000078560.6 pep:known chromosome:GRCm38:4:119530308:119538769:-1 gene:ENSMUSG00000087385.8 transcript:ENSMUST00000079611.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA415398 description:expressed sequence AA415398 [Source:MGI Symbol;Acc:MGI:3035485] MDSETPHPGPSCQLLDLPTGPQTTSVRTLGSEPSKNEQRSSQSDSNSRKHTEESAQMVET PRKRRRSSKDSSLVRIGNEGSLHGKKRHKTSVKKTEPKANGHQDGLAHCPEPQDTDPPPI RKSLVSFLRGKSEEIYRDTVQMQAQKLGSLLTPEQLSQLRQLSESLTAMVYSLLQHGQPG GFAFPAEGWLVPAPMAAPQELSGKESQSPLMEGGEKITDPAIPSDKS >ENSMUSP00000137799.1 pep:known chromosome:GRCm38:11:23564961:23633549:-1 gene:ENSMUSG00000042208.15 transcript:ENSMUST00000155903.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:0610010F05Rik description:RIKEN cDNA 0610010F05 gene [Source:MGI Symbol;Acc:MGI:1918925] MSRGYPENNNFLNNNNQMVLDMILYPLIGIPQTINWETVARLVPGLTPKECVKRFDELKS CGSSPVDNQYNPLMATGEGPVETLATYIKSSLLDTQGDFQETPVDQDTVSKAGRHSIATT RNCSSESENCTARNAGEETGESEGPNMVIHVCDEAKSLKEDFICPRDLLISEMKYFAEYL SMDAQRWEEVDISVHCDVHIFNWLIKYVKRNTKESKDCEIPALEPGNVISILISSEFLKM DSLVEQCIQYCHKNMNAIVAAPCNMNCINANLLTRIADLFTHNEIDDLKDKKDKFRSKLF CKKIERLFDPEYSNPDSRNNAATLYRCCLCKKLLTRETERRIPCIPGKINVDRHGNIIYI HIRDKTWDVHEYLNSLFEELKSWRDVYWRLWGTVNWLTCSRCYQAFLCIEFSHCQYHSEV VVYSSTVNSLNTVGTGIYPCCNQKVLRFDPTQLTKGCKVRDHMVVLHDQGENDDSPSCPP AKILDDLHKHKDVIAVPFLKDAVSDPGVGSCDEKGLEYEILLEPNTPWGSKTGELNAFLS LKNWTLQLKQQSLFSEEEEYTTGSEVTEDEVGDEEEIAKKQRKKEKPKKFTKPPKKQLSS PCSQKKEKTLEKSTSRDVSPFVVSMQKNKWDASRSLRFNQDAQREDDQRRMSEITGHLIK MRLGDLDRVKAKESKEFAGGIYSRLEAQVRASVPVTARQNSSDKNQRMTSLRP >ENSMUSP00000044265.6 pep:known chromosome:GRCm38:11:23573251:23629027:-1 gene:ENSMUSG00000042208.15 transcript:ENSMUST00000043356.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010F05Rik description:RIKEN cDNA 0610010F05 gene [Source:MGI Symbol;Acc:MGI:1918925] MSRGYPENNNFLNNNNQMVLDMILYPLIGIPQTINWETVARLVPGLTPKECVKRFDELKS CGSSPVDNQYNPLMATGEGPVETLATYIKSSLLDTQGDFQETPVDQDTVSKAGRHSIATT RNCSSESENCTARNAGEETGESEGPNMVIHVCDEAKSLKEDFICPRDLLISEMKYFAEYL SMDAQRWEEVDISVHCDVHIFNWLIKYVKRNTKESKDCEIPALEPGNVISILISSEFLKM DSLVEQCIQYCHKNMNAIVAAPCNMNCINANLLTRIADLFTHNEIDDLKDKKDKFRSKLF CKKIERLFDPEYSNPDSRNNAATLYRCCLCKKLLTRETERRIPCIPGKINVDRHGNIIYI HIRDKTWDVHEYLNSLFEELKSWRDVYWRLWGTVNWLTCSRCYQAFLCIEFSHCQYHSEV VVYSSTVNSLNTVGTGIYPCCNQKVLRFDPTQLTKGCKVRDHMVVLHDQGENDDSPSCPP AKILDDLHKHKDVIAVPFLKDAVSDPGVGSCDEKGLEYEILLEPNTPWGSKTGELNAFLS LKNWTLQLKQQSLFSEEEEYTTGSEVTEDEVGDEEEIAKKQRKKEKPKKFTKPPKKQLSS PCSQKKEKTLEKSTSRDVSPFVVSMQKNKWDASRSLRFNQDAQREDDQRRMSEITGHLIK MRLGDLDRVKAKESKEFAGGIYSRLEAQVRASVPVTARQNSSDKNQRSKSRFGQGRPA >ENSMUSP00000090955.4 pep:known chromosome:GRCm38:11:23573903:23629027:-1 gene:ENSMUSG00000042208.15 transcript:ENSMUST00000093267.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010F05Rik description:RIKEN cDNA 0610010F05 gene [Source:MGI Symbol;Acc:MGI:1918925] MVIHVCDEAKSLKEDFICPRDLLISEMKYFAEYLSMDAQRWEEVDISVHCDVHIFNWLIK YVKRNTKESKDCEIPALEPGNVISILISSEFLKMDSLVEQCIQYCHKNMNAIVAAPCNMN CINANLLTRIADLFTHNEIDDLKDKKDKFRSKLFCKKIERLFDPEYSNPDSRNNAATLYR CCLCKKLLTRETERRIPCIPGKINVDRHGNIIYIHIRDKTWDVHEYLNSLFEELKSWRDV YWRLWGTVNWLTCSRCYQAFLCIEFSHCQYHSEVVVYSSTVNSLNTVGTGIYPCCNQKVL RFDPTQLTKGCKVRDHMVVLHDQGENDDSPSCPPAKILDDLHKHKDVIAVPFLKDAVSDP GVGSCDEKGLEYEILLEPNTPWGSKTGELNAFLSLKNWTLQLKQQSLFSEEEEYTTGSEV TEDEVGDEEEIAKKQRKKEKPKKFTKPPKKQLSSPCSQKKEKTLEKSTSRDVSPFVVSMQ KNKWDASRSLRFNQDAQREDDQRRMSEITGHLIKMRLGDLDRVKAKESKEFAGGIYSRLE AQVRASVPVTARQNSSDKNQRSKSRFGQGRPA >ENSMUSP00000117103.1 pep:known chromosome:GRCm38:11:23576630:23595409:-1 gene:ENSMUSG00000042208.15 transcript:ENSMUST00000123909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010F05Rik description:RIKEN cDNA 0610010F05 gene [Source:MGI Symbol;Acc:MGI:1918925] AVPFLKDAVSDPGVGSCDEKGLEYEILLEPNTPWGSKTGELNAFLSLKNWTLQLKQQSLF SEEEEYTTGSEVTEDEVGDEEEIAKKQRKKEKPKKFTKPPKKQLSSPCSQKKEKTLEKST SRDVSPFVVSMQKNKWDASRSLRFNQDAQREDDQRRMSEITGHLIKMRLGDLDRVKAKES KEFAGGIYSRLEAQVRASVPVTARQNSSDKNQR >ENSMUSP00000121553.1 pep:known chromosome:GRCm38:11:23611830:23633631:-1 gene:ENSMUSG00000042208.15 transcript:ENSMUST00000141353.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010F05Rik description:RIKEN cDNA 0610010F05 gene [Source:MGI Symbol;Acc:MGI:1918925] MVIHVCDEAKSLKEDFICPRDLLISEMKYFAEYLSMDAQRWEEVDISVHCDVHIFNWLIK YVKRNTKESKDCEIPALEPGNVISILISSEFLKMDSLVEQCIQYCHKNMNAIVAAPCNMN CINANLLTRIADLFTHNEIDDLKDKKDKFRSKLFCKKIERLFDPEYSNPDSRNNAATLYR CCLCKKLLT >ENSMUSP00000123000.1 pep:known chromosome:GRCm38:11:23628877:23633631:-1 gene:ENSMUSG00000042208.15 transcript:ENSMUST00000131612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010F05Rik description:RIKEN cDNA 0610010F05 gene [Source:MGI Symbol;Acc:MGI:1918925] MSRGYPENNNFLNNNNQMVLDMILYPLIGIPQTINWETVARLVPGLTP >ENSMUSP00000136118.1 pep:known chromosome:GRCm38:11:23573788:23633631:-1 gene:ENSMUSG00000042208.15 transcript:ENSMUST00000180260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010F05Rik description:RIKEN cDNA 0610010F05 gene [Source:MGI Symbol;Acc:MGI:1918925] MSRGYPENNNFLNNNNQMVLDMILYPLIGIPQTINWETVARLVPGLTPKECVKRFDELKS CGSSPVDNQYNPLMATGEGPVETLATYIKSSLLDTQGDFQETPVDQDTVSKAGRHSIATT RNCSSESENCTARNAGEETGESEGPNMVIHVCDEAKSLKEDFICPRDLLISEMKYFAEYL SMDAQRWEEVDISVHCDVHIFNWLIKYVKRNTKESKDCEIPALEPGNVISILISSEFLKM DSLVEQCIQYCHKNMNAIVAAPCNMNCINANLLTRIADLFTHNEIDDLKDKKDKFRSKLF CKKIERLFDPEYSNPDSRNNAATLYRCCLCKKLLTRETERRIPCIPGKINVDRHGNIIYI HIRDKTWDVHEYLNSLFEELKSWRDVYWRLWGTVNWLTCSRCYQAFLCIEFSHCQYHSEV VVYSSTVNSLNTVGTGIYPCCNQKVLRFDPTQLTKGCKVRDHMVVLHDQGENDDSPSCPP AKILDDLHKHKDVIAVPFLKDAVSDPGVGSCDEKGLEYEILLEPNTPWGSKTGELNAFLS LKNWTLQLKQQSLFSEEEEYTTGSEVTEDEVGDEEEIAKKQRKKEKPKKFTKPPKKQLSS PCSQKKEKTLEKSTSRDVSPFVVSMQKNKWDASRSLRFNQDAQREDDQRRMSEITGHLIK MRLGDLDRVKAKESKEFAGGIYSRLEAQVRASVPVTARQNSSDKNQRSKSRFGQGRPA >ENSMUSP00000105158.2 pep:known chromosome:GRCm38:11:23573788:23633639:-1 gene:ENSMUSG00000042208.15 transcript:ENSMUST00000109532.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010F05Rik description:RIKEN cDNA 0610010F05 gene [Source:MGI Symbol;Acc:MGI:1918925] MSRGYPENNNFLNNNNQMVLDMILYPLIGIPQTINWETVARLVPGLTPKECVKRFDELKS CGSSPVDNQYNPLMATGEGPVETLATYIKSSLLDTQGDFQETPVDQDTVSKAGRHSIATT RNCSSESENCTARNAGEETGESEGPNMVIHVCDEAKSLKEDFICPRDLLISEMKYFAEYL SMDAQRWEEVDISVHCDVHIFNWLIKYVKRNTKESKDCEIPALEPGNVISILISSEFLKM DSLVEQCIQYCHKNMNAIVAAPCNMNCINANLLTRIADLFTHNEIDDLKDKKDKFRSKLF CKKIERLFDPEYSNPDSRNNAATLYRCCLCKKLLTRETERRIPCIPGKINVDRHGNIIYI HIRDKTWDVHEYLNSLFEELKSWRDVYWRLWGTVNWLTCSRCYQAFLCIEFSHCQYHSEV VVYSSTVNSLNTVGTGIYPCCNQKVLRFDPTQLTKGCKVRDHMVVLHDQGENDDSPSCPP AKILDDLHKHKDVIAVPFLKDAVSDPGVGSCDEKGLEYEILLEPNTPWGSKTGELNAFLS LKNWTLQLKQQSLFSEEEEYTTGSEVTEDEVGDEEEIAKKQRKKEKPKKFTKPPKKQLSS PCSQKKEKTLEKSTSRDVSPFVVSMQKNKWDASRSLRFNQDAQREDDQRRMSEITGHLIK MRLGDLDRVKAKESKEFAGGIYSRLEAQVRASVPVTARQNSSDKNQRSKSRFGQGRPA >ENSMUSP00000021160.3 pep:known chromosome:GRCm38:11:116593687:116597545:1 gene:ENSMUSG00000020804.12 transcript:ENSMUST00000021160.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aanat description:arylalkylamine N-acetyltransferase [Source:MGI Symbol;Acc:MGI:1328365] MLNINSLKPEALHLPLGTSEFLGCQRRHTLPASEFRCLTPEDATSAFEIEREAFISVSGT CPLYLDEIRHFLTLCPELSLGWFEEGCLVAFIIGSLWDKERLTQS >ENSMUSP00000122895.1 pep:known chromosome:GRCm38:11:116593687:116597680:1 gene:ENSMUSG00000020804.12 transcript:ENSMUST00000153476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aanat description:arylalkylamine N-acetyltransferase [Source:MGI Symbol;Acc:MGI:1328365] MLNINSLKPEALHLPLGTSEFLGCQRRHTLPASEFRCLTPEDATSAFEIEREAFISVSGT CPLYLDEIRHFLTLCPELSLGWFEEGCLVAFIIGSLWDKERLTQESLTLHRPGGRTAHLH VLAVHRTFRQQGKGSVLLWRYLHHLGSQPAVRRAVLMCEDALVPFYEKFGFQAVGPCAIT VGSLTFTELQCSLRCHAFLRRNSGC >ENSMUSP00000115999.1 pep:known chromosome:GRCm38:11:116595576:116597545:1 gene:ENSMUSG00000020804.12 transcript:ENSMUST00000123507.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aanat description:arylalkylamine N-acetyltransferase [Source:MGI Symbol;Acc:MGI:1328365] MLNINSLKPEALHLPLGTSEFLGCQRRHTLPASEFRCLTPEDATSAFEIEREARAGSPE >ENSMUSP00000107655.3 pep:known chromosome:GRCm38:2:73610660:73775346:-1 gene:ENSMUSG00000056486.17 transcript:ENSMUST00000112024.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn1 description:chimerin 1 [Source:MGI Symbol;Acc:MGI:1915674] MALTLFDTDEYRPPVWKSYLYQLQQEAPHPRRVTCTCEVENRPKYYGREYHGMISREETD QLLSVAEGSYLIRESQRQPGTYTLALRFGSQTRNFRLYYDGKHFVGEKRFESIHDLVTDG LITLYIETKAAEYIAKMTINPIYEHIGYTTLNREPAYKQHMAVLKETHDEKEATGQDGVS EKRLTSLVRRATLKENEQIPKYEKVHNFKVHTFRGPHWCEYCANFMWGLIAQGVKCADCG LNVHKQCSKMVPNDCKPDLKHVKKVYSCDLTTLVKAHITKRPMVVDMCIREIESRGLNSE GLYRVSGFSDLIEDVKMAFDRDGEKADISVNMYEDINIITGALKLYFRDLPIPLITYDAY PKFIESAKIMDPDEQLETLHEALRSLPPAHCETLRYLMAHLKRVTLHEKENLMSAENLGI VFGPTLMRSPELDPMAALNDIRYQRLVVELLIKNEDILF >ENSMUSP00000099738.4 pep:known chromosome:GRCm38:2:73610745:73660406:-1 gene:ENSMUSG00000056486.17 transcript:ENSMUST00000102677.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn1 description:chimerin 1 [Source:MGI Symbol;Acc:MGI:1915674] MPSKESWSGRKANRATVHKAKPEGRQQGLLIAALGMKLGSQKSSVTIWQPLKLFAYSQLT SLVRRATLKENEQIPKYEKVHNFKVHTFRGPHWCEYCANFMWGLIAQGVKCADCGLNVHK QCSKMVPNDCKPDLKHVKKVYSCDLTTLVKAHITKRPMVVDMCIREIESRGLNSEGLYRV SGFSDLIEDVKMAFDRDGEKADISVNMYEDINIITGALKLYFRDLPIPLITYDAYPKFIE SAKIMDPDEQLETLHEALRSLPPAHCETLRYLMAHLKRVTLHEKENLMSAENLGIVFGPT LMRSPELDPMAALNDIRYQRLVVELLIKNEDILF >ENSMUSP00000070301.6 pep:known chromosome:GRCm38:2:73612888:73625740:-1 gene:ENSMUSG00000056486.17 transcript:ENSMUST00000070579.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn1 description:chimerin 1 [Source:MGI Symbol;Acc:MGI:1915674] MVPNDCKPDLKHVKKVYSCDLTTLVKAHITKRPMVVDMCIREIESRGLNSEGLYRVSGFS DLIEDVKMAFDRDGEKADISVNMYEDINIITGALKLYFRDLPIPLITYDAYPKFIESAKI MDPDEQLETLHEALRSLPPAHCETLRYLMAHLKRVTLHEKENLMSAENLGIVFGPTLMRS PELDPMAALNDIRYQRLVVELLIKNEDILF >ENSMUSP00000123312.1 pep:known chromosome:GRCm38:2:73624878:73775326:-1 gene:ENSMUSG00000056486.17 transcript:ENSMUST00000139252.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chn1 description:chimerin 1 [Source:MGI Symbol;Acc:MGI:1915674] MALTLFDTDEYRPPVWKSYLYQLQQEAPHPRRVTCTCEVENRPKYYGREYHGMISREETD QLLSVAEGSYLIRESQRQPGTYTLALS >ENSMUSP00000115029.1 pep:known chromosome:GRCm38:2:73679622:73768853:-1 gene:ENSMUSG00000056486.17 transcript:ENSMUST00000135904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn1 description:chimerin 1 [Source:MGI Symbol;Acc:MGI:1915674] MISREETDQLLSVAEGSYLIRESQRQPGTYTLALRFGSQTRNFRLYYDGKHFVGEKRFES IHDLVTDGLITLYIETKAAEYIAKMTINPIYEHIGYTTLNREPAYKQHMAVLKETHDEKE ATGQDGVS >ENSMUSP00000116708.1 pep:known chromosome:GRCm38:2:73613084:73716409:-1 gene:ENSMUSG00000056486.17 transcript:ENSMUST00000124450.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chn1 description:chimerin 1 [Source:MGI Symbol;Acc:MGI:1915674] XQQEAPHPRRVTCTCEKTDQSIMEESIMA >ENSMUSP00000116417.1 pep:known chromosome:GRCm38:2:73624118:73660376:-1 gene:ENSMUSG00000056486.17 transcript:ENSMUST00000154258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn1 description:chimerin 1 [Source:MGI Symbol;Acc:MGI:1915674] MWGLIAQGVKCADCGLNVHKQCSKMVPNDCKPDLKHVKKVYSCDLTTLVKAHITKRPMVV DMCIREIESRGLNSEGLYRVSGFSDLIEDVKMAFD >ENSMUSP00000123551.1 pep:known chromosome:GRCm38:2:73624160:73655058:-1 gene:ENSMUSG00000056486.17 transcript:ENSMUST00000136953.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn1 description:chimerin 1 [Source:MGI Symbol;Acc:MGI:1915674] MWGLIAQGVKCADCGLNVHKQCSKMVPNDCKPDLKHVKKVYSCDLTTLVKAHITKRPMVV DMCIREIESRGLNSEGLYRVS >ENSMUSP00000137106.1 pep:known chromosome:GRCm38:2:73610660:73775346:-1 gene:ENSMUSG00000056486.17 transcript:ENSMUST00000180045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn1 description:chimerin 1 [Source:MGI Symbol;Acc:MGI:1915674] MVPNDCKPDLKHVKKVYSCDLTTLVKAHITKRPMVVDMCIREIESRGLNSEGLYRVSGFS DLIEDVKMAFDRDGEKADISVNMYEDINIITGALKLYFRDLPIPLITYDAYPKFIESAKI MDPDEQLETLHEALRSLPPAHCETLRYLMAHLKRVTLHEKENLMSAENLGIVFGPTLMRS PELDPMAALNDIRYQRLVVELLIKNEDILF >ENSMUSP00000128847.1 pep:known chromosome:GRCm38:2:73610660:73775346:-1 gene:ENSMUSG00000056486.17 transcript:ENSMUST00000166199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chn1 description:chimerin 1 [Source:MGI Symbol;Acc:MGI:1915674] MALTLFDTDEYRPPVWKSYLYQLQQEAPHPRRVTCTCEVENRPKYYGREYHGMISREETD QLLSVAEGSYLIRESQRQPGTYTLALRFGSQTRNFRLYYDGKHFVGEKRFESIHDLVTDG LITLYIETKAAEYIAKMTINPIYEHIGYTTLNREPAYKQHMAVLKETHDEKEATGQDGVS EKRLTSLVRRATLKENEQIPKYEKVHNFKVHTFRGPHWCEYCANFMWGLIAQGVKCAGLN SEGLYRVSGFSDLIEDVKMAFDRDGEKADISVNMYEDINIITGALKLYFRDLPIPLITYD AYPKFIESAKIMDPDEQLETLHEALRSLPPAHCETLRYLMAHLKRVTLHEKENLMSAENL GIVFGPTLMRSPELDPMAALNDIRYQRLVVELLIKNEDILF >ENSMUSP00000095316.4 pep:known chromosome:GRCm38:1:65158616:65179181:-1 gene:ENSMUSG00000025950.16 transcript:ENSMUST00000097709.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh1 description:isocitrate dehydrogenase 1 (NADP+), soluble [Source:MGI Symbol;Acc:MGI:96413] MSRKIQGGSVVEMQGDEMTRIIWELIKEKLILPYVELDLHSYDLGIENRDATNDQVTKDA AEAIKKYNVGVKCATITPDEKRVEEFKLKQMWKSPNGTIRNILGGTVFREAIICKNIPRL VTGWVKPIIIGRHAYGDQYRATDFVVPGPGKVEITYTPKDGTQKVTYMVHDFEEGGGVAM GMYNQDKSIEDFAHSSFQMALSKGWPLYLSTKNTILKKYDGRFKDIFQEIYDKKYKSQFE AQKICYEHRLIDDMVAQAMKSEGGFIWACKNYDGDVQSDSVAQGYGSLGMMTSVLICPDG KTVEAEAAHGTVTRHYRMYQKGQETSTNPIASIFAWSRGLAHRAKLDNNTELSFFAKALE DVCIETIEAGFMTKDLAACIKGLPNVQRSDYLNTFEFMDKLGENLKAKLAQAKL >ENSMUSP00000140757.1 pep:known chromosome:GRCm38:1:65166199:65186438:-1 gene:ENSMUSG00000025950.16 transcript:ENSMUST00000188109.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh1 description:isocitrate dehydrogenase 1 (NADP+), soluble [Source:MGI Symbol;Acc:MGI:96413] MSRKIQGGSVVEMQGDEMTRIIWELIKEKLILPYVELDLHSYDLGIENRDATNDQVTKDA AEAIKKYNVGVKCATITPDEKRVEEFKLKQMWKSPNGTIRNILGGTVFREAIICKNIPRL VTGWVKPIIIGRHAYGDQYRATDFVVPGPGKVEITYTPKDGTQKVTYMVHDFEEGGGVAM GMYNQDKSIEDFAHSSFQMALS >ENSMUSP00000139906.1 pep:known chromosome:GRCm38:1:65166244:65186500:-1 gene:ENSMUSG00000025950.16 transcript:ENSMUST00000188876.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh1 description:isocitrate dehydrogenase 1 (NADP+), soluble [Source:MGI Symbol;Acc:MGI:96413] MSRKIQGGSVVEMQGDEMTRIIWELIKEKLILPYVELDLHSYDLGIENRDATNDQVTKDA AEAIKKYNVGVKCATITPDEKRVEEFKLKQMWKSPNGTIRNILGGTVFREAIICKNIPRL VTGWVKPIIIGRHAYGDQYRATDFVVPGPGKVEITYTPKDGTQKVTYMVHDFEEGGGVAM GMYNQDK >ENSMUSP00000117853.1 pep:known chromosome:GRCm38:1:65168589:65175564:-1 gene:ENSMUSG00000025950.16 transcript:ENSMUST00000149310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh1 description:isocitrate dehydrogenase 1 (NADP+), soluble [Source:MGI Symbol;Acc:MGI:96413] MSRKIQGGSVVEMQGDEMTRIIWELIKEKLILPYVELDLHSYDLGIENRDATNDQVTKDA AEAIKKYNVGVKCATITPDEKRVEEFKLKQMWKSPNGTIRNILGGTVFREAIICKNIPRL VTGWVKPIIIGRHAYGDQYRATD >ENSMUSP00000140694.1 pep:known chromosome:GRCm38:1:65171120:65186459:-1 gene:ENSMUSG00000025950.16 transcript:ENSMUST00000186222.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh1 description:isocitrate dehydrogenase 1 (NADP+), soluble [Source:MGI Symbol;Acc:MGI:96413] MSRKIQGGSVVEMQGDEMTRIIWELIKEKLILPYVELDLHSYDLGIENRDATNDQVTKDA AEAIKKYNVGVKCATITPDEKRVE >ENSMUSP00000139430.1 pep:known chromosome:GRCm38:1:65175296:65186479:-1 gene:ENSMUSG00000025950.16 transcript:ENSMUST00000191459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh1 description:isocitrate dehydrogenase 1 (NADP+), soluble [Source:MGI Symbol;Acc:MGI:96413] MSRKIQGGSVVEMQGDEMT >ENSMUSP00000127307.1 pep:known chromosome:GRCm38:1:65158618:65186479:-1 gene:ENSMUSG00000025950.16 transcript:ENSMUST00000169032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh1 description:isocitrate dehydrogenase 1 (NADP+), soluble [Source:MGI Symbol;Acc:MGI:96413] MSRKIQGGSVVEMQGDEMTRIIWELIKEKLILPYVELDLHSYDLGIENRDATNDQVTKDA AEAIKKYNVGVKCATITPDEKRVEEFKLKQMWKSPNGTIRNILGGTVFREAIICKNIPRL VTGWVKPIIIGRHAYGDQYRATDFVVPGPGKVEITYTPKDGTQKVTYMVHDFEEGGGVAM GMYNQDKSIEDFAHSSFQMALSKGWPLYLSTKNTILKKYDGRFKDIFQEIYDKKYKSQFE AQKICYEHRLIDDMVAQAMKSEGGFIWACKNYDGDVQSDSVAQGYGSLGMMTSVLICPDG KTVEAEAAHGTVTRHYRMYQKGQETSTNPIASIFAWSRGLAHRAKLDNNTELSFFAKALE DVCIETIEAGFMTKDLAACIKGLPNVQRSDYLNTFEFMDKLGENLKAKLAQAKL >ENSMUSP00000044165.8 pep:known chromosome:GRCm38:6:51523901:51590679:1 gene:ENSMUSG00000038301.15 transcript:ENSMUST00000049152.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx10 description:sorting nexin 10 [Source:MGI Symbol;Acc:MGI:1919232] MFPEQQKEEFVSVWVRDPRIQKEDFWHSYIDYEICIHTNSMCFTMKTSCVRRRYREFVWL RQRLQSNALLVQLPELPSKNLFFNMNNRQHVDQRRQGLEDFLRKVLQNALLLSDSSLHLF LQSHLNSEDIEACVSGQTKYSVEEAIHKFALMNRRFPEEEEEGKKDADVEYDSESSSSGL GHSSDDSSSHGCKTSPALQES >ENSMUSP00000122639.1 pep:known chromosome:GRCm38:6:51524260:51580377:1 gene:ENSMUSG00000038301.15 transcript:ENSMUST00000140560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx10 description:sorting nexin 10 [Source:MGI Symbol;Acc:MGI:1919232] MFPEQQKEEFVSVWVRDPRIQKEDFWHSYIDYEICIHTNSMCFTMKTSCVRRRYREFVWL RQRLQSNALLVQLPELPSKNLFFNMNNRQHVDQRRQ >ENSMUSP00000117914.1 pep:known chromosome:GRCm38:6:51524285:51588436:1 gene:ENSMUSG00000038301.15 transcript:ENSMUST00000137212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx10 description:sorting nexin 10 [Source:MGI Symbol;Acc:MGI:1919232] MFPEQQKEEFVSVWVRDPRIQKEDFWHSYIDYEICIHTNSMCFTMKTSCVRRRYREFVWL RQRLQSNALLVQLPELPSKNLFFNMNNRQHVDQRRQGLEDFLRKVLQNALLLSDSSLHLF LQSHLNSEDIEACVSGQTKYSVEEAIHKFALMNRRFPEEEEEGKKDADVEYDSERYS >ENSMUSP00000110082.1 pep:known chromosome:GRCm38:6:51544524:51589072:1 gene:ENSMUSG00000038301.15 transcript:ENSMUST00000114439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx10 description:sorting nexin 10 [Source:MGI Symbol;Acc:MGI:1919232] MFPEQQKEEFVSVWVRDPRIQKEDFWHSYIDYEICIHTNSMCFTMKTSCVRRRYREFVWL RQRLQSNALLVQLPELPSKNLFFNMNNRQHVDQRRQGLEDFLRKVLQNALLLSDSSLHLF LQSHLNSEDIEACVSGQTKYSVEEAIHKFALMNRRFPEEEEEGKKDADVEYDSESSSSGL GHSSDDSSSHGCKTSPALQES >ENSMUSP00000138139.1 pep:known chromosome:GRCm38:6:51544566:51589043:1 gene:ENSMUSG00000038301.15 transcript:ENSMUST00000149024.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snx10 description:sorting nexin 10 [Source:MGI Symbol;Acc:MGI:1919232] MFPEQQKEEFVSVWVRDPRIQKEDFWHSYIDYEICIHTITRTSV >ENSMUSP00000136974.1 pep:known chromosome:GRCm38:6:51544523:51590670:1 gene:ENSMUSG00000038301.15 transcript:ENSMUST00000179365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx10 description:sorting nexin 10 [Source:MGI Symbol;Acc:MGI:1919232] MFPEQQKEEFVSVWVRDPRIQKEDFWHSYIDYEICIHTNSMCFTMKTSCVRRRYREFVWL RQRLQSNALLVQLPELPSKNLFFNMNNRQHVDQRRQGLEDFLRKVLQNALLLSDSSLHLF LQSHLNSEDIEACVSGQTKYSVEEAIHKFALMNRRFPEEEEEGKKDADVEYDSESSSSGL GHSSDDSSSHGCKTSPALQES >ENSMUSP00000135430.1 pep:known chromosome:GRCm38:4:119537004:119573617:1 gene:ENSMUSG00000032998.16 transcript:ENSMUST00000176012.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj3 description:forkhead box J3 [Source:MGI Symbol;Acc:MGI:2443432] MGLYGQACPSVTSLRMTSELESSLTSMDWLPQLTMRAAIQKSDATQNAHGTGISKKNALL DP >ENSMUSP00000035746.8 pep:known chromosome:GRCm38:4:119539661:119629119:1 gene:ENSMUSG00000032998.16 transcript:ENSMUST00000044564.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj3 description:forkhead box J3 [Source:MGI Symbol;Acc:MGI:2443432] MGLYGQACPSVTSLRMTSELESSLTSMDWLPQLTMRAAIQKSDATQNAHGTGISKKNALL DPNTTLDQEEVQQHKDGKPPYSYASLITFAINSSPKKKMTLSEIYQWICDNFPYYREAGS GWKNSIRHNLSLNKCFLKVPRSKDDPGKGSYWAIDTNPKEDTLPTRPKKRARSVERASTP YSIDSDSLGMECIISGSASPTLAINTVTNKVTLYNADQDGSDSPRSSLNNSLSDQSLASV NLNSVGSVHSYTPVTNHPEPVSQPLTPQQQQQPQYNLPEREKQLLFTEYNFEDLSASFRS LYKSVFEQSLSQQGLMSIPSESSQQSHTSCSYQHSPSSTVTSHPHSNQSSLPNNHSGLSA TGSNSVAQVSLSHPQMHPQPSPHTPHRPHGLPQHPQRPQHPAPHPQQHSQLQPPHSQHPP PHQHIQHHPNHQHQTLAHQPPPPPQQVSCNSGVSSDWYATLDMLKESCRIASSVNWSDVD LSQFQGLMESMRQADLKNWSLDQVQFADLCSSLNQFFTQTGLIHSQSNVPQNVCHGAMHP AKPSQHIGAGNLYIDSRQSLPPSVMPPPGYPHIPQALNTPGTTMAGHHGAMNQQHMMPSQ AFPMRRPLPPDDIQDDFDWDSIV >ENSMUSP00000123815.1 pep:known chromosome:GRCm38:4:119539685:119588538:1 gene:ENSMUSG00000032998.16 transcript:ENSMUST00000162267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj3 description:forkhead box J3 [Source:MGI Symbol;Acc:MGI:2443432] MGLYGQACPSVTSLRMTSELESSLTSMDWLPQLTMRAAIQKSDATQNAHGTGISKKNALL DPNTTLDQEEVQQHKDGKPPYSYASLITFAINSSPKKKMTLSEIYQWICDNFPYYREAGS GWKNSIRHNLSLNKCFLKVPRSKDDPGKVYLLAWS >ENSMUSP00000101917.2 pep:known chromosome:GRCm38:4:119539688:119629119:1 gene:ENSMUSG00000032998.16 transcript:ENSMUST00000106310.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj3 description:forkhead box J3 [Source:MGI Symbol;Acc:MGI:2443432] MGLYGQACPSVTSLRMTSELESSLTSMDWLPQLTMRAAIQKSDATQNAHGTGISKKNALL DPNTTLDQEEVQQHKDGKPPYSYASLITFAINSSPKKKMTLSEIYQWICDNFPYYREAGS GWKNSIRHNLSLNKCFLKVPRSKDDPGKGSYWAIDTNPKEDTLPTRPKKRARSVERVTLY NADQDGSDSPRSSLNNSLSDQSLASVNLNSVGSVHSYTPVTNHPEPVSQPLTPQQQQQPQ YNLPEREKQLLFTEYNFEDLSASFRSLYKSVFEQSLSQQGLMSIPSESSQQSHTSCSYQH SPSSTVTSHPHSNQSSLPNNHSGLSATGSNSVAQVSLSHPQMHPQPSPHTPHRPHGLPQH PQRPQHPAPHPQQHSQLQPPHSQHPPPHQHIQHHPNHQHQTLAHQPPPPPQQVSCNSGVS SDWYATLDMLKESCRIASSVNWSDVDLSQFQGLMESMRQADLKNWSLDQVQFADLCSSLN QFFTQTGLIHSQSNVPQNVCHGAMHPAKPSQHIGAGNLYIDSRQSLPPSVMPPPGYPHIP QALNTPGTTMAGHHGAMNQQHMMPSQAFPMRRPLPPDDIQDDFDWDSIV >ENSMUSP00000124806.1 pep:known chromosome:GRCm38:4:119539698:119588547:1 gene:ENSMUSG00000032998.16 transcript:ENSMUST00000138845.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj3 description:forkhead box J3 [Source:MGI Symbol;Acc:MGI:2443432] MGLYGQACPSVTSLRMTSELESSLTSMDWLPQLTMRAAIQKSDATQNAHGTGISKKNALL DPNTTLDQEEVQQHKDGKPPYSYASLITFAINSSPKKKMTLSEIYQWICDNFPYYREAGS GWKNSIRHNLSLNKCFLKVPRSKDDPGKVYLLAWS >ENSMUSP00000123826.1 pep:known chromosome:GRCm38:4:119539779:119573644:1 gene:ENSMUSG00000032998.16 transcript:ENSMUST00000137560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj3 description:forkhead box J3 [Source:MGI Symbol;Acc:MGI:2443432] MGLYGQACPSVTSLRMTSELESSLTSMDWLPQLTMRAAIQKSDATQNAHGTGISKKNALL DPNTTLDQEEV >ENSMUSP00000123923.1 pep:known chromosome:GRCm38:4:119540522:119573745:1 gene:ENSMUSG00000032998.16 transcript:ENSMUST00000160219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj3 description:forkhead box J3 [Source:MGI Symbol;Acc:MGI:2443432] MGLYGQACPSVTSLRMTSELESSLTSMDWLPQLTMRAAIQKSDATQNAHGTGISKKNALL DPNTTLDQEEVQQHKDGKPPYSYASLITFAINSSPKKKMTLSEI >ENSMUSP00000132905.2 pep:known chromosome:GRCm38:5:114289166:114363235:1 gene:ENSMUSG00000066952.11 transcript:ENSMUST00000169347.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1h description:myosin 1H [Source:MGI Symbol;Acc:MGI:1914674] MGSKKEEVRRKHIRLHMEGALTARDKVGVQDFVLLDAYTSESAFLENLRKRFRENLIYTY IGTLLVSVNPYQELGIYTASQMELYQGVNFFELPPHVYAIADNAYRMMCSELNNHFILIS GESGAGKTEASKKILQYFAVTCPMTESLQIARDRLLLSIPVLEAFGNAKTLRNDNSSRFG KYMDIQFDFQGVPVGGHIISYLIEKSRVVYQNHGERNFHIFYQLLAGGGSERLASLGLER DPQLYKYLSQGHCARESPISDKNDWETVCGAFSVIGFTEADLENLFGIIASVLHLGNVCF KGDKQGCASVPDTHEIKWIAKLLGVCPAVLLEALTHRKIEAKTEEVICPLTVELSVYARD AMAKAVYGRTFTWLVNRINSSLVNKDFTQKTVIGLLDIYGFEVFDKNGFEQFCINYCNEK LQQLLIERTLKAEQAEYESEGIEWETVQYFNNKIICDLVEERHRGIISILDEECIRPGPA TDLSFLEKLEEKVGKHAHFQTRKLAGPKGRKRIGWLEFCLLHYAGEVTYCTKGFLEKNND LLYRHLKEVLCSSKNSILRECFLVAELENRRRPPTVGTQFKNSLSSLLEILISKEPSYIR CIKPNERKEPSKFDDFLISHQIKYLGLMEHLRVRRAGFAYRRKYEHFLQRYKSLCPDTWP HWHGPPGEGVERLIKYIGYQPQDYKLGKTKIFIRFPRTLFATEDAFEFSKHQLVSRIQAT YKGCLGRREYMKKRQAATKLEAHWRGVLARKEIKRRRWAVQIIRRFVKGFINRDKPLCPD NEEFVVLVRKNYILNLRYHVPKNVLDKSWLRPPGILENASNLLRRMCTRNLVRKYCRGIS AERKAMMQQKVVTSEIFRGKKEGYAESLNQLFAGSRLDESNINPKVLQLLGSEKIQYGVP VIKYDRKGFKARQRQLLLTQRSAYLVELSKVKQKIEYAAVRGVSTSSLSDGILVIHISPA DKQQKGDVILQCEHIFEVATKLAMLIRKEHTVRVVQGSLQFYVSPGREGTIVFETGEEDQ VYKDKNGQLRVVSAGKKT >ENSMUSP00000118824.2 pep:known chromosome:GRCm38:5:114314941:114363014:1 gene:ENSMUSG00000066952.11 transcript:ENSMUST00000124316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1h description:myosin 1H [Source:MGI Symbol;Acc:MGI:1914674] MEGALTARDKVGVQDFVLLDAYTSESAFLENLRKRFRENLIYTYIGTLLVSVNPYQELGI YTASQMELYQGVNFFELPPHVYAIADNAYRMMCSELNNHFILISGESGAGKTEASKKILQ YFAVTCPMTESLQIARDRLLLSIPVLEAFGNAKTLRNDNSSRFGKYMDIQFDFQGVPVGG HIISYLIEKSRVVYQNHGERNFHIFYQLLAGGGSERLASLGLERDPQLYKYLSQGHCARE SPISDKNDWETVCGAFSVIGFTEADLENLFGIIASVLHLGNVCFKGDKQGCASVPDTHEI KWIAKLLGVCPAVLLEALTHRKIEAKTEEVICPLTVELSVYARDAMAKAVYGRTFTWLVN RINSSLVNKDFTQKTVIGLLDIYGFEVFDKNGFEQFCINYCNEKLQQLLIERTLKAEQAE YESEGIEWETVQYFNNKIICDLVEERHRGIISILDEECIRPGPATDLSFLEKLEEKVGKH AHFQTRKLAGPKGRKRIGWLEFCLLHYAGEVTYCTKGFLEKNNDLLYRHLKEVLCSSKNS ILRECFLVAELENRRRPPTVGTQFKNSLSSLLEILISKEPSYIRCIKPNERKEPSKFDDF LISHQIKYLGLMEHLRVRRAGFAYRRKYEHFLQRYKSLCPDTWPHWHGPPGEGVERLIKY IGYQPQDYKLGKTKIFIRFPRTLFATEDAFEFSKHQLVSRIQATYKGCLGRREYMKKRQA ATKLEAHWRGVLARKEIKRRRWAVQIIRRFVKGFINRDKPLCPDNEEFVVLVRKNYILNL RYHVPKNVLDKSWLRPPGILENASNLLRRMCTRNLVRKYCRGISAERKAMMQQKVVTSEI FRGKKEGYAESLNQLFAGSRLGVSTSSLSDGILVIHISPADKQQKGDVILQCEHIFEVAT KLAMLIRKEHTVRVVQGSLQFYVSPGREGTIVFETGEEDQVYKDKNGQLRVVSAGKKT >ENSMUSP00000144133.1 pep:known chromosome:GRCm38:5:114356863:114363320:1 gene:ENSMUSG00000066952.11 transcript:ENSMUST00000196467.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1h description:myosin 1H [Source:MGI Symbol;Acc:MGI:1914674] MCTRNLVRKYCRGISAERKAMMQQKVVTSEIFRGKKEGYAESLNQLFAGSRLDESNINPK VLQLLGSEKIQRSAYLVELSKVKQKIEYAAVRGVSTSSLSDGILVIHISPADKQQKGDVI LQCEHIFEVATKLAMLIRKEHTVRVVQGSLQFYVSPGREGTIVFETGEEDQVYKDKNGQL RVVSAGKKT >ENSMUSP00000144492.1 pep:known chromosome:GRCm38:5:114356863:114363320:1 gene:ENSMUSG00000066952.11 transcript:ENSMUST00000199567.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1h description:myosin 1H [Source:MGI Symbol;Acc:MGI:1914674] MCTRNLVRKYCRGISAERKAMMQQKVVTSEIFRGKKEGYAESLNQLFAGSRLDESNINPK VLQLLGSEKIQYGVPVIKYDRKGFKARQRQLLLTQRSAYLVELSKVKQKIEYAAVRGVST SSLSDGILVIHISPADKQQKLAATPPSPQGDVILQCEHIFEVATKLAMLIRKEHTVRVVQ GSLQFYVSPGREGTIVFETGEEDQVYKDKNGQLRVVSAGKKT >ENSMUSP00000144682.1 pep:known chromosome:GRCm38:5:114356863:114363320:1 gene:ENSMUSG00000066952.11 transcript:ENSMUST00000196676.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1h description:myosin 1H [Source:MGI Symbol;Acc:MGI:1914674] MCTRNLVRKYCRGISAERKAMMQQKVVTSEIFRGKKEGYAESLNQLFAGSRLDESNINPK VLQLLGSEKIQYGVPVIKYDRKGFKARQRQLLLTQRSAYLVELSKVKQKIEYAAVRGVST SSLSDGILVIHISPADKQQKGDVILQCEHIFEVATKLAMLIRKEHTVRVVQGSLQFYVSP GREGTIVFETGEEDQVYKDKNGQLRVVSAGKKT >ENSMUSP00000144110.1 pep:known chromosome:GRCm38:5:114314941:114364576:1 gene:ENSMUSG00000066952.11 transcript:ENSMUST00000202006.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1h description:myosin 1H [Source:MGI Symbol;Acc:MGI:1914674] MEGALTARDKVGVQDFVLLDAYTSESAFLENLRKRFRENLIYTYIGTLLVSVNPYQELGI YTASQMELYQGVNFFELPPHVYAIADNAYRMMCSELNNHFILISGESGAGKTEASKKILQ YFAVTCPMTESLQIARDRLLLSIPVLEAFGNAKTLRNDNSSRFGKYMDIQFDFQGVPVGG HIISYLIEKSRVVYQNHGERNFHIFYQLLAGGGSERLASLGLERDPQLYKYLSQGHCARE SPISDKNDWETVCGAFSVIGFTEADLENLFGIIASVLHLGNVCFKGDKQGCASVPDTHEI KWIAKLLGVCPAVLLEALTHRKIEAKTEEVICPLTVELSVYARDAMAKAVYGRTFTWLVN RINSSLVNKDFTQKTVIGLLDIYGFEVFDKNGFEQFCINYCNEKLQQLLIERTLKAEQAE YESEGIEWETVQYFNNKIICDLVEERHRGIISILDEECIRPGPATDLSFLEKLEEKVGKH AHFQTRKLAGPKGRKRIGWLEFCLLHYAGEVTYCTKGFLEKNNDLLYRHLKEVLCSSKNS ILRECFLVAELENRRRPPTVGTQFKNSLSSLLEILISKEPSYIRCIKPNERKEPSKFDDF LISHQIKYLGLMEHLRVRRAGFAYRRKYEHFLQRYKSLCPDTWPHWHGPPGEGVERLIKY IGYQPQDYKLGKTKIFIRFPRTLFATEDAFEFSKHQLVSRIQATYKGCLGRREYMKKRQA ATKLEAHWRGVLARKEIKRRRWAVQIIRRFVKGFINRDKPLCPDNEEFVVLVRKNYILNL RYHVPKNVLDKSWLRPPGILENASNLLRRMCTRNLVRKYCRGISAERKAMMQQKVVTSEI FRGKKEGYAESLNQLFAGSRLDESNINPKVLQLLGSEKIQYGVPVIKYDRKGFKARQRQL LLTQRSAYLVELSKVKQKIEYAAVRGVSTSSLSDGILVIHISPADKQQKGDVILQCEHIF EVATKLAMLIRKEHTVRVVQGSLQFYVSPGREGTIVFETGEEDQVYKDKNGQLRVVSAGK KT >ENSMUSP00000037574.5 pep:known chromosome:GRCm38:2:155634271:155692384:-1 gene:ENSMUSG00000038324.13 transcript:ENSMUST00000041059.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc4ap description:transient receptor potential cation channel, subfamily C, member 4 associated protein [Source:MGI Symbol;Acc:MGI:1930751] MAAAPAAAGAGASRGRRLAATAAAWGGWGGRPRPGNILLQLRQGQLTGRGLVRAVQFTET FLTERDKLSKWSGIPQLLLKLYATSHLHSDFVECQSILKEISPLLSMEAMAFVTEDRKFT QEATYPNTYIFDLFGGVDLLVEILMRPTISIRGQKLKISDEMSKDCLSILYNTCVCTEGV TKRLAEKNDFVIFLFTLMTSKKTFLQTATLIEDILGVKKEMIRLDEVPNLSSLVSNFDQQ QLANFCRILAVTISEMDTGNDDKHTLLAKNAQQKKSLSLGPSAAEINQAALLSIPGFVER LCKLATRKVSESTGTASFLQELEEWYTWLDNALVLDALMRVANEESEHNQAPTVFPSLGT SEEGGLPHTSARAQLPQSMKIMHEIMYKLEVLYVLCVLLMGRQRNQVHRMIAEFKLIPGL NNLFDKLIWRKHSASALVLHGHNQNCDCSPDITLKIQFLRLLQSFSDHHENKYLLLNNQE LNELSAISLKANIPEVEAVLNTDRSLVCDGKRGLLTRLLQVMKKEPAESSFRFWQARAVE SFLRGTTSYADQMFLLKRGLLEHILYCIVDSECKSRDVLQSYFDLLGELMKFNVDAFKRF NKYINTDAKFQVFLKQINSSLVDSNMLVRCVTLSLDRFENQVDMKVAEVLSECRLLAYIS QVPTQMSFLFRLINIIHVQTLTQENVSCLNTSLVILMLARRKERLPLYLRLLQRMEHSKK YPGFLLNNFHNLLRFWQQHYLHKDKDSTCLENSSCISFSYWKETVSILLNPDRQSPSALV SYIEEPYMDIDRDFTEE >ENSMUSP00000099429.4 pep:known chromosome:GRCm38:2:155634308:155692332:-1 gene:ENSMUSG00000038324.13 transcript:ENSMUST00000103140.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc4ap description:transient receptor potential cation channel, subfamily C, member 4 associated protein [Source:MGI Symbol;Acc:MGI:1930751] MAAAPAAAGAGASRGRRLAATAAAWGGWGGRPRPGNILLQLRQGQLTGRGLVRAVQFTET FLTERDKLSKWSGIPQLLLKLYATSHLHSDFVECQSILKEISPLLSMEAMAFVTEDRKFT QEATYPNTYIFDLFGGVDLLVEILMRPTISIRGQKLKISDEMSKDCLSILYNTCVCTEGV TKRLAEKNDFVIFLFTLMTSKKTFLQTATLIEDILGVKKEMIRLDEVPNLSSLVSNFDQQ QLANFCRILAVTISEMDTGNDDKHTLLAKNAQQKKSLSLGPSAAEINQAALLSIPGFVER LCKLATRKVSESTGTASFLQELEEWYTWLDNALVLDALMRVANEESEHNQGTSEEGGLPH TSARAQLPQSMKIMHEIMYKLEVLYVLCVLLMGRQRNQVHRMIAEFKLIPGLNNLFDKLI WRKHSASALVLHGHNQNCDCSPDITLKIQFLRLLQSFSDHHENKYLLLNNQELNELSAIS LKANIPEVEAVLNTDRSLVCDGKRGLLTRLLQVMKKEPAESSFRFWQARAVESFLRGTTS YADQMFLLKRGLLEHILYCIVDSECKSRDVLQSYFDLLGELMKFNVDAFKRFNKYINTDA KFQVFLKQINSSLVDSNMLVRCVTLSLDRFENQVDMKVAEVLSECRLLAYISQVPTQMSF LFRLINIIHVQTLTQENVSCLNTSLVILMLARRKERLPLYLRLLQRMEHSKKYPGFLLNN FHNLLRFWQQHYLHKDKDSTCLENSSCISFSYWKETVSILLNPDRQSPSALVSYIEEPYM DIDRDFTEE >ENSMUSP00000092621.3 pep:known chromosome:GRCm38:5:13396784:13600988:1 gene:ENSMUSG00000028883.17 transcript:ENSMUST00000095012.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3a description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3A [Source:MGI Symbol;Acc:MGI:107558] MGWFTGIACLFWGVLLTARANYANGKNNVPRLKLSYKEMLESNNVITFNGLANSSSYHTF LLDEERSRLYVGAKDHIFSFNLVNIKDFQKIVWPVSYTRRDECKWAGKDILKECANFIKV LEAYNQTHLYACGTGAFHPICTYIEVGHHPEDNIFKLQDSHFENGRGKSPYDPKLLTASL LIDGELYSGTAADFMGRDFAIFRTLGHHHPIRTEQHDSRWLNDPRFISAHLIPESDNPED DKVYFFFRENAIDGEHSGKATHARIGQICKNDFGGHRSLVNKWTTFLKARLICSVPGPNG IDTHFDELQDVFLMNSKDPKNPIVYGVFTTSSNIFKGSAVCMYSMSDVRRVFLGPYAHRD GPNYQWVPYQGRVPYPRPGTCPSKTFGGFDSTKDLPDDVITFARSHPAMYNPVFPINNRP IMIKTDVNYQFTQIVVDRVDAEDGQYDVMFIGTDVGTVLKVVSVPKETWHDLEEILLEEM TVFREPTTISAMELSTKQQQLYIGSTAGVAQLPLHRCDIYGKACAECCLARDPYCAWDGS SCSRYFPTAKRRTRRQDIRNGDPLTHCSDLQHHDNHHGPSLEERIIYGVENSSTFLECSP KSQRALVYWQFQRRNEDRKEEIRMGDHIIRTEQGLLLRSLQKKDSGNYLCHAVEHGFMQT LLKVTLEVIDTEHLEELLHKDDDGDGSKIKEMSSSMTPSQKVWYRDFMQLINHPNLNTMD EFCEQVWKRDRKQRRQRPGHSQGSSNKWKHMQESKKGRNRRTHEFERAPRSV >ENSMUSP00000121710.1 pep:known chromosome:GRCm38:5:13398688:13451207:1 gene:ENSMUSG00000028883.17 transcript:ENSMUST00000125629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3a description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3A [Source:MGI Symbol;Acc:MGI:107558] MGWFTGIACLFWGVLLTARANYANGKNNVPRLKLSYKEMLESNNVITFNGLANSSSYHTF LLDEERSRLYVG >ENSMUSP00000128153.1 pep:known chromosome:GRCm38:5:13398997:13599348:1 gene:ENSMUSG00000028883.17 transcript:ENSMUST00000137798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3a description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3A [Source:MGI Symbol;Acc:MGI:107558] MGWFTGIACLFWGVLLTARANYANGKNNVPRLKLSYKEMLESNNVITFNGLANSSSYHTF LLDEERSRLYVGAKDHIFSFNLVNIKDFQKIVWPVSYTRRDECKWAGKDILKECANFIKV LEAYNQTHLYACGTGAFHPICTYIEVGHHPEDNIFKLQDSHFENGRGKSPYDPKLLTASL LIDGELYSGTAADFMGRDFAIFRTLGHHHPIRTEQHDSRWLNDPRFISAHLIPESDNPED DKVYFFFRENAIDGEHSGKATHARIGQICKNDFGGHRSLVNKWTTFLKARLICSVPGPNG IDTHFDELQDVFLMNSKDPKNPIVYGVFTTSSNIFKGSAVCMYSMSDVRRVFLGPYAHRD GPNYQWVPYQGRVPYPRPGTCPSKTFGGFDSTKDLPDDVITFARSHPAMYNPVFPINNRP IMIKTDVNYQFTQIVVDRVDAEDGQYDVMFIGTDVGTVLKVVSVPKETWHDLEEILLEEM TVFREPTTISAMELSTKQQQLYIGSTAGVAQLPLHRCDIYGKACAECCLARDPYCAWDGS SCSRYFPTAKRRTRRQDIRNGDPLTHCSDLQHHDNHHGPSLEERIIYGVENSSTFLECSP KSQRALVYWQFQRRNEDRKEEIRMGDHIIRTEQGLLLRSLQKKDSGNYLCHAVEHGFMQT LLKVTLEVIDTEHLEELLHKDDDGDGSK >ENSMUSP00000030714.7 pep:known chromosome:GRCm38:5:13399309:13602565:1 gene:ENSMUSG00000028883.17 transcript:ENSMUST00000030714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3a description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3A [Source:MGI Symbol;Acc:MGI:107558] MGWFTGIACLFWGVLLTARANYANGKNNVPRLKLSYKEMLESNNVITFNGLANSSSYHTF LLDEERSRLYVGAKDHIFSFNLVNIKDFQKIVWPVSYTRRDECKWAGKDILKECANFIKV LEAYNQTHLYACGTGAFHPICTYIEVGHHPEDNIFKLQDSHFENGRGKSPYDPKLLTASL LIDGELYSGTAADFMGRDFAIFRTLGHHHPIRTEQHDSRWLNDPRFISAHLIPESDNPED DKVYFFFRENAIDGEHSGKATHARIGQICKNDFGGHRSLVNKWTTFLKARLICSVPGPNG IDTHFDELQDVFLMNSKDPKNPIVYGVFTTSSNIFKGSAVCMYSMSDVRRVFLGPYAHRD GPNYQWVPYQGRVPYPRPGTCPSKTFGGFDSTKDLPDDVITFARSHPAMYNPVFPINNRP IMIKTDVNYQFTQIVVDRVDAEDGQYDVMFIGTDVGTVLKVVSVPKETWHDLEEILLEEM TVFREPTTISAMELSTKQQQLYIGSTAGVAQLPLHRCDIYGKACAECCLARDPYCAWDGS SCSRYFPTAKRRTRRQDIRNGDPLTHCSDLQHHDNHHGPSLEERIIYGVENSSTFLECSP KSQRALVYWQFQRRNEDRKEEIRMGDHIIRTEQGLLLRSLQKKDSGNYLCHAVEHGFMQT LLKVTLEVIDTEHLEELLHKDDDGDGSKIKEMSSSMTPSQKVWYRDFMQLINHPNLNTMD EFCEQVWKRDRKQRRQRPGHSQGSSNKWKHMQESKKGRNRRTHEFERAPRSV >ENSMUSP00000122621.1 pep:known chromosome:GRCm38:5:13399324:13451177:1 gene:ENSMUSG00000028883.17 transcript:ENSMUST00000141968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3a description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3A [Source:MGI Symbol;Acc:MGI:107558] MGWFTGIACLFWGVLLTARANYANGKNNVPRLKLSYKEMLESNNVITFNGLANSSSYHTF LL >ENSMUSP00000042783.3 pep:known chromosome:GRCm38:7:89139714:89338787:-1 gene:ENSMUSG00000039428.11 transcript:ENSMUST00000041968.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem135 description:transmembrane protein 135 [Source:MGI Symbol;Acc:MGI:1920009] MAALSKSIPHNCYEIGHTWHPSCRVSFLQITWGALEESLRIYAPLYLIAAVLRKRKLEYY LYKLLPEILQSASFLTANGALYITFFCILRKILGKFYSWTPGFGAALPASYVAILIERKS RRGLLTIYMANLATETLFRMGVARGTITTLRNGEVLLFCITAAMYMFFFRCKDGLKGFTF SALRFIVGKEEIPTHSYSPETAYAKVEQKREKHKGTPRAMSIIALVRTLVDSVCKHGPRH RCCKHYEDNCISYCIKGFIRMFSVGYLIQCCLRIPSAFRHLFTEPSRLLSLFYNKENFQL GAFLGSFVSIYKGTSCFLRWIRNLDDELHAIVAGFLAGVSMMFYKSTTISMYLASKLVET MYFKGIEAGKVPYFPQADTIIYSISTAICFHAAVMEVQNLRPSYWKFLLRLTKGRFALMN RKALDVFGTGASREFHNFIPRLDPRYTVVTPELPIDFS >ENSMUSP00000114097.1 pep:known chromosome:GRCm38:7:89146073:89338760:-1 gene:ENSMUSG00000039428.11 transcript:ENSMUST00000117852.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem135 description:transmembrane protein 135 [Source:MGI Symbol;Acc:MGI:1920009] MAALSKSIPHNCYEIGHTWHPSCRVSFLQITWGALEESLRIYAPLYLIAAVLRKRKLEYY LYKLLPEILQSASFLTANGALYITFFCILRKILGKFYSWTPGFGAALPASYVAILIERKS RRGLLTIYMANLATETLFRMGVARGTITTLRNGEVLLFCITAAMYMFFFRCKDGLKGFTF SALRFIVGKEEIPTHSYSPETAYAKVEQKREKHKGTPRAMSIIALVRTLVDSVCKHGPRH RCCKHYEDNCISYCIKGFIRMFSVGYLIQCCLRIPSAFRHLFTEPSRLLSLFYNKENFQL GAFLGSFVSIYKGTSCFLRWIRNLDDELHAIVAGFLAGVSMMFYKSTTISMYLASKLVEK NTESTMPMSTR >ENSMUSP00000114866.1 pep:known chromosome:GRCm38:7:89305467:89338737:-1 gene:ENSMUSG00000039428.11 transcript:ENSMUST00000137723.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem135 description:transmembrane protein 135 [Source:MGI Symbol;Acc:MGI:1920009] MAALSKSIPHNCYEIGHTWHPSCRVSFLQITWGALEESLRIYAPLYLIAAVLRKRKLEYY LYKLLPEILQSASFLTANGALYITFFCILRSINICGRVWWHMALIAALKWLRS >ENSMUSP00000076282.1 pep:known chromosome:GRCm38:10:129350565:129351509:1 gene:ENSMUSG00000095075.1 transcript:ENSMUST00000077024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr782 description:olfactory receptor 782 [Source:MGI Symbol;Acc:MGI:3030616] MKNKSMELDFILLGLTDDPQLQIVVFLFLFLNYVMSLVGNLIIVLLTLLDPRLKTPMYFF LRNFSFLEIMFTTVCIPRFLTTIVTGDKTITYNNCAAQLFFILLLGVTEFYLLAAMSYDR YVAICRPLHYPIIMNSKVCHQLVLSSWVTGFLIIFPPLAMGLKLDFCDSRIIDHFMCETS PILQISCTDTHVLEMMSFVLAVVTLVVTLVLVSLSYSFIIKTIMSFPSAQQRTKAFSTCT SHMIVVSITYGSCIFMYTKPSARERVSVSKGVALLYTSIAPLLNPFIYTLRNQQVKEVFW DVLQKTLGFSKHKV >ENSMUSP00000029346.5 pep:known chromosome:GRCm38:3:68064802:68626464:1 gene:ENSMUSG00000027777.15 transcript:ENSMUST00000029346.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Schip1 description:schwannomin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1353557] MERSEQRVRAAWDCDPGKQADRDYREDGMDLGSDAGSSSSSRASSQSNSTKVTPCSECKS SSSPGGSLDLVSALEDYEEPFPVYQKKVIDEWAPEEDGEEEEEEDDRGYRDDGCPAREPG DVSARIGSSGSGSRSAATTMPSPMPNGNLHPHDPQDLRHNGNVVVAGRPNASRVPRRPIQ KTQPPGSRRGGRNRASGGLCLQPPDGGTRVPEEPPAPPMDWEALEKHLAGLQFREQEVRN QGQARTNSTSAQKNERESIRQKLALGSFFDDGPGIYTSCSKSGKPSLSARLQSGMNLQIC FVNDSGSDKDSDADDSKTETSLDTPLSPMSKQSSSYSDRDTTEEESESLDDMDFLTRQKK LQAEAKMALAMAKPMAKMQVEVEKQNRKKSPVADLLPHMPHISECLMKRSLKPTDLRDMT IGQLQVIVNDLHSQIESLNEELVQLLLIRDELHTEQDAMLVDIEDLTRHAESQQKHMAEK MPAK >ENSMUSP00000129152.2 pep:known chromosome:GRCm38:3:68064802:68626464:1 gene:ENSMUSG00000027777.15 transcript:ENSMUST00000169909.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Schip1 description:schwannomin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1353557] MERSEQRVRAAWDCDPGKQADRAQKNERESIRQKLALGSFFDDGPGIYTSCSKSGKPSLS ARLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTPLSPMSKQSSSYSDRDTTEEESES LDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQNRKKSPVADLLPHMPHISECLMK RSLKPTDLRDMTIGQLQVIVNDLHSQIESLNEELVQLLLIRDELHTEQDAMLVDIEDLTR HAESQQKHMAEKMPAK >ENSMUSP00000138245.1 pep:known chromosome:GRCm38:3:68468183:68626481:1 gene:ENSMUSG00000027777.15 transcript:ENSMUST00000182532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Schip1 description:schwannomin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1353557] MDLGSDAGSSSSSRASSQSNSTKVTPCSECKSSSSPGGSLDLVSALEDYEEPFPVYQKKV IDEWAPEEDGEEEEEEDDRGYRDDGCPAREPGDVSARIGSSGSGSRSAATTMPSPMPNGN LHPHDPQDLRHNGNVVVAGRPNASRVPRRPIQKTQPPGSRRGGRNRASGGLCLQPPDGGT RVPEEPPAPPMDWEALEKHLAGLQFREQEVRNQGQARTNSTSAQKNERESIRQKLALGSF FDDGPGIYTSCSKSGKPSLSARLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTPLSP MSKQSSSYSDRDTTEEESESLDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQNRK KSPVADLLPHMPHISECLMKRSLKPTDLRDMTIGQLQVIVNDLHSQIESLNEELVQLLLI RDELHTEQDAMLVDIEDLTRHAESQQKHMAEKMPAK >ENSMUSP00000142090.1 pep:known chromosome:GRCm38:3:68493491:68618659:1 gene:ENSMUSG00000027777.15 transcript:ENSMUST00000192555.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Schip1 description:schwannomin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1353557] MDLGSDAGSSSSSRASSQSNSTKVTPCSECKSSSSPGGSLDLVSALEDYEEPFPVYQKKV IDEWAPEEDGEEEEEEDDRGYRDDGCPAREPGDVSARIGSSGSGSRSAATTMPSPMPNGN LHPHDPQDLRHNGNVVVAGRPNASRVPRRPIQKTQPPGSRRGGRNRASGGLCLQPPDGGT RVPEEPPAPPMDWEALEKHLAGLQFREQEVRNQGQARTNSTSAQKNERESIRQKLALGSF FDDGPGIYTSCSKSGKPSLSARLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTPLSP MSKQSSSYSDRDTTEEESESLDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQNRK KSPVADLLPHMPHISECLMKRSLKPTDL >ENSMUSP00000138207.1 pep:known chromosome:GRCm38:3:68494208:68626463:1 gene:ENSMUSG00000027777.15 transcript:ENSMUST00000182719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Schip1 description:schwannomin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1353557] MDLGSDAGSSSSSRASSQSNSTKVTPCSECKSSSSPGGSLDLVSALEDYEEPFPVYQKKV IDEWAPEEDGEEEEEEDDRGYRDDGCPAREPGDVSARIGSSGSGSRSAATTMPSPMPNGN LHPHDPQDLRHNGNVVVAGRPNASRVPRRPIQKTQPPGSRRGGRNRASGGLCLQPPDGGT RVPEEPPAPPMDWEALEKHLAGLQFREQEVRNQGQARTNSTSAQKNERESIRQKLALGSF FDDGPGIYTSCSKSGKPSLSARLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTPLSP MSKQSSSYSDRDTTEEESESLDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQNRK KSPVADLLPHMPHISECLMKRSLKPTDLRDMTIGQLQVIVNDLHSQIESLNEELVQLLLI RDELHTEQDAMLVDIEDLTRHAESQQKHMAEKMPAK >ENSMUSP00000126443.1 pep:known chromosome:GRCm38:3:68572245:68626464:1 gene:ENSMUSG00000027777.15 transcript:ENSMUST00000170788.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Schip1 description:schwannomin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1353557] MVHQENCSYQAQKNERESIRQKLALGSFFDDGPGIYTSCSKSGKPSLSARLQSGMNLQIC FVNDSGSDKDSDADDSKTETSLDTPLSPMSKQSSSYSDRDTTEEESESLDDMDFLTRQKK LQAEAKMALAMAKPMAKMQVEVEKQNRKKSPVADLLPHMPHISECLMKRSLKPTDLRDMT IGQLQVIVNDLHSQIESLNEELVQLLLIRDELHTEQDAMLVDIEDLTRHAESQQKHMAEK MPAK >ENSMUSP00000138241.1 pep:known chromosome:GRCm38:3:68584179:68626464:1 gene:ENSMUSG00000027777.15 transcript:ENSMUST00000182997.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Schip1 description:schwannomin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1353557] MNLDRDGMDHDIISRESPLDLEGNYKKAQKNERESIRQKLALGSFFDDGPGIYTSCSKSG KPSLSARLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTPLSPMSKQSSSYSDRDTTE EESESLDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQNRKKSPVADLLPHMPHIS ECLMKRSLKPTDLRDMTIGQLQVIVNDLHSQIESLNEELVQLLLIRDELHTEQDAMLVDI EDLTRHAESQQKHMAEKMPAK >ENSMUSP00000030028.4 pep:known chromosome:GRCm38:4:48193323:48279558:-1 gene:ENSMUSG00000028343.10 transcript:ENSMUST00000030028.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erp44 description:endoplasmic reticulum protein 44 [Source:MGI Symbol;Acc:MGI:1923549] MNPAVFLSLADLRCSLLLLVTSIFTPITAEIASLDSENIDEILNNADVALVNFYADWCRF SQMLHPIFEEASDVIKEEYPDKNQVVFARVDCDQHSDIAQRYRISKYPTLKLFRNGMMMK REYRGQRSVKALADYIRQQKSNPVHEIQSLDEVTNLDRSKRNIIGYFEQKDSENYRVFER VASILHDDCAFLSAFGDLSKPERYNGDNVIYKPPGRSAPDMVYLGSMTNFDVTYNWIQDK CVPLVREITFENGEELTEEGLPFLILFHMKDDTESLEIFQNEVARQLISEKGTINFLHAD CDKFRHPLLHIQKTPADCPVIAIDSFRHMYVFGDFKDVLIPGKLKQFVFDLHSGKLHREF HHGPDPTDTAPGEQDQDVASSPPESSFQKLAPSEYRYTLLRDRDEL >ENSMUSP00000134067.2 pep:known chromosome:GRCm38:5:139543494:139548179:1 gene:ENSMUSG00000029546.12 transcript:ENSMUST00000172997.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uncx description:UNC homeobox [Source:MGI Symbol;Acc:MGI:108013] MMDGRLLEHPHAQFGGSLGGVVGFPYPLGHHHVYELAGHQLQSAAAAAAAASVPFSIDGL LSGSCAAAAASVVNPTPLLPAACGVAGESQPFKLADSGDPDKESPGCKRRRTRTNFTGWQ LEELEKAFNESHYPDVFMREALALRLDLVESRVQVWFQNRRAKWRKKENTKKGPGRPAHN SHPTTCSGEPMDPEEIARKELEKMEKKKRKHEKKLLKSQSRHLHSPGGLSLHSAPSSDSD SGGGGLSPEPPEPPPPTAAAKGPGAHGSGIAGSAPVPPGEPPAPGTCDPAFYPSQRSGAG SQPRLGRPADKDTVPCGPGAAATAGLPKASPFSVESLLSDSPPRRKATPANAAATAGLDF TPGLPCAPRTLIGKGHFLLYPITQPLGFLVPQAALKGGAGPELVPKDAPPAPPAPPAPPA QASFGTFPGPGGAADPAFARRSPEVVASPGPPAPASFRDLTAAAAESGAGDCADVGTVCP AASPPPPLETSPGPGPRAPSPPGEPATCGAAEPGAATGPSPPEGEEVDMD >ENSMUSP00000139081.2 pep:known chromosome:GRCm38:5:139543898:139545268:1 gene:ENSMUSG00000029546.12 transcript:ENSMUST00000174792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uncx description:UNC homeobox [Source:MGI Symbol;Acc:MGI:108013] MMDGRLLEHPHAQFGGSLGGVVGFPYPLGHHHVYELAGHQLQSAAAAAAAASVPFSIDGL LSGSCAAAAASVVNPTPLLPAACGVAGESQPFKLADSGDPDKESPGCKRRRTRTNFTGWQ LEELEKAFNESHYPDVFMREALALRLDLVESRVQVKIGVAQGVRDPALGVQSAVRQGHLC SKWRGGGFRLRAAGHGGDYEG >ENSMUSP00000029842.7 pep:known chromosome:GRCm38:3:145924262:145934356:1 gene:ENSMUSG00000028191.11 transcript:ENSMUST00000029842.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl10 description:B cell leukemia/lymphoma 10 [Source:MGI Symbol;Acc:MGI:1337994] MEAPAPSLTEEDLTEVKKDALENLRVYLCEKIIAERHFDHLRAKKILSREDTEEISCRTS SRKRAGKLLDYLQENPRGLDTLVESIRREKTQSFLIQKITDEVLKLRNIKLEHLKGLKCS SCEPFAAGATNNLSRCNSDESNLSEKQRASTVMYHPEGESSTAPFFSMASSLNLPVLEVG RTENSSFSSATLPRPGDPGAPPLPPDLRLEEGGSCGNSSEMFLPLRSRALSRQ >ENSMUSP00000146433.1 pep:known chromosome:GRCm38:8:3621548:3625606:1 gene:ENSMUSG00000087687.3 transcript:ENSMUST00000208950.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pet100 description:PET100 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3615306] MGVKLEVFRMSLYLTFPVVMFWISNQAEWFEDYVVQRKRELWPREKEGQRQELEEFKQKI RKQKEERLLQAAQQSS >ENSMUSP00000137626.1 pep:known chromosome:GRCm38:8:3621551:3624235:1 gene:ENSMUSG00000087687.3 transcript:ENSMUST00000156380.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pet100 description:PET100 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3615306] MGVKLEVFRMSLYLTFPVVMFWISNQAEWFEDYVVQRKRELWPREKEGQRQELEEFKQKI RKQKEERLLQAAQQSS >ENSMUSP00000146950.1 pep:known chromosome:GRCm38:8:3621597:3625848:1 gene:ENSMUSG00000087687.3 transcript:ENSMUST00000207428.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pet100 description:PET100 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3615306] LEVFRMSLYLTFPVVMFWISNQAEWFEDYVVQRKRELWPREKEGQRQELEEFKQKIRKQK EERLLQAAQQSS >ENSMUSP00000146942.1 pep:known chromosome:GRCm38:8:3621602:3623372:1 gene:ENSMUSG00000087687.3 transcript:ENSMUST00000207389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pet100 description:PET100 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:3615306] MSLYLTFPVVMFWISNQAEWFEDYVVQRKRELWPREKEGQRQELEEFKQKIRKQKEERLL QAAQQSS >ENSMUSP00000103782.2 pep:known chromosome:GRCm38:7:30633616:30635852:-1 gene:ENSMUSG00000006311.8 transcript:ENSMUST00000108147.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv2 description:ets variant 2 [Source:MGI Symbol;Acc:MGI:99253] MDLWNWDEASLQEVPPGDKLTGLGAEFGFYFPEVALQEDTPITPMNVEGCWKGFPELDWN PALPHEDVPFQAEPVAHPLPWSRDWTDLGCNTSDPWSCASQTPGPAPPGTSPSPFVGFEG ATGQNPATSAGGVPSWSHPPAAWSTTSWDCSVGPSGATYWDNGLGGEAHEDYKMSWGGSA GSDYTTTWNTGLQDCSIPFEGHQSPAFTTPSKSNKQSDRATLTRYSKTNHRGPIQLWQFL LELLHDGARSSCIRWTGNSREFQLCDPKEVARLWGERKRKPGMNYEKLSRGLRYYYRRDI VLKSGGRKYTYRFGGRVPVLAYQDDMGHLPGAEGQ >ENSMUSP00000072039.7 pep:known chromosome:GRCm38:1:132316126:132333293:1 gene:ENSMUSG00000009772.15 transcript:ENSMUST00000072177.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nuak2 description:NUAK family, SNF1-like kinase, 2 [Source:MGI Symbol;Acc:MGI:1921387] MESVALLQRPSQAPSASALASESARPLADGLIKSPKPLMKKQAVKRHHHKHNLRHRYEFL ETLGKGTYGKVKKARESSGRLVAIKSIRKDKIKDEQDLLHIRREIEIMSSLNHPHIIAIH EVGRSRLVTVFENSSKIVIVMEYASRGDLYDYISERPRLSERDARHFFRQIVSALHYCHQ NGIVHRDLKLENILLDANGNIKIADFGLSNLYHKGKFLQTFCGSPLYASPEIVNGKPYVG PEVDSWSLGVLLYILVHGTMPFDGQDHKTLVKQISNGAYREPPKPSDACGLIRWLLMVNP TRRATLEDVASHWWVNWGYTTGVGEQEALREGGHPSGDFGRASMADWLRRSSRPLLENGA KVCSFFKQHVPGGGSTVPGLERQHSLKKSRKENDMAQNLQGDPAEDTSSRPGKSSLKLPK GILKKKSSTSSGEVQEDPQELRPVPDTPGQPVPAVSLLPRKGILKKSRQRESGYYSSPEP SESGELLDASDVFVSGDPVEQKSPQASGLLLHRKGILKLNGKFSRTALEGTTPSTFGSLD QLASSHPAARPSRPSGAVSEDSILSSESFDQLDLPERLPETPLRGCVSVDNLRGLEQPPS EGLKRWWQESLGDSCFSLTDCQEVTAAYRQALGICSKLS >ENSMUSP00000080769.5 pep:known chromosome:GRCm38:1:132316126:132333488:1 gene:ENSMUSG00000009772.15 transcript:ENSMUST00000082125.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nuak2 description:NUAK family, SNF1-like kinase, 2 [Source:MGI Symbol;Acc:MGI:1921387] MESVALLQRPSQAPSASALASESARPLADGLIKSPKPLMKKQAVKRHHHKHNLRHRYEFL ETLGKGTYGKVKKARESSGRLVAIKSIRKDKIKDEQDLLHIRREIEIMSSLNHPHIIAIH EVFENSSKIVIVMEYASRGDLYDYISERPRLSERDARHFFRQIVSALHYCHQNGIVHRDL KLENILLDANGNIKIADFGLSNLYHKGKFLQTFCGSPLYASPEIVNGKPYVGPEVDSWSL GVLLYILVHGTMPFDGQDHKTLVKQISNGAYREPPKPSDACGLIRWLLMVNPTRRATLED VASHWWVNWGYTTGVGEQEALREGGHPSGDFGRASMADWLRRSSRPLLENGAKVCSFFKQ HVPGGGSTVPGLERQHSLKKSRKENDMAQNLQGDPAEDTSSRPGKSSLKLPKGILKKKSS TSSGEVQEDPQELRPVPDTPGQPVPAVSLLPRKGILKKSRQRESGYYSSPEPSESGELLD ASDVFVSGDPVEQKSPQASGLLLHRKGILKLNGKFSRTALEGTTPSTFGSLDQLASSHPA ARPSRPSGAVSEDSILSSESFDQLDLPERLPETPLRGCVSVDNLRGLEQPPSEGLKRWWQ ESLGDSCFSLTDCQEVTAAYRQALGICSKLS >ENSMUSP00000030803.1 pep:known chromosome:GRCm38:4:150997097:151001810:1 gene:ENSMUSG00000028963.1 transcript:ENSMUST00000030803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uts2 description:urotensin 2 [Source:MGI Symbol;Acc:MGI:1346329] MDRVPFCCLLFIGLLNPLLSLPVTDTGERTLQLPVLEEDALRALEELERMALLQTLRQTM GTEAGESPGEAGPSTETPTPRGSMRKAFAGQNSNTVLSRLLARTRKQHKQHGAAPECFWK YCI >ENSMUSP00000040309.5 pep:known chromosome:GRCm38:9:60712989:60738801:1 gene:ENSMUSG00000034839.5 transcript:ENSMUST00000038407.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp6 description:La ribonucleoprotein domain family, member 6 [Source:MGI Symbol;Acc:MGI:1914807] MAQLGEQTLPGPETTVQIRVAIQEAEDLEDLEEEDEGTSARAAGDPARYLSPGWGSASEE EPSRGHSSATTSGGENDREDLEPEWRPPDEELIRKLVDQIEFYFSDENLEKDAFLLKHVR RNKLGYVSVKLLTSFKKVKHLTRDWRTTAHALKYSVTLELNEDHRKVRRTTPVPLFPNEN LPSKMLLVYDLHLSPKLWALATPQKNGRVQEKVMEHLLKLFGTFGVISSVRILKPGRELP PDIRRISSRYSQVGTQECAIVEFEEVDAAIKAHEFMVTESQSKENMKAVLIGMKPPKKKP LKDKNHDDEATAGTHLSRSLNKRVEELQYMGDESSANSSSDPESNPTSPMAGRRHAASNK LSPSGHQNIFLSPNASPCSSPWSSPLAQRKGVSRKSPLAEEGRLNFSTSPEIFRKCMDYS SDSSITPSGSPWVRRRRQAEMGTQEKSPGASPLLSRRMQTADGLPVGVLRLPRGPDNTRG FHGGHERGRACV >ENSMUSP00000120761.1 pep:known chromosome:GRCm38:4:150914562:150934411:1 gene:ENSMUSG00000028965.13 transcript:ENSMUST00000135169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf9 description:tumor necrosis factor receptor superfamily, member 9 [Source:MGI Symbol;Acc:MGI:1101059] MGNNCYNVVVIVLLLVGCEKVGAVQNSCDNCQPGTFCRKYNPVCKSCPPSTFSSIGGQPN CNICRVCAGYFRFKKFCSSTHNAECECIEGFHCLGPQCTRCEKDCRPGQELTKQGCKTCS LGTFNDQNGTGVCRPWTNCSLDGRSVLKTGTTEKDVVCGPPVVSFSPSTTISVTPEGGP >ENSMUSP00000030808.3 pep:known chromosome:GRCm38:4:150920190:150946102:1 gene:ENSMUSG00000028965.13 transcript:ENSMUST00000030808.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf9 description:tumor necrosis factor receptor superfamily, member 9 [Source:MGI Symbol;Acc:MGI:1101059] MGNNCYNVVVIVLLLVGCEKVGAVQNSCDNCQPGTFCRKYNPVCKSCPPSTFSSIGGQPN CNICRVCAGYFRFKKFCSSTHNAECECIEGFHCLGPQCTRCEKDCRPGQELTKQGCKTCS LGTFNDQNGTGVCRPWTNCSLDGRSVLKTGTTEKDVVCGPPVVSFSPSTTISVTPEGGPG GHSLQVLTLFLALTSALLLALIFITLLFSVLKWIRKKFPHIFKQPFKKTTGAAQEEDACS CRCPQEEEGGGGGYEL >ENSMUSP00000101296.1 pep:known chromosome:GRCm38:4:150925306:150945232:1 gene:ENSMUSG00000028965.13 transcript:ENSMUST00000105671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf9 description:tumor necrosis factor receptor superfamily, member 9 [Source:MGI Symbol;Acc:MGI:1101059] MGNNCYNVVVIVLLLVGCEKVGAVQNSCDNCQPGTFCRKYNPVCKSCPPSTFSSIGGQPN CNICRVCAGYFRFKKFCSSTHNAECECIEGFHCLGPQCTRCEKDCRPGQELTKQGCKTCS LGTFNDQNGTGVCRPWTNCSLDGRSVLKTGTTEKDVVCGPPVVSFSPSTTISVTPEGGPG GHSLQVLTLFLALTSALLLALIFITLLFSVLKWIRKKFPHIFKQPFKKTTGAAQEEDACS CRCPQEEEGGGGGYEL >ENSMUSP00000117860.1 pep:known chromosome:GRCm38:4:150927918:150934402:1 gene:ENSMUSG00000028965.13 transcript:ENSMUST00000139826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf9 description:tumor necrosis factor receptor superfamily, member 9 [Source:MGI Symbol;Acc:MGI:1101059] MGNNCYNVVVIVLLLVGCEKVGAVQNSCDNCQPGTFCRKYNPVCKSCPPSTFSSIGGQPN CNICRVCAGYFRFKKFCSSTHNAECECIEGFHCLGPQCTRCEKDCRPGQELTKQGCKTCS LGTFNDQNGTGVCRPWTNCSLDGRSVLKTGTTEKDVVCGPPVVSFSPSTTISVTPE >ENSMUSP00000059684.4 pep:known chromosome:GRCm38:4:150929676:150945067:1 gene:ENSMUSG00000028965.13 transcript:ENSMUST00000060901.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf9 description:tumor necrosis factor receptor superfamily, member 9 [Source:MGI Symbol;Acc:MGI:1101059] MGNNCYNVVVIVLLLVGCEKVGAVQNSCDNCQPGTFCRKYNPVCKSCPPSTFSSIGGQPN CNICRVCAGYFRFKKFCSSTHNAECECIEGFHCLGPQCTRCEKDCRPGQELTKQGCKTCS LGTFNDQNGTGVCRPWTNCSLDGRSVLKTGTTEKDVVCGPPVVSFSPSTTISVTPEGGPA FKKTTGAAQEEDACSCRCPQEEEGGGGGYEL >ENSMUSP00000122917.1 pep:known chromosome:GRCm38:4:150929797:150935556:1 gene:ENSMUSG00000028965.13 transcript:ENSMUST00000126707.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf9 description:tumor necrosis factor receptor superfamily, member 9 [Source:MGI Symbol;Acc:MGI:1101059] MGNNCYNVVVIVLLLVGCEKVGAVQNSCDNCQPGTFCRKYNPVCKSCPPSTFSSIGGQPN CNICRVCAGYFRFKKFCSSTHNAECECIEGFHCLGPQCTRCEKDCRPGQELTKQGCKTCS LGTFNDQNGTGVCRPWTNCSLDGRSVLKTGTTEKDVVCGPPVVSFSPSTTISVTPEGGPG GHSLQVLTLFLALTSALLLALIFITLLFSVLKWIRKKFPHIFKQ >ENSMUSP00000101297.3 pep:known chromosome:GRCm38:4:150920155:150946102:1 gene:ENSMUSG00000028965.13 transcript:ENSMUST00000105672.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf9 description:tumor necrosis factor receptor superfamily, member 9 [Source:MGI Symbol;Acc:MGI:1101059] MGNNCYNVVVIVLLLVGCEKVGAVQNSCDNCQPGTFCRKYNPVCKSCPPSTFSSIGGQPN CNICRVCAGYFRFKKFCSSTHNAECECIEGFHCLGPQCTRCEKDCRPGQELTKQGCKTCS LGTFNDQNGTGVCRPWTNCSLDGRSVLKTGTTEKDVVCGPPVVSFSPSTTISVTPEGGPA FKKTTGAAQEEDACSCRCPQEEEGGGGGYEL >ENSMUSP00000111961.1 pep:known chromosome:GRCm38:4:150927999:150946102:1 gene:ENSMUSG00000028965.13 transcript:ENSMUST00000116257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf9 description:tumor necrosis factor receptor superfamily, member 9 [Source:MGI Symbol;Acc:MGI:1101059] MGNNCYNVVVIVLLLVGCEKVGAVQNSCDNCQPGTFCRKYNPVCKSCPPSTFSSIGGQPN CNICRVCAGYFRFKKFCSSTHNAECECIEGFHCLGPQCTRCEKDCRPGQELTKQGCKTCS LGTFNDQNGTGVCRPWTNCSLDGRSVLKTGTTEKDVVCGPPVVSFSPSTTISVTPEGGPG GHSLQVLTLFLALTSALLLALIFITLLFSVLKWIRKKFPHIFKQPFKKTTGAAQEEDACS CRCPQEEEGGGGGYEL >ENSMUSP00000109585.3 pep:known chromosome:GRCm38:2:27515157:27536535:1 gene:ENSMUSG00000026917.15 transcript:ENSMUST00000113952.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr5 description:WD repeat domain 5 [Source:MGI Symbol;Acc:MGI:2155884] MATEEKKPETEAARAQPTPSSSATQSKPTPVKPNYALKFTLAGHTKAVSSVKFSPNGEWL ASSSADKLIKIWGAYDGKFEKTISGHKLGISDVAWSSDSNLLVSASDDKTLKIWDVSSGK CLKTLKGHSNYVFCCNFNPQSNLIVSGSFDESVRIWDVKTGKCLKTLPAHSDPVSAVHFN RDGSLIVSSSYDGLCRIWDTASGQCLKTLIDDDNPPVSFVKFSPNGKYILAATLDNTLKL WDYSKGKCLKTYTGHKNEKYCIFANFSVTGGKWIVSGSEDNLVYIWNLQTKEIVQKLQGH TDVVISTACHPTENIIASAALENDKTIKLWKSDC >ENSMUSP00000121654.1 pep:known chromosome:GRCm38:2:27519761:27535043:1 gene:ENSMUSG00000026917.15 transcript:ENSMUST00000140396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr5 description:WD repeat domain 5 [Source:MGI Symbol;Acc:MGI:2155884] XIWGAYDGKFEKTISGHKLGISDVAWSSDSNLLVSASDDKTLKIWDVSSGKCLKTLKGHS NYVFCCNFNPQSNLIVSGSFDESVRIWDVKTGKCLKTLPAHSDPVSAVHFNRDGSLIVSS SYDGLCRIWDTASGQCLKTLIVFVTSHEKRSPLRTPCDDDNPPVSFVKFSPNGKYILAAT LDNTLKLWDYSKGKCLKTYTGHKNEKYCIFANFSVTGGKWIVSGSEDNLVYIWNLQTKEI VQKLQGHTDVVISTACHPTENIIASAALENDKTIKLWKSDC >ENSMUSP00000022998.7 pep:known chromosome:GRCm38:15:55557408:55626423:1 gene:ENSMUSG00000022369.13 transcript:ENSMUST00000022998.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtbp description:Mdm2, transformed 3T3 cell double minute p53 binding protein [Source:MGI Symbol;Acc:MGI:2146005] MDRYLLLVTWREGKFRSVAGGEIEPGTEATSLESTDKQPDLTATNIYHLLKRSISDSIHP DDSTFPACSVGGTPHSRKWFFAVQAICGFYQFCSSDWQEIHFDAEKDKIEDVLQANIEEC QSAVECFEEDDSNSRESLPLADLYEESAENLHQLSDKLPAPGRAMIDIILLPSDKDPPKL KECLPIVGALKHLKEWHSAKVIIAGSYCEINCQKIAEYLSASVVPLEEFRNAIDPRELWR GEIQMRERKFGFEISFPEFCLKGVTPTNVSAYNLNTCFLAKKIASSKVFHYYGPALEFVQ MIKLSDLPSCYMSDIEFELEVTGHCTRQNSMLLLEQISSLCGKVGALFVLPCTVSNVLIP PPSQLASRKWKEYMAKKPKTISVPDVAVKGEFSGYHLLLQGMGKRKCRATLLHSASQING SFALSVIHGKMKTKAGEARPSFPFDFSSLPRFSEEQVLQREKQLASFQVLALKECLKRRK AANQPEAFSADELKSLLALTRERFLGHFDVLPTEAALAQTDTVKAAGVVNDDGTVEPYSS SLMETNPLEWPERHVLQNLETSEKAKQKMRTGSLPRSSEQLLGHKEGPRDSLTLLDAKEL LKYFTSDGLPVGDLQPLHIQRGEKPFVLTPELSPGKLQVLPFEKASECHYHGIEYCLDDQ KALERDGGFSELQSRLIRYETQTTCTRDSFPVPTVLSPLPSPAVLSEPQSVPEGEALQGE LRTEVSGLKRRSKDPSCLYPQKRLTRSESSDCLPSQASCNSNHHHHTGKPRKPQAERCVS GLPLPGREASKDTSKTSSGQKRAHESKSSKQMKESRSQKHTRMLKEVVKDTLKRHHITEA HESFTACSQRLFDISKFYLKDLKTSRGLFEEMKKTANNNVVQVIEWVVEKMSKK >ENSMUSP00000129396.1 pep:known chromosome:GRCm38:15:55557595:55610813:1 gene:ENSMUSG00000022369.13 transcript:ENSMUST00000170046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtbp description:Mdm2, transformed 3T3 cell double minute p53 binding protein [Source:MGI Symbol;Acc:MGI:2146005] MDRYLLLVTWREGKFRSVAGGEIEPGTEATSLESTDKQPDLTATNIYHLLKRSISDSIHP DDSTFPACSVGGTPHSRKWFFAVQAICGFYQFCSSDWQEIHFDAEKDKIEDVLQANIEEC QSAVECFEEDDSNSRESLPLADLYEESAENLHQLSDKLPAPGRAMIDIILLPSDKDPPKL KECLPIVGALKHLKEWHSAKVIIAGSYCEINCQKIAEYLSASVVPLEEFRNAIDPRELWR GEIQMRERKFGFEISFPEFCLKGVTPTNVSAYNLNTCFLAKKIASSKVFHYYGPALEFVQ MIKLSDLPSCYMSDIEFELEVTGHCTRQNSMLLLEQISSLCGKVGALFVLPCTVSNVLIP PPSQLASRKWKEYMAKKPKTISVPDVAVKGEFSGYHLLLQGMGKRKCRATLLHSASQING SFALSVIHGKMKTKAGEARPSFPFDFSSLPRFSEEQVLQREKQLASFQVLALKECLKRRK AANQPEAFSADELKSLLALTRERFLGHFDVLPTEAALAQTDTVKAAGVVNDDGTVEPYSS SLMETNPLEWPERHVLQNLETSEKAKQKMRTGSLPRSSEQLLGHKEGPRDSLTLLDAKEL LKYFTSDGLPVGDLQPLHIQRGIHQLVHV >ENSMUSP00000131887.1 pep:known chromosome:GRCm38:15:55557735:55569077:1 gene:ENSMUSG00000022369.13 transcript:ENSMUST00000169517.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtbp description:Mdm2, transformed 3T3 cell double minute p53 binding protein [Source:MGI Symbol;Acc:MGI:2146005] XDLTATNIYHLLKRSISDSIHPDDSTFPVL >ENSMUSP00000128615.1 pep:known chromosome:GRCm38:15:55576780:55626422:1 gene:ENSMUSG00000022369.13 transcript:ENSMUST00000169667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtbp description:Mdm2, transformed 3T3 cell double minute p53 binding protein [Source:MGI Symbol;Acc:MGI:2146005] MAKKPKTISVPDVAVKGEFSGYHLLLQGMGKRKCRATLLHSASQINGSFALSVIHGKMKT KAGEARPSFPFDFSSLPRFSEEQVLQREKQLASFQVLALKECLKRRKAANQPEAFSADEL KSLLALTRERFLGHFDVLPTEAALAQTDTVKAAGVVNDDGTVEPYSSSLMETNPLEWPER HVLQNLETSEKAKQKMRTGSLPRSSEQLLGHKEGPRDSLTLLDAKELLKYFTSDGLPVGD LQPLHIQRGEKPFVLTPELSPGKLQVLPFEKASECHYHGIEYCLDDQKALERDGGFSELQ SRLIRYETQTTCTRDSFPVPTVLSPLPSPAVLSEPQSVPEGEALQGELRTEVSGLKRRSK DPSCLYPQKRLTRSESSDCLPSQASCNSNHHHHTGKPRKPQAERCVSGLPLPGREASKDT SKTSSGQKRAHESKSSKQMKESRSQKHTRMLKEVVKDTLKRHHITEAHESFTACSQRLFD ISKFYLKDLKTSRGLFEEMKKTANNNVVQVIEWVVEKMSKK >ENSMUSP00000039583.4 pep:known chromosome:GRCm38:3:86986586:86989533:1 gene:ENSMUSG00000041750.13 transcript:ENSMUST00000041920.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd1d2 description:CD1d2 antigen [Source:MGI Symbol;Acc:MGI:107675] MRYLPCLLLWAFLQVWGQSEVQQKNYTFRCLQTSSFANISWSRTDSLILLGDLQTHRWSN DSAIISFTKPWSQGKLSNQQWEKLQHMFQVYRVSFTRDIQELVKMMSPKEDYPIEIQLST GCEMYPGNASESFFHVAFQGKYAVRFRGTSWQRVLGAPSWLDLPIKVLNADQGTSATVQT LLNDTWPQFARGLLEAGKSDLEKQEKPVAWLSSVPSSAHGHLQLVCHVSGYPKPVWVMWM RGDQEQQGTHRGDFLPNADETWYLQATLDVEAGEEAGLACRVKHSSLGGQDIILYWDARQ APVGLIVFIVLIMLVVVGAVVYYIWRRRSAYQDIR >ENSMUSP00000042367.7 pep:known chromosome:GRCm38:3:55112108:55137322:1 gene:ENSMUSG00000036580.15 transcript:ENSMUST00000044116.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg20 description:spastic paraplegia 20, spartin (Troyer syndrome) homolog (human) [Source:MGI Symbol;Acc:MGI:2139806] MEREPENGEPAEIKIIKEAYEKAFMFVNKGLNTDELGQKEEAKNYYKQGIGHLLRGISIA AAEPGHTGPAWEAARQMQQKMKETLQNVRTRLEILEKGLATSLRNDLQDVPKLYPEFPPK DACKKSPEQESVSTAPQRAEVDGSASAACAGPSGAPSALPVPSPSCPAEAPPAYSPQAAE GHYTVSYGTDSGEFSSVGEDFYRNRSQPPPLETLGLDADELILIPNGVQIFFVNPAGEVS APSYPGYLRIVRFLDNSLDTVLNRPPGFLQVCDWLYPLVPDRSPVLKCTVGAYMFPDTML QAAGCFVGVVLSSELPEDDRELFEDLLRQMSDLRLQANWNREEDEFQIPGRSSHPSEPPK EASGTDVRQSSSSGSSIDQGSKDARHKGKRGKKTKDSSEEVNLSQIVPCEPSSEEKSKEL PEWSEKVAHNILSGASWVSWGLVKGAEFTGKAIQKGASKLRERIQPEEKPVEVSPAVTRG LYIAKQATGGAAKVSQLLVDGVCTVANCVGKELAPHVKKHGSKLVPESLKRDKDGKSALD GAMVVAASSVQGFSTVWQGLECAAKCIVNNVSAETVQTVRYKYGHNAGEATHNAVDSAIN VGLTAYNIDNIGIKAMVKKTAKQTGHTLLEDYQIVERPQRESQGGATSTEGRRDIGKQVE EEKPGAGKKDK >ENSMUSP00000103605.2 pep:known chromosome:GRCm38:3:55112129:55137311:1 gene:ENSMUSG00000036580.15 transcript:ENSMUST00000107971.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg20 description:spastic paraplegia 20, spartin (Troyer syndrome) homolog (human) [Source:MGI Symbol;Acc:MGI:2139806] MEREPENGEPAEIKIIKEAYEKAFMFVNKGLNTDELGQKEEAKNYYKQGIGHLLRGISIA AAEPGHTGPAWEAARQMQQKMKETLQNVRTRLEILEKGLATSLRNDLQDVPKLYPEFPPK DACKKSPEQESVSTAPQRAEVDGSASAACAGPSGAPSALPVPSPSCPAEAPPAYSPQAAE GHYTVSYGTDSGEFSSVGEDFYRNRSQPPPLETLGLDADELILIPNGVQIFFVNPAGEVS APSYPGYLRIVRFLDNSLDTVLNRPPGFLQVCDWLYPLVPDRSPVLKCTVGAYMFPDTML QAAGCFVGVVLSSELPEDDRELFEDLLRQMSDLRLQTKDSSEEVNLSQIVPCEPSSEEKS KELPEWSEKVAHNILSGASWVSWGLVKGAEFTGKAIQKGASKLRERIQPEEKPVEVSPAV TRGLYIAKQATGGAAKVSQLLVDGVCTVANCVGKELAPHVKKHGSKLVPESLKRDKDGKS ALDGAMVVAASSVQGFSTVWQGLECAAKCIVNNVSAETVQTVRYKYGHNAGEATHNAVDS AINVGLTAYNIDNIGIKAMVKKTAKQTGHTLLEDYQIVERPQRESQGGATSTEGRRDIGK QVEEEKPGAGKKDK >ENSMUSP00000113621.1 pep:known chromosome:GRCm38:3:55112166:55137317:1 gene:ENSMUSG00000036580.15 transcript:ENSMUST00000118118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg20 description:spastic paraplegia 20, spartin (Troyer syndrome) homolog (human) [Source:MGI Symbol;Acc:MGI:2139806] MEREPENGEPAEIKIIKEAYEKAFMFVNKGLNTDELGQKEEAKNYYKQGIGHLLRGISIA AAEPGHTGPAWEAARQMQQKMKETLQNVRTRLEILEKGLATSLRNDLQDVPKLYPEFPPK DACKKSPEQESVSTAPQRAEVDGSASAACAGPSGAPSALPVPSPSCPAEAPPAYSPQAAE GHYTVSYGTDSGEFSSVGEDFYRNRSQPPPLETLGLDADELILIPNGVQIFFVNPAGEVS APSYPGYLRIVRFLDNSLDTVLNRPPGFLQVCDWLYPLVPDRSPVLKCTVGAYMFPDTML QAAGCFVGVVLSSELPEDDRELFEDLLRQMSDLRLQANWNREEDEFQIPGRSSHPSEPPK EASGTDVRQSSSSGSSIDQGSKDARHKGKRGKKTKDSSEEVNLSQIVPCEPSSEEKSKEL PEWSEKVAHNILSGASWVSWGLVKGAEFTGKAIQKGASKLRERIQPEEKPVEVSPAVTRG LYIAKQATGGAAKVSQLLVDGVCTVANCVGKELAPHVKKHGSKLVPESLKRDKDGKSALD GAMVVAASSVQGFSTVWQGLECAAKCIVNNVSAETVQTVRYKYGHNAGEATHNAVDSAIN VGLTAYNIDNIGIKAMVKKTAKQTGHTLLEDYQIVERPQRESQGGATSTEGRRDIGKQVE EEKPGAGKKDK >ENSMUSP00000113968.1 pep:known chromosome:GRCm38:3:55112387:55129694:1 gene:ENSMUSG00000036580.15 transcript:ENSMUST00000117341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg20 description:spastic paraplegia 20, spartin (Troyer syndrome) homolog (human) [Source:MGI Symbol;Acc:MGI:2139806] MEREPENGEPAEIKIIKEAYEKAFMFVNKGLNTDELGQKEEAKNYYKQGIGHLLRGISIA AAEPGHTGPAWEAARQMQQKMKETLQNVRTRLEILEKGLATSLRNDLQDVPKLYPEFPPK DACKKSPEQESVSTAPQRAEVDGSASAACAGPSGAPSALPVPSPSCPAEAPPAYSPQAAE GHYTVSYGTDSGEFSSVGEDFYRNRSQPPPLETLGLDADELILIPNGVQIFFVNPAGEVS APSYPGYLRIVRFLDNSLDTVLNRPPGFLQVCDWLYPLVPDRSPVLKCTVGAYMFPDTML QAAGCFVGVVLSSELPEDDRELFEDLLRQMSDLRLQANWNREEDEFQIPGRSSHPSEPPK EASGTDVRQSSSSGSSIDQGSKDARHKGKRGKKTKDSSEEVNLSQIVPCEPSSEEKSKEL PEWSEKVAHNILSGASWVSWGLVKGAEFTGKAIQKGASKLRERIQPEEKPVEVSPAVTRG LYIAKQATGGAAKVSQLLVDGVCTVANCVGKELAPHVKKHGSKLVPESLKRDKDGKSALD GAMVVAASSVQGFSTVWQGLECAAKCIVNNVSAETVQTVRYK >ENSMUSP00000119719.1 pep:known chromosome:GRCm38:3:55112596:55117261:1 gene:ENSMUSG00000036580.15 transcript:ENSMUST00000149767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg20 description:spastic paraplegia 20, spartin (Troyer syndrome) homolog (human) [Source:MGI Symbol;Acc:MGI:2139806] MEREPENGEPAEIKIIKEAYEKAFMFVNKGLNTDELGQKEEAKNYYKQGIGHLLRGISIA AAEPGHTGPAWEAARQMQQKMKETLQNVRTRL >ENSMUSP00000121683.1 pep:known chromosome:GRCm38:3:55116177:55117333:1 gene:ENSMUSG00000036580.15 transcript:ENSMUST00000146109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg20 description:spastic paraplegia 20, spartin (Troyer syndrome) homolog (human) [Source:MGI Symbol;Acc:MGI:2139806] MEREPENGEPAEIKIIKEAYEKAFMFVNKGLNTDELGQKEEAKNYYKQGIGHLLRGISIA AAEPGHTGPAWEAARQMQQKMKETLQNVRTRLEILEKGLATSLRNDLQDVPKLYPE >ENSMUSP00000125422.1 pep:known chromosome:GRCm38:19:8837729:8848667:1 gene:ENSMUSG00000071657.12 transcript:ENSMUST00000159634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bscl2 description:Berardinelli-Seip congenital lipodystrophy 2 (seipin) [Source:MGI Symbol;Acc:MGI:1298392] MVNDPPVPALLWAQEVGHVLAGRARRLMLQFGVLFCTILLLLWVSVFLYGSFYYSYMPTV SHLSPVHFHYRTDCDSSTASLCSFPVANVSLAKSGRDRVLMYGQPYRVTLELELPESPVN QDLGMFLVTVSCYTRGGRIISTSSRSVMLHYRSQLLQVLDTLLFSSLLLFGFAEQKQLLE VELYSDYRENSYVPTTGAIIEIHSKRIQMYGAYLRIHAHFTGLRYLLYNFPMTCAFVGVA SNFTFLSVIVLFSYMQWVWGAVWPRHRFSLQVNIRQRDNSHHGAPRRISRHQPGQESTQQ SDVTEDGESPEDPSGTEGQLSEEEKPEKRPLNGEEEQEPEASDGSWEDAALLTEANPPTS ASASALAPETLGSLRQRPTCSSS >ENSMUSP00000123976.1 pep:known chromosome:GRCm38:19:8839328:8848666:1 gene:ENSMUSG00000071657.12 transcript:ENSMUST00000160556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bscl2 description:Berardinelli-Seip congenital lipodystrophy 2 (seipin) [Source:MGI Symbol;Acc:MGI:1298392] MVNDPPVPALLWAQEVGHVLAGRARRLMLQFGVLFCTILLLLWVSVFLYGSFYYSYMPTV SHLSPVHFHYRTDCDSSTASLCSFPVANVSLAKSGRDRVLMYGQPYRVTLELELPESPVN QDLGMFLVTVSCYTRGGRIISTSSRSVMLHYRSQLLQVLDTLLFSSLLLFGFAEQKQLLE VELYSDYRENSYVPTTGAIIEIHSKRIQMYGAYLRIHAHFTGLRYLLYNFPMTCAFVGVA SNFTFLSVIVLFSYMQWVWGAVWPRHRFSLQVNIRQRDNSHHGAPRRISRHQPGQESTQQ SDVTEDGESPEDPSGTEGQLSEEEKPEKRPLNGEEEQEPEASDGSWEDAALLTEANPPTS ASASALAPETLGSLRQRPTCSSS >ENSMUSP00000125250.1 pep:known chromosome:GRCm38:19:8839390:8848638:1 gene:ENSMUSG00000071657.12 transcript:ENSMUST00000160897.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bscl2 description:Berardinelli-Seip congenital lipodystrophy 2 (seipin) [Source:MGI Symbol;Acc:MGI:1298392] MIHQRREAGARETCRDQIKGSDKDEEPSAALSHGQGYRPCGRPARNSKPEAGARPPAVPI MVNDPPVPALLWAQEVGHVLAGRARRLMLQFGVLFCTILLLLWVSVFLYGSFYYSYMPTV SHLSPVHFHYRTDCDSSTASLCSFPVANVSLAKSGRDRVLMYGQPYRVTLELELPESPVN QDLGMFLVTVSCYTRGGRIISTSSRSPPAVWLR >ENSMUSP00000083224.6 pep:known chromosome:GRCm38:19:8840519:8848666:1 gene:ENSMUSG00000071657.12 transcript:ENSMUST00000086058.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bscl2 description:Berardinelli-Seip congenital lipodystrophy 2 (seipin) [Source:MGI Symbol;Acc:MGI:1298392] MVNDPPVPALLWAQEVGHVLAGRARRLMLQFGVLFCTILLLLWVSVFLYGSFYYSYMPTV SHLSPVHFHYRTDCDSSTASLCSFPVANVSLAKSGRDRVLMYGQPYRVTLELELPESPVN QDLGMFLVTVSCYTRGGRIISTSSRSVMLHYRSQLLQVLDTLLFSSLLLFGFAEQKQLLE VELYSDYRENSYVPTTGAIIEIHSKRIQMYGAYLRIHAHFTGLRYLLYNFPMTCAFVGVA SNFTFLSVIVLFSYMQWVWGAVWPRHRFSLQVNIRQRDNSHHGAPRRISRHQPGQESTQQ SDVTEDGESPEDPSGTEGQLSEEEKPEKRPLNGEEEQEPEASDGSWEDAALLTEANPPTS ASASALAPETLGSLRQRPTCSSS >ENSMUSP00000123920.1 pep:known chromosome:GRCm38:19:8846190:8848623:1 gene:ENSMUSG00000071657.12 transcript:ENSMUST00000159653.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bscl2 description:Berardinelli-Seip congenital lipodystrophy 2 (seipin) [Source:MGI Symbol;Acc:MGI:1298392] VMLHYRSQLLQVLDTLLFSSLLLFGFAEQKQLLEVELYSDYRENSYVPTTGAIIEIHSKR IQMYGAYLRIHAHFTGLRYLLYNFPMTCAFVGVASNFTFLSVIVLFSYMQWVWGAVWPRH RFSLQVRNLPSSRM >ENSMUSP00000127685.1 pep:known chromosome:GRCm38:19:8837467:8848683:1 gene:ENSMUSG00000071657.12 transcript:ENSMUST00000171649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bscl2 description:Berardinelli-Seip congenital lipodystrophy 2 (seipin) [Source:MGI Symbol;Acc:MGI:1298392] MIHQRREAGARETCRDQIKGSDKDEEPSAALSHGQGYRPCGRPARNSKPEAGARPPAVPI MVNDPPVPALLWAQEVGHVLAGRARRLMLQFGVLFCTILLLLWVSVFLYGSFYYSYMPTV SHLSPVHFHYRTDCDSSTASLCSFPVANVSLAKSGRDRVLMYGQPYRVTLELELPESPVN QDLGMFLVTVSCYTRGGRIISTSSRSVMLHYRSQLLQVLDTLLFSSLLLFGFAEQKQLLE VELYSDYRENSYVPTTGAIIEIHSKRIQMYGAYLRIHAHFTGLRYLLYNFPMTCAFVGVA SNFTFLSVIVLFSYMQWVWGAVWPRHRFSLQVNIRQRDNSHHGAPRRISRHQPGQESTQQ SDVTEDGESPEDPSGTEGQLSEEEKPEKRPLNGEEEQEPEASDGSWEDAALLTEANPPTS ASASALAPETLGSLRQRPTCSSS >ENSMUSP00000118285.1 pep:known chromosome:GRCm38:10:81204508:81230785:-1 gene:ENSMUSG00000034958.11 transcript:ENSMUST00000146030.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atcay description:ataxia, cerebellar, Cayman type [Source:MGI Symbol;Acc:MGI:2448730] MGTTEATLRMENVDVRDEWQDEDLPSPWRLLQTAPRRHRGGAAGWRSGRLLLTSLHPELE RSTSKEKDAGGSRDQHLPGPKRGLSAVRRLPRHT >ENSMUSP00000036721.4 pep:known chromosome:GRCm38:10:81204508:81230833:-1 gene:ENSMUSG00000034958.11 transcript:ENSMUST00000047408.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atcay description:ataxia, cerebellar, Cayman type [Source:MGI Symbol;Acc:MGI:2448730] MGTTEATLRMENVDVRDEWQDEDLPRPLPEDTGVERLGGAVEDSSSPPSTLNLSGAHRKR KTLVAPEINISLDQSEGSLLSDDFLDTPDDLDINVDDIETPDETDSLEFLGNGNELEWED DTPVATAKNMPGDSADLFGDGSAEDGSAANGRLWRTVIIGEQEHRIDLHMIRPYMKVVTH GGYYGEGLNAIIVFAACFLPDSSSPDYHYIMENLFLYVISSLELLVAEDYMIVYLNGATP RRRMPGIGWLKKCYHMIDRRLRKNLKSLIIVHPSWFIRTVLAISRPFISVKFISKIQYVH SLEELERLIPMEHVQLPDCVLQYEEQRLRAKRESTRPPQPEFLLPRSEEKPETVEEEDRA AEATEDQETSMS >ENSMUSP00000106017.3 pep:known chromosome:GRCm38:2:127103809:127133954:-1 gene:ENSMUSG00000034906.15 transcript:ENSMUST00000110387.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncaph description:non-SMC condensin I complex, subunit H [Source:MGI Symbol;Acc:MGI:2444777] MRIPRSETMNSSFLKARGQQDVLSSPLERVPPASRPGKAPLGTPKTPVLEDFPQNDDEKE RMQRRRSRVFDLQFSTDSIHLASPNRNIDVSTTISKFTNTQITEHYSTCIKLSSENKITT KNAFGLHLIDFMSEILKQKDAEPTNFKVAAGTLDASTKIYAVRVDAVHADVYRVLGGLGK DTPPQGEESHSGDGSTLETERTKKPAKPKKKQSCKTIEQNLSNINVSEADGKCAVDPMFQ KTAASFDECSTTGVFLSTLHCQDYRSELLFPSDMQTLSSGEPLELPDLGFVDMTDLEASL QQCVEDRPLCPSLAGFQFTKWDSETHNESVSALVDKFKKNDQVFDINAEAEDDEEDVPDG PLVEDFVDNDEPDLSAAGDHEEFRSWKELCQVQSNQEEVISLEDRDIQVMCSFLSMKPGE YSYFSPRTMKMWAGPDHWRFRPRPKQDATSCTEHKKKSAKKDFEINFDDDIDFDAYFQKT KAATILTKSTLENQNWKATTLPTDFHYETDNLIQLHLKPGKRSLKMDQDQKAKTEHYEEI EDYDYNNPNDTSNYCPGLQAADSDYEEADDLFADPVGTLDLESDPKTTQENGHISPENQG VDITTYQELNLVAEPQKVNKIEIHYAKTAKKMDMKKLKQSMWSLLTKFSRKEADTEANHT ESGQEGAPEEVADEKKLSGLTKDLQTRLPPLMAQNLSIPLAFACLLHLANEKNLKLEGTE DLSDVLVMQGD >ENSMUSP00000135620.1 pep:known chromosome:GRCm38:2:127103950:127133902:-1 gene:ENSMUSG00000034906.15 transcript:ENSMUST00000175885.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ncaph description:non-SMC condensin I complex, subunit H [Source:MGI Symbol;Acc:MGI:2444777] XFKTYSGDVESKMRIPRSGILMFQLPYLSLQTHRLQNITLPVSSFLLKIKSLLRMLSACI >ENSMUSP00000145941.1 pep:known chromosome:GRCm38:16:59074155:59077835:-1 gene:ENSMUSG00000064006.4 transcript:ENSMUST00000206214.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr190 description:olfactory receptor 190 [Source:MGI Symbol;Acc:MGI:3030024] MKNSTVLTEFVLTGLTESPELQVPLFLFFLVIYLITIVGNLGLIALIWNDPHLHIPMYFF LGHLAFVDASLSSTVAPKMLLDFLQMNKMISYSECMTQFFIFAICATTECFLLGAMAYDR YVAICKPLLYPMIMTKRLCICLLVLSFVGGILHSSIHEGFLLLLNFCNSNIVHHFFCDIV PLLKISCTDTTLNFQLIFVLAGIIQVLTVVIVLVSYTLVLLTILQRKSVQSMKKAFSTCG AHLLSVSLYYGPLLIMYIFPVSQEADGQDIIDSLFYTVIIPVLNPIIYSLRNKQVMDSLK KVLKKKA >ENSMUSP00000071224.3 pep:known chromosome:GRCm38:16:59074155:59075078:-1 gene:ENSMUSG00000064006.4 transcript:ENSMUST00000071243.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr190 description:olfactory receptor 190 [Source:MGI Symbol;Acc:MGI:3030024] MKNSTVLTEFVLTGLTESPELQVPLFLFFLVIYLITIVGNLGLIALIWNDPHLHIPMYFF LGHLAFVDASLSSTVAPKMLLDFLQMNKMISYSECMTQFFIFAICATTECFLLGAMAYDR YVAICKPLLYPMIMTKRLCICLLVLSFVGGILHSSIHEGFLLLLNFCNSNIVHHFFCDIV PLLKISCTDTTLNFQLIFVLAGIIQVLTVVIVLVSYTLVLLTILQRKSVQSMKKAFSTCG AHLLSVSLYYGPLLIMYIFPVSQEADGQDIIDSLFYTVIIPVLNPIIYSLRNKQVMDSLK KVLKKKA >ENSMUSP00000062632.1 pep:known chromosome:GRCm38:9:53301670:53377514:1 gene:ENSMUSG00000034584.3 transcript:ENSMUST00000051014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exph5 description:exophilin 5 [Source:MGI Symbol;Acc:MGI:2443248] MTKVPQGFDFSFLNEEEARKILQVLERNEELRRAEKDRISKLQKTKRDIRWLQGATGEWF EEIQRKKFCNETDVNQMLKPPLTYRLQKGMAKNDPMELQTPRSKSLINQKPSVSSRMSFR SSFASLFSFRRPAKETLKLQSPRPNRCDERVRPSASVRGTASAKIYNSPVGNQPVASVFV PKPAIMREESGMPPPWDASLLESEFFQVLDDLDNKLAQEQSSGLMNTRVPFNYGSRTQFK LSHRNSHGHSTGRQQNYHSETSNMSIYNILRPGTPREGFKTFSPRTKTIYDMYRTREPRV LKEDFMQKNAFGSASLCFDSRQRSASPATGSFTARSLHFPADTQNKSSFTPVRHQQSPKR TPLSSIIWNRSDASRHRQNQEESLGVQAPMDIDPEEQYVSPRCFQESRRYEMCHSQNAYQ SYPLNVPVANAMSPDTLENSENMPFYRQSNPFARSFFSSTFRQSREQRFGQNSFWSRQEE YSSWSDFPQSRGPFPSSDKDFEMFSVEANRAPSVCSQGVPSQHWRSHSSGHGTYVFRGRE DSHCWRSDFQTSPLESMDTSHVNENQHPPHFVTPVGFSITDSSCHLQSSRLDSQQGYFPV EEAVDEDPYLCGKAQTPASSFRTPFPLSPDDGRESQSSSFPDSTATLQKIIPNKPDFLPI RNCTEVPVACSHSTDSLSLTDTQPNIPVTETNSEKDMDVSVSKDEQLNKTGQKSRPTGLP QYVLHTVISNDLPDFQNAHSRDSAQNDRYGFNAPATERSRRSPRVFSRKGTSQIHTTQRD QSNKLSKNKCFGGDRTLDSAASPPFIQESGTATSLPSPNQGCHQKTGSNEESSNTIKNSH WCFESTANQKSQPSREPALLDLEQSLSTHSTNDSKLAPGHSISRAPLHVASDAPQESFLD ARLVPSTTVFSRSLSDQDPGQEQREEKDKATKSQDNQLAVNSTDNQESHDSPALPHDAVH CHPYSPFKNGRGKGRVRRRVSCIEKLTKTERAAAPTREGSGCAEDQRSIKDPELSTVYCT LPRKPASFLIHSRQQESKGTVASVRNGPLPFQIKNKAEVPTGKSTSDKPSSPESVSDAAN AVSGTPKATKKMTDMKAIRSASVRKGPLPFLIKRAISCPSGEPCSLAESDDRQKSSVLGM DASPVIPRPGGRIFNSLEGEPSFRESAFSEKELAQEHTGKDRELRAPRMGLFNPSKKTPE RLCATGSGKESGRALHKFKTTSMFSVSGDEENVNCLEVVSIYYTLPRKPSKKFCSLLQQY TQGTDSLRDAFQGETEALPNALEIDELNCPAQVQSGIPPPQDPKMQVDSAPCCLSHSPES KDVSQLPDRETSKSTLEEMTSVGPDVSLHREEPTTKEISPSNVSKTAIDDSLSREKREKE LLRQILRAPLLHQEKGAGKEHTKSHQQPSKGGNSGPSGLPSRSEDNDENSQTRRDSGTCA GGMASGNGQYPWRDHMAAVVGDRSSRSQPRETSGTTGSDCQNPTDKMLSDSESQAFALTP ALCKLQLAEEAQPGGAGLQSEASQAGSQETNTAEMRKVEDEEHMLTRDQTLLPRGNNKNK TNTDETKDRYSGKHRLAAISKASKRIPAKDLSPRKHVATIFSQSESESGFRRLSLYRPED NPLSPEPTVKATESTDESSQMNVDKSETLLQETTVSSPGPPGQPCHQKSASILQPHLNGS PGVLETPPKSEGSKTQISGELGAPAQLTLTSPLEKGAGHQQRLSPPFPLEPTQKSTINSH CQLRHRSAPSPESEPEPHLYRSKSLKNFNVQSDLLCASHPPKARGRHFSENTSIDNALSQ LSLEDGSFPNSGYNRRFKSSSELPASYESESWTSYSNRTRGPKSTSSISRPIDYGIFGKE QQLAFLENVKRSLTQGRLWKPSFLKNPGFLKDDVLNASNLSQSELVNSPAGQAPEDGVFP SEPLNIYKDDPVEPLVSDWDTDTTTDDEYYLDEKDKESEL >ENSMUSP00000103822.1 pep:known chromosome:GRCm38:7:30280094:30290237:1 gene:ENSMUSG00000013928.13 transcript:ENSMUST00000108187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap8 description:THAP domain containing 8 [Source:MGI Symbol;Acc:MGI:1922879] MAAGKPAPPPIGRSRHPGWPITKLPDTQLLTLMELILSFATVPGSYSSCTSLMDIGNQEP GTPRSLRHYPFLLDRKFPLRPWSPATQPLAQYISWCWDLHLWGDPEAAAAIVLPTCFHSA RAWSP >ENSMUSP00000014072.5 pep:known chromosome:GRCm38:7:30280094:30290237:1 gene:ENSMUSG00000013928.13 transcript:ENSMUST00000014072.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap8 description:THAP domain containing 8 [Source:MGI Symbol;Acc:MGI:1922879] MAAGKPAPPPIGRSRHPGWPITKLPDTQLLTLMELILSFATVPGSYSSCTSLMDIGNQEP GTPRSLRHYPFLLDRKFPLRPWSPATQPLAQYISWCWDLHLWGDPEAAAAIVLPTCFHSA RLEPLTNTRLLMGCRNNEFTGSETPRAAPGTAVSFGTAGVAAVLGEPAGLRTQASIVLSE SLWDWQPGHWLHLQGLCFKPGSSVKEPSVSVGV >ENSMUSP00000101442.1 pep:known chromosome:GRCm38:4:138313409:138316426:-1 gene:ENSMUSG00000028756.12 transcript:ENSMUST00000105816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pink1 description:PTEN induced putative kinase 1 [Source:MGI Symbol;Acc:MGI:1916193] MAPEVSTAHSGPSAVIDYSKADTWAVGAIAYEIFGLANPFYGQGSAHLESRSYQEAQLPE MPESVPPEARRLVRSLLQREASKRPSARLAANVLHLSLWGEHLLALKNLKLDKMIAWLLQ QSAATLLADRLREKSCVETKLQMLFLANLECEALCQAALLLSSWRAAP >ENSMUSP00000030536.6 pep:known chromosome:GRCm38:4:138313412:138326307:-1 gene:ENSMUSG00000028756.12 transcript:ENSMUST00000030536.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pink1 description:PTEN induced putative kinase 1 [Source:MGI Symbol;Acc:MGI:1916193] MAVRQALGRGLQLGRALLLRFAPKPGPLFGWGKPGPAAAWGRGERPGQVVSPGAQPRPVG LPLPDRYRFFRQSVAGLAARIQRQFMVRARGGAGPCGRAVFLAFGLGLGLIEEKQAEGRR AASACQEIQAIFTQKTKRVSDPLDTRCWQGFRLEDYLIGQAIGKGCNAAVYEATMPTLPQ HLEKAKHLGLIGKGPDVVLKGADGEQAPGTPTFPFAIKMMWNISAGSSSEAILSKMSQEL VPASRVALAGEYGAVTYRRSRDGPKQLAPHPNIIRVFRAFTSSVPLLPGALADYPDMLPP HYYPEGLGHGRTLFLVMKNYPCTLRQYLEEQTPSSRLATMMTLQLLEGVDHLVQQGIAHR DLKSDNILVEWDSDGCPWLVISDFGCCLADQHVGLRLPFNSSSVERGGNGSLMAPEVSTA HSGPSAVIDYSKADTWAVGAIAYEIFGLANPFYGQGSAHLESRSYQEAQLPEMPESVPPE ARRLVRSLLQREASKRPSARLAANVLHLSLWGEHLLALKNLKLDKMIAWLLQQSAATLLA DRLREKSCVETKLQMLFLANLECEALCQAALLLSSWRAAP >ENSMUSP00000101443.3 pep:known chromosome:GRCm38:4:138313919:138326240:-1 gene:ENSMUSG00000028756.12 transcript:ENSMUST00000105817.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pink1 description:PTEN induced putative kinase 1 [Source:MGI Symbol;Acc:MGI:1916193] MAVRQALGRGLQLERPGQVVSPGAQPRPVGLPLPDRYRFFRQSVAGLAARIQRQFMVRAR GGAGPCGRAVFLAFGLGLGLIEEKQAEGRRAASACQEIQAIFTQKTKRVSDPLDTRCWQG FRLEDYLIGQAIGKGCNAAVYEATMPTLPQHLEKAKHLGLIGKGPDVVLKGADGEQAPGT PTFPFAIKMMWNISAGSSSEAILSKMSQELVPASRVALAGEYGAVTYRRSRDGPKQLAPH PNIIRVFRAFTSSVPLLPGALADYPDMLPPHYYPEGLGHGRTLFLVMKNYPCTLRQYLEE QTPSSRLATMMTLQLLEGVDHLVQQGIAHRDLKSDNILVEWDSDGCPWLVISDFGCCLAD QHVGLRLPFNSSSVERGGNGSLMAPEVSTAHSGPSAVIDYSKADTWAVGAIAYEIFGLAN PFYGQGSAHLESRSYQEAQLPEMPESVPPEARRLVRSLLQREASKRPSARLAANVLHLSL WGEHLLALKNLKLDKMIAWLLQQSAATLLADRLREKSCVETKLQMLFLANLECEALCQAA LLLSSWRAAP >ENSMUSP00000025472.1 pep:known chromosome:GRCm38:18:61696837:61707635:-1 gene:ENSMUSG00000024579.7 transcript:ENSMUST00000025472.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyox1l description:prenylcysteine oxidase 1 like [Source:MGI Symbol;Acc:MGI:3606062] MARAAPLLAVLATVLTTAAAGGDAPPGKIAVIGAGIGGSAVAHFLQQHFGPRVQIVVYEK GTVGGRLATISVNKQNYESGAASFHSLSLHMQDFVKLLGLRQRREVVGRSAIFGGEHFVL EETDWYLLNLFRLWWYYGISFLRLQMWVEEVMEKFMRIYKYQAHGYAFSGVEELLYSLGE ATFVNMTQRSVAESLLQVGVTQRFIDDVVSAVLRASYGQSASMPAFAGAMSLAGAQGNLW SVEGGNKLVCSGLLKLAKATVIHATVTSVTLHSTEGKALYQVAYESDKGNSSDFYDIVVI ATPLHLDNSSNNNITFEGFTPPIEDIQGSFQPTVVSLVHGYLNSSYFGFPDPKLFPFANI LTTDFPSFFCTLDNICPVNISASFRRKQPQEAAVWRVQSPKPLFRTELKTLFRSYYSVQT AEWQAHPLYGSRRTLPRFALHDQLFYLNALEWAASSVEVTAVAAKNVALLAYNRWYQDLD KIDQKDLIHKVKTEL >ENSMUSP00000142249.1 pep:known chromosome:GRCm38:18:61699475:61707613:-1 gene:ENSMUSG00000024579.7 transcript:ENSMUST00000195229.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcyox1l description:prenylcysteine oxidase 1 like [Source:MGI Symbol;Acc:MGI:3606062] MARAAPLLAVLATVLTTAAAGGDAPPGKIAVIGAGIGGSAVAHFLQQHFGPRVQIVVYEK GTVGGRLATISVNKQNYESGAASFHSLSLHMQDFVKLLDGAAETREGKELA >ENSMUSP00000146093.1 pep:known chromosome:GRCm38:7:98440416:98477479:1 gene:ENSMUSG00000074003.4 transcript:ENSMUST00000206435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy2d description:guanylate cyclase 2d [Source:MGI Symbol;Acc:MGI:106030] MAGLQQGCHFEGQNWTAPHWKTCLPCQGPWRLTVSHLKTVSSISVLSVVFWSVLLWADSL SLLAWARETFTLGVLGPWDCDPIFAQALPSIATQLAVDQVNQDASLLPGSQLDFKVLPTG CDTPHALATFVAHKNIVAAFVGPVNPGFCSAAALLAQGWGKSLFSWACEAPEGGGDLVPT LPSAADVLLSVMRHFGWARWAIVSSHQDIWVTTAQQLATAFRTHGLPIGLVTSLGPGEKG ATEVCKQLHSVHGLKIVVLCMHSALLGGLEQTTLLHCAWEEGLTDGRLVFLPYDTLLFAL PYGNRSYLVLDDHGPLQEAYDAVLTVSLESSPESHAFTATEMSGGATANLEPEQVSPLFG TIYDAVILLAHALNRSETHGAGLSGAHLGDHVRALDVAGFSQRIRTDGKGRRLAQYVILD TDGEGSQLVPTHILDTSTWQVQPLGKPIHFPGGSPPAHDASCWFDPNTLCIRGVQPLGSL LTLTIACVLALVGGFLAYFIRLGLQQLRLLRGPHRILLTSQELTFLQRTPSRRRPHVDSG SESRSVVDGGSPRSVTQGSARSLPAFLEHTNVALYQGEWVWLKKFEAGVAPDLRPSSLSF LRKLREMRHENVTAFLGLFVGPGVSAMVLEHCARGSLEDLLQNENLRLDWTFKASLLLDL IRGLRYLHHRRFPHGRLKSRNCVVDTRFVLKITDHGYAEFLESHCSSRPQPAPEELLWTA PELLRGPGKATFKGDVFSLAIILQEVLTRDPPYCSWGLSAEEIIRKVASPPPLCRPLVSP DQGPLECIQLMQLCWEEAPDDRPSLDQIYTQFKSINQGKKTSVVDSMLRMLEKYSESLED LVQERTEELELERRKTERLLSQMLPPSVAHALKMGTTVEPEYFDQVTIYFSDIVGFTTIS ALSEPIEVVGFLNDLYTLFDAVLDSHDVYKVETIGDAYMVASGLPRRNGNRHAAEIANLA LDILSYAGNFRMRHAPDVPIRVRAGLHSGPCVAGVVGLTMPRYCLFGDTVNTASRMESTG LPYRIHVSQSTVQALLSLDEGYKIDVRGQTELKGKGLEETYWLTGKVGFCRPLPTPLSIK PGDPWQDRINQEIRTGFAKARQGLAEPRKSGEAGPGP >ENSMUSP00000145913.1 pep:known chromosome:GRCm38:7:98467694:98475179:1 gene:ENSMUSG00000074003.4 transcript:ENSMUST00000205350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy2d description:guanylate cyclase 2d [Source:MGI Symbol;Acc:MGI:106030] PIEVVGFLNDLYTLFDAVLDSHDVYKVETIGDAYMVASGLPRRNGNRHAAEIANLALDIL SYAGNFRMRHAPDVPIRVRAGLHSGPCVAGVVGLTMPRYCLFGDTVNTASRMESTGLPYR IHVSQSTVQALLSLDEGYKIDVRGQTELKGKGLEETYWLTGKVGFCRPLPTPLSIKPG >ENSMUSP00000095875.3 pep:known chromosome:GRCm38:7:98443421:98477478:1 gene:ENSMUSG00000074003.4 transcript:ENSMUST00000098274.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy2d description:guanylate cyclase 2d [Source:MGI Symbol;Acc:MGI:106030] SGLQQGCHFEGQNWTAPHWKTCLPCQGPWRLTVSHLKTVSSISVLSVVFWSVLLWADSLS LLAWARETFTLGVLGPWDCDPIFAQALPSIATQLAVDQVNQDASLLPGSQLDFKVLPTGC DTPHALATFVAHKNIVAAFVGPVNPGFCSAAALLAQGWGKSLFSWACEAPEGGGDLVPTL PSAADVLLSVMRHFGWARWAIVSSHQDIWVTTAQQLATAFRTHGLPIGLVTSLGPGEKGA TEVCKQLHSVHGLKIVVLCMHSALLGGLEQTTLLHCAWEEGLTDGRLVFLPYDTLLFALP YGNRSYLVLDDHGPLQEAYDAVLTVSLESSPESHAFTATEMSGGATANLEPEQVSPLFGT IYDAVILLAHALNRSETHGAGLSGAHLGDHVRALDVAGFSQRIRTDGKGRRLAQYVILDT DGEGSQLVPTHILDTSTWQVQPLGKPIHFPGGSPPAHDASCWFDPNTLCIRGVQPLGSLL TLTIACVLALVGGFLAYFIRLGLQQLRLLRGPHRILLTSQELTFLQRTPSRRRPHVDSGS ESRSVVDGGSPRSVTQGSARSLPAFLEHTNVALYQGEWVWLKKFEAGVAPDLRPSSLSFL RKLREMRHENVTAFLGLFVGPGVSAMVLEHCARGSLEDLLQNENLRLDWTFKASLLLDLI RGLRYLHHRRFPHGRLKSRNCVVDTRFVLKITDHGYAEFLESHCSSRPQPAPEELLWTAP ELLRGPGKATFKGDVFSLAIILQEVLTRDPPYCSWGLSAEEIIRKVASPPPLCRPLVSPD QGPLECIQLMQLCWEEAPDDRPSLDQIYTQFKSINQGKKTSVVDSMLRMLEKYSESLEDL VQERTEELELERRKTERLLSQMLPPSVAHALKMGTTVEPEYFDQVTIYFSDIVGFTTISA LSEPIEVVGFLNDLYTLFDAVLDSHDVYKVETIGDAYMVASGLPRRNGNRHAAEIANLAL DILSYAGNFRMRHAPDVPIRVRAGLHSGPCVAGVVGLTMPRYCLFGDTVNTASRMESTGL PYRIHVSQSTVQALLSLDEGYKIDVRGQTELKGKGLEETYWLTGKVGFCRPLPTPLSIKP GDPWQDRINQEIRTGFAKARQGLAEPRKSGEAGPGP >ENSMUSP00000104847.2 pep:known chromosome:GRCm38:11:46735080:46756245:1 gene:ENSMUSG00000040405.13 transcript:ENSMUST00000109224.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Havcr1 description:hepatitis A virus cellular receptor 1 [Source:MGI Symbol;Acc:MGI:2159680] MNQIQVFISGLILLLPGAVDSYVEVKGVVGHPVTLPCTYSTYRGITTTCWGRGQCPSSAC QNTLIWTNGHRVTYQKSSRYNLKGHISEGDVSLTIENSVESDSGLYCCRVEIPGWFNDQK VTFSLQVKPEIPTRPPRRPTTTRPTATGRPTTISTRSTHVPTSTRVST >ENSMUSP00000080503.4 pep:known chromosome:GRCm38:11:46740061:46779578:1 gene:ENSMUSG00000040405.13 transcript:ENSMUST00000081819.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Havcr1 description:hepatitis A virus cellular receptor 1 [Source:MGI Symbol;Acc:MGI:2159680] MNQIQVFISGLILLLPGAVDSYVEVKGVVGHPVTLPCTYSTYRGITTTCWGRGQCPSSAC QNTLIWTNGHRVTYQKSSRYNLKGHISEGDVSLTIENSVESDSGLYCCRVEIPGWFNDQK VTFSLQVKPEIPTRPPRRPTTTRPTATGRPTTISTRSTHVPTSTRVSTSTPPTSTHTWTH KPDWNGTVTSSGDTWSNHTEAIPPGKPQKNPTKGFYVGICIAALLLLLLVSTVAITRYIL MKRKSASLSVVAFRVSKIEALQNAAVVHSRAEDNIYIVEDRP >ENSMUSP00000104846.1 pep:known chromosome:GRCm38:11:46750511:46779576:1 gene:ENSMUSG00000040405.13 transcript:ENSMUST00000109223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Havcr1 description:hepatitis A virus cellular receptor 1 [Source:MGI Symbol;Acc:MGI:2159680] MNQIQVFISGLILLLPGAVDSYVEVKGVVGHPVTLPCTYSTYRGITTTCWGRGQCPSSAC QNTLIWTNGHRVTYQKSSRYNLKGHISEGDVSLTIENSVESDSGLYCCRVEIPGWFNDQK VTFSLQVKPEIPTRPPRRPTTTRPTATGRPTTISTRSTHVPTSTRVSTSTPPTSTHTWTH KPDWNGTVTSSGDTWSNHTEAIPPGKPQKNPTKGFYVGICIAALLLLLLVSTVAITRYIL MKRKSASLSVVAFRVSKIEALQNAAVVHSRAEDNIYIVEDRP >ENSMUSP00000043827.4 pep:known chromosome:GRCm38:11:46751168:46779578:1 gene:ENSMUSG00000040405.13 transcript:ENSMUST00000047568.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Havcr1 description:hepatitis A virus cellular receptor 1 [Source:MGI Symbol;Acc:MGI:2159680] MNQIQVFISGLILLLPGAVDSYVEVKGVVGHPVTLPCTYSTYRGITTTCWGRGQCPSSAC QNTLIWTNGHRVTYQKSSRYNLKGHISEGDVSLTIENSVESDSGLYCCRVEIPGWFNDQK VTFSLQVKPEIPTRPPRRPTTTRPTATGRPTTISTRSTHVPTSTRVSTSTPPTSTHTWTH KPEPTTFCPHETTAEVTGIPSHTPTDWNGTVTSSGDTWSNHTEAIPPGKPQKNPTKGFYV GICIAALLLLLLVSTVAITRYILMKRKSASLSVVAFRVSKIEALQNAAVVHSRAEDNIYI VEDRP >ENSMUSP00000134708.1 pep:known chromosome:GRCm38:6:29348069:29374503:1 gene:ENSMUSG00000029767.16 transcript:ENSMUST00000173216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calu description:calumenin [Source:MGI Symbol;Acc:MGI:1097158] MVRDERRFKMADKDGDLIATKEEFTAFLHPEEYDYMKDIVVQETMEDIDKNADGFIDLEE YIGDMYSHDGNADEPEWVKTEREQFVEFRDKNRDGKMDKEETKDWILPSDYDHAEAEARH LVYESDQNKDGKLTKEEIVDKYDLFVGSQATDFGEALVRHDEF >ENSMUSP00000133436.1 pep:known chromosome:GRCm38:6:29348069:29374503:1 gene:ENSMUSG00000029767.16 transcript:ENSMUST00000173694.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calu description:calumenin [Source:MGI Symbol;Acc:MGI:1097158] MDLRQFLMCLSLCTAFALSKPTEKKDRVHHEPQLSDKVHNDAQNFDYDHDAFLGAEEAKS FDQLTPEESKERLGKIVSKIDDDKDGFVTVDELKDWILPSDYDHAEAEARHLVYESDQNK DGKLTKEEIVDKYDLFVGSQATDFGEALVRHDEF >ENSMUSP00000133390.1 pep:known chromosome:GRCm38:6:29348069:29376672:1 gene:ENSMUSG00000029767.16 transcript:ENSMUST00000172974.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calu description:calumenin [Source:MGI Symbol;Acc:MGI:1097158] MDLRQFLMCLSLCTAFALSKPTEKKDRVHHEPQLSDKVHNDAQNFDYDHDAFLGAEEAKS FDQLTPEESKERLGKIVSKIDDDKDGFVTVDELKGWIKFAQKRWIHEDVERQWKGHDLNE DGLVSWEEYKNATYGYVLDDPDPDDGFNYKQMMVRDERRFKMADKDGDLIATKEEFTAFL HPEEYDYMKDIVVQETMEDIDKNADGFIDLEEYIGWQAHQGGDCRQV >ENSMUSP00000031779.10 pep:known chromosome:GRCm38:6:29348106:29376675:1 gene:ENSMUSG00000029767.16 transcript:ENSMUST00000031779.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calu description:calumenin [Source:MGI Symbol;Acc:MGI:1097158] MDLRQFLMCLSLCTAFALSKPTEKKDRVHHEPQLSDKVHNDAQNFDYDHDAFLGAEEAKS FDQLTPEESKERLGKIVSKIDDDKDGFVTVDELKGWIKFAQKRWIHEDVERQWKGHDLNE DGLVSWEEYKNATYGYVLDDPDPDDGFNYKQMMVRDERRFKMADKDGDLIATKEEFTAFL HPEEYDYMKDIVVQETMEDIDKNADGFIDLEEYIGDMYSHDGNADEPEWVKTEREQFVEF RDKNRDGKMDKEETKDWILPSDYDHAEAEARHLVYESDQNKDGKLTKEEIVDKYDLFVGS QATDFGEALVRHDEF >ENSMUSP00000087967.7 pep:known chromosome:GRCm38:6:29348119:29376129:1 gene:ENSMUSG00000029767.16 transcript:ENSMUST00000090481.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calu description:calumenin [Source:MGI Symbol;Acc:MGI:1097158] MDLRQFLMCLSLCTAFALSKPTEKKDRVHHEPQLSDKVHNDAQNFDYDHDAFLGAEEAKS FDQLTPEESKERLGMIVDKIDADKDGFVTEGELKSWIKHAQKKYIYDNVENQWQEFDMNQ DGLISWDEYRNVTYGTYLDDPDPDDGFNYKQMMVRDERRFKMADKDGDLIATKEEFTAFL HPEEYDYMKDIVVQETMEDIDKNADGFIDLEEYIGDMYSHDGNADEPEWVKTEREQFVEF RDKNRDGKMDKEETKDWILPSDYDHAEAEARHLVYESDQNKDGKLTKEEIVDKYDLFVGS QATDFGEALVRHDEF >ENSMUSP00000133945.2 pep:known chromosome:GRCm38:6:29356471:29375025:1 gene:ENSMUSG00000029767.16 transcript:ENSMUST00000174096.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Calu description:calumenin [Source:MGI Symbol;Acc:MGI:1097158] VIMDLRQFLMCLSLCTAFALSKPTEKKDRVHHEPQLSDKVHNDAQNFDYDHDAFLGAEEA KSFDQLTPEESKERLGKIVSKIDDDKDGFVTVDELKGWIKFAQKRWIHEDVERQWKGHDL NEDGLVSWEEYKNATYGYVLE >ENSMUSP00000133615.1 pep:known chromosome:GRCm38:6:29361461:29373352:1 gene:ENSMUSG00000029767.16 transcript:ENSMUST00000156163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calu description:calumenin [Source:MGI Symbol;Acc:MGI:1097158] XNATYGYVLDDPDPDDGFNYKQMMVRDERRFKMADKDGDLIATKEEFTAFLHPEEYDYMK DIVVQETMEDIDKNADGFIDLEEYIGDMYSHDGNADEPEWVKTEREQFVEFRDKNRDGKM DKEETKDWILPSDYDHAEAEARHLVYESDQNKVSCSRPKQSSGVQRFF >ENSMUSP00000133609.1 pep:known chromosome:GRCm38:6:29372553:29377110:1 gene:ENSMUSG00000029767.16 transcript:ENSMUST00000172607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calu description:calumenin [Source:MGI Symbol;Acc:MGI:1097158] XKNRDGKMDKEETKDWILPSDYDHAEAEARHLVYESDQNKDGKLTKEEIVDKYDLFVGSQ ATDFGEALVRHDEF >ENSMUSP00000125553.1 pep:known chromosome:GRCm38:10:29313166:29345560:1 gene:ENSMUSG00000019883.10 transcript:ENSMUST00000160399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echdc1 description:enoyl Coenzyme A hydratase domain containing 1 [Source:MGI Symbol;Acc:MGI:1277169] MAKCLLTSSLSVRTKLLQTGVSLYNTSHGFHEEEVKKILEQFPGGSIDLLKKQNGIGILT LNNPNKMNAFSGVMMLQLLERVIELENWTEGKGLIIHGAKNTFCSGSDLNAVKALSTPES GVALSMFMQNTLTRFMRLPLISVALVQGWAMGGGAELTTACDFRLMTEESVIRFVHKEMG IVPSWGGTSRLVEIIGSRQALKVLSGTLKLDSKEALNIGLTDEVLQPSDETTALEQAQEW LEKFVSGPPQVIRGLKKSVCSARELYIEEALQNERDVLETLWGGPANLEAIAKKGKHTK >ENSMUSP00000125048.1 pep:known chromosome:GRCm38:10:29313281:29344344:1 gene:ENSMUSG00000019883.10 transcript:ENSMUST00000161605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echdc1 description:enoyl Coenzyme A hydratase domain containing 1 [Source:MGI Symbol;Acc:MGI:1277169] MAKCLLTSSLSVRTKLLQTGVSLYNTSHGFHEEEVKKILEQFPGGSIDLLKKQNGIGILT LNNPNKMNAFSGVMMLQLLERVIELENWTEGKGLIIHGAKNTFCSGSDLNAVKALSTPES GVALSMFMQNTLTRFMRLPLISVALVQGWAMGGGAELTTACDFRL >ENSMUSP00000020034.4 pep:known chromosome:GRCm38:10:29313500:29346661:1 gene:ENSMUSG00000019883.10 transcript:ENSMUST00000020034.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echdc1 description:enoyl Coenzyme A hydratase domain containing 1 [Source:MGI Symbol;Acc:MGI:1277169] MRRCEVNSKPISEYFGIPCENREMAKCLLTSSLSVRTKLLQTGVSLYNTSHGFHEEEVKK ILEQFPGGSIDLLKKQNGIGILTLNNPNKMNAFSGVMMLQLLERVIELENWTEGKGLIIH GAKNTFCSGSDLNAVKALSTPESGVALSMFMQNTLTRFMRLPLISVALVQGWAMGGGAEL TTACDFRLMTEESVIRFVHKEMGIVPSWGGTSRLVEIIGSRQALKVLSGTLKLDSKEALN IGLTDEVLQPSDETTALEQAQEWLEKFVSGPPQVIRGLKKSVCSARELYIEEALQNERDV LETLWGGPANLEAIAKKGKHTK >ENSMUSP00000019199.7 pep:known chromosome:GRCm38:4:147909753:147936767:-1 gene:ENSMUSG00000019055.15 transcript:ENSMUST00000019199.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plod1 description:procollagen-lysine, 2-oxoglutarate 5-dioxygenase 1 [Source:MGI Symbol;Acc:MGI:99907] MRSLLLLAPLAWLLLVQAKDDAKLEDNLLVLTVATKETEGFRRFKRSAQFFNYKIQSLGL GEDWSVDGGPAAAGGGQKVRLLKKALEKHADKEDLVILFVDSYDVVFASGPRELLKKFQQ AKSQVVFSAEEHIYPDRRLEAKYPTVPDGKRFLGSGGFIGYAPSLSKLVAEWEGQDSDSD QLFYTKIFLNPEKREQINISLDHRCRIFQNLDGALDEVVLKFEMGHVRARNLAYDTLPVV VHGNGPTKLQLNYLGNYIPRFWTFETGCTVCDEGLRSLKGIGDEALPTVLVGVFIEQPTP FLSLFFLRLLRLRYPQKQMRLFIHNQERHHKLQVEQFLAEHGSEYQSVKLVGPEVRMANA DARNMGADLCRQDQTCTYYFSVDADVALTEPNSLRLLIEQNKNVIAPLMTRHGRLWSNFW GGLSADGYYARSEDYVDIVQGRRVGVWNVPYISNIYLIKGSALRAELQNVDLFHYSKLDS DMSFCANVRQQEVFMFLTNRHTFGHLLSLDNYQTTHLHNDLWEVFSNPEDWKEKYIHENY TKALAGKLVETPCPDVYWFPIFTEAACDELVEEMEHYGQWSLGDNKDNRIQGGYENVPTI DIHMNQITFEREWHKFLVEYIAPMTEKLYPGYYTRAQFDLAFVVRYKPDEQPSLMPHHDA STFTVNIALNRVGEDYEGGGCRFLRYNCSVRAPRKGWALLHPGRLTHYHEGLPTTKGTRY IAVSFVDP >ENSMUSP00000118857.1 pep:known chromosome:GRCm38:4:147915797:147921096:-1 gene:ENSMUSG00000019055.15 transcript:ENSMUST00000149129.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plod1 description:procollagen-lysine, 2-oxoglutarate 5-dioxygenase 1 [Source:MGI Symbol;Acc:MGI:99907] XLWSNFWGGLSADGYYARSEDYVDIVQGRRVGVWNVPYISNIYLIKGSALRAELQNVDLF HYSKLDSDMSFCANVRQQEVFMFLTNRHTFGHLLSLDNYQTTHLHNDLWEVFSNPEDWKE KYIHENYTKALAGKLVETPCPDVYWFPIFTEAACDELVEEMEHYGQWSLGDNKFLRVRIQ ACLTWLLCSGSHEGAVWGSAGAVLCLRTEDPLSAHDVVAGDNRIQGGYENVPTIDIHMNQ ITFEREWHKFLVEYIAPMTEKLYPGYYTR >ENSMUSP00000101337.1 pep:known chromosome:GRCm38:4:147927617:147936725:-1 gene:ENSMUSG00000019055.15 transcript:ENSMUST00000105712.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plod1 description:procollagen-lysine, 2-oxoglutarate 5-dioxygenase 1 [Source:MGI Symbol;Acc:MGI:99907] MRSLLLLAPLAWLLLVQAKDDAKLEDNLLVLTVATKETEGFRRFKRSAQFFNYKIQSLGL GEDWSVDGGPAAAGGGQKVRLLKKALEKHADKEDLVILFVDSYDVVFASGPRELLKKFQQ AKSQVVFSAEEHIYPDRRLEAKYPTVPDGKRFLGSGGFIGYAPSLSKLVAEWEGQDSDSD QLFYTKIFLNPEKREQINISLDHRCRIFQNLDGALAGGDFATGLPD >ENSMUSP00000028235.4 pep:known chromosome:GRCm38:2:35109492:35130727:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000028235.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] MKKGSERRLSKAKMPLSSHFPGPSSLRSSMRSRSLSPLIGSETQPLHPGGQWPAQAELTD ESTVPLEPQQRKGAESYVGVRYITEALIKKLTKQDNLALVKSLNLSLSKDGGKKFRYIEN LEKCVKLEVLNLSYNLIVKIEKVDKLLRLRELNLSYNKISKIEGLENMCNLQKLNLAGNE IEHIPVWFAKKLKSLRVLNLKGNKISSLQDVSKLKPLQDLTSLVLIDNPVVALPHYLQFI IFHLRSLESLEGQPVTTQDRQEAFERFSLEEIERLEKDLEKKTVETEELKNKQTKFLEEI KNQDKLNKSLKEEAMLQKQSCEELESDLSTKKELLKQKTVELTRACQKQYELEQELAFYK IDAKFEPLNYYPSEYAEIDKYPDESPYIGKSRYKRNMFATETYIVSDAQAVQIRKMVPEG GQLRHEHTPPRVQAPPDLQLEDTEKKISAAQTRLSELHHEIETAEQKVLRATQEFKQLEE AIQQKKISEAEKDLLLKQLSGRLQHLNRLRQEALDLEIQMEKQRKEIAEKHEEINTVQLA TDSLDPKDPKHVSKMGNLD >ENSMUSP00000118731.2 pep:known chromosome:GRCm38:2:35109492:35178110:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000156933.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] MKKGSERRLSKAKMPLSSHFPGPSSLRSSMRSRSLSPLIGSETQPLHPGGQWPAQAELTD ESTVPLEPQQRKGAESYVGVRYITEALIKKLTKQDNLALVKSLNLSLSKDGGKKFRYIEN LEKCVKLEVLNLSYNLIVKIEKVDKLLRLRELNLSYNKISKIEGLENMCNLQKLNLAGNE IEHIPVWFAKKLKSLRVLNLKGNKISSLQDVSKLKPLQDLTSLVLIDNPVVALPHYLQFI IFHLRSLESLEGQPVTTQDRQEAFERFSLEEIERLEKDLEKKTVETEELKNKQTKFLEEI KNQDKLNKSLKEEAMLQKQSCEELESDLSTKKELLKQKTVELTRACQKQYELEQELAFYK IDAKFEPLNYYPSEYAEIDKYPDESPYIGKSRYKRNMFATETYIVSDAQAVQIRKMVPEG GQLRHEHTPPRVQAPPDLQLEDTEKKISAAQTRLSELHHEIETAEQKVLRATQEFKQLEE AIQQKKISEAEKDLLLKQLSGRLQHLNRLRQEALDLEIQMEKQRKEIAEKHEEINTVQLA TDSLDPKDPKHSHMKAQKRGKEQQLDIMNRQYTQLESRLDEILCRIAKETEEIKDLEQQL TDGQIAANEALKKDLEGVISGLQEYLGTIKGQATQAQNECRKLQDEKETLLQRLTEVQQE KEELELIAMDAENMRKELAELESALQEQHEVNASLQQAQGDLSAYETELETQLKLKDAET SQLKQELEKLLRRTQLEQSVLQTELEKERESLRDALGKAQSSEEKQQENNELRTQLKQLQ DDNSLLKKQLKEFQNHLNHVVDGLIHPEEVAARVDELRKRLKLGAGEMRIHSPSDVLGKS LADLQKQFSEILARSQWEKEEAQVRERKLHEEMALQQEKLANGQEEFRQACERALEARIK FDKRQHNARIQQLENEIHYLQENLKSMEKIQGLTDLQLQEADEEKERILAQLQELEKKKK REDARSQEQFLGLDEELKSLKKAVAASDKLAAAELTIAKDQLKSLHGTVVRINQERAEEL QEAERFSREAMQAAKDLSRAEAEIELLQHLLREREGQFRDEMENADLGAKGANSQLLEIE ALNEAMAKQRAEITRLRDVLNLTGAGTKGGIENVLEEIAELRHAVSAQNEYISSMADPFR RQGWWYFMPPAPSSKVSSHSSQATKDSGLGLKYTASTPLRKPQPGQQEEKDSSGPLPASG YWVYSPIRSTLHKSFSKREDADSGGDSQEESGLDDQEEPPFVPPPGYIMYTVLPDGSPVP QGVALYAPSPPLPNSSHPLTPGTVVYGPPPAGAPIIYGPPPANFAVPLVPAGVQHCNIPE HHNLENEVSRLEDIMQHLKSKQREERRQKASTQHSEEEVDGLHRDIDDLLQEKKELELEV EELHRTIERHQQRKDFIDGHVENLMTELEIEKSLKHHEDIVDEIECLEKTLLKRRSELRE ADRLLAEAENELACTKEKTKSAVEKFTDAKRNLLQTESDAEALEKRAQETALNLVKAEQQ LRLLQADAEDLEQHKIKQEEILKEINKVVAAKDADFQCLNEKKEKLTEELQSLQRDIKAA QHSEDHHLQVLRESETLLQAKRAELETLKSQVTSQQQELAVLDSELGHRREELLLLQDSL AQAKADLQEALTLGETEVAEKCSHIREVKSLLEELSFQKGELNVHISEKKTQLALIQQEM EKEEKNLQVVLQQLSRHKTELKNVADILQLETSELQGLKLQHDQKVVELEKAQVDVLEEK LELENLQQATQQQRRELERQRQLLERDRRETERVRAESQALQSCVECLSKEKEDLQGQCE SWEKKSSHAQRVLAATEESNKMEQSNLGKLELSVRKLRQELEQLSQDKLALHSEVAEVQQ QLQGKQEAINSLQEELDSTQDHLDLAKQDLIHTTKCQNELLNEQTQLQEDISKWMARLES CQKETETKEQQVQQLQDEIRESKLRLDQQEMMFQKLQKEREREEQKFEAGKVTLEQQQRQ LEKELTDQKSRLKQLLTDVSAAEGRLGTLQEEERRIEGLERMLSQAKQQLSEREQQLMAK SGELLALQKEADDMRADFSLLRNQFLTERKKAEKQVAGLKEALKIQRSQLEKNLLEQKQE NSCMQKEMATIELVAQDNHERARRLMKELSQMQQEYLELKKQVANQKDLERRQMEVSDAM RTLKSEVKDEIRTSLRNLNQFLPELPADLASILERNENLRELESLKENFPFTTKERIFEE KSNFPQVHIMDEHWRGEALRQRLRRHEDQLKAQLRHCMSKQAEVLIKGKQQTEGTLHSLR RQVDALGELVTSTSTDSASSPSLPSLVEDSQHGHSQSSFQVLQVPLEEPNSYRH >ENSMUSP00000108655.2 pep:known chromosome:GRCm38:2:35109619:35178422:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000113032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] MKKGSERRLSKAKMPLSSHFPGPSSLRSSMRSRSLSPLIGSETQPLHPGGQWPAQAELTD ESTVPLEPQQRKGAESYVGVRYITEALIKKLTKQDNLALVKSLNLSLSKDGGKKFRYIEN LEKCVKLEVLNLSYNLIVKIEKVDKLLRLRELNLSYNKISKIEGLENMCNLQKLNLAGNE IEHIPVWFAKKLKSLRVLNLKGNKISSLQDVSKLKPLQDLTSLVLIDNPVVALPHYLQFI IFHLRSLESLEGQPVTTQDRQEAFERFSLEEIERLEKDLEKKTVETEELKNKQTKFLEEI KNQDKLNKSLKEEAMLQKQSCEELESDLSTKKELLKQKTVELTRACQKQYELEQELAFYK IDAKFEPLNYYPSEYAEIDKYPDESPYIGKSRYKRNMFATETYIVSDAQAVQIRKMVPEG GQLRHEHTPPRVQAPPDLQLEDTEKKISAAQTRLSELHHEIETAEQKVLRATQEFKQLEE AIQQKKISEAEKDLLLKQLSGRLQHLNRLRQEALDLEIQMEKQRKEIAEKHEEINTVQLA TDSLDPKDPKHSHMKAQKRGKEQQLDIMNRQYTQLESRLDEILCRIAKETEEIKDLEQQL TDGQIAANEALKKDLEGVISGLQEYLGTIKGQATQAQNECRKLQDEKETLLQRLTEVQQE KEELELIAMDAENMRKELAELESALQEQHEVNASLQQAQGDLSAYETELETQLKLKDAET SQLKQELEKLLRRTQLEQSVLQTELEKERESLRDALGKAQSSEEKQQENNELRTQLKQLQ DDNSLLKKQLKEFQNHLNHVVDGLIHPEEVAARVDELRKRLKLGAGEMRIHSPSDVLGKS LADLQKQFSEILARSQWEKEEAQVRERKLHEEMALQQEKLANGQEEFRQACERALEARIK FDKRQHNARIQQLENEIHYLQENLKSMEKIQGLTDLQLQEADEEKERILAQLQELEKKKR EDARSQEQFLGLDEELKSLKKAVAASDKLAAAELTIAKDQLKSLHGTVVRINQERAEELQ EAERFSREAMQAAKDLSRAEAEIELLQHLLREREGQFRDEMENADLGAKGANSQLLEIEA LNEAMAKQRAEITRLRDVLNLTGAGTKGGIENVLEEIAELRHAVSAQNEYISSMADPFRR QGWWYFMPPAPSSKVSSHSSQATKDSGLGLKYTASTPLRKPQPGQQEEKDSSGPLPASGY WVYSPIRSTLHKSFSKREDADSGGDSQEESGLDDQEEPPFVPPPGYIMYTVLPDGSPVPQ GVALYAPSPPLPNSSHPLTPGTVVYGPPPAGAPIIYGPPPANFAVPLVPAGVQHCNIPEH HNLENEVSRLEDIMQHLKSKQREERRQKASTQHSEEEVDGLHRDIDDLLQEKKELELEVE ELHRTIERHQQRKDFIDGHVENLMTELEIEKSLKHHEDIVDEIECLEKTLLKRRSELREA DRLLAEAENELACTKEKTKSAVEKFTDAKRNLLQTESDAEALEKRAQETALNLVKAEQQL RLLQADAEDLEQHKIKQEEILKEINKVVAAKDADFQCLNEKKEKLTEELQSLQRDIKAAQ HSEDHHLQVLRESETLLQAKRAELETLKSQVTSQQQELAVLDSELGHRREELLLLQDSLA QAKADLQEALTLGETEVAEKCSHIREVKSLLEELSFQKGELNVHISEKKTQLALIQQEME KEEKNLQVVLQQLSRHKTELKNVADILQLETSELQGLKLQHDQKVVELEKAQVDVLEEKL ELENLQQATQQQRRELERQRQLLERDRRETERVRAESQALQSCVECLSKEKEDLQGQCES WEKKSSHAQRVLAATEESNKMEQSNLGKLELSVRKLRQELEQLSQDKLALHSEVAEVQQQ LQGKQEAINSLQEELDSTQDHLDLAKQDLIHTTKCQNELLNEQTQLQEDISKWMARLESC QKETETKEQQVQQLQDEIRESKLRLDQQEMMFQKLQKEREREEQKFEAGKVTLEQQQRQL EKELTDQKSRLKQLLTDVSAAEGRLGTLQEEERRIEGLERMLSQAKQQLSEREQQLMAKS GELLALQKEADDMRADFSLLRNQFLTERKKAEKQVAGLKEALKIQRSQLEKNLLEQKQEN SCMQKEMATIELVAQDNHERARRLMKELSQMQQEYLELKKQVANQKDLERRQMEVSDAMR TLKSEVKDEIRTSLRNLNQFLPELPADLASILERNENLRELESLKENFPFTTKERIFEEK SNFPQVHIMDEHWRGEALRQRLRRHEDQLKAQLRHCMSKQAEVLIKGKQQTEGTLHSLRR QVDALGELVTSTSTDSASSPSLPSLVEDSQHGHSQSSFQVLQVPLEEPNSYRH >ENSMUSP00000119760.1 pep:known chromosome:GRCm38:2:35127381:35147671:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000123884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] XETYIVSDAQAVQIRKMVPEGGQLRHEHTPPRVQAPPDLQLEDTEKKISAAQTRLSELHH EIETAEQKVLRATQEFKQLEEAIQQKKISEAEKDLLLKQLSGRLQHLNRLRQEALDLEIQ MEKQRKEIAEKHEEINTVQLATDSLDPKDPKHSHMKAQKRGKEQQLDIMNRQYTQLESRL DEILCRIAKETEEIKDLEQQLTDGQIAANEALKKDLEGVISGLQEYLGTIKGQATQAQNE CRKLQDEKETLLQRLTEVQQEKEELELIAMDAENMRKELAELESALQEQHEVNASLQQAQ GDLSAYETELETQLKLKDAETSQLKQELEKLLRRTQLEQSVLQTELEKERESLRDALGKA QSSEEKQQENNELRTQLKQLQDDNSLLKKQLKEFQNHLNHVVDGLIHPEEVAARVDELRK RLKLGAGEMRIHSPSDVLGKSLADLQKQFSEILARSQWEKEEAQVRERKLHEEMALQQEK LANGQEEFRQACERALEARIKFDKRQHNARIQQLENEIHYLQENLKSMEKIQGLTDLQLQ EADEEKERILAQLQELEKKKKREDARSQEQFLGLDEELKSLKKAVAASDKLAAAELTIAK DQLKSLHGTVVRINQERAEVGTQVSQDVLISTVSE >ENSMUSP00000108657.2 pep:known chromosome:GRCm38:2:35132234:35160636:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000113034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] MKAQKRGKEQQLDIMNRQYTQLESRLDEILCRIAKETEEIKDLEQQLTDGQIAANEALKK DLEGVISGLQEYLGTIKGQATQAQNECRKLQDEKETLLQRLTEVQQEKEELELIAMDAEN MRKELAELESALQEQHEVNASLQQAQGDLSAYETELETQLKLKDAETSQLKQELEKLLRR TQLEQSVLQTELEKERESLRDALGKAQSSEEKQQENNELRTQLKQLQDDNSLLKKQLKEF QNHLNHVVDGLIHPEEVAARVDELRKRLKLGAGEMRIHSPSDVLGKSLADLQKQFSEILA RSQWEKEEAQVRERKLHEEMALQQEKLANGQEEFRQACERALEARIKFDKRQHNARIQQL ENEIHYLQENLKSMEKIQGLTDLQLQEADEEKERILAQLQELEKKKKREDARSQEQFLGL DEELKSLKKAVAASDKLAAAELTIAKDQLKSLHGTVVRINQERAEELQEAERFSREAMQA AKDLSRAEAEIELLQHLLREREGQFRDEMENADLGAKGANSQLLEIEALNEAMAKQRAEI TRLRDVLNLTGAGTKGGIENVLEEIAELRHAVSAQNEYISSMADPFRRQGWWYFMPPAPS SKVSSHSSQATKDSGLGLKYTASTPLRKPQPGQQEEKDSSGPLPASGYWVYSPIRSTLHK SFSKREDADSGGDSQEESGLDDQEEPPFVPPPGYIMYTVLPDGSPVPQGVALYAPSPPLP NSSHPLTPGTVVYGPPPAGAPIIYGPPPANFAVPLVPAGVQHCNIPEHHNLENEVSRLED IMQHLKSKQREERRQKASTQHSEEEVDGLHRDIDDLLQEKKELELEVEELHRTIERHQQR KDFIDGHVENLMTELEIEKSLKHHEDIVDEIECLEKTLLKRRSELREADRLLAEAENELA CTKEKTKSAVEKFTDAKRNLLQTESDAEALEKRAQETALNLVKAEQQLRLLQADAEDLEQ HKIKQEEILKEINKVVAAKDADFQCLN >ENSMUSP00000142683.1 pep:known chromosome:GRCm38:2:35132258:35137681:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000150807.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] MKAQKRGKEQQLDIMNRQYTQLESRLDEILCRIAKETEEIKDLEQQLTDGQIAANEALKK DLEGVISGLQEYLGTIKGQATQAQNECRKLQDEKETLLQRLTEVQQEKEELELIAMDAEN MRKELAELESALQEQHEVNA >ENSMUSP00000108656.2 pep:known chromosome:GRCm38:2:35132258:35147671:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000113033.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] MKAQKRGKEQQLDIMNRQYTQLESRLDEILCRIAKETEEIKDLEQQLTDGQIAANEALKK DLEGVISGLQEYLGTIKGQATQAQNECRKLQDEKETLLQRLTEVQQEKEELELIAMDAEN MRKELAELESALQEQHEVNASLQQAQGDLSAYETELETQLKLKDAETSQLKQELEKLLRR TQLEQSVLQTELEKERESLRDALGKAQSSEEKQQENNELRTQLKQLQDDNSLLKKQLKEF QNHLNHVVDGLIHPEEVAARVDELRKRLKLGAGEMRIHSPSDVLGKSLADLQKQFSEILA RSQWEKEEAQVRERKLHEEMALQQEKLANGQEEFRQACERALEARIKFDKRQHNARIQQL ENEIHYLQENLKSMEKIQGLTDLQLQEADEEKERILAQLQELEKKKKREDARSQEQFLGL DEELKSLKKAVAASDKLAAAELTIAKDQLKSLHGTVVRINQERAEVGTQVSQDVLISTVS E >ENSMUSP00000143914.1 pep:known chromosome:GRCm38:2:35145096:35147671:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000201787.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] QLENEIHYLQENLKSMEKIQGLTDLQLQEADEEKERILAQLQELEKKKREDARSQEQFLG LDEELKSLKKAVAASDKLAAAELTIAKDQLKSLHGTVVRINQERAEVGTQVSQDVLISTV SE >ENSMUSP00000143087.1 pep:known chromosome:GRCm38:2:35160308:35165795:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000124081.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] DAEALEKRAQETALNLVKAEQQLRLLQADAEDLEQHKIKQEEILKEINKVVAAKDADFQC LNEKKEKLTEE >ENSMUSP00000108660.3 pep:known chromosome:GRCm38:2:35132234:35178822:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000113037.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] MKAQKRGKEQQLDIMNRQYTQLESRLDEILCRIAKETEEIKDLEQQLTDGQIAANEALKK DLEGVISGLQEYLGTIKGQATQAQNECRKLQDEKETLLQRLTEVQQEKEELELIAMDAEN MRKELAELESALQEQHEVNASLQQAQGDLSAYETELETQLKLKDAETSQLKQELEKLLRR TQLEQSVLQTELEKERESLRDALGKAQSSEEKQQENNELRTQLKQLQDDNSLLKKQLKEF QNHLNHVVDGLIHPEEVAARVDELRKRLKLGAGEMRIHSPSDVLGKSLADLQKQFSEILA RSQWEKEEAQVRERKLHEEMALQQEKLANGQEEFRQACERALEARIKFDKRQHNARIQQL ENEIHYLQENLKSMEKIQGLTDLQLQEADEEKERILAQLQELEKKKREDARSQEQFLGLD EELKSLKKAVAASDKLAAAELTIAKDQLKSLHGTVVRINQERAEELQEAERFSREAMQAA KDLSRAEAEIELLQHLLREREGQFRDEMENADLGAKGANSQLLEIEALNEAMAKQRAEIT RLRDVLNLTGAGTKGGIENVLEEIAELRHAVSAQNEYISSMADPFRRQGWWYFMPPAPSS KVSSHSSQATKDSGLGLKYTASTPLRKPQPGQQEEKDSSGPLPASGYWVYSPIRSTLHKS FSKREDADSGGDSQEESGLDDQEEPPFVPPPGYIMYTVLPDGSPVPQGVALYAPSPPLPN SSHPLTPGTVVYGPPPAGAPIIYGPPPANFAVPLVPAGVQHCNIPEHHNLENEVSRLEDI MQHLKSKQREERRQKASTQHSEEEVDGLHRDIDDLLQEKKELELEVEELHRTIERHQQRK DFIDGHVENLMTELEIEKSLKHHEDIVDEIECLEKTLLKRRSELREADRLLAEAENELAC TKEKTKSAVEKFTDAKRNLLQTESDAEALEKRAQETALNLVKAEQQLRLLQADAEDLEQH KIKQEEILKEINKVVAAKDADFQCLNEKKEKLTEELQSLQRDIKAAQHSEDHHLQVLRES ETLLQAKRAELETLKSQVTSQQQELAVLDSELGHRREELLLLQDSLAQAKADLQEALTLG ETEVAEKCSHIREVKSLLEELSFQKGELNVHISEKKTQLALIQQEMEKEEKNLQVVLQQL SRHKTELKNVADILQLETSELQGLKLQHDQKVVELEKAQVDVLEEKLELENLQQATQQQR RELERQRQLLERDRRETERVRAESQALQSCVECLSKEKEDLQGQCESWEKKSSHAQRVLA ATEESNKMEQSNLGKLELSVRKLRQELEQLSQDKLALHSEVAEVQQQLQGKQEAINSLQE ELDSTQDHLDLAKQDLIHTTKCQNELLNEQTQLQEDISKWMARLESCQKETETKEQQVQQ LQDEIRESKLRLDQQEMMFQKLQKEREREEQKFEAGKVTLEQQQRQLEKELTDQKSRLKQ LLTDVSAAEGRLGTLQEEERRIEGLERMLSQAKQQLSEREQQLMAKSGELLALQKEADDM RADFSLLRNQFLTERKKAEKQVAGLKEALKIQRSQLEKNLLEQKQENSCMQKEMATIELV AQDNHERARRLMKELSQMQQEYLELKKQVANQKDLERRQMEVSDAMRTLKSEVKDEIRTS LRNLNQFLPELPADLASILERNENLRELESLKENFPFTTKERIFEEKSNFPQVHIMDEHW RGEALRQRLRRHEDQLKAQLRHCMSKQAEVLIKGKQQTEGTLHSLRRQVDALGELVTSTS TDSASSPSLPSLVEDSQHGHSQSSFQVLQVPLEEPNSYRH >ENSMUSP00000028237.8 pep:known chromosome:GRCm38:2:35109492:35178822:1 gene:ENSMUSG00000057110.15 transcript:ENSMUST00000028237.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrl description:centriolin [Source:MGI Symbol;Acc:MGI:1889576] MKKGSERRLSKAKMPLSSHFPGPSSLRSSMRSRSLSPLIGSETQPLHPGGQWPAQAELTD ESTVPLEPQQRKGAESYVGVRYITEALIKKLTKQDNLALVKSLNLSLSKDGGKKFRYIEN LEKCVKLEVLNLSYNLIVKIEKVDKLLRLRELNLSYNKISKIEGLENMCNLQKLNLAGNE IEHIPVWFAKKLKSLRVLNLKGNKISSLQDVSKLKPLQDLTSLVLIDNPVVALPHYLQFI IFHLRSLESLEGQPVTTQDRQEAFERFSLEEIERLEKDLEKKTVETEELKNKQTKFLEEI KNQDKLNKSLKEEAMLQKQSCEELESDLSTKKELLKQKTVELTRACQKQYELEQELAFYK IDAKFEPLNYYPSEYAEIDKYPDESPYIGKSRYKRNMFATETYIVSDAQAVQIRKMVPEG GQLRHEHTPPRVQAPPDLQLEDTEKKISAAQTRLSELHHEIETAEQKVLRATQEFKQLEE AIQQKKISEAEKDLLLKQLSGRLQHLNRLRQEALDLEIQMEKQRKEIAEKHEEINTVQLA TDSLDPKDPKHSHMKAQKRGKEQQLDIMNRQYTQLESRLDEILCRIAKETEEIKDLEQQL TDGQIAANEALKKDLEGVISGLQEYLGTIKGQATQAQNECRKLQDEKETLLQRLTEVQQE KEELELIAMDAENMRKELAELESALQEQHEVNASLQQAQGDLSAYETELETQLKLKDAET SQLKQELEKLLRRTQLEQSVLQTELEKERESLRDALGKAQSSEEKQQENNELRTQLKQLQ DDNSLLKKQLKEFQNHLNHVVDGLIHPEEVAARVDELRKRLKLGAGEMRIHSPSDVLGKS LADLQKQFSEILARSQWEKEEAQVRERKLHEEMALQQEKLANGQEEFRQACERALEARIK FDKRQHNARIQQLENEIHYLQENLKSMEKIQGLTDLQLQEADEEKERILAQLQELEKKKK REDARSQEQFLGLDEELKSLKKAVAASDKLAAAELTIAKDQLKSLHGTVVRINQERAEEL QEAERFSREAMQAAKDLSRAEAEIELLQHLLREREGQFRDEMENADLGAKGANSQLLEIE ALNEAMAKQRAEITRLRDVLNLTGAGTKGGIENVLEEIAELRHAVSAQNEYISSMADPFR RQGWWYFMPPAPSSKVSSHSSQATKDSGLGLKYTASTPLRKPQPGQQEEKDSSGPLPASG YWVYSPIRSTLHKSFSKREDADSGGDSQEESGLDDQEEPPFVPPPGYIMYTVLPDGSPVP QGVALYAPSPPLPNSSHPLTPGTVVYGPPPAGAPIIYGPPPANFAVPLVPAGVQHCNIPE HHNLENEVSRLEDIMQHLKSKQREERRQKASTQHSEEEVDGLHRDIDDLLQEKKELELEV EELHRTIERHQQRKDFIDGHVENLMTELEIEKSLKHHEDIVDEIECLEKTLLKRRSELRE ADRLLAEAENELACTKEKTKSAVEKFTDAKRNLLQTESDAEALEKRAQETALNLVKAEQQ LRLLQADAEDLEQHKIKQEEILKEINKVVAAKDADFQCLNEKKEKLTEELQSLQRDIKAA QHSEDHHLQVLRESETLLQAKRAELETLKSQVTSQQQELAVLDSELGHRREELLLLQDSL AQAKADLQEALTLGETEVAEKCSHIREVKSLLEELSFQKGELNVHISEKKTQLALIQQEM EKEEKNLQVVLQQLSRHKTELKNVADILQLETSELQGLKLQHDQKVVELEKAQVDVLEEK LELENLQQATQQQRRELERQRQLLERDRRETERVRAESQALQSCVECLSKEKEDLQGQCE SWEKKSSHAQRVLAATEESNKMEQSNLGKLELSVRKLRQELEQLSQDKLALHSEVAEVQQ QLQGKQEAINSLQEELDSTQDHLDLAKQDLIHTTKCQNELLNEQTQLQEDISKWMARLES CQKETETKEQQVQQLQDEIRESKLRLDQQEMMFQKLQKEREREEQKFEAGKVTLEQQQRQ LEKELTDQKSRLKQLLTDVSAAEGRLGTLQEEERRIEGLERMLSQAKQQLSEREQQLMAK SGELLALQKEADDMRADFSLLRNQFLTERKKAEKQVAGLKEALKIQRSQLEKNLLEQKQE NSCMQKEMATIELVAQDNHERARRLMKELSQMQQEYLELKKQVANQKDLERRQMEVSDAM RTLKSEVKDEIRTSLRNLNQFLPELPADLASILERNENLRELESLKENFPFTTKERIFEE KSNFPQVHIMDEHWRGEALRQRLRRHEDQLKAQLRHCMSKQAEVLIKGKQQTEGTLHSLR RQVDALGELVTSTSTDSASSPSLPSLVEDSQHGHSQSSFQVLQVPLEEPNSYRH >ENSMUSP00000053147.2 pep:known chromosome:GRCm38:2:28907679:28916412:-1 gene:ENSMUSG00000026805.14 transcript:ENSMUST00000050776.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Barhl1 description:BarH-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1859288] MEGSNGFGIDSILSHRAGSPALPKGDPLLGDCRSPLELSPRSESSSDCSSPASPGRDCLE TSTSRPGAASGPGLDSHLQPGQLSAPAQSRTVTSSFLIRDILADCKPLAACAPYSSSGQP AAPEPGGRLAAKAGEDFRDKLDKSVSSASSDSEYKVKEEGDREISSSRDSPPVRLKKPRK ARTAFTDHQLAQLERSFERQKYLSVQDRMELAASLNLTDTQVKTWYQNRRTKWKRQTAVG LELLAEAGNYSALQRMFPSPYFYPQSLVSNLDPGAALYLYRGPSAPPPALQRPLVPRILI HGLQGASEPPPPLPPLPGVLPRAAQPR >ENSMUSP00000109480.1 pep:known chromosome:GRCm38:2:28908969:28916440:-1 gene:ENSMUSG00000026805.14 transcript:ENSMUST00000113849.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Barhl1 description:BarH-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1859288] MEGSNGFGIDSILSHRAGSPALPKGDPLLGDCRSPLELSPRSESSSDCSSPASPGRDCLE TSTSRPGAASGPGLDSHLQPGQLSAPAQSRTVTSSFLIRDILADCKPLAACAPYSSSGQP AAPEPGGRLAAKAGEDFRDKLDKSVSSASSDSEYKVKEEGDREISSSRDSPPVRLKKPRK ARTAFTDHQLAQLERSFERQKYLSVQDRMELAASLNLTDTQVKTWYQNRRTKWKRQTAVG LELLAEAGNYSALQRMFPSPYFYPQSLVSNLDPGAALYLYRGPSAPPPALQRPLVPRILI HGLQGASEPPPPLPPLPGVLPRAAQPR >ENSMUSP00000109478.1 pep:known chromosome:GRCm38:2:28908969:28916668:-1 gene:ENSMUSG00000026805.14 transcript:ENSMUST00000113847.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Barhl1 description:BarH-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1859288] MEGSNGFGIDSILSHRAGSPALPKGDPLLGDCRSPLELSPRSESSSDCSSPASPGRDCLE TSTSRPGAASGPGLDSHLQPGQLSAPAQSRTVTSSFLIRDILADCKPLAACAPYSSSGQP AAPEPGGRLAAKAGEDFRDKLDKSVSSASSDSEYKVKEEGDREISSSRDSPPVRLKKPRK ARTAFTDHQLAQLERSFERQKYLSVQDRMELAASLNLTDTQVKTWYQNRRTKWKRQTAVG LELLAEAGNYSALQRMFPSPYFYPQSLVSNLDPGAALYLYRGPSAPPPALQRPLVPRILI HGLQGASEPPPPLPPLPGVLPRAAQPR >ENSMUSP00000019859.8 pep:known chromosome:GRCm38:2:29935426:29960371:1 gene:ENSMUSG00000019715.14 transcript:ENSMUST00000019859.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gle1 description:GLE1 RNA export mediator (yeast) [Source:MGI Symbol;Acc:MGI:1921662] MPSDGRCWETLRALRNTSKGRLRYDREWLLRYEDVLEECMSLPKLSSYSGWVVDHILPNT SGHTQESAPSSDNSPSSGSASGLYQSSLLKSPVRSSPQSPSPSSPNGTQSTHESPFTEPI APQSSRAIKVEGCIRMYELAHRMRGTEGLRQWQEEQERKVRALSEMASEQLKRFDELKEL KLHKEFQDLQEVMEKSTREALGHQEKLKEEHRHRAKILNLKLREAEQQRVKQAEQEQLRK EEGQVRLRSLYSLQEEVLQLNQQLDASSQHKELLSVDLAAFQTRGNQLCGLISSIIRTTL ESGYPTAENQAEAERALQEMRDLLSDLEQEITRASQVKKKHEEEAKVKRQESQVQQGPAP PTQTSAPSPSPVGAQNEDLQVKVQDSTMQWYQQLQDASAKCVLAFEDLTSSKDSQTKKIK MDLQKAATIPVSQISTIAGSKLKEIFDKIHSLLSGKPVQSGGRSVSVTLNPQGLDFVQYK LAEKFVKQGEEEVASHHEAAFPIAVVASGIWMLHPKVGDLILAHLHKKCPYSVPFYPAFK EGMALEDYQRMLGYQVTDSKVEQQDNFLKRMSGMIRLYAAIIQLQWPYGNRQEAHPHGLN HGWRWLAQVLNMEPLSDVTATLLFDFLEVCGNALMKQYQVQFWKMILLIKEDYFPRIEAI TSSGQMGSFIRLKQFLEKCLQRREIPVPRGFLTTSFWRS >ENSMUSP00000146894.1 pep:known chromosome:GRCm38:7:108950338:109022732:1 gene:ENSMUSG00000031028.14 transcript:ENSMUST00000207583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tub description:tubby candidate gene [Source:MGI Symbol;Acc:MGI:2651573] MVQSSARAPAGPAASERAPSPAQPPPVEPQAQSRTAMEGVSSHRTLSYSRWSYDSVLDDE GSNLRQQKLDRQRALLEQKQKKKRQEPLMVQANADGRPRSRRARQSEEQAPLVESYLSSS GSTSYQVQEADSIASVQLGATRPPAPASAKKSKGAAASGGQGGAPRK >ENSMUSP00000033341.5 pep:known chromosome:GRCm38:7:109010825:109034460:1 gene:ENSMUSG00000031028.14 transcript:ENSMUST00000033341.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tub description:tubby candidate gene [Source:MGI Symbol;Acc:MGI:2651573] MTSKPHSDWIPYSVLDDEGSNLRQQKLDRQRALLEQKQKKKRQEPLMVQANADGRPRSRR ARQSEEQAPLVESYLSSSGSTSYQVQEADSIASVQLGATRPPAPASAKKSKGAAASGGQG GAPRKEKKGKHKGTSGPATLAEDKSEAQGPVQILTVGQSDHDKDAGETAAGGGAQPSGQD LRATMQRKGISSSMSFDEDEDEDENSSSSSQLNSNTRPSSATSRKSIREAASAPSPAAPE PPVDIEVQDLEEFALRPAPQGITIKCRITRDKKGMDRGMYPTYFLHLDREDGKKVFLLAG RKRKKSKTSNYLISVDPTDLSRGGDSYIGKLRSNLMGTKFTVYDNGVNPQKASSSTLESG TLRQELAAVCYETNVLGFKGPRKMSVIVPGMNMVHERVCIRPRNEHETLLARWQNKNTES IIELQNKTPVWNDDTQSYVLNFHGRVTQASVKNFQIIHGNDPDYIVMQFGRVAEDVFTMD YNYPLCALQAFAIALSSFDSKLACE >ENSMUSP00000113580.1 pep:known chromosome:GRCm38:7:109017542:109030482:1 gene:ENSMUSG00000031028.14 transcript:ENSMUST00000119474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tub description:tubby candidate gene [Source:MGI Symbol;Acc:MGI:2651573] MVQANADGRPRSRRARQSEEQAPLVESYLSSSGSTSYQVQEADSIASVQLGATRPPAPAS AKKSKGAAASGGQGGAPRKEKKGKHKGTSGPATLAEDKSEAQGPVQILTVGQSDHDKDAG ETAAGGGAQPSGQDLRATMQRKGISSSMSFDEDEDEDENSSSSSQLNSNTRPSSATSRKS IREAASAPSPAAPEPPVDIEVQDLEEFALRPAPQGITIKCRITRDKKGMDRGMYPTYFLH LDREDGKKVFLLAGRKRKKSKTSNYLISVDPTDLSRGGDSYIGKLRSNLMGTKFTVYDNG VNPQKASSSTLESGTLRQELAAVCYETNVLGFKGPRKMSVIVPGMNMVHERVCIRPRNEH ETLLARWQNKNTESIIELQNKTPVWNDDTQSYVLNFHGRVTQASVKNFQIIHGNDPDYIV MQFGRVAEDVFTMDYNYPLCALQAFAIALSSFDSKLACE >ENSMUSP00000132035.1 pep:known chromosome:GRCm38:14:5071040:5093318:1 gene:ENSMUSG00000095681.7 transcript:ENSMUST00000163719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8281 description:predicted gene, 8281 [Source:MGI Symbol;Acc:MGI:3647811] MLNSSKLGLSGMFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNT STQNSKMTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRL LVEQAGHKCPVGKKKVL >ENSMUSP00000098453.2 pep:known chromosome:GRCm38:14:5085714:5088797:1 gene:ENSMUSG00000095681.7 transcript:ENSMUST00000100893.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8281 description:predicted gene, 8281 [Source:MGI Symbol;Acc:MGI:3647811] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSKMTKKR SKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAGHKCPV GKKGSLRRPARTSVSQVPRNTSVC >ENSMUSP00000063157.3 pep:known chromosome:GRCm38:4:118540941:118543728:-1 gene:ENSMUSG00000050854.9 transcript:ENSMUST00000060214.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem125 description:transmembrane protein 125 [Source:MGI Symbol;Acc:MGI:1923409] MSQQASVGRGLPPDVLAEQVELWWSQQPRRSLLCFSVAVILVAGCGAGGVALLSSTSSRS GEWRLAVGTVLCLLALLVLVKQLMSSAVQDMNCIRQAQHVALLRSGGGADAVVVLLSGFV LLVTGLTLAGLAAAPAPARPLAAMLSVGITLASLGSVLLLGLLLYQVGVSGHCPPICTEA FSAQNGHGDNSSIFSISGQLSSGQRHETTSSIASLI >ENSMUSP00000115304.1 pep:known chromosome:GRCm38:4:118541859:118544044:-1 gene:ENSMUSG00000050854.9 transcript:ENSMUST00000128098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem125 description:transmembrane protein 125 [Source:MGI Symbol;Acc:MGI:1923409] MSQQASVGRGLPPDVLAEQVELWWSQQPRRSLLCFSVAVILVAGCGAGGVALLSSTSSRS GEWRLAVGTVLCLLALLVLVKQLMSSAVQDMNCIRQAQHVALLRSGGGADAVVVLLSGFV LLVTG >ENSMUSP00000117871.1 pep:known chromosome:GRCm38:4:118542017:118542962:-1 gene:ENSMUSG00000050854.9 transcript:ENSMUST00000150044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem125 description:transmembrane protein 125 [Source:MGI Symbol;Acc:MGI:1923409] MSQQASVGRGLPPDVLAEQVELWWSQQPRRSLLCFSVAVILVAGCGAGGVALLSSTSSRS GEWRLAVGTVLC >ENSMUSP00000117286.1 pep:known chromosome:GRCm38:4:118542067:118543289:-1 gene:ENSMUSG00000050854.9 transcript:ENSMUST00000156191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem125 description:transmembrane protein 125 [Source:MGI Symbol;Acc:MGI:1923409] MSQQASVGRGLPPDVLAEQVELWWSQQPRRSLLCFSVAVILVAGCGAGGVALLSS >ENSMUSP00000146487.1 pep:known chromosome:GRCm38:7:119442537:119459270:-1 gene:ENSMUSG00000030954.10 transcript:ENSMUST00000207887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gp2 description:glycoprotein 2 (zymogen granule membrane) [Source:MGI Symbol;Acc:MGI:1914383] MKRMVGCDLLWLAAASCVLTLVSPSTIHQGYGRPRNSSNLDLDCGSPDSPSSGICFDPCQ NHTVLNDPTRSTENNDSSVAWCDDNLHGWYRFVGDGGVKMPETCVSVFRCHTSAPMWLSG SHPILGDGIVSHTACANWNENCCFWRSEVQVKACSEELGEYHVYKLQGTPECSLRYCTDP STAPKNCEITCRPEEECVFQNNNWSCVCRQDLHVSDSQSLQPLLDCGDNEIKVKLDKCLL GGMGFKEEIIAYLNDRNCNGTMQDEPNNWVSMTSPVVANYCGNILEKNGTHAIYRNTLSL ATDFIIRDFRVNVNFQCAYPLDMSVSLETALQPIVSSLTVDVDGAGEFNVKMALFQDQSY TNPYEGAEVLLPVESILYVGVLLNRGDTSRFKLLLTNCYATPSEDRHDPVKYFIIKNRCP NQRDSTINVRENGVSSESRFSVQMFMFAGNYDLVFLHCEVYLCDSTTEQCQPVLRVLLPR RGLPTLQGSCWPGPCSSCLSSWLYCSERPAEHLALKFFPLAMAHTHPSVELDSSVNHFDC PGNAMYIDNSWVELSC >ENSMUSP00000033255.5 pep:known chromosome:GRCm38:7:119442542:119459285:-1 gene:ENSMUSG00000030954.10 transcript:ENSMUST00000033255.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gp2 description:glycoprotein 2 (zymogen granule membrane) [Source:MGI Symbol;Acc:MGI:1914383] MKRMVGCDLLWLAAASCVLTLVSPSTIHQGYGRPRNSSNLDLDCGSPDSPSSGICFDPCQ NHTVLNDPTRSTENNDSSVAWCDDNLHGWYRFVGDGGVKMPETCVSVFRCHTSAPMWLSG SHPILGDGIVSHTACANWNENCCFWRSEVQVKACSEELGEYHVYKLQGTPECSLRYCTDP STAPKNCEITCRPEEECVFQNNNWSCVCRQDLHVSDSQSLQPLLDCGDNEIKVKLDKCLL GGMGFKEEIIAYLNDRNCNGTMQDEPNNWVSMTSPVVANYCGNILEKNGTHAIYRNTLSL ATDFIIRDFRVNVNFQCAYPLDMSVSLETALQPIVSSLTVDVDGAGEFNVKMALFQDQSY TNPYEGAEVLLPVESILYVGVLLNRGDTSRFKLLLTNCYATPSEDRHDPVKYFIIKNRCP NQRDSTINVRENGVSSESRFSVQMFMFAGNYDLVFLHCEVYLCDSTTEQCQPSCSTNRLR SSRPAIDYNRVLDLGPITKRSAQSSATSKGTPHTTGFLLAWPMFFLPVFLALLF >ENSMUSP00000145619.1 pep:known chromosome:GRCm38:7:127022130:127026526:-1 gene:ENSMUSG00000030678.7 transcript:ENSMUST00000205568.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maz description:MYC-associated zinc finger protein (purine-binding transcription factor) [Source:MGI Symbol;Acc:MGI:1338823] MFPVFPCTLLAPPFPVLGLDSRGVGGLMNSFPPPQGHAQNPLQVGAELQSRFFASQGCAQ SPFQAAPAPPPTPQAPAAEPLQVDLLPVLAAAQESAAAAAAAAAAAAAVVTAPPAPAAAS TVDTAALKQPPAPPPPPPAVSAPAAEAAPPAAAATIAAAAATAVVAPTSTVAVAPVASVL EKKTKSKGPYICALCAKEFKNGYNLRRHEAIHTGAKAGRVPSGAMKMPTMVPLSLLSVPQ LSGASGGGGEAGAGGGTAAVAAGGVVTTTASGKRIRKNHACEMCGKAFRDVYHLNRHKLS HSDEKPYQCPVCQQRFKRKDRMSYHVRSHDGAVHKPYNCSHCGKSFSRPDHLNSHVRQVH STERPFKCEKCEAAFATKDRLRAHTVRHEEKVPCHVCGKMLSSAYISDHMKVHSQGPHHV CELCNKGFTTAAYLRIHAVKDHGLQAPRADRILCKLCSVHCKTPAQLAGHMQTHLGGAAP PIPGDAPQPQPTC >ENSMUSP00000032916.4 pep:known chromosome:GRCm38:7:127022134:127026452:-1 gene:ENSMUSG00000030678.7 transcript:ENSMUST00000032916.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maz description:MYC-associated zinc finger protein (purine-binding transcription factor) [Source:MGI Symbol;Acc:MGI:1338823] MFPVFPCTLLAPPFPVLGLDSRGVGGLMNSFPPPQGHAQNPLQVGAELQSRFFASQGCAQ SPFQAAPAPPPTPQAPAAEPLQVDLLPVLAAAQESAAAAAAAAAAAAAVVTAPPAPAAAS TVDTAALKQPPAPPPPPPAVSAPAAEAAPPAAAATIAAAAATAVVAPTSTVAVAPVASVL EKKTKSKGPYICALCAKEFKNGYNLRRHEAIHTGAKAGRVPSGAMKMPTMVPLSLLSVPQ LSGASGGGGEAGAGGGTAAVAAGGVVTTTASGKRIRKNHACEMCGKAFRDVYHLNRHKLS HSDEKPYQCPVCQQRFKRKDRMSYHVRSHDGAVHKPYNCSHCGKSFSRPDHLNSHVRQVH STERPFKCEKCEAAFATKDRLRAHTVRHEEKVPCHVCGKMLSSAYISDHMKVHSQGPHHV CELCNKGTGEVCPMAAAAAAAAAAAAAVVAAPPTAVGSLSGAEGVPVSSQPLPSQPW >ENSMUSP00000145610.1 pep:known chromosome:GRCm38:7:127022135:127027024:-1 gene:ENSMUSG00000030678.7 transcript:ENSMUST00000206254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maz description:MYC-associated zinc finger protein (purine-binding transcription factor) [Source:MGI Symbol;Acc:MGI:1338823] MDPSNWSSFIFQGHAQNPLQVGAELQSRFFASQGCAQSPFQAAPAPPPTPQAPAAEPLQV DLLPVLAAAQESAAAAAAAAAAAAAVVTAPPAPAAASTVDTAALKQPPAPPPPPPAVSAP AAEAAPPAAAATIAAAAATAVVAPTSTVAVAPVASVLEKKTKSKGPYICALCAKEFKNGY NLRRHEAIHTGAKAGRVPSGAMKMPTMVPLSLLSVPQLSGASGGGGEAGAGGGTAAVAAG GVVTTTASGKRIRKNHACEMCGKAFRDVYHLNRHKLSHSDEKPYQCPVCQQRFKRKDRMS YHVRSHDGAVHKPYNCSHCGKSFSRPDHLNSHVRQVHSTERPFKCEKCEAAFATKDRLRA HTVRHEEKVPCHVCGKMLSSAYISDHMKVHSQGPHHVCELCNKGTGEVCPMAAAAAAAAA AAAAVVAAPPTAVGSLSGAEGVPVSSQPLPSQPW >ENSMUSP00000145984.1 pep:known chromosome:GRCm38:7:127022890:127026572:-1 gene:ENSMUSG00000030678.7 transcript:ENSMUST00000205461.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maz description:MYC-associated zinc finger protein (purine-binding transcription factor) [Source:MGI Symbol;Acc:MGI:1338823] MFPVFPCTLLAPPFPVLGLDSRGVGGLMNSFPPPQGHAQNPLQVGAELQSRFFASQGCAQ SPFQKCEAAFATKDRLRAHTVRHEEKVPCHVCGKMLSSAYISDHMKVHSQGPHHVCELCN KGTGEVCPMAAAAAAAAAAAAAVVAAPPTAVGSLSGAEGVPVSSQPLPSQPW >ENSMUSP00000146267.1 pep:known chromosome:GRCm38:7:127025887:127027037:-1 gene:ENSMUSG00000030678.7 transcript:ENSMUST00000206291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maz description:MYC-associated zinc finger protein (purine-binding transcription factor) [Source:MGI Symbol;Acc:MGI:1338823] MDPSNWSSFIFQGCAQSPFQAAPAPPPTPQAPAAEPLQVDLLPVLAAAQESAAAAAAAAA AAAAVVTAPPAPAAASTVDTAALKQPPAPPPPPPAVSAPAAEAAPPAAA >ENSMUSP00000048802.7 pep:known chromosome:GRCm38:11:83942062:83963088:1 gene:ENSMUSG00000020677.7 transcript:ENSMUST00000049257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx52 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 52 [Source:MGI Symbol;Acc:MGI:1925644] MDSYDLFRRLGAGAKFDVKRFSADATRFQVGKRKFDSESLEVLKGLDFFGNKKSVSDECG ALQIHQEPPNEEKTQGVLLERSKEPKKKKRKKMTSEVPAQEDFDGGIQWTSSVEAKLQDE KVSGEKKLTSGKLEHLRKEKVNFFRNKHKIHVQGTDLPDPIATFQQLDQEYKINSRLLQN ILDAGFQVPTPIQMQAIPVMLHGRELLASAPTGSGKTLAFSIPILMQLKQPTNKGFRALV ISPTRELASQIHRELIKISEGTGFRIHMIHKAAIAAKKFGPKSSKKFDILVTTPNRLIYL LKQDPPGIDLTNVEWLVVDESDKLFEDGKTGFREQLASIFLACTSPKVRRAMFSATFAYD VEQWCKLNLDNVVSVSIGARNSAVETVEQELLFVGSETGKLLAMRELVKKGFKPPVLVFV QSIERAKELFHELIYEGINVDVIHAERTQQQRDNTVHSFRAGKIWVLICTALLARGIDFK GVNLVINYDFPTSSVEYIHRIGRTGRAGNRGKAVTFFTEDDKPLLRSVANVIQQAGCPVP EYIKGFQKLLSKQKKKMIKKPLERESICTTPKYFLEQAKQKKVAGQNSKKKETLKEKS >ENSMUSP00000108387.2 pep:known chromosome:GRCm38:14:5131519:5139959:1 gene:ENSMUSG00000079378.8 transcript:ENSMUST00000112767.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8279 description:predicted gene 8279 [Source:MGI Symbol;Acc:MGI:3779794] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000131107.1 pep:known chromosome:GRCm38:14:5131575:5139959:1 gene:ENSMUSG00000079378.8 transcript:ENSMUST00000165713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8279 description:predicted gene 8279 [Source:MGI Symbol;Acc:MGI:3779794] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWMKSTECAQEIHHC CLSSLITMAVMGCMLLLYFVSLV >ENSMUSP00000127970.1 pep:known chromosome:GRCm38:14:5131582:5134559:1 gene:ENSMUSG00000079378.8 transcript:ENSMUST00000170040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8279 description:predicted gene 8279 [Source:MGI Symbol;Acc:MGI:3779794] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000095062.2 pep:known chromosome:GRCm38:1:179960472:180163156:1 gene:ENSMUSG00000026490.17 transcript:ENSMUST00000097453.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpa description:CDC42 binding protein kinase alpha [Source:MGI Symbol;Acc:MGI:2441841] MSGEVRLRQLEQFILDGPAQTNGQCFSVETLLDILICLYDECNNSPLRREKNILEYLEWA KPFTSKVKQMRLHREDFEILKVIGRGAFGEVAVVKLKNADKVFAMKILNKWEMLKRAETA CFREERDVLVNGDSKWITTLHYAFQDDNNLYLVMDYYVGGDLLTLLSKFEDRLPEEMARF YLAEMVIAIDSVHQLHYVHRDIKPDNILMDMNGHIRLADFGSCLKLMEDGTVQSSVAVGT PDYISPEILQAMEDGKGRYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHKER FQFPAQVTDVSENAKDLIRRLICSREHRLGQNGIEDFKKHPFFSGIDWDNIRNCEAPYIP EVSSPTDTSNFDVDDDCLKNSETMPPPTHTAFSGHHLPFVGFTYTSSCVLSDRSCLRVTA GPTSLDLDVSVQRTLDNNLATEAYERRIKRLEQEKLELTRKLQESTQTVQALQYSTVDGP LTASKDLEIKSLKEEIEKLRKQVAEVNHLEQQLEEANSVRRELDDAFRQIKASEKQIKTL QQEREELNKELVQASERLKNQSKELKDAHCQRKLAMQEFMEINERLTELHTQKQKLARHV RDKEEEVDLVMQKAESLRQELRRAERAKKELEVHTEALIAEASKDKKLREQSEHYSKQLE NELEGLKQKQISYSPGICSIEHQQEITKLKTDLEKKSIFYEEEISKREGIHASEIKNLKK ELHDSEGQQLALNKEILVLKDKLEKTRRESQSEREEFENEFKQQYEREKVLLTEENKKLT SELDKLTSLYESLSLRNQHLEEEVKDLADKKESVAHWEAQITEIIQWVSDEKDARGYLQA LASKMTEELEALRNSSLGTRATDMPWKMRRFAKLDMSARLELQSALDAEIRAKQAIQEEL NKVKASNILTECKLKDSEKKNLELLSEIEQLIKDTEELRSEKGIEHQDSQHSFLAFLNTP TDALDQFERKTHQFFVKSFTAPTKCHQCTSLMVGLIRQGCSCEVCGFSCHITCVNKAPTV CPVPPEQTKGPLGIDPQKGVGTAYEGHVRIPKPAGVKKGWQRALAVVCDFKLFLYDIAEG KASQPTSVISQVIDMRDEEFSVSSVLASDVIHASRKDIPCIFRVTASQLSAPSNKCSILM LADSENERSKWVGVLSELHKILKKNKFRDRSVYVPKEAYDSTLPLIKTTQAAAIIDHERI ALGNEEGLFVVHVTKDEIVRVGDNKKIHQIELIPSDQLVAVISGRNRHVRLFPMSALDGR ETDFYKLAETKGCQTIAAGKVRHGALSCLCVAMKRQVLCYELFQSKTRHRKFKEIQVPCN VQWMAIFSEHLCVGFQSGFLRYPLNGEGGPCNMLHSNDHTLSFISHQPMDALCAVEISNK EYLLCFNSIGIYTDCQGRRSRQQELMWPANPSSCCYNAPYLSVYSENAVDIFDVNSMEWI QTLPLKKVRPLNTEGSLNLLGLETIRLIYFKNKMAEGDELVVPETSDNSRKQMVRNINNK RRYSFRVPEEERMQQRREMLRDPEMRNKLISNPTNFNHIAHMGPGDGIQILKDLPMNPRP QESRTVFSGSVSIPSITKSRPEPGRSMSASSGLSARSSAQNGSALKREFSGGSYNTKRQP MPSPSEGSLSSGGMDQGSDAPARDYDGEDSDSPRHSTASNSSNLSSPPSPISPQKTKSLS LESTDRGSWDP >ENSMUSP00000106746.1 pep:known chromosome:GRCm38:1:179960585:180165603:1 gene:ENSMUSG00000026490.17 transcript:ENSMUST00000111117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpa description:CDC42 binding protein kinase alpha [Source:MGI Symbol;Acc:MGI:2441841] MSGEVRLRQLEQFILDGPAQTNGQCFSVETLLDILICLYDECNNSPLRREKNILEYLEWA KPFTSKVKQMRLHREDFEILKVIGRGAFGEVAVVKLKNADKVFAMKILNKWEMLKRAETA CFREERDVLVNGDSKWITTLHYAFQDDNNLYLVMDYYVGGDLLTLLSKFEDRLPEEMARF YLAEMVIAIDSVHQLHYVHRDIKPDNILMDMNGHIRLADFGSCLKLMEDGTVQSSVAVGT PDYISPEILQAMEDGKGRYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHKER FQFPAQVTDVSENAKDLIRRLICSREHRLGQNGIEDFKKHPFFSGIDWDNIRNCEAPYIP EVSSPTDTSNFDVDDDCLKNSETMPPPTHTAFSGHHLPFVGFTYTSSCVLSDRSCLRVTA GPTSLDLDVSVQRTLDNNLATEAYERRIKRLEQEKLELTRKLQESTQTVQALQYSTVDGP LTASKDLEIKSLKEEIEKLRKQVAEVNHLEQQLEEANSVRRELDDAFRQIKASEKQIKTL QQEREELNKELVQASERLKNQSKELKDAHCQRKLAMQEFMEINERLTELHTQKQKLARHV RDKEEEVDLVMQKAESLRQELRRAERAKKELEVHTEALIAEASKDKKLREQSEHYSKQLE NELEGLKQKQISYSPGICSIEHQQEITKLKTDLEKKSIFYEEEISKREGIHASEIKNLKK ELHDSEGQQLALNKEILVLKDKLEKTRRESQSEREEFENEFKQQYEREKVLLTEENKKLT SELDKLTSLYESLSLRNQHLEEEVKDLADKKESVAHWEAQITEIIQWVSDEKDARGYLQA LASKMTEELEALRNSSLGTRATDMPWKMRRFAKLDMSARLELQSALDAEIRAKQAIQEEL NKVKASNILTECKLKDSEKKNLELLSEIEQLIKDTEELRSEKGIEHQDSQHSFLAFLNTP TDALDQFERSPSCTPASKGRRIADCAPLPAHTPTLRKKGCPASTGFPPKRKTHQFFVKSF TAPTKCHQCTSLMVGLIRQGCSCEVCGFSCHITCVNKAPTVCPVPPEQTKGPLGIDPQKG VGTAYEGHVRIPKPAGVKKGWQRALAVVCDFKLFLYDIAEGKASQPTSVISQVIDMRDEE FSVSSVLASDVIHASRKDIPCIFRVTASQLSAPSNKCSILMLADSENERSKWVGVLSELH KILKKNKFRDRSVYVPKEAYDSTLPLIKTTQAAAIIDHERIALGNEEGLFVVHVTKDEIV RVGDNKKIHQIELIPSDQLVAVISGRNRHVRLFPMSALDGRETDFYKLAETKGCQTIAAG KVRHGALSCLCVAMKRQVLCYELFQSKTRHRKFKEIQVPCNVQWMAIFSEHLCVGFQSGF LRYPLNGEGGPCNMLHSNDHTLSFISHQPMDALCAVEISNKEYLLCFNSIGIYTDCQGRR SRQQELMWPANPSSCCYNAPYLSVYSENAVDIFDVNSMEWIQTLPLKKVRPLNTEGSLNL LGLETIRLIYFKNKMAEGDELVVPETSDNSRKQMVRNINNKRRYSFRVPEEERMQQRREM LRDPEMRNKLISNPTNFNHIAHMGPGDGIQILKDLPMNPRPQESRTVFSGSVSIPSITKS RPEPGRSMSASSGLSARSSAQNGSALKREFSGGSYNTKRQPMPSPSEGSLSSGGMDQGSD APARDYDGEDSDSPRHSTASNSSNLSSPPSPISPQKTKSLSLESTDRGSWDP >ENSMUSP00000142018.1 pep:known chromosome:GRCm38:1:179960760:180111891:1 gene:ENSMUSG00000026490.17 transcript:ENSMUST00000134959.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdc42bpa description:CDC42 binding protein kinase alpha [Source:MGI Symbol;Acc:MGI:2441841] MSGEVRLRQLEQFILDGPAQTNGQCFSVETLLDILICLYDECNNSPLRREKNILEYLEWA KPFTSKVKQMRLHREDFEILKVIGRGAFGEENL >ENSMUSP00000075980.5 pep:known chromosome:GRCm38:1:179961110:180162166:1 gene:ENSMUSG00000026490.17 transcript:ENSMUST00000076687.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpa description:CDC42 binding protein kinase alpha [Source:MGI Symbol;Acc:MGI:2441841] MSGEVRLRQLEQFILDGPAQTNGQCFSVETLLDILICLYDECNNSPLRREKNILEYLEWA KPFTSKVKQMRLHREDFEILKVIGRGAFGEVAVVKLKNADKVFAMKILNKWEMLKRAETA CFREERDVLVNGDSKWITTLHYAFQDDNNLYLVMDYYVGGDLLTLLSKFEDRLPEEMARF YLAEMVIAIDSVHQLHYVHRDIKPDNILMDMNGHIRLADFGSCLKLMEDGTVQSSVAVGT PDYISPEILQAMEDGKGRYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHKER FQFPAQVTDVSENAKDLIRRLICSREHRLGQNGIEDFKKHPFFSGIDWDNIRNCEAPYIP EVSSPTDTSNFDVDDDCLKNSETMPPPTHTAFSGHHLPFVGFTYTSSCVLSDRSCLRVTA GPTSLDLDVSVQRTLDNNLATEAYERRIKRLEQEKLELTRKLQESTQTVQALQYSTVDGP LTASKDLEIKSLKEEIEKLRKQVAEVNHLEQQLEEANSVRRELDDAFRQIKASEKQIKTL QQEREELNKLEVHTEALIAEASKDKKLREQSEHYSKQLENELEGLKQKQISYSPGICSIE HQQEITKLKTDLEKKSIFYEEEISKREGIHASEIKNLKKELHDSEGQQLALNKEILVLKD KLEKTRRESQSEREEFENEFKQQYEREKVLLTEENKKLTSELDKLTSLYESLSLRNQHLE EEVKDLADKKESVAHWEAQITEIIQWVSDEKDARGYLQALASKMTEELEALRNSSLGTRA TDMPWKMRRFAKLDMSARLELQSALDAEIRAKQAIQEELNKVKASNILTECKLKDSEKKN LELLSEIEQLIKDTEELRSEKGIEHQDSQHSFLAFLNTPTDALDQFEIADCAPLPAHTPT LRKKGCPASTGFPPKRKTHQFFVKSFTAPTKCHQCTSLMVGLIRQGCSCEVCGFSCHITC VNKAPTVCPVPPEQTKGPLGIDPQKGVGTAYEGHVRIPKPAGVKKGWQRALAVVCDFKLF LYDIAEGKASQPTSVISQVIDMRDEEFSVSSVLASDVIHASRKDIPCIFRVTASQLSAPS NKCSILMLADSENERSKWVGVLSELHKILKKNKFRDRSVYVPKEAYDSTLPLIKTTQAAA IIDHERIALGNEEGLFVVHVTKDEIVRVGDNKKIHQIELIPSDQLVAVISGRNRHVRLFP MSALDGRETDFYKLAETKGCQTIAAGKVRHGALSCLCVAMKRQVLCYELFQSKTRHRKFK EIQVPCNVQWMAIFSEHLCVGFQSGFLRYPLNGEGGPCNMLHSNDHTLSFISHQPMDALC AVEISNKEYLLCFNSIGIYTDCQGRRSRQQELMWPANPSSCCYNAPYLSVYSENAVDIFD VNSMEWIQTLPLKKVRPLNTEGSLNLLGLETIRLIYFKNKMAEGDELVVPETSDNSRKQM VRNINNKRRYSFRVPEEERMQQRREMLRDPEMRNKLISNPTNFNHIAHMGPGDGIQILKD LPMNPRPQESRTVFSGSVSIPSITKSRPEPGRSMSASSGLSARSSAQNGSALKREFSGGS YNTKRQPMPSPSEGSLSSGGMDQGSDAPARDYDGEDSDSPRHSTASNSSNLSSPPSPISP QKTKSLSLESTDRGSWDP >ENSMUSP00000095059.3 pep:known chromosome:GRCm38:1:179961110:180162166:1 gene:ENSMUSG00000026490.17 transcript:ENSMUST00000097450.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpa description:CDC42 binding protein kinase alpha [Source:MGI Symbol;Acc:MGI:2441841] MSGEVRLRQLEQFILDGPAQTNGQCFSVETLLDILICLYDECNNSPLRREKNILEYLEWA KPFTSKVKQMRLHREDFEILKVIGRGAFGEVAVVKLKNADKVFAMKILNKWEMLKRAETA CFREERDVLVNGDSKWITTLHYAFQDDNNLYLVMDYYVGGDLLTLLSKFEDRLPEEMARF YLAEMVIAIDSVHQLHYVHRDIKPDNILMDMNGHIRLADFGSCLKLMEDGTVQSSVAVGT PDYISPEILQAMEDGKGRYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHKER FQFPAQVTDVSENAKDLIRRLICSREHRLGQNGIEDFKKHPFFSGIDWDNIRNCEAPYIP EVSSPTDTSNFDVDDDCLKNSETMPPPTHTAFSGHHLPFVGFTYTSSCVLSDRSCLRVTA GPTSLDLDVSVQRTLDNNLATEAYERRIKRLEQEKLELTRKLQESTQTVQALQYSTVDGP LTASKDLEIKSLKEEIEKLRKQVAEVNHLEQQLEEANSVRRELDDAFRQIKASEKQIKTL QQEREELNKELVQASERLKNQSKELKDAHCQRKLAMQEFMEINERLTELHTQKQKLARHV RDKEEEVDLVMQKAESLRQELRRAERAKKELEVHTEALIAEASKDKKLREQSEHYSKQLE NELEGLKQKQISYSPGICSIEHQQEITKLKTDLEKKSIFYEEEISKREGIHASEIKNLKK ELHDSEGQQLALNKEILVLKDKLEKTRRESQSEREEFENEFKQQYEREKVLLTEENKKLT SELDKLTSLYESLSLRNQHLEEEVKDLADKKESVAHWEAQITEIIQWVSDEKDARGYLQA LASKMTEELEALRNSSLGTRATDMPWKMRRFAKLDMSARLELQSALDAEIRAKQAIQEEL NKVKASNILTECKLKDSEKKNLELLSEIEQLIKDTEELRSEKGIEHQDSQHSFLAFLNTP TDALDQFEIADCAPLPAHTPTLRKKGCPASTGFPPKRKTHQFFVKSFTAPTKCHQCTSLM VGLIRQGCSCEVCGFSCHITCVNKAPTVCPVPPEQTKGPLGIDPQKGVGTAYEGHVRIPK PAGVKKGWQRALAVVCDFKLFLYDIAEGKASQPTSVISQVIDMRDEEFSVSSVLASDVIH ASRKDIPCIFRVTASQLSAPSNKCSILMLADSENERSKWVGVLSELHKILKKNKFRDRSV YVPKEAYDSTLPLIKTTQAAAIIDHERIALGNEEGLFVVHVTKDEIVRVGDNKKIHQIEL IPSDQLVAVISGRNRHVRLFPMSALDGRETDFYKLAETKGCQTIAAGKVRHGALSCLCVA MKRQVLCYELFQSKTRHRKFKEIQVPCNVQWMAIFSEHLCVGFQSGFLRYPLNGEGGPCN MLHSNDHTLSFISHQPMDALCAVEISNKEYLLCFNSIGIYTDCQGRRSRQQELMWPANPS SCCYNAPYLSVYSENAVDIFDVNSMEWIQTLPLKKVRPLNTEGSLNLLGLETIRLIYFKN KMAEGDELVVPETSDNSRKQMVRNINNKRRYSFRVPEEERMQQRREMLRDPEMRNKLISN PTNFNHIAHMGPGDGIQILKDLPMNPRPQESRTVFSGSVSIPSITKSRPEPGRSMSASSG LSARSSAQNGSALKREFSGGSYNTKRQPMPSPSEGSLSSGGMDQGSDAPARDYDGEDSDS PRHSTASNSSNLSSPPSPISPQKTKSLSLESTDRGSWDP >ENSMUSP00000116337.1 pep:known chromosome:GRCm38:1:180101144:180162588:1 gene:ENSMUSG00000026490.17 transcript:ENSMUST00000133890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpa description:CDC42 binding protein kinase alpha [Source:MGI Symbol;Acc:MGI:2441841] XEGIHASEIKNLKKELHDSEGQQLALNKEILVLKDKLEKTRRESQSEREEFENEFKQQYE REKVLLTEENKKLTSELDKLTSLYESLSLRNQHLEEEVKDLADKKESVAHWEAQITEIIQ WVSDEKDARGYLQALASKMTEELEALRNSSLGTRATDMPWKMRRFAKLDMSARLELQSAL DAEIRAKQAIQEELNKVKASNILTECKLKDSEKKNLELLSEIEQLIKDTEELRSEKGIEH QDSQHSFLAFLNTPTDALDQFETDPVDNTYIRNPSIKFYIQSRPTSPSTASEAEPVKIAD CAPLPAHTPTLRKKGCPASTGFPPKRKTHQFFVKSFTAPTKCHQCTSLMVGLIRQGCSCE VCGFSCHITCVNKAPTVCPVPPEQTKGPLGIDPQKGVGTAYEGHVRIPKPAGVKKGWQRA LAVVCDFKLFLYDIAEGKASQPTSVISQVIDMRDEEFSVSSVLASDVIHASRKDIPCIFR VTASQLSAPSNKCSILMLADSENERSKWVGVLSELHKILKKNKFRDRSVYVPKEAYDSTL PLIKTTQAAAIIDHERIALGNEEGLFVVHVTKDEIVRVGDNKKIHQIELIPSDQLVAVIS GRNRHVRLFPMSALDGRETDFYKLAETKGCQTIAAGKVRHGALSCLCVAMKRQVLCYELF QSKTRHRKFKEIQVPCNVQWMAIFSEHLCVGFQSGFLRYPLNGEGGPCNMLHSNDHTLSF ISHQPMDALCAVEISNKEYLLCFNSIGIYTDCQGRRSRQQELMWPANPSSCCYNAPYLSV YSENAVDIFDVNSMEWIQTLPLKKVRPLNTEGSLNLLGLETIRLIYFKNKMAEGDELVVP ETSDNSRKQMVRNINNKRRYSFRVPEEERMQQRREMLRDPEMRNKLISNPTNFNHIAHMG PGDGIQILKDLPMNPRPQESRTVFSGSVSIPSITKSRPEPGRSMSASSGLSARSSAQNGS ALKREFSGGSYNTKRQPMPSPSEGSLSSGGMDQGSDAPARDYDGEDSDSPRHSTASNSSN LSSPPSPISPQKTKSLSLESTDRGSWDP >ENSMUSP00000115261.1 pep:known chromosome:GRCm38:1:180109192:180165602:1 gene:ENSMUSG00000026490.17 transcript:ENSMUST00000143176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpa description:CDC42 binding protein kinase alpha [Source:MGI Symbol;Acc:MGI:2441841] XHLEEEVKDLADKKESVAHWEAQITEIIQWVSDEKDARGYLQALASKMTEELEALRNSSL GTRATDMPWKMRRFAKLDMSARLELQSALDAEIRAKQAIQEELNKVKASNILTECKLKDS EKKNLELLSEIEQLIKDTEELRSEKGIEHQDSQHSFLAFLNTPTDALDQFEIADCAPLPA HTPTLRKKGCPASTGFPPKRKTHQFFVKSFTAPTKCHQCTSLMVGLIRQGCSCEVCGFSC HITCVNKAPTVCPVPPEQTKGPLGIDPQKGVGTAYEGHVRIPKPAGVKKGWQRALAVVCD FKLFLYDIAEGKASQPTSVISQVIDMRDEEFSVSSVLASDVIHASRKDIPCIFRVTASQL SAPSNKCSILMLADSENERSKWVGVLSELHKILKKNKFRDRSVYVPKEAYDSTLPLIKTT QAAAIIDHERIALGNEEGLFVVHVTKDEIVRVGDNKKIHQIELIPSDQLVAVISGRNRHV RLFPMSALDGRETDFYKLAETKGCQTIAAGKVRHGALSCLCVAMKRQVLCYELFQSKTRH RKFKEIQVPCNVQWMAIFSEHLCVGFQSGFLRYPLNGEGGPCNMLHSNDHTLSFISHQPM DALCAVEISNKEYLLCFNSIGIYTDCQGRRSRQQELMWPANPSSCCYNAPYLSVYSENAV DIFDVNSMEWIQTLPLKKVRPLNTEGSLNLLGLETIRLIYFKNKMAEGDELVVPETSDNS RKQMVRNINNKRRYSFRVPEEERMQQRREMLRDPEMRNKLISNPTNFNHIAHMGPGDGIQ ILKDLPMPGFPYSPPHHHSGLISSPSNFEHIYHMTVTSAEQFLSPESISPGSPPSLRSAP GTPHSVTLRNPRPQESRTVFSGSVSIPSITKSRPEPGRSMSASSGLSARSSAQNGSALKR EFSGGSYNTKRQPMPSPSEGSLSSGGMDQGSDAPARDYDGEDSDSPRHSTASNSSNLSSP PSPISPQKTKSLSLESTDRGSWDP >ENSMUSP00000114333.1 pep:known chromosome:GRCm38:1:180109265:180149237:1 gene:ENSMUSG00000026490.17 transcript:ENSMUST00000135056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpa description:CDC42 binding protein kinase alpha [Source:MGI Symbol;Acc:MGI:2441841] EIIQWVSDEKDARGYLQALASKMTEELEALRNSSLGTRATDMPWKMRRFAKLDMSARLEL QSALDAEIRAKQAIQEELNKVKASNILTECKLKDSEKKNLELLSEIEQLIKDTEELRSEK GIEHQDSQHSFLAFLNTPTDALDQFEDSLSSSSSSLIDFLDDTDPVDNTYIRNPSIKFYI QSRPTSPSTASEAEPVKIADCAPLPAHTPTLRKKGCPASTGFPPKRKTHQFFVKSFTAPT KCHQCTSLMVGLIRQGCSCEVCGFSCHITCVNKAPTVCPVPPEQTKGPLGIDPQKGVGTA YEGHVRIPKPAGVKKGWQRALAVVCDFKLFLYDIAEGKASQPTSVISQVIDMRDEEFSVS SVLASDVIHASRKDIPCIFRVTASQLSAPSNKCSILMLADSENERSKWVGVLSELHKILK KNKFRDRSVYVPKEAYDSTLPLIKTTQAAAIIDHERIALGNEEGLFVVHVTKDEIVRVGD NKKIHQIELIPSDQLVAVISGRNRHVRLFPMSALDGRETDFYKLAETKGCQTIAAGKVRH GALSCLCVAMKRQVLCYELFQSKTRHRKFKEIQVPCNVQWMAIFSEHLCVGFQSGFLRYP LNGEGGPCNMLHSNDHTLSFISHQPMDALCAVEISNKEYLLCFNSIGIYTDCQGRRSRQQ ELMWPANPSSCCYNAPYLSVYSENAVDIFDVNSMEWIQTLPLKKVRPLNTEGSLNLLGLE TIRLIYFKNKMA >ENSMUSP00000118039.1 pep:known chromosome:GRCm38:1:180111339:180136724:1 gene:ENSMUSG00000026490.17 transcript:ENSMUST00000145181.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpa description:CDC42 binding protein kinase alpha [Source:MGI Symbol;Acc:MGI:2441841] XRNSSLGTRATDMPWKMRRFAKLDMSARLELQSALDAEIRAKQAIQEELNKVKASNILTE CKLKDSEKKNLELLSEIEQLIKDTEELRSEKGIEHQDSQHSFLAFLNTPTDALDQFEDSL SSSSSSLIDFLDDIADCAPLPAHTPTLRKKGCPASTGFPPKRKTHQFFVKSFTAPTKCHQ CTSLM >ENSMUSP00000045856.8 pep:known chromosome:GRCm38:4:58042442:58206596:-1 gene:ENSMUSG00000028369.15 transcript:ENSMUST00000042850.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svep1 description:sushi, von Willebrand factor type A, EGF and pentraxin domain containing 1 [Source:MGI Symbol;Acc:MGI:1928849] MWSRLAFCCWALALVSGWTNFQPVAPSLNFSFRLFPEASPGALGRLAVPPASSEEEAAGS KVERLGRAFRSRVRRLRELSGSLELVFLVDESSSVGQTNFLNELKFVRKLLSDFPVVSTA TRVAIVTFSSKNNVVARVDYISTSRAHQHKCALLSREIPAITYRGGGTYTKGAFQQAAQI LRHSRENSTKVIFLITDGYSNGGDPRPIAASLRDFGVEIFTFGIWQGNIRELNDMASTPK EEHCYLLHSFEEFEALARRALHEDLPSGSFIQEDMARCSYLCEAGKDCCDRMASCKCGTH TGQFECICEKGYYGKGLQHECTACPSGTYKPEASPGGISTCIPCPDVSHTSPPGSTSPED CVCREGYQRSGQTCEVVHCPALKPPENGFFIQNTCKNHFNAACGVRCRPGFDLVGSSIHL CQPNGLWSGTESFCRVRTCPHLRQPKHGHISCSTAEMSYNTLCLVTCNEGYRLEGSTRLT CQGNAQWDGPEPRCVERHCATFQKPKGVIISPPSCGKQPARPGMTCQLSCRQGYILSGVR EVRCATSGKWSAKVQTAVCKDVEAPQISCPNDIEAKTGEQQDSANVTWQVPTAKDNSGEK VSVHVHPAFTPPYLFPIGDVAITYTATDSSGNQASCTFYIKVIDVEPPVIDWCRSPPPIQ VVEKEHPASWDEPQFSDNSGAELVITSSHTQGDMFPHGETVVWYTATDPSGNNRTCDIHI VIKGSPCEVPFTPVNGDFICAQDSAGVNCSLSCKEGYDFTEGSTEKYYCAFEDGIWRPPY STEWPDCAIKRFANHGFKSFEMLYKTTRCDDMDLFKKFSAAFETTLGNMVPSFCNDADDI DCRLEDLTKKYCIEYNYNYENGFAIGPGGWGAGNRLDYSYDHFLDVVQETPTDVGKARSS RIKRTVPLSDPKIQLIFNITASVPLPEERNDTLELENQQRLIKTLETITNRLKSTLNKEP MYSFQLASETVVADSNSLETEKAFLFCRPGSVLRGRMCVNCPLGTSYSLEHSTCESCLMG SYQDEEGQLECKLCPPRTHTEYLHSRSVSECKAQCKQGTYSSSGLETCESCPLGTYQPEF GSRSCLLCPETTTTVKRGAVDISACGVPCPVGEFSRSGLTPCYPCPRDYYQPNAGKSFCL ACPFYGTTTITGATSITDCSSFSSTFSAAEESIVPLVAPGHSQNKYEVSSQVFHECFLNP CHNSGTCQQLGRGYVCLCPPGYTGLKCETDIDECSSLPCLNGGICRDQVGGFTCECSLGY SGQICEENINECISSPCLNKGTCTDGLASYRCTCVKGYMGVHCETDVNECQSSPCLNNAV CKDQVGGFSCKCPPGFLGTRCEKNVDECLSQPCQNGATCKDGANSFRCQCPAGFTGTHCE LNINECQSNPCRNQATCVDELNSYSCKCQPGFSGHRCETEQPSGFNLDFEVSGIYGYVLL DGVLPTLHAITCAFWMKSSDVINYGTPISYALEDDKDNTFLLTDYNGWVLYVNGKEKITN CPSVNDGIWHHIAITWTSTGGAWRVYIDGELSDGGTGLSIGKAIPGGGALVLGQEQDKKG EGFNPAESFVGSISQLNLWDYVLSPQQVKLLASSCPEELSRGNVLAWPDFLSGITGKVKV DSSSMFCSDCPSLEGSVPHLRPASGNRKPGSKVSLFCDPGFQMVGNPVQYCLNQGQWTQP LPHCERIRCGLPPALENGFYSAEDFHAGSTVTYQCTSGYYLLGDSRMFCTDNGSWNGISP SCLDVDECAVGSDCSEHASCLNTNGSYVCSCNPPYTGDGKNCAEPVKCKAPENPENGHSS GEIYTVGTAVTFSCDEGHELVGVSTITCLETGEWDRLRPSCEAISCGVPPVPENGGVDGS AFTYGSKVVYRCDKGYTLSGDEESACLASGSWSHSSPVCELVKCSQPEDINNGKYILSGL TYLSIASYSCENGYSLQGPSLLECTASGSWDRAPPSCQLVSCGEPPIVKDAVITGSNFTF GNTVAYTCKEGYTLAGPDTIVCQANGKWNSSNHQCLAVSCDEPPNVDHASPETAHRLFGD TAFYYCADGYSLADNSQLICNAQGNWVPPAGQAVPRCIAHFCEKPPSVSYSILESVSKAK FAAGSVVSFKCMEGFVLNTSAKIECLRGGEWSPSPLSVQCIPVRCGEPPSIANGYPSGTN YSFGAVVAYSCHKGFYIKGEKKSTCEATGQWSKPTPTCHPVSCNEPPKVENGFLEHTTGR TFESEARFQCNPGYKAAGSPVFVCQANRHWHSDAPLSCTPLNCGKPPPIQNGFLKGESFE VGSKVQFVCNEGYELVGDNSWTCQKSGKWSKKPSPKCVPTKCAEPPLLENQLVLKELASE VGVMTISCKEGHALQGPSVLKCLPSGQWNGSFPICKMVLCPSPPLIPFGVPASSGALHFG STVKYLCVDGFFLRGSPTILCQADSTWSSPLPECVPVECPQPEEILNGIIHVQGLAYLST TLYTCKPGFELVGNATTLCGENGQWLGGKPMCKPIECPEPKEILNGQFSSVSFQYGQTIT YFCDRGFRLEGPKSLTCLETGDWDMDPPSCDAIHCSDPQPIENGFVEGADYRYGAMIIYS CFPGFQVLGHAMQTCEESGWSSSSPTCVPIDCGLPPHIDFGDCTKVRDGQGHFDQEDDMM EVPYLAHPQHLEATAKALENTKESPASHASHFLYGTMVSYSCEPGYELLGIPVLICQEDG TWNGTAPSCISIECDLPVAPENGFLHFTQTTMGSAAQYSCKPGHILEGSHLRLCLQNKQW SGTVPRCEAISCSKPNPLWNGSIKGDDYSYLGVLYYECDSGYILNGSKKRTCQENRDWDG HEPMCIPVDCGSPPVPTNGRVKGEEYTFQKEITYSCREGFILEGARSRICLTNGSWSGAT PSCMPVRCPAPPQVPNGVADGLDYGFKKEVAFHCLEGYVLQGAPRLTCQSNGTWDAEVPV CKPATCGPPADLPQGFPNGFSFYHGGHIQYQCFTGYKLHGNPSRRCLPNGSWSGSSPSCL PCRCSTPIIQQGTINATDLGCGKTVQIECFKGFKLLGLSEITCDANGQWSDVPLCEHAQC GPLPTIPNAIVLEGSLSEDNVVTYSCRPGYTMQGSSDLICTEKAIWSQPYPTCEPLSCGP PPTVANAVATGEAHTYESKVKLRCLEGYVMDSDTDTFTCQQDGHWVPERITCSPKKCPVP SNMTRIRFHGDDFQVNRQVSVSCAEGFTHEGVNWSTCQPDGTWEPPFSDESCIPVVCGHP ESPAHGSVVGNKHSFGSTIVYQCDPGYKLEGNRERICQENRQWSGEVAVCRENRCETPAE FPNGKAVLENTTSGPSLLFSCHRGYTLEGSPEAHCTANGTWNHLTPLCKPNPCPVPFVIP ENAVLSEKEFYVDQNVSIKCREGFLLKGNGVITCSPDETWTHTNARCEKISCGPPSHVEN AIARGVYYQYGDMITYSCYSGYMLEGSLRSVCLENGTWTPSPVCRAVCRFPCQNGGVCQR PNACSCPDGWMGRLCEEPICILPCLNGGRCVAPYQCDCPTGWTGSRCHTATCQSPCLNGG KCIRPNRCHCLSAWTGHDCSRKRRAGL >ENSMUSP00000004375.9 pep:known chromosome:GRCm38:6:124712336:124716950:1 gene:ENSMUSG00000004264.17 transcript:ENSMUST00000004375.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phb2 description:prohibitin 2 [Source:MGI Symbol;Acc:MGI:102520] MAQNLKDLAGRLPAGPRGMGTALKLLLGAGAVAYGVRESVFTVEGGHRAIFFNRIGGVQQ DTILAEGLHFRIPWFQYPIIYDIRARPRKISSPTGSKDLQMVNISLRVLSRPNAQELPSM YQRLGLDYEERVLPSIVNEVLKSVVAKFNASQLITQRAQVSLLIRRELTERAKDFSLILD DVAITELSFSREYTAAVEAKQVAQQEAQRAQFLVEKAKQEQRQKIVQAEGEAEAAKMLGE ALSKNPGYIKLRKIRAAQNISKTIATSQNRIYLTADNLVLNLQDESFTRGSDSLIKGKK >ENSMUSP00000119316.1 pep:known chromosome:GRCm38:6:124712766:124714800:1 gene:ENSMUSG00000004264.17 transcript:ENSMUST00000147974.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phb2 description:prohibitin 2 [Source:MGI Symbol;Acc:MGI:102520] LAEGLHFRIPWFQYPIIYDIRARPRKISSPTGSKDLQMVNISLRVLSRPNAQELPSMYQR LGLDYEERVLPSIVNEVLKSVVAKFNASQLITQRAQFSDPLKPS >ENSMUSP00000121535.1 pep:known chromosome:GRCm38:6:124713122:124716640:1 gene:ENSMUSG00000004264.17 transcript:ENSMUST00000130279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phb2 description:prohibitin 2 [Source:MGI Symbol;Acc:MGI:102520] XSPTGSKDLQMVNISLRVLSRPNAQELPSMYQRLGLDYEERVLPSIVNEVLKSVVAKFNA SQLITQRAQFLVEKAKQEQRQKIVQAEGEAEAAKMLGEALSKNPGYIKLRKIRAAQNISK TIATSQNRIYLTADNLVLNLQDESFTRGSDSLIKGKK >ENSMUSP00000053900.2 pep:known chromosome:GRCm38:4:121059237:121098241:-1 gene:ENSMUSG00000043207.10 transcript:ENSMUST00000058754.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmpste24 description:zinc metallopeptidase, STE24 [Source:MGI Symbol;Acc:MGI:1890508] MGMWASVDAMWDFPAEKRIFGAVLLFSWTVYLWETFLAQRQRRIYKTTTRVPAELEQIMD SDTFEKSRLYQLDKSTFSFWSGLYSEVEGTFILLFGGIPYLWRLSGQFCSSAGFGPEYEI IQSLVFLLLATLFSALTGLPWSLYNTFVIEEKHGFNHQTLEFFMKDAIKKFIVTQCILLP VSALLLYIIKIGGDYFFIYAWLFTLVVSLVLVTIYADYIAPLFDKFTPLPEGKLKQEIEV MAKSIDFPLTKVYVVEGSKRSSHSNAYFYGFFKNKRIVLFDTLLEEYSVPNKDNQEESGM EARNEGEGDSEEVKAKVKNKKQGCKNEEVLAVLGHELGHWKLGHTVKNIIISQMNSFLCF FLFAVLIGRRELFAAFGFYDSQPTLIGLLIIFQFIFSPYNEVLSFCLTVLSRRFEFQADA FAKKLGKAKDLYSALIKLNKDNLGFPVSDWLFSTWHYSHPPLLERLQALKNAKQD >ENSMUSP00000122588.1 pep:known chromosome:GRCm38:4:121082943:121095698:-1 gene:ENSMUSG00000043207.10 transcript:ENSMUST00000135788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmpste24 description:zinc metallopeptidase, STE24 [Source:MGI Symbol;Acc:MGI:1890508] RRIYKTTTRVPAELEQIMDSDTFEKSRLYQLDKSTFSFWSGLYSEVEGTDSSFPDHRRSE SPILFHSLFILLFGGIPYLWRLSGQFCSSAGFGPEYEIIQSLVFLLLATLFSALTGLPWS LYNTFVIEEKHGFNHQTLEFFMKDAI >ENSMUSP00000103876.1 pep:known chromosome:GRCm38:11:79932321:79962379:-1 gene:ENSMUSG00000035575.11 transcript:ENSMUST00000108241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp6 description:UTP6, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:2445193] MAEIIQERIEDRIPELEQLERIGLFSHAEIKAIIKKASDLEYKIHRRTLLKEDFINYVQY EINLLELIQRRRARIKYSFKKDEIEYSMVHRVQGVFGRASAKWKDDVQLWLSYIVFCKKW GTKTHLSKIFSAMLAIHSNKPALWIMAAKWEMEDRLSSESARQLFLRALRFHPECPKLYQ EYFRMELMHAEKLRKEKQEFEKAAMDMGDFDHPEEILKGELARIIYKNSISKIKGAEFHV SLLAIAQLFDFAKDLQKEIYDDLQALHTDDPLTWDYVARRELEIESQPGEEQPVSKQAKA VEMGRREERCCAVYEEAVKALPTEAMWKCYITFCLERFSKKTSSVPLRGQRLERTMLAFR KAHELKLLSEVQYKQWIDLLLRQDLFKEALQVAEAGTELFKDSVTMWQTKLQVLIDSKSP DVEMRFEEAFAHLKPQVCLPLWISWAEWSESAKSQEDTEAIFKKAIIAVTGASSVTLKEK YLDWAYRSGGYKKARAVFKSLQESRPFSVEFFRKMMQFEKEQEPCKMVNLREYYERALRE FGTSDSDLWMDYIKEELNHPFGKPENCGQIYWRAMKMLQGQSAELFVAKHAMHQAGH >ENSMUSP00000046643.5 pep:known chromosome:GRCm38:11:79933953:79962390:-1 gene:ENSMUSG00000035575.11 transcript:ENSMUST00000043152.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp6 description:UTP6, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:2445193] MAEIIQERIEDRIPELEQLERIGLFSHAEIKAIIKKASDLEYKIHRRTLLKEDFINYVQY EINLLELIQRRRARIKYSFKKDEIEYSMVHRVQGVFGRASAKWKDDVQLWLSYIVFCKKW GTKTHLSKIFSAMLAIHSNKPALWIMAAKWEMEDRLSSESARQLFLRALRFHPECPKLYQ EYFRMELMHAEKLRKEKQEFEKAAMDMGDFDHPEEILKGELARIIYKNSISKIKGAEFHV SLLAIAQLFDFAKDLQKEIYDDLQALHTDDPLTWDYVARRELEIESQPGEEQPVSKQAKA VEMGRREERCCAVYEEAVKALPTEAMWKCYITFCLERFSKKTSSVPLRGQRLERTMLAFR KAHELKLLSEVQYKQWIDLLLRQDLFKEALQVAEAGTELFKDSVTMWQTKLQVLIDSKSP DVEMRFEEAFAHLKPQVCLPLWISWAEWSESAKSQEDTEAIFKKAIIAVTGASSVTLKEK YLDWAYRSGGYKKARAVFKSLQESRPFSVEFFRKMMQFEKEQEPCKMVNLREYYERALRE FGTSDSDLWMDYIKEELNHPFGKPENCGQIYWRAMKMLQGQSAELFVAKHAMHQAGH >ENSMUSP00000138212.2 pep:known chromosome:GRCm38:3:67892232:68626466:1 gene:ENSMUSG00000102422.1 transcript:ENSMUST00000182006.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Schip1 description:schwannomin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1353557] MRLEELKRLQNPLEQVDDGKYLLENHQLAMDVENNIENYPLSLQPLESKVKIIQRAWREY LQRQDPLEKRSPSPPSVSSDKLSSSVSMNTFSDSSTPDYREDGMDLGSDAGSSSSSRASS QSNSTKVTPCSECKSSSSPGGSLDLVSALEDYEEPFPVYQKKVIDEWAPEEDGEEEEEED DRGYRDDGCPAREPGDVSARIGSSGSGSRSAATTMPSPMPNGNLHPHDPQDLRHNGNVVV AGRPNASRVPRRPIQKTQPPGSRRGGRNRASGGLCLQPPDGGTRVPEEPPAPPMDWEALE KHLAGLQFREQEVRNQGQARTNSTSAQKNERESIRQKLALGSFFDDGPGIYTSCSKSGKP SLSARLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTPLSPMSKQSSSYSDRDTTEEE SESLDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQNRKKSPVADLLPHMPHISEC LMKRSLKPTDLRDMTIGQLQVIVNDLHSQIESLNEELVQLLLIRDELHTEQDAMLVDIED LTRHAESQQKHMAEKMPAK >ENSMUSP00000074658.5 pep:known chromosome:GRCm38:6:83512905:83536265:-1 gene:ENSMUSG00000059430.14 transcript:ENSMUST00000075161.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actg2 description:actin, gamma 2, smooth muscle, enteric [Source:MGI Symbol;Acc:MGI:104589] MCEEETTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQ SKRGILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREKM TQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLD LAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKS YELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVL SGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISK PEYDEAGPSIVHRKCF >ENSMUSP00000113552.1 pep:known chromosome:GRCm38:6:83512912:83527990:-1 gene:ENSMUSG00000059430.14 transcript:ENSMUST00000121731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actg2 description:actin, gamma 2, smooth muscle, enteric [Source:MGI Symbol;Acc:MGI:104589] MCEEETTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQ SKRGILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREKM TQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLD LAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKS YELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNVL SGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISK PEYDEAGPSIVHRKCF >ENSMUSP00000146302.1 pep:known chromosome:GRCm38:6:83519769:83536223:-1 gene:ENSMUSG00000059430.14 transcript:ENSMUST00000205926.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actg2 description:actin, gamma 2, smooth muscle, enteric [Source:MGI Symbol;Acc:MGI:104589] MEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREKMTQTVLSPLSFQIMFETFNVPAM YVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLDLAGRDLTDYLMKI LTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEKSYELPDGQVITIGN ERFRCPETLFQPSFI >ENSMUSP00000120936.2 pep:known chromosome:GRCm38:6:83519910:83527491:-1 gene:ENSMUSG00000059430.14 transcript:ENSMUST00000141904.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actg2 description:actin, gamma 2, smooth muscle, enteric [Source:MGI Symbol;Acc:MGI:104589] MCEEETTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQ SKRGILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREKM TQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLD LAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVA >ENSMUSP00000121577.1 pep:known chromosome:GRCm38:6:83520683:83527810:-1 gene:ENSMUSG00000059430.14 transcript:ENSMUST00000152029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actg2 description:actin, gamma 2, smooth muscle, enteric [Source:MGI Symbol;Acc:MGI:104589] MCEEETTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQ SKRGILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREKM TQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRLD LAGRDLTDYLMKILT >ENSMUSP00000145684.1 pep:known chromosome:GRCm38:16:59085552:59089797:-1 gene:ENSMUSG00000094539.2 transcript:ENSMUST00000205471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr191 description:olfactory receptor 191 [Source:MGI Symbol;Acc:MGI:3030025] METDNTTLLIQFVLSGLVHLPQWKIPLFLLFLVIYLITIVGNLGLIILIWNDPHLHIPMY LFLGCLAFVDTWLSSTVTPKMLQDIFSKSKLISFSECMIQFFSFVVSATTECFLLAAMAY DRYVAICKPLLYPVIMTNRLCVSLLTLSFLGGFIHALIHEGFLFRLIFCRSHIINHFYCD VMPLLKISCNDPSINYLMLFIFSGSIQVFTITTILVSYTLVLFSILKKKSLKSIKKAFST CGAHLLSVSLYYGPLLFMYVRPASPQVNDEDMMDSVFYTIIIPVLNPIIYSLRNEQVKKS LAKCLRRNT >ENSMUSP00000077604.1 pep:known chromosome:GRCm38:16:59085552:59086481:-1 gene:ENSMUSG00000094539.2 transcript:ENSMUST00000078517.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr191 description:olfactory receptor 191 [Source:MGI Symbol;Acc:MGI:3030025] METDNTTLLIQFVLSGLVHLPQWKIPLFLLFLVIYLITIVGNLGLIILIWNDPHLHIPMY LFLGCLAFVDTWLSSTVTPKMLQDIFSKSKLISFSECMIQFFSFVVSATTECFLLAAMAY DRYVAICKPLLYPVIMTNRLCVSLLTLSFLGGFIHALIHEGFLFRLIFCRSHIINHFYCD VMPLLKISCNDPSINYLMLFIFSGSIQVFTITTILVSYTLVLFSILKKKSLKSIKKAFST CGAHLLSVSLYYGPLLFMYVRPASPQVNDEDMMDSVFYTIIIPVLNPIIYSLRNEQVKKS LAKCLRRNT >ENSMUSP00000069544.3 pep:known chromosome:GRCm38:3:67892220:68056593:1 gene:ENSMUSG00000051777.6 transcript:ENSMUST00000063263.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcj description:IQ motif containing J [Source:MGI Symbol;Acc:MGI:3644166] MRLEELKRLQNPLEQVDDGKYLLENHQLAMDVENNIENYPLSLQPLESKVKIIQRAWREY LQRQDPLEKRSPSPPSVSSDKLSSSVSMNTFSDSSTPVSVSRPLAWTVLH >ENSMUSP00000097570.3 pep:known chromosome:GRCm38:16:32186186:32186949:1 gene:ENSMUSG00000075269.4 transcript:ENSMUST00000099990.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bex6 description:brain expressed gene 6 [Source:MGI Symbol;Acc:MGI:3588247] MMSKVKQVIQDLTVEKDKGGEASRHSEEESHLLEEVETKNPGGYVKRKVRRLVCNFRWPT PNRHVDHSEGREDAGRFVGQETEAKRKSQEQWMRFSKWFLTPESDSHYNLGLIP >ENSMUSP00000146299.1 pep:known chromosome:GRCm38:7:98489283:98498254:1 gene:ENSMUSG00000090958.2 transcript:ENSMUST00000205937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc32 description:leucine rich repeat containing 32 [Source:MGI Symbol;Acc:MGI:93882] MSHQILLLLAMLTLGLAISQRREQVPCRTVNKEALCHGLGLLQVPSVLSLDIQALYLSGN QLQSILVSPLGFYTALRHLD >ENSMUSP00000145859.1 pep:known chromosome:GRCm38:7:98489697:98502181:1 gene:ENSMUSG00000090958.2 transcript:ENSMUST00000205956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc32 description:leucine rich repeat containing 32 [Source:MGI Symbol;Acc:MGI:93882] MSHQILLLLAMLTLGLAISQRREQVPCRTVNKEALCHGLGLLQVPSVLSLDIQALYLSGN QLQSILVSPLGFYTALRHLDLSDNQISFLQAGVFQALPYLEHLNLAHNRLATGMALNSGG LGRLPLLVSLDLSGNSLHGNLVERLLGETPRLRTLSLAENSLTRLARHTFWGMPAVEQLD LHSNVLMDIEDGAFEALPHLTHLNLSRNSLTCISDFSLQQLQVLDLSCNSIEAFQTAPEP QAQFQLAWLDLRENKLLHFPDLAVFPRLIYLNVSNNLIQLPAGLPRGSEDLHAPSEGWSA SPLSNPSRNASTHPLSQLLNLDLSYNEIELVPASFLEHLTSLRFLNLSRNCLRSFEARQV DSLPCLVLLDLSHNVLEALELGTKVLGSLQTLLLQDNALQELPPYTFASLASLQRLNLQG NQVSPCGGPAEPGPPGCVDFSGIPTLHVLNMAGNSMGMLRAGSFLHTPLTELDLSTNPGL DVATGALVGLEASLEVLELQGNGLTVLRVDLPCFLRLKRLNLAENQLSHLPAWTRAVSLE VLDLRNNSFSLLPGNAMGGLETSLRRLYLQGNPLSCCGNGWLAAQLHQGRVDVDATQDLI CRFGSQEELSLSLVRPEDCEKGGLKNVNLILLLSFTLVSAIVLTTLATICFLRRQKLSQQ YKA >ENSMUSP00000133205.1 pep:known chromosome:GRCm38:7:98494222:98501831:1 gene:ENSMUSG00000090958.2 transcript:ENSMUST00000165205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc32 description:leucine rich repeat containing 32 [Source:MGI Symbol;Acc:MGI:93882] MSHQILLLLAMLTLGLAISQRREQVPCRTVNKEALCHGLGLLQVPSVLSLDIQALYLSGN QLQSILVSPLGFYTALRHLDLSDNQISFLQAGVFQALPYLEHLNLAHNRLATGMALNSGG LGRLPLLVSLDLSGNSLHGNLVERLLGETPRLRTLSLAENSLTRLARHTFWGMPAVEQLD LHSNVLMDIEDGAFEALPHLTHLNLSRNSLTCISDFSLQQLQVLDLSCNSIEAFQTAPEP QAQFQLAWLDLRENKLLHFPDLAVFPRLIYLNVSNNLIQLPAGLPRGSEDLHAPSEGWSA SPLSNPSRNASTHPLSQLLNLDLSYNEIELVPASFLEHLTSLRFLNLSRNCLRSFEARQV DSLPCLVLLDLSHNVLEALELGTKVLGSLQTLLLQDNALQELPPYTFASLASLQRLNLQG NQVSPCGGPAEPGPPGCVDFSGIPTLHVLNMAGNSMGMLRAGSFLHTPLTELDLSTNPGL DVATGALVGLEASLEVLELQGNGLTVLRVDLPCFLRLKRLNLAENQLSHLPAWTRAVSLE VLDLRNNSFSLLPGNAMGGLETSLRRLYLQGNPLSCCGNGWLAAQLHQGRVDVDATQDLI CRFGSQEELSLSLVRPEDCEKGGLKNVNLILLLSFTLVSAIVLTTLATICFLRRQKLSQQ YKA >ENSMUSP00000124288.1 pep:known chromosome:GRCm38:10:29346171:29362442:-1 gene:ENSMUSG00000038876.11 transcript:ENSMUST00000160144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf146 description:ring finger protein 146 [Source:MGI Symbol;Acc:MGI:1915281] MEMAGCGEIDHSINMLPTNKKANESCSNTAPSLTVPECAICLQTCVHPVSLPCKHVFCYL CVKGASWLGKRCALCRQEIPEDFLDKPTLLSPEELKAASRGNGEYAWYYEGRNGWWQYDE RTSRELEDAFSKGKKNTEMLIAGFLYVADLENMVQYRRNEHGRRRKIKRDIIDIPKKGVA GLRLDCDTNTVNLARESSADGADSGSAQTGASVQLAVPSSTRPLTSVDGQLTSPVTPSPD AGISLEDSFAHLQLSGDSIAERSHRGEGEEDHESPSSGRVPDTSVEETESDASSDSEDAP VVVAQHSLTQQRPLVPNGNQTVADQSDRSGTDRSVAGGGTMSVNVRSRRPDGQCTVTEV >ENSMUSP00000124215.1 pep:known chromosome:GRCm38:10:29346176:29362040:-1 gene:ENSMUSG00000038876.11 transcript:ENSMUST00000160372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf146 description:ring finger protein 146 [Source:MGI Symbol;Acc:MGI:1915281] MEMAGCGEIDHSINMLPTNKKANESCSNTAPSLTVPECAICLQTCVHPVSLPCKHVFCYL CVKGASWLGKRCALCRQEIPEDFLDKPTLLSPEELKAASRGNGEYAWYYEGRNGWWQYDE RTSRELEDAFSKGKKNTEMLIAGFLYVADLENMVQYRRNEHGRRRKIKRDIIDIPKKGVA GLRLDCDTNTVNLARESSADGADSGSAQTGASVQLAVPSSTRPLTSVDGQLTSPVTPSPD AGISLEDSFAHLQLSGDSIAERSHRGEGEEDHESPSSGRVPDTSVEETESDASSDSEDAP VVVAQHSLTQQRPLVPNGNQTVADQSDRSGTDRSVAGGGTMSVNVRSRRPDGQCTVTEV >ENSMUSP00000124772.1 pep:known chromosome:GRCm38:10:29346658:29357713:-1 gene:ENSMUSG00000038876.11 transcript:ENSMUST00000162335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf146 description:ring finger protein 146 [Source:MGI Symbol;Acc:MGI:1915281] MEMAGCGEIDHSINMLPTNKKANESCSNTAPSLTVPECAICLQTCVHPVSLPCKHVFCYL CVKGASWLGKRCALCRQEIPEDFLDKPTLLSPEELKAASRGNGEYAWYYEGRNGWWQYDE RTSRELEDAFSKGKKNTEMLIAGFLYVADLENMVQYRRNEHGRRRKIKRDIIDIPKKGVA GLRLDCDTNTVNLARESSADGADSGSAQTGASVQLAVPSSTRPLTSVDGQLTSPVTPSPD AGISLEDSFAHLQLSGDSIAERSHRGEGEEDHESPSSGRVPDTSVEETESDASSDSEDAP VVVAQHSLTQQRPLVPNGNQTVADQSDRSGTDRSVAGGGTMSVNVRSRRPDGQCTVTEV >ENSMUSP00000124814.1 pep:known chromosome:GRCm38:10:29347219:29362023:-1 gene:ENSMUSG00000038876.11 transcript:ENSMUST00000161508.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf146 description:ring finger protein 146 [Source:MGI Symbol;Acc:MGI:1915281] MEMAGCGEIDHSINMLPTNKKANESCSNTAPSLTVPECAICLQTCVHPVSLPCKHVFCYL CVKGASWLGKRCALCRQEIPEDFLDKPTLLSPEELKAASRGNGEYAWYYEGRNGWWQYDE RTSRELEDAFSKGKKNTEMLIAGFLYVADLENMVQYRRNEHGRRRKIKRDIIDIPKKGVA GLRLDCDTNTVNLARESSADGADSGSAQTGASVQLAVPSSTRP >ENSMUSP00000037224.4 pep:known chromosome:GRCm38:10:29344178:29362442:-1 gene:ENSMUSG00000038876.11 transcript:ENSMUST00000037548.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf146 description:ring finger protein 146 [Source:MGI Symbol;Acc:MGI:1915281] MEMAGCGEIDHSINMLPTNKKANESCSNTAPSLTVPECAICLQTCVHPVSLPCKHVFCYL CVKGASWLGKRCALCRQEIPEDFLDKPTLLSPEELKAASRGNGEYAWYYEGRNGWWQYDE RTSRELEDAFSKGKKNTEMLIAGFLYVADLENMVQYRRNEHGRRRKIKRDIIDIPKKGVA GLRLDCDTNTVNLARESSADGADSGSAQTGASVQLAVPSSTRPLTSVDGQLTSPVTPSPD AGISLEDSFAHLQLSGDSIAERSHRGEGEEDHESPSSGRVPDTSVEETESDASSDSEDAP VVVAQHSLTQQRPLVPNGNQTVADQSDRSGTDRSVAGGGTMSVNVRSRRPDGQCTVTEV >ENSMUSP00000116669.1 pep:known chromosome:GRCm38:7:109034312:109057824:-1 gene:ENSMUSG00000048330.14 transcript:ENSMUST00000147580.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ric3 description:resistance to inhibitors of cholinesterase 3 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2443887] GFGIFLYILYILFKLFLFFHLT >ENSMUSP00000056990.6 pep:known chromosome:GRCm38:7:109034312:109083331:-1 gene:ENSMUSG00000048330.14 transcript:ENSMUST00000055993.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ric3 description:resistance to inhibitors of cholinesterase 3 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2443887] MAYSTVQRVALASGLVLAVSLLLPKAFLSRGKRPEPPPGPEGKLDRFPPMMHHHSAPSDG QTPGARFQRSHLAEAFAKAKGAGGGAGGGGSGRGLMGQIIPIYGFGIFLYILYILFKLSK GKTAEDRNCSTAPPGNAHRKITNFELVQLQEKLKETEEAMEKLINRVGPNGESRAQAVTS DQEKRLLHQLREITRVMKEGKFIDTSPEKEAEEAPYMEDWEGYPEETYPIYDLSDGIKRR QETILVDYPDLKEPSAEEIAEQMGEIEEEGSERLSWDHLPTDPGAQKDNSVAPCDPKPES CSCCVHEEEDPAVLAENAGFSADGYSEQEEATKENLPQDFTNEGLGVSTDNAHVGGMLRK RNPQGFE >ENSMUSP00000112788.1 pep:known chromosome:GRCm38:7:109037948:109083322:-1 gene:ENSMUSG00000048330.14 transcript:ENSMUST00000120876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ric3 description:resistance to inhibitors of cholinesterase 3 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2443887] MAYSTVQRVALASGLVLAVSLLLPKAFLSRGKRPEPPPGPEGKLDRFPPMMHHHSAPSDG QTPGARFQRSHLAEAFAKAKGAGGGAGGGGSGRGLMGQIIPIYGFGIFLYILYILFKLSK GKTAEDRNCSTAPPGNAHRKITNFELVQLQEKLKETEEAMEKLINRVGPNGERAQAVTSD QEKRLLHQLREITRVMKEGKFIDTSPEKEAEEAPYMEDWEGYPEETYPIYDLSDGIKRRQ ETILVDYPDLKEPSAEEIAEQMGEIEEEGSERLSWDHLPTDPGAQKDNSVAPCDPKPESC SCCVHEEEDPAVLAENAGFSADGYSEQEEATKENLPQDFTNEGLGVSTDNAHVGGMLRKR NPQGFE >ENSMUSP00000032168.5 pep:known chromosome:GRCm38:6:88503579:88518905:-1 gene:ENSMUSG00000030082.14 transcript:ENSMUST00000032168.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61a1 description:Sec61 alpha 1 subunit (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1858417] MAIKFLEVIKPFCVILPEIQKPERKIQFKEKVLWTAITLFIFLVCCQIPLFGIMSSDSAD PFYWMRVILASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFG MIITIGQSIVYVMTGMYGDPSEMGAGICLLITIQLFVAGLIVLLLDELLQKGYGLGSGIS LFIATNICETIVWKAFSPTTVNTGRGMEFEGAIIALFHLLATRTDKVRALREAFYRQNLP NLMNLIATIFVFAVVIYFQGFRVDLPIKSARYRGQYNTYPIKLFYTSNIPIILQSALVSN LYVISQMLSARFSGNLLVSLLGTWSDTSSGGPARAYPVGGLCYYLSPPESFGSVLEDPVH AVVYIVFMLGSCAFFSKTWIEVSGSSAKDVAKQLKEQQMVMRGHRETSMVHELNRYIPTA AAFGGLCIGALSVLADFLGAIGSGTGILLAVTIIYQYFEIFVKEQSEVGSMGALLF >ENSMUSP00000021769.8 pep:known chromosome:GRCm38:13:23897738:23915005:-1 gene:ENSMUSG00000021336.16 transcript:ENSMUST00000021769.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a4 description:solute carrier family 17 (sodium phosphate), member 4 [Source:MGI Symbol;Acc:MGI:2442850] MSTGADLKAREGDIPSDNMTQEQSFKKGFCSLRHGLAFILHLCNFSIYTQQMNLSFAITA MVNTTVASSQLNASTERPPTNSQDVWNETLQESKVVAPVYDWTPEIQGILLSSLSYGSFI APIPTGYVAGVFGAKYVVGLGLLISSVLTLFIPLAADAGVALLIVLRVIQGMAQVMVLTG QYSLWAKWAPPQERSQLITIAASGSMLGTFLVLIAGGLICQALGWPYIFYIFGGIGCACC LLWFPLVYDDPQNHPFISTGERRYITCSLAQEDCSLGWSLPIKAMVKSLPLWAIVVSYFC EYWLLSTVMAYTPTYISSVLQANLRDSGILSALPFMFGCVCIILGGLLADFLLSRKILRL VTIRKLFTAVGVLASSGILLPLPWVRSSRSTTMAFLVLSSVFASLCDSGALINFLDIAPR YAGFLKGLLQVFSYLAGGIAPTVAGFFISQDSEFGWRNVFFLAAAIDVVGLLFYLIFSRA EVQDWAKEPTFTHL >ENSMUSP00000106037.2 pep:known chromosome:GRCm38:13:23897889:23915007:-1 gene:ENSMUSG00000021336.16 transcript:ENSMUST00000110407.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a4 description:solute carrier family 17 (sodium phosphate), member 4 [Source:MGI Symbol;Acc:MGI:2442850] MSTGADLKAREGDIPSDNMTQEQSFKKGFCSLRHGLAFILHLCNFSIYTQQMNLSFAITA MVNTTVASSQLNASTERPPTNSQDVWNETLQESKAPVYDWTPEIQGILLSSLSYGSFIAP IPTGYVAGVFGAKYVVGLGLLISSVLTLFIPLAADAGVALLIVLRVIQGMAQVMVLTGQY SLWAKWAPPQERSQLITIAASGSMLGTFLVLIAGGLICQALGWPYIFYIFGGIGCACCLL WFPLVYDDPQNHPFISTGERRYITCSLAQEDCSLGWSLPIKAMVKSLPLWAIVVSYFCEY WLLSTVMAYTPTYISSVLQANLRDSGILSALPFMFGCVCIILGGLLADFLLSRKILRLVT IRKLFTAVGVLASSGILLPLPWVRSSRSTTMAFLVLSSVFASLCDSGALINFLDIAPRYA GFLKGLLQVFSYLAGGIAPTVAGFFISQDSEFGWRNVFFLAAAIDVVGLLFYLIFSRAEV QDWAKEPTFTHL >ENSMUSP00000031598.9 pep:known chromosome:GRCm38:5:120612739:120628592:1 gene:ENSMUSG00000029599.13 transcript:ENSMUST00000031598.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx54 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 54 [Source:MGI Symbol;Acc:MGI:1919240] MAAGRRVGPGPPSRPTMAPWKKKRLRKRRTGASQGRDSDSDDGEFEIQAEDDARARKLGP GRALPSFPTSECVSDVEPDTREMVRAQNKKKKKSGGFQSMGLSYPVFKGIMKKGYKVPTP IQRKTIPVILDGKDVVAMARTGSGKTACFLLPMFERLKARSAQTGARALILSPTRELALQ TMKFTKELGKFTGLKTALILGGDKMEDQFAALHENPDIIIATPGRLVHVAVEMNLKLQSV EYVVFDEADRLFEMGFAEQLQEIIGRLPGGHQTVLFSATLPKLLVEFARAGLTEPVLIRL DVDSKLNEQLKTSFLLVREDTKAAVLLYLLQNVVRPQDQTVVFVATKHHAEYLTELLMGQ GVSCAHIYSALDQTARKINLAKFTHNKCSTLIVTDLAARGLDIPLLDNVINYSFPAKGKL FLHRVGRVARAGRSGTAYSLVAPDEVPYLLDLHLFLGRSVTLARPCEEPSVADAVGRDGV LGRVPQSVVDDEDSSLQTAMGASLDLQGLHRVANNAQQQYVRSRPAPSPESIKRAKELDL AELGLHPLFSSCFEEGELQRLRLVDSIKNYRTRTTIFEINASSKDPSSQMMRAKRQRDRK AVASFQQRRQERQEGPADPAPQRELPQEEEEEMVETVEGVFTEVVGQKRPRPGPSQGAKR RRMETRQRDQEFYVPYRPKDFDSERGLSVSGAGGAFEQQVAGAVLDLMGDEAQNMSRGQQ QLKWDRKKKRFVGQSGQEDKKKIKTESGRFISSSYKRDLYQKWKQKQKIDDRDSEEEGPS NQRGPGPRRGGKRGRSQGTSQPRASSVPAGRMRSELKTKEQILKQRRQAQKQRFLQRGGL KQLSARNRRRAQELRQGAFGRGAPSRKGKMRKRM >ENSMUSP00000140018.1 pep:known chromosome:GRCm38:7:23131854:23144480:-1 gene:ENSMUSG00000095683.2 transcript:ENSMUST00000186928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10662 description:predicted gene 10662 [Source:MGI Symbol;Acc:MGI:3642760] MMEQDLKMMEQDLKMMEQDLKACYSIEENFDINYKMLNTLGEGNFSVVKRAFHVPTSTSV AVKILQNTKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELLDRII NVVSLEESETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDCRGNAKLCDFGLAAEVIP GQKLAGFCGTLPYCAPELLQAEKYEGLPVDIWSLGVLLFLMVSGNLPFQGRSFVDLKQEI ISANFSIPSHVSIDISNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQTFPGT PSHSIVRTMTVIT >ENSMUSP00000129981.2 pep:known chromosome:GRCm38:7:23132996:23133937:-1 gene:ENSMUSG00000095683.2 transcript:ENSMUST00000168053.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10662 description:predicted gene 10662 [Source:MGI Symbol;Acc:MGI:3642760] MMEQDLKMMEQDLKMMEQDLKACYSIEENFDINYKMLNTLGEGNFSVVKRAFHVPTSTSV AVKILQNTKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELLDRII NVVSLEESETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDCRGNAKLCDFGLAAEVIP GQKLAGFCGTLPYCAPELLQAEKYEGLPVDIWSLGVLLFLMVSGNLPFQGRSFVDLKQEI ISANFSIPSHVSIDISNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQTFPGT PSHSIVRTMTVIT >ENSMUSP00000001452.7 pep:known chromosome:GRCm38:3:88297116:88321767:1 gene:ENSMUSG00000001416.13 transcript:ENSMUST00000001452.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct3 description:chaperonin containing Tcp1, subunit 3 (gamma) [Source:MGI Symbol;Acc:MGI:104708] MMGHRPVLVLSQNTKRESGRKVQSGNINAAKTIADIIRTCLGPKSMMKMLLDPMGGIVMT NDGNAILREIQVQHPAAKSMIEISRTQDEEVGDGTTSVIILAGEMLSVAEHFLEQQMHPT VVISAYRMALDDMISTLKKISTPVDVNNREMMLSIINSSITTKVISRWSSLACNIALDAV KTVQFEENGRKEIDIKKYARVEKIPGGIIEDSCVLRGVMINKDVTHPRMRRYIKNPRIVL LDSSLEYKKGESQTDIEITREEDFTRILQMEEEYIHQLCEDIIQLKPDVVITEKGISDLA QHYLMRANVTAIRRVRKTDNNRIARACGARIVSRPEELREDDVGTGAGLLEIKKIGDEYF TFITDCKDPKACTILLRGASKEILSEVERNLQDAMQVCRNVLLDPQLVPGGGASEMAVAH ALTEKSKAMTGVEQWPYRAVAQALEVIPRTLIQNCGASTIRLLTSLRAKHTQESCETWGV NGETGTLVDMKELGIWEPLAVKLQTYKTAVETAVLLLRIDDIVSGHKKKGDDQNRQTGAP DAGQE >ENSMUSP00000126109.1 pep:known chromosome:GRCm38:3:88297174:88321766:1 gene:ENSMUSG00000001416.13 transcript:ENSMUST00000164166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct3 description:chaperonin containing Tcp1, subunit 3 (gamma) [Source:MGI Symbol;Acc:MGI:104708] MMGHRPVLVLSQNTKRESGRKVQSGNINAAKIQVQHPAAKSMIEISRTQDEEVGDGTTSV IILAGEMLSVAEHFLEQQMHPTVVISAYRMALDDMISTLKKISTPVDVNNREMMLSIINS SITTKVISRWSSLACNIALDAVKTVQFEENGRKEIDIKKYARVEKIPGGIIEDSCVLRGV MINKDVTHPRMRRYIKNPRIVLLDSSLEYKKGESQTDIEITREEDFTRILQMEEEYIHQL CEDIIQLKPDVVITEKGISDLAQHYLMRANVTAIRRVRKTDNNRIARACGARIVSRPEEL REDDVGTGAGLLEIKKIGDEYFTFITDCKDPKACTILLRGASKEILSEVERNLQDAMQVC RNVLLDPQLVPGGGASEMAVAHALTEKSKAMTGVEQWPYRAVAQALEVIPRTLIQNCGAS TIRLLTSLRAKHTQESCETWGVNGETGTLVDMKELGIWEPLAVKLQTYKTAVETAVLLLR IDDIVSGHKKKGDDQNRQTGAPDAGQE >ENSMUSP00000131113.1 pep:known chromosome:GRCm38:3:88297188:88321507:1 gene:ENSMUSG00000001416.13 transcript:ENSMUST00000168062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct3 description:chaperonin containing Tcp1, subunit 3 (gamma) [Source:MGI Symbol;Acc:MGI:104708] MMGHRPVLVLSQNTKRESGRKVQSGNINAAKTIADIIRTCLGPKSMMKMLLDPMGGIVMT NDGNAILREIQVQHPAAKSMIEISRTQDEEVGDGTTSVIILAGEMLSVAEHFLEQQMHPT VVISAYRMALDDMISTLKKISTPVDVNNREMMLSIINSSITTKVISRWSSLACNIALDAV KTVQFEENGRKEIDIKKYARVEKIPGGIIEDSCVLRGVMINKDVTHPRMRRYIKNPRIVL LDSSLEYKKGESQTDIEITREEDFTRILQMEEEYIHQLCEDIIQLKPDVVITEKGISDLA QHYLMRANVTAIRRVRKTDNNRIARACGARIVSRPEELREDDVGTGAGLLEIKKIGDEYF TFITDCKDPKACTILLRGASKEILSEVERNLQDAMQVCRNVLLDPQLVPGGGASEMAVAH ALTEKSKAMTGVEQWPYRAVAQALEVIPRTLIQNCGASTIRLLTSLRAKHTQESCETWGV NGETGTLVDMKELGIWEPLAVKLQTYKTAVEVRHHMLFLSR >ENSMUSP00000130616.1 pep:known chromosome:GRCm38:3:88302838:88320650:1 gene:ENSMUSG00000001416.13 transcript:ENSMUST00000163735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct3 description:chaperonin containing Tcp1, subunit 3 (gamma) [Source:MGI Symbol;Acc:MGI:104708] XGTTSVIILAGEMLSVAEHFLEQQMHPTVVISAYRMALDDMISTLKKISTPVDVNNREMM LSIINSSITTKVISRWSSLACNIALDAVKTVQFEENGRKEIDIKKYARVEKTDIEITREE DFTRILQMEEEYIHQLCEDIIQLKPDVVITEKGISDLAQHYLMRANVTAIRRVRKTDNNR IARACGARIVSRPEELREDDVGTGAGLLEIKKIGDEYFTFITDCKDPKACTILLRGASKE ILSEVERNLQDAMQVCRNVLLDPQLVPGGGASEMAVAHALTEKSKAMTGVEQWPYRAVAQ ALEVIPR >ENSMUSP00000131250.1 pep:known chromosome:GRCm38:3:88321048:88321765:1 gene:ENSMUSG00000001416.13 transcript:ENSMUST00000168971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct3 description:chaperonin containing Tcp1, subunit 3 (gamma) [Source:MGI Symbol;Acc:MGI:104708] XVNGETGTLVDMKELGIWEPLAVKLQTYKTAVEVELHCSFKTLERKIPDKMTAVLLLRID DIVSGHKKKGDDQNRQTGAPDAGQE >ENSMUSP00000144143.1 pep:known chromosome:GRCm38:2:87268819:87269814:1 gene:ENSMUSG00000070855.5 transcript:ENSMUST00000121296.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1116 description:olfactory receptor 1116 [Source:MGI Symbol;Acc:MGI:3030950] MEFILLGFSNVPHLQWVLFMVFLFMYMTILLCNSIIIVLAKTDPALQTPMYFFLSNFSFL EICYVTATIPRMLMDLYTLKGNISVFACATQMYFVLTLGGTECLLLAAMAYDRYVAICHP LQYSLLMKNKVCLQLVAASWISGIPVEIGQTYQIFSLHFCASNRIDHFFCDIPPLLKLAC GDTFMNTVAVYVVAVLFVMVPFLLIIVSYIKIICNIMKLSSAKGMAKAFSTCSSHLIVVI LFYGTASITYLQPKQSQSEGMGKMLSLFYTILIPALNPIIYSLRNKDIMMALRKLHSKLL IWWENLK >ENSMUSP00000041009.6 pep:known chromosome:GRCm38:4:116720948:116804242:1 gene:ENSMUSG00000033985.17 transcript:ENSMUST00000045542.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tesk2 description:testis-specific kinase 2 [Source:MGI Symbol;Acc:MGI:2385204] MDRSKRNSIAGFPPRVERLEEFEGGGGGDGNTVQVGRVSSSSYRAIISAFSRLTSLDDFT REKIGSGFFSEVFKVRHRASGQVMALKMNTLSSNRANLLKEMQLMNRLSHPNILRFMGVC VHQGQLHALTEYINSGNLEQLLDSDLYLPWTVRVKLAYDIAVGLSYLHFKGIFHRDLTSK NCLIKRDENGYSAVVADFGLAEKIPDASIGREKLAVVGSPFWMAPEVLRDEPYNEKADVF SYGIILCEIIARIQADPDYLPRTENFGLDYDAFQNMVGDCPSDFLQLTFNCCNMDPKLRP SFEEIGKTLKEIMSRLPEEELERDRKLQPTAKGPLEKVPGGKRLSSLDDKIPHKSPRPRR TIWLSRSQSDIFSHKPPRTVSVLDPYYQPRDGATHTPKVNPFSARQDLKGGKVKFFDLPS KSVISLVFDLDAPGPGSTTLADCQEPLAMSSRRWRSLPGSPEFLHQACPFMGCEESLSDG PPPRLSSLKYGVREIPPFRTSALSAASGHEAMDCSNPQEENGFGPRLKGTSLCTGAASEE MEVEEERPRRASVYFSISGISLQTQAKQDG >ENSMUSP00000102067.1 pep:known chromosome:GRCm38:4:116720969:116805956:1 gene:ENSMUSG00000033985.17 transcript:ENSMUST00000106459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tesk2 description:testis-specific kinase 2 [Source:MGI Symbol;Acc:MGI:2385204] MDRSKRNSIAGFPPRVERLEEFEGGGGGDGNTVQVGRVSSSSYRAIISAFSRLTSLDDFT REKIGSGFFSEVFKVRHRASGQVMALKMNTLSSNRANLLKEMQLMNRLSHPNILRFMGVC VHQGQLHALTEYINSGNLEQLLDSDLYLPWTVRVKLAYDIAVGLSYLHFKGIFHRDLTSK NCLIKRDENGYSAVVADFGLAEKIPDASIGREKLAVVGSPFWMAPEVLRDEPYNEKMSSL MVSSSARSSPAFRLIRTIFPAQRISGWITMLSRTWWETAPQTSCSSPLTAVILEAIFTDL FCGRTIFSFRKGLCPWLAL >ENSMUSP00000102064.1 pep:known chromosome:GRCm38:4:116741683:116804102:1 gene:ENSMUSG00000033985.17 transcript:ENSMUST00000106456.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tesk2 description:testis-specific kinase 2 [Source:MGI Symbol;Acc:MGI:2385204] MDRSKRNSIAGFPPRVERLEEFEGGGGGDGNTVQVGRVSSSSYRAIISAFSRLTSLDDFT REKIGSGFFSEVFKVRHRASGQVMALKMNTLSSNRANLLKEMQLMNRLSHPNILRFMGVC VHQGQLHALTEYINSGNLEQLLDSDLYLPWTVRVKLAYDIAVGLSYLHFKGIFHRDLTSK NCLIKRDENGYSAVVADFGLAEKIPDASIGREKLAVVGSPFWMAPEVLRDEPYNEKADVF SYGIILCEIIARIQADPDYLPRTEMDPKLRPSFEEIGKTLKEIMSRLPEEELERDRKLQP TAKGPLEKVPGGKRLSSLDDKIPHKSPRPRRTIWLSRSQSDIFSHKPPRTVSVLDPYYQP RDGATHTPKVNPFSARQDLKGGKVKFFDLPSKSVISLVFDLDAPGPGSTTLADCQEPLAM SSRRWRSLPGSPEFLHQACPFMGCEESLSDGPPPRLSSLKYGVREIPPFRTSALSAASGH EAMDCSNPQEENGFGPRLKGTSLCTGAASEEMEVEEERPRRASVYFSISGISLQTQAKQD G >ENSMUSP00000076563.5 pep:known chromosome:GRCm38:7:25619414:25625551:1 gene:ENSMUSG00000057229.11 transcript:ENSMUST00000077338.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5sl description:ATP5S-like [Source:MGI Symbol;Acc:MGI:1913599] MAAPRAVLHLGAREWNGRARRIHGMSELVTPDSSREKKRTLLQFLSDHFQDIQTLREYLL QKQISKVNRENRSFTNIQEKYGPYVAGAVFILKQGGAVKFQDKEEWIRPNNRSHFLAEIQ KFQNVPVEAVDASGCAINYQGLSNLLPLKELRSLSLQRCPNLDDWCLSRLYLLAGSLQEL SLAGCPRISERGLACLHHLQNLRRLDISDLPAVSHPGLTQILVEEMLPHCEVLGADWAQN LKLEPDKQPPDTSTPLSS >ENSMUSP00000083115.3 pep:known chromosome:GRCm38:7:25619493:25625548:1 gene:ENSMUSG00000057229.11 transcript:ENSMUST00000085953.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5sl description:ATP5S-like [Source:MGI Symbol;Acc:MGI:1913599] MHTMHVLHLGAREWNGRARRIHGMSELVTPDSSREKKRTLLQFLSDHFQDIQTLREYLLQ KQISKVNRENRSFTNIQEKYGPYVAGAVFILKQGGAVKFQDKEEWIRPNNRSHFLAEIQK FQNVPVEAVDASGCAINYQGLSNLLPLKELRSLSLQRCPNLDDWCLSRLYLLAGSLQELS LAGCPRISERGLACLHHLQNLRRLDISDLPAVSHPGLTQILVEEMLPHCEVLGADWAQNL KLEPDKQPPDTSTPLSS >ENSMUSP00000145736.1 pep:known chromosome:GRCm38:7:25620896:25624082:1 gene:ENSMUSG00000057229.11 transcript:ENSMUST00000126925.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp5sl description:ATP5S-like [Source:MGI Symbol;Acc:MGI:1913599] VLHLGAREWNGRARRIHGMSELVTPDSSREKKRTLLQFLSDHFQDIQTLREYLLQKQISK VNRENRLSMLEDSQGQPPGFQHFTVWPACSFLSF >ENSMUSP00000127937.1 pep:known chromosome:GRCm38:5:139706696:139732834:-1 gene:ENSMUSG00000036718.17 transcript:ENSMUST00000170773.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micall2 description:MICAL-like 2 [Source:MGI Symbol;Acc:MGI:2444818] MVALKVPDRLSILTYVSQYYNYFHGRSPIGGMAGIKRPSSDSTEELSGKKGLSQPAKLPS PAQTQRSPLSPARTNPVVQRNEGGSQRPSPKAAPGTAGSSVSSICGVCGKHVHLVQRHLA DGRLYHRSCFRCKQCSSTLHSGAYRATGEPGVFVCTHHSSEVTSVSPKSSNLASRKPGGV TADTRPFGVSWTVQEANGEGTPLRVRTAAWEHAGGNTTAKGFVQTELKPPSTSQVHVGSS AGPKLPTITVTTTSVTSKALTHVTNSSPIGWSSPAQSSPANFNSRPVVSPSARNTHLPGS QGQTASKGVKTQLNLNSESSNTAVTPAWTSSASKTQQAREKFFQTPPSAPAPASAPAPAP TSKVPTVVTVPTSKVPNVVTAPTSKVPTVVTVPTSKVPTVVSAPTSKVPTVVSAPTSKVP TVVNSTNSRVTTVVNAPTSKVPTVVSATNGRVPTVVTAHTGRVPAVMNTSASKVSPVVDA PAQESSREQALSVLRKALPALTGSGTQAPNRSFPATSSVLVTLPKNEVPQKVPSDKLSAL TTQTPNFTIKLEPSAPVNVGNTAVFLQAGKKSPSISPRVGKTSVGSRPQAEVAGVKGPGP ISQEGQEEGPEGWRARLKPVDKKTPAGRSLEQKEPVLAEPRIGDTSRKASSSSDSSVHIT LTSIQHKRKPCPAGSGPSPAALSPSPSHRKKLAVPPSLDVSADWLQPEPKKQEDGTRSCK EEKSPTRWSRERSAVLDSGLAPPGEAVTSPVRLHPDYIPQEELQRQLQDIESQLDALELR GVELEKRLRAAEGDASEDSLMVDWFRLIHEKQLLLRLESELMYKSKDQRLEEQQLDLQGE LRRLMDKPEGLKSPQDRQREQELLSQYVNTVNDRSDIVDFLDEDRLREQEEDQMLENMIQ NLGLQRKKSKSFLSKIWSSKSKSGQA >ENSMUSP00000039707.10 pep:known chromosome:GRCm38:5:139706696:139736336:-1 gene:ENSMUSG00000036718.17 transcript:ENSMUST00000044642.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micall2 description:MICAL-like 2 [Source:MGI Symbol;Acc:MGI:2444818] MAAIKALQEWCRQQCEGYRDVSITNMTTSFRDGLAFCAILHRHRPDLINFSALRKENIYE NNKLAFQVAEEQLGIPALLDAEDMVALKVPDRLSILTYVSQYYNYFHGRSPIGGMAGIKR PSSDSTEELSGKKGLSQPAKLPSPAQTQRSPLSPARTNPVVQRNEGGSQRPSPKAAPGTA GSSVSSICGVCGKHVHLVQRHLADGRLYHRSCFRCKQCSSTLHSGAYRATGEPGVFVCTH HSSEVTSVSPKSSNLASRKPGGVTADTRPFGVSWTVQEANGEGTPLRVRTAAWEHAGGNT TAKGFVQTELKPPSTSQVHVGSSAGPKLPTITVTTTSVTSKALTHVTNSSPIGWSSPAQS SPANFNSRPVVSPSARNTHLPGSQGQTASKGVKTQLNLNSESSNTAVTPAWTSSASKTQQ AREKFFQTPPSAPAPASAPAPAPTSKVPTVVTVPTSKVPNVVTAPTSKVPTVVTVPTSKV PTVVSAPTSKVPTVVSAPTSKVPTVVNSTNSRVTTVVNAPTSKVPTVVSATNGRVPTVVT AHTGRVPAVMNTSASKVSPVVDAPAQESSREQALSVLRKALPALTGSGTQAPNRSFPATS SVLVTLPKNEVPQKVPSDKLSALTTQTPNFTIKLEPSAPVNVGNTAVFLQAGKKSPSISP RVGKTSVGSRPQAEVAGVKGPGPISQEGQEEGPEGWRARLKPVDKKTPAGRSLEQKEPVL AEPRIGDTSRKASSSSDSSVHITLTSIQHKRKPCPAGSGPSPAALSPSPSHRKKLAVPPS LDVSADWLQPEPKKQEDGTRSCKEEKSPTRWSRERSAVLDSGLAPPGEAVTSPVRLHPDY IPQEELQRQLQDIESQLDALELRGVELEKRLRAAEGDASEDSLMVDWFRLIHEKQLLLRL ESELMYKSKDQRLEEQQLDLQGELRRLMDKPEGLKSPQDRQREQELLSQYVNTVNDRSDI VDFLDEDRLREQEEDQMLENMIQNLGLQRKKSKSFLSKIWSSKSKSGQA >ENSMUSP00000132479.1 pep:known chromosome:GRCm38:5:139710135:139711619:-1 gene:ENSMUSG00000036718.17 transcript:ENSMUST00000165645.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Micall2 description:MICAL-like 2 [Source:MGI Symbol;Acc:MGI:2444818] XTLTSIQHKRKPCPAGSGPSPAE >ENSMUSP00000056197.8 pep:known chromosome:GRCm38:11:53567379:53601105:1 gene:ENSMUSG00000018395.19 transcript:ENSMUST00000057330.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif3a description:kinesin family member 3A [Source:MGI Symbol;Acc:MGI:107689] MPINKSEKPESCDNVKVVVRCRPLNEREKSMCYRQAVSVDEMRGTITVHKTDSSNEPPKT FTFDTVFGPESKQLDVYNLTARPIIDSVLEGYNGTIFAYGQTGTGKTFTMEGVRAVPGLR GVIPNSFAHIFGHIAKAEGDTRFLVRVSYLEIYNEEVRDLLGKDQTQRLEVKERPDVGVY IKDLSAYVVNNADDMDRIMTLGHKNRSVGATNMNEHSSRSHAIFTITIECSEKGVDGNMH VRMGKLHLVDLAGSERQAKTGATGQRLKEATKINLSLSTLGNVISALVDGKSTHVPYRNS KLTRLLQDSLGGNSKTMMCANIGPADYNYDETISTLRYANRAKNIKNKARINEDPKDALL RQFQKEIEELKKKLEEGEEVSGSDISGSEEDDEEGELGEDGEKKKKRRGKKKVSPDKMVE MQAKIDEERKALETKLDMEEEERNKARAELERREKDLLKAQQEHQSLLEKLSALEKKVIV GGVDLLAKAEEQEKLLEESNMELEERRRRAEQLRKELEEKEQERLDIEEKYTSLQEEAQG KTKKLKKVWTMLMAAKSEMADLQQEHQREIEGLLENIRQLSRELRLQMLIIDNFIPQDYQ EMIENYVHWNEDIGEWQLKCVAYTGNNMRKQTPVPDKKERDPFEVDLSHVYLAYTEESLR QSLMKLERPRTSKGKARPKMGRRKRSAKPETVIDSLLQ >ENSMUSP00000112782.2 pep:known chromosome:GRCm38:11:53567379:53601967:1 gene:ENSMUSG00000018395.19 transcript:ENSMUST00000120613.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif3a description:kinesin family member 3A [Source:MGI Symbol;Acc:MGI:107689] MPINKSEKPESCDNVKVVVRCRPLNEREKSMCYRQAVSVDEMRGTITVHKTDSSNEPPKT FTFDTVFGPESKQLDVYNLTARPIIDSVLEGYNGTIFAYGQTGTGKTFTMEGVRAVPGLR GVIPNSFAHIFGHIAKAEGDTRFLVRVSYLEIYNEEVRDLLGKDQTQRLEVKERPDVGVY IKDLSAYVVNNADDMDRIMTLGHKNRSVGATNMNEHSSRSHAIFTITIECSEKGVDGNMH VRMGKLHLVDLAGSERQAKTGATGQRLKEATKINLSLSTLGNVISALVDGKSTHVPYRNS KLTRLLQDSLGGNSKTMMCANIGPADYNYDETISTLRYANRAKNIKNKARINEDPKDALL RQFQKEIEELKKKLEEGEEVSGSDISGSEEDDEEGELGEDGEKKKKRRDQAGKKKVSPDK MVEMQAKIDEERKALETKLDMEEEERNKARAELERREKDLLKAQQEHQSLLEKLSALEKK VIVGGVDLLAKAEEQEKLLEESNMELEERRRRAEQLRKELEEKEQERLDIEEKYTSLQEE AQGKTKKLKKVWTMLMAAKSEMADLQQEHQREIEGLLENIRQLSRELRLQMLIIDNFIPQ DYQEMIENYVHWNEDIGEWQLKCVAYTGNNMRKQTPVPDKKERDPFEVDLSHVYLAYTEE SLRQSLMKLERPRTSKGKARPKMGRRKRSAKPETVIDSLLQ >ENSMUSP00000133432.1 pep:known chromosome:GRCm38:11:53567397:53601102:1 gene:ENSMUSG00000018395.19 transcript:ENSMUST00000173744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif3a description:kinesin family member 3A [Source:MGI Symbol;Acc:MGI:107689] MPINKSEKPESCDNVKVVVRCRPLNEREKSMCYRQAVSVDEMRGTITVHKTDSSNEPPKT FTFDTVFGPESKQLDVYNLTARPIIDSVLEGYNGTIFAYGQTGTGKTFTMEGVRAVPGLR GVIPNSFAHIFGHIAKAEGDTRFLVRVSYLEIYNEEVRDLLGKDQTQRLEVKERPDVGVY IKDLSAYVVNNADDMDRIMTLGHKNRSVGATNMNEHSSRSHAIFTITIECSEKGVDGNMH VRMGKLHLVDLAGSERQAKTGATGQRLKEATKINLSLSTLGNVISALVDGKSTHVPYRNS KLTRLLQDSLGGNSKTMMCANIGPADYNYDETISTLRYANRAKNIKNKARINEDPKDALL RQFQKEIEELKKKLEEGEEVSGSDISGSEEDDEEGELGEDGEKKKKRRDQADKMVEMQAK IDEERKALETKLDMEEEERNKARAELERREKDLLKAQQEHQSLLEKLSALEKKVIVGGVD LLAKAEEQEKLLEESNMELEERRRRAEQLRKELEEKEQERLDIEEKYTSLQEEAQGKTKK LKKVWTMLMAAKSEMADLQQEHQREIEGLLENIRQLSRELRLQMLIIDNFIPQDYQEMIE NYVHWNEDIGEWQLKCVAYTGNNMRKQTPVPDKKERDPFEVDLSHVYLAYTEESLRQSLM KLERPRTSKGKARPKMGRRKRSAKPETVIDSLLQ >ENSMUSP00000113848.2 pep:known chromosome:GRCm38:11:53567526:53601059:1 gene:ENSMUSG00000018395.19 transcript:ENSMUST00000118353.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif3a description:kinesin family member 3A [Source:MGI Symbol;Acc:MGI:107689] MPINKSEKPESCDNVKVVVRCRPLNEREKSMCYRQAVSVDEMRGTITVHKTDSSNEPPKT FTFDTVFGPESKQLDVYNLTARPIIDSVLEGYNGTIFAYGQTGTGKTFTMEGVRAVPGLR GVIPNSFAHIFGHIAKAEGDTRFLVRVSYLEIYNEEVRDLLGKDQTQRLEVKERPDVGVY IKDLSAYVVNNADDMDRIMTLGHKNRSVGATNMNEHSSRSHAIFTITIECSEKGVDGNMH VRMGKLHLVDLAGSERQAKTGATGQRLKEATKINLSLSTLGNVISALVDGKSTHVPYRNS KLTRLLQDSLGGNSKTMMCANIGPADYNYDETISTLRYANRAKNIKNKARINEDPKDALL RQFQKEIEELKKKLEEGEEVSGSDISGSEEDDEEGELGEDGEKKKKRRGSSSSSSSDSTC SVIEKPLDEFLPHQAGKKKVSPDKMVEMQAKIDEERKALETKLDMEEEERNKARAELERR EKDLLKAQQEHQSLLEKLSALEKKVIVGGVDLLAKAEEQEKLLEESNMELEERRRRAEQL RKELEEKEQERLDIEEKYTSLQEEAQGKTKKLKKVWTMLMAAKSEMADLQQEHQREIEGL LENIRQLSRELRLQMLIIDNFIPQDYQEMIENYVHWNEDIGEWQLKCVAYTGNNMRKQTP VPDKKERDPFEVDLSHVYLAYTEESLRQSLMKLERPRTSKGKARPKMGRRKRSAKPETVI DSLLQ >ENSMUSP00000115161.2 pep:known chromosome:GRCm38:11:53567861:53579755:1 gene:ENSMUSG00000018395.19 transcript:ENSMUST00000132496.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif3a description:kinesin family member 3A [Source:MGI Symbol;Acc:MGI:107689] MINKSEKPESCDNVKVVVRCRPLNEREKSMCYRQAVSVDEMRGTITVHKTDSSNEPPKTF TFDTVFGPESKQLDVYNLTARPIIDSVLEGYNGTIFAYGQTGTGKTFTMEGVRAVPGLRG VIPNSFAHIFGHIAKAEGDTRFLVRVSYLEIYNEEVRDLLGKDQTQRLEVKERPDVGV >ENSMUSP00000120388.1 pep:known chromosome:GRCm38:11:53579115:53586895:1 gene:ENSMUSG00000018395.19 transcript:ENSMUST00000132653.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kif3a description:kinesin family member 3A [Source:MGI Symbol;Acc:MGI:107689] XSYLEIYNEEVRDLLGKDQTQRLEA >ENSMUSP00000116509.1 pep:known chromosome:GRCm38:11:53593950:53601300:1 gene:ENSMUSG00000018395.19 transcript:ENSMUST00000130037.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif3a description:kinesin family member 3A [Source:MGI Symbol;Acc:MGI:107689] SALEKKVIVGGVDLLAKAEEQEKLLEESNMELEERRRRAEQLRKELEEKEQERLDIEEKY TSLQEEAQGKTKKLKKVWTMLMAAKSEEMIENYVHWNEDIGEWQLKCVAYTGNNMRKQTP VPDKKERDPFEVDLSHVYLAYTEESLRQSLMKLERPRTSKGKARPKMGRRKRSAKPETVI DSLLQ >ENSMUSP00000022217.8 pep:known chromosome:GRCm38:13:92487108:92530868:-1 gene:ENSMUSG00000021706.14 transcript:ENSMUST00000022217.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve16 description:zinc finger, FYVE domain containing 16 [Source:MGI Symbol;Acc:MGI:2145181] MDSYFKAAVSGLDKLLDDFEQNPDKQDYLPDAYAFNQCSVSSESASPQLALLSKDQRCIS TCASSEACCEDANETFLEGKIHEGLTSRPNEKNVAGLDLLSSVDASTSDEIQPSCMRRCS KPVCDLISDMGNLVHATNSEEDIKQLLPDDPKSSADTLITLDSSSVSEALTVSSVDCGSN AVREEQNNINAGIKNRDISIKELGVKVDMALFDSCKYNRTENLKDKIISNELETVDFDMP SVLMEQSSEMSNTKDNPQYKRLPCELLKDDGCLAEEKVAVAVNNTECLEEGGGSNTIAMP CKLPENEGISPSDPASKDENFKLPDFPLQENRTSVFMKQTVKEDSRNLDLKDNNDIVHVS GDDVPPSLSCLSLSGSLCGSLIHNNEHSDILPPNESEGQNNDAVTIHEEIQKSDVLDGET DLSKKETCRSIFLQPVNEKKGEGKVEVEEMVISGESLESPEDASSAAAAGSPVALSAASV PEAPGPCEGLTFPSSDMDGQELDYFNIDESMRSGILISDAELDAFLKEQCLSNSNTMSAG ENVNDSQLQMNQITMKGLHDENAGDIYFNAEAGAAGENGGVGNCETSDKENTENNGLSIG EKGAIPTERELSACQPDIRDELPVPSIKTQAVGGARPKQLLSLPPGTRSSKELNKPDVVD VPESEPCTANATAVSTCSADHIPDSQVSFNSNYIDIESNFEDGSSFVTANKDSLPENKRK ESLVLGQKQPTWVPDSEAPNCMNCQVKFTFTKRRHHCRACGKVFCGVCCNRKCKLQYLEK EARVCVICYETINKAQAFERMMSPGGSCLKSNHSNECATDQPLQETQTSSTPSPTTLPIS ALKQPNVEGPCSKEQKRVWFADGILPNGEVADTTKLSSGSKRCSDDFSPVLPDVPTMINK VDRTHSPTVEKPNNGLGDIIRSEISQSPTCHTAPVERLPGNTGTEGLPMPGPFTLEDDVF VDSEEPSTPTVVPANSGLPVASISDYRLLCSVAKCVCNNISLLPDDDIGLPPLLATSGED GSVPVVQERPSHEQIILLLEGEGFPPATFVLNANLLVNVKLVLYSSEKYWYFSTNGLHGL GQAEIIVLLQCLPNEDTVPKDIFRLFITIYKDALKGKYIENLDNLTFTESFLNSKDHGGF LFITPTFQNLDGLPVPRSPFLCGILIQKLEIPWAKVFPMRLMLRLGAEYKAYPAPLTSVR GRKPLFGEIGHTIMNLLVDLRNYQYTLHNIDQLLIHMEMGKSCIKIPRKKYSDVMKVIHS SNEHVISIGASFSTEADSHLVCVQSDGVYQTQANSATGQPRKVTGASFVVFNGALKTSSG FLAKSSIVEDGLMVQITPETMEGLRLALREQKDFRIQCGKVDAVDLREYVDICWVDSEER KNKGVISSVDGMSVEGFPSEKIKLETDFETEEKTVKCTEVFYFLKDQDISILSSSYQFAK EIAVACSAALCPHLRTLKSNRMNKIGLRVSIDTDMVEFQAGCEGQLLPQHYLNDLDSALI PVIHGGTSNSSLPLEIELAFFILENLSE >ENSMUSP00000020223.7 pep:known chromosome:GRCm38:10:84576626:84614359:1 gene:ENSMUSG00000020034.7 transcript:ENSMUST00000020223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp11l2 description:t-complex 11 (mouse) like 2 [Source:MGI Symbol;Acc:MGI:2444679] MPFNGEKQCVSEDQQSDSESSRFAEGVASLSDYECSRQSFTSDSSSKSSSPASTSPPRGL MFDDVMAAAKNLSDMTLAHEIAVNENFQLKQNALPENSLAGQVKRVVHQAFWDVLEADLS AEPPQYEYAIKLFEEIREILLSFLTPGGNRLHSQICEVLDIDLIRQQAEHSAVDIQGLAN YVITTMGKICAPVRDEDIRELKATTNIVEMLRQIFRVLDLMRMDMMNFVIRNIRPHIQHH LVEYERNKFQEVVEETPNALSQTTEWLKESIDKELLSETDVAPAAEHSSTPSLSPLLVLN NCYLKLLQWDYQKKVLPETLMTDGPRLQELSEKLNQLKMTACVALITNNMVGAVTEGLPE LANRLKRISAVLLEGMNKETFNLKEALHSIGVQTCAEVNKALEERGSPTLNAEVQANLVG QLSSLEEKDNPVCTLMDKRIQLYMKGLLCLPSTQKSMPPVPGGLDVIQRELEVLGCQYAN IVNLNKQVYGPFYANIFRKLLFRDEAVGKIDASLPTN >ENSMUSP00000026459.5 pep:known chromosome:GRCm38:10:128083273:128090391:1 gene:ENSMUSG00000025393.12 transcript:ENSMUST00000026459.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5b description:ATP synthase, H+ transporting mitochondrial F1 complex, beta subunit [Source:MGI Symbol;Acc:MGI:107801] MLSLVGRVASASASGALRGLSPSAALPQAQLLLRAAPAGVHPARDYAAQASAAPKAGTAT GRIVAVIGAVVDVQFDEGLPPILNALEVQGRDSRLVLEVAQHLGESTVRTIAMDGTEGLV RGQKVLDSGAPIKIPVGPETLGRIMNVIGEPIDERGPIKTKQFAPIHAEAPEFIEMSVEQ EILVTGIKVVDLLAPYAKGGKIGLFGGAGVGKTVLIMELINNVAKAHGGYSVFAGVGERT REGNDLYHEMIESGVINLKDATSKVALVYGQMNEPPGARARVALTGLTVAEYFRDQEGQD VLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLATDMGTMQERITTTKKGSITSVQAI YVPADDLTDPAPATTFAHLDATTVLSRAIAELGIYPAVDPLDSTSRIMDPNIVGNEHYDV ARGVQKILQDYKSLQDIIAILGMDELSEEDKLTVSRARKIQRFLSQPFQVAEVFTGHMGK LVPLKETIKGFQQILAGEYDHLPEQAFYMVGPIEEAVAKADKLAEEHGS >ENSMUSP00000021948.8 pep:known chromosome:GRCm38:13:55417958:55426793:-1 gene:ENSMUSG00000021492.15 transcript:ENSMUST00000021948.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F12 description:coagulation factor XII (Hageman factor) [Source:MGI Symbol;Acc:MGI:1891012] MTALLFLGSLLMSLDLTLSAPPWKDSKKFKDAPDGPTVVLTVDGRLCHFPFQYHRQLHHK CIHKRRPGSRPWCATTPNFDEDQQWGYCLEPKKVKDHCSKHNPCHKGGTCINTPNGPHCL CPEHLTGKHCQKEKCFEPQLLKFFHENELWFRTGPGGVARCECKGSEAHCKPVASQACSI NPCLNGGSCLLVEDHPLCRCPTGYTGYFCDLDLWATCYEGRGLSYRGQAGTTQSGAPCQR WTVEATYRNMTEKQALSWGLGHHAFCRNPDNDTRPWCFVWSGDRLSWDYCGLEQCQTPTF APLVVPESQEESPSQAPSLSHAPNDSTDHQTSLSKTNTMGCGQRFRKGLSSFMRVVGGLV ALPGSHPYIAALYWGNNFCAGSLIAPCWVLTAAHCLQNRPAPEELTVVLGQDRHNQSCEW CQTLAVRSYRLHEGFSSITYQHDLALLRLQESKTNSCAILSPHVQPVCLPSGAAPPSETV LCEVAGWGHQFEGAEEYSTFLQEAQVPFIALDRCSNSNVHGDAILPGMLCAGFLEGGTDA CQGDSGGPLVCEEGTAEHQLTLRGVISWGSGCGDRNKPGVYTDVANYLAWIQKHIAS >ENSMUSP00000125771.1 pep:known chromosome:GRCm38:13:55418064:55426779:-1 gene:ENSMUSG00000021492.15 transcript:ENSMUST00000170921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F12 description:coagulation factor XII (Hageman factor) [Source:MGI Symbol;Acc:MGI:1891012] MTALLFLGSLLMSLDLTLSAPPWKDSKKFKDAPDGPTVGAEEYSTFLQEAQVPFIALDRC SNSNVHGDAILPGMLCAGFLEGGTDACQGDSGGPLVCEEGTAEHQLTLRGVISWGSGCGD RNKPGVYTDVANYLAWIQKHIAS >ENSMUSP00000042716.8 pep:known chromosome:GRCm38:5:101658139:101664996:-1 gene:ENSMUSG00000035187.10 transcript:ENSMUST00000044125.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx6-1 description:NK6 homeobox 1 [Source:MGI Symbol;Acc:MGI:1206039] MLAVGAMEGPRQSAFLLSSPPLAALHSMAEMKTPLYPAAYPPLPTGPPSSSSSSSSSSSP SPPLGSHNPGGLKPPAAGGLSSLGSPPQQLSAATPHGINDILSRPSMPVASGAALPSASP SGSSSSSSSSASATSASAAAAAAAAAAAAAASSPAGLLAGLPRFSSLSPPPPPPGLYFSP SAAAVAAVGRYPKPLAELPGRTPIFWPGVMQSPPWRDARLACTPHQGSILLDKDGKRKHT RPTFSGQQIFALEKTFEQTKYLAGPERARLAYSLGMTESQVKVWFQNRRTKWRKKHAAEM ATAKKKQDSETERLKGTSENEEDDDDYNKPLDPNSDDEKITQLLKKHKSSGGSLLLHASE AEGSS >ENSMUSP00000040168.5 pep:known chromosome:GRCm38:16:32230112:32247158:-1 gene:ENSMUSG00000035764.5 transcript:ENSMUST00000042732.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo45 description:F-box protein 45 [Source:MGI Symbol;Acc:MGI:2447775] MAAPGPGAGAASGGASGGGAGAGGGASAGSGSSGVGGRLPSRVLELVFSYLELSELRSCA LVCKHWYRCLHGDENSEVWRSLCARSLAEEALRTDILCNLPSYKAKVRAFQHAFSTNDCS RNVYIKKNGFTLHRNPIAQSTDGARTKIGFSEGRHAWEVWWEGPLGTVAVIGIATKRAPM QCQGYVALLGSDDQSWGWNLVDNNLLHNGEVNGSFPQCNNAPKYQIGERIRVILDMEDKT LAFERGYEFLGVAFRGLPKACLYPAVSAVYGNTEVTLVYLGKPLDG >ENSMUSP00000079289.6 pep:known chromosome:GRCm38:6:29376671:29380513:-1 gene:ENSMUSG00000058831.13 transcript:ENSMUST00000080428.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opn1sw description:opsin 1 (cone pigments), short-wave-sensitive (color blindness, tritan) [Source:MGI Symbol;Acc:MGI:99438] MSGEDDFYLFQNISSVGPWDGPQYHLAPVWAFRLQAAFMGFVFFVGTPLNAIVLVATLHY KKLRQPLNYILVNVSLGGFLFCIFSVFTVFIASCHGYFLFGRHVCALEAFLGSVAGLVTG WSLAFLAFERYVVICKPFGSIRFNSKHALMVVLATWIIGIGVSIPPFFGWSRFIPEGLQC SCGPDWYTVGTKYRSEYYTWFLFIFCFIIPLSLICFSYSQLLRTLRAVAAQQQESATTQK AEREVSHMVVVMVGSFCLCYVPYAALAMYMVNNRNHGLDLRLVTIPAFFSKSSCVYNPII YCFMNKQFRACILEMVCRKPMADESDVSGSQKTEVSTVSSSKVGPH >ENSMUSP00000133745.1 pep:known chromosome:GRCm38:6:29377384:29380431:-1 gene:ENSMUSG00000058831.13 transcript:ENSMUST00000147483.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opn1sw description:opsin 1 (cone pigments), short-wave-sensitive (color blindness, tritan) [Source:MGI Symbol;Acc:MGI:99438] MSGEDDFYLFQNISSVGPWDGPQYHLAPVWAFRLQAAFMGFVFFVGTPLNAIVLVATLHY KKLRQPLNYILVNVSLGGFLFCIFSVFTVFIASCHGYFLFGRHVCALEAFLGSVAGLVTG WSLAFLAFERYVVICKPFGSIRFNSKHALMVVLATWIIGIGVSIPPFFGWSRFIPEGLQC SCGPDWYTVGTKYRSEYYTWFLFIFCFIIPLSLICFSYSQLLRTLRAVAAQQQESATTQK AEREFRACILEMVCRKPMADESDVSGSQKTEVSTVSSSKVGPH >ENSMUSP00000133534.1 pep:known chromosome:GRCm38:6:29379373:29388468:-1 gene:ENSMUSG00000058831.13 transcript:ENSMUST00000173653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opn1sw description:opsin 1 (cone pigments), short-wave-sensitive (color blindness, tritan) [Source:MGI Symbol;Acc:MGI:99438] MVVLATWIIGIGVSIPPFFGWSRFIPEGLQCSCGPDWYTVGTKYRSEYYTWFLFIFCFII P >ENSMUSP00000081221.4 pep:known chromosome:GRCm38:4:141625734:141664118:-1 gene:ENSMUSG00000028917.14 transcript:ENSMUST00000084203.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhm2 description:pleckstrin homology domain containing, family M (with RUN domain) member 2 [Source:MGI Symbol;Acc:MGI:1916832] MEPREVKDRILENISLSVKKLQSYFAACEDETPAIRNHDKVLQRLCEHLDHALLYGLQDL SSGYWVLVVHFTRREAIRQIEVLQHVATNLGRSRAWLYLALNENSLESYLRLFQENLGLL QKYYVRNALVCSHDHLTLFLTLVSGLEFIRFDLDLDAPYLDLAPYMPDYYKPQYLLDFED RLPSSVHGSDSLSLNSFNSVTSTNLEWDDSAIAPSSEDYDFGDVFPAVPSVPSTDWEDGD LTDTISGPRSTASDLTSSKTSTKSPTQRHNPFNEEQAETASSDTTPVHTTSQEKEEAQAP DQPDACTELEVIRVTKKKKIGKKKKTKLDEDASPLHPTSSQQKCGQQGEGDGLVGTPGLA RDPSDTVLASPQEQGEGLSSTAGSSELSELSQMGLLIPEMKDTSMECLGQPLSKVIDKLH GQLDPSTWCSHADPPEQSFRAGSPGEAPEKPPFCDFSEGLPAPMDFYRFTVESPSTVAPG GGHHDPPGPSQPLHVPGSPAAALQEEEEGGGRGEGQTSQPLEDRQGEEIQEPEPQEPDSQ LPLVSQEPVPEPVSQPEPGTHEALCKLKRDQPSPCLSSAEDSGVEEGQGSPSEMTHPSEF RVDNNHLLLLMIHVFRENEEQLFKMIRMSTGHMEGNLQLLYVLLTDCYVYLLRKGATEKP YLVEEAVSYNELDYVSVGLDQQTVKLVCTNRRKQFLLDTADVALAELFLASLKSAMIKGC REPPYPSILTDATMEKLALAKFVAQESKCEASAVTVHFYGLVHWEDPMEEALGPVPCQCS PAEGTITKEGMLHYKASTSYLGKEHWKACFVVLSNGILYQYPDRTDVIPLLSVNMGGEQC GGCRRSNTTDRPHAFQVILADRPCLELSADSEAEMADWMQHLCQAVSKGVIPQGIAPSPC IPCCLVITEDRLFTCHEDCQTSFFRSLGTARLADITAISTELGKEYCVLEFSQDSPQLLQ PWVIYLSCTSELDRFLTALSSGWKAIYQVDLPHKAIHEASIKQKFEDALSLIHSAWQRSD SLCRGRASRDPWC >ENSMUSP00000030751.4 pep:known chromosome:GRCm38:4:141625734:141664899:-1 gene:ENSMUSG00000028917.14 transcript:ENSMUST00000030751.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhm2 description:pleckstrin homology domain containing, family M (with RUN domain) member 2 [Source:MGI Symbol;Acc:MGI:1916832] MEPREVKDRILENISLSVKKLQSYFAACEDETPAIRNHDKVLQRLCEHLDHALLYGLQDL SSGYWVLVVHFTRREAIRQIEVLQHVATNLGRSRAWLYLALNENSLESYLRLFQENLGLL QKYYVRNALVCSHDHLTLFLTLVSGLEFIRFDLDLDAPYLDLAPYMPDYYKPQYLLDFED RLPSSVHGSDSLSLNSFNSVTSTNLEWDDSAIAPSSEDGDLTDTISGPRSTASDLTSSKT STKSPTQRHNPFNEEQAETASSDTTPVHTTSQEKEEAQAPDQPDACTELEVIRVTKKKKI GKKKKTKLDEDASPLHPTSSQQKCGQQGEGDGLVGTPGLARDPSDTVLASPQEQGEGLSS TAGSSELSELSQMGLLIPEMKDTSMECLGQPLSKVIDKLHGQLDPSTWCSHADPPEQSFR AGSPGEAPEKPPFCDFSEGLPAPMDFYRFTVESPSTVAPGGGHHDPPGPSQPLHVPGSPA AALQEEEEGGGRGEGQTSQPLEDRQGEEIQEPEPQEPDSQLPLVSQEPVPEPVSQPEPGT HEALCKLKRDQPSPCLSSAEDSGVEEGQGSPSEMTHPSEFRVDNNHLLLLMIHVFRENEE QLFKMIRMSTGHMEGNLQLLYVLLTDCYVYLLRKGATEKPYLVEEAVSYNELDYVSVGLD QQTVKLVCTNRRKQFLLDTADVALAELFLASLKSAMIKGCREPPYPSILTDATMEKLALA KFVAQESKCEASAVTVHFYGLVHWEDPMEEALGPVPCQCSPAEGTITKEGMLHYKASTSY LGKEHWKACFVVLSNGILYQYPDRTDVIPLLSVNMGGEQCGGCRRSNTTDRPHAFQVILA DRPCLELSADSEAEMADWMQHLCQAVSKGVIPQGIAPSPCIPCCLVITEDRLFTCHEDCQ TSFFRSLGTARLADITAISTELGKEYCVLEFSQDSPQLLQPWVIYLSCTSELDRFLTALS SGWKAIYQVDLPHKAIHEASIKQKFEDALSLIHSAWQRSDSLCRGRASRDPWC >ENSMUSP00000075204.4 pep:known chromosome:GRCm38:16:29231851:29378732:-1 gene:ENSMUSG00000048939.13 transcript:ENSMUST00000075806.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a5 description:ATPase type 13A5 [Source:MGI Symbol;Acc:MGI:2444068] MEKSKKDGHQAVLNEGEENELEVFGYHTQNLRRALCLVTAILTLGAVQLMFYWRPEWWVW TSCIPCPLQEADTILLRTTDEFRRYMRKKVFCLHLSTLKFPISKNPEEPLVADHHSVINQ AVMKPELKLRCIQVQKIRYVWDFLKKRFQKVGLLEDSNSCFDIHHTFGLGLTNEEQEVRR LVCGPNSIEVEIQPIWKLLVKQVLNPFYVFQAFTLTLWLSQGYIEYSVAIIILTVISIVL SVYDLRQQSVKLHKLVEEHNKVQVTITVRDKGLQELESRLLVPGDILILPGKISLPCDAI LIDGSCVVNEGMLTGESIPVTKTPLPQTENTMPWKSHSLEDYRKHVLFCGTEVIQVKPSA QGLVRAVVLQTGYNTAKGDLVRSILYPRPLNFKLYNDAFKFMVFLACVGVVGFFYALGVY MYHEVPPRETATMALILLSATVPPVLPAALTIGNVYAQKRLKKEKIFCISPQRINMCGQI NLVCFDKTGTLTEDGLDLWGTVPTAGNCFQAVHSFASGEAVPWGPLCAAMTSCHSLILLD GTIQGDPLDLKMFEGTGWNMEDSQVASCKFGMADSSTVIKPGPKASQSPVDSITILRQFP FSSGLQRMSVIAQLAGDLHLHVYMKGAPEMVARFCRSETVPKNFSQELRNYTVQGFRVIA LAHKTLKMERLSDMDHLAREKVESELAFLGLLIMENRLKKETRPVLKELSEARIRTVMVT GDNLQTAITVAKNSEMIPVGSQVVIVEANEPGDLVPASVTWQLVGTQEPGSGKKDTYIDI GNSSVPAGKGYHFAMSGKSYQVLFHHFYSMLPQILVNGTIFARMSPGQKSSLVEEFQKLN YYVGMCGDGANDCGALKMAHAGISLSEQEASVASPFTSKTANIECVPHLIREGRAALVSS FGVFKYLTMYGIIQFIGTSLLYWQLQLFGNYQYLLQDVAITLMVSLTMSINHAYPKLAPY RPAGQLLSPQLLLSVFMNSCFTCIVQVCTFLTVKQQPWYCEVYKYSECFLVNQSNLSANV SLDRNWTGNATLVPASVLSFEGTTLWPIVTFNCISAAFIFSKGKPFRKPIYANYLFSLLL ASAAGLTIFILFCDFQDLYRKMEFIPTPTSWRVSILIAAFVQFCVAFFVEDAVLQNRELW LFIKKEFGFYSKSQYRILQRKLAEDSTWPPVNRTDYAVNGKNGFYVNRAYESPEEVPKGK LKLEEQASEQHFWTRL >ENSMUSP00000118627.1 pep:known chromosome:GRCm38:16:29231914:29378706:-1 gene:ENSMUSG00000048939.13 transcript:ENSMUST00000142681.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp13a5 description:ATPase type 13A5 [Source:MGI Symbol;Acc:MGI:2444068] MEKSKKDGHQAVLNEGEENELEVFGYHTQNLRRALCLVTAILTLGAVQLMFYWRPEWWVW TSCIPCPLQEADTILLRTTDEFRRYMRKKVFCLHLSTLKFPISKNPEEPLVADHHSVINQ AVMKPELKLRCIQVQKIRYVWDFLKKRFQKVGLLEDSNSCFDIHHTFGLGLTNEEQEVRR LVCGPNSIEVEIQPIWKLLVKQVLNPFYVFQAFTLTLWLSQGYIEYSVAIIILTVISIVL SVYDLRQQSVKLHKLVEEHNKVQVTITVRDKGLQELESRLLVPGDILILPGKISLPCDAI LIDGSCVVNEGMLTGESIPVTKTPLPQTENTMPWKSHSLEDYRKHVLFCGTEVIQVKPSA QGLVRAVVLQTGYNTAKGDLVRSILYPRPLNFKLYNDAFKFMVFLACVGVVGFFYALGVY MYHEVPPRETATMALILLSATVPPVLPAALTIGNVYAQKRLKKEKIFCISPQRINMCGQI NLVCFDKTGTLTEDGLDLWGTVPTAGNCFQAVHSFASGEAVPWGPLCAAMTSCHSLILLD GTIQGDPLDLKMFEGTGWNMEDSQVASCKFGMADSSTVIKPGPKASQSPVDSITILRQFP FSSGLQRMSVIAQLAGDLHLHVYMKGAPEMVARFCRSETVPKNFSQELRNYTVQGFRVIA LAHKTLKMERLSDMDHLAREKVESELAFLGLLIMENRLKKETRPVLKELSEARIRTVMVT GDNLQTAITVAKNSEMIPVGSQVVIVEANEPGDLVPASVTWQLVGTQEPGSGKKDTYIDI GNSSVPAGKGYHFAMSGKSYQVLFHHFYSMLPQILVNGTIFARMSPGQKSSLVEEFQKLN YYVGMCGDGANDCGALKMAHAGISLSEQEASVASPFTSKTANIECVPHLIREGRAALVSS FGVFKYLTMYGIIQFIGTSLLYWQLQLFGNYQYLLQDVAITLMVSLTIF >ENSMUSP00000121208.1 pep:known chromosome:GRCm38:16:29232460:29378667:-1 gene:ENSMUSG00000048939.13 transcript:ENSMUST00000143373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a5 description:ATPase type 13A5 [Source:MGI Symbol;Acc:MGI:2444068] MEKSKKDGHQAVLNEGEENELEVFGYHTQNLRRALCLVTAILTLGAVQLMFYWRPEWWVW TSCIPCPLQEADTILLRTTDEFRRYMRKKVFCLHLSTLKFPISKNPEEPLVADHHSVINQ AVMKPELKLRCIQVQKIRYVWDFLKKRFQKVGLLEDSNSCFDIHHTFGLGLTNEEQEVRR LVCGPNSIEVEIQPIWKLLVKQQSVKLHKLVEEHNKVQVTITVRDKGLQELESRLLVPGD ILILPGKISLPCDAILIDGSCVVNEGMLTGESIPVTKTPLPQTENTMPWKSHSLEDYRKH VLFCGTEVIQVKPSAQGLVRAVVLQTGYNTAKGDLVRSILYPRPLNFKLYNDAFKFMVFL ACVGVVGFFYALGVYMYHEVPPRETATMALILLSATVPPVLPAALTIGNVYAQKRLKKEK IFCISPQRINMCGQINLVCFDKTGTLTEDGLDLWGTVPTAGNCFQAVHSFASGEAVPWGP LCAAMTSCHSLILLDGTIQGDPLDLKMFEGTGWNMEDSQVASCKFGMADSSTVIKPGPKA SQSPVDSITILRQFPFSSGLQRMSVIAQLAGDLHLHVYMKGAPEMVARFCRSETVPKNFS QELRNYTVQGFRVIALAHKTLKMERLSDMDHLAREKVESELAFLGLLIMENRLKKETRPV LKELSEARIRTVMVTGDNLQTAITVAKNSEMIPVGSQVVIVEANEPGDLVPASVTWQLVG TQEPGSGKKDTYIDIGNSSVPAGKGYHFAMSGKSYQVLFHHFYSMLPQILVNGTIFARMS PGQKSSLVEEFQKLNYYVGMCGDGANDCGALKMAHAGISLSEQEASVASPFTSKTANIEC VPHLIREGRAALVSSFGVFKYLTMYGIIQFIGTSLLYWQLQLFGNYQYLLQDVAITLMVS LTMSINHAYPKLAPYRPAGQLLSPQLLLSVFMNSCFTCIVQVCTFLTVKQQPWYCEVYKY SECFLVNQSNLSANVSLDRNWTGNATLVPASVLSFEGTTLWPIVTFNCISAAFIFSKGKP FRKPIYANYLFSLLLASAAGLTIFILFCDFQDLYRKMEFIPTPTSWRVSILIAAFVQFCV AFFVEDAVLQNRELWLFIKKEFGFYSKSQYRILQRKLAEDSTWPPVNRTDYAVNGKNGFY VNRAYESPEEVPKGKLKLEEQASEQHFWTRL >ENSMUSP00000114703.1 pep:known chromosome:GRCm38:16:29333965:29378583:-1 gene:ENSMUSG00000048939.13 transcript:ENSMUST00000152040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a5 description:ATPase type 13A5 [Source:MGI Symbol;Acc:MGI:2444068] MEKSKKDGHQAVLNEGEENELEVFGYHTQNLRRALCLVTAILTLGAVQLMFYWRPEWWVW TSCIPCPLQEADTILLRTTDEFRRYMRKKVFCLHLSTLKFPISKNPEEPLVADHHSVINQ AVMKPELKLRCIQVQKIRLLEDSNSCFDIHHTFGLGLTNEEQEVRRLVCGPNSIEVEIQP IWKLLVKQVLNPFYVFQAFTLTLWLSQGYIEYSVAIIILTVISIVLS >ENSMUSP00000080953.2 pep:known chromosome:GRCm38:10:129387635:129388594:1 gene:ENSMUSG00000094347.1 transcript:ENSMUST00000082342.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr784 description:olfactory receptor 784 [Source:MGI Symbol;Acc:MGI:3030618] MKNQSVEIIFILLGLTDDPQLQIPIFLFLFFNYILSLMGNLVIILLTLLDPRLKTPMYFF LRNFSFLEIAFTTACIPRFLMSILTGDRTISYNACAAQLFFFFLSLITEFYLLAAMSYDR FVAICRPLHYPIIMNSKVCHLLVLSSWVTGFFVIFPPLLLGLKLDFCASKTIDHFLCDTS PVLQLSCTDTRFIELMAFALAVMTLIITLILVILSYTLIIKTILKFPSAQQRKKAFSTCS SHMVVVSITYGSCIFMYMKTSAKERVSLNKGVAVLNTSVAPLLNPFIYTLRNQQVKDAFK QVLHRMCYSQNSELRFRPK >ENSMUSP00000020366.1 pep:known chromosome:GRCm38:11:33550781:33578957:-1 gene:ENSMUSG00000020159.8 transcript:ENSMUST00000020366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrp description:gamma-aminobutyric acid (GABA) A receptor, pi [Source:MGI Symbol;Acc:MGI:2387597] MSYSLYLAFLCLSLLTQRTCIQGNQVNVEVSRSDKLSLPGFENLTAGYNKFLRPNFGGDP VRIALTLDIASISSISESNMDYTATIYLRQRWTDPRLVFEGNKSFTLDARLVEFLWVPDT YIVESKKSFLHEVTVGNRLIRLFSNGTVLYALRITTTVTCNMDLSKYPMDTQTCKLQLES WGYDGNDVEFSWLRGNDSVRGLENLRLAQYTIQQYFTLVTVSQQETGNYTRLVLQFELRR NVLYFILETYVPSTFLVVLSWVSFWISLDSVPARTCIGVTTVLSMTTLMIGSRTSLPNTN CFIKAIDVYLGICFSFVFGALLEYAVAHYSSLQQMAVKDRGPAKDSEEVNITNIINSSIS SFKRKISFASIEISGDNVNYSDLTMKASDKFKFVFREKISRIIDYFTIQNPSNVDRYSKL LFPLIFMLANVFYWAYYMYF >ENSMUSP00000120500.1 pep:known chromosome:GRCm38:11:33572371:33578959:-1 gene:ENSMUSG00000020159.8 transcript:ENSMUST00000135350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrp description:gamma-aminobutyric acid (GABA) A receptor, pi [Source:MGI Symbol;Acc:MGI:2387597] MSYSLYLAFLCLSLLTQRTCIQGNQVNVEVSRSDKLSLPGFENLTAGYNKFLRPNFGG >ENSMUSP00000090672.5 pep:known chromosome:GRCm38:2:155611212:155634307:1 gene:ENSMUSG00000074652.3 transcript:ENSMUST00000092995.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh7b description:myosin, heavy chain 7B, cardiac muscle, beta [Source:MGI Symbol;Acc:MGI:3710243] MMDMSELGESACYLRQGYQEMMKVHTVPWDGKKRVWVPDEQDAYVEAEVKTEATGGKVTV ETKDQKVLTVRETEMQPMNPPRFDLLEDMAMMTHLNEAAVLHNLRQRYARWMIYTYSGLF CVTINPYKWLPVYTAAVVAAYKGKRRSEAPPHIYAVADNAYNDMLRNRENQSMLITGESG AGKTVNTKRVIQYFAIVAALGDGPGKKAQFLATKTGGTLEDQIIEANPAMEAFGNAKTLR NDNSSRFGKFIRIHFGPTGKLASADIDSYLLEKSRVIFQLPGERGYHVYYQILSGKKPEL QDMLLLSMNPYDYHFCSQGVTTVDNMDDGEELIATDHAMDILGFSVDEKCACYKIVGALL HFGNMKFKQKQREEQAEADGTESADKAAYLMGVSSGDLLKGLLHPRVRVGNEYVTKGQSV EQVVFAVGALAKATYDRLFRWLVSRINQTLDTKLPRQFFIGVLDIAGFEIFEFNSFEQLC INFTNEKLQQFFNQHMFVLEQEEYKREGIDWVFIDFGLDLQPCIDLIEKPLGILSILEEE CMFPKASDASFRAKLYDNHSGKSPNFQQPRPDKKRKYQAHFEVVHYAGVVPYSIVGWLEK NKDPLNETVVPIFQKSQNRLLATLYENYAGSCSTEPPKSGVKEKRKKAASFQTVSQLHKE NLNKLMTNLRATQPHFVRCIVPNENKTPGVMDSFLVLHQLRCNGVLEGIRICRQGFPNRL LYADFRQRYRILNPSAIPDDTFVDSRKATEKLLGSLDIDHTQYQFGHTKVFFKAGLLGIL EELRDQRLAKVLTLLQARSRGRLMRLEYQRMLGGRDALFTIQWNIRAFNAVKNWSWMKLF FKMKPLLRSAQAEEELAALRAELRGLRGALATAEAKRQELEETQVSVTQEKNDLALQLQA EQDNLADAEERCHLLIKSKVQLEAKVKELSERLEDEEEVNADLAARRRKLEDECTELKKD IDDLELTLAKAEKEKQATENKVKNLTEEMAALDEAVVRLTKEKKALQEAHQQALGDLQAE EDRVSALAKAKIRLEQQVEDLECSLEQEKKLRMDTERAKRKLEGDLKLTQETVTDTTQDK QQLEEKLKKKDSELSQLNLRVEDEQLVGVQLQKKIKELQARAEELEEELEAERAARARVE KQRAEAARELEELSERLEEAGGASAGQREGCRKREAELGRLRRELEEAVLRHEATVAALR RKQADSAAELSEQVDSLQRIRQKLEKEKSELRMEVDDLGASVETLARGKASAEKLCRTYE DQLSEAKIKVEELQRQLADASTQRGRLQTENGELGRLLEEKESMISQLSRGKTSAAQSLE ELRRQLEEESKAKGALAHAVQALRHDCDLLREQHEEESEAQAELQRLLSKANAEVAQWRS KYEADAIQRTEELEEAKKKLALRLQEAEEGVEAANAKCSSLEKAKLRLQTESEDVTLELE RATSAAAALDKKQRHLERALEERRRQEEEMQRELEAAQREARGLGTELFRLRHSHEEALE ALETLKRENKNLQEEISDLTDQVSLSGKSIQELEKAKKALEGEKSELQAALEEAEGALEL EETKTLRIQLELSQVKAEVDRKLAEKDEECTNLRRNHQRAVESLQASLDAETRARNEALR LKKKMEGDLNDLELQLGHATRQAMEAQAATRLLQAQLKEEQAGRDEEQRLAAELREQGQA LERRAALLAAELEELRAALEQGERSRRLAEQELLEATERLNLLHSQNTGLLNQKKKLEVD LAQLSGEVEEAAQERREAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKTLEQTVREL QARLEEAEQAALRGGKKQVQKLEAKVRELEAELDAEQKKHAEALKGVRKHERRVKELVYQ TEEDRKNLARMQDLVDKLQSKVKSYKRQFEEAEQQASTNLAKYRKAQHELDDAEERADMA ETQANKLRARSRDALGPKHKE >ENSMUSP00000077779.6 pep:known chromosome:GRCm38:16:37868389:37888858:1 gene:ENSMUSG00000034158.9 transcript:ENSMUST00000078717.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc58 description:leucine rich repeat containing 58 [Source:MGI Symbol;Acc:MGI:2443542] MEEAALGEAELNWSRLSVSAEALESELEARAEERRGAREALLRLLLPYNRLTSLPRALGG GFPHLQLLDVSGNSLTALGPELLTLSGLRTLLARNNRLGGPGSLPKGLAQSPLCRSLQVL NLSGNCFQELPASLLELRALQTLSLGGNQLQSIPAEIENLRSLECLYLGGNFIKEIPPEL ANLPSLNYLVLCDNKIQSVPPQLSQLHSLRSLSLHNNLLTYLPREILNLIHLEELSLRGN PLVVRFVRDLTYDPPTLLELAARTIKIRSISYTPYDLPGNLLRYLGSASNCPNPKCGGVY FDCCVRQIKFVDFCGKYRLPLMHYLCSPECSSPCSSASHSSTSQSESDSEDEASVAAHRM QKVLLG >ENSMUSP00000145040.1 pep:known chromosome:GRCm38:10:129409368:129410306:1 gene:ENSMUSG00000108277.1 transcript:ENSMUST00000204402.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm44444 description:predicted gene, 44444 [Source:MGI Symbol;Acc:MGI:5690836] MRNHTVITEFVLLGISDTPELQLVLFIFLLITYILSVTGNLTIIILTLLDSRLKTPMYFF LRNFSFLEITFTSVSIPRFLGSIITKVKTISYNNCLAQLYFFISMGVSEFFLLTAMSYDR YVAICKPLHYTLIMNQKVCTLLVLASWLAGFLTIFPPLMLVLKLDFCASNVIDHFSCDYF PILQLSCSDTRSLEMIGFYFAFVTLLFTLALVILSYICIISTILRFPSASQRKKAFSTCS SHMIVISMSYGSCIFIYVKSSANERASLTKAVAVLNTSIAPMLNPFIYTLRNQQVKQAFK DLIYKVLLYRSK >ENSMUSP00000034361.3 pep:known chromosome:GRCm38:8:105225145:105253053:1 gene:ENSMUSG00000031889.9 transcript:ENSMUST00000034361.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D230025D16Rik description:RIKEN cDNA D230025D16 gene [Source:MGI Symbol;Acc:MGI:2443049] MLDLEVVPERSLGNEQWEFTLGMPLAQAVAILQKHCRIIRNVQVLYSEQSPLSHDLILNL TQDGITLLFDAFNQRLKVIEVCELTKVKLKYCGVHFNSQAIAPTIEQIDQSFGATHPGVY NSTEQLFHLNFRGLSFSFQLDSWTEAPKYEPNFAHGLASLQIPHGATVKRMYIYSGNSLQ DTKAPVMPLSCFLGNVYAESVDVLRDGTGPSGLRLRLLAAGCGPGVLADAKMRVFERAVY FGDSCQDVLSMLGSPHKVFYKSEDKMKIHSPSPHKQVPSKCNDYFFNYFTLGVDILFDAN THKVKKFVLHTNYPGHYNFNIYHRCEFKIPLAIKKENAGGQTEICTTYSKWDSIQELLGH PVEKPVVLHRSSSPNNTNPFGSTFCFGLQRMIFEVMQNNHIASVTLYGPPRPGAHLRTAE LP >ENSMUSP00000119148.1 pep:known chromosome:GRCm38:8:105225206:105252756:1 gene:ENSMUSG00000031889.9 transcript:ENSMUST00000141957.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:D230025D16Rik description:RIKEN cDNA D230025D16 gene [Source:MGI Symbol;Acc:MGI:2443049] MLDLEVVPERSLGNEQWEFTLGMPLAQAVAILQKHCRIIRNVQVLYSEQSPLSHDLILNL TQDGITLLFDAFNQRLKVIEVCELTKVKLKYCGVHFNSQAIAPTIEQIDQSFGATHPGVY NSTEQLFHLNFRGLSFSFQLDSWTEAPKYEGSCDALELFPGECIC >ENSMUSP00000119743.1 pep:known chromosome:GRCm38:8:105225267:105253053:1 gene:ENSMUSG00000031889.9 transcript:ENSMUST00000124113.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:D230025D16Rik description:RIKEN cDNA D230025D16 gene [Source:MGI Symbol;Acc:MGI:2443049] MLDLEVVPERSLGNEQWEFTLGMPLAQAVAILQKHCRIIRNVQVLYSEQSPLSHDLILNL TQDGITLLFDAFNQRLKVIEVCELTKVKLKYCGVHFNSQAIAPTIEQIDQSFGATHPGGL L >ENSMUSP00000123583.1 pep:known chromosome:GRCm38:8:105230968:105240039:1 gene:ENSMUSG00000031889.9 transcript:ENSMUST00000132964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D230025D16Rik description:RIKEN cDNA D230025D16 gene [Source:MGI Symbol;Acc:MGI:2443049] MPLAQAVAILQKHCRIIRNVQVLYSEQSPLSHDLILNLTQDGITLLFDAFNQRLKVIEVC ELTKVKLKYCGVHFNSQAIAPTIEQIDQSFGATHPGVYNSTEQLFHLNFRGLSFSFQ >ENSMUSP00000127456.1 pep:known chromosome:GRCm38:15:55534094:55557349:-1 gene:ENSMUSG00000022370.13 transcript:ENSMUST00000164542.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl13 description:mitochondrial ribosomal protein L13 [Source:MGI Symbol;Acc:MGI:2137218] MSSFSRAPQQWATFARMWYLLDGKMQPPGKLAVIASNKLQGLNKPVYHQLTTQAALDK >ENSMUSP00000130722.1 pep:known chromosome:GRCm38:15:55534094:55557748:-1 gene:ENSMUSG00000022370.13 transcript:ENSMUST00000172387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl13 description:mitochondrial ribosomal protein L13 [Source:MGI Symbol;Acc:MGI:2137218] MSSFSRAPQQWATFARMWYLLDGKMQPPGKLAVIASNKLQGLNKPVYHQLSDCGDHVVII NTRHIAFSGNKWEQKVYSSHTGYPGGFRQVTAAQLHRKDPVAIVKLAIYGMLPKNLHRRT MMQRLHLFPDEDIPEDILKNLVEELPQPRRVPKRLDEYTQEEIEAFPRVWTPPDDFRM >ENSMUSP00000125887.1 pep:known chromosome:GRCm38:15:55538636:55548164:-1 gene:ENSMUSG00000022370.13 transcript:ENSMUST00000165356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl13 description:mitochondrial ribosomal protein L13 [Source:MGI Symbol;Acc:MGI:2137218] KVYSSHTGYPGGFRQVTAAQLHRKDPVAIVKLAIYGMLPKNLHRRTMMQRLHLFPDEDIP EDILKNLVEELPQPRRVPKRLDEYTQEEIEAFPRVWTP >ENSMUSP00000109110.1 pep:known chromosome:GRCm38:2:31572651:31617526:1 gene:ENSMUSG00000026843.15 transcript:ENSMUST00000113482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp3 description:far upstream element (FUSE) binding protein 3 [Source:MGI Symbol;Acc:MGI:2443699] MAELVQGQSAPVGMKAEGFVDALHRVRQIAAKIDSIPHLNNSTPLVDPSVYGYGVQKRAL DDGVGNQLGALVHQRAVITEEFKVPDKMVGFIIGRGGEQISRIQAESGCKIQIASESSGI PERPCVLTGTPESIEQAKRLLGQIVDRCRNGPGFHNDMDGNSTIQELLIPASKVGLVIGK GGETIKQLQERTGVKMVMIQDGPLPTGADKPLRITGDPFKVQQAREMVLEIIREKDQADF RGVRSDFTSRAGGGSIEVSVPRFVVGIVIGRNGEMIKKIQNDAGVRIQFKPDDGISPERA AQVMGPPDRCQHAARIINELILTAQEREILGGLTVTRGRGRGRSDWSVGTPGGVQEITYT VPADKCGLVIGKGGENIKSINQQSGAHVELQRNPPPNTDPNLRIFTIRGAPQQMEVARHL IDEKVGGASLGAPAAFGQSPFSQPPAAPHQNTFPPRGFPNIAAKVNGNPHSTPVSGPPAF LTQGWGSTYQAWQQPTQQVPSQQSQPQNSQPDYSKAWEDYYKKQSHPTSAAPQASSPPDY TMAWAEYYRQQAAFYGQTLGQAQAHSQEQ >ENSMUSP00000120241.1 pep:known chromosome:GRCm38:2:31572791:31595271:1 gene:ENSMUSG00000026843.15 transcript:ENSMUST00000137889.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp3 description:far upstream element (FUSE) binding protein 3 [Source:MGI Symbol;Acc:MGI:2443699] MAELVQGQSAPVGMKAEGFVDALHRVRQIAAKIDSIPHLNNSTPLVDPSVYGYGVQKRAL DDGGNQLGALVHQRAVITEEFKVPDKMVGFIIGRGGE >ENSMUSP00000142262.1 pep:known chromosome:GRCm38:2:31572814:31598562:1 gene:ENSMUSG00000026843.15 transcript:ENSMUST00000194386.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp3 description:far upstream element (FUSE) binding protein 3 [Source:MGI Symbol;Acc:MGI:2443699] MAELVQGQSAPVGMKAEGFVDALHRVRQIAAKIDSIPHLNNSTPLVDPSVYGYGVQKRAL DDGVGNQLGALVHQRGGEQISRIQAESGCKIQIASESSGIPERPCVLTGTPESIEQAKRL LGQ >ENSMUSP00000053474.6 pep:known chromosome:GRCm38:2:31572817:31617519:1 gene:ENSMUSG00000026843.15 transcript:ENSMUST00000055244.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp3 description:far upstream element (FUSE) binding protein 3 [Source:MGI Symbol;Acc:MGI:2443699] MAELVQGQSAPVGMKAEGFVDALHRVRQIAAKIDSIPHLNNSTPLVDPSVYGYGVQKRAL DDGVGNQLGALVHQRAVITEEFKVPDKMVGFIIGRGGEQISRIQAESGCKIQIASESSGI PERPCVLTGTPESIEQAKRLLGQIVDRCRNGPGFHNDMDGNSTIQELLIPASKVGLVIGK GGETIKQLQERTGVKMVMIQDGPLPTGADKPLRITGDPFKVQQAREMVLEIIREKDQADF RGVRSDFTSRAGGGSIEVSVPRFVVGIVIGRNGEMIKKIQNDAGVRIQFKPDDGISPERA AQVMGPPDRCQHAARIINELILTAQEREILGGLTVTRGRGRGRSDWSVGTPGGVQEITYT VPADKCGLVIGKGGENIKSINQQSGAHVELQRNPPPNTDPNLRIFTIRGAPQQMEVARHL IDEKVGGASLGAPAAFGQSPFSQPPAAPHQNTFPPRGFPNIAAKVNGNPHSTPVSGPPAF LTQGWGSTYQAWQQPTQQVPSQQSQPQNSQPDYSKAWEDYYKKQSHPTSAAPQASSPPDY TMAWAEYYRQQAAFYGQTLGQAQAHSQVCSQSPAP >ENSMUSP00000141873.1 pep:known chromosome:GRCm38:2:31572827:31598548:1 gene:ENSMUSG00000026843.15 transcript:ENSMUST00000154050.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fubp3 description:far upstream element (FUSE) binding protein 3 [Source:MGI Symbol;Acc:MGI:2443699] MAELVQGQSAPVGMKAEGFVDALHRVRQIAAKIDSIPHLNNSTPLVDPSVYGYGVQKRAL DDGVGNQLGALVHQSNNRRIQSA >ENSMUSP00000141283.1 pep:known chromosome:GRCm38:2:31603271:31613107:1 gene:ENSMUSG00000026843.15 transcript:ENSMUST00000134553.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fubp3 description:far upstream element (FUSE) binding protein 3 [Source:MGI Symbol;Acc:MGI:2443699] VRSDFTSRAGGGSIEVSVPRFVVGIVIGRNGEMIKKIQNDAGVRIQFKPDDGISPERAAQ VMGPPDRCQHAARIINELILTAQEREILGGLTVTRGRGRGRSDWSVGTPGGVQEITYTVP ADKCGLVIGKGGENIKSINQQSGAHVELQRNPPPNTDPNLRIFTIRGAPQQMEVARHLID EKVGFQAPQSR >ENSMUSP00000117970.1 pep:known chromosome:GRCm38:2:31604706:31615932:1 gene:ENSMUSG00000026843.15 transcript:ENSMUST00000129903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp3 description:far upstream element (FUSE) binding protein 3 [Source:MGI Symbol;Acc:MGI:2443699] XFKPDDGISPERAAQVMGPPDRCQHAARIINELILTAQEREILGGLTVTRGRGRGRSDWS VGTPGGVQEITYTVPADKCGLVIGKGGENIKSINQQSGAHVELQRNPPPNTDPNLRIFTI RGAPQQMEVARHLIDEKVGGASLGAPAAFGQSPFSQPPAAPHQNTFPPRGFPNIAAKVNG NPHSTPVSGPPAFLTQGWGSTYQAWQQPTQQVPSHPTSAAPQASSPPDYTMAWAEYYRQQ AAFYGQTLGQAQAHSQVCSQSPAP >ENSMUSP00000123589.1 pep:known chromosome:GRCm38:2:31612531:31616716:1 gene:ENSMUSG00000026843.15 transcript:ENSMUST00000150473.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp3 description:far upstream element (FUSE) binding protein 3 [Source:MGI Symbol;Acc:MGI:2443699] XQPPAAPHQNGPPAFLTQGWGSTYQAWQQPTQQVPSQQSQPQNSQPDYSKAWEDYYKKQS HPTSAAPQASSPPDYTMAWAEYYRQQAAFYGQTLGQAQAHSQEQ >ENSMUSP00000141386.1 pep:known chromosome:GRCm38:2:31614761:31617518:1 gene:ENSMUSG00000026843.15 transcript:ENSMUST00000130578.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp3 description:far upstream element (FUSE) binding protein 3 [Source:MGI Symbol;Acc:MGI:2443699] EDYYKKQSHPTSAAPQASSPPDYTMAWAEYYRQQAAFYGQTLGQAQAHSQEQ >ENSMUSP00000023617.6 pep:known chromosome:GRCm38:16:36693978:36726804:1 gene:ENSMUSG00000022900.14 transcript:ENSMUST00000023617.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ildr1 description:immunoglobulin-like domain containing receptor 1 [Source:MGI Symbol;Acc:MGI:2146574] MGCGLLAAGLLLFTWLPAGCLSLLVTVQHTERYVTLFASVTLKCDYTTSAQLQDVVVTWR FKSFCKDPIFDYFSASYQAALSLGQDPSNDCSDNQREVRIVAQRRGQSEPVLGVDYRQRK ITIQNRADLVINEVMWWDHGVYYCTIEAPGDTSGDPDKEVKLIVLHWLTVIFIILGALLL LLLIGVCWCQCCPQYCCCYIRCPCCPTRCCCPEEALARHRYMKQVQALGPQMMEKPLYWG ADRSSQVSSYAMNPLLQRDLSLQSSLPQMPMTQMAAHPPVANGVLEYLEKELRNLNPAQP LPADLRAKSGHPCSMLSSLGSAEVVERRVIHLPPLIRDPPSSRTSNPSHQQRLNAVSSRH CDLSERPRQRHHSDFLRELQDQGMRPWAPGRGELDPHWSGRHHRSRPSESSMPWSDWDSL SECPSSSEAPWPPRRPEPREGAQRRERRRHRSYSPPLPSGPSSWSSEEEKESLPRNWGAQ RRHHHRRRRSQSPNWPEEKPPSYRSLDVTPGKNNRKKGNVERRLERESSHSGRSVVI >ENSMUSP00000087045.3 pep:known chromosome:GRCm38:16:36693981:36726747:1 gene:ENSMUSG00000022900.14 transcript:ENSMUST00000089618.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ildr1 description:immunoglobulin-like domain containing receptor 1 [Source:MGI Symbol;Acc:MGI:2146574] MGCGLLAAGLLLFTWLPAGCLSLLVTVQHTERYVTLFASVTLKCDYTTSAQLQDVVVTWR FKSFCKDPIFDYFSASYQAALSLGQDPSNDCSDNQREVRIVAQRRGQSEPVLGVDYRQRK ITIQNRADLVINEVMWWDHGVYYCTIEAPGDTSGDPDKEVKLIVLHWLTVIFIILGALLL LLLIGVCWCQCCPQYCCCYIRCPCCPTRCCCPEEDLSLQSSLPQMPMTQMAAHPPVANGV LEYLEKELRNLNPAQPLPADLRAKSGHPCSMLSSLGSAEVVERRVIHLPPLIRDPPSSRT SNPSHQQRLNAVSSRHCDLSERPRQRHHSDFLRELQDQGMRPWAPGRGELDPHWSGRHHR SRPSESSMPWSDWDSLSECPSSSEAPWPPRRPEPREGAQRRERRRHRSYSPPLPSGPSSW SSEEEKESLPRNWGAQRRHHHRRRRSQSPNWPEEKPPSYRSLDVTPGKNNRKKGNVERRL ERESSHSGRSVVI >ENSMUSP00000112539.1 pep:known chromosome:GRCm38:16:36694038:36726741:1 gene:ENSMUSG00000022900.14 transcript:ENSMUST00000119464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ildr1 description:immunoglobulin-like domain containing receptor 1 [Source:MGI Symbol;Acc:MGI:2146574] MGCGLLAAGLLLFTWLPAGCLSLLVTVQHTERYVTLFASVTLKCDYTTSAQLQDVVVTWR FKSFCKDPIFDYFSASYQAALSLGQDPSNDCSDNQREVRIVAQRRGQSEPVLGVDYRQRK ITIQNRADLVINEVMWWDHGVYYCTIEAPGDTSGDPDKEVKLIVLHWLTVIFIILGALLL LLLIGVCWCQCCPQYCCCYIRCPCCPTRCCCPEEALARHRYMKQVQALGPQMMEKPLYWG ADRSSQVSSYAMNPLLQRDLSLQSSLPQMPMTQMAAHPPVANGVLEYLEKELRNLNPAQP LPADLRAKSGHPCSMLSSLGSAEVVERRVIHLPPLIRDPPSSRTSNPSHQQRLNAVSSRH CDLSERPRQRHHSDFLRELQDQGMRPWAPGRGELDPHWSGRHHRSRPSESSMPWSDWDSL SECPSSSEAPWPPRRPEPREGAQRRERRRHRSYSPPLPSGPSSWSSEEEKESLPRNWGAQ RRHHHRRRRSQSPNWPEEKPPSYRSLDVTPGERELP >ENSMUSP00000026135.8 pep:known chromosome:GRCm38:11:120672992:120709447:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000026135.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MAAPAGGGGSAVSVLAPNGRRHTVKVTPSTVLLQVLEDTCRRQDFNPSEYDLKFQRTVLD LSLQWRFANLPNNAKLEMVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSH FAQTRERLQQLGEKTPVCVYMRNEVTGRAALQNTTLQSLGLTGGSATIRFVIKQCDTAGK QESIAVRSKAPGSPVSSLSADQASSSTLLPLNSGEFSRGDLNHEGDANTSGTGLEGGPKP TDAQTKQSTSEPASAPFVPFSGGGQRLGGPSASLRPLTSPSANSSKSFSGPGGPSKPKKP KPGEEPQQEPEPPVDRDPVVYHPDLEDLLQPWPAEVPDEFFEVTVDDVRRRLAQLKSERK RLEEAPLVTKAFREAQMKEKLERYPKVALRVLFPDRYILQGFFRPSETVGDLRDFVRSHL GNPELSFYLFIAPPKMVLDDHTLTLFQANLFPAALVHFGAEEPTGLYLEPGLLEHTVSPS TADVLVARCMSRASGSPPLLPAPDPVSLESEPIAEDGALGPPEPIQGTAQPVKRSLGKVP KWLKLPASKR >ENSMUSP00000130303.1 pep:known chromosome:GRCm38:11:120673063:120701564:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000168097.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTS >ENSMUSP00000099305.1 pep:known chromosome:GRCm38:11:120673064:120709444:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000103016.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRERLQQLGEKTPV CVYMRNEVTGRAALQNTTLQSLGLTGGSATIRFVIKQCDTAGKQESIAVRSKAPGSPVSS LSADQASSSTLLPLNSGEFSRGDLNHEGDANTSGTGLEGGPKPTDAQTKQSTSEPASAPF VPFSGGGQRLGGPSASLRPLTSPSANSSKSFSGPGGPSKPKKPKPGEEPQQEPEPPVDRD PVVYHPDLEDLLQPWPAEVPDEFFEVTVDDVRRRLAQLKSERKRLEEAPLVTKAFREAQM KEKLERYPKVALRVLFPDRYILQGFFRPSETVGDLRDFVRSHLGNPELSFYLFIAPPKMV LDDHTLTLFQANLFPAALVHFGAEEPTGLYLEPGLLEHTVSPSTADVLVARCMSRASGSP PLLPAPDPVSLESEPIAEDGALGPPEPIQGTAQPVKRSLGKVPKWLKLPASKR >ENSMUSP00000129462.1 pep:known chromosome:GRCm38:11:120673083:120709072:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000168714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRERLQQLGEKTPV CVYMRNEANLFPAALVHFGAEEPTGLYLEPGLLEHTVSPSTADVLVAR >ENSMUSP00000129320.1 pep:known chromosome:GRCm38:11:120673098:120689068:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000143844.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRELFQA >ENSMUSP00000120072.1 pep:known chromosome:GRCm38:11:120673359:120689171:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000135346.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRERLQQLGEKTPV CVYMRNEVTGRAALQNTTLQSLGLTGGSATIRFVIKQCDTAGKQESIAVRSKAPGSPVSS LSADQASSSTLLPLNSGEFSRGDLNHEGDANTSGTGLEGGPKPTDAQTKQSTSEPASA >ENSMUSP00000123650.1 pep:known chromosome:GRCm38:11:120673374:120678453:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000127269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGS >ENSMUSP00000117654.2 pep:known chromosome:GRCm38:11:120673374:120689167:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000131727.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRERLQQLGEKTPV CVYMRNEVTGRAALQNTTLQSLGLTGGSATIRFVIKQCDTAGKQESIAVRSKAPGSPVSS LSADQASSSTLLPLNSGEFSRGDLNHEGDANTSGTGLEGGPKPTDAQTKQSTSEPAS >ENSMUSP00000122216.1 pep:known chromosome:GRCm38:11:120673392:120689127:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000149389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MQQLHKDCRATEWPWTQSSVLEDTCRRQDFNPSEYDLKFQRTVLDLSLQWRFANLPNNAK LEMVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRERLQQLGEKT PVCVYMRNEVTGRAALQNTTLQSLGLTGGSATIRFVIKQCDTAGKQESIAVRSKAPGSPV SSLSADQASSSTLLPLNSGEFSRGDLNHEGDANTSGTGLEGGPKPT >ENSMUSP00000120669.1 pep:known chromosome:GRCm38:11:120673404:120686701:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000153346.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRERLQQLGEKTPV CVYMRNE >ENSMUSP00000101766.2 pep:known chromosome:GRCm38:11:120676661:120709442:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000106160.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRERLQQLGEKTPV CVYMRNEVTGRAALQNTTLQSLGLTGGSATIRFVIKQCDTAGKQESIAVRSKAPGSPVSS LSADQASSSTLLPLNSGEFSRGDLNHEGDANTSGTGLEGGPKPTDAQTKQSTSEPASAPF VPFSGGGQRLGGPSASLRPLTSPSANSSKSFSGPGGPSKPKKPKPGEEPQQEPEPPVDRD PVVYHPDLEDLLQPWPAEVPDEFFEVTVDDVRRRLAQLKSERKRLEEAPLVTKAFREAQM KEKLERYPKVALRVLFPDRYILQGFFRPSETVIAPPKMVLDDHTLTLFQANLFPAALVHF GAEEPTGLYLEPGLLEHTVSPSTADVLVARCMSRASGSPPLLPAPDPVSLESEPIAEDGA LGPPEPIQGTAQPVKRSLGKVPKWLKLPASKR >ENSMUSP00000117353.2 pep:known chromosome:GRCm38:11:120677226:120678445:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000129644.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLD >ENSMUSP00000116447.1 pep:known chromosome:GRCm38:11:120677339:120689297:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000151160.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRERLQQLGEKTPV CVYMRNEVTGRAALQNTTLQSLGLTGGSATIRFVIKQCDTAGKQESIAVRSKAPGSPVSS LSADQASSSTLLPLNSGEFSRGDLNHEGDANTSGTGLEGGPKPTDAQTKQSTSEPASAPF VPFSGGGQRLGGPSASLRPLTSPSANSSKSFSGPGGPSKP >ENSMUSP00000125931.1 pep:known chromosome:GRCm38:11:120686693:120709443:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000168510.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] RNEVTGRAALQNTTLQSLGLTGGSATIRFVIKQCDTAGKQESIAVRSKAPGSPVSSLSAD QASSSTLLPLNSGEFSRGDLNHEGDANTSGTGLEGGPKPTDAQTKQSTTTSLEMPITSHR TLPPPTPSRNKESRFS >ENSMUSP00000127202.1 pep:known chromosome:GRCm38:11:120689274:120709443:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000166838.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] XPGGPSKPKKPKPGEEPQQEPEPPVDRDPVVYHPDLEDLLQPWPAEVPDEFFEVTVDDVR RRLAQLKSERKRLEEAPLVTKAFREAQMKEKLERYPKVALRVLFPDRYILQGFFRPSETG ECLQYLGGLLCPVGYRNLLNTACLSGPLSLPASCPPCRQTSSLLRLCTLELKNQQVSIWN LGC >ENSMUSP00000128940.1 pep:known chromosome:GRCm38:11:120689310:120709241:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000167678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] XGEEPQQEPEPPVDRDPVVYHPDLEDLLQPWPAEVPDEFFEVTVDDVRRRLAQLKSERKR LEEAPLVTKAFREAQMKEKLERYPKVALRVLFPDRYILQGFFRPSETGECLQYLGGLLCP VGYRNLLNTACLSGPLSLPASCPPCRQTSSLLRLCTLELKNQQVYVPGFRVPTSAASP >ENSMUSP00000125851.1 pep:known chromosome:GRCm38:11:120702514:120709444:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000168947.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] YILQGFFRPSETGKPLPCCACALWS >ENSMUSP00000101765.3 pep:known chromosome:GRCm38:11:120676661:120709444:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000106159.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRERLQQLGEKTPV CVYMRNEVTGRAALQNTTLQSLGLTGGSATIRFVIKQCDTAGKQESIAVRSKAPGSPVSS LSADQASSSTLLPLNSGEFSRGDLNHEGDANTSGTGLEGGPKPTDAQTKQSTSEPASAPF VPFSGGGQRLGGPSASLRPLTSPSANSSKSFSGPGGPSKPKKPKPGEEPQQEPEPPVDRD PVVYHPDLEDLLQPWPAEVPDEFFEVTVDDVRRRLAQLKSERKRLEEAPLVTKAFREAQM KEKLERYPKVALRVLFPDRYILQGFFRPSETVGDLRDFVRSHLGNPELSFYLFIAPPKMV LDDHTLTLFQANLFPAALVHFGAEEPTGLYLEPGLLEHTVSPSTADVLVARCMSRASGSP PLLPAPDPVSLESEPIAEDGALGPPEPIQGTAQPVKRSLGKVPKWLKLPASKR >ENSMUSP00000101764.2 pep:known chromosome:GRCm38:11:120673056:120709444:1 gene:ENSMUSG00000025142.17 transcript:ENSMUST00000106158.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspscr1 description:alveolar soft part sarcoma chromosome region, candidate 1 (human) [Source:MGI Symbol;Acc:MGI:1916188] MVPVSRSREGPENIVRIAFQLDDGSRLQDAFCSRQTLWELLSHFAQTRERLQQLGEKTPV CVYMRNEVTGRAALQNTTLQSLGLTGGSATIRFVIKQCDTAGKQESIAVRSKAPGSPVSS LSADQASSSTLLPLNSGEFSRGDLNHEGDANTSGTGLEGGPKPTDAQTKQSTSEPASAPF VPFSGGGQRLGGPSASLRPLTSPSANSSKSFSGPGGPSKPKKPKPGEEPQQEPEPPVDRD PVVYHPDLEDLLQPWPAEVPDEFFEVTVDDVRRRLAQLKSERKRLEEAPLVTKAFREAQM KEKLERYPKVALRVLFPDRYILQGFFRPSETVIAPPKMVLDDHTLTLFQANLFPAALVHF GAEEPTGLYLEPGLLEHTVSPSTADVLVARCMSRASGSPPLLPAPDPVSLESEPIAEDGA LGPPEPIQGTAQPVKRSLGKVPKWLKLPASKR >ENSMUSP00000023474.3 pep:known chromosome:GRCm38:16:32247253:32257083:1 gene:ENSMUSG00000022787.10 transcript:ENSMUST00000023474.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr53 description:WD repeat domain 53 [Source:MGI Symbol;Acc:MGI:1916230] MAVKWTGGHSSSILCLNANKDGLVASGGEGGDLVAWGEDGTPLGHMQLEGADDVTSVLFS ASCPTKLYASHGETISVLDVRSLKGSLDHFHVNEEEINCLSLNETESLLASADDSGAIKI LDLEKKKVTRSLKRHSNICSSVAFRPQRPQSLVSCGLDMQVMLWSLQKARPVWITNLQED ETEETEGPQTPGRLLNPALAHSVSVASCGNIFSCGAEDGKVRIFRVMGVKCERELGFKGH TLGVSQVCFLPESSLLLTGGNDGRIRLWDVSGKMEKLQKSPARHIHRKKAKRAACPTQGG NSRAPGAEDEGHAKILPKLDIEHGEKVNWLLSTKIKGNKSILVADQTSCVSVYPLNEL >ENSMUSP00000135908.1 pep:known chromosome:GRCm38:16:32247227:32257074:1 gene:ENSMUSG00000022787.10 transcript:ENSMUST00000178573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr53 description:WD repeat domain 53 [Source:MGI Symbol;Acc:MGI:1916230] MAVKWTGGHSSSILCLNANKDGLVASGGEGGDLVAWGEDGTPLGHMQLEGADDVTSVLFS ASCPTKLYASHGETISVLDVRSLKGSLDHFHVNEEEINCLSLNETESLLASADDSGAIKI LDLEKKKVTRSLKRHSNICSSVAFRPQRPQSLVSCGLDMQVMLWSLQKARPVWITNLQED ETEETEGPQTPGRLLNPALAHSVSVASCGNIFSCGAEDGKVRIFRVMGVKCERELGFKGH TLGVSQVCFLPESSLLLTGGNDGRIRLWDVSGKMEKLQKSPARHIHRKKAKRAACPTQGG NSRAPGAEDEGHAKILPKLDIEHGEKVNWLLSTKIKGNKSILVADQTSCVSVYPLNEL >ENSMUSP00000044361.8 pep:known chromosome:GRCm38:8:80698507:80739497:-1 gene:ENSMUSG00000031715.14 transcript:ENSMUST00000043359.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca5 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 5 [Source:MGI Symbol;Acc:MGI:1935129] MSSAVEPPPPPPPESAPSKPSAAGAGGSSSGNKGGPEGGAAPAAPCAAGSGPADTEMEEV FDHGSPGKQKEIQEPDPTYEEKMQTDRANRFEYLLKQTELFAHFIQPAAQKTPTSPLKMK PGRPRVKKDEKQNLLSVGDYRHRRTEQEEDEELLTESSKATNVCTRFEDSPSYVKWGKLR DYQVRGLNWLISLYENGINGILADEMGLGKTLQTISLLGYMKHYRNIPGPHMVLVPKSTL HNWMSEFKKWVPTLRSVCLIGDKEQRAAFVRDVLLPGEWDVCVTSYEMLIKEKSVFKKFN WRYLVIDEAHRIKNEKSKLSEIVREFKTTNRLLLTGTPLQNNLHELWSLLNFLLPDVFNS ADDFDSWFDTNNCLGDQKLVERLHMVLRPFLLRRIKADVEKSLPPKKEVKIYVGLSKMQR EWYTRILMKDIDILNSAGKMDKMRLLNILMQLRKCCNHPYLFDGAEPGPPYTTDMHLVTN SGKMVVLDKLLPKLKEQGSRVLIFSQMTRVLDILEDYCMWRNYEYCRLDGQTPHDERQDS INAYNEPNSTKFVFMLSTRAGGLGINLATADVVILYDSDWNPQVDLQAMDRAHRIGQTKT VRVFRFITDNTVEERIVERAEMKLRLDSIVIQQGRLVDQNLNKIGKDEMLQMIRHGATHV FASKESEITDEDIDGILERGAKKTAEMNEKLSKMGESSLRNFTMDTESSVYNFEGEDYRE KQKIAFTEWIEPPKRERKANYAVDAYFREALRVSEPKAPKAPRPPKQPNVQDFQFFPPRL FELLEKEILYYRKTIGYKVPRSPDLPNAAQAQKEEQLKIDEAEPLNDEELEEKEKLLTQG FTNWNKRDFNQFIKANEKWGRDDIENIAREVEGKTPEEVIEYSAVFWERCNELQDIEKIM AQIERGEARIQRRISIKKALDTKIGRYKAPFHQLRISYGTNKGKNYTEEEDRFLICMLHK LGFDKENVYDELRQCIRNSPQFRFDWFLKSRTAMELQRRCNTLITLIERENMELEEKEKA EKKKRGPKPSTQKRKMDGAPDGRGRKKKLKL >ENSMUSP00000102063.1 pep:known chromosome:GRCm38:4:116794310:116807548:-1 gene:ENSMUSG00000028688.13 transcript:ENSMUST00000106455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Toe1 description:target of EGR1, member 1 (nuclear) [Source:MGI Symbol;Acc:MGI:1915526] MAADSDDDVPPVPTPSDGGVNKNTQPAEEFVVRVPVVDVQSDNFKEIWPSLLLALKTASF VAVDTELSGLGDRKSLLNQCIEERYKAVCHAARTRSVLSLGLACFRQQPDKGENSYLAQV FNLTLLCIEEYVIEPKSVQFLVQHGFNFNRQYAQGIPYHKGNDKGDESQSQSVRTLFLEL IRARRPLVLHNGLIDLVFLYQNFYAHLPENLGTFTADLCEMFPAGIYDTKYAAEFHARFV ASYLEYAFRKCERENGKQRAAGSPHLALEFCSYPSSMSGHIDYRCCMSPGTCRRSRTTGI CDKFSHTRSRFTGQLWETTIFPVEKLKDI >ENSMUSP00000030451.3 pep:known chromosome:GRCm38:4:116804002:116807640:-1 gene:ENSMUSG00000028688.13 transcript:ENSMUST00000030451.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Toe1 description:target of EGR1, member 1 (nuclear) [Source:MGI Symbol;Acc:MGI:1915526] MAADSDDDVPPVPTPSDGGVNKNTQPAEEFVVRVPVVDVQSDNFKEIWPSLLLALKTASF VAVDTELSGLGDRKSLLNQCIEERYKAVCHAARTRSVLSLGLACFRQQPDKGENSYLAQV FNLTLLCIEEYVIEPKSVQFLVQHGFNFNRQYAQGIPYHKGNDKGDESQSQSVRTLFLEL IRARRPLVLHNGLIDLVFLYQNFYAHLPENLGTFTADLCEMFPAGIYDTKYAAEFHARFV ASYLEYAFRKCERENGKQRAAGSPHLALEFCSYPSSMSGHIDYRCCMSPGTCRRSRTTGI CDKFSAYGWCPLGPQCPQSHDIDLIIDTDEAVAEDKRRRRRRKDKRKRSLQSQPGTQTLA EAEDGPPTKQVCEDSLETEKMEQKVAEGEAGDQPGSREGHTSSLEMAHRRTSAETADVAT SELLVNQASTNPVPGDGLHRAGFDAFMTGYVMAYVGLSQGLQLCSSEPWLPECHNKVYLS GKTVPLTVTKSQFSRPSKAHNQKMKLAWGSS >ENSMUSP00000117019.1 pep:known chromosome:GRCm38:4:116804782:116806523:-1 gene:ENSMUSG00000028688.13 transcript:ENSMUST00000145468.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Toe1 description:target of EGR1, member 1 (nuclear) [Source:MGI Symbol;Acc:MGI:1915526] LSGLGDRKSLLNQCIEERYKAVCHAARTRSVLSLGLACFRQQPDKGENSYLAQVFNLTLL CIEEYVIEPKSVQFLVQHGFNFNRQYAQGIPYHKGNDKGDESQSQSVRTLFLELIRARRP LVLHNGLIDLVFLYQNFYAHLPENLGTFTADLCEMFPAGIYDTKYAAEFHARFVASYLEY AFRKWHCF >ENSMUSP00000136625.1 pep:known chromosome:GRCm38:7:23217332:23218255:1 gene:ENSMUSG00000095358.1 transcript:ENSMUST00000179594.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r165 description:vomeronasal 1 receptor 165 [Source:MGI Symbol;Acc:MGI:3782762] MSAHGKSVKTTEEVALQILLLCQFGVGTVANVFLFVHNFSPVLTGSKQRPRQVILSHMAV ANALTLFLTIFPNNMSAFAPKTPPNELKCKLDFFSHMVARSKNLCSTCVLSIHQFVTLVP VNRGKGKLILRASVPNLWNYSCYSCWFYSVLSNIHIPIKVTGPQITDNNTDSKSNLFCST SGFIVGMVFLQFSHDATFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATH TILMLVVTFVSFYLLNCICIIFHAFSIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDP CSVLFKC >ENSMUSP00000103776.1 pep:known chromosome:GRCm38:7:30640999:30650144:-1 gene:ENSMUSG00000036733.16 transcript:ENSMUST00000108141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm42 description:RNA binding motif protein 42 [Source:MGI Symbol;Acc:MGI:1915285] MASAMAGAGPAPGLPVAGGPVVPGPGVGIPGKSGEERLKEMEAEMALFEQEVLGAPVTGI PTAVPAVPTVEAMQVPPAPVIRPIIATNTYQQVQQTLEARAAAAATVVPPMVGGPPFVGP VGFGPADRGHLDSPEAREAMFLRRAAGGPRPMALRPPHQALVGPPLPGPPGPPMMLPPMA RAPGPPLGSMAALRPPLEEPAAPRELGLGLGLGLKDKEEAVVAAAAGLEEASAAVAVGAG GAPAGPAVIGPSLPLALAMPLPEPEPLPLPLEVVRGLLPPLRIPELLSLRPRPRPPRPEP PPGLMALEVPEPLGEDKKKGKPEKLKRCIRTAAGSSWEDPSLLEWDADDFRIFCGDLGNE VNDDILARAFSRFPSFLKAKVIRDKRTGKTKGYGFVSFKDPSDYVRAMREMNGKYVGSRP IKLRKSMWKDRNLDVVRKKQKEKKKLGLR >ENSMUSP00000040005.6 pep:known chromosome:GRCm38:7:30641001:30650317:-1 gene:ENSMUSG00000036733.16 transcript:ENSMUST00000042726.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm42 description:RNA binding motif protein 42 [Source:MGI Symbol;Acc:MGI:1915285] MASAMAGAGPAPGLPVAGGPVVPGPGVGIPGKSGEERLKEMEAEMALFEQEVLGAPVTGI PTAVPAVPTVEAMQVPPAPVIRPIIATNTYQQVQQTLEARAAAAATVVPPMVGGPPFVGP VGFGPADRGHLDSPEAREAMFLRRAAVAPQRAPILRPAFVPHVLQRADSALSSAAGGPRP MALRPPHQALVGPPLPGPPGPPMMLPPMARAPGPPLGSMAALRPPLEEPAAPRELGLGLG LGLKDKEEAVVAAAAGLEEASAAVAVGAGGAPAGPAVIGPSLPLALAMPLPEPEPLPLPL EVVRGLLPPLRIPELLSLRPRPRPPRPEPPPGLMALEVPEPLGEDKKKGKPEKLKRCIRT AAGSSWEDPSLLEWDADDFRIFCGDLGNEVNDDILARAFSRFPSFLKAKVIRDKRTGKTK GYGFVSFKDPSDYVRAMREMNGKYVGSRPIKLRKSMWKDRNLDVVRKKQKEKKKLGLR >ENSMUSP00000099318.3 pep:known chromosome:GRCm38:11:116598165:116624200:-1 gene:ENSMUSG00000020806.15 transcript:ENSMUST00000103029.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdf2 description:rhomboid 5 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2442473] MASADKNGSNLPSVSGSRLQSRKPPNLSITIPPPESQAPGEQDSMLPERRKNPAYLKSVS LQEPRGRWQEGAEKRPGFRRQASLSQSIRKSTAQWFGVSGDWEGKRQNWHRRSLHHCSVH YGRLKASCQRELELPSQEVPSFQGTESPKPCKMPKIVDPLARGRAFRHPDEVDRPHAAHP PLTPGVLSLTSFTSVRSGYSHLPRRKRISVAHMSFQAAAALLKGRSVLDATGQRCRHVKR SFAYPSFLEEDAVDGADTFDSSFFSKEEMSSMPDDVFESPPLSASYFRGVPHSASPVSPD GVHIPLKEYSGGRALGPGTQRGKRIASKVKHFAFDRKKRHYGLGVVGNWLNRSYRRSISS TVQRQLESFDSHRPYFTYWLTFVHIIITLLVICTYGIAPVGFAQHVTTQLVLKNRGVYES VKYIQQENFWIGPSSIDLIHLGAKFSPCIRKDQQIEQLVRRERDIERTSGCCVQNDRSGC IQTLKKDCSETLATFVKWQNDTGPSDKSDLSQKQPSAVVCHQDPRTCEEPASSGAHIWPD DITKWPICTEQAQSNHTGLLHIDCKIKGRPCCIGTKGSCEITTREYCEFMHGYFHEDATL CSQVHCLDKVCGLLPFLNPEVPDQFYRIWLSLFLHAGIVHCLVSVVFQMTILRDLEKLAG WHRISIIFILSGITGNLASAIFLPYRAEVGPAGSQFGLLACLFVELFQSWQLLERPWKAF FNLSAIVLFLFICGLLPWIDNIAHIFGFLSGMLLAFAFLPYITFGTSDKYRKRALILVSL LVFAGLFASLVLWLYIYPINWPWIEYLTCFPFTSRFCEKYELDQVLH >ENSMUSP00000099317.1 pep:known chromosome:GRCm38:11:116598169:116627019:-1 gene:ENSMUSG00000020806.15 transcript:ENSMUST00000103028.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdf2 description:rhomboid 5 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2442473] MASADKNGSNLPSVSGSRLQSRKPPNLSITIPPPESQAPGEQDSMLPERRKNPAYLKSVS LQEPRGRWQEGAEKRPGFRRQASLSQSIRKSTAQWFGVSGDWEGKRQNWHRRSLHHCSVH YGRLKASCQRELELPSQEVPSFQGTESPKPCKMPKIVDPLARGRAFRHPDEVDRPHAAHP PLTPGVLSLTSFTSVRSGYSHLPRRKRISVAHMSFQAAAALLKGRSVLDATGQRCRHVKR SFAYPSFLEEDAVDGADTFDSSFFSKEEMSSMPDDVFESPPLSASYFRGVPHSASPVSPD GVHIPLKEYSGGRALGPGTQRGKRIASKVKHFAFDRKKRHYGLGVVGNWLNRSYRRSISS TVQRQLESFDSHRPYFTYWLTFVHIIITLLVICTYGIAPVGFAQHVTTQLVLKNRGVYES VKYIQQENFWIGPSSIDLIHLGAKFSPCIRKDQQIEQLVRRERDIERTSGCCVQNDRSGC IQTLKKDCSETLATFVKWQNDTGPSDKSDLSQKQPSAVVCHQDPRTCEEPASSGAHIWPD DITKWPICTEQAQSNHTGLLHIDCKIKGRPCCIGTKGSCEITTREYCEFMHGYFHEDATL CSQVHCLDKVCGLLPFLNPEVPDQFYRIWLSLFLHAGIVHCLVSVVFQMTILRDLEKLAG WHRISIIFILSGITGNLASAIFLPYRAEVGPAGSQFGLLACLFVELFQSWQLLERPWKAF FNLSAIVLFLFICGLLPWIDNIAHIFGFLSGMLLAFAFLPYITFGTSDKYRKRALILVSL LVFAGLFASLVLWLYIYPINWPWIEYLTCFPFTSRFCEKYELDQVLH >ENSMUSP00000033506.6 pep:known chromosome:GRCm38:X:8123301:8132808:-1 gene:ENSMUSG00000031166.13 transcript:ENSMUST00000033506.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr13 description:WD repeat domain 13 [Source:MGI Symbol;Acc:MGI:1914661] MAAVWQQVLAVDARYNAYRTPTFPQFRTQYIRRRSQLLRENAKAGHPPALRRQYLRLRGQ LLGQRYGPLSEPGSARAYSNSIVRSSRTTLDRMEDFEDDPRALGARGHRRSVSRGSYQLQ AQMNRAVYEDRPPGSVVPTSVAEASRAMAGDTSLSENYAFAGMYHVFDQHVDEAVPRVRF ANDDRHRLACCSLDGSISLCQLVPAPPTVLHVLRGHTRGVSDFAWSLSNDILVSTSLDAT MRIWASEDGRCIREIPDPDGAELLCCTFQPVNNNLTVVGNAKHNVHVMNISTGKKVKGGS SKLTGRVLALSFDAPGRLLWAGDDRGSVFSFLFDMATGKLTKAKRLVVHEGSPVTSISAR SWVSREARDPSLLINACLNKLLLYRVVDNEGALQLKRSFPIEQSSHPVRSIFCPLMSFRQ GACVVTGSEDMCVHFFDVERAAKAAVNKLQGHSAPVLDVSFNCDESLLASSDASGMVIVW RREQK >ENSMUSP00000138392.1 pep:known chromosome:GRCm38:X:8123303:8132858:-1 gene:ENSMUSG00000031166.13 transcript:ENSMUST00000133292.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr13 description:WD repeat domain 13 [Source:MGI Symbol;Acc:MGI:1914661] MAAVWQQVLAVDASFGPSISAGAASCFGRMPKLVTPQHCVGST >ENSMUSP00000138468.1 pep:known chromosome:GRCm38:X:8123324:8132770:-1 gene:ENSMUSG00000031166.13 transcript:ENSMUST00000130832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr13 description:WD repeat domain 13 [Source:MGI Symbol;Acc:MGI:1914661] MEDFEDDPRALGARGHRRSVSRGSYQLQAQMNRAVYEDRPPGSVVPTSVAEASRAMAGDT SLSENYAFAGMYHVFDQHVDEAVPRVRFANDDRHRLACCSLDGSISLCQLVPAPPTVLHV LRGHTRGVSDFAWSLSNDILVSTSLDATMRIWASEDGRCIREIPDPDGAELLCCTFQPVN NNLTVVGNAKHNVHVMNISTGKKVKGGSSKLTGRVLALSFDAPGRLLWAGDDRGSVFSFL FDMATGKLTKAKRLVVHEGSPVTSISARSWVSREARDPSLLINACLNKLLLYRVVDNEGA LQLKRSFPIEQSSHPVRSIFCPLMSFRQGACVVTGSEDMCVHFFDVERAAKAAVNKLQGH SAPVLDVSFNCDESLLASSDASGMVIVWRREQK >ENSMUSP00000138509.1 pep:known chromosome:GRCm38:X:8125304:8132823:-1 gene:ENSMUSG00000031166.13 transcript:ENSMUST00000127062.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr13 description:WD repeat domain 13 [Source:MGI Symbol;Acc:MGI:1914661] MAAVWQQVLAVDASFGPSISAGAASCFGRMPKLVTPQHCVGST >ENSMUSP00000111286.1 pep:known chromosome:GRCm38:X:8125408:8132820:-1 gene:ENSMUSG00000031166.13 transcript:ENSMUST00000115623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr13 description:WD repeat domain 13 [Source:MGI Symbol;Acc:MGI:1914661] MAAVWQQVLAVDARYNAYRTPTFPQFRTQYIRRRSQLLRENAKAGHPPALRRQYLRLRGQ LLGQRYGPLSEPGSARAYSNSIVRSSRTTLDRMEDFEDDPRALGARGHRRSVSRGSYQLQ AQMNRAVYEDRPPGSVVPTSVAEASRAMAGDTSLSENYAFAGMYHVFDQHVDEAVPRVRF ANDDRHRLACCSLDGSISLCQLVPAPPTVLHVLRGHTRGVSDFAWSLSNDILVSTSLDAT MRIWASEDGRCIREIPDPDGAELLCCTFQPVNNNLTVVGNAKHNVHVMNISTGKKVKGGS SKLTGRVLALSFDAPGRLLWAGDDRGSVFSFLFDMATGKLTKAKRLVVHEGSPVTSISAR SWVSREARDPSLLINACLNKLLLYRVVDNEGALQLKRSFPIEQSSHPVRSIFCPLMSFRQ GACVVTGSEDMCVHFFDVERAAKAAVNKLQGHSAPVLDVSFNCDESLLASSDASGMVIVW RREQK >ENSMUSP00000120395.1 pep:known chromosome:GRCm38:X:8129141:8132845:-1 gene:ENSMUSG00000031166.13 transcript:ENSMUST00000153839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr13 description:WD repeat domain 13 [Source:MGI Symbol;Acc:MGI:1914661] MAAVWQQVLAVDARYNAYRTPTFPQFRTQYIRRRSQLLRENAKAGHPPALRRQYLRLRGQ LLGQRYGPLSEPGSARAYSNSIVRSSRTTLDRMEDFEDDPRALGARGHRRSVSRGSYQLQ AQMNRAVYEDRPPGSVVPTSVAEASRAMAGDTSLSENYAFAGMYHVFDQHVDEAVPRVRF ANDDRHRLACCSLDGSISLCQLVPAPPTVLHVLRGHTRGV >ENSMUSP00000126882.1 pep:known chromosome:GRCm38:7:23250762:23251682:-1 gene:ENSMUSG00000096073.1 transcript:ENSMUST00000164045.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r166 description:vomeronasal 1 receptor 166 [Source:MGI Symbol;Acc:MGI:3782396] MSVHDKSVKTTEEVALQLLLLCQFGVGTVANVFLFVHNFSPVLTGYKQRPRQVILSHMAV ANALTLFLTIFPNNMMAFAPKTPPNELKCKLESFSRLVARSTNLCSTCVLSIHQFVTLFP LSRGKGKLILRASVQNLASYSCYSCWFFSVLSNIHIPIRVTGPQITDNNTDSKSNLFCST SGFIVGIVLQFAHDATFMSIMIWTSVSMILLLHRHCQRMQDILTPNQNPRGQAETRATRT ILMLVVTFVSFYLLNCICIIFHAFFIHSRLFIRLVSEVLAAVFPSICPLLLIFRDPKDPC SVLFKC >ENSMUSP00000002456.8 pep:known chromosome:GRCm38:12:10370044:10390170:1 gene:ENSMUSG00000020622.15 transcript:ENSMUST00000002456.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c1b description:5'-nucleotidase, cytosolic IB [Source:MGI Symbol;Acc:MGI:1918131] MSQTSLKHKKKNEPGMRYSKESLDAEKRKDSDKTGARLSTQGSQELPLHNTDSRGYVVRN QWSRTSRSPSTGAPSVDEPRSRNTAIKVEAPNSSTTSRTSSASPSQHETSPPPQTSEKSS IQQTPQNRPITQLESQPPTPPETEPNSRRTSAKMYTGSDPWAHRENREPRDLQLRDYAYS CDSREGMPKTREYPRTPPTEWKPYAQRRLQYGTSVDMEPEYISDGPQQRQRQQTEEDEVD EAYWTSVSMLYEKIPSCARPRPPKPKHAITIAVSSRALFNMVDDRKIYEEEGLEKYMEYQ LTNENVILTPGPAFRFVKALQHVNSRLRDLYPDEQDLFDIVLMTNNHAQVGVRLINSVNH YGLLIDRFCLTGGKSPIGYLKAYLTNLYLSADSEKVQEAIKEGIASATMYAGAKDMAYCD TQLRVAFDGDAVLFSDESEHIAKDHGLDKFFQHETLFENKPLAQGPLKSFLEDLGKLQKK FYAKDERLLCPIRTYLVTARSAASSGARVLKTLRRWGLEIDEALFLAGAPKGPILVKIRP HIFFDDQMFHIESAQKFGTITAHVPYGIAQKRN >ENSMUSP00000117869.1 pep:known chromosome:GRCm38:12:10369973:10390170:1 gene:ENSMUSG00000020622.15 transcript:ENSMUST00000147323.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nt5c1b description:5'-nucleotidase, cytosolic IB [Source:MGI Symbol;Acc:MGI:1918131] MSQTSLKHKKKNEPGMRYSKESLDAEKRKDSDKTGARLSTQGSQELPLHNTDSRGYVVRN QWSRTSRSPSTGAPSVDEPRSRNTAIKVEAPNSSTTSRTSSASPSQHETSPPPQTSEKSS IQQTPQNRPITQLESQPPTPPETEPNSRRTSAKMYTGSDPWAHRENREPRDLQLRDYAYS CDSREGMPKTREYPRTPPTEWKPYAQRRLQYGTSVDMEPEYISDGPQQRQRQQTEEDEVD EAYWTSVSMLYEKIPSCARPRPPKPKHAITIAVSSRALFNMVDDRKIYEEEGLEKYMEYQ LTNENVILTPGPAFRFVKALQHVNSRLRDLYPDEQDLFDIVLMTNNHAQVGVRLINSVNH YGLLIDRFCLTGGKSPIGYLKAYLTNLYLSADSEKVQEAIKEGIASATMYAGAKDMAYCD TQLRVAFDGDAVLFSDESEHIAKDHGLDKFFQHETLFENKPLAQPQNIQPSSQH >ENSMUSP00000112694.1 pep:known chromosome:GRCm38:12:10369979:10390173:1 gene:ENSMUSG00000020622.15 transcript:ENSMUST00000118657.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c1b description:5'-nucleotidase, cytosolic IB [Source:MGI Symbol;Acc:MGI:1918131] MSQTSLKHKKKNEPGMRYSKESLDAEKRKDSDKTGARLSTQGSQELPLHNTDSRGYVVRN QWSRTSRSPSTGAPSVDEPRSRNTAIKAPNSSTTSRTSSASPSQHETSPPPQTSEKSSIQ QTPQNRPITQLESQPPTPPETEPNSRRTSAKMYTGSDPWAHRENREPRDLQLRDYAYSCD SREGMPKTREYPRTPPTEWKPYAQRRLQYGTSVDMEPEYISDGPQQRQRQQTEEDEVDEA YWTSPKPKHAITIAVSSRALFNMVDDRKIYEEEGLEKYMEYQLTNENVILTPGPAFRFVK ALQHVNSRLRDLYPDEQDLFDIVLMTNNHAQVGVRLINSVNHYGLLIDRFCLTGGKSPIG YLKAYLTNLYLSADSEKVQEAIKEGIASATMYAGAKDMAYCDTQLRVAFDGDAVLFSDES EHIAKDHGLDKFFQHETLFENKPLAQGPLKSFLEDLGKLQKKFYAKDERLLCPIRTYLVT ARSAASSGARVLKTLRRWGLEIDEALFLAGAPKGPILVKIRPHIFFDDQMFHIESAQKFG TITAHVPYGIAQKRN >ENSMUSP00000123105.1 pep:known chromosome:GRCm38:12:10369979:10374942:1 gene:ENSMUSG00000020622.15 transcript:ENSMUST00000143739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c1b description:5'-nucleotidase, cytosolic IB [Source:MGI Symbol;Acc:MGI:1918131] MSQTSLKHKKKNEPGMRYSKESLDAEKRKDSDKTGARLSTQMRRAGYPNHSLRCCPMRYH HSCRRCLCAAEGTSPCRTIRIYIHMCLLWEQGRQITMIRGSQELPLHNTDSRGYVVRNQW SRTSRSPSTGAPSVDEPRSRNTAIKVEAPNSSTTSRTSSASPSQHETSPPPQTSEKSSIQ QTPQNRPITQLESQPPTPPETEPNSRRTSAKMYTGSDPWA >ENSMUSP00000022501.3 pep:known chromosome:GRCm38:14:62392676:62456289:-1 gene:ENSMUSG00000021933.11 transcript:ENSMUST00000022501.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy1b2 description:guanylate cyclase 1, soluble, beta 2 [Source:MGI Symbol;Acc:MGI:2660873] MVMSEVLCAEYTLKVKMSDTADGVLYEPLRAEFRSKRTHLQGSAEGRGQFLASLQSTSTA FQRFGKTPGVQLRPGDTTLDTMYGFINTCLQSLVTEKFGEETWEKLKASAEVQDVFMTYT VYDDTITVKLIQEACKALDVSMEAILKLFGEYFFKFCKMSGYDRMLRTLGGNLTEFIENL DALHSYLALSYQEMNAPSFRVEGGADGAMRLHYYSDRRGLCHIVPGIIEAVAKDFFDTDV AMSILDMNEEVERTGKKEHVVFLVVQKARRQIRGAKVNRPRDSENIQAKQESLQGTLLRK KERYLSIPVCPGEKSHSAVVRASVLLGKGPLGDTFQPVFPERLWIEEEVFCNAFPFHVVF DEALRVKQAGVNIQKYVPGILTQKFGLDEYFSIVHPQVTFNISSICKFINSQFILKTRRE MMPEAWKSQPTLKLRGQMIWMESLKCMVFMCSPKLRSLQELEESKMHLSDIAPHDTTRDL ILLNQQRLAEMELSCQLEKKKEELRVLSNHLAIEKKKTETLLYAMLPEHVANQLKEGKKV AAGEFETCTILFSDVVTFTNICAACEPIQIVNMLNSMYSKFDRLTNIHDVYKVETIGDAY MVVGGVPVPVESHAQRVANFALGMRISAKEVMNPVTGEPIQIRVGIHTGPVLAGVVGDKM PRYCLFGDTVNTASRMESHGLPNKVHLSPTAHRALENKGFEIVTRGEIEVKGKGKMTTYF LIRNLNATEVEIMGRPSALADGKEASTPRNQVKKPRAVLSNMDHHQQQVYNSDPADASDE VTLAGSPVAGRDDTDAVNSQSSPDETKTSAFASDPVLSAFCAVL >ENSMUSP00000128114.1 pep:known chromosome:GRCm38:14:62392791:62456286:-1 gene:ENSMUSG00000021933.11 transcript:ENSMUST00000165651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy1b2 description:guanylate cyclase 1, soluble, beta 2 [Source:MGI Symbol;Acc:MGI:2660873] MVMSEVLCAEYTLKVKMSDTADGVLYEPLRAEFRSKRTHLQGSAEGRGQFLASLQSTSTA FQRFGKTPGVQLRPGDTTLDTMYGFINTCLQSLVTEKFGEETWEKLKASAEVQDVFMTYT VYDDTITVKLIQEACKALDVSMEAILKLFGEYFFKFCKMSGYDRMLRTLGGNLTEFIENL DALHSYLALSYQEMNAPSFRVEGGADGAMRLHYYSDRRGLCHIVPGIIEAVAKDFFDTDV AMSILDMNEEVERTGKKEHVVFLVVQKARRQIRGAKSLQGTLLRKKERYLSIPVCPGEKS HSAVVRASVLLGKGPLGDTFQPVFPERLWIEEEVFCNAFPFHVVFDEALRVKQAGVNIQK YVPGILTQKFGLDEYFSIVHPQVTFNISSICKFINSQFILKTRREMMPEAWKSQPTLKLR GQMIWMESLKCMVFMCSPKLRSLQELEESKMHLSDIAPHDTTRDLILLNQQRLAEMELSC QLEKKKEELRVLSNHLAIEKKKTETLLYAMLPEHVANQLKEGKKVAAGEFETCTILFSDV VTFTNICAACEPIQIVNMLNSMYSKFDRLTNIHDVYKVETIGDAYMVVGGVPVPVESHAQ RVANFALGMRISAKEVMNPVTGEPIQIRVGIHTGPVLAGVVGDKMPRYCLFGDTVNTASR MESHGLPNKVHLSPTAHRALENKGFEIVTRGEIEVKGKGKMTTYFLIRNLNATEVEIMGR PSALADGKEASTPRNQVKKPRAVLSNMDHHQQQVYNSDPADASDEVTLAGSPVAGRDDTD AVNSQSSPDETKTSAFASDPVLSAFCAVL >ENSMUSP00000120329.1 pep:known chromosome:GRCm38:14:62419162:62454793:-1 gene:ENSMUSG00000021933.11 transcript:ENSMUST00000128573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy1b2 description:guanylate cyclase 1, soluble, beta 2 [Source:MGI Symbol;Acc:MGI:2660873] MYGFINTCLQSLVTEKFGEETWEKLKASAEVQDVFMTYTVYDDTITVKLIQEACKALDVS MEAILKLFGEYFFKFCKMSGYDRMLRTLGGNLTEFIENLDALHSYLALSYQEMNAPSFRV EGGADGAMRLHYYSDRRGLCHIVPGIIEAVAKDFFDTDVAMSILDMN >ENSMUSP00000075581.2 pep:known chromosome:GRCm38:5:134148054:134176774:-1 gene:ENSMUSG00000061979.8 transcript:ENSMUST00000076228.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr16 description:Williams-Beuren syndrome chromosome region 16 homolog (human) [Source:MGI Symbol;Acc:MGI:2137600] MLAAARALRGPRPRWPTPAREHWTPAGRSRSRREAAEAEADVPVFQYVGERAARADRVFV WGFSFSGALGVPSFVVPSSGPGPRAGLRPRRRIQPVPYRLELDHKISSAACGYGFTLLSS KTKDVTKVWGMGLNKDSQLGFHRSRKDKTRGYEYVLEPSPVPLPLDRPQETKVLQVSCGR AHSLVLTDREGVFSMGNNSHGQCGRKVVEDEVYSESHKVHRMQDFDGQVVQVVCGQDHSL FLTDKGEVYSCGWGADGQTGLGHYNITSTPSKLGGDLAGVTVVQVATYGDCCLALSADGG VFGWGNSEYLQLASVTDSTQVNVPRCLPFSGVGKVKQVACGGTGCAVLNAEGHVFVWGYG ILGKGPKLLETAIPEMIPPTLFGLTEFNPEVQVSQIRCGLSHFAALTNKGELFVWGKNIR GCLGIGRLEDQYFPWRVTMPGEPVDVACGVDHMVTLAKSFI >ENSMUSP00000029569.2 pep:known chromosome:GRCm38:3:116669470:116712650:-1 gene:ENSMUSG00000027957.13 transcript:ENSMUST00000029569.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a3 description:solute carrier family 35 (UDP-N-acetylglucosamine (UDP-GlcNAc) transporter), member 3 [Source:MGI Symbol;Acc:MGI:1917648] MSANLKYLSLGILVFQTTSLVLTMRYSRTLKEEGPRYLSSTAVVVAEFLKIMACIFLVYK DSKCSVRALNRVLHDEILNKPMETLKLAIPSGIYTLQNNLLYVALSNLDAATYQVTYQLK ILTTALFSVSMLGKKLGVYQWLSLVILMAGVAFVQWPSDSQELNSKDLSTGSQFVGLMAV LTACFSSGFAGVYFEKILKETKQSVWIRNIQLGFFGSIFGLMGVYVYDGELVSKNGFFQG YNQLTWIVVALQALGGLVIAAVIKYADNILKGFATSLSIILSTIISYFWLQDFVPTSVFF LGAILVIAATFLYGYDPKPAGNPTKA >ENSMUSP00000112674.1 pep:known chromosome:GRCm38:3:116673153:116712231:-1 gene:ENSMUSG00000027957.13 transcript:ENSMUST00000120120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a3 description:solute carrier family 35 (UDP-N-acetylglucosamine (UDP-GlcNAc) transporter), member 3 [Source:MGI Symbol;Acc:MGI:1917648] MSANLKYLSLGILVFQTTSLVLTMRYSRTLKEEGPRYLSSTAVVVAEFLKIMACIFLVYK DSKCSVRALNRVLHDEILNKPMETLKLAIPSGIYTLQNNLLYVALSNLDAATYQVTYQLK ILTTALFSVSMLGKKLGVYQWLSLVILMAGVAFVQWPSDSQELNSKDLSTGSQFVGLMAV LTACFSSGFAGVYFEKILKETKQSVWIRNIQLGFFGSIFGLMGVYVYDGELVSKNGFFQG YNQLTWIVVALQALGGLVIAAVIKYADNILKGFATSLSIILSTIISYFWLQDFVPTSVFF LGAILVIAATFLYGYDPKPAGNPTKA >ENSMUSP00000123641.1 pep:known chromosome:GRCm38:3:116681105:116711842:-1 gene:ENSMUSG00000027957.13 transcript:ENSMUST00000153108.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a3 description:solute carrier family 35 (UDP-N-acetylglucosamine (UDP-GlcNAc) transporter), member 3 [Source:MGI Symbol;Acc:MGI:1917648] MSANLKYLSLGILVFQTTSLVLTMRYSRTLKEEGPRYLSSTAVVVAEFLKIMACIFLVYK DSKCSVRALNRVLHDEILNKPMETLKLAIPSGIYTLQNNLLYVALSNLDAATYQVTYQLK ILTTALFSVSMLGKKLGVYQWLSLVILMAGVAFVQWPSDSQELNSKDLSTGSQFVGLMAV LTACFSSGFAGVYFEKILKETKQSVWIRNIQL >ENSMUSP00000142374.1 pep:known chromosome:GRCm38:3:116681240:116712212:-1 gene:ENSMUSG00000027957.13 transcript:ENSMUST00000196335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a3 description:solute carrier family 35 (UDP-N-acetylglucosamine (UDP-GlcNAc) transporter), member 3 [Source:MGI Symbol;Acc:MGI:1917648] MSANLKYLSLGILVFQTTSLVLTMRYSRTLKEEGPRYLSSTAVVVAEFLKIMACIFLVYK DSKCSVRALNRVLHDEILNKPMETLKLAIPSGIYTLQNNLLYVALSNLDAATYQVTYQLK ILTTALFSVSMLGKKLGVYQWLSLVILMAGVAFVQWPSDSQELNSKD >ENSMUSP00000127284.1 pep:known chromosome:GRCm38:3:116694430:116712831:-1 gene:ENSMUSG00000027957.13 transcript:ENSMUST00000169530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a3 description:solute carrier family 35 (UDP-N-acetylglucosamine (UDP-GlcNAc) transporter), member 3 [Source:MGI Symbol;Acc:MGI:1917648] MRFLQTGRGLWGERHLPIKLSGDRETVTGAARGAAAVGVASGTRPASAKLGPPEPNRERR LPGPRRKPDPLADRTAGTRKRLGLTEAVRATEPAGAGGAAGQRTRRSRRARQPGSPGVAE PGAPVRAAGRAARRTNEEKTMSANLKYLSLGILVFQTTSLVLTMRYSRTLKEEGPRYLSS TAVVVAEFLKIMACIFLVYKDS >ENSMUSP00000113908.1 pep:known chromosome:GRCm38:16:44401421:44482094:1 gene:ENSMUSG00000071550.13 transcript:ENSMUST00000120049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap44 description:cilia and flagella associated protein 44 [Source:MGI Symbol;Acc:MGI:1277238] MKEPDDQDTSDGGRSGSRNEGKLAHKSILKSSQDTTADSYTDGEESYLGDDLDLDDMDES SHSSQEYVQAPAPSQEPPVEVKEEPEADVKKVLSETFYYDYPELVSIPYVSSEERIPLYF LTLNHSFGYDCRKRANLQLLDSNTLLYVAGNQMVLLDFKDKTQIYLQSSSGQGIGAIGVH PKKTYFAVAEKGSFPKIIIYEYPSLKPYRILRDGAEKAYAYVDFNNEGNLLASVGCHPDY TITIWGWKEEQPILRTKAFSQDVFKVTFNPDNDEQLTTSGSGHIKFWEMAFTFTGLKLQG SLGRFGKTSTSDIEGYAELPDGKVLSGSEWGNLLLWEGSLIKVELCRTGMKSCHSGSINQ IMLDEGEVITAGSDGSVRIWDFETIDTADVIDDTGLLEIEPINELHIDKSVNLFSMIKMN EVGNNFWLAQDANGAIWKLDLSFSNITQDPECLFSFHSGPIAALAVSPLTYLMATTAMDC SVRVYDFSSKNPLVHMKFKQGGTSLIWAPRSVSVSASQIVVGFQDGVVRVLELFDPKGLT VYAGRKKIPDAELHLKYVFKPHTDEVTALAYERDGDILATGSEDKTVFFFDVEKEYKPIG FFNTPGPICQLMWSPASHPEKTLLIICENGYILESLCPTIKDVDDQNVITFAIPNVFLRC FHFTSVKSKILRFLEVQRREQQKMLKEKEKLERRMKLAEEREAFGEEEIPEEETSEEGEE EEPPLPEIFMPPTPSPILCGFYSEPGKFWVSLGNYDAGFLYHCQFPSYLHNSDFQKQENE PFDFRVLENTEDNPIRNITFSNDQTMMFCGMTNGAIRVYVLSENDPFLVSLQHYWHFNVH DNNYGSIKSITSSFDDQYLLTAGEDGNIFVFDIFSEFIVPKGIKAKVPSPRFGIESEAAP EDIEDPKAYSIENARKKREHDKLMKKVEELKAHKREQIKILRNEFWKLLELNKELPAHMQ FQRTDFNIDAKIHAEIHKKTSLKIEQVEKELAWEKQKHELGLKKLQDRFREPLESDTIVV YATQSDHQIASYRLVKPSKYSKLKRPSQSERRQSKMERLEKEGPGKKESQRDTGGSISLQ EESVLEKGKKFRPRTLSEIMVENQIEKTKKLIQQAERAQFKILQRKKEWEELYKSKPDDD YEDPKDVQAIKEAQTYMGDFNLKTAPDYKIPEHMRINAAKKEEELGYLDTMAHGKKRYMN KCILSLRDLKLAVIEEIQCLVQELKNIQSSIPASKHMPIPQVPQIYPEEVPERRFQYDEE TLLRFQRKQKKRQDKSSSKQSGTGSGGSAGGGLVGFLKLSSGKEGDLTTRDSLSRSSKAS ALLELPKPVEFEKAEPSDAELEIMKRDEVKHLYMQQFLCNRINELTVTFDAELHLLRHQK LKLDTKMKLSDLHHLTLFQEMLLLKNFEKQENILQERVNSLDKEEQDMQWKINETLKEME EKKNEITKLQDQEKALYAGFQAALGENNKFANFLMKVLKKKIKRAKKKEVEGDADEDEES EESSEEESSLESDEDASGSEDDVFDDSICPTNCDVSLFELALQLREKRLDIEEALVEEKK IVDNLKKEYDTISKKVKVVATNLNAAEEALEAYQREKQQRLNELLVVIPLKLHQIEYMEF GEVPEDLSGTLVFSNHSLDRLQERIVQLQEENAKQQKLNKECRERRKLLIREKREMAKTI SKMEETVRELMISKFGRVIDLEALQTLSVNTTLEELKIKKLRKELSNAKELRMWEEKIAQ VRWDLMMKTKEHTKKLHQMNDLCLEKKKLDSRLNTLQNQQGNAFQGLRKADIVAKQKVTE LVQTQLEKITALKEEIELLRKKGGLLLPPITPKPKNEMKPMDT >ENSMUSP00000115502.1 pep:known chromosome:GRCm38:16:44455484:44482094:1 gene:ENSMUSG00000071550.13 transcript:ENSMUST00000125719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap44 description:cilia and flagella associated protein 44 [Source:MGI Symbol;Acc:MGI:1277238] XDAELHLLRHQKLKLDTKMKLSDLHHLTLFQEMLLLKNFEKQENILQERVNSLDKEEQDM QWKINETLKEMEEKKNEITKLQDQEKALYAGFQAALGENNKFANFLMKVLKKKIKRAKKK EVEGDADEDEESEESSEEESSLESDEDASGSEDDVFDDSICPTNCDVSLFELALQLREKR LDIEEALVEEKKIVDNLKKEYDTISKKVKVVATNLNAAEEALEAYQREKQQRLNELLVVI PLKLHQIEYMEFGEVPEDLSGTLVFSNHSLDRLQERIVQLQEENAKQQKLNKECRERRKL LIREKREMAKTISKMEETVRELMISKFGRVIDLEALQTLSVNTTLEELKIKKLRKELSNA KELRMWEEKIAQVRWDLMMKTKEHTKKLHQMNDLCLEKKKLDSRLNTLQNQQNPGSNLNQ VFSNYGPLRNYTCISWELIHNRKRLPGPSESRYSGKAEGHRTGPNPVREDHGLKRRD >ENSMUSP00000097331.2 pep:known chromosome:GRCm38:16:44394799:44482428:1 gene:ENSMUSG00000071550.13 transcript:ENSMUST00000099742.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap44 description:cilia and flagella associated protein 44 [Source:MGI Symbol;Acc:MGI:1277238] MKEPDDQDTSDGGRSGSRNEGKLAHKSILKSSQDTTADSYTDGEESYLGDDLDLDDMDES SHSSQEYVQAPAPSQEPPVEVKEEPEADVKKVLSETFYYDYPELVSIPYVSSEERIPLYF LTLNHSFGYDCRKRANLQLLDSNTLLYVAGNQMVLLDFKDKTQIYLQSSSGQGIGAIGVH PKKTYFAVAEKGSFPKIIIYEYPSLKPYRILRDGAEKAYAYVDFNNEGNLLASVGCHPDY TITIWGWKEEQPILRTKAFSQDVFKVTFNPDNDEQLTTSGSGHIKFWEMAFTFTGLKLQG SLGRFGKTSTSDIEGYAELPDGKVLSGSEWGNLLLWEGSLIKVELCRTGMKSCHSGSINQ IMLDEGEVITAGSDGSVRIWDFETIDTADVIDDTGLLEIEPINELHIDKSVNLFSMIKMN EVGNNFWLAQDANGAIWKLDLSFSNITQDPECLFSFHSGPIAALAVSPLTYLMATTAMDC SVRVYDFSSKNPLVHMKFKQGGTSLIWAPRSVSVSASQIVVGFQDGVVRVLELFDPKGLT VYAGRKKIPDAELHLKYVFKPHTDEVTALAYERDGDILATGSEDKTVFFFDVEKEYKPIG FFNTPGPICQLMWSPASHPEKTLLIICENGYILESLCPTIKDVDDQNVITFAIPNVFLRC FHFTSVKSKILRFLEVQRREQQKMLKEKEKLERRMKLAEEREAFGEEEIPEEETSEEGEE EEPPLPEIFMPPTPSPILCGFYSEPGKFWVSLGNYDAGFLYHCQFPSYLHNSDFQKQENE PFDFRVLENTEDNPIRNITFSNDQTMMFCGMTNGAIRVYVLSENDPFLVSLQHYWHFNVH DNNYGSIKSITSSFDDQYLLTAGEDGNIFVFDIFSEFIVPKGIKAKVPSPRFGIESEAAP EDIEDPKAYSIENARKKREHDKLMKKVEELKAHKREQIKILRNEFWKLLELNKELPAHMQ FQRTDFNIDAKIHAEIHKKTSLKIEQVEKELAWEKQKHELGLKKLQDRFREPLESDTIVV YATQSDHQIASYRLVKPSKYSKLKRPSQSERRQSKMERLEKEGPGKKESQRDTGGSISLQ EESVLEKGKKFRPRTLSEIMVENQIEKTKKLIQQAERAQFKILQRKKEWEELYKSKPDDD YEDPKDVQAIKEAQTYMGDFNLKTAPDYKIPEHMRINAAKKEEELGYLDTMAHGKKRYMN KCILSLRDLKLAVIEEIQCLVQELKNIQSSIPASKHMPIPQVPQIYPEEVPERRFQYDEE TLLRFQRKQKKRQDKSSSKQSGTGSGGSAGGGLVGFLKLSSGKEGDLTTRDSLSRSSKAS ALLELPKPVEFEKAEPSDAELEIMKRDEVKHLYMQQFLCNRINELTVTFDAELHLLRHQK LKLDTKMKLSDLHHLTLFQEMLLLKNFEKQENILQERVNSLDKEEQDMQWKINETLKEME EKKNEITKLQDQEKALYAGFQAALGENNKFANFLMKVLKKKIKRAKKKEVEGDADEDEES EESSEEESSLESDEDASGSEDDVFDDSICPTNCDVSLFELALQLREKRLDIEEALVEEKK IVDNLKKEYDTISKKVKVVATNLNAAEEALEAYQREKQQRLNELLVVIPLKLHQIEYMEF GEVPEDLSGTLVFSNHSLDRLQERIVQLQEENAKQQKLNKECRERRKLLIREKREMAKTI SKMEETVRELMISKFGRVIDLEALQTLSVNTTLEELKIKKLRKELSNAKELRMWEEKIAQ VRWDLMMKTKEHTKKLHQMNDLCLEKKKLDSRLNTLQNQQGNAFQGLRKADIVAKQKVTE LVQTQLEKITALKEEIELLRKKGGLLLPPITPKPKNEMKPMDT >ENSMUSP00000140400.1 pep:known chromosome:GRCm38:7:23272801:23278900:-1 gene:ENSMUSG00000094682.2 transcript:ENSMUST00000191169.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6902 description:predicted gene 6902 [Source:MGI Symbol;Acc:MGI:3647238] MTEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELQDRIIKVGSLEE SETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDYRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAEKYEGPPVDIWSLGVLLFLMVSGNLPFQGRYFVDLKQEIISANFSI PSHVSIDILNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000136627.1 pep:known chromosome:GRCm38:7:23272867:23275243:-1 gene:ENSMUSG00000094682.2 transcript:ENSMUST00000179072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6902 description:predicted gene 6902 [Source:MGI Symbol;Acc:MGI:3647238] MTEQDLKMMEQDLKACYSIEENFDTNYKMLNTLGEGKFSVVKRAFHVPTSTSVAVKILQN TKEYTSPICREARIMKSLSHPNIIKLFHVVQRRETTYLVMEYASEGELQDRIIKVGSLEE SETRRLFAQIVHAVQYCHDHHIVHRDIKASNILIDYRGNAKLCDFGLAAEVIPGQKLAGF CGTLPYCAPELLQAEKYEGPPVDIWSLGVLLFLMVSGNLPFQGRYFVDLKQEIISANFSI PSHVSIDILNVIIELLMINPSRRPTIHQIMRHPMIRGSEACLPPTSTQISQAPQATALSG P >ENSMUSP00000132270.2 pep:known chromosome:GRCm38:9:36779159:36797273:-1 gene:ENSMUSG00000062762.15 transcript:ENSMUST00000163192.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ei24 description:etoposide induced 2.4 mRNA [Source:MGI Symbol;Acc:MGI:108090] MVWGHFPLAVFDSLGSGEMADSVKTFLQDLGRGIKDSIWGICTISKLDARIQQKREEQRR RRASSLLAQRRPQSVERKQESEPRIVSRIFQCCAWNGGVFWFSLLLFYRVFIPVLQSVTA RIIGDPSLHGDVWSWLEFFLTSIFSALWVLPLFVLSKVVNAIWFQDIADLAFEVSGRKPH PFPSVSKIIADMLFNLLLQALFLIQGMFVSLFPIHLVGQLVSLLHMSLLYSLYCFEYRWF NKGIEMHQRLSNIERNWPYYFGFGLPLAFLTAMQSSYIISGCLFSILFPLFIISANEAKT PGKAYLFQLRLFSLVVFLSNRLFHKTVYLQSALSSSSSAEKFPSPHPSPAKLKAAAGH >ENSMUSP00000110738.4 pep:known chromosome:GRCm38:9:36779159:36797356:-1 gene:ENSMUSG00000062762.15 transcript:ENSMUST00000115086.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ei24 description:etoposide induced 2.4 mRNA [Source:MGI Symbol;Acc:MGI:108090] MVWGHFPLAVFDSLGSGEMADSVKTFLQDLGRGIKDSIWGICTISKLDARIQQKREEQRR RRASSLLAQRRPQSVERKQESEPRIVSRIFQCCAWNGGVFWFSLLLFYRVFIPVLQSVTA RIIGDPSLHGDVWSWLEFFLTSIFSALWVLPLFVLSKVVNAIWFQDIADLAFEVSGRKPH PFPSVSKIIADMLFNLLLQALFLIQGMFVSLFPIHLVGQLVSLLHMSLLYSLYCFEYRWF NKGIEMHQRLSNIERNWPYYFGFGLPLAFLTAMQSSYIISGCLFSILFPLFIISANEAKT PGKAYLFQLRLFSLVVFLSNRLFHKTVYLQSALSSSSSAEKFPSPHPSPAKLKAAAGH >ENSMUSP00000139150.1 pep:known chromosome:GRCm38:9:36785605:36797020:-1 gene:ENSMUSG00000062762.15 transcript:ENSMUST00000184395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ei24 description:etoposide induced 2.4 mRNA [Source:MGI Symbol;Acc:MGI:108090] MVWGHFPLAVFDSLGSGEMADSVKTFLQDLGRGIKDSIWGICTISKLDARIQQKREEQRR RRASSLLAQRRPQSVERKQESEPRIVSRIFQCCAWNGGVFWFSLLLFYRVFIPVLQSVTA RIIGDPSLHGDVWSWLEFFLTSIFSALWVLPLFVLSKVVNAIWFQDIADLAFEVSGRKPH P >ENSMUSP00000139319.1 pep:known chromosome:GRCm38:9:36785614:36790270:-1 gene:ENSMUSG00000062762.15 transcript:ENSMUST00000184235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ei24 description:etoposide induced 2.4 mRNA [Source:MGI Symbol;Acc:MGI:108090] XSTALVIDCAWWQMPLLRQKNPKFKGIKDSIWGICTISKLDARIQQKREEQRRRRASSLL AQRRPQSVERKQESEPRIVSRIFQCCAWNGGVFWFSLLLFYRVFIPVLQSVTARIIGDPS LHGDVWSWLEFFLTSIFSALWVLPLFVLSKVVNAIWFQDIADLAFEVSGRK >ENSMUSP00000139235.1 pep:known chromosome:GRCm38:9:36786523:36797361:-1 gene:ENSMUSG00000062762.15 transcript:ENSMUST00000183360.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ei24 description:etoposide induced 2.4 mRNA [Source:MGI Symbol;Acc:MGI:108090] MVWGHFPLAVFDSLGSGEMADSVKTFLQDLGR >ENSMUSP00000146127.1 pep:known chromosome:GRCm38:7:25626654:25628425:1 gene:ENSMUSG00000059479.7 transcript:ENSMUST00000205281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt8 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 8 [Source:MGI Symbol;Acc:MGI:2385269] MRCRKCQLCLSALLTLLGLKVYIEWTSESWLKKAEPRGALPSPTPPNAEPTLPTNLSARL GQTGPLSSAYWNQQQRQLGVLPSTDCQTWGTVA >ENSMUSP00000145797.1 pep:known chromosome:GRCm38:7:25627637:25635100:1 gene:ENSMUSG00000059479.7 transcript:ENSMUST00000206940.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:B3gnt8 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 8 [Source:MGI Symbol;Acc:MGI:2385269] MRCRKCQLCLSALLTLLGLKVYIEWTSESWLKKAEPRGALPSPTPPNAEPTLPTNLSARL GQTGPLSSAYWNQQQRQLGVLPSTDCQTWGTVAASEILDFILYPQELRRFLLSAACRSFP LWLPAGEGSPVASCSDKDVPYLLLAVKSEPGHFAARQAVRETWGSPVAGTRLLFLLGSPL GMGGPDLRSLVTWESRRYGDLLLWDFLDVPYNRTLKDLLLLTWLSHHCPDVNFVLQVQDD AFVHIPALLEHLQTLPPTWARSLYLGEIFTQAKPLRKPGGPFYVPKTFFEGDYPAYASGG GYVISGRLAPWLLQAAARVAPFPFDDVYTGFCFRALGLAPRAHPGFLTAWPAERTRDPCA VRGLLLVHPVSPQDTIWLWRHLWVPELQC >ENSMUSP00000092277.4 pep:known chromosome:GRCm38:7:25627638:25629492:1 gene:ENSMUSG00000059479.7 transcript:ENSMUST00000076034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt8 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 8 [Source:MGI Symbol;Acc:MGI:2385269] MRCRKCQLCLSALLTLLGLKVYIEWTSESWLKKAEPRGALPSPTPPNAEPTLPTNLSARL GQTGPLSSAYWNQQQRQLGVLPSTDCQTWGTVAASEILDFILYPQELRRFLLSAACRSFP LWLPAGEGSPVASCSDKDVPYLLLAVKSEPGHFAARQAVRETWGSPVAGTRLLFLLGSPL GMGGPDLRSLVTWESRRYGDLLLWDFLDVPYNRTLKDLLLLTWLSHHCPDVNFVLQVQDD AFVHIPALLEHLQTLPPTWARSLYLGEIFTQAKPLRKPGGPFYVPKTFFEGDYPAYASGG GYVISGRLAPWLLQAAARVAPFPFDDVYTGFCFRALGLAPRAHPGFLTAWPAERTRDPCA VRGLLLVHPVSPQDTIWLWRHLWVPELQC >ENSMUSP00000118487.1 pep:known chromosome:GRCm38:2:127138772:127143442:-1 gene:ENSMUSG00000074825.4 transcript:ENSMUST00000132773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpripl1 description:inositol 1,4,5-triphosphate receptor interacting protein-like 1 [Source:MGI Symbol;Acc:MGI:1920588] MAVISLMFLAVMYVVHHPLMVSDRMDLDTLARSRQLEKRMSEEMRQLEMEFEERSRAAEQ KQKVENFWRGDTSSDQKPWKPFTNIISKMPSVTCPAPVSLWRALWMISLRPAGCSAAGRL THSWRTAWALGLPLRSGEPSTRPRISMSWCPLSLPRALCLSWR >ENSMUSP00000106016.1 pep:known chromosome:GRCm38:2:127140409:127143306:-1 gene:ENSMUSG00000074825.4 transcript:ENSMUST00000110386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpripl1 description:inositol 1,4,5-triphosphate receptor interacting protein-like 1 [Source:MGI Symbol;Acc:MGI:1920588] MAVISLMFLAVMYVVHHPLMVSDRMDLDTLARSRQLEKRMSEEMRQLEMEFEERSRAAEQ KQKVENFWRGDTSSDQLVLGKKDMGWPFQAGGQDGGPLGWILGNLWNAGLFCLFLIFELL RQSMQHEPAFESSSEEEEEEIRVVPVSSYTRLSDFPSQEALEAFYKHYIQNAIRDLPCTC EFVESFVDDLIEACRVLSRREAHPQLEDCLGFGAAFEKWGTLHETQNFDVLVPIVPPQGT MFILEMRDPALGRRCGCVKVDSECMCKHEKLLGDVLCLVHHRDHSAMLSKCTSSIKAALC TSSHLDVCKTVQWFRNMVSNAWALVAHKYDFKLTFPPSTTSCKLRLGYRSGRSLSISLVL GVQREDTLVYLVSQAPEQEQLTSVDWPESFAACEHLFLKLVGRFAPENTCHLKCLQIVLS LQDHQILPPGASRPILTSYHFKTALMHLLLRLPLTDWQHSMLSLRLQDLLWFLGRGLQQR SLHHFLIGNTYLPLTIPIPKAFRNAEPVNLFQHLVLNPVAHSQAVEEFHNLLAQVKTLPC SPVAGGL >ENSMUSP00000122289.1 pep:known chromosome:GRCm38:2:127140529:127142590:-1 gene:ENSMUSG00000074825.4 transcript:ENSMUST00000154021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpripl1 description:inositol 1,4,5-triphosphate receptor interacting protein-like 1 [Source:MGI Symbol;Acc:MGI:1920588] MAVISLMFLAVMYVVHHPLMVSDRMDLDTLARSRQLEKRMSEEMRQLEMEFEERSRAAEQ KQKVENFWRGDTSSDQLVLGKKDMGWPFQAGGQDGGPLGWILGNLWNAGLFCLFLIFELL RQSMQHEPAFESSSEEEEEEIRVVPVSSYTRLSDFPSQEALEAFYKHYIQNAIRDLPCTC EFVESFVDDLIEACRVLSRREAHPQLEDCLGFGAAFEKWGTLHETQNFDVLVPIVPPQGT MFILEMRDPALGRRCGCVKVDSECMCKHEKLLGDVLCLVHHRDHSAMLSKCTSSIKAALC TSSHLDVCKTVQWFRNMVSNAWALVAHKYDFKLTFPPSTTSCKLRLGYRSGRSLSISLVL GVQREDTLVYLVSQAPEQEQLTSVDWPESFAACEHLFLKLVGRFAPENTCHLKCLQIVLS LQDHQILPPGASRPILTSYHFKTALMHLLLRLPLTDWQHSMLSLRLQDLLWFLGRGLQQR SLHHFLIGNTYLPLTIPIPKAFRNAEPVNLFQHLVLNPVAHSQAVEEFHNLLAQVKTLPC SPVAGGL >ENSMUSP00000113685.1 pep:known chromosome:GRCm38:3:158010473:158036639:-1 gene:ENSMUSG00000055436.18 transcript:ENSMUST00000121326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf11 description:serine/arginine-rich splicing factor 11 [Source:MGI Symbol;Acc:MGI:1916457] MSSPPAPGTAGPTSPSVALTNLALRRNFFREAARAMSSTAVVPSAPGPGPGPSGGPGGGT EVIQVTNVSPSASSEQMRTLFGFLGKIDELRLFPPDDSPLPVSSRVCFVKFHDPDSAVVA QHLTNTVFVDRALIVVPYAEGVIPDETKALSLLAPANAVAGLLPGGGLLPTPNPLTQIGA VPLAALGAPALDPALAALGLPGTNLNSQSLAADQLLKLMSTVDPKLNHVAAGLVSPSLKS DTSSKEIEEAMKRVREAQSLISAAIEPDKKEEKRRHSRSRSRSRRRRTPSSSRHRRSRSR SRRRSHSKSRSRRRSKSPRRRRSHSRERGRRSRSTSKARDKKKEDKEKKRSKTPPKSYST ARRSRSASRERRRRRSRSGTRSPKKPRSPKRKLSRSPSPRRHKKEKKKDKDKERSRDERE RSTSKKKRSKDKEKERERKSESDKDVKQVTRDYDEEEQGYDSEKEKKEEKRPTEAVSPKT KECSVEKGVGDLRESKVNGDDHHEEDMDMSD >ENSMUSP00000072651.8 pep:known chromosome:GRCm38:3:158010919:158031766:-1 gene:ENSMUSG00000055436.18 transcript:ENSMUST00000072875.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf11 description:serine/arginine-rich splicing factor 11 [Source:MGI Symbol;Acc:MGI:1916457] MSSTAVVPSAPGPGPGPSGGPGGGTEVIQVTNVSPSASSEQMRTLFGFLGKIDELRLFPP DDSPLPVSSRVCFVKFHDPDSAVVAQHLTNTVFVDRALIVVPYAEGVIPDETKALSLLAP ANAVAGLLPGGGLLPTPNPLTQIGAVPLAALGAPALDPALAALGLPGTNLNSQSLAADQL LKLMSTVDPKLNHVAAGLVSPSLKSDTSSKEIEEAMKRVREAQSLISAAIEPDKKEEKRR HSRSRSRSRRRRTPSSSRHRRSRSRSRRRSHSKSRSRRRSKSPRRRRSHSRERGRRSRST SKARDKKKEDKEKKRSKTPPKSYSTARRSRSASRERRRRRSRSGTRSPKKPRSPKRKLSR SPSPRRHKKEKKKDKDKERSRDERERSTSKKKRSKDKEKERERKSESDKDVKQVTRDYDE EEQGYDSEKEKKEEKRPTEAVSPKTKECSVEKGVGDLRESKVNGDDHHEEDMDMSD >ENSMUSP00000063916.8 pep:known chromosome:GRCm38:3:158011869:158036551:-1 gene:ENSMUSG00000055436.18 transcript:ENSMUST00000069025.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf11 description:serine/arginine-rich splicing factor 11 [Source:MGI Symbol;Acc:MGI:1916457] LTNLALRRNFFREAARAMSSTAVVPSAPGPGPGPSGGPGGGTEVIQVTNVSPSASSEQMR TLFGFLGKIDELRLFPPDDSPLPVSSRVCFVKFHDPDSAVVAQHLTNTVFVDRALIVVPY AEGVIPDETKALSLLAPANAVAGLLPGGGLLPTPNPLTQIGAVPLAALGAPALDPALAAL GLPGTNLNSQSLAADQLLKLMSTVDPKLNHVAAGLVSPSLKSDTSSKEIEEAMKRVREAQ SLISAAIEPDKKEEKRRHSRSRSRSRRRRTPSSSRHRRSRSRSRRRSHSKSRSRRRSKSP RRRRSHSRERGRRSRSTSKARDKKKEDKEKKRSKTPPKSYSTARRSRSASSLHVCDSRER RRRRSRSGTRSPKKPRSPKRKLSRSPSPRRHKKEKKKDKDKERSRDERERSTSKKKRSKD KEKERERKSESDKDVKQVTRDYDEEEQGYDSEKEKKEEKRPTEAVSPKTKECSVEKGVGD LRESKVNGDDHHEEDMDMSD >ENSMUSP00000142759.1 pep:known chromosome:GRCm38:3:158012351:158022004:-1 gene:ENSMUSG00000055436.18 transcript:ENSMUST00000198705.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf11 description:serine/arginine-rich splicing factor 11 [Source:MGI Symbol;Acc:MGI:1916457] ALAALGLPGTNLNSQSLAADQLLKLMSTVDPKLNHVAAGLVSPSLKSDTSSKEIEEAMKR VREAQSLISAAIEPDKKEEKRRHSRSRSRSRRRRTPSSSRHRRSRSRSRRRSHSKSRSRR RSKSPRRRRSHSRERGRRSRSTSKARYKKKDKDKERSRDERERSTSKKKRSKDKE >ENSMUSP00000114370.2 pep:known chromosome:GRCm38:3:158019391:158036596:-1 gene:ENSMUSG00000055436.18 transcript:ENSMUST00000126716.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf11 description:serine/arginine-rich splicing factor 11 [Source:MGI Symbol;Acc:MGI:1916457] MSSTAVVPSAPGPGPGPSGGPGGGTEVIQVTNVSPSASSEQMRTLFGFLGKIDELRLFPP DDSPLPVSSRVCFVKFHDPDSAVVAQHLTNTVFVDRALIVVPYAEVLFPGSA >ENSMUSP00000122686.1 pep:known chromosome:GRCm38:3:158019431:158036615:-1 gene:ENSMUSG00000055436.18 transcript:ENSMUST00000137444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf11 description:serine/arginine-rich splicing factor 11 [Source:MGI Symbol;Acc:MGI:1916457] MSSTAVVPSAPGPGPGPSGGPGGGTEVIQVTNVSPSASSEQMRTLFGFLGKIDELRLFPP DDSPLPVSSRVCFVKFHDPDSAVVAQHLTNTVFVDRALIVVPYAEGVIPDETKALSLLAP ANAVAGLLPGGGLLPTPNPLTQIGAVPLAALGAPALDPALAALGLPGTNLNSQSLAADQL LKLMSTVDPKLN >ENSMUSP00000118767.1 pep:known chromosome:GRCm38:3:158022013:158031455:-1 gene:ENSMUSG00000055436.18 transcript:ENSMUST00000140491.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf11 description:serine/arginine-rich splicing factor 11 [Source:MGI Symbol;Acc:MGI:1916457] XDDSPLPVSSRVCFVKFHDPDSAVVAQHLTNTVFVDRALIVVPYAEVLFPGSA >ENSMUSP00000115487.2 pep:known chromosome:GRCm38:3:158022930:158031571:-1 gene:ENSMUSG00000055436.18 transcript:ENSMUST00000147304.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf11 description:serine/arginine-rich splicing factor 11 [Source:MGI Symbol;Acc:MGI:1916457] XGGGTEVIQVTNVSPSASSEQMRTLFGFLGKIDELRLFPPDDSPLPVSSRVCFVKFHDPD SAVVAQHLTNTVFVDRALIVVPYAEVKQPTRVVVHKTAFES >ENSMUSP00000137554.1 pep:known chromosome:GRCm38:5:120628335:120634235:-1 gene:ENSMUSG00000094282.1 transcript:ENSMUST00000177908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap73 description:cilia and flagella associated protein 73 [Source:MGI Symbol;Acc:MGI:3779542] MAVTWEEYFRLVFSEKVQPKPLEQTGDDSSVLQLILEKKEELAVADLGLQAQKKEYRSTI ESVNQRWRELEQKGQELQGSVISYDKFLKEVEARRAARKAIEERKITGNLDAELRRLRTQ LKELRLQRARLQRKVQRLEPCARILKRALEKRPVFEEVSDLVARFETLVSTKAALKLEEQ KRLVEMESTRAQLLSLQSEKQDEMLNLNQQRTQLVEQLEAAREHRQQWESKWTEILNSAS EKTLLLGRARMAVLNLYHLVRLQQGRRQTLDVRDVEGQLEEVKRFIMNISATLAKLALAQ PTATAS >ENSMUSP00000099653.4 pep:known chromosome:GRCm38:4:129591960:129600648:-1 gene:ENSMUSG00000028798.16 transcript:ENSMUST00000102593.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3i description:eukaryotic translation initiation factor 3, subunit I [Source:MGI Symbol;Acc:MGI:1860763] MKPILLQGHERSITQIKYNREGDLLFTVAKDPIVNVWYSVNGERLGTYMGHTGAVWCVDA DWDTKHVLTGSADNSCRLWDCETGKQLALLKTNSAVRTCGFDFGGNIIMFSTDKQMGYQC FVSFFDLRDPSQIDSNEPYMKIPCNDSKITSAVWGPLGECVIAGHESGELNQYSAKSGEV LVNVKEHSRQINDIQLSRDMTMFVTASKDNTAKLFDSTTLEHQKTFRTERPVNSAALSPN YDHVVLGGGQEAMDVTTTSTRIGKFEARFFHLAFEEEFGRVKGHFGPINSVAFHPDGKSY SSGGEDGYVRIHYFDPQYFEFEFEA >ENSMUSP00000120776.1 pep:known chromosome:GRCm38:4:129593526:129600599:-1 gene:ENSMUSG00000028798.16 transcript:ENSMUST00000135055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3i description:eukaryotic translation initiation factor 3, subunit I [Source:MGI Symbol;Acc:MGI:1860763] MGHTGAVWCVDADWDTKHVLTGSADNSCRLWDCETGKQLALLKTNSAVRTCGFDFGGNII MFSTDKQMGYQCFVSFFDLRDPSQIDSNEPYMKIPCNDSKITSAVWGPLGECVIAGHESG ELNQYSAKSGEVLVNVKEHSRQINDIQLSRDMTMFVTASKDNTAK >ENSMUSP00000076887.3 pep:known chromosome:GRCm38:9:121577843:121641566:-1 gene:ENSMUSG00000032530.13 transcript:ENSMUST00000077706.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyzl4 description:lysozyme-like 4 [Source:MGI Symbol;Acc:MGI:1916282] MQLYLVLLLISYLLTPIGASILGRCTVAKMLYDGGLNYFEGYSLENWVCLAYFESKFNPS AVYEDPQDGSTGFGLFQIRDNEWCGHGKNLCSVSCTALLNPNLKDTIQCAKKIVKGKHGM GAWPIWSKNCQLSDVLDRWLDGCDL >ENSMUSP00000113034.1 pep:known chromosome:GRCm38:9:121577849:121642096:-1 gene:ENSMUSG00000032530.13 transcript:ENSMUST00000120918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyzl4 description:lysozyme-like 4 [Source:MGI Symbol;Acc:MGI:1916282] MQLYLVLLLISYLLTPIGASILGRCTVAKMLYDGGLNYFEGYSLENWVCLAYFESKFNPS AVYEDPQDGSTGFGLFQIRDNEWCGHGKNLCSVSCTALLNPNLKDTIQCAKKIVKGKHGM GAWPIWSKNCQLSDVLDRWLDGCDL >ENSMUSP00000115284.1 pep:known chromosome:GRCm38:9:121584087:121641526:-1 gene:ENSMUSG00000032530.13 transcript:ENSMUST00000125075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyzl4 description:lysozyme-like 4 [Source:MGI Symbol;Acc:MGI:1916282] MQLYLVLLLISYLLTPIGASILGRCTVAKMLYDGGLNYFEGYSLENWVCLAYFESKFNPS AVYEDPQDGSTGFGLFQIRDNEWCGHGKNLC >ENSMUSP00000121739.1 pep:known chromosome:GRCm38:7:30653711:30664933:-1 gene:ENSMUSG00000078762.10 transcript:ENSMUST00000132862.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Haus5 description:HAUS augmin-like complex, subunit 5 [Source:MGI Symbol;Acc:MGI:1919159] MELTQKERELSRWAAEEMEVPLAARPRESTLRRLCLSQGADIWAYIVQHVRSQRNIKKIQ GNLLWHAYQDNPKIHRKLELEATVARLRAENQELDQSLELMDQESEAQDVAMTQTLQSLK DTQHRALLLQAQAGAVRRQQRGLQDPMQRLQNQLKHLQDMQRKAKVDVTFGPVVSAAPAL EPEVLGDVRAACTLRTQFLQNLLTPRARGGSILSPCDDHVGTSYQQWLTSVETLLTNHPA GHVLAALEYLAAERESEIRSLCYGDGLKEEELSRPQAPESSNSSQVLPSTVHLIQEGWQA VGALVTQRSALLSERQVLTGRLQRLVEEVKRLLLGSSERKVLLLGLRHSGLLAELKALHA QSQELESAVGQRHLLLRELQAKRQRILQWRQLVEDRQEQIRLLIKGNSASKTRLSRGPEE VLALIDQKLVPTSEAVAPQSQELLRCLKEEAKHLPRVLLGPLLPYHVKGLKPLSRILPSI HQLHPTNPRASSLILLSHTLGLPVGKTSWVSEEEISV >ENSMUSP00000019697.8 pep:known chromosome:GRCm38:7:30653716:30664994:-1 gene:ENSMUSG00000078762.10 transcript:ENSMUST00000019697.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus5 description:HAUS augmin-like complex, subunit 5 [Source:MGI Symbol;Acc:MGI:1919159] MELTQKERELSRWAAEEMEVPLAARPRESTLRRLCLSQGADIWAYIVQHVRSQRNIKKIQ GNLLWHAYQDNPKIHRKLELEATVARLRAENQELDQSLELMDQESEAQDVAMTQTLQSLK DTQHRALLLQAQAGAVRRQQRGLQDPMQRLQNQLKHLQDMQRKAKVDVTFGPVVSAAPAL EPEVLGDVRAACTLRTQFLQNLLTPRARGGSILSPCDDHVGTSYQQWLTSVETLLTNHPA GHVLAALEYLAAERESEIRSLCYGDGLKEEELSRPQAPESSNSSQVLPSTVHLIQEGWQA VGALVTQRSALLSERQVLTGRLQRLVEEVKRLLLGSSERKVLLLGLRHSGLLAELKALHA QSQELESAVGQRHLLLRELQAKRQRILQWRQLVEDRQEQIRLLIKGNSASKTRLSRGPEE VLALIDQKLVPTSEAVAPQSQELLRCLKEEAKHLPRVLLGPLLPYHVKGLKPLSRILPSI HQLHPTNPRASSLILLSHTLGLPVGKASELLLPRAASLQQDLLFLQDQLGLRRGNLCVKT SLPPGPSTQELLQMQVSQEKEQNENVGQTLKKLSNLLKQALEQIPELQGIVQDWWEQPSQ AALPEEICQGLSLPQCQLR >ENSMUSP00000146018.1 pep:known chromosome:GRCm38:7:25629946:25631743:-1 gene:ENSMUSG00000060376.7 transcript:ENSMUST00000205808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdha description:branched chain ketoacid dehydrogenase E1, alpha polypeptide [Source:MGI Symbol;Acc:MGI:107701] FNFAATLECPIIFFCRNNGYAISTPTSEQYRGDGIAARGPGYGIMSIRVDGNDVFAVYNA TKEARRRAVAENQPFLIEAMTYSSHERRSWKPLSRLSGS >ENSMUSP00000071292.6 pep:known chromosome:GRCm38:7:25629946:25658981:-1 gene:ENSMUSG00000060376.7 transcript:ENSMUST00000071329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdha description:branched chain ketoacid dehydrogenase E1, alpha polypeptide [Source:MGI Symbol;Acc:MGI:107701] MAIVMSAAKIWRPSRGLRQAALLLLGRSGVRGLARSHPSRQQQQQFPSLDDKPQFPGASA EFVDKLEFIQPNVISGIPIYRVMDRQGQIINPSEDPHLPQEEVLKFYRSMTLLNTMDRIL YESQRQGRISFYMTNYGEEGTHVGSAAALERTDLVFGQYREAGVLMYRDYPLELFMSQCY GNVNDPGKGRQMPVHYGCKERHFVTISSPLATQIPQAVGAAYAAKRANANRIVICYFGEG AASEGDAHAGFNFAATLECPIIFFCRNNGYAISTPTSEQYRGDGIAARGPGYGIMSIRVD GNDVFAVYNATKEARRRAVAENQPFLIEAMTYRIGHHSTSDDSSAYRSVDEVNYWDKQDH PISRLRQYLLNQGWWDEEQEKAWRKQSRKKVMEAFEQAERKLKPNPSLLFSDVYQEMPAQ LRRQQESLARHLQTYGEHYPLDHFDK >ENSMUSP00000125548.1 pep:known chromosome:GRCm38:12:70974621:70996347:1 gene:ENSMUSG00000060073.9 transcript:ENSMUST00000160027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma3 description:proteasome (prosome, macropain) subunit, alpha type 3 [Source:MGI Symbol;Acc:MGI:104883] MSSIGTGYDLSASTFSPDGRVFQVEYAMKAVENSSTAIGIRCKDGVVFGVEKLVLSKLYE EGSNKRLFNVDRHVGMAVAGLLADARSLADIAREEASNFRSNFGYNIPLKHLADRVAMYV HAYTLYSAVRPFGCSFMLGSYSANDGAQLYMIDPSGVSYGYWGCAIGKARQAAKTEIEKL QMKEMTCRDVVKEVAKIIYIVHDEVKDKAFELELSWVGELTKGRHEIVPKDIREEAEKYA KESLKEEDESDDDNM >ENSMUSP00000125739.1 pep:known chromosome:GRCm38:12:70974671:70994921:1 gene:ENSMUSG00000060073.9 transcript:ENSMUST00000162626.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psma3 description:proteasome (prosome, macropain) subunit, alpha type 3 [Source:MGI Symbol;Acc:MGI:104883] MSSIGTGYDLSASTFSPDGRVFQVEYAMKAVENSR >ENSMUSP00000124894.2 pep:known chromosome:GRCm38:12:70974683:70994505:1 gene:ENSMUSG00000060073.9 transcript:ENSMUST00000160864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma3 description:proteasome (prosome, macropain) subunit, alpha type 3 [Source:MGI Symbol;Acc:MGI:104883] MAVAGLLADARSLADIAREEASNFRSNFGYNIPLKHLADRVAMYVHAYTLYSAVRPFGCS FMLGSYSANDGAQLYMIDPSGVSYGYWGCAIGKARQAAKTEIEKLQMKEMTCRDVVKEVA KIIYIVHDEVKDKAFELELSWVGELTKGRHEIVPKDIREEAEKYAKESLKEEDESDDDNM >ENSMUSP00000124082.1 pep:known chromosome:GRCm38:12:70974689:70994504:1 gene:ENSMUSG00000060073.9 transcript:ENSMUST00000162851.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psma3 description:proteasome (prosome, macropain) subunit, alpha type 3 [Source:MGI Symbol;Acc:MGI:104883] MSSIGTGYDLSASTFSPDGRVFQVEYAMKAVENSRVTGAVRLAKPGRLQRQR >ENSMUSP00000071624.3 pep:known chromosome:GRCm38:12:70974715:70994475:1 gene:ENSMUSG00000060073.9 transcript:ENSMUST00000071704.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psma3 description:proteasome (prosome, macropain) subunit, alpha type 3 [Source:MGI Symbol;Acc:MGI:104883] MSSIGTGYDLSASTFSPDGRVFQVEYAMKAVENSSYWDQM >ENSMUSP00000125490.1 pep:known chromosome:GRCm38:12:70986892:70994505:1 gene:ENSMUSG00000060073.9 transcript:ENSMUST00000162898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma3 description:proteasome (prosome, macropain) subunit, alpha type 3 [Source:MGI Symbol;Acc:MGI:104883] XGAQLYMIDPSGVSYGYWGCAIGKARQAAKTEIEKLQMKEMTCRDVVKEVAKMNL >ENSMUSP00000111021.1 pep:known chromosome:GRCm38:X:20687954:20699880:1 gene:ENSMUSG00000031065.14 transcript:ENSMUST00000115364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk16 description:cyclin-dependent kinase 16 [Source:MGI Symbol;Acc:MGI:97516] MYTNGYDEEIYYIGGKRVFLTPKAWPFPLPTPEIVHEDMKMGSDGESDQASATSSDEVQS PVRVRMRNHPPRKISTEDINKRLSLPADIRLPEGYLEKLTLNSPIFDKPLSRRLRRVSLS EIGFGKLETYIKLDKLGEGTYATVYKGKSKLTDNLVALKEIRLEHEEGAPCTAIREVSLL KDLKHANIVTLHDIIHTEKSLTLVFEYLDKDLKQYLDDCGNVINMHNVKLFLFQLLRGLA YCHRQKVLHRDLKPQNLLINERGELKLADFGLARAKSIPTKTYSNEVVTLWYRPPDILLG STDYSTQIDMWGVGCIFYEMATGRPLFPGSTVEEQLHFIFRILGTPTEETWPGILSNEEF RTYNYPKYRAEALLSHAPRLDSDGADLLTKLLQFEGRNRISAEDARKHPFFLSLGERIHK LPDTTSIFALKEVQLQKEANIRSTSMPDSGRPAFRVVDTEF >ENSMUSP00000033380.6 pep:known chromosome:GRCm38:X:20688418:20699880:1 gene:ENSMUSG00000031065.14 transcript:ENSMUST00000033380.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk16 description:cyclin-dependent kinase 16 [Source:MGI Symbol;Acc:MGI:97516] MDRMKKIKRQLSMTLRGGRGIDKTNGVPEQIGLDESGGGGGSDLGEAPTRIAPGELRSVR GPLSSAPEIVHEDMKMGSDGESDQASATSSDEVQSPVRVRMRNHPPRKISTEDINKRLSL PADIRLPEGYLEKLTLNSPIFDKPLSRRLRRVSLSEIGFGKLETYIKLDKLGEGTYATVY KGKSKLTDNLVALKEIRLEHEEGAPCTAIREVSLLKDLKHANIVTLHDIIHTEKSLTLVF EYLDKDLKQYLDDCGNVINMHNVKLFLFQLLRGLAYCHRQKVLHRDLKPQNLLINERGEL KLADFGLARAKSIPTKTYSNEVVTLWYRPPDILLGSTDYSTQIDMWGVGCIFYEMATGRP LFPGSTVEEQLHFIFRILGTPTEETWPGILSNEEFRTYNYPKYRAEALLSHAPRLDSDGA DLLTKLLQFEGRNRISAEDARKHPFFLSLGERIHKLPDTTSIFALKEVQLQKEANIRSTS MPDSGRPAFRVVDTEF >ENSMUSP00000020768.3 pep:known chromosome:GRCm38:11:5801640:5803733:-1 gene:ENSMUSG00000020475.3 transcript:ENSMUST00000020768.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgam2 description:phosphoglycerate mutase 2 [Source:MGI Symbol;Acc:MGI:1933118] MTTHRLVMVRHGESLWNQENRFCGWFDAELSEKGAEEAKRGATAIKDAKIEFDICYTSVL KRAIRTLWTILDVTDQMWVPVVRTWRLNERHYGGLTGLNKAETAAKHGEEQVKIWRRSFD TPPPPMDEKHNYYTSISKDRRYAGLKPEELPTCESLKDTIARALPFWNEEIAPKIKAGQR VLIAAHGNSLRGIVKHLEGMSDQAIMELNLPTGIPIVYELDQNLKPTKPMRFLGDEETVR KAMEAVAAQGKAK >ENSMUSP00000061355.1 pep:known chromosome:GRCm38:2:127186355:127208280:-1 gene:ENSMUSG00000044145.2 transcript:ENSMUST00000056146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810024B03Rik description:RIKEN cDNA 1810024B03 gene [Source:MGI Symbol;Acc:MGI:1925560] MKSLHHRHILQLLQVFQTRHKTYLVMEYASRGSLLKYIKKRRPLDEEEACTMFSELSLAV NYIHSQNIAHQDIKAENILLDWDGHVKLTDFGISKRLASGEKFKGFCGTAQYCAPEVFND TQYGGLPSDIWSLGMVLYYRPPSLSRDNALQHKVHDTIPELVDSISPVSRALRPNDEIND NRPHNEAIHQRSIGIPVAAP >ENSMUSP00000127903.1 pep:known chromosome:GRCm38:1:93405940:93478801:-1 gene:ENSMUSG00000034088.15 transcript:ENSMUST00000170883.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdlbp description:high density lipoprotein (HDL) binding protein [Source:MGI Symbol;Acc:MGI:99256] MSSVAVLTQESFAEHRSGLVPQQIKVATLNSEEENDPPTYKDAFPPLPEKAACLESAQEP AGAWSNKIRPIKASVITQVFHVPLEERKYKDMNQFGEGEQAKICLEIMQRTGAHLELSLA KDQGLSIMVSGKLDAVMKARKDIVARLQTQASATVPIPKEHHRFVIGKNGEKLQDLELKT ATKIQIPRPDDPSNQIKITGTKEGIEKARHEVLLISAEQDKRAVERLEVEKAFHPFIAGP YNRLVGEIMQETGTRINIPPPSVNRTEIVFTGEKEQLAQAVARIKKIYEEKKKKTTTIAV EVKKSQHKYVIGPKGNSLQEILERTGVSVEIPPSDSISETVILRGEPEKLGQALTEVYAK ANSFTVSSVSAPSWLHRFIIGKKGQNLAKITQQMPKVHIEFTEGEDKITLEGPTEDVNVA QEQIEGMVKDLINRMDYVEINIDHKFHRHLIGKSGANINRIKDQYKVSVRIPPDSEKSNL IRIEGDPQGVQQAKRELLELASRMENERTKDLIIEQRFHRTIIGQKGERIREIRDKFPEV IINFPDPAQKSDIVQLRGPKNEVEKCTKYMQKMVADLVENSYSISVPIFKQFHKNIIGKG GANIKKIREESNTKIDLPAENSNSETIIITGKRANCEAARSRILSIQKDLANIAEVEVSI PAKLHNSLIGTKGRLIRSIMEECGGVHIHFPVEGSGSDTVVIRGPSSDVEKAKKQLLHLA EEKQTKSFTVDIRAKPEYHKFLIGKGGGKIRKVRDSTGARIIFPAAEDKDQDLITIIGKE DAVREAQKELEALIQNLENVVEDYMLVDPKHHRHFVIRRGQVLREIAEEYGGVMVSFPRS GTQSDKVTLKGAKDCVEAAKKRIQEIIEDLEAQVTVECAIPQKFHRSVMGPKGSRIQQIT RDYNVQIKFPDREENPVHSVEPSIQENGDEAGEGREAKETDPGSPRRCDIIIISGRKEKC EAAKEALEALVPVTIEVEVPFDLHRYIIGQKGSGIRKMMDEFEVNIHVPAPELQSDTIAI TGLAANLDRAKAGLLDRVKELQAEQEDRALRSFKLSVTVDPKYHPKIIGRKGAVITQIRL EHEVNIQFPDKDDGNQPQDQITITGYEKNTEAARDAILKIVGELEQMVSEDVPLDHRVHA RIIGARGKAIRKIMDEFKVDIRFPQSGAPDPNCVTVTGLPENVEEAIDHILNLEEEYLAD VVDSEALQVYMKPPAHEESRAPSKGFVVRDAPWTSNSSEKAPDMSSSEEFPSFGAQVAPK TLPWGPKR >ENSMUSP00000043047.7 pep:known chromosome:GRCm38:1:93407762:93445686:-1 gene:ENSMUSG00000034088.15 transcript:ENSMUST00000042498.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdlbp description:high density lipoprotein (HDL) binding protein [Source:MGI Symbol;Acc:MGI:99256] MSSVAVLTQESFAEHRSGLVPQQIKVATLNSEEENDPPTYKDAFPPLPEKAACLESAQEP AGAWSNKIRPIKASVITQVFHVPLEERKYKDMNQFGEGEQAKICLEIMQRTGAHLELSLA KDQGLSIMVSGKLDAVMKARKDIVARLQTQASATVPIPKEHHRFVIGKNGEKLQDLELKT ATKIQIPRPDDPSNQIKITGTKEGIEKARHEVLLISAEQDKRAVERLEVEKAFHPFIAGP YNRLVGEIMQETGTRINIPPPSVNRTEIVFTGEKEQLAQAVARIKKIYEEKKKKTTTIAV EVKKSQHKYVIGPKGNSLQEILERTGVSVEIPPSDSISETVILRGEPEKLGQALTEVYAK ANSFTVSSVSAPSWLHRFIIGKKGQNLAKITQQMPKVHIEFTEGEDKITLEGPTEDVNVA QEQIEGMVKDLINRMDYVEINIDHKFHRHLIGKSGANINRIKDQYKVSVRIPPDSEKSNL IRIEGDPQGVQQAKRELLELASRMENERTKDLIIEQRFHRTIIGQKGERIREIRDKFPEV IINFPDPAQKSDIVQLRGPKNEVEKCTKYMQKMVADLVENSYSISVPIFKQFHKNIIGKG GANIKKIREESNTKIDLPAENSNSETIIITGKRANCEAARSRILSIQKDLANIAEVEVSI PAKLHNSLIGTKGRLIRSIMEECGGVHIHFPVEGSGSDTVVIRGPSSDVEKAKKQLLHLA EEKQTKSFTVDIRAKPEYHKFLIGKGGGKIRKVRDSTGARIIFPAAEDKDQDLITIIGKE DAVREAQKELEALIQNLENVVEDYMLVDPKHHRHFVIRRGQVLREIAEEYGGVMVSFPRS GTQSDKVTLKGAKDCVEAAKKRIQEIIEDLEAQVTVECAIPQKFHRSVMGPKGSRIQQIT RDYNVQIKFPDREENPVHSVEPSIQENGDEAGEGREAKETDPGSPRRCDIIIISGRKEKC EAAKEALEALVPVTIEVEVPFDLHRYIIGQKGSGIRKMMDEFEVNIHVPAPELQSDTIAI TGLAANLDRAKAGLLDRVKELQAEQEDRALRSFKLSVTVDPKYHPKIIGRKGAVITQIRL EHEVNIQFPDKDDGNQPQDQITITGYEKNTEAARDAILKIVGELEQMVSEDVPLDHRVHA RIIGARGKAIRKIMDEFKVDIRFPQSGAPDPNCVTVTGLPENVEEAIDHILNLEEEYLAD VVDSEALQVYMKPPAHEESRAPSKGFVVRDAPWTSNSSEKAPDMSSSEEFPSFGAQVAPK TLPWGPKR >ENSMUSP00000139671.1 pep:known chromosome:GRCm38:1:93408002:93440792:-1 gene:ENSMUSG00000034088.15 transcript:ENSMUST00000186164.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdlbp description:high density lipoprotein (HDL) binding protein [Source:MGI Symbol;Acc:MGI:99256] MSSVAVLTQESFAEHRSGLVPQQIKVATLNSEEENDPPTYKDAFPPLPEKAACLESAQEP AGAWSNKIRPIKASVITQVFHVPLEERKYKDMNQFGEGEQAKICLEIMQRTGAHLELSLA KDQGLSIMVSGKLDAVMKARKDIVARLQTQASATVPIPKEHHRFVIGKNGEKLQDLELKT ATKIQIPRPDDPSNQIKITGTKEGIEKARHEVLLISAEQDKRAVERLEVEKAFHPFIAGP YNRLVGEIMQETGTRINIPPPSVNRTEIVFTGEKEQLAQAVARIKKIYEEKANSFTVSSV SAPSWLHRFIIGKKGQNLAKITQQMPKVHIEFTEGEDKITLEGPTEDVNVAQEQIEGMVK DLINRMDYVEINIDHKFHRHLIGKSGANINRIKDQYKVSVRIPPDSEKSNLIRIEGDPQG VQQAKRELLELASRMENERTKDLIIEQRFHRTIIGQKGERIREIRDKFPEVIINFPDPAQ KSDIVQLRGPKNEVEKCTKYMQKMVADLVENSYSISVPIFKQFHKNIIGKGGANIKKIRE ESNTKIDLPAENSNSETIIITGKRANCEAARSRILSIQKDLANIAEVEVSIPAKLHNSLI GTKGRLIRSIMEECGGVHIHFPVEGSGSDTVVIRGPSSDVEKAKKQLLHLAEEKQTKSFT VDIRAKPEYHKFLIGKGGGKIRKVRDSTGARIIFPAAEDKDQDLITIIGKEDAVREAQKE LEALIQNLENVVEDYMLVDPKHHRHFVIRRGQVLREIAEEYGGVMVSFPRSGTQSDKVTL KGAKDCVEAAKKRIQEIIEDLEAQVTVECAIPQKFHRSVMGPKGSRIQQITRDYNVQIKF PDREENPVHSVEPSIQENGDEAGEGREAKETDPGSPRRCDIIIISGRKEKCEAAKEALEA LVPVTIEVEVPFDLHRYIIGQKGSGIRKMMDEFEVNIHVPAPELQSDTIAITGLAANLDR AKAGLLDRVKELQAEQEDRALRSFKLSVTVDPKYHPKIIGRKGAVITQIRLEHEVNIQFP DKDDGNQPQDQITITGYEKNTEAARDAILKIVGELEQMVSEDVPLDHRVHARIIGARGKA IRKIMDEFKVDIRFPQSGAPDPNCVTVTGLPENVEEAIDHILNLEEEYLADVVDSEALQV YMKPPAHEESRAPSKGFVVRDAPWTSNSSEKAPDMSSSEEFPSFGAQVAPKTLPWGPKR >ENSMUSP00000139448.1 pep:known chromosome:GRCm38:1:93431018:93445682:-1 gene:ENSMUSG00000034088.15 transcript:ENSMUST00000190321.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdlbp description:high density lipoprotein (HDL) binding protein [Source:MGI Symbol;Acc:MGI:99256] MQETGTRINIPPPSVNRTEIVF >ENSMUSP00000140946.1 pep:known chromosome:GRCm38:1:93431435:93440961:-1 gene:ENSMUSG00000034088.15 transcript:ENSMUST00000188988.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdlbp description:high density lipoprotein (HDL) binding protein [Source:MGI Symbol;Acc:MGI:99256] MSSVAVLTQESFAEHRSGLVPQQIKVATLNSEEENDPPTYKDAFPPLPEKAACLESAQEP AGAWSNKIRPIKASVITQVFHVPLEERKYKDMNQFGEGEQAKICLEIMQRTGAHLELSLA KDQGLSIMVSGKLDAVMKARKDIVARLQTQASATVPIPKEHHRFVIGKNGEKLQDLEL >ENSMUSP00000139719.1 pep:known chromosome:GRCm38:1:93437119:93445432:-1 gene:ENSMUSG00000034088.15 transcript:ENSMUST00000186787.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdlbp description:high density lipoprotein (HDL) binding protein [Source:MGI Symbol;Acc:MGI:99256] MSSVAVLTQESFAEHRSGLVPQQIKVATLNSEEENDPPTYKDAFPPLPEKAACLESAQEP AGAWSNKIRPIKASVITQVFHVPLEERKYKDMNQFGEGEQAKICLEIMQRTGAHLELSLA KDQGLSIMVSGKLDAVMKARK >ENSMUSP00000140399.1 pep:known chromosome:GRCm38:1:93437155:93478815:-1 gene:ENSMUSG00000034088.15 transcript:ENSMUST00000189025.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdlbp description:high density lipoprotein (HDL) binding protein [Source:MGI Symbol;Acc:MGI:99256] MSSVAVLTQESFAEHRSGLVPQQIKVATLNSEEENDPPTYKDAFPPLPEKAACLESAQEP AGAWSNKIRPIKASVITQVFHVPLEERKYKDMNQFGEGEQAKICLEIMQRTGAHLELSLA KDQGLSIMVS >ENSMUSP00000139777.1 pep:known chromosome:GRCm38:1:93440688:93478377:-1 gene:ENSMUSG00000034088.15 transcript:ENSMUST00000188165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdlbp description:high density lipoprotein (HDL) binding protein [Source:MGI Symbol;Acc:MGI:99256] MSSVAVLTQESFAEHRSGLVPQ >ENSMUSP00000110520.1 pep:known chromosome:GRCm38:17:27714352:27728950:-1 gene:ENSMUSG00000024215.13 transcript:ENSMUST00000114870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdef description:SAM pointed domain containing ets transcription factor [Source:MGI Symbol;Acc:MGI:1353422] MGSASPGLSNVSPGCLLLFPDVAPRTGTEKAASGAMGPEKQEWSPSPPATPEQGLSAFYL SYFNMYPDDSSWVAKVPEARAGEDHPEEPEQCPVIDSQASGSTLDEHSLEQVQSMVVGEV LKDIETACKLLNITADPGDWSPGNVQKWLLWTEHQYRLPPAGKAFQELGGKELCAMSEEQ FRQRAPLGGDVLHAHLDIWKSAAWMKERTSPGTLHYCASTSEEGWTDGEVDSSCSGQPIH LWQFLKELLLKPHSYGRFIRWLNKEKGIFKIEDSAQVARLWGVRKNRPAMNYDKLSRSIR QYYKKGIIRKPDISQRLVYQFVHPV >ENSMUSP00000117743.1 pep:known chromosome:GRCm38:17:27719992:27728924:-1 gene:ENSMUSG00000024215.13 transcript:ENSMUST00000138970.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdef description:SAM pointed domain containing ets transcription factor [Source:MGI Symbol;Acc:MGI:1353422] MGSASPGLSNVSPGCLLLFPDVAPRTGTEKAASGAMGPEKQEWSPSPPATPEQGLSAFYL SYFNMYPDDSSWVAKVPEARAGEDHPEEPEQCPVIDSQASGSTLDEHSLEQVQSMVVGEV LKDIET >ENSMUSP00000025054.2 pep:known chromosome:GRCm38:17:27714447:27728951:-1 gene:ENSMUSG00000024215.13 transcript:ENSMUST00000025054.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdef description:SAM pointed domain containing ets transcription factor [Source:MGI Symbol;Acc:MGI:1353422] MGSASPGLSNVSPGCLLLFPDVAPRTGTEKAASGAMGPEKQEWSPSPPATPEQGLSAFYL SYFNMYPDDSSWVAKVPEARAGEDHPEEPEQCPVIDSQASGSTLDEHSLEQVQSMVVGEV LKDIETACKLLNITADPGDWSPGNVQKWLLWTEHQYRLPPAGKAFQELGGKELCAMSEEQ FRQRAPLGGDVLHAHLDIWKSAAWMKERTSPGTLHYCASTSEEGWTDGEVDSSCSGQPIH LWQFLKELLLKPHSYGRFIRWLNKEKGIFKIEDSAQVARLWGVRKNRPAMNYDKLSRSIR QYYKKGIIRKPDISQRLVYQFVHPV >ENSMUSP00000127056.1 pep:known chromosome:GRCm38:17:27714449:27728889:-1 gene:ENSMUSG00000024215.13 transcript:ENSMUST00000167489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdef description:SAM pointed domain containing ets transcription factor [Source:MGI Symbol;Acc:MGI:1353422] MGSASPGLSNVSPGCLLLFPDVAPRTGTEKAASGAMGPEKQEWSPSPPATPEQGLSAFYL SYFNMYPDDSSWVAKVPEARAGEDHPEEPEQCPVIDSQASGSTLDEHSLEQVQSMVVGEV LKDIETACKLLNITADPGDWSPGNVQKWLLWTEHQYRLPPAGKAFQELGGKELCAMSEEQ FRQRAPLGGDVLHAHLDIWKSAAWMKERTSPGTLHYCASTSEEGWTDGEVDSSCSGQPIH LWQFLKELLLKPHSYGRFIRWLNKEKGIFKIEDSAQVARLWGVRKNRPAMNYDKLSRSIR QYYKKGIIRKPDISQRLVYQFVHPV >ENSMUSP00000099522.1 pep:known chromosome:GRCm38:4:147940895:147947314:1 gene:ENSMUSG00000044496.6 transcript:ENSMUST00000103232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2510039O18Rik description:RIKEN cDNA 2510039O18 gene [Source:MGI Symbol;Acc:MGI:1924284] MWLQQRLKGLPGLLSSSWARRLLCLLGLLVLLLWFASSGARRAAGGLHLPSWARSEPGAA EPSACLEAATRAWRGLRDRGEAVPLGPGVPALVANGFLALDASNNRLWVTPGEREPAVTP DFVPFVQLRPLNVVAEAGEAVLLLREGLLRRVRCLQLGTPGSGPAAGVPGPASASGLSAG SGRDCVLLQEDFLAHRGRPHVYLQRIQLNNPTERVAALQTVGPTAGPVPKSFTSTLEKVG DHQFLLYSGRSTPLPSGLVHLVVVTSKKLVNRLQVAPKTQLDETVLWVVHISGPIHPQVL KSKGAKELKALQDLARKEMLELLEMPASELLQDHQYLWAQLFSPGVEMKRITDAHTPSGL TVNLTLYYMLSCSPAPLLSPSLSHREREQMEATLNYEDHCFSGHATMHAENLWPGQLSSV QQILQLADLWKLTLQKRGCKGLVKVGAPGILQGMVLSFGGLQFTENHLQFQADPEVLHNS YALHGIRYKNDHINLAVLVDAEGKPYLHVSLESRGQPVKIYACEAGCLHDPVELTSEPGG HTFSVMVTQPITPLLYISTDLTHLQDLRHTLHLKAILAHDEHMAQQDPGLPFLFWFSVAS LITLFHLFLFKLIYNEYCGPGAKPLFRSKEDPSV >ENSMUSP00000100621.1 pep:known chromosome:GRCm38:X:8985900:8986755:-1 gene:ENSMUSG00000078206.1 transcript:ENSMUST00000105004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fthl17d description:ferritin, heavy polypeptide-like 17, member D [Source:MGI Symbol;Acc:MGI:3713070] MAEAPSRMQQNYDWQCEDAINTHIQLCLYASYEYMSMAVYFDRDDVAQENFKRFFLTKSH NCQTSAEMFMHLQNKRGGCSSLQGIARPERDSWHGGFQAMECAFHMEMLINQSLLNMHEV AKEKGDPHLCHFLEQNCLDQQVDILKEMSGYLTNLRQMGAIEHNLAEYLFDKLSLS >ENSMUSP00000059026.6 pep:known chromosome:GRCm38:12:73041827:73047179:-1 gene:ENSMUSG00000051367.8 transcript:ENSMUST00000050029.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Six1 description:sine oculis-related homeobox 1 [Source:MGI Symbol;Acc:MGI:102780] MSMLPSFGFTQEQVACVCEVLQQGGNLERLGRFLWSLPACDHLHKNESVLKAKAVVAFHR GNFRELYKILESHQFSPHNHPKLQQLWLKAHYVEAEKLRGRPLGAVGKYRVRRKFPLPRT IWDGEETSYCFKEKSRGVLREWYAHNPYPSPREKRELAEATGLTTTQVSNWFKNRRQRDR AAEAKERENTENNNSSSNKQNQLSPLEGGKPLMSSSEEEFSPPQSPDQNSVLLLQSNMGH ARSSNYSLPGLTASQPSHGLQAHQHQLQDSLLGPLTSSLVDLGS >ENSMUSP00000110512.1 pep:known chromosome:GRCm38:2:10370510:10439137:1 gene:ENSMUSG00000061186.15 transcript:ENSMUST00000114862.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfmbt2 description:Scm-like with four mbt domains 2 [Source:MGI Symbol;Acc:MGI:2447794] MERYLPVSKKRNSSSSLEKITGSANGNGTLYSEEDTNLEENDFSWGDYLEETGTRAVPHV SFRHVEISIRSNFQPGMKLEVANKNNPDTYWVATIITTCGQLLLLRYCGYGEDRRADFWC DVIIADLHPVGWCTQNNKVLRPPDAIKDKYSDWTDFLIRELTGSRTAPANLLEGVCIAII HLL >ENSMUSP00000110511.1 pep:known chromosome:GRCm38:2:10370511:10439137:1 gene:ENSMUSG00000061186.15 transcript:ENSMUST00000114861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfmbt2 description:Scm-like with four mbt domains 2 [Source:MGI Symbol;Acc:MGI:2447794] MERYLPVSKKRNSSSSLEKITGSANGNGTLYSEEDTNLEENDFSWGDYLEETGTRAVPHV SFRHVEISIRSNFQPGMKLEVANKNNPDTYWVATIITTCGQLLLLRYCGYGEDRRADFWC DVIIADLHPVGWCTQNNKVLRPPDAIKDKYSDWTDFLIRELTGSRTAPANLLEGVCIAII HLL >ENSMUSP00000110514.2 pep:known chromosome:GRCm38:2:10372436:10439137:1 gene:ENSMUSG00000061186.15 transcript:ENSMUST00000114864.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfmbt2 description:Scm-like with four mbt domains 2 [Source:MGI Symbol;Acc:MGI:2447794] MERYLPVSKKRNSSSSLEKITGSANGNGTLYSEEDTNLEENDFSWGDYLEETGTRAVPHV SFRHVEISIRSNFQPGMKLEVANKNNPDTYWVATIITTCGQLLLLRYCGYGEDRRADFWC DVIIADLHPVGWCTQNNKVLRPPDAIKDKYSDWTDFLIRELTGSRTAPANLLEGVCIAII HLL >ENSMUSP00000040575.6 pep:known chromosome:GRCm38:2:10372450:10595253:1 gene:ENSMUSG00000061186.15 transcript:ENSMUST00000041105.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfmbt2 description:Scm-like with four mbt domains 2 [Source:MGI Symbol;Acc:MGI:2447794] MERYLPVSKKRNSSSSLEKITGSANGNGTLYSEEDTNLEENDFSWGDYLEETGTRAVPHV SFRHVEISIRSNFQPGMKLEVANKNNPDTYWVATIITTCGQLLLLRYCGYGEDRRADFWC DVIIADLHPVGWCTQNNKVLRPPDAIKDKYSDWTDFLIRELTGSRTAPANLLEGPLRGKG PIDLITVDSLIELQDSQNPFQYWIVSVTENVGGRLRLRYVGLEHTESYDRWLFYLDYRLR PIGWCQENKYRMDPPSELYYLKLPFEWKCALEKALVLAAESPLPMEVFKDHADLQSHFFT VGMRLETLHISDPFHIYPASVTKVFNSKFFQVAIDDLRPEADKPTMLCHADSLGILPVQW CLKNGVNLAPPKGYSGQDFNWVDYHKQRQAEEAPHFCFKNAFSRGFSKNMKLEAVNPVNP GEVCVATVVSVKGRLLWLHLEGLETPMPDIIVDMDSMDIFPVGWCEANSYPLTTPYKASS KSKRKTVHFKMEKQLLSPVPIEKIPHELCLLPPQMDSPVGAINAKYCCPQLFVNHRCFSG PFLNKGRISELPQSVGPGMCVLVLKEILTLITNAAYKPGRVLRELQLVEDPEWNSQEEIL KAKYGGKTYRAVVKIVRTADQVMNFCRQVCAKLECCPNLLSPVLISETCPENCSVHTKTR YNKREKGSSLSPASELKDDSASHATKLQKAKIPQTYYYGKRRRVIQSSLRVSNIETPPKS TRRRKRRKSVYVQKRRKSAIVVPAGVPAGVPAGVPEDIPAGIPEGIPASIPESIPEGIPE SLPESLPEAIPESIPKGSAQKTEQEKRETLDTARKKTGYHGPAYQTDTSAAQVPFARPRR AVTLRRNSEALKRPPVERARRVRTVPTTASSNNRVKGPLVRIVKPEDSSQSDEEKLILES NPLEWSVTDVVRFIKLTDCAPLARIFQEQDIDGQALLLLTLPTVQECMELKLGPAIKLCH QIERVKVAFYAQYAS >ENSMUSP00000112293.2 pep:known chromosome:GRCm38:2:10372436:10595241:1 gene:ENSMUSG00000061186.15 transcript:ENSMUST00000116594.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfmbt2 description:Scm-like with four mbt domains 2 [Source:MGI Symbol;Acc:MGI:2447794] MERYLPVSKKRNSSSSLEKITGSANGNGTLYSEEDTNLEENDFSWGDYLEETGTRAVPHV SFRHVEISIRSNFQPGMKLEVANKNNPDTYWVATIITTCGQLLLLRYCGYGEDRRADFWC DVIIADLHPVGWCTQNNKVLRPPDAIKDKYSDWTDFLIRELTGSRTAPANLLEGPLRGKG PIDLITVDSLIELQDSQNPFQYWIVSVTENVGGRLRLRYVGLEHTESYDRWLFYLDYRLR PIGWCQENKYRMDPPSELYYLKLPFEWKCALEKALVLAAESPLPMEVFKDHADLQSHFFT VGMRLETLHISDPFHIYPASVTKVFNSKFFQVAIDDLRPEADKPTMLCHADSLGILPVQW CLKNGVNLAPPKGYSGQDFNWVDYHKQRQAEEAPHFCFKNAFSRGFSKNMKLEAVNPVNP GEVCVATVVSVKGRLLWLHLEGLETPMPDIIVDMDSMDIFPVGWCEANSYPLTTPYKASS KSKRKTVHFKMEKQLLSPVPIEKIPHELCLLPPQMDSPVGAINAKYCCPQLFVNHRCFSG PFLNKGRISELPQSVGPGMCVLVLKEILTLITNAAYKPGRVLRELQLVEDPEWNSQEEIL KAKYGGKTYRAVVKIVRTADQVMNFCRQVCAKLECCPNLLSPVLISETCPENCSVHTKTR YTYYYGKRRRVIQSSLRVSNIETPPKSTRRRKRRKSVYVQKRRKSAIVVPAGVPAGVPAG VPEDIPAGIPEGIPASIPESIPEGIPESLPESLPEAIPESIPKGSAQKTEQEKRETLDTA RKKTGYHGPAYQTDTSAAQVPFARPRRAVTLRRNSEALKRPPVERARRVRTVPTTASSNN RVKGPLVRIVKPEDSSQSDEEKLILESNPLEWSVTDVVRFIKLTDCAPLARIFQEQDIDG QALLLLTLPTVQECMELKLGPAIKLCHQIERVKVAFYAQYAS >ENSMUSP00000090873.3 pep:known chromosome:GRCm38:16:32271468:32274779:1 gene:ENSMUSG00000046345.4 transcript:ENSMUST00000093183.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smco1 description:single-pass membrane protein with coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:1916826] MTHETTTLISLKEAMKRVDNKLRALDTQFKELDVTKDNLTLRFEHHSKTLASQAAQDEIW TAALALGFTSMELNIVYSYVIEVLICLHTRMLQKLPDLVRSLPTLASVLRRKAKNKHVRL VWESVLQEYGLQERDVSALCTFFIVHGNKGEHYAANVRRMYIKDVSFMITNMVKNQALQD GLLRAVQIIEKGKQAQDPENSRAPLKELMPPVKD >ENSMUSP00000042025.8 pep:known chromosome:GRCm38:11:113684412:113694647:1 gene:ENSMUSG00000041623.17 transcript:ENSMUST00000042227.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D11Wsu47e description:DNA segment, Chr 11, Wayne State University 47, expressed [Source:MGI Symbol;Acc:MGI:106356] MGAAEPRMEVCPYCKKPFKRLKSHLPHCKMRGPSISADQNVSQSKPAALAQKEKSPTRDL TRAKEKELEVDRPKRAVKAETSRASWTAAASPLPEGILGSVRITKAEGENKDQNQFSFQA LSQAKPEVTLQRVTTPWSPASDATSPKRELTQDVSESKGSPCPSETEAPSLVSSVEPFLA NQDRKYSSTQPHANPATSVGLKLGTVDPQRQKLRVKLLDVPLSDRHSPKSGSHGVQRVTP SVLSREEGSQDGGHLLGVSAHPGNTKTQKSESLLLGLHTGLLGKAPVREHQELGLGMELS QSKGNTENRMSVTNVQEGAGLGQGGKDPITATKAKPHTALELRNVFMPESGNQSLTSPAV TSTPEENAQFCGQSHVPAITLSVGSVRDVLEPTSFYQPHTAQAGHCLMSYSAQYPVPKTF VGHVAAVTSGAPPRSVGLEWFPELYPAYVGLGVLPRRPPPWSLAAQVPPLPTLQGRSVSK VPWWGRSSADSRSLEPLTLTTSSLPLMRLLGAVHKGWVQCNTTIKKSGVGGLTMLFAGYF ILCCNWSFKHLKLQHWRK >ENSMUSP00000117685.1 pep:known chromosome:GRCm38:11:113684440:113688213:1 gene:ENSMUSG00000041623.17 transcript:ENSMUST00000123466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D11Wsu47e description:DNA segment, Chr 11, Wayne State University 47, expressed [Source:MGI Symbol;Acc:MGI:106356] MGAAEPRMEVCPYCKKPFKRLKSHLPHCKMRGPSISADQNVSQSKPAALAQKEKSPTRDL TRAKEKELEVDRPKRAVKAETSRASWTAAASPLPEGILGSVRITKAEGENKDQNQFSFQA LSQAKPEVTLQRVTTPWSPASDAT >ENSMUSP00000102232.3 pep:known chromosome:GRCm38:11:113684447:113694647:1 gene:ENSMUSG00000041623.17 transcript:ENSMUST00000106621.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D11Wsu47e description:DNA segment, Chr 11, Wayne State University 47, expressed [Source:MGI Symbol;Acc:MGI:106356] MGAAEPRMEVCPYCKKPFKRLKSHLPHCKMRGPSISADQNVSQSKPAALAQKEKSPTRDL TRAKEKELEVDRPKRAVKAETSRASWTAAASPLPEGILGSVRITKAEGENKDQNQFSFQA LSQAKPEVTLQRVTTPWSPASDATSPKRELTQDVSESKGSPCPSETEAPSLVSSVEPFLA NQDRKYSSTQPHANPATSVGLKLGTVDPQRQKLRVKLLDVPLSDRHSPKSGSHGVQRVTP SVLSREEGSQDGGHLLGVSAHPGNTKTQKSESLLLGLHTGLLGKAPVREHQELGLGMELS QSKGNTENRMSVTNVQEGAGLGQGGKDPITATKAKPHTALELRNVFMPESGNQSLTSPAV TSTPEENAQFCGQSHVPAITLSVGSVRDVLEPTSFYQPHTAQAGHCLMSYSAQYPVPKTF VGHVAAVTSGAPPRSVGLEWFPELYPAYVGLGVLPRRPPPWSLAAQVPPLPTLQGRSVSK VPWWGRSSADSRSLEPLTLTTSSLPLMRLLGAVHKGWVQCNTTIKKSGVGGLTMLFAGYF ILCCNWSFKHLKLQHWRK >ENSMUSP00000116817.1 pep:known chromosome:GRCm38:11:113685086:113688128:1 gene:ENSMUSG00000041623.17 transcript:ENSMUST00000153732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D11Wsu47e description:DNA segment, Chr 11, Wayne State University 47, expressed [Source:MGI Symbol;Acc:MGI:106356] MGAAEPRMEVCPYCKKPFKRLKSHLPHCKMRGPSISADQNVSQSKPAALAQKEKSPTRDL TRAKEKELEVDRPKRAVKAETSRASWTAAASPLPEGILGSVRITKAEGENKDQNQF >ENSMUSP00000099521.4 pep:known chromosome:GRCm38:4:147985788:147987205:1 gene:ENSMUSG00000029019.8 transcript:ENSMUST00000103231.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nppb description:natriuretic peptide type B [Source:MGI Symbol;Acc:MGI:97368] MDLLKVLSQMILFLLFLYLSPLGGHSYPLGSPSQSPEQFKMQKLLELIREKSEEMAQRQL LKDQGLTKEHPKRVLRSQGSTLRVQQRPQNSKVTHISSCFGHKIDRIGSVSRLGCNALKL L >ENSMUSP00000114952.1 pep:known chromosome:GRCm38:3:116641902:116681260:-1 gene:ENSMUSG00000105103.1 transcript:ENSMUST00000140672.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm43191 description:predicted gene 43191 [Source:MGI Symbol;Acc:MGI:5663328] XELNSKDLSTGSQFVGLMAVLTACFSSGFAGVYFEKILKETKQSVWIRNIQLGFFGSIFG LMGVYVYDGELVSKNGFFQGYNQLTWIVVALQPQGIGSPSVYHAVIVIFLEFFAWGLLTA PTLVVLHETFPKHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWGRKSFLLLTVFFTCAPI PLMKISPWWYFAVISVSGVFAVTFSVVFAYVADITQEHERSMAYGLVSATFAASL >ENSMUSP00000084823.2 pep:known chromosome:GRCm38:17:33949909:33951477:-1 gene:ENSMUSG00000067370.3 transcript:ENSMUST00000087543.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3galt4 description:UDP-Gal:betaGlcNAc beta 1,3-galactosyltransferase, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1859517] MPLSLFRRVLLAVLLLVIIWTLFGPSGLGEELLSLSLASLLPAPASPGPPLALPRLLISN SHACGGSGPPPFLLILVCTAPEHLNQRNAIRASWGAIREARGFRVQTLFLLGKPRRQQLA DLSSESAAHRDILQASFQDSYRNLTLKTLSGLNWVNKYCPMARYILKTDDDVYVNVPELV SELIQRGGPSEQWQKGKEAQEETTAIHEEHRGQAVPLLYLGRVHWRVRPTRTPESRHHVS EELWPENWGPFPPYASGTGYVLSISAVQLILKVASRAPPLPLEDVFVGVSARRGGLAPTH CVKLAGATHYPLDRCCYGKFLLTSHKVDPWQMQEAWKLVSGMNGERTAPFCSWLQGFLGT LRCRFIAWFSS >ENSMUSP00000029570.5 pep:known chromosome:GRCm38:3:116631164:116662677:-1 gene:ENSMUSG00000089911.4 transcript:ENSMUST00000029570.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hiat1 description:hippocampus abundant gene transcript 1 [Source:MGI Symbol;Acc:MGI:1201609] MTQGKKKKRAANRSIMLAKKIIIKDGGTPQGIGSPSVYHAVIVIFLEFFAWGLLTAPTLV VLHETFPKHTFLMNGLIQGVKGLLSFLSAPLIGALSDVWGRKSFLLLTVFFTCAPIPLMK ISPWWYFAVISVSGVFAVTFSVVFAYVADITQEHERSMAYGLVSATFAASLVTSPAIGAY LGRVYGDSLVVVLATAIALLDICFILVAVPESLPEKMRPASWGAPISWEQADPFASLKKV GQDSIVLLICITVFLSYLPEAGQYSSFFLYLRQIMKFSPESVAAFIAVLGILSIIAQTIV LSLLMRSIGNKNTILLGLGFQILQLAWYGFGSEPWMMWAAGAVAAMSSITFPAVSALVSR TADADQQGVVQGMITGIRGLCNGLGPALYGFIFYIFHVELKELPITGTDLGTNTSPQHHF EQNSIIPGPPFLFGACSVLLALLVALFIPEHTNLSLRSSSWRKHCGSHSHPHSTQAPGEA KEPLLQDTNV >ENSMUSP00000116909.1 pep:known chromosome:GRCm38:19:46032593:46045214:-1 gene:ENSMUSG00000025223.14 transcript:ENSMUST00000152946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb1 description:LIM domain binding 1 [Source:MGI Symbol;Acc:MGI:894762] MLDRDVGPTPMYPPTYLEPGIGRHTPYGNQTDYRIFELNKRLQNWTEECDNLWWDAFTTE FFEDDAMLTITFCLEDGPKRYTIGRTLIPRYFRSIFEGGATELYYVLKHPKEAFHSNFVS LDCDQGSMVTQHGKPMFTQVCVEGRLYLEFMFDDMMRIKTWHFSIRQHRELIPRSILAMH AQDPQMLDQLSKNITRCGLSNSTLNYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQ KWQRMVAPPAEPARQQPSKRRKRKMSGGSTMSSGGGNTNNSNSKKKSPASTFALSSQDVM VVGEPTLMGGEFGDEDERLITRLENTQFDAANGIDDEDSFNNSPALGANSPWNSKPPSSQ ESKSENPTSQASQ >ENSMUSP00000053680.7 pep:known chromosome:GRCm38:19:46032594:46039621:-1 gene:ENSMUSG00000025223.14 transcript:ENSMUST00000056931.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb1 description:LIM domain binding 1 [Source:MGI Symbol;Acc:MGI:894762] MLDRDVGPTPMYPPTYLEPGIGRHTPYGNQTDYRIFELNKRLQNWTEECDNLWWDAFTTE FFEDDAMLTITFCLEDGPKRYTIGRTLIPRYFRSIFEGGATELYYVLKHPKEAFHSNFVS LDCDQGSMVTQHGKPMFTQVCVEGRLYLEFMFDDMMRIKTWHFSIRQHRELIPRSILAMH AQDPQMLDQLSKNITRCGLSNSTLNYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQ KWQRMVAPPAEPARQQPSKRRKRKMSGGSTMSSGGGNTNNSNSKKKSPASTFALSSQVPD VMVVGEPTLMGGEFGDEDERLITRLENTQFDAANGIDDEDSFNNSPALGANSPWNSKPPS SQESKSENPTSQASQ >ENSMUSP00000026252.7 pep:known chromosome:GRCm38:19:46032689:46044914:-1 gene:ENSMUSG00000025223.14 transcript:ENSMUST00000026252.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb1 description:LIM domain binding 1 [Source:MGI Symbol;Acc:MGI:894762] MLDRDVGPTPMYPPTYLEPGIGRHTPYGNQTDYRIFELNKRLQNWTEECDNLWWDAFTTE FFEDDAMLTITFCLEDGPKRYTIGRTLIPRYFRSIFEGGATELYYVLKHPKEAFHSNFVS LDCDQGSMVTQHGKPMFTQVCVEGRLYLEFMFDDMMRIKTWHFSIRQHRELIPRSILAMH AQDPQMLDQLSKNITRCGLSNSTLNYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQ KWQRMVAPPAEPARQQPSKRRKRKMSGGSTMSSGGGNTNNSNSKKKSPASTFALSSQVPD VMVVGEPTLMGGEFGDEDERLITRLENTQFDAANGIDDEDSFNNSPALGANSPWNSKPPS SQESKSENPTSQASQ >ENSMUSP00000118546.1 pep:known chromosome:GRCm38:19:46032689:46045210:-1 gene:ENSMUSG00000025223.14 transcript:ENSMUST00000156585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb1 description:LIM domain binding 1 [Source:MGI Symbol;Acc:MGI:894762] MSVGCACPGCSSKSFKLYSPKEPPNGNAFPPFHPGTMLDRDVGPTPMYPPTYLEPGIGRH TPYGNQTDYRIFELNKRLQNWTEECDNLWWDAFTTEFFEDDAMLTITFCLEDGPKRYTIG RTLIPRYFRSIFEGGATELYYVLKHPKEAFHSNFVSLDCDQGSMVTQHGKPMFTQVCVEG RLYLEFMFDDMMRIKTWHFSIRQHRELIPRSILAMHAQDPQMLDQLSKNITRCGLSNSTL NYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQKWQRMVAPPAEPARQQPSKRRKRK MSGGSTMSSGGGNTNNSNSKKKSPASTFALSSQVPDVMVVGEPTLMGGEFGDEDERLITR LENTQFDAANGIDDEDSFNNSPALGANSPWNSKPPSSQESKSENPTSQASQ >ENSMUSP00000114667.1 pep:known chromosome:GRCm38:19:46033425:46039481:-1 gene:ENSMUSG00000025223.14 transcript:ENSMUST00000137771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb1 description:LIM domain binding 1 [Source:MGI Symbol;Acc:MGI:894762] MLDRDVGPTPMYPPTYLEPGIGRHTPYGNQTDYRIFELNKRLQNWTEECDNLWWDAFTTE FFEDDAMLTITFCLEDGPKRYTIGRTLIPRYFRSIFEGGATELYYVLKHPKEAFHSNFVS LDCDQGSMVTQHGKPMFTQVCVEGRLYLEFMFDDMMRIKTWHFSIRQHRELIPRSILAMH AQDPQMLDQLSKNITRCGLSNSTLNYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQ KWQRMVAPPAEPARQQPSKRRKRKMSGGSTMSSGGGNTNNSNSKKKSPASTFALSSQVPV SISAFFSSGLPHCSPLTPV >ENSMUSP00000139562.1 pep:known chromosome:GRCm38:19:46032616:46045211:-1 gene:ENSMUSG00000025223.14 transcript:ENSMUST00000185355.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb1 description:LIM domain binding 1 [Source:MGI Symbol;Acc:MGI:894762] MSVGCACPGCSSKSFKLYSPKEPPNGNAFPPFHPGTMLDRDVGPTPMYPPTYLEPGIGRH TPYGNQTDYRIFELNKRLQNWTEECDNLWWDAFTTEFFEDDAMLTITFCLEDGPKRYTIG RTLIPRYFRSIFEGGATELYYVLKHPKEAFHSNFVSLDCDQGSMVTQHGKPMFTQVCVEG RLYLEFMFDDMMRIKTWHFSIRQHRELIPRSILAMHAQDPQMLDQLSKNITRCGLSNSTL NYLRLCVILEPMQELMSRHKTYSLSPRDCLKTCLFQKWQRMVAPPAEPARQQPSKRRKRK MSGGSTMSSGGGNTNNSNSKKKSPASTFALSSQVPDVMVVGEPTLMGGEFGDEDERLITR LENTQFDAANGIDDEDSFNNSPALGANSPWNSKPPSSQESKSENPTSQASQ >ENSMUSP00000020657.6 pep:known chromosome:GRCm38:11:51985497:52000484:-1 gene:ENSMUSG00000020390.12 transcript:ENSMUST00000020657.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2b description:ubiquitin-conjugating enzyme E2B [Source:MGI Symbol;Acc:MGI:102944] MSTPARRRLMRDFKRLQEDPPVGVSGAPSENNIMQWNAVIFGPEGTPFEDGTFKLVIEFS EEYPNKPPTVRFLSKMFHPNVYADGSICLDILQNRWSPTYDVSSILTSIQSLLDEPNPNS PANSQAAQLYQENKREYEKRVSAIVEQSWNDS >ENSMUSP00000104714.1 pep:known chromosome:GRCm38:11:51986667:52000762:-1 gene:ENSMUSG00000020390.12 transcript:ENSMUST00000109086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2b description:ubiquitin-conjugating enzyme E2B [Source:MGI Symbol;Acc:MGI:102944] MSTPARRRLMRDFKRLQEDPPVGVSGAPSENNIMQWNAVIFGPEGTPFEDGTFKLVIEFS EEYPNKPPTVRFLSKMFHPNVYADGSICLDILQNRWSPTYDVSSILTSIQSLLDEPNPNS PANSQAAQLYQENKREYEKRVSAIVEQSWNDS >ENSMUSP00000014218.8 pep:known chromosome:GRCm38:16:32277461:32300906:1 gene:ENSMUSG00000014074.17 transcript:ENSMUST00000014218.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf168 description:ring finger protein 168 [Source:MGI Symbol;Acc:MGI:1917488] MAAPKTSIPSLAECQCGICMEILLEPVTLPCNHTLCNPCFQSTVEKANLCCPFCRRRVSS WTRYHTRRNSLVNTDLWEIIQKHYAKECKLRISGQESKEIIDECQPVRRLSEPGELRREY EEEISRVEAERQASKEEENKASEEYIQRLLAEEEEEEKRQREKRRSEMEEQLRGDEELAR SLSTSINSNYERNTLASPLSSRKSDPVTNKSQKKNTSKQKTFGDIQKYLSPKLKPGTALA CKAELEEDICKSKETDRSDTKSPVLQDTEIEKNIPTLSPQTCLETQEQGSESSAGIPGPQ LCVGDTKESLEGKVETVSTSPDDLCIVNDDGPRATVFYSNEAAVNSSSKIENEEYSVTGV PQLTGGNRVPTESRVYHLLVEEEISDRENQESVFEEVMDPCFSAKRRKIFIESSSDQEET EVNFTQKLIDLEHMLFERHKQEEQDRLLALQLQKEVDKEQMVPNRQKGSPDQYQLRTPSP PDRLLNRQRKNSKDRNSLQQTNADHSKSPRNTKGDYWEPFKNTWKDSVNGTKMPTSTQDN CNVSKSAYTVQHRKSQRSIVQMFQR >ENSMUSP00000115807.1 pep:known chromosome:GRCm38:16:32277459:32289194:1 gene:ENSMUSG00000014074.17 transcript:ENSMUST00000155649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf168 description:ring finger protein 168 [Source:MGI Symbol;Acc:MGI:1917488] MAAPKTSIPSLAECQCGICMEILLEPVTLPCNHTLCNPCFQSTVEKANLCCPFCRRRVSS WTRYHTRRNSLVNTDLWEIIQKHYAKECKLRISGQESKEIIDECQPVRRLSEPGELRREY EEEISRVEAERQASKEEENKASEEYIQRLLAEEEEEEKRQREKRRSEMEEQLRGDEELAR SLSTSIFQKIRSSHKQVP >ENSMUSP00000126484.1 pep:known chromosome:GRCm38:16:32277461:32301434:1 gene:ENSMUSG00000014074.17 transcript:ENSMUST00000171474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf168 description:ring finger protein 168 [Source:MGI Symbol;Acc:MGI:1917488] MKMAAPKTSIPSLAECQCGICMEILLEPVTLPCNHTLCNPCFQSTVEKANLCCPFCRRRV SSWTRYHTRRNSLVNTDLWEIIQKHYAKECKLRISGQESKEIIDECQPVRRLSEPGELRR EYEEEISRVEAERQASKEEENKASEEYIQRLLAEEEEEEKRQREKRRSEMEEQLRGDEEL ARSLSTSINSNYERNTLASPLSSRKSDPVTNKSQKKNTSKQKTFGDIQKYLSPKLKPGTA LACKAELEEDICKSKETDRSDTKSPVLQDTEIEKNIPTLSPQTCLETQEQGSESSAGIPG PQLCVGDTKESLEGKVETVSTSPDDLCIVNDDGPRATVFYSNEAAVNSSSKIENEEYSVT GVPQLTGGNRVPTESRVYHLLVEEEISDRENQESVFEEVMDPCFSAKRRKIFIESSSDQE ETEVNFTQKLIDLEHMLFERHKQEEQDRLLALQLQKEVDKEQMVPNRQKGSPDQYQLRTP SPPDRLLNRQRKNSKDRNSLQQTNADHSKSPRNTKGDYWEPFKNTWKDSVNGTKMPTSTQ DNCNVSKSAYTVQHRKSQRSIVQMFQR >ENSMUSP00000108126.3 pep:known chromosome:GRCm38:17:75537086:75551946:-1 gene:ENSMUSG00000002017.9 transcript:ENSMUST00000112507.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam98a description:family with sequence similarity 98, member A [Source:MGI Symbol;Acc:MGI:1919972] MECDLMETDILESLEDLGYKGPLLDDGALLQAVSAGAASPEFTKLCAWLVSELRVLCKLE ENVQATNSPSEAEEFQLEVSGLLGEMNCPYPSLTSGDVTKRLLVEKNCLLLLTYLISELE AARMLCVNAPPKKAQEGGGSEVFQELKGICIALGMSKPPANITMFQFFSGIEKKLKETLA KVPPNHVGKPLLKKPMGPAHWEKIEAINQAIANEYEVRRKLLIKRLDVTVQSFGWSDRAK SQTDKLAKVYQPKRSLLSPKGKVSVAHLLAARQDLSKILRTSSGSIREKTACAINKVLMG RVPDRGGRPNEIEPPPPEMPPWQKRQDGPQQQAGGRGGGRGGYEHSSYGGRGGHEQGGRG GRGSYDHGGRGGGRGNKHQGGWTDGGSGSGGGYQDGAYRDSGFQPGGYHGGHSGGYQAGG YGGFQTSSYTGSGYQGGGYQQDNRYQDGGHHGERGSGRGGRGGRGGRGGRGSQGGGWGGR GSQTYHQGGQFEQHFQHGGYQYSHSGFGQGRHYTS >ENSMUSP00000110330.2 pep:known chromosome:GRCm38:X:64151405:64152198:-1 gene:ENSMUSG00000079579.3 transcript:ENSMUST00000114682.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6760 description:predicted gene 6760 [Source:MGI Symbol;Acc:MGI:3643199] MEEQPTQSNTLEKNEGEGPVQRQNKRDEKKEKIIRRVKEVLAQSPNKDKNATVVIVICYR GKKKRNPSQLEKNEGTQDHSSSPNNTQ >ENSMUSP00000018066.6 pep:known chromosome:GRCm38:13:27196659:27203749:1 gene:ENSMUSG00000017922.13 transcript:ENSMUST00000018066.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl3c1 description:prolactin family 3, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:1351649] MQLSLTQARTWKGLFLLVSCMFLWVYVTATRYDRKSNEEIYDNLLSSSRHIHRVAKKMYK ILDSKLTEGVCFRNKNTKMCQTISTHSVKKNEDLLKVIINVSNFWTYPLKMLIPAVLTHL DSDDGMMTRAVELNYGNKVVLEGAKALLSRIQPGIEENNEPDRWSDLRELRSSKKSKHLL AFCKFFYCLRKDTKMVTCYLRALKHGKIKTIC >ENSMUSP00000105993.1 pep:known chromosome:GRCm38:13:27198903:27203749:1 gene:ENSMUSG00000017922.13 transcript:ENSMUST00000110364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl3c1 description:prolactin family 3, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:1351649] MFLWVYVTATRYDRKSNEEIYDNLLSSSRHIHRVAKKMYKILDSKLTEGVCFRNKNTKMC QTISTHSVKKNEDLLKVIINVSNFWTYPLKMLIPAVLTHLDSDDGMMTRAVELNYGNKVV LEGAKALLSRIQPGIEENNEPDRWSDLRELRSSKKSKHLLAFCKFFYCLRKDTKMVTCYL RALKHGKIKTIC >ENSMUSP00000136890.1 pep:known chromosome:GRCm38:13:27199353:27203612:1 gene:ENSMUSG00000017922.13 transcript:ENSMUST00000178072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl3c1 description:prolactin family 3, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:1351649] MFLWVYVTATRYDRKSNEEIYDNLLSSSRHIHRVAKKMYKILDSKLTEGVCFRNKNTKMC QTISTHSVKKNEDLLKVIINVSNFWTYPLKMLIPAVLTHLDSDDGMMTRAVELNYGNKVV LEGAKALLSRIQPGIEENNEPDRWSDLRELRSSKKSKHLLAFCKFFYCLRKDTKMVTCYL RALKHGKIKTIC >ENSMUSP00000134414.1 pep:known chromosome:GRCm38:11:53612460:53617338:-1 gene:ENSMUSG00000000869.12 transcript:ENSMUST00000150568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il4 description:interleukin 4 [Source:MGI Symbol;Acc:MGI:96556] MELQRLFRAFRCLDSSISCTMNESKSTSLKDFLESLKSIMQMDYS >ENSMUSP00000133617.1 pep:known chromosome:GRCm38:11:53612460:53618352:-1 gene:ENSMUSG00000000869.12 transcript:ENSMUST00000140684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il4 description:interleukin 4 [Source:MGI Symbol;Acc:MGI:96556] MELQRLFRAFRCLDSSISCTMNESKSTSLKDFLESLKSIMQMDYS >ENSMUSP00000000889.6 pep:known chromosome:GRCm38:11:53612460:53618669:-1 gene:ENSMUSG00000000869.12 transcript:ENSMUST00000000889.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il4 description:interleukin 4 [Source:MGI Symbol;Acc:MGI:96556] MGLNPQLVVILLFFLECTRSHIHGCDKNHLREIIGILNEVTGEGTPCTEMDVPNVLTATK NTTESELVCRASKVLRIFYLKHGKTPCLKKNSSVLMELQRLFRAFRCLDSSISCTMNESK STSLKDFLESLKSIMQMDYS >ENSMUSP00000121027.1 pep:known chromosome:GRCm38:3:114030540:114108324:1 gene:ENSMUSG00000027966.19 transcript:ENSMUST00000123619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col11a1 description:collagen, type XI, alpha 1 [Source:MGI Symbol;Acc:MGI:88446] MEPWSRWKTKRWIWDLTISTLALTFLFQAREVRGAAPVDILKALDFHNSPVGISKTTGFC TNRKNSKDPDVAYRVTEEAQISAPTKQLFPGGIFPQDFSILFTIKPKKGTQAFLLSLYNE HGIQQLGVEVGRSPVFLFEDHTGKPTPENYPLFSTVNIADGKWHRVAISVEKKTVTMIVD CKKKITKPLDRSERSIVDTNGIMVFGTRILETDVFQGDIQQFLITGDPKAAYDYCDHYSP DCDLTSKAAQAQEPHIDEKKKSNYTKKKRTLTTNSKKKTKKFTSPKSEKFASKKKKRNPA TAKAKLGVQANIVDDFQDYNYGTMEPYQTETPRRVSGSNEPNPVEEGFTEEYLTGEDYDV QRNTSEDILYGNKGVDGRDSDLLVDGDLGEYDFYEYKEYEERTTTSPNEEFGPGVPAETD FTETSINGHGAYGEKGQKGEPAVVEPGMLVEGPPGPAGPAGLMGPPGLQGPSGLPGDPGD RGPPGRPGLPGADGLPGPPGTMLMLPFRYGGDGSKGPTISAQEAQAQAILQQARIALRGP PGPMGLTGRPGPVGGPGSAGAKGESGDP >ENSMUSP00000089793.5 pep:known chromosome:GRCm38:3:114030540:114220718:1 gene:ENSMUSG00000027966.19 transcript:ENSMUST00000092155.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col11a1 description:collagen, type XI, alpha 1 [Source:MGI Symbol;Acc:MGI:88446] MEPWSRWKTKRWIWDLTISTLALTFLFQAREVRGAAPVDILKALDFHNSPVGISKTTGFC TNRKNSKDPDVAYRVTEEAQISAPTKQLFPGGIFPQDFSILFTIKPKKGTQAFLLSLYNE HGIQQLGVEVGRSPVFLFEDHTGKPTPENYPLFSTVNIADGKWHRVAISVEKKTVTMIVD CKKKITKPLDRSERSIVDTNGIMVFGTRILETDVFQGDIQQFLITGDPKAAYDYCDHYSP DCDLTSKAAQAQEPHIDEYAPEDIIEYDYEYGETDYKEAESVTEMPTFTEETVAQTEANI VDDFQDYNYGTMEPYQTETPRRVSGSNEPNPVEEGFTEEYLTGEDYDVQRNTSEDILYGN KGVDGRDSDLLVDGDLGEYDFYEYKEYEERTTTSPNEEFGPGVPAETDFTETSINGHGAY GEKGQKGEPAVVEPGMLVEGPPGPAGPAGLMGPPGLQGPSGLPGDPGDRGPPGRPGLPGA DGLPGPPGTMLMLPFRYGGDGSKGPTISAQEAQAQAILQQARIALRGPPGPMGLTGRPGP VGGPGSAGAKGESGDPGPQGPRGVQGPPGPTGKPGKRGRPGADGGRGMPGESGSKGDRGF DGLPGLPGDKGHRGERGPQGPPGLPGDDGMRGEDGEIGPRGLPGEAGPRGLLGPRGTPGP PGQPGIGGIDGPQGPKGNMGPQGEPGPPGQQGNPGPQGLPGPQGPIGPPGEKGPQGKPGL AGLPGADGPPGHPGKEGQSGEKGALGPPGPQGPIGYPGPRGVKGADGVRGLKGSKGEKGE DGFPGFKGDMGLKGDRGEVGQVGPRGEDGPEGPKGRAGPTGDPGPSGQAGEKGKLGVPGL PGYPGRQGPKGSTGFPGFPGANGEKGARGIAGKPGPRGQRGPTGPRGSRGARGPTGKPGP KGTSGGDGPPGPPGERGPQGPQGPVGFPGPKGPPGPAGKDGLPGHPGQRGETGFQGKTGP PGPGGVVGPQGPTGETGPIGERGHPGPPGPPGEQGLPGAAGKEGAKGDPGPQGISGKDGP AGIRGFPGERGLPGAQGAPGLKGGEGPQGPQGPVGSPGERGSAGTAGPIGLPGRPGPQGP PGPAGEKGAPGEKGPQGPAGRDGVQGPVGLPGPAGPAGSPGEDGDKGEIGEPGQKGSKGD KGENGPPGPPGLQGPVGAPGIAGGDGEPGPRGQQGMFGQKGDEGARGFPGLPGPIGLQGL PGPPGEKGENGDVGPMGPPGPPGPRGPQGPNGADGPQGPPGSIGSVGVVGDKGEPGEAGN PGPPGEAGSGGLKGERGEKGEAGPPGAAGPAGIKGPPGDDGPKGNPGPVGFPGDPGPPGE PGPAGQDGVGGDKGEDGDPGQPGPPGPSGEAGPPGPPGKRGPPGASGSEGRQGEKGAKGE AGAEGPPGKTGPVGPQGPSGKPGPEGLRGIPGPVGEQGLPGAAGQDGPPGPLGPPGLPGL KGDPGSKGEKGHPGLIGLIGPPGEQGEKGDRGLPGTQGSPGAKGDGGIPGPAGPIGPPGP PGLPGPAGPKGNKGSSGPTGQKGDSGMPGPPGPPGPPGEVIQPLPILSPKKTRRHTESIQ GDAGDNILDYSDGMEEIFGSLNSLKQDIEHMKFPMGTQTNPARTCKDLQLSHPDFPDGEY WIDPNQGCSGDSFKVYCNFTAGGETCIYPDKKSEGVRISSWPKEKPGSWYSEFKRGKLLS YLDVEGNSINMVQMTFLKLLTASARQNFTYNCHQSAAWYDVLSGSYDKALRFLGSNDEEM SYENNPHIKALYDGCASRKGYEKTVIEINTPKIDQVPIIDVMINDFGDQNQKFGFEVGPA CFLG >ENSMUSP00000138879.1 pep:known chromosome:GRCm38:3:114165278:114219317:1 gene:ENSMUSG00000027966.19 transcript:ENSMUST00000184978.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Col11a1 description:collagen, type XI, alpha 1 [Source:MGI Symbol;Acc:MGI:88446] KGENGPPGPPGLQGPVGAPGIAGGDGEPGPRGQQGMFGQKGDEGARGFPGLPGPIGLQGL PGPPGEKGENGDVGPMGPPGPPGPRGPQGPNGADGPQGPPGSIGSVGVVGDKGEPGEAGN PGPPGEAGSGGLKGERGEKGEAGPPGAAGPAGIKGPPGDDGPKGNPGPVGFPGDPGPPGE PGPAGQDGVGGDKGEDGDPGQPGPPGPSGEAGPPGPPGKRGPPGASGSEGRQGEKGAKGE AGAEGPPGKTGPVGPQGPSGKPGPEGLRGIPGPVGEQGLPGAAGQDGPPGPLGPPGLPGL KGDPGSKGEKGHPGLIGLIGPPGEQGEKGDRGLPGTQGSPGAKGDGGIPGPAGPIGPPGP PGLPGPAGPKGNKGSSGPTGQKGDSGMPGPPGPPGPPGEVIQPLPILSPKKTRRHTESIQ GDAGDNILDYSDGMEEIFGSLNSLKQDIEHMKFPMGTQTNPARTCKDLQLSHPDFPDGEY WIDPNQGCSGDSFKVYCNFTAGGETCIYPDKKSEGMYSLGTCLLSKILWLSMTQHS >ENSMUSP00000099520.4 pep:known chromosome:GRCm38:4:148000722:148002079:1 gene:ENSMUSG00000041616.9 transcript:ENSMUST00000103230.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nppa description:natriuretic peptide type A [Source:MGI Symbol;Acc:MGI:97367] MGSFSITLGFFLVLAFWLPGHIGANPVYSAVSNTDLMDFKNLLDHLEEKMPVEDEVMPPQ ALSEQTEEAGAALSSLPEVPPWTGEVNPPLRDGSALGRSPWDPSDRSALLKSKLRALLAG PRSLRRSSCFGGRIDRIGAQSGLGCNSFRYRR >ENSMUSP00000044072.8 pep:known chromosome:GRCm38:5:100679484:100719716:-1 gene:ENSMUSG00000035273.14 transcript:ENSMUST00000045617.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpse description:heparanase [Source:MGI Symbol;Acc:MGI:1343124] MLRLLLLWLWGPLGALAQGAPAGTAPTDDVVDLEFYTKRPLRSVSPSFLSITIDASLATD PRFLTFLGSPRLRALARGLSPAYLRFGGTKTDFLIFDPDKEPTSEERSYWKSQVNHDICR SEPVSAAVLRKLQVEWPFQELLLLREQYQKEFKNSTYSRSSVDMLYSFAKCSGLDLIFGL NALLRTPDLRWNSSNAQLLLDYCSSKGYNISWELGNEPNSFWKKAHILIDGLQLGEDFVE LHKLLQRSAFQNAKLYGPDIGQPRGKTVKLLRSFLKAGGEVIDSLTWHHYYLNGRIATKE DFLSSDVLDTFILSVQKILKVTKEITPGKKVWLGETSSAYGGGAPLLSNTFAAGFMWLDK LGLSAQMGIEVVMRQVFFGAGNYHLVDENFEPLPDYWLSLLFKKLVGPRVLLSRVKGPDR SKLRVYLHCTNVYHPRYQEGDLTLYVLNLHNVTKHLKVPPPLFRKPVDTYLLKPSGPDGL LSKSVQLNGQILKMVDEQTLPALTEKPLPAGSALSLPAFSYGFFVIRNAKIAACI >ENSMUSP00000108529.1 pep:known chromosome:GRCm38:5:100684581:100719705:-1 gene:ENSMUSG00000035273.14 transcript:ENSMUST00000112908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpse description:heparanase [Source:MGI Symbol;Acc:MGI:1343124] MLRLLLLWLWGPLGALAQGAPAGTAPTDDVVDLEFYTKRPLRSVSPSFLSITIDASLATD PRFLTFLGSPRLRALARGLSPAYLRFGGTKTDFLIFDPDKEPTSEERSYWKSQVNHDICR SEPVSAAVLRKLQVEWPFQELLLLREQYQKEFKNSTYSRSSVDMLYSFAKCSGLDLIFGL NALLRTPDLRWNSSNAQLLLDYCSSKGYNISWELGNEPNSFWKKAHILIDGLQLGEDFVE LHKLLQRSAFQNAKLYGPDIGQPRGKTVKLLRSFLKAGGEVIDSLTWHHYYLNGRIATKE DFLSSDVLDTFILSVQKILKVTKEITPGKKVWLGETSSAYGGGAPLLSNTFAAGFMWLDK LGLSAQMGIEVVMRQVFFGAGNYHLVDENFEPLPDYWLSLLFKKLVGPRVLLSRVKGPDR SKLRVYLHCTNVYHPRYQEGDLTLYVLNLHNVTKHLKVPPPLFRKPVDTYLLKPSGPDGL LSK >ENSMUSP00000095410.3 pep:known chromosome:GRCm38:1:26681814:26687460:-1 gene:ENSMUSG00000073722.3 transcript:ENSMUST00000097801.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931408C20Rik description:RIKEN cDNA 4931408C20 gene [Source:MGI Symbol;Acc:MGI:3588222] MENIFLLMNSIIHLWKIPCSMSIVVDISFAIMCGVGLFYLLIPFLKEYPESPPPGREKNT PKVVLREQNMARKKTATIKAFRSCQKNSQDTQNVPQPMERPIHCPLLDSSPHPLWYSKEK LNPLPLPQLFSYLKFLEVLIQQKFNQLLWGISSVISEAVVATAWASRKSSSGHKSVRFTD ACDPVQALPLAKEPPQLFQDQHLPHQLLTPSLVGVTGVQKLENLPSSIPNQSRPSSQRRA NRRAHPTAEVGIQTSLPNVKECSTHRLDWKDIAGCNVQNCQAAISQPTDNSSRGTLPVKT ITSAFILPEHYQMIHHHKKRQPEEKAINEGKQKGTHVRFCPSSELTQLQGTFQPNGDGYC KNLPEPNQPGQPSILNSKSYKLSQMAGSVPTGVPLKRALANSDMLSTFKKNPSVGAKDLP CTSSCTPGNSLESRNSVLRTDDLLSINTSKDLSFLDSNTKMKLEFSIMRHFEKCRRRLLG VSKAEYYPKAALILEKLHHQDPGGIRVETVSSSRLERPLFEHSPQETQKAPPTAANHGPL TSHLDQQQRNQNVQPHTFCFHAKPQQNRTIQGTGRGYLQSDTSPGMGKHAPWKQSEDVPS GHSCLSATTVGPEYRVPPSMAKLTNTLEVKEDLPPAWRVSLGSSEIPNGQAINITLKDFE SLDAKRSPGHLQKPTAQNSGDLVLKIKLDNNIDLKPNEHPQSWPVSHHPDAPNTVHPAKV SLPSQNSLPNFQNGWQNLKTSQGLGNLMSSDQSVETREFRFHKDRIEAKNDNVFPPLEVR TTVLKSESISQGERLGRVSPSIPSSIQLKDTAKLESQSSLNIAGKREAPAISSSKNITRN IAQYESLSKTYKGQGDSLRNEIPRPVTGLQEVVDRENLFYSTAVELQVLINSLLQNLEKN VDDTSKLPIDPVTQGQEDKVESLAFQMGDSTYTSECLYDPNHSRPARRMSSGHTSSEKHN HTFSFSGIGVKAQSGIDAQRPCDKHLKKAKRRMDFVQLPTPMGNDYPCCYRGDGNKQESG LANQRSCDLGQSRRKIGMGDSPHGGSKGHKHSFRYTGIRDKQEPVVDQKIFDPHQNTNKG VDCDPLMILKDNLPVKDRGAGVQEQSALAAQGPYNPDEMRAKRGKECSPHISPEMHNHSL RYREIRDESQPNVNAQRACDQHLNSQKRRMAFDNLLTPKNNHSCQHRVTRDKQQLGLDDH KFCDPEQIRMSGMGPCPQRSPKEHSLSFRYKEFEEKVPSCVNTQGTHDEHPNSVKRRGFD HVPTATGNNHSHNYKVIRGKQQSGRADLRACDPGRIKKKSGMAGCTHTCPDGYNFLFRYR IIGNNEQPGIVLRACDPHQNTEERMCHGQPVSPNVNHLVKHRKNGGQHQSGVTAQGASDM R >ENSMUSP00000030452.6 pep:known chromosome:GRCm38:4:116708509:116715099:1 gene:ENSMUSG00000028689.14 transcript:ENSMUST00000030452.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc163 description:coiled-coil domain containing 163 [Source:MGI Symbol;Acc:MGI:1915644] MRFSVQLMKIWPGLSRVCIPFEFPLQPEAQAQQPWALKTPTLGERLSCTEAHSSSLWDEV TKLRSQLQAQAQVTEALKHSVQSLLKDREQHMFKISALEASLKLLQEGPKGRALLEQCLE GLKKELQGLRSQVQELVQNQMKARPGKLGPASGFHQELQSEHQVLWEESEILREELKLLR DQLSQHQELLLEQIAKGPQVQARSWKKLEHLQSDQMDRVHTLEVSSTEAHDAQKEEPYLL RTPEQLPS >ENSMUSP00000102070.2 pep:known chromosome:GRCm38:4:116708571:116715103:1 gene:ENSMUSG00000028689.14 transcript:ENSMUST00000106462.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc163 description:coiled-coil domain containing 163 [Source:MGI Symbol;Acc:MGI:1915644] MFKISALEASLKLLQEGPKGRALLEQCLEGLKKELQGLRSQVQELVQNQMKARPGKLGPA SGFHQELQSEHQVLWEESEILREELKLLRDQLSQHQELLLEQIAKGPQVQARSWKKLEHL QSDQMDRVHTLEVSSTEAHDAQKEEPYLLSSEVSLPDCGRSWELLKKLDRELQNNSLPNL EPSSSQFHAWSLGQEDLFLEGPTIFQSDL >ENSMUSP00000118352.1 pep:known chromosome:GRCm38:4:116708596:116710142:1 gene:ENSMUSG00000028689.14 transcript:ENSMUST00000138305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc163 description:coiled-coil domain containing 163 [Source:MGI Symbol;Acc:MGI:1915644] MFKISALEASLKLLQEGPKGRA >ENSMUSP00000120954.1 pep:known chromosome:GRCm38:4:116708598:116709803:1 gene:ENSMUSG00000028689.14 transcript:ENSMUST00000125671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc163 description:coiled-coil domain containing 163 [Source:MGI Symbol;Acc:MGI:1915644] MNRSPSWSDQLHAVLSSTDENMARIKQSLYPFRVSSTGDLADTWTSHRLPPQPEAQAQQP WALKTPTLGERLSCTEAHSSSLWDEVTKLRSQLQAQAQVTEALKHSVQSLLKDREQHMFK ISAL >ENSMUSP00000120572.1 pep:known chromosome:GRCm38:4:116708609:116710280:1 gene:ENSMUSG00000028689.14 transcript:ENSMUST00000130828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc163 description:coiled-coil domain containing 163 [Source:MGI Symbol;Acc:MGI:1915644] MNRSPSWSDQLHAVLSSTDENMARIKSLYPFRVSSTGDLADTWTSHRLPPQPEAQAQQPW ALKTPTLGERLSCTEAHSSSLWDEVTKLRSQLQAQAQVTEALKHSVQSLLKDREQHMFKI SALEASLKLLQEGPKGRALLEQCLEGLKKELQGLRSQVQELVQNQMKARPGKLGPASGFH QELQ >ENSMUSP00000102071.1 pep:known chromosome:GRCm38:4:116708634:116715104:1 gene:ENSMUSG00000028689.14 transcript:ENSMUST00000106463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc163 description:coiled-coil domain containing 163 [Source:MGI Symbol;Acc:MGI:1915644] MNRSPSWSDQLHAVLSSTDENMARIKQSLYPFRVSSTGDLADTWTSHRLPPQPEAQAQQP WALKTPTLGERLSCTEAHSSSLWDEVTKLRSQLQAQAQVTEALKHSVQSLLKDREQHMFK ISALEASLKLLQEGPKGRALLEQCLEGLKKELQGLRSQVQELVQNQMKARPGKLGPASGF HQELQSEHQVLWEESEILREELKLLRDQLSQHQELLLEQIAKGPQVQARSWKKLEHLQSD QMDRVHTLEVSSTEAHDAQKEEPYLLSSEVSLPDCGRSWELLKKLDRELQNNSLPNLEPS SSQFHAWSLGQEDLFLEGPTIFQSDL >ENSMUSP00000114263.1 pep:known chromosome:GRCm38:4:116708704:116709506:1 gene:ENSMUSG00000028689.14 transcript:ENSMUST00000135499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc163 description:coiled-coil domain containing 163 [Source:MGI Symbol;Acc:MGI:1915644] MNRSPSWSDQLHAVLSSTDENMARIKQSLYPFRVSSTDRPCSCPFPIPTIGDLADTWTSH RLPPQPEAQAQQP >ENSMUSP00000120050.1 pep:known chromosome:GRCm38:4:116708929:116715104:1 gene:ENSMUSG00000028689.14 transcript:ENSMUST00000155391.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc163 description:coiled-coil domain containing 163 [Source:MGI Symbol;Acc:MGI:1915644] MNRSPSWSDQLHAVLSSTDENMARIKSLYPFRVSSTGDLADTWTSHRLPPQPEAQAQQPW ALKTPTLGERLSCTEAHSSSLWDEVTKLRSQLQAQAQVTEALKHSVQSLLKDREQHMFKI SALEASLKLLQEGPKGRALLEQCLEGLKKELQGLRSQVQELVQNQMKARPGKLGPASGFH QELQSEMTLLSLM >ENSMUSP00000123645.1 pep:known chromosome:GRCm38:4:116712790:116715099:1 gene:ENSMUSG00000028689.14 transcript:ENSMUST00000156206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc163 description:coiled-coil domain containing 163 [Source:MGI Symbol;Acc:MGI:1915644] XHDAQKEEPYLLRNSVPAQQSKLPLARAFTMSLSSSSSEVSLPDCGRSWELLKKLDRELQ NNSLPNLEPSSSQFHAWSLGQEDLFLEGPTIFQSDL >ENSMUSP00000102072.2 pep:known chromosome:GRCm38:4:116708930:116715103:1 gene:ENSMUSG00000028689.14 transcript:ENSMUST00000106464.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc163 description:coiled-coil domain containing 163 [Source:MGI Symbol;Acc:MGI:1915644] MNRSPSWSDQLHAVLSSTDENMARIKQSLYPFRVSSTGDLADTWTSHRLPPQPEAQAQQP WALKTPTLGERLSCTEAHSSSLWDEVTKLRSQLQAQAQVTEALKHSVQSLLKDREQHMFK ISALEASLKLLQEGPKGRALLEQCLEGLKKELQGLRSQVQELVQNQMKARPGKLGPASGF HQELQSEHQVLWEESEILREELKLLRDQLSQHQELLLEQIAKGPQVQARSWKKLEHLQSD QMDRVHTLEVSSTEAHDAQKEEPYLLSSEVSLPDCGRSWELLKKLDRELQNNSLPNLEPS SSQFHAWSLGQEDLFLEGPTIFQSDL >ENSMUSP00000040244.5 pep:known chromosome:GRCm38:6:34354119:34368463:1 gene:ENSMUSG00000029762.6 transcript:ENSMUST00000038406.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1b8 description:aldo-keto reductase family 1, member B8 [Source:MGI Symbol;Acc:MGI:107673] MATFVELSTKAKMPIVGLGTWKSPPNQVKEAVKAAIDAGYRHIDCAYAYCNENEVGEAIQ EKIKEKAVQREDLFIVSKLWPTCFEKKLLKEAFQKTLTDLKLDYLDLYLIHWPQGLQPGK ELFPKDDQGRILTSKTTFLEAWEGMEELVDQGLVKALGVSNFNHFQIERLLNKPGLKHKP VTNQVECHPYLTQEKLIQYCHSKGISVTAYSPLGSPDRPSAKPEDPSLLEDPKIKEIAAK HEKTSAQVLIRFHIQRNVVVIPKSVTPSRIQENIQVFDFQLSDEEMATILSFNRNWRACL LPETVNMEEYPYDAEY >ENSMUSP00000140808.1 pep:known chromosome:GRCm38:1:156653617:156674325:-1 gene:ENSMUSG00000060519.11 transcript:ENSMUST00000156861.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor3a description:torsin family 3, member A [Source:MGI Symbol;Acc:MGI:1353652] MFLGALWLLLLLPLRPPGAQGQEADEPTPWPSVKGLKEQLRKAGALSKRYWELFSCTLWP DHCEDQETPVPPLGWSLPLWGRRSLDVLTAWLCRFQDCCSGGGDCRISNNLTGWS >ENSMUSP00000078572.4 pep:known chromosome:GRCm38:1:156653617:156674356:-1 gene:ENSMUSG00000060519.11 transcript:ENSMUST00000079625.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor3a description:torsin family 3, member A [Source:MGI Symbol;Acc:MGI:1353652] MFLGALWLLLLLPLRPPGAQGQEADEPTPWPSVKGLKEQLRKAGALSKRYWELFSCTLWP DHCEDQETPVPPLGWSLPLWGRRSLDVLTAWLCRFQDCCSGGGDCRISNNLTGLESDLRV RLHGQHLASKLVLRAVKGYLEMPQVGKALALSFHGWSGTGKNFLARILMDNLYRDGMRSD CVKMFISTFHFPHPKYVDTYKEELQRQMQETQWRCHQSTFVFDEAEKLHPGLLELLEPYL EPRSPEARGVEAPRAIFLFLSNLGGSVINEVVLSLLKAGWSREEITTQHLEVPLQAEIME AADSSFGSSGLLKKHLIDHFIPFLPLEYCHVRLCVRDAFLGQDLPYTEETLDEIAKMMTY VPEEERLFSSQGCKSISQRINLFLP >ENSMUSP00000137828.1 pep:known chromosome:GRCm38:1:156653617:156674356:-1 gene:ENSMUSG00000060519.11 transcript:ENSMUST00000150557.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor3a description:torsin family 3, member A [Source:MGI Symbol;Acc:MGI:1353652] MFLGALWLLLLLPLRPPGAQGQEADEPTPWPSVKGLKEQLRKAGALSKRYWELFSCTLWP DHCEDQETPVPPLGWSLPLWGRRSLDVLTAWLCRFQDCCSGGGDCRISNNLTGLESDLRV RLHGQHLASKLVLRAVKGYLEMPQVGKALALSFHGWSGTGKNFLARILMDNLYRDGMRSD CVKMFISTFHFPHPKYVDTYKRQPRAPGGKRFPEHPGTVSMTVAGRVAEADAGDAVALPP EHVRL >ENSMUSP00000113984.1 pep:known chromosome:GRCm38:1:156655023:156674314:-1 gene:ENSMUSG00000060519.11 transcript:ENSMUST00000122242.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor3a description:torsin family 3, member A [Source:MGI Symbol;Acc:MGI:1353652] MFLGALWLLLLLPLRPPGAQGQEADEPTPWPSVKGLKEQLRKAGALSKRYWELFSCTLWP DHCEDQETPVPPLGWSLPLWGRRSLDVLTAWLCRFQDCCSGGGDCRISNNLTGLESDLRV RLHGQHLASKLVLRAVKGYLEMPQVGKALALSFHGWSGTGKNFLARILMDNLYRDGMRSD CVKMFISTFHFPHPKYVDTYKEELQRQMQETQWRCHQSTFVFDEAEKLHPGLLELLEPYL EPRSPEARGVEAPRAIFLFLSNLGGSVINEVVLSLLKAGWSREEITTQHLEVPLQAEIME AADSSFGSSGLLKKHLIDHFIPFLPLEYCHVRLCVRDAFLGQDLPYTEETLDEIAKMMTY VPEEERLFSSQGCKSISQRINLFLP >ENSMUSP00000140129.1 pep:known chromosome:GRCm38:1:156666692:156674335:-1 gene:ENSMUSG00000060519.11 transcript:ENSMUST00000190607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor3a description:torsin family 3, member A [Source:MGI Symbol;Acc:MGI:1353652] MFLGALWLLLLLPLRPPGAQGQEADEPTPWPSVKGLKEQLRKAGALSKRYWELFSCTLWP DHCEDQETPVPPLGWSLPLWGRRSLDVLTAWLCRFQDCCSGGGDCRISNNLTGRVAEADA GDAVALPPEHVRL >ENSMUSP00000140079.1 pep:known chromosome:GRCm38:1:156655023:156674314:-1 gene:ENSMUSG00000060519.11 transcript:ENSMUST00000188964.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor3a description:torsin family 3, member A [Source:MGI Symbol;Acc:MGI:1353652] MFLGALWLLLLLPLRPPGAQGQEADEPTPWPSVKGLKEQLRKAGALSKRYWELFSCTLWP DHCEDQETPVPPLGWSLPLWGRRSLDVLTAWLCRFQDCCSGGGDCRISNNLTGLESDLRV RLHGQHLASKLVLRAVKGYLEMPQVGKALALSFHGWSGTGKNFLARILMDNLYRDGMRSD CVKMFISTFHFPHPKYVDTYKEELQRQMQETQWRCHQSTFVFDEAEKLHPGLLELLEPYL EPRSPEARGVEAPRAIFLFLSNLGGSVINEVVLSLLKAGWSREEITTQHLEVPLQAEIME AADSSFGSSGLLKKHLIDHFIPFLPLEYCHVRLCVRDAFLGQDLPYTEETLDEIAKMMTY VPEEERLFSSQGCKSISQRINLFLP >ENSMUSP00000093173.5 pep:known chromosome:GRCm38:8:107046289:107056689:-1 gene:ENSMUSG00000031916.17 transcript:ENSMUST00000095517.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog8 description:component of oligomeric golgi complex 8 [Source:MGI Symbol;Acc:MGI:2142885] MAAALPLQPSTTASATTTATAVALGEVEDEGLLASLFRDRFPEAQWREKPDVGRYLRELS GSGLDRLRREPERLAEERAQRLQQTRDLAFANYKTFIRGAECTERIHRLFGDVEASLGRL LDRLPRFQQSCRNFVKEAEEISSSRRMNTLTLNRHTEILEILEIPQLMDTCVRNSYHEEA LELAAYVRRLERKYSSIPVIQGIVNEVRQSMQLMLSQLIQQLRTNIQLPACLRVIGYLRR MDVFTEAELRVKFLQARDAWLRSILTAIPNDDPYFHITKTIEACRVHLFDIITQYRAIFS DEDPLLPPAMGEYTVNEGAIFHGWVLQKISQFLQVLETDLYRGIGGRLDSLLGQCMYFGL SFSRVGADFRGQLAPVFQRVAISTFQKAVEEAVEKFQDEMTSYTLISTAAILGSSNTPAT VPATQPGTLQPPMVLLDFPPLACFLNNILVAFNDLRLCCPVALAQDVTGTLENALTKVTK TILAFHRAEEAVFSSGEHEIFVQFCTAFLEDLVPYLNRCLQVLFPPAQIAQTLGISPTQL SKHGNLGHVNISAIQEPLAFILPKRETVFCLDEQELGPDLVAPAPELPAEQRSMEPVTEK REPGEPLPQEPMEGEPLPAEPPSEGGAIGSVPCPQPGEQP >ENSMUSP00000034391.3 pep:known chromosome:GRCm38:8:107046295:107056684:-1 gene:ENSMUSG00000031916.17 transcript:ENSMUST00000034391.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog8 description:component of oligomeric golgi complex 8 [Source:MGI Symbol;Acc:MGI:2142885] MAAALPLQPSTTASATTTATAVALGEVEDEGLLASLFRDRFPEAQWREKPDVGRYLRELS GSGLDRLRREPERLAEERAQRLQQTRDLAFANYKTFIRGAECTERIHRLFGDVEASLGRL LDRLPRFQQSCRNFVKEAEEISSSRRMNTLTLNRHTEILEILEIPQLMDTCVRNSYHEEA LELAAYVRRLERKYSSIPVIQGIVNEVRQSMQLMLSQLIQQLRTNIQLPACLRVIGYLRR MDVFTEAELRVKFLQARDAWLRSILTAIPNDDPYFHITKTIEACRVHLFDIITQYRAIFS DEDPLLPPAMGEYTVNEGAIFHGWVLQKISQFLQVLETDLYRGIGGRLDSLLGQCMYFGL SFSRVGADFRGQLAPVFQRVAISTFQKAVEEAVEKFQDEMTSYTLISTAAILGSSNTPAT VPATQPGTLQPPMVLLDFPPLACFLNNILVAFNDLRLCCPVALAQDVTGTLENALTKVTK TILAFHRAEEAVFSSGEHEIFVQFCTAFLEDLVPYLNRCLQVLFPPAQIAQTLGISPTQL SKHGNLGHVNISAIQEPLAFILPKRETVFCLDEQELGPDLVAPAPELPAEQRSMEPVTEK REPGEPLPQEPMEGEPLPAEPPSEGGAIGSVPCPQPGEQP >ENSMUSP00000137677.1 pep:known chromosome:GRCm38:8:107052908:107056498:-1 gene:ENSMUSG00000031916.17 transcript:ENSMUST00000134772.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cog8 description:component of oligomeric golgi complex 8 [Source:MGI Symbol;Acc:MGI:2142885] XRYLRELSGSGLDRLRREPERLAEERAQRLQQTRDLAFANYKTFIRGAECTERIHRLFGD VEASLGRLLDRLPRFQQSCRCGWPDGDGRVIVSARTLSS >ENSMUSP00000073875.2 pep:known chromosome:GRCm38:2:84330626:84425411:-1 gene:ENSMUSG00000059588.13 transcript:ENSMUST00000074262.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcrl description:calcitonin receptor-like [Source:MGI Symbol;Acc:MGI:1926944] MDKKHILCFLVLLPLNMALISAESEEGVNQTDLGVTRNKIMTAQYECYQKIMQDPIQQAE GLYCNRTWDGWLCWNDVAAGTESMQYCPDYFQDFDPSEKVTKICDQDGHWFRHPDSNRTW TNYTLCNNSTHEKVKTALNLFYLTIIGHGLSIASLIISLIIFFYFKSLSCQRITLHKNLF FSFICNSIVTIIHLTAVANNQALVATNPVSCKVSQFIHLYLMGCNYFWMLCEGVYLHTLI VVAVFAEKQHLMWYYFLGWGFPLLPACIHAIARSLYYNDNCWISSDTHLLYIIHGPICAA LLVNLFFLLNIVRVLITKLKVTHQVESNLYMKAVRATLILVPLLGIEFVLFPWRPEGKVA EEVYDYVMHILMHFQGLLVATIFCFFNGEVQAILRRNWNQYKIQFGNGFSHSDALRSASY TVSTISDMQGYSHDCPTEHLNGKSIQDIENVALKSENMYDLVM >ENSMUSP00000097527.3 pep:known chromosome:GRCm38:2:84330659:84375358:-1 gene:ENSMUSG00000059588.13 transcript:ENSMUST00000099944.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcrl description:calcitonin receptor-like [Source:MGI Symbol;Acc:MGI:1926944] MDKKHILCFLVLLPLNMALISAESEEGVNQTDLGVTRNKIMTAQYECYQKIMQDPIQQAE GLYCNRTWDGWLCWNDVAAGTESMQYCPDYFQDFDPSEKVTKICDQDGHWFRHPDSNRTW TNYTLCNNSTHEKVKTALNLFYLTIIGHGLSIASLIISLIIFFYFKSLSCQRITLHKNLF FSFICNSIVTIIHLTAVANNQALVATNPVSCKVSQFIHLYLMGCNYFWMLCEGVYLHTLI VVAVFAEKQHLMWYYFLGWGFPLLPACIHAIARSLYYNDNCWISSDTHLLYIIHGPICAA LLVNLFFLLNIVRVLITKLKVTHQVESNLYMKAVRATLILVPLLGIEFVLFPWRPEGKVA EEVYDYVMHILMHFQGLLVATIFCFFNGEVQAILRRNWNQYKIQFGNGFSHSDALRSASY TVSTISDMQGYSHDCPTEHLNGKSIQDIENVALKSENMYDLVM >ENSMUSP00000094254.5 pep:known chromosome:GRCm38:X:9033493:9034333:1 gene:ENSMUSG00000071815.6 transcript:ENSMUST00000096509.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fthl17e description:ferritin, heavy polypeptide-like 17, member E [Source:MGI Symbol;Acc:MGI:1933180] MAEAPSRMQQNYDWQCEDAINTHIQLCLYASYEYMSMAVYFDRDDVAQENFKRFFLTKSH NCQTSAEMFMHLQNKRGGCSSLQGIARPERDSWHGGFQAMECAFHMEMLINQSLLNMHEV AKEKGDPHLCHFLEQNCLDQQVDILKEMSGYLTNLRQMGAVEHNLAEYLFDKLSLS >ENSMUSP00000102228.1 pep:known chromosome:GRCm38:11:113698172:113708967:-1 gene:ENSMUSG00000018727.19 transcript:ENSMUST00000106617.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf4l description:cleavage and polyadenylation specific factor 4-like [Source:MGI Symbol;Acc:MGI:1277182] MLCPLRHEQGEKLVVCKHWLRGLCRKSDCCDFLHQYDVSKMPVCYFHSKFGNCSNKECLF LHLKPVLKLQDCPWYNQGFCKEVGPLCKYRHVHQVLCPNYFTGFCPEGPQCQFGHPKMSP PFHPSNVKAKPMVHGQRRWSLPQACSSRAHPAP >ENSMUSP00000133451.1 pep:known chromosome:GRCm38:11:113698172:113709520:-1 gene:ENSMUSG00000018727.19 transcript:ENSMUST00000173655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf4l description:cleavage and polyadenylation specific factor 4-like [Source:MGI Symbol;Acc:MGI:1277182] MEEVIAGLQGFTFAFELDVESQKGTGLLPFQGMDKSSSAVCNFFAKGLCVKGMLCPLRHE QGEKLVVCKHWLRGLCRKSDCCDFLHQYDVSKMPVCYFHSKFGNCSNKECLFLHLKPVLK LQDCPWYNQGFCKEVGPLCKYRHVHQVLCPNYFTGFCPEGPQCQFGHPKMSPPFHPSNVK LQPVNQPWDSTTSTGNTLVSPFQAKPMVHGQRRWSLPQACSSRAHPAP >ENSMUSP00000018871.1 pep:known chromosome:GRCm38:11:113698172:113710017:-1 gene:ENSMUSG00000018727.19 transcript:ENSMUST00000018871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf4l description:cleavage and polyadenylation specific factor 4-like [Source:MGI Symbol;Acc:MGI:1277182] MLCPLRHEQGEKLVVCKHWLRGLCRKSDCCDFLHQYDVSKMPVCYFHSKFGNCSNKECLF LHLKPVLKLQDCPWYNQGFCKEVGPLCKYRHVHQVLCPNYFTGFCPEGPQCQFGHCSLST SHGIQQLLLATLWCPLSRQSPWSTVRGGGVCLRPAAQGHTLHLRPPCQQSKLYPLEPSKP SLKRGSWTFS >ENSMUSP00000097819.4 pep:known chromosome:GRCm38:11:113698172:113709526:-1 gene:ENSMUSG00000018727.19 transcript:ENSMUST00000100248.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf4l description:cleavage and polyadenylation specific factor 4-like [Source:MGI Symbol;Acc:MGI:1277182] MEEVIAGLQGFTFAFELDVESQKGTGLLPFQGMDSEWRCLCPLLRSWRCCASLPHSFWVF TARDRKADFRAARAPWVPPKPSTSCLRESSSAVCNFFAKGLCVKGMLCPLRHEQGEKLVV CKHWLRGLCRKSDCCDFLHQYDVSKMPVCYFHSKFGNCSNKECLFLHLKPVLKLQDCPWY NQGFCKEGPLCKYRHVHQVLCPNYFTGFCPEGPQCQFGHPKMSPPFHPSNVKLQPVNQPW DSTTSTGNTLVSPFQAKPMVHGQRRWSLPQACSSRAHPAP >ENSMUSP00000130977.1 pep:known chromosome:GRCm38:15:84732892:84772204:1 gene:ENSMUSG00000078954.9 transcript:ENSMUST00000168811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap8 description:Rho GTPase activating protein 8 [Source:MGI Symbol;Acc:MGI:1920417] MAGLDPTLSTSHPFYDVARHGILQVAGDDRQGRRIFTFSCCRLPPLHQLNHQRLLEYLKY TLDQHVENDYTIVYFHYGLSSQNKPSLGWLQNTYKEFDRKYKKNLKALYVVHPTSLIKAL WNIFKPLISHKFGKKVTYCSNLRELREHLQCDQLLIPPEVVRYDEKLQNLHKGQPPPPTK TPPPRPPLPTQQFGVSLQYLRDKNQGELIPPVLRWTVTYLREKGLRTEGLFRRSASAQTV RQVQRLYDQGKPVNFDDYGDMHLPAVILKTFLRELPQPLLTFQAYEQILGITSVESSLRV THCRLILRSLPEHNYAVLRYLMGFLHEVSLESISNKMNSSNLACVFGLNLIWPSQGVASL SALVPLNLFTELLIEYYDKVFSCQEAPGEHTRDTVEVQQAGPVTKEFMKTGTPRASPYLS RLRIS >ENSMUSP00000132008.2 pep:known chromosome:GRCm38:15:84720052:84772207:1 gene:ENSMUSG00000078954.9 transcript:ENSMUST00000172307.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap8 description:Rho GTPase activating protein 8 [Source:MGI Symbol;Acc:MGI:1920417] MAGLDPTLSTSHPFYDVARHGILQVAGDDRQGRRIFTFSCCRLPPLHQLNHQRLLEYLKY TLDQHVENDYTIVYFHYGLSSQNKPSLGWLQNTYKEFDRKYKKNLKALYVVHPTSLIKAL WNIFKPLISHKFGKKVTYCSNLRELREHLQCDQLLIPPEVVRYDEKLQNLHKGQPPPPTK TPPPRPPLPTQQFGVSLQYLRDKNQGELIPPVLRWTVTYLREKGLRTEGLFRRSASAQTV RQVQRLYDQGKPVNFDDYGDMHLPAVILKTFLRELPQPLLTFQAYEQILGITSVESSLRV THCRLILRSLPEHNYAVLRYLMGFLHEVSLESISNKMNSSNLACVFGLNLIWPSQGVASL SALVPLNLFTELLIEYYDKVFSCQEAPGEHTRDTVEVQQAGPVTKEFMKTGTPRASPYLS RLRIS >ENSMUSP00000006029.4 pep:known chromosome:GRCm38:15:84720052:84772207:1 gene:ENSMUSG00000078954.9 transcript:ENSMUST00000006029.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap8 description:Rho GTPase activating protein 8 [Source:MGI Symbol;Acc:MGI:1920417] MAGLDPTLSTSHPFYDVARHGILQVAGDDRQGRRIFTFSCCRLPPLHQLNHQRLLEYLKY TLDQHVENDYTIVYFHYGLSSQNKPSLGWLQNTYKEFDRKYKKNLKALYVVHPTSLIKAL WNIFKPLISHKFGKKVTYCSNLRELREHLQCDQLLIPPEVVRYDEKLQNLHKGQPPPPTK TPPPRPPLPTQQFGVSLQYLRDKNQGELIPPVLRWTVTYLREKGLRTEGLFRRSASAQTV RQVQRLYDQGKPVNFDDYGDMHLPAVILKTFLRELPQPLLTFQAYEQILGITSVESSLRV THCRLILRSLPEHNYAVLRYLMGFLHEVSLESISNKMNSSNLACVFGLNLIWPSQGVASL SALVPLNLFTELLIEYYDKVFSCQEAPGEHTRDTVEVQQAGPVTKEFMKTGTPRASPYLS RLRIS >ENSMUSP00000026841.8 pep:known chromosome:GRCm38:5:30155248:30184593:1 gene:ENSMUSG00000059447.13 transcript:ENSMUST00000026841.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hadhb description:hydroxyacyl-Coenzyme A dehydrogenase/3-ketoacyl-Coenzyme A thiolase/enoyl-Coenzyme A hydratase (trifunctional protein), beta subunit [Source:MGI Symbol;Acc:MGI:2136381] MTTILTSTFRNLSTTSKWALRSSIRPLSCSSQLHSAPAVQTKSKKTLAKPNMKNIVVVEG VRIPFLLSGTSYKDLMPHDLARAALSGLLHRTNIPKDVVDYIIFGTVIQEVKTSNVAREA ALGAGFSDKTPAHTVTMACISSNQAMTTAVGLIASGQCDVVVAGGVELMSDVPIRHSRNM RKMMLDLNKAKTLGQRLSLLSKFRLNFLSPELPAVAEFSTNETMGHSADRLAAAFAVSRM EQDEYALRSHSLAKKAQDEGHLSDIVPFKVPGKDTVTKDNGIRPSSLEQMAKLKPAFIKP YGTVTAANSSFLTDGASAMLIMSEDRALAMGYKPKAYLRDFIYVSQDPKDQLLLGPTYAT PKVLEKAGLTMNDIDAFEFHEAFSGQILANFKAMDSDWFAQNYMGRKTKVGSPPLEKFNI WGGSLSLGHPFGATGCRLVMAAANRLRKDGGQYALVAACAAGGQGHAMIVEAYPK >ENSMUSP00000118296.1 pep:known chromosome:GRCm38:5:30155258:30174953:1 gene:ENSMUSG00000059447.13 transcript:ENSMUST00000123980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hadhb description:hydroxyacyl-Coenzyme A dehydrogenase/3-ketoacyl-Coenzyme A thiolase/enoyl-Coenzyme A hydratase (trifunctional protein), beta subunit [Source:MGI Symbol;Acc:MGI:2136381] MTTILTSTFRNLSTTSKWALRSSIRPLSCSSQLHSAPAVQTKSKKTLAKPNMKNIVVVEG VRIPFLLSGTSYKDLMPHDLARAALSGLLHRTNIPKDVVDYIIFGTVIQEVKTSNVAREL PAVAEFSTNETMGHSADRLAAAFAVSRMEQDEYALRSHSLAKKAQDEGHLSDI >ENSMUSP00000110431.1 pep:known chromosome:GRCm38:5:30155272:30184582:1 gene:ENSMUSG00000059447.13 transcript:ENSMUST00000114783.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hadhb description:hydroxyacyl-Coenzyme A dehydrogenase/3-ketoacyl-Coenzyme A thiolase/enoyl-Coenzyme A hydratase (trifunctional protein), beta subunit [Source:MGI Symbol;Acc:MGI:2136381] MTTILTSTFRNLSTTSKWALRSSIRPLSCSSQLHSAPAVQTKSKKTLAKPNMKNIVVVEG VRIPFLLSGTSYKDLMPHDLARAALSGLLHRTNIPKDVVDYIIFGTVIQEVKTSNVAREA ALGAGFSDKTPAHTVTMACISSNQAMTTAVGLIASGQCDVVVAGGVELMSDVPIRHSRNM RKMMLDLNKAKTLGQRLSLLSKFRLNFLSPELPAVAEFSTNETMGHSADRLAAAFAVSRM EQDEYALRSHSLAKKAQDEGHLSDIVPFKVPGKDTVTKDNGIRPSSLEQMAKLKPAFIKP YGTVTAANSSFLTDGASAMLIMSEDRALAMGYKPKAYLRDFIYVSQDPKDQLLLGPTYAT PKVLEKAGLTMNDIDAFEFHEAFSGQILANFKAMDSDWFAQNYMGRKTKVGSPPLEKFNI WGGSLSLGHPFGATGCRLVMAAANRLRKDGGQYALVAACAAGGQGHAMIVEAYPK >ENSMUSP00000110434.1 pep:known chromosome:GRCm38:5:30155272:30184589:1 gene:ENSMUSG00000059447.13 transcript:ENSMUST00000114786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hadhb description:hydroxyacyl-Coenzyme A dehydrogenase/3-ketoacyl-Coenzyme A thiolase/enoyl-Coenzyme A hydratase (trifunctional protein), beta subunit [Source:MGI Symbol;Acc:MGI:2136381] MTTILTSTFRNLSTTSKWALRSSIRPLSCSSQLHSAPAVQTKSKKTLAKPNMKNIVVVEG VRIPFLLSGTSYKDLMPHDLARAALSGLLHRTNIPKDVVDYIIFGTVIQEVKTSNVAREA ALGAGFSDKTPAHTVTMACISSNQAMTTAVGLIASGQCDVVVAGGVELMSDVPIRHSRNM RKMMLDLNKAKTLGQRLSLLSKFRLNFLSPELPAVAEFSTNETMGHSADRLAAAFAVSRM EQDEYALRSHSLAKKAQDEGHLSDIVPFKVPGKDTVTKDNGIRPSSLEQMAKLKPAFIKP YGTVTAANSSFLTDGASAMLIMSEDRALAMGYKPKAYLRDFIYVSQDPKDQLLLGPTYAT PKVLEKAGLTMNDIDAFEFHEAFSGQILANFKAMDSDWFAQNYMGRKTKVGSPPLEKFNI WGGSLSLGHPFGATGCRLVMAAANRLRKDGGQYALVAACAAGGQGHAMIVEAYPK >ENSMUSP00000142868.1 pep:known chromosome:GRCm38:5:30155303:30176891:1 gene:ENSMUSG00000059447.13 transcript:ENSMUST00000197109.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hadhb description:hydroxyacyl-Coenzyme A dehydrogenase/3-ketoacyl-Coenzyme A thiolase/enoyl-Coenzyme A hydratase (trifunctional protein), beta subunit [Source:MGI Symbol;Acc:MGI:2136381] MTTILTSTFRNLSTTSKWALRSSIRPLSCSSQLHSAPGIKT >ENSMUSP00000112581.1 pep:known chromosome:GRCm38:6:88545114:88627442:-1 gene:ENSMUSG00000033182.12 transcript:ENSMUST00000120933.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd12 description:kelch repeat and BTB (POZ) domain containing 12 [Source:MGI Symbol;Acc:MGI:1918481] MECKTKGKHQHSLNLLDKIKNMKELEEMIDVVLIAEEEKFPCHRLVLAAFSPYFKAMFTC GLLECTQREVILYDITAESVSVILNYMYSAVLEINNANVQTVAMAAYFMQMEEVFSVCQN YMMDHMDASNCIGIYYFAKQIGAEDLSDQSKKYLYQHFAEVSLHGEILDIEAHQLLALIK SDDLNISREESILDLVLRWVNHNQALRTEHLVELLKQVRLELINASFLRQALRRNTMLLC DGSCIDIIQNAFKAIKTPQQHPSNLRYGMETTSLLLCIGNNSSGIRSRHRSYGDASFCYD PVSHKTYFISSPKYGEGLGTVCTGVVMENNTVIVAGEATATRLSRQKSKNIEIYRYHDRG NQFWEKLCTAEFRELYALGSIHNDLYVIGGQMKIKNQYLITNCVDKYSVDQDNWKRVSPL PLQLACHAVVTVNNKLYVIGGWTPQMDLPDEEPDRLSNKLLQYDPSQDQWRERAPMRYSK YRFSAAVVNSEIYVLGGIGCVGRDKGQVRKCLDVVEIYNPDGDFWREGPPMPSPLLSLRT NSTSAGAVDGKLYVCGGFHGADRHEVISKEILELDPWENQWNVVAINVLMHDSYDVCLVA RMNPRDLIPPPSDLIEEDGDHRGQR >ENSMUSP00000139333.1 pep:known chromosome:GRCm38:6:88545120:88618501:-1 gene:ENSMUSG00000033182.12 transcript:ENSMUST00000184878.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd12 description:kelch repeat and BTB (POZ) domain containing 12 [Source:MGI Symbol;Acc:MGI:1918481] MIEETSFGKSYAQLNFESSMLWMDLPDEEPDRLSNKLLQYDPSQDQWRERAPMRYSKYRF SAAVVNSEIYVLGGIGCVGRDKGQVRKCLDVVEIYNPDGDFWREGPPMPSPLLSLRTNST SAGAVDGKLYVCGGFHGADRHEVISKEILELDPWENQWNVVAINVLMHDSYDVCLVARMN PRDLIPPPSDLIEEDGDHRGQR >ENSMUSP00000139069.1 pep:known chromosome:GRCm38:6:88618617:88637950:-1 gene:ENSMUSG00000033182.12 transcript:ENSMUST00000184664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd12 description:kelch repeat and BTB (POZ) domain containing 12 [Source:MGI Symbol;Acc:MGI:1918481] MECKTKGKHQHSLNLLDKIKNMKELEEMIDVVLIAEEEKFPCHRLVLAAFSPYFKAMFTC GLLECTQREVILYDITA >ENSMUSP00000031806.9 pep:known chromosome:GRCm38:6:30639218:30645363:1 gene:ENSMUSG00000054446.8 transcript:ENSMUST00000031806.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpa1 description:carboxypeptidase A1, pancreatic [Source:MGI Symbol;Acc:MGI:88478] MKRLLVLSVLLAAVFGNENFVGHQVLRISATDEAQVQKVRELEELEHLKLDFWRDPARAG LPIDVRVPFPTIQSVKAFLEYHDISYEIMIEDVQSLLDEEKQQMSAFQARALSTDAFNYA TYHTLDEIYEFMDLLVTEHPQLVSKIQIGSTFEGRPINVLKFSTGGTNRPAIWIDTGIHS REWVTQASGVWFAKKITKDYGQEPTLTAILDNMDIFLEIVTNPDGFVYTHKTNRMWRKTR SHTEGSLCVGVDPNRNWDAAFGMPGASSNPCSETYRGKFPNSEVEVKSIVDFVTSHGNIK AFISIHSYSQLLLYPYGYTSEPAPDKEELDQLAKSAVTALTSLHGTKFKYGSIIDTIYQA SGSTIDWTYSQGIKYSFTFELRDTGLRGFLLPASQIIPTAEETWLALLTIMDHTVKHPY >ENSMUSP00000130840.1 pep:known chromosome:GRCm38:8:105252638:105255153:-1 gene:ENSMUSG00000069920.10 transcript:ENSMUST00000136822.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt9 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 9 [Source:MGI Symbol;Acc:MGI:2142841] MRRRRRPRLCPDAWLTLLLSAALGLLLYAQRDVASPTTRPPARGPQLPRPTPSLRARELP NTARAAPLAYEGDTPVPPTPTDPFDFGGYLRAKDQRRFPLLINQRRKCRSDGASGGSPDL LIAVKSVAADFERREAVRQTWGAEGRVQGALVRRVFLLGVPKGAGSGGAGTRSHWRTLLE AESRAYADILLWAFEDTFFNLTLKEIHFLSWASAFCPDVHFVFKGDADVFVHVRNLLQFL ELRDPAQDLLAGDVIVQARPIRARASKYFIPRAVYGLPVYPAYAGGGGFVLSGATLRRLA DACSQVELFPIDDVFLGMCLQRLRLTPEPHPAFRTFGISQPSAAPHLRTFDPCFYRELVV VHGLSAADIWLMWRLLHGPQGPVCAHPQPVATGPFQWNS >ENSMUSP00000125145.2 pep:known chromosome:GRCm38:8:105254322:105255151:-1 gene:ENSMUSG00000069920.10 transcript:ENSMUST00000161745.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt9 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 9 [Source:MGI Symbol;Acc:MGI:2142841] MRRRRRPRLCPDAWLTLLLSAALGLLLYAQRDVASPTTRPPARGPQLPRPTPSLRARELP NTARAAPLAYEGDTPVPPTPTDPFDFGGYLRAKDQRRFPLLINQRRKCRSDGASGGSPDL LIAVKSVAADFERREAVRQTWGAE >ENSMUSP00000133023.1 pep:known chromosome:GRCm38:8:105252639:105255151:-1 gene:ENSMUSG00000069920.10 transcript:ENSMUST00000093217.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt9 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 9 [Source:MGI Symbol;Acc:MGI:2142841] MRRRRRPRLCPDAWLTLLLSAALGLLLYAQRDVASPTTRPPARGPQLPRPTPSLRARELP NTARAAPLAYEGDTPVPPTPTDPFDFGGYLRAKDQRRFPLLINQRRKCRSDGASGGSPDL LIAVKSVAADFERREAVRQTWGAEGRVQGALVRRVFLLGVPKGAGSGGAGTRSHWRTLLE AESRAYADILLWAFEDTFFNLTLKEIHFLSWASAFCPDVHFVFKGDADVFVHVRNLLQFL ELRDPAQDLLAGDVIVQARPIRARASKYFIPRAVYGLPVYPAYAGGGGFVLSGATLRRLA DACSQVELFPIDDVFLGMCLQRLRLTPEPHPAFRTFGISQPSAAPHLRTFDPCFYRELVV VHGLSAADIWLMWRLLHGPQGPVCAHPQPVATGPFQWNS >ENSMUSP00000029633.4 pep:known chromosome:GRCm38:3:82538383:82548084:-1 gene:ENSMUSG00000028004.12 transcript:ENSMUST00000029633.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npy2r description:neuropeptide Y receptor Y2 [Source:MGI Symbol;Acc:MGI:108418] MGPVGAEADENQTVEVKVEPYGPGHTTPRGELPPDPEPELIDSTKLVEVQVILILAYCSI ILLGVVGNSLVIHVVIKFKSMRTVTNFFIANLAVADLLVNTLCLPFTLTYTLMGEWKMGP VLCHLVPYAQGLAVQVSTITLTVIALDRHRCIVYHLESKISKRISFLIIGLAWGISALLA SPLAIFREYSLIEIIPDFEIVACTEKWPGEEKSVYGTVYSLSTLLILYVLPLGIISFSYT RIWSKLRNHVSPGAASDHYHQRRHKMTKMLVCVVVVFAVSWLPLHAFQLAVDIDSHVLDL KEYKLIFTVFHIIAMCSTFANPLLYGWMNSNYRKAFLSAFRCEQRLDAIHSEVSMTFKAK KNLEVKKNNGPTDSFSEATNV >ENSMUSP00000096595.4 pep:known chromosome:GRCm38:3:82538386:82548052:-1 gene:ENSMUSG00000028004.12 transcript:ENSMUST00000098997.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npy2r description:neuropeptide Y receptor Y2 [Source:MGI Symbol;Acc:MGI:108418] MGPVGAEADENQTVEVKVEPYGPGHTTPRGELPPDPEPELIDSTKLVEVQVILILAYCSI ILLGVVGNSLVIHVVIKFKSMRTVTNFFIANLAVADLLVNTLCLPFTLTYTLMGEWKMGP VLCHLVPYAQGLAVQVSTITLTVIALDRHRCIVYHLESKISKRISFLIIGLAWGISALLA SPLAIFREYSLIEIIPDFEIVACTEKWPGEEKSVYGTVYSLSTLLILYVLPLGIISFSYT RIWSKLRNHVSPGAASDHYHQRRHKMTKMLVCVVVVFAVSWLPLHAFQLAVDIDSHVLDL KEYKLIFTVFHIIAMCSTFANPLLYGWMNSNYRKAFLSAFRCEQRLDAIHSEVSMTFKAK KNLEVKKNNGPTDSFSEATNV >ENSMUSP00000138559.1 pep:known chromosome:GRCm38:3:82539949:82547960:-1 gene:ENSMUSG00000028004.12 transcript:ENSMUST00000182181.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npy2r description:neuropeptide Y receptor Y2 [Source:MGI Symbol;Acc:MGI:108418] MGPVGAEADENQTVEVKVEPYGPGHTTPRVIALDRHRCIVYHLESKISKRISFLIIGLAW GISALLASPLAIFREYSLIEIIPDFEIVACTEKWPGEEKSVYGTVYSLSTLLILYVLPLG IISFSYTRIWSKLRNHVSPGAASDHYHQRRHKMTKMLVCVVVVFAVSWLPLHAFQLAVDI DSHVLDLKEYKLIFTVFHIIAMCSTFANPLLYGWMNSNYRKAFLSAFRCEQRLDAIHSEV SMTFKAKKNLEVKKNNGPTDSFSEATNV >ENSMUSP00000138282.1 pep:known chromosome:GRCm38:3:82539949:82547960:-1 gene:ENSMUSG00000028004.12 transcript:ENSMUST00000182831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npy2r description:neuropeptide Y receptor Y2 [Source:MGI Symbol;Acc:MGI:108418] MGPVGAEADENQTVEVKVEPYGPGHTTPRGELPPDPEPELIDSTKLVEVQVILILAYCSI ILLGVVGNSLVIHVVIKFKSMRTVTNFFIANLAVADLLVNTLCLPFTLTYTLMGEWKMGP VLCHLVPYAQGLAVQVSTITLTVIALDRHRCIVYHLESKISKRISFLIIGLAWGISALLA SPLAIFREYSLIEIIPDFEIVACTEKWPGEEKSVYGTVYSLSTLLILYVLPLGIISFSYT RIWSKLRNHVSPGAASDHYHQRRHKMTKMLVCVVVVFAVSWLPLHAFQLAVDIDSHVLDL KEYKLIFTVFHIIAMCSTFANPLLYGWMNSNYRKAFLSAFRCEQRLDAIHSEVSMTFKAK KNLEVKKNNGPTDSFSEATNV >ENSMUSP00000121894.1 pep:known chromosome:GRCm38:18:38676718:39110534:1 gene:ENSMUSG00000036452.17 transcript:ENSMUST00000148850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap26 description:Rho GTPase activating protein 26 [Source:MGI Symbol;Acc:MGI:1918552] MSQMFFTGNWLAGKTTSTPSSLRYVVRSSSKAACLGGERCLPTHWKLLFTFLWTKKVTYV ALLYRKQDLSSAKRKFADSLNEFKFQCIGDAETDDEMCIARSLQEFAAVLRNLEDERSRM IENASEVLITPLEKFRKEQIGAAREAKKKYDKETEKYCGTLEKHLNLSSK >ENSMUSP00000095200.2 pep:known chromosome:GRCm38:18:38993145:39376284:1 gene:ENSMUSG00000036452.17 transcript:ENSMUST00000097593.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap26 description:Rho GTPase activating protein 26 [Source:MGI Symbol;Acc:MGI:1918552] MGLPALEFSDCCLDSPHFRETLKSHEAELDKTNKFIKELIKDGKSLISALKNLSSAKRKF ADSLNEFKFQCIGDAETDDEMCIARSLQEFAAVLRNLEDERSRMIENASEVLITPLEKFR KEQIGAAREAKKKYDKETEKYCGTLEKHLNLSSKKKESQLQEADSQVDLVRQHFYEVSLE YVFKVQEVQERKMFEFVEPLLAFLQGLFTFYHHGYELAKDFGDFKTQLTISIQNTRNRFE GTRSEVESLMKKMKENPLEHKTISPYTMEGYLYVQEKRHFGTSWVKHYCTYQRDSKQITM VPFDQKSGGKGGEDESVTLKSCTRRKTDSIEKRFCFDVEAVDRPGVITMQALSEEDRRLW MEAMDGREPVYNSNRDSQSEGTAQLDSIGFSIIRKCIHAVETRGINEQGLYRIVGVNSRV QKLLSVLMDPKAASETETDICAEWEIKTVTSALKTYLRMLPGPLMMYQFQRSFIKAAKLE NQETRVSEIHSLVHRLPEKNRQMLQLLMNHLANVANNHKQNLMTVANLGVVFGPTLLRPQ EETVAAIMDIKFQNIVIEILIENHEKIFNTVPDVPLTNAQLHLSRKKSSDSKPPSCSKRP LTLFHAVPSTEKQEQRNSIINSSLESVSSSANSILNSSSSLQPNLNSSDSNLDVVKPSRP SSLPPNPSPTSPLSPSWPMFSAPSSPMPTSSTSSDSSPIRSVAGFVWFSVAAVVLSLAWS SLHAVFSLLVNFVPCHPNLHLLFDRPEEAVREDSSTPFRKAKALYACQAEHDSELSFTAG TVFDNVHPSQEPGWLEGTLNGKTGLIPENYVEFL >ENSMUSP00000122371.1 pep:known chromosome:GRCm38:18:38993476:39371162:1 gene:ENSMUSG00000036452.17 transcript:ENSMUST00000155576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap26 description:Rho GTPase activating protein 26 [Source:MGI Symbol;Acc:MGI:1918552] MGLPALEFSDCCLDSPHFRETLKSHEAELDKTNKFIKELIKDGKSLISALKNLSSAKRKF ADSLNEFKFQCIGDAETDDEMCIARSLQEFAAVLRNLEDERSRMIENASEVLITPLEKFR KEQIGAAREAKKKYDKETEKYCGTLEKHLNLSSKKKESQLQEADSQVDLVRQHFYEVSLE YVFKVQEVQERKMFEFVEPLLAFLQGLFTFYHHGYELAKDFGDFKTQLTISIQNTRNRFE GTRSEVESLMKKMKENPLEHKTISPYTMEGYLYVQEKRHFGTSWVKHYCTYQRDSKQITM VPFDQKSGGKGGEDESVTLKSCTRRKTDSIEKRFCFDVEAVDRPGVITMQALSEEDRRLW MEAMDGREPVYNSNRDSQSEGTAQLDSIGFSIIRKCIHAVETRGINEQGLYRIVGVNSRV QKLLSVLMDPKAASETETDICAEWEIKTVTSALKTYLRMLPGPLMMYQFQRSFIKAAKLE NQETRVSEIHSLVHRLPEKNRQMLQLLMNHLANVANNHKQNLMTVANLGVVFGPTLLRPQ EETVAAIMDIKFQNIVIEILIENHEKIFNTVPDVPLTNAQLHLSRKKSSDSKPPSCSKRP LTLFHAVPSTEKQEQRNSIINSSLESVSSSANSILNSSSSLQPNLNSSDSNLDVVKPSRP SSLPPNPSPTSPLSPSWPMFSAPSSPMPTSSTSSDSSPISTPFRKAKALYACQAEHDSEL SFTAGTVFDNVHPSQEPGWLEGTLNGKTGLIPENYVEFL >ENSMUSP00000123145.1 pep:known chromosome:GRCm38:18:39227368:39372511:1 gene:ENSMUSG00000036452.17 transcript:ENSMUST00000154551.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap26 description:Rho GTPase activating protein 26 [Source:MGI Symbol;Acc:MGI:1918552] XAQLDSIGFSIIRKCIHAVETRGINEQGLYRIVGVNSRVQKLLSVLMDPKAASETETDIC AEWEIKTVTSALKTYLRMLPGPLMMYQFQRSFIKAAKLENQETRVSEIHSLVHRLPEKNR QMLQLLMNHLANVANNHKQNLMTVANLGVVFGPTLLRPQEETVAAIMDIKFQNIVIEILI ENHEKIFNTVPDVPLTNAQLHLSRKKSSDSKPPSCSKRPLTLFHAVPSTEKQEQRNSIIN SSLESVSSSANSILNSSSSLQPNLNSSDSNLDVVKPSRPSSLPPNPSPTSPLSPSWPMFS APSSPMPTSSTSSDSSPIRPEEAVREDSSTPFRKAKALYACQAEHDSELSFTAGTVFDNV HPSQEPGWLEGTLNGKTGLIPENYVEFL >ENSMUSP00000121197.1 pep:known chromosome:GRCm38:18:39286642:39376284:1 gene:ENSMUSG00000036452.17 transcript:ENSMUST00000137497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap26 description:Rho GTPase activating protein 26 [Source:MGI Symbol;Acc:MGI:1918552] FQNIVIEILIENHEKIFNTVPDVPLTNAQLHLSRKKSSDSKPPSCSKRPLTLFHAVPSTE KQEQRNSIINSSLESVSSSANSILNSSSSLQPNLNSSDSNLDVVKPSRPSSLTPFRKAKA LYACQAEHDSELSFTAGTVFDNVHPSQEPGWLEGTLNGKTGLIPENYVEFL >ENSMUSP00000119865.1 pep:known chromosome:GRCm38:18:39296785:39360930:1 gene:ENSMUSG00000036452.17 transcript:ENSMUST00000141058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap26 description:Rho GTPase activating protein 26 [Source:MGI Symbol;Acc:MGI:1918552] IFNTVPDVPLTNAQLHLSRKKSSDSKPPSCSKRPLTLFHAVPSTEKQEQRNSIINSSLES VSSSANSILNSSSSLQPNLNSSDSNLDVVKPSRPSSLPPNPSPTSPLSPSWPMFSAPSSP MPTSSTSSDSSPIRPEEAVREDSR >ENSMUSP00000122448.1 pep:known chromosome:GRCm38:18:39296785:39371129:1 gene:ENSMUSG00000036452.17 transcript:ENSMUST00000151757.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap26 description:Rho GTPase activating protein 26 [Source:MGI Symbol;Acc:MGI:1918552] IFNTVPDVPLTNAQLHLSRKKSSDSKPPSCSKRPLTLFHAVPSTEKQEQRNSIINSSLES VSSSANSILNSSSSLQPNLNSSDSNLDVVKPSRPSSLVQPPRQLCSLPSKPALAF >ENSMUSP00000141188.1 pep:known chromosome:GRCm38:18:38892717:38933964:1 gene:ENSMUSG00000036452.17 transcript:ENSMUST00000187912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap26 description:Rho GTPase activating protein 26 [Source:MGI Symbol;Acc:MGI:1918552] MNTATFIYSSNRLVPGELLAAQGIRWQERHMTESELTGGLERPNLSCWLGRPPLHHPHSG MWSEAAPRLLALEGKDACQHTGSYFSHFCGQRKSPTWHYCTESK >ENSMUSP00000098051.2 pep:known chromosome:GRCm38:11:99328614:99337966:-1 gene:ENSMUSG00000075570.2 transcript:ENSMUST00000100482.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt26 description:keratin 26 [Source:MGI Symbol;Acc:MGI:2444913] MSFRFSGRSRVCSRAGSVRLSRGGAGFVAGNVCVGPGAEGSFSCTLGGLSSGGSFASEGS GRGSSIGFLNNEPGLFSGNEKVAMQNLNDRLALYLNHVSALEEANTDLEKKIEGWYEKCG PGRGRRLDHDCSRYFSVIEDLKRQILSMTTCNANLVLQNDNARLTADDFKMKYENELALH QSVEADTNGLRRVLDELTLSTTDLEIQREALSEELTYLQKNHEEEMVVLQNASGGNINVE MNAAPSVDLTAMLNNMRAEYEDLAEQNRKDAEASFKEKSASLQQQISDDAGAATAARNEL MELKRNLQTLEIELQSITAMKQSYENSLAETEGNYYAQLQQIQEQIGAREEQLQQIRTET EGQKLEHEQLLGIKTCLEKEIDTYCNLLDGEEQRSESTSYKPKDGKPASEFNDSAEETFA RTVAEELDQLGNLLSLRVHSVEEKSSKISNITMEQRVPSKAP >ENSMUSP00000042294.6 pep:known chromosome:GRCm38:8:125751508:125898317:-1 gene:ENSMUSG00000060212.13 transcript:ENSMUST00000047239.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnxl2 description:pecanex-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2445010] MASQVLQLLRQGVWAALTGGWYHDPEHSKFTNSCHLYLWLFLLLLPLALHLAFPPNVLTA LFYCGSVTIFFAVIKLISYRLHLMFDKGEAIQHRSPRKRSKRKPEGEASSQHTARHKNPS NNRQIHSTKKEEPRGSLTTPPLCCSSRGQSVHSQHSSGPLELPAQETVEDLKGVVLSEDQ PEALASSTSPGMKSESLPASQGRTPEPTPRPACPLKPVTTELFTARKGKESGGTAQRPAR HRSESGLVNPGALKKLPQLSLSQYDLLETDISFQPWGSEHSVLLPQPNCTQGATRAQPQN RSPQDSLSSSCCQCNTVLAKPTEEELTRTSGQVELPLNQEVVDSDGEVAVTLIDTSQPGE PLSLHEPIKIVITMSSTQNSISDLESSLHLRVTSSDRTSVRSSAESAGSGGAGPADPEQV RIPLITLELTEDGGGRGVSCSEGNGGERTPERMEVMSPDRCSGSGPGDGSPTPGSTLATL TPRVDPESEGSKEGQANLDPASCKSSHEKRHARVLSVDSGTDVFLSRSTKEVVSDGEKPI PTSKSDLEAKEGQIPNESNFLEFVSLLESISTSKVVAPDSPAEQKGASQGPEGHASPGTK EEAVENEKPNGRDPKPGKPDLPSQDPANGSPVFTQPAKSAALFQGSRQRHIIYRVTSQQD SSVLQVISGPETSVQEEMSLDAMHVFIDEHGEVRSCYLKSGNQKEGSSQHPPLNPDCVSH ARGILLSSSSSTATGSPDPSSGDPAVSALQQQLLLMVARRTQSETPRHVSQDLEDSSRSS AQGKFNREQFYKFIVFPGKWIKVWYDRLTLLALLDRTEDVKENMVAVLLSVLVSLLGFLT LNRGFCRDLWVLLFCLVMASCQYSLLKSVQPDPASPIHGHNQIIAYSRPIYFCMLCSLIL LLDAGAKAKHPPSYVVYGLKLFTPETLQAVRDHLIVFLCCFPAISLLGLFPQINTFCTYL LEQIDMLLFGGSAVSGITSAVYSVGRSVLAAALLHAFCFSAVKEPWSTQHIPALFSAFCG LLVALSYHLSRQSSDPSVLLSFIQCKLLPKCLHQNLEESATDPLPQRMKDSVKDVLRSDL VICSAAAVLSFAVSASTVFLSLRPFLSIVLFALAGTVGLITHHLLPQLRKHHPWMWISHP VLRSKEYQQREARDIAHLMWFERLYVWLQCFEKYLLYPAIVLNALTLDAFSISNYRRLGT HWDIFLMITAGMKLLRTSFCNPVHQFANLGFTVIFFHFDYKDISESFLLDFFMVSIVFTK LGDLLQKLQFVLAYVAPWQMAWGSSFHVFAQLFAIPHSAMLFFQTFATSIFSTPLSPFLG SVIFITSYVRPVKFWERSYNTRRMDNSNTRLAVQMERDPGSDDNNLNSIFYEHLTRTLQE SLCGDLVLGRWGNYSSGDCFILASDDLNAFVHLIEIGNGLVTFQLRGLEFRGTYCQQREV EAIMEGDEDDRGCCCCKPGHLPHLLSCNAAFHLRWLTWEITRTQYILEGYSIIDNNAATM LQVYDLRRVLIRYYVKSIIYYMVTSPKLVSWVKNESLLKSLQPFAKWHHIERDLAMFNIN IDDDYVPCLQGITRASYCNVFLEWIQYCAGKRQELSKTLEHVDSDEDSALVTLAFALCIL GRRALGTAAHNMAMSLDSFLYGLHALFKGDFRVTARDEWVFADMDLLHKVVVPAIRMSLK LHQDQFTCPDEYEDPAVLYEAIRSFAKKVVICHEGDPAWRGAMLSNKEELLTLRHVVDEG ADEYKVIMLHRGFLSFKVIKVNKECVRGLWAGQQQELIFLRNRNPERGSIQNNKQVLRNL INSSCDQPLGYPMYVSPLTTSYLGTHKQLQSVWGGPVTLNRVRTWFQTRWLRMRKDCSVG QRSGGGNIEDGEGGAVPSAGGGSAPNGESRDGSTEQPRKGGTQQWSSPRGEAQRAGRRKG RSQSVQAHSAISQRPPTLSSSGPILESHQAFLQTSTSVHELAQRPSGSRLSLHTSAASLH SQPPPVTTTGHLSVRERAEALIRSSLGSSTSSTLSFLFGKRSFSSALVISGLSAAEGGNT SDTQSSSSVNIVMGPSARAAGHAARHFSEPCEPTDSPEQGQLQDGRLAEAMEENLGVLCR RASQEDMGLDDTASQQSTSDEQ >ENSMUSP00000119965.2 pep:known chromosome:GRCm38:8:125837607:125898317:-1 gene:ENSMUSG00000060212.13 transcript:ENSMUST00000131127.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnxl2 description:pecanex-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2445010] MASQVLQLLRQGVWAALTGGWYHDPEHSKFTNSCHLYLWLFLLLLPLALHLAFPPNVLTA LFYCGSVTIFFAVIKLISYRLHLMFDKGEAIQHRSPRKRSKRKPEGEASSQHTARHKNPS NNRQIHSTKKEEPRGSLTTPPLCCSSRGQSVHSQHSSGPLELPAQETVEDLKGVVLSEDQ PEALASSTSPGMKSESLPASQGRTPEPTPRPACPLKPVTTELFTARKGKESGGTAQRPAR HRSESGLVNPGALKKLPQLSLSQYDLLETDISFQPWGSEHSVLLPQPNCTQGATRAQPQN RSPQDSLSSSCCQCNTVLAKPTEEELTRTSGQVELPLNQEVVDSDGEVAVTLIDTSQPGE PLSLHEPIKIVITMSSTQNSISDLESSLHLRVTSSDRTSVRSSAESAGSGGAGPADPEQV RIPLITLELTEDGGGRGVSCSEGNGGERTPERMEVMSPDRCSGSGPGDGSPTPGSTLATL TPRVDPESEGSKEGQANLDPASCKSSHEKRHARVLSVDSGTDVFLSRSTKEVVSDGEKPI PTSKSDLEAKEGQIPNESNFLEFVSLLESISTSKVVAPDSPAEQKGASQGPEGHASPGTK EEAVENEKPNGRDPKPGKPDLPSQDPANGSPVFTQPAKSAALFQGSRQRHIIYRVTSQQD SSVLQVISGPETSVQEEMSLDAMHVFIDEHGEVRSCYLKSGNQKEGSSQHPPLNPDCVSH ARGILLSSSSSTATGSPDPSSGDPAVSALQQQLLLMVARRTQSETPRHVSQDLEDSSRSS AQGKFNREQFYKFIVFPGKWIKVWYDRLTLLALLDRTEDVKENMVAVLLSVLVSLLGFLT LNRGFCRDLWVLLFCLVMASCQYSLLKSVQPDPASPIHGHNQIIAYSRPIYFCMLCSLIL LLDAGAKAKHPPSYVVYGLKLFTPETLQAVRDHLIVFLCCFPAISLLGLFPQINTFCTYL LEQIDMLLFGGSAVSGITSAVYSVGRSVLAAALLHAFCFSAVKEPWSTQHIPALFSAFCG LLVALSYHLSRQSSDPSVLLSFIQCKLLPKCLHQNLEESATDPLPQRMKDSVVRPQHGKT ACHRGPCLSAGMKLPGYSELAGSGKAGGGPWVQSRLISRDT >ENSMUSP00000032808.4 pep:known chromosome:GRCm38:7:29246561:29248466:-1 gene:ENSMUSG00000030587.5 transcript:ENSMUST00000032808.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2200002D01Rik description:RIKEN cDNA 2200002D01 gene [Source:MGI Symbol;Acc:MGI:1919525] MEFDLAAALGPGSKKPEGAGHVGDPKHCPLKAPGGPEAGAAHKPRRVSGSSSDSSSSSSS SDSEAEGKEYAAGCKKHERSSDKAKKPKVKKEKMEKKEKKEKKEKEKKEKKAPH >ENSMUSP00000070616.5 pep:known chromosome:GRCm38:3:86995834:86999309:-1 gene:ENSMUSG00000028076.12 transcript:ENSMUST00000063869.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd1d1 description:CD1d1 antigen [Source:MGI Symbol;Acc:MGI:107674] MRYLPWLLLWAFLQVWGQSEAQQKNYTFRCLQMSSFANRSWSRTDSVVWLGDLQTHRWSN DSATISFTKPWSQEKPVAWLSSVPSSAHGHRQLVCHVSGFYPKPVWVMWMRGDQEQQGTH RGDFLPNADETWYLQATLDVEAGEEAGLACRVKHSSLGGQDIILYWDARQAPVGLIVFIV LIMLVVVGAVVYYIWRRRSAYQDIR >ENSMUSP00000029717.2 pep:known chromosome:GRCm38:3:86995834:86999441:-1 gene:ENSMUSG00000028076.12 transcript:ENSMUST00000029717.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd1d1 description:CD1d1 antigen [Source:MGI Symbol;Acc:MGI:107674] MRYLPWLLLWAFLQVWGQSEAQQKNYTFRCLQMSSFANRSWSRTDSVVWLGDLQTHRWSN DSATISFTKPWSQGKLSNQQWEKLQHMFQVYRVSFTRDIQELVKMMSPKEDYPIEIQLSA GCEMYPGNASESFLHVAFQGKYVVRFWGTSWQTVPGAPSWLDLPIKVLNADQGTSATVQM LLNDTCPLFVRGLLEAGKSDLEKQEKPVAWLSSVPSSAHGHRQLVCHVSGFYPKPVWVMW MRGDQEQQGTHRGDFLPNADETWYLQATLDVEAGEEAGLACRVKHSSLGGQDIILYWDAR QAPVGLIVFIVLIMLVVVGAVVYYIWRRRSAYQDIR >ENSMUSP00000129818.2 pep:known chromosome:GRCm38:5:17373180:17378028:1 gene:ENSMUSG00000091827.2 transcript:ENSMUST00000166086.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4f2 description:spermatogenesis associated glutamate (E)-rich protein 4f2 [Source:MGI Symbol;Acc:MGI:3781672] MTMCVRLRRLFQRANVDGRETREGSMDAGLPCERNEGRRRWTWRMWMAHRQTSSPVPVTS NQFEKEEEELMEEIQLISQEKNGLRDYLNLTLGSKITRLNQSYEELKLKQKELMTLQHDL EMATMEAREENEELKKEINFYSNLHSRALMENNLIKKKLMTLQQESKEVQAEWASIQQHL DVNLSGKDEQENNSILDTQEHQVSETARELGLATDQEDSILQDELPPQEAPAEELSPQEA PAEELPPQEAPAEQLPPQEGPAEELPPQESPAEHHLQHPQSFSDESSYITYPEWE >ENSMUSP00000034388.9 pep:known chromosome:GRCm38:8:107031222:107045755:1 gene:ENSMUSG00000031913.9 transcript:ENSMUST00000034388.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps4a description:vacuolar protein sorting 4a (yeast) [Source:MGI Symbol;Acc:MGI:1890520] MTTSTLQKAIDLVTKATEEDKAKNYEEALRLYQHAVEYFLHAIKYEAHSDKAKESIRAKC MQYLDRAEKLKDYLRNKEKHGKKPVKENQSEGKGSDSDSEGDNPEKKKLQEQLMGAVVME KPNIRWNDVAGLEGAKEALKEAVILPIKFPHLFTGKRTPWRGILLFGPPGTGKSYLAKAV ATEANNSTFFSVSSSDLMSKWLGESEKLVKNLFELARQHKPSIIFIDEVDSLCGSRNENE SEAARRIKTEFLVQMQGVGNNNDGTLVLGATNIPWVLDSAIRRRFEKRIYIPLPEEAARA QMFRLHLGSTPHNLTDANIHELARKTEGYSGADISIIVRDSLMQPVRKVQSATHFKKVCG PSRTNPSVMIDDLLTPCSPGDPGAIEMTWMDVPGDKLLEPVVCMSDMLRSLATTRPTVNA DDLLKVKKFSEDFGQES >ENSMUSP00000127941.1 pep:known chromosome:GRCm38:1:87620312:87720507:1 gene:ENSMUSG00000026288.14 transcript:ENSMUST00000169754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5d description:inositol polyphosphate-5-phosphatase D [Source:MGI Symbol;Acc:MGI:107357] MPAMVPGWNHGNITRSKAEELLSRAGKDGSFLVRASESIPRAYALCVLFRNCVYTYRILP NEDDKFTVQASEGVPMRFFTKLDQLIDFYKKENMGLVTHLQYPVPLEEEDAIDEAEEDTV ESVMSPPELPPRNIPMSAGPSEAKDLPLATENPRAPEVTRLSLSETLFQRLQSMDTSGLP EEHLKAIQDYLSTQLLLDSDFLKTGSSNLPHLKKLMSLLCKELHGEVIRTLPSLESLQRL FDQQLSPGLRPRPQVPGEASPITMVAKLSQLTSLLSSIEDKVKSLLHEGSESTNRRSLIP PVTFEVKSESLGIPQKMHLKVDVESGKLIVKKSKDGSEDKFYSHKKILQLIKSQKFLNKL VILVETEKEKILRKEYVFADSKKREGFCQLLQQMKNKHSEQPEPDMITIFIGTWNMGNAP PPKKITSWFLSKGQGKTRDDSADYIPHDIYVIGTQEDPLGEKEWLELLRHSLQEVTSMTF KTVAIHTLWNIRIVVLAKPEHENRISHICTDNVKTGIANTLGNKGAVGVSFMFNGTSLGF VNSHLTSGSEKKLRRNQNYMNILRFLALGDKKLSPFNITHRFTHLFWLGDLNYRVELPTW EAEAIIQKIKQQQYSDLLAHDQLLLERKDQKVFLHFEEEEITFAPTYRFERLTRDKYAYT KQKATGMKYNLPSWCDRVLWKSYPLVHVVCQSYGSTSDIMTSDHSPVFATFEAGVTSQFV SKNGPGTVDSQGQIEFLACYATLKTKSQTKFYLEFHSSCLESFVKSQEGENEEGSEGELV VRFGETLPKLKPIISDPEYLLDQHILISIKSSDSDESYGEGCIALRLETTEAQHPIYTPL THHGEMTGHFRGEIKLQTSQGKMREKLYDFVKTERDESSGMKCLKNLTSHDPMRQWEPSG RVPACGVSSLNEMINPNYIGMGPFGQPLHGKSTLSPDQQLTAWSYDQLPKDSSLGPGRGE GPPTPPSQPPLSPKKFSSSTANRGPCPRVQEARPGDLGKVEALLQEDLLLTKPEMFENPL YGSVSSFPKLVPRKEQESPKMLRKEPPPCPDPGISSPSIVLPKAQEVESVKGTSKQAPVP VLGPTPRIRSFTCSSSAEGRMTSGDKSQGKPKASASSQAPVPVKRPVKPSRSEMSQQTTP IPAPRPPLPVKSPAVLQLQHSKGRDYRDNTELPHHGKHRQEEGLLGRTAMQ >ENSMUSP00000044647.8 pep:known chromosome:GRCm38:1:87620362:87720503:1 gene:ENSMUSG00000026288.14 transcript:ENSMUST00000042275.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5d description:inositol polyphosphate-5-phosphatase D [Source:MGI Symbol;Acc:MGI:107357] MPAMVPGWNHGNITRSKAEELLSRAGKDGSFLVRASESIPRAYALCVLFRNCVYTYRILP NEDDKFTVQASEGVPMRFFTKLDQLIDFYKKENMGLVTHLQYPVPLEEEDAIDEAEEDTE SVMSPPELPPRNIPMSAGPSEAKDLPLATENPRAPEVTRLSLSETLFQRLQSMDTSGLPE EHLKAIQDYLSTQLLLDSDFLKTGSSNLPHLKKLMSLLCKELHGEVIRTLPSLESLQRLF DQQLSPGLRPRPQVPGEASPITMVAKLSQLTSLLSSIEDKVKSLLHEGSESTNRRSLIPP VTFEVKSESLGIPQKMHLKVDVESGKLIVKKSKDGSEDKFYSHKKILQLIKSQKFLNKLV ILVETEKEKILRKEYVFADSKKREGFCQLLQQMKNKHSEQPEPDMITIFIGTWNMGNAPP PKKITSWFLSKGQGKTRDDSADYIPHDIYVIGTQEDPLGEKEWLELLRHSLQEVTSMTFK TVAIHTLWNIRIVVLAKPEHENRISHICTDNVKTGIANTLGNKGAVGVSFMFNGTSLGFV NSHLTSGSEKKLRRNQNYMNILRFLALGDKKLSPFNITHRFTHLFWLGDLNYRVELPTWE AEAIIQKIKQQQYSDLLAHDQLLLERKDQKVFLHFEEEEITFAPTYRFERLTRDKYAYTK QKATGMKYNLPSWCDRVLWKSYPLVHVVCQSYGSTSDIMTSDHSPVFATFEAGVTSQFVS KNGPGTVDSQGQIEFLACYATLKTKSQTKFYLEFHSSCLESFVKSQEGENEEGSEGELVV RFGETLPKLKPIISDPEYLLDQHILISIKSSDSDESYGEGCIALRLETTEAQHPIYTPLT HHGEMTGHFRGEIKLQTSQGKMREKLYDFVKTERDESSGMKCLKNLTSHDPMRQWEPSGR VPACGVSSLNEMINPNYIGMGPFGQPLHGKSTLSPDQQLTAWSYDQLPKDSSLGPGRGEG PPTPPSQPPLSPKKFSSSTANRGPCPRVQEARPGDLGKVEALLQEDLLLTKPEMFENPLY GSVSSFPKLVPRKEQESPKMLRKEPPPCPDPGISSPSIVLPKAQEVESVKGTSKQAPVPV LGPTPRIRSFTCSSSAEGRMTSGDKSQGKPKASASSQAPVPVKRPVKPSRSEMSQQTTPI PAPRPPLPVKSPAVLQLQHSKGRDYRDNTELPHHGKHRQEEGLLGRTAMQ >ENSMUSP00000072763.6 pep:known chromosome:GRCm38:1:87620398:87720503:1 gene:ENSMUSG00000026288.14 transcript:ENSMUST00000072999.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Inpp5d description:inositol polyphosphate-5-phosphatase D [Source:MGI Symbol;Acc:MGI:107357] MPAMVPGWNHGNITRSKAEELLSRAGKDGSFLVRASESIPRAYALCVLFRNCVYTYRILP NEDDKFTVQASEGVPMRFFTKLDQLIDFYKKENMGLVTHLQYPVPLEEEDAIDEAEEDTE SVMSPPELPPRNIPMSAGPSEAKDLPLATENPRAPEVTRLSLSETLFQRLQSMDTSGLPE EHLKAIQDYLSTQLLLDSDFLKTGSSNLPHLKKLMSLLCKELHGEVIRTLPSLESLQRLF DQQLSPGLRPRPQVPGEASPITMVAKLSQLTSLLSSIEDKVKSLLHEGSESTNRRSLIPP VTFEVKSESLGIPQKMHLKVDVESGKLIVKKSKDGSEDKFYSHKKILQLIKSQKFLNKLV ILVETEKEKILRKEYVFADSKKREGFCQLLQQMKNKHSEQPEPDMITIFIGTWNMGNAPP PKKITSWFLSKGQGKTRDDSADYIPHDIYVIGTQEDPLGEKEWLELLRHSLQEVTSMTFK TVAIHTLWNIRIVVLAKPEHENRISHICTDNVKTGIANTLGNKGAVGVSFMFNGTSLGFV NSHLTSGSEKKLRRNQNYMNILRFLALGDKKLSPFNITHRFTHLFWLGDLNYRVELPTWE AEAIIQKIKQQQYSDLLAHDQLLLERKDQKVFLHFEEEEITFAPTYRFERLTRDKYAYTK QKATGMKYNLPSWCDRVLWKSYPLVHVVCQSYGSTSDIMTSDHSPVFATFEAGVTSQFVS KNGPGTVDSQGQIEFLACYATLKTKSQTKFYLEFHSSCLESFVKSQEGENEEGSEGELVV RFGETLPKLKPIISDPEYLLDQHILISIKSSDSDESYGEGCIALRLETTEAQHPIYTPLT HHGEMTGHFRGEIKLQTSQGKMREKLYDFVKTERDESSGMKCLKNLTSHDPMRQWEPSGR VPACGVSSLNEMINPNYIVFIFHSQPRSLPQGARGKTWGSGKGGSSAPGGPAADEARDV >ENSMUSP00000131244.1 pep:known chromosome:GRCm38:1:87620399:87719694:1 gene:ENSMUSG00000026288.14 transcript:ENSMUST00000168783.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5d description:inositol polyphosphate-5-phosphatase D [Source:MGI Symbol;Acc:MGI:107357] MPAMVPGWNHGNITRSKAEELLSRAGKDGSFLVRASESIPRAYALCVLFRNCVYTYRILP NEDDKFTVQASEGVPMRFFTKLDQLIDFYKKENMGLVTHLQYPVPLEEEDAIDEAEEDTV ESVMSPPELPPRNIPMSAGPSEAKDLPLATENPRAPEVTRLSLSETLFQRLQSMDTSGLP EEHLKAIQDYLSTQLLLDSDFLKTGSSNLPHLKKLMSLLCKELHGEVIRTLPSLESLQRL FDQQLSPGLRPRPQVPGEASPITMVAKLSQLTSLLSSIEDKVKSLLHEGSESTNRRSLIP PVTFEVKSESLGIPQKMHLKVDVESGKLIVKKSKDGSEDKFYSHKKILQLIKSQKFLNKL VILVETEKEKILRKEYVFADSKKREGFCQLLQQMKNKHSEQPEPDMITIFIGTWNMGNAP PPKKITSWFLSKGQGKTRDDSADYIPHDIYVIGTQEDPLGEKEWLELLRHSLQEVTSMTF KTVAIHTLWNIRIVVLAKPEHENRISHICTDNVKTGIANTLGNKGAVGVSFMFNGTSLGF VNSHLTSGSEKKLRRNQNYMNILRFLALGDKKLSPFNITHRFTHLFWLGDLNYRVELPTW EAEAIIQKIKQQQYSDLLAHDQLLLERKDQKVFLHFEEEEITFAPTYRFERLTRDKYAYT KQKATGMKYNLPSWCDRVLWKSYPLVHVVCQSYGSTSDIMTSDHSPVFATFEAGVTSQFV SKNGPGTVDSQGQIEFLACYATLKTKSQTKFYLEFHSSCLESFVKSQEGENEEGSEGELV VRFGETLPKLKPIISDPEYLLDQHILISIKSSDSDESYGEGCIALRLETTEAQHPIYTPL THHGEMTGHFRGEIKLQTSQGKMREKLYDFVKTERDESSGMKCLKNLTSHDPMRQWEPSG RVPACGVSSLNEMINPNYIANRGPCPRVQEARPGDLGKVEALLQEDLLLTKPEMFENPLY GSVSSFPKLVPRKEQESPKMLRKEPPPCPDPGISSPSIVLPKAQEVESVKGTSKQAPVPV LGPTPRIRSFTCSSSAEGRMTSGDKSQGKPKASASSQAPVPVKRPVKPSRSEMSQQTTPI PAPRPPLPVKSPAVLQLQHSKGRDYRDNTELPHHGKHRQEEGLLGRTAMQ >ENSMUSP00000128700.1 pep:known chromosome:GRCm38:1:87620627:87667992:1 gene:ENSMUSG00000026288.14 transcript:ENSMUST00000165554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5d description:inositol polyphosphate-5-phosphatase D [Source:MGI Symbol;Acc:MGI:107357] XCCEYPSPPNCQIQGPLRFRNCVYTYRILPNEDDKFTVQASEGVPMRFFTKLDQLIDFYK KENMGLVTHLQYPVPLEEEDAIDEAEEDTVESVMSPPELPPRNIPMSAGPSEAKDLPLAT ENPR >ENSMUSP00000130336.1 pep:known chromosome:GRCm38:1:87621674:87667916:1 gene:ENSMUSG00000026288.14 transcript:ENSMUST00000163576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5d description:inositol polyphosphate-5-phosphatase D [Source:MGI Symbol;Acc:MGI:107357] MGLVTHLQYPVPLEEEDAIDEAEEDTVESVMSPPEL >ENSMUSP00000132384.1 pep:known chromosome:GRCm38:1:87676239:87720502:1 gene:ENSMUSG00000026288.14 transcript:ENSMUST00000170300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5d description:inositol polyphosphate-5-phosphatase D [Source:MGI Symbol;Acc:MGI:107357] MVAKLSQLTSLLSSIEDKVKSLLHEGSESTNRRSLIPPVTFEVKSESLGIPQKMHLKVDV ESGKLIVKKSKDGSEDKFYSHKKILQLIKSQKFLNKLVILVETEKEKILRKEYVFADSKK REGFCQLLQQMKNKHSEQPEPDMITIFIGTWNMGNAPPPKKITSWFLSKGQGKTRDDSAD YIPHDIYVIGTQEDPLGEKEWLELLRHSLQEVTSMTFKTVAIHTLWNIRIVVLAKPEHEN RISHICTDNVKTGIANTLGNKGAVGVSFMFNGTSLGFVNSHLTSGSEKKLRRNQNYMNIL RFLALGDKKLSPFNITHRFTHLFWLGDLNYRVELPTWEAEAIIQKIKQQQYSDLLAHDQL LLERKDQKVFLHFEEEEITFAPTYRFERLTRDKYAYTKQKATGMKYNLPSWCDRVLWKSY PLVHVVCQSYGSTSDIMTSDHSPVFATFEAGVTSQFVSKNGPGTVDSQGQIEFLACYATL KTKSQTKFYLEFHSSCLESFVKSQEGENEEGSEGELVVRFGETLPKLKPIISDPEYLLDQ HILISIKSSDSDESYGEGCIALRLETTEAQHPIYTPLTHHGEMTGHFRGEIKLQTSQGKM REKLYDFVKTERDESSGMKCLKNLTSHDPMRQWEPSGRVPACGVSSLNEMINPNYIANRG PCPRVQEARPGDLGKVEALLQEDLLLTKPEMFENPLYGSVSSFPKLVPRKEQESPKMLRK EPPPCPDPGISSPSIVLPKAQEVESVKGTSKQAPVPVLGPTPRIRSFTCSSSAEGRMTSG DKSQGKPKASASSQAPVPVKRPVKPSRSEMSQQTTPIPAPRPPLPVKSPAVLQLQHSKGR DYRDNTELPHHGKHRQEEGLLGRTAMQ >ENSMUSP00000126569.1 pep:known chromosome:GRCm38:1:87676239:87720502:1 gene:ENSMUSG00000026288.14 transcript:ENSMUST00000167032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5d description:inositol polyphosphate-5-phosphatase D [Source:MGI Symbol;Acc:MGI:107357] MVAKLSQLTSLLSSIEDKVKSLLHEGSESTNRRSLIPPVTFEVKSESLGIPQKMHLKVDV ESGKLIVKKSKDGSEDKFYSHKKILQLIKSQKFLNKLVILVETEKEKILRKEYVFADSKK REGFCQLLQQMKNKHSEQPEPDMITIFIGTWNMGNAPPPKKITSWFLSKGQGKTRDDSAD YIPHDIYVIGTQEDPLGEKEWLELLRHSLQEVTSMTFKTVAIHTLWNIRIVVLAKPEHEN RISHICTDNVKTGIANTLGNKGAVGVSFMFNGTSLGFVNSHLTSGSEKKLRRNQNYMNIL RFLALGDKKLSPFNITHRFTHLFWLGDLNYRVELPTWEAEAIIQKIKQQQYSDLLAHDQL LLERKDQKVFLHFEEEEITFAPTYRFERLTRDKYAYTKQKATGMKYNLPSWCDRVLWKSY PLVHVVCQSYGSTSDIMTSDHSPVFATFEAGVTSQFVSKNGPGTVDSQGQIEFLACYATL KTKSQTKFYLEFHSSCLESFVKSQEGENEEGSEGELVVRFGETLPKLKPIISDPEYLLDQ HILISIKSSDSDESYGEGCIALRLETTEAQHPIYTPLTHHGEMTGHFRGEIKLQTSQGKM REKLYDFVKTERDESSGMKCLKNLTSHDPMRQWEPSGRVPACGVSSLNEMINPNYIGMGP FGQPLHGKSTLSPDQQLTAWSYDQLPKDSSLGPGRGEGPPTPPSQPPLSPKKFSSSTANR GPCPRVQEARPGDLGKVEALLQEDLLLTKPEMFENPLYGSVSSFPKLVPRKEQESPKMLR KEPPPCPDPGISSPSIVLPKAQEVESVKGTSKQAPVPVLGPTPRIRSFTCSSSAEGRMTS GDKSQGKPKASASSQAPVPVKRPVKPSRSEMSQQTTPIPAPRPPLPVKSPAVLQLQHSKG RDYRDNTELPHHGKHRQEEGLLGRTAMQ >ENSMUSP00000002891.4 pep:known chromosome:GRCm38:11:60740058:60777365:-1 gene:ENSMUSG00000002814.15 transcript:ENSMUST00000002891.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3a description:topoisomerase (DNA) III alpha [Source:MGI Symbol;Acc:MGI:1197527] MIFPVTLLAFQWHRRPGGRALSRAAMEVAFRGVRKVLCVAEKNDAAKGIADLLSNGRMRR KEGLSKFNKIYEFDYHLYGQNVTMIMTSVSGHLLAHDFQMQFRKWQSCNPLVLFEAEIEK YCPENFIDIKKTLERETHHCQALVIWTDCDREGENIGFEIIHVCKAVKPNLRVLRARFSE ITPHAVRTACENLTEPDQRVSDAVDVRQELDLRIGAAFTRFQTLRLQRIFPEVLAEQLIS YGSCQFPTLGFVVERFKAIQAFVPEVFHKIKVTHDHKDGTVEFNWKRYRLFNHTACLVLY QLCMEDPMATVVEVRSKPKSKWRPQALDTVELEKLASRKLRINAKETMRIAEKLYTQGYI SYPRTETNIFPKDLNLVALVEQQTVDPHWGAFAQTILERGGPTPRNGSKSDQAHPPIHPT KYTSGLQGDDRRLYEFIVRHFLACCSQDAQGQETTVEIDIAQERFVAHGLIILARNYLDV YPYDHWSDKLLPVYEQGSHFQPSTVEMVDGETSPPQLLTEADLIALMEKHGIGTDATHAE HIETIKARMYVGLTSDKRFLPGHLGMGLVEGYDSMGYEMSKPDLRAELEADLKLICEGKK DKFQVLRQQVQKYKQVFIEAVAKAKKLDEALSQYLGERTEMAQQEEIYPAMPEPVRKCPQ CNKDMVLKTKKSGGFYLSCMGFPECRSAVWFPDSVLEASRDNSVCSVCQPPPVYRLKLKF KRGSLPPAMPLEFVGCIGGCDETLKEIFGLRFPRALPRASQPSGHLQASQALNRMDSSQH NLSQPLVNRHTRPSKTVAQALLPPTTAGESNSVTCNCGREAVLLTVRKQGPNQGRHFYKC SNGDCNFFLWADSSHSTGGGTPTSASGPPGSSVGCPSSVGSHMDGFGSLGSDSDGGTPCL CGQPAVTRTVQKDGPNKGRQFHTCAKPREQQCGFFQWVDENVAPGSFAAPAWPGGRGKAQ RPEAASKRPRAGSSDAGSTVKKPRKCSLCHQPGHTRTFCPQNR >ENSMUSP00000113057.1 pep:known chromosome:GRCm38:11:60740059:60777287:-1 gene:ENSMUSG00000002814.15 transcript:ENSMUST00000117743.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3a description:topoisomerase (DNA) III alpha [Source:MGI Symbol;Acc:MGI:1197527] MEVAFRGVRKVLCVAEKNDAAKGIADLLSNGRMRRKEGLSKFNKIYEFDYHLYGQNVTMI MTSVSGHLLAHDFQMQFRKWQSCNPLVLFEAEIEKYCPENFIDIKKTLERETHHCQALVI WTDCDREGENIGFEIIHVCKAVKPNLRVLRARFSEITPHAVRTACENLTEPDQRVSDAVD VRQELDLRIGAAFTRFQTLRLQRIFPEVLAEQLISYGSCQFPTLGFVVERFKAIQAFVPE VFHKIKVTHDHKDGTVEFNWKRYRLFNHTACLVLYQLCMEDPMATVVEVRSKPKSKWRPQ ALDTVELEKLASRKLRINAKETMRIAEKLYTQGYISYPRTETNIFPKDLNLVALVEQQTV DPHWGAFAQTILERGGPTPRNGSKSDQAHPPIHPTKYTSGLQGDDRRLYEFIVRHFLACC SQDAQGQETTVEIDIAQERFVAHGLIILARNYLDVYPYDHWSDKLLPVYEQGSHFQPSTV EMVDGETSPPQLLTEADLIALMEKHGIGTDATHAEHIETIKARMYVGLTSDKRFLPGHLG MGLVEGYDSMGYEMSKPDLRAELEADLKLICEGKKDKFQVLRQQVQKYKQVFIEAVAKAK KLDEALSQYLGERTEMAQQEEIYPAMPEPVRKCPQCNKDMVLKTKKSGGFYLSCMGFPEC RSAVWFPDSVLEASRDNSVCSVCQPPPVYRLKLKFKRGSLPPAMPLEFVGCIGGCDETLK EIFGLRFPRALPRAASKRPRAGSSDAGSTVKKPRKCSLCHQPGHTRTFCPQNR >ENSMUSP00000099729.3 pep:known chromosome:GRCm38:11:60741891:60777265:-1 gene:ENSMUSG00000002814.15 transcript:ENSMUST00000102668.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3a description:topoisomerase (DNA) III alpha [Source:MGI Symbol;Acc:MGI:1197527] MIFPVTLLAFQWHRRPGGRALSRAAMEVAFRGVRKVLCVAEKNDAAKGIADLLSNGRMRR KEGLSKFNKIYEFDYHLYGQNVTMIMTSVSGHLLAHDFQMQFRKWQSCNPLVLFEAEIEK YCPENFIDIKKTLERETHHCQALVIWTDCDREGENIGFEIIHVCKAVKPNLRVLRARFSE ITPHAVRTACENLTEPDQRVSDAVDVRQELDLRIGAAFTRFQTLRLQRIFPEVLAEQLIS YGSCQFPTLGFVVERFKAIQAFVPEVFHKIKVTHDHKDGTVEFNWKRYRLFNHTACLVLY QLCMEDPMATVVEVRSKPKSKWRPQALDTVELEKLASRKLRINAKETMRIAEKLYTQGYI SYPRTETNIFPKDLNLVALVEQQTVDPHWGAFAQTILERGGPTPRNGSKSDQAHPPIHPT KYTSGLQGDDRRLYEFIVRHFLACCSQDAQGQETTVEIDIAQERFVAHGLIILARNYLDV YPYDHWSDKLLPVYEQGSHFQPSTVEMVDGETSPPQLLTEADLIALMEKHGIGTDATHAE HIETIKARMYVGLTSDKRFLPGHLGMGLVEGYDSMGYEMSKPDLRAELEADLKLICEGKK DKFQVLRQQVQKYKQVFIEAVAKAKKLDEALSQYLGERTEMAQQEEIYPAMPEPVRKCPQ CNKDMVLKTKKSGGFYLSCMGFPECRSAVWFPDSVLEASRDNSVCSVCQPPPVYRLKLKF KRGSLPPAMPLEFVGCIGGCDETLKEIFGLRFPRALPRASQPSGHLQASQALNRMDSSQH NLSQPLVNRHTRPSKTVAQALLPPTTAGESNSVTCNCGREAVLLTVRKQGPNQGRHFYKC SNGDCNFFLWADSSHSTGGGTPTSASGPPGSSVGCPSSVGSHMDGFGSLGSDSDGGTPCL CGQPAVTRTVQKDGPNKGRQFHTCAKPREQQCGFFQWVDENVAPGEAAGQGIST >ENSMUSP00000113653.1 pep:known chromosome:GRCm38:11:60742234:60777264:-1 gene:ENSMUSG00000002814.15 transcript:ENSMUST00000120417.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3a description:topoisomerase (DNA) III alpha [Source:MGI Symbol;Acc:MGI:1197527] MEVAFRGVRKVLCVAEKNDAAKGIADLLSNGRMRRKEGLSKFNKIYEFDYHLYGQNVTMI MTSVSGHLLAHDFQMQFRKWQSCNPLVLFEAEIEKYCPENFIDIKKTLERETHHCQALVI WTDCDREGENIGFEIIHVCKAVKPNLRVLRARFSEITPHAVRTACENLTEPDQRVSDAVD VRQELDLRIGAAFTRFQTLRLQRIFPEVLAEQLISYGSCQFPTLGFVVERFKAIQAFVPE VFHKIKVTHDHKDGTVEFNWKRYRLFNHTACLVLYQLCMEDPMATVVEVRSKPKSKWRPQ ALDTVELEKLASRKLRINAKETMRIAEKLYTQGYISYPRTETNIFPKDLNLVALVEQQTV DPHWGAFAQTILERGGPTPRNGSKSDQAHPPIHPTKYTSGLQGDDRRLYEFIVRHFLACC SQDAQGQETTVEIDIAQERFVAHGLIILARNYLDVYPYDHWSDKLLPVYEQGSHFQPSTV EMVDGETSPPQLLTEADLIALMEKHGIGTDATHAEHIETIKARMYVGLTSDKRFLPGHLG MGLVEGYDSMGYEMSKPDLRAELEADLKLICEGKKDKFQVLRQQVQKYKQVFIEAVAKAK KLDEALSQYLGERTEMAQQEEIYPAMPEPVRKCPQCNKDMVLKTKKSGGFYLSCMGFPEC RALRCLFPCC >ENSMUSP00000115727.1 pep:known chromosome:GRCm38:11:60761732:60777299:-1 gene:ENSMUSG00000002814.15 transcript:ENSMUST00000130068.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top3a description:topoisomerase (DNA) III alpha [Source:MGI Symbol;Acc:MGI:1197527] MEVAFRGVRKVLCVAEKNDAAKGIADLLSNGRMRRNVTMIMTSVSGHLLAHDFQMQFRKW QSCNPLVLFEAEIEKYCPENFIDIK >ENSMUSP00000024699.2 pep:known chromosome:GRCm38:17:23679365:23682446:1 gene:ENSMUSG00000023906.2 transcript:ENSMUST00000024699.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn6 description:claudin 6 [Source:MGI Symbol;Acc:MGI:1859284] MASTGLQILGIVLTLLGWVNALVSCALPMWKVTAFIGNSIVVAQMVWEGLWMSCVVQSTG QMQCKVYDSLLALPQDLQAARALCVVTLLIVLLGLLVYLAGAKCTTCVEDRNSKSRLVLI SGIIFVISGVLTLIPVCWTAHSIIQDFYNPLVADAQKRELGASLYLGWAASGLLLLGGGL LCCACSSGGTQGPRHYMACYSTSVPHSRGPSEYPTKNYV >ENSMUSP00000103873.1 pep:known chromosome:GRCm38:7:29238329:29247529:1 gene:ENSMUSG00000030588.12 transcript:ENSMUST00000108238.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yif1b description:Yip1 interacting factor homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924504] MHATGLAAPAGTPRLPSKRRVPVSQPGMADPHQFFDDTSSAPSRGYGGQPSPGGLGYPPS SSDAAFLAAPMSNMAMVYGSSLAAQGKELVDKNIDRFIPVSKLKYYFAVDTVYVGKKLGL LVFPYLHQDWEVQYQQDTPVAPRFDINAPDLYIPAMAFITYILVAGLALGTQDRFSPDLL GLQASSALAWLTLEVVAILLSLYLVTVNTDLTTIDLVAFLGYKYVGMIGGVLTGLLFGKI GYYLVLAWCCVSIFVFMIRTLRLKILAQAAAEGVPVRGARNQLRMYLTMAVAAAQPVLMY WLTFHLVR >ENSMUSP00000032809.3 pep:known chromosome:GRCm38:7:29238353:29247529:1 gene:ENSMUSG00000030588.12 transcript:ENSMUST00000032809.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yif1b description:Yip1 interacting factor homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924504] MHATGLAAPAGTPRLRKWPSKRRVPVSQPGMADPHQFFDDTSSAPSRGYGGQPSPGGLGY PPSSSDAAFLAAPMSNMAMVYGSSLAAQGKELVDKNIDRFIPVSKLKYYFAVDTVYVGKK LGLLVFPYLHQDWEVQYQQDTPVAPRFDINAPDLYIPAMAFITYILVAGLALGTQDRFSP DLLGLQASSALAWLTLEVVAILLSLYLVTVNTDLTTIDLVAFLGYKYVGMIGGVLTGLLF GKIGYYLVLAWCCVSIFVFMIRTLRLKILAQAAAEGVPVRGARNQLRMYLTMAVAAAQPV LMYWLTFHLVR >ENSMUSP00000103872.1 pep:known chromosome:GRCm38:7:29238452:29247593:1 gene:ENSMUSG00000030588.12 transcript:ENSMUST00000108237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yif1b description:Yip1 interacting factor homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924504] MPSPGRGRIAASKRRVPVSQPGMADPHQFFDDTSSAPSRGYGGQPSPGGLGYPPSSSDAA FLAAPMSNMAMVYGSSLAAQGKELVDKNIDRFIPVSKLKYYFAVDTVYVGKKLGLLVFPY LHQDWEVQYQQDTPVAPRFDINAPDLYIPAMAFITYILVAGLALGTQDRMIGGVLTGLLF GKIGYYLVLAWCCVSIFVFMIRTLRLKILAQAAAEGVPVRGARNQLRMYLTMAVAAAQPV LMYWLTFHLVR >ENSMUSP00000117224.2 pep:known chromosome:GRCm38:7:29238473:29245994:1 gene:ENSMUSG00000030588.12 transcript:ENSMUST00000138128.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yif1b description:Yip1 interacting factor homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924504] GRGVWRGRRGGWRGHSRSDGGHEIPDLEFLASKRRVPVSQPGMADPHQFFDDTSSAPSRG YGGQPSPGGLGYPPSSSDAAFLAAPMSNMAMVYGSSLAAQGKELVDKNIDRFIPVSKLKY YFAVDTVYVGKKLGLLVFPYLHQDWEVQYQQDTPVAPRFDINAPDLYIPAMAFITYILVA GLALGTQDRFSPDLLGLQASSALAWLTLEVVAILLSLYLVTVNTDLTTIDLVAFLGYKYV GMIGGVLTGLLFGKIGYYLVLAWCCVSIFVFM >ENSMUSP00000146477.1 pep:known chromosome:GRCm38:7:29238527:29247597:1 gene:ENSMUSG00000030588.12 transcript:ENSMUST00000142519.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yif1b description:Yip1 interacting factor homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924504] DGGHEIPDLEFLGVHSPGMPSPASKRRVPVSQPGMADPHQFFDDTSSAPSRGYGGQPSPG GLGYPPSSSDAAFLAAPMSNMAMVYGSSLAAQGKELVDKNIDRFIPVSKLKYYFAVDTVY VGKKLGLLVFPYLHQDWEVQYQQDTPVAPRFDINAPDLYIPAMAFITYILVAGLALGTQD RFSPDLLGLQASSALAWLTLEVVAILLSLYLVTVNTDLTTIDLVAFLGYKYVGMIGGVLT GLLFGKIGYYLVLAWCCVSIFVFMIRTLRLKILAQAAAEGVPVRGARNQLRMYLTMAVAA AQPVLMYWLTFHLVR >ENSMUSP00000052194.3 pep:known chromosome:GRCm38:2:114193461:114201469:-1 gene:ENSMUSG00000040321.3 transcript:ENSMUST00000050668.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp770 description:zinc finger protein 770 [Source:MGI Symbol;Acc:MGI:2445100] MPLRNVMAENNFKMLKIQQCVANKLPRNRPYICNICFKHFETPSKLARHYLIHTGQKPFE CDVCHKNFRQLVHLERHQLTHNLPFSCNICQRHFKNLKTFVKHQQLHNESYHNDVKVRRL LETKQEKPVYGMCNTFTADERWALHPCSKSDATYSTTKRRKNIHACTICGKMFPSQSKLD RHSLIHTGQRPFKCVLCSKSFRQSTHLKIHQLTHSEERPFQCCFCQKGFKIQSKLLKHKQ IHTRNKTLQNLPLKVKSPESCPLPNKLNAKQDAFESGDMGESEENNPLDVHSIYIVPFQC SECEECFESEQILNGHKCLPARGGRVPSRLKRSCNYKTIVKKLLAKLKRAGGKKSDDLQS EKKRTFKSNYLKNCERSSGKPNIEQTQRTFVGSLSRHGSYKTVSKKKKKTLALPFSWQKQ FQSQNTGKSLQGILTTGSILSMDGSVNNKDLSIYGSSGEEYLNCDMLQCGFSDSSENIHT GHKMCPCDKCDKVFPSISKLQRHYLIHTGQRPFDCNVCGKSFRQSAHLKRHKLTHIEKIP YSSFWRVDFGNVNQLFIHPSDDVSYNASQQCEGLGSQSCESSESSQVSEIEVKVEPEDFL LGSHCRSRQSYLANALVESEQSHHCYSYLGRPERSDGLLYQCSVCCKHFRSPSKLERHYL IHAGQKPFECSVCGKTFRQAPHWKRHQLTHFKERPQEKVVLDSTV >ENSMUSP00000112602.1 pep:known chromosome:GRCm38:5:76588701:76646466:1 gene:ENSMUSG00000036403.15 transcript:ENSMUST00000121979.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep135 description:centrosomal protein 135 [Source:MGI Symbol;Acc:MGI:2681869] MTTAAERKYINIRKRLDQLGYRQTLSVDSLPLVEKLFSDLVHTTESLRQCRLSSGKAEKE SANLDFVLEPYKLENTRLNKENNELYLELMKLRECSDKHIKDLKTTLKKCSRETADLKFL NNQYVHKVKVLEKESKAKDEKIQQLQEKNLRAVVQTPGGRKRNIAFRRQRMQIDEPAPPS EVSAYPVPQPEDPYIADLLQVADNRIQELQEEVQQLQEKLAQMEKGVLDYSKQIELRERE IQRLSLALDGGCSPDVLSLETRNKTNEKLIAHLNVQVDFLQQANKELEKHIQELMETKET VTTEVVNLSNRNEKLCQELTEIDQLAQRLERHKEQVLETADKELGEAKKEIKRNLCEMRN LEEKMSKLQWELDLSHKEKERLNSELLLKSDLETVVHQLEQEKQRLSKKLQSFAVTEREL TLEVERMRLEHGIKRRDKSPSRLDTFLKGIEEERDYYKKELEKLQHLIQRRSCAINYSAR EKPPVVKCSEKGDCSTDVHLITRERDELQRMLERFEKYMEDIQSNVKLLTAERDKLNVLY KEAKEELSTLRKESTNSTSPNHLVSCVEKEKERALSELRRITAEKEALREKLKNIQERNA VGKSDLEKTIEHLTYINHQLENEKYELQSKMLMMKETVESLENKSKLQAQKLSHVTGDSS HQKTEMTSLRIVSEQLQRSLDDCQHRLSIKRGELESAQEQIKMLEQKLENLSHRMTVQSE ETHAMKKTIGVMDKEKDFLQETVDEKTEKIANLQESLLSKEKVIAQLKVTVAEYETSLNQ LQETLTTRDREINSLRRQLDASHKELDDVGKSREISFKENRRLQDDLATMARENQEISLE LEAAVQEKEEMKSRVHKYITEVSRWESLMAAKEKENKDLLDRFQMLHSRAEDWEVKAQQA EGENSSVRLELLSIDTERRHLRERVDLLEKEIQEHINAHHAYESQISSMAKAMSQLEEEL RRHESEKATMLGDVSSLRELCIKLDSGKDVMTQQLNSKSLELERAVAELENVKSESELLK KQLTNERQTIKNLESLLATNRDKEFQSHLTSHEKDTEIQLLKEKLNLSESKLTTQSRETS MLRTKVTQLQTDYDNLKRQMSNEKYERERAIQEMRRLGLPTSPLSSTLKSPVQTPDHINA >ENSMUSP00000038674.7 pep:known chromosome:GRCm38:5:76591714:76646465:1 gene:ENSMUSG00000036403.15 transcript:ENSMUST00000049060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep135 description:centrosomal protein 135 [Source:MGI Symbol;Acc:MGI:2681869] MTTAAERKYINIRKRLDQLGYRQTLSVDSLPLVEKLFSDLVHTTESLRQCRLSSGKAEKE SANLDFVLEPYKLENTRLNKENNELYLELMKLRECSDKHIKDLKTTLKKCSRETADLKFL NNQYVHKVKVLEKESKAKDEKIQQLQEKNLRAVVQTPGGRKRNIAFRRQRMQIDEPAPPS EVSAYPVPQPEDPYIADLLQVADNRIQELQEEVQQLQEKLAQMEKGVLDYSKQIELRERE IQRLSLALDGGCSPDVLSLETRNKTNEKLIAHLNVQVDFLQQANKELEKHIQELMETKET VTTEVVNLSNRNEKLCQELTEIDQLAQRLERHKEQVLETADKELGEAKKEIKRNLCEMRN LEEKMSKLQWELDLSHKEKERLNSELLLKSDLETVVHQLEQEKQRLSKKLQSFAVTEREL TLEVERMRLEHGIKRRDKSPSRLDTFLKGIEEERDYYKKELEKLQHLIQRRSCAINYSAR EKPPVVKCSEKGDCSTDVHLITRERDELQRMLERFEKYMEDIQSNVKLLTAERDKLNVLY KEAKEELSTLRKESTNSTSPNHLVSCVEKEKERALSELRRITAEKEALREKLKNIQERNA VGKSDLEKTIEHLTYINHQLENEKYELQSKMLMMKETVESLENKSKLQAQKLSHVTGDSS HQKTEMTSLRIVSEQLQRSLDDCQHRLSIKRGELESAQEQIKMLEQKLENLSHRMTVQSE ETHAMKKTIGVMDKEKDFLQETVDEKTEKIANLQESLLSKEKVIAQLKVTVAEYETSLNQ LQETLTTRDREINSLRRQLDASHKELDDVGKSREISFKENRRLQDDLATMARENQEISLE LEAAVQEKEEMKSRVHKYITEVSRWESLMAAKEKENKDLLDRFQMLHSRAEDWEVKAQQA EGENSSVRLELLSIDTERRHLRERVDLLEKEIQEHINAHHAYESQISSMAKAMSQLEEEL RRHESEKATMLGDVSSLRELCIKLDSGKDVMTQQLNSKSLELERAVAELENVKSESELLK KQLTNERQTIKNLESLLATNRDKEFQSHLTSHEKDTEIQLLKEKLNLSESKLTTQSRETS MLRTKVTQLQTDYDNLKRQMSNEKYERERAIQEMRRLGLPTSPLSSTLKSPVQTPDHINA >ENSMUSP00000022544.7 pep:known chromosome:GRCm38:14:64811600:64949850:-1 gene:ENSMUSG00000021972.14 transcript:ENSMUST00000022544.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmbox1 description:homeobox containing 1 [Source:MGI Symbol;Acc:MGI:2445066] MLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDK FGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPLPCTTNQNGRE NNDRLSTSNGKMSPSRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAF LANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNPGATLSMRP APIPIEDPEWRQTPPPVSATPGTFRLRRGSRFTWRKECLAVMESYFNENQYPDEAKREEI ANACNAVIQKPGKKLSDLERVTSLKVYNWFANRRKEIKRRANIEAAILESHGIDVQSPGG HSNSDDVDGNDYSEQSSFAGALIQLERQKGPPGCQQLPVLSGLL >ENSMUSP00000135448.1 pep:known chromosome:GRCm38:14:64822218:64949871:-1 gene:ENSMUSG00000021972.14 transcript:ENSMUST00000176128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmbox1 description:homeobox containing 1 [Source:MGI Symbol;Acc:MGI:2445066] MLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDK FGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPLPCTTNQNGRE NNDRLSTSNGKMSPSRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAF LANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNPGATLSMRP APIPIEDPEWRQTPPPVSATPGTFRLRRGSRFTWRKECLAVMESYFNENQYPDEAKREEI ANACNAVIQKPGKKLSDLERVTSLKVYNWFANRRKEIKRRANIEAAILESHGIDVQSPGG HSNSDDVDGNDYSEQDDSTSHSDHQDPISLAVEMAAVNHTILALARQGANEIKTEALDDD >ENSMUSP00000135211.1 pep:known chromosome:GRCm38:14:64822814:64949632:-1 gene:ENSMUSG00000021972.14 transcript:ENSMUST00000176832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmbox1 description:homeobox containing 1 [Source:MGI Symbol;Acc:MGI:2445066] MLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDK FGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPLPCTTNQNGRE NNDRLSTSNGKMSPSRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAF LANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNPGATLSMRP APIPIEDPEWRQTPPPVSATPGTFRLRRGSRFTWRKECLAVMESYFNENQYPDEAKREEI ANACNAVIQKPGKKLSDLERVTSLKVYNWFANRRKEIKRRANIAAILESHGIDVQSPGGH SNSDDVDGNDYSEQTDRMQAEVVAQGRQTPSGKCPWSGAQGTLAPASA >ENSMUSP00000135372.2 pep:known chromosome:GRCm38:14:64823169:64903266:-1 gene:ENSMUSG00000021972.14 transcript:ENSMUST00000177326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmbox1 description:homeobox containing 1 [Source:MGI Symbol;Acc:MGI:2445066] XSMLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHS DKFGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPLPCTTNQNG RENNDRLSTSNGKMSPSRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIK AFLANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNPGATLSM RPAPIPIEDPEWRQTPPPVSATPGTFRLRRGSRFTWRKECLAVMESYFNENQYPDEAKRE EIANACNAVIQKPGKKLSDLERVTSLKVYNWFANRRKEIKRRANIAAILESHGIDVQSPG GHSNSDDVDGNDYSEQDTWQARNGEEEEGRSSEGGREAEKDDSTSHSDHQDPISLAVEMA AVNHTILALARQGANEIKTEALDDD >ENSMUSP00000135272.1 pep:known chromosome:GRCm38:14:64825059:64949856:-1 gene:ENSMUSG00000021972.14 transcript:ENSMUST00000175744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmbox1 description:homeobox containing 1 [Source:MGI Symbol;Acc:MGI:2445066] MLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDK FGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPLPCTTNQNGRE NNDRLSTSNGKMSPSRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAF LANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNPGATLSMRP APIPIEDPEWRQTPPPVSATPGTFRLRRGSRFTWRKECLAVMESYFNENQYPDEAKREEI ANACNAVIQKPGKKLSDLERVTSLKVYNWFANRRKEIKRRANIAAILESHGIDVQSPGGH SNSDDVDGNDYSEQDTWQARNGEEEEGRSSEGGREAEKVEEERRI >ENSMUSP00000134824.1 pep:known chromosome:GRCm38:14:64825306:64949847:-1 gene:ENSMUSG00000021972.14 transcript:ENSMUST00000176489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmbox1 description:homeobox containing 1 [Source:MGI Symbol;Acc:MGI:2445066] MLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDK FGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPLPCTTNQNGRE NNDRLSTSNGKMSPSRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAF LANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNPGATLSMRP APIPIEDPEWRQTPPPVSATPGTFRLRRGSRFTWRKECLAVMESYFNENQYPDEAKREEI ANACNAVIQKPEAAILESHGIDVQSPGGHSNSDDVDGNDYSEQDTWQARNGEEEEGRSSE GGREA >ENSMUSP00000135657.2 pep:known chromosome:GRCm38:14:64825339:64949847:-1 gene:ENSMUSG00000021972.14 transcript:ENSMUST00000175905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmbox1 description:homeobox containing 1 [Source:MGI Symbol;Acc:MGI:2445066] MLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDK FGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPLPCTTNQNGRE NNDRLSTSNGKMSPSRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAF LANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNPGATLSMRP APIPIEDPEWRQTPPPVSATPGTFRLRRGSRFTWRKECLAVMESYFNENQYPDEAKREEI ANACNAVIQKPGKKLSDLERVTSLKVYNWFANRRKEIKRRANIEAAILESHGIDVQSPGG HSNSDDVDGNDYSEQVGGWAVAFRLGGGGAVATQVYGWLYGEVGGAAGVPPLCSCV >ENSMUSP00000066905.3 pep:known chromosome:GRCm38:14:64822218:64949847:-1 gene:ENSMUSG00000021972.14 transcript:ENSMUST00000067843.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmbox1 description:homeobox containing 1 [Source:MGI Symbol;Acc:MGI:2445066] MLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDK FGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPLPCTTNQNGRE NNDRLSTSNGKMSPSRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAF LANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNPGATLSMRP APIPIEDPEWRQTPPPVSATPGTFRLRRGSRFTWRKECLAVMESYFNENQYPDEAKREEI ANACNAVIQKPGKKLSDLERVTSLKVYNWFANRRKEIKRRANIAAILESHGIDVQSPGGH SNSDDVDGNDYSEQDDSTSHSDHQDPISLAVEMAAVNHTILALARQGANEIKTEALDDD >ENSMUSP00000104964.2 pep:known chromosome:GRCm38:11:33629790:33843585:-1 gene:ENSMUSG00000053519.15 transcript:ENSMUST00000109340.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip1 description:Kv channel-interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917607] MGAVMGTFSSLQTKQRRPSKDIAWWYYQYQRDKIEDELEMTMVCHRPEGLEQLEAQTNFT KRELQVLYRGFKNECPSGVVNEETFKQIYAQFFPHGDASTYAHYLFNAFDTTQTGSVKFE DFVTALSILLRGTVHEKLRWTFNLYDINKDGYINKEEMMDIVKAIYDMMGKYTYPVLKED TPRQHVDVFFQKMDKNKDGIVTLDEFLESCQEDDNIMRSLQLFQNVM >ENSMUSP00000069063.5 pep:known chromosome:GRCm38:11:33629790:33843527:-1 gene:ENSMUSG00000053519.15 transcript:ENSMUST00000065970.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip1 description:Kv channel-interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917607] MGAVMGTFSSLQTKQRRPSKDKIEDELEMTMVCHRPEGLEQLEAQTNFTKRELQVLYRGF KNECPSGVVNEETFKQIYAQFFPHGDASTYAHYLFNAFDTTQTGSVKFEDFVTALSILLR GTVHEKLRWTFNLYDINKDGYINKEEMMDIVKAIYDMMGKYTYPVLKEDTPRQHVDVFFQ KMDKNKDGIVTLDEFLESCQEDDNIMRSLQLFQNVM >ENSMUSP00000098919.2 pep:known chromosome:GRCm38:11:33629339:33843461:-1 gene:ENSMUSG00000053519.15 transcript:ENSMUST00000101368.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip1 description:Kv channel-interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917607] MTMVCHRPEGLEQLEAQTNFTKRELQVLYRGFKNECPSGVVNEETFKQIYAQFFPHGDAS TYAHYLFNAFDTTQTGSVKFEDFVTALSILLRGTVHEKLRWTFNLYDINKDGYINKEEMM DIVKAIYDMMGKYTYPVLKEDTPRQHVDVFFQKMDKNKDGIVTLDEFLESCQEDDNIMRS LQLFQNVM >ENSMUSP00000094793.2 pep:known chromosome:GRCm38:X:9063015:9063861:1 gene:ENSMUSG00000072249.3 transcript:ENSMUST00000097029.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fthl17f description:ferritin, heavy polypeptide-like 17, member F [Source:MGI Symbol;Acc:MGI:3642941] MAEAPSRVRQNYDWQCEDAVNTHIQLYLQSSYEYMSMAFYFDRDDVAQENFKRFFLTKSH NCQTSAEMFMHLQNKRGGCISLQDIARPERNNWHGGFQAMECAFHMEMLINQSLLNMHEV AKEKGDPHLCHFLEQNCLDQQVDILKEMSGYLTNLRQMGAVEHNLAEYLFDKLSLS >ENSMUSP00000042702.7 pep:known chromosome:GRCm38:8:91217030:91313262:-1 gene:ENSMUSG00000033282.13 transcript:ENSMUST00000047783.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgrip1l description:Rpgrip1-like [Source:MGI Symbol;Acc:MGI:1920563] MSGPSDETAGDLPVKDTGLNLFGVGGLQETSTARTVKTRQAVSRVSREELEDRFLRLHDE NILLKQHARKQEDKIKRMATKLIRLVNDKKRYERVGGGPKRLGRDVEMEEMIEQLQEKVH ELERQNEVLKNRLISAKQQLQVQGHRQTSYSRVQARVNTGRRRASASAGSQECPGKGLRF QNVDEAETVQPTLTKYSNSLLEEARGEIRNLENVIQSQRGQIEELEHLAEILKTQLKRKE NEIELSLLQLREQQATDQRSNIRDNVETIKLHKQLVEKSNALSVIEGKFIQLQEKQRTLR ISHDALMANGDELNKQLKEQRLKCCSLEKQLHSVRFSERRVEELQDRINDLEKERELLKE NYDKLYNSAFSAAHEEQWKLKEQQMKVQIAQLETALKSDLTDKTEVLDKLKTERDQNEKL VQENRDLQLQCLQQKQRLHELQSRLKFFNQESDINADDLSEALLLIKAQKEQKNGDLSFL EKVDSKINKDLDRSMKELQATHAETVQELEKTRNMLIMQHKINKDYQMEVETVTQKMENL QQDYELKVEQYVHLLDIRAARIQKLEAQLKDIAYGTKQYKFKPEIMPDDSVDEFDETIHL ERGENLFEIHINKVTFSSEVLRASGDKELVTFCTYAFYDFELQTTPIVRGLYPEYNFTSQ YLVHVNDLFLQYIQKNTVTLELHQAHSTDYETIAACQLRFHEILEKSGRIFCTTSLVGTK GDIPNFGTVEYWFRLRVPMDQAIRLYRERAKALGYITSNFKKPEKMQLSSQQAATTAQIS PAESTDGNLNELHVTVKCCTGLQSRASYLQPHAYVVYKFFDFPDHDTAIVPSSNDPQFDD HMCFPVPMNMDLDRYLKSESLSFYVFDDSDTQENIYMGKVNVPLISLAHDKCISGIFELM DKEKHAAGTIQVILKWKFTYLPPSGSITTEDLGKFVCREEPEAVQRLPPKSSDVTSVVAP KPKPRQRLTFVDKKVSFADTISHPSPETSPPPKDIKDSSPEVGPKPENGLSAVAYPSKES GVAKVEENVGEMQQGKEDDISFLSEGQLASGSVASSEDETEITEELEPEDEDRSASDSDD CIIPSSVSTNTKQPSEEIRIEIIALNLNDSQITREDTIQRLFIECRFYSLPAEETPMSLP KPQSGQWVYYNYSNVIYLDKENNPAVRDILKAILQRRELPHRSVRFTVVSDPPEDEQDLE CEDIGVAHVDLADLFQKGRDIIEQDIDVLDARTDGGTIGKLKVTVEALHALRSVYEQNRK DLEA >ENSMUSP00000118230.1 pep:known chromosome:GRCm38:8:91218956:91313222:-1 gene:ENSMUSG00000033282.13 transcript:ENSMUST00000139113.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpgrip1l description:Rpgrip1-like [Source:MGI Symbol;Acc:MGI:1920563] MSGPSDETAGDLPVKDTGLNLFGVGGLQETSTARTVKTRQAVSRVSREELEDRFLRLHDE NILLKQHARKQEDKIKRMATKLIRLVNDKKRYERVGGGPKRLGRDVEMEEMIEQLQEKVH ELERQNEVLKNRLISAKQQLQVQGHRQTSYSRVQARVNTGRRRASASAGSQECPGKGLRF QNVDEAETVQPTLTKYSNSLLEEARGEIRNL >ENSMUSP00000120818.1 pep:known chromosome:GRCm38:8:91308036:91311080:-1 gene:ENSMUSG00000033282.13 transcript:ENSMUST00000132757.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgrip1l description:Rpgrip1-like [Source:MGI Symbol;Acc:MGI:1920563] MSGPSDETAGDLPVKDTGLNLFGVGGLQETSTARTVKTRQAVSRVSREELEDRFLRLHDE NILLKQHARKQEDKIKRL >ENSMUSP00000093236.4 pep:known chromosome:GRCm38:17:23682584:23684018:-1 gene:ENSMUSG00000066720.6 transcript:ENSMUST00000085989.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn9 description:claudin 9 [Source:MGI Symbol;Acc:MGI:1913100] MASTGLELLGMTLAVLGWLGTLVSCALPLWKVTAFIGNSIVVAQVVWEGLWMSCVVQSTG QMQCKVYDSLLALPQDLQAARALCVVALLLALLGLLVAITGAQCTTCVEDEGAKARIVLT AGVLLLLSGILVLIPVCWTAHAIIQDFYNPLVAEALKRELGASLYLGWAAAALLMLGGGL LCCTCPPSHFERPRGPRLGYSIPSRSGASGLDKRDYV >ENSMUSP00000142215.1 pep:known chromosome:GRCm38:3:51483930:51484526:1 gene:ENSMUSG00000027739.9 transcript:ENSMUST00000192172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab33b description:RAB33B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1330805] MTSEMESSLEVSFSSSCAVSGASGCLPPARSRIFKIIVIGDSNVGKTCLTYRFCAGRFPD RTEAT >ENSMUSP00000063054.6 pep:known chromosome:GRCm38:3:51483966:51496232:1 gene:ENSMUSG00000027739.9 transcript:ENSMUST00000054387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab33b description:RAB33B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1330805] MTSEMESSLEVSFSSSCAVSGASGCLPPARSRIFKIIVIGDSNVGKTCLTYRFCAGRFPD RTEATIGVDFRERAVDIDGERIKIQLWDTAGQERFRKSMVQHYYRNVHAVVFVYDMTNMA SFHSLPAWIEECKQHLLANDIPRILVGNKCDLRSAIQVPTDLAQKFADTHSMPLFETSAK NPNDNDHVEAIFMTLAHKLKSHKPLMLSQLPDNRISLKPETKPAVTCWC >ENSMUSP00000102976.2 pep:known chromosome:GRCm38:7:80993681:81045164:1 gene:ENSMUSG00000005621.11 transcript:ENSMUST00000107353.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp592 description:zinc finger protein 592 [Source:MGI Symbol;Acc:MGI:2443541] MGDMKTPDFDDLLAAFDIPDPTSLDAKEAIQAPSEENESPLKSSGMCIDENVSLSHSGSA PDVPAVSVIVKNTSRQESFEAEKDHIAPSLLHNGFRGSDLPPDSHHCGKFDSTFINGDSA RSFTSKLEPSKSEPLPTFNQFSPISSPEPEDPVKDNGFGIKSKHSDSYFPPPPGTVGGPV LEALSKFPVPELHMFDHFCKKEPKPEPLPLESQQEHEQGGQKVVEPHKDLDSSRFFGEAL EFNSHPSNSIGEPKKLAPELSACSSVPPRQRLKPAHSKLSSCVAALVALQAKRVANVTKE DQPGHTKDSSGPTKEGSKGSPKMPKSPKSPRSPLEATRKSIKPSDSPRSICSDSSSKGSP SVAASSPPAIPKVRIKTIKTSSGEIKRTVTRILPDPDDPSKSPAESPAGSTITEAPSEAP GDEGTAMPVEEHFSEAGIHSGSPQGDRKGDENMIKTSDSSSPCRISGSRVPKGSALNSQA SKKQQSTAPQASTPAASLLPKAVHLANLNLVPHSVAASVTAKSSAQRRSQPQVTQMTVPL VHQVKKAAPLIVEVFNKVLHSSNPVPLYAPNLSPPADSRIHVPASGYCCLECGDAFALEK SLSQHYSRRSVHIEVLCTLCSKTLLFFNKCSLLRHARDHKSKGLVMQCSQLLVKPISADQ MFVAAPVNSTAPATPAASSSPKPSPTLDNASSVIPALPLYPDPVRLIRYGTKCPECHKQM RDYMVLATHFQRTTEETEGLTCQVCQMLLPNQCSFCAHQRIHAHKSPYCCPECGVLCRSA YFQTHVKENCLHYARKVGYRCIHCGVIHLTLALLKSHIQERHCQVFHKCAFCPMAFKTAS STMDHSTTQHPTQPHKPSQLIYKCSCEMVFNKKRHIQQHFYQNVSKTQAGVFKCPECPLL FLQKPELMQHVKNTHGVPRNVEELSSLQSSTDTSSNRPGSRAPAEPPATNVAARGSSLTA GRWGRPEAHRRAEARPRMRSTGWTCQECQEWVPDRESYVSHMKKSHGRTLKRYPCRQCEQ SFHNPSSLRKHIRNNHDTVKKVYTCGYCTEDSPSFPRPSLLESHISLMHGIRNPDLSQTS KVRHPGGPSPQVNHLKRPVSRMADAPGTSNGATVSSTKRHKSLFQCAKCTFATDSELEFQ SHIPQHQVDSSTAQCLLCGLCYTSTSSLNRHLFIVHKVRDQEEGGEDIVEVKVEAPDSEA CSGEEVAMETKENGLEECASEPLVTDLGGQQGLALDEDSAQDPQNQPQASQDQNSHALSP QV >ENSMUSP00000125516.1 pep:known chromosome:GRCm38:5:146231230:146302874:1 gene:ENSMUSG00000029635.15 transcript:ENSMUST00000162494.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk8 description:cyclin-dependent kinase 8 [Source:MGI Symbol;Acc:MGI:1196224] MDYDFKVKLSSERERVEDLFEYEGCKVGRGTYGHVYKAKRKDGKDDKDYALKQIEGTGIS MSACREIALLRELKHPNVISLLKVFLSHADRKVWLLFDYAEHDLWHIIKFHRASKANKKP VQLPRGMVKSLLYQILDGIHYLHANWVLHRDLLTWALPDYLIHL >ENSMUSP00000125668.1 pep:known chromosome:GRCm38:5:146231250:146302831:1 gene:ENSMUSG00000029635.15 transcript:ENSMUST00000161181.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk8 description:cyclin-dependent kinase 8 [Source:MGI Symbol;Acc:MGI:1196224] MSACREIALLRELKHPNVISLLKVFLSHADRKVWLLFDYAEHDLWHIIKFHRASKANKKP VQLPRGMVKSLLYQILDGIHYLHANWVLHRDLKPANILVMGEGPERGRVKIADMGFARLF NSPLKPLADLDPVVVTFWYRAPELLLGARHYTKAIGCIFAELLTSEPIFHCRQEDIKTSN PYHHDQLDRIFNVMGFPADKDWEDIKKMPEHSTLMKDFRRNTYTNCSLIKYMEKHKVKPD SKAFHLLQKLLTMDPIKRITSEQAMQDPYFLEDPLPTSDVFAGCQIPYPKREFLTEEEPD EKGDKKTQQQQQGNNHTNGTGHPGNQDSGHAQGPPLKKVRVVPPTTTSGGLIMTSDYQRS NPHAAYPNPGPSTSQPQSSMGYSATSQQPPQYSHQTHRY >ENSMUSP00000124323.1 pep:known chromosome:GRCm38:5:146231288:146292744:1 gene:ENSMUSG00000029635.15 transcript:ENSMUST00000161652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk8 description:cyclin-dependent kinase 8 [Source:MGI Symbol;Acc:MGI:1196224] MDYDFKVKLSSERERVEDLFEYEGCKVGRGTYGHVYKAKRKDGKDDKDYALKQIEGTGIS MSACREIALLRELKHPNVISLLKVFLSHADRKVWLLFDYAEHDLWHIIKFHRASKANKKP VQLPRGMVKSLLYQILDGIHYLHANWVLHRDLKPANILVMGEGPERGRVKIADMGFARLF NSPLKPLADLDPVVVTFWYRAPELLLGARHYTKAIELLTSEPIFHCRQEDIKTSNPYHHD QLDRI >ENSMUSP00000031640.8 pep:known chromosome:GRCm38:5:146231288:146302874:1 gene:ENSMUSG00000029635.15 transcript:ENSMUST00000031640.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk8 description:cyclin-dependent kinase 8 [Source:MGI Symbol;Acc:MGI:1196224] MDYDFKVKLSSERERVEDLFEYEGCKVGRGTYGHVYKAKRKDGKDDKDYALKQIEGTGIS MSACREIALLRELKHPNVISLLKVFLSHADRKVWLLFDYAEHDLWHIIKFHRASKANKKP VQLPRGMVKSLLYQILDGIHYLHANWVLHRDLKPANILVMGEGPERGRVKIADMGFARLF NSPLKPLADLDPVVVTFWYRAPELLLGARHYTKAIDIWAIGCIFAELLTSEPIFHCRQED IKTSNPYHHDQLDRIFNVMGFPADKDWEDIKKMPEHSTLMKDFRRNTYTNCSLIKYMEKH KVKPDSKAFHLLQKLLTMDPIKRITSEQAMQDPYFLEDPLPTSDVFAGCQIPYPKREFLT EEEPDEKGDKKTQQQQQGNNHTNGTGHPGNQDSGHAQGPPLKKVRVVPPTTTSGGLIMTS DYQRSNPHAAYPNPGPSTSQPQSSMGYSATSQQPPQYSHQTHRY >ENSMUSP00000124525.1 pep:known chromosome:GRCm38:5:146231385:146271664:1 gene:ENSMUSG00000029635.15 transcript:ENSMUST00000159467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk8 description:cyclin-dependent kinase 8 [Source:MGI Symbol;Acc:MGI:1196224] MDYDFKVKLSSERERVEDLFEYEGCKVGRGTYGHVYKAKRKDGKDDKDYALKQIEGTGIS MSACREIALLRELKHPNVISLLKVFLSHADRKHIIKFHRASKANKKPVQLPRGMV >ENSMUSP00000024518.9 pep:known chromosome:GRCm38:15:98877778:98881404:-1 gene:ENSMUSG00000023755.9 transcript:ENSMUST00000024518.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhebl1 description:Ras homolog enriched in brain like 1 [Source:MGI Symbol;Acc:MGI:1916409] MPLVRYRKVAILGYRSVGKTSLAHQFVEGEFLEGYDPTVENTYSKTVTLGKDEFHLHLVD TAGQDEYSILPYSLIIGVHGYVLVYSVNSLRSFQIVKNLYQKLHEGHGKTRLSVLLVGNK ADLSPEREVQAVEGKKLAESWGAMFMESSARDNQLTQDVFIKVIQEIARVENSYGRQDRR CYLM >ENSMUSP00000138756.1 pep:known chromosome:GRCm38:8:107044698:107047186:-1 gene:ENSMUSG00000078931.4 transcript:ENSMUST00000154271.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdf description:peptide deformylase (mitochondrial) [Source:MGI Symbol;Acc:MGI:1915273] XGCLFIDKMDSGTFTNLHWMEVND >ENSMUSP00000138676.1 pep:known chromosome:GRCm38:8:107046520:107048614:-1 gene:ENSMUSG00000078931.4 transcript:ENSMUST00000055316.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdf description:peptide deformylase (mitochondrial) [Source:MGI Symbol;Acc:MGI:1915273] MLLLGRLPRPAWVPGSRAQRCSSLAALEGPARTRSYWRYLRRLVCGAPQPPYAHVCQVGD PVLRVVAAPVEPEQLAGPELQRLVGRMVQVMRRRGCVGLSAPQLGVPLQVLALEFPDKLL RAFSPRLRELRQMEPFPLRVLVNPSLRVLDSRLVTFPEGCESVAGFLACVPRFQAVQISG LDPKGEPVVWSASGWTARIIQHEMDHLQGCLFIDKMDSGTFTNLHWMEVND >ENSMUSP00000080582.6 pep:known chromosome:GRCm38:7:17713238:17761132:1 gene:ENSMUSG00000008789.10 transcript:ENSMUST00000081907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam5 description:carcinoembryonic antigen-related cell adhesion molecule 5 [Source:MGI Symbol;Acc:MGI:1920500] MEASSVLPCKWCTHLQGLLLTASFLTCCHLPTTAQITIELEPPQVIEGENVLIRVNNLTE NLITLAWFRGMRIKSPQIGQYTPATKVTVLGPGHSGRETLYSNGSLQIYNVTQEDIGFYS LRIINKHAEIVSITSIYLNVYSSLWTCEHPSPHAKLTIESVPPGISEGGSVLLLVKNLPQ NLLSLFWYKGVIAVKKFEIARHIKATNSSVPGPAHTGRETVFSNGSLLLQEVMQSDTGFY TLRTMSTDLKDEVAHVQLYMDTYLLTCYHPLQVKIESLPQNVAVGKTVLLLVHNLPEDFQ AFFWYKSAYRRDTYKIAEYKRAMDATILGSAYSSREFIYNNGSMLIIDVTEDDAGYFLLE ILREDLKIEKAYIQLHVNSFVSNSKDSASTARLSIESVPPSIVEGGSVLLLVHNLPKNLQ SLFWYKGMIAEKKSELIQHIIATSSSLPGPAHSGRETVYNNGSLLLQRVMQNDTQFYTLQ TMDTDLKYEVAHVQLQLDTSLSTWYHPLQVKIESLPRNVAVGKSVLFLVHNFPEVFRAFS WYKPAYKSHTSKIVEYHRFTDSATVGAAYRGIEVIFTNGSMVMIDVTEDDAGFYMLEILR EDFKVEKAYVQLHVNSFVPNSKVSVSTARLSIESVPPSIVGGESVLLLVHNLPKNLQSLF WYKGVIAEEKSELIQHIIATSSSLPGPAHSGRETVYSNGSLLLQRVMQNDTGFYTLLTMS TDLKDEIAHVQLQLDTSTCCSLLTSDQLIIVPVPRNIAVGKSVLLLVCNVPKDVQTIFWY KSVYRTDIFKIAEYSRSMESTIWGLAHSGKEMVYTNGSLLIQNVTEHDAGLYMLEILHKD YKLERAHVQVHVNNPVSWPFVRVTDTTVRVQSSVVFTCFSADPGVSIRWLFNKQSLQLTE RMTLSPSKCQLSIDPVWREDAGKYQCEVSNPVSSKSSLPVRLAVIEE >ENSMUSP00000021204.3 pep:known chromosome:GRCm38:11:76257198:76399140:-1 gene:ENSMUSG00000020844.6 transcript:ENSMUST00000021204.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxn description:nucleoredoxin [Source:MGI Symbol;Acc:MGI:109331] MSGFLEELLGDKLVTGGGEEVDVHSLGARGIALLGLYFGCSLSAPCAQLSASLAAFYGRL RGDAAAGPGAGAGAGAAAEPEPRHRLEIVFVSSDQDQRQWQDFVRDMPWLALPYKEKHRK LKLWNKYRVSNIPSLIFLDATTGKVVCRNGLLVIRDDPEGLEFPWGPKPFREVIAGPLLR NNGQSLESSSLEGSHVGVYFSAHWCPPCRSLTRVLVESYRKIKEAGQEFEIIFVSADRSE ESFKQYFSEMPWLAVPYTDEARRSRLNRLYGIQGIPTLIVLDPQGEVITRQGRVEVLNDE DCREFPWHPKPVLELSDSNAVQLNEGPCLVLFVDSEDDGESEAAKQLIQPIAEKIIAKYK AKEEEAPLLFFVAGEDDMTDSLRDYTNLPEAAPLLTILDMSARAKYVMDVEEITPAIVET FVNDFLAEKLKPEPI >ENSMUSP00000029908.7 pep:known chromosome:GRCm38:4:21931331:21994751:1 gene:ENSMUSG00000028246.13 transcript:ENSMUST00000029908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faxc description:failed axon connections homolog [Source:MGI Symbol;Acc:MGI:1923382] MHWGVGFASSRPCVVDLSWNQSISFFGWWAGSEEPFSFYGDIIAFPLQDYGGIMAGLGSD PWWKKTLYLTGGALLAAAAYLLHELLVIRKQQELDSKDAIILHQFARPNNGVPSLSPFCL KMETYLRMADLPYQNYFGGKLSAQGKMPWIEYNNEKVSGTEFIIDFLEEKLGVNLNKSLG PHERAVSRAVTKMVEEHFYWTLAYCQWVDNLNETRKMLSLSGGGPFSNLLRWVVCHITKG IVKREMHGHGIGRFSEEEIYMLMEKDMRSLAGLLGDKKYIMGPKLSTLDATVFGHLAQAM WTLPGTRPERLIKGELINLAMYCERIRRKFWPEWHHDDDNTIYESEESSEGSKTHTPMLD FSFYSRTETFEDEGAENSFSRTPDTDFTGHSLFDSDVEMDDYTDHEQCK >ENSMUSP00000098745.3 pep:known chromosome:GRCm38:X:148857640:148924139:1 gene:ENSMUSG00000079387.10 transcript:ENSMUST00000101185.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luzp4 description:leucine zipper protein 4 [Source:MGI Symbol;Acc:MGI:3708816] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHYSRRSRNRFRRAPVHSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIDHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV GLEDGYSSAEESDESLDRLTCRSMLDTLY >ENSMUSP00000126473.1 pep:known chromosome:GRCm38:X:148882576:148924139:1 gene:ENSMUSG00000079387.10 transcript:ENSMUST00000163338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luzp4 description:leucine zipper protein 4 [Source:MGI Symbol;Acc:MGI:3708816] MANREDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHYSRRSRNRFRRAPVHSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIDHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV GLEDGYSSAEESDESLDRLTCRSMLDTLY >ENSMUSP00000031913.4 pep:known chromosome:GRCm38:6:41302269:41305532:1 gene:ENSMUSG00000054106.7 transcript:ENSMUST00000031913.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Try4 description:trypsin 4 [Source:MGI Symbol;Acc:MGI:102757] MRALLFLALVGAAVAFPVDDDDKIVGGYTCRENSVPYQVSLNSGYHFCGGSLINDQWVVS AAHCYKSRIQVRLGEHNINVLEGNEQFVNSAKIIKHPNFNSRTLNNDIMLIKLASPVTLN ARVATVALPSSCAPAGTQCLISGWGNTLSFGVNNPDLLQCLDAPLLPQADCEASYPGKIT NNMICVGFLEGGKDSCQGDSGGPVVCNGQLQGIVSWGYGCALKDNPGVYTKVCNYVDWIQ NTIAAN >ENSMUSP00000037503.4 pep:known chromosome:GRCm38:6:147032584:147034245:1 gene:ENSMUSG00000040121.5 transcript:ENSMUST00000036194.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rep15 description:RAB15 effector protein [Source:MGI Symbol;Acc:MGI:1913782] MGQKASQQVAPKDSQEVLAMCEVVSAAISHAAQKLKEYLGFEYPLSRLCLAASSLTEVFL VHFVTFCQERGADEWLTTTKMTKHQAWLFGADWIWTFWGPDKQIRLQVAVQTLRMASLPL TDPKSCESRGEESWKKGRFDKLQEFCNLVGEDCLGLFIIFGVPGEPKAIRGVVLESVRNG MMESQLPGRKAVEQFVLETKDCISIKELLGNCLSKRDGLSDMGRVYIRIL >ENSMUSP00000031051.6 pep:known chromosome:GRCm38:5:30933143:30945591:-1 gene:ENSMUSG00000029161.7 transcript:ENSMUST00000031051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cgref1 description:cell growth regulator with EF hand domain 1 [Source:MGI Symbol;Acc:MGI:1915817] MATWSRPGEGRLRAAGRERRGAYRVHEAGAPVAHSAAAAAPVSSRMFQWLMQALMLPLLL LPLGRAAPKDGVARLDPEVQQQLTPNPFQPGPEQLRHLQNYLKGLEKMEEDPEHMDREQV LLSLFALHDYDQNGQLDGLELLSMLTAALAPGAAHFPINPVILVVDSVLETQDLDGDGLM TPAELINFPEVPKHTESLPPALQEPQPAGSQPLLANSPLQSETQQSLGTKEIRSQVEAKR ASLEPEQEAGHQTEGKVDTLSPEEEARGQAESEGDVPGPREGAEEQVEIKDNEGEAKELL VETLESLNTPNEAEAHSIQLENDEI >ENSMUSP00000121278.1 pep:known chromosome:GRCm38:18:61730261:61786611:-1 gene:ENSMUSG00000033032.15 transcript:ENSMUST00000154876.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Afap1l1 description:actin filament associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:2147199] MDRSRVLEQLIPELTGLLSLLDHEYLSDSTLEKKMAVASLLQSLQPLPAKEVSFLYVNTA DLHSGPSFVESLFEEFDCDLGDLRDMSDDGEPSKGASPEPTKSPSLRSTSGTSCASPRGP QRC >ENSMUSP00000113286.1 pep:known chromosome:GRCm38:18:61730261:61786702:-1 gene:ENSMUSG00000033032.15 transcript:ENSMUST00000120472.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afap1l1 description:actin filament associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:2147199] MDRSRVLEQLIPELTGLLSLLDHEYLSDSTLEKKMAVASLLQSLQPLPAKEVSFLYVNTA DLHSGPSFVESLFEEFDCDLGDLRDMSDDGEPSKGASPEPTKSPSLRSAAADVPPPLPNK PPPEDYYEEALPLGPGKSPEYISSHNGCSPAQSIVDGYYEDADNSYPTTRMNGELKNSYN DSDAMSSSYESYDEEEEEEKGRQPKHQWPSEEASMHLVRDCRICAFLLRKKRFGQWAKQL TVIKEEQLLCYKSSKDRQPHLRLALDVCTVIYVPKDSRHKRHELRFSQGATEVLVLALQS REQAEEWLKVIREVSRPIVGAEGLEVPRSPVILCKADQDKRLSQEKQNSDSDSLGMNDSG STLGRREACEHGKGKKNSLAELKGSMSRAAGRKITRIISFSKKKALSEDLQTFSSEDEVP CCGYLNVLVNQGWKERWCRLRCNTLYFHKDRTDLHTHVNSIALRGCEVAPGFGPRHPFAF RILRNRQEVAILEASCSEDMGRWLGLLLVEMGSKVTPEALHYDYVDVETLTSIVSAGRNS FLYAQSCQDQWPEPRIYDEVPYEKVQDEEPQRPTGAQVKRHASSCSEKSHRADPQVKVKR HASSANQYKYGKNRAEEDARRYLVEKERLEKEKETIRTELTALRQEKKELKEAIRNNPGA KSKALEEAVATLEAQCRAKEEQRIDLELKLVAVKERLQQSLAGGPALGLSVSNKNKSQDT TNKPQSNAPEQSLPVNCVSELRKRSPSIVTSNQGRVLQKAKEWEMKKT >ENSMUSP00000145099.1 pep:known chromosome:GRCm38:10:129427424:129437752:1 gene:ENSMUSG00000095696.2 transcript:ENSMUST00000204529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr786 description:olfactory receptor 786 [Source:MGI Symbol;Acc:MGI:3030620] MRNHTETTEFILLGLSDDPKLQVVIFVFLFITYTLSITGNLTIITLTLLDSHLQTPMYFF LRNFATLEVSFTTVCIPRFLGTIISGDKTISFNNCIAQLFFLILFGVTEFYLLAAMSYDR YIAICKPLHYLTIMSQKVCKMLVFASWLVSFLIIFPALMLLLQLDYCVSNIIDHYTCDYF PLLQLSCSDTKFLEKMGFSCAVFTLMFTLALVFWSYTYIIRTIVKIPSASQRSKAFSTCS SHMIVISISYGSCIFMYIKPSAADRASLTKGVAILNTSVAPMLNPFIYSLRNQQVRQAFM NMARKMVFFTSK >ENSMUSP00000075827.2 pep:known chromosome:GRCm38:10:129436814:129437752:1 gene:ENSMUSG00000095696.2 transcript:ENSMUST00000076508.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr786 description:olfactory receptor 786 [Source:MGI Symbol;Acc:MGI:3030620] MRNHTETTEFILLGLSDDPKLQVVIFVFLFITYTLSITGNLTIITLTLLDSHLQTPMYFF LRNFATLEVSFTTVCIPRFLGTIISGDKTISFNNCIAQLFFLILFGVTEFYLLAAMSYDR YIAICKPLHYLTIMSQKVCKMLVFASWLVSFLIIFPALMLLLQLDYCVSNIIDHYTCDYF PLLQLSCSDTKFLEKMGFSCAVFTLMFTLALVFWSYTYIIRTIVKIPSASQRSKAFSTCS SHMIVISISYGSCIFMYIKPSAADRASLTKGVAILNTSVAPMLNPFIYSLRNQQVRQAFM NMARKMVFFTSK >ENSMUSP00000075611.2 pep:known chromosome:GRCm38:16:59109625:59110633:-1 gene:ENSMUSG00000060057.3 transcript:ENSMUST00000076262.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr193 description:olfactory receptor 193 [Source:MGI Symbol;Acc:MGI:3030027] MEMDNTTLLIQFVLSGLVHLPQWKIPLFLLFLVIYLITIVGNLGLITLIWNDPHLHIPMY FFLGSLAFVDTWLSSTVTPKMLQDIFAKNKMISFSECMIQFFSFGISATTECFLLASMAY DRYVAICKPLLYPVIMTNRLCVRLLTLSFVGGFIHALIHEGFLFRLIFCSSHIIDHFYCD VMPLLKISCNDPSINYLMLFIFSGSIQVFTITTILVSYTLVLFSILKQKSLKSIKKAFST CGAHLLSVSLYYGPLLFMYVRPASPQVNDEDMMDSVFYTIIIPVLNPIIYSLRNKQVKKS LAKFLRRNT >ENSMUSP00000146393.1 pep:known chromosome:GRCm38:16:59110124:59111850:-1 gene:ENSMUSG00000060057.3 transcript:ENSMUST00000208455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr193 description:olfactory receptor 193 [Source:MGI Symbol;Acc:MGI:3030027] MEMDNTTLLIQFVLSGLVHLPQWKIPLFLLFLVIYLITIVGNLGLITLIWNDPHLHIPMY FFLGSLAFVDTWLSSTVTPKMLQDIFAKNKMISFSECMIQFFSFGISATTECFLLASMAY DRYVAICKPLLYPVIMTNRLCVRLLTLSFVGGFIHALIHEG >ENSMUSP00000146443.1 pep:known chromosome:GRCm38:16:59110201:59111872:-1 gene:ENSMUSG00000060057.3 transcript:ENSMUST00000207935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr193 description:olfactory receptor 193 [Source:MGI Symbol;Acc:MGI:3030027] MEMDNTTLLIQFVLSGLVHLPQWKIPLFLLFLVIYLITIVGNLGLITLIWNDPHLHIPMY FFLGSLAFVDTWLSSTVTPKMLQDIFAKNKMISFSECMIQFFSFGISATTECFLLASMAY DRYVAICKPLLYPVIM >ENSMUSP00000020362.2 pep:known chromosome:GRCm38:11:33963013:33973641:1 gene:ENSMUSG00000020155.2 transcript:ENSMUST00000020362.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb1 description:potassium large conductance calcium-activated channel, subfamily M, beta member 1 [Source:MGI Symbol;Acc:MGI:1334203] MGKKLVMAQKRGETRALCLGVAMVVCAAITYYVLGTTVLPLYQKSVWTQESICHLIETNI KDQEELEGKKVPQYPCLWVNVSAVGRWAMLYHTEDTRDQNQQCSYIPRNLDNYQTALADV KKVRANFYKHHEFYCLSAPQVNETSVVYQRLYGPQVLLFSFFWPTFLLTGGLILIAMVKL NRSLSILAAQK >ENSMUSP00000058811.7 pep:known chromosome:GRCm38:3:10088110:10092565:1 gene:ENSMUSG00000049230.8 transcript:ENSMUST00000061419.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9833 description:predicted gene 9833 [Source:MGI Symbol;Acc:MGI:3641855] MADADKSEAAAGDDGSQQQPAEPRRDTHPGEPEKPPRSSANGVKMENDESVKEEKSDLKE KSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVFISNIPYDMKWQAIKDLMREKVGEVTY VELFKDAEGKSRGCGVVEFKDEEFVKKALETMNKYDLSGRPLNIKEDPDGENARRALQRT GTSFQGSHASDVGSGLVNLPPSILNNPNIPPEVISNLQAGRLGSTIFVANLDFKVGWKKL KEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMFNGQFLFDRPMHVKMDD KSVPHEDYRSHDSKTSQLPRGLGGIGMGLGPGGQPISASQLNITGVMGNLGPSGMGMDGP GFGGVNRIGGGVGFGGLEAMNSMAGFGGVGRMGGGSMSGMSTVTGGMGMGLDRMSSSFDR MGPGIGAILERSIDVDRGFLSGPMGSGMRDRLGSKGNQIFVRNLPFDLTWQKLKEKFSQC GQINRDSKTHSNCGQHHS >ENSMUSP00000035115.4 pep:known chromosome:GRCm38:9:121642716:121672954:1 gene:ENSMUSG00000032528.4 transcript:ENSMUST00000035115.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vipr1 description:vasoactive intestinal peptide receptor 1 [Source:MGI Symbol;Acc:MGI:109272] MRPPSLPPARWLCVLAGALACALGPAGSRAASPHQECEYLQMIEKQRQQCLEEAQLENET TGCSKMWDNLTCWPTTPWGQVVVLDCPLIFQLFSPIHGYNISRNCTEEGWSQLEPGPYHI ACGLNDRASSMDEQQQTEFYDAVKTGYTIGYSLSLASLLVAMAILSLFRKLHCTRNYIHM HLFMSFILRATAVFIKDMALFNNGETDHCSEASVSCKAAVVFFQYCVMANFFWLLVEGLY LHTLLAVSFFSERKYFWGYILIGWGVPSVFIMIWTIVRIHFEDFGCWDTIINSSLWWIIK GPILISILVNFILFICIIRILVQKLRPPDIGKNDSSPYSRLAKSTLLLIPLFGVHYVMFA FFPDNFKAQVKMVFELVVGSFQGFVVAILYCFLNGEVQAELRRKWRRWHLQGVLGWSSKS QHPWGGSNGVSCSTQVSMLTRVSPSARRSSSFQAEVSLV >ENSMUSP00000054488.3 pep:known chromosome:GRCm38:19:29321344:29325356:-1 gene:ENSMUSG00000050957.4 transcript:ENSMUST00000052380.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insl6 description:insulin-like 6 [Source:MGI Symbol;Acc:MGI:1351595] MKQLCCSCLLWLGLLLTPFSREEEEESRPRKLCGRHLLIEVIKLCGQSDWSRFEMEEQSP MTQFFPHYSRKGKAFNPHPSSSAWRRFTNPVPAGVSQKKGTHTWEPQSLPDYQFEKTELL PKARVFSYHSGKPYVKSVQLQKKSTNKMNTFRSLFWGNHSQRKRRGFADKCCVIGCTKEE MAVACLPFVDF >ENSMUSP00000072407.1 pep:known chromosome:GRCm38:16:59119148:59120068:-1 gene:ENSMUSG00000063020.1 transcript:ENSMUST00000072608.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr194 description:olfactory receptor 194 [Source:MGI Symbol;Acc:MGI:3030028] MEVNRSQVSDFVLKGITDHTELQVPLFLLFFFIYVTTMVGNLGLIFLIWKDPHLHTPMYY FLGSLAFADACTSSSVTPRMLVNILDNGKMISLSECMAQYYVFGSSATTECFLLVAMAYD RYVAICNPLLYLVVMSNRVCTCLISGSYIIGFLHPLIHVGLLFRLTFCKSNIIDHFYCEI LPLYTISCTDPSINAFVVFIFSAVIQAVTFMSIAVSYAHVLFSILKTKSERGRRKAFSTC SAHLLSVSLFYGTLFFMYVSPGSGPSKYKNKMYSLFYTIVIPLLNPFIYSLRNKEVLGAL RKIIKS >ENSMUSP00000056621.5 pep:known chromosome:GRCm38:11:34046920:34092295:1 gene:ENSMUSG00000002699.13 transcript:ENSMUST00000052413.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcp2 description:lymphocyte cytosolic protein 2 [Source:MGI Symbol;Acc:MGI:1321402] MALKNVPFRSEVLAWNSDNLADYFRKLNYRDCEKAVKKYHIDGARFLNLTENDIQKFPKL RMPLLSKLSQDINKNEERRSIFTRKPQIPRFLEETESHEEDDGGWSSFEDDYESPNDDDP DGEDDGDYESPNEEEQALVDDAADYEPPPSNNEEALQSSILPPNSFHNTNSMYIDRPPTG KVSQQPPVPPLRPKPALPPLPTGRNHSPLSPPHPNHEEPSRSGNNKTAKLPAPSIDRSTK PPLDRSLAPLDREPFILGKKPPFSDKPSAPLGREHLPKIQKPPLPPAMDRHERNERLGPV TTRKPPVPRHGRGPDRRENDEDDVHQRPLPQPSLPSMSSNTFPSRSVQPSSKNTFPLAHM PGAFSESNIGFQQSASLPPYFSQGPGNRPPLRSEGRNLPLPVPNRPQPPSPGEEETPLDE EWYVSYITRPEAEAALRKINQDGTFLVRDSSKKTANNPYVLMVLYKDKVYNIQIRYQEES QVYLLGTGLRGKEDFLSVSDIIDYFRKMPLLLIDGKNRGSRYQCTLTHAAGCL >ENSMUSP00000104952.2 pep:known chromosome:GRCm38:11:34047168:34090224:1 gene:ENSMUSG00000002699.13 transcript:ENSMUST00000109329.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcp2 description:lymphocyte cytosolic protein 2 [Source:MGI Symbol;Acc:MGI:1321402] MALKNVPFRSEVLAWNSDNLADYFRKLNYRDCEKAVKKYHIDGARFLNLTENDIQKFPKL RMPLLSKLSQDINKNEERRSIFTRKPQIPRFLEETESHEEDDGGWSSFEDDYESPNDDDP DGEDDGDYESPNEEEQALVDDAADYEPPPSNNEEALQSSILPPNSFHNTNSMYIDRPPTG KVSQQPPVPPLRPKPALPPLPTGRNHSPLSPPHPNHEEPSRSGNNKTAKLPAPSIDRSTK PPLDRSLAPLDREPFILGKKPPFSDKPSAPLGREHLPKIQKPPLPPAMDRHERNERLGPV TTRKPPVPRHGRGPDRRENDEDDVHQRPLPQPSLPSMSSNTFPSRSVQPSSKNTFPLAHM PGAFSESNIGFQQSASLPPYFSQGPGNRPPLRSEGRNLPLPVPNRPQPPSPGEEETPLDE EWYVSYITRPEAEAALRKINQDGTFLVRDSSKKTANNPYVLMVLYKDKVYNIQIRYQEES QVYLLGTGLRGKEVRTLVFTLCPDPILYSNYAVESHAVWQLCC >ENSMUSP00000099509.3 pep:known chromosome:GRCm38:2:127208399:127240451:1 gene:ENSMUSG00000003660.10 transcript:ENSMUST00000103220.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp200 description:small nuclear ribonucleoprotein 200 (U5) [Source:MGI Symbol;Acc:MGI:2444401] MADVTARSLQYEYKANSNLVLQADRSLIDRTRRDEPTGEVLSLVGKLEGTRMGDKAQRTK PQMQEERRAKRRKRDEDRHDMNKMKGYTLLSEGIDEMVGIIYKPKTKETRETYEVLLSFI QAALGDQPRDILCGAADEVLAVLKNEKLRDKERRREIDLLLGQTDDTRYHVLVNLGKKIT DYGGDKEIQNMDDNIDETYGVNVQFESDEEEGDEDVYGEVREEASDDDMEGDEAVVRCTL SANLVASGELMSSKKKDLHPRDIDAFWLQRQLSRFYDDAIVSQKKADEVLEILKTASDDR ECENQLVLLLGFNTFDFIKVLRQHRMMILYCTLLASAQSEPEKERIVGKMEADPELSKFL YQLHETEKEDLIREERSRRERVRQSRMDTDLETMDLDQGGEALAPRQVLDLEDLVFTQGS HFMANKRCQLPDGSFRRQRKGYEEVHVPALKPKPFGSEEQLLPVEKLPKYAQAGFEGFKT LNRIQSKLYRAALETDENLLLCAPTGAGKTNVALMCMLREIGKHINMDGTINVDDFKIIY IAPMRSLVQEMVGSFGKRLATYGITVAELTGDHQLCKEEISATQIIVCTPEKWDIITRKG GERTYTQLVRLIVLDEIHLLHDDRGPVLEALVARAIRNIEMTQEDVRLIGLSATLPNYED VATFLRVDPAKGLFYFDNSFRPVPLEQTYVGITEKKAIKRFQIMNEIVYEKIMEHAGKNQ VLVFVHSRKETGKTARAIRDMCLEKDTLGLFLREGSASTEVLRTEAEQCKNLELKDLLPY GFAIHHAGMTRVDRTLVEDLFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQVYSPEKGR WTELGALDILQMLGRAGRPQYDTKGEGILITSHGELQYYLSLLNQQLPIESQMVSKLPDM LNAEIVLGNVQNAKDAVNWLGYAYLYIRMLRSPTLYGISHDDLKGDPLLDQRRLDLVHTA ALMLDKNNLVKYDKKTGNFQVTELGRIASHYYITNDTVQTYNQLLKPTLSEIELFRVFSL SSEFKNITVREEEKLELQKLLERVPIPVKESIEEPSAKINVLLQAFISQLKLEGFALMAD MVYVTQSAGRLMRAIFEIVLNRGWAQLTDKTLNLCKMIDKRMWQSMCPLRQFRKLPEEVV KKIEKKNFPFERLYDLNHNEIGELIRMPKMGKTIHKYVHLFPKLELSVHLQPITRSTLKV ELTITPDFQWDEKVHGSSEAFWILVEDVDSEVILHHEYFLLKAKYAQDEHLITFFVPVFE PLPPQYFIRVVSDRWLSCETQLPVSFRHLILPEKYPPPTELLDLQPLPVSALRNSAFESL YQDKFPFFNPIQTQVFNTVYNSDDNVFVGAPTGSGKTICAEFAILRMLLQNSEGRCVYIT PMEALAEQVYMDWYEKFQDRLNKKVVLLTGETSTDLKLLGKGNIIISTPEKWDILSRRWK QRKNVQNINLFVVDEVHLIGGENGPVLEVICSRMRYISSQIERPIRIVALSSSLSNAKDV AHWLGCSATSTFNFHPNVRPVPLELHIQGFNISHTQTRLLSMAKPVYHAITKHSPKKPVI VFVPSRKQTRLTAIDILTTCAADIQRQRFLHCTEKDLIPYLEKLSDSTLKETLLNGVGYL HEGLSPMERRLVEQLFSSGAIQVVVASRSLCWGMNVAAHLVIIMDTQYYNGKIHAYVDYP IYDVLQMVGHANRPLQDDEGRCVIMCQGSKKDFFKKFLYEPLPVESHLDHCMHDHFNAEI VTKTIENKQDAVDYLTWTFLYRRMTQNPNYYNLQGISHRHLSDHLSELVEQTLSDLEQSK CISIEDEMDVAPLNLGMIAAYYYINYTTIELFSMSLNAKTKVRGLIEIISNAAEYENIPI RHHEDNLLRQLAQKVPHKLNNPKFNDPHVKTNLLLQAHLSRMQLSAELQSDTEEILSKAI RLIQACVDVLSSNGWLSPALAAMELAQMVTQAMWSKDSYLKQLPHFTSEHIKRCTDKGVE SVFDIMEMEDEERNALLQLTDSQIADVARFCNRYPNIELSYEVVDKDSIRSGGPVVVLVQ LEREEEVTGPVIAPLFPQKREEGWWVVIGDAKSNSLISIKRLTLQQKAKVKLDFVAPATG GHNYTLYFMSDAYMGCDQEYKFSVDVKEAETDSDSD >ENSMUSP00000045376.7 pep:known chromosome:GRCm38:3:145938014:145944829:1 gene:ENSMUSG00000036873.13 transcript:ENSMUST00000039571.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410004B18Rik description:RIKEN cDNA 2410004B18 gene [Source:MGI Symbol;Acc:MGI:1913671] MAAEEKDPLSYFAAYGSSSSDSSDENSEPEDAGRKEAASAPTTGGRGKQAEKRLPGPDEL FRSVTRPAFLYNPLNKQIDWERHVVKAPEEPPKEFKIWKSNCVPPPETYTTEKKPPPPEL DMAIKWSNIYEDNGDDAPQNAKKARLLPEGEETVESDDDKDERASKIRRVEPGEAAKKKK >ENSMUSP00000119149.1 pep:known chromosome:GRCm38:3:145938042:145944827:1 gene:ENSMUSG00000036873.13 transcript:ENSMUST00000134575.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2410004B18Rik description:RIKEN cDNA 2410004B18 gene [Source:MGI Symbol;Acc:MGI:1913671] MAAEEKDPLSYFAAYGSSSSDSSDENSEPEDAGRKEAASAPTTGGRGKQAEKRLPGPDEL FRSVTRPAFLYNPLNKQIDWERHVVKAPEELLPETPSL >ENSMUSP00000118224.1 pep:known chromosome:GRCm38:3:145938190:145943810:1 gene:ENSMUSG00000036873.13 transcript:ENSMUST00000152783.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2410004B18Rik description:RIKEN cDNA 2410004B18 gene [Source:MGI Symbol;Acc:MGI:1913671] XGRGKQAEKRLPGPDELFRSVTRPAFLYNPLNKQIDWERHVVKAPEELLPETPSL >ENSMUSP00000140015.1 pep:known chromosome:GRCm38:3:145938285:145944242:1 gene:ENSMUSG00000036873.13 transcript:ENSMUST00000190472.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410004B18Rik description:RIKEN cDNA 2410004B18 gene [Source:MGI Symbol;Acc:MGI:1913671] XQIDWERHVVKAPEEPPKEFKIWKSNCVPPPETYTTEKKPPPPELDMAIKWSNIYEDNGD DAPQNAKKARLLPEGEETVESEN >ENSMUSP00000140075.1 pep:known chromosome:GRCm38:3:145939086:145944198:1 gene:ENSMUSG00000036873.13 transcript:ENSMUST00000188034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410004B18Rik description:RIKEN cDNA 2410004B18 gene [Source:MGI Symbol;Acc:MGI:1913671] XVESGRQRQENLWGLKASLSNNW >ENSMUSP00000101875.3 pep:known chromosome:GRCm38:4:121105651:121109226:-1 gene:ENSMUSG00000078577.3 transcript:ENSMUST00000106268.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco2 description:transmembrane and coiled-coil domains 2 [Source:MGI Symbol;Acc:MGI:1916719] MPTFPTTTSSWDNLLNALARSSIWNWLQAMFIGETTSAPQPTNLGILDNLAPAVQIILGI SFLTLLAIGLFALWKRSIRSIQKIVMFVITLYQLYKKGSDFFQVLLANPEGSGRQIQDNN NIFLSLGLQEKILKKLQMVENKVRDLEGIIVARKPASKRDCSSEPYCSCSDCQSPLPTSG FTSTSEM >ENSMUSP00000047680.2 pep:known chromosome:GRCm38:13:27242430:27249679:1 gene:ENSMUSG00000038891.2 transcript:ENSMUST00000035273.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl3b1 description:prolactin family 3, subfamily b, member 1 [Source:MGI Symbol;Acc:MGI:97607] MKLSLSQPCSFSGALLLLAVSNLLVWEKVTSLPNYRLPTESLYQRVIVVSHNAHDLASKA FMEFEMKFGRTAWTYGLMLSPCHTAAILTPENSEQVHQTTSEDLLKVSITILQAWEEPLK HMVAAVAALPHVPDTLLSRTKELEERIQGLLEGLKIIFNRVYPGAVASDYTFWSAWSDLQ SSDESTKNSALRTLWRCVRRDTHKVDNYLKVLKCRDVHNNNC >ENSMUSP00000134168.1 pep:known chromosome:GRCm38:7:5674627:5676383:1 gene:ENSMUSG00000092579.1 transcript:ENSMUST00000173956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r62 description:vomeronasal 1 receptor 62 [Source:MGI Symbol;Acc:MGI:3033471] MEVLALQILLLCHVVVGTVGNILLFVHNFSPILTDSRLRPIQVILINLAVANAFMLLLFA YSYDLTDIVPRKPPTDLKCKLAYFFHLVARGTIMCSTCVLSTYQFVTLVPGTWARVMFSE ISPKVVSYCCYSCWLFSVLNNAYMLMNVSGPQKSHNDSDSKSNSICSISGVSVDMNILRF SHDIIFLSIMAWTSVSMVIHLHRHHQRMNHIHKVNQNNRGHAETRAAHTILMLVVTFVSL YILNCISILFYISFVESRLWLRYVTKLLALSFPTISPLLLIFRDSKGHCSLHIMSVWKST >ENSMUSP00000124780.1 pep:known chromosome:GRCm38:5:93634993:93671551:-1 gene:ENSMUSG00000070686.10 transcript:ENSMUST00000162964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87414 description:expressed sequence C87414 [Source:MGI Symbol;Acc:MGI:2141341] MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRHTNLLKAMVAAWPFPCLPV GPLMKKPNLETLQALLDGIDMRLTREFHPRRAKLQVLDMRNMYHAFWNIRADANDSDCKS KTLDEKQLVKVLPRYARRQRLKVIVNLSISSHFNKSKAYFLNWAKQRIGSLYFCCIKMRI WDPPDQVIRDIFNVFDPEHITELELNTDWTLLQLAHFASYFGHMKNLQKVFLAPLHKNTS PIINITNATEAKCVHKIISQFSQFNCLQHIFMKHVHFLRDYMNQVLGCLMTPLETLSITQ CLISQRDFDLFSCSQNLFKLKHLEIRGMILYALDLMPLRVLLEKVADTLEILDFQWCRMK DSQINALLPALSQCTQLNQINFYNNDFSMCTLKALFQHTANWSKMNVEQYPAPLQCYSEL GHVSVERFVQLCQELMYTLRAIRQPKSISFATDNCQKCGKPCVYDQGPILCSCFQ >ENSMUSP00000075662.6 pep:known chromosome:GRCm38:5:93635254:93671398:-1 gene:ENSMUSG00000070686.10 transcript:ENSMUST00000076321.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87414 description:expressed sequence C87414 [Source:MGI Symbol;Acc:MGI:2141341] MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRHTNLLKAMVAAWPFPCLPV GPLMKKPNLETLQALLDGIDMRLTREFHPRCLMTPLETLSITQCLISQRDFDLFSCSQNL FKLKHLEIRGMILYALDLMPLRVLLEKVADTLEILDFQWCRMKDSQINALLPALSQCTQL NQINFYNNDFSMCTLKALFQHTANWSKMNVEQYPAPLQCYSELGHVSVERFVQLCQELMY TLRAIRQPKSISFATDNCQKCGKPCVYDQGPILCSCFQ >ENSMUSP00000124250.1 pep:known chromosome:GRCm38:5:93636706:93671389:-1 gene:ENSMUSG00000070686.10 transcript:ENSMUST00000159578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87414 description:expressed sequence C87414 [Source:MGI Symbol;Acc:MGI:2141341] MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRHTNLLKAMVAAWPFPCLPV GPLMKKPNLETLQALLDGIDMRLTREFHPRRAKLQVLDMRNMYHAFWNIRADANDSDCKS KTLDEKQLVKVLPRYARRQRLKVPDDTLGDPLHHS >ENSMUSP00000125428.1 pep:known chromosome:GRCm38:5:93637914:93671397:-1 gene:ENSMUSG00000070686.10 transcript:ENSMUST00000160382.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87414 description:expressed sequence C87414 [Source:MGI Symbol;Acc:MGI:2141341] MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRHTNLLKAMVAAWPFPCLPV GPLMKKPNLETLQALLDGIDMRLTREFHPRRAKLQVLDMRNMYHAFWNIRADANDSDCKS KTLDEKQLVKVLPRYARRQRLKVIVNLSISSHFNKSKAYFLNWAKQRIG >ENSMUSP00000120792.1 pep:known chromosome:GRCm38:5:134184019:134224355:1 gene:ENSMUSG00000015942.9 transcript:ENSMUST00000123941.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2ird2 description:GTF2I repeat domain containing 2 [Source:MGI Symbol;Acc:MGI:2149780] MAQVAVTTQPTDEPSDGRMVVTFLMSALESMVRRCGSADCY >ENSMUSP00000016086.3 pep:known chromosome:GRCm38:5:134184027:134218146:1 gene:ENSMUSG00000015942.9 transcript:ENSMUST00000016086.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird2 description:GTF2I repeat domain containing 2 [Source:MGI Symbol;Acc:MGI:2149780] MAQVAVTTQPTDEPSDGRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVYVVGTERG CAFVNARQDLQKDFAQHCQGEGLPEEKPLCLGNGEACPGEAQLLRRAVQDHFCLCYRKAL GTTAMVPVPYEQMLQDEAAVVVRGLPEGLAFQHPDNYSLATLKWILENKAGISFAVKRPF LGAESQLGGLGMVTDAGRPTVPPNDSYGPVSVKTEPMEDSGTSPRAAAMLIKTESEDPNY NVCNVQGSQHFSASSDVTGMELPSEESTRMVALETNEDPETEVKMEGNASPSNLVNSAAG VEDLRIIQVTVADNEKERLSGLEKIKQLREQVNDLFSRKFGEAIGVDFPVKVPYRKITFN PGCVVIDGMPPGVVFKAPGYLEISSMRRILDAADFIKFTVIRPLPGLELSNVGKRKIDQE GRVFQEKWERAYFFVEVQNIPTCLICKQSVSVSKEYNLRRHYQTNHSRHYDQYSGQAREE KLRELKRGLRKYLLGASEIVCPEQPFPNASPPTNSAVQPAEEVAGSLWEKLRQKIRSFVA YSIAIDEITDINDTTQLAIFIRGVDDNFDVSEELLDTVPMTGAKSGNEIFLRVEKSLKKF SIDWSKLVSVASTGTPAMMDANSGLVTKLRARAASCCKGADLKSVRCIIHPEWLCAQKLR MGHVMDVVVDSVNWICSRGLNHGDFTTLLYELDSQYGSLLYHTALKWLGRGLVLRRFFES LEEIDSFMSSRGKPVPQLSSRDWILDLAFLVDMTTHLNTLDASLQGHSQIVTQMYDFIRA FLAKLCLWETHLARNNLAHFPTLKSVSRSESDGLNYIPKIVELKAEFQRRLSDFKSCESE LTLFSSPFSTTIDSVREELQMEVIDLQCNTVLRTKYDKVGVPDFYKHLWSSYPKYRSHCA RMLSMFSSTHICEQLFSILKLSKKEAQWGAALQVAT >ENSMUSP00000120962.1 pep:known chromosome:GRCm38:5:134214109:134216612:1 gene:ENSMUSG00000015942.9 transcript:ENSMUST00000135588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird2 description:GTF2I repeat domain containing 2 [Source:MGI Symbol;Acc:MGI:2149780] XILDAADFIKFTVIRPLPGLELSNGAALPSLETP >ENSMUSP00000122822.1 pep:known chromosome:GRCm38:5:134214110:134216868:1 gene:ENSMUSG00000015942.9 transcript:ENSMUST00000152587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird2 description:GTF2I repeat domain containing 2 [Source:MGI Symbol;Acc:MGI:2149780] ILDAADFIKFTVIRPLPGLELSNERVGVQGVQPAPPLPDQPQPAL >ENSMUSP00000102657.2 pep:known chromosome:GRCm38:7:100706635:100841381:1 gene:ENSMUSG00000029461.16 transcript:ENSMUST00000107042.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam168a description:family with sequence similarity 168, member A [Source:MGI Symbol;Acc:MGI:2442372] MNPVYSPVQPGAPYGNPKNMAYTGYPTAYPAAAPAYNPSLYPTNSPSYAPEFQFLHSAYA TLLMKQAWPQNSSSCGTEGTFHLPVDTGTENRTYQASSAAFRYTAGTPYKVPPTQSNTAP PPYSPSPNPYQTAMYPIRSAYPQQNLYAQGAYYTQPVYAAQPHVIHHTTVVQPNSIPSAI YPAPVAAPRTNGVAMGMVAGTTMAMSAGTLLTTPQHTAIGAHPVSMPTYRAQGTPAYSYV PPHW >ENSMUSP00000147113.1 pep:known chromosome:GRCm38:7:100706701:100836487:1 gene:ENSMUSG00000029461.16 transcript:ENSMUST00000207564.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam168a description:family with sequence similarity 168, member A [Source:MGI Symbol;Acc:MGI:2442372] MNPVYSPVQPGAPYGNPKNMAYTGYPTAYPAAAPAYNPSLYPTNSPSYAPATLLMKQAWP QNSSSCGTEGTFHLPVDTGTENRTYQASSAAFSTLLTTPQHTAIGAHPVSMPTYRAQGTP AYSYVPPHW >ENSMUSP00000038233.7 pep:known chromosome:GRCm38:7:100706702:100841656:1 gene:ENSMUSG00000029461.16 transcript:ENSMUST00000049053.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam168a description:family with sequence similarity 168, member A [Source:MGI Symbol;Acc:MGI:2442372] MNPVYSPVQPGAPYGNPKNMAYTGYPTAYPAAAPAYNPSLYPTNSPSYAPATLLMKQAWP QNSSSCGTEGTFHLPVDTGTENRTYQASSAAFRYTAGTPYKVPPTQSNTAPPPYSPSPNP YQTAMYPIRSAYPQQNLYAQGAYYTQPVYAAQPHVIHHTTVVQPNSIPSAIYPAPVAAPR TNGVAMGMVAGTTMAMSAGTLLTTPQHTAIGAHPVSMPTYRAQGTPAYSYVPPHW >ENSMUSP00000146851.1 pep:known chromosome:GRCm38:7:100706720:100839182:1 gene:ENSMUSG00000029461.16 transcript:ENSMUST00000207875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam168a description:family with sequence similarity 168, member A [Source:MGI Symbol;Acc:MGI:2442372] MNPVYSPVQPGAPYGNPKNMAYTGYPTAYPAAAPAYNPSLYPTNSPSYAPATLLMKQAWP QNSSSCGTEGTFHLPVDTGTENRTYQASSAAFRYTAGTPYKVPPTQSNTAPPPYSPSPNP YQTAMYPIRSAYPQQNLYAQGAYYTQPVYAAQPHVIHHTTVVQPNSIPSAIYPAPVAAPR TNGVAMGMVAGTTMAMSAGTLLTTPQHTAIGAHPVSMPTYRAQGTPAYSYVPPHW >ENSMUSP00000146839.1 pep:known chromosome:GRCm38:7:100706726:100836165:1 gene:ENSMUSG00000029461.16 transcript:ENSMUST00000208013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam168a description:family with sequence similarity 168, member A [Source:MGI Symbol;Acc:MGI:2442372] MNPVYSPVQPGAPYGNPKNMAYTGYPTAYPAAAPAYNPSLYPTNSPSYAPEFQFLHSAYG ISPLFPLSFPSGYHDATLLMKQAWPQNSSSCGTEGTFHLPVDTGTENRTYQASSAAFRYT AGTPYKVPPTQSNTAPPPYSPSPNPYQTAMYPIRSAYPQQNLYAQGAYYTQPVYAAQPHV IHHTTVVQPNSIPSAIYPAPVAAPRTNGVAMGMVAGTTMAMSAGTLLTTPQHTAIGAHPV SMPTYRAQGTPAYSYVPPHW >ENSMUSP00000039566.8 pep:known chromosome:GRCm38:3:142790902:142882004:-1 gene:ENSMUSG00000004591.16 transcript:ENSMUST00000043812.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkn2 description:protein kinase N2 [Source:MGI Symbol;Acc:MGI:109211] MASNPDRGEILLTELQGDSRTLPFSENVSAVQKLDFSDTMVQQKLDDIKDRIKREIRKEL KIKEGAENLRKVTTDKKNLAYVDNILKKSNKKLEELHHKLQELNAHIVVSDPEDSTDCPR TPDTPNSDSRSSTSNNRLMALQKQLDIELKVKQGAENMIQMYSNGSSKDRKLHGTAQQLL QDSKTKIEVIRMQILQAVQTNELAFDNAKPVISPLELRMEELRHHFKIEFAVAEGAKNVM KLLGSGKVTDRKALSEAQARFNESSQKLDLLKYSLEQRLNELPRNHPKSSVVIEELSLVA SPTLSPRQSMLSTQNQYSTLSKPAALTGTLEVRLMGCQDILENVPGRSKATSVALPGWSP SDNRSSFMSRTSKSKSGSSRNLLKTDDLSNDVCAVLKLDNTVVGQTSWKPISNQSWDQKF TLELDRSRELEISVYWRDWRSLCAVKFLRLEDFLDNQRHGMCLYLEPQGTLFAEVTFFNP VIERRPKLQRQKKIFSKQQGKTFLRAPQMNINIATWGRLVRRAIPTVNHSGTFSPQTPVP ATVPVVDARIPDLAPPASDSTVTKLDFDLEPEPPPAPPRASSLGETDESSELRVLDIPGQ GSETVFNIENDRNNLRPKSKSEYELSIPDSGRSCWGVGELDDKRAQQRFQFSLQDFRCCA VLGRGHFGKVLLAEYKHTNEMFAIKALKKGDIVARDEVDSLMCEKRIFETVNSVRHPFLV NLFACFQTKEHVCFVMEYAAGGDLMMHIHTDVFSEPRAVFYAACVVLGLQYLHEHKIVYR DLKLDNLLLDTEGFVKIADFGLCKEGMGYGDRTSTFCGTPEFLAPEVLTETSYTRAVDWW GLGVLIYEMLVGESPFPGDDEEEVFDSIVNDEVRYPRFLSTEAISIMRRLLRRNPERRLG AGEKDAEDVKKHPFFRLTDWSALMDKKVKPPFVPTIRGREDVSNFDDEFTSEAPILTPPR EPRILLEEEQEMFHDFDYVADWC >ENSMUSP00000134046.1 pep:known chromosome:GRCm38:3:142793709:142881915:-1 gene:ENSMUSG00000004591.16 transcript:ENSMUST00000173913.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pkn2 description:protein kinase N2 [Source:MGI Symbol;Acc:MGI:109211] MASNPDRGEILLTELQIVRGLQILQIVTLVVLLATID >ENSMUSP00000134559.1 pep:known chromosome:GRCm38:3:142793811:142881658:-1 gene:ENSMUSG00000004591.16 transcript:ENSMUST00000174422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkn2 description:protein kinase N2 [Source:MGI Symbol;Acc:MGI:109211] MASNPDRGEILLTELQGDSRTLPFSENVSAVQKLDFSDTMVQQKLDDIKDRIKREIRKEL KIKEGAENLRKVTTDKKNLAYVDNILKKSNKKLEELHHKLQELNAHIVVSDPEDSTDCPR TPDTPNSDSRSSTSNNRLMALQKQLDIELKVKQGAENMIQMYSNGSSKDRKLHGTAQQLL QDSKTKIEVIRMQILQAVQTNELAFDNAKPVISPLELRMEELRHHFKIEFAVAEGAKNVM KLLGSGKVTDRKALSEAQARFNESSQKLDLLKYSLEQRLNELPRNHPKSSVVIEELSLVA SPTLSPRQSMLSTQNQYSTLSKPAALTGTLEVRLMGCQDILENVPGRSKATSVALPGWSP SDNRSSFMSRTSKNDVCAVLKLDNTVVGQTSWKPISNQSWDQKFTLELDRSRELEISVYW RDWRSLCAVKFLRLEDFLDNQRHGMCLYLEPQGTLFAEVTFFNPVIERRPKLQRQKKIFS KQQGKTFLRAPQMNINIATWGRLVRRAIPTVNHSGTFSPQTPVPATVPVVDARIPDLAPP ASDSTVTKLDFDLEPEPPPAPPRASSLGETDESSELRVLDIPGQGSETVFNIENDRNNLR PKSKSEYELSIPDSGRSCWGVGELDDKRAQQRFQFSLQDFRCCAVLGRGHFGKVLLAEYK HTNEMFAIKALKKGDIVARDEVDSLMCEKRIFETVNSVRHPFLVNLFACFQTKEHVCFVM EYAAGGDLMMHIHTDVFSEPRAVFYAACVVLGLQYLHEHKIVYRDLKLDNLLLDTEGFVK IADFGLCKEGMGYGDRTSTFCGTPEFLAPEVLTETSYTRAVDWWGLGVLIYEMLVGESPF PGDDEEEVFDSIVNDEVRYPRFLSTEAISIMRRLLRRNPERRLGAGEKDAEDVKKHPFFR LTDWSALMDKKVKPPFVPTIRGREDVSNFDDEFTSEAPILTPPREPRILLEEEQEMFHDF DYVADWC >ENSMUSP00000133691.1 pep:known chromosome:GRCm38:3:142793811:142881658:-1 gene:ENSMUSG00000004591.16 transcript:ENSMUST00000173830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkn2 description:protein kinase N2 [Source:MGI Symbol;Acc:MGI:109211] MASNPDRGEILLTELQGDSRTLPFSENVSAVQKLDFSDTMVQQKLDDIKDRIKREIRKEL KIKEGAENLRKVTTDKKNLAYVDNILKKSNKKLEELHHKLQELNAHIVVSDPEDSTDCPR TPDTPNSDSRSSTSNNRLMALQKQLDIELKVKQGAENMIQMYSNGSSKDRKLHGTAQQLL QDSKTKIEVIRMQILQAVQTNELAFDNAKPVISPLELRMEELRHHFKIEFAVAEGAKNVM KLLGSGKVTDRKALSEAQARFNESSQKLDLLKYSLEQRLNELPRNHPKSSVVIEELSLVA SPTLSPRQSMLSTQNQYSTLSKPAALTGTLEVRLMGCQDILENVPGRSKATSVALPGWSP SDNRSSFMSRTSKSKSGSSRNLLKTDDLSNDVCAVLKLDNTVVGQTSWKPISNQSWDQKF TLELDRVTFFNPVIERRPKLQRQKKIFSKQQGKTFLRAPQMNINIATWGRLVRRAIPTVN HSGTFSPQTPVPATVPVVDARIPDLAPPASDSTVTKLDFDLEPEPPPAPPRASSLGETDE SSELRVLDIPGQGSETVFNIENDRNNLRPKSKSEYELSIPDSGRSCWGVGELDDKRAQQR FQFSLQDFRCCAVLGRGHFGKVLLAEYKHTNEMFAIKALKKGDIVARDEVDSLMCEKRIF ETVNSVRHPFLVNLFACFQTKEHVCFVMEYAAGGDLMMHIHTDVFSEPRAVFYAACVVLG LQYLHEHKIVYRDLKLDNLLLDTEGFVKIADFGLCKEGMGYGDRTSTFCGTPEFLAPEVL TETSYTRAVDWWGLGVLIYEMLVGESPFPGDDEEEVFDSIVNDEVRYPRFLSTEAISIMR RLLRRNPERRLGAGEKDAEDVKKHPFFRLTDWSALMDKKVKPPFVPTIRGREDVSNFDDE FTSEAPILTPPREPRILLEEEQEMFHDFDYVADWC >ENSMUSP00000133419.1 pep:known chromosome:GRCm38:3:142809642:142821598:-1 gene:ENSMUSG00000004591.16 transcript:ENSMUST00000173615.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pkn2 description:protein kinase N2 [Source:MGI Symbol;Acc:MGI:109211] XRSSFMSRTSKSKSGSSRNLLKTDDLSIT >ENSMUSP00000134041.1 pep:known chromosome:GRCm38:3:142811553:142829295:-1 gene:ENSMUSG00000004591.16 transcript:ENSMUST00000174680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkn2 description:protein kinase N2 [Source:MGI Symbol;Acc:MGI:109211] XEELRHHFKIEFAVAEGAKNVMKLLGSGKVTDRKALSEAQARFNESSQKLDLLKYSLEQR LNELPRNHPKSSVVIEELSLVASPTLSPRQSMLSTQNQYSTLSKPAALTDDVCAVLKLDN TVVGQTSWKPISNQSWDQKFTLELDRSRELEISVYWRDWRSLCAVKFLRLEDFLDNQRHG MCLYLEPQGTLFAEVTFFNPVIERRPKLQR >ENSMUSP00000140572.1 pep:known chromosome:GRCm38:1:44118957:44126566:1 gene:ENSMUSG00000041684.11 transcript:ENSMUST00000155917.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bivm description:basic, immunoglobulin-like variable motif containing [Source:MGI Symbol;Acc:MGI:2179809] MPNATEAGKATDPGHGEHTSENKSPEEGLQGAVPSFYTSASEAPIAPRGDGHYPSSCP >ENSMUSP00000041964.1 pep:known chromosome:GRCm38:1:44119162:44144510:1 gene:ENSMUSG00000041684.11 transcript:ENSMUST00000035991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bivm description:basic, immunoglobulin-like variable motif containing [Source:MGI Symbol;Acc:MGI:2179809] MPNATEAGKATDPGHGEHTSENKSPEEGLQGAVPSFYTSASEAPIAPRGDGHYPSSCPVT HTREKIYAICSDYAFLNQATSVYKTPSLTRSACLPDNTSLSAGNTTRYIGISTSTSEIIY NEENNLENLSTGMGKLPLAWEIDKSEFDGVTTNLIHKSGNVKKQFSKKKTSDKKGRHQRE CLHYSPLDDVKQRKVLDLRRWYCISRPQYKTSCGISSLISCWNFLYSIMGAGNLPPITQE EALHILGFQPPFEDIRFGPFTGNTTLMRWFRQINDHFHVKGCSYVLYKPHGKNKTAGETA PGALSKLTRGLKDESLAYIYHCQNHYFCPIGFEATPVKANKAFSRGPLSSQEVEYWILIG ESSRKHPAIHCKRWADIVTDLNTQNPEFLDIRHLERGLQFRKTKKVGGNLHCIIAFQRLS WQRFGFWNFPFGTITQESQHPTHVPGIAKSESEDNISKKQHGRLGRSFSASFHQDSAWKN MSSIHERRNSGYHSFRDYNGND >ENSMUSP00000110357.2 pep:known chromosome:GRCm38:1:44119968:44144770:1 gene:ENSMUSG00000041684.11 transcript:ENSMUST00000114709.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bivm description:basic, immunoglobulin-like variable motif containing [Source:MGI Symbol;Acc:MGI:2179809] MPNATEAGKATDPGHGEHTSENKSPEEGLQGAVPSFYTSASEAPIAPRGDGHYPSSCPVT HTREKIYAICSDYAFLNQATSVYKTPSLTRSACLPDNTSLSAGNTTRYIGISTSTSEIIY NEENNLENLSTGMGKLPLAWEIDKSEFDGVTTNLIHKSGNVKKQFSKKKTSDKKGRHQRE CLHYSPLDDVKQRKVLDLRRWYCISRPQYKTSCGISSLISCWNFLYSIMGAGNLPPITQE EALHILGFQPPFEDIRFGPFTGNTTLMRWFRQINDHFHVKGCSYVLYKPHGKNKTAGETA PGALSKLTRGLKDESLAYIYHCQNHYFCPIGFEATPVKANKAFSRGPLSSQEVEYWILIG ESSRKHPAIHCKRWADIVTDLNTQNPEFLDIRHLERGLQFRKTKKVGGNLHCIIAFQRLS WQRFGFWNFPFGTITQESQHPTHVPGIAKSESEDNISKKQHGRLGRSFSASFHQDSAWKN MSSIHERRNSGYHSFRDYNGND >ENSMUSP00000140490.1 pep:known chromosome:GRCm38:1:44119979:44126407:1 gene:ENSMUSG00000041684.11 transcript:ENSMUST00000129068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bivm description:basic, immunoglobulin-like variable motif containing [Source:MGI Symbol;Acc:MGI:2179809] MPNAT >ENSMUSP00000088051.5 pep:known chromosome:GRCm38:3:108364911:108380057:1 gene:ENSMUSG00000068745.6 transcript:ENSMUST00000090563.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybphl description:myosin binding protein H-like [Source:MGI Symbol;Acc:MGI:1916003] METATTLEIASCSQRQVEAAADPADAKGPRTSHQQEAGSPSLQLLPSIEEHPKIWLPRAL KQTYIRKAGETVNLLIPIQGKPKPQTTWTHNGCALDSSRVSVRNGEHDSILFIREAQRTD SGCYQLCVQLGGLQATATINILVIEKPGPPQSIKLVDVWGANATLEWTPPQDTGNTALLG YTVQKADKKSGLWFTVLERYHRTSCVVSNLIVGNSYAFRVFAENQCGLSDTAPVTADLAH IQKAATVYKAKGFAQRDLSEAPKFTQPLADCTTVTGYDTQLFCCVRASPRPKIIWLKNKM DLQGNPKYRALSQLGICSLEIRKPSPFDGGIYTCKAINALGEASVDCRVDVKAPH >ENSMUSP00000039114.7 pep:known chromosome:GRCm38:6:34384218:34396950:1 gene:ENSMUSG00000061758.13 transcript:ENSMUST00000038383.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1b10 description:aldo-keto reductase family 1, member B10 (aldose reductase) [Source:MGI Symbol;Acc:MGI:1915111] MAAFVTLLTGAKMPIVGLGTWKSPPAKVREAVKVAIDAGYRHIDCAYVYQNESEVGEAIQ EKIQEKAVKREDLFIVSKLWSTFFEKSLVKKAFQNTLSDLKLDYLDLYLIHWPQGFQSGN VFLPTDDKGSILSSKYTFLDAWEAMEELVDQGLVKALGVSNFNHFQIERLLNKPGLKHKP VTNQVECHPYLTQEKLIQYCHSKGITITAYSPLGSPDRPSAKPEDPLLLEIPKIKEIAAK HKRTAAQVLIRFHIERNVVVIPKSVTPSRIQENIQVFDFQLSEEDMAAILSFNRNWRACG LFAASHNEDFPFHAEY >ENSMUSP00000110703.1 pep:known chromosome:GRCm38:6:34384281:34396748:1 gene:ENSMUSG00000061758.13 transcript:ENSMUST00000115051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1b10 description:aldo-keto reductase family 1, member B10 (aldose reductase) [Source:MGI Symbol;Acc:MGI:1915111] MAAFVTLLTGAKMPIVGLGTWKNESEVGEAIQEKIQEKAVKREDLFIVSKLWSTFFEKSL VKKAFQNTLSDLKLDYLDLYLIHWPQGFQSGNVFLPTDDKGSILSSKYTFLDAWEAMEEL VDQGLVKALGVSNFNHFQIERLLNKPGLKHKPVTNQVECHPYLTQEKLIQYCHSKGITIT AYSPLGSPDRPSAKPEDPLLLEIPKIKEIAAKHKRTAAQVLIRFHIERNVVVIPKSVTPS RIQENIQVFDFQLSEEDMAAILSFNRNWRACGLFAASHNEDFPFHAEY >ENSMUSP00000138639.1 pep:known chromosome:GRCm38:6:34384281:34396947:1 gene:ENSMUSG00000061758.13 transcript:ENSMUST00000139156.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Akr1b10 description:aldo-keto reductase family 1, member B10 (aldose reductase) [Source:MGI Symbol;Acc:MGI:1915111] MAAFVTLLTGAKMPIVGLGTWKSPPAKVREAVKVAIDAGYRHIDCAYVYQNESEVGEAIQ EKIQEKAVKREDLFIVSKLWSTFFEKSLVKKAFQNTLSDLKLDYLDLYLIHWPQGFQMIK AVFSAVNIRSWMLGRPWRNWWTRGW >ENSMUSP00000107462.1 pep:known chromosome:GRCm38:2:77411100:77816816:-1 gene:ENSMUSG00000027016.17 transcript:ENSMUST00000111831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp385b description:zinc finger protein 385B [Source:MGI Symbol;Acc:MGI:2444734] MNMATFLRGFEEKGLKNDRPGDQFSKEKKKILFSFCEVCNIQLNSAAQAQVHYDGKSHRK RVKQLSDGQPPPPVQGSVPLLAGPCPCPGPGPNTSTGSACHTTTLPALVRTPTLMMQPSL DIKPFMSFPVDSSSAVGLFPNFNTMDPVQKAVINHTFGVSIPPKKKQVISCNVCQLRFNS DSQAEAHYKGSKHAKKVKALEATKNKPKMVPSKDSAKANPSCSIRPGTGDSSDKSEDKGK IKATSSSQPSGSEGGSFLLKSGTTPLPLGAIASPSKSTNGAPGSVAESEEEKAKKLLYCS LCKVAVNSLSQLEAHNTGSKHKTMVEARNGAGPIKSYPRPGSRLKVQNGSKGSGLQNKMF HCEICDVHVNSEIQLKQHISSRRHKDRVAGKPLKPKYSPYNKLQRSPSILAAKLAFQKDL MKPLAPTFLSSPLAAAAVSSALSLPPRPSASLFQAAAIPPALLRPGHGPIRATPASILFA PY >ENSMUSP00000107460.1 pep:known chromosome:GRCm38:2:77527289:77817259:-1 gene:ENSMUSG00000027016.17 transcript:ENSMUST00000111829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp385b description:zinc finger protein 385B [Source:MGI Symbol;Acc:MGI:2444734] MNMATFLRGFEEKGLKNDRPGDQFSKEKKKILFSFCEVCNIQLNSAAQAQVHYDGKSHRK RVKQLSDGQPPPPVQGSVPLLAGPCPCPGPGPNTSTVTAQVVPLPHHALLQ >ENSMUSP00000107461.2 pep:known chromosome:GRCm38:2:77410634:77519618:-1 gene:ENSMUSG00000027016.17 transcript:ENSMUST00000111830.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp385b description:zinc finger protein 385B [Source:MGI Symbol;Acc:MGI:2444734] MMQPSLDIKPFMSFPVDSSSAVGLFPNFNTMDPVQKAVINHTFGVSIPPKKKQVISCNVC QLRFNSDSQAEAHYKGSKHAKKVKALEATKNKPKMVPSKDSAKANPSCSIRPGTGDSSDK SEDKGKIKATSSSQPSGSEGGSFLLKSGTTPLPLGAIASPSKSTNGAPGSVAESEEEKAK KLLYCSLCKVAVNSLSQLEAHNTGSKHKTMVEARNGAGPIKSYPRPGSRLKVQNGSKGSG LQNKMFHCEICDVHVNSEIQLKQHISSRRHKDRVAGKPLKPKYSPYNKLQRSPSILAAKL AFQKDLMKPLAPTFLSSPLAAAAVSSALSLPPRPSASLFQAAAIPPALLRPGHGPIRATP ASILFAPY >ENSMUSP00000126801.1 pep:known chromosome:GRCm38:2:77410634:77703272:-1 gene:ENSMUSG00000027016.17 transcript:ENSMUST00000171063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp385b description:zinc finger protein 385B [Source:MGI Symbol;Acc:MGI:2444734] MWSGLPSRGSACHTTTLPALVRTPTLMMQPSLDIKPFMSFPVDSSSAVGLFPNFNTMDPV QKAVINHTFGVSIPPKKKQVISCNVCQLRFNSDSQAEAHYKGSKHAKKVKALEATKNKPK MVPSKDSAKANPSCSIRPGTGDSSDKSEDKGKIKATSSSQPSGSEGGSFLLKSGTTPLPL GAIASPSKSTNGAPGSVAESEEEKAKKLLYCSLCKVAVNSLSQLEAHNTGSKHKTMVEAR NGAGPIKSYPRPGSRLKVQNGSKGSGLQNKMFHCEICDVHVNSEIQLKQHISSRRHKDRV AGKPLKPKYSPYNKLQRSPSILAAKLAFQKDLMKPLAPTFLSSPLAAAAVSSALSLPPRP SASLFQAAAIPPALLRPGHGPIRATPASILFAPY >ENSMUSP00000088271.5 pep:known chromosome:GRCm38:2:77410634:77719739:-1 gene:ENSMUSG00000027016.17 transcript:ENSMUST00000090766.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp385b description:zinc finger protein 385B [Source:MGI Symbol;Acc:MGI:2444734] MNMATFLRGFEEKGLKNDRPGDQFSKEKKKILFSFCEVCNIQLNSAAQAQVHYDGKSHRK RVKQLSDGQPPPPVQGSVPLLAGPCPCPGPGPNTSTGSACHTTTLPALVRTPTLMMQPSL DIKPFMSFPVDSSSAVGLFPNFNTMDPVQKAVINHTFGVSIPPKKKQVISCNVCQLRFNS DSQAEAHYKGSKHAKKVKALEATKNKPKMVPSKDSAKANPSCSIRPGTGDSSDKSEDKGK IKATSSSQPSGSEGGSFLLKSGTTPLPLGAIASPSKSTNGAPGSVAESEEEKAKKLLYCS LCKVAVNSLSQLEAHNTGSKHKTMVEARNGAGPIKSYPRPGSRLKVQNGSKGSGLQNKMF HCEICDVHVNSEIQLKQHISSRRHKDRVAGKPLKPKYSPYNKLQRSPSILAAKLAFQKDL MKPLAPTFLSSPLAAAAVSSALSLPPRPSASLFQAAAIPPALLRPGHGPIRATPASILFA PY >ENSMUSP00000141926.1 pep:known chromosome:GRCm38:3:10193621:10197222:-1 gene:ENSMUSG00000027528.12 transcript:ENSMUST00000193487.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp9 description:fatty acid binding protein 9, testis [Source:MGI Symbol;Acc:MGI:1194881] MPGLPRKLCTMIEPFLGTWKLISSENFENYVRELGVECEPRKVACLIKPSVSISFNGERM DIQAGSACRNTEISFKLGEEFEETTADNRKVKECTMNNVVSTRIYERV >ENSMUSP00000142000.1 pep:known chromosome:GRCm38:3:10193623:10197283:-1 gene:ENSMUSG00000027528.12 transcript:ENSMUST00000191678.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp9 description:fatty acid binding protein 9, testis [Source:MGI Symbol;Acc:MGI:1194881] MPGLPRKLCTMIEPFLGTWKLISSENFENYVRELGVECEPRKVACLIKPSVSISFNGERM DIQAGSACRNTEISFKLGEEFEETTADNRKVKSLITFEGGSMIQVQKWLGKQTTIKRKIV DGKMVVECTMNNVVSTRIYERV >ENSMUSP00000029038.6 pep:known chromosome:GRCm38:3:10193626:10197222:-1 gene:ENSMUSG00000027528.12 transcript:ENSMUST00000029038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp9 description:fatty acid binding protein 9, testis [Source:MGI Symbol;Acc:MGI:1194881] MIEPFLGTWKLISSENFENYVRELGVECEPRKVACLIKPSVSISFNGERMDIQAGSACRN TEISFKLGEEFEETTADNRKVKSLITFEGGSMIQVQKWLGKQTTIKRKIVDGKMVVECTM NNVVSTRIYERV >ENSMUSP00000121775.1 pep:known chromosome:GRCm38:15:73577424:73624744:-1 gene:ENSMUSG00000079020.9 transcript:ENSMUST00000151288.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a4 description:solute carrier family 45, member 4 [Source:MGI Symbol;Acc:MGI:2146236] MKMAPQNADSESMQVQELPVPLPDPQKPRDPEAETQEETTSEGSIDRIPTRLWVMHGAVM FGREFCYAMETALVTPILLQIGLPEKYYSLTWFLSPVLGLIFTPLIGSASDRCTLSWGRR RPFILALCVGVLIGVALFLNGSAIGLALGDVPSRQPIGIVLTVLGVVVLDFSADATEGPI RAYLLDVVDSEEQDMALNIHAFSAGLGGAIGYVLGGLDWTQTFLGDWFQTQNQVLFFFAA VIFSVSVALHLFSIEEEQYSPQQDRGPEDPTLPGTSVQPGAPAPASRLSSLGGGMQDGSP PFPDEVQSEHELSLDYLDVDIVRSKSDSVLHMADATLDMEPQLLFLHDIEPSIFQDASYP STPQSTSQELLRAKLPRLSTFLRESTKEDDTLLDNHLNEAKVPNGRGSPPINSLSRSKVD LKPSVTSGSMRRRRHMFHRQASSTFSYYGKIGSHCYRYRRANAVVLIKPSRSMSDLYDLQ QRQRSRHRNQSGATASSGDTESEEGETETTVRLLWLSMLKMPKELMWLCLCHLLTWFSVI AEAVFYTDFMGQVIFKGNPQAPSNSTKWHAYNAGVKMGCWGLVIYAATGAICSALLQKYL DNYDLSIRIIYMLGTLGFSVGTAVMAMFPNVYVAMVTISTMGVVSMSISYCPYALLGHYH DIKEYVHHSPGNSKRGFGIDCAILSCQVYISQILVASALGGVVDAVNSIVVIPIVASVGS FLGFLTATFLVIYPEVSEEPKEEQKGLSSGPAGEGEGGAGSEKPTVLKLSRKGGLRGLVE TESMV >ENSMUSP00000122825.1 pep:known chromosome:GRCm38:15:73580290:73605467:-1 gene:ENSMUSG00000079020.9 transcript:ENSMUST00000144936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a4 description:solute carrier family 45, member 4 [Source:MGI Symbol;Acc:MGI:2146236] XVTPILLQIGLPEKYYSLTWFLSPVLGLIFTPLIGSASDRCTLSWGRRRPFILALCVGVL IGVALFLNGSAIGLALGDVPSRQPIGIVLTVLGVVVLDFSADATEGPIRAYLLDVVDSEE QDMALNIHAFSAGKAPLQAPGLGGAIGYVLGGLDWTQTFLGDWFQTQNQVLFFFAAVIFS VSVALHLFSIEEEQYSPQQDRGPEDPTLPGTSVQPGAPAPASRLSSLGGGMQDGSPPFPD EVQSEHELSLDYLDVDIVRSKSDSVLHMADATLDMEPQLLFLHDIEPSIFQDASYPSTPQ STSQELLRAKLPRLSTFLRESTKEDDTLLDNHLNEAKVPNGRGSPPINSLSRSKVDLKPS VTSGSMRRRRHMFHRQASSTFSYYGKIGSHCYRYRRANAVVLIKPSRSMSDLYDLQQRQR SRHRNQSGATASSGDTESEEGETETTVRLLWLSMLKMPKELMWLCLCHLLTWFSVIAEAV FYTDFMGQVIFKGNPQAPSNSTKWHAYNAGVKMGCWGLVIYAATGAICSALLQKYLDNYD LSIRIIYMLGTLGFSVGTAVMAMFPNVYVAMVTISTMGVVSMSISYCPYALLGHYHDIKE YVHHSPGNSKRGFGIDCAILSCQVYISQILVASALGGVVDAVNSIVVIPIVASVGSFLGF LTATFLVIYPEVSEEPKEEQKGLSSGPAGEGEGGAGSEKPTVLKLSRKGGLRGLVETESM V >ENSMUSP00000075577.5 pep:known chromosome:GRCm38:15:73580290:73617324:-1 gene:ENSMUSG00000079020.9 transcript:ENSMUST00000076224.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a4 description:solute carrier family 45, member 4 [Source:MGI Symbol;Acc:MGI:2146236] MKMAPQNADSESMQVQELPVPLPDPQKPRDPEAETQEETTSEGSIDRIPTRLWVMHGAVM FGREFCYAMETALVTPILLQIGLPEKYYSLTWFLSPVLGLIFTPLIGSASDRCTLSWGRR RPFILALCVGVLIGVALFLNGSAIGLALGDVPSRQPIGIVLTVLGVVVLDFSADATEGPI RAYLLDVVDSEEQDMALNIHAFSAGLGGAIGYVLGGLDWTQTFLGDWFQTQNQVLFFFAA VIFSVSVALHLFSIEEEQYSPQQDRGPEDPTLPGTSVQPGAPAPASRLSSLGGGMQDGSP PFPDEVQSEHELSLDYLDVDIVRSKSDSVLHMADATLDMEPQLLFLHDIEPSIFQDASYP STPQSTSQELLRAKLPRLSTFLRESTKEDDTLLDNHLNEAKVPNGRGSPPINSLSRSKVD LKPSVTSGSMRRRRHMFHRQASSTFSYYGKIGSHCYRYRRANAVVLIKPSRSMSDLYDLQ QRQRSRHRNQSGATASSGDTESEEGETETTVRLLWLSMLKMPKELMWLCLCHLLTWFSVI AEAVFYTDFMGQVIFKGNPQAPSNSTKWHAYNAGVKMGCWGLVIYAATGAICSALLQKYL DNYDLSIRIIYMLGTLGFSVGTAVMAMFPNVYVAMVTISTMGVVSMSISYCPYALLGHYH DIKEYVHHSPGNSKRGFGIDCAILSCQVYISQILVASALGGVVDAVNSIVVIPIVASVGS FLGFLTATFLVIYPEVSEEPKEEQKGLSSGPAGEGEGGAGSEKPTVLKLSRKGGLRGLVE TESMV >ENSMUSP00000115827.1 pep:known chromosome:GRCm38:15:73581557:73596529:-1 gene:ENSMUSG00000079020.9 transcript:ENSMUST00000132607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a4 description:solute carrier family 45, member 4 [Source:MGI Symbol;Acc:MGI:2146236] MALNIHAFSAGLGGAIGYVLGGLDWTQTFLGDWFQTQNQVLFFFAAVIFSVSVALHLFSI EEEQYSPQQDRGPEDPTLPGTSVQPGAPAPASRLSSLGGGMQDGSPPFPDEVQSEHELSL DYLDVDIVRSKSDSVLHMADATLDMEPQLLFLHDIEPSIFQDASYPSTPQSTSQELLRAK LPRLSTFLRESTKEDDTLLDNHLNEAKVPNGRGSPPINSLSRSKVDLKPSVTSGSMRRRR HMFHRQASSTFSYYGKIGSHCYRYRRANAVVLIKPSRSMSDLYDLQQRQRSRHRNQSGAT ASSGDTESEEGETETTVRLLWLSMLKMPKELMWLCLCHLLTWFSVIAEAVFYTDFMGQVI FKGNPQAPSNSTKWHAYNAGVKMGCWGLVIYAATGAICSALLQKYLDNYDLSIRIIYMLG TLGFSVGTAVMAMFPNVYVAMVTISTMGVVSMSISYCPYALLGHYHDIKEYVHHSPGNSK RGFGIDCAILSCQVYISQILVASALGGVVDAVNSIVVIPIVASVGSFLGFLTATFLVIYP EVSEEPKEEQKGLSSGPAGEGEGGAGSEKPTVLKLSRKGGLRGLVETESMV >ENSMUSP00000114790.1 pep:known chromosome:GRCm38:15:73586894:73588962:-1 gene:ENSMUSG00000079020.9 transcript:ENSMUST00000152558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a4 description:solute carrier family 45, member 4 [Source:MGI Symbol;Acc:MGI:2146236] XAPFRTGRNKALCFGSAGEGVQGLALGDVPSRQPIGIVLTVLGVVVLDFSADATEGPIRA YLLDVVDSEEQDMALNIHAFSAGLGGAIGYVLGGLDWTQTFLGDWFQTQNQVLFFFAAVI FSVSVALHLFSIEEEQYSPQQDRGPE >ENSMUSP00000115418.1 pep:known chromosome:GRCm38:15:73605593:73645762:-1 gene:ENSMUSG00000079020.9 transcript:ENSMUST00000130765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a4 description:solute carrier family 45, member 4 [Source:MGI Symbol;Acc:MGI:2146236] MKMAPQNADSESMQVQELPVPLPDPQKPRDP >ENSMUSP00000054651.6 pep:known chromosome:GRCm38:15:73580293:73624744:-1 gene:ENSMUSG00000079020.9 transcript:ENSMUST00000054266.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a4 description:solute carrier family 45, member 4 [Source:MGI Symbol;Acc:MGI:2146236] MKMAPQNADSESMQVQELPVPLPDPQKPRDPEAETQEETTSEGSIDRIPTRLWVMHGAVM FGREFCYAMETALVTPILLQIGLPEKYYSLTWFLSPVLGLIFTPLIGSASDRCTLSWGRR RPFILALCVGVLIGVALFLNGSAIGLALGDVPSRQPIGIVLTVLGVVVLDFSADATEGPI RAYLLDVVDSEEQDMALNIHAFSAGKAPLQAPGLGGAIGYVLGGLDWTQTFLGDWFQTQN QVLFFFAAVIFSVSVALHLFSIEEEQYSPQQDRGPEDPTLPGTSVQPGAPAPASRLSSLG GGMQDGSPPFPDEVQSEHELSLDYLDVDIVRSKSDSVLHMADATLDMEPQLLFLHDIEPS IFQDASYPSTPQSTSQELLRAKLPRLSTFLRESTKEDDTLLDNHLNEAKVPNGRGSPPIN SLSRSKVDLKPSVTSGSMRRRRHMFHRQASSTFSYYGKIGSHCYRYRRANAVVLIKPSRS MSDLYDLQQRQRSRHRNQSGATASSGDTESEEGETETTVRLLWLSMLKMPKELMWLCLCH LLTWFSVIAEAVFYTDFMGQVIFKGNPQAPSNSTKWHAYNAGVKMGCWGLVIYAATGAIC SALLQKYLDNYDLSIRIIYMLGTLGFSVGTAVMAMFPNVYVAMVTISTMGVVSMSISYCP YALLGHYHDIKEYVHHSPGNSKRGFGIDCAILSCQVYISQILVASALGGVVDAVNSIVVI PIVASVGSFLGFLTATFLVIYPEVSEEPKEEQKGLSSGPAGEGEGGAGSEKPTVLKLSRK GGLRGLVETESMV >ENSMUSP00000045111.7 pep:known chromosome:GRCm38:17:24895116:24896289:1 gene:ENSMUSG00000038880.13 transcript:ENSMUST00000043907.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps34 description:mitochondrial ribosomal protein S34 [Source:MGI Symbol;Acc:MGI:1930188] MARKKVRPRLIAELARRVRALREQRNQPRDSQLYALDYETLTRPHSGRRLPVRAWADVRR ESRLLQLLARLPLFGLGRLVTRKSWLWQHDEPCYWRLTRVRPDYTAQNLDHGRAWGILTF KGKSEDTAREIEQVMYHDWRLVPKHEEEAFTAFTAKPEDRLNSVPYPPLLRAMILAERQK NGDTSVQEPLLNLERTRMRPWDYPAKQETKGRAKGTPV >ENSMUSP00000120985.1 pep:known chromosome:GRCm38:17:24895123:24897502:1 gene:ENSMUSG00000038880.13 transcript:ENSMUST00000154236.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrps34 description:mitochondrial ribosomal protein S34 [Source:MGI Symbol;Acc:MGI:1930188] MARKKVRPRLIAELARRVRALREQRNQPRDSQLYALDYETLTRPHSGRRLPVRAWADVRR ESRLLQLLARLPLFGLGRLVTRKSWLWQHDEPCYWRLTRVRPDYTAQNLDHGRAWGILTF KGKSEDTAREIEQVMYHDWRLVPKHEEEAFTAFTAKPEDRLNSVPYPPLLRAMILAERQK NGDTSVQEPLLNLERTRMRPWDYPAKQETKGRAKGTPV >ENSMUSP00000048348.7 pep:known chromosome:GRCm38:6:147042764:147073991:1 gene:ENSMUSG00000040112.9 transcript:ENSMUST00000036111.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps35 description:mitochondrial ribosomal protein S35 [Source:MGI Symbol;Acc:MGI:2385255] MAAAALQLRQTLCPGARVLRTFSSVASPAAPRAGPRTASRSERPMRRKALPPRTEKMDTD QDWPSVYPTAAPFKPSAVPLPVRMGYPVKKGVPMAKEGNLELLKIPNFLHLTPVAIKRHC AALKDFCTEWPAALDSDEKCEEHFPVEIDTADYVSSGPSIRNPKARAVTLRVKLSSLNLD NHAKKKLIKLVGERYCKATDVLTITTDRCPLKRQNCDYAVYLLTVLYHESWKTEDWENSK TEEDMDEYVWAKSSSENSVLQTLLQMRAAESSVAPSREELLGTKEVEDYQKCIVRLKNEG ENEASLAQYKESVKRLLNLA >ENSMUSP00000144920.1 pep:known chromosome:GRCm38:6:147044595:147055867:1 gene:ENSMUSG00000040112.9 transcript:ENSMUST00000137556.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps35 description:mitochondrial ribosomal protein S35 [Source:MGI Symbol;Acc:MGI:2385255] MRRKALPPRTEKMDTDQDWPSVYPTAAPFKPSAVPLPVRMGYPVKKGVPMAKEGNLELLK IPNFLHLTPVAIKRHCAALKDFCTE >ENSMUSP00000120169.1 pep:known chromosome:GRCm38:16:13903161:13949619:1 gene:ENSMUSG00000022679.13 transcript:ENSMUST00000128757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17l description:Mpv17 transgene, kidney disease mutant-like [Source:MGI Symbol;Acc:MGI:2135951] MRYPPGMSVLQGKDDIFLDLKQKFWNTYKSGLMYWPFVQLTNFSLVPVHWRTAYTGLCAF LWATFLCFSQQSGDGTLQSIFIFLRRKEASDKSPEK >ENSMUSP00000123424.1 pep:known chromosome:GRCm38:16:13940630:13948723:1 gene:ENSMUSG00000022679.13 transcript:ENSMUST00000141971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17l description:Mpv17 transgene, kidney disease mutant-like [Source:MGI Symbol;Acc:MGI:2135951] MQSGVQALRAPGAGMSVLQGKDDIFLDLKQKFWNTYKSGLMYWPFVQLTNFSLVPVHWRT AYTGLCAFLWATFLCFSQQSGDGTLQSIFIFLRRKEASDKSPEK >ENSMUSP00000117826.2 pep:known chromosome:GRCm38:16:13940644:13947296:1 gene:ENSMUSG00000022679.13 transcript:ENSMUST00000124947.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17l description:Mpv17 transgene, kidney disease mutant-like [Source:MGI Symbol;Acc:MGI:2135951] MSVLQGKDDIFLDLKQKFWNTYKSGLMYWPFVQLTNFSLVPVHWRTAYTGLCAFLWATFL CFSQQSGDGTLQSIFIFLRRKEASDKSPEK >ENSMUSP00000023360.7 pep:known chromosome:GRCm38:16:13940665:13949378:1 gene:ENSMUSG00000022679.13 transcript:ENSMUST00000023360.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17l description:Mpv17 transgene, kidney disease mutant-like [Source:MGI Symbol;Acc:MGI:2135951] MASWWRAFPQAARRYPWPTNVLLYAGLFSAGDALQQRLRGGPADWRQTRRVATLAVTFHG NFNYVWLRLLERALPGRAPRTVLAKVLCDQTVGGPIALSAFYVGMSVLQGKDDIFLDLKQ KFWNTYKSGLMYWPFVQLTNFSLVPVHWRTAYTGLCAFLWATFLCFSQQSGDGTLQSIFI FLRRKEASDKSPEK >ENSMUSP00000116298.1 pep:known chromosome:GRCm38:16:13940695:13948582:1 gene:ENSMUSG00000022679.13 transcript:ENSMUST00000148966.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpv17l description:Mpv17 transgene, kidney disease mutant-like [Source:MGI Symbol;Acc:MGI:2135951] MASWWRAFPQAARRYPWPTNVLLYAGLFSAGDALQQRLRGGPADWRQTRRVATLAVTFHG NFNYVWLRLLERALPGRAPRTVLAKVLCDQTVGGPIALSAFYVGNIKDLVACYSLS >ENSMUSP00000116147.1 pep:known chromosome:GRCm38:16:13940702:13946879:1 gene:ENSMUSG00000022679.13 transcript:ENSMUST00000143697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17l description:Mpv17 transgene, kidney disease mutant-like [Source:MGI Symbol;Acc:MGI:2135951] MASWWRAFPQAARRYPWPTNVLLYAGLFSAGDALQQRLRGGPADWRQTRRVATLAVTFHG NFNYVWLRLLERALPGRAPRTVLAKVLCDQTVGGPIALSAFYVGMSVLQGKDDIFLDLKQ KFWNTYKHSNQAFLHSRVVSCTGPLCS >ENSMUSP00000123656.1 pep:known chromosome:GRCm38:16:13941094:13946845:1 gene:ENSMUSG00000022679.13 transcript:ENSMUST00000156143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17l description:Mpv17 transgene, kidney disease mutant-like [Source:MGI Symbol;Acc:MGI:2135951] MPSARDSEGMSVLQGKDDIFLDLKQKFWNTYKSGLMYWPFVQLTNFSLVPVHWRTAYTGL CAFLWATFLCFSQ >ENSMUSP00000009138.5 pep:known chromosome:GRCm38:17:28970885:29007937:-1 gene:ENSMUSG00000024006.16 transcript:ENSMUST00000009138.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk38 description:serine/threonine kinase 38 [Source:MGI Symbol;Acc:MGI:2442572] MAMTGSTPCSSMSNHTKERVTMTKVTLENFYSNLIAQHEEREMRQKKLEKVMEEEGLKDE EKRLRRSAHARKETEFLRLKRTRLGLEDFESLKVIGRGAFGEVRLVQKKDTGHVYAMKIL RKADMLEKEQVGHIRAERDILVEADSLWVVKMFYSFQDKLNLYLIMEFLPGGDMMTLLMK KDTLTEEETQFYIAETVLAIDSIHQLGFIHRDIKPDNLLLDSKGHVKLSDFGLCTGLKKA HRTEFYRNLNHSLPSDFTFQNMNSKRKAETWKRNRRQLAFSTVGTPDYIAPEVFMQTGYN KLCDWWSLGVIMYEMLIGYPPFCSETPQETYKKVMNWKETLTFPPEVPVSEKAKGLILRF CCEWEHRIGAPGVEEIKNNLFFEGVDWEHIRERPAAISIEIKSIDDTSNFDEFPESDILK PTVTTSSHPETDYKNKDWVFINYTYKRFEGLTARGAIPSYMKAAK >ENSMUSP00000113657.1 pep:known chromosome:GRCm38:17:28972237:29007937:-1 gene:ENSMUSG00000024006.16 transcript:ENSMUST00000119274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk38 description:serine/threonine kinase 38 [Source:MGI Symbol;Acc:MGI:2442572] MAMTGSTPCSSMSNHTKERVTMTKVTLENFYSNLIAQHEEREMRQKKLEKVMEEEGLKDE EKRLRRSAHARKETEFLRLKRTRLGLEDFESLKVIGRGAFGEVRLVQKKDTGHVYAMKIL RKADMLEKEQVGHIRAERDILVEADSLWVVKMFYSFQDKLNLYLIMEFLPGGDMMTLLMK KDTLTEEETQFYIAETVLAIDSIHQLGFIHRDIKPDNLLLDSKGHVKLSDFGLCTGLKKA HRTEFYRNLNHSLPSDFTFQNMNSKRKAETWKRNRRQLAFSTVGTPDYIAPEVFMQTGYN KLCDWWSLGVIMYEMLIGYPPFCSETPQETYKKVMNWKETLTFPPEVPVSEKAKGLILRF CCEWEHRIGAPGVEEIKNNLFFEGVDWEHIRERPAAISIEIKSIDDTSNFDEFPESDILK PTVTTSSHPETDYKNKDWVFINYTYKRFEGLTARGAIPSYMKAAK >ENSMUSP00000109735.3 pep:known chromosome:GRCm38:2:26382802:26389291:-1 gene:ENSMUSG00000026927.17 transcript:ENSMUST00000114100.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdccag3 description:serologically defined colon cancer antigen 3 [Source:MGI Symbol;Acc:MGI:1915362] MSGYARRQGAPPLSRTRSLVVPDAPAFYERRSCLPQLDCERPHGGDLHPHLFGFRPTFMC YVPSPVLASVGDTGDKLEDLEEANPFSFKEFLKTKNLSLSKEDTTTSRIYPKEASRHPLG LEHSSPASQLMGYGLESQQPFFEDPTRASNLEEDEDDGWNITYLPSAVDQTHSSRDTQDS PPCDTYLSFFSNSSELACPESLPPWTLSDTDSRISPASPAGSPNADFAAHEESLGDRHLR TLQISYEALKDENSKLRRKLNEVQSFSETQTEMVRTLERKLEAKMIKEESDFHDLESVVQ QVEQNLELMTKRAVKAENHVLKLKQEINLLQAQLSNLRRENEALRSGQGASLSVVKQNTD VALQNLHLVMNSAHASIKQLVSGADTLNLVAEILKSIDRISEVKDEVDS >ENSMUSP00000077133.6 pep:known chromosome:GRCm38:2:26382802:26389297:-1 gene:ENSMUSG00000026927.17 transcript:ENSMUST00000077983.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdccag3 description:serologically defined colon cancer antigen 3 [Source:MGI Symbol;Acc:MGI:1915362] MSGYARRQGAPPLSRTRSLVVPDGDKLEDLEEANPFSFKEFLKTKNLSLSKEDTTTSRIY PKEASRHPLGLEHSSPASQLMGYGLESQQPFFEDPTRASNLEEDEDDGWNITYLPSAVDQ THSSRDTQDSPPCDTYLSFFSNSSELACPESLPPWTLSDTDSRISPASPAGSPNADFAAH EESLGDRHLRTLQISYEALKDENSKLRRKLNEVQSFSETQTEMVRTLERKLEAKMIKEES DFHDLESVVQQVEQNLELMTKRAVKAENHVLKLKQEINLLQAQLSNLRRENEALRSGQGA SLSVVKQNTDVALQNLHLVMNSAHASIKQLVSGADTLNLVAEILKSIDRISEVKDEVDS >ENSMUSP00000028293.5 pep:known chromosome:GRCm38:2:26382802:26389304:-1 gene:ENSMUSG00000026927.17 transcript:ENSMUST00000028293.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdccag3 description:serologically defined colon cancer antigen 3 [Source:MGI Symbol;Acc:MGI:1915362] MSGYARRQGAPPLSRTRSLVVPDGFGYGKGKCTNQGPSGAPETRFGGDKLEDLEEANPFS FKEFLKTKNLSLSKEDTTTSRIYPKEASRHPLGLEHSSPASQLMGYGLESQQPFFEDPTR ASNLEEDEDDGWNITYLPSAVDQTHSSRDTQDSPPCDTYLSFFSNSSELACPESLPPWTL SDTDSRISPASPAGSPNADFAAHEESLGDRHLRTLQISYEALKDENSKLRRKLNEVQSFS ETQTEMVRTLERKLEAKMIKEESDFHDLESVVQQVEQNLELMTKRAVKAENHVLKLKQEI NLLQAQLSNLRRENEALRSGQGASLSVVKQNTDVALQNLHLVMNSAHASIKQLVSGADTL NLVAEILKSIDRISEVKDEVDS >ENSMUSP00000109737.3 pep:known chromosome:GRCm38:2:26382802:26389316:-1 gene:ENSMUSG00000026927.17 transcript:ENSMUST00000114102.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdccag3 description:serologically defined colon cancer antigen 3 [Source:MGI Symbol;Acc:MGI:1915362] MSGYARRQGAPPLSRTRSLVVPDAPAFYERRSCLPQLDCERPHGGDLHPHLFGFRPTFMC YVPSPVLASVGDTGFGYGKGKCTNQGPSGAPETRFGGDKLEDLEEANPFSFKEFLKTKNL SLSKEDTTTSRIYPKEASRHPLGLEHSSPASQLMGYGLESQQPFFEDPTRASNLEEDEDD GWNITYLPSAVDQTHSSRDTQDSPPCDTYLSFFSNSSELACPESLPPWTLSDTDSRISPA SPAGSPNADFAAHEESLGDRHLRTLQISYEALKDENSKLRRKLNEVQSFSETQTEMVRTL ERKLEAKMIKEESDFHDLESVVQQVEQNLELMTKRAVKAENHVLKLKQEINLLQAQLSNL RRENEALRSGQGASLSVVKQNTDVALQNLHLVMNSAHASIKQLVSGADTLNLVAEILKSI DRISEVKDEVDS >ENSMUSP00000121256.1 pep:known chromosome:GRCm38:2:26387105:26388694:-1 gene:ENSMUSG00000026927.17 transcript:ENSMUST00000139738.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdccag3 description:serologically defined colon cancer antigen 3 [Source:MGI Symbol;Acc:MGI:1915362] SSLRRQLSSPRLSGSQASAVFTSLDKRCSHKACCDHGDKLEDLEEANPFSFKEFLKTKNL SLSKEDTTTSRIYPKEASRHPLGLEHSSPASQLMGYGLESQQPFFEDPTRASNLEEDEDD GWNITYLPSAVDQTHSSRDTQDSP >ENSMUSP00000005714.7 pep:known chromosome:GRCm38:7:13035238:13038273:-1 gene:ENSMUSG00000005575.15 transcript:ENSMUST00000005714.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2m description:ubiquitin-conjugating enzyme E2M [Source:MGI Symbol;Acc:MGI:108278] MIKLFSLKQQKKEEESAGGTKGSSKKASAAQLRIQKDINELNLPKTCDISFSDPDDLLNF KLVICPDEGFYKSGKFVFSFKVGQGYPHDPPKVKCETMVYHPNIDLEGNVCLNILREDWK PVLTINSIIYGLQYLFLEPNPEDPLNKEAAEVLQNNRRLFEQNVQRSMRGGYIGSTYFER CLK >ENSMUSP00000122638.1 pep:known chromosome:GRCm38:7:13035329:13037627:-1 gene:ENSMUSG00000005575.15 transcript:ENSMUST00000125964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2m description:ubiquitin-conjugating enzyme E2M [Source:MGI Symbol;Acc:MGI:108278] QKGRGRDPAFEVRDRGEKMRPLIFDINELNLPKTCDISFSDPDDLLNFKLVICPDEGFYK SGKFVFSFKVGQGYPHDPPKVKCETMVYHPNIDLEGNVCLNILREDWKPVLTINSIIYGL QYLFLEPNPEDPLNKEAAEVLQNNRRLFEQNVQRSMRGGYIGSTYFERCLK >ENSMUSP00000120234.1 pep:known chromosome:GRCm38:7:13035588:13036648:-1 gene:ENSMUSG00000005575.15 transcript:ENSMUST00000123541.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2m description:ubiquitin-conjugating enzyme E2M [Source:MGI Symbol;Acc:MGI:108278] XNLPKTCDISFSDPDDLLNFKLVICPDEGFYKSGKFVFSFKVGQGYPHDPPKVKCETMVY HPNIDLEGNVCLNILREDWKPVLTINSIIYGLQYLFLVSRVRHLKLRVCWPSASLLPVDF HLYRPQEPNPEDPLNKEAAEVLQNNRRLFEQNVQRSMRGGYIGSTYFERCLK >ENSMUSP00000117123.1 pep:known chromosome:GRCm38:7:13036442:13038273:-1 gene:ENSMUSG00000005575.15 transcript:ENSMUST00000156389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2m description:ubiquitin-conjugating enzyme E2M [Source:MGI Symbol;Acc:MGI:108278] GQATESAEAAGRPRPLPTSASKPRLPRKLSLHRYPAVPCRRKRGYRLLLPPHRSLLAEGA SRRESGGPQQKKEEESAGGTKGSSKKASAAQLRIQKDINELNLPKTCDISFSDPDDLLNF KLVICPDEGFYKSGKFVF >ENSMUSP00000132604.2 pep:known chromosome:GRCm38:7:13035120:13038275:-1 gene:ENSMUSG00000005575.15 transcript:ENSMUST00000165394.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2m description:ubiquitin-conjugating enzyme E2M [Source:MGI Symbol;Acc:MGI:108278] MVYHPNIDLEGNVCLNILREDWKPVLTINSIIYGLQYLFLEPNPEDPLNKEAAEVLQNNR RLFEQNVQRSMRGGYIGSTYFERCLK >ENSMUSP00000113167.1 pep:known chromosome:GRCm38:17:24888486:24895055:-1 gene:ENSMUSG00000073436.11 transcript:ENSMUST00000119848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eme2 description:essential meiotic structure-specific endonuclease subunit 2 [Source:MGI Symbol;Acc:MGI:1919889] MAEVGPGRVTVSRLGRGLRLGHRRPQTWEISDSDGEGVPAREVGTQAPSPAGERRAAAKA LRADQVLGRLVVCVDPAVLEDAGSDILMEALGTLGCECRIEPQHQARSLQWNVVRPDPAP SNVPLEAKAENEQEQLLLLEPQEFLQGAAQLTQITDPPCSIPWLSPKSLTRSHLAVIGLD AYLWSHQLSSQKTWQLKKSKEAHARGAISWAEVEEILVLLQLHANLDVLLMASWQELSQY VCAFTRALSQLPSKQHRDSQAFSFCTAGHWASGQQVTRDGSGLRGVWWRQIRQFNRVSPA VADAVVTAFPSPRLLQQVSPLNPSFQDQDLPSTPYTCAYSRLSWTAAQSKNA >ENSMUSP00000113936.1 pep:known chromosome:GRCm38:17:24892152:24895087:-1 gene:ENSMUSG00000073436.11 transcript:ENSMUST00000121542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eme2 description:essential meiotic structure-specific endonuclease subunit 2 [Source:MGI Symbol;Acc:MGI:1919889] MAEVGPGRVTVSRLGRGLRLGHRRPQTWEISDSDGEGVPAREVGTQAPSPAGERRAAAKA LRADQVLGRLVVCVDPAVLEDAGSDILMEALGTLGCECRIEPQHQARSLQWNVVRPDPAP SNVPLEAKAENEQEQLLLLEPQEFLQGAAQLTQITDPPCSIPWLSPKSLTRSHLAVIGLD AYLWSHQLSSQKTWQLKKSKEAHARGAISWAEVEEILVLLQLHANLDVLLMASWQELSQY VCAFTRALSQLPSKQHRDSQAFSFCTAGHWASGQQVTRDGSGLRGVWWRQIRQFNRVSPA VADAVVTAFPSPRLLQQALLDCSTEQERLSLLADLPVKAHKGKQPRRVGPDLSRRICIFL TTTNPDLLLDLSS >ENSMUSP00000118245.1 pep:known chromosome:GRCm38:17:24892155:24895059:-1 gene:ENSMUSG00000073436.11 transcript:ENSMUST00000139754.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eme2 description:essential meiotic structure-specific endonuclease subunit 2 [Source:MGI Symbol;Acc:MGI:1919889] MAEVGPGRVTVSRLGRGLRLGHRRPQTWEISDSDGEGVPAREVGTQAPSPAGERRAAAKA LRADQSALEMVE >ENSMUSP00000141340.1 pep:known chromosome:GRCm38:3:10179852:10197262:-1 gene:ENSMUSG00000103124.1 transcript:ENSMUST00000194885.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm37389 description:predicted gene, 37389 [Source:MGI Symbol;Acc:MGI:5610617] MPGLPRKLCTMIEPFLGTWKLISSENFENYVRELGVECEPRKVACLIKPSVSISFNGERM DIQAGSACRNTEISFKLGEEFEETTADNRKVKVWG >ENSMUSP00000035650.2 pep:known chromosome:GRCm38:4:107434719:107635061:1 gene:ENSMUSG00000034762.9 transcript:ENSMUST00000046005.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glis1 description:GLIS family zinc finger 1 [Source:MGI Symbol;Acc:MGI:2386723] MHCEVAEALSDKRPKEAPGAPGQGRGPVSLGAHMAFRIAVSGGGCGDGNPLDLLPRLPVP PPRAHDLLRPRSPRDYGVSKTGSGKVNGSYGHSSEKSLLDLDLAEGPSPSCHQGLFLPAG TPPPRGHPPVCEKLLHFPHPNRSPRPQATFVNGSLPAAQHIKQEALPDYQAMVSAHTPLP THCRAPSSMGLPSDLDFPDRGLTNPAPSCYLLGNEPISDLGPQPEAHLPEGSLKRCCLLG LPPTSSASSSPCASSDINPVIHSSQTALVSCVNGLRSPPLPGDLGGPPKRSRPGPASSDG QEGSLQLEACRKSGFLKQEPMDEFSELFAPHHQGLPPPYPLPQLPTGPGLGGLGLGLAGR MVAGRQACRWVDCCAAYEQQEELVRHIEKSHIDQRKGEDFTCFWAGCVRRYKPFNARYKL LIHMRVHSGEKPNKCMFEGCSKAFSRLENLKIHLRSHTGEKPYLCQHPGCQKAFSNSSDR AKHQRTHLDTKPYACQIPGCSKRYTDPSSLRKHVKAHSAKEQQVRKKLHTGADPEADVLS ECLSLQQLQASTLLPASRGKGSQTLSQELLPGVYPGSVTPQNGLASGILSPSHDVPSRHH PLEVPTGSHHHLSPLPTAESTRDGLGPSLLSPMVSPLKGLGPPPLPPASQSQSPGGQSFS TVPSKPTYPSFQSPPPLPSPQGYQGSFHSIQNCFPYADCYRATEPAASRDGLVGDAHGFN PLRPSTYSSLSTPLSAPGYETLAETPCPPALQPQPAEDLVPSGPEDCGFFPNGAFDHCLS HIPSIYTDT >ENSMUSP00000102349.1 pep:known chromosome:GRCm38:4:107438998:107635061:1 gene:ENSMUSG00000034762.9 transcript:ENSMUST00000106738.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glis1 description:GLIS family zinc finger 1 [Source:MGI Symbol;Acc:MGI:2386723] MGLPSDLDFPDRGLTNPAPSCYLLGNEPISDLGPQPEAHLPEGSLKRCCLLGLPPTSSAS SSPCASSDINPVIHSSQTALVSCVNGLRSPPLPGDLGGPPKRSRPGPASSDGQEGSLQLE ACRKSGFLKQEPMDEFSELFAPHHQGLPPPYPLPQLPTGPGLGGLGLGLAGRMVAGRQAC RWVDCCAAYEQQEELVRHIEKSHIDQRKGEDFTCFWAGCVRRYKPFNARYKLLIHMRVHS GEKPNKCMFEGCSKAFSRLENLKIHLRSHTGEKPYLCQHPGCQKAFSNSSDRAKHQRTHL DTKPYACQIPGCSKRYTDPSSLRKHVKAHSAKEQQVRKKLHTGADPEADVLSECLSLQQL QASTLLPASRGKGSQTLSQELLPGVYPGSVTPQNGLASGILSPSHDVPSRHHPLEVPTGS HHHLSPLPTAESTRDGLGPSLLSPMVSPLKGLGPPPLPPASQSQSPGGQSFSTVPSKPTY PSFQSPPPLPSPQGYQGSFHSIQNCFPYADCYRATEPAASRDGLVGDAHGFNPLRPSTYS SLSTPLSAPGYETLAETPCPPALQPQPAEDLVPSGPEDCGFFPNGAFDHCLSHIPSIYTD T >ENSMUSP00000118600.1 pep:known chromosome:GRCm38:4:107434617:107563900:1 gene:ENSMUSG00000034762.9 transcript:ENSMUST00000135835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glis1 description:GLIS family zinc finger 1 [Source:MGI Symbol;Acc:MGI:2386723] MHCEVAEALSDKRPKEAPGAPGQGRGPVSLGAHMAFRIAVSGGGCGDGNPLDLLPRLPVP PPRAHDLLRPRSPRDYGVSKTGSGKVNGSYGHSSEKSLLDLDLA >ENSMUSP00000029034.6 pep:known chromosome:GRCm38:3:10179851:10183929:-1 gene:ENSMUSG00000052468.7 transcript:ENSMUST00000029034.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmp2 description:peripheral myelin protein 2 [Source:MGI Symbol;Acc:MGI:102667] MSNKFLGTWKLVSSEHFDDYMKALGVGLANRKLGNLAKPTVIISKKGDYITIRTESAFKN TEISFKLGQEFDETTADNRKAKSIVTLERGSLKQVQKWDGKETAIRRTLLDGRMVVECIM KGVVCTRIYEKV >ENSMUSP00000097627.3 pep:known chromosome:GRCm38:2:69670120:69684230:1 gene:ENSMUSG00000075307.3 transcript:ENSMUST00000100050.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl41 description:kelch-like 41 [Source:MGI Symbol;Acc:MGI:2683854] MDSQRELAEELRLYQSTLLQDGLKDLLEEKKFIDCTLKAGDKSFPCHRLILSACSPYFRE YFLSEIEEEKKKEVALDNVDPAILDLIIKYLYSASIDLNDGNVQDIFALSSRFQIPSVFT VCVSYLQKRLAPGNCLAILRLGLLLDCPRLAISAREFVSDRFVQICKEEDFMQLSPQELI SVISNDSLNVEKEEVVFEAVMKWVRTDKENRAKNLSEVFDCIRFRLMAEKYFKDHVEKDD IIKSNPEVQKKIKVLKDAFAGKLPEPSKNAEKAGAGEVNGDVGDEDLLPGYLNDIPRHGM FVKDLILLVNDTAAVAYDPMENECYLTALAEQIPRNHSSLVTQQNQVYVVGGLYVDEENK DQPLQSYFFQLDNVTSEWVGLPPLPSARCLFGLGEVDDKIYVVAGKDLQTEASLDSVLCY DPVAAKWSEVKNLPIKVYGHNVISHNGMIYCLGGKTDDKKCTNRVFIYNPKKGDWKDLAP MKTPRSMFGVAIHKGKIVIAGGVTEDGLSASVEAFDLKTNKWEVMTEFPQERSSISLVSL AGALYAIGGFAMIQLESKEFAPTEVNDIWKYEDDKKEWAGMLKEIRYASGASCLATRLNL FKLSKL >ENSMUSP00000107530.1 pep:known chromosome:GRCm38:19:46056539:46072911:1 gene:ENSMUSG00000055491.13 transcript:ENSMUST00000111899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pprc1 description:peroxisome proliferative activated receptor, gamma, coactivator-related 1 [Source:MGI Symbol;Acc:MGI:2385096] MAARRGRRDRVAPPPTGGPGPDPGGGVRGGSWASRSQAPYGTGGSVSAAEQVHEEGNDSS FVSLSRLGPSLREKDLEMEELILQDETLLETMQSYMDASLISLIEDFGESRLSLEDQNEM SLLTALTEILDNADSENLSPFDTIPDSELLVSPRESSSLHKLLNLSRTPPERDLITPIDP LGPSTGSSRVSGVEVPLADSPWDFSPPPFLETSSPKLPSWRPSRPRPRWGQSPPPQQRSD GEEEEEVAGFSGQMLAGKLDNSVNNVLDFPMHLACPEEEGTAEGADAPASAPGDESISSL SELVRAMHPYCLPNLTHLASLEGELEGQADADADELTLPEGCVVLEIVGQAATTGDDLEI PVVVRQIPSGSQSVLLDESLGSSPALQLLMPTMESETEAAVPEVAPCPDEELPLSSACLL EPREIMESLTPKEPQSLPASASQGSQKVPRKGRKKKNKEQPTACVEACTRRLRSSSRGQS TVSAEVNSQAGSSQKQPQEELQREAAALQSRGKPRAWARAWAAALEKTGSENLERSAGQD SPAEEDALDLCPKLLETSQANPTLSLNDSAQADSMPVDSVEGDSPAVGNAAPGDQASSGT ELVGSLPVGPNLTSPVLADKKGIEPAVAIPTSDNLSPADVLANTVAADPVPNDPAPADPV LVKCRPTDPRRAAAAAAAAAQGSRPSLQSADHPKVVSPEGKDVVGPLKVEGSTSATTQEA KPRPLSLSEYRQRRQQRQTEAEDRNSQPPVVGKWPSLPETPTELADIPCLVPSAPARKTA PQRSPIAVPETVSVGSNPVSPTPEPSASKLMVSTHSEQVSSHEMPLAVRPPPPPLPSVSP AGPIPSTVPAPLPPFPPSVPPLLPLPSGGHGVPRLPPPPLQPPGLPVSMRQMPPDPYTQY APVPPWSCYPSVSPPGYSCLPPPPTMPIVSGTPGTYAVPPTCNVPWVPPPAPVSPYSSSC AYGSLGWGPGLQQPPFWSTVSPPPLSSVPTGRAVPPTPVEPSGDPAGPPEDVLPGPVTPS LSSGPASPAAPPVEPTKPEAQPVPVSPQPKHKVSTLVQSPQIKAPPTLSTEGVVFEESVS ERLKSETQENRPKEKPISTAIKSVPVPKQSAVAKLPAVHPARLRKLSFLPTPRAQGPEDV VQAFISEIGIEASDLSSLLEQFEKSEAKKECPLPASADSLAVGNSGIDIPQEKKPLDRLQ APELANVAGLTPPATPPHQLWKPLAAVSLLAKAKSPKSTAQEGTLKPEGITEAKPPATAC LQEGAHSPSPVHVGSGDHDYCVRSRTPPKRMPALVISEVGSRWNVKRHQDITIKPVLSLG SAAPPLPCTATSQEPLDHRTSVEQADPSAPCFAPSTLLSPEASPCRSEMNARTPPEPSDK QQSMRCYRKACRSVSPSSRGWQGRRGRSSRSVSSGSSRTSEASSSSSVSSSSRSRSRSRS RSFSPPNKRWRRSSCSSSGRSRRCSSSSSSSSSSSSCSSRSRSPSVSPCRRSDRRRRYSS YRANDHYQRQRVLQKERAIEERRVVFIGKIPGRMTRSELKQRFSVFGEIEECTIHFRVQG DNYGFVTYRYAEEAFAAIESGHKLRQADEQPFDLCFGGRRQFCKRSYSDLDSNREDFDPA PVKSKFDSLDFDTLLKQAQKNLRR >ENSMUSP00000096990.3 pep:known chromosome:GRCm38:19:46056581:46072915:1 gene:ENSMUSG00000055491.13 transcript:ENSMUST00000099392.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pprc1 description:peroxisome proliferative activated receptor, gamma, coactivator-related 1 [Source:MGI Symbol;Acc:MGI:2385096] MAARRGRRDRVAPPPTGGPGPDPGGGVRGGSWASRSQAPYGTGGSVSAAEQVHEEGNDSS FVSLSRLGPSLREKDLEMEELILQDETLLETMQSYMDASLISLIEDFGESRLSLEDQNEM SLLTALTEILDNADSENLSPFDTIPDSELLVSPRESSSLHKLLNLSRTPPERDLITPIDP LGPSTGSSRVEVPLADSPWDFSPPPFLETSSPKLPSWRPSRPRPRWGQSPPPQQRSDGEE EEEVAGFSGQMLAGKLDNSVNNVLDFPMHLACPEEEGTAEGADAPASAPGDESISSLSEL VRAMHPYCLPNLTHLASLEGELEGQADADADELTLPEGCVVLEIVGQAATTGDDLEIPVV VRQIPSGSQSVLLDESLGSSPALQLLMPTMESETEAAVPEVAPCPDEELPLSSACLLEPR EIMESLTPKEPQSLPASASQGSQKVPRKGRKKKNKEQPTACVEACTRRLRSSSRGQSTVS AEVNSQAGSSQKQPQEELQREAAALQSRGKPRAWARAWAAALEKTGSENLERSAGQDSPA EEDALDLCPKLLETSQANPTLSLNDSAQADSMPVDSVEGDSPAVGNAAPGDQASSGTELV GSLPVGPNLTSPVLADKKGIEPAVAIPTSDNLSPADVLANTVAADPVPNDPAPADPVLVK CRPTDPRRAAAAAAAAAQGSRPSLQSADHPKVVSPEGKDVVGPLKVEGSTSATTQEAKPR PLSLSEYRQRRQQRQTEAEDRNSQPPVVGKWPSLPETPTELADIPCLVPSAPARKTAPQR SPIAVPETVSVGSNPVSPTPEPSASKLMVSTHSEQVSSHEMPLAVRPPPPPLPSVSPAGP IPSTVPAPLPPFPPSVPPLLPLPSGGHGVPRLPPPPLQPPGLPVSMRQMPPDPYTQYAPV PPWSCYPSVSPPGYSCLPPPPTMPIVSGTPGTYAVPPTCNVPWVPPPAPVSPYSSSCAYG SLGWGPGLQQPPFWSTVSPPPLSSVPTGRAVPPTPVEPSGDPAGPPEDVLPGPVTPSLSS GPASPAAPPVEPTKPEAQPVPVSPQPKHKVSTLVQSPQIKAPPTLSTEGVVFEESVSERL KSETQENRPKEKPISTAIKSVPVPKQSAVAKLPAVHPARLRKLSFLPTPRAQGPEDVVQA FISEIGIEASDLSSLLEQFEKSEAKKECPLPASADSLAVGNSGSSARGVTLTLTPIGKTL ILLL >ENSMUSP00000079389.3 pep:known chromosome:GRCm38:19:46056584:46072909:1 gene:ENSMUSG00000055491.13 transcript:ENSMUST00000062322.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pprc1 description:peroxisome proliferative activated receptor, gamma, coactivator-related 1 [Source:MGI Symbol;Acc:MGI:2385096] MAARRGRRDRVAPPPTGGPGPDPGGGVRGGSWASRSQAPYGTGGSVSAAEQVHEEGNDSS FVSLSRLGPSLREKDLEMEELILQDETLLETMQSYMDASLISLIEDFGEQSRLSLEDQNE MSLLTALTEILDNADSENLSPFDTIPDSELLVSPRESSSLHKLLNLSRTPPERDLITPID PLGPSTGSSRVSGVEVPLADSPWDFSPPPFLETSSPKLPSWRPSRPRPRWGQSPPPQQRS DGEEEEEVAGFSGQMLAGKLDNSVNNVLDFPMHLACPEEEGTAEGADAPASAPGDESISS LSELVRAMHPYCLPNLTHLASLEGELEGQADADADELTLPEGCVVLEIVGQAATTGDDLE IPVVVRQIPSGSQSVLLDESLGSSPALQLLMPTMESETEAAVPEVAPCPDEELPLSSACL LEPREIMESLTPKEPQSLPASASQGSQKVPRKGRKKKNKEQPTACVEACTRRLRSSSRGQ STVSAEVNSQAGSSQKQPQEELQREAAALQSRGKPRAWARAWAAALEKTGSENLERSAGQ DSPAEEDALDLCPKLLETSQANPTLSLNDSAQADSMPVDSVEGDSPAVGNAAPGDQASSG TELVGSLPVGPNLTSPVLADKKGIEPAVAIPTSDNLSPADVLANTVAADPVPNDPAPADP VLVKCRPTDPRRAAAAAAAAAQGSRPSLQSADHPKVVSPEGKDVVGPLKVEGSTSATTQE AKPRPLSLSEYRQRRQQRQTEAEDRNSQPPVVGKWPSLPETPTELADIPCLVPSAPARKT APQRSPIAVPETVSVGSNPVSPTPEPSASKLMVSTHSEQVSSHEMPLAVRPPPPPLPSVS PAGPIPSTVPAPLPPFPPSVPPLLPLPSGGHGVPRLPPPPLQPPGLPVSMRQMPPDPYTQ YAPVPPWSCYPSVSPPGYSCLPPPPTMPIVSGTPGTYAVPPTCNVPWVPPPAPVSPYSSS CAYGSLGWGPGLQQPPFWSTVSPPPLSSVPTGRAVPPTPVEPSGDPAGPPEDVLPGPVTP SLSSGPASPAAPPVEPTKPEAQPVPVSPQPKHKVSTLVQSPQIKAPPTLSTEGVVFEESV SERLKSETQENRPKEKPISTAIKSVPVPKQSAVAKLPAVHPARLRKLSFLPTPRAQGPED VVQAFISEIGIEASDLSSLLEQFEKSEAKKECPLPASADSLAVGNSGSIDIPQEKKPLDR LQAPELANVAGLTPPATPPHQLWKPLAAVSLLAKAKSPKSTAQEGTLKPEGITEAKPPAT ACLQEGAHSPSPVHVGSGDHDYCVRSRTPPKRMPALVISEVGSRWNVKRHQDITIKPVLS LGSAAPPLPCTATSQEPLDHRTSVEQADPSAPCFAPSTLLSPEASPCRSEMNARTPPEPS DKQQSMRCYRKACRSVSPSSRGWQGRRGRSSRSVSSGSSRTSEASSSSSVSSSSRSRSRS RSRSFSPPNKRWRRSSCSSSGRSRRCSSSSSSSSSSSSCSSRSRSPSVSPCRRSDRRRRY SSYRANDHYQRQRVLQKERAIEERRVVFIGKIPGRMTRSELKQRFSVFGEIEECTIHFRV QGDNYGFVTYRYAEEAFAAIESGHKLRQADEQPFDLCFGGRRQFCKRSYSDLDSNREDFD PAPVKSKFDSLDFDTLLKQAQKNLRR >ENSMUSP00000119672.1 pep:known chromosome:GRCm38:19:46056832:46062438:1 gene:ENSMUSG00000055491.13 transcript:ENSMUST00000135327.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pprc1 description:peroxisome proliferative activated receptor, gamma, coactivator-related 1 [Source:MGI Symbol;Acc:MGI:2385096] MEELILQDETLLETMQSYMDASLISLIEDFGESRLSLEDQNEMSLLTALTEILDNADSEN LSPFDTIPDSELLVSPRESSSLHKLLNLSRTPP >ENSMUSP00000120475.1 pep:known chromosome:GRCm38:19:46056864:46062803:1 gene:ENSMUSG00000055491.13 transcript:ENSMUST00000150158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pprc1 description:peroxisome proliferative activated receptor, gamma, coactivator-related 1 [Source:MGI Symbol;Acc:MGI:2385096] MEELILQDETLLETMQSYMDASLISLIEDFGESRLSLEDQNEMSLLTALTEILDNADSEN LSPFDTIPDSELLVSPRESSSVEVPLADSPWDFSPPPFLETSSPKLPSWRPSRPRPRWGQ SPPPQQRSDGEEEEEVAGFSGQMLA >ENSMUSP00000121680.1 pep:known chromosome:GRCm38:19:46057236:46062628:1 gene:ENSMUSG00000055491.13 transcript:ENSMUST00000126127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pprc1 description:peroxisome proliferative activated receptor, gamma, coactivator-related 1 [Source:MGI Symbol;Acc:MGI:2385096] MEELILQDETLLETMQSYMDASLISLIEDFGESRLSLEDQNEMSLLTALTEILDNADSEN LSPFDTIPDSELLVSPRESSSLHKLLNLSRTPPERDLITPIDPLGPSTGSSRVSGVEVPL A >ENSMUSP00000116857.1 pep:known chromosome:GRCm38:19:46057259:46062644:1 gene:ENSMUSG00000055491.13 transcript:ENSMUST00000147640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pprc1 description:peroxisome proliferative activated receptor, gamma, coactivator-related 1 [Source:MGI Symbol;Acc:MGI:2385096] MEELILQDETLLETMQSYMDASLISLIEDFGEVEVPLADSPWD >ENSMUSP00000027193.8 pep:known chromosome:GRCm38:1:58586384:58595964:1 gene:ENSMUSG00000026032.8 transcript:ENSMUST00000027193.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb3 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex 3 [Source:MGI Symbol;Acc:MGI:1913745] MAAGHGHEHGHEHGHGHGKMELPDYRQWKIEGTPLETVQKKLAARGLRDPWARNEAWRYM GGFAGNITFPSVILKGFKWGFAAFVVALGAEYFLDSQNGDKKHH >ENSMUSP00000034522.7 pep:known chromosome:GRCm38:9:40686012:40785319:1 gene:ENSMUSG00000032024.10 transcript:ENSMUST00000034522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clmp description:CXADR-like membrane protein [Source:MGI Symbol;Acc:MGI:1918816] MSLFFLWLVSYYVGTLGTHTEIKRVAEEKVTLPCHHQLGLPEKDTLDIEWLLTDNEGNQK VVITYSSRHVYNNLTEEQKGRVAFASNFLAGDASLQIEPLKPSDEGRYTCKVKNSGRYVW SHVILKVLVRPSKPKCELEGEPTEGSDLTLQCESASGTKPIVYYWQRIREKEGEDEHLPP KSRIDYNNPGRVLLQNLTMASSGLYQCTAGNEAGKESCVVRVTVQYVQSIGMVAGAVTGI VAGALLIFLLIWLLIRRKSKDRYEEEDRPNEIREDAEAPRARLVKPSSSSSGSRSSRSGS SSTRSTGNSASRSQRTLSSEAAPQQPGLAPQAYSLIGPEVRGSEPKKVHHTTLTKAETTL STTPSQSKAFQTV >ENSMUSP00000058391.7 pep:known chromosome:GRCm38:X:51003912:51018018:-1 gene:ENSMUSG00000050029.7 transcript:ENSMUST00000053593.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap2c description:RAP2C, member of RAS oncogene family [Source:MGI Symbol;Acc:MGI:1919315] MREYKVVVLGSGGVGKSALTVQFVTGTFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAG TEQFASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIVRVKRYEKVPLILVGNKVDL EPEREVMSSEGRALAQEWGCPFMETSAKSKSMVDELFAEIVRQMNYSSLPEKQDQCCTTC VVQ >ENSMUSP00000045710.4 pep:known chromosome:GRCm38:18:38838673:38918771:-1 gene:ENSMUSG00000036585.15 transcript:ENSMUST00000040647.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf1 description:fibroblast growth factor 1 [Source:MGI Symbol;Acc:MGI:95515] MAEGEITTFAALTERFNLPLGNYKKPKLLYCSNGGHFLRILPDGTVDGTRDRSDQHIQLQ LSAESAGEVYIKGTETGQYLAMDTEGLLYGSQTPNEECLFLERLEENHYNTYTSKKHAEK NWFVGLKKNGSCKRGPRTHYGQKAILFLPLPVSSD >ENSMUSP00000123293.1 pep:known chromosome:GRCm38:18:38838675:38929404:-1 gene:ENSMUSG00000036585.15 transcript:ENSMUST00000131348.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fgf1 description:fibroblast growth factor 1 [Source:MGI Symbol;Acc:MGI:95515] MAEGEITTFAALTERFNLPLGNYKKPKLLYCSNGGHFLRILPDGTVDGTRDRSDQHRPPE YKDSGSLCSALQLLCPAY >ENSMUSP00000111245.1 pep:known chromosome:GRCm38:18:38840177:38866747:-1 gene:ENSMUSG00000036585.15 transcript:ENSMUST00000115582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf1 description:fibroblast growth factor 1 [Source:MGI Symbol;Acc:MGI:95515] MAEGEITTFAALTERFNLPLGNYKKPKLLYCSNGGHFLRILPDGTVDGTRDRSDQHIQLQ LSAESAGEVYIKGTETGQYLAMDTEGLLYGSQTPNEECLFLERLEENHYNTYTSKKHAEK NWFVGLKKNGSCKRGPRTHYGQKAILFLPLPVSSD >ENSMUSP00000113292.1 pep:known chromosome:GRCm38:18:38841907:38858748:-1 gene:ENSMUSG00000036585.15 transcript:ENSMUST00000117566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf1 description:fibroblast growth factor 1 [Source:MGI Symbol;Acc:MGI:95515] MAEGEITTFAALTERFNLPLGNYKKPKLLYCSNGGHFLRILPDGTVDGTRDRSDQHTDTK >ENSMUSP00000122390.1 pep:known chromosome:GRCm38:18:38847084:38866132:-1 gene:ENSMUSG00000036585.15 transcript:ENSMUST00000139972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf1 description:fibroblast growth factor 1 [Source:MGI Symbol;Acc:MGI:95515] MAEGEITTFAALTERFNLPLGNYKKPKLLYCSNGGHFLRILPDGTVDGTRDRSDQHIQLQ LSAESAGEVYIKGTETGQ >ENSMUSP00000122105.1 pep:known chromosome:GRCm38:18:38858568:38929157:-1 gene:ENSMUSG00000036585.15 transcript:ENSMUST00000134864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf1 description:fibroblast growth factor 1 [Source:MGI Symbol;Acc:MGI:95515] MAEGEITTFAALTERFNLPLGNYKKPKLLYCSNGGHFLRILPDGTVDGT >ENSMUSP00000017732.2 pep:known chromosome:GRCm38:11:99345565:99351104:-1 gene:ENSMUSG00000017588.2 transcript:ENSMUST00000017732.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt27 description:keratin 27 [Source:MGI Symbol;Acc:MGI:1339999] MSVRFSSASRRLGSVRLSSAGAALGAGNACGVPGIGSGFSCAFGGSSLAGGLGMGGASCG AFTANEHGLLSGNEKVTMQNLNDRLASYLENVQALEEANADLEQKIKDWYEKFGPGSCRG LDHDYSRYFPIIDDLRTQIISATAHNANIILQNDNARLTADDFRMKYENELALHQSVDAD INGLRRVLDELTLCRTDLEVQLETLSEELAYLKKNHEEEMQALQCAAGGNVNVEMNAAPG VDLTVLLNNMRAEYEALAEQNRRDAEAWFQEKSASLQQQISDDAGATTSARNELTEMKRT LQTLEIELQSLLAMKHSLECSLTETEGNYCTQLAQIQAQISALEEQLHQVRTETEGQKLE YEQLLNVKAHLEKEIETYCRLIDGDEGSCVKAKGQGRPGNQTKDSPKTAIVKTVVEELDP RGKVLSSRVHTLEEKSTKVNKTEQRIPS >ENSMUSP00000051107.6 pep:known chromosome:GRCm38:10:84756062:84906144:1 gene:ENSMUSG00000020037.15 transcript:ENSMUST00000060397.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx4 description:regulatory factor X, 4 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1918387] MHCGLLEEPDMDSTESWIERCLNESENKRYSSHTSLGNVSNDENEEKENNRASKPHSTPA TLQWLEENYEIAEGVCIPRSALYMHYLDFCEKNDTQPVNAASFGKIIRQQFPQLTTRRLG TRGQSKYHYYGIAVKESSQYYDVMYSKKGAAWVSETGKREVTKQTVAYSPRSKLGTLLPD FPNVKDLNLPASLPEEKVSTFIMMYRTHCQRILDTVIRANFDEVQSFLLHFWQGMPPHML PVLGSSTVVNIVGVCDSILYKAISGVLMPTVLQALPDSLTQVIRKFAKQLDEWLKVALHD LPENLRNIKFELSRRFSQILRRQTSLNHLCQASRTVIHSADITFQMLEDWRNVDLSSITK QTLYTMEDSRDEHRRLIIQLYQEFDHLLEEQSPIESYIEWLDTMVDRCVVKVAAKRQGSL KKVAQQFLLMWSCFGTRVIRDMTLHSAPSFGSFHLIHLMFDDYVLYLLESLHCQERANEL MRAMKGEGSTAEAQEEIILTEATPPTPSPGPSFSPAKSATSVEVPPPSSPVSNPSPEYTG LSTAGAMQSYTWSLTYTVTTAAGSPAENSQQLPCMRSTHMPSSSVTHRIPVYSHREEHGY TGSYNYGSYGNQHPHPLQNQYPALPHDTAISGPLHYSPYHRSSAQYPFNSPTSRMEPCLM SSTPRLHPTPVTPRWPEVPTANACYTSPSVHSTRYGNSSDMYTPLTTRRNSEYEHMQHFP GFAYINGEASTGWAK >ENSMUSP00000128690.2 pep:known chromosome:GRCm38:10:84834746:84906534:1 gene:ENSMUSG00000020037.15 transcript:ENSMUST00000166696.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx4 description:regulatory factor X, 4 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1918387] MYSKKGAAWVSETGKREVTKQTVAYSPRSKLGTLLPDFPNVKDLNLPASLPEEKVSTFIM MYRTHCQRILDTVIRANFDEVQSFLLHFWQGMPPHMLPVLGSSTVVNIVGVCDSILYKAI SGVLMPTVLQALPDSLTQVIRKFAKQLDEWLKVALHDLPENLRNIKFELSRRFSQILRRQ TSLNHLCQASRTVIHSADITFQMLEDWRNVDLSSITKQTLYTMEDSRDEHRRLIIQLYQE FDHLLEEQSPIESYIEWLDTMVDRCVVKVAAKRQGSLKKVAQQFLLMWSCFGTRVIRDMT LHSAPSFGSFHLIHLMFDDYVLYLLESLHCQERANELMRAMKGEGSTAEAQEEIILTEAT PPTPSPGPSFSPAKSATSVEVPPPSSPVSNPSPEYTGLSTAGAMQSYTWSLTYTVTTAAG SPAENSQQLPCMRSTHMPSSSVTHRIPVYSHREEHGYTGSYNYGSYGNQHPHPLQNQYPA LPHDTAISGPLHYSPYHRSSAQYPFNSPTSRMEPCLMSSTPRLHPTPVTPRWPEVPTANA CYTSPSVHSTRYGNSSDMYTPLTTRRNSEYEHMQHFPGFAYINGEASTGWAK >ENSMUSP00000093035.4 pep:known chromosome:GRCm38:10:84838148:84906538:1 gene:ENSMUSG00000020037.15 transcript:ENSMUST00000095388.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx4 description:regulatory factor X, 4 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1918387] MNWAAFGGPEFFIPGGMKMEASCPLGRNFTEWYHYYGIAVKESSQYYDVMYSKKGAAWVS ETGKREVTKQTVAYSPRSKLGTLLPDFPNVKDLNLPASLPEEKVSTFIMMYRTHCQRILD TVIRANFDEVQSFLLHFWQGMPPHMLPVLGSSTVVNIVGVCDSILYKAISGVLMPTVLQA LPDSLTQVIRKFAKQLDEWLKVALHDLPENLRNIKFELSRRFSQILRRQTSLNHLCQASR TVIHSADITFQMLEDWRNVDLSSITKQTLYTMEDSRDEHRRLIIQLYQEFDHLLEEQSPI ESYIEWLDTMVDRCVVKVAAKRQGSLKKVAQQFLLMWSCFGTRVIRDMTLHSAPSFGSFH LIHLMFDDYVLYLLESLHCQERANELMRAMKGEGSTAEAQEEIILTEATPPTPSPGPSFS PAKSATSVEVPPPSSPVSNPSPEYTGLSTAGAMQSYTWSLTYTVTTAAGSPAENSQQLPC MRSTHMPSSSVTHRIPVYSHREEHGYTGSYNYGSYGNQHPHPLQNQYPALPHDTAISGPL HYSPYHRSSAQYPFNSPTSRMEPCLMSSTPRLHPTPVTPRWPEVPTANACYTSPSVHSTR YGNSSDMYTPLTTRRNSEYEHMQHFPGFAYINGEASTGWAK >ENSMUSP00000099804.3 pep:known chromosome:GRCm38:11:54522847:54699285:1 gene:ENSMUSG00000037533.18 transcript:ENSMUST00000102743.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef6 description:Rap guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:2384761] MNSPVDPGARQALRKKPPERTPEDLNIIYSYLHGMEILSNLREHQLRLMSTRARYERYSG NQMLFCSETIARCWYILLSGSVLVKDSMVLPPCSFGKQFGGKRGCDCLVLEPSEMIVVEN SKDNEDSILQREIPARQSRRRFRKINYKGERQTIIDGVDINNYLSLPADLTKMHLTDNPH PQVTHVSSSQSGCSIASDSGSSSLSDIYQATESEVGDVDLTRLPEGPVDSEDEEEEEEEI DRTDPLQGRDLVRECLEKEPADKTDDDVEQLLEFMHQLPAFANMTMSVRRELCSVMVFEV VEQAGAVILEDGQELDSWYVILNGTVEISHPDGKIENLFMGNSFGIVPTLDKQHMHGAVR TKVDDCQFVCIAQQDYWRILNHVEKNTHKVEEEGEIVMVHEHRELDRSGTRKGHIVIKAT PERLIMHLIEEHSIVDPTYIEDFLLTYRTFLETPLDVGIKLLEWFKIDNLRDKVTRIVLL WVNNHFNDFEGDPAMTQFLEEFERNLEDTKMNGHLRLLNIACAAKAKWRQVVLQKASRES PLHFCLTGGSEKGFGVFVEEVESGSKAADAGLKRGDQVMEVNGQNFENITLAKALEILRN NTHLALTVKTNIFVFKELLSRTEQEKSGVPHIPKIAEKKSNRHSIQDVPGDMEQAPQEKG NKKIKANTVSGGRNKIRKILDKTRFSILPPKLFSDGGLSQSQDDSIVGTRHCRHSLAIMP IPGTLSSSSPDLLQPTTSMLDFSNPSDIPDQVIRVFKADQQSCYIIISKDTTAKEVVCQA VQEFGLTGASDTYSLCEVSVTPEGVIKQRRLPDQFSKLADRIQLNGRYYLKNNMETETLC SDEDAQELLKESQLSMLQLSTIEVATQLSMRDFDLFRNIEPTEYIDDLFKLDSKTGNTHL KQFEDIVNQETFWVASEILSESNQLKRMKIIKHFIKIALHCRECKNFNSMFAIISGLNLA PVARLRGTWEKLPSKYEKHLQDLQDLFDPSRNMAKYRNILSSQSMQPPIIPLFPVVKKDM TFLHEGNDSKVDGLVNFEKLRMIAKEIRHIIRMTSANMDPAMMFRQRSLSQGSTNSNMLD VQGGAHKKRARRSSLLNAKKLYEDAQMARKVKQYLSSLDIDTDEEKFQMMSLQWEPAYGT LTKNLTEKRSAKSSEMSPVPLRSVGQTAKVHLHQPHRVSQVLQVPAVNLHPIRKKGQAKD HVLSTSLPQKGLGPTEEVSVKKHTEDTISVASSLHSSPPASPQNSPRKGYTLTPSSKCDN LSDSSHSEISSRSSIVSNGSVDSMSAAGQDERCSSHSLAVPEPTGALEKTDHPSGISDHS QLAHGWMLSKPCLIKGVAVSSSLSSEEMSHEHVVLEAADSGRGSWTSCSSSSHDNFQSLQ NQKSWDFLNSYRHMHLDDPIAEVEPTDCEPCACPKGCSRTCGQCKGSLETNQLRQSWASS SSLSDTCEPNYGTVKRRVLESAPAEAPDGLEPRDTTDPVYKTVTSSTDKGLIVYCVTSPK KGDRYREPPPTPPGYLGISLADLKEGPHPHLKPPDYSVAVQRSKMMLNSLSRLPPAPPSS HTSAWVPSKIGSQPQRHSHPKLADVADADSEADENEQVSAV >ENSMUSP00000104523.1 pep:known chromosome:GRCm38:11:54522890:54635009:1 gene:ENSMUSG00000037533.18 transcript:ENSMUST00000108895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef6 description:Rap guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:2384761] MNSPVDPGARQALRKKPPERTPEDLNIIYSYLHGMEILSNLREHQLRLMSTRARYERYSG NQMLFCSETIARCWYILLSGSVLVKDSMVLPPCSFGKQFGGKRGCDCLVLEPSEMIVVEN SKDNEDSILQREIPARQSRRRFRKINYKGERQTIIDGVDINNYLSLPADLTKMHLTDNPH PQVTHVSSSQSGCSIASDSGSSSLSDIYQATESEVGDVDLTRLPEGPVDSEDEEEEEEEI DRTDPLQGRDLVRECLEKEPADKTDDDVEQLLEFMHQLPAFANMTMSVRRELCSVMVFEV VEQAGAVILEDGQELDSWYVILNGTVEISHPDGKIENLFMGNSFGIVPTLDKQHMHGAVR TKVDDCQFVCIAQQDYWRILNHVEKNTHKVEEEGEIVMVHEHRELDRSGTRKGHIVIKAT PERLIMHLIEEHSIVDPTYIEDFLLTYRTFLETPLDVGIKLLEWFKIDNLRDKVTRIVLL WVNNHFNDFEGDPAMTQFLEEFERNLEDTVRLKRICFYNSAFSLPLSKNSNSEHVLSSPV SVVA >ENSMUSP00000098766.3 pep:known chromosome:GRCm38:11:54522890:54696979:1 gene:ENSMUSG00000037533.18 transcript:ENSMUST00000101206.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef6 description:Rap guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:2384761] MNSPVDPGARQALRKKPPERTPEDLNIIYSYLHGMEILSNLREHQLRLMSTRARYERYSG NQMLFCSETIARCWYILLSGSVLVKDSMVLPPCSFGKQFGGKRGCDCLVLEPSEMIVVEN SKDNEDSILQREIPARQSRRRFRKINYKGERQTIIDGVDINNYLSLPADLTKMHLTDNPH PQVTHVSSSQSGCSIASDSGSSSLSDIYQATESEVGDVDLTRLPEGPVDSEDEEEEEEEI DRTDPLQGRDLVRECLEKEPADKTDDDVEQLLEFMHQLPAFANMTMSVRRELCSVMVFEV VEQAGAVILEDGQELDSWYVILNGTVEISHPDGKIENLFMGNSFGIVPTLDKQHMHGAVR TKVDDCQFVCIAQQDYWRILNHVEKNTHKVEEEGEIVMVHEHRELDRSGTRKGHIVIKAT PERLIMHLIEEHSIVDPTYIEDFLLTYRTFLETPLDVGIKLLEWFKIDNLRDKVTRIVLL WVNNHFNDFEGDPAMTQFLEEFERNLEDTKMNGHLRLLNIACAAKAKWRQVVLQKASRES PLHFCLTGGSEKGFGVFVEEVESGSKAADAGLKRGDQVMEVNGQNFENITLAKALEILRN NTHLALTVKTNIFVFKELLSRTEQEKSGVPHIPKIAEKKSNRHSIQDVPGDMEQAPQEKG NKKIKANTVSGGRNKIRKILDKTRFSILPPKLFSDGGLSQSQDDSIVGTRHCRHSLAIMP IPGTLSSSSPDLLQPTTSMLDFSNPSDIPDQVIRVFKADQQSCYIIISKDTTAKEVVCQA VQEFGLTGASDTYSLCEVSVTPEGVIKQRRLPDQFSKLADRIQLNGRYYLKNNMETETLC SDEDAQELLKESQLSMLQLSTIEVATQLSMRDFDLFRNIEPTEYIDDLFKLDSKTGNTHL KQFEDIVNQETFWVASEILSESNQLKRMKIIKHFIKIALHCRECKNFNSMFAIISGLNLA PVARLRGTWEKLPSKYEKHLQDLQDLFDPSRNMAKYRNILSSQSMQPPIIPLFPVVKKDM TFLHEGNDSKVDGLVNFEKLRMIAKEIRHIIRMTSANMDPAMMFRQRKKRWRSLGSLSQG STNSNMLDVQGGAHKKRARRSSLLNAKKLYEDAQMARKVKQYLSSLDIDTDEEKFQMMSL QWEPAYGTLTKNLTEKRSAKSSEMSPVPLRSVGQTAKVHLHQPHRVSQVLQVPAVNLHPI RKKGQAKDHVLSTSLPQKGLGPTEEVSVKKHTEDTISVASSLHSSPPASPQNSPRKGYTL TPSSKCDNLSDSSHSEISSRSSIVSNGSVDSMSAAGQDERCSSHSLAVPEPTGALEKTDH PSGISDHSQLAHGWMLSKPCLIKGVAVSSSLSSEEMSHEHVVLEAADSGRGSWTSCSSSS HDNFQSLQNQKSWDFLNSYRHMHLDDPIAEVEPTDCEPCACPKGCSRTCGQCKGSLETNQ LRQSWASSSSLSDTCEPNYGTVKRRVLESAPAEAPDGLEPRDTTDPVYKTVTSSTDKGLI VYCVTSPKKGDRYREPPPTPPGYLGISLADLKEGPHPHLKPPDYSVAVQRSKMMLNSLSR LPPAPPSSHTSAWVPSKIGSQPQRHSHPKLADVADADSEADENEQVSAV >ENSMUSP00000147135.1 pep:known chromosome:GRCm38:11:54522946:54696344:1 gene:ENSMUSG00000037533.18 transcript:ENSMUST00000207429.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef6 description:Rap guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:2384761] MNSPVDPGARQALRKKPPERTPEDLNIIYSYLHGMEILSNLREHQLRLMSTRARYERYSG NQMLFCSETIARCWYILLSGSVLVKDSMVLPPCSFGKQFGGKRGCDCLVLEPSEMIVVEN SKDNEDSILQREIPARQSRRRFRKINYKGERQTIIDGVDINNYLSLPADLTKMHLTDNPH PQVTHVSSSQSGCSIASDSGSSSLSDIYQATESEVGDVDLTRLPEGPVDSEDEEEEEEEI DRTDPLQGRDLVRECLEKEPADKTDDDVEQLLEFMHQLPAFANMTMSVRRELCSVMVFEV VEQAGAVILEDGQELDSWYVILNGTVEISHPDGKIENLFMGNSFGIVPTLDKQHMHGAVR TKVDDCQFVCIAQQDYWRILNHVEKNTHKVEEEGEIVMVHEHRELDRSGTRKGHIVIKAT PERLIMHLIEEHSIVDPTYIEDFLLTYRTFLETPLDVGIKLLEWFKIDNLRDKVTRIVLL WVNNHFNDFEGDPAMTQFLEEFERNLEDTKMNGHLRLLNIACAAKAKWRQVVLQKASRES PLHFCLTGGSEKGFGVFVEEVESGSKAADAGLKRGDQVMEVNGQNFENITLAKALEILRN NTHLALTVKTNIFVFKELLSRTEQEKSGVPHIPKIAEKKSNRHSIQDVPGDMEQAPQEKG NKKIKANTVSGGRNKIRKILDKTRFSILPPKLFSDGGLSQSQDDSIVGTRHCRHSLAIMP IPGTLSSSSPDLLQPTTSMLDFSNPSAVGFYYIPDQVIRVFKADQQSCYIIISKDTTAKE VVCQAVQEFGLTGASDTYSLCEVSVTPEGVIKQRRLPDQFSKLADRIQLNGRYYLKNNME TETLCSDEDAQELLKESQLSMLQLSTIEVATQLSMRDFDLFRNIEPTEYIDDLFKLDSKT GNTHLKQFEDIVNQETFWVASEILSESNQLKRMKIIKHFIKIALHCRECKNFNSMFAIIS GLNLAPVARLRGTWEKLPSKYEKHLQDLQDLFDPSRNMAKYRNILSSQSMQPPIIPLFPV VKKDMTFLHEGNDSKVDGLVNFEKLRMIAKEIRHIIRMTSANMDPAMMFRQRSLSQGSTN SNMLDVQGGAHKKRARRSSLLNAKKLYEDAQMARKVKQYLSSLDIDTDEEKFQMMSLQWE PAYGTLTKNLTEKRSAKSSEMSPVPLRSVGQTAKVHLHQPHRVSQVLQVPAVNLHPIRKK GQAKDHVLSTSLPQKGLGPTEEVSVKKHTEDTISVASSLHSSPPASPQNSPRKGYTLTPS SKCDNLSDSSHSEISSRSSIVSNGSVDSMSAAGQDERCSSHSLAVPEPTGALEKTDHPSG ISDHSQLAHGWMLSKPCLIKGVAVSSSLSSEEMSHEHVVLEAADSGRGSWTSCSSSSHDN FQSLQNQKSWDFLNSYRHMHLDDPIAEVEPTDCEPCACPKGCSRTCGQCKGSLETNQLRQ SWASSSSLSDTCEPNYGTVKRRVLESAPAEAPDGLEPRDTTDPVYKTVTSSTDKGLIVYC VTSPKKGDRYREPPPTPPGYLGISLADLKEGPHPHLKPPDYSVAVQRSKMMLNSLSRLPP APPSSHTSAWVPSKIGSQPQRHSHPKLADVADADSEADENEQVSAV >ENSMUSP00000092114.3 pep:known chromosome:GRCm38:11:54622366:54688025:1 gene:ENSMUSG00000037533.18 transcript:ENSMUST00000094536.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef6 description:Rap guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:2384761] MSVRRELCSVMVFEVVEQAGAVILEDGQELDSWYVILNGTVEISHPDGKIENLFMGNSFG IVPTLDKQHMHGAVRTKVDDCQFVCIAQQDYWRILNHVEKNTHKVEEEGEIVMVHEHREL DRSGTRKGHIVIKATPERLIMHLIEEHSIVDPTYIEDFLLTYRTFLETPLDVGIKLLEWF KIDNLRDKVTRIVLLWVNNHFNDFEGDPAMTQFLEEFERNLEDTKMNGHLRLLNIACAAK AKWRQVVLQKASRESPLHFCLTGGSEKGFGVFVEEVESGSKAADAGLKRGDQVMEVNGQN FENITLAKALEILRNNTHLALTVKTNIFVFKELLSRTEQEKSGVPHIPKIAEKKSNRHSI QDVPGDMEQAPQEKGNKKIKANTVSGGRNKIRKILDKTRFSILPPKLFSDGGLSQSQDDS IVGTRHCRHSLAIMPIPGTLSSSSPDLLQPTTSMLDFSNPSDIPDQVIRVFKADQQSCYI IISKDTTAKEVVCQAVQEFGLTGASDTYSLCEVSVTPEGVIKQRRLPDQFSKLADRIQLN GRYYLKNNMETETLCSDEDAQELLKESQLSMLQLSTIEVATQLSMRDFDLFRNIEPTEYI DDLFKLDSKTGNTHLKQFEDIVNQETFWVASEILSESNQLKRMKIIKHFIKIALHCRECK NFNSMFAIISGLNLAPVARLRGTWEKLPSKYEKHLQDLQDLFDPSRNMAKYRNILSSQSM QPPIIPLFPVVKKDMTFLHEGNDSKVDGLVNFEKLRMIAKEIRHIIRMTSANMDPAMMFR QRSLSQGSTNSNMLDVQGGAHKKRARRSSLLNAKKLYEDAQMARKVKQYLSSLDIDTDEE KFQMMSLQWEPAYGTLTKNLTEKRSAKSSEMSPVPLRSVGQTAKVHLHQPHRVSQVLQVP AVNLHPIRKKGQAKDHVLSTSLPQKGLGPTEEVSVKKHTEDTISVASSLHSSPPASPQNS PRKVGSILSDHSILLRLSGSSSSPPREPSTKISGQSCPGIGGVYLQKKILQITGSTAKRT DRTKKATEENRDRTRCEDTTRRRMTSPFRRFRERTLSRERLVNNQKEDAHHNQATDSCEK VKDVGSNITDERGNAIYDSSSQGHSTALSCFCTRFKTKRRKTL >ENSMUSP00000104522.1 pep:known chromosome:GRCm38:11:54622366:54688104:1 gene:ENSMUSG00000037533.18 transcript:ENSMUST00000108894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef6 description:Rap guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:2384761] MSVRRELCSVMVFEVVEQAGAVILEDGQELDSWYVILNGTVEISHPDGKIENLFMGNSFG IVPTLDKQHMHGAVRTKVDDCQFVCIAQQDYWRILNHVEKNTHKVEEEGEIVMVHEHREL DRSGTRKGHIVIKATPERLIMHLIEEHSIVDPTYIEDFLLTYRTFLETPLDVGIKLLEWF KIDNLRDKVTRIVLLWVNNHFNDFEGDPAMTQFLEEFERNLEDTKMNGHLRLLNIACAAK AKWRQVVLQKASRESPLHFCLTGGSEKGFGVFVEEVESGSKAADAGLKRGDQVMEVNGQN FENITLAKALEILRNNTHLALTVKTNIFVFKELLSRTEQEKSGVPHIPKIAEKKSNRHSI QDVPGDMEQAPQEKGNKKIKANTVSGGRNKIRKILDKTRFSILPPKLFSDGGLSQSQDDS IVGTRHCRHSLAIMPIPGTLSSSSPDLLQPTTSMLDFSNPSDIPDQVIRVFKADQQSCYI IISKDTTAKEVVCQAVQEFGLTGASDTYSLCEVSVTPEGVIKQRRLPDQFSKLADRIQLN GRYYLKNNMETETLCSDEDAQELLKESQLSMLQLSTIEVATQLSMRDFDLFRNIEPTEYI DDLFKLDSKTGNTHLKQFEDIVNQETFWVASEILSESNQLKRMKIIKHFIKIALHCRECK NFNSMFAIISGLNLAPVARLRGTWEKLPSKYEKHLQDLQDLFDPSRNMAKYRNILSSQSM QPPIIPLFPVVKKDMTFLHEGNDSKVDGLVNFEKLRMIAKEIRHIIRMTSANMDPAMMFR QRKKRWRSLGSLSQGSTNSNMLDVQGGAHKKRARRSSLLNAKKLYEDAQMARKVKQYLSS LDIDTDEEKFQMMSLQWEPAYGTLTKNLTEKRSAKSSEMSPVPLRSVGQTAKVHLHQPHR VSQVLQVPAVNLHPIRKKGQAKDHVLSTSLPQKGLGPTEEVSVKKHTEDTISVASSLHSS PPASPQNSPRKVGSILSDHSILLRLSGSSSSPPREPSTKISGQSCPGIGGVYLQKKILQI TGSTAKRTDRTKKATEENRDRTRCEDTTRRRMTSPFRRFRERTLSRERLVNNQKEDAHHN QATDSCEKVKDVGSNITDERGNAIYDSSSQGHSTALSCFCTRFKTKRRKTL >ENSMUSP00000114574.1 pep:known chromosome:GRCm38:11:54691432:54696486:1 gene:ENSMUSG00000037533.18 transcript:ENSMUST00000136494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef6 description:Rap guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:2384761] XYRHMHLDDPIAEVEPTDCEPCACPKGCSRTCGQCKGSLETNQLRQSWASSSSLSDTCEP NYGTVKRRVLESAPAEAPDGLEPRDTTDPVYKTVTSSTDKGLIENEQVSAV >ENSMUSP00000088591.6 pep:known chromosome:GRCm38:4:86575668:86577285:1 gene:ENSMUSG00000070934.6 transcript:ENSMUST00000091064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rraga description:Ras-related GTP binding A [Source:MGI Symbol;Acc:MGI:1915691] MPNTAMKKKVLLMGKSGSGKTSMRSIIFANYIARDTRRLGATIDVEHSHVRFLGNLVLNL WDCGGQDTFMENYFTSQRDNIFRNVEVLIYVFDVESRELEKDMHYYQSCLEAILQNSPDA KIFCLVHKMDLVQEDQRDLIFKEREEDLRRLSRPLECACFRTSIWDETLYKAWSSIVYQL IPNVQQLEMNLRNFAQIIEADEVLLFERATFLVISHYQCKEQRDVHRFEKISNIIKQFKL SCSKLAASFQSMEVRNSNFAAFIDIFTSNTYVMVVMSDPSIPSAATLINIRNARKHFEKL ERVDGPKHSLLMR >ENSMUSP00000090617.6 pep:known chromosome:GRCm38:11:72498155:72550506:-1 gene:ENSMUSG00000020798.14 transcript:ENSMUST00000092940.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spns3 description:spinster homolog 3 [Source:MGI Symbol;Acc:MGI:1924827] MSTECLKPQTGGPQSQSLSQGGQYGALASGTCLPPSTPVPWSLPRWRAYLAAAVLCYINL LNYMNWFIIPGVLLDVQKYFHISDSHAGLLQTVFISCLLVSAPVFGYLGDRYNRKAILSF GILLWSGAGLSSSFISYQYSWLFFLSRGFVGTGAASYSTIAPTVLGDLFVKDQRTCALAV FYIFIPVGSGLGYVLGSTVAELTGNWRWALRLMPCLDAMALALLILLVPDVPRGAAEKQG EVAVRAPRSSWCEDVRYLGRNWSFVFSTLGVTAIAFVTGALGFWAPKFLFEARVVHGLQL PCFQEQCHSQDSLIFGALTVATGIIGVMLGAEASRRYKKVNPRAEPLICASSLFATAPCL YLALILASRTLLASYVFLALGELLLSCNWAVVADILLSVVVPRCRGTAEALQITVAHVLG DAGSPYLTGLISSVLQAERPDSYLQHFLSLQHSFLCCAFAIVLGGGFFLLTALHLEKDQA RARQPGKGTLDSKDIASRNTESQGLLSGTSTPTE >ENSMUSP00000021154.6 pep:known chromosome:GRCm38:11:72498160:72550301:-1 gene:ENSMUSG00000020798.14 transcript:ENSMUST00000021154.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spns3 description:spinster homolog 3 [Source:MGI Symbol;Acc:MGI:1924827] MSTECLKPQTGGPQSQSLSQGGQYGALASGTCLPPSTPVPWSLPRWRAYLAAAVLCYINL LNYMNWFIIPVFISCLLVSAPVFGYLGDRYNRKAILSFGILLWSGAGLSSSFISYQYSWL FFLSRGFVGTGAASYSTIAPTVLGDLFVKDQRTCALAVFYIFIPVGSGLGYVLGSTVAEL TGNWRWALRLMPCLDAMALALLILLVPDVPRGAAEKQGEVAVRAPRSSWCEDVRYLGRNW SFVFSTLGVTAIAFVTGALGFWAPKFLFEARVVHGLQLPCFQEQCHSQDSLIFGALTVAT GIIGVMLGAEASRRYKKVNPRAEPLICASSLFATAPCLYLALILASRTLLASYVFLALGE LLLSCNWAVVADILLSVVVPRCRGTAEALQITVAHVLGDAGSPYLTGLISSVLQAERPDS YLQHFLSLQHSFLCCAFAIVLGGGFFLLTALHLEKDQARARQPGKGTLDSKDIASRNTES QGLLSGTSTPTE >ENSMUSP00000055605.4 pep:known chromosome:GRCm38:10:81019589:81025409:-1 gene:ENSMUSG00000043670.4 transcript:ENSMUST00000055125.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diras1 description:DIRAS family, GTP-binding RAS-like 1 [Source:MGI Symbol;Acc:MGI:2183442] MPEQSNDYRVVVFGAGGVGKSSLVLRFVKGTFRDTYIPTIEDTYRQVISCDKSVCTLQIT DTTGSHQFPAMQRLSISKGHAFILVFSVTSKQSLDELSPIYKLIVQIKGSVEDIPIMLVG NKCDETQREVHTREAQAVAQEWKCAFMETSAKMNYNVKELFQELLTLETRRSVSLSVDGK RSSKQKRADRIKGKCALM >ENSMUSP00000120173.1 pep:known chromosome:GRCm38:10:81022091:81025662:-1 gene:ENSMUSG00000043670.4 transcript:ENSMUST00000144640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diras1 description:DIRAS family, GTP-binding RAS-like 1 [Source:MGI Symbol;Acc:MGI:2183442] MPEQSNDYRVVVFGAGGVGKSSLVLRFVKGTFRDTYIPTIEDTYRQVISCDKSVCTLQIT DTTGSHQFPAMQRLSISKGHAFILVFSVTSKQSLDELSPIYKLIVQIK >ENSMUSP00000110802.2 pep:known chromosome:GRCm38:16:32400506:32408227:1 gene:ENSMUSG00000079625.2 transcript:ENSMUST00000115149.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm4sf19 description:transmembrane 4 L six family member 19 [Source:MGI Symbol;Acc:MGI:3645933] MLSFSRVVNCSRTCSRFLGLSLGTASLCAAGANIALLFPNWDVTYLMRGLIGKHAMLGSG LWGGGLMVLLAATLISMTGSFSKSAPCLQVLIALLSSGLALLGAVICFVTSGVALKDGPF CMFDVSSFNQTQAWKFGYPFKDLHNRNYLYDRSLWTSVCLEPSKAVVWHVAFFSILLCIS LLQLLLVAIHLVNSILGLFCSFCEKH >ENSMUSP00000107185.2 pep:known chromosome:GRCm38:9:121683022:121705465:-1 gene:ENSMUSG00000061536.12 transcript:ENSMUST00000111560.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec22c description:SEC22 homolog C, vesicle trafficking protein [Source:MGI Symbol;Acc:MGI:2447871] MSMILFASIVRVRDGLPLSASTDFYYAQEFLECRRQLKTLAQRLARHPGRGCAESCDFLI YFSSSGDVACMAICSRQCPAAMAFCFLEALWWDFIASYDTTCVGLASRPYAFLEFDSVIQ KTKWHFNHMSSSQMKSGLEKIQEELEFQPPAVLSLEDTDVANGMLNGHTPVHSEPAPNLR MKPVTALGVLSLVLNIMCAALNLIRGVHLAEHSLQVAQEEVGNILAFFIPSVACIVQCYL YLFYSPARTLKVLLMLASICLGNAYLHGLRNTWQILFHVGVAFLSSYQILTRQLQERQSD YGV >ENSMUSP00000114270.1 pep:known chromosome:GRCm38:9:121684551:121704979:-1 gene:ENSMUSG00000061536.12 transcript:ENSMUST00000139193.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sec22c description:SEC22 homolog C, vesicle trafficking protein [Source:MGI Symbol;Acc:MGI:2447871] MSMILFASIVRVRDGLPLSASTDFYYAQEFLECRRQLKTLAQRLARHPGRGCAESCDFLI >ENSMUSP00000123170.1 pep:known chromosome:GRCm38:9:121695616:121705490:-1 gene:ENSMUSG00000061536.12 transcript:ENSMUST00000154978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec22c description:SEC22 homolog C, vesicle trafficking protein [Source:MGI Symbol;Acc:MGI:2447871] MSMILFASIVRVRDGLPLSASTDFYYAQEFLEC >ENSMUSP00000077628.4 pep:known chromosome:GRCm38:9:121680047:121705029:-1 gene:ENSMUSG00000061536.12 transcript:ENSMUST00000078547.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec22c description:SEC22 homolog C, vesicle trafficking protein [Source:MGI Symbol;Acc:MGI:2447871] MSMILFASIVRVRDGLPLSASTDFYYAQEFLECRRQLKTLAQRLARHPGRGCAESCDFLI YFSSSGDVACMAICSRQCPAAMAFCFLEALWWDFIASYDTTCVGLASRPYAFLEFDSVIQ KTKWHFNHMSSSQMKSGLEKIQEELEFQPPAVLSLEDTDVANGMLNGHTPVHSEPAPNLR MKPVTALGVLSLVLNIMCAALNLIRGVHLAEHSLQVAQEEVGNILAFFIPSVACIVQCYL YLFYSPARTLKVLLMLASICLGNAYLHGLRNTWQILFHVGVAFLSSYQILTRQLQERQSD YGV >ENSMUSP00000024978.6 pep:known chromosome:GRCm38:17:24896500:24897522:1 gene:ENSMUSG00000073435.10 transcript:ENSMUST00000024978.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme3 description:NME/NM23 nucleoside diphosphate kinase 3 [Source:MGI Symbol;Acc:MGI:1930182] MICLVLTIFANLFPSAYSGVNERTFLAVKPDGVQRRLVGEIVRRFERKGFKLVALKLVQA SEELLREHYVELREKPFYSRLVKYMSSGPVVAMVWQGLDVVHASRALIGATDPGDAMPGT IRGDFCMEVGKNVIHGSDSVESAHREIALWFREAELLCWEDSAGHWLYE >ENSMUSP00000075467.3 pep:known chromosome:GRCm38:5:17476098:17480936:1 gene:ENSMUSG00000058643.3 transcript:ENSMUST00000076099.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4f1 description:spermatogenesis associated glutamate (E)-rich protein 4F1 [Source:MGI Symbol;Acc:MGI:1918185] MTMCVRLRRLFQRVNVDGRETREGSMDAGLPCERNEGRRRWTWRMWMAHRQTSSPVPVTS NQFEKEEEELMEEIQLISQEKNGLRDYLNLTLGSKIMRLNQSYEELKLKQKELMTLQHDL EMATMEAREENEELKKEINFYSNLHSRALMENNLIKKKLMTLQQESKEVQAEWASIQQHL DVNLSGKDEQEKNSILDTQEHQVSETARELGLATDQEDSILQNELPPQEAPAEELSPQEA PAEELPPQEAPTEELPPQ >ENSMUSP00000047900.6 pep:known chromosome:GRCm38:19:53529109:53542431:1 gene:ENSMUSG00000034765.6 transcript:ENSMUST00000038287.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp5 description:dual specificity phosphatase 5 [Source:MGI Symbol;Acc:MGI:2685183] MKVTSLDGRQLRKMLRKEAEARCVVLDCRPYLAFAASSVRGSLNVNLNSVVLRRARGGAV SARYVLPDEAARARLLQEGGGGVAAVVVLDQGSRHWQKLREESAARVVLTSLLACLPAGP RVYFLKGGYETFYSQYPECCVDVKPTSQEKIEGERSLLSQCGKPVLSVAYRPAYDQGGPV EILPFLYLGSAYHASKCEFLANLHITALLNVSRRTSEACTTHLHYKWIPVEDSHTADISS HFQEAIDFIDCVREEGGKVLVHCEAGVSRSPTICMAYLMKTKQFRLKEAFDYVKQRRSVV SPNFGFMGQLLQYESEILPSTPTLQPPSCQGEAASSTFIGHLQTLSPDMQGTYCTFRTSV LAPVPTHSTVPELHRSPVATATSC >ENSMUSP00000022416.7 pep:known chromosome:GRCm38:14:25842156:25886804:1 gene:ENSMUSG00000021866.15 transcript:ENSMUST00000022416.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa11 description:annexin A11 [Source:MGI Symbol;Acc:MGI:108481] MSYPGYPPPAGGYPPAAPGGGPWGGAGYPPPSMPPIGLDNVANYAGQFNQDYLSGMAANM SGTFGGANVPNLYPGAPGGGYPPVPPGGFGQPPPAQQPVPPYGMYPPPGGNPPPGMPSYP AYPGAPVPGQPMPPTGQQPPGAYPGQPPMTYPGQSPMPPPGQQPVPSYPGYSGSSTITPA VPPAQFGNRGTITAASGFDPLRDAEVLRKAMKGFGTDEQAIIDCLGSRSNKQRQQILLSF KTAYGKDLIKDLKSELSGNFEKTILALMKTPVLFDVYEIKEAIKGAGTDEACLIEIFASR SNEHIRELSRAYKTEFQKTLEEAIRSDTSGHFQRLLISLSQGNRDESTNVDMSLVQRDVQ ELYAAGENRLGTDESKFNAILCSRSRAHLVAVFNEYQRMTGRDIEKSICREMSGDLEQGM LAVVKCLKNTPAFFAERLNKAMRGAGTKDRTLIRIMVSRSELDLLDIRAEYKRMYGKSLY HDITGDTSGDYRKILLKICGGND >ENSMUSP00000107983.1 pep:known chromosome:GRCm38:14:25842183:25879507:1 gene:ENSMUSG00000021866.15 transcript:ENSMUST00000112364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa11 description:annexin A11 [Source:MGI Symbol;Acc:MGI:108481] MSYPGYPPPAGGYPPAAPGGGPWGGAGYPPPSMPPIGLDNVANYAGQFNQDYLSGMAANM SGTFGGANVPNLYPGAPGGGYPPVPPGGFGQPPPAQQPVPPYGMYPPPGGNPPPGMPSYP AYPGAPVPGQPMPPTGQQPPGAYPGQPPMTYPGQSPMPPPGQQPVPSYPGYSGSSTITPA VPPAQFGNRGTITAASGFDPLRDAEVLRKAMKGFGTDEQAIIDCLGSRSNKQRQQILLSF KTAYGKDLIKDLKSELSGNFEKTILALMKTPVLFDVYEIKEAIKGAGTDEACLIEIFASR SNEHIRELSRAYKTEFQKTLEEAIRSDTSGHFQRLLISLSQGNRDESTNVDMSLVQRDVQ ELYAAGENRLGTDESKFNAILCSRSRAHLVAGTTVLGQLDESRQDIVLRKSLQDICLMCS GWTFTSSRAPWWCLKGQGKEMDCHALK >ENSMUSP00000101527.2 pep:known chromosome:GRCm38:4:133266045:133277792:-1 gene:ENSMUSG00000028857.16 transcript:ENSMUST00000105907.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem222 description:transmembrane protein 222 [Source:MGI Symbol;Acc:MGI:1098568] MAEAEGSSPLLLQPPPPPPRMAEVETPTGAETDMKQYHGSGGVVMDVERSRFPYCVVWTP IPVLTWFFPIIGHMGICTSAGVIRDFAGPYFVSEDNMAFGKPAKFWKLDPGQVYASGPNA WDTAVHDASEEYKHRMHNLCCDNCHSHVALALNLMRYNNSTNWNMVTLCCFCLIYGKYVS VGAFVKTWLPFVLLLGIILTVSLVFNLR >ENSMUSP00000117527.1 pep:known chromosome:GRCm38:4:133266830:133277662:-1 gene:ENSMUSG00000028857.16 transcript:ENSMUST00000137622.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem222 description:transmembrane protein 222 [Source:MGI Symbol;Acc:MGI:1098568] XAEVETPTGAETDMKQYHGSGGVVMDVERSRFPYCVVWTPIPVLTWFFPIIGHMGICTSA GVIRDFAGPYFVSVLEIGPRTGVCEWAQCMGHGCARCLRRV >ENSMUSP00000121858.1 pep:known chromosome:GRCm38:4:133266876:133277770:-1 gene:ENSMUSG00000028857.16 transcript:ENSMUST00000139030.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem222 description:transmembrane protein 222 [Source:MGI Symbol;Acc:MGI:1098568] MAEAEGSSPLLLQPPPPPPRMAEVETPTGAETDMKQYHGSGGVVMDVERSRFPYCVVWTP IPVLTKTTWPSESLPSSGNWTPDRCMRVGPMHGTRLCTMPPKSISTECTISAVTTATPTW L >ENSMUSP00000120532.1 pep:known chromosome:GRCm38:4:133266887:133277618:-1 gene:ENSMUSG00000028857.16 transcript:ENSMUST00000134781.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem222 description:transmembrane protein 222 [Source:MGI Symbol;Acc:MGI:1098568] XYHGSGGVVMDVERSRFPYCVVWTPIPVLTWFFPIIGHMGICTSAGVIRDFAGPYFVSDR PGGQCGQRHLK >ENSMUSP00000075794.3 pep:known chromosome:GRCm38:7:23301192:23362277:1 gene:ENSMUSG00000045693.8 transcript:ENSMUST00000076470.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4e description:NLR family, pyrin domain containing 4E [Source:MGI Symbol;Acc:MGI:3056600] MASFFSDFGLMWYLEELNKKEFMKFKELLQQEILQLGLKHISWTEVKKASREDLANLLLK HYEEKKAWDMTFKIFQKMNRQDLMERAGREIAGHSKLYQVHLKKKLTHDYARKFNIKDQD SLKQKFTQDDCDHFENLLISKATGKKPHMVFLQGVAGIGKSLMLTKLMLAWSEGMVFQNK FSYIFYFCCQDVKKMKRASLAELISKEWPNASAPIEEILSQPEKLLFVIDNLEVMECDMS EWESELCDDCMEKQPVNILMSSLLRRKMLPESSFLVSATPETFEKIEDRIECTNVKMMAG FNESSIKVYFYSLFQDRNRTQEIFSLVRENEQLFSVCQVPVLCWMVATCLKKEIEKGRDP VSICRRITSLYTTYIFNLFIPHSAQYPSKKSQDQLQGLCSLAAEGMWTDTFVFAEEALRR NGIMDSDISTLLDVRILEKSKESEKSYIFLHPSIQEVCAAIFHLLKSHVDHPSQDVKSIE ALIFTFLKKVKIQWIFFGSFIFGLLHESEQKKLEAFFGHQLSQEIKRQLYQCLETISGNK ELQEQIDGMKLFYCLFEMDDEAFLPQVMNCMEQIKFVAKDYSDVIVAAHCLQHCSTLKKL SLSTQNILSEGQEHSYTEKLLICWHHVCSVLTSSKDIHVLQVKDTNFNERAFLVLYSHLK YPSCILKVLEVNNVTLLCDNRLLFELIQNQRLQLLNLSLTFLSHNDVKLLCDVLNQAECN IEKLMVADCNLSPDDCKVFVSVLISSKMLKHLNLSSNNLDKGISSLSKALCHPDCVLKNL VLAKCSLSEECWHYLSEVLRRNKTLTHLDISFNDLKDEGLKVLCGALTLPDSVLISLSVR YCLITTSGCQDLAEVLRNNQNLRNLQISNNKIEDAGVKLLCDAIKHPNCHLENIGLEACA LTGACCEDLASSFTHCKTLLGINLQENALDHSGLVALFEAMKQQQCTVNLRGLRITDFDK ETQEFLMAEKEKNPYLSI >ENSMUSP00000138121.1 pep:known chromosome:GRCm38:5:134220053:134229625:-1 gene:ENSMUSG00000015950.13 transcript:ENSMUST00000146354.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ncf1 description:neutrophil cytosolic factor 1 [Source:MGI Symbol;Acc:MGI:97283] MGDTFIRHIALLGFEKRFIPSQHYVYMFLVKWQDLSEKVVYRKFTEIYEFHKMLKEMFPI EAGEIHTENRVIPHLPAPRWFDGQRAAESRQGTLTEYFNGLMGLPVKISRCPHLLDFFKV RPDDLKLPTDSQAKKPETYLVPKDGKNNVADITGPIILQTYRAIADYEKSSGTEMTVATG DVVDVVEKSESGWWFCQMKTKRGWVPASYLEPLDSPDEAEDPDPNYAGEPYVTIKAYAAV EEDEMSLSEGEAIEVIHKLLDGWWVVRKGDITGYFPSMYLQKAGEEITQAQRQIRGRGAP PRRSTIRNAQSIHQRSRKRLSQDTYRRNSVRFLQQRRRPGRPGPLSTDGTKDNPSTPRVK PQPAVPPRPSSDLILHRCTESTKRKLTSAV >ENSMUSP00000106906.1 pep:known chromosome:GRCm38:5:134220260:134229625:-1 gene:ENSMUSG00000015950.13 transcript:ENSMUST00000111275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncf1 description:neutrophil cytosolic factor 1 [Source:MGI Symbol;Acc:MGI:97283] MGDTFIRHIALLGFEKRFIPSQHYVYMFLVKWQDLSEKVVYRKFTEIYEFHKMLKEMFPI EAGEIHTENRVIPHLPAPRWFDGQRAAESRQGTLTEYFNGLMGLPVKISRCPHLLDFFKV RPDDLKLPTDSQAKKPETYLVPKDGKNNVADITGPIILQTYRAIADYEKSSGTEMTVATG DVVDVVEKSESGWWFCQMKTKRGWVPASYLEPLDSPDEAEDPDPNYAGEPYVTIKAYAAV EEDEMSLSEGEAIEVIHKLLDGWWVVRKGDITGYFPSMYLQKAGEEITQAQRQIRGRGAP PRRSTIRNAQSIHQRSRKRLSQDTYRRNSVRFLQQRRRPGRPGPLSTDGTKDNPSTPRVK PQPAVPPRPSSDLILHRCTESTKRKLTSAV >ENSMUSP00000016094.6 pep:known chromosome:GRCm38:5:134220260:134229625:-1 gene:ENSMUSG00000015950.13 transcript:ENSMUST00000016094.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncf1 description:neutrophil cytosolic factor 1 [Source:MGI Symbol;Acc:MGI:97283] MGDTFIRHIALLGFEKRFIPSQHYVYMFLVKWQDLSEKVVYRKFTEIYEFHKMLKEMFPI EAGEIHTENRVIPHLPAPRWFDGQRAAESRQGTLTEYFNGLMGLPVKISRCPHLLDFFKV RPDDLKLPTDSQAKKPETYLVPKDGKNNVADITGPIILQTYRAIADYEKSSGTEMTVATG DVVDVVEKSESGWWFCQMKTKRGWVPASYLEPLDSPDEAEDPDPNYAGEPYVTIKAYAAV EEDEMSLSEGEAIEVIHKLLDGWWVVRKGDITGYFPSMYLQKAGEEITQAQRQIRGRGAP PRRSTIRNAQSIHQRSRKRLSQDTYRRNSVRFLQQRRRPGRPGPLSTDGTKGERQGGPGP GLEGRDNPSTPRVKPQPAVPPRPSSDLILHRCTESTKRKLTSAV >ENSMUSP00000138547.1 pep:known chromosome:GRCm38:5:134220260:134229625:-1 gene:ENSMUSG00000015950.13 transcript:ENSMUST00000144086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncf1 description:neutrophil cytosolic factor 1 [Source:MGI Symbol;Acc:MGI:97283] MGDTFIRHIALLGFEKRFIPSQHYVYMFLVKWQDLSEKVVYRKFTEIYEFHKMLKEMFPI EAGEIHTENRVIPHLPAPRWFDGQRAAESRQGTLTEYFNGLMGLPVKISRCPHLLDFFKV RPDDLKLPTDSQAKKPETYLVPKDGKNNVADITGPIILQTYRAIADYEKSSGTEMTVATG DVVDVVEKSESGWWFCQMKTKRGWVPASYLEPLDSPDEAEDPDPNYAGEPYVTIKAYAAV EEDEMSLSEGEAIEVIHKLLDGWWVVRKGDITGYFPSMYLQKAGEEITQAQRQIRGRGAP PRRSTIRNAQSIHQRSRKRLSQDTYRRNSVRFLQQRRRPGRPGPLSTDGTKGERQGGPGP GLEGRGKFLGFQGRDET >ENSMUSP00000140625.1 pep:known chromosome:GRCm38:5:104813169:104860070:-1 gene:ENSMUSG00000072774.10 transcript:ENSMUST00000186219.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp951 description:zinc finger protein 951 [Source:MGI Symbol;Acc:MGI:2441896] MASTCSLPTKVLTDTLLANACPIQKNAVTYEDVHVNFTREEWALLDPSQKSLYKDVMLET YRTLRAIGCYWEDHSNEENSQTSRRHGRHLQMEDRTHTGDKSYEGVQCNKVLSSHNHAQI YKGMLTGAFVLYTGGQMHSRTQAGDKPCDCNTLGKSCTCNSHLQSHKRTDTRENPYKCNH CGKAFARHRDLQNHERTHTGEKPYECKQCGKAFALNSYLHIHERTHTGEKPFECSQCGKA FACHSHLQSHKRTHTGEKPYECNQCGKAFSWNYCLQIHKRTHTGEKPYACNQCGKAFACH SHLQSHERTHTGEKPYECDQCGKAFSWNYCLQIHKRTHTGEKPYECNQCGKAFACHSHLQ RHEKTHTGEKPYECDQCGKAFSWNYCLQIHKRTHTGEKPYACNQCGKAFPRHHHLQNHER THTGEKPYECNQCGKTFACHSAFQRHKRTHT >ENSMUSP00000087350.6 pep:known chromosome:GRCm38:5:104814343:104828957:-1 gene:ENSMUSG00000072774.10 transcript:ENSMUST00000089906.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp951 description:zinc finger protein 951 [Source:MGI Symbol;Acc:MGI:2441896] MASLCSLPTKVLTDTLLANACPIQKNAVTYEDVHVNFTREEWALLDPSQKSLYKDVMLET YRTLRAIGCYWEDHSNEENSQTSRRHGRHLQMEDRTHTGDKSYEGVQCNKVLSSHNHAQI YKGMLTGAFVLYTGGQMHSRTQAGDKPCDCNTLGKSCTCNSHLQSHKRTDTRENPYKCNH CGKAFARHRDLQNHERTHTGEKPYECKQCGKAFALNSYLHIHERTHTGEKPFECSQCGKA FACHSHLQSHKRTHTGEKPYECNQCGKAFSWNYCLQIHKRTHTGEKPYACNQCGKAFACH SHLQSHERTHTGEKPYECDQCGKAFSWNYCLQIHKRTHTGEKPYECNQCGKAFACHSHLQ RHEKTHTGEKPYECDQCGKAFSWNYCLQIHKRTHTGEKPYACNQCGKAFPRHHHLQNHER THTGEKPYECNQCGKTFACHSAFQRHKRTHT >ENSMUSP00000071863.4 pep:known chromosome:GRCm38:4:118554551:118620777:-1 gene:ENSMUSG00000028730.14 transcript:ENSMUST00000071972.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap57 description:cilia and flagella associated protein 57 [Source:MGI Symbol;Acc:MGI:2686209] MSTVVAQALHVFGLRPHVTNNVFFFDEQIIIFPSGNHCVKYNIDQKWQKFIAGSDKSQGM LALAISPNRRYLAISETVQEKPAVTIYELSSIPCRKRKVLNNFDFQVQKFTSMAFSPDSK YLLTQTSPPDSNLVYWLWEKQKVMAIIKADSQNNPIYQVSISSQDNSQVCITGSGVFKLL RFAEGTLKQINFQRGESSNYLAHAWVSEDRVIVGTDTGKLFLFESGDQRWETSIMVKEST SSRSLEVIQESESLIEFPPLSSPVSSVERMDITTNTQQQAMPQVFAIAAYSKGFACSAGP GRVLLFEKVEEKDFYRESREIRIPTDQQSNDPSQSDKQDVLCLCFSPSEETLIASTNKNQ LYSITMSLTEISKGEAAHFEYLLYPLHSASITGLDTCIRKPLIATCSLDRSVRIWNYESN TLELYKEYQEEAYTVSLHPSGHYIVVGFADKLRLMNLLIDDIRPFKEYSVRGCKECSFSN GGHLFAAVNGNVIHIFTTTSLENINILKGHTGKIRSLVWNLDDSKLVSAGTDGAVYEWNL STGKRETECVLKSCSYNSVTTSPDAKVIFAVGSDQTLKEISDSLILREIPAFDVIYTSIT ISHSGRMIFVGTSVGTIRAMKYPLSLQKEYNEYQAHAGPVMKMLLTFDDQFLLTVGEDGC LFTWKVFDKDGRGIKREREVGFAEEVLVTKTDMEEKAQIMLELKTRVEELKMENEYQLRL KDMNYTEKIKELTDKFIQEMESLKTKNQVLKTEKEKQDISHRERLEELVDKQTRELQDLE CCNNQKLLLEYEKYQELQLKSQRMQEEYEKQLRDNDETKSQALEELTEFYEAKLQEKTGL LEEAQEDVRQQLREFEETKKQIEEDEDREIQDIKTKYERKLRDEKESNLRLKGETGIMRK KFSSLQKEIEERTNDIELLKSEQMKLQGIIRSLEKDIQGLKREIQERDETIQDKEKRIYD LKKKNQELEKFKFVLDYKIKELKKQIEPRENEIKVMKEQIQEMEAELERFHKQNTQLELN ITELLQKLRATDQEMRKEQQKERDLEALVRRFKTDLHNCVAYIQEPGLLKEKIRGLFEKY VQRADMVEIAGLNSDLQQEYARQREHLERNLATLKKKVIKEGELHRTDYVRIMQENVSLI KEINELRRELKLTRSQIYDLESALKVSKKTRSQEVPESVISKDVVGSTSTMRLNEQEETG RIIEMQRLEIRRLRDQIQEQEQVPGFHTIAGVRLPSIVDSDVDFEVHTK >ENSMUSP00000080592.6 pep:known chromosome:GRCm38:4:118554696:118620405:-1 gene:ENSMUSG00000028730.14 transcript:ENSMUST00000081921.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap57 description:cilia and flagella associated protein 57 [Source:MGI Symbol;Acc:MGI:2686209] MSTVVAQALHVFGLRPHVTNNVFFFDEQIIIFPSGNHCVKYNIDQKWQKFIAGSDKSQGM LALAISPNRRYLAISETVQEKPAVTIYELSSIPCRKRKVLNNFDFQVQKFTSMAFSPDSK YLLTQTSPPDSNLVYWLWEKQKVMAIIKADSQNNPIYQVSISSQDNSQVCITGSGVFKLL RFAEGTLKQINFQRGESSNYLAHAWVSEDRVIVGTDTGKLFLFESGDQRWETSIMVKEST SSRSLEVIQESESLIEFPPLSSPVSSVERMDITTNTQQQAMPQVFAIAAYSKGFACSAGP GRVLLFEKVEEKDFYRESREIRIPTDQQSNDPSQSDKQDVLCLCFSPSEETLIASTNKNQ LYSITMSLTEISKGEAAHFEYLLYPLHSASITGLDTCIRKPLIATCSLDRSVRIWNYESN TLELYKEYQEEAYTVSLHPSGHYIVVGFADKLRLMNLLIDDIRPFKEYSVRGCKECSFSN GGHLFAAVNGNVIHIFTTTSLENINILKGHTGKIRSLVWNLDDSKLVSAGTDGAVYEWNL STGKRETECVLKSCSYNSVTTSPDAKVIFAVGSDQTLKEISDSLILREIPAFDVIYTSIT ISHSGRMIFVGTSVGTIRAMKYPLSLQKEYNEYQAHAGPVMKMLLTFDDQFLLTVGEDGC LFTWKVFDKDGRGIKREREVGFAEEVLVTKTDMEEKAQIMLELKTRVEELKMENEYQLRL KDMNYTEKIKELTDKFIQEMESLKTKNQVLKTEKEKQDISHRERLEELVDKQTRELQDLE CCNNQKLLLEYEKYQELQLKSQRMQEEYEKQLRDNDETKSQALEELTEFYEAKLQEKTGL LEEAQEDVRQQLREFEETKKQIEEDEDREIQDIKTKYERKLRDEKESNLRLKGETGIMRK KFSSLQKEIEERTNDIELLKSEQMKLQGIIRSLEKDIQGLKREIQERDETIQDKEKRIYD LKKKNQELEKFKFVLDYKIKELKKQIEPRENEIKVMKEQIQEMEAELERFHKQNTQLELN ITELLQKLRATDQEMRKEQQKERDLEALVRRFKTDLHNCVAYIQEPGLLKEKIRGLFEKY VQRADMVEIAGLNSDLQQEYARQREHLERNLATLKKKVIKEGELHRTDYVRIMQENVSLI KEINELRRELKLTRSQIYDLESALKVSKKTRSQEVPESVISKDVVGSTSTMRLNEQEETG RIIEMQRLEIRRLRDQIQEQEQVPGFHTIAGVRLPSIVDSDVDFEVHTK >ENSMUSP00000139261.1 pep:known chromosome:GRCm38:14:102976581:102982637:-1 gene:ENSMUSG00000098557.1 transcript:ENSMUST00000184744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd12 description:potassium channel tetramerisation domain containing 12 [Source:MGI Symbol;Acc:MGI:2145823] MALADSTRGLPNGGGGGGGSGSSSSSAEPPLFPDIVELNVGGQVYVTRRCTVVSVPDSLL WRMFTQQQPQELARDSKGRFFLDRDGFLFRYILDYLRDLQLVLPDYFPERSRLQREAEYF ELPELVRRLGAPQQPGPGPPPPHSRRGVHKEGSLGDELLPLGYAEPEPQEGASAGAPSPT LELASRSPSGGAAGPLLTPSQSLDGSRRSGYITIGYRGSYTIGRDAQADAKFRRVARITV CGKTSLAKEVFGDTLNESRDPDRPPERYTSRYYLKFNFLEQAFDKLSESGFHMVACSSTG TCAFASSTDQSEDKIWTSYTEYVFCRE >ENSMUSP00000111324.4 pep:known chromosome:GRCm38:X:7884028:7894492:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000115660.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] MAAVGVGGSTAAAGAGAVSSGALEPGSTTAAHRRLKYISLAVLVVQNASLILSIRYARTL PGDRFFATTAVVMAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYVDTLKLAVPSL IYTLQNNLQYVAISNLPAATFQVTYQLKILTTALFSVLMLNRSLSRLQWASLLLLFTGVA IVQAQQAGGSGPRPLDQNPGAGLAAVVASCLSSGFAGVYFEKILKGSSGSVWLRNLQLGL FGTALGLVGLWWAEGTAVASQGFFFGYTPAVWGVVLNQAFGGLLVAVVVKYADNILKGFA TSLSIVLSTVASIRLFGFHLDPLFALGAGLVIGAVYLYSLPRGAVKAIASASASGPCIHQ QPPGQPPPPQLSSRGDLTTEPFLPKSVLVK >ENSMUSP00000111327.3 pep:known chromosome:GRCm38:X:7884244:7894464:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000115663.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] MAAVGVGGSTAAAGAGAVSSGALEPGSTTAAHRRLKYISLAVLVVQNASLILSIRYARTL PGDRFFATTAVVMAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYVDTLKLAVPSL IYTLQNNLQYVAISNLPAATFQVTYQLKILTTALFSVLMLNRSLSRLQWASLLLLFTGVA IVQAQQAGGSGPRPLDQNPGAGLAAVVASCLSSGFAGVYFEKILKGSSGSVWLRNLQLGL FGTALGLVGLWWAEGTAVASQGFFFGYTPAVWGVVLNQAFGGLLVAVVVKYADNILKGFA TSLSIVLSTVASIRLFGFHLDPLFALGAGLVIGAVYLYSLPRGAVKAIASASASGPCIHQ QPPGQPPPPQLSSRGDLTTEPFLPKLLTKVKGS >ENSMUSP00000094259.3 pep:known chromosome:GRCm38:X:7884310:7894027:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000096514.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] MAAVGVGGSTAAAGAGAVSSGALEPGSTTAAHRRLKYISLAVLVVQNASLILSIRYARTL PGDRFFATTAVVMAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYVDTLKLAVPSL IYTLQNNLQYVAISNLPAATFQPSPRCSQSHSLGLGLWALHSPAASWAATTTAAVFSRRP HHGALSAKVSAGQVRAGGGWGNRAGGWVGGGWASAGPKSCCQGLAFVGWRFIFSHNSRGI WN >ENSMUSP00000146730.1 pep:known chromosome:GRCm38:X:7884328:7894464:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000155967.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] MAAVGVGGSTAAAGAGAVSSGALEPGSTTAAHRRLKYISLAVLVVQNASLILSIRYARTL PGDRFFATTAVVMAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYVDTLKLAVPSL IYTLQNNLQYVAISNLPAATFQVTYQLKILTTALFSVLMLNRSLSRLQWASLLLLFTGVA IVQAQQAGGSGPRPLDQNPGAGLAAVVASCLSSGFAGVYFEKILKGSSGSVWLRNLQLGL FGTALGLVGLWWAEGTAVASQGFFFGYTPAVWGVVLNQAFGGLLVAVVVKYADNILKGFA TSLSIVLSTVASIRLFGFHLDPLFALGAGLVIGAVYLYSLPRGAVKAIASASASGPCIHQ QPPGQPPPPQLSSRGDLTTEPFLPKSVLVK >ENSMUSP00000146902.1 pep:known chromosome:GRCm38:X:7884333:7892224:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000208640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] MAAVGVGGSTAAAGAGAVSSGALEPGSTTAAHRRLKYISLAVLVVQNASLILSIRYARTL PGDRFFATTAVVMAEVLKGLTCLLLLFAQKRGCPGPICGHTQACGALSHLYLAE >ENSMUSP00000146809.1 pep:known chromosome:GRCm38:X:7884333:7892326:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000207114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] MAAVGVGGSTAAAGAGAVSSGALEPGSTTAAHRRLKYISLAVLVVQNASLILSIRYARTL PGDRFFATTAVVMAEVLKGNVKHLVLFLHEAVLVQYVDTLKLAVPSLIYTLQNNLQYVAI SNLPAATFQVTYQLKILTTALFSVLMLNRSLSRLQWASLLLLFTGVAIVQAQQAGGSGPR PLDQNPGAGLA >ENSMUSP00000147185.1 pep:known chromosome:GRCm38:X:7884333:7892347:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000208633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] MAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYVDTLKLAVPSLIYTLQNNLQYVA ISNLPAATFQVTYQLKILTTALFSVLMLNRSLSRLQWASLLLLFTGVAIVQAQQAGGSGP RPLDQNPGAGLAAVVASCL >ENSMUSP00000146635.1 pep:known chromosome:GRCm38:X:7884333:7893260:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000208397.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] MAAVGVGGSTAAAGAGAVSSGALEPGSTTAGNVKHLVLFLHEAVLVQYVDTLKLAVPSLI YTLQNNLQYVAISNLPAATFQVTYQLKILTTALFSVLMLNRSLSRLQWASLLLLFTGVAI VQAQQAGGSGPRPLDQNPGAGLAAVVASCLSSGFAGVYFEKILKGSSGSVWLRNLQLGLF GTALGLVGLWWAEGTAVASQGFFFGYTPAVWGVVLNQAFGGLLVAVVVKYADNILKGFAT SLSIVLSTVASIRLFGFHLDPLFALGAGLVIGAVYLYSLPRGAVKAIASASASGPCIHQQ PPGQPPPPQLSSRGDLTTEPFLPKSVLVK >ENSMUSP00000120681.2 pep:known chromosome:GRCm38:X:7884347:7894460:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000153620.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] XAVGVGGSTAAAGAGAVSSGALEPGSTTAAHRRLKYISLAVLVVQNASLILSIRYARTLP GDRFFATTAVVMAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYVDTLKLAVPSLI YTLQNNLQYVAISNLPAATFQPSPRCSQSHSLGLGLWALHSPAASWAATTTAAVFSRRPH HGALSAKVAHQGEGVVAAGLEDAGLSSFSLLALAQLGLNSYQY >ENSMUSP00000116270.1 pep:known chromosome:GRCm38:X:7884378:7894462:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000123277.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] XAGAGAVSSGALEPGSTTAAHRRLKYISLAVLVVQNASLILSIRYARTLPGDRFFATTAV VMAEVLKGLTCLLLLFAQKRGNVKHLVLFLHEAVLVQYVDTLKLAVPSLIYTLQNNLQYV AISNLPAATFQVAHQGEGVVAAGLEDAGLSSFSLLALAQLGLNSYQY >ENSMUSP00000146481.1 pep:known chromosome:GRCm38:X:7892497:7894027:1 gene:ENSMUSG00000031156.18 transcript:ENSMUST00000208718.1 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Slc35a2 description:solute carrier family 35 (UDP-galactose transporter), member A2 [Source:MGI Symbol;Acc:MGI:1345297] GFFFGYTPAVWGVVLNQAFGGLLVAVVVKYADNILKGFATSLSIVLSTVASIRLFGFHLD PLFALGAGLVIGAVYLYSLPRGAVKAIASASASGPCIHQQPPGQPPPPQLSSRGDLTTEP FLPKNCNEQMSGYPMPNKDDVFI >ENSMUSP00000147049.1 pep:known chromosome:GRCm38:14:62463414:62481155:-1 gene:ENSMUSG00000100486.7 transcript:ENSMUST00000186010.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4131 description:predicted gene 4131 [Source:MGI Symbol;Acc:MGI:3782307] MFRKIHSILHHGPQRKAAAEGPYYTGASPTVRLIRSTSMYVVTDHFERPSESLKKYRSIH SMDTSLHYLQQEDRAWMYSRTQDCLQYLQELLALRKKYLSSLGDLRPRRTQGAGSTSSKS SKGTTQKTPGKGKKAVSKKYSQFSSDVAEAIAFFDSIIAELETDKRPRAAEADLPNEDVD FDVATSSQEHSLHSNWILRAPRRHSEAIAAHATADSRFRRSTEHRVAGTQRRLERHPIYL PKAVEGAFNTLKFKPKAHKKDLRSSGQILRSFSKEDMEWDEEFFTQESPLSLEDEHYETE NPKGEWLVRERLWERTVP >ENSMUSP00000116426.2 pep:known chromosome:GRCm38:15:97744770:97767846:-1 gene:ENSMUSG00000022469.16 transcript:ENSMUST00000126854.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef3 description:Rap guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:2441741] MKVSWPGENHWQVGPAVVESPAVGAPQVGGLPDVVPEGTLLNMVLKRMHRPRCCSYQLVF EHRRPSCIQGLRWTPLTNSEDSLDFRVSLEQATTEHVHKAGKLLHRHLLATYPTLIRDRK YHLRLYRHCCSGRELVDGILALGLGVHSRSQAVGICQVLLDEGALCHVKHDWTFQDRDAQ FYRFPGPEPEPTGTQDVEEELVEAMALLSQRGPDALLTVALRKPPGQRTDEELDLIFEEL LHIKAVAHLSNSVKRELAAVLLFEPHSKAGTVLFSQGDKGTSWYIIWKGSVNVVTHGKGL VTTLHEGDDFGQLALVNDAPRAATIILRENNCHFLRVDKQDFNRIIKDVEAKTMRLEEHG KVVLVLERTSQGAGPSRPPTPGRNRYTVMSGTPEKILELLLEAMRPDSSAHDPTETFLSD FLLTHSVFMPSTQLFTALLHHFHVEPADPAGGSEQEHSTYICNKRQQILRLVGRWVALYS PMLHSDPVATSFLQKLSDLVSRDARLSNLLREQYPERRRHHRLENGCGNVSPQTKARNAP VWLPNQEEPLPSSAGAIRVGDKVPYDICRPDHSVLTLHLPVTASVREVMAALAHEDHWTK GQVLVKVNSAGDVVGLQPDARGVATSLGLNERLFVVDPQEVHELTPHPEQLGPTLGSSEM LDLVSAKDLAGQLTDHDWNLFNRIHQVELIHYVLGPQHLRDVTTANLERFMRRFNELQYW VATELCLCPVPGSRAQLLRKFIKLAAHLKEQKNLNSFFAVMFGLSNSAISRLAHTWERLP HKVRKLYSALERLLDPSWNHRVYRLALTKLSPPVIPFMPLLLKDVTFIHEGNHTLVENLI NFEKMRMMARAVRMLHHCRSHSTAPLSPLRSRVSHIHEDSQGSRISTCSEQSLSTRSPAS TWAYVQQLKVIDNQRELSRLSRELEP >ENSMUSP00000118148.2 pep:known chromosome:GRCm38:15:97744953:97767798:-1 gene:ENSMUSG00000022469.16 transcript:ENSMUST00000129223.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef3 description:Rap guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:2441741] MKVSWPGENHWQVGPAVVESPAVGAPQVGGLPDVVPEGTLLNMVLKRMHRPRCCSYQLVF EHRRPSCIQGLRWTPLTNSEDSLDFRVSLEQATTEHVHKAGKLLHRHLLATYPTLIRDRK YHLRLYRHCCSGRELVDGILALGLGVHSRSQAVGICQVLLDEGALCHVKHDWTFQDRDAQ FYRFPGPEPEPTGTQDVEEELVEAMALLSQRGPDALLTVALRKPPGQRTDEELDLIFEEL LHIKAVAHLSNSVKRELAAVLLFEPHSKAGTVLFSQGDKGTSWYIIWKGSVNVVTHGKGL VTTLHEGDDFGQLALVNDAPRAATIILRENNCHFLRVDKQDFNRIIKDVEAKTMRLEEHG KVVLVLERTSQGAGPSRPPTPGRNRYTVMSGTPEKILELLLEAMRPDSSAHDPTETFLSD FLLTHSVFMPSTQLFTALLHHFHVEPADPAGGSEQEHSTYICNKRQQILRLVGRWVALYS PMLHSDPVATSFLQKLSDLVSRDARLSNLLREQYPERRRHHRLENGCGNVSPQTKARNAP VWLPNQEEPLPSSAGAIRVGDKVPYDICRPDHSVLTLHLPVTASVREVMAALAHEDHWTK GQVLVKVNSAGDVVGLQPDARGVATSLGLNERLFVVDPQEVHELTPHPEQLGPTLGSSEM LDLVSAKDLAGQLTDHDWNLFNRIHQVQEHLRDVTTANLERFMRRFNELQYWVATELCLC PVPGSRAQLLRKFIKLAAHLKEQKNLNSFFAVMFGLSNSAISRLAHTWERLPHKVRKLYS ALERLLDPSWNHRVYRLALTKLSPPVIPFMPLLLKDVTFIHEGNHTLVENLINFEKMRMM ARAVRMLHHCRSHSTAPLSPLRSRVSHIHEDSQGSRISTCSEQSLSTRSPASTWAYVQQL KVIDNQRELSRLSRELEP >ENSMUSP00000120126.2 pep:known chromosome:GRCm38:15:97744956:97767664:-1 gene:ENSMUSG00000022469.16 transcript:ENSMUST00000128775.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef3 description:Rap guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:2441741] MKVSWPGENHWQVGPAVVESPAVGAPQVGGLPDVVPEGTLLNMVLKRMHRPRCCSYQLVF EHRRPSCIQGLRWTPLTNSEDSLDFRVSLEQATTEHVHKAGKLLHRHLLATYPTLIRDRK YHLRLYRHCCSGRELVDGILALGLGVHSRSQAVGICQVLLDEGALCHVKHDWTFQDRDAQ FYRFPGPEPEPTGTQDVEEELVEAMALLSQRGPDALLTVALRKPPGQRTDEELDLIFEEL LHIKAVAHLSNSVKRELAAVLLFEPHSKAGTVLFSQGDKGTSWYIIWKGSVNVVTHGKGL VTTLHEGDDFGQLALVNDAPRAATIILRENNCHFLRVDKQDFNRIIKDVEAKTMRLEEHG KVVLVLERTSQGAGPSRPPTPGRNRYTVMSGTPEKILELLLEAMRPDSSAHDPTETFLSD FLLTHSVFMPSTQLFTALLHHFHVEPADPAGGSEQEHSTYICNKRQQILRLVGRWVALYS PMLHSDPVATSFLQKLSDLVSRDARLSNLLREQYPERRRHHRLENGCGNVSPQTKARNAP VWLPNQEEPLPSSAGAIRVGDKVPYDICRPDHSVLTLHLPVTASVREVMAALAHEDHWTK GQVLVKVNSAGDVVGLQPDARGVATSLGLNERLFVVDPQEVHELTPHPEQLGPTLGSSEM LDLVSAKDLAGQLTDHDWNLFNRIHQVELIHYVLGPQHLRDVTTANLERFMRRFNELQYW VATELCLCPVPGSRAQLLRKFIKLAAHLKEQKNLNSFFAVMFGLSNSAISRLAHTWEDPS WNHRVYRLALTKLSPPVIPFMPLLLKDVTFIHEGNHTLVENLINFEKMRMMARAVRMLHH CRSHSTAPLSPLRSRVSHIHEDSQGSRISTCSEQSLSTRSPASTWAYVQQLKVIDNQREL SRLSRELEP >ENSMUSP00000135238.1 pep:known chromosome:GRCm38:15:97745016:97767062:-1 gene:ENSMUSG00000022469.16 transcript:ENSMUST00000177352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef3 description:Rap guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:2441741] MVLKRMHRPRCCSYQLVFEHRRPSCIQGLRWTPLTNSEDSLDFRVSLEQATTEHVHKAGK LLHRHLLATYPTLIRDRKYHLRLYRHCCSGRELVDGILALGLGVHSRSQAVGICQVLLDE GALCHVKHDWTFQDRDAQFYRFPGPEPEPTGTQDVEEELVEAMALLSQRGPDALLTVALR KPPGQRTDEELDLIFEELLHIKAVAHLSNSVKRELAAVLLFEPHSKAGTVLFSQGDKGTS WYIIWKGSVNVVTHGKGLVTTLHEGDDFGQLALVNDAPRAATIILRENNCHFLRVDKQDF NRIIKDVEAKTMRLEEHGKVVLVLERTSQGAGPSRPPTPGRNRYTVMSGTPEKILELLLE AMRPDSSAHDPTETFLSDFLLTHSVFMPSTQLFTALLHHFHVEPADPAGGSEQEHSTYIC NKRQQILRLVGRWVALYSPMLHSDPVATSFLQKLSDLVSRDARLSNLLREQYPERRRHHR LENGCGNVSPQTKARNAPVWLPNQEEPLPSSAGAIRVGDKVPYDICRPDHSVLTLHLPVT ASVREVMAALAHEDHWTKGQVLVKVNSAGDVVGLQPDARGVATSLGLNERLFVVDPQEVH ELTPHPEQLGPTLGSSEMLDLVSAKDLAGQLTDHDWNLFNRIHQVELIHYVLGPQHLRDV TTANLERFMRRFNELQYWVATELCLCPVPGSRAQLLRKFIKLAAHLKEQKNLNSFFAVMF GLSNSAISRLAHTWERLPHKVRKLYSALERLLDPSWNHRVYRLALTKLSPPVIPFMPLLL KDVTFIHEGNHTLVENLINFEKMRMMARAVRMLHHCRSHSTAPLSPLRSRVSHIHEDSQG SRISTCSEQSLSTRSPASTWAYVQQLKVIDNQRELSRLSRELEP >ENSMUSP00000135317.1 pep:known chromosome:GRCm38:15:97745168:97767666:-1 gene:ENSMUSG00000022469.16 transcript:ENSMUST00000134885.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef3 description:Rap guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:2441741] MRRFNELQYWVATELCLCPVPGSRAQLLRKFIKLAAHLKEQKNLNSFFAVMFGLSNSAIS RLAHTWERLPHKVRKLYSALERLLDPSWNHRVYRLALTKLSPPVIPFMPLLLKDVTFIHE GNHTLVENLINFEKMRMMARAVRMLHHCRSHSTAPLSPLRSRVSHIHEDSQGSRISTCSE QSLSTRSPASTWAYVQQLKVIDNQRELSRLSRELEP >ENSMUSP00000135194.1 pep:known chromosome:GRCm38:15:97745285:97767420:-1 gene:ENSMUSG00000022469.16 transcript:ENSMUST00000175894.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rapgef3 description:Rap guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:2441741] MKVSWPGENHWQVGPAVVESPAVGAPQVGGLPDVVPEGTLLNMVLKRMHRPRCCSYQLVF EHRRPSCIQGLRWPRSAYG >ENSMUSP00000122746.2 pep:known chromosome:GRCm38:15:97757454:97758842:-1 gene:ENSMUSG00000022469.16 transcript:ENSMUST00000134371.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rapgef3 description:Rap guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:2441741] XDVEAKTMRLEEHGKVVLVLERTSQGAGPSRPPTPGRNRYTVMSGTPEKILELLLEAMRP DSSAHDPTGEL >ENSMUSP00000122285.2 pep:known chromosome:GRCm38:15:97759359:97767046:-1 gene:ENSMUSG00000022469.16 transcript:ENSMUST00000149419.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rapgef3 description:Rap guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:2441741] MVLKRMHRPRCCSYQLVFEHRRPSCIQGLRWTPLTNSEDSLDFRVSLEQATTEHVHKAGK LLHRHLLATYPTLIRDRKYHLRLYR >ENSMUSP00000116673.1 pep:known chromosome:GRCm38:15:97760674:97767264:-1 gene:ENSMUSG00000022469.16 transcript:ENSMUST00000146620.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef3 description:Rap guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:2441741] MVLKRMHRPRCCSYQLVFEHRRPSCIQGLRWTPLTNSEDSLDFRVSLEQATTEHVHKAGK LLHRHLLATYPTLIRDRKYHLRLYRHCCSGRELVDGILALGLGVHSRSQAVGICQVLLDE GALCHVKHDWTFQDRDAQFYRFPGPEPEPT >ENSMUSP00000117337.1 pep:known chromosome:GRCm38:15:97766859:97767972:-1 gene:ENSMUSG00000022469.16 transcript:ENSMUST00000135080.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef3 description:Rap guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:2441741] MKVSWPGENHWQVGPAVVESPAVGAPQVGGLPDVVPEGTLL >ENSMUSP00000047681.7 pep:known chromosome:GRCm38:14:62555737:62608486:1 gene:ENSMUSG00000035184.15 transcript:ENSMUST00000039064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam124a description:family with sequence similarity 124, member A [Source:MGI Symbol;Acc:MGI:3645930] MNPKAGGEEEDCVDSGAETGGSDYSHLSSTSSELSVEEAQDPFLVSIHIIADPGESQPLQ EAIDKVLAWVHPDLPLFRVSERRTTRRRRKSPKGAQPALAVVLFLQEEYGEEQILQVHRV LQQPPWRHHHTERVHGRFIPYLPCSQDFFTLAPGTPLWAIRPVHYGKEIVRFTIYCRHNS YTDSLRFYELILRRSPSQRKADFCIFPIFSNLDVDIQFSLKRLPCDQKPVPTDSSVLEFR VKDIGQLVPLLPNPCSPISEGRWQTEDHDGNKILLQAQRTHKKAPKYSRAHHSSEKKPHS APPAAVDTPNTGQGSSQTALHDSPSNQIARLPGDKQEYNTQSSTSSPAWSFPRSKSLFCL PSGGPSPTEPQPLPTNSQRHSASEWKPSRLMSIDDLEGAQETDVDTGLRLSSSDLSVVSA YSAPSRFYNTIEAPLSSERCSSHWPAHKSPKKGPMPAASEVSPDTACVSHPLFTKGSPRP AETTTPALSASDASLLTESSTHTPCHDPKATQGVQGMLLPPTTVGPETDDMEEFYI >ENSMUSP00000129296.1 pep:known chromosome:GRCm38:17:57508783:57545314:-1 gene:ENSMUSG00000090655.1 transcript:ENSMUST00000165781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r120 description:vomeronasal 2, receptor 120 [Source:MGI Symbol;Acc:MGI:3644483] MLIFVSVLLFLNFPVASCEPINPGCSLGMADAIFQDGDVLVDCHFVLLTVSIALQKIFHF LRFHLSFPMFIYRIHPNKYQYVIALNFAIEEINKNIHLLPNISLGYDMYSIVQYQATILE HSIRFLTGLKEAIPNYTCRKESKLIAVVTGTSWEFPSRISTILGLYKYPQLTFGPFDPML SDHVKFPFIYQMAPEDTSLALGMVSLMVHFSWTWVGLIITENEKGIKFLSDLKREMKKNS VCAAFVQMLSLKYRYNWNDLLSTDPAIMGEMVKVFIVFADIDSTLVVIFKASGQVDPWRV WVTTSQWDIASSVRHFILDSFHGTLIFSQHHPEISAFKDFIQTVNPSKYPDDIFLSHIWK MYLNCPFSRVYCKTLKTCSSNGSLALLPWHRFDMDMSEESYHIYNAVYAVAHSLHEMLQE HADVQPVKSRKRLVFSPCRLHPFLKNIQFNNPSGDKVDLSHTGKLETKYDIFNFWNFPQG LGLKVKVGTFSSFLPRNKQFSLSDGMIEWGTKDKQTPLSVCSTSCAPGLMKSPQEGKASC CFDCHPCLENEISNETDSIQCIKCPDDQYANTEQTHCIPKAVTFLAYEDPLGMALACMAL CFSALTALVLGVFVKHHETPIVKANNRTLSYILLISLILCFLCSLPFIGLPNMATCILQQ MSFGVVFTIAVSTVLAKTVTVVLAFRSTVPGRRMRWILVSPNLIIPICTLIQMVLCAIWL GASAPFVDINAHNERGHITIMCNKGSATAFYCVLGYLGFLAMGTLTVAFWARNLPDIFNE AKFLTFSMLVFCSVWVTFLPVYHSTKGKVMVAVEVFSILASSAGLLGCIFVPKCYIILIR PKNGSFKGLRGTRVCK >ENSMUSP00000124273.1 pep:known chromosome:GRCm38:5:113692422:113724772:-1 gene:ENSMUSG00000042184.11 transcript:ENSMUST00000162388.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700069L16Rik description:RIKEN cDNA 1700069L16 gene [Source:MGI Symbol;Acc:MGI:1920726] MALSWPHSRWNFPSPAPGPSISAGDRLHQLSPDWQEINAVFAPSSKEFLFATDRDHWRKH HQSKCRAVSPSTADTSTKHPHT >ENSMUSP00000047454.5 pep:known chromosome:GRCm38:5:113703697:113724749:-1 gene:ENSMUSG00000042184.11 transcript:ENSMUST00000047891.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700069L16Rik description:RIKEN cDNA 1700069L16 gene [Source:MGI Symbol;Acc:MGI:1920726] MALSWPHSRWNFPSPAPGPSISAGDRLHQLSPDWQEVAERRTEDVSPMLDRSQVLPQGRP CASRRLMKPRITRLPTQHLLNENLEFINTGTCLENTRGANPELLHLLVA >ENSMUSP00000105463.1 pep:known chromosome:GRCm38:11:5827860:5837789:-1 gene:ENSMUSG00000020474.11 transcript:ENSMUST00000109837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polm description:polymerase (DNA directed), mu [Source:MGI Symbol;Acc:MGI:1860191] MLPKRRRVRAGSPHSAVASSTPPSVVRFPDVAIYLAEPRMGRSRRAFLTRLARSKGFRVL DAYSSKVTHVVMEGTSAKEAICWQKNMDALPTGCPQPALLDISWFTESMAAGQPVPEEGR HHLEVAEPRKEPPVSASMPAYACQRPSPLTHHNTLLSEALETLAEAAGFEANEGRLLSFS RAASVLKSLPCPVASLSQLHGLPYFGEHSTRVIQELLEHGTCEEVKQVRCSERYQTMKLF TQVFGVGVKTANRWYQEGLRTLDELREQPQRLTQQQKAGLQYYQDLSTPVRRADAEALQQ LIEAAVRQTLPGATVTLTGGFRRGKLQGHDVDFLITHPEEGQEVGLLPKVMSCLQSQGLV LYHQYHRSHLADSAHNLRQRSSTMDAFERSFCILGLPQPQQAALAGALPPCPTWKAVRVD LVVTPSSQFPFALLGWTGSQSLSGSYGGSAVKRRGCGLTAMGCLILSRREFSMQLLRKMF SDSWASSTFLQSREMPELRNACGLPHPSIQK >ENSMUSP00000020767.3 pep:known chromosome:GRCm38:11:5827861:5838016:-1 gene:ENSMUSG00000020474.11 transcript:ENSMUST00000020767.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polm description:polymerase (DNA directed), mu [Source:MGI Symbol;Acc:MGI:1860191] MLPKRRRVRAGSPHSAVASSTPPSVVRFPDVAIYLAEPRMGRSRRAFLTRLARSKGFRVL DAYSSKVTHVVMEGTSAKEAICWQKNMDALPTGCPQPALLDISWFTESMAAGQPVPEEGR HHLEVAEPRKEPPVSASMPAYACQRPSPLTHHNTLLSEALETLAEAAGFEANEGRLLSFS RAASVLKSLPCPVASLSQLHGLPYFGEHSTRVIQELLEHGTCEEVKQVRCSERYQTMKLF TQVFGVGVKTANRWYQEGLRTLDELREQPQRLTQQQKAGLQYYQDLSTPVRRADAEALQQ LIEAAVRQTLPGATVTLTGGFRRGKLQGHDVDFLITHPEEGQEVGLLPKVMSCLQSQGLV LYHQYHRSHLADSAHNLRQRSSTMDAFERSFCILGLPQPQQAALAGALPPCPTWKAVRVD LVVTPSSQFPFALLGWTGSQFFERELRRFSRQEKGLWLNSHGLFDPEQKRVFHATSEEDV FRLLGLKYLPPEQRNA >ENSMUSP00000022722.6 pep:known chromosome:GRCm38:14:103046977:103056573:1 gene:ENSMUSG00000022126.6 transcript:ENSMUST00000022722.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irg1 description:immunoresponsive gene 1 [Source:MGI Symbol;Acc:MGI:103206] MMLKSVTESFAGMIHGLKVNHLTDGIIRRSKRMILDSLGVGFLGTGTEVFHKVTQYSKIY SSNTSSTVWGRPDFRLPPTYAAFVNGVAVHSMDFDDTWHPATHPSGAVLPVLTALSEALP QTPKFSGLDLLLAFNVGIEVQGRLMHFSKEAKDIPKRFHPPSVVGTLGSAAAASKFLGLS LTKCREALAIAVSHAGAPIANAATQTKPLHIGNAAKHGMEATFLAMLGLQGNKQILDLGS GFGAFYANYSPEDLPSLDSHIWLLDQQDVAFKSFPAHLATHWVADAAAAVRKHLVTPERA LFPADHIERIVLRIPDVQYVNRPFPDSEHEARHSFQYVACASLLDGSITVPSFHSQQVNR PQVRELLKKVKLEHPPDNPPSFDTLYCEISITLKDGTTFTERSDTFYGHWRKPLSQEDLR NKFRANASKMLCRDTVESLITVVEKLEDLEDCSVLTRLLKGPSVQDEASKLSSMSSFDHT TLPRFTNI >ENSMUSP00000098343.2 pep:known chromosome:GRCm38:6:147075060:147087032:-1 gene:ENSMUSG00000072662.2 transcript:ENSMUST00000100780.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mansc4 description:MANSC domain containing 4 [Source:MGI Symbol;Acc:MGI:3645619] MRAVELLLLLGLASMVHGLCSPTVFYRDCWIRRFPGMLLDLEESQRLGAQFLKYYSENTG QKCGRSCCLRKDVSCNVAVFFHDPVHDNVNCLHVHCPTLESCILEPGASAILYNITAGID PDLLVFEHTSPIYPNSRSSSEWWDRLRILKAMSVGSEGVYPDVMNRMVPSTEAASTTQQD LGANTGISYSRKSTTDVGLRFTSANVSTATKVNMVSPSTDFTHSPGNKTISPFFGPTDTR VSQVPSRSRLNISKPSVNKTKGSHSRNHSSENEEPWDGAPASAGVWLACVTLGAAVISLC CRVVLGTSRCCGKRQGWSHMGQRSASGCRRNTLKENS >ENSMUSP00000144765.1 pep:known chromosome:GRCm38:6:147081433:147087001:-1 gene:ENSMUSG00000072662.2 transcript:ENSMUST00000123367.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mansc4 description:MANSC domain containing 4 [Source:MGI Symbol;Acc:MGI:3645619] MRAVELLLLLGLASMVHGLCSPTVFYRDCWIRRFPGMLLDLEESQRLGAQFLKYYSENTG QKCGRSCCLRKDVSCNVAVFFHDPVHDNVNCLHVHCPTLESCILEPGASAILYNITAGKD CVGQGFGKQMPLTSLEGLAASNTGWNTQA >ENSMUSP00000140500.1 pep:known chromosome:GRCm38:Y:35105684:35131833:-1 gene:ENSMUSG00000095793.7 transcript:ENSMUST00000186996.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20855 description:predicted gene, 20855 [Source:MGI Symbol;Acc:MGI:5434211] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000137350.1 pep:known chromosome:GRCm38:Y:35108441:35130404:-1 gene:ENSMUSG00000095793.7 transcript:ENSMUST00000180170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20855 description:predicted gene, 20855 [Source:MGI Symbol;Acc:MGI:5434211] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000147207.1 pep:known chromosome:GRCm38:7:6995300:7003091:1 gene:ENSMUSG00000070837.5 transcript:ENSMUST00000208049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkc description:aurora kinase C [Source:MGI Symbol;Acc:MGI:1321119] MEPSTSTRKHFTINDFEIGRPLGRGKFGRVYLARLKENHFIVALKVLFKSEIEKEGLEHQ LRREVEIQAHLQHRNILRLYNYFYDDTRIYLILEYAPGGELYKELQRHQKLDQQRTATII QELSDALTYCHEKKVIHRDIKPENLLLGLNGEVKISDFGWSVHTPSLRRKTMCGTLDYLP PEMIAQKPYNEMVDLWCIGVLCYELLVGKPPFESSTSSETYRRIRQVDFKFPSSVPAGAQ DLISKLLRYHPSERLSLAQVLKHPWVREHSRRVLPC >ENSMUSP00000083426.5 pep:known chromosome:GRCm38:7:6995383:7003091:1 gene:ENSMUSG00000070837.5 transcript:ENSMUST00000086248.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkc description:aurora kinase C [Source:MGI Symbol;Acc:MGI:1321119] MVISWDLRDCQSAIWKPLECGSASGLPVCVSRVSLATGQMEPSTSTRKHFTINDFEIGRP LGRGKFGRVYLARLKENHFIVALKVLFKSEIEKEGLEHQLRREVEIQAHLQHRNILRLYN YFYDDTRIYLILEYAPGGELYKELQRHQKLDQQRTATIIQELSDALTYCHEKKVIHRDIK PENLLLGLNGEVKISDFGWSVHTPSLRRKTMCGTLDYLPPEMIAQKPYNEMVDLWCIGVL CYELLVGKPPFESSTSSETYRRIRQVDFKFPSSVPAGAQDLISKLLRYHPSERLSLAQVL KHPWVREHSRRVLPC >ENSMUSP00000146898.1 pep:known chromosome:GRCm38:7:6995385:7000062:1 gene:ENSMUSG00000070837.5 transcript:ENSMUST00000208518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkc description:aurora kinase C [Source:MGI Symbol;Acc:MGI:1321119] MEPSTSTRKHFTINDFEIGRPLGRGKFGRVYLARLKENHFIVALKVLFKSEIEKEGLEHQ LRREVEIQAHLQHRNILRLYNYFYDDTRIYLILEYAPGGELYKELQRHQKLDQQRTATII QELSDALTYCHEKKVIHRDIKPENLL >ENSMUSP00000146450.1 pep:known chromosome:GRCm38:7:6995503:7003091:1 gene:ENSMUSG00000070837.5 transcript:ENSMUST00000207711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkc description:aurora kinase C [Source:MGI Symbol;Acc:MGI:1321119] MEPSTSTRKHFTINDFEIGRPLGRGKFGRVYLARLKENHFIVALKVLFKSEIEKEGLEHQ LRREVEIQAHLQHRNILRLYNYFYDDTRIYLILEYAPGGELYKELQRHQKLDQQRTATII QELSDALTYCHEKKVIHRDIKPENLLLGLNGEVKISDFGWSVHTPSLRRKTMCGTLDYLP PEMIAQKPYNEMVDLWCIGVLCYELLVGKPPFESSTSSETYRRIRQVDFKFPSSVPAGAQ DLISKLLRYHPSERLSLAQVLKHPWVREHSRRVLPC >ENSMUSP00000147055.1 pep:known chromosome:GRCm38:7:6995669:7003088:1 gene:ENSMUSG00000070837.5 transcript:ENSMUST00000207660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkc description:aurora kinase C [Source:MGI Symbol;Acc:MGI:1321119] EESPPSGWASHTSKSYWPDGAQHLNQIIQELSDALTYCHEKKVIHRDIKPENLLLGLNGE VKISDFGWSVHTPSLRRKTMCGTLDYLPPEMIAQKPYNEMVDLWCIGVLCYELLVGKPPF ESSTSSETYRRIRQVDFKFPSSVPAGAQDLISKLLRYHPSERLSLAQVLKHPWVREHSRR VLPC >ENSMUSP00000043376.4 pep:known chromosome:GRCm38:19:29331707:29334670:-1 gene:ENSMUSG00000039097.5 transcript:ENSMUST00000044143.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rln1 description:relaxin 1 [Source:MGI Symbol;Acc:MGI:97931] MSSRFLLQLLGFWLLLSQPCRTRVSEEWMDGFIRMCGREYARELIKICGASVGRLALSQE EPALLARQATEVVPSFINKDAEPFDTTLKCLPNLSEELKAVLSEAQASLPELQHAPVLSD SVVSLEGFKKTLHDKLGEAEDGSPPGLKYLQSDTHSRKKRESGGLMSQQCCHVGCSRRSI AKLYC >ENSMUSP00000026016.6 pep:known chromosome:GRCm38:X:17556564:17572325:-1 gene:ENSMUSG00000025040.13 transcript:ENSMUST00000026016.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fundc1 description:FUN14 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919268] MASRNPPPQDYESDDESYEVLDLTEYARRHHWWNRVFGHSSGPMVEKYSVATQIVMGGVT GWCAGFLFQKVGKLAATAVGGGFLLLQVASHSGYVQIDWKRVEKDVNKAKRQIKKRANKA APEINNIIEEATDFIKQNIVISSGFVGGFLLGLAS >ENSMUSP00000134878.1 pep:known chromosome:GRCm38:X:17557524:17571641:-1 gene:ENSMUSG00000025040.13 transcript:ENSMUST00000177213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fundc1 description:FUN14 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919268] MVEKYSVATQIVMGGVTGWCAGFLFQKVGKLAATAVGGGFLLLQVASHSGYVQIDWKRVE KDVNKAKRQIKKRANKAAPEINNIIEEATDFIKQNIVISSGFVGGFLLGLAS >ENSMUSP00000135183.1 pep:known chromosome:GRCm38:X:17557898:17572253:-1 gene:ENSMUSG00000025040.13 transcript:ENSMUST00000176638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fundc1 description:FUN14 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919268] MASRNPPPQDYESDDESYEVLDLTEYARRHHWWNRVFGHSSGPMVEKYSVATQIVMGGVT GWCAGFLFQKVGKLAATAVGGGFLLLQATDFIKQNIVISSGFVGGFLLGLAS >ENSMUSP00000135026.1 pep:known chromosome:GRCm38:X:17557916:17572007:-1 gene:ENSMUSG00000025040.13 transcript:ENSMUST00000142638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fundc1 description:FUN14 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919268] MVEKYSVATQIVMGGVTGWCAGFLFQKVGKLAATAVGGGFLLLQVASHSGYVQIDWKRVE KDVNKAKRQIKKRANKAAPEINNIIEEATDFIKQNIVISSGFVGGFLLGLAS >ENSMUSP00000006963.1 pep:known chromosome:GRCm38:11:99364872:99374903:-1 gene:ENSMUSG00000055937.1 transcript:ENSMUST00000006963.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt28 description:keratin 28 [Source:MGI Symbol;Acc:MGI:1918093] MSLRFSGGSRHVGIQSGSLRPPSGGAGFAGSSVAGGSVAGSGFSWALGGTLGSAPGGSHA TGALGNVSGVCFIGSEGGLLSGNEKVTMQNLNNRLASYLDNVKALEEANSELERKIKTWH EKYGPGSCRGLDRDYSKYHLTIEDLKSKIISSTAANANIILQIDNARLAADDFRLKYENE LTLHQNVEADINGLRRVLDELTLCRTDQELQYESLSEEMTYLKKNHEEEMKVLQCAAGGN VNVEMNAAPGVDLTVLLNNMRAEYEALAEQNRRDAEAWFQEKSATLQQQISNDLGAATSA RTELTELKRSLQTLEIELQSLSATKHSLECSLAETEGNYCSQLAQIQAQISALEEQLHQV RTETEGQKLEHEQLLDIKAHLEKEIETYCRLIDGDENSCSVSKGFESGTSGNSPKDVSKT TLVKTVVEEIDQRGKVLSSRIHSIEEKMSKMSNGKAEQRVPF >ENSMUSP00000078407.3 pep:known chromosome:GRCm38:7:25669139:25675166:-1 gene:ENSMUSG00000061702.10 transcript:ENSMUST00000079439.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem91 description:transmembrane protein 91 [Source:MGI Symbol;Acc:MGI:2443589] MDNSSIQELQQPLLPSITCDLLAPRSEKPELGTPFPETAFAESPRGWQLLLPPLPSVSAG LGEPETPDFEDTLSSDSDSDDDGGDRLSPLLPHDHLGLAVFSVLCCFWPVGIAAFCLAHK TNKAWAKGDVQGAGAASRRAFLLGVLAVGLGLCTYAAALVTLAAYLASRDPP >ENSMUSP00000115089.1 pep:known chromosome:GRCm38:7:25669142:25673473:-1 gene:ENSMUSG00000061702.10 transcript:ENSMUST00000150883.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem91 description:transmembrane protein 91 [Source:MGI Symbol;Acc:MGI:2443589] XPSVSAGLGEPETPDFEDTLSSDSDSDDDGGDRLSPLLPHDHLGLAVFSVLCCFWPVGIA AFCLAHKW >ENSMUSP00000104041.1 pep:known chromosome:GRCm38:7:25669242:25675047:-1 gene:ENSMUSG00000061702.10 transcript:ENSMUST00000108404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem91 description:transmembrane protein 91 [Source:MGI Symbol;Acc:MGI:2443589] MDNSSIQELQQPLLPSITCDLLAPRSEKPELGTPFPETAFAESPRGWQLLLPPLPSVSAG LGEPETPDFEDTLSSDSDSDDDGGDRLSPLLPHDHLGLAVFSVLCCFWPVGIAAFCLAHK PPL >ENSMUSP00000104042.1 pep:known chromosome:GRCm38:7:25669242:25675090:-1 gene:ENSMUSG00000061702.10 transcript:ENSMUST00000108405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem91 description:transmembrane protein 91 [Source:MGI Symbol;Acc:MGI:2443589] MDNSSIQELQQPLLPSITCDLLAPRSEKPELGTPFPETAFAESPRGWQLLLPPLPSVSAG LGEPETPDFEDTLSSDSDSDDDGGDRLSPLLPHDHLGLAVFSVLCCFWPVGIAAFCLAHK TRPSCPSAPTVNAEARGPSNP >ENSMUSP00000102227.1 pep:known chromosome:GRCm38:11:113726850:113751318:-1 gene:ENSMUSG00000041598.7 transcript:ENSMUST00000106616.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42ep4 description:CDC42 effector protein (Rho GTPase binding) 4 [Source:MGI Symbol;Acc:MGI:1929760] MPILKQLVSSSVNSKRRSRADLTAEMISAPLGDFRHTMHVGRAGDAFGDTSFLTSKAREA DDESLDEQASASKLSLLSRKFRGSKRSQSVTRGDREQRDMLGSLRDSALFVKNAMSLPQL NEKEAAEKDSSKLPKSLSSSPVKKADARDGGPKSPHRNGATGPHSPDPLLDEQAFGDLMD LPIMPKVSYGLKHAESILSFHIDLGPSMLGDVLSIMDKDQWGSEEEEEAGGYRDKEGPSS IVQAPPVLEVVPPLGRQESKASWDQASMLPPHAVEDDGWAVVAPSPSSARSVGSHTTRDS SSLSSYTSGVLEERSPAFRGPDRVAAAPPRQPDKEFCFMDEEEEDEIRV >ENSMUSP00000060227.4 pep:known chromosome:GRCm38:11:113726852:113751881:-1 gene:ENSMUSG00000041598.7 transcript:ENSMUST00000053536.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42ep4 description:CDC42 effector protein (Rho GTPase binding) 4 [Source:MGI Symbol;Acc:MGI:1929760] MPILKQLVSSSVNSKRRSRADLTAEMISAPLGDFRHTMHVGRAGDAFGDTSFLTSKAREA DDESLDEQASASKLSLLSRKFRGSKRSQSVTRGDREQRDMLGSLRDSALFVKNAMSLPQL NEKEAAEKDSSKLPKSLSSSPVKKADARDGGPKSPHRNGATGPHSPDPLLDEQAFGDLMD LPIMPKVSYGLKHAESILSFHIDLGPSMLGDVLSIMDKDQWGSEEEEEAGGYRDKEGPSS IVQAPPVLEVVPPLGRQESKASWDQASMLPPHAVEDDGWAVVAPSPSSARSVGSHTTRDS SSLSSYTSGVLEERSPAFRGPDRVAAAPPRQPDKEFCFMDEEEEDEIRV >ENSMUSP00000114599.1 pep:known chromosome:GRCm38:11:113728983:113739322:-1 gene:ENSMUSG00000041598.7 transcript:ENSMUST00000131488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42ep4 description:CDC42 effector protein (Rho GTPase binding) 4 [Source:MGI Symbol;Acc:MGI:1929760] MPILKQLVSSSVNSKRRSRADLTAEMISAPLGDFRHTMHVGRAGDAFGDTSFLTSKAREA DDESLDEQASASKLSLLSRKFRGSKRSQSVTRGDREQRDMLGSLRDSALFVKNAMSLPQL NEKEAAEKDSSKLPKSLSSSPVKKADARDGGPKSPHRNGATGPHSPDPLLDEQAFGDLMD LPIMPKVSYGLKHA >ENSMUSP00000120316.1 pep:known chromosome:GRCm38:11:113729305:113751154:-1 gene:ENSMUSG00000041598.7 transcript:ENSMUST00000153453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42ep4 description:CDC42 effector protein (Rho GTPase binding) 4 [Source:MGI Symbol;Acc:MGI:1929760] MPILKQLVSSSVNSKRRSRADLTAEMISAPLGDFRHTMHVGRAGDAFGDTSFLTSKAREA DDESLDEQASASKLSLLSRKFRGSKR >ENSMUSP00000027237.5 pep:known chromosome:GRCm38:1:40515362:40551705:1 gene:ENSMUSG00000026068.11 transcript:ENSMUST00000027237.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il18rap description:interleukin 18 receptor accessory protein [Source:MGI Symbol;Acc:MGI:1338888] MLCLGWVFLWFVAGEKTTGFNHSACATKKLLWTYSARGAENFVLFCDLQELQEQKFSHAS QLSPTQSPAHKPCSGSQKDLSDVQWYMQPRSGSPLEEISRNSPHMQSEGMLHILAPQTNS IWSYICRPRIRSPQDMACCIKTVLEVKPQRNVSCGNTAQDEQVLLLGSTGSIHCPSLSCQ SDVQSPEMTWYKDGRLLPEHKKNPIEMADIYVFNQGLYVCDYTQSDNVSSWTVRAVVKVR TIGKDINVKPEILDPITDTLDVELGKPLTLPCRVQFGFQRLSKPVIKWYVKESTQEWEMS VFEEKRIQSTFKNEVIERTIFLREVTQRDLSRKFVCFAQNSIGNTTRTIRLRKKEEVVFV YILLGTALMLVGVLVAAAFLYWYWIEVVLLCRTYKNKDETLGDKKEFDAFVSYSNWSSPE TDAVGSLSEEHLALNLFPEVLEDTYGYRLCLLDRDVTPGGVYADDIVSIIKKSRRGIFIL SPSYLNGPRVFELQAAVNLALVDQTLKLILIKFCSFQEPESLPYLVKKALRVLPTVTWKG LKSVHASSRFWTQIRYHMPVKNSNRFMFNGLRIFLKGFSPEKDLVTQKPLEGMPKSGNDH GAQNLLLYSDQKRC >ENSMUSP00000079062.4 pep:known chromosome:GRCm38:1:74125541:74148223:1 gene:ENSMUSG00000061815.11 transcript:ENSMUST00000080167.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy4 description:RUN and FYVE domain containing 4 [Source:MGI Symbol;Acc:MGI:3588214] MSFICSQDKLKTSLGKGRAFIRLCLARGQLAESMQLCLLNPQLTREWYGPRSPLLCAELQ EDILDSLYALNGVAFNLDLQRPDLDEAWPMFSESRCSSPSRTGKRRPGKPKGFPEEVRCS RGEQLQEPDTGGTSCLQDATREDRTPDLCKPLQPSHLPTFLEEKREDSRSLSCPQSTWET EREGFQLDQKDGGPKPRKFLENSTASIQQQRSRAKDVKMQLTGRKVEGKGSLSGTEDQRT TEGIQKRAADWDLGQGLMAPGLQGREDAELGYRCEWNQPDVLRQSWVLGTKKSSPTEKPQ EWTGVTSGTMQEDGSEVPLQQEVIKDPGYGLQLAKEQAQCQEQLRAQEAELQALQEQLSR CQKERALLQVKLEQKQQEAERRDAMYQTELEGQRDLVQAMKRRVLELIHEKDLQWQRLQQ LSTVAPGHCIGCNKVFRRLSRRYPCRLCGGLVCHACSVDYKKRERCCPTCAQQEEIQDT >ENSMUSP00000115873.1 pep:known chromosome:GRCm38:1:74125579:74147773:1 gene:ENSMUSG00000061815.11 transcript:ENSMUST00000127134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy4 description:RUN and FYVE domain containing 4 [Source:MGI Symbol;Acc:MGI:3588214] MANNGTILKISRSLKNAVSAILQGYGDGQEPVTETSAELHRLCGCLELLLQFDQKEQRSF LGARKDYWDFLFTALRRHRGYTEQMSFICSQDKLKTSLGKGRAFIRLCLARGQLAESMQL CLLNPQLTREWYGPRSPLLCAELQEDILDSLYALNGVAFNLDLQRPDLDEAWPMFSESRC SSPSRTGKRRPGKPKGFPEEVRCSRGEQLQEPDTGGTSCLQDATREDRTPDLCKPLQPSH LPTFLEEKREDSRSLSCPQSTWETEREGFQLDQKDGGPKPRKFLENSTASIQQQRSRAKD VKMQLTGRKVEGKGSLSGTEDQRTTEGIQKRAADWDLGQGLMAPGLQGREDAELGYRCEW NQPDVLRQSWVLGTKKSSPTEKPQEWTGVTSGTMQEDGSEVPLQQEVIKDPGYGLQLAKE QAQCQEQLRAQEAELQALQEQLSRCQKERALLQVKLEQKQQEAERRDAMYQTELEGQRDL VQAMKRRVLELIHEKDLQWQRLQQLSTVAPGHCIGCNKVFRRLSRRYPCRLCGGLVCHAC SVDYKKRERCCPTCAQQEEIQDT >ENSMUSP00000028815.8 pep:known chromosome:GRCm38:2:132052496:132145108:-1 gene:ENSMUSG00000027340.15 transcript:ENSMUST00000028815.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc23a2 description:solute carrier family 23 (nucleobase transporters), member 2 [Source:MGI Symbol;Acc:MGI:1859682] MMGIGKNTASKSVEAGGSTEGKYEEEAKHSNFFTLPVVINGGATSSGEQDNEDTELMAIY TTENGIAEKSSLAETLDSTGSLDPQRSDMIYTIEDVPPWYLCIFLGLQHYLTCFSGTIAV PFLLADAMCVGDDQWATSQLIGTIFFCVGITTLLQTTFGCRLPLFQASAFAFLAPARAIL SLDKWKCNTTEITVANGTAELLEHIWHPRIQEIQGAIIMSSLIEVVIGLLGLPGALLRYI GPLTITPTVALIGLSGFQAAGERAGKHWGIAMLTIFLVLLFSQYARNVKFPLPIYKSKKG WTAYKFQLFKMFPIILAILVSWLLCFIFTVTDVFPSNSTDYGYYARTDARKGVLLVAPWF KVPYPFQWGMPTVSAAGVIGMLSAVVASIIESIGDYYACARLSCAPPPPIHAINRGIFVE GLSCVLDGIFGTGNGSTSSSPNIGVLGITKVGSRRVIQYGAALMLGLGMVGKFSALFASL PDPVLGALFCTLFGMITAVGLSNLQFIDLNSSRNLFVLGFSIFFGLVLPSYLRQNPLVTG ITGIDQILNVLLTTAMFVGGCVAFILDNTIPGTPEERGIKKWKKGVSKGSKSLDGMESYN LPFGMNIIKKYRCFSYLPISPTFAGYTWKGFGKSENSRSSDKDSQATV >ENSMUSP00000116640.1 pep:known chromosome:GRCm38:2:132094224:132111448:-1 gene:ENSMUSG00000027340.15 transcript:ENSMUST00000128899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc23a2 description:solute carrier family 23 (nucleobase transporters), member 2 [Source:MGI Symbol;Acc:MGI:1859682] MMGIGKNTASKSVEAGGSTEGKYEEEAKHSNFFTLPVVINGG >ENSMUSP00000064498.5 pep:known chromosome:GRCm38:6:41311233:41314711:-1 gene:ENSMUSG00000036938.17 transcript:ENSMUST00000064324.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Try5 description:trypsin 5 [Source:MGI Symbol;Acc:MGI:102756] MNSLLFLALVGAAVAFPVDDDDKIVGGYTCRENSIPYQVSLNSGYHFCGGSLINDQWVVS AAHCYKTRIQVRLGEHNINVLEGNEQFVNSAKIIKHPNFNSRTLNNDIMLIKLASPVTLN ARVATVALPSSCAPAGTQCLISGWGNTLSFGVNNPDLLQCLDAPLLPQADCEASYPGKIT NNMICVGFLEGGKDSCQGDSGGPVVCNGQLQGIVSWGYGCALKDNPGVYTKVCNYVDWIQ DTIAAN >ENSMUSP00000133640.1 pep:known chromosome:GRCm38:6:41311288:41316841:-1 gene:ENSMUSG00000036938.17 transcript:ENSMUST00000173916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Try5 description:trypsin 5 [Source:MGI Symbol;Acc:MGI:102756] MLIKLASPVTLNARVATVALPSSCAPAGTQCLISGWGNTLSFGVNNPDLLQCLDAPLLPQ ADCEASYPGKITNNMICVGFLEGGKDSCQGDSGGPVVCNGQLQGIVSWGYGCALKDNPGV YTKVCNYVDWIQDTI >ENSMUSP00000062005.2 pep:known chromosome:GRCm38:13:43615710:43670945:1 gene:ENSMUSG00000044164.2 transcript:ENSMUST00000059986.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf182 description:ring finger protein 182 [Source:MGI Symbol;Acc:MGI:3045355] MASQPLEEPAESQASDELECKICYNRYNLKQRKPKVLECCHRVCAKCLYKIIDFGDSPQG VIVCPFCRFETCLPDDEVSSLPDDNNILVNLTCGGKGKKCLPENPTELLLTPKRLASLVS PSHTSSNCLVITIMEVQRESSPSLSSTPVVEFYRPASFDSVTTVSHNWTVWNCTSLLFQT SIRVLVWLLGLLYFSSLPLGIYLLVSKKVTLGVVFVSLVPSSLVILMVYGFCQCVCHEFL DCMALPS >ENSMUSP00000125601.1 pep:known chromosome:GRCm38:13:43615983:43668066:1 gene:ENSMUSG00000044164.2 transcript:ENSMUST00000161817.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf182 description:ring finger protein 182 [Source:MGI Symbol;Acc:MGI:3045355] MASQPLEEPAESQASDELECKICYNRYNLK >ENSMUSP00000042558.7 pep:known chromosome:GRCm38:6:147091379:147112778:1 gene:ENSMUSG00000040102.10 transcript:ENSMUST00000036003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl42 description:kelch-like 42 [Source:MGI Symbol;Acc:MGI:2444786] MSAEEMVQIRLEDRCYPVSKSKLIEQSDYFRALYRSGMREAVRPEVGPEVQQLRGLSAPG LRLVLDFINAGGAREGWGLSEDELAEASVLSEMVEAASFLQVTALLRLLLSHVRLGNCLE LYRLAQVYGLPDLQDACLRFMVLRFHQVLCQPQFPLLLSPPQAPGDCSLKQRLREARMRG TPVLVALGDFLGGPLAPHPYQGEPPSMLRYEETTERWFPLANNLPPDLVNVRGYGSAILD NYLFIVGGYRITSQEISAAHSYNPITNEWLQVASMNQKRSNFKLVAVNSKLYAIGGQAVS NVECYNPEQDAWNFVAPLPNPLAEFSACECKGKIYVIGGYTTRDRNMNILQYCPSADLWT LFETCDVHIRKQQMVSVEETIYIVGGCLHELGPNRRSSQSEDMLTVQSYNTVTRQWLYLK ENTSKSGLNLTCALHNDGIYIMSRDVTLSTSLEHRVFLKYNIFADSWEAFRRFPAFGHNL LISSLYLPNKAET >ENSMUSP00000145444.1 pep:known chromosome:GRCm38:6:147108093:147110595:1 gene:ENSMUSG00000040102.10 transcript:ENSMUST00000203441.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl42 description:kelch-like 42 [Source:MGI Symbol;Acc:MGI:2444786] GHNLLISSLYLPNKAET >ENSMUSP00000102971.1 pep:known chromosome:GRCm38:7:81057600:81105612:1 gene:ENSMUSG00000038763.12 transcript:ENSMUST00000107348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpk3 description:alpha-kinase 3 [Source:MGI Symbol;Acc:MGI:2151224] MGSRRAAGRGWGLGGRAGAGGDSEDDGPVWTPGPASRSYLLSVRPEASLSSNRLSHPSSG RSTFCSIIAQLTEETQPLFETTLKSRAVSEDSDVRFTCIVTGYPEPEVTWYKDDIELDRY CGLPKYEITHQGNRHTLQLYRCQEEDAAIYQASARNTKGIVSCSGVLEVGTMTEYKIHQR WFAKLKRKAAAKMREIEQSWKHGKEASGEADTLLRKISPDRFQRKRRLSGVEEAVLSTPV REMEEGSSAAWQEGETESAQHPGLGLINSFAPGEAPTNGEPAPENGEDEERGLLTYICEV MELGPQNSPPKESGAKKKRKDEESKPGEQKLELEKAEGSQCSSENVVPSTDKPNSSRREK STDTQPAQTQPRGRVARGPGIESTRKTASVLGIQDKVQDVPAPAPAPVPAPALAPAPVPV PAPTPVPSRSSEQVYFSLKDMFMETTRAGRSQEEEKPPPPSTRVAGESPPGKTPVKSRLE KVPMVSSQPTSSMVPPPIKPLNRKRFAPPKSKVESTTTSLSSQTSESMAQSLGKALPSAS TQVPTPPARRRHGTRDSPLQGQTSHKTPGEALESPATVAPTKSANSSSDTVSVDHDSSGN QGATEPMDTETQEDGRTLVDGRTGSRKKTHTDGKLQVDGRTQGDGAQDRAHASPRTQAGE KAPTDVVTQGSERPQSDRSSWKNLVTQRRVDMQVGQMQAGERWQQDPGDARIQEEEKETQ SAAGSIPVAFETQSEQLSMASLSSLPGALKGSPSGCPRESQAIECFEKSTEAPCVQERSD LMLRSEEAAFRSHEDGLLGPPSGNRTYPTQLPPEGHSEHLGGQTHQRSEQEDSLSQCPKK EQPQEPLHVGLSGGHSTGLSQEVPAMPSLPGTGLTSSLQEELPGTAASLHTNTDVPLPSR DQDFPSSAPTLQLGPGSPTQSHPPEAMATSSEGACAKEPNVDGRSSGTRSCDPGLIDSLK NYLLLLLKLSSPETSEARAESQEVADTGGLTSSSTLVPTMEVAGLSPRTSRRILERVENN HLVQSAQTLLLSPCTSRRLTGLLDREVQAGQQALAAAQCSRGPCPTPLTIPAIVVGEEGS AGEDSEERTSQESDKKGLLGEVEGHTVESRTQEPCQEEAMPGEALTGLPAATPEELALGA RRKRFLPKVRAGSDGEANKAEERESPTVSPRGPRKGLTPGSPGTPGRERRSPTQARKASM LEVPGAEEEPATGDLVSRSKDSGLDSEPAVDEGKQEALAKQRKAKDLLKAPQVIRKIRVE QFPDSSGSLKLWCQFFNIVSDSVLTWAKDQHPVGEVNRSAGDEGPAALAIVQASPTDCGV YRCTIQNEHGSASTDFCLSPEVLSGFISREEGEVGEEIEMTPMVFAKGLADSGCWGDKLF GRLVSEELRGGGHGLQKASRAKVIYGLEPIFESGRTCIIKVSSLLVFGPSSETSLLGRNY DVTIQGCKIQNMSREYCKIFAAEARAASGFGEVPEIIPLYLIYRPANNIPYATLEEDLGK PLQTYCSRQWGCAGAPAAASSSEALQKCQTFQHWLYQWTNGSFLVTDLTGADWKMTDVQI ATKLRGYQGLKESCFPALLDQFASSHQCNTYCDMLGLKPLKGPEAAHPQAKAKGSKSPSA GRKGSQLSPQPQKKGLPSPQGSRKSAPSSRATLQASQAATVQLLGQPPVQDGSSKAQSMR >ENSMUSP00000027495.8 pep:known chromosome:GRCm38:1:93478964:93510260:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000027495.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MSKQQPTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLT DLYPERIIPGAAEKIERTVQIEASTVEIEERGVKLRLTVVDTPGYGDAINCRDCFKTIIS YIDEQFERYLHDESGLNRRHIIDNRVHCCFYFISPFGHGLKPLDVAFMKAIHNKVNIVPV IAKADTLTLKERERLKKRILDEIEEHSIKIYHLPDAESDEDEDFKEQTRLLKASIPFSVV GSNQLIEAKGKKVRGRLYPWGVVEVENPEHNDFLKLRTMLITHMQDLQEVTQDLHYENFR SERLKRGGRKVENEDMNKDQILLEKEAELRRMQEMIARMQAQMQMQMQGGDSDSGALGQH V >ENSMUSP00000118621.1 pep:known chromosome:GRCm38:1:93478990:93499112:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000136182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MVVGESGLGKSTLINSLFLTDLYPERIIPGAAEKIERTVQIEASTVEIEERGVKLRLTVV DTPGYGDAINCRDCFKTIISYIDEQFERYLHDESGLNRRHIIDNRVH >ENSMUSP00000120694.2 pep:known chromosome:GRCm38:1:93478993:93500499:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000131175.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MSKQQPTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLT DLYPERIIPGAAEKIERTVQIEASTVEIEERGVKLRLTVVDTPGYGDAINCRDCFKTIIS YIDEQFERYLHDESGLNRRHIIDNRVHCCFYFISPFGHGLKPLDVAFMKAIHNKVNIVPV IAKADTLTLKERERLKKRILDEIEEHSIKIYH >ENSMUSP00000114614.1 pep:known chromosome:GRCm38:1:93479035:93497355:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000153826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MSKQQPTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLT DLYPERIIPGAAEKIER >ENSMUSP00000120511.1 pep:known chromosome:GRCm38:1:93479059:93500503:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000129211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MSKQQPTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLT DLYPERIIPGAAEKIERTVQIEASTVEIEERGVKLRLTVVDTPGYGDAINCRDCFKTIIS YIDEQFERYLHDESGLNRRHIIDNRVHCCFYFISPFGHGLKPLDVAFMKAIHNKVNIVPV IAKADTLTLKERERLKKRILDEIEEHSIKIYHL >ENSMUSP00000108534.1 pep:known chromosome:GRCm38:1:93479108:93500528:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000112912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MSKQQPTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLT DLYPERIIPGAAEKIERTVQIEASTVEIEERGVKLRLTVVDTPGYGDAINCRDCFKTIIS YIDEQFERYLHDESGLNRRHIIDNRVHCCFYFISPFGHGLKPLDVAFMKAIHNKVNIVPV IAKADTLTLKERERLKKRILDEIEEHSIKIYHLPDAESDED >ENSMUSP00000115536.1 pep:known chromosome:GRCm38:1:93479220:93499030:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000149532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MSKQQPTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLT DLYPERIIPGAAEKIERTVQIEASTVEIEERGVKLRLTVVDTPGYGDAINCRDCFKTIIS >ENSMUSP00000121974.1 pep:known chromosome:GRCm38:1:93479223:93499112:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000142401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MPGWRRPFEDNWTGGPHLGDPAGQTGKSRKMSKQQPTQFINPETPGYVGFANLPNQVHRK SVKKGFEFTLMVVGESGLGKSTLINSLFLTDLYPERIIPGAAEKIERTVQIEASTVEIEE RGVKLRLTVVDTPGYGDAINCRDCFKTIISYIDEQFERYLHDESGLNRRHIIDNRVH >ENSMUSP00000117517.1 pep:known chromosome:GRCm38:1:93479473:93500526:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000150931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MSKQQPTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLT DLYPERIIPGAAEKIERTVQIEASTVEIEERGVKLRLTVVDTPGYGDAINCRDCFKTIIS YIDEQFERYLHDESGLNRRHIIDNRVHCCFYFISPFGHGLKPLDVAFMKAIHNKVNIVPV IAKADTLTLKERERLKKRILDEIEEHSIKIYHLPDAESDED >ENSMUSP00000134173.1 pep:known chromosome:GRCm38:1:93505568:93507346:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000157021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] XKDQILLEKEAELRRMQEMIARMQAQMQMQMQGGDSDSGALGQHV >ENSMUSP00000132850.1 pep:known chromosome:GRCm38:1:93479061:93509733:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000168776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MSKQQPTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLT DLYPERIIPGAAEKIERTVQIEASTVEIEERGVKLRLTVVDTPGYGDAINCRDCFKTIIS YIDEQFERYLHDESGLNRRHIIDNRVHCCFYFISPFGHGLKPLDVAFMKAIHNKVNIVPV IAKADTLTLKERERLKKRILDEIEEHSIKIYHLPDAESDEDEDFKEQTRLLKASIPFSVV GSNQLIEAKGKKVRGRLYPWGVVEVENPEHNDFLKLRTMLITHMQDLQEVTQDLHYENFR SERLKRGGRKVENEDMNKDQILLEKEAELRRMQEMIARMQAQMQMQMQGGDSDSGALGQH V >ENSMUSP00000136366.1 pep:known chromosome:GRCm38:1:93478993:93509733:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000179353.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MSKQQPTQFINPETPGYVGFANLPNQVHRKSVKKGFEFTLMVVGESGLGKSTLINSLFLT DLYPERIIPGAAEKIERTVQIEASTVEIEERGVKLRLTVVDTPGYGDAINCRDCFKTIIS YIDEQFERYLHDESGLNRRHIIDNRVHCCFYFISPFGHGLKPLDVAFMKAIHNKVNIVPV IAKADTLTLKERERLKKRILDEIEEHSIKIYHLPDAESDEDEDFKEQTRLLKASIPFSVV GSNQLIEAKGKKVRGRLYPWGVVEVENPEHNDFLKLRTMLITHMQDLQEVTQDLHYENFR SERLKRGGRKVENEDMNKDQILLEKEAELRRMQEMIARMQAQMQMQMQGGDSDSGALGQH V >ENSMUSP00000127276.1 pep:known chromosome:GRCm38:1:93478993:93509733:1 gene:ENSMUSG00000026276.17 transcript:ENSMUST00000172165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept2 description:septin 2 [Source:MGI Symbol;Acc:MGI:97298] MVVGESGLGKSTLINSLFLTDLYPERIIPGAAEKIERTVQIEASTVEIEERGVKLRLTVV DTPGYGDAINCRDCFKTIISYIDEQFERYLHDESGLNRRHIIDNRVHCCFYFISPFGHGL KPLDVAFMKAIHNKVNIVPVIAKADTLTLKERERLKKRILDEIEEHSIKIYHLPDAESDE DEDFKEQTRLLKASIPFSVVGSNQLIEAKGKKVRGRLYPWGVVEVENPEHNDFLKLRTML ITHMQDLQEVTQDLHYENFRSERLKRGGRKVENEDMNKDQILLEKEAELRRMQEMIARMQ AQMQMQMQGGDSDSGALGQHV >ENSMUSP00000036983.8 pep:known chromosome:GRCm38:3:95897768:95904691:-1 gene:ENSMUSG00000038526.14 transcript:ENSMUST00000036181.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car14 description:carbonic anhydrase 14 [Source:MGI Symbol;Acc:MGI:1344341] MLFFALLLKVTWILAADGGHHWTYEGPHGQDHWPTSYPECGGDAQSPINIQTDSVIFDPD LPAVQPHGYDQLGTEPLDLHNNGHTVQLSLPPTLHLGGLPRKYTAAQLHLHWGQRGSLEG SEHQINSEATAAELHVVHYDSQSYSSLSEAAQKPQGLAVLGILIEVGETENPAYDHILSR LHEIRYKDQKTSVPPFSVRELFPQQLEQFFRYNGSLTTPPCYQSVLWTVFNRRAQISMGQ LEKLQETLSSTEEDPSEPLVQNYRVPQPLNQRTIFASFIQAGPLYTTGEMLGLGVGILAG CLCLLLAVYFIAQKIRKKRLGNRKSVVFTSARATTEA >ENSMUSP00000117464.1 pep:known chromosome:GRCm38:3:95899740:95904685:-1 gene:ENSMUSG00000038526.14 transcript:ENSMUST00000147962.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car14 description:carbonic anhydrase 14 [Source:MGI Symbol;Acc:MGI:1344341] MKLHCSETTGPHGQDHWPTSYPECGGDAQSPINIQTDSVIFDPDLPAVQPHGYDQLGTEP LDLHNNGHTVQLSLPPTLHLGGLPRKYTAAQLHLHWGQRGSLEGSEHQINSEATAAELHV VHYDSQSYSSLSEAAQKPQGLAVLGILIEVGETENPAYDHILSRLHEIRYK >ENSMUSP00000078580.6 pep:known chromosome:GRCm38:7:25659164:25668032:1 gene:ENSMUSG00000061286.7 transcript:ENSMUST00000079634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc5 description:exosome component 5 [Source:MGI Symbol;Acc:MGI:107889] MEGAKRADANLLTDTGTESSPRSPVCSLRHFACEQNLLSRPDGSASFLQGDTSVLAGVYG PAEVKVSKEIFNKATLEVILRPKIGLPGVAEKSRERLVRNTCEAVVLGALHPRTSITVVL QVVSDAGSLLACCLNAACMALVDAGVPMRALFCGVTCALDSDGNLVLDPTTKQEKEARAI LTFALDSAEQKLLMSTTKGLYSDAELQQCLAAAQAASQHIFRFYRESLQRRYSKS >ENSMUSP00000145948.1 pep:known chromosome:GRCm38:7:25659191:25671368:1 gene:ENSMUSG00000061286.7 transcript:ENSMUST00000206561.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc5 description:exosome component 5 [Source:MGI Symbol;Acc:MGI:107889] MEGAKRADANLLTDTGTESSPRSPVCSLRHFACEQNLLSRPDGSASFLQGDTSVLAGVYG PAEVKVSKEIFNKATLEVILRPKIGLPGVAEKSRERLVRNTCEAVVLGALHPRTSITVVL QVVSDAGSLLACCLNAACMALVDAGVPMRALFCGVTCALDSDGNLVLDPTTKQEKEARAI LTFALDSAEQKLLMSTTKGLYSDAELQQCLAAAQAASQHIFRFYRESLQRRYSKS >ENSMUSP00000145921.1 pep:known chromosome:GRCm38:7:25659212:25666306:1 gene:ENSMUSG00000061286.7 transcript:ENSMUST00000205966.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Exosc5 description:exosome component 5 [Source:MGI Symbol;Acc:MGI:107889] MEGAKRADANLLTDTGTESSPRSPVCSLRHFACEQNLLSRPDGSASFLQGDTSVLAGVYG PAEVKVSKEIFNKATLEVILRPKIGLPVSLQRLDQAEQDDGVEGKSLTASRLPRRGREEP RAAGQEYL >ENSMUSP00000146157.1 pep:known chromosome:GRCm38:7:25659218:25664401:1 gene:ENSMUSG00000061286.7 transcript:ENSMUST00000205743.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Exosc5 description:exosome component 5 [Source:MGI Symbol;Acc:MGI:107889] MEGAKRADANLLTDTGTESSPRSPVCSLRHFACEQNLLSRPDGSASFLQGTSLTKFNSAR AILTEEGFLKSGYCVVCNQVRPPP >ENSMUSP00000110513.2 pep:known chromosome:GRCm38:17:27751232:27820558:-1 gene:ENSMUSG00000056692.12 transcript:ENSMUST00000114863.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D17Wsu92e description:DNA segment, Chr 17, Wayne State University 92, expressed [Source:MGI Symbol;Acc:MGI:106281] MEGMDVDLDPELMQKFSCLGTTDKDVLISEFQRLLGFQLNPAGCAFFLDMTNWNLQAAIG AYYDFESPNISVPSMSFVEDVTIGEGESIPPDTQFIKTWRIQNSGAEAWPPGVCLKYVGG DQFGHVNMVMVRSLEPQEIADVSVQMCSPSRAGMYQGQWRMCTATGLYYGDVIWVILSVE VGGLLGVTQQLSSFETEFNTQPHRKVEGNFNPFASPQKNRQSDENNLTDPGGSEFDSISK NTWAPVPEQSEQDQDRLSQSSVNLSPSSPANNLSVVTYSKGLHGPYPFGQS >ENSMUSP00000110509.1 pep:known chromosome:GRCm38:17:27751246:27820491:-1 gene:ENSMUSG00000056692.12 transcript:ENSMUST00000114859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D17Wsu92e description:DNA segment, Chr 17, Wayne State University 92, expressed [Source:MGI Symbol;Acc:MGI:106281] MEGMDVDLDPELMQKFSCLGTTDKDVLISEFQRLLGFQLNPAGCAFFLDMTNWNLQAAIG AYYDFESPNISVPSMSFVEDVTIGEGESIPPDTQFIKTWRIQNSGAEAWPPGVCLKYVGG DQFGHVNMVMVRSLEPQEIADVSVQMCSPSRAGMYQGQWRMCTATGLYYGDVIWVILSVE VGGLLGVTQQLSSFETEFNTQPHRKGLHGPYPFGQS >ENSMUSP00000074585.4 pep:known chromosome:GRCm38:17:27767489:27820542:-1 gene:ENSMUSG00000056692.12 transcript:ENSMUST00000075076.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D17Wsu92e description:DNA segment, Chr 17, Wayne State University 92, expressed [Source:MGI Symbol;Acc:MGI:106281] MEGMDVDLDPELMQKFSCLGTTDKDVLISEFQRLLGFQLNPAGCAFFLDMTNWNLQAAIG AYYDFESPNISVPSMSFVEDVTIGEGESIPPDTQFIKTWRIQNSGAEAWPPGVCLKYVGG DQFGHVNMVMVRSLEPQEIADVSVQMCSPSRAGMYQGQWRMCTATGLYYGDVIWVILSVE VGGLLGVTQQLSSFETEFNTQPHRKVEGNFNPFASPQKNRQSDENNLTDPGGSEFDSISK NTWAPVPEQSEQDQDRLSQSSVNLSPSSPANNLSVVTYSKVGALGGGAGGGPWDS >ENSMUSP00000122124.1 pep:known chromosome:GRCm38:4:103170649:103215164:-1 gene:ENSMUSG00000028521.17 transcript:ENSMUST00000150285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35d1 description:solute carrier family 35 (UDP-glucuronic acid/UDP-N-acetylgalactosamine dual transporter), member D1 [Source:MGI Symbol;Acc:MGI:2140361] MAEVHRRQHAPVKGEAPAKSSTHRDEEELGMAPAETLTVFLKLLAAGFYGVSSFLIVVVN KSVLTNYRFPSSLCVGLGQMVATVAVLWVGKTLRVVKFPDFDRNVPRKTFPLPLLYFGNQ ITGLFSTKKLNLPMFTVLRRFSILFTMFAEGALLKKTFSWGIKMTVFAMIIGAFVAASSD LAFDLEGYVFILINDVLTAANGAYVKQKLDSKELGKYGLLYYNALFMILPTLAIAYFTGD AQKNILITYIGMVFGGDYIFTWTNFIGLNISIAGSLVYSYITFTEEQLSKQSEASNKLDT KGKGAV >ENSMUSP00000037617.6 pep:known chromosome:GRCm38:4:103170656:103214803:-1 gene:ENSMUSG00000028521.17 transcript:ENSMUST00000036195.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35d1 description:solute carrier family 35 (UDP-glucuronic acid/UDP-N-acetylgalactosamine dual transporter), member D1 [Source:MGI Symbol;Acc:MGI:2140361] THRDEEELGMAPAETLTVFLKLLAAGFYGVSSFLIVVVNKSVLTNYRFPSSLCVGLGQMV ATVAVLWVGKTLRVVKFPDFDRNVPRKTFPLPLLYFGNQITGLFSTKKLNLPMFTVLRRF SILFTMFAEGALLKKTFSWGIKMTVFAMIIGAFVAASSDLAFDLEGYVFILINDVLTAAN GAYVKQKLDSKELGKYGLLYYNALFMILPTLAIAYFTGDAQKAMEFEGWADTLFLLQFTL SCVMGFILMYATVLCTQYNSALTTTIVGCIKNILITYIGMVFGGDYIFTWTNFIGLNISI AGSLVYSYITFTEEQLSKQSEASNKLDTKGKGAV >ENSMUSP00000138926.1 pep:known chromosome:GRCm38:4:103201161:103214803:-1 gene:ENSMUSG00000028521.17 transcript:ENSMUST00000183432.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc35d1 description:solute carrier family 35 (UDP-glucuronic acid/UDP-N-acetylgalactosamine dual transporter), member D1 [Source:MGI Symbol;Acc:MGI:2140361] THRDEEELGMAPAETLTVFLKLLAAGFYGVSSFLIVVVNKSVLTNYRFPSSLCVGLGQMV ATVAVLWVGKTLRVVKFPDFDRNVPRKTFPLPLLYFGNQITGLFSTKKLNLPMFTVLRRF SILFTMFAEGALLKKTFSWGIKMTVFAMIIGAFVAASSDLAFDLEGYVFILINDVLTAAN GAYVKQKLDSKVSSTLQLLHWMLKDGGESVE >ENSMUSP00000053000.1 pep:known chromosome:GRCm38:X:9104562:9106342:1 gene:ENSMUSG00000045797.1 transcript:ENSMUST00000059967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402K13Rik description:RIKEN cDNA 4930402K13 gene [Source:MGI Symbol;Acc:MGI:1923025] MGDHKLPGSQARRSLKTASQGTTAKSIWKDSSKCFSKHKREQLKLPTSVEKQHRHYGKER SGSDDFRKAMALTEEMTPHSVESPLFPTISHRVCSPTANKNQQKPADDSGLLYPLLTVRP PPRRTIWGNIGTRHNQQLLAPWPRGEDNSADILIKMLETPRSNRMLENKWPYWEDHREAT KQPTTSAKQSQGKSGLEHHKLPWLCEGTWLHDSKHRGQDMLSSIYYKYIYKGGNDEWAET YRDSMQHIDTGYENQSSYEESPVRRTNMCNFDIKYGKKMSKGKDMPLSKQESSFVIKLQT PPDFYRANKYRKYESPVHLGTEETDPNELELQGANARKPEQAYEPTDWKYFISKDDTTPS SLEQMFMKKGWGYECSSPSSKMFRDYYWIVDSDDDDTDNEEEEEEKLKEQQTENK >ENSMUSP00000135382.1 pep:known chromosome:GRCm38:1:15287254:15712548:1 gene:ENSMUSG00000092083.4 transcript:ENSMUST00000175681.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnb2 description:potassium voltage gated channel, Shab-related subfamily, member 2 [Source:MGI Symbol;Acc:MGI:99632] MAEKAPPGLNRKTSRSTLSLPPEPVDIIRSKTCSRRVKINVGGLNHEVLWRTLDRLPRTR LGKLRDCNTHESLLEVCDDYNLNENEYFFDRHPGAFTSILNFYRTGKLHMMEEMCALSFG QELDYWGIDEIYLESCCQARYHQKKEQMNEELRREAETMREREGEEFDNTCCPEKRKKLW DLLEKPNSSVAAKILAIVSILFIVLSTIALSLNTLPELQENDEFGQPSDNRKLAHVEAVC IAWFTMEYLLRFLSSPNKWKFFKGPLNVIDLLAILPYYVTIFLTESNKSVLQFQNVRRVV QIFRIMRILRILKLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDE DATKFTSIPASFWWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNF SEFYKEQKRQEKAIKRREALERAKRNGSIVSMNLKDAFARSMELIDVAVEKAGESANTKD SVDDNHLSPSRWKWARKALSETSSNKSYENKYQEVSQNDSHEHLNNTSSSSPQHLSAQKL EMLYNEITKTQPHSHPNPDCQEQPERPCVYEEEIEMEEVICPQEQLAVAQTEVIVDMKST SSIDSFTSCATDFTETERSPLPPPSASHLQMKFPTDLPGTDEHQRARAPPFLTLSRDKGP AAREAAVDYAPIDITVNLDAGASHGPLQPDSASDSPKSSLKGSNPLKSRSLKVNFQENRA SAPQTPPSTARPLPVTTADFPLTTPQHMSTILLEEALPQGQPPLLEADDSAHCQGPSKGF SPRFPKQKLFPFSSRERRSFTEIDTGEDEDFLDLQRSRPDKQADPSPNCLADKPGDARDS LREEGCVGSSSPQNTDHNCRQDIYQAVGEVKKDSSQEGYKMENHLFAPEIHSNPGDTGHC PTRETSM >ENSMUSP00000126656.2 pep:known chromosome:GRCm38:1:15312452:15723750:1 gene:ENSMUSG00000092083.4 transcript:ENSMUST00000170146.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnb2 description:potassium voltage gated channel, Shab-related subfamily, member 2 [Source:MGI Symbol;Acc:MGI:99632] MAEKAPPGLNRKTSRSTLSLPPEPVDIIRSKTCSRRVKINVGGLNHEVLWRTLDRLPRTR LGKLRDCNTHESLLEVCDDYNLNENEYFFDRHPGAFTSILNFYRTGKLHMMEEMCALSFG QELDYWGIDEIYLESCCQARYHQKKEQMNEELRREAETMREREGEEFDNTCCPEKRKKLW DLLEKPNSSVAAKILAIVSILFIVLSTIALSLNTLPELQENDEFGQPSDNRKLAHVEAVC IAWFTMEYLLRFLSSPNKWKFFKGPLNVIDLLAILPYYVTIFLTESNKSVLQFQNVRRVV QIFRIMRILRILKLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDE DATKFTSIPASFWWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNF SEFYKEQKRQEKAIKRREALERAKRNGSIVSMNLKDAFARSMELIDVAVEKAGESANTKD SVDDNHLSPSRWKWARKALSETSSNKSYENKYQEVSQNDSHEHLNNTSSSSPQHLSAQKL EMLYNEITKTQPHSHPNPDCQEQPERPCVYEEEIEMEEVICPQEQLAVAQTEVIVDMKST SSIDSFTSCATDFTETERSPLPPPSASHLQMKFPTDLPGTDEHQRARAPPFLTLSRDKGP AAREAAVDYAPIDITVNLDAGASHGPLQPDSASDSPKSSLKGSNPLKSRSLKVNFQENRA SAPQTPPSTARPLPVTTADFPLTTPQHMSTILLEEALPQGQPPLLEADDSAHCQGPSKGF SPRFPKQKLFPFSSRERRSFTEIDTGEDEDFLDLQRSRPDKQADPSPNCLADKPGDARDS LREEGCVGSSSPQNTDHNCRQDIYQAVGEVKKDSSQEGYKMENHLFAPEIHSNPGDTGHC PTRETSM >ENSMUSP00000023953.4 pep:known chromosome:GRCm38:7:17812633:17815663:1 gene:ENSMUSG00000023185.4 transcript:ENSMUST00000023953.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam14 description:carcinoembryonic antigen-related cell adhesion molecule 14 [Source:MGI Symbol;Acc:MGI:1914334] MMDCVALSCKVCTSWQGLLLTVSLLTCWLIPTTSQLTIKSVPPIAVEGENVLLFVHNLPK NVKVFSWFTGARVLKSCEIATHVIAINATVIGLSHSGRETVFKNGSLLIKSVTSKDSGYY TLRILDANSRPKIIRTEFFVHSPLLGYKKHLTPSQLKIALVPPRVEENINVILWVFQMPK KLQAFAWHKGVLPLDHLKIASHSFLTNSTMLGHAYYDRLTVRNDGSLLLLNVTKNDTGLY TLRTISVDLKLEWAIIEVQVNSK >ENSMUSP00000114119.1 pep:known chromosome:GRCm38:3:79062516:79144977:-1 gene:ENSMUSG00000062232.14 transcript:ENSMUST00000118100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef2 description:Rap guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:2659071] MKPLAAPANHGVLGQQEKQSLPADFTKLHLTDSLHPQVTHVSSSHSGCSITSDSGSSSLS DIYQATESEAGDMDLSGLPETAVDSEDDDDEEDIERASDPLMSRDIVRDCLEKDPIDRTD DDIEQLLEFMHQLPAFANMTMSVRRELCAVMVFAVVERAGTIVLNDGEELDSWSVILNGS VEVTYPDGKAEILCMGNSFGVSPTMDKEYMKGVMRTKVDDCQFVCIAQQDYCRILNQVEK NMQKVEEEGEIVMVKEHRELDRTGTRKGHIVIKGTSERLTMHLVEEHSVVDPTFIEDFLL TYRTFLSSPMEVGKKLLEWFNDPSLRDKVTRVVLLWVNNHFNDFEGDPAMTRFLEEFENN LEREKMGGHLRLLNIACAAKAKRRLMTLTKPSREAPLPFILLGGSEKGFGIFVDSVDSCS KATEAGLKRGDQILEVNGQNFENIQLSKAMEILRNNTHLSITVKTNLFVFKELLTRLSEE KRNGAPHLPKIGDIKKASRYSIPDLAVDVEQVIGLEKVNKKSKANTVGGRNKLKKILDKT RISILPQKPYNDIGIGQSQDDSIVGLRQTKHIPAALPVSGTLSSSNPDLLQSHHRILDFS TTPDLPDQVLRVFKADQQSRYIMISKDTTAKEVVIQAIREFAVTATPEQYSLCEVSVTPE GVIKQRRLPDQLSKLADRIQLSGRYYLKNNMETETLCSDEDAQELLRESQISLLQLSTVE VATQLSMRNFELFRNIEPTEYIDDLFKLKSKTSCANLKKFEEVINQETFWVASEILRETN QLKRMKIIKHFIKIALHCRECKNFNSMFAIISGLNLAPVARLRTTWEKLPNKYEKLFQDL QDLFDPSRNMAKYRNVLSGQNLQPPVIPLFPVIKKDLTFLHEGNDSKVDGLVNFEKLRMI AKEIRHVGRMASVNMDPALMFRTRKKKWRSLGSLSQGSANATVLDVAQTGGHKKRVRRSS FLNAKKLYEDAQMARKVKQYLSNLELEMDEESLQTLSLQCEPATSTLPKNPGDKKPVKSE TSPVAPRAGPQQKVQPQQPLAQPQPPHKVSQGLQVPAVSLYPSRKKVPVKDLPPFGINSP QALKKILSLSEEGSLERHRKQAEDTISNASSQLSSPPTSPQSSPRKGYALALSGTVDNFS DSGHSEISSRSSIVSNSSFDSVPVSLHDERRQRHSVSIVESNLGVGRMERRTLMEPDQYS LGSYAPVSESRGLYAAATVISSPSTEELSHDQGDRASLDAADSGRGSWTSCSSGSHDNIQ TIQHQRSWETLPFGHTHFDYSGDAASIWASGGHMDQMMFSDHSTKYNRQNQSRESLEQAQ SRASWASSTGYWGEDSEGDTGTIKRRGGKDVSAEAESSSMVPVTTEEAKPVPMPAHIAVT PSTTKGLIARKEGRYREPPPTPPGYVGIPIADFPEGPCHPARKPPDYNVALQRSRMVARP TEAPAPGQTPPAAAASRPGSKPQWHKPSDADPRLAPFQPQGFAGAEEDEDEQVSAV >ENSMUSP00000113778.1 pep:known chromosome:GRCm38:3:79062528:79145875:-1 gene:ENSMUSG00000062232.14 transcript:ENSMUST00000118340.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef2 description:Rap guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:2659071] MAFLVRCYANCLQPWSSKLPADFTKLHLTDSLHPQVTHVSSSHSGCSITSDSGSSSLSDI YQATESEAGDMDLSGLPETAVDSEDDDDEEDIERASDPLMSRDIVRDCLEKDPIDRTDDD IEQLLEFMHQLPAFANMTMSVRRELCAVMVFAVVERAGTIVLNDGEELDSWSVILNGSVE VTYPDGKAEILCMGNSFGVSPTMDKEYMKGVMRTKVDDCQFVCIAQQDYCRILNQVEKNM QKVEEEGEIVMVKEHRELDRTGTRKGHIVIKGTSERLTMHLVEEHSVVDPTFIEDFLLTY RTFLSSPMEVGKKLLEWFNDPSLRDKVTRVVLLWVNNHFNDFEGDPAMTRFLEEFENNLE REKMGGHLRLLNIACAAKAKRRLMTLTKPSREAPLPFILLGGSEKGFGIFVDSVDSCSKA TEAGLKRGDQILEVNGQNFENIQLSKAMEILRNNTHLSITVKTNLFVFKELLTRLSEEKR NGAPHLPKIGDIKKASRYSIPDLAVDVEQVIGLEKVNKKSKANTVGGRNKLKKILDKTRI SILPQKPYNDIGIGQSQDDSIVGLRQTKHIPAALPVSGTLSSSNPDLLQSHHRILDFSTT PDLPDQVLRVFKADQQSRYIMISKDTTAKEVVIQAIREFAVTATPEQYSLCEVSVTPEGV IKQRRLPDQLSKLADRIQLSGRYYLKNNMETETLCSDEDAQELLRESQISLLQLSTVEVA TQLSMRNFELFRNIEPTEYIDDLFKLKSKTSCANLKKFEEVINQETFWVASEILRETNQL KRMKIIKHFIKIALHCRECKNFNSMFAIISGLNLAPVARLRTTWEKLPNKYEKLFQDLQD LFDPSRNMAKYRNVLSGQNLQPPVIPLFPVIKKDLTFLHEGNDSKVDGLVNFEKLRMIAK EIRHVGRMASVNMDPALMFRTRKKKWRSLGSLSQGSANATVLDVAQTGGHKKRVRRSSFL NAKKLYEDAQMARKVKQYLSNLELEMDEESLQTLSLQCEPATSTLPKNPGDKKPVKSETS PVAPRAGPQQKVQPQQPLAQPQPPHKVSQGLQVPAVSLYPSRKKVPVKDLPPFGINSPQA LKKILSLSEEGSLERHRKQAEDTISNASSQLSSPPTSPQSSPRKGYALALSGTVDNFSDS GHSEISSRSSIVSNSSFDSVPVSLHDERRQRHSVSIVESNLGVGRMERRTLMEPDQYSLG SYAPVSESRGLYAAATVISSPSTEELSHDQGDRASLDAADSGRGSWTSCSSGSHDNIQTI QHQRSWETLPFGHTHFDYSGDAASIWASGGHMDQMMFSDHSTKYNRQNQSRESLEQAQSR ASWASSTGYWGEDSEGDTGTIKRRGGKDVSAEAESSSMVPVTTEEAKPVPMPAHIAVTPS TTKGLIARKEGRYREPPPTPPGYVGIPIADFPEGPCHPARKPPDYNVALQRSRMVARPTE APAPGQTPPAAAASRPGSKPQWHKPSDADPRLAPFQPQGFAGAEEDEDEQVSAV >ENSMUSP00000141542.1 pep:known chromosome:GRCm38:3:79062531:79286517:-1 gene:ENSMUSG00000062232.14 transcript:ENSMUST00000195708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef2 description:Rap guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:2659071] MKNPAERTPQDLEIVYSYLHGMEALSNLREHQLRLMCETVRYERHEANEVLYYPDDIGTC WYILLSGSVFIKESMFLPRSSFGKRSAGSFRRGCECIVLEPSEMIVVDYMDENEEYFQRQ ASHRQSRRRFRKINQKGERQTIIDTVDPYPVGKPPLPRGYHTECTKSQLPADFTKLHLTD SLHPQVTHVSSSHSGCSITSDSGSSSLSDIYQATESEAGDMDLSGLPETAVDSEDDDDEE DIERASDPLMSRDIVRDCLEKDPIDRTDDDIEQLLEFMHQLPAFANMTMSVRRELCAVMV FAVVERAGTIVLNDGEELDSWSVILNGSVEVTYPDGKAEILCMGNSFGVSPTMDKEYMKG VMRTKVDDCQFVCIAQQDYCRILNQVEKNMQKVEEEGEIVMVKEHRELDRTGTRKGHIVI KGTSERLTMHLVEEHSVVDPTFIEDFLLTYRTFLSSPMEVGKKLLEWFNDPSLRDKVTRV VLLWVNNHFNDFEGDPAMTRFLEEFENNLEREKMGGHLRLLNIACAAKAKRRLMTLTKPS REAPLPFILLGGSEKGFGIFVDSVDSCSKATEAGLKRGDQILEVNGQNFENIQLSKAMEI LRNNTHLSITVKTNLFVFKELLTRLSEEKRNGAPHLPKIGDIKKASRYSIPDLAVDVEQV IGLEKVNKKSKANTVGGRNKLKKILDKTRISILPQKPYNDIGIGQSQDDSIVGLRQTKHI PAALPVSGTLSSSNPDLLQSHHRILDFSTTPDLPDQVLRVFKADQQSRYIMISKDTTAKE VVIQAIREFAVTATPEQYSLCEVSVTPEGVIKQRRLPDQLSKLADRIQLSGRYYLKNNME TETLCSDEDAQELLRESQISLLQLSTVEVATQLSMRNFELFRNIEPTEYIDDLFKLKSKT SCANLKKFEEVINQETFWVASEILRETNQLKRMKIIKHFIKIALHCRECKNFNSMFAIIS GLNLAPVARLRTTWEKLPNKYEKLFQDLQDLFDPSRNMAKYRNVLSGQNLQPPVIPLFPV IKKDLTFLHEGNDSKVDGLVNFEKLRMIAKEIRHVGRMASVNMDPALMFRTRKKKWRSLG SLSQGSANATVLDVAQTGGHKKRVRRSSFLNAKKLYEDAQMARKVKQYLSNLELEMDEES LQTLSLQCEPATSTLPKNPGDKKPVKSETSPVAPRAGPQQKVQPQQPLAQPQPPHKVSQG LQVPAVSLYPSRKKVPVKDLPPFGINSPQALKKILSLSEEGSLERHRKQAEDTISNASSQ LSSPPTSPQSSPRKGYALALSGTVDNFSDSGHSEISSRSSIVSNSSFDSVPVSLHDERRQ RHSVSIVESNLGVGRMERRTLMEPDQYSLGSYAPVSESRGLYAAATVISSPSTEELSHDQ GDRASLDAADSGRGSWTSCSSGSHDNIQTIQHQRSWETLPFGHTHFDYSGDAASIWASGG HMDQMMFSDHSTKYNRQNQSRESLEQAQSRASWASSTGYWGEDSEGDTGTIKRRGGKDVS AEAESSSMVPVTTEEAKPVPMPAHIAVTPSTTKGLIARKEGRYREPPPTPPGYVGIPIAD FPEGPCHPARKPPDYNVALQRSRMVARPTEAPAPGQTPPAAAASRPGSKPQWHKPSDADP RLAPFQPQGFAGAEEDEDEQVSAV >ENSMUSP00000099660.3 pep:known chromosome:GRCm38:4:129136999:129144593:1 gene:ENSMUSG00000001334.9 transcript:ENSMUST00000102600.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc5 description:fibronectin type III domain containing 5 [Source:MGI Symbol;Acc:MGI:1917614] MPPGPCAWPPRAALRLWLGCVCFALVQADSPSAPVNVTVRHLKANSAVVSWDVLEDEVVI GFAISQQKKDVRMLRFIQEVNTTTRSCALWDLEEDTEYIVHVQAISIQGQSPASEPVLFK TPREAEKMASKNKDEVTMKEMGRNQQLRTGEVLIIVVVLFMWAGVIALFCRQYDIIKDNE PNNNKEKTKSASETSTPEHQGGGLLRSKI >ENSMUSP00000111405.1 pep:known chromosome:GRCm38:X:7579676:7595243:1 gene:ENSMUSG00000039521.12 transcript:ENSMUST00000115740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp3 description:forkhead box P3 [Source:MGI Symbol;Acc:MGI:1891436] MPNPRPAKPMAPSLALGPSPGVLPSWKTAPKGSELLGTRGSGGPFQGRDLRSGAHTSSSL NPLPPSQLQLPTVPLVMVAPSGARLGPSPHLQALLQDRPHFMHQLSTVDAHAQTPVLQVR PLDNPAMISLPPPSAATGVFSLKARPGLPPGINVASLEWVSREPALLCTFPRSGTPRKDS NLLAAPQGSYPLLANGVCKWPGCEKVFEEPEEFLKHCQADHLLDEKGKAQCLLQREVVQS LEQQLELEKEKLGAMQAHLAGKMALAKAPSVASMDKSSCCIVATSTQGSVLPAWSAPREA PDGGLFAVRRHLWGSHGNSSFPEFFHNMDYFKYHNMRPPFTYATLIRWAILEAPERQRTL NEIYHWFTRMFAYFRNHPATWKNAIRHNLSLHKCFVRVESEKGAVWTVDEFEFRKKRSQR PNKCSNPCP >ENSMUSP00000111404.1 pep:known chromosome:GRCm38:X:7579693:7595234:1 gene:ENSMUSG00000039521.12 transcript:ENSMUST00000115739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp3 description:forkhead box P3 [Source:MGI Symbol;Acc:MGI:1891436] MPNPRPAKPMAPSLALGPSPGVLPSWKTAPKGSELLGTRGSGGPFQGRDLRSGAHTSSSL NPLPPSQLQLPTVPLVMVAPSGARLGPSPHLQALLQDRPHFMHQLSTVDAHAQTPVLQVR PLDNPAMISLPPPSAATGVFSLKARPGLPPGINVASLEWVSREPALLCTFPRSGTPRKDS NLLAAPQGSYPLLANGVCKWPGCEKVFEEPEEFLKHCQADHLLDEKGKAQCLLQREVVQS LEQQLELEKEKLGAMQAHLAGKMALAKAPSVASMDKSSCCIVATSTQGSVLPAWSAPREA PDGGLFAVRRHLWGSHGNSSFPEFFHNMDYFKYHNMRPPFTYATLIRWAILEAPERQRTL NEIYHWFTRMFAYFRNHPATWKNAIRHNLSLHKCFVRVESEKGAVWTVDEFEFRKKRSQR PNKCSNPCP >ENSMUSP00000111403.1 pep:known chromosome:GRCm38:X:7580483:7595234:1 gene:ENSMUSG00000039521.12 transcript:ENSMUST00000115738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp3 description:forkhead box P3 [Source:MGI Symbol;Acc:MGI:1891436] MPNPRPAKPMAPSLALGPSPGVLPSWKTAPKGSELLGTRGSGGPFQGRDLRSGAHTSSSL NPLPPSQLQLPTVPLVMVAPSGARLGPSPHLQALLQDRPHFMHQLSTVDAHAQTPVLQVR PLDNPAMISLPPPSAATGVFSLKARPGLPPGINVASLEWVSREPALLCTFPRSGTPRKDS NLLAAPQGSYPLLANGVCKWPGCEKVFEEPEEFLKHCQADHLLDEKGKAQCLLQREVVQS LEQQLELEKEKLGAMQAHLAGKMALAKAPSVASMDKSSCCIVATSTQGSVLPAWSAPREA PDGGLFAVRRHLWGSHGNSSFPEFFHNMDYFKYHNMRPPFTYATLIRWAILEAPERQRTL NEIYHWFTRMFAYFRNHPATWKNAIRHNLSLHKCFVRVESEKGAVWTVDEFEFRKKRSQR PNKCSNPCP >ENSMUSP00000041953.6 pep:known chromosome:GRCm38:X:7586273:7595243:1 gene:ENSMUSG00000039521.12 transcript:ENSMUST00000045566.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp3 description:forkhead box P3 [Source:MGI Symbol;Acc:MGI:1891436] MPNPRPAKPMAPSLALGPSPGVLPSWKTAPKGSELLGTRGSGGPFQGRDLRSGAHTSSSL NPLPPSQLQLPTVPLVMVAPSGARLGPSPHLQALLQDRPHFMHQLSTVDAHAQTPVLQVR PLDNPAMISLPPPSAATGVFSLKARPGLPPGINVASLEWVSREPALLCTFPRSGTPRKDS NLLAAPQGSYPLLANGVCKWPGCEKVFEEPEEFLKHCQADHLLDEKGKAQCLLQREVVQS LEQQLELEKEKLGAMQAHLAGKMALAKAPSVASMDKSSCCIVATSTQGSVLPAWSAPREA PDGGLFAVRRHLWGSHGNSSFPEFFHNMDYFKYHNMRPPFTYATLIRWAILEAPERQRTL NEIYHWFTRMFAYFRNHPATWKNAIRHNLSLHKCFVRVESEKGAVWTVDEFEFRKKRSQR PNKCSNPCP >ENSMUSP00000068380.7 pep:known chromosome:GRCm38:8:91313532:91668439:1 gene:ENSMUSG00000055932.14 transcript:ENSMUST00000069718.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fto description:fat mass and obesity associated [Source:MGI Symbol;Acc:MGI:1347093] MKRVQTAEEREREAKKLRLLEELEDTWLPYLTPKDDEFYQQWQLKYPKLVFREAGSIPEE LHKEVPEAFLTLHKHGCLFRDVVRIQGKDVLTPVSRILIGDPGCTYKYLNTRLFTVPWPV KGCTVKYTEAEIAAACQTFLKLNDYLQVETIQALEELAVREKANEDAVPLCMAEFPRAGV GPSCDDEVDLKSRAAYNVTLLNFMDPQKMPYLKEEPYFGMGKMAVSWHHDENLVDRSAVA VYSYSCEGSEDESEDESSFEGRDPDTWHVGFKISWDIETPGLTIPLHQGDCYFMLDDLNA THQHCVLAGSQPRFSSTHRVAECSTGTLDYILERCQLALQNVLNDSDDGDVSLKSFDPAV LKQGEEIHNEVEFEWLRQFWFQGNRYKLCTDWWCEPMTHLEGLWKKMESMTNAVLREVKR EGLPVEQRSEILSAILVPLTVRQNLRKEWHARCQSRVVRTLPVQQKPDCRPYWEKDDPSM PLPFDLTDVVSELRGQLLEARS >ENSMUSP00000127680.1 pep:known chromosome:GRCm38:8:91387736:91409840:1 gene:ENSMUSG00000055932.14 transcript:ENSMUST00000166548.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fto description:fat mass and obesity associated [Source:MGI Symbol;Acc:MGI:1347093] MCSVKPYRRTLAQKLRLLEELEDTWLPYLTPKDDEFYQQWQLKYPKLVFREAGSIPEELH KEVPEAFLTLHKHGCLFRDVVRIQGKDVLTPVSRILIGDPGCTYKYLNTRLFTVPWPVKG CTVKYTEAEIAAACQTFLKLNDYLQVETIQALEELAVREKANEDAVPLCMAEFPRAGVGP SCDDEVDLKSRAAYNVTLLNFMDPQKMPYLKEEPYFGMGKMAVSWHHDENLVDRSAVAVY SYSCE >ENSMUSP00000123142.1 pep:known chromosome:GRCm38:8:91390555:91409464:1 gene:ENSMUSG00000055932.14 transcript:ENSMUST00000149913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fto description:fat mass and obesity associated [Source:MGI Symbol;Acc:MGI:1347093] MRGSRTMWLCRRSSADRWSTDCVVWVHSQAGPSSSCLLLNIITKLRLLEELEDTWLPYLT PKDDEFYQQWQLKYPKLVFREAGSIPEELHKEVPEAFLTLHKHGCLFRDVVRIQGKDVLT PVSRILIGDPGCTYKYLNTRLFTVPWPVKG >ENSMUSP00000046522.2 pep:known chromosome:GRCm38:13:27259436:27276660:1 gene:ENSMUSG00000038883.2 transcript:ENSMUST00000049463.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl3a1 description:prolactin family 3, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1914250] MQPVLSQPCSWMFQVLLVSNLLLWENVYSMPMCFDMEGYNEITIEELFDSAIFMAQYISN LTTQMSEEFDANFVHSLGYKARNSSNCHTTSLATPASTEQIQQTQSDVLLKMVISISRAW YHPLKQLVRALATLEGACKTILLKVIEVKETNQEILGELKAILIRVHPGAEENVYAAWMG LADVKSADDNTRYFALSNILHCLDSDTNKVATYLEALKCRIIHHNNC >ENSMUSP00000113838.1 pep:known chromosome:GRCm38:17:43474324:43502812:-1 gene:ENSMUSG00000023914.16 transcript:ENSMUST00000117137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mep1a description:meprin 1 alpha [Source:MGI Symbol;Acc:MGI:96963] MLWIQPACLLSLIFSAHIAAVSIKHLLNGSDHDTDVGEQKDIFEINLAAGLNLFQGDILL PRTRNAMRDPSSRWKLPIPYILADNLELNAKGAILHAFEMFRLKSCVDFKPYEGESSYII FQKLSGCWSMIGDQQVGQNISIGEGCDFKATIEHEILHALGFFHEQSRTDRDDYVNIWWD QIITDYEHNFNTYDDNTITDLNTPYDYESLMHYGPFSFNKNESIPTITTKIPEFNTIIGQ LPDFSAIDLIRLNRMYNCTATHTLLDHCDFEKTNVCGMIQGTRDDADWAHGDSSQPEQVD HTLVGQCKGAGYFMFFNTSLGARGEAALLESRILYPKRKQQCLQFFYKMTGSPADRFEVW VRRDDNAGKVRQLAKIQTFQGDSDHNWKIAHVTLNEEKKFRYVFLGTKGDPGNSSGGIYL DDITLTETPCPAGVWTIRNISQILENTVKGDKLVSPRFYNSEGYGVGVTLYPNGRITSNS GFLGLTFHLYSGDNDAILEWPVENRQAIMTILDQEADTRNRMSLTLMFTTSKNQTSSAIN GSVIWDRPSKVGVYDKDCDCFRSLDWGWGQAISHQLLKRRNFLKGDSLIIFVDFKDLTHL NRTEVPASARSTMPRGLLLQGQESPALGESSRKAMLEESLPSSLGQRHPSRQKRSVENTG PMEDHNWPQYFRDPCDPNPCQNEGTCVNVKGMASCRCVSGHAFFYAGERCQAMHVHGSLL GLLIGCIAGLIFLTFVTFSTTNGKLRQ >ENSMUSP00000024707.8 pep:known chromosome:GRCm38:17:43474331:43502773:-1 gene:ENSMUSG00000023914.16 transcript:ENSMUST00000024707.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mep1a description:meprin 1 alpha [Source:MGI Symbol;Acc:MGI:96963] MARRLGRSSSFAIMLWIQPACLLSLIFSAHIAAVSIKHLLNGSDHDTDVGEQKDIFEINL AAGLNLFQGDILLPRTRNAMRDPSSRWKLPIPYILADNLELNAKGAILHAFEMFRLKSCV DFKPYEGESSYIIFQKLSGCWSMIGDQQVGQNISIGEGCDFKATIEHEILHALGFFHEQS RTDRDDYVNIWWDQIITDYEHNFNTYDDNTITDLNTPYDYESLMHYGPFSFNKNESIPTI TTKIPEFNTIIGQLPDFSAIDLIRLNRMYNCTATHTLLDHCDFEKTNVCGMIQGTRDDAD WAHGDSSQPEQVDHTLVGQCKGAGYFMFFNTSLGARGEAALLESRILYPKRKQQCLQFFY KMTGSPADRFEVWVRRDDNAGKVRQLAKIQTFQGDSDHNWKIAHVTLNEEKKFRYVFLGT KGDPGNSSGGIYLDDITLTETPCPAGVWTIRNISQILENTVKGDKLVSPRFYNSEGYGVG VTLYPNGRITSNSGFLGLTFHLYSGDNDAILEWPVENRQAIMTILDQEADTRNRMSLTLM FTTSKNQTSSAINGSVIWDRPSKVGVYDKDCDCFRSLDWGWGQAISHQLLKRRNFLKGDS LIIFVDFKDLTHLNRTEVPASARSTMPRGLLLQGQESPALGESSRKAMLEESLPSSLGQR HPSRQKRSVENTGPMEDHNWPQYFRDPCDPNPCQNEGTCVNVKGMASCRCVSGHAFFYAG ERCQAMHVHGSLLGLLIGCIAGLIFLTFVTFSTTNGKLRQ >ENSMUSP00000145752.1 pep:known chromosome:GRCm38:7:127027729:127028957:-1 gene:ENSMUSG00000030677.8 transcript:ENSMUST00000205754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif22 description:kinesin family member 22 [Source:MGI Symbol;Acc:MGI:109233] XSNQIQLLKKGPKRKLEPSPESEAVEKDEDYWEVQISPELLAHGRKKLLDLLNEGSAREL RSLQRIGQKKAQLIVGWRELHGPFSEVEDLEQVEGISGKQVESFLKVRPPLPFLHGVPPP QPTLGCYANARSSSLQANLLSLAASQHSGPS >ENSMUSP00000032915.6 pep:known chromosome:GRCm38:7:127027731:127042471:-1 gene:ENSMUSG00000030677.8 transcript:ENSMUST00000032915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif22 description:kinesin family member 22 [Source:MGI Symbol;Acc:MGI:109233] MSLRAKTCPQRREMASATSGPGRCVSKGGLGRRPPLARVRVAVRLRPFMDGETEAKELPC VRAIDSCSLEVANWKKYQETLKYQFDAFYGEKSTQQEVYVGSVQPILRHLLEGQNASVLA YGPTGAGKTHTMLGSPEQPGVIPRALMDLLQLAREESAEGRPWDVSVAMSYLEIYQEKVL DLLDPASGDLVIREDCRGNILIPGLTQKPITSFSDFEQHFLPASRNRAVGATRLNQRSSR SHAVLLVKVDQRERLTPFRQREGKLYLIDLAGSEDNRRTGNQGIRLKESGAINTSLFVLG KVVDALNQGLPRIPYRDSKLTRLLQDSLGGSAHSILIANIAPERRFYQDTISALNFTARS KEVINRPFTNESLQPHALAPVKLSQKELLGPSEAKKAKGPEEESTGSPESTAAPASASQK LSLLQKLSNMDPAMLENLLSMERLLGSQGSQGTPLLNTPKRERMVLMKTVEEKNLEIERL KMKQKELEAKVLAQEAPDPREKENTPTILQPPASYSGTVAKPLKKAVVMPLQRIQKQRES SNQIQLLKKGPKRKLEPSPESEAVEKDEDYWEVQISPELLAHGRKKLLDLLNEGSARELR SLQRIGQKKAQLIVGWRELHGPFSEVEDLEQVEGISGKQVESFLKANLLSLAASQHSGPS >ENSMUSP00000145987.1 pep:known chromosome:GRCm38:7:127033585:127042406:-1 gene:ENSMUSG00000030677.8 transcript:ENSMUST00000205806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif22 description:kinesin family member 22 [Source:MGI Symbol;Acc:MGI:109233] MDGETEAKELPCVRAIDSCSLEVANWKKYQETLKYQFDAFYGEKSTQQEVYVGSVQPILR HLLEGQNASVLAYGPTGAGKTHTMLGSPEQPGVIPRALMDLLQLAREESAEGRPWDVSVA MSYLEIYQEKVLDLLDPASGDLVIREDCRGNILIPGLTQKP >ENSMUSP00000112519.1 pep:known chromosome:GRCm38:5:119670862:119684724:1 gene:ENSMUSG00000018604.18 transcript:ENSMUST00000121021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx3 description:T-box 3 [Source:MGI Symbol;Acc:MGI:98495] MSLSMRDPVIPGTSMAYHPFLPHRAPDFAMSAVLGHQPPFFPALTLPPNGAAALSLPGAL AKPIMDQLVGAAETGIPFSSLGPQAHLRPLKTMEPEEDVEDDPKVHLEAKELWDQFHKRG TEMVITKSGRRMFPPFKVRCSGLDKKAKYILLMDIIAADDCRYKFHNSRWMVAGKADPEM PKRMYIHPDSPATGEQWMSKVVTFHKLKLTNNISDKHGFTILNSMHKYQPRFHIVRANDI LKLPYSTFRTYLFPETEFIAVTAYQNDKITQLKIDNNPFAKGFRDTGNGRREKRKQLTLQ SMRVFEERHKKETSDESSSEQAAFNCFAQASSPAVSIVGTSNLKDLCPSEAESDAEAESK EEHGPEACDAAKISTTTAEEPGRDKGSPATRAQLFPAEPSRARDTARLDKASPDSRHSPA TISSSTRVPGADERRSPGREGPVATKVDEARAIPAKDAFAPLSVQTDATAHLAQGPLPGL GFAPGLAGQQFFNGHPLFLHPGQFAMGGAFSSMAAGMGPLLATVSGASTGVSGLESTAMA SAAAAQGLSGASAATLPFHLQQHVLASQGLAMSPFGSLFPYPYTYMAAAAAASTAAASSS VHRHPFLNLNSMRPRLRYSPYSIPVPVPDSSSLLATALPSMASAAGPLDGKAAALAASPA SVAVDSGSELNSRSSTLSSGSVSLSPKLCSEKEAATSELQSIQRLVSGLEAKPDRSCSGS P >ENSMUSP00000018748.8 pep:known chromosome:GRCm38:5:119671018:119684724:1 gene:ENSMUSG00000018604.18 transcript:ENSMUST00000018748.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx3 description:T-box 3 [Source:MGI Symbol;Acc:MGI:98495] MSLSMRDPVIPGTSMAYHPFLPHRAPDFAMSAVLGHQPPFFPALTLPPNGAAALSLPGAL AKPIMDQLVGAAETGIPFSSLGPQAHLRPLKTMEPEEDVEDDPKVHLEAKELWDQFHKRG TEMVITKSGRRMFPPFKVRCSGLDKKAKYILLMDIIAADDCRYKFHNSRWMVAGKADPEM PKRMYIHPDSPATGEQWMSKVVTFHKLKLTNNISDKHGFTLAFPSDHATWQGNYSFGTQT ILNSMHKYQPRFHIVRANDILKLPYSTFRTYLFPETEFIAVTAYQNDKITQLKIDNNPFA KGFRDTGNGRREKRKQLTLQSMRVFEERHKKETSDESSSEQAAFNCFAQASSPAVSIVGT SNLKDLCPSEAESDAEAESKEEHGPEACDAAKISTTTAEEPGRDKGSPATRAQLFPAEPS RARDTARLDKASPDSRHSPATISSSTRVPGADERRSPGREGPVATKVDEARAIPAKDAFA PLSVQTDATAHLAQGPLPGLGFAPGLAGQQFFNGHPLFLHPGQFAMGGAFSSMAAGMGPL LATVSGASTGVSGLESTAMASAAAAQGLSGASAATLPFHLQQHVLASQGLAMSPFGSLFP YPYTYMAAAAAASTAAASSSVHRHPFLNLNSMRPRLRYSPYSIPVPVPDSSSLLATALPS MASAAGPLDGKAAALAASPASVAVDSGSELNSRSSTLSSGSVSLSPKLCSEKEAATSELQ SIQRLVSGLEAKPDRSCSGSP >ENSMUSP00000078657.4 pep:known chromosome:GRCm38:5:119670669:119684561:1 gene:ENSMUSG00000018604.18 transcript:ENSMUST00000079719.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx3 description:T-box 3 [Source:MGI Symbol;Acc:MGI:98495] MSLSMRDPVIPGTSMAYHPFLPHRAPDFAMSAVLGHQPPFFPALTLPPNGAAALSLPGAL AKPIMDQLVGAAETGIPFSSLGPQAHLRPLKTMEPEEDVEDDPKVHLEAKELWDQFHKRG TEMVITKSGRRMFPPFKVRCSGLDKKAKYILLMDIIAADDCRYKFHNSRWMVAGKADPEM PKRMYIHPDSPATGEQWMSKVVTFHKLKLTNNISDKHGFTILNSMHKYQPRFHIVRANDI LKLPYSTFRTYLFPETEFIAVTAYQNDKITQLKIDNNPFAKGFRDTGNGRREKRKQLTLQ SMRVFEERHKKETSDESSSEQAAFNCFAQASSPAVSIVGTSNLKDLCPSEAESDAEAESK EEHGPEACDAAKISTTTAEEPGRDKGSPATRAQLFPAEPSRARDTARLDKASPDSRHSPA TISSSTRVPGADERRSPGREGPVATKVDEARAIPAKDAFAPLSVQTDATAHLAQGPLPGL GFAPGLAGQQFFNGHPLFLHPGQFAMGGAFSSMAAGMGPLLATVSGASTGVSGLESTAMA SAAAAQGLSGASAATLPFHLQQHVLASQGLAMSPFGSLFPYPYTYMAAAAAASTAAASSS VHRHPFLNLNSMRPRLRYSPYSIPVPVPDSSSLLATALPSMASAAGPLDGKAAALAASPA SVAVDSGSELNSRSSTLSSGSVSLSPKLCSEKEAATSELQSIQRLVSGLEAKPDRSCSGS P >ENSMUSP00000023507.6 pep:known chromosome:GRCm38:16:38089001:38246084:1 gene:ENSMUSG00000022812.13 transcript:ENSMUST00000023507.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsk3b description:glycogen synthase kinase 3 beta [Source:MGI Symbol;Acc:MGI:1861437] MSGRPRTTSFAESCKPVQQPSAFGSMKVSRDKDGSKVTTVVATPGQGPDRPQEVSYTDTK VIGNGSFGVVYQAKLCDSGELVAIKKVLQDKRFKNRELQIMRKLDHCNIVRLRYFFYSSG EKKDEVYLNLVLDYVPETVYRVARHYSRAKQTLPVIYVKLYMYQLFRSLAYIHSFGICHR DIKPQNLLLDPDTAVLKLCDFGSAKQLVRGEPNVSYICSRYYRAPELIFGATDYTSSIDV WSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIREMNPNYTEFKFPQIKAHP WTKVFRPRTPPEAIALCSRLLEYTPTARLTPLEACAHSFFDELRDPNVKLPNGRDTPALF NFTTQELSSNPPLATILIPPHARIQAAASPPANATAASDTNAGDRGQTNNAASASASNST >ENSMUSP00000110398.1 pep:known chromosome:GRCm38:16:38090288:38240779:1 gene:ENSMUSG00000022812.13 transcript:ENSMUST00000114750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsk3b description:glycogen synthase kinase 3 beta [Source:MGI Symbol;Acc:MGI:1861437] MSGRPRTTSFAESCKPVQQPSAFGSMKVSRDKDGSKVTTVVATPGQGPDRPQEVSYTDTK VIGNGSFGVVYQAKLCDSGELVAIKKVLQDKRFKNRELQIMRKLDHCNIVRLRYFFYSSG EKKDEVYLNLVLDYVPETVYRVARHYSRAKQTLPVIYVKLYMYQLFRSLAYIHSFGICHR DIKPQNLLLDPDTAVLKLCDFGSAKQLVRGEPNVSYICSRYYRAPELIFGATDYTSSIDV WSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIREMNPNYTEFKFPQIKAHP WTKDSPGAGHFTPGVRVFRPRTPPEAIALCSRLLEYTPTARLTPLEACAHSFFDELRDPN VKLPNGRDTPALFNFTTQELSSNPPLATILIPPHARIQAAASPPANATAASDTNAGDRGQ TNNAASASASNST >ENSMUSP00000090585.5 pep:known chromosome:GRCm38:11:75298166:75348324:-1 gene:ENSMUSG00000000751.13 transcript:ENSMUST00000092907.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpa1 description:replication protein A1 [Source:MGI Symbol;Acc:MGI:1915525] MVGHLSEGAIEVMIQQENTSIKPILQVINIRPISTGNRSPRYRLLMSDGLNTLSSFMLAT QLNTLVEGGQLASNCVCQVHKFIVNTLKDGRKVVVLMDLEVMKSAEDVGLKIGNPVPYNE GYGQQQQQQQQQQQQAVPSPASAATPPASKPQPQNGSLGMGSTAAKAYGASKPFGKPAGT GLLQPSGGTQSKVVPIASLTPYQSKWTICARVTNKSQIRTWSNSRGEGKLFSLELVDESG EIRATAFNEQVDKFFPLIEVNKVYYFSKGALKIANKQFSAVKNDYEMTFNNETSVLPCED GHHLPTVQFDFTGIGDLESKAKDALVDIIGICKSYEDSIKITVKSNNREVAKRNIYLMDM SGKVVTTTLWGEDADKFDGSRQPVMAIKGARVSDFGGRSLSVLSSSTVIVNPDIPEAYKL RGWFDSEGQALDGVSISDHRSGGAGGGNTNWKTLHEAKSENLGQGDKADYFSTVAAVVFL RKENCMYQACPTQDCNKKVIDQQNGLYRCEKCDREFPNFKYRMILSANIADFQENQWVTC FQESAEAILGQNTMYLGELKEKNEQAFEEVFQNANFRSFTFRIRVKLETYNDESRIKATV MDVKPVDFRDYGRRLIANIRKNM >ENSMUSP00000000767.5 pep:known chromosome:GRCm38:11:75300350:75348310:-1 gene:ENSMUSG00000000751.13 transcript:ENSMUST00000000767.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpa1 description:replication protein A1 [Source:MGI Symbol;Acc:MGI:1915525] MVGHLSEGAIEVMIQQENTSIKPILQVINIRPISTGNRSPRYRLLMSDGLNTLSSFMLAT QLNTLVEGGQLASNCVCQVHKFIVNTLKDGRMQPKPHGNPYGEQSVLRKLSQKVVVLMDL EVMKSAEDVGLKIGNPVPYNEGYGQQQQQQQQQQQQAVPSPASAATPPASKPQPQNGSLG MGSTAAKAYGASKPFGKPAGTGLLQPSGGTQSKVVPIASLTPYQSKWTICARVTNKSQIR TWSNSRGEGKLFSLELVDESGEIRATAFNEQVDKFFPLIEVNKVYYFSKGALKIANKQFS AVKNDYEMTFNNETSVLPCEDGHHLPTVQFDFTGIGDLESKAKDALVDIIGICKSYEDSI KITVKSNNREVAKRNIYLMDMSGKVVTTTLWGEDADKFDGSRQPVMAIKGARVSDFGGRS LSVLSSSTVIVNPDIPEAYKLRGWFDSEGQALDGVSISDHRSGGAGGGNTNWKTLHEAKS ENLGQGDKADYFSTVAAVVFLRKENCMYQACPTQDCNKKVIDQQNGLYRCEKCDREFPNF KYRMILSANIADFQENQWVTCFQESAEAILGQNTMYLGELKEKNEQAFEEVFQNANFRSF TFRIRVKLETYNDESRIKATVMDVKPVDFRDYGRRLIANIRKNM >ENSMUSP00000015894.5 pep:known chromosome:GRCm38:3:95893969:95898288:1 gene:ENSMUSG00000015750.15 transcript:ENSMUST00000015894.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aph1a description:aph1 homolog A, gamma secretase subunit [Source:MGI Symbol;Acc:MGI:2385110] MGAAVFFGCTFVAFGPAFSLFLITVAGDPLRVIILVAGAFFWLVSLLLASVVWFILVHVT DRSDARLQYGLLIFGAAVSVLLQEVFRFAYYKLLKKADEGLASLSEDGRSPISIRQMAYV SGLSFGIISGVFSVINILADALGPGVVGIHGDSPYYFLTSAFLTAAIILLHTFWGVVFFD ACERRRYWALGLVVGSHLLTSGLTFLNPWYEASLLPIYAVTVSMGLWAFITAGGSLRSIQ RSLSCKD >ENSMUSP00000142972.1 pep:known chromosome:GRCm38:3:95894033:95894991:1 gene:ENSMUSG00000015750.15 transcript:ENSMUST00000197081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aph1a description:aph1 homolog A, gamma secretase subunit [Source:MGI Symbol;Acc:MGI:2385110] MGAAVFFGCTFVAFGPAFSLFLITVAGDPLRVIILVAGKCSVLLTTSSL >ENSMUSP00000058846.8 pep:known chromosome:GRCm38:3:95894055:95897445:1 gene:ENSMUSG00000015750.15 transcript:ENSMUST00000056710.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aph1a description:aph1 homolog A, gamma secretase subunit [Source:MGI Symbol;Acc:MGI:2385110] MGAAVFFGCTFVAFGPAFSLFLITVAGDPLRVIILVAGAFFWLVSLLLASVVWFILVHVT DRSDARLQYGLLIFGAAVSVLLQEVFRFAYYKLLKKADEGLASLSEDGRSPISIRQMAYV SGLSFGIISGVFSVINILADALGPGVVGIHGDSPYYFLTSAFLTAAIILLHTFWGVVFFD ACERRRYWALGLVVGSHLLTSGLTFLNPWYEASLLPIYAVTVSMGLWAFITAGGSLRSIQ RSLSCRRQEDSRVMVYSALRIPPED >ENSMUSP00000144321.1 pep:known chromosome:GRCm38:5:30950853:30959234:-1 gene:ENSMUSG00000045302.13 transcript:ENSMUST00000201821.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Preb description:prolactin regulatory element binding [Source:MGI Symbol;Acc:MGI:1355326] XEKSGSKEQGPRQRKGAPPAEKKSGAQVHPEGVELKVKNLEAVQTDFSNEPLQKVVCFNH DNTLLATGGTDGHVRVWKGVFLYGFCSCCVSALLL >ENSMUSP00000074387.5 pep:known chromosome:GRCm38:5:30950853:30960361:-1 gene:ENSMUSG00000045302.13 transcript:ENSMUST00000074840.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Preb description:prolactin regulatory element binding [Source:MGI Symbol;Acc:MGI:1355326] MGRRRGVELYRAPFPLYALRIDPKTGLLIAAGGGGAAKTGIKNGVHFLQLELINGCLSAS LLHSHDTETRATMNLALAGDILAAGQDAQCQLLRFQVHQQKGSKAEKSGSKEQGPRQRKG APPAEKKSGAQVHPEGVELKVKNLEAVQTDFSNEPLQKVVCFNHDNTLLATGGTDGHVRV WKVPSLEKVLEFKAHEGEIGDLTLGPDGKLVTVGWDFKASVWQKDQLVTQLQWQENGPAS SNTPYRYQACRFGQVPDQLGGLRLFTVQIPHKRLRQPPPCYLTAWDSSTFLPLRTRSCGH EVISCLSVSDSGTFLGLGTVTGSVAIYIAFSLQRLYYVKEAHGIVVTDVTFLPEKGCGPK LLGPHETALFSVAVDSRCQLHLLPSRRSVPVWLLLLLCVGLIIVTILLLQTAFPGFL >ENSMUSP00000143990.1 pep:known chromosome:GRCm38:5:30954998:30958741:-1 gene:ENSMUSG00000045302.13 transcript:ENSMUST00000201002.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Preb description:prolactin regulatory element binding [Source:MGI Symbol;Acc:MGI:1355326] XDGHVRVWKVPSLEKVLEFKAHEGEIGDLTLGPDGKLVTVGWDFKASVWQKDQLVTQLQW QENGPASSNTPYRYQACRFGQVPDQLGGLRLFTVQIPHKRLRQPPPCYLTAWDSSTFLPL RTRSCGHEVISCLSVRECSCMASAPAVCRPYYCDHPAAPDCLPGISLTSRAMGISLGQCR LPEQNH >ENSMUSP00000144263.1 pep:known chromosome:GRCm38:5:30955043:30960280:-1 gene:ENSMUSG00000045302.13 transcript:ENSMUST00000202567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Preb description:prolactin regulatory element binding [Source:MGI Symbol;Acc:MGI:1355326] MGRRRGVELYRAPFPLYALRIDPKTGLLIAAGGGGAAKTGIKNGVHFLQLELINGCLSAS LLHSHDTETRATMNLALAGDILAAGQDAQCQLLRFQVHQQKGSKAEKSGSKEQGPRQRKG APPAEKKSGAQVHPEGVELKVKNLEAVQTDFSNEPLQKVVCFNHDNTLLATGGTDGHVRV WKVPSLEKVLEFKAHEGEIGDLTLGPDGKLVTVGWDFKASVWQKDQLVTQLQWQENGPAS SNTPYRYQACRFGQVPDQLGGLRLFTVQIPHKRLRQPPPCYLTAWDSSTFLPLRTRSCGH EVISCLSVSDSGTFLGLGTVTGSVAIYIAFSLQGVFLYGFCSCCVSALLL >ENSMUSP00000041919.8 pep:known chromosome:GRCm38:8:78213342:78394326:1 gene:ENSMUSG00000037101.16 transcript:ENSMUST00000049395.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc29 description:tetratricopeptide repeat domain 29 [Source:MGI Symbol;Acc:MGI:1920551] MATFPPLPMTHTRLAILARQKLPCSSKKIPRAQLIKEKEDIDYYLEQNFKGLSKEEVAAH RNSYKKSICVDMLRDGFHKSFTELFALMEQWDKLREAAKAQSLFWQQRPLEDQPDKLDNF YHYLTRAEAAERKGYYEEVYNNLYALACYFDNSEDKWVRNHFYERCFNIAQLIKADGGKK EAEAESHMGLLFEEEGELLKAAEHYEAFHELTHGRLWKDGTGQLLNLVACESLVRTYRLL SDRMLENKDYKQAIKILIKASEIAREGNDRSMEGEASYYLGLAHLASGEYETALTVLNRY SEISTSLDDDHGLGRAYEAIAKALQSQGETTEAINYLEKFVTIARNNLQSLDMIRACTML GDIYNEKGQYSKASEYFQQAFSTAMELMKTALMDETKVHYGIARAHQMMLAMKGYIESAD SNGLNCLLSWKETRTQIEYDPILGESRRATEDNIYQLPDAEEETRRSPENQ >ENSMUSP00000112445.1 pep:known chromosome:GRCm38:8:78213297:78220975:1 gene:ENSMUSG00000037101.16 transcript:ENSMUST00000117845.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc29 description:tetratricopeptide repeat domain 29 [Source:MGI Symbol;Acc:MGI:1920551] MATFPPLPMTHTRLAILARQKLPCSSKKIPRAQLIKEKEDIDYYLEQNFKGLSKEEVAA >ENSMUSP00000122383.1 pep:known chromosome:GRCm38:8:78213302:78220833:1 gene:ENSMUSG00000037101.16 transcript:ENSMUST00000126172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc29 description:tetratricopeptide repeat domain 29 [Source:MGI Symbol;Acc:MGI:1920551] MATFPPLPMTHTRLAILARQKLPCSSKKIPRAQLIKEKEDIDYYLEQNFKGLSKEEVAA >ENSMUSP00000105528.2 pep:known chromosome:GRCm38:8:78218794:78393683:1 gene:ENSMUSG00000037101.16 transcript:ENSMUST00000109902.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc29 description:tetratricopeptide repeat domain 29 [Source:MGI Symbol;Acc:MGI:1920551] MATFPPLPMTHTRLAILARQKLPCSSKKIPRAQLIKEKEDIDYYLEQNFKGLSKEEVAAH RNSYKKSICVDMLRDGFHKSFTELFALMEQWDKLREAAKAQSLFWQQRPLEDQPDKLDNF YHYLTRAEAAERKGYYEEVYNNLYALACYFDNSEDKWVRNHFYERCFNIAQLIKADGGKK EAEAESHMGLLFEEEGELLKAAEHYEAFHELTHGRLWKDGTGQLLNLVACESLVRTYRLL SDRMLENKDYKQAIKILIKASEIAREGNDRSMEGEASYYLGLAHLASGEYETALTVLNRY SEISTSLDDDHGLGRAYEAIAKALQSQGETTEAINYLEKFVTIARNNLQSLDMIRACTML GDIYNEKGQYSKASEYFQQAFSTAMELMKTALMDETKVHYGIARAHQMMLAMKGYIESAD SNGLNCLLSWKETRTQIEYDPILGESRRATEDNIYQLPDAEEETRRSPENQ >ENSMUSP00000111215.2 pep:known chromosome:GRCm38:X:9250928:9256899:-1 gene:ENSMUSG00000079694.2 transcript:ENSMUST00000115553.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14862 description:predicted gene 14862 [Source:MGI Symbol;Acc:MGI:3705234] MRLPAILAFSEKKLRVTKAMTSNIRTMTTPTPLCVSWKKGSVFKQQCMGDLERRGTSGQV HSLSRWSRQALVASCNSAPVP >ENSMUSP00000064516.2 pep:known chromosome:GRCm38:X:9199902:9268085:1 gene:ENSMUSG00000047344.9 transcript:ENSMUST00000069763.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lancl3 description:LanC lantibiotic synthetase component C-like 3 (bacterial) [Source:MGI Symbol;Acc:MGI:2443335] MDTKRCFANRFDDYQGSLLAGQCEEAVAPLVTSTIERILQELPPLGGGAEARGATGAGSS CQGGLYSGVAGVAYMLYHVSQSPLFAAARERYLRFAKRLIDACLRAEEWGETDADTRAAF LLGGAGVYAVATLVYHALGRPDYVQPLGKFRALCAVCAPVSFLDCGSDELFVGRAGYLCA ALVLKQKLAQEVLTPTQIKAICQAILDSGKQYALKKRKPFPLMYSYYGTEYLGAAHGLSS ILQMLLSYQEHLKPSDRELVWQSVDFLMEQEQNCNWPPELGETIERENELVHWCHGAPGI AYLFAKAYLISKKPQYLDTCIRCGELTWQKGLLKKGPGICHGVAGSAYVFLLLYRLTGNS KYIYRAQRFAQFLFTEEFKSGSRVLESIYSLYEGFSGTVCFLIDLLQPNQAEFPLFSVFV >ENSMUSP00000112534.1 pep:known chromosome:GRCm38:1:156678532:156719072:-1 gene:ENSMUSG00000033557.17 transcript:ENSMUST00000122424.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam20b description:family with sequence similarity 20, member B [Source:MGI Symbol;Acc:MGI:2443990] MKLKQRVVLLAILLVIFIFTKVFLIDNLDTSAANREDQRAFHRMMTGLRVELVPKLDHTL QSPWEIAAQWVVPREVYPEETPELGAIMHAMATKKIIKADVGYKGTQLKALLILEGGQKV VFKPKRYSRDYVVEGEPYAGYDRHNAEVAAFHLDRILGFRRAPLVVGRYVNLRTEVKPVA TEQLLSTFLTVGNNTCFYGKCYYCRETEPACADGDMMEGSVTLWLPDVWPLQKHRHPWGR TYREGKLARWEYDESYCDAVKKTSPYDSGPRLLDIIDTAVFDYLIGNADRHHYESFQDDE GASMLILLDNAKSFGNPSLDERSILAPLYQCCIIRVSTWNRLNYLKNGVLKSALKSAMAH DPISPVLSDPHLDTVDQRLLNVLATIKQCTDQFGTDTVLVEDRMPLSHL >ENSMUSP00000083322.6 pep:known chromosome:GRCm38:1:156678571:156719086:-1 gene:ENSMUSG00000033557.17 transcript:ENSMUST00000086153.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam20b description:family with sequence similarity 20, member B [Source:MGI Symbol;Acc:MGI:2443990] MKLKQRVVLLAILLVIFIFTKVFLIDNLDTSAANREDQRAFHRMMTGLRVELVPKLDHTL QSPWEIAAQWVVPREVYPEETPELGAIMHAMATKKIIKADVGYKGTQLKALLILEGGQKV VFKPKRYSRDYVVEGEPYAGYDRHNAEVAAFHLDRILGFRRAPLVVGRYVNLRTEVKPVA TEQLLSTFLTVGNNTCFYGKCYYCRETEPACADGDMMEGSVTLWLPDVWPLQKHRHPWGR TYREGKLARWEYDESYCDAVKKTSPYDSGPRLLDIIDTAVFDYLIGNADRHHYESFQDDE GASMLILLDNAKSFGNPSLDERSILAPLYQCCIIRVSTWNRLNYLKNGVLKSALKSAMAH DPISPVLSDPHLDTVDQRLLNVLATIKQCTDQFGTDTVLVEDRMPLSHL >ENSMUSP00000071719.4 pep:known chromosome:GRCm38:5:113735803:113740600:1 gene:ENSMUSG00000053334.6 transcript:ENSMUST00000065698.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ficd description:FIC domain containing [Source:MGI Symbol;Acc:MGI:1098550] MILMPMASVVAVAEPKWVSVWGRFLWMALLSMALGSLLALLLPLGVVEEHCLAVLRGFHL LRSKLDRAQPVVPKCTSLCTELSVSSRDAGLLTVKTTASPAGKLEAKAALNQALEMKRQG KRGKAHKLFLHALKMDPGFVDALNEFGIFSEEDKDIIQADYLYTRALTISPFHEKALVNR DRTLPLVEEIDQRYFSVIDSKVKKVMSIPKGSSALRRVMEETYYHHIYHTVAIEGNTLTL SEIRHILETRYAVPGKSLEEQNEVIGMHAAMKYINTTLVSRIGSVTMDDMLEIHRRVLGY VDPVEAGRFRRTQVLVGHHIPPHPRDVEKQMQEFTQWLNSEDAMNLHPVEFAALAHYKLV YIHPFIDGNGRTSRLLMNLILMQAGYPPITIRKEQRSEYYHVLEVANEGDVRPFIRFIAK CTEVTLDTLLLATTEYSVALPEAQPNHSGFKETLPVRP >ENSMUSP00000069772.2 pep:known chromosome:GRCm38:4:35707406:35845204:-1 gene:ENSMUSG00000045083.14 transcript:ENSMUST00000065173.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lingo2 description:leucine rich repeat and Ig domain containing 2 [Source:MGI Symbol;Acc:MGI:2442298] MLHTAIPCWQPFLGLAVVLLLMGSTIGCPARCECSAQNKSVSCHRRRLLAIPEGIPIETK ILDLSKNRLKSINPEEFISYPLLEEIDLSDNIIANVEPGAFNNLFNLRSLRLKGNRLKLV PLGVFTGLSNLTKLDISENKIVILLDYMFQDLHNLKSLEVGDNDLVYISHRAFSGLLSLE QLTLEKCNLTAVPTEALSHLRSLIALHLKHLNINNMPVYAFKRLFHLKNLEIDYWPLLDL MPANSLYGLNLTSLSITNTNLSTVPFLAFKHLVYLTHLNLSYNPISTIEAGMFSDLIRLQ ELHIVGAQLRTIEPHSFQGLRFLRVLNVSQNLLETLEENVFSSPRALEVLSINNNPLACD CRLLWLLQRQPNLQFGGQQPMCAGPDTIRERSFKDFHSTALSFYFTCKKPKIREKKLQHL LVDEGQTVQLECNADGDPQPVISWVTPRRRFITTKSNGRATVLGDGTLEIRFAQDQDSGM YVCIASNAAGNDTFTASLTVKGFTSDRFLYANRTPMYMTDSNDTVSNGTNANTFSLDLKT ILVSTAMGCFTFLGVVLFCFLLLFVWSRGKGKHKNSIDLEYVPRKNNGAVVEGEVAGPRR FNMKMI >ENSMUSP00000095754.2 pep:known chromosome:GRCm38:4:35707406:36136463:-1 gene:ENSMUSG00000045083.14 transcript:ENSMUST00000098151.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lingo2 description:leucine rich repeat and Ig domain containing 2 [Source:MGI Symbol;Acc:MGI:2442298] MLHTAIPCWQPFLGLAVVLLLMGSTIGCPARCECSAQNKSVSCHRRRLLAIPEGIPIETK ILDLSKNRLKSINPEEFISYPLLEEIDLSDNIIANVEPGAFNNLFNLRSLRLKGNRLKLV PLGVFTGLSNLTKLDISENKIVILLDYMFQDLHNLKSLEVGDNDLVYISHRAFSGLLSLE QLTLEKCNLTAVPTEALSHLRSLIALHLKHLNINNMPVYAFKRLFHLKNLEIDYWPLLDL MPANSLYGLNLTSLSITNTNLSTVPFLAFKHLVYLTHLNLSYNPISTIEAGMFSDLIRLQ ELHIVGAQLRTIEPHSFQGLRFLRVLNVSQNLLETLEENVFSSPRALEVLSINNNPLACD CRLLWLLQRQPNLQFGGQQPMCAGPDTIRERSFKDFHSTALSFYFTCKKPKIREKKLQHL LVDEGQTVQLECNADGDPQPVISWVTPRRRFITTKSNGRATVLGDGTLEIRFAQDQDSGM YVCIASNAAGNDTFTASLTVKGFTSDRFLYANRTPMYMTDSNDTVSNGTNANTFSLDLKT ILVSTAMGCFTFLGVVLFCFLLLFVWSRGKGKHKNSIDLEYVPRKNNGAVVEGEVAGPRR FNMKMI >ENSMUSP00000103757.1 pep:known chromosome:GRCm38:4:35707406:36951223:-1 gene:ENSMUSG00000045083.14 transcript:ENSMUST00000108122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lingo2 description:leucine rich repeat and Ig domain containing 2 [Source:MGI Symbol;Acc:MGI:2442298] MLHTAIPCWQPFLGLAVVLLLMGSTIGCPARCECSAQNKSVSCHRRRLLAIPEGIPIETK ILDLSKNRLKSINPEEFISYPLLEEIDLSDNIIANVEPGAFNNLFNLRSLRLKGNRLKLV PLGVFTGLSNLTKLDISENKIVILLDYMFQDLHNLKSLEVGDNDLVYISHRAFSGLLSLE QLTLEKCNLTAVPTEALSHLRSLIALHLKHLNINNMPVYAFKRLFHLKNLEIDYWPLLDL MPANSLYGLNLTSLSITNTNLSTVPFLAFKHLVYLTHLNLSYNPISTIEAGMFSDLIRLQ ELHIVGAQLRTIEPHSFQGLRFLRVLNVSQNLLETLEENVFSSPRALEVLSINNNPLACD CRLLWLLQRQPNLQFGGQQPMCAGPDTIRERSFKDFHSTALSFYFTCKKPKIREKKLQHL LVDEGQTVQLECNADGDPQPVISWVTPRRRFITTKSNGRATVLGDGTLEIRFAQDQDSGM YVCIASNAAGNDTFTASLTVKGFTSDRFLYANRTPMYMTDSNDTVSNGTNANTFSLDLKT ILVSTAMGCFTFLGVVLFCFLLLFVWSRGKGKHKNSIDLEYVPRKNNGAVVEGEVAGPRR FNMKMI >ENSMUSP00000103759.3 pep:known chromosome:GRCm38:4:35707843:36056726:-1 gene:ENSMUSG00000045083.14 transcript:ENSMUST00000108124.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lingo2 description:leucine rich repeat and Ig domain containing 2 [Source:MGI Symbol;Acc:MGI:2442298] MLHTAIPCWQPFLGLAVVLLLMGSTIGCPARCECSAQNKSVSCHRRRLLAIPEGIPIETK ILDLSKNRLKSINPEEFISYPLLEEIDLSDNIIANVEPGAFNNLFNLRSLRLKGNRLKLV PLGVFTGLSNLTKLDISENKIVILLDYMFQDLHNLKSLEVGDNDLVYISHRAFSGLLSLE QLTLEKCNLTAVPTEALSHLRSLIALHLKHLNINNMPVYAFKRLFHLKNLEIDYWPLLDL MPANSLYGLNLTSLSITNTNLSTVPFLAFKHLVYLTHLNLSYNPISTIEAGMFSDLIRLQ ELHIVGAQLRTIEPHSFQGLRFLRVLNVSQNLLETLEENVFSSPRALEVLSINNNPLACD CRLLWLLQRQPNLQFGGQQPMCAGPDTIRERSFKDFHSTALSFYFTCKKPKIREKKLQHL LVDEGQTVQLECNADGDPQPVISWVTPRRRFITTKSNGRATVLGDGTLEIRFAQDQDSGM YVCIASNAAGNDTFTASLTVKGFTSDRFLYANRTPMYMTDSNDTVSNGTNANTFSLDLKT ILVSTAMGCFTFLGVVLFCFLLLFVWSRGKGKHKNSIDLEYVPRKNNGAVVEGEVAGPRR FNMKMI >ENSMUSP00000130423.1 pep:known chromosome:GRCm38:4:35706647:35845204:-1 gene:ENSMUSG00000045083.14 transcript:ENSMUST00000164772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lingo2 description:leucine rich repeat and Ig domain containing 2 [Source:MGI Symbol;Acc:MGI:2442298] MLHTAIPCWQPFLGLAVVLLLMGSTIGCPARCECSAQNKSVSCHRRRLLAIPEGIPIETK ILDLSKNRLKSINPEEFISYPLLEEIDLSDNIIANVEPGAFNNLFNLRSLRLKGNRLKLV PLGVFTGLSNLTKLDISENKIVILLDYMFQDLHNLKSLEVGDNDLVYISHRAFSGLLSLE QLTLEKCNLTAVPTEALSHLRSLIALHLKHLNINNMPVYAFKRLFHLKNLEIDYWPLLDL MPANSLYGLNLTSLSITNTNLSTVPFLAFKHLVYLTHLNLSYNPISTIEAGMFSDLIRLQ ELHIVGAQLRTIEPHSFQGLRFLRVLNVSQNLLETLEENVFSSPRALEVLSINNNPLACD CRLLWLLQRQPNLQFGGQQPMCAGPDTIRERSFKDFHSTALSFYFTCKKPKIREKKLQHL LVDEGQTVQLECNADGDPQPVISWVTPRRRFITTKSNGRATVLGDGTLEIRFAQDQDSGM YVCIASNAAGNDTFTASLTVKGFTSDRFLYANRTPMYMTDSNDTVSNGTNANTFSLDLKT ILVSTAMGCFTFLGVVLFCFLLLFVWSRGKGKHKNSIDLEYVPRKNNGAVVEGEVAGPRR FNMKMI >ENSMUSP00000130194.1 pep:known chromosome:GRCm38:19:8706882:8723369:-1 gene:ENSMUSG00000010095.13 transcript:ENSMUST00000170157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc3a2 description:solute carrier family 3 (activators of dibasic and neutral amino acid transport), member 2 [Source:MGI Symbol;Acc:MGI:96955] MDPEPTEHSTDGVSVPRQPPSAQTGLDVQVVSAAGDSGTMSQDTEVDMKDVELNELEPEK QPMNAADGAAAGEKNGLVKIKVAEDETEAGVKFTGLSKEELLKVAGSPGWVRTRWALLLL FWLGWLGMLAGAVVIIVRAPRCRELPVQRWWHKGALYRIGDLQAFVGRDAGGIAGLKSHL EYLSTLKVKGLVLGPIHKNQKDEINETDLKQINPTLGSQEDFKDLLQSAKKKSIHIILDL TPNYQGQNAWFLPAQADIVATKMKEALSSWLQDGVDGFQFRDVGKLMNAPLYLAEWQNIT KNLSEDRLLIAGTESSDLQQIVNILESTSDLLLTSSYLSNSTFTGERTESLVTRFLNATG SQWCSWSVSQAGLLADFIPDHLLRLYQLLLFTLPGTPVFSYGDELGLQGALPGQPAKAPL MPWNESSIFHIPRPVSLNMTVKGQNEDPGSLLTQFRRLSDLRGKERSLLHGDFHALSSSP DLFSYIRHWDQNERYLVVLNFRDSGRSARLGASNLPAGISLPASAKLLLSTDSARQSREE DTSLKLENLSLNPYEGLLLQFPFVA >ENSMUSP00000146016.1 pep:known chromosome:GRCm38:19:8707587:8712985:-1 gene:ENSMUSG00000010095.13 transcript:ENSMUST00000206598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc3a2 description:solute carrier family 3 (activators of dibasic and neutral amino acid transport), member 2 [Source:MGI Symbol;Acc:MGI:96955] MKEALSSWLQDGVDGFQFRDVGKLMNAPLYLAEWQNITKNLSEDRLLIAGTESSDLQQIV NILESTSDLLLTSSYLSNSTFTGERTESLVTRFLNATGSQWCSWSVSQAGLLADFIPDHL LRLYQLLLFTLPGTPVFSYGDELGLQGALPGQPAKAPLMPWNESSIFHIPRPVSLNMTVK GQNEDPGSLLTQFRRLSDLRGKERSLLHGDFHALSSSPDLFSYIRHWDQNERYLVVLNFR DSGRSARLGASNLPAGISLPASAKLLLSTDSARQSREEDTSLKLENLSLNPYEGLLLQFP FVA >ENSMUSP00000010239.4 pep:known chromosome:GRCm38:19:8707617:8713879:-1 gene:ENSMUSG00000010095.13 transcript:ENSMUST00000010239.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc3a2 description:solute carrier family 3 (activators of dibasic and neutral amino acid transport), member 2 [Source:MGI Symbol;Acc:MGI:96955] MSQDTEVDMKDVELNELEPEKQPMNAADGAAAGEKNGLVKIKVAEDETEAGVKFTGLSKE ELLKVAGSPGWVRTRWALLLLFWLGWLGMLAGAVVIIVRAPRCRELPVQRWWHKGALYRI GDLQAFVGRDAGGIAGLKSHLEYLSTLKVKGLVLGPIHKNQKDEINETDLKQINPTLGSQ EDFKDLLQSAKKKSIHIILDLTPNYQGQNAWFLPAQADIVATKMKEALSSWLQDGVDGFQ FRDVGKLMNAPLYLAEWQNITKNLSEDRLLIAGTESSDLQQIVNILESTSDLLLTSSYLS NSTFTGERTESLVTRFLNATGSQWCSWSVSQAGLLADFIPDHLLRLYQLLLFTLPGTPVF SYGDELGLQGALPGQPAKAPLMPWNESSIFHIPRPVSLNMTVKGQNEDPGSLLTQFRRLS DLRGKERSLLHGDFHALSSSPDLFSYIRHWDQNERYLVVLNFRDSGRSARLGASNLPAGI SLPASAKLLLSTDSARQSREEDTSLKLENLSLNPYEGLLLQFPFVA >ENSMUSP00000146080.1 pep:known chromosome:GRCm38:19:8709308:8713355:-1 gene:ENSMUSG00000010095.13 transcript:ENSMUST00000205377.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc3a2 description:solute carrier family 3 (activators of dibasic and neutral amino acid transport), member 2 [Source:MGI Symbol;Acc:MGI:96955] GRHSWSEEPSGVLEHPEGIHIILDLTPNYQGQNAWFLPAQADIVATKMKEALSSWLQDGV DGFQFRDVGKLMNAPLYLAEWQNITKNLSEDRLLIAGTESSDLQQIVNILESTSDLLLTS SYLSNS >ENSMUSP00000146036.1 pep:known chromosome:GRCm38:19:8709734:8713356:-1 gene:ENSMUSG00000010095.13 transcript:ENSMUST00000205463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc3a2 description:solute carrier family 3 (activators of dibasic and neutral amino acid transport), member 2 [Source:MGI Symbol;Acc:MGI:96955] XGGIAGLKSHLEYLSTLKVKGLVLGPIHKNQKDEINETDLKQINPTLGSQEDFKDLLQSA KKKRSSEFLVAGRCGWFPIP >ENSMUSP00000145892.1 pep:known chromosome:GRCm38:19:8709766:8714433:-1 gene:ENSMUSG00000010095.13 transcript:ENSMUST00000206797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc3a2 description:solute carrier family 3 (activators of dibasic and neutral amino acid transport), member 2 [Source:MGI Symbol;Acc:MGI:96955] MSQDTEVDMKDVELNELEPEKQPMNAADGAAAGEKNGLVKIKVAEDETEAGVKFTGLSKE ELLKVAGSPGWVRTRWALLLLFWLGWLGMLAGAVVIIVRAPRCRELPVQRWWHKGALYRI GDLQAFVGRDAGGIAGLKSHLEYLSTLKVKGLVLGPIHKNQKDEINETDLKQINPTLGSQ EDFKDLLQSAKKKSIHIILDLTPNYQGQNAWFLPAQADIVATKMKEALSSW >ENSMUSP00000145809.1 pep:known chromosome:GRCm38:19:8713409:8714096:-1 gene:ENSMUSG00000010095.13 transcript:ENSMUST00000206560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc3a2 description:solute carrier family 3 (activators of dibasic and neutral amino acid transport), member 2 [Source:MGI Symbol;Acc:MGI:96955] MSQDTEVDMKDVELNELEPEKQPMNAADGAAAGEKNGLVKIKVAEDETEAGVKFTGLSKE ELLKVAGSPGWVRTRWALLLLFWLGWLGMLAGAVVIIVRAPRCRELPVQRWWH >ENSMUSP00000145974.1 pep:known chromosome:GRCm38:19:8713420:8714183:-1 gene:ENSMUSG00000010095.13 transcript:ENSMUST00000205538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc3a2 description:solute carrier family 3 (activators of dibasic and neutral amino acid transport), member 2 [Source:MGI Symbol;Acc:MGI:96955] MSQDTEVDMKDVELNELEPEKQPMNAADGAAAGEKNGLVKIKVAEDETEAGVKFTGLSKE ELLKVAGSPGWVRTRWALLLLFWLGWLGMLAGAVVIIVRAPRCRELPVQ >ENSMUSP00000071976.5 pep:known chromosome:GRCm38:6:41354105:41357914:1 gene:ENSMUSG00000071521.4 transcript:ENSMUST00000072103.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Try10 description:trypsin 10 [Source:MGI Symbol;Acc:MGI:3687012] MSTLLFLALVGAAVAFPVDDDDKIVGGYTCRENSVPYQVSLNSGYHFCGGSLINDQWVVS AAHCYKSRIQVRLGEHNINVLEGNEQFIDAANIIKHPKFKKKTLDNDIMLIKLSSPVTLN ARVATVALPSSCAAAGTQCLISGWGNTLSSGVNNPDLLQCLDAPLLPQADCEASYPGKIT KNMICVGFLEGGKDSCQGDSGGPVVCNGQLQGIVSWGYGCAQKDNPGVYTKVCNYVDWIQ NTIAAN >ENSMUSP00000016471.2 pep:known chromosome:GRCm38:X:38316184:38336769:1 gene:ENSMUSG00000016327.9 transcript:ENSMUST00000016471.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1b4 description:ATPase, (Na+)/K+ transporting, beta 4 polypeptide [Source:MGI Symbol;Acc:MGI:1915071] MRRQLRSRRAPAFPYGYRYRLDDQDEANHNYLADEEEEAEEEAQVMMVPGLEEEEEEEEG KEEEEEREEEEGQGQSTGSAWWRKLQIVNEYLWDPEKRMSLARTGQSRSLILVIYFFFYA SLAAVITLFIYMLFLAISPYMPTFTEQVKPPGVMIRPFAHSLNFNFNVSEPETWQRYVIS LNGFLQGYNDSLQEEMNIDCPPGRYFIQDGDEDEDKKACQFKRSFLKNCSGLEDPTFGYS TGQPCILLKMNRIVGFRPEFGDPVKVSCKVQKGDENDIRSINYYPESASFDLRYYPYYGK LTHVNYTSPLVAMHFTDVVKNQAVPVQCQLKGKGIVNDVINDRFVGRIIFTLNIET >ENSMUSP00000110787.1 pep:known chromosome:GRCm38:X:38316184:38336769:1 gene:ENSMUSG00000016327.9 transcript:ENSMUST00000115134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1b4 description:ATPase, (Na+)/K+ transporting, beta 4 polypeptide [Source:MGI Symbol;Acc:MGI:1915071] MRRQLRSRRAPAFPYGYRYRLDDQDEANHNYLADEEEEAEEEAQVMMVPGLEEEEEEEEG KEEEEEREEEEGQGQSTGSAWWRKLQIVNEYLWDPEKRMSLARTGLILVIYFFFYASLAA VITLFIYMLFLAISPYMPTFTEQVKPPGVMIRPFAHSLNFNFNVSEPETWQRYVISLNGF LQGYNDSLQEEMNIDCPPGRYFIQDGDEDEDKKACQFKRSFLKNCSGLEDPTFGYSTGQP CILLKMNRIVGFRPEFGDPVKVSCKVQKGDENDIRSINYYPESASFDLRYYPYYGKLTHV NYTSPLVAMHFTDVVKNQAVPVQCQLKGKGIVNDVINDRFVGRIIFTLNIET >ENSMUSP00000049698.4 pep:known chromosome:GRCm38:3:55137339:55172935:1 gene:ENSMUSG00000048655.17 transcript:ENSMUST00000052904.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc169 description:coiled-coil domain containing 169 [Source:MGI Symbol;Acc:MGI:2444356] MEKMRGNPSDRLSSIRVYEKMPVESLNVLLKQLEKEKRSLESQVKEYAFRLEQESKAYHR TNNERRSYIAEMTQVSGSNQVSKRQQMDPLPRMKESPVKTGRHNSMNQKTTNAKKGPVKK VPRSNHLPKLNP >ENSMUSP00000112414.2 pep:known chromosome:GRCm38:3:55140038:55170502:1 gene:ENSMUSG00000048655.17 transcript:ENSMUST00000118963.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc169 description:coiled-coil domain containing 169 [Source:MGI Symbol;Acc:MGI:2444356] MGEGHGDTFEGVSTDRLKLELLEEIHMKDVVQLSTLEIRHKIAELEANLNGDLAGSEWKT RYETQLELNDQLEKQIVSLKEKMEKMRGNPSDRLSSIRVYEKMPVESLNVLLKQLEKEKR SLESQVKEYAFRLEQESKAYHRTNNERRSYIAEMTQVSGSNQVSKRQQMDPLPRMKESPV KTKFSMLDHVQRRPKKKSFAVSDWRLL >ENSMUSP00000054771.7 pep:known chromosome:GRCm38:3:55140038:55171554:1 gene:ENSMUSG00000048655.17 transcript:ENSMUST00000061099.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc169 description:coiled-coil domain containing 169 [Source:MGI Symbol;Acc:MGI:2444356] MGEGHGDTFEGVSTDRLKLELLEEIHMKDVVQLSTLEIRHKIAELEANLNGDLAGSEWKT RYETQLELNDQLEKQIVSLKEKMEKMRGNPSDRLSSIRVYEKMPVESLNVLLKQLEKEKR SLESQVKEYAFRLEQESKAYHRTNNERRSYIAEMTQVSGSNQVSKRQQMDPLPRMKESPV KTGRHNSMNQKTTNAKKGPVKKVPRSNHLPKLNP >ENSMUSP00000119909.1 pep:known chromosome:GRCm38:3:55140055:55157075:1 gene:ENSMUSG00000048655.17 transcript:ENSMUST00000153009.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc169 description:coiled-coil domain containing 169 [Source:MGI Symbol;Acc:MGI:2444356] MEKMRGNPSDRLSSIRVYEKMPVESLNVLLKQLEKEK >ENSMUSP00000123900.1 pep:known chromosome:GRCm38:3:55142288:55171608:1 gene:ENSMUSG00000048655.17 transcript:ENSMUST00000159904.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc169 description:coiled-coil domain containing 169 [Source:MGI Symbol;Acc:MGI:2444356] MEKMRGNPSDRLSSIRVYEKMPVESLNVLLKQLEKEKRSLESQVKEYAFRLEQESKAYHR TNNERRSYIAEMTQELIHSWLQ >ENSMUSP00000125131.1 pep:known chromosome:GRCm38:3:55142288:55171608:1 gene:ENSMUSG00000048655.17 transcript:ENSMUST00000161120.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc169 description:coiled-coil domain containing 169 [Source:MGI Symbol;Acc:MGI:2444356] MEKMRGNPSDRLSSIRVYEKMPVESLNVLLKQLEKEKRSLESQVKEYAFRLEQESKAYHR TNNERRSYIAEMTQELIHSWLQ >ENSMUSP00000113964.1 pep:known chromosome:GRCm38:14:37054830:37064946:1 gene:ENSMUSG00000041044.9 transcript:ENSMUST00000120052.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrit1 description:leucine-rich repeat, immunoglobulin-like and transmembrane domains 1 [Source:MGI Symbol;Acc:MGI:2385320] MWVALGMLWLLALGGPHQAWGFCPSECSCSLRILSDGSKARTVVCSDPDLTLPPASIPPD TCKLRLERTAIRRVPGETFRPLSRLEQLWLPYNALSELSALMLRGLRRLRELRLPGNRLV TFPWAALRDTPQLQLLDLQANRLSTLPPEAAHFLENLTFLDLSNNQLMRLPEELLDVWAH LKTGPFLSGHHARLILGLQDNPWVCDCRLYDLVHLLDGWVSSNLIFIEARLRCASPRSLA GVAFSQLELRKCQSPELRPGVTSIISPLGSTVLLRCGATGIPGPEMSWRRANGRPLNGTV HQEVSSDGSSWTLLDLPVVSLFDSGDYICQAKNFLGASETLISLIVTEPQTSTGYSGIPG VLWARTGEGAEAAAYNNKLVARHVPHMPEHVALATKPSMPSIKEELALQNFQMDVPGEFS REPSEHQEAQMVRSLKVVGDTYHSVSLVWKAPQAGNTTAFSVLYAVFGHRDMRRMTVEPG KTSVTIEGLAPKTKYVACVCVRGLVPTKEQCVIFSTDEVVDAEGTQRLINMVVISVAAII ALPPTLLVCCGALRRRCHKCRTGGSAEASGAYVNLERLGHSEDSSEVLSRSSLSEGDRLL SARSSLDSQVLGVRGGRRINEYFC >ENSMUSP00000072406.5 pep:known chromosome:GRCm38:5:139751282:139775673:-1 gene:ENSMUSG00000029547.10 transcript:ENSMUST00000072607.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints1 description:integrator complex subunit 1 [Source:MGI Symbol;Acc:MGI:1915760] MTERASDSRRLLPGEGASSRGARAAGRMNRAKPTTVRRPSAAAKPSGHPPPGDFIALGSK GQASESKTTSTLLKPAPSGLPSERKRDASASLSGTSALTGLTKRPKLSSTPPLSALGRLA EAAVAEKRAISPSIKEPSVVPIEVLPTVLLDEIEAAELEGNDDRIEGVLCGAVKQLKVTR AKPDSTLYLSLMYLAKIKPNIFATEGVIEALCSLLRRDASVNFKAKGNSLVSVLACNLLM AAYEEDENWPEIFVKVYIEDSLGERIWVDSPHCRTFVDNIQTAFNTKMPPKSVLLQGEGA RSGGELGAGSSPHPSLTEEEDSQTELLIAEEKLSPEQEGQLMPRYDELTESVEEYVLDML RDQLNRRQPIDNVSRNLLRLLTATCGYKEVRLLAVQRLEMWLQNPKLTRPAQDLLMSVCM NCNSHGSEDMDVISHLIKIRLKPKVLLNHYMLCIRELLNAHKDNLGTTIKFVIFNELSNA RNPNNMQILYTVLQHSSELAPKFLAMVFQDLLTNKDDYLRASRALLREIIKQTKHEINFQ AFCLGLMQERKEPQYLEMEFKERFVVHITDVLAVSMMLGITAQVKEAGVAWDKGEKRNLE VLRTFQNQIAAIQRDAVWWLHTVVPSVSKLAPKDYVHCLHKVLFTEQPETYYKWDNWPPE SDRNFFLRLCSEVPILEDTLMRVLVIGLSRELPLGPADAMELADHLVKRAAAVQADDVEV LKVERIQLIDAVLNLCTYHHPENIQLPPGYQPPNLAISTLYWKAWPLLLVVAAFNPENIG LAAWEEYPTLKMLMEMVMTNNYSYPPCTLTDEETRTEMINRELQISQREKQEILAFEGHL AAASTKQTITESSSLLLSQLTSLDPQGPPRRPPPHILDQVKALNQSLRLGHLLCRSRNPD FLLHIIQRQASSQSMPWLADLVQSSEGSLDVLPVQCLCEFLLHDAADSTASGEEDDEGES REQKAKKRQRQQKQRQLLGRLQDLLLGPKADEQTTCEVLDYFLRRLGSSQVASRVLAMKG LSLVLSEGGLRDKEEKEPPMEEDIGETDALQGYQWLLRDLPRLPLFDSVRTTTALALQQA IHMETDPQTISAYLIYLSQHTPVEEQGPHSDLALDVARLVVERSTIMAHLFSKPSCSTAS DAVLSALLSVFSRYVRRMRKSKEGEEVYSWSESQDQVFLRWSSGETATMHILVVHAMVIL LTLGPPRSGDSEFSELLDIWFPEKKPLPTAFLVDTSEEALLLPDWLKLRMIRSEVPRLVD AALQDLEPQQLLLFVQSFGIPVSSMSKLLQYLDQAVAQDPQTLEQNIMDKNYMAHLVEVQ HERGASGGQTFHSLLTASLPPRRDSTEAPKPESSPEPPPGQGRTRAGTQVPVLGPEDDLA GIFLQIFPLSPDPRWQSSSPRPLALALQQALGQELARVRQGNPEVPGITVRLLQAMTTLL SSPHGGTLALAMHHSHFLSCPLMRQLYQYQRAVPQDTGFSSLFLKVLMQILQWLDSPAVE DGPLQAQLKLFATRYSARHRISDVRSGLLHLADALSFHGDLEVANSTARAVIATLRSGEK CPVEPELISKVLRGLIEVRSPHLEELLTALFSATTETSCPSPASGPIVVVSSLLLQEKEE LLGPSKQEVEGASTEAMRLGPASGLLVDWLETLDPEVVCSCPDLQWKLLFSRRKGKGHIS AQVLSFRPYLLALLTHQASWSTLHCCIRVLLGKSREQRLDPSASLDFLWACIHVPRIWQG RDQRTPQKRREELVLHVQGPELLSLVELILSEAETRSQDGDSAARTLIQTRLPLLLSCCR SNDESIGKVTEHLTSCIQQWGDSVLGQRCRDLLLQLYLQRPEVRVPVPEVLLQSEGATSS SICKLDGLVHRFITLLADTSDSRSSESRVADANMACRKLAVAHPVLLLRHLPMIAALLHG RTHLNFQEFRQQNHLAFFLHVLGILELLQPRVFQSEHQGALWDCLRSFIRLLLNYRKSSR HLAPFISKFVQFIHKYVGCSAPAAVAFLQKHAEPLHDLSFDNSDLVMLKSLLAGLSLPSR DGRTDQGLDEEGEDERSAGSLPLVSVSLSTPLTVADVAPHMKRLSRGRAVEDVLETLSDI DEMSRRRPEVLGFFSTNLQRLMSSAEESCRNLAFSLALRSIQNNPSIAADFLPTFMYCLG SRDFEVVQTALRNLPEYTLLCQEHAAVLLHRAFLVGVYGQIDTSAQISEALKILHMEAVM >ENSMUSP00000143789.1 pep:known chromosome:GRCm38:5:139751285:139775638:-1 gene:ENSMUSG00000029547.10 transcript:ENSMUST00000200393.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints1 description:integrator complex subunit 1 [Source:MGI Symbol;Acc:MGI:1915760] MTERASDSRRLLPGEGASSRGARAAGRMNRAKPTTVRRPSAAAKPSGHPPPGDFIALGSK GQASESKTTSTLLKPAPSGLPSERKRDASASLSGTSALTGLTKRPKLSSTPPLSALGRLA EAAVAEKRAISPSIKEPSVVPIEVLPTVLLDEIEAAELEGNDDRIEGVLCGAVKQLKVTR AKPDSTLYLSLMYLAKIKPNIFATEGVIEALCSLLRRDASVNFKAKGNSLVSVLACNLLM AAYEEDENWPEIFVKVYIEDSLGERIWVDSPHCRTFVDNIQTAFNTKMPPKSVLLQGEGA RSGGELGAGSSPHPSLTEEEDSQTELLIAEEKLSPEQEGQLMPRPRYDELTESVEEYVLD MLRDQLNRRQPIDNVSRNLLRLLTATCGYKEVRLLAVQRLEMWLQNPKLTRPAQDLLMSV CMNCNSHGSEDMDVISHLIKIRLKPKVLLNHYMLCIRELLNAHKDNLGTTIKFVIFNELS NARNPNNMQILYTVLQHSSELAPKFLAMVFQDLLTNKDDYLRASRALLREIIKQTKHEIN FQAFCLGLMQERKEPQYLEMEFKERFVVHITDVLAVSMMLGITAQVKEAGVAWDKGEKRN LEVLRTFQNQIAAIQRDAVWWLHTVVPSVSKLAPKDYVHCLHKVLFTEQPETYYKWDNWP PESDRNFFLRLCSEVPILEDTLMRVLVIGLSRELPLGPADAMELADHLVKRAAAVQADDV EVLKVERIQLIDAVLNLCTYHHPENIQLPPGYQPPNLAISTLYWKAWPLLLVVAAFNPEN IGLAAWEEYPTLKMLMEMVMTNNYSYPPCTLTDEETRTEMINRELQISQREKQEILAFEG HLAAASTKQTITESSSLLLSQLTSLDPQGPPRRPPPHILDQVKALNQSLRLGHLLCRSRN PDFLLHIIQRQASSQSMPWLADLVQSSEGSLDVLPVQCLCEFLLHDAADSTASGEEDDEG ESREQKAKKRQRQQKQRQLLGRLQDLLLGPKADEQTTCEVLDYFLRRLGSSQVASRVLAM KGLSLVLSEGGLRDKEEKEPPMEEDIGETDALQGYQWLLRDLPRLPLFDSVRTTTALALQ QAIHMETDPQTISAYLIYLSQHTPVEEQGPHSDLALDVARLVVERSTIMAHLFSKPSCST ASDAVLSALLSVFSRYVRRMRKSKEGEEVYSWSESQDQVFLRWSSGETATMHILVVHAMV ILLTLGPPRSGDSEFSELLDIWFPEKKPLPTAFLVDTSEEALLLPDWLKLRMIRSEVPRL VDAALQDLEPQQLLLFVQSFGIPVSSMSKLLQYLDQAVAQDPQTLEQNIMDKNYMAHLVE VQHERGASGGQTFHSLLTASLPPRRDSTEAPKPESSPEPPPGQGRTRAGTQVPVLGPEDD LAGIFLQIFPLSPDPRWQSSSPRPLALALQQALGQELARVRQGNPEVPGITVRLLQAMTT LLSSPHGGTLALAMHHSHFLSCPLMRQLYQYQRAVPQDTGFSSLFLKVLMQILQWLDSPA VEDGPLQAQLKLFATRYSARHRISDVRSGLLHLADALSFHGDLEVANSTARAVIATLRSG EKCPVEPELISKVLRGLIEVRSPHLEELLTALFSATTETSCPSPASGPIVVVSSLLLQEK EELLGPSKQEVEGASTEAMRLGPASGLLVDWLETLDPEVVCSCPDLQWKLLFSRRKGKGH ISAQVLSFRPYLLALLTHQASWSTLHCCIRVLLGKSREQRLDPSASLDFLWACIHVPRIW QGRDQRTPQKRREELVLHVQGPELLSLVELILSEAETRSQDGDSAARTLIQTRLPLLLSC CRSNDESIGKVTEHLTSCIQQWGDSVLGQRCRDLLLQLYLQRPEVRVPVPEVLLQSEGAT SSSICKLDGLVHRFITLLADTSDSRSSESRVADANMACRKLAVAHPVLLLRHLPMIAALL HGRTHLNFQEFRQQNHLAFFLHVLGILELLQPRVFQSEHQGALWDCLRSFIRLLLNYRKS SRHLAPFISKFVQFIHKYVGCSAPAAVAFLQKHAEPLHDLSFDNSDLVMLKSLLAGLSLP SRDGRTDQGLDEEGEDERSAGSLPLVSVSLSTPLTVADVAPHMKRLSRGRAVEDVLETLS DIDEMSRRRPEVLGFFSTNLQRLMSSAEESCRNLAFSLALRSIQNNPSIAADFLPTFMYC LGSRDFEVVQTALRNLPEYTLLCQEHAAVLLHRAFLVGVYGQIDTSAQISEALKILHMEA VM >ENSMUSP00000143274.1 pep:known chromosome:GRCm38:5:139751286:139754978:-1 gene:ENSMUSG00000029547.10 transcript:ENSMUST00000197187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints1 description:integrator complex subunit 1 [Source:MGI Symbol;Acc:MGI:1915760] PRVFQSEHQGALWDCLRSFIRLLLNYRKSSRHLAPFISKFVQFIHKYVGCSAPAAVAFLQ KHAEPLHDLSFDNSDLVMLKSLLAGLSLPSRDGRTDQGLDEEGEDERSAGSLPLVSASKP HQRDSLSKTHLPWTASP >ENSMUSP00000142362.1 pep:known chromosome:GRCm38:5:139772618:139775674:-1 gene:ENSMUSG00000029547.10 transcript:ENSMUST00000196864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints1 description:integrator complex subunit 1 [Source:MGI Symbol;Acc:MGI:1915760] MNRAKPTTVRRPSAAAKPSGHPPPGDFIALGSKGQASESKTTSTLLKPAPSGLPSERKRD ASASLSGTSALTGLTKRPKLSSTPPLSALGRLAEAAVAEKRAISPSIKEPSVVPIEVLPT VLLDEIEAAELEGNDDRIEGVLCGAVKQLKVTRAKPDSTLYLSLMYLAKIKPNIF >ENSMUSP00000070558.7 pep:known chromosome:GRCm38:8:84946991:84956603:1 gene:ENSMUSG00000048617.16 transcript:ENSMUST00000067472.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtbdn description:retbindin [Source:MGI Symbol;Acc:MGI:2443686] MAHEGHSQHSGLVWALRPILAWIFLVACGWSHPLQTRSWGHPGLAAKVRTGQLQPAGHPQ SSVLPSYPRIQVPGSQTPPVPVPCCTAEIDRPESLLESCGAPSPECEFFLGQLQGALRDR FHPQLFGARPVQPLCPELCQIWFTTCQADFICGPTWLQSSGERGCEPSCRTYGQTFANAT DLCHSVLGHVLRVAAPGSSHCLNVSISSPGARRRPRAWISNVVGSGSGSGSGDSPEPMFG FQYVSLP >ENSMUSP00000105362.2 pep:known chromosome:GRCm38:8:84946991:84956603:1 gene:ENSMUSG00000048617.16 transcript:ENSMUST00000109740.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtbdn description:retbindin [Source:MGI Symbol;Acc:MGI:2443686] MAHEGHSQHSGLVWALRPILAWIFLVACGWSHPLQTRSWGHPGLAAKVRTGQLQPAGHPQ SSVLPSYPRIQVPGSQTPPVPVPCCTAEIDRPESLLESCGAPSPECEFFLGQLQGALRDR FHPQLFGARPVQPLCPELCQIWFTTCQADFICGPTWLQSSGERGCEPSCRTYGQTFANAT DLCHSVLGHVLRVAAPGSSHCLNVSISSPGARRRPRAWISNVVGSGSGSGSGDSPEPMFG FQYVSLP >ENSMUSP00000113982.1 pep:known chromosome:GRCm38:8:84949860:84956603:1 gene:ENSMUSG00000048617.16 transcript:ENSMUST00000121880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtbdn description:retbindin [Source:MGI Symbol;Acc:MGI:2443686] MAHEGHSQHSGLVWALRPILAWIFLVACGWSHPLQTRSWGHPGLAAKVRTGQLQPAGHPQ SSVLPSYPRIQVPGSQTPPVPVPCCTAEIDRPESLLESCGAPSPECEFFLGQLQGALRDR FHPQLFGARPVQPLCPELCQIWFTTCQADFICGPTWLQSSGERGCEPSCRTYGQTFANAT DLCHSVLGHVLRVAAPGSSHCLNVSISSPGARRRPRAWISNVVGSGSGSGSGDSPEPMFG FQYVSLP >ENSMUSP00000132841.1 pep:known chromosome:GRCm38:8:84951181:84956511:1 gene:ENSMUSG00000048617.16 transcript:ENSMUST00000152378.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtbdn description:retbindin [Source:MGI Symbol;Acc:MGI:2443686] MLSNCRETSVRLFSLGKQLIEFPLSGHPQSSVLPSYPRIQVPGSQTPPVPVPCCTAEIDR PESLLESCGAPSPECEFFLGQLQGALRDRFHPQLFGARPVQPLCPELCQIWFTTCQADFI CGPTWLQSSGERGCEPSCRTYGQTFANATDLCHSVLGHVLRVAAPGSSHCLNVSISSPGA RRRPRAWISNVVGSGSGSGSGDSPEPMFGFQYVSLP >ENSMUSP00000112436.1 pep:known chromosome:GRCm38:8:84415364:84640246:1 gene:ENSMUSG00000034656.16 transcript:ENSMUST00000121390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1a description:calcium channel, voltage-dependent, P/Q type, alpha 1A subunit [Source:MGI Symbol;Acc:MGI:109482] MARFGDEMPGRYGAGGGGSGPAAGVVVGAAGGRGAGGSRQGGQPGAQRMYKQSMAQRART MALYNPIPVRQNCLTVNRSLFLFSEDNVVRKYAKKITEWPPFEYMILATIIANCIVLALE QHLPDDDKTPMSERLDDTEPYFIGIFCFEAGIKIVALGFAFHKGSYLRNGWNVMDFVVVL TGILATVGTEFDLRTLRAVRVLRPLKLVSGIPSLQVVLKSIMKAMIPLLQIGLLLFFAIL IFAIIGLEFYMGKFHTTCFEEGTDDIQGESPAPCGTEEPARTCPNGTKCQPYWEGPNNGI TQFDNILFAVLTVFQCITMEGWTDLLYNSNDASGNTWNWLYFIPLIIIGSFFMLNLVLGV LSGEFAKERERVENRRAFLKLRRQQQIERELNGYMEWISKAEEVILAEDETDVEQRHPFD GALRRATLKKSKTDLLNPEEAEDQLADIASVGSPFARASIKSAKLENSTFFHKKERRMRF YIRRMVKTQAFYWTVLSLVALNTLCVAIVHYNQPEWLSDFLYYAEFIFLGLFMSEMFIKM YGLGTRPYFHSSFNCFDCGVIIGSIFEVIWAVIKPGTSFGISVLRALRLLRIFKVTKYWA SLRNLVVSLLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFDEGTPPTNFDTFPAAI MTVFQILTGEDWNEVMYDGIKSQGGVQGGMVFSIYFIVLTLFGNYTLLNVFLAIAVDNLA NAQELTKDEQEEEEAANQKLALQKAKEVAEVSPLSAANMSIAVKEQQKNQKPTKSVWEQR TSEMRKQNLLASREALYGDAAERWPTPYARPLRPDVKTHLDRPLVVDPQENRNNNTNKSR APEALRPTARPRESARDPDARRAWPGSPERAPGREGPYGRESEPQQREHAPPREHAPWDA DTERAKAGDAPRRHTHRPVAEGEPRRHRARRRPGDEPDDRPERRPRPRDATRPARAADGE GDDGERKRRHRHGPPAHDDRERRHRRRKENQGSGVPVSGPNLSTTRPIQQDLGRQDLPLA EDLDNMKNNKLATGEPASPHDSLGHSGLPPSPAKIGNSTNPGPALATNPQNAASRRTPNN PGNPSNPGPPKTPENSLIVTNPSSTQPNSAKTARKPEHMAVEIPPACPPLNHTVVQVNKN ANPDPLPKKEEEKKEEEEADPGEDGPKPMPPYSSMFILSTTNPLRRLCHYILNLRYFEMC ILMVIAMSSIALAAEDPVQPNAPRNNVLRYFDYVFTGVFTFEMVIKMIDLGLVLHQGAYF RDLWNILDFIVVSGALVAFAFTGNSKGKDINTIKSLRVLRVLRPLKTIKRLPKLKAVFDC VVNSLKNVFNILIVYMLFMFIFAVVAVQLFKGKFFHCTDESKEFERDCRGKYLLYEKNEV KARDREWKKYEFHYDNVLWALLTLFTVSTGEGWPQVLKHSVDATFENQGPSPGYRMEMSI FYVVYFVVFPFFFVNIFVALIIITFQEQGDKMMEEYSLEKNERACIDFAISAKPLTRHMP QNKQSFQYRMWQFVVSPPFEYTIMAMIALNTIVLMMKFYGASVAYENALRVFNIVFTSLF SLECVLKVMAFGILNYFRDAWNIFDFVTVLGSITDILVTEFGNNFINLSFLRLFRAARLI KLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGNIGIDGEDEDSDED EFQITEHNNFRTFFQALMLLFRSATGEAWHNIMLSCLSGKPCDKNSGILTADCGNEFAYF YFVSFIFLCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEYVRVWAEYDPAACGRIHY KDMYSLLRVISPPLGLGKKCPHRVACKRLLRMDLPVADDNTVHFNSTLMALIRTALDIKI AKGGADKQQMDAELRKEMMAIWPNLSQKTLDLLVTPHKSTDLTVGKIYAAMMIMEYYRQS KAKKLQAMREEQNRTPLMFQRMEPPSPTQEGGPSQNALPSTQLDPGGGLMAHEGGMKESP SWVTQRAQEMFQKTGTWSPERGPPIDMPNSQPNSQSVEMREMGTDGYSDSEHYLPMEGQT RAASMPRLPAENQRRRGRPRGNDLSTISDTSPMKRSASVLGPKARRLDDYSLERVPPEEN QRYHQRRRDRGHRTSERSLGRYTDVDTGLGTDLSMTTQSGDLPSKDRDQDRGRPKDRKHR PHHHHHHHHHHPPAPDRDRYAQERPDTGRARAREQRWSRSPSEGREHTTHRQGSSSVSGS PAPSTSGTSTPRRGRRQLPQTPCTPRPLVSYSPAPRRPAARRMAGPAAPPGGSPRGCRRA PRWPAHAPEGPRPRGADYTEPDSPREPPGGAHDPAPRSPRTPRAAGCASPRHGRRLPNGY YAGHGAPRPRTARRGAHDAYSESEDDWC >ENSMUSP00000114055.1 pep:known chromosome:GRCm38:8:84415377:84640063:1 gene:ENSMUSG00000034656.16 transcript:ENSMUST00000122053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1a description:calcium channel, voltage-dependent, P/Q type, alpha 1A subunit [Source:MGI Symbol;Acc:MGI:109482] MARFGDEMPGRYGAGGGGSGPAAGVVVGAAGGRGAGGSRQGGQPGAQRMYKQSMAQRART MALYNPIPVRQNCLTVNRSLFLFSEDNVDDTEPYFIGIFCFEAGIKIVALGFAFHKGSYL RNGWNVMDFVVVLTGILATVGTEFDLRTLRAVRVLRPLKLVSGIPSLQVVLKSIMKAMIP LLQIGLLLFFAILIFAIIGLEFYMGKFHTTCFEEGTDDIQGESPAPCGTEEPARTCPNGT KCQPYWEGPNNGITQFDNILFAVLTVFQCITMEGWTDLLYNSNDASGNTWNWLYFIPLII IGSFFMLNLVLGVLSGEFAKERERVENRRAFLKLRRQQQIERELNGYMEWISKAEEVILA EDETDVEQRHPFDGALRRATLKKSKTDLLNPEEAEDQLADIASVGSPFARASIKSAKLEN STFFHKKERRMRFYIRRMVKTQAFYWTVLSLVALNTLCVAIVHYNQPEWLSDFLYYAEFI FLGLFMSEMFIKMYGLGTRPYFHSSFNCFDCGVIIGSIFEVIWAVIKPGTSFGISVLRAL RLLRIFKVTKYWASLRNLVVSLLNSMKSIISLLFLLFLFIVVFALLGMQLFGGQFNFDEG TPPTNFDTFPAAIMTVFQILTGEDWNEVMYDGIKSQGGVQGGMVFSIYFIVLTLFGNYTL LNVFLAIAVDNLANAQELTKDEQEEEEAANQKLALQKAKEVAEVSPLSAANMSIAVKEQQ KNQKPTKSVWEQRTSEMRKQNLLASREALYGDAAERWPTPYARPLRPDVKTHLDRPLVVD PQENRNNNTNKSRAPEALRPTARPRESARDPDARRAWPGSPERAPGREGPYGRESEPQQR EHAPPREHAPWDADTERAKAGDAPRRHTHRPVAEGEPRRHRARRRPGDEPDDRPERRPRP RDATRPARAADGEGDDGERKRRHRHGPPAHDDRERRHRRRKENQGSGVPVSGPNLSTTRP IQQDLGRQDLPLAEDLDNMKNNKLATGEPASPHDSLGHSGLPPSPAKIGNSTNPGPALAT NPQNAASRRTPNNPGNPSNPGPPKTPENSLIVTNPSSTQPNSAKTARKPEHMAVEIPPAC PPLNHTVVQVNKNANPDPLPKKEEEKKEEEEADPGEDGPKPMPPYSSMFILSTTNPLRRL CHYILNLRYFEMCILMVIAMSSIALAAEDPVQPNAPRNNVLRYFDYVFTGVFTFEMVIKM IDLGLVLHQGAYFRDLWNILDFIVVSGALVAFAFTGNSKGKDINTIKSLRVLRVLRPLKT IKRLPKLKAVFDCVVNSLKNVFNILIVYMLFMFIFAVVAVQLFKGKFFHCTDESKEFERD CRGKYLLYEKNEVKARDREWKKYEFHYDNVLWALLTLFTVSTGEGWPQVLKHSVDATFEN QGPSPGYRMEMSIFYVVYFVVFPFFFVNIFVALIIITFQEQGDKMMEEYSLEKNERACID FAISAKPLTRHMPQNKQSFQYRMWQFVVSPPFEYTIMAMIALNTIVLMMKFYGASVAYEN ALRVFNIVFTSLFSLECVLKVMAFGILNYFRDAWNIFDFVTVLGSITDILVTEFGNNFIN LSFLRLFRAARLIKLLRQGYTIRILLWTFVQSFKALPYVCLLIAMLFFIYAIIGMQVFGN IGIDGEDEDSDEDEFQITEHNNFRTFFQALMLLFRSATGEAWHNIMLSCLSGKPCDKNSG ILTADCGNEFAYFYFVSFIFLCSFLMLNLFVAVIMDNFEYLTRDSSILGPHHLDEYVRVW AEYDPAACGRIHYKDMYSLLRVISPPLGLGKKCPHRVACKRLLRMDLPVADDNTVHFNST LMALIRTALDIKIAKGGADKQQMDAELRKEMMAIWPNLSQKTLDLLVTPHKSTDLTVGKI YAAMMIMEYYRQSKAKKLQAMREEQNRTPLMFQRMEPPSPTQEGGPSQNALPSTQLDPGG GLMAHEGGMKESPSWVTQRAQEMFQKTGTWSPERGPPIDMPNSQPNSQSVEMREMGTDGY SDSEHYLPMEGQTRAASMPRLPAENQRRRGRPRGNDLSTISDTSPMKRSASVLGPKARRL DDYSLERVPPEENQRYHQRRRDRGHRTSERSLGRYTDVDTGLGTDLSMTTQSGDLPSKDR DQDRGRPKDRKHRPHHHHHHHHHHPPAPDRDRYAQERPDTGRARAREQRWSRSPSEGREH TTHRQGSSSVSGSPAPSTSGTSTPRRGRRQLPQTPCTPRPLVSYSPAPRRPAARRMAGPA APPGGSPRGCRRAPRWPAHAPEGPRPRGADYTEPDSPREPPGGAHDPAPRSPRTPRAAGC ASPRHGRRLPNGYYAGHGAPRPRTARRGAHDAYSESEDDWC >ENSMUSP00000076589.4 pep:known chromosome:GRCm38:3:89202928:89208679:1 gene:ENSMUSG00000028048.11 transcript:ENSMUST00000077367.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gba description:glucosidase, beta, acid [Source:MGI Symbol;Acc:MGI:95665] MAARLIGFFLFQAVSWAYGAQPCIPKSFGYSSVVCVCNASYCDSLDPVTLPALGTFSRYE STRRGRRMELSVGAIQANRTGTGLLLTLQPEKKFQKVKGFGGAMTDATALNILALSPPTQ KLLLRSYFSTNGIEYNIIRVPMASCDFSIRVYTYADTPNDFQLSNFSLPEEDTKLKIPLI HQALKMSSRPISLFASPWTSPTWLKTNGRVNGKGSLKGQPGDIFHQTWANYFVKFLDAYA KYGLRFWAVTAENEPTAGLFTGYPFQCLGFTPEHQRDFISRDLGPALANSSHDVKLLMLD DQRLLLPRWAEVVLSDPEAAKYVHGIAVHWYMDFLAPAKATLGETHRLFPNTMLFASEAC VGSKFWEQSVRLGSWDRGMQYSHSIITNLLYHVTGWTDWNLALNPEGGPNWVRNFVDSPI IVDIPKDAFYKQPMFYHLGHFSKFIPEGSQRVALVASESTDLETVALLRPDGSAVVVVLN RSSEDVPLTISDPDLGFLETVSPGYSIHTYLWRRQ >ENSMUSP00000142401.1 pep:known chromosome:GRCm38:3:89202936:89208681:1 gene:ENSMUSG00000028048.11 transcript:ENSMUST00000197738.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gba description:glucosidase, beta, acid [Source:MGI Symbol;Acc:MGI:95665] MAARLIGFFLFQAVSWAYGAQPCIPKSFGYSSVVCVCNASYCDSLDPVTLPALGTFSRYE STRRGRRMELSVGAIQANRTGTGLLLTLQPEKKFQKVKGFGGAMTDATALNILALSPPTQ KLLLRSYFSTNGIEYNIIRVPMASCDFSIRVYTYADTPNDFQLSNFSLPEEDTKLKIPLI HQALKMSSRPISLFASPWTSPTWLKTNGRVNGKGSLKGQPGDIFHQTWANYFVKFLDAYA KYGLRFWAVTAENEPTAGLFTGYPFQCLGFTPEHQRDFISRDLGPALANSSHDVKLLMLD DQRLLLPRWAEVVLSDPEAAKYVHGIAVHWYMDFLAPAKATLGETHRLFPNTMLFASEAC VGSKFWEQSVRLGSWDRGMQYSHSIITG >ENSMUSP00000130660.1 pep:known chromosome:GRCm38:3:89202940:89208681:1 gene:ENSMUSG00000028048.11 transcript:ENSMUST00000167998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gba description:glucosidase, beta, acid [Source:MGI Symbol;Acc:MGI:95665] MAARLIGFFLFQAVSWAYGAQPCIPKSFGYSSVVCVCNASYCDSLDPVTLPALGTFSRYE STRRGRRMELSVGAIQANRTGTGLLLTLQPEKKFQKVKGFGGAMTDATALNILALSPPTQ KLLLRSYFSTNGIEYNIIRVPMASCDFSIRVYTYADTPNDFQLSNFSLPEEDTKLKIPLI HQALKMSSRPISLFASPWTSPTWLKTNGRVNGKGSLKGQPGDIFHQTWANYFVKFLDAYA KYGLRFWAVTAENEPTAGLFTGYPFQCLGFTPEHQRDFISRDLGPALANSSHDVKLLMLD DQRLLLPRWAEVVLSDPEAAKYVHGIAVHWYMDFLAPAKATLGETHRLFPNTMLFASEAC VGSKFWEQSVRLGSWDRGMQYSHSIITNLLYHVTGWTDWNLALNPEGGPNWVRNFVDSPI IVDIPKDAFYKQPMFYHLGHFSKFIPEGSQRVALVASESTDLETVALLRPDGSAVVVVLN RSSEDVPLTISDPDLGFLETVSPGYSIHTYLWRRQ >ENSMUSP00000068987.7 pep:known chromosome:GRCm38:17:78377885:78418131:-1 gene:ENSMUSG00000056121.15 transcript:ENSMUST00000070039.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fez2 description:fasciculation and elongation protein zeta 2 (zygin II) [Source:MGI Symbol;Acc:MGI:2675856] MAADGDWQDFYEFQEPAGSVQEQENCNASPEAGAGAHAGGDSFPALASSLEEKLSLCFRP TSEAEPPRAAVRPITECSLLQGDEIWNALTDNYGNVMPVDWKSSHTRTLHLLTLNLSEKG MNDGLLFDASDEEELREQLDMHSIIVSCVNEEPLFTADQVIEEIEEMMQESPDPEDDETP TQSDRLSMLSQEIQTLKRSSMSSYEERVKRLSVSELNELLEEIEAAIKQYSEELVQQLAL RDELEFEKEVENSFISALIEVQNKQKEHKETAKKKKKLKSGSSQNGRSERSHMPGTYLTT VIPYEKKSGPPSVEDLQILTKILHAMKEDSEKVPSLLTDYILKVLCPT >ENSMUSP00000108106.1 pep:known chromosome:GRCm38:17:78377885:78418131:-1 gene:ENSMUSG00000056121.15 transcript:ENSMUST00000112487.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fez2 description:fasciculation and elongation protein zeta 2 (zygin II) [Source:MGI Symbol;Acc:MGI:2675856] MAADGDWQDFYEFQEPAGSVQEQENCNASPEAGAGAHAGGDSFPALASSLEEKLSLCFRP TSEAEPPRAAVRPITECSLLQGDEIWNALTDNYGNVMPVDWKSSHTRTLHLLTLNLSEKG MNDGLLFDASDEEELREQLDMHSIIVSCVNEEPLFTADQVIEEIEEMMQESPDPEDDETP TQSDRLSMLSQEIQTLKRSSMSSYEERVKRLSVSELNELLEEIEAAIKQYSEELVQQLAL RDELEFEKEVENSFISALIEVQNKQKEHKETAKKKKKLKSGSSQNGRSERSHMPGTRFSM EGISNVIQNGLRHTFGNSGGEKQYLTTVIPYEKKSGPPSVEDLQILTKILHAMKEDSEKV PSLLTDYILKVLCPT >ENSMUSP00000025064.7 pep:known chromosome:GRCm38:17:58878808:58991375:-1 gene:ENSMUSG00000024227.14 transcript:ENSMUST00000025064.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzph1 description:PDZ and pleckstrin homology domains 1 [Source:MGI Symbol;Acc:MGI:1916489] MQKKRMGTSQTSGQVCPQNVKDLEEDIKGHTSETVFHQTRTLGKLKENGDENITASINGK QNSSFLVKNEKQAPSDSTIHKSMENGYTPPAISPQLEEVLPVAMFNDREKSLPGKITTED FPNTVEVLKPAKGKFIREVKCGAFNVHVETTKSIFCKTGAQNLTKRRCPTCHQHYSVQQV WRGSKSRGHFSKHRTVLAEEFKRRVPSTYRRGVSRSEVPSVNISIVGKEIMVEYISKKQN TFINICHPNSIGKLTKHRRGPLKHTTDEPCESASQPGINHPENQRLENQHQSSDAFHDAP CSVNAVQKENSDTIVVSLSSPPRREKEKPNTLPSAPDDSEEGESATSPQKVLQITQDKPL EQHCFTADSCLPTSSETLRTTSLNNVDLSKMSLEDSRDVDEERKNSSDVRENITPDSQVQ PCTAGTNGPLSAVNSIIPIQDASGSEFSPLSFLTLQLLGAKADNMGCRGEETLPGEGHES SNSLLFPVSEQAAKPSPLLSPSHRVSRCSEPVETTGNTFSFSQYIGVEREQEKVHYRLIN KASLHNDSSSNIQPLERKDPQSPAVTIASCSILVPKSPLHGNIHSSVEWNQTEDHANHAE RAGLSRMEVPVMASITNELEQSLATQNYKESTVDSNSPMAIRNPRESTSYLENVGDDASQ ALPVVKNMCHRNFEDLTRENQNEICSQMDFLQNSSESTSVGHTTEKLFLIDKTLASDDDS NSNRDHKTCGLNNFQQEPAECQRTTSRVYCEESGNQRYPTEVSCHPVDILLSPQKQKALK DQNLEINNPRKLSQELALRGGRASDGSQEEAIEQWARRRQQFKDGKRCSSAGGSSVISNF TEGSITSDDVHSVDFGFRVDIEEKGFYTENFHSTAWVFRGDDGNPEDSPRCLSKKPRPVA VRERTVRLFKGTGDYPWGFRIQFSKPIVVTEVDTNSAAEEAGLQIGDVVLSVNGTEVTSV EHAEAVHLAKKGLDILTMVVASDISRCPNTPWPTCRGYLHKRTHSGFVKGWRKRWFVLKH DGFLLYYKHRKDEGKWPPLDMIKLEGAEVDIDNSLGKPFVFNCMPQSGSRILCLCATSNQ EMKRWLEAMQRAARPVHQNHVWEDVTVHNSSLPPLAIKHPECLGLLHQLERSTDVWIQHY CILKDGCLYFYASIRSTQASGGLYLQGYSVSEQTHGFEQPVIELKPSSEEFKTFYFCAEN KTENQRWIAALKTSIKKWLPLDQAIQEFMSRPLEETRM >ENSMUSP00000135180.1 pep:known chromosome:GRCm38:17:58878970:58893495:-1 gene:ENSMUSG00000024227.14 transcript:ENSMUST00000177360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzph1 description:PDZ and pleckstrin homology domains 1 [Source:MGI Symbol;Acc:MGI:1916489] MIKLEGAEVDIDNSLGKPFVFNCMPQSGSRILCLCATSNQEMKRWLEAMQRAARPVHQNH VWEDVTVHNSSLPPLAIKHPECLGLLHQLERSTDVWIQHYCILKDGCLYFYASIRSTQAS GGLYLQGYSVSEQTHGFEQPVIELKPSSEEFKTFYFCAENKTENQRWIAALKTSIKKWLP LDQAIQEFMSRPLEETRM >ENSMUSP00000033483.4 pep:known chromosome:GRCm38:X:7593809:7605517:-1 gene:ENSMUSG00000031143.4 transcript:ENSMUST00000033483.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc22 description:coiled-coil domain containing 22 [Source:MGI Symbol;Acc:MGI:1859608] MEEADRILIHSLRQAGTAVPPEVQTLRAFTTELVVEAVVRCLRVINPDVGSGLSHLLPPA MSARFRLAMSLAQACMDLGYPLELGYQNFLYPSEPDLRDLLLFLAERLPSDASEDADQPA GDSAIFLRAIGSQIRDQLALPWVPPLLRTPKVQRLQGSALQQPFHSSRLVLPELNSSGEL WEFQASPLLLPAPTQVPQLQGRAASLLEHHASQLCQHVNRDCPGDEDRVRWASRVPSQED SRAPQQRLHKQLIEHLRQSWGPLGAPTQVRDLGEMLQTWGARAMTGVPKGSRFTHSEKFT FHLEPQVQAAQVADVPATSQRLEQDTRAAQEQELESLREQLASVNHNIEEVEADMKTLGI NLVQVETECRQSELSVAEQEQALRLKSRTVELLPDGAANLAKLQLVVESSAQRLIHLASQ WEKHRVPLLAEYRHLRRLQDCRELESSRRLAEIQELHHSVRAAAEEARRKEEVYKQLVSE LETLPKDVSRLAYTQRILEIVGNIRKQKEEITKILSDTKELQKEINSLSGKLDRTFAVTD ELVFKDAKKDDAVRKAYKYLAALHENCSQLIQTIEDTGTIMREVRDLEEQIETEMGKKTL SNLEKICEDYRALRQENAGLLGRVREA >ENSMUSP00000113147.2 pep:known chromosome:GRCm38:10:81028538:81037426:-1 gene:ENSMUSG00000046822.12 transcript:ENSMUST00000117276.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a3 description:solute carrier family 39 (zinc transporter), member 3 [Source:MGI Symbol;Acc:MGI:2147269] MTKLLVAKVLCMVGVFFFMLLGSLLPVKVIEADLEKAHRSKKVLSLCNTFGGGVFLATCF NALLPAVRDKLQQVLSLGHISTDYPLAETLMMVGFFLTVFVEQLVLTFRRERPPFIDLET FNAGSDAGSDSEYESPFVGVGNRSHSLYPEPTAHTHGAGLRLRELGRPGPLRLLSLVFAL SAHSVFEGLALGLQEEGERVVSLFVGVAIHETLVAVALGISMARSAVPLRDAAKLAVTVS AMIPVGIGLGLGIESARSVASSVASALLQGLAGGTFLFVTFLEILAKELEERSEQLLKVL FLVLGYAVLAGMVFLKW >ENSMUSP00000057094.4 pep:known chromosome:GRCm38:10:81028540:81037308:-1 gene:ENSMUSG00000046822.12 transcript:ENSMUST00000059551.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a3 description:solute carrier family 39 (zinc transporter), member 3 [Source:MGI Symbol;Acc:MGI:2147269] MTKLLVAKVLCMVGVFFFMLLGSLLPVKVIEADLEKAHRSKKVLSLCNTFGGGVFLATCF NALLPAVRDKLQQVLSLGHISTDYPLAETLMMVGFFLTVFVEQLVLTFRRERPPFIDLET FNAGSDAGSDSEYESPFVGVGNRSHSLYPEPTAHTHGAGLRLRELGRPGPLRLLSLVFAL SAHSVFEGLALGLQEEGERVVSLFVGVAIHETLVAVALGISMARSAVPLRDAAKLAVTVS AMIPVGIGLGLGIESARSVASSVASALLQGLAGGTFLFVTFLEILAKELEERSEQLLKVL FLVLGYAVLAGMVFLKW >ENSMUSP00000128256.1 pep:known chromosome:GRCm38:10:81028541:81033912:-1 gene:ENSMUSG00000046822.12 transcript:ENSMUST00000168076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a3 description:solute carrier family 39 (zinc transporter), member 3 [Source:MGI Symbol;Acc:MGI:2147269] MTKLLVAKVLCMVGVFFFMLLGSLLPVKVIEADLEKAHRSKKVLSLCNTFGGGVFLATCF NALLPAVRDKLQQVLSLGHISTDYPLAETLMMVGFFLTVFVEQLVLTFRRERPPFIDLET FNAGSDAGSDSEYESPFVGVGNRSHSLYPEPTAHTHGAGLRLRELGRPGPLRLLSLVFAL SAHSVFEGLALGLQEEGERVVSLFVGVAIHETLVAVALGISMARSAVPLRDAAKLAVTVS AMIPVGIGLGLGIESARSVASSVASALLQGLAGGTFLFVTFLEILAKELEERSEQLLKVL FLVLGYAVLAGMVFLKW >ENSMUSP00000086614.4 pep:known chromosome:GRCm38:3:130726831:130730337:-1 gene:ENSMUSG00000062006.12 transcript:ENSMUST00000062601.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl34 description:ribosomal protein L34 [Source:MGI Symbol;Acc:MGI:1915686] MVQRLTYRRRLSYNTASNKTRLSRTPGNRIVYLYTKKVGKAPKSACGVCPGRLRGVRAVR PKVLMRLSKTQKHVSRAYGGSMCAKCVRDRIKRAFLIEEQKIVVKVLKAQAQSQKAK >ENSMUSP00000078092.6 pep:known chromosome:GRCm38:3:130726839:130730398:-1 gene:ENSMUSG00000062006.12 transcript:ENSMUST00000079085.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl34 description:ribosomal protein L34 [Source:MGI Symbol;Acc:MGI:1915686] MVQRLTYRRRLSYNTASNKTRLSRTPGNRIVYLYTKKVGKAPKSACGVCPGRLRGVRAVR PKVLMRLSKTQKHVSRAYGGSMCAKCVRDRIKRAFLIEEQKIVVKVLKAQAQSQKAK >ENSMUSP00000142486.1 pep:known chromosome:GRCm38:3:130726876:130730321:-1 gene:ENSMUSG00000062006.12 transcript:ENSMUST00000196202.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl34 description:ribosomal protein L34 [Source:MGI Symbol;Acc:MGI:1915686] MVQRLTYRRRLSYNTASNKTRLSRTPGNRIVYLYTKKVGKAPKSACGVCPGRLRGVRAVR PKVLMRLSKTQKHVSRAYGGSMCAKCVRDRIKRAFLIEEQKIVVKVLKAQAQSQKAK >ENSMUSP00000142951.1 pep:known chromosome:GRCm38:3:130728332:130730334:-1 gene:ENSMUSG00000062006.12 transcript:ENSMUST00000133802.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl34 description:ribosomal protein L34 [Source:MGI Symbol;Acc:MGI:1915686] MVQRLTYRRRLSYNTASNKTRLSRTPGNRIVYLYTKKVGKAPKSACGVCPGRLRGVRAVR PKVLMRLSKTQKHVSRAYGGSMCAKCVRDR >ENSMUSP00000143752.1 pep:known chromosome:GRCm38:3:130728838:130730346:-1 gene:ENSMUSG00000062006.12 transcript:ENSMUST00000200517.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl34 description:ribosomal protein L34 [Source:MGI Symbol;Acc:MGI:1915686] MVQRLTYRRRLSYNTASNKTRLSRTPGNRIVYLYTKKVGKAPKSACGVCPGRLRGVRAVR >ENSMUSP00000022213.7 pep:known chromosome:GRCm38:13:92751590:92794818:-1 gene:ENSMUSG00000021702.7 transcript:ENSMUST00000022213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thbs4 description:thrombospondin 4 [Source:MGI Symbol;Acc:MGI:1101779] MPAPRAAAAAFLLLHLVLQPWQRTSAQATPQVFDLLPSSSQRLNPSALQPVLTDPTLHEV YLISTFKLQSKSSATIFGLYSSSDNSKYFEFTVMGRLNKAILRYLKNDGKIHLVVFNNLQ LADGRRHRVLLRLSNLQRGDGSVELYLDCAQADSVRNLPRAFSGLTQNPESIELRTFQRK PQDFLEELKLVVRGSLFQVASLQDCFLQQSEPLAATSTGDFNRQFLGQMTQLNQLLGEVK DLLRQQVKETSFLRNTIAECQACGPLSFQSPTPNTLVPIAPPAPPTRPTRHCDSSPCFRG VRCTDTRDGFQCGPCPDGYTGNGITCSDVDECKYHPCYPGVRCVNLAPGFRCDACPVGFT GPMVQGVGINFAKTNKQVCTDVDECQNGACVLNSICINTLGSYRCGPCKPGYTGDQTRGC KTERSCRNPEQNPCSVHAQCIEERQGDVTCVCGVGWAGDGYVCGKDVDIDSYPDEELPCS ARNCKKDNCKYVPNSGQEDADRDGIGDACDEDADGDGILNEQDNCVLTHNIDQRNSDKDI FGDACDNCRMVLNNDQKDTDGDGRGDACDDDMDGDGIKNILDNCPRVPNRDQQDRDGDDV GDACDSCPDVSNPNQSDVDNDLVGDSCDTNQDSDGDGHQDSTDNCPTVINSSQLDTDKDG IGDECDDDDDNDGIPDLVPPGPDNCRLVPNPAQEDSNNDGVGDICEADFDQDQVIDHIDV CPENAEITLTDFRAYQTVVLDPEGDAQIDPNWVVLNQGMEIVQTMNSDPGLAVGYTAFNG VDFEGTFHVNTQTDDDYAGFIFGYQDSSSFYVVMWKQTEQTYWQATPFRAVAEPGIQLKA VKSKTGPGEHLRNSLWHTGDTSDQVRLLWKDSRNVGWKDKVSYRWFLQHRPQVGYIRVRF YEGSELVADSGVTIDTTMRGGRLGVFCFSQENIIWSNLKYRCNDTIPEDFQEFQTQSFDR LDN >ENSMUSP00000139359.1 pep:known chromosome:GRCm38:7:79698098:79715720:-1 gene:ENSMUSG00000050382.14 transcript:ENSMUST00000183846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif7 description:kinesin family member 7 [Source:MGI Symbol;Acc:MGI:1098239] MGLEAQRLPGAEEAPVRVALRVRPLLPKELLHGHQSCLRVEPERGRITLGRDRHFGFHVV LGEDTGQEAVYQACVQPLLEAFFEGFNATVFAYGQTGSGKTYTMGEASVASLHEDEQGII PRAMAEAFKLIDENDLLDCLVHVSYLELYKEEFRDLLEVGTASRDIQLREDDRGNVVLCG VKEVDVEGLDEVLSLLEMGNAARHTGATHFNRLSSRSHTVFTVTLEQRGRTPSRLPRPAA GHLLVSKFHFVDLAGSERVLKTGSTGERLKESIQINSTLLALGNVISALGDPQRRGSHIP YRDSKITRILKDSLGGNAKTVMIACVSPSSSDFDETLNTLNYASRAQNIRNRATVNWRPE AERVPEEQAAGARGPPRHRSETRIIHRGRRVPCPAVGSAAVAAGLGAECARCRARTSAAY SLLRELQAEPGLPGAAARKVRDWLCAVEGERSTLSSASGPDSGIESAPAEDQAAQGTSGR KGDEGTQQLLTLQSQVARLEEENRDFLAALEDAMEQYKLQSDRLREQQEEMVELRLRLEL AQPGWGAPGLLQGLPPGSFVPRPHTAPLGGAHTHMLGMMPSTCLPGEEVSSEQQVVSGKE VKAEVLAQADKLRSASSTTSEEEGEEEEEEEEEEEEPPRRTLYLRRNGISNWSQRAGLSP GSPPDRKGPEVCPEEPAAAIPAPQAVGSGKVPVQTRQAPAAMASEWRLAQAQQKIRELAI NIRMKEELIGELVRTGKAAQALNRQHSQRIRELEQEAERVRAELCEGQRQLRELEGREPQ DASERSRLQEFRKRVAAAQSQVQVLKEKKQATERLVSLSAQSETRLQELERNVQLMRRQQ GQLQRRLREETEQKRRLETEMNKRQHRVKELELKHEQQQKILKIKTEEIAAFQRKRRSGS NGSVVSLEQQQKIEEQKKWLDQEMEKVLQQRRALEELGEELRKREVILAKKEALMQEKTG LESKRLRSSQALNEDIVRVSSRLEHLEKELSEKSGQLRQGSAQNQQQIRGEIDTLRQEKD SLLKQRLEIDSKLRQGSLLSPEEERTLFQLDEAIEALDAAIEYKNEAITCRQRVLRASAS LLSQCEMNLMAKLSYLSSSETRALLCKYFDKVVTLREEQHQQQIAFSELEMQLEEQQRLV YWLEVALERQRLEMDRQLTLQQKEHEQNVQLLLQQGRDHLGEGLADSKRQYEARIHALEK ELGRHMWINQELKQKLSAGSTAGQSRGCERRSLCLENRQCLGNEDGLHPAAPEPLWQSSL LEGVSRVWDESRDLVHAPLPLTWKRSSLCSEQGSSEESRVRETTEPPVGRVLPMGEVGLS WNFGPLPKPRWEPRRTSPGMIDVRKNPL >ENSMUSP00000139224.1 pep:known chromosome:GRCm38:7:79698528:79715669:-1 gene:ENSMUSG00000050382.14 transcript:ENSMUST00000184137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif7 description:kinesin family member 7 [Source:MGI Symbol;Acc:MGI:1098239] MGLEAQRLPGAEEAPVRVALRVRPLLPKELLHGHQSCLRVEPERGRITLGRDRHFGFHVV LGEDTGQEAVYQACVQPLLEAFFEGFNATVFAYGQTGSGKTYTMGEASVASLHEDEQGII PRAMAEAFKLIDENDLLDCLVHVSYLELYKEEFRDLLEVGTASRDIQLREDDRGNVVLCG VKEVDVEGLDEVLSLLEMGNAARHTGATHFNRLSSRSHTVFTVTLEQRGRTPSRLPRPAA GHLLVSKFHFVDLAGSERVLKTGSTGERLKESIQINSTLLALGNVISALGDPQRRGSHIP YRDSKITRILKDSLGGNAKTVMIACVSPSSSDFDETLNTLNYASRAQNIRNRATVNWRPE AERVPEEQAAGARGPPRHRSETRIIHRGRRVPCPAVGSAAVAAGLGAECARCRARTSAAY SLLRELQAEPGLPGAAARKVRDWLCAVEGERSTLSSASGPDSGIESAPAEDQAAQGTSGR KGDEGTQQLLTLQSQVARLEEENRDFLAALEDAMEQYKLQSDRLREQQEEMVELRLRLEL AQPGWGAPGLLQGLPPGSFVPRPHTAPLGGAHTHMLGMMPSTCLPGEEVSSEQQVVSGKE VKAEVLAQADKLRSASSTTSEEEGEEEEEEEEEEEEPPRRTLYLRRNGISNWSQRAGLSP GSPPDRKGPEVCPEEPAAAIPAPQAVGSGKVPVQTRQAPAAMASEWRLAQAQQKIRELAI NIRMKEELIGELVRTGKAAQALNRQHSQRIRELEQEAERVRAELCEGQRQLRELEGREPQ DASERSRLQEFRKRVAAAQSQVQVLKEKKQATERLVSLSAQSETRLQELERNVQLMRRQQ GQLQRRLREETEQKRRLETEMNKRQHRVKELELKHEQQQKILKIKTEEIAAFQRKRRSGS NGSVVSLEQQQIEEQKKWLDQEMEKVLQQRRALEELGEELRKREVILAKKEALMQEKTGL ESKRLRSSQALNEDIVRVSSRLEHLEKELSEKSGQLRQGSAQNQQQIRGEIDTLRQEKDS LLKQRLEIDSKLRQGSLLSPEEERTLFQLDEAIEALDAAIEYKNEAITCRQRVLRASASL LSQCEMNLMAKLSYLSSSETRALLCKYFDKVVTLREEQHQQQIAFSELEMQLEEQQRLVY WLEVALERQRLEMDRQLTLQQKEHEQNVQLLLQQGRDHLGEGLADSKRQYEARIHALEKE LGRHMWINQELKQKLSAGSTAGQSRGCERRSLCLENRQCLGNEDGLHPAAPEPLWQSSLL EGVSRVWDESRDLVHAPLPLTWKRSSLCSEQGSSEESRVRETTEPPVGRVLPMGEVGLSW NFGPLPKPRWEPRRTSPGMIDVRKNPL >ENSMUSP00000136993.1 pep:known chromosome:GRCm38:7:79698099:79714186:-1 gene:ENSMUSG00000050382.14 transcript:ENSMUST00000178048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif7 description:kinesin family member 7 [Source:MGI Symbol;Acc:MGI:1098239] MGLEAQRLPGAEEAPVRVALRVRPLLPKELLHGHQSCLRVEPERGRITLGRDRHFGFHVV LGEDTGQEAVYQACVQPLLEAFFEGFNATVFAYGQTGSGKTYTMGEASVASLHEDEQGII PRAMAEAFKLIDENDLLDCLVHVSYLELYKEEFRDLLEVGTASRDIQLREDDRGNVVLCG VKEVDVEGLDEVLSLLEMGNAARHTGATHFNRLSSRSHTVFTVTLEQRGRTPSRLPRPAA GHLLVSKFHFVDLAGSERVLKTGSTGERLKESIQINSTLLALGNVISALGDPQRRGSHIP YRDSKITRILKDSLGGNAKTVMIACVSPSSSDFDETLNTLNYASRAQNIRNRATVNWRPE AERVPEEQAAGARGPPRHRSETRIIHRGRRVPCPAVGSAAVAAGLGAECARCRARTSAAY SLLRELQAEPGLPGAAARKVRDWLCAVEGERSTLSSASGPDSGIESAPAEDQAAQGTSGR KGDEGTQQLLTLQSQVARLEEENRDFLAALEDAMEQYKLQSDRLREQQEEMVELRLRLEL AQPGWGAPGLLQGLPPGSFVPRPHTAPLGGAHTHMLGMMPSTCLPGEEVSSEQQVVSGKE VKAEVLAQADKLRSASSTTSEEEGEEEEEEEEEEEEPPRRTLYLRRNGISNWSQRAGLSP GSPPDRKGPEVCPEEPAAAIPAPQAVGSGKVPVQTRQAPAAMASEWRLAQAQQKIRELAI NIRMKEELIGELVRTGKAAQALNRQHSQRIRELEQEAERVRAELCEGQRQLRELEGREPQ DASERSRLQEFRKRVAAAQSQVQVLKEKKQATERLVSLSAQSETRLQELERNVQLMRRQQ GQLQRRLREETEQKRRLETEMNKRQHRVKELELKHEQQQKILKIKTEEIAAFQRKRRSGS NGSVVSLEQQQKIEEQKKWLDQEMEKVLQQRRALEELGEELRKREVILAKKEALMQEKTG LESKRLRSSQALNEDIVRVSSRLEHLEKELSEKSGQLRQGSAQNQQQIRGEIDTLRQEKD SLLKQRLEIDSKLRQGSLLSPEEERTLFQLDEAIEALDAAIEYKNEAITCRQRVLRASAS LLSQCEMNLMAKLSYLSSSETRALLCKYFDKVVTLREEQHQQQIAFSELEMQLEEQQRLV YWLEVALERQRLEMDRQLTLQQKEHEQNVQLLLQQGRDHLGEGLADSKRQYEARIHALEK ELGRHMWINQELKQKLSAGSTAGQSRGCERRSLCLENRQCLGNEDGLHPAAPEPLWQSSL LEGVSRVWDESRDLVHAPLPLTWKRSSLCSEQGSSEESRVRETTEPPVGRVLPMGEVGLS WNFGPLPKPRWEPRRTSPGMIDVRKNPL >ENSMUSP00000061806.6 pep:known chromosome:GRCm38:7:79698099:79714186:-1 gene:ENSMUSG00000050382.14 transcript:ENSMUST00000059836.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif7 description:kinesin family member 7 [Source:MGI Symbol;Acc:MGI:1098239] MGLEAQRLPGAEEAPVRVALRVRPLLPKELLHGHQSCLRVEPERGRITLGRDRHFGFHVV LGEDTGQEAVYQACVQPLLEAFFEGFNATVFAYGQTGSGKTYTMGEASVASLHEDEQGII PRAMAEAFKLIDENDLLDCLVHVSYLELYKEEFRDLLEVGTASRDIQLREDDRGNVVLCG VKEVDVEGLDEVLSLLEMGNAARHTGATHFNRLSSRSHTVFTVTLEQRGRTPSRLPRPAA GHLLVSKFHFVDLAGSERVLKTGSTGERLKESIQINSTLLALGNVISALGDPQRRGSHIP YRDSKITRILKDSLGGNAKTVMIACVSPSSSDFDETLNTLNYASRAQNIRNRATVNWRPE AERVPEEQAAGARGPPRHRSETRIIHRGRRVPCPAVGSAAVAAGLGAECARCRARTSAAY SLLRELQAEPGLPGAAARKVRDWLCAVEGERSTLSSASGPDSGIESAPAEDQAAQGTSGR KGDEGTQQLLTLQSQVARLEEENRDFLAALEDAMEQYKLQSDRLREQQEEMVELRLRLEL AQPGWGAPGLLQGLPPGSFVPRPHTAPLGGAHTHMLGMMPSTCLPGEEVSSEQQVVSGKE VKAEVLAQADKLRSASSTTSEEEGEEEEEEEEEEEEPPRRTLYLRRNGISNWSQRAGLSP GSPPDRKGPEVCPEEPAAAIPAPQAVGSGKVPVQTRQAPAAMASEWRLAQAQQKIRELAI NIRMKEELIGELVRTGKAAQALNRQHSQRIRELEQEAERVRAELCEGQRQLRELEGREPQ DASERSRLQEFRKRVAAAQSQVQVLKEKKQATERLVSLSAQSETRLQELERNVQLMRRQQ GQLQRRLREETEQKRRLETEMNKRQHRVKELELKHEQQQKILKIKTEEIAAFQRKRRSGS NGSVVSLEQQQIEEQKKWLDQEMEKVLQQRRALEELGEELRKREVILAKKEALMQEKTGL ESKRLRSSQALNEDIVRVSSRLEHLEKELSEKSGQLRQGSAQNQQQIRGEIDTLRQEKDS LLKQRLEIDSKLRQGSLLSPEEERTLFQLDEAIEALDAAIEYKNEAITCRQRVLRASASL LSQCEMNLMAKLSYLSSSETRALLCKYFDKVVTLREEQHQQQIAFSELEMQLEEQQRLVY WLEVALERQRLEMDRQLTLQQKEHEQNVQLLLQQGRDHLGEGLADSKRQYEARIHALEKE LGRHMWINQELKQKLSAGSTAGQSRGCERRSLCLENRQCLGNEDGLHPAAPEPLWQSSLL EGVSRVWDESRDLVHAPLPLTWKRSSLCSEQGSSEESRVRETTEPPVGRVLPMGEVGLSW NFGPLPKPRWEPRRTSPGMIDVRKNPL >ENSMUSP00000038369.9 pep:known chromosome:GRCm38:1:132356315:132391281:-1 gene:ENSMUSG00000042066.15 transcript:ENSMUST00000045473.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc2 description:transmembrane and coiled-coil domains 2 [Source:MGI Symbol;Acc:MGI:1916125] MKRCKSDELQQQQGEEDGAGMEDAACLLPGADLRHGEASSANSAGGPTSDAGAAVAPNPG PRSKPPDLKKIQQLSEGSMFGHGLKHLFHSRRRSREREHQASQEAQQQQQQQGLSDQDSP DEKERSPEMHRVSYAVSLHDLPARPTAFNRVLQQIRSRPSIKRGASLHSSGGSGGRRAKS SSLEPQRGSPHLLRKAPQDSSLAAILHQHQGRPRSSSTTDTALLLADGSSAYLLAEEAES IGDKGDKGDLVALSLPSGPGHGDSDGPISLDVPDGAPDPQRTKAAIEHLHQKILKITEQI KIEQEARDDNVAEYLKLANNADKQQVSRIKQVFEKKNQKSAQTIAQLHKKLEHYRRRLKE IEQNGPSRQPKDVLRDMQQGLKDVGANMRAGISGFGGGVVEGVKGSLSGLSQATHTAVVS KPREFASLIRNKFGSADNIAHLKDPMEDGPPEEAARALSGSATLVSSPKYGSDDECSSAS ASSAGAGSNSGAGPGGALGSPRSNTLYGAPGNLDTLLEELREIKEGQSHLEDSMEDLKTQ LQRDYTYMTQCLQEERYRYERLEEQLNDLTELHQNEMTNLKQELASMEEKVAYQSYERAR DIQEAVESCLTRVTKLELQQQQQQVVQLEGVENANARALLGKFINVILALMAVLLVFVST IANFITPLMKTRLRITSTALLLLVLFLLWKHWASLTYLLEHVLLPS >ENSMUSP00000115721.1 pep:known chromosome:GRCm38:1:132356316:132367887:-1 gene:ENSMUSG00000042066.15 transcript:ENSMUST00000142609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc2 description:transmembrane and coiled-coil domains 2 [Source:MGI Symbol;Acc:MGI:1916125] MKSREKETAGDKGDLVALSLPSGPGHGDSDGPISLDVPDGAPDPQRTKAAIEHLHQKILK ITEQIKIEQEARDDNVAEYLKLANNADKQQVSRIKQVFEKKNQKSAQTIAQLHKKLEHYR RRLKEIEQNGPSRQPKDVLRDMQQGLKDVGANMRAGISGFGGGVVEGVKGSLSGLSQATH TAVVSKPREFASLIRNKFGSADNIAHLKDPMEDGPPEEAARALSGSATLVSSPKYGSDDE CSSASASSAGAGSNSGAGPGGALGSPRSNTLYGAPGNLDTLLEELREIKEGQSHLEDSME DLKTQLQRDYTYMTQCLQEERYRYERLEEQLNDLTELHQNEMTNLKQELASMEEKVAYQS YERARDIQEAVESCLTRVTKLELQQQQQQVVQLEGVENANARALLGKFINVILALMAVLL VFVSTIANFITPLMKTRLRITSTALLLLVLFLLWKHWASLTYLLEHVLLPS >ENSMUSP00000118844.1 pep:known chromosome:GRCm38:1:132356323:132390318:-1 gene:ENSMUSG00000042066.15 transcript:ENSMUST00000132435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc2 description:transmembrane and coiled-coil domains 2 [Source:MGI Symbol;Acc:MGI:1916125] MFGHGLKHLFHSRRRSREREHQASQEAQQQQQQQGLSDQDSPDEKERSPEMHRVSYAVSL HDLPARPTAFNRVLQQIRSRPSIKRGASLHSSGGSGGRRAKSSSLEPQRGSPHLLRKAPQ DSSLAAILHQHQGRPRSSSTTDTALLLADGSSAYLLAEEAESIGDKGDKGDLVALSLPSG PGHGDSDGPISLDVPDGAPDPQRTKAAIEHLHQKILKITEQIKIEQEARDDNVAEYLKLA NNADKQQVSRIKQVFEKKNQKSAQTIAQLHKKLEHYRRRLKEIEQNGPSRQPKDVLRDMQ QGLKDVGANMRAGISGFGGGVVEGVKGSLSGLSQATHTAVVSKPREFASLIRNKFGSADN IAHLKDPMEDGPPEEAARALSGSATLVSSPKYGSDDECSSASASSAGAGSNSGAGPGGAL GSPRSNTLYGAPGNLDTLLEELREIKEGQSHLEDSMEDLKTQLQRDYTYMTQCLQEERYR YERLEEQLNDLTELHQNEMTNLKQELASMEEKVAYQSYERARDIQEAVESCLTRVTKLEL QQQQQQVVQLEGVENANARALLGKFINVILALMAVLLVFVSTIANFITPLMKTRLRITST ALLLLVLFLLWKHWASLTYLLEHVLLPS >ENSMUSP00000143237.1 pep:known chromosome:GRCm38:3:122538719:122563881:-1 gene:ENSMUSG00000039735.15 transcript:ENSMUST00000197259.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1l description:formin binding protein 1-like [Source:MGI Symbol;Acc:MGI:1925642] XRLDNDTNATKADVEKAKQQLNLRTHMADENKNEYAAQLQNFNGEQHKHFYVVIPQIYKQ LQEMDERRTIKLSECYRGFADSERKVIPIISKCLEGMILAAKSVDERRDSQMVVDSFKSG FEPPGDFPFEDYSQHIYRTISDGTISAAKQESGKMDSKSTVGKAKGKLWLFGKKPKPQSP PLTPTSLFTSSTPNGSQFLTLSIEPVHYCMNEIKTGKPRIPSFRSLKRGWSMKMGPALED FSHLPPEQRRKKLQQRIDELNRGLQKEADQKEALNKMKDVYEKNPQMGDPGSLQPKLAET MNNIDRLRMEIHKNEAWLSEVEGKTGIRGDRRHSSDINHLVTQGRESPEGSYTDDANQEV RGPPQQHGHHSEFDDEFEDDDPLPAIGHCKAIYPFDGHNEGTLAMKEGEVLYIIEEDKGD GWTRARRQNGEEGYVPTTYIDVTLEKSSKGS >ENSMUSP00000124439.1 pep:known chromosome:GRCm38:3:122538725:122619715:-1 gene:ENSMUSG00000039735.15 transcript:ENSMUST00000162409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1l description:formin binding protein 1-like [Source:MGI Symbol;Acc:MGI:1925642] MSWGTELWDQFDSLDKHTQWGIDFLERYAKFVKERIEIEQNYAKQLRNLVKKYCPKRSSK DEEPRFTSCIAFFNILNELNDYAGQREVVAEEMAHRVYGELMRYAHDLKTERKMHLQEGR KAQQYLDMCWKQMDNSKKKFERECREAEKAQQSYERLDNDTNATKADVEKAKQQLNLRTH MADENKNEYAAQLQNFNGEQHKHFYVVIPQIYKQLQEMDERRTIKLSECYRGFADSERKV IPIISKCLEGMILAAKSVDERRDSQMVVDSFKSGFEPPGDFPFEDYSQHIYRTISDGTIS AAKQESGKMDSKSTVGKAKGKLWLFGKKPKGPALEDFSHLPPEQRRKKLQQRIDELNRGL QKEADQKEALNKMKDVYEKNPQMGDPGSLQPKLAETMNNIDRLRMEIHKNEAWLSEVEGK TGIRGDRRHSSDINHLVTQGRESPEGSYTDDANQEVRGPPQQHGHHSEFDDEFEDDDPLP AIGHCKAIYPFDGHNEGTLAMKEGEVLYIIEEDKGDGWTRARRQNGEEGYVPTTYIDVTL EKSSKGAVTYI >ENSMUSP00000124947.1 pep:known chromosome:GRCm38:3:122542105:122619553:-1 gene:ENSMUSG00000039735.15 transcript:ENSMUST00000162947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1l description:formin binding protein 1-like [Source:MGI Symbol;Acc:MGI:1925642] MSWGTELWDQFDSLDKHTQWGIDFLERYAKFVKERIEIEQNYAKQLRNLVKKYCPKRSSK DEEPRFTSCIAFFNILNELNDYAGQREVVAEEMAHRVYGELMRYAHDLKTERKMHLQEGR KAQQYLDMCWKQMDNSKKKFERECREAEKAQQSYERLDNDTNATKADVEKAKQQLNLRTH MADENKNEYAAQLQNFNGEQHKHFYVVIPQIYKQLQEMDERRTIKLSECYRGFADSERKV IPIISKCLEGMILAAKSVDERRDSQMVVDSFKSGFEPPGDFPFEDYSQHIYRTISDGTIS AAKQESGKMDSKSTVGKAKGKLWLFGKKPKPQSPPLTPTSLFTSSTPNGSQFLTLSIEPV HYCMNEIKTGKPRIPSFRSLKRGWSMKMGPALEDFSHLPPEQRRKKLQQRIDELNRGLQK EADQKEALNKMKDVYEKNPQMGDPGSLQPKLAETMNNIDRLRMEIHKNEAWLSEVEGKTG IRGDRRHSSDINHLVTQGRESPEGSYTDDANQEVRGPPQQHGHHSEFDDEFEDDDPLPAI GHCKAIYPFDGHNEGTLAMKEGEVLYIIEEDKGDGWTRARRQNGEEGYVPTTYIDVTLEK SSKGAVTYI >ENSMUSP00000144664.1 pep:known chromosome:GRCm38:17:23704488:23720726:-1 gene:ENSMUSG00000043747.12 transcript:ENSMUST00000201734.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1520401A03Rik description:RIKEN cDNA 1520401A03 gene [Source:MGI Symbol;Acc:MGI:2443785] MGIRVSPVAFFLLCLTSESLQGGLPRLPPNLGKGYSPSSGLGTAFGGDAKPQKSGSLTQN GYGTGVGGGMEPPKPGFGGKVKPQKSGYGPGLGAGAFPGVGGAQPAPAPQNGYGPGTGEG MKAPKPGPPAQNGHGPGTGEGMKPPKPGFSNGNGIGAGAFPGIGAQPGLGAGMKPQKPGF GNGNTLGSQPDFGGVGKAQKPGLGNGNGQGAGAFLGTGAQPGLGGGLRPQKPGYEGVKPQ KPGFGNGNGFGLGAQPGPPAQNGHGPGTGEGMKPPKPGFSNGNGIGAGAFPEIGAQPGFG EGRKPQKPGYGNGNGLDALPGYGPELKAQKLGLWNGRGLGIQLGYRNGLGPRAFQGQGPQ PGYMTGNRLGIPPGYNNGNGMAAQPGPCHRGLSPAKFLPRPPTTVPSAKGGGWSLKSQLP PPVQNAPTPAIQWGPKPQKAGYQPFNGYGAGAKLGFRGGLKLQKVGFHYGNEAVDAGILP ETLQPGFPRANGFRNGLREETLLYPKATVPALERHGKVVVVVTITTTTSTQQGQAGAFQP WGAGMKPGYGYAGLGIQAGPYGQLKPEQLKHLGDPEVKTYTNSQLGNGYRGHCPSGKC >ENSMUSP00000144253.1 pep:known chromosome:GRCm38:17:23704488:23722783:-1 gene:ENSMUSG00000043747.12 transcript:ENSMUST00000178006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1520401A03Rik description:RIKEN cDNA 1520401A03 gene [Source:MGI Symbol;Acc:MGI:2443785] MEPPKPGFGGKVKPQKSGYGPGLGAGAFPGVGGAQPAPAPQNGYGPGTGEGMKAPKPGPP AQNGHGPGTGEGMKPPKPGFSNGNGIGAGAFPGIGAQPGLGAGMKPQKPGFGNGNTLGSQ PDFGGVGKAQKPGLGNGNGQGAGAFLGTGAQPGLGGGLRPQKPGYEGVKPQKPGFGNGNG FGLGAQPGDGGGLWGGVHMSITCFPLPDFEGQGQSVRGGSGKSSGYLDFCWP >ENSMUSP00000050825.5 pep:known chromosome:GRCm38:4:121145885:121215084:-1 gene:ENSMUSG00000049878.12 transcript:ENSMUST00000056635.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlf description:rearranged L-myc fusion sequence [Source:MGI Symbol;Acc:MGI:1924705] MADGKGDATAAAGAGAGAEAPAVAGAEVETESMARGHRPASPASGAPGLRPCLWQLETEL REQEVSEVSSLSYCRSFCQTLLQYASNKNASEHIVYLLEVYRLAIQSFASARPFLTTECE DVLLVLGRLVLSCFELLLSVSESELPCEVWVPFLQSLQESHDALLEFGNNNLQILVHVTK EGVWKNPILLKILSQQPVETEEVNKLIAQEGPSFLQMRIKHLLKSNCIPQATALSKLCAE SKELAQVSCFQQAYITCLCSMLPSEEAIQEIAKVDCKDVLDIICNLESEGQDNTAFVLCT TYLTQQLQTASVYCSWELTLFWSKLQRRIDPSLETFLERCRQFGVIAKTQQHLFCLIRVI QTEAQDAGIGVSILLCVRALQLRSSEDEEMKASVCKTISCLLPEDLEVRRACQLTEFLIE PSLDGFNMLEELYLQPDQKFDEENAPVPNSLRCELLLALKAHWPFDPEFWDWKTLKRHCH QLLGQEASDSDDDLSGYEMSINDTDVLESFLSDYDDGKEDKQYRRSLTDQNKEKRDKKPI GSSERYQRWLQYKFFCLLCKRECIEARILHHSKMHMEDGIYTCPVCIKKFKRKELFVPHV MEHVKMPPSRSHRSRKKLLLKSAQRGIYPKSPTGSLEQNPEQARGESHEYVTFSKLEDRR LQDRDLYPCPGTDCSRVFKQFKYLSVHLKAEHQNNDENAKHYLDMKNRREKCTYCRRHFM SAFHLREHEQVHCGPQPYMCVSIDCYARFGSVNELLNHKQKHDDLRYKCELNGCNIVFSD LGQLYHHEAQHFRDASYTCNVLGCKKFYYSKIEYQNHLSMHNVESPDGELKKSVKLEEPG AGGKQDCVDQSHLLDETEKSHSLEDHHLCPGSASAHIDTTETLKDNSDSNSSDQLSHSSS TSITEELIDTLDHSETMQDLLLSHEKVFVPSSLKDKCSNVAVCFDGTKFTCGFDGCGSTY KNARGMQKHLRKVHPYHCKPRKIKTKDLFNCLDDKHNEADKFDAEPKPSSDTNSDSPDEG PDHSIHTKCKREHQGYSPEPSICASKRPCTEDTMLELLLRLKHLSLKNSIAHGSFSGSLQ GCPSSGAKSLQSVPSSISDVNLQNQDENMPSQYLAQLAAKPFFCELQGCKYEFVTREALL MHYLKKHNYSKEKVLQLTMFQHRYSPFRCHICQRSFTRKTHLRIHYKNKHQIGSDRATHR LLDSEKCDHEGPCSVDRLKGDCSTELGPNSNSETTQCHSKKDECSSETDLESSCEETESK ISGISSPIGSHREEGEEKEGRGSRRTVAKGNLCYILNKYHKPFHCIHKTCNSSFTNLKGL IRHYRTVHQYNKEQLCLEKDKARTKRELVKCKKLFACKYKDCNKRFLCSKALAKHCSDSH NLDHIEESKVLSETESAARFSCNQPQCPAVFYSFSKLKHHLLEQHNIEGEIHSDYEIHCT LNGCGQIFSHRSNYFQHVYYRHKDYYDNLFSSQKVANERLLRSEKVCQTTQAQGLTQTQG QTQGQTQGQEQQAAKRPFNTKAKKCGLLKDKKAPITFKTRAEAIHMCVEHSEHTQYPCMV QGCLSVVKLESSIVRHYKRTHQMNSAYLEQQLENLVVCVKYGTKIKDEPPSEVEPCVKKE ESTSCESVHTENGAPGDSSVPLPNTDSTCPAEQDVGQKGCSERNPVFDTHSLLYRGTLKC NHSSETTSLEQCNIAQSSPCKIESPIPNPSGTESGTYFTDFQLPLPRIKEEPGQHSSGQE NTVKNATQVPKENIRKHSQPRSFDLKTYKPMGFESSFLKFIQESEEKDDDFDDWEPSEHL TLNNSSHPSNDLTGNVVADTIVNESAPQVDIPHSSSDPPVSENLTAVPPLVVAEATAVPS LENLRVVLDKALTDCGELALKQLHYLRPVVVLERSKFSTPILDLFPTKKTDELCVGSS >ENSMUSP00000116831.1 pep:known chromosome:GRCm38:4:121170777:121188534:-1 gene:ENSMUSG00000049878.12 transcript:ENSMUST00000142647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlf description:rearranged L-myc fusion sequence [Source:MGI Symbol;Acc:MGI:1924705] MWHLIYYSNFITYLHSYLHCRSCFELLLSVSESELPCEVWVPFLQSLQESHDALLEFGNN NLQILVHVTKEGVWKNPILLKILSQQPVETEEVNKLIAQEGPSFLQM >ENSMUSP00000127068.1 pep:known chromosome:GRCm38:4:121145373:121188534:-1 gene:ENSMUSG00000049878.12 transcript:ENSMUST00000168615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlf description:rearranged L-myc fusion sequence [Source:MGI Symbol;Acc:MGI:1924705] MWHLIYYSNFITYLHSYLHCRSCFELLLSVSESELPCEVWVPFLQSLQESHDALLEFGNN NLQILVHVTKEGVWKNPILLKILSQQPVETEEVNKLIAQEGPSFLQMRIKHLLKSNCIPQ ATALSKLCAESKELAQVSCFQQAYITCLCSMLPSEEAIQEIAKVDCKDVLDIICNLESEG QDNTAFVLCTTYLTQQLQTASVYCSWELTLFWSKLQRRIDPSLETFLERCRQFGVIAKTQ QHLFCLIRVIQTEAQDAGIGVSILLCVRALQLRSSEDEEMKASVCKTISCLLPEDLEVRR ACQLTEFLIEPSLDGFNMLEELYLQPDQKFDEENAPVPNSLRCELLLALKAHWPFDPEFW DWKTLKRHCHQLLGQEASDSDDDLSGYEMSINDTDVLESFLSDYDDGKEDKQYRRSLTDQ NKEKRDKKPIGSSERYQRWLQYKFFCLLCKRECIEARILHHSKMHMEDGIYTCPVCIKKF KRKELFVPHVMEHVKMPPSRSHRSRKKLLLKSAQRGIYPKSPTGSLEQNPEQARGESHEY VTFSKLEDRRLQDRDLYPCPGTDCSRVFKQFKYLSVHLKAEHQNNDENAKHYLDMKNRRE KCTYCRRHFMSAFHLREHEQVHCGPQPYMCVSIDCYARFGSVNELLNHKQKHDDLRYKCE LNGCNIVFSDLGQLYHHEAQHFRDASYTCNVLGCKKFYYSKIEYQNHLSMHNVESPDGEL KKSVKLEEPGAGGKQDCVDQSHLLDETEKSHSLEDHHLCPGSASAHIDTTETLKDNSDSN SSDQLSHSSSTSITEELIDTLDHSETMQDLLLSHEKVFVPSSLKDKCSNVAVCFDGTKFT CGFDGCGSTYKNARGMQKHLRKVHPYHCKPRKIKTKDLFNCLDDKHNEADKFDAEPKPSS DTNSDSPDEGPDHSIHTKCKREHQGYSPEPSICASKRPCTEDTMLELLLRLKHLSLKNSI AHGSFSGSLQGCPSSGAKSLQSVPSSISDVNLQNQDENMPSQYLAQLAAKPFFCELQGCK YEFVTREALLMHYLKKHNYSKEKVLQLTMFQHRYSPFRCHICQRSFTRKTHLRIHYKNKH QIGSDRATHRLLDSEKCDHEGPCSVDRLKGDCSTELGPNSNSETTQCHSKKDECSSETDL ESSCEETESKISGISSPIGSHREEGEEKEGRGSRRTVAKGNLCYILNKYHKPFHCIHKTC NSSFTNLKGLIRHYRTVHQYNKEQLCLEKDKARTKRELVKCKKLFACKYKDCNKRFLCSK ALAKHCSDSHNLDHIEESKVLSETESAARFSCNQPQCPAVFYSFSKLKHHLLEQHNIEGE IHSDYEIHCTLNGCGQIFSHRSNYFQHVYYRHKDYYDNLFSSQKVANERLLRSEKVCQTT QAQGLTQTQGQTQGQTQGQEQQAAKRPFNTKAKKCGLLKDKKAPITFKTRAEAIHMCVEH SEHTQYPCMVQGCLSVVKLESSIVRHYKRTHQMNSAYLEQQLENLVVCVKYGTKIKDEPP SEVEPCVKKEESTSCESVHTENGAPGDSSVPLPNTDSTCPAEQDVGQKGCSERNPVFDTH SLLYRGTLKCNHSSETTSLEQCNIAQSSPCKIESPIPNPSGTESGTYFTDFQLPLPRIKE EPGQHSSGQENTVKNATQVPKENIRKHSQPRSFDLKTYKPMGFESSFLKFIQESEEKDDD FDDWEPSEHLTLNNSSHPSNDLTGNVVADTIVNESAPQVDIPHSSSDPPVSENLTAVPPL VVAEATAVPSLENLRVVLDKALTDCGELALKQLHYLRPVVVLERSKFSTPILDLFPTKKT DELCVGSS >ENSMUSP00000051433.6 pep:known chromosome:GRCm38:6:147252101:147264167:-1 gene:ENSMUSG00000048776.8 transcript:ENSMUST00000052296.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pthlh description:parathyroid hormone-like peptide [Source:MGI Symbol;Acc:MGI:97800] MLRRLVQQWSVLVFLLSYSVPSRGRSVEGLGRRLKRAVSEHQLLHDKGKSIQDLRRRFFL HHLIAEIHTAEIRATSEVSPNSKPAPNTKNHPVRFGSDDEGRYLTQETNKVETYKEQPLK TPGKKKKGKPGKRREQEKKKRRTRSAWPSTAASGLLEDPLPHTSRPSLEPSLRTH >ENSMUSP00000145509.1 pep:known chromosome:GRCm38:6:147252109:147264183:-1 gene:ENSMUSG00000048776.8 transcript:ENSMUST00000204197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pthlh description:parathyroid hormone-like peptide [Source:MGI Symbol;Acc:MGI:97800] MLRRLVQQWSVLVFLLSYSVPSRGRSVEGLGRRLKRAVSEHQLLHDKGKSIQDLRRRFFL HHLIAEIHTAEIRATSEVSPNSKPAPNTKNHPVRFGSDDEGRYLTQETNKVETYKEQPLK TPGKKKKGKPGKRREQEKKKRRTRSAWPSTAASGLLEDPLPHTSRPSLEPSLRTH >ENSMUSP00000020399.5 pep:known chromosome:GRCm38:10:117629500:117687352:1 gene:ENSMUSG00000020183.11 transcript:ENSMUST00000020399.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpm description:carboxypeptidase M [Source:MGI Symbol;Acc:MGI:1917824] MDRARLWLGLLLPVVAALDFRYHHQEGMEAFLKSVAQNYSSITHLHSIGKSVRGRNLWVL VVGQTPKEHRVGIPEFKYVANMHGDETVGRELLLHLIDYLVSSYRKDPEITHLIDSTRIH IMPSMNPDGFEAVQKPDCYYSNGRENYNNYDLNRNFPDAFENNNVTKQPETLAIMEWLKT ETFVLSANLHGGALVASYPFDNGVQATGTLLSRSLTPDDDVFQHLAYTYASRNPNMTKGD QCKNKRNFPNGIINGYSWYPLQGGMQDYNYIWAQCFEITLELSCCKYPREEKLPLFWNDN KASLIEYIKQVHLGVKGQVFDQSGAPLPNVIVEVQDRKHICPFRTNKLGEYYLLLLPGSY VINVTVPGHDSYLTKLTIPGKSQPFSALKKDFHLPLRWQPDSISVSNPSCPMIPLYKFMP SHSAATKPSLGVFFMTLLYVFFK >ENSMUSP00000132837.1 pep:known chromosome:GRCm38:2:87308791:87309714:1 gene:ENSMUSG00000089732.3 transcript:ENSMUST00000090717.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1118 description:olfactory receptor 1118 [Source:MGI Symbol;Acc:MGI:3030952] MEFILLGFSNVPHLQWVLFMVFLFMYMTILLCNSIIIVLAKTDPALHTPMYFFLSNFSFL EICYVTATIPRMLMDLYTLKGNISVFACATQMYFVLMLGATECLLLAAMAYDRYVAICHP LQYSLLMKNKVCLQLVAASWISGIPVQIGQTYQIFSLHFCASNKIDHFFCDIPPLLKLAC GDIFMNTVAVYVVAVVFVMVPFLLIIVSYIKIICNIMKLSSAKGMAKAFSTCSSHLIVVV LFYGTASITYLQPKQSQSEGMGKLLSLFYTILIPALNPIIYTLRNKDIMMALRKLHSKLL IWWKNVK >ENSMUSP00000136426.1 pep:known chromosome:GRCm38:14:37102142:37110101:-1 gene:ENSMUSG00000096001.2 transcript:ENSMUST00000179488.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610528A11Rik description:RIKEN cDNA 2610528A11 gene [Source:MGI Symbol;Acc:MGI:1917295] MRLLALSGLLCMLLLCFCIFSSEGRRHPAKSLKLRRCCHLSPRSKLTTWKGNHTRPCRLC RNKLPVKSWVVPGALPQI >ENSMUSP00000099443.4 pep:known chromosome:GRCm38:11:96464593:96758941:1 gene:ENSMUSG00000057058.16 transcript:ENSMUST00000103154.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skap1 description:src family associated phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:1925723] MQAVALPEEICWLLEDTEDFLAEGLQNENLSPGAQDQRAHILRGFQQIKSRYCWDFQPQG GDLGQDGSDDNLSGTHGPPLTSEASFWSDYQDEGIEDILRGAQELDSVIKQGYLEKKSKD HSFFGSEWQKRWCVISRGLFLYYANEKSKQPKGTFLIKGYSVRMAPHLRKDSKKESCFEL ISQDRRSYEFTASSPAEARDWVDQISFLLKDLSSLTIPFEEEEEEEEEEEKEEEEMYNDV DGFDSPRSGSQCRAMALPEPTEKEEDIYEVLPDDDDLEEDTCGAHRRRVDYADYYQGLWD CHGDQPDELSFQRGDLIRILSKEYNMYGWWVGELNSVIGIVPKDYLTTAFEMEGI >ENSMUSP00000098090.3 pep:known chromosome:GRCm38:11:96464593:96759130:1 gene:ENSMUSG00000057058.16 transcript:ENSMUST00000100521.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skap1 description:src family associated phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:1925723] MQAVALPEEICWLLEDTEDFLAEGLQNENLSPGAQDQRAHILRGFQQIKSRYCWDFQPQG GDLGQDGSDDNLSGTHGPPLTSEASFWSDYQDEGIEDILRGAQELDSVIKQGYLEKKSKD HSFFGSEWQKRWCVISRGLFLYYANEKSKQPKGTFLIKGYSVRMAPHLRKDSKKESCFEL ISQDRRSYEFTASSPAEARDWVDQISFLLKDLSSLTIPFEEEEEEEEEEEKEEEEMYNDV DGFDSPRSGSQCRAMALPEPTEKEEDIYEVLPVDYADYYQGLWDCHGDQPDELSFQRGDL IRILSKGTYSQTIRNSRPLLWPWILLFPEWSQDSAASCDFKPLIR >ENSMUSP00000098088.4 pep:known chromosome:GRCm38:11:96464600:96493718:1 gene:ENSMUSG00000057058.16 transcript:ENSMUST00000100519.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skap1 description:src family associated phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:1925723] MQAVALPEEICWLLEDTEDFLAEGLQNENLSPGAQDQRAHILRGFQQIKSSCIIVLENVP RRDYILHIGQKKYGWQFL >ENSMUSP00000071445.7 pep:known chromosome:GRCm38:11:96464627:96758941:1 gene:ENSMUSG00000057058.16 transcript:ENSMUST00000071510.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skap1 description:src family associated phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:1925723] MQAVALPEEICWLLEDTEDFLAEGLQNENLSPGAQDQRAHILRGFQQIKSRYCWDFQPQG GDLGQDGSDDNLSGTHGPPLTSEASFWSDYQDEGIEDILRGAQELDSVIKQGYLEKKSKD HSFFGSEWQKRWCVISRGLFLYYANEKSKQPKGTFLIKGYSVRMAPHLRKDSKKESCFEL ISQDRRSYEFTASSPAEARDWVDQISFLLKDLSSLTIPFEEEEEEEEEEEKEEEEMYNDV DGFDSPRSGSQCRAMALPEPTEKEEDIYEVLPVDYADYYQGLWDCHGDQPDELSFQRGDL IRILSKEYNMYGWWVGELNSVIGIVPKDYLTTAFEMEGI >ENSMUSP00000103289.2 pep:known chromosome:GRCm38:11:96464633:96582691:1 gene:ENSMUSG00000057058.16 transcript:ENSMUST00000107662.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skap1 description:src family associated phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:1925723] MQAVALPEEICWLLEDTEDFLAEGLQNENLSPGAQDQRAHILRGFQQIKSRYCWDFQPQG GDLGQDGSDDNLSGTHGPPLTSEASFWSDYQDEERSQTPSFGFLTARRHLENAPLPVFMK SMNALLVEQLCLKVLTNKGGLSSSRYSTAHLALMCQELLQVIYVY >ENSMUSP00000103290.3 pep:known chromosome:GRCm38:11:96464681:96678371:1 gene:ENSMUSG00000057058.16 transcript:ENSMUST00000107663.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skap1 description:src family associated phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:1925723] MQAVALPEEICWLLEDTEDFLAEGLQNENLSPGAQDQRAHILRGFQQIKSRYCWDFQPQG GDLGQDGSDDNLSGTHGPPLTSEASFWSDYQDEGHPWLLLSSWCLAYHSLHRLSHKFPSL STAPFH >ENSMUSP00000100725.3 pep:known chromosome:GRCm38:13:98354242:98356703:1 gene:ENSMUSG00000078302.4 transcript:ENSMUST00000105098.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxd1 description:forkhead box D1 [Source:MGI Symbol;Acc:MGI:1347463] MTLSTEMSDASGLAEETDIDVVGEGEDDEEEEDDDDEGGGGRGGGGSRLPSSAQRRRRSY AGEDDLEDLEEEDDDDLLLASRPAASPAPPGPAPAPGTGSGGCSGAGAGGGAGGGTGAGT GGGAKNPLVKPPYSYIALITMAILQSPKKRLTLSEICEFISSRFPYYREKFPAWQNSIRH NLSLNDCFVKIPREPGNPGKGNYWTLDPESADMFDNGSFLRRRKRFKRQPLLAPHAAAEA LLLRGAGPAAGAGDPGAALFPPPPPPPACGYGAYGCAYGLQLPPCAPPSALFAAAAAAAA AAFHPHSPPPPPPPPPPPPGAAAELARTAFGYRSHALAAALPGPLQAAAVKAGGPGAAAL ARSPFSIESLIGRTRGPAAAGAHVSSGAASGTAPGPGGGGCAVQAAAGPAVALTRSLVVA AAAAASSVSSSAALGTLHQGTALSSVENFTARISNC >ENSMUSP00000106645.2 pep:known chromosome:GRCm38:2:110721340:110739527:1 gene:ENSMUSG00000050808.13 transcript:ENSMUST00000111016.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc15 description:mucin 15 [Source:MGI Symbol;Acc:MGI:2442110] MLTLAKIALISSLFISLPFARPQKQNPRRNVTQHTIEDVKIMRNNSIHLERSINVTSENG SDISNLMVTTPSPLNLSTTFRTTNSTRTWLMTSSSESSRPSSTYSVPPLVQGFVSKLPLN SSTADANPLQVSEHSNSTNSPSPENFTWSLDNDTMNSPEDISTTVRPFPPPPKTTPVTPF TAEPTEWLPTNNDNFAGFTPYQEKTTLQPTLKFTNNSKLFPNTSDTPKENKNTGIVFGAI LGAILGASLLSLVGYLLCGQRKTDSFSHRRLYDDRNEPVLRLDNAPEPYDVNFGNSSYYN PAVSDSSMPEGGESLQDGIPMDAIPPLRPSI >ENSMUSP00000106646.2 pep:known chromosome:GRCm38:2:110721611:110739527:1 gene:ENSMUSG00000050808.13 transcript:ENSMUST00000111017.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc15 description:mucin 15 [Source:MGI Symbol;Acc:MGI:2442110] MLTLAKIALISSLFISLPFARPQKQNPRRNVTQHTIEDVKIMRNNSIHLERSINVTSENG SDISNLMVTTPSPLNLSTTFRTTNSTRTWLMTSSSESSRPSSTYSVPPLVQGFVSKLPLN SSTADANPLQVSEHSNSTNSPSPENFTWSLDNDTMNSPEDISTTVRPFPPPPKTTPVTPF TAEPTEWLPTNNDNFAGFTPYQEKTTLQPTLKFTNNSKLFPNTSDTPKENKNTGIVFGAI LGAILGASLLSLVGYLLCGQRKTDSFSHRRLYDDRNEPVTTTQL >ENSMUSP00000087805.4 pep:known chromosome:GRCm38:2:110721648:110737584:1 gene:ENSMUSG00000050808.13 transcript:ENSMUST00000090332.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc15 description:mucin 15 [Source:MGI Symbol;Acc:MGI:2442110] MLTLAKIALISSLFISLPFARPQKQNPRRNVTQHTIEDVKIMRNNSIHLERSINVTSENG SDISNLMVTTPSPLNLSTTFRTTNSTRTWLMTSSSESSRPSSTYSVPPLVQGFVSKLPLN SSTADANPLQVSEHSNSTNSPSPENFTWSLDNDTMNSPEDISTTVRPFPPPPKTTPVTPF TAEPTEWLPTNNDNFAGFTPYQEKTTLQPTLKFTNNSKLFPNTSDTPKENKNTGIVFGAI LGAILGASLLSLVGYLLCGQRKTDSFSHRRLYDDRNEPVLRLDNAPEPYDVNFGNSSYYN PAVSDSSMPEGGESLQDGIPMDAIPPLRPSI >ENSMUSP00000101708.2 pep:known chromosome:GRCm38:4:127047094:127061152:-1 gene:ENSMUSG00000043872.14 transcript:ENSMUST00000106102.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym1 description:zinc finger, MYM domain containing 1 [Source:MGI Symbol;Acc:MGI:1915560] MLPAVPGTAVRLSCAKCKRVFQEGQTAFQRKGSAELFCSRLCIDGYPSPAVSPAPLKRMC LNCSRDILSLKDMKSVQLEDSSCDKNFCSQSCLTSYEEKRKPGVTIYTDSSLPKCSMCQK TSTKPPRILRSSPCKSLQLSDEMIAITNDLGNVEVYCSACSSSYNSAVMESPSVNVSMVH SSSKENLCPKKYPVISNIMSLVNNHVDLVVNTDLLQGTLSSLPTDVIMSNSASESSSGVG NSADQPGLLPPCSVLCEDTAAPGEGVENNSEKSMEGNDRLCLSKSTLTEQNIKTEPPGEP WSPALQHLHPSPADNIAFCYSCYLFFQKKFSCRREAFATQGTADWEKMLGNFRKHEESDV HLKSLQFWRQYQFLDAIPCDNSSVYSKQIEGNKKYLRLIIENILFLGKQCLLLKGNDQSV SPMNKGSFLELLELRARDRGEVFQLMSSHVDFYSSTLVQEEIIEMIKDEMLQDIVSEINA SSAFSIICEEIAGSAAERQLSVCVRYPQKTPSAVLVKERFLGFVTAEEVTGVHVHGRIKA YLQQVGVDFNKICGQAYDSATNFTVKLNEVVAEFKKEEPRALYVHCHAHFLELAVISFCK EVKELREALHTLCSLFNTVHVSEEMLASLQTMCKLSLNKTCKKHTSQSCWPAHDHLLLAV TDCLPEVVEVLASVSRRCAGTAVAAELEDLLAMVTKFEFIFCLKFLYRVLSITGILSREF QSETVDIFSLFSKIEAILECLSSERNDTYFKNIWDGADEICKKITSKGFDVEKPSFQKRR KVQRTVDPGNSEHMFFPTSADEQYKFNIYYQGLDTVLKHLKLYFSEFDYCKMKQISELLL KWNEPLNEATAKHIQEFYKLDADIIPELRFYRQYAGFNFIAEDGSLSFIDLGSLFIQHAL HNNIPCITKLLHIALSWPITSANNEKSFSTLSHLKTYLLRTMGQEKLSSLALIAVEQELV NKLMEPERLGGIVEKFISQMKDT >ENSMUSP00000101705.1 pep:known chromosome:GRCm38:4:127047642:127061124:-1 gene:ENSMUSG00000043872.14 transcript:ENSMUST00000106099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym1 description:zinc finger, MYM domain containing 1 [Source:MGI Symbol;Acc:MGI:1915560] MLPAVPGTAVRLSCAKCKRVFQEGQTAFQRKGSAELFCSRLCIDGYPSPAVSPAPLKRMC LNCSRDILSLKDMKSVQLEDSSCDKNFCSQSCLTSYEEKRKPGVTIYTDSSLPKCSMCQK TSTKPPRILRSSPCKSLQLSDEMIAITNDLGNVEVYCSACSSSYNSAVMESPSVNVSMVH SSSKENLCPKKYPVISNIMSLVNNHVDLVVNTDLLQGTLSSLPTDVIMSKKFSCRREAFA TQGTADWEKMLGNFRKHEESDVHLKSLQFWRQYQFLDAIPCDNSSVYSKQIEGNKKYLRL IIENILFLGKQCLLLKGNDQSVSPMNKGSFLELLELRARDRGEVFQLMSSHVDFYSSTLV QEEIIEMIKDEMLQDIVSEINASSAFSIICEEIAGSAAERQLSVCVRYPQKTPSAVLVKE RFLGFVTAEEVTGVHVHGRIKAYLQQVGVDFNKICGQAYDSATNFTVKLNEVVAEFKKEE PRALYVHCHAHFLELAVISFCKEVKELREALHTLCSLFNTVHVSEEMLASLQTMCKLSLN KTCKKHTSQSCWPAHDHLLLAVTDCLPEVVEVLASVSRRCAGTAVAAELEDLLAMVTKFE FIFCLKFLYRVLSITGILSREFQSETVDIFSLFSKIEAILECLSSERNDTYFKNIWDGAD EICKKITSKGFDVEKPSFQKRRKVQRTVDPGNSEHMFFPTSADEQYKFNIYYQGLDTVLK HLKLYFSEFDYCKMKQISELLLKWNEPLNEATAKHIQEFYKLDADIIPELRFYRQYAGFN FIAEDGSLSFIDLGSLFIQHALHNNIPCITKLLHIALSWPITSANNEKSFSTLSHLKTYL LRTMGQEKLSSLALIAVEQELVNKLMEPERLGGIVEKFISQMKDT >ENSMUSP00000120772.1 pep:known chromosome:GRCm38:4:127054327:127061086:-1 gene:ENSMUSG00000043872.14 transcript:ENSMUST00000136186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym1 description:zinc finger, MYM domain containing 1 [Source:MGI Symbol;Acc:MGI:1915560] MLPAVPGTAVRLSCAKCKRVFQEGQTAFQRKGSAELFCSRLCIDGYPSPAVSPAPLKRMC LNCSRDILSLKDMKSVQLEDSSC >ENSMUSP00000050669.3 pep:known chromosome:GRCm38:4:127047593:127058986:-1 gene:ENSMUSG00000043872.14 transcript:ENSMUST00000055013.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym1 description:zinc finger, MYM domain containing 1 [Source:MGI Symbol;Acc:MGI:1915560] MLPAVPGTAVRLSCAKCKRVFQEGQTAFQRKGSAELFCSRLCIDGYPSPAVSPAPLKRMC LNCSRDILSLKDMKSVQLEDSSCDKNFCSQSCLTSYEEKRKPGVTIYTDSSLPKCSMCQK TSTKPPRILRSSPCKSLQLSDEMIAITNDLGNVEVYCSACSSSYNSAVMESPSVNVSMVH SSSKENLCPKKYPVISNIMSLVNNHVDLVVNTDLLQGTLSSLPTDVIMSNSASESSSGVG NSADQPGLLPPCSVLCEDTAAPGEGVENNSEKSMEGNDRLCLSKSTLTEQNIKTEPPGEP WSPALQHLHPSPADNIAFCYSCYLFFQKKFSCRREAFATQGTADWEKMLGNFRKHEESDV HLKSLQFWRQYQFLDAIPCDNSSVYSKQIEGNKKYLRLIIENILFLGKQCLLLKGNDQSV SPMNKGSFLELLELRARDRGEVFQLMSSHVDFYSSTLVQEEIIEMIKDEMLQDIVSEINA SSAFSIICEEIAGSAAERQLSVCVRYPQKTPSAVLVKERFLGFVTAEEVTGVHVHGRIKA YLQQVGVDFNKICGQAYDSATNFTVKLNEVVAEFKKEEPRALYVHCHAHFLELAVISFCK EVKELREALHTLCSLFNTVHVSEEMLASLQTMCKLSLNKTCKKHTSQSCWPAHDHLLLAV TDCLPEVVEVLASVSRRCAGTAVAAELEDLLAMVTKFEFIFCLKFLYRVLSITGILSREF QSETVDIFSLFSKIEAILECLSSERNDTYFKNIWDGADEICKKITSKGFDVEKPSFQKRR KVQRTVDPGNSEHMFFPTSADEQYKFNIYYQGLDTVLKHLKLYFSEFDYCKMKQISELLL KWNEPLNEATAKHIQEFYKLDADIIPELRFYRQYAGFNFIAEDGSLSFIDLGSLFIQHAL HNNIPCITKLLHIALSWPITSANNEKSFSTLSHLKTYLLRTMGQEKLSSLALIAVEQELV NKLMEPERLGGIVEKFISQMKDT >ENSMUSP00000076178.5 pep:known chromosome:GRCm38:X:7697131:7710262:1 gene:ENSMUSG00000031148.14 transcript:ENSMUST00000049896.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpkow description:G patch domain and KOW motifs [Source:MGI Symbol;Acc:MGI:1859610] MAGRESPPPSAPSMAPISFGFTRTSVRRRLADLGDSERQAPEEKDFLATVEGRKLQSVNP PEAPKELVIPLIQNGSRRQPLSKNPKPSSETSTVLMSDGVLSQAVKELIEESKKSLEERE NAGVDPTLTIPMIQKGCTPIEEGSDSEPQAETVPEEADYEAVPVEAYGLAMLRGMGWKPG KGIGNTFSQVVKPRVNSIRPKGLGLGANRMEAQDLASVGSHHPPRPDGDRENDKEGQPQG LMHGRAVVVLSGPYRGLYGKVEGLDPDNVRAMVRLAVGNRIVTVSEYCLRPVSQQEFDSH TSKPGHVSQTSTEQQNRATGTASSLKAAQNQEDSKRRQKGSEKKRKHSPDRQDGPVPKTE KAAPRNKHWLHRDLRVRFIDKLHKSGRYYNTKMTIEDVLSPDTCVCRTDEGRVLEDVRED MLETLIPKGEGHRVMVVLGPHAGKVGLLRSRDRAQSHALVQLRRENQVVELHYNAICQYM GPGDSDED >ENSMUSP00000118248.1 pep:known chromosome:GRCm38:X:7703505:7708018:1 gene:ENSMUSG00000031148.14 transcript:ENSMUST00000154562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpkow description:G patch domain and KOW motifs [Source:MGI Symbol;Acc:MGI:1859610] XEGQPQGLMHGRAVVVLSGPYRGLYGKVEGLDPDNVRAMVRLAVGNRIVTVSHVSQTSTE QQNRATGTASSLKAAQNQEDSKRRQKGSEKKRKHSPDRQDGPVPKTEKAAPRNKHWLHRD LRVRFIDKLHKSGRYYNTKMTIEDVLSPDTCVCRTDEGRVLEDVREDMLETLIPKGEGHR VMVVLGPHAG >ENSMUSP00000049852.3 pep:known chromosome:GRCm38:7:89404355:89413134:1 gene:ENSMUSG00000049791.4 transcript:ENSMUST00000058755.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd4 description:frizzled class receptor 4 [Source:MGI Symbol;Acc:MGI:108520] MAWPGTGPSSRGAPGGVGLRLGLLLQFLLLLRPTLGFGDEEERRCDPIRIAMCQNLGYNV TKMPNLVGHELQTDAELQLTTFTPLIQYGCSSQLQFFLCSVYVPMCTEKINIPIGPCGGM CLSVKRRCEPVLREFGFAWPDTLNCSKFPPQNDHNHMCMEGPGDEEVPLPHKTPIQPGEE CHSVGSNSDQYIWVKRSLNCVLKCGYDAGLYSRSAKEFTDIWMAVWASLCFISTTFTVLT FLIDSSRFSYPERPIIFLSMCYNIYSIAYIVRLTVGRERISCDFEEAAEPVLIQEGLKNT GCAIIFLLMYFFGMASSIWWVILTLTWFLAAGLKWGHEAIEMHSSYFHIAAWAIPAVKTI VILIMRLVDADELTGLCYVGNQNLDALTGFVVAPLFTYLVIGTLFIAAGLVALFKIRSNL QKDGTKTDKLERLMVKIGVFSVLYTVPATCVIACYFYEISNWALFRYSADDSNMAVEMLK IFMSLLVGITSGMWIWSAKTLHTWQKCSNRLVNSGKVKREKRGNGWVKPGKGNETVV >ENSMUSP00000014220.8 pep:known chromosome:GRCm38:16:32419702:32429099:1 gene:ENSMUSG00000014075.15 transcript:ENSMUST00000014220.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctex1d2 description:Tctex1 domain containing 2 [Source:MGI Symbol;Acc:MGI:1913311] MAVSFRGLSLSAHSEGLSEVDKNSGEPENTYILRPIFQQRFRPSVVKDCIHTVLKEELAS AEYSPDEMPQLTKRLSEMIKDKLKELGYDRYKMVVQVVIGEQRGEGVFMAARCFWDADTD NYTHDVFMNDSLFCVVAAFGCFYY >ENSMUSP00000079194.7 pep:known chromosome:GRCm38:16:32419746:32429095:1 gene:ENSMUSG00000014075.15 transcript:ENSMUST00000080316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctex1d2 description:Tctex1 domain containing 2 [Source:MGI Symbol;Acc:MGI:1913311] MAVSFRGLSLSAHSEGLSEVDKNSGEPENTYILRPIFQQRFRPSVVKDCIHTVLKEELAS AEYSPDEMPQLTKRLSEMIKDKLKAWLLAVSGMQTRTTTLTMFS >ENSMUSP00000096882.2 pep:known chromosome:GRCm38:10:112925430:112928995:-1 gene:ENSMUSG00000074748.3 transcript:ENSMUST00000099276.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7l3b description:ataxin 7-like 3B [Source:MGI Symbol;Acc:MGI:1914971] MEEISLANLDTNKLEAIAQEIYVDLIEDSCLGFCFEVHRAVKCGYFYLEFADTGSVKDFG IQPVEDKGACRLPLCSLPGDPGDGPQTELQRSPPEFQ >ENSMUSP00000077005.2 pep:known chromosome:GRCm38:10:129462678:129463616:1 gene:ENSMUSG00000096497.1 transcript:ENSMUST00000077836.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr787 description:olfactory receptor 787 [Source:MGI Symbol;Acc:MGI:3030621] MKNHSVITEFVLLGISDDPEVQVVIFILLFIAYILSVTGNLTIIILTLLDSQLKTPMYFF LQNFSFLEIIFTSVSIPRFLESIITKVKTISYNNCLAQLYFFISMGVSEFFLLTAMSYDR YVAICKPLHYTLIMNQKVCTLLVLASWLAGFLTIFPPLMLVLKLDFCASNVIDHFSCDYF PILQLSCSDTRSLEMIGFYFAFITLLFTLALVILSYISIISTILRFPSASQRKKAFSTCS SHMIVISISYGSCIFMYVKPSANERASLTKGVAVLNTSIAPMLNPFIYSLRNEQVKQAFK DLINKVVLYRSK >ENSMUSP00000080162.3 pep:known chromosome:GRCm38:8:27225776:27229588:-1 gene:ENSMUSG00000031489.14 transcript:ENSMUST00000081438.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrb3 description:adrenergic receptor, beta 3 [Source:MGI Symbol;Acc:MGI:87939] MAPWPHRNGSLALWSDAPTLDPSAANTSGLPGVPWAAALAGALLALATVGGNLLVIIAIA RTPRLQTITNVFVTSLAAADLVVGLLVMPPGATLALTGHWPLGETGCELWTSVDVLCVTA SIETLCALAVDRYLAVTNPLRYGTLVTKRRARAAVVLVWIVSAAVSFAPIMSQWWRVGAD AEAQECHSNPRCCSFASNMPYALLSSSVSFYLPLLVMLFVYARVFVVAKRQRHLLRRELG RFSPEESPPSPSRSPSPATGGTPAAPDGVPPCGRRPARLLPLREHRALRTLGLIMGIFSL CWLPFFLANVLRALAGPSLVPSGVFIALNWLGYANSAFNPVIYCRSPDFRDAFRRLLCSY GGRGPEEPRAVTFPASPVEARQSPPLNRFDGYEGARPFPT >ENSMUSP00000113732.1 pep:known chromosome:GRCm38:8:27226799:27228494:-1 gene:ENSMUSG00000031489.14 transcript:ENSMUST00000117565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrb3 description:adrenergic receptor, beta 3 [Source:MGI Symbol;Acc:MGI:87939] MAPWPHRNGSLALWSDAPTLDPSAANTSGLPGVPWAAALAGALLALATVGGNLLVIIAIA RTPRLQTITNVFVTSLAAADLVVGLLVMPPGATLALTGHWPLGETGCELWTSVDVLCVTA SIETLCALAVDRYLAVTNPLRYGTLVTKRRARAAVVLVWIVSAAVSFAPIMSQWWRVGAD AEAQECHSNPRCCSFASNMPYALLSSSVSFYLPLLVMLFVYARVFVVAKRQRHLLRRELG RFSPEESPPSPSRSPSPATGGTPAAPDGVPPCGRRPARLLPLREHRALRTLGLIMGIFSL CWLPFFLANVLRALAGPSLVPSGVFIALNWLGYANSAFNPVIYCRSPDFRDAFRRLLCSY GGRGPEEPRAVTFPASPVEARQSPPLNSSLLREPRHLYTCLGYP >ENSMUSP00000113006.1 pep:known chromosome:GRCm38:8:27226001:27228583:-1 gene:ENSMUSG00000031489.14 transcript:ENSMUST00000121838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrb3 description:adrenergic receptor, beta 3 [Source:MGI Symbol;Acc:MGI:87939] MAPWPHRNGSLALWSDAPTLDPSAANTSGLPGVPWAAALAGALLALATVGGNLLVIIAIA RTPRLQTITNVFVTSLAAADLVVGLLVMPPGATLALTGHWPLGETGCELWTSVDVLCVTA SIETLCALAVDRYLAVTNPLRYGTLVTKRRARAAVVLVWIVSAAVSFAPIMSQWWRVGAD AEAQECHSNPRCCSFASNMPYALLSSSVSFYLPLLVMLFVYARVFVVAKRQRHLLRRELG RFSPEESPPSPSRSPSPATGGTPAAPDGVPPCGRRPARLLPLREHRALRTLGLIMGIFSL CWLPFFLANVLRALAGPSLVPSGVFIALNWLGYANSAFNPVIYCRSPDFRDAFRRLLCSY GGRGPEEPRAVTFPASPVEARQSPPLNRFDGYEGARPFPT >ENSMUSP00000140783.1 pep:known chromosome:GRCm38:1:24678630:24765448:1 gene:ENSMUSG00000073725.8 transcript:ENSMUST00000191471.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbrd1 description:LMBR1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1915671] MAAAAAELVIGWCIFGLLLLAILAFCWVYVRKYQSQRESEVVSTVTAIFSLAVALITSAL LPVDIFLVSYMKNQNGTFKDWADANVTVQIENTVLYGYYTLYSVILFCVFFWIPFVYFYY EEKDEDDASKCTQIKTALKYTLGFVVICALLLLVGAFVPLHLPNNNNSTEWEKVKLLFED LGTGQGLAALSFSISSLTLIGMLAAITYTAYGMSALPLNLIKGTRSTAYERLENTEDIEE VEQHIQTIRSKSKDGRPLPARDRRALKQCEERLRTLRKRERHLEFIENSWWTKFCGALRP LKIIWGIFFILVALLFVISLFLSNLDKALHSAGIDSGFIIFGTNLSNPLNMLLPLLQTVF PLDYILITIIIMYFIFTSMAGIRNIGIWFFWIRLYKIRRGRTRPQALLFLCMILLLIVLH TSYMIYSLAPQYVMYGSQNYLIESNITSDAHKGNSTLAVPKRCDADAPKDQCTVTRTYIF LHKFWFFSAAYYFGNWAFLVVFLIGLIVSCCKGKKSVIEGVDEDSDLSDDEPSAYSA >ENSMUSP00000140911.1 pep:known chromosome:GRCm38:1:24678660:24707051:1 gene:ENSMUSG00000073725.8 transcript:ENSMUST00000186096.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbrd1 description:LMBR1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1915671] MAAAAAELVIGWCIFGLLLLAILAFCWVYVRKYQSQRESEVVSTVTAIFSLAVALITSAL LPVDIFLVSYMKNQNGTFKDWADANVTVQIENTVLYGYYTLYSVILFCVFFWIPFVYFYY EEKDEDDASKCTQIKTALKYTLGFVVICALLLLVGGLELEC >ENSMUSP00000139893.1 pep:known chromosome:GRCm38:1:24678739:24731894:1 gene:ENSMUSG00000073725.8 transcript:ENSMUST00000186190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbrd1 description:LMBR1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1915671] MAAAAAELVIGWCIFGLLLLAILAFCWVYVRKYQSQRESEVVSTVTAIFSLAVALITSAL LPVDIFLVSYMKNQNGTFKDIEEVEQHIQTIRSKSKDGRPLPARDRRALKQCEERLRTLR KRERHLEFIENSWWTKFCGALRP >ENSMUSP00000092672.4 pep:known chromosome:GRCm38:1:24678926:24766301:1 gene:ENSMUSG00000073725.8 transcript:ENSMUST00000095062.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbrd1 description:LMBR1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1915671] MKNQNGTFKDWADANVTVQIENTVLYGYYTLYSVILFCVFFWIPFVYFYYEEKDEDDASK CTQIKTALKYTLGFVVICALLLLVGAFVPLHLPNNNNSTEWEKVKLLFEDLGTGQGLAAL SFSISSLTLIGMLAAITYTAYGMSALPLNLIKGTRSTAYERLENTEDIEEVEQHIQTIRS KSKDGRPLPARDRRALKQCEERLRTLRKRERHLEFIENSWWTKFCGALRPLKIIWGIFFI LVALLFVISLFLSNLDKALHSAGIDSGFIIFGTNLSNPLNMLLPLLQTVFPLDYILITII IMYFIFTSMAGIRNIGIWFFWIRLYKIRRGRTRPQALLFLCMILLLIVLHTSYMIYSLAP QYVMYGSQNYLIESNITSDAHKGNSTLAVPKRCDADAPKDQCTVTRTYIFLHKFWFFSAA YYFGNWAFLVVFLIGLIVSCCKGKKSVIEGVDEDSDLSDDEPSAYSA >ENSMUSP00000140516.1 pep:known chromosome:GRCm38:1:24744873:24762393:1 gene:ENSMUSG00000073725.8 transcript:ENSMUST00000190195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbrd1 description:LMBR1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1915671] XLDYILITIIIMYFIFTSMAGIRNIGIWFFWIRLYKIRRGRTRPQALLFLCMILLLIVLH TSYMIYSLAPQYVMYGSQNYLIESNITSDAHKGNSTLAVPKRCDADAPKDQCTVTRTYIF LHKFWFFSAAYYFGNWAFLVVSL >ENSMUSP00000105151.1 pep:known chromosome:GRCm38:11:23665674:23732876:1 gene:ENSMUSG00000020280.12 transcript:ENSMUST00000109525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus10 description:pseudouridylate synthase 10 [Source:MGI Symbol;Acc:MGI:1921717] MLPLTEENKHVAQLLFSSGTCPRCILRFCGVDLPAPYKHPSKELLNELQKFLEPEKPELI LEAPNPPLKKIRLHEDGIDNLSEDGKEGVSVTEDESMAEKPSKLRVCNVCLGILQEFCEK GFITKVCQKVEASGFEFTSVVLSVSFPPQLSVREHAAWLLVKQEMGKQSLSLGRNDVVQL KEAYKWITHPLFSEELGVPTDGKSLFEVSVVFAHPETAEDCHFLGEVCRDCFKPAKNKQS VFTRMAVLKALSKIKEEDFLGQFPCPPNSPKTVCTVLEVECTHGAVFVAGRYNKYSRNLP QTPWIIDGERKMESSVEELISDHLLAVFRAESFNFSSSGREDVDVRTLGNGRPFAVELLN PHRVHFTSQEMKELQQTINKSSDKIQVRDLQLVTREAIGHMKEGEEEKTKTYSALIWTNR AIQKKDIGFLDDLKDLKIDQKTPLRVLHRRPLAVRTRAIHSMKTHYLDEHHFRLHLKTQA GTYIKEFVHGDFGRTKPNLGSLMNVTADILELDVESVDVDWPPALDD >ENSMUSP00000020520.4 pep:known chromosome:GRCm38:11:23665697:23731525:1 gene:ENSMUSG00000020280.12 transcript:ENSMUST00000020520.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus10 description:pseudouridylate synthase 10 [Source:MGI Symbol;Acc:MGI:1921717] MLPLTEENKHVAQLLFSSGTCPRCILRFCGVDLPAPYKHPSKELLNELQKFLEPEKPELI LEAPNPPLKKIRLHEDGIDNLSEDGKEGVSVTEDESMAEKPSKLRVCNVCLGILQEFCEK GFITKVCQKVEASGFEFTSVVLSVSFPPQLSVREHAAWLLVKQEMGKQSLSLGRNDVVQL KEAYKWITHPLFSEELGVPTDGKSLFEVSVVFAHPETAEDCHFLGEVCRDCFKPAKNKQS VFTRMAVLKALSKIKEEDFLGQFPCPPNSPKTVCTVLEVECTHGAVFVAGRYNKYSRNLP QTPWIIDGERKMESSVEELISDHLLAVFRAESFNFSSSGREDVDVRTLGNGRPFAVELLN PHRVHFTSQEMKELQQTINKSSDKIQVRDLQLVTREAIGHMKEGEEEKTKTYSALIWTNR AIQKKDIGFLDDLKDLKIDQKTPLRVLHRRPLAVRTRAIHSMKTHYLDEHHFRLHLKTQA GTYIKEFVHGDFGRTKPNLGSLMNVTADILELDVESVDVDWPPALDD >ENSMUSP00000050395.4 pep:known chromosome:GRCm38:11:23666007:23732876:1 gene:ENSMUSG00000020280.12 transcript:ENSMUST00000058163.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus10 description:pseudouridylate synthase 10 [Source:MGI Symbol;Acc:MGI:1921717] MLPLTEENKHVAQLLFSSGTCPRCILRFCGVDLPAPYKHPSKELLNELQKFLEPEKPELI LEAPNPPLKKIRLHEDGIDNLSEDGKEGVSVTEDESMAEKPSKLRVCNVCLGILQEFCEK GFITKVCQKVEASGFEFTSVVLSVSFPPQLSVREHAAWLLVKQEMGKQSLSLGRNDVVQL KEAYKWITHPLFSEELGVPTDGKSLFEVSVVFAHPETAEDCHFLGEVCRDCFKPAKNKQS VFTRMAVLKALSKIKEEDFLGQFPCPPNSPKTVCTVLEVECTHGAVFVAGRYNKYSRNLP QTPWIIDGERKMESSVEELISDHLLAVFRAESFNFSSSGREDVDVRTLGNGRPFAVELLN PHRVHFTSQEMKELQQTINKSSDKIQVRDLQLVTREAIGHMKEGEEEKTKTYSALIWTNR AIQKKDIGFLDDLKDLKIDQKTPLRVLHRRPLAVRTRAIHSMKTHYLDEHHFRLHLKTQA GTYIKEFVHGDFGRTKPNLGSLMNVTADILELDVESVDVDWPPALDD >ENSMUSP00000117934.1 pep:known chromosome:GRCm38:11:23666511:23673281:1 gene:ENSMUSG00000020280.12 transcript:ENSMUST00000143117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus10 description:pseudouridylate synthase 10 [Source:MGI Symbol;Acc:MGI:1921717] MLPLTEENKHVAQLLFSSGTCPRCILRFCGVDLPAPYKHPSKELLNELQKFLEPEKPELI LEAPNPPLKKIRLHEDGIDNLSEDGKEGVSVTEDESMAEKPSKLRVCNVCLGILQEFCEK GFITKVCQKVEASGFEFTSV >ENSMUSP00000054710.3 pep:known chromosome:GRCm38:10:129472694:129473629:1 gene:ENSMUSG00000049217.3 transcript:ENSMUST00000056961.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr788 description:olfactory receptor 788 [Source:MGI Symbol;Acc:MGI:3030622] MNHTEITEFILLGLSDDPDLQIVIFLFLLITYMLSVIGNLTIIVLTFIDTHLQTPMYFFL RNFAFLEVSFTSVCIPRFLGSIVTRNKTISYNNCAAQLFFFIFMGVCEFYILTAMSYDRY VAICKPLHYTTIMNRKLCTLFVLCAWLAGFLTVFPPLMLLLQQDYCASNVIDHFACDFFP LLQLSCSDTLFLEVIGFYVALVALLFTLALVILSYMYIIRTILRIPSTSQRKKAFSTCSS HMIVISLSYGSCIFMYANPSAKEKASLTKGVAILNTSVVPMLNPFIYTLRNQQVKQAFKG AVHKLVFSVSK >ENSMUSP00000146760.1 pep:known chromosome:GRCm38:16:59147046:59149339:1 gene:ENSMUSG00000062608.3 transcript:ENSMUST00000208239.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr195 description:olfactory receptor 195 [Source:MGI Symbol;Acc:MGI:3030029] MAENNYSVTNEFILVGFSDHPDLKTPLFLVFSAIYLVTMVGNLGLVALIYMEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVDRRISLYECMVQFYFLCLAETTDCFLLAAMAY DRYVAICNPLQYHSMMSKKLCLQMTMGSYIAGNLHPMIEVGL >ENSMUSP00000073737.2 pep:known chromosome:GRCm38:16:59148829:59149823:1 gene:ENSMUSG00000062608.3 transcript:ENSMUST00000075381.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr195 description:olfactory receptor 195 [Source:MGI Symbol;Acc:MGI:3030029] MAENNYSVTNEFILVGFSDHPDLKTPLFLVFSAIYLVTMVGNLGLVALIYMEPRLHTPMY IFLGNLALMDSCCSCAITPKMLENFFSVDRRISLYECMVQFYFLCLAETTDCFLLAAMAY DRYVAICNPLQYHSMMSKKLCLQMTMGSYIAGNLHPMIEVGLLLRLTFCRSHVIKHFFCD VLPLYRISCTDPNINELILLVLAGSIQVFTISIVLVSYSCILFTIFTMKSKEGRGKALST CASHFLSVSIFYGSLLFMYAQPHSANEGDKDMPVAIFYTLIIPLLNPFIYSLRNKEVINV MKKTMKRR >ENSMUSP00000027123.8 pep:known chromosome:GRCm38:1:55077835:55088024:-1 gene:ENSMUSG00000025980.14 transcript:ENSMUST00000027123.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspd1 description:heat shock protein 1 (chaperonin) [Source:MGI Symbol;Acc:MGI:96242] MLRLPTVLRQMRPVSRALAPHLTRAYAKDVKFGADARALMLQGVDLLADAVAVTMGPKGR TVIIEQSWGSPKVTKDGVTVAKSIDLKDKYKNIGAKLVQDVANNTNEEAGDGTTTATVLA RSIAKEGFEKISKGANPVEIRRGVMLAVDAVIAELKKQSKPVTTPEEIAQVATISANGDK DIGNIISDAMKKVGRKGVITVKDGKTLNDELEIIEGMKFDRGYISPYFINTSKGQKCEFQ DAYVLLSEKKISSVQSIVPALEIANAHRKPLVIIAEDVDGEALSTLVLNRLKVGLQVVAV KAPGFGDNRKNQLKDMAIATGGAVFGEEGLNLNLEDVQAHDLGKVGEVIVTKDDAMLLKG KGDKAHIEKRIQEITEQLDITTSEYEKEKLNERLAKLSDGVAVLKVGGTSDVEVNEKKDR VTDALNATRAAVEEGIVLGGGCALLRCIPALDSLKPANEDQKIGIEIIKRALKIPAMTIA KNAGVEGSLIVEKILQSSSEVGYDAMLGDFVNMVEKGIIDPTKVVRTALLDAAGVASLLT TAEAVVTEIPKEEKDPGMGAMGGMGGGMGGGMF >ENSMUSP00000119336.1 pep:known chromosome:GRCm38:1:55083067:55088243:-1 gene:ENSMUSG00000025980.14 transcript:ENSMUST00000127861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspd1 description:heat shock protein 1 (chaperonin) [Source:MGI Symbol;Acc:MGI:96242] MLRLPTVLRQMRPVSRALAPHLTRAYAKDVKFGADARALMLQGVDLLADAVAVTMGPKGR TVIIEQSWGSPKVTKDGVTVAKSIDLKDKYKNIGAKLVQDVANNTNEEAGDGTTTATVLA RSIAKEGFEKISKGANPVEIRRGVMLAVDAVIAELKKQSKPVTTPEEIAQVATISANGDK DIGNIISDAMKKVGRKGVITVK >ENSMUSP00000122947.1 pep:known chromosome:GRCm38:1:55084533:55088243:-1 gene:ENSMUSG00000025980.14 transcript:ENSMUST00000144077.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspd1 description:heat shock protein 1 (chaperonin) [Source:MGI Symbol;Acc:MGI:96242] MLRLPTVLRQMRPVSRALAPHLTRAYAKDVKFGADARALMLQGVDLLADAVAVTMGPKGR TVIIEQSWGSPKVTKDGVTVAKSIDLKDKYKNIGAKLVQDVANNTNEEAGDGTTTATVLA RSIAKEGFEKISKGANPVEIRR >ENSMUSP00000092393.2 pep:known chromosome:GRCm38:7:17972124:17978556:1 gene:ENSMUSG00000030368.10 transcript:ENSMUST00000094799.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam11 description:carcinoembryonic antigen-related cell adhesion molecule 11 [Source:MGI Symbol;Acc:MGI:1914246] MMDSLALSCKDSTSWQGILLTVSLLTCWLIPTTTQITIESVPPIAVEGENVLLFVHNLPE NVQTLSWYTGVKPLKNCEIASHVTATNSTVVGPAHSGREIVLKNGSLLIKSTTRKDSGYY TLQILDTTSRPELIRAEFFVHSPLLGYKKHLAPSQLTIKLVPSRVEENDNILLQVYHLPQ KLQGFAWHKGVLPLDHFKIASHSFLTHSTMLGSAYQDRVIICNDGTLVLLNVTQNDTGLY TFRTISVDLKSEWDILDLQVNKPGNWASPNLRPTSKPWTFSKSRRRAADMCDSSITSVTI QNK >ENSMUSP00000093702.5 pep:known chromosome:GRCm38:6:41373814:41377678:-1 gene:ENSMUSG00000071519.6 transcript:ENSMUST00000096003.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss3 description:protease, serine 3 [Source:MGI Symbol;Acc:MGI:102758] MNALLILALVGAAVAFPVDDDDKIVGGYTCQENSVPYQVSLNSGYHFCGGSLINDQWVVS AAHCYKTRIQVRLGEHNINVLEGNEQFVNAAKIIKHPNFNRKTLNNDIMLLKLSSPVTLN ARVATVALPSSCAPAGTQCLISGWGNTLSFGVSEPDLLQCLDAPLLPQADCEASYPGKIT GNMVCAGFLEGGKDSCQGDSGGPVVCNRELQGIVSWGYGCALPDNPGVYTKVCNYVDWIQ DTIAAN >ENSMUSP00000046227.7 pep:known chromosome:GRCm38:18:25169010:25467316:1 gene:ENSMUSG00000033632.15 transcript:ENSMUST00000036619.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW554918 description:expressed sequence AW554918 [Source:MGI Symbol;Acc:MGI:2147376] MAGAAGPFLPGSAFWSRDFSDEDQSVAYVPGISTEGNTRSRVKLINPKVDVKVKASRVTD ASVSMESLKGAGDSVAEQNFCKRGMKSASLKDLCLEDKRRIANLIKELARVSEEKEVTEE RLKTEQESFEKKIRQLEEQNELIIKEREALQLQYRECQELLSLYQKYLSEQQEKLTLSLS ELGAARAQEQQITKKKNTPQCSLMDLDGSFLSVARPQNYGQTKARPKSANQVSESFTELR NNSLRPITLHHPKEDLERMSTKTRTCTYESLGRRLINAAPIEKSLPVELKIKEYPNLPPT PSSQYCGHKCSESGAYVHENYHPTNMAPQCCKTHPESCSHCRIPWASQMHDRVILQPRET DIEKQLSEDRRQQLMLQKMELEIEKERLQHLLAQQETKLLLKQQQLHQSRLDYNCLWKPK CDGWMRGTSTSFKKCPDSPNSGQNQREKKTVEFQSRVENGIQWTCQQNDICRPQRETVTG VRKDASTSPMSTRSPKEPLTPASLSSQHKTSRYETSLLDLVQSLSPNSAPKSQPHPSRAA GTWSTLRPTPQKSIWKKVGTRRSPEDLEENQILEDIFFI >ENSMUSP00000124241.1 pep:known chromosome:GRCm38:18:25168999:25463725:1 gene:ENSMUSG00000033632.15 transcript:ENSMUST00000159605.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:AW554918 description:expressed sequence AW554918 [Source:MGI Symbol;Acc:MGI:2147376] MAGAAGPFLPGSAFWSRDFSDEDQSVAYVPGISTEGNTRSRVKLINPKVDVKVKASRVTD ASVSMESLKGAGDSVAEQSK >ENSMUSP00000123930.1 pep:known chromosome:GRCm38:18:25169023:25463896:1 gene:ENSMUSG00000033632.15 transcript:ENSMUST00000160530.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:AW554918 description:expressed sequence AW554918 [Source:MGI Symbol;Acc:MGI:2147376] MAGAAGPFLPGSAFWSRDFSDEDQSVAYVPGISTEGNTRSRVKLINPKVDVKVKASRVTD ASVSMESLKGAGDSVAEQSK >ENSMUSP00000097708.3 pep:known chromosome:GRCm38:18:25233914:25464092:1 gene:ENSMUSG00000033632.15 transcript:ENSMUST00000100131.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW554918 description:expressed sequence AW554918 [Source:MGI Symbol;Acc:MGI:2147376] MDLDGSFLSVARPQNYGQTKARPKSANQVSESFTELRNNSLRPITLHHPKEDLERMSTKT RTCTYESLGRRLINAAPIEKSLPVELKIKEYPNLPPTPSSQYCGHKCSESGAYVHENYHP TNMAPQCCKTHPESCSHCRIPWASQMHDRVILQPRETDIEKQLSEDRRQQLMLQKMELEI EKERLQHLLAQQETKLLLKQQQLHQSRLDYNCLWKPKCDGWMRGTSTSFKKCPDSPNSGQ NQREKKTVEFQSRVENGIQWTCQQNDICRPQRETVTGVRKDASTSPMSTRSPKEPLTPAS LSSQHKTSRYETSLLDLVQSLSPNSAPKSQPHPSRAAGTWSTLRPTPQKSIWKKVGTRRS PEDLEENQILEDIFFI >ENSMUSP00000128437.1 pep:known chromosome:GRCm38:18:25169020:25467321:1 gene:ENSMUSG00000033632.15 transcript:ENSMUST00000165400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW554918 description:expressed sequence AW554918 [Source:MGI Symbol;Acc:MGI:2147376] MAGAAGPFLPGSAFWSRDFSDEDQSVAYVPGISTEGNTRSRVKLINPKVDVKVKASRVTD ASVSMESLKGAGDSVAEQNFCKRGMKSASLKDLCLEDKRRIANLIKELARVSEEKEVTEE RLKTEQESFEKKIRQLEEQNELIIKEREELQLQYRECQELLSLYQKYLSEQQEKLTLSLS ELGAARAQEQQITKKKNTPQCSLMDLDGSFLSVARPQNYGQTKARPKSANQVSESFTELR NNSLRPITLHHPKEDLERMSTKTRTCTYESLGRRLINAAPIEKSLPVELKIKEYPNLPPT PSSQYCGHKCSESGAYVHENYHPTNMAPQCCKTHPESCSHCRIPWASQMHDRVILQPRET DIEKQLSEDRRQQLMLQKMELEIEKERLQHLLAQQETKLLLKQQQLHQSRLDYNWLRTQA MFKSRELVADKEFPKPDLDMNGSVSGPSLWKPKCDGWMRGTSTSFKKCPDSPNSGQNQRE KKTVEFQSRVENGIQWTCQQNDICRPQRETVTGVRKDASTSPMSTRSPKEPLTPASLSSQ HKTSRYETSLLDLVQSLSPNSAPKSQPHPSRAAGTWSTLRPTPQKSIWKKVGTRRSPEDL EENQILEDIFFI >ENSMUSP00000095248.3 pep:known chromosome:GRCm38:18:25169020:25467321:1 gene:ENSMUSG00000033632.15 transcript:ENSMUST00000097643.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW554918 description:expressed sequence AW554918 [Source:MGI Symbol;Acc:MGI:2147376] MAGAAGPFLPGSAFWSRDFSDEDQSVAYVPGISTEGNTRSRVKLINPKVDVKVKASRVTD ASVSMESLKGAGDSVAEQNFCKRGMKSASLKDLCLEDKRRIANLIKELARVSEEKEVTEE RLKTEQESFEKKIRQLEEQNELIIKEREALQLQYRECQELLSLYQKYLSEQQEKLTLSLS ELGAARAQEQQITKKKNTPQCSLMDLDGSFLSVARPQNYGQTKARPKSANQVSESFTELR NNSLRPITLHHPKEDLERMSTKTRTCTYESLGRRLINAAPIEKSLPVELKIKEYPNLPPT PSSQYCGHKCSESGAYVHENYHPTNMAPQCCKTHPESCSHCRIPWASQMHDRVILQPRET DIEKQLSEDRRQQLMLQKMELEIEKERLQHLLAQQETKLLLKQQQLHQSRLDYNWLRTQA MFKSRELVADKEFPKPDLDMNGSVSGPSLWKPKCDGWMRGTSTSFKKCPDSPNSGQNQRE KKTVEFQSRVENGIQWTCQQNDICRPQRETVTGVRKDASTSPMSTRSPKEPLTPASLSSQ HKTSRYETSLLDLVQSLSPNSAPKSQPHPSRAAGTWSTLRPTPQKSIWKKVGTRRSPEDL EENQILEDIFFI >ENSMUSP00000122903.1 pep:known chromosome:GRCm38:X:7557296:7574283:-1 gene:ENSMUSG00000039556.16 transcript:ENSMUST00000150787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r3f description:protein phosphatase 1, regulatory (inhibitor) subunit 3F [Source:MGI Symbol;Acc:MGI:1859617] MARTAPVEPPLRHPAPPSPAAGEPRASAEAAVAPRRVLFADEALGLPLAQLRRYRPWGGP GAGKMAAATGQDGGGGGADEEDDGEDGDEGEEEEEAFPDPSPPCPVPAGGGFYLVPTFSL PPALGRLERLGRVMVELEALLPPPGAVPGGSGVWVPGGRPPVVRGLVRVLNRSFEKAVHV RASHDGWATFCDHPARYVPRSPPGAGVGGTGAGDPLLDPGLGLGPGQMSASSPDDGGCTD RFAFQLPFAEGASDGARLDFVVRYETPEGTFWANNHGRNYTVLLRIAPAPTPTDAEGLPQ QQQLQQLEPQPECQGPVEAEARQLKSCMKPVRRRPFEEEPRMRSADDNTLAEHPDVRESL GPLLAPTPLRPWPQMTLQQVPEVMLTSNPQEEGDIPRSNPPVAFTEVRQAPAIRILPATC GLGGPPRDQASGPDASDRAAGSFLEPTQQQVEAAWESGGGRKAPMVGALTDEPARGLEIV SGLDELLGEDTIDQELEQLYLSHLSRLRAVAAAGGGEGTSPTHALGILTDRDLILKWPGP ERALNSALAEEITLHYARLGCGVELIKDTEDPDDEGEGEDGLSITPSSPEGGSPKESPPE ILSGARSVIATMGDVWVPWAERSSSRCDSPVVLGTQGQFTENPEKGMGKDTKSLHLNRVI VGMSKSPGEAGTESQMEELPTERESSWVPSSEKELPLPVQQEQSPALLGPTGTEVCLSSV AKPHVNSQEEEGGSLNLESPKRSPMPAAPAECACGLAPQLWGPLTQTLGVLAGLVMVPVA LNSGVSLLVLVLCLSLAWFS >ENSMUSP00000111407.3 pep:known chromosome:GRCm38:X:7558952:7574248:-1 gene:ENSMUSG00000039556.16 transcript:ENSMUST00000115742.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r3f description:protein phosphatase 1, regulatory (inhibitor) subunit 3F [Source:MGI Symbol;Acc:MGI:1859617] MARTAPVEPPLRHPAPPSPAAGEPRASAEAAVAPRRVLFADEALGLPLAQLRRYRPWGGP GAGKMAAATGQDGGGGGADEEDDGEDGDEGEEEEEAFPDPSPPCPVPAGGGFYLVPTFSL PPALGRLERLGRVMVELEALLPPPGAVPGGSGVWVPGGRPPVVRGLVRVLNRSFEKAVHV RASHDGWATFCDHPARYVPRSPPGAGVGGTGAGDPLLDPGLGLGPGQMSASSPDDGGCTD RFAFQLPFAEGASDGARLDFVVRYETPEGTFWANNHGRNYTVLLRIAPAPTPTDAEGLPQ QQQLQQLEPQPECQGPVEAEARQLKSCMKPVRRRPFEEEPRMRSADDNTLAEHPDVRESL GPLLAPTPLRPWPQMTLQVPEVMLTSNPQEEGDIPRSNPPVAFTEVRQAPAIRILPATCG LGGPPRDQASGPDASDRAAGSFLEPTQQQVEAAWESGGGRKAPMVGALTDEPARGLEIVS GLDELLGEDTIDQELEQLYLSHLSRLRAVAAAGGGEGTSPTHALGILTDRDLILKWPGPE RALNSALAEEITLHYARLGCGVELIKDTEDPDDEGEGEDGLSITPSSPEGGSPKESPPEI LSGARSVIATMGDVWVPWAERSSSRCDSPVVLGTQGQFTENPEKGMGKDTKSLHLNRVIV GMSKSPGEAGTESQMEELPTERESSWVPSSEKELPLPVQQEQSPALLGPTGTEVCLSSVA KPHVNSQEEEGGSLNLESPKRSPMPAAPAECACGLAPQLWGPLTQTLGVLAGLVMVPVAL NSGVSLLVLVLCLSLAWFS >ENSMUSP00000116002.1 pep:known chromosome:GRCm38:X:7560242:7572843:-1 gene:ENSMUSG00000039556.16 transcript:ENSMUST00000132788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r3f description:protein phosphatase 1, regulatory (inhibitor) subunit 3F [Source:MGI Symbol;Acc:MGI:1859617] XRVMDERVGRVWTRPFEEEPRMRSADDNTLAEHPDVRESLGPLLAPTPLRPWPQMTLQVP EVMLTSNPQEEGDIPRSNPPVAFTEVRQAPAIRILPATCGLGGPPRDQASGPDASDRAAG SFLEPTQQQVEAAWESGGGRKAPMVGALTDEPARGLEIVSGLDELLGEDTIDQELEQLYL SHLSRLRAVAAAGGGEGTSPTHALGILTDRDLILKWPGPERALNSALAEEITLHYARLGC GVELIKDTEDPDDEGEGEDGLSITPSSPEGGS >ENSMUSP00000113238.1 pep:known chromosome:GRCm38:11:76406952:76414329:1 gene:ENSMUSG00000020843.15 transcript:ENSMUST00000120699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm22 description:translocase of inner mitochondrial membrane 22 [Source:MGI Symbol;Acc:MGI:1929742] MHRQTLLLHLLQCGESAVVVTLAGRLGFVLGGAFGIFTAGIDTNVGFDPKDPYRTPTAKE VLKDMGQRGMSYAKNFAIVGAMFSCTECLVESYRGKSDWKNSVISGCITGGAIGFRAGVK AGAIGCGGFAAFSAAIDYYLR >ENSMUSP00000021203.6 pep:known chromosome:GRCm38:11:76407143:76416292:1 gene:ENSMUSG00000020843.15 transcript:ENSMUST00000021203.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm22 description:translocase of inner mitochondrial membrane 22 [Source:MGI Symbol;Acc:MGI:1929742] MAATAPKAGGSAPEAAGSAEAPLQYSLLLQYLVGDKRQPRLLEPGSLGGIPSPAKSEEQK MIERAMESCAFKAVLACVGGFVLGGAFGIFTAGIDTNVGFDPKDPYRTPTAKEVLKDMGQ RGMSYAKNFAIVGAMFSCTECLVESYRGKSDWKNSVISGCITGGAIGFRAGVKAGAIGCG GFAAFSAAIDYYLR >ENSMUSP00000123281.1 pep:known chromosome:GRCm38:11:76407191:76414082:1 gene:ENSMUSG00000020843.15 transcript:ENSMUST00000152183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm22 description:translocase of inner mitochondrial membrane 22 [Source:MGI Symbol;Acc:MGI:1929742] MAATAPKAGGSAPEAAGSAEAPLQYSLLLQYLVGDKRQPRLLEPGSLGGIPSPAKSEEQK MIERAMESCAFKAVLACVGVPGKVGLEEQRHQWLHHWRSHRLPSWSKGRGHRLWRV >ENSMUSP00000132836.1 pep:known chromosome:GRCm38:11:76407205:76409696:1 gene:ENSMUSG00000020843.15 transcript:ENSMUST00000164102.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Timm22 description:translocase of inner mitochondrial membrane 22 [Source:MGI Symbol;Acc:MGI:1929742] MAATAPKAGGSAPEAAGSAEAPLQYSLLLQYLVGDKRQPRLLEPGSLGGIPSPAKSEEQK MIERAMESCAFKAVLACVGGETRLEGPLGC >ENSMUSP00000054747.8 pep:known chromosome:GRCm38:7:35685165:35754454:-1 gene:ENSMUSG00000043671.14 transcript:ENSMUST00000051377.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpy19l3 description:dpy-19-like 3 (C. elegans) [Source:MGI Symbol;Acc:MGI:2443952] MMYIRQRKETKPIEVSEDFPSPKEDVKLEKKLPSGCASGRFWKILSSAVGGTVALCIGLL TSVYLATLHENDLWFSNIKEVEREISFRTECGLYYSYYKQMLQAPTLLQGFHGLIYDNKT ESMRTINLLQRMNIYQEVFLSVLYRVLPIQKYLEPVYFYIYTLFGLQAVYVTALYITSWL LSGTWLSGLLAALWYVTNRIDTTRVEFTIPLRENWALPFFAIQIAAITYFLRPNLQPLSE RLTLLAIFVSTFLFSLTWQFNQFMMLLQALVLFILDSLDMLPAMKATWLYGIQISCLLLV CTLQFFNSMILGSLLISFNLSVLIVRKLQKNLKTGSFLTRIWKLLLHLLLVFCLTLFLNN IIKKVLNLKSDEHIFKFLKAKFGFGATRDFDANLYLCEEAFGLLPLNTFQRLSETLLFYA YMFVLVVTVVTASVVAFHNLSDSTSLKSMDQTRKRAVDLKPEAAYNLIHTILFGVLALST MRMKYLWTSHMCVFASFGLCSSEVWELLLRLVHLCNPKRIWVLRYLVPVLTLLYLCYKSW PGVMDELSELKEFYDPDTVELMTWINSNTPRKAVFAGSMQLLAGVKLCTGRTLTNHPHYE DKSLRERTQAVYQIYAKRSPEEVHALLRSFGTDFVILEDSICYERRHHRGCRLRDLLDVA NGHEMDGPGESDPDLRPADHPRFCEEIKRNLPSYAAHFTRVFQNKTFHVYKLSRNK >ENSMUSP00000123340.1 pep:known chromosome:GRCm38:7:35690725:35708092:-1 gene:ENSMUSG00000043671.14 transcript:ENSMUST00000143590.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dpy19l3 description:dpy-19-like 3 (C. elegans) [Source:MGI Symbol;Acc:MGI:2443952] LRLVHLCNPKRIWVLRYLVPVLTLLYLCYKL >ENSMUSP00000122489.1 pep:known chromosome:GRCm38:7:35714160:35729755:-1 gene:ENSMUSG00000043671.14 transcript:ENSMUST00000144416.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dpy19l3 description:dpy-19-like 3 (C. elegans) [Source:MGI Symbol;Acc:MGI:2443952] FRTECGLYYSYYKQMLQAPTLLQGFHGLIYDNKTESMRTINLLQRMNIYQEVFLSVLYRV LPIQKYLEPVYFYIYTLFGLQAVYVTALYITSWLLSGTWLSGLLAALWYVTNRG >ENSMUSP00000021166.5 pep:known chromosome:GRCm38:11:116645595:116654313:-1 gene:ENSMUSG00000020810.5 transcript:ENSMUST00000021166.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cygb description:cytoglobin [Source:MGI Symbol;Acc:MGI:2149481] MEKVPGDMEIERRERSEELSEAERKAVQATWARLYANCEDVGVAILVRFFVNFPSAKQYF SQFRHMEDPLEMERSPQLRKHACRVMGALNTVVENLHDPDKVSSVLALVGKAHALKHKVE PMYFKILSGVILEVIAEEFANDFPVETQKAWAKLRGLIYSHVTAAYKEVGWVQQVPNTTT PPATLPSSGP >ENSMUSP00000047775.6 pep:known chromosome:GRCm38:7:81533308:81566944:-1 gene:ENSMUSG00000038663.7 transcript:ENSMUST00000042318.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fsd2 description:fibronectin type III and SPRY domain containing 2 [Source:MGI Symbol;Acc:MGI:2444310] MEEEAEEESGLGRSAAPKDFHFYHMDLYDSEDRLQLFPGDSSRIRREVTQAEDDRELGDE FVDEHRLGTLGYPSYGMRRRDPGREPRDWGEAAEAEDLGYGGGQGPLDQCQDLREAYRYT HGRASEEYECYVIPEEEDEEEPADVFCITCKTPVRTVEKDFDTHKEHEVTPISKALEHAK DEVHKNMCKLEQQIIEMENFASHLEEVFITVEENFGRQEQNFECHYNGILETLAQKYEEK IQALGEKKREKLEALYGQLVSCGENLDACRELMETVEEMCHEEKVEFLKDAVAMTDRLGK FLKTKTDVELSAQPEFEDQTLDFSDVEQLMDAINTIPAPSAPVINPQAPNSATGSSVRVC WSLYSDDTVESYQLSYRPVQDSSSGKDRAEFTMMVKETYCSVTNLEPNTQYEFWVIAQNR TGPSPCSEHAVYMTAPSPPSIKTEAIRSCEEAVLICWESGNLNPVDSYTVELIQAETPEA SGVTESVVGIPTCESLIQLQPRHSYTIYVRALNVGGTSARSEPATVHTTGSYFQLNKDTC HPWLTISEDGFTVVRSEKKSFRKELPPSKTQFTRCVAVMGNLIPVRGRHYWEVEVAEHLD YTVGVACEDVPKQEDLGANSLSWCMRHTFVSKRHRYEFLHNKMTPDIRITVAPRKIGVLL DYENAKLSFFNVDIAQHLYTFSCQLHQFVHPCFSLEKSGCLKICNGISMPKHVTFF >ENSMUSP00000038181.6 pep:known chromosome:GRCm38:8:22859549:22943259:1 gene:ENSMUSG00000031540.13 transcript:ENSMUST00000044331.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat6a description:K(lysine) acetyltransferase 6A [Source:MGI Symbol;Acc:MGI:2442415] MVKLANPLYTEWILEAIKKVKKQKQRPSEERICNAVSSSHGLDRRTVLEQLELSVKDGTI LKVSNKGLNSYKDPDNPGRIALPKPRNHGKLDTKQSVDWNKLLKRAFEGLAETGGSTLKS IERFLKSQKDVSAACGGSAAPGFHQQLRLAIKRAVGHGRLLKDGPLYRLNTKAASAEGKE GCESLSCLPPVSLLPHEKDKPVAEPIPICSFCLGTKEQNREKQPEELVSCADCGNSGHPS CLKFSPELTVRVKALRWQCIECKTCSSCRDQGKNADNMLFCDSCDRGFHMECCDPPLTRM PKGMWICQICRPRKKGRKLLQKKAAQIKRRYANPIGRPKNRLKKQNTVSKGPFSKVRTGP GRGRKRKITVSSQSASSSEEGYLERIDGLDFCRDSNAPLKFNKKTKGLIDGLTKFFTPSP DGRKARGEVVDYSEQYRIRKKGNRKSSTSDWPTDNQDGWESKQENEERLFGSQEIMTERD MELFRDIQEQALQKVGVTGPPDPQVRCPSVIEFGKYEIHTWYSSPYPQEYSRLPKLYLCE FCLKYMKSRTILQQHMKKCGWFHPPANEIYRKNNISVFEVDGNVSTIYCQNLCLLAKLFL DHKTLYYDVEPFLFYVLTQNDVKGCHLVGYFSKEKHCQQKYNVSCIMILPQYQRKGYGRF LIDFSYLLSKREGQAGSPEKPLSDLGRLSYMAYWKSVILECLYHQNDKQISIKKLSKLTG VCPQDITSTLHHLRMLDFRSDQFVIIRREKLIQDHMAKLQLNLRPVDVDPECLRWTPVIV SNSVVSEDEDEEADEGEKEEPQGQERELETRVKVGKSVSREKKDQESSSLIETDKKPEVK ELASSSRLSKQALPRDSLPANSQPPRRGRCGRKNRKTQERFGDKDSKMLVDETLSASQEQ YGDCEEKSETSQERFTEMEEQLAAPQVQADGKPDIPKGRFSESVELWRGQLKKSPETLKC RLPEGNDRLPCCYTDGDRAVFRGFSESSEEEEEPESPRSNSPPILTKPTLKRKKPILHRR RRVRKRKHHNSSVVTETISETTEVLDEPFEDSDSERPMPRLEPTFEMEEEEEEEEEESEL FPRGYFHCLSSQDILRCQSSSKRPSKEEEEEEEESDDADDTPVLKPVSLLRKCDVNSASL EPDTSTPMKKKKGWPKGKSRKPIHWKKRPGRKPGFKLNQEIIAASAQECIVEPVVPIKPG RKPRTQENEEIVEVKEDLLEERKEEMHTEPDEEAEEEEDTTSSDIRAMSPLDSSNSPEAE PKEPEPEEEDEKPSDDQRQSEEEPQELEEQEQEEEDEVTTEANQNEDHDADDEDEGHLDS LKTKEPEEQPAREDDKEEPGIQGSFLAANMQDSRENTKDKDEAEPDSEEDQPSHEASVVS ETMPGSEEDHEEDSNTKEELIELKEEEEIPHSELDLETVQAVQSLTQEESSEHEGAYQDC EETLAACQTLQSYTHTDEDPQMSMVEDCHASEHNSPISSIPSHPSQSVRSVNSPSMPALE SGYTQISPEQGSLSAPSMQNMETSPMMDVPSVSDHSQQVVDSGFSDLGSIESTTENYENP SSYDSTMGSSICGNNSSQSSCSYGGLSSSSSLTQNSCVVTQQMANMGNSCSMLQQNTVQP AANCNIKSPQTCVVERPPSNQQPPPPPPPPPPPQQPQPPPQQQAAPQPPPPQPQQQQQQQ QQPPPPQQQPQPPPPQQQPPLSQCSMNNSFTAAPMIMEIPESGSTGNISIYERIPGDFGA GSYSQPSATFSLAKLQQLTNTIMDPHAMPYSHSPAVTSYATSVSLSNTGLAQLAPSHPLA GTPQAQATMTPPPNLASTTMNLTSPLLQCNMSATNIGIPHTQRLQGQMPVKGHISIRSKS APLPSATAHQQQLYGRSPPAVAMQAGPRALAVQRGMNMGVNLMPTPAYNVNSMNMNTLNA MNSYRMTQPMMNSSYHSNPAYMNQTAQYPMQMQMGMMGSQAYTQQPMQPNPHGNMMYTGP SHHSYMNAAGVPKQSLNGPYMRR >ENSMUSP00000106324.1 pep:known chromosome:GRCm38:8:22859535:22943259:1 gene:ENSMUSG00000031540.13 transcript:ENSMUST00000110696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat6a description:K(lysine) acetyltransferase 6A [Source:MGI Symbol;Acc:MGI:2442415] MVKLANPLYTEWILEAIKKVKKQKQRPSEERICNAVSSSHGLDRRTVLEQLELSVKDGTI LKVSNKGLNSYKDPDNPGRIALPKPRNHGKLDTKQSVDWNKLLKRAFEGLAETGGSTLKS IERFLKSQKDVSAACGGSAAPGFHQQLRLAIKRAVGHGRLLKDGPLYRLNTKAASAEGKE GCESLSCLPPVSLLPHEKDKPVAEPIPICSFCLGTKEQNREKQPEELVSCADCGNSGHPS CLKFSPELTVRVKALRWQCIECKTCSSCRDQGKNADNMLFCDSCDRGFHMECCDPPLTRM PKGMWICQICRPRKKGRKLLQKKAAQIKRRYANPIGRPKNRLKKQNTVSKGPFSKVRTGP GRGRKRKITVSSQSASSSEEGYLERIDGLDFCRDSNAPLKFNKKTKGLIDGLTKFFTPSP DGRKARGEVVDYSEQYRIRKKGNRKSSTSDWPTDNQDGWESKQENEERLFGSQEIMTERD MELFRDIQEQALQKVGVTGPPDPQVRCPSVIEFGKYEIHTWYSSPYPQEYSRLPKLYLCE FCLKYMKSRTILQQHMKKCGWFHPPANEIYRKNNISVFEVDGNVSTIYCQNLCLLAKLFL DHKTLYYDVEPFLFYVLTQNDVKGCHLVGYFSKEKHCQQKYNVSCIMILPQYQRKGYGRF LIDFSYLLSKREGQAGSPEKPLSDLGRLSYMAYWKSVILECLYHQNDKQISIKKLSKLTG VCPQDITSTLHHLRMLDFRSDQFVIIRREKLIQDHMAKLQLNLRPVDVDPECLRWTPVIV SNSVVSEDEDEEADEGEKEEPQGQERELETRVKVGKSVSREKKDQESSSLIETDKKPEVK ELASSSRLSKQALPRDSLPANSQPPRRGRCGRKNRKTQERFGDKDSKMLVDETLSASQEQ YGDCEEKSETSQERFTEMEEQLAAPQVQADGKPDIPKGRFSESVELWRGQLKKSPETLKC RLPEGNDRLPCCYTDGDRAVFRGFSESSEEEEEPESPRSNSPPILTKPTLKRKKPILHRR RRVRKRKHHNSSVVTETISETTEVLDEPFEDSDSERPMPRLEPTFEMEEEEEEEEEESEL FPRGYFHCLSSQDILRCQSSSKRPSKEEEEEEEESDDADDTPVLKPVSLLRKCDVNSASL EPDTSTPMKKKKGWPKGKSRKPIHWKKRPGRKPGFKLNQEIIAASAQECIVEPVVPIKPG RKPRTQENEEIVEVKEDLLEERKEEMHTEPDEEAEEEEDTTSSDIRAMSPLDSSNSPEAE PKEPEPEEEDEKPSDDQRQSEEEPQELEEQEQEEEDEVTTEANQNEDHDADDEDEGHLDS LKTKEPEEQPAREDDKEEPGIQGSFLAANMQDSRENTKDKDEAEPDSEEDQPSHEASVVS ETMPGSEEDHEEDSNTKEELIELKEEEEIPHSELDLETVQAVQSLTQEESSEHEGAYQDC EETLAACQTLQSYTHTDEDPQMSMVEDCHASEHNSPISSIPSHPSQSVRSVNSPSMPALE SGYTQISPEQGSLSAPSMQNMETSPMMDVPSVSDHSQQVVDSGFSDLGSIESTTENYENP SSYDSTMGSSICGNNSSQSSCSYGGLSSSSSLTQNSCVVTQQMANMGNSCSMLQQNTVQP AANCNIKSPQTCVVERPPSNQQPPPPPPPPPPPQQPQPPPQQQAAPQPPPPQPQQQQQQQ QQPPPPQQQPQPPPPQQQPPLSQCSMNNSFTAAPMIMEIPESGSTGNISIYERIPGDFGA GSYSQPSATFSLAKLQQLTNTIMDPHAMPYSHSPAVTSYATSVSLSNTGLAQLAPSHPLA GTPQAQATMTPPPNLASTTMNLTSPLLQCNMSATNIGIPHTQRLQGQMPVKGHISIRSKS APLPSATAHQQQLYGRSPPAVAMQAGPRALAVQRGMNMGVNLMPTPAYNVNSMNMNTLNA MNSYRMTQPMMNSSYHSNPAYMNQTAQYPMQMQMGMMGSQAYTQQPMQPNPHGNMMYTGP SHHSYMNAAGVPKQSLNGPYMRR >ENSMUSP00000043492.7 pep:known chromosome:GRCm38:3:51515319:51560879:-1 gene:ENSMUSG00000037111.9 transcript:ENSMUST00000037141.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd7 description:SET domain containing (lysine methyltransferase) 7 [Source:MGI Symbol;Acc:MGI:1920501] MDSDDEVVEEAVEGHLDDDGLPHGFCTVTYSSTDRFEGNFVHGEKNGRGKFFFFDGSTLE GYYVDDALQGQGVYTYEDGGVLQGTYVDGELNGPAQEYDSDGRLIFKGQYKDNNRHGVCW IHYPDGGSLVGEVNEDGEMTGEKIAYVYPDQRTALYGKFIDGEMLEGKLATLMATEEGRP HFEVTSGSSVYHFDKSTSSCISSDALLPDPYESERVYVADSLISSAGEGLFSKVAVGPNT VMSFYNGVRITHQEVDSRDWALNGNTLSLDEETVIDVPEPYNHVSKYCASLGHKANHSFT PNCVYDLFVHPRFGPIKCIRTLRAVEAEEELTVAYGYDHSPPGKSGPEAPEWYQVELKAF QATQQK >ENSMUSP00000147157.1 pep:known chromosome:GRCm38:5:37154430:37229342:1 gene:ENSMUSG00000070823.5 transcript:ENSMUST00000207866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1043 description:predicted gene 1043 [Source:MGI Symbol;Acc:MGI:2685889] MEPTAQGQTEKSFSYVIRAPSIDGSDGINVDVKIDTCWVFRDMEESDKEQGRLPETASSL DLDTGLLREQLESSEQKLLAAVDKHMVSESGLRSRVQELELSERRLLLKVEQLSACVAEE RSATIRVQEQLQALKGTLVSQVREAESAVRRQRRLQERLRRKDEALARQAAALKRCGRVQ RQQLGLVREQERVLRVQVQRLERDVRRLGHAAGLLLAQLQAADSSPIMGSSGPQFLAGPL GDPEGEELSALRARAELAERERVKAVLRLREHSATERQLREQLEELRCCVYGLTLSEIGL HSQVEELAHQNRRLRAQLGHGSPGALGCAQSDSPPPPRAEVLDPGSSHGCHGDARELQGP AGQFSEESPSCVGDGPDPHMMVLARTIGKLQRDLPGSEHRQGALIQPHLDAQVLRLLCSC PPGSGMDEPLCSLGLSEVSENLQSVGAQEPLLLLPTSMLQLWGPAGDPELLLLPLLQECP LQELQTQEELDSMLSPALGALAHSSCHRNLARNHDITFGRESPLISNGSSLTKGPKEPRD KWQEGSGPPVTSAEKWGVMGTLGGVKSELDDRCLLFLQDSEKLSLDTEVHTPESMQGGGG AADTQAVSSFPWLGSECLILPLHGTASRSQEGPDSLSKRGNVEGCGWERLINLSPEEEEA TSFRAHGTRTRTNGSQLSLGKGKDIWRSAQGKQGHQLCFGDAEFLQKERSGDGEQEKMQV RVASDSASVGPEQPEFKTRVGQEQCIDDQDFLQLSRWASAGASSEGPFSSQALITGQDRR ALQTDTLEKEVGAGFQQLNNLQSVCGDPQQMSALMRESHSATHRWRRDMEEGVCLQQALA SQDLNAKPNKNDEGVGLDEDVVLCNGEVFPGAVLNGDKANWGSSELGGSQLPATCYILNK AGSRFHQLLANTEKERSQILLDNAGLQGHQEGCHHEGCPCEKEHAREAAKALRLEQANHT LQGELVRLRHELDGCLQAVSDLEECNRKSYCKISQLEEENEKLKRDLGQLHKAVAESVRK AGSRVKHVTLENAELRALISELGVSYKGLIKDTVLGIEDMVWALQGENKRLVGRVQGLER EVLQMSRDSGEETWYSQGNASMVGDKGHAEDKEVQVTMFSRQLSTRACGPSWAEKSDVAG GPSLDLEDSKCRADATMTSSVCAATTGPQEAHTNGAAGDRAWLPKEQKTPWCSVQQGAPQ RSRSLSPQLQNSKAAASEEDTRLCIQRLQHQVRTLQCQLRDQGWALRELQMARDEAVGLQ DKLKSKLEELREQQHEVRLASSPLKAKLASLVHKCQERNRLIEHLLQELPRHEPRNRLLS ELAQNMLEDIALAEYSATFLTPGAPEMFCHLDVSSKETLAGGAQESLFNSETDSVLQSLW GAESWSHPGVEWALQTAPPGSPKERRHHHQAHHDGKDECLSQMTNGAEVAL >ENSMUSP00000147140.1 pep:known chromosome:GRCm38:5:37175490:37229547:1 gene:ENSMUSG00000070823.5 transcript:ENSMUST00000208827.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1043 description:predicted gene 1043 [Source:MGI Symbol;Acc:MGI:2685889] THQRGSGRQSLAAERTEDAMVFCATRSASEVPEPQPPESLLDLWPSYSSLSYWAQIPSSY SKDTAESPRLQELPSTPHQLHPELQQPETEFSASFIGFSLQNSKAAASEEDTRLCIQRLQ HQVRTLQCQLRDQGWALRELQMARDEAVGLQDKLKSKLEELREQQHEVRLASSPLKAKLA SLVHKCQERNRLIEHLLQELPRHEPRNRLLSELAQNMLEDIALAEYSATFLTPGAPEMFC HLDVSSKETLAGGAQESLFNSETDSVLQSLWGAESWSHPGVEWALQTAPPGSPKTPDLTN GFSWETEDMLAPLRNTGKCCLRSPGPGLSLEKEDITIRHITMEKMNVCPR >ENSMUSP00000146423.1 pep:known chromosome:GRCm38:5:37175508:37229536:1 gene:ENSMUSG00000070823.5 transcript:ENSMUST00000207619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1043 description:predicted gene 1043 [Source:MGI Symbol;Acc:MGI:2685889] XETELGCRKNRRRHGVLCNKERLRGPGASAPSSLSYWAQIPSSYSKDTAESPRLQELPST PHQLHPELQQPETEFSASFIGFSLQNSKAAASEEDTRLCIQRLQHQVRTLQCQLRDQGWA LRELQMARDEAVGLQDKLKSKLEELREQQHEVRLASSPLKAKLASLVHKCQERNRLIEHL LQELPRHEPRNRLLSELAQNMLEDIALAEYSATFLTPGAPEMFCHLDVSSKETLAGGAQE SLFNSETDSVLQSLWGAESWSHPGVEWALQTAPPGSPKERRHHHQAHHDGKDECLSQMTN GAEVAL >ENSMUSP00000025197.5 pep:known chromosome:GRCm38:17:34203527:34216321:1 gene:ENSMUSG00000024339.12 transcript:ENSMUST00000025197.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tap2 description:transporter 2, ATP-binding cassette, sub-family B (MDR/TAP) [Source:MGI Symbol;Acc:MGI:98484] MALSYLRPWVSLLLADMALLGLLQGSLGNLLPQGLPGLWIEGTLRLGVLWGLLKVGELLG LVGTLLPLLCLATPLFFSLRALVGGTASTSVVRVASASWGWLLAGYGAVALSWAVWAVLS PAGVQEKEPGQENRTLMKRLLKLSRPDLPFLIAAFFFLVVAVWGETLIPRYSGRVIDILG GDFDPDAFASAIFFMCLFSVGSSFSAGCRGGSFLFTMSRINLRIREQLFSSLLRQDLGFF QETKTGELNSRLSSDTSLMSRWLPFNANILLRSLVKVVGLYFFMLQVSPRLTFLSLLDLP LTIAAEKVYNPRHQAVLKEIQDAVAKAGQVVREAVGGLQTVRSFGAEEQEVSHYKEALER CRQLWWRRDLEKDVYLVIRRVMALGMQVLILNCGVQQILAGEVTRGGLLSFLLYQEEVGQ YVRNLVYMYGDMLSNVGAAEKVFSYLDRKPNLPQPGILAPPWLEGRVEFQDVSFSYPRRP EKPVLQGLTFTLHPGTVTALVGPNGSGKSTVAALLQNLYQPTGGQLLLDGEPLTEYDHHY LHRQVVLVGQEPVLFSGSVKDNIAYGLRDCEDAQVMAAAQAACADDFIGEMTNGINTEIG EKGGQLAVGQKQRLAIARALVRNPRVLILDEATSALDAQCEQALQNWRSQGDRTMLVIAH RLHTVQNADQVLVLKQGRLVEHDQLRDGQDVYAHLVQQRLEA >ENSMUSP00000118916.1 pep:known chromosome:GRCm38:17:34204080:34205368:1 gene:ENSMUSG00000024339.12 transcript:ENSMUST00000138491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tap2 description:transporter 2, ATP-binding cassette, sub-family B (MDR/TAP) [Source:MGI Symbol;Acc:MGI:98484] MALSYLRPWVSLLLADMALLGLLQG >ENSMUSP00000118700.1 pep:known chromosome:GRCm38:17:34205100:34205567:1 gene:ENSMUSG00000024339.12 transcript:ENSMUST00000131105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tap2 description:transporter 2, ATP-binding cassette, sub-family B (MDR/TAP) [Source:MGI Symbol;Acc:MGI:98484] MALSYLRPWVSLLLADMALLGLLQGSLGNLLPQGLPGLWIEGTLRLGVLWGLLKVGELLG LVGTLLPLLCLATPLFFSLRALVGGTASTSV >ENSMUSP00000109215.2 pep:known chromosome:GRCm38:6:88724412:88828360:1 gene:ENSMUSG00000033174.17 transcript:ENSMUST00000113585.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgll description:monoglyceride lipase [Source:MGI Symbol;Acc:MGI:1346042] MPEASSPRRTPQNVPYQDLPHLVNADGQYLFCRYWKPSGTPKALIFVSHGAGEHCGRYDE LAHMLKGLDMLVFAHDHVGHGQSEGERMVVSDFQVFVRDVLQHVDTIQKDYPDVPIFLLG HSMGGAISILVAAERPTYFSGMVLISPLVLANPESASTLKVLAAKLLNFVLPNMTLGRID SSVLSRNKSEVDLYNSDPLVCRAGLKVCFGIQLLNAVARVERAMPRLTLPFLLLQGSADR LCDSKGAYLLMESSRSQDKTLKMYEGAYHVLHRELPEVTNSVLHEVNSWVSHRIAAAGAG CPP >ENSMUSP00000109212.1 pep:known chromosome:GRCm38:6:88724470:88825911:1 gene:ENSMUSG00000033174.17 transcript:ENSMUST00000113582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgll description:monoglyceride lipase [Source:MGI Symbol;Acc:MGI:1346042] MPEASSPRRTPQNVPYQDLPHLVNADGQYLFCRYWKPSGTPKALIFVSHGAGEHCGRYDE LAHMLKGLDMLVFAHDHVGHGQSEGERMVVSDFQVFVRDVLQHVDTIQKDYPDVPIFLLG HSMGGAISILVAAERPTYFSGMVLISPLVLANPESASTLKVLAAKLLNFVLPNMTLGRID SSVLSRNKSEGSADRLCDSKGAYLLMESSRSQDKTLKMYEGAYHVLHRELPEVTNSVLHE VNSWVSHRIAAAGAGCPP >ENSMUSP00000144883.1 pep:known chromosome:GRCm38:6:88724481:88823383:1 gene:ENSMUSG00000033174.17 transcript:ENSMUST00000203608.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mgll description:monoglyceride lipase [Source:MGI Symbol;Acc:MGI:1346042] MPEASSPRRTPQNVPYQDLPHLVNADGQYLFCRYWKPSGTPNWPWAE >ENSMUSP00000109211.1 pep:known chromosome:GRCm38:6:88724494:88825990:1 gene:ENSMUSG00000033174.17 transcript:ENSMUST00000113581.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgll description:monoglyceride lipase [Source:MGI Symbol;Acc:MGI:1346042] MPEASSPRRTPQNVPYQDLPHLVNADGQYLFCRYWKPSGTPKALIFVSHGAGEHCGRYDE LAHMLKGLDMLVFAHDHVGHGQSEGERMVVSDFQVFVRDVLQHVDTIQKDYPDVPIFLLG HSMGGAISILVAAERPTYFSGMVLISPLVLANPESASTLKDKLHITKTSLEKAAEVSVTW IPYAFHLWVLAAKLLNFVLPNMTLGRIDSSVLSRNKSEVDLYNSDPLVCRAGLKVCFGIQ LLNAVARVERAMPRLTLPFLLLQGSADRLCDSKGAYLLMESSRSQDKTLKMYEGAYHVLH RELPEVTNSVLHEVNSWVSHRIAAAGAGCPP >ENSMUSP00000145068.1 pep:known chromosome:GRCm38:6:88724596:88766708:1 gene:ENSMUSG00000033174.17 transcript:ENSMUST00000150180.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgll description:monoglyceride lipase [Source:MGI Symbol;Acc:MGI:1346042] MPEASSPRRTPQNVPYQDLPHLVNADGQYLFCRYWKPSGTPKALIFVSHGAGEHCGRYDE LAHMLKGLDMLVFAHDHAVMLSAALQSALVIFVRLIWQIIFQGYPRGVCCGGRCHY >ENSMUSP00000086872.2 pep:known chromosome:GRCm38:6:88724828:88828360:1 gene:ENSMUSG00000033174.17 transcript:ENSMUST00000089449.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgll description:monoglyceride lipase [Source:MGI Symbol;Acc:MGI:1346042] MPEASSPRRTPQNVPYQDLPHLVNADGQYLFCRYWKPSGTPKALIFVSHGAGEHCGRYDE LAHMLKGLDMLVFAHDHVGHGQSEGERMVVSDFQVFVRDVLQHVDTIQKDYPDVPIFLLG HSMGGAISILVAAERPTYFSGMVLISPLVLANPESASTLKVLAAKLLNFVLPNMTLGRID SSVLSRNKSEVDLYNSDPLVCRAGLKVCFGIQLLNAVARVERAMPRLTLPFLLLQGSADR LCDSKGAYLLMESSRSQDKTLKMYEGAYHVLHRELPEVTNSVLHEVNSWVSHRIAAAGAG CPP >ENSMUSP00000145364.1 pep:known chromosome:GRCm38:6:88725077:88825874:1 gene:ENSMUSG00000033174.17 transcript:ENSMUST00000203824.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mgll description:monoglyceride lipase [Source:MGI Symbol;Acc:MGI:1346042] MPEASSPRRTPQNVPYQDLPHLVNADGQYLFCRYWKPSGTPNWPWAE >ENSMUSP00000127374.1 pep:known chromosome:GRCm38:6:88724704:88828360:1 gene:ENSMUSG00000033174.17 transcript:ENSMUST00000163271.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgll description:monoglyceride lipase [Source:MGI Symbol;Acc:MGI:1346042] MQKPRLMETGPKDPCRMPEASSPRRTPQNVPYQDLPHLVNADGQYLFCRYWKPSGTPKAL IFVSHGAGEHCGRYDELAHMLKGLDMLVFAHDHVGHGQSEGERMVVSDFQVFVRDVLQHV DTIQKDYPDVPIFLLGHSMGGAISILVAAERPTYFSGMVLISPLVLANPESASTLKVLAA KLLNFVLPNMTLGRIDSSVLSRNKSEVDLYNSDPLVCRAGLKVCFGIQLLNAVARVERAM PRLTLPFLLLQGSADRLCDSKGAYLLMESSRSQDKTLKMYEGAYHVLHRELPEVTNSVLH EVNSWVSHRIAAAGAGCPP >ENSMUSP00000119671.1 pep:known chromosome:GRCm38:11:116653534:116668092:1 gene:ENSMUSG00000075410.12 transcript:ENSMUST00000148484.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm11744 description:predicted gene 11744 [Source:MGI Symbol;Acc:MGI:3649529] MSPGTFSMSSSEPSAASLGGGRGEPRPELQETQRWLGRGRLAIVAPRPCGLLQRSWSSVG MGQLSHVHHPLPVQLGHAVAP >ENSMUSP00000101989.2 pep:known chromosome:GRCm38:11:116653855:116668389:1 gene:ENSMUSG00000075410.12 transcript:ENSMUST00000106381.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11744 description:predicted gene 11744 [Source:MGI Symbol;Acc:MGI:3649529] MSPGTFSMSSSEPSAASLGGGRGEPRPRAQQSGRDSRGQRLRHRPSIYRQGERTCEVDRH SFRQPGSADCGPSALTAGVLVHLPSRKMVWGWKRRQGGKKTGETVMEKPILRGIWLLL >ENSMUSP00000112020.2 pep:known chromosome:GRCm38:11:116657108:116668387:1 gene:ENSMUSG00000075410.12 transcript:ENSMUST00000116318.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11744 description:predicted gene 11744 [Source:MGI Symbol;Acc:MGI:3649529] MCTTLFLFSLAMLWRRRFTNRVEPEPSRVDGTVVGSGSDTDLQSTGREKGPVK >ENSMUSP00000136058.1 pep:known chromosome:GRCm38:11:116653534:116668387:1 gene:ENSMUSG00000075410.12 transcript:ENSMUST00000178875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11744 description:predicted gene 11744 [Source:MGI Symbol;Acc:MGI:3649529] MVWGWKEAGRKENGRNGHGEAHPQRDLALALRGASQIPDGRGKSKHRVSTMSQSQKRGCC LERQEEFPKLGQNCIVDKMEEEQFTGRPPTRLHTDALPVKALVQML >ENSMUSP00000030698.4 pep:known chromosome:GRCm38:4:132853501:132884509:-1 gene:ENSMUSG00000028879.4 transcript:ENSMUST00000030698.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx12 description:syntaxin 12 [Source:MGI Symbol;Acc:MGI:1931027] MSYGPLDMYRNPGPSGPQPRDFNSIIQTCSGNIQRISQATAQIKNLMSQLGTKQDSSKLQ ENLQQLQHSTNQLAKETNELLKELGSLPLPLSASEQRQQKLQKERLMNDFSSALNNFQVV QRKVSEKEKESIARARAGSRLSAEDRQREEQLVSFDSHEEWNQMQSQEEEAAITEQDLEL IKERETAIRQLEADILDVNQIFKDLAMMIHDQGDLIDSIEANVESSEVHVERATDQLQRA AYYQKKSRKKMCILVLVLSVIVTVLVVVIWVASK >ENSMUSP00000144722.1 pep:known chromosome:GRCm38:6:147475828:147510855:1 gene:ENSMUSG00000030301.17 transcript:ENSMUST00000203659.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc91 description:coiled-coil domain containing 91 [Source:MGI Symbol;Acc:MGI:1914265] MDDDDFGGFEAAETFDGEQGG >ENSMUSP00000032441.6 pep:known chromosome:GRCm38:6:147475871:147632612:1 gene:ENSMUSG00000030301.17 transcript:ENSMUST00000032441.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc91 description:coiled-coil domain containing 91 [Source:MGI Symbol;Acc:MGI:1914265] MDDDDFGGFEAAETFDGEQGGNQAVSPAVPWATFPAVSGVRLSPASPELILDHDHSSPST GHLPPDAVISSADDTHADSSLMSQTISKAQIQQSAHTHLNIPLFPLGLTDEPSHGALALE DEPEGPGVHVSNSQLRQKISSLETKLKASEEEKQRIKKDVESLMEKHSVLEKGFLKEKEQ DAVSFQARYRELQEKHKQELEDMRKAGHEALSIIVDEYKALLQSSVKQQLDAIEKQYVSA IEKQAHRCEELLHAQHQRLLDVLDTEKELLREKIQEALTQQSQEQKESLEKCLQEEMQRN KETLESAVKLEKEAMKDVITKAVGEERENLEKVHAEERELWKTEHARDQERVAEAIQAAV QEQQRMSQEAVKAAIVEEQRRSEKAMEEAVKRTRDELVEYVREQRRLDQVTRQRSLSSLE LFLSCAQKQLSALIATEPVDIE >ENSMUSP00000122635.1 pep:known chromosome:GRCm38:6:147475991:147534101:1 gene:ENSMUSG00000030301.17 transcript:ENSMUST00000156106.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc91 description:coiled-coil domain containing 91 [Source:MGI Symbol;Acc:MGI:1914265] MDDDDFGGFEAAETFDGEQGGNQAVSPAVPWATFPAG >ENSMUSP00000097219.3 pep:known chromosome:GRCm38:2:110655201:110950923:-1 gene:ENSMUSG00000074968.11 transcript:ENSMUST00000099623.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano3 description:anoctamin 3 [Source:MGI Symbol;Acc:MGI:3613666] MVHHSGSIQSFKQQKGMNISKSEITTEASLKPSRRSLPCLAQSYAHSKSLSQSASLFQST ESESQAPTSVTFLSADKPEHVTSEESRKDSTLKCSFADLSDFCLALGKDKDYLDESEHAN YDRSRLLNDFVTKDKPASKTKLSKNDMSYIASSGLLFKDGKKRIDYILVYRKTNIQYDKR NTFEKNLRAEGLMLEKEPAIANPDIMFIKIHIPWDTLCKYAERLNIRVPFRKKCYYTDQK NKSKSRVQNYFKRIKKWMSQNPMVLDKSAFPELEESDCYTGPFSRARIHHFIINNKDTFF SNATRSRIVYHMLERTKYENGISKVGIRKLITNGSYIAAFPPHEGAYKSSLPIKTHGPQN NRHLLYERWARWGMWYKHQPLDLIRMYFGEKIGLYFAWLGWYTGMLIPAAVVGLCVFFYG LVTMNESQVSQEICKATEVFMCPLCDKNCSLQRLNDSCIYAKVTYLFDNGGTVFFAIFMA IWATVFLEFWKRRRSILTYTWDLIEWEEEEETLRPQFEAKYYRMEVINPITGKPEPHQPS SDKVTRLLVSVSGIFFMISLVITAVFAVVVYRLVVMEQFASFKWNFVKQHWQFATSGAAV CINFIIIMLLNLAYEKIAYLLTNLEYPRTESEWENSFALKMFLFQFVNLNSSIFYIAFFL GRFVGHPGKYNKLFERWRLEECHPSGCLIDLCLQMGVIMFLKQIWNNFMELGYPLIQNWW SRHKIKRGIQDASIPQWENDWNLQPMNIHGLMDEYLEMVLQFGFTTIFVAAFPLAPLLAL LNNIIEIRLDAYKFVTQWRRPLPARATDIGIWLGILEGIGILAVITNAFVIAITSDYIPR FVYEYKYGPCANHVKQNENCLKGYVNNSLSFFDLSELGMGKSGYCRYRDYRGPPWSSKPY EFTLQYWHILAARLAFIIVFEHLVFGIKSFIAYLIPDIPKGLRERIRREKYLVQEMMYEA ELEHLQQQRRKSGQPIHHEWP >ENSMUSP00000122387.1 pep:known chromosome:GRCm38:2:110713183:110761564:-1 gene:ENSMUSG00000074968.11 transcript:ENSMUST00000140777.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano3 description:anoctamin 3 [Source:MGI Symbol;Acc:MGI:3613666] GAYKSSLPIKTHGPQNNRHLLYERWARWGMWYKHQPLDLIRMYFGEKIGLYFAWLGWYTG MLIPAAVVGLCVFFYGLVTMNESQVSQEICKATEVFMCPLCDKNCSLQRLNDSCIYAKVT YLFDNGGTVFFAIFMAIWAPGRICRSPFHTCCGLFETQDMNTSNIPQDPWLLIGQHMKEP V >ENSMUSP00000113400.1 pep:known chromosome:GRCm38:16:17835276:17838364:-1 gene:ENSMUSG00000090236.2 transcript:ENSMUST00000118960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car15 description:carbonic anhydrase 15 [Source:MGI Symbol;Acc:MGI:1931324] MWALDFLLSFLLIQLAAQVDSSGTWCYDSQDPKCGPAHWKELAPACGGPTQSPINIDLRL VQRDYTLKPFIFQGYDSAPQDPWVLENDGHTVLLRVNSCQQNCPAIRGAGLPSPEYRLLQ LHFHWGSPGHQGSEHSLDEKHGSMEMHMVHMNTKYQSMEDARSQPDGFAILAVLLVEEDR DNTNFSAIVSGLKNLSSPGVAVNLTSTFALASLLPSALRLLRYYRYSGSLTTPGCEPAVL WTVFENTVPIGHAQVVQFQAVLQTGPPGLHPRPLTSNFRPQQPLGGRRISASPEASVRSS VSTLPCLHLALVGLGVGLRLWQGP >ENSMUSP00000046601.7 pep:known chromosome:GRCm38:6:56069804:56362392:-1 gene:ENSMUSG00000004347.16 transcript:ENSMUST00000044505.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1c description:phosphodiesterase 1C [Source:MGI Symbol;Acc:MGI:108413] MESPTKEIEEFESNSLKHLQPEQIEKIWLRLRGLRKYKKTSQRLRSLVKQLERGEASVVD LKKNLEYAATVLESVYIDETRRLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRR SDEKPRFKSIVHAVQAGIFVERMYRRTSNMVGLSYPPAVIDALKDVDTWSFDVFSLNEAS GDHALKFIFYELLTRYDLISRFKIPISALVSFVEALEVGYSKHKNPYHNLMHAADVTQTV HYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNFHIQTRSDPAILYNDRSVLENH HLSAAYRLLQEDEEMNILVNLSKDDWREFRTLVIEMVMATDMSCHFQQIKAMKTALQQPE AIEKPKALSLMLHTADISHPAKAWDLHHRWTMSLLEEFFRQGDREAELGLPFSPLCDRKS TMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDESSQTGGTGQRRSSLNSINSSDAKR SGVKSSGSDGSAPINNSVIPVDYKSFKATWTEVVQINRERWRAKVPKEEKAKKEAEEKAR LAAEEKQKEMEAKSQAEQGTTSKGEKKTSGEAKSQVNGTRKGDNPRGKNSKGEKAGEKQQ NGDLKDGKNKADKKDHSNTGNESKKTDGTKKRSHGSPAPSTSSTSRITLPVIKPPLRHFK RPAYASSSYAPSVPKKTDDHPVRYKMLDQRIKMKKIQNISHHWNKK >ENSMUSP00000145508.1 pep:known chromosome:GRCm38:6:56071701:56569103:-1 gene:ENSMUSG00000004347.16 transcript:ENSMUST00000203372.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1c description:phosphodiesterase 1C [Source:MGI Symbol;Acc:MGI:108413] MTDTSHKKEGFKKCRSATFSIDGYSFTIVANEAGDKNARPLARFSRSKSQNCLWNSLIDG LTGNIKEKPRPTIVQDTRPPEEILADELPQLDSPEALVKTSFRLRSLVKQLERGEASVVD LKKNLEYAATVLESVYIDETRRLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRR SDEKPRFKSIVHAVQAGIFVERMYRRTSNMVGLSYPPAVIDALKDVDTWSFDVFSLNEAS GDHALKFIFYELLTRYDLISRFKIPISALVSFVEALEVGYSKHKNPYHNLMHAADVTQTV HYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNFHIQTRSDPAILYNDRSVLENH HLSAAYRLLQEDEEMNILVNLSKDDWREFRTLVIEMVMATDMSCHFQQIKAMKTALQQPE AIEKPKALSLMLHTADISHPAKAWDLHHRWTMSLLEEFFRQGDREAELGLPFSPLCDRKS TMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDESSQTGGTGQRRSSLNSINSSDAKR SGVKSSGSDGSAPINNSVIPVDYKSFKATWTEVVQINRERWRAKVPKEEKAKKEAEEKAR LAAEEKQKEMEAKSQAEQGTTSKGEKKTSGEAKSQVNGTRKGDNPRGKNSKGEKAGEKQQ NGDLKDGKNKADKKDHSNTGNESKKTDGTKKRSHGSPAPSTSSTSRITLPVIKPPLRHFK RPAYASSSYAPSVPKKTDDHPVRYKMLDQRIKMKKIQNISHHWNKK >ENSMUSP00000109966.2 pep:known chromosome:GRCm38:6:56077843:56362519:-1 gene:ENSMUSG00000004347.16 transcript:ENSMUST00000114327.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1c description:phosphodiesterase 1C [Source:MGI Symbol;Acc:MGI:108413] MESPTKEIEEFESNSLKHLQPEQIEKIWLRLRGLRKYKKTSQRLRSLVKQLERGEASVVD LKKNLEYAATVLESVYIDETRRLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRR SDEKPRFKSIVHAVQAGIFVERMYRRTSNMVGLSYPPAVIDALKDVDTWSFDVFSLNEAS GDHALKFIFYELLTRYDLISRFKIPISALVSFVEALEVGYSKHKNPYHNLMHAADVTQTV HYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNFHIQTRSDPAILYNDRSVLENH HLSAAYRLLQEDEEMNILVNLSKDDWREFRTLVIEMVMATDMSCHFQQIKAMKTALQQPE AIEKPKALSLMLHTADISHPAKAWDLHHRWTMSLLEEFFRQGDREAELGLPFSPLCDRKS TMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDESSQTGGTGQRRSSLNSINSSDAKR SGVKSSGSDGSAPINNSVIPVDYKSFKATWTEVVQINRERWRAKVPKEEKAKKEAEEKAR LAAEEKQKEMEAKSQAEQGTTSKGEKKTSGEAKSQVNGTRKGDNPRGKNSKGEKAGEKQQ NGDLKDGKNKADKKDHSNTGNESKKTDGTKKRSHGSPAPSTSSTSRITLPGDYG >ENSMUSP00000133170.1 pep:known chromosome:GRCm38:6:56081923:56369583:-1 gene:ENSMUSG00000004347.16 transcript:ENSMUST00000170774.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1c description:phosphodiesterase 1C [Source:MGI Symbol;Acc:MGI:108413] MAYSIQLRSLVKQLERGEASVVDLKKNLEYAATVLESVYIDETRRLLDTEDELSDIQSDA VPSEVRDWLASTFTRQMGMMLRRSDEKPRFKSIVHAVQAGIFVERMYRRTSNMVGLSYPP AVIDALKDVDTWSFDVFSLNEASGDHALKFIFYELLTRYDLISRFKIPISALVSFVEALE VGYSKHKNPYHNLMHAADVTQTVHYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTN NFHIQTRSDPAILYNDRSVLENHHLSAAYRLLQEDEEMNILVNLSKDDWREFRTLVIEMV MATDMSCHFQQIKAMKTALQQPEAIEKPKALSLMLHTADISHPAKAWDLHHRWTMSLLEE FFRQGDREAELGLPFSPLCDRKSTMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDES SQTGGTGQRRSSLNSINSSDAKRSGVKSSGSDGSAPINNSVIPVDYKSFKATWTEVVQIN RERWRAKVPKEEKAKKEAEEKARLAAEEKQKEMEAKSQAEQGTTSKGEKKTSGEAKSQVN GTRKGDNPRGKNSKGEKAGEKQQNGDLKDGKNKADKKDHSNTGNESKKTDGTKKRSHGSP APSTSSTSRITLPGDYG >ENSMUSP00000145419.1 pep:known chromosome:GRCm38:6:56082038:56123008:-1 gene:ENSMUSG00000004347.16 transcript:ENSMUST00000203462.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde1c description:phosphodiesterase 1C [Source:MGI Symbol;Acc:MGI:108413] XSKKTDGTKKRSHGSPAPSTSSTSRITLPEPTLFVVQWHFEWQFHGL >ENSMUSP00000128364.1 pep:known chromosome:GRCm38:6:56082091:56369602:-1 gene:ENSMUSG00000004347.16 transcript:ENSMUST00000168944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1c description:phosphodiesterase 1C [Source:MGI Symbol;Acc:MGI:108413] MESPTKEIEEFESNSLKHLQPEQIEKIWLRLRGLRKYKKTSQRLRSLVKQLERGEASVVD LKKNLEYAATVLESVYIDETRRLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRR SDEKPRFKSIVHAVQAGIFVERMYRRTSNMVGLSYPPAVIDALKDVDTWSFDVFSLNEAS GDHALKFIFYELLTRYDLISRFKIPISALVSFVEALEVGYSKHKNPYHNLMHAADVTQTV HYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNFHIQTRSDPAILYNDRSVLENH HLSAAYRLLQEDEEMNILVNLSKDDWREFRTLVIEMVMATDMSCHFQQIKAMKTALQQPE AIEKPKALSLMLHTADISHPAKAWDLHHRWTMSLLEEFFRQGDREAELGLPFSPLCDRKS TMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDESSQTGGTGQRRSSLNSINSSDAKR SGVKSSGSDGSAPINNSVIPVDYKSFKATWTEVVQINRERWRAKVPKEEKAKKEAEEKAR LAAEEKQKEMEAKSQAEQGTTSKGEKKTSGEAKSQVNGTRKGDNPRGKNSKGEKAGEKQQ NGDLKDGKNKADKKDHSNTGNESKKTDGTKKRSHGSPAPSTSSTSRITLPGDYG >ENSMUSP00000131350.1 pep:known chromosome:GRCm38:6:56100446:56362311:-1 gene:ENSMUSG00000004347.16 transcript:ENSMUST00000166102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1c description:phosphodiesterase 1C [Source:MGI Symbol;Acc:MGI:108413] MESPTKEIEEFESNSLKHLQPEQIEKIWLRLRGLRKYKKTSQRLRSLVKQLERGEASVVD LKKNLEYAATVLESVYIDETRRLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRR SDEKPRFKSIVHAVQAGIFVERMYRRTSNMVGLSYPPAVIDALKDVDTWSFDVFSLNEAS GDHALKFIFYELLTRYDLISRFKIPISALVSFVEALEVGYSKHKNPYHNLMHAADVTQTV HYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNFHIQTRSDPAILYNDRSVLENH HLSAAYRLLQEDEEMNILVNLSKDDWREFRTLVIEMVMATDMSCHFQQIKAMKTALQQPE AIEKPKALSLMLHTADISHPAKAWDLHHRWTMSLLEEFFRQGDREAELGLPFSPLCDRKS TMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDESSQTGGTGQRRSSLNSINSSDAKR SGVKSSGSDGSAPINNSVIPVDYKSFKATWTEVVQINRERWRAKVPKEEKAKKEAEEKAR LAAEEKQKEMEAKSQAEQGTTSKGEKKTSGEAKSQVNGTRKGDNPRGKNSKGEKAGEKQQ NGDLKDGKNKADKKDHSNTGNESKKTDDPEE >ENSMUSP00000131892.1 pep:known chromosome:GRCm38:6:56104008:56369631:-1 gene:ENSMUSG00000004347.16 transcript:ENSMUST00000166890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1c description:phosphodiesterase 1C [Source:MGI Symbol;Acc:MGI:108413] MAYSIQRKYKKTSQRLRSLVKQLERGEASVVDLKKNLEYAATVLESVYIDETRRLLDTED ELSDIQSDAVPSEVRDWLASTFTRQMGMMLRRSDEKPRFKSIVHAVQAGIFVERMYRRTS NMVGLSYPPAVIDALKDVDTWSFDVFSLNEASGDHALKFIFYELLTRYDLISRFKIPISA LVSFVEALEVGYSKHKNPYHNLMHAADVTQTVHYLLYKTGVANWLTELEIFAIIFSAAIH DYEHTGTTNNFHIQTRSDPAILYNDRSVLENHHLSAAYRLLQEDEEMNILVNLSKDDWRE FRTLVIEMVMATDMSCHFQQIKAMKTALQQPEAIEKPKALSLMLHTADISHPAKAWDLHH RWTMSLLEEFFRQGDREAELGLPFSPLCDRKSTMVAQSQVGFIDFIVEPTFTVLTDMTEK IVSPLIDESSQTGGTGQRRSSLNSINSSDAKRSGVKSSGSDGSAPINNSVIPVDYKSFKA TWTEVVQINRERWRAKVPKEEKAKKEAEEKARLAAEEKQKEMEAKSQAEQGTTSKGEKKT SGEAKSQVNGTRKGDNPRGKNSKGEKAGEKQQNGDLKDGKNKADKKDHSNTGNESKKTDD PEE >ENSMUSP00000129185.1 pep:known chromosome:GRCm38:6:56105718:56362810:-1 gene:ENSMUSG00000004347.16 transcript:ENSMUST00000164752.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1c description:phosphodiesterase 1C [Source:MGI Symbol;Acc:MGI:108413] MESPTKEIEEFESNSLKHLQPEQIEKIWLRLRGLRKYKKTSQRLRSLVKQLERGEASVVD LKKNLEYAATVLESVYIDETRRLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRR SDEKPRFKSIVHAVQAGIFVERMYRRTSNMVGLSYPPAVIDALKDVDTWSFDVFSLNEAS GDHALKFIFYELLTRYDLISRFKIPISALVSFVEALEVGYSKHKNPYHNLMHAADVTQTV HYLLYKTGVANWLTELEIFAIIFSAAIHDYEHTGTTNNFHIQTRSDPAILYNDRSVLENH HLSAAYRLLQEDEEMNILVNLSKDDWREFRTLVIEMVMATDMSCHFQQIKAMKTALQQPE AIEKPKALSLMLHTADISHPAKAWDLHHRWTMSLLEEFFRQGDREAELGLPFSPLCDRKS TMVAQSQVGFIDFIVEPTFTVLTDMTEKIVSPLIDESSQTGGTGQRRSSLNSINSSDAKR SGVKSSGSDGSAPINNSVIPVDYKSFKATWTEVVQINRERWRAKVPKEEKAKKEAEEKAR LAAEEKQKEMEAKSQAEQGTTSKGEKKTSGEAKSQVNGTRKGDNPRGKNSKGEKAGEKQQ NGDLKDGKNKADKKDHSNTGNESKKTDDPEE >ENSMUSP00000130139.1 pep:known chromosome:GRCm38:6:56106172:56362366:-1 gene:ENSMUSG00000004347.16 transcript:ENSMUST00000164037.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1c description:phosphodiesterase 1C [Source:MGI Symbol;Acc:MGI:108413] MESPTKEIEEFESNSLKHLQPEQIEKIWLRLRGLLRSLVKQLERGEASVVDLKKNLEYAA TVLESVYIDETRRLLDTEDELSDIQSDAVPSEVRDWLASTFTRQMGMMLRRSDEKPRFKS IVHAVQAGIFVERMYRRTSNMVGLSYPPAVIDALKDVDTWSFDVFSLNEASGDHALKFIF YELLTRYDLISRFKIPISALVSFVEALEVGYSKHKNPYHNLMHAADVTQTVHYLLYKTGV ANWLTELEIFAIIFSAAIHDYEHTGTTNNFHIQTRSDPAILYNDRSVLENHHLSAAYRLL QEDEEMNILVNLSKDDWREFRTLVIEMVMATDMSCHFQQIKAMKTALQQPEAIEKPKALS LMLHTADISHPAKAWDLHHRWTMSLLEEFFRQGDREAELGLPFSPLCDRKSTMVAQSQVG FIDFIVEPTFTVLTDMTEKIVSPLIDESSQTGGTGQRRSSLNSINSSDAKRSGVKSSGSD GSAPINNSVIPVDYKSFKATWTEVVQINRERWRAKVPKEEKAKKEAEEKARLAAEEKQKE MEAKSQAEQGTTSKGEKKTSGEAKSQVNGTRKGDNPRGKNSKGEKAGEKQQNGDLKDGKN KADKKDHSNTGNESKKTDDPEE >ENSMUSP00000034408.5 pep:known chromosome:GRCm38:9:14860210:14870789:1 gene:ENSMUSG00000031932.14 transcript:ENSMUST00000034408.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr83 description:G protein-coupled receptor 83 [Source:MGI Symbol;Acc:MGI:95712] MKVPPVLLLFLLSSVRATEQPQVVTEHPSMEAALTGPNASSHFWANYTFSDWQNFVGRRR YGAESQNPTVKALLIVAYSFTIVFSLFGNVLVCHVIFKNQRMHSATSLFIVNLAVADIMI TLLNTPFTLVRFVNSTWVFGKGMCHVSRFAQYCSLHVSALTLTAIAVDRHQVIMHPLKPR ISITKGVIYIAVIWVMATFFSLPHAICQKLFTFKYSEDIVRSLCLPDFPEPADLFWKYLD LATFILLYLLPLFIISVAYARVAKKLWLCNTIGDVTTEQYLALRRKKKTTVKMLVLVVVL FALCWFPLNCYVLLLSSKAIHTNNALYFAFHWFAMSSTCYNPFIYCWLNENFRVELKALL SMCQRPPKPQEDRLPSPVPSFRVAWTEKSHGRRAPLPNHHLPSSQIQSGKTDLSSVEPVV AMS >ENSMUSP00000111287.2 pep:known chromosome:GRCm38:9:14860254:14870310:1 gene:ENSMUSG00000031932.14 transcript:ENSMUST00000115624.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr83 description:G protein-coupled receptor 83 [Source:MGI Symbol;Acc:MGI:95712] MKVPPVLLLFLLSSVRATEQPQVVTEHPSMEAALTGPNASSHFWANYTFSDWQNFVGRRR YGAESQNPTVKALLIVAYSFTIVFSLFGNVLVCHVIFKNQRMHSATSLFIVNLAVADIMI TLLNTPFTLVIMHPLKPRISITKGVIYIAVIWVMATFFSLPHAICQKLFTFKYSEDIVRS LCLPDFPEPADLFWKYLDLATFILLYLLPLFIISVAYARVAKKLWLCNTIGDVTTEQYLA LRRKKKTTVKMLVLVVVLFALCWFPLNCYVLLLSSKAIHTNNALYFAFHWFAMSSTCYNP FIYCWLNENFRVELKALLSMCQRPPKPQEDRLPSPVPSFRVAWTEKSHGRRAPLPNHHLP SSQIQSGKTDLSSVEPVVAMS >ENSMUSP00000041377.7 pep:known chromosome:GRCm38:7:79660196:79698148:1 gene:ENSMUSG00000046591.10 transcript:ENSMUST00000035977.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ticrr description:TOPBP1-interacting checkpoint and replication regulator [Source:MGI Symbol;Acc:MGI:1924261] MACCHKVMLLVDTAGVSAPHSPARRAALRLLTYLSCRFGLARVHWTFKFFDSQGARSRPS RVSDFRELGSRSWEDFEEELEARLGDRPPGAHLPGPTPRATHTHGALMETLLDYQWDRPE ITSPTKPILRSSGRRLLDADGEAREAQAALGGFGNAVFLLAPCPHSQRELLQFVSGCEAQ AQRVPLTPKQVMEKVLPKRVQEVMIARNITLYWVDTTERSKLWASPDHVGYWTVCELLHH GGGTILPAETWSLGFTKARETVLPCGGELSHKPHPSPWISALPIDATVNCLLYNSEYEAS FPRIEGTLFLPVQGKEIEETWAISLEPLAMHQRHFQKPVRIVLRGSVAQWSLPVSSALGT DSWMLQSPEEHRSTQRLLFQELVSRLTAEEFHLVASVDPGEGWPPITGIISPFSANAMIL TVFRAKEAEFQSHFLQTAATEGSQDTASLFSDVVDSVLNQSHNLFEDPASSAPCVPEWVQ QELSHTSSWSPALVEKWFPFSNASGATSDLMESFWLLHAASPDNDESSKTESELTRCLSE LYQRSHEESTVVNQERSRKKRGIPRTPVRQKMNTMSRSLKMLNVARLNVKAQKLHPDGSP DTAVEKGLQKAVIGRTADKLEDRGRILRSSKLKEFKTEEELLAYIHDNYQKAVATEEITL YSCAQNMVSTIKMFLKSKDIKELEVACLSHVNSNLLKTSKTLRQNLAGKMDTEDKVGECQ LQVFLRLEMCEQCPSVLDRPDEVERIVEEVTDLLRLVCLTKDSAYLSEFLEEILRLYIGS IPGTIGQLYHSLGLKIPQKLAGVLPTGFFSDDSMSQESMSPPPSSSTHRSVSAITESEQL EELRTRSAKKRRKNALIRHKSIAEISQTLRQIEVPKVSKRATRNENSHSASIQLPVPRKD TIQEVTKVRRNLFNQEMLSPSKRGLKKGLPRSHSVSALECLHHKQDKFKKTKSSTFQGYC KLLTKSVAETPVHKQISRRLLHRQIKGRSSDPGPDIHVVEESPEKEDEMTLRRSPRIKQL SFSRTNSGSFYSVSQPKSRSVQRIHSSQQESEQRENFPVQSIQSPKTLLFGALSEIPSSS KKGSAQIKRSLRSMLDSEISTSYETPKKSNQKSPSFSKTTPRRFPRTAQTLLYTPERLQN SPTEMTSAEGTISEATIKTPSSHGYNSPFASKVTSQKTVSPAKEETSPPLTKLPSTPRES DVQPPQCSSDCTWPHSVNSSPEGPYYPASPPPMAGQARSQCLTPIRYSFRTPPRTALAGT SKQQEHQELPLPRASQTQEPPQGLEKKALKIPKKPAHTSTSPLSPEEHYSGCDVSPHQPR NSLSASPPPGELNWKEHQTSPSVTSSVSCPVPSTPPRTPQRMTCPIPPSPPSKLRRSCRK KSCPPQDFPECHPGPSAAPVLSSATSPGAVTGSREEQSQFSEGQSYLGTGFRSDCHVSSP VLTASDTKCLPLIDEAQLHGLKNQEVKSGILPGEEGEEPESTIADELPSVSDPGILVPAP SSVSSSSELLPYPLCCTADGKQRQDAAQQGSPRASEATSSPQTYEVELEMQASGLPKLRI KKIDPGVLLEAEALGKEAPLGEEGALPALCMPKASKSSGRTEHPYLSPPCLRPSHSTPGK NGGQTFICQSCTPSRCPPSTPSPFQADAGVSWTPSPKQSGKTTPEIIKDWPRRKRAVDCS AGPSAGRGEASMDLPGSLSLLEPEPEGKERSLEQDLSKVLISEEFELEGVCQLPDQSPPK DSASVTEETSWGQFGLGRKRFLSAKEESEYKVKRVCDSLSEDPQASKQKECSPRWSALPL HSVGDDEVFVSGSTPPSGCMVRSCLSASGLQALTQSPLLFQGRTPSSHSTDTRDEEVDVF PSTAEESPFSHTLSRKRPFRTYTRKKLIS >ENSMUSP00000146155.1 pep:known chromosome:GRCm38:7:79660231:79698134:1 gene:ENSMUSG00000046591.10 transcript:ENSMUST00000206622.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ticrr description:TOPBP1-interacting checkpoint and replication regulator [Source:MGI Symbol;Acc:MGI:1924261] MACCHKVMLLVDTAGVSAPHSPARRAALRLLTYLSCRFGLARVHWTFKFFDSQGARSRPS RVSDFRELGSRSWEDFEEELEARLGDRPPGAHLPGPTPRATHTHGALMETLLDYQWDRPE ITSPTKPILRSSGRRLLDADGEAREAQAALGGFGNAVFLLAPCPHSQRELLQFVSGCEAQ AQRVPLTPKQVMEKVLPKRVQEVMIARNITLYWVDTTERSKLWASPDHVGYWTVCELLHH GGGTILPAETWSLGFTKARETVLPCGGELSHKPHPSPWISALPIDATVNCLLYNSEYEAS FPRIEGTLFLPVQGKEIEETWAISLEPLAMHQRHFQKPVRIVLRGSVAQWSLPVSSALGT DSWMLQSPEEHRSTQRLLFQELVSRLTAEEFHLVASVDPGEGWPPITGIISPFSANAMIL TVFRAKEAEFQSHFLQTAATEGSQDTASLFSDVVDSVLNQSHNLFEDPASSAPCVPEWVQ QELSHTSSWSPALVEKWFPFSNASGATSDLMESFWLLHAASPDNDESSKTESELTRCLSE LYQRSHEESTVVNQERSRKKRGIPRTPVRQKMNTMSRSLKMLNVARLNVKAQKLHPDGSP DTAVEKGLQKAVIGRTADKLEDRGRILRSSKLKEFKTEEELLAYIHDNYQKAVATEEITL YSCAQNMVSTIKMFLKSKDIKELEVACLSHVNSNLLKTSKTLRQNLAGKMDTEDKVGE >ENSMUSP00000146221.1 pep:known chromosome:GRCm38:7:79660245:79684488:1 gene:ENSMUSG00000046591.10 transcript:ENSMUST00000206017.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ticrr description:TOPBP1-interacting checkpoint and replication regulator [Source:MGI Symbol;Acc:MGI:1924261] MACCHKVMLLVDTAGVSAPHSPARRAALRLLTYLSCRFGLARVHWTFKFFDSQGARSRPS RVSDFRELGSRSWEDFEEELEARLGDRPPGAHLPGPTPRATHTHGALMETLLDYQWDRPE ITSPTKPILRSSGRRLLDADGEAREAQAALGGFGNAVFLLAPCPHSQRELLQFVSGCEAQ AQRVPLTPKQVMEKVLPKRVQEVMIARNITLYWVDTTERSKLWASPDHVGYWTVCELLHH GGGTILPAETWSLGFTKARETVLPCGGELSHKPHPSPWISALPIDATVNCLLYNSEYEAS FPRIEGTLFLPVQGKEIEETWAISLEPLAMHQRHFQKPVRIVLRGSVAQWSLPVSSALGT DSWMLQSPEEHRSTQRLLFQELVSRLTAEEFHLVGVLHMLGISDSTLVR >ENSMUSP00000145735.1 pep:known chromosome:GRCm38:7:79660247:79693933:1 gene:ENSMUSG00000046591.10 transcript:ENSMUST00000206591.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ticrr description:TOPBP1-interacting checkpoint and replication regulator [Source:MGI Symbol;Acc:MGI:1924261] MACCHKVMLLVDTAGVSAPHSPARRAALRLLTYLSCRFGLARVHWTFKFFDSQGARSRPS RVSDFRELGSRSWEDFEEELEARLGDRPPGAHLPGPTPRATHTHGALMETLLDYQWDRPE ITSPTKPILRSSGRRLLDADGEAREAQAALGGFGNAVFLLAPCPHSQRELLQFVSGCEAQ AQRVPLTPKQVMEKVLPKRVQEVMIARNITLYWVDTTERSKLWASPDHVGYWTVCELLHH GGGTILPAETWSLGFTKARETVLPCGGELSHKPHPSPWISALPIDATVNCLLYNSEYEAS FPRIEGTLFLPVQGKEIEETWAISLEPLAMHQRHFQKPVRIVLRGSVAQWSLPVSSALGT DSWMLQSPEEHRSTQRLLFQELVSRLTAEEFHLVASVDPGEGWPPITGIISPFSANAMIL TVFRAKEAEFQSHFLQTAATEGSQDTASLFSDVVDSVLNQSHNLFEDPASSAPCVPEWVQ QELSHTSSWSPALVEKWFPFSNASGATSDLMESFWLLHAASPDNDESSKTESELTRCLSE LYQRSHEESTVVNQERSRKKRGIPRTPVRQKMNTMSRSLKMLNVARLNVKAQKLHPDGSP DTAVEKGLQKAVIGRTADKLEDRGRILRSSKLKEFKTEEELLAYIHDNYQKAVATEEITL YSCAQNMVSTIKMFLKSKDIKELEVACLSHVNSNLLKTSKTLRQNLAGKMDTEDKVGECQ LQVFLRLEMCEQCPSVLDRPDEVERIVEEVTDLLRLVCLTKDSAYLSEFLEEILRLYIGS IPGTIGQLYHSLGLKIPQKLAGVLPTGFFSDDSMSQESMSPPPSSSTHRSVSAITESEQL EELRTRSAKKRRKNALIRHKSIAEISQTLRQIEVPKVSKRATRNENSHSASIQLPVPRKD TIQEVTKVRRNLFNQEMLSPSKRGLKKGLPRSHSVSALECLHHKQDKFKKTKSSTFQGNY KIRVIANC >ENSMUSP00000052584.6 pep:known chromosome:GRCm38:15:91673175:91816120:1 gene:ENSMUSG00000036273.15 transcript:ENSMUST00000060642.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrk2 description:leucine-rich repeat kinase 2 [Source:MGI Symbol;Acc:MGI:1913975] MASGACQGCEEEEEEEALKKLIVRLNNVQEGKQIETLLQLLEDMLVFTYSDRASKLFEDK NFHVPLLIVLDSYMRVASVQQAGWSLLCKLIEVCPGTLQSLIGPQDIGNDWEVLGIHRLI LKMLTVHHANVNLSIVGLKALDLLLDSGKLTLLILDEECDIFLLIFDAMHRYSANDEVQK LGCKALHVLFERVSEEQLTEFVENKDYTILLSTFGSFRRDKEIVYHVLCCLHSLAVTCSN VEVLMSGNVRCYNLVVEAMKAFPTNENIQEVSCSLFQKLTLGNFFNILVLNEVHVFVVKA VRQYPENAALQISALSCLALLTETIFLNQDLEERSETQEQSEEEDSEKLFWLEPCYKALV RHRKDKHVQEAACWALNNLLMYQNSLHEKIGDEDGQFPAHREVMLSMLMHSSSKDVFQAA AHALSTLLEQNVNFRKILLAKGVYLNVLELMQKHAHAPEVAESGCKMLSHLFEGSNPSLD TMAAVVPKILTVMKAHGTSLSVQLEALRAILHFVVPGLLEESREDSQCRPNVLRKQCFRT DIHKLVLVALNRFIGNPGIQKCGLKVISSLAHLPDATETLSLQGAVDSVLHTLQMYPDDQ EIQCLGLHLMGCLMTKKNFCIGTGHLLAKILASTLQRFKDVAEVQTTGLQTTLSILELSV SFSKLLVHYSFDVVIFHQMSSSVVEQKDEQFLNLCCKCFAKVAVDDELKNTMLERACDQN NSIMVECLLLLGADANQVKGATSLIYQVCEKESSPKLVELLLNGGCREQDVRKALTVSIQ KGDSQVISLLLRKLALDLANNSICLGGFGIGKIDPSWLGPLFPDKSSNLRKQTNTGSVLA RKVLRYQMRNTLQEGVASGSDGKFSEDALAKFGEWTFIPDSSMDSVFGQSDDLDSEGSES SFLVKRKSNSISVGEVYRDLALQRCSPNAQRHSNSLGPVFDHEDLLRRKRKILSSDESLR SSRLPSHMRQSDSSSSLASEREHITSLDLSANELKDIDALSQKCCLSSHLEHLTKLELHQ NSLTSFPQQLCETLKCLIHLDLHSNKFTSFPSFVLKMPRITNLDASRNDIGPTVVLDPAM KCPSLKQLNLSYNQLSSIPENLAQVVEKLEQLLLEGNKISGICSPLSLKELKILNLSKNH IPSLPGDFLEACSKVESFSARMNFLAAMPALPSSITSLKLSQNSFTCIPEAIFSLPHLRS LDMSHNNIECLPGPAHWKSLNLRELIFSKNQISTLDFSENPHVWSRVEKLHLSHNKLKEI PPEIGCLENLTSLDVSYNLELRSFPNEMGKLSKIWDLPLDGLHLNFDFKHVGCKAKDIIR FLQQRLKKAVPYNRMKLMIVGNTGSGKTTLLQQLMKMKKPELGMQGATVGIDVRDWSIQI RGKRRKDLVLNVWDFAGREEFYSTHPHFMTQRALYLAVYDLSKGQAEVDAMKPWLFNIKA RASSSPVILVGTHLDVSDEKQRKACISKITKELLNKRGFPTIRDYHFVNATEESDALAKL RKTIINESLNFKIRDQPVVGQLIPDCYVELEKIILSERKAVPTEFPVINRKHLLQLVNEH QLQLDENELPHAVHFLNESGVLLHFQDPALQLSDLYFVEPKWLCKVMAQILTVKVDGCLK HPKGIISRRDVEKFLSKKKRFPKNYMMQYFKLLEKFQIALPIGEEYLLVPSSLSDHRPVI ELPHCENSEIIIRLYEMPYFPMGFWSRLINRLLEISPFMLSGRERALRPNRMYWRQGIYL NWSPEAYCLVGSEVLDNRPESFLKITVPSCRKGCILLGRVVDHIDSLMEEWFPGLLEIDI CGEGETLLKKWALYSFNDGEEHQKILLDELMKKAEEGDLLINPDQPRLTIPISQIAPDLI LADLPRNIMLNNDELEFEEAPEFLLGDGSFGSVYRAAYEGEEVAVKIFNKHTSLRLLRQE LVVLCHLHHPSLISLLAAGIRPRMLVMELASKGSLDRLLQQDKASLTRTLQHRIALHVAD GLRYLHSAMIIYRDLKPHNVLLFTLYPNAAIIAKIADYGIAQYCCRMGIKTSEGTPGFRA PEVARGNVIYNQQADVYSFGLLLHDIWTTGSRIMEGLRFPNEFDELAIQGKLPDPVKEYG CAPWPMVEKLITKCLKENPQERPTSAQVFDILNSAELICLMRHILIPKNIIVECMVATNL NSKSATLWLGCGNTEKGQLSLFDLNTERYSYEEVADSRILCLALVHLAAEKESWVVCGTQ SGALLVINVEEETKRHTLEKMTDSVTCLHCNSLAKQSKQSNFLLVGTADGNLMIFEDKAV KCKGAAPLKTLHIGDVSTPLMCLSESLNSSERHITWGGCGTKVFSFSNDFTIQKLIETKT NQLFSYAAFSDSNIIALAVDTALYIAKKNSPVVEVWDKKTEKLCELIDCVHFLKEVMVKL NKESKHQLSYSGRVKALCLQKNTALWIGTGGGHILLLDLSTRRVIRTIHNFCDSVRAMAT AQLGSLKNVMLVLGYKRKSTEGIQEQKEIQSCLSIWDLNLPHEVQNLEKHIEVRTELADK MRKTSVE >ENSMUSP00000147035.1 pep:known chromosome:GRCm38:16:59167212:59170290:-1 gene:ENSMUSG00000096695.2 transcript:ENSMUST00000207673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr196 description:olfactory receptor 196 [Source:MGI Symbol;Acc:MGI:3030030] MGIENTTLLTEFVLTGLSHLPQWKIPLFLLFLVIYLITIVGNLGLITLIWNDPHLHIPMY LFLGSLAFVDTWLSSTVTPKMLLDFFSKSKLISFSECMIQFFSFGISATTECFLLAAMAY DRYVAICKPLLYPVIMTNRLCVLLLTLSFVGGFIHVLIHESFLFRLTFCNSNIIHHFYCD VMPLLKISCNDPSLNYLMLFIFSGSIQVFSILTILISYTLVLFSILKQKSIKSIKKAFST CGAHLLSVSLYYGSLLFMYVRPASPQVDDQDMMDSIFYTVIIPVLNPIIYSLRNKQVKNS LEKFLKRNT >ENSMUSP00000076285.1 pep:known chromosome:GRCm38:16:59167212:59168141:-1 gene:ENSMUSG00000096695.2 transcript:ENSMUST00000077027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr196 description:olfactory receptor 196 [Source:MGI Symbol;Acc:MGI:3030030] MGIENTTLLTEFVLTGLSHLPQWKIPLFLLFLVIYLITIVGNLGLITLIWNDPHLHIPMY LFLGSLAFVDTWLSSTVTPKMLLDFFSKSKLISFSECMIQFFSFGISATTECFLLAAMAY DRYVAICKPLLYPVIMTNRLCVLLLTLSFVGGFIHVLIHESFLFRLTFCNSNIIHHFYCD VMPLLKISCNDPSLNYLMLFIFSGSIQVFSILTILISYTLVLFSILKQKSIKSIKKAFST CGAHLLSVSLYYGSLLFMYVRPASPQVDDQDMMDSIFYTVIIPVLNPIIYSLRNKQVKNS LEKFLKRNT >ENSMUSP00000089269.2 pep:known chromosome:GRCm38:13:27312627:27319252:1 gene:ENSMUSG00000069259.10 transcript:ENSMUST00000091680.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl6a1 description:prolactin family 6, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1206579] MLSLSQPCFSGTLLMLLASNFLLWKNVAPVPMYASLDEYGEMSIYDLLDHVTILSHNVSE LTAEMHRIFMEDVRYKPGRWFSDRYLTACHTSTLTISVSKEGARQMPGVFLVKEMISMLT AWRYPLYHIITELSYMEQAPDEIISRARNIEEKIIVLIEALRGILSKIQPGPPENERYPV WNELASLQSPDEDLRHLTLFNLFQCLVKDSRKIDSSIRLLKCKLLYNRDC >ENSMUSP00000089268.3 pep:known chromosome:GRCm38:13:27313946:27319252:1 gene:ENSMUSG00000069259.10 transcript:ENSMUST00000091679.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl6a1 description:prolactin family 6, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1206579] MSGEELPEKAKKKEAGTLLMLLASNFLLWKNVAPVPMYASLDEYGEMSIYDLLDHVTILS HNVSELTAEMHRIFMEDVRYKPGRWFSDRYLTACHTSTLTISVSKEGARQMPGVFLVKEM ISMLTAWRYPLYHIITELSYMEQAPDEIISRARNIEEKIIVLIEALRGILSKIQPGPPEN ERYPVWNELASLQSPDEDLRHLTLFNLFQCLVKDSRKIDSSIRLLKCKLLYNRDC >ENSMUSP00000105179.2 pep:known chromosome:GRCm38:13:85214780:85289029:-1 gene:ENSMUSG00000021549.13 transcript:ENSMUST00000109552.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasa1 description:RAS p21 protein activator 1 [Source:MGI Symbol;Acc:MGI:97860] MMAAEAGSEEGGPATAGPGGGAATGSSAYSAACRVKLPAALPVAAAPCPGLADADLVAAL GGGAASGSGFLGTGPVAGVLGGAALTGSAAAGVAGAAAAGPAGDVAFTKGTLSLPAETLG PGGGFPPLPPPPQLPPLGSGLGTVDEGDSLDGPEYEEEEVAIPLTAPPTNQWYHGKLDRT IAEERLRQAGKSGSYLIRESDRRPGSFVLSFLSQTNVVNHFRIIAMCGDYYIGGRRFSSL SDLIGYYSHVSCLLKGEKLLYPVAPPEPVEDRRRVRAILPYTKVPDTDEISFLKGDMFIV HNELEDGWMWVTNLRTDEQGLIVEDLVEEVGREEDPHEGKIWFHGKISKQEAYNLLMTVG QVCSFLVRPSDNTPGDYSLYFRTNENIQRFKICPTPNNQFMMGGRYYNSIGDIIDHYRKE QIVEGYYLKEPVPMQDQGQVLNDTVDGKEIYNTIRRKTKDAFYKNIVKKGYLLKKGKGKR WKNLYFILEGSDAQLIYFESEKRATKPKGLIDLSVCSVYVVHDSLFGRPNCFQIVVQHFS EEHYIFYFAGETPEQAEDWMKGLQAFCSLRKSSPGTSNKRLRQVSSLVLHIEEAHKLPVK HFTNPYCNIYLNSVQVAKTHAREGQNPVWSEEFVFDDLPPDINRFEITLSNKTKKSKDPD ILFMRCQLSRLQKGHATDEWFLLSSHIPLKGIEPGSLRVRARYSMEKIMPEEEYSEFKEL ILQKELHVVYALSHVCGQDRTLLASILLKIFLHEKLESLLLCTLNDREISMEDEATTLFR ATTLASTLMEQYMKATATQFVHHALKDSILKIMESKQSCELSPSKLEKNEDVNTNLAHLL SILSELVEKIFMASEILPPTLRYIYGCLQKSVQHKWPTNNTMRTRVVSGFVFLRLICPAI LNPRMFNIISDSPSPIAARTLTLVAKSVQNLANLVEFGAKEPYMEGVNPFIKSNKHRMIM FLDELGNVPELPDTTEHSRTDLSRDLAALHEICVAHSDELRTLSNERGVQQHVLKKLLAI TELLQQKQNQYTKTNDIR >ENSMUSP00000138659.1 pep:known chromosome:GRCm38:17:25162461:25171913:1 gene:ENSMUSG00000059562.14 transcript:ENSMUST00000183178.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc154 description:coiled-coil domain containing 154 [Source:MGI Symbol;Acc:MGI:2685163] MSEPADGPSLRLSPSHLSTVTLEDLELLLTEGLVSAETSERDECSGRASGSLVPEQDTSK LWKQLEQWFVPVCRCRPQSCAS >ENSMUSP00000138090.1 pep:known chromosome:GRCm38:17:25162464:25171911:1 gene:ENSMUSG00000059562.14 transcript:ENSMUST00000182621.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc154 description:coiled-coil domain containing 154 [Source:MGI Symbol;Acc:MGI:2685163] MSEPADGPSLRLSPSHLSTVTLEDLELLLTEGLVSAETSERDECSGRASGSLVPEQDTSK LWKQLEQWVAELQAEVVRLRGHKERCEHATLGLLRELLQVRARVQMQASELRQLRQVVQQ AAWSPEKEELELSGPQSQNQMQTLDKRLVEVREALTHIRRKQALQDSERKHSEQEINLRL TNLTGMLSQEEQGREAACSALQKNQEDTSQKVDLGLAKMQAQMTKLNEEMSLRFLKREAK LCSFLQKSFLALEQRMKSSESTRQIAESSLREELESRWQKLHELTEERLRALRAQREEEE GHLLEQCRGLDKAVVYLTKFVRQNQVSLNRVLMAEQKAREAKVSLEETQAGELASYVHEN LEAVQMAGKLAQQETQDALELLQEKSQVLEGSVAGLDQQLKDLSDHCLALSWRLDLQEQT LGLKLSQKQAAWEGMERESLKDLVQWLKEVTAHLEEVQEKVNSLPQQIESISNKCVIHKS EVDVKISAEGKAREFEVETVRQELAALLMSVQLLKEENPGRKIAEIQGQLATFQKQMIKL ENSLQANKTIQNLKFNNETKLRTEEIATLRESMLRLWSEEGPWPLTLGSKRVFMSLVRQR FFIKDVALDAMVSVNSWGVYQAVRWLRWKRFLLNLVAQKRPGVTSAMTQWKPAYEVTSLT VFQK >ENSMUSP00000138191.1 pep:known chromosome:GRCm38:17:25162842:25171882:1 gene:ENSMUSG00000059562.14 transcript:ENSMUST00000182292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc154 description:coiled-coil domain containing 154 [Source:MGI Symbol;Acc:MGI:2685163] MSEPADGPSLRLSPSHLSTVTLEDLELLLTEGLVSAETSERDECSGRASGSLVPEQDTSK LWKQLEQWVAELQAEVVRLRGHKERCEHATLGLLRELLQVRARVQMQASELRQLRQVVQQ AAWSPEKEELELSGPQSQNQMQTLDKRLVEVREALTHIRRKQALQDSERKHSEQEINLRL TNLTGMLSQEEQGREAACSALQKNQEDTSQKVDLGLAKMQAQMTKLNEEMSLRFLKREAK LCSFLQKSFLALEQRMKSSESTRQIAESSLREELESRWQKLHELTEERLRALRAQREEGH LLEQCRGLDKAVVYLTKFVRQNQVSLNRVLMAEQKAREAKVSLEETQAGELASYVHENLE AVQMAGKLAQQETQDALELLQEKSQVLEGSVAGLDQQLKDLSDHCLALSWRLDLQEQTLG LKLSQKQAAWEGMERESLKDLVQWLKEVTAHLEEVQEKVNSLPQQIESISNKCVIHKSEV DVKISAEGKAREFEVETVRQELAALLMSVQLLKEENPGRKIAEIQGQLATFQKQMIKLEN SLQANKTIQNLKFNNETKLRTEEIATLRESMLRLWSEEGPWPLTLGSKRVFMSLVRQRFF IKDVALDAMVSVNSWGVYQAVRWLRWKRFLLNLVAQKRPGVTSAMTQWKPAYEVTSLTVF QK >ENSMUSP00000073002.5 pep:known chromosome:GRCm38:17:25162461:25171913:1 gene:ENSMUSG00000059562.14 transcript:ENSMUST00000073277.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc154 description:coiled-coil domain containing 154 [Source:MGI Symbol;Acc:MGI:2685163] MSEPADGPSLRLSPSHLSTVTLEDLELLLTEGLVSAETSERDECSGRASGSLVPEQDTSK LWKQLEQWVAELQAEVVRLRGHKERCEHATLGLLRELLQVRARVQMQASELRQLRQVVQQ AAWSPEKEELELSGPQSQNQMQTLDKRLVEVREALTHIRRKQALQDSERKHSEQEINLRL TNLTGMLSQEEQGREAACSALQKNQEDTSQKVDLGLAKMQAQMTKLNEEMSLRFLKREAK LCSFLQKSFLALEQRMKSSESTRQIAESSLREELESRWQKLHELTEERLRALRAQREVGL GGQQEEEGHLLEQCRGLDKAVVYLTKFVRQNQVSLNRVLMAEQKAREAKVSLEETQAGEL ASYVHENLEAVQMAGKLAQQETQDALELLQEKSQVLEGSVAGLDQQLKDLSDHCLALSWR LDLQEQTLGLKLSQKQAAWEGMERESLKDLVQWLKEVTAHLEEVQEKVNSLPQQIESISN KCVIHKSEVDVKISAEGKAREFEVETVRQELAALLMSVQLLKEENPGRKIAEIQGQLATK QMIKLENSLQANKTIQNLKFNNETKLRTEEIATLRESMLRLWSEEGPWPLTLGSKRVFMS LVRQRFFIKDVALDAMVSVNSWGVYQAVASLEEIPLELGGPEKARSDLSDDPVEACI >ENSMUSP00000031273.8 pep:known chromosome:GRCm38:5:101765130:101823858:1 gene:ENSMUSG00000029330.8 transcript:ENSMUST00000031273.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cds1 description:CDP-diacylglycerol synthase 1 [Source:MGI Symbol;Acc:MGI:1921846] MLELRHRGGCPGPGGAGAPPPREGEAAGGDHETESTSDKETDIDDRYGDLDARGDSDVPE VPPSSDRTPEILKKALSGLSSRWKNWWIRGILTLTMISLFFLIIYMGSFMLMLLVLGIQV KCFHEIITIGYRVYHSYDLPWFRTLSWYFLLCVNYFFYGETVADYFATFVQREEQLQFLI RYHRFISFALYLAGFCMFVLSLVKKHYRLQFYMFAWTHVTLLITVTQSHLVIQNLFEGMI WFLVPISSVICNDITAYLFGFFFGRTPLIKLSPKKTWEGFIGGFFSTVIFGFIAAYVLSK YQYFVCPVEYRSDVNSFVTECEPSELFQLQNYSLPPFLQAVLSRETVSLYPFQIHSIALS TFASLIGPFGGFFASGFKRAFKIKDFANTIPGHGGIMDRFDCQYLMATFVHVYITSFIRG PNPSKVLQQLLVLQPEQQLNIYRTLKIHLTEKGILQPTLKV >ENSMUSP00000074593.6 pep:known chromosome:GRCm38:7:5802345:5805445:-1 gene:ENSMUSG00000058631.6 transcript:ENSMUST00000075085.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r63 description:vomeronasal 1 receptor 63 [Source:MGI Symbol;Acc:MGI:3033470] MEMLALQILLLCHVVVGTVGNILLFVHNFSQILTDSRLRPIQVILINLAVANAFMLLLFA YSYDLTDIVPRKPPTDLKCKLAYFFHLVARGTIMCSTCVLSTYQFVTLVPGTWARVMFSE ISPKVVSYCCYSCWLFSVLNNAYMLMNVSGPQKSHNDSDSKGNSICSISGVSVDMNFLRF SHDIIFLSIMAWTSVSMVIHLNRHHQRMNHIHKVNQNNRGHAETRAAHTILMLVVTFVSS YTLNCISISFHISFVESCLWLRYVTKLLALSFPTISPLLLIFRDCKGHCSLRIMSVWKSI >ENSMUSP00000115071.1 pep:known chromosome:GRCm38:16:17835277:17891671:-1 gene:ENSMUSG00000092470.1 transcript:ENSMUST00000150068.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20518 description:predicted gene 20518 [Source:MGI Symbol;Acc:MGI:5141983] MVPKADSGAFLLLFLLVLTVTEPLRPELRCNPGQFACHGGTIQCIPLPWQCDGWPTCEDK SDEADCPEVTGEARPYGKETVDLRQGRARGGDPTHFHTVNVAQPVRFSSFLGKCPSGWHH YEGTASCYRVYLSGENYWDAAQTCQRVNGSLATFSTDQELRFVLAQEWDQPERSFGWKDQ RKLWVGYQYVITGRNHSLEGRWEVAFKGSPEVFLPPDPIFASAMSENDNVFCAQLQCFHF PTLRHHDLHSWHAESCSEKSSFLCKRSQTCVDIKDNVVDEGFYFTPKGDDPCLSCTCHRG EPEMCVAALCERPQGCQQYRKDPKECCKFMCLDPDGSSLFDSMASGMRLVVSCISSFLIL SLLLFMVHRLRQRRRERIESLIGANLHHFNLGRRIPGFDYGPDGFGTGLTPLHLSDDGEG GTFHFHDPPPPYTAYKYPDMDQPDDPPPPYEASINPDSVFYDPADDDAFEPVEASLPAPR DGGIEGALPRHLDQPLPPAETSLADLEDSTDSSSALLVPPDPAQSGSTPATEAPPGVLRS QPLQHHVGPGLLAQLPPYTTGGTGGLQWYLVLRLPRPKVW >ENSMUSP00000004201.7 pep:known chromosome:GRCm38:9:20770050:20815067:-1 gene:ENSMUSG00000004098.7 transcript:ENSMUST00000004201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col5a3 description:collagen, type V, alpha 3 [Source:MGI Symbol;Acc:MGI:1858212] MRSCRRLDQLQAGLCLLLASLQLVSWTLAAEPVDVLEAWGVHRDQAGVAEGPGFCPLRIP QGDRAFRVGKSSLLSVPTWQLFPDGHFPENFSVLLTLRAQPANQSVLLSIYDEKGVRQLG LALGPALGLLGDSFRPLPKQVNIMDGRWHRVAVSISGNKVTLVVDCEPQPPTFGQGPRFI STAGLTVMGTQDTREESFEGDIQELLLIPDPQAAFQACESYLPGCETLDSTTTGAPKDDE PETPAPRRRKGKGKKKGRGRKGKGRKKNKETSELSPTPGAPENQTSLHIPETEKTVPHLP LTPTPLAITTTVTIGQNATVSQGLDSGTETEQTTPEVDSTEEGEGGGPTMGPKFRAAEQS LQTEFQIFPGAGEKGAKGEPATVEQGQQFEGPAGAPGPRGISGPSGPPGPPGFPGDRGLP GPAGLPGIPGIDGARGLPGTVIMMPFHFASSSMKGPPVSFQQAQAQAVLQQAQLSMKGPP GPVGLTGRPGPVGLPGYPGLKGELGEVGPQGPRGLQGPPGPPGREGKTGRAGADGARGLP GDTGPKGDRGFDGLPGLPGEKGQRGDFGRVGQPGPPGEDGVKGLQGPPGPTGQAGEPGPR GLIGPRGLPGPLGRPGVTGSDGAPGAKGNVGPPGEPGPPGQQGNHGSQGIPGPQGPIGTP GEKGPPGNPGIPGVPGSEGPPGHPGHEGPTGEKGAQGPPGSAGPRGYPGLRGVKGTSGNR GLQGEKGERGEDGFPGFKGDEGPKGDRGNPGPPGPRGEDGPEGQKGPGGLPGDEGPPGAA GEKGKLGVPGLPGYPGRPGPKGSIGFPGPLGPLGEKGKRGKAGQPGEEGERGTPGTRGDR GQPGATGQPGPKGDVGQNGSPGPPGEKGLPGLQGPPGFPGPKGPPGPQGKDGISGHPGQR GELGFQGLTGPPGPAGVLGPQGKVGDVGPLGERGPPGPPGPPGEQGLPGIEGREGAKGEL GPLGSVGKEGPPGPRGFPGPQGAPGDPGPIGLKGDKGPPGPVGANGSPGERGPVGPSGGI GLPGQSGGQGPIGPAGEKGSPGERGTPGPTGKDGIPGPPGLQGPSGAAGPSGEEGDKGEV GMPGHKGSKGDKGDAGPPGPTGIRGPAGHSGLPGADGAQGRRGPPGLFGQKGDDGVRGFV GVIGPPGLQGLPGPPGEKGEVGDVGSMGPHGAPGPRGPPGPSGSEGPPGLPGGVGQPGAV GEKGEPGDAGDAGPPGIPGIPGPKGEIGEKGDSGPSGAAGPPGKKGPPGEDGSKGNMGPT GLPGDLGPPGDPGVPGIDGIPGEKGNAGDIGGPGPPGASGEPGARGLPGKRGSPGRMGPE GREGEKGAKGDAGPDGPPGRTGPIGARGPPGRIGPDGLPGIPGPVGEPGLLGPPGLIGPP GPLGPPGLPGLKGDAGPKGEKGHIGLIGLIGPPGEAGEKGDQGLPGVQGPPGLQGDPGLP GPVGSLGHPGPPGVVGPLGQKGSKGSPGSLGPRGDPGPAGPPGPPGSPAEVHGLRRRRSV TDTLEGGLEEVMASLNSLSLELQQLQRPLGTAESPGLMCRELHRDHPHLPDGEYWIDPNQ GCARDAFKVFCNFTAGGETCLYPDKKFETVKLASWSREKPGGWYSTFRRGKKFSYVDADG SPVNVVQLTFLKLLSAAAHQRFTYICQNSVAWLDEAAGDHRHSIRFQGTNWEELSFNQTT AATIKVSHDGCRVRKGQAKTLFEFSSSVGFLPLWDVAASDFGQTNQKFGFELGSICFSS >ENSMUSP00000119799.1 pep:known chromosome:GRCm38:5:76657683:76840881:1 gene:ENSMUSG00000036377.18 transcript:ENSMUST00000128112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C530008M17Rik description:RIKEN cDNA C530008M17 gene [Source:MGI Symbol;Acc:MGI:2444817] MGARAFSHDSIFIPDGGAESEQTVQA >ENSMUSP00000113947.1 pep:known chromosome:GRCm38:5:76752368:76873330:1 gene:ENSMUSG00000036377.18 transcript:ENSMUST00000121160.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C530008M17Rik description:RIKEN cDNA C530008M17 gene [Source:MGI Symbol;Acc:MGI:2444817] MGARAFSHDSIFIPDGGAESEQTVQAMSQDNILGKVKTLQRQLGKNIKFGQRPSNAIPMK KAGSTDASSEEDFVLTSPMEIVTQQDIVPSDTENKSSDTPSSSSPLNLPEAGSDMEEKVA PVKPSRPKRHLSSAGTIESVNLDAIPLAIARLDNSAARHKLAVKPKNQRVSRKHRWLAQD RQNEPGSFESQSSLDQNGQLGEDKHIWHGEEPEPLESHEEKRLHEEYWRELEAKCKRQKA EAAEKRRQEEQRRQALERRLWEESLRQELLEEEEEGEEEEEVKEEGEEGEEVGLQPRAGK VPPEEGHQSGPEEQRCTEQELGGADDPARLEAEERRREQEEAERQAEKLRQREAERQEEE QKQREAERQEEEARQCEAERQAEKLRQREAERQEEEARQREAERQAEKLRQREAERQEEE LRQREEAERQEKLRQRDAESQEEELRQREAERQRAQEEDAKGMLQEEEEEAKRIEELKGK ETPEPLVEEGPQSPEGESQPWLTDDADQRSPLQRDLEKPGEREREDLESAGQREIAEEPR GEGEPAEQSGDLDAHCGGVDGEGKETAQTDSPQPQERQMEGTPAPEENEATAADIDRKVE ELRWQEVDERQTMPRPYTFQVSSGSRQILFPKVNLSPVTPAKDASLAPAAQEPPAPRGAA SHALPSALSIPHTAILVTGAQLCGPAVNLSQIKDTACKSLLGLSEEKRPMDVPTVESRAG SGKSRPAPESPSNAAALAEWASIRSRILKNSEGDQRGDREPARAGDEPVPRARCDSRGNV RRTPPVNAKFSIMPAWQKFSDSGAETFRQSLDGESGRKKPGLAPSEETAPQPHAAAQQEV SQEPPDTTDGCKFAKDLPSFLVPGLPSPQKAASRTESTTTLDSETTSDVGNPDPAMPGGE EKASPFGIKLRRTNYSLRFHCDQQAEQKKKKRHSSTGDSVGGATPATGSVSGESEPEATF LKHGPSLPQERKPALSPRKDSAESHSSGHYVAVAQSGLPPASGQTPAPEQDRAVSKMPSM QKPALAPKPASQTPPSSPLSKLSRPHLVELLARRAGKLDSEPSETAKESSDNQPPSPSLP EELKGQKRDEKDVPEKKPASPPLPAGQQERPSLIPETGRKEKPVLQSRHSLDGSKVTEKV ETAQPLWITLALQKQKGFREQQATREERKQAREAKQAEKLSKETVSVSLQPGSSRASKTA PVHKPAAPSEEKKPETAVSRLQRREQLKKSNTLPTSVTVEISDSAPSAALVKDVTKRFST PDAAPVSTEPAWLALAKRKAKAWSDCPQIIK >ENSMUSP00000113485.1 pep:known chromosome:GRCm38:5:76809988:76842589:1 gene:ENSMUSG00000036377.18 transcript:ENSMUST00000120818.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C530008M17Rik description:RIKEN cDNA C530008M17 gene [Source:MGI Symbol;Acc:MGI:2444817] MGARAFSHDSIFIPDGGAESEQTVQAMSQDNILGKVKTLQREELYYGNHRIRKAENPAGD FEQRGSELPCLS >ENSMUSP00000113796.2 pep:known chromosome:GRCm38:5:76840599:76873545:1 gene:ENSMUSG00000036377.18 transcript:ENSMUST00000120639.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C530008M17Rik description:RIKEN cDNA C530008M17 gene [Source:MGI Symbol;Acc:MGI:2444817] MGARAFSHDSIFIPDGGAESEQTVQAMSQDNILGKVKTLQRQLGKNIKFGQRPSNAIPMK KAGSTDASSEEDFVLTSPMEIVTQQDIVPSDTENKSSDTPSSSSPLNLPEAGSDMEEKVA PVKPSRPKRHLSSAGTIESVNLDAIPLAIARLDNSAARHKLAVKPKNQRVSRKHRWLAQD RQNEPGSFESQSSLDQNGQLGEDKHIWHGEEPEPLESHEEKRLHEEYWRELEAKCKRQKA EAAEKRRQEEQRRQALERRLWEESLRQELLEEEEEGEEEEEVKEEGEEGEEVGLQPRAGK VPPEEGHQSGPEEQRCTEQELGGADDPARLEAEERRREQEEAERQAEKLRQREAERQEEE QKQREAERQEEEARQCEAERQAEKLRQREAERQEEEARQREAERQAEKLRQREAERQEEE LRQREEAERQEKLRQRDAESQEEELRQREAERQRAQEEDAKGMLQEEEEEAKRIEELKGK ETPEPLVEEGPQSPEGESQPWLTDDADQRSPLQRDLEKPGEREREDLESAGQREIAEEPR GEGEPAEQSGDLDAHCGGVDGEGKETAQTDSPQPQERQMEGTPAPEENEATAADIDRKVE ELRWQEVDERQTMPRPYTFQVSSGSRQILFPKVNLSPVTPAKDASLAPAAQEPPAPRGAA SHALPSALSIPHTAILVTGAQLCGPAVNLSQIKDTACKSLLGLSEEKRPMDVPTVESRAG SGKSRPAPESPSNAAALAEWASIRSRILKNSEGDQRGDREPARAGDEPVPRARCDSRGNV RRTPPVNAKFSIMPAWQKFSDSGAETFRQSLDGESGRKKPGLAPSEETAPQPHAAAQQEV SQEPPDTTDGCKFAKDLPSFLVPGLPSPQKAASRTESTTTLDSETTSDVGNPDPAMPGGE EKASPFGIKLRRTNYSLRFHCDQQAEQKKKKRHSSTGDSVGGATPATGSVSGESEPEATF LKHGPSLPQERKPALSPRKDSAESHSSGHYVAVAQSGLPPASGQTPAPEQDRAVSKMPSM QKPALAPKPASQTPPSSPLSKLSRPHLVELLARRAGKLDSEPSETAKESSDNQPPSPSLP EELKGQKRDEKDVPEKKPASPPLPAGQQERPSLIPETEKPVLQSRHSLDGSKVTEKVETA QPLWITLALQKQKGFREQQATREERKQAREAKQAEKLSKETVSVSLQPGSSRASKTAPVH KPAAPSEEKKPETAVSRLQRREQLKKSNTLPTSVTVEISDSAPSAALVKDVTKRFSTPDA APVSTEPAWLALAKRKAKAWSDCPQIIK >ENSMUSP00000112941.1 pep:known chromosome:GRCm38:5:76840619:76842591:1 gene:ENSMUSG00000036377.18 transcript:ENSMUST00000121851.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C530008M17Rik description:RIKEN cDNA C530008M17 gene [Source:MGI Symbol;Acc:MGI:2444817] MGARAFSHDSIFIPDGGAESEQTVQAMSQDNILGKVKTLQREELYYGNHRIRKAENPAGD FEQRGSELPCLS >ENSMUSP00000116870.1 pep:known chromosome:GRCm38:5:76866101:76868417:1 gene:ENSMUSG00000036377.18 transcript:ENSMUST00000151567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C530008M17Rik description:RIKEN cDNA C530008M17 gene [Source:MGI Symbol;Acc:MGI:2444817] QKGFREQQATREERKQAREAKQAEKLSKETVSVSLQPGSSRASKTAPVHKPAAPSEEKKP ETAVSRLQRREQLKKSNTLPTSVTGDTAQMLGHFH >ENSMUSP00000127212.1 pep:known chromosome:GRCm38:5:76840599:76873554:1 gene:ENSMUSG00000036377.18 transcript:ENSMUST00000163347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C530008M17Rik description:RIKEN cDNA C530008M17 gene [Source:MGI Symbol;Acc:MGI:2444817] MGARAFSHDSIFIPDGGAESEQTVQAMSQDNILGKVKTLQRQLGKNIKFGQRPSNAIPMK KAGSTDASSEEDFVLTSPMEIVTQQDIVPSDTENKSSDTPSSSSPLNLPEAGSDMEEKVA PVKPSRPKRHLSSAGTIESVNLDAIPLAIARLDNSAARHKLAVKPKNQRVSRKHRWLAQD RQNEPGSFESQSSLDQNGQLGEDKHIWHGEEPEPLESHEEKRLHEEYWRELEAKCKRQKA EAAEKRRQEEQRRQALERRLWEESLRQELLEEEEEGEEEEEVKEEGEEGEEVGLQPRAGK VPPEEGHQSGPEEQRCTEQELGGADDPARLEAEERRREQEEAERQAEKLRQREAERQEEE QKQREAERQEEEARQCEAERQAEKLRQREAERQEEEARQREAERQAEKLRQREAERQEEE LRQREEAERQEKLRQRDAESQEEELRQREAERQRAQEEDAKGMLQEEEEEAKRIEELKGK ETPEPLVEEGPQSPEGESQPWLTDDADQRSPLQRDLEKPGEREREDLESAGQREIAEEPR GEGEPAEQSGDLDAHCGGVDGEGKETAQTDSPQPQERQMEGTPAPEENEATAADIDRKVE ELRWQEVDERQTMPRPYTFQVSSGSRQILFPKVNLSPVTPAKDASLAPAAQEPPAPRGAA SHALPSALSIPHTAILVTGAQLCGPAVNLSQIKDTACKSLLGLSEEKRPMDVPTVESRAG SGKSRPAPESPSNAAALAEWASIRSRILKNSEGDQRGDREPARAGDEPVPRARCDSRGNV RRTPPVNAKFSIMPAWQKFSDSGAETFRQSLDGESGRKKPGLAPSEETAPQPHAAAQQEV SQEPPDTTDGCKFAKDLPSFLVPGLPSPQKAASRTESTTTLDSETTSDVGNPDPAMPGGE EKASPFGIKLRRTNYSLRFHCDQQAEQKKKKRHSSTGDSVGGATPATGSVSGESEPEATF LKHGPSLPQERKPALSPRKDSAESHSSGHYVAVAQSGLPPASGQTPAPEQDRAVSKMPSM QKPALAPKPASQTPPSSPLSKLSRPHLVELLARRAGKLDSEPSETAKESSDNQPPSPSLP EELKGQKRDEKDVPEKKPASPPLPAGQQERPSLIPETEKPVLQSRHSLDGSKVTEKVETA QPLWITLALQKQKGFREQQATREERKQAREAKQAEKLSKETVSVSLQPGSSRASKTAPVH KPAAPSEEKKPETAVSRLQRREQLKKSNTLPTSVTVEISDSAPSAALVKDVTKRFSTPDA APVSTEPAWLALAKRKAKAWSDCPQIIK >ENSMUSP00000084125.4 pep:known chromosome:GRCm38:5:76656512:76658355:1 gene:ENSMUSG00000036377.18 transcript:ENSMUST00000086909.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C530008M17Rik description:RIKEN cDNA C530008M17 gene [Source:MGI Symbol;Acc:MGI:2444817] SAARWWRRGEQWRPRALESRPRCWGCCCCGGSAGWDVPGARGSRQSLSPRRRPAKPQVSS PPATEENRQWAAGGFSLWRGPSETPTLPRAWASTAGGGLWGDDPMRGAAPLFWICAGRAH SWVPGRNLRADCTPGTCRERGTRSAPRSFPRLVRFPGPQRRAGPR >ENSMUSP00000074724.6 pep:known chromosome:GRCm38:1:55088132:55091307:1 gene:ENSMUSG00000073676.4 transcript:ENSMUST00000075242.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspe1 description:heat shock protein 1 (chaperonin 10) [Source:MGI Symbol;Acc:MGI:104680] MAGQAFRKFLPLFDRVLVERSAAETVTKGGIMLPEKSQGKVLQATVVAVGSGGKGKSGEI EPVSVKVGDKVLLPEYGGTKVVLDDKDYFLFRDSDILGKYVD >ENSMUSP00000006669.5 pep:known chromosome:GRCm38:2:71873224:71903858:1 gene:ENSMUSG00000006494.11 transcript:ENSMUST00000006669.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdk1 description:pyruvate dehydrogenase kinase, isoenzyme 1 [Source:MGI Symbol;Acc:MGI:1926119] MRLARLLRGGTSVRPLCAVPCASRSLASASASGSGPASELGVPGQVDFYARFSPSPLSMK QFLDFGSVNACEKTSFMFLRQELPVRLANIMKEISLLPDNLLRTPSVQLVQSWYIQSLQE LLDFKDKSAEDAKTIYEFTDTVIRIRNRHNDVIPTMAQGVTEYKESFGVDPVTSQNVQYF LDRFYMSRISIRMLLNQHSLLFGGKGSPSHRKHIGSINPNCDVVEVIKDGYENARRLCDL YYVNSPELELEELNAKSPGQTIQVVYVPSHLYHMVFELFKNAMRATMEHHADKGVYPPIQ VHVTLGEEDLTVKMSDRGGGVPLRKIDRLFNYMYSTAPRPRVETSRAVPLAGFGYGLPIS RLYAQYFQGDLKLYSLEGYGTDAVIYIKALSTESVERLPVYNKAAWKHYKANHEADDWCV PSREPKDMTTFRSS >ENSMUSP00000112783.1 pep:known chromosome:GRCm38:16:17840355:17891660:-1 gene:ENSMUSG00000003166.19 transcript:ENSMUST00000117945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr2 description:DiGeorge syndrome critical region gene 2 [Source:MGI Symbol;Acc:MGI:892866] MVPKADSGAFLLLFLLVLTVTEPLRPELRCNPGQFACHGGTIQCIPLPWQCDGWPTCEDK SDEADCPEVTGEARPYGKETVDLRQGRARGGDPTHFHTVNVAQPVRFSRKCPSGWHHYEG TASCYRVYLSGENYWDAAQTCQRVNGSLATFSTDQELRFVLAQEWDQPERSFGWKDQRKL WVGYQYVITGRNHSLEGRWEVAFKGSPEVFLPPDPIFASAMSENDNVFCAQLQCFHFPTL RHHDLHSWHAESCSEKSSFLCKRSQTCVDIKDNVVDEGFYFTPKGDDPCLSCTCHRGEPE MCVAALCERPQGCQQYRKDPKECCKFMCLDPDGSSLFDSMASGMRLVVSCISSFLILSLL LFMVHRLRQRRRERIESLIGANLHHFNLGRRIPGFDYGPDGFGTGLTPLHLSDDGEGGTF HFHDPPPPYTAYKYPDMDQPDDPPPPYEASINPDSVFYDPADDDAFEPVEASLPAPRDGG IEGALPRHLDQPLPPAETSLADLEDSTDSSSALLVPPDPAQSGSTPATEAPPGGGRLPRA SLNTVV >ENSMUSP00000012152.6 pep:known chromosome:GRCm38:16:17840355:17891693:-1 gene:ENSMUSG00000003166.19 transcript:ENSMUST00000012152.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr2 description:DiGeorge syndrome critical region gene 2 [Source:MGI Symbol;Acc:MGI:892866] MVPKADSGAFLLLFLLVLTVTEPLRPELRCNPGQFACHGGTIQCIPLPWQCDGWPTCEDK SDEADCPEVTGEARPYGKETVDLRQGRARGGDPTHFHTVNVAQPVRFSSFLGKCPSGWHH YEGTASCYRVYLSGENYWDAAQTCQRVNGSLATFSTDQELRFVLAQEWDQPERSFGWKDQ RKLWVGYQYVITGRNHSLEGRWEVAFKGSPEVFLPPDPIFASAMSENDNVFCAQLQCFHF PTLRHHDLHSWHAESCSEKSSFLCKRSQTCVDIKDNVVDEGFYFTPKGDDPCLSCTCHRG EPEMCVAALCERPQGCQQYRKDPKECCKFMCLDPDGSSLFDSMASGMRLVVSCISSFLIL SLLLFMVHRLRQRRRERIESLIGANLHHFNLGRRIPGFDYGPDGFGTGLTPLHLSDDGEG GTFHFHDPPPPYTAYKYPDMDQPDDPPPPYEASINPDSVFYDPADDDAFEPVEASLPAPR DGGIEGALPRHLDQPLPPAETSLADLEDSTDSSSALLVPPDPAQSGSTPATEAPPGGGRL PRASLNTVV >ENSMUSP00000064603.6 pep:known chromosome:GRCm38:16:17840361:17891657:-1 gene:ENSMUSG00000003166.19 transcript:ENSMUST00000066127.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr2 description:DiGeorge syndrome critical region gene 2 [Source:MGI Symbol;Acc:MGI:892866] MVPKADSGAFLLLFLLVLTVTEPLRPELRCNPGQFACHGGTIQCIPLPWQCDGWPTCEDK SDEADCPEVTGEARPYGKETVDLRQGRARGGDPTHFHTVNVAQPVRFSRKCPSGWHHYEG TASCYRVYLSGENYWDAAQTCQRVNGSLATFSTDQELRFVLAQEWDQPERSFGWKDQRKL WVGYQYVITGRNHSLEGRWEVAFKGSPEVFLPPDPIFASAMSENDNVFCAQLQCFHFPTL RHHDLHSWHAESCSEKSSFLCKRNGSSLFDSMASGMRLVVSCISSFLILSLLLFMVHRLR QRRRERIESLIGANLHHFNLGRRIPGFDYGPDGFGTGLTPLHLSDDGEGGTFHFHDPPPP YTAYKYPDMDQPDDPPPPYEASINPDSVFYDPADDDAFEPVEASLPAPRDGGIEGALPRH LDQPLPPAETSLADLEDSTDSSSALLVPPDPAQSGSTPATEAPPGGGRLPRASLNTVV >ENSMUSP00000113506.1 pep:known chromosome:GRCm38:16:17841310:17891593:-1 gene:ENSMUSG00000003166.19 transcript:ENSMUST00000117082.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr2 description:DiGeorge syndrome critical region gene 2 [Source:MGI Symbol;Acc:MGI:892866] MVPKADSGAFLLLFLLVLTVTEPLRPELRCNPGQFACHGGTIQCIPLPWQCDGWPTCEDK SDEADCPVTGEARPYGKETVDLRQGRARGGDPTHFHTVNVAQPVRFSSFLGKCPSGWHHY EGTASCYRVYLSGENYWDAAQTCQRVNGSLATFSTDQELRFVLAQEWDQPERSFGWKDQR KLWVGYQYVITGRNHSLEGRWEVAFKGSPEVFLPPDPIFASAMSENDNVFCAQLQCFHFP TLRHHDLHSWHAESCSEKSSFLCKRSQTCVDIKDNVVDEGFYFTPKGDDPCLSCTCHRGE PEMCVAALCERPQGCQQYRKDPKECCKFMCLDPDGSSLFDSMASGMRLVVSCISSFLILS LLLFMVHRLRQRRRERIESLIGANLHHFNLGRRIPGFDYGPDGFGTGLTPLHLSDDGEGG TFHFHDPPPPYTAYKYPDMDQPDDPPPPYEASINPDSVFYDPADDDAFEPVEASLPAPRD GGIEGALPRHLDQPLPPAETSLADLEDSTDSSSALLVPPDPAQSGSTPATEAPPGGGRLP RASLNTVV >ENSMUSP00000039684.7 pep:known chromosome:GRCm38:6:41392356:41397230:1 gene:ENSMUSG00000058119.6 transcript:ENSMUST00000049079.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5771 description:predicted gene 5771 [Source:MGI Symbol;Acc:MGI:3646222] MSALLFLALVGAAVAFPVDDDKIVGGYTCRENSVPYQVSLNSGYHFCGGSLINDQWVVSA AHCYKTRIQVRLGEHNIKVLEGNEQFVNAAKIIKHPNFNRKTLNNDIMLIKLSSPVTLNA RVATVALPSSCAPAGTQCLISGWGNTLSFGVSEPDLLQCLDAPLLPQADCEASYPGKITG NMVCAGFLEGGKDSCQGDSGGPVVCNGELQGIVSWGYGCALADNPGVYTKVCNYVDWIQD TIAAN >ENSMUSP00000111484.2 pep:known chromosome:GRCm38:18:25136037:25169007:-1 gene:ENSMUSG00000024269.11 transcript:ENSMUST00000115817.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpgs2 description:tubulin polyglutamylase complex subunit 2 [Source:MGI Symbol;Acc:MGI:1913898] MEETTPPLQAGSKPHLEKLTLGVTRILESSPGVTEVSIIEKLPAERHMISSWEQKNNCVM PEDVRNFYLMTNGFHMTWSVKLDEHIIPLGSMVINGISKLTQLIQSSVYSLPNAPTLADL EDDTQEGNEDHQLEKPHFDCRSAIFELDSCNGNGKVCLVYKNGKPGLAHDTEIWFLDRAL YWHFLTDTFTAYYRLLITHLGLPQWQYAFTSYGISPQAKQWFSMYKPITYNTSLLTEESD NFVNKLDPSKVFKSKNKILIPKKKGPVPPASGQKGPGPLPPPTSKPTTGSGNPVRK >ENSMUSP00000122538.1 pep:known chromosome:GRCm38:18:25127223:25168694:-1 gene:ENSMUSG00000024269.11 transcript:ENSMUST00000148255.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpgs2 description:tubulin polyglutamylase complex subunit 2 [Source:MGI Symbol;Acc:MGI:1913898] MEETTPPLQAGSKPHLEKLTLGVTRILESSPGVTEVSIIEKLPAERHMISSWEQKNNCVM PEDVRNFYLMTNGFHMTWSVKLDEHIIPLGSMVINGISKLTQLIQSSVYSLPNAPTLADL EDDTQEGNEDHQLEKPHFDCRSAIFELDSCNGNGKVCLVYKNGKPGLAHDTEIWFLDRAL YWHFLTDTFTAYYRLLITHLGLPQWQYAFTSYGISPQAKVASIYSQEESMNFQATSVRNQ W >ENSMUSP00000120466.1 pep:known chromosome:GRCm38:19:29348599:29361970:-1 gene:ENSMUSG00000016495.12 transcript:ENSMUST00000143467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plgrkt description:plasminogen receptor, C-terminal lysine transmembrane protein [Source:MGI Symbol;Acc:MGI:1915009] MGFIFSKSMNENMKNQQEFMVTHARLQLERHLTMQNEMRERQMAMQIAWSREFLKYFGTF FGIATISLATGALKRKKPAFLVPIVPLSFIFTYQYDLGYGTLLQRMKSEAEDILETEKTK LELPKGLITFESLEKARREQSKLFSDK >ENSMUSP00000114754.1 pep:known chromosome:GRCm38:19:29348677:29361873:-1 gene:ENSMUSG00000016495.12 transcript:ENSMUST00000152936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plgrkt description:plasminogen receptor, C-terminal lysine transmembrane protein [Source:MGI Symbol;Acc:MGI:1915009] MGFIFSKSMNENMKNQQEFMVTHARLQVKLRTYWKQKRRSWSCQKD >ENSMUSP00000016639.5 pep:known chromosome:GRCm38:19:29348705:29361970:-1 gene:ENSMUSG00000016495.12 transcript:ENSMUST00000016639.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plgrkt description:plasminogen receptor, C-terminal lysine transmembrane protein [Source:MGI Symbol;Acc:MGI:1915009] MGFIFSKSMNENMKNQQEFMVTHARLQLERHLTMQNEMRERQMAMQIAWSREFLKYFGTF FGIATISLATGALKRKKPAFLVPIVPLSFIFTYQYDLGYGTLLQRMKSEAEDILETEKTK LELPKGLITFESLEKARREQSKLFSDK >ENSMUSP00000118861.1 pep:known chromosome:GRCm38:19:29351034:29361407:-1 gene:ENSMUSG00000016495.12 transcript:ENSMUST00000155367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plgrkt description:plasminogen receptor, C-terminal lysine transmembrane protein [Source:MGI Symbol;Acc:MGI:1915009] MGFIFSKSMNENMKNQQEFMVTHARLQLERHLTMQNEMRERQMAMQIAWSREFLKYFGTF FGIATISLATGYAL >ENSMUSP00000118295.1 pep:known chromosome:GRCm38:19:29351128:29361824:-1 gene:ENSMUSG00000016495.12 transcript:ENSMUST00000126800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plgrkt description:plasminogen receptor, C-terminal lysine transmembrane protein [Source:MGI Symbol;Acc:MGI:1915009] MGFIFSKSMNENMKNQQEFMVTHARLQLERHLTMQNEMRERQ >ENSMUSP00000118559.1 pep:known chromosome:GRCm38:19:29358376:29367308:-1 gene:ENSMUSG00000016495.12 transcript:ENSMUST00000138051.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plgrkt description:plasminogen receptor, C-terminal lysine transmembrane protein [Source:MGI Symbol;Acc:MGI:1915009] MGFIFSK >ENSMUSP00000078867.6 pep:known chromosome:GRCm38:11:5069689:5099266:-1 gene:ENSMUSG00000009079.16 transcript:ENSMUST00000079949.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ewsr1 description:Ewing sarcoma breakpoint region 1 [Source:MGI Symbol;Acc:MGI:99960] MASTDYSTYSQAAAQQGYSAYTAQPTQGYAQTTQAYGQQSYGTYGQPTDVSYTQAQTTAT YGQTAYATSYGQPPTGYSTPTAPQAYSQPVQGYGTGTYDSTTATVTTTQASYAAQTAYGT QPAYPTYGQQPTATAPTRPQDGNKPAETSQPQSSTGGYNQPSLGYGQSNYSYPQVPGSYP MQPVTAPPSYPPTSYSSSQPTSYDQSSYSQQNTYGQPSSYGQQSSYGQQSSYGQQPPTSY PPQTGSYSQAPSQYSQQSSSYGQQSSFRQDHPSSMGVYGQESGGFSGPGENRSLSGPDNR GRGRGGFDRGGMSRGGRGGGRGGLGAGERGGFNKPGGPMDEGPDLDLGLPIDPDEDSDNS AIYVQGLNDNVTLDDLADFFKQCGVVKMNKRTGQPMIHIYLDKETGKPKGDATVSYEDPP TAKAAVEWFDGKDFQGSKLKVSLARKKPPMNSMRGGMPPREGRGMPPPLRGGPGGPGGPG GPMGRMGGRGGDRGGFPPRGPRGSRGNPSGGGNVQHRAGDWQCPNPGCGNQNFAWRTECN QCKAPKPEGFLPPPFPPPGGDRGRGGPGGMRGGRGGLMDRGGPGGMFRGGRGGDRGGFRG GRGMDRGGFGGGRRGGPGGPPGPLMEQMGGRRGGRGGPGKMDKGEHRQERRDRPY >ENSMUSP00000091057.5 pep:known chromosome:GRCm38:11:5080418:5099066:-1 gene:ENSMUSG00000009079.16 transcript:ENSMUST00000093365.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ewsr1 description:Ewing sarcoma breakpoint region 1 [Source:MGI Symbol;Acc:MGI:99960] MASTDYSTYSQAAAQQGYSAYTAQPTQGYAQTTQAYGQQSYGTYGQPTDVSYTQAQTTAT YGQTAYATSYGQPPTGYSTPTAPQAYSQPVQGYGTGTYDSTTATVTTTQASYAAQTAYGT QPAYPTYGQQPTATAPTRPQDGNKPAETSQPQSSTGGYNQPSLGYGQSNYSYPQVPGSYP MQPVTAPPSYPPTSYSSSQPTSYDQSSYSQQNTYGQPSSYGQQSSYGQQSSYGQQPPTSY PPQTGSYSQAPSQYSQQSSSYGQQSSFRQDHPSSMGVYGQESGGFSGPGENRSLSGPDNR GRGRGGFDRGGMSRGGRGGGRGGLGLQSESLVYTSILKKYPYSVLSRQHNEKWD >ENSMUSP00000073034.4 pep:known chromosome:GRCm38:11:5069698:5099067:-1 gene:ENSMUSG00000009079.16 transcript:ENSMUST00000073308.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ewsr1 description:Ewing sarcoma breakpoint region 1 [Source:MGI Symbol;Acc:MGI:99960] MASTDYSTYSQAAAQQGYSAYTAQPTQGYAQTTQAYGQQSYGTYGQPTDVSYTQAQTTAT YGQTAYATSYGQPPTGYSTPTAPQAYSQPVQGYGTGTYDSTTATVTTTQASYAAQTAYGT QPAYPTYGQQPTATAPTRPQDGNKPAETSQPQSSTGGYNQPSLGYGQSNYSYPQVPGSYP MQPVTAPPSYPPTSYSSSQPTSYDQSSYSQQNTYGQPSSYGQQSSYGQQSSYGQQPPTSY PPQTGSYSQAPSQYSQQSSSYGQQSSFRQDHPSSMGVYGQESGGFSGPGENRSLSGPDNR GRGRGGFDRGGMSRDPDEDSDNSAIYVQGLNDNVTLDDLADFFKQCGVVKMNKRTGQPMI HIYLDKETGKPKGDATVSYEDPPTAKAAVEWFDGKDFQGSKLKVSLARKKPPMNSMRGGM PPREGRGMPPPLRGGPGGPGGPGGPMGRMGGRGGDRGGFPPRGPRGSRGNPSGGGNVQHR AGDWQCPNPGCGNQNFAWRTECNQCKAPKPEGFLPPPFPPPGGDRGRGGPGGMRGGRGGL MDRGGPGGMFRGGRGGDRGGFRGGRGMDRGGFGGGRRGGPGGPPGPLMEQMGGRRGGRGG PGKMDKGEHRQERRDRPY >ENSMUSP00000099994.3 pep:known chromosome:GRCm38:11:5069897:5099042:-1 gene:ENSMUSG00000009079.16 transcript:ENSMUST00000102930.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ewsr1 description:Ewing sarcoma breakpoint region 1 [Source:MGI Symbol;Acc:MGI:99960] MASTDYSTYSQAAAQQGYSAYTAQPTQGYAQTTQAYGQQSYGTYGQPTDVSYTQAQTTAT YGQTAYATSYGQPPTVEGTSTGYSTPTAPQAYSQPVQGYGTGTYDSTTATVTTTQASYAA QTAYGTQPAYPTYGQQPTATAPTRPQDGNKPAETSQPQSSTGGYNQPSLGYGQSNYSYPQ VPGSYPMQPVTAPPSYPPTSYSSSQPTSYDQSSYSQQNTYGQPSSYGQQSSYGQQSSYGQ QPPTSYPPQTGSYSQAPSQYSQQSSSYGQQSSFRQDHPSSMGVYGQESGGFSGPGENRSL SGPDNRGRGRGGFDRGGMSRGGRGGGRGGLGAGERGGFNKPGGPMDEGPDLDLGLPIDPD EDSDNSAIYVQGLNDNVTLDDLADFFKQCGVVKMNKRTGQPMIHIYLDKETGKPKGDATV SYEDPPTAKAAVEWFDGKDFQGSKLKVSLARKKPPMNSMRGGMPPREGRGMPPPLRGGPG GPGGPGGPMGRMGGRGGDRGGFPPRGPRGSRGNPSGGGNVQHRAGDWQCPNPGCGNQNFA WRTECNQCKAPKPEGFLPPPFPPPGGDRGRGGPGGMRGGRGGLMDRGGPGGMFRGGRGGD RGGFRGGRGMDRGGFGGGRRGGPGGPPGPLMEQMGGRRGGRGGPGKMDKGEHRQERRDRP Y >ENSMUSP00000066827.6 pep:known chromosome:GRCm38:11:5090459:5099096:-1 gene:ENSMUSG00000009079.16 transcript:ENSMUST00000063232.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ewsr1 description:Ewing sarcoma breakpoint region 1 [Source:MGI Symbol;Acc:MGI:99960] MASTDYSTYSQAAAQQGYSAYTAQPTQGYAQTTQAYGQQSYGTYGQPTDVSYTQAQTTAT YGQTAYATSYGQPPTVEGTSTGLTILLILAWELLSILSNPVMLTLRCFHLFKP >ENSMUSP00000104895.2 pep:known chromosome:GRCm38:13:111686178:111718596:1 gene:ENSMUSG00000032727.13 transcript:ENSMUST00000109272.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier3 description:mesoderm induction early response 1, family member 3 [Source:MGI Symbol;Acc:MGI:2442317] MAEASFGSSSPVGSLSSEDHDFDPTAEMLVHDYDDERTLEEEELMDDGKNFSSEIEDLEK EGNMPLEDLLAFYGYESTIPAVANSSANSSPSELADELPDMTLDKEEIAKDLLSGDDEET QSSADDLTPSVTSHETSEFFPRPLRSNTTCDGDKESEIEDVETDSGNSPEDLRREIMIGL EYQAEIPPYLGEYNGDDEKAYENEDQLLWHPGVLLESKVKEYLVETSLRTGNEKVLDRIS SGTHTRDNEQALYELLKCNHNIKEAIERYCCNGKASQEGMTAWTEEECRSFEHALMLHGK DFHLIQKDKVRSRTVAECVAFYYMWKKSERYDYFAQQTKFGKKRYNHHPGVTDYMDRLVD ETESLGGTVSSSALTCNRPEPVPDQPLNILSSFTASDLTALTNSVATVCNPTAVNCLDDS FPPLANTPRGHVNHVPVVTEELLTLPSNGESDCFNLFETGFYHSELNPMCSEESERPAKR LKMGIAVPESFMNEVSVNNLGVDFENHTHHITSAKMAVSVADFGSLSANETNGFINAHSL HQHQHAALHSE >ENSMUSP00000117483.1 pep:known chromosome:GRCm38:13:111686326:111718593:1 gene:ENSMUSG00000032727.13 transcript:ENSMUST00000137268.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mier3 description:mesoderm induction early response 1, family member 3 [Source:MGI Symbol;Acc:MGI:2442317] MAEASFGSSSPVGSLSSEDHDFDPTAEMLVHDYDDERTLEEEELMDDGKNFSSEIEDLEK EGNMPLEDLLAFYGYESTIPAVANSSANSSPSELADELPDMTLDKAGRESPHLGTAS >ENSMUSP00000036809.4 pep:known chromosome:GRCm38:13:111686351:111717636:1 gene:ENSMUSG00000032727.13 transcript:ENSMUST00000047412.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier3 description:mesoderm induction early response 1, family member 3 [Source:MGI Symbol;Acc:MGI:2442317] MLVHDYDDERTLEEEELMDDGKNFSSEIEDLEKEGNMPLEDLLAFYGYESTIPAVANSSA NSSPSELADELPDMTLDKEEIAKDLLSGDDEETQSSADDLTPSVTSHETSEFFPRPLRSN TTCDGDKESEIEDVETDSGNSPEDLRREIMIGLEYQAEIPPYLGEYNGDDEKAYENEDQL LWHPGVLLESKVKEYLVETSLRTGNEKVLDRISSGTHTRDNEQALYELLKCNHNIKEAIE RYCCNGKASQGMTAWTEEECRSFEHALMLHGKDFHLIQKDKVRSRTVAECVAFYYMWKKS ERYDYFAQQTKFGKKRYNHHPGVTDYMDRLVDETESLGGTVSSSALTCNRPEPVPDQPLN ILSSFTASDLTALTNSVATVCNPTAVNCLDDSFPPLANTPRGHVNHVPVVTEELLTLPSN GESDCFNLFETGFYHSELNPMCSEESERPAKRLKMGIAVPESFMNEVSVNNLGVDFENHT HHITSAKMAVSVADFGSLSANETNGFINAHSLHQHQHAALHSE >ENSMUSP00000104894.2 pep:known chromosome:GRCm38:13:111686351:111717636:1 gene:ENSMUSG00000032727.13 transcript:ENSMUST00000109271.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier3 description:mesoderm induction early response 1, family member 3 [Source:MGI Symbol;Acc:MGI:2442317] XEASFGSSSPVGSLSSEDHDFDPTAEMLVHDYDDERTLEEEELMDDGKNFSSEIEDLEKE GNMPLEDLLAFYGYESTIPAVANSSANSSPSELADELPDMTLDKEEIAKDLLSGDDEETQ SSADDLTPSVTSHETSEFFPRPLRSNTTCDGDKESEIEDVETDSGNSPEDLRREIMIGLE YQAEIPPYLGEYNGDDEKAYENEDQLLWHPGVLLESKVKEYLVETSLRTGNEKVLDRISS GTHTRDNEQALYELLKCNHNIKEAIERYCCNGKASQGMTAWTEEECRSFEHALMLHGKDF HLIQKDKVRSRTVAECVAFYYMWKKSERYDYFAQQTKFGKKRYNHHPGVTDYMDRLVDET ESLGGTVSSSALTCNRPEPVPDQPLNILSSFTASDLTALTNSVATVCNPTAVNCLDDSFP PLANTPRGHVNHVPVVTEELLTLPSNGESDCFNLFETGFYHSELNPMCSEESERPAKRLK MGIAVPESFMNEVSVNNLGVDFENHTHHITSAKMAVSVADFGSLSANETNGFINAHSLHQ HQHAALHSE >ENSMUSP00000066878.6 pep:known chromosome:GRCm38:17:31612623:31637199:-1 gene:ENSMUSG00000024039.14 transcript:ENSMUST00000067801.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbs description:cystathionine beta-synthase [Source:MGI Symbol;Acc:MGI:88285] MPSGTSQCEDGSAGGFQHLDMHSEKRQLEKGPSGDKDRVWIRPDTPSRCTWQLGRAMADS PHYHTVLTKSPKILPDILRKIGNTPMVRINKISKNAGLKCELLAKCEFFNAGGSVKDRIS LRMIEDAERAGNLKPGDTIIEPTSGNTGIGLALAAAVKGYRCIIVMPEKMSMEKVDVLRA LGAEIVRTPTNARFDSPESHVGVAWRLKNEIPNSHILDQYRNASNPLAHYDDTAEEILQQ CDGKLDMLVASAGTGGTITGIARKLKEKCPGCKIIGVDPEGSILAEPEELNQTEQTAYEV EGIGYDFIPTVLDRAVVDKWFKSNDEDSFAFARMLIAQEGLLCGGSSGSAMAVAVKAARE LQEGQRCVVILPDSVRNYMSKFLSDKWMLQKGFMKEELSVKRPWWWRLRVQELSLSAPLT VLPTVTCEDTIAILREKGFDQAPVVNESGAILGMVTLGNMLSSLLAGKVRPSDEVCKVLY KQFKPIHLTDTLGTLSHILEMDHFALVVHEQIQSRDQAWSGVVGGPTDCSNGMSSKQQMV FGVVTAIDLLNFVAAREQTQT >ENSMUSP00000113209.1 pep:known chromosome:GRCm38:17:31612640:31637165:-1 gene:ENSMUSG00000024039.14 transcript:ENSMUST00000118504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbs description:cystathionine beta-synthase [Source:MGI Symbol;Acc:MGI:88285] MPSGTSQCEDGSAGGFQHLDMHSEKRQLEKGPSGDKDRVWIRPDTPSRCTWQLGRAMADS PHYHTVLTKSPKILPDILRKIGNTPMVRINKISKNAGLKCELLAKCEFFNAGGSVKDRIS LRMIEDAERAGNLKPGDTIIEPTSGNTGIGLALAAAVKGYRCIIVMPEKMSMEKVDVLRA LGAEIVRTPTNARFDSPESHVGVAWRLKNEIPNSHILDQYRNASNPLAHYDDTAEEILQQ CDGKLDMLVASAGTGGTITGIARKLKEKCPGCKIIGVDPEGSILAEPEELNQTEQTAYEV EGIGYDFIPTVLDRAVVDKWFKSNDEDSFAFARMLIAQEGLLCGGSSGSAMAVAVKAARE LQEGQRCVVILPDSVRNYMSKFLSDKWMLQKGFMKEELSVKRPWWWRLRVQELSLSAPLT VLPTVTCEDTIAILREKGFDQAPVVNESGAILGMVTLGNMLSSLLAGKVRPSDEVCKVLY KQFKPIHLTDTLGTLSHILEMDHFALVVHEQIQYCSNGMSSKQQMVFGVVTAIDLLNFVA AREQTQT >ENSMUSP00000077597.5 pep:known chromosome:GRCm38:17:31612641:31637165:-1 gene:ENSMUSG00000024039.14 transcript:ENSMUST00000078509.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbs description:cystathionine beta-synthase [Source:MGI Symbol;Acc:MGI:88285] MPSGTSQCEDGSAGGFQHLDMHSEKRQLEKGPSGDKDRVWIRPDTPSRCTWQLGRAMADS PHYHTVLTKSPKILPDILRKIGNTPMVRINKISKNAGLKCELLAKCEFFNAGGSVKDRIS LRMIEDAERAGNLKPGDTIIEPTSGNTGIGLALAAAVKGYRCIIVMPEKMSMEKVDVLRA LGAEIVRTPTNARFDSPESHVGVAWRLKNEIPNSHILDQYRNASNPLAHYDDTAEEILQQ CDGKLDMLVASAGTGGTITGIARKLKEKCPGCKIIGVDPEGSILAEPEELNQTEQTAYEV EGIGYDFIPTVLDRAVVDKWFKSNDEDSFAFARMLIAQEGLLCGGSSGSAMAVAVKAARE LQEGQRCVVILPDSVRNYMSKFLSDKWMLQKGFMKEELSVKRPWWWRLRVQELSLSAPLT VLPTVTCEDTIAILREKGFDQAPVVNESGAILGMVTLGNMLSSLLAGKVRPSDEVCKVLY KQFKPIHLTDTLGTLSHILEMDHFALVVHEQIQYCSNGMSSKQQMVFGVVTAIDLLNFVA AREQTQT >ENSMUSP00000118472.1 pep:known chromosome:GRCm38:17:31625077:31636790:-1 gene:ENSMUSG00000024039.14 transcript:ENSMUST00000155814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbs description:cystathionine beta-synthase [Source:MGI Symbol;Acc:MGI:88285] MPSGTSQCEDGSAGGFQHLDMHSEKRQLEKGPSGDKDRVWIRPDTPSRCTWQLGRAMADS PHYHTVLTKSPKILPDILRKIGNTPMVRINKISKNAGLKCELLAKCEFFNAGGSVKDRIS LRMIEDAERAGNLKPGDTIIEPTSGNTGIGLALAAAVKGYRCIIVMPEKMSMEKVDVLRA LGAEIVRTPTNAR >ENSMUSP00000118785.1 pep:known chromosome:GRCm38:17:31625131:31636649:-1 gene:ENSMUSG00000024039.14 transcript:ENSMUST00000135425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbs description:cystathionine beta-synthase [Source:MGI Symbol;Acc:MGI:88285] MPSGTSQCEDGSAGGFQHLDMHSEKRQLEKGPSGDKDRVWIRPDTPSRCTWQLGRAMADS PHYHTVLTKSPKILPDILRKIGNTPMVRINKISKNAGLKCELLAKCEFFNAGGSVKDRIS LRMIEDAERAGNLKPGDTIIEPTSGNTGIGLALAAAVKGYRCIIVMPEKMSMEKV >ENSMUSP00000117454.1 pep:known chromosome:GRCm38:17:31627525:31636649:-1 gene:ENSMUSG00000024039.14 transcript:ENSMUST00000151718.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbs description:cystathionine beta-synthase [Source:MGI Symbol;Acc:MGI:88285] MPSGTSQCEDGSAGGFQHLDMHSEKRQLEKGPSGDKDRVWIRPDTPSRCTWQLGRAMADS PHYHTVLTKSPKILPDILRKIGNTPM >ENSMUSP00000134664.2 pep:known chromosome:GRCm38:17:34197721:34200918:1 gene:ENSMUSG00000024338.14 transcript:ENSMUST00000173441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb8 description:proteasome (prosome, macropain) subunit, beta type 8 (large multifunctional peptidase 7) [Source:MGI Symbol;Acc:MGI:1346527] MALLDLCGAARGQRPEWAALDAGSGGRSDPGHYSFSAQAPELALPRGMQPTAFLRSFGGD QERNVQIEMAHGTTTLAFKFQHGVIVAVDSRATAGSYISSLRMNKVIEINPYLLGTMSGC AADCQYWERLLAKECRLYYLRNGERISVSAASKLLSNMMLQYRGMGLSMGSMICGWDKKG PGLYYVDDNGTRLSGQMFSTGSGNTYAYGVMDSGYRQDLSPEEAYDLGRRAIAYATHRDN YSGGVVNS >ENSMUSP00000025196.8 pep:known chromosome:GRCm38:17:34197790:34201454:1 gene:ENSMUSG00000024338.14 transcript:ENSMUST00000025196.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb8 description:proteasome (prosome, macropain) subunit, beta type 8 (large multifunctional peptidase 7) [Source:MGI Symbol;Acc:MGI:1346527] MALLDLCGAARGQRPEWAALDAGSGGRSDPGHYSFSAQAPELALPRGMQPTAFLRSFGGD QERNVQIEMAHGTTTLAFKFQHGVIVAVDSRATAGSYISSLRMNKVIEINPYLLGTMSGC AADCQYWERLLAKECRLYYLRNGERISVSAASKLLSNMMLQYRGMGLSMGSMICGWDKKG PGLYYVDDNGTRLSGQMFSTGSGNTYAYGVMDSGYRQDLSPEEAYDLGRRAIAYATHRDN YSGGVVNMYHMKEDGWVKVESSDVSDLLYKYGEAAL >ENSMUSP00000051931.2 pep:known chromosome:GRCm38:2:87357446:87358390:1 gene:ENSMUSG00000070853.3 transcript:ENSMUST00000058737.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1120 description:olfactory receptor 1120 [Source:MGI Symbol;Acc:MGI:3030954] MEHSENSAMDNATSVTQFLLLGFSGVPNLQTFLFGMFSIMYVVILIGNTSILVIARIDPA LQKPMYYFLANFSFLEICYVSVTLPRILYNLWTQDRGICLLACAIQMFFFLILAATECFL LAVMSYDRYVAICNPLHYPLVMNPTKCTQLAVGSWLGGIPVQIGQTCRIFSLHFCNSNII EHFFCDVPPILKLACGDTSMHELSVYLVAMFFVASPFMLILASYSKIIATILKLPTATGR AKAFSTCSSHLVVVLLFFGSATINYLRPKSIHSVGTDELLSLFYTIVTPMFNPLIYSLRN KDVIAALRRLLLKI >ENSMUSP00000030568.7 pep:known chromosome:GRCm38:5:17574281:17730268:1 gene:ENSMUSG00000028780.13 transcript:ENSMUST00000030568.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3c description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3C [Source:MGI Symbol;Acc:MGI:107557] MAFRAICVLVGVFICSICVRGSSQPQARVYLTFDELRETKTSEYFSLSHQQLDYRILLMD EDQDRIYVGSKDHILSLNINNISQEPLSVFWPASTIKVEECKMAGKDPTHGCGNFVRVIQ TFNRTHLYVCGSGAFSPVCTYLNRGRRSEDQVFMIDSKCESGKGRCSFNPNVNTVSVMIN EELFSGMYIDFMGTDAAIFRSLTKRNAVRTDQHNSKWLSEPMFVDAHVIPDGTDPNDAKV YFFFKERLTDNNRSTKQIHSMIARICPNDTGGQRSLVNKWTTFLKARLVCSVTDEDGPET HFDELEDVFLLETDNPRTTLVYGIFTTSSSVFKGSAVCVYHLSDIQTVFNGPFAHKEGPN HQLISYQGRIPYPRPGTCPGGAFTPNMRTTKDFPDDVVTFIRNHPLMYNSIYPIHRRPLI VRIGTDYKYTKIAVDRVNAADGRYHVLFLGTDRGTVQKVVVLPTNSSASGELILEELEVF KNHVPITTMKISSKKQQLYVSSNEGVSQVSLHRCHIYGTACADCCLARDPYCAWDGHSCS RFYPTGKRRSRRQDVRHGNPLTQCRGFNLKAYRNAAEIVQYGVRNNSTFLECAPKSPQAS IKWLLQKDKDRRKEVKLNERIIATSQGLLIRSVQDSDQGLYHCIATENSFKQTIAKINFK VLDSEMVAVVTDKWSPWTWAGSVRALPFHPKDILGAFSHSEMQLINQYCKDTRQQQQLGE EPQKMRGDYGKLKALINSRKSRNRRNQLPES >ENSMUSP00000126614.1 pep:known chromosome:GRCm38:5:17574649:17682083:1 gene:ENSMUSG00000028780.13 transcript:ENSMUST00000170181.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema3c description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3C [Source:MGI Symbol;Acc:MGI:107557] MAFRAICVLVGVFICSICVRGSSQPQARVYLTFDGPGIHDRL >ENSMUSP00000132330.1 pep:known chromosome:GRCm38:5:17574899:17675069:1 gene:ENSMUSG00000028780.13 transcript:ENSMUST00000169603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3c description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3C [Source:MGI Symbol;Acc:MGI:107557] MAFRAICVLVGVFICSICVRGSSQPQARVYLTFDELRETKTSEYFSLSHQQLDYRILLMD EDQDRIYVGSKDHILSLNINNISQEPLSVFWPASTIKVEECKMAGKDPTHGCGNFVRVIQ TFNRTHLYVCGSGAFSPVCTYLNRGRRSEVFMIDSKCESGKGRCSFNPNVNTVSVMINEE LFSGMYIDFMGTDAAIFRSLTKRNAVRTDQHNSKWLSEPMFVDAHV >ENSMUSP00000050219.3 pep:known chromosome:GRCm38:2:69822370:69836651:1 gene:ENSMUSG00000042155.3 transcript:ENSMUST00000053087.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl23 description:kelch-like 23 [Source:MGI Symbol;Acc:MGI:2683536] MALKGQEDYIFHFKDSSHPVDFLDAFRTFYMDGLFTDITLQCPSGIIFHCHRAVLAACSN YFKAMFTADMKEKFKSKIKLSGIHHDILEGLVNYAYTSQIEITKRNVQSLLEAADLLQFL SVKKACEQFLVRHLDIDNCIGMHSFAEFHVCSELEKESRRILCSRFKEVWQQEEFLEISL EKFLFILSRKNLSVWKEEAILEPVIKWTAHDVENRIECIYNLLSYINIDIDPVYLKTALG LQRSCLLTENKIRSLIYNALNPMHKEISQRSTATMYIIGGYYWHPLSEVHIWDPLTNVWI QGAEIPDYTRESYGVTCLGPNIYVTGGYRTDNIDALDTVWIYNSEGDEWTEGLPMLNARY YHCAVTLGGCVYALGGYRKGAPAEEAEFYDPLKEKWLPIANMIKGVGNATACVLHEVIYV IGGHCGYRGSCTYDKVQSYNSDINEWSLITASPHPEYGLCSVPFENKLYLVGGQTTITEC YDPEQNEWRETAPMMERRMECGAVIMNGCIYVTGGYSYSKGTYLQSIEKYDPDLNKWEIV GNLPSAMRSHGCVCVYNV >ENSMUSP00000058201.1 pep:known chromosome:GRCm38:2:87371534:87372478:1 gene:ENSMUSG00000070852.1 transcript:ENSMUST00000062555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1121 description:olfactory receptor 1121 [Source:MGI Symbol;Acc:MGI:3030955] MKHTDIREEDNASAVTQFLLLGFSDLPNLQSFLFGVFSIMYLIMLIANSFIIVITKLDPT LQKPMYFFLVNFSFLEICYVSVILPRVLYSIWTQDRNISLLACATQMCFFLMLAATESIF LAVMSYDRYVAICSPLHYPLVMSPRKCRQLAAGSWLGGMPFQVGQTCQIFSLHFCNSNQI EHFFCDIPPVLKLACGDTSVIEMYVYVVAILLAAIPFILILTSYSKIIATILRLPTAEGR SKAFFTCSSHLVVVVLFFAPASITYLMPKSSHSAVSDKFLSLFYTIITPVFNPMIYSLRN KEVIAALRRLLLTT >ENSMUSP00000127352.1 pep:known chromosome:GRCm38:11:116671660:116675798:1 gene:ENSMUSG00000020812.17 transcript:ENSMUST00000139934.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1810032O08Rik description:RIKEN cDNA 1810032O08 gene [Source:MGI Symbol;Acc:MGI:1913543] MVGICLRHSSQVQPEECALSPLQPVSTEVLSPGSIMERRGGAQGPSGCLRLVTAAPQVLG LTRKGACEQKSWHAQLSGPSVPTRPVPWLRGVLSGRFPVGSS >ENSMUSP00000129108.1 pep:known chromosome:GRCm38:11:116671669:116675795:1 gene:ENSMUSG00000020812.17 transcript:ENSMUST00000142834.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1810032O08Rik description:RIKEN cDNA 1810032O08 gene [Source:MGI Symbol;Acc:MGI:1913543] MAARVCGVLPERPKLWVMTEVLSPGSIMERRGGAQGPSGCLRLVTAAPQVLGLTRKGACE QKSWHAQLSGPSVPTRPVPWLRGVLSGRFPVGSS >ENSMUSP00000101986.1 pep:known chromosome:GRCm38:11:116671669:116675798:1 gene:ENSMUSG00000020812.17 transcript:ENSMUST00000106378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810032O08Rik description:RIKEN cDNA 1810032O08 gene [Source:MGI Symbol;Acc:MGI:1913543] MVGICLRHSSQVQPEECALSPLQPVSTEVLSPGSIMERRGGAQGPSGCLRLVTAAPQVLG LTRKGACEQKSWHAQLSGPSVPTRPVPWLRGVLSGRFPVGSS >ENSMUSP00000131548.1 pep:known chromosome:GRCm38:11:116671708:116674587:1 gene:ENSMUSG00000020812.17 transcript:ENSMUST00000144049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810032O08Rik description:RIKEN cDNA 1810032O08 gene [Source:MGI Symbol;Acc:MGI:1913543] MVGICLRHSSQVQPEECALSPLQPVSTEVLSPGSIMERRGGAQGPSGCLRLVTAAPQVLG LTRKGACEQKSWHAQLSGPSVPTRPVPWLRGVLSGRQVSAGFFRFFARFVALL >ENSMUSP00000127560.1 pep:known chromosome:GRCm38:11:116671881:116673974:1 gene:ENSMUSG00000020812.17 transcript:ENSMUST00000134818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810032O08Rik description:RIKEN cDNA 1810032O08 gene [Source:MGI Symbol;Acc:MGI:1913543] MVGICLRHSSQVQPEECALSPLQPVSTEVLSPGSIMERRGGAQGPSGCLRLVTAAPQVLG LTR >ENSMUSP00000133080.2 pep:known chromosome:GRCm38:11:116672692:116675799:1 gene:ENSMUSG00000020812.17 transcript:ENSMUST00000131260.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1810032O08Rik description:RIKEN cDNA 1810032O08 gene [Source:MGI Symbol;Acc:MGI:1913543] XERRGGAQGPSGCLRLVTAAPQVLGLTRKGACEQKSWHAQLSGPSVPTRPVPWLRGVLSG RFPVGSS >ENSMUSP00000030623.7 pep:known chromosome:GRCm38:4:127021324:127031236:1 gene:ENSMUSG00000028820.13 transcript:ENSMUST00000030623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfpq description:splicing factor proline/glutamine rich (polypyrimidine tract binding protein associated) [Source:MGI Symbol;Acc:MGI:1918764] MSRDRFRSRGGGGGGFHRRGGGGGRGGLHDFRSPPPGMGLNQNRGPMGPGPGGPKPPLPP PPPHQQQQQPPPQQPPPQQPPPHQQPPPHQPPHQQPPPPPQESKPVVPQGPGSAPGVSSA PPPAVSAPPANPPTTGAPPGPGPTPTPPPAVPSTAPGPPPPSTPSSGVSTTPPQTGGPPP PPAGGAGPGPKPGPGPGGPKGGKMPGGPKPGGGPGMGAPGGHPKPPHRGGGEPRGGRQHH APYHQQHHQGPPPGGPGPRTEEKISDSEGFKANLSLLRRPGEKTYTQRCRLFVGNLPADI TEDEFKRLFAKYGEPGEVFINKGKGFGFIKLESRALAEIAKAELDDTPMRGRQLRVRFAT HAAALSVRNLSPYVSNELLEEAFSQFGPIERAVVIVDDRGRSTGKGIVEFASKPAARKAF ERCSEGVFLLTTTPRPVIVEPLEQLDDEDGLPEKLAQKNPMYQKERETPPRFAQHGTFEY EYSQRWKSLDEMEKQQREQVEKNMKDAKDKLESEMEDAYHEHQANLLRQDLMRRQEELRR MEELHSQEMQKRKEMQLRQEEERRRREEEMMIRQREMEEQMRRQREESYSRMGYMDPRER DMRMGGGGTMNMGDPYGSGGQKFPPLGGGGGIGYEANPGVPPATMSGSMMGSDMRTERFG QGGAGPVGGQGPRGMGPGTPAGYGRGREEYEGPNKKPRF >ENSMUSP00000145400.1 pep:known chromosome:GRCm38:10:129487012:129487950:1 gene:ENSMUSG00000108133.1 transcript:ENSMUST00000204641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr789 description:olfactory receptor 789 [Source:MGI Symbol;Acc:MGI:3030623] MENRTVPTEFILLGLSDDPGLQIVIFLFLILMYILSITGNLTIITLTLVDPHLQTPMYFF LRNFSVLEITFTTVCIPRFLSTIVTRDKTISYNSCTAQLFFFIFLGITEFYLLTAMSYDR YVAICKPLHYTTIMNRRVCILLVFSAWLAGFLNIFPPVILFLQLDYCGSNVIDHFACDYF PLLQLSCSDTWLLEIIGFYSAIVILLFTLALIILSYMFIVKTILKLPSVSQRKKAFSTCS SHMIVISISYGSCIFMYANPSAKEKASLTKGVAILNTSVAPMMNPFIYTLRNQQVKQAFK DAIQKVVLFSGK >ENSMUSP00000112395.1 pep:known chromosome:GRCm38:17:34211312:34214459:-1 gene:ENSMUSG00000081512.1 transcript:ENSMUST00000121995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15821 description:predicted gene 15821 [Source:MGI Symbol;Acc:MGI:3801940] MQGERQTLGKQGRVQSSFLEKMMFRLREPDLRSREKGSDPTGSPSDTWSTGFSGRLGYEK ETSWNSTRPSSQGGARIPGWGRFGFRSRKESRPPRVTSPARICCTPQFRISTCMPKAITW TGERSRRHHSCLHRSRASL >ENSMUSP00000107884.2 pep:known chromosome:GRCm38:X:164192842:164258193:-1 gene:ENSMUSG00000031377.11 transcript:ENSMUST00000112265.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmx description:BMX non-receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:1101778] MDDNMESKSILEELLLKKSQQKKKMSPNNYKERLFVLTKTSLSYYEYDKMKRGSRKGSIE IKKIRCVEKVNLEEQTPVERQYPFQIVYKDGLLYVYASNEESRCQWLKALQKEIRGNPHL LIKYHSGFFVDGKFLCCQQSCKAAPGCTLWEAYADLHIAISDEKHRAPTFPERLLKIPRA VPVLKMDASSSGAILPQYDSYSKKSCGSQPTSNIRYIPREDCPDWWQVRKLKSEEDIACS NQLERNIASHSTSKMSWGFPESSSSEEEENLHAYDWFAGNISRSQSEQLLRQKGKEGAFM VRNSSQMGMYTVSLFSKAVNDKKGTVKHYHVHTNAENKLYLAENYCFDSIPKLIHYHQHN SAGMITRLRHPVSTKANKVPVSVALGSGIWELKREEITLLKELGNGQFGVVQLGQWKGQY DVAVKMIKEGAMSEDEFFQEAQTMMKLSHPKLVKFYGVCSKKYPIYIVTEYITNGCLLNY LKSHGKGLESCQLLEMCYDVCEGMAFLESHQFIHRDLAARNCLVDSDLSVKVSDFGMTRY VLDDQYVSSVGTKFPVKWSAPEVFHYFKYSSKSDVWAFGILMWEVFSLGKQPYDLYDNSE VVVKVSQGHRLYRPQLASDTIYQIMYSCWHELPEKRPTFQQLLSAIEPLREQDKP >ENSMUSP00000107882.1 pep:known chromosome:GRCm38:X:164193355:164250368:-1 gene:ENSMUSG00000031377.11 transcript:ENSMUST00000112263.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmx description:BMX non-receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:1101778] MESKSILEELLLKKSQQKKKMSPNNYKERLFVLTKTSLSYYEYDKMKRGSRKGSIEIKKI RCVEKVNLEEQTPVERQYPFQIVYKDGLLYVYASNEESRCQWLKALQKEIRGNPHLLIKY HSGFFVDGKFLCCQQSCKAAPGCTLWEAYADLHIAISDEKHRAPTFPERLLKIPRAVPVL KMDASSSGAILPQYDSYSKKSCGSQPTSNIRYIPREDCPDWWQVRKLKSEEDIACSNQLE RNIASHSTSKMSWGFPESSSSEEEENLHAYDWFAGNISRSQSEQLLRQKGKEGAFMVRNS SQMGMYTVSLFSKAVNDKKGTVKHYHVHTNAENKLYLAENYCFDSIPKLIHYHQHNSAGM ITRLRHPVSTKANKVPVSVALGSGIWELKREEITLLKELGNGQFGVVQLGQWKGQYDVAV KMIKEGAMSEDEFFQEAQTMMKLSHPKLVKFYGVCSKKYPIYIVTEYITNGCLLNYLKSH GKGLESCQLLEMCYDVCEGMAFLESHQFIHRDLAARNCLVDSDLSVKVSDFGMTRYVLDD QYVSSVGTKFPVKWSAPEVFHYFKYSSKSDVWAFGILMWEVFSLGKQPYDLYDNSEVVVK VSQGHRLYRPQLASDTIYQIMYSCWHELPEKRPTFQQLLSAIEPLREQDKP >ENSMUSP00000063910.4 pep:known chromosome:GRCm38:15:73694604:73707505:-1 gene:ENSMUSG00000045281.5 transcript:ENSMUST00000064166.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr20 description:G protein-coupled receptor 20 [Source:MGI Symbol;Acc:MGI:2441803] MPSALSMRPWDAALPNTTAAAWTNGSVPEMPLFHHFARLDEELQATFPSLWQALMVVHGT IFLAGLVLNGLALYVFCCRTRAKTPSVTYTINLVVTDLLVGLSLPTRFAVFYGARGCLRC AFPHVLGYFLNMHCSILFLTCICVDRYLAIVQPEGSRRWRQPACAKAVCIFVWLAAGVVT LSVLGVKSGGRSCCRVFALTVLEFLLPLLVISVFTGRIMCALSRPGLLRQGRQRRVRAMQ LLLTVLVIFLVCFTPFHARQVAVALWPNVPKHTSLVAYHVAVTLSSLNSCMDPIVYCFIT SGFQATVRGLFYQRGEEFKPSSMDVVSMHKSTKASAPIHILSIGSHTLTQPLTNGPEP >ENSMUSP00000019118.3 pep:known chromosome:GRCm38:5:113742446:113772510:-1 gene:ENSMUSG00000018974.7 transcript:ENSMUST00000019118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sart3 description:squamous cell carcinoma antigen recognized by T cells 3 [Source:MGI Symbol;Acc:MGI:1858230] MATTAASSASEPEVEPQAGPEAEGEEDEAKPAGVQRKVLSGAVAAEAAEAKGPGWDLQRE GASGSDGDEEDAMASSAESSAGEDEWEYDEEEEKNQLEIERLEEQLSINGYDYNCHVELI RLLRLEGELSRVRAARQKMSELFPLTEELWLEWLHDEISMAMDGLDREHVYELFERAVKD YICPNIWLEYGQYSVGGIGQKGGLEKVRSVFERALSSVGLHMTKGLAIWEAYREFESAIV EAARLEKVHSLFRRQLAIPLYEMEATFAEYEEWSEEPMPESVLQSYQKALGQLEKYKPYE EALLQAEAPRLAEYQAYIDFEMKIGDPARIQLIFERALVENCLVPDLWIRYSQYLDRQLK VKDLVLSVHSRAVRNCPWTVALWSRYLLAMERHGLDHQTISATFENALSAGFIQATDYVE IWQVYLDYLRRRVDFRQDSSKELEELRSMFTRALEYLQQEVEERFSESGDPSCLIMQSWA RVEARLCNNMQKARELWDSIMTRGNAKYANMWLEYYNLERAHGDTQHCRKALHRAVQCTS DYPEHVCEVLLTMERTEGTLEDWDLAIQKTETRLARVNEQRMKAAEKEAALVQQEEEKAE QRKKVRAEKKALKKKKKTRGADKRREDEDEENEWGEEEEEQPSKRRRTENSLASGEASAM KEETELSGKCLTIDVGPPSKQKEKAASLKRDMPKVAHDSSKDSVTVFVSNLPYSIEEPEV KLRPLFEVCGEVVQIRPIFSNRGDFRGYCYVEFGEEKSAQQALELDRKIVEGRPMFVSPC VDKSKNPDFKVFRYSTTLEKHKLFISGLPFSCTKEELEDICKAHGTVKDLRLVTNRAGKP KGLAYVEYENESQASQAVMKMDGMTIRENVIKVAISNPPQRKVPEKPEVRTAPGAPMLPR QMYGARGKGRTQLSLLPRALQRQGAAPQAENGPAPGPAVAPSVATEAPKMSNADFAKLLL RK >ENSMUSP00000143778.1 pep:known chromosome:GRCm38:5:113742448:113771638:-1 gene:ENSMUSG00000018974.7 transcript:ENSMUST00000197041.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sart3 description:squamous cell carcinoma antigen recognized by T cells 3 [Source:MGI Symbol;Acc:MGI:1858230] MATTAASSASEPEVEPQAGPEAEGEEDEAKPAGVQRKVLSGAVAAEAAEAKGPGWDLQRE GASGSDGDEEDAMASSAESSAGEDEWEYDEEEEKNQLEIERLEEQLSINGYDYNCHVELI RLLRLEGELSRVRAARQKMSELFPLTEELWLEWLHDEISMAMDGLDREHVYELFERAVKD YICPNIWLEYGQYSVGGIGQKGGLEKVRSVFERALSSVGLHMTKGLAIWEAYREFESAIV EAARLEKVHSLFRRQLAIPLYEMEATFAEYEEWSEEPMPESVLQSYQKALGQLEKYKPYE EALLQAEAPRLAEYQAYIDFEMKIGDPARIQLIFERALVENCLVPDLWIRYSQYLPCCAE LPMDSCPVESVPSGHGATWTGPSNDFCDLRERSECRLHPGH >ENSMUSP00000070504.7 pep:known chromosome:GRCm38:4:86578855:86612055:-1 gene:ENSMUSG00000038047.18 transcript:ENSMUST00000070607.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus6 description:HAUS augmin-like complex, subunit 6 [Source:MGI Symbol;Acc:MGI:1923389] MSSARDSAFEKQHLWMYLQALGLDPSSSITFGGKMVPHAHLGENMFDKLNRDAFHIVSYF LFKTLDEALAKEVFRDCWPPFDQKLDMEFRKHCCEWLKEISAECGSSFPQVVGSLLMSPG GPKFIHLMYHFARYVAIKYIKTKSNNSLHFAETFNVKPQDMHKCLARSHVARNRFLQILQ REHYVMQKYQENVNLSVKQVRNARSECMSLQNQIKRMEPYDEKSNTQEKIQKVRSLWASV NETLMVVEKERDVISSLFGFVNQYALDGAHVAVNIPRLLLDRLEEQICRLQIGNVYEAGK LNLLTIIHLLNEVLKIMKYEHCKAGQAGLTINLHYLEKETKFQRERLSHMKHMRHKVKET VTAVRQSIADKEGKWHMKWKGFLGLSPFRLIKDRPAAVDLLPPMSPLTFDPVSEEVYAKS ILFKYPASLPDSHKEPKQESGSRREVDTPGSVCDGANSPVPFPLQCAASSDTNSSTLLEK DPNMRTPIEKTLTYKTIADSEEEDSPLSDTAKNSQSSVSRGTLPVKRSEPFQKEQARLVD EVARVVLSDSPQLSERKEVELEELIDTLISNPFLTRKQIPRTPENLITDIRSSWRKAVET EDNRSSDGILVDASAREVSSESSSTLHNQREFSLAIFSPDFDRSYFPEEKAVSDQLKGLP QKHLVTSQIREPPTEDVSDLVNKKMIWKQDLECATTRLSETSHMDVFSPVVGSGIDVMSR SKEDCVPVPPDHSQASCIEPSTHKTLLWDSFQRLSGIGILHETLPEVGRLSLNSSTSSET SFNLEPKSYVHSDAFADDVGKGQAIPELDSNLQARLTSYEALKKSLSKIREESYLSNPKT LEQHKVELSPVAKAVQADDAHTVWDTQDLFIDYAKPSSLVPLDGKTQTVSLTKAFLVEQK LKTTVPCSLGEFLPSLKEEEISSKSLEARDSLI >ENSMUSP00000118609.1 pep:known chromosome:GRCm38:4:86605637:86612049:-1 gene:ENSMUSG00000038047.18 transcript:ENSMUST00000125481.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus6 description:HAUS augmin-like complex, subunit 6 [Source:MGI Symbol;Acc:MGI:1923389] MSSARDSAFEKQHLWMYLQALGLDPSSSITFGGKMVPHAHLGEDCWPPFDQKLDMEFRKH CCEWLKEIS >ENSMUSP00000052190.2 pep:known chromosome:GRCm38:2:87387707:87388687:1 gene:ENSMUSG00000047594.3 transcript:ENSMUST00000056435.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1122 description:olfactory receptor 1122 [Source:MGI Symbol;Acc:MGI:3030956] MEFVLSADKKEIKSKNLNISITEFVLLGFSDVPQLQWMLFGIFLFMYLSILISNSIIMLI TRTDSALQTPMYFFLSNFSFVEICYVTVTIPRMLMDLCTQKGTISLLSCAVQLCFVIMLG GMEFLLLTVMAYDRYVAICNPLHYPLVMNNKVCVQLVAACWICIIPVVTGQTYQIFSLPY CGSNKIHHFFCDIPPLLKLACGDTFVNNLAIYIASVVFIMVPFLLILVSYGKIICNVLKL ATSGGRSKAFSTCSSHLIVVVLFYGTATITYAQPKAYQSETLGKLLSLFYTILIPLLNPI IYTLRNKDIMVALRKLQTKLSTYGNT >ENSMUSP00000088827.4 pep:known chromosome:GRCm38:X:106235246:106255376:-1 gene:ENSMUSG00000073012.2 transcript:ENSMUST00000091282.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnd3c2 description:fibronectin type III domain containing 3C2 [Source:MGI Symbol;Acc:MGI:2685621] MADNNADTNPTDRITSSSAHATIISTSNAGFYTDINNSIIGPSISTCNQVTSDETQEPPN TKSISSCTSENACNTFISTNDGLRPSDVGSTSENNHGETEANMGAGDNKQILGENQKKSQ SSNASLKEHNTENGTQPCCFAIGKPVVSNIQTRSATVSWTLKSNEKYDINSMSFELALYT SDENGIYENIYTGDGVSVALQDLQPSMVYFLRVTTMRGAEHRSVSEVVSFTTPGCEPDPP LAPTLISRTKNSLSLQWKGSNENGSKISSFLLEWDEGKGEDFKSCYSGRLKQHTLFKLNP STKYSFRLAAKNDFGCSNFSETAVFYTSGKAPTAPLPPKLKEAGIYSLSLEWCAPTNPNP NDTLTYVLEMEEENFGIGFKPAYDGEDLTCTVRNLQRNTTYKFRIFACNLDGRSKPSGEV KYTTLPARPGCPKKPYVVGMIHAHQVTIGWDLPKDNGGMNISSYSLEVCENSDGANLWKI IYNGTQQEFLYNDLQAATTYKLRVFCTSPAGQSRPSDVLTIQTPTLPPESCRSQPLRGKT KGKDANLPDNHSVNGKPEAHVRGKKAKGPHQDRKVHPSSEKKCAAVDTVGVGMFGGTAKV TSPGTIPGRVPVLQEVENRVPAKLSSTCIAIRWEEPDCHGSPITGYNIEYEDKKIVTVKR ITEYVLKDLQPNTTYRIRIQAINHYGLSPFSPSIRCKTKPLPPEPPQLNCVVYGHQSLRL KWGTVSSKKKLDYFINYNLLMEDRSGRFSVIYRGPDVTHKVQKLSEYTEYKFKIQACNEA GEGPESDIYTFTTTKSPPTALKAPKVHPLNNNCCEIKWESLEPIEGDPIIYCLQVNTGKK ANQIYKGPNTSFSFSNYHANSRYRFKVCAGRRYETSNGLQELWGPYSPSALFSTYKHHSG HGKGSGGKGKGKHNGKVRKYRIGFALIAILCAVAIQYFLFK >ENSMUSP00000120154.1 pep:known chromosome:GRCm38:6:54982580:54992950:-1 gene:ENSMUSG00000002797.9 transcript:ENSMUST00000131475.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggct description:gamma-glutamyl cyclotransferase [Source:MGI Symbol;Acc:MGI:95700] MASSDCEGHAGQEGETFLYFAYGSNLLTERIHLRNPSAVFCCVARLQDFKLDFGNFQGKM SERWHGGIATIFQSPGDEVWGVVWRMNKSNISSLDEQEGVKSGVYVVIEIKVSTREGKEI TCRSYLMTNYESAPPSPQYKKVICMGAKENGLPQEYQEKLKAIEPNEYKGKISDEMEDII KKGESKLS >ENSMUSP00000145291.1 pep:known chromosome:GRCm38:6:54985932:54992861:-1 gene:ENSMUSG00000002797.9 transcript:ENSMUST00000204301.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ggct description:gamma-glutamyl cyclotransferase [Source:MGI Symbol;Acc:MGI:95700] MASSDCEGHAGQEGETFLYFAYGSNLLTERIHLRNPSAVFCCVARLQDFKLDFGNFQGKM SERWHGGKKELKVESML >ENSMUSP00000145492.1 pep:known chromosome:GRCm38:6:54985956:54992863:-1 gene:ENSMUSG00000002797.9 transcript:ENSMUST00000203208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggct description:gamma-glutamyl cyclotransferase [Source:MGI Symbol;Acc:MGI:95700] MASSDCEGHAGQEGETFLYFAYGSNLLTERIHLRNPSAVFCCVARLQDFKLDFGNFQGKM SERWHGGVKSGVYVVIEIKVSTREGKEITCRSYLMTNYESAPPSPQYKKVICMGAKENGL PQEY >ENSMUSP00000058786.1 pep:known chromosome:GRCm38:2:87418050:87419021:1 gene:ENSMUSG00000043274.1 transcript:ENSMUST00000054974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1123 description:olfactory receptor 1123 [Source:MGI Symbol;Acc:MGI:3030957] MFYLLSVDEEKIKAEKSNASSLIEFILLGFSDVPNLQWILFGIFLIMYLTILMCNSTIVL ITRTDPALQTPMYFFLSNFSFVEICYVTVTIPRMLVDLCTQKGNISILACATQMCFILML GGTECLLLTAMAYDRYVAICNPLHYSLVMNHRICTQLVAACWISVIPVVIGQTYQIFSLP FCGSNRINHFICDIPPVLKLACGDTFVNEIAVYVVAMVFVMVPFMLIIFSYCKIICSILK LSSAKGRTKAFSTCSSHLIVVVLFYGTAGITYLQPKPNQSEITGKLLSLFYTILIPTLNP IIYTLRNKDIMAALRKLLSKILV >ENSMUSP00000130583.1 pep:known chromosome:GRCm38:16:11219292:11254270:-1 gene:ENSMUSG00000062203.14 transcript:ENSMUST00000167571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gspt1 description:G1 to S phase transition 1 [Source:MGI Symbol;Acc:MGI:1316728] MDPSSGGGGGGGGGGSSSSSDSAPDCWDQTDMEAPGPGPCGGGGSGSGSMAAVAEAQREN LSAAFSRQLNVNAKPFVPNVHAAEFVPSFLRGPAQPPLSPAGAAGGDHGAGSGAGGPSEP VESSQDQSCEGSNSTVSMELSEPVENGETEMSPEESWEHKEEISEAEPGGGSSGDGRPPE ESTQEMMEEEEEIPKPKSAVAPPGAPKKEHVNVVFIGHVDAGKSTIGGQIMYLTGMVDKR TLEKYEREAKEKNRETWYLSWALDTNQEERDKGKTVEVGRAYFETEKKHFTILDAPGHKS FVPNMIGGASQADLAVLVISARKGEFETGFEKGGQTREHAMLAKTAGVKHLIVLINKMDD PTVNWSNERYEECKEKLVPFLKKVGFNPKKDIHFMPCSGLTGANLKEQSDFCPWYIGLPF IPYLDNLPNFNRSVDGPIRLPIVDKYKDMGTVVLGKLESGSICKGQQLVMMPNKHNVEVL GILSDDVETDSVAPGENLKIRLKGIEEEEILPGFILCDLNNLCHSGRTFDAQIVIIEHKS IICPGYNAVLHIHTCIEEVEITALICLVDKKSGEKSKTRPRFVKQDQVCIARLRTAGTIC LETFKDFPQMGRFTLRDEGKTIAIGKVLKLVPEKD >ENSMUSP00000078940.7 pep:known chromosome:GRCm38:16:11220052:11254209:-1 gene:ENSMUSG00000062203.14 transcript:ENSMUST00000080030.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gspt1 description:G1 to S phase transition 1 [Source:MGI Symbol;Acc:MGI:1316728] MDPSSGGGGGGGGGGSSSSSDSAPDCWDQTDMEAPGPGPCGGGGSGSGSMAAVAEAQREN LSAAFSRQLNVNAKPFVPNVHAAEFVPSFLRGPAQPPLSPAGAAGGDHGAGSGAGGPSEP VESSQDQSCEGSNSTVSMELSEPVVENGETEMSPEESWEHKEEISEAEPGGGSSGDGRPP EESTQEMMEEEEEIPKPKSAVAPPGAPKKEHVNVVFIGHVDAGKSTIGGQIMYLTGMVDK RTLEKYEREAKEKNRETWYLSWALDTNQEERDKGKTVEVGRAYFETEKKHFTILDAPGHK SFVPNMIGGASQADLAVLVISARKGEFETGFEKGGQTREHAMLAKTAGVKHLIVLINKMD DPTVNWSNERYEECKEKLVPFLKKVGFNPKKDIHFMPCSGLTGANLKEQSDFCPWYIGLP FIPYLDNLPNFNRSVDGPIRLPIVDKYKDMGTVVLGKLESGSICKGQQLVMMPNKHNVEV LGILSDDVETDSVAPGENLKIRLKGIEEEEILPGFILCDLNNLCHSGRTFDAQIVIIEHK SIICPGYNAVLHIHTCIEEVEITALICLVDKKSGEKSKTRPRFVKQDQVCIARLRTAGTI CLETFKDFPQMGRFTLRDEGKTIAIGKVLKLVPEKD >ENSMUSP00000130959.1 pep:known chromosome:GRCm38:16:11220401:11224498:-1 gene:ENSMUSG00000062203.14 transcript:ENSMUST00000167025.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gspt1 description:G1 to S phase transition 1 [Source:MGI Symbol;Acc:MGI:1316728] XEEEILPGFILCDLNNLCHSGRTFDAQALICLVDKKSGEKSKTRPRFVKQDQVCIARLRT AGTICLETFKDFPQMGRFTLRDEGKTIAIGKVLKLVPEKD >ENSMUSP00000020523.3 pep:known chromosome:GRCm38:11:23646479:23665959:-1 gene:ENSMUSG00000020283.5 transcript:ENSMUST00000020523.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex13 description:peroxisomal biogenesis factor 13 [Source:MGI Symbol;Acc:MGI:1919379] MASQPPPPPKPWESRRIPGAGPGPGSGPGPTYQSADLGPTLLTRPGQPTLTRVPPPILPR PSQQTGSNNVNTFRPAYSSFSSGYGAYGNSFYGSYSPYSYGYNGLGFNRLRVDDLPPSRF VQQAEESSRGAFQSIESIVHAFASVSMMMDATFSAVYNSFRAVLDVANHFSRLKIHFTKV FSAFALVRTIRYLYRRLQWMMGLRRGSENEDLWAESEGTVACLSAEDQATNSAKSWPIFL FFAVILGGPYLIWKLLSTHNDEVTDNTNWASGEDDHVVARAEYDFVAVSDEEISFRAGDM LNLALKEQQPKVRGWLLASLDGQTTGLIPANYVKILGKRRGRKTIESSTMLKQQQSFTNP TLIKGVTTTNPLDEQEAAFESVFVETNKVSSAPDSTGKNGDKQDL >ENSMUSP00000115020.1 pep:known chromosome:GRCm38:11:23649456:23665852:-1 gene:ENSMUSG00000020283.5 transcript:ENSMUST00000130811.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pex13 description:peroxisomal biogenesis factor 13 [Source:MGI Symbol;Acc:MGI:1919379] MASQPPPPPKPWESRRIPGAGPGPGSGPGPTYQQHQLGKW >ENSMUSP00000058140.3 pep:known chromosome:GRCm38:1:28776117:28780252:-1 gene:ENSMUSG00000048411.4 transcript:ENSMUST00000059937.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm597 description:predicted gene 597 [Source:MGI Symbol;Acc:MGI:2685443] MNSIIDLWRIPSSMSMVLDMTFAIILGVGIFYLLIPFLKEYPESPPSGSKTNIRKVVKMG QRKASKETATLTGYRNFQKNAQDAQNAIWPVESPTQYHLLDSSPHSSCHSNKKLKQLSLL QLFSKLKVLENRIQQLLGSIRSEFTDSTVPTVCNSRKSPSESKTVRFSSKLDSAQALGLA KEPPQFCQDQTLSYQPVPPSLVGVTEVQEQENLPSSIPKQTPPSFQCRVRRKVLRNTDIG IQTSLPYINEPGQPELNWKYITGCDVQKCQIAFDQPIDNLSKGTLPIKAIQSVFILPEHY QIVYHHEDPQQEEKVINMGEQQEKHVRFLPSEEQPQLQGAFQTNSGGYCKNLPELNQPAQ PSIHNPEKYKWSKMVGSELTGMLLNKAKTKFGTPNTIKKVLSVSVEDLTQASSNTPGKGL ELENLPMKRDMLSTKNTTEDLSFLDPKTQMKLELNSMQFPEKHRILPSVSKAEYYSKAAR ILEKLHHQDPGGTRIETVHSARLRHSFPEVQEIQRTPSPAASHGPSKSHSDQGERYFNVQ PNAFWSQEKPQQCRSIVGTGRGILKGSTSPKMSKHAPWKMSEHVVSGHLSWNATRPGPEY CAPSSQAKETYTLKVKEKTHEERPGILKRGGINSLKEESPLPVTEQTGKVVDGVNLVYGS TFELQALINALAQNLEKSVDNPSKLIIVPVTQVQEDKTESVEAFQLVGSSHYSEVLHEPN HSTLGSGMSSSHRSPEEHSQHFKYNRTEHKLHSGINAQRASEQDQIKVKGGLGFFQLPTP MGNDYPCSYRGDGNKQQSGLSDQKSSDPGQSGIKIGMGDSPHGSPKWHKHSFRYTGIIDK QESVVDHKVFDPHQNTKKGVGRGPLMIPKDNHPVKYRGTGVQEQFALVAQGAYDRR >ENSMUSP00000062513.1 pep:known chromosome:GRCm38:2:87434489:87435445:1 gene:ENSMUSG00000050772.1 transcript:ENSMUST00000062494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1124 description:olfactory receptor 1124 [Source:MGI Symbol;Acc:MGI:3030958] MNHQQKPQEGNLTNLKEFVLLGFSDVPDLQWVLFGLLIAMYCFILLGNGTIVLITNVDSA LQTPMYFFLGNFSFLEICYVSITLPRMVFNLGTQRRTISFIACATQMCCILILGATECFL LAVMAYDRYVAICNPLHYPLVMNQKVCSQLVIGSWISGIPIQIGQTSHIFSLSFCGSNQI NHFFCDIPPILHLACGDIFINEMMVFLGAFLFVLFPFLLIVFSYSKIIFTVLKLSSTKSR AKAFSTCSSHLAVVILFFGSGMITYFRSNSSHSGETDKVLSLFYTVVTPMFNPMVYSLRN KDVTIALRKFLCKQFVKI >ENSMUSP00000022030.4 pep:known chromosome:GRCm38:13:85189408:85213723:1 gene:ENSMUSG00000021548.10 transcript:ENSMUST00000022030.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnh description:cyclin H [Source:MGI Symbol;Acc:MGI:1913921] MYHSSSQKRHWTFASEEQLARLRADANRKFKCKAVANGKVLPNDPVFLEPHEELTLCKYY EKRLLEFCSVFKPAMPRSVVGTACMYFKRFYLNNSVMEYHPRIIMLTCAFLACKVDEFNV SSPQFVGNLRESPLGQERALEQILEYELLLIQQLNFHLIVHNPYRPFEGFLIDIKTRYPM LENPEILRKTADDFLSRIALTDAYLLYTPSQIALTAILSSASRAGITMESYLSESLMLKE NRTCLSQLLDIMKSMRNLVKKYEPPRSDEVAVLKQKLERCHSSDLALNAVTKKRKGYEDD DYVSKKPKQEEEEWTDDDLVDSL >ENSMUSP00000130839.1 pep:known chromosome:GRCm38:13:85189435:85202413:1 gene:ENSMUSG00000021548.10 transcript:ENSMUST00000165077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnh description:cyclin H [Source:MGI Symbol;Acc:MGI:1913921] MYHSSSQKRHWTFASEEQLARLRADANRKFKCKAVANGKVLPNDPVFLEPHEELTLCKYY EKRLLEFCSVFKPAMPRSVVGTACMYFKRFYLNNSVMEYHPRIIIPFEGFLIDIKTRYPM LENPEILRKTADDFLSRIALTD >ENSMUSP00000131136.1 pep:known chromosome:GRCm38:13:85189448:85212611:1 gene:ENSMUSG00000021548.10 transcript:ENSMUST00000164127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnh description:cyclin H [Source:MGI Symbol;Acc:MGI:1913921] MYHSSSQKRHWTFASEEQLARLRADANRKFKCKAVANGKVLPNDPVFLEPHEELTLCKYY EKRLLEFCSVFKPAMPRSVVGTACMYFKRFYLNNSVMEYHPRIIMLTCAFLACKVDEFNV SSPQFVGNLRESPLGQERALEQILEYELLLIQQLNFHLIVHNPYRPFEGFLIDIKTRYPM LENPEILRKTADDFLSRIALTDAYLLYTPSQIALTAILSSASRAGITMESYLSESLMLKE NRTCLSQLLDIMKSMRNLVKKYEPPRSDEVAVLKQKLERCHSSDLALNAVTKKRKGYEDD DYVSKKPKQEEVCFPLKRTRYNLHFLA >ENSMUSP00000129349.1 pep:known chromosome:GRCm38:13:85189477:85212910:1 gene:ENSMUSG00000021548.10 transcript:ENSMUST00000163600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnh description:cyclin H [Source:MGI Symbol;Acc:MGI:1913921] MYHSSSQKRHWTFASEEQLARLRADANRKFKCKAVANGKVLPNDPVFLEPHEELTLCKYY EKRLLEFCSVFKPAMPRSVVGTACMYFKRFYLNNSVMEYHPRIIMLTCAFLACKVDEFNV SSPQFVGNLRESPLGQERALEQILEYELLLIQQLNFHLIVHNPYRPFEGFLIDIKIALTA ILSSASRAGITMESYLSESLMLKENRTCLSQLLDIMKSMRNLVKKYEPPRSDEVAVLKQK LERCHSSDLALNAVTKKRKGYEDDDYVSKKPKQEEEEWTDDDLVDSL >ENSMUSP00000130820.1 pep:known chromosome:GRCm38:13:85202401:85223469:1 gene:ENSMUSG00000021548.10 transcript:ENSMUST00000163713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnh description:cyclin H [Source:MGI Symbol;Acc:MGI:1913921] XALTDAYLLYTPSQIALTAILSSASRAGITMESYLSESLMLKENRTCLSQLLDIMKSMRN LVKKYEPPRSDEVAVLKQKLERCHSSDLALNAVTKKRKGYEDDDYVSKKPKQEELCFLTG E >ENSMUSP00000071313.1 pep:known chromosome:GRCm38:2:87457167:87458111:1 gene:ENSMUSG00000058194.1 transcript:ENSMUST00000071355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1126 description:olfactory receptor 1126 [Source:MGI Symbol;Acc:MGI:3030960] MKFTEIRAEDNASTVTEFLLLGFSDLPNLQGILFGLFSIIYLIILIGNSFIIVITRIDPA LQKPMYFFLANFSSLEICYVSVTLPRILFNIATQDRSISVVSCATQMCFFLMLGATECFL LAVMSYDRYVAICNPLHYPLVMNPTKCTQLAAASWLGGIPVQIGQTCQIFSLHFCNSNQI DHFFCDLPPILKLACGDTSIHELSVYLVAMLFVAFPFLLILASYTKIIATILKLPTATGR AKAFSTCSSHLLVVFLFFGSATITYLRPKSTHSPGTDKLLSLFYTIVTPMFNPLIYSLRN KEVIAALRKLLHIK >ENSMUSP00000059889.6 pep:known chromosome:GRCm38:9:104288316:104337728:-1 gene:ENSMUSG00000032561.14 transcript:ENSMUST00000062723.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acpp description:acid phosphatase, prostate [Source:MGI Symbol;Acc:MGI:1928480] MRAVPLPLSRTASLSLGFLLLLSLCLDPGQAKELKFVTLVFRHGDRGPIETFPTDPITES SWPQGFGQLTQWGMEQHYELGSYIRKRYGRFLNDTYKHDQIYIRSTDVDRTLMSAMTNLA ALFPPEGISIWNPRLLWQPIPVHTVSLSEDRLLYLPFRDCPRFEELKSETLESEEFLKRL HPYKSFLDTLSSLSGFDDQDLFGIWSKVYDPLFCESVHNFTLPSWATEDAMIKLKELSEL SLLSLYGIHKQKEKSRLQGGVLVNEILKNMKLATQPQKYKKLVMYSAHDTTVSGLQMALD VYNGVLPPYASCHMMELYHDKGGHFVEMYYRNETQNEPYPLTLPGCTHSCPLEKFAELLD PVISQDWATECMATSSHQVLRVILATTFCLVTGILVILLLVLIRHGPCWQRDVYRNI >ENSMUSP00000108209.2 pep:known chromosome:GRCm38:9:104299000:104337707:-1 gene:ENSMUSG00000032561.14 transcript:ENSMUST00000112590.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acpp description:acid phosphatase, prostate [Source:MGI Symbol;Acc:MGI:1928480] MRAVPLPLSRTASLSLGFLLLLSLCLDPGQAKELKFVTLVFRHGDRGPIETFPTDPITES SWPQGFGQLTQWGMEQHYELGSYIRKRYGRFLNDTYKHDQIYIRSTDVDRTLMSAMTNLA ALFPPEGISIWNPRLLWQPIPVHTVSLSEDRLLYLPFRDCPRFEELKSETLESEEFLKRL HPYKSFLDTLSSLSGFDDQDLFGIWSKVYDPLFCESVHNFTLPSWATEDAMIKLKELSEL SLLSLYGIHKQKEKSRLQGGVLVNEILKNMKLATQPQKYKKLVMYSAHDTTVSGLQMALD VYNGVLPPYASCHMMELYHDKGGHFVEMYYRNETQNEPYPLTLPGCTHSCPLEKFAELLD PVISQDWATECMATSSHQGRN >ENSMUSP00000072896.6 pep:known chromosome:GRCm38:2:69686815:69712606:-1 gene:ENSMUSG00000027086.16 transcript:ENSMUST00000073152.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fastkd1 description:FAST kinase domains 1 [Source:MGI Symbol;Acc:MGI:2444596] MFRLRSISLLSWRAFPLRPFSCESLITQMQKCTNEEQVFDLIETNTATLSEQQVGCAFNV LWQFQKQKTVLEKNVDHVRNHPQFLTLCSITTNHIPAMSDATLVDVLYSIKQFAVESHHP LIEALVTEAWKRLERFDTNVLSIFSTCLADQHLYFSPLMGKIADIVNRRLETIQDLRALS VLMVSISSLISPCFQERLVIRTELLFDTVNSSKVNIARRILLFLRNVKYSHYPLLERCNQ VFIRNMSHLDLESISKILNLYQFLQFHSFEFVEAARGRLAEMTLPSDHPESFVRLFAALG PVARPEIKKQLKSTILLLSEELSSQQALIVLGAMEDMESRNSHLLKKIVSVLYKHLDNYK SIELLKIIQALTFLHFQSKELFMKLRELLLSRLEASVIPSEISVLVSALSMLPHPHLSET AVSRIEAVLPQCDFRELNDLVVYLMRWIQSDLVCLASTTGKQLDLLQKLDQLGRHRLQQS TNLDLLWEELKSLKGEWLHESLVEESIAALLRFMDEIDYSNIAKVASFLSRTNYLNTLLL DRIASVAIQQVEKIHPFSVLAIILPFSILNYDPPQKDEFFGACVQCCNSYLGTLDPGTLV FLGFSLAVLEYFPEDLLKKMFNIEFLARLDSQLEILPSSLSARIQFRLMELNRAVCLECP ELQVPWFHDRFCQRQFNKDTGVMNGAQQQIYKMLAEVLGGHQCVKPSALSPYYHTVGFEC ILDKRKKPLPYESHSIAPRKSLGMHWDSRVEPRLPPEAERIAIELLDVRAFCSNIPHLKG KSAMKKRHLEILGYRVIQIPYFEWNSMAMSTKDARMDYLREHLFGEGKS >ENSMUSP00000099767.3 pep:known chromosome:GRCm38:2:69686937:69712461:-1 gene:ENSMUSG00000027086.16 transcript:ENSMUST00000102706.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fastkd1 description:FAST kinase domains 1 [Source:MGI Symbol;Acc:MGI:2444596] MFRLRSISLLSWRAFPLRPFSCESLITQMQKCTNEEQVFDLIETNTATLSEQQVGCAFNV LWQFQKQKTVLEKNVDHVRNHPQFLTLCSITTNHIPAMSDATLVDVLYSIKQFAVESHHP LIEALVTEAWKRLERFDTNVLSIFSTCLADQHLYFSPLMGKIADIVNRRLETIQDLRALS VLMVSISSLISPCFQERLVIRTELLFDTVNSSKVNIARRILLFLRNVKYSHYPLLERCNQ VFIRNMSHLDLESISKILNLYQFLQFHSFEFVEAARGRLAEMTLPSDHPESFVRLFAALG PVARPEIKKQLKSTILLLSEELSSQQALIVLGAMEDMESRNSHLLKKIVSVLYKHLDNYK SIELLKIIQALTFLHFQSKELFMKLRELLLSRLEASVIPSEISVLVSALSMLPHPHLSET AVSRIEAVLPQCDFRELNDLVVYLMRWIQSDLVCLASTTGKQLDLLQKLDQLGRHRLQQS TNLDLLWEELKSLKGEWLHESLVEESIAALLRFMDEIDYSNIAKIHPFSVLAIILPFSIL NYDPPQKDEFFGACVQCCNSYLGTLDPGTLVFLGFSLAVLEYFPEDLLKKMFNIEFLARL DSQLEILPSSLSARIQFRLMELNRAVCLECPELQVPWFHDRFCQRQFNKDTGVMNGAQQQ IYKMLAEVLGGHQCVKPSALSPYYHTVGFECILDKRKKPLPYESHSIAPRKSLGMHWDSR VEPRLPPEAERIAIELLDVRAFCSNIPHLKGKSAMKKRHLEILGYRVIQIPYFEWNSMAM STKDARMDYLREHLFGEGKS >ENSMUSP00000047042.4 pep:known chromosome:GRCm38:15:79053094:79062885:-1 gene:ENSMUSG00000033055.10 transcript:ENSMUST00000040676.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd54 description:ankyrin repeat domain 54 [Source:MGI Symbol;Acc:MGI:2444209] MAATGGGADDESRSGRSSSDGECAVAPEPLAEAGGLVSFADFGVSLGSGAGLPGRSVGRA QSSLRYLQVLWQQDVEPRDELRCKIPAGRLRRAARPHRRLGPTGKEVHALKRLRDSANAN DVETVQQLLEDGADPCAADDKGRTALHFASCNGNDQIVQLLLDHGADPNQQDGLGNTPLH LAACTNHVPVITTLLRGGARVDALDRAGRTPLHLAKSKLNILQEGHSQCLEAVRLEVKQI IHMLREYLERLGRHEQRERLDDLCTRLQMTSTKEQVDEVTDLLASFTSLSLQMQSMEKR >ENSMUSP00000119739.1 pep:known chromosome:GRCm38:15:79053574:79055413:-1 gene:ENSMUSG00000033055.10 transcript:ENSMUST00000156411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd54 description:ankyrin repeat domain 54 [Source:MGI Symbol;Acc:MGI:2444209] XRVDALDRAGRTPLHLAKSKLNILQEGHSQCLEAVRLEVKQIIHMLREYLERLGRHEQRE RLDDLCTRLQMTSTKEQGQCQTT >ENSMUSP00000120492.1 pep:known chromosome:GRCm38:15:79053768:79055344:-1 gene:ENSMUSG00000033055.10 transcript:ENSMUST00000125523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd54 description:ankyrin repeat domain 54 [Source:MGI Symbol;Acc:MGI:2444209] XQEGHSQCLEAVRLEVKQPAAPTSFQIIHMLREYLERLGRHEQRERLDDLCTRLQMTSTK EQVDEVTDLLASFTSLSLQMQSMEKR >ENSMUSP00000114322.1 pep:known chromosome:GRCm38:15:79055386:79062882:-1 gene:ENSMUSG00000033055.10 transcript:ENSMUST00000151889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd54 description:ankyrin repeat domain 54 [Source:MGI Symbol;Acc:MGI:2444209] MAATGGGADDESRSGRSSSDGECAVAPEPLAEAGGLVSFADFGVSLGSGAGLPGRSVGRA QSSLRYLQVLWQQDVEPRDELRCKIPAGRLRRAARPHRRLGPTGKEVHALKRLRDSANAN DVETVQLLLDHGADPNQQDGLGNTPLHLAACTNHVPVITTLLRGGMCPSPSPACLFLHLI ASMPPLPVPTGARVDALDRAG >ENSMUSP00000117852.1 pep:known chromosome:GRCm38:15:79055415:79062836:-1 gene:ENSMUSG00000033055.10 transcript:ENSMUST00000153003.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd54 description:ankyrin repeat domain 54 [Source:MGI Symbol;Acc:MGI:2444209] MAATGGGADDESRSGRSSSDGECAVAPEPLAEAGGLVSFADFGVSLGSGAGLPGRSVGRA QSSLRYLQVLWQQDVEPRDELRCKIPAGRLRRAARPHRRLGPTGKEVHALKRLRDSANAN DVETAAAGRWGGSLRSG >ENSMUSP00000110248.1 pep:known chromosome:GRCm38:X:71364760:71419196:1 gene:ENSMUSG00000015214.14 transcript:ENSMUST00000114601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr1 description:myotubularin related protein 1 [Source:MGI Symbol;Acc:MGI:1858271] MDRPVAAAAAASAASCEGAGGPGPGPGASWRPSRVAGGASASSRHPSIETLDSPTGSHVE WCKQLIAATISSQISGSVTSENVSRDYKALRDGNKLAQMEEAPLFPGESIKAIVKDVIYI CPFMGAVSGTLTVTDFKMYFKNVERDPHFVLDVPLGVISRVEKIGAQSHGDNSCGIEIVC KDMRNLRLAYKQEEQRKLGIFENLNKHAFPLSNGQVLFAFNYKEKFPVNGWKVYDPVSEY KRQGLPNESWKISKINSNYEFCDTYPAIIVVPTSVKDDDLSKVAAFRAKGRVPVLSWIHP ESQATITRCSQPLVGPNDKRCKEDEKYLQTIMDANAQSHKLTIFDARQNSVADTNKAKGG GYENESAYPNAELIFLEIHNIHVMRESLRKLKEIVYPSIDESHWLSNVDGTHWLEYIRVL LAGAVRIADKIESGKTSVVIHCSDGWDRTSQLTSLAMLMLDSYYRTIKGFEALIEKEWIS FGHRFALRVGHGDDNHADADRSPIFLQFIDCVWQMTRQFPSAFEFNELFLITILDHLYSC LFGTFLCNCEQQRIKEQDVYTNTISLWSYINSQLDEFSNPFFVNYENHVLYPVASMSHLE LWVNYYVRWNPRMRPQVMPIHQNLKELLAIKAELQKRVEDLQREMATRTISSSSERGSSP THSATPVHTSV >ENSMUSP00000117342.1 pep:known chromosome:GRCm38:X:71364760:71388756:1 gene:ENSMUSG00000015214.14 transcript:ENSMUST00000146213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr1 description:myotubularin related protein 1 [Source:MGI Symbol;Acc:MGI:1858271] MDRPVAAAAAASAASCEGAGGPGPGPGASWRPSRVAGGASASSRHPSIETLDSPTGSHVE WCKQLIAATISSQISGSVTSENVSRDYKVFRRPDTRALRDGNKLAQMEEAPLFPGESIKA IVKDVIYICPFMGAVSGTLTVTDFKMYFKNVERDPHFVLDVPLGVISRVEKIGAQSHGDN SCGIEIV >ENSMUSP00000123363.1 pep:known chromosome:GRCm38:X:71369812:71388734:1 gene:ENSMUSG00000015214.14 transcript:ENSMUST00000149323.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr1 description:myotubularin related protein 1 [Source:MGI Symbol;Acc:MGI:1858271] HVEWCKQLIAATISSQISGSVTSENVSRDYKVFRRPDTRNIHKARQRLALRDGNKLAQME EAPLFPGESIKAIVKDVIYICPFMGAVSGTLTVTDFKMYFKNVERDPHFVLDVPLGVISR VEKIGAQSHGDN >ENSMUSP00000121342.1 pep:known chromosome:GRCm38:X:71369812:71388734:1 gene:ENSMUSG00000015214.14 transcript:ENSMUST00000130909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr1 description:myotubularin related protein 1 [Source:MGI Symbol;Acc:MGI:1858271] HVEWCKQLIAATISSQISGSVTSENVSRDYKVFRRPDTRNIHKARQRLELEEEHNGYPSE AEADQALRDGNKLAQMEEAPLFPGESIKAIVKDVIYICPFMGAVSGTLTVTDFKMYFKNV ERDPHFVLDVPLGVISRVEKIGAQSHGDN >ENSMUSP00000121165.1 pep:known chromosome:GRCm38:X:71364937:71394296:1 gene:ENSMUSG00000015214.14 transcript:ENSMUST00000132837.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr1 description:myotubularin related protein 1 [Source:MGI Symbol;Acc:MGI:1858271] XAAASAASCEGAGGPGPGPGASWRPSRVAGGASASSRHPSIETLDSPTGSHVEWCKQLIA ATISSQISGSVTSENVSRDYKELEEEHNGYPSEAEADQALRDGNKLAQMEEAPLFPGESI KAIVKDVIYICPFMGAVSGTLTVTDFKMYFKNVERDPHFVLDVPLGVISRVEKIGAQSHG DNSCGIEIVCKDMRNLRLAYKQEEQRKLGIFENLNKHAFPLSNGQVLFAFNYKEKFPVNG WKVYDPVSEYKRQGLPNESWKISKINSNYEFCDTYPAIIVVPTSVKDDDLSKVAAFRAKG RVPVLSWIHP >ENSMUSP00000115458.1 pep:known chromosome:GRCm38:X:71369812:71388734:1 gene:ENSMUSG00000015214.14 transcript:ENSMUST00000156756.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr1 description:myotubularin related protein 1 [Source:MGI Symbol;Acc:MGI:1858271] HVEWCKQLIAATISSQISGSVTSENVSRDYKVFRRPDTRELEEEHNGYPSEAEADQALRD GNKLAQMEEAPLFPGESIKAIVKDVIYICPFMGAVSGTLTVTDFKMYFKNVERDPHFVLD VPLGVISRVEKIGAQSHGDN >ENSMUSP00000015358.1 pep:known chromosome:GRCm38:X:71364760:71419196:1 gene:ENSMUSG00000015214.14 transcript:ENSMUST00000015358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr1 description:myotubularin related protein 1 [Source:MGI Symbol;Acc:MGI:1858271] MDRPVAAAAAASAASCEGAGGPGPGPGASWRPSRVAGGASASSRHPSIETLDSPTGSHVE WCKQLIAATISSQISGSVTSENVSRDYKALRDGNKLAQMEEAPLFPGESIKAIVKDVIYI CPFMGAVSGTLTVTDFKMYFKNVERDPHFVLDVPLGVISRVEKIGAQSHGDNSCGIEIVC KDMRNLRLAYKQEEQRKLGIFENLNKHAFPLSNGQVLFAFNYKEKFPVNGWKVYDPVSEY KRQGLPNESWKISKINSNYEFCDTYPAIIVVPTSVKDDDLSKVAAFRAKGRVPVLSWIHP ESQATITRCSQPLVGPNDKRCKEDEKYLQTIMDANAQSHKLTIFDARQNSVADTNKAKGG GYENESAYPNAELIFLEIHNIHVMRESLRKLKEIVYPSIDESHWLSNVDGTHWLEYIRVL LAGAVRIADKIESGKTSVVIHCSDGWDRTSQLTSLAMLMLDSYYRTIKGFEALIEKEWIS FGHRFALRVGHGDDNHADADRSPIFLQFIDCVWQMTRQFPSAFEFNELFLITILDHLYSC LFGTFLCNCEQQRIKEDVYTNTISLWSYINSQLDEFSNPFFVNYENHVLYPVASMSHLEL WVNYYVRWNPRMRPQMPIHQNLKELLAIKAELQKRVEDLQREMATRTISSSSERGSSPTH SATPVHTSV >ENSMUSP00000099420.4 pep:known chromosome:GRCm38:11:99385254:99389364:-1 gene:ENSMUSG00000019761.9 transcript:ENSMUST00000103131.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt10 description:keratin 10 [Source:MGI Symbol;Acc:MGI:96685] MSVLYSSSSKQFSSSRSGGGGGGGSVRVSSTRGSLGGGYSSGGFSGGSFSRGSSGGGCFG GSSGGYGGFGGGGSFGGGYGGSSFGGGYGGSSFGGGSFGGGGSFGGGSFGGGSYGGGFGG GGFGGDGGSLLSGNEKVTMQNLNDRLASYMDKVRALEESNYELEGKIKEWYEKHGNSSQR EPRDYSKYYKTIEDLKGQILTLTTDNANVLLQIDNARLAADDFRLKYENEVTLRQSVEAD INGLRRVLDELTLSKSDLEMQIESLNEELAYLKKNHEEEMRDLQNVSTGDVNVEMNAAPG VDLTQLLNNMRNQYEQLAEKNRKDAEEWFNQKSKELTTEIDSNIEQMSSHKSEITELRRT VQGLEIELQSQLALKQSLEASLAETEGRYCVQLSQIQSQISALEEQLQQIRAETECQNAE YQQLLDIKTRLENEIQTYRSLLEGEGSSSGGGGGRGGGSHGGSYGGSSGGGSYGGSSGGG GSYGGSSGGGGSYGGGSSGGGSHGGSSGGGYGGGSSSGGAGGHGGSSGGGYGGGSSSGGQ GGSGGFKSSGGGDQSSKGPRY >ENSMUSP00000052235.5 pep:known chromosome:GRCm38:10:129500813:129501882:1 gene:ENSMUSG00000044025.4 transcript:ENSMUST00000056002.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr790 description:olfactory receptor 790 [Source:MGI Symbol;Acc:MGI:3030624] MRNSTAVTDFILLGLTDDPLWQIVVFTFLLVTYMLSVTGNLIIIILTLSDAHLMTPMYFF LRNFSLLEISFTSVCIPRFLVTIVTGDRTISYNGCVAQLFFFIFLGVTEFYLLAAMSYDR YVAICKPLHYTTIMSNRVCILLVFSSWFAGFMIIFPPIILLLQLDFCASNIIDHFICDSS PILQLSCSNTHFLELMAFSLAVVTLMVTLTLIILSYTNIIRTILRIPSTNQRKKAFSTCS SHMIVVSLSYGSCIFMYIKPSARERVTLSKGVAVLNTSVAPLLNPFIYTLRNQQVKQAFK NMIQRIFFSSKNLP >ENSMUSP00000078501.5 pep:known chromosome:GRCm38:11:116675303:116694868:-1 gene:ENSMUSG00000057286.5 transcript:ENSMUST00000079545.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac2 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:107553] MDLPRRWLFRMLLLVATSSGILLMLYSSAGQQSPETQVPARNMAYPRAFFDPKPPNSENR KSRLCQHSLSLAIQKDRRFRSLFDLSTPVLLWEGLFTQELWNNLSQHKVPYGWQGLSHEV IASTLRLLKSPESGELFGAPRKLPLSCIRCAVVGNGGILNGSRQGQKIDAHDYVFRLNGA ITEGFERDVGTKTSFYGFTVNTMKNSLISYAKLGFTSVPQGQNLRYIFIPSSIRDYLMLR SAILGVPVPEGPDKGDRPHTYFGPETSASKFKLLHPDFISYLTERFLKSKLINTRFGDMY MPSTGALMLLTALHTCDQVSAYGFITNNYQKYSDHYFEREKKPLIFYANHDLSLEASLWR DLHNAGILWLYQR >ENSMUSP00000146687.1 pep:known chromosome:GRCm38:7:81571266:81591848:1 gene:ENSMUSG00000045795.10 transcript:ENSMUST00000207123.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Whamm description:WAS protein homolog associated with actin, golgi membranes and microtubules [Source:MGI Symbol;Acc:MGI:2142282] MDSEQPDSLDGWVPLREDLFPEPERHQLRFLVAWNAAKGQFAVTCHDRTAQRRRRERREA GDGGCSWAGVLSPAGFRGAHRQLAALWPALEPCFPPLPPELDAASGAGWGLGRGLWALLW PLLWPAPADPGDSALQELCRQLEHYLGLAAEGCGGATVRDVLFPAPGDSADCEGLSEFRE RTLRARLGQTATRLHQVLQDHGKANTMVALMKVYQEEDELYQELVTMATTFFQYLLQPFR DMREVATS >ENSMUSP00000128881.1 pep:known chromosome:GRCm38:7:81571292:81596836:1 gene:ENSMUSG00000045795.10 transcript:ENSMUST00000165460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whamm description:WAS protein homolog associated with actin, golgi membranes and microtubules [Source:MGI Symbol;Acc:MGI:2142282] MDSEQPDSLDGWVPLREDLFPEPERHQLRFLVAWNAAKGQFAVTCHDRTAQRRRRERREA GDGGCSWAGVLSPAGFRGAHRQLAALWPALEPCFPPLPPELDAASGAGWGLGRGLWALLW PLLWPAPADPGDSALQELCRQLEHYLGLAAEGCGGATVRDVLFPAPGDSADCEGLSEFRE RTLRARLGQTATRLHQVLQDHGKANTMVALMKVYQEEDELYQELVTMATTFFQYLLQPFR DMREVATSCKLGILKSLDEDELGPRRVAALQKEASEWTRQAEEAVGSIQDITVNYFKETV TALTGMQKQMEQDQKRFGQAAWATAMPRLENLKLMLARETLQLMRAKELCLKHRQAEIQR KVEDLPRQGKQLDVVDELEIQCYEIQLELYDVKLEMLRNEETILVTRLDSVKRLITEKQA EVIYYDPCESPEELQSLAPDLELHLGDNRELRALSQQCQRLEAQRGRICSRRALLRNRKD HCRENHQLRLQQAKQSLRHLHQHHSIQMKRDKVKEEEQKKKEWIDHERQKTLERLRAYKE KCPAHRSALKTTCSESMVSNLPGGRSQKRLSTAHHHKTAHPASSKTGSAVPLPEASVRPP EHQDPCGSVPVQAFVPVSDQTLSGSSEDLSLPPQPPAPPLPPPPPPPPPPPLPPALSSFQ GTTHQNLGLRTLATEDRPLPLACDPSAGRPCDYQGPGSMDEVLASLRQGKASLRKVETPT LPHPGTSVNEQVLAAIRQGVQLKKVHTGQGVDPGKKSTSDLERSIREALERIKKVSADSE EDNDEPSPTEWDR >ENSMUSP00000146854.1 pep:known chromosome:GRCm38:7:81571944:81596477:1 gene:ENSMUSG00000045795.10 transcript:ENSMUST00000209044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whamm description:WAS protein homolog associated with actin, golgi membranes and microtubules [Source:MGI Symbol;Acc:MGI:2142282] MVALMKVYQEEDELYQELVTMATTFFQYLLQPFRDMREVATSCKLGILKSLDEDELGPRR VAALQKEASEWTRQAEEAVGSIQDITVNYFKETVTALTGMQKQMEQDQKRFGQAAWATAM PRLENLKLMLARETLQLMRAKELCLKHRQAEIQRKVEDLPRQGKQLDVVDELEIQCYEIQ LELYDVKLEMLRNEETILVTRLDSVKRLITEKQAEVIYYDPCESPEELQSLAPDLELHLG DNRELRALSQQCQRLEAQRGRICSRRALLRNRKDHCRENHQLRLQQAKQSLRHLHQHHSI QMKRDKVKEEEQKKKEWIDHERQKTLERLRAYKEKCPAHRSALKTTCSESMVSNLPGTTH QNLGLRTLATEDRPLPLACDPSAGRPCDYQGPGSMDEVLASLRQGKASLRKVETPTLPHP GTSVNEQVLAAIRQGVQLKKVHTGQGVDPGKKSTSDLERSIREALERIKKVSADSEEDND EPSPTEWDR >ENSMUSP00000015486.6 pep:known chromosome:GRCm38:X:9272756:9313250:1 gene:ENSMUSG00000015342.6 transcript:ENSMUST00000015486.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xk description:Kell blood group precursor (McLeod phenotype) homolog [Source:MGI Symbol;Acc:MGI:103569] MKFPASVIASVFLFVAETAAALYLSSTYRSAGDRMWQVLTLLFSLMPCALVQFTLLFVHR DLSRDRPLALLMHLLQLGPLYRCCEVFCIYCQSDQNEEPYVSITKKRQMPKDGLSEEVEK EVGQAEGKLITHRSAFSRASVIQAFLGSAPQLTLQLYITVLEQNITTGRCFIMTLSLLSI VYGALRCNILAIKIKYDEYEVKVKPLAYVCIFLWRSFEIATRVIVLVLFTSVLKIWVVAV ILVNFFSFFLYPWIVFWCSGSPFPENIEKALSRVGTTIVLCFLTLLYAGINMFCWSAVQL KIDNPELISKSQNWYRLLIYYMTRFIENSVLLLLWYFFKTDIYMYVCAPLLILQLLIGYC TGILFMLVFYQFFHPCKKLFSSSVSESFRALLRCACWSSLRRKSSEPVGRIDTDLKACTE QDVMPTTSKVIPEATDIWTAVDLCSA >ENSMUSP00000063976.7 pep:known chromosome:GRCm38:17:27839974:27851964:1 gene:ENSMUSG00000024217.9 transcript:ENSMUST00000071006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpc description:U1 small nuclear ribonucleoprotein C [Source:MGI Symbol;Acc:MGI:109489] MPKFYCDYCDTYLTHDSPSVRKTHCSGRKHKENVKDYYQKWMEEQAQSLIDKTTAAFQQG KIPPAPFSAPPPAGAMIPPPPSLPGPPRPGMMPAPHMGGPPMMPMMGPPPPGMMPVGPAP GMRPPMGGHMPMMPGPPMMRPPARPMMVPTRPGMTRPDR >ENSMUSP00000052722.3 pep:known chromosome:GRCm38:10:129526229:129527167:1 gene:ENSMUSG00000047626.3 transcript:ENSMUST00000057477.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr791 description:olfactory receptor 791 [Source:MGI Symbol;Acc:MGI:3030625] MRNHSSITTFILLGLTDDPQLQVLLFIFLFLTYMLSVTGNLIIIILTLVDPHLKTPMYFF LRNFSFLEVSFTTVCIPRFLYSISSGDNTITYNACASQIFFVILFGATEFFLLAAMSYDR YVAICKPLHYMTIMNPRVCILLVITCWVSGLMIITPPLILGLQLDFCDSNAIDHFSCDAG PLLKISCSDTWVIEQMVILVAVFALIITLICVILSYTYIIRTILRFPSAQQRKKAFSTCS SHMIVVSITYGSCIFIYIKPSAKDEVAINKGVSVLTTSVAPLLNPFIYTLRNKQVKQAFS DSVKRITFISKS >ENSMUSP00000145462.1 pep:known chromosome:GRCm38:6:51857422:52012549:-1 gene:ENSMUSG00000059182.7 transcript:ENSMUST00000204778.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skap2 description:src family associated phosphoprotein 2 [Source:MGI Symbol;Acc:MGI:1889206] MPNPSCTSSPGPLPEEIRNLLADVETFVADTLKGENLSKKAKEKRESLIKKIKDVKSVYL QEFQDKGDAEDGDEYDDPFAGPADTISLASERYDKDDDGPSDGNQFPPIAAQDLPFVIKA GYLEKRRKDHSFLGFEWQKRWCALSKTVFYYYGSDKDKQQKGEFAIDGYDVRMNNTLRKD GKKDCCFEICAPDKRIYQFTAASPKDAEEWVQQLKFILQDLGSDVIPEDDEERGELYDDV DHPAAVSSPQRSQPIDDEIYEELPEEEEDTASVKMDEQGKGSRDSVHHTSGDKSTDYANF YQGLWDCTGALSDELSFKRGDVIYILSKEYNRYGWWVGEMKGAIGLVPKAYLMEMYDI >ENSMUSP00000077342.6 pep:known chromosome:GRCm38:6:51859166:52012515:-1 gene:ENSMUSG00000059182.7 transcript:ENSMUST00000078214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skap2 description:src family associated phosphoprotein 2 [Source:MGI Symbol;Acc:MGI:1889206] MPNPSCTSSPGPLPEEIRNLLADTLKGENLSKKAKEKRESLIKKIKDVKSVYLQEFQDKG DAEDGDEYDDPFAGPADTISLASERYDKDDDGPSDGNQFPPIAAQDLPFVIKAGYLEKRR KDHSFLGFEWQKRWCALSKTVFYYYGSDKDKQQKGEFAIDGYDVRMNNTLRKDGKKDCCF EICAPDKRIYQFTAASPKDAEEWVQQLKFILQDLGSDVIPEDDEERGELYDDVDHPAAVS SPQRSQPIDDEIYEELPEEEEDTASVKMDEQGKGSRDSVHHTSGDKSTDYANFYQGLWDC TGALSDELSFKRGDVIYILSKEYNRYGWWVGEMKGAIGLVPKAYLMEMYDI >ENSMUSP00000145275.1 pep:known chromosome:GRCm38:6:51860056:51909380:-1 gene:ENSMUSG00000059182.7 transcript:ENSMUST00000203948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skap2 description:src family associated phosphoprotein 2 [Source:MGI Symbol;Acc:MGI:1889206] RMNNTLRKDGKKDCCFEICAPDKRIYQFTAASPKDAEEWVQQLKFILQEEEEDTASVKMD EQGKGSRDSVHHTSGDKSTDYANFYQGLWDCTGALSDELSFKRGDVIYILSKEYNRYGWW VGEMKGA >ENSMUSP00000073605.3 pep:known chromosome:GRCm38:X:9283764:9284298:1 gene:ENSMUSG00000100200.1 transcript:ENSMUST00000073949.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700012L04Rik description:RIKEN cDNA 1700012L04 gene [Source:MGI Symbol;Acc:MGI:1923633] MAKKMQRRRRQKRTRSQRGELPLSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAHTTGRKRVTPEDVRLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000095515.2 pep:known chromosome:GRCm38:4:121316316:121324917:-1 gene:ENSMUSG00000073764.2 transcript:ENSMUST00000097905.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12888 description:predicted gene 12888 [Source:MGI Symbol;Acc:MGI:3652130] MVLPCSLWLLSVCLLSWCCDAELPVALEPESESVPQHPLHKTTVDILMIVVSMKTSNETP KSGTYGQSLQDGKNKIKDVENEVLDVCSQVCQSLAQNPKLQHGYIVRAYFKEHQLLCCS >ENSMUSP00000024543.2 pep:known chromosome:GRCm38:11:69120404:69124055:1 gene:ENSMUSG00000023781.2 transcript:ENSMUST00000024543.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hes7 description:hairy and enhancer of split 7 (Drosophila) [Source:MGI Symbol;Acc:MGI:2135679] MVTRERAENRDGPKMLKPLVEKRRRDRINRSLEELRLLLLERTRDQNLRNPKLEKAEILE FAVGYLRERSRVEPPGVPRSPGQDAEALASCYLSGFRECLLRLAAFAHDASPAARSQLFS ALHGYRRPKPPRPEAVDPGLPAPRPPLDPASPILGPALHQRPPVHQGPPSPRLAWSPSHC SSRAGDSGAPAPLTGLLPPPPPPYRQDGAPKAPSLPPPAFWRPWP >ENSMUSP00000142954.1 pep:known chromosome:GRCm38:3:145988653:146021720:1 gene:ENSMUSG00000036863.11 transcript:ENSMUST00000200546.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syde2 description:synapse defective 1, Rho GTPase, homolog 2 (C. elegans) [Source:MGI Symbol;Acc:MGI:3036264] MDGWSPGSHRAATSAALLPPICHDPSGSSGRPFKDPVGTSVISSSQEDHPEDLPLKPPAV TVKKLQKWMYKGRLLSLGMKGRDRGTAPKVPGAQTTSPNLGSWKVHENHVLSVTTDQRIT LTDLFENVYGSPLKKREPEDVRGPAELRGHQPLNNITVSKKRNWLYQSTLRSQSLDESKR SQDRRYFSLSPVSPPKHWVSHRPHCTHAVCNAARATLSRNGSSAFSEDNDADDEGEIWYN PIPEDDSLGIAHVLSLEEANTAALKLPVSMLSARDLMKAEPPSEDRGDVQTSQSNGINSA DSMHSTEIMQHCKQRLGHRTQESPTAEDSPVLKSASTGPGIIVSTNRTELRAMEPSSPSP SPGKKGSSITWSLPDKIKSPRTVRKLSMKMKRLPEFSRKLGARGALHYVNNPDSTPPLSK WNCREIPQGVTLTSGNTTRNVISRYHLDTTVSSRHSYRKKPLGSSKYSCKGGYLSDGDSP ELRTRSSKHGSEHKLGKGRETVPSSCSKNELDIGAFRHYSFADQPKCSQYISGLMSVHFY GAEGLKPPRIDSKDVFCAIQVDSVNKARTALLTCRTTFLDMDHTFNIEIENAQHLKLVVF SWEPTPRRNRVCCHGTVVLPALFRVTKTHQLAVKLEPRGLIYVKVTLMEQWENSLHGLDK NREAVMFGVDIQKVVEKENVGLMVPLLIQKCIVEIEKRGCQVVGLYRLCGSAAVKKELRE AFEKDSKTVGLCENQYPDINVITGVLKDYLRELPSPLITKQLYEAVLDAMAKSPLKMSSS GCENEPSDSRLTVDLLDCLPDVEKATLKMLLDHLKLVASYHEVNKMTCQNLAVCFGPVLL NQRQEASTHNNRVFTDSEELASALDFKKHIEVLHYLLQLWPVQRLTVKEPRDSLCLEQSS SLNYLRRKKERPCVLNLSGPDSSGVLRTRQARLDSPLSNRYAGDWSSCGESYSLNTRGNV KNLDYDDGPLEGGESRQYSKTAGAEVMTAQRIPMSGGCTFQTYLTVQTIESTVDQKANLR DLQESIDTLIGNLERELNKNKLNMSV >ENSMUSP00000041897.6 pep:known chromosome:GRCm38:3:145987870:146021720:1 gene:ENSMUSG00000036863.11 transcript:ENSMUST00000039517.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syde2 description:synapse defective 1, Rho GTPase, homolog 2 (C. elegans) [Source:MGI Symbol;Acc:MGI:3036264] MAEPLRRTLGRLRERHAPGALGLHADSVAASLSEGRSPPHELAGPGMERPAWGTEQPGAR VPWSRGAQEAAALGRALARASPGAWGSGDDDGEDEGADYYENLPAGCAPEGAEALRASPS RAPGSSPGMEGGRLETGRLQTQLREAYYLLIQAMHDLPPDSGTRRGGPAGARAQGQQPPL PRGAAVRPACSLRGGDRPPQQVSPPRSPPTESREGRPPSPRIQLSSCRSLESLRVSRRPP LLQRWASDSCIRCGAHRDRDEPQPRGGGMDGWSPGSHRAATSAALLPPICHDPSGSSGRP FKDPVGTSVISSSQEDHPEDLPLKPPAVTVKKLQKWMYKGRLLSLGMKGRDRGTAPKVPG AQTTSPNLGSWKVHENHVLSVTTDQRITLTDLFENVYGSPLKKREPEDVRGPAELRGHQP LNNITVSKKRNWLYQSTLRSQSLDESKRSQDRRYFSLSPVSPPKHWVSHRPHCTHAVCNA ARATLSRNGSSAFSEDNDADDEGEIWYNPIPEDDSLGIAHVLSLEEANTAALKLPVSMLS ARDLMKAEPPSEDRGDVQTSQSNGINSADSMHSTEIMQHCKQRLGHRTQESPTAEDSPVL KSASTGPGIIVSTNRTELRAMEPSSPSPSPGKKGSSITWSLPDKIKSPRTVRKLSMKMKR LPEFSRKLGARGALHYVNNPDSTPPLSKWNCREIPQGVTLTSGNTTRNVISRYHLDTTVS SRHSYRKKPLGSSKYSCKGGYLSDGDSPELRTRSSKHGSEHKLGKGRETVPSSCSKNELD IGAFRHYSFADQPKCSQYISGLMSVHFYGAEGLKPPRIDSKDVFCAIQVDSVNKARTALL TCRTTFLDMDHTFNIEIENAQHLKLVVFSWEPTPRRNRVCCHGTVVLPALFRVTKTHQLA VKLEPRGLIYVKVTLMEQWENSLHGLDKNREAVMFGVDIQKVVEKENVGLMVPLLIQKCI VEIEKRGCQVVGLYRLCGSAAVKKELREAFEKDSKTVGLCENQYPDINVITGVLKDYLRE LPSPLITKQLYEAVLDAMAKSPLKMSSSGCENEPSDSRLTVDLLDCLPDVEKATLKMLLD HLKLVASYHEVNKMTCQNLAVCFGPVLLNQRQEASTHNNRVFTDSEELASALDFKKHIEV LHYLLQLWPVQRLTVKEPRDSLCLEQSSSLNYLRRKKERPCVLNLSGPDSSGVLRTRQAR LDSPLSNRYAGDWSSCGESYSLNTRGNVKNLDYDDGPLEGGESRQYSKTAGAEVMTAQRI PMSGGCTFQTYLTVQTIESTVDQKANLRDLQESIDTLIGNLERELNKNKLNMSV >ENSMUSP00000051864.6 pep:known chromosome:GRCm38:5:24384181:24392143:-1 gene:ENSMUSG00000038295.14 transcript:ENSMUST00000059401.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg9b description:autophagy related 9B [Source:MGI Symbol;Acc:MGI:2685420] MVRRTGWGGSRRQRGRWGDLGPSSVPLLPMALPLPASPCRGTGGRRISVFSLSPAPRTRS CSSSVFPPASGSPCLVIQEAGASQTPHNVLPTPTTPSTQAHPTMIHTSASPSWGSHSTPP LASATPPPSCPRPQDHPGLRMGPLIPEQDYERLEDCDPEGSQDSPIHGEDHQPLLHVPEG LRGSWHHIQNLDSFFTKIYSYHQRNGFACILLEDVFQLGQFIFIVTFTTFLLRCVDYNVL FNNQPKNHTRRGPLHSKVTLSDAILPSAQCAEKIHDSPLLVFLLVLAAGFWLFQLLRSVC NLFSYWDIQVFYREALHIPPEELSSVPWAEVQSRLLELQRSGGLCVQPRPLTELDVHHRI LRYTNYQVALANKGLLPARCPLPWGSSAAFLSRGLALNVDLLLFRGPFSLFRGGWELPEA YKRSDLRGVLANRWRRTVLLLAAVNLALSPLVLAWQVLHAFYSHVELLRREPGAFGARRW SRLARLQLRHFNELPHELRARLGRAYRPAAAFLRAAEPPAPLRALLARQLVFFSGALFAA LLVLTIYDEDVLAVEHVLTTMTALGVTATVARSFIPEEQCQGRSSQLLLQAALAHMHYLP EEPGATGARASSYWQMAQLLQYRAVSLLEELLSPLLTPLFLLFWFRPRALEIIDFFHHFT VDVAGVGDICSFALMDVKRHGHPQWLSEGQTEASLSQRAEDGKTELSLMRFSLAHPQWQP PGHSSKFLGHLRGRVQQDAAAWGAPSTRSPPTPGVLSDCTSPLPEAFLANLLVNPRPPQR DLSPTAPCPAAATASLLASISRMVQDPSCVSPGGTGGQKLTQLPELVSAEMSLHAIYLHQ LHQQQQQELWGEASASSPSRPWSSPSQPGSPDEEKPSWSSDGSSPASSPRQQWGTQRAQN LFPKGFQENTDTQKEPLTGPLH >ENSMUSP00000138611.1 pep:known chromosome:GRCm38:9:121719169:121742718:1 gene:ENSMUSG00000032525.14 transcript:ENSMUST00000183070.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nktr description:natural killer tumor recognition sequence [Source:MGI Symbol;Acc:MGI:97346] MGAQDRPQCHFDIEINREPVGRIMFQLFSDICPKTCKNFLCLCSGEKGLGKTTGKKLCYK GSTFHRVVKNFMIQGGDFSEGNGKGGESIYGGYFKENVVFCKMKR >ENSMUSP00000035112.5 pep:known chromosome:GRCm38:9:121719176:121756843:1 gene:ENSMUSG00000032525.14 transcript:ENSMUST00000035112.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nktr description:natural killer tumor recognition sequence [Source:MGI Symbol;Acc:MGI:97346] MGAQDRPQCHFDIEINREPVGRIMFQLFSDICPKTCKNFLCLCSGEKGLGKTTGKKLCYK GSTFHRVVKNFMIQGGDFSEGNGKGGESIYGGYFKDENFILKHDRAFLLSMANRGKHTNG SQFFITTKPAPHLDGVHVVFGLVISGFEVIEQIENLKTDAASRPYADVRVIDCGVLATKL TKDVFEKKRKKPTCSEGSDSSSRSSSSSESSSESEVERETIRRRRHKRRPKVRHAKKRRK EMSSSEEPRRKRTVSPEGYSERSDVNEKRSVDSNTKREKPVVRPEEIPPVPENRFLLRRD MPAITVEPEQNIPDVAPVVSDQKPSVSKSGRKIKGRGTIRYHTPPRSRSHSESKDDDSSE TPPHWKEEMQRLRAYRPPSGEKWSKGDKLSDPCSSRWDERSLSQRSRSWSYNGYYSDLST ARHSDGHHKKHRKEKKFKHKKKAKKQKHCRRHRQTKKRRIVMPDLEPSRSPTHRMKSSCV RERRSRASSSSSHHSSKRDWSKSDQDDGSASTHSSRDSYRSKSHSRSDSRGSSRSRAVSK SSSRSLNRSKSRSSSRSGPRRTSISPKKPAQLSENKPVKTEPLRPSVPQNGNVLVQPVAA ENIPVIPLSDSPPPSRWKPGQKPWKPSYERIQEMKAKTTHLLPVQSTYSLTNIKATVSSS SYHKREKPSESDGSAYSKYSDRSSGSSGRSGSKSSRSRSSSRSYTRSRSRSLPTSRSLSR SPSSRSHSPNKYSDGSQHSRSSSYTSVSSDDGRRAMFRSNRKKSVTSHKRHRSNSEKTLH SKYVRGREKSSRHRKYSESRSSLDYSSDSDQSHVQVYSAPEKEKQGKVEALNDKQGKGRE EGKPKPEWECPRSKKRTPKDHSRDDSVSKGKNCAGSKWDSESNSEQDVTKSRKSDPRRGS EKEEGEASSDSESEVGQSHIKAKPPAKPPTSTFLPGSDGAWKSRRPQSSASESESSCSNL GNIRGEPQKQKHSKDDLKGDHTKRAREKSKAKKDKKHKAPKRKQAFHWQPPLEFGDDEEE EMNGKQVTQDPKEKRHVSEKCEAVKDGIPNVEKTCDEGSSPSKPKKGTLEQDPLAEGGHD PSSCPAPLKVEDNTASSPPSAQHLEEHGPGGGEDVLQTDDNMEICTPDRTSPAKGEVVSP LANHRLDSPEVNIIPEQDECMAHPRAGGEQESSMSESKTLGESGVKQDSSTSVTSPVETS GKKEGAEKSQMNLTDKWKPLQGVGNLSVSTATTSSALDVKALSTVPEVKPQGLRIEIKSK NKVRPGSLFDEVRKTARLNRRPRNQESSSDDQTPSRDGDSQSRSPHRSRSKSETKSRHRT RSVSYSHSRSRSRSSTSSYRSRSYSRSRSRDWYSRGRTRSRSSSYGSFHSHRTSSRSRSR SSSYDLHSRSRSYTYDSYYSRSRSRSRSQRSDSYHRGRSYNRRSRSGRSYGSDSESDRSY SHHRSPSESSRYS >ENSMUSP00000138272.1 pep:known chromosome:GRCm38:9:121719178:121742636:1 gene:ENSMUSG00000032525.14 transcript:ENSMUST00000182763.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nktr description:natural killer tumor recognition sequence [Source:MGI Symbol;Acc:MGI:97346] MGAQDRPQCHFDIEINREPVGRIMFQLFSDICPKTCKNFLCLCSGNGKGGESIYGGYFKE NVVFCKMKR >ENSMUSP00000138690.1 pep:known chromosome:GRCm38:9:121719215:121741152:1 gene:ENSMUSG00000032525.14 transcript:ENSMUST00000182311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nktr description:natural killer tumor recognition sequence [Source:MGI Symbol;Acc:MGI:97346] MGAQDRPQCHFDIEINREPVGRIMFQLFSDICPKTCKNFLCLCSGNGKGGESIYGGYFKD ENFILKHDRAFLLSMANRGKHTNGSQFFITTKPAPHLDG >ENSMUSP00000138437.1 pep:known chromosome:GRCm38:9:121719410:121748193:1 gene:ENSMUSG00000032525.14 transcript:ENSMUST00000182179.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nktr description:natural killer tumor recognition sequence [Source:MGI Symbol;Acc:MGI:97346] MGAQDRPQCHFDIEINREPVGRIMFQLFSDICPKTCKNFLCLCSGEKGLGKTTGKKLCYK GSTFHRVVKNFMIQGGDFSEGNGKGGESIYGGYFKENVVFCKMKR >ENSMUSP00000138168.1 pep:known chromosome:GRCm38:9:121719444:121749840:1 gene:ENSMUSG00000032525.14 transcript:ENSMUST00000182225.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nktr description:natural killer tumor recognition sequence [Source:MGI Symbol;Acc:MGI:97346] MGAQDRPQCHFDIEINREPVGRIMFQLFSDICPKTCKNFLCLCSGEKGLGKTTGKKLCYK GSTFHRVVKNFMIQGGDFSEGNGKGGESIYGGYFKDENFILKHDRAFLLSMANRGKHTNG SQFFITTKPAPHLDGVHVVFGLVISGFEVIEQIENLKTDAASRPYADVRVIDCGVLATKL TKDESSSESEVERETIRRRRHKRRPKVRHAKKRRKEMSSSEEPRRKRTVSPEGYSERSDV NEKRSVDSNTKREKPVVRPEEIPPVPENRFLLRRDMPAITVEPEQNIPDVAPVVSDQKPS VSKSGRKIKGRGTIRYHTPPRSRSHSESKDDDSSETPPHWKEEMQRLRAYRPPSGEKWSK GDKLSDPCSSRWDERSLSQRSRSWSYNGYYSDLSTARHSDGHHKKHRKEKKFKHKKKAKK QKHCRRHRQTKKRRIVMPDLEPSRSPTHRMKSSCVRERRSRASSSSSHHSSKRDWSKSDQ DDGSASTHSSRDSYRSKSHSRSDSRGSSRSRAVSKSSSRSLNRSKSRSSSRSGPRRTSIS PKKPAQLSENKPVKTEPLRPSVPQNGNVLVQPVAAENIPVIPLSDSPPPSRWKPGQKPWK PSYERIQEMKAKTTHLLPVQSTYSLTNIKATVSSSSYHKREKPSESDGSAYSKYSDRSSG SSGRSGSKSSRSRSSSRSYTRSRSRSLPTSRSLSRSPSSRSHSPNKYSDGSQHSRSSSYT SVSSDDGRRAMFRSNRKKSVTSHKRHRSNSEKTLHSKYVRGREKSSRHRKYSESRSSLDY SSDSDQSHVQVYSAPEKEKQGKVEALNDKQGKGREEGKPKPEWECPRSKKRTPKDHSRDD SVSKGKNCAGSKWDSESNSEQDVTKSRKSDPRRGSEKEEGEASSDSESEVGQSHIKAKPP AKPPTSTFLPGSDGAWKSRRPQSSASESESSCSNLGNIRGEPQKQKHSKDDLKGDHTKRA REKSKA >ENSMUSP00000138164.1 pep:known chromosome:GRCm38:9:121719827:121731515:1 gene:ENSMUSG00000032525.14 transcript:ENSMUST00000182337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nktr description:natural killer tumor recognition sequence [Source:MGI Symbol;Acc:MGI:97346] MRGSQPTGILTGVGRIMFQLFSDICPKTCKNFLCLCSGEKGLGKTTGKKLCYKGSTFHRV VKNFMIQGGDFSEGNGKGGESIYGGYFKDENFILKHDRAFL >ENSMUSP00000138463.1 pep:known chromosome:GRCm38:9:121750786:121754396:1 gene:ENSMUSG00000032525.14 transcript:ENSMUST00000182503.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nktr description:natural killer tumor recognition sequence [Source:MGI Symbol;Acc:MGI:97346] RSRSKSETKSRHRTRSVSYSHSRSRSRSSTSSYRSRSYSRSRSRDWYSRGRTRSRSSSYG SFHSHRTSSRSRSRSSSYDLHSRSRKEINISLFNMILHLR >ENSMUSP00000138587.1 pep:known chromosome:GRCm38:9:121751667:121754857:1 gene:ENSMUSG00000032525.14 transcript:ENSMUST00000182713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nktr description:natural killer tumor recognition sequence [Source:MGI Symbol;Acc:MGI:97346] XSRSYSRSRSRDWYSRGRTRSRSSSYGSFHSHRSYTYDSYYSRSRSRSRSQRSDSYHRGR SYNRRSRSGRSYGSDSESDRSYSHHRSPSESSRYS >ENSMUSP00000007449.7 pep:known chromosome:GRCm38:6:34412334:34423142:1 gene:ENSMUSG00000052131.7 transcript:ENSMUST00000007449.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1b7 description:aldo-keto reductase family 1, member B7 [Source:MGI Symbol;Acc:MGI:101918] MATFVELSTKAKMPLVGLGTWKSSPGQVKEAVKAAIDAGYRHIDCAYVYHNENEVGEAIQ EKIKENAVKREDLFIVSKLWATFFEKSLVKKAFQNTLSDLKLDYLDLYLVHWPQGFQAGN ALLPKDNKGKVLLSKSTFLDAWEAMEELVDQGLVKALGISNFNHFQIERLLNKPGLKHKP VTNQIESHPYLTQEKLIQYCQSKGIAVTAYSPLGSPDRPYAKPEDPVVMEIPKIKEIAAK HKKTVAQVLIRFHVQRNVVVIPKSVTPSRIQENLQVFDFQLSEEDMAAILSFNRNWRACD LLDARTEEDYPFHEEY >ENSMUSP00000033744.5 pep:known chromosome:GRCm38:X:73503086:73558214:1 gene:ENSMUSG00000031376.15 transcript:ENSMUST00000033744.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b3 description:ATPase, Ca++ transporting, plasma membrane 3 [Source:MGI Symbol;Acc:MGI:1347353] MGDMANSSIEFHPKPQQQREVPHVGGFGCTLAELRSLMELRGAEALQKIQEAYGDVSGLC RRLKTSPTEGLADNTNDLEKRRQIYGQNFIPPKQPKTFLQLVWEALQDVTLIILEVAAIV SLGLSFYAPPGEESEACGNVSGGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEK QFRGLQSRIEQEQKFTVIRNGQLLQVPVAALVVGDIAQVKYGDLLPADGVLIQGNDLKID ESSLTGESDHVRKSADKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEE KKDKKGKQQDGAMDSSQTRAKKQDGAVAMEMQPLKSAEGGEMEEREKKKANVPKKEKSVL QGKLTKLAVQIGKAGLVMSAITVIILVLYFVIETFVVDGRVWLAECTPVYVQYFVKFFII GVTVLVVAVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTT NRMTVVQSYLGDTHYKEIPAPSALTPKILDLLVHAISINSAYTTKILPPEKEGALPRQVG NKTECALLGFVLDLKRDFQPVREQIPEDQLYKVYTFNSVRKSMSTVIRMPDGGFRLFSKG ASEILLKKCTNILNSNGELRGFRPRDRDDMVKKIIEPMACDGLRTICIAYRDFSAIQEPD WDNENEVVGDLTCIAVVGIEDPVRPEVPEAIRKCQRAGITVRMVTGDNINTARAIAAKCG IIQPGEDFLCLEGKEFNRRIRNEKGEIEQERLDKVWPKLRVLARSSPTDKHTLVKGIIDS TTGEQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVM WGRNVYDSISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALA TEPPTESLLLRKPYGRDKPLISRTMMKNILGHAVYQLTIIFTLLFVGELFFDIDSGRNAP LHSPPSEHYTIIFNTFVMMQLFNEINARKIHGERNVFDGIFSNPIFCTIVLGTFGIQIVI VQFGGKPFSCSPLSTEQWLWCLFVGVGELVWGQVIATIPTSQLKCLKEAGHGPGKDEMTD EELAEGEEEIDHAERELRRGQILWFRGLNRIQTQATGPISGSCEPQNCSPDFGGLYNIFC CIVT >ENSMUSP00000085775.1 pep:known chromosome:GRCm38:X:73503086:73571005:1 gene:ENSMUSG00000031376.15 transcript:ENSMUST00000088429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b3 description:ATPase, Ca++ transporting, plasma membrane 3 [Source:MGI Symbol;Acc:MGI:1347353] MGDMANSSIEFHPKPQQQREVPHVGGFGCTLAELRSLMELRGAEALQKIQEAYGDVSGLC RRLKTSPTEGLADNTNDLEKRRQIYGQNFIPPKQPKTFLQLVWEALQDVTLIILEVAAIV SLGLSFYAPPGEESEACGNVSGGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEK QFRGLQSRIEQEQKFTVIRNGQLLQVPVAALVVGDIAQVKYGDLLPADGVLIQGNDLKID ESSLTGESDHVRKSADKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEE KKDKKGKQQDGAMDSSQTRAKKQDGAVAMEMQPLKSAEGGEMEEREKKKANVPKKEKSVL QGKLTKLAVQIGKAGLVMSAITVIILVLYFVIETFVVDGRVWLAECTPVYVQYFVKFFII GVTVLVVAVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTT NRMTVVQSYLGDTHYKEIPAPSALTPKILDLLVHAISINSAYTTKILPPEKEGALPRQVG NKTECALLGFVLDLKRDFQPVREQIPEDQLYKVYTFNSVRKSMSTVIRMPDGGFRLFSKG ASEILLKKCTNILNSNGELRGFRPRDRDDMVKKIIEPMACDGLRTICIAYRDFSAIQEPD WDNENEVVGDLTCIAVVGIEDPVRPEVPEAIRKCQRAGITVRMVTGDNINTARAIAAKCG IIQPGEDFLCLEGKEFNRRIRNEKGEIEQERLDKVWPKLRVLARSSPTDKHTLVKGIIDS TTGEQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVM WGRNVYDSISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALA TEPPTESLLLRKPYGRDKPLISRTMMKNILGHAVYQLTIIFTLLFVGELFFDIDSGRNAP LHSPPSEHYTIIFNTFVMMQLFNEINARKIHGERNVFDGIFSNPIFCTIVLGTFGIQIVI VQFGGKPFSCSPLSTEQWLWCLFVGVGELVWGQVIATIPTSQLKCLKEAGHGPGKDEMTD EELAEGEEEIDHAERELRRGQILWFRGLNRIQTQIRVVKAFRSSLYEGLEKPESKSCIHN FMATPEFLINDYTHNIPLIDDTDVDENEERLRAPPPPPPNQNNNAIDSGIYLTTHATKSA TSSAFSSRPGSPLHSMETSL >ENSMUSP00000110123.1 pep:known chromosome:GRCm38:X:73503086:73571005:1 gene:ENSMUSG00000031376.15 transcript:ENSMUST00000114479.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b3 description:ATPase, Ca++ transporting, plasma membrane 3 [Source:MGI Symbol;Acc:MGI:1347353] MGDMANSSIEFHPKPQQQREVPHVGGFGCTLAELRSLMELRGAEALQKIQEAYGDVSGLC RRLKTSPTEGLADNTNDLEKRRQIYGQNFIPPKQPKTFLQLVWEALQDVTLIILEVAAIV SLGLSFYAPPGEESEACGNVSGGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEK QFRGLQSRIEQEQKFTVIRNGQLLQVPVAALVVGDIAQVKYGDLLPADGVLIQGNDLKID ESSLTGESDHVRKSADKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEE KKDKKGKQQDGAMDSSQTRAKKQDGAVAMEMQPLKSAEGGEMEEREKKKANVPKKEKSVL QGKLTKLAVQIGKAGLVMSAITVIILVLYFVIETFVVDGRVWLAECTPVYVQYFVKFFII GVTVLVVAVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTT NRMTVVQSYLGDTHYKEIPAPSALTPKILDLLVHAISINSAYTTKILPPEKEGALPRQVG NKTECALLGFVLDLKRDFQPVREQIPEDQLYKVYTFNSVRKSMSTVIRMPDGGFRLFSKG ASEILLKKCTNILNSNGELRGFRPRDRDDMVKKIIEPMACDGLRTICIAYRDFSAIQEPD WDNENEVVGDLTCIAVVGIEDPVRPEVPEAIRKCQRAGITVRMVTGDNINTARAIAAKCG IIQPGEDFLCLEGKEFNRRIRNEKGEIEQERLDKVWPKLRVLARSSPTDKHTLVKGIIDS TTGEQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVM WGRNVYDSISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALA TEPPTESLLLRKPYGRDKPLISRTMMKNILGHAVYQLTIIFTLLFVGELFFDIDSGRNAP LHSPPSEHYTIIFNTFVMMQLFNEINARKIHGERNVFDGIFSNPIFCTIVLGTFGIQIVI VQFGGKPFSCSPLSTEQWLWCLFVGVGELVWGQVIATIPTSQLKCLKEAGHGPGKDEMTD EELAEGEEEIDHAERELRRGQILWFRGLNRIQTQMEVVSTFKRSGSFQGAVRRRSSVLSQ LHDVTNLSTPTHVTLSAAKPPSAAGNPGGESIP >ENSMUSP00000069122.8 pep:known chromosome:GRCm38:7:13042303:13054764:-1 gene:ENSMUSG00000030380.16 transcript:ENSMUST00000069289.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mzf1 description:myeloid zinc finger 1 [Source:MGI Symbol;Acc:MGI:107457] MRPTVLGSSGCAPPENEPALVKLEDSDSDDEREDILWDPGLEAARLRFRCFRYEDAIGPQ EALAQLRELCHQWLRPEVHSKEQVLELLVLEQFLGALPPEIQAQVQGQWPGSPEEAAALV DRLRWELDGPRKWVTVQVQGKEVLSEKMEPSSFQPRPHIKLQTPDPGAETPQGAMQELPL NLPMKEETELLGNPELLESGPLPAAQEAAATLLPKETQGHGTTLDQTSPHSDTEPDVPPW SEDPMPLWHEEVGGIFSPAPTYQHTIICNSSVRRSSLLVWPSQALKPPGRTRVYAADGQH HGRARYDEPPPPCPLGSGHG >ENSMUSP00000138387.1 pep:known chromosome:GRCm38:7:13042361:13054665:-1 gene:ENSMUSG00000030380.16 transcript:ENSMUST00000182515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mzf1 description:myeloid zinc finger 1 [Source:MGI Symbol;Acc:MGI:107457] MRPTVLGSSGCAPPENEPALVKLEDSDSDDEREDILWDPGLEAARLRFRCFRYEDAIGPQ EALAQLRELCHQWLRPEVHSKEQVLELLVLEQFLGALPPEIQAQVQGQWPGSPEEAAALV DRLRWELDGPRKWVTVQVQGKEVLSEKMEPSSFQPRPHIKLQTPDPGAETPQGAMQELPL NLPMKEETELLGNPELLESGPLPAAQEAAATLLPKETQGHGTTLDQTSPHSDTEPDVPPW SEDPMPLWHEEVGGIFSPGFTLQMDSITAEPDMMSPHLHVPWDLDMASLSGQIQSPTTEG GFAHALVLPSDPGGEQDPACEDPCPSVGPALVATRWHAPRGRSQSHPRSGTGAMRGGRCD VCGKVFSQRSNLLRHQKIHTGERPFVCGECGRSFSRSSHLLRHQLTHTEERPFVCRDCGQ GFVRSARLEEHRRVHTGEQPFRCTECGQSFRQRSNLLQHQRIHGDPPGPAPSILPLAGVP EPPGPFPCSECCESFPRRAVLLEHQAVHTGDKSFGCVECGERFGRRSVLLQHRRVHSGER PFACAECGQSFRQRSNLTQHQRIHTGERPFACAECGKTFRQRPTLTQHLRVHTGEKPFAC PECGQRFSQRLKLTRHQRTHTGEKPYCCGECGLGFTQVSRLTEHQRIHTGERPFACPECG QSFRQHANLTQHRRIHTGERPYACPECGKAFRQRPTLTQHLRTHRHEKPFACQDCGRRFN QSTKLIQHQRVHSTE >ENSMUSP00000138271.1 pep:known chromosome:GRCm38:7:13043147:13053684:-1 gene:ENSMUSG00000030380.16 transcript:ENSMUST00000182490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mzf1 description:myeloid zinc finger 1 [Source:MGI Symbol;Acc:MGI:107457] MRSTKHMAHIEFPRISGVWLACQCLFPRVSSSWRRSRMKGHSMALSQHSLPLAVLITHAL YYSFPRDRHWPQRPDLVLLMRPTVLGSSGCAPPENEPALVKLEDSDSDDEREDILWDPGL EAARLRFRCFRYEDAIGPQEALAQLRELCHQWLRPEVHSKEQVLELLVLEQFLGALPPEI QAQVQGQWPGSPEEAAALVDRLRWELDGPRKWVTVQVQGKEVLSEKMEPSSFQPRPHIKL QTPDPGAETPQGAMQELPLNLPMKEETELLGNPELLESGPLPAAQEAAATLLPKETQGHG TTLDQTSPHSDTEPDVPPWSEDPMPLWHEEVGGIFSPGFTLQMDSITAEPDMMSPHLHVP WDLDMASLSGQIQSPTTEGGFAHALVLPSDPGGEQDPACEDPCPSVGPALVATRWHAPRG RSQSHPRSGTGAMRGGRCDVCGKVFSQRSNLLRHQKIHTGERPFVCGECGRSFSRSSHLL RHQLTHTEERPFVCRDCGQGFVRSARLEEHRRVHTGEQPFRCTECGQSFRQRSNLLQHQR IHGDPPGPAPSILPLAGVPEPPGPFPCSECCESFPRRAVLLEHQAVHTGDKSFGCVECGE RFGRRSVLLQHRRVHSGERPFACAECGQSFRQRSNLTQHQRIHTGERPFACAECGKTFRQ RPTLTQHLRVHTGEKPFACPECGQRFSQRLKLTRHQRTHTGEKPYCCGECGLGFTQVSRL TEHQRIHTGERPFACPECGQSFRQHANLTQHRRIHTGERPYACPECGKAFRQRPTLTQHL RTHRHEKPFACQDCGRRFNQSTKLIQHQRVHSTE >ENSMUSP00000138163.1 pep:known chromosome:GRCm38:7:13043169:13054514:-1 gene:ENSMUSG00000030380.16 transcript:ENSMUST00000182087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mzf1 description:myeloid zinc finger 1 [Source:MGI Symbol;Acc:MGI:107457] MRPTVLGSSGCAPPENEPALVKLEDSDSDDEREDILWDPGLEAARLRFRCFRYEDAIGPQ EALAQLRELCHQWLRPEVHSKEQVLELLVLEQFLGALPPEIQAQVQGQWPGSPEEAAALV DRLRWELDGPRKWSSWNLGLFLLLKRLQPLSYLRRPRAMGQHWTRPLLIATLSLMCPHGV RTLCPCGMRK >ENSMUSP00000110648.1 pep:known chromosome:GRCm38:2:5020642:5064045:-1 gene:ENSMUSG00000026672.11 transcript:ENSMUST00000114996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Optn description:optineurin [Source:MGI Symbol;Acc:MGI:1918898] MSHQPLSCLTEKGDSPCETPGNGPSNMVHPSLDTFTPEELLQQMKELLVENHQLKEAMKL NNQAMKGRFEELSAWTEKQKEERLLFEMQSKEVKERLKALTHENERLKEELGKFKEKSEK PLEDLTGGYRYPRALEEEVEKLKTQVEQEVEHLKIQVMRLRAEKADLLGIVSELQLKLNS GGSSEDSFVEIRMTEGETEGAMKEMKNCPTPTRTDPISLSNCTEDARSCAEFEELTVSQL LLCLREGNQKVERLEVALREAKERISDFEKKANGHSSTEKQTARRADREKEDKGQESVGS EVETLSIQVTSLFKELQEAHTKLSEAELMKKRLQEKCQALERKNSATPSELNEKQELVYS NKKLELQVESMRSEIKMEQAKTEEEKSRLATLQATHNKLLQEHNKALKTIEELTKQQAEK VDKMLLQELSEKLELAEQALASKQLQMDEMKQTLAKQEEDLETMAVLRAQMEVYCSDFHA ERAAREKIHEEKEQLALQLAILLKENNDIEEGGSRQSLMEMQCRHGARTSDSDQQTYLFQ RGAEDRSWQHGQQPRSIPIHSCPKCGEVLPDIDTLQIHVMDCII >ENSMUSP00000027986.4 pep:known chromosome:GRCm38:2:5020644:5063938:-1 gene:ENSMUSG00000026672.11 transcript:ENSMUST00000027986.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Optn description:optineurin [Source:MGI Symbol;Acc:MGI:1918898] MSHQPLSCLTEKGDSPCETPGNGPSNMVHPSLDTFTPEELLQQMKELLVENHQLKEAMKL NNQAMKGRFEELSAWTEKQKEERLLFEMQSKEVKERLKALTHENERLKEELGKFKEKSEK PLEDLTGGYRYPRALEEEVEKLKTQVEQEVEHLKIQVMRLRAEKADLLGIVSELQLKLNS GGSSEDSFVEIRMTEGETEGAMKEMKNCPTPTRTDPISLSNCTEDARSCAEFEELTVSQL LLCLREGNQKVERLEVALREAKERISDFEKKANGHSSTEKQTARRADREKEDKGQESVGS EVETLSIQVTSLFKELQEAHTKLSEAELMKKRLQEKCQALERKNSATPSELNEKQELVYS NKKLELQVESMRSEIKMEQAKTEEEKSRLATLQATHNKLLQEHNKALKTIEELTKQQAEK VDKMLLQELSEKLELAEQALASKQLQMDEMKQTLAKQEEDLETMAVLRAQMEVYCSDFHA ERAAREKIHEEKEQLALQLAILLKENNDIEEGGSRQSLMEMQCRHGARTSDSDQQTYLFQ RGAEDRSWQHGQQPRSIPIHSCPKCGEVLPDIDTLQIHVMDCII >ENSMUSP00000029587.7 pep:known chromosome:GRCm38:3:127633135:127635631:1 gene:ENSMUSG00000027967.8 transcript:ENSMUST00000029587.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurog2 description:neurogenin 2 [Source:MGI Symbol;Acc:MGI:109619] MFVKSETLELKEEEEVLMLLGSASPASATLTPMSSSADEEEDEELRRPGSARGQRGAEAG QGVQGSPASGAGGCRPGRLLGLMHECKRRPSRSRAVSRGAKTAETVQRIKKTRRLKANNR ERNRMHNLNAALDALREVLPTFPEDAKLTKIETLRFAHNYIWALTETLRLADHCAGAGGL QGALFTEAVLLSPGAALGASGDSPSPPSSWSCTNSPASSSNSTSPYSCTLSPASPGSDVD YWQPPPPEKHRYAPHLPLARDCI >ENSMUSP00000113388.1 pep:known chromosome:GRCm38:9:53439149:53536740:-1 gene:ENSMUSG00000034218.15 transcript:ENSMUST00000118282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atm description:ataxia telangiectasia mutated [Source:MGI Symbol;Acc:MGI:107202] MSLALNDLLICCRQLEHDRATERRKEVDKFKRLIQDPETVQHLDRHSDSKQGKYLNWDAV FRFLQKYIQKEMESLRTAKSNVSATTQSSRQKKMQEISSLVRYFIKCANKRAPRLKCQDL LNYVMDTVKDSSNGLTYGADCSNILLKDILSVRKYWCEVSQQQWLELFSLYFRLYLKPSQ DINRVLVARIIHAVTRGCCSQTDGLPSKFLDLFSKAIQYARQEKSSPGLSHILAALNIFL KSLAVNFRKRVCEAGDEILPTLLYIWTQHRLNDSLKEVIIELIQLQIYIHHPQGARAPEE GAYESMKWKSILYNLYDLLVNEISHIGSRGKYSSGSRNIAVKENLIDLMADICYQLFDAD TRSVEISQSYVTQRESTDYSVPCKRRKIDVGWEVIKDYLQKSQSDFDLVPWLQITTRLIS KYPSSLPNCELSPLILILYQLLPQQRRGERIPYVLRCLKEVALCQGKKSNLESSQKSDLL KLWIKIWSITFRGISSGQTQTENFGLLEAIIQGSLVELDREFWKLFTGSACKPSSPSVCC LTLALSICVVPDAIKMGTEQSVCEANRSFSVKESIMRWLLFYQLEDDLEDSTELPPILQS NFPHLVVEKILVSLTMKNSKAAMKFFQSVPECEQHCEDKEEPSFSEVEELFLQTTFDKMD FLTTVKEYAVEKFQSSVGFSVQQNLKESLDHYLLGLSEQLLSNYSSEITSSETLVRCSSL LVGVLGCYCYMGIITEDEAHKSELFQKAKSLMQCAGESISLFKNKTNEESRIGSLRNVMH LCTSCLCIHTKHTPNKIASGFFLRLLTSKLMNDIADICKSLASCTKKPLDHGVHPGEDDE DGGGCDSLMEAEGPSSTGLSTAYPASSVSDANDYGENQNAVGAMSPLAADYLSKQDHLLL DMLRFLGRSVTASQSHTVSFRGADIRRKLLLLLDSSILDLMKPLHLHMYLVLLKDLPGNE HSLPMEDVVELLQPLSLVCSLHRRDQDVCKTILSNVLHIVTNLGQGSVDMESTRIAQGHF LTVMGAFWHLTKEKKCVFSVRMALVKCLQTLLEADPYSEWAILNVKGQDFPVNEAFSQFL ADDHHQVRMLAAGSVNRLFQDMRQGDFSRSLKALPLKFQQTSFNNAYTTAEAGIRGLLCD SQNPDLLDEIYNRKSVLLMMIAVVLHCSPVCEKQALFALCKSVKENRLEPHLVKKVLEKV SESFGCRSLEDFMISHLDYLVLEWLNLQDTEYSLSSFPFMLLNYTSIEDFYRSCYKILIP HLVIRSHFDEVKSIANQIQKCWKSLLVDCFPKILVHILPYFAYEGTRDSYVSQKRETATK VYDTLKGEDFLGKQIDQVFISNLPEIVVELLMTLHETADSADSDASQSATALCDFSGDLD PAPNPPYFPSHVIQATFAYISNCHKTKFKSILEILSKIPDSYQKILLAICEQAAETNNVF KKHRILKIYHLFVSLLLKDIQSGLGGAWAFVLRDVIYTLIHYINKRSSHFTDVSLRSFSL CCDLLSRVCHTAVTQCKDALESHLHVIVGTLIPLVDYQEVQEQVLDLLKYLVIDNKDNKN LSVTIKLLDPFPDHVIFKDLRLTQQKIKYSGGPFSLLEEINHFLSVSAYNPLPLTRLEGL KDLRRQLEQHKDQMLDLLRASQDNPQDGIVVKLVVSLLQLSKMAVNQTGEREVLEAVGRC LGEIGPLDFSTIAVQHNKDVSYTKAYGLPEDRELQWTLIMLTALNNTLVEDSVKIRSAAA TCLKNILATKIGHIFWENYKTSADPMLTYLQPFRTSRKKFLEVPRSVKEDVLEGLDAVNL WVPQSESHDIWIKTLTCAFLDSGGINSEILQLLKPMCEVKTDFCQMLLPYLIHDVLLQDT HESWRTLLSAHVRGFFTSCFKHSSQASRSATPANSDSESENFLRCCLDKKSQRTMLAVVD YLRRQKRPSSGTAFDDAFWLDLNYLEVAKVAQSCSAHFTALLYAEIYSDKKSTDEQEKRS PTFEEGSQGTTISSLSEKSKEETGISLQDLLLEIYRSIGEPDSLYGCGGGKMLQPLTRIR TYEHEATWEKALVTYDLETSISSSTRQSGIIQALQNLGLSHILSVYLKGLDYERREWCAE LQELRYQAAWRNMQWGLCASAGQEVEGTSYHESLYNALQCLRNREFSTFYESLRYASLFR VKEVEELSKGSLESVYSLYPTLSRLQAIGELENSGELFSRSVTDRERSEAYWKWQKHSQL LKDSDFSFQEPLMALRTVILETLVQKEMERSQGACSKDILTKHLVEFSVLARTFKNTQLP ERAIFKIKQYNSAICGISEWHLEEAQVFWAKKEQSLALSILKQMIKKLDSSFKDKENDAG LKVIYAECLRVCGSWLAETCLENPAVIMQTYLEKAVKVAGSYDGNSRELRNGQMKAFLSL ARFSDTQYQRIENYMKSSEFENKQTLLKRAKEEVGLLREHKIQTNRYTVKVQRELELDEC ALRALREDRKRFLCKAVENYINCLLSGEEHDLWVFRLCSLWLENSGVSEVNGMMKKDGMK ISSYKFLPLMYQLAARMGTKMTGGLGFHEVLNNLISRISLDHPHHTLFIILALANANKDE FLSKPETTRRSRITKSTSKENSHLDEDRTEAATRIIHSIRSKRCKMVKDMEALCDAYIIL ANMDASQWRAQRKGINIPANQPITKLKNLEDVVVPTMEIKVDPTGEYENLVTIKSFKTEF RLAGGLNLPKIIDCVGSDGKERRQLVKGRDDLRQDAVMQQVFQMCNTLLQRNTETRKRKL TICTYKVVPLSQRSGVLEWCTGTVPIGEYLVNSEDGAHRRYRPNDFSANQCQKKMMEVQK KSFEEKYDTFMTICQNFEPVFRYFCMEKFLDPAVWFEKRLAYTRSVATSSIVGYILGLGD RHVQNILINEQSAELVHIDLGVAFEQGKILPTPETVPFRLSRDIVDGMGITGVEGVFRRC CEKTMEVMRSSQETLLTIVEVLLYDPLFDWTMNPLKALYLQQRPEDESDLHSTPNADDQE CKQSLSDTDQSFNKVAERVLMRLQEKLKGVEEGTVLSVGGQVNLLIQQAMDPKNLSRLFP GWKAWV >ENSMUSP00000118199.1 pep:known chromosome:GRCm38:9:53445375:53453617:-1 gene:ENSMUSG00000034218.15 transcript:ENSMUST00000132249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atm description:ataxia telangiectasia mutated [Source:MGI Symbol;Acc:MGI:107202] XKMVKDMEALCDAYIILANMDASQWRAQRKGINIPANQPITKLKNLEDVVVPTMEIKVDP TGEYENLGRDDLRQDAVMQQVFQMCNTLLQRNTETRKRKLTICTYKVVPLSQRSGVLEWC TGTVPIGEYLVNSEDGAHRRYRPNDFSANQCQKKMMEVQKKSFEEKYDTFMTICQNFEPV FRYFCMEKFLDPAVWFEKRLA >ENSMUSP00000115394.1 pep:known chromosome:GRCm38:9:53527194:53536608:-1 gene:ENSMUSG00000034218.15 transcript:ENSMUST00000150244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atm description:ataxia telangiectasia mutated [Source:MGI Symbol;Acc:MGI:107202] MFFYFQKEVDKFKRLIQDPETVQHLDRHSDSKQGKYLNWDAVFRFLQKYIQKEMESLRTA KSNVSATTQSSRQKKMQEISSLVRYFIKCANKRAPRLKCQDLLNYVMDTVKDSSNGLTYG ADCSNILLKDILSVRKYWCE >ENSMUSP00000096707.1 pep:known chromosome:GRCm38:10:129540539:129541474:1 gene:ENSMUSG00000094496.1 transcript:ENSMUST00000076575.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr792 description:olfactory receptor 792 [Source:MGI Symbol;Acc:MGI:3030626] MKNRTSVSEFILLGLTNDPKLNILIFVFLFITYILSITGNLTIITLTLIDSHLKTPMYFF LRNFSFLEISFTTVSIPRFLVSIVTGDMTISYSSCLAQEFFFILLGATEFFLLTAMSYDR YVAICKPLHYTTIMSSRVCMQLIVSSWLAGFLIIFPPVIMGLQLDFCDSNIIDHFTCDSS PLLLISCTDTAFLELFAFFLAVLTLIVTLTLVILSYSFILRTILRIPSAEQRKKAFSTCS SHMIVVSISYGSCIFMYVKTSAKEGVTLTKGIAVLNTSVAPMLNPFIYTLRNKQVKEAFK TLIKKRFSNKI >ENSMUSP00000096203.3 pep:known chromosome:GRCm38:3:133463679:133544390:-1 gene:ENSMUSG00000040943.12 transcript:ENSMUST00000098603.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet2 description:tet methylcytosine dioxygenase 2 [Source:MGI Symbol;Acc:MGI:2443298] MEQDRTTHAEGTRLSPFLIAPPSPISHTEPLAVKLQNGSPLAERPHPEVNGDTKWQSSQS CYGISHMKGSQSSHESPHEDRGYSRCLQNGGIKRTVSEPSLSGLHPNKILKLDQKAKGES NIFEESQERNHGKSSRQPNVSGLSDNGEPVTSTTQESSGADAFPTRNYNGVEIQVLNEQE GEKGRSVTLLKNKIVLMPNGATVSAHSEENTRGELLEKTQCYPDCVSIAVQSTASHVNTP SSQAAIELSHEIPQPSLTSAQINFSQTSSLQLPPEPAAMVTKACDADNASKPAIVPGTCP FQKAEHQQKSALDIGPSRAENKTIQGSMELFAEEYYPSSDRNLQASHGSSEQYSKQKETN GAYFRQSSKFPKDSISPTTVTPPSQSLLAPRLVLQPPLEGKGALNDVALEEHHDYPNRSN RTLLREGKIDHQPKTSSSQSLNPSVHTPNPPLMLPEQHQNDCGSPSPEKSRKMSEYLMYY LPNHGHSGGLQEHSQYLMGHREQEIPKDANGKQTQGSVQAAPGWIELKAPNLHEALHQTK RKDISLHSVLHSQTGPVNQMSSKQSTGNVNMPGGFQRLPYLQKTAQPEQKAQMYQVQVNQ GPSPGMGDQHLQFQKALYQECIPRTDPSSEAHPQAPSVPQYHFQQRVNPSSDKHLSQQAT ETQRLSGFLQHTPQTQASQTPASQNSNFPQICQQQQQQQLQRKNKEQMPQTFSHLQGSND KQREGSCFGQIKVEESFCVGNQYSKSSNFQTHNNTQGGLEQVQNINKNFPYSKILTPNSS NLQILPSNDTHPACEREQALHPVGSKTSNLQNMQYFPNNVTPNQDVHRCFQEQAQKPQQA SSLQGLKDRSQGESPAPPAEAAQQRYLVHNEAKALPVPEQGGSQTQTPPQKDTQKHAALR WLLLQKQEQQQTQQSQPGHNQMLRPIKTEPVSKPSSYRYPLSPPQENMSSRIKQEISSPS RDNGQPKSIIETMEQHLKQFQLKSLCDYKALTLKSQKHVKVPTDIQAAESENHARAAEPQ ATKSTDCSVLDDVSESDTPGEQSQNGKCEGCNPDKDEAPYYTHLGAGPDVAAIRTLMEER YGEKGKAIRIEKVIYTGKEGKSSQGCPIAKWVYRRSSEEEKLLCLVRVRPNHTCETAVMV IAIMLWDGIPKLLASELYSELTDILGKCGICTNRRCSQNETRNCCCQGENPETCGASFSF GCSWSMYYNGCKFARSKKPRKFRLHGAEPKEEERLGSHLQNLATVIAPIYKKLAPDAYNN QVEFEHQAPDCCLGLKEGRPFSGVTACLDFSAHSHRDQQNMPNGSTVVVTLNREDNREVG AKPEDEQFHVLPMYIIAPEDEFGSTEGQEKKIRMGSIEVLQSFRRRRVIRIGELPKSCKK KAEPKKAKTKKAARKRSSLENCSSRTEKGKSSSHTKLMENASHMKQMTAQPQLSGPVIRQ PPTLQRHLQQGQRPQQPQPPQPQPQTTPQPQPQPQHIMPGNSQSVGSHCSGSTSVYTRQP TPHSPYPSSAHTSDIYGDTNHVNFYPTSSHASGSYLNPSNYMNPYLGLLNQNNQYAPFPY NGSVPVDNGSPFLGSYSPQAQSRDLHRYPNQDHLTNQNLPPIHTLHQQTFGDSPSKYLSY GNQNMQRDAFTTNSTLKPNVHHLATFSPYPTPKMDSHFMGAASRSPYSHPHTDYKTSEHH LPSHTIYSYTAAASGSSSSHAFHNKENDNIANGLSRVLPGFNHDRTASAQELLYSLTGSS QEKQPEVSGQDAAAVQEIEYWSDSEHNFQDPCIGGVAIAPTHGSILIECAKCEVHATTKV NDPDRNHPTRISLVLYRHKNLFLPKHCLALWEAKMAEKARKEEECGKNGSDHVSQKNHGK QEKREPTGPQEPSYLRFIQSLAENTGSVTTDSTVTTSPYAFTQVTGPYNTFV >ENSMUSP00000143029.1 pep:known chromosome:GRCm38:3:133463839:133544368:-1 gene:ENSMUSG00000040943.12 transcript:ENSMUST00000196398.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet2 description:tet methylcytosine dioxygenase 2 [Source:MGI Symbol;Acc:MGI:2443298] MEQDRTTHAEGTRLSPFLIAPPSPISHTEPLAVKLQNGSPLAERPHPEVNGDTKWQSSQS CYGISHMKGSQSSHESPHEDRGYSRCLQNGGIKRTVSEPSLSGLHPNKILKLDQKAKGES NIFEESQERNHGKSSRQPNVSGLSDNGEPVTSTTQESSGADAFPTRNYNGVEIQVLNEQE GEKGRSVTLLKNKIVLMPNGATVSAHSEENTRGELLEKTQCYPDCVSIAVQSTASHVNTP SSQAAIELSHEIPQPSLTSAQINFSQTSSLQLPPEPAAMVTKACDADNASKPAIVPGTCP FQKAEHQQKSALDIGPSRAENKTIQGSMELFAEEYYPSSDRNLQASHGSSEQYSKQKETN GAYFRQSSKFPKDSISPTTVTPPSQSLLAPRLVLQPPLEGKGALNDVALEEHHDYPNRSN RTLLREGKIDHQPKTSSSQSLNPSVHTPNPPLMLPEQHQNDCGSPSPEKSRKMSEYLMYY LPNHGHSGGLQEHSQYLMGHREQEIPKDANGKQTQGSVQAAPGWIELKAPNLHEALHQTK RKDISLHSVLHSQTGPVNQMSSKQSTGNVNMPGGFQRLPYLQKTAQPEQKAQMYQVQVNQ GPSPGMGDQHLQFQKALYQECIPRTDPSSEAHPQAPSVPQYHFQQRVNPSSDKHLSQQAT ETQRLSGFLQHTPQTQASQTPASQNSNFPQICQQQQQQQLQRKNKEQMPQTFSHLQGSND KQREGSCFGQIKVEESFCVGNQYSKSSNFQTHNNTQGGLEQVQNINKNFPYSKILTPNSS NLQILPSNDTHPACEREQALHPVGSKTSNLQNMQYFPNNVTPNQDVHRCFQEQAQKPQQA SSLQGLKDRSQGESPAPPAEAAQQRYLVHNEAKALPVPEQGGSQTQTPPQKDTQKHAALR WLLLQKQEQQQTQQSQPGHNQMLRPIKTEPVSKPSSYRYPLSPPQENMSSRIKQEISSPS RDNGQPKSIIETMEQHLKQFQLKSLCDYKALTLKSQKHVKVPTDIQAAESENHARAAEPQ ATKSTDCSVLDDVSESDTPGEQSQNGKCEGCNPDKDEAPYYTHLGAGPDVAAIRTLMEER YGEKGKAIRIEKVIYTGKEGKSSQGCPIAKWVYRRSSEEEKLLCLVRVRPNHTCETAVMV IAIMLWDGIPKLLASELYSELTDILGKCGICTNRRCSQNETKKKQSPPSRNCCCQGENPE TCGASFSFGCSWSMYYNGCKFARSKKPRKFRLHGAEPKEEERLGSHLQNLATVIAPIYKK LAPDAYNNQVEFEHQAPDCCLGLKEGRPFSGVTACLDFSAHSHRDQQNMPNGSTVVVTLN REDNREVGAKPEDEQFHVLPMYIIAPEDEFGSTEGQEKKIRMGSIEVLQSFRRRRVIRIG ELPKSCKKKAEPKKAKTKKAARKRSSLENCSSRTEKGKSSSHTKLMENASHMKQMTAQPQ LSGPVIRQPPTLQRHLQQGQRPQQPQPPQPQPQTTPQPQPQPQHIMPGNSQSVGSHCSGS TSVYTRQPTPHSPYPSSAHTSDIYGDTNHVNFYPTSSHASGSYLNPSNYMNPYLGLLNQN NQYAPFPYNGSVPVDNGSPFLGSYSPQAQSRDLHRYPNQDHLTNQNLPPIHTLHQQTFGD SPSKYLSYGNQNMQRDAFTTNSTLKPNVHHLATFSPYPTPKMDSHFMGAASRSPYSHPHT DYKTSEHHLPSHTIYSYTAAASGSSSSHAFHNKENDNIANGLSRVLPGFNHDRTASAQEL LYSLTGSSQEKQPEVSGQDAAAVQEIEYWSDSEHNFQDPCIGGVAIAPTHGSILIECAKC EVHATTKVNDPDRNHPTRISLVLYRHKNLFLPKHCLALWEAKMAEKARKEEECGKNGSDH VSQKNHGKQEKREPTGPQEPSYLRFIQSLAENTGSVTTDSTVTTSPYAFTQVTGPYNTFV >ENSMUSP00000143468.1 pep:known chromosome:GRCm38:3:133467527:133476570:-1 gene:ENSMUSG00000040943.12 transcript:ENSMUST00000198974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet2 description:tet methylcytosine dioxygenase 2 [Source:MGI Symbol;Acc:MGI:2443298] XWSMYYNGCKFARSKKPRKFRLHGAEPKEEERLGSHLQNLATVIAPIYKKLAPDAYNNQN NQYAPFPYNGSVPVDNGSPFLGSYSPQAQSRDLHRYPNQDHLTNQNLPPIHTLHQQTFGD SPSKYLSYGNQNMQRDAFTTNSTLKPNVHHLATFSPYP >ENSMUSP00000143619.1 pep:known chromosome:GRCm38:3:133488535:133545139:-1 gene:ENSMUSG00000040943.12 transcript:ENSMUST00000197118.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet2 description:tet methylcytosine dioxygenase 2 [Source:MGI Symbol;Acc:MGI:2443298] MEQDRTTHAEGTRLSPFLIAPPSPISHTEPLAVKLQNGSPLAERP >ENSMUSP00000045993.7 pep:known chromosome:GRCm38:12:12936096:12941914:-1 gene:ENSMUSG00000037169.14 transcript:ENSMUST00000043396.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycn description:v-myc myelocytomatosis viral related oncogene, neuroblastoma derived (avian) [Source:MGI Symbol;Acc:MGI:97357] MPSCTASTMPGMICKNPDLEFDSLQPCFYPDEDDFYFGGPDSTPPGEDIWKKFELLPTPP LSPSRAFPEHSPEPSNWATEMLLPEADLWGNPAEEDAFGLGGLGGLTPNPVILQDCMWSG FSAREKLERAVNEKLQHGHGPPGVSSACSAPGVGASSPGGRALGGSSSASHTGATLPTDL SHPAAECVDPAVVFPFPVNKRESASVPAAPTSAPATSAAVTSVSVPATAPVAAPARAGGR PASSGEAKALSTSGEDTLSDSDDEDDEEEDEEEEIDVVTVEKRRSSSNNKAVTTFTITVR PKTSALGLGRAQPGELILKRCVPIHQQHNYAAPSPYVESEDAPPQKKIKSEASPRPLKSV VPAKAKSLSPRNSDSEDSERRRNHNILERQRRNDLRSSFLTLRDHVPELVKNEKAAKVVI LKKATEYVHALQANEHQLLLEKEKLQARQQQLLKKIEHARTC >ENSMUSP00000114225.1 pep:known chromosome:GRCm38:12:12936096:12940616:-1 gene:ENSMUSG00000037169.14 transcript:ENSMUST00000130990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycn description:v-myc myelocytomatosis viral related oncogene, neuroblastoma derived (avian) [Source:MGI Symbol;Acc:MGI:97357] MPSCTASTMPGMICKNPDLEFDSLQPCFYPDEDDFYFGGPDSTPPGEDIWKKFELLPTPP LSPSRAFPEHSPEPSNWATEMLLPEADLWGNPAEEDAFGLGGLGGLTPNPVILQDCMWSG FSAREKLERAVNEKLQHGHGPPGVSSACSAPGVGASSPGGRALGGSSSASHTGATLPTDL SHPAAECVDPAVVFPFPVNKRESASVPAAPTSAPATSAAVTSVSVPATAPVAAPARAGGR PASSGEAKALSTSGEDTLSDSDDEDDEEEDEEEEIDVVTVEKRRSSSNNKAVTTFTITVR PKTSALGLGRAQPGELILKRCVPIHQQHNYAAPSPYVESEDAPPQKKIKSEASPRPLKSV VPAKAKSLSPRNSDSEDSERRRNHNILERQRRNDLRSSFLTLRDHVPELVKNEKAAKVVI LKKATEYVHALQANEHQLLLEKEKLQARQQQLLKKIEHARTC >ENSMUSP00000145301.1 pep:known chromosome:GRCm38:10:129567662:129571619:1 gene:ENSMUSG00000044293.4 transcript:ENSMUST00000204820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr794 description:olfactory receptor 794 [Source:MGI Symbol;Acc:MGI:3030628] MRNHTVMTTFILLGLTDDPGLQLLFFVILFLTYILSIMGNLTIIILTLMDSHLNTPMYFF LRNFSFLEISFTTVCIPRFLYSISTGVNTITYNACASQIFFVGLFGATEFFLLAAMSYDR YVAICKPLHYMTIMDNKVCAILVLCCWTSGLLVIIIPLGMILQLEFCDSNTIDHFFCDAS PLIKISCSDTWFLEQTVIVCAVLTFIITLIVVILSYIYIIRTILRFPSAHQRKKAFSTCS SHMIVVSIMYGSCIFIYVTPSAKEQVDINKGVSMLNTSVAPLLNPFIYTLRNKQVKQAFN DTVKKLHTSYTNKNMLDLSS >ENSMUSP00000049790.3 pep:known chromosome:GRCm38:10:129570657:129571619:1 gene:ENSMUSG00000044293.4 transcript:ENSMUST00000059957.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr794 description:olfactory receptor 794 [Source:MGI Symbol;Acc:MGI:3030628] MRNHTVMTTFILLGLTDDPGLQLLFFVILFLTYILSIMGNLTIIILTLMDSHLNTPMYFF LRNFSFLEISFTTVCIPRFLYSISTGVNTITYNACASQIFFVGLFGATEFFLLAAMSYDR YVAICKPLHYMTIMDNKVCAILVLCCWTSGLLVIIIPLGMILQLEFCDSNTIDHFFCDAS PLIKISCSDTWFLEQTVIVCAVLTFIITLIVVILSYIYIIRTILRFPSAHQRKKAFSTCS SHMIVVSIMYGSCIFIYVTPSAKEQVDINKGVSMLNTSVAPLLNPFIYTLRNKQVKQAFN DTVKKLHTSYTNKNMLDLSS >ENSMUSP00000029912.4 pep:known chromosome:GRCm38:4:6365650:6396284:1 gene:ENSMUSG00000028249.15 transcript:ENSMUST00000029912.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdcbp description:syndecan binding protein [Source:MGI Symbol;Acc:MGI:1337026] MSLYPSLEDLKVDKVIQAQTAYSANPASQAFVLVDASAALPPDGNLYPKLYPELSQYMGL SLNEAEICESMPMVSGAPAQGQLVARPSSVNYMVAPVTGNDAGIRRAEIKQGIREVILCK DQDGKIGLRLKSIDNGIFVQLVQANSPASLVGLRFGDQVLQINGENCAGWSSDKAHKVLK QAFGEKITMTIRDRPFERTVTMHKDSSGHVGFIFKSGKITSIVKDSSAARNGLLTDHHIC EINGQNVIGLKDAQIADILSTAGTVVTITIMPTFIFEHIIKRMAPSIMKSLMDHTIPEV >ENSMUSP00000100073.5 pep:known chromosome:GRCm38:4:6365677:6395655:1 gene:ENSMUSG00000028249.15 transcript:ENSMUST00000103008.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdcbp description:syndecan binding protein [Source:MGI Symbol;Acc:MGI:1337026] MSLYPSLEDLKVDKVIQAQTAYSANPASQAFVLVDASAALPPDGNLYPKLYPELSQYMGL SLNEAEICESMPMVSGAPAQGLVARPSSVNYMVAPVTGNDAGIRRAEIKQGIREVILCKD QDGKIGLRLKSIDNGIFVQLVQANSPASLVGLRFGDQVLQINGENCAGWSSDKAHKVLKQ AFGEKITMTIRDRPFERTVTMHKDSSGHVGFIFKSGKITSIVKDSSAARNGLLTDHHICE INGQNVIGLKDAQIADILSTAGTVVTITIMPTFIFEHIIKRMAPSIMKSLMDHTIPEV >ENSMUSP00000135777.1 pep:known chromosome:GRCm38:4:6365709:6393111:1 gene:ENSMUSG00000028249.15 transcript:ENSMUST00000175769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdcbp description:syndecan binding protein [Source:MGI Symbol;Acc:MGI:1337026] MSLYPSLEDLKVDKVIQAQTAYSANPASQAFVLVDASAALPPDGNLYPKLYPELSQYMGL SLNEAEICESMPMVSGAPAQGQLVARPSSVNYMVAPVTGNDAGIRRAEIKQGIREVILCK DQDGKIGLRLKSIDNGIFVQLVQANSPASLVGLRFGDQVLQINGENCAGWSSDKAHKVLK QAFGEKITMTIRDRPFERTVTMHKDSSGHVGFIFKSGKITSIVKDSSAARNGLLTDHHIC EINGQNVIG >ENSMUSP00000122411.1 pep:known chromosome:GRCm38:4:6365713:6385057:1 gene:ENSMUSG00000028249.15 transcript:ENSMUST00000140830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdcbp description:syndecan binding protein [Source:MGI Symbol;Acc:MGI:1337026] MSLYPSLEDLKVDKVIQAQTAYSANPASQAFVLVDASAALPPDGNLYPKLYPELSQYMGL SLNEAEICESMPMVSGAPAQGQLVARPSSVN >ENSMUSP00000104011.1 pep:known chromosome:GRCm38:4:6365713:6408423:1 gene:ENSMUSG00000028249.15 transcript:ENSMUST00000108374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdcbp description:syndecan binding protein [Source:MGI Symbol;Acc:MGI:1337026] MSLYPSLEDLKVDKVIQAQTAYSANPASQAFVLVDASAALPPDGNLYPKLYPELSQYMGL SLNEAEICESMPMVSGAPAQGQLVARPSSVNYMVAPVTGNDAGIRRAEIKQGIREVILCK DQDGKIGLRLKSIDNGIFVQLVQANSPASLVGLRFGDQVLQINGENCAGWSSDKAHKVLK QAFGEKITMTIRDRLKLET >ENSMUSP00000119838.1 pep:known chromosome:GRCm38:4:6376841:6392995:1 gene:ENSMUSG00000028249.15 transcript:ENSMUST00000153861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdcbp description:syndecan binding protein [Source:MGI Symbol;Acc:MGI:1337026] MSLYPSLEDLKVDKVIQAQTAYSANPASQAFVLVDASAALPPDGNLYPKLYPELSQYMGL SLNEAEICESMPMVSGAPAQGLVARPSSVNYMVAPVTGNDAGIRRAEIKQGIREVILCKD QDGKIGLRLKSIDNGIFVQLVQANSPASLVGLRFGDQVLQINGENCAGWSSDKAHKVLKQ AFGEKITMTIRDRPFERTVTMHKDSSGHV >ENSMUSP00000102512.2 pep:known chromosome:GRCm38:1:74153991:74161246:1 gene:ENSMUSG00000026180.8 transcript:ENSMUST00000106899.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcr2 description:chemokine (C-X-C motif) receptor 2 [Source:MGI Symbol;Acc:MGI:105303] MGEFKVDKFNIEDFFSGDLDIFNYSSGMPSILPDAVPCHSENLEINSYAVVVIYVLVTLL SLVGNSLVMLVILYNRSTCSVTDVYLLNLAIADLFFALTLPVWAASKVNGWTFGSTLCKI FSYVKEVTFYSSVLLLACISMDRYLAIVHATSTLIQKRHLVKFVCIAMWLLSVILALPIL ILRNPVKVNLSTLVCYEDVGNNTSRLRVVLRILPQTFGFLVPLLIMLFCYGFTLRTLFKA HMGQKHRAMRVIFAVVLVFLLCWLPYNLVLFTDTLMRTKLIKETCERRDDIDKALNATEI LGFLHSCLNPIIYAFIGQKFRHGLLKIMATYGLVSKEFLAKEGRPSFVSSSSANTSTTL >ENSMUSP00000027372.7 pep:known chromosome:GRCm38:1:74153989:74160610:1 gene:ENSMUSG00000026180.8 transcript:ENSMUST00000027372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcr2 description:chemokine (C-X-C motif) receptor 2 [Source:MGI Symbol;Acc:MGI:105303] MGEFKVDKFNIEDFFSGDLDIFNYSSGMPSILPDAVPCHSENLEINSYAVVVIYVLVTLL SLVGNSLVMLVILYNRSTCSVTDVYLLNLAIADLFFALTLPVWAASKVNGWTFGSTLCKI FSYVKEVTFYSSVLLLACISMDRYLAIVHATSTLIQKRHLVKFVCIAMWLLSVILALPIL ILRNPVKVNLSTLVCYEDVGNNTSRLRVVLRILPQTFGFLVPLLIMLFCYGFTLRTLFKA HMGQKHRAMRVIFAVVLVFLLCWLPYNLVLFTDTLMRTKLIKETCERRDDIDKALNATEI LGFLHSCLNPIIYAFIGQKFRHGLLKIMATYGLVSKEFLAKEGRPSFVSSSSANTSTTL >ENSMUSP00000100920.1 pep:known chromosome:GRCm38:10:100015630:100100413:1 gene:ENSMUSG00000019966.17 transcript:ENSMUST00000105283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kitl description:kit ligand [Source:MGI Symbol;Acc:MGI:96974] MKKTQTWIITCIYLQLLLFNPLVKTKEICGNPVTDNVKDITKLVANLPNDYMITLNYVAG MDVLPSHCWLRDMVIQLSLSLTTLLDKFSNISEGLSNYSIIDKLGKIVDDLVLCMEENAP KNIKESPKRPETRSFTPEEFFSIFNRSIDAFKDFMVASDTSDCVLSSTLGPEKDSRVSVT KPFMLPPVAASSLRNDSSSSNRKAAKAPEDSGLQWTAMALPALISLVIGFAFGALYWKKK QSSLTRAVENIQINEEDNEISMLQQKEREFQEV >ENSMUSP00000020129.7 pep:known chromosome:GRCm38:10:100015916:100096398:1 gene:ENSMUSG00000019966.17 transcript:ENSMUST00000020129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kitl description:kit ligand [Source:MGI Symbol;Acc:MGI:96974] MKKTQTWIITCIYLQLLLFNPLVKTKEICGNPVTDNVKDITKLVANLPNDYMITLNYVAG MDVLPSHCWLRDMVIQLSLSLTTLLDKFSNISEGLSNYSIIDKLGKIVDDLVLCMEENAP KNIKESPKRPETRSFTPEEFFSIFNRSIDAFKDFMVASDTSDCVLSSTLGPEKGKAAKAP EDSGLQWTAMALPALISLVIGFAFGALYWKKKQSSLTRAVENIQINEEDNEISMLQQKER EFQEV >ENSMUSP00000123360.1 pep:known chromosome:GRCm38:10:100015826:100076902:1 gene:ENSMUSG00000019966.17 transcript:ENSMUST00000130190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kitl description:kit ligand [Source:MGI Symbol;Acc:MGI:96974] MKKTQVSRARPGGARKLSKKIAPGAPGSPARSGTGNQAMWGCALPTWIITCIYLQLLLFN PLVKTKEICGNPVTDNVKDITKLVANLPNDYMITLNYVAGMDVLPSHCWLRDMVIQLSLS LTTLLDKFSNISEGLSNYSIIDKLGKIVDDLVLCMEENAP >ENSMUSP00000049025.8 pep:known chromosome:GRCm38:17:29032673:29042263:1 gene:ENSMUSG00000071172.12 transcript:ENSMUST00000037776.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf3 description:serine/arginine-rich splicing factor 3 [Source:MGI Symbol;Acc:MGI:98285] MHRDSCPLDCKVYVGNLGNNGNKTELERAFGYYGPLRSVWVARNPPGFAFVEFEDPRDAA DAVRELDGRTLCGCRVRVELSNGEKRSRNRGPPPSWGRRPRDDYRRRSPPPRRRVTIMSL LTTL >ENSMUSP00000117045.1 pep:known chromosome:GRCm38:17:29032673:29043366:1 gene:ENSMUSG00000071172.12 transcript:ENSMUST00000130216.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf3 description:serine/arginine-rich splicing factor 3 [Source:MGI Symbol;Acc:MGI:98285] MHRDSCPLDCKVYVGNLGNNGNKTELERAFGYYGPLRSVWVARNPPGFAFVEFEDPRDAA DAVRELDGRTLCGCRVRVELSNGEKRSRNRGPPPSWGRRPRDDYRRRSPPPRRRSPRRRS FSRSRSRSLSRDRRRERSLSRERNHKPSRSFSRSRSRSRSNERK >ENSMUSP00000130404.1 pep:known chromosome:GRCm38:17:43568098:43600591:1 gene:ENSMUSG00000023913.17 transcript:ENSMUST00000167214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g7 description:phospholipase A2, group VII (platelet-activating factor acetylhydrolase, plasma) [Source:MGI Symbol;Acc:MGI:1351327] MVPLKLQALFCLLCCLPWVHPFHWQDTSSFDFRPSVMFHKLQSVMSAAGSGHSKIPKGNG SYPVGCTDLMFGYGNESVFVRLYYPAQDQGRLDTVWIPNKEYFLGLSIFLGTPSIVGNIL HLLYGSLTTPASWNSPLRTGEKYPLIVFSHGLGAFRTIYSAIGIGLASNGFIVATVEHRD RSASATYFFEDQVAAKVENRSWLYLRKVKQE >ENSMUSP00000024706.5 pep:known chromosome:GRCm38:17:43568269:43612201:1 gene:ENSMUSG00000023913.17 transcript:ENSMUST00000024706.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g7 description:phospholipase A2, group VII (platelet-activating factor acetylhydrolase, plasma) [Source:MGI Symbol;Acc:MGI:1351327] MVPLKLQALFCLLCCLPWVHPFHWQDTSSFDFRPSVMFHKLQSVMSAAGSGHSKIPKGNG SYPVGCTDLMFGYGNESVFVRLYYPAQDQGRLDTVWIPNKEYFLGLSIFLGTPSIVGNIL HLLYGSLTTPASWNSPLRTGEKYPLIVFSHGLGAFRTIYSAIGIGLASNGFIVATVEHRD RSASATYFFEDQVAAKVENRSWLYLRKVKQEESESVRKEQVQQRAIECSRALSAILDIEH GDPKENVLGSAFDMKQLKDAIDETKIALMGHSFGGATVLQALSEDQRFRCGVALDPWMYP VNEELYSRTLQPLLFINSAKFQTPKDIAKMKKFYQPDKERKMITIKGSVHQNFDDFTFVT GKIIGNKLTLKGEIDSRVAIDLTNKASMAFLQKHLGLQKDFDQWDPLVEGDDENLIPGSP FDAVTQVPAQQHSPGSQTQN >ENSMUSP00000131898.1 pep:known chromosome:GRCm38:17:43568475:43594917:1 gene:ENSMUSG00000023913.17 transcript:ENSMUST00000167418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g7 description:phospholipase A2, group VII (platelet-activating factor acetylhydrolase, plasma) [Source:MGI Symbol;Acc:MGI:1351327] MVPLKLQALFCLLCCLPWVHPFHWQDTSSFDFRPSVMFHKLQSVMSAAGSGHSKIPKGNG SYPVGCTDLMFGYGNESVFVRLYYPAQDQGRLDTVWIPNKEYFLGLSIFLGTPSIVGNIL HL >ENSMUSP00000132027.1 pep:known chromosome:GRCm38:17:43568673:43607263:1 gene:ENSMUSG00000023913.17 transcript:ENSMUST00000169694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g7 description:phospholipase A2, group VII (platelet-activating factor acetylhydrolase, plasma) [Source:MGI Symbol;Acc:MGI:1351327] MVPLKLQALFCLLCCLPWVHPFHWQDTSSFDFRPSVMFHKLQSVMSAAGSGHSKIPKGNG SYPVGCTDLMFGYGNESVFVRLYYPAQDQGRLDTVWIPNKEYFLGLSIFLGTPSIVGNIL HLLYGSLTTPASWNSPLRTGEKYPLIVFSHGLGAFRTIYSAIGIGLASNGFIVATVEHRD RSASATYFFEDQVAAKVENRSWLYLRKVKQEESESVRKEQVQQRAIECSRALSAILDIEH GDPKENVLGSAFDMKQLKDAIDETKIALMGHSFGGATVLQALSEDQRFRCGVALDPWM >ENSMUSP00000031378.6 pep:known chromosome:GRCm38:5:128984557:129008574:-1 gene:ENSMUSG00000029428.13 transcript:ENSMUST00000031378.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx2 description:syntaxin 2 [Source:MGI Symbol;Acc:MGI:108059] MRDRLPDLTACRTNDDGDTAVVIVEKDHFMDGFFHQVEEIRSSIARIAQHVEDVKKNHSI ILSAPNPEGKIKEELEDLNKEIKKTANRIRGKLKSIEQSCDQDENGNRTSVDLRIRRTQH SVLSRKFVDVMTEYNEAQILFRERSKGRIQRQLEITGRTTTDDELEEMLESGKPSIFISD IISDSQITRQALNEIESRHKDIMKLETSIRELHEMFMDMAMFVETQGEMVNNIERNVVNS VDYVEHAKEETKKAIKYQSKARRKKWIIAAVAVAVIAVLALIIGLSVGK >ENSMUSP00000098247.3 pep:known chromosome:GRCm38:5:128984563:129008535:-1 gene:ENSMUSG00000029428.13 transcript:ENSMUST00000100680.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx2 description:syntaxin 2 [Source:MGI Symbol;Acc:MGI:108059] MRDRLPDLTACRTNDDGDTAVVIVEKDHFMDGFFHQVEEIRSSIARIAQHVEDVKKNHSI ILSAPNPEGKIKEELEDLNKEIKKTANRIRGKLKSIEQSCDQDENGNRTSVDLRIRRTQH SVLSRKFVDVMTEYNEAQILFRERSKGRIQRQLEITGRTTTDDELEEMLESGKPSIFISD IISDSQITRQALNEIESRHKDIMKLETSIRELHEMFMDMAMFVETQGEMVNNIERNVVNS VDYVEHAKEETKKAIKYQSKARRKVMFVLICVVTLLVILGIILATALS >ENSMUSP00000142681.1 pep:known chromosome:GRCm38:5:128993495:129008444:-1 gene:ENSMUSG00000029428.13 transcript:ENSMUST00000195906.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stx2 description:syntaxin 2 [Source:MGI Symbol;Acc:MGI:108059] MRDRLPDLTACRTNDDGDTAVVIVEKDHFMDGFFHQRRFEAA >ENSMUSP00000118220.1 pep:known chromosome:GRCm38:5:128993509:128999635:-1 gene:ENSMUSG00000029428.13 transcript:ENSMUST00000149877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx2 description:syntaxin 2 [Source:MGI Symbol;Acc:MGI:108059] MDGFFHQVEEIRSSIARIAQHVEDVKKNHSIILSAPNPEGKIKEELEDLNKEIKKTANRI RGKLKSIEQSCDQDENGNRTSVDLR >ENSMUSP00000031224.8 pep:known chromosome:GRCm38:5:107106570:107289629:-1 gene:ENSMUSG00000029287.14 transcript:ENSMUST00000031224.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbr3 description:transforming growth factor, beta receptor III [Source:MGI Symbol;Acc:MGI:104637] MAVTSHHMVPVFVLMSACLATAGPEPSTRCELSPISASHPVQALMESFTVLSGCASRGTT GLPREVHILNLRSTDQGLGQPQREVTLHLNPIASVHTHHKPVVFLLNSPQPLVWHVKTER LAAGVPRLFLVSEGSVVQFSSGNFSLTAETEERSFPQENEHLLHWAQKEYGAVTSFTELK IARNIYIKVGEDQVFPPTCNIGKNFLSLNYLAEYLQPKAAEGCVLASQPHEKEVHIIELI SPNSNPYSTFQVDIIIDIRPAREDPEVVKNLVLILKCKKSVNWVIKSFDVKGNLKVIAPD SIGFGKESERSMTVTKLVRNDIPSTQENLMKWALDNGYSPVTSYTIAPVANRFHLRLENN EEMRDEEVHTIPPELRILLGPDHLPALDSPSFQGEIPNGGFPFPFPDIPRRGWKEGEDRI PRPKEPIIPRVQLLPDHREPEEVQGGVNIALSVKCDNEKMVVAVDKDSFQTNGYSGMELT LLDPSCKAKMNGTHFVLESPLNGCGTRHRRSAPDGVVYYNSIVVQAPSPGDSSGWPDGYE DLESGDNGFPGDTDEGETAPLSRAGVVVFNCSLRQLRSPSGFQDQLDGNATFNMELYNTD LFLVPSPGVFSVAENEHVYVEVSVTKADQDLGFAIQTCFISPYSNPDRMSDYTIIENICP KDDSVKFYSSKRVHFPIPHAEVDKKRFSFVFKSVFNTSLLFLHCELTLCSRKKGSQKLPK CVTPDDACTSLDATMIWTMMQNKKTFTKPLAVVLQVDYKENVPNMKESSPVPPPPQIFHG LDTLTVMGIAFAAFVIGALLTGALWYIYSHTGETARRQQVPTSPPASENSSAAHSIGSTQ STPCSSSSTA >ENSMUSP00000123644.1 pep:known chromosome:GRCm38:5:107118197:107132923:-1 gene:ENSMUSG00000029287.14 transcript:ENSMUST00000136882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbr3 description:transforming growth factor, beta receptor III [Source:MGI Symbol;Acc:MGI:104637] XICPKDDSVKFYSSKRVHFPIPHAEVDKKRFSFVFKSVFNTSLLFLHCELTLCSRKKGSQ KLPKCVTPDDACTSLDATMIWTMMQNKKTFTKPLAVVLQVDYKENVPNMKESSPVPPPPQ IFHGLDTLTVMGIAFAAFVIGALLTGALWYIYSHTG >ENSMUSP00000033522.8 pep:known chromosome:GRCm38:X:64173548:64178778:1 gene:ENSMUSG00000031179.14 transcript:ENSMUST00000033522.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3830417A13Rik description:RIKEN cDNA 3830417A13 gene [Source:MGI Symbol;Acc:MGI:1917946] MEPTENNQNFNLWDIPRAQTEERGPGSTQDPIAEAEEVEAIATAPGDVPAGGTPSSPQSA QGDPSPPTAMGSISGASFENPLSEVSGAESPSQDGLNEKIIDLVRFLLVKFRRMELTNKE EMIVKTMGDYEEHYSVIFSKAAECMKLIFGVDMLEVDPFVHSYFLHPALGITYDGMLHGV IGVPKTGLVILVLCVIFIENNCVREEVFWHAMNILGMQAGIDHFIFGEPRSLITEDFVEE GYVEYRQVPNSNPPCFEFLWGARAYAETTKMKILEFYASIVRQDPRSYPEKYAEALREEQ ERA >ENSMUSP00000122540.1 pep:known chromosome:GRCm38:X:64174348:64177507:1 gene:ENSMUSG00000031179.14 transcript:ENSMUST00000151996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3830417A13Rik description:RIKEN cDNA 3830417A13 gene [Source:MGI Symbol;Acc:MGI:1917946] MEPTENNQNFNLWDIPRAQTEE >ENSMUSP00000103592.1 pep:known chromosome:GRCm38:4:43032414:43040288:-1 gene:ENSMUSG00000036002.12 transcript:ENSMUST00000107958.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam214b description:family with sequence similarity 214, member B [Source:MGI Symbol;Acc:MGI:2441854] MRHVQAELSPSSEPEAGPSQPPVRQGTLQGGLLMGYSPAGGATSPGVYQVSIFSPSAGAS EPPRALKRPAPPTEGPRELKRGPGLGAREGLPPEEPSTVGLLSPEGLGLGLGVASQHFSH HGLCVVEHGGNTTSPWTSGTQSTPWLSSNASFNTLHTRDWAFPDQGGQGCLGETPGPAPS GQLHTLDTDLHNLAQIGGKSPVARVGNGSNPWPRESHGTANGHSPEHTPPGPGPPGPCPT KRRLLPAGETLDVSSEDEGPAPRRRRGTLGCPLAANSSDAKATPFWSHLLPGPKEPVLDP TDCSPMGRRLKGARRLKLSSLRTLRKGPGLLSPPSASPFPTPAVSRTLLGNFEESLLRGR FAPSGHIEGFTAEIGASGSYCPQHVTLPVTVTFFDVSEQNAPAPFLGVVDLTPLGRKGYS VPKVGTIQVTLFNPNQTVVKMFLVTFDFSDMPAAHMTFLRHRLFLVPVGEEGNASPTHRL LCYLLHLRFRSSRSGRLSLHGDIRLLFSRRSLELDTGLPYELQAVTEAPHNPRYSPLP >ENSMUSP00000103593.1 pep:known chromosome:GRCm38:4:43032414:43040291:-1 gene:ENSMUSG00000036002.12 transcript:ENSMUST00000107959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam214b description:family with sequence similarity 214, member B [Source:MGI Symbol;Acc:MGI:2441854] MRHVQAELSPSSEPEAGPSQPPVRQGTLQGGLLMGYSPAGGATSPGVYQVSIFSPSAGAS EPPRALKRPAPPTEGPRELKRGPGLGAREGLPPEEPSTVGLLSPEGLGLGLGVASQHFSH HGLCVVEHGGNTTSPWTSGTQSTPWLSSNASFNTLHTRDWAFPDQGGQGCLGETPGPAPS GQLHTLDTDLHNLAQIGGKSPVARVGNGSNPWPRESHGTANGHSPEHTPPGPGPPGPCPT KRRLLPAGETLDVSSEDEGPAPRRRRGTLGCPLAANSSDAKATPFWSHLLPGPKEPVLDP TDCSPMGRRLKGARRLKLSSLRTLRKGPGLLSPPSASPFPTPAVSRTLLGNFEESLLRGR FAPSGHIEGFTAEIGASGSYCPQHVTLPVTVTFFDVSEQNAPAPFLGVVDLTPLGRKGYS VPKVGTIQVTLFNPNQTVVKMFLVTFDFSDMPAAHMTFLRHRLFLVPVGEEGNASPTHRL LCYLLHLRFRSSRSGRLSLHGDIRLLFSRRSLELDTGLPYELQAVTEAPHNPRYSPLP >ENSMUSP00000103590.1 pep:known chromosome:GRCm38:4:43032414:43045797:-1 gene:ENSMUSG00000036002.12 transcript:ENSMUST00000107956.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam214b description:family with sequence similarity 214, member B [Source:MGI Symbol;Acc:MGI:2441854] MRHVQAELSPSSEPEAGPSQPPVRQGTLQGGLLMGYSPAGGATSPGVYQVSIFSPSAGAS EPPRALKRPAPPTEGPRELKRGPGLGAREGLPPEEPSTVGLLSPEGLGLGLGVASQHFSH HGLCVVEHGGNTTSPWTSGTQSTPWLSSNASFNTLHTRDWAFPDQGGQGCLGETPGPAPS GQLHTLDTDLHNLAQIGGKSPVARVGNGSNPWPRESHGTANGHSPEHTPPGPGPPGPCPT KRRLLPAGETLDVSSEDEGPAPRRRRGTLGCPLAANSSDAKATPFWSHLLPGPKEPVLDP TDCSPMGRRLKGARRLKLSSLRTLRKGPGLLSPPSASPFPTPAVSRTLLGNFEESLLRGR FAPSGHIEGFTAEIGASGSYCPQHVTLPVTVTFFDVSEQNAPAPFLGVVDLTPLGRKGYS VPKVGTIQVTLFNPNQTVVKMFLVTFDFSDMPAAHMTFLRHRLFLVPVGEEGNASPTHRL LCYLLHLRFRSSRSGRLSLHGDIRLLFSRRSLELDTGLPYELQAVTEAPHNPRYSPLP >ENSMUSP00000103591.1 pep:known chromosome:GRCm38:4:43032414:43045825:-1 gene:ENSMUSG00000036002.12 transcript:ENSMUST00000107957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam214b description:family with sequence similarity 214, member B [Source:MGI Symbol;Acc:MGI:2441854] MRHVQAELSPSSEPEAGPSQPPVRQGTLQGGLLMGYSPAGGATSPGVYQVSIFSPSAGAS EPPRALKRPAPPTEGPRELKRGPGLGAREGLPPEEPSTVGLLSPEGLGLGLGVASQHFSH HGLCVVEHGGNTTSPWTSGTQSTPWLSSNASFNTLHTRDWAFPDQGGQGCLGETPGPAPS GQLHTLDTDLHNLAQIGGKSPVARVGNGSNPWPRESHGTANGHSPEHTPPGPGPPGPCPT KRRLLPAGETLDVSSEDEGPAPRRRRGTLGCPLAANSSDAKATPFWSHLLPGPKEPVLDP TDCSPMGRRLKGARRLKLSSLRTLRKGPGLLSPPSASPFPTPAVSRTLLGNFEESLLRGR FAPSGHIEGFTAEIGASGSYCPQHVTLPVTVTFFDVSEQNAPAPFLGVVDLTPLGRKGYS VPKVGTIQVTLFNPNQTVVKMFLVTFDFSDMPAAHMTFLRHRLFLVPVGEEGNASPTHRL LCYLLHLRFRSSRSGRLSLHGDIRLLFSRRSLELDTGLPYELQAVTEAPHNPRYSPLP >ENSMUSP00000038177.5 pep:known chromosome:GRCm38:4:43032414:43046220:-1 gene:ENSMUSG00000036002.12 transcript:ENSMUST00000036462.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam214b description:family with sequence similarity 214, member B [Source:MGI Symbol;Acc:MGI:2441854] MRHVQAELSPSSEPEAGPSQPPVRQGTLQGGLLMGYSPAGGATSPGVYQVSIFSPSAGAS EPPRALKRPAPPTEGPRELKRGPGLGAREGLPPEEPSTVGLLSPEGLGLGLGVASQHFSH HGLCVVEHGGNTTSPWTSGTQSTPWLSSNASFNTLHTRDWAFPDQGGQGCLGETPGPAPS GQLHTLDTDLHNLAQIGGKSPVARVGNGSNPWPRESHGTANGHSPEHTPPGPGPPGPCPT KRRLLPAGETLDVSSEDEGPAPRRRRGTLGCPLAANSSDAKATPFWSHLLPGPKEPVLDP TDCSPMGRRLKGARRLKLSSLRTLRKGPGLLSPPSASPFPTPAVSRTLLGNFEESLLRGR FAPSGHIEGFTAEIGASGSYCPQHVTLPVTVTFFDVSEQNAPAPFLGVVDLTPLGRKGYS VPKVGTIQVTLFNPNQTVVKMFLVTFDFSDMPAAHMTFLRHRLFLVPVGEEGNASPTHRL LCYLLHLRFRSSRSGRLSLHGDIRLLFSRRSLELDTGLPYELQAVTEAPHNPRYSPLP >ENSMUSP00000122882.1 pep:known chromosome:GRCm38:4:43032414:43046220:-1 gene:ENSMUSG00000036002.12 transcript:ENSMUST00000135067.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam214b description:family with sequence similarity 214, member B [Source:MGI Symbol;Acc:MGI:2441854] MRHVQAELSPSSEPEAGPSQPPVRQGTLQGGLLMGYSPAGGATSPGVYQVSIFSPSAGAS EPPRALKRPAPPTEGPRELKRGPGLGAREGLPPEEPSTVGLLSPEGLGLGLGVASQHFSH HGLCVVEHGGNTTSPWTSGTQSTPWLSSNASFNTLHTRDWAFPDQGGQGCLGETPGPAPS GQLHTLDTDLHNLAQIGGKSPVARVGNGSNPWPRESHGTANGHSPEHTPPGPGPPGPCPT KRRLLPAGETLDVSSEDEGPAPRRRRGTLGCPLAANSSDAKATPFWSHLLPGPKEPVLKL PSNSPEGARPAEPSQCLPLSHPCCQPDPAGQL >ENSMUSP00000118228.1 pep:known chromosome:GRCm38:4:43036319:43040295:-1 gene:ENSMUSG00000036002.12 transcript:ENSMUST00000152846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam214b description:family with sequence similarity 214, member B [Source:MGI Symbol;Acc:MGI:2441854] MRHVQAELSPSSEPEAGPSQPPVRQGTLQGGLLMGYSPAGGATSPGVYQVSIFSPSAGAS EPPRALKRPAPPTEGPRELKRGPGLGAREGLPPEEPSTVGLLSPEGLGLGLGVASQHFSH HGLCVVEHGGNTTSPWT >ENSMUSP00000115835.1 pep:known chromosome:GRCm38:4:43036600:43037301:-1 gene:ENSMUSG00000036002.12 transcript:ENSMUST00000124155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam214b description:family with sequence similarity 214, member B [Source:MGI Symbol;Acc:MGI:2441854] MRHVQAELSPSSEPEAGPSQPPVRQGTLQGGLLMGYSPAGGAT >ENSMUSP00000119404.1 pep:known chromosome:GRCm38:4:43036703:43039331:-1 gene:ENSMUSG00000036002.12 transcript:ENSMUST00000144999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam214b description:family with sequence similarity 214, member B [Source:MGI Symbol;Acc:MGI:2441854] MRHVQAELS >ENSMUSP00000003572.8 pep:known chromosome:GRCm38:6:55038007:55079500:1 gene:ENSMUSG00000029777.10 transcript:ENSMUST00000003572.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gars description:glycyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2449057] MPCLLPSLLRATRAALPLLSPPRVVAASASQRLLSAPAQPAASRSSMDSAEELLAPLRLA VRQQGDFVRKLKEDKAPQVDVDRAVAELKARKRVLEAKELALQPKDDIVDRAKMEDTLKR RFFYDQAFAIYGGVSGLYDFGPVGCALKNNIIQAWRQHFIQEEQILEIDCTMLTPEPVLK TSGHVDKFADFMVKDVKNGECFRADHLLKAHLQKLMSDKKCSAEKKSEMESVLAQLDNYG QQELADLFVNYNVKSPTTGNDLSPPVPFNLMFQTFIGPGGNMPGYLRPETAQGIFLNFKR LLEFNQGKLPFAAAQIGNSFRNEISPRSGLIRVREFTMAEIEHFVDPTEKDHPKFQSVAD LCLYLYSAKAQVTGQSARKMRLGDAVEQGVINNSVLGYFIGRIYLYLTKVGISPDKLRFR QHMENEMAHYACDCWDAESKTSYGWIEIVGCADRSCYDLSCHARATKVPLVAEKPLKEPK TVNVVQFEPNKGAVGKAYKKDAKLVLEYLSACDECYISEMELLLSEKGEFTIETEGKTFQ LTKDMVSVKRFQKTLHVEEVVPSVIEPSFGLGRIMYTILEHTFHVREGDEQRTFFSFPAV VAPFKCSVLPLSQNQEFMPFVKELSEALTRNGVSHKVDDSSGSIGRRYARTDEIGVAFGI TIDFDTVNKTPHTATLRDRDSMRQIRAEVSELPNVVRDLANGNITWADVEARYPLFEGQE TGKKETVEE >ENSMUSP00000035358.7 pep:known chromosome:GRCm38:1:132417555:132466958:1 gene:ENSMUSG00000042046.15 transcript:ENSMUST00000045110.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dstyk description:dual serine/threonine and tyrosine protein kinase [Source:MGI Symbol;Acc:MGI:1925064] MEADGQSWAGESVSGPGPGGGGMIRELCRGFSRYRRYLGRLRQNLRETQKFFRDIKCSHS HSCPSSPAGGGAAELGPAGDVAEAPLPAGQLSCISFPPMEETYLQQLVDRLPCILILGQD CNAKCQLLNLLLGVQVLPTLKLDSDESCKLRRLRFTYGTRTRVSLALPGQYELVHTLASH QDNWETIPEEDLEVQEDSEDAAHVLADLEVTMHHALLQEVDIVVAPCPSHRPSVDVLSDL ANDFLPVITYALHKDELSERGEQELREVRQYFSFPMFFFKVPKLEIISSSSGRAESERSP LYGQLVDLGYLSSSHRNCVPSDQDCKAQSMLVEQSEKLKQLSTFSHQLLQNRLVDAAKAL NVVHSHCLDIFINQAFDMQRDLQITPKRLEYTRKKENELYESLMNIANRKQEEMKDMIVE TLNTMKEELLDDAANMEFKDVIVPENGETIGTREIKSCIRQIQELIISRLNQAVANKLIS SVDYLRESFVGTLERCLQSLEKSQDVSVHITSNYLKQILNAAYHVEVTFHSGSSVTRMLW EQIKQIIQRITWVNPPTITLEWKRKVAQEAIDSLSASKLAKSICSQFRTRLNSSHEAFAA SLRQLEAGHSGRLEKTEDLWLKVRKDHAPRLARLSLESRSLQDVLLHRKPKLGQELGRGQ YGVVYLCDNWGGHFPCALKSVVPPDEKHWNDLALEFHYMRSLPKHERLVDLHGSVIDYNY GGGSSVAVLLIMERLHRDLYTGLKAGLTLETRLQIALDVVEGIRFLHSQGLVHRDIKLKN VLLDKQNRAKITDLGFCKPEAMMSGSIVGTPIHMAPELFTGKYDNSVDVYAFGILFWYIC SGSIKLPEAFERCASKDHLWNNVRRGTRPERLPVFDEECWQLMEACWDGDPLKRPLLGIV QPMLRSIMDRLCKCSSEQPNRGLDDST >ENSMUSP00000139652.1 pep:known chromosome:GRCm38:1:132417604:132464092:1 gene:ENSMUSG00000042046.15 transcript:ENSMUST00000188389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dstyk description:dual serine/threonine and tyrosine protein kinase [Source:MGI Symbol;Acc:MGI:1925064] MEADGQSWAGESVSGPGPGGGGMIRELCRGFSRYRRYLGRLRQNLRETQKFFRDIKCSHS HSCPSSPAGGGAAELGPAGDVAEAPLPAGQLSCISFPPMEETYLQQLVDRLPCILILGQD CNAKCQLLNLLLGVQVLPTLKLDSDESCKLRRLRFTYGTRTRVSLALPGQYELVHTLASH QDNWETIPEEDLEVQEDSEDAAHVLADLEVTMHHALLQEVDIVVAPCPSHRPSVDVLSDL ANDFLPVITYALHKDELSERGEQELREVRQYFSFPMFFFKVPKLEIISSSSGRAESERSP LYGQLVDLGYLSSSHRNCVPSDQDCKAQSMLVEQSEKLKQLSTFSHQLLQNRLVDAAKAL NVVHSHCLDIFINQAFDMQRDLQITPKRLEYTRKKENELYESLMNIANRKQEEMKDMIVE TLNTMKEELLDDAANMEFKDVIVPENGETIGTREIKSCIRQIQELIISRLNQAVANKLIS SVDYLRESFVGTLERCLQSLEKSQDVSVHITSNYLKQILNAAYHVEVTFHSGSSVTRMLW EQIKQIIQRITWVNPPTITLEWKRKVAQEAIDSLSASKLAKSICSQFRTRLNSSHEAFAA SLRQLEAGHSGRLEKTEDLWLKVRKDHAPRLARLSLESRSLQDVLLHRKPKLGQELGRGQ YGVVYLCDNWGGHFPCALKSVVPPDEKHWNDLALEFHYMRSLPKHERLVDLHGSVIDYNY GGGSSVAVLLIMERLHRDLYTGLKAGLTLETRLQIALDVVEGIRFLHSQGLVHRDIKLKN VLLDKQNRAKITDLGFCKPEAMMSGSIVGTPIHMAPELFTGTRPERLPVFDEECWQLMEA CWDGDPLKRPLLGIVQPMLRSIMDRLCKCSSEQPNRGLDDST >ENSMUSP00000140376.1 pep:known chromosome:GRCm38:1:132449398:132451607:1 gene:ENSMUSG00000042046.15 transcript:ENSMUST00000187092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dstyk description:dual serine/threonine and tyrosine protein kinase [Source:MGI Symbol;Acc:MGI:1925064] XITYALHKDELSERGEQELREVRQYFSFPMFFFKVPKLEIISSSSGRAESERSPLYGQLV DLGYLSSSHRNCVPSDQDCKAQSMLVEQSEKLKQLSTFSHQLLQNRLVDAAKALNVVHSH CLDIFINQAFDMQRDLQITPKRLEYTRKKENELYESLMNIANRKQEEMKDMIVETLNTMK EELLDDAANMEFKVSCSEMPELEHMNLELFIILYPA >ENSMUSP00000081346.4 pep:known chromosome:GRCm38:4:118409337:118415111:1 gene:ENSMUSG00000006392.16 transcript:ENSMUST00000084319.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med8 description:mediator complex subunit 8 [Source:MGI Symbol;Acc:MGI:1915269] MRQTEGRVPVFSHEVVPDHLRTKPDPEVEEQEKQLTTDAARIGADAAQKQIQSLNKMCSN LLEKISKEERESESGGLRPNKQTFNPGDTNALVAAVAFGKGLSNWRPSGSSGPGQPGQPG AGTILAGASGLPQVQMPGAPNQQQPMLSGVQMAQAGQPGKMPSGIKTNIKSASMHPYQR >ENSMUSP00000123622.1 pep:known chromosome:GRCm38:4:118409349:118412403:1 gene:ENSMUSG00000006392.16 transcript:ENSMUST00000126089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med8 description:mediator complex subunit 8 [Source:MGI Symbol;Acc:MGI:1915269] MRQTEGRVPVFSHEVVPD >ENSMUSP00000073544.1 pep:known chromosome:GRCm38:4:118409355:118415109:1 gene:ENSMUSG00000006392.16 transcript:ENSMUST00000073881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med8 description:mediator complex subunit 8 [Source:MGI Symbol;Acc:MGI:1915269] MRQTEGRVPVFSHEVVPDHLRTKPDPEVEEQEKQLTTDAARIGADAAQKQIQSLNKMCSN LLEKISKEERESESGGLRPNKQTFNPGDTNALVAAVAFGKGLSNWRPSGKMPSGIKTNIK SASMHPYQR >ENSMUSP00000019229.8 pep:known chromosome:GRCm38:4:118409366:118415111:1 gene:ENSMUSG00000006392.16 transcript:ENSMUST00000019229.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med8 description:mediator complex subunit 8 [Source:MGI Symbol;Acc:MGI:1915269] MQREEKQLEASLDALLNQVADLKNSLGSFIYKLENEYDRLTWPSVLDSFALLSGQLNTLN KVLKHEKTPLFRNQVIIPLVLSPDRDEDLMRQTEGRVPVFSHEVVPDHLRTKPDPEVEEQ EKQLTTDAARIGADAAQKQIQSLNKMCSNLLEKISKEERESESGGLRPNKQTFNPGDTNA LVAAVAFGKGLSNWRPSGSSGPGQPGQPGAGTILAGASGLPQVQMPGAPNQQQPMLSGVQ MAQAGQPGKMPSGIKTNIKSASMHPYQR >ENSMUSP00000120158.1 pep:known chromosome:GRCm38:4:118409401:118412744:1 gene:ENSMUSG00000006392.16 transcript:ENSMUST00000144577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med8 description:mediator complex subunit 8 [Source:MGI Symbol;Acc:MGI:1915269] MRQTEGRVPVFSHEVVPDHLRTKPDPEVEEQEKQLTTDAARIGADAAQKQIQSLNKMCSN LLEKISKEERESESG >ENSMUSP00000101992.3 pep:known chromosome:GRCm38:4:118409337:118415782:1 gene:ENSMUSG00000006392.16 transcript:ENSMUST00000106384.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med8 description:mediator complex subunit 8 [Source:MGI Symbol;Acc:MGI:1915269] MQREEKQLEASLDALLNQVADLKNSLGSFIYKLENEYDRLTCKSGSCLCQTQGCESSGPS VLDSFALLSGQLNTLNKVLKHEKTPLFRNQVIIPLVLSPDRDEDLMRQTEGRVPVFSHEV VPDHLRTKPDPEVEEQEKQLTTDAARIGADAAQKQIQSLNKMCSNLLEKISKEERESESG GLRPNKQTFNPGDTNALVAAVAFGKGLSNWRPSGKMPSGIKTNIKSASMHPYQR >ENSMUSP00000102233.2 pep:known chromosome:GRCm38:3:108556425:108562170:-1 gene:ENSMUSG00000068732.5 transcript:ENSMUST00000106622.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem167b description:transmembrane protein 167B [Source:MGI Symbol;Acc:MGI:1914745] MLLAVSLFSSPSSVLLGWDPGVWFALCLHLCLLQESTSSQNLAAVGEEGSVGCILQSCCD WNPAACCCCNCLHCNGLLRPVYQMNSKPSNSSTANQGIKMKNLSETWTQCRRVELKSLVS PR >ENSMUSP00000088035.5 pep:known chromosome:GRCm38:3:108556425:108562466:-1 gene:ENSMUSG00000068732.5 transcript:ENSMUST00000090546.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem167b description:transmembrane protein 167B [Source:MGI Symbol;Acc:MGI:1914745] MTNVYSLDGILVFGLLFVCTCAYFKKVPRLKTWLLSEKKGVWGVFYKAAVIGTRLHAAVA IACIVMAFYVLFIK >ENSMUSP00000028083.5 pep:known chromosome:GRCm38:2:38588036:38644087:-1 gene:ENSMUSG00000026750.6 transcript:ENSMUST00000028083.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb7 description:proteasome (prosome, macropain) subunit, beta type 7 [Source:MGI Symbol;Acc:MGI:107637] MAAVSVFQPPVGGFSFDNCRRNAVLEADFAKKGFKLPKARKTGTTIAGVVYKDGIVLGAD TRATEGMVVADKNCSKIHFISPNIYCCGAGTAADTDMTTQLISSNLELHSLTTGRLPRVV TANRMLKQMLFRYQGYIGAALVLGGVDVTGPHLYSIYPHGSTDKLPYVTMGSGSLAAMAV FEDKFRPDMEEEEAKKLVSEAIAAGIFNDLGSGSNIDLCVISKSKLDFLRPFSVPNKKGT RLGRYRCEKGTTAVLTEKVTPLEIEVLEETVQTMDTS >ENSMUSP00000110742.1 pep:known chromosome:GRCm38:5:24364810:24384327:1 gene:ENSMUSG00000028978.12 transcript:ENSMUST00000115090.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos3 description:nitric oxide synthase 3, endothelial cell [Source:MGI Symbol;Acc:MGI:97362] MGNLKSVGQEPGPPCGLGLGLGLGLCGKQGPASPAPEPSQAPAPPSPTRPAPDHSPPLTR PPDGPRFPRVKNWEVGSITYDTLSAQAQQDGPCTSRRCLGSLVFPRKLQSRPTQGPSPTE QLLGQARDFINQYYNSIKRSGSQAHEQRLQEVEAEVAATGTYQLRESELVFGAKQAWRNA PRCVGRIQWGKLQVFDARDCRTAQEMFTYICNHIKYATNRGNLRSAITVFPQRCPGRGDF RIWNSQLIRYAGYRQQDGSVRGDPANVEITELCIQHGWTPGNGRFDVLPLLLQAPDEPPE LFTLPPEMVLEVPLEHPTLEWFAALGLRWYALPAVSNMLLEIGGLEFPAAPFSGWYMSSE IGMRDLCDPHRYNILEDVAVCMDLDTRTTSSLWKDKAAVEINVAVLHSYQLAKVTIVDHH AATASFMKHLENEQKARGGCPADWAWIVPPISGSLTPVFHQEMVNYFLSPAFRYQPDPWK GSAAKGAGITRKKTFKEVANAVKISASLMGTVMAKRVKATILYGSETGRAQSYAQQLGRL FRKAFDPRVLCMDEYDVVSLEHEALVLVVTSTFGNGDPPENGESFAAALMEMSGPYNSSP RPEQHKSYKIRFNSVSCSDPLVSSWRRKRKESSNTDSAGALGTLRFCVFGLGSRAYPHFC AFARAVDTRLEELGGERLLQLGQGDELCGQEEAFRGWAQAAFQAACETFCVGEDAKAAAR DIFSPKRSWKRQRYRLSTQAESLQLLPGLTHVHRRKMFQATILSVENLQSSKSTRATILV RLDTGGQEGLQYQPGDHIGVCPPNRPGLVEALLSRVEDPPPSTEPVAVEQLEKGSPGGPP PGWVRDPRLPPCTLRQALTYFLDITSPPSPRLLRLLSTLAEESSEQQELEALSQDPRRYE EWKWFSCPTLLEVLEQFPSVALPAPLILTQLPLLQPRYYSVSSAPSAHPGEIHLTIAVLA YRTQDGLGPLHYGVCSTWMSQLKAGDPVPCFIRGAPSFRLPPDPNLPCILVGPGTGIAPF RGFWQDRLHDIEIKGLQPAPMTLVFGCRCSQLDHLYRDEVLDAQQRGVFGQVLTAFSRDP GSPKERQLRGAVPWSFDPPGPEIPGS >ENSMUSP00000030834.4 pep:known chromosome:GRCm38:5:24364810:24384474:1 gene:ENSMUSG00000028978.12 transcript:ENSMUST00000030834.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos3 description:nitric oxide synthase 3, endothelial cell [Source:MGI Symbol;Acc:MGI:97362] MGNLKSVGQEPGPPCGLGLGLGLGLCGKQGPASPAPEPSQAPAPPSPTRPAPDHSPPLTR PPDGPRFPRVKNWEVGSITYDTLSAQAQQDGPCTSRRCLGSLVFPRKLQSRPTQGPSPTE QLLGQARDFINQYYNSIKRSGSQAHEQRLQEVEAEVAATGTYQLRESELVFGAKQAWRNA PRCVGRIQWGKLQVFDARDCRTAQEMFTYICNHIKYATNRGNLRSAITVFPQRCPGRGDF RIWNSQLIRYAGYRQQDGSVRGDPANVEITELCIQHGWTPGNGRFDVLPLLLQAPDEPPE LFTLPPEMVLEVPLEHPTLEWFAALGLRWYALPAVSNMLLEIGGLEFPAAPFSGWYMSSE IGMRDLCDPHRYNILEDVAVCMDLDTRTTSSLWKDKAAVEINVAVLHSYQLAKVTIVDHH AATASFMKHLENEQKARGGCPADWAWIVPPISGSLTPVFHQEMVNYFLSPAFRYQPDPWK GSAAKGAGITRKKTFKEVANAVKISASLMGTVMAKRVKATILYGSETGRAQSYAQQLGRL FRKAFDPRVLCMDEYDVVSLEHEALVLVVTSTFGNGDPPENGESFAAALMEMSGPYNSSP RPEQHKSYKIRFNSVSCSDPLVSSWRRKRKESSNTDSAGALGTLRFCVFGLGSRAYPHFC AFARAVDTRLEELGGERLLQLGQGDELCGQEEAFRGWAQAAFQAACETFCVGEDAKAAAR DIFSPKRSWKRQRYRLSTQAESLQLLPGLTHVHRRKMFQATILSVENLQSSKSTRATILV RLDTGGQEGLQYQPGDHIGVCPPNRPGLVEALLSRVEDPPPSTEPVAVEQLEKGSPGGPP PGWVRDPRLPPCTLRQALTYFLDITSPPSPRLLRLLSTLAEESSEQQELEALSQDPRRYE EWKWFSCPTLLEVLEQFPSVALPAPLILTQLPLLQPRYYSVSSAPSAHPGEIHLTIAVLA YRTQDGLGPLHYGVCSTWMSQLKAGDPVPCFIRGAPSFRLPPDPNLPCILVGPGTGIAPF RGFWQDRLHDIEIKGLQPAPMTLVFGCRCSQLDHLYRDEVLDAQQRGVFGQVLTAFSRDP GSPKTYVQDLLRTELAAEVHRVLCLEQGHMFVCGDVTMATSVLQTVQRILATEGGMELDE AGDVIGVLRDQQRYHEDIFGLTLRTQEVTSRIRTQSFSLQERQLRGAVPWSFDPPGPEIP GS >ENSMUSP00000022720.8 pep:known chromosome:GRCm38:14:103080239:103099554:-1 gene:ENSMUSG00000022124.14 transcript:ENSMUST00000022720.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl3 description:F-box and leucine-rich repeat protein 3 [Source:MGI Symbol;Acc:MGI:1354702] MKRGGRDSDQDSAEEGTAEKPKRPRTTQERSQPCDWGNLLQDIVLHVFKYLPLLDRAHAS QVCRNWNQVFHMPDLWRCFEFELNQPATSYLKATHPELIKQIIKRHSNHLQYVSFKVDSS KESAEAACDILSQLVNCSLKTLGLISTARPSFMDLPKSHFISALTVVFVNSKSLSSLKID DTPVDDPSLKVLVANNSDTLKLLKMSSCPHVSPAGILCVADQCHGLRELALNYHLLSDEL LLALSSEKHVRLEHLRIDVVSENPGQTHFHTIQKSSWDAFIKHSPKVNLVMYFFLYEEEF DPFFRYEIPATHLYFGRSVSKDVLGRVGMTCPRLVELVVCANGLRPLDEELIRIAERCKN LSAIGLGECEVSCSAFVEFVKMCGGRLSQLSIMEEVLIPDQKYSLEQIHWEVSKHLGRVW FPDMMPTW >ENSMUSP00000116044.1 pep:known chromosome:GRCm38:14:103081034:103098999:-1 gene:ENSMUSG00000022124.14 transcript:ENSMUST00000145693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl3 description:F-box and leucine-rich repeat protein 3 [Source:MGI Symbol;Acc:MGI:1354702] MKRGGRDSDQDSAEEGTAEKPKRPRTTQERSQPCDWGNLLQDIVLHVFKYLPLLDRAHAS QVCRNWNQVFHMPDLWRCFEFELNQPATSYLKATHPELIKQIIKRHSNHLQYVSFKVDSS KESAEAACDILSQLVNCSLKTLGLISTARPSFMDLPKSHFISALTVVFVNSKSLSSLKID DTPVDDPSLKVLVANNSDTLKLLKMSSCPHVSPAGILCVADQCHGLRELALNYHLLSDEL LLALSSEKHVRLEHLRIDVVSENPGQTHFHTIQKSSWDAFIKHSPKVNLVMYFFLYEEEF DPFFRYEIPATHLYFGRSVSKDVLGRVGMTCPRLVELVVCANGLRPLDEELIRIAERCKN LSAIGLGECEVSCSAFVEFVKMCGGRLSQLSIMEEVLIPDQKYSLEQIHWEVSKHLGRVW FPDMMPTW >ENSMUSP00000115843.1 pep:known chromosome:GRCm38:14:103081684:103098975:-1 gene:ENSMUSG00000022124.14 transcript:ENSMUST00000132004.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl3 description:F-box and leucine-rich repeat protein 3 [Source:MGI Symbol;Acc:MGI:1354702] MKRGGRDSDQDSAEEGTAEKPKRPRTTQERSQPCDWGNLLQDIVLHVFKYLPLLDRAHAS QVCRNWNQVDSSKESAEAACDILSQLVNCSLKTLGLISTARPSFMDLPKSHFISALTVVF VNSKSLSSLKIDDTPVDDPSLKVLVANNSDTLKLLKMSSCPHVSPAGILCVADQCHGLRE LALNYHLLSDELLLALSSEKHVRLEHLRIDVVSENPGQTHFHTIQKSSWDAFIKHSPKVN LVMYFFLYEEEFDPFFRYEIPATHLYFGRSVSKDVLGRVGMTCPRLVELVVCANGLRPLD EELIRIAERCKNLSAIGLGECEVSCSAFVEFVKMCGGRLSQLSIMEEVLIPDQKYSLEQI HWEVSKHLGRVWFPDMMPTW >ENSMUSP00000120691.1 pep:known chromosome:GRCm38:14:103089414:103099566:-1 gene:ENSMUSG00000022124.14 transcript:ENSMUST00000144141.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl3 description:F-box and leucine-rich repeat protein 3 [Source:MGI Symbol;Acc:MGI:1354702] MKRGGRDSDQDSAEEGTAEKPKRPRTTQERSQPCDWGNLLQDIVLHVFKYLPLLDRAHAS QVCRNWNQVFHMPDLWRCFEFELNQPATSYLKATHPELIKQIIKRHSNHLQYVSFKVDSS KESAEAACDILSQLVNCSLKTLGLISTARPSFMDLPKSHFISALTVVFVNSKSLSSL >ENSMUSP00000117701.1 pep:known chromosome:GRCm38:14:103089452:103095748:-1 gene:ENSMUSG00000022124.14 transcript:ENSMUST00000123043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl3 description:F-box and leucine-rich repeat protein 3 [Source:MGI Symbol;Acc:MGI:1354702] MKRGGRDSDQDSAEEGTAEKPKRPRTTQERSQPCDWGNLLQDIVLHVFKYLPLLDRAHAS QVCRNWNQVDSSKESAEAACDILSQLVNCSLKTLGLISTARPSFMDLPKSHFISAL >ENSMUSP00000030535.3 pep:known chromosome:GRCm38:4:138338528:138367992:-1 gene:ENSMUSG00000028755.3 transcript:ENSMUST00000030535.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cda description:cytidine deaminase [Source:MGI Symbol;Acc:MGI:1919519] MAQERPSCAVEPEHVQRLLLSSREAKKSAYCPYSRFPVGAALLTGDGRIFSGCNIENACY PLGVCAERTAIQKAISEGYKDFRAIAISSDLQEEFISPCGACRQVMREFGTDWAVYMTKP DGTFVVRTVQELLPASFGPEDLQKIQ >ENSMUSP00000018478.4 pep:known chromosome:GRCm38:11:79013440:79146407:-1 gene:ENSMUSG00000018334.17 transcript:ENSMUST00000018478.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ksr1 description:kinase suppressor of ras 1 [Source:MGI Symbol;Acc:MGI:105051] MDRAALRAAAMGEKKEGGGGGAAADGGAGAAVSRALQQCGQLQKLIDISIGSLRGLRTKC SVSNDLTQQEIRTLEAKLVKYICKQQQSKLSVTPSDRTAELNSYPRFSDWLYIFNVRPEV VQEIPQELTLDALLEMDEAKAKEMLRRWGASTEECSRLQQALTCLRKVTGLGGEHKMDSG WSSTDARDSSLGPPMDMLSSLGRAGASTQGPRSISVSALPASDSPVPGLSEGLSDSCIPL HTSGRLTPRALHSFITPPTTPQLRRHAKLKPPRTPPPPSRKVFQLLPSFPTLTRSKSHES QLGNRIDDVTPMKFELPHGSPQLVRRDIGLSVTHRFSTKSWLSQVCNVCQKSMIFGVKCK HCRLKCHNKCTKEAPACRITFLPLARLRRTESVPSDINNPVDRAAEPHFGTLPKALTKKE HPPAMNLDSSSNPSSTTSSTPSSPAPFLTSSNPSSATTPPNPSPGQRDSRFSFPDISACS QAAPLSSTADSTRLDDQPKTDVLGVHEAEAEEPEAGKSEAEDDEEDEVDDLPSSRRPWRG PISRKASQTSVYLQEWDIPFEQVELGEPIGQGRWGRVHRGRWHGEVAIRLLEMDGHNQDH LKLFKKEVMNYRQTRHENVVLFMGACMNPPHLAIITSFCKGRTLHSFVRDPKTSLDINKT RQIAQEIIKGMGYLHAKGIVHKDLKSKNVFYDNGKVVITDFGLFGISGVVREERRENQLK LSHDWLCYLAPEIVREMIPGRDEDQLPFSKAADVYAFGTVWYELQARDWPFKHQPAEALI WQIGSGEGVRRVLASVSLGKEVGEILSACWAFDLQERPSFSLLMDMLERLPKLNRRLSHP GHFWKSADINSSKVMPRFERFGLGTLESGNPKM >ENSMUSP00000146491.1 pep:known chromosome:GRCm38:11:79017036:79020410:-1 gene:ENSMUSG00000018334.17 transcript:ENSMUST00000209031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ksr1 description:kinase suppressor of ras 1 [Source:MGI Symbol;Acc:MGI:105051] XGEGVRRVLASVSLGKEVGEILSACWAFDLQERPSFSLLMDMLERLPKLNRRLSHPGHFW KSAEL >ENSMUSP00000103899.1 pep:known chromosome:GRCm38:11:79026707:79146407:-1 gene:ENSMUSG00000018334.17 transcript:ENSMUST00000108264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ksr1 description:kinase suppressor of ras 1 [Source:MGI Symbol;Acc:MGI:105051] MDRAALRAAAMGEKKEGGGGGAAADGGAGAAVSRALQQCGQLQKLIDISIGSLRGLRTKC SVSNDLTQQEIRTLEAKLVKYICKQQQSKLSVTPSDRTAELNSYPRFSDWLYIFNVRPEV VQEIPQELTLDALLEMDEAKAKEMLRRWGASTEECSRLQQALTCLRKVTGLGGEHKMDSG WSSTDARDSSLGPPMDMLSSLGRAGASTQGPRSISVSALPASDSPVPGLSEGLSDSCIPL HTSGRLTPRALHSFITPPTTPQLRRHAKLKPPRTPPPPSRKVFQLLPSFPTLTRSKSHES QLGNRIDDVTPMKFELPHGSPQLVRRDIGLSVTHRFSTKSWLSQVCNVCQKSMIFGVKCK HCRLKCHNKCTKEAPACRITFLPLARLRRTESVPSDINNPVDRAAEPHFGTLPKALTKKE HPPAMNLDSSSNPSSTTSSTPSSPAPFLTSSNPSSATTPPNPSPGQRDSRFSFPDISACS QAAPLSSTADSTRLDDQPKTDVLGVHEAEAEEPEAGKSEAEDDEEDEVDDLPSSRRPWRG PISRKASQTSVYLQEWDIPFEQVELGEPIGQGRWGRVHRGRWHGEVAIRLLEMDGHNQDH LKLFKKEVMNYRQTRHENVVLFMGACMNPPHLAIITR >ENSMUSP00000146527.1 pep:known chromosome:GRCm38:11:79030625:79074486:-1 gene:ENSMUSG00000018334.17 transcript:ENSMUST00000208969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ksr1 description:kinase suppressor of ras 1 [Source:MGI Symbol;Acc:MGI:105051] AKLVKYICKQQQSKLSVTPSDRTAELNSYPRFSDWLYIFNVRPEVVQEIPQELTLDALLE MDEAKAKEMLRRWGASTEECSRLQQALTCLRKVTGLGGEHKMDSGWSSTDARDSSLGPPM DMLSSLGRAGASTQGPRSISVSALPASDSPVPGLSEGLSDSCIPLHTSGRLTPRALHSFI TPPTTPQLRRHAKLKPPRTPPPPSRKVFQLLPSFPTLTRSKSHESQLGNRIDDVTPMKFE LPHGSPQLVRRDIGLSVTHRFSTKSWLSQVCNVCQKSMIFGVKCKHCRLKCHNKCTKEAP ACRITFLPLARLRRTESVPSDINNPVDRAAEPHFGTLPKALTKKEHPPAMNLDSSSNPSS TTSSTPSSPAPFLTSSNPSSATTPPNPSPGQRDSRFSFPAAYFIHHRQQFIFPAPPAGRH WNYLLTAESLKK >ENSMUSP00000116407.1 pep:known chromosome:GRCm38:11:79044920:79059875:-1 gene:ENSMUSG00000018334.17 transcript:ENSMUST00000141409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ksr1 description:kinase suppressor of ras 1 [Source:MGI Symbol;Acc:MGI:105051] MHCLWSWVTTKASRDPEAPPPTLPREAQGSSQPAPDESCEEIPQELTLDALLEMDEAKAK EMLRRWGASTEECSRLQQALTCLRKVTGLGGEHKMDSGWSSTDARDSSLGPPMDMLSSLG RAGASTQGPRSISVSALPASDSPVPGLSEGLSDSCIPLHTSGRLTPRALHSFITPPTTPQ LRRHAKLKPPRTPPPPSRKVFQLLP >ENSMUSP00000114538.1 pep:known chromosome:GRCm38:11:79045183:79057627:-1 gene:ENSMUSG00000018334.17 transcript:ENSMUST00000129463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ksr1 description:kinase suppressor of ras 1 [Source:MGI Symbol;Acc:MGI:105051] MQEIPQELTLDALLEMDEAKAKEMLRRWGASTEECSRLQQALTCLRKVTGLGGEHKMDSG WSSTDARDSSLGPPMDMLSS >ENSMUSP00000042219.3 pep:known chromosome:GRCm38:4:22357543:22434091:1 gene:ENSMUSG00000040410.14 transcript:ENSMUST00000039234.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl4 description:F-box and leucine-rich repeat protein 4 [Source:MGI Symbol;Acc:MGI:2140367] MSPVFPMLTVLTMFYYMCLRRRARTATRGDMMNSHRTIVSNSRTSPLNAEVVQYAKEVVD FSSHYGSENSMSYTMWNLAGVPNVFPSSGDFTQTAVFRTYGTWWDQCPSASLPFRRTPSS FQSQDYVELTFEQQVYPTAVHVLETYHPGAVIRILACSANPYSPNPPAEVRWEILWSERP MKVNASQARQFKPCIKQINFPTNLIRLEVNSSLLDYYTELDAVVLHGTKDKPLLSLKTAL VDMNDLEDDDYEEKDGCEMDALNKKFSSAALGDGPHNGYFDKLPYELIQLILNHLSLPDL CRLAQTCRLLHQHCCDPLQYIHLNLQPYWARLDDTSLEFLQARCVLVQWLNLSWTGNRGF ISVSGFSRFLKVCGSELVRLELSCSHFLNDTCLEVISEMCPNLQDLNLSSCDKLPPQAFG HIAKLCSLKRLVLYRTKVEQTALLSILNFCAELQHLSLGSCVMIEDYDVIASMIGAKCKN LRTLDLWRCKNITENGIAELASGCVLLEELDLGWCPTLQSSTGCFVRLARQLPNLQKLFL TANRSVCDTDIEELASNCTRLQQLDILGTRMVSPASLRKLLESCKDLSLLDVSFCSQIDN KAVLELNASFPKVFIKKSFTQ >ENSMUSP00000138825.1 pep:known chromosome:GRCm38:4:22357560:22434064:1 gene:ENSMUSG00000040410.14 transcript:ENSMUST00000185029.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxl4 description:F-box and leucine-rich repeat protein 4 [Source:MGI Symbol;Acc:MGI:2140367] MSPVFPMLTVLTMFYYMCLRRRARTATRGDMMNSHRTIVSNSRTSPLNAEVVQYAKEVVD FSSHYGSENSMSYTMWNLAGVPNVFPSSGDFTQTAVFRTYGTWWDQCPSASLPFRRTPSS FQSQDYVELTFEQQVYPTAVHVLETYHPGAVIRILACSANPYSPNPPAEVRWEILWSERP MKVNASQARQFKPCIKQINFPTNLIRLEVNSSLLDYYTELDAVVLHGTKDKPLLSLKTAL VDMNDLEDDDYEEKDGCEMDALNKKFSSAALGDGPHNGYFDKLPYELIQLILNHLSLPDL CRLAQTCRLLHQHCCDPLQYIHLNLQPYWARLDDTSLEFLQARCVLVQWLNLSWTGNRGF ISVSGFSRFLKVCGSELVRLELSCSHFLNDTCLEVISEMCPNLQDLNLSSCDKLPPQAFG HIAKLCSLKRLVLYRTKVEQTALLSILNFCAELQHLSLGSCVMVST >ENSMUSP00000138841.1 pep:known chromosome:GRCm38:4:22357571:22434088:1 gene:ENSMUSG00000040410.14 transcript:ENSMUST00000184455.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxl4 description:F-box and leucine-rich repeat protein 4 [Source:MGI Symbol;Acc:MGI:2140367] MSPVFPMLTVLTMFYYMCLRRRARTATRGDMMNSHRTIVSNSRTSPLNAEVVQYAKEVVD FSSHYGSENSMSYTMWNLAGVPNVFPSSGDFTQTAVFRTYGTWWDQCPSASLPFRRTPSS FQSQDYVELTFEQQVYPTAVHVLETYHPGAVIRILACSANPYSPNPPAEVRWEILWSERP MKVNASQARQFKPCIKQINFPTNLIRLEVNSSLLDYYTELDAVVLHGTKDKPLLSLKTAL VDMNDLEDDDYEEKDGCEMDALNKKFSSAALGDGPHNGYFDKLPYETCVD >ENSMUSP00000139158.1 pep:known chromosome:GRCm38:4:22357616:22434075:1 gene:ENSMUSG00000040410.14 transcript:ENSMUST00000184582.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxl4 description:F-box and leucine-rich repeat protein 4 [Source:MGI Symbol;Acc:MGI:2140367] MSPVFPMLTVLTMFYYMCLRRRARTATRGDMMNSHRTIVSNSRTSPLNAEVVQYAKEVVD FSSHYGSENSMSYTMWNLAGVPNVFPSSGDFTQTAVFRTYGTWWDQCPSASLPFRRTPSS FQSQDYVELTFEQQVYPTAVHVLETYHPGAVIRILACSANPYSPNPPAEVRWEILWSERP MKVNASQARQFKPCIKQINFPTNLIRLEVNSSLLDYYTELDAVVLHGTKDKPLLSLKTAL VDMNDLEDDDYEEKDGCEMDALNKKFSSAALGDGPHNGYFDKLPYELIQLILNHLSLPDL CRLAQTCRLLHQHCCDPLQYIHLNLQPYWARLDDTSLEFLQARCVLVQWLNLSWTGNRGF ISVSGFSRFLKVCGSELVRLELSCSHFLNDTCLEVISEMCPNLQDLNLSSCDKLPPQAFG HIAKLCSLKRLVLYRTKVEQTALLSILNFCAELQHLSLGSCVMIEDYDVIASMIGAKCKN LRTLDLWRCKNITENGIAELASGCVLLEELDLGWCPTLQSSTGCFVRLARQLPNLQKLFL TANRSVCDTDIEELASNCTRLQQLDILGLKQVFKTVRIVAPL >ENSMUSP00000062103.7 pep:known chromosome:GRCm38:6:113458484:113470758:1 gene:ENSMUSG00000043088.16 transcript:ENSMUST00000058548.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17re description:interleukin 17 receptor E [Source:MGI Symbol;Acc:MGI:1889371] MGSPRLAALLLSLPLLLIGLAVSARVACPCLRSWTSHCLLAYRVDKRFAGLQWGWFPLLV RKSKSPPKFEDYWRHRTPASFQRKLLGSPSLSEESHRISIPSSAISHRGQRTKRAQPSAA EGREHLPEAGSQKCGGPEFSFDLLPEVQAVRVTIPAGPKASVRLCYQWALECEDLSSPFD TQKIVSGGHTVDLPYEFLLPCMCIEASYLQEDTVRRKKCPFQSWPEAYGSDFWQSIRFTD YSQHNQMVMALTLRCPLKLEASLCWRQDPLTPCETLPNATAQESEGWYILENVDLHPQLC FKFSFENSSHVECPHQSGSLPSWTVSMDTQAQQLTLHFSSRTYATFSAAWSDPGLGPDTP MPPVYSISQTQGSVPVTLDLIIPFLRQENCILVWRSDVHFAWKHVLCPDVSHRHLGLLIL ALLALTALVGVVLVLLGRRLLPGSGRTRPVLLLHAADSEAQRRLVGALAELLRTALGGGR DVIVDLWEGTHVARIGPLPWLWAARERVAREQGTVLLLWNCAGPSTACSGDPQAASLRTL LCAAPRPLLLAYFSRLCAKGDIPRPLRALPRYRLLRDLPRLLRALDAQPATLASSWSHLG AKRCLKNRLEQCHLLELEAAKDDYQGSTNSPCGFSCL >ENSMUSP00000145345.1 pep:known chromosome:GRCm38:6:113458807:113470397:1 gene:ENSMUSG00000043088.16 transcript:ENSMUST00000203661.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17re description:interleukin 17 receptor E [Source:MGI Symbol;Acc:MGI:1889371] MGSPRLAALLLSLPLLLIGLAVSARVACPCLRSWTSHCLLAYRVDKRFAGLQWGWFPLLV RKSKSPPKFEDYWRHRTPASFQRKLLGSPSLSEESHRISIPSSAISHRGQRTKRAQPSAA EGREHLPEAGSQKCGGPEFSFDLLPEVQAVRVTIPAGPKASVRLCYQWALECEDLSSPFD TQKIVSGGHTVDLPYEFLLPCMCIEASYLQEDTVRRKKCPFQSWPEAYGSDFWQSIRFTD YSQHNQMVMALTLRCPLKLEASLCWRQDPLTPCETLPNATAQESEGWYILENVDLHPQLC FKFSFENSSHVECPHQSGSLPSWTVSMDTQAQQLTLHFSSRTYATFSAAWSDPGLGPDTP MPPVYSISQTQGSVPVTLDLIIPFLRQENCILVWRSDVHFAWKHVLCPDADSEAQRRLVG ALAELLRTALGGGRDVIVDLWEGTHVARIGPLPWLWAARERVAREQGTVLLLWNCAGPST ACSGDPQAASLRTLLCAAPRPLLLAYFSRLCAKGDIPRPLRALPRYRLLRDLPRLLRALD AQPATLASSWSHLGAKRCLKNRLEQCHLLELEAAKDDYQGSTNSPCGFSCL >ENSMUSP00000145384.1 pep:known chromosome:GRCm38:6:113458834:113470374:1 gene:ENSMUSG00000043088.16 transcript:ENSMUST00000204774.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17re description:interleukin 17 receptor E [Source:MGI Symbol;Acc:MGI:1889371] MGSPRLAALLLSLPLLLIGLAVSARVACPCLRSWTSHCLLAYRVDKRFAGLQWGWFPLLV RKSKSPPKFEDYWRHRTPASFQRKLLGSPSLSEESHRISIPSSAISHRGQRTKRAQPSAA EGREHLPEAGSQKCGGPEFSFDLLPEVQAVRVTIPAGPKASVRLCYQWALECEDLSSPFD TQKIVSGGHTVDLPYEFLLPCMCIEASYLQEDTVRRKKCPFQSWPEAYGSDFWQSIRFTD YSQHNQMVMALTLRCPLKLEASLCWRQDPLTPCETLPNATAQESEGWYILENVDLHPQLC FKFSFENSSHVECPHQSGSLPSWTVSMDTQAQQLTLHFSSRTYATFSAAWSDPGLGPDTP MPPVYSISQTQGSVPVTLDLIIPFLRQENCILVWRSDVHFAWKHVLCPDDAPYPTQLLLR SLGSGRTRPVLLLHAADSEAQRRLVGALAELLRTALGGGRDVIVDLWEGTHVARIGPLPW LWAARERVAREQGTVLLLWNCAGPSTACSGDPQAASLRTLLCAAPRPLLLAYFSRLCAKG DIPRPLRALPRYRLLRDLPRLLRALDAQPATLASSWSHLGAKRCLKNRLEQCHLLELEAA KDDYQGSTNSPCGFSCL >ENSMUSP00000145363.1 pep:known chromosome:GRCm38:6:113458895:113470143:1 gene:ENSMUSG00000043088.16 transcript:ENSMUST00000203281.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il17re description:interleukin 17 receptor E [Source:MGI Symbol;Acc:MGI:1889371] MGSPRLAALLLSLPLLLIGLAVSARVACPCLRSWTSHCLLAYRVDKRFAGRKSSFEPFPV EVWIRDS >ENSMUSP00000054378.4 pep:known chromosome:GRCm38:6:113458895:113470143:1 gene:ENSMUSG00000043088.16 transcript:ENSMUST00000053569.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17re description:interleukin 17 receptor E [Source:MGI Symbol;Acc:MGI:1889371] MCIEASYLQEDTVRRKKCPFQSWPEAYGSDFWQSIRFTDYSQHNQMVMALTLRCPLKLEA SLCWRQDPLTPCETLPNATAQESEGWYILENVDLHPQLCFKFSFENSSHVECPHQSGSLP SWTVSMDTQAQQLTLHFSSRTYATFSAAWSDPGLGPDTPMPPVYSISQTQGSVPVTLDLI IPFLRQENCILVWRSDVHFAWKHVLCPDVSHRHLGLLILALLALTALVGVVLVLLGRRLL PGSGRTRPVLLLHAADSEAQRRLVGALAELLRTALGGGRDVIVDLWEGTHVARIGPLPWL WAARERVAREQGTVLLLWNCAGPSTACSGDPQAASLRTLLCAAPRPLLLAYFSRLCAKGD IPRPLRALPRYRLLRDLPRLLRALDAQPATLASSWSHLGAKRCLKNRLEQCHLLELEAAK DDYQGSTNSPCGFSCL >ENSMUSP00000098626.1 pep:known chromosome:GRCm38:6:113458895:113470143:1 gene:ENSMUSG00000043088.16 transcript:ENSMUST00000101065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17re description:interleukin 17 receptor E [Source:MGI Symbol;Acc:MGI:1889371] MCIEASYLQEDTVRRKKCPFQSWPEAYGSDFWQSIRFTDYSQHNQMVMALTLRCPLKLEA SLCWRQDPLTPCETLPNATAQESEGWYILENVDLHPQLCFKFSFENSSHVECPHQSGSLP SWTVSMDTQAQQLTLHFSSRTYATFSAAWSDPGLGPDTPMPPVYSISQTQGSVPVTLDLI IPFLRQENCILVWRSDVHFAWKHVLCPDVSHRHLGLLILALLALTALVGVVLVLLGRRLL PGSGRTRPVLLLHAADSEAQRRLVGALAELLRTALGGGRDVIVDLWEGTHVARIGPLPWL WAARERVAREQGTVLLLWNCAGPSTACSGDPQAASLRTLLCAAPRPLLLAYFSRLCAKGD IPRPLRALPRYRLLRDLPRLLRALDAQPATLASSWSHLGAKRCLKNRLEQCHLLELEAAK DDYQGSTNSPCGFSCL >ENSMUSP00000029406.4 pep:known chromosome:GRCm38:3:64081642:64105458:1 gene:ENSMUSG00000027824.4 transcript:ENSMUST00000029406.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r1 description:vomeronasal 2, receptor 1 [Source:MGI Symbol;Acc:MGI:3645892] MASRQISLALGFLAFLWAVLGAQNKTEEVQCRLMAKFNLSGYVDAKNHSLVIAGLFPIHS RIIPVDEAILEPVSPMCEGFNFRGFRWMKTMIHTIKEINERKDILPNHTLGYQIFDSCYT ISKAMESSLVFLTGQEEFKPNFRNSTGSTLAALVGSGGSSLSVAASRILGLYYMPQVGYT SSCSILSDKFQFPSYLRVLPSDNLQSEAIVNLIKHFGWVWVGAIAADDDYGKYGVKTFKE KMESANLCVAFSETIPKVYSNEKMQKAVKAVKTSTAKVIVLYTSDIDLSLFVLEMIHHNI TDRTWIATEAWITSALIAKPEYFPYFGGTIGFATPRSVIPGLKEFLYDVHPNKDPNDVLT IEFWQTAFNCTWPNSSVPYNVDHRVNMTGKEDRLYDMSDQLCTGEEKLEDLKNTYLDTSQ LRITNNVKQAVYAIAHGLDHLSRCQEGQGPFGSNQQCAYIPTFDFWQLMYYMKEIKFKSH EDKWVILDDNGDLKNGHYDVLNWHLDDEGEISFVTVGRFNFRSTNFELVIPTNSTIFWNT ESSRRPDSFCTQVCPPGTRKGIRQGQPICCFDCIPCADGYVSEKPGQRECDPCGEDDWSN AEKSKCVPKLVEFLAYEEALGFTLVILSIFGALVLLAVTVVYVIHRHTPLVKANDRELSF LIQMSLVITVLSSLLFIGKPCNWSCMARQITLALGFCLCLSSILGKTISLFFAYRISVSK TRLISMHPIFRKLIVLICVVGEIGICAAYLVLEPPRMFKNIEIQNVKIIFECNEGSIEFL CSIFGFDVLLALLCFLTTFVARQLPDNYYEGKCITFGMLVFFIVWISFVPAYLSTKGKFK VAVEIFAILASSYGLLGCLFLPKCFIILLRPKRNTDETVGGRVPTVDRSIQLTSASVSSE LNSTTVSTVLDE >ENSMUSP00000129324.2 pep:known chromosome:GRCm38:17:35135178:35147305:1 gene:ENSMUSG00000024392.17 transcript:ENSMUST00000166426.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag6 description:BCL2-associated athanogene 6 [Source:MGI Symbol;Acc:MGI:1919439] MEPSDSASTAMEEPDSLEVLVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLI YQGRVLQDDKKLQEYNVGGKVIHLVERAPPQTQLPSGASSGTGSASATHGGAPLPGTRGP GASVHDRNANSYVMVGTFNLPSEPRVRLVMAQHMIRDIQTLLSRMECRGGTQAQASQPPP QTPQTVASETVALNSQTSEPVESEAPPREPMESEEMEERPPTQTPELAPSGPAPAGPAPA GPAPAPETNAPNHPSPAEHVEVLQELQRLQRRLQPFLQRYCEVLGAAATTDYNNNHEGRE EDQRLINLVGESLRLLGNTFVALSDLRCNLACAPPRHLHVVRPMSHYTTPMVLQQAAIPI QINVGTTVTMTGNGARPPPAPGAEAATPGSAQATSLPPSSTTVDSSTEGAPPPGPAPPPA SSHPRVIRISHQSVEPVVMMHMNIQDSGAQPGGVPSAPTGPLGPPGHGQTLGQQVPGFPT APTRVVIARPTPPQARPSHPGGPPVSGALQGAGLGTNTSLAQMVSGLVGQLLMQPVLVAQ GTPGMAQAQAQAQAQAQAQAQAPAPAPAPAPAPATASASAGTTNTATTAGPAPGGPAQPP PPQPSAADLQFSQLLGNLLGPAGPGAGGPGMASPTITVAMPGVPAFLQGMTDFLQASQTA PPPPPPPPPPPPAPEQQSTPPPGSPSGGTASPGGLGPESLPPEFFTSVVQGVLSSLLGSL GARAGSSESIAAFIQRLSGSSNIFEPGADGALGFFGALLSLLCQNFSMVDVVMLLHGHFQ PLQRLQPQLRSFFHQHYLGGQEPTPSNIRMATHTLITGLEEYVRESFSLVQVQPGVDIIR TNLEFLQEQFNSIAAHVLHCTDSGFGARLLELCNQGLFECLALNLHCLGGQQMELAAVIN GRIRRMSRGVNPSLVSWLTTMMGLRLQVVLEHMPVGPDAILRYVRRVGDPPQTLPEEPME VQGAERTSPEPQRENASPAPGTTAEEAMSRGPPPAPEGGSRDEQDGASADAEPWAAAVPP EWVPIIQQDIQSQRKVKPQPPLSDAYLSGMPAKRRKTMQGEGPQLLLSEAVSRAAKAAGA RPLTSPESLSRDLEAPEVQESYRQQLRSDIQKRLQEDPNYSPQRFPNAHRAFADDP >ENSMUSP00000134175.1 pep:known chromosome:GRCm38:17:35135205:35147306:1 gene:ENSMUSG00000024392.17 transcript:ENSMUST00000172571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag6 description:BCL2-associated athanogene 6 [Source:MGI Symbol;Acc:MGI:1919439] MEPSDSASTAMEEPDSLEVLVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLI YQGRVLQDDKKLQEYNVGGKVIHLVERAPPQTQLPSGASSGTGSASATHGGAPLPGTRGP GASVHDRNANSYVMVGTFNLPSEPRVRLVMAQHMIRDIQTLLSRMECRGGTQAQASQPPP QTPQTVASETVALNSQTSEPVESEAPPREPMESEEMEERPPTQTPELAPSGPAPAGPAPA GPAPAPSHPSPAEHVEVLQELQRLQRRLQPFLQRYCEVLGAAATTDYNNNHEGREEDQRL INLVGESLRLLGNTFVALSDLRCNLACAPPRHLHVVRPMSHYTTPMVLQQAAIPIQINVG TTVTMTGNGARPPPAPGAEAATPGSAQATSLPPSSTTVDSSTEGAPPPGPAPPPASSHPR VIRISHQSVEPVVMMHMNIQDSGAQPGGVPSAPTGPLGPPGHGQTLGQQVPGFPTAPTRV VIARPTPPQARPSHPGGPPVSGALQGAGLGTNTSLAQMVSGLVGQLLMQPVLVAQGTPGM AQAQAQAQAQAQAQAQAPAPAPAPAPAPATASASAGTTNTATTAGPAPGGPAQPPPPQPS AADLQFSQLLGNLLGPAGPGAGGPGMASPTITVAMPGVPAFLQGMTDFLQASQTAPPPPP PPPPPPPAPEQQSTPPPGSPSGGTASPGGLGPESLPPEFFTSVVQGVLSSLLGSLGARAG SSESIAAFIQRLSGSSNIFEPGADGALGFFGALLSLLCQNFSMVDVVMLLHGHFQPLQRL QPQLRSFFHQHYLGGQEPTPSNIRMATHTLITGLEEYVRESFSLVQVQPGVDIIRTNLEF LQEQFNSIAAHVLHCTDSGFGARLLELCNQGLFECLALNLHCLGGQQMELAAVINGRIRR MSRGVNPSLVSWLTTMMGLRLQVVLEHMPVGPDAILRYVRRVGDPPQTLPEEPMEVQGAE RTSPEPQRENASPAPGTTAEEAMSRGPPPAPEGGSRDEQDGASADAEPWAAAVPPEWVPI IQQDIQSQRKVKPQPPLSDAYLSGMPAKRRKLRSDIQKRLQEDPNYSPQRFPNAHRAFAD DP >ENSMUSP00000134279.1 pep:known chromosome:GRCm38:17:35135228:35140309:1 gene:ENSMUSG00000024392.17 transcript:ENSMUST00000173491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag6 description:BCL2-associated athanogene 6 [Source:MGI Symbol;Acc:MGI:1919439] MEPSDSASTAMEEPDSLEVLVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLI YQGRVLQDDKKLQEYNVGGKVIHLVERAPPQTQLPSGASSGTGSASATHGGAPLPGTRGP GASVHDRNANSYVMVGTFNLPSDGSAVDVHINMEQAPIQSEPRVRLVMAQHMIRDIQTLL SRMECRGGTQAQASQPPPQTPQTVASETVA >ENSMUSP00000133822.1 pep:known chromosome:GRCm38:17:35135486:35142595:1 gene:ENSMUSG00000024392.17 transcript:ENSMUST00000173535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag6 description:BCL2-associated athanogene 6 [Source:MGI Symbol;Acc:MGI:1919439] MCVCMCLVACWLSRVAGIPRRGGGGRRGRSASPSSLPELSAMEPSDSASTAMEEPDSLEV LVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLIYQGRVLQDDKKLQEYNVGG KVIHLVERAPPQTQLPSGASSGTGSASATHGGAPLPGTRGPGASVHDRNANSYVMVGTFN LPSDGSAVDVHINMEQAPIQSEPRVRLVMAQHMIRDIQTLLSRMECRGGTQAQASQPPPQ TPQTVASETVALNSQTSEPVESEAPPREPMESEEMEERPPTQTPELAPSGPAPAGPAPAG PAPAPETNAPNHPSPAEHVEVLQELQRLQRRLQPFLQRYCEVLGAAATTDYNNNHEGREE DQRLINLVGESLRLLGNTFVALSDLRCNLACAPPRHLHVVRPMSHYTTPMVLQQAAIPIQ INVGTTVTMTGNGARPPPAPGAEAATPGSAQATSLPPSSTTVDSSTEGAPPPGPAPPPAS SHPRVIRISHQSVEPVVMMHMNIQDSGAQPGGVPSAPTGPLGPPGHGQTLGSTLIQLPSL PPEFMHAVAHQITHQAMVAAVAS >ENSMUSP00000134717.2 pep:known chromosome:GRCm38:17:35135510:35140372:1 gene:ENSMUSG00000024392.17 transcript:ENSMUST00000173952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag6 description:BCL2-associated athanogene 6 [Source:MGI Symbol;Acc:MGI:1919439] MCVCMCLVACWLSRVAGIPRRGGGGRRGRSASPSSLPELSAMEPSDSASTAMEEPDSLEV LVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLIYQGRVLQDDKKLQEYNVGG KVIHLVERAPPQTQLPSGASSGTGSASATHGGAPLPGTRGPGASVHDRNANSYVMVGTFN LPSEPRVRLVMAQHMIRDIQTLLSRMECRGGTQAQASQPPPQTPQTVASETVALNSQTSE PVESEAPPREPMES >ENSMUSP00000138117.1 pep:known chromosome:GRCm38:17:35135718:35138705:1 gene:ENSMUSG00000024392.17 transcript:ENSMUST00000174478.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag6 description:BCL2-associated athanogene 6 [Source:MGI Symbol;Acc:MGI:1919439] MEPSDSASTAMEEPDSLEVLVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLI YQGRVLQDDKKLQEYNVGGKVIHLVERAPPQTQLPSGASSGTGSASATHGGAPLP >ENSMUSP00000134425.1 pep:known chromosome:GRCm38:17:35135730:35146349:1 gene:ENSMUSG00000024392.17 transcript:ENSMUST00000174281.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag6 description:BCL2-associated athanogene 6 [Source:MGI Symbol;Acc:MGI:1919439] MEPSDSASTAMEEPDSLEVLVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLI YQGRVLQDDKKLQEYNVGGKVIHLVERAPPQTQLPSGASSGTGSASATHGGAPLPGTRGP GASVHDRNANSYVMVGTFNLPSDGSAVDVHINMEQAPIQSEPRVRLVMAQHMIRDIQTLL SRMECRGGTQAQASQPPPQTPQTVASETVALNSQTSEPVESEAPPREPMESEEMEERPPT QTPELAPSGPAPAGPAPAGPAPAPETNAPNHPSPAEHVEVLQELQRLQRRLQPFLQRYCE VLGAAATTDYNNNHEGREEDQRLINLVGESLRLLGNTFVALSDLRCNLACAPPRHLHVVR PMSHYTTPMVLQQAAIPIQINVGTTVTMTGNGARPPPAPGAEAATPGSAQATSLPPSSTT VDSSTEGAPPPGPAPPPASSHPRVIRISHQSVEPVVMMHMNIQDSGAQPGGVPSAPTGPL GPPGHGQTLGSTLIQLPSLPPEFMHAVAHQITHQAMVAAVASAAAGQQVPGFPTAPTRVV IARPTPPQARPSHPGGPPVSGALGAGLGTNTSLAQMVSGLVGQLLMQPVLVAQGTPGMAQ AQAQAQAQAQAQAQAPAPAPAPAPAPATASASAGTTNTATTAGPAPGGPAQPPPPQPSAA DLQFSQLLGNLLGPAGPGAGGPGMASPTITVAMPGVPAFLQGMTDFLQASQTAPPPPPPP PPPPPAPEQQSTPPPGSPSGGTASPGGLGPESLPPEFFTSVVQGVLSSLLGSLGARAGSS ESIAAFIQRLSGSSNIFEPGADGALGFFGALLSLLCQNFSMVDVVMLLHGHFQPLQRLQP QLRSFFHQHYLGGQEPTPSNIRMATHTLITGLEEYVRESFSLVQVQPGVDIIRTNLEFLQ EQFNSIAAHVLHCTDSGFGARLLELCNQGLFECLALNLHCLGGQQMELAAVINGRIRRMS RGVNPSLVSWLTTMMGLRLQVVLEHMPVGPDAILRYVRRVGDPPQTLPEEPMEVQGAERT SPEPQRENASPAPGTTAEEAMSRGPPPAPEGGSRDEQDGASADAEPWAAAVPPEWVPIIQ QDIQSQRKVKPQPPLSDAYLSGMPAKRR >ENSMUSP00000134628.1 pep:known chromosome:GRCm38:17:35135744:35147320:1 gene:ENSMUSG00000024392.17 transcript:ENSMUST00000173550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag6 description:BCL2-associated athanogene 6 [Source:MGI Symbol;Acc:MGI:1919439] MEPSDSASTAMEEPDSLEVLVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLI YQGRVLQDDKKLQEYNVGGKVIHLVERAPPQTQLPSGASSGTGSASATHGGAPLPGTRGP GASVHDRNANSYVMVGTFNLPSEPRVRLVMAQHMIRDIQTLLSRMECRGGTQAQASQPPP QTPQTVASETVALNSQTSEPVESEAPPREPMESEEMEERPPTQTPELAPSGPAPAGPAPA GPAPAPETNAPNHPSPAEHVEVLQELQRLQRRLQPFLQRYCEVLGAAATTDYNNNHEGRE EDQRLINLVGESLRLLGNTFVALSDLRCNLACAPPRHLHVVRPMSHYTTPMVLQQAAIPI QINVGTTVTMTGNGARPPPAPGAEAATPGSAQATSLPPSSTTVDSSTEGAPPPGPAPPPA SSHPRVIRISHQSVEPVVMMHMNIQDSGAQPGGVPSAPTGPLGPPGHGQTLGSTLIQLPS LPPEFMHAVAHQITHQAMVAAVASAAAGQQVPGFPTAPTRVVIARPTPPQARPSHPGGPP VSGALGAGLGTNTSLAQMVSGLVGQLLMQPVLVAQGTPGMAQAQAQAQAQAQAQAQAPAP APAPAPAPATASASAGTTNTATTAGPAPGGPAQPPPPQPSAADLQFSQLLGNLLGPAGPG AGGPGMASPTITVAMPGVPAFLQGMTDFLQASQTAPPPPPPPPPPPPAPEQQSTPPPGSP SGGTASPGGLGPESLPPEFFTSVVQGVLSSLLGSLGARAGSSESIAAFIQRLSGSSNIFE PGADGALGFFGALLSLLCQNFSMVDVVMLLHGHFQPLQRLQPQLRSFFHQHYLGGQEPTP SNIRMATHTLITGLEEYVRESFSLVQVQPGVDIIRTNLEFLQEQFNSIAAHVLHCTDSGF GARLLELCNQGLFECLALNLHCLGGQQMELAAVINGRIRRMSRGVNPSLVSWLTTMMGLR LQVVLEHMPVGPDAILRYVRRVGDPPQTLPEEPMEVQGAERTSPEPQRENASPAPGTTAE EAMSRGPPPAPEGGSRDEQDGASADAEPWAAAVPPEWVPIIQQDIQSQRKVKPQPPLSDA YLSGMPAKRRKLRSDIQKRLQEDPNYSPQRFPNAHRAFADDP >ENSMUSP00000138467.1 pep:known chromosome:GRCm38:17:35145888:35147319:1 gene:ENSMUSG00000024392.17 transcript:ENSMUST00000172993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag6 description:BCL2-associated athanogene 6 [Source:MGI Symbol;Acc:MGI:1919439] XQGAERTSPEPQRENASPAPGTTAEEAMSRGPPPAPEGGSRDEQDGASADAEPWAAAVPP QDIQSQRKVKPQPPLSDAYLSGMPAKRRKLRSDIQKRLQEDPNYSPQRFPNAHRAFADDP >ENSMUSP00000025250.7 pep:known chromosome:GRCm38:17:35135178:35147322:1 gene:ENSMUSG00000024392.17 transcript:ENSMUST00000025250.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag6 description:BCL2-associated athanogene 6 [Source:MGI Symbol;Acc:MGI:1919439] MEPSDSASTAMEEPDSLEVLVKTLDSQTRTFIVGAQMNVKEFKEHIAASVSIPSEKQRLI YQGRVLQDDKKLQEYNVGGKVIHLVERAPPQTQLPSGASSGTGSASATHGGAPLPGTRGP GASVHDRNANSYVMVGTFNLPSDGSAVDVHINMEQAPIQSEPRVRLVMAQHMIRDIQTLL SRMECRGGTQAQASQPPPQTPQTVASETVALNSQTSEPVESEAPPREPMESEEMEERPPT QTPELAPSGPAPAGPAPAGPAPAPETNAPNHPSPAEHVEVLQELQRLQRRLQPFLQRYCE VLGAAATTDYNNNHEGREEDQRLINLVGESLRLLGNTFVALSDLRCNLACAPPRHLHVVR PMSHYTTPMVLQQAAIPIQINVGTTVTMTGNGARPPPAPGAEAATPGSAQATSLPPSSTT VDSSTEGAPPPGPAPPPASSHPRVIRISHQSVEPVVMMHMNIQDSGAQPGGVPSAPTGPL GPPGHGQTLGQQVPGFPTAPTRVVIARPTPPQARPSHPGGPPVSGALQGAGLGTNTSLAQ MVSGLVGQLLMQPVLVAQGTPGMAQAQAQAQAQAQAQAQAPAPAPAPAPAPATASASAGT TNTATTAGPAPGGPAQPPPPQPSAADLQFSQLLGNLLGPAGPGAGGPGMASPTITVAMPG VPAFLQGMTDFLQASQTAPPPPPPPPPPPPAPEQQSTPPPGSPSGGTASPGGLGPESLPP EFFTSVVQGVLSSLLGSLGARAGSSESIAAFIQRLSGSSNIFEPGADGALGFFGALLSLL CQNFSMVDVVMLLHGHFQPLQRLQPQLRSFFHQHYLGGQEPTPSNIRMATHTLITGLEEY VRESFSLVQVQPGVDIIRTNLEFLQEQFNSIAAHVLHCTDSGFGARLLELCNQGLFECLA LNLHCLGGQQMELAAVINGRIRRMSRGVNPSLVSWLTTMMGLRLQVVLEHMPVGPDAILR YVRRVGDPPQTLPEEPMEVQGAERTSPEPQRENASPAPGTTAEEAMSRGPPPAPEGGSRD EQDGASADAEPWAAAVPPEWVPIIQQDIQSQRKVKPQPPLSDAYLSGMPAKRRKTMQGEG PQLLLSEAVSRAAKAAGARPLTSPESLSRDLEAPEVQESYRQQLRSDIQKRLQEDPNYSP QRFPNAHRAFADDP >ENSMUSP00000003759.4 pep:known chromosome:GRCm38:2:127240938:127247816:-1 gene:ENSMUSG00000003662.10 transcript:ENSMUST00000003759.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciao1 description:cytosolic iron-sulfur protein assembly 1 [Source:MGI Symbol;Acc:MGI:1346998] MKDSLVLQSRVPAHPDSRCWFLAWNPSGTLLASCGGDRKIRIWGTEGDSWICKSVLSEGH QRTVRKVAWSPCGNYLASASFDATTCIWKKNQDDFECVTTLEGHENEVKSVAWAPSGNLL ATCSRDKSVWVWEVDEEDEYECVSVLSSHTQDVKHVVWHPSQELLASASYDDTVKLYQEE GDDWVCCATLEGHESTVWSIAFDPSGQRLASCSDDRTVRIWRQYLPGNEQGVACSGSDPS WKCICTLSGFHTRTIYDVAWCQLTGALATACGDDAIRVFEEDPGSDPQQPTFSLTAHLRQ AHSQDVNCVAWNPKEPGLLASCSDDGEVAFWEYHQPAGL >ENSMUSP00000134189.1 pep:known chromosome:GRCm38:2:127242620:127247620:-1 gene:ENSMUSG00000003662.10 transcript:ENSMUST00000174030.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciao1 description:cytosolic iron-sulfur protein assembly 1 [Source:MGI Symbol;Acc:MGI:1346998] MKDSLVLQSRVPAHPDSRCWFLAWNPSGTLLASCGGDRKIRIWGTEGDSWICKSVLSEGH QRTVRKVAWSPCGNYLASASFDATTCIWKKNQDDFECVTTLEGHENEVKSVAWAPSGNLL ATCSRDKSVWVWEVDEEDEYECVSVLSSHTQDVKHVVWHPSQELLASASYDDTVKLYQEE GDDWVCCATLEGHESTVWSIAFDPSGQRLASCSDDRTVRIWRQYLPGNEQGVS >ENSMUSP00000134159.1 pep:known chromosome:GRCm38:2:127242996:127247620:-1 gene:ENSMUSG00000003662.10 transcript:ENSMUST00000174863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciao1 description:cytosolic iron-sulfur protein assembly 1 [Source:MGI Symbol;Acc:MGI:1346998] MKDSLVLQSRVPAHPDSRCWFLAWNPSGTLLASCGGDRKIRIWGTEGDSWICKSVLSEGH QRTVRKVAWSPCGNYLASASFDATTCIWKKNQDDFECVTTLEGHENEVKSVAWAPSGNLL ATCSRDKSVWVWEVDEEDEYECVSVLSSHTQDVKHVVWHPSQEVSADRGLGYSLWR >ENSMUSP00000134199.1 pep:known chromosome:GRCm38:2:127245856:127247620:-1 gene:ENSMUSG00000003662.10 transcript:ENSMUST00000172636.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ciao1 description:cytosolic iron-sulfur protein assembly 1 [Source:MGI Symbol;Acc:MGI:1346998] MKDSLVLQSRVPAHPDSRCWFLAWNPSGTLLASCGGDRKIRIWGTEVCDHS >ENSMUSP00000139555.1 pep:known chromosome:GRCm38:1:74191785:74193235:-1 gene:ENSMUSG00000048480.5 transcript:ENSMUST00000190313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcr1 description:chemokine (C-X-C motif) receptor 1 [Source:MGI Symbol;Acc:MGI:2448715] MAEAEYFIWTNPEGDFEKEFGNITGMLPTGDYFIPCKRVPITNRQALVVFYALVSLLSLL GNSLVMLVILYRRRTRSVMDVYVLNLAIADLLFSLTLPFLAVSKLKGWIFGTPLCKMVSL LKEFNFFSGILLLACISVDRYLAIVHATRTLARKRYLVKFVCVGIWGLSLILSLPFAIFR QAYKPFRSGTVCYEVLGEATTDFRMTLRGLSHIFGFLLPLLTMLVCYGLTLRMLFKTHMR QKHRAMGVIFAVVLVFLLCCLPYNLVLLSDTLLGAHLIEDTCERRNDIDQALYITEILGF SHSCLNPIIYAFVGQNFRHEFLKILANHGLVRKEVLTHRRVAFHTSLTAIY >ENSMUSP00000049714.4 pep:known chromosome:GRCm38:1:74191785:74194631:-1 gene:ENSMUSG00000048480.5 transcript:ENSMUST00000053389.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcr1 description:chemokine (C-X-C motif) receptor 1 [Source:MGI Symbol;Acc:MGI:2448715] MAEAEYFIWTNPEGDFEKEFGNITGMLPTGDYFIPCKRVPITNRQALVVFYALVSLLSLL GNSLVMLVILYRRRTRSVMDVYVLNLAIADLLFSLTLPFLAVSKLKGWIFGTPLCKMVSL LKEFNFFSGILLLACISVDRYLAIVHATRTLARKRYLVKFVCVGIWGLSLILSLPFAIFR QAYKPFRSGTVCYEVLGEATTDFRMTLRGLSHIFGFLLPLLTMLVCYGLTLRMLFKTHMR QKHRAMGVIFAVVLVFLLCCLPYNLVLLSDTLLGAHLIEDTCERRNDIDQALYITEILGF SHSCLNPIIYAFVGQNFRHEFLKILANHGLVRKEVLTHRRVAFHTSLTAIY >ENSMUSP00000057910.3 pep:known chromosome:GRCm38:6:42400238:42401119:1 gene:ENSMUSG00000046652.3 transcript:ENSMUST00000057398.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r143 description:taste receptor, type 2, member 143 [Source:MGI Symbol;Acc:MGI:2681310] MPSTPTLIFIIIFYLVSLASMLQNGFMMIVLGREWMRNRTLPAADMIVASLASSRFCLHG IAILANLLASFDFCYQANLIGILWDFTNTLIFWLTAWLAIFYCVKISSFSHPVLFWLKWR ISQLVPRLLVVSLIIGGLSAVISATGNFMANQMTISQGFHGNCTFGHMSLDFYRYYYLYH SVLMWFTPFFLFLVSVIVLMFSLYQHVEKMRGHRPGPWDLHTQAHTMALKSLTFFFIFYI FFFLALVISSTKRKSMQSYYWAREAIIYTGIFLNSIILLFSNPKLRKALKMRF >ENSMUSP00000143576.1 pep:known chromosome:GRCm38:5:22746059:23275597:1 gene:ENSMUSG00000106379.1 transcript:ENSMUST00000197992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl3 description:lipoma HMGIC fusion partner-like 3 [Source:MGI Symbol;Acc:MGI:1925076] MPGAAAAAAAMLPAQEAAKLYHTNYVRNSRAIGVLWAIFTICFAIVNVVCFIQPYWIGDG VDTPQAGYFGLFHYCIGNGFSRELTCRGSFTDFSTLPSGAFKAASFFIGLSMMLIIACIV CFTLFFFCNTATVYKICAWMQLTSAACLVLGCMIFPDGWDSDEVKRMCGEKTDKYTLGAC SVRWAYILAIIGILDALILSFLAFVLGNRQDSLMAEELKAENKDDGNA >ENSMUSP00000142837.1 pep:known chromosome:GRCm38:5:23100552:23275588:1 gene:ENSMUSG00000106379.1 transcript:ENSMUST00000196406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl3 description:lipoma HMGIC fusion partner-like 3 [Source:MGI Symbol;Acc:MGI:1925076] MIFPDGWDSDEVKRMCGEKTDKYTLGACSVRWAYILAIIGILDALILSFLAFVLGNRQDS LMAEELKAENKVLLSQYSLE >ENSMUSP00000049723.3 pep:known chromosome:GRCm38:2:38511643:38594606:1 gene:ENSMUSG00000026749.11 transcript:ENSMUST00000054234.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek6 description:NIMA (never in mitosis gene a)-related expressed kinase 6 [Source:MGI Symbol;Acc:MGI:1891638] MAGQPSHMPHGGSPNHLCHALGPAPPPDPQRLPNTLSFRCSLADFQIEKKIGRGQFSEVY KATCLLDRKTVALKKVQIFEMMDAKARQDCVKEIGLLKQLNHPNIIKYLDSFIEDNELNI VLELADAGDLSQMIKYFKKQKRLIPERTVWKYFVQLCSAVEHMHSRRVMHRDIKPANVFI TATGIVKLGDLGLGRFFSSETTAAHSLVGTPYYMSPERIHENGYNFKSDIWSLGCLLYEM AALQSPFYGDKMNLFSLCQKIEQCDYPPLPGEHYSEKLRELVSMCIYPDPDHRPDIVYVH QVARQMHVWTSST >ENSMUSP00000108523.1 pep:known chromosome:GRCm38:2:38511668:38587480:1 gene:ENSMUSG00000026749.11 transcript:ENSMUST00000112902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek6 description:NIMA (never in mitosis gene a)-related expressed kinase 6 [Source:MGI Symbol;Acc:MGI:1891638] MQDGRTAQPHASWREPKPPLPCTGACTPSRPTDFQIEKKIGRGQFSEVYKATCLLDRKTV ALKKVQIFEMMDAKARQDCVKEIGLLKQLNHPNIIKYLDSFIEDNELNIVLELADAGDLS QMIKYFKKQKRLIPERTVWKYFVQLCSAVEHMHSRRVMHRDIKPANVFITATGIVKLGDL GLGRFFSSETTAAHSLVGTPYYMSPERIHENGYNFKSDIWSLGCLLYEMAALQSPFYGDK MNLFSLCQKIEQCDYPPLPGEHYSEKLRELVSMCIYPDPDHRPDIVYVHQVARQMHVWTS ST >ENSMUSP00000108516.1 pep:known chromosome:GRCm38:2:38511814:38585766:1 gene:ENSMUSG00000026749.11 transcript:ENSMUST00000112895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek6 description:NIMA (never in mitosis gene a)-related expressed kinase 6 [Source:MGI Symbol;Acc:MGI:1891638] MAGQPSHMPHGGSPNHLCHALGPAPPPDPQRLPNTLSFRCSLADFQIEKKIGRGQFSEVY KATCLLDRKTVALKKVQIFEMMDAKARQDCVKEIGLLKQLNHPNIIKYLDSFIEDNELNI VLELADAGDLSQMIKYFKKQKRLIPERTVWKYFVQLCSAVEHMHSRRVMHRDIKPANVFI TATGIVKLGDLGLGRFFSSETTAAHSLVGTPYYMSPERIHENGYNFKSDIWSLGCLLYEM AALQSPFYGDKMNLFSLCQKIEQCDYPPLPGEHYSEKLRELVSMCIYPDPDHRPDIVYVH QVARQMHVWTSST >ENSMUSP00000120294.1 pep:known chromosome:GRCm38:2:38514581:38557849:1 gene:ENSMUSG00000026749.11 transcript:ENSMUST00000151683.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek6 description:NIMA (never in mitosis gene a)-related expressed kinase 6 [Source:MGI Symbol;Acc:MGI:1891638] MAGQPSHMPHGGSPNHLCHALGPAPPPDPQRLPNTLSFRCS >ENSMUSP00000114376.1 pep:known chromosome:GRCm38:2:38514626:38560910:1 gene:ENSMUSG00000026749.11 transcript:ENSMUST00000156726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek6 description:NIMA (never in mitosis gene a)-related expressed kinase 6 [Source:MGI Symbol;Acc:MGI:1891638] MAGQPSHMPHGGSPNHLCHALGPAPPPDPQRLPNTLSFRCSLADFQIEKKIGRGQFSEVY KATCLLDRKTVALKKVQIFEMMDAKARQDCVKEIGLLKQLNHPNIIKY >ENSMUSP00000070247.4 pep:known chromosome:GRCm38:6:42405434:42406526:1 gene:ENSMUSG00000056203.4 transcript:ENSMUST00000070178.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r135 description:taste receptor, type 2, member 135 [Source:MGI Symbol;Acc:MGI:2681302] MGPIMSTGEMSTGHTVLGCQTTDKTVVTLFIILVLLCLVAVVGNGFIIIALGMKWLLRRT LSAHNKLLISLAASRFCLQCVVIGKNIYVFLNPTSFPYNPVIQLLNLMWDFLTAATIWLC SLLGFFYCVKIATLTHPVFVWLKYRLPGWVPWMLLSAVGMSSLTSILCFIGNYMIYQNHA KSGHQPWNVTGNSLRHSLEKFYFFSIKIIMWTIPTVVFSIFMSLLLVSLVRHMKKTFLAL SELRDVWAQAHFKALLPLLSFIVLFISCFLTLVLSSASNTPYQEFRYWMWQVVIHLCTVI HPIVILFSNPVLRVVIKRGCC >ENSMUSP00000001921.1 pep:known chromosome:GRCm38:3:20215620:20242181:-1 gene:ENSMUSG00000001865.2 transcript:ENSMUST00000001921.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpa3 description:carboxypeptidase A3, mast cell [Source:MGI Symbol;Acc:MGI:88479] MRFFLLMAVIYTTLAIAPVHFDREKVFRVKLQNEKHASVLKNLTQSIELDFWYPDAIHDI AVNMTVDFRVSEKESQTIQSTLEQHKIHYEILIHDLQEEIEKQFDVKDEIAGRHSYAKYN DWDKIVSWTEKMLEKHPEMVSRIKIGSTVEDNPLYVLKIGKKDGERKAIFMDCGIHAREW ISPAFCQWFVYQATKSYGKNKIMTKLLDRMNFYVLPVFNVDGYIWSWTQDRMWRKNRSRN QNSTCIGTDLNRNFDVSWDSSPNTNKPCLNVYRGPAPESEKETKAVTNFIRSHLNSIKAY ITFHSYSQMLLIPYGYTFKLPPNHQDLLKVARIATDALSTRYETRYIYGPIASTIYKTSG SSLDWVYDLGIKHTFAFELRDKGKSGFLLPESRIKPTCKETMLSVKFIAKYILKNTS >ENSMUSP00000055797.6 pep:known chromosome:GRCm38:4:33924632:33948831:1 gene:ENSMUSG00000044288.6 transcript:ENSMUST00000057188.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnr1 description:cannabinoid receptor 1 (brain) [Source:MGI Symbol;Acc:MGI:104615] MKSILDGLADTTFRTITTDLLYVGSNDIQYEDIKGDMASKLGYFPQKFPLTSFRGSPFQE KMTAGDNSPLVPAGDTTNITEFYNKSLSSFKENEDNIQCGENFMDMECFMILNPSQQLAI AVLSLTLGTFTVLENLLVLCVILHSRSLRCRPSYHFIGSLAVADLLGSVIFVYSFVDFHV FHRKDSPNVFLFKLGGVTASFTASVGSLFLTAIDRYISIHRPLAYKRIVTRPKAVVAFCL MWTIAIVIAVLPLLGWNCKKLQSVCSDIFPLIDETYLMFWIGVTSVLLLFIVYAYMYILW KAHSHAVRMIQRGTQKSIIIHTSEDGKVQVTRPDQARMDIRLAKTLVLILVVLIICWGPL LAIMVYDVFGKMNKLIKTVFAFCSMLCLLNSTVNPIIYALRSKDLRHAFRSMFPSCEGTA QPLDNSMGDSDCLHKHANNTASMHRAAESCIKSTVKIAKVTMSVSTDTSAEAL >ENSMUSP00000081787.2 pep:known chromosome:GRCm38:4:33926202:33948819:1 gene:ENSMUSG00000044288.6 transcript:ENSMUST00000084736.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnr1 description:cannabinoid receptor 1 (brain) [Source:MGI Symbol;Acc:MGI:104615] MKSILDGLADTTFRTITTDLLYVGSNDIQYEDIKGDMASKLGYFPQKFPLTSFRGSPFQE KMTAGDNSPLVPAGDTTNITEFYNKSLSSFKENEDNIQCGENFMDMECFMILNPSQQLAI AVLSLTLGTFTVLENLLVLCVILHSRSLRCRPSYHFIGSLAVADLLGSVIFVYSFVDFHV FHRKDSPNVFLFKLGGVTASFTASVGSLFLTAIDRYISIHRPLAYKRIVTRPKAVVAFCL MWTIAIVIAVLPLLGWNCKKLQSVCSDIFPLIDETYLMFWIGVTSVLLLFIVYAYMYILW KAHSHAVRMIQRGTQKSIIIHTSEDGKVQVTRPDQARMDIRLAKTLVLILVVLIICWGPL LAIMVYDVFGKMNKLIKTVFAFCSMLCLLNSTVNPIIYALRSKDLRHAFRSMFPSCEGTA QPLDNSMGDSDCLHKHANNTASMHRAAESCIKSTVKIAKVTMSVSTDTSAEAL >ENSMUSP00000016640.7 pep:known chromosome:GRCm38:19:29367455:29388095:1 gene:ENSMUSG00000016496.7 transcript:ENSMUST00000016640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd274 description:CD274 antigen [Source:MGI Symbol;Acc:MGI:1926446] MRIFAGIIFTACCHLLRAFTITAPKDLYVVEYGSNVTMECRFPVERELDLLALVVYWEKE DEQVIQFVAGEEDLKPQHSNFRGRASLPKDQLLKGNAALQITDVKLQDAGVYCCIISYGG ADYKRITLKVNAPYRKINQRISVDPATSEHELICQAEGYPEAEVIWTNSDHQPVSGKRSV TTSRTEGMLLNVTSSLRVNATANDVFYCTFWRSQPGQNHTAELIIPELPATHPPQNRTHW VLLGSILLFLIVVSTVLLFLRKQVRMLDVEKCGVEDTSSKNRNDTQFEET >ENSMUSP00000121751.1 pep:known chromosome:GRCm38:4:148004259:148038821:-1 gene:ENSMUSG00000029016.13 transcript:ENSMUST00000137724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn6 description:chloride channel, voltage-sensitive 6 [Source:MGI Symbol;Acc:MGI:1347049] MAGCRGSVCCCCRWCCCCGERESRTPEELTILGETQEEEDEILPRKDYESLDYDRCINDP YLEVLETMDNKKGRRYEAVKWMVVFAIGVCTGLVGLFVDFSVRLFTQLKFGVVQTSSAAV EECSQKGCLALSLLELLGFNLTFVFLASLLVLIEPVAAGSGIPEIKCYLNGVKVPGIVRL RTLLCKVFGVLFSVSGGLFVGKEGPMIHSGAVVGAGLPQFQSISLRKIQFNFPYFRSDRD KRDFVSAGAAAGVAAAFGAPIGGTLFSLEEGSSFWNQGLTWKVLFCSMSATFTLNFFRSG IQFGSWGSFQLPGLLNFGEFKCSDSDKKCHLWTAMDLGFFVVMGVIGGLLGATFNCLNKR LAKYRMRNVHPKPKLVRVLESLLVSLVTTVVVFVASMVLGECRQMSSTSQTGNGSFQLQV TSEDVNSTIKAFFCPNDTYNDMATLFFNSQESAILQLFHQDGTFSPVTLALFFILYFLLA CWTFGTSVPSGLFVPSLLCGAAFGRLVANVLKSYIGLGHLYSGTFALIGAAAFLGGVVRM TISLTVILIESTNEITYGLPIMVTLMVAKWTGDLFNKGIYDVHIGLRGVPLLEWETDVEM DKLRASDIMEPNLTYVYPHTRIQSLVSILRTTVHHAFPVVTENRGNEKEFMKGNQLISNN IKFKKSSILTRAGEQRKRGQSMKSYPSSELRNVCDEHVASEEPAEKEDLLQQMLERRYTP YPNLYPDQSPSEDWTMEERFRPLTFHGLVLRSQLVTLLVRGVCYSESQSSASQPRLSYAE MAEDYPRYPDIHDLDLTLLNPRMIVDVTPYMNPSPFTVSPNTHVSQVFNLFRTMGLRHLP VVNAVGEIVGIITRHNLTNEFLQARLRQHYQTL >ENSMUSP00000101336.2 pep:known chromosome:GRCm38:4:148006589:148038770:-1 gene:ENSMUSG00000029016.13 transcript:ENSMUST00000105711.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcn6 description:chloride channel, voltage-sensitive 6 [Source:MGI Symbol;Acc:MGI:1347049] MAGCRGSVCCCCRWCCCCGERESRTPEELTILGETQEEEDEILPRKDYESLDYDRCINDP YLEVLETMDNKKGRRYEAVKWMVVFAIGVCTGLVGLFVDFSVRLFTQLKFGVVQTSSAAV EECSQKGCLALSLLELLGFNLTFVFLASLLVLIEPVAAGSGIPEIKCYLNGVKVPGIVRL RTLLCKVFGVLFSVSGGLFVGKEGPMIHSGAVVGAGLPQFQSISLRKIQFNFPYFRSDRD KRDFVSAGAAAGVAAAFGAPIGGTLFSLEEGSSFWNQGLTWKVLFCSMSATFTLNFFRSG IQFGSWGSFQLPGLLNFGEFKCSDSDKKCHLWTAMDLGFFVVMGVIGGLLGATFNCLNKR LAKYRMRNVHPKPKLVRVLESLLVSLVTTVVVFVASMVLGECRQMSSTSQTGNGSFQLQV TSEDVNSTIKAFFCPNDTYNDMATLFFNSQESAILQLFHQDGTFSPVTLALFFILYFLLA CWTFGTSVPSGLFVPSLLCGAAFGRLVANVLKSYIGLGHLYSGTFALIGAAAFLGGVVRM TISLTVILIESTNEITYGLPIMVTLMVAKWTGDLFNKGIYDVHIGLRGVPLLEWETDVEM DKLRASDIMEPNLTYVYPHTRIQSLVSILRTTVHHAFPVVTENRGNEKEFMKGNQLISNN IKFKKSSILTRAGEQRKRGQSMKSYPSSELRNVCDEHVASEEPAEKEDLLQQMLERSPRV KTGPWRSVSVR >ENSMUSP00000116153.1 pep:known chromosome:GRCm38:4:148026582:148038562:-1 gene:ENSMUSG00000029016.13 transcript:ENSMUST00000131232.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcn6 description:chloride channel, voltage-sensitive 6 [Source:MGI Symbol;Acc:MGI:1347049] MPSIFSLSFERSKHCRMSPCAGRDAHAVGVVSLACSYSLPFTLSGSLVIQLPRAKQAGKT >ENSMUSP00000030879.5 pep:known chromosome:GRCm38:4:148006134:148038813:-1 gene:ENSMUSG00000029016.13 transcript:ENSMUST00000030879.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn6 description:chloride channel, voltage-sensitive 6 [Source:MGI Symbol;Acc:MGI:1347049] MAGCRGSVCCCCRWCCCCGERESRTPEELTILGETQEEEDEILPRKDYESLDYDRCINDP YLEVLETMDNKKGRRYEAVKWMVVFAIGVCTGLVGLFVDFSVRLFTQLKFGVVQTSVEEC SQKGCLALSLLELLGFNLTFVFLASLLVLIEPVAAGSGIPEIKCYLNGVKVPGIVRLRTL LCKVFGVLFSVSGGLFVGKEGPMIHSGAVVGAGLPQFQSISLRKIQFNFPYFRSDRDKRD FVSAGAAAGVAAAFGAPIGGTLFSLEEGSSFWNQGLTWKVLFCSMSATFTLNFFRSGIQF GSWGSFQLPGLLNFGEFKCSDSDKKCHLWTAMDLGFFVVMGVIGGLLGATFNCLNKRLAK YRMRNVHPKPKLVRVLESLLVSLVTTVVVFVASMVLGECRQMSSTSQTGNGSFQLQVTSE DVNSTIKAFFCPNDTYNDMATLFFNSQESAILQLFHQDGTFSPVTLALFFILYFLLACWT FGTSVPSGLFVPSLLCGAAFGRLVANVLKSYIGLGHLYSGTFALIGAAAFLGGVVRMTIS LTVILIESTNEITYGLPIMVTLMVAKWTGDLFNKGIYDVHIGLRGVPLLEWETDVEMDKL RASDIMEPNLTYVYPHTRIQSLVSILRTTVHHAFPVVTENRGNEKEFMKGNQLISNNIKF KKSSILTRAGEQRKRGQSMKSYPSSELRNVCDEHVASEEPAEKEDLLQQMLERRYTPYPN LYPDQSPSEDWTMEERFRPLTFHGLVLRSQLVTLLVRGVCYSESQSSASQPRLSYAEMAE DYPRYPDIHDLDLTLLNPRMIVDVTPYMNPSPFTVSPNTHVSQVFNLFRTMGLRHLPVVN AVGEIVGIITRHNLTNEFLQARLRQHYQTL >ENSMUSP00000100649.2 pep:known chromosome:GRCm38:4:138394092:138396528:-1 gene:ENSMUSG00000078235.3 transcript:ENSMUST00000105032.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam43b description:family with sequence similarity 43, member B [Source:MGI Symbol;Acc:MGI:3651622] MLPWRRNKFVLVEDEAKRKAKSLSPGLAYTSLLSSFLRSCPDLLPDWPLERLGRVFRSRR QKVELNKEDPTYTVWYLGNAVTLHAKGDGCTDDAVGRIWARCGPGGGTKMKLTLGPHGIR MQPSERSSGASGGRRPAHAYLLPRITYCAADGRHPRVFTWVYRHQARHKAVVLRCHAVLL ARAHKARSLARLLHQTALAAFSDFKRLQRQSDARHVRQQHLRAGGAAASVPRAPLRRLLN AKCAYRPPPGERGRGAPRLSSIQEEDEEEDAEEEDTRDSEEGALQRERPEVLSLARELRT CSLRGAPAPPPPAQPRRWKAGSRERAGQAR >ENSMUSP00000115001.1 pep:known chromosome:GRCm38:10:81233155:81237553:1 gene:ENSMUSG00000034949.18 transcript:ENSMUST00000144087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfr2 description:zinc finger RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2143792] MNGGPSSTQSSSAFPLPATGASYTVQFTPKMESTASLALAPASQLPAPGGGVAVGYSCSQ PQASQGPTSSSQ >ENSMUSP00000113913.1 pep:known chromosome:GRCm38:10:81233155:81252123:1 gene:ENSMUSG00000034949.18 transcript:ENSMUST00000117798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfr2 description:zinc finger RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2143792] MAASSSSGLAQGTNPSSSTQSSSAFPLPATGASYTVQFTPKMESTASLALAPASQLPAPG GGVAVGYSCSQPQASQGPTSSSQPRGPVPTSASAHQEDGHSYREKVTKSRTDSRERPARS LCRPGPSSRSPDSDSVSPGPQRPPPSSQRAPQKLQAPSPPPAPVGSDPWGGPVLGPWDPT FTSDALPFLDRLPKPKAGPRPPSMHYCEVCRVSCAGPQTYRDHLEGQKHRKKQAAQRTST QPSGGPRGSQSLHCGLCAVSCTGADAYAAHMRGARHQKVFKLHTRLGKPIPSEPTPRSVT YTRGSDSTPETSVKHEAHARHSSHTQGRPAPAKGSAASRKGPPELQTMHGRPGDRRPSHF KVEATRGGPGEASGSSGDMAPVGTEYVEEVCSDEGKVIRFRCKLCECSFNDRNARDMHLT GRRHRLQYRKKVDPTLPVAARPSGPIQRVLAKRLQRHWQQVQTQLEDTRRWDSELRQQEE HSRQPEETPQPQVEEQLPGLPAWALPAPTTRPGMATARRQSGRRPESSDDRHVMCKHASI YPTEEELQAIQTAVSHTERALRLVSDTLAEESSQHGTLASPPPRMLKGVVRVGILAKGLV LRGDHSVQLTLLCSKKPTHSLLQRIKQELPRELSIVAEDKYEVSSDHDANIVISACVEPG VKVTVSATSPLMREDPSVKQGQQDALSDPEDVLDRERCLETLAALRHAKWFQARASGLQP CVIVIRVLRELCRCLPPWGALPAWAMELLVEKVLSSAPRPLSPGDAMRRVLEYVATGALL TDGPGLQDPCEKGPQDALEPMTPQQREDLTASAQRALRLVAFRQIHKVLHMEQLPPRTRF GAGARARKRMREASQAQEGTRERKRGRQGTAGLP >ENSMUSP00000120853.1 pep:known chromosome:GRCm38:10:81248416:81251712:1 gene:ENSMUSG00000034949.18 transcript:ENSMUST00000137999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfr2 description:zinc finger RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:2143792] XSSDHDANIVISACVEPGVKVTVSATSPLMREDPSVKQGQQDALSDPEDVLDRERCLETL AALRHAKWFQARASGLQPCVIVIRVLRELCRCLPPWGALPAWAMELLVEKVLSSAPRPLS PGDAMRRVLEYVATGALLTACPASCGLPADTQGPSHGTVTSTDQIRGRGPGPEEDEGG >ENSMUSP00000056581.4 pep:known chromosome:GRCm38:6:42434535:42435464:1 gene:ENSMUSG00000048284.4 transcript:ENSMUST00000059534.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r126 description:taste receptor, type 2, member 126 [Source:MGI Symbol;Acc:MGI:2681273] MLPTLSVFFMLTFVLLCFLGILANGFIVLMLSREWLLRGRLLPSDMILFSLGTSRFFQQC VGLVNSFYYFLHLVEYSGSLARQLISLHWDFLNSATFWFCTWLSVLFCIKIANFSHPAFL WLKWRFPALVPWFLLGSILVSVIVTLLFFWGNHTIYQAFLRRKFTGNTTFKEWNRRLEID YFMPLKVVTMSIPCSLFLVSILLLISSLRRHSLRMQHNTHSLQDPNVQAHSRALKSLISF LVLYAVSFVSMIIDATVFISSDNVWYWPWQIILYFCMSVHPFILITNNLRFRGTFRQLLL LARGFWVA >ENSMUSP00000037079.7 pep:known chromosome:GRCm38:7:109138572:109170519:-1 gene:ENSMUSG00000036111.8 transcript:ENSMUST00000036992.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo1 description:LIM domain only 1 [Source:MGI Symbol;Acc:MGI:102812] MMVLDKEDGVPMLSVQPKGKQKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGE VGSTLYTKANLILCRRDYLRLFGTTGNCAACSKLIPAFEMVMRARDNVYHLDCFACQLCN QRFCVGDKFFLKNNMILCQVDYEEGHLNGTFESQVQ >ENSMUSP00000146752.1 pep:known chromosome:GRCm38:7:109138675:109175207:-1 gene:ENSMUSG00000036111.8 transcript:ENSMUST00000207178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo1 description:LIM domain only 1 [Source:MGI Symbol;Acc:MGI:102812] MVLDQEDGVPMLSVQPKGKQKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEV GSTLYTKANLILCRRDYLRLFGTTGNCAACSKLIPAFEMVMRARDNVYHLDCFACQLCNQ RFCVGDKFFLKNNMILCQVDYEEGHLNGTFESQVQ >ENSMUSP00000146588.1 pep:known chromosome:GRCm38:7:109138733:109170512:-1 gene:ENSMUSG00000036111.8 transcript:ENSMUST00000208136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo1 description:LIM domain only 1 [Source:MGI Symbol;Acc:MGI:102812] MLSVQPKGKQKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANL ILCRRDYLRLFGTTGNCAACSKLIPAFEMVMRARDNVYHLDCFACQLCNQRFCVGDKFFL KNNMILCQVDYEEGHLNGTFESQVQ >ENSMUSP00000101873.1 pep:known chromosome:GRCm38:4:121414735:121423099:-1 gene:ENSMUSG00000078576.1 transcript:ENSMUST00000106266.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12886 description:predicted gene 12886 [Source:MGI Symbol;Acc:MGI:3651888] MVLPCSLWLLSVCLLSWCCDAKLPVALDPESESVPKPPVLKTATEIAAIVVFMKTSNETP KSGTYGQSLQDGKNKIKDVEKEVLDACSQLCQSLAQDPNLHHGYILRAYFKEHQFLCCF >ENSMUSP00000098062.2 pep:known chromosome:GRCm38:14:62977524:62984510:-1 gene:ENSMUSG00000075574.2 transcript:ENSMUST00000100493.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb48 description:defensin beta 48 [Source:MGI Symbol;Acc:MGI:3646223] MRLYLLLSTLFFLLDLLPQVRSGMGASETLCVSLQGTCRRDICKLIEDEIGACRRRWKCC RLWWILIPIPTPVIFSDYQEPLQSKVK >ENSMUSP00000081271.4 pep:known chromosome:GRCm38:4:132331919:132345747:-1 gene:ENSMUSG00000028896.13 transcript:ENSMUST00000084250.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcc1 description:regulator of chromosome condensation 1 [Source:MGI Symbol;Acc:MGI:1913989] MPPKRIAKRRSPPEDAIPKSKKVKVSHRSHNTEPGLVLTLGQGDVGQLGLGESVLERKKP ALVPLLQDVVQAEAGGMHTVCLSQSGQVYSFGCNDEGALGRDTSVEGSEMVPGKVELQEK VVQVSAGDSHTAALTEDGRVFLWGSFRDNNGVIGLLEPMKKSMVPVQVQLDAPVVKVASG NDHLVMLTNDGDLYTLGCGEQGQLGRVPELFANRGGRQGLGRLLVPRCVLLKSRGTRGRV RFQDAFCGAYFTFAISREGHVYGFGLSNYHQLGTPGTGSCFIPQNLTSFKNSTKSWVGFS GGQHHTVCMDSEGKAYSLGRAEYGRLGLGEGAEEKSIPTLISRLPVVSSVACGASVGYAV SKDGRVFAWGMGTNYQLGTGQDEDAWSPVEMTGKQLENRVVLTVSSGGQHTVLLVKDQAQ S >ENSMUSP00000030726.6 pep:known chromosome:GRCm38:4:132331923:132345705:-1 gene:ENSMUSG00000028896.13 transcript:ENSMUST00000030726.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcc1 description:regulator of chromosome condensation 1 [Source:MGI Symbol;Acc:MGI:1913989] MPPKRIAKRRSPPEDAIPKSKKVKDTRNRGPATRSCQVSHRSHNTEPGLVLTLGQGDVGQ LGLGESVLERKKPALVPLLQDVVQAEAGGMHTVCLSQSGQVYSFGCNDEGALGRDTSVEG SEMVPGKVELQEKVVQVSAGDSHTAALTEDGRVFLWGSFRDNNGVIGLLEPMKKSMVPVQ VQLDAPVVKVASGNDHLVMLTNDGDLYTLGCGEQGQLGRVPELFANRGGRQGLGRLLVPR CVLLKSRGTRGRVRFQDAFCGAYFTFAISREGHVYGFGLSNYHQLGTPGTGSCFIPQNLT SFKNSTKSWVGFSGGQHHTVCMDSEGKAYSLGRAEYGRLGLGEGAEEKSIPTLISRLPVV SSVACGASVGYAVSKDGRVFAWGMGTNYQLGTGQDEDAWSPVEMTGKQLENRVVLTVSSG GQHTVLLVKDQAQS >ENSMUSP00000101571.1 pep:known chromosome:GRCm38:4:132331923:132351636:-1 gene:ENSMUSG00000028896.13 transcript:ENSMUST00000105951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcc1 description:regulator of chromosome condensation 1 [Source:MGI Symbol;Acc:MGI:1913989] MPPKRIAKRRSPPEDAIPKSKKVKVSHRSHNTEPGLVLTLGQGDVGQLGLGESVLERKKP ALVPLLQDVVQAEAGGMHTVCLSQSGQVYSFGCNDEGALGRDTSVEGSEMVPGKVELQEK VVQVSAGDSHTAALTEDGRVFLWGSFRDNNGVIGLLEPMKKSMVPVQVQLDAPVVKVASG NDHLVMLTNDGDLYTLGCGEQGQLGRVPELFANRGGRQGLGRLLVPRCVLLKSRGTRGRV RFQDAFCGAYFTFAISREGHVYGFGLSNYHQLGTPGTGSCFIPQNLTSFKNSTKSWVGFS GGQHHTVCMDSEGKAYSLGRAEYGRLGLGEGAEEKSIPTLISRLPVVSSVACGASVGYAV SKDGRVFAWGMGTNYQLGTGQDEDAWSPVEMTGKQLENRVVLTVSSGGQHTVLLVKDQAQ S >ENSMUSP00000120701.1 pep:known chromosome:GRCm38:4:132337823:132353605:-1 gene:ENSMUSG00000028896.13 transcript:ENSMUST00000155129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcc1 description:regulator of chromosome condensation 1 [Source:MGI Symbol;Acc:MGI:1913989] MPPKRIAKRRSPPEDAIPKSKKVKVSHRSHNTEPGLVLTLGQGDVGQLGLGESVLERKKP ALVPLLQDVVQAEAGGMHTVCLSQSGQVYSFGCNDEGALGRDTSVEGSEMVPGKVE >ENSMUSP00000123263.1 pep:known chromosome:GRCm38:8:70508263:70510350:-1 gene:ENSMUSG00000090137.8 transcript:ENSMUST00000140679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba52 description:ubiquitin A-52 residue ribosomal protein fusion product 1 [Source:MGI Symbol;Acc:MGI:98887] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNN LRPKKKVK >ENSMUSP00000121149.1 pep:known chromosome:GRCm38:8:70508263:70510355:-1 gene:ENSMUSG00000090137.8 transcript:ENSMUST00000129909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba52 description:ubiquitin A-52 residue ribosomal protein fusion product 1 [Source:MGI Symbol;Acc:MGI:98887] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNN LRPKKKVK >ENSMUSP00000120096.1 pep:known chromosome:GRCm38:8:70508263:70510581:-1 gene:ENSMUSG00000090137.8 transcript:ENSMUST00000125184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba52 description:ubiquitin A-52 residue ribosomal protein fusion product 1 [Source:MGI Symbol;Acc:MGI:98887] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNN LRPKKKVK >ENSMUSP00000080608.4 pep:known chromosome:GRCm38:8:70508266:70510417:-1 gene:ENSMUSG00000090137.8 transcript:ENSMUST00000081940.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba52 description:ubiquitin A-52 residue ribosomal protein fusion product 1 [Source:MGI Symbol;Acc:MGI:98887] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNN LRPKKKVK >ENSMUSP00000123562.1 pep:known chromosome:GRCm38:8:70508328:70510801:-1 gene:ENSMUSG00000090137.8 transcript:ENSMUST00000135446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba52 description:ubiquitin A-52 residue ribosomal protein fusion product 1 [Source:MGI Symbol;Acc:MGI:98887] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNN LRPKKKVK >ENSMUSP00000122797.1 pep:known chromosome:GRCm38:8:70508430:70509945:-1 gene:ENSMUSG00000090137.8 transcript:ENSMUST00000124967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba52 description:ubiquitin A-52 residue ribosomal protein fusion product 1 [Source:MGI Symbol;Acc:MGI:98887] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKMIC >ENSMUSP00000137461.1 pep:known chromosome:GRCm38:8:70508332:70509623:-1 gene:ENSMUSG00000090137.8 transcript:ENSMUST00000165126.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba52 description:ubiquitin A-52 residue ribosomal protein fusion product 1 [Source:MGI Symbol;Acc:MGI:98887] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGIIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNN LRPKKKVK >ENSMUSP00000119786.1 pep:known chromosome:GRCm38:11:50210890:50231099:1 gene:ENSMUSG00000036620.14 transcript:ENSMUST00000147468.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4b description:mannoside acetylglucosaminyltransferase 4, isoenzyme B [Source:MGI Symbol;Acc:MGI:2143974] MGPLKGTGSRRPHCLLFSFPPNTWVTTRDQPQPQVVGKRTRDVVDIYQREFLALRDRLHA AEQESLKRSKELNLVLEEIKRAVSERQALRDGEGNRTWGRLTEDPRLKPWNVSHRHVLHL PTVFHHLPHLLAKESSLQPAVRVGQGRTG >ENSMUSP00000043346.7 pep:known chromosome:GRCm38:11:50225335:50235103:1 gene:ENSMUSG00000036620.14 transcript:ENSMUST00000041725.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4b description:mannoside acetylglucosaminyltransferase 4, isoenzyme B [Source:MGI Symbol;Acc:MGI:2143974] MRLRNGTFLTLLLFCLCAFLSLSWYAALSGQKGDVVDIYQREFLALRDRLHAAEQESLKR SKELNLVLEEIKRAVSERQALRDGEGNRTWGRLTEDPRLKPWNVSHRHVLHLPTVFHHLP HLLAKESSLQPAVRVGQGRTGVSVVMGIPSVRREVHSYLTDTLHSLISELSPQEKEDSVI VVLIAETDPQYTSAVTENIKALFPTEIHSGLLEVISPSPHFYPDFSRLRESFGDPKERVR WRTKQNLDYCFLMMYAQSKGIYYVQLEDDIVAKPNYLSTMKNFALQQPSEDWMILEFSQL GFIGKMFKSLDLSLIVEFILMFYRDKPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIR FKPSLFQHVGTHSSLAGKIQKLKDKDFGKHALRKEHVNPPAEVSTSLKTYQHFTLEKAYL REDFFWAFTPAAGDFIRFRFFQPLRLERFFFRSGNIEHPEDKLFNTSVEVLPFDNPQSEK EALQEGRSATLRYPRSPDGYLQIGSFYKGVAEGEVDPAFGPLEALRLSIQTDSPVWVILS EIFLKKAD >ENSMUSP00000116802.1 pep:known chromosome:GRCm38:11:50230740:50235103:1 gene:ENSMUSG00000036620.14 transcript:ENSMUST00000151803.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mgat4b description:mannoside acetylglucosaminyltransferase 4, isoenzyme B [Source:MGI Symbol;Acc:MGI:2143974] XEQESLKRSKELNLVLEEIKRAVSERQALRDGEGNRTWGRLTEDPRLKPWNVSHRHVLHL PTVFHHLPHLLAKESSLQPAVRVGQGRTGVSVVMGIPSVRREVHSYLTDTLHSLISELSP QEKEDSVIVVLIAETDPQYTSAVTENIKALFPTEIHSGLLEVISPSPHFYPDFSRLRESF GDPKERVRWRTKQNLDYCFLMMYAQSKGIYYVQLEDDIVAKPNYLSTMKNFALQQPSEDW MILEFSQLGFIG >ENSMUSP00000137043.2 pep:known chromosome:GRCm38:X:9350627:9351136:1 gene:ENSMUSG00000100448.1 transcript:ENSMUST00000178196.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14501 description:predicted gene 14501 [Source:MGI Symbol;Acc:MGI:3710586] MAKKMQRRRRQKRTRSQRGELPLSLVDRFLREEFHSSRLSSSALSFLTSVLEYLTSNILE LAGEVAHTTGRKRIAPEDVRLVVQNNEQLRQLFKPGGTSVNEDDN >ENSMUSP00000034115.2 pep:known chromosome:GRCm38:8:78433010:78436645:-1 gene:ENSMUSG00000031688.4 transcript:ENSMUST00000034115.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou4f2 description:POU domain, class 4, transcription factor 2 [Source:MGI Symbol;Acc:MGI:102524] MMMMSLNSKQAFSMPHAGSLHVEPKYSALHSASPGSSAPAAPSASSPSSSSNAGGGGGGG GGGGGGGRSSSSSSSGSGGSGGGGGSEAMRRACLPTPPSNIFGGLDESLLARAEALAAVD IVSQSKSHHHHPPHHSPFKPDATYHTMNTIPCTSAASSSSVPISHPSALAGTHHHHHHHH HHHHQPHQALEGELLEHLSPGLALGAMAGPDGTVVSTPAHAPHMATMNPMHQAALSMAHA HGLPSHMGCMSDVDADPRDLEAFAERFKQRRIKLGVTQADVGSALANLKIPGVGSLSQST ICRFESLTLSHNNMIALKPILQAWLEEAEKSHREKLTKPELFNGAEKKRKRTSIAAPEKR SLEAYFAIQPRPSSEKIAAIAEKLDLKKNVVRVWFCNQRQKQKRMKYSAGI >ENSMUSP00000117287.1 pep:known chromosome:GRCm38:10:81590904:81600875:-1 gene:ENSMUSG00000034758.12 transcript:ENSMUST00000142948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle6 description:transducin-like enhancer of split 6, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:2149593] MTSHRQSSDTFGGILPSTLSSRYLSIVNQLPEEFSSVVSEMMVHLENIFSLAENFFQAIE RFSRTPDLLERNKMSIGVGAEGDSWPCHVSHEAPMGSAQTTENSAKEEDKQVPESAALQH PKFKSTPGPQLPTRRRFLSESDELQDPQPVWDAEPQFCQGFLIQGLWELFMDSRQKNQQE HGGEDSSQESKDSGLCDFKPEPQPRHRNSLSDSALLDYYQEDVSRPQPETQESSGRADKF LKPLSWGSEVLESSCNQPSTALWQLERFTVPQALQKVRVLKHQELLLVVAVSSFTRHVFT CSQSGIKVWNLVNQVAEDRDPESHLKCSVQDNKVYLRTCLLSSNSRTLFAGGYNLPGVIV WDLAAPSLYEKCQLPCEGLSCQALANTKENMALAGFTDGTVRIWDLRTQEIVRNLKGPTN SARNLVVKDDNIWTGGLDACLRCWDLRMAKVSLEHLFQSQIMSLAHSPTEDWLLLGLANG QHCLFNSRKRDQVLTVDTKDNTILGLKFSPNGKWWASVGMGNFITVHSMPTGAKLFQVPE VGPVRCFDMTENGRLIITGSRDCASVYHIKY >ENSMUSP00000071905.2 pep:known chromosome:GRCm38:10:81590905:81600900:-1 gene:ENSMUSG00000034758.12 transcript:ENSMUST00000072020.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle6 description:transducin-like enhancer of split 6, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:2149593] MTSHRQSSDTFGGILPSTLSSRYLSIVNQLPEEFSSVVSEMMVHLENIFSLAENFFQAIE RFSRTPDLLERNKMSIGVGAEGDSWPCHVSHEAPMGSAQTTENSAKEEDKQVPESAALQH PKFKSTPGPQLPTRRRFLSESDELQDPQPVWDAEPQFCQGFLIQGLWELFMDSRQKNQQE HGGEDSSQESKDSGLCDFKPEPQPRHRNSLSDSADPFLIKSPSALLDYYQEDVSRPQPET QESSGRADKFLKPLSWGSEVLESSCNQPSTALWQLERFTVPQALQKVRVLKHQELLLVVA VSSFTRHVFTCSQSGIKVWNLVNQVAEDRDPESHLKCSVQDNKVYLRTCLLSSNSRTLFA GGYNLPGVIVWDLAAPSLYEKCQLPCEGLSCQALANTKENMALAGFTDGTVRIWDLRTQE IVRNLKGPTNSARNLVVKDDNIWTGGLDACLRCWDLRMAKVSLEHLFQSQIMSLAHSPTE DWLLLGLANGQHCLFNSRKRDQVLTVDTKDNTILGLKFSPNGKWWASVGMGNFITVHSMP TGAKLFQVPEVGPVRCFDMTENGRLIITGSRDCASVYHIKY >ENSMUSP00000119945.1 pep:known chromosome:GRCm38:10:81595993:81600857:-1 gene:ENSMUSG00000034758.12 transcript:ENSMUST00000151858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle6 description:transducin-like enhancer of split 6, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:2149593] MTSHRQSSDTFGGILPSTLSSRYLSIVNQLPEEFSSVVSEMMVHLENIFSLFFEIGSQSR SIAKDDPELLILLPQLLKGRVNKCAPPCLDVKLLPGDRALQ >ENSMUSP00000114738.1 pep:known chromosome:GRCm38:10:81595996:81601073:-1 gene:ENSMUSG00000034758.12 transcript:ENSMUST00000127546.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle6 description:transducin-like enhancer of split 6, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:2149593] MTSHRQSSDTFGGILPSTLSSRYLSIVNQLPEEFSSVVSEMMVHLENIFSLAENFFQAIE RFSRTPDLLERNKMSIGVGAEGDSWPCHVSHEAPMGSAQTTENSAKEEDKQVPESAALQH PKFKSTPGPQLPTRRRFL >ENSMUSP00000029927.5 pep:known chromosome:GRCm38:4:34028314:34050191:-1 gene:ENSMUSG00000028264.16 transcript:ENSMUST00000029927.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spaca1 description:sperm acrosome associated 1 [Source:MGI Symbol;Acc:MGI:1914902] MRARGAGCPAGLLAVGWLILVGLQASQASNVTSSGGGVQEPAVAREGESESESESEEEAE NEGEVPESETTAEADAEEEVQNRTIVKEVEFGMCTVTCGVGIREVILTNGCPGGESKCVV RVEECRGPVDCGWGKPISENLDSARLSCVHISPENRFKYVWKLLKPDQQPVILTNDSAVL EITREIRPLAFECDTLDNNEMVASVKFTVYTTNELQMRRSSRPDTDAVLVFVLTIGVIIC IFVIFVLIFIIINWAAVKSFWGSKTSATEIQSELSSMRYKDSTSLDQSPTDIPVHEDDAL SEWNE >ENSMUSP00000081785.4 pep:known chromosome:GRCm38:4:34024874:34050039:-1 gene:ENSMUSG00000028264.16 transcript:ENSMUST00000084734.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spaca1 description:sperm acrosome associated 1 [Source:MGI Symbol;Acc:MGI:1914902] MRARGAGCPAGLLAVGWLILVGLQASQASNVTSSGGGVQEPAVAREGESESESESEEEAE NEGEVPESETTAEADAEEEVQNRTIVKEVEFGMCTVTCGVGIREVILTNGCPGGESKCVV RVEECRGPVDCGWGKPISENLDSARLSCVHISPENRFKYVWKLLKPDQQPVILTNDSAVL EITREIRPLAFECDTLDNNEMVASVKFTVYTTNELQMRRSSRPDTDAVLVFVLTIGVIIC IFVIFVLIFIIINWAAVKSFWGSKTSATEIQSELSSMRCEQDKDIESCSE >ENSMUSP00000103783.1 pep:known chromosome:GRCm38:4:34024876:34041040:-1 gene:ENSMUSG00000028264.16 transcript:ENSMUST00000108148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spaca1 description:sperm acrosome associated 1 [Source:MGI Symbol;Acc:MGI:1914902] MPSGIVDFFFFFFSGGKPISENLDSARLSCVHISPENRFKYVWKLLKPDQQPVILTNDSA VLEITREIRPLAFECDTLDNNEMVASVKFTVYTTNELQMRRSSRPDTDAVLVFVLTIGVI ICIFVIFVLIFIIINWAAVKSFWGSKTSATEIQSELSSMRCEQDKDIESCSE >ENSMUSP00000056665.7 pep:known chromosome:GRCm38:14:25887932:25903100:-1 gene:ENSMUSG00000095304.8 transcript:ENSMUST00000052286.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac9a description:placenta specific 9a [Source:MGI Symbol;Acc:MGI:2663998] MQALLCALAGLALLRAGTGEWGQGPRDTPGRRAAESPSSPGDLAGSPGCDRHAAVQRRLD IMEETVEKTVEHLEAEVTGLLGLLEELASNLPTGPFSPKPDLLGDDGF >ENSMUSP00000139157.1 pep:known chromosome:GRCm38:14:25888404:25902474:-1 gene:ENSMUSG00000095304.8 transcript:ENSMUST00000183431.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac9a description:placenta specific 9a [Source:MGI Symbol;Acc:MGI:2663998] MEETVEKTVEHLEAEVTGLLGLLEELASNLPTGPFSPKPDLLGDDGF >ENSMUSP00000139368.1 pep:known chromosome:GRCm38:14:25888405:25902476:-1 gene:ENSMUSG00000095304.8 transcript:ENSMUST00000183725.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac9a description:placenta specific 9a [Source:MGI Symbol;Acc:MGI:2663998] MEETVEKTVEHLEAEVTGLLGLLEELASNLPTGPFSPKPDLLGDDGF >ENSMUSP00000098061.3 pep:known chromosome:GRCm38:14:62998102:63001066:1 gene:ENSMUSG00000075573.3 transcript:ENSMUST00000100492.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb47 description:defensin beta 47 [Source:MGI Symbol;Acc:MGI:3644478] MRSRSFLPVLFLFVMMMLRGKAGLVPGEKQCISLKGICKDLACTSSDDTIGVCNDVKKCC RKWWVFEPYPTPVPKGKSP >ENSMUSP00000091002.3 pep:known chromosome:GRCm38:8:91798525:91801915:-1 gene:ENSMUSG00000031734.12 transcript:ENSMUST00000093312.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx3 description:Iroquois related homeobox 3 [Source:MGI Symbol;Acc:MGI:1197522] MSFPQLGYQYIRPLYPPERPGAAGGGGGGSSAGGRSGPGAGASELAASGSLSNVLSSVYG APYAAAAAAAAAAQGYGAFLPYATELPIFPQLGAQYELKDSPGVQHPATAAAFPHPHPAF YPYGQYQFGDPSRPKNATRESTSTLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTW FANARRRLKKENKMTWAPRSRTDEEGNAYGSEREEEDEEEDEEESKRELEMEEEELAGEE EDTGGEGLADDDEDEEIDLENLDSAAAGSELTLAGAAHRNGDFGLGPISDCKTSDSDDSS EGLEDRPLSVLSLAPPPPPVARAPASPPSPPSSLDPCAPAPAPSSALQKPKIWSLAETAT SPDNPRRSPPGAGGSPPGAAVAPPTLQLSPAAAAAAAAAHRLVSAPLGKFPAWTNRPFPG PPAGPRPHPLSMLGSAPQHLLGLPGAAGHPAAAAAAYARPAEPESGTGDKKNIAVVPWKW RKSYSRQLSSRCQGGHRTIWTLLWSYQLSPRLNFSTIFKSLY >ENSMUSP00000135488.1 pep:known chromosome:GRCm38:8:91798525:91801916:-1 gene:ENSMUSG00000031734.12 transcript:ENSMUST00000175795.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx3 description:Iroquois related homeobox 3 [Source:MGI Symbol;Acc:MGI:1197522] MSFPQLGYQYIRPLYPPERPGAAGGGGGGSSAGGRSGPGAGASELAASGSLSNVLSSVYG APYAAAAAAAAAAQGYGAFLPYATELPIFPQLGAQYELKDSPGVQHPATAAAFPHPHPAF YPYGQYQFGDPSRPKNATRESTSTLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTW FANARRRLKKENKMTWAPRSRTDEEGNAYGSEREEEDEEEDEEESKRELEMEEEELAGEE EDTGGEGLADDDEDEEIDLENLDSAAAGSELTLAGAAHRNGDFGLGPISDCKTSDSDDSS EGLEDRPLSVLSLAPPPPPVARAPASPPSPPSSLDPCAPAPAPSSALQKPKIWSLAETAT SPDNPRRSPPGAGGSPPGAAVAPPTLQLSPAAAAAAAAAHRLVSAPLGKFPAWTNRPFPG PPAGPRPHPLSMLGSAPQHLLGLPGAAGHPAAAAAAYARPAEPESGTDRCSALEVEKKLL KTAFQPVPRRPQNHLDAALVLSALSSS >ENSMUSP00000096705.3 pep:known chromosome:GRCm38:3:51661179:51682675:1 gene:ENSMUSG00000074604.9 transcript:ENSMUST00000099106.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst2 description:microsomal glutathione S-transferase 2 [Source:MGI Symbol;Acc:MGI:2448481] MAGDSSLLAAVSLLSACQQSYFAWRVGRARLKHKIAPPAVTGPLEFERIFRAQQNSLEFY PVFIVMLWMAGWYFNQVFAACLGLLYIYARHKYFWGYAEAAEKRITGFRLSLGILTLLPV LAVLGVASRFLNEYLDFHVAKKLRKPF >ENSMUSP00000141745.1 pep:known chromosome:GRCm38:3:51661214:51682675:1 gene:ENSMUSG00000074604.9 transcript:ENSMUST00000159554.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst2 description:microsomal glutathione S-transferase 2 [Source:MGI Symbol;Acc:MGI:2448481] MAGDSSLLAAVSLLSACQQSYFAWRVGRARLKHKIAPPAVTGPLEFERIFRAQQNSLEFY PVFIVMLWMAGWYFNQGSPVSD >ENSMUSP00000125670.1 pep:known chromosome:GRCm38:3:51661225:51682670:1 gene:ENSMUSG00000074604.9 transcript:ENSMUST00000161590.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst2 description:microsomal glutathione S-transferase 2 [Source:MGI Symbol;Acc:MGI:2448481] MAGDSSLLAAVSLLSACQQSYFAWRVGRARLKHKIAPPAVTGPLEFERIFRAHFCSLSGS PVHIRPSQVFLGLCRSR >ENSMUSP00000105992.1 pep:known chromosome:GRCm38:13:27345683:27354216:1 gene:ENSMUSG00000018259.12 transcript:ENSMUST00000110363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl8a2 description:prolactin family 8, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:894281] MLPLSQPHFWALLLLVVSNLLLWEKAASIPECQTGENGCSDPLVITFKNALQRAEVINIL ADKMHEEFYHNPFSAGQFETLVSRMYRRDEEVLRARNHCHSNVTNPPAHGPEHENLKTKR YLKTMINFMGAWITPVYYLVEVLSDMQNVPESIYSKAQEIELKFREIHDDLTWVLKKVYP KVTFWEKSIIWEYLPGLRSTDTSKKFLAMFNLSHCLRVDIFFIKYHLSALMCRITGQDC >ENSMUSP00000018403.6 pep:known chromosome:GRCm38:13:27345689:27354204:1 gene:ENSMUSG00000018259.12 transcript:ENSMUST00000018403.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl8a2 description:prolactin family 8, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:894281] MLPLSQPHFSGALLLLVVSNLLLWEKAASIPECQTGENGCSDPLVITFKNALQRAEVINI LADKMHEEFYHNPFSAGQFETLVSRMYRRDEEVLRARNHCHSNVTNPPAHGPEHENLKTK RYLKTMINFMGAWITPVYYLVEVLSDMQNVPESIYSKAQEIELKFREIHDDLTWVLKKVY PKVTFWEKSIIWEYLPGLRSTDTSKKFLAMFNLSHCLRVDIFFIKYHLSALMCRITGQDC >ENSMUSP00000110281.1 pep:known chromosome:GRCm38:16:44485049:44558897:-1 gene:ENSMUSG00000022687.11 transcript:ENSMUST00000114634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Boc description:biregional cell adhesion molecule-related/down-regulated by oncogenes (Cdon) binding protein [Source:MGI Symbol;Acc:MGI:2151153] MTTCRRERPILTLLWILMATAGCLADLNEVPQVTVQPMSTVQKLGGTVILGCVVEPPWMN VTWRFNGKELNGSDDALGVFITRGTLVIAALNNHTVGRYQCVARMPAGAVASVPATVTLA NLQDFKLDVQHVIEVDEGNTAVIACHLPESHPKAQVRYSVKQEWLEASRDNYLIMPSGNL QIVNASQEDEGMYKCAAYNPVTQEVKTSGSGDRLRVRRSTAEAARIIYPLEAQTVIVTKG QSLILECVASGIPPPRVTWAKDGSSIAAYNKTRFLLSNLLIDTTSEEDSGTYRCMASNGV GDPGAAVILYNVQVFEPPEVTVELSQLVIPWGQSAKLTCEVRGNPPPSVLWLRNAVPLTS SQRLRLSRRALRVVSVGPEDEGVYQCMAENAVGSAHAVVQLRTARPDTTLRPGRDTKPIA ATPPMPPSRPSRPDQMLREQPGLVKPPTSSVQPTSLKCPGEEQVAPAEAPIILSSPRTSK TDSYELVWRPRHEGSSRTPILYYVVKHRKVTNSSDDWTISGIPANQHRLTLTRLDPGSLY EVEMAAYNCAGEGQTAMVTFRTGRRPKPEIVASKEQQIQRDDPGASLQSSSQPDHGRLSP PEAPDRPTISTASETSVYVTWIPRGNGGFPIQSFRVEYKKLKKVGDWILATSAIPPSRLS VEITGLEKGISYKFRVRALNMLGESEPSAPSRPYVVSGYSGRVYERPVAGPYITFTDAVN ETTIMLKWMYIPASNNNTPIHGFYIYYRPTDSDNDSDYKKDMVEGDRYWHSISHLQPETS YDIKMQCFNEGGESEFSNVMICETKARKFSGQPGRPPPLTLAPPQPPPLETMERPVGTGA MVARASDLPYLIVGVVLGSIVLIIVTFIPFCLWRAWSKQKHTTDLGFPRSALLSSSCQYT MVPLEGLPGHQANGQPYLGGVSGRACVSRVHGSRGCPAATVGCPGRKPQQHCPGELAQQR EDTNSQLRQPIVSNGYDLQNQQVARGPQCASGVGAFLYTLPDDSTHQLLQPQDCCHLQKQ PVTTCQTAVRRTSESPGLESSWDPPYHSGAPVLFRSCTS >ENSMUSP00000023370.4 pep:known chromosome:GRCm38:16:44485045:44558870:-1 gene:ENSMUSG00000022687.11 transcript:ENSMUST00000023370.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Boc description:biregional cell adhesion molecule-related/down-regulated by oncogenes (Cdon) binding protein [Source:MGI Symbol;Acc:MGI:2151153] MTTCRRERPILTLLWILMATAGCLADLNEVPQVTVQPMSTVQKLGGTVILGCVVEPPWMN VTWRFNGKELNGSDDALGVFITRGTLVIAALNNHTVGRYQCVARMPAGAVASVPATVTLA NLQDFKLDVQHVIEVDEGNTAVIACHLPESHPKAQVRYSVKQEWLEASRDNYLIMPSGNL QIVNASQEDEGMYKCAAYNPVTQEVKTSGSGDRLRVRRSTAEAARIIYPLEAQTVIVTKG QSLILECVASGIPPPRVTWAKDGSSIAAYNKTRFLLSNLLIDTTSEEDSGTYRCMASNGV GDPGAAVILYNVQVFEPPEVTVELSQLVIPWGQSAKLTCEVRGNPPPSVLWLRNAVPLTS SQRLRLSRRALRVVSVGPEDEGVYQCMAENAVGSAHAVVQLRTARPDTTLRPGRDTKPIA ATPPMPPSRPSRPDQMLREQPGLVKPPTSSVQPTSLKCPGEEQVAPAEAPIILSSPRTSK TDSYELVWRPRHEGSSRTPILYYVVKHRKVTNSSDDWTISGIPANQHRLTLTRLDPGSLY EVEMAAYNCAGEGQTAMVTFRTGRRPKPEIVASKEQQIQRDDPGASLQSSSQPDHGRLSP PEAPDRPTISTASETSVYVTWIPRGNGGFPIQSFRVEYKKLKKVGDWILATSAIPPSRLS VEITGLEKGISYKFRVRALNMLGESEPSAPSRPYVVSGYSGRVYERPVAGPYITFTDAVN ETTIMLKWMYIPASNNNTPIHGFYIYYRPTDSDNDSDYKKDMVEGDRYWHSISHLQPETS YDIKMQCFNEGGESEFSNVMICETKARKFSGQPGRPPPLTLAPPQPPPLETMERPVGTGA MVARASDLPYLIVGVVLGSIVLIIVTFIPFCLWRAWSKQKHTTDLGFPRSALLSSSCQYT MVPLEGLPGHQANGQPYLGGVSGRACVSRVHGSRGCPAATVGCPGRKPQQHCPGELAQQR EDTNSQLRQPIVSNGYDLQNQQVARGPQCASGVGAFLYTLPDDSTHQLLQPQDCCHLQKQ PVTTCQTAVRRTSESPGLESSWDPPYHSGPQCCLGLVPVEEVDSSDSCQVGGGDWSSQHP SGTYTGQERGMRFSPSPSVHVSFETPPPTI >ENSMUSP00000045191.5 pep:known chromosome:GRCm38:7:89507783:89517586:-1 gene:ENSMUSG00000039405.7 transcript:ENSMUST00000041761.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss23 description:protease, serine 23 [Source:MGI Symbol;Acc:MGI:1923703] MAGIPGLFILLVLLCVFMQVSPYTVPWKPTWPAYRLPVVLPQSTLNLAKADFDAKAKLEV SSSCGPQCHKGTPLPTYEEAKQYLSYETLYANGSRTETRVGIYILSNGEGRARGRDSEAT GRSRRKRQIYGYDGRFSIFGKDFLLNYPFSTSVKLSTGCTGTLVAEKHVLTAAHCIHDGK TYVKGTQKLRVGFLKPKYKDGAGGDNSSSSAMPDKMKFQWIRVKRTHVPKGWIKGNANDI GMDYDYALLELKKPHKRQFMKIGVSPPAKQLPGGRIHFSGYDNDRPGNLVYRFCDVKDET YDLLYQQCDAQPGASGSGVYVRMWKRPQQKWERKIIGIFSGHQWVDMNGSPQDFNVAVRI TPLKYAQICYWIKGNYLDCREG >ENSMUSP00000147183.1 pep:known chromosome:GRCm38:7:89509103:89527187:-1 gene:ENSMUSG00000039405.7 transcript:ENSMUST00000207932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss23 description:protease, serine 23 [Source:MGI Symbol;Acc:MGI:1923703] MAGIPGLFILLVLLCVFMQVSPYTVPWKPTWPAYRLPVVLPQSTLNLAKADFDAKAKLEV SSSCGPQCHKGTPLPTYEEAKQYLSYETLYANGSRTETRVGIYILSNGEGRARGRDSEAT GRSRRKRQIYGYDGRFSIFGKDFLLNYPFSTSVKLSTGCTGTLVAEKHVLTAAHCIHDGK TYVKGTQKLRVGFLKPKYKDGAGGDNSSSSAMPDKMKFQWIRVKRTHVPKGWIKGNANDI GMDYDYALLELKKPHKRQFMKIGVSPPAKQLPGGRIHFSGYDNDRPGNLVYRFCDVKDET YDLLYQQCDAQPGASGSGVYVRMWKRPQQKWERKIIGIFSGHQWVDMNGSPQDFNVAVRI TPLKYAQICYWIKGNYLDCREG >ENSMUSP00000147219.1 pep:known chromosome:GRCm38:7:89510359:89518193:-1 gene:ENSMUSG00000039405.7 transcript:ENSMUST00000208402.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss23 description:protease, serine 23 [Source:MGI Symbol;Acc:MGI:1923703] MAGIPGLFILLVLLCVFMQVSPYTVPWKPTWPAYRLPVVLPQSTLNLAKADFDAKAKLEV SSSCGPQCHKGTPLPTYEEAKQYLSYETLYANGSRTETRVGIYILSNGEGRARGRDSEAT GRSRRKRQIYGYDGRFSIFGKDFLLNYPFSTSVKLSTGCTGTLVAEK >ENSMUSP00000146384.1 pep:known chromosome:GRCm38:7:89510439:89518181:-1 gene:ENSMUSG00000039405.7 transcript:ENSMUST00000207636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss23 description:protease, serine 23 [Source:MGI Symbol;Acc:MGI:1923703] MAGIPGLFILLVLLCVFMQVSPYTVPWKPTWPAYRLPVVLPQSTLNLAKADFDAKAKLEV SSSCGPQCHKGTPLPTYEEAKQYLSYETLYANGSRTETRVGIYILSNGEGRARGRDSEAT GRSRRKRQIYGYDGRFSIFG >ENSMUSP00000146389.1 pep:known chromosome:GRCm38:7:89510444:89517516:-1 gene:ENSMUSG00000039405.7 transcript:ENSMUST00000208888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss23 description:protease, serine 23 [Source:MGI Symbol;Acc:MGI:1923703] MAGIPGLFILLVLLCVFMQVSPYTVPWKPTWPAYRLPVVLPQSTLNLAKADFDAKAKLEV SSSCGPQCHKGTPLPTYEEAKQYLSYETLYANGSRTETRVGIYILSNGEGRARGRDSEAT GRSRRKRQIYGYDGRFSI >ENSMUSP00000146863.1 pep:known chromosome:GRCm38:7:89510601:89517921:-1 gene:ENSMUSG00000039405.7 transcript:ENSMUST00000207538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss23 description:protease, serine 23 [Source:MGI Symbol;Acc:MGI:1923703] MAGIPGLFILLVLLCVFMQVSPYTVPWKPTWPAYRLPVVLPQSTLNLAKADFDAKAKLEV SSSCGPQCHKGTPLPTYEEAKQYLSY >ENSMUSP00000146825.1 pep:known chromosome:GRCm38:7:89510625:89518548:-1 gene:ENSMUSG00000039405.7 transcript:ENSMUST00000208903.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss23 description:protease, serine 23 [Source:MGI Symbol;Acc:MGI:1923703] MAGIPGLFILLVLLCVFMQVSPYTVPWKPTWPAYRLPVVLPQSTLNLAKADFDAKAKLEV SSSCGPQCHKGTPLPTYE >ENSMUSP00000077568.2 pep:known chromosome:GRCm38:7:5883580:5884590:-1 gene:ENSMUSG00000058399.3 transcript:ENSMUST00000078475.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r64 description:vomeronasal 1 receptor 64 [Source:MGI Symbol;Acc:MGI:3033480] MLSQNKTLKTMEVLALQILLLCQVVVGTVGNIFLFVHNFSPVLTDSRLRPIQVILINLAV ANAFMLLLFAYSYDLIDFVPRKPPTDLKCKLAYFFNMVAQGTIMCSTCLLSTYQFVTLVP GNWARVMFREISPKVVSYCCYSCWLFSVLNNAYMPMYVSGPQKTHNDSDSKDNWICSISG VSVDMNFLRFSHDIIFIGIMAWTSVSMVIHLNRHHHRMHYLHNPNQINRGHAETKAAHTI MMLVVTFVSLYILDCICSLFHISFVESRLWLIDVIELLHLSFPTVSPFLLICRDPMGPCS LLFIVGLEIHVTVGAITEEQ >ENSMUSP00000125063.1 pep:known chromosome:GRCm38:15:80287238:80398279:1 gene:ENSMUSG00000022416.15 transcript:ENSMUST00000160424.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1i description:calcium channel, voltage-dependent, alpha 1I subunit [Source:MGI Symbol;Acc:MGI:2178051] MADSNLPPSSSAAPDPEPGITEQPGPRSPPPSPPGLEEPLDGTNPDVPHPDLAPVAFFCL RQTTSPRNWCIKMVCNPWFECVSMLVILLNCVTLGMYQPCDDMECLSDRCKILQVFDDFI FIFFAMEMVLKMVALGIFGKKCYLGDTWNRLDFFIVMAGMVEYSLDLQNINLSAIRTVRV LRPLKAINRVPSMRILVNLLLDTLPMLGNVLLLCFFVFFIFGIIGVQLWAGLLRNRCFLE ENFTIQGDVALPPYYQPEEDDEMPFICSLSGDNGIMGCHEIPPLKEQGRECCLSKDDMYD FGAGRQDLNASGLCVNWNRYYNVCRTGNANPHKGAINFDNIGYAWIVIFQVITLEGWVEI MYYVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQREHRLMLEQRQRYLSS STVASYAEPGDCYEEIFQYVCHILRKAKRRALGLYQALQNRRQATGPGTPAPAKPGPHAK EPSHCKLCPRHSPLDTTPHTLVQPISAILASDPSSCPRCQHEAGRRPSGLGSTDSGQEGS GSGGSAEAEANGDGPQSSEDGVSSGLGKEEEQEDGAARLCGDVWRETRAKLRGIVDSKYF NRGIMMAILVNTVSMGIEHHEQPEELTNILEICNVVFTSMFALEMILKLAAFGLFDYLRN PYNIFDSIIVIISIWEIVGQADGGLSVLRTFRLLRVLKLVRFMPALRRQLVVLMKTMDNV ATFCMLLMLFIFIFSILGMHIFGCKFSLRTDTGDTVPDRKNFDSLLWAIVTVFQILTQED WNVVLYNGMASTTPWASLYFVALMTFGNYVLFNLLVAILVEGFQAEGDANRSYSDEDQSS SNLEELDKLPEGLDSSRDLKLCPIPMTPNGHLDPSLPLGGHLGPAGAMGAAPRLSLQPDP VLVALESRKSSVMSLGRMSYDQRSLSSSRSSYYGPWGRSGTWASRRSSWNSLKHKPPSAE HESLLSGERGGSCVRACEGAREDAPPRAAPLHAPHTHHAHHGPHLAHRHRHHRRTLSLDT RDSVDLAELVPVVGAHSRAAWRAAGQAPGHEDCNGRMPNIAKDVFTKMDDRRDRGEDEEE IDYTLCFRVRKMIDVYKPDWCEVREDWSVYLFSPENKFRILCQTIIAHKLFDYVVLAFIF LNCITIALERPQIEAGSTERIFLTVSNYIFTAIFVGEMTLKVVSLGLYFGEQAYLRSSWN VLDGFLVFVSIIDIVVSVASAGGAKILGVLRVLRLLRTLRPLRVISRAPGLKLVVETLIS SLKPIGNIVLICCAFFIIFGILGVQLFKGKFYHCLGVDTRNITNRSDCVAANYRWVHHKY NFDNLGQALMSLFVLASKDGWVNIMYNGLDAVAVDQQPVTNHNPWMLLYFISFLLIVSFF VLNMFVGVVVENFHKCRQHQEAEEARRREEKRLRRLEKKRRKAQRLPYYATYCPTRLLIH SMCTSHYLDIFITFIICLNVVTMSLEHYNQPTSLETALKYCNYMFTTVFVLEAVLKLVAF GLRRFFKDRWNQLDLAIVLLSVMGITLEEIEINAALPINPTIIRIMRVLRIARVLKLLKM ATGMRALLDTVVQALPQVGNLGLLFMLLFFIYAALGVELFGKLVCNDENPCEGMSRHATF ENFGMAFLTLFQVSTGDNWNGIMKDTLRDCTHDERSCLSSLQFVSPLYFVSFVLTAQFVL INVVVAVLMKHLDDSNKEAQEDAEMDAEIELEMAHGLGPGPGPCPCPCPCPCPCPCPGPR MPTSSPGAPGRGSGGAGVGGDTESHLCRHCYSPAQETLWLDSVSLIIKDSLEGELTIIDN LSGSIFHHYSSPAGCDKCHHDKQEVQLAETEAFSLNSDRSSSVLLGDDLSLEDPTACPQG PKESKGELEPPEPMQAGDLDECFFPFAGEPVSAGPESLLCEMGAIPFNPVQSWLKHESNQ APPSPFSPDGSSPLLQMPAEFFHPAVSASQKGQEPGMSSGTLPKIALQGSWASLRSPSVN CTLLRQATVSDTSLDASPSSSAGSLQTTLEDSLTLSDSPRRALGPPVQVPGPRASLSPAT RRRLSLRGRGLFSLRGLRAHQRSHSSGGSTSPGCTYHDSMDPSDEEGRGGAGGGGAGSEH SETLSSLSLTSLFCLPPTLPPPGLTPARKFSSTSSLAAGPGRPGATVSVRGLARSPSWAA DRSKDPPGQAQLASGFGSSAPEPQPPPGESTDAASKRKR >ENSMUSP00000125229.1 pep:known chromosome:GRCm38:15:80287534:80395747:1 gene:ENSMUSG00000022416.15 transcript:ENSMUST00000162155.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cacna1i description:calcium channel, voltage-dependent, alpha 1I subunit [Source:MGI Symbol;Acc:MGI:2178051] MADSNLPPSSSAAPDPEPGITEQPGPRSPPPSPPGLEEPLDGTNPDVPHPDLAPVAFFCL RQTTSPRNWCIKMVCNPWFECVSMLVILLNCVTLGMYQPCDDMECLSDRCKILQVFDDFI FIFFAMEMVLKMVALGIFGKKCYLGDTWNRLDFFIVMAGMVEYSLDLQNINLSAIRTVRV LRPLKAINRVPSMRILVNLLLDTLPMLGNVLLLCFFVFFIFGIIGVQLWAGLLRNRCFLE ENFTIQGDVALPPYYQPEEDDEMPFICSLSGDNGIMGCHEIPPLKEQGRECCLSKDDMYD FGAGRQDLNASGLCVNWNRYYNVCRTGNANPHKGAINFDNIGYAWIVIFQVITLEGWVEI MYYVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQREHRLMLEQRQRYLSS STVASYAEPGDCYEEIFQYVCHILRKAKRRALGLYQALQNRRQATGPGTPAPAKPGPHAK EPSHCKLCPRHSPLDTTPHTLVQPISAILASDPSSCPRCQHEAGRRPSGLGSTDSGQEGS GSGGSAEAEANGDGPQSSEDGVSSGLGKEEEQEDGAARLCGDVWRETRAKLRGIVDSKYF NRGIMMAILVNTVSMGIEHHEQPEELTNILEICNVVFTSMFALEMILKLAAFGLFDYLRN PYNIFDSIIVIISIWEIVGQADGGLSVLRTFRLLRVLKLVRFMPALRRQLVVLMKTMDNV ATFCMLLMLFIFIFSILGMHIFGCKFSLRTDTGDTVPDRKNFDSLLWAIVTVFQILTQED WNVVLYNGMASTTPWASLYFVALMTFGNYVLFNLLVAILVEGFQAEGDANRSYSDEDQSS SNLEELDKLPEGLDSSRDLKLCPIPMTPNGHLDPSLPLGGHLGPAGAMGAAPRLSLQPDP VLVALESRKSSVMSLGRMSYDQRSLSSSRSSYYGPWGRSGTWASRRSSWNSLKHKPPSAE HESLLSGERGGSCVRACEGAREDAPPRAAPLHAPHTHHAHHGPHLAHRHRHHRRTLSLDT RDSVDLAELVPVVGAHSRAAWRAAGQAPGHEDCNGRMPNIAKDVFTKMDDRRDRGEDEEE IDYTLCFRVRKMIDVYKPDWCEVREDWSVYLFSPENKFRILCQTIIAHKLFDYVVLAFIF LNCITIALERPQIEAGSTERIFLTVSNYIFTAIFVGEMTLKVVSLGLYFGEQAYLRSSWN VLDGFLVFVSIIDIVVSVASAGGAKILGVLRVLRLLRTLRPLRVISRAPGLKLVVETLIS SLKPIGNIVLICCAFFIIFGILGVQLFKGKFYHCLGVDTRNITNRSDCVAANYRWVHHKY NFDNLGQALMSLFVLASKDGWVNIMYNGLDAVAVDQQPVTNHNPWMLLYFISFLLIVSFF VLNMFVGVVVENFHKCRQHQEAEEARRREEKRLRRLEKKRRKAQRLPYYATYCPTRLLIH SMCTSHYLDIFITFIICLNVVTMSLEHYNQPTSLETALKYCNYMFTTVFVLEAVLKLVAF GLRRFFKDRWNQLDLAIVLLSVMGITLEEIEINAALPINPTIIRIMRVLRIARVLKLLKM ATGMRALLDTVVQALPQVGNLGLLFMLLFFIYAALGVELFGKLVCNDENPCEGMSRHATF ENFGMAFLTLFQVSTGDNWNGIMKDTLRDCTHDERSCLSSLQFVSPLYFVSFVLTAQFVL INVVVAVLMKHLDDSNKEAQEDAEMDAEIELEMAHGLGPGPGPCPCPCPCPCPCPCPGPR MPTSSPGAPGRGSGGAGVGGDTESHLCRHCYSPAQETLWLDSVSLIIKDSLEGELTIIDN LSGSIFHHYSSPAGCDKCHHDKQETGPRPSCWGMT >ENSMUSP00000124367.1 pep:known chromosome:GRCm38:15:80389328:80390917:1 gene:ENSMUSG00000022416.15 transcript:ENSMUST00000161863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1i description:calcium channel, voltage-dependent, alpha 1I subunit [Source:MGI Symbol;Acc:MGI:2178051] XPRMPTSSPGAPGRGSGGAGVGGDTESHLCRHCYSPAQDSLEGELTIIDNLSGSIFHHYS SPAGCDKCHHDKQEGPVAQRSRPRLPHPSPRVTRGHHLPPQVQLAETEAFSLNSDRSSSV LLGDDLSLEDPT >ENSMUSP00000125530.1 pep:known chromosome:GRCm38:15:80389328:80390917:1 gene:ENSMUSG00000022416.15 transcript:ENSMUST00000162025.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1i description:calcium channel, voltage-dependent, alpha 1I subunit [Source:MGI Symbol;Acc:MGI:2178051] XPRMPTSSPGAPGRGSGGAGVGGDTESHLCRHCYSPAQDSLEGELTIIDNLSGSIFHHYS SPAGCDKCHHDKQEVQLAETEAFSLNSDRSSSVLLGDDLSLEDPT >ENSMUSP00000125617.1 pep:known chromosome:GRCm38:15:80389328:80390917:1 gene:ENSMUSG00000022416.15 transcript:ENSMUST00000162913.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cacna1i description:calcium channel, voltage-dependent, alpha 1I subunit [Source:MGI Symbol;Acc:MGI:2178051] XPRMPTSSPGAPGRGSGGAGVGGDTESHLCRHCYSPAQDSLEGELTIIDNLSGSIFHHYS SPAGCDKCHHDKQETGPRPSCWGMT >ENSMUSP00000123881.1 pep:known chromosome:GRCm38:15:80389328:80393820:1 gene:ENSMUSG00000022416.15 transcript:ENSMUST00000160175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1i description:calcium channel, voltage-dependent, alpha 1I subunit [Source:MGI Symbol;Acc:MGI:2178051] XPRMPTSSPGAPGRGSGGAGVGGDTESHLCRHCYSPAQETLWLDSVSLIIKDSLEGELTI IDNLSGSIFHHYSSPAGCDKCHHDKQEGPVAQRSRPRLPHPSPRVTRGHHLPPQVQLAET EAFSLNSDRSSSVLLGDDLSLEDPTACPQGPKESKGELEPPEPMQAGDLDECFFPFAGEP VSAGPESLLCEMGAIPFNPVQSWLKHESNQAPPSPFSPDGSSPLLQMPAEFFHPAVSASQ KGQEPGMSSGTLPKIALQGS >ENSMUSP00000143824.1 pep:known chromosome:GRCm38:5:73019987:73071175:-1 gene:ENSMUSG00000070733.13 transcript:ENSMUST00000202697.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fryl description:FRY like transcription coactivator [Source:MGI Symbol;Acc:MGI:1919563] XSARWTSQWSRMEKSKPSWSSLPQEGIHLERHLSEVALQTALSCSSRHYAGRSFQIFRAL KQPLSADTLSDVLSRLVETVGDPGEDAQGFVIELLLTLESAIDTLAETMKHYDLLSALSQ SSYHDPVMGNKYAANRKSTGQLNLSTSPINSSGHLGCNGDTRSNSLRLSLVGDRRGDRRR SNTLDITDGRINHGGSLARTRSLSSLREKGVYDTQPPTEPSNLMATIFWIATSLLESDYE YEYLLALRLLSKLLTHLPLDKSESREKIENVQSKLKWSNFPGLQQLFLKGFTSVSTQEMT VHLLSQLISVSKHTLVDPSQVSGFPLNILCLLPHLIQHFDSPTQFCKETASRIAKVCAEE KCPTLVNLAHMMSLYSTHTYSRDCSNWINVVCRYLHDSFSEATFSLVTYLAELLEKGLSS MQQSLLQIIYSLLSHIDLSAAPVKQFNLEIIKIIGKYVQSPYWKEALNILKLVVSRSASL VVPNDIPKAYGVDVGSPEISFAKIFNNVSKELPGKTLDFHFDISETPIIGNKYGGQHSAA GRNGKAKVIAVTRSTSSTSSGSTSNALVPVSWKRPQLSQRRTREKLMSVLSLCGPESGLP KNPSVVFSSNEDLEVGDQQTSLISTTEDIIQEEEVAVEDNSSEQQFGVFKDFDFLDVELE DAEGESMDNFNWGVRRRSLDSIDKGDTPSLQEYPCSSSTPSLTLTNQEDTDESSEEEAAL TASQILSRTQMLNSDCVTEDMMPEHQDLLQSQDSASSNTTEDVLQIRDETPSLEACLDNA SSQLPEGTSSVLKEEHVTAFEDEGSYVIPDQQDPLVCRGILDLEETDMPEPLAPESYPES ICEEDVTLALKELDERCEEEEADFSGLSSQEEDEQDGFPEVQTSPLPTPFLSAIIAAFQP MAYEDEEEAWRCHVNQTLSDTDGSCAVFTFHVFSRLFQTIQRKFGEITKEAVGFLGESLQ RIGTKFKSSLEVMMACSECPTVFVDAETLMACGLLETLKFGVLELQEHLDTYTTKREAAE QWLDNCKRTFGANEDIYRMNTNAHELELCRRLYRLHFQLLLLFQAYCKLINQVNTIKNEA EVINMSEELAQLEGILKEAEAASENEEIDISKAAQTTIETAIHSLIETLKNKEFVSAVAQ VKAFRTLWPNDIFGSCDDDPVQTLLHIYFHHQTLGQTGSFAVISSNLDMSEANCKLMELN LEIRESLRTVQSYPLLAQTKPVGNMTSTGF >ENSMUSP00000098687.5 pep:known chromosome:GRCm38:5:73020322:73256619:-1 gene:ENSMUSG00000070733.13 transcript:ENSMUST00000101127.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fryl description:FRY like transcription coactivator [Source:MGI Symbol;Acc:MGI:1919563] MSSITIDPDVKPGEYVIKSLFAEFAVQAEKKIEVVMAEPLEKLLSRSLQRGEDLQFDQLV SSMSSVAEHCLPSLLRTLFDWYRRQNGTDDESYGYRPRSSTKSKGDEQHRERDYLLERRD LAVDFIFCLVLVEVLKQIPVHPVPDPLVHEVLNLAFKHFKHKEGYSGTNTGNVHIIADLY AEVIGVLAQSKFQAVRKKFVTELKELRQKEQSPHVVQSVISLIMGMKFFRVKMYPVEDFE ASFQFMQECAQYFLEVKDKDIKHALAGLFVEILIPVAAAVKNEVNVPCLKNFVEMLYQTT FELSSRKKHSLALYPLITCLLCVSQKQFFLNNWHIFLQNCLSHLKNKDPKMSRVALESLY RLLWVYVIRIKCESNTVTQSRLMSIVSALFPKGSRSVVPRDTPLNIFVKIIQFIAQERLD FAMKEIIFDLLSVGKSTKTFTINPERMNIGLRVFLVIADSLQQKDGDPPMPTTGVILPSG NTLRVKKIFLNKTLTDEEAKVIGMSVYYPQVRKALDSILRHLDKEVGRPMCMTSVQMSNK EPEDMITGERKPKIDLFRTCIAAIPRLIPDGMSRTDLIELLARLTIHMDEELRALAFNTL QALMLDFPDWREDVLSGFVYFIVREVTDVHPTLLDNAVKMLVQLINQWKQAAQMYNRTQD SQHGIANGGPHPPPLERNPYSTVFHVVEGFALVILCSSRPATRRLAVSVLREIRALFALL EVPKGDDELAIDVMDRLSPSILESFIHLTGADQTTLLYCPSSVDLQTLADWNSSPISHQF DVISPSHIWIFAHVTQGQDPWIISLSSFLKQENLPKHCSTAVSYAWMFAYTRLQLLSPQV DINSPINAKKVNATTSSDSYIGLWRNYLVLCCSAATSPSPSAPAGSVRCSPPETLASTPD SGYSIDSKIVGIPSPSSLFKHIVPMMRSESMEITESLVLGLGRTNPGVFRELIEELHPII KEALERRPENMKRRRRRDILRVQLVRIFELLADAGVISHSASGGLDSETHFLNNTLLEYV DLTRQLLEAENEKDSDTLKDIRCHFSALVANIIQNVPVHQRRSIFPQQSLRHSLFMLFSH WAGPFSIMFTPLDRYSDRNMQINRHQYCALKAMSAVLCCGPVADNVGLSSDGYLYKWLDN ILDSLDKKVHQLGCEAVTLLLELNPDQSSLMYWAVDRCYTGSRRVAAGCFKAIANVFQNR DYQCDTVMLLNLILFKAADSSRSIYEVAMQLLQILEPKMFRYAHKLEVQRTDGVLSQLSP LPHLYSVSYYQLSEELARAYPELTLAIFSEISQRIQTAHPAGRQAMLHYLLPWMNNIELV DLKPLPSGRRQDEDEDDSLKDRELMVTSRRWLRGEGWGSPQATAMVLNNLMYMTAKYGDE LAWSEVENVWTTLADGWPKNLKIILHFLISICGVNSEPSLLPYVKKVIVYLGRDKTMQLL EELVSELQLTDPVSSGVTHMDNPPYYRITSSCKIPSVTSGTTSSSNTMVAPTDGNPDSKA LKENFEESYVHLDIYGGLNSHLNRQHHRLESRYSSSSGGSYEEEKSDSMPLYSNWRLKVM EHNQGEPLPFPPAGGCWSPLVDYVPETASPGLPLHRCNIAVILLTDLIIDHSVKVEWGSY LHLLLHAIFIGFDHCHPEVYEHCKRLLLHLLIVMGPNSDIRTVASVLLRDKEFNEPRVLT VKQTAHSDYTFTAGINDFTPDYQPSPMTDSGLSSSSTSSSISLGNNSAAISHLHTTLLGE VDLSVEQDGKVKTLMEFITSRKRGPLWNHEDVSSKNPSIKSADQLATFLKHVVSVFKQSN AEGIHLERHLSEVALQTALSCSSRHYAGRSFQIFRALKQPLSADTLSDVLSRLVETVGDP GEDAQGFVIELLLTLESAIDTLAETMKHYDLLSALSQSSYHDPVMGNKYAANRKSTGQLN LSTSPINSSGHLGCNGDTRSNSLRLSLVGDRRGDRRRSNTLDITDGRINHGGSLARTRSL SSLREKGVYDTQPPTEPSNLMATIFWIATSLLESDYEYEYLLALRLLSKLLTHLPLDKSE SREKIENVQSKLKWSNFPGLQQLFLKGFTSVSTQEMTVHLLSQLISVSKHTLVDPSQVSG FPLNILCLLPHLIQHFDSPTQFCKETASRIAKVCAEEKCPTLVNLAHMMSLYSTHTYSRD CSNWINVVCRYLHDSFSEATFSLVTYLAELLEKGLSSMQQSLLQIIYSLLSHIDLSAAPV KQFNLEIIKIIGKYVQSPYWKEALNILKLVVSRSASLVVPNDIPKAYGVDVGSPEISFAK IFNNVSKELPGKTLDFHFDISETPIIGNKYGGQHSAAGRNGKAKVIAVTRSTSSTSSGST SNALVPVSWKRPQLSQRRTREKLMSVLSLCGPESGLPKNPSVVFSSNEDLEVGDQQTSLI STTEDIIQEEEVAVEDNSSEQQFGVFKDFDFLDVELEDAEGESMDNFNWGVRRRSLDSID KGDTPSLQEYPCSSSTPSLTLTNQEDTDESSEEEAALTASQILSRTQMLNSDCVTEDMMP EHQDLLQSQDSASSNTTEDVLQIRDETPSLEACLDNASSQLPEGTSSVLKEEHVTAFEDE GSYVIPDQQDPLVCRGILDLEETDMPEPLAPESYPESICEEDVTLALKELDERCEEEEAD FSGLSSQEEDEQDGFPEVQTSPLPTPFLSAIIAAFQPMAYEDEEEAWRCHVNQTLSDTDG SCAVFTFHVFSRLFQTIQRKFGEITKEAVGFLGESLQRIGTKFKSSLEVMMACSECPTVF VDAETLMACGLLETLKFGVLELQEHLDTYTTKREAAEQWLDNCKRTFGANEDIYRMNTNA HELELCRRLYRLHFQLLLLFQAYCKLINQVNTIKNEAEVINMSEELAQLEGILKEAEAAS ENEEIDISKAAQTTIETAIHSLIETLKNKEFVSAVAQVKAFRTLWPNDIFGSCDDDPVQT LLHIYFHHQTLGQTGSFAVISSNLDMSEANCKLMELNLEIRESLRTVQSYPLLAQTKPVG NMTSTGF >ENSMUSP00000143983.1 pep:known chromosome:GRCm38:5:73020326:73035042:-1 gene:ENSMUSG00000070733.13 transcript:ENSMUST00000202806.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fryl description:FRY like transcription coactivator [Source:MGI Symbol;Acc:MGI:1919563] XEEAWRCHVNQTLSDTDGSCAVFTFHVFSRLFQTIQRKFGEITKEAVGFLGESLQRIGTK FKSSLEVMMACSECPTVFVDAETLMACGLLETLKFGVLELQEHLDTYTTKREAAEQWLDN CKRTFGANEDIYRMNTNAHQMGILAELELCRRLYRLHFQLLLLFQAYCKLINQVNTIKNE AEVINMSEELAQLEGILKEAEAASENEEIDISKAAQTTIETAIHSLIETLKNKEFVSAVA QVKAFRTLWPNDIFGSCDDDPVQTLLHIYFHHQTLGQTGSFAVISSNLDMSEANCKLMEL NLEIRESLRTVQSYPLLAQTKPVGNMTSTGF >ENSMUSP00000144183.1 pep:known chromosome:GRCm38:5:73041496:73088420:-1 gene:ENSMUSG00000070733.13 transcript:ENSMUST00000202381.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fryl description:FRY like transcription coactivator [Source:MGI Symbol;Acc:MGI:1919563] XGYLYKWLDNILDSLDKKVHQLGCEAVTLLLELNPDQSSLMYWAVDRCYTGSRRVAAGCF KAIANVFQNRDYQCDTVMLLNLILFKAADSSRSIYEVAMQLLQILEPKMFRYAHKLEVQR TDGVLSQLSPLPHLYSVSYYQLSEELARAYPELTLAIFSEISQRIQTAHPAGRQAMLHYL LPWMNNIELVDLKPLPSGRRQDEDEDDSLKDRELMVTSRRWLRGEGWGSPQATAMVLNNL MYMTAKYGDELAWSEVENVWTTLADGWPKNLKIILHFLISICGVNSEPSLLPYVKKVIVY LGRDKTMQLLEELVSELQLTDPVSSGVTHMDNPPYYRITSSCKIPSVTSGTTSSSNTMVA PTDGNPDSKALKENFEESYVHLDIYGGLNSHLNRQHHRLESRYSSSSGGSYEEEKSDSMP LYSNWRLKVMEHNQGEPLPFPPAGGCWSPLVDYVPETASPGLPLHRCNIAVILLTDLIID HSVKVEWGSYLHLLLHAIFIGFDHCHPEVYEHCKRLLLHLLIVMGPNSDIRTVASVLLRD KEFNEPRVLTVKQTAHSDYTFTGINDFTPDYQPSPMTDSGLSSSSTSSSISLGNNSAAIS HLHTTLLGEVDLSVEQDGKVKTLMEFITSRKRGPLWNHEDVSSKNPSIKSADQLATFLKH VVSVFKQSNAEGIHLERHLSEVALQTALSCSSRHYAGRSFQIFRALKQPLSADTLSDVLS RLVETVGDPGEDAQGFVIELLLTLESAIDTLAETMKHYDLLSALSQSSYHDPVMGNKYAA NRKSTGQLNLSTSPINSSGHLGCNGDTRSNSLRLSLVGDRRGDRRRSNTLDITDGRINHG GSLARTRSLSSLREKGVYDTQPPTEPSNLMATIFWIATSLLESDYEYEYLLALRLLSKLL THLPLDKSESREKIENVQSKLKWSNFPGLQQLFLKGFTSVSTQEMTVHLLSQLISVSKHT LVDPSQVSGFPLNILCLLPHLIQHFDSPTQFCKETASRIAKVCAEEKCPTLVNLAHMMSL YSTHTYSRDCSNWINVVCRYLHDSFSEATFSLVTYLAELLEKGLSSMQQSLLQIIYSLLS HIDLSAAPVKQFNLEIIKIIGKYVQSPYWKEALNILKLVVSRSASLVVPNDIPKAYGVDV GSPEISFAKIFNNVSKELPGKTLDFHFDISETPIIGNKYGGQHSAAGRNGKAKVIAVTRS TSSTSSGSTSNALVPVSWKRPQLSQRRTREKLMSVLSLCGPESGLPKNPSVVFSSNEDLE VGDQQTSLISTTEDIIQEEEVAVEDNSSEQQFGVFKDFDFLDVELEDAEGESMDNFNWGV RRRSLDSIDKGDTPSLQEYPCSSSTPSLTLTNQEDTDESSEEEAALTASQILSRTQMLNS DCVTEDMMPEHQDLLQSQDSASSNTTEDVLQIRDETPSLEACLDNASSQLPEGTSSVLKE EHVTAFEDEGSYVIPDQQDPLVCRG >ENSMUSP00000144215.1 pep:known chromosome:GRCm38:5:73065424:73071299:-1 gene:ENSMUSG00000070733.13 transcript:ENSMUST00000201277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fryl description:FRY like transcription coactivator [Source:MGI Symbol;Acc:MGI:1919563] XDFTPDYQPSPMTDSGLSSSSTSSSISLGNNSAAISHLHTTLLGEVDLSVEQDGKVKTLM EFITSRKRGPLWNHEDVSSKNPSIKSADQLATFLKHVVSVFKQSNAGIHLERHLSEVALQ TALSCSSRHYAGRSFQIFRALKQPLSADTLSDVLSRLVETVGDPGEDAQGFVIELLLTLE SAIDTLAETMKHYDLLSALSQSSYHDPVMGNKYAANRKSTGQLNLSTSPINSSGHLGCNG DT >ENSMUSP00000144346.1 pep:known chromosome:GRCm38:5:73097386:73098379:-1 gene:ENSMUSG00000070733.13 transcript:ENSMUST00000201405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fryl description:FRY like transcription coactivator [Source:MGI Symbol;Acc:MGI:1919563] DWNSSPISHQFDVISPSHIWIFAHVTQGQDPWIISLSSFLKQENLPKHCSTAVSYAWMFA YTRLQLLSPQVDINPINAKKVNATTSSDSYIGLWRNYLVLCCSAATSPSPSAPAGSVRCS PPETLASTPDSGYS >ENSMUSP00000119385.2 pep:known chromosome:GRCm38:5:73108516:73119844:-1 gene:ENSMUSG00000070733.13 transcript:ENSMUST00000153903.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fryl description:FRY like transcription coactivator [Source:MGI Symbol;Acc:MGI:1919563] LSSRKKHSLALYPLITCLLCVSQKQFFLNNWHIFLQNCLSHLKIPSNNSIRKQIETLQNK DPKMSRVALESLYRLLWVYVIRIKCESNTVTQSRLMSIVSALFPKGSRSVVPRDTPLNIF VKIIQFIAQERLDFAMKEIIFDLLSVGKSTKTFTINPERMNIGLRVFLVIADSLQQKDGD PPMPTTGVILPSGNTLRVKK >ENSMUSP00000115208.1 pep:known chromosome:GRCm38:5:73118325:73122254:-1 gene:ENSMUSG00000070733.13 transcript:ENSMUST00000152631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fryl description:FRY like transcription coactivator [Source:MGI Symbol;Acc:MGI:1919563] YPVEDFEASFQFMQECAQYFLEVKDKDIKHALAGLFVEILIPVAAAVKNEVNVPCLKNFV EMLYQTTFELSSRKKHSLALYPLITCLLCVSQKQFFLNNWHIFLQNCLSHLKVRHCYISD PWIL >ENSMUSP00000135794.1 pep:known chromosome:GRCm38:5:73148038:73191879:-1 gene:ENSMUSG00000070733.13 transcript:ENSMUST00000176910.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fryl description:FRY like transcription coactivator [Source:MGI Symbol;Acc:MGI:1919563] MDFLKRVVPPVLSGDLVLDIREKIEGDPPKLLRVRRLCSTSSERTVGDPGENKCCGNHDD RCLHQQMASAPVSKVNKFCAAAASTFHSTPGRKSAIMSSITIDPDVKPGEYVIKSLFAEF AVQAEKKIE >ENSMUSP00000092289.4 pep:known chromosome:GRCm38:5:73021211:73148136:-1 gene:ENSMUSG00000070733.13 transcript:ENSMUST00000094700.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fryl description:FRY like transcription coactivator [Source:MGI Symbol;Acc:MGI:1919563] MSSITIDPDVKPGEYVIKSLFAEFAVQAEKKIEVVMAEPLEKLLSRSLQRGEDLQFDQLV SSMSSVAEHCLPSLLRTLFDWYRRQNGTDDESYGYRPRSSTKSKGDEQHRERDYLLERRD LAVDFIFCLVLVEVLKQIPVHPVPDPLVHEVLNLAFKHFKHKEGYSGTNTGNVHIIADLY AEVIGVLAQSKFQAVRKKFVTELKELRQKEQSPHVVQSVISLIMGMKFFRVKMYPVEDFE ASFQFMQECAQYFLEVKDKDIKHALAGLFVEILIPVAAAVKNEVNVPCLKNFVEMLYQTT FELSSRKKHSLALYPLITCLLCVSQKQFFLNNWHIFLQNCLSHLKNKDPKMSRVALESLY RLLWVYVIRIKCESNTVTQSRLMSIVSALFPKGSRSVVPRDTPLNIFVKIIQFIAQERLD FAMKEIIFDLLSVGKSTKTFTINPERMNIGLRVFLVIADSLQQKDGDPPMPTTGVILPSG NTLRVKKIFLNKTLTDEEAKVIGMSVYYPQVRKALDSILRHLDKEVGRPMCMTSVQMSNK EPEDMITGERKPKIDLFRTCIAAIPRLIPDGMSRTDLIELLARLTIHMDEELRALAFNTL QALMLDFPDWREDVLSGFVYFIVREVTDVHPTLLDNAVKMLVQLINQWKQAAQMYNRTQD SQHGIANGGPHPPPLERNPYSTVFHVVEGFALVILCSSRPATRRLAVSVLREIRALFALL EVPKGDDELAIDVMDRLSPSILESFIHLTGADQTTLLYCPSSVDLQTLADWNSSPISHQF DVISPSHIWIFAHVTQGQDPWIISLSSFLKQENLPKHCSTAVSYAWMFAYTRLQLLSPQV DINSPINAKKVNATTSSDSYIGLWRNYLVLCCSAATSPSPSAPAGSVRCSPPETLASTPD SGYSIDSKIVGIPSPSSLFKHIVPMMRSESMEITESLVLGLGRTNPGVFRELIEELHPII KEALERRPENMKRRRRRDILRVQLVRIFELLADAGVISHSASGGLDSETHFLNNTLLEYV DLTRQLLEAENEKDSDTLKDIRCHFSALVANIIQNVPVHQRRSIFPQQSLRHSLFMLFSH WAGPFSIMFTPLDRYSDRNMQINRHQYCALKAMSAVLCCGPVADNVGLSSDGYLYKWLDN ILDSLDKKVHQLGCEAVTLLLELNPDQSSLMYWAVDRCYTGSRRVAAGCFKAIANVFQNR DYQCDTVMLLNLILFKAADSSRSIYEVAMQLLQILEPKMFRYAHKLEVQRTDGVLSQLSP LPHLYSVSYYQLSEELARAYPELTLAIFSEISQRIQTAHPAGRQAMLHYLLPWMNNIELV DLKPLPSGRRQDEDEDDSLKDRELMVTSRRWLRGEGWGSPQATAMVLNNLMYMTAKYGDE LAWSEVENVWTTLADGWPKNLKIILHFLISICGVNSEPSLLPYVKKVIVYLGRDKTMQLL EELVSELQLTDPVSSGVTHMDNPPYYRITSSCKIPSVTSGTTSSSNTMVAPTDGNPDSKA LKENFEESYVHLDIYGGLNSHLNRQHHRLESRYSSSSGGSYEEEKSDSMPLYSNWRLKVM EHNQGEPLPFPPAGGCWSPLVDYVPETASPGLPLHRCNIAVILLTDLIIDHSVKVEWGSY LHLLLHAIFIGFDHCHPEVYEHCKRLLLHLLIVMGPNSDIRTVASVLLRDKEFNEPRVLT VKQTAHSDYTFTAGINDFTPDYQPSPMTDSGLSSSSTSSSISLGNNSAAISHLHTTLLGE VDLSVEQDGKVKTLMEFITSRKRGPLWNHEDVSSKNPSIKSADQLATFLKHVVSVFKQSN AEGIHLERHLSEVALQTALSCSSRHYAGRSFQIFRALKQPLSADTLSDVLSRLVETVGDP GEDAQGFVIELLLTLESAIDTLAETMKHYDLLSALSQSSYHDPVMGNKYAANRKSTGQLN LSTSPINSSGHLGCNGDTRSNSLRLSLVGDRRGDRRRSNTLDITDGRINHGGSLARTRSL SSLREKGVYDTQPPTEPSNLMATIFWIATSLLESDYEYEYLLALRLLSKLLTHLPLDKSE SREKIENVQSKLKWSNFPGLQQLFLKGFTSVSTQEMTVHLLSQLISVSKHTLVDPSQVSG FPLNILCLLPHLIQHFDSPTQFCKETASRIAKVCAEEKCPTLVNLAHMMSLYSTHTYSRD CSNWINVVCRYLHDSFSEATFSLVTYLAELLEKGLSSMQQSLLQIIYSLLSHIDLSAAPV KQFNLEIIKIIGKYVQSPYWKEALNILKLVVSRSASLVVPNDIPKAYGVDVGSPEISFAK IFNNVSKELPGKTLDFHFDISETPIIGNKYGGQHSAAGRNGKAKVIAVTRSTSSTSSGST SNALVPVSWKRPQLSQRRTREKLMSVLSLCGPESGLPKNPSVVFSSNEDLEVGDQQTSLI STTEDIIQEEEVAVEDNSSEQQFGVFKDFDFLDVELEDAEGESMDNFNWGVRRRSLDSID KGDTPSLQEYPCSSSTPSLTLTNQEDTDESSEEEAALTASQILSRTQMLNSDCVTEDMMP EHQDLLQSQDSASSNTTEDVLQIRDETPSLEACLDNASSQLPEGTSSVLKEEHVTAFEDE GSYVIPDQQDPLVCRGILDLEETDMPEPLAPESYPESICEEDVTLALKELDERCEEEEAD FSGLSSQEEDEQDGFPEVQTSPLPTPFLSAIIAAFQPMAYEDEEEAWRCHVNQTLSDTDG SCAVFTFHVFSRLFQTIQRKFGEITKEAVGFLGESLQRIGTKFKSSLEVMMACSECPTVF VDAETLMACGLLETLKFGVLELQEHLDTYTTKREAAEQWLDNCKRTFGANEDIYRMNTNA HELELCRRLYRLHFQLLLLFQAYCKLINQVNTIKNEAEVINMSEELAQLEGILKEAEAAS ENEEIDISKAAQTTIETAIHSLIETLKNKEFVSAVAQVKAFRTLWPNDIFGSCDDDPVQT LLHIYFHHQTLGQTGSFAVISSNLDMSEANCKLMELNLEIRESLRTVQSYPLLAQTKPVG NMTSTGF >ENSMUSP00000030029.3 pep:known chromosome:GRCm38:4:48279802:48431954:1 gene:ENSMUSG00000028344.12 transcript:ENSMUST00000030029.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Invs description:inversin [Source:MGI Symbol;Acc:MGI:1335082] MNISEDVLSTGSSLASQVHAAAVNGDKGALQRLIVGNSALRDKEDRFGRTPLMYCVLADR VDCADALLKAGADVNKTDHSRRTALHLAAQKGNYRFMKLLLTRRANWMQKDLEEMTPLHL STRHRSPKCLALLLKFMAPGEVDTQDKNKQTALHWSAYYNNPEHAKLLIKHDSNIGIPDV EGKIPLHWAANHKDPSAVHTVRCILDAAPTESLLNWQDYEGRTPLHFAVADGNLTVVDVL TSYESCNITSYDNLFRTPLHWAALLGHAQIVHLLLERNKSGTIPSDSQGATPLHYAAQSN FAETVKVFLQHPSVKDDSDLEGRTSFMWAAGKGNDDVLRTMLSLKSDIDINMSDKYGGTA LHAAALSGHVSTVKLLLDNDAQVDATDVMKHTPLFRACEMGHRDVIQTLIKGGARVDLVD QDGHSLLHWAALGGNADVCQILIENKINPNVQDYAGRTPLQCAAYGGYINCMAVLMENNA DPNIQDKEGRTALHWSCNNGYLDAIKLLLDFAAFPNQMENNEERYTPLDYALLGERHEVI QFMLEHGALSIAAIQDIAAFKIQAVYKGYKVRKAFRDRKNLLMKHEQLRKDAAAKKREEE NKRKEAEQQKGQLDTDPPRSHCSSSAPVLPCPPSPQNEASKQDATPSKQPPASHTVQSPD PEHSRPPGRCPGRASQGDSSIDLQGTASRKPSETPIEHCRGPSACVHPRSWEGGNSSKNQ GTSSVEKRRGETNGKHRRCEEGPSSARQPLCTGSGRPAEKGEDSSPAVASASQQDHPRKP NKRQDRAARPRGASQKRRTHQLRDRCSPAGSSRPGSAKGEVACADQSSLHRHTPRSKVTQ DKLIGGVSSGLPLSTEASRSGCKQLYEDICASPETGVAHGPPPGQCMNIHLLPVEQRLLI IQRERSRKELFRRKNKAAAVIQRAWRSYQLRKHLSRLLHLKQLGAREVLRCTQVCTALLL QVWRKELELKFPKSISVSRTSKSPSKGSSATKYARHSVLRQIYGCSQEGKGHHPIKSSKA PAVLHLSSVNSLQSIHLDNSGRSKKFSYNLQPSSQSKNKPKL >ENSMUSP00000138580.1 pep:known chromosome:GRCm38:4:48279826:48427351:1 gene:ENSMUSG00000028344.12 transcript:ENSMUST00000143433.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Invs description:inversin [Source:MGI Symbol;Acc:MGI:1335082] MNISEDVLSTGSSLASQVHAAAVNGDKGALQRLIVGNSALRDKEDRFGRTPLMYCVLADR VDCADALLKAGADVNKTDHSRRTALHLAAQKGNYRFMKLLLTRRANWMQKDLEEMTPLHL STRHRSPKCLALLLKFMAPGEVDTQDKNKDAAPTESLLNWQDYEGRTPLHFAVADGNLTV VDVLTSYESCNITSYDNLFRTPLHWAALLGHAQIVHLLLERNKSGTIPSDSQGATPLHYA AQSNFAETVKVFLQHPSVKDDSDLEGRTSFMWAAGKGNDDVLRTMLSLKSDIDINMSDKY GGTALHAAALSGHVSTVKLLLDNDAQVDATDVMKHTPLFRACEMGHRDVIQTLIKGGARV DLVDQDGHSLLHWAALGGNADVCQILIENKINPNVQDYAGRTPLQCAAYGGYINCMAVLM ENNADPNIQDKEVHTP >ENSMUSP00000089324.1 pep:known chromosome:GRCm38:13:22474618:22475520:1 gene:ENSMUSG00000094898.1 transcript:ENSMUST00000091730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r201 description:vomeronasal 1 receptor 201 [Source:MGI Symbol;Acc:MGI:2159690] MNWNNITLTIVFLSLAGPGIVGNILVFVRYVHNSALGTEKKPLDLILIHLAFSNLIIICS TGIRDLATVFSFRNFLGDIGCKAAVYLARMARGLSICTTCLLSVLQAVTISPRTTIWTKL KPQTSFQVLPFLLLFWIVNVLISSNLLSYIKAGSGLNRSVVATYIGHCYMLQSRHIIKWF FLSLMTLRDVIFQSLMGWSSGSMALHLYKHHKRVLYLHSSRSANNSPPEIRATWSVLILM ACFLFFYWVDFIISFYTGFTVAHDSILLNIKTFLELGYASFSPYVLISRDVHVPNVLRAH >ENSMUSP00000026820.4 pep:known chromosome:GRCm38:7:81114799:81170416:1 gene:ENSMUSG00000025726.11 transcript:ENSMUST00000026820.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc28a1 description:solute carrier family 28 (sodium-coupled nucleoside transporter), member 1 [Source:MGI Symbol;Acc:MGI:3605073] MADDTPRQRESISLTPVAHGLENMGAEFLEIMEEGQLPHRHSSLPEGGGSRSKAVWKPFS RWRSLQPTVQARSLCREHWQLFEWISKGLLSTAYIGFLIVACLLDFPRALALFVITCVVL VFLAYNLLKRLLGSKLKKCVKFQGHSCLSLWLKRGLALAAGLGVILWLSLDTAQRPEQLV SFAGICVFLVLLFAGSKHHRAVSWRAVSWGLGLQFVLGLFVIRTEPGFVAFQWLGDQIRV FLSYTEAGSSFVFGEALVKDVFAFQVLPIIVFFSCVMSVLYYLGLMQWVILKIAWLMQVT MGTSATETLSVAGNIFVSQTEAPLLIRPYLADMTLSEVHVVMTGGYATIAGSLLGAYISF GIDASSLIAASVMAAPCALALSKLVYPEVEESKFRSEEGVKLTYGDAQNLVEAASAGAAI SVKVVANIAANLIAFLAVLAFINAALSWLGDMVDIQGLSFQLICSYVLRPVAFLMGVAWE DCPVVAELLGIKLFLNEFVAYQELSQYKQRRLAGAEEWLGDKKQWISVRAEILTTYALCG FANFSSIGIMLGGLTSMVPQRRSDFSQIVLRALITGAFVSLVNACVAGILYVPRGVEVDC MSLLNQTVSSSSFEVYLCCRQVFQNTSLEFGQEALHNCCRFYNHTVCT >ENSMUSP00000112421.1 pep:known chromosome:GRCm38:7:81114850:81170416:1 gene:ENSMUSG00000025726.11 transcript:ENSMUST00000119083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc28a1 description:solute carrier family 28 (sodium-coupled nucleoside transporter), member 1 [Source:MGI Symbol;Acc:MGI:3605073] MADDTPRQRESISLTPVAHGLENMGAEFLEIMEEGQLPHRHSSLPEGGGSRSKAVWKPFS RWRSLQPTVQARSLCREHWQLFEWISKGLLSTAYIGFLIVACLLDFPRALALFVITCVVL VFLAYNLLKRLLGSKLKKCVKFQGHSCLSLWLKRGLALAAGLGVILWLSLDTAQRPEQLV SFAGICVFLVLLFAGSKHHRAVSWRAVSWGLGLQFVLGLFVIRTEPGFVAFQWLGDQIRV FLSYTEAGSSFVFGEALVKDVFAFQVLPIIVFFSCVMSVLYYLGLMQWVILKIAWLMQVT MGTSATETLSVAGNIFVSQTEAPLLIRPYLADMTLSEVHVVMTGGYATIAGSLLGAYISF GIDASSLIAASVMAAPCALALSKLVYPEVEESKFRSEEGVKLTYGDAQNLVEAASAGAAI SVKVVANIAANLIAFLAVLAFINAALSWLGDMVDIQGLSFQLICSYVLRPVAFLMGVAWE DCPVVAELLGIKLFLNEFVAYQELSQYKQRRLAGAEEWLGDKKQWISVRAEILTTYALCG FANFSSIGIMLGGLTSMVPQRRSDFSQIVLRALITGAFVSLVNACVAGILYVPRGVEVDC MSLLNQTVSSSSFEVYLCCRQVFQNTSLEFGQEALHNCCRFYNHTVCT >ENSMUSP00000068738.7 pep:known chromosome:GRCm38:1:46066738:46373546:1 gene:ENSMUSG00000041144.10 transcript:ENSMUST00000069293.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah7b description:dynein, axonemal, heavy chain 7B [Source:MGI Symbol;Acc:MGI:2684953] MPQRATLLDLSESSAPNLADADDRKWIPPQRSRPILPGPQVLAAMSSKKDKLSTKGTSKP PARFLPQLPMDKLSSREKDKLPTTVLPQLTSTGVKPQWQQIAPSFHLNMKQENPILEPYP VKNEQSFADYMEYFQQKGKQLYQIDDKRSGPSTSRSKVKSPQKERENFRSTLVKLIMQQD GDLESDVIDESGIPKATTTAREKDISRYYYYIRHGLDTDHVAPMEDSWLEHVLQLVPQHL KILNNSIMVLSDEIREDYLLSVKKSIVDFVLKDPRKKDDDGKITELPPHRAEMEVLPKPW RRSFLSACSYIRDHLNAMNPMMLAVLDLWHSTFKKLRLVDIEEFHNRQDSLELSEFQNIV IKHMESAKEMLLKTWFPEVQNIYYKGNKKKQLPTGKSSAKLDSFFNCAATLMTLQLQDLI LVSMQDFTDLIAQPPESTRAFEHPGFIMRLILDKKTIKFAPDFNDYIDILINVYEIMIKM VSFVPRVETKLYSQWESKSKPTTLKPIILNEIIDTHKEKIREVVLRESVAPTEHLKMYDK YQFLITGKAERDIDEFLFQSQNYERLIEEIRKYQKLEEEIQYTSRKAVRLGMFEMHCEEL IKSLVKRADVICGKLIAKMFRDHQEVNTMLCDEFEKIAEKALSMPLNTAELMEMKAHVQK LETTDMLELRQRLVDSKNCLAFLIECVNFSPADIRLNNSVFQWYGRMGEIFDEHRKIIKD KTEQYQEALKFRCERFVEELESYAKQVEEFHTFGDLLDVQRYLKKAQVLNGKLDAAADKI EQFNAEEEAYGWVPSVYPQRKKIQDGLNPYLRLYETAVEFSTKHRGWTEGPYHKVNPDQV EADVGNYWRGLYKLEKVFHDSPNALAMTKKVQSMVEEFKQYIPLIQVICNPGLRPRHWEA MSTIVGFPLLPSDDSTVFSFIDMNLEPFLDRFEGISEAASKEYSLEKAMDKMMTEWDSME FVILPYRESGTYILSSVDDIQMLLDDHIIKTQTMRGSPFIKPYEKQMREWEGKLLLLQEI LDEWLKVQATWLYLEPIFSSPDIMSQMPEEGRRFKAVDKTWRDVMKTVVQDKRVLAVVTI ERMLERLKKSNELLELILKGLNEYLEKKRLFFPRFFFLSNDELLEILSETKDPTRVQPHL KKCFEGIAKVEFTETLDITHMKSSEGEVVELVDTISTAKARGQVEKWLVELERTMIKSIH KVIGDAIAAYTKNSRISWVRDWPGQTVLCVSQTFWTVEVQTAIPKGHRALEGYLAKCNHQ IDDIVTLVRGKLSKQNRVTLGALVVLDVHARDVLASLVDKKISDDSDFQWLSQLRYYWQE NNLETKMINAGLRYGYEYLGNSPRLVITPLTDRCYRTLFGALHLHLGGAPEGPAGTGKTE TTKDLAKAVAKQCVVFNCSDGLDYLALGKFFKGLLSCGAWACFDEFNRIDLEVLSVVAQQ ILTIQRGINAGTELLVFEGTELKLDPTCAVFITMNPGYAGRSELPDNLKALFRTVAMMVP DYAMIAEIVLYSCGFVTARPLSIKIVATYRLCSEQLSSQHHYNYGMRAVKSVLTAAGNLK LKYPNENEEILLLRSIIDVNLPKFLSHDLPLFEGITSDLFPGVKLPKPDYNELLAAIREN CHTMNLQMTDFFSEKILQIYEMMIVRHGFMIVGEPFGGKTSAYRVLAGALNDICEKGLME ENKVQITVLNPKSVTMGQLYGQFDLVSHEWSDGILAVSFRAFAASSTPDRKWLIFDGPVD AVWIENMNTVLDDNKKLCLMSGEIIQMSPQMNLIFEPMDLEVASPATVSRCGMIYMEPHM LGWRPLMVSWINTLPQSVSIIQKEFIEGLFDRMVPLSVEFIRRHTKELSPTSDTNLVQSL MNLIDCFMDDFADENKQKERNDRESFSLLEGIFMFSLAWSVGATCTDDDRLKFDKILREL MEGPISDLTRNKFKLLSGTEQTSSKVFIVPFPEKGTIYEYQFIPEGLGRWDKWIKKLADT PPIPKDVQFIEIIVPTLDTIRYSALMHLLTTHQKPSIFVGPTGTGKSVYIINFLLNQLNK DIYKPLIVNFSAQTTAAQTQNIIMSKLDKRRKGVFGPPLGKRMIVFVDDVNMPAREVYGA QPPIELLRQWLDHWNWYDLKDCSVIKLVDIQIMCAMGPPGGGRNPITPRYMRHFNIVTIN EFSDKSMFTIFSRILAWHLRTCYKFPDDFLDLTTQIVNGTMALYKDAMKNLLPTPAKSHY LFNLRDFSRVIQGVCLSRPETAENKEAIKRLWVHEVLRVYYDRLVDNADRSWLIHYIQEI LKNYMQEDFHDLFKNLDFNQDGTVEEDDLRSLMFCDFHDPKREDFGYREIANVDALRMIV EGHLDEYNNMSKKPMNLVLFRFAIEHISRISRILKQPRSHALLVGVGGSGRQSVTRLAAH MADYSLFQVEISKGYGSHEWHEDLKVILRKCAENDMQGVFLFTDTQIKRESFLEDVNNLL NAGEVPNLFALDEKQEICEKMRQLDRQRDKTRQTDGSPIALFNMFIDRCRNQLHVVLAMS PIGDAFRIRLRKFPALVNCCTIDWFQSWPEDALEAVASRFLEDIEMSEEIQEGCIDMCKR FHTSTINLSTSFHNELQRYNYVTPTSYLELISTFKLLLEKKRNEVMKMKRRYEVGLDKLD SASSQVATMQSELEALHPQLKVASREVDEMMIIIERESMEVAKTEKIVKADETVANDQAM AAKAIKDECDADLAEALPILESALAALDTLTAQDITVVKSMKSPPAGVKLVMEAICILKG IKADKIPDPTGSGKKIEDFWGPAKRLLGDIRFLQSLHEYDKDNIPPAYMNIIRKSYIPNP DFVPEKIRNASTAAEGLCKWVIAMDSYDKVAKIVAPKKIKLAAAEGKLRIAMEGLRKKQA ALYEVQDKLAKLQDTLELNKQKKADLENQVDLCSKKLERAEQLIGGLGGEKTRWSNSALE LGHLYINLTGDILISSGVVAYLGAFTSNYRQNQTQEWSQSCKERDIPCSDDYSLMGTLGE AVTIRAWNIAGLPSDSFSIDNGIIIMNARRWPLMIDPQGQANKWIKNMEKTNSLQLIKLS DPDYVRTLENCIQFGTPVLLENVGEELDPILEPLLLKQTFKQGGSTCIRLGDSTIEYAPD FRFYITTKLRNPHYLPETSVKVTLLNFMITPEGMQDQLLGIVVARERPDLEEEKQSLIVQ GADNKRQLKEIEDKILEVLSLSEGNILEDETAIKILSSSKSLANEISQKQEVAEETEKKI DNTRMGYRIIAIHSSILFFSIADLANIEPMYQYSLTWFINLFILSIENSEKSDILSKRLQ ILRDHFTYSLYVNICRSLFEKDKLLFSFCLTVNLLIHENAINKTEWRFLLTGGIGLDNPY TNPCTWLPQKSWDEICRLDDLPAFKTIRREFMRLKDGWKKVYDSMEPHHEMFPEDWENKA NDFQRMLIIRCLRPDKVIPMLQEFIIKKLGRPFIEPPPFDLAKAFGDSNCCAPLIFVLSP GADPMNALLKFADDQGYGGSKLSSLSLGQGQGPIAMKMLEKAVKDGTWVVLQNCHLATSW MPTLEKVCEELSAESTHPDFRIWLTSYPSPNFPVSVLQNGVKMTNEAPKGLRANIIRSYL MDPISDPEFFGSCKKPEEFKKLLYGLCFFHALVQERRKFGPLGWNIPYEFNETDLRISVQ QLHMFLDQYEELPYDALRYMTGECNYGGRVTDDWDRRTLRSILNKFFCTELVENPQYKFD SSGIYFVPPSGDHKSYINYTKTLPLIPAPEVFGMNANADITKDQSETQLLFDNILLTQSQ SSGSGTKSSDEVVNEVAGDILSKLPNNFDVEAAMRRYPTTYTQSMNTVLVQEMGRFNKLL ITIRESCINIQKAIKGLVVMSTELEEVVSSILNVKIPVMWMGKSYPSLKPLGSYVNDFLE RLKFLQQWYEVGPPPVFWLSGFFFTQAFLTGAQQNFARKFTIPIDLLGFDYEVMDDKEYK NAPEDGVYIHGLFLDGASWNRKTKKLAESHPKVLYDTVPVMWLKPCKKSDIPKRPSYVAP LYKTSERRGTLSTTGHSTNFVIAMILPSDQPKEHWIGRGVALLCQLNS >ENSMUSP00000139723.1 pep:known chromosome:GRCm38:1:46356620:46373540:1 gene:ENSMUSG00000041144.10 transcript:ENSMUST00000185879.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnah7b description:dynein, axonemal, heavy chain 7B [Source:MGI Symbol;Acc:MGI:2684953] XVNDFLERLKFLQVILSICTLVSN >ENSMUSP00000074383.5 pep:known chromosome:GRCm38:15:76170975:76229497:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000074834.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MAHLLTSGPPPDEQDFIQAYEEVREKYKDERDRVQKKTFTKWVNKHLIKAQRHISDLYED LRDGHNLISLLEVLSGDSLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGN PKLTLGLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRD GRLFNAIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEK SIITYVSSLYDAMPRVPGAQDGVRANELQLRWQEYRELVLLLLQWIRHHTAAFEERKFPS SFEEIEILWCQFLKFKETELPAKEADKNRSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKE WGKLHVAILEREKQLRSEFERLECLQRIVSKLQMEAGLCEEQLNQADALLQSDIRLLASG KVAQRAGEVERDLDKADGMIRLLFNDVQTLKDGRHPQGEQMYRRVYRLHERLVAIRTEYN LRLKAGVGAPVTQVTLQSTQRRPELEDSTLRYLQDLLAWVEENQRRIDSAEWGVDLPSVE AQLGSHRGMHQSIEEFRAKIERARNDESQLSPATRGAYRDCLGRLDLQYAKLLNSSKARL RSLESLHGFVAAATKELMWLNEKEEEEVGFDWSDRNTNMAAKKESYSALMRELEMKEKKI KEIQNTGDRLLREDHPARPTVESFQAALQTQWSWMLQLCCCIEAHLKENTAYFQFFSDVR EAEEQLQKLQETLRRKYSCDRTITVTRLEDLLQDAQDEKEQLNEYKGHLSGLAKRAKAIV QLKPRNPAHPVRGHVPLIAVCDYKQVEVTVHKGDQCQLVGPAQPSHWKVLSGSSSEAAVP SVCFLVPPPNQEAQEAVARLEAQHQALVTLWHQLHVDMKSLLAWQSLSRDIQLIRSWSLV TFRTLKPEEQRQALRNLELHYQAFLRDSQDAGGFGPEDRLVAEREYGSCSRHYQQLLQSL EQGEQEESRCQRCISELKDIRLQLEACETRTVHRLRLPLDKDPARECAQRIAEQQKAQAE VEGLGKGVARLSAEAEKVLALPEPSPAAPTLRSELELTLGKLEQVRSLSAIYLEKLKTIS LVIRSTQGAEEVLKTHEEQLKEAQAVPATLQELEATKASLKKLRAQAEAQQPVFNTLRDE LRGAQEVGERLQQRHGERDVEVERWRERVTQLLERWQAVLAQTDVRQRELEQLGRQLRYY RESADPLSAWLQDAKRRQEQIQAVPIANCQAAREQLRQEKALLEEIERHGEKVEECQKFA KQYINAIKDYELQLITYKAQLEPVASPAKKPKVQSGSESVIQEYVDLRTRYSELTTLTSQ YIKFISETLRRMEEEERLAEQQRAEERERLAEVEAALEKQRQLAEAHAQAKAQAELEAQE LQRRMQEEVARREEAAVDAQQQKRSIQEELQHLRQSSEAEIQAKAQQVEAAERSRMRIEE EIRVVRLQLETTERQRGGAEGELQALRARAEEAEAQKRQAQEEAERLRRQVQDESQRKRQ AEAELALRVKAEAEAAREKQRALQALDELRLQAEEAERRLRQAEAERARQVQVALETAQR SAEVELQSKRASFAEKTAQLERTLQEEHVTVAQLREEAERRAQQQAEAERAREEAERELE RWQLKANEALRLRLQAEEVAQQKSLAQADAEKQKEEAEREARRRGKAEEQAVRQRELAEQ ELEKQRQLAEGTAQQRLAAEQELIRLRAETEQGEQQRQLLEEELARLQHEATAATQKRQE LEAELAKVRAEMEVLLASKARAEEESRSTSEKSKQRLEAEAGRFRELAEEAARLRALAEE AKRQRQLAEEDAARQRAEAERVLTEKLAAISEATRLKTEAEIALKEKEAENERLRRLAED EAFQRRRLEEQAALHKADIEERLAQLRKASESELERQKGLVEDTLRQRRQVEEEIMALKV SFEKAAAGKAELELELGRIRSNAEDTMRSKEQAELEAARQRQLAAEEEQRRREAEERVQR SLAAEEEAARQRKVALEEVERLKAKVEEARRLRERAEQESARQLQLAQEAAQKRLQAEEK AHAFVVQQREEELQQTLQQEQNMLDRLRSEAEAARRAAEEAEEAREQAEREAAQSRKQVE EAERLKQSAEEQAQAQAQAQAAAEKLRKEAEQEAARRAQAEQAALKQKQAADAEMEKHKK FAEQTLRQKAQVEQELTTLRLQLEETDHQKSILDEELQRLKAEVTEAARQRSQVEEELFS VRVQMEELGKLKARIEAENRALILRDKDNTQRFLEEEAEKMKQVAEEAARLSVAAQEAAR LRQLAEEDLAQQRALAEKMLKEKMQAVQEATRLKAEAELLQQQKELAQEQARRLQEDKEQ MAQQLVEETQGFQRTLEAERQRQLEMSAEAERLKLRMAEMSRAQARAEEDAQRFRKQAEE IGEKLHRTELATQEKVTLVQTLEIQRQQSDHDAERLREAIAELEREKEKLKQEAKLLQLK SEEMQTVQQEQILQETQALQKSFLSEKDSLLQRERFIEQEKAKLEQLFQDEVAKAKQLRE EQQRQQQQMEQEKQELMASMEEARRRQREAEEGVRRKQEELQHLEQQRQQQEKLLAEENQ RLRERLQRLEEEHRAALAHSEIATTQAASTKALPNGRDAPDGPSVEAEPEYTFEGLRQKV PAQQLQEAGILSQEELQRLAQGHTTVAELTQREDVYRYLKGRSSIAGLLLKPTNEKLSVY TALQRQLLSPGTALILLEAQAASGFLLDPVRNRRLTVNEAVKEGVVGPELHHKLLSAERA VTGYKDPYTGEQISLFQAMKKDLIVRDHGVRLLEAQIATGGIIDPVHSHRVPVDVAYKRG YFDEEMNRILSDPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLTDKAAKGGE LVYTDTEARDVFEKATVSAPFGKFQGRTVTIWEIINSEYFTAEQRRDLLQQFRTGHITVE KIIKIVITVVEEHERKGQLCFEGLRALVPAAELLDSGVISHELYQQLQRGERSVREVAEA DSVRQALRGTNVIAGVWLEEAGQKLSIYEALKKDLLQPEVAVALLEAQAGTGHIIDPATS ARLTVDEAVRAGLVGPELHEKLLSAEKAVTGYRDPYSGQSVSLFQALKKGLIPREQGLRL LDAQLSTGGIVDPSKSHRVPLDVAYARGYLDKETNRALTSPRDDARVYHDPSTQEPVTYS QLQQRCRSDQLTGLSLLPLSEKAVRARQEEVYSELQARETLEQAKVEVPVGSFKGRAMTV WELISSEYFTEEQRQELLRQFRTGKVTVEKVIKIVITIVEEVETRRQERLSFSGLRAPVP ASELLDAKILSRAQFDQLKDGKTSVKELSEVGSVRTLLQGSGCLAGIYLEDSKEKVTIYE AMRRGLLRPSTATLLLEAQAATGFLVDPVRNQRLYVHEAVKAGVVGPELHEKLLSAEKAV TGYKDPYSGNTISLFQAMKKGLVLRDHAIRLLEAQVATGGIIDPVHSHRLPVDVAYQRGY FDEEMNRVLADPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLKGAEKTEVVE TTQVYTEEETRRAFEETQIDIPGGGSHGGSSMSLWEVMQSNMIPEDQRARLMADFQAGRV TKERMIIIIIEIIEKTEIIRQQNLASYDYVRRRLTAEDLYEARIISLETYNLFREGTKNL REVLEMESAWRYLYGTGAVAGVYLPGSRQTLTIYQALKKGLLSAEVARLLLEAQAATGFL LDPVKGERLTVDEAVRKGLVGPELHDRLLSAERAVTGYRDPYTEQTISLFQAMKKELIPA EEALRLLDAQLATGGIVDPRLGFHLPLEVAYQRGYLNKDTHDQLSEPSEVRSYVDPSTDE RLSYTQLLKRCRRDDPSGQMLLLLSDARKLTFRGLRKQITVEELVRSQVMDEATALQLQE GLTSIEEVTKNLQKFLEGTSCIAGVFVDATKERLSVYQAMKKGIIRPGTAFELLEAQAAT GYVIDPIKGLKLTVEEAVRMGIVGPEFKDKLLSAERAVTGYKDPYSGKLISLFQAMKKGL ILKDHGIRLLEAQIATGGIIDPEESHRLPVEVAYKRGLFDEEMNEILTDPSDDTKGFFDP NTEENLTYLQLMERCITDPQTGLCLLPLKEKKRERKTSSKSSVRKRRVVIVDPETGKEMS VYEAYRKGLIDHQTYLELSEQECEWEEITISSSDGVVKSMIIDRRSGRQYDIDDAITKNL IDRSALDQYRAGTLSITEFADMLSGNAGGFRSRSSSVGSSSSYPISSAGPRTQLASWSDP TEETGPVAGILDTETLEKVSITEAMHRNLVDNITGQRLLEAQACTGGIIDPSTGERFPVT EAVNKGLVDKIMVDRINLAQKAFCGFEDPRTKTKMSAAQALKKGWLYYEAGQRFLEVQYL TGGLIEPDTPGRVSLDEALQRGTVDARTAQKLRDVSAYSKYLTCPKTKLKISYKDALDRS MVEEGTGLRLLEAAAQSSKGYYSPYSVSGSGSTAGSRTGSRTGSRAGSRRGSFDATGSGF SMTFSSSSYSSSGYGRRYASGPSASLGGPESAVA >ENSMUSP00000023226.6 pep:known chromosome:GRCm38:15:76170976:76195710:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000023226.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MSQHRLRVPEPEGLGSKRTSSEDNLYLAVLRASEGKKDERDRVQKKTFTKWVNKHLIKAQ RHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNI RNDDIADGNPKLTLGLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRC DNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPED VDVPQPDEKSIITYVSSLYDAMPRVPGAQDGVRANELQLRWQEYRELVLLLLQWIRHHTA AFEERKFPSSFEEIEILWCQFLKFKETELPAKEADKNRSKVIYQSLEGAVQAGQLKIPPG YHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRIVSKLQMEAGLCEEQLNQADALLQ SDIRLLASGKVAQRAGEVERDLDKADGMIRLLFNDVQTLKDGRHPQGEQMYRRVYRLHER LVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRPELEDSTLRYLQDLLAWVEENQRRIDSAE WGVDLPSVEAQLGSHRGMHQSIEEFRAKIERARNDESQLSPATRGAYRDCLGRLDLQYAK LLNSSKARLRSLESLHGFVAAATKELMWLNEKEEEEVGFDWSDRNTNMAAKKESYSALMR ELEMKEKKIKEIQNTGDRLLREDHPARPTVESFQAALQTQWSWMLQLCCCIEAHLKENTA YFQFFSDVREAEEQLQKLQETLRRKYSCDRTITVTRLEDLLQDAQDEKEQLNEYKGHLSG LAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDYKQVEVTVHKGDQCQLVGPAQPSHWKVLS GSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQHQALVTLWHQLHVDMKSLLAWQSLSRDI QLIRSWSLVTFRTLKPEEQRQALRNLELHYQAFLRDSQDAGGFGPEDRLVAEREYGSCSR HYQQLLQSLEQGEQEESRCQRCISELKDIRLQLEACETRTVHRLRLPLDKDPARECAQRI AEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAAPTLRSELELTLGKLEQVRSLSAI YLEKLKTISLVIRSTQGAEEVLKTHEEQLKEAQAVPATLQELEATKASLKKLRAQAEAQQ PVFNTLRDELRGAQEVGERLQQRHGERDVEVERWRERVTQLLERWQAVLAQTDVRQRELE QLGRQLRYYRESADPLSAWLQDAKRRQEQIQAVPIANCQAAREQLRQEKALLEEIERHGE KVEECQKFAKQYINAIKDYELQLITYKAQLEPVASPAKKPKVQSGSESVIQEYVDLRTRY SELTTLTSQYIKFISETLRRMEEEERLAEQQRAEERERLAEVEAALEKQRQLAEAHAQAK AQAELEAQELQRRMQEEVARREEAAVDAQQQKRSIQEELQHLRQSSEAEIQAKAQQVEAA ERSRMRIEEEIRVVRLQLETTERQRGGAEGELQALRARAEEAEAQKRQAQEEAERLRRQV QDESQRKRQAEAELALRVKAEAEAAREKQRALQALDELRLQAEEAERRLRQAEAERARQV QVALETAQRSAEVELQSKRASFAEKTAQLERTLQEEHVTVAQLREEAERRAQQQAEAERA REEAERELERWQLKANEALRLRLQAEEVAQQKSLAQADAEKQKEEAEREARRRGKAEEQA VRQRELAEQELEKQRQLAEGTAQQRLAAEQELIRLRAETEQGEQQRQLLEEELARLQHEA TAATQKRQELEAELAKVRAEMEVLLASKARAEEESRSTSEKSKQRLEAEAGRFRELAEEA ARLRALAEEAKRQRQLAEEDAARQRAEAERVLTEKLAAISEATRLKTEAEIALKEKEAEN ERLRRLAEDEAFQRRRLEEQAALHKADIEERLAQLRKASESELERQKGLVEDTLRQRRQV EEEIMALKVSFEKAAAGKAELELELGRIRSNAEDTMRSKEQAELEAARQRQLAAEEEQRR REAEERVQRSLAAEEEAARQRKVALEEVERLKAKVEEARRLRERAEQESARQLQLAQEAA QKRLQAEEKAHAFVVQQREEELQQTLQQEQNMLDRLRSEAEAARRAAEEAEEAREQAERE AAQSRKQVEEAERLKQSAEEQAQAQAQAQAAAEKLRKEAEQEAARRAQAEQAALKQKQAA DAEMEKHKKFAEQTLRQKAQVEQELTTLRLQLEETDHQKSILDEELQRLKAEVTEAARQR SQVEEELFSVRVQMEELGKLKARIEAENRALILRDKDNTQRFLEEEAEKMKQVAEEAARL SVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQEATRLKAEAELLQQQKELAQEQA RRLQEDKEQMAQQLVEETQGFQRTLEAERQRQLEMSAEAERLKLRMAEMSRAQARAEEDA QRFRKQAEEIGEKLHRTELATQEKVTLVQTLEIQRQQSDHDAERLREAIAELEREKEKLK QEAKLLQLKSEEMQTVQQEQILQETQALQKSFLSEKDSLLQRERFIEQEKAKLEQLFQDE VAKAKQLREEQQRQQQQMEQEKQELMASMEEARRRQREAEEGVRRKQEELQHLEQQRQQQ EKLLAEENQRLRERLQRLEEEHRAALAHSEIATTQAASTKALPNGRDAPDGPSVEAEPEY TFEGLRQKVPAQQLQEAGILSQEELQRLAQGHTTVAELTQREDVYRYLKGRSSIAGLLLK PTNEKLSVYTALQRQLLSPGTALILLEAQAASGFLLDPVRNRRLTVNEAVKEGVVGPELH HKLLSAERAVTGYKDPYTGEQISLFQAMKKDLIVRDHGVRLLEAQIATGGIIDPVHSHRV PVDVAYKRGYFDEEMNRILSDPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPL TDKAAKGGELVYTDTEARDVFEKATVSAPFGKFQGRTVTIWEIINSEYFTAEQRRDLLQQ FRTGHITVEKIIKIVITVVEEHERKGQLCFEGLRALVPAAELLDSGVISHELYQQLQRGE RSVREVAEADSVRQALRGTNVIAGVWLEEAGQKLSIYEALKKDLLQPEVAVALLEAQAGT GHIIDPATSARLTVDEAVRAGLVGPELHEKLLSAEKAVTGYRDPYSGQSVSLFQALKKGL IPREQGLRLLDAQLSTGGIVDPSKSHRVPLDVAYARGYLDKETNRALTSPRDDARVYHDP STQEPVTYSQLQQRCRSDQLTGLSLLPLSEKAVRARQEEVYSELQARETLEQAKVEVPVG SFKGRAMTVWELISSEYFTEEQRQELLRQFRTGKVTVEKVIKIVITIVEEVETRRQERLS FSGLRAPVPASELLDAKILSRAQFDQLKDGKTSVKELSEVGSVRTLLQGSGCLAGIYLED SKEKVTIYEAMRRGLLRPSTATLLLEAQAATGFLVDPVRNQRLYVHEAVKAGVVGPELHE KLLSAEKAVTGYKDPYSGNTISLFQAMKKGLVLRDHAIRLLEAQVATGGIIDPVHSHRLP VDVAYQRGYFDEEMNRVLADPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLK GAEKTEVVETTQVYTEEETRRAFEETQIDIPGGGSHGGSSMSLWEVMQSNMIPEDQRARL MADFQAGRVTKERMIIIIIEIIEKTEIIRQQNLASYDYVRRRLTAEDLYEARIISLETYN LFREGTKNLREVLEMESAWRYLYGTGAVAGVYLPGSRQTLTIYQALKKGLLSAEVARLLL EAQAATGFLLDPVKGERLTVDEAVRKGLVGPELHDRLLSAERAVTGYRDPYTEQTISLFQ AMKKELIPAEEALRLLDAQLATGGIVDPRLGFHLPLEVAYQRGYLNKDTHDQLSEPSEVR SYVDPSTDERLSYTQLLKRCRRDDPSGQMLLLLSDARKLTFRGLRKQITVEELVRSQVMD EATALQLQEGLTSIEEVTKNLQKFLEGTSCIAGVFVDATKERLSVYQAMKKGIIRPGTAF ELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFKDKLLSAERAVTGYKDPYSGKLIS LFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRLPVEVAYKRGLFDEEMNEILTDPS DDTKGFFDPNTEENLTYLQLMERCITDPQTGLCLLPLKEKKRERKTSSKSSVRKRRVVIV DPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEITISSSDGVVKSMIIDRRSGRQYD IDDAITKNLIDRSALDQYRAGTLSITEFADMLSGNAGGFRSRSSSVGSSSSYPISSAGPR TQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRNLVDNITGQRLLEAQACTGGIIDP STGERFPVTEAVNKGLVDKIMVDRINLAQKAFCGFEDPRTKTKMSAAQALKKGWLYYEAG QRFLEVQYLTGGLIEPDTPGRVSLDEALQRGTVDARTAQKLRDVSAYSKYLTCPKTKLKI SYKDALDRSMVEEGTGLRLLEAAAQSSKGYYSPYSVSGSGSTAGSRTGSRTGSRAGSRRG SFDATGSGFSMTFSSSSYSSSGYGRRYASGPSASLGGPESAVA >ENSMUSP00000072478.4 pep:known chromosome:GRCm38:15:76170976:76198209:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000072692.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MAGTWAAKGVFTSQREVLLERPCWLDGGCEQVRRGYLYGQLCCVDERDRVQKKTFTKWVN KHLIKAQRHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRFHKLQNVQIALDYLRHR QVKLVNIRNDDIADGNPKLTLGLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVE GYQGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVT RLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGVRANELQLRWQEYRELVLLLLQ WIRHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAKEADKNRSKVIYQSLEGAVQAG QLKIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRIVSKLQMEAGLCEEQLN QADALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLLFNDVQTLKDGRHPQGEQMYRR VYRLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRPELEDSTLRYLQDLLAWVEENQ RRIDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERARNDESQLSPATRGAYRDCLGR LDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEKEEEEVGFDWSDRNTNMAAKKE SYSALMRELEMKEKKIKEIQNTGDRLLREDHPARPTVESFQAALQTQWSWMLQLCCCIEA HLKENTAYFQFFSDVREAEEQLQKLQETLRRKYSCDRTITVTRLEDLLQDAQDEKEQLNE YKGHLSGLAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDYKQVEVTVHKGDQCQLVGPAQP SHWKVLSGSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQHQALVTLWHQLHVDMKSLLAW QSLSRDIQLIRSWSLVTFRTLKPEEQRQALRNLELHYQAFLRDSQDAGGFGPEDRLVAER EYGSCSRHYQQLLQSLEQGEQEESRCQRCISELKDIRLQLEACETRTVHRLRLPLDKDPA RECAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAAPTLRSELELTLGKLEQ VRSLSAIYLEKLKTISLVIRSTQGAEEVLKTHEEQLKEAQAVPATLQELEATKASLKKLR AQAEAQQPVFNTLRDELRGAQEVGERLQQRHGERDVEVERWRERVTQLLERWQAVLAQTD VRQRELEQLGRQLRYYRESADPLSAWLQDAKRRQEQIQAVPIANCQAAREQLRQEKALLE EIERHGEKVEECQKFAKQYINAIKDYELQLITYKAQLEPVASPAKKPKVQSGSESVIQEY VDLRTRYSELTTLTSQYIKFISETLRRMEEEERLAEQQRAEERERLAEVEAALEKQRQLA EAHAQAKAQAELEAQELQRRMQEEVARREEAAVDAQQQKRSIQEELQHLRQSSEAEIQAK AQQVEAAERSRMRIEEEIRVVRLQLETTERQRGGAEGELQALRARAEEAEAQKRQAQEEA ERLRRQVQDESQRKRQAEAELALRVKAEAEAAREKQRALQALDELRLQAEEAERRLRQAE AERARQVQVALETAQRSAEVELQSKRASFAEKTAQLERTLQEEHVTVAQLREEAERRAQQ QAEAERAREEAERELERWQLKANEALRLRLQAEEVAQQKSLAQADAEKQKEEAEREARRR GKAEEQAVRQRELAEQELEKQRQLAEGTAQQRLAAEQELIRLRAETEQGEQQRQLLEEEL ARLQHEATAATQKRQELEAELAKVRAEMEVLLASKARAEEESRSTSEKSKQRLEAEAGRF RELAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVLTEKLAAISEATRLKTEAEIAL KEKEAENERLRRLAEDEAFQRRRLEEQAALHKADIEERLAQLRKASESELERQKGLVEDT LRQRRQVEEEIMALKVSFEKAAAGKAELELELGRIRSNAEDTMRSKEQAELEAARQRQLA AEEEQRRREAEERVQRSLAAEEEAARQRKVALEEVERLKAKVEEARRLRERAEQESARQL QLAQEAAQKRLQAEEKAHAFVVQQREEELQQTLQQEQNMLDRLRSEAEAARRAAEEAEEA REQAEREAAQSRKQVEEAERLKQSAEEQAQAQAQAQAAAEKLRKEAEQEAARRAQAEQAA LKQKQAADAEMEKHKKFAEQTLRQKAQVEQELTTLRLQLEETDHQKSILDEELQRLKAEV TEAARQRSQVEEELFSVRVQMEELGKLKARIEAENRALILRDKDNTQRFLEEEAEKMKQV AEEAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQEATRLKAEAELLQQQK ELAQEQARRLQEDKEQMAQQLVEETQGFQRTLEAERQRQLEMSAEAERLKLRMAEMSRAQ ARAEEDAQRFRKQAEEIGEKLHRTELATQEKVTLVQTLEIQRQQSDHDAERLREAIAELE REKEKLKQEAKLLQLKSEEMQTVQQEQILQETQALQKSFLSEKDSLLQRERFIEQEKAKL EQLFQDEVAKAKQLREEQQRQQQQMEQEKQELMASMEEARRRQREAEEGVRRKQEELQHL EQQRQQQEKLLAEENQRLRERLQRLEEEHRAALAHSEIATTQAASTKALPNGRDAPDGPS VEAEPEYTFEGLRQKVPAQQLQEAGILSQEELQRLAQGHTTVAELTQREDVYRYLKGRSS IAGLLLKPTNEKLSVYTALQRQLLSPGTALILLEAQAASGFLLDPVRNRRLTVNEAVKEG VVGPELHHKLLSAERAVTGYKDPYTGEQISLFQAMKKDLIVRDHGVRLLEAQIATGGIID PVHSHRVPVDVAYKRGYFDEEMNRILSDPSDDTKGFFDPNTHENLTYLQLLERCVEDPET GLRLLPLTDKAAKGGELVYTDTEARDVFEKATVSAPFGKFQGRTVTIWEIINSEYFTAEQ RRDLLQQFRTGHITVEKIIKIVITVVEEHERKGQLCFEGLRALVPAAELLDSGVISHELY QQLQRGERSVREVAEADSVRQALRGTNVIAGVWLEEAGQKLSIYEALKKDLLQPEVAVAL LEAQAGTGHIIDPATSARLTVDEAVRAGLVGPELHEKLLSAEKAVTGYRDPYSGQSVSLF QALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRVPLDVAYARGYLDKETNRALTSPRDD ARVYHDPSTQEPVTYSQLQQRCRSDQLTGLSLLPLSEKAVRARQEEVYSELQARETLEQA KVEVPVGSFKGRAMTVWELISSEYFTEEQRQELLRQFRTGKVTVEKVIKIVITIVEEVET RRQERLSFSGLRAPVPASELLDAKILSRAQFDQLKDGKTSVKELSEVGSVRTLLQGSGCL AGIYLEDSKEKVTIYEAMRRGLLRPSTATLLLEAQAATGFLVDPVRNQRLYVHEAVKAGV VGPELHEKLLSAEKAVTGYKDPYSGNTISLFQAMKKGLVLRDHAIRLLEAQVATGGIIDP VHSHRLPVDVAYQRGYFDEEMNRVLADPSDDTKGFFDPNTHENLTYLQLLERCVEDPETG LRLLPLKGAEKTEVVETTQVYTEEETRRAFEETQIDIPGGGSHGGSSMSLWEVMQSNMIP EDQRARLMADFQAGRVTKERMIIIIIEIIEKTEIIRQQNLASYDYVRRRLTAEDLYEARI ISLETYNLFREGTKNLREVLEMESAWRYLYGTGAVAGVYLPGSRQTLTIYQALKKGLLSA EVARLLLEAQAATGFLLDPVKGERLTVDEAVRKGLVGPELHDRLLSAERAVTGYRDPYTE QTISLFQAMKKELIPAEEALRLLDAQLATGGIVDPRLGFHLPLEVAYQRGYLNKDTHDQL SEPSEVRSYVDPSTDERLSYTQLLKRCRRDDPSGQMLLLLSDARKLTFRGLRKQITVEEL VRSQVMDEATALQLQEGLTSIEEVTKNLQKFLEGTSCIAGVFVDATKERLSVYQAMKKGI IRPGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFKDKLLSAERAVTGYKDP YSGKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRLPVEVAYKRGLFDEEMN EILTDPSDDTKGFFDPNTEENLTYLQLMERCITDPQTGLCLLPLKEKKRERKTSSKSSVR KRRVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEITISSSDGVVKSMIIDR RSGRQYDIDDAITKNLIDRSALDQYRAGTLSITEFADMLSGNAGGFRSRSSSVGSSSSYP ISSAGPRTQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRNLVDNITGQRLLEAQAC TGGIIDPSTGERFPVTEAVNKGLVDKIMVDRINLAQKAFCGFEDPRTKTKMSAAQALKKG WLYYEAGQRFLEVQYLTGGLIEPDTPGRVSLDEALQRGTVDARTAQKLRDVSAYSKYLTC PKTKLKISYKDALDRSMVEEGTGLRLLEAAAQSSKGYYSPYSVSGSGSTAGSRTGSRTGS RAGSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGPSASLGGPESAVA >ENSMUSP00000127261.1 pep:known chromosome:GRCm38:15:76170976:76199406:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000169438.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLE NLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGVRANEL QLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAKEADKN RSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRI VSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLLFNDVQ TLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRPELEDS TLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERARNDES QLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEKEEEEV GFDWSDRNTNMAAKKESYSALMRELEMKEKKIKEIQNTGDRLLREDHPARPTVESFQAAL QTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLQKLQETLRRKYSCDRTITVTRL EDLLQDAQDEKEQLNEYKGHLSGLAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDYKQVEV TVHKGDQCQLVGPAQPSHWKVLSGSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQHQALV TLWHQLHVDMKSLLAWQSLSRDIQLIRSWSLVTFRTLKPEEQRQALRNLELHYQAFLRDS QDAGGFGPEDRLVAEREYGSCSRHYQQLLQSLEQGEQEESRCQRCISELKDIRLQLEACE TRTVHRLRLPLDKDPARECAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAA PTLRSELELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEVLKTHEEQLKEAQAVPA TLQELEATKASLKKLRAQAEAQQPVFNTLRDELRGAQEVGERLQQRHGERDVEVERWRER VTQLLERWQAVLAQTDVRQRELEQLGRQLRYYRESADPLSAWLQDAKRRQEQIQAVPIAN CQAAREQLRQEKALLEEIERHGEKVEECQKFAKQYINAIKDYELQLITYKAQLEPVASPA KKPKVQSGSESVIQEYVDLRTRYSELTTLTSQYIKFISETLRRMEEEERLAEQQRAEERE RLAEVEAALEKQRQLAEAHAQAKAQAELEAQELQRRMQEEVARREEAAVDAQQQKRSIQE ELQHLRQSSEAEIQAKAQQVEAAERSRMRIEEEIRVVRLQLETTERQRGGAEGELQALRA RAEEAEAQKRQAQEEAERLRRQVQDESQRKRQAEAELALRVKAEAEAAREKQRALQALDE LRLQAEEAERRLRQAEAERARQVQVALETAQRSAEVELQSKRASFAEKTAQLERTLQEEH VTVAQLREEAERRAQQQAEAERAREEAERELERWQLKANEALRLRLQAEEVAQQKSLAQA DAEKQKEEAEREARRRGKAEEQAVRQRELAEQELEKQRQLAEGTAQQRLAAEQELIRLRA ETEQGEQQRQLLEEELARLQHEATAATQKRQELEAELAKVRAEMEVLLASKARAEEESRS TSEKSKQRLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVLTEKLA AISEATRLKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAALHKADIEERLAQLRK ASESELERQKGLVEDTLRQRRQVEEEIMALKVSFEKAAAGKAELELELGRIRSNAEDTMR SKEQAELEAARQRQLAAEEEQRRREAEERVQRSLAAEEEAARQRKVALEEVERLKAKVEE ARRLRERAEQESARQLQLAQEAAQKRLQAEEKAHAFVVQQREEELQQTLQQEQNMLDRLR SEAEAARRAAEEAEEAREQAEREAAQSRKQVEEAERLKQSAEEQAQAQAQAQAAAEKLRK EAEQEAARRAQAEQAALKQKQAADAEMEKHKKFAEQTLRQKAQVEQELTTLRLQLEETDH QKSILDEELQRLKAEVTEAARQRSQVEEELFSVRVQMEELGKLKARIEAENRALILRDKD NTQRFLEEEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQ EATRLKAEAELLQQQKELAQEQARRLQEDKEQMAQQLVEETQGFQRTLEAERQRQLEMSA EAERLKLRMAEMSRAQARAEEDAQRFRKQAEEIGEKLHRTELATQEKVTLVQTLEIQRQQ SDHDAERLREAIAELEREKEKLKQEAKLLQLKSEEMQTVQQEQILQETQALQKSFLSEKD SLLQRERFIEQEKAKLEQLFQDEVAKAKQLREEQQRQQQQMEQEKQELMASMEEARRRQR EAEEGVRRKQEELQHLEQQRQQQEKLLAEENQRLRERLQRLEEEHRAALAHSEIATTQAA STKALPNGRDAPDGPSVEAEPEYTFEGLRQKVPAQQLQEAGILSQEELQRLAQGHTTVAE LTQREDVYRYLKGRSSIAGLLLKPTNEKLSVYTALQRQLLSPGTALILLEAQAASGFLLD PVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQISLFQAMKKDLIVRDH GVRLLEAQIATGGIIDPVHSHRVPVDVAYKRGYFDEEMNRILSDPSDDTKGFFDPNTHEN LTYLQLLERCVEDPETGLRLLPLTDKAAKGGELVYTDTEARDVFEKATVSAPFGKFQGRT VTIWEIINSEYFTAEQRRDLLQQFRTGHITVEKIIKIVITVVEEHERKGQLCFEGLRALV PAAELLDSGVISHELYQQLQRGERSVREVAEADSVRQALRGTNVIAGVWLEEAGQKLSIY EALKKDLLQPEVAVALLEAQAGTGHIIDPATSARLTVDEAVRAGLVGPELHEKLLSAEKA VTGYRDPYSGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRVPLDVAYARG YLDKETNRALTSPRDDARVYHDPSTQEPVTYSQLQQRCRSDQLTGLSLLPLSEKAVRARQ EEVYSELQARETLEQAKVEVPVGSFKGRAMTVWELISSEYFTEEQRQELLRQFRTGKVTV EKVIKIVITIVEEVETRRQERLSFSGLRAPVPASELLDAKILSRAQFDQLKDGKTSVKEL SEVGSVRTLLQGSGCLAGIYLEDSKEKVTIYEAMRRGLLRPSTATLLLEAQAATGFLVDP VRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGNTISLFQAMKKGLVLRDHA IRLLEAQVATGGIIDPVHSHRLPVDVAYQRGYFDEEMNRVLADPSDDTKGFFDPNTHENL TYLQLLERCVEDPETGLRLLPLKGAEKTEVVETTQVYTEEETRRAFEETQIDIPGGGSHG GSSMSLWEVMQSNMIPEDQRARLMADFQAGRVTKERMIIIIIEIIEKTEIIRQQNLASYD YVRRRLTAEDLYEARIISLETYNLFREGTKNLREVLEMESAWRYLYGTGAVAGVYLPGSR QTLTIYQALKKGLLSAEVARLLLEAQAATGFLLDPVKGERLTVDEAVRKGLVGPELHDRL LSAERAVTGYRDPYTEQTISLFQAMKKELIPAEEALRLLDAQLATGGIVDPRLGFHLPLE VAYQRGYLNKDTHDQLSEPSEVRSYVDPSTDERLSYTQLLKRCRRDDPSGQMLLLLSDAR KLTFRGLRKQITVEELVRSQVMDEATALQLQEGLTSIEEVTKNLQKFLEGTSCIAGVFVD ATKERLSVYQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFK DKLLSAERAVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRL PVEVAYKRGLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLMERCITDPQTGLCLLPL KEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEI TISSSDGVVKSMIIDRRSGRQYDIDDAITKNLIDRSALDQYRAGTLSITEFADMLSGNAG GFRSRSSSVGSSSSYPISSAGPRTQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRN LVDNITGQRLLEAQACTGGIIDPSTGERFPVTEAVNKGLVDKIMVDRINLAQKAFCGFED PRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRVSLDEALQRGTVDART AQKLRDVSAYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEAAAQSSKGYYSPYSVS GSGSTAGSRTGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGPSASLGG PESAVA >ENSMUSP00000126526.1 pep:known chromosome:GRCm38:15:76170976:76199835:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000169714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MEPSGSLFPSLVVVGHVVTLAAVWHWRKGHRQAKDEQDERDRVQKKTFTKWVNKHLIKAQ RHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNI RNDDIADGNPKLTLGLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRC DNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPED VDVPQPDEKSIITYVSSLYDAMPRVPGAQDGVRANELQLRWQEYRELVLLLLQWIRHHTA AFEERKFPSSFEEIEILWCQFLKFKETELPAKEADKNRSKVIYQSLEGAVQAGQLKIPPG YHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRIVSKLQMEAGLCEEQLNQADALLQ SDIRLLASGKVAQRAGEVERDLDKADGMIRLLFNDVQTLKDGRHPQGEQMYRRVYRLHER LVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRPELEDSTLRYLQDLLAWVEENQRRIDSAE WGVDLPSVEAQLGSHRGMHQSIEEFRAKIERARNDESQLSPATRGAYRDCLGRLDLQYAK LLNSSKARLRSLESLHGFVAAATKELMWLNEKEEEEVGFDWSDRNTNMAAKKESYSALMR ELEMKEKKIKEIQNTGDRLLREDHPARPTVESFQAALQTQWSWMLQLCCCIEAHLKENTA YFQFFSDVREAEEQLQKLQETLRRKYSCDRTITVTRLEDLLQDAQDEKEQLNEYKGHLSG LAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDYKQVEVTVHKGDQCQLVGPAQPSHWKVLS GSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQHQALVTLWHQLHVDMKSLLAWQSLSRDI QLIRSWSLVTFRTLKPEEQRQALRNLELHYQAFLRDSQDAGGFGPEDRLVAEREYGSCSR HYQQLLQSLEQGEQEESRCQRCISELKDIRLQLEACETRTVHRLRLPLDKDPARECAQRI AEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAAPTLRSELELTLGKLEQVRSLSAI YLEKLKTISLVIRSTQGAEEVLKTHEEQLKEAQAVPATLQELEATKASLKKLRAQAEAQQ PVFNTLRDELRGAQEVGERLQQRHGERDVEVERWRERVTQLLERWQAVLAQTDVRQRELE QLGRQLRYYRESADPLSAWLQDAKRRQEQIQAVPIANCQAAREQLRQEKALLEEIERHGE KVEECQKFAKQYINAIKDYELQLITYKAQLEPVASPAKKPKVQSGSESVIQEYVDLRTRY SELTTLTSQYIKFISETLRRMEEEERLAEQQRAEERERLAEVEAALEKQRQLAEAHAQAK AQAELEAQELQRRMQEEVARREEAAVDAQQQKRSIQEELQHLRQSSEAEIQAKAQQVEAA ERSRMRIEEEIRVVRLQLETTERQRGGAEGELQALRARAEEAEAQKRQAQEEAERLRRQV QDESQRKRQAEAELALRVKAEAEAAREKQRALQALDELRLQAEEAERRLRQAEAERARQV QVALETAQRSAEVELQSKRASFAEKTAQLERTLQEEHVTVAQLREEAERRAQQQAEAERA REEAERELERWQLKANEALRLRLQAEEVAQQKSLAQADAEKQKEEAEREARRRGKAEEQA VRQRELAEQELEKQRQLAEGTAQQRLAAEQELIRLRAETEQGEQQRQLLEEELARLQHEA TAATQKRQELEAELAKVRAEMEVLLASKARAEEESRSTSEKSKQRLEAEAGRFRELAEEA ARLRALAEEAKRQRQLAEEDAARQRAEAERVLTEKLAAISEATRLKTEAEIALKEKEAEN ERLRRLAEDEAFQRRRLEEQAALHKADIEERLAQLRKASESELERQKGLVEDTLRQRRQV EEEIMALKVSFEKAAAGKAELELELGRIRSNAEDTMRSKEQAELEAARQRQLAAEEEQRR REAEERVQRSLAAEEEAARQRKVALEEVERLKAKVEEARRLRERAEQESARQLQLAQEAA QKRLQAEEKAHAFVVQQREEELQQTLQQEQNMLDRLRSEAEAARRAAEEAEEAREQAERE AAQSRKQVEEAERLKQSAEEQAQAQAQAQAAAEKLRKEAEQEAARRAQAEQAALKQKQAA DAEMEKHKKFAEQTLRQKAQVEQELTTLRLQLEETDHQKSILDEELQRLKAEVTEAARQR SQVEEELFSVRVQMEELGKLKARIEAENRALILRDKDNTQRFLEEEAEKMKQVAEEAARL SVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQEATRLKAEAELLQQQKELAQEQA RRLQEDKEQMAQQLVEETQGFQRTLEAERQRQLEMSAEAERLKLRMAEMSRAQARAEEDA QRFRKQAEEIGEKLHRTELATQEKVTLVQTLEIQRQQSDHDAERLREAIAELEREKEKLK QEAKLLQLKSEEMQTVQQEQILQETQALQKSFLSEKDSLLQRERFIEQEKAKLEQLFQDE VAKAKQLREEQQRQQQQMEQEKQELMASMEEARRRQREAEEGVRRKQEELQHLEQQRQQQ EKLLAEENQRLRERLQRLEEEHRAALAHSEIATTQAASTKALPNGRDAPDGPSVEAEPEY TFEGLRQKVPAQQLQEAGILSQEELQRLAQGHTTVAELTQREDVYRYLKGRSSIAGLLLK PTNEKLSVYTALQRQLLSPGTALILLEAQAASGFLLDPVRNRRLTVNEAVKEGVVGPELH HKLLSAERAVTGYKDPYTGEQISLFQAMKKDLIVRDHGVRLLEAQIATGGIIDPVHSHRV PVDVAYKRGYFDEEMNRILSDPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPL TDKAAKGGELVYTDTEARDVFEKATVSAPFGKFQGRTVTIWEIINSEYFTAEQRRDLLQQ FRTGHITVEKIIKIVITVVEEHERKGQLCFEGLRALVPAAELLDSGVISHELYQQLQRGE RSVREVAEADSVRQALRGTNVIAGVWLEEAGQKLSIYEALKKDLLQPEVAVALLEAQAGT GHIIDPATSARLTVDEAVRAGLVGPELHEKLLSAEKAVTGYRDPYSGQSVSLFQALKKGL IPREQGLRLLDAQLSTGGIVDPSKSHRVPLDVAYARGYLDKETNRALTSPRDDARVYHDP STQEPVTYSQLQQRCRSDQLTGLSLLPLSEKAVRARQEEVYSELQARETLEQAKVEVPVG SFKGRAMTVWELISSEYFTEEQRQELLRQFRTGKVTVEKVIKIVITIVEEVETRRQERLS FSGLRAPVPASELLDAKILSRAQFDQLKDGKTSVKELSEVGSVRTLLQGSGCLAGIYLED SKEKVTIYEAMRRGLLRPSTATLLLEAQAATGFLVDPVRNQRLYVHEAVKAGVVGPELHE KLLSAEKAVTGYKDPYSGNTISLFQAMKKGLVLRDHAIRLLEAQVATGGIIDPVHSHRLP VDVAYQRGYFDEEMNRVLADPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLK GAEKTEVVETTQVYTEEETRRAFEETQIDIPGGGSHGGSSMSLWEVMQSNMIPEDQRARL MADFQAGRVTKERMIIIIIEIIEKTEIIRQQNLASYDYVRRRLTAEDLYEARIISLETYN LFREGTKNLREVLEMESAWRYLYGTGAVAGVYLPGSRQTLTIYQALKKGLLSAEVARLLL EAQAATGFLLDPVKGERLTVDEAVRKGLVGPELHDRLLSAERAVTGYRDPYTEQTISLFQ AMKKELIPAEEALRLLDAQLATGGIVDPRLGFHLPLEVAYQRGYLNKDTHDQLSEPSEVR SYVDPSTDERLSYTQLLKRCRRDDPSGQMLLLLSDARKLTFRGLRKQITVEELVRSQVMD EATALQLQEGLTSIEEVTKNLQKFLEGTSCIAGVFVDATKERLSVYQAMKKGIIRPGTAF ELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFKDKLLSAERAVTGYKDPYSGKLIS LFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRLPVEVAYKRGLFDEEMNEILTDPS DDTKGFFDPNTEENLTYLQLMERCITDPQTGLCLLPLKEKKRERKTSSKSSVRKRRVVIV DPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEITISSSDGVVKSMIIDRRSGRQYD IDDAITKNLIDRSALDQYRAGTLSITEFADMLSGNAGGFRSRSSSVGSSSSYPISSAGPR TQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRNLVDNITGQRLLEAQACTGGIIDP STGERFPVTEAVNKGLVDKIMVDRINLAQKAFCGFEDPRTKTKMSAAQALKKGWLYYEAG QRFLEVQYLTGGLIEPDTPGRVSLDEALQRGTVDARTAQKLRDVSAYSKYLTCPKTKLKI SYKDALDRSMVEEGTGLRLLEAAAQSSKGYYSPYSVSGSGSTAGSRTGSRTGSRAGSRRG SFDATGSGFSMTFSSSSYSSSGYGRRYASGPSASLGGPESAVA >ENSMUSP00000126068.1 pep:known chromosome:GRCm38:15:76170976:76200607:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000169108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MKIVPDERDRVQKKTFTKWVNKHLIKAQRHISDLYEDLRDGHNLISLLEVLSGDSLPREK GRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTLGLIWTIILHFQISDIQVS GQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYR QTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGV RANELQLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAK EADKNRSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLE CLQRIVSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLL FNDVQTLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRP ELEDSTLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERA RNDESQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEK EEEEVGFDWSDRNTNMAAKKESYSALMRELEMKEKKIKEIQNTGDRLLREDHPARPTVES FQAALQTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLQKLQETLRRKYSCDRTI TVTRLEDLLQDAQDEKEQLNEYKGHLSGLAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDY KQVEVTVHKGDQCQLVGPAQPSHWKVLSGSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQ HQALVTLWHQLHVDMKSLLAWQSLSRDIQLIRSWSLVTFRTLKPEEQRQALRNLELHYQA FLRDSQDAGGFGPEDRLVAEREYGSCSRHYQQLLQSLEQGEQEESRCQRCISELKDIRLQ LEACETRTVHRLRLPLDKDPARECAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPE PSPAAPTLRSELELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEVLKTHEEQLKEA QAVPATLQELEATKASLKKLRAQAEAQQPVFNTLRDELRGAQEVGERLQQRHGERDVEVE RWRERVTQLLERWQAVLAQTDVRQRELEQLGRQLRYYRESADPLSAWLQDAKRRQEQIQA VPIANCQAAREQLRQEKALLEEIERHGEKVEECQKFAKQYINAIKDYELQLITYKAQLEP VASPAKKPKVQSGSESVIQEYVDLRTRYSELTTLTSQYIKFISETLRRMEEEERLAEQQR AEERERLAEVEAALEKQRQLAEAHAQAKAQAELEAQELQRRMQEEVARREEAAVDAQQQK RSIQEELQHLRQSSEAEIQAKAQQVEAAERSRMRIEEEIRVVRLQLETTERQRGGAEGEL QALRARAEEAEAQKRQAQEEAERLRRQVQDESQRKRQAEAELALRVKAEAEAAREKQRAL QALDELRLQAEEAERRLRQAEAERARQVQVALETAQRSAEVELQSKRASFAEKTAQLERT LQEEHVTVAQLREEAERRAQQQAEAERAREEAERELERWQLKANEALRLRLQAEEVAQQK SLAQADAEKQKEEAEREARRRGKAEEQAVRQRELAEQELEKQRQLAEGTAQQRLAAEQEL IRLRAETEQGEQQRQLLEEELARLQHEATAATQKRQELEAELAKVRAEMEVLLASKARAE EESRSTSEKSKQRLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVL TEKLAAISEATRLKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAALHKADIEERL AQLRKASESELERQKGLVEDTLRQRRQVEEEIMALKVSFEKAAAGKAELELELGRIRSNA EDTMRSKEQAELEAARQRQLAAEEEQRRREAEERVQRSLAAEEEAARQRKVALEEVERLK AKVEEARRLRERAEQESARQLQLAQEAAQKRLQAEEKAHAFVVQQREEELQQTLQQEQNM LDRLRSEAEAARRAAEEAEEAREQAEREAAQSRKQVEEAERLKQSAEEQAQAQAQAQAAA EKLRKEAEQEAARRAQAEQAALKQKQAADAEMEKHKKFAEQTLRQKAQVEQELTTLRLQL EETDHQKSILDEELQRLKAEVTEAARQRSQVEEELFSVRVQMEELGKLKARIEAENRALI LRDKDNTQRFLEEEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEK MQAVQEATRLKAEAELLQQQKELAQEQARRLQEDKEQMAQQLVEETQGFQRTLEAERQRQ LEMSAEAERLKLRMAEMSRAQARAEEDAQRFRKQAEEIGEKLHRTELATQEKVTLVQTLE IQRQQSDHDAERLREAIAELEREKEKLKQEAKLLQLKSEEMQTVQQEQILQETQALQKSF LSEKDSLLQRERFIEQEKAKLEQLFQDEVAKAKQLREEQQRQQQQMEQEKQELMASMEEA RRRQREAEEGVRRKQEELQHLEQQRQQQEKLLAEENQRLRERLQRLEEEHRAALAHSEIA TTQAASTKALPNGRDAPDGPSVEAEPEYTFEGLRQKVPAQQLQEAGILSQEELQRLAQGH TTVAELTQREDVYRYLKGRSSIAGLLLKPTNEKLSVYTALQRQLLSPGTALILLEAQAAS GFLLDPVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQISLFQAMKKDL IVRDHGVRLLEAQIATGGIIDPVHSHRVPVDVAYKRGYFDEEMNRILSDPSDDTKGFFDP NTHENLTYLQLLERCVEDPETGLRLLPLTDKAAKGGELVYTDTEARDVFEKATVSAPFGK FQGRTVTIWEIINSEYFTAEQRRDLLQQFRTGHITVEKIIKIVITVVEEHERKGQLCFEG LRALVPAAELLDSGVISHELYQQLQRGERSVREVAEADSVRQALRGTNVIAGVWLEEAGQ KLSIYEALKKDLLQPEVAVALLEAQAGTGHIIDPATSARLTVDEAVRAGLVGPELHEKLL SAEKAVTGYRDPYSGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRVPLDV AYARGYLDKETNRALTSPRDDARVYHDPSTQEPVTYSQLQQRCRSDQLTGLSLLPLSEKA VRARQEEVYSELQARETLEQAKVEVPVGSFKGRAMTVWELISSEYFTEEQRQELLRQFRT GKVTVEKVIKIVITIVEEVETRRQERLSFSGLRAPVPASELLDAKILSRAQFDQLKDGKT SVKELSEVGSVRTLLQGSGCLAGIYLEDSKEKVTIYEAMRRGLLRPSTATLLLEAQAATG FLVDPVRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGNTISLFQAMKKGLV LRDHAIRLLEAQVATGGIIDPVHSHRLPVDVAYQRGYFDEEMNRVLADPSDDTKGFFDPN THENLTYLQLLERCVEDPETGLRLLPLKGAEKTEVVETTQVYTEEETRRAFEETQIDIPG GGSHGGSSMSLWEVMQSNMIPEDQRARLMADFQAGRVTKERMIIIIIEIIEKTEIIRQQN LASYDYVRRRLTAEDLYEARIISLETYNLFREGTKNLREVLEMESAWRYLYGTGAVAGVY LPGSRQTLTIYQALKKGLLSAEVARLLLEAQAATGFLLDPVKGERLTVDEAVRKGLVGPE LHDRLLSAERAVTGYRDPYTEQTISLFQAMKKELIPAEEALRLLDAQLATGGIVDPRLGF HLPLEVAYQRGYLNKDTHDQLSEPSEVRSYVDPSTDERLSYTQLLKRCRRDDPSGQMLLL LSDARKLTFRGLRKQITVEELVRSQVMDEATALQLQEGLTSIEEVTKNLQKFLEGTSCIA GVFVDATKERLSVYQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIV GPEFKDKLLSAERAVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPE ESHRLPVEVAYKRGLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLMERCITDPQTGL CLLPLKEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQEC EWEEITISSSDGVVKSMIIDRRSGRQYDIDDAITKNLIDRSALDQYRAGTLSITEFADML SGNAGGFRSRSSSVGSSSSYPISSAGPRTQLASWSDPTEETGPVAGILDTETLEKVSITE AMHRNLVDNITGQRLLEAQACTGGIIDPSTGERFPVTEAVNKGLVDKIMVDRINLAQKAF CGFEDPRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRVSLDEALQRGT VDARTAQKLRDVSAYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEAAAQSSKGYYS PYSVSGSGSTAGSRTGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGPS ASLGGPESAVA >ENSMUSP00000075772.5 pep:known chromosome:GRCm38:15:76170976:76206322:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000076442.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MVAGMLMPLDRLRAIYEVLFREGVMVAKKDRRPRSLHPHVPGVTNLQVMRAMASLKARGL VRETFAWCHFYWYLTNEGIDHLRQYLHLPPEIVPASLQRVRRPVAMVIPARRRSPHVQTM QGPLGCPPKRGPLPAEDPAREERQVYRRKEREEGAPETPVVSATTVGTLARPGPEPAPAT DERDRVQKKTFTKWVNKHLIKAQRHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRF HKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTLGLIWTIILHFQISDIQVSGQSED MTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLE NLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGVRANEL QLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAKEADKN RSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRI VSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLLFNDVQ TLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRPELEDS TLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERARNDES QLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEKEEEEV GFDWSDRNTNMAAKKESYSALMRELEMKEKKIKEIQNTGDRLLREDHPARPTVESFQAAL QTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLQKLQETLRRKYSCDRTITVTRL EDLLQDAQDEKEQLNEYKGHLSGLAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDYKQVEV TVHKGDQCQLVGPAQPSHWKVLSGSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQHQALV TLWHQLHVDMKSLLAWQSLSRDIQLIRSWSLVTFRTLKPEEQRQALRNLELHYQAFLRDS QDAGGFGPEDRLVAEREYGSCSRHYQQLLQSLEQGEQEESRCQRCISELKDIRLQLEACE TRTVHRLRLPLDKDPARECAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAA PTLRSELELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEVLKTHEEQLKEAQAVPA TLQELEATKASLKKLRAQAEAQQPVFNTLRDELRGAQEVGERLQQRHGERDVEVERWRER VTQLLERWQAVLAQTDVRQRELEQLGRQLRYYRESADPLSAWLQDAKRRQEQIQAVPIAN CQAAREQLRQEKALLEEIERHGEKVEECQKFAKQYINAIKDYELQLITYKAQLEPVASPA KKPKVQSGSESVIQEYVDLRTRYSELTTLTSQYIKFISETLRRMEEEERLAEQQRAEERE RLAEVEAALEKQRQLAEAHAQAKAQAELEAQELQRRMQEEVARREEAAVDAQQQKRSIQE ELQHLRQSSEAEIQAKAQQVEAAERSRMRIEEEIRVVRLQLETTERQRGGAEGELQALRA RAEEAEAQKRQAQEEAERLRRQVQDESQRKRQAEAELALRVKAEAEAAREKQRALQALDE LRLQAEEAERRLRQAEAERARQVQVALETAQRSAEVELQSKRASFAEKTAQLERTLQEEH VTVAQLREEAERRAQQQAEAERAREEAERELERWQLKANEALRLRLQAEEVAQQKSLAQA DAEKQKEEAEREARRRGKAEEQAVRQRELAEQELEKQRQLAEGTAQQRLAAEQELIRLRA ETEQGEQQRQLLEEELARLQHEATAATQKRQELEAELAKVRAEMEVLLASKARAEEESRS TSEKSKQRLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVLTEKLA AISEATRLKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAALHKADIEERLAQLRK ASESELERQKGLVEDTLRQRRQVEEEIMALKVSFEKAAAGKAELELELGRIRSNAEDTMR SKEQAELEAARQRQLAAEEEQRRREAEERVQRSLAAEEEAARQRKVALEEVERLKAKVEE ARRLRERAEQESARQLQLAQEAAQKRLQAEEKAHAFVVQQREEELQQTLQQEQNMLDRLR SEAEAARRAAEEAEEAREQAEREAAQSRKQVEEAERLKQSAEEQAQAQAQAQAAAEKLRK EAEQEAARRAQAEQAALKQKQAADAEMEKHKKFAEQTLRQKAQVEQELTTLRLQLEETDH QKSILDEELQRLKAEVTEAARQRSQVEEELFSVRVQMEELGKLKARIEAENRALILRDKD NTQRFLEEEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQ EATRLKAEAELLQQQKELAQEQARRLQEDKEQMAQQLVEETQGFQRTLEAERQRQLEMSA EAERLKLRMAEMSRAQARAEEDAQRFRKQAEEIGEKLHRTELATQEKVTLVQTLEIQRQQ SDHDAERLREAIAELEREKEKLKQEAKLLQLKSEEMQTVQQEQILQETQALQKSFLSEKD SLLQRERFIEQEKAKLEQLFQDEVAKAKQLREEQQRQQQQMEQEKQELMASMEEARRRQR EAEEGVRRKQEELQHLEQQRQQQEKLLAEENQRLRERLQRLEEEHRAALAHSEIATTQAA STKALPNGRDAPDGPSVEAEPEYTFEGLRQKVPAQQLQEAGILSQEELQRLAQGHTTVAE LTQREDVYRYLKGRSSIAGLLLKPTNEKLSVYTALQRQLLSPGTALILLEAQAASGFLLD PVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQISLFQAMKKDLIVRDH GVRLLEAQIATGGIIDPVHSHRVPVDVAYKRGYFDEEMNRILSDPSDDTKGFFDPNTHEN LTYLQLLERCVEDPETGLRLLPLTDKAAKGGELVYTDTEARDVFEKATVSAPFGKFQGRT VTIWEIINSEYFTAEQRRDLLQQFRTGHITVEKIIKIVITVVEEHERKGQLCFEGLRALV PAAELLDSGVISHELYQQLQRGERSVREVAEADSVRQALRGTNVIAGVWLEEAGQKLSIY EALKKDLLQPEVAVALLEAQAGTGHIIDPATSARLTVDEAVRAGLVGPELHEKLLSAEKA VTGYRDPYSGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRVPLDVAYARG YLDKETNRALTSPRDDARVYHDPSTQEPVTYSQLQQRCRSDQLTGLSLLPLSEKAVRARQ EEVYSELQARETLEQAKVEVPVGSFKGRAMTVWELISSEYFTEEQRQELLRQFRTGKVTV EKVIKIVITIVEEVETRRQERLSFSGLRAPVPASELLDAKILSRAQFDQLKDGKTSVKEL SEVGSVRTLLQGSGCLAGIYLEDSKEKVTIYEAMRRGLLRPSTATLLLEAQAATGFLVDP VRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGNTISLFQAMKKGLVLRDHA IRLLEAQVATGGIIDPVHSHRLPVDVAYQRGYFDEEMNRVLADPSDDTKGFFDPNTHENL TYLQLLERCVEDPETGLRLLPLKGAEKTEVVETTQVYTEEETRRAFEETQIDIPGGGSHG GSSMSLWEVMQSNMIPEDQRARLMADFQAGRVTKERMIIIIIEIIEKTEIIRQQNLASYD YVRRRLTAEDLYEARIISLETYNLFREGTKNLREVLEMESAWRYLYGTGAVAGVYLPGSR QTLTIYQALKKGLLSAEVARLLLEAQAATGFLLDPVKGERLTVDEAVRKGLVGPELHDRL LSAERAVTGYRDPYTEQTISLFQAMKKELIPAEEALRLLDAQLATGGIVDPRLGFHLPLE VAYQRGYLNKDTHDQLSEPSEVRSYVDPSTDERLSYTQLLKRCRRDDPSGQMLLLLSDAR KLTFRGLRKQITVEELVRSQVMDEATALQLQEGLTSIEEVTKNLQKFLEGTSCIAGVFVD ATKERLSVYQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFK DKLLSAERAVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRL PVEVAYKRGLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLMERCITDPQTGLCLLPL KEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEI TISSSDGVVKSMIIDRRSGRQYDIDDAITKNLIDRSALDQYRAGTLSITEFADMLSGNAG GFRSRSSSVGSSSSYPISSAGPRTQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRN LVDNITGQRLLEAQACTGGIIDPSTGERFPVTEAVNKGLVDKIMVDRINLAQKAFCGFED PRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRVSLDEALQRGTVDART AQKLRDVSAYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEAAAQSSKGYYSPYSVS GSGSTAGSRTGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGPSASLGG PESAVA >ENSMUSP00000129543.1 pep:known chromosome:GRCm38:15:76170976:76208653:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000171562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLE NLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGVRANEL QLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAKEADKN RSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRI VSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLLFNDVQ TLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRPELEDS TLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERARNDES QLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEKEEEEV GFDWSDRNTNMAAKKESYSALMRELEMKEKKIKEIQNTGDRLLREDHPARPTVESFQAAL QTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLQKLQETLRRKYSCDRTITVTRL EDLLQDAQDEKEQLNEYKGHLSGLAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDYKQVEV TVHKGDQCQLVGPAQPSHWKVLSGSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQHQALV TLWHQLHVDMKSLLAWQSLSRDIQLIRSWSLVTFRTLKPEEQRQALRNLELHYQAFLRDS QDAGGFGPEDRLVAEREYGSCSRHYQQLLQSLEQGEQEESRCQRCISELKDIRLQLEACE TRTVHRLRLPLDKDPARECAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAA PTLRSELELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEVLKTHEEQLKEAQAVPA TLQELEATKASLKKLRAQAEAQQPVFNTLRDELRGAQEVGERLQQRHGERDVEVERWRER VTQLLERWQAVLAQTDVRQRELEQLGRQLRYYRESADPLSAWLQDAKRRQEQIQAVPIAN CQAAREQLRQEKALLEEIERHGEKVEECQKFAKQYINAIKDYELQLITYKAQLEPVASPA KKPKVQSGSESVIQEYVDLRTRYSELTTLTSQYIKFISETLRRMEEEERLAEQQRAEERE RLAEVEAALEKQRQLAEAHAQAKAQAELEAQELQRRMQEEVARREEAAVDAQQQKRSIQE ELQHLRQSSEAEIQAKAQQVEAAERSRMRIEEEIRVVRLQLETTERQRGGAEGELQALRA RAEEAEAQKRQAQEEAERLRRQVQDESQRKRQAEAELALRVKAEAEAAREKQRALQALDE LRLQAEEAERRLRQAEAERARQVQVALETAQRSAEVELQSKRASFAEKTAQLERTLQEEH VTVAQLREEAERRAQQQAEAERAREEAERELERWQLKANEALRLRLQAEEVAQQKSLAQA DAEKQKEEAEREARRRGKAEEQAVRQRELAEQELEKQRQLAEGTAQQRLAAEQELIRLRA ETEQGEQQRQLLEEELARLQHEATAATQKRQELEAELAKVRAEMEVLLASKARAEEESRS TSEKSKQRLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVLTEKLA AISEATRLKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAALHKADIEERLAQLRK ASESELERQKGLVEDTLRQRRQVEEEIMALKVSFEKAAAGKAELELELGRIRSNAEDTMR SKEQAELEAARQRQLAAEEEQRRREAEERVQRSLAAEEEAARQRKVALEEVERLKAKVEE ARRLRERAEQESARQLQLAQEAAQKRLQAEEKAHAFVVQQREEELQQTLQQEQNMLDRLR SEAEAARRAAEEAEEAREQAEREAAQSRKQVEEAERLKQSAEEQAQAQAQAQAAAEKLRK EAEQEAARRAQAEQAALKQKQAADAEMEKHKKFAEQTLRQKAQVEQELTTLRLQLEETDH QKSILDEELQRLKAEVTEAARQRSQVEEELFSVRVQMEELGKLKARIEAENRALILRDKD NTQRFLEEEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQ EATRLKAEAELLQQQKELAQEQARRLQEDKEQMAQQLVEETQGFQRTLEAERQRQLEMSA EAERLKLRMAEMSRAQARAEEDAQRFRKQAEEIGEKLHRTELATQEKVTLVQTLEIQRQQ SDHDAERLREAIAELEREKEKLKQEAKLLQLKSEEMQTVQQEQILQETQALQKSFLSEKD SLLQRERFIEQEKAKLEQLFQDEVAKAKQLREEQQRQQQQMEQEKQELMASMEEARRRQR EAEEGVRRKQEELQHLEQQRQQQEKLLAEENQRLRERLQRLEEEHRAALAHSEIATTQAA STKALPNGRDAPDGPSVEAEPEYTFEGLRQKVPAQQLQEAGILSQEELQRLAQGHTTVAE LTQREDVYRYLKGRSSIAGLLLKPTNEKLSVYTALQRQLLSPGTALILLEAQAASGFLLD PVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQISLFQAMKKDLIVRDH GVRLLEAQIATGGIIDPVHSHRVPVDVAYKRGYFDEEMNRILSDPSDDTKGFFDPNTHEN LTYLQLLERCVEDPETGLRLLPLTDKAAKGGELVYTDTEARDVFEKATVSAPFGKFQGRT VTIWEIINSEYFTAEQRRDLLQQFRTGHITVEKIIKIVITVVEEHERKGQLCFEGLRALV PAAELLDSGVISHELYQQLQRGERSVREVAEADSVRQALRGTNVIAGVWLEEAGQKLSIY EALKKDLLQPEVAVALLEAQAGTGHIIDPATSARLTVDEAVRAGLVGPELHEKLLSAEKA VTGYRDPYSGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRVPLDVAYARG YLDKETNRALTSPRDDARVYHDPSTQEPVTYSQLQQRCRSDQLTGLSLLPLSEKAVRARQ EEVYSELQARETLEQAKVEVPVGSFKGRAMTVWELISSEYFTEEQRQELLRQFRTGKVTV EKVIKIVITIVEEVETRRQERLSFSGLRAPVPASELLDAKILSRAQFDQLKDGKTSVKEL SEVGSVRTLLQGSGCLAGIYLEDSKEKVTIYEAMRRGLLRPSTATLLLEAQAATGFLVDP VRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGNTISLFQAMKKGLVLRDHA IRLLEAQVATGGIIDPVHSHRLPVDVAYQRGYFDEEMNRVLADPSDDTKGFFDPNTHENL TYLQLLERCVEDPETGLRLLPLKGAEKTEVVETTQVYTEEETRRAFEETQIDIPGGGSHG GSSMSLWEVMQSNMIPEDQRARLMADFQAGRVTKERMIIIIIEIIEKTEIIRQQNLASYD YVRRRLTAEDLYEARIISLETYNLFREGTKNLREVLEMESAWRYLYGTGAVAGVYLPGSR QTLTIYQALKKGLLSAEVARLLLEAQAATGFLLDPVKGERLTVDEAVRKGLVGPELHDRL LSAERAVTGYRDPYTEQTISLFQAMKKELIPAEEALRLLDAQLATGGIVDPRLGFHLPLE VAYQRGYLNKDTHDQLSEPSEVRSYVDPSTDERLSYTQLLKRCRRDDPSGQMLLLLSDAR KLTFRGLRKQITVEELVRSQVMDEATALQLQEGLTSIEEVTKNLQKFLEGTSCIAGVFVD ATKERLSVYQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFK DKLLSAERAVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRL PVEVAYKRGLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLMERCITDPQTGLCLLPL KEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEI TISSSDGVVKSMIIDRRSGRQYDIDDAITKNLIDRSALDQYRAGTLSITEFADMLSGNAG GFRSRSSSVGSSSSYPISSAGPRTQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRN LVDNITGQRLLEAQACTGGIIDPSTGERFPVTEAVNKGLVDKIMVDRINLAQKAFCGFED PRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRVSLDEALQRGTVDART AQKLRDVSAYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEAAAQSSKGYYSPYSVS GSGSTAGSRTGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGPSASLGG PESAVA >ENSMUSP00000130048.1 pep:known chromosome:GRCm38:15:76175380:76188043:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000165210.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] XWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLQKLQETLRRKYSCDRTITVTRLEDLL QDAQDEKEQLNEYKGHLSGLAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDYKQVEVTVHK GDQCQLVGPAQPSHWKVLSGSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQHQALVTLWH QLHVDMKSLLAWQSLSRDIQLIRSWSLVTFRTLKPEEQRQALRNLELHYQAFLRDSQDAG GFGPEDRLVAEREYGSCSRHYQQLLQSLEQGEQEESRCQRCISELKDIRLQLEACETRTV HRLRLPLDKDPARECAQRIAEQQAAQAEVEGLGKGVARLSAEAEKVLALPEPSPAAPTLR SELELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEVLKTHEEQLKEAQAVPATLQE LEATKASLKKLRAQAEAQQPVFNTLRDELRGAQEVGERLQQRHGERDVEVERWRERVTQL LERWQAVLAQTDVRQRELEQLGRQLRYYRESADPLSAWLQDAKRRQEQIQAVPIANCQAA REQLRQEKALLEEIERHGEKVEECQKFAKQYINAIKDYELQLITYKAQLEPVASPAKKPK VQSGSESVIQEYVDLRTRYSELTTLTSQYIKFISETLRRMEEEEMQTVQQEQILQETQAL QKSFLSEKDSLLQRERFIEQEKAKLEQLFQDEVAKAKQLREEQQRQQQQMEQEKQELMAS MEEARRRQREAEEGVRRKQEELQHLEQQRQQQEKLLAEENQRLRERLQRLEEEHRAALAH SEIATTQAASTKALPNGRDAPDGPSVEAEPEYTFEGLRQKVPAQQLQEAGILSQEELQRL AQGHTTVAELTQREDVYRYLKGRSSIAGLLLKPTNEKLSVYTALQRQLLSPGTALILLEA QAASGFLLDPVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQISLFQAM KKDLIVRDHGVRLLEAQIATGGIIDPVHSHRVPVDVAYKRGYFDEEMNRILSDPSDDTKG FFDPNTHENLTYLQLLERCVEDPETGLRLLPLTDKAAKGGELVYTDTEARDVFEKATVSA PFGKFQGRTVTIWEIINSEYFTAEQRRDLLQQFRTGHITVEKIIKIVITVVEEHERKGQL CFEGLRALVPAAELLDSGVISHELYQQLQRGERSVREVAEADSVRQALRGTNVIAGVWLE EAGQKLSIYEALKKDLLQPEVAVALLEAQAGTGHIIDPATSARLTVDEAVRAGLVGPELH EKLLSAEKAVTGYRDPYSGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRV PLDVAYARGYLDKETNRALTSPRDDARVYHDPSTQEPVTYSQLQQRCRSDQLTGLSLLPL SEKAVRARQEEVYSELQARETLEQAKVEVPVGSFKGRAMTVWELISSEYFTEEQRQELLR QFRTGKVTVEKVIKIVITIVEEVETRRQERLSFSGLRAPVPASELLDAKILSRAQFDQLK DGKTSVKELSEVGSVRTLLQGSGCLAGIYLEDSKEKVTIYEAMRRGLLRPSTATLLLEAQ AATGFLVDPVRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGNTISLFQAMK KGLVLRDHAIRLLEAQVATGGIIDPV >ENSMUSP00000126936.1 pep:known chromosome:GRCm38:15:76186611:76206321:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000171634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MVAGMLMPLDRLRAIYEVLFREGVMVAKKDRRPRSLHPHVPGVTNLQVMRAMASLKARGL VRETFAWCHFYWYLTNEGIDHLRQYLHLPPEIVPASLQRVRRPVAMVIPARRRSPHVQTM QGPLGCPPKRGPLPAEDPAREERQVYRRKEREEGAPETPVVSATTVGTLARPGPEPAPAT DERDRVQKKTFTKWVNKHLIKHWRAEAQRHISDLYEDLRDGHNLISLLEVLSGDSLPREK GRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTLGLIWTIILHFQISDIQVS GQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYR QTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGV RANELQLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAK EADKNRSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLE CLQRIVSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLL FNDVQTLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRP ELEDSTLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERA RNDESQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEK EEEEVGFDWSDRNTNMAAKKESYSALMRELEMKEKKIKEIQNTGDRLLREDHPARPTVES FQAALQTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLQKLQETLRRKYSCDRTI TVTRLEDLLQDAQDEKEQLNEYKGHLSGLAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDY KQVE >ENSMUSP00000127253.1 pep:known chromosome:GRCm38:15:76188464:76199835:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000165453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MEPSGSLFPSLVVVGHVVTLAAVWHWRKGHRQAKDEQDERDRVQKKTFTKWVNKHLIKHW RAEAQRHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRFHKLQNVQIALDYLRHRQV KLVNIRNDDIADGNPKLTLGLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGY QGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRL LDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGVRANELQLRWQEYRELVLLLLQWI RHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAKEADKNRSKVIYQSLEGAVQAGQL KIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRIVSKLQMEAGLCEEQLNQA DALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLLFNDVQTLKDGRHPQGEQMYRRVY RLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRPELEDSTLRYLQDLLAWVEENQRR IDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERARNDESQLSPATRGAYRDCLGRLD LQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEKEEEEVGFDWSDRNTNMAAKKESY S >ENSMUSP00000126912.1 pep:known chromosome:GRCm38:15:76188464:76208253:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000169289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLE NLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGVRANEL QLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAKEADKN RSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRI VSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLLFNDVQ TLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRPELEDS TLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERARNDES QLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEKEEEEV GFDWSDRNTNMAAKKESYS >ENSMUSP00000127867.1 pep:known chromosome:GRCm38:15:76188464:76232045:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000167754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MSGEDSEVRPVAVAEGSSNGSSGSPSPGDTLPWNLGKTQRSRRSGGGSVGNGSVLDPAER AVIRIADERDRVQKKTFTKWVNKHLIKHWRAEAQRHISDLYEDLRDGHNLISLLEVLSGD SLPRERDVIRSVRLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTL GLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFN AIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITY VSSLYDAMPRVPGAQDGVRANELQLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEI EILWCQFLKFKETELPAKEADKNRSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLH VAILEREKQLRSEFERLECLQRIVSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQR AGEVERDLDKADGMIRLLFNDVQTLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKA GVGAPVTQVTLQSTQRRPELEDSTLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGS HRGMHQSIEEFRAKIERARNDESQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLES LHGFVAAATKELMWLNEKEEEEVGFDWSDRNTNMAAKKESYS >ENSMUSP00000130915.1 pep:known chromosome:GRCm38:15:76188541:76232570:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000166428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MSGEDSEVRPVAVAEGSSNGSSGSPSPGDTLPWNLGKTQRSRRSGGGSVGNGSVLDPAER AVIRIADERDRVQKKTFTKWVNKHLIKHWRAEAQRHISDLYEDLRDGHNLISLLEVLSGD SLPRERDVIRSVRLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTL GLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFN AIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITY VSSLYDAMPRVPGAQDGVRANELQLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEI EILWCQFLKFKETELPAKEADKNRSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLH VAILEREKQLRSEFERLECLQRIVSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQR AGEVERDLDKADGMIRLLFNDVQTLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKA GVGAPVTQVTLQSTQRRPELEDSTLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGS HRGMHQSIEEFRAKIERARNDESQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLES LHGFVAAATKELMWLN >ENSMUSP00000130948.1 pep:known chromosome:GRCm38:15:76194393:76200607:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000170728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MKIVPDERDRVQKKTFTKWVNKHLIKHWRAEAQRHISDLYEDLR >ENSMUSP00000131946.1 pep:known chromosome:GRCm38:15:76194393:76209165:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000170915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MDPSRAIQHEISSLKDERDRVQKKTFTKWVNKHLIKHWRAEAQRHISDLYEDLR >ENSMUSP00000087037.3 pep:known chromosome:GRCm38:15:76170974:76231378:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000089610.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MSGEDSEVRPVAVAEGSSNGSSGSPSPGDTLPWNLGKTQRSRRSGGGSVGNGSVLDPAER AVIRIADERDRVQKKTFTKWVNKHLIKHWRAEAQRHISDLYEDLRDGHNLISLLEVLSGD SLPRERDVIRSVRLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTL GLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFN AIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITY VSSLYDAMPRVPGAQDGVRANELQLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEI EILWCQFLKFKETELPAKEADKNRSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLH VAILEREKQLRSEFERLECLQRIVSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQR AGEVERDLDKADGMIRLLFNDVQTLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKA GVGAPVTQVTLQSTQRRPELEDSTLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGS HRGMHQSIEEFRAKIERARNDESQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLES LHGFVAAATKELMWLNEKEEEEVGFDWSDRNTNMAAKKESYSALMRELEMKEKKIKEIQN TGDRLLREDHPARPTVESFQAALQTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQ LQKLQETLRRKYSCDRTITVTRLEDLLQDAQDEKEQLNEYKGHLSGLAKRAKAIVQLKPR NPAHPVRGHVPLIAVCDYKQVEVTVHKGDQCQLVGPAQPSHWKVLSGSSSEAAVPSVCFL VPPPNQEAQEAVARLEAQHQALVTLWHQLHVDMKSLLAWQSLSRDIQLIRSWSLVTFRTL KPEEQRQALRNLELHYQAFLRDSQDAGGFGPEDRLVAEREYGSCSRHYQQLLQSLEQGEQ EESRCQRCISELKDIRLQLEACETRTVHRLRLPLDKDPARECAQRIAEQQKAQAEVEGLG KGVARLSAEAEKVLALPEPSPAAPTLRSELELTLGKLEQVRSLSAIYLEKLKTISLVIRS TQGAEEVLKTHEEQLKEAQAVPATLQELEATKASLKKLRAQAEAQQPVFNTLRDELRGAQ EVGERLQQRHGERDVEVERWRERVTQLLERWQAVLAQTDVRQRELEQLGRQLRYYRESAD PLSAWLQDAKRRQEQIQAVPIANCQAAREQLRQEKALLEEIERHGEKVEECQKFAKQYIN AIKDYELQLITYKAQLEPVASPAKKPKVQSGSESVIQEYVDLRTRYSELTTLTSQYIKFI SETLRRMEEEERLAEQQRAEERERLAEVEAALEKQRQLAEAHAQAKAQAELEAQELQRRM QEEVARREEAAVDAQQQKRSIQEELQHLRQSSEAEIQAKAQQVEAAERSRMRIEEEIRVV RLQLETTERQRGGAEGELQALRARAEEAEAQKRQAQEEAERLRRQVQDESQRKRQAEAEL ALRVKAEAEAAREKQRALQALDELRLQAEEAERRLRQAEAERARQVQVALETAQRSAEVE LQSKRASFAEKTAQLERTLQEEHVTVAQLREEAERRAQQQAEAERAREEAERELERWQLK ANEALRLRLQAEEVAQQKSLAQADAEKQKEEAEREARRRGKAEEQAVRQRELAEQELEKQ RQLAEGTAQQRLAAEQELIRLRAETEQGEQQRQLLEEELARLQHEATAATQKRQELEAEL AKVRAEMEVLLASKARAEEESRSTSEKSKQRLEAEAGRFRELAEEAARLRALAEEAKRQR QLAEEDAARQRAEAERVLTEKLAAISEATRLKTEAEIALKEKEAENERLRRLAEDEAFQR RRLEEQAALHKADIEERLAQLRKASESELERQKGLVEDTLRQRRQVEEEIMALKVSFEKA AAGKAELELELGRIRSNAEDTMRSKEQAELEAARQRQLAAEEEQRRREAEERVQRSLAAE EEAARQRKVALEEVERLKAKVEEARRLRERAEQESARQLQLAQEAAQKRLQAEEKAHAFV VQQREEELQQTLQQEQNMLDRLRSEAEAARRAAEEAEEAREQAEREAAQSRKQVEEAERL KQSAEEQAQAQAQAQAAAEKLRKEAEQEAARRAQAEQAALKQKQAADAEMEKHKKFAEQT LRQKAQVEQELTTLRLQLEETDHQKSILDEELQRLKAEVTEAARQRSQVEEELFSVRVQM EELGKLKARIEAENRALILRDKDNTQRFLEEEAEKMKQVAEEAARLSVAAQEAARLRQLA EEDLAQQRALAEKMLKEKMQAVQEATRLKAEAELLQQQKELAQEQARRLQEDKEQMAQQL VEETQGFQRTLEAERQRQLEMSAEAERLKLRMAEMSRAQARAEEDAQRFRKQAEEIGEKL HRTELATQEKVTLVQTLEIQRQQSDHDAERLREAIAELEREKEKLKQEAKLLQLKSEEMQ TVQQEQILQETQALQKSFLSEKDSLLQRERFIEQEKAKLEQLFQDEVAKAKQLREEQQRQ QQQMEQEKQELMASMEEARRRQREAEEGVRRKQEELQHLEQQRQQQEKLLAEENQRLRER LQRLEEEHRAALAHSEIATTQAASTKALPNGRDAPDGPSVEAEPEYTFEGLRQKVPAQQL QEAGILSQEELQRLAQGHTTVAELTQREDVYRYLKGRSSIAGLLLKPTNEKLSVYTALQR QLLSPGTALILLEAQAASGFLLDPVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYK DPYTGEQISLFQAMKKDLIVRDHGVRLLEAQIATGGIIDPVHSHRVPVDVAYKRGYFDEE MNRILSDPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLTDKAAKGGELVYTD TEARDVFEKATVSAPFGKFQGRTVTIWEIINSEYFTAEQRRDLLQQFRTGHITVEKIIKI VITVVEEHERKGQLCFEGLRALVPAAELLDSGVISHELYQQLQRGERSVREVAEADSVRQ ALRGTNVIAGVWLEEAGQKLSIYEALKKDLLQPEVAVALLEAQAGTGHIIDPATSARLTV DEAVRAGLVGPELHEKLLSAEKAVTGYRDPYSGQSVSLFQALKKGLIPREQGLRLLDAQL STGGIVDPSKSHRVPLDVAYARGYLDKETNRALTSPRDDARVYHDPSTQEPVTYSQLQQR CRSDQLTGLSLLPLSEKAVRARQEEVYSELQARETLEQAKVEVPVGSFKGRAMTVWELIS SEYFTEEQRQELLRQFRTGKVTVEKVIKIVITIVEEVETRRQERLSFSGLRAPVPASELL DAKILSRAQFDQLKDGKTSVKELSEVGSVRTLLQGSGCLAGIYLEDSKEKVTIYEAMRRG LLRPSTATLLLEAQAATGFLVDPVRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTGYKD PYSGNTISLFQAMKKGLVLRDHAIRLLEAQVATGGIIDPVHSHRLPVDVAYQRGYFDEEM NRVLADPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLKGAEKTEVVETTQVY TEEETRRAFEETQIDIPGGGSHGGSSMSLWEVMQSNMIPEDQRARLMADFQAGRVTKERM IIIIIEIIEKTEIIRQQNLASYDYVRRRLTAEDLYEARIISLETYNLFREGTKNLREVLE MESAWRYLYGTGAVAGVYLPGSRQTLTIYQALKKGLLSAEVARLLLEAQAATGFLLDPVK GERLTVDEAVRKGLVGPELHDRLLSAERAVTGYRDPYTEQTISLFQAMKKELIPAEEALR LLDAQLATGGIVDPRLGFHLPLEVAYQRGYLNKDTHDQLSEPSEVRSYVDPSTDERLSYT QLLKRCRRDDPSGQMLLLLSDARKLTFRGLRKQITVEELVRSQVMDEATALQLQEGLTSI EEVTKNLQKFLEGTSCIAGVFVDATKERLSVYQAMKKGIIRPGTAFELLEAQAATGYVID PIKGLKLTVEEAVRMGIVGPEFKDKLLSAERAVTGYKDPYSGKLISLFQAMKKGLILKDH GIRLLEAQIATGGIIDPEESHRLPVEVAYKRGLFDEEMNEILTDPSDDTKGFFDPNTEEN LTYLQLMERCITDPQTGLCLLPLKEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVYEAY RKGLIDHQTYLELSEQECEWEEITISSSDGVVKSMIIDRRSGRQYDIDDAITKNLIDRSA LDQYRAGTLSITEFADMLSGNAGGFRSRSSSVGSSSSYPISSAGPRTQLASWSDPTEETG PVAGILDTETLEKVSITEAMHRNLVDNITGQRLLEAQACTGGIIDPSTGERFPVTEAVNK GLVDKIMVDRINLAQKAFCGFEDPRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTGGLI EPDTPGRVSLDEALQRGTVDARTAQKLRDVSAYSKYLTCPKTKLKISYKDALDRSMVEEG TGLRLLEAAAQSSKGYYSPYSVSGSGSTAGSRTGSRTGSRAGSRRGSFDATGSGFSMTFS SSSYSSSGYGRRYASGPSASLGGPESAVA >ENSMUSP00000057158.7 pep:known chromosome:GRCm38:15:76170974:76199835:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000054449.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MEPSGSLFPSLVVVGHVVTLAAVWHWRKGHRQAKDEQDERDRVQKKTFTKWVNKHLIKHW RAEAQRHISDLYEDLRDGHNLISLLEVLSGDSLPREKGRMRFHKLQNVQIALDYLRHRQV KLVNIRNDDIADGNPKLTLGLIWTIILHFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGY QGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLENLDQAFSVAERDLGVTRL LDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGVRANELQLRWQEYRELVLLLLQWI RHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAKEADKNRSKVIYQSLEGAVQAGQL KIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRIVSKLQMEAGLCEEQLNQA DALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLLFNDVQTLKDGRHPQGEQMYRRVY RLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRPELEDSTLRYLQDLLAWVEENQRR IDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERARNDESQLSPATRGAYRDCLGRLD LQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEKEEEEVGFDWSDRNTNMAAKKESY SALMRELEMKEKKIKEIQNTGDRLLREDHPARPTVESFQAALQTQWSWMLQLCCCIEAHL KENTAYFQFFSDVREAEEQLQKLQETLRRKYSCDRTITVTRLEDLLQDAQDEKEQLNEYK GHLSGLAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDYKQVEVTVHKGDQCQLVGPAQPSH WKVLSGSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQHQALVTLWHQLHVDMKSLLAWQS LSRDIQLIRSWSLVTFRTLKPEEQRQALRNLELHYQAFLRDSQDAGGFGPEDRLVAEREY GSCSRHYQQLLQSLEQGEQEESRCQRCISELKDIRLQLEACETRTVHRLRLPLDKDPARE CAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAAPTLRSELELTLGKLEQVR SLSAIYLEKLKTISLVIRSTQGAEEVLKTHEEQLKEAQAVPATLQELEATKASLKKLRAQ AEAQQPVFNTLRDELRGAQEVGERLQQRHGERDVEVERWRERVTQLLERWQAVLAQTDVR QRELEQLGRQLRYYRESADPLSAWLQDAKRRQEQIQAVPIANCQAAREQLRQEKALLEEI ERHGEKVEECQKFAKQYINAIKDYELQLITYKAQLEPVASPAKKPKVQSGSESVIQEYVD LRTRYSELTTLTSQYIKFISETLRRMEEEERLAEQQRAEERERLAEVEAALEKQRQLAEA HAQAKAQAELEAQELQRRMQEEVARREEAAVDAQQQKRSIQEELQHLRQSSEAEIQAKAQ QVEAAERSRMRIEEEIRVVRLQLETTERQRGGAEGELQALRARAEEAEAQKRQAQEEAER LRRQVQDESQRKRQAEAELALRVKAEAEAAREKQRALQALDELRLQAEEAERRLRQAEAE RARQVQVALETAQRSAEVELQSKRASFAEKTAQLERTLQEEHVTVAQLREEAERRAQQQA EAERAREEAERELERWQLKANEALRLRLQAEEVAQQKSLAQADAEKQKEEAEREARRRGK AEEQAVRQRELAEQELEKQRQLAEGTAQQRLAAEQELIRLRAETEQGEQQRQLLEEELAR LQHEATAATQKRQELEAELAKVRAEMEVLLASKARAEEESRSTSEKSKQRLEAEAGRFRE LAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVLTEKLAAISEATRLKTEAEIALKE KEAENERLRRLAEDEAFQRRRLEEQAALHKADIEERLAQLRKASESELERQKGLVEDTLR QRRQVEEEIMALKVSFEKAAAGKAELELELGRIRSNAEDTMRSKEQAELEAARQRQLAAE EEQRRREAEERVQRSLAAEEEAARQRKVALEEVERLKAKVEEARRLRERAEQESARQLQL AQEAAQKRLQAEEKAHAFVVQQREEELQQTLQQEQNMLDRLRSEAEAARRAAEEAEEARE QAEREAAQSRKQVEEAERLKQSAEEQAQAQAQAQAAAEKLRKEAEQEAARRAQAEQAALK QKQAADAEMEKHKKFAEQTLRQKAQVEQELTTLRLQLEETDHQKSILDEELQRLKAEVTE AARQRSQVEEELFSVRVQMEELGKLKARIEAENRALILRDKDNTQRFLEEEAEKMKQVAE EAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQEATRLKAEAELLQQQKEL AQEQARRLQEDKEQMAQQLVEETQGFQRTLEAERQRQLEMSAEAERLKLRMAEMSRAQAR AEEDAQRFRKQAEEIGEKLHRTELATQEKVTLVQTLEIQRQQSDHDAERLREAIAELERE KEKLKQEAKLLQLKSEEMQTVQQEQILQETQALQKSFLSEKDSLLQRERFIEQEKAKLEQ LFQDEVAKAKQLREEQQRQQQQMEQEKQELMASMEEARRRQREAEEGVRRKQEELQHLEQ QRQQQEKLLAEENQRLRERLQRLEEEHRAALAHSEIATTQAASTKALPNGRDAPDGPSVE AEPEYTFEGLRQKVPAQQLQEAGILSQEELQRLAQGHTTVAELTQREDVYRYLKGRSSIA GLLLKPTNEKLSVYTALQRQLLSPGTALILLEAQAASGFLLDPVRNRRLTVNEAVKEGVV GPELHHKLLSAERAVTGYKDPYTGEQISLFQAMKKDLIVRDHGVRLLEAQIATGGIIDPV HSHRVPVDVAYKRGYFDEEMNRILSDPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGL RLLPLTDKAAKGGELVYTDTEARDVFEKATVSAPFGKFQGRTVTIWEIINSEYFTAEQRR DLLQQFRTGHITVEKIIKIVITVVEEHERKGQLCFEGLRALVPAAELLDSGVISHELYQQ LQRGERSVREVAEADSVRQALRGTNVIAGVWLEEAGQKLSIYEALKKDLLQPEVAVALLE AQAGTGHIIDPATSARLTVDEAVRAGLVGPELHEKLLSAEKAVTGYRDPYSGQSVSLFQA LKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRVPLDVAYARGYLDKETNRALTSPRDDAR VYHDPSTQEPVTYSQLQQRCRSDQLTGLSLLPLSEKAVRARQEEVYSELQARETLEQAKV EVPVGSFKGRAMTVWELISSEYFTEEQRQELLRQFRTGKVTVEKVIKIVITIVEEVETRR QERLSFSGLRAPVPASELLDAKILSRAQFDQLKDGKTSVKELSEVGSVRTLLQGSGCLAG IYLEDSKEKVTIYEAMRRGLLRPSTATLLLEAQAATGFLVDPVRNQRLYVHEAVKAGVVG PELHEKLLSAEKAVTGYKDPYSGNTISLFQAMKKGLVLRDHAIRLLEAQVATGGIIDPVH SHRLPVDVAYQRGYFDEEMNRVLADPSDDTKGFFDPNTHENLTYLQLLERCVEDPETGLR LLPLKGAEKTEVVETTQVYTEEETRRAFEETQIDIPGGGSHGGSSMSLWEVMQSNMIPED QRARLMADFQAGRVTKERMIIIIIEIIEKTEIIRQQNLASYDYVRRRLTAEDLYEARIIS LETYNLFREGTKNLREVLEMESAWRYLYGTGAVAGVYLPGSRQTLTIYQALKKGLLSAEV ARLLLEAQAATGFLLDPVKGERLTVDEAVRKGLVGPELHDRLLSAERAVTGYRDPYTEQT ISLFQAMKKELIPAEEALRLLDAQLATGGIVDPRLGFHLPLEVAYQRGYLNKDTHDQLSE PSEVRSYVDPSTDERLSYTQLLKRCRRDDPSGQMLLLLSDARKLTFRGLRKQITVEELVR SQVMDEATALQLQEGLTSIEEVTKNLQKFLEGTSCIAGVFVDATKERLSVYQAMKKGIIR PGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFKDKLLSAERAVTGYKDPYS GKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRLPVEVAYKRGLFDEEMNEI LTDPSDDTKGFFDPNTEENLTYLQLMERCITDPQTGLCLLPLKEKKRERKTSSKSSVRKR RVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEITISSSDGVVKSMIIDRRS GRQYDIDDAITKNLIDRSALDQYRAGTLSITEFADMLSGNAGGFRSRSSSVGSSSSYPIS SAGPRTQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRNLVDNITGQRLLEAQACTG GIIDPSTGERFPVTEAVNKGLVDKIMVDRINLAQKAFCGFEDPRTKTKMSAAQALKKGWL YYEAGQRFLEVQYLTGGLIEPDTPGRVSLDEALQRGTVDARTAQKLRDVSAYSKYLTCPK TKLKISYKDALDRSMVEEGTGLRLLEAAAQSSKGYYSPYSVSGSGSTAGSRTGSRTGSRA GSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGPSASLGGPESAVA >ENSMUSP00000073124.6 pep:known chromosome:GRCm38:15:76170974:76206321:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000073418.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MVAGMLMPLDRLRAIYEVLFREGVMVAKKDRRPRSLHPHVPGVTNLQVMRAMASLKARGL VRETFAWCHFYWYLTNEGIDHLRQYLHLPPEIVPASLQRVRRPVAMVIPARRRSPHVQTM QGPLGCPPKRGPLPAEDPAREERQVYRRKEREEGAPETPVVSATTVGTLARPGPEPAPAT DERDRVQKKTFTKWVNKHLIKHWRAEAQRHISDLYEDLRDGHNLISLLEVLSGDSLPREK GRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTLGLIWTIILHFQISDIQVS GQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYR QTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGV RANELQLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAK EADKNRSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLE CLQRIVSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLL FNDVQTLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRP ELEDSTLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERA RNDESQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEK EEEEVGFDWSDRNTNMAAKKESYSALMRELEMKEKKIKEIQNTGDRLLREDHPARPTVES FQAALQTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLQKLQETLRRKYSCDRTI TVTRLEDLLQDAQDEKEQLNEYKGHLSGLAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDY KQVEVTVHKGDQCQLVGPAQPSHWKVLSGSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQ HQALVTLWHQLHVDMKSLLAWQSLSRDIQLIRSWSLVTFRTLKPEEQRQALRNLELHYQA FLRDSQDAGGFGPEDRLVAEREYGSCSRHYQQLLQSLEQGEQEESRCQRCISELKDIRLQ LEACETRTVHRLRLPLDKDPARECAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPE PSPAAPTLRSELELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEVLKTHEEQLKEA QAVPATLQELEATKASLKKLRAQAEAQQPVFNTLRDELRGAQEVGERLQQRHGERDVEVE RWRERVTQLLERWQAVLAQTDVRQRELEQLGRQLRYYRESADPLSAWLQDAKRRQEQIQA VPIANCQAAREQLRQEKALLEEIERHGEKVEECQKFAKQYINAIKDYELQLITYKAQLEP VASPAKKPKVQSGSESVIQEYVDLRTRYSELTTLTSQYIKFISETLRRMEEEERLAEQQR AEERERLAEVEAALEKQRQLAEAHAQAKAQAELEAQELQRRMQEEVARREEAAVDAQQQK RSIQEELQHLRQSSEAEIQAKAQQVEAAERSRMRIEEEIRVVRLQLETTERQRGGAEGEL QALRARAEEAEAQKRQAQEEAERLRRQVQDESQRKRQAEAELALRVKAEAEAAREKQRAL QALDELRLQAEEAERRLRQAEAERARQVQVALETAQRSAEVELQSKRASFAEKTAQLERT LQEEHVTVAQLREEAERRAQQQAEAERAREEAERELERWQLKANEALRLRLQAEEVAQQK SLAQADAEKQKEEAEREARRRGKAEEQAVRQRELAEQELEKQRQLAEGTAQQRLAAEQEL IRLRAETEQGEQQRQLLEEELARLQHEATAATQKRQELEAELAKVRAEMEVLLASKARAE EESRSTSEKSKQRLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVL TEKLAAISEATRLKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAALHKADIEERL AQLRKASESELERQKGLVEDTLRQRRQVEEEIMALKVSFEKAAAGKAELELELGRIRSNA EDTMRSKEQAELEAARQRQLAAEEEQRRREAEERVQRSLAAEEEAARQRKVALEEVERLK AKVEEARRLRERAEQESARQLQLAQEAAQKRLQAEEKAHAFVVQQREEELQQTLQQEQNM LDRLRSEAEAARRAAEEAEEAREQAEREAAQSRKQVEEAERLKQSAEEQAQAQAQAQAAA EKLRKEAEQEAARRAQAEQAALKQKQAADAEMEKHKKFAEQTLRQKAQVEQELTTLRLQL EETDHQKSILDEELQRLKAEVTEAARQRSQVEEELFSVRVQMEELGKLKARIEAENRALI LRDKDNTQRFLEEEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEK MQAVQEATRLKAEAELLQQQKELAQEQARRLQEDKEQMAQQLVEETQGFQRTLEAERQRQ LEMSAEAERLKLRMAEMSRAQARAEEDAQRFRKQAEEIGEKLHRTELATQEKVTLVQTLE IQRQQSDHDAERLREAIAELEREKEKLKQEAKLLQLKSEEMQTVQQEQILQETQALQKSF LSEKDSLLQRERFIEQEKAKLEQLFQDEVAKAKQLREEQQRQQQQMEQEKQELMASMEEA RRRQREAEEGVRRKQEELQHLEQQRQQQEKLLAEENQRLRERLQRLEEEHRAALAHSEIA TTQAASTKALPNGRDAPDGPSVEAEPEYTFEGLRQKVPAQQLQEAGILSQEELQRLAQGH TTVAELTQREDVYRYLKGRSSIAGLLLKPTNEKLSVYTALQRQLLSPGTALILLEAQAAS GFLLDPVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQISLFQAMKKDL IVRDHGVRLLEAQIATGGIIDPVHSHRVPVDVAYKRGYFDEEMNRILSDPSDDTKGFFDP NTHENLTYLQLLERCVEDPETGLRLLPLTDKAAKGGELVYTDTEARDVFEKATVSAPFGK FQGRTVTIWEIINSEYFTAEQRRDLLQQFRTGHITVEKIIKIVITVVEEHERKGQLCFEG LRALVPAAELLDSGVISHELYQQLQRGERSVREVAEADSVRQALRGTNVIAGVWLEEAGQ KLSIYEALKKDLLQPEVAVALLEAQAGTGHIIDPATSARLTVDEAVRAGLVGPELHEKLL SAEKAVTGYRDPYSGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRVPLDV AYARGYLDKETNRALTSPRDDARVYHDPSTQEPVTYSQLQQRCRSDQLTGLSLLPLSEKA VRARQEEVYSELQARETLEQAKVEVPVGSFKGRAMTVWELISSEYFTEEQRQELLRQFRT GKVTVEKVIKIVITIVEEVETRRQERLSFSGLRAPVPASELLDAKILSRAQFDQLKDGKT SVKELSEVGSVRTLLQGSGCLAGIYLEDSKEKVTIYEAMRRGLLRPSTATLLLEAQAATG FLVDPVRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGNTISLFQAMKKGLV LRDHAIRLLEAQVATGGIIDPVHSHRLPVDVAYQRGYFDEEMNRVLADPSDDTKGFFDPN THENLTYLQLLERCVEDPETGLRLLPLKGAEKTEVVETTQVYTEEETRRAFEETQIDIPG GGSHGGSSMSLWEVMQSNMIPEDQRARLMADFQAGRVTKERMIIIIIEIIEKTEIIRQQN LASYDYVRRRLTAEDLYEARIISLETYNLFREGTKNLREVLEMESAWRYLYGTGAVAGVY LPGSRQTLTIYQALKKGLLSAEVARLLLEAQAATGFLLDPVKGERLTVDEAVRKGLVGPE LHDRLLSAERAVTGYRDPYTEQTISLFQAMKKELIPAEEALRLLDAQLATGGIVDPRLGF HLPLEVAYQRGYLNKDTHDQLSEPSEVRSYVDPSTDERLSYTQLLKRCRRDDPSGQMLLL LSDARKLTFRGLRKQITVEELVRSQVMDEATALQLQEGLTSIEEVTKNLQKFLEGTSCIA GVFVDATKERLSVYQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIV GPEFKDKLLSAERAVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPE ESHRLPVEVAYKRGLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLMERCITDPQTGL CLLPLKEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQEC EWEEITISSSDGVVKSMIIDRRSGRQYDIDDAITKNLIDRSALDQYRAGTLSITEFADML SGNAGGFRSRSSSVGSSSSYPISSAGPRTQLASWSDPTEETGPVAGILDTETLEKVSITE AMHRNLVDNITGQRLLEAQACTGGIIDPSTGERFPVTEAVNKGLVDKIMVDRINLAQKAF CGFEDPRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRVSLDEALQRGT VDARTAQKLRDVSAYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEAAAQSSKGYYS PYSVSGSGSTAGSRTGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGPS ASLGGPESAVA >ENSMUSP00000071765.5 pep:known chromosome:GRCm38:15:76170974:76209165:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000071869.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MDPSRAIQHEISSLKDERDRVQKKTFTKWVNKHLIKAQRHISDLYEDLRDGHNLISLLEV LSGDSLPREKGRMRFHKLQNVQIALDYLRHRQVKLVNIRNDDIADGNPKLTLGLIWTIIL HFQISDIQVSGQSEDMTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFNAIIHRHKP MLIDMNKVYRQTNLENLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAM PRVPGAQDGVRANELQLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEIEILWCQFL KFKETELPAKEADKNRSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLHVAILEREK QLRSEFERLECLQRIVSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQRAGEVERDL DKADGMIRLLFNDVQTLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKAGVGAPVTQ VTLQSTQRRPELEDSTLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGSHRGMHQSI EEFRAKIERARNDESQLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAA TKELMWLNEKEEEEVGFDWSDRNTNMAAKKESYSALMRELEMKEKKIKEIQNTGDRLLRE DHPARPTVESFQAALQTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLQKLQETL RRKYSCDRTITVTRLEDLLQDAQDEKEQLNEYKGHLSGLAKRAKAIVQLKPRNPAHPVRG HVPLIAVCDYKQVEVTVHKGDQCQLVGPAQPSHWKVLSGSSSEAAVPSVCFLVPPPNQEA QEAVARLEAQHQALVTLWHQLHVDMKSLLAWQSLSRDIQLIRSWSLVTFRTLKPEEQRQA LRNLELHYQAFLRDSQDAGGFGPEDRLVAEREYGSCSRHYQQLLQSLEQGEQEESRCQRC ISELKDIRLQLEACETRTVHRLRLPLDKDPARECAQRIAEQQKAQAEVEGLGKGVARLSA EAEKVLALPEPSPAAPTLRSELELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEVL KTHEEQLKEAQAVPATLQELEATKASLKKLRAQAEAQQPVFNTLRDELRGAQEVGERLQQ RHGERDVEVERWRERVTQLLERWQAVLAQTDVRQRELEQLGRQLRYYRESADPLSAWLQD AKRRQEQIQAVPIANCQAAREQLRQEKALLEEIERHGEKVEECQKFAKQYINAIKDYELQ LITYKAQLEPVASPAKKPKVQSGSESVIQEYVDLRTRYSELTTLTSQYIKFISETLRRME EEERLAEQQRAEERERLAEVEAALEKQRQLAEAHAQAKAQAELEAQELQRRMQEEVARRE EAAVDAQQQKRSIQEELQHLRQSSEAEIQAKAQQVEAAERSRMRIEEEIRVVRLQLETTE RQRGGAEGELQALRARAEEAEAQKRQAQEEAERLRRQVQDESQRKRQAEAELALRVKAEA EAAREKQRALQALDELRLQAEEAERRLRQAEAERARQVQVALETAQRSAEVELQSKRASF AEKTAQLERTLQEEHVTVAQLREEAERRAQQQAEAERAREEAERELERWQLKANEALRLR LQAEEVAQQKSLAQADAEKQKEEAEREARRRGKAEEQAVRQRELAEQELEKQRQLAEGTA QQRLAAEQELIRLRAETEQGEQQRQLLEEELARLQHEATAATQKRQELEAELAKVRAEME VLLASKARAEEESRSTSEKSKQRLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAA RQRAEAERVLTEKLAAISEATRLKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAA LHKADIEERLAQLRKASESELERQKGLVEDTLRQRRQVEEEIMALKVSFEKAAAGKAELE LELGRIRSNAEDTMRSKEQAELEAARQRQLAAEEEQRRREAEERVQRSLAAEEEAARQRK VALEEVERLKAKVEEARRLRERAEQESARQLQLAQEAAQKRLQAEEKAHAFVVQQREEEL QQTLQQEQNMLDRLRSEAEAARRAAEEAEEAREQAEREAAQSRKQVEEAERLKQSAEEQA QAQAQAQAAAEKLRKEAEQEAARRAQAEQAALKQKQAADAEMEKHKKFAEQTLRQKAQVE QELTTLRLQLEETDHQKSILDEELQRLKAEVTEAARQRSQVEEELFSVRVQMEELGKLKA RIEAENRALILRDKDNTQRFLEEEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQR ALAEKMLKEKMQAVQEATRLKAEAELLQQQKELAQEQARRLQEDKEQMAQQLVEETQGFQ RTLEAERQRQLEMSAEAERLKLRMAEMSRAQARAEEDAQRFRKQAEEIGEKLHRTELATQ EKVTLVQTLEIQRQQSDHDAERLREAIAELEREKEKLKQEAKLLQLKSEEMQTVQQEQIL QETQALQKSFLSEKDSLLQRERFIEQEKAKLEQLFQDEVAKAKQLREEQQRQQQQMEQEK QELMASMEEARRRQREAEEGVRRKQEELQHLEQQRQQQEKLLAEENQRLRERLQRLEEEH RAALAHSEIATTQAASTKALPNGRDAPDGPSVEAEPEYTFEGLRQKVPAQQLQEAGILSQ EELQRLAQGHTTVAELTQREDVYRYLKGRSSIAGLLLKPTNEKLSVYTALQRQLLSPGTA LILLEAQAASGFLLDPVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQI SLFQAMKKDLIVRDHGVRLLEAQIATGGIIDPVHSHRVPVDVAYKRGYFDEEMNRILSDP SDDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLTDKAAKGGELVYTDTEARDVFE KATVSAPFGKFQGRTVTIWEIINSEYFTAEQRRDLLQQFRTGHITVEKIIKIVITVVEEH ERKGQLCFEGLRALVPAAELLDSGVISHELYQQLQRGERSVREVAEADSVRQALRGTNVI AGVWLEEAGQKLSIYEALKKDLLQPEVAVALLEAQAGTGHIIDPATSARLTVDEAVRAGL VGPELHEKLLSAEKAVTGYRDPYSGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDP SKSHRVPLDVAYARGYLDKETNRALTSPRDDARVYHDPSTQEPVTYSQLQQRCRSDQLTG LSLLPLSEKAVRARQEEVYSELQARETLEQAKVEVPVGSFKGRAMTVWELISSEYFTEEQ RQELLRQFRTGKVTVEKVIKIVITIVEEVETRRQERLSFSGLRAPVPASELLDAKILSRA QFDQLKDGKTSVKELSEVGSVRTLLQGSGCLAGIYLEDSKEKVTIYEAMRRGLLRPSTAT LLLEAQAATGFLVDPVRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGNTIS LFQAMKKGLVLRDHAIRLLEAQVATGGIIDPVHSHRLPVDVAYQRGYFDEEMNRVLADPS DDTKGFFDPNTHENLTYLQLLERCVEDPETGLRLLPLKGAEKTEVVETTQVYTEEETRRA FEETQIDIPGGGSHGGSSMSLWEVMQSNMIPEDQRARLMADFQAGRVTKERMIIIIIEII EKTEIIRQQNLASYDYVRRRLTAEDLYEARIISLETYNLFREGTKNLREVLEMESAWRYL YGTGAVAGVYLPGSRQTLTIYQALKKGLLSAEVARLLLEAQAATGFLLDPVKGERLTVDE AVRKGLVGPELHDRLLSAERAVTGYRDPYTEQTISLFQAMKKELIPAEEALRLLDAQLAT GGIVDPRLGFHLPLEVAYQRGYLNKDTHDQLSEPSEVRSYVDPSTDERLSYTQLLKRCRR DDPSGQMLLLLSDARKLTFRGLRKQITVEELVRSQVMDEATALQLQEGLTSIEEVTKNLQ KFLEGTSCIAGVFVDATKERLSVYQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLT VEEAVRMGIVGPEFKDKLLSAERAVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQ IATGGIIDPEESHRLPVEVAYKRGLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLME RCITDPQTGLCLLPLKEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQ TYLELSEQECEWEEITISSSDGVVKSMIIDRRSGRQYDIDDAITKNLIDRSALDQYRAGT LSITEFADMLSGNAGGFRSRSSSVGSSSSYPISSAGPRTQLASWSDPTEETGPVAGILDT ETLEKVSITEAMHRNLVDNITGQRLLEAQACTGGIIDPSTGERFPVTEAVNKGLVDKIMV DRINLAQKAFCGFEDPRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRV SLDEALQRGTVDARTAQKLRDVSAYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEA AAQSSKGYYSPYSVSGSGSTAGSRTGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSG YGRRYASGPSASLGGPESAVA >ENSMUSP00000079668.5 pep:known chromosome:GRCm38:15:76170974:76208253:-1 gene:ENSMUSG00000022565.15 transcript:ENSMUST00000080857.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plec description:plectin [Source:MGI Symbol;Acc:MGI:1277961] MTAKEKLLLWSQRMVEGYQGLRCDNFTTSWRDGRLFNAIIHRHKPMLIDMNKVYRQTNLE NLDQAFSVAERDLGVTRLLDPEDVDVPQPDEKSIITYVSSLYDAMPRVPGAQDGVRANEL QLRWQEYRELVLLLLQWIRHHTAAFEERKFPSSFEEIEILWCQFLKFKETELPAKEADKN RSKVIYQSLEGAVQAGQLKIPPGYHPLDVEKEWGKLHVAILEREKQLRSEFERLECLQRI VSKLQMEAGLCEEQLNQADALLQSDIRLLASGKVAQRAGEVERDLDKADGMIRLLFNDVQ TLKDGRHPQGEQMYRRVYRLHERLVAIRTEYNLRLKAGVGAPVTQVTLQSTQRRPELEDS TLRYLQDLLAWVEENQRRIDSAEWGVDLPSVEAQLGSHRGMHQSIEEFRAKIERARNDES QLSPATRGAYRDCLGRLDLQYAKLLNSSKARLRSLESLHGFVAAATKELMWLNEKEEEEV GFDWSDRNTNMAAKKESYSALMRELEMKEKKIKEIQNTGDRLLREDHPARPTVESFQAAL QTQWSWMLQLCCCIEAHLKENTAYFQFFSDVREAEEQLQKLQETLRRKYSCDRTITVTRL EDLLQDAQDEKEQLNEYKGHLSGLAKRAKAIVQLKPRNPAHPVRGHVPLIAVCDYKQVEV TVHKGDQCQLVGPAQPSHWKVLSGSSSEAAVPSVCFLVPPPNQEAQEAVARLEAQHQALV TLWHQLHVDMKSLLAWQSLSRDIQLIRSWSLVTFRTLKPEEQRQALRNLELHYQAFLRDS QDAGGFGPEDRLVAEREYGSCSRHYQQLLQSLEQGEQEESRCQRCISELKDIRLQLEACE TRTVHRLRLPLDKDPARECAQRIAEQQKAQAEVEGLGKGVARLSAEAEKVLALPEPSPAA PTLRSELELTLGKLEQVRSLSAIYLEKLKTISLVIRSTQGAEEVLKTHEEQLKEAQAVPA TLQELEATKASLKKLRAQAEAQQPVFNTLRDELRGAQEVGERLQQRHGERDVEVERWRER VTQLLERWQAVLAQTDVRQRELEQLGRQLRYYRESADPLSAWLQDAKRRQEQIQAVPIAN CQAAREQLRQEKALLEEIERHGEKVEECQKFAKQYINAIKDYELQLITYKAQLEPVASPA KKPKVQSGSESVIQEYVDLRTRYSELTTLTSQYIKFISETLRRMEEEERLAEQQRAEERE RLAEVEAALEKQRQLAEAHAQAKAQAELEAQELQRRMQEEVARREEAAVDAQQQKRSIQE ELQHLRQSSEAEIQAKAQQVEAAERSRMRIEEEIRVVRLQLETTERQRGGAEGELQALRA RAEEAEAQKRQAQEEAERLRRQVQDESQRKRQAEAELALRVKAEAEAAREKQRALQALDE LRLQAEEAERRLRQAEAERARQVQVALETAQRSAEVELQSKRASFAEKTAQLERTLQEEH VTVAQLREEAERRAQQQAEAERAREEAERELERWQLKANEALRLRLQAEEVAQQKSLAQA DAEKQKEEAEREARRRGKAEEQAVRQRELAEQELEKQRQLAEGTAQQRLAAEQELIRLRA ETEQGEQQRQLLEEELARLQHEATAATQKRQELEAELAKVRAEMEVLLASKARAEEESRS TSEKSKQRLEAEAGRFRELAEEAARLRALAEEAKRQRQLAEEDAARQRAEAERVLTEKLA AISEATRLKTEAEIALKEKEAENERLRRLAEDEAFQRRRLEEQAALHKADIEERLAQLRK ASESELERQKGLVEDTLRQRRQVEEEIMALKVSFEKAAAGKAELELELGRIRSNAEDTMR SKEQAELEAARQRQLAAEEEQRRREAEERVQRSLAAEEEAARQRKVALEEVERLKAKVEE ARRLRERAEQESARQLQLAQEAAQKRLQAEEKAHAFVVQQREEELQQTLQQEQNMLDRLR SEAEAARRAAEEAEEAREQAEREAAQSRKQVEEAERLKQSAEEQAQAQAQAQAAAEKLRK EAEQEAARRAQAEQAALKQKQAADAEMEKHKKFAEQTLRQKAQVEQELTTLRLQLEETDH QKSILDEELQRLKAEVTEAARQRSQVEEELFSVRVQMEELGKLKARIEAENRALILRDKD NTQRFLEEEAEKMKQVAEEAARLSVAAQEAARLRQLAEEDLAQQRALAEKMLKEKMQAVQ EATRLKAEAELLQQQKELAQEQARRLQEDKEQMAQQLVEETQGFQRTLEAERQRQLEMSA EAERLKLRMAEMSRAQARAEEDAQRFRKQAEEIGEKLHRTELATQEKVTLVQTLEIQRQQ SDHDAERLREAIAELEREKEKLKQEAKLLQLKSEEMQTVQQEQILQETQALQKSFLSEKD SLLQRERFIEQEKAKLEQLFQDEVAKAKQLREEQQRQQQQMEQEKQELMASMEEARRRQR EAEEGVRRKQEELQHLEQQRQQQEKLLAEENQRLRERLQRLEEEHRAALAHSEIATTQAA STKALPNGRDAPDGPSVEAEPEYTFEGLRQKVPAQQLQEAGILSQEELQRLAQGHTTVAE LTQREDVYRYLKGRSSIAGLLLKPTNEKLSVYTALQRQLLSPGTALILLEAQAASGFLLD PVRNRRLTVNEAVKEGVVGPELHHKLLSAERAVTGYKDPYTGEQISLFQAMKKDLIVRDH GVRLLEAQIATGGIIDPVHSHRVPVDVAYKRGYFDEEMNRILSDPSDDTKGFFDPNTHEN LTYLQLLERCVEDPETGLRLLPLTDKAAKGGELVYTDTEARDVFEKATVSAPFGKFQGRT VTIWEIINSEYFTAEQRRDLLQQFRTGHITVEKIIKIVITVVEEHERKGQLCFEGLRALV PAAELLDSGVISHELYQQLQRGERSVREVAEADSVRQALRGTNVIAGVWLEEAGQKLSIY EALKKDLLQPEVAVALLEAQAGTGHIIDPATSARLTVDEAVRAGLVGPELHEKLLSAEKA VTGYRDPYSGQSVSLFQALKKGLIPREQGLRLLDAQLSTGGIVDPSKSHRVPLDVAYARG YLDKETNRALTSPRDDARVYHDPSTQEPVTYSQLQQRCRSDQLTGLSLLPLSEKAVRARQ EEVYSELQARETLEQAKVEVPVGSFKGRAMTVWELISSEYFTEEQRQELLRQFRTGKVTV EKVIKIVITIVEEVETRRQERLSFSGLRAPVPASELLDAKILSRAQFDQLKDGKTSVKEL SEVGSVRTLLQGSGCLAGIYLEDSKEKVTIYEAMRRGLLRPSTATLLLEAQAATGFLVDP VRNQRLYVHEAVKAGVVGPELHEKLLSAEKAVTGYKDPYSGNTISLFQAMKKGLVLRDHA IRLLEAQVATGGIIDPVHSHRLPVDVAYQRGYFDEEMNRVLADPSDDTKGFFDPNTHENL TYLQLLERCVEDPETGLRLLPLKGAEKTEVVETTQVYTEEETRRAFEETQIDIPGGGSHG GSSMSLWEVMQSNMIPEDQRARLMADFQAGRVTKERMIIIIIEIIEKTEIIRQQNLASYD YVRRRLTAEDLYEARIISLETYNLFREGTKNLREVLEMESAWRYLYGTGAVAGVYLPGSR QTLTIYQALKKGLLSAEVARLLLEAQAATGFLLDPVKGERLTVDEAVRKGLVGPELHDRL LSAERAVTGYRDPYTEQTISLFQAMKKELIPAEEALRLLDAQLATGGIVDPRLGFHLPLE VAYQRGYLNKDTHDQLSEPSEVRSYVDPSTDERLSYTQLLKRCRRDDPSGQMLLLLSDAR KLTFRGLRKQITVEELVRSQVMDEATALQLQEGLTSIEEVTKNLQKFLEGTSCIAGVFVD ATKERLSVYQAMKKGIIRPGTAFELLEAQAATGYVIDPIKGLKLTVEEAVRMGIVGPEFK DKLLSAERAVTGYKDPYSGKLISLFQAMKKGLILKDHGIRLLEAQIATGGIIDPEESHRL PVEVAYKRGLFDEEMNEILTDPSDDTKGFFDPNTEENLTYLQLMERCITDPQTGLCLLPL KEKKRERKTSSKSSVRKRRVVIVDPETGKEMSVYEAYRKGLIDHQTYLELSEQECEWEEI TISSSDGVVKSMIIDRRSGRQYDIDDAITKNLIDRSALDQYRAGTLSITEFADMLSGNAG GFRSRSSSVGSSSSYPISSAGPRTQLASWSDPTEETGPVAGILDTETLEKVSITEAMHRN LVDNITGQRLLEAQACTGGIIDPSTGERFPVTEAVNKGLVDKIMVDRINLAQKAFCGFED PRTKTKMSAAQALKKGWLYYEAGQRFLEVQYLTGGLIEPDTPGRVSLDEALQRGTVDART AQKLRDVSAYSKYLTCPKTKLKISYKDALDRSMVEEGTGLRLLEAAAQSSKGYYSPYSVS GSGSTAGSRTGSRTGSRAGSRRGSFDATGSGFSMTFSSSSYSSSGYGRRYASGPSASLGG PESAVA >ENSMUSP00000015800.9 pep:known chromosome:GRCm38:9:40800984:40805200:1 gene:ENSMUSG00000015656.16 transcript:ENSMUST00000015800.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa8 description:heat shock protein 8 [Source:MGI Symbol;Acc:MGI:105384] MSKGPAVGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVA MNPTNTVFDAKRLIGRRFDDAVVQSDMKHWPFMVVNDAGRPKVQVEYKGETKSFYPEEVS SMVLTKMKEIAEAYLGKTVTNAVVTVPAYFNDSQRQATKDAGTIAGLNVLRIINEPTAAA IAYGLDKKVGAERNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVNH FIAEFKRKHKKDISENKRAVRRLRTACERAKRTLSSSTQASIEIDSLYEGIDFYTSITRA RFEELNADLFRGTLDPVEKALRDAKLDKSQIHDIVLVGGSTRIPKIQKLLQDFFNGKELN KSINPDEAVAYGAAVQAAILSGDKSENVQDLLLLDVTPLSLGIETAGGVMTVLIKRNTTI PTKQTQTFTTYSDNQPGVLIQVYEGERAMTKDNNLLGKFELTGIPPAPRGVPQIEVTFDI DANGILNVSAVDKSTGKENKITITNDKGRLSKEDIERMVQEAEKYKAEDEKQRDKVSSKN SLESYAFNMKATVEDEKLQGKINDEDKQKILDKCNEIISWLDKNQTAEKEEFEHQQKELE KVCNPIITKLYQSAGGMPGGMPGGFPGGGAPPSGGASSGPTIEEVD >ENSMUSP00000114081.1 pep:known chromosome:GRCm38:9:40801235:40805200:1 gene:ENSMUSG00000015656.16 transcript:ENSMUST00000117870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa8 description:heat shock protein 8 [Source:MGI Symbol;Acc:MGI:105384] MSKGPAVGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVA MNPTNTVFDAKRLIGRRFDDAVVQSDMKHWPFMVVNDAGRPKVACLGECLVASQVEELPH LVVLLQAPPLKRWIKSVQEGVALFHRDPKQVTWNNKTI >ENSMUSP00000113722.1 pep:known chromosome:GRCm38:9:40801302:40805200:1 gene:ENSMUSG00000015656.16 transcript:ENSMUST00000117557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa8 description:heat shock protein 8 [Source:MGI Symbol;Acc:MGI:105384] MSKGPAVGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVA MNPTNTVFDAKRLIGRRFDDAVVQSDMKHWPFMVVNDAGRPKVQVEYKGETKSFYPEEVS SMVLTKMKEIAEAYLGKATKDAGTIAGLNVLRIINEPTAAAIAYGLDKKVGAERNVLIFD LGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVNHFIAEFKRKHKKDISENKRA VRRLRTACERAKRTLSSSTQASIEIDSLYEGIDFYTSITRARFEELNADLFRGTLDPVEK ALRDAKLDKSQIHDIVLVGGSTRIPKIQKLLQDFFNGKELNKSINPDEAVAYGAAVQAAI LSGDKSENVQDLLLLDVTPLSLGIETAGGVMTVLIKRNTTIPTKQTQTFTTYSDNQPGVL IQVYEGERAMTKDNNLLGKFELTGIPPAPRGVPQIEVTFDIDANGILNVSAVDKSTGKEN KITITNDKGRLSKEDIERMVQEAEKYKAEDEKQRDKVSSKNSLESYAFNMKATVEDEKLQ GKINDEDKQKILDKCNEIISWLDKNQTAEKEEFEHQQKELEKVCNPIITKLYQSAGGMPG GMPGGFPGGGAPPSGGASSGPTIEEVD >ENSMUSP00000117285.1 pep:known chromosome:GRCm38:9:40801461:40802561:1 gene:ENSMUSG00000015656.16 transcript:ENSMUST00000133964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa8 description:heat shock protein 8 [Source:MGI Symbol;Acc:MGI:105384] MSKGPAVGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVA MNPTNTVFDAKRLIGRRFDDAVVQSDMKHWPFMVVNDAGRPKVQVEYKGETKSFYP >ENSMUSP00000105303.1 pep:known chromosome:GRCm38:11:11114223:11263526:1 gene:ENSMUSG00000050830.17 transcript:ENSMUST00000109681.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwc2 description:von Willebrand factor C domain containing 2 [Source:MGI Symbol;Acc:MGI:2442987] MPSSSAMAVGALSSSLLVTCCLMVALCSPSIPLEKLAQAPEQPGQEKREHASRDSPGRVS ELGRASRDEGSSARDWKSKGSRALSGREAWSKQKQAWAAQGGSAKAADWQVRPRGDTPQG EPPAAAQEAISLELVPTPELPEEYAYPDYRGKGCVDESGFVYAIGEKFAPGPSACPCLCT EEGPLCAQPECPRLHPRCIHVDNSQCCPQCKEKKNYCEFRGKTYQTLEEFVVSPCERCRC EANGEVLCTVSACPQTECVDPVYEPDQCCPICKNGPNCFAETAVIPAGREVKTDECTICH CTYEEGTWRIERQAMCTRHECRQM >ENSMUSP00000128761.1 pep:known chromosome:GRCm38:11:11114744:11268931:1 gene:ENSMUSG00000050830.17 transcript:ENSMUST00000129670.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vwc2 description:von Willebrand factor C domain containing 2 [Source:MGI Symbol;Acc:MGI:2442987] MPSSSAMAVGALSSSLLVTCCLMVALCSPSIPLEKLAQAPEQPGQEKREHASRDSPGRVS ELGRASRDEGSSARDWKSKGSRALSGREAWSKQKQAWAAQGGSAKAADWQVRPRGDTPQG EPPAAAQEAISLELVPTPELPEEYAYPDYRGKGCVDESGFVYAIGEKFAPGPSACPCLCT EEGPLCAQPECPRLHPRCIHVDNSQCCPQCKEKKNYCEFRGKTYQTLEEFVVSPCERCRC EANGEVLCTVSACPQTECVDPVYEPDQCCPICKNGPNCFAETAVIPAGREVKTDECTICH CTYEEGTWRIERQAMCTRHECRQM >ENSMUSP00000049692.4 pep:known chromosome:GRCm38:11:11115851:11266638:1 gene:ENSMUSG00000050830.17 transcript:ENSMUST00000056344.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwc2 description:von Willebrand factor C domain containing 2 [Source:MGI Symbol;Acc:MGI:2442987] MPSSSAMAVGALSSSLLVTCCLMVALCSPSIPLEKLAQAPEQPGQEKREHASRDSPGRVS ELGRASRDEGSSARDWKSKGSRALSGREAWSKQKQAWAAQGGSAKAADWQVRPRGDTPQG EPPAAAQEAISLELVPTPELPEEYAYPDYRGKGCVDESGFVYAIGEKFAPGPSACPCLCT EEGPLCAQPECPRLHPRCIHVDNSQCCPQCKEKKNYCEFRGKTYQTLEEFVVSPCERCRC EANGEVLCTVSACPQTECVDPVYEPDQCCPICKNGPNCFAETAVIPAGREVKTDECTICH CTYEEGTWRIERQAMCTRHECRQM >ENSMUSP00000026018.2 pep:known chromosome:GRCm38:X:18145857:18146701:1 gene:ENSMUSG00000025043.3 transcript:ENSMUST00000026018.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp21 description:dual specificity phosphatase 21 [Source:MGI Symbol;Acc:MGI:1920797] MTTASCIFPSQATQQDNIYGLSQITASLFISNSAVANDKLTLSNNHITTIINVSAEVVNT FFEDIQYVQVPVSDAPNSYLYDFFDPIADHIHGVEMRNGRTLLHCAAGVSRSATLCLAYL MKYHNMTLLDAHTWTKTCRPIIRPNNGFWEQLIHYEFKLFSRNTVRMIYSPIGLIPNIYE KEAYLMELM >ENSMUSP00000038972.7 pep:known chromosome:GRCm38:11:113776374:114066218:-1 gene:ENSMUSG00000041592.16 transcript:ENSMUST00000041627.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdk2 description:sidekick homolog 2 (chicken) [Source:MGI Symbol;Acc:MGI:2443847] MFSSMWRLPLWTLLALHRIHSAGAQDDVPPYFKTEPVRTQVHLEGNRLVLTCMAEGSWPL EFKWLHNNRELTRFSLEYRYMITSLDRTHAGFYRCIVRNRMGALLQRQTEVQVAYMGSFE EGEKRQSVNHGEAAVIRAPRISSFPRPQVTWFRDGRKIPPSSRIAITLENTLVILSTVAP DAGRYYVQAVNDKNGDNKTSQPITLAVENVGGPADPIAPTIIIPPKNTSVVAGTSEVTME CVANARPLIKLHIVWKKDGAPLSSGISDYNRRLTIANPTVSDAGYYECEAMLRSSSVAPV TRGAYLSVLEPPQFVREPERHITAEMEKVVDIPCRAKGVPPPSITWYKDAALVEVGKLTR FKQRSDGGLQISGLLPDDTGMLQCFAHNAAGEAQTSTYLAVTSIAPNITRGPLDSTVIDG MSVVLACETSGAPRPAITWQKGERILASGSVQLPRFTLLESGSLLISPTHISDAGTYTCL ATNSRGVDEASADLVVWARTRITKPPQDQSVIKGTQASMVCGVTHDPRVTVRYVWEKDGA TLAVETNPRIRLDRNGSLHISQTWSGDIGTYTCRVLSAGGNDSRNAHLRVRQLPHAPEHP VATLSTVERRAINLTWAKPFDGNSPLMRYVLEMSENNAPWTILLASVDPEATSVMVKGLV PARSYQFRLCAVNDVGKGQFSKDTERVSLPEEPPTAPPQNVIASGRTNQSIMIQWQPPPE SHQNGILKGYIIRYCLAGLPVGYQFKNITDADVNNLLLEDLIIWTNYEIEVAAYNSAGLG VYSSKVTEWTLQGVPTVPPGNVHAEATNSTTIRFTWNAPSPQFINGINQGYKLIAWEPAQ EEEVTMVTARPNFQDSIHVGFVSGLKKFTEYFTSVLCFTTPGDGPRSSPQLVRTHEDVPG PVGHLSFNDILDTSLKVSWQEPGEKNGILTGYRISWEEYNRTNTRVTHYLPNVTLEYRVT GLTALTTYTIEVAAMTSKGQGQVSASTISSGVPPELPGAPTNLGISNIGPRSVTLQFRPG YDGKTSISRWVVEAQVGVIGEGEEWLLIYQLGNEPDARSMEVPDLNPFTYYSFRMRQVNI VGTSPPSQPSRKIQTLQAPPDIAPANVTLRTASETSLWLRWMPLPEMEYNGNPESVGYKI KYGRSDGHGKTLSHTVQDRVEREYTIEDLEEWTEYRVQVQAFNAIGSGPWSQAVVGRTRE SVPSSGPTNVSALATTSSSMLVRWSEIPEADRNGLVLGYKVRYKEKDSDSQPRFWLVEGN SSRSAQLTGLGKYVLYEVQVLAFTRIGDGSPSHPPILERTLDDVPGPPMGILFPEVRTTS VRLIWQPPAAPNGIILAYQITHRLNATTANTATVEVLAPSARQYMATGLKPESVYLFRIT AQTRKGWGEAAEALVVTTEKRDRPQPPSKPVVQQEDVKARSVLLSWEPGSDGLSPVRYYT IQTRELPSGRWALHSASVSHNASAFTVDRLKPFTSYKFRVKATNDIGDSEFSEESESLTT LQAAPDEAPTILSVTPHTTTSVLIRWQPPSEDKINGILLGFRIRYRELLYDGLRGFTLRG INNPGAKWAELTSLYSMRNLTRPSLTQYELDNLSKHRRYEIRMSIYNAVGEGPLSPPQEV FVGEAVPTAAPQNVAIHSATATQLDVTWEPPPLDNQNGDIQGYKIYFWEVQRRNLTERVK TLFLAENSVKLKNLTGYTAYMVSVAAFNAAGDGPRSTPTRGQTQQAAPSAPGSVKFSELT TTSVNVSWDAPQFPNGPLEGYRLVYEPCTPVDGVSKIVTVDVKGNSPLWLKVKDLAEGMT YRFRIKAKTFTYGPEIEANITTGPGEGAPGPPGVPIIVRYSSAIAIHWSSGDPGKGPITR YVIEARPSDEGLWDILIKDIPKEVTSYTFSMDILKPGVSYDFRVIAVNDYGFGTPSSPSQ SVPAQKASPFYEEWWFLVVIALVGLIFILLLVFVLIIRGQSKKYSKKTDSGGNTKSGALG HGEMLSLDESSFPALELNNRRLSVKNSFCRKNGLYTRSPPRPSPGSLHYSDEDVTKYNDL IPAESSSLTEKPSEISDSQGSDSEYEVDTNTQKAHSFVNHYISDPTYYNSWRRQQKGISR AQAYSYTESDSGEPDHVTVPNSNSTQQGSLFRPKASRTPTPQNPPNPQSQQSTLYRPPSS LAPGSRAPIAGFSSFV >ENSMUSP00000116872.1 pep:known chromosome:GRCm38:11:113848562:114065975:-1 gene:ENSMUSG00000041592.16 transcript:ENSMUST00000141943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdk2 description:sidekick homolog 2 (chicken) [Source:MGI Symbol;Acc:MGI:2443847] MFSSMWRLPLWTLLALHRIHSAGAQDDVPPYFKTEPVRTQVHLEGNRLVLTCMAEGSWPL EFKWLHNNRELTRFSLEYRYMITSLDRTHAGFYRCIVRNRMGALLQRQTEVQVAYMGSFE EGEKRQSVNHGEAAVIRAPRISSFPRPQVTWFRDGRKIPPSSRIAITLENTLVILSTVAP DAGRYYVQAVNDKNGDNKTSQPITLAVENVGGPADPIAPTIIIPPKNTSVVAGTSEVTME CVANARPLIKLHIVWKKDGAPLSSGISDYNRRLTIANPTVSDAGYYECEAMLRSSSVAPV TRGAYLSVLEPPQFVREPERHITAEMEKVVDIPCRAKGVPPPSITWYKDAALVEVGKLTR FKQRSDGGLQISGLLPDDTGMLQCFAHNAAGEAQTSTYLAVTSIAPNITRGPLDSTVIDG MSVVLACETSGAPRPAITWQKGERILASGSVQLPRFTLLESGSLLISPTHISDAGTYTCL ATNSRGVDEASADLVVWARTRITKPPQDQSVIKGTQASMVCGVTHDPRVTVRYVWEKDGA TLAVETNPRIRLDRNGSLHISQTWSGDIGTYTCRVLSAGGNDSRNAHLRVRQLPHAPEHP VATLSTVERRAINLTWAKPFDGNSPLMRYVLEMSENNAPWTILLASVDPEATSVMVKGLV PARSYQFRLCAVNDVGKGQFSKDTERVSLPEEPPTAPPQNVIASGRTNQSIMIQWQPPPE SHQNGILKGYIIRYCLAGLPVGYQFKNITDADVNNLLLEDLIIWTNYEIEVAAYNSAGLG VYSSKVTEWTLQGVPTVPPGNVHAEATNSTTIRFTWNAPSPQFINGINQGYKLQRDQVAV SSSSWQSPPPPSSSQPLAHPEQLAEVNLRQFITPSVNVLVEKESLQALSIKNNHT >ENSMUSP00000030501.8 pep:known chromosome:GRCm38:4:118620799:118627776:1 gene:ENSMUSG00000028729.15 transcript:ENSMUST00000030501.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebna1bp2 description:EBNA1 binding protein 2 [Source:MGI Symbol;Acc:MGI:1916322] MDTPPLSESDSESDACLASDQELQDAFSRGLLKPGLNVVLEKPKKAVNDVSGLKQCLAEF RRDLEWVERLDVTLGPVPEVSETQPTPQNQDQKKGVNPEDDFQREMSFYRQAQAAVLAVL PRLHQLQVPTKRPTDYFAEMAKSDQQMQKIRQKLQTKQAAMEKSEKAKQLRALRKYGKKV QTEVLQKRQREKAHMMNAIKKYQKGFSDKLDFLEGDQKPVERSAKAGGKGQQMSKGPNAK RRYKNQKFGFGGKKKGSKWNTKESYDDVSSFRAKVAHGKGSRRPGKKGANKRPGKRARQK LKSKAR >ENSMUSP00000114372.1 pep:known chromosome:GRCm38:4:118621160:118624192:1 gene:ENSMUSG00000028729.15 transcript:ENSMUST00000147373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebna1bp2 description:EBNA1 binding protein 2 [Source:MGI Symbol;Acc:MGI:1916322] MRTSAVPLGRPILSGLKQCLAEFRRDLEWVERLDVTLGPVPEVSETQPTPQNQDQKKGVN PEDDFQREMSFYRQAQAAVLAVLPRLHQLQVPTKRPTDYFAEMAKSDQQMQKIRQKLQTK QAAMEKSEKAKQLRALRKYGKKVQTEVLQKRQREKAHMMNAIKKYQKGFSDKLDFLEGDQ KPVERSA >ENSMUSP00000145871.1 pep:known chromosome:GRCm38:6:83543217:83572433:-1 gene:ENSMUSG00000006906.10 transcript:ENSMUST00000206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stambp description:STAM binding protein [Source:MGI Symbol;Acc:MGI:1917777] MSDHGDVSLPPQDRVRILSQLGSAVELNEDIPPRRYYRSGVEIIRMASVYSEEGNIEHAF ILYNKYITLFIEKLPKHRDYKSAIIPEKKDAVKKLKSVAFPKAEELKTELLRRYTKEYEQ YKERKKKEEEELARNIAIQQELEKEKQRVAQQKQKQLEQEQFHAFEEMIQRQELEKERLK IVQEFGKVDPGPCGPLLPDLEKPCVDVAPSSPFSPTQTPDCNTGMRPAKPPVVDRSLKPG ALSVIENVPTIEGLRHIVVPRNLCSEFLQLASANTAKGIETCGVLCGKLMRNEFTITHVL IPRQNGGPDYCHTENEEEIFFMQDDLGLLTLGWIHTHPTQTAFLSSVDLHTHCSYQMMLP ESIAIVCSPKFQETGFFKLTDYGLQEISTCRQKGFHPHGRDPPLFCDCSHVTVKDRIVTI TDLR >ENSMUSP00000070876.7 pep:known chromosome:GRCm38:6:83543224:83572729:-1 gene:ENSMUSG00000006906.10 transcript:ENSMUST00000068054.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stambp description:STAM binding protein [Source:MGI Symbol;Acc:MGI:1917777] MSDHGDVSLPPQDRVRILSQLGSAVELNEDIPPRRYYRSGVEIIRMASVYSEEGNIEHAF ILYNKYITLFIEKLPKHRDYKSAIIPEKKDAVKKLKSVAFPKAEELKTELLRRYTKEYEQ YKERKKKEEEELARNIAIQQELEKEKQRVAQQKQKQLEQEQFHAFEEMIQRQELEKERLK IVQEFGKVDPGPCGPLLPDLEKPCVDVAPSSPFSPTQTPDCNTGMRPAKPPVVDRSLKPG ALSVIENVPTIEGLRHIVVPRNLCSEFLQLASANTAKGIETCGVLCGKLMRNEFTITHVL IPRQNGGPDYCHTENEEEIFFMQDDLGLLTLGWIHTHPTQTAFLSSVDLHTHCSYQMMLP ESIAIVCSPKFQETGFFKLTDYGLQEISTCRQKGFHPHGRDPPLFCDCSHVTVKDRIVTI TDLR >ENSMUSP00000146294.1 pep:known chromosome:GRCm38:6:83543762:83572417:-1 gene:ENSMUSG00000006906.10 transcript:ENSMUST00000206592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stambp description:STAM binding protein [Source:MGI Symbol;Acc:MGI:1917777] MSDHGDVSLPPQDRVRILSQLGSAVELNEDIPPRRYYRSGVEIIRMASVYSEEGNIEHAF ILYNKYITLFIEKLPKHRDYKSAIIPEKKDAVKKLKSVAFPKAEELKTELLRRYTKEYEQ YKERKKKEEEELARNIAIQQELEKEKQRVAQQKQKQLEQEQFHAFEEMIQRQELEKERLK IVQEFGKVDPGPCGPLLPDLEKPCVDVAPSSPFSPTQTPDCNTGMRPAKPPVVDRSLKPG ALSVIENVPTIEGLRHIVVPRNLCSEFLQLASANTAKGIETCGVLCGKLMRNEFTITHVL IPRQNGGPDYCHTENEEEIFFMQDDLGLLTLGWIHTHPTQTAFLSSVDLHTHCSYQMMLP ESIAIVCSPKFQETGFFKLTDYGLQEISTCRQKGFHPHGRDPPLFCDCSHVTVKDRIVTI TDLR >ENSMUSP00000041202.4 pep:known chromosome:GRCm38:2:155701677:155729475:-1 gene:ENSMUSG00000038312.10 transcript:ENSMUST00000040833.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edem2 description:ER degradation enhancer, mannosidase alpha-like 2 [Source:MGI Symbol;Acc:MGI:1915540] MPFRLLIPLGLVCVLLPLHHGAPGPDGTAPDPAHYRERVKAMFYHAYDSYLENAFPYDEL RPLTCDGHDTWGSFSLTLIDALDTLLILGNTSEFQRVVEVLQDNVDFDIDVNASVFETNI RVVGGLLSAHLLSKKAGVEVEAGWPCSGPLLRMAEEAARKLLPAFQTPTGMPYGTVNLLH GVNPGETPVTCTAGIGTFIVEFATLSSLTGDPVFEDVARVALMRLWESRSDIGLVGNHID VLTGKWVAQDAGIGAGVDSYFEYLVKGAILLQDKKLMAMFLEYNKAIRNYTHFDDWYLWV QMYKGTVSMPVFQSLEAYWPGLQSLIGDIDNAMRTFLNYYTVWKQFGGLPEFYNIPQGYT VEKREGYPLRPELIESAMYLYRATGDPTLLELGRDAVESIEKISKVECGFATIKDLRDHK LDNRMESFFLAETVKYLYLLFHPNNFIHNNGSTFDSVMTPHGECILGAGGYIFNTEAHPI DPAALHCCRRLKEEQWEVEDLIKEFYSLKQSRPKRAQRKTVRSGPWEPQSGPATLSSPAN QPREKQPAQQRTPLLSCPSQPFTSKLALLGQVFLDSS >ENSMUSP00000143223.1 pep:known chromosome:GRCm38:3:127670310:127729571:-1 gene:ENSMUSG00000028028.11 transcript:ENSMUST00000198955.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpk1 description:alpha-kinase 1 [Source:MGI Symbol;Acc:MGI:1918731] MNNQDAVASILHECKQVLDRLLLETPDVSTEDKSEDQRCRASLPSELRTLIQEAEEMKWP FVPEKWQYKQAMSPEDKTNLQDVIGAGLQQLLAALRASILVQDCAAASAIVFLMDRFLYG LDVSGKLLQVAKGLHKLKPATPIAPQVVIRQARVSVNSGKLLKAEYILSSLISNNGATGT WLYRNESDKVLVQSVCIQIRGQILQKLGMWYEAAELIWASVIGYLTLPQPDKKGISTSLG ILADIFVSMSKTDYEKFKKSPKVNLALLKEFDHHLLSASEACKLAAAFSAYTPLFVLTAV NIRGTCLLSYSCSADCPPGMKSVHLCEAKEAFEIGLLTKKDGELVSGKQELHSFIKAAFG LTTVHSRLHGETDAVRAARQLCSEAVGKLYTFSTSPTSQDREGLSQEIMSLISQVKGHLR VQSFPNLDACSYVPESFKCGLDRLILHGHVDFQQILETYSQHHTSVCEVFESTCGNSKRN QRDTKSEVCITTLKTETNTADTMVATLERVSSQDSRSTASSKMSKKDQGKLQRERGRSWT HSKAFRVSLDLDMETETEPPNHSNGGTDVFNKSLRDNSSSCSWGRLSGLSSSTSWEEVNC AVQDVVRKGSGQEEHPVEAQSSEAASEEPKRNRSSRAVYLSSKLRGVSLQTTGDDNLESS PSQLHNHTSILPFNAKDTCLASGAGLVETAEGSSNTSLQSSHSCGSDSWSLSSSDRFTDV TTNPSVQEEEPSGIMGDVPESKYDCKDWHGEKNGGTLAEICTGPELTSAPSSVDPEGETA ESTDDGLSPSQVALGCLEGSHSMSTRRTFFPDGSVQNADSAKTGCSVRDQTVDPDASTVD EEGQMLDSTEICSIGQDGAHRPRALRSGQSAEGPKSFVNGSSPSPIFDEDFSTTEEGEEL GSMLKSSQNSSSYSPWWLKSPAFSRSSSDGESSWSLLNSSRSSFASLAGQTSQEILEART LQPDDLEKLLAGVRHDWLLQRLENTGVLKSNQLQQAHSALLLKYSKKSELWTAQETVVYL GDYLKVKKKGKQRNAFWVHYLHQEETLGRYVGKEYKERKGLRHHFTDVERQMTAQHYVTE FNKRLYEQKIPTQIFYIPSTILLILEDRTIKGCISVEPYILGEFVKLSNNTKAVKNEYKA TEYGLAYGHFSYEFSNHRDVVVDLQGWVTGNGKGLIYLTDPQIHSVDQKDVTTNFGKRGI FYFFNNQHASCNEICHRLSLTRPSLEQTSKV >ENSMUSP00000123885.1 pep:known chromosome:GRCm38:3:127679520:127686340:-1 gene:ENSMUSG00000028028.11 transcript:ENSMUST00000159720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpk1 description:alpha-kinase 1 [Source:MGI Symbol;Acc:MGI:1918731] XWLYRNESDKVLVQSVCIQIRGQILQKLGMWYEAAELIWASVIGYLTLPQPDKKGISTSL GILADIFVSMSKTDYEKFKKSPKVNLALLKEFDHHLLSASEACKLAAAFSAYTPLFVLTA VNIRGTCLLSYSCSADCPPGMKSVHLCEAKEAFEIGLLTKKDGELFVRWGKLLVSAELKQ KFLR >ENSMUSP00000029662.5 pep:known chromosome:GRCm38:3:127670310:127780527:-1 gene:ENSMUSG00000028028.11 transcript:ENSMUST00000029662.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpk1 description:alpha-kinase 1 [Source:MGI Symbol;Acc:MGI:1918731] MNNQDAVASILHECKQVLDRLLLETPDVSTEDKSEDQRCRASLPSELRTLIQEAEEMKWP FVPEKWQYKQAMSPEDKTNLQDVIGAGLQQLLAALRASILVQDCAAASAIVFLMDRFLYG LDVSGKLLQVAKGLHKLKPATPIAPQVVIRQARVSVNSGKLLKAEYILSSLISNNGATGT WLYRNESDKVLVQSVCIQIRGQILQKLGMWYEAAELIWASVIGYLTLPQPDKKGISTSLG ILADIFVSMSKTDYEKFKKSPKVNLALLKEFDHHLLSASEACKLAAAFSAYTPLFVLTAV NIRGTCLLSYSCSADCPPGMKSVHLCEAKEAFEIGLLTKKDGELVSGKQELHSFIKAAFG LTTVHSRLHGETDAVRAARQLCSEAVGKLYTFSTSPTSQDREGLSQEIMSLISQVKGHLR VQSFPNLDACSYVPESFKCGLDRLILHGHVDFQQILETYSQHHTSVCEVFESTCGNSKRN QRDTKSEVCITTLKTETNTADTMVATLERVSSQDSRSTASSKMSKKDQGKLQRERGRSWT HSKAFRVSLDLDMETETEPPNHSNGGTDVFNKSLRDNSSSCSWGRLSGLSSSTSWEEVNC AVQDVVRKGSGQEEHPVEAQSSEAASEEPKRNRSSRAVYLSSKLRGVSLQTTGDDNLESS PSQLHNHTSILPFNAKDTCLASGAGLVETAEGSSNTSLQSSHSCGSDSWSLSSSDRFTDV TTNPSVQEEEPSGIMGDVPESKYDCKDWHGEKNGGTLAEICTGPELTSAPSSVDPEGETA ESTDDGLSPSQVALGCLEGSHSMSTRRTFFPDGSVQNADSAKTGCSVRDQTVDPDASTVD EEGQMLDSTEICSIGQDGAHRPRALRSGQSAEGPKSFVNGSSPSPIFDEDFSTTEEGEEL GSMLKSSQNSSSYSPWWLKSPAFSRSSSDGESSWSLLNSSRSSFASLAGQTSQEILEART LQPDDLEKLLAGVRHDWLLQRLENTGVLKSNQLQQAHSALLLKYSKKSELWTAQETVVYL GDYLKVKKKGKQRNAFWVHYLHQEETLGRYVGKEYKERKGLRHHFTDVERQMTAQHYVTE FNKRLYEQKIPTQIFYIPSTILLILEDRTIKGCISVEPYILGEFVKLSNNTKAVKNEYKA TEYGLAYGHFSYEFSNHRDVVVDLQGWVTGNGKGLIYLTDPQIHSVDQKDVTTNFGKRGI FYFFNNQHASCNEICHRLSLTRPSLEQTSKV >ENSMUSP00000101872.2 pep:known chromosome:GRCm38:4:121614271:121622103:-1 gene:ENSMUSG00000078575.2 transcript:ENSMUST00000106265.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12887 description:predicted gene 12887 [Source:MGI Symbol;Acc:MGI:3652131] MVLPCSLWILSVCLLSWCCDAELPVALDPESESVPQHPVIKIQVDVIVIVVSMKTSNETP KSGTYGQALQDGKNKMKDVENKVLDACSQLCQSLAQDPKLQHGYIVVAYFKEHQLLCCS >ENSMUSP00000146932.1 pep:known chromosome:GRCm38:7:24927445:24944494:-1 gene:ENSMUSG00000108367.2 transcript:ENSMUST00000206705.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-158L15.3 MDCSCVSDLLFAPPALPALWTPGFAFPDWAYKPESSPGSRQIQLWHFILELLQKEEYQGV IAWQGDYGEFVIKDPDEVARLWGIRKCKPHMNYDKLSRALRYYYNKRILHKTKGKRFTYK FNFSKVVLVNYPLLDVAAAATGSPLLLTPGPFAGAPGPDAPPLTPETLQNLFSAPRLGEP GARTPLFTPETDKLRLDSPFPFLGSGATGYSKPPSLLGPYGRAFPEYPWNFNPYLTGPFP KLPPSLYPPHFYPNPLGSLGHLSAAGAGGGPMAAPLLAATGEGLGPERPSGLAVAPRLAL PGAGGPEATLAGKEDSDSELEVTDVSGCSSDSEGDEGPVSPKTKSGKGGNGS >ENSMUSP00000112527.2 pep:known chromosome:GRCm38:8:70527724:70535328:1 gene:ENSMUSG00000019428.16 transcript:ENSMUST00000119353.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp8 description:FK506 binding protein 8 [Source:MGI Symbol;Acc:MGI:1341070] MASWAEPSEPAALRLPGAPLLEGFEVLDGVDDAEEEDDLSGLPPLEDMGQPTVEEAEQPG ALAREFLAATEPEPAPAPAPEEWLDILGNGLLRMKTLVPGPKGSSRPLKGQVVTVHLQMS LENGTRVQEEPELAFTLGDCDVIQALDLSVPLMDVGETAMVTADSKYCYGPQGRSPYIPP HAALCLEVTLKTAEDGPDLEMLSGQERVALANRKRECGNAHYQRADFVLAANSYDLAIKA ITSNTKVDMTCEEEEELLQLKVKCLNNLAASQLKLDHYRAALRSCSQVLEHQPDNIKALF RKGKVLAQQGEYSEAIPILRAALKLEPSNKTIHAELSKLVKKRAAQRSTETALYRKMLGN PSRLPAKCPGKGAWSIPWKWLFGATAVALGGVALSVVIAARN >ENSMUSP00000074935.7 pep:known chromosome:GRCm38:8:70527747:70535326:1 gene:ENSMUSG00000019428.16 transcript:ENSMUST00000075491.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp8 description:FK506 binding protein 8 [Source:MGI Symbol;Acc:MGI:1341070] MASWAEPSEPAALRLPGAPLLEGFEVLDGVDDAEEEDDLSGLPPLEDMGQPTVEEAEQPG ALAREFLAATEPEPAPAPAPEEWLDILGNGLLRMKTLVPGPKGSSRPLKGQVVTVHLQMS LENGTRVQEEPELAFTLGDCDVIQALDLSVPLMDVGETAMVTADSKYCYGPQGSRSPYIP PHAALCLEVTLKTAEDGPDLEMLSGQERVALANRKRECGNAHYQRADFVLAANSYDLAIK AITSNTKVDMTCEEEEELLQLKVKCLNNLAASQLKLDHYRAALRSCSQVLEHQPDNIKAL FRKGKVLAQQGEYSEAIPILRAALKLEPSNKTIHAELSKLVKKRAAQRSTETALYRKMLG NPSRLPAKCPGKGAWSIPWKWLFGATAVALGGVALSVVIAARN >ENSMUSP00000114069.1 pep:known chromosome:GRCm38:8:70527846:70535327:1 gene:ENSMUSG00000019428.16 transcript:ENSMUST00000119698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp8 description:FK506 binding protein 8 [Source:MGI Symbol;Acc:MGI:1341070] MASWAEPSEPAALRLPGAPLLEGFEVLDGVDDAEEEDDLSGLPPLEDMGQPTVEEAEQPG ALAREFLAATEPEPAPAPAPEEWLDILGNGLLRMKTLVPGPKGSSRPLKGQVVTVHLQMS LENGTRVQEEPELAFTLGDCDVIQALDLSVPLMDVGETAMVTADSKYCYGPQGSRSPYIP PHAALCLEVTLKTAEDGPDLEMLSGQERVALANRKRECGNAHYQRADFVLAANSYDLAIK AITSNTKVDMTCEEEEELLQLKVKCLNNLAASQLKLDHYRAALRSCSQVLEHQPDNIKAL FRKGKVLAQQGEYSEAIPILRAALKLEPSNKTIHAELSKLVKKRAAQRSTETALYRKMLG NPSRLPAKCPGKGAWSIPWKWLFGATAVALGGVALSVVIAARN >ENSMUSP00000113528.1 pep:known chromosome:GRCm38:8:70528816:70529583:1 gene:ENSMUSG00000019428.16 transcript:ENSMUST00000119425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp8 description:FK506 binding protein 8 [Source:MGI Symbol;Acc:MGI:1341070] MASWAEPSEPAALRLPGAPLLEGFEVLDGVDDAEEEDDLSGLPPLEDMGQPTVEEA >ENSMUSP00000118843.1 pep:known chromosome:GRCm38:8:70534117:70535057:1 gene:ENSMUSG00000019428.16 transcript:ENSMUST00000134893.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fkbp8 description:FK506 binding protein 8 [Source:MGI Symbol;Acc:MGI:1341070] VLAQQGEYSEAIPILRAALKLEPSNKTLGPTRRREQ >ENSMUSP00000083520.1 pep:known chromosome:GRCm38:7:6007750:6011010:-1 gene:ENSMUSG00000066850.1 transcript:ENSMUST00000086338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r65 description:vomeronasal 1 receptor 65 [Source:MGI Symbol;Acc:MGI:3033475] MVITPLCNEAISTLSQNKIMRTTEEVALQILLLCQAGVGILGNILLFLHNFSPILTDSQL RPIQIIQTNLAVANTFILLFLTFPNHMAVLAPRKPPTDLKCKLAYFFHMVARSTNMCSTC VLSTYQFVTLVPGTWARVIFREISPKVVSYSCYSCWLFSVLNNAYIPMNVSGPQKTDNGT DSKGKWVCSTSGFNVGMSFLQFAQDIIFISTMIWTSVSIVLHLNRHHQRMHYIHNTSQKF RAYAETRAAHTVLMLVVTFVSFYLLDCICTFFHISFVNTRFWLRHVKEVLTVSFPTISPL LLIFRDPMCPCPVPFIVGLQSRVTGISSLEQE >ENSMUSP00000093698.5 pep:known chromosome:GRCm38:6:41442216:41446145:-1 gene:ENSMUSG00000071517.6 transcript:ENSMUST00000095999.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10334 description:predicted gene 10334 [Source:MGI Symbol;Acc:MGI:3641889] MNAFLILALVGAAVAFPVDDDDKIVGGYTCQENSVPYQVSLNSGYHFCGGSLINDQWVVS AAHCYKTRIQVRLGEHNINVLEGNEQFVNAAKIIKHPNFNRKTLNNDIMLIKLSSPVTLN ARVATVALPSSCAPAGTQCLISGWGNTLSFGVSEPDLLQCLDAPLLPQADCEASYPGKIT GNMVCAGFLEGGKDSCQGDSGGPVVCNGELQGIVSWGYGCALPDNPGVYTKVCNYVDWIQ DTIAAN >ENSMUSP00000101479.3 pep:known chromosome:GRCm38:4:135855747:135865815:1 gene:ENSMUSG00000028676.17 transcript:ENSMUST00000105853.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf10 description:serine/arginine-rich splicing factor 10 [Source:MGI Symbol;Acc:MGI:1333805] MSRYLRPPNTSLFVRNVADDTRSEDLRREFGRYGPIVDVYVPLDFYTRRPRGFAYVQFED VRDAEDALHNLDRKWICGRQIEIQFAQGDRKTPNQMKAKEGRNVYSSSRYDDYDRYRRSR SRSYERRRSRSRSFDYNYRRSYSPRNSRPTGRPRRSRSHSDNDRFKHRNRSFSRSKSNSR SRSKSQPKKEMKAKSRSRSASHTKTRGTSKTDSKTHYKSGSRYEKESRKKEPPRSKSQSR SQSRSRSKSRSRSWTSPKSSGH >ENSMUSP00000095455.2 pep:known chromosome:GRCm38:4:135855747:135865815:1 gene:ENSMUSG00000028676.17 transcript:ENSMUST00000097844.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf10 description:serine/arginine-rich splicing factor 10 [Source:MGI Symbol;Acc:MGI:1333805] MSRYLRPPNTSLFVRNVADDTRSEDLRREFGRYGPIVDVYVPLDFYTRRPRGFAYVQFED VRDAEDALHNLDRKWICGRQIEIQFAQGDRKTPNQMKAKEGRNVYSSSRYDDYDRYRRSR SRSYERRRSRSRSFDYNYRRSYSPRNRPTGRPRRSRSHSDNDRFKHRNRSFSRSKSNSRS RSKSQPKKEMKAKSRSRSASHTKTRGTSKTDSKTHYKSGSRYEKESRKKEPPRSKSQSRS QSRSRSKSRSRSWTSPKSSGH >ENSMUSP00000099603.2 pep:known chromosome:GRCm38:4:135855747:135868382:1 gene:ENSMUSG00000028676.17 transcript:ENSMUST00000102544.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf10 description:serine/arginine-rich splicing factor 10 [Source:MGI Symbol;Acc:MGI:1333805] MSRYLRPPNTSLFVRNVADDTRSEDLRREFGRYGPIVDVYVPLDFYTRRPRGFAYVQFED VRDAEDALHNLDRKWICGRQIEIQFAQGDRKTPNQMKAKEGRNVYSSSRYDDYDRYRRSR SRSYERRRSRSRSFDYNYRRSYSPRNRPTGRPRRSRSHSDNDRPNCSWNTQYSSAYYTSR KI >ENSMUSP00000114564.1 pep:known chromosome:GRCm38:4:135855747:135869908:1 gene:ENSMUSG00000028676.17 transcript:ENSMUST00000126641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf10 description:serine/arginine-rich splicing factor 10 [Source:MGI Symbol;Acc:MGI:1333805] MSRYLRPPNTSLFVRNVADDTRSEDLRREFGRYGPIVDVYVPLDFYTRRPRGFAYVQFED VRDAEDALHNLDRKWICGRQIEIQFAQGDRKTPNQMKAKEGRNVYSSSRYDDYDRYRRSR SRSYERRRSRSRSFDYNYRRSYSPRNSRPTGRPRRSRSHSDNDRPNCSWNTQYSSAYYTS RKI >ENSMUSP00000055437.6 pep:known chromosome:GRCm38:1:36264597:36273435:-1 gene:ENSMUSG00000047180.8 transcript:ENSMUST00000056946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl3 description:neuralized E3 ubiquitin protein ligase 3 [Source:MGI Symbol;Acc:MGI:2429944] MGSLLSPEANAEVPREALSFHGNATGAQVHLDDQRSTARRRSTFHDGIVFSQRPVWPGER VALRVLRHEEGWCGGLRVGFTRLDPAQVAASCLPPFVCPDLEEQSPTWAALLPEGFVRAG NVVCFWVNRRGWLFAKVNAGRPLLLRKDVLVQGAPLWAVMDVYGTTKAIELLDPKANAWI RSGEPVPESEVISGEECVICFHNTANTRLMPCGHSHFCGSCAWHIFKDTARCPICRWQIE EVAVVSSLKAEEGS >ENSMUSP00000139665.2 pep:known chromosome:GRCm38:1:36268861:36274679:-1 gene:ENSMUSG00000047180.8 transcript:ENSMUST00000188666.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl3 description:neuralized E3 ubiquitin protein ligase 3 [Source:MGI Symbol;Acc:MGI:2429944] MGSLLSPEANAEVPREALSFHGNATGAQVHLDDQRSTARRRSTFHDGIVFSQRPVWPGER VALRVLRHEEGWCGGLRVGFTRLDPAQVAASCLPPFVCPDLEEQSPTWAALLPEGFVRAG NVVCFWVNRRGWLFAKVNAGRPLLLRKDVLVQGAPLWAVMDVYGTTKAIELLGETPWGPD TECGMETKVQMTSCA >ENSMUSP00000099728.3 pep:known chromosome:GRCm38:11:60777524:60781067:1 gene:ENSMUSG00000049323.8 transcript:ENSMUST00000102667.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smcr8 description:Smith-Magenis syndrome chromosome region, candidate 8 homolog (human) [Source:MGI Symbol;Acc:MGI:2444720] MISAPDVVAFTKEDEYEEEPYNEPALPEEYSVPLFPYASQGANPWSKLSGAKFSRDFILI SEFSEQVGPQPLLTIPNDTKVFGTFDLNYFSLRIMSVDYQASFVGHPPGSAYPKLNFVED SKVVLGDSKEGAFAYVHHLTLYDLEARGFVRPFCMAYISADQHKIMQQFQELSAEFSKAS ECLKMGNRKAFAGELEKKLKDLDYTRTVLHTETEIQKKANDKGFYSSQAIEKANELANVE KSIIEHQDLLRQIRSYPRQKTKIPDLQPGDTEHTQDQADQVSTTSNPEESANADLYTCRP AYTPKLIKAKSTKCFDKKLKTLEELCDTEYFTQTLAQLSHIEHMFRGDLCYLLTSQIDRV LRKQQPITNFLFEDFVEVDDRMEKQENVPSQPSQDRLPPKPVEECPIPKVLISVGSYKSS VESVLIKMEQELGDEEYTGVEATEARSFDPQENLDYLDMDMKGSISSGESIEVLGTEKSA SVLSKSDSQASLTVPLSPHVVRSKAVSHRTISEDSIEVLSTCPSEALIPDDFKASYPSAI NEEEAYADNEGAIHFQASAGSPEPDETQEGNLENIPSQIDSSCCIGKESEGHLVPLPTPA YTLSDEDSVVSIPPQRYIQKDQGLHVDFGVENTDPSPRDNSCEMFPAYELDPSCLLASRD VSKMSLDNYSDTTSYMGSAASTSSDRIPSAPPAGLSSERHKKRAGQNALKFIRQYPFAHP AIYSLLSGRTLVVLGEDETIVRKLVTALSIFVPNYGCYAKPVKHWISSPLHIMDFQKWKL IGLQR >ENSMUSP00000055926.6 pep:known chromosome:GRCm38:11:60777525:60788287:1 gene:ENSMUSG00000049323.8 transcript:ENSMUST00000056907.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smcr8 description:Smith-Magenis syndrome chromosome region, candidate 8 homolog (human) [Source:MGI Symbol;Acc:MGI:2444720] MISAPDVVAFTKEDEYEEEPYNEPALPEEYSVPLFPYASQGANPWSKLSGAKFSRDFILI SEFSEQVGPQPLLTIPNDTKVFGTFDLNYFSLRIMSVDYQASFVGHPPGSAYPKLNFVED SKVVLGDSKEGAFAYVHHLTLYDLEARGFVRPFCMAYISADQHKIMQQFQELSAEFSKAS ECLKMGNRKAFAGELEKKLKDLDYTRTVLHTETEIQKKANDKGFYSSQAIEKANELANVE KSIIEHQDLLRQIRSYPRQKTKIPDLQPGDTEHTQDQADQVSTTSNPEESANADLYTCRP AYTPKLIKAKSTKCFDKKLKTLEELCDTEYFTQTLAQLSHIEHMFRGDLCYLLTSQIDRV LRKQQPITNFLFEDFVEVDDRMEKQENVPSQPSQDRLPPKPVEECPIPKVLISVGSYKSS VESVLIKMEQELGDEEYTGVEATEARSFDPQENLDYLDMDMKGSISSGESIEVLGTEKSA SVLSKSDSQASLTVPLSPHVVRSKAVSHRTISEDSIEVLSTCPSEALIPDDFKASYPSAI NEEEAYADNEGAIHFQASAGSPEPDETQEGNLENIPSQIDSSCCIGKESEGHLVPLPTPA YTLSDEDSVVSIPPQRYIQKDQGLHVDFGVENTDPSPRDNSCEMFPAYELDPSCLLASRD VSKMSLDNYSDTTSYMGSAASTSSDRIPSAPPAGLSSERHKKRAGQNALKFIRQYPFAHP AIYSLLSGRTLVVLGEDETIVRKLVTALSIFVPNYGCYAKPVKHWISSPLHIMDFQKWKL IGLQRVASPANVGTLHTLSRYSRYTSILDLDSKTLRCPLYRGTLVPRLADHRTQIKRGST YYLHVQSMLTQLCSKAFLYTFCHHLHLPAHSEETQEAVASRQTSFLKLNLGLVNEDIRVV QYLAELLKLHYMQESPGTTHPLLRFDYVPSFLYKI >ENSMUSP00000077711.1 pep:known chromosome:GRCm38:13:22501337:22502245:-1 gene:ENSMUSG00000094379.1 transcript:ENSMUST00000078642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r202 description:vomeronasal 1 receptor 202 [Source:MGI Symbol;Acc:MGI:2159693] MKIIWKDLIQRVIFISLTGIGVLGNIILFVKHVHTFIMGRENKNIDVILIHLAFVNIIII YCIGVRTIAMIFYIRNFLDDVGCKTIIYLERVARGLSICTTCLLSVVQAVTISPRTTLWR KLKPQTPWHVLAFLLLFWMFNSLISSNLLHYITAGSSMNRSVVGMVTGYCYILPSRNTVK WMFISLMAVRDVIFQGLMGWSSGSMALHLYKHHKRVLYLHSSRSADNSRPEIRATQRVLT LMTCFLFFYLADFIFSLYTGSTVANDFTIPSMKAFLVLSYAGLSPFVLIIFDVHIAKTCC VP >ENSMUSP00000045288.7 pep:known chromosome:GRCm38:11:30426006:30471808:-1 gene:ENSMUSG00000040919.13 transcript:ENSMUST00000041763.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930505A04Rik description:RIKEN cDNA 4930505A04 gene [Source:MGI Symbol;Acc:MGI:1922337] MEGEEKQQQHKTEDDGIACVAERKVEIKNEKSPGKSTQHPKPCVDRRRVNYAKFIHTNAR TYNEPVPYIDNKGPEKQRKWWFHNEAPKHVSQPSYDTKSVQRSDFQKPACPLVLPVKHSR MQKPSCGIVPLTSLDVSGEHENNFVEYISFIHQYDARRTPNEPIKGKKHGTFVQREIKLG AMPIVPKAPEVLLNTLESGSSEQPQKTDKGNSSGDKVTSPGLCQQNSQELLET >ENSMUSP00000117004.1 pep:known chromosome:GRCm38:11:30426006:30471827:-1 gene:ENSMUSG00000040919.13 transcript:ENSMUST00000152718.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930505A04Rik description:RIKEN cDNA 4930505A04 gene [Source:MGI Symbol;Acc:MGI:1922337] MEGEEKQQQHKTEDDGIACVAERKVEIKNEKSPGKSTQHPKPCVDRRRVNYAKFIHTNAR TYNEPVPYIDNKGPEKQIILLGGYIVNRYYSLLSGPGLLEKMVVP >ENSMUSP00000023504.4 pep:known chromosome:GRCm38:16:38248323:38294824:-1 gene:ENSMUSG00000022809.4 transcript:ENSMUST00000023504.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1i2 description:nuclear receptor subfamily 1, group I, member 2 [Source:MGI Symbol;Acc:MGI:1337040] MRPEESWSRVGLVQCEEADSALEEPINVEEEDGGLQICRVCGDKANGYHFNVMTCEGCKG FFRRAMKRNVRLRCPFRKGTCEITRKTRRQCQACRLRKCLESGMKKEMIMSDAAVEQRRA LIKRKKREKIEAPPPGGQGLTEEQQALIQELMDAQMQTFDTTFSHFKDFRLPAVFHSGCE LPEFLQASLLEDPATWSQIMKDRVPMKISLQLRGEDGSIWNYQPPSKSDGKEIIPLLPHL ADVSTYMFKGVINFAKVISYFRDLPIEDQISLLKGATFEMCILRFNTMFDTETGTWECGR LAYCFEDPNGGFQKLLLDPLMKFHCMLKKLQLHKEEYVLMQAISLFSPDRPGVVQRSVVD QLQERFALTLKAYIECSRPYPAHRFLFLKIMAVLTELRSINAQQTQQLLRIQDSHPFATP LMQELFSSTDG >ENSMUSP00000018744.8 pep:known chromosome:GRCm38:11:60788104:60811265:-1 gene:ENSMUSG00000020534.14 transcript:ENSMUST00000018744.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shmt1 description:serine hydroxymethyltransferase 1 (soluble) [Source:MGI Symbol;Acc:MGI:98299] MADRDATLWASHEKMLSQPLKDSDAEVYSIIKKESNRQRVGLELIASENFASRAVLEALG SCLNNKYSEGYPGQRYYGGTEFIDELEMLCQKRALQAYHLDPQCWGVNVQPYSGSPANFA VYTALVEPHGRIMGLDLPDGGHLTHGFMTDKKKISATSIFFESMPYKVYPETGYINYDQL EENASLFHPKLIIAGTSCYSRNLDYARLRKIADDNGAYLMADMAHISGLVAAGVVPSPFE HCHVVTTTTHKTLRGCRAGMIFYRKGVRSVDPKTGKETYYELESLINSAVFPGLQGGPHN HAIAGVAVALKQAMTTEFKIYQLQVLANCRALSDALTELGYKIVTGGSDNHLILMDLRSK GTDGGRAEKVLEACSIACNKNTCPGDKSALRPSGLRLGTPALTSRGLLEEDFQKVAHFIH RGIELTLQIQSHMATKATLKEFKEKLAGDEKIQSAVATLREEVENFASNFSLPGLPDF >ENSMUSP00000134318.1 pep:known chromosome:GRCm38:11:60789144:60807021:-1 gene:ENSMUSG00000020534.14 transcript:ENSMUST00000174719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shmt1 description:serine hydroxymethyltransferase 1 (soluble) [Source:MGI Symbol;Acc:MGI:98299] XADRDATLWASHEKMLSQPLKDSDAEVYSIIKKESNRQRVGLELIASENFASRAVLEALG SCLNNKYSEGYPGQRYYGGTEFIDELEMLCQKRALQAYHLDPQCWGVNVQPYSGSPANFA VYTALVEPHGRIMGLDLPDGGHLTHGFMTDKKKISATSIFFESMPYKVYPETGYINYDQL EENASLFHPKLIIAGTSCYSRNLDYARLRKIADDNGAYLMADMAHISGLVAAGVVPSPFE HCHVVTTTTHKTLRGCRAGMIFYRKGVAVALKQAMTTEFKIYQLQVLANCRALSDALTEL GYKIVTGGSDNHLILMDLRSKGTDGGRAEKVLEACSIACNKNTCPGDKSALRPSGLRLGT PALTSRGLLEEDFQKVAHFIHRGIELTLQIQSHMATKATLKEFKEKLAGDEKIQSAVATL REEVENFASNFSLPGLPDF >ENSMUSP00000134703.1 pep:known chromosome:GRCm38:11:60789144:60807021:-1 gene:ENSMUSG00000020534.14 transcript:ENSMUST00000174174.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Shmt1 description:serine hydroxymethyltransferase 1 (soluble) [Source:MGI Symbol;Acc:MGI:98299] XADRDATLWASHEKMLSQPLKDSDAEVYSIIKKESNRQRVGLELIASENFASRAVLEALG SCLNNKYSEGYPGQRLPCKLCCVYCPGRASWANHGLGPARRWPSDPWLHDRQEENLCHIY LL >ENSMUSP00000134269.1 pep:known chromosome:GRCm38:11:60789144:60807021:-1 gene:ENSMUSG00000020534.14 transcript:ENSMUST00000174214.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shmt1 description:serine hydroxymethyltransferase 1 (soluble) [Source:MGI Symbol;Acc:MGI:98299] XADRDATLWASHEKMLSQPLKDSDAEVYSIIKKESNRQRVGLELIASENFASRAVLEALG SCLNNKYSEGYPGQRYYGGTEFIDELEMLCQKRALQAYHLDPQCWGVNVQPYSGSPANFA VYTALVEPHGRIMGLDLPDGGHLTHGFMTDKKKISATSIFFESMPYKVYPETGYINYDQL EENASLFHPKLIIAGTSCYSRNLDYARLRKIADDNGAYLMADMAHISGLVAAGVVPSPFE HCHVVTTTTHKTLRGCRAGMIFYRKGVRSVDPKTGKETYYELESLINSAVFPGLQGGPHN HAIAGVAVALKQAMTTEFKIYQLQVLANCRALSDALTELGYKIVTGGSDNHLILMDLRSK GTDGGRAEKVLEACSIACNKNTCPGIELTLQIQSHMATKATLKEFKEKLAGDEKIQSAVA TLREEVENFASNFSLPGLPDF >ENSMUSP00000098060.1 pep:known chromosome:GRCm38:14:63011771:63018088:1 gene:ENSMUSG00000075572.1 transcript:ENSMUST00000100491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb43 description:defensin beta 43 [Source:MGI Symbol;Acc:MGI:3647180] MRVLFSILGVLTLLSIVPLARSFLENQDCSKHRHCRMKCKANEYAVRYCEDWTICCRVKK KESKKKKMW >ENSMUSP00000061610.4 pep:known chromosome:GRCm38:6:97110024:97149182:-1 gene:ENSMUSG00000035245.12 transcript:ENSMUST00000054344.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eogt description:EGF domain-specific O-linked N-acetylglucosamine (GlcNAc) transferase [Source:MGI Symbol;Acc:MGI:2141669] MLMLLVFGVLLHEVPLSGQDKAHSEADDAPGKALYDYSSLRLPAEHIPFFLHNNRHVASV CREDSHCPYKKHLENLNYCWGYEKSCAPEFRFGSPVCSYVDLGWTDTLESAQDMFWRQAD FGYARERLGEIRTICQPERASDSSLVCSRYLQYCRATGLYLDLRNIKRNHDRFKEDFLQG GEIGGYCKLDSHALVSEGQRKSPLQSWFAELQGYTQLNFRPIEDAKCDIVVEKPTYFMKL DAGINMYHHFCDFLNLYLTQHVNNSFSTDVYIVMWDTSTYGYGDLFSDTWKAFTDYDVIH LKTYDSKKVCFKEAVFSLLPRMRYGLFYNTPLISGCQNTGLFRAFSQHVLHRLNITQEGP KDGKVRVTILARSTEYRKILNQDELVNALKTVSTFEVRVVDYKYRELGFLDQLRITHNTD IFIGMHGAGLTHLLFLPDWAAVFELYNCEDERCYLDLARLRGIHYITWRKPSKVFPQDKG HHPTLGEHPKFTNYSFDVEEFMYLVLQAAEHVLQHPQWPFKKKHDEL >ENSMUSP00000109014.1 pep:known chromosome:GRCm38:6:97112001:97148927:-1 gene:ENSMUSG00000035245.12 transcript:ENSMUST00000113387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eogt description:EGF domain-specific O-linked N-acetylglucosamine (GlcNAc) transferase [Source:MGI Symbol;Acc:MGI:2141669] MLMLLVFGVLLHEVPLSGQDKAHSEASTTSPGGSPAKYFLRIRVTIQLWENTRSLPTTLL M >ENSMUSP00000117541.1 pep:known chromosome:GRCm38:6:97135247:97147784:-1 gene:ENSMUSG00000035245.12 transcript:ENSMUST00000136575.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eogt description:EGF domain-specific O-linked N-acetylglucosamine (GlcNAc) transferase [Source:MGI Symbol;Acc:MGI:2141669] MLMLLVFGVLLHEVPLSGQDKAHSEADDAPGKALYDYSSLRLPAEHIPFFLHNNRHVASV CREDSHCPYKKHLENLNYCWGYEKSCAPEFRFGSPVCSYVDLGWTDTLESAQDMFWRQAD FGYARERLGEIRTICQPERASDSSLVCS >ENSMUSP00000115101.1 pep:known chromosome:GRCm38:6:97143980:97147794:-1 gene:ENSMUSG00000035245.12 transcript:ENSMUST00000142553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eogt description:EGF domain-specific O-linked N-acetylglucosamine (GlcNAc) transferase [Source:MGI Symbol;Acc:MGI:2141669] MLMLLVFGVLLHEVPLSGQDKAHSEADDAPGKALYDYSSLRLPAEHIPFFLHNNRHVASV CREDSHCPYKKHLENLNYCWGYEKSCAPEF >ENSMUSP00000145303.1 pep:known chromosome:GRCm38:6:97145270:97148860:-1 gene:ENSMUSG00000035245.12 transcript:ENSMUST00000204331.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eogt description:EGF domain-specific O-linked N-acetylglucosamine (GlcNAc) transferase [Source:MGI Symbol;Acc:MGI:2141669] MLMLLVFGVLLHEVPLSGQDKAHSEADDAPGKALYDYSSLRLPAEHIPFFLHNNRHVASV CREDSHC >ENSMUSP00000116362.1 pep:known chromosome:GRCm38:6:97145324:97148924:-1 gene:ENSMUSG00000035245.12 transcript:ENSMUST00000142116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eogt description:EGF domain-specific O-linked N-acetylglucosamine (GlcNAc) transferase [Source:MGI Symbol;Acc:MGI:2141669] MLMLLVFGVLLHEVPLSGQDKAHSEADDAPGKALYDYSSLRLPAEHIPF >ENSMUSP00000089322.1 pep:known chromosome:GRCm38:13:22524051:22524986:1 gene:ENSMUSG00000069289.1 transcript:ENSMUST00000091729.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r203 description:vomeronasal 1 receptor 203 [Source:MGI Symbol;Acc:MGI:2159674] MQVFLPRKEKMVLKYIKDIIFLFMTVVGTLGNMSVSVNYTFSCWGSPEKKPIYLILIHMA FTNILILLAKGLPKTIAAFGLRNFLDDIGCKTIVYLERVARGLSICTSSLLTVVQAIIIS PRTSGWRRLRPKSAWHILPFFSFFWILNALISTNLIHSIRSTSLNISQHINDENYCYFML ENQKIKLIVLHLMVLRDAVFQGAMGGASGYMVFLLHKHHQHVLYLQNSKLLYRTPPELRA AQSVFLLMLCFVFFYWTDCALSLIISISARENSLMVNTRNFLALGYATFCPFVLIHRDGL WLNFGMLCGRN >ENSMUSP00000145149.1 pep:known chromosome:GRCm38:10:129607547:129612095:-1 gene:ENSMUSG00000052012.4 transcript:ENSMUST00000203977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr796 description:olfactory receptor 796 [Source:MGI Symbol;Acc:MGI:3030630] MDEENQTTTTEFLLLGFSDLRALQGPLFWLVLLVYLITFLGNSLIIFLTQTSPVLHSPMY FFLRHLSMVELLYTTDIVPRVLADLTSSHPQAISFRSCAAQMYFFIVLGISECCLLTAMA YDRYAAICQPLHYSTLMNHRACIAMVGTSWIMGIITATTHSSLIFTLPFPSRPIIPHFLC DILPVLRLASAGKHRSEISVMTATVVFIMIPFSLIVTSYARILGAILAIASSQSRRKVFS TCSSHLLVVSLFFGTASITYIRPRAGSSVTTDRILSLFYTVVTPMLNPIIYTLRNKEVIG ALKHMKRQVP >ENSMUSP00000040207.4 pep:known chromosome:GRCm38:10:129607547:129608479:-1 gene:ENSMUSG00000052012.4 transcript:ENSMUST00000037071.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr796 description:olfactory receptor 796 [Source:MGI Symbol;Acc:MGI:3030630] MDEENQTTTTEFLLLGFSDLRALQGPLFWLVLLVYLITFLGNSLIIFLTQTSPVLHSPMY FFLRHLSMVELLYTTDIVPRVLADLTSSHPQAISFRSCAAQMYFFIVLGISECCLLTAMA YDRYAAICQPLHYSTLMNHRACIAMVGTSWIMGIITATTHSSLIFTLPFPSRPIIPHFLC DILPVLRLASAGKHRSEISVMTATVVFIMIPFSLIVTSYARILGAILAIASSQSRRKVFS TCSSHLLVVSLFFGTASITYIRPRAGSSVTTDRILSLFYTVVTPMLNPIIYTLRNKEVIG ALKHMKRQVP >ENSMUSP00000099986.3 pep:known chromosome:GRCm38:11:5872180:5878292:-1 gene:ENSMUSG00000020471.11 transcript:ENSMUST00000102922.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pold2 description:polymerase (DNA directed), delta 2, regulatory subunit [Source:MGI Symbol;Acc:MGI:1097163] MFSEQAAQRAHTLLAPPSASNATFARVPVATYTNSSQPFRLGERSFNRQYAHIYATRLIQ MRPFLVSRAQQHWGSRVEVKKLCELQPGEQCCVVGTLFKAMSLQPSILREISEEHNLVPQ PPRSKYIHPDDELVLEDELQRIKLKGTIDVSKLVTGTVLAVLGSAKDDGRFQVEDHCFAD LAPQKPVPPLDTDRFVLLVSGLGLGGGGGESLLGTQLLVDVVTGQLGDEGEQCSAAHVSR VILAGNLLSHNTQSRDSINKAKYLTKKTQAASVEAVKMLDEILLQLSASVPVDVMPGEFD PTNYTLPQQPLHPCMFPLATAYSTLQLVTNPYQATIDGVRFLGTSGQNVSDIFRYSSMED HLEILEWTLRVRHISPTAPDTLGCYPFYKTDPFIFPECPHVYFCGNTPSFGSKIIRGPED QVVLLVAVPDFSSTQTACLVNLRSLACQPISFAGFGAEQEDLEGLGLGP >ENSMUSP00000122906.1 pep:known chromosome:GRCm38:11:5874080:5877662:-1 gene:ENSMUSG00000020471.11 transcript:ENSMUST00000153995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pold2 description:polymerase (DNA directed), delta 2, regulatory subunit [Source:MGI Symbol;Acc:MGI:1097163] MFSEQAAQRAHTLLAPPSASNATFARVPVATYTNSSQPFRLGERSFNRQYAHIYATRLIQ MRPFLVSRAQQHWGSRVEVKKLCELQPGEQCCVVGTLFKAMSLQPSILREISEEHNLVPQ PPRSKYIHPDDELVLEDELQRIKLKGTIDVSKLVTGTVLAVLGSAKDDGRFQVEDHCFAD LAPQKPVPPLDTDRFVLLVSGLGLGGGGGESLLGTQLLVDVVTGQLGDEGEQCSAAHVSR V >ENSMUSP00000126887.1 pep:known chromosome:GRCm38:13:98489348:98492001:-1 gene:ENSMUSG00000092116.1 transcript:ENSMUST00000170205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10320 description:predicted pseudogene 10320 [Source:MGI Symbol;Acc:MGI:3642323] MNLHTSTSPTGKLMSSLQPGPTPSGTNVGSSGRSPSKAVAARAAGSTVRQRKNASCGTRS TGRTTSAGTGGMWRFYTEDSPGLKVGPVPVLVMSLLFIASVFMLHIWGKYTRS >ENSMUSP00000108195.2 pep:known chromosome:GRCm38:19:29410919:29471157:1 gene:ENSMUSG00000016498.9 transcript:ENSMUST00000112576.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd1lg2 description:programmed cell death 1 ligand 2 [Source:MGI Symbol;Acc:MGI:1930125] MLLLLPILNLSLQLHPVAALFTVTAPKEVYTVDVGSSVSLECDFDRRECTELEGIRASLQ KVENDTSLQSERATLLEEQLPLGKALFHIPSVQVRDSGQYRCLVICGAAWDYKYLTVKVK ASYMRIDTRILEVPGTGEVQLTCQARGYPLAEVSWQNVSVPANTSHIRTPEGLYQVTSVL RLKPQPSRNFSCMFWNAHMKELTSAIIDPLSRMEPKVPRTWPLHVFIPACTIALIFLAIV IIQRKRI >ENSMUSP00000114256.1 pep:known chromosome:GRCm38:11:6615598:6626043:-1 gene:ENSMUSG00000000384.15 transcript:ENSMUST00000156969.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbrg4 description:transforming growth factor beta regulated gene 4 [Source:MGI Symbol;Acc:MGI:1100868] MAVRLMKRCTCLLREATRLVPTVAPVGRLRLAGVSCKTLTSSVSSPSSGSLAELLGKEQV FTPYPEHQELDFLIEKASRPEQLLELLGSDHSLHHNHAALILIRLSYLLSEKPKEKALLA EDARFQRLVKLVDSQITCVWHGTLVKLLRSLYTLVLPQISKELQSVEQEVRWRLRRLKYK HLVFLAESCASFMKEQHSQELLAELLMHLERRWTEINDSRTLVTMMTMAGHLSESLMNHL EDKCLELVEQFGPDELRKVLVTLAAQSRRSVPLLRAISYHLVQKPFPMTKGMLLDLAYAY GKLSFHQTQVSQRLAADLLPFIPSMTPGEVARCAKSFAFLKWLNLPLFEAFTQHLLSRVQ DVSLSHVCSVLLAFARLNFHPEQEEDQFFSMVHEKLDPVLGSLEPALQVDLVWALCVLQH VHETELHTVLHPGLHARFLESKSPKDQSTFQKLVHINTTALLEHPEYKGPFLPASAVAPI PSPSNKKMTPLQKELQETLKALLGNTDKGSLEVATQYGWVLDAEVLLDADGHFLPLRNFV APHLAQPVGNQPLPPGAKRIAFLRWEFPNFNSRSKDLLGRFVLARRHVLAAGFLVVDVPY YEWLDLKSEWQKSAYLKDKMRKAMAEELAK >ENSMUSP00000000394.7 pep:known chromosome:GRCm38:11:6616423:6626043:-1 gene:ENSMUSG00000000384.15 transcript:ENSMUST00000000394.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbrg4 description:transforming growth factor beta regulated gene 4 [Source:MGI Symbol;Acc:MGI:1100868] MAVRLMKRCTCLLREATRLVPTVAPVGRLRLAGVSCKTLTSSVSSPSSGSLAELLGKEQV FTPYPEHQELDFLIEKASRPEQLLELLGSDHSLHHNHAALILIRLSYLLSEKPKEKALLA EDARFQRLVKLVDSQITCVWHGTLVKLLRSLYTLVLPQISKELQSVEQEVRWRLRRLKYK HLVFLAESCASFMKEQHSQELLAELLMHLERRWTEINDSRTLVTMMTMAGHLSESLMNHL EDKCLELVEQFGPDELRKVLVTLAAQSRRSVPLLRAISYHLVQKPFPMTKGMLLDLAYAY GKLSFHQTQVSQRLAADLLPFIPSMTPGEVARCAKSFAFLKWLNLPLFEAFTQHLLSRVQ DVSLSHVCSVLLAFARLNFHPEQEEDQFFSMVHEKLDPVLGSLEPALQVDLVWALCVLQH VHETELHTVLHPGLHARFLESKSPKDQSTFQKLVHINTTALLEHPEYKGPFLPASAVAPI PSPSNKKMTPLQKELQETLKALLGNTDKGSLEVATQYGWVLDAEVLLDADGHFLPLRNFV APHLAQPVGNQPLPPGAKRIAFLRWEFPNFNSRSKDLLGRFVLARRHVLAAGFLVVDVPY YEWLDLKSEWQKSAYLKDKMRKAMAEELAK >ENSMUSP00000123131.1 pep:known chromosome:GRCm38:11:6616425:6626043:-1 gene:ENSMUSG00000000384.15 transcript:ENSMUST00000150697.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbrg4 description:transforming growth factor beta regulated gene 4 [Source:MGI Symbol;Acc:MGI:1100868] MAVRLMKRCTCLLREATRLVPTVAPVGRLRLAGVSCKTLTSSVSSPSSGSLAELLGKEQV FTPYPEHQELDFLIEKASRPEQLLELLGSDHSLHHNHAALILIRLSYLLSEKPKEKALLA EDARFQRLVKLVDSQITCVWHGTLVKLLRSLYTLVLPQISKELQSVEQEVRWRLRRLKYK HLVFLAESCASFMKEQHSQELLAELLMHLERRWTEINDSRTLVTMMTMAGHLSESLMNHL EDKCLELVEQFGPDELRKVLVTLAAQSRRSVPLLRAISYHLVQKPFPMTKGMLLDLAYAY GKLSFHQTQVSQRLAADLLPFIPSMTPGEVARCAKSFAFLKWLNLPLFEAFTQRSSCLCP TELSS >ENSMUSP00000114174.1 pep:known chromosome:GRCm38:11:6620997:6626067:-1 gene:ENSMUSG00000000384.15 transcript:ENSMUST00000136682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbrg4 description:transforming growth factor beta regulated gene 4 [Source:MGI Symbol;Acc:MGI:1100868] MAVRLMKRCTCLLREATRLVPTVAPVGRLRLAGVSCKTLTSSVSSPSSGSLAELLGKEQV FTPYPEHQELDFLIEKASRPEQLLELLGSDHSLHHNHAALILIRLSYLLSEKPKEKALLA EDARFQRLVKLVDSQITCVWHGTLVKLLRSLYTLVLPQ >ENSMUSP00000120103.1 pep:known chromosome:GRCm38:11:6623885:6625459:-1 gene:ENSMUSG00000000384.15 transcript:ENSMUST00000144463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbrg4 description:transforming growth factor beta regulated gene 4 [Source:MGI Symbol;Acc:MGI:1100868] MAVRLMKRCTCLLREATRLVPTVAPVGRLRLAGVSCKTLTSSVSSPSSGSLAELLGKEQV FTPYPEHQELDFLIEKASRPEQLLELLGSDHSLHHNHAALILIRLSYLLSEK >ENSMUSP00000140835.1 pep:known chromosome:GRCm38:11:6615599:6626067:-1 gene:ENSMUSG00000000384.15 transcript:ENSMUST00000189268.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbrg4 description:transforming growth factor beta regulated gene 4 [Source:MGI Symbol;Acc:MGI:1100868] MAVRLMKRCTCLLREATRLVPTVAPVGRLRLAGVSCKTLTSSVSSPSSGSLAELLGKEQV FTPYPEHQELDFLIEKASRPEQLLELLGSDHSLHHNHAALILIRLSYLLSEKPKEKALLA EDARFQRLVKLVDSQITCVWHGTLVKLLRSLYTLVLPQISKELQSVEQEVRWRLRRLKYK HLVFLAESCASFMKEQHSQELLAELLMHLERRWTEINDSRTLVTMMTMAGHLSESLMNHL EDKCLELVEQFGPDELRKVLVTLAAQSRRSVPLLRAISYHLVQKPFPMTKGMLLDLAYAY GKLSFHQTQVSQRLAADLLPFIPSMTPGEVARCAKSFAFLKWLNLPLFEAFTQHLLSRVQ DVSLSHVCSVLLAFARLNFHPEQEEDQFFSMVHEKLDPVLGSLEPALQVDLVWALCVLQH VHETELHTVLHPGLHARFLESKSPKDQSTFQKLVHINTTALLEHPEYKGPFLPASAVAPI PSPSNKKMTPLQKELQETLKALLGNTDKGSLEVATQYGWVLDAEVLLDADGHFLPLRNFV APHLAQPVGNQPLPPGAKRIAFLRWEFPNFNSRSKDLLGRFVLARRHVLAAGFLVVDVPY YEWLDLKSEWQKSAYLKDKMRKAMAEELAK >ENSMUSP00000108103.3 pep:known chromosome:GRCm38:6:124720707:124733154:-1 gene:ENSMUSG00000004266.15 transcript:ENSMUST00000112484.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn6 description:protein tyrosine phosphatase, non-receptor type 6 [Source:MGI Symbol;Acc:MGI:96055] MVRWFHRDLSGPDAETLLKGRGVPGSFLARPSRKNQGDFSLSVRVDDQVTHIRIQNSGDF YDLYGGEKFATLTELVEYYTQQQGILQDRDGTIIHLKYPLNCSDPTSERWYHGHISGGQA ESLLQAKGEPWTFLVRESLSQPGDFVLSVLNDQPKAGPGSPLRVTHIKVMCEGGRYTVGG SETFDSLTDLVEHFKKTGIEEASGAFVYLRQPYYATRVNAADIENRVLELNKKQESEDTA KAGFWEEFESLQKQEVKNLHQRLEGQRPENKSKNRYKNILPFDHSRVILQGRDSNIPGSD YINANYVKNQLLGPDENSKTYIASQGCLDATVNDFWQMAWQENTRVIVMTTREVEKGRNK CVPYWPEVGTQRVYGLYSVTNSREHDTAEYKLRTLQISPLDNGDLVREIWHYQYLSWPDH GVPSEPGGVLSFLDQINQRQESLPHAGPIIVHCSAGIGRTGTIIVIDMLMESISTKGLDC DIDIQKTIQMVRAQRSGMVQTEAQYKFIYVAIAQFIETTKKKLEIIQSQKGQESEYGNIT YPPAVRSAHAKASRTSSKHKEEVYENVHSKSKKEEKVKKQRSADKEKNKGSLKRK >ENSMUSP00000004377.8 pep:known chromosome:GRCm38:6:124720707:124738625:-1 gene:ENSMUSG00000004266.15 transcript:ENSMUST00000004377.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn6 description:protein tyrosine phosphatase, non-receptor type 6 [Source:MGI Symbol;Acc:MGI:96055] MLSRGWFHRDLSGPDAETLLKGRGVPGSFLARPSRKNQGDFSLSVRVDDQVTHIRIQNSG DFYDLYGGEKFATLTELVEYYTQQQGILQDRDGTIIHLKYPLNCSDPTSERWYHGHISGG QAESLLQAKGEPWTFLVRESLSQPGDFVLSVLNDQPKAGPGSPLRVTHIKVMCEGGRYTV GGSETFDSLTDLVEHFKKTGIEEASGAFVYLRQPYYATRVNAADIENRVLELNKKQESED TAKAGFWEEFESLQKQEVKNLHQRLEGQRPENKSKNRYKNILPFDHSRVILQGRDSNIPG SDYINANYVKNQLLGPDENSKTYIASQGCLDATVNDFWQMAWQENTRVIVMTTREVEKGR NKCVPYWPEVGTQRVYGLYSVTNSREHDTAEYKLRTLQISPLDNGDLVREIWHYQYLSWP DHGVPSEPGGVLSFLDQINQRQESLPHAGPIIVHCSAGIGRTGTIIVIDMLMESISTKGL DCDIDIQKTIQMVRAQRSGMVQTEAQYKFIYVAIAQFIETTKKKLEIIQSQKGQESEYGN ITYPPAVRSAHAKASRTSSKHKEEVYENVHSKSKKEEKVKKQRSADKEKNKGSLKRK >ENSMUSP00000129124.2 pep:known chromosome:GRCm38:6:124720707:124738714:-1 gene:ENSMUSG00000004266.15 transcript:ENSMUST00000171549.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn6 description:protein tyrosine phosphatase, non-receptor type 6 [Source:MGI Symbol;Acc:MGI:96055] MLSRGWFHRDLSGPDAETLLKGRGVPGSFLARPSRKNQGDFSLSVRVDDQVTHIRIQNSG DFYDLYGGEKFATLTELVEYYTQQQGILQDRDGTIIHLKYPLNCSDPTSERWYHGHISGG QAESLLQAKGEPWTFLVRESLSQPGDFVLSVLNDQPKAGPGSPLRVTHIKVMCEGGRYTV GGSETFDSLTDLVEHFKKTGIEEASGAFVYLRQPYYATRVNAADIENRVLELNKKQESED TAKAGFWEEFESLQKQEVKNLHQRLEGQRPENKSKNRYKNILPFDHSRVILQGRDSNIPG SDYINANYVKNQLLGPDENSKTYIASQGCLDATVNDFWQMAWQENTRVIVMTTREVEKGR NKCVPYWPEVGTQRVYGLYSVTNSREHDTAEYKLRTLQISPLDNGDLVREIWHYQYLSWP DHGVPSEPGGVLSFLDQINQRQESLPHAGPIIVHCSAGIGRTGTIIVIDMLMESISTKGL DCDIDIQKTIQMVRAQRSGMVQTEAQYKFIYVAIAQFIETTKKKLEIIQSQKGQESEYGN ITYPPAVRSAHAKASRTSSKHKEEVYENVHSKSKKEEKVKKQRSADKEKNKGSLKRK >ENSMUSP00000134274.1 pep:known chromosome:GRCm38:6:124720720:124721842:-1 gene:ENSMUSG00000004266.15 transcript:ENSMUST00000173315.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn6 description:protein tyrosine phosphatase, non-receptor type 6 [Source:MGI Symbol;Acc:MGI:96055] XEAQYKFIYVAIAQFIETTKKKLEIIQSQKGQESEYGNITYPPAVRSAHAKASRTSSKHK EEVYENVHSKSKKEEKVKKQRSADKEKNKASALIPAEASTR >ENSMUSP00000133991.1 pep:known chromosome:GRCm38:6:124721108:124738584:-1 gene:ENSMUSG00000004266.15 transcript:ENSMUST00000174265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn6 description:protein tyrosine phosphatase, non-receptor type 6 [Source:MGI Symbol;Acc:MGI:96055] MLSRGVDDQVTHIRIQNSGDFYDLYGGEKFATLTELVEYYTQQQGILQDRDGTIIHLKYP LNCSDPTSERWYHGHISGGQAESLLQAKGEPWTFLVRESLSQPGDFVLSVLNDQPKAGPG SPLRVTHIKVMCEGGRYTVGGSETFDSLTDLVEHFKKTGIEEASGAFVYLRQPYYATRVN AADIENRVLELNKKQESEDTAKAGFWEEFESLQKQEVKNLHQRLEGQRPENKSKNRYKNI LPFDHSRVILQGRDSNIPGSDYINANYVKNQLLGPDENSKTYIASQGCLDATVNDFWQMA WQENTRVIVMTTREVEKGRNKCVPYWPEVGTQRVYGLYSVTNSREHDTAEYKLRTLQISP LDNGDLVREIWHYQYLSWPDHGVPSEPGGVLSFLDQINQRQESLPHAGPIIVHCSAGIGR TGTIIVIDMLMESISTKGLDCDIDIQKTIQMVRAQRSGMVQTEAQYKFIYVAIAQFIETT KKKLEIIQSQKGQESEYGNITYPPAVRSAHAKASRTSSKHKEEVYENVHSKSKKEEKVKK QRSADKEKNKGSLKRK >ENSMUSP00000134638.1 pep:known chromosome:GRCm38:6:124725287:124727461:-1 gene:ENSMUSG00000004266.15 transcript:ENSMUST00000172690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn6 description:protein tyrosine phosphatase, non-receptor type 6 [Source:MGI Symbol;Acc:MGI:96055] XDFWQMAWQENTRVIVMTTRENKCVPYWPEVGTQRVYGLYSVTNS >ENSMUSP00000133429.1 pep:known chromosome:GRCm38:6:124725335:124727411:-1 gene:ENSMUSG00000004266.15 transcript:ENSMUST00000174787.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn6 description:protein tyrosine phosphatase, non-receptor type 6 [Source:MGI Symbol;Acc:MGI:96055] TTREVEKGRVGQSPNFLTPTFSSLVLVQYHTQNKCVPYWP >ENSMUSP00000133747.1 pep:known chromosome:GRCm38:6:124732362:124733082:-1 gene:ENSMUSG00000004266.15 transcript:ENSMUST00000173647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn6 description:protein tyrosine phosphatase, non-receptor type 6 [Source:MGI Symbol;Acc:MGI:96055] MVRWFHRDLSGPDAETLLKGRGVPGSFLARPSRKNQGDFYDLYGGEKFATLTELVEYYTQ QQGILQD >ENSMUSP00000072652.6 pep:known chromosome:GRCm38:12:103853589:103863555:-1 gene:ENSMUSG00000066366.14 transcript:ENSMUST00000072876.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1a description:serine (or cysteine) peptidase inhibitor, clade A, member 1A [Source:MGI Symbol;Acc:MGI:891971] MYCAIRVRLSRLFTVKGQKARWKMTPSISWGLLLLAGLCCLVPSFLAEDVQETDTSQKDQ SPASHEIATNLGDFAISLYRELVHQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEG LQFNLTQTSEADIHKSFQHLLQTLNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQ AEVFSVNFAESEEAKKVINDFVEKGTQGKIAEAVKKLDQDTVFALANYILFKGKWKKPFD PENTEEAEFHVDESTTVKVPMMTLSGMLHVHHCSTLSSWVLLMDYAGNATAVFLLPDDGK MQHLEQTLSKELISKFLLNRRRRLAQIHFPRLSISGEYNLKTLMSPLGITRIFNNGADLS GITEENAPLKLSQAVHKAVLTIDETGTEAAAVTVLQMVPMSMPPILRFDHPFLFIIFEEH TQSPIFLGKVVDPTHK >ENSMUSP00000082132.6 pep:known chromosome:GRCm38:12:103853591:103863551:-1 gene:ENSMUSG00000066366.14 transcript:ENSMUST00000085056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1a description:serine (or cysteine) peptidase inhibitor, clade A, member 1A [Source:MGI Symbol;Acc:MGI:891971] MTPSISWGLLLLAGLCCLVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELV HQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHKSFQHLLQT LNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVE KGTQGKIAEAVKKLDQDTVFALANYILFKGKWKKPFDPENTEEAEFHVDESTTVKVPMMT LSGMLHVHHCSTLSSWVLLMDYAGNATAVFLLPDDGKMQHLEQTLSKELISKFLLNRRRR LAQIHFPRLSISGEYNLKTLMSPLGITRIFNNGADLSGITEENAPLKLSQAVHKAVLTID ETGTEAAAVTVLQMVPMSMPPILRFDHPFLFIIFEEHTQSPIFLGKVVDPTHK >ENSMUSP00000120398.1 pep:known chromosome:GRCm38:12:103857764:103863562:-1 gene:ENSMUSG00000066366.14 transcript:ENSMUST00000124717.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1a description:serine (or cysteine) peptidase inhibitor, clade A, member 1A [Source:MGI Symbol;Acc:MGI:891971] MTPSISWGLLLLAGLCCLVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELV HQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILE >ENSMUSP00000029140.5 pep:known chromosome:GRCm38:2:155751117:155755471:1 gene:ENSMUSG00000027611.12 transcript:ENSMUST00000029140.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Procr description:protein C receptor, endothelial [Source:MGI Symbol;Acc:MGI:104596] MLTKFLPLLLLLLPGCALCNSDGSQSLHMLQISYFQDNHHVRHQGNASLGKLLTHTLEGP SQNVTILQLQPWQDPESWERTESGLQIYLTQFESLVKLVYRERKENVFFPLTVSCSLGCE LPEEEEEGSEPHVFFDVAVNGSAFVSFRPKTAVWVSGSQEPSKAANFTLKQLNAYNRTRY ELQEFLQDTCVEFLENHITTQNMKGSQTGRSYTSLVLGILMGCFIIAGVAVGIFMCTSGR RC >ENSMUSP00000114567.1 pep:known chromosome:GRCm38:2:155751123:155754328:1 gene:ENSMUSG00000027611.12 transcript:ENSMUST00000132608.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Procr description:protein C receptor, endothelial [Source:MGI Symbol;Acc:MGI:104596] MLTKFLPLLLLLLPGCALCNSDGSQSLHMLQISYFQDNHHVRHQGNASLGKLLTHTLEGP SQNVTILQLQPWQDPESWERTESGLQIYLTQFESLVKLVYRERKENVFFPLTVSCSLGCE LPEEEEEGSEPHVFFDVA >ENSMUSP00000098248.1 pep:known chromosome:GRCm38:11:85170404:85181145:1 gene:ENSMUSG00000018479.12 transcript:ENSMUST00000100681.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700125H20Rik description:RIKEN cDNA 1700125H20 gene [Source:MGI Symbol;Acc:MGI:1920884] MLWRFVSLFSELEAKQLRRLYKYTKTNQTAKFLAALCPLDAPERSLLANQEDCLPRLCSA WGLHGNISGMKERLSKMQAPGQEVVMLEEPRSSHCSRGDSLRKLPQKPKLKKKRIKERLE SPKSCS >ENSMUSP00000018623.3 pep:known chromosome:GRCm38:11:85171096:85181145:1 gene:ENSMUSG00000018479.12 transcript:ENSMUST00000018623.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700125H20Rik description:RIKEN cDNA 1700125H20 gene [Source:MGI Symbol;Acc:MGI:1920884] MEASDWQGGEGDKPLEKVGSVPCLERSSSTVPTGDALVRHAKGLSQDTFKICKEYLRPLK KFLRKLNLPKDLPQKKRIKYTKQSLEALGGHINTFLQHYCRAWEIKHWKKMLWRFVSLFS ELEAKQLRRLYKYTKTNQTAKFLAALCPLDAPERSLLANQEDCLPRLCSAWGLHGNISGM KERLSKMQAPGQEVVMLEEPRSSHCSRGDSLRKLPQKPKLKKKRIKERLESPKSCS >ENSMUSP00000027233.7 pep:known chromosome:GRCm38:1:40580081:40630725:1 gene:ENSMUSG00000026065.8 transcript:ENSMUST00000027233.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a4 description:solute carrier family 9 (sodium/hydrogen exchanger), member 4 [Source:MGI Symbol;Acc:MGI:105074] MGPAMFMAFRLWNWLLLLAVLTRSEATSYVNESSNPTAQQAPDARFAASSSDPDEGISVF ELDYDYVQIPYEVTLWILLASLAKIGFHLYHRLPHLMPESCLLIIVGALVGGIIFGTHHK SPPVMDSSIYFLYLLPPIVLESGYFMPTRPFFENIGSILWWAGLGALINAFGIGLSLYFI CQIKAFGLGDINLLHNLLFGSLISAVDPVAVLAVFEEARVNEQLYMMIFGEALLNDGISV VLYNILIAFTKMHKFEDIEAVDILAGCARFVIVGCGGVFFGIIFGFISAFITRFTQNISA IEPLIVFMFSYLSYLAAETLYLSGILAITACAVTMKKYVEENVSQTSYTTIKYFMKMLSS VSETLIFIFMGVSTIGKNHEWNWAFICFTLLFCQIWRAISVFTLFYVSNQFRTFPFSIKD QFIIFYSGVRGAGSFSLAFLLPLSLFPRKKLFVTATLVVTYFTVFFQGITIGPLVRYLDV RKTNKKESINEELHSRLMDHLKAGIEDVCGQWSHYQVRDKFKKFDHRYLRKILIRRNLPK SSIVSLYKKLEMKQAIEMVETGILSSVASPTPYQSERIQGIKRLSPEDVESMRDILTRSM YQVRQRTLSYNKYNLKPQTSEKQAKEILIRRQNTLRESMRKGQSLPWGKPAGTKNFRYLS FPYSNPQAARREARAAEPTDDDGTDSGFQPLMFSIHSRAGSLQERRQTQAVIPMKRLQRG EKALSFSYRSNTSWEDQAGWRRMDVLRPKPLFYAVAEEYDSGEQTEEETSAILSRWTAEH RHSTEHHKSHSPLLHRK >ENSMUSP00000110525.1 pep:known chromosome:GRCm38:X:51117269:51205832:-1 gene:ENSMUSG00000036109.17 transcript:ENSMUST00000114875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl3 description:muscleblind-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444912] MFAQHMQLMLQNAQMSSLASFPMNPSLAANPAMAFNPYMTHPGMGLVPAELLPNGPVLIS GNPPLALPGVPGPKPIRTDRLEVCREFQRGNCTRGESECRYAHPTDVSMIEVTDNSVTIC MDYIKGRCSREKCKYFHPPPHLQAKLRAAHHQMNHSAANAMALPHGALQLIPKRSALDKA NGATPVFNPSVFHCQQALANMQIPQQAFIPTVPMMHGATPSTVSTATPPASNVPYVPTTT GNQLKY >ENSMUSP00000046036.7 pep:known chromosome:GRCm38:X:51120080:51146415:-1 gene:ENSMUSG00000036109.17 transcript:ENSMUST00000041495.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl3 description:muscleblind-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444912] MFAQHMQLMLQNAQMSSLASFPMNPSLAANPAMAFNPYMTHPGMGLVPAELLPNGPVLIS GNPPLALPGVPGPKPIRTDRLEVCREFQRGNCTRGESECRYAHPTDVSMIEVTDNSVTIC MDYIKGRCSREKCKYFHPPPHLQAKLRAAHHQMNHSAANAMALPHGALQLIPKRSALDKA NGATPVFNPSVFHCQQALANMQIPQQAFIPTVPMMHGATPSTVSTATPPASNVPYVPTTT GNQLKY >ENSMUSP00000110526.2 pep:known chromosome:GRCm38:X:51120080:51205990:-1 gene:ENSMUSG00000036109.17 transcript:ENSMUST00000114876.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl3 description:muscleblind-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444912] MTPVNVALIRDTKWLTLEVCREFQRGTCSRADAECRFAHPPRVCHVENGRVVACFDSLKG RCTRENCKYLHPPPHLKSQLEVNGRNNLIQQKTAAAMFAQHMQLMLQNAQMSSLASFPMN PSLAANPAMAFNPYMTHPGMGLVPAELLPNGPVLISGNPPLALPGVPGPKPIRTDRLEVC REFQRGNCTRGESECRYAHPTDVSMIEVTDNSVTICMDYIKGRCSREKCKYFHPPPHLQA KLRAAHHQMNHSAANAMALPHGALQLIPKRSALDKANGATPVFNPSVFHCQQALANMQIP QQAFIPTVPMMHGATPSTVSTATPPASNVPYVPTTTGNQLKY >ENSMUSP00000138520.1 pep:known chromosome:GRCm38:X:51120489:51164674:-1 gene:ENSMUSG00000036109.17 transcript:ENSMUST00000136404.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl3 description:muscleblind-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444912] MTPVNVALIRDTKWLTLEVCREFQRGTCSRADAECRFAHPPRVCHVENGRVVACFDSLKG RCTRENCKYLHPPPHLKSQLEVNGRNNLIQQKTAAAMFAQHMQLMLQNAQMSSLASFPMN PSLAANPAMAFNPYMTHPGMGLVPAELLPNGPVLISGNPPLALPGVPGPKPIRTDRLEVC REFQRGNCTRGESECRYAHPTDVSMIEVTDNSVTICMDYIKGRCSREKCKYFHPPPHLQA KLRAAHHQMNHSAANAMALANMQIPQQAFIPTGQILCMTPTSTFVPMMHGATPSTVSTAT PPASNVPYVPTTTGNQILQLSVDELSKSMGFS >ENSMUSP00000081998.3 pep:known chromosome:GRCm38:7:100227394:100278867:1 gene:ENSMUSG00000030729.17 transcript:ENSMUST00000084935.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgm2l1 description:phosphoglucomutase 2-like 1 [Source:MGI Symbol;Acc:MGI:1918224] MAENADDDLNSNLLHAPYLTGDPQLDTAIGQWLRWDKNPKTKEQIENLLRNGMNKELRDR LCCRMTFGTAGLRSAMGAGFCYINDLTVIQSTQGMYKYLERCFSDFKQRGFVVGYDTRGQ VTSSCSSQRLAKLTAAVLLAKDIPVYLFSRYVPTPFVPYAVQELKAVAGVMITASHNRKE DNGYKVYWETGAQITSPHDKEILKCIEECVEPWNDSWNDNLVDTSPLKKDPLQDICKKYM EDLKKICFYRDLNSKTTLKFVHTSFHGVGHDYVQLAFQVFGFKPPIPVPEQKDPDPDFST VKCPNPEEGESVLELSLRLAEKENARIVLATDPDADRLAVAELQENGRWKVFTGNELAAL FGWWMFDCWKKNKPNADVKNVYMLATTVSSKILKAIALKEGFHFEETLPGFKWIGSRIKD LLGNGKEVLFAFEESIGFLCGTSVLDKDGVSAAAVVAEMASFLDTRKVTLMEQLTKVYEI YGYHMSKTSYFLCYDPPTIKTIFERIRNFESPKEYPKFCGAFAILHVRDITTGYDSSQPN KKSVLPVSKNSQMITFTFQNGCVATLRTSGTEPKIKYYAEMCASPGQSDTTFLEEELKKL IDALIENFLEPSKNALVWRSV >ENSMUSP00000124851.1 pep:known chromosome:GRCm38:7:100227688:100277409:1 gene:ENSMUSG00000030729.17 transcript:ENSMUST00000162108.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgm2l1 description:phosphoglucomutase 2-like 1 [Source:MGI Symbol;Acc:MGI:1918224] MAENADDDLNSNLLHAPYLTGDPQLDTAIGQWLRWDKNPKTKEQIENLLRNGMNKELRDR LCCRMTFGTAGLRSAMGAGFCYINDLTVIQSTQGMYKYLERCFSDFKQRGFVVGYDTRGQ VTSSCSSQRLAKLTAAVLLAKDIPVYLFSRYVPTPFVPYAVQELKAVAGVMITASHNRKE DNGYKVYWETGAQITSPHDKEILKCIEECVEPWNDSWNDNLVDTSPLKKDPLQDICKKYM EDLKKICFYRNCP >ENSMUSP00000054782.8 pep:known chromosome:GRCm38:7:100227710:100278868:1 gene:ENSMUSG00000030729.17 transcript:ENSMUST00000054436.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgm2l1 description:phosphoglucomutase 2-like 1 [Source:MGI Symbol;Acc:MGI:1918224] MAENADDDLNSNLLHAPYLTGDPQLDTAIGQWLRWDKNPKTKEQIENLLRNGMNKELRDR LCCRMTFGTAGLRSAMGAGFCYINDLTVIQSTQGMYKYLERCFSDFKQRGFVVGYDTRGQ VTSSCSSQRLAKLTAAVLLAKDIPVYLFSRYVPTPFVPYAVQELKAVAGVMITASHNRKE DNGYKVYWETGAQITSPHDKEILKCIEECVEPWNDSWNDNLVDTSPLKKDPLQDICKKYM EDLKKICFYRDLNSKTTLKFVHTSFHGVGHDYVQLAFQVFGFKPPIPVPEQKDPDPDFST VKCPNPEEGESVLELSLRLAEKENARIVLATDPDADRLAVAELQENGRWKVFTGNELAAL FGWWMFDCWKKNKPNADVKNVYMLATTVSSKILKAIALKEGFHFEETLPGFKWIGSRIKD LLGNGKEVLFAFEESIGFLCGTSVLDKDGVSAAAVVAEMASFLDTRKVTLMEQLTKVYEI YGYHMSKTSYFLCYDPPTIKTIFERIRNFESPKEYPKFCGAFAILHVRDITTGYDSSQPN KKSVLPVSKNSQMITFTFQNGCVATLRTSGTEPKIKYYAEMCASPGQSDTTFLEEELKKL IDALIENFLEPSKNALVWRSV >ENSMUSP00000114669.1 pep:known chromosome:GRCm38:4:48430858:48468924:-1 gene:ENSMUSG00000028345.15 transcript:ENSMUST00000155905.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex10 description:testis expressed gene 10 [Source:MGI Symbol;Acc:MGI:1344413] LLSQYPFIIDAHLSNILSEVTAVFTDRDANVRLAAVQLLQFLAPKIRTEHISPFFPLVSA HLSSAMTHITEGIQEDSLKVLDILLEHYPALITGRSSILLKNFVELISHQQLSKGLVNRD RSQSWILSVNPNRRVTSQQWRLKVLARLSKFLQALADGSSRLRESEGLQEQKETPHATSN SIFINWKDHANDQQQIQVYENGGSQPNVSSQFRLRCLGTLGTVDEDLSSPENLKGFIEII IPLLIECWIEALPPQLAASVGSGVEREPLQVMQQVLNVISLLWKLSKQQDETHTLESWLR KNYLTDFKHHFMSHFPYALKEITKQRKKDTNKRMIPVG >ENSMUSP00000030030.8 pep:known chromosome:GRCm38:4:48430858:48473459:-1 gene:ENSMUSG00000028345.15 transcript:ENSMUST00000030030.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex10 description:testis expressed gene 10 [Source:MGI Symbol;Acc:MGI:1344413] MTKKRKRQDDFQKVKLKVGKKKPKLENATATNFKTKAIHLPEQLKEDRTLPTNNRKLNIK DLLSQMHHYSGGVKQSALLGLKDLLSQYPFIIDAHLSNILSEVTAVFTDRDANVRLAAVQ LLQFLAPKIRTEHISPFFPLVSAHLSSAMTHITEGIQEDSLKVLDILLEHYPALITGRSS ILLKNFVELISHQQLSKGLVNRDRSQSWILSVNPNRRVTSQQWRLKVLARLSKFLQALAD GSSRLRESEGLQEQKETPHATSNSIFINWKDHANDQQQIQVYENGGSQPNVSSQFRLRCL GTLGTVDEDLSSPENLKGFIEIIIPLLIECWIEALPPQLAASVGSGVEREPLQVMQQVLN VISLLWKLSKQQDETHTLESWLRKNYLTDFKHHFMSHFPYALKEITKQRKKDTNKSIKHC TVLSNNVDHLLLNLTLSDIMVSLANASTLQNDSSWIETIRKFVTETLKGGTRLNSKQLNR LLGVSWRLIQMQPNRDATENLIKAVYTLYQQRGLLLPVRTLLIKFFSKIYQKEELRSYRL RYRSKVLSRWLAGLPLQLSYLGSRNPELSTQLIDIIHTAASQANKDLLKNLQAAALRIYD PQEGAVVVLPAESQQRLVQLLYFLPTLPADLLSQLSRCCIMGRLGSSLAVTLIGILYMRS SFSGWSHSVNDCLMTDVDYLSFLFSTLTGFSKEELTWLQNLRGVPHVVQTSLSPVLLYLT DLDQFLHHWDITEAVCHSLLVIPARSQSFDIMQSAISKHLVGLTVIPDSTAGCIFGVMCK LLDHTCVVNEALLPFLASCCYSLLYFLLTLEKGDAEHLKKRDKLWEVCVSILALLPRVLR LMLQSLRVNRASPEELPVVGQLLRLLLQHVPLRSHMLTNAILVQQIIKNITTLKSGSVQE QWLTDLHYCFSVYISGHPQGPSILNALY >ENSMUSP00000132498.1 pep:known chromosome:GRCm38:4:48430956:48473422:-1 gene:ENSMUSG00000028345.15 transcript:ENSMUST00000164866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex10 description:testis expressed gene 10 [Source:MGI Symbol;Acc:MGI:1344413] MTKKRKRQDDFQKVKLKVGKKKPKLENATATNFKTKAIHLPEQLKEDRTLPTNNRKLNIK DLLSQMHHYSGGVKQSALLGLKDLLSQYPFIIDAHLSNILSEVTAVFTDRDANVRLAAVQ LLQFLAPKIRTEHISPFFPLVSAHLSSAMTHITEGIQEDSLKVLDILLEHYPALITGRSS ILLKNFVELISHQQLSKGLVNRDRSQSWILSVNPNRRVTSQQWRLKVLARLSKFLQALAD GSSRLRESEGLQEQKETPHATSNSIFINWKDHANDQQQIQVYENGGSQPNVSSQFRLRCL GTLGTVDEDLSSPENLKGFIEIIIPLLIECWIEALPPQLAASVGSGVEREPLQVMQQVLN VISLLWKLSKQQDETHTLESWLRKNYLTDFKHHFMSHFPYALKEITKQRKKDTNKSIKHC TVLSNNVDHLLLNLTLSDIMVSLANASTLQNDSSWIETIRKFVTETLKGGTRLNSKQLNR LLGVSWRLIQMQPNRDATENLIKAVYTLYQQRGLLLPVRTLLIKFFSKIYQKEELRSYRL RYRSKVLSRWLAGLPLQLSYLGSRNPELSTQLIDIIHTAASQANKDLLKNLQAAALRIYD PQEGAVVVLPAESQQRLVQLLYFLPTLPADLLSQLSRCCIMGRLGSSLAVTLIGILYMRS SFSGWSHSVNDCLMTDVDYLSFLFSTLTGFSKEELTWLQNLRGVPHVVQTSLSPVLLYLT DLDQFLHHWDITEAVCHSLLVIPARSQSFDIMQSAISKHLVGLTVIPDSTAGCIFGVMCK LLDHTCVVNEALLPFLASCCYSLLYFLLTLEKGDAEHLKKRDKLWEVCVSILALLPRVLR LMLQSLRVNRASPEELPVVGQLLRLLLQHVPLRSHMLTNAILVQQIIKNITTLKSGSVQE QWLTDLHYCFSVYISGHPQGPSILNALY >ENSMUSP00000121346.1 pep:known chromosome:GRCm38:3:108571699:108583222:1 gene:ENSMUSG00000048100.13 transcript:ENSMUST00000143054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf13 description:TAF13 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1913500] MADEEEDPTFEEENEEIGGGAEGGQGKRKRLFSKELRCMMYGFGDDQNPYTESVDILEDL VIEFITEMTHKAMSIGRQGRVQVEDIVFLIRKDPRKFARVKDLLTMNEELKRARKAFDEA NYGS >ENSMUSP00000025930.9 pep:known chromosome:GRCm38:19:53600398:53645833:1 gene:ENSMUSG00000024974.10 transcript:ENSMUST00000025930.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc3 description:structural maintenance of chromosomes 3 [Source:MGI Symbol;Acc:MGI:1339795] MYIKQVIIQGFRSYRDQTIVDPFSSKHNVIVGRNGSGKSNFFYAIQFVLSDEFSHLRPEQ RLALLHEGTGPRVISAFVEIIFDNSDNRLPIDKEEVSLRRVIGAKKDQYFLDKKMVTKND VMNLLESAGFSRSNPYYIVKQGKINQMATAPDSQRLKLLREVAGTRVYDERKEESISLMK ETEGKREKINELLKYIEERLHTLEEEKEELAQYQKWDKMRRALEYTIYNQELNETRAKLD ELSAKRETSGEKSRQLRDAQQDARDKMEDIERQVRELKTKISAMKEEKEQLSAERQEQIK QRTKLELKAKDLQDELAGNSEQRKRLLKERQKLLEKIEEKQKELAETEPKFNSVKEKEER GIARLAQATQERTDLYAKQGRGSQFTSKEERDKWIKKELKSLDQAINDKKRQIAAIHKDL EDTEANKEKNLEQYNKLDQDLNEVKARVEELDRKYYEVKNKKDELQSERNYLWREENAEQ QALAAKREDLEKKQQLLRAATGKAILNGIDSINKVLEHFRRKGINQHVQNGYHGIVMNNF ECEPAFYTCVEVTAGNRLFYHIVDSDEVSTKILMEFNKMNLPGEVTFLPLNKLDVRDTAY PETNDAIPMISKLRYNPRFDKAFKHVFGKTLICRSMEVSTQLARAFTMDCITLEGDQVSH RGALTGGYYDTRKSRLELQKDVRKAEEELGELEAKLNENLRRNIERINNEIDQLMNQMQQ IETQQRKFKASRDSILSEMKMLKEKRQQSEKTFMPKQRSLQSLEASLHAMESTRESLKAE LGTDLLSQLSLEDQKRVDALNDEIRQLQQENRQLLNERIKLEGIITRVETYLNENLRKRL DQVEQELNELRETEGGTVLTATTSELEAINKRVKDTMARSEDLDNSIDKTEAGIKELQKS MERWKNMEKEHMDAINHDTKELEKMTNRQGMLLKKKEECMKKIRELGSLPQEAFEKYQTL SLKQLFRKLEQCNTELKKYSHVNKKALDQFVNFSEQKEKLIKRQEELDRGYKSIMELMNV LELRKYEAIQLTFKQVSKNFSEVFQKLVPGGKATLVMKKGDVEGSQSQDEGEGSGESERG SGSQSSVPSVDQFTGVGIRVSFTGKQGEMREMQQLSGGQKSLVALALIFAIQKCDPAPFY LFDEIDQALDAQHRKAVSDMIMELAVHAQFITTTFRPELLESADKFYGVKFRNKVSHIDV ITAEMAKDFVEDDTTHG >ENSMUSP00000031810.8 pep:known chromosome:GRCm38:6:30653457:30693749:-1 gene:ENSMUSG00000029790.16 transcript:ENSMUST00000031810.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep41 description:centrosomal protein 41 [Source:MGI Symbol;Acc:MGI:1891414] MSARRHIGNPEYLTRRIPQNPRYQHVKSRLDTGNSMTKYIEKLEEIKKNYRYKKDELFKR LKVTTFAQLVIQVASLSDQTLEVTAEEIQRLEDNDSATSEADAEIAAKTNGKGSPEEQSP SPVQFINSTGAGDSSRSTLQSVISGVGELDVDKGLVKKEEPNGKDKPYPDCPFLLLDVRD RDSYQQCHIVGAYSYPIATLSRTMNPYSNDILEYKNAHGKIIILYDEDERLASQAATTMC ERGFENLFMLSGGLKVLAQKFPEGLVTGSLPASCQQALPFGSVRKRRGPKMPALPAENKW RFTPEDLKKIECYLEEDQGPADNPSRLNQNNSAGKDSKVAACRGGQNLPTSCPASHSSPR TLTSGHLQGKPWK >ENSMUSP00000138696.1 pep:known chromosome:GRCm38:6:30653459:30693693:-1 gene:ENSMUSG00000029790.16 transcript:ENSMUST00000140211.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep41 description:centrosomal protein 41 [Source:MGI Symbol;Acc:MGI:1891414] MSARRHIGNPEYLTRRIPQNPRYQHVKSRLDTGNSMTKYIEKLEEIKKNYRYKKDELFKR LKVTTFAQLVIQVASLSDQTLEVTAEEIQRLEGSYSVTQAGLGLAV >ENSMUSP00000110784.1 pep:known chromosome:GRCm38:6:30654378:30693695:-1 gene:ENSMUSG00000029790.16 transcript:ENSMUST00000115131.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep41 description:centrosomal protein 41 [Source:MGI Symbol;Acc:MGI:1891414] MSARRHIGNPEYLTRRIPQNPRYQHVKSRLDTGNSMTKYIEKLEEIKKNYRYKKDELFKR LKVTTFAQLVIQVASLSDQTLEVTAEEIQRLEDNDSATSEADAEIAAKTNGKGSPEEQSP SPVQFINSTGAGDSSRSTLQSVISGVGELDVDKGLVKKEEPNGKDKPYPDCPFLLLDVRD RDSYQQCHIVGAYSYPIATLSRTMNPYSNDILEYKNAHGKIIILYDEDERLASQAATTMC ERGFENLFMLSGGRLNQNNSAGKDSKVAACRGGQNLPTSCPASHSSPRTLTSGHLQGKPW K >ENSMUSP00000145510.1 pep:known chromosome:GRCm38:6:30660982:30693088:-1 gene:ENSMUSG00000029790.16 transcript:ENSMUST00000140252.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep41 description:centrosomal protein 41 [Source:MGI Symbol;Acc:MGI:1891414] MTKYIEKLEEIKKNYRYKKDELFKRLKVTTFAQLVIQVASLSDQTLEVTAEEIQRLEDND SATSEADA >ENSMUSP00000128707.1 pep:known chromosome:GRCm38:6:30666028:30693676:-1 gene:ENSMUSG00000029790.16 transcript:ENSMUST00000169422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep41 description:centrosomal protein 41 [Source:MGI Symbol;Acc:MGI:1891414] MSARRHIGNPEYLTRRIPQNPRYQHVKSRLDTGNSMTKYIEKLEEIKKNYRYKKDELFKR LKVTTFAQLVIQVASLSDQTLEVTAEEIQRLEGTWVTDTSVCN >ENSMUSP00000116240.1 pep:known chromosome:GRCm38:6:30666314:30680533:-1 gene:ENSMUSG00000029790.16 transcript:ENSMUST00000133373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep41 description:centrosomal protein 41 [Source:MGI Symbol;Acc:MGI:1891414] MWKCFDRNKYLTRRIPQNPRYQHVKSRLDTGNSMTKYIEKLEEIKKNYRYKKDELFKRLK VTTFAQLVIQVASLS >ENSMUSP00000110783.2 pep:known chromosome:GRCm38:6:30679088:30693700:-1 gene:ENSMUSG00000029790.16 transcript:ENSMUST00000115130.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep41 description:centrosomal protein 41 [Source:MGI Symbol;Acc:MGI:1891414] MSARRHIGNPEYLTRRIPQNPRYQHVKSRLDTACASQLRVFGI >ENSMUSP00000099601.3 pep:known chromosome:GRCm38:2:114516420:114654964:-1 gene:ENSMUSG00000057147.13 transcript:ENSMUST00000102542.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph6 description:diphthamine biosynthesis 6 [Source:MGI Symbol;Acc:MGI:1913882] MRVAALISGGKDSCYNMMQCIAEGHQIVALANLRPDENQVESDELDSYMYQTVGHHAIDL YAEAMALPLYRRAIRGRSLETGRVYTQCEGDEVEDLYELLKLVKEKEEIEGVSVGAILSD YQRGRVENVCKRLNLQPLAYLWQRNQEDLLREMIASNIKAIIIKVAALGLDPDKHLGKTL VEMEPYLLELSKKYGVHVCGEGGEYETFTLDCPLFKKKIVVDSSEAVMHSADAFAPVAYL RLSRLHLEEKVSSVPADDETANSIHSS >ENSMUSP00000028640.7 pep:known chromosome:GRCm38:2:114516416:114654953:-1 gene:ENSMUSG00000057147.13 transcript:ENSMUST00000028640.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph6 description:diphthamine biosynthesis 6 [Source:MGI Symbol;Acc:MGI:1913882] MRVAALISGGKDSCYNMMQCIAEGHQIVALANLRPDENQVESDELDSYMYQTVGHHAIDL YAEAMALPLYRRAIRGRSLETGRVYTQCEGDEVEDLYELLKLVKEKEEIEGVSVGAILSD YQRGRVENVCKRLNLQPLAYLWQRNQEDLLREMIASNIKAIIIKVAALGLDPDKHLGKTL VEMEPYLLEGLFRSSHALSGCIRTCGLSAALPAALGRESVVSTCG >ENSMUSP00000060730.7 pep:known chromosome:GRCm38:2:114516644:114654887:-1 gene:ENSMUSG00000057147.13 transcript:ENSMUST00000055144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph6 description:diphthamine biosynthesis 6 [Source:MGI Symbol;Acc:MGI:1913882] MRVAALISGGKDSCYNMMQCIAEGHQIVALANLRPDENQVESDELDSYMYQTVGHHAIDL YAEAMALPLYRRAIRGRSLETGRVYTQCEGDEVEDLYELLKLVKEKEEIEGVSVGAILSD YQRGRVENVCKRLNLQPLAYLWQRNQEDLLREMIASNIKAIIIKVAALGLDPDKHLGKTL VEMEPYLLELSKKYGVHVCGEGGEYETFTLDCPLFKKKIVVDSSEAVMHSADAFAPVAYL RLSRLHLEEKVSKAQMAEIPRRVRGVVSTCG >ENSMUSP00000088049.3 pep:known chromosome:GRCm38:3:108383839:108388179:1 gene:ENSMUSG00000068744.12 transcript:ENSMUST00000090561.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psrc1 description:proline/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1913099] MEDLKEDIKFIVDETLDFGGLSPSDSHEEEDITVLVSPEKPLRRGLAHRSNPNEVAPALQ GVRFSLGPLSPEKLEEILDEANRLAAQLEECALKDRERAGTGPGRPSPRGKPSPRRETFV LKDSPVRDLLPTVSSWSTPPPSSLAGLRSSDKKGSARAVRVASGKKPSSIKKESPTCNLF PASKSPGRSPLAQPILPPRRKTGFGARTTASPPIPVRPVPQSSASNSQCSSRLQGAAVKS SSRLPVPSAIPKPATRVPLIGRSLPPGKGALAPDSLSTQKGHPSAIGHRASVSQKTNLPT TSAARGRTTSAARGRAQPLRKAAVPGPTR >ENSMUSP00000099689.1 pep:known chromosome:GRCm38:3:108383859:108388231:1 gene:ENSMUSG00000068744.12 transcript:ENSMUST00000102629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psrc1 description:proline/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1913099] MEDLKEDIKFIVDETLDFGGLSPSDSHEEEDITVLVSPEKPLRRGLAHRSNPNEVAPALQ GVRFSLGPLSPEKLEEILDEANRLAAQLEECALKDRERAGTGPGRPSPRGKPSPRRETFV LKDSPVRDLLPTVSSWSTPPPSSLAGLRSSDKKGSARAVRVASGKKPSSIKKESPTCNLF PASKSPGRSPLAQPILPPRRKTGFGARTTASPPIPVRPVPQSSASNSQCSSRLQGAAVKS SSRLPVPSAIPKPATRVPLIGRSLPPGKGALAPDSLSTQKGHPSAIGHRASVSQKTNLPT TSAARGRTTSAARGRAQPLRKAAVPGPTR >ENSMUSP00000115634.2 pep:known chromosome:GRCm38:3:108383916:108385409:1 gene:ENSMUSG00000068744.12 transcript:ENSMUST00000128089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psrc1 description:proline/serine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1913099] MEDLKEDIKFIVDETLDFGGLSPSDSHEEEDITVLVSPEKPLRRGLAHRSNPNEVAPALQ GVRFSLGPLSPEKLEEILDEANRLAAQLEECALKDRERAGTGPGRPSPRGKPSPRRETFV LKDSPVRDLLPTVSSWSTPPPSSLAGLRSSDKKGSARAVRVASGKKPSSIKK >ENSMUSP00000087617.1 pep:known chromosome:GRCm38:6:42460076:42461017:-1 gene:ENSMUSG00000068574.1 transcript:ENSMUST00000090156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr458 description:olfactory receptor 458 [Source:MGI Symbol;Acc:MGI:3030292] MKRDNATWVSEFILMGLSSDKHIQAGLFVLFGVTYLLTLLGNGLIVLLIALDPRLHLPMY FFLCHLSVVDICYTSSGVPQMLAHFLMEKKTISFALCGTQHFFALALGGTEFLLLAAMAY DRYVAVCNPLRYTVVMNPRLCMGLAGVSWFVGVVNSAVETAVTMRLPTCGHNVLNHVACE TLALVRLACVDITLNQVVILASSVVVLLVPCCLVSLSYAYIVTAILKIRSTQGRRKAFGT CASHLTVVSMSYGMALFTHMEPTSTASAEQDKVVVVFYAVVTPMLNPLVYSLRNKDVKAA FSRVLMKIFESKN >ENSMUSP00000066092.6 pep:known chromosome:GRCm38:7:28825217:28832247:1 gene:ENSMUSG00000053898.12 transcript:ENSMUST00000066264.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ech1 description:enoyl coenzyme A hydratase 1, peroxisomal [Source:MGI Symbol;Acc:MGI:1858208] MATAMTVSSKLRGLLMQQLRGTSQLYFNISLRSLSSSAQEASKRAPEEVSDHNYESIQVT SAQKHVLHVQLNRPEKRNAMNRAFWRELVECFQKISKDSDCRAVVVSGAGKMFTSGIDLM DMASELMQPSGDDAARIAWYLRDLISKYQKTFTVIEKCPKPVIAAIHGGCIGGGVDLVSA CDIRYCTQDAFFQIKEVDMGLAADVGTLQRLPKVIGNQSLVNELTFSARKMMADEALDSG LVSRVFQDKDAMLNAAFALAADISSKSPVAVQGSKINLIYSRDHSVDESLDYMATWNMSM LQTQDIIKSVQAAMEKRDTKSITFSKL >ENSMUSP00000116992.1 pep:known chromosome:GRCm38:7:28826204:28832247:1 gene:ENSMUSG00000053898.12 transcript:ENSMUST00000132376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ech1 description:enoyl coenzyme A hydratase 1, peroxisomal [Source:MGI Symbol;Acc:MGI:1858208] QKISKDSDCRAVVVSGAGKMFTSGVDLVSACDIRYCTQDAFFQIKEVDMGLAADVGTLQR LPKVIGNQSLVNELTFSARKMMADEALDSGLVSRVFQDKDAMLNAAFALAADISSKSPVA VQGSKINLIYSRDHSVDESLDYMATWNMSMLQTQDIIKSVQAAMEKRDTKSITFSKL >ENSMUSP00000076227.7 pep:known chromosome:GRCm38:7:24969752:24972754:-1 gene:ENSMUSG00000003380.11 transcript:ENSMUST00000076961.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabac1 description:Rab acceptor 1 (prenylated) [Source:MGI Symbol;Acc:MGI:1201692] MAAQKDQQKDAEGEGLSATTLLPKLIPSGAGREWLERRRATIRPWGTFVDQQRFSRPRNV GELCQRLVRNVEYYQSNYVFVFLGLILYCVVTSPMLLVALAVFFGACYILYLRTLQSKLV LFGREVSPAHQYALAGGVSFPFFWLAGAGSAVFWVLGATLVLIGSHAAFHQMEPADGEEL QMEPV >ENSMUSP00000146042.1 pep:known chromosome:GRCm38:7:24969761:24972638:-1 gene:ENSMUSG00000003380.11 transcript:ENSMUST00000205871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabac1 description:Rab acceptor 1 (prenylated) [Source:MGI Symbol;Acc:MGI:1201692] MQESLISSEVLGGTQSCWLPLRTLPPRGSRSCLGPGVLESGVQILPSPSLQLLCSALPIR TLLPKLIPSGAGREWLERRRATIRPWGTFVDQQRFSRPRNVGELCQRLVRNVEYYQSNYV FVFLGLILYCVVTSPMLLVALAVFFGACYILYLRTLQSKLVLFGREVSPAHQYALAGGVS FPFFWLAGAGSAVFWVLGATLVLIGSHAAFHQMEPADGEELQMEPV >ENSMUSP00000017741.3 pep:known chromosome:GRCm38:11:99415666:99422259:-1 gene:ENSMUSG00000020912.4 transcript:ENSMUST00000017741.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt12 description:keratin 12 [Source:MGI Symbol;Acc:MGI:96687] MSLSVCTSALSRRSSSQNGAAGRPWGASASSVAGGYGGSASGFGVGCGGLFSAASMFGSS SGFSGGSAGCLPGLGSAYGGPLRGGAGGMGIGMGIAGSSGGGSLCIFSGNDGGLLSGSEK ETMQNLNDRLASYLGKVRSLEEANAELENKIREWYETRRTRDAGSQSDYSKYYPLIEDLK NKIVSARVSNAQLLLQIDNARLAAEDFRMKYENELALRQTVEADINGLRRVLDELTLTRA DLEAQLETLTEELAYMKKNHEEELQSFQAGGPGEVNVEMDAAPGVDLTKVLNEMRAQYEA MAEQNRKDAEAWFLEKSRELRKEISSNTEQLQSSKSEVTDLKRMVQNLEIELQSQLAMKS SLEGSLAETEGGYCCQLSQVQQLIGSLEEQLQQVRADAERQNADHQRLLGVKARLEMEIE TYRRLLEGDSQGDGFDESSSLSVSKPQTPSVDSSKDPNKTRKIKTVVQEIVNGEVVSSQV QELEEEM >ENSMUSP00000020982.5 pep:known chromosome:GRCm38:12:24651371:24662774:1 gene:ENSMUSG00000020653.11 transcript:ENSMUST00000020982.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf11 description:Kruppel-like factor 11 [Source:MGI Symbol;Acc:MGI:2653368] MHSPGSTGPGDGRAADIMDICESILERKRHDSERSTCSVLEQTDIEAVEALVCMSSWGQR SQMRPLTPVSDSGDVTTAVLMDTAAPDLPKDFHSFSTLCITPPQSPELTEPSTGTPVPSQ VVNSKGCMVTALPPSPAGGPRTLSKREPLEPASGSSCRAVMTSVIRHTGESPAPTRFPTG PTQEQRASDSGEGQERLLDHLEALQDTRLANGLLVTNLVSCQPCLHKSGGSFPTDKGQQT GWPAAVQTCLPKNPESDLSRKITPLISVPVSSPPVLCQMIPVAGQNGLFSAFLKPPTQLP AGTIKPILPQAASMSQPVFMGPPVPQGTVMLVLPQNTFPQPAACPSSVMAIGNTKLLPLA PAPVFLASSQNCAPQVDFSRRRNYVCNFPGCRKTYFKSSHLKAHLRTHTGEKPFTCSWDG CDKKFARSDELSRHRRTHTGEKKFVCPVCDRRFMRSDHLTKHARRHMTTKKIPGWQAEVG KLNRITLAESPGSILEPLPASG >ENSMUSP00000118623.1 pep:known chromosome:GRCm38:12:24651896:24653810:1 gene:ENSMUSG00000020653.11 transcript:ENSMUST00000139940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf11 description:Kruppel-like factor 11 [Source:MGI Symbol;Acc:MGI:2653368] MDICESILERKRHDSERSTCSVLEQTDIEAVEALVCMSSWGQRSQMRPLTPVSDSGDVTT AVLMDTAAPDLPKDFHSFSTL >ENSMUSP00000115340.1 pep:known chromosome:GRCm38:12:24651896:24655142:1 gene:ENSMUSG00000020653.11 transcript:ENSMUST00000146894.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf11 description:Kruppel-like factor 11 [Source:MGI Symbol;Acc:MGI:2653368] MDICESILERKRHDSERSTCSVLEQTDIEAVEALVCMSSWGQRSQMRPLTPVSDSGDVTT AVLMDTAAPDLPKDFHSFSTLCITPPQSPELTEPSTGTPVPSQVVNSKGCMVTALPPSPA GGPRTLSKREPLEPASGSSCRAVMTSVIRHTGESPAPTRFPTGPTQEQRASDSGEGQERL L >ENSMUSP00000121730.1 pep:known chromosome:GRCm38:12:24652154:24655137:1 gene:ENSMUSG00000020653.11 transcript:ENSMUST00000144046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf11 description:Kruppel-like factor 11 [Source:MGI Symbol;Acc:MGI:2653368] MDICESILERKRHDSERSTCSVLEQTDIEAVEALVCMSSWGQRSQMRPLTPVSDSGDVTT AVLMDTAAPDLPKDFHSFSTLCITPPQSPELTEPSTGTPVPSQVVNSKGCMVTALPPSPA GGPRTLSKREPLEPASGSSCRAVMTSVIRHTGESPAPTRFPTGPTQEQRASDSGEGQER >ENSMUSP00000039604.4 pep:known chromosome:GRCm38:4:138434671:138442265:1 gene:ENSMUSG00000041241.12 transcript:ENSMUST00000044058.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mul1 description:mitochondrial ubiquitin ligase activator of NFKB 1 [Source:MGI Symbol;Acc:MGI:1915600] MESGSRPSLGQVILLGTSSMVTAVLYSIYRQKAQVAQELKGAKKIHLGEDLKGILSEAPG KCVPYAVIEGAVRSVKETLNSQFVENCKGVIQRLSLQEHKMVWNRTTHLWNDYSKIIHQR TNTVPFDLVPHEDGVAVSVRVLKPLDSVDLGLETVYEKFHPSVQSFTDAIGHYISGERPK GIQETEEMLKVGATLTGIGELVLDNNAVRLQPPKQGMQYYLSSQDFDSLLHRQESSVRLW KILVLVFGFATCATLFFILRKQYLHRQERLRQQQLQEEFLEHEAQLLSQASPEDRESLKS ACVVCLSNFKSCVFLECGHVCSCRQCYLALPEPKRCPICRREITRVIPLYNS >ENSMUSP00000101439.1 pep:known chromosome:GRCm38:4:138434677:138442262:1 gene:ENSMUSG00000041241.12 transcript:ENSMUST00000105813.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mul1 description:mitochondrial ubiquitin ligase activator of NFKB 1 [Source:MGI Symbol;Acc:MGI:1915600] MVVVLCWLEFHSPSAKDLFWQLYGRWTLEPKSYHQGAKKIHLGEDLKGILSEAPGKCVPY AVIEGAVRSVKETLNSQFVENCKGVIQRLSLQEHKMVWNRTTHLWNDYSKIIHQRTNTVP FDLVPHEDGVAVSVRVLKPLDSVDLGLETVYEKFHPSVQSFTDAIGHYISGERPKGIQET EEMLKVGATLTGIGELVLDNNAVRLQPPKQGMQYYLSSQDFDSLLHRQESSVRLWKILVL VFGFATCATLFFILRKQYLHRQERLRQQQLQEEFLEHEAQLLSQASPEDRESLKSACVVC LSNFKSCVFLECGHVCSCRQCYLALPEPKRCPICRREITRVIPLYNS >ENSMUSP00000101441.1 pep:known chromosome:GRCm38:4:138434723:138439011:1 gene:ENSMUSG00000041241.12 transcript:ENSMUST00000105815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mul1 description:mitochondrial ubiquitin ligase activator of NFKB 1 [Source:MGI Symbol;Acc:MGI:1915600] MESGSRPSLGQVILLGTSSMVTAVLYSIYRQKAQVAQELKGAKKIHLGEDLKGILSEAPG KCVPYAVIEGAVRSVKETLNSQFVENCKGVIQRLSLQEHKMVWNRTTHLWCVSSGFYTSE VVV >ENSMUSP00000030076.5 pep:known chromosome:GRCm38:4:59471868:59549364:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000030076.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] MNSSTSAGVYANGNDNKKFKGDRPPCSPSRVLHLRKIPCDVTEAEVISLGLPFGKVTNLL MLKGKSQAFLEMASEEAAVTMINYYTPVTPHLRSQPVYIQYSNHRELKTDNLPNQARAQA ALQAVSAVQSGNLSLPGATANEGTLLPGQSPVLRIIIENLFYPVTLEVLHQIFSKFGTVL KIITFTKNNQFQALLQYADPVNAQYAKMALDGQNIYNACCTLRIDFSKLTSLNVKYNNDK SRDFTRLDLPTGDGQPSLEPPMAAAFGAPGIMSSPYAGAAGFAPAIAFPQAAGLSVPAVP GALGPLTLTSSAVSGRMAIPGASGMPGNSVLLVTNLNPDFITPHGLFILFGVYGDVHRVK IMFNKKENALVQMADASQAQLAMNHLSGQRLYGKVLRATLSKHQAVQLPREGQEDQGLTK DFSNSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSVTMDDLKNLFTEAGCSVKAFKFFQ KDRKMALIQLGSVEEAIQALIELHNHDLGENHHLRVSFSKSTI >ENSMUSP00000133612.1 pep:known chromosome:GRCm38:4:59472048:59549295:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000174586.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] MDGVVTDLIAVGLKRGSDELLSSGVINGPSTMNSSTSAGVYANGNDNKKFKGDRPPCSPS RVLHLRKIPCDVTEAEVISLGLPFGKVTNLLMLKGKSQAFLEMASEEAAVTMINYYTPVT PHLRSQPVYIQYSNHRELKTDNLPNQARAQAALQAVSAVQSGNLSLPGATANEGTLLPGQ SPVLRIIIENLFYPVTLEVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVNAQYAKMA LDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDFTRLDLPTGDGQPSLEPPMAAAFGAP GIMSSPYAGAAGFAPAIAFPQAAGLSVPAVPGALGPLTLTSSAVSGRMAIPGASGMPGNS VLLVTNLNPDFITPHGLFILFGVYGDVHRVKIMFNKKENALVQMADASQAQLAMNHLSGQ RLYGKVLRATLSKHQAVQLPREGQEDQGLTKDFSNSPLHRFKKPGSKNFQNIFPPSATLH LSNIPPSVTMDDLKNLFTEAGCSVKAFKFFQKDRKMALIQLGSVEEAIQALIELHNHDLG ENHHLRVSFSKSTI >ENSMUSP00000099947.4 pep:known chromosome:GRCm38:4:59475259:59549284:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000102883.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] MDGVVTDLIAVGLKRGSDELLSSGVINGPSTMNSSTSAANGNDNKKFKGDRPPCSPSRVL HLRKIPCDVTEAEVISLGLPFGKVTNLLMLKGKSQAFLEMASEEAAVTMINYYTPVTPHL RSQPVYIQYSNHRELKTDNLPNQARAQAALQAVSAVQSGNLSLPGATANEGTLLPGQSPV LRIIIENLFYPVTLEVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVNAQYAKMALDG QNIYNACCTLRIDFSKLTSLNVKYNNDKSRDFTRLDLPTGDGQPSLEPPMAAAFGAPGIM SSPYAGAAGFAPAIAFPQAAGLSVPAVPGALGPLTLTSSAVSGRMAIPGASGMPGNSVLL VTNLNPDFITPHGLFILFGVYGDVHRVKIMFNKKENALVQMADASQAQLAMNHLSGQRLY GKVLRATLSKHQAVQLPREGQEDQGLTKDFSNSPLHRFKKPGSKNFQNIFPPSATLHLSN IPPSVTMDDLKNLFTEAGCSVKAFKFFQKDRKMALIQLGSVEEAIQALIELHNHDLGENH HLRVSFSKSTI >ENSMUSP00000134290.1 pep:known chromosome:GRCm38:4:59475711:59549243:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000173699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] MAFLEMASEEAAVTMINYYTPVTPHLRSQPVYIQYSNHRELKTDNLPNQARAQAALQAVS AVQSGNLSLPGATANEGTLLPGQSPVLRIIIENLFYPVTLEVLHQIFSKFGTVLKIITFT KNNQFQALLQYADPVNAQYAKMALDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDFTR LDLPTGDGQPSLEPPMAAAFGAPGIMSSPYAGAAGFAPAIAFPQAAGLSVPAVPGALGPL TLTSSAVSGRMAIPGASGMPGNSVLLVTNLNPDFITPHGLFILFGVYGDVHRVKIMFNKK ENALVQMADASQAQLAMNHLSGQRLYGKVLRATLSKHQAVQLPREGQEDQGLTKDFSNSP LHRFKKPGSKNFQNIFPPSATLHLSNIPPSVTMDDLKNLFTEAGCSVKAFKFFQKDRKMA LIQLGSVEEAIQALIELHNHDLGENHHLRVSFSKSTI >ENSMUSP00000134102.1 pep:known chromosome:GRCm38:4:59476583:59548775:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000172768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] MNSSTSAANGNDNKKFKGDRPPCSPSRVLHLRKIPCDVTEAEVISLGLPFGKVTNLLMLK GKSQAFLEMASEEAAVTMINYYTPVTPHLRSQPVYIQYSNHRELKTDNLPNQARAQAALQ AVSAVQSGNLSLPGATANEGTLLPGQSPVLRIIIENLFYPVTLEVLHQIFSKFGTVLKII TFTKNNQFQALLQYADPVNAQYAKMALDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRD FTRLDLPTGDGQPSLEPPMAAAFGAPGIMSSPYAGAAGFAPAIAFPQAAGLSVPAVPGAL GPLTLTSSAVSGRMAIPGASGMPGNSVLLVTNLNPDFITPHGLFILFGVYGDVHRVKIMF NKKENALVQMADASQAQLAMNHLSGQRLYGKVLRATLSKHQAVQLPREGQEDQGLTKDFS NSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSVTMDDLKNLFTEAGCSVKAFKFFQKDR KMALIQLGSVEEAIQALIELHNHDLGENHHLRVSFSKSTI >ENSMUSP00000122840.2 pep:known chromosome:GRCm38:4:59476648:59549314:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000148331.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] MNSSTSAANGNDNKKFKGDRPPCSPSRVLHLRKIPCDVTEAEVISLGLPFGKVTNLLMLK GKSQAFLEMASEEAAVTMINYYTPVTPHLRSQPVYIQYSNHRELKTDNLPNQARAQAALQ AVSAVQSGNLSLPGATANEGTLLPGQSPVLRIIIENLFYPVTLEVLHQIFSKFGTVLKII TFTKNNQFQALLQYADPVNAQYAKMALDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRD FTRLDLPTGDGQPSLEPPMAAAFGAPGIMSSPYAGAAGFAPAIAFPQAAGLSVPAVPGAL GPLTLTSSAVSGRMAIPGASGMPGNSVLLVTNLNPDFITPHGLFILFGVYGDVHRVKIMF NKKENALVQMADASQAQLAMNHLSGQRLYGKVLRATLSKHQAVQLPREGQEDQGLTKDFS NSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSVTMDDLKNLFTEAGCSVKAFKFFQKDR KMALIQLGSVEEAIQALIELHNHDLGENHHLRVSFSKSTI >ENSMUSP00000133886.1 pep:known chromosome:GRCm38:4:59481443:59493285:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000172471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] VKYNNDKSRDFTRLDLPTGDGQPSLEPPMAAAFGAPGIMSSPYAGAAGFAPAIAFPQAAA VPGALGPLTLTSSAVSGRMAIPGASGMPGNSVLLVTNLNPDFITPHGLFILFGVYGDVHR VKIMFNKKENALVQMADASQAQLAMNHLSGQRLYGKVLRATLSKHQAVQLPREGQEDQGL TKDFSNSPL >ENSMUSP00000133884.1 pep:known chromosome:GRCm38:4:59481448:59549252:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000174748.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] MDGVVTDLIAVGLKRGSDELLSSGVINGPSTMNSSTSAGLFRNGF >ENSMUSP00000134490.1 pep:known chromosome:GRCm38:4:59493189:59549257:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000134879.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] MDGEPKLHCRLSVQSSQETCPFLELLQMKAHCYLGRALCSG >ENSMUSP00000133996.1 pep:known chromosome:GRCm38:4:59493239:59549265:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000173884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] MDGVVTDLIAVGLKRAQAALQAVSAVQSGNLSLPGATANEGTLLPGQSPVLRIIIENLFY PVTLEVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVNAQYAKMALDGQNIYNACCTL RIDFSKLTSLNVKYNNDKSRDFTRLDL >ENSMUSP00000122138.2 pep:known chromosome:GRCm38:4:59517473:59548737:-1 gene:ENSMUSG00000028382.15 transcript:ENSMUST00000140925.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp3 description:polypyrimidine tract binding protein 3 [Source:MGI Symbol;Acc:MGI:1923334] MSDIVVVTDLIAVGLKRGSDELLSSGVINGPSTMNSSTSAGVYANGNDNKKFKGDRPPCS PSRVLHLRKIPCDVTEAEVISLGLPFGKVTNLLMLKGKSQVRNI >ENSMUSP00000099987.3 pep:known chromosome:GRCm38:11:5861947:5872088:1 gene:ENSMUSG00000020473.13 transcript:ENSMUST00000102923.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aebp1 description:AE binding protein 1 [Source:MGI Symbol;Acc:MGI:1197012] MAPVRTASLLCGLLALLTLCPEGNPQTVLTDDEIEEFLEGFLSELETQSPPREDDVEVQP LPEPTQRPRKSKAGGKQRADVEVPPEKNKDKEKKGKKDKGPKATKPLEGSTRPTKKPKEK PPKATKKPKEKPPKATKKPKEKPPKATKKPKEKPPKATKRPSAGKKFSTVAPLETLDRLL PSPSNPSAQELPQKRDTPFPNAWQGQGEETQVEAKQPRPEPEEETEMPTLDYNDQIEKED YEDFEYIRRQKQPRPTPSRRRLWPERPEEKTEEPEERKEVEPPLKPLLPPDYGDSYVIPN YDDLDYYFPHPPPQKPDVGQEVDEEKEEMKKPKKEGSSPKEDTEDKWTVEKNKDHKGPRK GEELEEEWAPVEKIKCPPIGMESHRIEDNQIRASSMLRHGLGAQRGRLNMQAGANEDDYY DGAWCAEDESQTQWIEVDTRRTTRFTGVITQGRDSSIHDDFVTTFFVGFSNDSQTWVMYT NGYEEMTFYGNVDKDTPVLSELPEPVVARFIRIYPLTWNGSLCMRLEVLGCPVTPVYSYY AQNEVVTTDSLDFRHHSYKDMRQLMKAVNEECPTITRTYSLGKSSRGLKIYAMEISDNPG DHELGEPEFRYTAGIHGNEVLGRELLLLLMQYLCQEYRDGNPRVRNLVQDTRIHLVPSLN PDGYEVAAQMGSEFGNWALGLWTEEGFDIFEDFPDLNSVLWAAEEKKWVPYRVPNNNLPI PERYLSPDATVSTEVRAIISWMEKNPFVLGANLNGGERLVSYPYDMARTPSQEQLLAEAL AAARGEDDDGVSEAQETPDHAIFRWLAISFASAHLTMTEPYRGGCQAQDYTSGMGIVNGA KWNPRSGTFNDFSYLHTNCLELSVYLGCDKFPHESELPREWENNKEALLTFMEQVHRGIK GVVTDEQGIPIANATISVSGINHGVKTASGGDYWRILNPGEYRVTAHAEGYTSSAKICNV DYDIGATQCNFILARSNWKRIREILAMNGNRPILRVDPSRPMTPQQRRMQQRRLQYRLRM REQMRLRRLNSTAGPATSPTPALMPPPSPTPAITLRPWEVLPTTTAGWEESETETYTEVV TEFETEYGTDLEVEEIEEEEEEEEEEMDTGLTFPLTTVETYTVNFGDF >ENSMUSP00000105454.1 pep:known chromosome:GRCm38:11:5867602:5872088:1 gene:ENSMUSG00000020473.13 transcript:ENSMUST00000109829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aebp1 description:AE binding protein 1 [Source:MGI Symbol;Acc:MGI:1197012] MESHRIEDNQIRASSMLRHGLGAQRGRLNMQAGANEDDYYDGAWCAEDESQTQWIEVDTR RTTRFTGVITQGRDSSIHDDFVTTFFVGFSNDSQTWVMYTNGYEEMTFYGNVDKDTPVLS ELPEPVVARFIRIYPLTWNGSLCMRLEVLGCPVTPVYSYYAQNEVVTTDSLDFRHHSYKD MRQLMKAVNEECPTITRTYSLGKSSRGLKIYAMEISDNPGDHELGEPEFRYTAGIHGNEV LGRELLLLLMQYLCQEYRDGNPRVRNLVQDTRIHLVPSLNPDGYEVAAQMGSEFGNWALG LWTEEGFDIFEDFPDLNSVLWAAEEKKWVPYRVPNNNLPIPERYLSPDATVSTEVRAIIS WMEKNPFVLGANLNGGERLVSYPYDMARTPSQEQLLAEALAAARGEDDDGVSEAQETPDH AIFRWLAISFASAHLTMTEPYRGGCQAQDYTSGMGIVNGAKWNPRSGTFNDFSYLHTNCL ELSVYLGCDKFPHESELPREWENNKEALLTFMEQVHRGIKGVVTDEQGIPIANATISVSG INHGVKTASGGDYWRILNPGEYRVTAHAEGYTSSAKICNVDYDIGATQCNFILARSNWKR IREILAMNGNRPILRVDPSRPMTPQQRRMQQRRLQYRLRMREQMRLRRLNSTAGPATSPT PALMPPPSPTPAITLRPWEVLPTTTAGWEESETETYTEVVTEFETEYGTDLEVEEIEEEE EEEEEEMDTGLTFPLTTVETYTVNFGDF >ENSMUSP00000135110.1 pep:known chromosome:GRCm38:3:64116432:64140543:-1 gene:ENSMUSG00000043897.12 transcript:ENSMUST00000177151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r2 description:vomeronasal 2, receptor 2 [Source:MGI Symbol;Acc:MGI:3757666] MASRKMCLILGLTVFLWVELHAQENVTCKLLRKFNLSGYVEAENHSMVIGGLFPIHSRTI PTNNSDEVPVSAMCEGFNFRGFRWMKTMIHTIKEINERKDILPNHTLGYQIFDTCFSVSK AMETALAFLTGQEENKPNFRNSTGKYLVGIIGAGGSSLSVAAARILGLYYIPQVGYTSSC AVLSDTFQFPSFYRSTPSDKFQSEGMVSLIQHFGWVWVGTIASDDDYGKYGVKSLRERME FVNLCVAFSETIPKVYSNEKMQKAIKAIKSSTAKVIVLFSTDIDLGPFVLEVIHHNITDR TWIASEAWITSALIAKPEYFPYFGGTIGFAIPRSVIPGLKEFLYDVHPSKNPNDVLTIEF WQTAFNCTWPNSSVPYNVDHRVNMTGKEDRLYDMSDQLCTGEEKLEDLKNTYLDTSQLRI TNNVKQAVYLMAYALDRLSTCDLLEEQRNDTACSHIPDFEPKELMTYFKKLKIITHDGAE IELDLNGDVGKGYYDILNWHMGNTGEITFVKVGEYKYTSTKSELVLPKNSSLFWNTESSM LPESVCTKLCAPGTRRGIRQGQPVCCFNCIPCADGYVSEKSGQRECDPCGEDDWSNAEKS KCVPKLVEFLAYGEALGFTLVILSIFGALVVLAVTVVYVIHRHTPLVKANDRELSFLIQM SLVITVLSSLLFIGKPCNWSCMARQITLALGFCLCLSSILGKTISLFFAYRISVSKTRLI SMHPIFRKLIVLVCVVGEIGVCAAYLVLEPPRMFKNIEIQNVKIIFECNEGSVEFLCSIF GFDVLLALLCFLTTFVARQLPDNYYEGKCITFGMLVFFIVWISFVPAYLSTKGKFKVAVE IFAILASSYGLLGCLFLPKCFIILLRPKRNTDETVGGRVPTVDRSIQLTSASVSSELNST TVSTVLDE >ENSMUSP00000077109.3 pep:known chromosome:GRCm38:3:64116432:64137480:-1 gene:ENSMUSG00000043897.12 transcript:ENSMUST00000077958.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r2 description:vomeronasal 2, receptor 2 [Source:MGI Symbol;Acc:MGI:3757666] MKTMIHTIKEINERKDILPNHTLGYQIFDTCFSVSKAMETALAFLTGQEENKPNFRNSTG KYLVGIIGAGGSSLSVAAARILGLYYIPQVGYTSSCAVLSDTFQFPSFYRSTPSDKFQSE GMVSLIQHFGWVWVGTIASDDDYGKYGVKSLRERMEFVNLCVAFSETIPKVYSNEKMQKA IKAIKSSTAKVIVLFSTDIDLGPFVLEVIHHNITDRTWIASEAWITSALIAKPEYFPYFG GTIGFAIPRSVIPGLKEFLYDVHPSKNPNDVLTIEFWQTAFNCTWPNSSVPYNVDHRVNM TGKEDRLYDMSDQLCTGEEKLEDLKNTYLDTSQLRITNNVKQAVYLMAYALDRLSTCDLL EEQRNDTACSHIPDFEPKELMTYFKKLKIITHDGAEIELDLNGDVGKGYYDILNWHMGNT GEITFVKVGEYKYTSTKSELVLPKNSSLFWNTESSMLPESVCTKLCAPGTRRGIRQGQPV CCFNCIPCADGYVSEKSGQRECDPCGEDDWSNAEKSKCVPKLVEFLAYGEALGFTLVILS IFGALVVLAVTVVYVIHRHTPLVKANDRELSFLIQMSLVITVLSSLLFIGKPCNWSCMAR QITLALGFCLCLSSILGKTISLFFAYRISVSKTRLISMHPIFRKLIVLVCVVGEIGVCAA YLVLEPPRMFKNIEIQNVKIIFECNEGSVEFLCSIFGFDVLLALLCFLTTFVARQLPDNY YEGKCITFGMLVFFIVWISFVPAYLSTKGKFKVAVEIFAILASSYGLLGCLFLPKCFIIL LRPKRNTDETVGGRVPTVDRSIQLTSASVSSELNSTTVSTVLDE >ENSMUSP00000140999.1 pep:known chromosome:GRCm38:10:100335676:100336589:1 gene:ENSMUSG00000094314.2 transcript:ENSMUST00000186197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4301 description:predicted gene 4301 [Source:MGI Symbol;Acc:MGI:3782481] MADKMDMSLEDILKLNKMQQGRLDRPDSRVKRGTGPKRYRPAFTHDGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAHVHFERKADALKAMREYNGAPLDGRPMNIHLVTSQIDRQGRPALNSDKGGMTRN PGSGVLSGGGNKRWTLGGSQGRGRGTSRNSKLQQQQQQQQQQQQQQQQQEEQKHQKQQQQ KQQQQQQKQGQNHQHQQQQKEKELQQLSVEELDAQLDAYQEMMDTS >ENSMUSP00000129555.2 pep:known chromosome:GRCm38:10:100335676:100336591:1 gene:ENSMUSG00000094314.2 transcript:ENSMUST00000164394.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4301 description:predicted gene 4301 [Source:MGI Symbol;Acc:MGI:3782481] MTTMADKMDMSLEDILKLNKMQQGRLDRPDSRVKRGTGPKRYRPAFTHDGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAHVHFERKADALKAMREYNGAPLDGRPMNIHLVTSQIDRQGRPALNSDKGGM TRNPGSGVLSGGGNKRWTLGGSQGRGRGTSRNSKLQQQQQQQQQQQQQQQQQEEQKHQKQ QQQKQQQQQQKQGQNHQHQQQQKEKELQQLSVEELDAQLDAYQEMMDTS >ENSMUSP00000034277.6 pep:known chromosome:GRCm38:8:120653914:120668121:-1 gene:ENSMUSG00000031819.13 transcript:ENSMUST00000034277.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc8 description:ER membrane protein complex subunit 8 [Source:MGI Symbol;Acc:MGI:1343095] MPGVKLTTQAYCKMVLHGAKYPHCAVNGLLVAERQRPRKEHPPGAGSHTLFVDCIPLFHG TLALTPMLEVALTLIDSWCKDNSYVIAGYYQANERVKDASPNQVAEKVASRIAEGFGDAA LIMVDNAKFTMDCAAPTIHVYEQHENRWRCRDPHHDYCEDWPEAQRISASLLDSRSYETL VDFDNHLDDIRSDWTNPEINKAVLHLC >ENSMUSP00000137970.1 pep:known chromosome:GRCm38:8:120657833:120668087:-1 gene:ENSMUSG00000031819.13 transcript:ENSMUST00000181950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc8 description:ER membrane protein complex subunit 8 [Source:MGI Symbol;Acc:MGI:1343095] MPGVKLTTQAYCKMVLHGAKYPHCAVNGLLVAERQRPRKEHPPGAGSHTLFVDCIPLFHG TLALTPMLEVALTLRLL >ENSMUSP00000137767.1 pep:known chromosome:GRCm38:8:120657851:120668573:-1 gene:ENSMUSG00000031819.13 transcript:ENSMUST00000180417.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Emc8 description:ER membrane protein complex subunit 8 [Source:MGI Symbol;Acc:MGI:1343095] MPGVKLTTQAYCKMVLHGAKYPHCAVNGLLVAERQRPRKEHPPGAGSHTLFVDCIPLFHG TLALTPMLEVALTLIDSWCKDNSYVIAGYYQANERVKDARCAGDRPDEQPGFFHGSSVVQ GESSKRGGAATNQVCLCPPACCFPLQTRGCGRQGNLTLDPPGPARKS >ENSMUSP00000138068.1 pep:known chromosome:GRCm38:8:120658024:120667763:-1 gene:ENSMUSG00000031819.13 transcript:ENSMUST00000181111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc8 description:ER membrane protein complex subunit 8 [Source:MGI Symbol;Acc:MGI:1343095] XTLIDSWCKDNSYVIAGYYQANERVKDASPNQVAEKVASRIAEGFGDAALIMVDNAKFTM DCAAPTIHVYEQHENSDYCEDWPEAQRISASLLDSRSYETLVDFDNHLDDIRSDWTNPEI NKAVLHLC >ENSMUSP00000137932.1 pep:known chromosome:GRCm38:8:120658050:120667836:-1 gene:ENSMUSG00000031819.13 transcript:ENSMUST00000181836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc8 description:ER membrane protein complex subunit 8 [Source:MGI Symbol;Acc:MGI:1343095] XTLFVDCIPLFHGTLALTPMLEVALTLIDSWCKDNSPNQVAEKVASRIAEGFGDAALIMV DNAKFTMDCAAPTIHVYEQHENRWRCRDPHHDYCEDWPEAQRISASLLDSRSYETLVDFD NHLDDIRSDWTNPEINKAVLHLC >ENSMUSP00000137850.1 pep:known chromosome:GRCm38:8:120659974:120668003:-1 gene:ENSMUSG00000031819.13 transcript:ENSMUST00000181334.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc8 description:ER membrane protein complex subunit 8 [Source:MGI Symbol;Acc:MGI:1343095] MPGVKLTTQAYCKMVLHGAKYPHCAVNGLLVAERQRPRKEHPPGAGSHTLFVDCIPLFHG TLALTPMLEVALTLIDSWCKDNSYVIAGYYQANERVKDARGFLWLQPARSQGTA >ENSMUSP00000145162.1 pep:known chromosome:GRCm38:6:42471235:42476335:-1 gene:ENSMUSG00000091983.2 transcript:ENSMUST00000203396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr457 description:olfactory receptor 457 [Source:MGI Symbol;Acc:MGI:3030291] MKRDNATWVSEFILMGLSSDKHIQAGLFVLFGVIYLLTLLGNGLIVLLIALDPRLHLPMY FFLCHLSVVDICYTSSGVPQMLAHFLMEKKTISFALCGTQLFFALTLGGTEFLLLAAMAY DRYVAVCNPLRYTVVMNPRLCMGLAGVSWFVGVVNSAVETAVTMSLPTCGHNVLNHVACE TLALVRLACVDITLNQVVILASSVVVLLVPCSLVSLSYAHIVAAIMKIRSTQGRRKAFET CASHLTVVSMSYGMALFTYMQPRSTASAEQDKLVVLFYAVVTPMLNPLIYSLRNKDVKAA FSRVLMKNIESKN >ENSMUSP00000144914.1 pep:known chromosome:GRCm38:6:42471803:42476325:-1 gene:ENSMUSG00000091983.2 transcript:ENSMUST00000204324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr457 description:olfactory receptor 457 [Source:MGI Symbol;Acc:MGI:3030291] MKRDNATWVSEFILMGLSSDKHIQAGLFVLFGVIYLLTLLGNGLIVLLIALDPRLHLPMY FFLCHLSVVDICYTSSGVPQMLAHFLMEKKTISFALCGTQLFFALTLGGTEFLLLAAMAY DRYVA >ENSMUSP00000127348.1 pep:known chromosome:GRCm38:6:42471162:42472200:-1 gene:ENSMUSG00000091983.2 transcript:ENSMUST00000170504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr457 description:olfactory receptor 457 [Source:MGI Symbol;Acc:MGI:3030291] MKRDNATWVSEFILMGLSSDKHIQAGLFVLFGVIYLLTLLGNGLIVLLIALDPRLHLPMY FFLCHLSVVDICYTSSGVPQMLAHFLMEKKTISFALCGTQLFFALTLGGTEFLLLAAMAY DRYVAVCNPLRYTVVMNPRLCMGLAGVSWFVGVVNSAVETAVTMSLPTCGHNVLNHVACE TLALVRLACVDITLNQVVILASSVVVLLVPCSLVSLSYAHIVAAIMKIRSTQGRRKAFET CASHLTVVSMSYGMALFTYMQPRSTASAEQDKLVVLFYAVVTPMLNPLIYSLRNKDVKAA FSRVLMKNIESKN >ENSMUSP00000137118.2 pep:known chromosome:GRCm38:10:100366499:100367412:1 gene:ENSMUSG00000095673.1 transcript:ENSMUST00000179735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4308 description:predicted gene 4308 [Source:MGI Symbol;Acc:MGI:3782489] MADKMDMSLEDILKLNKMQQGRLDRPDSRVKRGTGPKRYRPAFTHDGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAHVHFERKADALKAMREYNGAPLDGRPMNIHLVTSQIDRQGRPALNSDKGGMTRN PGSGVLSGGGNKRWTLGGSQGRGRGTSRNSKLQQQQQQQQQQQQQQQQQEEQKHQKQQQQ KQQQQQQKQGQNHQHQQQQKEKELQQLSVEELDAQLDAYQEMMDTS >ENSMUSP00000084387.6 pep:known chromosome:GRCm38:X:159372178:159389928:1 gene:ENSMUSG00000067194.6 transcript:ENSMUST00000087143.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif1ax description:eukaryotic translation initiation factor 1A, X-linked [Source:MGI Symbol;Acc:MGI:1913485] MPKNKGKGGKNRRRGKNENESEKRELVFKEDGQEYAQVIKMLGNGRLEAMCFDGVKRLCH IRGKLRKKVWINTSDIILVGLRDYQDNKADVILKYNADEARSLKAYGELPEHAKINETDT FGPGDDDEIQFDDIGDDDEDIDDI >ENSMUSP00000026957.3 pep:known chromosome:GRCm38:2:87489087:87492418:-1 gene:ENSMUSG00000025839.3 transcript:ENSMUST00000026957.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel7 description:preferentially expressed antigen in melanoma like 7 [Source:MGI Symbol;Acc:MGI:2156391] MVSAPPTLQDQAFRSLVRNEVLTVSDAECLIREFFPPLFKEASTQKKPKTIMILVEHWPY PCLHVGLLIDKPNFQIFQAILDGVDTWLKRKYRPRMGRLKKVDFRDAQHHASLDMQDERE GRDYLVGTLPKKQIVEDHSRTRKERLKLFHDLSFMSSLHEDKHQTLLLEWAKERTSFLHL CCEKLEIGAVEVSKVRNVLKFLQPELIKELKLNTVGNLSKLAKFVPFIRKMRNLQKLMLV RTFGTRTFTQEEKQNISKIISLFCKLSCLRHLTIDDVYFLTDQMKELLRCLEAPLVSLKI TLCQLSQSDLESFAQRWNYSQLKHLCLRGVTLTNLDVTPLRDFLKRVAANLQTLDLEDCR MDDSHFRTLLPALIKCTQLTSINLYDNDISEDVLENFLHRTTNLSQLTTEMYPAPSEVYN ESNYVIVEIFIQICSELMNKLMEVRQANSVCFGSSSCYDCDNRYLYEDDGDVTLCLCQE >ENSMUSP00000145349.1 pep:known chromosome:GRCm38:2:155817730:155819426:-1 gene:ENSMUSG00000074649.6 transcript:ENSMUST00000124586.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC029722 description:cDNA sequence BC029722 [Source:MGI Symbol;Acc:MGI:3584273] MGALLSGGQDGPEPAQPQPPAPQGPQQPQPEPGPWGPLDDVRFLIACTSWY >ENSMUSP00000060839.5 pep:known chromosome:GRCm38:6:42486163:42487214:-1 gene:ENSMUSG00000090631.3 transcript:ENSMUST00000057251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr456 description:olfactory receptor 456 [Source:MGI Symbol;Acc:MGI:3030290] MRENMTWVSEFILMGLTSDKNIQAGLFVLFGVTYLLTLLGNGLIVLLIALDPRLHLPMYF FLCHLSVVDICYTSSGVPQMLAHFLMEKKTISFALCGTQLLFALTLGGTEFLLLAAMAYD RSVAVCNPLRYTVVMNPRLCMGLAGVSWFVGVVNSAVETAVTMCLPTCGHNVLNHVACET LTLVRLACVDITLNQVVILASSVVVLMIPCSLVSLSYAHIVAAIMKIHSTQGRRKAFETC ASHLTVVSMSYGMALFTYLQPASTASAEQDKVVVIFYALVTPMMNPLIYSLRNKDVKAAF RRVLMKNIESKN >ENSMUSP00000139588.2 pep:known chromosome:GRCm38:10:100381647:100382560:-1 gene:ENSMUSG00000096640.3 transcript:ENSMUST00000190264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4312 description:predicted gene 4312 [Source:MGI Symbol;Acc:MGI:3782493] MTTMADKMDMSLEDILKLNKMQQGRLDRPDSRVKRGTGPKRYRPAFTHDGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAHVHFERKADALKAMREYNGAPLDGRPMNIHLVTSQIDRQGRPALNSDKGGM TRNPGSGVLSGGGNKRWTLGGSQGRGRGTSRNSKLQQQQQQQQQQQQQQQQQEEQKHQKQ QQQKQQQQQQKQGQNHQHQQQQKEKELQQLSVEELDAQLDAYQEMMDTS >ENSMUSP00000035434.8 pep:known chromosome:GRCm38:2:127247908:127261107:1 gene:ENSMUSG00000034850.15 transcript:ENSMUST00000035871.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem127 description:transmembrane protein 127 [Source:MGI Symbol;Acc:MGI:1916720] MYAPGGAGLPGGRRRRSPGSSALPKQPERSLASALPGALSITALCTALAEPAWLHIHGGT CSRQELGVSDVLGYVNPDLLKDFCMNPQTVLLLRVIAAFCFLGILCSLSAFLLDVFGPKH PALKITRRYAFAHILTVLQCATVIGFSYWASELILAQQQQHKKYHGSQVYVTFAVSFYLV AGAGGASILATAANLLRHYPTEEEEQALELLSEMEENDPYPAEYEVINQFQPPPAYTP >ENSMUSP00000133701.1 pep:known chromosome:GRCm38:2:127247969:127257149:1 gene:ENSMUSG00000034850.15 transcript:ENSMUST00000174503.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem127 description:transmembrane protein 127 [Source:MGI Symbol;Acc:MGI:1916720] MYAPGGAGLPGGRRRRSPGSSALPKQPERSLASALPGALSITALCTALAEPAWLHIHGGT CSRQELGVSDVLGYVNPDLLKVLQCATVIGFSYWASELILAQQQQHKKYHGSQVYVTFAV SFYLVAGAGGASILATAANLLRHYPT >ENSMUSP00000134629.1 pep:known chromosome:GRCm38:2:127247973:127257521:1 gene:ENSMUSG00000034850.15 transcript:ENSMUST00000174288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem127 description:transmembrane protein 127 [Source:MGI Symbol;Acc:MGI:1916720] MNPQTVLLLRVIAAFCFLGILCSLSAFLLDVFGPKHPALKITRRYAFAHILTVLQCATVI GFSYWASELILAQQQQHKKYHGSQVYVTFAVSFYLVAGAGGASILATAANLLRHYPTEEE EQALELLSEMEENDPYPAEYEVINQFQPPPAYTP >ENSMUSP00000145876.1 pep:known chromosome:GRCm38:7:127050156:127052675:-1 gene:ENSMUSG00000049350.6 transcript:ENSMUST00000205424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zg16 description:zymogen granule protein 16 [Source:MGI Symbol;Acc:MGI:1916286] MLAVALLVLLCASASANSIQSRTSSYSGEYGGKGGKRFSHSGNQLDGPITAFRIRVNRYY IVGLQVRYGTVWSDYVGGTQGDLEEIFLHPGESVIQVSGKYKSYVKQMIFVTDKGRYLPF GKASGTSFNAVPLHPNTVLRFISGRSGSAIDSISLHWDTYPSHCNTC >ENSMUSP00000145957.1 pep:known chromosome:GRCm38:7:127050476:127087328:-1 gene:ENSMUSG00000049350.6 transcript:ENSMUST00000205559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zg16 description:zymogen granule protein 16 [Source:MGI Symbol;Acc:MGI:1916286] MLAVALLVLLCASASANSIQSRTSSYSGEYGGKGGKRFSHSGNQLDGPITAFRIRVNRYY IVGLQVRYGTVWSDYVGGTQGDLEEIFLHPGESVIQVSGKYKSY >ENSMUSP00000056916.5 pep:known chromosome:GRCm38:7:127050156:127051977:-1 gene:ENSMUSG00000049350.6 transcript:ENSMUST00000051122.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zg16 description:zymogen granule protein 16 [Source:MGI Symbol;Acc:MGI:1916286] MLAVALLVLLCASASANSIQSRTSSYSGEYGGKGGKRFSHSGNQLDGPITAFRIRVNRYY IVGLQVRYGTVWSDYVGGTQGDLEEIFLHPGESVIQVSGKYKSYVKQMIFVTDKGRYLPF GKASGTSFNAVPLHPNTVLRFISGRSGSAIDSISLHWDTYPSHCNTC >ENSMUSP00000029141.5 pep:known chromosome:GRCm38:2:155775342:155818366:1 gene:ENSMUSG00000027612.7 transcript:ENSMUST00000029141.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp24 description:matrix metallopeptidase 24 [Source:MGI Symbol;Acc:MGI:1341867] MPRSRGGRAAPGQASRWSGWRAPGRLLPLLPALCCLAAAAGAGKPAGADAPFAGQNWLKS YGYLLPYESRASALHSGKALQSAVSTMQQFYGIPVTGVLDQTTIEWMKKPRCGVPDHPHL SRRRRNKRYALTGQKWRQKHITYSIHNYTPKVGELDTRKAIRQAFDVWQKVTPLTFEEVP YHEIKSDRKEADIMIFFASGFHGDSSPFDGEGGFLAHAYFPGPGIGGDTHFDSDEPWTLG NANHDGNDLFLVAVHELGHALGLEHSNDPSAIMAPFYQYMETHNFKLPQDDLQGIQKIYG PPAEPLEPTRPLPTLPVRRIHSPSERKHERHPRPPRPPLGDRPSTPGAKPNICDGNFNTV ALFRGEMFVFKDRWFWRLRNNRVQEGYPMQIEQFWKGLPARIDAAYERADGRFVFFKGDK YWVFKEVTVEPGYPHSLGELGSCLPREGIDTALRWEPVGKTYFFKGERYWRYSEERRATD PGYPKPITVWKGIPQAPQGAFISKEGYYTYFYKGRDYWKFDNQKLSVEPGYPRNILRDWM GCKQKEVERRKERRLPQDDVDIMVTIDDVPGSVNAVAVVVPCTLSLCLLVLLYTIFQFKN KAGPQPVTYYKRPVQEWV >ENSMUSP00000113804.1 pep:known chromosome:GRCm38:8:70508272:70523163:-1 gene:ENSMUSG00000055553.16 transcript:ENSMUST00000121623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kxd1 description:KxDL motif containing 1 [Source:MGI Symbol;Acc:MGI:1922870] MDTPDSASRVFCGRFLSMVNTDDVNAIILAQKNMLDRFEKTNEMLLNFNNLSSVRLQQMS ERFMHHTRTLVDMKRDLDSIFRRIRTLKGKLARQHPEAFSPDANMQIFVKTLTGKTITLE VEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGG IIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNNLRPKKKVK >ENSMUSP00000123438.1 pep:known chromosome:GRCm38:8:70508450:70527956:-1 gene:ENSMUSG00000055553.16 transcript:ENSMUST00000138260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kxd1 description:KxDL motif containing 1 [Source:MGI Symbol;Acc:MGI:1922870] MDTPDSASRVFCGRFLSMVNTDDVNAIILAQKNMLDRFEKTNEMLLNFNNLSSVRLQQMS ERFMHHTRTLVDMKRDLDSIFRRIRTLKGKLARQHPEAFSPDANMQIFVKTLTGKTITLE VEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGG IIEPSLRQLAQKY >ENSMUSP00000091165.5 pep:known chromosome:GRCm38:8:70513396:70523180:-1 gene:ENSMUSG00000055553.16 transcript:ENSMUST00000093456.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kxd1 description:KxDL motif containing 1 [Source:MGI Symbol;Acc:MGI:1922870] MDTPDSASRVFCGRFLSMVNTDDVNAIILAQKNMLDRFEKTNEMLLNFNNLSSVRLQQMS ERFMHHTRTLVDMKRDLDSIFRRIRTLKGKLARQHPEAFSHIPEGSFLEDEDEDPIPPSI TTTIATSEQSTGSCDTSPDTVSPSLSPGFEDLSHIQPGSPAINGHRQTDDEEETHEE >ENSMUSP00000113816.1 pep:known chromosome:GRCm38:8:70513604:70523279:-1 gene:ENSMUSG00000055553.16 transcript:ENSMUST00000118850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kxd1 description:KxDL motif containing 1 [Source:MGI Symbol;Acc:MGI:1922870] MFGTQRVRVSSAAIAHPFGVHVRASTIWSSRLLAALEAEEKEMDTPDSASRVFCGRFLSM VNTDDVNAIILAQKNMLDRFEKTNEMLLNFNNLSSVRLQQMSERFMHHTRTLVDMKRDLD SIFRRIRTLKGKLARQHPEAFSHIPEGSFLEDEDEDPIPPSITTTIATSEQSTGSCDTSP DTVSPSLSPGFEDLSHIQPGSPAINGHRQTDDEEETHEE >ENSMUSP00000123548.1 pep:known chromosome:GRCm38:8:70514127:70523180:-1 gene:ENSMUSG00000055553.16 transcript:ENSMUST00000155677.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kxd1 description:KxDL motif containing 1 [Source:MGI Symbol;Acc:MGI:1922870] MDTPDSASRVFCGRFLSMVNTDDVNAIILAQKNMLDRFEKTNEMLLNFNNLSSVRLQQMS ERFMHHTRTLVDMKRDLDSIFRRIRTLKGKLARQHPEAFSRFHRVALAILDLTL >ENSMUSP00000112561.1 pep:known chromosome:GRCm38:8:70514690:70527956:-1 gene:ENSMUSG00000055553.16 transcript:ENSMUST00000117580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kxd1 description:KxDL motif containing 1 [Source:MGI Symbol;Acc:MGI:1922870] MDTPDSASRVFCGRFLSMVNTDDVNAIILAQKNMLDRFEKTNEMLLNFNNLSSVRLQQMS ERFMHHTRTLVDMKRDLDSIFRRIRTLKGKLARQHPEAFSRFHRVALAILDLTL >ENSMUSP00000121539.1 pep:known chromosome:GRCm38:8:70515395:70523090:-1 gene:ENSMUSG00000055553.16 transcript:ENSMUST00000137610.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kxd1 description:KxDL motif containing 1 [Source:MGI Symbol;Acc:MGI:1922870] MDTPDSASRVFCGRFLSMVNTDDVNAIILAQKNMLDRFEKTNEMLLNFNNLSSVRLQQMS ERFMHHTRTLVDMKRDLDSIFRRIRTLKGKLARQHPEAFS >ENSMUSP00000123679.1 pep:known chromosome:GRCm38:8:70518411:70527783:-1 gene:ENSMUSG00000055553.16 transcript:ENSMUST00000132867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kxd1 description:KxDL motif containing 1 [Source:MGI Symbol;Acc:MGI:1922870] MWLDRFEKTNEMLLNFNNLSSVRLQQMSERFMHHTRT >ENSMUSP00000039080.7 pep:known chromosome:GRCm38:5:134932368:134942637:1 gene:ENSMUSG00000040557.14 transcript:ENSMUST00000047196.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr27 description:Williams Beuren syndrome chromosome region 27 (human) [Source:MGI Symbol;Acc:MGI:1933146] MTQEEAGRLPQVLARVGTSHGITDLACKLRFYDDWAPEYDQDVAALKYRAPRLAVDCLSR AFRGSPHDALILDVACGTGLVAVELQARGFLQVQGVDGSPEMLKQARARGLYHHLSLCTL GQEPLPDPEGTFDAVIIVGALSEGQVPCSAIPELLRVTKPGGLVCLTTRTNPSNLPYKET LEATLDSLERAGVWECLVTQPVDHWELATSEQETGLGTCANDGFISGIIYLYRKQETV >ENSMUSP00000106852.2 pep:known chromosome:GRCm38:5:134932373:134941723:1 gene:ENSMUSG00000040557.14 transcript:ENSMUST00000111221.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr27 description:Williams Beuren syndrome chromosome region 27 (human) [Source:MGI Symbol;Acc:MGI:1933146] MTQEEAGRLPQVLARVGTSHGITDLACKLRFYDDWAPEYDQDVAALKYRAPRLAVDCLSR AFRGSPHDALILDVACGTGLVAVELQARGFLQVQGVDGSPEMLKQARARGLYHHLSLCTL GQEPLPDPEGGLVCLTTRTNPSNLPYKETLEATLDSLERAGVWECLVTQPVDHWELATSE QETGLGTCANDGFISGIIYLYRKQETV >ENSMUSP00000106850.1 pep:known chromosome:GRCm38:5:134932373:134941723:1 gene:ENSMUSG00000040557.14 transcript:ENSMUST00000111219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr27 description:Williams Beuren syndrome chromosome region 27 (human) [Source:MGI Symbol;Acc:MGI:1933146] MTQEEAGRLPQVLARVGTSHGITDLACKLRFYDDWAPEYDQDVAALKYRAPRLAVDCLSR AFRGSPHDALILDVACGTGLVAVELQARGFLQVQGVDGSPEMLKQARARGLYHHLSLCTL GQEPLPDPEGTFDAVIIVGALSEGQVPCSAIPELLRVTKPGGLVCLTTRTNPSNLPYKET LEATLDSLERAGVWECLVTQPVDHWELATSEQETGLGTCANDGFISGIIYLYRKQETV >ENSMUSP00000067814.5 pep:known chromosome:GRCm38:5:134932385:134941723:1 gene:ENSMUSG00000040557.14 transcript:ENSMUST00000068617.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr27 description:Williams Beuren syndrome chromosome region 27 (human) [Source:MGI Symbol;Acc:MGI:1933146] MTQEEAGRLPQVLARVGTSHGITDLACKLRFYDDWAPEYDQDVAALKYRAPRLAVDCLSR AFRGSPHDALILDVACGTGLVAVELQARGFLQVQGVDGSPEMLKQARARGLYHHLSLCTL GQEPLPDPEGGLVCLTTRTNPSNLPYKETLEATLDSLERAGVWECLVTQPVDHWELATSE QETGLGTCANDGFISGIIYLYRKQETV >ENSMUSP00000106849.1 pep:known chromosome:GRCm38:5:134932392:134941202:1 gene:ENSMUSG00000040557.14 transcript:ENSMUST00000111218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr27 description:Williams Beuren syndrome chromosome region 27 (human) [Source:MGI Symbol;Acc:MGI:1933146] MTQEEAGRLPQVLARVGTSHGITDLACKLRFYDDWAPEYDQDVAALKYRAPRLAVDCLSR AFRGSPHDALILDVACGTGLVAVELQARGFLQVQGVDGSPEMLKQARARGLYHHLSLCTL GQEPLPDPEGTFDAVIIVGALSEGQVPCSAIPELLRVTKPGGLVCLTTRTNPSNLPYKET LEATLDSLERAGVWECLVTQPVDHWELATSEQETGLGTCANDGFISGIIYLYRKQETV >ENSMUSP00000119451.1 pep:known chromosome:GRCm38:5:134932406:134934424:1 gene:ENSMUSG00000040557.14 transcript:ENSMUST00000136246.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr27 description:Williams Beuren syndrome chromosome region 27 (human) [Source:MGI Symbol;Acc:MGI:1933146] MTQEEAGRLPQVLARVGTSHGITDLACKLRFYDDWAPEYDQDVAALKYRAPRLAVDCLSR AFRGSPHDALILDVACGTGLVAVELQARGFLQVQGVDGSPEMLKQARARGLYHHLSLCTL GQEPLPDPE >ENSMUSP00000144170.1 pep:known chromosome:GRCm38:5:134932524:134940634:1 gene:ENSMUSG00000040557.14 transcript:ENSMUST00000201847.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr27 description:Williams Beuren syndrome chromosome region 27 (human) [Source:MGI Symbol;Acc:MGI:1933146] MTQEEAGRLPQVLARVGTSHGITDLACKLRFYDDWAPEYDQDVAALKYRAPRLAVDCLSR AFRGSPHDALILDVACGTGLVAVELQARGFLQVQGVDGSPEMLKQARARGLYHHLSLCTL GQEPLPDPEGTFDAVIIVGALSEGQVPCSAIPELLRVTKPGGLVCLTTRTNPSNLPYKET LEATLDSLERAGVWECLVTQPVDHWELATSEQETGLGTCA >ENSMUSP00000119109.1 pep:known chromosome:GRCm38:5:134940462:134941232:1 gene:ENSMUSG00000040557.14 transcript:ENSMUST00000148286.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr27 description:Williams Beuren syndrome chromosome region 27 (human) [Source:MGI Symbol;Acc:MGI:1933146] LVCLTTRTNPSNLPYKETLEATLDSLERAGVWECLVTQPVDHWELATSEQETGLGTCAND GFISGIIYLYRKQETV >ENSMUSP00000114652.1 pep:known chromosome:GRCm38:10:62659043:62726128:-1 gene:ENSMUSG00000036923.12 transcript:ENSMUST00000133371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stox1 description:storkhead box 1 [Source:MGI Symbol;Acc:MGI:2684909] MARPVQLAPGSLALVLSPREAGQAAGEPGGRALFRAFRRANARCFWNARLARAASRLAFL GWLRRGVLLVRAPQPCVQVLRDAWRRRALRPPRGFRITAVGDVFPVQMSPIAQCRFVPLA EVLCCAIADMNAAQVMVTQQSLLEHLIKHYPGIAVPSPDILYSTLGALIQERKIYHTGEG YFIVTPSTYFITNTPMQGNKSALLSNEGCSGPTSGTYLVSVDCCAEPTQENEALFSHCPS CQCYPDTSMCDSKDLLTAAEVTRKSQEGLEETTALTENQVVSASEDTHICVNPKPLPYTK DKGKRFGFGFLWRSLSRKEKPKAEYHSFSAQFPPEEWPVRDEDSSTKIPRDVEHALIKRI NPVLTVDNLTKHTALMQKYEEQKKYNSQGTSMDILTTRHKDSSKEVIGKRQGQFAKSRRR GSSHKGRHKARSQGSELEPGNPGQEKEKQPKVPAAQPAPRTKSPSEQVHHLQGRNPAVLG SHLIYKKQINNPFQGMHLRKHSVSKGHAVQKTHGLKPTCVGPEEKPFWSAGSSDPSGVFD GEAQPPYPEQCRDKLEAGSTQVAKAPVHPVSDDFRGGPGNYPPRRVLPGPSRCCSFRESM LRPGVYHEENKDLPEVLRKSWSTCDMFLGTKEKKQALPAQRCSLDPDSSSVHAEDKTVDK ILHQFQNLGLLDCPAGANRLRTHERQDGNSEELSRKALQIPEAEIVNMENEGLSDSEQDQ VALSHSDPGAGDDGGCSSLCLEDDDFSETDDFCPSLPGHTQHSFAGGGTWNHLGTPAMTG KSLTDCNSKAHRLELLAIERNPWYKATGLFSNAGESPNPDLSDNPGQNSRIPWGFNYEGE PTVAHVQTPAAAAGRSLLACSTVRTTSFPVEILQESPGDRGKSPIVWRQSLPSQEMKEHF TDKLQLVKTSHGPVSAQEPQGEHLEGTENYSMTGDSGIDSPRTQSLVSTNSAILDGFKRR QHFLPNREGVQKSQNLASNSLFQLTPAINV >ENSMUSP00000114348.1 pep:known chromosome:GRCm38:10:62666176:62725801:-1 gene:ENSMUSG00000036923.12 transcript:ENSMUST00000126979.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stox1 description:storkhead box 1 [Source:MGI Symbol;Acc:MGI:2684909] RPPRGFRITAVGMSKEWFPGSETGSQVPKVGIFCRQAGF >ENSMUSP00000116180.1 pep:known chromosome:GRCm38:10:62659043:62725801:-1 gene:ENSMUSG00000036923.12 transcript:ENSMUST00000148720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stox1 description:storkhead box 1 [Source:MGI Symbol;Acc:MGI:2684909] RPPRGFRITAVGDVFPVQMSPIAQCRFVPLAEVLCCAIADMNAAQVMVTQQSLLEHLIKH YPGIAVPSPDILYSTLGALIQERKIYHTGEGYFIVTPSTYFITNTPMQGNKSALLSNEGC SGPTSGTYLDAEPGIY >ENSMUSP00000023501.8 pep:known chromosome:GRCm38:16:38297754:38341845:-1 gene:ENSMUSG00000022805.16 transcript:ENSMUST00000023501.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maats1 description:MYCBP-associated, testis expressed 1 [Source:MGI Symbol;Acc:MGI:2443598] MSQTVTIQEPRPNDQRIPYQCREVRRAKGGFANRTYDYLYDPLFIVSSERDHAQANIQAT LIRSRLKKVPNFRSMFSNLFHHPRYSMYWSKTDPVPLHVTREWRGQEAKHKEVLRLQAAM DTSFQMPKEKDEDPDVSGKNRYKFFDRPFLPFLQQMPLNVVLSPVKTQPLLLTPESSKYA IIPTKSTVATQTDYRDADVQTDPYSPEYVVCQDTIPELLTLANLTWGRGLPAGQAEVEII ERAREKRAWEATLPPLNDSVQAEKRRKMMNAMERKEWAFREGEIEKLQELRLEVLKQLLK RREEDQNELNMRHLNDQWYKLQEAKEAKVAQIRHKHVSDIRKLMGKGKNIEGKLQRRDII SDYSNFASQVYGPLSRLGRFPDNNSEDFVVRNHYLNTYEGLVELESSLPDFVTQPRIKPP KPQIITTKAGFLKRTARMDYELAEVHKALVDKKNKGLEGTKSLRFLQKNPISQARLPTPS LEMTSYEEGEIEMAVIYLQKLLRGRVVQNMMFEGKEKRLELILELRTSHALQEDDKLVKK AEKQVTLALQRQRNLHEDKLSVIENHLGDLEGRVLADMFDFLSKELVRLQEERRIHAFAM LAERQRRMREAEESGRRQVEQKRLQQEDMIFKEVIKVHQSTVTSYLEDIILNTEERTAEE QARKEIEKIAEEINNIAYEMENRRTYLQSEEIVAELVYSFLIPEVQKDFVKEKVRNAQRK HILAAHEIIHSNTETMLEEQVYKELQSEDFELEEEAESLDSEVPTVSVSKTSTIKPTQDE GEG >ENSMUSP00000110388.2 pep:known chromosome:GRCm38:16:38322181:38341812:-1 gene:ENSMUSG00000022805.16 transcript:ENSMUST00000114740.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maats1 description:MYCBP-associated, testis expressed 1 [Source:MGI Symbol;Acc:MGI:2443598] MSQTVTIQEPRPNDQRIPYQCREVRRAKGGFANRTYDYLYDPLFIVSSERDHAQANIQAT LIRSRLKKVPNFRSMFSNLFHHPRYSMYWSKTDPVPLHVTREWRGQEAKHKEVLRLQAAM DTSFQMPKEKDEDPDVSGKNRYKFFDRPFLPFLQQMPLNVVLSPVKTQPLLLTPESSKYA IIPTKSTVATQTDYRDADVQTDPYSPEYVVCQDTIPELLTLANLTWGRGLPAGQAEVEII ERAREKRAWEATLPPLNDSVQAEKRRKMMNAMERKEWAFREGEIEKLQELRLEVLKQLLK RREEDQNELNMRHLNDQWYKLQEAKEAKVAQIRHKHVSEAEEMAQ >ENSMUSP00000130187.1 pep:known chromosome:GRCm38:6:42538067:42539020:-1 gene:ENSMUSG00000071494.4 transcript:ENSMUST00000171307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr455 description:olfactory receptor 455 [Source:MGI Symbol;Acc:MGI:3030289] MDIPSNNTIPRGFLLQGFFEFPHLKPLLFLVLLAVHLATLSGNLLILVAVISVPSRPPML LFLCQLSAIELCYTLVVVPRSLADLSMPGHGRGSPISFLGCAVQMQMFVALGGAECFLLA AMAYDRYVAICHPLRYASIVTPGLCARLALACCLGGLAVSVGLTVAVFHLPFCGSRLLVH FFCDITALLHLACTRSYVDELPLLGACLVLLLLPSMLILTSYGAIASALRRLHGGGGRRK AASTCASHLAVTFLHYGCATFMYVRPKSSYSPRLDRTLALVYTNVTPLLYPLIYSLRNRE ITSAIHRVLGFRGSGSV >ENSMUSP00000026208.4 pep:known chromosome:GRCm38:19:43782192:43840740:1 gene:ENSMUSG00000025194.5 transcript:ENSMUST00000026208.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc2 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 2 [Source:MGI Symbol;Acc:MGI:1352447] MDEFCNSTFWNLSLLKSPEADLPLCFEQTVLVWIPLGFLWLLAPWQLYRIYRSRTKRFAI TKFYLAKQVFVVCLLILAAIDLSLALTEDTGQATIPPVKYTNPILYLCTWLLVLVIQHCR QCCIQKNSWFLSMFWILSLLCGIFQFQTLIRALLQDSKSNMTYSCLFFVSYGFQIVILIL SAFSESSDSTHAPSATASFLSSVTFSWYDSTVLKGYKHPLTIEDVWDIEENLKAKSLTSK FKTIMTKDLQKARQALQRRLKKSQQSPEGTSHGLTKKQSQSQDVLVLEDSKKKKKKSEAT KDFPKSWLVKALFKTFYVVILKSFILKLAHDILLFLNPQLLKFLIGFVKDPDSYPWVGYI YAILMFSVTLIQSFFLQCYFQFCFVLGMTVRTTIIASVYKKALTLSNLARRQYTIGETVN LMSVDSQKLMDVTNYIHLLWSSVLQIALSIFFLWRELGPSILAGVGLMVLLVPVNGVLAT KIRKIQVQNMKNKDKRLKIMNEILSGIKILKYFAWEPSFKEQVNSIRKKELRNLLRFSQL QTILIFILHLTPTLVSVITFSVYVLVDSQNVLNAEKAFTSITLFNILRFPLAMLPMVISS VIQASVSVDRLEQYLGSDDLDLSAIRHVCHFDKAVQFSEASFTWDRDLEATIQDVNLDIK PGQLVAVVGTVGSGKSSLISAMLGEMENVHGHITIKGSIAYVPQQAWIQNGTIKDNILFG SEYDEKKYQRVIEACALLPDLEMLPGGDMAEIGEKGINLSGGQKHRVSLARATYQDADIY ILDDPLSAVDTHVGKHIFNKVVGPNGLLSGKTRILVTHGIHFLPQVDEIVVLGKGTILEK GSYSDLMDKKGVFAKNWKTFMKHSGPEGEATVDNDSEEEDGDCGLIPTVEEIPDDAASLT MRRENSLRRTLSRSSRSGSRRGKSLKSSLKIKSVNALNKKEEVVKGQKLIKKEFVETGKV KFSIYLKYLQAVGWWSLLFIVIFYVLNYVAFIGTNLWLSAWTSDSEKQNGTDNSPSQRDM RIGVFGALGIAQGIFLLSSSLWSIYACRNASKTLHRQLLTNILRAPMSFFDTTPTGRIVN RFAGDISTVDDTLPQTLRSWLLCFFGIVSTLVMICMATPIFIIIIIPLSILYVSVQVFYV ATSRQLRRLDSVTKSPIYSHFSETVSGLPVIRAFEHQQRFLANSEKQIDTNQKCVFSWIT SNRWLAIRLELVGNLIVFCSALLLVIYKNSLTGDTVGFVLSNALNITQTLNWLVRMTSEV ETNIVAVERINEYINVDNEAPWVTDKKPPADWPKKGEIQFNNYQVRYRPELDLVLKGITC NIKSTEKVGVVGRTGAGKSSLTNCLFRILESAGGQIIIDGIDIASIGLHDLRGRLTIIPQ DPILFSGNLRMNLDPFNKYSDEEIWRALELAHLKSFVAGLQLGLLHEVTEGGDNLSIGQR QLLCLGRAVLRKSKILVLDEATAAVDLETDSLIQTTIRNEFSQCTVITIAHRLHTIMDSD KIMVLDSGKIVEYGSPEELLSNMGPFYLMAKEAGIESVNHTEL >ENSMUSP00000097010.2 pep:known chromosome:GRCm38:19:43838803:43840728:1 gene:ENSMUSG00000025194.5 transcript:ENSMUST00000099413.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc2 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 2 [Source:MGI Symbol;Acc:MGI:1352447] MFLIYLAISKVAFQDSGLSKESVQVCLSWLSLNWCPILLTEVDF >ENSMUSP00000078547.5 pep:known chromosome:GRCm38:9:95559657:95568023:1 gene:ENSMUSG00000064225.6 transcript:ENSMUST00000079597.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr9 description:progestin and adipoQ receptor family member IX [Source:MGI Symbol;Acc:MGI:1922802] MPRRLQQRGAGVKGPPASTSRRSHPASASAPRSPPAATTKPLLRWDEVPDDFVECFILSG YRRLPCTAQECLASVLKPTNETLNFWTHFIPLLLFLSKFCRLFFLGGSDVPFHHPWLLPL WCYASGVLLTFAMSCTAHVFSCLSLRLRAAFFYLDYASISYYGFGSTVAYYYYLLPSLSL LDARVMTPYVQQRLGWHVDCTRLIAVYRALVLPVAFVLAVACTVACCKSRTDWCSYPFAL RTFVFVMPLSMACPIMLESWLFDLRGENPTLFVHFYRRYFWLVVAAFFNVSKIPERIQPG LFDIIGHSHQLFHIFTFLSIYDQVYYVEEGLRQFLQAPPAAPTFSGTVGYMLLLVVCLGL VIRKFLNSTEFCSKK >ENSMUSP00000057449.7 pep:known chromosome:GRCm38:10:114398823:114801364:-1 gene:ENSMUSG00000050663.7 transcript:ENSMUST00000061632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trhde description:TRH-degrading enzyme [Source:MGI Symbol;Acc:MGI:2384311] MGEDDAALRASGRGLSDPWADSVGVRPRTTERHIAVHKRLVLAFAVSIVALLAVTMLAVL LSLRFDECGASAAMPGTDGGLGGFPERDSNSSFPGSARRNHHAGGESSQRESGEVGTPGT PSAQPPSEEEREQWQPWTQLRLSGHLKPLHYNLMLTAFMENFTFSGEVNVEIACRNATRY VVLHASRVAVEKVQVAEDRAFGAVPVAGFFLYPQTQVLVVVLNRTLDAQRHYNLKIIYNA LIENELLGFFRSSYVIHGERRFLGVTQFSPTHARKAFPCFDEPIYKATFKISIKHQATYL SLSNMPVETSVFEEDGWVTDHFSQTPLMSTYYLAWAICNFTYRETTTKSGVVVRLYARPD AIRRGSGDYALHITKRLIEFYEDYFKVPYSLPKLDLLAVPKHPYAAMENWGLSIFVEQRI LLDPSVSSISYLLDVTMVIVHEICHQWFGDLVTPVWWEDVWLKEGFAHYFEFVGTDYLYP AWNMEKQRFLTDVLHEVMLLDGLASSHPVSQEVLRATDIDRVFDWIAYKKGAALIRMLAN FMGHSVFQRGLQDYLTIHKYGNAARNDLWNTLSEALRRNGKYVNIQEVMDQWTLQMGYPV ITILGNTTAENRILITQQHFIYDIGAKTKALQLQNSSYLWQIPLTIVVGNRSHVSSEAII WVSNKSEHHRIAYLDRGSWILGNINQTGYFRVNYDLRNWRLLIDQLIRNHEVLSVSNRAA LIDDAFSLARAGYLPQNIPLEIIRYLSEEKDFLPWHAASRALYPLDKLLDRMENYNIFNE YILKQVATTYIKLGWPRNNFNGSLVQASYQHEELRREVIMLACSFGNKHCHQQASTLISD WISSNRNRIPLNVRDIVYCTGVSLLDEDVWEFIWMKFHSTTAVSEKKILLEALTCSDDRN LLSRLLNLSLNSEVVLDQDAIDVIIHVARNPHGRDLAWKFFRDKWKILNTRYGEALFMNS KLISGVTEFLNTEGELKELKNFMKSYDGVASASFSRAVETVEANVRWKRFYQDELFQWLG KAMRH >ENSMUSP00000057859.6 pep:known chromosome:GRCm38:5:108646693:108660811:-1 gene:ENSMUSG00000004815.12 transcript:ENSMUST00000053913.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkq description:diacylglycerol kinase, theta [Source:MGI Symbol;Acc:MGI:102918] MAAAAEPGARTWPGSGSPRLGSPAGSPVLGISGRTRPGSGPERTSRAIGSAAPGHSFRKV TLTKPTFCHLCSDFIWGLAGFLCDVCNFMSHEKCLKQVKTPCTSIAPSLVRVPVAHCFGS LGLYKRKFCVVCRKSLEVPAFRCEVCELHVHPDCVPFACSDCRQCHQDGQQDYDTYHHHW REGNLPSGARCEVCRKTCGSSDVLAGVRCEWCGVQAHSVCSTALAPECTFGRLRSMVLPP SCVRLLSRNFSKMHCFRIPETMVLELGDGDDGVDGSAAIGTGREVLTATESTKQTLKIFD GNDSMRKNQFRLVTVSRLARNEEVMEAALRAYYISEDPKDFQLQALPLSGNAQALGKAGT TEEEASKGSCPRDSVPEAWVIRSLPRTQEILKIYPGWLKVGVAYVSIRVNSQSTARSVVQ EVLPLFGQQVEDKERFQLIEVLMSSRQVQRTVLADEEPLLDRLWDIRQTSVRQVSQTRFY VAETRATAPRVSLFVGGLPPGLSPQDYSNLLHEAMATKAAVVSVSHVYSLQGAVILDVTC FAEAERLYMLARDTAVHGRPLTALVLPDVLHTKLPPDCCPLLVFVNPKSGGLKGRELLCS FRKLLNPHQVFELTNGGPLPGFHLFSQVPSFRVLVCGGDGTVGWVLAALEETRRHLACPE PSVAILPLGTGNDLGRVLRWGAGYSGEDPFSVLVSVDEADAVLMDRWTILLDAHEIDSTE NNVVETEPPKIVQMNNYCGIGIDAELSLDFHQAREEEPGKFTSRFHNKGVYVRVGLQKIS HSRSLHKEIRLQVEQQEVELPSIEGLIFINIPSWGSGADLWGSDNDSRFEKPRIDDGLLE VVGVTGVVHMGQVQGGLRSGIRIAQGSYFRVTLLKATPVQVDGEPWVQAPGHMIISATAP KVHMLRKAKQKPRKAGANRDTRVDTLPAPEGNPL >ENSMUSP00000118065.1 pep:known chromosome:GRCm38:5:108646693:108660811:-1 gene:ENSMUSG00000004815.12 transcript:ENSMUST00000153238.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgkq description:diacylglycerol kinase, theta [Source:MGI Symbol;Acc:MGI:102918] MAAAAEPGARTWPGSGSPRLGSPAGSPVLGISGRTRPGSGPERTSRAIGSAAPGHSFRKV TLTKPTFCHLCSDFIWGLAGFLCDVCNFMSHEKCLKQVKTPCTSIAPSLVRVPVAHCFGS LGLYKRKFCVVCRKSLEVPAFRCEATVVSATRMDSRIMTRITTTGGRGTCLLVHDVRSVG RLVVPQMCWLVYAASGVVYRLTQCAPQHLRLSVHLDVYAPWYCLLRVCACCPETSARCTV SESLRPWSWSLVMGMMA >ENSMUSP00000118466.1 pep:known chromosome:GRCm38:5:108646693:108660811:-1 gene:ENSMUSG00000004815.12 transcript:ENSMUST00000132179.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgkq description:diacylglycerol kinase, theta [Source:MGI Symbol;Acc:MGI:102918] MAAAAEPGARTWPGSGSPRLGSPAGSPVLGISGRTRPGSGPERTSRAIGSAAPGHSFRKV TLTKPTFCHLCSDFIWGLAGFLCDVCNFMSHEKCLKQVKTPCTSIAPSLVRVPVAHCFGS LGLYKRKFCVVCRKSLEVPAFRCEATVVSATRMDSRIMTRITTTGGRGTCLLVHDVRSVG RLVVPQMCWLVYAASGVVYRLTQCAPQHLRLSVHLDVYAPWYCLLRVCACCPETSARCTV SESLRPWSWSLVMGMMA >ENSMUSP00000122837.1 pep:known chromosome:GRCm38:5:108646693:108669672:-1 gene:ENSMUSG00000004815.12 transcript:ENSMUST00000132708.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgkq description:diacylglycerol kinase, theta [Source:MGI Symbol;Acc:MGI:102918] MSHEKCLKQVKTPCTSIAPSLVRVPVAHCFGSLGLYKRKFCVVCRKSLEVPAFRCEATVV SATRMDSRIMTRITTTGGRGTCLLVHDVRSVGRLVVPQMCWLVYAASGVVYRLTQCAPQH LRLSVHLDVYAPWYCLLRVCACCPETSARCTVSESLRPWSWSLVMGMMA >ENSMUSP00000113900.1 pep:known chromosome:GRCm38:8:110919922:110969823:1 gene:ENSMUSG00000031749.12 transcript:ENSMUST00000117534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal2 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:99427] MKCSLRVWFLSMAFLLVFIMSLLFTYSHHSMATLPYLDSGALGGTHRVKLVPGYSGLQRL GKEGLLGRNCACSRCMGDASTSEWFDSHFDGNISPVWTRDNMNLPPDVQRWWMMLQPQFK SHNTNEVLEKLFQIVPGENPYRFRDPQQCRRCAVVGNSGNLRGSGYGQEVDSHNFIMRMN QAPTVGFEKDVGSRTTHHFMYPESAKNLPANVSFVLVPFKALDLMWIASALSTGQIRFTY APVKSFLRVDKEKVQIYNPAFFKYIHDRWTEHHGRYPSTGMLVLFFALHVCDEVGSRCCL EQN >ENSMUSP00000034197.4 pep:known chromosome:GRCm38:8:110919922:110972480:1 gene:ENSMUSG00000031749.12 transcript:ENSMUST00000034197.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal2 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:99427] MKCSLRVWFLSMAFLLVFIMSLLFTYSHHSMATLPYLDSGALGGTHRVKLVPGYSGLQRL GKEGLLGRNCACSRCMGDASTSEWFDSHFDGNISPVWTRDNMNLPPDVQRWWMMLQPQFK SHNTNEVLEKLFQIVPGENPYRFRDPQQCRRCAVVGNSGNLRGSGYGQEVDSHNFIMRMN QAPTVGFEKDVGSRTTHHFMYPESAKNLPANVSFVLVPFKALDLMWIASALSTGQIRFTY APVKSFLRVDKEKVQIYNPAFFKYIHDRWTEHHGRYPSTGMLVLFFALHVCDEVNVYGFG ADSRGNWHHYWENNRYAGEFRKTGVHDADFEAHIIDMLAKASKIEVYRGN >ENSMUSP00000011029.5 pep:known chromosome:GRCm38:3:34056020:34081312:-1 gene:ENSMUSG00000027679.13 transcript:ENSMUST00000011029.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc19 description:DnaJ heat shock protein family (Hsp40) member C19 [Source:MGI Symbol;Acc:MGI:1914963] MASTVVAVGLTIAAAGFAGRYVLQAMKHVEPQVKQVFQSLPKSAFGGGYYRGGFEPKMTK REAALILGVSPTANKGKIRDAHRRIMLLNHPDKGPLVEEGLKPIPICRSCSSICRRSILS CSTSYDQNKNPFTVCVCVHSRAHTGIHTPVKPCETTM >ENSMUSP00000103830.3 pep:known chromosome:GRCm38:3:34077279:34081321:-1 gene:ENSMUSG00000027679.13 transcript:ENSMUST00000108195.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc19 description:DnaJ heat shock protein family (Hsp40) member C19 [Source:MGI Symbol;Acc:MGI:1914963] MASTVVAVGLTIAAAGFAGRYVLQAMKHVEPQVKQVFQSLPKSAFGGGYYRGGFEPKMTK REAALILGVSPTANKGKIRDAHRRIMLLNHPDKGGSPYIAAKINEAKDLLEGQAKK >ENSMUSP00000113514.1 pep:known chromosome:GRCm38:3:34077324:34081310:-1 gene:ENSMUSG00000027679.13 transcript:ENSMUST00000120805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc19 description:DnaJ heat shock protein family (Hsp40) member C19 [Source:MGI Symbol;Acc:MGI:1914963] MSARCRAAEWSHGRYVLQAMKHVEPQVKQVFQSLPKSAFGGGYYRGGFEPKMTKREAALI LGVSPTANKGKIRDAHRRIMLLNHPDKGGSPYIAAKINEAKDLLEGQAKK >ENSMUSP00000113484.1 pep:known chromosome:GRCm38:3:34077434:34081262:-1 gene:ENSMUSG00000027679.13 transcript:ENSMUST00000117223.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc19 description:DnaJ heat shock protein family (Hsp40) member C19 [Source:MGI Symbol;Acc:MGI:1914963] MASTVVAVGLTIAAAGFAGRYVLQAMKHVEPQVKQVFQSLPKSAFGGGYYRGGFEPKMTK REAALILGVSPTANKGKIRDAHRRIMLLNHPDKGKQLLLLYWTVNGIANSWCYECLCVSS FPVVDHIVVS >ENSMUSP00000027331.2 pep:known chromosome:GRCm38:1:82756649:82768461:-1 gene:ENSMUSG00000026149.2 transcript:ENSMUST00000027331.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm4sf20 description:transmembrane 4 L six family member 20 [Source:MGI Symbol;Acc:MGI:1913511] MTCCEGWTSCNGFSLLILILLGVVINCIPLGISLVEADSTSQNPISCYEWWFPGIIGAGL MAIPATTMSLAARKRACCNNKTGMFLSSLFSVITVVGAVYCMLVSLQALLEGPLICNTQA NSTVTCEFSLKNLSKFDPESFNLLWFFNGTCVSPTDFKNPTINNMVSNWKIPNSNSEEDR HRIFHFSVFMSLLLVGILELLFGLSQILIGFLGCLCGVSQRRSQIV >ENSMUSP00000115165.1 pep:known chromosome:GRCm38:2:27676440:27741898:1 gene:ENSMUSG00000015846.14 transcript:ENSMUST00000129514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxra description:retinoid X receptor alpha [Source:MGI Symbol;Acc:MGI:98214] MAVPSLHPSLGPGIGSPLGSPGQLHSPISTLSSPINGMGPPFSVISSPMGPHSMSVPTTP TLGFGTGSPQLNSPMNPVSSTEDIKPPLGLNGVLKVPAHPSGNMASFTKHICAICGDRSS GKHYGVYSCEGCKGFFKRTVRKDLTYTCRDNKDCLIDKRQRNRCQ >ENSMUSP00000076491.5 pep:known chromosome:GRCm38:2:27677201:27762957:1 gene:ENSMUSG00000015846.14 transcript:ENSMUST00000077257.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxra description:retinoid X receptor alpha [Source:MGI Symbol;Acc:MGI:98214] MDTKHFLPLDFSTQVNSSSLNSPTGRGSMAVPSLHPSLGPGIGSPLGSPGQLHSPISTLS SPINGMGPPFSVISSPMGPHSMSVPTTPTLGFGTGSPQLNSPMNPVSSTEDIKPPLGLNG VLKVPAHPSGNMASFTKHICAICGDRSSGKHYGVYSCEGCKGFFKRTVRKDLTYTCRDNK DCLIDKRQRNRCQYCRYQKCLAMGMKREAVQEERQRGKDRNENEVESTSSANEDMPVEKI LEAELAVEPKTETYVEANMGLNPSSPNDPVTNICQAADKQLFTLVEWAKRIPHFSELPLD DQVILLRAGWNELLIASFSHRSIAVKDGILLATGLHVHRNSAHSAGVGAIFDRVLTELVS KMRDMQMDKTELGCLRAIVLFNPDSKGLSNPAEVEALREKVYASLEAYCKHKYPEQPGRF AKLLLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQAT >ENSMUSP00000097822.2 pep:known chromosome:GRCm38:2:27709292:27760183:1 gene:ENSMUSG00000015846.14 transcript:ENSMUST00000100251.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxra description:retinoid X receptor alpha [Source:MGI Symbol;Acc:MGI:98214] MAVPSLHPSLGPGIGSPLGSPGQLHSPISTLSSPINGMGPPFSVISSPMGPHSMSVPTTP TLGFGTGSPQLNSPMNPVSSTEDIKPPLGLNGVLKVPAHPSGNMASFTKHICAICGDRSS GKHYGVYSCEGCKGFFKRTVRKDLTYTCRDNKDCLIDKRQRNRCQYCRYQKCLAMGMKRE AVQEERQRGKDRNENEVESTSSANEDMPVEKILEAELAVEPKTETYVEANMGLNPSSPND PVTNICQAADKQLFTLVEWAKRIPHFSELPLDDQVILLRAGWNELLIASFSHRSIAVKDG ILLATGLHVHRNSAHSAGVGAIFDRVLTELVSKMRDMQMDKTELGCLRAIVLFNPDSKGL SNPAEVEALREKVYASLEAYCKHKYPEQPGRFAKLLLRLPALRSIGLKCLEHLFFFKLIG DTPIDTFLMEMLEAPHQAT >ENSMUSP00000109567.1 pep:known chromosome:GRCm38:2:27710583:27760183:1 gene:ENSMUSG00000015846.14 transcript:ENSMUST00000113934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxra description:retinoid X receptor alpha [Source:MGI Symbol;Acc:MGI:98214] MAVPSLHPSLGPGIGSPLGSPGQLHSPISTLSSPINGMGPPFSVISSPMGPHSMSVPTTP TLGFGTGSPQLNSPMNPVSSTEDIKPPLGLNGVLKVPAHPSGNMASFTKHICAICGDRSS GKHYGVYSCEGCKGFFKRTVRKDLTYTCRDNKDCLIDKRQRNRCQYCRYQKCLAMGMKRE AVQEERQRGKDRNENEVESTSSANEDMPVEKILEAELAVEPKTETYVEANMGLNPSSPND PVTNICQAADKQLFTLVEWAKRIPHFSELPLDDQVILLRAGWNELLIASFSHRSIAVKDG ILLATGLHVHRNSAHSAGVGAIFDRVLTELVSKMRDMQMDKTELGCLRAIVLFNPDSKGL SNPAEVEALREKVYASLEAYCKHKYPEQPGRFAKLLLRLPALRSIGLKCLEHLFFFKLIG DTPIDTFLMEMLEAPHQAT >ENSMUSP00000133044.1 pep:known chromosome:GRCm38:2:27677262:27761417:1 gene:ENSMUSG00000015846.14 transcript:ENSMUST00000166775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxra description:retinoid X receptor alpha [Source:MGI Symbol;Acc:MGI:98214] MDTKHFLPLDFSTQVNSSSLNSPTGRGSMAVPSLHPSLGPGIGSPLGSPGQLHSPISTLS SPINGMGPPFSVISSPMGPHSMSVPTTPTLGFGTGSPQLNSPMNPVSSTEDIKPPLGLNG VLKVPAHPSGNMASFTKHICAICGDRSSGKHYGVYSCEGCKGFFKRTVRKDLTYTCRDNK DCLIDKRQRNRCQYCRYQKCLAMGMKREAVQEERQRGKDRNENEVESTSSANEDMPVEKI LEAELAVEPKTETYVEANMGLNPSSPNDPVTNICQAADKQLFTLVEWAKRIPHFSELPLD DQVILLRAGWNELLIASFSHRSIAVKDGILLATGLHVHRNSAHSAGVGAIFDRVLTELVS KMRDMQMDKTELGCLRAIVLFNPDSKGLSNPAEVEALREKVYASLEAYCKHKYPEQPGRF AKLLLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQAT >ENSMUSP00000116865.1 pep:known chromosome:GRCm38:15:10446756:10470516:-1 gene:ENSMUSG00000044224.16 transcript:ENSMUST00000136591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc21 description:DnaJ heat shock protein family (Hsp40) member C21 [Source:MGI Symbol;Acc:MGI:1925371] MKCHYEALGVRRDASEEELKKAYRKLALRWHPDKNLDNAAEAAEQFKLIQAAYDVLSDPQ ERAWYDNHREALLKGGLDGEYQDDSLDLLHYFTVTCYSGYGDDERGFYAVYRVVFELIAK EELECMSEGDVEDFPTFGDSQSDYDTVVHPFYAHWQSFCTQKNFSWKEEYDTRQASNRWE KRAMEKENKKIRDRARKEKNELVRQLVAFIRKRDKRVQAHRKLVEEQNAEKARKAEEMRR QQKLKQAKLAEQYREQSWMTMANLEKELQEMEARYEKEFGDGSDENEVEDQEPRNGLDGK DSEEAEEAELYQDLYCPACDKSFKTEKAMKNHEKSKKHREMVALLKQQLEEEEEQFSGVQ MDENVLNANSEEEMEDTPKQKLSKKQKKKKQKSAQNFDDNFNENGTEEGGKIAPEKTKSN EDNAKELENRPQENTCITETTEACEDPKSEAKSVPKSKGKKTKDVKKSVKAPAEAQPVSD VLISCATCHSEFPSRNKLFDHLKATGHARAPSATASLNSVTRNKKEKRRSR >ENSMUSP00000116192.1 pep:known chromosome:GRCm38:15:10446765:10461407:-1 gene:ENSMUSG00000044224.16 transcript:ENSMUST00000145719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc21 description:DnaJ heat shock protein family (Hsp40) member C21 [Source:MGI Symbol;Acc:MGI:1925371] XAHWQSFCTQKNFSWKEEYDTRQASNRWEKRAMEKENKKIRDRARKEKNELVRQLVAFIR KRDKRVQAHRKLVEEQNAEKARKAEEMRRQQKLKQAKLAEQYREQSWMTMANLEKELQEM EARYEKEFGDGSDENEVEDQEPRNGLDGNDSEEAEEAELYQDLYCPACDKSFKTEKAMKN HEKSKKHREMVALLKQQLEEEEEQFSGVQMDENVLNANSEEEMEDTPKQKLSKKQKKKKQ KSAQNFDDNFNENGTEEGGKIAPEKTKSNEDNAKELENRPQENTCITETTEACEDPKSEA KSVPKSKGKKTKDVKKSVKAPAEAQPVSDVLISCATCHSEFPSRNKLFDHLKATGHARAP SATASLNSVTRNKKEKRRSR >ENSMUSP00000096042.2 pep:known chromosome:GRCm38:9:104547286:104873070:1 gene:ENSMUSG00000032564.15 transcript:ENSMUST00000098443.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne4 description:copine IV [Source:MGI Symbol;Acc:MGI:1921270] MKKMSNIYESAANTLGIFNSPCLTKVELRVACKGISDRDALSKPDPCVILKMQSHGQWFE VDRTEVIRTCINPVYSKLFTVDFYFEEVQRLRFEVHDISSNHNGLKEADFLGGMECTLGQ VDRRCLLSPPLQAFLPSSDPCSLFL >ENSMUSP00000117155.1 pep:known chromosome:GRCm38:9:104566677:104872822:1 gene:ENSMUSG00000032564.15 transcript:ENSMUST00000157006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne4 description:copine IV [Source:MGI Symbol;Acc:MGI:1921270] MKKMSNIYESAANTLGIFNSPCLTKVELRVACKGISDRDALSKPDPCVILKMQSHGQWFE VDRTEVIRTCINPVYSKLFTVDFYFEEVQRLRFEVHDISSNHNGLKEA >ENSMUSP00000049663.8 pep:known chromosome:GRCm38:9:104569483:105034544:1 gene:ENSMUSG00000032564.15 transcript:ENSMUST00000057742.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne4 description:copine IV [Source:MGI Symbol;Acc:MGI:1921270] MKKMSNIYESAANTLGIFNSPCLTKVELRVACKGISDRDALSKPDPCVILKMQSHGQWFE VDRTEVIRTCINPVYSKLFTVDFYFEEVQRLRFEVHDISSNHNGLKEADFLGGMECTLGQ IVSQRKLSKSLLKHGNTAGKSSITVIAEELSGNDDYVELAFNARKLDDKDFFSKSDPFLE IFRMNDDATQQLVHRTEVVMNNLSPAWKSFKVSVNSLCSGDPDRRLKCIVWDWDSNGKHD FIGEFTSTFKEMRGAMEGKQVQWECINPKYKAKKKNYKNSGMVILNQCKIHKMHSFLDYI MGGCQIQFTVAIDFTASNGDPRNSCSLHYIHPYQPNEYLKALVAVGEICQDYDSDKMFPA FGFGARIPPEYTVSHDFAINFNEDNPECAGIQGVVEAYQSCLPKLQLYGPTNIAPIIQKV AKSASEETNTKEASQYFILLILTDGVITDMADTREAIVHASHLPMSVIIVGVGNADFSDM QMLDGDDGILRSPKGEPVLRDIVQFVPFRNFKHASPAALAKSVLAEVPNQVVDYYNGKGI KPKCSSEVYESSRTLAP >ENSMUSP00000076432.6 pep:known chromosome:GRCm38:9:104569787:105034544:1 gene:ENSMUSG00000032564.15 transcript:ENSMUST00000077190.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne4 description:copine IV [Source:MGI Symbol;Acc:MGI:1921270] MKKMSNIYESAANTLGIFNSPCLTKVELRVACKGISDRDALSKPDPCVILKMQSHGQWFE VDRTEVIRTCINPVYSKLFTVDFYFEEVQRLRFEVHDISSNHNGLKEADFLGGMECTLGQ IVSQRKLSKSLLKHGNTAGKSSITVIAEELSGNDDYVELAFNARKLDDKDFFSKSDPFLE IFRMNDDATQQLVHRTEVVMNNLSPAWKSFKVSVNSLCSGDPDRRLKVAIDFTASNGDPR NSCSLHYIHPYQPNEYLKALVAVGEICQDYDSDKMFPAFGFGARIPPEYTVSHDFAINFN EDNPECAGIQGVVEAYQSCLPKLQLYGPTNIAPIIQKVAKSASEETNTKEASQYFILLIL TDGVITDMADTREAIVHASHLPMSVIIVGVGNADFSDMQMLDGDDGILRSPKGEPVLRDI VQFVPFRNFKHASPAALAKSVLAEVPNQVVDYYNGKGIKPKCSSEVYESSRTLAP >ENSMUSP00000088365.4 pep:known chromosome:GRCm38:2:69861562:69871786:1 gene:ENSMUSG00000068882.13 transcript:ENSMUST00000090852.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssb description:Sjogren syndrome antigen B [Source:MGI Symbol;Acc:MGI:98423] MAENGDNEKMTALEAKICHQIEYYFGDFNLPRDKFLKEQIKLDEGWVPLETMIKFNRLNR LTTDFNVIVQALSKSKAKLMEVSADKTKIRRSPSRPLPEVTDEYKNDVKNRSVYIKGFPT DATLDDIKEWLDDKGQILNIQMRRTLHKTFKGSIFAVFDSIQSAKKFVEIPGQKYKDTNL LILFKEDYFAKKNEERKQSKVEAKLKAKQEHEGRHKPGSTETRALEGKMGCLLKFSGDLD DQTCREDLHFLFSNHGEIKWVDFARGAKEGIILFKEKAKEALEKARNANNGNLLLRNKKV TWKVLEGHAEKEALKKITDDQQESLNKWKSKGGHAGGRFKGSHVFTAARRFKGKGKGNRP GYAGAPKGRGQFHGRRTRFDDDDRRRGPMKRGRDGRDREEPASKHKKRENGARDK >ENSMUSP00000119773.1 pep:known chromosome:GRCm38:2:69861623:69871510:1 gene:ENSMUSG00000068882.13 transcript:ENSMUST00000132186.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ssb description:Sjogren syndrome antigen B [Source:MGI Symbol;Acc:MGI:98423] MAENGDNEKMTALEAKICHQIEYYFGDFNLPRDKFLKEQIKLDEGWVPLETMIKFNRLNR LTTDFNVIVQALSKSKAKLMEVSADKTKIRRSPSRPLPEVTDEYKNDVKNRSVYIKGFPT DATLDDIKEWLDDKGQILNIQMRRTLHKTFKGRLLCKKK >ENSMUSP00000107879.1 pep:known chromosome:GRCm38:2:69861686:69867552:1 gene:ENSMUSG00000068882.13 transcript:ENSMUST00000112260.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssb description:Sjogren syndrome antigen B [Source:MGI Symbol;Acc:MGI:98423] MAENGDNEKMTALEAKICHQIEYYFGDFNLPRDKFLKEQIKLDEGWVPLETMIKFNRLNR LTTDFNVIVQALSKSKAKLMEVSADKTKIRRSPSRPLPEVTDEYKNDVKNRSVYIKGFPT DATLDDIKEWLDDKGQILNIQMRRTLHKTFKGSIFAVFDSIQSAKKFVEIPGQKYKDTNL LILF >ENSMUSP00000115666.1 pep:known chromosome:GRCm38:2:69868779:69871510:1 gene:ENSMUSG00000068882.13 transcript:ENSMUST00000132603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssb description:Sjogren syndrome antigen B [Source:MGI Symbol;Acc:MGI:98423] XEDYFAKKNEERKQSKVEAKLKAKQEHEGRHKPGSTETRALEGKMGCLLKFSGDLDDQTC REDLHFLFSNHGEIKWVDFARGAKEGIILFKEKAKEALEKARNANNGNLLLRNKKVTWKV LEGHAEKEALKKITDDQQESLNKWKSKGGHGGRFKGSHVFTAARRFKGKGKGNRPGYAGA PKGRGQFHGRRTRFDDDDRRRGPMKRGRDGRDREEPASKHKKRENGARDK >ENSMUSP00000130313.1 pep:known chromosome:GRCm38:2:69861562:69871846:1 gene:ENSMUSG00000068882.13 transcript:ENSMUST00000166411.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssb description:Sjogren syndrome antigen B [Source:MGI Symbol;Acc:MGI:98423] MAENGDNEKMTALEAKICHQIEYYFGDFNLPRDKFLKEQIKLDEGWVPLETMIKFNRLNR LTTDFNVIVQALSKSKAKLMEVSADKTKIRRSPSRPLPEVTDEYKNDVKNRSVYIKGFPT DATLDDIKEWLDDKGQILNIQMRRTLHKTFKGSIFAVFDSIQSAKKFVEIPGQKYKDTNL LILFKEDYFAKKNEERKQSKVEAKLKAKQEHEGRHKPGSTETRALEGKMGCLLKFSGDLD DQTCREDLHFLFSNHGEIKWVDFARGAKEGIILFKEKAKEALEKARNANNGNLLLRNKKV TWKVLEGHAEKEALKKITDDQQESLNKWKSKGGHAGGRFKGSHVFTAARRFKGKGKGNRP GYAGAPKGRGQFHGRRTRFDDDDRRRGPMKRGRDGRDREEPASKHKKRENGARDK >ENSMUSP00000021246.2 pep:known chromosome:GRCm38:11:96767555:96777531:-1 gene:ENSMUSG00000020876.14 transcript:ENSMUST00000021246.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx11 description:sorting nexin 11 [Source:MGI Symbol;Acc:MGI:1921729] MGLWYRMLENQDLEEVITVRVQDPRVQNEGSWNSYVDYKIFLHTNSKAFTAKTSCVRRRY REFVWLRKQLQRNAGLVPVPELPGKSTFFGGSDEFIEKRRQGLQHFLEKVLQSVVLLSDS QLHLFLQSQLSVPEIEACVQGRGAMTVSDAILSYAMSNCGWAQEERQSTSHLAKGDQLNS CCFLPRSGRRSSPSPPLSEEKEQLETWAPVMDSEGPSSESPTLLPSSSLPACWDPARPEE GLSVSQPARRAVAADQAGPMEPTQLDTAWDK >ENSMUSP00000103288.3 pep:known chromosome:GRCm38:11:96767877:96777559:-1 gene:ENSMUSG00000020876.14 transcript:ENSMUST00000107661.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx11 description:sorting nexin 11 [Source:MGI Symbol;Acc:MGI:1921729] MGLWYRMLENQDLEEVITVRVQDPRVQNEGSWNSYVDYKIFLHTNSKAFTAKTSCVRRRY REFVWLRKQLQRNAGLVPVPELPGKSTFFGGSDEFIEKRRQGLQHFLEKVLQSVVLLSDS QLHLFLQSQLSVPEIEACVQGRGAMTVSDAILSYAMSNCGWAQEERQSTSHLAKGDQLNS CCFLPRSGRRSSPSPPLSEEKEQLETWAPVMDSEGPSSESPTLLPSSSLPACWDPARPEE GLSVSQPARRAVAADQAGPMEPTQLDTAWDK >ENSMUSP00000115789.1 pep:known chromosome:GRCm38:11:96769891:96777492:-1 gene:ENSMUSG00000020876.14 transcript:ENSMUST00000127375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx11 description:sorting nexin 11 [Source:MGI Symbol;Acc:MGI:1921729] MGLWYRMLENQDLEEVITVRVQDPRVQNEGSWNSYVDYKIFLHTNSKAFTAKTSCVRRRY REFVWLRKQLQRNAGLVPVPELPGKSTFFGGSDEFIEKRRQGLQHFLEKVLQSVVLLSDS QLHLFLQSQLSVPEIEACVQGRGAMTVSDAILSYAMSNCGWAQEERQSTSHLAKGDQLNR GKVSLCNPGCPGTHSID >ENSMUSP00000090737.3 pep:known chromosome:GRCm38:8:123442986:123460515:1 gene:ENSMUSG00000001482.14 transcript:ENSMUST00000093049.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Def8 description:differentially expressed in FDCP 8 [Source:MGI Symbol;Acc:MGI:1346331] MEYDEKLVRFRQAHLNPFNKQLGPRHHEQEPSEKVTSEDTLPELPAGEPEFHYSERMMDL GLSEDHFSRPVGLFLASDVQQLRQAIEECKQVILELPEQSEKQKDAVVRLIHLRLKLQEL KDPNEEEPNIRVLLEHRFYKEKSKSVKQTCDKCNTIIWGLIQTWYTCTGCCYRCHSKCLN LISKPCVSSKVSHQAEYELNICPETGLDSQDYRCAECRAPISLRGVPSEARQCDYTGQYY CSHCHWNDLAVIPARVVHNWDFEPRKVSRCSMRYLALMVSRPVLRLREINPLLFNYVEEL VEIRKLRQDILLMKPYFITCKEAMEARLLLQLQDRQHFVENDEMYSIQDLLEVHMGRLSC SLTEIHTLFAKHIKLDCERCQAKGFVCELCKEGDVLFPFDSHTSVCNDCSAVFHRWVRPV AHSRLGVEAPPHFLSRMPSLVLGCSWSGGTWHCCPERGDRHWAFAVFLSKSFAGVRPWCL CLN >ENSMUSP00000070579.3 pep:known chromosome:GRCm38:8:123442986:123463270:1 gene:ENSMUSG00000001482.14 transcript:ENSMUST00000065534.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Def8 description:differentially expressed in FDCP 8 [Source:MGI Symbol;Acc:MGI:1346331] MEYDEKLVRFRQAHLNPFNKQLGPRHHEQEPSEKVTSEDTLPELPAGEPEFHYSERMMDL GLSEDHFSRPVGLFLASDVQQLRQAIEECKQVILELPEQSEKQKDAVVRLIHLRLKLQEL KDPNEEEPNIRVLLEHRFYKEKSKSVKQTCDKCNTIIWGLIQTWYTCTGCCYRCHSKCLN LISKPCVSSKVSHQAEYELNICPETGLDSQDYRCAECRAPISLRGVPSEARQCDYTGQYY CSHCHWNDLAVIPARVVHNWDFEPRKVSRCSMRYLALMVSRPVLRLREINPLLFNYVEEL VEIRKLRQDILLMKPYFITCKEAMEARLLLQLQDRQHFVENDEMYSIQDLLEVHMGRLSC SLTEIHTLFAKHIKLDCERCQAKGFVCELCKEGDVLFPFDSHTSVCNDCSAVFHRDCYYD NSTTCPKCARLTLRKQSLFQEPGLDMDA >ENSMUSP00000001522.3 pep:known chromosome:GRCm38:8:123442986:123463270:1 gene:ENSMUSG00000001482.14 transcript:ENSMUST00000001522.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Def8 description:differentially expressed in FDCP 8 [Source:MGI Symbol;Acc:MGI:1346331] MPSSCRVKGWDAMEYDEKLVRFRQAHLNPFNKQLGPRHHEQEPSEKVTSEDTLPELPAGE PEFHYSERMMDLGLSEDHFSRPVGLFLASDVQQLRQAIEECKQVILELPEQSEKQKDAVV RLIHLRLKLQELKDPNEEEPNIRVLLEHRFYKEKSKSVKQTCDKCNTIIWGLIQTWYTCT GCCYRCHSKCLNLISKPCVSSKVSHQAEYELNICPETGLDSQDYRCAECRAPISLRGVPS EARQCDYTGQYYCSHCHWNDLAVIPARVVHNWDFEPRKVSRCSMRYLALMVSRPVLRLRE INPLLFNYVEELVEIRKLRQDILLMKPYFITCKEAMEARLLLQLQDRQHFVENDEMYSIQ DLLEVHMGRLSCSLTEIHTLFAKHIKLDCERCQAKGFVCELCKEGDVLFPFDSHTSVCND CSAVFHRDCYYDNSTTCPKCARLTLRKQSLFQEPGLDMDA >ENSMUSP00000122532.1 pep:known chromosome:GRCm38:8:123442996:123452822:1 gene:ENSMUSG00000001482.14 transcript:ENSMUST00000124741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Def8 description:differentially expressed in FDCP 8 [Source:MGI Symbol;Acc:MGI:1346331] MEYDEKLVRFRQAHLNPFNKQLGPRHHEQEPSEKVTSEDTLPELPAGEPEFHYSERMMDL GLSEDHFSRPVGLFLASDVQQLRQAIEECKQVILELP >ENSMUSP00000104460.1 pep:known chromosome:GRCm38:8:123443005:123463270:1 gene:ENSMUSG00000001482.14 transcript:ENSMUST00000108832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Def8 description:differentially expressed in FDCP 8 [Source:MGI Symbol;Acc:MGI:1346331] MQGERGTSQVRGQEGRSSSKRWDAMEYDEKLVRFRQAHLNPFNKQLGPRHHEQEPSEKVT SEDTLPELPAGEPEFHYSERMMDLGLSEDHFSRPVGLFLASDVQQLRQAIEECKQVILEL PEQSEKQKDAVVRLIHLRLKLQELKDPNEEEPNIRVLLEHRFYKEKSKSVKQTCDKCNTI IWGLIQTWYTCTGCCYRCHSKCLNLISKPCVSSKVSHQAEYELNICPETGLDSQDYRCAE CRAPISLRGVPSEARQCDYTGQYYCSHCHWNDLAVIPARVVHNWDFEPRKVSRCSMRYLA LMVSRPVLRLREINPLLFNYVEELVEIRKLRQDILLMKPYFITCKEAMEARLLLQLQDRQ HFVENDEMYSIQDLLEVHMGRLSCSLTEIHTLFAKHIKLDCERCQAKGFVCELCKEGDVL FPFDSHTSVCNDCSAVFHRDCYYDNSTTCPKCARLTLRKQSLFQEPGLDMDA >ENSMUSP00000120265.1 pep:known chromosome:GRCm38:8:123443008:123452758:1 gene:ENSMUSG00000001482.14 transcript:ENSMUST00000132063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Def8 description:differentially expressed in FDCP 8 [Source:MGI Symbol;Acc:MGI:1346331] MQGERGTSQVRGQEGRSSSKRWDAMEYDEKLVRFRQAHLNPFNKQLGPRHHEQEPSEKVT SEDTLPELPAGEPEFHYSERMMDLGLSEDHFSRPVGLFLA >ENSMUSP00000115137.1 pep:known chromosome:GRCm38:8:123443012:123455526:1 gene:ENSMUSG00000001482.14 transcript:ENSMUST00000128424.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Def8 description:differentially expressed in FDCP 8 [Source:MGI Symbol;Acc:MGI:1346331] MPSSCRVKGWDAMEYDEKLVRFRQAHLNPFNKQLGPRHHEQEPSEKVTSEDTLPELPAGE PEFHYSERMMDLGLSEDHFSRPVGLFLASDVQQLRQAIEECKQVILELPEQSEKQKDAVV RLIHLRLKLQELKDPNEEEPNIRVLLEHRFYKEKSKSVKQTCDKCNTIIWGLIQTWYTCT GCCYRCHSKCLNLISKPCVSSKVSHQAEYELNICPETG >ENSMUSP00000104458.1 pep:known chromosome:GRCm38:8:123443308:123463262:1 gene:ENSMUSG00000001482.14 transcript:ENSMUST00000108830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Def8 description:differentially expressed in FDCP 8 [Source:MGI Symbol;Acc:MGI:1346331] MEYDEKLVRFRQAHLNPFNKQLGPRHHEQEPSEKVTSEDTLPELPAGEPEFHYSERMMDL GLSEDHFSRPVGLFLASDVQQLRQAIEECKQVILELPEQSEKQKDAVVRLIHLRLKLQEL KDPNEEEPNIRVLLEHRFYKEKSKSVKQTCDKCNTIIWGLIQTWYTCTGCCYRCHSKCLN LISKPCVSSKVSHQAEYELNICPETGLDSQDYRCAECRAPISLRGVPSEARQCDYTGQYY CSHCHWNDLAVIPARVVHNWDFEPRKVSRCSMRYLALMVSRPVLRLREINPLLFNYVEEL VEIRKLRQDILLMKPYFITCKEAMEARLLLQLQDRQHFVENDEMYSIQDLLEVHMGRLSC SLTEIHTLFAKHIKLDCERCQAKGFVCELCKEGDVLFPFDSHTSVCNDCSAVFHRDCYYD NSTTCPKCARLTLRKQSLFQEPGLDMDA >ENSMUSP00000140978.1 pep:known chromosome:GRCm38:15:79134655:79141187:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000187550.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] MASQERVDSVTKGTGFRRCRKQAGYTPGTCELLRVMMKESKLTNFQQRHIMDTMKRDLEK EKRRLQNIFATGKDKEERKKVPHVRQEDPAPELDRFDELVKEIQDRKEFLAAMEALGQGR QYRSIILAEISQKLREMEDIDRRRSKELRKALATT >ENSMUSP00000140347.1 pep:known chromosome:GRCm38:15:79134655:79141239:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000190959.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] MASQERVDSVTKGTGFRRCRKQAGYTPGTCELLRVMMKESKLTNFQQRHIMDTMKRGAPL PLQCNPTSSLRGSPSKKAASAIYLPPILATHSHLRPASLCQANGAYSREQFKPQATRDLE KEKRRLQNIFATGKDKEERKKVPHVRQEDPAPELDRFDELVKEIQDRKEFLAAMEALGQG RQYRSIILAEISQKLREMEDIDRRRSKELRKALATT >ENSMUSP00000129244.1 pep:known chromosome:GRCm38:15:79134661:79141253:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000169604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] MASQERVDSVTKGTGFRRCRKQAGYTPGTCELLRVMMKESKLTNFQQRHIMDTMKRGAPL PLQCNPTSSLRGSPSKKAASAIYLPPILATHSHLRPASLCQANGAYSREQFKPQATRDLE KEKRRLQNIFATGKDKEERKKVPHVRQEDPAPELDRFDELVKEIQDRKEFLAAMEALGQG RQYRSIILAEISQKLREMEDIDRRRSKELRKALATT >ENSMUSP00000140611.1 pep:known chromosome:GRCm38:15:79134992:79141230:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000190509.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] MASQERVDSVTKGTGFRRCRKQAGYTPGTCELLRGGAPLPLQCNPTSSLRGSPSKKAASA IYLPPILATHSHLRPASLCQANGAYSREQFKPQATRDLEKEKRRLQNIFATGKDKEERKK VPHVRQEDPAPELDRFDELVKEIQDRKEFLAAMEALGQGRQYRSIILAEISQKLREMEDI DRRRSKELRKALATT >ENSMUSP00000139884.1 pep:known chromosome:GRCm38:15:79135017:79141234:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000190730.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] MASQERVDSVTKGTGFRRCRKQAGYTPGTCELLRVMMKESKLTNFQQRHIMDTMKRDLEK EKRRLQNIFATGKDKEERKKVPHVRQEDPAPELDRFDELVKEIQDRKEFLAAMEALGQGR QYRSIILAEISQKLREMEDIDRRRSKELRKALATT >ENSMUSP00000139947.1 pep:known chromosome:GRCm38:15:79135056:79141205:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000188562.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] MEALGQGRQYRSIILAEISQKLREMEDIDRRRSKELRKALATT >ENSMUSP00000139736.1 pep:known chromosome:GRCm38:15:79135082:79141182:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000189761.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] MASQERVDSVTKGTGFRRCRKQAGYTPGTCELLRGQCIRGSTTRAVRFFLKSLGF >ENSMUSP00000139974.1 pep:known chromosome:GRCm38:15:79137132:79141203:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000186459.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] MASQERVDSVTKGTGFRRCRKQAGYTPGTCELLRVFGLHVCLQARRGHQIPLQMVVSFHV VVGN >ENSMUSP00000141129.1 pep:known chromosome:GRCm38:15:79137420:79139275:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000191006.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] XNFQQRHIMDTMKTARRP >ENSMUSP00000140261.1 pep:known chromosome:GRCm38:15:79137423:79141253:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000186053.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] MASQERVDSVTKGTGFRRCRKQAGYTPGTCELLRVMMKESKLTNFQQRHIMDTMKRKRR >ENSMUSP00000141080.1 pep:known chromosome:GRCm38:15:79137425:79140978:-1 gene:ENSMUSG00000033029.12 transcript:ENSMUST00000190400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700088E04Rik description:RIKEN cDNA 1700088E04 gene [Source:MGI Symbol;Acc:MGI:1920774] XSQERVDSVTKGTGFRRCRKQAGYTPGTCELLRVMMKESKLTNFQQRHIMDTMKTRRP >ENSMUSP00000051620.6 pep:known chromosome:GRCm38:2:90782727:90834437:1 gene:ENSMUSG00000040812.14 transcript:ENSMUST00000051831.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agbl2 description:ATP/GTP binding protein-like 2 [Source:MGI Symbol;Acc:MGI:2443254] MNVLLEMAFLSQTLPDPYEDFIHHHLQYYGYFKAQKSSLPNSGTHQRVWRNSPRYMLNGS FGERDDFISDSLEKEMLLWPTCLSSTGHAHIDAVNRDSLLLSSPLLRTRQLIFDELDEAS PRLREPRELFSCFSSRGPLQAPRWPIECEVIKENIHHIEWVPHQPEYFYQPTGSEKVPEI VGEEQGTVVYQLDSVPAEGTYFTSSRIGGKRGTIKELAVTLQGPDDNTLLFESRFESGNL QKAVRVGIYEYELTLRTDLYTDKHTQWFYFRVQNTRKDATYRFTIVNLLKPKSLYAVGMK PLMYSQLDATIYNIGWRREGREIKYYKNNVDDGQQPLYCLTWTTQFPHDQDTCFFAHFYP YTYTDLQCYLLSVANNPIQSQFCKLRALCRSLAGNTVYLLTITNPSRTPQEAAAKKAVVL SARVHPGESNSSWIMNGFLDFILSNSPDAQLLRDIFVFKVIPMLNPDGVIVGNYRCSLAG RDLNRHYKTVLKDSFPCIWYTKNMIKRLLEEREVLLYCDFHGHSRKNNIFLYGCHSNNRK HWLHERVFPLMLSKNAPDKFSFDSCNFKVQKCKEGTGRVVMWRMGIINSYTMESTFGGST LGSKRDTHFTIEDLKSLGYHVCDTLLDFCDPDQTKYTQCLQELKELLQQEINKKLNNFGQ DMDLEGNWSDIPLSDIESSTSGSDSSLSDGPPIRLLNIVADEPNQKTVLKNPKKKRLQTR KQRNEQYQKSYLMRELKLTENAPGRARFVSTLQKQPTFLKSPESPSPSVRRSENPRLNET QLSGREKGTSLDPPLTSPKNKERIQSYSQPCVFSLILHEHQAQTSSDTPCQNPESH >ENSMUSP00000107106.1 pep:known chromosome:GRCm38:2:90783573:90816231:1 gene:ENSMUSG00000040812.14 transcript:ENSMUST00000111481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl2 description:ATP/GTP binding protein-like 2 [Source:MGI Symbol;Acc:MGI:2443254] MNVLLEMAFLSQTLPDPYEDFIHHHLQYYGYFKAQKSSLPNSGTHQRVWRNSPRYMLNGS FGERDDFISDSLEKEMLLWPTCLSSTGHAHIDAVNRDSLLLSSPLLRTRQLIFDELDEAS PRLREPRELFSCFSSRGPLQAPRWPIECEVIKENIHHIEWVPHQPEYFYQPTGSEKVPEI VGEEQGTVVYQLDSVPAEGTYFTSSRIGGKRGTIKELAVTLQGPDDNTLLFESRFESGNL QKAVRVGIYEYELTLRTDLYTDKHTQWFYFRVQNTRKDATYRFTIVNLLKPKSLYAVGMK PLMYSQLDATIYNIGWRREGREIKYYKNNVDDGQQPLYCLTWTTQFPHDQDTCFFAHFYP YTYTDLQCYLLSVANNPIQSQFCKLRALCRSLAGNTVYLLTITNPSRTPQEAAAKKAVVL SARVHPGESNSSWIMNGFLDFILSNSPDAQLLRDIFVFKVIPMLNPDGVIVGNYRCSLAG RDLNRHYKTVLKDSFPCIWYTKNMIKRLLEEREVLLYCDFHGHSRKNNIFLYGCHSNNRK HWLHERVFPLMLSKNAPDKFSFDSCNFKVQKCKEGTGRVVMWRMGIINSYTMESTFGGST LGSKRDTHFTIEDLKSLGYHVCDTLLDFCDPDQTKYTQCLQELKELLQQEINKKLNNFGQ DMDLEGNWSDIPLSDIESSTSGSDSSLSDGPPIRLLNIVADEPNQKTVLKNPKKKRLQTR KQRNEQYQKSYLMRELKLTENAPGRARFVSTLQKQPTFLKSPESPSPSVRRSENPRLNET QLSGREKGTSLDPPLTSPKNKERIQSKKPGFTASCSPKRSTNSSLGPAPDVKPNWSKTRY SATRKDHATMAVYPSLHIYTYP >ENSMUSP00000115632.1 pep:known chromosome:GRCm38:2:90782728:90810669:1 gene:ENSMUSG00000040812.14 transcript:ENSMUST00000136058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl2 description:ATP/GTP binding protein-like 2 [Source:MGI Symbol;Acc:MGI:2443254] MFPALETELKPETLPDPYEDFIHHHLQYYGYFKAQKSSLPNSGTHQRVWRNSPRYMLNGS FGERDDFISDSLEKEMLLWPTCLSSTGHAHIDAVNRDSLLLSSPLLRTRQLIFDELDEAS PRLREPRELFSCFSSRGPLQAPRWPIECEVIKENIHHIEWVPHQPEYFYQPTGSEKVPEI VGEEQGTVVYQLDSVPAEGTYFTSSRIGGKRGTIKELAVTLQGPDDNTLLFESRFESGNL QKAVRVGIYEYELTLRTDLYTDKHTQWFYFRVQNTRKDATYRFTIVNLLKPKSLYAVGMK PLMYSQLDATIYNIGWRREGREIKYYKNNVDDGQQPLYCLTWTTQFPHDQDTCFFAHFYP YTYTDLQCYLLSVANNPIQSQFCKLRALCRSLAGNTVYLLTITNPSRTPQEAAAKKAVVL SARVHPGESNSSWIMNGFLDFILSNSPDAQLLRDIFVFKVIPMLNPDGVIVGNYRCSLAG RDLNRHYKTVLKDSFPCIWYTKNMIKRLLEEREVLLYCDFHGHSRKNNIFLYGCHSNNRK HWLHERVFPLMLSKNAPDKFSFDSCNFKVQKCKEGTGRVVMWRMGIINSYTMESTFGGST LGSKRDTHFTIEDLKSLGYHVCDTLLDFCDPDQTKYTQCLQELKELLQQEINKKLNNFGQ DMDLEGNWSDIPLSDIESSTSGSDSSLSDGPPIRLLNIVADEPNQKTVLKNP >ENSMUSP00000047936.4 pep:known chromosome:GRCm38:2:90783238:90814269:1 gene:ENSMUSG00000040812.14 transcript:ENSMUST00000037206.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl2 description:ATP/GTP binding protein-like 2 [Source:MGI Symbol;Acc:MGI:2443254] MFPALETELKPETLPDPYEDFIHHHLQYYGYFKAQKSSLPNSGTHQRVWRNSPRYMLNGS FGERDDFISDSLEKEMLLWPTCLSSTGHAHIDAVNRDSLLLSSPLLRTRQLIFDELDEAS PRLREPRELFSCFSSRGPLQAPRWPIECEVIKENIHHIEWVPHQPEYFYQPTGSEKVPEI VGEEQGTVVYQLDSVPAEGTYFTSSRIGGKRGTIKELAVTLQGPDDNTLLFESRFESGNL QKAVRVGIYEYELTLRTDLYTDKHTQWFYFRVQNTRKDATYRFTIVNLLKPKSLYAVGMK PLMYSQLDATIYNIGWRREGREIKYYKNNVDDGQQPLYCLTWTTQFPHDQDTCFFAHFYP YTYTDLQCYLLSVANNPIQSQFCKLRALCRSLAGNTVYLLTITNPSRTPQEAAAKKAVVL SARVHPGESNSSWIMNGFLDFILSNSPDAQLLRDIFVFKVIPMLNPDGVIVGNYRCSLAG RDLNRHYKTVLKDSFPCIWYTKNMIKRLLEEREVLLYCDFHGHSRKNNIFLYGCHSNNRK HWLHERVFPLMLSKNAPDKFSFDSCNFKVQKCKEGTGRVVMWRMGIINSYTMESTFGGST LGSKRDTHFTIEDLKSLGYHVCDTLLDFCDPDQTKYTQCLQELKELLQQEINKKLNNFGQ DMDLEGNWSDIPLSDIESSTSGSDSSLSDGPPIRLLNIVADEPNQKTVLKNPKKKRLQTR KQRNEQYQKSYLMRELKLTENAPGRARFVSTLQKQPTFLKSPESPSPSVRRSENPRLNET QLSGREKGTSLDPPLTSPKNKERIQGFST >ENSMUSP00000129216.1 pep:known chromosome:GRCm38:2:90782727:90817244:1 gene:ENSMUSG00000040812.14 transcript:ENSMUST00000170320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl2 description:ATP/GTP binding protein-like 2 [Source:MGI Symbol;Acc:MGI:2443254] MNVLLEMAFLSQTLPDPYEDFIHHHLQYYGYFKAQKSSLPNSGTHQRVWRNSPRYMLNGS FGERDDFISDSLEKEMLLWPTCLSSTGHAHIDAVNRDSLLLSSPLLRTRQLIFDELDEAS PRLREPRELFSCFSSRGPLQAPRWPIECEVIKENIHHIEWVPHQPEYFYQPTGSEKVPEI VGEEQGTVVYQLDSVPAEGTYFTSSRIGGKRGTIKELAVTLQGPDDNTLLFESRFESGNL QKAVRVGIYEYELTLRTDLYTDKHTQWFYFRVQNTRKDATYRFTIVNLLKPKSLYAVGMK PLMYSQLDATIYNIGWRREGREIKYYKNNVDDGQQPLYCLTWTTQFPHDQDTCFFAHFYP YTYTDLQCYLLSVANNPIQSQFCKLRALCRSLAGNTVYLLTITNPSRTPQEAAAKKAVVL SARVHPGESNSSWIMNGFLDFILSNSPDAQLLRDIFVFKVIPMLNPDGVIVGNYRCSLAG RDLNRHYKTVLKDSFPCIWYTKNMIKRLLEEREVLLYCDFHGHSRKNNIFLYGCHSNNRK HWLHERVFPLMLSKNAPDKFSFDSCNFKVQKCKEGTGRVVMWRMGIINSYTMESTFGGST LGSKRDTHFTIEDLKSLGYHVCDTLLDFCDPDQTKYTQCLQELKELLQQEINKKLNNFGQ DMDLEGNWSDIPLSDIESSTSGSDSSLSDGPPIRLLNIVADEPNQKTVLKNPKKKRLQTR KQRNEQYQKSYLMRELKLTENAPGRARFVSTLQKQPTFLKSPESPSPSVRRSENPRLNET QLSGREKGTSLDPPLTSPKNKERIQSKKPGFTASCSPKRSTNSSLGPAPDVKPNWSKTRY SATRKDHATMAVYPSLHIYTYP >ENSMUSP00000048647.5 pep:known chromosome:GRCm38:2:90782744:90816231:1 gene:ENSMUSG00000040812.14 transcript:ENSMUST00000037219.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl2 description:ATP/GTP binding protein-like 2 [Source:MGI Symbol;Acc:MGI:2443254] MNVLLEMAFLSQTLPDPYEDFIHHHLQYYGYFKAQKSSLPNSGTHQRVWRNSPRYMLNGS FGERDDFISDSLEKEMLLWPTCLSSTGHAHIDAVNRDSLLLSSPLLRTRQLIFDELDEAS PRLREPRELFSCFSSRGPLQAPRWPIECEVIKENIHHIEWVPHQPEYFYQPTGSEKVPEI VGEEQGTVVYQLDSVPAEGTYFTSSRIGGKRGTIKELAVTLQGPDDNTLLFESRFESGNL QKAVRVGIYEYELTLRTDLYTDKHTQWFYFRVQNTRKDATYRFTIVNLLKPKSLYAVGMK PLMYSQLDATIYNIGWRREGREIKYYKNNVDDGQQPLYCLTWTTQFPHDQDTCFFAHFYP YTYTDLQCYLLSVANNPIQSQFCKLRALCRSLAGNTVYLLTITNPSRTPQEAAAKKAVVL SARVHPGESNSSWIMNGFLDFILSNSPDAQLLRDIFVFKVIPMLNPDGVIVGNYRCSLAG RDLNRHYKTVLKDSFPCIWYTKNMIKRLLEEREVLLYCDFHGHSRKNNIFLYGCHSNNRK HWLHERVFPLMLSKNAPDKFSFDSCNFKVQKCKEGTGRVVMWRMGIINSYTMESTFGGST LGSKRDTHFTIEDLKSLGYHVCDTLLDFCDPDQTKYTQCLQELKELLQQEINKKLNNFGQ DMDLEGNWSDIPLSDIESSTSGSDSSLSDGPPIRLLNIVADEPNQKTVLKNPKKKRLQTR KQRNEQYQKSYLMRELKLTENAPGRARFVSTLQKQPTFLKSPESPSPSVRRSENPRLNET QLSGREKGTSLDPPLTSPKNKERIQSKKPGFTASCSPKRSTNSSLGPAPDVKPNWSKTRY SATRKDHATMAVYPSLHIYTYP >ENSMUSP00000092467.6 pep:known chromosome:GRCm38:5:33983433:33985013:1 gene:ENSMUSG00000070858.11 transcript:ENSMUST00000094869.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1673 description:predicted gene 1673 [Source:MGI Symbol;Acc:MGI:2686519] MTTTSGGPGWGRLGRMRARAGRGRSSRVARAACSRFLEPRAAWAPSAMAPALRSLLSPRT LLLLLLSLALLGARAEPATGSAVPAQSRPCVDCHAFEFMQRALQDLRKTAYSLDARTETL LLQAERRALCACWPAGR >ENSMUSP00000110025.1 pep:known chromosome:GRCm38:5:33983470:33985009:1 gene:ENSMUSG00000070858.11 transcript:ENSMUST00000114383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1673 description:predicted gene 1673 [Source:MGI Symbol;Acc:MGI:2686519] MAPALRSLLSPRTLLLLLLSLALLGARAEPATGSAVPAQSRPCVDCHAFEFMQRALQDLR KTAYSLDARTETLLLQAERRALCACWPAGR >ENSMUSP00000119566.1 pep:known chromosome:GRCm38:5:33983510:33985010:1 gene:ENSMUSG00000070858.11 transcript:ENSMUST00000142510.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm1673 description:predicted gene 1673 [Source:MGI Symbol;Acc:MGI:2686519] XGRSSRVARAACSRFLEPRQVRGAADRYSLQGGLGSFCHGPRAQVLAVATDFTAAAAEPG AAGRPRRARHRERCPRSEPPVRGLPRI >ENSMUSP00000110024.1 pep:known chromosome:GRCm38:5:33983534:33985009:1 gene:ENSMUSG00000070858.11 transcript:ENSMUST00000114382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1673 description:predicted gene 1673 [Source:MGI Symbol;Acc:MGI:2686519] MAPALRSLLSPRTLLLLLLSLALLGARAEPATGSAVPAQSRPCVDCHAFEFMQRALQDLR KTAYSLDARTETLLLQAERRALCACWPAGR >ENSMUSP00000110026.2 pep:known chromosome:GRCm38:5:33983474:33985006:1 gene:ENSMUSG00000070858.11 transcript:ENSMUST00000114384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1673 description:predicted gene 1673 [Source:MGI Symbol;Acc:MGI:2686519] MRARAGRGRSSRVARAACSRFLEPRAAWAPSAMAPALRSLLSPRTLLLLLLSLALLGARA EPATGSAVPAQSRPCVDCHAFEFMQRALQDLRKTAYSLDARTETLLLQAERRALCACWPA GR >ENSMUSP00000109245.2 pep:known chromosome:GRCm38:19:5664635:5688908:-1 gene:ENSMUSG00000054874.12 transcript:ENSMUST00000113615.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnxl3 description:pecanex-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1861733] MGSQVLQILRQGVWASLTGGWFFDPHQSTFSNCFHLYVWIFLLIFPFLLYMVLPPSLMVA GVYCLVVAVIFATIKTVNYRLHAMFDQGEIVEKRNSTMGEQEEEAAQGESSLPRDPGVEM TVFRKVSSTPPVRCSSQHSVFGFNQVSELLPRMEDSGPLRDIKELVREQGSNNVIVTSAD REMLKLSSQEKLIGDLPQTPPGVVPDPSLPSTDSSERSPMAGDGVPWGGSGVADTPMSPL LKGSLSQELSKSFLTLTRPDRALVRTSSRREQCRGTGGYQPLDRRGSGDPMPQKAGSSDS CFSGTDRETLSSFKSEKTNSTHLDSPPGGHAPEGSDTDPPSEAELPASPDAGVPSDDTLR SFDTVIGAGTPPGQTEPLLVVRPKDLALLRPSKRRPPMRGHSPPGRTPRRPLLEGSGFFE DEDTSEGSELSPASSLRSQRRYSTDSSSSTSCYSPESSQGAAGGPRKRRAPHGAEEGTAV PPKRPYGTQRTPSTASAKTHARVLSMDGAGGDVLRAPLAGSKAELEAQPGMELAAGEPAV LPPEARRGPAANQPGWRGELQEEGAVGGAPEETGQRECTSNVRRAQAIRRRHNAGSNPTP PASVMGSPPSSLQEAQRGRAASHSRALTLPSALHFASSLLLTRAGPNVHEASNFDDTSEG AVHYFYDESGVRRSYTFGLAGGGYENPVSQPGEQAANGAWDRHSHSSSFHSADVPEATGG LNLLQPRPVVLQGMQVRRVPLEIPEEQTLMEEAPPRAQHSYKYWFLPGRWTSVRYERLAL LALLDRTRGVMENIFGVGLSSLVAFLGYLLLLKGFFTDIWVFQFCLVIASCQYSLLKSVQ PDAASPMHGHNWVIAYSRPVYFCICCLLIWLLDALGTAQPFPPVSLYGLTLFSASFFFCA RDVATVFTLCFPFVFLLGLLPQVNTCLMYLLEQIDMHGFGGTAATSPLTAVFSLTRSLLA AALLYGFCLGAIKTPWPEQHVPVLFSVFCGLLVAMSYHLSRQSSDPTVLWSLVRSKLFPE LEERSLETARVEPPDPLPEKMRQSVREVLHSDLVMCVVIAVLTFAVSASTVFIALKSVLG FVLYALAGAVGFFTHYLLPQLRKQLPWFCLSQPVLKPLEYSQYEVRGAAQVMWFEKLYAG LQCAEKYLIYPAVVLNALTVDAHTVVSHPDKFCLYCRALLMTVAGLKLLRSAFCCPPQQY LTLAFTVLLFHFDYPRLSQGFLLDYFLMSLLCSKLWDLLYKLRFVLTYIAPWQITWGSAF HAFAQPFAVPHSAMLFLQALLSGLFSTPLNPLLGSAVFIMSYARPLKFWERDYNTKRVDH SNTRLVTQLDRNPGADDNNLNSIFYEHLTRSLQHTLCGDLVLGRWGNYGPGDCFVLASDY LNALVHLIEVGNGLITFQLRGLEFRGTYCQQREVEAITEGVEEDEGCCCCEPGHLPRVLS FNAAFGQRWLAWEVTASKYVLEGYSISDNNAASMLQVFDLRKILVTYYVKSIIYYVSRSP KLETWLNHEGIAAALRPVRALGYADSDPTFSLSVDEDYDLRLSGLSLPSFCAVHLEWIQY CASRRSQPVDQDWNSPLVTLCFGLCVLGRRALGTASHSMSASLEPFLYGLHALFKGDFRI TSPRDEWVFADMDLLHRVVAPGVRMALKLHQDHFTSPDEYEEPAALYDAIAANEERLVIS HEGDPAWRSAILSNTPSLLALRHVMDDASDEYKIIMLNRRHLSFRVIKVNRECVRGLWAG QQQELVFLRNRNPERGSIQNAKQALRNMINSSCDQPLGYPIYVSPLTTSLAGSHPQLRAL WGGPVSLGAIARWLLRSWERLHKGCGAGCNSGGNVDDSDCGGGGGLTSLSNHPPLAHPTP ENAAGSSEQPLPPGPSWGPRPSLSGSGDGRPPPLLQWPPPRLPGPPPASPAPTEGPRPSR PSGPALLNSEGPSGKWSLGGRKGLGGPDGEPASGSPKGGTPKSQAPLDLSLSPDVSSEAS PARTTQDLPCLDSSIPEGCTPSGAPGDWPVPAEERESPAAQPLLEHQY >ENSMUSP00000063786.5 pep:known chromosome:GRCm38:19:5664640:5688149:-1 gene:ENSMUSG00000054874.12 transcript:ENSMUST00000068169.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnxl3 description:pecanex-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1861733] MGSQVLQILRQGVWASLTGGWFFDPHQSTFSNCFHLYVWIFLLIFPFLLYMVLPPSLMVA GVYCLVVAVIFATIKTVNYRLHAMFDQGEIVEKRNSTMGEQEEEAAQGESSLPRDPGVEM TVFRKVSSTPPVRCSSQHSVFGFNQVSELLPRMEDSGPLRAPEETGQRECTSNVRRAQAI RRRHNAGSNPTPPASVMGSPPSSLQEAQRGRAASHSRALTLPSALHFASSLLLTRAGPNV HEASNFDDTSEGAVHYFYDESGVRRSYTFGLAGGGYENPVSQPGEQAANGAWDRHSHSSS FHSADVPEATGGLNLLQPRPVVLQGMQVRRVPLEIPEEQTLMEEAPPRAQHSYKYWFLPG RWTSVRYERLALLALLDRTRGVMENIFGVGLSSLVAFLGYLLLLKGFFTDIWVFQFCLVI ASCQYSLLKSVQPDAASPMHGHNWVIAYSRPVYFCICCLLIWLLDALGTAQPFPPVSLYG LTLFSASFFFCARDVATVFTLCFPFVFLLGLLPQVNTCLMYLLEQIDMHGFGGTAATSPL TAVFSLTRSLLAAALLYGFCLGAIKTPWPEQHVPVLFSVFCGLLVAMSYHLSRQSSDPTV LWSLVRSKLFPELEERSLETARVEPPDPLPEKMRQSVREVLHSDLVMCVVIAVLTFAVSA STVFIALKSVLGFVLYALAGAVGFFTHYLLPQLRKQLPWFCLSQPVLKPLEYSQYEVRGA AQVMWFEKLYAGLQCAEKYLIYPAVVLNALTVDAHTVVSHPDKFCLYCRALLMTVAGLKL LRSAFCCPPQQYLTLAFTVLLFHFDYPRLSQGFLLDYFLMSLLCSKLWDLLYKLRFVLTY IAPWQITWGSAFHAFAQPFAVPHSAMLFLQALLSGLFSTPLNPLLGSAVFIMSYARPLKF WERDYNTKRVDHSNTRLVTQLDRNPGADDNNLNSIFYEHLTRSLQHTLCGDLVLGRWGNY GPGDCFVLASDYLNALVHLIEVGNGLITFQLRGLEFRGTYCQQREVEAITEGVEEDEGCC CCEPGHLPRVLSFNAAFGQRWLAWEVTASKYVLEGYSISDNNAASMLQVFDLRKILVTYY VKSIIYYVSRSPKLETWLNHEGIAAALRPVRALGYADSDPTFSLSVDEDYDLRLSGLSLP SFCAVHLEWIQYCASRRSQPVDQDWNSPLVTLCFGLCVLGRRALGTASHSMSASLEPFLY GLHALFKGDFRITSPRDEWVFADMDLLHRVVAPGVRMALKLHQDHFTSPDEYEEPAALYD AIAANEERLVISHEGDPAWRSAILSNTPSLLALRHVMDDASDEYKIIMLNRRHLSFRVIK VNRECVRGLWAGQQQELVFLRNRNPERGSIQNAKQALRNMINSSCDQPLGYPIYVSPLTT SLAGSHPQLRALWGGPVSLGAIARWLLRSWERLHKGCGAGCNSGGNVDDSDCGGGGGLTS LSNHPPLAHPTPENAAGSSEQPLPPGPSWGPRPSLSGSGDGRPPPLLQWPPPRLPGPPPA SPAPTEGPRPSRPSGPALLNSEGPSGKWSLGGRKGLGGPDGEPASGSPKGGTPKSQAPLD LSLSPDVSSEASPARTTQDLPCLDSSIPEGCTPSGAPGDWPVPAEERESPAAQPLLEHQY >ENSMUSP00000123666.1 pep:known chromosome:GRCm38:19:5665416:5666982:-1 gene:ENSMUSG00000054874.12 transcript:ENSMUST00000133136.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcnxl3 description:pecanex-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1861733] XLEPFLYGLHALFKGDFRITSPRDEWVFADMDLLHRVVAPGVRMALKLHQDHFTSPDEYE EPAALYDAIAANEERLVISHEGDPAWRSAILSNTPSLLALRHVMDDASDEYKIIMLNRRH LSFRVIKTS >ENSMUSP00000116493.1 pep:known chromosome:GRCm38:19:5673292:5684669:-1 gene:ENSMUSG00000054874.12 transcript:ENSMUST00000145270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnxl3 description:pecanex-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1861733] XEETGQRECTSNVRRAQAIRRRHNAGSNPTPPASVMGSPPSSLQEAQRGRAASHSRALTL PSALHFASSLLLTRAGPNVHEASNFDDTSEGAVHYFYDESGVRRSYTFGLAGGGYENPVS QPGEQAANGAWDRHSHSSSFHSADVPEATGGLNLLQPRPVVLQGMQEQTLMEEAPPRAQH SYKYWFLPGRWTSVRYERLALLALLDRTRGVMENIFGVGLSSLVAFLGYLLLLKGFFTDI WVFQFCLVIASCQYSLLKSVQPDAASPMHGHNWVIAYSRPVYFCICCLLIWLLDALGTAQ PFPPVSLYGLTLFSASFFFCARDVATVFTLCFPFVFLLGLLPQVNTCLMYLLEQIDMHGF GGTAATSPLTAVFSLTRSLLAAALLYGFCLGAIKTPWPEQHVPVLFSVFCGLLVAMSYHL SRQSSDPTVLWSLVRSKLFPELEERSLETARVEPPDPLPEKMRQSVREVLHSDLVMCVVI AVLTFAVSASTVFIALKSVLGFVLYALAGAVGFFTHYLLPQLRKQLPWFCLSQPVLKPLE YSQYEVRGAAQVMWFEKLYAGLQCAEKYLIYPAVVLNALTVDAHTVVSHPDKFCLYCRAL LMTVAGLKLLRSAFCCPPQQYLTLAFTVLLFHFDYPRLSQGFLLDYFLMSLLCSKLWDLL YKLRFVLTYIAPWQITWGSAFHAFAQPFAVPHSAMLFLQALLSGLFSTPLNP >ENSMUSP00000115217.1 pep:known chromosome:GRCm38:19:5674923:5679577:-1 gene:ENSMUSG00000054874.12 transcript:ENSMUST00000137313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnxl3 description:pecanex-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1861733] XATVFTLCFPFVFLLGLLPQVNTCLMYLLEQIDMHGFGGTAATSPLTAVFSLTRSLLAAA LLYGFCLGAIKTPWPEQHVPVLFSVFCGLLVAMSYHLSRQSSDPTVLWSLVRSKLFPELE ERSLETARVEPPDPLPEKMRQSVREVLHSDLVMCVVIAVLTFAVSASTVFIALKPVLKPL EYSQYEVRGAAQVMWFEKLYAGLQCAEKYLIYPAVVLNALTVDAHTVVSHPDKFCLYCRA LLMTVAGLKLLRSAFCCPPQQYLTLAFTVLLFHFDYPRLS >ENSMUSP00000123696.1 pep:known chromosome:GRCm38:19:5678983:5683343:-1 gene:ENSMUSG00000054874.12 transcript:ENSMUST00000127876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnxl3 description:pecanex-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1861733] XLQPRPVVLQGMQVRRVPLEIPEFDLLDQDSLHESQEQTLMEEAPPRAQHSYKYWFLPGR WTSVRYERLALLALLDRTRGVMENIFGVGLSSLVAFLGYLLLLKGFFTDIWVFQFCLVIA SCQYSLLKSVQPDAASPMHGHNWVIAYSRPVYFCICCLLIWLLDALGTAQPFPPVSLYGL TLFSASFFFCARDVATVFTLCFPFVFLLGLLPQVNTCLMYLLEQIDMHGFGGTAATSPLT >ENSMUSP00000116451.1 pep:known chromosome:GRCm38:19:5679403:5683873:-1 gene:ENSMUSG00000054874.12 transcript:ENSMUST00000141577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnxl3 description:pecanex-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1861733] RRSYTFGLAGGGYENPVSQPGEQAANGAWDRHSHSSSFHSADVPEATGGLNLLQPRPVVL QGMQDSLHESQEQTLMEEAPPRAQHSYKYWFLPGRWTSVRYERLALLALLDRTRGVMENI FGVGLSSLVAFLGYLLLLKGFFTDIWVFQFCLVIASCQYSLLKSVQPDAASPMHGHNWVI AYSRPVYFCICCLLIWLLDALGTAQPFPPVSLYGLTLFSASFFFCARDVATVFTLCFPFV FLLGLLPQVNTCLM >ENSMUSP00000135277.1 pep:known chromosome:GRCm38:11:69901816:69913515:1 gene:ENSMUSG00000047284.14 transcript:ENSMUST00000177138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl4 description:neuralized E3 ubiquitin protein ligase 4 [Source:MGI Symbol;Acc:MGI:1921092] MAAGSGGSGGSGAGPGPGPGPGGGGGPGSSGPGLGSGGGLGGGGELHPRTGRLVSLSACG RTARRQQPGQEFNHGLVLSREPLRDGRVFTVRIDRKVNSWSGSIEIGVTALDPSVLDFPS SATGLKGGSWVVSGCSVLRDGRSVLEEYGQDLDQLVEGDRVGVERTATGELRLWVNGRDC GVAATGLPARVWAVVDLYGKCTQITVLPSEPGFSPPTPVPTPPLEPLAPPEDSALLEQGT SVDEDFASMELSEVVSNAILSAYNGGLLNVSLSSPPAGDGLASSGPATSPILTSNDALLF HEKCGTLIKLSNNNKTAERRRPLDEFNNGVVMTNRPLRDNEMFEIRIDKLVDKWSGSIEI GVTTHNPNSLEYPATMTNLQSGTIMMSGCGILTNGKGTRREYCEFSLDELQEGDHIGLTR KSNSALHFFINGIDQGVATPLTPPVVYGVVDLYGMAVKVTIVHNNNHSDRLRRNNAILRA LSPEGALRRAAPAAQAEPERLLFHPNCGQKAAITHEGRTALRPHATDDFNHGVVLSSRAL RDGEVFQVRIDKMVDKWAGSIEIGVTTHNPAYLQLPSTMTNLRSGTWMMTGNGVMHNGTT ILDEYGHNLDRLKAGDTVGVVRREDGTLHFFVNGMTQGPAAWNVPPGVYAVVDLYGQAAQ ATIVDDVEVPPVSEPLPEGNNQMSPSSPSSAAGGSDLRFHQLHGSNAVITNGGRTALRHN CRSEFNDAIVISNRALRDGELFEIVIQKMVDRWSGSIEAGVTAIRPEDLEFPNTMTDIDY DTWMLSGTAIMQDGNTMRNNYGCDLDALGTGARIGMMRTAKGDLHYFINGQDQGAACSGL PPEVYAVVDLYGQCVQVSITNATGPMDNSLATSNTATEKSFPLHSPVAGVAHRFHSMCGK NVTLEEDGTRAVRVAGYAHGLVFSTKELKAEEVFEVKVEELDEKWAGSLRLGLTTLAPED MGPGAGSGPGLPPSLPELRTKTTWMVSSCEVRRDGHLQRMNYGRNLERLGVGSRVGIRRC ADDTMHILVDGEDMGPAAAGIAKNVWAVLDLYGPVRSVAIVSSTRLEEPEGTQPPSPSSD TGSEVEEDDEVEEQGLRGQNQVGIVPTALEFLENHGKNILLSNGNRTATRVASYNQGIVV ISQPLVPHMLVQVRIDFLNRQWTSSLVLGVITCPPERLNFPASACALKRAAWLLRGRGVF HNGLKICEKFGPNLDTCPEGTILGLRLDSSGGLHLHINGVDQGVAVPDVPQPCHALVDLY GQCEQVTIVSPDPGTASGKIAGTQGDMEKADMVDGIKESVCWGPPPAASPLKSCEYHALC SRFQELLLLPEDYFMPPPKRSLCYCESCRKLRGDEAHRRRGEPPREYALPFGWCRFNLRV NPHLEAGTLTKKWHMAYHGSSVAVVRRVLDRGELGAGTTSILSCRPLKGEPGVGFEEPGE NCAPPREEQPPPVLLSPSLQYAGAEMLASKVQFRDPKSQRTHQAQVAFQVCVRPGSYTPG PPSAALRELPDQHFSPSELEWVTKEKGATLLYALLVRVE >ENSMUSP00000104257.3 pep:known chromosome:GRCm38:11:69901816:69913515:1 gene:ENSMUSG00000047284.14 transcript:ENSMUST00000108617.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl4 description:neuralized E3 ubiquitin protein ligase 4 [Source:MGI Symbol;Acc:MGI:1921092] MAAGSGGSGGSGAGPGPGPGPGGGGGPGSSGPGLGSGGGLGGGGELHPRTGRLVSLSACG RTARRQQPGQEFNHGLVLSREPLRDGRVFTVRIDRKVNSWSGSIEIGVTALDPSVLDFPS SATGLKGGSWVVSGCSVLRDGRSVLEEYGQDLDQLVEGDRVGVERTATGELRLWVNGRDC GVAATGLPARVWAVVDLYGKCTQITVLPSEPGFSPPTPVPTPPLEPLAPPEDSALLEQGT SVDEDFASMELSEVVSNAILSAYNGGLLNVSLSSPPAGDGLASSGPATSPILTSNDALLF HEKCGTLIKLSNNNKTAERRRPLDEFNNGVVMTNRPLRDNEMFEIRIDKLVDKWSGSIEI GVTTHNPNSLEYPATMTNLQSGTIMMSGCGILTNGKGTRREYCEFSLDELQEGDHIGLTR KSNSALHFFINGIDQGVATPLTPPVVYGVVDLYGMAVKVTIVHNNNHSDRLRRNNAILRA LSPEGALRRAAPAAQAEPERLLFHPNCGQKAAITHEGRTALRPHATDDFNHGVVLSSRAL RDGEVFQVRIDKMVDKWAGSIEIGVTTHNPAYLQLPSTMTNLRSGTWMMTGNGVMHNGTT ILDEYGHNLDRLKAGDTVGVVRREDGTLHFFVNGMTQGPAAWNVPPGVYAVVDLYGQAAQ ATIVDDVEVPPVSEPLPEGNNQMSPSSPSSAAGGSDLRFHQLHGSNAVITNGGRTALRHN CRSEFNDAIVISNRALRDGELFEIVIQKMVDRWSGSIEAGVTAIRPEDLEFPNTMTDIDY DTWMLSGTAIMQDGNTMRNNYGCDLDALGTGARIGMMRTAKGDLHYFINGQDQGAACSGL PPGKEVYAVVDLYGQCVQVSITNATGPMDNSLATSNTATEKSFPLHSPVAGVAHRFHSMC GKNVTLEEDGTRAVRVAGYAHGLVFSTKELKAEEVFEVKVEELDEKWAGSLRLGLTTLAP EDMGPGAGSGPGLPPSLPELRTKTTWMVSSCEVRRDGHLQRMNYGRNLERLGVGSRVGIR RCADDTMHILVDGEDMGPAAAGIAKNVWAVLDLYGPVRSVAIVSSTRLEEPEGTQPPSPS SDTGSEVEEDDEVEEQGLRGQNQVGIVPTALEFLENHGKNILLSNGNRTATRVASYNQGI VVISQPLVPHMLVQVRIDFLNRQWTSSLVLGVITCPPERLNFPASACALKRAAWLLRGRG VFHNGLKICEKFGPNLDTCPEGTILGLRLDSSGGLHLHINGVDQGVAVPDVPQPCHALVD LYGQCEQVTIVSPDPGTASGKIAGTQGDMEKADMVDGIKESVCWGPPPAASPLKSCEYHA LCSRFQELLLLPEDYFMPPPKRSLCYCESCRKLRGDEAHRRRGEPPREYALPFGWCRFNL RVNPHLEAGTLTKKWHMAYHGSSVAVVRRVLDRGELGAGTTSILSCRPLKGEPGVGFEEP GENCAPPREEQPPPVLLSPSLQYAGAEMLASKVQFRDPKSQRTHQAQVAFQVCVRPGSYT PGPPSAALRELPDQHFSPSELEWVTKEKGATLLYALLVRVE >ENSMUSP00000135185.1 pep:known chromosome:GRCm38:11:69901816:69913515:1 gene:ENSMUSG00000047284.14 transcript:ENSMUST00000177476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl4 description:neuralized E3 ubiquitin protein ligase 4 [Source:MGI Symbol;Acc:MGI:1921092] MAAGSGGSGGSGAGPGPGPGPGGGGGPGSSGPGLGSGGGLGGGGELHPRTGRLVSLSACG RTARRQQPGQEFNHGLVLSREPLRDGRVFTVRIDRKVNSWSGSIEIGVTALDPSVLDFPS SATGLKGGSWVVSGCSVLRDGRSVLEEYGQDLDQLVEGDRVGVERTATGELRLWVNGRDC GVAATGLPARVWAVVDLYGKCTQITVLPSEPGFSPPTPVPTPPLEPLAPPEDSALLEQGT SVDEAFMVSPAQARPETFPNSLDSHNDFASMELSEVVSNAILSAYNGGLLNVSLSSPPAG DGLASSGPATSPILTSNDALLFHEKCGTLIKLSNNNKTAERRRPLDEFNNGVVMTNRPLR DNEMFEIRIDKLVDKWSGSIEIGVTTHNPNSLEYPATMTNLQSGTIMMSGCGILTNGKGT RREYCEFSLDELQEGDHIGLTRKSNSALHFFINGIDQGVATPLTPPVVYGVVDLYGMAVK VTIVHNNNHSDRLRRNNAILRALSPEGALRRAAPAAQAEPERLLFHPNCGQKAAITHEGR TALRPHATDDFNHGVVLSSRALRDGEVFQVRIDKMVDKWAGSIEIGVTTHNPAYLQLPST MTNLRSGTWMMTGNGVMHNGTTILDEYGHNLDRLKAGDTVGVVRREDGTLHFFVNGMTQG PAAWNVPPGVYAVVDLYGQAAQATIVDDVEVPPVSEPLPEGNNQMSPSSPSSAAGGSDLR FHQLHGSNAVITNGGRTALRHNCRSEFNDAIVISNRALRDGELFEIVIQKMVDRWSGSIE AGVTAIRPEDLEFPNTMTDIDYDTWMLSGTAIMQDGNTMRNNYGCDLDALGTGARIGMMR TAKGDLHYFINGQDQGAACSGLPPEVYAVVDLYGQCVQVSITNATGPMDNSLATSNTATE KSFPLHSPVAGVAHRFHSMCGKNVTLEEDGTRAVRVAGYAHGLVFSTKELKAEEVFEVKV EELDEKWAGSLRLGLTTLAPEDMGPGAGSGPGLPPSLPELRTKTTWMVSSCEVRRDGHLQ RMNYGRNLERLGVGSRVGIRRCADDTMHILVDGEDMGPAAAGIAKNVWAVLDLYGPVRSV AIVSSTRLEEPEGTQPPSPSSDTGSEVEEDDEVEEQGLRGQNQVGIVPTALEFLENHGKN ILLSNGNRTATRVASYNQGIVVISQPLVPHMLVQVRIDFLNRQWTSSLVLGVITCPPERL NFPASACALKRAAWLLRGRGVFHNGLKICEKFGPNLDTCPEGTILGLRLDSSGGLHLHIN GVDQGVAVPDVPQPCHALVDLYGQCEQVTIVSPDPGTASGKIAGTQGDMEKADMVDGIKE SVCWGPPPAASPLKSCEYHALCSRFQELLLLPEDYFMPPPKRSLCYCESCRKLRGDEAHR RRGEPPREYALPFGWCRFNLRVNPHLEAGTLTKKWHMAYHGSSVAVVRRVLDRGELGAGT TSILSCRPLKGEPGVGFEEPGENCAPPREEQPPPVLLSPSLQYAGAEMLASKVQFRDPKS QRTHQAQVAFQVCVRPGSYTPGPPSAALRELPDQHFSPSELEWVTKEKGATLLYALLVRV E >ENSMUSP00000053235.4 pep:known chromosome:GRCm38:11:69901891:69913820:1 gene:ENSMUSG00000047284.14 transcript:ENSMUST00000061837.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl4 description:neuralized E3 ubiquitin protein ligase 4 [Source:MGI Symbol;Acc:MGI:1921092] MAAGSGGSGGSGAGPGPGPGPGGGGGPGSSGPGLGSGGGLGGGGELHPRTGRLVSLSACG RTARRQQPGQEFNHGLVLSREPLRDGRVFTVRIDRKVNSWSGSIEIGVTALDPSVLDFPS SATGLKGGSWVVSGCSVLRDGRSVLEEYGQDLDQLVEGDRVGVERTATGELRLWVNGRDC GVAATGLPARVWAVVDLYGKCTQITVLPSEPGFSPPTPVPTPPLEPLAPPEDSALLEQGT SVDEAFMVSPAQARPETFPNSLDSHNDFASMELSEVVSNAILSAYNGGLLNVSLSSPPAG DGLASSGPATSPILTSNDALLFHEKCGTLIKLSNNNKTAERRRPLDEFNNGVVMTNRPLR DNEMFEIRIDKLVDKWSGSIEIGVTTHNPNSLEYPATMTNLQSGTIMMSGCGILTNGKGT RREYCEFSLDELQEGDHIGLTRKSNSALHFFINGIDQGVATPLTPPVVYGVVDLYGMAVK VTIVHNNNHSDRLRRNNAILRALSPEGALRRAAPAAQAEPERLLFHPNCGQKAAITHEGR TALRPHATDDFNHGVVLSSRALRDGEVFQVRIDKMVDKWAGSIEIGVTTHNPAYLQLPST MTNLRSGTWMMTGNGVMHNGTTILDEYGHNLDRLKAGDTVGVVRREDGTLHFFVNGMTQG PAAWNVPPGVYAVVDLYGQAAQATIVDDVEVPPVSEPLPEGNNQMSPSSPSSAAGGSDLR FHQLHGSNAVITNGGRTALRHNCRSEFNDAIVISNRALRDGELFEIVIQKMVDRWSGSIE AGVTAIRPEDLEFPNTMTDIDYDTWMLSGTAIMQDGNTMRNNYGCDLDALGTGARIGMMR TAKGDLHYFINGQDQGAACSGLPPGKEVYAVVDLYGQCVQVSITNATGPMDNSLATSNTA TEKSFPLHSPVAGVAHRFHSMCGKNVTLEEDGTRAVRVAGYAHGLVFSTKELKAEEVFEV KVEELDEKWAGSLRLGLTTLAPEDMGPGAGSGPGLPPSLPELRTKTTWMVSSCEVRRDGH LQRMNYGRNLERLGVGSRVGIRRCADDTMHILVDGEDMGPAAAGIAKNVWAVLDLYGPVR SVAIVSSTRLEEPEGTQPPSPSSDTGSEVEEDDEVEEQGLRGQNQVGIVPTALEFLENHG KNILLSNGNRTATRVASYNQGIVVISQPLVPHMLVQVRIDFLNRQWTSSLVLGVITCPPE RLNFPASACALKRAAWLLRGRGVFHNGLKICEKFGPNLDTCPEGTILGLRLDSSGGLHLH INGVDQGVAVPDVPQPCHALVDLYGQCEQVTIVSPDPGTASGKIAGTQGDMEKADMVDGI KESVCWGPPPAASPLKSCEYHALCSRFQELLLLPEDYFMPPPKRSLCYCESCRKLRGDEA HRRRGEPPREYALPFGWCRFNLRVNPHLEAGTLTKKWHMAYHGSSVAVVRRVLDRGELGA GTTSILSCRPLKGEPGVGFEEPGENCAPPREEQPPPVLLSPSLQYAGAEMLASKVQFRDP KSQRTHQAQVAFQVCVRPGSYTPGPPSAALRELPDQHFSPSELEWVTKEKGATLLYALLV RVE >ENSMUSP00000117917.2 pep:known chromosome:GRCm38:11:69903392:69913331:1 gene:ENSMUSG00000047284.14 transcript:ENSMUST00000133203.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Neurl4 description:neuralized E3 ubiquitin protein ligase 4 [Source:MGI Symbol;Acc:MGI:1921092] EPLAPPEDSALLEQGTSVDEAFMVSPAQARPETFPNSLDSHNGDGLASSGPATSPILTSN DALLFHEKCGTLIKLSNNNKTAERRRPLDEFNNGVVMTNRPLRDNEMFEIRIDKLVDKWS GSIEIGVTTHNPNSLEYPATMTNLQSGTIMMSGCGILTNGKGTRREYCEFSLDELQEGDH IGLTRKSNSALHFFINGIDQGVATPLTPPVVYGVVDLYGMAVKVTIVHNNNHSDRLRRNN AILRALSPEGALRRAAPAAQAEPERLLFHPNCGQKAAITHEGRTALRPHATDDFNHGVVL SSRALRDGEVFQVRIDKMVDKWAGSIEIGVTTHNPAYLQLPSTMTNLRSGTWMMTGNGVM HNGTTILDEYGHNLDRLKAGDTVGVVRREDGTLHFFVNGMTQGPAAWNVPPGVYAVVDLY GQAAQATIVDDVEVPPVSEPLPEGNNQMSPSSPSSAAGGSDLRFHQLHGSNAVITNGGRT ALRHNCRSEFNDAIVISNRALRDGELFEIVIQKMVDRWSGSIEAGVTAIRPEDLEFPNTM TDIDYDTWMLSGTAIMQDGNTMRNNYGCDLDALGTGARIGMMRTAKGDLHYFINGQDQGA ACSGLPPGKEVYAVVDLYGQCVQVSITNATGPMDNSLATSNTATEKSFPLHSPVAGVAHR FHSMCGKNVTLEEDGTRAVRVAGYAHGLVFSTKELKAEEVFEVKVEELDEKWAGSLRLGL TTLAPEDMGPGAGSGPGLPPSLPELRTKTTWMVSSCEVRRDGHLQRMNYGRNLERLGVGS RVGIRRCADDTMHILVDGEDMGPAAAGIAKNVWAVLDLYGPVRSVAIVSSTRLEEPEGTQ PPSPSSDTGSEVEEDDEVEEQGLRGQNQVGIVPTALEFLENHGKNILLSNGNRTATRVAS YNQGIVVISQPLVPHMLVQVRIDFLNRQWTSSLVLGVITCPPERLNFPASACALKRAAWL LRGRGVFHNGLK >ENSMUSP00000135733.1 pep:known chromosome:GRCm38:11:69904035:69908735:1 gene:ENSMUSG00000047284.14 transcript:ENSMUST00000129475.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Neurl4 description:neuralized E3 ubiquitin protein ligase 4 [Source:MGI Symbol;Acc:MGI:1921092] EKCGTLIKLSNNNKTAERRRPLDEFNNGVVMTNRPLRDNEMFEIRIDKLVDKWSGSIEIG VTTHNPNSLEYPATMTNLQSGTIMMSGCGILTNGKGTRREYCEFSLDELQEGDHIGLTRK SNSALHFFINGIDQGVATPLTPPVVYGVVDLYGMAVKVTIVHNNNHSDRLRRNNAILRAL SPEGALRRAAPAAQAEPERLLFHPNCGQKAAITHEGRTALRPHATDDFNHGVVLSSRALR DGEVFQGPG >ENSMUSP00000118868.1 pep:known chromosome:GRCm38:11:69911036:69913152:1 gene:ENSMUSG00000047284.14 transcript:ENSMUST00000132183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl4 description:neuralized E3 ubiquitin protein ligase 4 [Source:MGI Symbol;Acc:MGI:1921092] XSPDPGTASGKIAGTQGDMEKADMVDGIKESVCWGPPPAASPLKSCEYHALCSRFQELLL LPEDYFMPPPKRSLCYCESCRKLRGDEAHRRRGEPPREYALPFGWCRFNLRVNPHLEAGT LTKKWHMAYHGSSVAVVRRVLDRGELGAGTTSILSCRPLKGEPGVGFEEPGENCAPPREE QPPPVLLSPSLQYAGAEMLASKVQMSSSPSWPHIHV >ENSMUSP00000005057.6 pep:known chromosome:GRCm38:10:81070035:81082559:1 gene:ENSMUSG00000004929.12 transcript:ENSMUST00000005057.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thop1 description:thimet oligopeptidase 1 [Source:MGI Symbol;Acc:MGI:1354165] MKPPAACAGDVVDAASPASTVNHLRWDLSAQQIRALTTQLIEQTKCVYDRVGAQNFEDVS YESTLKALADVEVTYTVQRNILDFPQHVSPCKDIRAASTEADKKLSEFDVEMSMRQDVYQ RVVWLQEKTPKDSLKPEAARYLERLIKLGRRNGLHLPQDTQEKIKNIKKRLSLLCIDFNK NLNEDTTFLPFTREELGGLPEDFLSSLEKAEDGKLKVTLKYPHYFPLLKKCHVPETRRLL EEAFNCRCKEENCAILKELVSLRAQKSSLLGFHTHADYVLEMNMAKTSQTVATFLDELAQ KLKPLGEQERAVILELKEAECAKRGLPFDGRIHAWDMRYYMNQVEETRYRVDQNLLKEYF PMQVVTRGLLAIYQELLGLTFTLEEGAAAWHEDVRLYSVRDAASGEEIGKFYLDLYPREG KYGHAACFGLQPGCLRQDGSRQLAVAAMVANFTKPTPDAPSLLQHDEVETYFHEFGHVMH QLCSQAEFAMFSGTHVERDFVEAPSQMLENWVWEKEPLMRMSQHYRTGSEAPQDLLEKLI KSRQANAGLFNLRQIVLAKVDQVLHTQTDADPAEEYARLCQEILGVPATPGTNMPATFGH LAGGYDAQYYGYLWSEVYSMDMFHTRFKQEGVLSPKVGMDYRTSILRPGGSEDASAMLKQ FLGRDPKQDAFLLSKGLQVEGSEAPAC >ENSMUSP00000035055.10 pep:known chromosome:GRCm38:9:109931460:110083955:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000035055.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] MADLSLVDALTEPPPEIEGEIKRDFMAALEAEPYDDIVGETVEKTEFIPLLDGDEKTGNS ESKKKPCLDTSQVEGIPSSKPTLLANGDHGMEGNNTAGSPTDFLEERVDYPDYQSSQNWP EDASFCFQPQQVLDTDQAEPFNEHRDDGLADLLFVSSGPTNASAFTERDNPSEDSYGMLP CDSFASTAVVSQEWSVGAPNSPCSESCVSPEVTIETLQPATELSKAAEVESVKEQLPAKA LETMAEQTTDVVHSPSTDTTPGPDTEAALAKDIEEITKPDVILANVTQPSTESDMFLAQD MELLTGTEAAHANNIILPTEPDESSTKDVAPPMEEEIVPGNDTTSPKETETTLPIKMDLA PPEDVLLTKETELAPAKGMVSLSEIEEALAKNDESSAEIPVAQETVVSETEVVLATEVVL PSDPITTLTKDVTLPLEAERPLVTDMTPSLETEMTLGKETAPPTETNLGMAKDMSPLPES EVTLGKDVVILPETKVAEFNNVTPLSEEEVTSVKDMSPSAETEAPLAKNADLHSGTELIV DNSMAPASDLALPLETKVATVPIKDKGTVQTEEKPREDSQLASMQHKGQSTVPPCTASPE PVKAAEQMSTLPIDAPSPLENLEQKETPGSQPSEPCSGVSRQEEAKAAVGVTGNDITTPP NKEPPPSPEKKAKPLATTQPAKTSTSKAKTQPTSLPKQPAPTTSGGLNKKPMSLASGSVP AAPHKRPAAATATARPSTLPARDVKPKPITEAKVAEKRTSPSKPSSAPALKPGPKTTPTV SKATSPSTLVSTGPSSRSPATTLPKRPTSIKTEGKPADVKRMTAKSASADLSRSKTTSAS SVKRNTTPTGAAPPAGMTSTRVKPMSAPSRSSGALSVDKKPTSTKPSSSAPRVSRLATTV SAPDLKSVRSKVGSTENIKHQPGGGRAKVEKKTEAATTAGKPEPNAVTKAAGSIASAQKP PAGKVQIVSKKVSYSHIQSKCGSKDNIKHVPGGGNVQIQNKKVDISKVSSKCGSKANIKH KPGGGDVKIESQKLNFKEKAQAKVGSLDNVGHLPAGGAVKTEGGGSEALPCPGPPAGEEP VIPEAAPDAGAPTSASGLSGHTTLSGGGDQREPQTLDSQIQETSI >ENSMUSP00000131660.2 pep:known chromosome:GRCm38:9:109931800:110005739:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000169851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] MADLSLVDALTEPPPEIEGEIKRDFMAALEAEPYDDIVGETVEKTEFIPLLDGDEKTGNS ESKKKPCLDTSQVEGIPSSKPTLLANGDHGMEGNNTAED >ENSMUSP00000132662.3 pep:known chromosome:GRCm38:9:109931864:110083947:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000165876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] MADLSLVDALTEPPPEIEGEIKRDFMAALEAEPYDDIVGETVEKTEFIPLLDGDEKTGNS ESKKKPCLDTSQVEGIPSSKPTLLANGDHGMEGNNTAGSPTDFLEERVDYPDYQSSQNWP EDASFCFQPQQVLDTDQAEPFNEHRDDGLADLLFVSSGPTNASAFTERDNPSEDSYGMLP CDSFASTAVVSQEWSVGAPNSPCSESCVSPEVTIETLQPATELSKAAEVESVKEQLPAKA LETMAEQTTDVVHSPSTDTTPGPDTEAALAKDIEEITKPDVILANVTQPSTESDMFLAQD MELLTGTEAAHANNIILPTEPDESSTKDVAPPMEEEIVPGNDTTSPKETETTLPIKMDLA PPEDVLLTKETELAPAKGMVSLSEIEEALAKNDESSAEIPVAQETVVSETEVVLATEVVL PSDPITTLTKDVTLPLEAERPLVTDMTPSLETEMTLGKETAPPTETNLGMAKDMSPLPES EVTLGKDVVILPETKVAEFNNVTPLSEEEVTSVKDMSPSAETEAPLAKNADLHSGTELIV DNSMAPASDLALPLETKVATVPIKDKGTVQTEEKPREDSQLASMQHKGQSTVPPCTASPE PVKAAEQMSTLPIDAPSPLENLEQKETPGSQPSEPCSGVSRQEEAKAAVGVTGNDITTPP NKEPPPSPEKKAKPLATTQPAKTSTSKAKTQPTSLPKQPAPTTSGGLNKKPMSLASGSVP AAPHKRPAAATATARPSTLPARDVKPKPITEAKVAEKRTSPSKPSSAPALKPGPKTTPTV SKATSPSTLVSTGPSSRSPATTLPKRPTSIKTEGKPADVKRMTAKSASADLSRSKTTSAS SVKRNTTPTGAAPPAGMTSTRVKPMSAPSRSSGALSVDKKPTSTKPSSSAPRVSRLATTV SAPDLKSVRSKVGSTENIKHQPGGGRAKVEKKTEAATTAGKPEPNAVTKAAGSIASAQKP PAGKVQIVSKKVSYSHIQSKCGSKDNIKHVPGGGNVQIQNKKVDISKVSSKCGSKANIKH KPGGGDVKIESQKLNFKEKAQAKVGSLDNVGHLPAGGAVKTEGGGSEALPCPGPPAGEEP VIPEAAPDAGAPTSASGLSGHTTLSGGGDQREPQTLDSQIQETN >ENSMUSP00000143171.1 pep:known chromosome:GRCm38:9:110036742:110072705:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000163190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] XPVKAAEQMSTLPIDAPSPLENLEQKETPGSQPSEPCSGFPSSQGKHVCRPNDRRSARSK PARVPPELLEGSPPWKIIDPKLGPCPFSELGWVSGSSSGGEHGNQRKVIDDEFLPQRGHG REARDMESMPMMMKKKKKKPKQKRYPQSRAGGPWDDDNAEERHVAHGPLKSGVGPSQPTT VGTECGFVSRAVWKRDCAESPGVTSCPEQPPEIVVKAQLKQRVEDDHRDKSLLSGNQDKN LLQQEEERAPATSHLKSSVGTGRAVSPSSLKGTPGDSTAHKVTALQGGCSPLLAQDIMNK VPKPTTEEVLPSLTSADHPLEDGLKAESGGSRAAALQVPHAVGAVKELRPDTTPEQRQGT SLLASKELKDQELIQAPGPESELFKKMTVDSKSRKGRGPGKVRAGSGKSGAKAEVPVLLT SDKEGKAVPSPSEPVPESGVVSIKDPRRGLCLESSKQPGAITDLTEAVLRVAEEVADGGV GGILQPLIPLESGSSLTQTLNARTERRAEVKNMGTSNQSKEGKCPWMDHESTPWVSEKTK KRGNEGRNKKFKNNYPVQPSRVEGRKEIASPPCVEKLSDDGNVAHKNSELGQGSPKIHAP LFSHISGIATEEGADLKSKKDESNSIQLGDLAGNKRNSAVTTEPAAKVADLSSTGPIQGA GFVPSVGTEENKTCVAKGQAAVPRKPNKRSNDGKCKRVQSSFPEIKTDITNPTKATGDCR IEGMGYVDENRNITFTSGRSPSGLMGKSISLEAVGSAGCEMLPCPIPQVGKEDNSFPDNS RKSEQENNNFKLFPQDTCSKDGVPGQERPKAPPAAMPSTSTEGVTGTSTEAQEKFSSLGD HSLKNKGGLADCRENGVGITPERHAVGEPVSEQHWSSEHSAQHATEPTKGHLRPAVFTES QSLPEEVRVLDTHADSGDLPVSLMKEEKRTEKDSAPVRNPDPLRQKMEKFSLSDDQNERD SKDPESLDKKVDITLLPPENEKDKLKEASLSCEVTKLECIATSATGLESDILCDSVEGGS KVVVTAYKDPQVPEVEAPQKMTGTSELKVLGERKKEDKGRMAEPMKGYMRPTKSRGLTPD LPKSASQERERSKMFKSSGVSRQEEAKAAVGVTGNDITTPPNKEPPPSPEKKAKPLATTQ PAKTSTSKAKTQPTSLPKQPAPTTSGGLNKKPMSLASGSVPAAPHKRPAAATATARPSTL PARDVKPKPITEAKVAEKRTSPSKPSSAPALKPGPKTTPTVSKATSPSTLVSTGPSSRSP ATTLPKRPTSIKTEGKPADVKRMTAKSASADLSRSKTTSASSVKRNTTPTGAAPPAGMTS TRVKPMSAPSRSSGALSVDKKPTSTKPSSSAPRVSRLATTVSAPDLKSVRSKAKVEKKTE AATTAGKPEPNAVTKAAGSIASAQKPPAGKVQIVSKKVSYSHIQSKCGSKDNIKHVPGGG N >ENSMUSP00000131285.3 pep:known chromosome:GRCm38:9:110052048:110083946:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000164930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] MSLPEKQPAALTAALAAEDEQLSKGNPPECGMDSRKEIGQDGFEWQRTEGKLNEIGLNVS MDGQLKDRLVKNSSFLEQNKLGFFEGKLDKELSIEKPNKAYQETSGHLESGYVISGTCQP SEGNLVHQKAAEFHPGLTEGKDKAATVQGKVAGKSGLEIKSQPDLNFPGAADTLTQHGEE QETSAWNANFYSVTQSPQAATPGKEKNGLVSSCSVTGVMSDNSGQLNNKSPLLVAITHPD PTSEHLPTTSPPITMVEFTQENLNAGQDKELEKLRSSEEGPMLDQVPQQKKAIRRALSEC YHLSVPPAVNLVDKYPELPAREELPSDLLPPTSSPMPSPMPRKLGVPAMRRSMTVAEDQS ASCRLSAGELASLSASQVPTALTFEEPVAKEREEQIHFSNDSNSSGKKELGIAGLYLHSK LEQIPEGSHKGKGQENTGETRVDSCPFICLGGEKQLMALAGKKEIEVTATQSIPSLLLEE TPRDGVSRQEEAKAAVGVTGNDITTPPNKEPPPSPEKKAKPLATTQPAKTSTSKAKTQPT SLPKQPAPTTSGGLNKKPMSLASGSVPAAPHKRPAAATATARPSTLPARDVKPKPITEAK VAEKRTSPSKPSSAPALKPGPKTTPTVSKATSPSTLVSTGPSSRSPATTLPKRPTSIKTE GKPADVKRMTAKSASADLSRSKTTSASSVKRNTTPTGAAPPAGMTSTRVKPMSAPSRSSG ALSVDKKPTSTKPSSSAPRVSRLATTVSAPDLKSVRSKVGSTENIKHQPGGGRVQIVSKK VSYSHIQSKCGSKDNIKHVPGGGNVQIQNKKVDISKVSSKCGSKANIKHKPGGGDVKIES QKLNFKEKAQAKVGSLDNVGHLPAGGAVKTEGGGSEALPCPGPPAGEEPVIPEAAPDAGA PTSASGLSGHTTLSGGGDQREPQTLDSQIQETN >ENSMUSP00000142439.1 pep:known chromosome:GRCm38:9:110052052:110083173:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000199498.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] MSLPEKQPAALTAALAAEDEQLSKGNPPECGMDSRKEIGQDGFEWQRTEGKLNEIGLNVS MDGQLKDRLVKNSSFLEQNKLGFFEGKLDKELSIEKPNKAYQETSGHLESGYVISGTCQP SEGNLVHQKAAEFHPGLTEGKDKAATVQGKVAGKSGLEIKSQPDLNFPGAADTLTQHGEE QETSAWNANFYSVTQSPQAATPGKEKNGLVSSCSVTGVMSDNSGQLNNKSPLLVAITHPD PTSEHLPTTSPPITMVEFTQENLNAGQDKELEKLRSSEEGPMLDQVPQQKKAIRRALSEC YHLSVPPAVNLVDKYPELPAREELPSDLLPPTSSPMPSPMPRKLGVPAMRRSMTVAEDQS ASCRLSAGELASLSASQVPTALTFEEPVAKEREEQIHFSNDSNSSGKKELGIAGLYLHSK LEQIPEGSHKGKGQENTGETRVDSCPFICLGGEKQLMALAGKKEIEVTATQSIPSLLLEE TPRDGVSRQEEAKAAVGVTGNDITTPPNKEPPPSPEKKAKPLATTQPAKTSTSKAKTQPT SLPKQPAPTTSGGLNKKPMSLASGSVPAAPHKRPAAATATARPSTLPARDVKPKPITEAK VAEKRTSPSKPSSAPALKPGPKTTPTVSKATSPSTLVSTGPSSRSPATTLPKRPTSIKTE GKPADVKRMTAKSASADLSRSKTTSASSVKRNTTPTGAAPPAGMTSTRVKPMSAPSRSSG ALSVDKKPTSTKPSSSAPRVSRLATTVSAPDLKSVRSKVGSTENIKHQPGGGRVQIQNKK VDISKVSSKCGSKANIKHKPGGGDVKIESQKLNFKEKAQAKVGSLDNVGHLPAGGAVKTE GGGSEALPCPGPPAGEEPVIPEAAPDAGAPTSASGLSGHTTLSGGGDQREPQTLDSQIQE TN >ENSMUSP00000129362.3 pep:known chromosome:GRCm38:9:110062591:110083170:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000163979.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] MSLASGSVPAAPHKRPAAATATARPSTLPARDVKPKPITEAKVAEKRTSPSKPSSAPALK PGPKTTPTVSKATSPSTLVSTGPSSRSPATTLPKRPTSIKTEGKPADVKRMTAKSASADL SRSKTTSASSVKRNTTPTGAAPPAGMTSTRVKPMSAPSRSSGALSVDKKPTSTKPSSSAP RVSRLATTVSAPDLKSVRSKVGSTENIKHQPGGGRAKVEKKTEAATTAGKPEPNAVTKAA GSIASAQKPPAGKVQIVSKKVSYSHIQSKCGSKDNIKHVPGGGNVQIQNKKVDISKVSSK CGSKANIKHKPGGGDVKIESQKLNFKEKAQAKVGSLDNVGHLPAGGAVKTEGGGSEALPC PGPPAGEEPVIPEAAPDAGAPTSASGLSGHTTLSGGGDQREPQTLDSQIQETSI >ENSMUSP00000143296.1 pep:known chromosome:GRCm38:9:110067584:110082087:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000199461.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] SKATSPSTLVSTGPSSRSPATTLPKRPTSIKTEGKPADVKRMTAKSASADLSRSKTTSAS SVKRNTTPTGAAPPAGMTSTRVKPMSAPSRSSGALSVDKKPTSTKPSSSAPRVSRLATTV SAPDLKSVRSKVGSTENIKHQPGGGRVQIQNKKVDISKVSSKCGSKANIKHKPGGGDVKI ESQKLNFKEKAQAKVGSLDNVGHLPAGGAVKTEGGGSEALPCPGPPAGEEPVIPEAAPDA GAPTSASGLSGHTTLSGGGDQREPQTLDSQIQETSI >ENSMUSP00000143408.1 pep:known chromosome:GRCm38:9:110067584:110082497:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000199548.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] SKATSPSTLVSTGPSSRSPATTLPKRPTSIKTEGKPADVKRMTAKSASADLSRSKTTSAS SVKRNTTPTGAAPPAGMTSTRVKPMSAPSRSSGALSVDKKPTSTKPSSSAPRVSRLATTV SAPDLKSVRSKVGSTENIKHQPGGGRVQIVSKKVSYSHIQSKCGSKDNIKHVPGGGNVQI QNKKVDISKVSSKCGSKANIKHKPGGGDVKIESQKLNFKEKAQAKVGSLDNVGHLPAGGA VKIETYRLTFRANARARTDHGADIVSRPPHFPGGPSLGSRALGSFSRAVY >ENSMUSP00000142558.1 pep:known chromosome:GRCm38:9:110068824:110081795:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000198511.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] GALSVDKKPTSTKPSSSAPRVSRLATTVSAPDLKSVRSKVGSTENIKHQPGGGRVQIVSK KVSYSHIQSKCGSKDNIKHVPGGGNVQIQNKKVDISKVSSKCGSKANIKHKPGGGDVKIE SQKLNFKEKAQAKVGSLDNVGHLPAGGAVKTEGGGSEALPCPGPPAGEEPVIPEAAPDAG APTSASGLSGHTTLSGGGDQREPQTLDSQIQETSKWLGLA >ENSMUSP00000143205.1 pep:known chromosome:GRCm38:9:110068848:110081914:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000199161.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] PTSTKPSSSAPRVSRLATTVSAPDLKSVRSKVGSTENIKHQPGGGRVQIVSKKVSYSHIQ SKCGSKDNIKHVPGGGNVQIQNKKVDISKVSSKCGSKANIKHKPGGGDVKIESQKLNFKE KAQAKVGSLDNVGHLPAGGAVKVTEGGGSEALPCPGPPAGEEPVIPEAAPDAGAPTSASG LSGHTTLSGGGDQREPQTLDSQIQETN >ENSMUSP00000142501.1 pep:known chromosome:GRCm38:9:110078049:110082150:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000200480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] XCGSKANIKHKPGGGDVKIESQKLNFKEKAQAKVGSLDNVGHLPAGGAVKVTEGGGSEAL PCPGPPAGEEPVIPEAAPDAGAPTSASGLSGHTTLSGGGDQREPQTLDSQIQETSI >ENSMUSP00000142640.1 pep:known chromosome:GRCm38:9:110079800:110082497:1 gene:ENSMUSG00000032479.15 transcript:ENSMUST00000199985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4 description:microtubule-associated protein 4 [Source:MGI Symbol;Acc:MGI:97178] XESQKLNFKEKAQAKVGSLDNVGHLPAGGAVKVIETYRLTFRANARARTDHGADIVSRPP HFPGGPSLGSRALGSFSRAVY >ENSMUSP00000102395.1 pep:known chromosome:GRCm38:7:105640056:105643637:1 gene:ENSMUSG00000089847.7 transcript:ENSMUST00000106783.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm10b description:translocase of inner mitochondrial membrane 10B [Source:MGI Symbol;Acc:MGI:1315196] MEQQQQQLRNLRDFLLVYNRMTELCFQRCVPSLHHRALDAEEEACLHSCAGKLIHSNHRL MAAYVHLMPALVQRRIADYEAASAAPGIPAEQTRDSPSGS >ENSMUSP00000057061.3 pep:known chromosome:GRCm38:7:105640448:105641843:1 gene:ENSMUSG00000089847.7 transcript:ENSMUST00000058333.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm10b description:translocase of inner mitochondrial membrane 10B [Source:MGI Symbol;Acc:MGI:1315196] MEQQQQQLRNLRDFLLVYNRMTELCFQRCVPSLHHRALDAEEEACLHSCAGKLIHSNHRL MAAYVHLMPALVQRRIADYEAASAAPGIPAEQTRDSPSGS >ENSMUSP00000102397.1 pep:known chromosome:GRCm38:7:105640552:105651138:1 gene:ENSMUSG00000089847.7 transcript:ENSMUST00000106785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm10b description:translocase of inner mitochondrial membrane 10B [Source:MGI Symbol;Acc:MGI:1315196] MEQQQQQLRNLRDFLLVYNRMTELCFQRCVPSLHHRALDAEEEACLHSCAGKLIHSNHRL MAAYVHLMPALVQRRIADYEAASAAPGGCQPPL >ENSMUSP00000122446.1 pep:known chromosome:GRCm38:7:105640564:105651679:1 gene:ENSMUSG00000089847.7 transcript:ENSMUST00000149819.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Timm10b description:translocase of inner mitochondrial membrane 10B [Source:MGI Symbol;Acc:MGI:1315196] MEQQQQQLRNEACLHSCAGKLIHSNHRLMAAYVHLMPALVQRRIADYEAASAAPGIPAEQ TRDSPSGS >ENSMUSP00000121793.1 pep:known chromosome:GRCm38:7:105640567:105641839:1 gene:ENSMUSG00000089847.7 transcript:ENSMUST00000150479.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Timm10b description:translocase of inner mitochondrial membrane 10B [Source:MGI Symbol;Acc:MGI:1315196] MEQQQQQLRNVSESAVALWVRRAVCFQGCETSCWSTIG >ENSMUSP00000102398.1 pep:known chromosome:GRCm38:7:105640570:105655748:1 gene:ENSMUSG00000089847.7 transcript:ENSMUST00000106786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm10b description:translocase of inner mitochondrial membrane 10B [Source:MGI Symbol;Acc:MGI:1315196] MEQQQQQLRNLRDFLLVYNRMTELCFQRCVPSLHHRALDAEEEACLHSCAGKLIHSNHRL MAAYVHLMPALVQRRIADYEAASAAPDFRLPLKLLRRRPSRRKALGLPS >ENSMUSP00000102392.1 pep:known chromosome:GRCm38:7:105640571:105643632:1 gene:ENSMUSG00000089847.7 transcript:ENSMUST00000106780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm10b description:translocase of inner mitochondrial membrane 10B [Source:MGI Symbol;Acc:MGI:1315196] MEQQQQQLRNLRDFLLVYNRMTELCFQRCVPSLHHRALDAEEEACLHSCAGKLIHSNHRL MAAYVHLMPALVQRRIADYEAASAAPGIPAEQTRDSPSGS >ENSMUSP00000102396.1 pep:known chromosome:GRCm38:7:105640590:105641825:1 gene:ENSMUSG00000089847.7 transcript:ENSMUST00000106784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm10b description:translocase of inner mitochondrial membrane 10B [Source:MGI Symbol;Acc:MGI:1315196] MEQQQQQLRNRCVPSLHHRALDAEEVETVRPACTAVLGNSSILTTASWPLTCTSCPPWSS AASRTTRLPRPRQVFLQNRPETRHQAASRPWPLERSCQKELL >ENSMUSP00000099131.3 pep:known chromosome:GRCm38:11:5098926:5106091:1 gene:ENSMUSG00000034175.13 transcript:ENSMUST00000101610.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdd3 description:rhomboid domain containing 3 [Source:MGI Symbol;Acc:MGI:2444684] MHAWEAPGSLSRALPLASSVLMLLLSCLWLLGAGPSLRLAPELLMEPWQVHRLLTHALGH TALPGLLLSLLLLPTLGWWQECHLGTVRFLHNSTVLALATGLLAVLLAGLGVSGAAGGCG YMPVHLAMLAGQSHHPGWPQRTLPPWLLPWLLLALTLLLSSEPPFLQLLCGLLTGLAYAA GAFQWLELSEQRLQVLQEGVLCKSLARCWPLRLFPTPGSLGELPVTYPAGVRPATPRPPY LASSDSWPHSDGSAQLPPRLGPGQLTWKNSERGLDWAGSSFASATTMWAALDEQMLQEGI QASLLDVSVQGSQSSLWLPKPSVSSLRLQQLQHMGFPTEQAAVALAATGRVEGAVSLLVE GLVDTEALVTEGRSSPAHCTGTGAS >ENSMUSP00000044703.5 pep:known chromosome:GRCm38:11:5099439:5106091:1 gene:ENSMUSG00000034175.13 transcript:ENSMUST00000036320.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdd3 description:rhomboid domain containing 3 [Source:MGI Symbol;Acc:MGI:2444684] MHAWEAPGSLSRALPLASSVLMLLLSCLWLLGAGPSLRLAPELLMEPWQVHRLLTHALGH TALPGLLLSLLLLPTLGWWQECHLGTVRFLHNSTVLALATGLLAVLLAGLGVSGAAGGCG YMPVHLAMLAGQSHHPGWPQRTLPPWLLPWLLLALTLLLSSEPPFLQLLCGLLTGLACRC CWGLPVAGALRAETAGVTGRCPLQVSGPMLAAEALSHPGQSGPATPRPPYLASSDSWPHS DGSAQLPPRLGPGQLTWKNSERGLDWAGSSFASATTMWAALDEQMLQEGIQASLLDVSVQ GSQSSLWLPKPSVSSLRLQQLQHMGFPTEQAAVALAATGRVEGAVSLLVEGLVDTEALVT EGRSSPAHCTGTGAS >ENSMUSP00000119972.1 pep:known chromosome:GRCm38:11:5099476:5103254:1 gene:ENSMUSG00000034175.13 transcript:ENSMUST00000150632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdd3 description:rhomboid domain containing 3 [Source:MGI Symbol;Acc:MGI:2444684] MHAWEAPGSLSRALPLAS >ENSMUSP00000120264.1 pep:known chromosome:GRCm38:11:5100170:5105228:1 gene:ENSMUSG00000034175.13 transcript:ENSMUST00000148761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdd3 description:rhomboid domain containing 3 [Source:MGI Symbol;Acc:MGI:2444684] MHAWEAPGSLSRALPLASSVLMLLLSCLWLLGAGPSLRLAPELLMEPWQVHRLLTHALGH TALPGLLLSLLLLPTLGWWQECHLGTVRFLHNSTVLALATGLLAVLLAGLGVSGAAGGCG YMPVHLAMLAGQSHHPGWPQRTLPPWLLPWLLLALTLLLSSEPPFLQLLCGLLTGLAYAA GAFQWLELSEQR >ENSMUSP00000118085.1 pep:known chromosome:GRCm38:11:5099433:5103349:1 gene:ENSMUSG00000034175.13 transcript:ENSMUST00000134267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdd3 description:rhomboid domain containing 3 [Source:MGI Symbol;Acc:MGI:2444684] MHAWEAPGSLSRALPLASSVLMLLLSCLWLLGAGPSLRLAPELLMEPWQ >ENSMUSP00000116703.1 pep:known chromosome:GRCm38:11:5099608:5103260:1 gene:ENSMUSG00000034175.13 transcript:ENSMUST00000139742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdd3 description:rhomboid domain containing 3 [Source:MGI Symbol;Acc:MGI:2444684] MHAWEAPGSLSRALPLASSV >ENSMUSP00000105504.2 pep:known chromosome:GRCm38:11:5100157:5106091:1 gene:ENSMUSG00000034175.13 transcript:ENSMUST00000109878.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdd3 description:rhomboid domain containing 3 [Source:MGI Symbol;Acc:MGI:2444684] MHAWEAPGSLSRALPLASSVLMLLLSCLWLLGAGPSLRLAPELLMEPWQVHRLLTHALGH TALPGLLLSLLLLPTLGWWQECHLGTVRFLHNSTVLALATGLLAVLLAGLGVSGAAGGCG YMPVHLAMLAGQSHHPGWPQRTLPPWLLPWLLLALTLLLSSEPPFLQLLCGLLTGLAYAA GAFQWLELSEQRLQVLQEGVLCKSLARCWPLRLFPTPGSLGELPVTYPAGVRPATPRPPY LASSDSWPHSDGSAQLPPRLGPGQLTWKNSERGLDWAGSSFASATTMWAALDEQMLQEGI QASLLDVSVQGSQSSLWLPKPSVSSLRLQQLQHMGFPTEQAAVALAATGRVEGAVSLLVE GLVDTEALVTEGRSSPAHCTGTGAS >ENSMUSP00000063632.3 pep:known chromosome:GRCm38:9:14885814:14903884:-1 gene:ENSMUSG00000031933.17 transcript:ENSMUST00000069408.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo1r description:IZUMO1 receptor, JUNO [Source:MGI Symbol;Acc:MGI:1929185] MAQWWQILLGLWAVLPTLAGDKLLSVCMNSKRHKQEPGPEDELYQECRPWEDNACCTRST SWEAHLEEPLLFNFSMMHCGLLTPACRKHFIQAICFHECSPNLGPWIQPVVPNGQEEQRV WGVPLCQEDCEDWWRACHSSLTCKSNWLHGWDWSEVKGLLSMRLPFIELPLP >ENSMUSP00000113459.1 pep:known chromosome:GRCm38:9:14893049:14903949:-1 gene:ENSMUSG00000031933.17 transcript:ENSMUST00000117620.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo1r description:IZUMO1 receptor, JUNO [Source:MGI Symbol;Acc:MGI:1929185] MAQWWQILLGLWAVLPTLAGDKLLSVCMNSKRHKQEPGPEDELYQECRPWEDNACCTRST SWEAHLEEPLLFNFSMMHCGLLTPACRKHFIQAICFHECSPNLGPWIQPVVPNGQEEQRV WGVPLCQEDCEDWWRACHSSLTCKSNWLHGWDWSEENGTPSKVLVKTAEEDRR >ENSMUSP00000034409.7 pep:known chromosome:GRCm38:9:14900023:14903932:-1 gene:ENSMUSG00000031933.17 transcript:ENSMUST00000034409.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo1r description:IZUMO1 receptor, JUNO [Source:MGI Symbol;Acc:MGI:1929185] MAQWWQILLGLWAVLPTLAGDKLLSVCMNSKRHKQEPGPEDELYQECRPWEDNACCTRST SWEAHLEEPLLFNFSMMHCGLLTPACRKHFIQAICFHECSPNLGPWIQPVVPNGQEEQRV WGVPLCQEDCEDWWRACHSSLTCKSNWLHGWDWSEEKKHCPAHEPCLPFSYHFPTPDDLC EKIWNNTFKASPERRNSGRCLQKWFEPTLSNPNVEVALHFAGSALAPQLSYTLPAFSLCL LFHP >ENSMUSP00000113387.1 pep:known chromosome:GRCm38:9:14900028:14903756:-1 gene:ENSMUSG00000031933.17 transcript:ENSMUST00000121116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo1r description:IZUMO1 receptor, JUNO [Source:MGI Symbol;Acc:MGI:1929185] MAQWWQILLGLWAVLPTLAGDKLLSVCMNSKRHKQEPGPEDELYQECRPWEDNACCTRST SWEAHLEEPLLFNFSMMHCGLLTPACRKHFIQAICFHECSPNLGPWIQPVVPNGQEEQRV WGVPLCQEDCEDWWRACHSSLTCKSNWLHGWDWSEGSPPCLAPSPLVWMAAGTQQSTVPH FSCLYKWALL >ENSMUSP00000118680.1 pep:known chromosome:GRCm38:9:14900770:14903754:-1 gene:ENSMUSG00000031933.17 transcript:ENSMUST00000148155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo1r description:IZUMO1 receptor, JUNO [Source:MGI Symbol;Acc:MGI:1929185] MAQWWQILLGLWAVLPTLAGDKLLSVCMNSKRHKQEPGPEDELYQECRPWEDNACCTRST SWEAHLEEPLLFNFSMMHCGLLTPACRKHFIQAICFHECSPNLGPWIQPVVPNGQEEQRV WGVPLCQEDCEDWWRACHSSLTC >ENSMUSP00000073796.6 pep:known chromosome:GRCm38:12:24665838:24681813:-1 gene:ENSMUSG00000062563.14 transcript:ENSMUST00000074163.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cys1 description:cystin 1 [Source:MGI Symbol;Acc:MGI:2177632] MGSGSSRSGRIPRRRRSPDRRQTGPGETASEGGTADQARTAAGQEESGRDPRPATPSGGR EETLRLLDQLLAESEAWGPQELTPRGPARLAPAVSPEKKVKGNPEDSCASEAPGNSPKRP EGQSAISYDYSEEELMASIEREYCR >ENSMUSP00000133902.1 pep:known chromosome:GRCm38:12:24665953:24681023:-1 gene:ENSMUSG00000062563.14 transcript:ENSMUST00000173145.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cys1 description:cystin 1 [Source:MGI Symbol;Acc:MGI:2177632] MGSGSSRSGRIPRRRRSPDRRQTGPGETASEGGTADQARTAAGQEESGRDPRPATPSGGR EETLRLLDQLLAESEAWGPQELTPRGPARLAPAVSPEKKVKGNPEDSCASEAPGNSPKRP EGQSAISYDYSEEELMASIEREYCR >ENSMUSP00000126787.2 pep:known chromosome:GRCm38:12:24665953:24681023:-1 gene:ENSMUSG00000062563.14 transcript:ENSMUST00000163514.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cys1 description:cystin 1 [Source:MGI Symbol;Acc:MGI:2177632] MGSGSSRSGRIPRRRRSPDRRQTGPGETASEGGTADQARTAAGQEESGRDPRPATPSGGR EETLRLLDQLLAESEAWGPQELTPRGPARLAPAVSPEKKVKGNPEDSCASEAPGNSPKRP EGQSAISYDYSEEELMASIEREYCR >ENSMUSP00000134522.1 pep:known chromosome:GRCm38:12:24666698:24680908:-1 gene:ENSMUSG00000062563.14 transcript:ENSMUST00000156453.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cys1 description:cystin 1 [Source:MGI Symbol;Acc:MGI:2177632] MGSGSSRSGRIPRRRRSPDRRQTGPGETASEGGTADQARTAAGQEESGRDPRPATPSGGR EETLRLLDQLLAESEAWGPQELTPRGPARLAPAVSPEKKVKGNPEDSCASEAPGNSPKRP EGQSAISYDYSEEELMASIEREYCR >ENSMUSP00000134701.1 pep:known chromosome:GRCm38:12:24668453:24680719:-1 gene:ENSMUSG00000062563.14 transcript:ENSMUST00000172771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cys1 description:cystin 1 [Source:MGI Symbol;Acc:MGI:2177632] LRLLDQLLAESEAWGPQELTPRGPARLAPAVSPEKKVKGNPEDSCASELSFAIRTSLQLQ NRHQENELKTPSSFTCGAARLVAQYLVPALRTQR >ENSMUSP00000141121.1 pep:known chromosome:GRCm38:12:24665848:24681795:-1 gene:ENSMUSG00000062563.14 transcript:ENSMUST00000189849.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cys1 description:cystin 1 [Source:MGI Symbol;Acc:MGI:2177632] MGSGSSRSGRIPRRRRSPDRRQTGPGETASEGGTADQARTAAGQEESGRDPRPATPSGGR EETLRLLDQLLAESEAWGPQELTPRGPARLAPAVSPEKKVKGNPEDSCASEAPGNSPKRP EGQSAISYDYSEEELMASIEREYCR >ENSMUSP00000140759.1 pep:known chromosome:GRCm38:12:24665848:24681795:-1 gene:ENSMUSG00000062563.14 transcript:ENSMUST00000186602.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cys1 description:cystin 1 [Source:MGI Symbol;Acc:MGI:2177632] MGSGSSRSGRIPRRRRSPDRRQTGPGETASEGGTADQARTAAGQEESGRDPRPATPSGGR EETLRLLDQLLAESEAWGPQELTPRGPARLAPAVSPEKKVKGNPEDSCASEAPGNSPKRP EGQSAISYDYSEEELMASIEREYCR >ENSMUSP00000019482.1 pep:known chromosome:GRCm38:3:95006702:95015238:-1 gene:ENSMUSG00000019338.13 transcript:ENSMUST00000019482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp687 description:zinc finger protein 687 [Source:MGI Symbol;Acc:MGI:1925516] MGDMKTPDFDDLLAAFDIPDIDANEAIHSGPEENEGPGGQGKPEPSVGGDSKDREEAAAA ENDPESPAEASDHGLPQPPDTSTVSVIVKNTVCPEQSESLTGDSGEEETKAGGITKEGPV GSCLMQNGFGGPEPSLSENPHSSAHASGNAWKDKAVEGKTCLDLFAHFGSEPGDHPDPLP PEPSQPRGGDMAPPPFSTPFELAPENGSTLLPPASLLPQGALKQESCSPHHSQGLTQRGP GSSPETAGIPASVSPPQVAGVSFKQSPGHQSPPASPVKAPSCKPLKEEDEGTVDKSPPRS PQSPSSGAEAADEDSNDSPTSSSSSRPLKVRIKTIKTSCGNITRTVTRVPSEPDPPAPLA EGAFLAETSFLKLSPVTPTPEGPKVVSVQLGDGTRLKGTVLPVATIQNASTAMLMAASVA RKAVVLPGGNATSPKTMTKSVLGLVPQTLPKAEVRTGFSLGGQKVNGASVVMVQPSKSAT GPGTAGGSVISRTQSSLVEAFNKILNSKNLLPAYRPNLSPPAEAGLALPPTGYRCLECGD AFSLEKSLARHYDRRSMRIEVTCNHCARRLVFFNKCSLLLHAREHKDKGLVMQCSHLVMR PVALDQMVGQPDITPLLPVAVPPVPGPLALPVLGKGEGAVTSSTITTVATEAPVLPLPTE PPAPPTASVYTCFRCLECKEQCRDKAGMAAHFQQLGPPALGSTSNVCPSCPMMLPNRCSF SAHQRTHKNRAPHVCPECGGNFLQANFQTHLREACLHFSRRVGYRCPSCAVVFGGVNSIK SHIQASHCEVFHKCPICPMAFKSAPSAHAHLYSQHPSFLTQQAKLIYKCAMCDTVFTHKP LLSSHFDQHLLPQRVSVFKCPSCPLLFAQKRTMLEHLKNTHQSGRVGEEAVGKGAGGALL TPKTEPEELAVSQAEAAPATEESSSSSEEELPSSPEPPRPTKRARRGELGNKGIKGGGGG PGGWTCGLCHSWCPERDEYVTHMKKEHGKSVKKFPCRLCERSFCSAPSLRRHVRVNHEGI KRVYPCRYCTEGKRTFSSRLILEKHVQVRHGLPLGTQSSGRGGSLARGSGGRAQGPGRKR RQSSDSCSEEPDSTTPPAKSLRGGPGSGGHGPLRYRSSGSAEQSLVGLRVDGGTQQCLDC GLCFASPGSLSRHRFISHKKRRAGGKASVLGLGDGEEAAPPLRSDPEGGDSPLPAPGDPL TCKVCGKSCDSPLNLKTHFRTHGMAFIRARQGGSGDN >ENSMUSP00000123335.1 pep:known chromosome:GRCm38:3:95006837:95015437:-1 gene:ENSMUSG00000019338.13 transcript:ENSMUST00000137799.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp687 description:zinc finger protein 687 [Source:MGI Symbol;Acc:MGI:1925516] MGDMKTPDFDDLLAAFDIPDIDANEAIHSGPEENEGPGGQGKPEPSVGGDSKDREEAAAA ENDPESPAEASDHGLPQPPDTSTVSVIVKNTVCPEQSESLTGDSGEEETKAGGITKEGPV GSCLMQNGFGGPEPSLSENPHSSAHASGNAWKDKAVEGKTCLDLFAHFGSEPGDHPDPLP PEPSQPRGGDMAPPPFSTPFELAPENGSTLLPPASLLPQGALKQESCSPHHSQGLTQRGP GSSPETAGIPASVSPPQVAGVSFKQSPGHQSPPASPVKAPSCKPLKEEDEGTVDKSPPRS PQSPSSGAEAADEDSNDSPTSSSSSRPLKVRIKTIKTSCGNITRTVTRVPSEPDPPAPLA EGAFLAETSFLKLSPVTPTPEGPKVVSVQLGDGTRLKGTVLPVATIQNASTAMLMAASVA RKAVVLPGGNATSPKTMTKSVLGLVPQTLPKAEVRTGFSLGGQKVNGASVVMVQPSKSAT GPGTAGGSVISRTQSSLVEAFNKILNSKNLLPAYRPNLSPPAEAGLALPPTGYRCLECGD AFSLEKSLARHYDRRSMRIEVTCNHCARRLVFFNKCSLLLHAREHKDKGLVMQCSHLVMR PVALDQMVGQPDITPLLPVAVPPVPGPLALPVLGKGEGAVTSSTITTVATEAPVLPLPTE PPAPPTASVYTCFRCLECKEQCRDKAGMAAHFQQLGPPALGSTSNVCPSCPMMLPNRCSF SAHQRTHKNRAPHVCPECGGNFLQANFQTHLREACLHFSRRVGYRTLTSLDVWGKRQLGK GLEVPF >ENSMUSP00000117308.1 pep:known chromosome:GRCm38:3:95011840:95015448:-1 gene:ENSMUSG00000019338.13 transcript:ENSMUST00000132195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp687 description:zinc finger protein 687 [Source:MGI Symbol;Acc:MGI:1925516] MGDMKTPDFDDLLAAFDIPDIDANEAIHSGPEENEGPGGQGKPEPSVGGDSKDREEAAAA ENDPESPAEASDHGLPQPPDTSTVSVIVKNTVCPEQSESLTGDSGEEETKAGGITKEGPV GSCLMQNGFGGPEPSLSENPHSSAHASGNAWKDKAVEGKTCLDLFAHFGSEPGDHPDPLP PEPSQPRGGDMAPPPFSTPFELAPENG >ENSMUSP00000116053.1 pep:known chromosome:GRCm38:3:95011969:95015224:-1 gene:ENSMUSG00000019338.13 transcript:ENSMUST00000149747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp687 description:zinc finger protein 687 [Source:MGI Symbol;Acc:MGI:1925516] MGDMKTPDFDDLLAAFDIPDIDANEAIHSGPEENEGPGGQGKPEPSVGGDSKDREEAAAA ENDPESPAEASDHGLPQPPDTSTVSVIVKNTVCPEQSESLTGDSGEEETKAGGITKEGPV GSCLMQNGFGGPEPSLSENPHSSAHASGNAWKDKAVEGKTCLDL >ENSMUSP00000119354.1 pep:known chromosome:GRCm38:3:95012174:95015218:-1 gene:ENSMUSG00000019338.13 transcript:ENSMUST00000128438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp687 description:zinc finger protein 687 [Source:MGI Symbol;Acc:MGI:1925516] MGDMKTPDFDDLLAAFDIPDIDANEAIHSGPEENEGPGGQGKPEPSVGGDSKDREEAAAA ENDPESPAEASDHGLPQPPDTSTVSVIVKNTVCPE >ENSMUSP00000031239.6 pep:known chromosome:GRCm38:5:104935057:104982718:-1 gene:ENSMUSG00000029299.14 transcript:ENSMUST00000031239.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg3 description:ATP-binding cassette, sub-family G (WHITE), member 3 [Source:MGI Symbol;Acc:MGI:1351624] MASNNDPTVISMIERHLCDLPETNTSDLKTLTEEAVLSFHNISYQETVQSGFPLRKKAYV IERLSNISGIMKPGLNAIMGPQDGSRSLLLDVLAARRDPRGLSGDILINGKPRPANFKCT SGYVPQNDVVLGTVTVRDNLEFSAALRLPVTITRDEKRRRINEVLELLHLNKEQNIKPRS KELRKRTSIAMELVTEHPILFLDDPTTGLDLRTTTDVILVLRRMSKKGRTIIFSINQPQY SIFKFFDSLTLVASGKVMFHGPAQDALEYFRSAGYNYESHNNPADFFLDVINGGFSNILD TEEDGHEDDKYEELFERQYQVTGKLANMYAQSPLYSETRAILDQLLGEQKLERSSAVETT CVTPFCHQLKWIICQSFKNFKGFPWVTVIQAIITVILATAVGTAFRVLKNDCIEVQMRAG LLYLLTIFQCITSVSAGELFVIDRVRFLHEHTSGYYRVSSYFFGKLLAELIPRRLLPSTV FSLITYVIAGVKMSMKCFFTMICTIMVLAYSASSLPLSIGAGENAVAVPTLLVTIYFVFM LFFSGLSLYSGSFLPKLSWIQYFSIPHYGFRALLHNEFLGQNFCPEHNTEEVSRCHNYVI CTGEEFLMIQGIDLSSWGFWENHLALVCTMIILLTITYVQLLQVKNIRNF >ENSMUSP00000120179.1 pep:known chromosome:GRCm38:5:104935679:104977834:-1 gene:ENSMUSG00000029299.14 transcript:ENSMUST00000130644.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg3 description:ATP-binding cassette, sub-family G (WHITE), member 3 [Source:MGI Symbol;Acc:MGI:1351624] MASNNDPTVISMIERHLCDLPETNTSDLKTLTEEAVLSFHNISYQETVQSGFPLRKKAYV IERLSNISGIMKPGLNAIMGPQDGSRSLLLDVLAARRDPRGLSGDILINGKPRPANFKCT SGYVPQNDVVLGTVTVRDNLEFSAALRLPVTITRDEKRRRINEVLELLHLNKEQNIKPRS KELRKRTSIAMELVTEHPILFLDDPTTGLDLRTTTDVILVLRRMSKKGRTIIFSINQPQY SIFKFFDSLTLVASGKVMFHGPAQDALEYFRSAGYNYESHNNPADFFLDVINGGFSNILD TEEDGHEDDKYEELFERQYQVTGKLANMYAQSPLYSETRAILDQLLGEQKLERSSAVETT CVTPFCHQLKWIICQSFKNFKGFPWVTVIQAIITVILATAVGTAFRVLKNDCIEVQMRHE HTSGYYRVSSYFFGKLLAELIPRRLLPSTVFSLITYVIAGVKMSMKCFFTMICTIMVLAY SASSLPLSIGAGENAVAVPTLLVTIYFVFMLFFSGLSLYSGSFLPKLSWIQYFSIPHYGF RALLHNEFLGQNFCPEHNTEEVSRCHNYVMSRILCICSCA >ENSMUSP00000033053.6 pep:known chromosome:GRCm38:7:128129547:128150657:1 gene:ENSMUSG00000030789.9 transcript:ENSMUST00000033053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgax description:integrin alpha X [Source:MGI Symbol;Acc:MGI:96609] MSCTWIAFLLLLGFVSCLGFNLDAEKLTHFHMDGAEFGHSVLQYDSSWVVVGAPKEIKAT NQIGGLYKCGYHTGNCEPISLQVPPEAVNISLGLSLAAATNPSWLLACGPTVHHTCRENI YLTGLCFLLSSSFKQSQNFPTAQQECPKQDQDIVFLIDGSGSISSTDFEKMLDFVKAVMS QLQRPSTRFSLMQFSDYFRVHFTFNNFISTSSPLSLLGSVRQLRGYTYTASAIKHVITEL FTTQSGARQDATKVLIVITDGRKQGDNLSYDSVIPMAEAASIIRYAIGVGKAFYNEHSKQ ELKAIASMPSHEYVFSVENFDALKDIENQLKEKIFAIEGTETPSSSTFELEMSQEGFSAV FTPDGPVLGAVGSFSWSGGAFLYPSNMRPTFINMSQENEDMRDAYLGYSTALAFWKGVHS LILGAPRHQHTGKVVIFTQESRHWRPKSEVRGTQIGSYFGASLCSVDMDRDGSTDLVLIG VPHYYEHTRGGQVSVCPMPGVGSRWHCGTTLHGEQGHPWGRFGAALTVLGDVNGDSLADV AIGAPGEEENRGAVYIFHGASRQDIAPSPSQRISASQIPSRIQYFGQSLSGGQDLTRDGL VDLAVGSKGRVLLLRTRPILRVSPTVHFTPAEISRSVFECQEQVAPEQTLSDATVCLHIH ESPKTQLGDLRSTVTFDLALDHGRLSTRAIFKETKTRALTRVKTLGLNKHCESVKLLLPA CVEDSVTPITLRLNFSLVGVPISSLQNLQPMLAVDDQTYFTASLPFEKNCGADHICQDDL SVVFGFPDLKTLVVGSDLELNVDVTVSNDGEDSYGTTVTLFYPVGLSFRRVAEGQVFLRK KEDQQWQRRGQHSLHLMCDSTPDRSQGLWSTSCSSRHVIFRGGSQMTFLVTFDVSPKAEL GDRLLLRARVGSENNVPGTPKTTFQLELPVKYAVYTMISSHDQFTKYLNFSTSEKEKTSV VEHRFQVNNLGQRDVPVSINFWVPIELKGEAVWTVMVSHPQNPLTQCYRNRLKPTQFDLL THMQKSPVLDCSIADCLHLRCDIPSLGILDELYFILKGNLSFGWISQTLQKKVLLLSEAE ITFNTSVYSQLPGQEAFLRAQTKTVLEMYKVHNPVPLIVGSSVGGLLLLAIITAILYKAG FFKRQYKEMLEEANGQFVSDGTPTPQVAQ >ENSMUSP00000145587.1 pep:known chromosome:GRCm38:7:128129551:128134101:1 gene:ENSMUSG00000030789.9 transcript:ENSMUST00000205460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgax description:integrin alpha X [Source:MGI Symbol;Acc:MGI:96609] MSCTWIAFLLLLGFVSCLGFNLDAEKLTHFHMDGAEFGHSVLQYDSSWVVVGAPKEIKAT NQIGGLYKCGYHTGNCEPISLQVPPEAVNISLGLSLAAATNPSWLLACGPTVHHTCRENI YLTGLCFLLSSSFKQSQNFPTAQQECPKQDQDIVFLIDGSGSISSTDFEKMLDFVKAVMS QLQRPSTRFSLMQFSDYFRVHFTFNNFISTSSPLSLLGSVRQLRGYTYTASAIKHVITVH HPKWSSARCHQGPHCHH >ENSMUSP00000092424.1 pep:known chromosome:GRCm38:7:13084289:13085215:-1 gene:ENSMUSG00000070817.1 transcript:ENSMUST00000094829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r85 description:vomeronasal 1 receptor 85 [Source:MGI Symbol;Acc:MGI:2182262] MLFPSNTILGVFVISEFCVGVLGNSLLLMLYIFNFWVKARFNRQIDPIFMHLMIVNMLTI IFAMIPYITSSFGVPSFLHDAGCKAFVYIYRVSRAMSISSTSILSTFQAITITPSNSKWA WLKPKLSKWTFCTFLFSWFINMVIYVHLIENVIAKTNYTGVNYGYSHVYCESSPVEYPNP GFFLGVIITRDLVLLVIMMWTSLSMVTLLYRHHKRAQHLRNPRLSSQKSPEHKATHTILL LVNCFVFFYLLNNLITLYDRFYTRGRTGNLGAIITIVASFYPTLCPFLLMNSNKMYFFPS YIQRAIGD >ENSMUSP00000107455.1 pep:known chromosome:GRCm38:2:77881159:77946180:-1 gene:ENSMUSG00000027014.14 transcript:ENSMUST00000111824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc22 description:CWC22 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:2136773] MKSSVAHMKSSGHNRRETHSSYRRSSSPEDRYTEQERSPRDRGYSDYSRSDYERSRRGYS YDDSMESRSRDREKRRERERDADHRKRSRKSPSPDRSPARGGGQSSPQEEPTWKKKKDEL DPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISIII QELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNFR KGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECGL KLTQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVEE DDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGSS EDEEEEDEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHKLLKMEF AESQTKELCNMILDCCAQQRTYEKFFGLLAGRFCMLKKEYMESFESIFKEQYDTIHRLET NKLRNVAKMFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMGLPKLNA RLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIVAQKPEA EQKKPALTSSSSESSSASDSSDSESDSSESSSESSSDASDSSSSSSTQSSTSVSENTRRL RAC >ENSMUSP00000064947.3 pep:known chromosome:GRCm38:2:77895653:77946375:-1 gene:ENSMUSG00000027014.14 transcript:ENSMUST00000065889.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc22 description:CWC22 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:2136773] MKSSVAHMKSSGHNRRETHSSYRRSSSPEDRYTEQERSPRDRGYSDYSRSDYERSRRGYS YDDSMESRSRDREKRRERERDADHRKRSRKSPSPDRSPARGGGQSSPQEEPTWKKKKDEL DPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISIII QELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNFR KGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECGL KLTQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVEE DDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGSS EDEEEEDEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHKLLKMEF AESQTKELCNMILDCCAQQRTYEKFFGLLAGRFCMLKKEYMESFESIFKEQYDTIHRLET NKLRNVAKMFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMGLPKLNA RLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIVAQKPEA EQKKPALTSSSSESSSASDSSDSESDSSESSSESSSDASDSSSSSSTQSSTSGITAHSAK GTRKKRQGKARGEEVDKLARGHQALERRREGGREDQRHQEGRTERARSERRRAQNSRDAD WRDPLAKHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKRRERRDSFSENEKQRSRN QDSDNVRRKDRSKSRERSRRHSGHKGDDARCQNSAERRWEKPGRRPEQSRESKRSQDRRR EKSPTTQK >ENSMUSP00000107450.1 pep:known chromosome:GRCm38:2:77895766:77946183:-1 gene:ENSMUSG00000027014.14 transcript:ENSMUST00000111819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc22 description:CWC22 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:2136773] MKSSVAHMKQSSGHNRRETHSSYRRSSSPEDRYTEQERSPRDRGYSDYSRSDYERSRRGY SYDDSMESRSRDREKRRERERDADHRKRSRKSPSPDRSPARGGGQSSPQEEPTWKKKKDE LDPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISII IQELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNF RKGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECG LKLTQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVE EDDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGS SEDEEEEDEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHKLLKME FAESQTKELCNMILDCCAQQRTYEKFFGLLAGRFCMLKKEYMESFESIFKEQYDTIHRLE TNKLRNVAKMFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMGLPKLN ARLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIVAQKPE AEQKKPALTSSSSESSSASDSSDSESDSSESSSESSSDASDSSSSSSTQSSTSAKGTRKK RQGKARGEEVDKLARGHQALERRREGGREDQRHQEGRTERARSERRRAQNSRDADWRDPL AKHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKRRERRDSFSENEKQRSRNQDSDN VRRKDRSKSRERSRRHSGHKGDDARCQNSAERRWEKPGRRPEQSRESKRSQDRRREKSPT TQK >ENSMUSP00000107449.1 pep:known chromosome:GRCm38:2:77895768:77946353:-1 gene:ENSMUSG00000027014.14 transcript:ENSMUST00000111818.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc22 description:CWC22 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:2136773] MKSSVAHMKSSGHNRRETHSSYRRSSSPEDRYTEQERSPRDRGYSDYSRSDYERSRRGYS YDDSMESRSRDREKRRERERDADHRKRSRKSPSPDRSPARGGGQSSPQEEPTWKKKKDEL DPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISIII QELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNFR KGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECGL KLTQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVEE DDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGSS EDEEEEDEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHKLLKMEF AESQTKELCNMILDCCAQQRTYEKFFGLLAGRFCMLKKEYMESFESIFKEQYDTIHRLET NKLRNVAKMFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMGLPKLNA RLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIVAQKPEA EQKKPALTSSSSESSSASDSSDSESDSSESSSESSSDASDSSSSSSTQSSTSAKGTRKKR QGKARGEEVDKLARGHQALERRREGGREDQRHQEGRTERARSERRRAQNSRDADWRDPLA KHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKRRERRDSFSENEKQRSRNQDSDNV RRKDRSKSRERSRRHSGHKGDDARCQNSAERRWEKPGRRPEQSRESKRSQDRRREKSPTT QK >ENSMUSP00000122338.1 pep:known chromosome:GRCm38:2:77929287:77945938:-1 gene:ENSMUSG00000027014.14 transcript:ENSMUST00000127289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc22 description:CWC22 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:2136773] MKSSVAHMKQSSGHNRRETHSSYRRSSSPEDRYTEQERSPRDRGYSDYSRSDYERSRRGY SYDDSMESRSRDREKRRERERDADHRKRSRKSPSPDRSPARGGGQSSPQEEPTWKKKKDE LDPLLTRTGGAYIPPAKLR >ENSMUSP00000115067.1 pep:known chromosome:GRCm38:2:77929373:77946189:-1 gene:ENSMUSG00000027014.14 transcript:ENSMUST00000128963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc22 description:CWC22 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:2136773] MKSSVAHMKSSGHNRRETHSSYRRSSSPEDRYTEQERSPRDRGYSDYSRSDYERSRRGYS YDDSMESRSRDREKRRERERDADHRKRSRKSPSPDRSPARGGGQSSPQE >ENSMUSP00000107452.2 pep:known chromosome:GRCm38:2:77895653:77946353:-1 gene:ENSMUSG00000027014.14 transcript:ENSMUST00000111821.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc22 description:CWC22 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:2136773] MKSSVAHMKSSGHNRRETHSSYRRSSSPEDRYTEQERSPRDRGYSDYSRSDYERSRRGYS YDDSMESRSRDREKRRERERDADHRKRSRKSPSPDRSPARGGGQSSPQEEPTWKKKKDEL DPLLTRTGGAYIPPAKLRMMQEQITDKSSLAYQRMSWEALKKSINGLINKVNISNISIII QELLQENIVRGRGLLSRSVLQAQSASPIFTHVYAALVAIINSKFPQIGELILKRLILNFR KGYRRNDKQLCLTASKFVAHLINQNVAHEVLCLEMLTLLLERPTDDSVEVAIGFLKECGL KLTQVSPRGINAIFERLRNILHESEIDKRVQYMIEVMFAVRKDGFKDHPVILEGLDLVEE DDQFTHMLPLEDDYNPEDVLNVFKMDPNFMENEEKYKAIKKEILDEGDSDSNTDQGAGSS EDEEEEDEEEEGEDEEGGQKVTIHDKTEINLVSFRRTIYLAIQSSLDFEECAHKLLKMEF AESQTKELCNMILDCCAQQRTYEKFFGLLAGRFCMLKKEYMESFESIFKEQYDTIHRLET NKLRNVAKMFAHLLYTDSLPWSVLECIKLSEETTTSSSRIFVKIFFQELCEYMGLPKLNA RLKDETLQPFFEGLLPRDNPRNTRFAINFFTSIGLGGLTDELREHLKNTPKVIVAQKPEA EQKKPALTSSSSESSSASDSSDSESDSSESSSESSSDASDSSSSSSTQSSTSGITAHSAK GTRKKRQGKARGEEVDKLARGHQALERRREGGREDQRHQEGRTERARSERRRAQNSRDAD WRDPLAKHIDDRSHENSHSRVGNGREQGSHREPEDRHGEPKKRRERRDSFSENEKQRSRN QDSDNVRRKDRSKSRERSRRHSGHKGDDARCQNSAERRWEKPGRRPEQSRESKRSQDRRR EKSPTTQK >ENSMUSP00000001620.8 pep:known chromosome:GRCm38:3:34019943:34069342:1 gene:ENSMUSG00000027680.15 transcript:ENSMUST00000001620.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxr1 description:fragile X mental retardation gene 1, autosomal homolog [Source:MGI Symbol;Acc:MGI:104860] MAELTVEVRGSNGAFYKGFIKDVHEDSLTVVFENNWQPERQVPFNEVRLPPPPDIKKEIS EGDEVEVYSRANDQEPCGWWLAKVRMMKGEFYVIEYAACDATYNEIVTFERLRPVNQNKT VKKNTFFKCTVDVPEDLREACANENAHKDFKKAVGACRIFYHPETTQLMILSASEATVKR VNILSDMHLRSIRTKLMLMSRNEEATKHLECTKQLAAAFHEEFVVREDLMGLAIGTHGSN IQQARKVPGVTAIELDEDTGTFRIYGESAEAVKKARGFLEFVEDFIQVPRNLVGKVIGKN GKVIQEIVDKSGVVRVRIEGDNENKLPREDGMVPFVFVGTKESIGNVQVLLEYHIAYLKE VEQLRMERLQIDEQLRQIGMGFRPSSTRGPEREKGYATDESTVSSVQGSRSYSGRGRGRR GPNYTSGYGTNSELSNPSETESERKDELSDWSLAGEDDRETRHQRDSRRRPGGRGRSVSG GRGRGGPRGGKSSISSVLKDPDSNPYSLLDNTESDQTADTDASESHHSTNRRRRSRRRRT DEDAVLMDGLTESDTASVNENGLDDSEKKPQRRNRSRRRRFRGQAEDRQPVTVADYISRA ESQSRQRNLPRETLAKNKKEMAKDVIEEHGPSEKAINGPTSASGDEIPKLPRTLGEEKTK TLKEDSTQEAAVLNGVS >ENSMUSP00000130216.2 pep:known chromosome:GRCm38:3:34020098:34069337:1 gene:ENSMUSG00000027680.15 transcript:ENSMUST00000167354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxr1 description:fragile X mental retardation gene 1, autosomal homolog [Source:MGI Symbol;Acc:MGI:104860] MMKGEFYVIEYAACDATYNEIVTFERLRPVNQNKTVKKNTFFKCTVDVPEDLREACANEN AHKDFKKAVGACRIFYHPETTQLMILSASEATVKRVNILSDMHLRSIRTKLMLMSRNEEA TKHLECTKQLAAAFHEEFVVREDLMGLAIGTHGSNIQQARKVPGVTAIELDEDTGTFRIY GESAEAVKKARGFLEFVEDFIQVPRNLVGKVIGKNGKVIQEIVDKSGVVRVRIEGDNENK LPREDGMVPFVFVGTKESIGNVQVLLEYHIAYLKEVEQLRMERLQIDEQLRQIGSRSYSG RGRGRRGPNYTSGYGTNSELSNPSETESERKDELSDWSLAGEDDRETRHQRDSRRRPGGR GRSVSGGRGRGGPRGGKSSISSVLKDPDSNPYSLLDNTESDQTADTDASESHHSTNRRRR SRRRRTDEDAVLMDGLTESDTASVNENGLGKRCD >ENSMUSP00000143562.1 pep:known chromosome:GRCm38:3:34020098:34069371:1 gene:ENSMUSG00000027680.15 transcript:ENSMUST00000200086.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fxr1 description:fragile X mental retardation gene 1, autosomal homolog [Source:MGI Symbol;Acc:MGI:104860] MAELTVEVRGSNGAFYKGFIKDVHEDSLTVVFENKYIQEQMTKSHVDGGWLKFG >ENSMUSP00000142847.1 pep:known chromosome:GRCm38:3:34020105:34069345:1 gene:ENSMUSG00000027680.15 transcript:ENSMUST00000198051.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxr1 description:fragile X mental retardation gene 1, autosomal homolog [Source:MGI Symbol;Acc:MGI:104860] MAELTVEVRGSNGAFYKGFIKDVHEDSLTVVFENNWQPERQVPFNEVRLPPPPDIKKEIS EGDEVEVYSRANDQEPCGWWLAKVRMMKGEFYVIEYAACDATYNEIVTFERLRPVNQNKT VKKNTFFKCTVDVPEDLREACANENAHKDFKKAVGACRIFYHPETTQLMILSASEATVKR VNILSDMHLRSIRTKLMLMSRNEEATKHLECTKQLAAAFHEEFVVREDLMGLAIGTHGSN IQQARKVPGVTAIELDEDTGTFRIYGESAEAVKKARGFLEFVEDFIQVPRNLVGKVIGKN GKVIQEIVDKSGVVRVRIEGDNENKLPREDGMVPFVFVGTKESIGNVQVLLEYHIAYLKE VEQLRMERLQIDEQLRQIGMGFRPSSTRGPEREKGYATDESTVSSVQGSRSYSGRGRGRR GPNYTSGYGTNSELSNPSETESERKDELSDWSLAGEDDRETRHQRDSRRRPGGRGRSVSG GRGRGGPRGGKSSISSVLKDPDSNPYSLLDNTESDQTADTDASESHHSTNRRRRSRRRRT DEDAVLMDGLTESDTASVNENGLGKRCD >ENSMUSP00000142441.1 pep:known chromosome:GRCm38:3:34020108:34069345:1 gene:ENSMUSG00000027680.15 transcript:ENSMUST00000197694.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxr1 description:fragile X mental retardation gene 1, autosomal homolog [Source:MGI Symbol;Acc:MGI:104860] MAELTVEVRGSNGAFYKGFIKDVHEDSLTVVFENNWQPERQVPFNEVRLPPPPDIKKEIS EGDEVEVYSRANDQEPCGWWLAKVRMMKGEFYVIEYAACDATYNEIVTFERLRPVNQNKT VKKNTFFKCTVDVPEDLREACANENAHKDFKKAVGACRIFYHPETTQLMILSASEATVKR VNILSDMHLRSIRTKLMLMSRNEEATKHLECTKQLAAAFHEEFVVREDLMGLAIGTHGSN IQQARKVPGVTAIELDEDTGTFRIYGESAEAVKKARGFLEFVEDFIQVPRNLVGKVIGKN GKVIQEIVDKSGVVRVRIEGDNENKLPREDGMVPFVFVGTKESIGNVQVLLEYHIAYLKE VEQLRMERLQIDEQLRQIGSRSYSGRGRGRRGPNYTSGYGTNSELSNPSETESERKDELS DWSLAGEDDRETRHQRDSRRRPGGRGRSVSGGRGRGGPRGGKSSISSVLKDPDSNPYSLL DNTESDQTADTDASESHHSTNRRRRSRRRRTDEDAVLMDGLTESDTASVNENGLVTVADY ISRAESQSRQRNLPRETLAKNKKEMAKDVIEEHGPSEKAINGPTSASGDEIPKLPRTLGE EKTKTLKEDSTQEAAVLNGVS >ENSMUSP00000143392.1 pep:known chromosome:GRCm38:3:34020108:34070322:1 gene:ENSMUSG00000027680.15 transcript:ENSMUST00000200392.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxr1 description:fragile X mental retardation gene 1, autosomal homolog [Source:MGI Symbol;Acc:MGI:104860] MAELTVEVRGSNGAFYKGFIKDVHEDSLTVVFENNWQPERQVPFNEVRLPPPPDIKKEIS EGDEVEVYSRANDQEPCGWWLAKVRMMKGEFYVIEYAACDATYNEIVTFERLRPVNQNKT VKKNTFFKCTVDVPEDLREACANENAHKDFKKAVGACRIFYHPETTQLMILSASEATVKR VNILSDMHLRSIRTKLMLMSRNEEATKHLECTKQLAAAFHEEFVVREDLMGLAIGTHGSN IQQARKVPGVTAIELDEDTGTFRIYGESAEAVKKARGFLEFVEDFIQVPRNLVGKVIGKN GKVIQEIVDKSGVVRVRIEGDNENKLPREDGMVPFVFVGTKESIGNVQVLLEYHIAYLKE VEQLRMERLQIDEQLRQIGSRSYSGRGRGRRGPNYTSGYGTNSELSNPSETESERKDELS DWSLAGEDDRETRHQRDSRRRPGGRGRSVSGGRGRGGPRGGKSSISSVLKDPDSNPYSLL DNTESDQTADTDASESHHSTNRRRRSRRRRTDEDAVLMDGLTESDTASVNENGLGKRCD >ENSMUSP00000143614.1 pep:known chromosome:GRCm38:3:34039534:34046537:1 gene:ENSMUSG00000027680.15 transcript:ENSMUST00000197853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxr1 description:fragile X mental retardation gene 1, autosomal homolog [Source:MGI Symbol;Acc:MGI:104860] XKDVHEDSLTVVFENNWQPERQVPFNEVRLPPPPDIKKEISEGDEVEVYSRANDQEPCGW WLAKVRMMKGEVSDCFPRRHLNSELLCPSPPIDRVNPLLTHSCIVNFNFVYCQTPRILFS RIPQGYNYKGSCL >ENSMUSP00000143232.1 pep:known chromosome:GRCm38:5:137295711:137307666:-1 gene:ENSMUSG00000037364.11 transcript:ENSMUST00000199243.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrt description:serrate RNA effector molecule homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1933527] MGDSDDEYDRRRRDKFRRERSDYDRSRERDERRRGDDWNDREWDRGRERRSRGEYRDYDR NRRERFSPPRHELSPPQKRMRRDWDEHSSDPYHSGYDMPYAGGGGGPTYGPPQPWGHPDV HIMQHHVLPIQARLGSIAEIDLGVPPPIMKSFKEFLLSLDDSVDETEAVKRYNDYKLDFR RQQMQDFFLAHKDEEWFRSKYHPDEVGKRRQEARGALQNRLKVFLSLMESGWFDNLLLDI DKADAIVKMLDAAVIKMEGGTENDLRILEQEEEEEQAGKTGEASKKEEARAGPALGEGER KANDKDEKKEDGKQAENDSSNDDKTKKSEGDGDKEEKKEEAEKEAKKSKKRNRKQSGDDS FDEGSVSESESESEGGQAEEEKEEAEEALKEKEKPKEEEKEKPKDAAGLECKPRPLHKTC SLFMRNIAPNISRAEIISLCKRYPGFMRVALSEPQPERRFFRRGWVTFDRSVNIKEICWN LQNIRLRECELSPGVNRDLTRRVRNINGITQHKQIVRNDIKLAAKLIHTLDDRTQLWASE PGTPPVPTSLPSQNPILKNITDYLIEEVSAEEEELLGSSGGPPPEEPPKEGNPAEINVER DEKLIKVLDKLLLYLRIVHSLDYYNTCEYPNEDEMPNRCGIIHVRGPMPPNRISHGEVLE WQKTFEEKLTPLLSVRESLSEEEAQKMGRKDPEQEVEKFVTSNTQELGKDKWLCPLSGKK FKGPEFVRKHIFNKHAEKIEEVKKEVAFFNNFLTDAKRPALPEIKPAQPPGPAQILPPGL TPGLPYPHQTPQGLMPYGQPRPPILGYGVPTGGPPYPHAPYGAGRGNYDAFRGQGGYPGK PRNRMVRGDPRAIVEYRDLDAPDDVDFF >ENSMUSP00000142564.1 pep:known chromosome:GRCm38:5:137295715:137307666:-1 gene:ENSMUSG00000037364.11 transcript:ENSMUST00000197466.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrt description:serrate RNA effector molecule homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1933527] MGDSDDEYDRRRRDKFRRERSDYDRSRERDERRRGDDWNDREWDRGRERRSRGEYRDYDR NRRERFSPPRHELSPPQKRMRRDWDEHSSDPYHSGYDMPYAGGGGGPTYGPPQPWGHPDV HIMQHHVLPIQARLGSIAEIDLGVPPPIMKSFKEFLLSLDDSVDETEAVKRYNDYKLDFR RQQMQDFFLAHKDEEWFRSKYHPDEVGKRRQEARGALQNRLKVFLSLMESGWFDNLLLDI DKADAIVKMLDAAVIKMEGGTENDLRILEQEEEEEQAGKTGEASKKEEARAGPALGEGER KANDKDEKKEDGKQAENDSSNDDKTKKSEGDGDKEEKKEEAEKEAKKSKKRNRKQSGDDS FDEGSVSESESESEGGQAEEEKEEAEEALKEKEKPKEEEKEKPKDAAGLECKPRPLHKTC SLFMRNIAPNISRAEIISLCKRYPGFMRVALSEPQPERRFFRRGWVTFDRSVNIKEICWN LQNIRLRECELSPGVNRDLTRRVRNINGITQHKQIVRNDIKLAAKLIHTLDDRTQLWASE PGTPPVPTSLPSQNPILKNITDYLIEEVSAEEEELLGSSGGPPPEEPPKEGNPAEINVER DEKLIKVLDKLLLYLRIVHSLDYYNTCEYPNEDEMPNRCGIIHVRGPMPPNRISHGEVLE WQKTFEEKLTPLLSVRESLSEEEAQKMGRKDPEQEVEKFVTSNTQELGKDKWLCPLSGKK FKGPEFVRKHIFNKHAEKIEEVKKEVAFFNNFLTDAKRPALPEIKPAQPPGPAQSLTPGL PYPHQTPQGLMPYGQPRPPILGYGVPTGGPPYPHAPYGAGRGNYDAFRGQGGYPGKPRNR MVRGDPRAIVEYRDLDAPDDVDFF >ENSMUSP00000142435.1 pep:known chromosome:GRCm38:5:137295717:137307421:-1 gene:ENSMUSG00000037364.11 transcript:ENSMUST00000198526.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srrt description:serrate RNA effector molecule homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1933527] MGDSDDEYDRRRRDKFRRERSDYDRSRERDERRRGDDWNDREWDRGRERRSRGEYRDYDR NRRERFSPPRHELSPPQKRMRRDWDEHSSDPYHSGYDMPYAGGGGGPTYGPPQPWGHPDV HIMQHHVLPIQARLGSIAEIDLGVPPPIMKSFKEFLLSLDDSVDETEAVKRYNDYKLDFR RQQMQDFFLAHKDEEWFRSKYHPDEVGKRRQEARGALQNRLKVFLSLMESGWFDNLLLDI DKADAIVKMLDAAVIKMEGGTENDLRILEQEEEEEQAGKTGEASKKEEARAGPALGEGER KANDKDEKKEDGKQAENDSSNDDKTKKSEGDGDKEEKKEEAEKEAKKVRARSGTGSRVAM TASMRAVCPSLSPSLRVARPRRRRRRPKKHLKKRRSPKRRRRRSLRMLQGWSVSPGPCIR LALSSCATSHPTFQGQRSFLFVNDTQALCEWHCQSPSQRGGFFAVAG >ENSMUSP00000142351.1 pep:known chromosome:GRCm38:5:137295725:137299645:-1 gene:ENSMUSG00000037364.11 transcript:ENSMUST00000196109.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrt description:serrate RNA effector molecule homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1933527] GDDSFDEGSVSESESESEGGQAEEEKEEAEEALKEKEKPKEEEKEKPKDAAGLECKPRPL HKTCSLFMRNIAPNISRAEIISVSGDIGLRGRVGVNPRSFRFFRRGWVTFDRSVNIKEIC WNLQNIRLRECELSPGVNRDLTRRVRNINGITQHKQIVRNDIKLAAKLIHTLDDRTQLWA SEPGTPPVPTSLPSQNPILKNITDYLIEEVSAEEEELLGSSGGPPPEEPPKEGNPAEINV ERDEKLIKVLDKLLLYLRIVHSLDYYNTCEYPNEDEMPNRCGIIHVRGPMPPNRISHGEV LEWQKTFEEKLTPLLSVRESLSEEEAQKMGRKDPEQEVEKFVTSNTQELGKDKWLCPLSG KKFKGPEFVRKHIFNKHAEKIEEVKKEVAFFNNFLTDAKRPALPEIKPAQPPGPAQILPP GLTPGLPYPHQTPQGLMPYGQPRPPILGYGAGAVRPAVPTGGPPYPHAPYGAGRGNYDAF RGQGGYPGKPRNRMVRGDPRAIVEYRDLDAPDDVDFF >ENSMUSP00000143442.1 pep:known chromosome:GRCm38:5:137295987:137297425:-1 gene:ENSMUSG00000037364.11 transcript:ENSMUST00000199756.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrt description:serrate RNA effector molecule homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1933527] XSGGPPPEEPPKEGNPAEINVERDEKLIKVLDKLLLYLRIVHSLDYYNTCEYPNEDEMPN RCGIIHVRGPMPPNRISHGEVLEWQKTFEEKLTPLLSVRESLSEEEAQKMGRKDPEQEVE KFVTSNTQELGKDKWLCPLSGKKFKGPEFVRKHIFNKHAEKIEEVKKEVAFFNNFLTDAK RPALPEIKPAQPPGPAQSLTPGLPYPHQTPQGLMPYGQPRPPILGYGAGAVRPAVPTGGP PYPHAPYGAGRGNYDAFRGQGGYPGKPRN >ENSMUSP00000143454.1 pep:known chromosome:GRCm38:5:137297832:137300009:-1 gene:ENSMUSG00000037364.11 transcript:ENSMUST00000199365.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srrt description:serrate RNA effector molecule homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1933527] XKANDKDEKKEDGKQAENDSSNDDKTKKSEGDGDKEEKKEEAEKEAKKVRST >ENSMUSP00000142344.1 pep:known chromosome:GRCm38:5:137297832:137300318:-1 gene:ENSMUSG00000037364.11 transcript:ENSMUST00000199605.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srrt description:serrate RNA effector molecule homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1933527] XESGWFDNLLLDIDKADAIVKMLDAAVIKMEGGTENDLRILEQEEEEEQAGKTGEASKKE EARAGPALGEGERKANDKDEKKEDGKQAENDSSNDDKTKKSEGDGDKEEKKEEAEKEAKK VRARSGTGSRVAMTASMRAVCPSLSPSLRVARPRRRRRRPKHLKKRRSPKRRRRRSLRML QGWSVSPGPCIRLALSSCATSHPTFQGQRSFLFVNDTQALCEWHCQSPSQRGGFFAVAG >ENSMUSP00000142660.1 pep:known chromosome:GRCm38:5:137302653:137307640:-1 gene:ENSMUSG00000037364.11 transcript:ENSMUST00000197484.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srrt description:serrate RNA effector molecule homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1933527] MGDSDDEYDRRRRDKFRRERSDYDRSRERDERRRGDDWNDRSLRPQPCSC >ENSMUSP00000043123.7 pep:known chromosome:GRCm38:5:137295704:137307674:-1 gene:ENSMUSG00000037364.11 transcript:ENSMUST00000040873.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrt description:serrate RNA effector molecule homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1933527] MGDSDDEYDRRRRDKFRRERSDYDRSRERDERRRGDDWNDREWDRGRERRSRGEYRDYDR NRRERFSPPRHELSPPQKRMRRDWDEHSSDPYHSGYDMPYAGGGGGPTYGPPQPWGHPDV HIMQHHVLPIQARLGSIAEIDLGVPPPIMKSFKEFLLSLDDSVDETEAVKRYNDYKLDFR RQQMQDFFLAHKDEEWFRSKYHPDEVGKRRQEARGALQNRLKVFLSLMESGWFDNLLLDI DKADAIVKMLDAAVIKMEGGTENDLRILEQEEEEEQAGKTGEASKKEEARAGPALGEGER KANDKDEKKEDGKQAENDSSNDDKTKKSEGDGDKEEKKEEAEKEAKKSKKRNRKQSGDDS FDEGSVSESESESEGGQAEEEKEEAEEALKEKEKPKEEEKEKPKDAAGLECKPRPLHKTC SLFMRNIAPNISRAEIISLCKRYPGFMRVALSEPQPERRFFRRGWVTFDRSVNIKEICWN LQNIRLRECELSPGVNRDLTRRVRNINGITQHKQIVRNDIKLAAKLIHTLDDRTQLWASE PGTPPVPTSLPSQNPILKNITDYLIEEVSAEEEELLGSSGGPPPEEPPKEGNPAEINVER DEKLIKVLDKLLLYLRIVHSLDYYNTCEYPNEDEMPNRCGIIHVRGPMPPNRISHGEVLE WQKTFEEKLTPLLSVRESLSEEEAQKMGRKDPEQEVEKFVTSNTQELGKDKWLCPLSGKK FKGPEFVRKHIFNKHAEKIEEVKKEVAFFNNFLTDAKRPALPEIKPAQPPGPAQILPPGL TPGLPYPHQTPQGLMPYGQPRPPILGYGAGAVRPAVPTGGPPYPHAPYGAGRGNYDAFRG QGGYPGKPRNRMVRGDPRAIVEYRDLDAPDDVDFF >ENSMUSP00000140131.1 pep:known chromosome:GRCm38:13:9875486:10360844:-1 gene:ENSMUSG00000046159.15 transcript:ENSMUST00000187510.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrm3 description:cholinergic receptor, muscarinic 3, cardiac [Source:MGI Symbol;Acc:MGI:88398] MTLHSNSTTSPLFPNISSSWVHSPSEAGLPLGTVSQLDSYNISQTSGNFSSNDTSSDPLG GHTIWQVVFIAFLTGFLALVTIIGNILVIVAFKVNKQLKTVNNYFLLSLACADLIIGVIS MNLFTTYIIMNRWALGNLACDLWLSIDYVASNASVMNLLVISFDRYFSITRPLTYRAKRT TKRAGVMIGLAWVISFVLWAPAILFWQYFVGKRTVPPGECFIQFLSEPTITFGTAIAAFY MPVTIMTILYWRIYKETEKRTKELAGLQASGTEAEAENFVHPTGSSRSCSSYELQQQGTK RSSRRKYGGCHFWFTTKSWKPSAEQMDQDHSSSDSWNNNDAAASLENSASSDEEDIGSET RAIYSIVLKLPGHSTILNSTKLPSSDNLQVPDKDLGTMDVERNAHKLQAQKSMDDRDNCQ KDFSKLPIQLESAVDTAKTSDTNSSVDKTTAALPLSFKEATLAKRFALKTRSQITKRKRM SLIKEKKAAQTLSAILLAFIITWTPYNIMVLVNTFCDSCIPKTYWNLGYWLCYINSTVNP VCYALCNKTFRTTFKMLLLCQCDKRKRRKQQYQQRQSVIFHKRVPEQAL >ENSMUSP00000055579.9 pep:known chromosome:GRCm38:13:9877229:9878998:-1 gene:ENSMUSG00000046159.15 transcript:ENSMUST00000063093.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrm3 description:cholinergic receptor, muscarinic 3, cardiac [Source:MGI Symbol;Acc:MGI:88398] MTLHSNSTTSPLFPNISSSWVHSPSEAGLPLGTVSQLDSYNISQTSGNFSSNDTSSDPLG GHTIWQVVFIAFLTGFLALVTIIGNILVIVAFKVNKQLKTVNNYFLLSLACADLIIGVIS MNLFTTYIIMNRWALGNLACDLWLSIDYVASNASVMNLLVISFDRYFSITRPLTYRAKRT TKRAGVMIGLAWVISFVLWAPAILFWQYFVGKRTVPPGECFIQFLSEPTITFGTAIAAFY MPVTIMTILYWRIYKETEKRTKELAGLQASGTEAEAENFVHPTGSSRSCSSYELQQQGTK RSSRRKYGGCHFWFTTKSWKPSAEQMDQDHSSSDSWNNNDAAASLENSASSDEEDIGSET RAIYSIVLKLPGHSTILNSTKLPSSDNLQVPDKDLGTMDVERNAHKLQAQKSMDDRDNCQ KDFSKLPIQLESAVDTAKTSDTNSSVDKTTAALPLSFKEATLAKRFALKTRSQITKRKRM SLIKEKKAAQTLSAILLAFIITWTPYNIMVLVNTFCDSCIPKTYWNLGYWLCYINSTVNP VCYALCNKTFRTTFKMLLLCQCDKRKRRKQQYQQRQSVIFHKRVPEQAL >ENSMUSP00000121261.2 pep:known chromosome:GRCm38:7:105650827:105721799:1 gene:ENSMUSG00000030882.17 transcript:ENSMUST00000145988.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnhd1 description:dynein heavy chain domain 1 [Source:MGI Symbol;Acc:MGI:1924755] MKPHSQTSPPSLPMPSTSCRPGQTQKPKAWNWHLDPELWARSVRQQLNTCLHFILEEKKN PWFYTLQSGLVGCSCSGQEHSWDCQMKQEDLKAVVESEQRTLLKLLLSELQSLFSAVMQD GSCEAWRYLHAVLGLLPPYREMLAGQLELLPFLEQLYCWAPKVQARLELDLLDAIDKAFP PDSSLLHSSSHVDCGLWMKRFHRGPPCSACPFVKAQWDRQQKKELATWLRPLTLPELQHC LGIVGAEVALEETPWLDSLSLLPLALATDIPVQYESSDTEQAEGEPAGRKLQVASEAPEE KALKKKSSRTPVLRSQVKSLLERDWTQKKIHFLYLNVVSDRHFNPYKLVAVPPDKVNPEH YIFSPFGILHIHPVEGSEAMTLGTWHRDCALWRELQRIPFFKNCLLRKALTCWKKNVRLC GLHRIQTFLKTHLLSAIPHFGAGMLHINRLLQEFRSVSWLPKEPDRSYELVDLQKAIAKE NHKALRVLCHFLNLCTSILQLIHEDTYQMQQGLQERVQNWNRIRKGQGSIYLQRTLCRHL EKKLKQAETWLLKLGKFARLIDYMICQNLVSILEDEISSFIANTLQAPRQNPFLLSQLVF DDNGQLSPMPRVESIIQGLIKSLQSIKTSALKVLQSTDLRTSRDLLYSEDNKDQDSNAEF LMPKFHGKASDAVRLFCGPNVGYVWPWKSHAITDVLEVRGHKLRGQFLHPNYDHVQEDLD KNAGIQQALAVQQSLLEDMRQEVQEFCNKHKWVEGIYEFLKAWSSQKLEDLRGSPINNYV NLVIQLKKWQERVSNMSVELLTKGKLLFLSGHDVQEELGSKLNNMRKNILEQAQNECWSR NQQLMTELTEFLRVFQTISSDIHAIAQCSQKLSEANEQYCQLEERVEYVRSLHDLIRNHC ALFIAENETLDIALLDLWEAFQFERSQVSEFLLSKQHAIVPRLQQLMAAALAELEGLLAK ALSGPFMDPSQEQRSTEQQLGALEHQFLNILNNFNALCNAYCTFTGYKKPMSPPASGNRP IVLQQRIWRLYRIISENLGEWKCVAFSKFNLSMAREKTDAWLTEAVRLSTALGLQSPVLQ RCMRMLEEFRAYLPLLIKLGNLQLQDLNTQSLLRALGLGSLRSLDLLTLGQMLNYPLLEF AERINQVWQYDKERIHAQEILQQMQQYWEGRQLRLLNFILHVPYKPPTSERSKRPALRSP QWELVGKDSGTFLLSDYSSLQDAIQNSLQALFKILAIQKSGQLHKIALEWVAIMYGLGAL LEVWVAFQQKWIFLNKVLHEMKIEFPAPELNARFKAMDDQYRTLMRISVADPMVLSLILP NTKRSPYFQGQHLQQMLKAGSGELEAIIMALEDVLYGVCANFPRLFFLSDSELVALLAAP LDTREAQLWAQRCFPHIKAVNFRSKSTKKKINQDSSSSTESAETIAVLAAGGEEVKLQEP LPLHTDLPKWLASLEKCLRFIIVNLLQSCVATRLAQGPSLIKALKAMPQQRQMPMQVYVQ HWLDAVQVFPWQCILVAEEVVWRAEMEEALLESRTMHMRSVHVHNLEVLVQFIRSQRSSQ DGKSLPSVRQTSLFSTLLVKAVTHRDIAQLLEKNQVSDLTDFHWVRQLKYHLGSSHLNLK SPVQCLTTIASTEPSVSPAACWIDVLGRSFMYNYEYMGPKLGPLPSLMHERQVFILLMAL DEVAYGAILGRDGLGKAETVNSLAWTLGRQLVIMPCLPQIEFQCLRNYLNGALQSGAWLL LENVNQLPSSLLSALGQRLDELHYLYAPLYQKASKNISTINPTKPLLLGGGFFEKHQVSM RLGFGCFLTLHSLGPDIPANLHLLLRPVALALPDLQRVAELNLLGAGVQDASQMASRLSK LFSLERELVSGNLPCRLPLLKQVLEHTIQTLNTSQEKKSQQPYDPAASEEAALLRALLHS PLFSILDGLRLQKLQELLCGIFPNASHVLAEPVSHRLIKSVVVEELQQLGLFPASNTVTS LEQLSQALSRASGILLLGPAGSGKSTCWRSLFKIQNRLAAMEHTSTKGFQSVEIVHLYPS VLNSKEYLGWSEGPSWHYGIFPKLLHAAPYCKSVGSEEPSEKFTGIQQWIICDGAPNHAW TDSVTCLLRDPPQLSLPNGQQIARPLGTFFLIEVAEAAGMSPTVLGRCALVWCSGEQTWH SMLSVLMASLPHEYHLQQETITEFNYLAEVLVPSVLRFLTRIGASSQLQVHGHQAVCPGV AEVTSLVRILRALLDPLLHLFEEEKSYTKEDFSGSDLVTQNFKSSKTRVQSDRVNVNKKQ RRHLLAISSFLFAIIWSFGAHLPSRHWPLFDDFMKKSISSLPNYPEPPPSALVFDLHVNF EDGTLVPFTGQYLSTHVKGNLGSFQPSSQTEQLLYVVDLLLSNGQPVLLAGEIATGKSAF VEVLVKPNYPVIHSPIHPALNSTHLRHLLSRGVHGQTQASSIPGHHQDSKGSILFLMEDL HLATFDPEKNCQPVLETLRQAMEGTIYAHNTLELQTLQTTVNFLATATVPGYSERPLCPR LYRLFTVLALNSMTQDTLLSRHVPSIQAWLERFPSVEREHTLARALVRASVEAWEAVCKC FMPSPLRPHYRFSPHSVSHILGSLQLLPTRMGSRGFAETFHHQEYLRRVSGLRGTRLTIM MSMRVMVRLWLHEAQRTFCDRLDSDRERSHCAKLLLEVAQNVFCGGPGSESLAKDCEEEE VEEEKVPEVESEEEIAQWETLSNSDSGSEEEEDPYGLQATTGSFLSENSLAPFPRKSVNK ENTENVSQMAEQEEDIRDSSSKLQSKTPKHEWQMAPQMDLSLPLLLPVLLFYPQESPSDL VFSLELTLGSNFESPNLYLERQWENLEKQLVATAVRLKMNSGFSQCPVMVQHVAHLVRVL ARPQQHALLLSEFRGTGRYTAIILASSICQAHLHYLSVESEEAIFQCLRDASWHAGLLNQ PVALLVPEGVNVAIFCRLLALATSGSFPDQYTEADLDNIEEHFPKENIANKHAIKRDTIL NRFYQQVCNNLHMFFMVGDNQAQNQLAPTLFLNLLQLTIASVERYEPWDQASLVRIAQFC LENDHSLPLDDGSLKYPDFKHLIPNVANIMARIHVSSACYHKHMCPALPLVTPKTFQDFL DMFLQQQQQMVLQMRMRASRIQTALKTLRLMVERHSTQTSLLTDLEAQLKGSYKSVGICQ GQLEQSKIMYRQKMIECQHQESLIENLVRQHDALKAQQEVFLEQMGKAFVGPLSQLRVAD FEEIRSYRAPPESVVKVTDALCDLFHQETGWSSAKQLLCTEDFYQELVFFPKEKLTDSEL VKLNEALRAPGMSDAALRSVSIPAANLAVWLWAVLRYGLAQRRGLPTGLLLRQVDATLAR EQARLGQFQFQAHDLLEQTRSLTKKLEDAQVSHNHVMETLNQAQCGNFQKWPMESALLTP MHMWTTQLQKLQEQAKTVFGDALLCSAAIIYLGPFPPQRRQELLEKWLSLCQGSEEALDP DDVARALRQKSVGVPKNPLLPTRTPFSILTLLSYGSELHQWDRDLKPQAKSARLLGLLLR SHIHFSSSRWPLLIDPSNQAIMWLNPLPPKQNRSLEPSPKESKEKFHVTKQDSGDNTEDE LEDENNEEEDEANEQRKEQKAEENKIQGENEQEVQETEKENEPESSGSHSSLPSETQSLP SCLTVLSGTDPELGPQLLEAAANGLPVLLTNVELSLGCQELQWLLSKKNLSPPSVQPGFC LFLSTTFPIHALSRVLGFEMLKGLNVLDLGLNMEILEEQMLHEILCRERPELETRWQDLK IRAADTYEAMKADEEQLLVTLLRQNQKRQKPSKFLRKMVRTQAKICQLNAQMEELEDQKQ EVVALWAPYRPVAYHGMAMVEALSPLQNLLPSFCMTSENWLAVIRRAIDSMKSYDSYRGE DLPSHLLRLKIHLARQLLTNTVVALGLIQTPLVGAFGALAMLQVTTKTPKLERLALWPGL SASPSSGHNMQIPGVIRPAWLSSKAWEECGALELLPPFAGLCESLAGHSGVWQDYLSLSS TVLGPAPGPNSEPLSLFQKLILWRVLRPDCLAGALADLTTSLLGRPLDENLGAPTMIFEH IQPTQPILILLPPPGHPTATLHPVTVIRKLAANHEKVPQHLHVIALGSEDWDPVSTVVNT LCQAMLQGHWLVLDNCHLMPFWPRELLQPLQGLLDRARVVSDSELLAEPESRSVVTVHRD FRLWLIVPTEASTSLPGMLTQSSMPVFWNQSLELGRILIDSLDSQQGLCTQPLTQTLPLF FLHGLLLHRQLYGLKLQAHRGRWSQVTLTRALQIQEQLWASLGNPSAALLELTASVLYGG SLGDLEDREALVSLTRTCLNPRNMNWDQPHTPQYLLATLMPSPELGELDARTDCKAQMHL LPTPPEPRTCGLNEAPQAWLMRRQSRVLLNALQKCSSTWVPTVCGGIAQRKERQLQQRLA QAKKRLVALQALLTNNRIRSGQCVTPWAVLGPNARRPLEGFLETEVLELKHLVGTLLCDL DCLLQQLKGGTPCTSSRCAKVAQALWAGHLPQPWRSHALAGSQLPWLWLRQLSRRGHLLI RYLDSGMSENANKPERIFHLSAFRHPGRLLLALRWEAVLENSVHNPNLPGHQDSISGSLP PKWQELSNHPLHIWVENGPNPKVPKMGLLLTGLQLQHAEWDQTDGALQDSFSSQPCPLPP VSISTQARRGKDAPVSAGLGMYSCPVYMTGPFGTTKLHSKNILMHLPLPTRLSPDTCIQR RVHVCSPTLT >ENSMUSP00000102388.3 pep:known chromosome:GRCm38:7:105678024:105684432:1 gene:ENSMUSG00000030882.17 transcript:ENSMUST00000106776.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnhd1 description:dynein heavy chain domain 1 [Source:MGI Symbol;Acc:MGI:1924755] MRQEVQEFCNKHKWVEGIYEFLKAWSSQKLEDLRGSPINNYVNLVIQLKKWQERVSNMSV ELLTKGKLLFLSGHDVQEELGSKLNNMRKNILEQAQNECWSRNQQLMTELTEFLRVFQTI SSDIHAIAQCSQKLSEANEQYCQLEERVEYVRSLHDLIRNHCALFIAENETLDIALLDLW EAFQFERSQVSEFLLSKQHAIVPRLQQLMAAALAELEGLLAKALSGPFMDPSQEQRSTEQ QLGALEHQFLNILNNFNALCNAYCTFTGTEHHHLCFYPGRTLHLR >ENSMUSP00000029910.5 pep:known chromosome:GRCm38:4:6396207:6454271:-1 gene:ENSMUSG00000028245.15 transcript:ENSMUST00000029910.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmaf description:neutral sphingomyelinase (N-SMase) activation associated factor [Source:MGI Symbol;Acc:MGI:1341864] MAFTRKRQREQQLQLYSKERFSLLLLNLEEYYFEQHTAFHVQHQGSQEERKIRGSLKICS KSVIFEPDAISQPILKIPLRDCLKIGKHGENGANKHFAKAKSWGISLIFSQIYFIKEHNI VAPYKIERGKMEYVFELEVSGKVEDVVETLLQLHRASCLDKLGDQMAMITAILQSRLART SFDKNRFQSVSEKLHMECKAEMVTPLVTNPGHVCITDTSLYFQPLNGYPKPVVQITLQDV RRIYKRRHGLMPLGLEVFCTDDDLCSDIYLKFYEPQDRDDLYFYIATYLEHHAAEHTAES YMLQWQRGHLSNYQYLLHLNNLADRSCNDLSQYPVFPWIISDYSSPELDLSNPATFRDLS KPVGALNAERLERLLTRYQEMPEPRFMYGSHYSSPGYVLFYLVRIAPEYMLCLQNGRFDN ADRMFNSIAETWKNCLDGATDFKELIPEFYDEDVSFLVNSLKLDLGKRQGGQMVDDVDLP AWASSPQDFLQKNKDALESGYVSEHLHEWIDLIFGYKQKGSEAIGAHNVFHPLTYEGGVD LNSIEDPDEKVAMLTQILEFGQTPKQLFVTPHPRRITPKFKSLSQASSYNASLTDSPVSP GEESFEDLTEESRTLAWSNIAKLQLHEQYKIHKEAVTGIAVSCNGSSVFTTSQDSTLKMF SKESKMLQRSISFSNMALSSCLLLPGDTTVISSSWDNNVYFYSIAFGRRQDTLMGHDDAV SKICWHNDRLYSGSWDSTVKVWSGVPAEMPGTKRHQFDLLAELEHDVSVNTINLNAVSTL LVSGTKEGMVNIWDLTTATLLHQTSCHSGTVCDAAFSPDSRHILSTGVDGCLNVIDVQTG MLISSMASEEPQRCFVWDGNSVLSGSRSGELLVWDLLGAKVSERIQGHTGAVTCMWMNEQ CSSIITGGEDRQIMFWKLQY >ENSMUSP00000120980.1 pep:known chromosome:GRCm38:4:6433272:6454097:-1 gene:ENSMUSG00000028245.15 transcript:ENSMUST00000124344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmaf description:neutral sphingomyelinase (N-SMase) activation associated factor [Source:MGI Symbol;Acc:MGI:1341864] MAFTRKRQREQQLQLYSKERFSLLLLNLEEYYFEQHTAFHVQHQGSQEERKIRGSLKICS KSVIFEPDAISQPILKIPLRDCLKIGKHGENGANKHFAKAKSWGISLIFSQGKMEYVFEL EVSGKVEDVVET >ENSMUSP00000106838.1 pep:known chromosome:GRCm38:14:63034087:63037833:-1 gene:ENSMUSG00000075571.8 transcript:ENSMUST00000111207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb30 description:defensin beta 30 [Source:MGI Symbol;Acc:MGI:1920920] MGSLQLTLVLFVLLSYVPPVRSGVNMYIKRIYDTCWKLKGICRNTCQKEEIYHIFCGIQS LCCLEKKEMPVLFVK >ENSMUSP00000106840.1 pep:known chromosome:GRCm38:14:63034473:63049955:-1 gene:ENSMUSG00000075571.8 transcript:ENSMUST00000111209.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb30 description:defensin beta 30 [Source:MGI Symbol;Acc:MGI:1920920] MRILMAPGGSAPEAWATGMDVRSGVNMYIKRIYDTCWKLKGICRNTCQKEEIYHIFCGIQ SLCCLEKKEMPVLFVK >ENSMUSP00000106839.1 pep:known chromosome:GRCm38:14:63035798:63049960:-1 gene:ENSMUSG00000075571.8 transcript:ENSMUST00000111208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb30 description:defensin beta 30 [Source:MGI Symbol;Acc:MGI:1920920] MRILMAPGGSAPEAWATGMDVRSGVNMYIKRIYDTCWKLKGICRNTCQKEEIYHIFCGIQ SLCCLEKKEMPVLFVK >ENSMUSP00000098059.2 pep:known chromosome:GRCm38:14:63035884:63037846:-1 gene:ENSMUSG00000075571.8 transcript:ENSMUST00000100490.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb30 description:defensin beta 30 [Source:MGI Symbol;Acc:MGI:1920920] MGSLQLTLVLFVLLSYVPPVRSGVNMYIKRIYDTCWKLKGICRNTCQKEEIYHIFCGIQS LCCLEKKEMPVLFVK >ENSMUSP00000098823.2 pep:known chromosome:GRCm38:11:50236469:50238471:-1 gene:ENSMUSG00000020377.14 transcript:ENSMUST00000101265.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltc4s description:leukotriene C4 synthase [Source:MGI Symbol;Acc:MGI:107498] MKDEVALLATVTLVGVLLQAYFSLQVISARRAFHVSPPLTSGPPEFERVFRAQVNCSEYF PLFLATLWVAGIFFHEGAAALCGLFYLFARLRYFQGYARSAQLRWLQMLLPMA >ENSMUSP00000099833.3 pep:known chromosome:GRCm38:11:50236472:50238532:-1 gene:ENSMUSG00000020377.14 transcript:ENSMUST00000102772.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltc4s description:leukotriene C4 synthase [Source:MGI Symbol;Acc:MGI:107498] MKDEVALLATVTLVGVLLQAYFSLQVISARRAFHVSPPLTSGPPEFERVFRAQVNCSEYF PLFLATLWVAGIFFHEGAAALCGLFYLFARLRYFQGYARSAQLRLTPLYASARALWLLVA MAALGLLVHFLPGTLRTALFRWLQMLLPMA >ENSMUSP00000121584.1 pep:known chromosome:GRCm38:11:50236472:50238616:-1 gene:ENSMUSG00000020377.14 transcript:ENSMUST00000125555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltc4s description:leukotriene C4 synthase [Source:MGI Symbol;Acc:MGI:107498] MKDEVALLATVTLVGVLLQGDLCTKGFPRVAAAHLWPSRVRARLPSPGKLQRVLSAVPRH TLGRRHLLPRRSRSPVRTVLPVRAPPLFPGIRALSATQADSPIRERARTLAAGGDGCTGL ASPLPPRHATDCALQMAPDAPADGLRRRPSESAELEIFEQNAGVPAPESQFLIKVPTT >ENSMUSP00000118645.2 pep:known chromosome:GRCm38:2:12924041:13000980:1 gene:ENSMUSG00000026730.12 transcript:ENSMUST00000154269.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pter description:phosphotriesterase related [Source:MGI Symbol;Acc:MGI:107372] MSSLSGKVQTVLGLVEPSQLGRTLTHEHLTMTFDSFYCPPPPCHEVTSKEPIMMKNLFWI QKNPYSHRENLQLNQEVGAIREELLYFKAKGGGALVENTTTGLSRDVHTLKWLAEQTGVH IIAGAGFYVDATHSAATRAMSVEQLTDVLINEILHGADGTSIKCGVIGEIGCSWPLTDSE RKILEATAHAQAQLGCPVIIHPGRNPGAPFQIIRILQEAGADISKTVMSHLDRTIFDKKE LLEFAQLGCYLEYDLFGTELLNYQLSPDIDMPDDNKRIRRVHFLVDEGYEDRILMAHDIH TKHRLMKYGGHGYSHILTNIV >ENSMUSP00000110444.3 pep:known chromosome:GRCm38:2:12924069:13000981:1 gene:ENSMUSG00000026730.12 transcript:ENSMUST00000114796.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pter description:phosphotriesterase related [Source:MGI Symbol;Acc:MGI:107372] MSSLSGKVQTVLGLVEPSQLGRTLTHEHLTMTFDSFYCPPPPCHEVTSKEPIMMKNLFWI QKNPYSHRENLQLNQELTDVLINEILHGADGTSIKCGVIGEIGCSWPLTDSERKILEATA HAQAQLGCPVIIHPGRNPGAPFQIIRILQEAGADISKTVMSHLDRTIFDKKELLEFAQLG CYLEYDLFGTELLNYQLSPDIDMPDDNKRIRRVHFLVDEGYEDRILMAHDIHTKHRLMKY GGHGYSHILTNIV >ENSMUSP00000117009.1 pep:known chromosome:GRCm38:2:12924092:13003455:1 gene:ENSMUSG00000026730.12 transcript:ENSMUST00000134794.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pter description:phosphotriesterase related [Source:MGI Symbol;Acc:MGI:107372] MSSLSGKVQTVLGLVEPSQLGRTLTHEHLTMTFDSFYCPPPPCHEVTSKEPIMMKNLFWI QKNPYSHRENLQLNQEVGAIREELLYFKAKGGGALVENTTTGLSRDVHTLKWLAEQTGVH IIAGAGFYVDATHSAATRAMSVEQLTDVLINEILHGADGTSIKCGVIGEIGCSWPLTDSE RKILEATAHAQAQLGCPVIIHPGRNPGAPFQIIRILQEAGADISKTVMSHLDRTIFDKKE LLEFAQLGCYLEYDLFGTELLNYQLSPDIDMPDDNKRIRRVHFLVDEGYEDRILMAHDIH TKHRLMKYGGHGYSHILTNIVPKMLLRGLTERVLDKILIENPKQWLTFK >ENSMUSP00000028063.4 pep:known chromosome:GRCm38:2:12924100:13001614:1 gene:ENSMUSG00000026730.12 transcript:ENSMUST00000028063.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pter description:phosphotriesterase related [Source:MGI Symbol;Acc:MGI:107372] MSSLSGKVQTVLGLVEPSQLGRTLTHEHLTMTFDSFYCPPPPCHEVTSKEPIMMKNLFWI QKNPYSHRENLQLNQEVGAIREELLYFKAKGGGALVENTTTGLSRDVHTLKWLAEQTGVH IIAGAGFYVDATHSAATRAMSVEQLTDVLINEILHGADGTSIKCGVIGEIGCSWPLTDSE RKILEATAHAQAQLGCPVIIHPGRNPGAPFQIIRILQEAGADISKTVMSHLDRTIFDKKE LLEFAQLGCYLEYDLFGSIF >ENSMUSP00000107297.4 pep:known chromosome:GRCm38:5:122731170:122779382:-1 gene:ENSMUSG00000029471.13 transcript:ENSMUST00000111668.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkk2 description:calcium/calmodulin-dependent protein kinase kinase 2, beta [Source:MGI Symbol;Acc:MGI:2444812] MSSCVSSQPTSDRVAPQDELGSGGGSREGQKPCEALRGLSSLSIHLGMESFIVVTECEPG RGVDLNLARDQPPEADGQELPLEASDPESRSPLSGRKMSLQEPSQGGPASSSNSLDMNGR CICPSLSYSPASSPQSSPRMPRRPTVESHHVSITGLQDCVQLNQYTLKDEIGKGSYGVVK LAYNENDNTYYAMKVLSKKKLIRQAGFPRRPPPRGARPAPGGCIQPRGPIEQVYQEIAIL KKLDHPNVVKLVEVLDDPNEDHLYMVFELVNQGPVMEVPTLKPLSEDQARFYFQDLIKGI EYLHYQKIIHRDIKPSNLLVGEDGHIKIADFGVSNEFKGSDALLSNTVGTPAFMAPESLS ETRKIFSGKALDVWAMGVTLYCFVFGQCPFMDERIMCLHSKIKSQALEFPDQPDIAEDLK DLITRMLDKNPESRIVVPEIKLHPWVTRHGAEPLPSEDENCTLVEVTEEEVENSVKHIPS LATVILVKTMIRKRSFGNPFEGSRREERSLSAPGNLLTKKPTREWEPLSEPKEARQRRQP PGPRAGPCGGGGSALVKGGPCVESWGAPAPGSPPRMPPLQPEEVMEPE >ENSMUSP00000143732.1 pep:known chromosome:GRCm38:5:122733188:122779333:-1 gene:ENSMUSG00000029471.13 transcript:ENSMUST00000200109.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkk2 description:calcium/calmodulin-dependent protein kinase kinase 2, beta [Source:MGI Symbol;Acc:MGI:2444812] MSSCVSSQPTSDRVAPQDELGSGGGSREGQKPCEALRGLSSLSIHLGMESFIVVTECEPG RGVDLNLARDQPPEADGQELPLEASDPESRSPLSGRKMSLQEPSQGGPASSSNSLDMNGR CICPSLSYSPASSPQSSPRMPRRPTVESHHVSITGLQDCVQLNQYTLKDEIGKGSYGVVK LAYNENDNTYYAMKVLSKKKLIRQAGFPRRPPPRGARPAPGGCIQPRGPIEQVYQEIAIL KKLDHPNVVKLVEVLDDPNEDHLYMVFELVNQGPVMEVPTLKPLSEDQARFYFQDLIKGI EYLHYQKIIHRDIKPSNLLVGEDGHIKIADFGVSNEFKGSDALLSNTVGTPAFMAPESLS ETRKIFSGKALDVWAMGVTLYCFVFGQCPFMDERIMCLHSKIKSQALEFPDQPDIAEDLK DLITRMLDKNPESRIVVPEIKLHPWVTRHGAEPLPSEDENCTLVEVTEEEVENSVKHIPS LATVILVKTMIRKRSFGNPFEGSRREERSLSAPGNLLTKQGSEDSPRGPEPAPVGEEEVL L >ENSMUSP00000142896.1 pep:known chromosome:GRCm38:5:122733204:122753842:-1 gene:ENSMUSG00000029471.13 transcript:ENSMUST00000198029.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkk2 description:calcium/calmodulin-dependent protein kinase kinase 2, beta [Source:MGI Symbol;Acc:MGI:2444812] LPAGRPPPRGARPAPGGCIQPRGPIEQVYQEIAILKKLDHPNVVKLVEVLDDPNEDHLYM VFELVNQGPVMEVPTLKPLSEDQARFYFQDLIKGIEYLHYQKIIHRDIKPSNLLVGEDGH IKIADFGVSNEFKGSDALLSNTVGTPAFMAPESLSETRKIFSGKALDVWAMGVTLYCFVF GQCPFMDERIMCLHSKIKSQALEFPDQPDIAEDLKDLITRMLDKNPESRIVVPEIKLHPW VTRHGAEPLPSEDENCTLVEVTEEEVENSVKHIPSLATVILVKTMIRKRSFGNPFEGSRR EERSLSAPGNLLTKKPTREWEPLSEPKVNACLPACAIASPPLGPGGGQLQSKHVGISSRQ DVPSAGAAVPGSLRASGFPARGIQGLGSHGVSCMRAGLRCMALHPECLRTYPGSSGPLDG >ENSMUSP00000142717.1 pep:known chromosome:GRCm38:5:122733828:122744092:-1 gene:ENSMUSG00000029471.13 transcript:ENSMUST00000197373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkk2 description:calcium/calmodulin-dependent protein kinase kinase 2, beta [Source:MGI Symbol;Acc:MGI:2444812] KIKSQALEFPDQPDIAEDLKDLITRMLDKNPESRIVVPEIKILVKTMIRKRSFGNPFEGS RREERSLSAPGNLLTKKPTREWEPLSEPKEARQRRQPPGPRAGPCGGGGSALVKGGPCVE SWGAPAPGSPPRMPPLQPEEVMEPE >ENSMUSP00000142751.1 pep:known chromosome:GRCm38:5:122746443:122763865:-1 gene:ENSMUSG00000029471.13 transcript:ENSMUST00000199179.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Camkk2 description:calcium/calmodulin-dependent protein kinase kinase 2, beta [Source:MGI Symbol;Acc:MGI:2444812] XYSPASSPQSSPRMPRRPTVESHHVSITGLQTLERRRRRDNRTACS >ENSMUSP00000143162.1 pep:known chromosome:GRCm38:5:122753808:122779281:-1 gene:ENSMUSG00000029471.13 transcript:ENSMUST00000198257.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkk2 description:calcium/calmodulin-dependent protein kinase kinase 2, beta [Source:MGI Symbol;Acc:MGI:2444812] MSLQEPSQGGPASSSNSLDMNGRCICPSLSYSPASSPQSSPRMPRRPTVESHHVSITGLQ DCVQLNQYTLKDEIGKGSYGVVKLAYNENDNTYYAMKVLSKKKLIRQAGFPRRPPPRGAR >ENSMUSP00000142812.1 pep:known chromosome:GRCm38:5:122756276:122779325:-1 gene:ENSMUSG00000029471.13 transcript:ENSMUST00000196742.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkk2 description:calcium/calmodulin-dependent protein kinase kinase 2, beta [Source:MGI Symbol;Acc:MGI:2444812] MSSCVSSQPTSDRVAPQDELGSGGGSREGQKPCEALRGLSSLSIHLGMESFIVVTECEPG RGVDLNLARDQPPEADGQELPLEASDPESRSPLSGRKMSLQEPSQGGPASSSNSLDMNGR CICPSLSYSPASSPQSSPRMPRRPTVESHHVSITGLQDCVQLNQYTLKDEIGKGSYGVVK LAYNENDNTYYAMKVLSKKKLIRQAGFPREFCAPLF >ENSMUSP00000143730.1 pep:known chromosome:GRCm38:5:122764006:122779315:-1 gene:ENSMUSG00000029471.13 transcript:ENSMUST00000199599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkk2 description:calcium/calmodulin-dependent protein kinase kinase 2, beta [Source:MGI Symbol;Acc:MGI:2444812] MSSCVSSQPTSDRVAPQDELGSGGGSREGQKPCEALRGLSSLSIHLGMESFIVVTECEPG RGVDLNLARDQPPEADGQEL >ENSMUSP00000070629.1 pep:known chromosome:GRCm38:14:63046991:63048610:1 gene:ENSMUSG00000054763.9 transcript:ENSMUST00000067990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb42 description:defensin beta 42 [Source:MGI Symbol;Acc:MGI:3033850] MNLRLSCLLFILVTSLPAGRCSIGNKGISFETCTAIEGLCFFGCKLGWVWIAYCNNIMSC CRKDTDFVLPQTKGI >ENSMUSP00000106834.1 pep:known chromosome:GRCm38:14:63047094:63048558:1 gene:ENSMUSG00000054763.9 transcript:ENSMUST00000111203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb42 description:defensin beta 42 [Source:MGI Symbol;Acc:MGI:3033850] MNLRLSCLLFILVTSLPAGRCSIGNKGISFETCTAIEGLCFFGCKLGWVWIAYCNNIMSC CRKDTDFVLPQTKGI >ENSMUSP00000140494.1 pep:known chromosome:GRCm38:14:63048229:63048575:1 gene:ENSMUSG00000054763.9 transcript:ENSMUST00000186438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb42 description:defensin beta 42 [Source:MGI Symbol;Acc:MGI:3033850] XRCSIGNKGISFETCTAIEGLCFFGCI >ENSMUSP00000021148.6 pep:known chromosome:GRCm38:11:72607283:72686481:1 gene:ENSMUSG00000020794.13 transcript:ENSMUST00000021148.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2g1 description:ubiquitin-conjugating enzyme E2G 1 [Source:MGI Symbol;Acc:MGI:1914378] MTELQSALLLRRQLAELNKNPVEGFSAGLIDDNDLYRWEVLIIGPPDTLYEGGVFKAHLT FPKDYPLRPPKMKFITEIWHPNVDKNGDVCISILHEPGEDKYGYEKPEERWLPIHTVETI MISVISMLADPNGDSPANVDAAKEWREDRNGEFKRKVARCVRKSQETAFE >ENSMUSP00000117588.2 pep:known chromosome:GRCm38:11:72607283:72686481:1 gene:ENSMUSG00000020794.13 transcript:ENSMUST00000138247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2g1 description:ubiquitin-conjugating enzyme E2G 1 [Source:MGI Symbol;Acc:MGI:1914378] MKFITEIWHPNVDKNGDVCISILHEPGEDKYGYEKPEERWLPIHTVETIMISVISMLADP NGDSPANVDAAKEWREDRNGEFKRKVARCVRKSQETAFE >ENSMUSP00000072134.5 pep:known chromosome:GRCm38:3:94974731:95006843:1 gene:ENSMUSG00000038861.14 transcript:ENSMUST00000072287.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4kb description:phosphatidylinositol 4-kinase, catalytic, beta polypeptide [Source:MGI Symbol;Acc:MGI:1334433] MGDMVVEPATLKPTSEPTPSPSGNNGGSLLSVITEGVGELSVIDPEVAQKACQEVLEKVK LLHGGVAISSKGTPLELVNGDGVDNEIRCLDDPPAQIREEEDEMGAGVASGTAKGARRRR QNNSAKQSWLLRLFESKLFDISMAISYLYNSKEPGVQAYIGNRLFYFRNEDVDFYLPQLL NMYIHMDEDVGDAIKPYIVHRCRQSINFSLQCALLLGAYSSDMHISTQRHSRGTKLRKLI LSDELKPAHRKRELPTLSPAPDTGLSPSKRTHQRSKSDATASISLSSNLKRTASNPKVEN EDEPVRLAPEREFIKSLMAIGKRLATLPTKEQKTQRLISELSLLNHKLPARVWLPTAGFD HHVVRVPHTQAVVLNSKDKAPYLIYVEVLECENFDTTSVPARIPENRIRSTRSVENLPEC GITHEQRAGSFSTVPNYDNDDEAWSVDDIGELQVELPEVHTNSCDNISQFSVDSITSQES KEPVFIAAGDIRRRLSEQLAHTPTAFKRDPEDPSAVALKEPWQEKVRRIREGSPYGHLPN WRLLSVIVKCGDDLRQELLAFQVLKQLQSIWEQERVPLWIKPYKILVISADSGMIEPVVN AVSIHQVKKQSQLSLLDYFLQEHGSYTTEAFLSAQRNFVQSCAGYCLVCYLLQVKDRHNG NILLDAEGHIIHIDFGFILSSSPRNLGFETSAFKLTTEFVDVMGGLNGDMFNYYKMLMLQ GLIAARKHMDKVVQIVEIMQQGSQLPCFHGSSTIRNLKERFHMSMTEEQLQLLVEQMVDG SMRSITTKLYDGFQYLTNGIM >ENSMUSP00000132150.1 pep:known chromosome:GRCm38:3:94974831:95006150:1 gene:ENSMUSG00000038861.14 transcript:ENSMUST00000167008.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4kb description:phosphatidylinositol 4-kinase, catalytic, beta polypeptide [Source:MGI Symbol;Acc:MGI:1334433] MAIGKRLATLPTKEQKTQRLISELSLLNHKLPARVWLPTAGFDHHVVRVPHTQAVVLNSK DKAPYLIYVEVLECENFDTTSVPARIPENRIRSTRSVENLPECGITHEQRAGSFSTVPNY DNDDEAWSVDDIGELQVELPEVHTNSCDNISQFSVDSITSQESKEPVFIAAGDIRRRLSE QLAHTPTAFKRDPEDPSAVALKEPWQEKVRRIREGSPYGHLPNWRLLSVIVKCGDDLRQE LLAFQVLKQLQSIWEQERVPLWIKPYKILVISADSGMIEPVVNAVSIHQVKKQSQLSLLD YFLQEHGSYTTEAFLSAQRNFVQSCAGYCLVCYLLQVKDRHNGNILLDAEGHIIHIDFGF ILSSSPRNLGFETSAFKLTTEFVDVMGGLNGDMFNYYKMLMLQGLIAARKHMDKVVQIVE IMQQGSQLPCFHGSSTIRNLKERFHMSMTEEQLQLLVEQMVDGSMRSITTKLYDGFQYLT NGIM >ENSMUSP00000102872.2 pep:known chromosome:GRCm38:3:94974876:95006146:1 gene:ENSMUSG00000038861.14 transcript:ENSMUST00000107251.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4kb description:phosphatidylinositol 4-kinase, catalytic, beta polypeptide [Source:MGI Symbol;Acc:MGI:1334433] MGDMVVEPATLKPTSEPTPSPSGNNGGSLLSVITEGVGELSVIDPEVAQKACQEVLEKVK LLHGGVAISSKGTPLELVNGDGVDNEIRCLDDPPAQIREEEDEMGAGVASGTAKGARRRR QNNSAKQSWLLRLFESKLFDISMAISYLYNSKEPGVQAYIGNRLFYFRNEDVDFYLPQLL NMYIHMDEDVGDAIKPYIVHRCRQSINFSLQCALLLGAYSSDMHISTQRHSRGTKLRKLI LSDELKPAHRKRELPTLSPAPDTGLSPSKRTHQRSKSDATASISLSSNLKRTASNPKVEN EDEELSSSTESIDNSFSSPVRLAPEREFIKSLMAIGKRLATLPTKEQKTQRLISELSLLN HKLPARVWLPTAGFDHHVVRVPHTQAVVLNSKDKAPYLIYVEVLECENFDTTSVPARIPE NRIRSTRSVENLPECGITHEQRAGSFSTVPNYDNDDEAWSVDDIGELQVELPEVHTNSCD NISQFSVDSITSQESKEPVFIAAGDIRRRLSEQLAHTPTAFKRDPEDPSAVALKEPWQEK VRRIREGSPYGHLPNWRLLSVIVKCGDDLRQELLAFQVLKQLQSIWEQERVPLWIKPYKI LVISADSGMIEPVVNAVSIHQVKKQSQLSLLDYFLQEHGSYTTEAFLSAQRNFVQSCAGY CLVCYLLQVKDRHNGNILLDAEGHIIHIDFGFILSSSPRNLGFETSAFKLTTEFVDVMGG LNGDMFNYYKMLMLQGLIAARKHMDKVVQIVEIMQQGSQLPCFHGSSTIRNLKERFHMSM TEEQLQLLVEQMVDGSMRSITTKLYDGFQYLTNGIM >ENSMUSP00000121965.1 pep:known chromosome:GRCm38:3:94976117:95006781:1 gene:ENSMUSG00000038861.14 transcript:ENSMUST00000125476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4kb description:phosphatidylinositol 4-kinase, catalytic, beta polypeptide [Source:MGI Symbol;Acc:MGI:1334433] MKFLEARSLAVAMGDMVVEPATLKPTSEPTPSPSGNNGGSLLSVITEGVGELSVIDPEVA QKACQEVLEKVKLLHGGVAISSKGTPLELVNGDGVDNEIRCLDDPPAQIREEEDEMGAGV ASGTAKGARRRRQNNSAKQSWLLRLFESKLFDISMAISYLYNSKEPGVQAYIGNRLFYFR NEDVDFYLPQLLNMYIHMDEDVGDAIKPYIVHRCRQSINFSLQCALLLGAYSSDMHISTQ RHSRGTKLRKLILSDELKPAHRKRELPTLSPAPDTGLSPSKRTHQRSKSDATASISLSSN LKRTASNPKVENEDEELSSSTESIDNSFSSPVRLAPEREFIKSLMAIGKRLATLPTKEQK TQRLISELSLLNHKLPARVWLPTAGFDHHVVRVPHTQAVVLNSKDKAPYLIYVEVLECEN FDTTSVPARIPENRIRSTRSVENLPECGITHEQRAGSFSTVPNYDNDDEAWSVDDIGELQ VELPEVHTNSCDNISQFSVDSITSQESKEPVFIAAGDIRRRLSEQLAHTPTAFKRDPEDP SAVALKEPWQEKVRRIREGSPYGHLPNWRLLSVIVKCGDDLRQELLAFQVLKQLQSIWEQ ERVPLWIKPYKILVISADSGMIEPVVNAVSIHQVKKQSQLSLLDYFLQEHGSYTTEAFLS AQRNFVQSCAGYCLVCYLLQVKDRHNGNILLDAEGHIIHIDFGFILSSSPRNLGFETSAF KLTTEFVDVMGGLNGDMFNYYKMLMLQGLIAARKHMDKVVQIVEIMQQGSQLPCFHGSST IRNLKERFHMSMTEEQLQLLVEQMVDGSMRSITTKLYDGFQYLTNGIM >ENSMUSP00000119833.2 pep:known chromosome:GRCm38:3:94976144:94995944:1 gene:ENSMUSG00000038861.14 transcript:ENSMUST00000138209.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4kb description:phosphatidylinositol 4-kinase, catalytic, beta polypeptide [Source:MGI Symbol;Acc:MGI:1334433] MAIGKRLATLPTKEQKTQRLISELSLLNHKLPARVWLPTAGFDHHVVRVPHTQAVVLNSK DKAPYLIYVEVLECENFDTTSVPARIPENRIRSTRSVENLPECGITHEQRAGSFSTVPNY DNDDEAWSVDDIGELQVELPEVHTNSCDNISQFSVDSITSQESKEPVFIAAGDIR >ENSMUSP00000123529.1 pep:known chromosome:GRCm38:3:94998929:95006179:1 gene:ENSMUSG00000038861.14 transcript:ENSMUST00000133297.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4kb description:phosphatidylinositol 4-kinase, catalytic, beta polypeptide [Source:MGI Symbol;Acc:MGI:1334433] EPVVNAVSIHQVKKQSQLSLLDYFLQEHGSYTTEAFLSAQRNFVQSCAGYCLVCYLLQVK DRHNGNILLDAEGHIIHIDFGFILSSSPRNLGFETSAFKLTTEFVDVMGGLNGDMFNYYK MLMLQGLIAARKHMDKVVQIVEIMQQGCRRCSGASLSGPVVTVAQVICSQLPCFHGSSTI RNLKERFHMSMTEEQLQLLVEQMVDGSMRSITTKLYDGFQYLTNGIM >ENSMUSP00000124476.1 pep:known chromosome:GRCm38:7:138208974:138397728:-1 gene:ENSMUSG00000091002.2 transcript:ENSMUST00000160436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcerg1l description:transcription elongation regulator 1-like [Source:MGI Symbol;Acc:MGI:1917821] MLAGARLQRRRRQLQQPQQPRWRQPLPWPMNADPPPPPWVWMVPGTAGLLRLGAGVAPPP VLLASAQPASAPLLPRLPGWPAPGEPLLPLLPLSSAPDHAAVHHYPLLHGQWLFGGHSPS IGLAPSSAVELVPLFPHVCPSTLSPPVGKNWIDKRMPNYKIFFNNPFALDSTWMYPEESV LFHSHEKTHFLANQVTPSLSRPTPASRPLPTVVLAAQPIPGGCHDSLKPISRGPTITITA ATAAAAATAMVSVDPEELRGLSPSIMQPCHFLTLTPIRIPFRTAPFSDKGKEHSRAPRSP ALMLRAPERKSLVGDKEDKEPPPILVRGEETAAKGNKPVASTPVPGSPWCVVWTGDDRVF FFNPTMQLSVWEKPVDLQNRGDLKRIIEDPPHKRKLEASATESSDGSSSDDGSEDQSVKT KRNRTESCEGPGPEDTHQVDKGPQTPPPQILLPLEERVTHFRDMLLERGVSAFSTWEKEL HKIVFDPRYLLLNSEERKQIFEQFVKTRIKEEYKERKSKLLLAKEEFKKLLEESKVSPRT TFKEFAEKHGRDQRFRLVQKRKDQEHFFNQFILILKKRDKENRLRLRKMR >ENSMUSP00000145912.1 pep:known chromosome:GRCm38:7:123124177:123193987:1 gene:ENSMUSG00000052707.8 transcript:ENSMUST00000205514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc6a description:trinucleotide repeat containing 6a [Source:MGI Symbol;Acc:MGI:2385292] MRELEAKATKDVERNLSRDLVQEEEQLMEEKKKKKDDKKKKEAAQKKKTQLLALILRIAL FNIFSAKKR >ENSMUSP00000091595.5 pep:known chromosome:GRCm38:7:123124181:123195296:1 gene:ENSMUSG00000052707.8 transcript:ENSMUST00000094053.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc6a description:trinucleotide repeat containing 6a [Source:MGI Symbol;Acc:MGI:2385292] MRELEAKATKDVERNLSRDLVQEEEQLMEEKKKKKDDKKKKEAAQKKATEQKIKVPEQIK PSVSQPQPANSDNGTSTATSTNNNAKRATASNQQPPPPQQQQPQQEQQQQQPQALPRYPR EVPPRFRHQEHKQLLKRGQHFPVIAANLGSAVKVLNSQSESSAVTNQQPQNNGEVQNSKS QSDINHNTSGSHYENCQRGPVSSTSDCSTSCKNAVNDLLEKEAWPSAPGSDPELAPECID ADSASNSESERNITVMASGNTGGEKDGLRNSTGLGSQSKFVVGSSSNNVGHGSSTGPWGF PHGALISTCQVSVDAPESKPESSNNRMNAWGTVSSSSNGGLNPSTLNSASNHGAWPVLEN NGLALKGPVGSGSSGINIQCSTIGQMPNNQNINSKVSGSSTHGTWGSLQETCEPEVSGTQ KVSFSGQPQNITTETTGPNNTTNFMTSSLPNSGSVQNNELPTSNPGAWRVSTMNHPQIQA PSVMNGTSLSHLSNGESKTGGSYGTTWGAYGSNYSGDKCAGPNGQANGDTVNATLMQPGI NGPMGTNFQVNTNKGGGVWEPGTVNSQSSPWGSGNGANSGGSRRGWGSPAQNTGTGLSSV EWNKLPSNQHSNDSANGNGKKLTNGWKSTEEDDQGSATSQTNEQNSVWAKAGGTVESDGS AESTGRLEEKVTGESQSRDRRKIDQHTLLQSIVNRTDLDPRVLSNSGWGQTPIKQNTAWD TETSPRGERKTDNGTEAWGSSATQTFNSGACTDKTSPNSNDTSSVSGWGDPKPTLRWGDS KGSNCQGGWEDDSAATGMIKSNQWGGCKEDKSTWNDSQKSKQGWGDGQKSSQGWSISAGD NWGESSRSNHWGEANKKSSSGGSDSDRSISGWNELGKTSSFTWGNNINPNNSSGWDESSK PNSSQGWGDPPKCNQSLGWGDSSKPVSSPDWNKQQDIVGSWGIPPATSKPPGTGWLGGPI PAPAKEEEPTGWEEPSPESIRRKMEIDDGTSAWGDPSKYNYKNVNMWNKNIPEASGRSDQ QAQMHRLLPAASAVSSKETSSGSGWGEPWAEPSTPATTVDNGTSAWGKPIDSGPSWGEPI TAASNASTWGSSSVGPQSLSKSGPKSMQDGWCGDDMPLPGSRPTGWEEEEDVEIGMWNSN SSQELNSSLNWPPYTKKMSSKGLSGKKRRRERGMMKGGNKQEDAWINPFVKQFSNISFSR DSPEENVQSNKMDLSGGMLQDKRMEIDKHSLNIGDYNRTVGKGPGSRPQISKESSMERNP YFDKNGNPNMFGVGNTAAQPRGMQQPPAQPLSSSQPNLRAQVPPPLLSPQVPVSLLKYAP NNGGLNPLFGPQQVAMLNQLSQLNQLSQISQLQRLLAQQQRAQSQRSAPSANRQQQDQQG RPLSVQQQMMQQSRQLDPSLLVKQQTPPSQQPLHQPAMKSFLDNVMPHTTPELQKGPSPV NAFSNFPIGLNSNLNVNMDMNSIKEPQSRLRKWTTVDSMSVNTSLDQNSSKHGAISSGFR LEESPFVPYDFMNSSTSPASPPGSIGDGWPRAKSPNGSSSVNWPPEFRPGEPWKGYPNID PETDPYVTPGSVINSLSINTVREVDHLRDRNSGSSSSLNTTLPSTSAWSSIRASNYNVPL SSTAQSTSARNSDSKLTWSPGSVTNTSLAHELWKVPLPPKNITAPSRPPPGLTGQKPPLS TWDNSPLRVGGGWGNSDARYTPGSSWGESSSGRITNWLVLKNLTPQIDGSTLRTLCMQHG PLITFHLNLPHGNALVRYSSKEEVVKAQKSLHMCVLGNTTILAEFASEEEISRFFAQSQS LTPSPGWQSLGSSQSRLGSLDCSHSFSSRTDVNHWNGAGLSGANCGDLHGTSLWGTPHYS TSLWGPPSSDPRGISSPSPINAFLSVDHLGGGGESM >ENSMUSP00000145963.1 pep:known chromosome:GRCm38:7:123124256:123177692:1 gene:ENSMUSG00000052707.8 transcript:ENSMUST00000206888.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnrc6a description:trinucleotide repeat containing 6a [Source:MGI Symbol;Acc:MGI:2385292] MRELEAKATKDVERNLSRDLVQEEEQLMEEKKKKKDDKKKKEAAQKKCQNR >ENSMUSP00000146266.1 pep:known chromosome:GRCm38:7:123170631:123193978:1 gene:ENSMUSG00000052707.8 transcript:ENSMUST00000205760.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc6a description:trinucleotide repeat containing 6a [Source:MGI Symbol;Acc:MGI:2385292] XQVNTNKGGGVWEPGTVNSQSSPWGSGNGANSGGSRRGWGSPAQNTGTGLSSVEWNKLPS NQHSNDSANGNGKKLTNGWKSTEEDDQGSATSQTNEQNSVWAKAGGTVESDGSAESTGRL EEKVTGESQSRDRRKIDQHTLLQSIVNRTDLDPRVLSNSGWGQTPIKQNTAWDTETSPRG ERKTDNGTEAWGSSATQTFNSGACTDKTSPNSNDTSSVSGWGDPKPTLRWGDSKGSNCQG GWEDDSAATGMIKSNQWGGCKEDKSTWNDSQKSKQGWGDGQKSSQGWSISAGDNWGESSR SNHWGEANKKSSSGGSDSDRSISGWNELGKTSSFTWGNNINPNNSSGWDESSKPNSSQGW GDPPKCNQSLGWGDSSKPVSSPDWNKQQDIVGSWGIPPATSKPPGTGWLGGPIPAPAKEE EPTGWEEPSPESIRRKMEIDDGTSAWGDPSKYNYKNVNMWNKNIPEASGRSDQQAQMHRL LPAASAVSSKETSSGSGWGEPWAEPSTPATTVDNGTSAWGKPIDSGPSWGEPITAASNAS TWGSSSVGPQSLSKSGPKSMQDGWCGDDMPLPGSRPTGWEEEEDVEIGMWNSNSSQELNS SLNWPPYTKKMSSKGLSGKKRRRERGMMKGGNKQEDAWINPFVKQFSNISFSRDSPEENV QSNKMDLSGGMLQDKRMEIDKHSLNIGDYNRTVGKGPGSRPQISKESSMERNPYFDKDGI VADESQNMQFMSSQSMKLPPSNSALPNQALGSIAGLGTQNLNSVRQNGNPNMFGVGNTAA QPRGMQQPPAQPLSSSQPNLRAQVPPPLLSPQVPVSLLKYAPNNGGLNPLFGPQQVAMLN QLSQLNQLSQISQLQRLLAQQQRAQSQRSAPSANRQQQDQQGRPLSVQQQMMQQSRQLDP SLLVKQQTPPSQQPLHQPAMKSFLDNVMPHTTPELQKGPSPVNAFSNFPIGLNSNLNVNM DMNSIKEPQSRLRKWTTVDSMSVNTSLDQNSSKHGAISSGFRLEESPFVPYDFMNSSTSP ASPPGSIGDGWPRAKSPNGSSSVNWPPEFRPGEPWKGYPNIDPETDPYVTPGSVINSLSI NTVREVDHLRDRNSGSSSSLNTTLPSTSAWSSIRASNYNVPLSSTAQSTSARNSDSKLTW SPGSVTNTSLAHELWKVPLPPKNITAPSRPPPGLTGQKPPLSTWDNSPLRVGGGWGNSDA RYTPGSSWGESSSGRITNWLVLKNLTPQIDGSTLRTLCMQHGPLITFHLNLPHGNALVRY SSKEEVVKAQKSLHMCVLGNTTILAEFASEEEISRFFAQSQSLTPSPGWQSLGSSQSRLG SLDCSHSFSSRTDVNHWNGAGLSGANCGDLHGTSLWGTPHYSTSLWGPPSSDPRGISSPS PINAFLSVDHLGGGGESM >ENSMUSP00000146300.1 pep:known chromosome:GRCm38:7:123174215:123180621:1 gene:ENSMUSG00000052707.8 transcript:ENSMUST00000206014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc6a description:trinucleotide repeat containing 6a [Source:MGI Symbol;Acc:MGI:2385292] EEEEDVEIGMWNSNSSQELNSSLNWPPYTKKMSSKGMMKGGNKQEDAWINPFVKQFSNIS FSRDSPEENVQSNKMDLSGGMLQDKRMEIDKHSLNIGDYNRTVGKGPGSRPQISKESSME RNPYFDKNGNPNMFGVGNTAAQPRGMQQPPAQPL >ENSMUSP00000022855.5 pep:known chromosome:GRCm38:15:10474779:10485947:-1 gene:ENSMUSG00000022247.12 transcript:ENSMUST00000022855.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brix1 description:BRX1, biogenesis of ribosomes [Source:MGI Symbol;Acc:MGI:1915082] MAATKRKRRGGLEVQAKKPKRSSKDAGQPAKQADVAKEAEEENRDRIPGPVCKGKWKNKE RILIFSSRGINFRTRHLMQDLRMLMPHSKADTKMDRKDKLFVINEVCEMKNCNKCIYFEA KKKQDLYMWLSNSPHGPSAKFLVQNIHTLAELKMTGNCLKGSRPLLSFDPAFDDLPHYAL LKEFLIQIFSTPRYHPKSQPFVDHVFTFTILDNRIWFRNFQIIEEDAALVEIGPRFVLNL IKIFQGSFGGPTLYENPHYQSPNMHRRVIRSITAAKYRERQQVKDVQKLRKKEPKTILPH DPTADVFVIPAEEKPVEIQWVKPEPKVDLKARKRRIYKRHRKLQQKMSRGSAK >ENSMUSP00000132283.1 pep:known chromosome:GRCm38:15:10478767:10485385:-1 gene:ENSMUSG00000022247.12 transcript:ENSMUST00000168690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brix1 description:BRX1, biogenesis of ribosomes [Source:MGI Symbol;Acc:MGI:1915082] XFGPYHIGLPKYGKWKNKERILIFSSRGINFRTRHLMQDLRMLMPHSKADTKMDRKDKLF VINEVCEMKNCNKCIYFEAKKKQDLYMWLSNSPHGPSAKFLVQNIHTLAELKMTGNCLKG SRPLLSFDPAFDDLPHYALLKEFLIQI >ENSMUSP00000127437.1 pep:known chromosome:GRCm38:15:10479138:10485909:-1 gene:ENSMUSG00000022247.12 transcript:ENSMUST00000169050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brix1 description:BRX1, biogenesis of ribosomes [Source:MGI Symbol;Acc:MGI:1915082] MAATKRKRRGGLEVQAKKPKRSSKDAGQPAKQADVAKEAEEENRDRIPGPVCKSLFGVTI NSFCFGPYHIGLPKGINFRTRHLMQDLRMLMPHSKADTKMDRKDKLFVINEVCEMKNCNK CIYFEAKKKQDLYMWLSNSPHGPSAKFLVQNIHT >ENSMUSP00000130602.1 pep:known chromosome:GRCm38:15:10479580:10485887:-1 gene:ENSMUSG00000022247.12 transcript:ENSMUST00000168761.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brix1 description:BRX1, biogenesis of ribosomes [Source:MGI Symbol;Acc:MGI:1915082] ATKRKRRGGLEVQAKKPKRSSKDAGQPAKQADVAKEAEEENRDRIPGPVCKSLFGVTINS FCFGPYHIGLPKANGKIRNGSSSFLPEE >ENSMUSP00000120387.1 pep:known chromosome:GRCm38:7:105634203:105640416:-1 gene:ENSMUSG00000030881.14 transcript:ENSMUST00000131446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfip2 description:ADP-ribosylation factor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924182] MTDGILGKAATMEIPIHGNGEAGQLPEDDGLEQDLQQVMVSGPNLNETSIVSGGYGGSGD GLIPTGSGRHPSHSTSPSGPGDEVARGIAGEKFDIVKKWGINTYKCTKQLLSERFGRGSR TVDLELELQIELLRETKRKYESVLQLGRALTAHLYSLLQTQHALGDAFADLSQKSPELQE EFGYNAETQKLLCKNGETLLGAVNFFVSSINTLVTKTMEDTLMTVKQYEAARLEYDAYRT DLEELSLGPRDAGTRGRLESAQATFQTHRDKYEKLRGDVAIKLKFLEENKIKVMHKQLLL FHNAVSAYFAGNQKQLEQTLQQFNIKLRPPGAEKPSWLEEQ >ENSMUSP00000033171.8 pep:known chromosome:GRCm38:7:105635621:105640314:-1 gene:ENSMUSG00000030881.14 transcript:ENSMUST00000033171.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arfip2 description:ADP-ribosylation factor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924182] MTDGILGKAATMEIPIHGNGEAGQLPEDDGLEQDLQQVMVSGPNLNETSIVSGGYGGSGD GLIPTENLVL >ENSMUSP00000118616.1 pep:known chromosome:GRCm38:7:105635656:105640337:-1 gene:ENSMUSG00000030881.14 transcript:ENSMUST00000137931.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arfip2 description:ADP-ribosylation factor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924182] MTDGILGKAATMEIPIHGNGEAGQLPEDDGLEQDLQQVMVSGPNLNETSIVSGGYGGSGD GLIPTGSGRHPSHSTSPSGPGDEVARGIAGEKFDIVKKWGINTYKCTKQLLSERFGRGSR TVDLELELQIELLRETKRKRNLAIMQRHKSCCARMGRRC >ENSMUSP00000081840.2 pep:known chromosome:GRCm38:7:105635657:105640351:-1 gene:ENSMUSG00000030881.14 transcript:ENSMUST00000084782.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfip2 description:ADP-ribosylation factor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924182] MTDGILGKAATMEIPIHGNGEAGQLPEDDGLEQDLQQVMVSGPNLNETSIVSGGYGGSGD GLIPTGSGRHPSHSTSPSGPGDEVARGIAGEKFDIVKKWGINTYKCTKQLLSERFGRGSR TVDLELELQIELLRETKRKYESVLQLGRALTAHLYSLLQTQHALGDAFADLSQKSPELQE EFGYNAETQKLLCKNGETLLGAVNFFVSSINTLVTKTMEDTLMTVKQYEAARLEYDAYRT DLEELSLGPRDAGTRGRLESAQATFQTHRDKYEKLRGDVAIKLKFLEENKIKVMHKQLLL FHNAVSAYFAGNQKQLEQTLQQFNIKLRPPGAEKPSWLEEQ >ENSMUSP00000121649.1 pep:known chromosome:GRCm38:7:105637188:105640308:-1 gene:ENSMUSG00000030881.14 transcript:ENSMUST00000133519.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfip2 description:ADP-ribosylation factor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924182] MTDGILGKAATMEIPIHGNGEAGQLPEDDGLEQDLQQVMVSGPNLNETSIVSGGYGGSGD GLIPTGSGRHPSHSTSPSGPGDEVARGIAGEKFDIVKKWGINTYKCTKQLLSERFGRGSR TVDLELELQIELLRETKRKYESVLQLGRALTAHLYSLLQTQHALGDAFADLSQKSPELQE EFGYNAETQKLLCKNGETLLGAVNFFVSS >ENSMUSP00000119837.1 pep:known chromosome:GRCm38:7:105637848:105640335:-1 gene:ENSMUSG00000030881.14 transcript:ENSMUST00000157028.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arfip2 description:ADP-ribosylation factor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1924182] MTDGILGKAATMEIPIHGNGEAGQLPEDDGLEQTLLCTVSVPKMFHPSLCS >ENSMUSP00000076875.3 pep:known chromosome:GRCm38:5:31187558:31193430:-1 gene:ENSMUSG00000029145.12 transcript:ENSMUST00000077693.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b4 description:eukaryotic translation initiation factor 2B, subunit 4 delta [Source:MGI Symbol;Acc:MGI:95300] MAAVAVAVREESRSEMKTELSPRPGAAGRELTQEEKLQLRKEKKQQKKKRKEEKGADQEI GSAVSAAQRQDPIRELPGPGSQLGGTAGEKLPAGRSKAELRAERRAKQEAERALKQARKG EQGGVPPQACPSTAGETTSGVKRVPEHTPADDPTLLRRLLRKPDRQQVPTRKDYGSKVSL FSHLPQYSRQSSLTQYMSIPSSVIHPAMVRLGLQYSQGLISGSNARCIALLHALQQVIQD YTTPPSEELSRDLVNKLKPYISFLTQCRPMSASMCNAIKFLTKEVTGMSSSKREEEAKSE LREALDRYVQEKIVLAAQAISRFASTKISDGDVILVYGCSSLVSRILQEARVEGRRFRVV VVDSRPRLEGRHMLHSLVRAGVPTSYLLIPAASYVLPEVSKVLLGAHALLANGSVMSRVG TAQLALVARAHNVPVLVCCETYKFCERVQTDAFVSNELDDPDDLQCKRGDQVALANWQSH PSLRLLNLVYDVTPPELVDLVITELGMIPCSSVPVVLRVKSSDQ >ENSMUSP00000144361.1 pep:known chromosome:GRCm38:5:31187567:31193008:-1 gene:ENSMUSG00000029145.12 transcript:ENSMUST00000202758.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b4 description:eukaryotic translation initiation factor 2B, subunit 4 delta [Source:MGI Symbol;Acc:MGI:95300] MHQVVEEQMNTGSLMRGPERLWALPPGFCTSRDSGLQDLGRSRVLLAGCSQKESRSEMKT ELSPRPGAAGRELTQEEKLQLRKEKKQQKKKRKEEKGADQEIGSAVSAAQRQDPIRELPG PGSQLGGTAGEKLPAGRSKAELRAERRAKQEAERALKQARKGEQGGVPPQACPSTAGETT SGVKRVPEHTPADDPTLLRRLLRKPDRQQVPTRKDYGSKVSLFSHLPQYSRQSSLTQYMS IPSSVIHPAMVRLGLQYSQGLISGSNARCIALLHALQQVIQDYTTPPSEELSRDLVNKLK PYISFLTQCRPMSASMCNAIKFLTKEVTGMSSSKREEEAKSELREALDRYVQEKIVLAAQ AISRFASTKISDGDVILVYGCSSLVSRILQEARVEGRRFRVVVVDSRPRLEGRHMLHSLV RAGVPTSYLLIPAASYVLPEVSKVLLGAHALLANGSVMSRVGTAQLALVARAHNVPVLVC CETYKFCERVQTDAFVSNELDDPDDLQCKRGDQVALANWQSHPSLRLLNLVYDVTPPELV DLVITELGMIPCSSVPVVLRVKSSDQ >ENSMUSP00000110250.1 pep:known chromosome:GRCm38:5:31187568:31193014:-1 gene:ENSMUSG00000029145.12 transcript:ENSMUST00000114603.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b4 description:eukaryotic translation initiation factor 2B, subunit 4 delta [Source:MGI Symbol;Acc:MGI:95300] MPTQQPAAPTSLPKSSRSLSGSLCALFSDAESRSEMKTELSPRPGAAGRELTQEEKLQLR KEKKQQKKKRKEEKGADQEIGSAVSAAQRQDPIRELPGPGSQLGGTAGEKLPAGRSKAEL RAERRAKQEAERALKQARKGEQGGVPPQACPSTAGETTSGVKRVPEHTPADDPTLLRRLL RKPDRQQVPTRKDYGSKVSLFSHLPQYSRQSSLTQYMSIPSSVIHPAMVRLGLQYSQGLI SGSNARCIALLHALQQVIQDYTTPPSEELSRDLVNKLKPYISFLTQCRPMSASMCNAIKF LTKEVTGMSSSKREEEAKSELREALDRYVQEKIVLAAQAISRFASTKISDGDVILVYGCS SLVSRILQEARVEGRRFRVVVVDSRPRLEGRHMLHSLVRAGVPTSYLLIPAASYVLPEVS KVLLGAHALLANGSVMSRVGTAQLALVARAHNVPVLVCCETYKFCERVQTDAFVSNELDD PDDLQCKRGDQVALANWQSHPSLRLLNLVYDVTPPELVDLVITELGMIPCSSVPVVLRVK SSDQ >ENSMUSP00000144393.1 pep:known chromosome:GRCm38:5:31187569:31191647:-1 gene:ENSMUSG00000029145.12 transcript:ENSMUST00000200724.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b4 description:eukaryotic translation initiation factor 2B, subunit 4 delta [Source:MGI Symbol;Acc:MGI:95300] XRAKQEAERALKQARKGEQGGVPPQACPSTAGETTSGVKRVPEHTPADDPTLLRRLLRKP DRQQVPTRKDYGSKVSLFSHLPQYSRQSSLTQHMLHSLVRAGVPTSYLLIPAASYVLPEV SKVLLGAHALLANGSVMSRVGTAQLALVARAHNVPVLVCCETYKFCERVQTDAFVSNELD DPDDLQCKRGDQVALANWQSHPSLRLLNLVYDVTPPELVDLVITELGMIPCSSVPVVLRV KSSDQ >ENSMUSP00000143975.1 pep:known chromosome:GRCm38:5:31189569:31189916:-1 gene:ENSMUSG00000029145.12 transcript:ENSMUST00000200977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b4 description:eukaryotic translation initiation factor 2B, subunit 4 delta [Source:MGI Symbol;Acc:MGI:95300] XISDGDVILVYGWYAHLWCREFSRRPGSRAGGFGWWWWTAGPGWKEGTCSTLLSVLGSLP PIC >ENSMUSP00000144563.1 pep:known chromosome:GRCm38:5:31189589:31191151:-1 gene:ENSMUSG00000029145.12 transcript:ENSMUST00000200741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b4 description:eukaryotic translation initiation factor 2B, subunit 4 delta [Source:MGI Symbol;Acc:MGI:95300] XSIPSSVIHPAMVRLGLQYSQGLISGSNARCIALLHALQQVIQDYTTPPSEELSRDLVNK LKPYISFLTQCRPMSASMCNAIKFLTKEVTGMSSSKREEEAKSELREALDRSSLVSRILQ EARVEGRRFRVVVVDSRPRLEGRHMLHSLVRAGVPTSYLLIPA >ENSMUSP00000143826.1 pep:known chromosome:GRCm38:5:31190398:31191199:-1 gene:ENSMUSG00000029145.12 transcript:ENSMUST00000200929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b4 description:eukaryotic translation initiation factor 2B, subunit 4 delta [Source:MGI Symbol;Acc:MGI:95300] XSHLPQYSRQSSLTQYMSHGETRSAVLPGPHQWLQCPVHRTASRPAAGDSGLHNTSQ >ENSMUSP00000143802.1 pep:known chromosome:GRCm38:5:31191718:31193418:-1 gene:ENSMUSG00000029145.12 transcript:ENSMUST00000201154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b4 description:eukaryotic translation initiation factor 2B, subunit 4 delta [Source:MGI Symbol;Acc:MGI:95300] MPTQQPAAPTSLPKSSRSLSGSLCALFSDAESRSEMKTELSPRPGAAGRELTQEEKLQLR KEKKQQKKKRKEEKGADQEIGSAVSAAQRQDPIRELPGPG >ENSMUSP00000130880.1 pep:known chromosome:GRCm38:5:31187559:31193139:-1 gene:ENSMUSG00000029145.12 transcript:ENSMUST00000166769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b4 description:eukaryotic translation initiation factor 2B, subunit 4 delta [Source:MGI Symbol;Acc:MGI:95300] MPTQQPAAPTSLPKSSRSLSGSLCALFSDAESRSEMKTELSPRPGAAGRELTQEEKLQLR KEKKQQKKKRKEEKGADQEIGSAVSAAQRQDPIRELPGPGSQLGGTAGEKLPAGRSKAEL RAERRAKQEAERALKQARKGEQGGVPPQACPSTAGETTSGVKRVPEHTPADDPTLLRRLL RKPDRQQVPTRKDYGSKVSLFSHLPQYSRQSSLTQYMSIPSSVIHPAMVRLGLQYSQGLI SGSNARCIALLHALQQVIQDYTTPPSEELSRDLVNKLKPYISFLTQCRPMSASMCNAIKF LTKEVTGMSSSKREEEAKSELREALDRYVQEKIVLAAQAISRFASTKISDGDVILVYGCS SLVSRILQEARVEGRRFRVVVVDSRPRLEGRHMLHSLVRAGVPTSYLLIPAASYVLPEVS KVLLGAHALLANGSVMSRVGTAQLALVARAHNVPVLVCCETYKFCERVQTDAFVSNELDD PDDLQCKRGDQVALANWQSHPSLRLLNLVYDVTPPELVDLVITELGMIPCSSVPVVLRVK SSDQ >ENSMUSP00000031910.7 pep:known chromosome:GRCm38:6:41458932:41463786:1 gene:ENSMUSG00000062751.5 transcript:ENSMUST00000031910.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss1 description:protease, serine 1 (trypsin 1) [Source:MGI Symbol;Acc:MGI:98839] MSALLFLALVGAAVAFPVDDDDKIVGGYTCRENSVPYQVSLNSGYHFCGGSLINDQWVVS AAHCYKTRIQVRLGEHNINVLEGNEQFIDAAKIIKHPNFNRKTLNNDIMLIKLSSPVTLN ARVATVALPSSCAPAGTQCLISGWGNTLSFGVSEPDLLQCLDAPLLPQADCEASYPGKIT GNMVCAGFLEGGKDSCQGDSGGPVVCNGELQGIVSWGYGCALPDNPGVYTKVCNYVDWIQ DTIAAN >ENSMUSP00000127487.1 pep:known chromosome:GRCm38:17:34670535:34719627:1 gene:ENSMUSG00000033327.16 transcript:ENSMUST00000168533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnxb description:tenascin XB [Source:MGI Symbol;Acc:MGI:1932137] MAAQCTPSILTILVLLGLARAGPFSPRSNVTLPAPRPPPKPGGPSSQLYEHTVEGGEKQV VFTHRINLPPSAGCGCPPGSEPPVPASEVQALRVRLEILEELVKGLKEHCTGGCCPTAAQ AGTGQTDVRSLCSLHGVFDLSRCACSCEPGWGGPTCSDPTDTKTPTSSPPSKSCPEDCND QGRCVRGRCVCFPGYSGPSCSWPSCPGDCQGRGRCVKGVCVCRAGFSGPDCSQRSCPRNC NQRGRCEEGRCVCDPGYSGEDCGVRSCPRGCSQRGRCENGLCVCNPGYSGEDCGVRNCPR GCSQRGRCEDGRCVCDPGYSGEDCSMRTCPWDCGDGGRCVDGRCVCWPGYSGEDCSTRTC PRDCRGRGRCEDGECICDAGYSGDDCGVRSCPGDCNQRGHCEDGRCVCWPGYTGADCSTR ACPRDCRGRGRCEDGVCVCHAGYSGEDCGVRSCPGDCRGRGNCESGRCVCWPGYTGRDCG TRACPGDCRGRGRCVDGRCVCNPGFTGEDCGSRRCPGDCRGHGHCENGVCVCAVGYSGDD CSTRSCPSDCRGRGQCLNGLCECDEGYSGEDCGIRRCPRDCSQHGVCQDGLCMCHAGYAG EDCSIRTCPADCRRRGRCEDGRCVCNPGYTGPACATRTCPADCRGRGRCVQGVCMCYVGY SGEDCGQEEPPASACPGGCGPRELCRAGQCVCVEGFRGPDCAIQTCPGDCRSRGECIQGR CVCQEGYAGDDCGEEIPAIQNMRMHLLEETTVRTEWTRAPGPVDAYEIQFIPMTEGVSPP FTARVPSSASAYDQRGLAPGQDYQVTVRALRGTSWGPPASKTITTMIDGPQDLRVVAVTP TTLDLSWLRPQAEVDRFVVSYVSAGNQRVRLEVPPEADRTQLTDLMPGVEYVVTVTAERG HAVSYPASIRANTDRAQEQPGKPSVQPRLGELTVTGLTSDSLLLHWTVPEGEFDSFLIQY KDKDGPQAISVEGPQRSTPISGLEPGRKYKFILYGLIGKKRHGPLMAEAKILIQSDPDPG SPPRLGELWVTDPTPHSLHLSWTVLGGQFDSFVVQYRDKEGQPRVVPVEGPDRSVVISPL DPNRKYRFTLFGIANKKRYGPLTADGTTAAETKEESSEPPRLGELTVTGVTPDSLRLSWT VARGPFDSFVILYKDAQGQPQSVPIEGDENEVTVPGLESNRKYKMNLYGLRGRQRVGPVS VVAKTAPPDVVDQPLSPPEPSTEAPEPPEKPLLRELIVTDTTPNSLSLSWTVSEGQFDQF VIQYKNGDGQPKVVRVPGHEDQVTISGLEPDHKYKMNLYGIHSGQRVGPVSVVGVTAAEE EPPSPTEPSTEAPEPTEEPLLGELTVTGSSPDSLSLSWTVPQGDFDSFTVQYKSSDGRPQ VMRVGGQEREVIVRDLDPGHKYKMNLYGLHEGHRVGPVSTVGTTDKQPEEKPPLEPRLEE LTVTGVTPNSVSLSWIVPEGQFDSFVVQYQDRDGQPREVPLTAEQREVTVLDLDPARIYK MNFYGLHGRRRVGPLSVSAMTAPAPATEASKLLPEPRLGELTVTDVTPDSVGLLWTVPEG EFDSFTVQYKDRDGQPHVVSVAADLREVTIPGLEPSRKYKFLLFGVQDGKRRSQVSVEAK TATHDDTSPGAPPRLGELWVTDPTTDSLRLSWTVPEGHFDSFVVQFKDKSGPRVVPVKGQ ERTATITALDAGRKYRFLLYGLLGRKRFGPLTAEGITEPTNAADHTKSRLGEELRVTGVT QNSVDLSWTVSEGQFDSFVVQYKDRDGQSQVVPVDGSHREVRVSGLDPGRRYKLLLYGLR DSKRVGPLSVIAVTDPRETTEAWTEVPTTSTPAAVPRLGELRVEEATPHSLHLSWVVTEG EFNSFEVQYTDRDGQLRVASTEGDQTDITLTGLESNHRYLITLYGFHDGQPVGSAQIEAL TVPWEEEEEEEESTEPPTMTPEPRPQLGELTVTDATPDSLSLSWTVPEGQFDQFVIQYKN GDGQPKVVRVPGHEDQVTISGLEPDHKYKLNLYGIHSGQRFGPVSTVGVTAAVEEPPSPT EPSIEAPEPTEEPLLGELTVTGSSPDSLSLSWTVPQGDFDSFTVQYKDSDGRPQVMRVGG QEREVIVRDLDPGRKYKMNLYGLHEGRRVGPVSTVGVTASLTTEPPIEPRLGELAAVEVT SSTVHLSWTVAQGPFDSFLVQYKDTQGQPQMVPVSGDLGEVTVSGLEPARKYKFLLFGLK DGKRHGPVSTEAKTVSDAKLLPRLGELTVTDTTQNSVGLSWTVPEGEFDSFLVQYKNRGG QVQVVPAAADQREVTISSLEPNRKYRFLLFGLIGLKRLGPVSVEGTTAPVEKTPQPRLGE LSVTEETSDSMHLSWSVAQGPFDSFLVQYKDRDGQLQTVSLAADQREVTIEGLQPGRKYK FLLYGLTGGKRLGPISALGVTASEVDISAPDHTEPPHAPGLGTLAVTEATPDSLRLSWAV ARGPFDSFVVQYQDTENETQALLVDGDQDKVLISGLEPKTSYKFFLYGLREGTRHGPVSV EGTTDPVPAGQGPGDPGPRLSQLSVSDVTTSSLRLNWEAPLGAFDSFLLRFGVPLLSTLE QNPRTLQQRELMVPGVRRSAMLRDLRPGTLYSLTLYGLRGPHKADSIQGTARTLSPVLES PRDLQFSDIGETSAKVKWVPPTSRVDSFKISYQLADGGEPQSVQVDGRTQTQILQGLIPD TRYEVTVVSVRGFEESEPLTGFLTTVPDGPTQLRALNLTDGSALLHWKPPHKPVDKYDVE VESPGAPPLQASAPGSAVDYPLTDLALDTNYTATVRGLRGPNFTSPASITFTTGLKPPQD LEAKEVTPRTALLTWTEPEVPPTGYLLSFDTPGGQIQEILLPAGTTSHRLLRLFPSTFYS AQLRAIWGESLTPPVLTSFTTGGLRIPFPRDCGEELKNGPSASKTTTIFLNGNRERPLDV FCDMETDGGGWLVFQRRMDGQTDFWRDWEEYAHGFGNISGEFWLGNEALHSLTQAGDYSL RVDLRAGKEAVFAQYDFFRVDSAKENYRLHLEGYHGTAGDSMSYHSGSVFSARDRDPNNL LISCAVSYRGAWWYRNCHYANLNGLYGSTVDHQGVSWYHWKGFEFSVPFTEMKLRPRNFQ VPTRGT >ENSMUSP00000084661.4 pep:known chromosome:GRCm38:17:34670535:34719815:1 gene:ENSMUSG00000033327.16 transcript:ENSMUST00000087399.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnxb description:tenascin XB [Source:MGI Symbol;Acc:MGI:1932137] MAAQCTPSILTILVLLGLARAGPFSPRSNVTLPAPRPPPKPGGPSSQLYEHTVEGGEKQV VFTHRINLPPSAGCGCPPGSEPPVPASEVQALRVRLEILEELVKGLKEHCTGGCCPTAAQ AGTGQTDVRSLCSLHGVFDLSRCACSCEPGWGGPTCSDPTDTKTPTSSPPSKSCPEDCND QGRCVRGRCVCFPGYSGPSCSWPSCPGDCQGRGRCVKGVCVCRAGFSGPDCSQRSCPRNC NQRGRCEEGRCVCDPGYSGEDCGVRSCPRGCSQRGRCENGLCVCNPGYSGEDCGVRNCPR GCSQRGRCEDGRCVCDPGYSGEDCSMRTCPWDCGDGGRCVDGRCVCWPGYSGEDCSTRTC PRDCRGRGRCEDGECICDAGYSGDDCGVRSCPGDCNQRGHCEDGRCVCWPGYTGADCSTR ACPRDCRGRGRCEDGVCVCHAGYSGEDCGVRSCPGDCRGRGNCESGRCVCWPGYTGRDCG TRACPGDCRGRGRCVDGRCVCNPGFTGEDCGSRRCPGDCRGHGHCENGVCVCAVGYSGDD CSTRSCPSDCRGRGQCLNGLCECDEGYSGEDCGIRRCPRDCSQHGVCQDGLCMCHAGYAG EDCSIRTCPADCRRRGRCEDGRCVCNPGYTGPACATRTCPADCRGRGRCVQGVCMCYVGY SGEDCGQEEPPASACPGGCGPRELCRAGQCVCVEGFRGPDCAIQTCPGDCRSRGECIQGR CVCQEGYAGDDCGEEIPAIQNMRMHLLEETTVRTEWTRAPGPVDAYEIQFIPMTEGVSPP FTARVPSSASAYDQRGLAPGQDYQVTVRALRGTSWGPPASKTITTMIDGPQDLRVVAVTP TTLDLSWLRPQAEVDRFVVSYVSAGNQRVRLEVPPEADRTQLTDLMPGVEYVVTVTAERG HAVSYPASIRANTGSLPSGLLEATDEPPPSGPSTTQGAQAPILILEHHPLGELKVLGRDK AGRLSVAWTAQPDSFAHFQLRMQVAEGPWAHEELLPGDVQQALVPPPPPGAPYKLFLHGI TPGGKISVPITYQGIMDRAQEQPGKPSVQPRLGELTVTGLTSDSLLLHWTVPEGEFDSFL IQYKDKDGPQAISVEGPQRSTPISGLEPGRKYKFILYGLIGKKRHGPLMAEAKILIQSDP DPGSPPRLGELWVTDPTPHSLHLSWTVLGGQFDSFVVQYRDKEGQPRVVPVEGPDRSVVI SPLDPNRKYRFTLFGIANKKRYGPLTADGTTAAETKEESSEPPRLGELTVTGVTPDSLRL SWTVARGPFDSFVILYKDAQGQPQSVPIEGDENEVTVPGLESNRKYKMNLYGLRGRQRVG PVSVVAKTAPPDVVDQPLSPPEPSTEAPEPPEKPLLRELIVTDTTPNSLSLSWTVSEGQF DQFVIQYKNGDGQPKVVRVPGHEDQVTISGLEPDHKYKMNLYGIHSGQRVGPVSVVGVTA AEEEPPSPTEPSTEAPEPTEEPLLGELTVTGSSPDSLSLSWTVPQGDFDSFTVQYKSSDG RPQVMRVGGQEREVIVRDLDPGHKYKMNLYGLHEGHRVGPVSTVGTTDKQPEEKPPLEPR LEELTVTGVTPNSVSLSWIVPEGQFDSFVVQYQDRDGQPREVPLTAEQREVTVLDLDPAR IYKMNFYGLHGRRRVGPLSVSAMTAPAPATEASKLLPEPRLGELTVTDVTPDSVGLLWTV PEGEFDSFTVQYKDRDGQPHVVSVAADLREVTIPGLEPSRKYKFLLFGVQDGKRRSQVSV EAKTATHDDTSPGAPPRLGELWVTDPTTDSLRLSWTVPEGHFDSFVVQFKDKSGPRVVPV KGQERTATITALDAGRKYRFLLYGLLGRKRFGPLTAEGITEPTNAADHTKSRLGEELRVT GVTQNSVDLSWTVSEGQFDSFVVQYKDRDGQSQVVPVDGSHREVRVSGLDPGRRYKLLLY GLRDSKRVGPLSVIAVTDPRETTEAWTEVPTTSTPAAVPRLGELRVEEATPHSLHLSWVV TEGEFNSFEVQYTDRDGQLRVASTEGDQTDITLTGLESNHRYLITLYGFHDGQPVGSAQI EALTVPWEEEEEEEESTEPPTMTPEPRPQLGELTVTDATPDSLSLSWTVPEGQFDQFVIQ YKNGDGQPKVVRVPGHEDQVTISGLEPDHKYKLNLYGIHSGQRFGPVSTVGVTDPKEEPT DASSLKPRLEELTVTDATPDSLSLSWTVPEGQFDQFVIQYKNGDGQPKVVRVPGHEDQVT ISGLEPDHKYKMNLYGIYSGQRVGPVSAVGVTAAEEEPPSPTEPRTEAPEPTEAPEPTEE PRLGELTVTGSSPDSLSLSWTIPKGDFDSFTVQYKDSDGRPQVIRVRGQEREVIVRDLDP GRKYKMNLYGLHEGRRVGPVSTIGVTAPEEEALTTQAVPTTTPEPPPKKPHLGELTVTDA TPDSLSLSWTVPEEQFDQFVIQYKNGDGQPKVVRVPGHEDQVTISGLEPDHKYKMNLYGI YSGQRVGPVSVVGVTAAEEEPPSPEPSTEAPEPTEAPEPTEEPLLGELTVTGSSPDSLIL SWTIPKGDFDSFTVQYKDSDGRPQVMRVGGQEREVIVRDLDPGRKYKMNLYGLHEGRRVG PVSTVGVTEEEATTTQAEPIVTTEPPPKKPHLGVLTVTDATPDSLSLSWTVPEAHFDQFV IQYKNGDGQPKVVRVPGHEDQVTISGLEPDHKYKMNLYGIYSGQRVGPVSAVGVTAAEEE PPSPTEPSTEAPESTEEPLLGELTVTGSSPDSLSLSWTVPQGEFDSFTVQYKDSDGRPQV MRVGGQEREVIVRDLDPGRKYKMNLYGLHEGRRVGPVSTVGMTEKEALTTQVVPTTTPEP PPKKPHLGELTVTDATSDSLSLSWTVPEGQFEQFVIQYKNGDGQPKVVRVPGHEDQVTIS GLEPDHKYKMNLYGIHSGQRFGPVSTVGVTTAVEEPPSPTEPSIEAPEPTEEPLLGELTV TGSSPDSLSLSWTVPQGDFDSFTVQYKDSDGRPQVMRVGGQEREVIVRDLDPGRKYKMNL YGLHEGRRVGPVSTVGVTASLTTEPPIEPRLGELAAVEVTSSTVHLSWTVAQGPFDSFLV QYKDTQGQPQMVPVSGDLGEVTVSGLEPARKYKFLLFGLKDGKRHGPVSTEAKTVSDAKL LPRLGELTVTDTTQNSVGLSWTVPEGEFDSFLVQYKNRGGQVQVVPAAADQREVTISSLE PNRKYRFLLFGLIGLKRLGPVSVEGTTAPVEKTPQPRLGELSVTEETSDSMHLSWSVAQG PFDSFLVQYKDRDGQLQTVSLAADQREVTIEGLQPGRKYKFLLYGLTGGKRLGPISALGV TASEVDISAPDHTEPPHAPGLGTLAVTEATPDSLRLSWAVARGPFDSFVVQYQDTENETQ ALLVDGDQDKVLISGLEPKTSYKFFLYGLREGTRHGPVSVEGTTDPVPAGQGPGDPGPRL SQLSVSDVTTSSLRLNWEAPLGAFDSFLLRFGVPLLSTLEQNPRTLQQRELMVPGVRRSA MLRDLRPGTLYSLTLYGLRGPHKADSIQGTARTLSPVLESPRDLQFSDIGETSAKVKWVP PTSRVDSFKISYQLADGGEPQSVQVDGRTQTQILQGLIPDTRYEVTVVSVRGFEESEPLT GFLTTVPDGPTQLRALNLTDGSALLHWKPPHKPVDKYDVEVESPGAPPLQASAPGSAVDY PLTDLALDTNYTATVRGLRGPNFTSPASITFTTGLKPPQDLEAKEVTPRTALLTWTEPEV PPTGYLLSFDTPGGQIQEILLPAGTTSHRLLRLFPSTFYSAQLRAIWGESLTPPVLTSFT TGGLRIPFPRDCGEELKNGPSASKTTTIFLNGNRERPLDVFCDMETDGGGWLVFQRRMDG QTDFWRDWEEYAHGFGNISGEFWLGNEALHSLTQAGDYSLRVDLRAGKEAVFAQYDFFRV DSAKENYRLHLEGYHGTAGDSMSYHSGSVFSARDRDPNNLLISCAVSYRGAWWYRNCHYA NLNGLYGSTVDHQGVSWYHWKGFEFSVPFTEMKLRPRNFQVPTRGT >ENSMUSP00000050907.6 pep:known chromosome:GRCm38:2:69871198:69885615:-1 gene:ENSMUSG00000051730.15 transcript:ENSMUST00000060447.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl5 description:methyltransferase like 5 [Source:MGI Symbol;Acc:MGI:1922672] MKKLKLKELESRLQEVDGFEKPKLLLEQYPTRPHIAACMLYTIHNTYDDIENKAVADLGC GCGVLSIGAAMLGAGLCVGFDIDEDALEIFNKNVEEFELTNVDMIQCDVYSLSNRMSKLF DTVIMNPPFGTKNNKGTDMAFLKTALGMARTAVYSLHKSSTREHIQKKAAEWKVKIEIIA ELRYDLPALYNFHKKKSVDIEVDLIRFSF >ENSMUSP00000121474.1 pep:known chromosome:GRCm38:2:69871214:69885597:-1 gene:ENSMUSG00000051730.15 transcript:ENSMUST00000142127.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl5 description:methyltransferase like 5 [Source:MGI Symbol;Acc:MGI:1922672] MKKLKLKELESRLQEVDGFEKPKLLLEQYPTRPHIAACMLYTIHNTYDDIENKAVADLGC GCGVLSIGAAMLGAGLCVGFDIDEDALEIFNKNVEEFELTNVDMIQCDVYSLSNRMSKLF DTVIMNPPFGTKNNKAYSKESC >ENSMUSP00000028283.1 pep:known chromosome:GRCm38:2:26667674:26671282:-1 gene:ENSMUSG00000026919.1 transcript:ENSMUST00000028283.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn4 description:lipocalin 4 [Source:MGI Symbol;Acc:MGI:102668] MKSLLLTVTLSSLVATLQTYDDLPFISEEDKLSGVWFIKATVSQRREVEGETLVAFPIKF TCPEEGTLELRHTLASKGECINVGIRLQRTEEPGQYSAFWGHTLFYIYDLPVKDHYIIYC ESHPFQKISQFGYLIGKYPEENQDTLEVFKEFIQHKGFLQEKIGVPEQRDRCIPIHDSAH QDHKC >ENSMUSP00000020408.8 pep:known chromosome:GRCm38:10:117688888:117710758:-1 gene:ENSMUSG00000020184.14 transcript:ENSMUST00000020408.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm2 description:transformed mouse 3T3 cell double minute 2 [Source:MGI Symbol;Acc:MGI:96952] MCNTNMSVSTEGAASTSQIPASEQETLVRPKPLLLKLLKSVGAQNDTYTMKEIIFYIGQY IMTKRLYDEKQQHIVYCSNDLLGDVFGVPSFSVKEHRKIYAMIYRNLVAVSQQDSGTSLS ESRRQPEGGSDLKDPLQAPPEEKPSSSDLISRLSTSSRRRSISETEENTDELPGERHRKR RRSLSFDPSLGLCELREMCSGGSSSSSSSSSESTETPSHQDLDDGVSEHSGDCLDQDSVS DQFSVEFEVESLDSEDYSLSDEGHELSDEDDEVYRVTVYQTGESDTDSFEGDPEISLADY WKCTSCNEMNPPLPSHCKRCWTLRENWLPDDKGKDKVEISEKAKLENSAQAEEGLDVPDG KKLTENDAKEPCAEEDSEEKAEQTPLSQESDDYSQPSTSSSIVYSSQESVKELKEETQDK DESVESSFSLNAIEPCVICQGRPKNGCIVHGKTGHLMSCFTCAKKLKKRNKPCPVCRQPI QMIVLTYFN >ENSMUSP00000100898.1 pep:known chromosome:GRCm38:10:117688888:117710758:-1 gene:ENSMUSG00000020184.14 transcript:ENSMUST00000105263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm2 description:transformed mouse 3T3 cell double minute 2 [Source:MGI Symbol;Acc:MGI:96952] MKEIIFYIGQYIMTKRLYDEKQQHIVYCSNDLLGDVFGVPSFSVKEHRKIYAMIYRNLVA VSQQDSGTSLSESRRQPEGGSDLKDPLQAPPEEKPSSSDLISRLSTSSRRRSISETEENT DELPGERHRKRRRSLSFDPSLGLCELREMCSGGSSSSSSSSSESTETPSHQDLDDGVSEH SGDCLDQDSVSDQFSVEFEVESLDSEDYSLSDEGHELSDEDDEVYRVTVYQTGESDTDSF EGDPEISLADYWKCTSCNEMNPPLPSHCKRCWTLRENWLPDDKGKDKVEISEKAKLENSA QAEEGLDVPDGKKLTENDAKEPCAEEDSEEKAEQTPLSQESDDYSQPSTSSSIVYSSQES VKELKEETQDKDESVESSFSLNAIEPCVICQGRPKNGCIVHGKTGHLMSCFTCAKKLKKR NKPCPVCRQPIQMIVLTYFN >ENSMUSP00000137039.1 pep:known chromosome:GRCm38:10:117688895:117710022:-1 gene:ENSMUSG00000020184.14 transcript:ENSMUST00000155285.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mdm2 description:transformed mouse 3T3 cell double minute 2 [Source:MGI Symbol;Acc:MGI:96952] MCNTNMSVSTEGAASTSQIPASEQETLVRPKPLLLKLLKSVGAQNDTYTMKEIIFYIGQY IMTKRLYDEKQQHIVYCSNDLLGDVFGVPSFSVKEHRKIYAMIYRNLVAVSQQDSGTSLS ESRRQPEGGSDLKKRTQMSYLGSGTGSAAGPCPLIRAWVCVS >ENSMUSP00000054863.5 pep:known chromosome:GRCm38:6:86524492:86526321:-1 gene:ENSMUSG00000051695.6 transcript:ENSMUST00000053015.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp1 description:poly(rC) binding protein 1 [Source:MGI Symbol;Acc:MGI:1345635] MDAGVTESGLNVTLTIRLLMHGKEVGSIIGKKGESVKRIREESGARINISEGNCPERIIT LTGPTNAIFKAFAMIIDKLEEDINSSMTNSTAASRPPVTLRLVVPATQCGSLIGKGGCKI KEIRESTGAQVQVAGDMLPNSTERAITIAGVPQSVTECVKQICLVMLETLSQSPQGRVMT IPYQPMPASSPVICAGGQDRCSDAAGYPHATHDLEGPPLDAYSIQGQHTISPLDLAKLNQ VARQQSHFAMMHGGTGFAGIDSSSPEVKGYWASLDASTQTTHELTIPNNLIGCIIGRQGA NINEIRQMSGAQIKIANPVEGSSGRQVTITGSAASISLAQYLINARLSSEKGMGCS >ENSMUSP00000120456.1 pep:known chromosome:GRCm38:6:148179079:148212374:-1 gene:ENSMUSG00000030304.11 transcript:ENSMUST00000136008.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ergic2 description:ERGIC and golgi 2 [Source:MGI Symbol;Acc:MGI:1914706] MRRLNRRKTLSLVKELDAFPKVPDSYVETSASGGTVSLIAFTTMALLTIMEFSVYQDTWM KYEYEVDKDFSSKLRINIDITVAMKCHYVGADVLDLAETMVASADGLAYEPALFDLSPQQ REWQRMLQLIQSRLQEEHSLQDVIFKSAFKSASTALPPREDDSSLTPDACRIHGHLYVNK VAGNFHITVGKAIPHPRGHAHLAALVNHDSYNFSHRIDHLSFGELVPGIINPLDGTEKIA VDHNQMFQYFITVVPTKLHTYKISADTHQFSVTERERIINHAAGSHGVSGIFMKYDLSSL MVTVTEEHMPFWQFFVRLCGIIGGIFSTTGMLHGIGKFIVEIICCRFRLGSYKPVRSVPF ADGHTDNHLPLLENNTH >ENSMUSP00000032446.5 pep:known chromosome:GRCm38:6:148181216:148212107:-1 gene:ENSMUSG00000030304.11 transcript:ENSMUST00000032446.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ergic2 description:ERGIC and golgi 2 [Source:MGI Symbol;Acc:MGI:1914706] MRRLNRRKTLSLVKELDAFPKVPDSYVETSASGGTASCEST >ENSMUSP00000145143.1 pep:known chromosome:GRCm38:6:148181310:148188092:-1 gene:ENSMUSG00000030304.11 transcript:ENSMUST00000143282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ergic2 description:ERGIC and golgi 2 [Source:MGI Symbol;Acc:MGI:1914706] TKLHTYKISADTHQFSVTERVPLPPSSLPQQERIINHAAGSHGVSGIFMKYDLSSLMVTV TEEHMPFWQFFVRLCGIIGGIFSTTGMLHGIGKFIVEIICCRFRLGSYKPVRSVPFADGH TDNHLPLLENNTH >ENSMUSP00000144886.1 pep:known chromosome:GRCm38:6:148181365:148183208:-1 gene:ENSMUSG00000030304.11 transcript:ENSMUST00000204832.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ergic2 description:ERGIC and golgi 2 [Source:MGI Symbol;Acc:MGI:1914706] ERIINHAAGSHGVSGIFMKYDLSSLMVTVTEEHMPFWQFFACYMELENL >ENSMUSP00000145204.1 pep:known chromosome:GRCm38:6:148181645:148195258:-1 gene:ENSMUSG00000030304.11 transcript:ENSMUST00000203268.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ergic2 description:ERGIC and golgi 2 [Source:MGI Symbol;Acc:MGI:1914706] XRIHGHLYVNKVAGNFHITVGKAIPHPRGHAHLAALVNHDSYNFSHRIDHLSFGELVPGI INPLDGTEKIAVDLVPTKLHTYKISADTHQFSVTERERIINHAAGSHGVSGIFMKYDLSS LMVTVTEEHMPFWQFFVRLCGIIGGIFSTTGMLHGIGKFIVEIICCRFRLGSYKPVRSVP FADGHTDNHLPLLENNTH >ENSMUSP00000122028.1 pep:known chromosome:GRCm38:6:148189546:148212022:-1 gene:ENSMUSG00000030304.11 transcript:ENSMUST00000130242.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ergic2 description:ERGIC and golgi 2 [Source:MGI Symbol;Acc:MGI:1914706] MRRLNRRKTLSLVKELDAFPKVPDSYVETSASGGTG >ENSMUSP00000116551.1 pep:known chromosome:GRCm38:6:148201493:148212022:-1 gene:ENSMUSG00000030304.11 transcript:ENSMUST00000126698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ergic2 description:ERGIC and golgi 2 [Source:MGI Symbol;Acc:MGI:1914706] MRRLNRRKTLSLVKELDAFPKVPDSYVETSASGGTVSLIAFTTMALLTIMEFSVYQDTWM KYEYEVDKDFSSKLRINIDITVAMKCHSDDSFDSSAKCKNFVL >ENSMUSP00000018287.3 pep:known chromosome:GRCm38:5:139791513:139802653:1 gene:ENSMUSG00000018143.10 transcript:ENSMUST00000018287.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mafk description:v-maf musculoaponeurotic fibrosarcoma oncogene family, protein K (avian) [Source:MGI Symbol;Acc:MGI:99951] MTTNPKPNKALKVKKEAGENAPVLSDDELVSMSVRELNQHLRGLTKEEVTRLKQRRRTLK NRGYAASCRIKRVTQKEELERQRVELQQEVEKLARENSSMRLELDALRSKYEALQTFART VARGPVTPTKVATTSVITIVKSAELSSTSVPFSAAS >ENSMUSP00000106460.1 pep:known chromosome:GRCm38:5:139797514:139802331:1 gene:ENSMUSG00000018143.10 transcript:ENSMUST00000110836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mafk description:v-maf musculoaponeurotic fibrosarcoma oncogene family, protein K (avian) [Source:MGI Symbol;Acc:MGI:99951] MTTNPKPNKALKVKKEAGENAPVLSDDELVSMSVRELNQHLRGLTKEEVTRLKQRRRTLK NRGYAASCRIKRVTQKEELERQRVELQQEVEKLARENSSMRLELDALRSKYEALQTFART VARGPVTPTKVATTSVITIVKSAELSSTSVPFSAAS >ENSMUSP00000020922.7 pep:known chromosome:GRCm38:12:15791727:15816785:-1 gene:ENSMUSG00000020601.7 transcript:ENSMUST00000020922.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trib2 description:tribbles homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2145021] MNIHRSTPITIARYGRSRNKTQDFEELSSIRSAEPSQSFSPNLGSPSPPETPNLSHCVSC IGKYLLLEPLEGDHVFRAVHLHSGEELVCKVFEISCYQESLAPCFCLSAHSNINQITEIL LGETKAYVFFERSYGDMHSFVRTCKKLREEEAARLFYQIASAVAHCHDGGLVLRDLKLRK FIFKDEERTRVKLESLEDAYILRGDDDSLSDKHGCPAYVSPEILNTSGSYSGKAADVWSL GVMLYTMLVGRYPFHDIEPSSLFSKIRRGQFNIPETLSPKAKCLIRSILRREPSERLTSQ EILDHPWFSTDFSVSNSGFGAKEACDQLVPDVNMEENLDPFFN >ENSMUSP00000025178.9 pep:known chromosome:GRCm38:17:33955812:33966984:1 gene:ENSMUSG00000024319.17 transcript:ENSMUST00000025178.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps52 description:vacuolar protein sorting 52 (yeast) [Source:MGI Symbol;Acc:MGI:1330304] MAAAATMAAAARELVLRAGASDVEEEEGPLGGGSGLQEPLQLGELDITSDEFILDEVDVH IQANLEDELVKEALKTGVDLRHYSKQVELELQQIEQKSIRDYIQESENIASLHNQITACD AVLERMEQMLGAFQSDLSSISSEIRTLQEQSGAMNIRLRNRQAVRGKLGELVDGLVVPSA LVTAILEAPVTEPRFLEQLQELDAKAAAVREQEAMGTAACADVRGVLDRLRVKAVTKIRE FILQKIYSFRKPMTNYQIPQAALLKYRFFYQFLLGNERATAKEIRDEYVETLSKIYLSYY RSYVGRLMKVQYEEVAEKDDLMGVEDTAKKGFFSKPSLRSRNTIFTLGTRGTVISPAELE APILVPHTAQRGEQRYPFEALFRSQHYALLDNSCREYLFICEFFIVSGPAAHDLFHAVMG RTLSMTLKHLESYLADCYDAIAVFLCIHIVLRFRNIAAKRDVPALDRYWEQVLALLWPRF ELILEMNVQSVRSTDPQRLGGLDTRPHYITRRYAEFSSALVSINQTIPNERTLQLLGQLQ VEVENFVLRVAAEFSSRKEQLVFLINNYDMMLGVLMERAADDSKEVESFQQLLNARTQEF IEELLSPPFGGLVAFVKEAEALIERGQAERLRGEEARVTQLIRGFGSSWKASVESLSQDV MRSFTNFRNGTSIIQGALTQLIQLYHRFHRVLSQPQLRALPARAELINIHHLMVELKKHK PNF >ENSMUSP00000133926.2 pep:known chromosome:GRCm38:17:33955915:33965973:1 gene:ENSMUSG00000024319.17 transcript:ENSMUST00000173196.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps52 description:vacuolar protein sorting 52 (yeast) [Source:MGI Symbol;Acc:MGI:1330304] MAAAATMAAAARELVLRAGASDVEEEEGPLGGGSGLQEPLQLGELDITSDEFILDEVDVH IQANLEDELVKEALKTGVDLRHYSKQVELELQQIEQKSIRDYIQESENIASLHNQITACD AVLEPRFLEQLQELDAKAAAVREQEAMGTAACADVRGVLDRLRVKAVTKIREFILQKIYS FRKPMTNYQIPQAALLKYRFFYQFLLGNERATAKEIRDEYVETLSKIYLSYYRSYVGRLM KVQYEEVAEKDDLMGVEDTAKKGFFSKPSLRSRNTIFTLGTRGTVISPAELEAPILVPHT AQRGEQRYPFEALFRSQHYALLDNSCREYLFICEFFIVSGPAAHDLFHAVMGRTLSMTLK HLESYLADCYDAIAVFLCIHIVLRFRNIAAKRDVPALDRYWEQVLALLWPRFELILEMNV QSVRSTDPQRLGGLDTRPHYITRRYAEFSSALVSINQTIPNERTLQLLGQLQVEVENFVL RVAAEFSSRKEQLVFLINNYDMMLGVLMERAADDSKEVESFQQLLNARTQEFIEELLSPP FGGLVAFVKEAEALIERGQAERLRGEEARVTQLIRGFGSSWKASVESLSQDVMRSFTNFR NGTSIIQGALTQ >ENSMUSP00000113150.1 pep:known chromosome:GRCm38:17:29090979:29099527:1 gene:ENSMUSG00000023067.13 transcript:ENSMUST00000119901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn1a description:cyclin-dependent kinase inhibitor 1A (P21) [Source:MGI Symbol;Acc:MGI:104556] MSNPGDVRPVPHRSKVCRCLFGPVDSEQLRRDCDALMAGCLQEARERWNFDFVTETPLEG NFVWERVRSLGLPKVYLSPGSRSRDDLGGDKRPSTSSALLQGPAPEDHVALSLSCTLVSE RPEDSPGGPGTSQGRKRRQTSLTDFYHSKRRLVFCKRKP >ENSMUSP00000023829.6 pep:known chromosome:GRCm38:17:29093772:29100722:1 gene:ENSMUSG00000023067.13 transcript:ENSMUST00000023829.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn1a description:cyclin-dependent kinase inhibitor 1A (P21) [Source:MGI Symbol;Acc:MGI:104556] MSNPGDVRPVPHRSKVCRCLFGPVDSEQLRRDCDALMAGCLQEARERWNFDFVTETPLEG NFVWERVRSLGLPKVYLSPGSRSRDDLGGDKRPSTSSALLQGPAPEDHVALSLSCTLVSE RPEDSPGGPGTSQGRKRRQTSLTDFYHSKRRLVFCKRKP >ENSMUSP00000112411.1 pep:known chromosome:GRCm38:17:29098207:29099569:1 gene:ENSMUSG00000023067.13 transcript:ENSMUST00000122348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn1a description:cyclin-dependent kinase inhibitor 1A (P21) [Source:MGI Symbol;Acc:MGI:104556] MSNPGDVRPVPHRSKVCRCLFGPVDSEQLRRDCDALMAGCLQEARERWNFDFVTETPLEG NFVWERVRSLGLPKVYLSPGSRSRDDLGGDKRPSTSSALLQGPAPEDHVALSLSCTLVSE RPEDSPGGPGTSQGRKRRQTSLTDFYHSKRRLVFCKRKP >ENSMUSP00000065535.2 pep:known chromosome:GRCm38:16:59201466:59202519:-1 gene:ENSMUSG00000052537.3 transcript:ENSMUST00000064452.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr198 description:olfactory receptor 198 [Source:MGI Symbol;Acc:MGI:3030032] MTVENQTVVAVFVLTGLTERPELQVPLFLVFFTIYLITMVGNLGLIALIWKDPHLHTPMY LFLGSLAFADACASSSVTPKMLVNFLSKDHRTFLVECFTQFYFFGSSATTECFLLSVMAY DRYVAICNPLLYPVMMSNSLCMKFIHVSYIVGFLHSAIHVGLLVRLNFCKSNIIHYFYCE ILQLFKISCTDPTMNVLLVLIFSALIQGLTFMTIIVSYFSVLLAILKTKSERGRRKAFST CSAHLLSVSLFYGTLFLMYVRPGSGSGEDKDRMYSLFYTIIIPFLNPFIYSLRNKEVTAA LRRKMK >ENSMUSP00000146813.1 pep:known chromosome:GRCm38:16:59201978:59203522:-1 gene:ENSMUSG00000052537.3 transcript:ENSMUST00000208244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr198 description:olfactory receptor 198 [Source:MGI Symbol;Acc:MGI:3030032] MTVENQTVVAVFVLTGLTERPELQVPLFLVFFTIYLITMVGNLGLIALIWKDPHLHTPMY LFLGSLAFADACASSSVTPKMLVNFLSKDHRTFLVECFTQFYFFGSSATTECFLLSVMAY DRYVAICNPLLYPVMMSNSLCMKFIHVSY >ENSMUSP00000056188.5 pep:known chromosome:GRCm38:2:13003457:13011806:-1 gene:ENSMUSG00000049630.6 transcript:ENSMUST00000061545.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1ql3 description:C1q-like 3 [Source:MGI Symbol;Acc:MGI:2387350] MVLLLVILIPVLVSSAGTSAHYEMLGTCRMVCDPYGGTKAPSTAATPDRGLMQSLPTFIQ GPKGEAGRPGKAGPRGPPGEPGPPGPVGPPGEKGEPGRQGLPGPPGAPGLNAAGAISAAT YSTVPKIAFYAGLKRQHEGYEVLKFDDVVTNLGNHYDPTTGKFTCSIPGIYFFTYHVLMR GGDGTSMWADLCKNNQVRASAIAQDADQNYDYASNSVVLHLEPGDEVYIKLDGGKAHGGN NNKYSTFSGFIIYAD >ENSMUSP00000115490.1 pep:known chromosome:GRCm38:1:36307733:36319203:1 gene:ENSMUSG00000037447.16 transcript:ENSMUST00000126413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid5a description:AT rich interactive domain 5A (MRF1-like) [Source:MGI Symbol;Acc:MGI:2443039] MAPPAKGNTEQSEEGDLPQLPVSPKPDDEQSRSQSPTQLQDSPEAGGEQEEEQAFLVSLY KFMKERHTPIERVPHLGFKQINLWKIYKAVEKLGAYELVTGRRLWKNVYDELGGSPGSTS AATCTRRHYERLVLPYVRHLKGEDDKPLPPTKPRKQYKMAKELRGDDGTTEKLKKAKDSE ERRVEQTTPGKTKSDATGQTQLPCQGSSRDSTEQLGPVSGPSPPLTGASSCPEAYKRLLS SFYCKGAHGIMSPLAK >ENSMUSP00000119953.1 pep:known chromosome:GRCm38:1:36307754:36317442:1 gene:ENSMUSG00000037447.16 transcript:ENSMUST00000142319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid5a description:AT rich interactive domain 5A (MRF1-like) [Source:MGI Symbol;Acc:MGI:2443039] MAAPPAKGNTEQSEEGDLPQLPVSPKPDDEQSRSQSPTQLQDSPEAGGEQEEEQAFLVSL YKFMKERHTPIERVPHLGFKQSASLGGGGWCSGRVSVGGQQPGDVPRQDNRQGTSAKGDP G >ENSMUSP00000095385.2 pep:known chromosome:GRCm38:1:36307754:36320526:1 gene:ENSMUSG00000037447.16 transcript:ENSMUST00000097778.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid5a description:AT rich interactive domain 5A (MRF1-like) [Source:MGI Symbol;Acc:MGI:2443039] MLKGGRPDLCVVNSLIELQKLKLHVDAEHQTAPPAKGNTEQSEEGDLPQLPVSPKPDDEQ SRSQSPTQLQDSPEAGGEQEEEQAFLVSLYKFMKERHTPIERVPHLGFKQINLWKIYKAV EKLGAYELVTGRRLWKNVYDELGGSPGSTSAATCTRRHYERLVLPYVRHLKGEDDKPLPP TKPRKQYKMAKELRGDDGTTEKLKKAKDSEERRVEQTTPGKTKSDATGQTQLPCQGSSRD STEQLGPVSGPSPPLTGASSCPEAYKRLLSSFYCKGAHGIMSPLAKKKLLAQVSKAEALQ CQEEGCRHGARSPNKDIQDSPQNLRGPAENSEHQLTPREGLQAPGGSTRMEAQVGPCPTA PMFSGCFHAYPTEVLKPVSQHPRDFFSGLKDRVLLGPPGKEEGPTTKESHLVWGGDANHP SAFHKGSTRKRSFYPKPKACWVSPMAKVPTERPGAPSPHPSSPGLGSKRGLEEEGFAHGG KKLRAVSPFLKEVDSKETGGKPAAPGLAVSCLLGPTPGPTPPEAYRGTMLRCPLNFTGSA DPLKGQASLPFSPLVIPAFPAHLLATTGSSPMAASLMHFPPTPYDAVLRNRLGPASSAWH MPPVTTYAAPHFFHLNTKL >ENSMUSP00000136037.1 pep:known chromosome:GRCm38:1:36307754:36320528:1 gene:ENSMUSG00000037447.16 transcript:ENSMUST00000116629.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arid5a description:AT rich interactive domain 5A (MRF1-like) [Source:MGI Symbol;Acc:MGI:2443039] MAAPPAKGNTEQSEEGDLPQLPVSPKPDDEQSRSQSPTQLQLTCGRSTRQWRSWGPMSW >ENSMUSP00000110683.1 pep:known chromosome:GRCm38:1:36307754:36321071:1 gene:ENSMUSG00000037447.16 transcript:ENSMUST00000115031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid5a description:AT rich interactive domain 5A (MRF1-like) [Source:MGI Symbol;Acc:MGI:2443039] MAPPAKGNTEQSEEGDLPQLPVSPKPDDEQSRSQSPTQLQDSPEAGGEQEEEQAFLVSLY KFMKERHTPIERVPHLGFKQSASLGGGGWCSGRVSVGGQQPGDVPRQDNRQGTSAKGDPG CRQPVGVACEDGASLKARGGVGFSSSWAACPVHNSGPAWECGVTEPDRLPCLFPSVNLWK IYKAVEKLGAYELVTGRRLWKNVYDELGGSPGSTSAATCTRRHYERLVLPYVRHLKGEDD KPLPPTKPRKQYKMAKELRGDDGTTEKLKKAKDSEERRVEQTTPGKTKSDATGQTQLPCQ GSSRDSTEQLGPVSGPSPPLTGASSCPEAYKRLLSSFYCKGAHGIMSPLAKKKLLAQVSK AEALQCQEEGCRHGARSPNKDIQDSPQNLRGPAENSEHQLTPREGLQAPGGSTRMEAQVG PCPTAPMFSGCFHAYPTEVLKPVSQHPRDFFSGLKDRVLLGPPGKEEGPTTKESHLVWGG DANHPSAFHKGSTRKRSFYPKPKACWVSPMAKVPTERPGAPSPHPSSPGLGSKRGLEEEG FAHGGKKLRAVSPFLKEVDSKETGGKPAAPGLAVSCLLGPTPGPTPPEAYRGTMLRCPLN FTGSADPLKGQASLPFSPLVIPAFPAHLLATTGSSPMAASLMHFPPTPYDAVLRNRLGPA SSAWHMPPVTTYAAPHFFHLNTKL >ENSMUSP00000110684.1 pep:known chromosome:GRCm38:1:36307754:36322991:1 gene:ENSMUSG00000037447.16 transcript:ENSMUST00000115032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid5a description:AT rich interactive domain 5A (MRF1-like) [Source:MGI Symbol;Acc:MGI:2443039] MAAPPAKGNTEQSEEGDLPQLPVSPKPDDEQSRSQSPTQLQDSPEAGGEQEEEQAFLVSL YKFMKERHTPIERVPHLGFKQINLWKIYKAVEKLGAYELVTGRRLWKNVYDELGGSPGST SAATCTRRHYERLVLPYVRHLKGEDDKPLPPTKPRKQYKMAKELRGDDGTTEKLKKAKDS EERRVEQTTPGKTKSDATGQTQLPCQGSSRDSTEQLGPVSGPSPPLTGASSCPEAYKRLL SSFYCKGAHGIMSPLAKKKLLAQVSKAEALQCQEEGCRHGARSPNKDIQDSPQNLRGPAE NSEHQLTPREGLQAPGGSTRMEAQVGPCPTAPMFSGCFHAYPTEVLKPVSQHPRDFFSGL KDRVLLGPPGKEEGPTTKESHLVWGGDANHPSAFHKGSTRKRSFYPKPKACWVSPMAKVP TERPGAPSPHPSSPGLGSKRGLEEEGFAHGGKKLRAVSPFLKEVDSKETGGKPAAPGLAV SCLLGPTPGPTPPEAYRGTMLRCPLNFTGSADPLKGQASLPFSPLVIPAFPAHLLATTGS SPMAASLMHFPPTPYDAVLRNRLGPASSAWHMPPVTTYAAPHFFHLNTKL >ENSMUSP00000117810.1 pep:known chromosome:GRCm38:1:36307754:36324029:1 gene:ENSMUSG00000037447.16 transcript:ENSMUST00000137906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid5a description:AT rich interactive domain 5A (MRF1-like) [Source:MGI Symbol;Acc:MGI:2443039] MAAPPAKGNTEQSEEGDLPQLPVSPKPDDEQSRSQSPTQLQVTGRRLWKNVYDELGGSPG STSAATCTRRHYERLVLPYVRHLKGEDDKPLPPTKPRKQYKMAKELRGDDGTTEKLKKAK DSEERRVEQTTPGKTKSDATGQTQLPCQGSSRDSTEQLGPVSGPSPPLTGASSCPEAYKR LLSSFYCKGAHGIMSPLAKKKLLAQVSKAEALQCQEEGCRHGARSPNKDIQDSPQNLRGP AENSEHQLTPREGLQAPGGSTRMEAQVGPCPTAPMFSGCFHAYPTEVLKPVSQHPRDFFS GLKDRVLLGPPGKEEGPTTKESHLVWGGDANHPSAFHKGSTRKRSFYPKPKACWVSPMAK VPTERPGAPSPHPSSPGLGSKRGLEEEGFAHGGKKLRAVSPFLKEVDSKETGGKPAAPGL AVSCLLGPTPGPTPPEAYRGTMLRCPLNFTGSADPLKGQASLPFSPLVIPAFPAHLLATT GSSPMAASLMHFPPTPYDAVLRNRLGPASSAWHMPPVTTYAAPHFFHLNTKL >ENSMUSP00000110681.1 pep:known chromosome:GRCm38:1:36307769:36322988:1 gene:ENSMUSG00000037447.16 transcript:ENSMUST00000115029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid5a description:AT rich interactive domain 5A (MRF1-like) [Source:MGI Symbol;Acc:MGI:2443039] MAKELRGDDGTTEKLKKAKDSEERRVEQTTPGKTKSDATGQTQLPCQGSSRDSTEQLGPV SGPSPPLTGASSCPEAYKRLLSSFYCKGAHGIMSPLAKKKLLAQVSKAEALQCQEEGCRH GARSPNKDIQDSPQNLRGPAENSEHQLTPREGLQAPGGSTRMEAQVGPCPTAPMFSGCFH AYPTEVLKPVSQHPRDFFSGLKDRVLLGPPGKEEGPTTKESHLVWGGDANHPSAFHKGST RKRSFYPKPKACWVSPMAKVPTERPGAPSPHPSSPGLGSKRGLEEEGFAHGGKKLRAVSP FLKEVDSKETGGKPAAPGLAVSCLLGPTPGPTPPEAYRGTMLRCPLNFTGSADPLKGQAS LPFSPLVIPAFPAHLLATTGSSPMAASLMHFPPTPYDAVLRNRLGPASSAWHMPPVTTYA APHFFHLNTKL >ENSMUSP00000114963.1 pep:known chromosome:GRCm38:3:32736990:32751566:1 gene:ENSMUSG00000027673.12 transcript:ENSMUST00000127477.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb5 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 5 [Source:MGI Symbol;Acc:MGI:1913296] MAAMSLLQRASVSALTALSCRRAGPRLGVGSFLTRSFPKTVAPVRHSGDHGKRLFVVKPS LYYDARFLRLMKFYLMLTGIPVIIGITLVNIFIGEAELAEIPEGYIPEHWEYYKHPISRW IARNFYDGPEKNYEKTLAILQIESEKAELRLKEQEVRRLMRARGDGPWYQFPTPEKEFID HSPKATPDN >ENSMUSP00000113169.1 pep:known chromosome:GRCm38:3:32737071:32748900:1 gene:ENSMUSG00000027673.12 transcript:ENSMUST00000121778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb5 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 5 [Source:MGI Symbol;Acc:MGI:1913296] MAAMSLLQRASVSALTALSCRRAGPRLGVGSFLTRSFPKTVAPVRHSGDHGKRLFVVKPS LYYDARFLRLMKFYLMLTGIPVIIGITLVNIFIGEAELAEIPEGYIPEHWEYYKHPISRW IARNFYDGPEKNYEKTLAILQIESEKAELRPMEAKRASDVLKLELQACEPPDMNTASTLN C >ENSMUSP00000029217.5 pep:known chromosome:GRCm38:3:32737106:32751246:1 gene:ENSMUSG00000027673.12 transcript:ENSMUST00000029217.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufb5 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 5 [Source:MGI Symbol;Acc:MGI:1913296] RASVSALTALSCRRAGPRLGVGSFLTRSFPKTVGGWRPRRRGSCAAQWGPWQETVCRQAF FIL >ENSMUSP00000123596.1 pep:known chromosome:GRCm38:3:32737107:32748471:1 gene:ENSMUSG00000027673.12 transcript:ENSMUST00000156174.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufb5 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 5 [Source:MGI Symbol;Acc:MGI:1913296] XASVSALTALSCRRAGPRLGVGSFLTRSFPKTVGGWRPRRRGSCAAQWGPWQETVCRQAF FIL >ENSMUSP00000117240.1 pep:known chromosome:GRCm38:3:32737127:32749073:1 gene:ENSMUSG00000027673.12 transcript:ENSMUST00000154257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb5 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 5 [Source:MGI Symbol;Acc:MGI:1913296] TALSCRRAGPRLGVGSFLTRSFPKTVAPVRHSGDHGKRLFVVKPSLYYDARFLRLMKFYL MLTGIPVIIGITLVNIFIGEAELAEIPEGYIPEHWEYYKHPISRWIARNFYDGPEKNYEK TLAILQIESEKAELR >ENSMUSP00000115088.1 pep:known chromosome:GRCm38:3:32741595:32751102:1 gene:ENSMUSG00000027673.12 transcript:ENSMUST00000139593.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb5 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 5 [Source:MGI Symbol;Acc:MGI:1913296] MDTGPTCTQTNMQAKHSYKETSTLSPSRLQCCQSPGHLPAPVRHSGDHGKRLFVVKPSLY YDARFLRLMKFYLMLTGIPVIIGITLVNIFIGEAELAEIPEGYIPEHWEYYKHPISRWIA RNFYDGPEKNYEKTLAILQIESEKAELRLKEQEVRRLMRARGDGPWYQFPTP >ENSMUSP00000113602.1 pep:known chromosome:GRCm38:3:32744756:32751230:1 gene:ENSMUSG00000027673.12 transcript:ENSMUST00000122290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb5 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 5 [Source:MGI Symbol;Acc:MGI:1913296] MKFYLMLTGIPVIIGITLVNIFIGEAELAEIPEGYIPEHWEYYKHPISRWIARNFYDGPE KNYEKTLAILQIESEKAELRLKEQEVRRLMRARGDGPWYQFPTPEKEFIDHSPKATPDN >ENSMUSP00000140744.1 pep:known chromosome:GRCm38:1:15805646:15844052:1 gene:ENSMUSG00000025925.14 transcript:ENSMUST00000188371.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Terf1 description:telomeric repeat binding factor 1 [Source:MGI Symbol;Acc:MGI:109634] MAETVSSAARDAPSREGWTDSDSPEQEEVGDDAELLQCQLQLGTPREMENAELVAEVEAV AAGWMLDFLCLSLCRAFRDGRSEDFRRTRDSAEAIIHGLHRLTAYQLKTVYICQFLTRVA SGKALDAQFEVDERITPLESALMIWNSIEKEHDKLHDEIKNLIKIQAVAVCMEIGSFKEA EEVFERIFGDPEFYTPLERKLLKIISQKDVFHSLFQHFSYSCMMEKIQSYVGDVLSEKSS TFLMKAATKVVENEKARTQASKDRPDATNTGMDTEVGLNKEKSVNGQQSTETEPLVDTVS SIRSHKNALSQLKHRRAPSDFSRNEARTGTLQCETTMERNRRTSGRNRLCVSENQPDTDD KSGRRKRQTWLWEEDRILKCGVKKYGEGNWAKILSHYKFNNRTSVMLKDRWRTMKRLKLI S >ENSMUSP00000027057.7 pep:known chromosome:GRCm38:1:15805664:15843470:1 gene:ENSMUSG00000025925.14 transcript:ENSMUST00000027057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Terf1 description:telomeric repeat binding factor 1 [Source:MGI Symbol;Acc:MGI:109634] MAETVSSAARDAPSREGWTDSDSPEQEEVGDDAELLQCQLQLGTPREMENAELVAEVEAV AAGWMLDFLCLSLCRAFRDGRSEDFRRTRDSAEAIIHGLHRLTAYQLKTVYICQFLTRVA SGKALDAQFEVDERITPLESALMIWNSIEKEHDKLHDEIKNLIKIQPLERKLLKIISQKD VFHSLFQHFSYSCMMEKIQSYVGDVLSEKSSTFLMKAATKVVENEKARTQASKDRPDATN TGMDTEVGLNKEKSVNGQQSTETEPLVDTVSSIRSHKNALSQLKHRRAPSDFSRNEARTG TLQCETTMERNRRTSGRNRLCVSENQPDTDDKSGRRKRQTWLWEEDRILKCGVKKYGEGN WAKILSHYKFNNRTSVMLKDRWRTMKRLKLIS >ENSMUSP00000092423.2 pep:known chromosome:GRCm38:7:13101997:13102947:-1 gene:ENSMUSG00000070816.2 transcript:ENSMUST00000094828.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r86 description:vomeronasal 1 receptor 86 [Source:MGI Symbol;Acc:MGI:4438438] MLPSDTIFQIFLIFQFCLGVIGNSSLLMLYVYTFFFKPHLKKLIDSVFMHLTIVNMSTIM FSLIKDIMFSFRVPNFLDDIGCKAVLFSFRVSRGLSICTTSVLSTFQVITITPSNSKWAS WLKPRLSTWIFSSLLCSWLINLLIYGYMLDLVIAKTNNSHVGRGYSHGYCQNKKYGSHDS GWFFSLIITHDLIYVAVMMWASLYMVIFLYRHRKTAQHLHSPNLSSQPSPERKATHSILF LVSCFVLTYWLNNSITLYGFYTKEKIPRLEAIDTILTTSYPTICPFLLMKNNKVILQFTS SFSVLRMTSFQSSLHG >ENSMUSP00000041599.7 pep:known chromosome:GRCm38:5:100762145:100798598:-1 gene:ENSMUSG00000035266.16 transcript:ENSMUST00000044684.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helq description:helicase, POLQ-like [Source:MGI Symbol;Acc:MGI:2176740] MEDGCPRIRRRVSVRKRNRGNLENLRASPTPAELQPAEDTEDEAAAGSRRRKTGSPEHAQ ENDSEEDMFGDYDSFTESSFLAHVDDLEQRYMQLPECGDRDADSGTKDLCSAGLKNNLRV TTVINLTDPETSEHGQKQSHLDVPAEPEPGSDLSFDVPSSQILYFENPQNSPEALGDPCT KKTNGDPQKSSHEELVSSHTEQPEPNNDFSNVRAASESSRRKSLKDHLKSTMAGNARAQT PAFPRSKHLREALLSEEISVAKKAIESPSDDLGPFYSLPSKVRDLYVQLKGIKKLYDWQH TCLTLRSVQERKNLIYSLPTSGGKTLVAEILMLQELLCRQKDVLMILPYVAIVQEKISSL SSFGIELGFFVEEYAGSKGRFPPIKRREKKSLYIATIEKAHSLVNALIETSRLSTLGLVV VDELHMIGEGSRGAILEMTLAKVLYTSKTTQIIGMSATLNNVEDLQAFLKAEYYTSQFRP VELKEFLKVNDTIYEVDSQAADGMTFSRLLSYKYSEALKKMDPDRLVALVTEVIPNYSCL VFCPSKKNCENVAEMLCKFLSKDYLNHREKEKCEVIKSLRNIGNGKVCPVLKRTVPFGIA YHHSGLTSEERKLLEEAYSTGVLCLLTCTSTLAAGVNLPARRVILRAPYVANTFLKRNQY KQMVGRAGRAGIDTAGESILLLQEKDKQQVLELISGPLETCCSHLVEEFTKGIQALFLSL IGLKIAASLGDIYQFMSGTFFGVQQKILLKEKSLWEITVDALEHLTEKGLLQKDSCGDNE GLECHFRITKLGQASFKGAIDLAYCDTLYRDLKKGLEGLVLESLLHLIYLTTPYDLAAQS EPDWMVYFKQFGQLSPTEQNVAALLGVSESFIGKKAAGQAVRKKVDKNVVNRLYLSFVLY SLLKETNVWSVSEKFNLPRGYIQNLLMGAASFSSCVLHFCEELEEFWVYKALLVELTKKL TYCVKAELIPLMEVTGVLEGRAKQLYNAGYRSIMHLANANPEVLVKTIDHLSRRQARQIV SSAKMLLHEKAEALQGEAEELLRLPADLPGLGGPSSERAGSHAGDVTLS >ENSMUSP00000116470.1 pep:known chromosome:GRCm38:5:100762447:100798468:-1 gene:ENSMUSG00000035266.16 transcript:ENSMUST00000133845.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helq description:helicase, POLQ-like [Source:MGI Symbol;Acc:MGI:2176740] XDGCPRIRRRVSVRKRNRGNLENLRASPTPAELQPAEDTEDEAAAGSRRRKTGSPEHAQE NDSEEDMFGDYDSFTESSFLAHVDDLEQRYMQLPECGDRDADSGTKDLCSAGLKNNLRVT TVINLTDPETSEHGQKQSHLDVPAEPEPGSDLSFDVPSSQILYFENPQNSPEALGDPCTK KTNGDPQKSSHEELVSSHTEQPEPNNDFSNVRAASESSRRKSLKDHLKSTMAGNARAQTP AFPRSKHLREALLSEEISVAKKAIESPSDDLGPFYSLPSKVRDLYVQLKGIKKLYDWQHT CLTLRSVQERKNLIYSLPTSGGKTLVAEILMLQELLCRQKDVLMILPYVAIVQEKISSLS SFGIELGFFVEEYAGSKGRFPPIKRREKKSLYIATIEKAHSLVNALIETSRLSTLGLVVV DELHMIGEGSRGAILEMTLAKVLYTSKTTQIIGMSATLNNVEDLQAFLKAEYYTSQFRPV ELKEFLKVNDTIYEVDSQAADGMTFSRLLSYKYSEALKKMDPDRLVALVTEVIPNYSCLV FCPSKKNCENVAEMLCKFLSKDYLNHREKEKCEVIKSLRNIGNGKVCPVLKRTVPFGIAY HHSGLTSEERKLLEEAYSTGVLCLLTCTSTLAAGVNLPARRVILRAPYVANTFLKRNQYK QMVGRAGRAGIDTAGESILLLQEKDKQQVLELISGPLETCCSHLVEEFTKGIQALFLSLI GLKIAASLGDIYQFMSGTFFGVQQKILLKEKSLWEITVDALEHLTEKGLLQKDSCGDNEG LECHFRITKLGQASFKGAIDLAYCDTLYRDLKKGLEGLVLESLLHLIYLTTPYDLAAQSE PDWMVYFKQFGQLSPTEQNVAALLGVSESFIGKKAAGQAVRKGRAKQLYNAGYRSIMHLA NANPEVLVKTIDHLSRRQARQIVSSAKMLLHEKAEALQGEAEELLRLPADLPGLGGPSSE RAGSHAGDVTLS >ENSMUSP00000120806.1 pep:known chromosome:GRCm38:5:100766737:100774036:-1 gene:ENSMUSG00000035266.16 transcript:ENSMUST00000155362.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Helq description:helicase, POLQ-like [Source:MGI Symbol;Acc:MGI:2176740] XKGLLQKDSCGDNEGLECHFRITKLGQASFKGAIDLAYCDTLYRDLKKGLEGLVLESLLH LIYLTTPYDLAAQSEPDWMVYFKQFGQLSPTEQNVAALLGVSESFIGKKAAGQAVRKIPV SKFRARGKSWLASFTGPELTASAKALVPSCHGCEGSICSPLQNRDK >ENSMUSP00000118493.1 pep:known chromosome:GRCm38:5:100783180:100798577:-1 gene:ENSMUSG00000035266.16 transcript:ENSMUST00000151201.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Helq description:helicase, POLQ-like [Source:MGI Symbol;Acc:MGI:2176740] MEDGCPRIRRRVSVRKRNRGNLENLRASPTPAELQPAEDTEDEAAAGSRRRKTGSPEHAQ ENDSEEDMFGDYDSFTESSFLAHVDDLEQRYMQLPECGDRDADSGTKDLCSAGLKNNLRV TTVINLTDPETSEHGQKQSHLDVPAEPEPGSDLSFDVPSSQILYFENPQNSPEALGDPCT KKTNGDPQKSSHEELVSSHTEQPEPNNDFSNVRAASESSRRKSLKDHLKSTMAGNARAQT PAFPRSKHLREALLSEEISVAKKAIESPSDDLGPFYSLPSKVRDLYVQLKGIKKLYDFQF IEFWYRTWFLC >ENSMUSP00000108871.2 pep:known chromosome:GRCm38:9:82829540:82847687:1 gene:ENSMUSG00000032251.12 transcript:ENSMUST00000113245.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1bp1 description:interleukin-1 receptor-associated kinase 1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1929475] MSLQPAPASRVFMELVPWADRGRENHPISAAEAQPIGRRPHVAEAHPGAREVHVSGAAEV SASPDRALVTVRVSSTKEVSAEAKKSVCRRLDYITQSLQQQGFQAENVTVTKNIRRVENA YHMEAEVCITFTEFGKMQNICNFLVEKLDSSVVISPPEFYHTPGSVENLRRQACLVAVEN AWRKAQEVCDLVGQTLGKPLLIKEEETKDWEGQTDDHQLSRLPGTLTVQQKIKSATIHAA SKVFITFEVKGKEKKKKHL >ENSMUSP00000034783.4 pep:known chromosome:GRCm38:9:82829806:82847020:1 gene:ENSMUSG00000032251.12 transcript:ENSMUST00000034783.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak1bp1 description:interleukin-1 receptor-associated kinase 1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1929475] MSLQPAPASRVFMELVPWADRGRENHPISAAEAQPIGRRPHVAEAHPGAREVHVSGAAEV SASPDRALVTVRVSSTKEVSAEAKKSVCRRLDYITQSLQQQGFQVCITFTEFGKMQNICN FLVEKLDSSVVISPPEFYHTPGSVENLRRQACLVAVENAWRKAQEVCDLVGQTLGKPLLI KEEETKDWEGQTDDHQLSRLPGTLTVQQKIKSATIHAASKVFITFEVKGKEKKKKHL >ENSMUSP00000138120.1 pep:known chromosome:GRCm38:5:139807978:139826407:-1 gene:ENSMUSG00000098140.1 transcript:ENSMUST00000182839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26938 description:predicted gene, 26938 [Source:MGI Symbol;Acc:MGI:5504053] MEDKPLVVSKQKTEVVCGVPTQVVCTAFSSHILVVVTQFGKMGTLVSLEPSNVANDISKP VLTTRVLLGQDEMRNASGFLKTAGAPLVSATWLPPSPPPAMPTVAAGPQMERVDNGSQGA PQLFLTSALARGVSGVFVWTALLLTGHQIYSHLRSYTAPREQRFVIRLLFIVPIYAFDSW LSLLLLGGHPYYVYFDSVRDCYEAFVIYSFLTLCFQYLGGESAIMA >ENSMUSP00000046782.6 pep:known chromosome:GRCm38:X:152336855:152342425:-1 gene:ENSMUSG00000041096.13 transcript:ENSMUST00000044509.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspyl2 description:TSPY-like 2 [Source:MGI Symbol;Acc:MGI:106244] MDRPDEGPPAKTPRLSSSEPRQRDLPPPPPPPLQRLPLPPPQQRPRPQEETEAAQVLADM RGVGPTLPPPLPYVILEEGGIRAYFTLSAESPGWDHAMESGFGEAPSTGIMETLPSSEIS GGSLAIDFQVAEPSSLGEKALETCSLGGWGPQMLVGPKRKEEAIIIVEDEDEDDKESVRR RQRRRRRRRKQRKAKESRERSAQRMESILQALESIQMDLEAVNIKAGKAFLRLKRKFIQM RRPFLERRDLIIQHIPGFWVKAFLNHPRISILINQRDRDIFRYLTNLQVQDLRHISMGYK MKLYFQTNPYFTNMVIVKEFQRNRSGRLVSHSTPIRWHRGQEPQAYNRRSHDTRESFFNW FSNHSLPEADRIAEIIKNDLWVNPVRYYMRRGGYRSSRKKQHGKERAKNQYEMVIMEDAH DHYAIEDILSDISEIDEITDNETIHDIKISDFMETTDYFETTDNEVTDANENLCDSENPD HSEGYNTKITDNKGSVAANPDDNSDDPEEKNTYDSEDSNSEKADGDNTTLRDNQQVTNIQ DSSDSDNGDEGSDDEDDDGNEGDNEGSDDDDDDNEGSDDDDRDIRYYKNGPEVFDKALDN RTNQNDYEEEVELISEDSVEEEEETSEEASQLSEDSYEDERIYGEERSEVNSEDSDIQEV LPVPKAWASLGKKGKIG >ENSMUSP00000137121.1 pep:known chromosome:GRCm38:X:152339301:152341844:-1 gene:ENSMUSG00000041096.13 transcript:ENSMUST00000178165.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tspyl2 description:TSPY-like 2 [Source:MGI Symbol;Acc:MGI:106244] XEAIIIVEDEDEDDKESVRRRQRRRRRRRKQRKAKESRERSAQRMESILQALESIQMDLE AVNIKAGKAFLRLKRKFIQMRRPFLERRDLIIQHIPGFWVKALHSSVPQPPQNFNLDQPT >ENSMUSP00000023494.6 pep:known chromosome:GRCm38:16:38362209:38378216:1 gene:ENSMUSG00000022803.12 transcript:ENSMUST00000023494.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Popdc2 description:popeye domain containing 2 [Source:MGI Symbol;Acc:MGI:1930150] MSANGSSVAQLLWQPPVCRSWKPDVEGAVYHLANCFLLMGFMAGSGVYGCFYLFGILGPG YLCCVLWGWFDACGLDIVLWNVLLTVACLLQLAQLVYRVRVNTLPEEFNLLYRTLCLPLQ VPLQVYKEIVHCCHEQVLTLATEQTYAVEGETPINRLSLLLSGRVRVSQDGQFLHYIFPY QFMDSPEWESLHPSEEGTFQVTLTAETECSYISWPRKNLYLLLNRERYISRLFSALLGYD ISEKLYTLNDKLFAKFGLRFDIRLPSLYHVLSPSASDGEPESEKDDEEALEAAVSPAQAR PICIVPTPPCSAPPATTNFPVPLPRARMPRMPRPDSGNLGEDSTSLVLEDFEEVSGSESF MDYRSDGEYMR >ENSMUSP00000110387.1 pep:known chromosome:GRCm38:16:38362245:38378216:1 gene:ENSMUSG00000022803.12 transcript:ENSMUST00000114739.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Popdc2 description:popeye domain containing 2 [Source:MGI Symbol;Acc:MGI:1930150] MSANGSSVAQLLWQPPVCRSWKPDVEGAVYHLANCFLLMGFMAGSGVYGCFYLFGILGPG YLCCVLWGWFDACGLDIVLWNVLLTVACLLQLAQLVYRVRVNTLPEEFNLLYRTLCLPLQ VPLQVYKEIVHCCHEQVLTLATEQTYAVEGETPINRLSLLLSGRVRVSQDGQFLHYIFPY QFMDSPEWESLHPSEEGTFQVTLTAETECSYISWPRKNLYLLLNRERYISRLFSALLGYD ISEKLYTLNDKLFAKFGLRFDIRLPSLYHVLSPSASDGEPESEKDDEEALEAAVSPAQAR PICIVPTPPCSAPPATTNFPVPLPRARMPRMPRPDSGNLASRRPLQNSSQVMSRSQAPLA PIHTPEL >ENSMUSP00000100019.3 pep:known chromosome:GRCm38:13:27383339:27390846:-1 gene:ENSMUSG00000069258.10 transcript:ENSMUST00000102954.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2b1 description:prolactin family 2, subfamily b, member 1 [Source:MGI Symbol;Acc:MGI:1861444] MLLSLTQMLSSRASSRLFLVSYLLLWENVVSTSTCAEKDATIQDSLEKLLTLTTFMSQVV SSETAKLFTEFNNQYAQGKRYNDRIPGTCHTEFFDTPVNKEQSLVRTPETLLTLVHSLLN SWTNALNHLVNEMSTMQGDTSSLISKAREIQAKFDELTTGVKIVQSMIGERDIATYSAWS GLASLQSSNEDVRCFSFYTMIRCLLRDSRKVNTYLEVIKYKMVDQNNC >ENSMUSP00000089267.2 pep:known chromosome:GRCm38:13:27383339:27390846:-1 gene:ENSMUSG00000069258.10 transcript:ENSMUST00000091678.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2b1 description:prolactin family 2, subfamily b, member 1 [Source:MGI Symbol;Acc:MGI:1861444] MLLSLTQMLSSRASSRLFLVSYLLLWENVVSTSTCAEKDATIQDSLEKLLTLTTFMSQVV SSETAKLFTEFNNQYAQGKRYNDRIPGTCHTEFFDTPVNKEQSLVRTPETLLTLVHSLLN SWTNALNHLVNEMSTMQGDTSSLISKAREIQAKFDELTTGVKIVQSMSFADHFQIGERDI ATYSAWSGLASLQSSNEDVRCFSFYTMIRCLLRDSRKVNTYLEVIKYKMVDQNNC >ENSMUSP00000106456.1 pep:known chromosome:GRCm38:5:139802485:139813243:-1 gene:ENSMUSG00000036687.13 transcript:ENSMUST00000110832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem184a description:transmembrane protein 184a [Source:MGI Symbol;Acc:MGI:2385897] MRNASGFLKTAGAPLVSATWLPPSPPPAMPTVAAGPQMERVDNGSQGAPQLFLTSALARG VSGVFVWTALLLTGHQIYSHLRSYTAPREQRFVIRLLFIVPIYAFDSWLSLLLLGGHPYY VYFDSVRDCYEAFVIYSFLTLCFQYLGGESAIMAEIRGKPIRSSCFYGTCCLRGMSYSIT FLRFCKQATLQFCIVKPVMALITIILQAFDKYHDGDFNIHSGYLYVTLVYNASVSLALYA LFLFYFATRDLLRPFEPVLKFLTIKAIIFLSFWQGMLLAILERCGVIPEVQAVDGTRVGA GTLAAGYQNFLICVEMLFASLALRYAFPSQVYSEKKNSPVPPAPMQSISSGLKETISPQD IVQDAIHNFSPAYQQYTQQSTHEAPGPGQGGHPAPSTHPGPASGSGGGKKSRNIEKRMLI PSEDL >ENSMUSP00000035399.4 pep:known chromosome:GRCm38:5:139804952:139814283:-1 gene:ENSMUSG00000036687.13 transcript:ENSMUST00000044002.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem184a description:transmembrane protein 184a [Source:MGI Symbol;Acc:MGI:2385897] MTSQAAPEEARPPRPGPKSFLLTEMRNASGFLKTAGAPLVSATWLPPSPPPAMPTVAAGP QMERVDNGSQGAPQLFLTSALARGVSGVFVWTALLLTGHQIYSHLRSYTAPREQRFVIRL LFIVPIYAFDSWLSLLLLGGHPYYVYFDSVRDCYEAFVIYSFLTLCFQYLGGESAIMAEI RGKPIRSSCFYGTCCLRGMSYSITFLRFCKQATLQFCIVKPVMALITIILQAFDKYHDGD FNIHSGYLYVTLVYNASVSLALYALFLFYFATRDLLRPFEPVLKFLTIKAIIFLSFWQGM LLAILERCGVIPEVQAVDGTRVGAGTLAAGYQNFLICVEMLFASLALRYAFPSQVYSEKK NSPVPPAPMQSISSGLKETISPQDIVQDAIHNFSPAYQQYTQQSTHEAPGPGQGGHPAPS THPGPASGSGGGKKSRNIEKRMLIPSEDL >ENSMUSP00000117714.1 pep:known chromosome:GRCm38:5:139808490:139814042:-1 gene:ENSMUSG00000036687.13 transcript:ENSMUST00000146780.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem184a description:transmembrane protein 184a [Source:MGI Symbol;Acc:MGI:2385897] MRNASGFLKTAGAPLVSATWLPPSPPPAMPTVAAGPQMERVDNGSQGAPQLFLTSALARG VSGVFVWTALLLTGHQIYSHLRSYTAP >ENSMUSP00000119412.1 pep:known chromosome:GRCm38:5:139813008:139819917:-1 gene:ENSMUSG00000036687.13 transcript:ENSMUST00000147328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem184a description:transmembrane protein 184a [Source:MGI Symbol;Acc:MGI:2385897] MRNASGFLKTAGAPLVSATWLPPSPPPAMPTVAAGPQMERVDNGSQGAPQLFLTSALARG VS >ENSMUSP00000043355.4 pep:known chromosome:GRCm38:10:4401915:4432388:-1 gene:ENSMUSG00000019763.11 transcript:ENSMUST00000042251.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmnd1 description:required for meiotic nuclear division 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913334] MPSRLLHTLASLHSISAKAHQCQRLTWLTLRPLRKSESTTCHNGLTIQQHLHSFFPSITA DSLNKPEILEMSRITSDTSRLFPLNAVHWLDGKEHWPSMHPFGTHRSMTHKPNLLCSKWF IKAVKRHHSSVSNEALVPKQDLPQIKRPLKASRTRQPSRTNLPDLSVNEDLMQCTAFATA DEYHLGSLSQELVSCGYVEVTSLPRDAANILVMGVESSAKEGDPGTIFLFREGAAVFWNV KEKTMKHVMQVLERHETQPYEVALVHWENEELNYIKTEGQSKLHRGEIKLNSELDLDDAI LEKFAFSNALCLSVKLAIWEATLDKFIESIQSIPEALKAGKKVKLSHKEVMQKMGELFAL RHRINLSSDFLITPDFYWDRANLEELYDKTCQFLSITRRVKVMNEKLQHCMELTDLMRNH LNEKRALRLEWMIVILITIEVMFELGRVFF >ENSMUSP00000123418.1 pep:known chromosome:GRCm38:10:4427523:4432334:-1 gene:ENSMUSG00000019763.11 transcript:ENSMUST00000131853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmnd1 description:required for meiotic nuclear division 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913334] MPSRLLHTLASLHSISAKAHQCQRLTWLTLRPLRKSESTTCHNGLTIQQHL >ENSMUSP00000114344.1 pep:known chromosome:GRCm38:10:4427445:4432334:-1 gene:ENSMUSG00000019763.11 transcript:ENSMUST00000126102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmnd1 description:required for meiotic nuclear division 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913334] MPSRLLHTLASLHSISAKAHQCQRLTWLTLRPLRKSESTTCHNGLTIQQHLHSFFPSITA DSLNKPEILEMSRITSDT >ENSMUSP00000119033.1 pep:known chromosome:GRCm38:10:4427243:4432295:-1 gene:ENSMUSG00000019763.11 transcript:ENSMUST00000155172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmnd1 description:required for meiotic nuclear division 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913334] MPSRLLHTLASLHSISAKAHQCQRLTWLTLRPLRKSESTTCHNGLTIQQHLHSFFPSITA DSLNKPEILEMSRITSDTSRLFPLNAVHWLDGKEHWPSMHPFGTHRSMTHKPNLLCSKWF IKAVKRHHSSVSNEALVPKQDLPQI >ENSMUSP00000119195.1 pep:known chromosome:GRCm38:10:4403546:4407918:-1 gene:ENSMUSG00000019763.11 transcript:ENSMUST00000128434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmnd1 description:required for meiotic nuclear division 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913334] INLSSDFLITPDFYWDRANLEELYDKTCQFLSITRRVKVMNEKLQHCMELTDLMRNHLNE KRALRLEWMIVILITIEVSSSPARALLPSTCIG >ENSMUSP00000109508.1 pep:known chromosome:GRCm38:X:95048935:95166361:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000113876.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPASFVRLWVNQEDGVEEGPSDVQN GHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIKHLKDICEGYLKQCRKRRDMFS DEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEIGPCFLEHQDGFWIYSEYCNNH LDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLLTPVQKICKYPLQLAELLKYTA QDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQWQASVLDWEGEDILDRSSELIY TGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDILYYKGRIDMDKYEVIDIEDGRD DDFNVSMKNAFKLHNKETEEVHLFFAKKLEEKIRWLRAFREERKMVQEDEKIGFEISENQ KRQAAMTVRKASKQKGVNSARSVPPSYPPPQDPLNQGQYLVPDGIAQSQVFEFTEPKRSQ SPFWQNFSRLTPFKK >ENSMUSP00000142615.1 pep:known chromosome:GRCm38:X:95048935:95196502:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000197364.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MQWIRGGTGMLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDE EGWFPASFVRLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMS TERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNND DPHLSEIGPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDI AIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLEN IDKIAQWQASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKD LIRRDILYYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEK IRWLRAFREERKMVQEDEKIVL >ENSMUSP00000109515.1 pep:known chromosome:GRCm38:X:95048936:95166408:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000113883.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MTLLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPAS FVRLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIK HLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEI GPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLL TPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQW QASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDIL YYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEKIRWLRAF REERKMVQEDEKIGFEISENQKRQAAMTVRKASKQKGVNSARSVPPSYPPPQDPLNQGQY LVPDGIAQSQVFEFTEPKRSQSPFWQNFSRLTPFKK >ENSMUSP00000109517.1 pep:known chromosome:GRCm38:X:95048938:95166384:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000113885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MTLLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPAS FVRLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIK HLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEI GPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLL TPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQW QASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDIL YYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEKIRWLRAF REERKMVQEDEKIGFEISENQKRQAAMTVRKASKQKGVNSARSVPPSYPPPQDPLNQGQY LVPDGIAQSQVFEFTEPKRSQSPFWQNFSRYCGRI >ENSMUSP00000138668.1 pep:known chromosome:GRCm38:X:95048944:95166474:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000182001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPASFVRLWVNQEDGVEEGPSDVQN GHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIKHLKDICEGYLKQCRKRRDMFS DEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEIGPCFLEHQDGFWIYSEYCNNH LDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLLTPVQKICKYPLQLAELLKYTA QDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQWQASVLDWEGEDILDRSSELIY TGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDILYYKGRIDMDKYEVIDIEDGRD DDFNVSMKNAFKLHNKETEEVHLFFAKKLEEKIRWLRAFREERKMVQEDEKIGFEISENQ KRQAAMTVRKASKQKGVNSARSVPPSYPPPQDPLNQGQYLVPDGIAQSQVFEFTEPKRSQ SPFWQNFSRLTPFKK >ENSMUSP00000109510.1 pep:known chromosome:GRCm38:X:95048944:95166486:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000113878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MYDLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPAS FVRLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIK HLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEI GPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLL TPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQW QASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDIL YYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEKIRWLRAF REERKMVQEDEKIGFEISENQKRQAAMTVRKASKQKGVNSARSVPPSYPPPQDPLNQGQY LVPDGIAQSQVFEFTEPKRSQSPFWQNFSRLTPFKK >ENSMUSP00000138461.1 pep:known chromosome:GRCm38:X:95050177:95196505:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000181987.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MQWIRGGTGMLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDE EGWFPASFVRLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMS TERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNND DPHLSEIGPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDI AIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLEN IDKIAQWQASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKD LIRRDILYYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEK IRWLRAFREERKMVQEDEKIGFEISENQKRQAAMTVRKASKQKGTSAFTPPNPLTVCVGL GNHGVCVFTFLGSFLY >ENSMUSP00000109516.1 pep:known chromosome:GRCm38:X:95050266:95196833:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000113884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MQWIRGGTGMLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDE EGWFPASFVRLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMS TERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNND DPHLSEIGPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDI AIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLEN IDKIAQWQASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKD LIRRDILYYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEK IRWLRAFREERKMVQEDEKIGFEISENQKRQAAMTVRKASKQKGRVGEEKNHSLKLKRVC EVLQGYYGLLKRNPNQELSTCVNSARSVPPSYPPPQDPLNQGQYLVPDGIAQSQVFEFTE PKRSQSPFWQNFSRLTPFKK >ENSMUSP00000138258.1 pep:known chromosome:GRCm38:X:95051524:95196821:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000128565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MQWIRGGTGMLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMS TERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNND DPHLSEIGPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDI AIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLEN IDKIAQWQASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKD LIRRDILYYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEK IRWLRAFREERKMVQEDEKIGFEISENQKRQAAMTVRKASKQKVTQRKWHY >ENSMUSP00000109514.1 pep:known chromosome:GRCm38:X:95051529:95166500:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000113882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MTLLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPAS FVRLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIK HLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEI GPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLL TPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQW QASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDIL YYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEKIRWLRAF REERKMVQEDEKIGFEISENQKRQAAMTVRKASKQKGVNSARSVPPSYPPPQDPLNQGQY LVPDGIAQSQVFEFTEPKRSQSPFWQNFSRLTPFKK >ENSMUSP00000142769.1 pep:known chromosome:GRCm38:X:95051555:95196856:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000197206.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MQWIRGGTGMLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMS TERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNND DPHLSEIGPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDI AIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLEN IDKIAQWQASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKD LIRRDILYYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEK IRWLRAFREERKMVQEDEKIGFEISENQKRQAAMTVRKASKQKGVNSARSVPPSYPPPQD PLNQGQYLVPDGIAQSQVFEFTEPKRSQSPFWQNFSRLTPFKK >ENSMUSP00000143086.1 pep:known chromosome:GRCm38:X:95051604:95081629:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000196354.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] SRYQHFFEACRLLQQMIDIAIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAAL AVMRNVTQQINERKRRLENIDKIAQWQASVLDWEDLIRRDILYYKGRIDMDKYEVIDIED GRDDDFNVSMKNAFKLHNKETEEVHLFFAKKLEEKIRWLRAFREERKMVQEDEKIGFEIS ENQKRQAAMTVRKASKQKGVNSARSVPPSYPPPQDPLNQGQYLVPDGIAQSQVFEFTEPK RSQSPFWQNFSRLTPFKK >ENSMUSP00000143779.1 pep:known chromosome:GRCm38:X:95051694:95166373:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000199920.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPASFVRLWVNQEDGVEEGPSDVQN GHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIKHLKDICEGYLKQCRKRRDMFS DEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEIGPCFLEHQDGFWIYSEYCNNH LDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLLTPVQKICKYPLQLAELLKYTA QDHSDYRYVAAALAVMRNVTQQINERKRRLENIDKIAQWQASVLDWEGEDILDRSSELIY TGEMAWIYQPYGRNQQRVFFLFDHQMVLCKKDLIRRDILYYKGRIDMDKYEVIDIEDGRD DDFNVSMKNAFKLHNKETEEVHLFFAKKLEEKIRWLRAFREERKMVQEDEKIGFEISENQ KRQAAMTVRKASKQKGVNSARSVPPSYPPPQDPLNQGQYLVPDGIAQSQVFEFTEPKRSQ SPFWQNFSRLTPFKK >ENSMUSP00000143184.1 pep:known chromosome:GRCm38:X:95051919:95054947:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000182135.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] XKGRVGEEKNHSLKLKRVCEVLQGYYGLLKRNPNQELSTCEL >ENSMUSP00000138243.1 pep:known chromosome:GRCm38:X:95051920:95058953:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000182137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] XKNAFKLHNKETEEVHLFFAKKLEEKIRWLRAFREERKMVQEDEKIGFEISENQKRQAAM TVRKASKQKGTSAFTPPNPLTVCVGLGNHGVSTLPAQFLLPTHHHRTR >ENSMUSP00000109505.1 pep:known chromosome:GRCm38:X:95051926:95166307:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000113873.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MRANVINEIMSTERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGF VRDLEKQYNNDDPHLSEIGPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFE ACRLLQQMIDIAIDGFLLTPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQ QINERKRRLENIDKIAQWQASVLDWEGEDILDRSSELIYTGEMAWIYQPYGRNQQRVFFL FDHQMVLCKKDLIRRDILYYKGRIDMDKYEVIDIEDGRDDDFNVSMKNAFKLHNKETEEV HLFFAKKLEEKIRWLRAFREERKMVQEDEKIGFEISENQKRQAAMTVRKASKQKGVNSAR SVPPSYPPPQDPLNQGQYLVPDGIAQSQVFEFTEPKRSQSPFWQNFSRLTPFKK >ENSMUSP00000142911.1 pep:known chromosome:GRCm38:X:95077473:95165825:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000198753.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MYDLWVNQEDGVEEGPSDVQNGHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIK HLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEI GPCFLEHQDGFWIYSEYCNNHLDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLL TPVQKICKYPLQLAELLKYTAQDHSDYRYVAAALAVMRNVTQQINERKRRLENIDK >ENSMUSP00000142905.1 pep:known chromosome:GRCm38:X:95081550:95196452:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000200628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPASFVRLWVNQEDGVEEGPSDVQN GHLDPNSDCLCLGRPLQNRDQMRANVINEIMSTERHYIKHLKDICEGYLKQCRKRRDMFS DEQLKVIFGNIEDIYRFQMGFVRDLEKQYNNDDPHLSEIGPCFLEHQDGFWIYSEYCNNH LDACMELSKLMKDSRYQHFFEACRLLQQMIDIAIDGFLLT >ENSMUSP00000138147.1 pep:known chromosome:GRCm38:X:95101050:95166539:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000182562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MRANVINEIMSTERHYIKHLKDICEGYLKQCRKRRDMFSDEQLKVIFGNIEDIY >ENSMUSP00000143373.1 pep:known chromosome:GRCm38:X:95112532:95166438:-1 gene:ENSMUSG00000025656.17 transcript:ENSMUST00000196012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef9 description:CDC42 guanine nucleotide exchange factor (GEF) 9 [Source:MGI Symbol;Acc:MGI:2442233] MTLLITGDSIVSAEAVWDHVTMANRELAFKAGDVIKVLDASNKDWWWGQIDDEEGWFPAS FVRLWVNQ >ENSMUSP00000051561.7 pep:known chromosome:GRCm38:5:108669878:108675569:-1 gene:ENSMUSG00000046959.16 transcript:ENSMUST00000051757.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a1 description:solute carrier family 26 (sulfate transporter), member 1 [Source:MGI Symbol;Acc:MGI:2385894] MDASPEPQQKGGTLVLVRRQPPVSQGLLETLKARLKKSCTCSMPCAQALVQGLFPAIHWL PQYRLKEYLAGDVMSGLVIGIILVPQAIAYSLLAGLQPIYSLYTSFFANLIYFLMGTSRH VNVGIFSLLCLMVGQVVDRELQLAGFDPSQDSLGPKNNDSTLNNSATTLIIGLQDCRRDC YAIRVATALTLMAGLYQVLMGILRLGFVSTYLSQPLLDGFAMGASVTILTSQAKHMLGVQ IPRHQGLGMVVHTWLSLLQNVGQANICDVVTSALCLGVLLAAKELSDRYRHRLKVPIPTE LFVIVVATIVSHFGQLHTRFDSRVAGNIPTGFVAPQVPDPKIMWRVALDAMSLALVGSAF SISLAEMFARSHGYSVSANQELLAVGCCNVLPAFFHCFATSAALSKTLVKIATGCQTQLS SVVSAAVVLLVLLVLAPLFHDLQRCVLACIIVVSLRGALRKVKDLPQLWRLSPADALVWV ATAATCVLVSTEAGLLAGVFFSLLSLAGRTQRPRAALLARIGDSTFYEDAAEFEGLLPPP EVRVFRFTGPLYYANKDFFLRSLYRLTGLDAGHSATRKDQGPEVGVSNRSLVDGKDLGSV SSGAGLVVPLAFGFHTVVIDCAPLLFLDVAGMATLKDLRRDYRALDITLLLACCSPSVRD TLRKGGFLGEEQGAENELLFPSVHSAVEAACARREELLAADSAL >ENSMUSP00000113185.1 pep:known chromosome:GRCm38:5:108670534:108674753:-1 gene:ENSMUSG00000046959.16 transcript:ENSMUST00000119270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a1 description:solute carrier family 26 (sulfate transporter), member 1 [Source:MGI Symbol;Acc:MGI:2385894] MVFTGEQISQRAWCDRMDASPEPQQKGGTLVLVRRQPPVSQGLLETLKARLKKSCTCSMP CAQALVQGLFPAIHWLPQYRLKEYLAGDVMSGLVIGIILVPQAIAYSLLAGLQPIYSLYT SFFANLIYFLMGTSRHVNVGIFSLLCLMVGQVVDRELQLAGFDPSQDSLGPKNNDSTLNN SATTLIIGLQDCRRDCYAIRVATALTLMAGLYQVLMGILRLGFVSTYLSQPLLDGFAMGA SVTILTSQAKHMLGVQIPRHQGLGMVVHTWLSLLQNVGQANICDVVTSALCLGVLLAAKE LSDRYRHRLKVPIPTELFVIVVATIVSHFGQLHTRFDSRVAGNIPTGFVAPQVPDPKIMW RVALDAMSLALVGSAFSISLAEMFARSHGYSVSANQELLAVGCCNVLPAFFHCFATSAAL SKTLVKIATGCQTQLSSVVSAAVVLLVLLVLAPLFHDLQRCVLACIIVVSLRGALRKVKD LPQLWRLSPADALVWVATAATCVLVSTEAGLLAGVFFSLLSLAGRTQRPRAALLARIGDS TFYEDAAEFEGLLPPPEVRVFRFTGPLYYANKDFFLRSLYRLTGLDAGHSATRKDQGPEV GVSNRSLVDGKDLGSVSSGAGLVVPLAFGFHTVVIDCAPLLFLDVAGMATLKDLRRDYRA LDITLLLACCSPSVRDTLRKGGFLGEEQGAENELLFPSVHSAVEAACARREELLAADSAL >ENSMUSP00000116540.1 pep:known chromosome:GRCm38:5:108672083:108674785:-1 gene:ENSMUSG00000046959.16 transcript:ENSMUST00000136227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a1 description:solute carrier family 26 (sulfate transporter), member 1 [Source:MGI Symbol;Acc:MGI:2385894] MDASPEPQQKGGTLVLVRRQPPVSQGLLETLKARLKKSCTCSMPCAQALVQGLFPAIHWL PQYRLKEYLAGDVMSGLVIGIILVPQAIAYSLLAGLQPIYSLYTSFFANLIYFLMGTSRH VNVGIFSLLCLMVGQVVDRELQLAGFDPSQDSLGPKNNDSTLNNSATTLIIGLQDCRRDC YAIRVATALTLMAGLYQVLMGILRLGFVSTYLSQPLLDGFAMGASVTILTSQAKHMLGVQ IPRHQGLGMVVHTWLSLLQNVGQANICDVVTSALCLGVLLAAKELSDRYRHRLKVPIPTE LFVIVVATIVSHFGQLHTRFDSRVAGNIPTGFVAPQVPDPKIMWRVALDAMSLALVGSAF SISLAEMFARSHGYSVSANQELLAVGCCNVLPAFFHCFATSAALSKTLVKIATGCQ >ENSMUSP00000131282.1 pep:known chromosome:GRCm38:5:108670550:108674753:-1 gene:ENSMUSG00000046959.16 transcript:ENSMUST00000163328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a1 description:solute carrier family 26 (sulfate transporter), member 1 [Source:MGI Symbol;Acc:MGI:2385894] MDASPEPQQKGGTLVLVRRQPPVSQGLLETLKARLKKSCTCSMPCAQALVQGLFPAIHWL PQYRLKEYLAGDVMSGLVIGIILVPQAIAYSLLAGLQPIYSLYTSFFANLIYFLMGTSRH VNVGIFSLLCLMVGQVVDRELQLAGFDPSQDSLGPKNNDSTLNNSATTLIIGLQDCRRDC YAIRVATALTLMAGLYQVLMGILRLGFVSTYLSQPLLDGFAMGASVTILTSQAKHMLGVQ IPRHQGLGMVVHTWLSLLQNVGQANICDVVTSALCLGVLLAAKELSDRYRHRLKVPIPTE LFVIVVATIVSHFGQLHTRFDSRVAGNIPTGFVAPQVPDPKIMWRVALDAMSLALVGSAF SISLAEMFARSHGYSVSANQELLAVGCCNVLPAFFHCFATSAALSKTLVKIATGCQTQLS SVVSAAVVLLVLLVLAPLFHDLQRCVLACIIVVSLRGALRKVKDLPQLWRLSPADALVWV ATAATCVLVSTEAGLLAGVFFSLLSLAGRTQRPRAALLARIGDSTFYEDAAEFEGLLPPP EVRVFRFTGPLYYANKDFFLRSLYRLTGLDAGHSATRKDQGPEVGVSNRSLVDGKDLGSV SSGAGLVVPLAFGFHTVVIDCAPLLFLDVAGMATLKDLRRDYRALDITLLLACCSPSVRD TLRKGGFLGEEQGAENELLFPSVHSAVEAACARREELLAADSAL >ENSMUSP00000001802.9 pep:known chromosome:GRCm38:11:101070012:101077672:1 gene:ENSMUSG00000001751.9 transcript:ENSMUST00000001802.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naglu description:alpha-N-acetylglucosaminidase (Sanfilippo disease IIIB) [Source:MGI Symbol;Acc:MGI:1351641] MEAAGLAVILGFLLLAGGSVGDEAREAKAVRELVVRLLGPGPAANFLVSVERALADESGL DTYSLSGGGGVPVLVRGSTGVAAAAGLHRYLRDFCGCQVAWSSAQLHLPWPLPAVPDGLT ETTPNRYRYYQNVCTHSYSFVWWDWARWEQEIDWMALNGINLALAWNGQEAIWQRVYLAL GLTQSEIDTYFTGPAFLAWGRMGNLHTWDGPLPRSWHLSQVYLQHRILDRMRSFGMIPVL PAFAGHVPKAITRVFPQVNVIKLGSWGHFNCSYSCSFLLAPGDPMFPLIGNLFLRELTKE FGTDHIYGADTFNEMQPPFSDPSYLAATTAAVYEAMVTVDPDAVWLLQGWLFQHQPQFWG PSQIRAVLEAVPRGRLLVLDLFAESHPVYMHTASFHGQPFIWCMLHNFGGNHGLFGALED VNRGPQAARLFPNSTMVGTGIAPEGIGQNEVVYALMAELGWRKDPVPDLMAWVSSFAIRR YGVSQPDAVAAWKLLLRSVYNCSGEACSGHNRSPLVKRPSLQMSTAVWYNRSDVFEAWRL LLTAAPNLTTSPAFRYDLLDVTRQAVQELVSLCYEEARTAYLKQELDLLLRAGGLLVYKL LPTLDELLASSSHFLLGTWLDQARKAAVSEAEAQFYEQNSRYQITLWGPEGNILDYANKQ LAGLVADYYQPRWCLFLGTLAHSLARGVPFQQHEFEKNVFPLEQAFVYNKKRYPSQPRGD TVDLSKKIFLKYHPQPDSL >ENSMUSP00000062976.4 pep:known chromosome:GRCm38:18:62548911:62661366:1 gene:ENSMUSG00000044176.11 transcript:ENSMUST00000055725.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink10 description:serine peptidase inhibitor, Kazal type 10 [Source:MGI Symbol;Acc:MGI:3584533] MKLSSLWSNAFVINVAIALYAETAFLSAPKLKIDCRPYLDSNDKCTREYHPVCSTSGKTY CNKCTFCKALRLDTMSSSLLWIKITFILALVVPFYYGTTFAFSKEARRQPDCDKYRTFPN QCTREWNPVCGTNGFTYSNECVFCNAKIAAKEKIDYRHFGPC >ENSMUSP00000125330.1 pep:known chromosome:GRCm38:18:62657292:62661280:1 gene:ENSMUSG00000044176.11 transcript:ENSMUST00000162511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink10 description:serine peptidase inhibitor, Kazal type 10 [Source:MGI Symbol;Acc:MGI:3584533] MSSSLLWIKITFILALVVPFYYGTTFAFSKEARRQPDCDKYRTFPNQCTREWNPVCGTNG FTYSNECVFCNAKIAAKEKIDYRHFGPC >ENSMUSP00000125171.1 pep:known chromosome:GRCm38:18:62548944:62661366:1 gene:ENSMUSG00000044176.11 transcript:ENSMUST00000162365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink10 description:serine peptidase inhibitor, Kazal type 10 [Source:MGI Symbol;Acc:MGI:3584533] MKLSSLWSNAFVINVAIALYAETAFLSAPKLKIDCRPYLDSNDKCTREYHPVCSTSGKTY CNKCTFCKALRLDTMSSSLLWIKITFILALVVPFYYGTTFAFSKEARRQIATSTEHSQTS VPENGTQSVGLMDSLTATSVFSATQR >ENSMUSP00000054086.8 pep:known chromosome:GRCm38:16:38346991:38352763:1 gene:ENSMUSG00000046516.10 transcript:ENSMUST00000050273.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox17 description:cytochrome c oxidase assembly protein 17 [Source:MGI Symbol;Acc:MGI:1333806] MPGLAAASPAPPEAQEKKPLKPCCACPETKKARDACIIEKGEEHCGHLIEAHKECMRALG FKI >ENSMUSP00000113430.1 pep:known chromosome:GRCm38:16:38347022:38352744:1 gene:ENSMUSG00000046516.10 transcript:ENSMUST00000120495.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox17 description:cytochrome c oxidase assembly protein 17 [Source:MGI Symbol;Acc:MGI:1333806] MPGLAAASPAPPEAQEKKPLKPCCACPETKKARDACIIEKGEEHCGHLIEAHKECMRALG FKI >ENSMUSP00000092422.1 pep:known chromosome:GRCm38:7:13131471:13132358:-1 gene:ENSMUSG00000070815.1 transcript:ENSMUST00000094827.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r87 description:vomeronasal 1 receptor 87 [Source:MGI Symbol;Acc:MGI:2159698] MGNLLLFILYMYIFLVQPHQKKPVDMIFTHLMLVNVLSIMFKLMPDVMRSFAVRILFHDV VCKAVLYAYSVTRGLSLCTILLLSVFQAITLSSKHSKWAWLKSKLESCIFPLFLIMWIIN TFLYLPMVENIKGKINFTFVDSKYSQIYCRSSQVRHHTTKSLVTALIIRDVLFVFLMIWS SLYMVSLLFRHNRSTRHVHSFSVSLHASSEKRATHSILLLVAFFMFFYCSNTFVTFYSLY QPKSNPVLDLIGGVLSSGYPTVCPYVLLNNRKLISKFISSFSNFKCTFFARGCHC >ENSMUSP00000143596.1 pep:known chromosome:GRCm38:5:108629770:108644030:1 gene:ENSMUSG00000013495.15 transcript:ENSMUST00000146207.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem175 description:transmembrane protein 175 [Source:MGI Symbol;Acc:MGI:1919642] MSRLQTEEQAVDSEGDSSLHRRNEEGTQSSHRMLGFSDALLSIIATVMILPVTHTEISPE QQFDKSIQKLLATRIAVYLMTFLIVTVAWTAHTRLFQVVGKIDDTLALLNLACMMTITLL PYTFSLMVTFPDVPLGIFLFCVCVIAIGSVQAMIVGYAFHFPHLLNPQIQCSTHRDLSRR HILHLVLRGPALCFVAAVFSLFFFPLVSAGTLRGSLIPVEKNLASSRKNRQC >ENSMUSP00000068607.6 pep:known chromosome:GRCm38:5:108629832:108648782:1 gene:ENSMUSG00000013495.15 transcript:ENSMUST00000063272.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem175 description:transmembrane protein 175 [Source:MGI Symbol;Acc:MGI:1919642] MSRLQTEEQAVDSEGDSSLHRRNEEGTQSSHRMLGFSDALLSIIATVMILPVTHTEISPE QQFDKSIQKLLATRIAVYLMTFLIVTVAWTAHTRLFQVVGKIDDTLALLNLACMMTITLL PYTFSLMVTFPDVPLGIFLFCVCVIAIGSVQAMIVGYAFHFPHLLNPQIQCSTHRDLSRR HILHLVLRGPALCFVAAVFSLFFFPLSYLLMVTVIFLPHISKATTWCKDKLMGQRESPAH DMEPFSIDLHAPLSKERVEAFSDGVYAIVATLLILDICEDNVPDPKDVQEKFSGSLVAAL GAYGPQFLAYFGSFATVGLLWFAHHSLFLHVRKATQTMGLLNILSLAFVGGLPLAYQQTS AFARQPHDELERVRVSCAIIFFASIFQFAIWTTALLHQTETLQPAVQFGGQEHAFMFAKL ALYPCASLLAFAATCLLSRFSTAIFHLMQISVPFAFLLLRLLVRLALAGLQVLRGLWPHH PQQDQSEPEAQSQLLPDPC >ENSMUSP00000077437.6 pep:known chromosome:GRCm38:5:108630002:108647263:1 gene:ENSMUSG00000013495.15 transcript:ENSMUST00000078323.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem175 description:transmembrane protein 175 [Source:MGI Symbol;Acc:MGI:1919642] MSRLQTEEQAVDSEGDSSLHRRNEEGTQSSHRMLGFSDALLSIIATVMILPVTHTEISPE QQFDKSIQKLLATRIAVYLMTFLIVTVAWTAHTRLFQVVGKIDDTLALLNLACMMTITLL PYTFSLMVTFPDVPLGIFLFCVCVIAIGSVQAMIVGYAFHFPHLLNPQIQCSTHRDLSRR HILHLVLRGPALCFVAAVFSLFFFPLSYLLMVTVIFLPHISKATTWCKDKLMGQRESPAH DMEPFSIDLHAPLSKERVEAFSDGVYAIVATLLILDICEDNVPDPKDVQEKFSGSLVAAL GAYGPQFLAYFGSFATVGLLWFAHHSLFLHVRKATQTMGLLNILSLAFVGGLPLAYQQTS AFARQPHDELERVRVSCAIIFFASIFQFAIWTTALLHQTETLQPAVQFGGQEHAFMFAKL ALYPCASLLAFAATCLLSRFSTAIFHLMQISVPFAFLLLRLLVRLALAGLQVLRGLWPHH PQQDQSEPEAQSQLLPDPC >ENSMUSP00000112780.1 pep:known chromosome:GRCm38:5:108630009:108646671:1 gene:ENSMUSG00000013495.15 transcript:ENSMUST00000120327.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem175 description:transmembrane protein 175 [Source:MGI Symbol;Acc:MGI:1919642] MSRLQTEEQAVDSEGDSSLHRRNEEGTQSSHRMLGFSDALLSIIATVMILPVTHTEISPE QQFDKSIQKLLATRIAVYLMTFLIVTVAWTAHTRLFQVVGKIDDTLALLNLACMMTITLL PYTFSLMVTFPDVPLGIFLFCVCVIAIGSVQAMIVGYAFHFPHLLNPQIQCSTHRDLSRR HILHLVLRGPALCFVAAVFSLFFFPLSYLLMVTVIFLPHISKATTWCKDKLMGQRESPAH DMEPFSIDLHAPLSKERVEAFSDGVYAIVATLLILDICEDNVPDPKDVQEKFSGSLVAAL GAYGPQFLAYFGSFATVGLLWFAHHSLFLHVRKATQTMGLLNILSLAFVGGLPLAYQQTS AFARQPHDELERVRVSCAIIFFASIFQFAIWTTALLHQTETLQPAVQFGGQEHAFMFAKL ALYPCASLLAFAATCLLSRFSTAIFHLMQISVPFAFLLLRLLVRLALAGLQVLRGLWPHH PQQDQSEPEAQSQLLPDPC >ENSMUSP00000128946.1 pep:known chromosome:GRCm38:7:13177719:13178669:1 gene:ENSMUSG00000095902.1 transcript:ENSMUST00000171783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r88 description:vomeronasal 1 receptor, 88 [Source:MGI Symbol;Acc:MGI:3852416] MPPSDTIFQIFLIFQFCLGVIGNSSLLMLYVYTFFFKPHLKKLIDSVFMHLTIVNMSTIM FTLLKDIMFSFRVPNFLDDVGCKAVFFSLRVSRGLSICTTSVLSTSQVITITPNNPTFVS WLKPRLSTWIFSSLLCSWIINLLIYGFMIDLVVAKTNMTLVGRGFSHGYCQRKKFGKQNS EWLFSFLIIHDFFYMSVMMWASLYMVIFLYRHRKLAQHLHSPNLSSQSSPERKATHSILF LVSCFVLTYWLNNFITFYGFNTKERNPRLEAINTILITSYPTICPFLLMKNNKVILQFTS SFSVLRMTCFQSSLPG >ENSMUSP00000146518.1 pep:known chromosome:GRCm38:7:84613766:84689957:-1 gene:ENSMUSG00000030629.15 transcript:ENSMUST00000209117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand6 description:zinc finger, AN1-type domain 6 [Source:MGI Symbol;Acc:MGI:1929510] MAQETNHSQAPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQNSSNGRISPPAASVSSLSE SLPVQCADGSVPDAQSALDSTSSSMQPGPVSNQSLLSESVAPSQVDSTSVDKAVSETEDL QGPRAEGLVPLECDPPSSVSDTTQQPSEEQSKSLEKPKQKKNRCFMCRKKVGLTGFECRC GNVYCGVHRYSDVHNCSYNYKADAAEKIRKENPVVVGEKIQKI >ENSMUSP00000069228.2 pep:known chromosome:GRCm38:7:84615054:84679351:-1 gene:ENSMUSG00000030629.15 transcript:ENSMUST00000069537.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand6 description:zinc finger, AN1-type domain 6 [Source:MGI Symbol;Acc:MGI:1929510] MAQETNHSQAPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQNSSNGRISPPAASVSSLSE SLPVQCADGSVPDAQSALDSTSSSMQPGPVSNQSLLSESVAPSQVDSTSVDKAVSETEDL QGPRAEGLVPLECDPPSSVSDTTQQPSEEQSKSLEKPKQKKNRCFMCRKKVGLTGFECRC GNVYCGVHRYSDVHNCSYNYKADAAEKIRKENPVVVGEKIQKI >ENSMUSP00000135968.1 pep:known chromosome:GRCm38:7:84615054:84679392:-1 gene:ENSMUSG00000030629.15 transcript:ENSMUST00000178385.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand6 description:zinc finger, AN1-type domain 6 [Source:MGI Symbol;Acc:MGI:1929510] MAQETNHSQAPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQNSSNGRISPPAASVSSLSE SLPVQCADGSVPDAQSALDSTSSSMQPGPVSNQSLLSESVAPSQVDSTSVDKAVSETEDL QGPRAEGLVPLECDPPSSVSDTTQQPSEEQSKSLEKPKQKKNRCFMCRKKVGLTGFECRC GNVYCGVHRYSDVHNCSYNYKADAAEKIRKENPVVVGEKIQKI >ENSMUSP00000146878.1 pep:known chromosome:GRCm38:7:84615841:84689883:-1 gene:ENSMUSG00000030629.15 transcript:ENSMUST00000209165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand6 description:zinc finger, AN1-type domain 6 [Source:MGI Symbol;Acc:MGI:1929510] MAQETNHSQAPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQNSSNGRISPPAASVSSLSE SLPVQCADGSVPDAQSALDSTSSSMQPGPVSNQSLLSESVAPSQVDSTSVDKAVSETEDL QGPRAEGLVPLECDPPSSVSDTTQQPSEEQSKSLEKPKQKKNRCFMCRKKVGLTGFECRC GNVYCGVHRYSDVHNCSYNYKADAAEKIRKENPVVVG >ENSMUSP00000146682.1 pep:known chromosome:GRCm38:7:84615927:84679367:-1 gene:ENSMUSG00000030629.15 transcript:ENSMUST00000207865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand6 description:zinc finger, AN1-type domain 6 [Source:MGI Symbol;Acc:MGI:1929510] MAQETNHSQAPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQNSSNGRISPPAASVSSLSE SLPVQCADGSVPDAQSALDSTSSSMQPGPVSNQSLLSESVAPSQVDSTSVDKAVSETEDL QGPRAEGLVPLECDPPSSVSDTTQQPSEEQSKSLEKPKQKKNRCFMCRKKVGLTGFECRC GNVYCGVH >ENSMUSP00000147192.1 pep:known chromosome:GRCm38:7:84615938:84679396:-1 gene:ENSMUSG00000030629.15 transcript:ENSMUST00000208782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand6 description:zinc finger, AN1-type domain 6 [Source:MGI Symbol;Acc:MGI:1929510] MAQETNHSQAPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQNSSNGRISPPAASVSSLSE SLPVQCADGSVPDAQSALDSTSSSMQPGPVSNQSLLSESVAPSQVDSTSVDKAVSETEDL QGPRAEGLVPLECDPPSSVSDTTQQPSEEQSKSLEKPKQKKNRCFMCRKKVGLTGFECRC GNVY >ENSMUSP00000146559.1 pep:known chromosome:GRCm38:7:84633982:84689959:-1 gene:ENSMUSG00000030629.15 transcript:ENSMUST00000207975.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand6 description:zinc finger, AN1-type domain 6 [Source:MGI Symbol;Acc:MGI:1929510] MAQETNHSQAPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQNSSNGRISPPAASV >ENSMUSP00000001202.8 pep:known chromosome:GRCm38:X:47912387:47965868:1 gene:ENSMUSG00000001173.15 transcript:ENSMUST00000001202.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocrl description:oculocerebrorenal syndrome of Lowe [Source:MGI Symbol;Acc:MGI:109589] MEPRLPIGAQPLAMVAGLEMKGPLREPCVLTLARRNGQYELIIQLHGKEQHVQDIIPINS HFRCVQEAEETLLIDIASNSGCKIRVQGDWTRERHFEIPDEERCLKFLSEVLEAQEAQSQ LLVPEQKDSSSWYQKLDTMDKPAYSGLLGFEDNFSSLDLDKKMNTQNPRSGSHREPPPPP SSSTRMLSREKEASNKEQPKVTNTMRKLFVPNTQTGQREGLIKHILTKREKEYVNIQSFR FFVGTWNVNGQSPDSSLEPWLDCDPNPPDIYCIGFQELDLSTEAFFYFESVKEQEWSLAV ERGLPSKAKYRKVQLVRLVGMMLLIFARKDQCQYIRDVATETTGTGIMGKMGNKGGVAVR FVFHNTTFCIVNSHLAAHVEEFERRNQDYKDICARMTFSVPNQTVPQVNIMKHDVVIWLG DLNYRLCMPDASEVKSLINKNELHKLLKFDQLNIQRTQKKAFADFNEGEINFVPTYKYDS KTDRWDSSGKCRVPAWCDRILWRGINVNQLHYRSHMELKTSDHKPVSALFHIGVKVVDER RYRKVFEDIVRIMDRMENDFLPSLELSRREFFFENVKFRQLQKEKFQISNNGQVPCHFSF IPKLNDSQYCKPWLRAEPFEGYLEPNETLDISLDVYVSKDSVTILNSGEDKIEDILVLHL DRGKDYFLTIGGNYLPSCFGTSLEALCRMKRPIREVPVTKLIDLEEDSYLEKEKSLLQMV PLDEGTSERPLQVPKEIWLLVDHLFKYACHQEDLFQTPGMQEELQQIIDCLDTSIPETIP GNNHSVAEALLIFLEALPEPVICYELYQRCLDSAHDPRICKQVISQLPRCHRNVFRYLMA FLRELLKFSDYNNINTNMIATLFSSLLLRPPPNLMTRQTPNDRQHAIQFLLVFLLGNEED >ENSMUSP00000110672.1 pep:known chromosome:GRCm38:X:47912438:47957092:1 gene:ENSMUSG00000001173.15 transcript:ENSMUST00000115020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocrl description:oculocerebrorenal syndrome of Lowe [Source:MGI Symbol;Acc:MGI:109589] MEPRLPIGAQPLAMVAGLEMKGPLREPCVLTLARRNGQYELIIQLHGKEQHVQDIIPINS HFRCVQEAEETLLIDIASNSGCKIRVQGDWTRERHFEIPDEERCLKFLSEVLEAQEAQSQ LLVPEQKDSSSWYQKLDTMDKPAYSGLLGFEDNFSSLDLDKKMNTQNPRSGSHREPPPPP SSSTRMLSREKEASNKEQPKVTNTMRKLFVPNTQTGQREGLIKHILTKREKEYVNIQSFR FFVGTWNVNGQSPDSSLEPWLDCDPNPPDIYCIGFQELDLSTEAFFYFESVKEQEWSLAV ERGLPSKAKYRKVQLVRLVGMMLLIFARKDQCQYIRDVATETTGTGIMGKMGNKGGVAVR FVFHNTTFCIVNSHLAAHVEEFERRNQDYKDICARMTFSVPNQTVPQVNIMKHDVVIWLG DLNYRLCMPDASEVKSLINKNELHKLLKFDQLNIQRTQKKAFADFNEGEINFVPTYKYDS KTDRWDSSGKCRVPAWCDRILWRGINVNQLHYRSHMELKTSDHKPVSALFHIGVKVVDER RYRKVFEDIVRIMDRMENDFLPSLELSRREFFFENVKFRQLQKEKFQISNNGQVPCHFSF IPKLNDSQYCKPWLRAEPFEGYLEPNETLDISLDVYVSKDSVTILNSGEDKIEDILVLHL DRGKDYFLTIGGNYLPSCFGTSLEALCRMKRPIREVPVTKLIDLEEDSYLEKVIQSIGGN EGFLVLD >ENSMUSP00000122084.1 pep:known chromosome:GRCm38:X:47938391:47948233:1 gene:ENSMUSG00000001173.15 transcript:ENSMUST00000154732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocrl description:oculocerebrorenal syndrome of Lowe [Source:MGI Symbol;Acc:MGI:109589] XLLKFDQLNIQRTQKKAFADFNEGEINFVPTYKYDSKTDRWDSSGKCRVPAWCDRILWRG INVNQLHYRSHMELKTSDHKPVSALFHIGTHQKRPSDPITDGCEPPCGCWELNSGPLEEQ SVLLTAEPSLQPSPMYLMVKVVDERRYRKVFEDIVRIMDRMENDFLPSLELSRREFFFEN VKFRQLQKEKFQISNNGQVPCHFSFIPKLNDSQYCKPWLRAEPFEGYLEPNETLDISLDV YVSKDSVTILNSGEDKIEDILVLHLDR >ENSMUSP00000110665.1 pep:known chromosome:GRCm38:X:47960289:47965763:1 gene:ENSMUSG00000001173.15 transcript:ENSMUST00000115013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocrl description:oculocerebrorenal syndrome of Lowe [Source:MGI Symbol;Acc:MGI:109589] MVPLDEGTSERPLQVPKEIWLLVDHLFKYACHQEDLFQTPGMQEELQQIIDCLDTSIPET IPGNNHSVAEALLIFLEALPEPVICYELYQRCLDSAHDPRICKQVISQLPRCHRNVFRYL MAFLRELLKFSDYNNINTNMIATLFSSLLLRPPPNLMTRQTPNDRQHAIQFLLVFLLGNE ED >ENSMUSP00000027214.3 pep:known chromosome:GRCm38:1:44147847:44181260:1 gene:ENSMUSG00000026048.16 transcript:ENSMUST00000027214.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc5 description:excision repair cross-complementing rodent repair deficiency, complementation group 5 [Source:MGI Symbol;Acc:MGI:103582] MGVQGLWKLLECSGHRVSPEALEGKVLAVDISIWLNQALKGVRDSHGNVIENAHLLTLFH RLCKLLFFRIRPIFVFDGDAPLLKKQTLAKRRQRKDSASIDSRKTTEKLLKTFLKRQALK TAFRSSRHEAPPSLTQVQRQDDIYVLPPLPEEEKHSSEEEDEKQWQARMDQKQALQEEFF HNPQAIDIESEDFSSLPPEVKHEILTDMKEFTKRRRTLFEAMPEESNDFSQYQLKGLLKK NYLNQHIENVQKEMNQQHSGQIQRQYQDEGGFLKEVESRRVVSEDTSHYILIKGIQGKKV MDVDSESLPSSSNVHSVSSNLKSSPHEKVKPEREPEAAPPSPRTLLAIQAAMLGSSSEDE PESREGRQSKERNSGATADAGSISPRTCAAIQKALDDDNDEKVSGSSDDLAEKMLLGSGL EQEEHADETAERGGGVPFDTAPLTPSVTEVKECVTSGSSANGQTDSAHSFTTASHRCDTP KETVSLARAVKEASQISSECEVEGRPAALSPAFIGTPSSHVSGVLSEREPTLAPPTTRTH SDQGIDIHPEDPELQNGLYPLETKCNSSRLSSDDETEGGQNPAPKACSTVHVPAEAMSNL ENALPSNAEERGDFQETIQLREVPEAAARELISAPKPMGPMEMESEESESDGSFIEVQSV VSNSELQTESSEASTHLSEKDAEEPRETLEEGTSRDTECLLQDSSDIEAMEGHREADIDA EDMPNEWQDINLEELDALESNLLAEQNSLKAQKQQQDRIAASVTGQMFLESQELLRLFGV PYIQAPMEAEAQCAMLDLTDQTSGTITDDSDIWLFGARHVYKNFFNKNKFVEYYQYVDFY SQLGLDRNKLINLAYLLGSDYTEGIPTVGCVTAMEILNEFPGRGLDPLLKFSEWWHEAQN NKKVAENPYDTKVKKKLRKLQLTPGFPNPAVADAYLRPVVDDSRGSFLWGKPDVDKIREF CQRYFGWNRMKTDESLYPVLKHLNAHQTQLRIDSFFRLAQQEKQDAKLIKSHRLNRAVTC ILRKEREEKAPELTKVTEALDDAKGKTQKRELPYKKETSVPKRRRPSGNGGFLGDPYCSE SPQESSCEDGEGSSVMSARQRSAAESSKISCSDVPDLVRDPPHGRQGCVSTSSSSEDDED KAKTVLVTARPVFGKKKLKLKSMKRRKKKT >ENSMUSP00000112386.1 pep:known chromosome:GRCm38:16:38347040:38362297:1 gene:ENSMUSG00000095464.1 transcript:ENSMUST00000119704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21987 description:predicted gene 21987 [Source:MGI Symbol;Acc:MGI:5439456] MPGLAAASPAPPEAQEKKPLKPCCACPETKKARDACIIEKGEEHCGHLIEAHKECMRALG FKI >ENSMUSP00000024704.3 pep:known chromosome:GRCm38:17:23776916:23786081:-1 gene:ENSMUSG00000023911.12 transcript:ENSMUST00000024704.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flywch2 description:FLYWCH family member 2 [Source:MGI Symbol;Acc:MGI:1924167] MPQPKPSEQEGESMKASQEPAPQPGTDVVPAAPRKPRKFSKLVLLTASKDSAKVAGAKRK GVHCIMSLGVPGPATLAKALLKTHPEAQRAIEATPLEPEQKRSKQNLDSDGPEDNGGSGV SSSSSEETTVLPEAPSTSP >ENSMUSP00000118135.1 pep:known chromosome:GRCm38:17:23776959:23779791:-1 gene:ENSMUSG00000023911.12 transcript:ENSMUST00000122991.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Flywch2 description:FLYWCH family member 2 [Source:MGI Symbol;Acc:MGI:1924167] XVAGAKRKGVHCIMSLGVPGPATLAKALLKTHPEAQRAIEATPLEPEQKRSKQNLGAKLS GKPRWSAHCYKPGVLWGP >ENSMUSP00000121741.1 pep:known chromosome:GRCm38:17:23776992:23786052:-1 gene:ENSMUSG00000023911.12 transcript:ENSMUST00000127144.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Flywch2 description:FLYWCH family member 2 [Source:MGI Symbol;Acc:MGI:1924167] MPQPKPSEQEGESMKASQEPAPQPGTDVVPAAPRKPRKFSKLVLLTASKDSAKVAGAKRK GVHCIMSLGVPGPATLAKALLKTHPEAQRAIEATPLEPEQKRSKQNLGGPWGSAMHPTST GKEGKPCATYHQSDGLQGILQQWEKLNGTA >ENSMUSP00000073695.5 pep:known chromosome:GRCm38:12:103894926:103904887:-1 gene:ENSMUSG00000079015.2 transcript:ENSMUST00000074051.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1c description:serine (or cysteine) peptidase inhibitor, clade A, member 1C [Source:MGI Symbol;Acc:MGI:891969] MTPSISWGLLLLAGLCCLVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELV HQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHKSFQHLLQT LNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVE KGTQGKIAEAVKKLDQDTVFALANYILFKGKWKKPFDPENTEEAEFHVDESTTVKVPMMT LSGMLDVHHCSTLSSWVLLMDYAGNATAVFLLPDDGKMQHLEQTLSKELISKFLLKRPRR LAQIHFPRLSISGEYNLKTLMSPLGITRIFNNGADLSGITEENAPLKLSQAVHKAVLTMD ETGTEAAAATVLLAVPYSMPPIVRFDHPFLFIIFEEHTQSPLFVGKVVDPTHK >ENSMUSP00000019445.5 pep:known chromosome:GRCm38:11:101078411:101080527:1 gene:ENSMUSG00000019301.5 transcript:ENSMUST00000019445.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b1 description:hydroxysteroid (17-beta) dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:105077] MDPTVVLITGCSSGIGMHLAVRLASDRSQSFKVYATLRDLKAQGPLLEAARTQGCPPGSL EILELDVRDSKSVAAAQACVTEGRVDVLVCNAGRGLFGPLEAHELNAVGAVLDVNVLGTI RMLQAFLPDMKRRHSGRVLVTASVGGLMGLPFHEVYCASKFALEGLCESLAILLPLFGVH VSLIECGAVHTAFYEKLVGGPGGALERADAQTRHLFAHYLRGYEQALSEAQDPEEVTELF LTAMRAPQPALRYFSTNRFLPLARMRTEDPSGSSYVAAMHQEAFSNLQTQENAKAGAQVP GVSDTASSALICLPECAIPRVASELGWSASDKPGQDNSCYQQKI >ENSMUSP00000112631.1 pep:known chromosome:GRCm38:7:138835823:138846273:-1 gene:ENSMUSG00000041775.7 transcript:ENSMUST00000119664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk1ip1 description:mitogen-activated protein kinase 1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1916796] MYPFIPPARLLPGSPAPFLPSGPSCPQPSGPYPGPAVRVPGPTRSYVSTNVPFPELPRPN SAPTDPVGPLGTQGSMSSGPWAPGMGGQHPNVPYLFPESSPTPPLPVSGAPPVAWVTVPP GAWEPPAQYPTPEASYPSPGLQPSPNNPYPLPPGPSAASPGPGSLHRMNEIPGGSPSDSS NPESTLESTGQKKHLKLDNKSIKRRRSKKKSKRVTWGDIKTLTHKAESLGKQQGHNTTDP KMMLLCLMTMLHVNSQHESEGSK >ENSMUSP00000075090.4 pep:known chromosome:GRCm38:7:138835833:138846260:-1 gene:ENSMUSG00000041775.7 transcript:ENSMUST00000075667.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk1ip1 description:mitogen-activated protein kinase 1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1916796] MYPFIPPARLLPGSPAPFLPSGPSCPQPSGPYPGPAVRVPGPTRSYVSTNVPFPELPRPN SAPTDPVGPLGTQGSMSSGPWAPGMGGQHPNVPYLFPESSPTPPLPVSGAPPVAWVTVPP GAWEPPAQYPTPEASYPSPGLQPSPNNPYPLPPGPSAASPGPGSLHRMNEIPGGSPSDSS NPESTLESTGQKKHLKLDNKSIKRRRSKKKSKRVTWGDIKTLTHKAESLGKQQGHNTTDP KMMLLCLMTMLHVNSQHESEGSK >ENSMUSP00000113813.1 pep:known chromosome:GRCm38:7:138835878:138846257:-1 gene:ENSMUSG00000041775.7 transcript:ENSMUST00000118810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk1ip1 description:mitogen-activated protein kinase 1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1916796] MYPFIPPARLLPGSPAPFLPSGPSCPQPSGPYPGPAVRVPGPTRSYVSTNVPFPELPRPN SAPTDPVGPLGTQGSMSSGPWAPGMGGQHPNVPYLFPESSPTPPLPVSGAPPVAWVTVPP GAWEPPAQYPTPEASYPSPGLQPSPNNPYPLPPGPSAASPGPGSLHRMNEIPGGSPSDSS NPESTLESTGQKKHLKLDNKSIKRRRSKKKSKRVTWGDIKTLTHKAESLGKQQGHNTTDP KMMLLCLMTMLHVNSQHESEGSK >ENSMUSP00000027766.6 pep:known chromosome:GRCm38:1:180165238:180196020:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000027766.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQG QDKHEDSYATENFEDLEAEVQFSTPQAAGTSLDFSAASSLDQSLSPSHSQGPAPAYASSG PFREAGLPGQATSPMGRVNGRLFVDHRDLFLANGIQRRSFHQDQSSVGGLTAEDIEKARQ AKARPESKPHKQMLSERARERKVPVTRIGRLANFGGLAVGLGIGALAEVAKKSLRSENST GKKAVLDSSPFLSEANAERIVSTLCKVRGAALKLGQMLSIQDDAFINPHLAKIFERVRQS ADFMPLKQMTKTLNSDLGPHWRDKLEYFEERPFAAASIGQVHLARMKGGREVAMKIQYPG VAQSINSDVNNLMAVLNMSNMLPEGLFPEHLIDVLRRELTLECDYQREAAYAKKFRELLK DHPFFYVPEIVDELCSPHVLTTELISGFPLDQAEGLSQEVRNEICYNILVLCLRELFEFH VMQTDPNWSNFFYDPQQHKVALLDFGATREYDRSFTDLYIQVIRAAADQDREAVLKKSIE MKFLTGYEVKAMEDAHLDAILILGEAFASEEPFDFGTQSTTEKIHNLIPVMLKHRLIPPP EETYSLHRKMGGSFLICSKLKARFPCKAMFEEAYSNYCRMKSGLQ >ENSMUSP00000141948.1 pep:known chromosome:GRCm38:1:180167019:180195938:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000160879.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQH RLIPPPEETYSLHRKMGGSFLICSKLKARFPCKAMFEEAYSNYCRMKSGLQ >ENSMUSP00000125002.1 pep:known chromosome:GRCm38:1:180167151:180178631:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000161300.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] ARERKVPVTRIGRLANFGGLAVGLGIGALAEVAKKSLRSENSTGKKAVLDSSPFLSEANA ERIVSTLCKVRGAALKLGQMLSIQDDAFINPHLAKIFERVRQSADFMPLKQMTYPGVAQS INSDVNNLMAVLNMSNMLPEGLFPEHLIDVLRRELTLECDYQREAAYAKKFRELLKDHPF FYVPEIVDELCSPHVLTTELISGFPLDQAEGLSQEVRNEICYNILVLCLRELFEFHVMQT DPNWSNFFYDPQQHKVALLDFGATREYDRSFTDLYIQVIRAAADQDREAVLKKSIEMKFL TGYEVKAMEDAHLDAILILGEAFASEEPFDFGTQSTTEKIHNLIPVMLKHRLIPPPEETY SLHRKMGGSFLICSKLKARFPCKAMFEEAYSNYCRMKSGLQ >ENSMUSP00000125089.1 pep:known chromosome:GRCm38:1:180167391:180195981:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000160169.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQG QDKHEDSYATENFEDLEAEVQFSTPQAAGTSLDFSAASSLDQSLSPSHSQGPAPAYASSG PFREAGLPGQATSPGLRRN >ENSMUSP00000141998.1 pep:known chromosome:GRCm38:1:180170123:180195961:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000162769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MLSIQDDAFINPHLAKIFERVRQSADFMPLKQMTKTLNSDLGPHWRDKLEYFEERPFAAA S >ENSMUSP00000124481.1 pep:known chromosome:GRCm38:1:180170130:180182097:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000161632.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] VEQFSMVFGKVQGQDKHEDSYATENFEDLEAEVQFSTPQAAGTSLDFSAASSLDQSLSPS HSQGPAPAYASSGPFREAGLPGQATSPMGRVNGRLFVDHRDLFLANGIQRRSFHQDQSSV GGLTAEDIEKARQAKARPESKPHKQMVWL >ENSMUSP00000141262.1 pep:known chromosome:GRCm38:1:180179007:180195961:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000161379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MGRVNGRLFVDHRDLFLA >ENSMUSP00000124329.1 pep:known chromosome:GRCm38:1:180179072:180193483:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000160482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQG QDKHEDSYATENFEDLEAEVQFSTPQAAGTSLDFSAASSLDQSLSPSHSQGPAPAYASSG PFREAGLPGQ >ENSMUSP00000125071.1 pep:known chromosome:GRCm38:1:180179109:180196075:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000161814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQG QDKHEDSYATENFEDLEAEVQFSTPQAAGTSLDFSAASSLDQSLSPSHSQGPAPAYAS >ENSMUSP00000125024.1 pep:known chromosome:GRCm38:1:180179136:180182800:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000162725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQG QDKHEDSYATENFEDLEAEVQFSTPQAAGTSLDFSAASSLDQSLSPSH >ENSMUSP00000124208.1 pep:known chromosome:GRCm38:1:180179142:180195972:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000160121.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQA TLILSLSPLHS >ENSMUSP00000123720.1 pep:known chromosome:GRCm38:1:180179145:180193662:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000159914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQG QDKHEDSYATENFEDLEAEVQFSTPQAAGTSLDFSAASSLDQSLSP >ENSMUSP00000124289.1 pep:known chromosome:GRCm38:1:180179196:180195972:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000160102.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQP HVLLSAGPAL >ENSMUSP00000123905.1 pep:known chromosome:GRCm38:1:180179248:180199602:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000161743.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQG QDKHEDSYATE >ENSMUSP00000125269.1 pep:known chromosome:GRCm38:1:180182173:180195902:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000161746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAA >ENSMUSP00000128290.1 pep:known chromosome:GRCm38:1:180165238:180193485:-1 gene:ENSMUSG00000026489.13 transcript:ENSMUST00000170472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck3 description:aarF domain containing kinase 3 [Source:MGI Symbol;Acc:MGI:1914676] MAAMLGDAIMVAKGLAKLTQAAVETHLQNLGLGGELLLAARALQSTAVEQFSMVFGKVQG QDKHEDSYATENFEDLEAEVQFSTPQAAGTSLDFSAASSLDQSLSPSHSQGPAPAYASSG PFREAGLPGQATSPMGRVNGRLFVDHRDLFLANGIQRRSFHQDQSSVGGLTAEDIEKARQ AKARPESKPHKQMLSERARERKVPVTRIGRLANFGGLAVGLGIGALAEVAKKSLRSENST GKKAVLDSSPFLSEANAERIVSTLCKVRGAALKLGQMLSIQDDAFINPHLAKIFERVRQS ADFMPLKQMTKTLNSDLGPHWRDKLEYFEERPFAAASIGQVHLARMKGGREVAMKIQYPG VAQSINSDVNNLMAVLNMSNMLPEGLFPEHLIDVLRRELTLECDYQREAAYAKKFRELLK DHPFFYVPEIVDELCSPHVLTTELISGFPLDQAEGLSQEVRNEICYNILVLCLRELFEFH VMQTDPNWSNFFYDPQQHKVALLDFGATREYDRSFTDLYIQVIRAAADQDREAVLKKSIE MKFLTGYEVKAMEDAHLDAILILGEAFASEEPFDFGTQSTTEKIHNLIPVMLKHRLIPPP EETYSLHRKMGGSFLICSKLKARFPCKAMFEEAYSNYCRMKSGLQ >ENSMUSP00000048078.7 pep:known chromosome:GRCm38:3:32725397:32737147:-1 gene:ENSMUSG00000037531.8 transcript:ENSMUST00000043966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl47 description:mitochondrial ribosomal protein L47 [Source:MGI Symbol;Acc:MGI:1921850] MAATSLVGICRRASAFLKAACSLVNPKDAAHSGCRSSLSLLHKNTPHVTSFLQCKLLHTT LSRKGLEEFFDDPKNWGEEKVKSGASWTCQQLRNKSNEDLHKLWYVLLKERNMLLTLEQE AKRQRLPMPSPERLEKVVDSMDNVDKVVQEREDALRLLQTGQEKPRPGAWRRDIFGRIVW HKFKQWPIPWYLNKRYNRRRFFAMPYVDRFIRLRIEKHARIEARKRSLQKKKEKILHAKF PHLSQERKSSSV >ENSMUSP00000040321.6 pep:known chromosome:GRCm38:7:138846359:138883057:1 gene:ENSMUSG00000041769.13 transcript:ENSMUST00000041097.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r2d description:protein phosphatase 2, regulatory subunit B, delta [Source:MGI Symbol;Acc:MGI:1289252] MAGAGGGGCPAGGNDFQWCFSQVKGAVDEDVAEADIISTVEFNYSGDLLATGDKGGRVVI FQREQENKGRAHSRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQQNAAHFLLST NDKTIKLWKISERDKRAEGYNLKDEDGRLRDPFRITALRVPILKPMDLMVEASPRRIFAN AHTYHINSISVNSDHETYLSADDLRINLWHLEITDRSFNIVDIKPANMEELTEVITAAEF HPHQCNVFVYSSSKGTIRLCDMRSSALCDRHAKFFEEPEDPSSRSFFSEIISSISDVKFS HSGRYMMTRDYLSVKVWDLNMEGRPVETHQVHEYLRSKLCSLYENDCIFDKFECCWNGSD SAIMTGSYNNFFRMFDRNTRRDVTLEASRENSKPRASLKPRKVCTGGKRKKDEISVDSLD FNKKILHTAWHPMESIIAVAATNNLYIFQDKIN >ENSMUSP00000119183.1 pep:known chromosome:GRCm38:7:138846386:138869784:1 gene:ENSMUSG00000041769.13 transcript:ENSMUST00000148453.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp2r2d description:protein phosphatase 2, regulatory subunit B, delta [Source:MGI Symbol;Acc:MGI:1289252] MAGAGGGGCPAGGNDFQWCFSQVKGAVDEDVAEDVCSLHPHSSLAGAPQMSCPASCLLLH PVSSGSVWLDS >ENSMUSP00000119004.1 pep:known chromosome:GRCm38:7:138846595:138883054:1 gene:ENSMUSG00000041769.13 transcript:ENSMUST00000155672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r2d description:protein phosphatase 2, regulatory subunit B, delta [Source:MGI Symbol;Acc:MGI:1289252] MDLMVEASPRRIFANAHTYHINSISVNSDHETYLSADDLRINLWHLEITDRSFNIVDIKP ANMEELTEVITAAEFHPHQCNVFVYSSSKGTIRLCDMRSSALCDRHAKFFEEPEDPSSRS FFSEIISSISDVKFSHSGRYMMTRDYLSVKVWDLNMEGRPVETHQVHEYLRSKLCSLYEN DCIFDKFECCWNGSDSAIMTGSYNNFFRMFDRNTRRDVTLEASRENSKPRASLKPRKVCT GGKRKKDEISVDSLDFNKKILHTAWHPMESIIAVAATNNLYIFQDKIN >ENSMUSP00000133380.1 pep:known chromosome:GRCm38:7:138846620:138876568:1 gene:ENSMUSG00000041769.13 transcript:ENSMUST00000136824.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp2r2d description:protein phosphatase 2, regulatory subunit B, delta [Source:MGI Symbol;Acc:MGI:1289252] GGGCPAGGNDFQWCFSQVKGAVDEDVAEADIISTVEFNYSGDLLATGDKGGRVVIFQREQ EIKLLNYGK >ENSMUSP00000134581.1 pep:known chromosome:GRCm38:7:138860837:138874270:1 gene:ENSMUSG00000041769.13 transcript:ENSMUST00000174090.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r2d description:protein phosphatase 2, regulatory subunit B, delta [Source:MGI Symbol;Acc:MGI:1289252] MDLMVEASPRRIFANAHTYHINSISVNSDHETYLSADDLRINLWHLEITDRSFNIVDIKP ANMEELTEVITAAEFHPHQCNVFVYSSSKGTIRLCDMRSSALC >ENSMUSP00000133810.1 pep:known chromosome:GRCm38:7:138868410:138876562:1 gene:ENSMUSG00000041769.13 transcript:ENSMUST00000172764.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp2r2d description:protein phosphatase 2, regulatory subunit B, delta [Source:MGI Symbol;Acc:MGI:1289252] XDIISTVEFNYSGDLLATGDKGGRVVIFQREQENKGRAHSRGEYNVYSTFQSHEPEFDYL KSLEIEEKINKIRWLPQQNAAHFLLSTNDKTIKLWKISERDKRAEGYNLKDEDGRLRDPF RITALRMI >ENSMUSP00000083662.5 pep:known chromosome:GRCm38:5:117091680:117115998:-1 gene:ENSMUSG00000066900.11 transcript:ENSMUST00000086471.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suds3 description:suppressor of defective silencing 3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919204] MSAAGLLAPAPAPAAAPAAPEYYPEDEEELESAEDDERSCRGRESDEDTEDASETDLAKH DEEDYVEMKEQMYQDKLASLKRQLQQLQEGTLQEYQKRMKKLDQQYRERIRNAELFLQLE TEQVERNYIKEKKAAVKEFEDKKVELKENLIAELEEKKKMIENEKLTMELTGDSMEVKPI MTRKLRRRPNDPVPIPDKRRKPAPAQLNYLLTDEQIMEDLRTLNKLKSPKRPASPSSPEH LPATPAESPAQRFEARIEDGKLYYDKRWYHKSQAIYLESKDNQKLSCVISSVGANEIWVR KTSDSTKMRIYVGQLQRGLFVIRRRSAA >ENSMUSP00000130535.1 pep:known chromosome:GRCm38:5:117092437:117116113:-1 gene:ENSMUSG00000066900.11 transcript:ENSMUST00000166397.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suds3 description:suppressor of defective silencing 3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919204] MSAAGLLAPAPAPAAAPAAPEYYPEDEEELESAEDDERSCRGRESDEDTEDASETDLAKH DEEDYVEMKEQMYQDKLASLKRQLQQLQEGTLQEYQKRMKKLDQQYRERIRNAELFLQLE TEQVERNYIKEKKAAVKEFEDKKVELKENLIAELEEKKKMIENEKLTMELTGDSMEVKPI MTRKLRRRPNDPVPIPDKRRKPAPAQLNYLLTDEQIMEDLRTLNKLKSPKRPASPSSPEH LPATPAESPAQRFEARIEDGKLYYDKRWYHKSQAIYLESKDNQKLSCVISSVGANEIWVR KTSDSTKMRIYVGQLQRGLFVIRRRKFLTYRD >ENSMUSP00000103446.2 pep:known chromosome:GRCm38:3:68691424:68698543:1 gene:ENSMUSG00000027776.12 transcript:ENSMUST00000107816.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il12a description:interleukin 12a [Source:MGI Symbol;Acc:MGI:96539] MCQSRYLLFLATLALLNHLSLARVIPVSGPARCLSQSRNLLKTTDDMVKTAREKLKHYSC TAEDIDHEDITRDQTSTLKTCLPLELHKNESCLATRETSSTTRGSCLPPQKTSLMMTLCL GSIYEDLKMYQTEFQAINAALQNHNHQQIILDKGMLVAIDELMQSLNHNGETLRQKPPVG EADPYRVKMKLCILLHAFSTRVVTINRVMGYLSSA >ENSMUSP00000029345.5 pep:known chromosome:GRCm38:3:68690644:68698547:1 gene:ENSMUSG00000027776.12 transcript:ENSMUST00000029345.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il12a description:interleukin 12a [Source:MGI Symbol;Acc:MGI:96539] MVSVPTASPSASSSSSQCRSSMCQSRYLLFLATLALLNHLSLARVIPVSGPARCLSQSRN LLKTTDDMVKTAREKLKHYSCTAEDIDHEDITRDQTSTLKTCLPLELHKNESCLATRETS STTRGSCLPPQKTSLMMTLCLGSIYEDLKMYQTEFQAINAALQNHNHQQIILDKGMLVAI DELMQSLNHNGETLRQKPPVGEADPYRVKMKLCILLHAFSTRVVTINRVMGYLSSA >ENSMUSP00000146787.1 pep:known chromosome:GRCm38:7:81609730:81706809:-1 gene:ENSMUSG00000025813.14 transcript:ENSMUST00000207983.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer2 description:homer scaffolding protein 2 [Source:MGI Symbol;Acc:MGI:1347354] MGEQPIFTTRAHVFQIDPSTKKNWVPASKQAVTVSYFYDVTRNSYRIISVDGAKVIINST ITPNMTFTKTSQKFGQWADSRANTVFGLGFSSELQLTKFAEKFQEVREAARLARDKSQEK TETSSNHSQESGCETPSSTQASSVNGTDDEKASHASPADTHLKSENDKLKIALTQSAANV KKWEMELQTLRESNARLTTALQESAASVEQWKRQFSICRDENDRLRSKIEELEEQCSEIN REKEKNTQLKRRIEELESEVRDKEMELKDLRKQSEIIPQLMSECEYVSEKLEAAERDNQN LEDKVRSLKTDIEESKYRQRHLKGELKSFLEVLDGKIDDLHDFRRGLSKLGTDN >ENSMUSP00000026922.8 pep:known chromosome:GRCm38:7:81609730:81706944:-1 gene:ENSMUSG00000025813.14 transcript:ENSMUST00000026922.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer2 description:homer scaffolding protein 2 [Source:MGI Symbol;Acc:MGI:1347354] MGEQPIFTTRAHVFQIDPSTKKNWVPASKQAVTVSYFYDVTRNSYRIISVDGAKVIINST ITPNMTFTKTSQKFGQWADSRANTVFGLGFSSELQLTKFAEKFQEVREAARLARDKSQEK TETSSNHSQASSVNGTDDEKASHASPADTHLKSENDKLKIALTQSAANVKKWEMELQTLR ESNARLTTALQESAASVEQWKRQFSICRDENDRLRSKIEELEEQCSEINREKEKNTQLKR RIEELESEVRDKEMELKDLRKQSEIIPQLMSECEYVSEKLEAAERDNQNLEDKVRSLKTD IEESKYRQRHLKGELKSFLEVLDGKIDDLHDFRRGLSKLGTDN >ENSMUSP00000147030.1 pep:known chromosome:GRCm38:7:81609730:81707527:-1 gene:ENSMUSG00000025813.14 transcript:ENSMUST00000207371.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Homer2 description:homer scaffolding protein 2 [Source:MGI Symbol;Acc:MGI:1347354] MTFTKTSQKFGQWADSRANTVFGLGFSSELQLTKFAEKFQEVREAARLARDKSQEKTETS SNHSQASSVNGTDDEKASHASPADTHLKSENDKLKIALTQSAANVKKWEMELQTLRESNA RLTTALQESAASVEQWKRQFSICRDENDRLRSKVGLGSQRDRGPGRLEQAEEMGRRKLLS PLCLHPTGRRETFLSPPSMPHHPAFLDRGAGRTVQRDKQGEGEEHTAEEEDRGAGVRGPR QGDGVERSPKTE >ENSMUSP00000146521.1 pep:known chromosome:GRCm38:7:81612325:81692887:-1 gene:ENSMUSG00000025813.14 transcript:ENSMUST00000208937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer2 description:homer scaffolding protein 2 [Source:MGI Symbol;Acc:MGI:1347354] MTFTKTSQKFGQWADSRANTVFGLGFSSELQLTKFAEKFQEVREAARLARDKSQEKTETS SNHSQESGCETPSSTQASSVNGTDDEKASHASPADTHLKSENDKLKIALTQSAANVKKWE MELQTLRESNARLTTALQESAASVEQWKRQFSICRDENDRLRSKIEELEEQCSEINREK >ENSMUSP00000095931.2 pep:known chromosome:GRCm38:7:81600481:81692965:-1 gene:ENSMUSG00000025813.14 transcript:ENSMUST00000098326.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer2 description:homer scaffolding protein 2 [Source:MGI Symbol;Acc:MGI:1347354] MTFTKTSQKFGQWADSRANTVFGLGFSSELQLTKFAEKFQEVREAARLARDKSQEKTETS SNHSQESGCETPSSTQASSVNGTDDEKASHASPADTHLKSENDKLKIALTQSAANVKKWE MELQTLRESNARLTTALQESAASVEQWKRQFSICRDENDRLRSKIEELEEQCSEINREKE KNTQLKRRIEELESEVRDKEMELKDLRKQSEIIPQLMSECEYVSEKLEAAERDNQNLEDK VRSLKTDIEESKYRQRHLKGELKSFLEVLDGKIDDLHDFRRGLSKLGTDN >ENSMUSP00000135957.1 pep:known chromosome:GRCm38:X:149074282:149138152:1 gene:ENSMUSG00000094885.7 transcript:ENSMUST00000179348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ott description:ovary testis transcribed [Source:MGI Symbol;Acc:MGI:107573] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSCNRFRRAPVQSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSRRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV ALEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000136694.1 pep:known chromosome:GRCm38:X:149088315:149138152:1 gene:ENSMUSG00000094885.7 transcript:ENSMUST00000177606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ott description:ovary testis transcribed [Source:MGI Symbol;Acc:MGI:107573] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSCNRFRRAPVQSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSRRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV ALEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000137360.1 pep:known chromosome:GRCm38:X:149097952:149138152:1 gene:ENSMUSG00000094885.7 transcript:ENSMUST00000177554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ott description:ovary testis transcribed [Source:MGI Symbol;Acc:MGI:107573] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSCNRFRRAPVQSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSRRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV ALEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000070369.7 pep:known chromosome:GRCm38:13:98686235:98694834:-1 gene:ENSMUSG00000052485.7 transcript:ENSMUST00000064347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem171 description:transmembrane protein 171 [Source:MGI Symbol;Acc:MGI:2685751] MSSVGTAEPDGDQRDRHVSKLIFFLFVFGAALLCVGVLLSIFGYQACQYKPLSHCSIVLK IAGPSCAVVGLGAVILARSRARLHLRERQRQGLQDPDQSFFCGESRQFAQCLIFGFLFLT SGMLISILGIWVPGCDSDWAQEPLNETNTGEGEPQICGFLSLQIMGPLVVLVGLCFFVVA HVKKKNNLSSSRDTSEVEGGHAHSTEPVHITVGDSVIIFPPPPPPYFPESSAAAPSPGAN SLHQIENPPSYSSLFNYGTPTPENQGAASEREQELIYTISGQGSSSERSYTGHLPLDLPP RYEEKETAPATPLGAPSDASPP >ENSMUSP00000121382.1 pep:known chromosome:GRCm38:13:98692314:98694768:-1 gene:ENSMUSG00000052485.7 transcript:ENSMUST00000148950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem171 description:transmembrane protein 171 [Source:MGI Symbol;Acc:MGI:2685751] MSSVGTAEPDGDQRDRHVSKLIFFLFVFGAALLCVGVLLSIFGYQACQYKPLSHCSIVLK IAGPSCAVVGLGAVILARSRARLHLRERQRQGLQDPDQSFFCGESRQFA >ENSMUSP00000055290.6 pep:known chromosome:GRCm38:8:121116171:121118895:1 gene:ENSMUSG00000046714.7 transcript:ENSMUST00000054691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxc2 description:forkhead box C2 [Source:MGI Symbol;Acc:MGI:1347481] MQARYSVSDPNALGVVPYLSEQNYYRAAGSYGGMASPMGVYSGHPEQYGAGMGRSYAPYH HQPAAPKDLVKPPYSYIALITMAIQNAPEKKITLNGIYQFIMDRFPFYRENKQGWQNSIR HNLSLNECFVKVPRDDKKPGKGSYWTLDPDSYNMFENGSFLRRRRRFKKKDVPKDKEERA HLKEPPSTTAKGAPTGTPVADGPKEAEKKVVVKSEAASPALPVITKVETLSPEGALQASP RSASSTPAGSPDGSLPEHHAAAPNGLPGFSVETIMTLRTSPPGGDLSPAAARAGLVVPPL ALPYAAAPPAAYTQPCAQGLEAAGSAGYQCSMRAMSLYTGAERPAHVCVPPALDEALSDH PSGPGSPLGALNLAAGQEGALGASGHHHQHHGHLHPQAPPPAPQPPPAPQPATQATSWYL NHGGDLSHLPGHTFATQQQTFPNVREMFNSHRLGLDNSSLGESQVSNASCQLPYRATPSL YRHAAPYSYDCTKY >ENSMUSP00000095165.3 pep:known chromosome:GRCm38:18:62607539:62741387:-1 gene:ENSMUSG00000073551.4 transcript:ENSMUST00000097557.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink13 description:serine peptidase inhibitor, Kazal type 13 [Source:MGI Symbol;Acc:MGI:3642511] MKRSGCWHQRMLLSLVLLTWTHVTFSALIRSHNFSRWPKPPCKMYYPIDPDYEANCPDVK AYVCATNGLTYKNECFFCIDRWEFGPHIQFVKYGKCE >ENSMUSP00000001520.7 pep:known chromosome:GRCm38:8:123477903:123503916:1 gene:ENSMUSG00000031967.15 transcript:ENSMUST00000001520.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afg3l1 description:AFG3-like AAA ATPase 1 [Source:MGI Symbol;Acc:MGI:1928277] MLLRLVGAAGSRALAWPFSKLWRCGGCAGSGGTVWSSVRACGIALQGHLGRCSQQLALQG KLTSFSPRLYSKPPRGFEKFFKNKKNRKSASPGNSVPPKKEPKNAGPGGDGGNRGGKGDD FPWWKRMQKGEFPWDDKDFRSLAVLGAGVAAGFLYFYFRDPGKEITWKHFVQYYLARGLV DRLEVVNKQFVRVIPVPGTTSERFVWFNIGSVDTFERNLESAQWELGIEPTNQAAVVYTT ESDGSFLRSLVPTLVLVSILLYAMRRGPMGTGRGGRGGGLFSVGETTAKILKNNIDVRFA DVAGCEEAKLEIMEFVNFLKNPKQYQDLGAKIPKGAMLTGPPGTGKTLLAKATAGEANVP FITVNGSEFLEMFVGVGPARVRDMFAMARKHAPCILFIDEIDAIGRKRGRGHLGGQSEQE NTLNQMLVEMDGFNSSTNVVVLAGTNRPDILDPALTRPGRFDRQIYIGPPDIKGRSSIFK VHLRPLKLDGSLSKDALSRKLAALTPGFTGADISNVCNEAALIAARHLSPSVQERHFEQA IERVIGGLEKKTQVLQPSEKTTVAYHEAGHAVVGWFLEHADPLLKVSIIPRGKGLGYAQY LPREQFLYTREQLFDRMCMMLGGRVAEQLFFGQITTGAQDDLRKVTQSAYAQIVQFGMSE KLGQVSFDFPRQGETMVEKPYSEATAQLIDEEVRCLVRSAYNRTLELLTQCREQVEKVGR RLLEKEVLEKADMIELLGPRPFAEKSTYEEFVEGTGSLEEDTSLPEGLKDWNKGREEGGT ERGLQESPV >ENSMUSP00000095924.4 pep:known chromosome:GRCm38:8:123477903:123503916:1 gene:ENSMUSG00000031967.15 transcript:ENSMUST00000098320.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Afg3l1 description:AFG3-like AAA ATPase 1 [Source:MGI Symbol;Acc:MGI:1928277] MLLRLVGAAGSRALAWPFSKLWRCGGCAGSGGTVWSSVRACGIALQGHLGRCSQQLALQG KLTSFSPRLYSKPPRGFEKFFKNKKNRKSASPGNSVPPKKEPKNAGPGGDGGNRGGKGDD FPWWKRMQKGEFPWDDKDFRSLAVLGAGVAAGFLYFYFRDPGKEITWKHFVQYYLARGLV DRLEVVNKQFVRVIPVPGTTSERFVWFNIGSVDTFERNLESAQWELGIEPTNQAAVVYTT ESDGSFLRSLVPTLVLVSILLYAMRRGPMGTGRGGRGGGLFSVGETTAKILKNNIDVRFA DVAGCEEAKLEIMEFVNFLKNPKQYQDLGAKIPKGAMLTGPPGTGKTLLAKATAGEANVP FITVNGSEFLEMFVGVGPARVRDMFAMARKHAPCILFIDEIDAIGRKRGRGHLGGQSEQE NTLNQMLVEMDGFNSSTNVVVLAGTNRPDILDPALTRPGRFDRQIYIGPPDIKGRSSIFK VHLRPLKLDGSLSKDALSRKLAALTPGFTGADISNVCNEAALIAARHLSPSVQERHFEQA IERVIGGVHHTSRQGAWLRPVPSPRAVPLHTRAALRPHVYDAGG >ENSMUSP00000141543.1 pep:known chromosome:GRCm38:3:32706298:32718613:1 gene:ENSMUSG00000027671.14 transcript:ENSMUST00000194781.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl6a description:actin-like 6A [Source:MGI Symbol;Acc:MGI:1861453] MEQILDSSSAQRDEVGALVFDIGSYTVRAGYAGEDCPKVDFPTAIGVVLERDDGSTMMEI DGDKGKQGGPTYYIDTNALRVPRENMEAISPLKNGMVEDWDSFQAILDHTYKMHVKSEAS LHPVLMSEAPWNTRAKREKLTELMFEHYSIPAFFLCKTAVLTAFANGRSTGLILDSGATH TTAIPVHDGYVLQQGIVKSPLAGDFITMQCRELFQEMNIELIPPYMIASKEAVREGSPAN WKRKE >ENSMUSP00000029214.7 pep:known chromosome:GRCm38:3:32708507:32726973:1 gene:ENSMUSG00000027671.14 transcript:ENSMUST00000029214.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl6a description:actin-like 6A [Source:MGI Symbol;Acc:MGI:1861453] MSGGVYGGDEVGALVFDIGSYTVRAGYAGEDCPKVDFPTAIGVVLERDDGSTMMEIDGDK GKQGGPTYYIDTNALRVPRENMEAISPLKNGMVEDWDSFQAILDHTYKMHVKSEASLHPV LMSEAPWNTRAKREKLTELMFEHYSIPAFFLCKTAVLTAFANGRSTGLILDSGATHTTAI PVHDGYVLQQGIVKSPLAGDFITMQCRELFQEMNIELIPPYMIASKEAVREGSPANWKRK EKLPQVTRSWHNYMCNCVIQDFQASVLQVSDSTYDEQVAAQMPTVHYEFPNGYNCDFGAE RLKIPEGLFDPSNVKGLSGNTMLGVSHVVTTSVGMCDIDIRPGLYGSVIVAGGNTLIQSF TDRLNRELSQKTPPSMRLKLIANNTTVERRFSSWIGGSILASLGTFQQMWISKQEYEEGG KQCVERKCP >ENSMUSP00000141354.1 pep:known chromosome:GRCm38:3:32708765:32718607:1 gene:ENSMUSG00000027671.14 transcript:ENSMUST00000193615.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Actl6a description:actin-like 6A [Source:MGI Symbol;Acc:MGI:1861453] MSGGVYGGDEVGALVFDIGSYTVRAGYAGEDCPKLKTGIVSRPFWIIHTRCMSNPKPACI LFSCRKHRGTPGRRERN >ENSMUSP00000114317.1 pep:known chromosome:GRCm38:3:32709164:32718656:1 gene:ENSMUSG00000027671.14 transcript:ENSMUST00000126144.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl6a description:actin-like 6A [Source:MGI Symbol;Acc:MGI:1861453] MMEIDGDKGKQGGPTYYIDTNALRVPRENMEAISPLKNGMVEDWDSFQAILDHTYKMHVK SEASLHPVLMSEAPWNTRAKREKLTELMFEHYSIPAFFLCKTAVLTAFANGRSTGLILDS GATHTTAIPVHDGYVLQQGIVKSPLAGDFITMQCRELFQEMNIELIPPYMIASKEAVREG SPANWKRKEKLPQVTRSWHNYMCN >ENSMUSP00000141657.1 pep:known chromosome:GRCm38:3:32712172:32726773:1 gene:ENSMUSG00000027671.14 transcript:ENSMUST00000193231.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Actl6a description:actin-like 6A [Source:MGI Symbol;Acc:MGI:1861453] XDKGKQGGPTYYIDTNALRVPRENMEAISPLKNGMGSLRQCDRSRRKHANTEFH >ENSMUSP00000031531.6 pep:known chromosome:GRCm38:5:139823592:139826885:-1 gene:ENSMUSG00000029551.13 transcript:ENSMUST00000031531.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmg3 description:proteasome (prosome, macropain) assembly chaperone 3 [Source:MGI Symbol;Acc:MGI:1913756] MEDKPLVVSKQKTEVVCGVPTQVVCTAFSSHILVVVTQFGKMGTLVSLEPSNVANDISKP VLTTRVLLGQDEPLIHVFAKNLVAFVSQEAGNRAVLLAMAVKDKSMERLKALKEVIRLCQ VW >ENSMUSP00000138161.1 pep:known chromosome:GRCm38:5:139823613:139826843:-1 gene:ENSMUSG00000029551.13 transcript:ENSMUST00000182602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmg3 description:proteasome (prosome, macropain) assembly chaperone 3 [Source:MGI Symbol;Acc:MGI:1913756] MEDKPLVVSKQKTEVVCGVPTQVVCTAFSSHILVVVTQFGKMGTLVSLEPSNVANDISKP VLTTRVLLGQDEPLIHVFAKNLVAFVSQEAGNRAVLLAMAVKDKSMERLKALKEVIRLCQ VW >ENSMUSP00000015484.3 pep:known chromosome:GRCm38:X:9435252:9469324:-1 gene:ENSMUSG00000015340.10 transcript:ENSMUST00000015484.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cybb description:cytochrome b-245, beta polypeptide [Source:MGI Symbol;Acc:MGI:88574] MGNWAVNEGLSIFVILVWLGLNVFLFINYYKVYDDGPKYNYTRKLLGSALALARAPAACL NFNCMLILLPVCRNLLSFLRGSSACCSTRIRRQLDRNLTFHKMVAWMIALHTAIHTIAHL FNVEWCVNARVGISDRYSIALSDIGDNENEEYLNFAREKIKNPEGGLYVAVTRLAGITGI VITLCLILIITSSTKTIRRSYFEVFWYTHHLFVIFFIGLAIHGAERIVRGQTAESLEEHN LDICADKIEEWGKIKECPVPKFAGNPPMTWKWIVGPMFLYLCERLVRFWRSQQKVVITKV VTHPFKTIELQMKKKGFKMEVGQYIFVKCPKVSKLEWHPFTLTSAPEEDFFSIHIRIVGD WTEGLFNACGCDKQEFQDAWKLPKIAVDGPFGTASEDVFSYEVVMLVGAGIGVTPFASIL KSVWYKYCDNATSLKLKKIYFYWLCRDTHAFEWFADLLQLLETQMQERNNANFLSYNIYL TGWDESQANHFAVHHDEEKDVITGLKQKTLYGRPNWDNEFKTIASEHPNTTIGVFLCGPE ALAETLSKQSISNSESGPRGVHFIFNKENF >ENSMUSP00000128963.1 pep:known chromosome:GRCm38:X:9446925:9469269:-1 gene:ENSMUSG00000015340.10 transcript:ENSMUST00000164685.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cybb description:cytochrome b-245, beta polypeptide [Source:MGI Symbol;Acc:MGI:88574] MGNWAVNEGLSIFVILVWLGLNVFLFINYYKVYDDGPKYNYTRKLLGSALALARAPAACL NFNCMLILLPVCRNLLSFLRGSSACCSTRIRRQLDRNLTFHKMVAWMIALHTEP >ENSMUSP00000128993.1 pep:known chromosome:GRCm38:X:9457018:9487771:-1 gene:ENSMUSG00000015340.10 transcript:ENSMUST00000170885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cybb description:cytochrome b-245, beta polypeptide [Source:MGI Symbol;Acc:MGI:88574] MGNWAVNEGLSIFVILVWLGLNVFLFINYYKVYDDGPKYNYTRKLLGSALALARAPAACL NFNCMLILLPVCRNLLSFLRGSSACCSTRIRRQ >ENSMUSP00000097249.2 pep:known chromosome:GRCm38:16:59215685:59216611:-1 gene:ENSMUSG00000074996.3 transcript:ENSMUST00000099657.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr199 description:olfactory receptor 199 [Source:MGI Symbol;Acc:MGI:3030033] MAEGNRTLITEFIFMGLTDHPKLKAALFPLFLVIYLITMVGNLGLIALIWKDSHLHTPMY LFLSSLAFADSCTTSSVTPKMLLNFLSTNHEITLAECFVQFYFMGSSATTECFLLSVMAY DRYLAICNPLLYRVLMSNRLCTQFIAVAYLLGALHLAIHVGLLLRLTFCRSNIIQYYYCE ILQLFNISCIDPTINVFVLLILATSIQVFTFVTVLVSYIRVLFAILRKKSDKGRSKAFST CSAHLLSVSLFYGTLFLIYVCPGSGPVGDKEKMLSLFYTVIIPLLNPFVYSLRNKEVIGA FRRVMKNT >ENSMUSP00000140762.1 pep:known chromosome:GRCm38:1:74236084:74236888:1 gene:ENSMUSG00000006304.14 transcript:ENSMUST00000185733.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc2 description:actin related protein 2/3 complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1923959] MTAAAMILLEVNNRIIEETLALKFEN >ENSMUSP00000109451.2 pep:known chromosome:GRCm38:1:74236497:74268209:1 gene:ENSMUSG00000006304.14 transcript:ENSMUST00000113820.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc2 description:actin related protein 2/3 complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1923959] MILLEVNNRIIEETLALKFENAAAGNKPEAVEVTFADFDGVLYHISNPNGDKTKVMVSIS LKFYKELQAHGADELLKRVYGSFLVNPEPGYNVSLLYDLENLPASKDSIVHQAGMLKRNC FASVFEKYFQFQEEGKEGENRAVIHYRDDETMYVESKKDRVTVVFSTVFKDDDDVVIGKV FMQEFKEGRRASHTAPQVLFSHREPPLELKDTDAAVGDNIGYITFVLFPRHTNATARDNT INLIHTFRDYLHYHIKCSKAYIHTRMRAKTSDFLKVLNRARPDAEKKEMKTITGKTFSSR >ENSMUSP00000006467.7 pep:known chromosome:GRCm38:1:74236517:74267987:1 gene:ENSMUSG00000006304.14 transcript:ENSMUST00000006467.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc2 description:actin related protein 2/3 complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1923959] MILLEVNNRIIEETLALKFENAAAGNKPEAVEVTFADFDGVLYHISNPNGDKTKVMVSIS LKFYKELQAHGADELLKRVYGSFLVNPEPGYNVSLLYDLENLPASKDSIVHQAGMLKRNC FASVFEKYFQFQEEGKEGENRAVIHYRDDETMYVESKKDRVTVVFSTVFKDDDDVVIGKV FMQEFKEGRRASHTAPQVLFSHREPPLELKDTDAAVGDNIGYITFVLFPRHTNATARDNT INLIHTFRDYLHYHIKCSKAYIHTRMRAKTSDFLKVLNRARPDAEKKEMKTITGKTFSSR >ENSMUSP00000109450.1 pep:known chromosome:GRCm38:1:74236550:74267988:1 gene:ENSMUSG00000006304.14 transcript:ENSMUST00000113819.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc2 description:actin related protein 2/3 complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1923959] MDVGSHGARNKPEAVEVTFADFDGVLYHISNPNGDKTKVMVSISLKFYKELQAHGADELL KRVYGSFLVNPEPGYNVSLLYDLENLPASKDSIVHQAGMLKRNCFASVFEKYFQFQEEGK EGENRAVIHYRDDETMYVESKKDRVTVVFSTVFKDDDDVVIGKVFMQEFKEGRRASHTAP QVLFSHREPPLELKDTDAAVGDNIGYITFVLFPRHTNATARDNTINLIHTFRDYLHYHIK CSKAYIHTRMRAKTSDFLKVLNRARPDAEKKEMKTITGKTFSSR >ENSMUSP00000025065.5 pep:known chromosome:GRCm38:17:58999618:59013372:-1 gene:ENSMUSG00000024228.12 transcript:ENSMUST00000025065.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt12 description:nudix (nucleoside diphosphate linked moiety X)-type motif 12 [Source:MGI Symbol;Acc:MGI:1915243] MSSVKRNPKKEMISELHSSAAEGNVAKLAGILSHSPSLLNETSENGWTALMYAARNGHPD VVQFLLEKGCDRSLVNKARQTALDIAAFWGYRHIANLLANAKGGKKPWFLTNEVDECENY FSRTLLDRRSDKRNNSDWLQAKESHPTTVYLLFSDLNPLVTLGGNKESSQQPEVRLCQLN YPDVKGYLAQPEKITLVFLGVELEMRKGSPAQAGGVPEEEEDGLVAWFALGIEPGAAEEF KQRHENCYFLHPPMPALLQLKEKEAGVVAQARSVLAWHSRYKFCPTCGSATKIEEGGYKR VCVRETCPSLQGVHNTSYPRVDPVVIMQVIHPDGTKCLLGRQKRFPPGMFTCLAGFIEPG ETIEDAVRREVEEESGVKVGHVQYVSCQPWPMPSSLMIGCLAVAVSTEIKVDKNEIEDAR WFTREQVVDVLTKGKQQAFFVPPSRAIAHQLIKHWVGMNPNL >ENSMUSP00000133678.1 pep:known chromosome:GRCm38:17:59006395:59013268:-1 gene:ENSMUSG00000024228.12 transcript:ENSMUST00000174122.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt12 description:nudix (nucleoside diphosphate linked moiety X)-type motif 12 [Source:MGI Symbol;Acc:MGI:1915243] MSSVKRNPKKEMISELHSSAAEGNVAKLAGILSHSPSLLNETSENGWTALMYAARNGHPD VVQFLLEKGCDRSLVNKARQTALDIAAFWGYRHIANLLANAKGGKKPWFLTNEVDECENY FSRTLLDRRSDKRNNSDWLQAKESHPTTVYLLFSDLNPLVTLGGNKESSQQPEVRLCQLN YPDVKGYLAQPEKITLVFLGVELEMRKGSPAQAGGVPEEEEDGLVAWFALGIEPGAAEEF KQRHENCYFLHPPMPALLQLKEKEAGVVAQARSVLAWHSRYKFCPTCGSATKIEEGGYKR VCVRETCPSLQGVHNTSYPRVDPVVIMQVIHPDGTKCLLGRQKRFPPGMFTCLAGFIEPG KPILTGF >ENSMUSP00000056604.2 pep:known chromosome:GRCm38:13:23933773:23934156:-1 gene:ENSMUSG00000050799.3 transcript:ENSMUST00000052776.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2ba description:histone cluster 1, H2ba [Source:MGI Symbol;Acc:MGI:2448375] MPEVAVKGATISKKGFKKAVTKTQKKEGRKRKRCRKESYSIYIYKVLKQVHPDTGISSKA MSIMNSFVTDIFERIASEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAV TKYTSSK >ENSMUSP00000064060.3 pep:known chromosome:GRCm38:6:42587213:42597372:-1 gene:ENSMUSG00000018656.5 transcript:ENSMUST00000069023.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcaf3 description:TRPM8 channel-associated factor 3 [Source:MGI Symbol;Acc:MGI:3042585] MATTPDAAFETLMNGVTSWDLPKEPIPSELLLTGESAFPVMVNDKGQVLIAASSYGQGRL VVVSHESYLLHDGLVPFLLNVVKWLCPCPGAPIAVHSSLASLVNILGDSGINALVQPEPG EALGVYCIDAYNDALTEKLIQFLKNGGGLLIGGQALNWAAHHGHDKVLSIFPGNQVTSVA GVYFTDISANRDWFKVSKEIPNLRLYVQCEDELEDDQQQLLKGMSEIYIEAGVIPSQLLV HGQRAFPLGVDNSLNCFLAAARYGRGRVVLGGNESLILNQTMLPFVLNALHWLMGNQTGR IGLASDMKVLKSMLPNSSFQWSESELLTSDLSVFCCCSLANIDSEEVEEFVAEGGGLLIG AEAWSWGRRNPYSSCMTQYPDNIVLKRFGLGITSHVAQRGSFPFPNPEGTNYHFRRALSQ FESVIYSRGSSLHESWLNKLSQDCFYMFQMTHQRISIYDSVKKHALKMIQSKDFPSVTEQ YPIARGSSQAFLLSLAYELFKSGVDRSQLLPPPALLPPTESPITIKISTDNDNSWVSTGL YLPEGQVAQVLLPSEATHAKLKVLIGCHRDNISQARTYFRPPVMTYVYHLTSSQTSISWL YGGLLYIMVPNKYNQDNVSVTIRGAVSAPYFRLGKTTQEEWKNLITHSKAPWGELATDNI ILTIPTVNLKELQDPYPLLQLWDKMVRAVAKLAARPFPFQRAERVVLDKQISFGFLHSGY PIMGLISIVEGIISEFKIRSHGIWGVIHELGHNHQKSGWTFPPHTTEALCNLWTIYVHET VLNIPREQAHPSLNPELRRQRIKYHLNKGAPLSNWIMWTALETYLQLQEGFGWEPFIQVF ADYRTLSGLPQNNEDKMNLWVKKFSEAVHKNLAPFFEAWGWPVKYAVAKSLASLPEWQEN PMKRYTAEGTEGRE >ENSMUSP00000123321.1 pep:known chromosome:GRCm38:6:42594150:42597692:-1 gene:ENSMUSG00000018656.5 transcript:ENSMUST00000134707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcaf3 description:TRPM8 channel-associated factor 3 [Source:MGI Symbol;Acc:MGI:3042585] MATTPDAAFETLMNGVTSWDLPKEPIPSELLLTGESAFPVMVNDKGQVLIAASSYGQGRL VVVSHESYLLHDGLVPFLLNVVKWLCPCPGAPIAVHSSLASLVNILGDSGINALVQPEPG EALGVYCIDAYNDALTEKLIQFLKNGGGLLIGGQALNWAAHHGHDKVLSIFPGNQVTSVA GVYFTDISANRDWFKVSKEIPNLRLYVQCEDELEDDQQQLLK >ENSMUSP00000072227.1 pep:known chromosome:GRCm38:13:23934462:23934851:1 gene:ENSMUSG00000060081.1 transcript:ENSMUST00000072391.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2aa description:histone cluster 1, H2aa [Source:MGI Symbol;Acc:MGI:2448285] MSGPTKRGGKARAKVKSRSSRAGLQFPVGRVHRLLRQGNYAQRIGAGAPVYLAAVLEYLT AEVLELAGNAARDNKKTRITPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHKSQTK >ENSMUSP00000027988.7 pep:known chromosome:GRCm38:2:5137776:5230878:1 gene:ENSMUSG00000026676.7 transcript:ENSMUST00000027988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc3 description:coiled-coil domain containing 3 [Source:MGI Symbol;Acc:MGI:1921436] MPLPLLLAALCLAASPAPARACQLPSEWRPLSEGCRAELAETIVYAKVLALHPEVPGLYN YLPWQYQAGEGGLFYSAEVEMLCDQAWGSMLEVPAGSRLNLTGLGYFSCHSHTVVQDYSY FFFVRMDENYNLLPHGVNFQDAIFPDTQENRRMFSSLFQFANCSQGQQLTTFSSDWEVQE DNRLMCSSVQKALFEEEDHVKKLQQKVATLEKRNRQLRERVKKVKRSLRQARKNSRHLEL VNQKLNEKLGASSAQQHINALGREPVRAPYLHG >ENSMUSP00000104755.1 pep:known chromosome:GRCm38:15:98903921:98916212:-1 gene:ENSMUSG00000022999.14 transcript:ENSMUST00000109127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbr1l description:limb region 1 like [Source:MGI Symbol;Acc:MGI:1289247] MPFAYFFTESEGFAGSRKGVLGRVYETVVMLILLTLLVLGMVWVASAIVDNDKASRESLY DFWEYYLPYLYSCISFLGVLLLLVCTPLGLARMFSVTGKLLVKPRLLEDLEEQLNCSAFE EAALTRRICNPTSCWLPLDMELLHRQVLALQAQRVLLEKRRKASAWQRNLGYPLAMLCLL VLTGLSVLIVAVHILELLIDEAAMPRGMQDAALGQASFSKLGSFGAIIQVVLIFYLMVSS VVGFYSSPLFGSLRPRWHDTSMTQIIGNCVCLLVLSSALPVFSRTLGLTRFDLLGDFGRF NWLGNFYIVFLYNAAFAGLTTLCLVKTFTAAVRAELIRAFGLDRLPLPVSGFPRASRKKQ HQ >ENSMUSP00000023736.8 pep:known chromosome:GRCm38:15:98903921:98918231:-1 gene:ENSMUSG00000022999.14 transcript:ENSMUST00000023736.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbr1l description:limb region 1 like [Source:MGI Symbol;Acc:MGI:1289247] MEAADYEVLSVREQLFHDRVRECIISILLFATLYILCHIFLTRFKKPAEFTTVDDEDATV NKIALELCTFTLAVALGAVLLLPFSIISNEVLLSLPRNYYIQWLNGSLIHGLWNLVFLFS NLSLVFLMPFAYFFTESEGFAGSRKGVLGRVYETVVMLILLTLLVLGMVWVASAIVDNDK ASRESLYDFWEYYLPYLYSCISFLGVLLLLVCTPLGLARMFSVTGKLLVKPRLLEDLEEQ LNCSAFEEAALTRRICNPTSCWLPLDMELLHRQVLALQAQRVLLEKRRKASAWQRNLGYP LAMLCLLVLTGLSVLIVAVHILELLIDEAAMPRGMQDAALGQASFSKLGSFGAIIQVVLI FYLMVSSVVGFYSSPLFGSLRPRWHDTSMTQIIGNCVCLLVLSSALPVFSRTLGLTRFDL LGDFGRFNWLGNFYIVFLYNAAFAGLTTLCLVKTFTAAVRAELIRAFGLDRLPLPVSGFP RASRKKQHQ >ENSMUSP00000138296.1 pep:known chromosome:GRCm38:17:33951999:33955663:-1 gene:ENSMUSG00000008668.14 transcript:ENSMUST00000174609.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps18 description:ribosomal protein S18 [Source:MGI Symbol;Acc:MGI:98146] MSLVIPEKFQHILRVLNTNIDGRRKIAFAITAIKGVGRRYAHVVLRKADIDLTKRAGELT EDEVERVITIMQNPRQYKIPDWFLNRQKDVKDGKYSQTTSCVRTWRG >ENSMUSP00000008812.7 pep:known chromosome:GRCm38:17:33951999:33955717:-1 gene:ENSMUSG00000008668.14 transcript:ENSMUST00000008812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps18 description:ribosomal protein S18 [Source:MGI Symbol;Acc:MGI:98146] MSLVIPEKFQHILRVLNTNIDGRRKIAFAITAIKGVGRRYAHVVLRKADIDLTKRAGELT EDEVERVITIMQNPRQYKIPDWFLNRQKDVKDGKYSQVLANGLDNKLREDLERLKKIRAH RGLRHFWGLRVRGQHTKTTGRRGRTVGVSKKK >ENSMUSP00000080632.4 pep:known chromosome:GRCm38:15:38219203:38226735:1 gene:ENSMUSG00000061923.4 transcript:ENSMUST00000081966.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf1 description:outer dense fiber of sperm tails 1 [Source:MGI Symbol;Acc:MGI:97424] MAALSCLLDSVRRDIKKVDRELRQLRCIDEISSRCLCDLYMHPYCCCDLHPYPYCLCYSK RSRSCGLCDLYYPCCLCDYKLYCLRPSLRSLERLRRTTNRILASSCCSSNILGSVNVCGF EPDQVKVRVKDGKVCVSAERENRYDCLGSKKYSYMNICKEFSLPPCVDEKDVTYSYGLGS CVKIESPCYPCTSPCNPCNPCSPCSPCAPCGPCGPCGPCGPCGPCGPCDPCNPCYPCGSR FSCRKMIL >ENSMUSP00000136661.1 pep:known chromosome:GRCm38:X:149272377:149305003:1 gene:ENSMUSG00000094941.1 transcript:ENSMUST00000179021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15093 description:predicted gene 15093 [Source:MGI Symbol;Acc:MGI:3712496] MENHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSCNRFRRAPVQSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSRRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV ALEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000071577.6 pep:known chromosome:GRCm38:5:108660331:108684552:1 gene:ENSMUSG00000033540.18 transcript:ENSMUST00000071650.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idua description:iduronidase, alpha-L- [Source:MGI Symbol;Acc:MGI:96418] MRPPRPSSAMLTFFAAFLAAPLALAESPYLVRVDAARPLRPLLPFWRSTGFCPPLPHDQA DQYDLSWDQQLNLAYIGAVPHSGIEQVRIHWLLDLITARKSPGQGLMYNFTHLDAFLDLL MENQLLPGFELMGSPSGYFTDFDDKQQVFEWKDLVSLLARRYIGRYGLTHVSKWNFETWN EPDHHDFDNVSMTTQGFLNYYDACSEGLRIASPTLKLGGPGDSFHPLPRSPMCWSLLGHC ANGTNFFTGEVGVRLDYISLHKKGAGSSIAILEQEMAVVEQVQQLFPEFKDTPIYNDEAD PLVGWSLPQPWRADVTYAALVVKVIAQHQNLLFANSSSSMRYVLLSNDNAFLSYHPYPFS QRTLTARFQVNNTHPPHVQLLRKPVLTVMGLMALLDGEQLWAEVSKAGAVLDSNHTVGVL ASTHHPEGSAAAWSTTVLIYTSDDTHAHPNHSIPVTLRLRGVPPGLDLVYIVLYLDNQLS SPYSAWQHMGQPVFPSAEQFRRMRMVEDPVAEAPRPFPARGRLTLHRKLPVPSLLLVHVC TRPLKPPGQVSRLRALPLTHGQLILVWSDERVGSKCLWTYEIQFSQKGEEYAPINRRPST FNLFVFSPDTAVVSGSYRVRALDYWARPGPFSDPVTYLDVPAS >ENSMUSP00000119624.1 pep:known chromosome:GRCm38:5:108669106:108684552:1 gene:ENSMUSG00000033540.18 transcript:ENSMUST00000140620.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Idua description:iduronidase, alpha-L- [Source:MGI Symbol;Acc:MGI:96418] MRPPRPSSAMLTFFAAFLAAPLALAESPYLVRVDAARPLRPLLPFWRSTGFCPPLPHDQA DQYDLSWDQQLNLAYIGAVPHSGIEQVRIHWLLDLITARKSPGQGLMYNFTHLDAFLDLL MENQLLPGFELMGSPSGYFTDFDDKQQVGMG >ENSMUSP00000113190.1 pep:known chromosome:GRCm38:5:108669311:108684552:1 gene:ENSMUSG00000033540.18 transcript:ENSMUST00000119212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idua description:iduronidase, alpha-L- [Source:MGI Symbol;Acc:MGI:96418] MRPPRPSSAMLTFFAAFLAAPLALAESPYLVRVDAARPLRPLLPFWRSTGFWKSPGQGLM YNFTHLDAFLDLLMENQLLPGFELMGSPSGYFTDFDDKQQVFEWKDLVSLLARRYIGRYG LTHVSKWNFETWNEPDHHDFDNVSMTTQGFLNYYDACSEGLRIASPTLKLGGPGDSFHPL PRSPMCWSLLGHCANGTNFFTGEVGVRLDYISLHKKGAGSSIAILEQEMAVVEQVQQLFP EFKDTPIYNDEADPLVGWSLPQPWRADVTYAALVVKVIAQHQNLLFANSSSSMRYVLLSN DNAFLSYHPYPFSQRTLTARFQVNNTHPPHVQLLRKPVLTVMGLMALLDGEQLWAEVSKA GAVLDSNHTVGVLASTHHPEGSAAAWSTTVLIYTSDDTHAHPNHSIPVTLRLRGVPPGLD LVYIVLYLDNQLSSPYSAWQHMGQPVFPSAEQFRRMRMVEDPVAEAPRPFPARGRLTLHR KLPVPSLLLVHVCTRPLKPPGQVSRLRALPLTHGQLILVWSDERVGSKCLWTYEIQFSQK GEEYAPINRRPSTFNLFVFSPDTAVVSGSYRVRALDYWARPGPFSDPVTYLDVPAS >ENSMUSP00000117694.1 pep:known chromosome:GRCm38:5:108669347:108684399:1 gene:ENSMUSG00000033540.18 transcript:ENSMUST00000139734.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Idua description:iduronidase, alpha-L- [Source:MGI Symbol;Acc:MGI:96418] MRPPRPSSAMLTFFAAFLAAPLALAESPYLVRVDAARPLRPLLPFWRSTGFCPPLPHDQA DQYDLSWDQQLNLAYIGAVPHSGIEQVRIHWLLDLITARKSPGQGLMYNFTHLDAFLDLL MENQLLPGFELMGSPSGYFTDFDDKQQVFEWKDLVSLLARRYIGRYGLTHVSKWNFETWN EPDHHDFDNVSMTTQGHQCAGASWVTVPMEPTSSLARWACVWITSPCTRRVQVAPSPSWS RRWQLWSRSSSSSLSSRIPLFTMTRQTLWWAGPCHNLGELM >ENSMUSP00000108182.2 pep:known chromosome:GRCm38:5:108669106:108684557:1 gene:ENSMUSG00000033540.18 transcript:ENSMUST00000112563.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idua description:iduronidase, alpha-L- [Source:MGI Symbol;Acc:MGI:96418] MRPPRPSSAMLTFFAAFLAAPLALAESPYLVRVDAARPLRPLLPFWRSTGFCPPLPHDQA DQYDLSWDQQLNLAYIGAVPHSGIEQVRIHWLLDLITARKSPGQGLMYNFTHLDAFLDLL MENQLLPGFELMGSPSGYFTDFDDKQQVFEWKDLVSLLARRYIGRYGLTHVSKWNFETWN EPDHHDFDNVSMTTQGFLNYYDACSEGLRIASPTLKLGGPGDSFHPLPRSPMCWSLLGHC ANGTNFFTGEVGVRLDYISLHKKGAGSSIAILEQEMAVVEQVQQLFPEFKDTPIYNDEAD PLVGWSLPQPWRADVTYAALVVKVIAQHQNLLFANSSSSMRYVLLSNDNAFLSYHPYPFS QRTLTARFQVNNTHPPHVQLLRKPVLTVMGLMALLDGEQLWAEVSKAGAVLDSNHTVGVL ASTHHPEGSAAAWSTTVLIYTSDDTHAHPNHSIPVTLRLRGVPPGLDLVYIVLYLDNQLS SPYSAWQHMGQPVFPSAEQFRRMRMVEDPVAEAPRPFPARGRLTLHRKLPVPSLLLVHVC TRPLKPPGQVSRLRALPLTHGQLILVWSDERVGSKCLWTYEIQFSQKGEEYAPINRRPST FNLFVFSPDTAVVSGSYRVRALDYWARPGPFSDPVTYLDVPAS >ENSMUSP00000049722.6 pep:known chromosome:GRCm38:8:78806451:78821140:-1 gene:ENSMUSG00000031683.16 transcript:ENSMUST00000051867.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm6 description:LSM6 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1925901] MSLRKQTPSDFLKQIIGRPVVVKLNSGVDYRGVLACLDGYMNIALEQTEEYVNGQLKNKY GDAFIRGNNVLYISTQKRRM >ENSMUSP00000119841.1 pep:known chromosome:GRCm38:8:78804865:78821140:-1 gene:ENSMUSG00000031683.16 transcript:ENSMUST00000130325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm6 description:LSM6 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1925901] MSLRKQTPSDFLKQIIGRPVVVKLNSGVDYRGVLACLDGYMNIALEQTEEYVNGQLKNKY GDAFIRGNNVLYISTQKRRM >ENSMUSP00000065393.4 pep:known chromosome:GRCm38:6:41521787:41525079:1 gene:ENSMUSG00000057163.3 transcript:ENSMUST00000070380.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss2 description:protease, serine 2 [Source:MGI Symbol;Acc:MGI:102759] MSALLILALVGAAVAFPVDDDDKIVGGYTCRESSVPYQVSLNAGYHFCGGSLINDQWVVS AAHCYKYRIQVRLGEHNINVLEGNEQFVDSAKIIRHPNYNSWTLDNDIMLIKLASPVTLN ARVASVPLPSSCAPAGTQCLISGWGNTLSNGVNNPDLLQCVDAPVLPQADCEASYPGDIT NNMICVGFLEGGKDSCQGDSGGPVVCNGELQGIVSWGYGCAQPDAPGVYTKVCNYVDWIQ NTIADN >ENSMUSP00000033049.7 pep:known chromosome:GRCm38:7:128205435:128206387:-1 gene:ENSMUSG00000030785.8 transcript:ENSMUST00000033049.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6a2 description:cytochrome c oxidase subunit VIa polypeptide 2 [Source:MGI Symbol;Acc:MGI:104649] MALPLKVLSRSMASAAKGDHGGAGANTWRLLTFVLALPGVALCSLNCWMHAGHHERPEFI PYHHLRIRTKPFAWGDGNHTLFHNPHVNPLPTGYEHP >ENSMUSP00000124475.1 pep:known chromosome:GRCm38:3:146040526:146108130:-1 gene:ENSMUSG00000043020.13 transcript:ENSMUST00000160285.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr63 description:WD repeat domain 63 [Source:MGI Symbol;Acc:MGI:3045269] MAPKPPKSPKGQKKGKKNMKQQLLVPEEEEPMNMESMGHPEIYPLVLTTKTQEIFNCRVD EDMTDEQTYKLIKKEDILADLQNRAAVSDFHPVKKIVREYPGEELLLVYDKDFKYGLNFY LIGTEDGKENFLKPPEVPEEQEEPKEHVQEDVYVYNPPVSKPWVSLGSEKEIEEESVQES GKRVTYMISRKRSEFGAPVTFSDQNASSVKDAYIECTSYPEKNYTLSQVEKDVGLQVIAE VKDTSTQTKWAFPKNATTQYYPREFSEEEKSLIGKSKSLVDFFNNVSTSVEVALQQNEIM NTFIDDWKNLAEEESTFGDKTDTHLKEYQSFTDLHNTMEKMITCVSWHPTIFGLIVVSVA VRLSYEERVQNSGRLLLQPSLLLFWSFSDPIHPQLMLESPDDIFCFEFCPSDPNIIAGGC INGQIVLWDITAHADRIENIKTGGHRSKKTSLKPMFLLEPDSNKESMYIRHCAVSSIENG HRKVITDIHWLPDSFEINRMGSVFENRSGINCQLVTCSADCTICFWDIRPQKPAVTAAAA ASAATTATNNANSQQSPVEKKKEENIDIPFDVPSTFLHLDLSWKPLSRLKLSKGDTSLDH CPTKLSLGEDPFLCKIQGTSSIHIILRDKMLSQIKMVKTSEINPYQNLEAGIANILKPIE DFCTKFFVGTEEGEVIYTDWKMERDSDTGRLMAKKPVSLYTVHDGAVHTIQRSPFFNDIV LTVGGWNVAIWKEEVMTGPLLQTCCGPKRYTAGHWSLTRPGVFYIGREDGNVDIWDLLEK THEPAQSQNICITMITYIKPWTFSSKQQFIAVADYYGTLHILEIPWTLSHPSLNEVSSVN YYFEREVRHLEYVQQRKEIREQEKIDMALELVKKKAKIYQKTKEQMEAELKLEYESYLDL EKSVLFALGLSKVSEKKSYLDSH >ENSMUSP00000106004.2 pep:known chromosome:GRCm38:2:127270229:127298932:1 gene:ENSMUSG00000027367.16 transcript:ENSMUST00000110375.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard7 description:START domain containing 7 [Source:MGI Symbol;Acc:MGI:2139090] MFPRRPPATLAAWLAGARGGGLLSALANQCRFVTGLRVRRAQQIAQLYGRLYSESSRCAL LGRFWRRLRGRPGHASVLMAALSGVFVWDEERIQEEELQRSINEMKRLEEMSNIFQSSGV ENYPPEPKSPAGGNEKSKDKEEPWEMVMDKKHFKLWRRPITGTHLYQYRVFGTYTDVTPR QFFNVQLDTEYRKKWDALVIKLEVIERDAVSGSEVLHWVTHFPYPMYSRDYVYVRRYSVD QENNVMVLVSRAVEHPSVPESPEFVRVRSYESQMVIRPHKSFDENGFDYLLTYSDNPQTV FPRYCVSWMVSSGMPDFLEKLHMATLKAKNMEIKVKDYISAKPLEMSSEAKATAPSPERK NEGSCGPARIEYA >ENSMUSP00000116374.1 pep:known chromosome:GRCm38:2:127270704:127298932:1 gene:ENSMUSG00000027367.16 transcript:ENSMUST00000125049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard7 description:START domain containing 7 [Source:MGI Symbol;Acc:MGI:2139090] XQQIAQLYGRLYSESSRCALLGRFWRRLRGRPGHASVLMAALSGVFVWDEERIQEEELQR SINEMKRLEEMSNIFQSSGVENYPPEPKSPAGGNEKSKDKEEPWEMVMDKKHFKLWRRPI TGTHLYQYRGEPCPAAFDRCPWCPHTVLCSFSFLNDSILALLKKIGQILFVFLSQTASFS HSSLVHVVPALLCCFLALPTPLVVLVFGTYTDVTPRQFFNVQLDTEYRKKWDALVIKLEV IERDAVSGSEVLHWVTHFPYPMYSRDYVYVRRYSVDQENNVMVLVSRAVEHPSVPESPEF VRVRSYESQMVIRPHKSFDENGFDYLLTYSDNPQTVFPRYCVSWMVSSGMPDFLEKLHMA TLKAKNMEIKVKDYISAKPLEMSSEAKATAPSPERKNEGSCGPARIEYA >ENSMUSP00000106003.1 pep:known chromosome:GRCm38:2:127270734:127285705:1 gene:ENSMUSG00000027367.16 transcript:ENSMUST00000110374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard7 description:START domain containing 7 [Source:MGI Symbol;Acc:MGI:2139090] MAALSGVFVWDEERIQEEELQRSINEMKRLEEMSNIFQSSGVENYPPEPKSPAGGNEKSK DKEEPWEMVMDKKHFKLWRRPITGTHLYQYRVFGTYTDVTPRQFFNVQVSSFSLQVWNFL AP >ENSMUSP00000093325.3 pep:known chromosome:GRCm38:6:97152997:97179122:-1 gene:ENSMUSG00000030059.15 transcript:ENSMUST00000095664.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmf1 description:TATA element modulatory factor 1 [Source:MGI Symbol;Acc:MGI:2684999] MSWFNASQLSSFAKQALSQAQKSIDRVLDIQEEEPSAWAEAIPYGEPGISPPVSGGWDTS TWGLNSTSSEPQSPPTASQAITKPVRRTVVDESENFFSAFLSPSDAHTIQKSPVVSKPPS KSQRPEEEVKSSLQESSSPGQSRVSETAEVRDSVCVSGETSAVGTPSPVPEDKHEETAGE ESEVKVPTVRLKASENVVNVNTTEDVSTTSTQSLTAETKDMALEPKEQKHEDRQSNTPSP PVSSFSSGTSTTSDIEVLDHESVISESSASSRQETSDAKSSLHLMQTSFQLLSASACPEY SRLDDFQKLNESCCSSDAFERIDSFSVQSLDSRSVSEINSDDELPGKGYALVPIIVSPST PKTKVVESTEENAEEEEGNETLVAPSEEAELEESGRSATPVNCDQPDILASPTAGSGGHS ASGPATEQCEAVENQPKAPPEKEDVCKTVEFLNEKLEKRETQLLSLSKEKALLEEAYDNL KDEMFRVKEESSSISSLKDEFTQRIAEAEKKVQLACKERDAAKKEMKTIKEELATRLNSS QTADLLKEKDEQIQGLMEEGEKLSKQQLHNSNIIKKLRAKDKDNENVIAKLNRKAKELEE ELQHLRQVLDGKEEVEKQHRENIKKLNSVVERQEKDLGRLQVDMDELEEKSRSTQAALDS AYRELTDLHKANAAKDSEVQEAALRREMKAKEELSGALEKAQEEARQQQEALVLQVGDLR LALQRAEQAAARKEDYLRHEISELQQRLQEAENRNQELSQSVSSTARPLLRQIENLQATL GSQTSSWETLEKSLSDRLGESQTLLAAAVERERAATEELLANKIQMSSVESQNTLLRQEN SRLQAQLESEKNKLRKLEDENSRYQVELENLKDEYVRTLEESRKEKTLLSSQLEMERMKV EQERKKTIFTQEALKEKDHKLFSVCSTPTMSRSSSISGVDAAGLQASFLSQDESHDHSFG PMSTSASGSNLYEAVRMGAGSSIIENLQSQLKLREGEISHLQLEISNLEKTRSIMSEELV KLTNQNDELEEKVKEIPKLRVQLRDLDQRYNTILQMYGEKAEEAEELRLDLEDVKNMYKT QIDELLRQRLS >ENSMUSP00000120093.1 pep:known chromosome:GRCm38:6:97155266:97179037:-1 gene:ENSMUSG00000030059.15 transcript:ENSMUST00000124173.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmf1 description:TATA element modulatory factor 1 [Source:MGI Symbol;Acc:MGI:2684999] MSWFNASQLSSFAKQALSQAQKSIDRVLDIQEEEPSAWAEAIPYGEPGISPPVSGGWDTS TWGLNSTSSEPQSPPTASQAITKPVRRTVVDESENFFSAFLSPSDAHTIQKSPVVSKPPS KSQRPEEEVKSSLQESSSPGQSRVSETAEVRDSVCVSGETSAVGTPSPVPEDKHEETAGE ESEVKVPTVRLKASENVVNVNTTEDVSTTSTQSLTAETKDMALEPKEQKHEDRQSNTPSP PVSSFSSGTSTTSDIEVLDHESVISESSASSRQETSDAKSSLHLMQTSFQLLSASACPEY SRLDDFQKLNESCCSSDAFERIDSFSVQSLDSRSVSEINSDDELPGKGYALVPIIVSPST PKTKVVESTEENAEEEEGNETLVAPSEEAELEESGRSATPVNCDQPDILASPTAGSGGHS ASGPATEQCEAVENQPKAPPEKEDVCKTVEFLNEKLEKRETQLLSLSKEKALLEEAYDNL KDEMFRVKEESSSISSLKDEFTQRIAEAEKKVQLACKERDAAKKEMKTIKEELATRLNSS QTADLLKEKDEQIQGLMEEGEKLSKQQLHNSNIIKKLRAKDKDNENVIAKLNRKAKELEE ELQHLRQVLDGKEEVEKQHRENIKKLNSVVERQEKDLGRLQVDMDELEEKSRSTQAALDS AYRELTDLHKANAAKDSEVQEAALRREMKAKEELSGALEKAQEEARQQQEALVLQVGDLR LALQRAEQAAARKEDYLRHEISELQQLKENVQLQKNSWPTKSRCLQWSHRIRYYDRKTVD FRPS >ENSMUSP00000101844.3 pep:known chromosome:GRCm38:7:128154376:128205501:1 gene:ENSMUSG00000070369.13 transcript:ENSMUST00000106237.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgad description:integrin, alpha D [Source:MGI Symbol;Acc:MGI:3578624] MVFKTIWIERYRKLINLFRALASCHGSNLDVEKPVVFKEDAASFGQTVVQFGGSRLVVGA PLEAVAVNQTGQLYDCAPATGVCQPILLHIPLEAVNMSLGLSLVADTNNSQLLACGPTAQ RACAKNMYAKGSCLLLGSSLQFIQAIPATMPECPGQEMDIAFLIDGSGSIDQSDFTQMKD FVKALMGQLASTSTSFSLMQYSNILKTHFTFTEFKSSLSPQSLVDAIVQLQGLTYTASGI QKVVKELFHSKNGARKSAKKILIVITDGQKFRDPLEYRHVIPEAEKAGIIRYAIGVGDAF REPTALQELNTIGSAPSQDHVFKVGNFVALRSIQRQIQEKIFAIEGTESRSSSSFQHEMS QEGFSSALSMDGPVLGAVGSFSWSGGAFLYPSNMRSTFINMSQENEDMRDAYLGYSTALA FWKGVHSLILGAPRHQHTGKVVIFTQESRHWRPKSEVRGTQIGSYFGASLCSVDMDRDGS TDLVLIGVPHYYEHTRGGQVSVCPMPGVRSRWHCGTTLHGEQGHPWGRFGAALTVLGDVN GDSLADVAIGAPGEEENRGAVYIFHGASRQDIAPSPSQRVTGSQLFLRLQYFGQSLSGGQ DLTQDGLVDLAVGAQGHVLLLRSLPLLKVGISIRFAPSEVAKTVYQCWGRTPTVLEAGEA TVCLTVRKGSPDLLGDVQSSVRYDLALDPGRLISRAIFDETKNCTLTRRKTLGLGDHCET MKLLLPDCVEDAVTPIILRLNLSLAGDSAPSRNLRPVLAVGSQDHVTASFPFEKNCKQEL LCEGNLGVSFNFSGLQVLEVGSSPELTVTVTVWNEGEDSYGTLIKFYYPAELSYRRVTRA QQPHPYPLRLACEAEPTGQESLRSSSCSINHPIFREGAKATFMITFDVSYKAFLGDRLLL RASASSENNKPETSKTAFQLELPVKYTVYTVISRQEDSTKHFNFSSSHGERQKEAEHRYR VNNLSPLTLAISVNFWVPILLNGVAVWDVTLRSPAQGVSCVSQREPPQHSDLLTQIQGRS VLDCAIADCLHLRCDIPSLGTLDELDFILKGNLSFGWISQTLQKKVLLLSEAEITFNTSV YSQLPGQEAFLRAQVSTMLEEYVVYEPVFLMVFSSVGGLLLLALITVALYKLGFFKRQYK EMLDLPSADPDPAGQADSNHETPPHLTS >ENSMUSP00000033051.9 pep:known chromosome:GRCm38:7:128173946:128205504:1 gene:ENSMUSG00000070369.13 transcript:ENSMUST00000033051.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgad description:integrin, alpha D [Source:MGI Symbol;Acc:MGI:3578624] MHCSAMVRGVVILLCGWALASCHGSNLDVEKPVVFKEDAASFGQTVVQFGGSRLVVGAPL EAVAVNQTGQLYDCAPATGVCQPILLHIPLEAVNMSLGLSLVADTNNSQLLACGPTAQRA CAKNMYAKGSCLLLGSSLQFIQAIPATMPECPGQEMDIAFLIDGSGSIDQSDFTQMKDFV KALMGQLASTSTSFSLMQYSNILKTHFTFTEFKSSLSPQSLVDAIVQLQGLTYTASGIQK VVDSNRLLLLRVWSALKPGCHYNTHMPWWVWQRVRFSWKELFHSKNGARKSAKKILIVIT DGQKFRDPLEYRHVIPEAEKAGIIRYAIGVGDAFREPTALQELNTIGSAPSQDHVFKVGN FVALRSIQRQIQEKIFAIEGTESRSSSSFQHEMSQEGFSSALSMDGPVLGAVGSFSWSGG AFLYPSNMRSTFINMSQENEDMRDAYLGYSTALAFWKGVHSLILGAPRHQHTGKVVIFTQ ESRHWRPKSEVRGTQIGSYFGASLCSVDMDRDGSTDLVLIGVPHYYEHTRGGQVSVCPMP GVRSRWHCGTTLHGEQGHPWGRFGAALTVLGDVNGDSLADVAIGAPGEEENRGAVYIFHG ASRQDIAPSPSQRVTGSQLFLRLQYFGQSLSGGQDLTQDGLVDLAVGAQGHVLLLRSLPL LKVGISIRFAPSEVAKTVYQCWGRTPTVLEAGEATVCLTVRKGSPDLLGDVQSSVRYDLA LDPGRLISRAIFDETKNCTLTRRKTLGLGDHCETMKLLLPDCVEDAVTPIILRLNLSLAG DSAPSRNLRPVLAVGSQDHVTASFPFEKNCKQELLCEGNLGVSFNFSGLQVLEVGSSPEL TVTVTVWNEGEDSYGTLIKFYYPAELSYRRVTRAQQPHPYPLRLACEAEPTGQESLRSSS CSINHPIFREGAKATFMITFDVSYKAFLGDRLLLRASASSENNKPETSKTAFQLELPVKY TVYTVISRQEDSTKHFNFSSSHGERQKEAEHRYRVNNLSPLTLAISVNFWVPILLNGVAV WDVTLRSPAQGVSCVSQREPPQHSDLLTQIQGRSVLDCAIADCLHLRCDIPSLGTLDELD FILKGNLSFGWISQTLQKKVLLLSEAEITFNTSVYSQLPGQEAFLRAQVSTMLEEYVVYE PVFLMVFSSVGGLLLLALITVALYKLGFFKRQYKEMLDLPSADPDPAGQADSNHETPPHL TS >ENSMUSP00000135572.1 pep:known chromosome:GRCm38:7:128173955:128205501:1 gene:ENSMUSG00000070369.13 transcript:ENSMUST00000177111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgad description:integrin, alpha D [Source:MGI Symbol;Acc:MGI:3578624] MHCSAMVRGVVILLCGWALASCHGSNLDVEKPVVFKEDAASFGQTVVQFGGSRLVVGAPL EAVAVNQTGQLYDCAPATGVCQPILLHIPLEAVNMSLGLSLVADTNNSQLLACGPTAQRA CAKNMYAKGSCLLLGSSLQFIQAIPATMPECPGQEMDIAFLIDGSGSIDQSDFTQMKDFV KALMGQLASTSTSFSLMQYSNILKTHFTFTEFKSSLSPQSLVDAIVQLQGLTYTASGIQK VVKELFHSKNGARKSAKKILIVITDGQKFRDPLEYRHVIPEAEKAGIIRYAIGVGDAFRE PTALQELNTIGSAPSQDHVFKVGNFVALRSIQRQIQEKIFAIEGTESRSSSSFQHEMSQE GFSSALSMDGPVLGAVGSFSWSGGAFLYPSNMRSTFINMSQENEDMRDAYLGYSTALAFW KGVHSLILGAPRHQHTGKVVIFTQESRHWRPKSEVRGTQIGSYFGASLCSVDMDRDGSTD LVLIGVPHYYEHTRGGQVSVCPMPGVRSRWHCGTTLHGEQGHPWGRFGAALTVLGDVNGD SLADVAIGAPGEEENRGAVYIFHGASRQDIAPSPSQRVTGSQLFLRLQYFGQSLSGGQDL TQDGLVDLAVGAQGHVLLLRSLPLLKVGISIRFAPSEVAKTVYQCWGRTPTVLEAGEATV CLTVRKGSPDLLGDVQSSVRYDLALDPGRLISRAIFDETKNCTLTRRKTLGLGDHCETMK LLLPDCVEDAVTPIILRLNLSLAGDSAPSRNLRPVLAVGSQDHVTASFPFEKNCKQELLC EGNLGVSFNFSGLQVLEVGSSPELTVTVTVWNEGEDSYGTLIKFYYPAELSYRRVTRAQQ PHPYPLRLACEAEPTGQESLRSSSCSINHPIFREGAKATFMITFDVSYKAFLGDRLLLRA SASSENNKPETSKTAFQLELPVKYTVYTVISRQEDSTKHFNFSSSHGERQKEAEHRYRVN NLSPLTLAISVNFWVPILLNGVAVWDVTLRSPAQGVSCVSQREPPQHSDLLTQIQGRSVL DCAIADCLHLRCDIPSLGTLDELDFILKGNLSFGWISQTLQKKVLLLSEAEITFNTSVYS QLPGQEAFLRAQVSTMLEEYVVYEPVFLMVFSSVGGLLLLALITVALYKLGFFKRQYKEM LDLPSADPDPAGQADSNHETPPHLTS >ENSMUSP00000135104.1 pep:known chromosome:GRCm38:7:128203612:128205504:1 gene:ENSMUSG00000070369.13 transcript:ENSMUST00000177383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgad description:integrin, alpha D [Source:MGI Symbol;Acc:MGI:3578624] DCLHLRCDIPSLGTLDELDFILKGNLSFGWISQVSTMLEEYVVYEPVFLMVFSSVGGLLL LALITVALYKLGFFKRQYKEMLDLPSADPDPAGQADSNHETPPHLTS >ENSMUSP00000135309.1 pep:known chromosome:GRCm38:7:128203874:128223816:1 gene:ENSMUSG00000070369.13 transcript:ENSMUST00000176249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgad description:integrin, alpha D [Source:MGI Symbol;Acc:MGI:3578624] LSEAEITFNTSVYSQLPGQEAFLRAQVSTMLEEYVVYEPVFLMVFSSVGGLLLLALITVA LYKVHSNCGPGSDNVLLTGLKRWLSVQEYWLLFWKS >ENSMUSP00000103273.2 pep:known chromosome:GRCm38:4:53440413:53550200:1 gene:ENSMUSG00000028412.17 transcript:ENSMUST00000107646.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc44a1 description:solute carrier family 44, member 1 [Source:MGI Symbol;Acc:MGI:2140592] MGCCSSASAAQSSKREWKPLEDRSCTDIPWLLLFVLFCIGMGFICGFSVATGAAARLVSG YDSYGNICGQRNAKLEAIPNSGLDHTHRKYVFFLDPCNLDLINRKIKSIALCVAACPRQE LKTLSDVQKFAEINGSALCSYNIKPSEYTLTSKSSGFCPKLPVPASAPIPFFHRCAPVNI SCYAKFAEALITFVSDNSVLHRLISGVMTSKEIILGLCLLSLVLSMILMVIIRYISRVLV WILTVLVILGSLGGTGVLWWLYAKQRRSPKEAVIPEQLQIAEDNLRALLIYAISATVFTV ILFLIMLVMRKRVALTIALFHVAGKVFIHLPLLVFQPFWTFFALVLFWAYWIMTLLFLGT TGSAVQNEQGFVEYKISGPLQYMWWYHVVGLIWISEFILACQQMTVAGAVVTYYFTRDKR NLPFTPILASVNRLIRYHLGTVAKGSFIITLVKIPRMVLMYIHSQLKGKENACARCMLKS CICCLWCLEKCLSYLNQVCMQPLKAWSRARKLKHARGSWGISDKRQ >ENSMUSP00000099975.3 pep:known chromosome:GRCm38:4:53440413:53622478:1 gene:ENSMUSG00000028412.17 transcript:ENSMUST00000102911.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc44a1 description:solute carrier family 44, member 1 [Source:MGI Symbol;Acc:MGI:2140592] MGCCSSASAAQSSKREWKPLEDRSCTDIPWLLLFVLFCIGMGFICGFSVATGAAARLVSG YDSYGNICGQRNAKLEAIPNSGLDHTHRKYVFFLDPCNLDLINRKIKSIALCVAACPRQE LKTLSDVQKFAEINGSALCSYNIKPSEYTLTSKSSGFCPKLPVPASAPIPFFHRCAPVNI SCYAKFAEALITFVSDNSVLHRLISGVMTSKEIILGLCLLSLVLSMILMVIIRYISRVLV WILTVLVILGSLGGTGVLWWLYAKQRRSPKEAVIPEQLQIAEDNLRALLIYAISATVFTV ILFLIMLVMRKRVALTIALFHVAGKVFIHLPLLVFQPFWTFFALVLFWAYWIMTLLFLGT TGSAVQNEQGFVEYKISGPLQYMWWYHVVGLIWISEFILACQQMTVAGAVVTYYFTRDKR NLPFTPILASVNRLIRYHLGTVAKGSFIITLVKIPRMVLMYIHSQLKGKENACARCMLKS CICCLWCLEKCLSYLNQNAYTATAINSTNFCTSAKDAFVILVENALRVAAINTVGDFMLF LGKVLIVCSTGLAGIMLLNYQQDYTVWVLPLIIVCLFAFLVAHCFLSIYEMVVDVLFLCF AIDTKYNDGSPGREFYMDKVLMEFVENSRKAMKEAGKGGAADARELKPMLRKR >ENSMUSP00000103278.2 pep:known chromosome:GRCm38:4:53440671:53567818:1 gene:ENSMUSG00000028412.17 transcript:ENSMUST00000107651.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc44a1 description:solute carrier family 44, member 1 [Source:MGI Symbol;Acc:MGI:2140592] MGCCSSASAAQSSKREWKPLEDRSCTDIPWLLLFVLFCIGMGFICGFSVATGAAARLVSG YDSYGNICGQRNAKLEAIPNSGLDHTHRKYVFFLDPCNLDLINRKIKSIALCVAACPRQE LKTLSDVQKFAEINGSALCSYNIKPSEYTLTSKSSGFCPKLPVPASAPIPFFHRCAPVNI SCYAKFAEALITFVSDNSVLHRLISGVMTSKEIILGLCLLSLVLSMILMVIIRYISRVLV WILTVLVILGSLGGTGVLWWLYAKQRRSPKEAVIPEQLQIAEDNLRALLIYAISATVFTV ILFLIMLVMRKRVALTIALFHVAGKVFIHLPLLVFQPFWTFFALVLFWAYWIMTLLFLGT TGSAVQNEQGFVEYKISGPLQYMWWYHVVGLIWISEFILACQQMTVAGAVVTYYFTRDKR NLPFTPILASVNRLIRYHLGTVAKGSFIITLVKIPRMVLMYIHSQLKGKENACARCMLKS CICCLWCLEKCLSYLNQNAYTATAINSTNFCTSAKDAFVILVENALRVAAINTVGDFMLF LGKVLIVCSTGLAGIMLLNYQQDYTVWVLPLIIVCLFAFLVAHCFLSIYEMVVDVLFLCF AIDTKYNDGSPGREFYMDKVLMEFVENSRKAMKEAGKGGAADARELKPMASGASSA >ENSMUSP00000103274.1 pep:known chromosome:GRCm38:4:53440684:53563450:1 gene:ENSMUSG00000028412.17 transcript:ENSMUST00000107647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc44a1 description:solute carrier family 44, member 1 [Source:MGI Symbol;Acc:MGI:2140592] MGCCSSASAAQSSKREWKPLEDRSCTDIPWLLLFVLFCIGMGFICGFSVATGAAARLVSG YDSYGNICGQRNAKLEAIPNSGLDHTHRKYVFFLDPCNLDLINRKIKSIALCVAACPRQE LKTLSDVQKFAEINGSALCSYNIKPSEYTLTSKSSGFCPKLPVPASAPIPFFHRCAPVNI SCYAKFAEALITFVSDNSVLHRLISGVMTSKEIILGLCLLSLVLSMILMVIIRYISRVLV WILTVLVILGSLGGTGVLWWLYAKQRRSPKEAVIPEQLQIAEDNLRALLIYAISATVFTV ILFLIMLVMRKRVALTIALFHVAGKVFIHLPLLVFQPFWTFFALVLFWAYWIMTLLFLGT TGSAVQNEQGFVEYKISGPLQYMWWYHVVGLIWISEFILACQQMTVAGAVVTYYFTRDKR NLPFTPILASVNRLIRYHLGTVAKGSFIITLVKIPRMVLMYIHSQLKGKENACARCMLKS CICCLWCLEKCLSYLNQNAYTATAINSTNFCTSAKDAFVILVENALRVAAINTVGDFMLF LGKVLIVCSTGLAGIMLLNYQQDYTVWVLPLIIVCLFAFLVAHCFLSIYEMVVDVLFLCF AIDTKYNDGSPGREFYMDKVLMEFVENSRKAMKEAGKGGAADARELKPMVGGEEEAAALH DFPFHFFSVCVFTDCTSSGEALVVCITQDMLLFLFACLPITWMAEVLSQLRLPSVKVS >ENSMUSP00000103272.1 pep:known chromosome:GRCm38:4:53535612:53621798:1 gene:ENSMUSG00000028412.17 transcript:ENSMUST00000107645.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc44a1 description:solute carrier family 44, member 1 [Source:MGI Symbol;Acc:MGI:2140592] MTSKEIILGLCLLSLVLSMILMVIIRYISRVLVWILTVLVILGSLGGTGVLWWLYAKQRR SPKEAVIPEQLQIAEDNLRALLIYAISATVFTVILFLIMLVMRKRVALTIALFHVAGKVF IHLPLLVFQPFWTFFALVLFWAYWIMTLLFLGTTGSAVQNEQGFVEYKISGPLQYMWWYH VVGLIWISEFILACQQMTVAGAVVTYYFTRDKRNLPFTPILASVNRLIRYHLGTVAKGSF IITLVKIPRMVLMYIHSQLKGKENACARCMLKSCICCLWCLEKCLSYLNQNAYTATAINS TNFCTSAKDAFVILVENALRVAAINTVGDFMLFLGKVLIVCSTGLAGIMLLNYQQDYTVW VLPLIIVCLFAFLVAHCFLSIYEMVVDVLFLCFAIDTKYNDGSPGREFYMDKVLMEFVEN SRKAMKEAGKGGAADARELKPMLRKR >ENSMUSP00000035564.7 pep:known chromosome:GRCm38:1:75435930:75443174:1 gene:ENSMUSG00000033021.16 transcript:ENSMUST00000037796.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppa description:GDP-mannose pyrophosphorylase A [Source:MGI Symbol;Acc:MGI:1916330] MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQ PDEALTQFLEAAQQEFNLPVRYLQEFAPLGTGGGLYHFRDQILAGAPEAFFVLNADVCSD FPLSAMLEAHRRQRHPFLLLGTTANRTQSLNYGCIVENPQTHEVLHYVEKPSTFISDIIN CGIYLFSPEALKPLRDVFQRNQQDGQLEESPGSWPGAGTIRLEQDVFSALAGQGQIYVHL TDGIWSQIKSAGSALYASRLYLGRYQITHPERLARHTPGGPRIRGNVYIHPTAKVAPSAV LGPNVSIGKGVTIGEGVRLRESIVLHGATLQEHTCVLHSIVGWGSTVGRWARVEGTPNDP NPNDPRARMDSESLFKDGKLLPAITILGCRVRIPAEVLILNSIVLPHKELSRSFTNQIIL >ENSMUSP00000109214.1 pep:known chromosome:GRCm38:1:75435943:75443173:1 gene:ENSMUSG00000033021.16 transcript:ENSMUST00000113584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppa description:GDP-mannose pyrophosphorylase A [Source:MGI Symbol;Acc:MGI:1916330] MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQ PDEALTQFLEAAQQEFNLPVRYLQEFAPLGTGGGLYHFRDQILAGAPEAFFVLNADVCSD FPLSAMLEAHRRQRHPFLLLGTTANRTQSLNYGCIVENPQTHEVLHYVEKPSTFISDIIN CGIYLFSPEALKPLRDVFQRNQQDGQLEESPGSWPGAGTIRLEQDVFSALAGQGQIYVHL TDGIWSQIKSAGSALYASRLYLGRYQITHPERLARHTPGGPRIRGNVYIHPTAKVAPSAV LGPNVSIGKGVTIGEGVRLRESIVLHGATLQEHTCVLHSIVGWGSTVGRWARVEGTPNDP NPNDPRARMDSESLFKDGKLLPAITILGCRVRIPAEVLILNSIVLPHKELSRSFTNQIIL >ENSMUSP00000116754.1 pep:known chromosome:GRCm38:1:75435961:75438873:1 gene:ENSMUSG00000033021.16 transcript:ENSMUST00000145166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppa description:GDP-mannose pyrophosphorylase A [Source:MGI Symbol;Acc:MGI:1916330] MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQ PDEALTQFLEAAQQEFNLPVRYLQEFAPLGT >ENSMUSP00000114375.1 pep:known chromosome:GRCm38:1:75435967:75440512:1 gene:ENSMUSG00000033021.16 transcript:ENSMUST00000143730.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppa description:GDP-mannose pyrophosphorylase A [Source:MGI Symbol;Acc:MGI:1916330] MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQ PDEALTQFLEAAQQEFNLPVRYLQEFAPLGTGGGLYHFRDQILAGAPEAFFVLNADVCSD FPLSAMLEAHRRQRHPFLLLGTTANRTQSLNYGCIVENPQTHEVLHYVEKPSTFISDIIN CGIYLFSPEALKPLRDV >ENSMUSP00000122443.1 pep:known chromosome:GRCm38:1:75435968:75441515:1 gene:ENSMUSG00000033021.16 transcript:ENSMUST00000133418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppa description:GDP-mannose pyrophosphorylase A [Source:MGI Symbol;Acc:MGI:1916330] MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQ PDEALTQFLEAAQQEFNLPVRYLQEFAPLGTGGGLYHFRDQILAGAPEAFFVLNADVCSD FPLSAMLEAHRRQRHPFLLLGTTANRTQSLNYGCIVENPQTHEVLHYVEKPSTFISDIIN CGIYLFSPEALKPLRDVFQRNQQDGQLEESPGSWPGAGTI >ENSMUSP00000121418.1 pep:known chromosome:GRCm38:1:75435982:75441609:1 gene:ENSMUSG00000033021.16 transcript:ENSMUST00000144874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppa description:GDP-mannose pyrophosphorylase A [Source:MGI Symbol;Acc:MGI:1916330] MIQHHIEACAQVPGMQEILLIGFYQPDEALTQFLEAAQQEFNLPVRYLQEFAPLGTGGGL YHFRDQILAGAPEAFFVLNADVCSDFPLSAMLEAHRRQRHPFLLLGTTANRTQSLNYGCI VENPQTHEVLHYVEKPSTFISDIINCGIYLFSPEALKPLRDVFQRNQQDGQLEESPGSWP GAGTIRLEQDVFSALAGQGQIYVHLTDGIWSQIKSAG >ENSMUSP00000121552.1 pep:known chromosome:GRCm38:1:75435984:75439399:1 gene:ENSMUSG00000033021.16 transcript:ENSMUST00000140287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppa description:GDP-mannose pyrophosphorylase A [Source:MGI Symbol;Acc:MGI:1916330] MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQ PDEALTQFLEAAQQEFNLPVRYLQEFAPLGTGGGLYHFRDQILAGAPEAFFVLNADVCSD FPLSAMLEAHRRQRHPFLLLGTTANRTQSLNYGCIVENPQT >ENSMUSP00000120841.1 pep:known chromosome:GRCm38:1:75436087:75441524:1 gene:ENSMUSG00000033021.16 transcript:ENSMUST00000131545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppa description:GDP-mannose pyrophosphorylase A [Source:MGI Symbol;Acc:MGI:1916330] MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQ PDEALTQFLEAAQQEFNLPVRYLQEFAPLGTGGGLYHFRDQILAGAPEAFFVLNADVCSD FPLSAMLEAHRRQRHPFLLLGTTANRTQSLNYGCIVENPQTHEVLHYVEKPSTFISDIIN CGIYLFSPEALKPLRDVFQRNQQDGQLEESPGSWPGAGTIRLE >ENSMUSP00000116783.1 pep:known chromosome:GRCm38:1:75436113:75438328:1 gene:ENSMUSG00000033021.16 transcript:ENSMUST00000141124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmppa description:GDP-mannose pyrophosphorylase A [Source:MGI Symbol;Acc:MGI:1916330] MLKAVILIGGPQKGTRFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQ PDEALTQFLEAA >ENSMUSP00000139936.1 pep:known chromosome:GRCm38:1:75436942:75442028:1 gene:ENSMUSG00000033021.16 transcript:ENSMUST00000188097.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gmppa description:GDP-mannose pyrophosphorylase A [Source:MGI Symbol;Acc:MGI:1916330] RFRPLSFEVPKPLFPVAGVPMIQHHIEACAQVPGMQEILLIGFYQPDEALTQFLEAAQQE FNLPVRYLQEFAPLGTGGGLYHFRDQILAGAPEAFFVLNADVCSDFPLSAMLEAHRRQRH PFLLLGTTANRTQSLNYGCIVENPQTHEKP >ENSMUSP00000096413.1 pep:known chromosome:GRCm38:7:13219339:13220289:1 gene:ENSMUSG00000095629.1 transcript:ENSMUST00000053008.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r89 description:vomeronasal 1 receptor 89 [Source:MGI Symbol;Acc:MGI:2159697] MFSSDTFFQIFLIFQFCLGVIGNSSLLMLYVYTFFFKPHFKKLVDSVFMHLTIVNMLTIA FTLIKDIMLSFGVPNFLDDIGCKTVLFSFRVSRGLSICTTSVLSTFQVITITPSNSKWAS WLKPRLSTWIFSSLLCSWLINLVIYGYMLDMVIAKTNKTHVGNGYSDGYCQNKHFGNHNS GSFFSLLLTHDLFYVAVMVWASLYMVIFLYRHYKRSQHLHSRSLSSQSSPERKATHNILS LVSCFVLIYCLNNSITLYGFYTKEKIPRLESINAILTTCYPTVCPFFLMKNNKVILQFTS SFSVLRMTCHQSSLHG >ENSMUSP00000029510.7 pep:known chromosome:GRCm38:3:106387384:106406182:-1 gene:ENSMUSG00000027902.8 transcript:ENSMUST00000029510.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil6 description:chitinase-like 6 [Source:MGI Symbol;Acc:MGI:2682303] MFYKGNEDPPGSVLKATISKLVFIMGLNLLLNAQMGSAYQLMCYFNNWPQHQPDVRDIKH EDIDPCLCTHLIYSFAGIWENNFTMTKRKELDDYKGFNDLKKRNNKLKTLLSIGCWNFGD GSFITMVSTPENRHSFITSIIKFLRKYGFDGLNLAWQYPGCYGSPPRDKHLFTILMHEIR KAFEKEVSKNKKPRLMVTAAVAGVISTIQFGYEIPQLSQSLDYIQVMTYDLHGSWDGYTG ENSPLYKSPIETGVKAFHNIKYIMDNWKKKGASPEKLIVGFPAYGHTFILSDSTKTEIGA PSNRGGHPGPHTKQTGFWAYYEICTFLKNGAIQVWNAAQQVPYAFHGNEWVGYDNIKSFH IKAQWLKRNNYGGAMIWTIDMDDYTGSFCGQGTFPLTSILKKTLKVHSASCNVTVLSANV TVSRNSSSGALEPVLQLSSK >ENSMUSP00000135017.1 pep:known chromosome:GRCm38:19:26605050:26778275:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000176769.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MSTPTDPAAMPHPGPSPGPGPSPGPILGPSPGPGPSPGSVHSMMGPSPGPPSVSHPLSTM GSADFPQEGMHQLHKPMDGIHDKGIVEDVHCGSMKGTSMRPPHPGMGPPQSPMDQHSQGY MSPHPSPLGAPEHVSSPISGGGPTPPQMPPSQPGALIPGDPQAMNQPNRGPSPFSPVQLH QLRAQILAYKMLARGQPLPETLQLAVQGKRTLPGMQQQQQQQQQQQQQQQQQQQQQQQQQ QPQQPQQQAQAQPQQQQQQQQQPALVSYNRPSGPGQELLLSGQSAPQKLSAPAPSGRPSP APQAAVQPTATAVPGPSVQQPAPGQPSPVLQLQQKQSRISPIQKPQGLDPVEILQEREYR LQARIAHRIQELESLPGSLPPDLRTKATVELKALRLLNFQRQLRQEVVACMRRDTTLETA LNSKAYKRSKRQTLREARMTEKLEKQQKIEQERKRRQKHQEYLNSILQHAKDFKEYHRSV AGKIQKLSKAVATWHANTEREQKKETERIEKERMRRLMAEDEEGYRKLIDQKKDRRLAYL LQQTDEYVANLTNLVWEHKQAQAAKEKKKRRRRKKKAEENAEGGEPALGPDGEPIDESSQ MSDLPVKVTHTETGKVLFGPEAPKASQLDAWLEMNPGYEVAPRSDSEESESDYEEEDEEE ESSRQETEEKILLDPNSEEVSEKDAKQIIETAKQDVDDEYSMQYSARGSQSYYTVAHAIS ERVEKQSALLINGTLKHYQLQGLEWMVSLYNNNLNGILADEMGLGKTIQTIALITYLMEH KRLNGPYLIIVPLSTLSNWTYEFDKWAPSVVKISYKGTPAMRRSLVPQLRSGKFNVLLTT YEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVDLNEEETILIIRRLHKVLRPFLL RRLKKEVESQLPEKVEYVIKCDMSALQKILYRHMQAKGILLTDGSEKDKKGKGGAKTLMN TIMQLRKICNHPYMFQHIEESFAEHLGYSNGVINGAELYRASGKFELLDRILPKLRATNH RVLLFCQMTSLMTIMEDYFAFRNFLYLRLDGTTKSEDRAALLKKFNEPGSQYFIFLLSTR AGGLGLNLQAADTVVIFDSDWNPHQDLQAQDRAHRIGQQNEVRVLRLCTVNSVEEKILAA AKYKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEENEEEDEVPDDETLNQMIARRE EEFDLFMRMDMDRRREDARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEKIFGRG SRQRRDVDYSDALTEKQWLRAIEDGNLEEMEEEVRLKKRKRRRNVDKDPVKEDVEKAKKR RGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPSRKDLPEYYELIRK PVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIVLQSVFKSARQK IAKEEESEEESNEEEEEDDEEESESEAKSVKVKIKLNKKEEKGRDTGKGKKRPNRGKAKP VVSDFDSDEEQEENEQSEASGTDNE >ENSMUSP00000146859.1 pep:known chromosome:GRCm38:19:26605052:26630778:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MDGIHDKGIVEDVHCGSMKGTSMRPPHPGMGPPQSPMDQHSQGYMSPHPSPLGAPEHVSS PISGGGPTPPQMPPSQPGA >ENSMUSP00000025862.7 pep:known chromosome:GRCm38:19:26605052:26778321:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000025862.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MSTPTDPAAMPHPGPSPGPGPSPGPILGPSPGPGPSPGSVHSMMGPSPGPPSVSHPLSTM GSADFPQEGMHQLHKPMDGIHDKGIVEDVHCGSMKGTSMRPPHPGMGPPQSPMDQHSQGY MSPHPSPLGAPEHVSSPISGGGPTPPQMPPSQPGALIPGDPQAMNQPNRGPSPFSPVQLH QLRAQILAYKMLARGQPLPETLQLAVQGKRTLPGMQQQQQQQQQQQQQQQQQQQQQQQQQ QPQQPQQQAQAQPQQQQQQQQQPALVSYNRPSGPGQELLLSGQSAPQKLSAPAPSGRPSP APQAAVQPTATAVPGPSVQQPAPGQPSPVLQLQQKQSRISPIQKPQGLDPVEILQEREYR LQARIAHRIQELESLPGSLPPDLRTKATVELKALRLLNFQRQLRQEVVACMRRDTTLETA LNSKAYKRSKRQTLREARMTEKLEKQQKIEQERKRRQKHQEYLNSILQHAKDFKEYHRSV AGKIQKLSKAVATWHANTEREQKKETERIEKERMRRLMAEDEEGYRKLIDQKKDRRLAYL LQQTDEYVANLTNLVWEHKQAQAAKEKKKRRRRKKKAEENAEGGEPALGPDGEPIDESSQ MSDLPVKVTHTETGKVLFGPEAPKASQLDAWLEMNPGYEVAPRSDSEESESDYEEEDEEE ESSRQETEEKILLDPNSEEVSEKDAKQIIETAKQDVDDEYSMQYSARGSQSYYTVAHAIS ERVEKQSALLINGTLKHYQLQGLEWMVSLYNNNLNGILADEMGLGKTIQTIALITYLMEH KRLNGPYLIIVPLSTLSNWTYEFDKWAPSVVKISYKGTPAMRRSLVPQLRSGKFNVLLTT YEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTHYVAPRRILLTGTPLQNKLP ELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGERVDLNEEETILIIRRLHKVLRPFLLRR LKKEVESQLPEKVEYVIKCDMSALQKILYRHMQAKGILLTDGSEKDKKGKGGAKTLMNTI MQLRKICNHPYMFQHIEESFAEHLGYSNGVINGAELYRASGKFELLDRILPKLRATNHRV LLFCQMTSLMTIMEDYFAFRNFLYLRLDGTTKSEDRAALLKKFNEPGSQYFIFLLSTRAG GLGLNLQAADTVVIFDSDWNPHQDLQAQDRAHRIGQQNEVRVLRLCTVNSVEEKILAAAK YKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEENEEEDEVPDDETLNQMIARREEE FDLFMRMDMDRRREDARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEKIFGRGSR QRRDVDYSDALTEKQWLRAIEDGNLEEMEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRG RPPAEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPSRKDLPEYYELIRKPV DFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIA KEEESEEESNEEEEEDDEEESESEAKSVKVKIKLNKKEEKGRDTGKGKKRPNRGKAKPVV SDFDSDEEQEENEQSEASGTDNE >ENSMUSP00000135784.1 pep:known chromosome:GRCm38:19:26605119:26778275:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000176030.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MSTPTDPAAMPHPGPSPGPGPSPGPILGPSPGPGPSPGSVHSMMGPSPGPPSVSHPLSTM GSADFPQEGMHQLHKPMDGIHDKGIVEDVHCGSMKGTSMRPPHPGMGPPQSPMDQHSQGY MSPHPSPLGAPEHVSSPISGGGPTPPQMPPSQPGALIPGDPQAMNQPNRGPSPFSPVQLH QLRAQILAYKMLARGQPLPETLQLAVQGKRTLPGMQQQQQQQQQQQQQQQQQQQQQQQQQ QPQQPQQQAQAQPQQQQQQQQQPALVSYNRPSGPGQELLLSGQSAPQKLSAPAPSGRPSP APQAAVQPTATAVPGPSVQQPAPGQPSPVLQLQQKQSRISPIQKPQGLDPVEILQEREYR LQARIAHRIQELESLPGSLPPDLRTKATVELKALRLLNFQRQLRQEVVACMRRDTTLETA LNSKAYKRSKRQTLREARMTEKLEKQQKIEQERKRRQKHQEYLNSILQHAKDFKEYHRSV AGKIQKLSKAVATWHANTEREQKKETERIEKERMRRLMAEDEEGYRKLIDQKKDRRLAYL LQQTDEYVANLTNLVWEHKQAQAAKEKKKRRRRKKKAEENAEGGEPALGPDGEPIDESSQ MSDLPVKVTHTETGKVLFGPEAPKASQLDAWLEMNPGYEVAPRSDSEESESDYEEEDEEE ESSRQETEEKILLDPNSEEVSEKDAKQIIETAKQDVDDEYSMQYSARGSQSYYTVAHAIS ERVEKQSALLINGTLKHYQLQGLEWMVSLYNNNLNGILADEMGLGKTIQTIALITYLMEH KRLNGPYLIIVPLSTLSNWTYEFDKWAPSVVKISYKGTPAMRRSLVPQLRSGKFNVLLTT YEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTHYVAPRRILLTGTPLQNKLP ELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGERVDLNEEETILIIRRLHKVLRPFLLRR LKKEVESQLPEKVEYVIKCDMSALQKILYRHMQAKGILLTDGSEKDKKGKGGAKTLMNTI MQLRKICNHPYMFQHIEESFAEHLGYSNGVINGAELYRASGKFELLDRILPKLRATNHRV LLFCQMTSLMTIMEDYFAFRNFLYLRLDGTTKSEDRAALLKKFNEPGSQYFIFLLSTRAG GLGLNLQAADTVVIFDSDWNPHQDLQAQDRAHRIGQQNEVRVLRLCTVNSVEEKILAAAK YKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEENEEEDEVPDDETLNQMIARREEE FDLFMRMDMDRRREDARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEKIFGRGSR QRRDVDYSDALTEKQWLRAIEDGNLEEMEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRG RPPAEKLSPNPPKLTKQMNAIIDTVINYKDRCNVEKVPCNSQLEIEGNSSGRQLSEVFIQ LPSRKDLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIY EDSIVLQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKSVKVKIKLNKKEEKGR DTGKGKKRPNRGKAKPVVSDFDSDEEQEENEQSEASGTDNE >ENSMUSP00000097135.2 pep:known chromosome:GRCm38:19:26607270:26778273:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000099537.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MSTPTDPAAMPHPGPSPGPGPSPGPILGPSPGPGPSPGSVHSMMGPSPGPPSVSHPLSTM GSADFPQEGMHQLHKPMDGIHDKGIVEDVHCGSMKGTSMRPPHPGMGPPQSPMDQHSQGY MSPHPSPLGAPEHVSSPISGGGPTPPQMPPSQPGALIPGDPQAMNQPNRGPSPFSPVQLH QLRAQILAYKMLARGQPLPETLQLAVQGKRTLPGMQQQQQQQQQQQQQQQQQQQQQQQQQ QPQQPQQQAQAQPQQQQQQQQQPALVSYNRPSGPGQELLLSGQSAPQKLSAPAPSGRPSP APQAAVQPTATAVPGPSVQQPAPGQPSPVLQLQQKQSRISPIQKPQGLDPVEILQEREYR LQARIAHRIQELESLPGSLPPDLRTKATVELKALRLLNFQRQLRQEVVACMRRDTTLETA LNSKAYKRSKRQTLREARMTEKLEKQQKIEQERKRRQKHQEYLNSILQHAKDFKEYHRSV AGKIQKLSKAVATWHANTEREQKKETERIEKERMRRLMAEDEEGYRKLIDQKKDRRLAYL LQQTDEYVANLTNLVWEHKQAQAAKEKKKRRRRKKKAEENAEGGEPALGPDGEPIDESSQ MSDLPVKVTHTETGKVLFGPEAPKASQLDAWLEMNPGYEVAPRSDSEESESDYEEEDEEE ESSRQETEEKILLDPNSEEVSEKDAKQIIETAKQDVDDEYSMQYSARGSQSYYTVAHAIS ERVEKQSALLINGTLKHYQLQGLEWMVSLYNNNLNGILADEMGLGKTIQTIALITYLMEH KRLNGPYLIIVPLSTLSNWTYEFDKWAPSVVKISYKGTPAMRRSLVPQLRSGKFNVLLTT YEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTHYVAPRRILLTGTPLQNKLP ELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGERVDLNEEETILIIRRLHKVLRPFLLRR LKKEVESQLPEKVEYVIKCDMSALQKILYRHMQAKGILLTDGSEKDKKGKGGAKTLMNTI MQLRKICNHPYMFQHIEESFAEHLGYSNGVINGAELYRASGKFELLDRILPKLRATNHRV LLFCQMTSLMTIMEDYFAFRNFLYLRLDGTTKSEDRAALLKKFNEPGSQYFIFLLSTRAG GLGLNLQAADTVVIFDSDWNPHQDLQAQDRAHRIGQQNEVRVLRLCTVNSVEEKILAAAK YKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEENEEEDEVPDDETLNQMIARREEE FDLFMRMDMDRRREDARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEKIFGRGSR QRRDVDYSDALTEKQWLRAIEDGNLEEMEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRG RPPAEKLSPNPPKLTKQMNAIIDTVINYKDRCNVEKVPCNSQLEIEGNRSESVIISIGAW ETWRKTSCFSVTTHRHSTWKDPRSTKTPLSYSQCLRVLGRKLPKKKRVRKKAMKKRKKMM KRSRSQRRNL >ENSMUSP00000135344.1 pep:known chromosome:GRCm38:19:26623455:26631062:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000176584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MDGIHDKGIVEDVHCGSMKGTSMRPPHPGMGPPQSPMDQHSQGYMSPHPSPLGAPEHVSS PISGGGPTPPQMPPSQPGALIPGDPQAMNQPNRGPSPFSPVQLHQLRAQILAYKMLARGQ PLPETLQLAVQGKRTLPGMQQQQQQQQQQQQQQQQQQQQQQQQQQPQQPQQQA >ENSMUSP00000147173.1 pep:known chromosome:GRCm38:19:26671139:26691377:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] XNSEEVSEKDAKQIIETAKQDVDDEYSMQYSARGSQSYYTVAHAISERVEKQSALLINGT LKHYQLQGLEWMVSLYNNNLNGILADEMGLGKTIQTIALITYLMEHKRLNGPYLIIVPLS TLSNWTYEFDKWAPSVVKISYKGTPAMRRSLVPQLRSGKFNVLLTTYEYIIKDKHILAKV DLNEEETILIIRRLHKVLRPFLLRRLKKEVESQLPEKVEYVIKCDMSA >ENSMUSP00000146770.1 pep:known chromosome:GRCm38:19:26706171:26774188:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208674.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] XLNLQAADTVVIFDSDWNPHQEEDEVPDDETLNQMIARREEEFDLFMRMDMDRRREDARN PKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEKIFGRGSRQRRDVDYSDALTEKQWLR AIEDGNLEEMEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQM NAIIDTVINYKDSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERIRNHKYRSLG DLEKDVMLLCHNAQTFNLEGSQIYEDS >ENSMUSP00000135460.2 pep:known chromosome:GRCm38:19:26710548:26778321:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000176731.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] KILAAAKYKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEENEEEDEVPDDETLNQM IARREEEFDLFMRMDMDRRREDARNPKRKPRLMEEDELPSWIIKDDAEVERLTCEEEEEK IFGRGSRQRRDVDYSDALTEKQWLRAIEDGNLEEMEEEVRLKKRKRRRNVDKDPVKEDVE KAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDRSESVIISIGAWETWRKTSCFSV TTHRHSTWKDPRSTKTPLSYSQCLRVLGRKLPKKKRVRKKAMKKRKKMMKRSRSQRRNL >ENSMUSP00000146971.1 pep:known chromosome:GRCm38:19:26747600:26774231:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208705.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVIN YKDRCNVEKVPCNSQLEIEGNSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERI RNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIA >ENSMUSP00000146924.1 pep:known chromosome:GRCm38:19:26747603:26778275:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVIN YKDSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLL CHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKS VKVKIKLNKKEEKGRDTGKGKKRPNRGKAKPVVSDFDSDEEQEENEQSEASGTDNE >ENSMUSP00000146616.1 pep:known chromosome:GRCm38:19:26748189:26774254:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000207812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGKAIEDGNLEEMEEEVRLKKRKRRRNVDKDP VKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPSRK DLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIV LQSVFKSARQKIAKEEESEE >ENSMUSP00000135412.1 pep:known chromosome:GRCm38:19:26748251:26777476:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000175791.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVIN YKDRCNVEKVPCNSQLEIEGNSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERI RNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEEES NEEEEEDDEEESESEAKSVKVKIKLNKKEEKGRDTGKGKKRPNRGKAKPVVSDFDSDEEQ EENEQSEASGTDNE >ENSMUSP00000146379.1 pep:known chromosome:GRCm38:19:26748399:26776133:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000207118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGKAIEDGNLEEMEEEVRLKKRKRRRNVDKDP VKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPSRK DLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIV LQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKSVKVKIKLN >ENSMUSP00000146994.1 pep:known chromosome:GRCm38:19:26748402:26778275:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000209085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGKAIEDGNLEEMEEEVRLKKRKRRRNVDKDP VKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPSRK DLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIV LQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKSVKVKIKLNKKEEKGRDTGKG KKRPNRGKAKPVVSDFDSDEEQEENEQSEASGTDNE >ENSMUSP00000146432.1 pep:known chromosome:GRCm38:19:26748403:26778273:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208915.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGKCGWLWFKASVCRPSKTAIWKKWKRRYGLR REKDEEMWIKTP >ENSMUSP00000146626.1 pep:known chromosome:GRCm38:19:26748406:26777491:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208541.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGKCGWLWFKASVCRPSKTAIWKKWKRRYGLR REKDEEMWIKTP >ENSMUSP00000146493.1 pep:known chromosome:GRCm38:19:26748406:26778322:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000207054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MLMKRLAARCFAGLLILSPLTVISDSRPADSGKAIEDGNLEEMEEEVRLKKRKRRRNVDK DPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPS RKDLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDS IVLQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKSVKVKIKLNKKEEKGRDTG KGKKRPNRGKAKPVVSDFDSDEEQEENEQSEASGTDNE >ENSMUSP00000146890.1 pep:known chromosome:GRCm38:19:26748408:26777549:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVIN YKDSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLL CHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKS VKVKIKLNKKEEKGRDTGKGKKRPNRGKAKPVVSDFDSDEEQEENEQSEASGTDNE >ENSMUSP00000135248.1 pep:known chromosome:GRCm38:19:26748411:26777392:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000176475.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGKAIEDGNLEEMEEEVRLKKRKRRRNVDKDP VKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPSRK DLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIV LQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKSVKVKIKLNKKEEKGRDTGKG KKRPNRGKAKPVVSDFDSDEEQEENEQSEASGTDNE >ENSMUSP00000134914.1 pep:known chromosome:GRCm38:19:26748423:26777383:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000176698.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVIN YKDRCNVEKVPCNSQLEIEGNSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERI RNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEEES NEEEEEDDEEESESEAKSVKVKIKLNKKEEKGRDTGKGKKRPNRGKAKPVVSDFDSDEEQ EENEQSEASGTDNE >ENSMUSP00000146919.1 pep:known chromosome:GRCm38:19:26748425:26776135:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000207832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGKAIEDGNLEEMEEEVRLKKRKRRRNVDKDP VKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPSRK DLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIV LQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKSVKVKIKLNK >ENSMUSP00000134995.2 pep:known chromosome:GRCm38:19:26748427:26776173:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000177252.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVIN YKDSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLL CHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKS VKVKIKLNKKEEKGRDTGKGK >ENSMUSP00000147244.1 pep:known chromosome:GRCm38:19:26748443:26774281:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208712.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVIN YKDSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLL CHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEEESNEEEEED >ENSMUSP00000146632.1 pep:known chromosome:GRCm38:19:26748443:26776127:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGKAIEDGNLEEMEEEVRLKKRKRRRNVDKDP VKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDRCNVEKVPCNSQLEIEG NSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCH NAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKSVK VKIK >ENSMUSP00000146442.1 pep:known chromosome:GRCm38:19:26748514:26752024:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MAIEDGNLEEMEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQ MNAIIDTV >ENSMUSP00000146392.1 pep:known chromosome:GRCm38:19:26748532:26776181:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGKAIEDGNLEEMEEEVRLKKRKRRRNVDKDP VKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDRCNVEKVPCNSQLEIEG NSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCH NAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAIGSQ EKDPPPPDTEFLLPCVPHLSNRDQLACRRAKSVKVKIKLNKKEEKGRDTGKGKKRP >ENSMUSP00000135800.2 pep:known chromosome:GRCm38:19:26749711:26774288:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000175842.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGKAIEDGNLEEMEEEVRLKKRKRRRNVDKDP VKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPSRK DLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIV LQSVFKSARQKIAKEEESEEESNEEEEEDDE >ENSMUSP00000146630.1 pep:known chromosome:GRCm38:19:26749822:26776177:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208226.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MKRLAARCFAGLLILSPLTVISDSRPADSGHRRRQFGRNGRGGTA >ENSMUSP00000146875.1 pep:known chromosome:GRCm38:19:26750318:26777381:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000208091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MIINISHVWCCGEMKAIEDGNLEEMEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPP AEKLSPNPPKLTKQMNAIIDTVINYKDSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFK KIKERIRNHKYRSLGDLEKDVMLLCHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEE ESEEESNEEEEEDDEEESESEAKSVKVKIKLNKKEEKGRDTGKGKKRPNRGKAKPVVSDF DSDEEQEENEQSEASGTDNE >ENSMUSP00000135042.2 pep:known chromosome:GRCm38:19:26750939:26774220:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000175953.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVIN YKDSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLL CHNAQTFNLEGSQIYEDSIVLQSVFKSAR >ENSMUSP00000146464.1 pep:known chromosome:GRCm38:19:26751936:26774260:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000207535.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] XKRRGRPPAEKLSPNPPKLTKQMNAIIDTVINYKDRCNVEKVPCNSQLEIEGNRWREPFG SLLSLQHLRVQGDSSVKSSFSYLPGKTYQNTMN >ENSMUSP00000108256.2 pep:known chromosome:GRCm38:19:26748403:26778321:1 gene:ENSMUSG00000024921.17 transcript:ENSMUST00000112637.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:99603] MEEEVRLKKRKRRRNVDKDPVKEDVEKAKKRRGRPPAEKLSPNPPKLTKQMNAIIDTVIN YKDSSGRQLSEVFIQLPSRKDLPEYYELIRKPVDFKKIKERIRNHKYRSLGDLEKDVMLL CHNAQTFNLEGSQIYEDSIVLQSVFKSARQKIAKEEESEEESNEEEEEDDEEESESEAKS VKVKIKLNKKEEKGRDTGKGKKRPNRGKAKPVVSDFDSDEEQEENEQSEASGTDNE >ENSMUSP00000030245.3 pep:known chromosome:GRCm38:4:103230445:103290863:-1 gene:ENSMUSG00000028520.12 transcript:ENSMUST00000030245.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921539E11Rik description:RIKEN cDNA 4921539E11 gene [Source:MGI Symbol;Acc:MGI:1918191] MTEKILEKFDALEEKERILEAIRENNTDRHHRQRKKPLITPLLFDLHVQFGDTITPSASK IIKNKPHDLKNPKRSVSFKYKPNNSRSDLEESDLRPPILGTMINYEESKLMDHKEENLKS RPISLRYLKDKDETEYANPLPFPQLWSKHLCKKSAESSVPTPKLTNESNASKKENVSPPF TDQHESRTKKSMHSTDHSADSSTSRGKCPPKGITKESELTRNDEARKPHPVKQSIMLPLD CEDLLKNPKIKTIDLRPAVTVHTSMKQSHANPIIFYDTEYVQMLFLTKRFTPYAMKCTER NIVLEKNYEVLKVLFSDEPSAVSEPIQQKHLQVFSAEYAQKSINEKRKKKHDRLVSKKIS PNTRYNLSQTFSSLSKKFVGYFDKDVTQGKSYKANRFERFSKTKPPPTRKLTTLPIKYDS KPLKNIFEIHKLNNMTPLDNLLGLRA >ENSMUSP00000095557.3 pep:known chromosome:GRCm38:4:103235183:103290863:-1 gene:ENSMUSG00000028520.12 transcript:ENSMUST00000097944.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921539E11Rik description:RIKEN cDNA 4921539E11 gene [Source:MGI Symbol;Acc:MGI:1918191] MTEKILEKFDALEEKERILEAIRENNTDRHHRQRKKPLITPLLFDLHVQFGDTITPSASK IIKNKPHDLKNPKRSVSFKYKPNNSRSDLEESDLRPPILGTMINYEESKLMDHKEENLKS RPISLRYLKDKDETEYANPLPFPQLWSKHLCKKSAESSVPTPKLTNESNASKKENVSPPF TDQHESRTKKSMHSTDHSADSSTSRGKCPPKGITKESELTRNDEARKPHPVKQSIMLPLD CEDLLKNPKIKTIDLRPAVTVHTSMAVINNFEAGEDRHFVRLL >ENSMUSP00000131846.1 pep:known chromosome:GRCm38:4:103230445:103290863:-1 gene:ENSMUSG00000028520.12 transcript:ENSMUST00000168664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921539E11Rik description:RIKEN cDNA 4921539E11 gene [Source:MGI Symbol;Acc:MGI:1918191] MINYEESKLMDHKEENLKSRPISLRYLKDKDETEYANPLPFPQLWSKHLCKKSAESSVPT PKLTNESNASKKENVSPPFTDQHESRTKKSMHSTDHSADSSTSRGKCPPKGITKESELTR NDEARKPHPVKQSIMLPLDCEDLLKNPKIKTIDLRPAVTVHTSMKQSHANPIIFYDTEYV QMLFLTKRFTPYAMKCTERNIVLEKNYEVLKVLFSDEPSAVSEPIQQKHLQVFSAEYAQK SINEKRKKKHDRLVSKKISPNTRYNLSQTFSSLSKKFVGYFDKDVTQGKSYKANRFERFS KTKPPPTRKLTTLPIKYDSKPLKNIFEIHKLNNMTPLDNLLGLRA >ENSMUSP00000015498.8 pep:known chromosome:GRCm38:9:95637601:95698096:1 gene:ENSMUSG00000015354.8 transcript:ENSMUST00000015498.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcolce2 description:procollagen C-endopeptidase enhancer 2 [Source:MGI Symbol;Acc:MGI:1923727] MGGASACIPLCLLLATARMARPQTPERPVFTCGGILTGESGFIGSEGFPGMYPPNSKCTW KITVPEGKVVVLNFRFIDLENDNLCRYDFVDVYNGHANGQRIGRFCGTFRPGSLVASGNK MTVQMISDANTAGSGFMATYSAAAPDGKGDRYCGGRLEKPSGTFKTPNWPDRDYPVGVTC VWHIIAPKNQLIELKFEKFDVERDNYCRYDYVAVFNGGEVNDAKRIGKYCGDSPPVPIVS ERNELLIQFLSDLSLTADGFIGHYKFRPKKFPTTTTTPVTTTLPVTTGLKPTVALCQQKC RRMGTLESNYCSSNFVLAGTVITTVTRGGSLHATVSIISIYREGNLAIQQAGKNMSVKLT VVCRQCPLLRRGLNYIIMGQVGEDGRGKIMPNSFVKMFKNKNQKPMNALKNKQC >ENSMUSP00000143931.1 pep:known chromosome:GRCm38:5:93843776:93856107:1 gene:ENSMUSG00000107392.1 transcript:ENSMUST00000201555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7792 description:predicted gene 7792 [Source:MGI Symbol;Acc:MGI:3704104] MSGQTPPTLQKRARQTQLRDEALAISPLGNVPAVYVPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGPLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHGFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000047376.6 pep:known chromosome:GRCm38:10:128194457:128209939:1 gene:ENSMUSG00000044005.13 transcript:ENSMUST00000044776.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gls2 description:glutaminase 2 (liver, mitochondrial) [Source:MGI Symbol;Acc:MGI:2143539] MRSMRALQNALSRAGSHGRRGGWGHPSRGPLLGRGVRYYLGEAAAQGRGTPHSHQPQHSD HDASHSGMLPRLGDLLFYTIAEGQERIPIHKFTTALKATGLQTSDPRLQDCMSKMQRMVQ ESSSGGLLDRELFQKCVSSNIVLLTQAFRKKFVIPDFEEFTGHVDRIFEDAKEPTGGKVA AYIPHLAKSNPDLWGVSLCTVDGQRHSVGHTKIPFCLQSCVKPLTYAISVSTLGTDYVHK FVGKEPSGLRYNKLSLNEEGIPHNPMVNAGAIVVSSLIKMDCNKAEKFDFVLQYLNKMAG NEFMGFSNATFQSEKETGDRNYAIGYYLKEKKCFPKGVDMMAALDLYFQLCSVEVTCESG SVMAATLANGGICPITGESVLSAEAVRNTLSLMHSCGMYDFSGQFAFHVGLPAKSAVSGA ILLVVPNVMGMMCLSPPLDKLGNSQRGINFCQKLVSLFNFHNYDNLRHCARKLDPRREGG EVRNKTVVNLLFAAYSGDVSALRRFALSAMDMEQKDYDSRTALHVAAAEGHIEVVKFLIE ACKVNPFVKDRWGNIPLDDAVQFNHLEVVKLLQDYHDSYLLSETQAEAAAETLSKENLES MV >ENSMUSP00000124797.1 pep:known chromosome:GRCm38:10:128194637:128199280:1 gene:ENSMUSG00000044005.13 transcript:ENSMUST00000123291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gls2 description:glutaminase 2 (liver, mitochondrial) [Source:MGI Symbol;Acc:MGI:2143539] MRSMRALQNALSRAGSHGRRGGWGHPSRGPLLGRGVRYYLGEAAAQGRGTPHSHQPQHSD QCGALGPPRSGGQVLSAALGRSGAVRPGGRLGRSVFEMMPVQVTPHTAACCLDLVTCFST LLQRDRSVSLSTSSP >ENSMUSP00000119763.1 pep:known chromosome:GRCm38:10:128194719:128209934:1 gene:ENSMUSG00000044005.13 transcript:ENSMUST00000143827.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gls2 description:glutaminase 2 (liver, mitochondrial) [Source:MGI Symbol;Acc:MGI:2143539] MRSMRALQNALSRAGSHGRRGGWGHPSRGPLLGRGVRYYLGEAAAQGRGTPHSHQPQHSD HDASHSGMLPRLGDLLFYTIAEGQERIPIHKFTTALKATGLQTSDPRLQDCMSKMQRMVQ ESSSGGLLDRELFQKWQPTSRTWPNQTQTFGASPCALWMGSGTLWATRRSPSACSPVSSP SLTPSP >ENSMUSP00000124239.1 pep:known chromosome:GRCm38:10:128194726:128210004:1 gene:ENSMUSG00000044005.13 transcript:ENSMUST00000159440.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gls2 description:glutaminase 2 (liver, mitochondrial) [Source:MGI Symbol;Acc:MGI:2143539] MRSMRALQNALSRAGSHGRRGGWGHPSRGPLLGRGVRYYLGEAAAQGRGTPHSHQPQHSD HDASHSGMLPRLGDLLFYTIAEGQERIPIHKFTTALKATGLQTSDPRLQDCMSKMQRMVQ ESSSGGLLDRELFQNS >ENSMUSP00000123436.1 pep:known chromosome:GRCm38:10:128194748:128209934:1 gene:ENSMUSG00000044005.13 transcript:ENSMUST00000134104.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gls2 description:glutaminase 2 (liver, mitochondrial) [Source:MGI Symbol;Acc:MGI:2143539] XNALSRAGSHGRRGGWGHPSRGPLLGRGVRYYLGEAAAQGRGTPHSHQPQHSDHDASHSG MLPRLGDLLFYTIAEGQERIPIHKFTTALKATGLQTSDPRLQDCMSKMQRMVQESSSGGL LDRELFQKCVSSNIVLLTQAFRKKFVIPDFEEFTGHVDRIFEDAKEPTGGKALCGPHEDP LLPAVLCQAPHLRHLREHLRH >ENSMUSP00000115788.1 pep:known chromosome:GRCm38:10:128206925:128209730:1 gene:ENSMUSG00000044005.13 transcript:ENSMUST00000132441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gls2 description:glutaminase 2 (liver, mitochondrial) [Source:MGI Symbol;Acc:MGI:2143539] XNVMGMMCLSPPLDKLGNSQRGINFCQLVSLFNFHNYDNLRHCARKLDPRREGGEVRNKT VVNLLFAAYSGDVSALRRFALSAMDMEQKDYDSRTALHVAAAEGHIEVVKFLIEACKVNP FVKDRWGNIPLDDAVQFNHLEVVKLLQDYHDSYLLSETQAEAAAETLSKENLESMV >ENSMUSP00000099957.3 pep:known chromosome:GRCm38:4:58285962:58374303:1 gene:ENSMUSG00000057280.15 transcript:ENSMUST00000102893.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Musk description:muscle, skeletal, receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:103581] MRELVNIPLLQMLTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEIS WTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCIANNGVGGAVESCGALQVKMK PKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDNALRENSRIAVLESGSLRIHNV QKEDAGQYRCVAKNSLGTAYSKLVKLEVEVFARILRAPESHNVTFGSFVTLRCTAIGIPV PTISWIENGNAVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGEKFSTAKAAAT VSIAEWSKSQKDSQGYCAQYRGEVCDAVLAKDALVFFNTSYRDPEDAQELLIHTAWNELK AVSPLCRPAAEALLCNHLFQECSPGVVPTPMPICREYCLAVKELFCAKEWQAMEGKAHRG LYRSGMHLLPVPECSKLPSMHRDPTACTRLPYLAFPSITSSRPSADIPNLPASTSSFAVS PAYSMTVIISIVSSFALFALLTIATLYCCRRRKEWKNKKRESTAVTLTTLPSELLLDRLH PNPMYQRMPLLLNPKLLSLEYPRNNIEYVRDIGEGAFGRVFQARAPGLLPYEPFTMVAVK MLKEEASADMQADFQREAALMAEFDNPNIVKLLGVCAVGKPMCLLFEYMAYGDLNEFLRS MSPHTVCSLSHSDLSTRARVSSPGPPPLSCAEQLCIARQVAAGMAYLSERKFVHRDLATR NCLVGETMVVKIADFGLSRNIYSADYYKADGNDAIPIRWMPPESIFYNRYTTESDVWAYG VVLWEIFSYGLQPYYGMAHEEVIYYVRDGNILACPENCPLELYNLMRLCWSKLPADRPSF CSIHRILQRMCERAEGTVGV >ENSMUSP00000081625.5 pep:known chromosome:GRCm38:4:58285962:58374303:1 gene:ENSMUSG00000057280.15 transcript:ENSMUST00000084578.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Musk description:muscle, skeletal, receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:103581] MRELVNIPLLQMLTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEIS WTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCIANNGVGGAVESCGALQVKMK PKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDNALRENSRIAVLESGSLRIHNV QKEDAGQYRCVAKNSLGTAYSKLVKLEVEVFARILRAPESHNVTFGSFVTLRCTAIGIPV PTISWIENGNAVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGEKFSTAKAAAT VSIAEWSKSQKDSQGYCAQYRGEVCDAVLAKDALVFFNTSYRDPEDAQELLIHTAWNELK AVSPLCRPAAEALLCNHLFQECSPGVVPTPMPICREYCLAVKELFCAKEWQAMEGKAHRG LYRSGMHLLPVPECSKLPSMHRDPTACTRLPYLDYKKENITTFPSITSSRPSADIPNLPA STSSFAVSPAYSMTVIISIVSSFALFALLTIATLYCCRRRKEWKNKKRESTAVTLTTLPS ELLLDRLHPNPMYQRMPLLLNPKLLSLEYPRNNIEYVRDIGEGAFGRVFQARAPGLLPYE PFTMVAVKMLKEEASADMQADFQREAALMAEFDNPNIVKLLGVCAVGKPMCLLFEYMAYG DLNEFLRSMSPHTVCSLSHSDLSTRARVSSPGPPPLSCAEQLCIARQVAAGMAYLSERKF VHRDLATRNCLVGETMVVKIADFGLSRNIYSADYYKADGNDAIPIRWMPPESIFYNRYTT ESDVWAYGVVLWEIFSYGLQPYYGMAHEEVIYYVRDGNILACPENCPLELYNLMRLCWSK LPADRPSFCSIHRILQRMCERAEGTVGV >ENSMUSP00000095665.3 pep:known chromosome:GRCm38:4:58285962:58374303:1 gene:ENSMUSG00000057280.15 transcript:ENSMUST00000098057.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Musk description:muscle, skeletal, receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:103581] MRELVNIPLLQMLTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEIS WTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCIANNGVGGAVESCGALQVKMK PKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDNALRENSRIAVLESGSLRIHNV QKEDAGQYRCVAKNSLGTAYSKLVKLEVEEDREPEQDAKVFARILRAPESHNVTFGSFVT LRCTAIGIPVPTISWIENGNAVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGE KFSTAKAAATVSIAVPPPWFSMDTSFLWTEWSKSQKDSQGYCAQYRGEVCDAVLAKDALV FFNTSYRDPEDAQELLIHTAWNELKAVSPLCRPAAEALLCNHLFQECSPGVVPTPMPICR EYCLAVKELFCAKEWQAMEGKAHRGLYRSGMHLLPVPECSKLPSMHRDPTACTRLPYLDY KKENITTFPSITSSRPSADIPNLPASTSSFAVSPAYSMTVIISIVSSFALFALLTIATLY CCRRRKEWKNKKRESTAVTLTTLPSELLLDRLHPNPMYQRMPLLLNPKLLSLEYPRNNIE YVRDIGEGAFGRVFQARAPGLLPYEPFTMVAVKMLKEEASADMQADFQREAALMAEFDNP NIVKLLGVCAVGKPMCLLFEYMAYGDLNEFLRSMSPHTVCSLSHSDLSTRARVSSPGPPP LSCAEQLCIARQVAAGMAYLSERKFVHRDLATRNCLVGETMVVKIADFGLSRNIYSADYY KADGNDAIPIRWMPPESIFYNRYTTESDVWAYGVVLWEIFSYGLQPYYGMAHEEVIYYVR DGNILACPENCPLELYNLMRLCWSKLPADRPSFCSIHRILQRMCERAEGTVGV >ENSMUSP00000136696.1 pep:known chromosome:GRCm38:4:58285962:58374302:1 gene:ENSMUSG00000057280.15 transcript:ENSMUST00000177951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Musk description:muscle, skeletal, receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:103581] MRELVNIPLLQMLTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEIS WTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCIANNGVGGAVESCGALQVKMK PKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDNALRENSRIAVLESGSLRIHNV QKEDAGQYRCVAKNSLGTAYSKLVKLEVEEDREPEQDAKVFARILRAPESHNVTFGSFVT LRCTAIGIPVPTISWIENGNAVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGE KFSTAKAAATVSIAEWSKSQKDSQGYCAQYRGEVCDAVLAKDALVFFNTSYRDPEDAQEL LIHTAWNELKAVSPLCRPAAEALLCNHLFQECSPGVVPTPMPICREYCLAVKELFCAKEW QAMEGKAHRGLYRSGMHLLPVPECSKLPSMHRDPTACTRLPYLAFPSITSSRPSADIPNL PASTSSFAVSPAYSMTVIISIVSSFALFALLTIATLYCCRRRKEWKNKKRESTAVTLTTL PSELLLDRLHPNPMYQRMPLLLNPKLLSLEYPRNNIEYVRDIGEGAFGRVFQARAPGLLP YEPFTMVAVKMLKEEASADMQADFQREAALMAEFDNPNIVKLLGVCAVGKPMCLLFEYMA YGDLNEFLRSMSPHTVCSLSHSDLSTRARVSSPGPPPLSCAEQLCIARQVAAGMAYLSER KFVHRDLATRNCLVGETMVVKIADFGLSRNIYSADYYKADGNDAIPIRWMPPESIFYNRY TTESDVWAYGVVLWEIFSYGLQPYYGMAHEEVIYYVRDGNILACPENCPLELYNLMRLCW SKLPADRPSFCSIHRILQRMCERAEGTVGV >ENSMUSP00000095667.3 pep:known chromosome:GRCm38:4:58285962:58374302:1 gene:ENSMUSG00000057280.15 transcript:ENSMUST00000098059.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Musk description:muscle, skeletal, receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:103581] MRELVNIPLLQMLTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEIS WTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCIANNGVGGAVESCGALQVKMK PKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDNALRENSRIAVLESGSLRIHNV QKEDAGQYRCVAKNSLGTAYSKLVKLEVEEDREPEQDAKVFARILRAPESHNVTFGSFVT LRCTAIGIPVPTISWIENGNAVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGE KFSTAKAAATVSIAAFSKSQKDSQGYCAQYRGEVCDAVLAKDALVFFNTSYRDPEDAQEL LIHTAWNELKAVSPLCRPAAEALLCNHLFQECSPGVVPTPMPICREYCLAVKELFCAKEW QAMEGKAHRGLYRSGMHLLPVPECSKLPSMHRDPTACTRLPYLAFPSITSSRPSADIPNL PASTSSFAVSPAYSMTVIISIVSSFALFALLTIATLYCCRRRKEWKNKKRESTAVTLTTL PSELLLDRLHPNPMYQRMPLLLNPKLLSLEYPRNNIEYVRDIGEGAFGRVFQARAPGLLP YEPFTMVAVKMLKEEASADMQADFQREAALMAEFDNPNIVKLLGVCAVGKPMCLLFEYMA YGDLNEFLRSMSPHTVCSLSHSDLSTRARVSSPGPPPLSCAEQLCIARQVAAGMAYLSER KFVHRDLATRNCLVGETMVVKIADFGLSRNIYSADYYKADGNDAIPIRWMPPESIFYNRY TTESDVWAYGVVLWEIFSYGLQPYYGMAHEEVIYYVRDGNILACPENCPLELYNLMRLCW SKLPADRPSFCSIHRILQRMCERAEGTVGV >ENSMUSP00000080590.5 pep:known chromosome:GRCm38:4:58285960:58374302:1 gene:ENSMUSG00000057280.15 transcript:ENSMUST00000081919.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Musk description:muscle, skeletal, receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:103581] MRELVNIPLLQMLTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEIS WTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCIANNGVGGAVESCGALQVKMK PKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDNALRENSRIAVLESGSLRIHNV QKEDAGQYRCVAKNSLGTAYSKLVKLEVEVFARILRAPESHNVTFGSFVTLRCTAIGIPV PTISWIENGNAVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGEKFSTAKAAAT VSIAGRCKSQKDSQGYCAQYRGEVCDAVLAKDALVFFNTSYRDPEDAQELLIHTAWNELK AVSPLCRPAAEALLCNHLFQECSPGVVPTPMPICREYCLAVKELFCAKEWQAMEGKAHRG LYRSGMHLLPVPECSKLPSMHRDPTACTRLPYLDYKKENITTFPSITSSRPSADIPNLPA STSSFAVSPAYSMTVIISIVSSFALFALLTIATLYCCRRRKEWKNKKRESTAVTLTTLPS ELLLDRLHPNPMYQRMPLLLNPKLLSLEYPRNNIEYVRDIGEGAFGRVFQARAPGLLPYE PFTMVAVKMLKEEASADMQADFQREAALMAEFDNPNIVKLLGVCAVGKPMCLLFEYMAYG DLNEFLRSMSPHTVCSLSHSDLSTRARVSSPGPPPLSCAEQLCIARQVAAGMAYLSERKF VHRDLATRNCLVGETMVVKIADFGLSRNIYSADYYKADGNDAIPIRWMPPESIFYNRYTT ESDVWAYGVVLWEIFSYGLQPYYGMAHEEVIYYVRDGNILACPENCPLELYNLMRLCWSK LPADRPSFCSIHRILQRMCERAEGTVGV >ENSMUSP00000137453.1 pep:known chromosome:GRCm38:4:58285962:58374302:1 gene:ENSMUSG00000057280.15 transcript:ENSMUST00000179951.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Musk description:muscle, skeletal, receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:103581] MRELVNIPLLQMLTLVAFSGTEKLPKAPVITTPLETVDALVEEVATFMCAVESYPQPEIS WTRNKILIKLFDTRYSIRENGQLLTILSVEDSDDGIYCCIANNGVGGAVESCGALQVKMK PKITRPPINVKIIEGLKAVLPCTTMGNPKPSVSWIKGDNALRENSRIAVLESGSLRIHNV QKEDAGQYRCVAKNSLGTAYSKLVKLEVEEDREPEQDAKVFARILRAPESHNVTFGSFVT LRCTAIGIPVPTISWIENGNAVSSGSIQESVKDRVIDSRLQLFITKPGLYTCIATNKHGE KFSTAKAAATVSIAAFSKSQKDSQGYCAQYRGEVCDAVLAKDALVFFNTSYRDPEDAQEL LIHTAWNELKAVSPLCRPAAEALLCNHLFQECSPGVVPTPMPICREYCLAVKELFCAKEW QAMEGKAHRGLYRSGMHLLPVPECSKLPSMHRDPTACTRLPYLDYKKENITTFPSITSSR PSADIPNLPASTSSFAVSPAYSMTVIISIVSSFALFALLTIATLYCCRRRKEWKNKKRES TAVTLTTLPSELLLDRLHPNPMYQRMPLLLNPKLLSLEYPRNNIEYVRDIGEGAFGRVFQ ARAPGLLPYEPFTMVAVKMLKEEASADMQADFQREAALMAEFDNPNIVKLLGVCAVGKPM CLLFEYMAYGDLNEFLRSMSPHTVCSLSHSDLSTRARVSSPGPPPLSCAEQLCIARQVAA GMAYLSERKFVHRDLATRNCLVGETMVVKIADFGLSRNIYSADYYKADGNDAIPIRWMPP ESIFYNRYTTESDVWAYGVVLWEIFSYGLQPYYGMAHEEVIYYVRDGNILACPENCPLEL YNLMRLCWSKLPADRPSFCSIHRILQRMCERAEGTVGV >ENSMUSP00000107347.1 pep:known chromosome:GRCm38:2:84432855:84475280:-1 gene:ENSMUSG00000027082.15 transcript:ENSMUST00000111718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi description:tissue factor pathway inhibitor [Source:MGI Symbol;Acc:MGI:1095418] MTYKMKKEYAFWATVCLLLSLVPEFLNALSEEADDTDSELGSMKPLHTFCAMKADDGPCK AMIRSYFFNMYTHQCEEFIYGGCEGNENRFDTLEECKKTCIPGYEKTAVKAASGAERPDF CFLEEDPGLCRGYMKRYLYNNQTKQCERFVYGGCLGNRNNFETLDECKKICENPVHSPSP VNEVQMSDYVTDGNTVTDRSTVNNIVVPQSPKVPRRRDYRGRPWCLQPADSGLCKASERR FYYNSATGKCHRFNYTGCGGNNNNFTTRRRCLRSCKTGLIKNKSKGVVKIQRRKAPFVKV VYESIN >ENSMUSP00000088235.3 pep:known chromosome:GRCm38:2:84440140:84476775:-1 gene:ENSMUSG00000027082.15 transcript:ENSMUST00000090732.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi description:tissue factor pathway inhibitor [Source:MGI Symbol;Acc:MGI:1095418] MTYKMKKEYAFWATVCLLLSLVPEFLNALSEEADDTDSELGSMKPLHTFCAMKADDGPCK AMIRSYFFNMYTHQCEEFIYGGCEGNENRFDTLEECKKTCIPGYEKTAVKAASGAERPDF CFLEEDPGLCRGYMKRYLYNNQTKQCERFVYGGCLGNRNNFETLDECKKICENPVHSPSP VNEVQMSDYVTDGNTVTDRSTVNNIVVPQSPKVPRRRAALVLYHLYDILKKLIFG >ENSMUSP00000107343.1 pep:known chromosome:GRCm38:2:84440140:84476763:-1 gene:ENSMUSG00000027082.15 transcript:ENSMUST00000111714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi description:tissue factor pathway inhibitor [Source:MGI Symbol;Acc:MGI:1095418] MTYKMKKEYAFWATVCLLLSLVPEFLNALSEEADDTDSELGSMKPLHTFCAMKADDGPCK AMIRSYFFNMYTHQCEEFIYGGCEGNENRFDTLEECKKTCIPGYEKTAVKAASGAERPDF CFLEEDPGLCRGYMKRYLYNNQTKQCERFVYGGCLGNRNNFETLDECKKICENPVHSPSP VNEVQMSDYVTDGNTVTDRSTVNNIVVPQSPKVPRRRAALVLYHLYDILKKLIFG >ENSMUSP00000107340.1 pep:known chromosome:GRCm38:2:84440140:84476748:-1 gene:ENSMUSG00000027082.15 transcript:ENSMUST00000111711.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi description:tissue factor pathway inhibitor [Source:MGI Symbol;Acc:MGI:1095418] MTYKMKKEYAFWATVCLLLSLVPEFLNALSEEADDTDSELGSMKPLHTFCAMKADDGPCK AMIRSYFFNMYTHQCEEFIYGGCEGNENRFDTLEECKKTCIPGYEKTAVKAASGAERPDF CFLEEDPGLCRGYMKRYLYNNQTKQCERFVYGGCLGNRNNFETLDECKKICENPVNEVQM SDYVTDGNTVTDRSTVNNIVVPQSPKVPRRRVTKEETNGGWKNADYTYQGFLSSVYIHVL YFVFRIG >ENSMUSP00000107351.1 pep:known chromosome:GRCm38:2:84432855:84473784:-1 gene:ENSMUSG00000027082.15 transcript:ENSMUST00000111722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi description:tissue factor pathway inhibitor [Source:MGI Symbol;Acc:MGI:1095418] MTYKMKKEYAFWATVCLLLSLVPEFLNALSEEADDTDSELGSMKPLHTFCAMKADDGPCK AMIRSYFFNMYTHQCEEFIYGGCEGNENRFDTLEECKKTCIPGYEKTAVKAASGAERPDF CFLEEDPGLCRGYMKRYLYNNQTKQCERFVYGGCLGNRNNFETLDECKKICENPVNEVQM SDYVTDGNTVTDRSTVNNIVVPQSPKVPRRRDYRGRPWCLQPADSGLCKASERRFYYNSA TGKCHRFNYTGCGGNNNNFTTRRRCLRSCKTGLIKNKSKGVVKIQRRKAPFVKVVYESIN >ENSMUSP00000122776.1 pep:known chromosome:GRCm38:2:84440140:84458110:-1 gene:ENSMUSG00000027082.15 transcript:ENSMUST00000150261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi description:tissue factor pathway inhibitor [Source:MGI Symbol;Acc:MGI:1095418] EYAFWATVCLLLSLVPEFLNALSEEADDTDSELGSMKPLHTFCAMKADDGPCKAMIRSYF FNMYTHQCEEFIYGGCEGNENRFDTLEECKKTCIPGYEKTAVKAASGAERPDFCFLEEDP GLCRGYMKRYLYNNQTKQCERFVYGGCLGNRNNFETLDECKKICENPVHSPSPVNEVQMS DYVTDGNTVTDRSTVNNIVVPQSPKVPRRRVTKEETNGGWKNADYTYQGFLSSVYIHVLY FVFRIG >ENSMUSP00000107346.3 pep:known chromosome:GRCm38:2:84440723:84473803:-1 gene:ENSMUSG00000027082.15 transcript:ENSMUST00000111717.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi description:tissue factor pathway inhibitor [Source:MGI Symbol;Acc:MGI:1095418] MTYKMKKEYAFWATVCLLLSLVPEFLNALSEEADDTDSELGSMKPLHTFCAMKADDGPCK AMIRSYFFNMYTHQCEEFIYGGCEGNENRFDTLEECKKTCIPGYEKTAVKAASGAERPDF CFLEEDPGLCRGYMKRYLYNNQTKQCERFVYGGCLGNRNNFETLDECKKICENPVHSPSP VNEVQMSDYVTDGNTVTDRSTVNNIVVPQSPKVPRRRAALVLYHLYDILKKLIFG >ENSMUSP00000028487.3 pep:known chromosome:GRCm38:2:84432860:84474174:-1 gene:ENSMUSG00000027082.15 transcript:ENSMUST00000028487.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi description:tissue factor pathway inhibitor [Source:MGI Symbol;Acc:MGI:1095418] MTYKMKKEYAFWATVCLLLSLVPEFLNALSEEADDTDSELGSMKPLHTFCAMKADDGPCK AMIRSYFFNMYTHQCEEFIYGGCEGNENRFDTLEECKKTCIPGYEKTAVKAASGAERPDF CFLEEDPGLCRGYMKRYLYNNQTKQCERFVYGGCLGNRNNFETLDECKKICENPVHSPSP VNEVQMSDYVTDGNTVTDRSTVNNIVVPQSPKVPRRRDYRGRPWCLQPADSGLCKASERR FYYNSATGKCHRFNYTGCGGNNNNFTTRRRCLRSCKTGLIKNKSKGVVKIQRRKAPFVKV VYESIN >ENSMUSP00000031029.8 pep:known chromosome:GRCm38:5:31193227:31198900:1 gene:ENSMUSG00000029146.14 transcript:ENSMUST00000031029.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx17 description:sorting nexin 17 [Source:MGI Symbol;Acc:MGI:2387801] MHFSIPETESRSGDSGGSAYVAYNIHVNGVLHCRVRYSQLLGLHEQLRKEYGANVVPAFP PKKLFSLTPAEVEQRREQLEKYMQAVRQDPLLGSSETFNSFLRRAQQETQQVPTEEVSLE VLLSNGQKVLVTVLTSDQTEDVLEAVAAKLDLPDDLIGYFSLFLVREKEDGAFSFVRKLQ EFELPYVSVTSLRSQEYKIVLRKSYWDSAYDDDVMENRVGLNLLYAQTVSDIEHGWILVT KEQHRQLKSLQEKVSKKEFLRLAQTLRHYGYLRFDACVADFPEKDCPVVVSAGNSELSLQ LRLPGQQLREGSFRVTRMRCWRVTSSVPLPSGGTSSPSRGRGEVRLELAFEYLMSKDRLQ WVTITSPQAIMMSICLQSMVDELMVKKSGGSIRKMLRRRVGGTLRRSDSQQAVKSPPLLE SPDASRESMVKLSSKLSAVSLRGIGSPSTDASASAVHGNFAFEGIGDEDL >ENSMUSP00000144688.1 pep:known chromosome:GRCm38:5:31193242:31195434:1 gene:ENSMUSG00000029146.14 transcript:ENSMUST00000201679.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx17 description:sorting nexin 17 [Source:MGI Symbol;Acc:MGI:2387801] MHFSIPETESRSGDSGGSAYVLRKEYGANVVPAFPPKKLFSLTPAEVEQRREQLEKYMQA VRQDPLL >ENSMUSP00000144504.1 pep:known chromosome:GRCm38:5:31193294:31198894:1 gene:ENSMUSG00000029146.14 transcript:ENSMUST00000200730.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snx17 description:sorting nexin 17 [Source:MGI Symbol;Acc:MGI:2387801] MHFSIPETESRSGDSGGSAYVAYNIHVNGVLHCRVRYSQLLGLHEQLRKEYGANVVPAFP PKKLFSLTPAEVEQRREQLEKYMQAVL >ENSMUSP00000144643.1 pep:known chromosome:GRCm38:5:31193306:31197056:1 gene:ENSMUSG00000029146.14 transcript:ENSMUST00000201535.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx17 description:sorting nexin 17 [Source:MGI Symbol;Acc:MGI:2387801] MQAVRQDPLLGSSETFNSFLRRAQQETQQVPTEEVSLEVLLSNGQKVLVTVLTSDQTEDV LEAVAAKLDLPDDLIGYFSLFLVREKEDGAFSFVRKLQEFELPYVSVTSLRSQEYKIVLR KSYWDSAYDDDVMENRVGLNLLYAQTVSDIEHGWILVTKEQHRQLKSLQ >ENSMUSP00000144168.1 pep:known chromosome:GRCm38:5:31193335:31198900:1 gene:ENSMUSG00000029146.14 transcript:ENSMUST00000202124.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snx17 description:sorting nexin 17 [Source:MGI Symbol;Acc:MGI:2387801] MHFSIPETESRSGDSGGSAYVFGKTHCLGAVRLLIAFYVGPNRRPNRCPQRRFPWKCCSA MDRKFW >ENSMUSP00000064443.7 pep:known chromosome:GRCm38:X:64264885:64276996:-1 gene:ENSMUSG00000046699.14 transcript:ENSMUST00000069926.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slitrk4 description:SLIT and NTRK-like family, member 4 [Source:MGI Symbol;Acc:MGI:2442509] MFLWLFLIVSALISSTNADSDISVEICNVCSCVSVENVLYVNCEKVSVYRPNQLKPPWSN FYHLNFQNNFLNILYPNTFVNFSHAVSLHLGNNKLQNIEGGAFLGLSALKQLHLNNNELK ILRADTFLGIENLEYLQADYNLIKYIERGAFNKLHKLKVLILNDNLISFLPDNIFRFASL THLDIRGNRIQKLPYIGVLEHIGRVVELQLEDNPWNCSCDLLPLKAWLENMPYNIYIGEA ICETPSDLYGRLLKETNKQELCPMGTGSDFDVRILPPSQQENGFTTPNGHTTQTTLHRLV TKPPKTTNPSKISGIVAGKALSNRNLSQIVSYQTRVPPLTPCPVPCFCKTHPSDLGLSVN CQEKNIQSMSELTPKPLNAKKLHVNGNNIKDVDISDFTEFEGLDLLHLGSNQITLIKGEV FHNLTNLRRLYLNGNQIERLYPEIFSGLHNLQYLYLEYNLIKEILAGTFDSMPNLQLLYL NNNLLKSLPVYIFSGAPLARLNLRNNKFMYLPVSGVLDQLQSLTQIDLEGNPWDCTCDLV ALKLWLEKLNDGIVVKELKCETPVQFANIELKSLKNEILCPKLLNKPSATFTSPAPAITF TTPLGPIRSPPGGPVPLSILILSILVVLILTVFVAFCLLVFVLRRNKKPTVKHEGLGNSE CGSMQLQLRKHDHKTNKKDGLSTEAFIPQTIEQMSKSHTCGLKESETGFMFSDPPGQKVM MRNAADKDKDLLHVDTRKRLSTIDELDELFPSRDSNVFIQNFLESKKEYNSIGVSGFEIR YPEKQQDKKNKKSLIGGNHSKIVVEQRKSEYFELKAKLQSSPDYLQVLEEQTALNKI >ENSMUSP00000110327.1 pep:known chromosome:GRCm38:X:64269777:64276979:-1 gene:ENSMUSG00000046699.14 transcript:ENSMUST00000114679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slitrk4 description:SLIT and NTRK-like family, member 4 [Source:MGI Symbol;Acc:MGI:2442509] MFLWLFLIVSALISSTNADSDISVEICNVCSCVSVENVLYVNCEKVSVYRPNQLKPPWSN FYHLNFQNNFLNILYPNTFVNFSHAVSLHLGNNKLQNIEGGAFLGLSALKQLHLNNNELK ILRADTFLGIENLEYLQADYNLIKYIERGAFNKLHKLKVLILNDNLISFLPDNIFRFASL THLDIRGNRIQKLPYIGVLEHIGRVVELQLEDNPWNCSCDLLPLKAWLENMPYNIYIGEA ICETPSDLYGRLLKETNKQELCPMGTGSDFDVRILPPSQQENGFTTPNGHTTQTTLHRLV TKPPKTTNPSKISGIVAGKALSNRNLSQIVSYQTRVPPLTPCPVPCFCKTHPSDLGLSVN CQEKNIQSMSELTPKPLNAKKLHVNGNNIKDVDISDFTEFEGLDLLHLGSNQITLIKGEV FHNLTNLRRLYLNGNQIERLYPEIFSGLHNLQYLYLEYNLIKEILAGTFDSMPNLQLLYL NNNLLKSLPVYIFSGAPLARLNLRNNKFMYLPVSGVLDQLQSLTQIDLEGNPWDCTCDLV ALKLWLEKLNDGIVVKELKCETPVQFANIELKSLKNEILCPKLLNKPSATFTSPAPAITF TTPLGPIRSPPGGPVPLSILILSILVVLILTVFVAFCLLVFVLRRNKKPTVKHEGLGNSE CGSMQLQLRKHDHKTNKKDGLSTEAFIPQTIEQMSKSHTCGLKESETGFMFSDPPGQKVM MRNAADKDKDLLHVDTRKRLSTIDELDELFPSRDSNVFIQNFLESKKEYNSIGVSGFEIR YPEKQQDKKNKKSLIGGNHSKIVVEQRKSEYFELKAKLQSSPDYLQVLEEQTALNKI >ENSMUSP00000122648.1 pep:known chromosome:GRCm38:X:64272728:64275822:-1 gene:ENSMUSG00000046699.14 transcript:ENSMUST00000156121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slitrk4 description:SLIT and NTRK-like family, member 4 [Source:MGI Symbol;Acc:MGI:2442509] MFLWLFLIVSALISSTNADSDISVEICNVCSCVSVENVLYVNCEKVSVYRPNQLKPPWSN FYHLNFQNNFLNILYPNTFVNFSHAVSLHLGNNKLQNIEGGAFLGLSALK >ENSMUSP00000113748.1 pep:known chromosome:GRCm38:8:123242356:123254176:-1 gene:ENSMUSG00000001062.17 transcript:ENSMUST00000117643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps9d1 description:VPS9 domain containing 1 [Source:MGI Symbol;Acc:MGI:1914143] MAAAAGDGGAKPLQSAMKLANKAIELDTGNRPREAYVEYLRSIHYISQVLLEDVENTTEA GETVPPETSKMLKLAEQCLERAQSTATKLGRICLKPAVPAAPPTPLPTSRHRRVCSDEGG KLSPFLPPEIFQKLQVAESQNSKKELTPLEKASLQNQKLRATYEARMARLDPSQAMQKTS LTLSLQRQMMENLVIAKAREETLQRKMEERRLRLQEAANRRFCSQVALTPEEREQRALYA AILEYEQDHDWPKHWRAKLKRSPGDLSLVTSLLSHLLSLPDHPISQLLKKLQCAVYSALY PIVSRAATSAAPAPGSCSLPPEANGLLAPGSRRLRSSQSLYCMLSPSEPSAAPRVLDGPP PTPSVPTLHSGPLDREADGSPAGPSSPLVDALSHLPDKDSSFEDLEQFLAMSEPQTPGAK REPLLECLKSTVKDIHNAIDRLLSLTLLAFESLNTATSKDRCLACIEEPFFSPLWPLLLA LYRSVHRTREAAVSRSMELYRNAPPTALGIPTKLLPRVPEAQASTYPYCTAAQELGLLVL ESCPQKKLECIVRTLRVICICAEDYCRAQEARPEGESQPPAAAISGADDLLPILSFVVLR SGLPQLVSECAALEEFTHEGYLIGEEGYCLTSLQSALSYVELLSRGRLDK >ENSMUSP00000113575.1 pep:known chromosome:GRCm38:8:123242356:123254348:-1 gene:ENSMUSG00000001062.17 transcript:ENSMUST00000122363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps9d1 description:VPS9 domain containing 1 [Source:MGI Symbol;Acc:MGI:1914143] MAAAAGDGGAKPLQSAMKLANKAIELDTGNRPREAYVEYLRSIHYISQVLLEDVENTTEA GETVPPETSKMLKLAEQCLERAQSTATKLGRICLKPAVPAAPPTPLPTSRHRRVCSDEGG KLSPFLPPEIFQKLQVAESQNSKKELTPLEKASLQNQKLRATYEARMARLDPSQAMQKTS LTLSLQRQMMENLVIAKAREETLQRKMEERRLRLQEAANRRFCSQVALTPEEREQRALYA AILEYEQDHDWPKHWRAKLKRSPGDLSLVTSLLSHLLSLPDHPISQLLKKLQCAVYSALY PIVSRAATSAAPAPGSCSLPPEANGLLAPGSRRLRSSQSLYCMLSPSEPSAAPRVLDGPP PTPSVPTLHSGPLDREADGSPAGPSSPLVDALSHLPDKDSSFEDLEQFLAMSEPQTPGAK REPLLECLKSTVKDIHNAIDRLLSLTLLAFESLNTATSKDRCLACIEEPFFSPLWPLLLA LYRSVHRTREAAVSRSMELYRNAPPTALGIPTKLLPRVPEAQASTYPYCTAAQELGLLVL ESCPQKKLECIVRTLRVICICAEDYCRAQEARPEGESQPPAAAIGADDLLPILSFVVLRS GLPQLVSECAALEEFTHEGYLIGEEGYCLTSLQSALSYVELLSRGRLDK >ENSMUSP00000122184.1 pep:known chromosome:GRCm38:8:123242358:123254109:-1 gene:ENSMUSG00000001062.17 transcript:ENSMUST00000155869.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps9d1 description:VPS9 domain containing 1 [Source:MGI Symbol;Acc:MGI:1914143] MAAAAGDGGAKPLQSAMKLANKAIELDTGNRPREAYVEYLRSIHYISQVLLEDVENTTEA GETVPPETSKMLKLAEQCLERAQSTATKLGRICLKPAVPAAPPTPLPTSRHRRVCSDEGG KLSPFLPPEIFQKLQVAESQNSKKELTPLEKASLQNQKLRATYEARMARLDPSQAMQKTS LTLSLQRQMMENLVIAKAREETLQRKMEERRLRLQEAANSLSSSSGGSAVKLL >ENSMUSP00000113634.1 pep:known chromosome:GRCm38:8:123242359:123254092:-1 gene:ENSMUSG00000001062.17 transcript:ENSMUST00000118279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps9d1 description:VPS9 domain containing 1 [Source:MGI Symbol;Acc:MGI:1914143] MAAAAGDGGAKPLQSAMKLANKAIELDTGNRPREAYVEYLRSIHYISQVLLEDVENTTEA GETVPPETSKMLKLAEQCLERAQSTATKLGRICLKPAVPAAPPTPLPTSRHRRVCSDEGG KLSPFLPPEIFQKLQVAESQNSKKELTPLEKASLQNQKLRATYEARMARLDPSQAMQKTS LTLSLQRQMMENLVIAKAREETLQRKMEERRLRLQEAANRRFCSQVALTPEEREQRALYA AILEYEQDHDWPKHWRAKLKRSPGDLSLVTSLLSHLLSLPDHPISQLLKKLQCAVYSALY PIVSRAATSAAPAPGSCSLPPEANGLLAPGSRRLRSSQSLYCMLSPSEPSAAPRVLDGPP PTPSVPTLHSGPLDREADGSPAGPSSPLVDALSHLPDKDSSFEDLEQFLAMSEPQTPGAK REPLLECLKSTVKDIHNAIDRLLSLTLLAFESLNTATSKDRCLACIEEPFFSPLWPLLLA LYRSVHRTREAAVSRSMELYRNAPPTALGIPTKLLPRVPEAQASTYPYCTAAQELGLLVL ESCPQKKLECIVRTLRVICICAEDYCRAQEARPEGESQPPAAAISGADDLLPILSFVVLR SGLPQLVSECAALEEFTHEGYLIGEEGYCLTSLQSALSYVELLSRGRLDK >ENSMUSP00000101676.2 pep:known chromosome:GRCm38:4:129148005:129189542:-1 gene:ENSMUSG00000040928.15 transcript:ENSMUST00000106061.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100pbp description:S100P binding protein [Source:MGI Symbol;Acc:MGI:1921898] MTCSLLPSEQSSGASFLPKSNASFPWGSLDEDELDDSLLEFSDGEEDDGHFSFTEEEIEM LLKDDDGGHNEYRPRKSQILPDIPQENSLYSLGPAAETPGFLKLPQLSTSVGHGPTPSKS LNRHFVLEKNLIKVTVVAPFNPTVCDPVLDKDKIDSSKETENPASLREQTREDDPQPNES KRCTEPEGVSPNTSAWDGPLLSSPSNNNIEQTASDKNIPESKKPTPVFSQISNHSEVPNR KNSGSHKSGCEVRIPVVSSSSNRHAFDKDSGEAKGERRLGKVIPVLQTRTRMFSQSELEK QKDIYLSKVIAHIEDPGDSNQGTLGELDALMDQVHMQHPDWQHPSDLTTRNYARFRQRPL QRYSLSQWVDRNKRSHHRFQRLPDFSYSPYVSSHQQ >ENSMUSP00000072258.6 pep:known chromosome:GRCm38:4:129148005:129189579:-1 gene:ENSMUSG00000040928.15 transcript:ENSMUST00000072431.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100pbp description:S100P binding protein [Source:MGI Symbol;Acc:MGI:1921898] MTCSLLPSEQSSGASFLPKSNASFPWGSLDEDELDDSLLEFSDGEEDDGHFSFTEEEIEM LLKDDDGGHNEYRPRKSQILPDIPQENSLYSLGPAAETPGFLKLPQLICDPVLDKDKIDS SKETENPASLREQTREDDPQPNESKRCTEPEGISNHSEVPNRKNSGSHKSGCEVRIPVVS SSSNRHAFDKDSGEAKGERRLGKVIPVLQTRTRMFSQSELEKQKDIYLSKVIAHIEDPGD SNQGTLGELDALMDQVHMQHPDWQHPSDLTTRNYARFRQRPLQRYSLSQWVDRNKRSHHR FQRLPDFSYSPYVSSHQQ >ENSMUSP00000101674.1 pep:known chromosome:GRCm38:4:129148005:129189727:-1 gene:ENSMUSG00000040928.15 transcript:ENSMUST00000106059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100pbp description:S100P binding protein [Source:MGI Symbol;Acc:MGI:1921898] MTCSLLPSEQSSGASFLPKSNASFPWGSLDEDELDDSLLEFSDGEEDDGHFSFTEEEIEM LLKDDDVCDPVLDKDKIDSSKETENPASLREQTREDDPQPNESKRCTEPEGISNHSEVPN RKNSGSHKSGCEVRIPVVSSSSNRHAFDKDSGEAKGERRLGKVIPVLQTRTRMFSQSELE KQKDIYLSKVIAHIEDPGDSNQGTLGELDALMDQVHMQHPDWQHPSDLTTRNYARFRQRP LQRYSLSQWVDRNKRSHHRFQRLPDFSYSPYVSSHQQ >ENSMUSP00000113920.1 pep:known chromosome:GRCm38:4:129151032:129189512:-1 gene:ENSMUSG00000040928.15 transcript:ENSMUST00000117965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100pbp description:S100P binding protein [Source:MGI Symbol;Acc:MGI:1921898] MTCSLLPSEQSSGASFLPKSNASFPWGSLDEDELDDSLLEFSDGEEDDGHFSFTEEEIEM LLKDDDGGHNEYRPRKSQILPDIPQENSLYSLGPAAETPGFLKLPQLSTSVGHGPTPSKS LNRHFVLEKNLIKVTVVAPFNPTVCDPVLDKDKIDSSKETENPASLREQTREDDPQPNES KRCTEPEGISNHSEVPNRKNSGSHKSGCEVRIPVVSSSSNRHAFDKDSGEAKGERRLGKV IPVLQTRTRMFSQSELEKQKDIYLSKVIAHIEDPGDSNQGTLGELDALMDQVHMQHPDWQ HPSDLTTRNYARFRQRPLQRYSLSQWV >ENSMUSP00000113084.1 pep:known chromosome:GRCm38:4:129151032:129189609:-1 gene:ENSMUSG00000040928.15 transcript:ENSMUST00000117497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100pbp description:S100P binding protein [Source:MGI Symbol;Acc:MGI:1921898] MTCSLLPSEQSSGASFLPKSNASFPWGSLDEDELDDSLLEFSDGEEDDGHFSFTEEEIEM LLKDDDGGHNEYRPRKSQILPDIPQENSLYSLGPAAETPGFLKLPQLSTSVGHGPTPSKS LNRHFVLEKNLIKVTVVAPFNPTVCDPVLDKDKIDSSKETENPASLREQTREDDPQPNES KRCTEPEGISNHSEVPNRKNSGSHKSGCEVRIPVVSSSSNRHAFDKDSGEAKGERRLGKV IPVLQTRTRMFSQSELEKQKDIYLSKVIAHIEDPGDSNQGTLGELDALMDQVHMQHPDWQ HPSDLTTRNYARFRQRPLQRYSLSQWV >ENSMUSP00000113214.1 pep:known chromosome:GRCm38:4:129177168:129189626:-1 gene:ENSMUSG00000040928.15 transcript:ENSMUST00000117350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100pbp description:S100P binding protein [Source:MGI Symbol;Acc:MGI:1921898] MTCSLLPSEQSSGASFLPKSNASFPWGSLDEDELDDSLLEFSDGEEDDGHFSFTEEEIEM LLKDDDGGHNEYRPRKSQILPDIPQENSLYSLGPAAETPGFLKLPQLSTSVGHGPTPSKS LNRHFVLEKNLIKVTVVAPFNPTVCDPVLDKDKIDSSKETENPASLREQTREDDPQPNES KRCTEPEGVSPNTSAWDGPLLSSPSNNNIEQTASDKNIPESKKPTPVFSQISNHSEVPNR KNSGSHKSGCEVRIPVVSSSSNRHAFDKDSGEAKGERRLGKVIPVLQTRTRMFSQSELEK QKDIYLSKVIAHIEDPGDSNQGNMATKEWLIKHGFRHFLSMIMSLNWDVLNFLKLMKKKT SLSKDLFRRVL >ENSMUSP00000039820.5 pep:known chromosome:GRCm38:4:129149372:129182530:-1 gene:ENSMUSG00000040928.15 transcript:ENSMUST00000049081.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100pbp description:S100P binding protein [Source:MGI Symbol;Acc:MGI:1921898] MTCSLLPSEQSSGASFLPKSNASFPWGSLDEDELDDSLLEFSDGEEDDGHFSFTEEEIEM LLKDDDGGHNEYRPRKSQILPDIPQENSLYSLGPAAETPGFLKLPQLSTSVGHGPTPSKS LNRHFVLEKNLIKVTVVAPFNPTVCDPVLDKDKIDSSKETENPASLREQTREDDPQPNES KRCTEPEGVSPNTSAWDGPLLSSPSNNNIEQTASDKNIPESKKPTPVFSQISNHSEVPNR KNSGSHKSGCEVRIPVVSSSSNRHAFDKDSGEAKGERRLGKVIPVLQTRTRMFSQSELEK QKDIYLSKVIAHIEDPGDSNQGTLGELDALMDQVHMQHPDWQHPSDLTTRNYARFRQRPL QRYSLSQWVDRNKRSHHRFQRLPDFSYSPYVSSHQQ >ENSMUSP00000108224.1 pep:known chromosome:GRCm38:X:152144272:152225236:1 gene:ENSMUSG00000041115.16 transcript:ENSMUST00000112605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqsec2 description:IQ motif and Sec7 domain 2 [Source:MGI Symbol;Acc:MGI:3528396] MEAGSGPPGGPGSESPNRAVEYLLELNNIIESQQQLLETQRRRIEELEGQLDQLTQENRD LREESQLHRGELHRDPLGARDSPGRESQYQNLRETQFHHRELRESQFHQASRDVGYPNRD GAYQNREAIYRDKEREASYQLQDTTGYTARERDVAQCHLHHENPALGRERGGREAGPAHP GREKEAGYSAAVGVGQRPPRERGQLSRGASRSSSPGAGGGHSTSTSTSPATTLQRKSDGE NSRTVSVEGDAPGSDLSTAVDSPGSQPPYRLSQLPPTSSHMGGPPAGVGLPWAQRARLQP ASVALRKQEEEEIKRSKALSDSYELSTDLQDKKVEMLERKYGGSFLSRRAARTIQTAFRQ YRMNKNFERLRSSASESRMSRRIILSNMRMQFSFEEYEKAQNPAYFEGKPASLDEGAMAG ARSHRLERGLPYGGSCGGGIDGGGSSVTTSGEFSNDITELEDSFSKQVKSLAESIDEALN CHPSGPMSEEPGSAQLEKRESKEQQEDSSATSFSDLPLYLDDPVPPPSPERLPSTEPPPQ GRPEFWAPAPLPPVPPPMPPGTREDGSREEGTRRGPGCLECRDFRLRAAHLPLLTIEPPS DSSVDLSDRSDRGSVHRQLVYEADGCSPHGTLKHKGPPGRAPIPHRHYPAPEGPAPAPPG PLPPAPNSGTGPSGVAGGRRLGKCEAAGENSDGGDNESLESSSNSNETINCSSGSSSRDS LREPPATGLCKQTYQRETRHSWDSPAFNNDVVQRRHYRIGLNLFNKKPEKGIQYLIERGF LSDTPVGVAHFILERKGLSRQMIGEFLGNRQKQFNRDVLDCVVDEMDFSSMDLDDALRKF QSHIRVQGEAQKVERLIEAFSQRYCVCNPALVRQFRNPDTIFILAFAIILLNTDMYSPSV KAERKMKLDDFIKNLRGVDNGEDIPRDLLVGIYQRIQGRELRTNDDHVSQVQAVERMIVG KKPVLSLPHRRLVCCCQLYEVPDPNRPQRLGLHQREVFLFNDLLVVTKIFQKKKILVTYS FRQSFPLVEMHMQLFQNSYYQFGIKLLSAVPGGERKVLIIFNAPSLQDRLRFTSDLRESI AEVQEMEKYRVESELEKQKGMMRPNASQPGGAKDSVNGTLARSSLEDTYGAGDGLKRGAL SSSLRDLSDAGKRGRRNSVGSLDSTIEGSVISSPRPHQRMPPPPPPPPPEEYKSQRPVSN SSSFLGSLFGSKRGKGPFQMPPPPTGQASASSSSASSTHHHHHHHHHGHSHGGLGVLPDG QSKLQALHAQYCQGPGPAPPPYLPPQQPPLPPPPQQPPPLPQLGSIPPPPASAPPVGPHR HFHAHGPVPGPQHYTLGRPGRAPRRGAGGHPQFAPHGRHPLHQPTSPLPLYSPAPQHPPA HKQGPKHFIFSHHPQMMPAAGAAGGPGSRPPGGSYSHPHHPQSPLSPHSPIPPHPSYPPL PPPSPHTPHSPLPPTSPHGPLHASGPPGTANPPSANPKAKPSRISTVV >ENSMUSP00000108223.1 pep:known chromosome:GRCm38:X:152144272:152225236:1 gene:ENSMUSG00000041115.16 transcript:ENSMUST00000112604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqsec2 description:IQ motif and Sec7 domain 2 [Source:MGI Symbol;Acc:MGI:3528396] MEAGSGPPGGPGSESPNRAVEYLLELNNIIESQQQLLETQRRRIEELEGQLDQLTQENRD LREESQLHRGELHRDPLGARDSPGRESQYQNLRETQFHHRELRESQFHQASRDVGYPNRD GAYQNREAIYRDKEREASYQLQDTTGYTARERDVAQCHLHHENPALGRERGGREAGPAHP GREKEAGYSAAVGVGQRPPRERGQLSRGASRSSSPGAGGGHSTSTSTSPATTLQRKSDGE NSRTVSVEGDAPGSDLSTAVDSPGSQPPYRLSQLPPTSSHMGGPPAGVGLPWAQRARLQP ASVALRKQEEEEIKRSKALSDSYELSTDLQDKKVEMLERKYGGSFLSRRAARTIQTAFRQ YRMNKNFERLRSSASESRMSRRIILSNMRMQFSFEEYEKAQNPAYFEGKPASLDEGAMAG ARSHRLERGLPYGGSCGGGIDGGGSSVTTSGEFSNDITELEDSFSKQVKSLAESIDEALN CHPSGPMSEEPGSAQLEKRESKEQQEDSSATSFSDLPLYLDDPVPPPSPERLPSTEPPPQ GRPEFWAPAPLPPVPPPMPPGTREDGSREEGTRRGPGCLECRDFRLRAAHLPLLTIEPPS DSSVDLSDRSDRGSVHRQLVYEADGCSPHGTLKHKGPPGRAPIPHRHYPAPEGPAPAPPG PLPPAPNSGTGPSGVAGGRRLGKCEAAGENSDGGDNESLESSSNSNETINCSSGSSSRDS LREPPATGLCKQTYQRETRHSWDSPAFNNDVVQRRHYRIGLNLFNKKPEKGIQYLIERGF LSDTPVGVAHFILERKGLSRQMIGEFLGNRQKQFNRDVLDCVVDEMDFSSMDLDDALRKF QSHIRVQGEAQKVERLIEAFSQRYCVCNPALVRQFRNPDTIFILAFAIILLNTDMYSPSV KAERKMKLDDFIKNLRGVDNGEDIPRDLLVGIYQRIQGRELRTNDDHVSQVQAVERMIVG KKPVLSLPHRRLVCCCQLYEVPDPNRPQRLGLHQREVFLFNDLLVVTKIFQKKKILVTYS FRQSFPLVEMHMQLFQNSYYQFGIKLLSAVPGGERKVLIIFNAPSLQDRLRFTSDLRESI AEVQEMEKYRVESELEKQKGMMRPNASQPGGAKDSVNGTLARSSLEDTYGAGDGLKRGAL SSSLRDLSDAGVCY >ENSMUSP00000093995.4 pep:known chromosome:GRCm38:X:152178964:152225236:1 gene:ENSMUSG00000041115.16 transcript:ENSMUST00000096275.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqsec2 description:IQ motif and Sec7 domain 2 [Source:MGI Symbol;Acc:MGI:3528396] MEPPWRSSRSTASHTLHQYCCPTQVLDSMKLTPSGRLAESSVEGDAPGSDLSTAVDSPGS QPPYRLSQLPPTSSHMGGPPAGVGLPWAQRARLQPASVALRKQEEEEIKRSKALSDSYEL STDLQDKKVEMLERKYGGSFLSRRAARTIQTAFRQYRMNKNFERLRSSASESRMSRRIIL SNMRMQFSFEEYEKAQNPAYFEGKPASLDEGAMAGARSHRLERGLPYGGSCGGGIDGGGS SVTTSGEFSNDITELEDSFSKQVKSLAESIDEALNCHPSGPMSEEPGSAQLEKRESKEQQ EDSSATSFSDLPLYLDDPVPPPSPERLPSTEPPPQGRPEFWAPAPLPPVPPPMPPGTRED GSREEGTRRGPGCLECRDFRLRAAHLPLLTIEPPSDSSVDLSDRSDRGSVHRQLVYEADG CSPHGTLKHKGPPGRAPIPHRHYPAPEGPAPAPPGPLPPAPNSGTGPSGVAGGRRLGKCE AAGENSDGGDNESLESSSNSNETINCSSGSSSRDSLREPPATGLCKQTYQRETRHSWDSP AFNNDVVQRRHYRIGLNLFNKKPEKGIQYLIERGFLSDTPVGVAHFILERKGLSRQMIGE FLGNRQKQFNRDVLDCVVDEMDFSSMDLDDALRKFQSHIRVQGEAQKVERLIEAFSQRYC VCNPALVRQFRNPDTIFILAFAIILLNTDMYSPSVKAERKMKLDDFIKNLRGVDNGEDIP RDLLVGIYQRIQGRELRTNDDHVSQVQAVERMIVGKKPVLSLPHRRLVCCCQLYEVPDPN RPQRLGLHQREVFLFNDLLVVTKIFQKKKILVTYSFRQSFPLVEMHMQLFQNSYYQFGIK LLSAVPGGERKVLIIFNAPSLQDRLRFTSDLRESIAEVQEMEKYRVESELEKQKGMMRPN ASQPGGAKDSVNGTLARSSLEDTYGAGDGLKRGALSSSLRDLSDAGVCY >ENSMUSP00000127249.1 pep:known chromosome:GRCm38:X:152144268:152225235:1 gene:ENSMUSG00000041115.16 transcript:ENSMUST00000168786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqsec2 description:IQ motif and Sec7 domain 2 [Source:MGI Symbol;Acc:MGI:3528396] MEAGSGPPGGPGSESPNRAVEYLLELNNIIESQQQLLETQRRRIEELEGQLDQLTQENRD LREESQLHRGELHRDPLGARDSPGRESQYQNLRETQFHHRELRESQFHQASRDVGYPNRD GAYQNREAIYRDKEREASYQLQDTTGYTARERDVAQCHLHHENPALGRERGGREAGPAHP GREKEAGYSAAVGVGQRPPRERGQLSRGASRSSSPGAGGGHSTSTSTSPATTLQRKSDGE NSRTVSVEGDAPGSDLSTAVDSPGSQPPYRLSQLPPTSSHMGGPPAGVGLPWAQRARLQP ASVALRKQEEEEIKRSKALSDSYELSTDLQDKKVEMLERKYGGSFLSRRAARTIQTAFRQ YRMNKNFERLRSSASESRMSRRIILSNMRMQFSFEEYEKAQNPAYFEGKPASLDEGAMAG ARSHRLERGLPYGGSCGGGIDGGGSSVTTSGEFSNDITELEDSFSKQVKSLAESIDEALN CHPSGPMSEEPGSAQLEKRESKEQQEDSSATSFSDLPLYLDDPVPPPSPERLPSTEPPPQ GRPEFWAPAPLPPVPPPMPPGTREDGSREEGTRRGPGCLECRDFRLRAAHLPLLTIEPPS DSSVDLSDRSDRGSVHRQLVYEADGCSPHGTLKHKGPPGRAPIPHRHYPAPEGPAPAPPG PLPPAPNSGTGPSGVAGGRRLGKCEAAGENSDGGDNESLESSSNSNETINCSSGSSSRDS LREPPATGLCKQTYQRETRHSWDSPAFNNDVVQRRHYRIGLNLFNKKPEKGIQYLIERGF LSDTPVGVAHFILERKGLSRQMIGEFLGNRQKQFNRDVLDCVVDEMDFSSMDLDDALRKF QSHIRVQGEAQKVERLIEAFSQRYCVCNPALVRQFRNPDTIFILAFAIILLNTDMYSPSV KAERKMKLDDFIKNLRGVDNGEDIPRDLLVGIYQRIQGRELRTNDDHVSQVQAVERMIVG KKPVLSLPHRRLVCCCQLYEVPDPNRPQRLGLHQREVTKIFQKKKILVTYSFRQSFPLVE MHMQLFQNSYYQFGIKLLSAVPGGERKVLIIFNAPSLQDRLRFTSDLRESIAEVQEMEKY RVESELEKQKGMMRPNASQPGGAKDSVNGTLARSSLEDTYGAGDGLKRGALSSSLRDLSD AGKRGRRNSVGSLDSTIEGSVISSPRPHQRMPPPPPPPPPEEYKSQRPVSNSSSFLGSLF GSKRGKGPFQMPPPPTGQASASSSSASSTHHHHHHHHHGHSHGGLGVLPDGQSKLQALHA QYCQGPGPAPPPYLPPQQPPLPPPPQQPPPLPQLGSIPPPPASAPPVGPHRHFHAHGPVP GPQHYTLGRPGRAPRRGAGGHPQFAPHGRHPLHQPTSPLPLYSPAPQHPPAHKQGPKHFI FSHHPQMMPAAGAAGGPGSRPPGGSYSHPHHPQSPLSPHSPIPPHPSYPPLPPPSPHTPH SPLPPTSPHGPLHASGPPGTANPPSANPKAKPSRISTVV >ENSMUSP00000053420.2 pep:known chromosome:GRCm38:5:134945119:134946934:-1 gene:ENSMUSG00000047501.3 transcript:ENSMUST00000051401.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn4 description:claudin 4 [Source:MGI Symbol;Acc:MGI:1313314] MASMGLQVLGISLAVLGWLGIILSCALPMWRVTAFIGSNIVTAQTSWEGLWMNCVVQSTG QMQCKMYDSMLALPQDLQAARALMVISIIVGALGMLLSVVGGKCTNCMEDETVKAKIMIT AGAVFIVASMLIMVPVSWTAHNVIRDFYNPMVASGQKREMGASLYVGWAASGLLLLGGGL LCCSCPPRSNDKPYSAKYSAARSVPASNYV >ENSMUSP00000074195.3 pep:known chromosome:GRCm38:11:30505991:30649587:-1 gene:ENSMUSG00000060923.5 transcript:ENSMUST00000074613.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acyp2 description:acylphosphatase 2, muscle type [Source:MGI Symbol;Acc:MGI:1922822] MLLGRRLAAMTELLKSVDYEVFGTVQGVCFRMYTEGEAKKRGLVGWVKNTSKGTVTGQVQ GPEEKVDAMKSWLSKVGSPSSRIDRADFSNEKTISKLEYSDFSIRY >ENSMUSP00000049414.3 pep:known chromosome:GRCm38:7:142079490:142095272:-1 gene:ENSMUSG00000037887.11 transcript:ENSMUST00000039926.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp8 description:dual specificity phosphatase 8 [Source:MGI Symbol;Acc:MGI:106626] MAGDRLPRKVMDAKKLASLLRGGPGGPLVIDSRSFVEYNSCHVLSSVNICCSKLVKRRLQ QGKVTIAELIQPATRSQVDATEPQDVVVYDQSTRDASVLAADSFLSILLSKLDGCFDSVA ILTGGFATFSSCFPGLCEGKPATLPSMSLSQPCLPVPSVGLTRILPHLYLGSQKDVLNKD LMTQNGISYVLNASNSCPKPDFICESRFMRIPINDNYCEKLLPWLDKSIEFIDKAKLSSC QVIVHCLAGISRSATIAIAYIMKTMGMSSDDAYRFVKDRRPSISPNFNFLGQLLEYERSL KLLAALQTDGPHLGTPEPLMGPAAGIPLPRLPPSTSESAATGSEAATAAREGSPSAGGDA PIPSTAPATSALQQGLRGLHLSSDRLQDTNRLKRSFSLDIKSAYAPSRRPDFPGPPDPGE APKLCKLDSPSGGTLGLPSPSPDSPDSVPECRPRPRRRRPPASSPARSPAHGLGLNFGDT ARQTPRHGLSALSAPGLPGPGQPAGPGGWVPPLDSPGTPSPDGPWCFSPEGAQGPGAVFS AFGRVSAGAPGPGNSSSSGGGGGGGGGGGGGGGGGGSSSSNSSSSSSSSSSSSSSSSSSS DLRRRDVRTGWPEEPAADAQFKRRSCQMEFEEGMVEGRARGEELAALGKQTSFSGSVEVI EVS >ENSMUSP00000114307.1 pep:known chromosome:GRCm38:7:142083594:142095843:-1 gene:ENSMUSG00000037887.11 transcript:ENSMUST00000143661.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp8 description:dual specificity phosphatase 8 [Source:MGI Symbol;Acc:MGI:106626] MAGDRLPRKVMDAKKLASLLRGGPGGPLVIDSRSFVEYNSCHVLSSVNICCSKLVKRRLQ QGKVTIAELIQPATRSQVDATEPQDVVVYDQSTRDASVLAADSFLSILLSKLDGCFDSVA ILTGGFATFSSCFPGLCEGKPATLPSMSLSQPCLPVPSVGLTRILPHLYLGSQKDVLNKD LMTQNGISYVLNASNSCPKPDFICESRFMRIPINDNYCEKLLPWLDKSIEF >ENSMUSP00000077752.6 pep:known chromosome:GRCm38:7:34674468:34812686:-1 gene:ENSMUSG00000060402.7 transcript:ENSMUST00000078686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst8 description:carbohydrate (N-acetylgalactosamine 4-0) sulfotransferase 8 [Source:MGI Symbol;Acc:MGI:1916197] MTPRLGTMRLACMFSSILLFGAAGLLLFISLQDPIELSPQQVPGIKFSIRPQQPQHDSHL RISTEKGTRDSPSGSPRGLQLQAPDQPRPHPKAAGSPLRLRQRRRRLLIKKMPAAGTNQG NNSSETFIQPRPRTMDSRWVSLHQTQQERKRVMREACAKYRASSSRRAVTPRHVSRIFVE DRHRVLYCEVPKAGCSNWKRVLMVLAGLASSTADIQHNTVHYGSALKRLDTFDRQGIVHR LSTYTKMLFVREPFERLVSAFRDKFEHPNSYYHPVFGKAILARYRANASREALRTGSGVQ FPEFVQYLLDVHRPVGMDIHWDHVSRLCSPCLIDYDFVGKFESMEDDANFFLRLIHAPGN LTFPRFKDRHSEEARTTSRITHQYFAQLSSLQRQRTYDFYYMDYLMFNYSKPFSDLY >ENSMUSP00000145646.1 pep:known chromosome:GRCm38:7:34674468:34812686:-1 gene:ENSMUSG00000060402.7 transcript:ENSMUST00000205259.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst8 description:carbohydrate (N-acetylgalactosamine 4-0) sulfotransferase 8 [Source:MGI Symbol;Acc:MGI:1916197] MTPRLGTMRLACMFSSILLFGAAGLLLFISLQDPIELSPQQVPGIKFSIRPQQPQHDSHL RISTEKGTRDSPSGSPRGLQLQAPDQPRPHPKAAGSPLRLRQRRRRLLIKKMPAAGTNQG NNSSETFIQPRPRTMDSRWVSLHQTQQERKRVMREACAKYRASSSRRAVTPRHVSRIFVE DRHRVLYCEVPKAGCSNWKRVLMVLAGLASSTADIQHNTVHYGSALKRLDTFDRQGIVHR LSTYTKMLFVREPFERLVSAFRDKFEHPNSYYHPVFGKAILARYRANASREALRTGSGVQ FPEFVQYLLDVHRPVGMDIHWDHVSRLCSPCLIDYDFVGKFESMEDDANFFLRLIHAPGN LTFPRFKDRHSEEARTTSRITHQYFAQLSSLQRQRTYDFYYMDYLMFNYSKPFSDLY >ENSMUSP00000123498.1 pep:known chromosome:GRCm38:7:34674759:34812686:-1 gene:ENSMUSG00000060402.7 transcript:ENSMUST00000154629.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst8 description:carbohydrate (N-acetylgalactosamine 4-0) sulfotransferase 8 [Source:MGI Symbol;Acc:MGI:1916197] MTPRLGTMRLACMFSSILLFGAAGLLLFISLQDPIELSPQQVPGIKFSIRPQQPQHVSSK NPINHRCEGGVFGEGEKDNSELMEDPFIGRKMCWVLFRSLGNKTWGFGFMSFPSLLDDSF GLY >ENSMUSP00000057482.8 pep:known chromosome:GRCm38:3:108591279:108645719:1 gene:ENSMUSG00000040389.15 transcript:ENSMUST00000051145.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr47 description:WD repeat domain 47 [Source:MGI Symbol;Acc:MGI:2139593] MTAEETVNVKEVEIIKLILDFLNSKKLHISMLALEKESGVINGLFSDDMLFLRQLILDGQ WDEVLQFIQPLECMEKFDKKRFRYIILKQKFLEALCVNNAMSAEDEPQHLEFTMQEAVQC LHALEEYCPSKDDYSKLCLLLTLPRLTNHAEFKDWNPSTARVHCFEEVCVMVAEFIPADR KLSEAGFKASNNRLFQLVMKGLLYECCVEFCQSKATGEEITESEVLLGIDLLCGNGCDDL DLSLLSWLQNLPSSVFSCAFEQKMLNIHVDKLLKPTKAAYADLLTPLISKLSPYPSSPMR RPQSADAYMTRSLNPALDGLTCGLTSHDKRISDLGNKTSPMSHSFANFHYPGVQNLSRSL MLENTECHSIYEESPERSDTPVEAQQPVSSEAMCQGSGLEKEPANGAQNPVPAKQEKNEL RDSTEQFQEYYRQRLRYQQHLEQKEQQRQMYQQMLLEGGVNQEDGPDQQQNLTEQFLNRS IQKLGELNIGMDSLGNEVPVLNQQCSGSKNNGSNNSSVTSFSTPPQDSSQRLIHDTANIH TSTPRNPGSTNHIPFHEDSPCGSQNSSEHSVIKPSPGDSSGNLSRSKGEEDDKSKKQFVC INTLEDTQAVRAVAFHPSGSLYAVGSNSKTLRVCAYPEKMDASAHDNPKQPVVRFKRNKH HKGSIYCVAWSPCGQLLATGSNDKYVKVLPFNAETCNATGPDLEFSMHDGTIRDLAFMEG PESGGAILISAGAGDCNIYTTDCQRGQGLHALSGHTGHILALYTWSGWMIASGSQDKTVR FWDLRVPSCVRVVGTTFHGTGSAVASVAVDPSGRLLATGQEDSSCMLYDIRGGRMVQSYH PHSSDVRSVRFSPGAHYLLTGSYDMKIKVTDLQGDLTKQLPLMVVGEHKDKVIQCRWHTQ DLSFLSSSADRTVTLWTYSG >ENSMUSP00000143335.1 pep:known chromosome:GRCm38:3:108591352:108618656:1 gene:ENSMUSG00000040389.15 transcript:ENSMUST00000124731.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr47 description:WD repeat domain 47 [Source:MGI Symbol;Acc:MGI:2139593] MTAEETVNVKEVEIIKLILDFLNSKKLHISMLALEKESGVINGLFSDDMLFLS >ENSMUSP00000091799.2 pep:known chromosome:GRCm38:5:134986214:134987472:1 gene:ENSMUSG00000070473.4 transcript:ENSMUST00000094245.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn3 description:claudin 3 [Source:MGI Symbol;Acc:MGI:1329044] MSMGLEITGTSLAVLGWLCTIVCCALPMWRVSAFIGSSIITAQITWEGLWMNCVVQSTGQ MQCKMYDSLLALPQDLQAARALIVVSILLAAFGLLVALVGAQCTNCVQDETAKAKITIVA GVLFLLAALLTLVPVSWSANTIIRDFYNPLVPEAQKREMGAGLYVGWAAAALQLLGGALL CCSCPPRDKYAPTKILYSAPRSTGPGTGTGTAYDRKDYV >ENSMUSP00000097248.1 pep:known chromosome:GRCm38:16:59268739:59269665:-1 gene:ENSMUSG00000074995.1 transcript:ENSMUST00000099656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr201 description:olfactory receptor 201 [Source:MGI Symbol;Acc:MGI:3030035] MAEGNRTLVTEFILMGLTDHPTLKAALFPLFLVIYLITMVGNLGLIALIWKDSHLHTPMY LFLSSLAFADSCTTSSVTPRMILNFLSTNHEITLVECFAQFYFMGSSATTECFLLSVMAY DRYLAICNPLLYPVLMSNRLCTQFIAVTYLLGVLHLAIHVGLLLRLTFCRSNIIQYYYCE ILQLFNISCTDPTINVFVLLIFSISIQAFTFVTILVSYIRVLFAILRKKSEKGRSKAFST CSAHLLSVSLFYGTLFLIYVCPGSGPVGDKEKMLSLFYTVIIPLLNPFVYSLRNKEVISA FRRVMKNT >ENSMUSP00000134891.1 pep:known chromosome:GRCm38:3:64258961:64287495:-1 gene:ENSMUSG00000091572.8 transcript:ENSMUST00000176328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r3 description:vomeronasal 2, receptor 3 [Source:MGI Symbol;Acc:MGI:3643995] MAIRKKCLILELTVFLCVELYAQYEDQNMTCRLMRKFNLTGYVEAENHHFVIGGQFPVHY RTIPTTSPFLTQIEASGSQMLFLSRFNFRGFRWMKTMIHTIKEINERKDILPEHTLGYQI FDNCFSTTKAMESSMVFLTGQDEYKPKWRNSTGKYLIGIIGAGGSTMSLAGARILLNYKY FQFQVGYASSSSILTEYLNVYRTIPSDKFQTEAIVNLIRHFGWVWIGAIASDDDYGKHGV KSFRKILETNNLCIAFSETIPKVYSNEKMQIAIDAVKSSTAKVIVLYATDFDLSPFVLEV IHHNIAHRTWIATEAWITSALIAKPEYFPYFGGTIGFAIPRSVIPGLKEFLYDVHPSKDP NDVLTIEFWQTAFNCTWPNSSVPYNVDHRVNMTGKEDRLYDMSDHLCTGKEKLKDLKNTY LDTSQLRITNNVRQAVYLMAYGLDRLIRVLIKEYREYLLHPKILAFMSYKLFEYIRKVKF TTHDGRKIELNVHGDIENGYYDILNWQMDNTGDIAIVKVGEYKFTRSKYELVLPKNSTLF WNTESSRLPDSVCTKVCPPGTRKGFITGQPVCCFDCIPCEDGYVSEKPGQRLCNPCSEDD WSNAEKNKCVPKHVEFLAYEEALGFTLVILSIFGALVVLAVTVVYVIHRHTPLVKANDRE LSFLIQISLVITVLSTMLFIGKPCNWSCMARQVTLALGFCLCLSSILGKTISLFFAYRIS ISKTQLISMHPSFRKLIVLLCVFGEIGVCASYLVLKPPSMFKNIERQNVKIIFECNEGSV EFLCSIFGFDVLLALLCFVTTFMARQLPDNYYEGKCITFGMLFFFIVWISFVPAYLSTKG KFKVAVEIFAILASSYGLLGCLFLPKCFIILLRPKRNTDETVGGRVPTVDRSIQLTSASV SSELNSTMVSTVLDE >ENSMUSP00000126165.1 pep:known chromosome:GRCm38:3:64258961:64287417:-1 gene:ENSMUSG00000091572.8 transcript:ENSMUST00000170244.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r3 description:vomeronasal 2, receptor 3 [Source:MGI Symbol;Acc:MGI:3643995] MTCRLMRKFNLTGYVEAENHHFVIGGQFPVHYRTIPTTSPFLTQIEASGSQMLFLSRFNF RGFRWMKTMIHTIKEINERKDILPEHTLGYQIFDNCFSTTKAMESSMVFLTGQDEYKPKW RNSTGKYLIGIIGAGGSTMSLAGARILLNYKYFQFQVGYASSSSILTEYLNVYRTIPSDK FQTEAIVNLIRHFGWVWIGAIASDDDYGKHGVKSFRKILETNNLCIAFSETIPKVYSNEK MQIAIDAVKSSTAKVIVLYATDFDLSPFVLEVIHHNIAHRTWIATEAWITSALIAKPEYF PYFGGTIGFAIPRSVIPGLKEFLYDVHPSKDPNDVLTIEFWQTAFNCTWPNSSVPYNVDH RVNMTGKEDRLYDMSDHLCTGKEKLKDLKNTYLDTSQLRITNNVRQAVYLMAYGLDRLIR VLIKEYREYLLHPKILAFMSYKLFEYIRKVKFTTHDGRKIELNVHGDIENGYYDILNWQM DNTGDIAIVKVGEYKFTRSKYELVLPKNSTLFWNTESSRLPDSVCTKVCPPGTRKGFITG QPVCCFDCIPCEDGYVSEKPGQRLCNPCSEDDWSNAEKNKCVPKHVEFLAYEEALGFTLV ILSIFGALVVLAVTVVYVIHRHTPLVKANDRELSFLIQISLVITVLSTMLFIGKPCNWSC MARQVTLALGFCLCLSSILGKTISLFFAYRISISKTQLISMHPSFRKLIVLLCVFGEIGV CASYLVLKPPSMFKNIERQNVKIIFECNEGSVEFLCSIFGFDVLLALLCFVTTFMARQLP DNYYEGKCITFGMLFFFIVWISFVPAYLSTKGKFKVAVEIFAILASSYGLLGCLFLPKCF IILLRPKRNTDETVGGRVPTVDRSIQLTSASVSSELNSTMVSTVLDE >ENSMUSP00000059496.1 pep:known chromosome:GRCm38:16:59283572:59284495:-1 gene:ENSMUSG00000048810.1 transcript:ENSMUST00000049859.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr202 description:olfactory receptor 202 [Source:MGI Symbol;Acc:MGI:3030036] MAERNWTLVTEFVLTGLTERPELQVPLFLVFLIIYLTTMVGNLGLIALIWKDPHLHTPMY VFLSSLAFADVCTSSSVTPRMLVNFLSTDHEISLVECFTQFYFFCSSATTECFLLLVMAY DRYVAICNPLLYPVVMSNKLCTQFIVVTYFIGVLNSTIHVGLLIRLTFCRSNVIDYFYCE IVKLLTISCTDPSINMLVVFICSIFIQASTLANIVVSYTRVLFAILRKKSEKGRSKAFST CSAHLLSVSLFYGTLFLIYILPGSEPAEDKEQLLSIVYTIIIPLLNPFIYSLRNKEVLGA LRRLIKK >ENSMUSP00000144622.1 pep:known chromosome:GRCm38:16:59303155:59304075:1 gene:ENSMUSG00000068182.2 transcript:ENSMUST00000201687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr203 description:olfactory receptor 203 [Source:MGI Symbol;Acc:MGI:3030037] MEVNRTLVTAFILRGITDLPELQVPMFLVFFFIYVTTMVGNLGLIVLIWKDPRLHTPMYF FLGSLAFADACTSSSVTPRMLVNILDNGKMISLSECMAQYYVFGSSATTECFLLVAMAYD RYVAICNPLLYLVVMSNRVCTCLISGSYIIGFLHPLIHVGLLFRLTFCKSNIIDHFYCEI LPLYTISCTDPSINAFVVFIFAAVIQAVTFMSIAVSYAHVLFSILKTKSERGRRKAFSTC SAHLLSVSLFYGTLFFMYVSPGSGPSKYKNKMYSLFYTIVIPLLNPFIYSLRNKEVLGAL RKIMKP >ENSMUSP00000086719.1 pep:known chromosome:GRCm38:16:59303152:59304075:1 gene:ENSMUSG00000068182.2 transcript:ENSMUST00000089305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr203 description:olfactory receptor 203 [Source:MGI Symbol;Acc:MGI:3030037] VMEVNRTLVTAFILRGITDLPELQVPMFLVFFFIYVTTMVGNLGLIVLIWKDPRLHTPMY FFLGSLAFADACTSSSVTPRMLVNILDNGKMISLSECMAQYYVFGSSATTECFLLVAMAY DRYVAICNPLLYLVVMSNRVCTCLISGSYIIGFLHPLIHVGLLFRLTFCKSNIIDHFYCE ILPLYTISCTDPSINAFVVFIFAAVIQAVTFMSIAVSYAHVLFSILKTKSERGRRKAFST CSAHLLSVSLFYGTLFFMYVSPGSGPSKYKNKMYSLFYTIVIPLLNPFIYSLRNKEVLGA LRKIMKP >ENSMUSP00000129919.1 pep:known chromosome:GRCm38:11:94287890:94321958:-1 gene:ENSMUSG00000020863.15 transcript:ENSMUST00000166312.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l3 description:LUC7-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914934] MISAAQLLDELMGRDRNLAPDEKRSNVRWDHESVCKYYLCGFCPAELFTNTRSDLGPCEK IHDENLRKQYEKSSRFMKVGYERDFLRYLQSLLAEVERRIRRGHARLALSQNQQSSGAAG PTGKNEEKIQVLTDKIDVLLQQIEELGSEGKVEEAQGMMKLVEQLKEERELLRSTTSTIE SFAAQEKQMEVCEVCGAFLIVGDAQSRVDDHLMGKQHMGYAKIKATVEELKEKLRKRTEE PDRDERLKKEKQEREEREKEREREREERERKRRREEEEREKERARDRERRKRSRSRSRHS SRTSDRRCSRSRDHKRSRSRDRRRSRSRDRRRSRSHDRSERKHRSRSRDRRRSKSRDRKS YKHRSKSRDREQDRKSKEKEKKGSDDKKSSVKSSSREKQSEDTNPESKESDTKNEVNGTS EDIKSEVQRKYAQMKMELSRVRRHTKASSEGKDSVVLQNILRTTVEEFLKNTENGIK >ENSMUSP00000131166.1 pep:known chromosome:GRCm38:11:94291061:94297067:-1 gene:ENSMUSG00000020863.15 transcript:ENSMUST00000132623.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l3 description:LUC7-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914934] XEERERKRRREEEEREKERARDRERRKRSRSRSRHSSRTSDRRCSRSRDHKRSRSRDRRR SRSRDRRRSRSHDRSERKHRSRSRDRRRSKSRDRKSYKHRSKSRDREQDRKSKEKEKKGS DDKKSSVKSSSREKQSEDTNPESKESDTKNEVNGTSEDIKSEVQRKYAQMKMELSRVRRH TKASSEGKDSVVLQNILSVGVVSGP >ENSMUSP00000103451.2 pep:known chromosome:GRCm38:11:94291061:94321988:-1 gene:ENSMUSG00000020863.15 transcript:ENSMUST00000107821.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l3 description:LUC7-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914934] MISAAQLLDELMGRDRNLAPDEKRSNVRWDHESVCKYYLCGFCPAELFTNTRSDLGPCEK IHDENLRKQYEKSSRFMKVGYERDFLRYLQSLLAEVERRIRRGHARLALSQNQQSSGAAG PTGKNEEKIQVLTDKIDVLLQQIEELGSEGKVEEAQGMMKLVEQLKEERELLRSTTSTIE SFAAQEKQMEVCEVCGAFLIVGDAQSRVDDHLMGKQHMGYAKIKATVEELKEKLRKRTEE PDRDERLKKEKQEREEREKEREREREERERKRRREEEEREKERARDRERRKRSRSRSRHS SRTSDRRCSRSRDHKRSRSRDRRRSRSRDRRRSRSHDRSERKHRSRSRDRRRSKSRDRKS YKHRSKSRDREQDRKSKEKEKKGSDDKKSSVKSSSREKQSEDTNPESKESDTKNEVNGTS EDIKSEGDTQSN >ENSMUSP00000021226.7 pep:known chromosome:GRCm38:11:94291139:94321988:-1 gene:ENSMUSG00000020863.15 transcript:ENSMUST00000021226.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l3 description:LUC7-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914934] MISAAQLLDELMGRDRNLAPDEKRSNVRWDHESVCKYYLCGFCPAELFTNTRSDLGPCEK IHDENLRKQYEKSSRFMKVGYERDFLRYLQSLLAEVERRIRRGHARLALSQNQQSSGAAG PTGKNEEKIQVLTDKIDVLLQQIEELGSEGKVEEAQGMMKLVEQLKEERELLRSTTSTIE SFAAQEKQMEVCEVCGAFLIVGDAQSRVDDHLMGKQHMGYAKIKATVEELKEKLRKRTEE PDRDERLKKEKQEREEREKEREREREERERKRRREEEEREKERARDRERRKRSRSRSRHS SRTSDRRCSRSRDHKRSRSRDRRRSRSRDRRRSRSHDRSERKHRSRSRDRRRSKSRDRKS YKHRSKSRDREQDRKSKEKEKKGSDDKKSSVKSSSREKQSEDTNPESKESDTKNEVNGTS EDIKSEVQRKYAQMKMELSRVRRHTKASSEGKDSVVLQNILRYIVLSQLFCSRLRAPISV PLWKLLSTYVI >ENSMUSP00000103450.1 pep:known chromosome:GRCm38:11:94291139:94321988:-1 gene:ENSMUSG00000020863.15 transcript:ENSMUST00000107820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l3 description:LUC7-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914934] MISAAQLLDELMGRDRNLAPDEKRSNVRWDHESVCKYYLCGFCPAELFTNTRSDLGPCEK IHDENLRKQYEKSSRFMKVGYERDFLRYLQSLLAEVERRIRRGHARLALSQNQQSSGAAG PTGKNEEKIQVLTDKIDVLLQQIEELGSEGKVEEAQGMMKLVEQLKEERELLRSTTSTIE SFAAQEKQMEVCEVCGAFLIVGDAQSRVDDHLMGKQHMGYAKIKATVEELKEKLRKRTEE PDRDERLKKEKQEREEREKEREREREERERKRRREEEEREKERARDRERRKRSRSRSRHS SRTSDRRCSRSRDHKRSRSRDRRRSRSRDRRRSRSHDRSERKHRSRSRDRRRSKSRDRKS YKHRSKSRDREQDRKSKEKEKKGSDDKKSSVKSSSREKQSEDTNPESKESDTKNEVNGTS EDIKSEGDTQSN >ENSMUSP00000128571.1 pep:known chromosome:GRCm38:11:94291329:94293223:-1 gene:ENSMUSG00000020863.15 transcript:ENSMUST00000138369.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Luc7l3 description:LUC7-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914934] XQSEDTNPESKESDTKNEVNGTSEDIKSEVQRKYAQMKMELSRVRRHTKASSEGKDSVVL QNILSVGVVSGP >ENSMUSP00000103452.3 pep:known chromosome:GRCm38:11:94292774:94321895:-1 gene:ENSMUSG00000020863.15 transcript:ENSMUST00000107822.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Luc7l3 description:LUC7-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914934] MISAAQLLDELMGRDRNLAPDEKRSNVRWDHESVHVKKFMMKI >ENSMUSP00000136884.1 pep:known chromosome:GRCm38:14:38368952:39473088:-1 gene:ENSMUSG00000041014.17 transcript:ENSMUST00000166968.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg3 description:neuregulin 3 [Source:MGI Symbol;Acc:MGI:1097165] MSEGAAGASPPGAASAAAASAEEGTAAAAAAAAAGGGPDGGGEGAAEPPRELRCSDCIVW NRQQTWLCVVPLFIGFIGLGLSLMLLKWIVVGSVKEYVPTDLVDSKGMGQDPFFLSKPSS FPKAMETTTTTTSTTSPATPSAGGAASSRTPNRISTRLTTITRAPTRFPGHRVPIRASPR STTARNTAAPPTVLSTTAPFFSSSTPGSRPPMPGAPSTQAMPSWPTAAYATSSYLHDSTP SWTLSPFQDAAAASSSSPSSTSSTTTTPETSTSPKFHTTTYSTERSEHFKPCRDKDLAYC LNDGECFVIETLTGSHKHCRCKEGYQGVRCDQFLPKTDSILSDPTDHLGIEFMESEDVYQ RQVLSISCIIFGIVIVGMFCAAFYFKSKKQAKQIQEHLKESQNGKNYSLKASSTKSESLM KSHVHLQNYSKADRHPVTALEKIMESSFSAPQSFPEVTSPDRGSQPIKHHSPGQRSGMLH RNTFRRAPPSPRSRLGGIVGPAYQQLEESRIPDQDTIPCQGIEVRKTISHLPIQLWCVER PLDLKYVSNGLRTQQNASINMQLPSRETNPYFNSLDQKDLVGYLSPRANSVPIIPSMGLE ETCMQMPGISDVKSIKWCKNSYSADIVNASMPVSDCLLEEQQEVKILLETVQEQIRILTD ARRSEDFELASMETEDSASENTAFLPLSPTAKSEREAQFVLRNEIQRDSVLTK >ENSMUSP00000129783.2 pep:known chromosome:GRCm38:14:38370477:39472825:-1 gene:ENSMUSG00000041014.17 transcript:ENSMUST00000168810.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg3 description:neuregulin 3 [Source:MGI Symbol;Acc:MGI:1097165] MSEGAAGASPPGAASAAAASAEEGTAAAAAAAAAGGGPDGGGEGAAEPPRELRCSDCIVW NRQQTWLCVVPLFIGFIGLGLSLMLLKWIVVGSVKEYVPTDLVDSKGMGQDPFFLSKPSS FPKAMETTTTTTSTTSPATPSAGGAASSRTPNRISTRLTTITRAPTRFPGHRVPIRASPR STTARNTAAPPTVLSTTAPFFSSSTPGSRPPMPGAPSTQAMPSWPTAAYATSSYLHDSTP SWTLSPFQDAAAASSSSPSSTSSTTTTPETSTSPKFHTTTYSTERSEHFKPCRDKDLAYC LNDGECFVIETLTGSHKHCRCKEGYQGVRCDQFLPKTDSILSDPTDHLGIEFMESEDVYQ RQVLSISCIIFGIVIVGMFCAAFYFKSKKQAKQIQEHLKESQNGKNYSLKASSTKSESLM KSHVHLQNYSKADRHPVTALEKIMESSFSAPQSFPEVTSPDRGSQPIKHHSRSISSCCSP GQRSGMLHRNTFRRAPPSPRSRLGGIVGPAYQQLEESRIPDQDTIPCQGYVSNGLRTQQN ASINMQLPSRETNPYFNSLDQKDLVGYLSPRANSVPIIPSMGLEETCMQMPGISDVKSIK WCKNSYSADIVNASMPVSDCLLEEQQEVKILLETVQEQIRILTDARRSEDFELASMETED SASENTAFLPLSPTAKSEREAQFVLRNEIQRDSVLTK >ENSMUSP00000134727.1 pep:known chromosome:GRCm38:14:38370493:39472827:-1 gene:ENSMUSG00000041014.17 transcript:ENSMUST00000173780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg3 description:neuregulin 3 [Source:MGI Symbol;Acc:MGI:1097165] MSEGAAGASPPGAASAAAASAEEGTAAAAAAAAAGGGPDGGGEGAAEPPRELRCSDCIVW NRQQTWLCVVPLFIGFIGLGLSLMLLKWIVVGSVKEYVPTDLVDSKGMGQDPFFLSKPSS FPKAMETTTTTTSTTSPATPSAGGAASSRTPNRISTRLTTITRAPTRFPGHRVPIRASPR STTARNTAAPPTVLSTTAPFFSSSTPGSRPPMPGAPSTQAMPSWPTAAYATSSYLHDSTP SWTLSPFQDAAAASSSSPSSTSSTTTTPETSTSPKFHTTTYSTERSEHFKPCRDKDLAYC LNDGECFVIETLTGSHKHCRCKEGYQGVRCDQFLPKTDSILSDPTDHLGIEFMESEDVYQ RQVLSISCIIFGIVIVGMFCAAFYFKSKKQAKQIQEHLKESQNGKNYSLKASSTKSESLM KSHVHLQNYSKADRHPVTALEKIMESSFSAPQSFPEVTSPDRGSQPIKHHSPGQRSGMLH RNTFRRAPPSPRSRLGGIVGPAYQQLEESRIPDQDTIPCQGYVSNGLRTQQNASINMQLP SRETNPYFNSLDQKDLVGYLSPRANSVPIIPSMGLEETCMQMPGISDVKSIKWCKNSYSA DIVNASMPVSDCLLEEQQEVKILLETVQEQIRILTDARRSEDFELASMETEDSASENTAF LPLSPTAKSEREAQFVLRNEIQRDSVLTK >ENSMUSP00000069456.6 pep:known chromosome:GRCm38:11:46810800:46844332:1 gene:ENSMUSG00000055546.6 transcript:ENSMUST00000068877.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timd4 description:T cell immunoglobulin and mucin domain containing 4 [Source:MGI Symbol;Acc:MGI:2445125] MSKGLLLLWLVTELWWLYLTPAASEDTIIGFLGQPVTLPCHYLSWSQSRNSMCWGKGSCP NSKCNAELLRTDGTRIISRKSTKYTLLGKVQFGEVSLTISNTNRGDSGVYCCRIEVPGWF NDVKKNVRLELRRATTTKKPTTTTRPTTTPYVTTTTPELLPTTVMTTSVLPTTTPPQTLA TTAFSTAVTTCPSTTPGSFSQETTKGSAFTTESETLPASNHSQRSMMTISTDIAVLRPTG SNPGILPSTSQLTTQKTTLTTSESLQKTTKSHQINSRQTILIIACCVGFVLMVLLFLAFL LRGKVTGANCLQRHKRPDNTEDSDSVLNDMSHGRDDEDGIFTL >ENSMUSP00000030925.2 pep:known chromosome:GRCm38:4:155384980:155398112:-1 gene:ENSMUSG00000029054.8 transcript:ENSMUST00000030925.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrd description:gamma-aminobutyric acid (GABA) A receptor, subunit delta [Source:MGI Symbol;Acc:MGI:95622] MDVLGWLLLPLLLLCTQPHHGARAMNDIGDYVGSNLEISWLPNLDGLMEGYARNFRPGIG GAPVNVALALEVASIDHISEANMEYTMTVFLHQSWRDSRLSYNHTNETLGLDSRFVDKLW LPDTFIVNAKSAWFHDVTVENKLIRLQPDGVILYSIRITSTVACDMDLAKYPLDEQECML DLESYGYSSEDIVYYWSENQEQIHGLDRLQLAQFTITSYRFTTELMNFKSAGQFPRLSLH FQLRRNRGVYIIQSYMPSVLLVAMSWVSFWISQAAVPARVSLGITTVLTMTTLMVSARSS LPRASAIKALDVYFWICYVFVFAALVEYAFAHFNADYRKKRKAKVKVTKPRAEMDVRNAI VLFSLSAAGVSQELAISRRQGRVPGNLMGSYRSVEVEAKKEGGSRPGGPGGIRSRLKPID ADTIDIYARAVFPAAFAAVNIIYWAAYTM >ENSMUSP00000010421.6 pep:known chromosome:GRCm38:11:78261752:78290623:1 gene:ENSMUSG00000010277.7 transcript:ENSMUST00000010421.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610507B11Rik description:RIKEN cDNA 2610507B11 gene [Source:MGI Symbol;Acc:MGI:1919753] MPLFLSALLVLLLVALSALFLGRWLVVRLATRWCQRKLQAELKIGSFRFFWIQNVSLKFQ QHQQTVEIDNLWISSKLLSHDLPNYVALCFGEVRIRTDLQKVSSLSAPFSQNTEVEQKEL SLSPSLLKIFCQLFSIHVDAINIMVLKVATSESLWHIQISRSRFLLDSDGKSLICKVNLS KINSKVLKSGQLEDTCLVELSLALDLRLQVSVSSWHLTAVTVDVWTLHAELHEGLFHSQL LCHAPGRISKSVSCSDLTENFAEPTLPGLYLLQRLPDQVKVKMENTSVVLSMNSQKRHLT WTLKLLHFLYHRDEDQLPLRSFTANYDMAQMSTELLLEDGLLLSQSRQRIVCLNSLKANV QVTTIDLSASLVLNTCIIHYRHQEFSHWLLMLALETQGASSPDLKQRKKRTFPQILAPII FSTSVSNVSISIQLGDTPPFALGFNSISLDYQHLRPQSIHQRAVLTVDHLCWRVGSDSHI QRAPHPPNMHVWGEALVLDSFTLQGSYNQPLGLSSTQSNTLFLDCTIRGLQVEISDICAQ CLSRVLSLVIPQSERSAVSRKSSLGESVTLLWKVDLKVEDMNLFTLSALVGASELRLDTL TVLGSAETSTVGIQGLVLALVKSVTEKMQPCCKAPDIPTPVLGLSMLSLTYHSSIRSLEV QCGAGLTLLWSPPDHMYLYQHVRATLQCRDLLRATVFPEIIESHSLNTPQSTWEPEDHLP ESSLPRRLLTLTLEVSTAKLTAFVAEDKFITLAAESVSLNRHGGSLQAYCPELAAGFDGN SIFNLKEVEVQLLPELEEMILHRNPFPALQTLRNRVWLLSLGSVSVEFPYQYDFSRTLDE AVGVQKWLKGLHRGTHAWASPSPAPLPPDLLLKVQHFSWVFLDDIFEVKLHDNYELMKDE SKESAKRLQLLDAKVAALRKQHGELLPARKIEELYASLERKNIEIYIQRSRRLYGNTPMR RALLTWSLAGLELVALADASFHGPEHVIEQVRELDPGSPFPAEGMDLVTQWCRMLKCNVK TFLVRIRDYPRYLFEIRDWRLMGRLAGTEQSGQPCSRRRQILHLGLPWGNVAVERNMPPL KFYHDFHSEIFQYTVVWGPCWDPAWTLIGQCVDLLTKPSADPSPPLPWWDKSRLLFHGDW HMDIEQANLHQLATEDPYNTTENMHWEWSHLSFHWKPGQFVFRGDLDVNVRTASKYDDCC FLHLPDLCMTLDLQWLCHGNPHDHHSVTLRAPEFLPEVPLGQLHDSYRAFRSENLNLSIK MDLTRHSGTISQPRILVYSSTLRWMQNFWATWTSITRPICRGKLFNNLKPSKKKLGQHYK QLSYTALFPQLQVHYWASFAQQRGIQIECSQGHVFTRGTQRLIPQAGTVMRRLISEWSVT QMVSDLSQVTVHLMASPTEENADHCLDPLITKTHLLSLSSLTYQRHSNRTTEEELSARDG DPAFHTHQLYLVDLRISWTTTNRDIAFGLYDGYKKAAVLKRNLSTEALKGLKIDPQMSAK KPKRGIPPSAQVPPHVSTPSFSGRPDKGSSGGAYMLQKLIEETDRFVVFTEEESGMSDQL CGIAACQTDDIYNRNCLIELVNCQMVLRGAETEGCVIVSAAKAQLLQCQHHPAWYGDTLK QKTSWTCLLDGMQYFATTESSPTEQDGRQLWLEVKNIEEHRERSLDSVQELMESGQAVGG MVTTTTDWNQPAEAQQAQQVQRIISRCNCRMYYISYSHDIDPELATQIKPPEVHENQEKE DLLKKQEGAVDTFTLIHHELEISTNPAQYAMILDIVNNLLLHVEPKRKEHSEKKQRVRFQ LEISSNPEEQRSSILHLQEAVRQHVAQIRHLEKQMYSIMKSLQDDSKNENLLDLNQKLQL QLNQEKANLQLESEELNILIRCFKDFQLQRANKMELRKQQEDVSVVRRTEFYFAQARWRL TEEDGQLGIAELELQRFLYSKVNKSDDTAEHLLELGWFTMNNLLPNAIYKVVLRPQSSCQ SGRQLALRLFSKVRPPVGGISVKEHFEVNVVPLTIQLSHRFFHRMMGFFFPGRNVEDDEV GDEEDKSKLVTTGIPVVKPRQLIATDDAVPLGSGKGVAQGLTRSSGVRRSFRKLPEHPVD DIDKMKERAAMNNSFIYIKIPQVPLCVSYKGEKNSVDWGDLNLVLPCLEYHNNTWTWLDF AMAVKRDSRKALVAQVIKEKLRLKPATGSEVRGKLETKCDLNMQQQEEEKARLLIGLSVG DKNPGKKSIFGRRK >ENSMUSP00000119105.1 pep:known chromosome:GRCm38:11:78273151:78274887:1 gene:ENSMUSG00000010277.7 transcript:ENSMUST00000145145.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2610507B11Rik description:RIKEN cDNA 2610507B11 gene [Source:MGI Symbol;Acc:MGI:1919753] XLIGQCVDLLTKPSADPSPPLPWWDKSRLLFHGDWHMDIEQANLHQLATELTLVLWARAG HCRTPIIQLRTCTGSGATFLFIGNLVSLCSEGTWMST >ENSMUSP00000113157.1 pep:known chromosome:GRCm38:15:103191544:103239816:-1 gene:ENSMUSG00000009575.14 transcript:ENSMUST00000118152.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx5 description:chromobox 5 [Source:MGI Symbol;Acc:MGI:109372] MGKKTKRTADSSSSEDEEEYVVEKVLDRRMVKGQVEYLLKWKGFSEEHNTWEPEKNLDCP ELISEFMKKYKKMKEGENNKPREKSEGNKRKSSFSNSADDIKSKKKREQSNDIARGFERG LEPEKIIGATDSCGDLMFLMKWKDTDEADLVLAKEANVKCPQIVIAFYEERLTWHAYPED AENKEKESAKS >ENSMUSP00000104441.3 pep:known chromosome:GRCm38:15:103196687:103215352:-1 gene:ENSMUSG00000009575.14 transcript:ENSMUST00000108813.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx5 description:chromobox 5 [Source:MGI Symbol;Acc:MGI:109372] MGKKTKRTADSSSSEDEEEYVVEKVLDRRMVKGQVEYLLKWKGFSEEHNTWEPEKNLDCP ELISEFMKKYKKMKEGENNKPREKSEGNKRKSSFSNSADDIKSKKKREQSNDIARGFERG LEPEKIIGATDSCGDLMFLMKWKDTDEADLVLAKEANVKCPQIVIAFYEERLTWHAYPED AENKEKESAKS >ENSMUSP00000113158.1 pep:known chromosome:GRCm38:15:103199255:103215305:-1 gene:ENSMUSG00000009575.14 transcript:ENSMUST00000122182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx5 description:chromobox 5 [Source:MGI Symbol;Acc:MGI:109372] MGKKTKRTADSSSSEDEEEYVVEKVLDRRMVKGQVEYLLKWKGFSEEHNTWEPEKNLDCP ELISEFMKKYKKMKEGENNKPREKSEGNKRKSSFSNSADDIKSKKKREQSNDIARGFERG LEPEKIIGATDSCGDLMFLMKWKDTDEADLVLAKEANVKCPQIVIAFYEERLTWHAYPED AENKEKESAKS >ENSMUSP00000120837.1 pep:known chromosome:GRCm38:15:103213082:103215366:-1 gene:ENSMUSG00000009575.14 transcript:ENSMUST00000127191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx5 description:chromobox 5 [Source:MGI Symbol;Acc:MGI:109372] MGKKTKRTADSSSSEDEEEYVVEKVLDRRMVKGQVEYLLKWK >ENSMUSP00000011607.5 pep:known chromosome:GRCm38:3:20248264:20275733:-1 gene:ENSMUSG00000011463.5 transcript:ENSMUST00000011607.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpb1 description:carboxypeptidase B1 (tissue) [Source:MGI Symbol;Acc:MGI:1923953] MLLLLALVSVALAHASEENFDGNRVFRVSVHDEDDVSLIQELANTKQIDFWKPDSATQVK PLTTVDFHVKAEDVVDVENFLEENEVLYEVLISNVKHILESQFDSHTRASGHSYTKYNNW ETIEAWIQQVANDNPDLVSKRVIGTTFEGRNMYVLKIGKDRPNKPAFFIDCGFHAREWIS PAFCQWFVREAVRTYKQEIHMKRLLDELDFYVLPVVNIDGYVYTWAKDRMWRKTRSTTAG SSCFGVDPNRNFDAGWCEVGASRSPCSDTYCGPTPESEKETKALADFIRQNLSSIKAYLT VHSYSQMMLYPYSYDYKLPENYEELNALVKGAAKELSTLHGTKYTYGPGATTIYPAAGGS DDWAYDQGIKYSFTFELRDKGFFGFLLPESQIRQTCEETMLAVKYIANYVLEHPY >ENSMUSP00000024470.6 pep:known chromosome:GRCm38:5:124112297:124115483:1 gene:ENSMUSG00000023707.13 transcript:ENSMUST00000024470.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfod2 description:2-oxoglutarate and iron-dependent oxygenase domain containing 2 [Source:MGI Symbol;Acc:MGI:1913877] MATAAQRRFCRCACFCSQNLYVARYGLHLRFRDEHQLRRDYGQLLRSRGCVTSKDFQQLL EELEQEVGRRRRLGQESAVRKALIASSYHPARPEVYSSLQDAALAPEFMAAAEYSTSPGA DLEGLLQRLETVSEEKRIYRVPVFSAKFCQTLLEELEHFEQSDMPKGRPNTMNNHGVLMY ELGLDDPLVTPLRERFLLPLMALLYPDYGGGYLDSHRAFVVKYALGQDLDLGCHYDNAEL TLNVALGKDFTGGALYFGGLFQAPAALKETLEVEHVVGSGILHRGGQLHGARPLCKGERW NLVVWLRASAVRNRLCPMCCQKPELVDDEGFGDGFTREEPTTVDVCVLT >ENSMUSP00000112701.1 pep:known chromosome:GRCm38:5:124112321:124115476:1 gene:ENSMUSG00000023707.13 transcript:ENSMUST00000119269.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfod2 description:2-oxoglutarate and iron-dependent oxygenase domain containing 2 [Source:MGI Symbol;Acc:MGI:1913877] MATAAQRRFCRCACFCSQNLYVARYGLHLRFRDEHQLRRDYGQLLRSRGCVTSKDFQQLL EELEQEVGRRRRLGQESAVRKALIASSYHPARPEVYSSLQDAALAPEFMAAAEYSTSPGA DLEGLLQRLETVSEEKRIYRVPVFSAKFCQTLLEELEHFEQSDMPKGRPNTMNNHGTTVG ATSTVTVPLWSSTHWARTWIWAVTTIMLSLPSMWLWARTSQGVPCILGASSRHPQP >ENSMUSP00000143698.1 pep:known chromosome:GRCm38:5:124112325:124114506:1 gene:ENSMUSG00000023707.13 transcript:ENSMUST00000196627.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfod2 description:2-oxoglutarate and iron-dependent oxygenase domain containing 2 [Source:MGI Symbol;Acc:MGI:1913877] MATAAQRRFCRCACFCSQNLYVARYGLHLRFRDEHQLRRDYGQLLRSRGCVTSKDFQQLL EELEQEVGRRRRLGQESAVRKALIASSYHPARPEVYSSLQDAALAPEFMAAAEYSTSPGA DLEGLLQRLETVSGTDV >ENSMUSP00000142745.1 pep:known chromosome:GRCm38:5:124112340:124114462:1 gene:ENSMUSG00000023707.13 transcript:ENSMUST00000199457.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfod2 description:2-oxoglutarate and iron-dependent oxygenase domain containing 2 [Source:MGI Symbol;Acc:MGI:1913877] XATAAQRRFCRCACFCSQNLYVARYGLHLRFRDEHQLRRDYGQLLRSRGCVTSKDFQQLL EELEQEVGRRRRLGQESAVRKALIASSYHPARPEVYSSLQDAALAPEFMAAAEYSTSPGA DLEGLLQRLETVSGLDDPLVTPLRERFLLPLM >ENSMUSP00000142965.1 pep:known chromosome:GRCm38:5:124112357:124114766:1 gene:ENSMUSG00000023707.13 transcript:ENSMUST00000198505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfod2 description:2-oxoglutarate and iron-dependent oxygenase domain containing 2 [Source:MGI Symbol;Acc:MGI:1913877] GASAAAPASAPRTCTWRATGCTCASGTSISCAVTTASSCAAVVVSPPRTSSNSWRSLSRR SGAAAGWDRNRLSGRLSLPAPTTRLGLRSTAHCRMLLWPPSLWLQLNIVRPQAQILRAFS SVWRQCQTTVGATSTVTVPLWSSTHWARTWIWAVTTIMLSLPSMWLWARTSQGVPCILGA SSRHPQP >ENSMUSP00000143318.1 pep:known chromosome:GRCm38:5:124112431:124114967:1 gene:ENSMUSG00000023707.13 transcript:ENSMUST00000198770.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ogfod2 description:2-oxoglutarate and iron-dependent oxygenase domain containing 2 [Source:MGI Symbol;Acc:MGI:1913877] RDEHQLRRDYGQLLRSRGCVTSKDFQQLLEELEQEVGRRRRLGQESAVRKALIASSYHPA RPEVYSSLQDAALAPEFMAAAEYSTSPGADLEGLLQRLETVSGTDV >ENSMUSP00000053092.8 pep:known chromosome:GRCm38:10:89454234:89506649:-1 gene:ENSMUSG00000047638.15 transcript:ENSMUST00000058126.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h4 description:nuclear receptor subfamily 1, group H, member 4 [Source:MGI Symbol;Acc:MGI:1352464] MVMQFQGLENPIQISLHHSHRLSGFVPEGMSVKPAKGMLTEHAAGPLGQNLDLESYSPYN NVPFPQVQPQISSSSYYSNLGFYPQQPEDWYSPGIYELRRMPAETGYQGETEVSEMPVTK KPRMAAASAGRIKGDELCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNC VMDMYMRRKCQECRLRKCKEMGMLAECLLTEIQCKSKRLRKNVKQHADQTANEDDSEGRD LRQVTSTTKFCREKTELTADQQTLLDYIMDSYNKQRMPQEITNKILKEEFSAEENFLILT EMATSHVQILVEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFNKKLPAGHADLL EERIRKSGISDEYITPMFSFYKSVGELKMTQEEYALLTAIVILSPDRQYIKDREAVEKLQ EPLLDVLQKLCKMYQPENPQHFACLLGRLTELRTFNHHHAEMLMSWRVNDHKFTPLLCEI WDVQ >ENSMUSP00000100934.1 pep:known chromosome:GRCm38:10:89454373:89533585:-1 gene:ENSMUSG00000047638.15 transcript:ENSMUST00000105297.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h4 description:nuclear receptor subfamily 1, group H, member 4 [Source:MGI Symbol;Acc:MGI:1352464] MNLIGHSHLQATDEFSLSESLFGMLTEHAAGPLGQNLDLESYSPYNNVPFPQVQPQISSS SYYSNLGFYPQQPEDWYSPGIYELRRMPAETGYQGETEVSEMPVTKKPRMAAASAGRIKG DELCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNCVMDMYMRRKCQECR LRKCKEMGMLAECMYTGLLTEIQCKSKRLRKNVKQHADQTANEDDSEGRDLRQVTSTTKF CREKTELTADQQTLLDYIMDSYNKQRMPQEITNKILKEEFSAEENFLILTEMATSHVQIL VEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFNKKLPAGHADLLEERIRKSGIS DEYITPMFSFYKSVGELKMTQEEYALLTAIVILSPDRQYIKDREAVEKLQEPLLDVLQKL CKMYQPENPQHFACLLGRLTELRTFNHHHAEMLMSWRVNDHKFTPLLCEIWDVQ >ENSMUSP00000100933.2 pep:known chromosome:GRCm38:10:89454234:89506658:-1 gene:ENSMUSG00000047638.15 transcript:ENSMUST00000105296.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h4 description:nuclear receptor subfamily 1, group H, member 4 [Source:MGI Symbol;Acc:MGI:1352464] MVMQFQGLENPIQISLHHSHRLSGFVPEGMSVKPAKGMLTEHAAGPLGQNLDLESYSPYN NVPFPQVQPQISSSSYYSNLGFYPQQPEDWYSPGIYELRRMPAETGYQGETEVSEMPVTK KPRMAAASAGRIKGDELCVVCGDRASGYHYNALTCEGCKGFFRRSITKNAVYKCKNGGNC VMDMYMRRKCQECRLRKCKEMGMLAECMYTGLLTEIQCKSKRLRKNVKQHADQTANEDDS EGRDLRQVTSTTKFCREKTELTADQQTLLDYIMDSYNKQRMPQEITNKILKEEFSAEENF LILTEMATSHVQILVEFTKKLPGFQTLDHEDQIALLKGSAVEAMFLRSAEIFNKKLPAGH ADLLEERIRKSGISDEYITPMFSFYKSVGELKMTQEEYALLTAIVILSPDRQYIKDREAV EKLQEPLLDVLQKLCKMYQPENPQHFACLLGRLTELRTFNHHHAEMLMSWRVNDHKFTPL LCEIWDVQ >ENSMUSP00000047505.2 pep:known chromosome:GRCm38:11:75348433:75405705:1 gene:ENSMUSG00000018809.2 transcript:ENSMUST00000044530.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd4 description:SET and MYND domain containing 4 [Source:MGI Symbol;Acc:MGI:2442796] MDLPVDEWKSYLLKKWASLPKSVQDTISTAETLSDIFLPSSSLLQPEDEMFLKELSSSYS VEKDNDAPLFYREEGNRKFQEKEYTDAAVLYSKGVSHSRPNTEDISLCYANRSAALFHLG QYEACLKDIVEAGMHGYPERLQPKMMVRKTECLVNLGRLQEARQTISDLESSLTAKPTLV LSSYQILQRNVQHLKIKIQEKETLPEPIPAALTNAFEDIALGEENTQISGASLSVSLCTH PLKGRHLVATKDILPGELLVKEDAFVSVLIPGEMPRPHHCLENKWDTRVTSGDLYCHRCL KHTLATVPCGSCSYAKYCSQECMQQAWDLYHSTECSLGGLLLTLGVFCHVALRMTLLARF EDVDRVVRMLCDEVGSTDTCLPESKNLVKAFDYTSQGESEEKSKIGEPPIPGCNVNGKYG SNYNAIFSLLPHTEKHSPEHRFICAISVSALCRQLKADSVQAQTLKSPKLKAVTPGLCAD LTVWGAAMLRHMLQLQCNAQAITSICHTGSNESIITNSRQIRLATGIFPVVSLLNHSCRP NTSVSFTGTVATVRAAQRIAKGQEILHCYGPHESRMGVAERQQRLSSQYFFDCRCGACHA ETLRAAAAPRWEAFCCKTCRALMQGNDVLSCSNESCTNSVSRDQLVSRLQDLQQQVCMAQ KLLRTGKPEQAIQQLLRCREAAESFLSAEHTVLGEIEDGLAQAHATLGNWLKSAAHVQKS LQVVETRHGPSSVEIGHELFKLAQVLFNGLAVPEALSAIWKAERILLVHCGPESEEVREL REMRSCLLDSSFVPVGPLV >ENSMUSP00000032443.7 pep:known chromosome:GRCm38:6:148047259:148176849:1 gene:ENSMUSG00000030303.15 transcript:ENSMUST00000032443.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Far2 description:fatty acyl CoA reductase 2 [Source:MGI Symbol;Acc:MGI:2687035] MSMIAAFYSNKSILITGATGFLGKVLMEKLFRTSPHLKVIYILVRPKSGQTLQERVFQIL NSKLFEKVKEVCPNVHEKIRPISADLNQRDFAISKEDVQELLSCTNIIFHCAATVRFDAH LREAVQLNVTATQQLLLMASQMPKLEAFIHISTAFSNCNLSHIDEVIYPCPVEPRKIIDS MEWLDDSIIEEITPKLIGDRPNTYTYTKALGEIVVQQESGNLNVAIVRPSIVGATWQEPF PGWVDNLNGPSGLIIATGKGFLRSIKATPMAVADVIPVDTVVNLTIAVGWYTAVHRPKST LIYHSTSGNLNPCNWYKMGLQVLATIEKIPFESAFRRPNADFTTSNFTTHYWNTVSHRVP AIIYDFYLRLTGRKPRMLKLMNRLLKTISMLEYFINHSWEWSTNNTEMLLSELSPEDQRV FNFDVRQLNWLEYIENYVLGVKKYLLKEDLAGIPKAKQHLRRLRNIHYLFNTALFLIIWR LLIARSQMARNVWFFIVSFCYKFISYFRASSTLKV >ENSMUSP00000107234.3 pep:known chromosome:GRCm38:6:148047416:148182758:1 gene:ENSMUSG00000030303.15 transcript:ENSMUST00000111607.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Far2 description:fatty acyl CoA reductase 2 [Source:MGI Symbol;Acc:MGI:2687035] MSMIAAFYSNKSILITGATGFLGKVLMEKLFRTSPHLKVIYILVRPKSGQTLQERVFQIL NSKLFEKVKEVCPNVHEKIRPISADLNQRDFAISKEDVQELLSCTNIIFHCAATVRFDAH LREAVQLNVTATQQLLLMASQMPKLEAFIHISTAFSNCNLSHIDEVIYPCPVEPRKIIDS MEWLDDSIIEEITPKLIGDRPNTYTYTKALGEIVVQQESGNLNVAIVRPSIVGATWQEPF PGWVDNLNGPSGLIIATGKGFLRSIKATPMAVADVIPVDTVVNLTIAVGWYTAVHRPKST LIYHSTSGNLNPCNWYKMGLQVLATIEKIPFESAFRRPNADFTTSNFTTHYWNTVSHRVP AIIYDFYLRLTGRKPRMLKLMNRLLKTISMLEYFINHSWEWSTNNTEMLLSELSPEDQRV FNFDVRQLNWLEYIENYVLGVKKYLLKEDLAGIPKAKQHLRRLRNIHYLFNTALFLIIWR LLIARSQMARNVWFFICPLFKL >ENSMUSP00000125525.1 pep:known chromosome:GRCm38:3:87078593:87174700:-1 gene:ENSMUSG00000041734.15 transcript:ENSMUST00000159976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel description:kin of IRRE like (Drosophila) [Source:MGI Symbol;Acc:MGI:1891396] MTLESPSTRLMTCQSSLLPEKPRFLSQKMWAPHLVVAYLIFVTLALALPGTQTRFSQEPA DQTVVAGQRAVLPCVLLNYSGIVQWTKDGLALGMGQGLKAWPRYRVVGSADAGQYNLEIT DAELSDDASYECQATEAALRSRRAKLTVLIPPEETRIDGGPVILLQAGTPYNLTCRAFNA KPAATIIWFRDGTQQEGAVTSTELLKDGKRETTISQLLIEPTDLDIGRVFTCRSMNEAIP NGKETSIELDVHHPPTVTLSIEPQTVLEGERVIFTCQATANPEILGYRWAKGGFLIEDAH ESRYETNVDYSFFTEPVSCEVYNKVGSTNVSTLVNVHFAPRIVVYPKPTTTDIGSDVTLT CVWVGNPPLTLTWTKKDSNMVLSNSNQLLLKSVTQADAGTYTCRAIVPRIGVAEREVPLY VNGPPIISSEAVQFAVRGDGGKVECFIGSTPPPDRIAWAWKENFLEVGTLERYTVERTNS GSGVLSTLTINNVMEADFQTHYNCTAWNSFGPGTAIIQLEEREVLPVGIIAGATIGAGIL VVFSFAALVFFLYRRRKGSRKDVTLRKLDIKVETVNREPLTMHSDREDDTASISTATRVM KAIYSSFKDDVDLKQDLRCDTIDTREEYEMKDPTNGYYNVRAHEDRPSSRAVLYADYRAP GPTRFDGRPSSRLSHSSGYAQLNTYSRAPASDYGTEPTPSGPSAPGGTDTTSQLSYENYE KFNSHPFPGAAGYPTYRLGYPQAPPSGLERTPYEAYDPIGKYATATRFSYTSQHSDYGQR FQQRMQTHV >ENSMUSP00000043756.7 pep:known chromosome:GRCm38:3:87084628:87174550:-1 gene:ENSMUSG00000041734.15 transcript:ENSMUST00000041732.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel description:kin of IRRE like (Drosophila) [Source:MGI Symbol;Acc:MGI:1891396] MTLESPSTRLMTCQSSLLPEKPRFLSQKMWAPHLVVAYLIFVTLALALPGTQTRFSQEPA DQTVVAGQRAVLPCVLLNYSGIVQWTKDGLALGMGQGLKAWPRYRVVGSADAGQYNLEIT DAELSDDASYECQATEAALRSRRAKLTVLIPPEETRIDGGPVILLQAGTPYNLTCRAFNA KPAATIIWFRDGTQQEGAVTSTELLKDGKRETTISQLLIEPTDLDIGRVFTCRSMNEAIP NGKETSIELDVHHPPTVTLSIEPQTVLEGERVIFTCQATANPEILGYRWAKGGFLIEDAH ESRYETNVDYSFFTEPVSCEVYNKVGSTNVSTLVNVHFAPRIVVYPKPTTTDIGSDVTLT CVWVGNPPLTLTWTKKDSNMVLSNSNQLLLKSVTQADAGTYTCRAIVPRIGVAEREVPLY VNGPPIISSEAVQFAVRGDGGKVECFIGSTPPPDRIAWAWKENFLEVGTLERYTVERTNS GSGVLSTLTINNVMEADFQTHYNCTAWNSFGPGTAIIQLEEREVLPVGIIAGATIGAGIL VVFSFAALVFFLYRRRKGSRKDVTLRKLDIKVETVNREPLTMHSDREDDTASISTATRVM KAIYSVRIMLLSTWPRLFIVLRPAPPAFNDFRYL >ENSMUSP00000103243.2 pep:known chromosome:GRCm38:3:87078601:87174747:-1 gene:ENSMUSG00000041734.15 transcript:ENSMUST00000107618.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel description:kin of IRRE like (Drosophila) [Source:MGI Symbol;Acc:MGI:1891396] MTLESPSTRLMTCQSSLLPEKPRFLSQKMWAPHLVVAYLIFVTLALALPGTQTRFSQEPA DQTVVAGQRAVLPCVLLNYSGIVQWTKDGLALGMGQGLKAWPRYRVVGSADAGQYNLEIT DAELSDDASYECQATEAALRSRRAKLTVLIPPEETRIDGGPVILLQAGTPYNLTCRAFNA KPAATIIWFRDGTQQEGAVTSTELLKDGKRETTISQLLIEPTDLDIGRVFTCRSMNEAIP NGKETSIELDVHHPPTVTLSIEPQTVLEGERVIFTCQATANPEILGYRWAKGGFLIEDAH ESRYETNVDYSFFTEPVSCEVYNKVGSTNVSTLVNVHFAPRIVVYPKPTTTDIGSDVTLT CVWVGNPPLTLTWTKKDSNMVLSNSNQLLLKSVTQADAGTYTCRAIVPRIGVAEREVPLY VNGPPIISSEAVQFAVRGDGGKVECFIGSTPPPDRIAWAWKENFLEVGTLERYTVERTNS GSGVLSTLTINNVMEADFQTHYNCTAWNSFGPGTAIIQLEEREVLPVGIIAGATIGAGIL VVFSFAALVFFLYRRRKGSRKDVTLRKLDIKVETVNREPLTMHSDREDDTASISTATRVM KAIYSSFKDDVDLKQDLRCDTIDTREEYEMKDPTNGYYNVRAHEDRPSSRAVLYADYRAP GPTRFDGRPSSRLSHSSGYAQLNTYSRAPASDYGTEPTPSGPSAPGGTDTTSQLSYENYE KFNSHPFPGAAGYPTYRLGYPQAPPSGLERTPYEAYDPIGKYATATRFSYTSQHSDYGQR FQQRMQTHV >ENSMUSP00000117547.1 pep:known chromosome:GRCm38:9:105053239:105076472:1 gene:ENSMUSG00000032563.15 transcript:ENSMUST00000149243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl3 description:mitochondrial ribosomal protein L3 [Source:MGI Symbol;Acc:MGI:2137204] MPGWRLLAQAGARVLGCGARGLGADPGLERRKNILFFVRNLHSKSSTWWDEHLSEENLSF VKQLVSDENKTQLTSKLNPLKDEPWPLHPWEPGSFRVGLIALKLGMMPLWTKDGQKHAVT LLQVQDCHVLKYTPKEDHNGKIAALTVGGKTVSRFYKPDSRLEFYRDLGLPPKQIHKIFH VTDNAVIKPGTPLYAAHFRPGQYVDVTAKTIGKGFQGVMKRWGFKGQPASHGQTKTHRRP GAISTGDIARVWPGTKMPGRMGNQNRTVYGLKVWRVNTKHNIIYVNGSVPGHKNCLVKVC TAVC >ENSMUSP00000035177.8 pep:known chromosome:GRCm38:9:105053239:105079888:1 gene:ENSMUSG00000032563.15 transcript:ENSMUST00000035177.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl3 description:mitochondrial ribosomal protein L3 [Source:MGI Symbol;Acc:MGI:2137204] MPGWRLLAQAGARVLGCGARGLGADPGLERRKNILFFVRNLHSKSSTWWDEHLSEENLSF VKQLVSDENKTQLTSKLNPLKDEPWPLHPWEPGSFRVGLIALKLGMMPLWTKDGQKHAVT LLQVQDCHVLKYTPKEDHNGKIAALTVGGKTVSRFYKPDSRLEFYRDLGLPPKQIHKIFH VTDNAVIKPGTPLYAAHFRPGQYVDVTAKTIGKGFQGVMKRWGFKGQPASHGQTKTHRRP GAISTGDIARVWPGTKMPGRMGNQNRTVYGLKVWRVNTKHNIIYVNGSVPGHKNCLVKIK DSTLPAYKDSCKNLPFPTYFPDGDEEELPEDLFDESVWQPSEPSITFA >ENSMUSP00000140346.1 pep:known chromosome:GRCm38:9:105053270:105055627:1 gene:ENSMUSG00000032563.15 transcript:ENSMUST00000190492.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl3 description:mitochondrial ribosomal protein L3 [Source:MGI Symbol;Acc:MGI:2137204] MPGWRLLAQAGARVLGCGARGLGADPGLERRISCSLLEISTARVVLGGMNIFLKKISPLL SNWSPMKIKPS >ENSMUSP00000120313.2 pep:known chromosome:GRCm38:9:105053270:105062136:1 gene:ENSMUSG00000032563.15 transcript:ENSMUST00000131655.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl3 description:mitochondrial ribosomal protein L3 [Source:MGI Symbol;Acc:MGI:2137204] MPGWRLLAQAGARVLGCGARGLGADPGLERRNLHSKSSTWWDEHLSEENLSFVKQLVSDE NKTQLTSKLNPLKDEPWPLHPWEPGSFRVGLIALKLGMMPLWTKDGQKHAVTLLQVQDCH VLKYTPKEDHNGKIAALTVGGKTVSRFY >ENSMUSP00000120828.1 pep:known chromosome:GRCm38:9:105053301:105077466:1 gene:ENSMUSG00000032563.15 transcript:ENSMUST00000142424.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl3 description:mitochondrial ribosomal protein L3 [Source:MGI Symbol;Acc:MGI:2137204] MPGWRLLAQAGARVLGCGARGLGADPGLERSNWSPMKIKPS >ENSMUSP00000080404.6 pep:known chromosome:GRCm38:7:18009889:18013488:1 gene:ENSMUSG00000057195.13 transcript:ENSMUST00000081703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam13 description:carcinoembryonic antigen-related cell adhesion molecule 13 [Source:MGI Symbol;Acc:MGI:1917035] MMNSAALSCKDCTSWQGLLFTVSLLTCWLHPTTSHLTIKAVPPIAVEGENVLLFVHNLPK NVKAFSWYSGAAPFKCCEIASHVIATNFTAVGLAHSGRETVLNNGSLLIKSVTRKDSGYY TLRTLDSTSRPEIIRAEFFVHRPLLGYKKHLTPSQLTIQLVPLRVEENINIFLSVFNLPK KLQVFAWHKGVLPLEHLKIASHSFLTNSTTLGYAYYDRVKVRNDGSLLLFNVKKKDAGLY TLRTVSVDLISEWAIIDLQVNSK >ENSMUSP00000104128.1 pep:known chromosome:GRCm38:7:18009889:18019221:1 gene:ENSMUSG00000057195.13 transcript:ENSMUST00000108488.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam13 description:carcinoembryonic antigen-related cell adhesion molecule 13 [Source:MGI Symbol;Acc:MGI:1917035] MMNSAALSCKDCTSWQGLLFTVSLLTCWLHPTTSHLTIKAVPPIAVEGENVLLFVHNLPK NVKAFSWYSGAAPFKCCEIASHVIATNFTAVGLAHSGRETVLNNGSLLIKSVTRKDSGYY TLRTLDSTSRPEIIRAEFFVHRPLLGYKKHLTPSQLTIQLVPLRVEENINIFLSVFNLPK KLQVFAWHKGVLPLEHLKIASHSFLTNSTTLGYAYYDRVKVRNDGSLLLFNVKKKDAGLY TLRTVSVDLISEWAIIDLQVNTP >ENSMUSP00000122474.1 pep:known chromosome:GRCm38:19:3896050:3905230:-1 gene:ENSMUSG00000001750.15 transcript:ENSMUST00000145791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcirg1 description:T cell, immune regulator 1, ATPase, H+ transporting, lysosomal V0 protein A3 [Source:MGI Symbol;Acc:MGI:1350931] MGSMFRSEEVALVQLLLPTGSAYNCVSQLGELGLVEFRDLNESVSAFQRRFVVDVRRCEE LEKTFTFLREEVQRAGLTLAPPEGTLPAPPPRDLLRIQEETDRLAQELRDVRGNQQALRA QLHQLRLHSAVLGQSHSPPVAADHTEGPFSETTPLLPGTRGPHSDLKVNFVAGAVEPYKA AALERLLWRACRGFLIASFRETEGQLEDPVTGEPATWMTFVISYWGEQIGQKIRKITDCF HCHVFPYLEQEEARFRTLQQLQQQSQELQEVLGETDRFLSQVLGRVQQLLPPWQVQIHKM KAVYLTLNQCSVNTTHKCLIAEVWCAARDLPTVQQALQSGSSEEGVSAVAHRIPCQDMPP TLIRTNRFTSSFQGIVDAYGVGRYREVNPAPYTIITFPFLFAVMFGDVGHGLLMFLFALA MVLTENRPAVKAAQNEIWQTFFGGRYLLLLMGLFSVYTGFIYNECFSRATTIFPSGWSVA AMANQSGWSDEYLSQHSMLTLNPNITGVFLGPYPFGIDPIWSLATNHLSFLNSFKMKMSV ILGVTHMAFGVFLSIFNHVHFGQAHRLLLETLPELIFLLGLFGYLVFLIVYKWVNVSAAS ASSAPSILIHFINMFLFSQNPTNHLLFHGQEVVQYVLVVLALATVPILLLGTPLYLLRQH RHRRNTQRRPAGQQDEDTDKLLASPDASTLENSWSPDEEKAGSPGDEETEFVPSEIFMHQ AIHTIEFCLGCISNTASYLRLWALSLAHAQLSEVLWAMVMRIGLGMGREIGVAAVVLVPV FAAFAVLTVAILLVMEGLSAFLHALRLHWVEFQNKFYSGTGYKLSPFTFTVDSD >ENSMUSP00000120531.2 pep:known chromosome:GRCm38:19:3896050:3906991:-1 gene:ENSMUSG00000001750.15 transcript:ENSMUST00000126070.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcirg1 description:T cell, immune regulator 1, ATPase, H+ transporting, lysosomal V0 protein A3 [Source:MGI Symbol;Acc:MGI:1350931] MGSMFRSEEVALVQLLLPTGSAYNCVSQLGELGLVEFRDLNESVSAFQRRFVVDVRRCEE LEKTFTFLREEVQRAGLTLAPPEGTLPAPPPRDLLRIQEETDRLAQELRDVRGNQQALRA QLHQLRLHSAVLGQSHSPPVAADHTEGPFSETTPLLPGTRGPHSDLKVNFVAGAVEPYKA AALERLLWRACRGFLIASFRETEGQLEDPVTGEPATWMTFVISYWGEQIGQKIRKITDCF HCHVFPYLEQEEARFRTLQQLQQQSQELQEVLGETDRFLSQVLGRVQQLLPPWQVQIHKM KAVYLTLNQCSVNTTHKCLIAEVWCAARDLPTVQQALQSGSSEEGVSAVAHRIPCQDMPP TLIRTNRFTSSFQGIVDAYGVGRYREVNPAPYTIITFPFLFAVMFGDVGHGLLMFLFALA MVLTENRPAVKAAQNEIWQTFFGGRYLLLLMGLFSVYTGFIYNECFSRATTIFPSGWSVA AMANQSGWSDEYLSQHSMLTLNPNITGVFLGPYPFGIDPIWSLATNHLSFLNSFKMKMSV ILGVTHMAFGVFLSIFNHVHFGQAHRLLLETLPELIFLLGLFGYLVFLIVYKWVNVSAAS ASSAPSILIHFINMFLFSQNPTNHLLFHGQEVVQYVLVVLALATVPILLLGTPLYLLRQH RHRRNTQRRPAGQQDEDTDKLLASPDASTLENSWSPDEEKAGSPGDEETEFVPSEIFMHQ AIHTIEFCLGCISNTASYLRLWALSLAHAQLSEVLWAMVMRIGLGMGREIGVAAVVLVPV FAAFAVLTVAILLVMEGLSAFLHALRLHWVEFQNKFYSGTGYKLSPFTFTVDSD >ENSMUSP00000121241.1 pep:known chromosome:GRCm38:19:3896050:3907112:-1 gene:ENSMUSG00000001750.15 transcript:ENSMUST00000135070.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcirg1 description:T cell, immune regulator 1, ATPase, H+ transporting, lysosomal V0 protein A3 [Source:MGI Symbol;Acc:MGI:1350931] MGSMFRSEEVALVQLLLPTGSAYNCVSQLAQRIRERLPETLRGRRPALRGTGEDVYLLAG RSAAGRSDAGPT >ENSMUSP00000001801.4 pep:known chromosome:GRCm38:19:3896050:3907133:-1 gene:ENSMUSG00000001750.15 transcript:ENSMUST00000001801.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcirg1 description:T cell, immune regulator 1, ATPase, H+ transporting, lysosomal V0 protein A3 [Source:MGI Symbol;Acc:MGI:1350931] MGSMFRSEEVALVQLLLPTGSAYNCVSQLGELGLVEFRDLNESVSAFQRRFVVDVRRCEE LEKTFTFLREEVQRAGLTLAPPEGTLPAPPPRDLLRIQEETDRLAQELRDVRGNQQALRA QLHQLRLHSAVLGQSHSPPVAADHTEGPFSETTPLLPGTRGPHSDLKVNFVAGAVEPYKA AALERLLWRACRGFLIASFRETEGQLEDPVTGEPATWMTFVISYWGEQIGQKIRKITDCF HCHVFPYLEQEEARFRTLQQLQQQSQELQEVLGETDRFLSQVLGRVQQLLPPWQVQIHKM KAVYLTLNQCSVNTTHKCLIAEVWCAARDLPTVQQALQSGSSEEGVSAVAHRIPCQDMPP TLIRTNRFTSSFQGIVDAYGVGRYREVNPAPYTIITFPFLFAVMFGDVGHGLLMFLFALA MVLTENRPAVKAAQNEIWQTFFGGRYLLLLMGLFSVYTGFIYNECFSRATTIFPSGWSVA AMANQSGWSDEYLSQHSMLTLNPNITGVFLGPYPFGIDPIWSLATNHLSFLNSFKMKMSV ILGVTHMAFGVFLSIFNHVHFGQAHRLLLETLPELIFLLGLFGYLVFLIVYKWVNVSAAS ASSAPSILIHFINMFLFSQNPTNHLLFHGQEVVQYVLVVLALATVPILLLGTPLYLLRQH RHRRNTQRRPAGQQDEDTDKLLASPDASTLENSWSPDEEKAGSPGDEETEFVPSEIFMHQ AIHTIEFCLGCISNTASYLRLWALSLAHAQLSEVLWAMVMRIGLGMGREIGVAAVVLVPV FAAFAVLTVAILLVMEGLSAFLHALRLHWVEFQNKFYSGTGYKLSPFTFTVDSD >ENSMUSP00000114768.1 pep:known chromosome:GRCm38:19:3896270:3902023:-1 gene:ENSMUSG00000001750.15 transcript:ENSMUST00000122885.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcirg1 description:T cell, immune regulator 1, ATPase, H+ transporting, lysosomal V0 protein A3 [Source:MGI Symbol;Acc:MGI:1350931] VSAVAHRIPCQDMPPTLIRTNRFTSSFQGIVDAYGVGRYREVNPAPYTIITFPFLFAVMF GDVGHGLLMFLFALAMVLTENRPAVKAAQNE >ENSMUSP00000120968.1 pep:known chromosome:GRCm38:19:3898736:3903355:-1 gene:ENSMUSG00000001750.15 transcript:ENSMUST00000132164.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcirg1 description:T cell, immune regulator 1, ATPase, H+ transporting, lysosomal V0 protein A3 [Source:MGI Symbol;Acc:MGI:1350931] XDLKVNFVAGAVEPYKAAALERLLWRACRGFLIASFRETEGQLEDPVTGEPATWMTFVIS YWGEQIGQKIRKITDCFHCHVFPYLEQEEARFRTLQQLQQQSQELQEVLGETDRFLSQVL GRVQQLLPPWQVQIHKMKAVYLTLNQCSVNTTHKCLIAEVWCAARDLPTVQQALQSGSLP TPSSHSPFSSL >ENSMUSP00000110006.1 pep:known chromosome:GRCm38:1:58278326:58380259:1 gene:ENSMUSG00000079554.2 transcript:ENSMUST00000114366.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aox2 description:aldehyde oxidase 2 [Source:MGI Symbol;Acc:MGI:3529596] MPCPAQISDDLEFFVNGRKVTEKNVDPEVTLLAFLRKNLCLTGTKDACGTGGCGACTVMV SQHDPVCKKTRHFSVMACLVPLCSLHGAAVTTVEGVGSIKTRLHPVQERIAKSHGTQCGF CTPGMVMSIYTLLRNHPQPSEEQLMEALGGNLCRCTGYRPILESGRTFCMEPDGCPQKGT GQCCLDQKESDSSGSKSDICTKLFVKDEFQPLDPTQELIFPPELLRMAENPEKQTLTFYG ERITWIAPGTLQELLVLKAKYPEAPLISGNTALGPAMKSQGHFYPVLLSPARIPDLRMVT KTSGGLTIGACCSLAQVKDILAESISELPQEKTQTYRALLKHLRSLAGQQIRNMASLGGH VISRHCYSDLNPILSVGNTTLNLLSEEGPRQIPLSGHFLAGLASADLKPEEILGSVYIPH SQKREFVSAFRQAQCHQNALPDVNAGMRVLFREGTDVIEELSIAYGGVGPTTVSAQRSCQ QLLGRRWNALMLDEACRLLLDEVSLPGSALGGKVEFRRTLIVSLFFKFYLEVLQELKADQ KLPPESTDSQRYPEIADRFLSSLGDFQVTLPRGVQTYQRVDSHQPLQDPVGRPIMHLSGL KHATGEAVFCDDIPRVDKELFMALVTSTRAHARIISIDSSEVLDLPGVVDVITAEDIPGN NGEEDDKLLAVDKVLCVGQVICAVVAETDVQAKRATEKIKITYEDLKPVIFTIEDAIKHN SFLCPEKKLEQGNIEEAFENVDQVAEGTVHVGGQEHFYMETQRVLVIPKTEDKELDMYVS TQDPAHVQKTVSSTLNIPISRITCHVKRVGGGFGGKVGRPAVFGAIAAVGAVKTGHPIRL VLDREDDMLITGGRHPLFAKYKVGFMNSGRIKALDIECYINGGCTLDDSELVTEFLVLKL ENAYKIRNLRLRGRACMTNLPSNTAFRGFGFPQGALVTESCITAVAAKCGLPPEKIREKN MYKTVDKTIYKQAFNPDPLIRCWNECLDKSSFHIRRTRVDEFNKKSYWKKRGIAIVPMKF SVGFAATSYHQAAALVHIYTDGSVLVAHGGNELGQGIHTKMLQVASRELKIPLSYLHICE TSTTTVPNTIATAASVGADVNGRAVQNACQILLKRLEPVIKKNPEGTWRDWIEAAFEKRI SLSATGYFRGYKAFMDWEKGEGDPFPYYVYGAACSEVEIDCLTGAHKKIRTDIVMDACCS LNPAIDIGQIEGAFIQGMGLYTTEELLYSPEGVLYSRSPDKYKIPTVTDVPEQFNVSLLP SSQTPLTLYSSKGLGESGMFLGSSVFFAIVDAVAAARRQRDIAEDFTVKSPATPEWVRMA CADRFTDMIPRDDPKTFKPWSIPIA >ENSMUSP00000103935.1 pep:known chromosome:GRCm38:3:27097222:27153861:-1 gene:ENSMUSG00000027699.19 transcript:ENSMUST00000108300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2 description:ect2 oncogene [Source:MGI Symbol;Acc:MGI:95281] MADDSVLPSPSEITSLADSSVFDSKVAEMSKENLCLASTSNVDEEMPQVEARVIMVQDAG KQEELLKALKTIKIMEVPVIKIKESCPGKSEEKLIKSIINMEMKVPCVKMDSMEEFESLD SPEFENIFVVTDFQNSVFNDLYKADCRIVGPPVILNCAQRGEPLPFSCRPLYCTSMLNLV LCFTGFRKKEELVKLVTLVHHMGGVIRKECNSKVTHLVANCTQGEKFRVAVSLGTPIMKP EWIYKAWERRNEQCFCAAVDDFRNEFKVPPFQDCILSFLGFSDEEKHSMEEMTEMQGGSY LPVGDERCTHLIVEENTVKDLPFEPSKKLFVVKQEWFWGSIQMDARAGETMYLYEKANTP ELKKSVSLLSLSTPNSNRKRRRLKETLAQLSRETDLSPFPPRKRPSAEHSLSIGSLLDIS NTPESSIHYGETPKSCAKSSRSSTPVPPKQSARWQVAKELYQTESNYVNILATIIQLFQV PLEEEGQRGGPILAPEEIKTIFGSIPDIFDVHMKIKDDLEDLIANWDESRSIGDIFLKYA KDLVKTYPPFVNFFEMSKEMIIKCEKQKPRFHAFLKINQAKPECGRQSLVELLIRPVQRL PSVALLLNDLKKHTADENPDKSTLEKAIGSLKEVMTHINEDKRKTEAQKQIFDVVYEVDG CPANLLSSHRSLVQRVETVSLGEHPCDRGEQVTLFLFNDCLEIARKRHKVIGTFRSPHDR TRPPASLKHIHLMPLSQIKKVLDIRETEDCHNAFALLVRPPTEQANVLLSFQMTSEELPK ESWLKMLCRHVANTICKADAENLMYVADPESFEVNTKDMDSTLSRASRAIKKTSKKVTRA FSFSKTPKRALRMALSSSHSSEGRSPPSSGKLAVSRLSSTSSLAGIPSPSLVSLPSFFER RSHTLSRSTTHLI >ENSMUSP00000103933.2 pep:known chromosome:GRCm38:3:27097222:27153878:-1 gene:ENSMUSG00000027699.19 transcript:ENSMUST00000108298.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2 description:ect2 oncogene [Source:MGI Symbol;Acc:MGI:95281] MADDSVLPSPSEITSLADSSVFDSKVAEMSKENLCLASTSNVDEEMPQVEARVIMVQDAG KQEELLKALKEMKVPCVKMDSMEEFESLDSPEFENIFVVTDFQNSVFNDLYKADCRIVGP PVILNCAQRGEPLPFSCRPLYCTSMLNLVLCFTGFRKKEELVKLVTLVHHMGGVIRKECN SKVTHLVANCTQGEKFRVAVSLGTPIMKPEWIYKAWERRNEQCFCAAVDDFRNEFKVPPF QDCILSFLGFSDEEKHSMEEMTEMQGGSYLPVGDERCTHLIVEENTVKDLPFEPSKKLFV VKQEWFWGSIQMDARAGETMYLYEKANTPELKKSVSLLSLSTPNSNRKRRRLKETLAQLS RETDLSPFPPRKRPSAEHSLSIGSLLDISNTPESSIHYGETPKSCAKSSRSSTPVPPKQS ARWQVAKELYQTESNYVNILATIIQLFQVPLEEEGQRGGPILAPEEIKTIFGSIPDIFDV HMKIKDDLEDLIANWDESRSIGDIFLKYAKDLVKTYPPFVNFFEMSKEMIIKCEKQKPRF HAFLKINQAKPECGRQSLVELLIRPVQRLPSVALLLNDLKKHTADENPDKSTLEKAIGSL KEVMTHINEDKRKTEAQKQIFDVVYEVDGCPANLLSSHRSLVQRVETVSLGEHPCDRGEQ VTLFLFNDCLEIARKRHKVIGTFRSPHDRTRPPASLKHIHLMPLSQIKKVLDIRETEDCH NAFALLVRPPTEQANVLLSFQMTSEELPKESWLKMLCRHVANTICKADAENLMYVADPES FEVNTKDMDSTLSRASRAIKKTSKKVTRAFSFSKTPKRALRMALSSSHSSEGRSPPSSGK LAVSRLSSTSSLAGIPSPSLVSLPSFFERRSHTLSRSTTHLI >ENSMUSP00000139341.1 pep:known chromosome:GRCm38:3:27097226:27153850:-1 gene:ENSMUSG00000027699.19 transcript:ENSMUST00000184113.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ect2 description:ect2 oncogene [Source:MGI Symbol;Acc:MGI:95281] MADDSVLPSPSEITSLADSSVFDSKVAEMSKENLCLASTSNVDEEMPQVEARVIMVQDAG KQEELLKALKDCWATSYTQLCTKGRALAVFLPAAVLYEYAEPGALLHWIQEEGGACQIGD VGSSYGWSYSKRV >ENSMUSP00000135617.1 pep:known chromosome:GRCm38:3:27098306:27117807:-1 gene:ENSMUSG00000027699.19 transcript:ENSMUST00000150061.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ect2 description:ect2 oncogene [Source:MGI Symbol;Acc:MGI:95281] XKVLDIRETEEPMMRHLLKFGGVVVVKVFKTHELSQCLCLACKATNRTGQCTAQLPDDVR GASKGELAEDAVPTCSQHHL >ENSMUSP00000134786.1 pep:known chromosome:GRCm38:3:27145020:27153854:-1 gene:ENSMUSG00000027699.19 transcript:ENSMUST00000176780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2 description:ect2 oncogene [Source:MGI Symbol;Acc:MGI:95281] MADDSVLPSPSEITSLADSSVFDSKVAEMSKENLCLASTSNVDEEMPQVEARVIMVQDAG KQEELLKALKEMKVPCVKMDSMEEFESLDSPEFENIFVVTDFQNSVFNDLYKADCRIVGP PVILNCAQRGEPLPFSCRPLYCTSMLNLVLCFTGFRKKEELVKLVTLVHHMGGV >ENSMUSP00000135630.1 pep:known chromosome:GRCm38:3:27145968:27153828:-1 gene:ENSMUSG00000027699.19 transcript:ENSMUST00000176535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2 description:ect2 oncogene [Source:MGI Symbol;Acc:MGI:95281] MADDSVLPSPSEITSLADSSVFDSKVAEMSKENLCLASTSNVDEEMPQVEARVIMVQDAG KQEELLKALKTIKIMEVPVIKIKESCPGKSEEKLIKSIINMEMKVPCVKMDSMEEFESLD SPEFENIFVVTDFQNSVFNDLYKADCRIVGPPVILNCAQRGEPLPFSCRPLYCTSMLNLV LCFTGFRK >ENSMUSP00000134744.1 pep:known chromosome:GRCm38:3:27145984:27153810:-1 gene:ENSMUSG00000027699.19 transcript:ENSMUST00000177055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2 description:ect2 oncogene [Source:MGI Symbol;Acc:MGI:95281] MADDSVLPSPSEITSLADSSVFDSKVAEMSKENLCLASTSNVDEMPQVEARVIMVQDAGK QEELLKALKTIKIMEVPVIKIKESCPGKSEEKLIKSIINMEMKVPCVKMDSMEEFESLDS PEFENIFVVTDFQNSVFNDLYKADCRIVGPPVILNCAQRGEPLPFSCRPLYCTSMLNLVL C >ENSMUSP00000135208.1 pep:known chromosome:GRCm38:3:27146028:27153782:-1 gene:ENSMUSG00000027699.19 transcript:ENSMUST00000175857.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2 description:ect2 oncogene [Source:MGI Symbol;Acc:MGI:95281] MADDSVLPSPSEITSLADSSVFDSKVAEMSKENLCLASTSNVDEMPQVEARVIMVQDAGK QEELLKALKTIKIMEVPVIKIKESCPGKSEEKLIKSIINMEMKVPCVKMDSMEEFESLDS PEFENIFVVTDFQNSVFNDLYKADCRIVGPPVILNCAQRGEPLPFSC >ENSMUSP00000135740.2 pep:known chromosome:GRCm38:3:27097223:27153854:-1 gene:ENSMUSG00000027699.19 transcript:ENSMUST00000176242.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ect2 description:ect2 oncogene [Source:MGI Symbol;Acc:MGI:95281] MADDSVLPSPSEITSLADSSVFDSKVAEMSKENLCLASTSNVDEEMPQVEARVIMVQDAG KQEELLKALKEMKVPCVKMDSMEEFESLDSPEFENIFVVTDFQNSVFNDLYKADCRIVGP PVILNCAQRGEPLPFSCRPLYCTSMLNLVLCFTGFRKKEELVKLVTLVHHMGGVIRKECN SKVTHLVANCTQGEKFRVAVSLGTPIMKPEWIYKAWERRNEQCFCAAVDDFRNEFKVPPF QDCILSFLGFSDEEKHSMEEMTEMQGGSYLPVGDERCTHLIVEENTVKDLPFEPSKKLFV VKQEWFWGSIQMDARAGETMYLYEKANTPELKKSVSLLSLSTPNSNRKRRRLKETLAQLS RETDLSPFPPRKRPSAEHSLSIGSLLDISNTPESSIHYGETPKSCAKSSRSSTPVPPKQS ARWQVAKELYQTESNYVNILATIIQLFQVPLEEEGQRGGPILAPEEIKTIFGSIPDIFDV HMKIKDDLEDLIANWDESRSIGDIFLKYAKDLVKTYPPFVNFFEMSKEMIIKCEKQKPRF HAFLKINQAKPECGRQSLVELLIRPVQRLPSVALLLNDLKKHTADENPDKSTLEKAIGSL KEVMTHINEDKRKTEAQKQIFDVVYEVDGCPANLLSSHRSLVQRVETVSLGEHPCDRGEQ VTLFLFNDCLEIARKRHKVIGTFRSPHDRTRPPASLKHIHLMPLSQIKKVLDIRETEDCH NAFALLVRPPTEQANVLLSFQMTSEELPKESWLKMLCRHVANTICKADAENLMYVADPES FEVNTKDMDSTLSRASRAIKKTSKKVTRAFSFSKTPKRALRMALSSSHSSEGRSPPSSGK LAVSRLSSTSSLAGIPSPSLVSLPSFFERRSHTLSRSTTHLI >ENSMUSP00000089321.1 pep:known chromosome:GRCm38:13:22556201:22557109:1 gene:ENSMUSG00000094637.1 transcript:ENSMUST00000091728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r204 description:vomeronasal 1 receptor 204 [Source:MGI Symbol;Acc:MGI:3651399] MKMIWSDLIAGTIFLSLILLGVLGNNMLFVRHLYVVIIGPEKKIIDVILVHLAFVNTIII YCIGVRNIATSFYIRNFLGDVGCKIIIYLERVARGLSICTTCLLSVVQAVTISPRTTLWR KFKPQTAWHILAFLLLFWIFNTLISSNLLHYITAGSSMNSSEVGMFTVYCYMLPSRNIVK WLFLSFMAFRDVIFQSLMGWSSGSMALHLYKHHKRVLYLHSSRSANNARPEIRATQRVLT LMTCFLFFYLADFIFSLYIGSTVTHDSTILYIKAFLVLSYVGLSPFVLIIWDICVPNLCC VP >ENSMUSP00000106847.1 pep:known chromosome:GRCm38:5:135009152:135012131:1 gene:ENSMUSG00000040532.14 transcript:ENSMUST00000111216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd11 description:abhydrolase domain containing 11 [Source:MGI Symbol;Acc:MGI:1916008] MVQRTGRRVLTVDARNHGDSPHSPDASYEAMSQDLQGLLPQLGLVPCVLVGHSMGGKTAM LLALQRPDVVERLVVVDISPVGTTPGSHIGAFIAAMKAVEIPEKVPHSQARKLADKQLSS VVKEAGIRQFLLTNLVEVGGRFSWRLNLDTLAQHLDKIMTFPQQREPYSGPTLFLLGGNS TYVQPSHHSEIRRLFPQAQIQTVPNAGHWVHSDKPQDFMDAVTSFLA >ENSMUSP00000043041.8 pep:known chromosome:GRCm38:5:135009299:135012175:1 gene:ENSMUSG00000040532.14 transcript:ENSMUST00000046999.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd11 description:abhydrolase domain containing 11 [Source:MGI Symbol;Acc:MGI:1916008] MLRWARAWRVPRGVLGASSPRRLAVPVTFCSSRSSGQENADLRPLPLSYNLLDGDATLPA IVFLHGLFGSKTNFNSLAKAMVQRTGRRVLTVDARNHGDSPHSPDASYEAMSQDLQGLLP QLGLVPCVLVGHSMGGKTAMLLALQRPDVVERLVVVDISPVGTTPGSHIGAFIAAMKAVE IPEKVPHSQARKLADKQLSSVVKEAGIRQFLLTNLVEVGGRFSWRLNLDTLAQHLDKIMT FPQQREPYSGPTLFLLGGNSTYVQPSHHSEIRRLFPQAQIQTVPNAGHWVHSDKPQDFMD AVTSFLA >ENSMUSP00000144329.1 pep:known chromosome:GRCm38:5:135009413:135010059:1 gene:ENSMUSG00000040532.14 transcript:ENSMUST00000201890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd11 description:abhydrolase domain containing 11 [Source:MGI Symbol;Acc:MGI:1916008] MLRWARAWRVPRGVLGASSPRRLAVPVTFCSSRSSGQENADLRWRRDTPSHRLFAWALR >ENSMUSP00000144449.1 pep:known chromosome:GRCm38:5:135009456:135012170:1 gene:ENSMUSG00000040532.14 transcript:ENSMUST00000154469.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd11 description:abhydrolase domain containing 11 [Source:MGI Symbol;Acc:MGI:1916008] MVQRTGRRVLTVDARNHGDSPHSPDASYEAMSQDLQGLLPQLGLVPCVLVGHSMGGKTAM LLALQRPDVVERLVVVDISPVGTTPGSHIGAFIAAMKAVEIPEKVPHSQARKLADKQLSS VVKEAGIRQFLLTNLVEVGGRFSWRLNLDTLAQHLDKIMTFPQQREPYSGPTLFLLGGNS TYVQPSHHSEIRRLFPQAQIQTVPNAGHWVHSDKPQDFMDAVTSFLA >ENSMUSP00000143994.1 pep:known chromosome:GRCm38:5:135009691:135012170:1 gene:ENSMUSG00000040532.14 transcript:ENSMUST00000123227.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd11 description:abhydrolase domain containing 11 [Source:MGI Symbol;Acc:MGI:1916008] MLRWARAWRVPRGVLGASSPRRLAVPVTFCSSRSSGQENADLRPLPLSYNLLDGDATLPA IVFLHGLFGSKTNFNSLAKAMVQRTGRRVLTVDARNHGDSPHSPDASYEAMSQDLQGLLP QLGLVPCVLVGHSMGGKTAMLLALQRVSYTYLGPLNAL >ENSMUSP00000144553.1 pep:known chromosome:GRCm38:5:135009706:135012170:1 gene:ENSMUSG00000040532.14 transcript:ENSMUST00000149778.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd11 description:abhydrolase domain containing 11 [Source:MGI Symbol;Acc:MGI:1916008] MVQRTGRRVLTVDARNHGDSPHSPDASYEAMSQDLQGLLPQLGLVPCVLVGHSMGGKTAM LLALQRVSYTYLGPLNAL >ENSMUSP00000144595.1 pep:known chromosome:GRCm38:5:135009973:135011976:1 gene:ENSMUSG00000040532.14 transcript:ENSMUST00000148831.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd11 description:abhydrolase domain containing 11 [Source:MGI Symbol;Acc:MGI:1916008] MVQRTGRRVLTVDARNHGDSPHSPDASYEAMSQDLQGLLPQLGLVPCVLVGHSMGGKTAM LLALQRT >ENSMUSP00000127901.1 pep:known chromosome:GRCm38:15:66780819:66831646:-1 gene:ENSMUSG00000022372.14 transcript:ENSMUST00000164163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sla description:src-like adaptor [Source:MGI Symbol;Acc:MGI:104295] MGNSMKSTSPPSERPLSSSEGLESDFLAVLTDYPSPDISPPIFRRGEKLRVISDEGGWWK AISLSTGRESYIPGICVARVYHGWLFEGLGRDKAEELLQLPDTKIGSFMIRESETKKGFY SLSVRHRQVKHYRIFRLPNNWYYISPRLTFQCLEDLVTHYSEVADGLCCVLTTPCLAQNI PAPTSHPSPCTSPGSPVTLRQKTFDWKRVSRLQEGSEGAENPLRVDESLFSYGLRESIAS YLSLTGDDSSSFDRKKKSLSLMYTGSKRKSSFFSAPQYFED >ENSMUSP00000130222.1 pep:known chromosome:GRCm38:15:66782078:66801593:-1 gene:ENSMUSG00000022372.14 transcript:ENSMUST00000168589.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sla description:src-like adaptor [Source:MGI Symbol;Acc:MGI:104295] MGNSMKSTSPPSERPLSSSEGLESDFLAVLTDYPSPDISPPIFRRGEKLRVISDEGGWWK AISLSTGRESYIPGICVARVYHGWLFEGLGRDKAEELLQLPDTKIGSFMIRESETKKGFY SLSVRHRQVKHYRIFRLPNNWYYISPRLTFQCLEDLVTHYSEVADGLCCVLTTPCLAQNI PAPTSHPSPCTSPGSPVTLRQKTFDWKRVSRLQEGSEGAENPLRVDESLFSYGLRESIAS YLSLTGDDSSSFDRKKKSLSLMYTGSKRKSSFFSAPQYFED >ENSMUSP00000131865.1 pep:known chromosome:GRCm38:15:66782542:66831829:-1 gene:ENSMUSG00000022372.14 transcript:ENSMUST00000168522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sla description:src-like adaptor [Source:MGI Symbol;Acc:MGI:104295] MLCRLRVPSTAQGEKEMGNSMKSTSPPSERPLSSSEGLESDFLAVLTDYPSPDISPPIFR RGEKLRVISDEGGWWKAISLSTGRESYIPGICVARVYHGWLFEGLGRDKAEELLQLPDTK IGSFMIRESETKKGFYSLSVRHRQVKHYRIFRLPNNWYYISPRLTFQCLEDLVTHYSEVA DGLCCVLTTPCLAQNIPAPTSHPSPCTSPGSPVTLRQKTFDWKRVSRLQEGSEGAENPLR VDESLFSYGLRESIASYLSLTGDDSSSFDRKKKSLSLMYTGSKRKSSFFSAPQYFED >ENSMUSP00000098138.3 pep:known chromosome:GRCm38:15:66780864:66812593:-1 gene:ENSMUSG00000022372.14 transcript:ENSMUST00000100572.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sla description:src-like adaptor [Source:MGI Symbol;Acc:MGI:104295] MGNSMKSTSPPSERPLSSSEGLESDFLAVLTDYPSPDISPPIFRRGEKLRVISDEGGWWK AISLSTGRESYIPGICVARVYHGWLFEGLGRDKAEELLQLPDTKIGSFMIRESETKKGFY SLSVRHRQVKHYRIFRLPNNWYYISPRLTFQCLEDLVTHYSEVADGLCCVLTTPCLAQNI PAPTSHPSPCTSPGSPVTLRQKTFDWKRVSRLQEGSEGAENPLRVDESLFSYGLRESIAS YLSLTGDDSSSFDRKKKSLSLMYTGSKRKSSFFSAPQYFED >ENSMUSP00000105356.1 pep:known chromosome:GRCm38:8:84969587:84974834:1 gene:ENSMUSG00000005161.15 transcript:ENSMUST00000109734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx2 description:peroxiredoxin 2 [Source:MGI Symbol;Acc:MGI:109486] MASGNAQIGKSAPDFTATAVVDGAFKEIKLSDYRGKYVVLFFYPLDFTFVCPTEIIAFSD HAEDFRKLGCEVLGVSVDSQFTHLAWINTPRKEGGLGPLNIPLLADVTKSLSQNYGVLKN DEGIAYRGLFIIDAKGVLRQITVNDLPVGRSVDEALRLVQAFQYTDEHGEVCPAGWKPGS DTIKPNVDDSKEYFSKHN >ENSMUSP00000005292.8 pep:known chromosome:GRCm38:8:84969611:84974834:1 gene:ENSMUSG00000005161.15 transcript:ENSMUST00000005292.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx2 description:peroxiredoxin 2 [Source:MGI Symbol;Acc:MGI:109486] MASGNAQIGKSAPDFTATAVVDGAFKEIKLSDYRGKYVVLFFYPLDFTFVCPTEIIAFSD HAEDFRKLGCEVLGVSVDSQFTHLAWINTPRKEGGLGPLNIPLLADVTKSLSQNYGVLKN DEGIAYRGLFIIDAKGVLRQITVNDLPVGRSVDEALRLVQAFQYTDEHGEVCPAGWKPGS DTIKPNVDDSKEYFSKHN >ENSMUSP00000105355.1 pep:known chromosome:GRCm38:8:84969767:84974834:1 gene:ENSMUSG00000005161.15 transcript:ENSMUST00000109733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx2 description:peroxiredoxin 2 [Source:MGI Symbol;Acc:MGI:109486] MASGNAQIGKSAPDFTATAVVDGAFKEIKLSDYRGKYVVLFFYPLDFTFVCPTEIIAFSD HAEDFRKLGCEVLGVSVDSQFTHLAWINTPRKEGGLGPLNIPLLADVTKSLSQNYGVLKN DEGIAYRGLFIIDAKGVLRQITVNDLPVGRSVDEALRLVQAFQYTDEHGEVCPAGWKPGS DTIKPNVDDSKEYFSKHN >ENSMUSP00000122694.1 pep:known chromosome:GRCm38:8:84969857:84971621:1 gene:ENSMUSG00000005161.15 transcript:ENSMUST00000125893.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx2 description:peroxiredoxin 2 [Source:MGI Symbol;Acc:MGI:109486] MASGNAQIGKSAPDFTATAVVDGAFKEIKLSDYRGKYVVLFFYPLDFTFVCPTEIIAFSD HAEDFRKLGCEVLGVSVDSQFTHLAWINTPRKEGGLGPLNIPLLADVTKSLSQNYGVLKN DEGIAYRGLFIIDAKGVLRQITVNDLP >ENSMUSP00000126451.1 pep:known chromosome:GRCm38:8:84970239:84974660:1 gene:ENSMUSG00000005161.15 transcript:ENSMUST00000164807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx2 description:peroxiredoxin 2 [Source:MGI Symbol;Acc:MGI:109486] MASGNAQIGKSAPDFTATAVVDGAFKEIKLSDYRGKYVVLFFYPLDFTFVCPTEIIAFSD HAEDFRKLGCEVLGVSVDSQFTHLAWINTPRKEGGLGPLNIPLLADVTKSLSQNYGVLKN DEGIAYRGLFIIDAKGVLRQITVNDLPVGRSVDEALRLVQAFQYTDEHGEVCPAGWKPGS DTIKPNVDDSKEYFSKHN >ENSMUSP00000036150.6 pep:known chromosome:GRCm38:12:73099609:73113425:-1 gene:ENSMUSG00000034460.9 transcript:ENSMUST00000043208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Six4 description:sine oculis-related homeobox 4 [Source:MGI Symbol;Acc:MGI:106034] MSSSSPTGQIASAADIKQENGMESASEGQEAHREVAGGAAAGLSPPAPAPFPLEPGDAAA ASRVSREEGAAAAGAADQVQLHSELLGRHQHAAAAQPPLAFSPDHVACVCEALQQGGNLD RLARFLWSLPQSDLLRGNESLLKARALVAFHQGIYPELYSILESHSFESANHPLLQQLWY KARYTEAERARGRPLGAVDKYRLRRKFPLPRTIWDGEETVYCFKEKSRNALKELYKQNRY PSPAEKRHLAKITGLSLTQVSNWFKNRRQRDRNPSETQSKSESDGNPSTEDESSKGHEDL SPHPLSGASDGVTNLSLSSHVEPVYMQQIGNAKISLSSSGVLLNGSLVPASTSPVFLNGN SFIQGHNGVILNGLNVGNTQTVSLNPPKMSSNIVGNGIAMTDILGSTSQDVKEFKVLQSS AVNSAATTSYSPSAPVSFPGLIPCTEVKREGIQTVASQDGGSVVTFTTPVQINQYGIVQI PNSGANGQFLNGSIGFSPLQLPPVSVAASQGNLSVTPSTSDGSTFTSEPATVQHGKLFLS PLTPSAVVYTVPNSGQTVGAVKQEGLERGLVFSQLMPVNHSAQVNASLSSENLSGSGLHP LTSSLVNVSAAHGFSLTPPTLLNPTELNPDLAESQPVSAPVASKCTVSSVSNTNYATLQN CSLIPGQDLLSGPMTQAALGEIVPTAEEQVSHASTAVHQDFVREQRLVLQSVPNIKENFL QNSENKATNNLMMLDSKSKYVLDGMVEAGCEDLGTDKKELAKLQTVQLDEDMQDL >ENSMUSP00000135699.2 pep:known chromosome:GRCm38:12:73103441:73113456:-1 gene:ENSMUSG00000034460.9 transcript:ENSMUST00000175693.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Six4 description:sine oculis-related homeobox 4 [Source:MGI Symbol;Acc:MGI:106034] MIASAADIKQENGMESASEGQEAHREVAGGAAAGLSPPAPAPFPLEPGDAAAASRVSREE GAAAAGAADQVQLHSELLGRHQHAAAAQPPLAFSPDHVACVCEALQQGGNLDRLARFLWS LPQSDLLRGNESLLKARALVAFHQGIYPELYSILESHSFESANHPLLQQLWYKARYTEAE RARGRPLGAVDKYRLRRKFPLPRTIWDGEETVYCFKEKSRNALKELYKQNRYPSPAEKRH LAKITGLSLTQVSNWFKNRRQRDRNPSETQSKSESDGNPSTEDESSKGHEDLSPHPLSGA SDGVTNLSLSSHVEPVYMQQIGNAKISLSSSGVLLNGSLVPASTSPVFLNGNSFIQGHNG VILNGLNVGNTQTVSLNPPKMSSNIVGNGIAMTDILGSTSQDVKEFKVLQSSAVNSAATT SYSPSAPVSFPGLIPCTEVKREGIQTVASQDGGSVVTFTTPVQINQYGIVQIPNSGANGQ FLNGSIGFSPLQLPPVSVAASQGKSFIWYQQCTRACVG >ENSMUSP00000139672.1 pep:known chromosome:GRCm38:9:95705082:95750358:-1 gene:ENSMUSG00000032839.13 transcript:ENSMUST00000189137.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc1 description:transient receptor potential cation channel, subfamily C, member 1 [Source:MGI Symbol;Acc:MGI:109528] MGAPPPSPGLPPSWAAMMAALYPSTDLSGVSSSSLPSSPSSSSPNEVMALKDVREVKEEN TLNEKLFLLACDKGDYYMVKKILEENSSGDLNINCVDVLGRNAVTITIENESLDILQLLL DYGCQSADALLVAIDSEVVGAVDILLNHRPKRSSRPTIVKLMERIQNPEYSTTMDVAPVI LAAHRNNYEILTMLLKQDVSLPKPHAVGCECTLCSAKNKKDSLRHSRFRLDIYRCLASPA LIMLTEEDPILRAFELSADLKELSLVEVEFRNDYEELARQCKMFAKDLLAQARNSRELEV ILNHTSSDEPLDKRGLLEERMNLSRLKLAIKYNQKEFVSQSNCQQFLNTVWFGQMSGYRR KPTCKKIMTVLTVGIFWPVLSLCYLIAPKSQFGRIIHTPFMKFIIHGASYFTFLLLLNLY SLVYNEDKKNTMGPALERIDYLLILWIIGMIWSDIKRLWYEGLEDFLEESRNQLSFVMNS LYLATFALKVVAHNKFHDFADRKDWDAFHPTLVAEGLFAFANVLSYLRLFFMYTTSSILG PLQISMGQMLQDFGKFLGMFLLVLFSFTIGLTQLYDKGYTSKEQKDCVGIFCEQQSNDTF HSFIGTCFALFWYIFSLAHVAIFVTRFSYGEELQSFVGAVIVGTYNVVVVIVLTKLLVAM LHKSFQLIANHEDKEWKFARAKLWLSYFDDKCTLPPPFNIIPSPKTICYMISSLSKWICS HTSKGKVKRQNSLKEWRNLKQKRDENYQKVMCCLVHRYLTSMRQKMQSTDQATVENLNEL RQDLSKFRNEIRDLLGFRTSKYAMFYPRN >ENSMUSP00000057640.4 pep:known chromosome:GRCm38:9:95706627:95750358:-1 gene:ENSMUSG00000032839.13 transcript:ENSMUST00000053785.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc1 description:transient receptor potential cation channel, subfamily C, member 1 [Source:MGI Symbol;Acc:MGI:109528] MGAPPPSPGLPPSWAAMMAALYPSTDLSGVSSSSLPSSPSSSSPNEVMALKDVREVKEEN TLNEKLFLLACDKGDYYMVKKILEENSSGDLNINCVDVLGRNAVTITIENESLDILQLLL DYGCQKLMERIQNPEYSTTMDVAPVILAAHRNNYEILTMLLKQDVSLPKPHAVGCECTLC SAKNKKDSLRHSRFRLDIYRCLASPALIMLTEEDPILRAFELSADLKELSLVEVEFRNDY EELARQCKMFAKDLLAQARNSRELEVILNHTSSDEPLDKRGLLEERMNLSRLKLAIKYNQ KEFVSQSNCQQFLNTVWFGQMSGYRRKPTCKKIMTVLTVGIFWPVLSLCYLIAPKSQFGR IIHTPFMKFIIHGASYFTFLLLLNLYSLVYNEDKKNTMGPALERIDYLLILWIIGMIWSD IKRLWYEGLEDFLEESRNQLSFVMNSLYLATFALKVVAHNKFHDFADRKDWDAFHPTLVA EGLFAFANVLSYLRLFFMYTTSSILGPLQISMGQMLQDFGKFLGMFLLVLFSFTIGLTQL YDKGYTSKEQKDCVGIFCEQQSNDTFHSFIGTCFALFWYIFSLAHVAIFVTRFSYGEELQ SFVGAVIVGTYNVVVVIVLTKLLVAMLHKSFQLIANHEDKEWKFARAKLWLSYFDDKCTL PPPFNIIPSPKTICYMISSLSKWICSHTSKGKVKRQNSLKEWRNLKQKRDENYQKVMCCL VHRYLTSMRQKMQSTDQATVENLNELRQDLSKFRNEIRDLLGFRTSKYAMFYPRN >ENSMUSP00000139577.1 pep:known chromosome:GRCm38:9:95706627:95750358:-1 gene:ENSMUSG00000032839.13 transcript:ENSMUST00000190604.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trpc1 description:transient receptor potential cation channel, subfamily C, member 1 [Source:MGI Symbol;Acc:MGI:109528] MGAPPPSPGLPPSWAAMMAALYPSTDLSGVSSSSLPSSPSSSSPNEVMALKDVREVKEEN TLNEKLFLLACDKETYGTNSESRIFNNDGCRTCYFSCSS >ENSMUSP00000140550.1 pep:known chromosome:GRCm38:9:95706627:95750358:-1 gene:ENSMUSG00000032839.13 transcript:ENSMUST00000190497.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trpc1 description:transient receptor potential cation channel, subfamily C, member 1 [Source:MGI Symbol;Acc:MGI:109528] MGAPPPSPGLPPSWAAMMAALYPSTDLSGVSSSSLPSSPSSSSPNEVMALKDVREVKEEN TLNEKLFLLACDKVRRCTFGGNRL >ENSMUSP00000140994.1 pep:known chromosome:GRCm38:9:95721215:95732209:-1 gene:ENSMUSG00000032839.13 transcript:ENSMUST00000186235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc1 description:transient receptor potential cation channel, subfamily C, member 1 [Source:MGI Symbol;Acc:MGI:109528] KLMERIQNPEYSTTMDVAPVILAAHRNNYEILTMLLKQDVSLPKPHAVGCECTLCSAKNK KDSLRHSRCLASPALIMLTEEDPILRAFELSADLKELSLVEVEFRNDYEELARQCKMFAK DLLAQARNSRELEVILNHTSSDEPLDKRGLLEERMNLSRLKLAIKYNQKEFVSQSNCQQF LNTVWFGQMSGYRRKPTCKKIMTVLTVGIFWPVLSLCYLIAPKSQFGRIIHTPFMKFIIH GASYFTFLLLLNLYS >ENSMUSP00000030110.8 pep:known chromosome:GRCm38:4:82798738:82859673:-1 gene:ENSMUSG00000028403.15 transcript:ENSMUST00000030110.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc21 description:zinc finger, DHHC domain containing 21 [Source:MGI Symbol;Acc:MGI:1915518] MGLRIHFVVDPHGWCCMGLIVFVWLYNIVIIPKIVLFPHYEEGHIPGILIIIFYGISIFC LVALVRASLTDPGRLPENPKIPHAERELWELCNKCNLMRPKRSHHCSRCGHCVRRMDHHC PWINNCVGEDNHWLFLQLCFYTELLTCYALMFSFCHYYYFLPLKKRNLDLFVVRHELAIM RLAAFMGITMLVGITGLFYTQLIGIITDTTSIEKMSNCCEEISRPRKPWQQTFSEVFGTR WKILWFIPFRQRQPLRVPYHFANHV >ENSMUSP00000133630.1 pep:known chromosome:GRCm38:4:82804047:82859561:-1 gene:ENSMUSG00000028403.15 transcript:ENSMUST00000173741.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc21 description:zinc finger, DHHC domain containing 21 [Source:MGI Symbol;Acc:MGI:1915518] MGLRIHFVVDPHGWCCMGLIVFVWLYNIVIIPKIVLFPHYEEGHIPGILIIIFYGISIFC LVALVRASLTDPGRLPENPKIPHAERELWELCNKCNLMRPKRSHHCSRCGHCVRRMDHHC PWISLLFAMSWLS >ENSMUSP00000102859.1 pep:known chromosome:GRCm38:4:82806209:82859958:-1 gene:ENSMUSG00000028403.15 transcript:ENSMUST00000107239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc21 description:zinc finger, DHHC domain containing 21 [Source:MGI Symbol;Acc:MGI:1915518] MGLRIHFVVDPHGWCCMGLIVFVWLYNIVIIPKIVLFPHYEEGHIPGILIIIFYGISIFC LVALVRASLTDPGRLPENPKIPHAERELWELCNKCNLMRPKRSHHCSRCGHCVRRMDHHC PWINNCVGEDNHWLFLQLCFYTELLTCYALMFSFCHYYYFLPLKKRNLDLFVVRHELAIM RLAAFMGITMLVGITGLFYTQLIGIITDTTSIEKMSNCCEEISRPRKPWQQTFSEVFGTR WKILWFIPFRQRQPLRVPYHFANHV >ENSMUSP00000121954.1 pep:known chromosome:GRCm38:4:82838312:82850814:-1 gene:ENSMUSG00000028403.15 transcript:ENSMUST00000139401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc21 description:zinc finger, DHHC domain containing 21 [Source:MGI Symbol;Acc:MGI:1915518] MGLRIHFVVDPHGWCCMGLIVFVWLYNIVIIPKIVLFPHYEEGHIPGILIIIFYGISIFC LVALVRASLTDPGRLPENPKIPHAERELWELCNKCNLMRPKRSHHCSRCG >ENSMUSP00000120914.1 pep:known chromosome:GRCm38:4:82847617:82859573:-1 gene:ENSMUSG00000028403.15 transcript:ENSMUST00000156055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc21 description:zinc finger, DHHC domain containing 21 [Source:MGI Symbol;Acc:MGI:1915518] MGLRIHFVVDPHGWCCMGLIVFVWLY >ENSMUSP00000106014.1 pep:known chromosome:GRCm38:15:34440505:34443640:-1 gene:ENSMUSG00000058600.13 transcript:ENSMUST00000079735.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl30 description:ribosomal protein L30 [Source:MGI Symbol;Acc:MGI:98037] MVAAKKTKKSLESINSRLQLVMKSGKYVLGYKQTLKMIRQGKAKLVILANNCPALRKSEI EYYAMLAKTGVHHYSGNNIELGTACGKYYRVCTLAIIDPGDSDIIRSMPEQTGEK >ENSMUSP00000009039.5 pep:known chromosome:GRCm38:15:34440510:34443222:-1 gene:ENSMUSG00000058600.13 transcript:ENSMUST00000009039.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl30 description:ribosomal protein L30 [Source:MGI Symbol;Acc:MGI:98037] MVAAKKTKKSLESINSRLQLVMKSGKYVLGYKQTLKMIRQGKAKLVILANNCPALRKSEI EYYAMLAKTGVHHYSGNNIELGTACGKYYRVCTLAIIDPGDSDIIRSMPEQTGEK >ENSMUSP00000029508.4 pep:known chromosome:GRCm38:3:106482431:106503030:1 gene:ENSMUSG00000027901.12 transcript:ENSMUST00000029508.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd2d description:DENN/MADD domain containing 2D [Source:MGI Symbol;Acc:MGI:2181193] MDGLGRRLRASLRLKRGQRGQSQNNPGEAVTEPERIQEHSPSSFAGGQHFFEYLLVVSLK KKRLGDDYEPTITYQFPKRENLLRGQQEEEDRLLSAIPLFCFPDGNEWAPLTEYPRETFS FVLTNVDGSRKIGYCRRLLPAGPGPQLPKVYCIISCIGCFGLFSKILDEVEKRHQISMAV IYPFMQGLREAAFPAPGKTVTLKSFIPDSGTEFISLTRPLDSHLEHVDFSVLLHCLHLEQ IIQIFASAVLERKIIFLAEGLSTLSQCIHAAAALLYPFSWAHTYIPVVPESLLATVCCPT PFMVGVQMRFLQEVMDSPMEEVLLVNLCEGTFLLSVGDEKDILPPKLQDDILDSLGQGIN ELKTSEQINEHVSGPFVQFFVKTVGHYASYIKREASGQGHFQERSFCKAVTSKTKRRFVK KFVKTQLFSLFIQEAEKSRNPPAGYFQKKILEYEEQKKQKKSRERL >ENSMUSP00000141589.1 pep:known chromosome:GRCm38:3:106482450:106488605:1 gene:ENSMUSG00000027901.12 transcript:ENSMUST00000149225.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd2d description:DENN/MADD domain containing 2D [Source:MGI Symbol;Acc:MGI:2181193] MDGLGRRLRASLRLKRGQRVPEEIQLVPALQITVPQKLVSPSP >ENSMUSP00000138462.1 pep:known chromosome:GRCm38:3:106486050:106501042:1 gene:ENSMUSG00000027901.12 transcript:ENSMUST00000183271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd2d description:DENN/MADD domain containing 2D [Source:MGI Symbol;Acc:MGI:2181193] MPPKLRMMEGQGVGRTLRLLRNRLPRLRAGQSQNNPGEAVTEPERIQEHSPSSFAGGQHF FEYLLVVSLKKKRLGDDYEPTITYQFPKRENLLRGQQEEEDRLLSAIPLFCFPDGNEWAP LTEYPRETFSFVLTNVDGSRKIGYCRRLLPAGPGPQLPKVYCIISCIGCFGLFSKILDEV EKRHQISMAVIYPFMQGLREAAFPAPGKTVTLKSFIPDSGTEFISLTRPLDSHLEHVDFS VLLHCLHLEQIIQIFASAVLERKIIFLAEGLSTLSQCIHAAAALLYPFSWAHTYIPVVPE SLLATVCCPTPFMVGVQMRFLQEVMDSPMEEVLLVNLCEGTFLLSVGDEKDILPPKLQDD ILDSLGQGINELKTSEQINEHVSGPFVQFFVKTVGHYASYIKREASGQGHFQERSFCKAV TSKTKRRFVKKFVKTQLFSLFIQEAEKSRNPPAGYFQKKILEYEEQKKQKKSRERL >ENSMUSP00000119617.1 pep:known chromosome:GRCm38:4:107676290:107683580:-1 gene:ENSMUSG00000028610.16 transcript:ENSMUST00000131776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtb1 description:DMRT-like family B with proline-rich C-terminal, 1 [Source:MGI Symbol;Acc:MGI:1927125] GKPSLVQPTVPPACTRPAASGSKLESQTGAGVGKAPSPGHPLRFKSDHVVGAGNPEREPF KQCPACVPVSPYQSFPLSEGQDSSSALGVPQQRGFRHVSCSPYHRSGLVSEPARDLQPTY CSPPPPPPPPPPPPLPAPPPQPQQPHFLPPGYLSALHFLPPPPPPPSPPSFSLTYDTDKE NTNDQDAEAPTEPSQDSPQEQSN >ENSMUSP00000064220.4 pep:known chromosome:GRCm38:4:107676292:107684230:-1 gene:ENSMUSG00000028610.16 transcript:ENSMUST00000069271.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtb1 description:DMRT-like family B with proline-rich C-terminal, 1 [Source:MGI Symbol;Acc:MGI:1927125] MLRAPKCSRCRNHGYLVPVKGHTGKCRWKQCICDKCYLITERQKIMAAQKVLRTQAAEEQ VATVGTQGPQLPPRAPAAAATALSSSICPLPRAVPGGVGPGPTATCFLERPPQAPSPGPS TFQLGPSGRPGPSTFQPGPGAPGGLRDRSSAWLPQLMPQAPRPELCYPDQHLPVRPVPVP GPVRPVPRLPFADYGHPLRFKSDHVVGAGNPEREPFKQCPACVPVSPYQSFPLSEGQDSS SALGVPQQRGFRHVSCSPYHRSGLVSEPARDLQPTYCSPPPPPPPPPPPPLPAPPPQPQQ PHFLPPGYLSALHFLPPPPPPPSPPSFSLTYDTDKENTNDQDAEAPTEPSQDSPQEQSN >ENSMUSP00000065533.5 pep:known chromosome:GRCm38:X:152343598:152368704:-1 gene:ENSMUSG00000056679.13 transcript:ENSMUST00000070316.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr173 description:G-protein coupled receptor 173 [Source:MGI Symbol;Acc:MGI:1918021] MANTTGEPEEVSGALSLPSASAYVKLVLLGLIMCVSLAGNAILSLLVLKERALHKAPYYF LLDLCLADGIRSAICFPFVLASVRHGSSWTFSALSCKIVAFMAVLFCFHAAFMLFCISVT RYMAIAHHRFYAKRMTLWTCAAVICMAWTLSVAMAFPPVFDVGTYKFIREEDQCIFEHRY FKANDTLGFMLMLAVLMAATHAVYGKLLLFEYRHRKMKPVQMVPAISQNWTFHGPGATGQ AAANWIAGFGRGPMPPTLLGIRQNGHAASRRLLGMDEVKGEKQLGRMFYAITLLFLLLWS PYIVACYWRVFVKACAVPHRYLATAVWMSFAQAAVNPIVCFLLNKDLKKCLRTHAPCWGT GGAPAPREPYCVM >ENSMUSP00000001806.3 pep:known chromosome:GRCm38:11:101082565:101086619:1 gene:ENSMUSG00000001755.12 transcript:ENSMUST00000001806.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coasy description:Coenzyme A synthase [Source:MGI Symbol;Acc:MGI:1918993] MAVFRSGLLVLTTPLATLAARLPPILTSASRLVNHTLYVHLQPGMNLGGPAQPQASPVQA TFEVLDFITHLYTGADLHRHLDVRILLTNIQTKSTFLPVLSSVQNLAHPPEVVLTDFQTL DGSQYNPVKQQLERYATSCYSCSPQLASVLLYPDYGTGELPLEPPNALLPSTIRPASPVA RSPRQPVRGYHRGAVGGTFDRLHNAHKVLLSVACVLAQEQLVVGVADKDLLKSKLLPELL QPYAERVEHLTEFLVDIKPSLTFELVPLLDPYGPAGSDPTLEFLVVSEETYRGGMAVNRF RLENGKEELALYQIQLLKDQSHNENEEDKVSSSSFRQRILGNLLQPPNERPELPSGLYVL GLTGISGSGKSSVAQRLKNLGAYIIDSDHLGHRAYAPGGPAYQPVVEAFGTDILHKDGTI NRKVLGSRVFGNKKQMKILTDIVWPVIAKLAREEMDVAVAKGKTLCVIDAAMLLEAGWQS MVHEVWTVVIPETEAVRRIVERDGLSEAAAQSRLQSQMSGQQLVEQSNVVLSTLWESHVT QSQVEKAWNLLQKRLPKAYQTRN >ENSMUSP00000102929.3 pep:known chromosome:GRCm38:11:101082625:101086619:1 gene:ENSMUSG00000001755.12 transcript:ENSMUST00000107308.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coasy description:Coenzyme A synthase [Source:MGI Symbol;Acc:MGI:1918993] MAVFRSGLLVLTTPLATLAARLPPILTSASRLVNHTLYVHLQPGMNLGGPAQPQASPVQA TFEVLDFITHLYTGADLHRHLDVRILLTNIQTKSTFLPVLSSVQNLAHPPEVVLTDFQTL DGSQYNPVKQQLERYATSCYSCSPQLASVLLYPDYGTGELPLEPPNALLPSTIRPASPVA RSPRQPVRGYHRGAVGGTFDRLHNAHKVLLSVACVLAQEQLVVGVADKDLLKSKLLPELL QPYAERVEHLTEFLVDIKPSLTFELVPLLDPYGPAGSDPTLEFLVVSEETYRGGMAVNRF RLENGKEELALYQIQLLKDQSHNENEEDKVSSSSFRQRILGNLLQPPNERPELPSGLYVL GLTGISGSGKSSVAQRLKNLGAYIIDSDHLGHRAYAPGGPAYQPVVEAFGTDILHKDGTI NRKVLGSRVFGNKKQMKILTDIVWPVIAKLAREEMDVAVAKGKTLCVIDAAMLLEAGWQS MVHEVWTVVIPETEAVRRIVERDGLSEAAAQSRLQSQMSGQQLVEQSNVVLSTLWESHVT QSQVEKAWNLLQKRLPKAYQTRN >ENSMUSP00000021268.2 pep:known chromosome:GRCm38:11:69125896:69149115:1 gene:ENSMUSG00000020892.10 transcript:ENSMUST00000021268.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aloxe3 description:arachidonate lipoxygenase 3 [Source:MGI Symbol;Acc:MGI:1345140] MAVYRLCVTTGSYLKAGTLDNIYATLVGTCGESPKQKLDRVGRDFASGSVQKYKVRCEAE LGEILLLRLHKERFAFFCKDPWYCSRICVTAPDGSAVHFPCYQWIDGYCTVELRPGTART ICQDSLPLLLDHRKRELQARQECYRWKIFAPGFPRMVDVSSFQEMESDKKFALTKTVPCA EQDDNSGNRYLPGFPMKIDIPSLLHMEPNIRYSATKTASLIFNALPASFGMKIRGLLDRK GSWKRLDDIRNIFWCHKTFTSEYVTEHWCEDSFFGYQYLNGVNPVMLHCLSSLPSKLPVT NDMVAPLLGPGTCLQTELERGHIFLADYWILAEAPVHCINGLQQYVTAPLCLLWLNPQGV LLPLAIQLSQTPGPESPIFLPTDCELDWLLAKTWVRNSEFLVHENNTHFLCTHLLCEAFS MATLRQLPLCHPVYKLLLPHTRYTLQVNTIARATLLNPDGLVDKVTSIGRQGLIYLMSTG LAHFTYTDFCLPDSIRARGVLTIPNYHYRDDGLKIWAAIERFVSEIVSYYYPSDASVQQD CELQAWVGEIFAQAFLGRESSGFPSRLCTPGELVKYLTAIIFNCSAQHAAVNSGQHDFGA WMPNAPSSMRQPPPQTKGDTTMKSYLDTLPEVNTTCRNLLLFWLVSQEPKDQRPLGTYPD EHFTEEAPRQSIAAFQNCLAQISKDIRERNQSLALPYAYLDPPLIENSVSI >ENSMUSP00000134814.1 pep:known chromosome:GRCm38:11:69126403:69134524:1 gene:ENSMUSG00000020892.10 transcript:ENSMUST00000175661.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aloxe3 description:arachidonate lipoxygenase 3 [Source:MGI Symbol;Acc:MGI:1345140] MAVYRLCVTTGSYLKAGTLDNIYATLVGTCGESPKQKLDRVGRDFASGSVQKYKVRCEAE LGEILLLRLHKERFAFFCKDPWYCSRICVTAPDGSAVHFPCYQWIDGYCTVELRPGTART ICQDSLPLLLDHRKRELQARQECYRWKIFAPGFPRMVDVSSFQEMESDKKFALTKTVPCA EQDDNSGNRYLPGFPMKIDIPSLLHMEPNIRYSATKTASLIFNALPASFGMKIRGLLDRK GSWKRLDDIRNIFWCHKTFTSEYVTEHWCEDSFFGYQYLNGVNPVMLHCLSSLPSKLPVT NDMVAPLLGPGTCLQTELERGHIFLADYWILAEAPVHCINGLQQYVTAPLCLLWLNPQGV LLPLAIQPDTRAREPHLSAH >ENSMUSP00000026956.3 pep:known chromosome:GRCm38:2:87508458:87510865:1 gene:ENSMUSG00000025838.12 transcript:ENSMUST00000026956.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel6 description:preferentially expressed antigen in melanoma like 6 [Source:MGI Symbol;Acc:MGI:2156390] MSVDSLPTLFQWARDNLLKEEALAISALEELPIHLFPEMFKVAFTDRHTKVLIAMVSAWP FPCLPVGTLIEDPHLETLKAVLDGLNVLVTGKVHSSRCKLRVLDLRRNVHHDFWSIQTGS HEDNCPAQIVAQKQPVETCPNPRREIPFMVVTDFELTQESFDEWTIYLMQWIQERKSSIH LCCRKLNSCASPVSNVIEIFKLVDLNCILELQLSQWWPEVLEELDPYLEGMNNLHTLMLE GLKPFRFTACEEDQEDEWQSTLPSLLSNFGSLQNLYLNDIYLLEDSLDKWLGCLKTPLKT LSITDCPRLLQSDFECLPNCPNICKLKHLNLNALFLSDVGYEIPGLILEKVTSTLQILEL ERCGMTDPHFKALMPALSKCSQLLKVSFCHNDISLRVLKTLLCHTAKLSQLTQELYPAPQ ECYEDYKILKDRFKKLCPELLNILKAKRQPKKVSFTTQTCLKCLHSCHYYYNLEATDCLC QCICQ >ENSMUSP00000107198.1 pep:known chromosome:GRCm38:2:87507425:87510872:1 gene:ENSMUSG00000025838.12 transcript:ENSMUST00000111572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel6 description:preferentially expressed antigen in melanoma like 6 [Source:MGI Symbol;Acc:MGI:2156390] MSVDSLPTLFQWARDNLLKEEALAISALEELPIHLFPEMFKVAFTDRHTKVLIAMVSAWP FPCLPVGTLIEDPHLETLKAVLDGLNVLVTGKVHSSRCKLRVLDLRRNVHHDFWSIQTGS HEDNCPAQIVAQKQPVETCPNPRREIPFMVVTDFELTQESFDEWTIYLMQWIQERKSSIH LCCRKLNSCASPVSNVIEIFKLVDLNCILELQLSQWWPEVLEELDPYLEGMNNLHTLMLE GLKPFRFTACEEDQEDEWQSTLPSLLSNFGSLQNLYLNDIYLLEDSLDKWLGCLKTPLKT LSITDCPRLLQSDFECLPNCPNICKLKHLNLNALFLSDVGYEIPGLILEKVTSTLQILEL ERCGMTDPHFKALMPALSKCSQLLKVSFCHNDISLRVLKTLLCHTAKLSQLTQELYPAPQ ECYEDYKILKDRFKKLCPELLNILKAKRQPKKVSFTTQTCLKCLHSCHYYYNLEATDCLC QCICQ >ENSMUSP00000071366.5 pep:known chromosome:GRCm38:15:73786412:73839671:-1 gene:ENSMUSG00000072487.10 transcript:ENSMUST00000071419.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh5 description:maestro heat-like repeat family member 5 [Source:MGI Symbol;Acc:MGI:2685474] MQLALEHMTKSTELNDIYQDAASNVLLAVCRHSWPAVAKHLETELLTGVFPHRSLLYVMG VLISQEELLKEEDRASWKDLLSQAFLFTYYGLILQAEENSTTVRTHLTTLLETSHQWAKQ REGIALTVGLVAVNHLDDAWAILEQFGRSTPFKRSLQNFSLKVCSWLTLQPRGGSAGSSH LASGRTEVHASDSPWLHGQNTEDLRWKWASSTILLSYGQMAAWAKNHILPWVDNILSRMI FYFHFSSWDETLKQSFLTAITMLVGAISRNEGAHSYEFSQTSELLECLMTLMEKEPQDTL VTSIRQQAIHIVSSLCALRPPMDVNRKPRLLSTCFRSIFTLPQLDDLEKQACLLTEPPNI NIQVRPALKPGRLWQDIRLSPLCVAEWRANAGHSAGRVEALGSCLKALGLHLPPWDTVHD HFGP >ENSMUSP00000118236.1 pep:known chromosome:GRCm38:15:73761410:73830983:-1 gene:ENSMUSG00000072487.10 transcript:ENSMUST00000151999.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mroh5 description:maestro heat-like repeat family member 5 [Source:MGI Symbol;Acc:MGI:2685474] MNGQHSDRSHSRSSIDKPSSAMSHNSRIFSHVSPSKKESSTCTEEHHDCASRDRESHAAN ILLCRSKRSQASLEHQFFMEEAYNSAICFKMLRDIGTSDLLQIKYITKKIKKMARSFPNL VMETIHDYFKDNPEISCRHTFQLFQVLVAVIGVLDVLEETWQKCFMQLALEHMTKSTELN DIYQDAASNVLLAVCRHSWPAVAKHLETELLTGVFPHRSLLYVMGVLISQEELLKEEDRA SWKDLLSQMARKSVPFLNTDVWSKELLWAITKSGRTQQEQTPDKAFLFTYYGLILQAEEN STTVRTHLTTLLETSHQWAKQREGIALTVGLVAVNHLDDAWAILEQFGRSTPFKRSLQNF SLKLHGQNTEDLRWKWASSTILLSYGQMAAWAKNHILPWVDNILSRMIFYFHFSSWDETL KQSFLTAITMLVGAISRNEGAHSYEFSQTSELLECLMTLMEKEPQDTLVTSIRQQAIHIV SSLCALRPPMDVNRKPRLLSTCFRSIFTLPQLDDLEKQACLLTEPPNINIQRLYYQTMEA LDHMLQCFMMQNPTANELHILLSHLYTWLTSEKTHERQRAVHRCTTLFKFLNNKHCLSTK ENFTRIGQLVATLGILCQDSNRDIHSCSLEALGHLYQLLMYQKARETLEVKRESPRDLFG PDADGAAFWGSGDQKGSSPILRRMASKDHSIFSMSSNQALKEIADHLEMAELTDLIWTAI EGLGSSSSSWVQAAADLLLLVIQEHGDSLSTPHLSPVEGPGS >ENSMUSP00000105648.2 pep:known chromosome:GRCm38:15:73786936:73819410:-1 gene:ENSMUSG00000072487.10 transcript:ENSMUST00000110021.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh5 description:maestro heat-like repeat family member 5 [Source:MGI Symbol;Acc:MGI:2685474] MQLALEHMTKSTELNDIYQDAASNVLLAVCRHSWPAVAKHLETELLTGVFPHRSLLYVMG VLISQEELLKEEDRASWKDLLSQMARKSVPFLNTDVWSKELLWAITKSGRTQQEQTPDKA FLFTYYGLILQAEENSTTVRTHLTTLLETSHQWAKQREGIALTVGLVAVNHLDDAWAILE QFGRSTPFKRSLQNFSLKLHGQNTEDLRWKWASSTILLSYGQMAAWAKNHILPWVDNILS RMIFYFHFSSWDETLKQSFLTAITMLVGAISRNEGAHSYEFSQTSELLECLMTLMEKEPQ DTLVTSIRQQAIHIVSSLCALRPPMDVNRKPRLLSTCFRSIFTLPQLDDLEKQACLLTEP PNINIQVRPALKPGRLWQDIRLSPLCVAEWRANAGHSAGRVEALGSCLKALGLHLPPWDT VHDHFGP >ENSMUSP00000140084.1 pep:known chromosome:GRCm38:2:28926949:29055024:-1 gene:ENSMUSG00000079502.8 transcript:ENSMUST00000189027.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap77 description:cilia and flagella associated protein 77 [Source:MGI Symbol;Acc:MGI:2685669] MPDPAKPGKDLTAWKKKKQPVHRTVSQICPPPRRPLTVVDIRTGMENERLGVVRDSMFQN PLIVKGIGRQSLGVRS >ENSMUSP00000140645.1 pep:known chromosome:GRCm38:2:28926953:29055039:-1 gene:ENSMUSG00000079502.8 transcript:ENSMUST00000189711.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap77 description:cilia and flagella associated protein 77 [Source:MGI Symbol;Acc:MGI:2685669] MPDPAKPGKDLTAWKKKKQPVHRTVSQICPPPRRPLTVVDIRTGMENERLGVVRDSMFQN PLIVKAELGKPRERSCSLPGINFNYGLYIRGLDGGVPEAIGHWNVFKQQPTCPHELTRNY IAMNRGAVKAGLVTARENMLYRELNDIRINDQEDRRQKEPPPIPPNMTFGIRSRPSTPFF DLLQHRYQQLWVQEQKATQQAIKMEKKQKVILGKLYETRSSQLRKYKPPVKLDALWHMPH FKKVASHLATFPTEADRQRALKAHKEEYAVRQGTLRMGNYTHP >ENSMUSP00000125742.1 pep:known chromosome:GRCm38:2:28955370:29055066:-1 gene:ENSMUSG00000079502.8 transcript:ENSMUST00000157048.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap77 description:cilia and flagella associated protein 77 [Source:MGI Symbol;Acc:MGI:2685669] MPDPAKPGKDLTAWKKKKQPVHRTVSQICPPPRRPLTVVDIRTGMENERLGVVRDSMFQN PLIVKAELGKPRERSCSLPGINFNYGLYIRGLDGGVPEAIGHWNVFKQQPTCPHELTRNY IAMNRGAVKAGLVTARENMLYRELNDIRINDQEDRRQKEPPPIPPNMTFGIRSRPSTPFF DLLQHRYQQLWVQEQKATQQAIKMEKKQKVILGKLYETRSSQLRKYKPPVKLDALWHMPH FKKVCWDCRGRLWQLTSCGFWRFELLILVQH >ENSMUSP00000092228.4 pep:known chromosome:GRCm38:7:28359604:28372233:-1 gene:ENSMUSG00000037552.17 transcript:ENSMUST00000094644.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg2 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 2 [Source:MGI Symbol;Acc:MGI:2141874] MPEGARGLSLPKPSLRLGCGHQGEVCDCAAVSDTPTAAQAATTMASPRGSGSSTSLSTVG SEGDPSPACSASRPEPLPEPPIRLHLLPVGIQGSVKPSRLERVAREIVETERAYVRDLRS IVEDYLGPLMDGRALGLNMEQVGTLFANIEDIYEFSSELLEDLEGCSSAGGIAECFVQRS EDFDIYTLYCMNYPSSLALLRELSVSPPATLWLQERQAQLRHSLPLQSFLLKPVQRILKY HLLLQELGKHWAEGPDSGGREMVEEAIVSMTAVAWYINDMKRKQEHAARLQEVQRRLGGW TGPELSAFGELVLEGTFRGGGGGGPRLRGGERLLFLFSRMLLVAKRRGPEYTYKGHIFCC NLSVSETPRDPLGFKVSDLTIPKHRHLFQAKNQEEKRLWIHCLQRLFFENHPASIPAKAK QVLLENSLHCAPKSKHIPEPPTSPLDSPRPRDAPGFTPGRRNPAPSPRLSGSRRGRRQSE PAKEAYVIFPQNDKPQVKHAGSEGELHPSSELQPVSASGPPEDLEDAGPPTLDPSGTSIT EEILELLNQRGLRDSGPATHDIPKFPRDSRVPVESEPLPFQSLPSRESSEEEEEEDLETD EREPSPLHVLEGLEGSSAAEIPCIPSLTDIPSEVPSLPEIPEAPCLPCLSDISGVFEVPC LSPTSTVPDIPSLATTPSFPCGSWLPGPLQEAAQPQATRRELLSGSNPGRLSESPSESRE GQEDDTEGVSFSAVQREAGTSVQGFPEELEYRSCSEIRSAWQALEQGQLARPGFPEPLLI LEDSDLRGGSTSGKTGMPHSERSASRVRELARLYSERIQQMQRAETRASTNAPRRRPRVL AQPQPSPCPPQEEAEPGALPAFGHVLVCELAFPLNCTQESVPLGPAVLVQAATPLCIQGD DLSGQNLNVSDLSKQGHLSSNSIPPPVPLPGQSNFQNIQVPSTSLLPKQEPPDVQVPTAS TLPDTSQLQSQVPAATPSAGHRNCVEIQVQSTTSLPGQECQADTVALSKQEGHEDSQNPN KAPGAEQRDVSIDQGLAVVGGRPVSPLPVCTSSPDQQIPATTPLPLSTDFPDMEGPGALP LPTQEGRPDCSIPCNPLPSLSQDVQVPAVIPVSQLQGLTDTRATVPLSSHKQEDAPECLG PEPSLTDTPAPRLLSSLGQQNTTDGPVSAAAVPLTEQGCSQDLQGLITSPVQTTMELPKP RGLVSRVATSESLDLTPPHSPSLSTRQLLGPSAAALSRYLAASYISQSLARRQGPGGEGT VASQGHWSSSAPTSRAPSPPPQPQPPAPPARRLSYATTVSIQVGGGGRLRPAKAQVRLNH PALLAAPHPGAVGPSQGPGGS >ENSMUSP00000113449.1 pep:known chromosome:GRCm38:7:28359605:28371574:-1 gene:ENSMUSG00000037552.17 transcript:ENSMUST00000121085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg2 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 2 [Source:MGI Symbol;Acc:MGI:2141874] MPEGARGLSLPKPSLRLGCGHQGEVCDCAAVSDTPTAQAATTMASPRGSGSSTSLSTVGS EGDPSPACSASRPEPLPEPPIRLHLLPVGIQGSVKPSRLERVAREIVETERAYVRDLRSI VEDYLGPLMDGRALGLNMEQVGTLFANIEDIYEFSSELLEDLEGCSSAGGIAECFVQRSE DFDIYTLYCMNYPSSLALLRELSVSPPATLWLQERQAQLRHSLPLQSFLLKPVQRILKYH LLLQELGKHWAEGPDSGGREMVEEAIVSMTAVAWYINDMKRKQEHAARLQEVQRRLGGWT GPELSAFGELVLEGTFRGGGGGGPRLRGGERLLFLFSRMLLVAKRRGPEYTYKGHIFCCN LSVSETPRDPLGFKVSDLTIPKHRHLFQAKNQEEKRLWIHCLQRLFFENHPASIPAKAKQ VLLENSLHCAPKSKHIPEPPTSPLDSPRPRDAPGFTPGRRNPAPSPRLSGSRRGRRQSGE YPPLEGDPGSQWPGLLTSFFLPPEPAKEAYVIFPQNDKPQVKHAGSEGELHPSSELQPVS ASGPPEDLEDAGPPTLDPSGTSITEEILELLNQRGLRDSGPATHDIPKFPRDSRVPVESE PLPFQSLPSRESSEEEEEEDLETDEREPSPLHVLEGLEGSSAAEIPCIPSLTDIPSEVPS LPEIPEAPCLPCLSDISGVFEVPCLSPTSTVPDIPSLATTPSFPCGSWLPGPLQEAAQPQ ATRRELLSGSNPGRLSESPSESREGQEDDTEGVSFSAVQREAGTSVQGFPEELEYRSCSE IRSAWQALEQGQLARPGFPEPLLILEDSDLRGGSTSGKTGMPHSERSASRVRELARLYSE RIQQMQRAETRASTNAPRRRPRVLAQPQPSPCPPQEEAEPGALPAFGHVLVCELAFPLNC TQESVPLGPAVLVQAATPLCIQGDDLSGQNLNVSDLSKQGHLSSNSIPPPVPLPGQSNFQ NIQVPSTSLLPKQEPPDVQVPTASTLPDTSQLQSQVPAATPSAGHRNCVEIQVQSTTSLP GQECQADTVALSKQEGHEDSQNPNKAPGAEQRDVSIDQGLAVVGGRPVSPLPVCTSSPDQ QIPATTPLPLSTDFPDMEGPGALPLPTQEGRPDCSIPCNPLPSLSQDVQVPAVIPVSQLQ GLTDTRATVPLSSHKQEDAPECLGPEPSLTDTPAPRLLSSLGQQNTTDGPVSAAAVPLTE QGCSQDLQGLITSPVQTTMELPKPRGLVSRVATSESLDLTPPHSPSLSTRQLLGPSAAAL SRYLAASYISQSLARRQGPGGEGTVASQGHWSSSAPTSRAPSPPPQPQPPAPPARRLSYA TTVSIQVGGGGRLRPAKAQVRLNHPALLAAPHPGAVGPSQGPGGS >ENSMUSP00000112881.1 pep:known chromosome:GRCm38:7:28359605:28372494:-1 gene:ENSMUSG00000037552.17 transcript:ENSMUST00000119990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg2 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 2 [Source:MGI Symbol;Acc:MGI:2141874] MPEGARGLSLPKPSLRLGCGHQGEVCDCAAVSDTPTAQAATTMASPRGSGSSTSLSTVGS EGDPSPACSASRPEPLPEPPIRLHLLPVGIQGSVKPSRLERVAREIVETERAYVRDLRSI VEDYLGPLMDGRALGLNMEQVGTLFANIEDIYEFSSELLEDLEGCSSAGGIAECFVQRSE DFDIYTLYCMNYPSSLALLRELSVSPPATLWLQERQAQLRHSLPLQSFLLKPVQRILKYH LLLQELGKHWAEGPDSGGREMVEEAIVSMTAVAWYINDMKRKQEHAARLQEVQRRLGGWT GPELSAFGELVLEGTFRGGGGGGPRLRGGERLLFLFSRMLLVAKRRGPEYTYKGHIFCCN LSVSETPRDPLGFKVSDLTIPKHRHLFQAKNQEEKRLWIHCLQRLFFENHPASIPAKAKQ VLLENSLHCAPKSKHIPEPPTSPLDSPRPRDAPGFTPGRRNPAPSPRLSGSRRGRRQSEP AKEAYVIFPQNDKPQVKHAGSEGELHPSSELQPVSASGPPEDLEDAGPPTLDPSGTSITE EILELLNQRGLRDSGPATHDIPKFPRDSRVPVESEPLPFQSLPSRESSEEEEEEDLETDE REPSPLHVLEGLEGSSAAEIPCIPSLTDIPSEVPSLPEIPEAPCLPCLSDISGVFEVPCL SPTSTVPDIPSLATTPSFPCGSWLPGPLQEAAQPQATRRELLSGSNPGRLSESPSESREG QEDDTEGVSFSAVQREAGTSVQGFPEELEYRSCSEIRSAWQALEQGQLARPGFPEPLLIL EDSDLRGGSTSGKTGMPHSERSASRVRELARLYSERIQQMQRAETRASTNAPRRRPRVLA QPQPSPCPPQEEAEPGALPAFGHVLVCELAFPLNCTQESVPLGPAVLVQAATPLCIQGDD LSGQNLNVSDLSKQGHLSSNSIPPPVPLPGQSNFQNIQVPSTSLLPKQEPPDVQVPTAST LPDTSQLQSQVPAATPSAGHRNCVEIQVQSTTSLPGQECQADTVALSKQEGHEDSQNPNK APGAEQRDVSIDQGLAVVGGRPVSPLPVCTSSPDQQIPATTPLPLSTDFPDMEGPGALPL PTQEGRPDCSIPCNPLPSLSQDVQVPAVIPVSQLQGLTDTRATVPLSSHKQEDAPECLGP EPSLTDTPAPRLLSSLGQQNTTDGPVSAAAVPLTEQGCSQDLQGLITSPVQTTMELPKPR GLVSRVATSESLDLTPPHSPSLSTRQLLGPSAAALSRYLAASYISQSLARRQGPGGEGTV ASQGHWSSSAPTSRAPSPPPQPQPPAPPARRLSYATTVSIQVGGGGRLRPAKAQVRLNHP ALLAAPHPGAVGPSQGPGGS >ENSMUSP00000115651.1 pep:known chromosome:GRCm38:7:28361092:28372599:-1 gene:ENSMUSG00000037552.17 transcript:ENSMUST00000144700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg2 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 2 [Source:MGI Symbol;Acc:MGI:2141874] MPEGARGLSLPKPSLRLGCGHQGEVCDCAAVSDTPTAQAATTMASPRGSGSSTSLSTVGS EGDPSPACSASRPEPLPEPPIRLHLLPVGIQGSVKPSRLERVAREIVETERAYVRDLRSI VEDYLGPLMDGRALGLNMEQVGTLFANIEDIYEFSSELLEDLEGCSSAGGIAECFVQRSE DFDIYTLYCMNYPSSLALLRELSVSPPATLWLQERQAQLRHSLPLQSFLLKPVQRILKYH LLLQELGKHWAEGPDSGGREMVEEAIVSMTAVAWYINDMKRKQEHAARLQEVQRRLGGWT GPELSAFGELVLEGTFRGGGGGGPRLRGGERLLFLFSRMLLVAKRRGPEYTYKGHIFCCN LSVSETPRDPLGFKVSDLTIPKHRHLFQAKNQEEKRLWIHCLQRLFFENHPASIPAKAKQ VLLENSLHCAPKSKHIPEPPTSPLDSPRPRDAPGFTPGRRNPAPSPRLSGSRRGRRQSEP AKEAYVIFPQNDKPQVKHAGSEGELHPSSELQPVSASGPPEDLEDAGPPTLDPSGTSITE EILELLNQRGLRDSGPATHDIPKFPRDSRVPVESEPLPFQSLPSRESSEEEEEEDLETDE REPSPLHVLEGLEGSSAAEIPCIPSLTDIPSEVPSLPEIPEAPCLPCLSDISGVFEVPCL SPTSTVPDIPSLATTPSFPCGSWLPGPLQEAAQPQATRRELLSGSNPGRLSESPSESREG QEDDTEGVSFSAVQREAGTSVQGFPEELEYRSCSEIRSAWQALEQGQLARPGFPEPLLIL EDSDLRGGSTSGKTGMPHSERSASRVRELARLYSERIQQMQRAETRASTNAPRRRPRVLA QPQPSPCPPQEEAEPGALPAFGHVLVCELAFPLNCTQESVPLGPAVLVQAATPLCIQGDD LSGQNLNVSDLS >ENSMUSP00000118217.1 pep:known chromosome:GRCm38:7:28368410:28371727:-1 gene:ENSMUSG00000037552.17 transcript:ENSMUST00000147362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg2 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 2 [Source:MGI Symbol;Acc:MGI:2141874] MPEGARGLSLPKPSLRLGCGHQGEVCDCAAVSDTPTAAQAATTMASPRGSGSSTSLSTVG SEGDPSPACSASRPEPLPEPPIRLHLLPVGIQGSVKPSRLERVAREIVETERAYVRDLRS IVEDYLGPLMDGRALGLNMEQVGTLFANIEDIYEFSSELLEDLEGCSSAGGIAECFVQRS EDFDIYTLYCMNYPSSLALLRELSV >ENSMUSP00000117062.1 pep:known chromosome:GRCm38:7:28370081:28372080:-1 gene:ENSMUSG00000037552.17 transcript:ENSMUST00000152281.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekhg2 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 2 [Source:MGI Symbol;Acc:MGI:2141874] MPEGARGLSLPKPSLRLGCGHQGEVCDCAAVSDTPTAGLVLSMANIAQPCHHGGDC >ENSMUSP00000122050.1 pep:known chromosome:GRCm38:7:28370416:28371508:-1 gene:ENSMUSG00000037552.17 transcript:ENSMUST00000147887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg2 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 2 [Source:MGI Symbol;Acc:MGI:2141874] MPEGARGLSLPKPSLRLGCGHQGEVCDCAAVSDTPTAAQAATTMASPRGSGSSTSLSTVG SEG >ENSMUSP00000146906.1 pep:known chromosome:GRCm38:16:59314488:59318212:-1 gene:ENSMUSG00000095928.2 transcript:ENSMUST00000207927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr204 description:olfactory receptor 204 [Source:MGI Symbol;Acc:MGI:3030038] MEKNSTLMTEFVLRGITDRPELQVPLFLVFFFIYVTTMVGNLGLIFLIWKDPHLHTPMYL FLGNLAFADACTSSSVTPKMLMKFLNKNDIISRGECFAQFYFFCFSATTEIFLLVAMAYD RYVAICNPLLYLVVMSKRLCTVLISLSYIIGVLNPIVHVGLLFRLTFCKSNVIDHFYCEI MPLYAISCTDPSLNGLVAFIFASSIQISTSVTIVVSYAHVLFAVLNMKSERGRRKAFFTC SAHLLSVSLFYGTLLFMYVSPGSGSGKQKDKMYSLFYTVVIPLLNPFIYSLRNKEVLGAL KKLIK >ENSMUSP00000072332.1 pep:known chromosome:GRCm38:16:59314488:59315405:-1 gene:ENSMUSG00000095928.2 transcript:ENSMUST00000072517.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr204 description:olfactory receptor 204 [Source:MGI Symbol;Acc:MGI:3030038] MEKNSTLMTEFVLRGITDRPELQVPLFLVFFFIYVTTMVGNLGLIFLIWKDPHLHTPMYL FLGNLAFADACTSSSVTPKMLMKFLNKNDIISRGECFAQFYFFCFSATTEIFLLVAMAYD RYVAICNPLLYLVVMSKRLCTVLISLSYIIGVLNPIVHVGLLFRLTFCKSNVIDHFYCEI MPLYAISCTDPSLNGLVAFIFASSIQISTSVTIVVSYAHVLFAVLNMKSERGRRKAFFTC SAHLLSVSLFYGTLLFMYVSPGSGSGKQKDKMYSLFYTVVIPLLNPFIYSLRNKEVLGAL KKLIK >ENSMUSP00000139977.1 pep:known chromosome:GRCm38:13:22591980:22592930:-1 gene:ENSMUSG00000100296.1 transcript:ENSMUST00000185475.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r205 description:vomeronasal 1 receptor 205 [Source:MGI Symbol;Acc:MGI:2159667] MQVFLPRKEEMVLNYINKIIFPFMTMFGTLGNMSVSVNYMFSCWGSPEKKPIYLILIHLA FTNILILLAKGLPKTILVFGLRNFLDDIGCKIIVYLERVARGLSICTSSLLTVVQAIIIS PRASGWRRLKPKSAWHILPFFSFFWILNGLISTNLIHFIRSTSLNISQHINDENYCYFTL QNQDIKWIFLILMVLRDTVFQGAMGGASGYMVFLLHKHHQHVLYLLNSNLLYRTPPELRA AQSVLLLMLCFVFFYWTDCAFSIIINISSRDTTLMINTREFLTLGYATFSPFVLIHRDGL LNKFWHAQWEKLRKYL >ENSMUSP00000099727.2 pep:known chromosome:GRCm38:4:118665519:118666466:1 gene:ENSMUSG00000043698.7 transcript:ENSMUST00000102666.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr62 description:olfactory receptor 62 [Source:MGI Symbol;Acc:MGI:1333887] MQDFLWRNRSSLTEFVLLGFSSNTQINGILFGIFLLLYLTTLLGNGLIITLIHMDSRLHT PMYFFLSVLSILDMGYVTTTVPQMLVHLVCKKKTISYVGCVAQMYIFLMLGITESWLFAI MAYDRYVAICHPLRYKVIMSPLLRGSLVAFCGFWGITCALIYTVSAMILPYCGPNEINHF FCEVPAVLKLACADTSLNDQVDFILGFILLLVPLSLIIVVYINIFAAILRIRSTQGRIKA FSTCVSHIIVVTMFSIPCMVMYMRPGSESSPEEDKKLALFYNVISAFLNPIIYSLRNKDV KRAFLKVVGSRKGSE >ENSMUSP00000016143.7 pep:known chromosome:GRCm38:5:146384985:146473615:1 gene:ENSMUSG00000029636.10 transcript:ENSMUST00000016143.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wasf3 description:WAS protein family, member 3 [Source:MGI Symbol;Acc:MGI:2658986] MPLVKRNIEPRHLCRGALPEGVTSELECVTNSTLAAIIRQLSSLSKHAEDIFGELFNEAN NFYIRANSLQDRIDRLAVKVTQLDSTVEEVSLQDINMKKAFKSSTIQDQQVVSKNSIPNP VADIYNQSDKPPPLSILTPYRDDKKDGLKFYTDPSYFFDLWKEKMLQDTEDKRKEKRRQK EQKRVDGTTREVKKVRKARNRRQEWNMMAYDKELRPDNRLSQSVHHGASSEGSLSPDTRS HTSDVTDYSYPATPNHALQAQPATPSYTAGDAPLHGTTNQGAEHEYRPSSASARHMALNR PQQPPPPPPPQAPEGSQASTSVAPADYGMLPAQIIEYYSPSGPPPPPPPPMIPSAQTAFV SPLQMPTQPPFPASAVSTYPTPPHQPSTGLLATAPPPPGPPPPPPGPPGPSSLSSSPMHG PPVAEAKRPEPAQPPISDARSDLLAAIRMGIQLKKVQEQREQEAKREPVGNDVATILSRR IAVEYSDSDDDSEFDENDWSD >ENSMUSP00000140851.1 pep:known chromosome:GRCm38:Y:63228427:63254644:1 gene:ENSMUSG00000100856.1 transcript:ENSMUST00000187768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21627 description:predicted gene, 21627 [Source:MGI Symbol;Acc:MGI:5434982] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSVNSC QKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000139772.1 pep:known chromosome:GRCm38:13:22620097:22621035:-1 gene:ENSMUSG00000101578.1 transcript:ENSMUST00000187140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r206 description:vomeronasal 1 receptor 206 [Source:MGI Symbol;Acc:MGI:2159665] MVLKYIKEIIFIFITVIGTLGNMSVSVNYMFSWWGNPEKKSVQLILIHLAFTNIIILLTK GLPKTVAAFGLRNFLDAIGCKIIVYLGRVARGLSICTSSLLTVVQAIIISPRASRWSRLR PRSAWHILLFFLYFWILNALMSMNLIHSITSVGLNMSQLKNSNNYCYLMQESQEIKWIFL PLMVLRDALFQGAMGGASGYMVFLLHKHHQHVLFLQSSKLLYRTPPELRAAQSIFLLMLC FVFFYWTDCTFSLILSLSSRDNTLIANTQEFLALGYATFSPLVLIHRDGLLVECWHAQWE KLRKCHSHLNVQ >ENSMUSP00000137662.1 pep:known chromosome:GRCm38:8:121084386:121088144:1 gene:ENSMUSG00000042812.5 transcript:ENSMUST00000181504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxf1 description:forkhead box F1 [Source:MGI Symbol;Acc:MGI:1347470] MSAPDKQQPPHGGGTGGGGGAGGQAMDPAAAGPTKAKKTNAGVRRPEKPPYSYIALIVMA IQSSPSKRLTLSEIYQFLQARFPFFRGAYQGWKNSVRHNLSLNECFIKLPKGLGRPGKGH YWTIDPASEFMFEEGSFRRRPRGFRRKCQALKPVYSMVNGLGFNHLPDTYGFQGSGGLSC APNSLALEGGLGMMNGHLAGNVDGMALPSHSVPHLPSNGGHSYMGGCGGSAAGEYPHHDS SVPASPLLPAGAGGVMEPHAVYSSSAAAWPPAASAALNSGASYIKQQPLSPCNPAANPLS GSISTHSLEQPYLHQNSHNGPAELQGIPRYHSQSPSMCDRKEFVFSFNAMASSSMHTTGG GSYYHQQVTYQDIKPCVM >ENSMUSP00000006565.6 pep:known chromosome:GRCm38:4:118432901:118437352:-1 gene:ENSMUSG00000006398.15 transcript:ENSMUST00000006565.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc20 description:cell division cycle 20 [Source:MGI Symbol;Acc:MGI:1859866] MAQFVFESDLHSLLQLDAPIPNAPVARWQRKAKEATGPAPSPMRAANRSHSAGRTPGRTP GKSSSKVQTTPSKPGGDRFIPQRSASQMEVASFLLSKENQPEDRGTPTKKEHQKAWSLNL NGFDVEEAKILRLSGKPQNAPEGYQNRLKVLYSQKATPGSSRKTCRYIPSLPDRILDAPE IRNDYYLNLVDWSSGNVLAVALDNSVYLWNAGSGDILQLLQMEQPGDYISSVAWIKEGNY LAVGTSNAEVQLWDVQQQKRLRNMTSHSARVSSLSWNSYILSSGSRSGHIHHHDVRVAEH HVATLSGHSQEVCGLRWAPDGRHLASGGNDNIVNVWPSGPGESGWAPLQTFTQHQGAVKA VAWCPWQSNILATGGGTSDRHIRIWNVCSGACLSAVDVHSQVCSILWSPHYKELISGHGF AQNQLVIWKYPTMAKVAELKGHTARVLGLTMSPDGATVASAAADETLRLWRCFEMDPALR REREKASVAKSSLIHQGIR >ENSMUSP00000139345.1 pep:known chromosome:GRCm38:4:118433105:118436578:-1 gene:ENSMUSG00000006398.15 transcript:ENSMUST00000183942.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdc20 description:cell division cycle 20 [Source:MGI Symbol;Acc:MGI:1859866] XNGFDVEEAKILRLSGKPQNAPEGYQNRLKVLYSQKATPGSSRKTCRYIPSLPDRILDAP EIRNDYYLNLVDWSSGNVLAVALDNSVYLWNAGSGDILQLLQMEQPGDYISSVAWIKEGN YLAVGTSNAEVQLWDVQQQKRLRNMTSHSARVSSLSWNSYILSRLWHGVPGSPISWQQEE EPVTGTFAFGTSAQGPV >ENSMUSP00000048929.5 pep:known chromosome:GRCm38:1:58210397:58268597:1 gene:ENSMUSG00000038242.12 transcript:ENSMUST00000040442.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aox4 description:aldehyde oxidase 4 [Source:MGI Symbol;Acc:MGI:1919122] MPSVSESDELIFFVNGKKVIEKNPDPEKNLLFYTRKVLNLTGTKYSCGTGGCGACTVMVS RYNPKTRKIHHYPATACLVPICWLHGAAITTVEGVGSIKKRVHPVQERLAKCHGTQCGFC SPGMVMSIYTLLRNHPEPTPDQITEALGGNLCRCTGYRPIVESGKTFSQKSTVCQMKGSG KCCMDPDEKCLESREKKMCTKLYNEDEFQPFDPSQEPIFPPELIRMAEDPNKRRLTFQGK RTTWIIPVTLNDLLELKASYPEAPLVMGNTTVGPGIKFNDEFYPVFISPLGVPELNLMDT TNNGVTIGAGYSLAQLKDTLDFLVSEQPKEKTKTFHALQKHLRTLAGPQIRNMATLGGHT ASRPNFSDLNPILAAGNATINVVSREGKDRQLPLNGPFLEKLPEADLKPEEVILSIFIPY TAQWQFVSGLRLAQRQENAFAIVNAGMSVEFEEGTNTIKDLKMFFGSVAPTVVSASQTCK QLIGRQWDDQMLSDACQLVLQEIRIPPDAEGGMVEYRRTLIISLLFKFYLKVQRWLNEMD PQKFPDIPGKFVSALDDFPIETPQGIQMFQCVDPKQPQKDPVGHPIMHQSGIKHATGEAI FIDDMPPIDQELCLAVVTSTRAHAKITSLDVSEALACPGVVDVITAEDVPGENDHNGEIL YAQSEVICVGQIICTVAADTYIHAKEAAKRVKIAYDDIEPTIITIEEALEHNSFLSPEKK IEQGNVDYAFKHVDQIVEGEIHVEGQEHFYMETQTILAIPQTEDKEMVLHLGTQFPTHVQ EFVSAALNVPRSRIACHMKRAGGAFGGKVTKPALLGAVCAVAANKTGRPIRFILERSDDM LITAGRHPLLGKYKIGFMNNGEIRAADVEYYTNGGCTPDESELVIEFVVLKSENTYHIPN FRCRGRACKTNLPSNTAFRGFGFPQATVVVEAYIAAVASKCNLLPEEVREINMYKKTSKT AYKQTFNPEPLRRCWKECLEKSSFFARKKAAEEFNGNNYWKKRGLAVVPMKFSVAVPIAF YNQAAALVHIFLDGSVLLTHGGCELGQGLHTKMIQVASRELNVPKSYVHFSETSTTTVPN SAFTAGSMGADINGKAVQNACQILMDRLRPIIRKNPKGKWEEWIKMAFEESISLSATGYF KGYQTNMDWKKEEGDPYPYYVYGAACSEVEVDCLTGAHKLLRTDIFVDAAFSINPALDIG QVEGAFIQGMGFYTTEELKYSPKGVLYSRGPEDYKIPTITEIPEEFYVTLVHSRNPIAIY SSKGLGEAGMFLGSSVLFAIYDAVTTARKERGLSDIFPLNSPATPEVIRMACTDQFTEMI PRDDPSTFTPWSIHVS >ENSMUSP00000115325.1 pep:known chromosome:GRCm38:14:65201026:65262463:-1 gene:ENSMUSG00000007989.6 transcript:ENSMUST00000131309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd3 description:frizzled class receptor 3 [Source:MGI Symbol;Acc:MGI:108476] MAVSWIVFDLWLLTVFLGQIGGHSLFSCEPITLRMCQDLPYNTTFMPNLLNHYDQQTAAL AMEPFHPMVNLDCSRDFRPFLCALYAPICMEYGRVTLPCRRLCQRAYSECSKLMEMFGVP WPEDMECSRFPDCDEPYPRLVDLNLVGDPTEGAPVAVQRDYGFWCPRELKIDPDLGYSFL HVRDCSPPCPNMYFRREELSFARYFIGLISIICLSATLFTFLTFLIDVTRFRYPERPIIF YAVCYMMVSLIFFIGFLLEDRVACNASSPAQYKASTVTQGSHNKACTMLFMVLYFFTMAG SVWWVILTITWFLAAVPKWGSEAIEKKALLFHASAWGIPGTLTIILLAMNKIEGDNISGV CFVGLYDVDALRYFVLAPLCLYVVVGVSLLLAGIISLNRVRIEIPLEKENQDKLVKFMIR IGVFSILYLVPLLVVIGCYFYEQAYRGIWETTWIQERCREYHIPCPYQVTQMSRPDLILF LMKYLMALIVGIPSIFWVGSKKTCFEWASFFHGRRKKEIVNESRQVLQEPDFAQSLLRDP NTPIIRKSRGTSTQGTSTHASSTQLAMVDDQRSKAGSVHSKVSSYHGSLHRSRDGRYTPC SYRGMEERLPHGSMSRLTDHSRHSSSHRLNEQSRHSSIRDLSNNPMTHITHGTSMNRVIE EDGTSA >ENSMUSP00000050076.4 pep:known chromosome:GRCm38:2:180171485:180176286:1 gene:ENSMUSG00000039041.15 transcript:ENSMUST00000061437.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrm1 description:adhesion regulating molecule 1 [Source:MGI Symbol;Acc:MGI:1929289] MTTSGALFPSLVPGSRGSSTKYLVEFRAGKMSLKGTTVTPDKRKGLVYIQQTDDSLIHFC WKDRTSGTVEDDLIIFPDDCEFKRVPQCPSGRVYVLKFKAGSKRLFFWMQEPKTDQDEEH CRKVNECLNNPPMPGSLGASGSSGHELSALGGEGGLQSLLGNMSHSQLMQLIGPAGLGGL GGLGALTGPGLASLLGSSGPPASSSSSSSRSQSAAVTPSSSTSSARATPAPSAPAAASAT SPSPAPSSGNGTSTAASPTQPIQLSDLQSILATMNVPAGPGGSQQVDLASVLTPEIMAPI LANADVQERLLPYLPSGESLPQTADEIQNTLTSPQFQQALGMFSAALASGQLGPLMCQFG LPAEAVEAANKGDVEAFAKAMQNNAKSDPKEGDTKDKKDEEEDMSLD >ENSMUSP00000038825.6 pep:known chromosome:GRCm38:8:120166397:120177466:-1 gene:ENSMUSG00000042269.15 transcript:ENSMUST00000048786.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam92b description:family with sequence similarity 92, member B [Source:MGI Symbol;Acc:MGI:3588213] MNVVLSRDSQVRAMENTVTNAERYFGQFCSLLASYTRKTARLRDKADQLVKQLIDFANTE NPELRATIRDFAEDLAKVQDYRQAEVERLEAKVISPLKLYGAQIKQTRADIKKCKRVQNN EIKQLEKLEKLRQKSPSDRQMISQAETSAQRASVDTNRSTLHLVETVDAFQEQKLKDLRR IFSDFVTIEMVFHAKAVEVYSSAFQTLENYDLERDLQDFRAKTRGIYGHGEARPLTDTNP APSVPWPLASQSAQSTMARQGKEAGGEEDSETDSVEEIPLEDLKGQQQGPRD >ENSMUSP00000134033.1 pep:known chromosome:GRCm38:8:120171740:120177427:-1 gene:ENSMUSG00000042269.15 transcript:ENSMUST00000135567.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam92b description:family with sequence similarity 92, member B [Source:MGI Symbol;Acc:MGI:3588213] XVLAAFSLTRGPLGPCPYPPQDPGSRCLSLSTMNVVLSRDSQVRAMENTVTNAERYFGQF CSLLASYTRKTARLRDKADQLVKQLIDFANTENPELRATIRDFAEDLAKVQDYRQAEADI KKCKRVQNNEIKQLEKLEKLRQKSPSDRQMISQ >ENSMUSP00000140780.1 pep:known chromosome:GRCm38:1:58646903:58695989:-1 gene:ENSMUSG00000047528.13 transcript:ENSMUST00000188772.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2cr12 description:amyotrophic lateral sclerosis 2 (juvenile) chromosome region, candidate 12 (human) [Source:MGI Symbol;Acc:MGI:1918359] MDPNPFIYCTCCDCCKLGQPKLIKTPYPLPKHPTGKFKPVLPPPISKEHNSLLSQPGKST VSPRDKVQSGNTESSKAPSEVIQVSPGYTLIRNREQISVTLGDEMFNRKKHLESDVLSKV KFSRTDIISDLQEQIAELMAIIEQMNRDQQSALKLLSKDLDLRCSNMKQKFETESRELKE THREELERLENNYKEALKAEKALAEEKLDKMSKEYKYLKSMFHVFQDSIYEEMEDKWLRR KAEWEKDEKMEREKILLQQKCRIIKKFELQSEEKKKKMNESISAVSDNFAREKEELLRQH DEDILQIQELRKSKEDKNAKSAAPRRRQ >ENSMUSP00000139420.1 pep:known chromosome:GRCm38:1:58658120:58692442:-1 gene:ENSMUSG00000047528.13 transcript:ENSMUST00000191565.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2cr12 description:amyotrophic lateral sclerosis 2 (juvenile) chromosome region, candidate 12 (human) [Source:MGI Symbol;Acc:MGI:1918359] MDPNPFIYCTCCDCCKLGQPKLIKTPYPLPKHPTGKFKPVLPPPISKEHNSLLSQPGKST VSPRDKVQSGNTESSKAPSEVIQVSPGYTLIRNREQISVTLGDEMFNRKKHLESDVLSKV KFSRTDIISDLQEQIAELMAIIEQMNRDQQSALKLLSKDLDLRCSNMKQKFETESRELKE THREELERLENNYKEALKAEKALAEEKLDKMSKEYKYLKSMFHVFQDSIYEEMEDKWLRR KAEWEKDEKMEREKILLQQKCRIIKKFELQSEEKKKKMNESISAVSDNFAREKEELLRQH DEDILQIQELRKSKEILEAELRAQATVLETLNTNLFQCQKELERQKTIAANLEKLFQTKL AEAEEKHKYNIKTPTEENNCLRQMLTTTTYEETSEVSEKSSSASPNMYESDVPEHNSNKK QAS >ENSMUSP00000062497.7 pep:known chromosome:GRCm38:1:58658120:58695953:-1 gene:ENSMUSG00000047528.13 transcript:ENSMUST00000055313.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2cr12 description:amyotrophic lateral sclerosis 2 (juvenile) chromosome region, candidate 12 (human) [Source:MGI Symbol;Acc:MGI:1918359] MDPNPFIYCTCCDCCKLGQPKLIKTPYPLPKHPTGKFKPVLPPPISKEHNSLLSQPGKST VSPRDKVQSGNTESSKAPSEVIQVSPGYTLIRNREQISVTLGDEMFNRKKHLESDVLSKV KFSRTDIISDLQEQIAELMAIIEQMNRDQQSALKLLSKDLDLRCSNMKQKFETESRELKE THREELERLENNYKEALKAEKALAEEKLDKMSKEYKYLKSMFHVFQDSIYEEMEDKWLRR KAEWEKDEKMEREKILLQQKCRIIKKFELQSEEKKKKMNESISAVSDNFAREKEELLRQH DEDILQIQELRKSKEILEAELRAQATVLETLNTNLFQCQKELERQKTIAANLEKLFQTKL AEAEEKHKYNIKTPTEENNCLRC >ENSMUSP00000140613.1 pep:known chromosome:GRCm38:1:58658363:58692442:-1 gene:ENSMUSG00000047528.13 transcript:ENSMUST00000191252.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Als2cr12 description:amyotrophic lateral sclerosis 2 (juvenile) chromosome region, candidate 12 (human) [Source:MGI Symbol;Acc:MGI:1918359] MDPNPFIYCTCCDCCKLGQPKLIKTPYPLPKHPTGKFKPVLPPPISKEHNSLLSQPGKST VSPRDKVQSGNTESSKAPS >ENSMUSP00000023140.5 pep:known chromosome:GRCm38:16:11313812:11320074:1 gene:ENSMUSG00000022496.5 transcript:ENSMUST00000023140.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf17 description:tumor necrosis factor receptor superfamily, member 17 [Source:MGI Symbol;Acc:MGI:1343050] MAQQCFHSEYFDSLLHACKPCHLRCSNPPATCQPYCDPSVTSSVKGTYTVLWIFLGLTLV LSLALFTISFLLRKMNPEALKDEPQSPGQLDGSAQLDKADTELTRIRAGDDRIFPRSLEY TVEECTCEDCVKSKPKGDSDHFFPLPAMEEGATILVTTKTGDYGKSSVPTALQSVMGMEK PTHTR >ENSMUSP00000047393.5 pep:known chromosome:GRCm38:6:34476207:34505613:1 gene:ENSMUSG00000038871.5 transcript:ENSMUST00000045372.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpgm description:2,3-bisphosphoglycerate mutase [Source:MGI Symbol;Acc:MGI:1098242] MSKHKLIILRHGEGQWNKENRFCSWVDQKLNNDGLEEARNCGRQLKALNFEFDLVFTSIL NRSIHTAWLILEELGQEWVPVESSWRLNERHYGALIGLNREKMALNHGEEQVRLWRRSYN VTPPPIEESHPYFHEIYSDRRYKVCDVPLDQLPRSESLKDVLERLLPYWKERIAPEILKG KSILISAHGNSSRALLKHLEGISDEDIINITLPTGVPILLELDENLRAVGPHQFLGNQEA IQAAIKKVDDQGKVKQGKQ >ENSMUSP00000115825.1 pep:known chromosome:GRCm38:6:34476452:34487687:1 gene:ENSMUSG00000038871.5 transcript:ENSMUST00000138668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpgm description:2,3-bisphosphoglycerate mutase [Source:MGI Symbol;Acc:MGI:1098242] MSKHKLIILRHGEGQWNKENRFCSWVDQKLNNDGLEEARNCGRQLKALNFEFDLVFTSIL NRSIHTAWLILEELGQEWVPVESSWRLNERHYGALIGLNREKMALNHGEEQV >ENSMUSP00000120794.1 pep:known chromosome:GRCm38:6:34476479:34487747:1 gene:ENSMUSG00000038871.5 transcript:ENSMUST00000139067.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpgm description:2,3-bisphosphoglycerate mutase [Source:MGI Symbol;Acc:MGI:1098242] MSKHKLIILRHGEGQWNKENRFCSWVDQKLNNDGLEEARNCGRQLKALNFEFDLVFTSIL NRSIHTAWLILEELGQEWVPVESSWRLNERHYGALIGLNREKMALNHGEEQVRLWRRSYN VTPPPIEESHPY >ENSMUSP00000121818.1 pep:known chromosome:GRCm38:6:34477142:34487848:1 gene:ENSMUSG00000038871.5 transcript:ENSMUST00000149131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpgm description:2,3-bisphosphoglycerate mutase [Source:MGI Symbol;Acc:MGI:1098242] MSKHKLIILRHGEGQWNKENRFCSWVDQKLNNDGLEEARNCGRQLKALNFEFDLVFTSIL NRSIHTAWLILEELGQEWVPVESSWRLNERHYGALIGLNREKMALNHGEEQVRLWRRSYN VTPPPIEESHPYFHEIYSDRRYKVCDVPLDQLPRSESLKDVLERLL >ENSMUSP00000045511.6 pep:known chromosome:GRCm38:3:82837228:82876483:-1 gene:ENSMUSG00000033882.15 transcript:ENSMUST00000048647.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm46 description:RNA binding motif protein 46 [Source:MGI Symbol;Acc:MGI:3645057] MNEENTDGTNGCSKVRTGTQNEAALLALMEKTGYNMVQENGQRKFGGPPPGWEGPPPPRG CEVFVGKIPRDMYEDELVPVFERAGKIYEFRLMMEFSGENRGYAFVMYTTKEEAQLAIRI LNNYEIRPGKFIGVCVSLDNCRLFIGAIPKEKKKEEILDEMKKVTEGVVDVIVYPSATDK TKNRGFAFVEYESHRAAAMARRKLIPGTFQLWGHTIQVDWADPEKEVDEETMQRVKVLYV RNLMISTTEETIKAEFSKFKPGAVERVKKLRDYAFVHFFHREDAVAAMSVMNGKCIDGAS IEVTLAKPVNKENTWRQHLNGQISPNSENLLVYANKEESHSKSLGKPPTLPTRLNGQHSP SPPEIERCTYPFFPGTKLTPISMYSLKSNHFNSAVMHLDYYCNKNNWAPPEYYLYSTTSQ DGKVLLVYKIVIPAIANGSQSYFMPDKLCTTLEDAKELAAQFTLLHLDFNFRRSSINSLS PVSTTLSSGTPSMLPYTSRPSSYPSYPLSPTISLANGSHVGQRLYISNQASFF >ENSMUSP00000138728.1 pep:known chromosome:GRCm38:3:82837815:82866647:-1 gene:ENSMUSG00000033882.15 transcript:ENSMUST00000182637.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm46 description:RNA binding motif protein 46 [Source:MGI Symbol;Acc:MGI:3645057] MNEENTDGTNGCSKVRTGTQNEAALLALMEKTGYNMVQENGQRKFGGPPPGWEGPPPPRG CEVFVGKIPRDMYEDELVPVFERAGKIYEFRLMMEFSGENRGYAFVMYTTKEEAQLAIRI LNNYEIRPGKFIGVCVSLDNCRLFIGAIPKEKKKEEILDEMKKVTEGVVDVIVYPSATDK TKNRGFAFVEYESHRAAAMARRKLIPGTFQLWGHTIQVDWADPEKEVDEETMQRVKVLYV RNLMISTTEETIKAEFSKFKPGAVERVKKLRDYAFVHFFHREDAVAAMSVMNGKCIDGAS IEVTLAKPVNKENTWRQHLNGQISPNSENLLVYANKEESHSKSLGKPPTLPTRLNGQHSP SPPEIERCTYPFFPGTKLTPISMYSLKSNHFNSAVMHLDYYCNKNNWAPPEYYLYSTTSQ DGKVLLVYKIVIPAIANGSQSYFMPDKLCTTLEDAKELAAQFTLLHLGPF >ENSMUSP00000138429.1 pep:known chromosome:GRCm38:3:82837818:82866647:-1 gene:ENSMUSG00000033882.15 transcript:ENSMUST00000182818.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm46 description:RNA binding motif protein 46 [Source:MGI Symbol;Acc:MGI:3645057] MNEENTDGTNGCSKVRTGTQNEAALLALMEKTGYNMVQENGQRKFGGPPPGWEGPPPPRG CEVFVGKIPRDMYEDELVPVFERAGKIYEFRLMMEFSGENRGYAFVMYTTKEEAQLAIRI LNNYEIRPGKFIGVCVSLDNCRLFIGAIPKEKKKEEILDEMKKVTEGVVDVIVYPSATDK TKNRGFAFVEYESHRAAAMARRKLIPGTFQLWGHTIQVDWADPEKEVDEETMQRVKVLYV RNLMISTTEETIKAEFSKFKPGAVERVKKLRDYAFVHFFHREDAVAAMSVMNGKCIDGAS IEVTLAKPVNKENTWRQHLNGQISPNSENLLVYANKEESHSKSLGKPPTLPTRLNGQHSP SPPEIERCTYPFFPGTKLTPISMYSLKSNHFNSAVMHLDYYCNKNNWAPPEYYLYSTTSQ DGKVLLVYKIVIPAIANGSQSYFMPDKLCTTLEDAKELAAQFTLLHLDREHSLFSLDLCR RIWRK >ENSMUSP00000102213.3 pep:known chromosome:GRCm38:11:114668524:114672331:1 gene:ENSMUSG00000057322.12 transcript:ENSMUST00000106602.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl38 description:ribosomal protein L38 [Source:MGI Symbol;Acc:MGI:1914921] MPRKIEEIKDFLLTARRKDAKSVKIKKNKDNVKFKVRCSRYLYTLVITDKEKAEKLKQSL PPGLAVKDLK >ENSMUSP00000102211.1 pep:known chromosome:GRCm38:11:114668548:114672331:1 gene:ENSMUSG00000057322.12 transcript:ENSMUST00000077915.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl38 description:ribosomal protein L38 [Source:MGI Symbol;Acc:MGI:1914921] MPRKIEEIKDFLLTARRKDAKSVKIKKNKDNVKFKVRCSRYLYTLVITDKEKAEKLKQSL PPGLAVKDLK >ENSMUSP00000102209.1 pep:known chromosome:GRCm38:11:114668609:114672331:1 gene:ENSMUSG00000057322.12 transcript:ENSMUST00000106599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl38 description:ribosomal protein L38 [Source:MGI Symbol;Acc:MGI:1914921] MPRKIEEIKDFLLTARRKDAKSVKIKKNKDNVKFKVRCSRYLYTLVITDKEKAEKLKQSL PPGLAVKDLK >ENSMUSP00000080741.4 pep:known chromosome:GRCm38:11:114668626:114672331:1 gene:ENSMUSG00000057322.12 transcript:ENSMUST00000082092.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl38 description:ribosomal protein L38 [Source:MGI Symbol;Acc:MGI:1914921] MPRKIEEIKDFLLTARRKDAKSVKIKKNKDNVKFKVRCSRYLYTLVITDKEKAEKLKQSL PPGLAVKDLK >ENSMUSP00000053206.6 pep:known chromosome:GRCm38:5:5579278:5664239:-1 gene:ENSMUSG00000040473.15 transcript:ENSMUST00000054865.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap69 description:cilia and flagella associated protein 69 [Source:MGI Symbol;Acc:MGI:2443778] MSTAEASATTADAAEAGGRTKTGSPRTIPVFGVAIPDGEMQGIFKPMDLNRIIKVLEEED KDVSEEKQLNYIKKLIHCYQNGFPLRDLAQIFKILSLCAEKIEKQPCFVEPASDIIKLCG LPFLKKKVSDEITYTEDTANSFALLGELMKIPSSALRIQICKCIVDFYHAEPLKKHIPGY QQVSSSYKIKMVEVGGLAKAMVQSALLLENQLVEKLWVLKVLQHLSTSGVNCTLMVKAQA ASGICAHLNDPDPSGQLLFRSSEVLWNLLEKSSKEEIIQQLSNLECLLALKEVFKNLFVR GHSHYERQLRNDILVITTIIAQNPGAPMIECGFTRDLILFATFNEVKSQNPLVKSLKLFN CYEDFELKKLLFNIIVILCKDLATVQLLIDGKVILALFTYVKKPERLKIMEWSAAQYEEL QLHAIATLSSVAPLLIEEYMSCHGNSRILAFLEWCGHEDSYFIHGNSFHGTGGRGNKFAQ MRYTLRLLRAMVYLEDETVNKDLCERGVIHQLIEIFKTMMSRPAEKEEAIALEIQSDTLL ILSGLCENYIQRKEMFGTEGVDIVLHVMKTDPKNLQRGLGYNVLLFSTLDSIWCCILGCY DSEDYFLEKEGIFLLLDILALNQKKFCNLILGIMVEFCDNPKTSAHVNAWRGKKDLTAAS LLIKLWRKEEKELGVKRDRNGKIVDTKRPLFTSFQEEQKTMPLPANCPSIAVMDVAENIR AKIYAVLDKLDFENLPGLSAEDFVTLCIIHRYFDFKIGEIWNEVSEEIKLEKLRLVTTDE KSLNSIIAATENIGKMVASLQSEMIENQALQDVQNEQRVYAKIQATHKQREQANKSWENF LARTSNAKTLKKAKKLQEKAIESSRYTERPQNATFHQTVIKGLNTTVPSGRVVTVQSTPT RLLGGPLADTDIALKKLPIRGGALQRVKVKPPLNDPKKSIPT >ENSMUSP00000143393.1 pep:known chromosome:GRCm38:5:5579282:5664208:-1 gene:ENSMUSG00000040473.15 transcript:ENSMUST00000196165.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap69 description:cilia and flagella associated protein 69 [Source:MGI Symbol;Acc:MGI:2443778] MSTAEASATTADAAEAGGRTKTGSPRTIPVFGVAIPDGEMQGIFKPMDLNRIIKVLEEED KDVSEEKQLNYIKKLIHCYQNGFPLRDLAQIFKILSLCAEKIEKQPCFVEPASDIIKLCG LPFLKKKVSDEITYTEDTANSFALLGELMKIPSSALRIQICKCIVDFYHAEPLKKHIPGY QQVSSSYKIKMVEVGGLAKAMVQSALLLENQLVEKLWVLKVLQHLSTSGVNCTLMVKAQA ASGICAHLNDPDPSGQLLFRSSEVLWNLLEKSSKEEIIQQLSNLECLLALKEVFKNLFVR GHSHYERQLRNDILVITTIIAQNPGAPMIECGFTRDLILFATFNEVKSQNPLVKSLKLFN CYEDFELKKLLFNIIVILCKDLATVQLLIDGKVILALFTYVKKPERLKIMEWSAAQYEEL QLHAIATLSSVAPLLIEEYMSCHGNSRILAFLEWCGHEDSYFIHGNSFHGTGGRGNKFAQ MRYTLRLLRAMVYLEDETVNKDLCERGVIHQLIDTKRPLFTSFQEEQKTMPLPANCPSIA VMDVAENIRAKIYAVLDKLDFENLPGLSAEDFVTLCIIHRYFDFKIGEIWNEVSEEIKLE KLRLVTTDEKSLNSIIAATENIGKMVASLQSEMIENQALQDVQNEQRVYAKIQATHKQRE QANKSWENFLARTSNAKTLKKAKKLQEKAIESSRYTERPQNATFHQTVIKGLNTTVPSGR VVTVQSTPTRLLGGPLADTDIALKKLPIRGGALQRVKVKPPLNDPKKSIPT >ENSMUSP00000120771.1 pep:known chromosome:GRCm38:5:5581038:5582501:-1 gene:ENSMUSG00000040473.15 transcript:ENSMUST00000155048.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap69 description:cilia and flagella associated protein 69 [Source:MGI Symbol;Acc:MGI:2443778] QANKSWENFLARTSNAKTLKVPSGRVVTVQSTPTRLLGGPLADTDIALKKLPIRGGALQR VKVKPPLNDPKKSIPT >ENSMUSP00000119859.1 pep:known chromosome:GRCm38:5:5581128:5587211:-1 gene:ENSMUSG00000040473.15 transcript:ENSMUST00000124734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap69 description:cilia and flagella associated protein 69 [Source:MGI Symbol;Acc:MGI:2443778] XPSIAVMDVAENIRAKIYAVLDKLDFENLPGLSAEDFVTLCIIHRYFDFKIQATHKQREQ ANKSWENFLARTSNAKTLKKAKKLQEKAIESSRYTERPQNATFHQTVIKGLNTTVPSGRV VTVQSTPTRLLGGPLADTDIALKKLPIRGGALQRVKVKPPLNDPKKSIPT >ENSMUSP00000119944.1 pep:known chromosome:GRCm38:5:5581171:5617289:-1 gene:ENSMUSG00000040473.15 transcript:ENSMUST00000148347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap69 description:cilia and flagella associated protein 69 [Source:MGI Symbol;Acc:MGI:2443778] ELQLHAIATLSSVAPLLIEEYMSCHGNSRILAFLEWCGHEDSYFIHGNSFHGTGGRGNKF AQMRYTLRLLRAMVYLEDETVNKDLCERGVIHQLIEIFKTMMSRPAEKEEAIALEIQSDT LLILSGLCENYIQRKEMFGTEGVDIVLHVMKTDPKNLQRGLGYNVLLFSTLDSIWCCILG CYDSEDYFLEKEGIFLLLDILALNQKKFCNLILGIMVEFCDNPKTSAHVNAWRGKKDLTA ASLLIKLWRKEEKELGVKRDRNGKIVDFENLPGLSAEDFVTLCIIHRYFDFKIGEIWNEV SEEIKLEKLRLVTTDEKSLNSIIAATENIGKMVASLQSEMIENQALQDVQNEQRVYAKIQ ATHKQREQANKSWENFLARTSNAKTLKKAKKLQEKAIESSRYTERPQNATFHQTVIKGLN TTVPSGRVVTVQSTPTRLLGGPLADTDIALKKLPIRGGALQRVKVKPPLNDPKKSIPT >ENSMUSP00000122569.1 pep:known chromosome:GRCm38:5:5586356:5664043:-1 gene:ENSMUSG00000040473.15 transcript:ENSMUST00000132510.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap69 description:cilia and flagella associated protein 69 [Source:MGI Symbol;Acc:MGI:2443778] MSTAEASATTADAAEAGGRTKTGSPRTIPVFGVAIPDGEMQGIFKPMDLNRIIKVLEEED KDVSEEKQLNYIKKLIHCYQNGFPLRDLAQIFKILSLCAEKIEKQPCFVEPASDIIKLCG >ENSMUSP00000051741.3 pep:known chromosome:GRCm38:5:5589175:5664208:-1 gene:ENSMUSG00000040473.15 transcript:ENSMUST00000061008.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap69 description:cilia and flagella associated protein 69 [Source:MGI Symbol;Acc:MGI:2443778] MSTAEASATTADAAEAGGRTKTGSPRTIPVFGVAIPDGEMQGIFKPMDLNRIIKVLEEED KDVSEEKQLNYIKKLIHCYQNGFPLRDLAQIFKILSLCAEKIEKQPCFVEPASDIIKLCG LPFLKKKVSDEITYTEDTANSFALLGELMKIPSSALRIQICKCIVDFYHAEPLKKHIPGY QQVSSSYKIKMVEVGGLAKAMVQSALLLENQLVEKLWVLKVLQHLSTSGVNCTLMVKAQA ASGICAHLNDPDPSGQLLFRSSEVLWNLLEKSSKEEIIQQLSNLECLLALKEVFKNLFVR GHSHYERQLRNDILVITTIIAQNPGAPMILLIDGKVILALFTYVKKPERLKIMEWSAAQY EELQLHAIATLSSVAPLLIEEYMSCHGNSRILAFLEWCGHEDSYFIHGNSFHGTGGRGNK FAQMRYTLRLLRAMVYLEDETVNKDLCERGVIHQLIEIFKTMMSRPAEKEEAIALEIQSD TLLILSGLCENYIQRKEMFGTEGVDIVLHVMKTDPKNLQRGLGYNVLLFSTLDSIWCCIL GCYDSEDYFLEKEGIFLLLDILAKDLTAASLLIKLW >ENSMUSP00000117518.2 pep:known chromosome:GRCm38:5:5593646:5664232:-1 gene:ENSMUSG00000040473.15 transcript:ENSMUST00000135252.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap69 description:cilia and flagella associated protein 69 [Source:MGI Symbol;Acc:MGI:2443778] MSTAEASATTADAAEAGGRTKTGSPRTIPVFGVAIPDGEMQGIFKPMDLNRIIKVLEEED KDVSEEKQLNYIKKLIHCYQNGFPLRDLAQIFKILSLCAEKIEKQPCFVEPASDIIKLCG LPFLKKKVSDEITYTEDTANSFALLGELMKIPSSALRIQICKCIVDFYHAEPLKKHIPGY QQVSSSYKIKMVEVGGLAKAMVQSALLLENQLVEKLWVLKVLQHLSTSGVNCTLMVKAQA ASGICAHLNDPDPSGQLLFRSSEVLWNLLEKSSKEEIIQQLSNLECLLALKEVFKNLFVR GHSHYERQLRNDILVITTIIAQNPGAPMIECGFTRDLILFATFNEVKSQNPLVKSLKLFN CYEDFELKKLLFNIIVILCKDLATVQLLIDGKVILALFTYVKKPERLKIMEWSAAQYEEL QLHAIATLSSVAPLLIEEYMSCHGNSRILAFLEWCGHEDSYFIHGNSFHGTGGRGNKFAQ MRYTLRLLRAMVYLEDETVNKDLCERGVIHQLIEIFKTMMSRPAEKEEAIALEIQSDTLL ILSGLCENYIQRKEMFGTEGVDIVLHVMKTDPKNLQRGLGYNVLLFSTLDSIWCCILGCY DSEDYFLEKEGIFLLLDILAVSNIHLL >ENSMUSP00000114623.1 pep:known chromosome:GRCm38:5:5649808:5663292:-1 gene:ENSMUSG00000040473.15 transcript:ENSMUST00000148193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap69 description:cilia and flagella associated protein 69 [Source:MGI Symbol;Acc:MGI:2443778] MDLNRIIKVLEEEDKDVSEEKQLNYIKKLIH >ENSMUSP00000109299.2 pep:known chromosome:GRCm38:17:36954356:36958482:-1 gene:ENSMUSG00000036315.13 transcript:ENSMUST00000113669.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrd1 description:zinc ribbon domain containing, 1 [Source:MGI Symbol;Acc:MGI:1913386] MELARPRSNFQSDLDFCPDCGSVLPLPGIQDTVICSRCGFSIDVRDCEGKVVKTSVVFNK LGATIPLSVDEGPELQGPVIDRRCPRCGHEGMAYHTRQMRSADEGQTVFYTCINCKFQEK EDS >ENSMUSP00000133651.1 pep:known chromosome:GRCm38:17:36954358:36958533:-1 gene:ENSMUSG00000036315.13 transcript:ENSMUST00000172518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrd1 description:zinc ribbon domain containing, 1 [Source:MGI Symbol;Acc:MGI:1913386] MELARPRSNFQSDLDFCPDCGSVLPLPGIQDTVICSRCGFSIDVRDCEGKVVKTSVVFNK LGATIPLSVDEGPELQGPVIDRRCPRCGHEGMAYHTRQMRSADEGQTVFYTCINCKFQEK EDS >ENSMUSP00000133644.1 pep:known chromosome:GRCm38:17:36954411:36958318:-1 gene:ENSMUSG00000036315.13 transcript:ENSMUST00000172823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrd1 description:zinc ribbon domain containing, 1 [Source:MGI Symbol;Acc:MGI:1913386] MELARPRSNFQSDLDFCPDCGSVLPLPGIQDTVICSRCGFSIDVRDCEGKVVKTSVIDRR CPRCGHEGMAYHTRQMRSADEGQTVFYTCINCKFQEKEDS >ENSMUSP00000053925.3 pep:known chromosome:GRCm38:4:118689476:118690450:-1 gene:ENSMUSG00000043383.4 transcript:ENSMUST00000060562.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1342 description:olfactory receptor 1342 [Source:MGI Symbol;Acc:MGI:3031176] MQTLRKDNCSSVSEFLLLGFSSESQVRVALFIFFLLLYMITLLGNGLIITLIYLDSRLHT PMYFFLSILSLVDMSYVTTTVPQMLVNMVCPRRTISWGACVAQMFIFLLLGIAECVLYAI MAYDRYVAICFPLHYSVLMSRLVCIKMVTVCWSISITGALIYTVFTMRLPYCGPYKINHF FCEVPAVLKLACADTSFNDRLDFILGFIFLLVPLSLILASYACIFASILRIRSSQGRLKS FSTCASHITVVTMFYGPAMIMYMRPGSWYDPERDKKLALFYNVVSAFLNPIIYSLRNKDV KGAFLKVLGDRGAAK >ENSMUSP00000105358.2 pep:known chromosome:GRCm38:8:84956610:84969740:-1 gene:ENSMUSG00000052926.15 transcript:ENSMUST00000109736.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2a description:ribonuclease H2, large subunit [Source:MGI Symbol;Acc:MGI:1916974] MDLSELERDNTGRCRLSSPVPAVCLKEPCVLGVDEAGRGPVLGPMVYAICYCPLSRLADL EALKVADSKTLTENERERLFAKMEEDGDFVGWALDVLSPNLISTSMLGRVKYNLNSLSHD TAAGLIQYALDQNVNVTQVFVDTVGMPETYQARLQQHFPGIEVTVKAKADSLFPVVSAAS IFAKVARDKAVKNWQFVENLQDLDSDYGSGYPNDPKTKAWLRKHVDPVFGFPQFVRFSWS TAQAILEKEAEDVIWEDSEAEEDPERPGKITSYFSQGPQTCRPQAPHRYFQERGLEAASS L >ENSMUSP00000066769.8 pep:known chromosome:GRCm38:8:84957667:84966041:-1 gene:ENSMUSG00000052926.15 transcript:ENSMUST00000065049.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2a description:ribonuclease H2, large subunit [Source:MGI Symbol;Acc:MGI:1916974] MDLSELERDNTGRCRLSSPVPAVCLKEPCVLGVDEAGRGPVLGPMVYAICYCPLSRLADL EALKVADSKTLTENERERLFAKMEEDGDFVGWALDVLSPNLISTSMLGRVKYNLNSLSHD TAAGLIQYALDQNVNVTQVFVDTVGMPETYQARLQQHFPGIEVTVKAKADSLFPVVSAAS IFAKVARDKAVKNWQFVENLQDLDSDYGSGYPNDPKTKAWLRKHVDPVFGFPQFVRFSWS TAQAILEKEAEDVI >ENSMUSP00000121864.1 pep:known chromosome:GRCm38:8:84957667:84966064:-1 gene:ENSMUSG00000052926.15 transcript:ENSMUST00000128972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2a description:ribonuclease H2, large subunit [Source:MGI Symbol;Acc:MGI:1916974] SFRGKRALGPALAVLFSTIVGCRRGSMDLSELERDNTGRCRLSSPVPAVCLKEPCVLGVD EAGRGPVLGPMVYAICYCPLSRLADLEALKVADSKTLTENERERLFAKMEEDGDFVGWAL DVLSPNLISTSMLGRVKYNLNSLSHDTAAGLIQYALDQNVNVTQVFVDTVGMPETYQARL QQHFPGIEVTVKAKADSLFPVVSAASIFAKVARDKAVKNWQFVENLQDLDSDYGSGYPND PKTKAWLRKHVDPVFGFPQFVRFSWSTAQAILEKEAEDVIWFVS >ENSMUSP00000120374.1 pep:known chromosome:GRCm38:8:84957667:84969440:-1 gene:ENSMUSG00000052926.15 transcript:ENSMUST00000147812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2a description:ribonuclease H2, large subunit [Source:MGI Symbol;Acc:MGI:1916974] MDLSELERDNTGRCRLSSPVPAVCLKEPCVLGVDEAGRGPVLGPMVYAICYCPLSRLADL EALKVADSKTLTENERERLFAKMEEDGDFVGWALDVLSPNLISTSMLGRVKYNLNSLSHD TAAGLIQYALDQNVNVTQVFVDTVGMPETYQARLQQHFPGIEVTVKAKADSLFPVVSAAS IFAKVARDKAVKNWQFVENLQDLDSDYGSGYPNDPKTKAWLRKHVDPVFGFPQFVRFSWS TAQAILEKEAEDVIWEDSEAEEDPERPGKITSYFSQGPQTCRPQAPHRYFQERGLEAASS L >ENSMUSP00000118442.1 pep:known chromosome:GRCm38:8:84965607:84969767:-1 gene:ENSMUSG00000052926.15 transcript:ENSMUST00000140561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2a description:ribonuclease H2, large subunit [Source:MGI Symbol;Acc:MGI:1916974] MDLSELERDNTGRCRLSSPVPAVCLKEPCVLGVDEAGRGPVLGPMVYAICYCPL >ENSMUSP00000116164.1 pep:known chromosome:GRCm38:8:84965952:84966876:-1 gene:ENSMUSG00000052926.15 transcript:ENSMUST00000130902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2a description:ribonuclease H2, large subunit [Source:MGI Symbol;Acc:MGI:1916974] MDLSELERDNTG >ENSMUSP00000105360.3 pep:known chromosome:GRCm38:8:84956610:84966011:-1 gene:ENSMUSG00000052926.15 transcript:ENSMUST00000109738.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh2a description:ribonuclease H2, large subunit [Source:MGI Symbol;Acc:MGI:1916974] MDLSELERDNTGRCRLSSPVPAVCLKEPCVLGVDEAGRGPVLGPMVYAICYCPLSRLADL EALKVADSKTLTENERERLFAKMEEDGDFVGWALDVLSPNLISTSMLGRVKYNLNSLSHD TAAGLIQYALDQNVNVTQVFVDTVGMPETYQARLQQHFPGIEVTVKAKADSLFPVVSAAS IFAKVARDKAVKNWQFVENLQDLDSDYGSGYPNDPKTKAWLRKHVDPVFGFPQFVRFSWS TAQAILEKEAEDVIWEDSEAEEDPERPGKITSYFSQGPQTCRPQAPHRYFQERGLEAASS L >ENSMUSP00000095523.2 pep:known chromosome:GRCm38:4:118709409:118710347:1 gene:ENSMUSG00000046790.5 transcript:ENSMUST00000061215.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1341 description:olfactory receptor 1341 [Source:MGI Symbol;Acc:MGI:3031175] MQGPNQTFVTEFILLGFSLSPRTTPLLFSAFLIIYLLIILGNGLIFILICLDSHLHTPMY FFIGVLSMLDLGYTTTTVPQMLAHLASQKKTISYSNCVAQMYIFLVLGVTESWLFAIMSI DRYVAICHPLRYKVIMSPCLCGVMAIFCGLCGVTAALVYTIFAMRLPYCGPNKINHFFCE VPAVLKLACADTSVNDHVDFILGFSVILIPLSLILVIYINIFTSILKIRSAQGRLKAFST CASHITVVTMFCVPAMVMYMKPGSKASPEEDKKLALFYNVISAFLNPVIYSLRNKDVKRA FLKVTGCGRPPE >ENSMUSP00000114787.2 pep:known chromosome:GRCm38:9:121797627:121839461:-1 gene:ENSMUSG00000079235.10 transcript:ENSMUST00000135986.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc13 description:coiled-coil domain containing 13 [Source:MGI Symbol;Acc:MGI:1920144] MAADESSADTLRLQFKAMQELQHRRLQKQMEKKREKELSCQSKADNQEGFMVIPDGLSLL DTEEQNLKNIFEKRVLEDEIQHLRSELRETVDENGRLYKLLKERDFEIKHLKKKIEEDRF AFTGASGMAGDLVATKIVELSKKNRGLMAESESAKVRIKQLTNRIQELEHQLQMASAKPP SKGATDAGAKPLKTQTGDRALLETPEVKALQDRLAATNLKMSDLRNQIQSAKQELRVAQK VLANEVGEDVNIQQLLASPGTWRGRAQQILVLQSRVRDLEKQLGQRQNKPAGSSSSEVPL SSDSRKMTAQEKNLLRIRSLERDKQESWEKLASERDTLQTELEELRKKFEGMRSRNKVLS SEVKTLRSQMTTLVEKGRHDDELIDALMDQLKQLQDILSSLSVQEESRRTSQQHLDQKVN SEAQRSSSLVAQLRAMVADREAKVRQLELEIGQLSVQYLHGKGGGEGASPADARFPEDQT PITNSPASAGDHVGRLGSSRSVTSLGHTLVESALTRPSLPSPHGTSPRFSDSPEQKGWQA QAAEMKALWQAAEVERDRLNEFVTVLQKRVEESSSKLLEAERRLQEERQRAVLLEQHLEK MRLEPSRASVSQKTKNKPGPPAANTKPNSAGSAKKDSSSTQLCDMPMESQIQELNARLAI QMEENGILRDALGSALRGKEEDFRMYHQTLGQVKGVFLQALRQQKANKQ >ENSMUSP00000128061.1 pep:known chromosome:GRCm38:9:121797633:121810164:-1 gene:ENSMUSG00000079235.10 transcript:ENSMUST00000155511.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc13 description:coiled-coil domain containing 13 [Source:MGI Symbol;Acc:MGI:1920144] EDQTPITNSPASAGDHVGRLGSSRSVTSLGHTLVESALTRPSLPSPHGTSPRFSDSPEQK GWQAQAAEMKALWQAAEVERDRLNEFVTVLQKRASCCQHQA >ENSMUSP00000130887.1 pep:known chromosome:GRCm38:9:121798581:121810146:-1 gene:ENSMUSG00000079235.10 transcript:ENSMUST00000142783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc13 description:coiled-coil domain containing 13 [Source:MGI Symbol;Acc:MGI:1920144] TNSPASAGDHVGRLGSSRSVTSLGHTLVESALTRPSLPSPHGTSPRFSDSPEQKGWQAQA AEMKALWQAAEVERDRLNEFVTVLQKRVEESSSKLLEAERRLQEERQRAVLLEQHLEKMR LEPSRASVSQKTKNKPGPPAANTKPNSAGSAKKDSSSTQLCDMPMESQIQELNARCFLPS LLERVETPGWRLPGQRDRVPLPCTQDLYLPGRMLVLGLACGGFHSAPMTHGSKGTFINPG LCSCVLQQLPVRRN >ENSMUSP00000105793.1 pep:known chromosome:GRCm38:2:132239492:132247654:-1 gene:ENSMUSG00000027341.10 transcript:ENSMUST00000110164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem230 description:transmembrane protein 230 [Source:MGI Symbol;Acc:MGI:1917862] MMPSRTNLATGLPSSKVKYSRLASTDDGYIDLQFKKSPPKIPYKAIALATVLFLIGTFLI IIGSLLLSGYISKGGADRAVPVLIIGILVFLPGFYHLRIAYYASKGYRGYSYDDIPDFDD >ENSMUSP00000105792.1 pep:known chromosome:GRCm38:2:132239492:132247769:-1 gene:ENSMUSG00000027341.10 transcript:ENSMUST00000110163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem230 description:transmembrane protein 230 [Source:MGI Symbol;Acc:MGI:1917862] MMPSRTNLATGLPSSKVKYSRLASTDDGYIDLQFKKSPPKIPYKAIALATVLFLIGTFLI IIGSLLLSGYISKGGADRAVPVLIIGILVFLPGFYHLRIAYYASKGYRGYSYDDIPDFDD >ENSMUSP00000028816.2 pep:known chromosome:GRCm38:2:132239492:132247807:-1 gene:ENSMUSG00000027341.10 transcript:ENSMUST00000028816.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem230 description:transmembrane protein 230 [Source:MGI Symbol;Acc:MGI:1917862] MMPSRTNLATGLPSSKVKYSRLASTDDGYIDLQFKKSPPKIPYKAIALATVLFLIGTFLI IIGSLLLSGYISKGGADRAVPVLIIGILVFLPGFYHLRIAYYASKGYRGYSYDDIPDFDD >ENSMUSP00000136826.1 pep:known chromosome:GRCm38:2:132239494:132247788:-1 gene:ENSMUSG00000027341.10 transcript:ENSMUST00000180286.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem230 description:transmembrane protein 230 [Source:MGI Symbol;Acc:MGI:1917862] MMPSRTNLATGLPSSKVKYSRLASTDDGYIDLQFKKSPPKIPYKAIALATVLFLIGTFLI IIGSLLLSGYISKGGADRAVPVLIIGILVFLPGFYHLRIAYYASKGYRGYSYDDIPDFDD >ENSMUSP00000120830.2 pep:known chromosome:GRCm38:17:78649913:78737196:-1 gene:ENSMUSG00000024077.15 transcript:ENSMUST00000145910.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strn description:striatin, calmodulin binding protein [Source:MGI Symbol;Acc:MGI:1333757] MDEQAGPGVFFSNNHPGAGGAKGLGPLAEAAAAGDGAAAAGAARAQYSLPGILHFLQHEW ARFEVERAQWEVERAELQAQIAFLQGERKGQENLKKDLVRRIKMLEYALKQERAKYHKLK YGTELNQGDMKPPSYDSDEGNETEVQPQQNSQLMWKQGRQLLRQYLQEVGYTDTILDVKS KRVRALLGFSSDVTDREDDKNQDSVINGTEAEVKETAMIGKSELTDSASVLDNFKFLESA AADVSDEDEDEDTDGRAKSVIDTSTIVRKKALPDTSEDRDTKEALKEFDFLVTSEEGDNE SRSAGDGTDWEKEDQCLTPEAWNVDQGVISKLKEQYKKERKGKKGVKRPNRSKLQDMLAN LRDVDELPSLQPSVGSPSRPSSSRLPEQELSRADEVEALTFPPSSGKSFIMGADEALESE LGLGELAGLTVANEADSLAYDIANNKDALRKTWNPKFTLRSHFDGIRALAFHPIEPVLIT ASEDHTLKMWNLQKTAPAKKSTSLDVEPIYTFRAHKGPVLCVVMSSNGEQCYSGGTDGRI QSWSTTNPNVDPYDAYDPSVLRGPLLGHTDAVWGLAYSAAHQRLLSCSADGTLRLWNTTE VAPALSVFNDNQELGIPASVDLVSSDPSHMVASFSKGYTSIFNMETQQRVLTLESNVDST SSSSCQINRVISHPTLPISITAHEDRHIKFYDNNTGKLIHSMVAHLEAVTSLAVDPNGLY LMSGSHDCSIRLWNLESKTCIQEFTAHRKKFEESIHDVAFHPSKCYIASAGADALAKVFV >ENSMUSP00000024881.7 pep:known chromosome:GRCm38:17:78654596:78688817:-1 gene:ENSMUSG00000024077.15 transcript:ENSMUST00000024881.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strn description:striatin, calmodulin binding protein [Source:MGI Symbol;Acc:MGI:1333757] MWKQGRQLLRQYLQEVGYTDTILDVKSKRVRALLGFSSDVTDREDDKNQDSVINGTEAEV KETAMIGKSELTDSASVLDNFKFLESAAADVSDEDEDEDTDGRAKSVIDTSTIVRKKALP DTSEDRDTKEALKEFDFLVTSEEGDNESRSAGDGTDWEKEDQCLTPEAWNVDQGVISKLK EQYKKERKGKKGVKRPNRSKLQDMLANLRDVDELPSLQPSVGSPSRPSSSRLPEQELSRA DEVEALTFPPSSGKSFIMGADEALESELGLGELAGLTVANEADSLAYDIANNKDALRKTW NPKFTLRSHFDGIRALAFHPIEPVLITASEDHTLKMWNLQKTAPAKKSTSLDVEPIYTFR AHKGPVLCVVMSSNGEQCYSGGTDGRIQSWSTTNPNVDPYDAYDPSVLRGPLLGHTDAVW GLAYSAAHQRLLSCSADGTLRLWNTTEVAPALSVFNDNQELGIPASVDLVSSDPSHMVAS FSKGYTSIFNMETQQRVLTLESNVDSTSSSSCQINRVISHPTLPISITAHEDRHIKFYDN NTGKLIHSMVAHLEAVTSLAVDPNGLYLMSGSHDCSIRLWNLESKTCIQEFTAHRKKFEE SIHDVAFHPSKCYIASAGADALAKVFV >ENSMUSP00000117663.1 pep:known chromosome:GRCm38:17:78667196:78684352:-1 gene:ENSMUSG00000024077.15 transcript:ENSMUST00000145480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strn description:striatin, calmodulin binding protein [Source:MGI Symbol;Acc:MGI:1333757] XSKRVRALLGFSSDVTDREDDKNQDSVINGTEAEVKETAMIGKSELTDSASVLDNFKFLE SAAADVSDEDEDEDTDGRAKSVIDTSTIVRKKALPDTSEDRDTKEALKEFDFLVTSEEGD NESRSAGDGTDWEKEDQCLTPEAWNVDQGVISKLKEQYKKERKGKKGVKRKTTEDLFQPL SYIKQSKQGCGRMKRQSPGPNRSKLQDMLANLRDVDELPSLQPSVGSPSRPSSSRLPEQE LSRADEVEALTFPPSSGKSFIMGADEA >ENSMUSP00000121081.1 pep:known chromosome:GRCm38:15:73834561:73839908:1 gene:ENSMUSG00000086361.1 transcript:ENSMUST00000154520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6569 description:predicted gene 6569 [Source:MGI Symbol;Acc:MGI:3647277] MASPCSGDLSPTGLAPPPVTTPGPAAPPAPRFPDIYGGDAQLWEAHFRGIGRAYRALGKE DDFAIRVLTEDFTLPFPFAWPPGPDPATGPLFYDPRDRAGFDFLLRGPGAPPPALLRPLH ATAQAAERKRRLERLALSYAGAGRPPGLVLLAPAAAAFPPEAGRPAWRALPRDSE >ENSMUSP00000068298.7 pep:known chromosome:GRCm38:3:20314473:20367177:-1 gene:ENSMUSG00000054988.9 transcript:ENSMUST00000068316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtr1b description:angiotensin II receptor, type 1b [Source:MGI Symbol;Acc:MGI:87965] MILNSSIEDGIKRIQDDCPKAGRHNYIFVMIPTLYSIIFVVGIFGNSLVVIVIYFYMKLK TVASVFLLNLALADLCFLLTLPLWAVYTAMEYQWPFGNHLCKIASASVSFNLYASVFLLT CLSIDRYLAIVHPMKSRLRRTMLVAKVTCIIIWLMAGLASLPAVIHRNVYFIENTNITVC AFHYESQNSTLPIGLGLTKNILGFVFPFVIILTSYTLIWKALKKAYKIQKNTPRNDDIFR IIMAIVLFFFFSWVPHQIFSFLDVLIQLGVIHDCEIADVVDTAMPITICIAYFNNCLNPL FYGFLGKKFKRYFLQLLKYIPPKARSHAGLSTKMSTLSYRPSDNMSSSARKSAYCFEVE >ENSMUSP00000128724.2 pep:known chromosome:GRCm38:3:20314897:20367124:-1 gene:ENSMUSG00000054988.9 transcript:ENSMUST00000163776.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtr1b description:angiotensin II receptor, type 1b [Source:MGI Symbol;Acc:MGI:87965] MILNSSIEDGIKRIQDDCPKAGRHNYIFVMIPTLYSIIFVVGIFGNSLVVIVIYFYMKLK TVASVFLLNLALADLCFLLTLPLWAVYTAMEYQWPFGNHLCKIASASVSFNLYASVFLLT CLSIDRYLAIVHPMKSRLRRTMLVAKVTCIIIWLMAGLASLPAVIHRNVYFIENTNITVC AFHYESQNSTLPIGLGLTKNILGFVFPFVIILTSYTLIWKALKKAYKIQKNTPRNDDIFR IIMAIVLFFFFSWVPHQIFSFLDVLIQLGVIHDCEIADVVDTAMPITICIAYFNNCLNPL FYGFLGKKFKRYFLQLLKYIPPKARSHAGLSTKMSTLSYRPSDNMSSSARKSAYCFEVE >ENSMUSP00000038915.3 pep:known chromosome:GRCm38:8:123653929:123663884:1 gene:ENSMUSG00000039960.5 transcript:ENSMUST00000045487.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhou description:ras homolog gene family, member U [Source:MGI Symbol;Acc:MGI:1916831] MAPQQGRPALPARCEPPAAPPVPPRRERGGRGARGPGVSGGRGRAGGAEGRGVKCVLVGD GAVGKTSLVVSYTTNGYPTEYIPTAFDNFSAVVSVDGRPVRLQLCDTAGQDEFDKLRPLC YTNTDIFLLCFSVVSPTSFQNVGEKWVPEIRRHCPKAPIILVGTQSDLREDVKVLIELDK CKEKPVPEEAAKLCAEEVKAVSYIECSALTQKNLKEVFDAAIVAGIQHSDSQLQPKKSKS RTPDKVRDLSKSWWRKYCCLA >ENSMUSP00000099542.4 pep:known chromosome:GRCm38:4:141677549:141723419:-1 gene:ENSMUSG00000078515.4 transcript:ENSMUST00000102484.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddi2 description:DNA-damage inducible protein 2 [Source:MGI Symbol;Acc:MGI:1917244] MLLTVYCVRRDLSEVTFSLQVDADFELHNFRALCELESGIPAAESQIVYAERPLTDNHRS LASYGLKDGDVVILRQKENADPRPAVQFSNLPRIDFSSIAVPGTSNPQQRQLPRTQAQHS SPGEMASSPQGLDNPALLRDMLLANPHELSLLKERNPPLAEALLSGDLEKFSRVLVEQQQ DRARREQERIRLFSADPFDLEAQAKIEEDIRQQNIEENMTIAMEEAPESFGQVAMLYINC RVNGHPVKAFVDSGAQMTIMSQACAERCNIMRLVDRRWAGIAKGVGTQKIIGRVHLAQVQ IEGDFLACSFSILEEQPMDMLLGLDMLKRHQCSIDLKKNVLVIGTTGSQTTFLPEGELPE CARLAYGTGREDIRPEEIADQELAEAIQKSAEDAERQKP >ENSMUSP00000136018.1 pep:known chromosome:GRCm38:4:141683563:141723419:-1 gene:ENSMUSG00000078515.4 transcript:ENSMUST00000177592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddi2 description:DNA-damage inducible protein 2 [Source:MGI Symbol;Acc:MGI:1917244] MSSLPTSDGFDHPAPSGQSPEVGSPTSLARSVSASVCAIKPGDPNSIESLAMEATKASAE FQTNSKKTDPPPLQVLPDLASSAEQSLAMPFHKSSKEAVVAGNLEKSVEKGTQGLRVYLH TRQDASLTLTTTGMREPQIFAEEKSWHPENQTPSPVNGLQQHRETGSVQREAGQQSVPQD QGCLCDAEDLELHEEVVSLEALRKGELQRHAHLPSAEKGLPASGLCSCPCSEALMEVDTA EQSLVAMCSSTGRQDAVIKSPSVAHLASDNPTMEVETLQSNPSCEPVEHSILTRELQLPE DNVDMSTMDNKDDNSSSLLSGHGQPSVESAEEFCSSVTVALKELHELLVISCKPASEESP EHVTCQSEIGAESQPSVSDLSGRRVQSVHLTPSDQYSQGSCHQATSESGKTEIVGTAPCA AVEDEASTSFEGLGDGLSPDREDVRRSTESARKSCSVAITSAKLSEQLPCTSGVEIAPEL AASEGAHSQPSEHVHNPGPDRPETSSVCPGAGLPRSGLDQPPTQSLSTPSVLPPFIFPAA DVDRILGAGFTLQEALGALHRVGGNADLALLVLLAKNIVVPT >ENSMUSP00000092430.3 pep:known chromosome:GRCm38:4:118726249:118727196:1 gene:ENSMUSG00000070821.4 transcript:ENSMUST00000094834.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1340 description:olfactory receptor 1340 [Source:MGI Symbol;Acc:MGI:3031174] MHSRGWRNHSSVTEFILLGFSRNPRTNWILFFLFLFLYLFTVLGNGLIVTLIRIDARLHT PMYFFLSILSLLDLSYATTTVPQMLAHLVSKTKTISYTGCVIQMYIFLTLGITETWIFAA MAYDRYVAICYPLHYGVKMSQTLCIALVVSSALCGLICALVYTVFAMNLPYCGPNEINHF FCEIPAVLKLACADTSLNDQVDFILGFILLLIPLSLILASYVRIFIAILRICSTQGRMKA FSTCASHITVVTMFCVPCMVMYMRPGSEASPEDDKKLALFYNVISAFLNPIIYSLRNKDV KKAFFKLIGRGEDTQ >ENSMUSP00000006557.6 pep:known chromosome:GRCm38:4:118428093:118432953:1 gene:ENSMUSG00000006390.15 transcript:ENSMUST00000006557.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl1 description:elongation of very long chain fatty acids (FEN1/Elo2, SUR4/Elo3, yeast)-like 1 [Source:MGI Symbol;Acc:MGI:1858959] MEAVVNLYHELMKHADPRIQSYPLMGSPLLITSILLTYVYFILSLGPRIMANRKPFQLRG FMIVYNFSLVILSLYIVYEFLMSGWLSTYTWRCDPIDFSNSPEALRMVRVAWLFMLSKVI ELMDTVIFILRKKDGQVTFLHVFHHSVLPWSWWWGIKIAPGGMGSFHAMINSSVHVVMYL YYGLSALGPVAQPYLWWKKHMTAIQLIQFVLVSLHISQYYFMPSCNYQYPIIIHLIWMYG TIFFILFSNFWYHSYTKGKRLPRAVQQNGAPATTKVKAN >ENSMUSP00000099734.4 pep:known chromosome:GRCm38:4:118428125:118432613:1 gene:ENSMUSG00000006390.15 transcript:ENSMUST00000102673.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl1 description:elongation of very long chain fatty acids (FEN1/Elo2, SUR4/Elo3, yeast)-like 1 [Source:MGI Symbol;Acc:MGI:1858959] MRFLMSGWLSTYTWRCDPIDFSNSPEALRMVRVAWLFMLSKVIELMDTVIFILRKKDGQV TFLHVFHHSVLPWSWWWGIKIAPGGMGSFHAMINSSVHVVMYLYYGLSALGPVAQPYLWW KKHMTAIQLIQFVLVSLHISQYYFMPSCNYQYPIIIHLIWMYGTIFFILFSNFWYHSYTK GKRLPRAVQQNGAPATTKVKAN >ENSMUSP00000064816.3 pep:known chromosome:GRCm38:4:118429701:118432272:1 gene:ENSMUSG00000006390.15 transcript:ENSMUST00000067896.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl1 description:elongation of very long chain fatty acids (FEN1/Elo2, SUR4/Elo3, yeast)-like 1 [Source:MGI Symbol;Acc:MGI:1858959] MEAVVNLYHELMKHADPRIQSYPLMGSPLLITSILLTYVYFILSLGPRIMANRKPFQLRG FMIVYNFSLVILSLYIVYEFLMSGWLSTYTWRCDPIDFSNSPEALRMVRVAWLFMLSKVI ELMDTVIFILRKKDGQVTFLHVFHHSVLPWSWWWGIKIAPGGMGSFHAMINSSVHVVMYL YYGLSALGPVAQPYLWWKKHMTAIQLIQFVLVSLHISQYYFMPSCNYQYPIIIHLIWMYG TIFFILFSNFWYHSYTKGKRLPRAVQQNGAPATTKVKAN >ENSMUSP00000126685.1 pep:known chromosome:GRCm38:4:118428119:118432613:1 gene:ENSMUSG00000006390.15 transcript:ENSMUST00000167636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl1 description:elongation of very long chain fatty acids (FEN1/Elo2, SUR4/Elo3, yeast)-like 1 [Source:MGI Symbol;Acc:MGI:1858959] MEAVVNLYHELMKHADPRIQSYPLMGSPLLITSILLTYVYFILSLGPRIMANRKPFQLRG FMIVYNFSLVILSLYIVYEFLMSGWLSTYTWRCDPIDFSNSPEALRMVRVAWLFMLSKVI ELMDTVIFILRKKDGQVTFLHVFHHSVLPWSWWWGIKIAPGGMGSFHAMINSSVHVVMYL YYGLSALGPVAQPYLWWKKHMTAIQLIQFVLVSLHISQYYFMPSCNYQYPIIIHLIWMYG TIFFILFSNFWYHSYTKGKRLPRAVQQNGAPATTKVKAN >ENSMUSP00000133279.1 pep:known chromosome:GRCm38:10:62804577:62899118:-1 gene:ENSMUSG00000047146.15 transcript:ENSMUST00000174189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet1 description:tet methylcytosine dioxygenase 1 [Source:MGI Symbol;Acc:MGI:1098693] MSRSRPAKPSKSVKTKLQKKKDIQMKTKTSKQAVRHGASAKAVNPGKPKQLIKRRDGKKE TEDKTPTPAPSFLTRAGAARMNRDRNQVLFQNPDSLTCNGFTMALRRTSLSWRLSQRPVV TPKPKKVPPSKKQCTHNIQDEPGVKHSENDSVPSQHATVSPGTENGEQNRCLVEGESQEI TQSCPVFEERIEDTQSCISASGNLEAEISWPLEGTHCEELLSHQTSDNECTSPQECAPLP QRSTSEVTSQKNTSNQLADLSSQVESIKLSDPSPNPTGSDHNGFPDSSFRIVPELDLKTC MPLDESVYPTALIRFILAGSQPDVFDTKPQEKTLITTPEQVGSHPNQVLDATSVLGQAFS TLPLQWGFSGANLVQVEALGKGSDSPEDLGAITMLNQQETVAMDMDRNATPDLPIFLPKP PNTVATYSSPLLGPEPHSSTSCGLEVQGATPILTLDSGHTPQLPPNPESSSVPLVIAANG TRAEKQFGTSLFPAVPQGFTVAAENEVQHAPLDLTQGSQAAPSKLEGEISRVSITGSADV KATAMSMPVTQASTSSPPCNSTPPMVERRKRKACGVCEPCQQKANCGECTYCKNRKNSHQ ICKKRKCEVLKKKPEATSQAQVTKENKRPQREKKPKVLKTDFNNKPVNGPKSESMDCSRR GHGEEEQRLDLITHPLENVRKNAGGMTGIEVEKWAPNKKSHLAEGQVKGSCDANLTGVEN PQPSEDDKQQTNPSPTFAQTIRNGMKNVHCLPTDTHLPLNKLNHEEFSKALGNNSSKLLT DPSNCKDAMSVTTSGGECDHLKGPRNTLLFQKPGLNCRSGAEPTIFNNHPNTHSAGSRPH PPEKVPNKEPKDGSPVQPSLLSLMKDRRLTLEQVVAIEALTQLSEAPSESSSPSKPEKDE EAHQKTASLLNSCKAILHSVRKDLQDPNVQGKGLHHDTVVFNGQNRTFKSPDSFATNQAL IKSQGYPSSPTAEKKGAAGGRAPFDGFENSHPLPIESHNLENCSQVLSCDQNLSSHDPSC QDAPYSQIEEDVAAQLTQLASTINHINAEVRNAESTPESLVAKNTKQKHSQEKRMVHQKP PSSTQTKPSVPSAKPKKAQKKARATPHANKRKKKPPARSSQENDQKKQEQLAIEYSKMHD IWMSSKFQRFGQSSPRSFPVLLRNIPVFNQILKPVTQSKTPSQHNELFPPINQIKFTRNP ELAKEKVKVEPSDSLPTCQFKTESGGQTFAEPADNSQGQPMVSVNQEAHPLPQSPPSNQC ANIMAGAAQTQFHLGAQENLVHQIPPPTLPGTSPDTLLPDPASILRKGKVLHFDGITVVT EKREAQTSSNGPLGPTTDSAQSEFKESIMDLLSKPAKNLIAGLKEQEAAPCDCDGGTQKE KGPYYTHLGAGPSVAAVRELMETRFGQKGKAIRIEKIVFTGKEGKSSQGCPVAKWVIRRS GPEEKLICLVRERVDHHCSTAVIVVLILLWEGIPRLMADRLYKELTENLRSYSGHPTDRR CTLNKKRTCTCQGIDPKTCGASFSFGCSWSMYFNGCKFGRSENPRKFRLAPNYPLHNYYK RITGMSSEGSDVKTGWIIPDRKTLISREEKQLEKNLQELATVLAPLYKQMAPVAYQNQVE YEEVAGDCRLGNEEGRPFSGVTCCMDFCAHSHKDIHNMHNGSTVVCTLIRADGRDTNCPE DEQLHVLPLYRLADTDEFGSVEGMKAKIKSGAIQVNGPTRKRRLRFTEPVPRCGKRAKMK QNHNKSGSHNTKSFSSASSTSHLVKDESTDFCPLQASSAETSTCTYSKTASGGFAETSSI LHCTMPSGAHSGANAAAGECTGTVQPAEVAAHPHQSLPTADSPVHAEPLTSPSEQLTSNQ SNQQLPLLSNSQKLASCQVEDERHPEADEPQHPEDDNLPQLDEFWSDSEEIYADPSFGGV AIAPIHGSVLIECARKELHATTSLRSPKRGVPFRVSLVFYQHKSLNKPNHGFDINKIKCK CKKVTKKKPADRECPDVSPEANLSHQIPSRVASTLTRDNVVTVSPYSLTHVAGPYNRWV >ENSMUSP00000134328.1 pep:known chromosome:GRCm38:10:62812622:62814540:-1 gene:ENSMUSG00000047146.15 transcript:ENSMUST00000174121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet1 description:tet methylcytosine dioxygenase 1 [Source:MGI Symbol;Acc:MGI:1098693] LGNEEGRPFSGVTCCMDFCAHSHKDIHNMHNGSTVVCTLIRADGRDTNCPEDEQLHVLPL YRLADTDEFGSVEGMKAKIKSGAIQVNGPTRKRRLRFTEPVPRCGKRAKMKQNHNKSGTA GLRRKRISASPKGAPGSHNTKSFSSASSTSHLVKDESTDFCPLQASSAETSTCTYSKTAS GGFAETSSILHCTMPSGAHSGANAAAGECTGTVQPAEVAAHPHQSLPTADSPVHAEPLTS PSEQLTSNQSNQQLPLLSNSQKLASCQVEDERHPEADEPQHPEDDNLPQLDEFWSDSEEI YADPSFGGVAIAPIHGSVLIECARKELHATTSLRSPKRGVPFRVSLVFYQHKSLNKPNHG FDINKIKCKCKKVTKKKPADRECPDVSPEANLSHQIPSR >ENSMUSP00000134571.1 pep:known chromosome:GRCm38:10:62813126:62814541:-1 gene:ENSMUSG00000047146.15 transcript:ENSMUST00000173905.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tet1 description:tet methylcytosine dioxygenase 1 [Source:MGI Symbol;Acc:MGI:1098693] XLGNEEGRPFSGVTCCMDFCAHSHKDIHNMHNGSTVMAVTQIVPRMNNSTSCHYTGLQTL MNLAPWKG >ENSMUSP00000133706.1 pep:known chromosome:GRCm38:10:62813348:62814539:-1 gene:ENSMUSG00000047146.15 transcript:ENSMUST00000173087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet1 description:tet methylcytosine dioxygenase 1 [Source:MGI Symbol;Acc:MGI:1098693] XGNEEGRPFSGVTCCMDFCAHSHKDIHNMHNGSTVVCTLIRADGRDTNCPEDEQLHVLPL YRLADTDEFGSVEGMKAKIKSGAIQVNGPTRKRRLRFTEPVPRCGKRAKMKQNHNKSGTA GLRRKRISASPKGAPGAK >ENSMUSP00000059527.7 pep:known chromosome:GRCm38:10:62804570:62880014:-1 gene:ENSMUSG00000047146.15 transcript:ENSMUST00000050826.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tet1 description:tet methylcytosine dioxygenase 1 [Source:MGI Symbol;Acc:MGI:1098693] MSRSRPAKPSKSVKTKLQKKKDIQMKTKTSKQAVRHGASAKAVNPGKPKQLIKRRDGKKE TEDKTPTPAPSFLTRAGAARMNRDRNQVLFQNPDSLTCNGFTMALRRTSLSWRLSQRPVV TPKPKKVPPSKKQCTHNIQDEPGVKHSENDSVPSQHATVSPGTENGEQNRCLVEGESQEI TQSCPVFEERIEDTQSCISASGNLEAEISWPLEGTHCEELLSHQTSDNECTSPQECAPLP QRSTSEVTSQKNTSNQLADLSSQVESIKLSDPSPNPTGSDHNGFPDSSFRIVPELDLKTC MPLDESVYPTALIRFILAGSQPDVFDTKPQEKTLITTPEQVGSHPNQVLDATSVLGQAFS TLPLQWGFSGANLVQVEALGKGSDSPEDLGAITMLNQQETVAMDMDRNATPDLPIFLPKP PNTVATYSSPLLGPEPHSSTSCGLEVQGATPILTLDSGHTPQLPPNPESSSVPLVIAANG TRAEKQFGTSLFPAVPQGFTVAAENEVQHAPLDLTQGSQAAPSKLEGEISRVSITGSADV KATAMSMPVTQASTSSPPCNSTPPMVERRKRKACGVCEPCQQKANCGECTYCKNRKNSHQ ICKKRKCEVLKKKPEATSQAQVTKENKRPQREKKPKVLKTDFNNKPVNGPKSESMDCSRR GHGEEEQRLDLITHPLENVRKNAGGMTGIEVEKWAPNKKSHLAEGQVKGSCDANLTGVEN PQPSEDDKQQTNPSPTFAQTIRNGMKNVHCLPTDTHLPLNKLNHEEFSKALGNNSSKLLT DPSNCKDAMSVTTSGGECDHLKGPRNTLLFQKPGLNCRSGAEPTIFNNHPNTHSAGSRPH PPEKVPNKEPKDGSPVQPSLLSLMKDRRLTLEQVVAIEALTQLSEAPSESSSPSKPEKDE EAHQKTASLLNSCKAILHSVRKDLQDPNVQGKGLHHDTVVFNGQNRTFKSPDSFATNQAL IKSQGYPSSPTAEKKGAAGGRAPFDGFENSHPLPIESHNLENCSQVLSCDQNLSSHDPSC QDAPYSQIEEDVAAQLTQLASTINHINAEVRNAESTPESLVAKNTKQKHSQEKRMVHQKP PSSTQTKPSVPSAKPKKAQKKARATPHANKRKKKPPARSSQENDQKKQEQLAIEYSKMHD IWMSSKFQRFGQSSPRSFPVLLRNIPVFNQILKPVTQSKTPSQHNELFPPINQIKFTRNP ELAKEKVKVEPSDSLPTCQFKTESGGQTFAEPADNSQGQPMVSVNQEAHPLPQSPPSNQC ANIMAGAAQTQFHLGAQENLVHQIPPPTLPGTSPDTLLPDPASILRKGKVLHFDGITVVT EKREAQTSSNGPLGPTTDSAQSEFKESIMDLLSKPAKNLIAGLKEQEAAPCDCDGGTQKE KGPYYTHLGAGPSVAAVRELMETRFGQKGKAIRIEKIVFTGKEGKSSQGCPVAKWVIRRS GPEEKLICLVRERVDHHCSTAVIVVLILLWEGIPRLMADRLYKELTENLRSYSGHPTDRR CTLNKKRTCTCQGIDPKTCGASFSFGCSWSMYFNGCKFGRSENPRKFRLAPNYPLHEKQL EKNLQELATVLAPLYKQMAPVAYQNQVEYEEVAGDCRLGNEEGRPFSGVTCCMDFCAHSH KDIHNMHNGSTVVCTLIRADGRDTNCPEDEQLHVLPLYRLADTDEFGSVEGMKAKIKSGA IQVNGPTRKRRLRFTEPVPRCGKRAKMKQNHNKSGSHNTKSFSSASSTSHLVKDESTDFC PLQASSAETSTCTYSKTASGGFAETSSILHCTMPSGAHSGANAAAGECTGTVQPAEVAAH PHQSLPTADSPVHAEPLTSPSEQLTSNQSNQQLPLLSNSQKLASCQVEDERHPEADEPQH PEDDNLPQLDEFWSDSEEIYADPSFGGVAIAPIHGSVLIECARKELHATTSLRSPKRGVP FRVSLVFYQHKSLNKPNHGFDINKIKCKCKKVTKKKPADRECPDVSPEANLSHQIPSRVA STLTRDNVVTVSPYSLTHVAGPYNRWV >ENSMUSP00000140784.1 pep:known chromosome:GRCm38:7:142174535:142176005:-1 gene:ENSMUSG00000054759.6 transcript:ENSMUST00000190456.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap5-2 description:keratin associated protein 5-2 [Source:MGI Symbol;Acc:MGI:1918873] MSCCGCSGGCGSSCGGCGSSCCKPVCCCKPVCCCVPACSCSSCGDCKGGCGSCGGCKGGC GSCGGCKGGCGSCGGCKGGCGSCGGCGSCGGCKGGCSSCGGCGSCGGCKGGCGSCGGCGS CGGCKGGCGSCGGCKGGCGSCGGCKGGCGSCGGCGSCGGCKGGCGSCGGCKGGCGSCGGC GSCGGCKGGCGSCGGCKGGCSSCGGCGSCGGCKGGCSSCGGCGSCGGCKGGCGSCGGCGS CGGCKGSCGSCGGCKGGCSSCGGCGSCGGCKGGCSSCGGCGSCGGCKGGCGSCGGCGSCG GCGSCGCCQSSCCKPCCCQSSCCKPCCCQSSCCQSSCCKPCCCQSSCCKPCCCQSSCCAP VCCQCKI >ENSMUSP00000070557.5 pep:known chromosome:GRCm38:7:142174535:142176005:-1 gene:ENSMUSG00000054759.6 transcript:ENSMUST00000067978.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap5-2 description:keratin associated protein 5-2 [Source:MGI Symbol;Acc:MGI:1918873] MSCCGCSGGCGSSCGGCGSSCCKPVCCCKPVCCCVPACSCSSCGDCKGGCGSCGGCKGGC GSCGGCKGGCGSCGGCKGGCGSCGGCGSCGGCKGGCSSCGGCGSCGGCKGGCGSCGGCGS CGGCGSCGCCQSSCCKPCCCQSSCCKPCCCQSSCCQSSCCKPCCCQSSCCKPCCCQSSCC APVCCQCKI >ENSMUSP00000035784.6 pep:known chromosome:GRCm38:9:20873809:20879727:-1 gene:ENSMUSG00000038742.6 transcript:ENSMUST00000043726.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angptl6 description:angiopoietin-like 6 [Source:MGI Symbol;Acc:MGI:1917976] MGTARLRKLQLLLLLGAWRALGGAARCRVTLVLSPQKATSAVCRSSEATQDSELATLRMR LGRHEELLRALQRRAAEGGALADEVRALREHSLTLNTRLGQLRAQLQQEARAEPDLGAEP AAALGLLAERALDAEAEARRTTARLQQLDAQLREHAQLMSQHSSLLGRLQRACAGPERGQ QQVLPLPLAPLVPLSLVGSASNTSRRLDQTPEHQREQSLRQQGPPSSLLPTGHLAVPTRP VGPWRDCAEAHGAGHWQSGVYDLRLGRRVVAVWCEQQQEGGGWTVIQRRQDGSVNFFTNW QHYKAGFGRPEGEYWLGLEPVHQVTSRGDHELLILLEDWGGRAARAHYDSFSLEPESDHY RLRLGQYHGDAGDSLSWHNDKPFSTVDRDRDSYSGNCALYHRGGWWYHACAHSNLNGVWY HGGHYRSRYQDGVYWAEFRGGAYSLKKAVMLTRLVRL >ENSMUSP00000070239.7 pep:known chromosome:GRCm38:15:66670753:66850721:1 gene:ENSMUSG00000053469.13 transcript:ENSMUST00000065916.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tg description:thyroglobulin [Source:MGI Symbol;Acc:MGI:98733] MTALVLWVSTLLSSVCLVAANIFEYQVDAQPLRPCELQREKAFLKQAEYVPQCSEDGSFQ TVQCQNDGQSCWCVDSDGREVPGSRQLGRPTVCLSFCQLHKQRILLGSYINSTDALYLPQ CQDSGNYAPVQCDLQRVQCWCVDTEGMEVYGTRQQGRPTRCPRSCEIRNRRLLHGVGDRS PPQCTADGEFMPVQCKFVNTTDMMIFDLIHNYNRFPDAFVTFSSFRGRFPEVSGYCYCAD SQGRELAETGLELLLDEIYDTIFAGLDQASTFTQSTMYRILQRRFLAIQLVISGRFRCPT KCEVEQFAATRFGHSYIPRCHRDGHYQTVQCQTEGMCWCVDAQGREVPGTRQQGQPPSCA ADQSCALERQQALSRFYFETPDYFSPQDLLSSEDRLAPVSGVRSDTSCPPRIKELFVDSG LLRSIAVEHYQRLSESRSLLREAIRAVFPSRELAGLALQFTTNPKRLQQNLFGGTFLANA AQFNLSGALGTRSTFNFSQFFQQFGLPGFLNRDRVTTLAKLLPVRLDSSSTPETLRVSEK TVAMNKRVVGNFGFKVNLQENQDALKFLVSLLELPEFLVFLQRAVSVPEDIARDLGDVME MVFSAQACKQMPGKFFVPSCTAGGSYEDIQCYAGECWCVDSRGKELDGSRVRGGRPRCPT KCEKQRAQMQSLASAQPAGSSFFVPTCTREGYFLPVQCFNSECYCVDTEGQVIPGTQSTV GEAKQCPSVCQLQAEQAFLGVVGVLLSNSSMVPSISNVYIPQCSASGQWRHVQCDGPHEQ VFEWYERWKTQNGDGQELTPAALLMKIVSYREVASRNFSLFLQSLYDAGQQRIFPVLAQY PSLQDVPQVVLEGATTPPGENIFLDPYIFWQILNGQLSQYPGPYSDFNMPLEHFNLRSCW CVDEAGQKLDGTQTKPGEIPACPGPCEEVKLRVLKFIKETEEIVSASNASSFPLGESFLV AKGIQLTSEELDLPPQFPSRDAFSEKFLRGGEYAIRLAAQSTLTFYQSLRASLGKSDGAA SLLWSGPYMPQCNMIGGWEPVQCHAGTGQCWCVDGRGEFIPGSLMSRSSQMPQCPTNCEL SRASGLISAWKQAGPQRNPGPGDLFIPVCLQTGEYVRKQTSGTGTWCVDPASGEGMPVNT NGSAQCPGLCDVLKSRALSRKVGLGYSPVCEALDGAFSPVQCDLAQGSCWCVLGSGEEVP GTRVVGTQPACESPQCPLPFSGSDVADGVIFCETASSSGVTTVQQCQLLCRQGLRSAFSP GPLICSLESQHWVTLPPPRACQRPQLWQTMQTQAHFQLLLPPGKMCSVDYSGLLQAFQVF ILDELIARGFCQIQVKTFGTLVSSTVCDNSSIQVGCLTAERLGVNVTWKLQLEDISVGSL PDLYSIERAVTGQDLLGRFADLIQSGRFQLHLDSKTFSADTTLYFLNGDSFVTSPRTQLG CMEGFYRVPTTRQDALGCVKCPEGSFSQDGRCTPCPAGTYQEQAGSSACIPCPRGRTTIT TGAFSKTHCVTDCQKNEAGLQCDQNGQYQASQKNRDSGEVFCVDSEGRKLQWLQTEAGLS ESQCLMIRKFDKAPESKVIFDANSPVIVKSSVPSADSPLVQCLTDCANDEACSFLTVSTM ESEVSCDFYSWTRDNFACVTSDQEQDAMGSLKATSFGSLRCQVKVRNSGKDSLAVYVKKG YESTAAGQKSFEPTGFQNVLSGLYSPVVFSASGANLTDTHTYCLLACDNDSCCDGFIITQ VKGGPTICGLLSSPDILLCHINDWRDTSATQANATCAGVTYDQGSRQMTLSLGGQEFLQG LALLEGTQDSFTSFQQVYLWKDSDMGSRPESMGCERGMVPRSDFPGDMATELFSPVDITQ VIVNTSHSLPSQQYWLFTHLFSAEQANLWCLSRCAQEPIFCQLADITKSSSLYFTCFLYP EAQVCDNVMESNAKNCSQILPHQPTALFRRKVVLNDRVKNFYTRLPFQKLTGISIRDKVP MSGKLISNGFFECERLCDRDPCCTGFGFLNVSQLQGGEVTCLTLNSMGIQTCNEESGATW RILDCGSEDTEVHTYPFGWYQKPAVWSDTPSFCPSAALQSLTEEKVTSDSWQTLALSSVI VDPSIKHFDVAHISTAATSNFSMAQDFCLQQCSRHQDCLVTTLQIQPGVVRCVFYPDIQN CIHSLRSHTCWLLLHEEATYIYRKSGIPLVQSDVTSTPSVRIDSFGQLQGGSQVIKVGTA WKQVYRFLGVPYAAPPLADNRFRAPEVLNWTGSWDATKPRASCWQPGTRTPTPPQINEDC LYLNVFVPENLVSNASVLVFFHNTMEMEGSGGQLTIDGSILAAVGNFIVVTANYRLGVFG FLSSGSDEVAGNWGLLDQVAALTWVQSHIGAFGGDPQRVTLAADRSGADVASIHLLISRP TRLQLFRKALLMGGSALSPAAIISPERAQQQAAALAKEVGCPTSSIQEVVSCLRQKPANI LNDAQTKLLAVSGPFHYWGPVVDGQYLRELPSRRLKRPLPVKVDLLIGGSQDDGLINRAK AVKQFEESQGRTNSKTAFYQALQNSLGGEDSDARILAAAVWYYSLEHSTDDYASFSRALE NATRDYFIICPMVNMASLWARRTRGNVFMYHVPESYGHGSLELLADVQYAFGLPFYSAYQ GQFSTEEQSLSLKVMQYFSNFIRSGNPNYPHEFSRKAAEFATPWPDFIPGAGGESYKELS AQLPNRQGLKQADCSFWSKYIQTLKDADGAKDAQLTKSEEEDLEVGPGLEEDLSGSLEPV PKSYSK >ENSMUSP00000129868.1 pep:known chromosome:GRCm38:15:66688836:66850607:1 gene:ENSMUSG00000053469.13 transcript:ENSMUST00000163495.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tg description:thyroglobulin [Source:MGI Symbol;Acc:MGI:98733] SDGAASLLWSGPYMPQCNMIGGWEPVQCHAGTGQCWCVDGRGEFIPGSLMSRSSQMPQCP TNCELSRASGLISAWKQAGPQRNPGPGDLFIPVCLQAHSVHCHSLGQMWLME >ENSMUSP00000126454.1 pep:known chromosome:GRCm38:15:66705290:66850607:1 gene:ENSMUSG00000053469.13 transcript:ENSMUST00000171045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tg description:thyroglobulin [Source:MGI Symbol;Acc:MGI:98733] XACIPCPRGRTTITTGAFSKTHCVTDCQKNEAGLQCDQNGQYQASQKNRDSGEVFCVDSE GRKLQWLQTEAGLSESQCLMIRKFDKAPESKVIFDANSPVIVKSSVPSADSPLVQCLTDC ANDEACSFLTVSTMESEVSCDFYSWTRDNFACVTSDQEQDAMGSLKATSFGSLRCQVKVR NSGKDSLAVYVKKGPTICGLLSSPDILLCHINDWRDTSATQANATCAGVTYDQGSRQMTL SLGGQEFLQGLALLEGTQDSFTSFQQVYLWKDSDMGSRPESMGCERGMVPRSDFPGDMAT ELFSPVDITQVIVNTSHSLPSQQYWLFTHLFSAEQANLWCLSRCAQEPIFCQLADITKSS SLYFTCFLYPEAQVCDNVMESNAKNCSQILPHQPTALFRRKVVLNDRVKNFYTRLPFQKL TGISIRDKVPMSGKLISNGFFECERLCDRDPCCTGFGFLNVSQLQGGEVTCLTLNSMGIQ TCNEESGATWRILDCGSEDTEVHTYPFGWYQKPAVWSDTPSFCPSAALQSLTEEKVTSDS WQTLALSSVIVDPSIKHFDVAHISTAATSNFSMAQDFCLQQCSRHQDCLVTTLQIQPGVV RCVFYPDIQNCIHSLRSHTCWLLLHEEATYIYRKSGIPLVQSDVTSTPSVRIDSFGQLQG GSQVIKVGTAWKQVYRFLGVPYAAPPLADNRFRAPEVLNWTGSWDATKPRASCWQPGTRT PTPPQINEDCLYLNVFVPENLVSNASVLVFFHNTMEMEGSGGQLTIDGSILAAVGNFIVV TANYRLGVFGFLSSGSDEVAGNWGLLDQVAALTWVQSHIGAFGGDPQRVTLAADRSGADV ASIHLLISRPTRLQLFRKALLMGGSALSPAAIISPERAQQQAAALAKEVGCPTSSIQEVV SCLRQKPANILNDAQTKLLAVSGPFHYWGPVVDGQYLRELPSRRLKRPLPVKVDLLIGGS QDDGLINRAKAVKQFEESQGRTNSKTAFYQALQNSLGGEDSDARILAAAVWYYSLEHSTD DYASFSRALENATRDYFIICPMVNMASLWARRTRGNVFMYHVPESYGHGSLELLADVQYA FGLPFYSAYQGQFSTEEQSLSLKVMQYFSNFIRSGNPNYPHEFSRKAAEFATPWPDFIPG AGGESYKELSAQLPNRQGLKQADCSFWSKYIQTLKDADGAKDAQLTKSEEEDLEVGPGLE EDLSGSLEPVPKSYSK >ENSMUSP00000128410.1 pep:known chromosome:GRCm38:15:66736250:66850721:1 gene:ENSMUSG00000053469.13 transcript:ENSMUST00000172153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tg description:thyroglobulin [Source:MGI Symbol;Acc:MGI:98733] XMATELFSPVDITQVIVNTSHSLPSQQYWLFTHLFSAEQANLWCLSRCAQEPIFCQLADI TKSSSLYFTCFLYPEAQVCDNVMESNAKNCSQILPHQPTALFRRKVVLNDRVKNFYTRLP FQKLTGISIRDKVPMSGKLISNGFFECERLCDRDPCCTGFGFLNVSQLQGGEVTCLTLNS MGIQTCNEESGATWRILDCGSEDTEVHTYPFGWYQKPVTSDSWQTLALSSVIVDPSIKHF DVAHISTAATSNFSMAQDFCLQQCSRHQDCLVTTLQIQPGVVRCVFYPDIQNCIHSLRSH TCWLLLHEEATYIYRKSGIPLVQSDVTSTPSVRIDSFGQLQGGSQVIKVGTAWKQVYRFL GVPYAAPPLADNRFRAPEVLNWTGSWDATKPRASCWQPGTRTPTPPQINEDCLYLNVFVP ENLVSNASVLVFFHNTMEMEGSGGQLTIDGSILAAVGNFIVVTANYRLGVFGFLSSGSDE VAGNWGLLDQVAALTWVQSHIGAFGGDPQRVTLAADRSGADVASIHLLISRPTRLQLFRK ALLMGGSALSPAAIISPERAQQQAAALAKEVGCPTSSIQEVVSCLRQKPANILNDAQTKL LAVSGPFHYWGPVVDGQYLRELPSRRLKRPLPVKVDLLIGGSQDDGLINRAKAVKQFEES QGRTNSKTAFYQALQNSLGGEDSDARILAAAVWYYSLEHSTDDYASFSRALENATRDYFI ICPMVNMASLWARRTRGNVFMYHVPESYGHGSLELLADVQYAFGLPFYSAYQGQFSTEEQ SLSLKVMQYFSNFIRSGNPNYPHEFSRKAAEFATPWPDFIPGAGGESYKELSAQLPNRQG LKQADCSFWSKYIQTLKDADGAKDAQLTKSEEEDLEVGPGLEEDLSGSLEPVPKSYSK >ENSMUSP00000092428.1 pep:known chromosome:GRCm38:4:118734531:118735478:1 gene:ENSMUSG00000070820.1 transcript:ENSMUST00000094832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1339 description:olfactory receptor 1339 [Source:MGI Symbol;Acc:MGI:3031173] MQGSSYENHSSVSEFILLGFSSNFQLNIILFNVFFFLYLSTLVGNGLIVTLIHLDSRLHT PMYFFLSVLSMLDMSYVTTTVPQMLVHLLCQKKTISYSGCVAQMYIFLVLGITEGWLFSV MAYDRYVAICHPLRYKVIMRPWLCGAMVVFCGLWGVSCSLIYTVFTMRLPYCGPNEINHF FCEVPAVLKLACADTSLNDRIDFILGFILLLVPLSFILASYVCIFATILRIRSAQGRLKA FSTCASHITVVTMFCGPAMFMYMNPGANASPERDKKLALFYNVISAFLNPIIYSLRNKDV KRAFLKVTGWGGATE >ENSMUSP00000030187.7 pep:known chromosome:GRCm38:4:43531519:43562422:-1 gene:ENSMUSG00000028465.16 transcript:ENSMUST00000030187.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tln1 description:talin 1 [Source:MGI Symbol;Acc:MGI:1099832] MVALSLKISIGNVVKTMQFEPSTMVYDACRMIRERIPEALAGPPNDFGLFLSDDDPKKGI WLEAGKALDYYMLRNGDTMEYRKKQRPLKIRMLDGTVKTIMVDDSKTVTDMLMTICARIG ITNHDEYSLVRELMEEKKDEGTGTLRKDKTLLRDEKKMEKLKQKLHTDDELNWLDHGRTL REQGVEEHETLLLRRKFFYSDQNVDSRDPVQLNLLYVQARDDILNGSHPVSFDKACEFAG FQCQIQFGPHNEQKHKAGFLDLKDFLPKEYVKQKGERKIFQAHKNCGQMSEIEAKVRYVK LARSLKTYGVSFFLVKEKMKGKNKLVPRLLGITKECVMRVDEKTKEVIQEWSLTNIKRWA ASPKSFTLDFGDYQDGYYSVQTTEGEQIAQLIAGYIDIILKKKKSKDHFGLEGDEESTML EDSVSPKKSTVLQQQYNRVGKVEHGSVALPAIMRSGASGPENFQVGSMPPAQQQITSGQM HRGHMPPLTSAQQALTGTINSSMQAVQAAQATLDDFETLPPLGQDAASKAWRKNKMDESK HEIHSQVDAITAGTASVVNLTAGDPAETDYTAVGCAVTTISSNLTEMSRGVKLLAALLED EGGNGRPLLQAAKGLAGAVSELLRSAQPASAEPRQNLLQAAGNVGQASGELLQQIGESDT DPHFQDVLMQLAKAVASAAAALVLKAKSVAQRTEDSGLQTQVIAAATQCALSTSQLVACT KVVAPTISSPVCQEQLVEAGRLVAKAVEGCVSASQAATEDGQLLRGVGAAATAVTQALNE LLQHVKAHATGAGPAGRYDQATDTILTVTENIFSSMGDAGEMVRQARILAQATSDLVNAI KADAEGESDLENSRKLLSAAKILADATAKMVEAAKGAAAHPDSEEQQQRLREAAEGLRMA TNAAAQNAIKKKLVQRLEHAAKQAAASATQTIAAAQHAASAPKASAGPQPLLVQSCKAVA EQIPLLVQGVRGSQAQPDSPSAQLALIAASQSFLQPGGKMVAAAKASVPTIQDQASAMQL SQCAKNLGTALAELRTAAQKAQEACGPLEMDSALSVVQNLEKDLQEIKAAARDGKLKPLP GETMEKCTQDLGNSTKAVSSAIAKLLGEIAQGNENYAGIAARDVAGGLRSLAQAARGVAA LTSDPAVQAIVLDTASDVLDKASSLIEEAKKASGHPGDPESQQRLAQVAKAVTQALNRCV SCLPGQRDVDNALRAVGDASKRLLSDSLPPSTGTFQEAQSRLNEAAAGLNQAATELVQAS RGTPQDLARASGRFGQDFSTFLEAGVEMAGQAPSQEDRAQVVSNLKGISMSSSKLLLAAK ALSTDPASPNLKSQLAAAARAVTDSINQLITMCTQQAPGQKECDNALRQLETVRELLENP VQPINDMSYFGCLDSVMENSKVLGEAMTGISQNAKNGNLPEFGDAIATASKALCGFTEAA AQAAYLVGVSDPNSQAGQQGLVEPTQFARANQAIQMACQSLGEPGCTQAQVLSAATIVAK HTSALCNSCRLASARTANPTAKRQFVQSAKEVANSTANLVKTIKALDGDFTEENRAQCRA ATAPLLEAVDNLSAFASNPEFSSVPAQISPEGRAAMEPIVISAKTMLESAGGLIQTARAL AVNPRDPPRWSVLAGHSRTVSDSIKKLITSMRDKAPGQLECETAIAALNSCLRDLDQASL AAVSQQLAPREGISQEALHTQMLTAVQEISHLIEPLASAARAEASQLGHKVSQMAQYFEP LTLAAVGAASKTLSHPQQMALLDQTKTLAESALQLLYTAKEAGGNPKQAAHTQEALEEAV QMMTEAVEDLTTTLNEAASAAGVVGGMVDSITQAINQLDEGPMGDPEGSFVDYQTTMVRT AKAIAVTVQEMVTKSNTSPEELGPLANQLTSDYGRLASQAKPAAVAAENEEIGAHIKHRV QELGHGCSALVTKAGALQCSPSDVYTKKELIECARRVSEKVSHVLAALQAGNRGTQACIT AASAVSGIIADLDTTIMFATAGTLNREGAETFADHREGILKTAKVLVEDTKVLVQNAAGS QEKLAQAAQSSVATITRLADVVKLGAASLGAEDPETQVVLINAVKDVAKALGDLISATKA AAGKVGDDPAVWQLKNSAKVMVTNVTSLLKTVKAVEDEATKGTRALEATTEHIRQELAVF CSPEPPAKTSTPEDFIRMTKGITMATAKAVAAGNSCRQEDVIATANLSRRAIADMLRACK EAAFHPEVAPDVRLRALHYGRECANGYLELLDHVLLTLQKPNPDLKQQLTGHSKRVAGSV TELIQAAEAMKGTEWVDPEDPTVIAENELLGAAAAIEAAAKKLEQLKPRAKPKEADESLN FEEQILEAAKSIAAATSALVKAASAAQRELVAQGKVGAIPANALDDGQWSQGLISAARMV AAATNNLCEAANAAVQGHASQEKLISSAKQVAASTAQLLVACKVKADQDSEAMKRLQAAG NAVKRASDNLVKAAQKAAAFEDQENETVVVKEKMVGGIAQIIAAQEEMLRKERELEEARK KLAQIRQQQYKFLPSELRDEH >ENSMUSP00000119956.1 pep:known chromosome:GRCm38:4:43545410:43547647:-1 gene:ENSMUSG00000028465.16 transcript:ENSMUST00000134623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tln1 description:talin 1 [Source:MGI Symbol;Acc:MGI:1099832] XTAVTQALNELLQHVKAHATGAGPAGRYDQATDTILTVTENIFSSMGDAGEMVRQARILA QATSDLVNAIKASAGPQPLLVQSCKAVAEQIPLLVQGVRGSQAQPDSPSAQLALIAASQS FL >ENSMUSP00000115681.1 pep:known chromosome:GRCm38:4:43546822:43551271:-1 gene:ENSMUSG00000028465.16 transcript:ENSMUST00000125509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tln1 description:talin 1 [Source:MGI Symbol;Acc:MGI:1099832] XDGYYSVQTTEGEQIAQLIAGYIDIILKKTSAQQALTGTINSSMQAVQAAQATLDDFETL PPLGQDAASKAWRKNKMDESKHEIHSQVDAITAGTASVVNLTAGDPAETDYTAVGCAVTT ISSNLTEMSRGVKLLAALLEDEGGNGRPLLQAAKGLAGAVSELLRSAQPASAEPRQNLLQ AAGNVGQASGELLQQIGESDTDPHFQDVLMQLAKAHATGAGPAGRYDQATDTILTVTENI FSSMGDAGEMVRQARILAQATSDLVNAIKADAEGESDLE >ENSMUSP00000119441.1 pep:known chromosome:GRCm38:4:43555106:43558460:-1 gene:ENSMUSG00000028465.16 transcript:ENSMUST00000130353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tln1 description:talin 1 [Source:MGI Symbol;Acc:MGI:1099832] MVALSLKISIGNVVKTMQFEPSTMVYDACRMIRERIPEALAGPPNDFGLFLSDDDPKKGI WLEAGKALDYYMLRNGDTMEYRKKQRPLKIRMLDGTVKTIMVDDSKTVTDMLMTICARIG ITNHDEYSLVRELMEEKKDEGTGTLRKDKTLLRDEKKMEKLKQKLHTDDELNWLDHGRTL REQGVEEHETLLLRRKFFYSDQNVDSRDPVQLNLLYVQARDDILNGSHPVSFDKACEFAG F >ENSMUSP00000028609.7 pep:known chromosome:GRCm38:2:103424062:103450989:1 gene:ENSMUSG00000027186.14 transcript:ENSMUST00000028609.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf5 description:E74-like factor 5 [Source:MGI Symbol;Acc:MGI:1335079] MLDSVTHSTFLPNASFCDPLMPWTDLFSNEDYYPAFEHQTACDSYWTSVHPEYWTKRHVW EWLQFCCDQYKLDANCISFCHFNISGLQLCSMTQEEFIEAAGICGEYLYFILQNIRSQGY SFFNDAEETKTGIKDYADSSCLKTSGIKSQDCHSRTSLQSSHLWEFVRDLLLSPEENCGI LEWEDREQGIFRVVKSEALAKMWGQRKKNDRMTYEKLSRALRYYYKTGILERVDRRLVYK FGKNAHGWQEEKL >ENSMUSP00000118565.1 pep:known chromosome:GRCm38:2:103424828:103449031:1 gene:ENSMUSG00000027186.14 transcript:ENSMUST00000126290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf5 description:E74-like factor 5 [Source:MGI Symbol;Acc:MGI:1335079] MLDSVTHSTFLPNASFCDPLMPWTDLFSNEDYYPAFEHQTACDSYWTSVHPEYWTKRHVW EWLQFCCDQYKLDANCISFCHFNISGLQLCSMTQEEFIEAAGICGEYLYFILQNIRSQGY SFFNDAEETKTGIKDYADSSCLKTSGIKSQDCHSRTSLQSSHLWEFVRDLLLSPEENCGI LEWEDREQGIFRVVKSEAL >ENSMUSP00000131940.1 pep:known chromosome:GRCm38:2:103424126:103449841:1 gene:ENSMUSG00000027186.14 transcript:ENSMUST00000171693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf5 description:E74-like factor 5 [Source:MGI Symbol;Acc:MGI:1335079] MLDSVTHSTFLPNASFCDPLMPWTDLFSNEDYYPAFEHQTACDSYWTSVHPEYWTKRHVW EWLQFCCDQYKLDANCISFCHFNISGLQLCSMTQEEFIEAAGICGEYLYFILQNIRSQGY SFFNDAEETKTGIKDYADSSCLKTSGIKSQDCHSRTSLQSSHLWEFVRDLLLSPEENCGI LEWEDREQGIFRVVKSEALAKMWGQRKKNDRMTYEKLSRALRYYYKTGILERVDRRLVYK FGKNAHGWQEEKL >ENSMUSP00000126941.1 pep:known chromosome:GRCm38:2:103411688:103450986:1 gene:ENSMUSG00000027186.14 transcript:ENSMUST00000164172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf5 description:E74-like factor 5 [Source:MGI Symbol;Acc:MGI:1335079] MLDSVTHSTFLPNASFCDPLMPWTDLFSNEDYYPAFEHQTACDSYWTSVHPEYWTKRHVW EWLQFCCDQYKLDANCISFCHFNISGLQLCSMTQEEFIEAAGICGEYLYFILQNIRSQGY SFFNDAEETKTGIKDYADSSCLKTSGIKSQDCHSRTSLQSSHLWEFVRDLLLSPEENCGI LEWEDREQGIFRVVKSEALAKMWGQRKKNDRMTYEKLSRALRYYYKTGILERVDRRLVYK FGKNAHGWQEEKL >ENSMUSP00000127192.1 pep:known chromosome:GRCm38:9:72532240:72622829:1 gene:ENSMUSG00000037674.15 transcript:ENSMUST00000163401.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx7 description:regulatory factor X, 7 [Source:MGI Symbol;Acc:MGI:2442675] MAEEQQQPPPQQLDAPQQLPLSAPNPGVALPALVPGLPGTEANALQHKIKNSICKTVQSK VDCILQEVEKFTDLEKLYLYLQLPSGLSSAEKSDQNAMSSSRAQQMHAFSWIRNTLEEHP ETSLPKQEVYDEYKSYCDNLGYHPLSAADFGKIMKNVFPNMKARRLGTRGKSKYCYSGLR KKAFVHMPTLPNLDFHKTGDGLEGVEPSGQLQNIDEEVISSACRLVCEWAQKVLSQPFDT VLELAHFLVKSHYIGTKSMAALTVMAAAPAGLKGIPQPSAFIPTAESNSFQPQVKTLPSP IDAKQQLQRKIQKKQQEQKLQSPLPGESSAKKPEGTTANGVANLPNGNPAILSPQPIGIV VAAVPSPIPVQRTRQLVTSPSPMNSPDGKVLPLNVQVVTQHMQSVKQTPKTPQNVPASPG GDRSARHRYPQILPKPANTSALTIRSPTTVLFTSSPIKTAVVPASHMSSLNVVKMTTISL TPSNSNAPLKHSASVSSATGTTEESRIVPQIKNGSVVSLQSPGSRASSTGGTSAVEVKME PEGSSDEHPLQCQENSEETKAPLTTSSALWGQKSNTDGTVPKPSNEGVTEVKTTKVCDQR TKCKNRCNEILSGISAGNNQSTVTLSVATQNLPFTSTSSPSNGDSVNKDPKICTKSPRKR LSATLQESQVPPVKKPIVEQLSAVTIEGQKPGTVKKDQKVPHSGKTESSTAGAQIPNKVS ISVSSQIIEDQPVNPALVTSEPVLEQQTTPSSSPDVKVKLEGSVFLLDRESKSDGSFNRN EWQQVTKDSDFIAASCEHQQDISVMTIAEHPDIHDLEKSVWELEGMPQDTYSQQLHSQIP ESSLNEIQAQSSDQLPLQSELKEFESSVSQTNESYFPFDDELTQDSIVEELVLMEQQMSM NNSHSYGNCLGMSLQNQSVTPGAPMSSHASSTHFYHPIHSNGTPIHTPTPTPTPTPTPTP TPTPTSEMIAGSQSLSRESPCSRLAQTTPVDSALGSSRHTPIGTPHSNCSSSVPPSPVEC RNPFAFTPISSSMAYHDASIVSSSPVKPMQRPMATHPDKTKLEWMNNGYGGVGNSSVSGH GILPSYQELVEDRFRKPHAFAVPGQSYQSQSRHHDTHFGRLTPVSPVQHQGATVNTNKQE GFAVPAPLDNKGTNSSAGSNFRCRSVSPAVHRQRNLSGSTLYPVSNIPRSNVTPFGSPVT PEVHVFTNVHTDACANNIAQRSQSVPLTVMMQTAFPNALQKQTNSKKITNVLLSKLDSDN DDSVRGLGINNVPSNYTARMNLTQILETSPVFPSANSQNMIDSSTSVYEFQTPSYLTKSN STDQISFSPGDNQAQSEIGEQQLDFNSTVKDLLSGDNLQTSQQLVGQVASDLTNTASDFS SDIRLSSDLSGSINDLNTLDPNLLFDPGRQQGQDDEATLEELKNDPLFQQICSESMNSMT SSGFEWIESKDHPTVEMLG >ENSMUSP00000139195.1 pep:known chromosome:GRCm38:9:72532609:72612423:1 gene:ENSMUSG00000037674.15 transcript:ENSMUST00000183372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx7 description:regulatory factor X, 7 [Source:MGI Symbol;Acc:MGI:2442675] MAEEQQQPPPQQLDAPQQLPLSAPNPGVALPALVPGLPGTEANALQHKIKNSICKTVQSK VDCILQEVEKFTDLEKLYLYLQLPSGLSSAEKSDQNAMSSSRAQQMHAFSWIRNTLEEHP ETSLPKQEVYDEYKSYCDNLGYHPLSAADFGKIMKNVFPNMKARRLGTRGKSKYCYSGLR KKAFVHMPTLPNLDFHKTGDGLEGVEPSGQLQNIDEEVISSACRLVCEWAQKVLSQPFDT VLELAHFLVKSHYIGTKSMAALTVMAAAPAGMETDRIAIGRADSKRELAGQ >ENSMUSP00000138957.1 pep:known chromosome:GRCm38:9:72532735:72612486:1 gene:ENSMUSG00000037674.15 transcript:ENSMUST00000184015.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx7 description:regulatory factor X, 7 [Source:MGI Symbol;Acc:MGI:2442675] MAEEQQQPPPQQLDAPQQLPLSAPNPGVALPALVPGLPGTEANALQHKIKNSICKTVQSK VDCILQEVEKFTDLEKLYLYLQLPSGLSSAEKSDQNAMSSSRAQQMHAFSWIRNTLEEHP ETSLPKQEVYDEYKSYCDNLGYHPLSAADFGKIMKNVFPNMKARRLGTRGKSKYCYSGLR KKAFVHMPTLPNLDFHKTGDGLEGVEPSGQLQNIDEEVISSACRLVCEWAQKVLSQPFDT VLELAHFLVKSHYIGTKSMAALTVMAAAPAGMETDRIAIGRADSKRELAGQ >ENSMUSP00000091338.3 pep:known chromosome:GRCm38:9:72532240:72622937:1 gene:ENSMUSG00000037674.15 transcript:ENSMUST00000093820.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx7 description:regulatory factor X, 7 [Source:MGI Symbol;Acc:MGI:2442675] MAEEQQQPPPQQLDAPQQLPLSAPNPGVALPALVPGLPGTEANALQHKIKNSICKTVQSK VDCILQEVEKFTDLEKLYLYLQLPSGLSSAEKSDQNAMSSSRAQQMHAFSWIRNTLEEHP ETSLPKQEVYDEYKSYCDNLGYHPLSAADFGKIMKNVFPNMKARRLGTRGKSKYCYSGLR KKAFVHMPTLPNLDFHKTGDGLEGVEPSGQLQNIDEEVISSACRLVCEWAQKVLSQPFDT VLELAHFLVKSHYIGTKSMAALTVMAAAPAGLKGIPQPSAFIPTAESNSFQPQVKTLPSP IDAKQQLQRKIQKKQQEQKLQSPLPGESSAKKPEGTTANGVANLPNGNPAILSPQPIGIV VAAVPSPIPVQRTRQLVTSPSPMNSPDGKVLPLNVQVVTQHMQSVKQTPKTPQNVPASPG GDRSARHRYPQILPKPANTSALTIRSPTTVLFTSSPIKTAVVPASHMSSLNVVKMTTISL TPSNSNAPLKHSASVSSATGTTEESRIVPQIKNGSVVSLQSPGSRASSTGGTSAVEVKME PEGSSDEHPLQCQENSEETKAPLTTSSALWGQKSNTDGTVPKPSNEGVTEVKTTKVCDQR TKCKNRCNEILSGISAGNNQSTVTLSVATQNLPFTSTSSPSNGDSVNKDPKICTKSPRKR LSATLQESQVPPVKKPIVEQLSAVTIEGQKPGTVKKDQKVPHSGKTESSTAGAQIPNKVS ISVSSQIIEDQPVNPALVTSEPVLEQQTTPSSSPDVKVKLEGSVFLLDRESKSDGSFNRN EWQQVTKDSDFIAASCEHQQDISVMTIAEHPDIHDLEKSVWELEGMPQDTYSQQLHSQIP ESSLNEIQAQSSDQLPLQSELKEFESSVSQTNESYFPFDDELTQDSIVEELVLMEQQMSM NNSHSYGNCLGMSLQNQSVTPGAPMSSHASSTHFYHPIHSNGTPIHTPTPTPTPTPTPTP TPTPTSEMIAGSQSLSRESPCSRLAQTTPVDSALGSSRHTPIGTPHSNCSSSVPPSPVEC RNPFAFTPISSSMAYHDASIVSSSPVKPMQRPMATHPDKTKLEWMNNGYGGVGNSSVSGH GILPSYQELVEDRFRKPHAFAVPGQSYQSQSRHHDTHFGRLTPVSPVQHQGATVNTNKQE GFAVPAPLDNKGTNSSAGSNFRCRSVSPAVHRQRNLSGSTLYPVSNIPRSNVTPFGSPVT PEVHVFTNVHTDACANNIAQRSQSVPLTVMMQTAFPNALQKQTNSKKITNVLLSKLDSDN DDSVRGLGINNVPSNYTARMNLTQILETSPVFPSANSQNMIDSSTSVYEFQTPSYLTKSN STDQISFSPGDNQAQSEIGEQQLDFNSTVKDLLSGDNLQTSQQLVGQVASDLTNTASDFS SDIRLSSDLSGSINDLNTLDPNLLFDPGRQQGQDDEATLEELKNDPLFQQICSESMNSMT SSGFEWIESKDHPTVEMLG >ENSMUSP00000141116.1 pep:known chromosome:GRCm38:7:142201364:142203015:1 gene:ENSMUSG00000046248.9 transcript:ENSMUST00000187512.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap5-3 description:keratin associated protein 5-3 [Source:MGI Symbol;Acc:MGI:1924465] MSCCGCCGGCGSSCCKPVCCCVPVCSCSSCGGCKGGCSSCGGCGSCGGCKGGCGSCGGCG SCGGCKGGCGSCGGCGSCGGCKGGCGSCGGCGSCGGCKGGCGSCGGCGSCGGCKGGCSSC GGCGSCGGCKGGCGSCGGCGSCGGCKGGCGSCGGCGSCGGCKGGCGSCGGCGSCGGCKGG CGSCGGCGSCGGCKGGCCSCGGCGSCGGCKGGCSSCGGCGSCGGCKGGCCSCGGCGSCGG CKGGCSSCGGCGTCGGCKGCCSSCGGCGSCGGCGSCGGCKGGCGSCGGCGSCGGCKGGCG SCGGCKGGCSSCGGCGSCGCCQSSCCKPCCCQSSCCKPCCCQSSCCKPCCCQPSCC >ENSMUSP00000081451.5 pep:known chromosome:GRCm38:7:142201364:142203015:1 gene:ENSMUSG00000046248.9 transcript:ENSMUST00000084414.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap5-3 description:keratin associated protein 5-3 [Source:MGI Symbol;Acc:MGI:1924465] MSCCGCCGGCGSSCCKPVCCCVPVCSCSSCGGCKGGCSSCGGCGSCGGCKGGCGSCGGCG SCGGCKGGCGSCGGCGSCGGCKGGCGSCGGCGSCGGCKGGCGSCGGCGSCGGCKGGCSSC GGCGSCVAASPAVVSPAAASPAVQALLLPVQLLQALLLPAQLLLVQLLLSLLLLHDCGSS CCPMSCSLPIYCQREI >ENSMUSP00000118997.1 pep:known chromosome:GRCm38:8:122628739:122699109:-1 gene:ENSMUSG00000006362.15 transcript:ENSMUST00000127984.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfa2t3 description:core-binding factor, runt domain, alpha subunit 2, translocated to, 3 (human) [Source:MGI Symbol;Acc:MGI:1338013] MSQASTTTLESGALLSGPRGLQNGSPAHRKEKAAAMPDSPAEVKTQPRSTPPSMPPPPPT SSQGATRPPSFTPHTHGEDGPATSLPHGRFHGCLKWSMVCLLMNGSSHSPTAIHGAPSTP NGFSNGPATSSTASLSTQHLPPACGARQLSKLKRFLTTLQQFGSDISPEIGERVRTLVLG LVNSTLTIEEFHAKLQEATNFPLRPFVIPFLKANLPLLQRELLHCARLAKQTPAQYLAQH EQLLLDASATSPVDSSELLLEVNENGKRRTPDRTKENGSDRDPLHPDHLSKRSCTLSPAQ RCSPSNGLPHPTPPPPPHYRLEDMAMAHHFRDSYRHPDPRELRERHRPLAIPGSRQEEVI DHRLTEREWAEEWKHLNSLLNCIMDMVEKTRRSLTVLRRCQEADREELNHWIRCYSDSEE GKKGPTPISARSLNSCSGPEGSQLDVHRDFTPRTLSGYMPEEIWRKAEEAVNEVKRQAMS ELQKAVSDAERKAHELITTERAKMERALAEAKRQASEDALTVINQQEDSSESCWNCGRKA SETCSGCNAARYCGSFCQHKDWEKHHHVCGQSLQGPAAAVADPLPGQPDATASPSEAGSA GPSRPCSPGPPGPLDAAVPR >ENSMUSP00000006525.6 pep:known chromosome:GRCm38:8:122629463:122678072:-1 gene:ENSMUSG00000006362.15 transcript:ENSMUST00000006525.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfa2t3 description:core-binding factor, runt domain, alpha subunit 2, translocated to, 3 (human) [Source:MGI Symbol;Acc:MGI:1338013] MPDSPAEVKTQPRSTPPSMPPPPPTSSQGATRPPSFTPHTLMNGSSHSPTAIHGAPSTPN GFSNGPATSSTASLSTQHLPPACGARQLSKLKRFLTTLQQFGSDISPEIGERVRTLVLGL VNSTLTIEEFHAKLQEATNFPLRPFVIPFLKANLPLLQRELLHCARLAKQTPAQYLAQHE QLLLDASATSPVDSSELLLEVNENGKRRTPDRTKENGSDRDPLHPDHLSKRSCTLSPAQR CSPSNGLPHPTPPPPPHYRLEDMAMAHHFRDSYRHPDPRELRERHRPLAIPGSRQEEVID HRLTEREWAEEWKHLNSLLNCIMDMVEKTRRSLTVLRRCQEADREELNHWIRCYSDSEEG KKGPTPISARSLNSCSGPEGSQLDVHRDFTPRTLSGYMPEEIWRKAEEAVNEVKRQAMSE LQKAVSDAERKAHELITTERAKMERALAEAKRQASEDALTVINQQEDSSESCWNCGRKAS ETCSGCNAARYCGSFCQHKDWEKHHHVCGQSLQGPAAAVADPLPGQPDATASPSEAGSAG PSRPCSPGPPGPLDAAVPR >ENSMUSP00000065728.6 pep:known chromosome:GRCm38:8:122629938:122678175:-1 gene:ENSMUSG00000006362.15 transcript:ENSMUST00000064674.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfa2t3 description:core-binding factor, runt domain, alpha subunit 2, translocated to, 3 (human) [Source:MGI Symbol;Acc:MGI:1338013] MPDSPAEVKTQPRSTPPSMPPPPPTSSQGATRPPSFTPHTHGEDGPATSLPHGRFHGCLK WSMVCLLMNGSSHSPTAIHGAPSTPNGFSNGPATSSTASLSTQHLPPACGARQLSKLKRF LTTLQQFGSDISPEIGERVRTLVLGLVNSTLTIEEFHAKLQEATNFPLRPFVIPFLKANL PLLQRELLHCARLAKQTPAQYLAQHEQLLLDASATSPVDSSELLLEVNENGKRRTPDRTK ENGSDRDPLHPDHLSKRSCTLSPAQRCSPSNGLPHPTPPPPPHYRLEDMAMAHHFRDSYR HPDPRELRERHRPLAIPGSRQEEVIDHRLTEREWAEEWKHLNSLLNCIMDMVEKTRRSLT VLRRCQEADREELNHWIRCYSDSEEGKKGPTPISARSLNSCSGPEGSQLDVHRDFTPRTL SGYMPEEIWRKAEEAVNEVKRQAMSELQKAVSDAERKAHELITTERAKMERALAEAKRQA SEDALTVINQQEDSSESCWNCGRKASETCSGCNAARYCGSFCQHKDWEKHHHVCGQSLQG PAAAVADPLPGQPDATASPSEAGSAGPSRPCSPGPPGPLDAAVPR >ENSMUSP00000117630.1 pep:known chromosome:GRCm38:8:122639014:122678694:-1 gene:ENSMUSG00000006362.15 transcript:ENSMUST00000134045.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbfa2t3 description:core-binding factor, runt domain, alpha subunit 2, translocated to, 3 (human) [Source:MGI Symbol;Acc:MGI:1338013] MPDSPAEVKTQPRSTPPSMPPPPPTSSQGATRPPSFTPHTHGEDGPATSLPHGRFHGCLK WSMVCLLMNGSSHSPTAIHGAPSTPNGFSNGPATSSTASLSTQHLPPACGARQLSKLKRF LTTLQQFGSDISPEIGERVRTLVLGLVNSTLTIEEFHAKLQEATNFPLRPFVIPFLKANL PLLQR >ENSMUSP00000065743.4 pep:known chromosome:GRCm38:3:106502260:106547802:-1 gene:ENSMUSG00000040774.15 transcript:ENSMUST00000068301.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cept1 description:choline/ethanolaminephosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2139793] MSGHRSTRKRCGDSHPESPVGFGHMSTTGCVLNKLFQLPTPPLSRHQLKRLEEHRYQSAG RSLLEPLMQGYWEWLVGRVPSWIAPNLITIIGLSINICTTILLVFYCPTATEQAPLWAYI ACACGLFIYQSLDAIDGKQARRTNSSSPLGELFDHGCDSLSTVFVVLGTCIAVQLGTNPD WMFFCCFAGTFMFYCAHWQTYVSGTLRFGIIDVTEVQIFIIIMHLLAVIGGPPFWQSMIP VLNIQMKLLPALCTVAGTIFSCTNYFRVIFTGGVGKNGSTIAGTSVLSPFLHIGSVITLA VMIYKKSAVQLFEKHPCLYILTFGFVSAKITNKLVVAHMTKSEMHLHDTAFIGPALLFLD QYFNSFIDEYIVLWIALIFSFFDLIRYCVSVCNQIASHLHIHVFRIKASTAHSNHH >ENSMUSP00000112509.1 pep:known chromosome:GRCm38:3:106502983:106547582:-1 gene:ENSMUSG00000040774.15 transcript:ENSMUST00000121231.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cept1 description:choline/ethanolaminephosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2139793] MSGHRSTRKRCGDSHPESPVGFGHMSTTGCVLNKLFQLPTPPLSRHQLKRLEEHRYQSAG RSLLEPLMQGYWEWLVGRVPSWIAPNLITIIGLSINICTTILLVFYCPTATEQAPLWAYI ACACGLFIYQSLDAIDGKQARRTNSSSPLGELFDHGCDSLSTVFVVLGTCIAVQLGTNPD WMFFCCFAGTFMFYCAHWQTYVSGTLRFGIIDVTEVQIFIIIMHLLAVIGGPPFWQSMIP VLNIQMKLLPALCTVAGTIFSCTNYFRVIFTGGVGKNGSTIAGTSVLSPFLHIGSVITLA VMIYKKSAVQLFEKHPCLYILTFGFVSAKITNKLVVAHMTKSEMHLHDTAFIGPALLFLD QYFNSFIDEYIVLWIALIFSFFDLIRYCVSVCNQIASHLHIHVFRIKASTAHSNHH >ENSMUSP00000037277.7 pep:known chromosome:GRCm38:3:106502983:106547731:-1 gene:ENSMUSG00000040774.15 transcript:ENSMUST00000039153.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cept1 description:choline/ethanolaminephosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2139793] MSGHRSTRKRCGDSHPESPVGFGHMSTTGCVLNKLFQLPTPPLSRHQLKRLEEHRYQSAG RSLLEPLMQGYWEWLVGRVPSWIAPNLITIIGLSINICTTILLVFYCPTATEQAPLWAYI ACACGLFIYQSLDAIDGKQARRTNSSSPLGELFDHGCDSLSTVFVVLGTCIAVQLGTNPD WMFFCCFAGTFMFYCAHWQTYVSGTLRFGIFDVTESQILIILFQLLSGTVGPWFWNFTIP VLNIQMKLLPALCTVAGTIFSCTNYFRVIFTGGVGKNGSTIAGTSVLSPFLHIGSVITLA VMIYKKSAVQLFEKHPCLYILTFGFVSAKITNKLVVAHMTKSEMHLHDTAFIGPALLFLD QYFNSFIDEYIVLWIALIFSFFDLIRYCVSVCNQIASHLHIHVFRIKASTAHSNHH >ENSMUSP00000142097.1 pep:known chromosome:GRCm38:3:106505254:106547699:-1 gene:ENSMUSG00000040774.15 transcript:ENSMUST00000192438.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cept1 description:choline/ethanolaminephosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2139793] MSGHRSTRKRCGDSHPESPVGFGHMSTTGCVLNKLFQLPTPPLSRHQLKRLEEHRYQSAG RSLLEPLMQGYWEWLVGRVPSWIAPNLITIIGLSINICTTILLVFYCPTATEQAPLWAYI ACACGLFIYQSLDAIDGKQARRTNSSSPLGELFDHGCDSLSTVQIFIIIMHLLAVIGGPP FWQSMIPVLNIQMKLLPALCTVAGTIFSCTNYFRVIFTGGVGKNGSTIAGTSVLSPFLHI GSVITLA >ENSMUSP00000118343.1 pep:known chromosome:GRCm38:3:106520033:106547743:-1 gene:ENSMUSG00000040774.15 transcript:ENSMUST00000148269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cept1 description:choline/ethanolaminephosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2139793] MSGHRSTRKRCGDSHPESPVGFGHMSTTGCVLNKLFQLPTPPLSRHQLKRLEEHRYQSAG RSLLEPLMQGYWEWLVGRVPSWIAPNLITIIGLSINICTTILLVFYCPTATEQAPLWAYI ACACGLFIYQSLDAIDGKQARRTNSSSPLGELFDHGCDSLSTESQILIILFQLLSGTV >ENSMUSP00000115898.1 pep:known chromosome:GRCm38:3:106531270:106547718:-1 gene:ENSMUSG00000040774.15 transcript:ENSMUST00000137530.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cept1 description:choline/ethanolaminephosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2139793] MSGHRSTRKRCGDSHPESPVGFGHMSTTGHLCGLILPVLVAFSFTSLWMP >ENSMUSP00000122460.1 pep:known chromosome:GRCm38:3:106539348:106547592:-1 gene:ENSMUSG00000040774.15 transcript:ENSMUST00000141525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cept1 description:choline/ethanolaminephosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2139793] MSGHRSTRKRCGDSHPESPVGFGHMSTTGCVLNKLFQLPTPPLSRHQLKRLEEHRYQSAG >ENSMUSP00000110238.1 pep:known chromosome:GRCm38:5:31198981:31202303:-1 gene:ENSMUSG00000043059.16 transcript:ENSMUST00000114590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp513 description:zinc finger protein 513 [Source:MGI Symbol;Acc:MGI:2141255] MPRRKQSHPQPVKCEGVKVDTEDSFDEGPGALVLESDLLLGQDLEFEEEEEEDEGDGHND QLMGFERDSEGDSQGARPGLPYGLSDDESGGGRALSAESEVEEPARGPGEARGERPGPAC QLCGGPTGEGPCCGAGGPGGGPPLPPRLLYSCRLCAFVSHYSSHLKRHMQTHSGEKPFRC GRCPYASAQLVNLTRHTRTHTGEKPYRCPHCPFACSSLGNLRRHQRTHTGPPTPPCPTCG FRCCAPRPTRPPSPTEQEGTMPRRSEDALILPDLSLHVPPGGASFLPDCGQLRGEGESLC GTGSEPLPELLFPWTCRGCGQELEEGEGSRLGAAMCGRCMRGEAGGVATGGPQGPGDKGF ACSLCPFATHYPNHLARHMKTHSGEKPFRCARCPYASAHLDNLKRHQRVHTGEKPYKCPL CPYACGNLANLKRHGRIHSGDKPFRCSLCNYSCNQSMNLKRHMLRHTGEKPFRCATCAYT TGHWDNYKRHQKVHGHGGAGGPGLSAPEGWAPPHSPPSVLSTRGPAALGATGSRALHSDS P >ENSMUSP00000031562.8 pep:known chromosome:GRCm38:5:31198983:31202042:-1 gene:ENSMUSG00000043059.16 transcript:ENSMUST00000031562.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp513 description:zinc finger protein 513 [Source:MGI Symbol;Acc:MGI:2141255] MGTAWEGDSTSTLPSLVDTEDSFDEGPGALVLESDLLLGQDLEFEEEEEEDEGDGHNDQL MGFERDSEGDSQGARPGLPYGLSDDESGGGRALSAESEVEEPARGPGEARGERPGPACQL CGGPTGEGPCCGAGGPGGGPPLPPRLLYSCRLCAFVSHYSSHLKRHMQTHSGEKPFRCGR CPYASAQLVNLTRHTRTHTGEKPYRCPHCPFACSSLGNLRRHQRTHTGPPTPPCPTCGFR CCAPRPTRPPSPTEQEGTMPRRSEDALILPDLSLHVPPGGASFLPDCGQLRGEGESLCGT GSEPLPELLFPWTCRGCGQELEEGEGSRLGAAMCGRCMRGEAGGVATGGPQGPGDKGFAC SLCPFATHYPNHLARHMKTHSGEKPFRCARCPYASAHLDNLKRHQRVHTGEKPYKCPLCP YACGNLANLKRHGRIHSGDKPFRCSLCNYSCNQSMNLKRHMLRHTGEKPFRCATCAYTTG HWDNYKRHQKVHGHGGAGGPGLSAPEGWAPPHSPPSVLSTRGPAALGATGSRALHSDSP >ENSMUSP00000144517.1 pep:known chromosome:GRCm38:5:31199781:31200286:-1 gene:ENSMUSG00000043059.16 transcript:ENSMUST00000201968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp513 description:zinc finger protein 513 [Source:MGI Symbol;Acc:MGI:2141255] RPPSPTEQEGTMPRRSEDALILPDLSLHVPPGGASFLPDCGQLRGEGESLCGTGSEPLPE LLFPWTCRGCGQELEEVYAPLPLTTPTTWLGT >ENSMUSP00000143817.1 pep:known chromosome:GRCm38:5:31200669:31202215:-1 gene:ENSMUSG00000043059.16 transcript:ENSMUST00000202929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp513 description:zinc finger protein 513 [Source:MGI Symbol;Acc:MGI:2141255] MPRRKQSHPQPVKCEGVKGDSQGARPGLPYGLSDDESGGGRALSAESEVEEPARGPGEAR GERPGPACQL >ENSMUSP00000144172.1 pep:known chromosome:GRCm38:5:31200756:31202225:-1 gene:ENSMUSG00000043059.16 transcript:ENSMUST00000201231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp513 description:zinc finger protein 513 [Source:MGI Symbol;Acc:MGI:2141255] MGTAWEGDSTSTLPSLVDTEDSFDEGPGALVLESDLLLGQDLEFEEEEEEDEGDGHNDQL MGFERDSEGDSQGARPGLPYGLSDDESGGGR >ENSMUSP00000045384.7 pep:known chromosome:GRCm38:9:20868642:20874307:1 gene:ENSMUSG00000038884.14 transcript:ENSMUST00000043911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A230050P20Rik description:RIKEN cDNA A230050P20 gene [Source:MGI Symbol;Acc:MGI:2441788] MAQDGVELEKSVRRLREKFHGKVSPKKAGALMRKFGSDHTGVGRSIVYGVKQKDGQELSN DLDAQDPPEDMKQDQDIQAVATSLLPLTQANLRMFQRAQDDLIPAVDRQFACSSCDHVWW RRVPQRKEVSRCRKCRKRYEPVPLDKMWGLAEFHCPKCRHNFRGWAQMGSPSPCYGCGFP VYPTRILPPRWDRDLDRRSTHTHSCSAADCYNRREPHVPGTSCAHPKSRKQNHLPKVLHP SNPHISSGSTVATCLSQGGLVDDLDHLILEDLKEEEEEEEEEEEDGGPRE >ENSMUSP00000118045.1 pep:known chromosome:GRCm38:X:164269371:164369112:1 gene:ENSMUSG00000031379.13 transcript:ENSMUST00000145412.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pir description:pirin [Source:MGI Symbol;Acc:MGI:1916906] MASSKKVTLSVLSREQSEGVGARVRRSIGRPELKNLDPFLLFDEFKGGKPGGFPDHPHRG FETVSYLLEGGSMAHEDFCGHVGKMNPGDLQWMTAGRGILHAEMPCSEEPAHGLQLWVNL RRSEKMVAPQYQELKSEEIPKPTKDGVTVAVISGEALGIKSKVYTRTPTLYLDFKLDQGA KHSQPIPKGWTSFIYTISGDVYIGPDDAQQKIEPHHTAVLGEGDAVQLENKDPKRSHFVL IAGEPLREPVVQH >ENSMUSP00000033749.7 pep:known chromosome:GRCm38:X:164269431:164373011:1 gene:ENSMUSG00000031379.13 transcript:ENSMUST00000033749.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pir description:pirin [Source:MGI Symbol;Acc:MGI:1916906] MASSKKVTLSVLSREQSEGVGARVRRSIGRPELKNLDPFLLFDEFKGGKPGGFPDHPHRG FETVSYLLEGGSMAHEDFCGHVGKMNPGDLQWMTAGRGILHAEMPCSEEPAHGLQLWVNL RRSEKMVAPQYQELKSEEIPKPTKDGVTVAVISGEALGIKSKVYTRTPTLYLDFKLDQGA KHSQPIPKGWTSFIYTISGDVYIGPDDAQQKIEPHHTAVLGEGDAVQLENKDPKRSHFVL IAGEPLREPVVQHGPFVMNTNEEISQAILDFRNAKNGFEGARTWKSKIGN >ENSMUSP00000034787.5 pep:known chromosome:GRCm38:9:82866159:82975516:-1 gene:ENSMUSG00000032253.13 transcript:ENSMUST00000034787.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phip description:pleckstrin homology domain interacting protein [Source:MGI Symbol;Acc:MGI:1932404] MSRERKGLSELRSELYFLIARFLEDGPCQQAAQVLIREVAEKELLPRRTDWTGKEHPRTY QNLVKYYRHLAPDHLLQICHRLGPLLEQEIPQSVPGVQTLLGAGRQSLLRTNKSCKHVVW KGSALAALHCGRPPESPVNYGSPPSIADTLFSRKLNGKYRLERLVPTAVYQHMKMHKRIL GHLSSVYCVTFDRTGRRIFTGSDDCLVKIWATDDGRLLATLRGHAAEISDMAVNYENTMI AAGSCDKMIRVWCLRTCAPLAVLQGHSASITSLQFSPLCSGSKRYLSSTGADGTICFWLW DAGTLKINPRPTKFTERPRPGVQMICSSFSAGGMFLATGSTDHIIRVYFFGSGQPEKISE LEFHTDKVDSIQFSNTSNRFVSGSRDGTARIWQFKRREWKSILLDMATRPAGQNLQGIED KITKMKVTMVAWDRHDNTVITAVNNMTLKVWNSYTGQLIHVLMGHEDEVFVLEPHPFDPR VLFSAGHDGNVIVWDLARGVKVRSYFNMIEGQGHGAVFDCKCSPDGQHFACTDSHGHLLI FGFGSSSKYDKIADQMFFHSDYRPLIRDANNFVLDEQTQQAPHLMPPPFLVDVDGNPHPS RYQRLVPGRENCREEQLIPQMGVTSSGLNQVLSQQANQDISPLDSMIQRLQQEQDLRRSG EAGVSNASRVNRGSVSSTSEVHSPPNIGLRRSGQIEGVRQMHSNAPRSEIATERDLVAWS RRVVVPELSAGVASRQEEWRTAKGEEEIKSYRSEEKRKHLTVAKENKILTVSKNHAHEHF LDLGDSKKQQANQHNYRTRSALEETPRPLEELENGTSSSDEGEVLAVSGGTSEEEERAWH SDGSSSDYSSDYSDWTADAGINLQPPKKVPKHKTKKPESSSDEEEESENQKQKHIKKERK KANEEKDGPTSPKKKKPKERKQKRLAVGELTENGLTLEEWLPSAWITDTLPRRCPFVPQM GDEVYYFRQGHEAYVEMARKNKIYSINPKKQPWHKMELREQELMKIVGIKYEVGLPTLCC LKLAFLDPDTGKLTGGSFTMKYHDMPDVIDFLVLRQQFDDAKYRRWNIGDRFRSVIDDAW WFGTIESQEPLQPEYPDSLFQCYNVCWDNGDTEKMSPWDMELIPNNAVFPEELGTSVPLT DVECRSLIYKPLDGEWGANPRDEECERIVGGINQLMTLDIASAFVAPVDLQAYPMYCTVV AYPTDLSTIKQRLENRFYRRFSSLMWEVRYIEHNTRTFNEPGSPIVKSAKFVTDLLLHFI KDQTCYNIIPLYNSMKKKVLSDSEEEEKDADVPGTSTRKRKDHQPRRRLRNRAQSYDIQA WKKQCQELLNLIFQCEDSEPFRQPVDLLEYPDYRDIIDTPMDFATVRETLEAGNYESPME LCKDVRLIFSNSKAYTPSKRSRIYSMSLRLSAFFEEHISSVLSDYKSALRFHKRNTISKK RKKRNRSSSLSSSAASSPERKKRILKPQLKSEVSTSPFSIPTRSVLPRHNAAQMNGKPES SSVVRTRSNRVAVDPVVTEQPSTSSATKAFVSKTNTSAMPGKAMLENSVRHSKALSTLSS PDPLTFSHATKNNSAKENMEKEKPVKRKMKSSVFSKASPLPKSAAVIEQGECKNNVLIPG TIQVNGHGGQPSKLVKRGPGRKPKVEVNTSSGEVTHKKRGRKPKNLQCAKQENSEQNNMH PIRADVLPSSTCNFLSETNAVKEDLLQKKSRGGRKPKRKMKTHNLDSELIVPTNVKVLRR SNRKKTDDPIDEEEEFEELKGSEPHMRTRNQGRRTAFYNEDDSEEEQRQLLFEDTSLTFG TSSRGRVRKLTEKAKANLIGW >ENSMUSP00000139678.1 pep:known chromosome:GRCm38:9:82900656:82932575:-1 gene:ENSMUSG00000032253.13 transcript:ENSMUST00000186089.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phip description:pleckstrin homology domain interacting protein [Source:MGI Symbol;Acc:MGI:1932404] XCSGSKRYLSSTGADGTICFWLWDAGTLKINPRPTKFTERPRPGVQMICSSFSAGGMFLA TGSTDHIIRVYFFGSGQPEKISELEFHTVCEW >ENSMUSP00000139866.1 pep:known chromosome:GRCm38:9:82913689:82927119:-1 gene:ENSMUSG00000032253.13 transcript:ENSMUST00000190822.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phip description:pleckstrin homology domain interacting protein [Source:MGI Symbol;Acc:MGI:1932404] XDNTVITAVNNMTLKVWNSYTGQLIHVLMGHEDEVFVLEPHPFDPRVLFSAGHDGNVIVW DLARGVKVRSYFNMVAGRNPFVLD >ENSMUSP00000140445.1 pep:known chromosome:GRCm38:9:82927158:82974268:-1 gene:ENSMUSG00000032253.13 transcript:ENSMUST00000189985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phip description:pleckstrin homology domain interacting protein [Source:MGI Symbol;Acc:MGI:1932404] MVKYYRHLAPDHLLQICHRLGPLLEQEIPQSVPGVQTLLGAGRQSLLRTNKSCKHVVWKG SALAALHCGRPPESPVNYGSPPSIADTLFSRKLNGKYRLERLVPTAVYQHMKMHKRILGH LSSVYCVTFDRTGRRIFTGSDDCLVKIWATDDGRLLATLRGHAAEISDMAVNYENTMIAA GSCDKMIRVWCLRTCAPLAVLQGHSASITSLQFSPLCSGSKRYLSSTGADGTICFWLWDA GTLKINPRPTKFTERPRPGVQMICSSFSAGGMFLATGSTDHIIRVYFFGSGQPEKISELE FHTDKVDSIQFSNTSNRFVSGSRDGTARIWQFKRREWKSILLDMATRPAGQNLQGIEDKI >ENSMUSP00000026068.7 pep:known chromosome:GRCm38:19:56874249:56912078:1 gene:ENSMUSG00000025082.8 transcript:ENSMUST00000026068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa2 description:von Willebrand factor A domain containing 2 [Source:MGI Symbol;Acc:MGI:2684334] MPPLLLLPAIYMLLFFRVSPTISLQEVHVNRETMGKIAVASKLMWCSAAVDILFLLDGSH SIGKGSFERSKRFAIAACDALDISPGRVRVGALQFGSTPHLEFPLDSFSTRQEVKESIKG IVFKGGRTETGLALKRLSRGFPGGRNGSVPQILIIVTDGKSQGPVALPAKQLRERGIVVF AVGVRFPRWDELLTLASEPKDRHVLLAEQVEDATNGLLSTLSSSALCTTADPDCRVEPHP CERRTLETVRELAGNALCWRGSRQADTVLALPCPFYSWKRVFQTHPANCYRTICPGPCDS QPCQNGGTCIPEGVDRYHCLCPLAFGGEVNCAPKLSLECRIDVLFLLDSSAGTTLGGFRR AKAFVKRFVQAVLREDSRARVGIASYGRNLMVAVPVGEYQHVPDLIRSLDSIPFSGGPTL TGSALLQVAEHGFGSASRTGQDRPRRVVVLLTESRSQDEVSGPAAHARARELLLLGVGSE ILQAELVKITGSPKHVMVHTDPQDLFSQIPELQRRLCSQPRPGCQAQSLDLVFLLDASAS VGRENFAQMQSFIRKCTLRFDVNPDVTQVGLVVYGSRVQTAFGLDTHPTRAAVLRAMSQA PYLGGVGSAGTALLHIEDKVMTVQRGARPGVPKAVVMLTGGSGAEDAAVPAQKLRGNGIS VLVMSVGAVLREAVRRLAGPRDSLIHVAAYTDLPYHQDMLIEWLCREARLPVNLCKPSPC MNEGTCVLKNGSYRCECRGGWEGPHCENRILRGDAPMARSFHQEPAGLQGPTPSQQAPKH LRIGKALSSAK >ENSMUSP00000059000.8 pep:known chromosome:GRCm38:11:83964428:84040954:1 gene:ENSMUSG00000034940.15 transcript:ENSMUST00000049714.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synrg description:synergin, gamma [Source:MGI Symbol;Acc:MGI:1354742] MALRPGAGASGAAGAGAGPGGAGSFMFPVAGGMRPPQAGLIPMQQQGFPMVSVMQPNMQG MMGMNYSSQMSQGPIAMQAGIPMGPMPAAGVPFLGQPPFLSMRPAGPQYTPDMQKQFAEE QQKRFEQQQKLLEEERKRRQFEEQKQKLRLLSSVKPKTGEKNRDDALEAIKGNLDGFSRD AKMHPTPASHPKKQGPSLEEKLLVSCDVSASGQEHIKLNTPDAGHKAIVPGSSKNCPGLM AHNRGAVDGCVSGPASAEAEKTSDQTLSKEESGVGVFPSQDPAQSRMPPWIYNESLVPDA YKKILETTMTPTGIDTAKLYPILMSSGLPRETLGQIWALANRTTPGRLTKEELYTVLAMV AVTQRGVPAMSPDALSQFPAAPIPTLSGFPMTLPTPVSQPTAMPSGPTGSMPLTLGQPIM GINLVGPVGGAAAPTSSGFMPAYPSNQVGKTEEDDFQDFQDASKSGSIDDSFTDFQEMPA SSKTSNSQHGNSAPSLLIPFPGTKASTDKYAVFKGISTDKPSENPASFGESGDKYSAFRE LEQTTDSKPLGESFAEFRSTGTDDGFTDFKTADSVSPLEPPTKDTFPSAFASGAAQQTQT QVKTPLNLEDLDMFSSVDCSGEKQVPFSATFSTAKSVSTRPQPAGSAAASAALASTKTSS LADDFGEFNLFGEYSNPASAGEQDDFADFMAFGNSSISSEPKASDKYEALREEVSPSPLS SSTVEGAQHPPAAATKYDVFKQLSLEGAGLAMEEFKENTSSTKSEDDFADFHSSKFSSTS SDKSLGEKAVAFRHAKEDSSSVKSLDLPSIGGSSVGKEDSEDALSVQFDMKLADVGGDLK HVMSDSSLDLPTVSGQHPPAADTEDLSCAAFGSCSSHFTVSTLTSCEWSDRADALQGRKL SPFVLSAGSRSFSATSNLHTKEISFGSSENITMSSLSKGSALASEDALPETAFPAFASFK DMMPQTTEQKEFESGDFQDFTRQDMPTVDRSQETSCPSPASSVASHETPKEGADDFGEFQ SEKSKISKFDFLVANSQSKMKSSEEMIKSELATFDLSVQGSHKRSLSLGDKEISRSSPSP ALEQPFRDRSNTLSERAALPVIRDKYKDLTGEVEENERYAYEWQRCLGSALDVIKKANDT LNGISSSAVCTEVIQSAQGMEYLLGVVEVYRVTKRVELGIKATAVCSEKLQQLLKDIDKV WNNLIGFMSLATLTPDENSLDFSSCMLRPGIKNAQELACGVCLLNVDSRSRKEETPAEEQ PKKAFNSETDSFKLAYGGHQYHASCANFWINCVEPKPPGLLLPDLL >ENSMUSP00000090510.5 pep:known chromosome:GRCm38:11:83964428:84044578:1 gene:ENSMUSG00000034940.15 transcript:ENSMUST00000092834.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synrg description:synergin, gamma [Source:MGI Symbol;Acc:MGI:1354742] MALRPGAGASGAAGAGAGPGGAGSFMFPVAGGMRPPQGLIPMQQQGFPMVSVMQPNMQGM MGMNYSSQMSQGPIAMQAGIPMGPMPAAGVPFLGQPPFLSMRPAGPQYTPDMQKQFAEEQ QKRFEQQQKLLEEERKRRQFEEQKQKLRLLSSVKPKTGEKNRDDALEAIKGNLDGFSRDA KMHPTPASHPKKQGVGVFPSQDPAQSRMPPWIYNESLVPDAYKKILETTMTPTGIDTAKL YPILMSSGLPRETLGQIWALANRTTPGRLTKEELYTVLAMVAVTQRGVPAMSPDALSQFP AAPIPTLSGFPMTLPTPVSQPTAMPSGPTGSMPLTLGQPIMGINLVGPVGGAAAPTSSGF MPAYPSNQVGKTEEDDFQDFQDASKSGSIDDSFTDFQEMPASSKTSNSQHGNSAPSLLIP FPGTKASTDKYAVFKGISTDKPSENPASFGESGDKYSAFRELEQTTDSKPLGESFAEFRS TGTDDGFTDFKTADSVSPLEPPTKDTFPSAFASGAAQQTQTQVKTPLNLEDLDMFSSVDC SGEKQVPFSATFSTAKSVSTRPQPAGSAAASAALASTKTSSLADDFGEFNLFGEYSNPAS AGEQDDFADFMAFGNSSISSEPKASDKYEALREEVSPSPLSSSTVEGAQHPPAAATKYDV FKQLSLEGAGLAMEEFKENTSSTKSEDDFADFHSSKFSSTSSDKSLGEKAVAFRHAKEDS SSVKSLDLPSIGGSSVGKEDSEDALSVQFDMKLADVGGDLKHVMSDSSLDLPTVSGQHPP AAGSALASEDALPETAFPAFASFKDMMPQTTEQKEFESGDFQDFTRQDMPTVDRSQETSC PSPASSVASHETPKEGADDFGEFQSEKSKISKFDFLVANSQSKMKSSEEMIKSELATFDL SVQGSHKRSLSLGDKEISRSSPSPALEQPFRDRSNTLSERAALPVIRDKYKDLTGEVEEN ERYAYEWQRCLGSALDVIKKANDTLNGISSSAVCTEVIQSAQGMEYLLGVVEVYRVTKRV ELGIKATAVCSEKLQQLLKDIDKVWNNLIGFMSLATLTPDENSLDFSSCMLRPGIKNAQE LACGVCLLNVDSRSRAFNSETDSFKLAYGGHQYHASCANFWINCVEPKPPGLLLPDLL >ENSMUSP00000139103.1 pep:known chromosome:GRCm38:11:83964476:84040928:1 gene:ENSMUSG00000034940.15 transcript:ENSMUST00000183714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synrg description:synergin, gamma [Source:MGI Symbol;Acc:MGI:1354742] MALRPGAGASGAAGAGAGPGGAGSFMFPVAGGMRPPQGLIPMQQQGFPMVSVMQPNMQGM MGMNYSSQMSQGPIAMQAGIPMGPMPAAGVPFLGQPPFLSMRPAGPQYTPDMQKQFAEEQ QKRFEQQQKLLEEERKRRQFEEQKQKLRLLSSVKPKTGEKNRDDALEAIKGNLDGFSRDA KMHPTPASHPKKQGPSLEEKLLVSCDVSASGQEHIKLNTPDAGHKAIVPGSSKNCPGLMA HNRGAVDGCVSGPASAEAEKTSDQTLSKEESGVGVFPSQDPAQSRMPPWIYNESLVPDAY KKILETTMTPTGIDTAKLYPILMSSGLPRETLGQIWALANRTTPGRLTKEELYTVLAMVA VTQRGVPAMSPDALSQFPAAPIPTLSGFPMTLPTPVSQPTAMPSGPTGSMPLTLGQPIMG INLVGPVGGAAAPTSSGFMPAYPSNQVGKTEEDDFQDFQDASKSGSIDDSFTDFQEMPAS SKTSNSQHGNSAPSLLIPFPGTKASTDKYAVFKGISTDKPSENPASFGESGDKYSAFREL EQTTDSKPLGESFAEFRSTGTDDGFTDFKTADSVSPLEPPTKDTFPSAFASGAAQQTQTQ VKTPLNLEDLDMFSSVDCSGEKQVPFSATFSTAKSVSTRPQPAGSAAASAALASTKTSSL ADDFGEFNLFGEYSNPASAGEQDDFADFMAFGNSSISSEPKASDKYEALREEVSPSPLSS STVEGAQHPPAAATKYDVFKQLSLEGAGLAMEEFKENTSSTKSEDDFADFHSSKFSSTSS DKSLGEKAVAFRHAKEDSSSVKSLDLPSIGGSSVGKEDSEDALSVQFDMKLADVGGDLKH VMSDSSLDLPTVSGQHPPAAGSALASEDALPETAFPAFASFKDMMPQTTEQKEFESGDFQ DFTRQDMPTVDRSQETSCPSPASSVASHETPKEGADDFGEFQSEKSKISKFDFLVANSQS KMKSSEEMIKSELATFDLSVQGSHKRSLSLGDKEISRSSPSPALEQPFRDRSNTLSERAA LPVIRDKYKDLTGEVEENERYAYEWQRCLGSALDVIKKANDTLNGISSSAVCTEVIQSAQ GMEYLLGVVEVYRVTKRVELGIKATAVCSEKLQQLLKDIDKVWNNLIGFMSLATLTPDEN SLDFSSCMLRPGIKNAQELACGVCLLNVDSRSRAFNSETDSFKLAYGGHQYHASCANFWI NCVEPKPPGLLLPDLL >ENSMUSP00000138969.1 pep:known chromosome:GRCm38:11:83964476:84040928:1 gene:ENSMUSG00000034940.15 transcript:ENSMUST00000183456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synrg description:synergin, gamma [Source:MGI Symbol;Acc:MGI:1354742] MALRPGAGASGAAGAGAGPGGAGSFMFPVAGGMRPPQGLIPMQQQGFPMVSVMQPNMQGM MGMNYSSQMSQGPIAMQAGIPMGPMPAAGVPFLGQPPFLSMRPAGPQYTPDMQKQFAEEQ QKRFEQQQKLLEEERKRRQFEEQKQKLRLLSSVKPKTGEKNRDDALEAIKGNLDGFSRDA KMHPTPASHPKKQDCPTSSHSTKTVSPSSAFPGEDEFSGFVQGPVEFPACGPSSTAPPFQ SFLPSTPLGQLHTQKVGTQPLPPAQAPVSFAIHGVHGQIPCLSAASASHSVQKAGPSLEE KLLVSCDVSASGQEHIKLNTPDAGHKAIVPGSSKNCPGLMAHNRGAVDGCVSGPASAEAE KTSDQTLSKEESGVGVFPSQDPAQSRMPPWIYNESLVPDAYKKILETTMTPTGIDTAKLY PILMSSGLPRETLGQIWALANRTTPGRLTKEELYTVLAMVAVTQRGVPAMSPDALSQFPA APIPTLSGFPMTLPTPVSQPTAMPSGPTGSMPLTLGQPIMGINLVGPVGGAAAPTSSGFM PAYPSNQVGKTEEDDFQDFQDASKSGSIDDSFTDFQEMPASSKTSNSQHGNSAPSLLIPF PGTKASTDKYAVFKGISTDKPSENPASFGESGDKYSAFRELEQTTDSKPLGESFAEFRST GTDDGFTDFKTADSVSPLEPPTKDTFPSAFASGAAQQTQTQVKTPLNLEDLDMFSSVDCS GEKQVPFSATFSTAKSVSTRPQPAGSAAASAALASTKTSSLADDFGEFNLFGEYSNPASA GEQDDFADFMAFGNSSISSEPKASDKYEALREEVSPSPLSSSTVEGAQHPPAAATKYDVF KQLSLEGAGLAMEEFKENTSSTKSEDDFADFHSSKFSSTSSDKSLGEKAVAFRHAKEDSS SVKSLDLPSIGGSSVGKEDSEDALSVQFDMKLADVGGDLKHVMSDSSLDLPTVSGQHPPA AGSALASEDALPETAFPAFASFKDMMPQTTEQKEFESGDFQDFTRQDMPTVDRSQETSCP SPASSVASHETPKEGADDFGEFQSEKSKISKFDFLVANSQSKMKSSEEMIKSELATFDLS VQGSHKRSLSLGDKEISRSSPSPALEQPFRDRSNTLSERAALPVIRDKYKDLTGEVEENE RYAYEWQRCLGSALDVIKKANDTLNGISSSAVCTEVIQSAQGMEYLLGVVEVYRVTKRVE LGIKATAVCSEKLQQLLKDIDKVWNNLIGFMSLATLTPDENSLDFSSCMLRPGIKNAQEL ACGVCLLNVDSRSRKEETPAEEQPKKAFNSETDSFKLAYGGHQYHASCANFWINCVEPKP PGLLLPDLL >ENSMUSP00000117709.1 pep:known chromosome:GRCm38:11:83982296:83990871:1 gene:ENSMUSG00000034940.15 transcript:ENSMUST00000138676.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synrg description:synergin, gamma [Source:MGI Symbol;Acc:MGI:1354742] XKKQDCPTSSHSTKTVSPSSAFPGEDEFSGFVQGPVEFPACGPSSTAPPFQSFLPSTPLG QLHTQKVGTQPLPPAQAPVSFAIHGVHGQIPCLSAASASHSVQKAGVGVFPSQDPAQSRM PPWIYNESLVPDAYKKILETTMTPTGIDTAKLYPILMSSGLPRETLGQIWALANRTTPGR LTKEELYTVLAMVAVTQRGVPAMSPDALSQFPAAPIPTLSGFPMTLPTPVSQPTAMPSGP TGSMPLTLGQPIMGIN >ENSMUSP00000020413.3 pep:known chromosome:GRCm38:11:11279626:11462408:-1 gene:ENSMUSG00000020193.3 transcript:ENSMUST00000020413.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpbp description:zona pellucida binding protein [Source:MGI Symbol;Acc:MGI:1855701] MCTQAGSQASTPPAHHPSGSGASVGPSFRPAVCQLPAAGGRAPGNDLLRRGSAVGGVWME ALAPGRAPRGRRRAGASGSVLSPLSLAAVLLCALLRAPPAVGHLARLPRSIHLTQDSLKI VGSTHFPVSVYVMLHQKSPHVLCVTQRLRNTELVDPSFQWHGPKGKLVSENTTAQVTSTG SLIFQSFEETMSGVYTCFLEYKPTVEESIKNLQLKYIVYAYREPRFYYQFTARYHAAPCN SIYNISFEKKLLQILSKLVLDLSCEISLIKSECHRVKMQRAGLQNELFFTFSVASIDTEK GSKPCTDHSCEASKRLSKAKNLIERFFIQQVEVLGKRAEPLPEIYYIEGTLQMVWVNRCF PGYGINVLKHPKCPECCVVCSPGSFNPRDGTHCLQCNNSLVYGAKTCM >ENSMUSP00000139610.1 pep:known chromosome:GRCm38:1:30802339:30873581:-1 gene:ENSMUSG00000048874.15 transcript:ENSMUST00000186733.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf3 description:PHD finger protein 3 [Source:MGI Symbol;Acc:MGI:2446126] MDIVDTFNHLIPTEHLDDALFLGSNLENEVCEDFSTSQNVLEDSLKNMLSDKDPMLGSAS NQFCLPVLDSNDPNFQMPCSTVVGLDDIMDEGVVKESGNDTIDEEELILPNRSLRDRVED NSVRSPRKSPRLMAQEQVRSLRQSTIAKRSNAATLSTKKPSGKTLSTSKVGVKPAERCQG KEEVYASLKSEHPKESRRSGRHAEQMDVAPEVSASSVDSSVSSCAGMKEEAEFDPKHACN NQGEVNVPSPELDCPLLSETSASVEEKNIEALMECKAKTNSSPLFKFPVREDEQSDLVSG ELNDTIEGKDAGGKPDQESEEVKFPCEGDQTAEEPESSDVSSDSACANKNKAEKNEGAEC HLELKNTVDIVDKPENSPQRNELETLGYGEDTESNDARLQSTEFNKSDLEEVDACAFEPE ASTLENTICDVLDQNSKQLNITQSIKMETANLQDDRSGLEPKNIKPKHIKSVTHSKQSMT TETPRKTVAAKHEVGHSKTKSNVKAVKRNSGEPEPQPDSQRPVKVRKKQGDKVWKSQSCN SGVKSVKSQAHSVLKRMPQDQNTMQISKPLTHPHSDKLHGHSGFSKEPPHPVQTGHLVHS SQKQSQKPQQQAAVGKGSSHVKDEHDHPVSEHLKEDDKLKPRKPDRNLQPRQRRSSRSFS LDEPPLFIPDNIATVKKEGSDQTTSIESKYMWTPSKQCGFCKKPHGNRFMVGCGRCDDWF HGDCVGLSLSQAQQMGEEDKEYVCVRCCAEEDKKTDILDTEIFEAQAPIEAHSEDKRMEC GKLTSSKHAVTDDKHRHSDDPGKHKVKILKRESGEGKTSSDSRDNEIKKWQLAPLRKLSQ PHLPRRSSEEKSEKIAKESTALASTGERVARSGTHEKQETKKKKMEKGGPNVHPPAATSK PSADQIRQSVRHSLKDILMKRLTDSNLKIPEEKAAKVATKIEKELFSFFRDTDAKYKNKY RSLMFNLKDPKNNILFKKVLKGEVTPDHLIRMSPEELASKELAAWRRRENRHTIEMIEKE QREVERRPITKITHKGEIEIESDAPMKEQEAAIEIQEPSANKSMEKPDVSEKQKEEVDST SKDTTSQHRQHLFDLNCKICIGRMAPPIDDLSPKTVKVVVGGARKHSDNEAESLADALSS TTNILTSDLFEEEKQESPKSTFSPTPRPEMPGTVEVESTFLARLNFIWKGFINMPSVAKF VTKAYPVSGSPEYLTEDLPDSIQVGGRISPQTVWDYVEKIKASGTKEICVVRFTPVTEED QISYTLLFAYFSSRKRYGVAANNMKQVKDMYLIPLGAADKIPHPLVPFDGPGLELHRPNL LLGLIIRQKLKRPHSASAGPSHTGETPESAPIVLPPDKKGKMESCTEEAAEEESDFFNSF TTVLHKQRNKPSQPLQEDLPTAAEPLMEVTKQEPPKPLRFLPGVLIGWDNQPSTLELANK PLPVDDILQSLLGTTGQVYEQAQPLVEQSTLKEIPFINDQANPKVEKIDKVEVTEGDAKE IKVKAENISVSTSKNSGEETSSVGSSSISPGPLASLSLRGKPPDVSTEAFLTNLSIPSKQ EESVENKERTLKRLLLQDQENSLQDNRTSSDSPCWPGTGKGGMDGDGSGSGSGSGSGSEG PVANTRAPQFINLKRDPRQAAGRSQQTASESKDAESCRNGDKHAASAPPHNKEPLAEAVG GEGKLPSQEKSSCVEQNDDSEAAPNSSSVENLNSSQAEQANPSQEDVLTQNIETVHPFRR GSAPTSSRFEGGNTCQSEFPSKSVSFTCRSSSPRASTNFSPMRPQQPNLQHLKSSPPGFP FPGPQNFPPQNMFGFPPHLSPPLLPPPGFGFPQNPPMVPWPPVHVPGQPQRMMGPLSQAS RYMGPQNFYQVKDIRRPERRHSDPWGRQDQQQPDRPFNRGKGDRQRFYSDSHHLKRERHD KDWEQESERHRHRDRSQERDRDRKSKEEAAAHKDKERPRLSHGDRAPDGKASRDGKSADK KPDRPKGEDHEKEKERDKSKHKEGEKDRERYHKDRDHTDRVKSKR >ENSMUSP00000139662.1 pep:known chromosome:GRCm38:1:30803546:30810712:-1 gene:ENSMUSG00000048874.15 transcript:ENSMUST00000191329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf3 description:PHD finger protein 3 [Source:MGI Symbol;Acc:MGI:2446126] VSGSPEYLTEDLPDSIQVGGRISPQTVWDYVEKIKASGTKEICVVRFTPVTEEDQISYTL LFAYFSSRKRYGVAANNMKQVKDMYLIPLGAADKIPHPLVPFDGPGMSMLW >ENSMUSP00000140746.1 pep:known chromosome:GRCm38:1:30820226:30873804:-1 gene:ENSMUSG00000048874.15 transcript:ENSMUST00000191064.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phf3 description:PHD finger protein 3 [Source:MGI Symbol;Acc:MGI:2446126] MDIVDTFNHLIPTEHLDDALFLGSNLENEVCEDFSTSQNVLEDSLKNMLSDKDPMLGSAS NQFCLPVLDSNDPNFQMPCSTVVGLDDIMDEGVVKESGNDTIDEEELILPNRSLRDRVED NSVRSPRKSPRLMAQGLWSAVGDVMTGFMETVLD >ENSMUSP00000140935.1 pep:known chromosome:GRCm38:1:30831205:30873894:-1 gene:ENSMUSG00000048874.15 transcript:ENSMUST00000188780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf3 description:PHD finger protein 3 [Source:MGI Symbol;Acc:MGI:2446126] MDIVDTFNHLIPTEHLDDALFLGSNLENEVCEDFSTSQNVLEDSLKNMLSDKDPMLGSAS NQFCLPVLDSNDPNFQMPCSTEQVRSLRQSTIAKRSNAATLSTKKPSGKTLSTSKVGVKP AERCQGKEEVYASLKSEHPKESRRSGRHAEQMDVAPEVSASSVDSSVSSCAGMKEEAEFD PKHACNNQGEVNVPSPELD >ENSMUSP00000139454.1 pep:known chromosome:GRCm38:1:30863070:30873252:-1 gene:ENSMUSG00000048874.15 transcript:ENSMUST00000187968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf3 description:PHD finger protein 3 [Source:MGI Symbol;Acc:MGI:2446126] MDIVDTFNHLIPTEHLDDALFLGSNLENEVCEDFSTSQNVLEDSLKNMLSDKDP >ENSMUSP00000085650.3 pep:known chromosome:GRCm38:1:30802342:30863256:-1 gene:ENSMUSG00000048874.15 transcript:ENSMUST00000088310.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf3 description:PHD finger protein 3 [Source:MGI Symbol;Acc:MGI:2446126] MDIVDTFNHLIPTEHLDDALFLGSNLENEVCEDFSTSQNVLEDSLKNMLSDKDPMLGSAS NQFCLPVLDSNDPNFQMPCSTVVGLDDIMDEGVVKESGNDTIDEEELILPNRSLRDRVED NSVRSPRKSPRLMAQEQVRSLRQSTIAKRSNAATLSTKKPSGKTLSTSKVGVKPAERCQG KEEVYASLKSEHPKESRRSGRHAEQMDVAPEVSASSVDSSVSSCAGMKEEAEFDPKHACN NQGEVNVPSPELDCPLLSETSASVEEKNIEALMECKAKTNSSPLFKFPVREDEQSDLVSG ELNDTIEGKDAGGKPDQESEEVKFPCEGDQTAEEPESSDVSSDSACANKNKAEKNEGAEC HLELKNTVDIVDKPENSPQRNELETLGYGEDTESNDARLQSTEFNKSDLEEVDACAFEPE ASTLENTICDVLDQNSKQLNITQSIKMETANLQDDRSGLEPKNIKPKHIKSVTHSKQSMT TETPRKTVAAKHEVGHSKTKSNVKAVKRNSGEPEPQPDSQRPVKVRKKQGDKVWKSQSCN SGVKSVKSQAHSVLKRMPQDQNTMQISKPLTHPHSDKLHGHSGFSKEPPHPVQTGHLVHS SQKQSQKPQQQAAVGKGSSHVKDEHDHPVSEHLKEDDKLKPRKPDRNLQPRQRRSSRSFS LDEPPLFIPDNIATVKKEGSDQTTSIESKYMWTPSKQCGFCKKPHGNRFMVGCGRCDDWF HGDCVGLSLSQAQQMGEEDKEYVCVRCCAEEDKKTDILDTEIFEAQAPIEAHSEDKRMEC GKLTSSKHAVTDDKHRHSDDPGKHKVKILKRESGEGKTSSDSRDNEIKKWQLAPLRKLSQ PHLPRRSSEEKSEKIAKESTALASTGERVARSGTHEKQETKKKKMEKGGPNVHPPAATSK PSADQIRQSVRHSLKDILMKRLTDSNLKIPEEKAAKVATKIEKELFSFFRDTDAKYKNKY RSLMFNLKDPKNNILFKKVLKGEVTPDHLIRMSPEELASKELAAWRRRENRHTIEMIEKE QREVERRPITKITHKGEIEIESDAPMKEQEAAIEIQEPSANKSMEKPDVSEKQKEEVDST SKDTTSQHRQHLFDLNCKICIGRMAPPIDDLSPKTVKVVVGGARKHSDNEAESLADALSS TTNILTSDLFEEEKQESPKSTFSPTPRPEMPGTVEVESTFLARLNFIWKGFINMPSVAKF VTKAYPVSGSPEYLTEDLPDSIQVGGRISPQTVWDYVEKIKASGTKEICVVRFTPVTEED QISYTLLFAYFSSRKRYGVAANNMKQVKDMYLIPLGAADKIPHPLVPFDGPGLELHRPNL LLGLIIRQKLKRPHSASAGPSHTGETPESAPIVLPPDKKGKMESCTEEAAEEESDFFNSF TTVLHKQRNKPSQPLQEDLPTAAEPLMEVTKQEPPKPLRFLPGVLIGWDNQPSTLELANK PLPVDDILQSLLGTTGQVYEQAQPLVEQSTLKEIPFINDQANPKVEKIDKVEVTEGDAKE IKVKAENISVSTSKNSGEETSSVGSSSISPGPLASLSLRGKPPDVSTEAFLTNLSIPSKQ EESVENKERTLKRLLLQDQENSLQDNRTSSDSPCWPGTGKGGMDGDGSGSGSGSGSGSEG PVANTRAPQFINLKRDPRQAAGRSQQTASESKDAESCRNGDKHAASAPPHNKEPLAEAVG GEGKLPSQEKSSCVEQNDDSEAAPNSSSVENLNSSQAEQANPSQEDVLTQNIETVHPFRR GSAPTSSRFEGGNTCQSEFPSKSVSFTCRSSSPRASTNFSPMRPQQPNLQHLKSSPPGFP FPGPQNFPPQNMFGFPPHLSPPLLPPPGFGFPQNPPMVPWPPVHVPGQPQRMMGPLSQAS RYMGPQNFYQVKDIRRPERRHSDPWGRQDQQQPDRPFNRGKGDRQRFYSDSHHLKRERHD KDWEQESERHRHRDRSQERDRDRKSKEEAAAHKDKERPRLSHGDRAPDGKASRDGKSADK KPDRPKGEDHEKEKERDKSKHKEGEKDRERYHKDRDHTDRVKSKR >ENSMUSP00000118759.1 pep:known chromosome:GRCm38:8:107069400:107096545:-1 gene:ENSMUSG00000031921.17 transcript:ENSMUST00000133925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Terf2 description:telomeric repeat binding factor 2 [Source:MGI Symbol;Acc:MGI:1195972] MAAGAGTAGPASGPGVVRDPMASQPRKRPSREGGEGGEGERRSNTMAGGGGSSDSSGRAA SRRASRSGGRARRGRHEPGLGGAAERGAGEARLEEAVNRWVLKFYFHEALRAFRSSRYRD FRQIRDIMQALLVRPLGKEHTVSRLLRVMQCLSRIEEGENLDCSFDMEAELTPLESAINV LEMIKTEFTLTDSMVESSRKLVKEAAVIICIKNKEFEKASKILKKYMSKDPTTQKLRTDL LNIIREKNLAHPVIQNFSYEVFQQKMLRFLESHLDDTEPYLLTMAKKALKSESAASSTMR EEKHPEPVEKPLREPPRQPQNPPATIGIRTLKAAFKALSTAQDSEAAFAKLDQKDLVLAN LASPSSPAHKHKRPRKDEHESAAPAEGEGGSDRQPRNSPMTISRLLLEEDSQSTEPSPGL NSSHKAMSASKPRALNQPHPGEKKPKASKDKWNSPNGLEEKEVWLEEDQLFEVQAPGEDR SSSLTRKQKWTIEESEWVKDGVRKYGEGNWAAISKSYPFVNRTAVMIKDRWRTMKKLGMN >ENSMUSP00000118589.1 pep:known chromosome:GRCm38:8:107069402:107096190:-1 gene:ENSMUSG00000031921.17 transcript:ENSMUST00000142616.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Terf2 description:telomeric repeat binding factor 2 [Source:MGI Symbol;Acc:MGI:1195972] XEALRAFRSSRYRDFRQIRDIMQALLVRPLGKEHTVSRLLRVMQCLSRIEEGENLDCSFD MEAELTPLESAINVLEMIKTEFTLTDSMVESSRKLVKEAAVIICIKNKEFEKASKILKKY MSKDPTTQKLRTDLLNIIREKNLAHPVIQNFSYEVFQQKMLRFLESHLDDTEPYLLTVS >ENSMUSP00000065586.8 pep:known chromosome:GRCm38:8:107069928:107096514:-1 gene:ENSMUSG00000031921.17 transcript:ENSMUST00000068388.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Terf2 description:telomeric repeat binding factor 2 [Source:MGI Symbol;Acc:MGI:1195972] MAAGAGTAGPASGPGVVRDPMASQPRKRPSREGGEGGEGERRSNTMAGGGGSSDSSGRAA SRRASRSGGRARRGRHEPGLGGAAERGAGEARLEEAVNRWVLKFYFHEALRAFRSSRYRD FRQIRDIMQALLVRPLGKEHTVSRLLRVMQCLSRIEEGENLDCSFDMEAELTPLESAINV LEMIKTEFTLTDSMVESSRKLVKEAAVIICIKNKEFEKASKILKKYMSKDPTTQKLRTDL LNIIREKNLAHPVIQNFSYEVFQQKMLRFLESHLDDTEPYLLTMAKKALKSESAASSTMR EEKHPEPVEKPLREPPRQPQNPPATIGIRTLKAAFKALSTAQDSEAAFAKLDQKDLAGTC MFMYEDAWKTDSEFEHCVVNPKASKDKWNSPNGLEEKEVWLEEDQLFEVQAPGEDRSSSL TRKQKWTIEESEWVKDGVRKYGEGNWAAISKSYPFVNRTAVMIKDRWRTMKKLGMN >ENSMUSP00000112126.2 pep:known chromosome:GRCm38:8:107075517:107096547:-1 gene:ENSMUSG00000031921.17 transcript:ENSMUST00000116425.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Terf2 description:telomeric repeat binding factor 2 [Source:MGI Symbol;Acc:MGI:1195972] MAAGAGTAGPASGPGVVRDPMASQPRKRPSREGGEGGEGERRSNTMAGGGGSSDSSGRAA SRRASRSGGRARRGRHEPGLGGAAERGAGEARLEEAVNRWVLKFYFHEALRAFRSSRYRD FRQIRDIMQALLVRPLGKEHTVSRLLRVMQCLSRIEEGENLDCSFDMEAELTPLESAINV LEMIKTEFTLTDSMVESSRKLVKEAAVIICIKNKEFEKASKILKKYMSKDPTTQKLRTDL LNIIREKNLAHPVIQNFSYEVFQQKMLRFLESHLDDTEPYLLTMAKKALKSESAASSTMR EEKHPEPVEKPLREPPSRQPQNPPATIGIRTLKAAFKALSTAQDSEAAFAKLDQKDLVLA NLASPSSPAHKHKRPRKDEHESAAPAEGEGGSDRQPRNSPMTISRLLLEEDSQSTEPSPG LNSSHKAMSASKPRALNQPHPGEKKPKYEDLLCRSLGAGWRAWLGLVLLP >ENSMUSP00000068948.6 pep:known chromosome:GRCm38:8:107069402:107096545:-1 gene:ENSMUSG00000031921.17 transcript:ENSMUST00000068421.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Terf2 description:telomeric repeat binding factor 2 [Source:MGI Symbol;Acc:MGI:1195972] MAAGAGTAGPASGPGVVRDPMASQPRKRPSREGGEGGEGERRSNTMAGGGGSSDSSGRAA SRRASRSGGRARRGRHEPGLGGAAERGAGEARLEEAVNRWVLKFYFHEALRAFRSSRYRD FRQIRDIMQALLVRPLGKEHTVSRLLRVMQCLSRIEEGENLDCSFDMEAELTPLESAINV LEMIKTEFTLTDSMVESSRKLVKEAAVIICIKNKEFEKASKILKKYMSKDPTTQKLRTDL LNIIREKNLAHPVIQNFSYEVFQQKMLRFLESHLDDTEPYLLTMAKKALKSESAASSTMR EEKHPEPVEKPLREPPSRQPQNPPATIGIRTLKAAFKALSTAQDSEAAFAKLDQKDLVLA NLASPSSPAHKHKRPRKDEHESAAPAEGEGGSDRQPRNSPMTISRLLLEEDSQSTEPSPG LNSSHKAMSASKPRALNQPHPGEKKPKASKDKWNSPNGLEEKEVWLEEDQLFEVQAPGED RSSSLTRKQKWTIEESEWVKDGVRKYGEGNWAAISKSYPFVNRTAVMIKDRWRTMKKLGM N >ENSMUSP00000092985.3 pep:known chromosome:GRCm38:17:34238903:34245907:1 gene:ENSMUSG00000041538.15 transcript:ENSMUST00000095342.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Ob description:histocompatibility 2, O region beta locus [Source:MGI Symbol;Acc:MGI:95925] MGAGRAPWVVALLVNLMRLDSFMIEGRDSPENFVIQAKADCYFTNGTEKVHLLVRFIFNL EEYLHFDSDLGMFVALTELGEPDADQWNKRLDLLETSRAAVNMVCRQKYKLGAPFTVERN VPPEVTVYPERTPLLQQHNLLLCSVTGFYPGDISVKWFRNGQEERSGVMSTGLVRNGDWT FQTTVMLEMIPELGDIYSCLVEHPGLLRPVSVAWMAQSEYSWKKILSGAAVFLLGLIVFL VGVVIHLKAQKASVETQPGNEASRESLHSQP >ENSMUSP00000129657.1 pep:known chromosome:GRCm38:17:34238931:34245798:1 gene:ENSMUSG00000041538.15 transcript:ENSMUST00000167280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Ob description:histocompatibility 2, O region beta locus [Source:MGI Symbol;Acc:MGI:95925] MGAGRAPWVVALLVNLMRLDSFMIEGRDSPENFVIQAKADCYFTNGTEKVHLLVRFIFNL EEYLHFDSDLGMFVALTELGEPDADQWNKRLDLLETSRAAVNMVCRQKYKLGAPFTVERN GFYPGDISVKWFRNGQEERSGVMSTGLVRNGDWTFQTTVMLEMIPELGDIYSCLVEHPGL LRPVSVAWMAQSEYSWKKILSGAAVFLLGLIVFLVGVVIHLKAQKASVETQPGNEASRES LHSQP >ENSMUSP00000133906.1 pep:known chromosome:GRCm38:17:34240036:34244460:1 gene:ENSMUSG00000041538.15 transcript:ENSMUST00000173764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Ob description:histocompatibility 2, O region beta locus [Source:MGI Symbol;Acc:MGI:95925] MFVALTELGEPDADQWNKRLDLLETSRAAVNMVCRQKYKLGAPFTVERNVPPEVTVYPER TPLLQQHNLLLCSVTGFYPGDISVKWFRNGQEERSGVMSTGLVRNGDWTFQTTVMLEMIP ELGDIYSCLVEHPGLLRPVSVAWMAQSEYSWKKILSGAAVFLLGLIVFLVGVVIHLKAQK GKPHLILTGDPVFSLSSICGDSAWQ >ENSMUSP00000136735.1 pep:known chromosome:GRCm38:5:146481965:146484704:1 gene:ENSMUSG00000096344.2 transcript:ENSMUST00000179032.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6408 description:predicted gene 6408 [Source:MGI Symbol;Acc:MGI:3779591] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLTHFRHASHSEPKVCSQNEQEPDCMPRRP RFNYNSPEYVVQMIHYIPAAVQHNDHVCIRIFLAMYPSYASTWKVLDLLMTTYAFFLPDR IKDQETKRAIFRFLFHWFKKFPKDFYESPDLAVVRQFIDYVRHNVPSADEDTQARELLSV LEEQEAIGLNPEEDFATAPEPSEQDASGRQETLAPRPASETEPQGDKQPREDPELVKGAV LDPSEPKATIELPPSLHQAVPTSDGTLSPVDVTADEATGVAADEAADVAADVSPARQLFV SYTVQLGTPDFVIPLPEVDI >ENSMUSP00000055343.7 pep:known chromosome:GRCm38:6:113471427:113483140:1 gene:ENSMUSG00000030281.16 transcript:ENSMUST00000058300.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17rc description:interleukin 17 receptor C [Source:MGI Symbol;Acc:MGI:2159336] MPVSWFLLSLALGRNPVVVSLERLMEPQDTARCSLGLSCHLWDGDVLCLPGSLQSAPGPV LVPTRLQTELVLRCPQKTDCALCVRVVVHLAVHGHWAEPEEAGKSDSELQESRNASLQAQ VVLSFQAYPIARCALLEVQVPADLVQPGQSVGSAVFDCFEASLGAEVQIWSYTKPRYQKE LNLTQQLPDGDNVLLTLDVSEEQDFSFLLYLRPVPDALKSLWYKNLTGPQNITLNHTDLV PCLCIQVWSLEPDSERVEFCPFREDPGAHRNLWHIARLRVLSPGVWQLDAPCCLPGKVTL CWQAPDQSPCQPLVPPVPQKNATVNEPQDFQLVAGHPNLCVQVSTWEKVQLQACLWADSL GPFKDDMLLVEMKTGLNNTSVCALEPSGCTPLPSMASTRAARLGEELLQDFRSHQCMQLW NDDNMGSLWACPMDKYIHRRWVLVWLACLLLAAALFFFLLLKKDRRKAARGSRTALLLHS ADGAGYERLVGALASALSQMPLRVAVDLWSRRELSAHGALAWFHHQRRRILQEGGVVILL FSPAAVAQCQQWLQLQTVEPGPHDALAAWLSCVLPDFLQGRATGRYVGVYFDGLLHPDSV PSPFRVAPLFSLPSQLPAFLDALQGGCSTSAGRPADRVERVTQALRSALDSCTSSSEAPG CCEEWDLGPCTTLE >ENSMUSP00000145420.1 pep:known chromosome:GRCm38:6:113472283:113476438:1 gene:ENSMUSG00000030281.16 transcript:ENSMUST00000204447.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17rc description:interleukin 17 receptor C [Source:MGI Symbol;Acc:MGI:2159336] XLVPTRLQTELVLRCPQKTDCALCVRVVVHLAVHGHWAEPEEAGKSDSELQESRNASLQA QVVLSFQAYPIARCALLEVQVPADLVQPGQSVGSAVFDCFEASLGAEVQIWSYTKPRYQK ELNLTQQLPVLPWLNVSTDGDNV >ENSMUSP00000145038.1 pep:known chromosome:GRCm38:6:113472794:113483140:1 gene:ENSMUSG00000030281.16 transcript:ENSMUST00000205208.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17rc description:interleukin 17 receptor C [Source:MGI Symbol;Acc:MGI:2159336] XVVLSFQAYPIARCALLEVQVPADLVQPGQSVGSAVFDCFEASLGAEVQIWSYTKPRYQK ELNLTQQLPDCRGLEVRDSIQSCWVLPWLNVSTDGDNVLLTLDVSEEQDFSFLLYLRPVP DALKSLWYKNLTGPQNITLNHTDLVPCLCIQVWSLEPDSERVEFCPFREDPGAHRNLWHI ARLRVLSPGVWQLDAPCCLPGKVTLCWQAPDQSPCQPLVPPVPQKNATVNEPQDFQLVAG HPNLCVQVSTWEKVQLQACLWADSLGPFKDDMLLVEMKTGLNNTSVCALEPSGCTPLPSM ASTRAARLGEELLQDFRSHQCMQLWNDDNMGSLWACPMDKYIHRRWVLVWLACLLLAAAL FFFLLLKKDRRKAARGSRTALLLHSADGAGYERLVGALASALSQMPLRVAVDLWSRRELS AHGALAWFHHQRRRILQEGGVVILLFSPAAVAQCQQWLQLQTVEPGPHDALAAWLSCVLP DFLQGRATGRYVGVYFDGLLHPDSVPSPFRVAPLFSLPSQLPAFLDALQGGCSTSAGRPA DRVERVTQALRSALDSCTSSSEAPGCCEEWDLGPCTTLE >ENSMUSP00000145348.1 pep:known chromosome:GRCm38:6:113474255:113476744:1 gene:ENSMUSG00000030281.16 transcript:ENSMUST00000204632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17rc description:interleukin 17 receptor C [Source:MGI Symbol;Acc:MGI:2159336] XTQQLPDCRGLEVRDSIQSCWDGDNVLLTLDVSEEQDFSFLLYLRPVPDALKSLWYKNLT GP >ENSMUSP00000101408.1 pep:known chromosome:GRCm38:4:141683851:141685599:-1 gene:ENSMUSG00000040715.12 transcript:ENSMUST00000105782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsc1a1 description:regulatory solute carrier protein, family 1, member 1 [Source:MGI Symbol;Acc:MGI:3526447] MSSLPTSDGFDHPAPSGQSPEVGSPTSLARSVSASVCAIKPGDPNSIESLAMEATKASAE FQTNSKKTDPPPLQVLPDLASSAEQSLAMPFHKSSKEAVVAGNLEKSVEKGTQGLRVYLH TRQDASLTLTTTGMREPQIFAEEKSWHPENQTPSPVNGLQQHRETGSVQREAGQQSVPQD QGCLCDAEDLELHEEVVSLEALRKGELQRHAHLPSAEKGLPASGLCSCPCSEALMEVDTA EQSLVAMCSSTGRQDAVIKSPSVAHLASDNPTMEVETLQSNPSCEPVEHSILTRELQLPE DNVDMSTMDNKDDNSSSLLSGHGQPSVESAEEFCSSVTVALKELHELLVISCKPASEESP EHVTCQSEIGAESQPSVSDLSGRRVQSVHLTPSDQYSQGSCHQATSESGKTEIVGTAPCA AVEDEASTSFEGLGDGLSPDREDVRRSTESARKSCSVAITSAKLSEQLPCTSGVEIAPEL AASEGAHSQPSEHVHNPGPDRPETSSVCPGAGLPRSGLDQPPTQSLSTPSVLPPFIFPAA DVDRILGAGFTLQEALGALHRVGGNADLALLVLLAKNIVVPT >ENSMUSP00000143740.1 pep:known chromosome:GRCm38:5:146491365:146493997:1 gene:ENSMUSG00000096527.5 transcript:ENSMUST00000198912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6370 description:predicted gene 6370 [Source:MGI Symbol;Acc:MGI:3779587] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLTHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYIPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKRNVPSSDVDRARELLSVL EDQEAIELQIEQDFATAPEPSEQDASGRQETLAPRPASETEPQGDKQHREDAELVKGAVL DPFKPKATIELHPTLHQAVPTSDGSLSPVDVTADEATGVAADVAADVSPARQLFVSYTVQ LGTPDFIFPLPEVDI >ENSMUSP00000106241.3 pep:known chromosome:GRCm38:5:146491363:146494132:1 gene:ENSMUSG00000096527.5 transcript:ENSMUST00000110611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6370 description:predicted gene 6370 [Source:MGI Symbol;Acc:MGI:3779587] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLTHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYIPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKRNVPSSDVDRARELLSVL EDQEAIELQIEQAPEPSEQDASGRQETLAPRPASETEPQGDKQHREDAELVKGAVLDPFK PKATIELHPTLHQAVPTSDGSLSPVDVTADEATGVAADVAADVSPARQLFVSYTVQLGTP DFIFPLPEVDI >ENSMUSP00000046503.5 pep:known chromosome:GRCm38:7:6045161:6105150:1 gene:ENSMUSG00000034690.13 transcript:ENSMUST00000037728.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4c description:NLR family, pyrin domain containing 4C [Source:MGI Symbol;Acc:MGI:1890518] MASFFSDFGLMWYLEELNKKEFMKFKEFLKQEILQLRLKQISWTEVKKASREDLANLLLK HYEEKKAWDMTFKIFQKMNRKDLMERAGREIAGHSKLYQAHLKKKLTHDYARKFNIKVQD FSKQKFTQDDYDRFENFLISKVTAKKPHMVFLQGAAGIGKSLMLTKLMLAWSEGMVFQNK FSYIFYFCCQDVKKMKRASLAELISKEWPKTSAPIEDILSQPEKLLFVIDNLEVMECDMS ERESELCDTCTEKQPVRILLSSLLRRKMLPKSSFLISATPETFEKMEGRVECTNVKIVTG FNESNIKMYFRSLFQDKTKTQEIFSLVKENQQLFTVCQVPVLCWMVATCLKKEIEKGRDL VSVCRRTTSLYTTHIFNLFIPQSAQYPSKESQAQLQSLCSLAAEGMWTDTFVFGEEALRR NGIMDSDIPTLLDVRILEKSKKSEKSYIFLHPSIQEVCAAIFYLLKSHMDHPSQDVKSIE ALIFTFLKKVKVQWIFFGSFIFGLLHESEQKKLEAFFGHQLSQEIKRQLYQCLETISGNE ELQEQVDGMKLFYCLFEMDDEAFLAQAMNCMEQINFVAKDYSDVIVAAHCLQHCSTLKKL SLSTQNVLSEGQEHSYTEKLLMCWHHMCSVLISSKDIYILQVKNTNLNETASLVLYSHLM YPSCTLKALVVNNVTFLCDNRLFFELIQNQCLQHLDLNLTFLSHGDVKLLCDVLSQEECN IEKLMVAACNLSPDDCKVFASVLISSKMLKHLNLSSNNLDKGISSLSKALCHPDCVLKNL VLVNCSLSEQCWDYLSEVLRRNKTLNHLDISSNDLKDEGLKVLCGALSLPDSVLKSLSVR YCLITTSGCQDLAEVLRKNQNLRNLQVSNNKIEDAGVKLLCDAIKHPNCHLENIGLEACA LTGACCEDLASAFTHCKTLWGINLQENALDHSGLIVLFEALKQQQCTLHVLGLRITDFDK ETQELLMAEEEKNPHLSILSSV >ENSMUSP00000113824.1 pep:known chromosome:GRCm38:7:6045269:6104684:1 gene:ENSMUSG00000034690.13 transcript:ENSMUST00000121583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4c description:NLR family, pyrin domain containing 4C [Source:MGI Symbol;Acc:MGI:1890518] MASFFSDFGLMWYLEELNKKEFMKFKEFLKQEILQLRLKQISWTEVKKASREDLANLLLK HYEEKKAWDMTFKIFQKMNRKDLMERAGREIAGHSKLYQAHLKKKLTHDYARKFNIKVQD FSKQKFTQDDYDRFENFLISKVTAKKPHMVFLQGAAGIGKSLMLTKLMLAWSEGMVFQNK FSYIFYFCCQDVKKMKRASLAELISKEWPKTSAPIEDILSQPEKLLFVIDNLEVMECDMS ERESELCDTCTEKQPVRILLSSLLRRKMLPKSSFLISATPETFEKMEGRVECTNVKIVTG FNESNIKMYFRSLFQDKTKTQEIFSLVKENQQLFTVCQVPVLCWMVATCLKKEIEKGRDL VSVCRRTTSLYTTHIFNLFIPQSAQYPSKESQAQLQSLCSLAAEGMWTDTFVFGEEALRR NGIMDSDIPTLLDVRILEKSKKSEKSYIFLHPSIQEVCAAIFYLLKSHMDHPSQDVKSIE ALIFTFLKKVKVQWIFFGSFIFGLLHESEQKKLEAFFGHQLSQEIKRQLYQCLETISGNE ELQEQVDGMKLFYCLFEMDDEAFLAQAMNCMEQINFVAKDYSDVIVAAHCLQHCSTLKKL SLSTQNVLSEGQEHSYTEKLLMCWHHMCSVLISSKDIYILQVKNTNLNETASLVLYSHLM YPSCTLKALVVNNVTFLCDNRLFFELIQNQCLQHLDLNLTFLSHGDVKLLCDVLSQEECN IEKLMVAACNLSPDDCKVFASVLISSKMLKHLNLSSNNLDKGISSLSKALCHPDCVLKNL VLVNCSLSEQCWDYLSEVLRRNKTLNHLDISSNDLKDEGLKVLCGALSLPDSVLKSLSVR YCLITTSGCQDLAEVLRKNQNLRNLQVSNNKIEDAGVKLLCDAIKHPNCHLENIGLEACA LTGACCEDLASAFTHCKTLWGINLQENALDHSGLIVLFEALKQQQCTLHVLGLRITDFDK ETQELLMAEEEKNPHLSILSSV >ENSMUSP00000146613.1 pep:known chromosome:GRCm38:7:6060507:6104632:1 gene:ENSMUSG00000034690.13 transcript:ENSMUST00000208360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4c description:NLR family, pyrin domain containing 4C [Source:MGI Symbol;Acc:MGI:1890518] MASFFSDFGLMWYLEELNKKEFMKFKEFLKQEILQLRLKQISWTEVKKASREDLANLLLK HYEEKKAWDMTFKIFQKMNRKDLMERAGREIAGHSKLYQAHLKKKLTHDYARKFNIKVQD FSKQKFTQDDYDRFENFLISKVTAKKPHMVFLQGAAGIGKSLMLTKLMLAWSEGMVFQNK FSYIFYFCCQDVKKMKRASLAELISKEWPKTSAPIEDILSQPEKLLFVIDNLEVMECDMS ERESELCDTCTEKQPVRILLSSLLRRKMLPKSSFLISATPETFEKMEGRVECTNVKIVTG FNESNIKMYFRSLFQDKTKTQEIFSLVKENQQLFTVCQVPVLCWMVATCLKKEIEKGRDL VSVCRRTTSLYTTHIFNLFIPQSAQYPSKESQAQLQSLCSLAAEGMWTDTFVFGEEALRR NGIMDSDIPTLLDVRILEKSKKSEKSYIFLHPSIQEVCAAIFYLLKSHMDHPSQDVKSIE ALIFTFLKKVKVQWIFFGSFIFGLLHESEQKKLEAFFGHQLSQEIKRQLYQCLETISGNE ELQEQVDGMKLFYCLFEMDDEAFLAQAMNCMEQINFVAKDYSDVIVAAHCLQHCSTLKKL SLSTQNVLSEGQEHSYTEKLLMCWHHMCSVLISSKDIYILQVKNTNLNETASLVLYSHLM YPSCTLKALVVNNVTFLCDNRLFFELIQNQCLQHLDLNLTFLSHGDVKLLCDVLSQEECN IEKLMVAACNLSPDDCKVFASVLISSKMLKHLNLSSNNLDKGISSLSKALCHPDCVLKNL VLVNCSLSEQCWDYLSEVLRRNKTLNHLDISSNDLKDEGLKVLCGALSLPDSVLKSLSVR YCLITTSGCQDLAEVLRKNQNLRNLQVSNNKIEDAGVKLLCDAIKHPNCHLENIGLEACA LTGACCEDLASAFTHCKTLWGINLQENALDHSGLIVLFEALKQQQCTLHVLGLRITDFDK ETQELLMAEEEKNPHLSILSSV >ENSMUSP00000063016.5 pep:known chromosome:GRCm38:7:127091359:127093986:1 gene:ENSMUSG00000045165.6 transcript:ENSMUST00000056288.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI467606 description:expressed sequence AI467606 [Source:MGI Symbol;Acc:MGI:2141979] MPVTPQQPSGHTEGLPEPTAEAAVWVVIPCGPCIPIMLGLASLTAFFIITTAVLAERLFR RPQPDPSQRAPTLVWRPGGELWIEPTSSARERSEDWYGSSMPLLMDRAPGPPTPGGTLEG RATAPPATSAPYSSLSSLVPQTPPEVPAQSTFWRPQTQEERPHDTSLVSWVGSEPMPEAG LQVGSPRPWRPRQGSLEPDWGLQPRVTLEQISAFWKREGRTSVGF >ENSMUSP00000146315.1 pep:known chromosome:GRCm38:7:127091424:127092993:1 gene:ENSMUSG00000045165.6 transcript:ENSMUST00000206102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI467606 description:expressed sequence AI467606 [Source:MGI Symbol;Acc:MGI:2141979] MPVTPQQPSGHTEGLPEPTAEAAVWVVIPCGPCIPIMLGLASLTAFFIITTAVLAERLFR RPQPDPSQRAPTLVWRPGGELWIEPTSSARERSEDWYGSSMPLLMDRAPGPPTPGGTLEG RATAPPATSAPYSSLSSLVPQTPPEVPAQSTFWRPQTQEERPHDTSLVSWVGSEPMPEAG LQVGSPRPWRPRQGSLEPDWGLQPRVTLEQISAFWKREGRTSVGF >ENSMUSP00000140976.1 pep:known chromosome:GRCm38:9:105128903:105131312:-1 gene:ENSMUSG00000032565.8 transcript:ENSMUST00000185533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt16 description:nudix (nucleoside diphosphate linked moiety X)-type motif 16 [Source:MGI Symbol;Acc:MGI:1922936] XKDHGLEVLGLVRVPLYVLRDGEGGLPAFLENSFIGAAREQLLEALQDLKLLDPGIIAKL KIPDSK >ENSMUSP00000035179.6 pep:known chromosome:GRCm38:9:105129332:105131805:-1 gene:ENSMUSG00000032565.8 transcript:ENSMUST00000035179.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt16 description:nudix (nucleoside diphosphate linked moiety X)-type motif 16 [Source:MGI Symbol;Acc:MGI:1922936] MEGHRKVELSEALALGPDWRHACHALLYAPDPRKLFGRIPMRFAVLMQMRFDGRLGFPGG FVDAQDSCLEDGLNRELREELGEAMSAFRVERSDYRSSHIAARPRVVAHFYAKRLTLEQL QAVEARAPQAKDHGLEVLGLVRVPLYVLRDGEGGLPAFLENSFIGAAREQLLEALQDLKL LDPGIIAKLKIPDSK >ENSMUSP00000140590.1 pep:known chromosome:GRCm38:9:105130207:105131773:-1 gene:ENSMUSG00000032565.8 transcript:ENSMUST00000186925.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt16 description:nudix (nucleoside diphosphate linked moiety X)-type motif 16 [Source:MGI Symbol;Acc:MGI:1922936] XHRKVELSEALALGPDWRHACHALLYAPDPRKLFGRIPMRFAVLMRFDGRLGFPGGFVDA QDSCLEDGLNRELREELGEAMSAFRVERSDYRSSHIAARPRVVAHFYAKRLTLEQLQAVE ARAPQAKDHGLEVLGLVRVPLYVLRDGEGGLPAFLENSFIGAAREQLLEALQDLKLLDPG IIAKLKIPDSK >ENSMUSP00000109599.1 pep:known chromosome:GRCm38:X:86740870:88115645:-1 gene:ENSMUSG00000052372.10 transcript:ENSMUST00000113966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rapl1 description:interleukin 1 receptor accessory protein-like 1 [Source:MGI Symbol;Acc:MGI:2687319] MKAPIPHLILLYATFTQSLKVVTKRGSADGCTDWSVDIKKYQVLVGEPVRIKCALFYGYI RTNYSLAQSAGLSLMWYKSSGPGDFEEPIAFDGSRMSKEEDSIWFRPTLLQDSGLYACVI RNSTYCMKVSISLTVGENDTGLCYNSKMKYFEKAELSKSKEISCRDIEDFLLPTREPEIL WYKECRTKAWRPSIVFKRDTLLIKEVKEDDIGNYTCELKYGGFVVRRTTELTVTAPLTDK PPKLLYPMESKLTVQETQLGGSANLTCRAFFGYSGDVSPLIYWMKGEKFIEDLDENRVWE SDIRILKEHLGEQEVSISLIVDSVEEGDLGNYSCYVENGNGRRHASVLLHKRELMYTVEL AGGLGAILLLLICSVTIYKCYKIEIMLFYRNHFGAEELDGDNKDYDAYLSYTKVDPDQWN QETGEEERFALEILPDMLEKHYGYKLFIPDRDLIPTGTYIEDVARCVDQSKRLIIVMTPN YVVRRGWSIFELETRLRNMLVTGEIKVILIECSELRGIMNYQEVEALKHTIKLLTVIKWH GPKCNKLNSKFWKRLQYEMPFKRIEPITHEQALDVSEQGPFGELQTVSAISMAAATSTAL ATAHPDLRSTFHNTYHSQMRQKHYYRSYEYDVPPTGTLPLTSIGNQHTYCNIPMTLINGQ RPQTKSNREPNPDEAHTNSAILPLLPRETSISSVIW >ENSMUSP00000109597.1 pep:known chromosome:GRCm38:X:86769118:88115645:-1 gene:ENSMUSG00000052372.10 transcript:ENSMUST00000113964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rapl1 description:interleukin 1 receptor accessory protein-like 1 [Source:MGI Symbol;Acc:MGI:2687319] MKAPIPHLILLYATFTQSLKVVTKRGSADGCTDWSVDIKKYQVLVGEPVRIKCALFYGYI RTNYSLAQSAGLSLMWYKSSGPGDFEEPIAFDGSRMSKEEDSIWFRPTLLQDSGLYACVI RNSTYCMKVSISLTVGENDTGLCYNSKMKYFEKAELSKSKEISCRDIEDFLLPTREPEIL WYKECRTKAWRPSIVFKRDTLLIKEVKEDDIGNYTCELKYGGFVVRRTTELTVTAPLTDK PPKLLYPMESKLTVQETQLGGSANLTCRAFFGYSGDVSPLIYWMKGEKFIEDLDENRVWE SDIRILKEHLGEQEVSISLIVDSVEEGDLGNYSCYVENGNGRRHASVLLHKRAAGKLRSI ELTLHTQLREIIRPPVFYLCITM >ENSMUSP00000077915.1 pep:known chromosome:GRCm38:X:86747242:87890235:-1 gene:ENSMUSG00000052372.10 transcript:ENSMUST00000078875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rapl1 description:interleukin 1 receptor accessory protein-like 1 [Source:MGI Symbol;Acc:MGI:2687319] MKAPIPHLILLYATFTQSLKVVTKRGSADGCTDWSVDIKKYQVLVGEPVRIKCALFYGYI RTNYSLAQSAGLSLMWYKSSGPGDFEEPIAFDGSRMSKEEDSIWFRPTLLQDSGLYACVI RNSTYCMKVSISLTVGENDTGLCYNSKMKYFEKAELSKSKEISCRDIEDFLLPTREPEIL WYKECRTKAWRPSIVFKRDTLLIKEVKEDDIGNYTCELKYGGFVVRRTTELTVTAPLTDK PPKLLYPMESKLTVQETQLGGSANLTCRAFFGYSGDVSPLIYWMKGEKFIEDLDENRVWE SDIRILKEHLGEQEVSISLIVDSVEEGDLGNYSCYVENGNGRRHASVLLHKRELMYTVEL AGGLGAILLLLICSVTIYKCYKIEIMLFYRNHFGAEELDGDNKDYDAYLSYTKVDPDQWN QETGEEERFALEILPDMLEKHYGYKLFIPDRDLIPTGTYIEDVARCVDQSKRLIIVMTPN YVVRRGWSIFELETRLRNMLVTGEIKVILIECSELRGIMNYQEVEALKHTIKLLTVIKWH GPKCNKLNSKFWKRLQYEMPFKRIEPITHEQALDVSEQGPFGELQTVSAISMAAATSTAL ATAHPDLRSTFHNTYHSQMRQKHYYRSYEYDVPPTGTLPLTSIGNQHTYCNIPMTLINGQ RPQTKSNREPNPDEAHTNSAILPLLPRETSISSVIW >ENSMUSP00000123278.1 pep:known chromosome:GRCm38:X:74223461:74246398:-1 gene:ENSMUSG00000031328.15 transcript:ENSMUST00000144429.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Flna description:filamin, alpha [Source:MGI Symbol;Acc:MGI:95556] XVASPGGSIDSRDAEMPATEKDLAEDAPWKKIQQNTFTRWCNEHLKCVSKRIANLQTDLS DGLRLIALLEVLSQKKMHRKHNQRPTFRQMQLENVSVALEFLDRESIKLVSIDSKAIVDG NLKLILGLIWTLILHYSISMPMWDEEEDEEAKKQTPKQRLLGWIQNKLPQLPITNFSRDW QSGRALGALVDSCAPGLCPDWDSWDASKPVNNAREAMQQADDWLGIPQVITPEEIVDPNV DEHSVMTYLSQFPKAKLKPGAPLRPKLNPKKARAYGPGIEPTGNMVKKRAEFTVETRSAG QGEVLVYVEDPAGHQEEAKVTANNDKNRTFSVWYVPEVTGTHKVTVLFAGQHIAKSPFEV YVDKSQGDASKVTAQGPGLEPSGNIANKTTYFEIFTAGAGMGEVEVVIQDPTGQKGTVEP QLEARGDSTYRCSYQPTMEGVHTVHVTFAGVPIPRSPYTVTVGQACNPAACRAIGRGLQP KGVRVKETADFKVYTKGAGSGELKVTVKGPR >ENSMUSP00000109938.1 pep:known chromosome:GRCm38:X:74223461:74249820:-1 gene:ENSMUSG00000031328.15 transcript:ENSMUST00000114299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flna description:filamin, alpha [Source:MGI Symbol;Acc:MGI:95556] MSSSHSRCGQSAAVASPGGSIDSRDAEMPATEKDLAEDAPWKKIQQNTFTRWCNEHLKCV SKRIANLQTDLSDGLRLIALLEVLSQKKMHRKHNQRPTFRQMQLENVSVALEFLDRESIK LVSIDSKAIVDGNLKLILGLIWTLILHYSISMPMWDEEEDEEAKKQTPKQRLLGWIQNKL PQLPITNFSRDWQSGRALGALVDSCAPGLCPDWDSWDASKPVNNAREAMQQADDWLGIPQ VITPEEIVDPNVDEHSVMTYLSQFPKAKLKPGAPLRPKLNPKKARAYGPGIEPTGNMVKK RAEFTVETRSAGQGEVLVYVEDPAGHQEEAKVTANNDKNRTFSVWYVPEVTGTHKVTVLF AGQHIAKSPFEVYVDKSQGDASKVTAQGPGLEPSGNIANKTTYFEIFTAGAGMGEVEVVI QDPTGQKGTVEPQLEARGDSTYRCSYQPTMEGVHTVHVTFAGVPIPRSPYTVTVGQACNP AACRAIGRGLQPKGVRVKETADFKVYTKGAGSGELKVTVKGPKGEERVKQKDLGDGVYGF EYYPTIPGTYTVTITWGGQNIGRSPFEVKVGTECGNQKVRAWGPGLEGGIVGKSADFVVE AIGDDVGTLGFSVEGPSQAKIECDDKGDGSCDVRYWPQEAGEYAVHVLCNSEDIRLSPFM ADIREAPQDFHPDRVKARGPGLEKTGVAVNKPAEFTVDAKHAGKAPLRVQVQDNEGCSVE ATVKDNGNGTYSCSYVPRKPVKHTAMVSWGGVSIPNSPFRVNVGAGSHPNKVKVYGPGVA KTGLKAHEPTYFTVDCTEAGQGDVSIGIKCAPGVVGPTEADIDFDIIRNDNDTFTVKYTP CGAGSYTIMVLFADQATPTSPIRVKVEPSHDASKVKAEGPGLNRTGVELGKPTHFTVNAK TAGKGKLDVQFSGLAKGDAVRDVDIIDHHDNTYTVKYIPVQQGPVGVNVTYGGDHIPKSP FSVGVSPSLDLSKIKVSGLGDKVDVGKDQEFTVKSKGAGGQGKVASKIVSPSGAAVPCKV EPGLGADNSVVRFVPREEGPYEVEVTYDGVPVPGSPFPLEAVAPTKPSKVKAFGPGLQGG NAGSPARFTIDTKGAGTGGLGLTVEGPCEAQLECLDNGDGTCSVSYVPTEPGDYNINILF ADTHIPGSPFKAHVAPCFDASKVKCSGPGLERATAGEVGQFQVDCSSAGSAELTIEICSE AGLPAEVYIQDHGDGTHTITYIPLCPGAYTVTIKYGGQPVPNFPSKLQVEPAVDTSGVQC YGPGIEGQGVFREATTEFSVDARALTQTGGPHVKARVANPSGNLTDTYVQDCGDGTYKVE YTPYEEGVHSVDVTYDGSPVPSSPFQVPVTEGCDPSRVRVHGPGIQSGTTNKPNKFTVET RGAGTGGLGLAVEGPSEAKMSCMDNKDGSCSVEYIPYEAGTYSLNVTYGGHQVPGSPFKV PVHDVTDASKVKCSGPGLSPGMVRANLPQSFQVDTSKAGVAPLQVKVQGPKGLVEPVDVV DNADGTQTVNYVPSREGSYSISVLYGEEEVPRSPFKVKVLPTHDASKVKASGPGLNTTGV PASLPVEFTIDAKDAGEGLLAVQITDPEGKPKKTHIQDNHDGTYTVAYVPDVPGRYTILI KYGGDEIPFSPYRVRAVPTGDASKCTVTGAGIGPTIQIGEETVITVDTKAAGKGKVTCTV CTPDGSEVDVDVVENEDGTFDIFYTAPQPGKYVICVRFGGEHVPNSPFQVTALAGDQPTV QTPLRSQQLAPQYNYPQGSQQTWIPERPMVGVNGLDVTSLRPFDLVIPFTIKKGEITGEV RMPSGKVAQPSITDNKDGTVTVRYSPSEAGLHEMDIRYDNMHIPGSPLQFYVDYVNCGHI TAYGPGLTHGVVNKPATFTVNTKDAGEGGLSLAIEGPSKAEISCTDNQDGTCSVSYLPVL PGDYSILVKYNDQHIPGSPFTARVTGDDSMRMSHLKVGSAADIPINISETDLSLLTATVV PPSGREEPCLLKRLRNGHVGISFVPKETGEHLVHVKKNGQHVASSPIPVVISQSEIGDAS RVRVSGQGLHEGHTFEPAEFIIDTRDAGYGGLSLSIEGPSKVDINTEDLEDGTCRVTYCP TEPGNYIINIKFADQHVPGSPFSVKVTGEGRVKESITRRRRAPSVANIGSHCDLSLKIPE ISIQDMTAQVTSPSGKTHEAEIVEGENHTYCIRFVPAEMGMHTVSVKYKGQHVPGSPFQF TVGPLGEGGAHKVRAGGPGLERAEVGVPAEFGIWTREAGAGGLAIAVEGPSKAEISFEDR KDGSCGVAYVVQEPGDYEVSVKFNEEHIPDSPFVVPVASPSGDARRLTVSSLQESGLKVN QPASFAVSLNGAKGAIDAKVHSPSGALEECYVTEIDQDKYAVRFIPRENGIYLIDVKFNG THIPGSPFKIRVGEPGHGGDPGLVSAYGAGLEGGVTGSPAEFIVNTSNAGAGALSVTIDG PSKVKMDCQECPEGYRVTYTPMAPGSYLISIKYGGPYHIGGSPFKAKVTGPRLVSNHSLH ETSSVFVDSLTKVATVPQHATSGPGPADVSKVVAKGLGLSKAYVGQKSNFTVDCSKAGNN MLLVGVHGPRTPCEEILVKHMGSRLYSVSYLLKDKGEYTLVVKWGDEHIPGSPYRIMVP >ENSMUSP00000033699.6 pep:known chromosome:GRCm38:X:74223541:74246555:-1 gene:ENSMUSG00000031328.15 transcript:ENSMUST00000033699.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flna description:filamin, alpha [Source:MGI Symbol;Acc:MGI:95556] MSSSHSRCGQSAAVASPGGSIDSRDAEMPATEKDLAEDAPWKKIQQNTFTRWCNEHLKCV SKRIANLQTDLSDGLRLIALLEVLSQKKMHRKHNQRPTFRQMQLENVSVALEFLDRESIK LVSIDSKAIVDGNLKLILGLIWTLILHYSISMPMWDEEEDEEAKKQTPKQRLLGWIQNKL PQLPITNFSRDWQSGRALGALVDSCAPGLCPDWDSWDASKPVNNAREAMQQADDWLGIPQ VITPEEIVDPNVDEHSVMTYLSQFPKAKLKPGAPLRPKLNPKKARAYGPGIEPTGNMVKK RAEFTVETRSAGQGEVLVYVEDPAGHQEEAKVTANNDKNRTFSVWYVPEVTGTHKVTVLF AGQHIAKSPFEVYVDKSQGDASKVTAQGPGLEPSGNIANKTTYFEIFTAGAGMGEVEVVI QDPTGQKGTVEPQLEARGDSTYRCSYQPTMEGVHTVHVTFAGVPIPRSPYTVTVGQACNP AACRAIGRGLQPKGVRVKETADFKVYTKGAGSGELKVTVKGPKGEERVKQKDLGDGVYGF EYYPTIPGTYTVTITWGGQNIGRSPFEVKVGTECGNQKVRAWGPGLEGGIVGKSADFVVE AIGDDVGTLGFSVEGPSQAKIECDDKGDGSCDVRYWPQEAGEYAVHVLCNSEDIRLSPFM ADIREAPQDFHPDRVKARGPGLEKTGVAVNKPAEFTVDAKHAGKAPLRVQVQDNEGCSVE ATVKDNGNGTYSCSYVPRKPVKHTAMVSWGGVSIPNSPFRVNVGAGSHPNKVKVYGPGVA KTGLKAHEPTYFTVDCTEAGQGDVSIGIKCAPGVVGPTEADIDFDIIRNDNDTFTVKYTP CGAGSYTIMVLFADQATPTSPIRVKVEPSHDASKVKAEGPGLNRTGVELGKPTHFTVNAK TAGKGKLDVQFSGLAKGDAVRDVDIIDHHDNTYTVKYIPVQQGPVGVNVTYGGDHIPKSP FSVGVSPSLDLSKIKVSGLGDKVDVGKDQEFTVKSKGAGGQGKVASKIVSPSGAAVPCKV EPGLGADNSVVRFVPREEGPYEVEVTYDGVPVPGSPFPLEAVAPTKPSKVKAFGPGLQGG NAGSPARFTIDTKGAGTGGLGLTVEGPCEAQLECLDNGDGTCSVSYVPTEPGDYNINILF ADTHIPGSPFKAHVAPCFDASKVKCSGPGLERATAGEVGQFQVDCSSAGSAELTIEICSE AGLPAEVYIQDHGDGTHTITYIPLCPGAYTVTIKYGGQPVPNFPSKLQVEPAVDTSGVQC YGPGIEGQGVFREATTEFSVDARALTQTGGPHVKARVANPSGNLTDTYVQDCGDGTYKVE YTPYEEGVHSVDVTYDGSPVPSSPFQVPVTEGCDPSRVRVHGPGIQSGTTNKPNKFTVET RGAGTGGLGLAVEGPSEAKMSCMDNKDGSCSVEYIPYEAGTYSLNVTYGGHQVPGSPFKV PVHDVTDASKVKCSGPGLSPGMVRANLPQSFQVDTSKAGVAPLQVKVQGPKGLVEPVDVV DNADGTQTVNYVPSREGSYSISVLYGEEEVPRSPFKVKVLPTHDASKVKASGPGLNTTGV PASLPVEFTIDAKDAGEGLLAVQITDPEGKPKKTHIQDNHDGTYTVAYVPDVPGRYTILI KYGGDEIPFSPYRVRAVPTGDASKCTVTVSIGGHGLGAGIGPTIQIGEETVITVDTKAAG KGKVTCTVCTPDGSEVDVDVVENEDGTFDIFYTAPQPGKYVICVRFGGEHVPNSPFQVTA LAGDQPTVQTPLRSQQLAPQYNYPQGSQQTWIPERPMVGVNGLDVTSLRPFDLVIPFTIK KGEITGEVRMPSGKVAQPSITDNKDGTVTVRYSPSEAGLHEMDIRYDNMHIPGSPLQFYV DYVNCGHITAYGPGLTHGVVNKPATFTVNTKDAGEGGLSLAIEGPSKAEISCTDNQDGTC SVSYLPVLPGDYSILVKYNDQHIPGSPFTARVTGDDSMRMSHLKVGSAADIPINISETDL SLLTATVVPPSGREEPCLLKRLRNGHVGISFVPKETGEHLVHVKKNGQHVASSPIPVVIS QSEIGDASRVRVSGQGLHEGHTFEPAEFIIDTRDAGYGGLSLSIEGPSKVDINTEDLEDG TCRVTYCPTEPGNYIINIKFADQHVPGSPFSVKVTGEGRVKESITRRRRAPSVANIGSHC DLSLKIPEISIQDMTAQVTSPSGKTHEAEIVEGENHTYCIRFVPAEMGMHTVSVKYKGQH VPGSPFQFTVGPLGEGGAHKVRAGGPGLERAEVGVPAEFGIWTREAGAGGLAIAVEGPSK AEISFEDRKDGSCGVAYVVQEPGDYEVSVKFNEEHIPDSPFVVPVASPSGDARRLTVSSL QESGLKVNQPASFAVSLNGAKGAIDAKVHSPSGALEECYVTEIDQDKYAVRFIPRENGIY LIDVKFNGTHIPGSPFKIRVGEPGHGGDPGLVSAYGAGLEGGVTGSPAEFIVNTSNAGAG ALSVTIDGPSKVKMDCQECPEGYRVTYTPMAPGSYLISIKYGGPYHIGGSPFKAKVTGPR LVSNHSLHETSSVFVDSLTKVATVPQHATSGPGPADVSKVVAKGLGLSKAYVGQKSNFTV DCSKAGNNMLLVGVHGPRTPCEEILVKHMGSRLYSVSYLLKDKGEYTLVVKWGDEHIPGS PYRIMVP >ENSMUSP00000121082.1 pep:known chromosome:GRCm38:X:74223789:74246364:-1 gene:ENSMUSG00000031328.15 transcript:ENSMUST00000130007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flna description:filamin, alpha [Source:MGI Symbol;Acc:MGI:95556] DAEMPATEKDLAEDAPWKKIQQNTFTRWCNEHLKCVSKRIANLQTDLSDGLRLIALLEVL SQKKMHRKHNQRPTFRQMQLENVSVALEFLDRESIKLVSIDSKAIVDGNLKLILGLIWTL ILHYSISMPMWDEEEDEEAKKQTPKQRLLGWIQNKLPQLPITNFSRDWQSGRALGALVDS CAPGLCPDWDSWDASKPVNNAREAMQQADDWLGIPQVITPEEIVDPNVDEHSVMTYLSQF PKAKLKPGAPLRPKLNPKKARAYGPGIEPTGNMVKKRAEFTVETRSAGQGEVLVYVEDPA GHQEEAKVTANNDKNRTFSVWYVPEVTGTHKVTVLFAGQHIAKSPFEVYVDKSQGDASKV TAQGPGLEPSGNIANKTTYFEIFTAGAGMGEVEVVIQDPTGQKGTVEPQLEARGDSTYRC SYQPTMEGVHTVHVTFAGVPIPRSPYTVTVGQACNPAACRAIGRGLQPKGVRVKETADFK VYTKGAGSGELKVTVKGPKGEERVKQKDLGDGVYGFEYYPTIPGTYTVTITWGGQNIGRS PFEVKVGTECGNQKVRAWGPGLEGGIVGKSADFVVEAIGDDVGTLGFSVEGPSQAKIECD DKGDGSCDVRYWPQEAGEYAVHVLCNSEDIRLSPFMADIREAPQDFHPDRVKARGPGLEK TGVAVNKPAEFTVDAKHAGKAPLRVQVQDNEGCSVEATVKDNGNGTYSCSYVPRKPVKHT AMVSWGGVSIPNSPFRVNVGAGSHPNKVKVYGPGVAKTGLKAHEPTYFTVDCTEAGQGDV SIGIKCAPGVVGPTEADIDFDIIRNDNDTFTVKYTPCGAGSYTIMVLFADQATPTSPIRV KVEPSHDASKVKAEGPGLNRTGVELGKPTHFTVNAKTAGKGKLDVQFSGLAKGDAVRDVD IIDHHDNTYTVKYIPVQQGPVGVNVTYGGDHIPKSPFSVGVSPSLDLSKIKVSGLGDKVD VGKDQEFTVKSKGAGGQGKVASKIVSPSGAAVPCKVEPGLGADNSVVRFVPREEGPYEVE VTYDGVPVPGSPFPLEAVAPTKPSKVKAFGPGLQGGNAGSPARFTIDTKGAGTGGLGLTV EGPCEAQLECLDNGDGTCSVSYVPTEPGDYNINILFADTHIPGSPFKAHVAPCFDASKVK CSGPGLERATAGEVGQFQVDCSSAGSAELTIEICSEAGLPAEVYIQDHGDGTHTITYIPL CPGAYTVTIKYGGQPVPNFPSKLQVEPAVDTSGVQCYGPGIEGQGVFREATTEFSVDARA LTQTGGPHVKARVANPSGNLTDTYVQDCGDGTYKVEYTPYEEGVHSVDVTYDGSPVPSSP FQVPVTEGCDPSRVRVHGPGIQSGTTNKPNKFTVETRGAGTGGLGLAVEGPSEAKMSCMD NKDGSCSVEYIPYEAGTYSLNVTYGGHQVPGSPFKVPVHDVTDASKVKCSGPGLSPGMVR ANLPQSFQVDTSKAGVAPLQVKVQGPKGLVEPVDVVDNADGTQTVNYVPSREGSYSISVL YGEEEVPRSPFKVKVLPTHDASKVKASGPGLNTTGVPASLPVEFTIDAKDAGEGLLAVQI TDPEGKPKKTHIQDNHDGTYTVAYVPDVPGRYTILIKYGGDEIPFSPYRVRAVPTGDASK CTVTGAGIGPTIQIGEETVITVDTKAAGKGKVTCTVCTPDGSEVDVDVVENEDGTFDIFY TAPQPGKYVICVRFGGEHVPNSPFQVTIPERPMVGVNGLDVTSLRPFDLVIPFTIKKGEI TGEVRMPSGKVAQPSITDNKDGTVTVRYSPSEAGLHEMDIRYDNMHIPGSPLQFYVDYVN CGHITAYGPGLTHGVVNKPATFTVNTKDAGEGGLSLAIEGPSKAEISCTDNQDGTCSVSY LPVLPGDYSILVKYNDQHIPGSPFTARVTGDDSMRMSHLKVGSAADIPINISETDLSLLT ATVVPPSGREEPCLLKRLRNGHVGISFVPKETGEHLVHVKKNGQHVASSPIPVVISQSEI GDASRVRVSGQGLHEGHTFEPAEFIIDTRDAGYGGLSLSIEGPSKVDINTEDLEDGTCRV TYCPTEPGNYIINIKFADQHVPGSPFSVKVTGEGRVKESITRRRRAPSVANIGSHCDLSL KIPEISIQDMTAQVTSPSGKTHEAEIVEGENHTYCIRFVPAEMGMHTVSVKYKGQHVPGS PFQFTVGPLGEGGAHKVRAGGPGLERAEVGVPAEFGIWTREAGAGGLAIAVEGPSKAEIS FEDRKDGSCGVAYVVQEPGDYEVSVKFNEEHIPDSPFVVPVASPSGDARRLTVSSLQESG LKVNQPASFAVSLNGAKGAIDAKVHSPSGALEECYVTEIDQDKYAVRFIPRENGIYLIDV KFNGTHIPGSPFKIRVGEPGHGGDPGLVSAYGAGLEGGVTGSPAEFIVNTSNAGAGALSV TIDGPSKVKMDCQECPEGYRVTYTPMAPGSYLISIKYGGPYHIGGSPFKAKVTGPRLVSN HSLHETSSVFVDSLTKVATVPQHATSGPGPADVSKVVAKGLGLSKAYVGQKSNFTVDCSK AGNNMLLVGVHGPRTPCEEILVKHMGSRLYSVSYLLKDKGEYTLVVKWGDEHIPGSPYRI MVP >ENSMUSP00000116758.1 pep:known chromosome:GRCm38:X:74226872:74228489:-1 gene:ENSMUSG00000031328.15 transcript:ENSMUST00000150554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flna description:filamin, alpha [Source:MGI Symbol;Acc:MGI:95556] LVHVKKNGQHVASSPIPVVISQSEIGDASRVRVSGQGLHEGHTFEPAEFIIDTRDAGYGG LSLSIEGPSKVDINTEDLEDGTCRVTYCPTEPGNYIINIKFADQHVPEISIQDMTAQVTS PSGKTHEAEIVEGENHTYCIRFVPAEMGMHTVSVKYKGQHVPGSPFQFTVGPLGEGGAHK VRAGGPGLERAEVGVPAEFGIWTREAGAGGLAIAVEGPSKAEISFEDRKDGSCGVAYVVQ EPGDYEVSVKFNEEHIPDSPFVVPVASPSGDARRLTV >ENSMUSP00000117324.1 pep:known chromosome:GRCm38:X:74227852:74229063:-1 gene:ENSMUSG00000031328.15 transcript:ENSMUST00000127924.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Flna description:filamin, alpha [Source:MGI Symbol;Acc:MGI:95556] XDQHIPGSPFTARVTGDDSMRMSHLKVGSAADIPINISETDLSLLTATVVPPSGREEPCL LKRLRNGHVALSCA >ENSMUSP00000114827.1 pep:known chromosome:GRCm38:X:74228380:74230570:-1 gene:ENSMUSG00000031328.15 transcript:ENSMUST00000127463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flna description:filamin, alpha [Source:MGI Symbol;Acc:MGI:95556] XKYVICVRFGGEHVPNSPFQVTALAGDQPTVQTPLRSQQLAPQYNYPQGSQQTWIPERPM VGVNGLDVTSLRPFDLVIPFTIKKGEITGEVRMPSGKVAQPSITDNKDGTVTVRYSPSEA GLHEMDIRYDNMHIPGSPLQFYVDYVNCGHITAYGPGLTHGVVNKPATFTVNTKDAGEGD DSMRMSHLKVGSAADIPINISETDLSLLTATVVPPSGREEPCLLKRLRNGHVGISFVPKE TGEHLVHVKKNGQHVASSPIPVVISQSEIGDASRVRVSGQG >ENSMUSP00000098997.2 pep:known chromosome:GRCm38:X:74223463:74246534:-1 gene:ENSMUSG00000031328.15 transcript:ENSMUST00000101454.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flna description:filamin, alpha [Source:MGI Symbol;Acc:MGI:95556] MSSSHSRCGQSAAVASPGGSIDSRDAEMPATEKDLAEDAPWKKIQQNTFTRWCNEHLKCV SKRIANLQTDLSDGLRLIALLEVLSQKKMHRKHNQRPTFRQMQLENVSVALEFLDRESIK LVSIDSKAIVDGNLKLILGLIWTLILHYSISMPMWDEEEDEEAKKQTPKQRLLGWIQNKL PQLPITNFSRDWQSGRALGALVDSCAPGLCPDWDSWDASKPVNNAREAMQQADDWLGIPQ VITPEEIVDPNVDEHSVMTYLSQFPKAKLKPGAPLRPKLNPKKARAYGPGIEPTGNMVKK RAEFTVETRSAGQGEVLVYVEDPAGHQEEAKVTANNDKNRTFSVWYVPEVTGTHKVTVLF AGQHIAKSPFEVYVDKSQGDASKVTAQGPGLEPSGNIANKTTYFEIFTAGAGMGEVEVVI QDPTGQKGTVEPQLEARGDSTYRCSYQPTMEGVHTVHVTFAGVPIPRSPYTVTVGQACNP AACRAIGRGLQPKGVRVKETADFKVYTKGAGSGELKVTVKGPKGEERVKQKDLGDGVYGF EYYPTIPGTYTVTITWGGQNIGRSPFEVKVGTECGNQKVRAWGPGLEGGIVGKSADFVVE AIGDDVGTLGFSVEGPSQAKIECDDKGDGSCDVRYWPQEAGEYAVHVLCNSEDIRLSPFM ADIREAPQDFHPDRVKARGPGLEKTGVAVNKPAEFTVDAKHAGKAPLRVQVQDNEGCSVE ATVKDNGNGTYSCSYVPRKPVKHTAMVSWGGVSIPNSPFRVNVGAGSHPNKVKVYGPGVA KTGLKAHEPTYFTVDCTEAGQGDVSIGIKCAPGVVGPTEADIDFDIIRNDNDTFTVKYTP CGAGSYTIMVLFADQATPTSPIRVKVEPSHDASKVKAEGPGLNRTGVELGKPTHFTVNAK TAGKGKLDVQFSGLAKGDAVRDVDIIDHHDNTYTVKYIPVQQGPVGVNVTYGGDHIPKSP FSVGVSPSLDLSKIKVSGLGDKVDVGKDQEFTVKSKGAGGQGKVASKIVSPSGAAVPCKV EPGLGADNSVVRFVPREEGPYEVEVTYDGVPVPGSPFPLEAVAPTKPSKVKAFGPGLQGG NAGSPARFTIDTKGAGTGGLGLTVEGPCEAQLECLDNGDGTCSVSYVPTEPGDYNINILF ADTHIPGSPFKAHVAPCFDASKVKCSGPGLERATAGEVGQFQVDCSSAGSAELTIEICSE AGLPAEVYIQDHGDGTHTITYIPLCPGAYTVTIKYGGQPVPNFPSKLQVEPAVDTSGVQC YGPGIEGQGVFREATTEFSVDARALTQTGGPHVKARVANPSGNLTDTYVQDCGDGTYKVE YTPYEEGVHSVDVTYDGSPVPSSPFQVPVTEGCDPSRVRVHGPGIQSGTTNKPNKFTVET RGAGTGGLGLAVEGPSEAKMSCMDNKDGSCSVEYIPYEAGTYSLNVTYGGHQVPGSPFKV PVHDVTDASKVKCSGPGLSPGMVRANLPQSFQVDTSKAGVAPLQVKVQGPKGLVEPVDVV DNADGTQTVNYVPSREGSYSISVLYGEEEVPRSPFKVKVLPTHDASKVKASGPGLNTTGV PASLPVEFTIDAKDAGEGLLAVQITDPEGKPKKTHIQDNHDGTYTVAYVPDVPGRYTILI KYGGDEIPFSPYRVRAVPTGDASKCTVTGAGIGPTIQIGEETVITVDTKAAGKGKVTCTV CTPDGSEVDVDVVENEDGTFDIFYTAPQPGKYVICVRFGGEHVPNSPFQVTALAGDQPTV QTPLRSQQLAPQYNYPQGSQQTWIPERPMVGVNGLDVTSLRPFDLVIPFTIKKGEITGEV RMPSGKVAQPSITDNKDGTVTVRYSPSEAGLHEMDIRYDNMHIPGSPLQFYVDYVNCGHI TAYGPGLTHGVVNKPATFTVNTKDAGEGGLSLAIEGPSKAEISCTDNQDGTCSVSYLPVL PGDYSILVKYNDQHIPGSPFTARVTGDDSMRMSHLKVGSAADIPINISETDLSLLTATVV PPSGREEPCLLKRLRNGHVGISFVPKETGEHLVHVKKNGQHVASSPIPVVISQSEIGDAS RVRVSGQGLHEGHTFEPAEFIIDTRDAGYGGLSLSIEGPSKVDINTEDLEDGTCRVTYCP TEPGNYIINIKFADQHVPGSPFSVKVTGEGRVKESITRRRRAPSVANIGSHCDLSLKIPE ISIQDMTAQVTSPSGKTHEAEIVEGENHTYCIRFVPAEMGMHTVSVKYKGQHVPGSPFQF TVGPLGEGGAHKVRAGGPGLERAEVGVPAEFGIWTREAGAGGLAIAVEGPSKAEISFEDR KDGSCGVAYVVQEPGDYEVSVKFNEEHIPDSPFVVPVASPSGDARRLTVSSLQESGLKVN QPASFAVSLNGAKGAIDAKVHSPSGALEECYVTEIDQDKYAVRFIPRENGIYLIDVKFNG THIPGSPFKIRVGEPGHGGDPGLVSAYGAGLEGGVTGSPAEFIVNTSNAGAGALSVTIDG PSKVKMDCQECPEGYRVTYTPMAPGSYLISIKYGGPYHIGGSPFKAKVTGPRLVSNHSLH ETSSVFVDSLTKVATVPQHATSGPGPADVSKVVAKGLGLSKAYVGQKSNFTVDCSKAGNN MLLVGVHGPRTPCEEILVKHMGSRLYSVSYLLKDKGEYTLVVKWGDEHIPGSPYRIMVP >ENSMUSP00000141036.1 pep:known chromosome:GRCm38:12:73123717:73233548:1 gene:ENSMUSG00000021103.12 transcript:ENSMUST00000187549.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mnat1 description:menage a trois 1 [Source:MGI Symbol;Acc:MGI:106207] MDDQGCPRCKTTKYRNPSLKLMVNVCGHTLCESCVDLLFVRGAGNCPECGTPLRKSNFRV QLFEDPTVDKEVEIRKKVLKIYNKREEDFPSLREYNDFLEEVEEIVFNLTNNVDLENTKK KMEIYQKENKDVIQKNKLKLTREQEELEEALEVERQEHEQRRLFIQKEEELQQALKRKNK QAFLDELESSDLPVALLLAQHKDRSTQLEMQLEKPRSMKPVTFSTGIKMVLFEGPAIHLV AFLLGSSWL >ENSMUSP00000141146.1 pep:known chromosome:GRCm38:12:73123717:73272851:1 gene:ENSMUSG00000021103.12 transcript:ENSMUST00000189644.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mnat1 description:menage a trois 1 [Source:MGI Symbol;Acc:MGI:106207] MDDQGCPRCKTTKYRNPSLKLMVNVCGHTLCESCVDLLFVRGAGNCPECGTPLRKSNFRV QLFEDPTVDKEVEIRKKVLKIFQFDQQCGFGEH >ENSMUSP00000021523.6 pep:known chromosome:GRCm38:12:73123717:73273988:1 gene:ENSMUSG00000021103.12 transcript:ENSMUST00000021523.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mnat1 description:menage a trois 1 [Source:MGI Symbol;Acc:MGI:106207] MDDQGCPRCKTTKYRNPSLKLMVNVCGHTLCESCVDLLFVRGAGNCPECGTPLRKSNFRV QLFEDPTVDKEVEIRKKVLKIYNKREEDFPSLREYNDFLEEVEEIVFNLTNNVDLENTKK KMEIYQKENKDVIQKNKLKLTREQEELEEALEVERQEHEQRRLFIQKEEELQQALKRKNK QAFLDELESSDLPVALLLAQHKDRSTQLEMQLEKPRSMKPVTFSTGIKMGQQISLAPIQK LEEALYEYQPLQIETCGPQVPEQELLGRLGYLNHVRAASPQDLAGGYTSSLACHRALQDA FSGLFWQPR >ENSMUSP00000029142.8 pep:known chromosome:GRCm38:2:155819832:155826925:-1 gene:ENSMUSG00000027613.15 transcript:ENSMUST00000029142.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif6 description:eukaryotic translation initiation factor 6 [Source:MGI Symbol;Acc:MGI:1196288] MAVRASFENNCEVGCFAKLTNAYCLVAIGGSENFYSVFEGELSDAIPVVHASIAGCRIIG RMCVGNRHGLLVPNNTTDQELQHIRNSLPDSVQIRRVEERLSALGNVTTCNDYVALVHPD LDRETEEILADVLKVEVFRQTVADQVLVGSYCVFSNQGGLVHPKTSIEDQDELSSLLQVP LVAGTVNRGSEVIAAGMVVNDWCAFCGLDTTSTELSVVESVFKLNEAKPSTIATSMRDSL IDSLT >ENSMUSP00000105266.1 pep:known chromosome:GRCm38:2:155825166:155826663:-1 gene:ENSMUSG00000027613.15 transcript:ENSMUST00000109638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif6 description:eukaryotic translation initiation factor 6 [Source:MGI Symbol;Acc:MGI:1196288] MAVRASFENNCEVGCFAKLTNAYCLVAIGGSENFYSVFEGELSDAIPVVHASIAGCRIIG RMCVGKAGGRRRGTQRSTLSH >ENSMUSP00000123190.1 pep:known chromosome:GRCm38:2:155823875:155826766:-1 gene:ENSMUSG00000027613.15 transcript:ENSMUST00000134278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif6 description:eukaryotic translation initiation factor 6 [Source:MGI Symbol;Acc:MGI:1196288] MCVGNRHGLLVPNNTTDQELQHIRNSLPDSVQIRRVEERLSALGNVTTCNDYVALVHP >ENSMUSP00000120206.1 pep:known chromosome:GRCm38:2:155822837:155826436:-1 gene:ENSMUSG00000027613.15 transcript:ENSMUST00000129830.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif6 description:eukaryotic translation initiation factor 6 [Source:MGI Symbol;Acc:MGI:1196288] MAVRASFENNCEVGCFAKLTNAYCLVAIGGSENFYSVFEGELSDAIPVVHASIAGCRIIG RMCVGDRRDPG >ENSMUSP00000115715.1 pep:known chromosome:GRCm38:2:155820033:155826436:-1 gene:ENSMUSG00000027613.15 transcript:ENSMUST00000154841.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif6 description:eukaryotic translation initiation factor 6 [Source:MGI Symbol;Acc:MGI:1196288] MAVRASFENNCEVGCFAKLTNAYCLVAIGGSENFYRRQKRSWLMSSRWKSSDRQLLTRC >ENSMUSP00000015791.5 pep:known chromosome:GRCm38:2:180176373:180225859:-1 gene:ENSMUSG00000015647.9 transcript:ENSMUST00000015791.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lama5 description:laminin, alpha 5 [Source:MGI Symbol;Acc:MGI:105382] MAKRGGQLCAGSAPGALGPRSPAPRPLLLLLAGLALVGEARTPGGDGFSLHPPYFNLAEG ARITASATCGEEAPTRSVSRPTEDLYCKLVGGPVAGGDPNQTIQGQYCDICTAANSNKAH PVSNAIDGTERWWQSPPLSRGLEYNEVNVTLDLGQVFHVAYVLIKFANSPRPDLWVLERS TDFGHTYQPWQFFASSKRDCLERFGPRTLERITQDDDVICTTEYSRIVPLENGEIVVSLV NGRPGALNFSYSPLLRDFTKATNIRLRFLRTNTLLGHLMGKALRDPTVTRRYYYSIKDIS IGGRCVCHGHADVCDAKDPLDPFRLQCACQHNTCGGSCDRCCPGFNQQPWKPATTDSANE CQSCNCHGHAYDCYYDPEVDRRNASQNQDNVYQGGGVCLDCQHHTTGINCERCLPGFFRA PDQPLDSPHVCRPCDCESDFTDGTCEDLTGRCYCRPNFTGELCAACAEGYTDFPHCYPLP SFPHNDTREQVLPAGQIVNCDCNAAGTQGNACRKDPRLGRCVCKPNFRGAHCELCAPGFH GPSCHPCQCSSPGVANSLCDPESGQCMCRTGFEGDRCDHCALGYFHFPLCQLCGCSPAGT LPEGCDEAGRCQCRPGFDGPHCDRCLPGYHGYPDCHACACDPRGALDQQCGVGGLCHCRP GYTGATCQECSPGFYGFPSCIPCHCSADGSLHTTCDPTTGQCRCRPRVTGLHCDMCVPGA YNFPYCEAGSCHPAGLAPANPALPETQAPCMCRAHVEGPSCDRCKPGYWGLSASNPEGCT RCSCDPRGTLGGVTECQGNGQCFCKAHVCGKTCAACKDGFFGLDYADYFGCRSCRCDVGG ALGQGCEPKTGACRCRPNTQGPTCSEPAKDHYLPDLHHMRLELEEAATPEGHAVRFGFNP LEFENFSWRGYAHMMAIQPRIVARLNVTSPDLFRLVFRYVNRGSTSVNGQISVREEGKLS SCTNCTEQSQPVAFPPSTEPAFVTVPQRGFGEPFVLNPGIWALLVEAEGVLLDYVVLLPS TYYEAALLQHRVTEACTYRPSALHSTENCLVYAHLPLDGFPSAAGTEALCRHDNSLPRPC PTEQLSPSHPPLATCFGSDVDIQLEMAVPQPGQYVLVVEYVGEDSHQEMGVAVHTPQRAP QQGVLNLHPCPYSSLCRSPARDTQHHLAIFYLDSEASIRLTAEQAHFFLHSVTLVPVEEF STEFVEPRVFCVSSHGTFNPSSAACLASRFPKPPQPIILKDCQVLPLPPDLPLTQSQELS PGAPPEGPQPRPPTAVDPNAEPTLLRHPQGTVVFTTQVPTLGRYAFLLHGYQPVHPSFPV EVLINGGRIWQGHANASFCPHGYGCRTLVLCEGQTMLDVTDNELTVTVRVPEGRWLWLDY VLIVPEDAYSSSYLQEEPLDKSYDFISHCATQGYHISPSSSSPFCRNAATSLSLFYNNGA LPCGCHEVGAVSPTCEPFGGQCPCRGHVIGRDCSRCATGYWGFPNCRPCDCGARLCDELT GQCICPPRTVPPDCLVCQPQSFGCHPLVGCEECNCSGPGVQELTDPTCDMDSGQCRCRPN VAGRRCDTCAPGFYGYPSCRPCDCHEAGTMASVCDPLTGQCHCKENVQGSRCDQCRVGTF SLDAANPKGCTRCFCFGATERCGNSNLARHEFVDMEGWVLLSSDRQVVPHEHRPEIELLH ADLRSVADTFSELYWQAPPSYLGDRVSSYGGTLHYELHSETQRGDIFIPYESRPDVVLQG NQMSIAFLELAYPPPGQVHRGQLQLVEGNFRHLETHNPVSREELMMVLAGLEQLQIRALF SQTSSSVSLRRVVLEVASEAGRGPPASNVELCMCPANYRGDSCQECAPGYYRDTKGLFLG RCVPCQCHGHSDRCLPGSGICVGCQHNTEGDQCERCRPGFVSSDPSNPASPCVSCPCPLA VPSNNFADGCVLRNGRTQCLCRPGYAGASCERCAPGFFGNPLVLGSSCQPCDCSGNGDPN MIFSDCDPLTGACRGCLRHTTGPHCERCAPGFYGNALLPGNCTRCDCSPCGTETCDPQSG RCLCKAGVTGQRCDRCLEGYFGFEQCQGCRPCACGPAAKGSECHPQSGQCHCQPGTTGPQ CLECAPGYWGLPEKGCRRCQCPRGHCDPHTGHCTCPPGLSGERCDTCSQQHQVPVPGKPG GHGIHCEVCDHCVVLLLDDLERAGALLPAIREQLQGINASSAAWARLHRLNASIADLQSK LRSPPGPRYQAAQQLQTLEQQSISLQQDTERLGSQATGVQGQAGQLLDTTESTLGRAQKL LESVRAVGRALNELASRMGQGSPGDALVPSGEQLRWALAEVERLLWDMRTRDLGAQGAVA EAELAEAQRLMARVQEQLTSFWEENQSLATHIRDQLAQYESGLMDLREALNQAVNTTREA EELNSRNQERLKEALQWKQELSQDNATLKATLQAASLILGHVSELLQGIDQAKEDLEHLA ASLDGAWTPLLKRMQAFSPASSKVDLVEAAEAHAQKLNQLAINLSGIILGINQDRFIQRA VEASNAYSSILQAVQAAEDAAGQALRQASRTWEMVVQRGLAAGARQLLANSSALEETILG HQGRLGLAQGRLQAAGIQLHNVWARKNQLAAQIQEAQAMLAMDTSETSEKIAHAKAVAAE ALSTATHVQSQLQGMQKNVERWQSQLGGLQGQDLSQVERDASSSVSTLEKTLPQLLAKLS RLENRGVHNASLALSANIGRVRKLIAQARSAASKVKVSMKFNGRSGVRLRTPRDLADLAA YTALKFHIQSPVPAPEPGKNTGDHFVLYMGSRQATGDYMGVSLRNQKVHWVYRLGKAGPT TLSIDENIGEQFAAVSIDRTLQFGHMSVTVEKQMVHEIKGDTVAPGSEGLLNLHPDDFVF YVGGYPSNFTPPEPLRFPGYLGCIEMETLNEEVVSLYNFEQTFMLDTAVDKPCARSKATG DPWLTDGSYLDGSGFARISFEKQFSNTKRFDQELRLVSYNGIIFFLKQESQFLCLAVQEG TLVLFYDFGSGLKKADPLQPPQALTAASKAIQVFLLAGNRKRVLVRVERATVFSVDQDNM LEMADAYYLGGVPPEQLPLSLRQLFPSGGSVRGCIKGIKALGKYVDLKRLNTTGISFGCT ADLLVGRTMTFHGHGFLPLALPDVAPITEVVYSGFGFRGTQDNNLLYYRTSPDGPYQVSL REGHVTLRFMNQEVETQRVFADGAPHYVAFYSNVTGVWLYVDDQLQLVKSHERTTPMLQL QPEEPSRLLLGGLPVSGTFHNFSGCISNVFVQRLRGPQRVFDLHQNMGSVNVSVGCTPAQ LIETSRATAQKVSRRSRQPSQDLACTTPWLPGTIQDAYQFGGPLPSYLQFVGISPSHRNR LHLSMLVRPHAASQGLLLSTAPMSGRSPSLVLFLNHGHFVAQTEGPGPRLQVQSRQHSRA GQWHRVSVRWGMQQIQLVVDGSQTWSQKALHHRVPRAERPQPYTLSVGGLPASSYSSKLP VSVGFSGCLKKLQLDKRPLRTPTQMVGVTPCVSGPLEDGLFFPGSEGVVTLELPKAKMPY VSLELEMRPLAAAGLIFHLGQALATPYMQLKVLTEQVLLQANDGAGEFSTWVTYPKLCDG RWHRVAVIMGRDTLRLEVDTQSNHTTGRLPESLAGSPALLHLGSLPKSSTARPELPAYRG CLRKLLINGAPVNVTASVQIQGAVGMRGCPSGTLALSKQGKALTQRQAKPSVSPLLWH >ENSMUSP00000106228.1 pep:known chromosome:GRCm38:5:146502399:146505183:1 gene:ENSMUSG00000079093.2 transcript:ENSMUST00000110598.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930449I24Rik description:RIKEN cDNA 4930449I24 gene [Source:MGI Symbol;Acc:MGI:1914660] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLTHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYIPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKRNVPSSDVDRARELLSVL EDQEAIELQIEQDFATAPEPSEQDASGRQESLAPRPASETEPQGDKQHREDAELVKGAVL DPFKPKATIELHPTLHQAVPASDGSLSPVDVTADEATGVAADEAADVAADVSLARQLFVS YTVQLGTPDFVIPLPEVDI >ENSMUSP00000053869.6 pep:known chromosome:GRCm38:5:139907943:139974722:1 gene:ENSMUSG00000048988.8 transcript:ENSMUST00000050519.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elfn1 description:leucine rich repeat and fibronectin type III, extracellular 1 [Source:MGI Symbol;Acc:MGI:2442479] MAGHGWGTAWVLVAAATLLHAGGLAQGDCWLIEGDKGFVWLAICSQNQPPYEAIPQQINN TIVDLRLNENRIRSVQYASLSRFGNLTYLNLTKNEIGYIEDGAFSGQFNLQVLQLGYNRL RNLTEGMLRGLSKLEYLYLQANLIEVVMASAFWECPNIVNIDLSMNRIQQLGSGTFAGLT KLSVCEIYSNPFYCSCELLGFLRWLAAFTNATQTHDRVQCESPPVYAGYFLLGQGRHGHQ RSILSKLQSVCTEGSYTAEVLGPPRPVPGRSQPGHSPPPPPPEPSDMPCADDECFSGDGT TPLVILTTLVPQTEARPSMKVKQLTQNSATIMVQLPSPFNRMYTLEQYNNSKSFTVSKLT QPQEEIRLTNLYTLTNYTYCVVSTSSGTHHNHTCLTICLPKPPSPPGPVPSPSTATHYIM TILGCLFGMVLVLGAVYYCLRKRRRQEEKHKKAVAAAAGSLKKTIIELKYGPEIEAPGLA PLTQGPLLGPEAVTRIPYLPAATSDVEQYKLVESSETPKATKGNYIEVRTGEPQERRGCE LSRPGEPQSSVAEISTIAKEVDRVNQIINNCIDALKSESTSFQGAKSGAVSAAEPQLVLL SEPLASKHSFLSPVYKDAFGHGGLQRHHSVEAAPGPPRASTSSSGSARSPRTFRAEATGT HKAPATETKYIEKSSPVPETILTVTPAATVLRAEADKSRQYGEHRHSYPGSHPAEPPAPP PPPPTHEGLGGRKASILEPLTRPRPRDLVYSQLSPQYHNLSYSSSPEYTCRASPSIWERL RLSRRRHKDDAEFMAAGHALRKKVQFAKDEDLHDILDYWKGVSAQHKS >ENSMUSP00000121358.1 pep:known chromosome:GRCm38:19:37268743:37330613:-1 gene:ENSMUSG00000056999.14 transcript:ENSMUST00000131070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ide description:insulin degrading enzyme [Source:MGI Symbol;Acc:MGI:96412] XFPKQTYSTMSNPAIQRIEDQIVKSPEDKREYRGLELANGIKVLLISDPTTDKSSAALDV HIGSLSDPPNIPGLSHFCEHMLFLGTKKYPKENEYSQFLSEHAGSSNAFTSGEHTNYYFD VSHEHLEGALDRFAQFFLCPLFDASCKDREVNAVDSEHEKNVMNDAWRLFQLEKATGNPK HPFSKFGTGNKYTLETRPNQEGIDVREELLKFHSTYYSSNLMAICVLGRESLDDLTNLVV KLFSEVENKNVPLPEFPEHPFQEEHLRQLYKIVPIKDIRNLYVTFPIPDLQQYYKSNPGH YLGHLIGHEGPGSLLSELKSKGWVNTLVGGQKEGARGFMFFIINVDLTEEGLLHVEDIIL HMFQYIQKLRAEGPQEWVFQECKDLNAVAFRFKDKERPRGYTSKIAGKLHYYPLNGVLTA EYLLEEFRPDLIDMVLDKLRPENVRVAIVSKSFEGKTDRTEQWYGTQYKQEAIPEDIIQK WQNADLNGKFKLPTKNEFIPTNFEILSLEKDATPYPALIKDTAMSKLWFKQDDKFFLPKA CLNFEFFSPFAYVDPLHCNMAYLYLELLKDSLNEYAYAAELAGLSYDLQNTIYGMYLSVK GYNDKQPILLKKITEKMATFEIDKKRFEIIKEAYMRSLNNFRAEQPHQHAMYYLRLLMTE VAWTKDELKEALDDVTLPRLKAFIPQLLSRLHIEALLHGNITKQAALGVMQMVEDTLIEH AHTKPLLPSQLVRYREVQLPDRGWFVYQQRNEVHNNCGIEIYYQTDMQSTSENMFLELFC QIISEPCFNTLRTKEQLGYIVFSGPRRANGIQGLRFIIQSEKPPHYLESRVEAFLITMEK AIEDMTEEAFQKHIQALAIRRLDKPKKLSAECAKYWGEIISQQYNYDRDNIEVAYLKTLT KDDIIRFYQEMLAVDAPRRHKVSVHVLAREMDSCPVVGEFPSQNDINLSEAPPLPQPEVI HNMTEFKRGLPLFPLVKPHINFMAAKL >ENSMUSP00000020410.5 pep:known chromosome:GRCm38:11:11464256:11515192:1 gene:ENSMUSG00000020191.11 transcript:ENSMUST00000020410.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930415F15Rik description:RIKEN cDNA 4930415F15 gene [Source:MGI Symbol;Acc:MGI:1921112] MRQYMDMEVKTMPGKISIPRRFIFSEGKAIEHPDYPHYSNLLQKMNMPSVKGLEDRHNCV RFEKKCNPTFLKFHPYPPSVLPDYHLHYPYPPPYGRAYPLAPLRDDVPLGDPCSGFMSPG GDANLKPNIGRAIPNLVSFHDVKPQNRVPRPDKGFQTTIKRQTILAEELKQDRRWNSRKV PDISIKAKLGGWTSPMKVVPVPVHEHEVGTLSRIYTFDEEAISTDDSEPLVQLDKKYNIK DSFYKSSTQKAYEDVPWDKMLPPKLDPEETTVEKAADHISQCFSLKRYERLPAITQMVGG LWDRFQTRLFSAPAKPINFVSPSTRSKYIPLYTGHVQSTDADNVDNPYGDIKSVASPRHS KLQYTNSSRSANIPGYTGKVHFTATHPTNSNIPSREPSADSEMNRLLLQEMRVDRFRHQG PMSQMVTTVKPYNPFNKKEKETLEY >ENSMUSP00000105300.1 pep:known chromosome:GRCm38:11:11489266:11515190:1 gene:ENSMUSG00000020191.11 transcript:ENSMUST00000109678.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930415F15Rik description:RIKEN cDNA 4930415F15 gene [Source:MGI Symbol;Acc:MGI:1921112] MVGGLWDRFQTRLFSAPAKPINFVSPSTRSKYIPLYTGHVQSTDADNVDNPYGDIKSVAS PRHSKLQYTNSSRSANIPGYTGKVHFTATHPTNSNIPSREPSADSEMNRLLLQEMRVDRF RHQGPMSQMVTTVKPYNPFNKKEKETLEY >ENSMUSP00000063510.6 pep:known chromosome:GRCm38:3:106547798:106575890:1 gene:ENSMUSG00000027900.15 transcript:ENSMUST00000067630.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dram2 description:DNA-damage regulated autophagy modulator 2 [Source:MGI Symbol;Acc:MGI:1914421] MWWFQQGLSFLPSALVIWTFATFIFSYITAITLHHVDPALPYISDTGTIPPERCLFGVML NIAAVLGIATMYVRYKQVHALNPEENLIIKLNKAGLVLGILSCLGLSLVANFQKSTLFIV HVCGAVLAFSMGSFYMFVQTILSYQMQPKIHSKQVFWVRLLLVIWCGVSALSMMTCSSIL YSSDFGPDVVQKLHWNPEDKGYVLHLVTTAAEWSMSFSFFGFFLTYIRDFQKITLRVEAN LHGLTLYDTVPCPVNNERTPLLSRDFQ >ENSMUSP00000119227.1 pep:known chromosome:GRCm38:3:106547831:106555292:1 gene:ENSMUSG00000027900.15 transcript:ENSMUST00000134396.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dram2 description:DNA-damage regulated autophagy modulator 2 [Source:MGI Symbol;Acc:MGI:1914421] MWWFQQGLSFLPSALVIWTFATFIFSYITAITLHHVDP >ENSMUSP00000112680.1 pep:known chromosome:GRCm38:3:106547864:106574845:1 gene:ENSMUSG00000027900.15 transcript:ENSMUST00000121034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dram2 description:DNA-damage regulated autophagy modulator 2 [Source:MGI Symbol;Acc:MGI:1914421] MWWFQQGLSFLPSALVIWTFATFIFSYITAITLHHVDPALPYISDTGTIPPERCLFGVML NIAAVLGIATMYVRYKQVHALNPEENLIIKLNKAGLVLGILSCLGLSLVANFQKSTLFIV HVCGAVLAFSMGSFYMFVQTILSYQMQPKIHSKQVFWVRLLLVIWCGVSALSMMTCSSIL YSSDFGPDVVQKLHWNPEDKGYVLHLVTTAAEWSMSFSFFGFFLTYIRDFQKITLRVEAN LHGLTLYDTVPCPVNNERTPLLSRDFQ >ENSMUSP00000029507.6 pep:known chromosome:GRCm38:3:106547872:106574845:1 gene:ENSMUSG00000027900.15 transcript:ENSMUST00000029507.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dram2 description:DNA-damage regulated autophagy modulator 2 [Source:MGI Symbol;Acc:MGI:1914421] MWWFQQGLSFLPSALVIWTFATFIFSYITAITLHHVDPALPYISDTGTIPPERCLFGVML NIAAVLGIATMYVRYKQVHALNPEENLIIKLNKAGLVLGILSCLGLSLVANFQGYVLHLV TTAAEWSMSFSFFGFFLTYIRDFQKITLRVEANLHGLTLYDTVPCPVNNERTPLLSRDFQ >ENSMUSP00000118821.1 pep:known chromosome:GRCm38:3:106547877:106555260:1 gene:ENSMUSG00000027900.15 transcript:ENSMUST00000144746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dram2 description:DNA-damage regulated autophagy modulator 2 [Source:MGI Symbol;Acc:MGI:1914421] MWWFQQGLSFLPSALVIWTFATFIFSY >ENSMUSP00000118686.1 pep:known chromosome:GRCm38:3:106547877:106570848:1 gene:ENSMUSG00000027900.15 transcript:ENSMUST00000132923.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dram2 description:DNA-damage regulated autophagy modulator 2 [Source:MGI Symbol;Acc:MGI:1914421] MWWFQQGLSFLPSALVIWTFATFIFSYITAITLHHVDPALPYISDTGTIPPERCLFGVML NIAAVLGIATMYVRYKQVHALNPEENLIIKLNKAGLVLGILSCLGLSLVANFQKSTLFIV HVCGAVLAFSMGSFYMFVQTILSYQMQPKIHSKQVFWVRLLLVIW >ENSMUSP00000117078.1 pep:known chromosome:GRCm38:3:106547881:106570785:1 gene:ENSMUSG00000027900.15 transcript:ENSMUST00000151465.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dram2 description:DNA-damage regulated autophagy modulator 2 [Source:MGI Symbol;Acc:MGI:1914421] MWWFQQGLSFLPSALVIWTFATFIFSYITAITLHHVDPALPYISDTGTIPPERCLFGVML NIAAVLGIATMYVRYKQVHALNPEENLIIKLNKAGLVLGILSCLGLSLVANFQKSTLFIV HVCGAVLAFSMGSFYMFVQTILSY >ENSMUSP00000046794.7 pep:known chromosome:GRCm38:8:31089471:31097047:1 gene:ENSMUSG00000039661.14 transcript:ENSMUST00000036631.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp26 description:dual specificity phosphatase 26 (putative) [Source:MGI Symbol;Acc:MGI:1914209] MCPGNWLWASMTFMARFSRGSSRSPVRTRGSLEEMPSVHHPFLNVFELERLLYTGKTACN HADEVWPGLYLGDQDMANNRRELRRLGITHVLNASHNRWRGTPEAYEGLGIRYLGVEAHD SPAFDMSIHFQTAADFIHRALSQPGGKILVHCAVGVSRSATLVLAYLMLYHHFTLVEAIK KVKDHRGITPNRGFLRQLLALDRRLRQGLEA >ENSMUSP00000126397.1 pep:known chromosome:GRCm38:8:31089638:31097046:1 gene:ENSMUSG00000039661.14 transcript:ENSMUST00000170204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp26 description:dual specificity phosphatase 26 (putative) [Source:MGI Symbol;Acc:MGI:1914209] MCPGNWLWASMTFMARFSRGSSRSPVRTRGSLEEMPSVHHPFLNVFELERLLYTGKTACN HADEVWPGLYLGDQDMANNRRELRRLGITHVLNASHNRWRGTPEAYEGLGIRYLGVEAHD SPAFDMSIHFQTAADFIHRALSQPGGKILVHCAVGVSRSATLVLAYLMLYHHFTLVEAIK KVKDHRGITPNRGFLRQLLALDRRLRQGLEA >ENSMUSP00000124949.1 pep:known chromosome:GRCm38:8:31091650:31097046:1 gene:ENSMUSG00000039661.14 transcript:ENSMUST00000161713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp26 description:dual specificity phosphatase 26 (putative) [Source:MGI Symbol;Acc:MGI:1914209] MCPGNWLWASMTFMARFSRGSSRSPVRTRGSLEEMPSVHHPFLNVFELERLLYTGKTACN HADEVWPGLYLGDQDMANNRRELRRLGITHVLNASHNRWRGTPEAYEGLGIRYLGVEAHD SPAFDMSIHFQTAADFIHRALSQPGGKILVHCAVGVSRSATLVLAYLMLYHHFTLVEAIK KVKDHRGITPNRGFLRQLLALDRRLRQGLEA >ENSMUSP00000060349.3 pep:known chromosome:GRCm38:4:138454314:138460123:1 gene:ENSMUSG00000046447.3 transcript:ENSMUST00000050918.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2n1 description:calcium/calmodulin-dependent protein kinase II inhibitor 1 [Source:MGI Symbol;Acc:MGI:1913509] MSEVLPYGDEKLSPYGDGGDVGQIFSCRLQDTNNFFGAGQSKRPPKLGQIGRSKRVVIED DRIDDVLKTMTDKAPPGV >ENSMUSP00000017692.8 pep:known chromosome:GRCm38:11:79993106:80034123:1 gene:ENSMUSG00000017548.15 transcript:ENSMUST00000017692.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suz12 description:suppressor of zeste 12 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1261758] MAPQKHGGGGGGGSGPSAGSGGGGFGGSAAAVAAAASGGKSGGGGCGGGGSYSASSSSAA AAAAAAGAAVLPVKKPKMEHVQADHELFLQAFEKPTQIYRFLRTRNLIAPIFLHRTLTYM SHRNSRTSIKRKTFKVDDMLSKVEKMKGEQESHSLSAHLQLTFTGFFHKNDKPSQNSENE QNSVTLEVLLVKVCHKKRKDVSCPIRQVPTGKKQVPLNPDLNQTKPGNFPSLAVSSNEFE PSNSHMVKSYSLLFRVTRPGRREFNGMINGETNENIDVSEELPARRKRNREDGEKTFVAQ MTVFDKNRRLQLLDGEYEVAMQEMEECPISKKRATWETILDGKRLPPFETFSQGPTLQFT LRWTGETNDKSTAPVAKPLATRNSESLHQENKPGSVKPAQTIAVKETLTTELQTRKEKDN SNESRQKLRIFYQFLYNNNTRQQTEARDDLHCPWCTLNCRKLYSLLKHLKLCHSRFIFNY VYHPKGARIDVSINECYDGSYAGNPQDIHRQPGFAFSRNGPVKRTPITHILVCRPKRTKA SMSEFLESEDGEVEQQRTYSSGHNRLYFHSDTCLPLRPQEMEVDSEDEKDPEWLREKTIT QIEEFSDVNEGEKEVMKLWNLHVMKHGFIADNQMNHACMLFVENYGQKIIKKNLCRNFML HLVSMHDFNLISIMSIDKAVTKLREMQQKLEKGESATPSNEEIAEEQNGTANGFSETNSK EKALETDGVSGVPKQSKKQKL >ENSMUSP00000129070.1 pep:known chromosome:GRCm38:11:79995791:80013587:1 gene:ENSMUSG00000017548.15 transcript:ENSMUST00000126091.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Suz12 description:suppressor of zeste 12 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1261758] XRTSIKRKTFKVDDMLSKVEKMKGEQESHRDPLRLVELCLFSTLVCTFATYIYRFLPQK >ENSMUSP00000128945.1 pep:known chromosome:GRCm38:11:80025195:80032001:1 gene:ENSMUSG00000017548.15 transcript:ENSMUST00000144188.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Suz12 description:suppressor of zeste 12 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1261758] QTEARDDLHCPWCTLNCRKLYSLLKHLKLCHSRFIFNYVAKKNKSKHVGVS >ENSMUSP00000126932.1 pep:known chromosome:GRCm38:11:79993106:80034122:1 gene:ENSMUSG00000017548.15 transcript:ENSMUST00000163272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suz12 description:suppressor of zeste 12 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1261758] MAPQKHGGGGGGGSGPSAGSGGGGFGGSAAAVAAAASGGKSGGGGCGGGGSYSASSSSAA AAAAAAGAAVLPVKKPKMEHVQADHELFLQAFEKPTQIYRFLRTRNLIAPIFLHRTLTYM SHRNSRTSIKSLSAHLQLTFTGFFHKNDKPSQNSENEQNSVTLEVLLVKVCHKKRKDVSC PIRQVPTGKKQVPLNPDLNQTKPGNFPSLAVSSNEFEPSNSHMVKSYSLLFRVTRPGRRE FNGMINGETNENIDVSEELPARRKRNREDGEKTFVAQMTVFDKNRRLQLLDGEYEVAMQE MEECPISKKRATWETILDGKRLPPFETFSQGPTLQFTLRWTGETNDKSTAPVAKPLATRN SESLHQENKPGSVKPAQTIAVKETLTTELQTRKEKDNSNESRQKLRIFYQFLYNNNTRQQ TEARDDLHCPWCTLNCRKLYSLLKHLKLCHSRFIFNYVYHPKGARIDVSINECYDGSYAG NPQDIHRQPGFAFSRNGPVKRTPITHILVCRPKRTKASMSEFLESEDGEVEQQRTYSSGH NRLYFHSDTCLPLRPQEMEVDSEDEKDPEWLREKTITQIEEFSDVNEGEKEVMKLWNLHV MKHGFIADNQMNHACMLFVENYGQKIIKKNLCRNFMLHLVSMHDFNLISIMSIDKAVTKL REMQQKLEKGESATPSNEEIAEEQNGTANGFSETNSKEKALETDGVSGVPKQSKKQKL >ENSMUSP00000032912.5 pep:known chromosome:GRCm38:7:127107114:127122226:-1 gene:ENSMUSG00000030674.5 transcript:ENSMUST00000032912.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qprt description:quinolinate phosphoribosyltransferase [Source:MGI Symbol;Acc:MGI:1914625] MDPEGLQLLLPPTTLAALANSWLQEDCPGLNFASLVTGSAPSQAVLWAKSPGVLAGRPFF DAIFTQLNCQVSWFLPEGSKLVPVVKVAEVKGPAHHLLLGERVALNTLARCSGIASAAAT AVEVARSTGWTGHVAGTRKTTPGFRLVEKYGLQVGGAACHRYDLGGMVMVKDNHVVAAGS MERAVLKARQAAGFSLKVEVECSSLEEAFRAAEAGADLVMLDNFKPEELHPTAATLKARF PSVSVEASGGVTLDNLTQFCGTHIDVISLGMLTQAAPALDFSLKLFAEGDTPVPHARRF >ENSMUSP00000144716.1 pep:known chromosome:GRCm38:10:129625124:129627886:-1 gene:ENSMUSG00000095002.2 transcript:ENSMUST00000204979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr798 description:olfactory receptor 798 [Source:MGI Symbol;Acc:MGI:3030632] MKNRTSVSEFILLGLTSDPKLNILIFIFLFITYILSITGNLTIITLTLIDSHLKTPMYFF LRNFSFLEISFTTVSIPRFLVSIVTGDMTISYNSCMAQVFFFILLGSTEFFLLTAMSYDR YVAICKPLHYTTIMNSRVCMQLIVSSWLAGFLIIFPPVIMGLQLDFCDSNIIDHFTCDSS PMLLISCTDTAFLELLAFFLAVFTLMVTLTLVILSYSFILRTILRIPSAEQRKKAFSTCS SHMIVVSISYGSCIFMYVKTSAKEGVALTKGIAVLNTSVAPMLNPFIYSLRNKQVKESFR NLIKKCISNKI >ENSMUSP00000078739.2 pep:known chromosome:GRCm38:10:129625124:129626059:-1 gene:ENSMUSG00000095002.2 transcript:ENSMUST00000079810.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr798 description:olfactory receptor 798 [Source:MGI Symbol;Acc:MGI:3030632] MKNRTSVSEFILLGLTSDPKLNILIFIFLFITYILSITGNLTIITLTLIDSHLKTPMYFF LRNFSFLEISFTTVSIPRFLVSIVTGDMTISYNSCMAQVFFFILLGSTEFFLLTAMSYDR YVAICKPLHYTTIMNSRVCMQLIVSSWLAGFLIIFPPVIMGLQLDFCDSNIIDHFTCDSS PMLLISCTDTAFLELLAFFLAVFTLMVTLTLVILSYSFILRTILRIPSAEQRKKAFSTCS SHMIVVSISYGSCIFMYVKTSAKEGVALTKGIAVLNTSVAPMLNPFIYSLRNKQVKESFR NLIKKCISNKI >ENSMUSP00000039704.6 pep:known chromosome:GRCm38:6:39086410:39118349:-1 gene:ENSMUSG00000038507.6 transcript:ENSMUST00000038398.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp12 description:poly (ADP-ribose) polymerase family, member 12 [Source:MGI Symbol;Acc:MGI:2143990] MAQAAVAVAEVTQLLCAAGGALELAELRRRLRTSLGTDALERLLRDCGRFVVASRAVVAV GAGREAAAAASERLVLAVSSLRLCRAHQGPKPGCTGLCAQLHLCKFLIYGNCKFLKTGKN CRNGHNLKTDHNLSVLRTHGVDHLTYTELCQLLLQNDPSLLPDICLHYNKGDGPFGSCSF QKQCIKLHICQYFLQGECKFGTSCKRSHEFTNSESLEQLERLGLSSDLVSRLLSTYRNAY DIKNKGSALSKVSPSPAGPQGSSERKDSSGPVSPGTPSQEESEQICLYHIRKSCSFQEKC HRVHFHLPYRWQFLDGGKWKDLDNMELIEEAYSNPSKDRIVYTESAAGFHFDNLDFNSMK FGNTLARRLSTASSVTKPPHFILTTDWIWYWMDEFGSWQEYGRQGSGHPVTTISSSDVER AYLAFCAPGADAQAATLKFQAGKHNYELHFKAFLQKNLVYGTIRKVCRRPKYVSPQDVQM KQSCNTKLHGPKSIPDYWDPAALPDLGFKKITLSSSSEEYQKVWNIFNRTLPFYFVQKIE RIQNMGLWEVYQWQKCQMQKQNGGKEVDERQLFHGTSANFVDAICQQNFDWRVCGLHGTS YGKGSYFARDAAYSHHYSKSDTHSHMMFLARVLVGDFVRGSTSFVRPPAKEGQSNAFYDS CVNSMSDPTIFVVFEKHQVYPEYLIQYSTSSKPPASPSIFVALGNLFTSRQ >ENSMUSP00000143398.1 pep:known chromosome:GRCm38:5:146514123:146516902:1 gene:ENSMUSG00000041505.15 transcript:ENSMUST00000200228.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3402 description:predicted gene 3402 [Source:MGI Symbol;Acc:MGI:3781580] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLTHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYFPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKHNVPSSDVDRARELLSVL EDQEAIELQIEQAPEPSEQDASGRQETLAPRPASETEPQGDKQPREDPELVKGAVLDPSE PKATIELPPSLHQAVPTSDGTLSPVDVTADEATGVAADEAADVAADVSPARQLFVSYTVQ LGTPDFVIPLPEVDI >ENSMUSP00000036997.9 pep:known chromosome:GRCm38:5:146514151:146516724:1 gene:ENSMUSG00000041505.15 transcript:ENSMUST00000036715.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3402 description:predicted gene 3402 [Source:MGI Symbol;Acc:MGI:3781580] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLTHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYFPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKHNVPSSDVDRARELLSVL EDQEAIELQIEQACLLLLLNFATAPEPSEQDASGRQETLAPRPASETEPQGDKQPREDPE LVKGAVLDPSEPKATIELPPSLHQAVPTSDGTLSPVDVTADEATGVAADEAADVAADVSP ARQLFVSYTVQLGTPDFVIPLPEVDI >ENSMUSP00000076380.6 pep:known chromosome:GRCm38:5:146514151:146516724:1 gene:ENSMUSG00000041505.15 transcript:ENSMUST00000077133.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3402 description:predicted gene 3402 [Source:MGI Symbol;Acc:MGI:3781580] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLTHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYFPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKHNVPSSDVDRARELLSVL EDQEAIELQIEQAPEPSEQDASGRQETLAPRPASETEPQGDKQPREDPELVKGAVLDPSE PKATIELPPSLHQAVPTSDGTLSPVDVTADEATDVAADVSPARQLFVSYTVQLGTPDFVI PLPEVDI >ENSMUSP00000028846.6 pep:known chromosome:GRCm38:2:127336159:127338376:1 gene:ENSMUSG00000027368.6 transcript:ENSMUST00000028846.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp2 description:dual specificity phosphatase 2 [Source:MGI Symbol;Acc:MGI:101911] MPIAMGLETACELECAALGALLREPREAERTLLLDCRPFLAFCRSHVRAARPVPWNALLR RRARGTPAAALACLLPDRALRARLGRGELARAVVLDESSASVAELPPDGPAHLLLAALQH EMRGGPTTVCFLRGGFKSFQTYCPDLCSEAPAQALPPAGAENSNSDPRVPIYDQGGPVEI LPYLYLGSCNHSSDLQGLQACGITAVLNVSASCPNHFEGLFHYKSIPVEDNQMVEISAWF QEAISFIDSVKNSGGRVLVHCQAGISRSATICLAYLIQSHRVRLDEAFDFVKQRRGVISP NFSFMGQLLQLETQVLCH >ENSMUSP00000137785.1 pep:known chromosome:GRCm38:8:120608786:120668079:-1 gene:ENSMUSG00000097919.1 transcript:ENSMUST00000181333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm27021 description:predicted gene, 27021 [Source:MGI Symbol;Acc:MGI:5504136] MPGVKLTTQAYCKMVLHGAKYPHCAVNGLLVAERQRPRKEHPPGAGSHTLFVDCIPLFHG TLALTPMLEVALTLARRGDAMGLKPSCLKGFKMCVSSSNNNHDEAPVLNDKHLSVPNIII TPPTPTGMGLSRDSNKQVWMDELGSYQDDGELEPEA >ENSMUSP00000146652.1 pep:known chromosome:GRCm38:7:119462711:119479255:-1 gene:ENSMUSG00000030963.6 transcript:ENSMUST00000209095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umod description:uromodulin [Source:MGI Symbol;Acc:MGI:102674] MGIPLTWMLLVMMVTSWFTLAEASNSTEARRCSECHNNATCTVDGVVTTCSCQTGFTGDG LVCEDMDECATPWTHNCSNSSCVNTPGSFKCSCQDGFRLTPELSCTDVDECSEQGLSNCH ALATCVNTEGDYLCVCPEGFTGDGWYCECSPGSCEPGLDCLPQGPDGKLVCQDPCNTYET LTEYWRSTEYGVGYSCDAGLHGWYRFTGQGGVRMAETCVPVLRCNTAAPMWLNGSHPSSS EGIVSRTACAHWSDQCCRWSTEIQVKACPGGFYIYNLTAPPECNLAYCTDPSSVEGTCEE CRVDEDCISDNGRWRCQCKQDSNITDVSQLEYRLECGANDIKMSLRKCQLQSLGFMNVFM YLNDRQCSGFSESDERDWMSIVTPARNGPCGTVLRRNETHATYSNTLYLANAIIIRDIII RMNFECSYPLDMKVSLKTSLQPMVSALNISLGGTGKFTVRMALFQSPTYTQPHQGPSVML STEAFLYVGTMLDGGDLSRFVLLMTNCYATPSSNSTDPVKYFIIQDSCPRTEDTTIQVTE NGESSQARFSVQMFRFAGNYDLVYLHCEVYLCDSTSEQCKPTCSGTRFRSGNFIDQTRVL NLGPITRQGVQASVSKAASSNLRLLSIWLLLFPSATLIFMVQ >ENSMUSP00000033263.4 pep:known chromosome:GRCm38:7:119462713:119479255:-1 gene:ENSMUSG00000030963.6 transcript:ENSMUST00000033263.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umod description:uromodulin [Source:MGI Symbol;Acc:MGI:102674] MGIPLTWMLLVMMVTSWFTLAEASNSTEARRCSECHNNATCTVDGVVTTCSCQTGFTGDG LVCEDMDECATPWTHNCSNSSCVNTPGSFKCSCQDGFRLTPELSCTDVDECSEQGLSNCH ALATCVNTEGDYLCVCPEGFTGDGWYCECSPGSCEPGLDCLPQGPDGKLVCQDPCNTYET LTEYWRSTEYGVGYSCDAGLHGWYRFTGQGGVRMAETCVPVLRCNTAAPMWLNGSHPSSS EGIVSRTACAHWSDQCCRWSTEIQVKACPGGFYIYNLTAPPECNLAYCTDPSSVEGTCEE CRVDEDCISDNGRWRCQCKQDSNITDVSQLEYRLECGANDIKMSLRKCQLQSLGFMNVFM YLNDRQCSGFSESDERDWMSIVTPARNGPCGTVLRRNETHATYSNTLYLANAIIIRDIII RMNFECSYPLDMKVSLKTSLQPMVSALNISLGGTGKFTVRMALFQSPTYTQPHQGPSVML STEAFLYVGTMLDGGDLSRFVLLMTNCYATPSSNSTDPVKYFIIQDSCPRTEDTTIQVTE NGESSQARFSVQMFRFAGNYDLVYLHCEVYLCDSTSEQCKPTCSGTRFRSGNFIDQTRVL NLGPITRQGVQASVSKAASSNLRLLSIWLLLFPSATLIFMVQ >ENSMUSP00000147039.1 pep:known chromosome:GRCm38:7:119472380:119478213:-1 gene:ENSMUSG00000030963.6 transcript:ENSMUST00000207378.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Umod description:uromodulin [Source:MGI Symbol;Acc:MGI:102674] XSTEARRCSECHNNATCTVDGVVTTCSCQTGFTGDGLVCEDMDECATPWTHNCSNSSCVN TPGLPRWLLYLQLDSAP >ENSMUSP00000146628.1 pep:known chromosome:GRCm38:7:119476980:119479107:-1 gene:ENSMUSG00000030963.6 transcript:ENSMUST00000207460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umod description:uromodulin [Source:MGI Symbol;Acc:MGI:102674] MGIPLTWMLLVMMVTSWFTLAEASNSTEARRCSECHNNATCTVDGVVTTCSCQTGFTGDG LVCEDMDECATPWTHNCSNSSCVNTPGSFKCSCQDGFRLTPELSCTDVDECSEQGLSNCH ALATCVNTEGDYLCVCPEGFTGDGWYCECSPGSCEPGLDCLPQGPDGKLVCQDPCNTYET LTEYWRS >ENSMUSP00000147128.1 pep:known chromosome:GRCm38:7:119477281:119479282:-1 gene:ENSMUSG00000030963.6 transcript:ENSMUST00000207261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umod description:uromodulin [Source:MGI Symbol;Acc:MGI:102674] MGIPLTWMLLVMMVTSWFTLAEASNSTEARRCSECHNNATCTVDGVVTTCSCQTGFTGDG LVCEDMDECATPWTHNCSNSSCVNTPG >ENSMUSP00000071126.2 pep:known chromosome:GRCm38:10:129647130:129648065:1 gene:ENSMUSG00000094734.1 transcript:ENSMUST00000071126.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr799 description:olfactory receptor 799 [Source:MGI Symbol;Acc:MGI:3030633] MKNRTVTTFILLGLTDDIRLQTLLFIFLLFSYMLSLSGNLTIITLTLIDPHLKTPMYIFL KNFSFLEISLTTACIPRFLYSLSSGDKSIAYAACISQLLFIDIFAVTEFFLLAIMSYDRY VAICKPLHYMTIMNSRVCKNFIFFCWVAALIIVLPPISLGLGLEFCDSDIVDHFCCDAAP LLKISCSDTWLIEQMVIAGAVLTFIITFVCVVLSYGYIIKTILRFPSAKQRKKAFSTCSS HMIVVSITYGSCIFIYVKPSSKDNVAINKGISLIIVSISPMLNPFIYALRNKQVKQAFNY SIKKVAFLSKM >ENSMUSP00000123164.1 pep:known chromosome:GRCm38:7:30291729:30297167:1 gene:ENSMUSG00000013921.15 transcript:ENSMUST00000150892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip3 description:CAP-GLY domain containing linker protein 3 [Source:MGI Symbol;Acc:MGI:1923936] MTKTDPAPMAPPPRGEEEEEEEEDEPVPEAPSPTQERRQKPVVHPSAPAPLPKDYAFTFF DPNDPACQEILFDPKTTIPELFAIVRQWVPQVQHKIDVIGNEILRRGCHVNDRDGLTDMT LLHYACKAGAHGVG >ENSMUSP00000123660.1 pep:known chromosome:GRCm38:7:30291739:30296923:1 gene:ENSMUSG00000013921.15 transcript:ENSMUST00000126216.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip3 description:CAP-GLY domain containing linker protein 3 [Source:MGI Symbol;Acc:MGI:1923936] MTKTDPAPMAPPPRGEEEEEEEEDEPVPEAPSPTQERRQKPVVHPSAPAPLPKDYAFTFF DPNDPACQEILFDPKTTIPEL >ENSMUSP00000014065.8 pep:known chromosome:GRCm38:7:30291753:30308367:1 gene:ENSMUSG00000013921.15 transcript:ENSMUST00000014065.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip3 description:CAP-GLY domain containing linker protein 3 [Source:MGI Symbol;Acc:MGI:1923936] MTKTDPAPMAPPPRGEEEEEEEEDEPVPEAPSPTQERRQKPVVHPSAPAPLPKDYAFTFF DPNDPACQEILFDPKTTIPELFAIVRQWVPQVQHKIDVIGNEILRRGCHVNDRDGLTDMT LLHYACKAGAHGVGDPAAAVRLSQQLLALGADVTLRSRWTNMNALHYAAYFDVPDLVRVL LKGARPRVVNSTCSDFNHGSALHIAASNLCLGAAKCLLEHGANPALRNRKGQVPAEVVPD PMDMSLDKAEAALVAKELRTLLEEAVPLSCTLPKVTLPNYDNVPGNLMLSALGLRLGDRV LLDGQKTGTLRFCGTTEFASGQWVGVELDEPEGKNDGSVGGVRYFICPPKQGLFASVSKV SKAVDAPPSSVTSTPRTPRMDFSRVTGKGRREHKGKKKSPSSPSLGSLQQREGAKAEVGD QVLVAGQKQGIVRFYGKTDFAPGYWYGIELDQPTGKHDGSVFGVRYFTCAPRHGVFAPAS RIQRIGGSTDPPGDSVGAKKVHQVTMTQPKRTFTTVRTPKDIASENSISRLLFCCWFPWM LRAEMQS >ENSMUSP00000121276.1 pep:known chromosome:GRCm38:7:30291958:30296934:1 gene:ENSMUSG00000013921.15 transcript:ENSMUST00000144508.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip3 description:CAP-GLY domain containing linker protein 3 [Source:MGI Symbol;Acc:MGI:1923936] MTKTDPAPMAPPPRGEEEEEEEEDEPVPEAPSPTQERRQKPVVHPSAPAPLPKDYAFTFF DPNDPACQEILFDPKTTIPELFAI >ENSMUSP00000059313.8 pep:known chromosome:GRCm38:5:33995984:34005916:1 gene:ENSMUSG00000048142.9 transcript:ENSMUST00000056355.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat8l description:N-acetyltransferase 8-like [Source:MGI Symbol;Acc:MGI:2447776] MHCGPPDMVCETKIVATEDHEALPGAKKDALLVAAGAMWPPLPAAPGPAAAPPPAAGPQP HGGTGGAGPPEGRGVCIREFRAAEQEAARRIFYDGILERIPNTAFRGLRQHPRTQLLYAL LAALCFAVTRSLLLTCLVPAGLLALRYYYSRKVILAYLECALHTDMADIEQYYMKPPGSC FWVAVLDGNVVGIVAARAHEEDNTVELLRMSVDSRFRGKGIAKALGRRVLEFAMLHNYSA VVLGTTAVKVAAHKLYESLGFRHMGASDHYVLPGMTLSLAERLFFQVRYHRYRLQLREE >ENSMUSP00000029143.5 pep:known chromosome:GRCm38:2:155827548:155834854:-1 gene:ENSMUSG00000074647.3 transcript:ENSMUST00000029143.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83c description:family with sequence similarity 83, member C [Source:MGI Symbol;Acc:MGI:1918655] MQGCQAGASIFFLIAANPDANHGASGGLGSRWIRTFSRIAPAGTQGMAGPLRSRVEELKR PWWRESSPLVLQHSEAARLAADALLERGEAAYLQVISEERELPFLSALDVDYMISHVRGV PELSEAQGSETLGQDLSMLSEVTSGTYFPMASDLDPPDLDLGWPEVPQATGFSPTQAVVH FQRDKGKSIKDLLRFLFSQAQTVVAVVMDVFTDMELLCDLMEASSRRGVPVYLLLAQEHL KYFLEMCYKMDLNGGHLVNMRVRSTCGDTYCSKAGRRFTGQALEKFVIIDCEQVVAGSYS FTWLCSQAHTSMVLQLRGHIVEDFDREFRCLYAESQPVEGFCSNEDPLMPQVPRPPPVTL AFGPAVPSATGSSPSSNSLSSIKHSPLLARSSYLALPGGGGRNDMGMGSSSPGPAYHEAG GQPSLYRQLSDPNHISPPGPYRANLSKLGASPWSQSSPALNHSSTSPLTLAVGSPLLPSS RPLLHFTRGVPALSRLPENGLPASQDPSLPRGRWVPGTALETVEEKKVSLSQSHDHLDRL SPFSKAGEAGGPNSRVTPDSSSLQHRELALDDRRLSLSHSHSQLDLLSQGQGVLESGSLR PGELSLENRKLSLNHNHGQLDLLPQNPKPQAPKIPSDAYSSAGPSKPSLDDRRQTLGHSQ LDLITKFGPFRSEGPGPSCPPEPSPVRMAGVGSADEKRLTLGHSKLDLITKYHQLQGARQ KPEPGIPGAPVSGHQNGSSNDLFAPEKRLTLGHSKLDLITKYNKSKFKQLRSRFES >ENSMUSP00000014686.2 pep:known chromosome:GRCm38:6:83644542:83656187:-1 gene:ENSMUSG00000014542.3 transcript:ENSMUST00000014686.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4f description:C-type lectin domain family 4, member f [Source:MGI Symbol;Acc:MGI:1859834] MKEAELNRDMARYCTDNQCVSLQPQGLGPKSAALMAPRTLRHVQVILALMVVTVIFSLLA LFVVASQPWRPEWNKEPPSLLLRGSNNSGHDNHSQFVRETEMQVAIQRLRDYEENSSSCH KEVQILKYQMDNVSSLVQLLGSHLEDVNADILQTKDVLKESGALALETQALRSSLEVASA DIHSLRGDLEKANAMTSQTRGLLKSSTENTSAELHVLGRGLEEAQSEIQALRGSLQSAND LSSQTQGFLQHSMDNISAQIQTVRDGMERAGEKMNSLKKELETLTAQTQKANGHLEQTDA QIQGLKAELKSTSSLNSRIEVVNGQMKDASRELQTLRRDLSDVSALKSNVQMLQSNLQRA KTEMQSLKADLQATKALTAKIQGEQNRLGALQEAVAAQKQEQKTQNQVLQLIAQNWKYFN GNFYYFSRDKKPWREAEKFCTSQGAHLASVTSQEEQAFLVQTTSSGDHWIGLTDQGTEGI WRWVDGTPFNNAQSKGFWGKNQPDNWRHRNGEREDCVHVRQQWNDMACGSSYPWVCKKST GWSAARVG >ENSMUSP00000032321.4 pep:known chromosome:GRCm38:6:134396318:134438736:1 gene:ENSMUSG00000030200.13 transcript:ENSMUST00000032321.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l14 description:BCL2-like 14 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:1914063] MCSTSVYDLEDIPLEDDDPNSIEFKILAFYARHHVFKNTPAVFSPKLSRTRSLSQKALGT WSTDSWTQVSLPCRGSPSSEKNISLGKKKSSWRTLFRVAEKEEGLPSSPKEIRAQGPQGP FPVERQSGFHNQHWPRSLSSVEQRLESEVVDSKVACIANRVAEIVYSWPPPDVIHSQGGS KLKERVSEILYFRFEGPCDSKNKDGEDQIISKIVELLKFSGDQLGREIKKDKALMSSFQD GLSYSTFKTITDLFLRDVDTRGESEVKARGFKAALAIDAIAKLTAIDNHPMNRMLGFGTK YLREYFSPWVQQNGGWEKILGISHEEVD >ENSMUSP00000115608.1 pep:known chromosome:GRCm38:6:134398652:134423995:1 gene:ENSMUSG00000030200.13 transcript:ENSMUST00000127865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l14 description:BCL2-like 14 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:1914063] MCSTSVYDLEDIPLEDDDPNSIEFKILAFYARHHVFKNTPAVFSPKLSRTRSLSQKALGT WSTDSWTQVSLPCRGSPSSEKNISLGKKKSSWRTLFRVAEKEEGLPSSPKEIRAQGPQGP FPVERQ >ENSMUSP00000107591.1 pep:known chromosome:GRCm38:6:134414428:134438115:1 gene:ENSMUSG00000030200.13 transcript:ENSMUST00000111960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l14 description:BCL2-like 14 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:1914063] MCSTSVYDLEDIPLEDDDPNSIEFKILAFYARHHVFKNTPAVFSPKLSRTRSLSQKALGT WSTDSWTQVSLPCRGSPSSEKNISLGKKKSSWRTLFRVAEKEEGLPSSPKEIRAQGPQGP FPVERQSGFHNQHWPRSLSSVEQRLESEVVDSKVACIANRVAEIVYSWPPPDVIHSQGGS KLKERVSEILYFRFEGPCDSKNKDGEDQIISKIVELLKFSGDQLGREIKKDKALMSSFQD GLSYSTFKTITDLFLRDVDTRGESEVKARGFKAALAIDAIAKLTAIDNHPMNRMLGFGTK YLREYFSPWVQQNGGWEKILGISHEEVD >ENSMUSP00000132525.1 pep:known chromosome:GRCm38:6:134398640:134438724:1 gene:ENSMUSG00000030200.13 transcript:ENSMUST00000163589.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l14 description:BCL2-like 14 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:1914063] MCSTSVYDLEDIPLEDDDPNSIEFKILAFYARHHVFKNTPAVFSPKLSRTRSLSQKALGT WSTDSWTQVSLPCRGSPSSEKNISLGKKKSSWRTLFRVAEKEEGLPSSPKEIRAQGPQGP FPVERQSGFHNQHWPRSLSSVEQRLESEVVDSKVACIANRVAEIVYSWPPPDVIHSQGGS KLKERVSEILYFRFEGPCDSKNKDGEDQIISKIVELLKFSGDQLGREIKKDKALMSSFQD GLSYSTFKTITDLFLRDVDTRGESEVKARGFKAALAIDAIAKLTAIDNHPMNRMLGFGTK YLREYFSPWVQQNGGWEKILGISHEEVD >ENSMUSP00000100499.1 pep:known chromosome:GRCm38:10:129659808:129660743:1 gene:ENSMUSG00000108114.1 transcript:ENSMUST00000104903.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr800 description:olfactory receptor 800 [Source:MGI Symbol;Acc:MGI:3030634] MRNHTITTFILLGLTDDPQLKTLIFIFLFLSYVLSMTGNLTIISLTFIDPHLKTAMYFFL QNFSFLEISFTTACIPRYLYNISTGDKTITYNNCAIQIFCVDLFGVTEFFLLAIMSYDRY VAICKPLHYSTIMSSRICTRLILCCWAAGLFVILPPLSLGLKLEFCDSNVIDHFVCDANP LLKISCTETWLIEQIVIVCAVFTFIMTLVCVSLSYIYIIRTILRFPSAQQRKKAFSTCSS HMIVVSITYGSCIFIYIKPSAKDSVTINKGVTILTTSIAPMLNPFIYTLRNKQVKQAFND SVKRIVLFFQK >ENSMUSP00000095395.4 pep:known chromosome:GRCm38:4:148039077:148056206:1 gene:ENSMUSG00000029009.17 transcript:ENSMUST00000097788.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfr description:5,10-methylenetetrahydrofolate reductase [Source:MGI Symbol;Acc:MGI:106639] MVNEARGSGSPNPRSEGSSSGSESSKDSSRCSTPSLDPERHERLREKMRRRMDSGDKWFS LEFFPPRTAEGAVNLISRFDRMAAGGPLFVDVTWHPAGDPGSDKETSSMMIASTAVNYCG LETILHMTCCQQRPEEITGHLHRAKQLGLKNIMALRGDPVGDHWEAEEGGFSYATDLVKH IRTEFADYFDICVAGYPRGHPDAESFEDDLKHLKEKVSAGADFIITQLFFEASTFFSFVK ACTEIGISCPILPGIFPIQGYTSLRQLVKLSKLEVPQKIKDVIEPIKDNDAAIRNYGIEL AVSLCRELLDSGLVPGLHFYTLNREVATMEVLKQLGMWTEDPRRPLPWALSAHPKRREED VRPIFWASRPKSYIYRTQDWDEFPNGRWGNSSSPAFGELKDYYLFYLKSKSPREELLKMW GEELTSEESVFEVFEHYLSGEPNRHGYRVTCLPWNDEPLAAETSLMKEELLRVNRLGILT INSQPNINAKPSSDPVVGWGPSGGYVFQKAYLEFFTSRETVEALLQVLKTYELRVNYHIV DVKGENITNAPELQPNAVTWGIFPGREIIQPTVVDPISFMFWKDEAFALWIEQWGKLYEE ESPSRMIIQYIHDNYFLVNLVDNEFPLDSCLWQVVEDTFELLNRHPTERETQAP >ENSMUSP00000116239.1 pep:known chromosome:GRCm38:4:148039159:148044554:1 gene:ENSMUSG00000029009.17 transcript:ENSMUST00000141283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfr description:5,10-methylenetetrahydrofolate reductase [Source:MGI Symbol;Acc:MGI:106639] MVNEARGSGSPNPRSEGSSSGSESSKDSSRCSTPSLDPERHERLREKMRRRMDSGDKWFS LEFFPPRTAEGAVNLISRFDRMAAGGPLFVDVTWHPAGDPGSDKETSSMMIASTAVNYCG LETILHMTCCQQRPEEITGHLHRAKQLGLKNIMALRGDPVGDHWEAEEGGFSYATDLVKH IRTEFADYFDICVA >ENSMUSP00000069774.8 pep:known chromosome:GRCm38:4:148041191:148059551:1 gene:ENSMUSG00000029009.17 transcript:ENSMUST00000069604.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfr description:5,10-methylenetetrahydrofolate reductase [Source:MGI Symbol;Acc:MGI:106639] MNHQKAKVLPAGHCYPSLGMWASEAGCVRLSVPPSISRNPAMVNEARGSGSPNPRSEGSS SGSESSKDSSRCSTPSLDPERHERLREKMRRRMDSGDKWFSLEFFPPRTAEGAVNLISRF DRMAAGGPLFVDVTWHPAGDPGSDKETSSMMIASTAVNYCGLETILHMTCCQQRPEEITG HLHRAKQLGLKNIMALRGDPVGDHWEAEEGGFSYATDLVKHIRTEFADYFDICVAGYPRG HPDAESFEDDLKHLKEKVSAGADFIITQLFFEASTFFSFVKACTEIGISCPILPGIFPIQ GYTSLRQLVKLSKLEVPQKIKDVIEPIKDNDAAIRNYGIELAVSLCRELLDSGLVPGLHF YTLNREVATMEVLKQLGMWTEDPRRPLPWALSAHPKRREEDVRPIFWASRPKSYIYRTQD WDEFPNGRWGNSSSPAFGELKDYYLFYLKSKSPREELLKMWGEELTSEESVFEVFEHYLS GEPNRHGYRVTCLPWNDEPLAAETSLMKEELLRVNRLGILTINSQPNINAKPSSDPVVGW GPSGGYVFQKAYLEFFTSRETVEALLQVLKTYELRVNYHIVDVKGENITNAPELQPNAVT WGIFPGREIIQPTVVDPISFMFWKDEAFALWIEQWGKLYEEESPSRMIIQYIHDNYFLVN LVDNEFPLDSCLWQVVEDTFELLNRHPTERETQAP >ENSMUSP00000117095.1 pep:known chromosome:GRCm38:4:148041287:148059551:1 gene:ENSMUSG00000029009.17 transcript:ENSMUST00000152498.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mthfr description:5,10-methylenetetrahydrofolate reductase [Source:MGI Symbol;Acc:MGI:106639] GCVRLSVPPSISRNPAMVNEARGSGSPNPRSEGSSSGSESSKDSSRCSTPSLDPERHERL REKMRRRMDSGDKWFSLEFFPPRTAEGAVNLISRFDRMAAGGPLFVDVTWHPAGDPGSDK ETSSMMIASTAVNYCGLETILHMTCCQQRPEEITGHLHRAKQLGLKNIMALRGDPVGDHW EAEEGGFSYATDLVKHIRTEFADYFDICVAGYPRGHPDAESFEDDLKHLKEKVSAGADFI ITQLFFEASTFFSFVKACTEIGISCPILPGIFPIQGYTSLRQLVKLSKLEVPQKIKDVIE PIKDNDAAIRNYGIELAVSLCRELLDSGLVPGLHFYTLNREVATMEVLKQLGMWTEDPRR PLPWALSAHPKRREEDVRPIFWASRPKSYIYRTQDWDEFPNGRWGNSSSPAFGELKDYYL FYLKSKSPREELLKMWGEELTSEESVFEVFEHYLSGEPNRHGYRVTCLPWNDEPLAAETS LMKEELLRVNRLGILTINSQPNINAKPSSDPVVGWGPSGGYVFQKAYLEFFTSRETVEAL LQVLKTYELRVNYHIVDVKGENITNAPELQPNAVTWGIFPGREIIQPTVVDPISFMFWKD EAFALWIEQWGKLYEEESPSRMIIQYIHDNYFLVNLVDNEFPLDSCLWQVVEDTFELLNR HPTERETQAP >ENSMUSP00000116840.1 pep:known chromosome:GRCm38:4:148052678:148059548:1 gene:ENSMUSG00000029009.17 transcript:ENSMUST00000156113.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mthfr description:5,10-methylenetetrahydrofolate reductase [Source:MGI Symbol;Acc:MGI:106639] XGYVFQKAYLEFFTSRETVEALLQVLKTYELRVNYHIVDVKGENITNAPELQPNAVTWGI FPGREIIQPTVVDPISFMFWKDEAFALWIEQWGKLYEEESPSRMIIQYIHDNYFLVNLVD NEFPLDSCLWQVVEDTFELLNRHPTERETQAP >ENSMUSP00000039065.4 pep:known chromosome:GRCm38:7:81762925:81769491:1 gene:ENSMUSG00000038646.13 transcript:ENSMUST00000042166.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam103a1 description:family with sequence similarity 103, member A1 [Source:MGI Symbol;Acc:MGI:1914398] MSDTSEEIPNFEEMFASRFTKDDKEYQEYLKRPPESPPIVEEWNSRAGGNQRNRGNWLQD NRQFRGRDNRRGWPSDNRSNQWHGRSWGNNNYPQQRPEPYYQQQYTQYGHNQRPPYGYY >ENSMUSP00000123342.1 pep:known chromosome:GRCm38:7:81763050:81767659:1 gene:ENSMUSG00000038646.13 transcript:ENSMUST00000133034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam103a1 description:family with sequence similarity 103, member A1 [Source:MGI Symbol;Acc:MGI:1914398] MSDTSEEIPNFEEMFASRFTKDDKEYQEYLKRPPE >ENSMUSP00000113339.1 pep:known chromosome:GRCm38:7:81763283:81769480:1 gene:ENSMUSG00000038646.13 transcript:ENSMUST00000118190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam103a1 description:family with sequence similarity 103, member A1 [Source:MGI Symbol;Acc:MGI:1914398] MSDTSEEIPNFEEMFASRFTKDDKEYQEYLKRPPESPPIVEEWNSRAGGNQRNRGNWLQD NRQFRGRDNRRGWPSDNRSNQWHGRSWGNNNYPQQRPEPYYQQQYTQYGHNQRPPYGYY >ENSMUSP00000017743.2 pep:known chromosome:GRCm38:11:99428403:99438150:-1 gene:ENSMUSG00000035775.2 transcript:ENSMUST00000017743.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt20 description:keratin 20 [Source:MGI Symbol;Acc:MGI:1914059] MDFSRQSFHRSLSSSSQGPALSMSGSLYRKGTVQRLGAAPSVYGGAGGHGTRISVSKAVM SYGGDLSNGSDLFGGNGKLAMQNLNDRLANYLEKVRSLEQSNSRLEAQIKQWYETNAPST IRDYSSYYAQIKELQNQVKDAQVQNAQCVLRIDNAKLAAEDFRLKFETERGMRIAVEADL QGLSKVYDNLTLQKTDLEIQIEELNKDLALLKKEHQEEVEVLRRQLGNNVNVEVDAAPGL NLGEIMNEMRQRYEVLAQKNLQEAKEQFERQSQTLQQQVTVNTEELKGFEVQVTELRRTY QNLEIELQSHLSMKESLERNLEDVKARYASQLAAIQEMLSSLEAQLMQIRSDTERQNQEH NILLDIKTRLEQEIATYRRLLEGEDIKTTEYQLSTLEMKDIKKTRKIKTVVEEVVDGKVV SSEVKEIEESV >ENSMUSP00000029692.8 pep:known chromosome:GRCm38:3:88716838:88729727:1 gene:ENSMUSG00000028057.14 transcript:ENSMUST00000029692.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rit1 description:Ras-like without CAAX 1 [Source:MGI Symbol;Acc:MGI:108053] MESGARPIGSSCSSPAALSREYKLVMLGAGGVGKSAMTMQFISHRFPEDHDPTIEDAYKI RIRIDDEPANLDILDTAGQAEFTAMRDQYMRAGEGFIICYSITDRRSFHEVREFKQLIYR VRRTDDTPVVLVGNKSDLKQLRQVSKEEGLSLAREFSCPFFETSAAYRYYIDDVFHALVR EIRKKEKELVLAMEKKAKPKNSVWKRLKSPFRRKKDSVT >ENSMUSP00000127206.1 pep:known chromosome:GRCm38:3:88716876:88726369:1 gene:ENSMUSG00000028057.14 transcript:ENSMUST00000171645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rit1 description:Ras-like without CAAX 1 [Source:MGI Symbol;Acc:MGI:108053] MESGARPIGSSCSSPAALSREYKLVMLGAGGVGKSAMTMQFISHRFPEDHDPTIEDAYKI RIRIDDEPANLDILDTAGQAEFTAMRDQYMRAGEGFIICYSITDRRSFH >ENSMUSP00000125858.1 pep:known chromosome:GRCm38:3:88716894:88731041:1 gene:ENSMUSG00000028057.14 transcript:ENSMUST00000170377.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rit1 description:Ras-like without CAAX 1 [Source:MGI Symbol;Acc:MGI:108053] MESGARPIGSSCSSPAALSREYKLVMLGAGGVGKSAMTMQFISHRFPEDHDPTIEDAYKI RIRIDDEPANLDILDTAGQVLNPEPSEQCL >ENSMUSP00000131384.1 pep:known chromosome:GRCm38:3:88716899:88729548:1 gene:ENSMUSG00000028057.14 transcript:ENSMUST00000172333.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rit1 description:Ras-like without CAAX 1 [Source:MGI Symbol;Acc:MGI:108053] MESGARPIGSSCSSPAALSREYKLVMLGAGGVGKSVS >ENSMUSP00000130464.1 pep:known chromosome:GRCm38:3:88716906:88729556:1 gene:ENSMUSG00000028057.14 transcript:ENSMUST00000172252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rit1 description:Ras-like without CAAX 1 [Source:MGI Symbol;Acc:MGI:108053] MTMQFISHRFPEDHDPTIEDAYKIRIRIDDEPANLDILDTAGQAEFTAMRDQYMRAGEGF IICYSITDRRSFHEVREFKQLIYRVRRTDDTPVVLVGNKSDLKQLRQVSKEEGLSLAREF SCPFFETSAAYRYYIDDVFHALVREIRKKEKELVLAMEKKAKPKNSVWKRLKSPFRRKKD SVT >ENSMUSP00000035180.3 pep:known chromosome:GRCm38:9:105143342:105145092:-1 gene:ENSMUSG00000032566.4 transcript:ENSMUST00000035180.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700080E11Rik description:RIKEN cDNA 1700080E11 gene [Source:MGI Symbol;Acc:MGI:1920782] MATPTKIDLEDALALGPSWRHLCHVMLYAPDPGVLFGGRILLNYAVLMSMRFDGRLGFPG GFVDDRSPSLEEGLNKELLKKLGEGVSTFSILSTDYRSSLAESKSKVVAHFYVKCLTLEQ LQAVEAGAPQAKDHGLEVLGLVRVPLYTLRDGVGGLPAFLENSFIGVAREQLLEALQDLG ILAPETASDLKERTVQRKQTLRLIGT >ENSMUSP00000139516.1 pep:known chromosome:GRCm38:9:105144312:105145076:-1 gene:ENSMUSG00000032566.4 transcript:ENSMUST00000190661.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700080E11Rik description:RIKEN cDNA 1700080E11 gene [Source:MGI Symbol;Acc:MGI:1920782] MATPTKIDLEDALALGPSWRHLCHVMLYAPDPGVLFGGRILLNYAVLMSMRFDGRLGFPG GFVDDRSPSLEEGLNKELLKKLGEGVSTFSILSTDYRSSLAESKSKVVAHFYVKCLTLEQ LQAVEAGAPQAKDHGLEVGPAWDLLPLSASFLCGSWSSDLVPIPVFRIS >ENSMUSP00000052989.4 pep:known chromosome:GRCm38:9:121914356:121916305:-1 gene:ENSMUSG00000050445.4 transcript:ENSMUST00000062474.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp8b1 description:cytochrome P450, family 8, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1338044] MTLWCTVLGALLTVVGCLCLSLLLRHRRPWEPPLDKGFVPWLGHSMAFRKNMFEFLKGMR AKHGDVFTVQLGGQYFTFVMDPLSFGPIIKNTEKALDFQSYAKELVLKVFGYQSVDGDHR MIHLASTKHLMGQGLEELNQAMLDSLSLVMLGPKGSSLGASSWCEDGLFHFCYRILFKAG FLSLFGYTKDKQQDLDEADELFRKFRRFDFLFPRFVYSLLGPREWVEVSQLQRLFHQRLS VKQNLEKDGISCWLGYMLQFLREQGIASSMQDKFNFMMLWASQGNTGPTCFWVLLFLLKH QDAMKAVREEATRVMGKARLEAKKSFTFTPSALKHTPVLDSVMEESLRLCATPTLLRVVQ EDYVLKMASGQEYQIRRGDKVALFPYLSVHMDPDIHPEPTAFKYDRFLNPDGTRKVDFYK SGKKIHHYSMPWGSGVSKCPGRFFALSEMKTFVLLMIMYFDFKLVDPDIPVPPIDPRRWG FGTSQPSHEVRFLYRLKPVQ >ENSMUSP00000106226.1 pep:known chromosome:GRCm38:5:146525801:146528553:1 gene:ENSMUSG00000079091.1 transcript:ENSMUST00000110596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3404 description:predicted gene 3404 [Source:MGI Symbol;Acc:MGI:3781582] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLTHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYIPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKRNVPSSDVDRARELLSVL EDQEAIELQIEQAPEPSEQDASGRQETLAPRPASETEPQGDKQPREDPELVKGAVLDPFE PKATIELPPSLHQAVPTSDGTLSPVDVTADEATGVAADVSPARQLFVSYTVQLGTPDFVI PLPEVDI >ENSMUSP00000095939.5 pep:known chromosome:GRCm38:8:122883337:122974793:-1 gene:ENSMUSG00000035569.16 transcript:ENSMUST00000098334.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd11 description:ankyrin repeat domain 11 [Source:MGI Symbol;Acc:MGI:1924337] MPKGGCSKTPQQEDFALSNDMVEKQTGKKDKDKVSLTKTPKLDRSDGGKEVRERATKRKL PFTVGANGEQKDSDTEKQGPERKRIKKEPVARKSGLLFGMGLSGIRAGYPLSERQQVALL MQMTAEESANSPVDTTPKHPSQSTVCQKGTPNSASKTKDKVNKRNERGETRLHRAAIRGD ARRIKELISEGADVNVKDFAGWTALHEACNRGYYDIAKQLLAAGAEVNTKGLDDDTPLHD AANNGHYKVVKLLLRYGGNPQQSNRKGETPLKVANSPTMVNLLLGKGTYTSSEESSTESS EEEDAPSFAPSSSVDGNNTDSEFEKGLKLKAKNPEPQKTVTPVKDEYEFDEDDEQDRVPP VDDKHLLKKDYRKEAKANSFISIPKMEVKSYSKNNTLAPKKAAHRILSDTSDEEDVSVSI GAGEKLRLSAHTMLPGSKARESSSSRQQKEKNKLKKKRKKETKGKEVRFGKRSDKFCSSG SESESSESEEDDGDSVGSSGCLKGSPLVLKDPSLFSSLSASSTSSHGSAVAQKHGSGHTD QHTKHWRTDNWKAISSPAWSEVSSLSDSSRTGLTSESDCSSEGSSVESLKPTRRKQEHRK RGVLQSAPSEKRSSFHPCTDGAVPKLDKEGKVVKKHKTKHKHKHKEKGQCSVSQELKLKS FTYEYEDSKQKSDKAILLESDLSTENKLKVLKHDREHLKKEDKLGRMKPEDKDWLFKDEK VLKRIKDANKDMSRAFREDKDRASKAERERATKDKSPKEEKLRLYKEERKKKSKDRASRL ERKNDMKEDKLSKEKEKAFKEDKEKLKKEKLYREDAAFDDYCNKSQFLDHEDTKFSLSDD QQERWFSDLSDSSFDFKGEDSWDSVTDYRDIKNDSVAKLILETVKEDSKEKKRDNKIREK RDFKDSFFRKRDRDCLDKNSEKRRDQTEKHKSIPSYLSEKDKKRRESAEGGRDRRDGRIR SEEVHREDLKECGFESSFKDKSDCDFPKNLEPWERPHAAREKEKKDALEKERKEKGRADK YKEKSSERERSDKSTLDKCQKDKEFEKCFKEKKDGKEKHKDIHSKDRKASFDQLREKKEK VFSSIISEDFSERKDDRKGKEKSWYIADIFTDESEDEKDDCVAGSFKATEASDTQRVDGL PEKEEGREHPSDRHRKSSSDRQHTEKPRDKEPKEKKKDRGASEGGKDKKEKMEKIFEKHK EKKDKECAERYKDRKERASADSAPEKKNKQKLPEKVEKKHFAEDKVKSKHKEKPEKEHSR ERERKPSRGPDVEKSLLEKLEEEALHDYREDSNDKISEVSSDSFADHGQEPSLSTLLEVS FSEPPAEDKARDSACLSEKLREKERHRHSSSSSKKSHERERAKKEKAEKKEKSEDYKDSI SSVRKDASQFEKDFLDAETYGVSYPTKADVEEELDKAIELFSSEKKDRSDPEREPAKRIE KELKPYGSSAISILKEKKKREKHRERWREEKERHRDKHVDGFLRHHKDEPKPAAKDKDNP PNSFKEKSREESLKLSETKLKEKFKENTEREKGDSIKMSNGNDKLVPSRDSGKKDSRPRE KLLGDGDLMMTSFERMLSQKDLEIEERHKRHKERMKQMEKMRHRSGDPKLKEKKPTEDGR KKSLDFPSKKALGLDKKVKEPAPTLTTGESKPHSGPGTESKDWLSGQPLKEVLPASPRTE QSRPTGVPTPTSVVSCPSYEEVMHTPRTPSCSADDYPDLVFDCTDSQHSMPVSTASTSAC SPPFFDRFSVASSVVSENAAGQTPTRPISTNLYRSISVDIRRTPEEEFSAGDKLFRQQSV PAPSSFDSPVQHLLEEKAPLPPVPAEKFACLSPGYYSPDYGIPSPKVDTLHCPPTAVVSA TPPPDSVFSNLPPKSSPSPRGELLSPAIEGTLPPDLGLPLDATEDQQATAAILPQEPSYL EPLDEGPFTTVITEEPVEWTHTAAEQGLSSSSLIASASENPVSWPVGSELMLKSPQRFAE SPKHFCPGESLHSTTPGPYSAAEPTYPVSPGSYPLPAPEPALEEVKDGGTGAIPVAISAA EGAAPYAAPARLESFFSNCKSHPDAPLDTAPEPTGVTAVAQVEALGPLESSFLDSNPSIS TLSQVEPVSWHEAFTSPEDDLDLGPFSLPELPLQAKDASDVEAEAAKASPVPPAESPPGP TGVLGGGDVPAPAAEEPPAPPPQEASPQLSTEPEPSEEPKLDVVLEATVETEVLADDSAP EASISNSVPAPSPPQQQPPGGGDEEAETEDPSATPCCAPDGPTTDGLAQAHNSAEASCVV AAAEGPPGNVQAEATDPEPKPTSEVPKAPKVEEVPQRMTRNRAQMLASQSKQGIPAAEKD PMPTPASRAKGRASEEEDAQAQHPRKRRFQRSSQQLQQQLNTSTQQTREVIQQTLAAIVD AIKLDAIEPYHSDRSNPYFEYLQIRKKIEEKRKILCCITPQAPQCYAEYVTYTGSYLLDG KPLSKLHIPVIAPPPSLAEPLKELFKQQEAVRGKLRLQHSIEREKLIVSCEQEILRVHCR AARTIANQAVPFSACTMLLDSEVYNMPLESQGDENKSVRDRFNARQFISWLQDVDDKYDR MKTCLLMRQQHEAAALNAVQRMEWQLKAQELDPAGHKSLCVNEVPSFYVPMVDVNDDFVL LPA >ENSMUSP00000133977.1 pep:known chromosome:GRCm38:8:122883822:122974793:-1 gene:ENSMUSG00000035569.16 transcript:ENSMUST00000172906.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd11 description:ankyrin repeat domain 11 [Source:MGI Symbol;Acc:MGI:1924337] MPKGGCSKTPQQEDFALSNDMVEKQTGKKDKDKVSLTKTPKLDRSDGGKEVRERATKRKL PFTVGANGEQKDSDTDASSSVHVVVLQGWYGPRSTMA >ENSMUSP00000095938.4 pep:known chromosome:GRCm38:8:122884151:122915987:-1 gene:ENSMUSG00000035569.16 transcript:ENSMUST00000098333.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd11 description:ankyrin repeat domain 11 [Source:MGI Symbol;Acc:MGI:1924337] MPKGGCSKTPQQEDFALSNDMVEKQTGKKDKDKVSLTKTPKLDRSDGGKEVRERATKRKL PFTVGANGEQKDSDTDSFGHRTFNWGSPLPFSLTCHTEKQGPERKRIKKEPVARKSGLLF GMGLSGIRAGYPLSERQQVALLMQMTAEESANSPDTTPKHPSQSTVCQKGTPNSASKTKD KVNKRNERGETRLHRAAIRGDARRIKELISEGADVNVKDFAGWTALHEACNRGYYDIAKQ LLAAGAEVNTKGLDDDTPLHDAANNGHYKVVKLLLRYGGNPQQSNRKGETPLKVANSPTM VNLLLGKGTYTSSEESSTESSEEEDAPSFAPSSSVDGNNTDSEFEKGLKLKAKNPEPQKT VTPVKDEYEFDEDDEQDRVPPVDDKHLLKKDYRKEAKANSFISIPKMEVKSYSKNNTLAP KKAAHRILSDTSDEEDVSVSIGAGEKLRLSAHTMLPGSKARESSSSRQQKEKNKLKKKRK KETKGKEVRFGKRSDKFCSSGSESESSESEEDDGDSVGSSGCLKGSPLVLKDPSLFSSLS ASSTSSHGSAVAQKHGSGHTDQHTKHWRTDNWKAISSPAWSEVSSLSDSSRTGLTSESDC SSEGSSVESLKPTRRKQEHRKRGVLQSAPSEKRSSFHPCTDGAVPKLDKEGKVVKKHKTK HKHKHKEKGQCSVSQELKLKSFTYEYEDSKQKSDKAILLESDLSTENKLKVLKHDREHLK KEDKLGRMKPEDKDWLFKDEKVLKRIKDANKDMSRAFREDKDRASKAERERATKDKSPKE EKLRLYKEERKKKSKDRASRLERKNDMKEDKLSKEKEKAFKEDKEKLKKEKLYREDAAFD DYCNKSQFLDHEDTKFSLSDDQQERWFSDLSDSSFDFKGEDSWDSVTDYRDIKNDSVAKL ILETVKEDSKEKKRDNKIREKRDFKDSFFRKRDRDCLDKNSEKRRDQTEKHKSIPSYLSE KDKKRRESAEGGRDRRDGRIRSEEVHREDLKECGFESSFKDKSDCDFPKNLEPWERPHAA REKEKKDALEKERKEKGRADKYKEKSSERERSDKSTLDKCQKDKEFEKCFKEKKDGKEKH KDIHSKDRKASFDQLREKKEKVFSSIISEDFSERKDDRKGKEKSWYIADIFTDESEDEKD DCVAGSFKATEASDTQRVDGLPEKEEGREHPSDRHRKSSSDRQHTEKPRDKEPKEKKKDR GASEGGKDKKEKMEKIFEKHKEKKDKECAERYKDRKERASADSAPEKKNKQKLPEKVEKK HFAEDKVKSKHKEKPEKEHSRERERKPSRGPDVEKSLLEKLEEEALHDYREDSNDKISEV SSDSFADHGQEPSLSTLLEVSFSEPPAEDKARDSACLSEKLREKERHRHSSSSSKKSHER ERAKKEKAEKKEKSEDYKDSISSVRKDASQFEKDFLDAETYGVSYPTKADVEEELDKAIE LFSSEKKDRSDPEREPAKRIEKELKPYGSSAISILKEKKKREKHRERWREEKERHRDKHV DGFLRHHKDEPKPAAKDKDNPPNSFKEKSREESLKLSETKLKEKFKENTEREKGDSIKMS NGNDKLVPSRDSGKKDSRPREKLLGDGDLMMTSFERMLSQKDLEIEERHKRHKERMKQME KMRHRSGDPKLKEKKPTEDGRKKSLDFPSKKALGLDKKVKEPAPTLTTGESKPHSGPGTE SKDWLSGQPLKEVLPASPRTEQSRPTGVPTPTSVVSCPSYEEVMHTPRTPSCSADDYPDL VFDCTDSQHSMPVSTASTSACSPPFFDRFSVASSVVSENAAGQTPTRPISTNLYRSISVD IRRTPEEEFSAGDKLFRQQSVPAPSSFDSPVQHLLEEKAPLPPVPAEKFACLSPGYYSPD YGIPSPKVDTLHCPPTAVVSATPPPDSVFSNLPPKSSPSPRGELLSPAIEGTLPPDLGLP LDATEDQQATAAILPQEPSYLEPLDEGPFTTVITEEPVEWTHTAAEQGLSSSSLIASASE NPVSWPVGSELMLKSPQRFAESPKHFCPGESLHSTTPGPYSAAEPTYPVSPGSYPLPAPE PALEEVKDGGTGAIPVAISAAEGAAPYAAPARLESFFSNCKSHPDAPLDTAPEPTGVTAV AQVEALGPLESSFLDSNPSISTLSQVEPVSWHEAFTSPEDDLDLGPFSLPELPLQAKDAS DVEAEAAKASPVPPAESPPGPTGVLGGGDVPAPAAEEPPAPPPQEASPQLSTEPEPSEEP KLDVVLEATVETEVLADDSAPEASISNSVPAPSPPQQQPPGGGDEEAETEDPSATPCCAP DGPTTDGLAQAHNSAEASCVVAAAEGPPGNVQAEATDPEPKPTSEVPKAPKVEEVPQRMT RNRAQMLASQSKQGIPAAEKDPMPTPASRAKGRASEEEDAQAQHPRKRRFQRSSQQLQQQ LNTSTQQTREVIQQTLAAIVDAIKLDAIEPYHSDRSNPYFEYLQIRKKIEEKRKILCCIT PQAPQCYAEYVTYTGSYLLDGKPLSKLHIPVIAPPPSLAEPLKELFKQQEAVRGKLRLQH SIEREKLIVSCEQEILRVHCRAARTIANQAVPFSACTMLLDSEVYNMPLESQGDENKSVR DRFNARQFISWLQDVDDKYDRMKTCLLMRQQHEAAALNAVQRMEWQLKAQELDPAGHKSL CVNEVPSFYVPMVDVNDDFVLLPA >ENSMUSP00000118188.1 pep:known chromosome:GRCm38:11:50255634:50291804:-1 gene:ENSMUSG00000050567.16 transcript:ENSMUST00000135868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maml1 description:mastermind like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890504] XAPAPASAPAAARLDAADGPEHGRPVAHLHDTVKRSLDSAASPQNGDQPNGYGDLFPGHK KTRREAPLGVSVSANGLPPASPLGQPDKPSGGDTLQTAGKHSLGLDPINKKCLADSGIHL NGGSNSSEPFPLSLSKELKQEPVDDLPCMIAGAGGSVAQSNLMPDLNLNEQEWKELIEEL NRSVPDEDMKDLFTEDFEEKKDPEPPGSATQTPLAQDINIKTEFSPAAFEQEQLGSPQVR AGSAGQTFLGASSAPVGTDSPSLGSSQTLFHTTSQPGVDNSSPNLMPASAQAQSAQRALT SVVLPSQGPGGASELSSAHQLQQIAAKQKREQMLQNPQQAAPAPGPGQLATWQQAGPSHS PLDVPYPMEKPASPSGYKQDFTNSKLLMMPGVNKSSPRPGGPYLQPSHSNLLSHQSPSNL NQNPVNNQGSVLDYGNTKPLSHYKADCGQGGPGSGQNKPALMAYLPQQLPHLSNEQNSLF LMKPKSGNMPFRSLVPPGQEQNPSSVPVAAPAASVGTQPTVSVASTHNSSPYLSSQQQAA VMKQHQLLLDQQKQREQQQQQLQQQQFLQRQHLLAEQDLLLLCLG >ENSMUSP00000059210.4 pep:known chromosome:GRCm38:11:50255634:50292311:-1 gene:ENSMUSG00000050567.16 transcript:ENSMUST00000059458.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maml1 description:mastermind like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890504] MVLPTCPMAEFALPRHSAVMERLRRRIELCRRHHSTCEARYEAVSPERLELERQHTFALH QRCIQAKAKRAGKHRQPPAAATAPVAAPAPASAPAAARLDAADGPEHGRPVAHLHDTVKR SLDSAASPQNGDQPNGYGDLFPGHKKTRREAPLGVSVSANGLPPASPLGQPDKPSGGDTL QTAGKHSLGLDPINKKCLADSGIHLNGGSNSSEPFPLSLSKELKQEPVDDLPCMIAGAGG SVAQSNLMPDLNLNEQEWKELIEELNRSVPDEDMKDLFTEDFEEKKDPEPPGSATQTPLA QDINIKTEFSPAAFEQEQLGSPQVRAGSAGQTFLGASSAPVGTDSPSLGSSQTLFHTTSQ PGVDNSSPNLMPASAQAQSAQRALTSVVLPSQGPGGASELSSAHQLQQIAAKQKREQMLQ NPQQAAPAPGPGQLATWQQAGPSHSPLDVPYPMEKPASPSGYKQDFTNSKLLMMPGVNKS SPRPGGPYLQPSHSNLLSHQSPSNLNQNPVNNQGSVLDYGNTKPLSHYKADCGQGGPGSG QNKPALMAYLPQQLPHLSNEQNSLFLMKPKSGNMPFRSLVPPGQEQNPSSVPVAAPAASV GTQPTVSVASTHNSSPYLSSQQQAAVMKQHQLLLDQQKQREQQQQQLQQQQFLQRQHLLA EQEKQQFQRHLTRPPPQYQDPTQSTFPQQVGQFTGPSAAVPGMNNLGPSNSSCPRVFPQP GTLMSMGPGHAPVSSLPSSSGQQDRGVAQFTGSQSLPQNSLYGMASGLAQIVAQPPPQAT STHAHIPRQTNVGQNASTSAAYGQNSLGSASLSQQHSKGTLPPGLTKPQVPRVSAAMGSQ NASWQHQGMPNLSSQTSGNSSVNPFTAAPSFHIQQAHLKLAGQQFSQAMPSRPMAPLSSA GAAGPMLPPVSAQQRNSAPASAPPQAAPQQGLPGLSPSGPELGAFGQSPTSQMSGRPGLH CAQAYPVRTMGQELPFAYSGQPGSSGLSSVAGHTDLIDSLLKNRTSEEWINELDDLLGSQ >ENSMUSP00000092427.2 pep:known chromosome:GRCm38:4:118753595:118754536:-1 gene:ENSMUSG00000095218.1 transcript:ENSMUST00000084315.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1338 description:olfactory receptor 1338 [Source:MGI Symbol;Acc:MGI:3031172] MFPRQNQSWVSEFILIGFSSDPTTNSILFIVFLLIYLNSVLGNGLIIMLVCLDTQLHTPM YFFLCTLSLLDMSYVTTTMPQMLVHLLAHSQTISFAGCWLQMYMFSALGIAESILFVVMA YDRYVAICYPLRYTVILNWGLCIRLAAGTWICGSFSSLLHTFFTMSLPYCGPKRVDHYFC EGPSVRSLACMDTHLIEMVDLVLSVFVVVTPISLIVASYIHITKAILKIKSTQARCKAFS TCASHLTVVTFFYIPAIYIYIRPNSSYSPERDKQISLFYNVFTALLNPVVYSLRNKDIKR AFLKVMGLGRMDW >ENSMUSP00000107770.1 pep:known chromosome:GRCm38:2:71211706:71263298:1 gene:ENSMUSG00000027012.15 transcript:ENSMUST00000112142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i2 description:dynein cytoplasmic 1 intermediate chain 2 [Source:MGI Symbol;Acc:MGI:107750] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKETDQKKEAAVSVQEESDLEKKRREA EALLQSMGLTTDSPIVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRTLHWDTDPSVLQLH SDSDLGRGPIKLGMAKITQVDFPPREIVTYTKETQTPVTAQPKEDEEEEDDVATPKPPVE PEEEKTLKKDEENDSKAPPHELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQINIFFD YSGRDLEDKEGEIQAGAKLSLNRQFFDERWSKHRVVSCLDWSSQYPELLVASYNNNEEAP HEPDGVALVWNMKYKKTTPEYVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLWDNRSNK RTPVQRTPLSAAAHTHPVYCVNVVGTQNAHNLISISTDGKICSWSLDMLSHPQDSMELVH KQSKAVAVTSMSFPVGDVNNFVVGSEEGSVYTACRHGSKAGISEMFEGHQGPITGIHCHA AVGAVDFSHLFVTSSFDWTVKLWTTKNNKPLYSFEDNSDYVYDVMWSPTHPALFACVDGM GRLDLWNLNNDTEVPTASISVEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVGEQIAVP RNDEWARFGRTLAEINANRADAEEEAATRIPA >ENSMUSP00000107767.1 pep:known chromosome:GRCm38:2:71211741:71263294:1 gene:ENSMUSG00000027012.15 transcript:ENSMUST00000112139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i2 description:dynein cytoplasmic 1 intermediate chain 2 [Source:MGI Symbol;Acc:MGI:107750] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKETDQKKEAAVSVQEESDLEKKRREA EALLQSMGLTTDSPIVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRRGPIKLGMAKITQV DFPPREIVTYTKETQTPVTAQPKEDEEEEDDVATPKPPVEPEEEKTLKKDEENDSKAPPH ELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQINIFFDYSGRDLEDKEGEIQAGAKLS LNRQFFDERWSKHRVVSCLDWSSQYPELLVASYNNNEEAPHEPDGVALVWNMKYKKTTPE YVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLWDNRSNKRTPVQRTPLSAAAHTHPVYC VNVVGTQNAHNLISISTDGKICSWSLDMLSHPQDSMELVHKQSKAVAVTSMSFPVGDVNN FVVGSEEGSVYTACRHGSKAGISEMFEGHQGPITGIHCHAAVGAVDFSHLFVTSSFDWTV KLWTTKNNKPLYSFEDNSDYVYDVMWSPTHPALFACVDGMGRLDLWNLNNDTEVPTASIS VEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVGEIAVPRNDEWARFGRTLAEINANRAD AEEEAATRIPA >ENSMUSP00000107768.1 pep:known chromosome:GRCm38:2:71211741:71263303:1 gene:ENSMUSG00000027012.15 transcript:ENSMUST00000112140.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i2 description:dynein cytoplasmic 1 intermediate chain 2 [Source:MGI Symbol;Acc:MGI:107750] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKETDQKKEAAVSVQEESDLEKKRREA EALLQSMGLTTDSPIVFSEYWVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRTLHWDTDP SVLQLHSDSDLGRGPIKLGMAKITQVDFPPREIVTYTKETQTPVTAQPKEDEEEEDDVAT PKPPVEPEEEKTLKKDEENDSKAPPHELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQ INIFFDYSGRDLEDKEGEIQAGAKLSLNRQFFDERWSKHRVVSCLDWSSQYPELLVASYN NNEEAPHEPDGVALVWNMKYKKTTPEYVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLW DNRSNKRTPVQRTPLSAAAHTHPVYCVNVVGTQNAHNLISISTDGKICSWSLDMLSHPQD SMELVHKQSKAVAVTSMSFPVGDVNNFVVGSEEGSVYTACRHGSKAGISEMFEGHQGPIT GIHCHAAVGAVDFSHLFVTSSFDWTVKLWTTKNNKPLYSFEDNSDYVYDVMWSPTHPALF ACVDGMGRLDLWNLNNDTEVPTASISVEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVG EQIAVPRNDEWARFGRTLAEINANRADAEEEAATRIPA >ENSMUSP00000107766.1 pep:known chromosome:GRCm38:2:71211758:71263291:1 gene:ENSMUSG00000027012.15 transcript:ENSMUST00000112138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i2 description:dynein cytoplasmic 1 intermediate chain 2 [Source:MGI Symbol;Acc:MGI:107750] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKETDQKKEAAVSVQEESDLEKKRREA EALLQSMGLTTDSPIVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRRGPIKLGMAKITQV DFPPREIVTYTKETQTPVTAQPKEDEEEEDDVATPKPPVEPEEEKTLKKDEENDSKAPPH ELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQINIFFDYSGRDLEDKEGEIQAGAKLS LNRQFFDERWSKHRVVSCLDWSSQYPELLVASYNNNEEAPHEPDGVALVWNMKYKKTTPE YVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLWDNRSNKRTPVQRTPLSAAAHTHPVYC VNVVGTQNAHNLISISTDGKICSWSLDMLSHPQDSMELVHKQSKAVAVTSMSFPVGDVNN FVVGSEEGSVYTACRHGSKAGISEMFEGHQGPITGIHCHAAVGAVDFSHLFVTSSFDWTV KLWTTKNNKPLYSFEDNSDYVYDVMWSPTHPALFACVDGMGRLDLWNLNNDTEVPTASIS VEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVGEQIAVPRNDEWARFGRTLAEINANRA DAEEEAATRIPA >ENSMUSP00000080410.5 pep:known chromosome:GRCm38:2:71211834:71263303:1 gene:ENSMUSG00000027012.15 transcript:ENSMUST00000081710.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i2 description:dynein cytoplasmic 1 intermediate chain 2 [Source:MGI Symbol;Acc:MGI:107750] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKETDQKKEAAVSVQEESDLEKKRREA EALLQSMGLTTDSPIVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRRGPIKLGMAKITQV DFPPREIVTYTKETQTPVTAQPKEDEEEEDDVATPKPPVEPEEEKTLKKDEENDSKAPPH ELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQINIFFDYSGRDLEDKEGEIQAGAKLS LNRQFFDERWSKHRVVSCLDWSSQYPELLVASYNNNEEAPHEPDGVALVWNMKYKKTTPE YVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLWDNRSNKRTPVQRTPLSAAAHTHPVYC VNVVGTQNAHNLISISTDGKICSWSLDMLSHPQDSMELVHKQSKAVAVTSMSFPVGDVNN FVVGSEEGSVYTACRHGSKAGISEMFEGHQGPITGIHCHAAVGAVDFSHLFVTSSFDWTV KLWTTKNNKPLYSFEDNSDYVYDVMWSPTHPALFACVDGMGRLDLWNLNNDTEVPTASIS VEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVGEQIAVPRNDEWARFGRTLAEINANRA DAEEEAATRIPA >ENSMUSP00000107772.2 pep:known chromosome:GRCm38:2:71211972:71263297:1 gene:ENSMUSG00000027012.15 transcript:ENSMUST00000112144.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i2 description:dynein cytoplasmic 1 intermediate chain 2 [Source:MGI Symbol;Acc:MGI:107750] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKETDQKKEAAVSVQEESDLEKKRREA EALLQSMGLTTDSPIVFSEYWVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRTLHWDTDP SVLQLHSDSDLGRGPIKLGMAKITQVDFPPREIVTYTKETQTPVTAQPKEDEEEEDDVAT PKPPVEPEEEKTLKKDEENDSKAPPHELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQ INIFFDYSGRDLEDKEGEIQAGAKLSLNRQFFDERWSKHRVVSCLDWSSQYPELLVASYN NNEEAPHEPDGVALVWNMKYKKTTPEYVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLW DNRSNKRTPVQRTPLSAAAHTHPVYCVNVVGTQNAHNLISISTDGKICSWSLDMLSHPQD SMELVHKQSKAVAVTSMSFPVGDVNNFVVGSEEGSVYTACRHGSKAGISEMFEGHQGPIT GIHCHAAVGAVDFSHLFVTSSFDWTVKLWTTKNNKPLYSFEDNSDYVYDVMWSPTHPALF ACVDGMGRLDLWNLNNDTEVPTASISVEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVG EQIAVPRNDEWARFGRTLAEINANRADAEEEAATRIPA >ENSMUSP00000097605.3 pep:known chromosome:GRCm38:2:71211984:71262859:1 gene:ENSMUSG00000027012.15 transcript:ENSMUST00000100028.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i2 description:dynein cytoplasmic 1 intermediate chain 2 [Source:MGI Symbol;Acc:MGI:107750] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKETDQKKEAAVSVQEESDLEKKRREA EALLQSMGLTTDSPIVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRTLHWDTDPSVLQLH SDSDLGRGPIKLGMAKITQVDFPPREIVTYTKETQTPVTAQPKEDEEEEDDVATPKPPVE PEEEKTLKKDEENDSKAPPHELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQINIFFD YSGRDLEDKEGEIQAGAKLSLNRQFFDERWSKHRVVSCLDWSSQYPELLVASYNNNEEAP HEPDGVALVWNMKYKKTTPEYVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLWDNRSNK RTPVQRTPLSAAAHTHPVYCVNVVGTQNAHNLISISTDGKICSWSLDMLSHPQDSMELVH KQSKAVAVTSMSFPVGDVNNFVVGSEEGSVYTACRHGSKAGISEMFEGHQGPITGIHCHA AVGAVDFSHLFVTSSFDWTVKLWTTKNNKPLYSFEDNSDYVYDVMWSPTHPALFACVDGM GRLDLWNLNNDTEVPTASISVEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVGEQIAVP RNDEWARFGRTLAEINANRADAEEEAATRIPA >ENSMUSP00000107764.1 pep:known chromosome:GRCm38:2:71211992:71263303:1 gene:ENSMUSG00000027012.15 transcript:ENSMUST00000112136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1i2 description:dynein cytoplasmic 1 intermediate chain 2 [Source:MGI Symbol;Acc:MGI:107750] MSDKSDLKAELERKKQRLAQIREEKKRKEEERKKKETDQKKEAAVSVQEESDLEKKRREA EALLQSMGLTTDSPIVFSEYWVPPPMSPSSKSVSTPSEAGSQDSGDGAVGSRTLHWDTDP SVLQLHSDSDLGRGPIKLGMAKITQVDFPPREIVTYTKETQTPVTAQPKEDEEEEDDVAT PKPPVEPEEEKTLKKDEENDSKAPPHELTEEEKQQILHSEEFLSFFDHSTRIVERALSEQ INIFFDYSGRDLEDKEGEIQAGAKLSLNRQFFDERWSKHRVVSCLDWSSQYPELLVASYN NNEEAPHEPDGVALVWNMKYKKTTPEYVFHCQSAVMSATFAKFHPNLVVGGTYSGQIVLW DNRSNKRTPVQRTPLSAAAHTHPVYCVNVVGTQNAHNLISISTDGKICSWSLDMLSHPQD SMELVHKQSKAVAVTSMSFPVGDVNNFVVGSEEGSVYTACRHGSKAGISEMFEGHQGPIT GIHCHAAVGAVDFSHLFVTSSFDWTVKLWTTKNNKPLYSFEDNSDYVYDVMWSPTHPALF ACVDGMGRLDLWNLNNDTEVPTASISVEGNPALNRVRWTHSGREIAVGDSEGQIVIYDVG EIAVPRNDEWARFGRTLAEINANRADAEEEAATRIPA >ENSMUSP00000113606.1 pep:known chromosome:GRCm38:12:103946931:103958975:-1 gene:ENSMUSG00000072849.10 transcript:ENSMUST00000122229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1e description:serine (or cysteine) peptidase inhibitor, clade A, member 1E [Source:MGI Symbol;Acc:MGI:891967] MTPSISWCLLLLAGLCCLVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELV HQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHNSFQHLLQT LNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVE KGTQGKIVEAVKKLEQDTVFVLANYILFKGKWKKPFDPENTKQAEFHVDESTTVKVPMMT LSGMLDVHHCSTLSSWVLLMDYAGNATAVFLLPDDGKMQHLEQTLNKELISKFLLNRRRR LAQIHIPRLSISGNYNLETLMSPLGITRIFNSGADLSGITEENAPLKLSQAVHKAVLTID ETGTEAAAATVLQGGFLSMPPILHFNRPFLFIIFEEHSQSPLFVGKVVDPTHK >ENSMUSP00000082130.4 pep:known chromosome:GRCm38:12:103947011:103956898:-1 gene:ENSMUSG00000072849.10 transcript:ENSMUST00000085054.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina1e description:serine (or cysteine) peptidase inhibitor, clade A, member 1E [Source:MGI Symbol;Acc:MGI:891967] MTPSISWCLLLLAGLCCLVPSFLAEDVQETDTSQKDQSPASHEIATNLGDFAISLYRELV HQSNTSNIFFSPVSIATAFAMLSLGSKGDTHTQILEGLQFNLTQTSEADIHNSFQHLLQT LNRPDSELQLSTGNGLFVNNDLKLVEKFLEEAKNHYQAEVFSVNFAESEEAKKVINDFVE KGTQGKIVEAVKKLEQDTVFVLANYILFKGKWKKPFDPENTKQAEFHVDESTTVKVPMMT LSGMLDVHHCSTLSSWVLLMDYAGNATAVFLLPDDGKMQHLEQTLNKELISKFLLNRRRR LAQIHIPRLSISGNYNLETLMSPLGITRIFNSGADLSGITEENAPLKLSQAVHKAVLTID ETGTEAAAATVLQGGFLSMPPILHFNRPFLFIIFEEHSQSPLFVGKVVDPTHK >ENSMUSP00000020102.7 pep:known chromosome:GRCm38:10:89574020:89621253:-1 gene:ENSMUSG00000019935.16 transcript:ENSMUST00000020102.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a8 description:solute carrier family 17 (sodium-dependent inorganic phosphate cotransporter), member 8 [Source:MGI Symbol;Acc:MGI:3039629] MPFKAFDTFKEKILKPGKEGVKNAVGDSLGILQRKIDGTNEEEDAIELNEEGRPVQTSRA HRPVCDCSCCGIPKRYICDCSCCGIPKRYIIAVMSGLGFCISFGIRCNLGVAIVEMVNNS TVYVDGKPEIQTAQFNWDPETVGLIHGSFFWGYIVTQIPGGFISNKFAASRVFGAAIFLT STLNMFIPSAARVHYGCVMGVRILQGLVEGVTYPACHGMWSKWAPPLERSRLATTSFCGS YAGAVVAMPLAGVLVQYIGWASVFYIYGMFGIIWYMFWLLQAYECPAAHPTISNAERTYI ETSIGEGANLASLSKFNTPWRRFFTSLPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGF AISKVGLLSAVPHMVMTIVVPIGGQLADYLRSRKILTTTAVRKIMNCGGFGMEATLLLVV GFSHTKGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPLI VGAMTKHKTREEWQNVFLIAALVHYSGVIFYGVFASGEKQDWADPENLSEDKCGIIDQDE LAEETELNHETFVSPRKKMSYGATTQNCEVQKTEWRQQRESAFDGEEPLSYQAEGDFSET S >ENSMUSP00000100932.1 pep:known chromosome:GRCm38:10:89576011:89599815:-1 gene:ENSMUSG00000019935.16 transcript:ENSMUST00000105295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a8 description:solute carrier family 17 (sodium-dependent inorganic phosphate cotransporter), member 8 [Source:MGI Symbol;Acc:MGI:3039629] MFIPSAARVHYGCVMGVRILQGLVEGVTYPACHGMWSKWAPPLERSRLATTSFCGSYAGA VVAMPLAGVLVQYIGWASVFYIYGMFGIIWYMFWLLQAYECPAAHPTISNAERTYIETSI GEGANLASLSKFNTPWRRFFTSLPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFAISK VGLLSAVPHMVMTIVVPIGGQLADYLRSRKILTTTAVRKIMNCGGFGMEATLLLVVGFSH TKGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPLIVGAM TKHKTREEWQNVFLIAALVHYSGVIFYGVFASGEKQDWADPENLSEDKCGIIDQDELAEE TELNHETFVSPRKKMSYGATTQNCEVQKTEWRQQRESAFDGEEPLSYQAEGDFSETS >ENSMUSP00000146580.1 pep:known chromosome:GRCm38:7:29256323:29281912:-1 gene:ENSMUSG00000074227.12 transcript:ENSMUST00000207601.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spint2 description:serine protease inhibitor, Kunitz type 2 [Source:MGI Symbol;Acc:MGI:1338031] MAQLCELRRGRALLALVASLLLSGAQVASRELDVHENTTDDMARNRNGADSSVLSVCFVE LSVAALFLFYA >ENSMUSP00000103871.3 pep:known chromosome:GRCm38:7:29256341:29281910:-1 gene:ENSMUSG00000074227.12 transcript:ENSMUST00000108236.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spint2 description:serine protease inhibitor, Kunitz type 2 [Source:MGI Symbol;Acc:MGI:1338031] MAQLCELRRGRALLALVASLLLSGAQVASRELDVHENTTDDMARNRNGADSSVLSVPRKQ SAEDLSAEIFNYEEYCVPKAVTGPCRAAFPRWYYDTEKNSCISFIYGGCRGNKNSYLSQE ACMQHCSGKQMHPFLTPGLKAVILVGLFLMVLILLLGTSMVCLIRVVRRKQERALRTVWS TADDKEQLVKNTCVL >ENSMUSP00000096204.4 pep:known chromosome:GRCm38:7:29256341:29281912:-1 gene:ENSMUSG00000074227.12 transcript:ENSMUST00000098604.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spint2 description:serine protease inhibitor, Kunitz type 2 [Source:MGI Symbol;Acc:MGI:1338031] MAQLCELRRGRALLALVASLLLSGAQVASRELDVHESCGVSKVVGKCRASIPRWWYNITD GSCQPFVYGGCEGNGNNYQSKEECLDKCAGVTENTTDDMARNRNGADSSVLSVPRKQSAE DLSAEIFNYEEYCVPKAVTGPCRAAFPRWYYDTEKNSCISFIYGGCRGNKNSYLSQEACM QHCSGKQMHPFLTPGLKAVILVGLFLMVLILLLGTSMVCLIRVVRRKQERALRTVWSTAD DKEQLVKNTCVL >ENSMUSP00000139752.1 pep:known chromosome:GRCm38:7:142296377:142297118:-1 gene:ENSMUSG00000066100.7 transcript:ENSMUST00000188274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap5-1 description:keratin associated protein 5-1 [Source:MGI Symbol;Acc:MGI:1354732] MTCCGCSGGCGSSCGGCGSSCGGCGSGCGGCGSNCGGCGSSCCKPVCCCKPVCCCVPVCS CSSCGGCGSSCGGCGSCGSSCGGCGSSCCKPVCCCVPVCSCSSCGGCKPCCCQSSCCKPC CSSGCGSSCCQSSCCKPCCCQSSCCKPCCCQSSCCKPCCSSGCGSSCCQSSCCKPCCCQS SCCKPCCCQSSCCKPCCCQSSCCKPCCCQSSCCKPCCSSGCGSSCCQDSC >ENSMUSP00000137356.1 pep:known chromosome:GRCm38:7:142296334:142297069:-1 gene:ENSMUSG00000066100.7 transcript:ENSMUST00000084413.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap5-1 description:keratin associated protein 5-1 [Source:MGI Symbol;Acc:MGI:1354732] MTCCGCSGGCGSSCGGCGSSCCKPVCCCVPVCSCSSCGGCGSSCGGCGSCGSSCGGCGSS CCKPVCCCVPVCSCSSCGGCKPCCCQSSCCKPCCSSGCGSSCCQSSCCKPCCCQSSCCKP CCCQSSCCKPCCSSGCGSSCCQSSCCKPCCCQSSCCKPCCCQSSCCKPCCCQSSCCKPCC CQSSCCKPWCCQGKI >ENSMUSP00000071941.2 pep:known chromosome:GRCm38:10:129669561:129670517:-1 gene:ENSMUSG00000058513.5 transcript:ENSMUST00000072063.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr801 description:olfactory receptor 801 [Source:MGI Symbol;Acc:MGI:3030635] MKNQSVEIIFILLGLTDDPQLQILIFLFLFFNYILSLMGNLVIILLTLLDPRLKTPMYFF LRNFSFLEIAFTTACIPRFLMSILTGDRTISYNACAAQFFFFSLLLVTEFYLLAAMSYDR YVAICRPLHYPIIMNNRMCHLLVLSCWVTGFLIILPPLVLGLKLDFCASKTIDHFLCDTS PLLQLSCTDTHFMELMAFVIALMTLVITLILVILSYTLIIKTILKFPSAQQRKKAFSTCS SHMVVVSITYGSCIFMYMKTSAKERVALNKGVSVLNTSVAPLLNPFIYTLRNQQVKDAFK QVLHRLYSHNSELRFRPK >ENSMUSP00000145011.1 pep:known chromosome:GRCm38:10:129670118:129673865:-1 gene:ENSMUSG00000058513.5 transcript:ENSMUST00000203424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr801 description:olfactory receptor 801 [Source:MGI Symbol;Acc:MGI:3030635] MGNLVIILLTLLDPRLKTPMYFFLRNFSFLEIAFTTACIPRFLMSILTGDRTISYNACAA QFFFFSLLLVTEFYLLAAMSYDRYVAICRPLHYPII >ENSMUSP00000142652.1 pep:known chromosome:GRCm38:5:146537647:146540427:1 gene:ENSMUSG00000096664.7 transcript:ENSMUST00000199142.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3409 description:predicted gene 3409 [Source:MGI Symbol;Acc:MGI:3781587] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLIHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYIPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKHNVPSSDVDRARELLSLL EDQEAIELQIEQDFATAPEPSEQDASGRQETLALRPASETEPQGDKQPREDPELVKGAVL DPSEPKATIELPPSLHQAVPTSDGTLSPVDVTADEATGVAADEAADVAADVSPARQLFVS YTVQLGTPDFVIPLPEVDI >ENSMUSP00000106229.2 pep:known chromosome:GRCm38:5:146537648:146540427:1 gene:ENSMUSG00000096664.7 transcript:ENSMUST00000110599.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3409 description:predicted gene 3409 [Source:MGI Symbol;Acc:MGI:3781587] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLIHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYIPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKHNVPSSDVDRARELLSLL EDQEAIELQIEQAPEPSEQDASGRQETLALRPASETEPQGDKQPREDPELVKGAVLDPSE PKATIELPPSLHQAVPTSDGTLSPVDVTADEATGVAADEAADVAADVSPARQLFVSYTVQ LGTPDFVIPLPEVDI >ENSMUSP00000106227.3 pep:known chromosome:GRCm38:5:146537648:146540249:1 gene:ENSMUSG00000096664.7 transcript:ENSMUST00000110597.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3409 description:predicted gene 3409 [Source:MGI Symbol;Acc:MGI:3781587] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLIHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYIPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKHNVPSSDVDRARELLSLL EDQEAIELQIEQAPEPSEQDASGRQETLALRPASETEPQGDKQPREDPELVKGAVLDPSE PKATIELPPSLHQAVPTSDGTLSPVDVTADEATDVAADVSPARQLFVSYTVQLGTPDFVI PLPEVDI >ENSMUSP00000037821.5 pep:known chromosome:GRCm38:11:114675431:114720977:1 gene:ENSMUSG00000034714.9 transcript:ENSMUST00000045779.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttyh2 description:tweety homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2157091] MPAARVEYIAPWWVVWLHSVPHLGLRLQRVDSTFSPGDETYQESLLFLGVLAAIGLGLNL IFLTVYLVCTCCCRRDHTVQTKQQESCCVTWTAVVAGLLCCAAVGVGFYGNSETNDGMHQ LIYSLDNANHTFSGMDELVSANTQRMKVDLEQHLARLSEIIAARGDYIQTLKFMQQMAGN VVSQLSGLPVWREVTTQLTKLSHQTAYVEYYRWLSYLLLFILDLVICLVTCLGLARRSKC LLASMLCCGILTLILSWASLAADAAAAVGTSDFCMAPDIYILNNTGSQINSEVTRYYLHC SQSLISPFQQSLTTFQRSLTTMQIQVGGLLQFAVPLFPTAEKDLLGIQLLLNNSEISLHQ LTAMLDCRGLHKDYLDALTGICYDGIEGLLFLGLFSLLAALAFSTLTCAGPRAWKYFINR DRDYDDIDDDDPFNPQARRIAAHNPTRGQLHSFCSYSSGLGSQCSLQPPSQTISNAPVSE YMNQAILFGGNPRYENVPLIGRGSPPPTYSPSMRPTYMSVADEHLRHYEFPS >ENSMUSP00000077747.6 pep:known chromosome:GRCm38:7:46195350:46238492:-1 gene:ENSMUSG00000030838.17 transcript:ENSMUST00000078680.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ush1c description:Usher syndrome 1C [Source:MGI Symbol;Acc:MGI:1919338] MDRKVAREFRHKVDFLIENDAEKDYLYDVLRMYHQTMDVAVLVGDLKLVINEPNRLPLFD AIRPLIPLKHQVEYDQLTPRRSRKLKEVRLDRLHPEGLGLSVRGGLEFGCGLFISHLIKG GQADSVGLQVGDEIVRINGYSISSCTHEEVINLIRTKKTVSIKVRHIGLIPVKSSPEESL KWQYVDQFVSESGGVRGGLGSPGNRTTKEKKVFISLVGSRGLGCSISSGPIQKPGIFVSH VKPGSLSAEVGLETGDQIVEVNGIDFTNLDHKEAVNVLKSSRSLTISIVAGAGRELFMTD RERLEEARQRELQRQELLMQKRLAMESNKILQEQQEMERQRRKEIAQKAAEENERYRKEM EQISEEEEKFKKQWKEDWGSKEQLILPKTITAEVHPVPLRKPKYDQGVEPADHLDGSTEE QRQQDFRKYEEGFDPYSMFSPEQIAGKDVRLLRIKKEGSLDLALEGGVDSPVGKVVVSAV YEGGAAERHGGVVKGDEIMAINGKIVTDYTLAEAEAALQKAWNQGGDWIDLVVAVCPPKE YDDELTFF >ENSMUSP00000119676.1 pep:known chromosome:GRCm38:7:46195357:46238490:-1 gene:ENSMUSG00000030838.17 transcript:ENSMUST00000143155.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ush1c description:Usher syndrome 1C [Source:MGI Symbol;Acc:MGI:1919338] MDRKVAREFRHKVDFLIENDAEKDYLYDVLRMYHQTMDVAVLVGDLKLVINEPNRLPLFD AIRPLIPLKHQVEYDQLTPRRSRKLKEVRLDRLHPEGLGLSVRGGLEFGCGLFISHLIKG GQADSVGLQVGDEIVRINGYSISSCTHEEVINLIRTKKTVSIKVRHIGLIPVKSSPEESL KWQYVDQFVSESGGVRGGLGSPGNRTTKEKKVFISLVGSRGLGCSISSGPIQKPGIFVSH VKPGSLSAEVGLETGDQIVEVNGIDFTNLDHKEAVNVLKSSRSLTISIVAGAGRELFMTD RERLEEARQRELQRQELLMQKRLAMESNKILQEQQEMERQRRKEIAQKAAEENERYRKEM EQISEEEEKFKKQWKEDWGSKEQLILPKTITAEVHPVPLRKPKSFGWFYRYDGKFPTIRK KAKEKKKAKYDSLQDLRKNKKELEFEQKLYKEKEEMLEKEKQLKINRLAQEVSETEREDL EESEKTQYWVERLCQTRLEQISSAENEIPEMTTGPPPPPPSVSPLAPPLRRFAGGIHLHT TDLDDIPLDMFYYPPKTPSALPVMPHPPSVNPPSKVPAPPVLPSSGHVSSSSSPWVQRTP PPIPIPPPPSIPTQDLTPTRPLPSALEEALGNHPFRTGDPGHPADDWEANTHSGKPSSSP TTERSFPPAPKTFCPSPQPPRGPGVSTISKPVMVHQEHNFVYRPAVKSEVLPQEMLKRMV VYQTAFRQDFRKYEEGFDPYSMFSPEQIAGKDVRLLRIKKEGSLDLALEGGVDSPVGKVV VSAVYEGGAAERHGGVVKGDEIMAINGKIVTDYTLAEAEAALQKAWNQGGDWIDLVVAVC PPKEYDDELRKPPAGPKAA >ENSMUSP00000009667.5 pep:known chromosome:GRCm38:7:46195357:46238503:-1 gene:ENSMUSG00000030838.17 transcript:ENSMUST00000009667.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ush1c description:Usher syndrome 1C [Source:MGI Symbol;Acc:MGI:1919338] MDRKVAREFRHKVDFLIENDAEKDYLYDVLRMYHQTMDVAVLVGDLKLVINEPNRLPLFD AIRPLIPLKHQVEYDQLTPRRSRKLKEVRLDRLHPEGLGLSVRGGLEFGCGLFISHLIKG GQADSVGLQVGDEIVRINGYSISSCTHEEVINLIRTKKTVSIKVRHIGLIPVKSSPEESL KWQYVDQFVSESGGVRGGLGSPGNRTTKEKKVFISLVGSRGLGCSISSGPIQKPGIFVSH VKPGSLSAEVGLETGDQIVEVNGIDFTNLDHKEAVNVLKSSRSLTISIVAGAGRELFMTD RERLEEARQRELQRQELLMQKRLAMESNKILQEQQEMERQRRKEIAQKAAEENERYRKEM EQISEEEEKFKKQWKEDWGSKEQLILPKTITAEVHPVPLRKPKSFGWFYRYDGKFPTIRK KAKEKKKAKYDSLQDLRKNKKELEFEQKLYKEKEEMLEKEKQLKINRLAQEVSETEREDL EESEKTQYWVERLCQTRLEQISSAENEIPEMTTGPPPPPPSVSPLAPPLRRFAGGIHLHT TDLDDIPLDMFYYPPKTPSALPVMPHPPSVNPPSKVPAPPVLPSSGHVSSSSSPWVQRTP PPIPIPPPPSIPTQDLTPTRPLPSALEEALGNHPFRTGDPGHPADDWEANTHSGKPSSSP TTERSFPPAPKTFCPSPQPPRGPGVSTISKPVMVHQEHNFVYRPAVKSEVLPQEMLKRMV VYQTAFRQDFRKYEEGFDPYSMFSPEQIAGKDVRLLRIKKEGSLDLALEGGVDSPVGKVV VSAVYEGGAAERHGGVVKGDEIMAINGKIVTDYTLAEAEAALQKAWNQGGDWIDLVVAVC PPKEYDDELSSLPSSAAESPQLARKQLEAYEPVCRHGFFLQLEPTNLLLKSRERNQTDPS WRPASSAPSP >ENSMUSP00000114494.2 pep:known chromosome:GRCm38:7:46195631:46238464:-1 gene:ENSMUSG00000030838.17 transcript:ENSMUST00000154292.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ush1c description:Usher syndrome 1C [Source:MGI Symbol;Acc:MGI:1919338] MDRKVAREFRHKVDFLIENDAEKDYLYDVLRMYHQTMDVAVLVGDLKLVINEPNRLPLFD AIRPLIPLKHQVEYDQLTPRRSRKLKEVRLDRLHPEGLGLSVRGGLEFGCGLFISHLIKG GQADSVGLQVGDEIVRINGYSISSCTHEEVINLIRTKKTVSIKVRHIGLIPVKSSPEESL KWQYVDQFVSESGGVRGGLGSPGNRTTKEKKVFISLVGSRGLGCSISSGPIQKPGIFVSH VKPGSLSAEVGLETGDQIVEVNGIDFTNLDHKEAVNVLKSSRSLTISIVAGAGRELFMTD RERLEEARQRELQRQELLMQKRLAMESNKILQEQQEMERQRRKEIAQKAAEENERYRKEM EQISEEEEKFKKQWKEDWGSKEQLILPKTITAEVHPVPLRKPKSFGWFYRYDGKFPTIRK KAKEKKKAKYDSLQDLRKNKKELEFEQKLYKEKEEMLEKEKQLKINRLAQEVSETEREDL EESEKTQYWVERLCQTRLEQISSAENEIPEMTTGPPPPPPSVSPLAPPLRRFAGGIHLHT TDLDDIPLDMFYYPPKTPSALPVMPHPPSVNPPSKVPAPPVLPSSGHVSSSSSPWVQRTP PPIPIPPPPSIPTQDLTPTRPLPSALEEALGNHPFRTGDPGHPADDWEANTHSGKPSSSP TTERSFPPAPKTFCPSPQPPRGPGVSTISKPVMVHQEHNFVYRPAVKSEVLPQEMLKRMV VYQTAFRQDFRKYEEGFDPYSMFSPEQIAGKDVRLLRIKKEGSLDLALEGGVDSPVGKVV VSAVYEGGAAERHGGVVKGDEIMAINGKIVTDYTLAEAEAALQKAWNQGGDWIDLVVAVC PPKEYDDELSSLPSSAAESPQLARKQLEAYEPVCRHGFFLQLEPTVTRTFF >ENSMUSP00000135734.1 pep:known chromosome:GRCm38:7:46195650:46238498:-1 gene:ENSMUSG00000030838.17 transcript:ENSMUST00000177212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ush1c description:Usher syndrome 1C [Source:MGI Symbol;Acc:MGI:1919338] MDRKVAREFRHKVDFLIENDAEKDYLYDVLRMYHQTMDVAVLVGDLKLVINEPNRLPLFD AIRPLIPLKHQVEYDQLTPRRSRKLKEVRLDRLHPEGLGLSVRGGLEFGCGLFISHLIKG GQADSVGLQVGDEIVRINGYSISSCTHEEVINLIRTKKTVSIKVRHIGLIPVKSSPEESL KWQYVDQFVSESGGVRGGLGSPGNRTTKEKKVFISLVGSRGLGCSISSGPIQKPGIFVSH VKPGSLSAEVGLETGDQIVEVNGIDFTNLDHKEGRELFMTDRERLEEARQRELQRQELLM QKRLAMESNKILQEQQEMERQRRKEIAQKAAEENERYRKEMEQISEEEEKFKKQWKEDWG SKEQLILPKTITAEVHPVPLRKPKYDQGVEPADHLDGSTEEQRQQDFRKYEEGFDPYSMF SPEQIAGKDVRLLRIKKEGSLDLALEGGVDSPVGKVVVSAVYEGGAAERHGGVVKGDEIM AINGKIVTDYTLAEAEAALQKAWNQGGDWIDLVVAVCPPKEYDDELTFF >ENSMUSP00000134783.1 pep:known chromosome:GRCm38:7:46195651:46230314:-1 gene:ENSMUSG00000030838.17 transcript:ENSMUST00000176371.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ush1c description:Usher syndrome 1C [Source:MGI Symbol;Acc:MGI:1919338] MYHQTMDVAVLVGDLKLVINEPNRLPLFDAIRPLIPLKHQVEYDQLTPRRSRKLKEVRLD RLHPEGLGLSVRGGLEFGCGLFISHLIKGGQADSVGLQVGDEIVRINGYSISSCTHEEVI NLIRTKKTVSIKVRHIGLIPVKSSPEESLKWQYVDQFVSESGGVRGGLGSPGNRTTKEKK VFISLVGSRGLGCSISSGPIQKPGIFVSHVKPGSLSAEVGLETGDQIVEVNGIDFTNLDH KEAVNVLKSSRSLTISIVAGAGRELFMTDRERLEEARQRELQRQELLMQKRLAMESNKIL QEQQEMERQRRKEIAQKAAEENERYRKEMEQISEEEEKFKKQWKEDWGSKEQLILPKTIT AEVHPVPLRKPKYDQGVEPADHLDGSTEEQRQQDFRKYEEGFDPYSMFSPEQIAGKDVRL LRIKKEGSLDLALEGGVDSPVGKVVVSAVYEGGAAERHGGVVKGDEIMAINGKIVTDYTL AEAEAALQKAWNQGGDWIDLVVAVCPPKEYDDELTFF >ENSMUSP00000115383.1 pep:known chromosome:GRCm38:2:111088372:111105982:-1 gene:ENSMUSG00000079170.3 transcript:ENSMUST00000127918.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13941 description:predicted gene 13941 [Source:MGI Symbol;Acc:MGI:3651375] GSESFQSSDTMQDLPLCHIRPSSIPMDLLNEGGKEAELKDELMKNQNHLESTTHISDSVM HLPSRHIPHSSTGISTRVTNPPKAGEEEDLHMKLEENQINIDGNESIQSYDNVKHLQPRG IRHLLMGLIPRSMNILSRRGKGSHKKCAPCENPIEMKEFDPNAKLERQEIKTFTSVMLED DQNLCDGSKNNQPLVEATLKKWTSQKTEDAAQFCDCALAATAHDVSTASVVAAIVAATVV AAAAP >ENSMUSP00000112919.1 pep:known chromosome:GRCm38:9:122117339:122169702:1 gene:ENSMUSG00000038145.16 transcript:ENSMUST00000120173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrk description:SNF related kinase [Source:MGI Symbol;Acc:MGI:108104] MAGFKRGYDGKIAGLYDLDKTLGRGHFAVVKLARHVFTGEKVAVKVIDKTKLDTLATGHL FQEVRCMKLVQHPNIVRLYEVIDTQTKLYLILELGDGGDMFDYIMKHEEGLNEDLAKKYF AQIVHAISYCHKLHVVHRDLKPENVVFFEKQGLVKLTDFGFSNKFQPGKKLTTSCGSLAY SAPEILLGDEYDAPAVDIWSLGVILFMLVCGQPPFQEANDSETLTMIMDCKYTVPPRVSA GCRDLITRMLQRDPKRRASLEEIESHPWLQGVDPSPATKYNIPLVSYKNLSEEEHNSIIQ RMVLGDIADRDAIVEALETNRYNHITATYFLLAERILREKQEKEIQTRSASPSNIKAQFR QSWPTKIDVPQDLEDDLTATPLSHATVPQSPARAGDNVLNGHRSKGLCDPAKKDELPELA GPALSTVPPASMKPAASGRKCLFRVEEDEEEDEEDKKPVSLSTQVVLRRKPSVTNRLTSR KSAPVLNQIFEEGESDDEFDMDENLPPKLSRLKMNIASPGTVHKRYHRRKSQGRGSSCSS SETSDDDSESRRRLDKDSGFAYSWHRRDSSEGPPGSEGDGGGQSKPSSGGGVDKASPGEQ GTGGGSQGGSGGTPSGTAGSSRRCAGPDSSSPSPASASAAPRGAELVQSLKLVSLCLGSQ LHGAKYILDPQKALFSSVKVQEKSTWKMCISAPGPSPSADLDPVRTKKLRNNALQLPLCE KTISVNIQRSRKEGLLCASSPASCCHVI >ENSMUSP00000119878.1 pep:known chromosome:GRCm38:9:122117347:122166389:1 gene:ENSMUSG00000038145.16 transcript:ENSMUST00000134949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrk description:SNF related kinase [Source:MGI Symbol;Acc:MGI:108104] MAGFKRGYDGKIAGLYDLDKTLGRGHFAVVKLARHVFTGEKVAVKVIDKTKLDTLATGHL FQEVRCMKLVQHPNIVRLYEVIDTQTKLYLILELGDGGDMFDYIMKHEEGLNEDLAKKYF AQIVHAISYCHKLHVVHRDLKPENVVFFEKQGLVKLTDFGFSNKFQPGKKLTTSCGSLAY SAPEILLGDEYDAPAVDIWSLGVILFMLVCGQPPFQEANDSETLTMIMDCKYTVPPRVSA GCRDLITRMLQRDPKRRASLEEIESHPWLQGVDPSPATKYNIPLVSYKNLSEEEHNSIIQ RMVLGDIADRDAIVEALETNRYNHITATYFLLAERILREKQEKEIQTRSASPSNIKAQFR QSWPTKIDVPQDLEDDLTATPLSHATVPQSPARAGDNVLNGHRSKGLCDPA >ENSMUSP00000114132.1 pep:known chromosome:GRCm38:9:122117375:122168067:1 gene:ENSMUSG00000038145.16 transcript:ENSMUST00000118886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrk description:SNF related kinase [Source:MGI Symbol;Acc:MGI:108104] MAGFKRGYDGKIAGLYDLDKTLGRGHFAVVKLARHVFTGEKVAVKVIDKTKLDTLATGHL FQEVRCMKLVQHPNIVRLYEVIDTQTKLYLILELGDGGDMFDYIMKHEEGLNEDLAKKYF AQIVHAISYCHKLHVVHRDLKPENVVFFEKQGLVKLTDFGFSNKFQPGKKLTTSCGSLAY SAPEILLGDEYDAPAVDIWSLGVILFMLVCGQPPFQEANDSETLTMIMDCKYTVPPRVSA GCRDLITRMLQRDPKRRASLEEIESHPWLQGVDPSPATKYNIPLVSYKNLSEEEHNSIIQ RMVLGDIADRDAIVEALETNRYNHITATYFLLAERILREKQEKEIQTRSASPSNIKAQFR QSWPTKIDVPQDLEDDLTATPLSHATVPQSPARAGDNVLNGHRSKGLCDPAKKDELPELA GPALSTVPPASMKPAASGRKCLFRVEEDEEEDEEDKKPVSLSTQVVLRRKPSVTNRLTSR KSAPVLNQIFEEGESDDEFDMDENLPPKLSRLKMNIASPGTVHKRYHRRKSQGRGSSCSS SETSDDDSESRRRLDKDSGFAYSWHRRDSSEGPPGSEGDGGGQSKPSSGGGVDKASPGEQ GTGGGSQGGSGGTPSGTAGSSRRCAGPDSSSPSPASASAAPRGAELVQSLKLVSLCLGSQ LHGAKYILDPQKALFSSVKVQEKSTWKMCISAPGPSPSADLDPVRTKKLRNNALQLPLCE KTISVNIQRSRKEGLLCASSPASCCHVI >ENSMUSP00000113906.1 pep:known chromosome:GRCm38:9:122117359:122137131:1 gene:ENSMUSG00000038145.16 transcript:ENSMUST00000119215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrk description:SNF related kinase [Source:MGI Symbol;Acc:MGI:108104] MAGFKRGYDGKIAGLYDLDKTLGRGHFAVVKLARHVFTG >ENSMUSP00000117994.1 pep:known chromosome:GRCm38:9:122117283:122137176:1 gene:ENSMUSG00000038145.16 transcript:ENSMUST00000146832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrk description:SNF related kinase [Source:MGI Symbol;Acc:MGI:108104] MAGFKRGYDGKIAGLYDLDKTLGRGHFAVVKLARHVFTGEKVAVKVIDKTKLDTL >ENSMUSP00000120582.1 pep:known chromosome:GRCm38:9:122117310:122137284:1 gene:ENSMUSG00000038145.16 transcript:ENSMUST00000139181.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrk description:SNF related kinase [Source:MGI Symbol;Acc:MGI:108104] MAGFKRGYDGKIAGLYDLDKTLGRGHFAVVKLARHVFTGEKVAVKVIDKTKLDTLATGHL FQEVRCMKLVQHPNIVRLYEVIDTQTKLYL >ENSMUSP00000075011.6 pep:known chromosome:GRCm38:8:123952459:123983122:-1 gene:ENSMUSG00000031974.8 transcript:ENSMUST00000075578.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb10 description:ATP-binding cassette, sub-family B (MDR/TAP), member 10 [Source:MGI Symbol;Acc:MGI:1860508] MRAPSARALLLIPRRGPAVRAWAPAVSSRIWLASEWTPLVRAWTSLIHKPGSGLRFPAPL SGLPGGVGQWATSSGARRCWVLAGPRAAHPLFARLQGAAATGVRDLGNDSQRRPAATGRS EVWKLLGLVRPERGRLSAAVGFLAVSSVITMSAPFFLGRIIDVIYTNPSEGYGDSLTRLC AVLTCVFLCGAAANGIRVYLMQSSGQSIVNRLRTSLFSSILRQEVAFFDKTRTGELINRL SSDTALLGRSVTENLSDGLRAGAQASVGVGMMFFVSPSLATFVLSVVPPISVLAVIYGRY LRKLSKATQDSLAEATQLAEERIGNIRTIRAFGKEMTEVEKYTGRVDQLLQLAQKEALAR AGFFGAAGLSGNLIVLSVLYKGGLLMGSAHMTVGELSSFLMYAFWVGLSIGGLSSFYSEL MKGLGAGGRLWELLERQPRLPFNEGMVLDEKTFQGALEFRNVHFTYPARPEVSVFQDFSL SIPSGSVTALVGPSGSGKSTVVSLLLRLYDPNSGTVSLDGHDIRQLNPVWLRSKIGTVSQ EPVLFSCSVAENIAYGADNLSSVTAQQVERAAEVANAAEFIRSFPQGFDTVVGEKGILLS GGQKQRIAIARALLKNPKILLLDEATSALDAENEHLVQEALDRLMEGRTVLIIAHRLSTI KNANFVAVLDHGKICEHGTHEELLLKPNGLYRKLMNKQSFLSYNGAEQFLEPARA >ENSMUSP00000033383.2 pep:known chromosome:GRCm38:X:20703906:20720539:1 gene:ENSMUSG00000031066.10 transcript:ENSMUST00000033383.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp11 description:ubiquitin specific peptidase 11 [Source:MGI Symbol;Acc:MGI:2384312] MAAVAADPAAAAVPASAEDRDTQPEAMPDLDEQWRQIGNGRERPLRAGESWFLVEKHWYK QWEVYVKGGDQDASTFPGCINNAGLFEDQISWHLRERLLEGDDYVLLPAPAWNYMVSWYG LMDGQPPIERKVIELPGIRKVEVYPLELLLVQHSDMETALTIQFSYTDSVELVLQTAREQ FLVEPQEDTRLWTKNSEGSLDRLCNTQITLLDACLETGQLVIMETRNKDGTWPSAQLCGM NNIPDEDEDFQGQPGICGLTNLGNTCFMNSALQCLSNVPQLTEYFLNNRYLEELNFRNPL GMKGELAEAYADLVKQTWSGYHRSIVPNVFKNKVGHFASQFLGYQQHDSQELLSFLLDGL HEDLNRVKKKEYVELCNGAGRPDLEVAQEAWQNHKRRNDSVIVDTFHGLFKSTLVCPDCG NVSVTFDPFCYLSVPLPVCSRRVLEVFFVPMDPRRKPEQHRVVVPKKGNISDLCVALSTH TSVAPDKMIVADVFSHRFYKLYQLEDPLSGILDRDDIFVYEVTGRIEPVEGSRDDIVVPV YLRERTPSRDYNNSYYGLILFGHPLLVSVPRDRFSWEGLYNILMYRLSRYVTKPTSDEDD GDEKVDEDEDEDVEDDSSSEEEKEEMSAPTVNDGTREAEQEQAGTSSGVTERCPSLLDNS LRASQWPPRRRRKQLFTLQTVNSNGTSDRTTSPEEMQTQPYIAMDWEPDMKRRYYDEVEA EGYVKHDCVGYMLKKSPVQLKECIKLFTTVETLEKENPWYCSSCKQHQLATKKLDLWMLP EVLIIHLKRFSFSKISREKLDTLVQFPIRDLDFSEFVIKPKNESSPDLYKYDLIAVSNHY GGMRDGHYTTFACNKDSGQWHYFDDNSVSPVNENQIESKAAYVLFYQRQDVGRRQSQTSS SDTPASPVSSSTPNSDIMDIN >ENSMUSP00000078721.4 pep:known chromosome:GRCm38:16:32430924:32475070:1 gene:ENSMUSG00000005615.14 transcript:ENSMUST00000079791.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyt1a description:phosphate cytidylyltransferase 1, choline, alpha isoform [Source:MGI Symbol;Acc:MGI:88557] MDAQSSAKVNSRKRRKEAPGPNGATEEDGIPSKVQRCAVGLRQPAPFSDEIEVDFSKPYV RVTMEEACRGTPCERPVRVYADGIFDLFHSGHARALMQAKNLFPNTYLIVGVCSDELTHN FKGFTVMNENERYDAVQHCRYVDEVVRNAPWTLTPEFLAEHRIDFVAHDDIPYSSAGSDD VYKHIKDAGMFAPTQRTEGISTSDIITRIVRDYDVYARRNLQRGYTAKELNVSFINEKKY HLQERVDKVKKKVKDVEEKSKEFVQKVEEKSIDLIQKWEEKSREFIGSFLEMFGPEGALK HMLKEGKGRMLQAISPKQSPSSSPTHERSPSPSFRWPFSGKTSPSSSPASLSRCRAVTCD ISEDEED >ENSMUSP00000110790.1 pep:known chromosome:GRCm38:16:32430921:32474704:1 gene:ENSMUSG00000005615.14 transcript:ENSMUST00000115137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyt1a description:phosphate cytidylyltransferase 1, choline, alpha isoform [Source:MGI Symbol;Acc:MGI:88557] MDAQSSAKVNSRKRRKEAPGPNGATEEDGIPSKVQRCAVGLRQPAPFSDEIEVDFSKPYV RVTMEEACRGTPCERPVRVYADGIFDLFHSGHARALMQAKNLFPNTYLIVGVCSDELTHN FKGFTVMNENERYDAVQHCRYVDEVVRNAPWTLTPEFLAEHRIDFVAHDDIPYSSAGSDD VYKHIKDAGMFAPTQRTEVKRVCAEGGREEHRPHPEVGGEVPRVHWKFPGNVWSRRSTEA HAEGGKRSDAAGHQSQAEPQQQPYS >ENSMUSP00000110793.1 pep:known chromosome:GRCm38:16:32431230:32472037:1 gene:ENSMUSG00000005615.14 transcript:ENSMUST00000115140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyt1a description:phosphate cytidylyltransferase 1, choline, alpha isoform [Source:MGI Symbol;Acc:MGI:88557] MDAQSSAKVNSRKRRKEAPGPNGATEEDGIPSKVQRCAVGLRQPAPFSDEIEVDFSKPYV RVTMEEACRGTPCERPVRVYADGIFDLFHSGHARALMQAKNLFPNTYLIVGVCSDELTHN FKGFTVMNENERYDAVQHCRYVDEVVRNAPWTLTPEFLAEHRIDFVAHDDIPYSSAGSDD VYKHIKDAGMFAPTQRTEGISTSDIITRIVRDYDVYARRNLQRGYTAKELNVSFINEKKY HLQERVDKVKKKVKDVEEKSKEFVQKVEEKSIDLIQKWEEKSREFIGSFLEMFGPEGALK HMLKEGKGRMLQAISPKQSPSSSPTHERSPSPSFRWPFSGKTSPSSSPASLSRCRAVTCD ISEDEED >ENSMUSP00000130056.1 pep:known chromosome:GRCm38:16:32431020:32475065:1 gene:ENSMUSG00000005615.14 transcript:ENSMUST00000104893.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyt1a description:phosphate cytidylyltransferase 1, choline, alpha isoform [Source:MGI Symbol;Acc:MGI:88557] MDAQSSAKVNSRKRRKEAPGPNGATEEDGIPSKVQRCAVGLRQPAPFSDEIEVDFSKPYV RVTMEEACRGTPCERPVRVYADGIFDLFHSGHARALMQAKNLFPNTYLIVGVCSDELTHN FKGFTVMNENERYDAVQHCRYVDEVVRNAPWTLTPEFLAEHRIDFVAHDDIPYSSAGSDD VYKHIKDAGMFAPTQRTEGISTSDIITRIVRDYDVYARRNLQRGYTAKELNVSFINEKKY HLQERVDKVKKKVKDVEEKSKEFVQKVEEKSIDLIQKWEEKSREFIGSFLEMFGPEGALK HMLKEGKGRMLQAISPKQSPSSSPTHERSPSPSFRWPFSGKTSPSSSPASLSRCRAVTCD ISEDEED >ENSMUSP00000032856.6 pep:known chromosome:GRCm38:7:89632703:89854359:1 gene:ENSMUSG00000030621.17 transcript:ENSMUST00000032856.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Me3 description:malic enzyme 3, NADP(+)-dependent, mitochondrial [Source:MGI Symbol;Acc:MGI:1916679] MGAALGTGARLTSVPRIACSSLRRQAPSAPAQGCHSKSGPPRPVPLKKRGYDVTRNPHLN KGMAFTLEERLQLGIHGLIPPCFLSQDVQLLRIMRYYENQQSDLDKYIILMTLQDRNEKL FYRVLTSDVEKFMPIVYTPTVGLACQHYGLTFRRPRGLFITIHDKGHIATMLNSWPEDNI KAVVVTDGERILGLGDLGCYGMGIPVGKLALYTACGGVNPQQCLPVLLDVGTNNEELLRD PLYIGLKHQRVRGEEYDDLLDEFMQAVTDKFGINCLIQFEDFANANAFRLLNKYRNKYCM FNDDIQGTASVAVAGILAALRITKNRLSNHVFVFQGAGEAAMGIAHLLVMALEKEGIPKT EAIKKIWMVDSKGLIVKGRSHLNHEKEMFAQDHPEVNSLEEVVRLVKPTAIIGVAAIAGA FTEQILRDMASFHERPIVFALSNPTSKAECTAEKCYRVTEGRGIFASGSPFKSVTLEDGR TFTPGQGNNAYVFPGVALGVIAGGIRHIPDEIFLLTAEQIAQEVSEQHLSQGRLYPPLST IRDVSLRIAVKVLDYAYKHNLASYYPEPKDKEAFVKSLIYTPDYDSFSLDTYSWPKEAMS VQKV >ENSMUSP00000146505.1 pep:known chromosome:GRCm38:7:89736731:89818433:1 gene:ENSMUSG00000030621.17 transcript:ENSMUST00000159491.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Me3 description:malic enzyme 3, NADP(+)-dependent, mitochondrial [Source:MGI Symbol;Acc:MGI:1916679] XYENQQSDLDKYIILMTLQDRNEKLFYRVLTSDVEKFMPIVYTPTVGLACQHYGLTFRRP RDGTPCVDWSL >ENSMUSP00000109679.2 pep:known chromosome:GRCm38:2:26842503:26856798:-1 gene:ENSMUSG00000015787.15 transcript:ENSMUST00000114045.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abo description:ABO blood group (transferase A, alpha 1-3-N-acetylgalactosaminyltransferase, transferase B, alpha 1-3-galactosyltransferase) [Source:MGI Symbol;Acc:MGI:2135738] MIDLRLGRPKCNFLHLGILPFAVFVLVFFGYLFLSFRSQNLGHPGAVTRNAYLQPRVLKP TRKDVLVLTPWLAPIIWEGTFNIDILNEQFRIRNTTIGLTVFAIKKYVVFLKLFLETAEQ HFMVGHKVIYYVFTDRPADVPQVILGAGRQLVVLTVRNYTRWQDVSMHRMEMISHFSERR FLREVDYLVCADADMKFSDHVGVEILSTFFGTLHPGFYSSSREAFTYERRPQSQAYIPWD RGDFYYGGAFFGGSVLEVYHLTKACHEAMMEDKANGIEPVWHDESYLNKYLLYHKPTKVL SPEYLWDQQLLGWPSIMKKLRYVAVPKDHQAIRN >ENSMUSP00000099964.1 pep:known chromosome:GRCm38:2:26842503:26864979:-1 gene:ENSMUSG00000015787.15 transcript:ENSMUST00000102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abo description:ABO blood group (transferase A, alpha 1-3-N-acetylgalactosaminyltransferase, transferase B, alpha 1-3-galactosyltransferase) [Source:MGI Symbol;Acc:MGI:2135738] MNLRGRPKCNFLHLGILPFAVFVLVFFGYLFLSFRSQNLGHPGAVTRNAYLQPRVLKPTR KDVLVLTPWLAPIIWEGTFNIDILNEQFRIRNTTIGLTVFAIKKYVVFLKLFLETAEQHF MVGHKVIYYVFTDRPADVPQVILGAGRQLVVLTVRNYTRWQDVSMHRMEMISHFSERRFL REVDYLVCADADMKFSDHVGVEILSTFFGTLHPGFYSSSREAFTYERRPQSQAYIPWDRG DFYYGGAFFGGSVLEVYHLTKACHEAMMEDKANGIEPVWHDESYLNKYLLYHKPTKVLSP EYLWDQQLLGWPSIMKKLRYVAVPKDHQAIRN >ENSMUSP00000112836.1 pep:known chromosome:GRCm38:10:81084324:81098874:-1 gene:ENSMUSG00000035041.8 transcript:ENSMUST00000117422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb3l3 description:cAMP responsive element binding protein 3-like 3 [Source:MGI Symbol;Acc:MGI:2384786] MDGDIAAGKMASPVCAMAPLDSMEVLDLLFDRQDGILRNVELAEGWILAREEQKVLLNSD SDEFLNCILGPGDSDPSSPLWSPADSDSGISEDLPSDPQDTPPRSGTEPANTVARCHTRE QGKGPCPSYLPSTPCPEPPRTQVQESSVAIDLDMWSTDTLYPEEPAGSPSRFNLTVKELL LSGGSGDLQQHSLAASQLLGPGSGHCQELVLTEDEKKLLAKEGVTLPTQLPLTKYEERVL KKIRRKIRNKQSAQESRKKKKEYIDGLENRMSACTAQNQELQRKVLHLEKQNLSLLEQLK HLQALVVQSTSKPAHAGTCIAVLLLSFALIILPSISPFNSNKVDSPGDFVPVRVFSRTLH NHAASRVAPDVTPGSEVPGPWPDVGTPHKGPSSGGLSADWGNFLEIPMLDNLTEELDNST LVLANSTEDLGRATLLDWVASEPLLSPGRVGLEIPGEMWLSWVPRWLRVRLVQDALGVL >ENSMUSP00000092038.5 pep:known chromosome:GRCm38:2:150749042:150771675:1 gene:ENSMUSG00000033068.16 transcript:ENSMUST00000094467.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd6 description:ectonucleoside triphosphate diphosphohydrolase 6 [Source:MGI Symbol;Acc:MGI:1202295] MRKIPNHGTLRMTKVAYPLGLCVGLFIYVAYIKWHRASAAQAFFTIAGAASGARWTQQAF SSPGSAARGHEVFYGIMFDAGSTGTRIHVFQFARPPGETPTLTHETFKALKPGLSAYADD VEKSAQGIQELLNVAKQHIPYDFWKATPLVLKATAGLRLLPGEKAQKLLQKVKEVFKASP FLVGDDCVSIMNGTDEGVSAWITVNFLTGSLKTPGSSSVGMLDLGGGSTQITFLPRVEGT LQASPPGHLTALQMFNRTYKLYSYSYLGLGLMSARLAILGGVEGKPAENDKELVSPCLSP RFRGEWEHAEVTYRISGQKAVGLYELCASRVSEVLRNKVHRTEEAQHVDFYAFSYYYDLA ASFGLIDAEKGGSLVVGDFEIAAKYVCRTLETQPPSSPFACMDLTYISLLLHEFGFPGDK VLKLARKIDNVETSWALGAIFHYIDSLKRQKVPAL >ENSMUSP00000136434.1 pep:known chromosome:GRCm38:5:146556136:146558915:1 gene:ENSMUSG00000095557.7 transcript:ENSMUST00000179214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3415 description:predicted gene 3415 [Source:MGI Symbol;Acc:MGI:3781593] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLTHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYIPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKHNVPSSDVDRARELLSVL EDQEAIELQIEQAPEPSEQDASGRQETLAPRPASETEPQGDKQPREDPELVKGAVLDPSE PKATIELPPSLHQAVPTSDGTLSPVDVTADEATGVAADEAADVAADVSPARQLFVSYTVQ LGTPDFVIPLPEVDI >ENSMUSP00000106225.2 pep:known chromosome:GRCm38:5:146556164:146558737:1 gene:ENSMUSG00000095557.7 transcript:ENSMUST00000110595.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3415 description:predicted gene 3415 [Source:MGI Symbol;Acc:MGI:3781593] MFSCFQGSRGSGHKKAKSGFLVRFWRRLIRPLTHFRHASHSEPKVCSQNEQEPDSLPKRP QFNYDSQEYVVQMIHYIPAAIQKRDHICITIFLGVYRTYASTWEVLDLLMRTYASFRPDC IKDQQTKRAIFRFLFGWFKKYPQDFYESPDLAVVRQFIDYVKHNVPSSDVDRARELLSVL EDQEAIELQIEQAPEPSEQDASGRQETLAPRPASETEPQGDKQPREDPELVKGAVLDPSE PKATIELPPSLHQAVPTSDGTLSPVDVTADEATDVAADVSPARQLFVSYTVQLGTPDFVI PLPEVDI >ENSMUSP00000018810.3 pep:known chromosome:GRCm38:11:96789127:96803969:1 gene:ENSMUSG00000018666.13 transcript:ENSMUST00000018810.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx1 description:chromobox 1 [Source:MGI Symbol;Acc:MGI:105369] MGKKQNKKKVEEVLEEEEEEYVVEKVLDRRVVKGKVEYLLKWKGFSDEDNTWEPEENLDC PDLIAEFLQSQKTAHETDKSEGGKRKADSDSEDKGEESKPKKKKEESEKPRGFARGLEPE RIIGATDSSGELMFLMKW >ENSMUSP00000137834.1 pep:known chromosome:GRCm38:11:96789137:96808149:1 gene:ENSMUSG00000018666.13 transcript:ENSMUST00000134585.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cbx1 description:chromobox 1 [Source:MGI Symbol;Acc:MGI:105369] MGKKQNKKKVEEVLEEEEEEYVVEKVLDRRVVKGKVEYLLKWKGFSDEDNTWEPEENLDC PDLIAEFLQSQKTAHETDKSEGGKRKADSDSEDKGEESKPKKKKEESEKPRGFARGLEPE RIIGATDSSGELMFLMKW >ENSMUSP00000091475.3 pep:known chromosome:GRCm38:11:96789159:96808640:1 gene:ENSMUSG00000018666.13 transcript:ENSMUST00000093943.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx1 description:chromobox 1 [Source:MGI Symbol;Acc:MGI:105369] MGKKQNKKKVEEVLEEEEEEYVVEKVLDRRVVKGKVEYLLKWKGFSDEDNTWEPEENLDC PDLIAEFLQSQKTAHETDKSEGGKRKADSDSEDKGEESKPKKKKEESEKPRGFARGLEPE RIIGATDSSGELMFLMKWKNSDEADLVPAKEANVKCPQVVISFYEERLTWHSYPSEDDDK KDDKN >ENSMUSP00000078640.3 pep:known chromosome:GRCm38:11:96789249:96808540:1 gene:ENSMUSG00000018666.13 transcript:ENSMUST00000079702.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx1 description:chromobox 1 [Source:MGI Symbol;Acc:MGI:105369] MGKKQNKKKVEEVLEEEEEEYVVEKVLDRRVVKGKVEYLLKWKGFSDEDNTWEPEENLDC PDLIAEFLQSQKTAHETDKSEGGKRKADSDSEDKGEESKPKKKKEESEKPRGFARGLEPE RIIGATDSSGELMFLMKWSSSRVAQSGLEL >ENSMUSP00000122787.1 pep:known chromosome:GRCm38:7:127132232:127137814:-1 gene:ENSMUSG00000051457.7 transcript:ENSMUST00000143713.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spn description:sialophorin [Source:MGI Symbol;Acc:MGI:98384] MALHLLLLFGACWVQVASPDSLQRTTMLPSTPHITAPSTSEAQNASPSVSVGSGTVDSKE TISPWGQTTIPVSLTPLETTELSSLETSAGASMSTPVPEPTASQEVSSKTSALLPEPSNV ASDPPVTAANPVTDGPAANPVTDGTAASTSISKGTSAPPTTVTTSSNETSGPSVATTVSS KTSGPPVTTATGSLGPSSEMHGLPATTATSSVESSSVARGTSVSSRKTSTTSTQDPITTR SPSQESSGMLLVPMLIALVVVLALVALLLLWRQRQKRRTGALTLSGGGKRNGVVDAWAGP ARVPDEEATTTSGAGGNKGSEVLETEGSGQRPTLTTFFSRRKSRQGSLVLEELKPGSGPN LKGEEEPLVGSEDEAVETPTSDGPQAKDEAAPQSL >ENSMUSP00000145637.1 pep:known chromosome:GRCm38:7:127133470:127136566:-1 gene:ENSMUSG00000051457.7 transcript:ENSMUST00000205483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spn description:sialophorin [Source:MGI Symbol;Acc:MGI:98384] XALVVVLALVALLLLWRQRQKRRTGALTLSGGGKRNGVVDAWAGPARVPDEEATTTSGAG GNKGSEVLETEGSGQRPTLTTFFSRRKSRQGSLVLEELKPGSGPNLKGEEEPLVGSEDEA VETPTSDGPQAKDEAAPQSL >ENSMUSP00000049534.5 pep:known chromosome:GRCm38:7:127133702:127137823:-1 gene:ENSMUSG00000051457.7 transcript:ENSMUST00000049931.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spn description:sialophorin [Source:MGI Symbol;Acc:MGI:98384] MALHLLLLFGACWVQVASPDSLQRTTMLPSTPHITAPSTSEAQNASPSVSVGSGTVDSKE TISPWGQTTIPVSLTPLETTELSSLETSAGASMSTPVPEPTASQEVSSKTSALLPEPSNV ASDPPVTAANPVTDGPAANPVTDGTAASTSISKGTSAPPTTVTTSSNETSGPSVATTVSS KTSGPPVTTATGSLGPSSEMHGLPATTATSSVESSSVARGTSVSSRKTSTTSTQDPITTR SPSQESSGMLLVPMLIALVVVLALVALLLLWRQRQKRRTGALTLSGGGKRNGVVDAWAGP ARVPDEEATTTSGAGGNKGSEVLETEGSGQRPTLTTFFSRRKSRQGSLVLEELKPGSGPN LKGEEEPLVGSEDEAVETPTSDGPQAKDEAAPQSL >ENSMUSP00000145858.1 pep:known chromosome:GRCm38:7:98587137:98656779:-1 gene:ENSMUSG00000035401.9 transcript:ENSMUST00000205276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emsy description:EMSY, BRCA2-interacting transcriptional repressor [Source:MGI Symbol;Acc:MGI:1924203] MPVVWPTLLDLSRDECKRILRKLELEAYAGVISALRAQGDLTKEKKDLLGELSKVLSIST ERHRAEVRRAVNDERLTTIAHKMNLSLYLGERPSYSMSGPNSSSEWSIEGRRLVPLMPRL VPQTAFTVTANAVANAAVQHNASLPVPAETASKDGVSCSDEDEKPRKRRRTNSSSSSPVV LKEVPKAVVPVSKTITVPVSGSPKMSNIMQSIANSLPPHMSPVKITFTKPSTQTTNTTTQ KVIIVTTSPSSTFVPNILSKSHNYAAVTKLVPTSVIASTTQKPPVVITASQASLVTSSSN GNSSSTSSPISSTVAVTTVVSSTPSVVMSTVAQGVSTSAIKVASTRLPSPKSLVSGPTQI LAQFPKQHQQSPKQQLQQVQQQTQQPVAQPSSVSQQQQPQQSALPPGIKPTIQIKQESGV KIITQQVQPSKILPKPVTATLPTSSNSPIMVVSSNGAIMTTKLVTTPTGTQATYTRPTVS PSLGRVATTPGAATYVKTTSGSIITVVPKSLATLGGKIISSNIVSGTTTKITTIPMTSKP NVIVVQKTTGKGTTIQGLPGKNVVTTLLNAGGEKTLQTVPAGAKPAIITATRPITKMIVT QPKGIGSAVQPAAKIIPTKIVYGQQGKTQVLIKPKPVTFQATVVSEQTRQLVTETLQQAS RVADASNSSAQEGKEEPQGYTDSSSSSTESSQSSQDSQPVVHVIASRRQDWSEHEIAMET SPTIIYQDVSSESQSATSTIKALLELQQTTVKEKLESKPRQPTIDLSQMAVPIQMTQEKR HSPESPSIAVVESELVAEYITTVSHRSQPQQPSQPQRTLLQHVAQSQTATQTSVVVKSIP ASSPGAITHIMQQALSSHTAFTKHSEELGTEEGEVEEMDTLDPQTGLFYRSALTQSQSTK QQKLSQPQLEQTQLQVKTLQCFQTKQKQTIHLQADQLQHKLTQMPQLSIRHQKLNPLQQE QAQPKPDAQHTQHTVVAKDRQLPTLMAQPPQTVVQVLAVKTTQQLPKLQQAPNQPKIYVQ PQTPQSQMALPSSEKQPASQVEQPIITQGSSVTKITFEGRQPPTVTKITGGSSVPKLTSP VTSISPIQASEKTAVSDILQMSLMEAQIDTNVEHMVVDPPKKALATNVLTGEAGALPSTH VVVAGMTKCRESCSSPSAVGPPLTTRKIEAAGVPTTGQFMRIQNVGQKKAEESPTEIIIQ AIPQYAIPCHSSSNVVVEPSGLLELNNFTSQQLDDDETAMEQDIDSSTEDGTEPSPSQSA VERS >ENSMUSP00000146011.1 pep:known chromosome:GRCm38:7:98588936:98656546:-1 gene:ENSMUSG00000035401.9 transcript:ENSMUST00000205911.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emsy description:EMSY, BRCA2-interacting transcriptional repressor [Source:MGI Symbol;Acc:MGI:1924203] MPVVWPTLLDLSRDECKRILRKLELEAYAGVISALRAQGDLTKEKKDLLGELSKVLSMSG PNSSSEWSIEGRRLVPLMPRLVPQTAFTVTANAVANAAVQHNASLPVPAETASKDGVSCS DEDEKPRKRRRTNSSSSSPVVLKEVPKAVVPVSKTITVPVSGSPKMSNIMQSIANSLPPH MSPVKITFTKPSTQTTNTTTQKVIIVTTSPSSTFVPNILSKSHNYAAVTKLVPTSVIAST TQKPPVVITASQASLVTSSSNGNSSSTSSPISSTVAVTTVVSSTPSVVMSTVAQGVSTSA IKVASTRLPSPKSLVSGPTQILAQFPKQHQQSPKQQLQQVQQQTQQPVAQPSSVSQQQQP QQSALPPGIKPTIQIKQESGVKIITQQVQPSKILPKPVTATLPTSSNSPIMVVSSNGAIM TTKLVTTPTGTQATYTRPTVSPSLGRVATTPGAATYVKTTSGSIITVVPKSLATLGGKII SSNIVSGTTTKITTIPMTSKPNVIVVQKTTGKGTTIQGLPGKNVVTTLLNAGGEKTLQTV PAGAKPAIITATRPITKMIVTQPKGIGSAVQPAAKIIPTKIVYGQQGKTQVLIKPKPVTF QATVVSEQTRQLVTETLQQASRVADASNSSAQEGKEEPQGYTDSSSSSTESSQSSQVSHR SQPQQPSQPQRTLLQHVAQSQTATQTSVVVKSIPASSPGAITHIMQQALSSHTAFTKHSE ELGTEEGEVEEMDTLDPQTGLFYRSALTQSQSTKQQKLSQPQLEQTQLQVKTLQCFQTKQ KQTIHLQADQLQHKLTQMPQLSIRHQKLNPLQQEQAQPKPDAQHTQHTVVAKDRQLPTLM AQPPQTVVQVLAVKTTQQLPKLQQAPNQPKIYVQPQTPQSQMALPSSEKQPASQASEKTA VSDILQMSLMEAQIDTNVEHMVVDPPKKALATNVLTGEAGALPSTHVVVAGMTKCRESCS SPSAVGPPLTTRKIEAAGVPTTGQFMRIQNVGQKKAEESPTEIIIQAIPQYAIPCHSSSN VVVEPSGLLELNNFTSQQLDDDETAMEQDIDSSTEDGTEPSPSQSAVERS >ENSMUSP00000038216.5 pep:known chromosome:GRCm38:7:98590600:98656551:-1 gene:ENSMUSG00000035401.9 transcript:ENSMUST00000038359.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emsy description:EMSY, BRCA2-interacting transcriptional repressor [Source:MGI Symbol;Acc:MGI:1924203] MPVVWPTLLDLSRDECKRILRKLELEAYAGVISALRAQGDLTKEKKDLLGELSKVLSIST ERHRAEVRRAVNDERLTTIAHKMNLSLYLGERPSYSMSGPNSSSEWSIEGRRLVPLMPRL VPQTAFTVTANAVANAAVQHNASLPVPAETASKDGVSCSDEDEKPRKRRRTNSSSSSPVV LKEVPKAVVPVSKTITVPVSGSPKMSNIMQSIANSLPPHMSPVKITFTKPSTQTTNTTTQ KVIIVTTSPSSTFVPNILSKSHNYAAVTKLVPTSVIASTTQKPPVVITASQASLVTSSSN GNSSSTSSPISSTVAVTTVVSSTPSVVMSTVAQGVSTSAIKVASTRLPSPKSLVSGPTQI LAQFPKQHQQSPKQQLQQVQQQTQQPVAQPSSVSQQQQPQQSALPPGIKPTIQIKQESGV KIITQQVQPSKILPKPVTATLPTSSNSPIMVVSSNGAIMTTKLVTTPTGTQATYTRPTVS PSLGRVATTPGAATYVKTTSGSIITVVPKSLATLGGKIISSNIVSGTTTKITTIPMTSKP NVIVVQKTTGKGTTIQGLPGKNVVTTLLNAGGEKTLQTVPAGAKPAIITATRPITKMIVT QPKGIGSAVQPAAKIIPTKIVYGQQGKTQVLIKPKPVTFQATVVSEQTRQLVTETLQQAS RVADASNSSAQEGKEEPQGYTDSSSSSTESSQSSQDSQPVVHVIASRRQDWSEHEIAMET SPTIIYQDVSSESQSATSTIKALLELQQTTVKEKLESKPRQPTIDLSQMAVPIQMTQEKR HSPESPSIAVVESELVAEYITTERTDEGTEVAFPLLVSHRSQPQQPSQPQRTLLQHVAQS QTATQTSVVVKSIPASSPGAITHIMQQALSSHTAFTKHSEELGTEEGEVEEMDTLDPQTG LFYRSALTQSQSTKQQKLSQPQLEQTQLQVKTLQCFQTKQKQTIHLQADQLQHKLTQMPQ LSIRHQKLNPLQQEQAQPKPDAQHTQHTVVAKDRQLPTLMAQPPQTVVQVLAVKTTQQLP KLQQAPNQPKIYVQPQTPQSQMALPSSEKQPASQAIPQYAIPCHSSSNVVVEPSGLLELN NFTSQQLDDDETAMEQDIDSSTEDGTEPSPSQSAVERS >ENSMUSP00000145662.1 pep:known chromosome:GRCm38:7:98595125:98612995:-1 gene:ENSMUSG00000035401.9 transcript:ENSMUST00000205886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emsy description:EMSY, BRCA2-interacting transcriptional repressor [Source:MGI Symbol;Acc:MGI:1924203] GKTQVLIKPKPVTFQATVVSEQTRQLVTETLQQASRVADASNSSAQEGKEEPQGYTDSSS SSTESSQSSQVKEKLESKPRQPTIDLSQMAVPIQMTQEKRHSPESPSIAVVESELVAEYI TTVSHRSQPQQPSQPQRTLLQHVAQSQTATQTSVVVKSIPASSPGAITHIMQQALSSHTA FTKHSEELGTEEGEVEEMDTLDPQTGLFYRSALTQSQSTKQQKLSQPQLEQTQLQV >ENSMUSP00000146262.1 pep:known chromosome:GRCm38:7:98597046:98615538:-1 gene:ENSMUSG00000035401.9 transcript:ENSMUST00000206626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emsy description:EMSY, BRCA2-interacting transcriptional repressor [Source:MGI Symbol;Acc:MGI:1924203] KGTTIQGLPGKNVVTTLLNAGGEKTLQTVPAGAKPAIITATRPITKMIVTQPKGIGSAVQ PAAKIIPTKIVYGQQGKTQVLIKPKPVTFQATVVSEQTRQLVTETLQQASRVADASNSSA QEGKEEPQGYTDSSSSSTESSQSSQDSQPVVHVIASRRQDWSEHEIAMETSPTIIYQDVS SESQSATSTIKALLELQQTTVSHRSQPQQPSQPQRTLLQHVAQSQTATQTSVVVKSIPAS SPGAIT >ENSMUSP00000146150.1 pep:known chromosome:GRCm38:7:98602585:98656595:-1 gene:ENSMUSG00000035401.9 transcript:ENSMUST00000206619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emsy description:EMSY, BRCA2-interacting transcriptional repressor [Source:MGI Symbol;Acc:MGI:1924203] MPVVWPTLLDLSRDECKRILRKLELEAYAGVISALRAQGDLTKEKKDLLGELSKVLSIST ERHRAEVRRAVNDERLTTIAHNMSGPNSSSEWSIEGRRLVPLMPRLVPQTAFTVTANAVA NAAVQHNASLPVPAETASKDGVSCSDEDEKPRKRRRTNSSSSSPVVLKEVPKAVVPVSKT ITVPVSGSPKMSNIMQSIANSLPPHMSPVKITFTKPSTQTTNTTTQKVIIVTTSPSSTFV PNILSKSHNYAAVTKLVPTSVIASTTQKPPVVITASQASLVTSSSNGNSSSTSSPISSTV AVTTVVSSTPSVVMSTVAQGVSTSAIKVASTRLPSPKSLVSGPTQILAQFPKQHQQSPKQ QLQQVQQQTQQPVAQPSSVSQQQQPQQSALPPGIKPTIQIKQESGVKIITQQVQPSKILP KPVTATLPTSSNSPIMVVSSNGAIMTTKLVTTPTGTQATYTRPTVSPSLGRVATTPGAAT YVKTTSGSIITVVPKSLATLGGKIISSNIVSGTTTKITTIPMTSKPNVIVVQKTTGKGTT IQGLPGKNVVTTLLNAGGEKTLQTVPAGAKPAIITATRPITKMIVTQPKGIGSAVQPAAK IIPTKIVYGQQGKTQVLIKPKPVTFQATVVSEQTRQLVTETLQQASRVADASNSSAQEGK EEPQGYTDSSSSSTESSQSSQDSQPVVHVIASRRQDWSEHEIAMETSPTIIYQDVSSESQ SATSTIKALLELQQTT >ENSMUSP00000145640.1 pep:known chromosome:GRCm38:7:98630292:98656564:-1 gene:ENSMUSG00000035401.9 transcript:ENSMUST00000206611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emsy description:EMSY, BRCA2-interacting transcriptional repressor [Source:MGI Symbol;Acc:MGI:1924203] MPVVWPTLLDLSRDECKRILRKLELEAYAGVISALRAQGDLTKEKKDLLGELSKVLSIST ERHRAEVRRAVNDERLTTIAHNMSGPNSSSEWSIEGRRLVPLMPRLVPQTAFTVTANAVA NAAVQHNASLPVPAETASKDVVVCYSYTSTTSTPTSTPVPSGSIATVKSPRPASPASSVV VLPSGSTVYVKSVSCSDEDEKPRKRRRTNSSSSSPVVLKEVPKAVVPVSKTITVPVSGSP KMSNIMQSIANSLPPHMSPVKITFTKPSTQTTNTTTQKVIIVTTSPSSTFVPNILSKSHN YAAVTKLVPTSVIASTT >ENSMUSP00000146056.1 pep:known chromosome:GRCm38:7:98637280:98645196:-1 gene:ENSMUSG00000035401.9 transcript:ENSMUST00000206256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emsy description:EMSY, BRCA2-interacting transcriptional repressor [Source:MGI Symbol;Acc:MGI:1924203] XLYLGERPSYSMSGPNSSSEWSIEGRRLVPLMPRLVPQTAFTVTANAVANAAVQHNASLP VPAETASKDVVVCYSYTSTTSTPTSTPVPSGSIATVKSPRPASPASSVVVLPSGSTVYVK SVSCSDEDEKPRKRRRTNSSSSSPVVLKEVPKAVVPVSKTITVPVSGSPKMSNIMQSIAN SLPPHMSPVKITFTKPSTQTT >ENSMUSP00000135228.1 pep:known chromosome:GRCm38:3:64388621:64415296:-1 gene:ENSMUSG00000092049.8 transcript:ENSMUST00000175724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r4 description:vomeronasal 2, receptor 4 [Source:MGI Symbol;Acc:MGI:3648229] MASRNKCLILGFTVFLWVELYAQYPHIDQNVTCRLLRKFNLTGYVEAENHSVVIGGLFPV HYRTMPTSDSDEEIESPMCEGFNFRGFRWMKTMIHTIKEINERKDILPNHTLGYQIFDNC FSITKAMESSSVFLTGQEEYKPNWRNSTGKFLVGIIGAGGSTMSVAVSRIVGIHRVPQVG YASSSSIFSNDYQFPYILRTIPSDKFQSEAIVNLIRHFGWVWVGAIASDDDYGKYGVKFF REEMERANLCVAFSETIPKVYSNEKMKIAVDAVKSSTAKVIVLYATDIDLSPFVLEVIHH NITDRTWIATEAWITSALIAKPEYFPYFGGTIGFAIPRSVIPGLKEFLYDVHPSKDPNDV LTIEFWQTAFNCTWPNSSVAYNVDHRVNMTGKEDRLYDMSDQLCTGEEKLEDLKNTYLDT SQLRITNNVRQAVYLFAHAMDILRQDDIREEYREKSVLESKSILDYIKVWPYMKEIKFVT HDGRKIELGSNGDVLNGSYDIINWHMDNTGEITFVKVGEYKFTRSKYEFVLPKNSTLFWN TESSRLPDSVCTKVCPPGTRKGILQGQPICCFDCIPCTDGYVSEKPGQRLCDPCGENDWS NAEKNKCVPKHVEFLAYEEALGFTLVIISIFGALVVLAVTVVYVIHRHTPLVKANDRELS FLIQMSLGITVLSSMLFIGKPCNWSCKTRQVTLALGFCLCLSSILGKTVSLFFAYRISIS KTRLISMHPIFRKLIVLICVVGEIGVCTAYLVLEPPSLFKNIEPQNVKIIFECNEGSIEF LCSIFGFDVLLALLCFATTFVARQLPDNYYEGKCITFGMLVFFIVWISFVPAYLSTKGKF NVAVEIFAILASSYGLLGCLFLPKCFIILLRPKRNTDETVGGRVPTVDRSIQLTSASVSS ELNSTTVSTVLDE >ENSMUSP00000127513.1 pep:known chromosome:GRCm38:3:64388621:64410057:-1 gene:ENSMUSG00000092049.8 transcript:ENSMUST00000170280.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r4 description:vomeronasal 2, receptor 4 [Source:MGI Symbol;Acc:MGI:3648229] MKTMIHTIKEINERKDILPNHTLGYQIFDNCFSITKAMESSSVFLTGQEEYKPNWRNSTG KFLVGIIGAGGSTMSVAVSRIVGIHRVPQVGYASSSSIFSNDYQFPYILRTIPSDKFQSE AIVNLIRHFGWVWVGAIASDDDYGKYGVKFFREEMERANLCVAFSETIPKVYSNEKMKIA VDAVKSSTAKVIVLYATDIDLSPFVLEVIHHNITDRTWIATEAWITSALIAKPEYFPYFG GTIGFAIPRSVIPGLKEFLYDVHPSKDPNDVLTIEFWQTAFNCTWPNSSVAYNVDHRVNM TGKEDRLYDMSDQLCTGEEKLEDLKNTYLDTSQLRITNNVRQAVYLFAHAMDILRQDDIR EEYREKSVLESKSILDYIKVWPYMKEIKFVTHDGRKIELGSNGDVLNGSYDIINWHMDNT GEITFVKVGEYKFTRSKYEFVLPKNSTLFWNTESSRLPDSVCTKVCPPGTRKGILQGQPI CCFDCIPCTDGYVSEKPGQRLCDPCGENDWSNAEKNKCVPKHVEFLAYEEALGFTLVIIS IFGALVVLAVTVVYVIHRHTPLVKANDRELSFLIQMSLGITVLSSMLFIGKPCNWSCKTR QVTLALGFCLCLSSILGKTVSLFFAYRISISKTRLISMHPIFRKLIVLICVVGEIGVCTA YLVLEPPSLFKNIEPQNVKIIFECNEGSIEFLCSIFGFDVLLALLCFATTFVARQLPDNY YEGKCITFGMLVFFIVWISFVPAYLSTKGKFNVAVEIFAILASSYGLLGCLFLPKCFIIL LRPKRNTDETVGGRVPTVDRSIQLTSASVSSELNSTTVSTVLDE >ENSMUSP00000018625.9 pep:known chromosome:GRCm38:11:85187262:85235130:-1 gene:ENSMUSG00000018481.9 transcript:ENSMUST00000018625.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Appbp2 description:amyloid beta precursor protein (cytoplasmic tail) binding protein 2 [Source:MGI Symbol;Acc:MGI:1914134] MAAVELEWIPETLYNTAISAVVDNYIRSRRDIRSLPENIQFDVYYKLYQQGRLCQLGSEF CELEVFAKVLRALDKRHLLHHCFQALMDHGVKVASVLAYSFSRRCSYIAESDAAVKEKAI QVGFVLGGFLSDAGWYSDAEKVFLSCLQLCTLHDEMLHWFRAVECCVRLLHVRNGNCKYH LGEETFKLAQTYMDKLSKHGQQANRAALYGELCALLFAKSHYDEAYKWCVEAMKEITAGL PVKVVVDVLRQASKACVVKREFKKAEQLIKHAVYLARDHFGSKHPKYSDTLLDYGFYLLN VDNICQSVAIYQAALDIRQSVFGGKNIHVATAHEDLAYSSYVHQYSSGKFDNALFHAERA IGIITHILPEDHLLLASSKRVKALILEEIAIDCHNKETEQRLLQEAHDLHLSSLQLAKKA FGEFNVQTAKHYGNLGRLYQSMRKFKEAEEMHIKAIQIKEQLLGQEDYEVALSVGHLASL YNYDMNQYENAEKLYLRSIAIGKKLFGEGYSGLEYDYRGLIKLYNSIGNYEKVFEYHNVL SNWNRLRDRQYSVTDALEDVSSSPQSTEEVVQSFLMAQNVEGPSC >ENSMUSP00000045217.8 pep:known chromosome:GRCm38:8:123268300:123318576:-1 gene:ENSMUSG00000032815.15 transcript:ENSMUST00000035495.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fanca description:Fanconi anemia, complementation group A [Source:MGI Symbol;Acc:MGI:1341823] MPGSPARGAAMGGGPRGLRKTWTELLAGRVKKQKYDPEREQKLKDSALKLLRYHQNMHDL LLEVEEPQCKRLRLSELIDRDSADASSDRSASFIRSAFRDQASRLGVPVGVLSAKVFARS VQQVCVEPSHPVLLSPEQSKKLSSLLMIARHLLAQNMFSRLTFCQELWKAQNSLLLEAMW RLHTHSVVSLQELLQSHPDSEAMAMWLFRNLRSLCEQIGASCPSPDTTEAMLSGLVQLLI SRGFQGSSDPRRLVEPERLPQVATDVLQRMLAFSLDTLEADPQTTLDCQAVSGWIPIYSG HTCCGVVTENSLKSFFSHTLTQILTHKPVLKVSDAIQMQKEWSFAKTHHLLTDLHCRVLA TLGPEESVGRLQEVLEMQEVNWQHVLSCVSTLVVCFPEAQQLVKGWVASLMARAFESYHL DSMVTAFLIVRQATLEGPYVFPSYADWFKESFGSSHGYHSCSKKTLVFLFKFLSDLVPWE APRYMQVHIFHPPLVPSKYHSLLTDYISLAKTRLADLKVSLENVGLYEDLSSPGDIAERE SQAVQDVKKAIMVFEQTGKIPMPVLEASIFRRPYYVSHFLPTLLAPRVLPEVPDPRVALI ETLKRADKIPSSIYDAYRKACASAEKQQPENATSAQRTEADCAKEPLGLLTAALEELRAL MTDPTQYSVISAQVAVVSEKLNAVLGHRNDGGSLQRAKIQLSVLPSTLQKQDQAVVDLLL TAFCQNLMAASSFVPPERQSPWAVLFVRTLCGHVLLPAVLTRLRQLLRHQGQSLSTSHVL GLAALAVHLGECRSMLPEVDPDVLAPSAGSLCVPDFLNSLLTCRTRDSLLFCMNFCTAAV SYCLCKFSALRNCLSPGLIKKFQFVVLRLFPEARAPCAPEHAACVPWRPLYLPSADWQRA ALSLWRRDSFQELLKDKEFYLTYRDWVQLELEIQPEADVLSDMERHDFHQWAIYERYLPA PTALGGCGGDLEEACTVLVSEIMDFHQSSRSYNHSEDSDLVLGGRTGNKDILSRLQEIAL DLELDQGSAVPHGCSTPQSHFLFRVFRRRLQALARPDSMATSLRRQQELLTCKRLLLCLP PSVLVGGPQAGQPISPNCGEFFSLVNSELRNFCCHGSVLTSDITIHFFRGLLRVCLRSQD PALVANQTLTECQTKCPVILTSALLWWSSLEPVLCGRWMRCYQSPLPRELRRLQEAREFA SNFASASASPAPSPAWIAAAALHFAWRGVRKEDVTAHLQRLDCQREELLIALFFFSLMGL LSSYLTQRDTAEHLKAVDICAEVLTCLERRKVSWLVLFQLTEKDAKLGHLLHLAPDQHTR LLPLAFYSLLSCFSEGAAVREAAFLHVAVDMYLKLLQLFVDGETRLQGHSESQGSPVQLI TKARVFLLQLIPQCPKQCFSNMTELLAGRGDCDPEVSNALRQRQQADPSFDLYQEPQLF >ENSMUSP00000116732.1 pep:known chromosome:GRCm38:8:123271205:123278054:-1 gene:ENSMUSG00000032815.15 transcript:ENSMUST00000126834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fanca description:Fanconi anemia, complementation group A [Source:MGI Symbol;Acc:MGI:1341823] MATSLRRQQELLTCKRLLLCLPPSVLVGGPQAGQPISPNCGEFFSLVNSELRNFCCHGSV LTSDITIHFFRGLLRVCLRSQDPALVANQTLTECQTKCPVILTSALLWWSSLEPVLCGRW MRCYQSPLPRELRRLQEAREFASNFASASASPAPSPAWIAAAALHFAWRGVRKEDVTAHL QRLDCQREE >ENSMUSP00000118712.1 pep:known chromosome:GRCm38:8:123274158:123276598:-1 gene:ENSMUSG00000032815.15 transcript:ENSMUST00000155510.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fanca description:Fanconi anemia, complementation group A [Source:MGI Symbol;Acc:MGI:1341823] MEIALDLELDQGSAVPHGCSTPQSHFLFRVFRRRLQALARPDSMATSLRRQQELLTCKRL LLCLPPSVLVGGPQAGQPISPNCGEFFSLVNSELRNFCCHGSVLTSDITIHFFRGLLRVC LRSQDPALVANQTLTECQTKCPVILT >ENSMUSP00000116614.1 pep:known chromosome:GRCm38:8:123286404:123313362:-1 gene:ENSMUSG00000032815.15 transcript:ENSMUST00000127904.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fanca description:Fanconi anemia, complementation group A [Source:MGI Symbol;Acc:MGI:1341823] RDQASRLGVPVGVLSAKVFARSVQQVCVEPSHPVLLSPEQSKKLSSLLMIARHLLAQNMF SRLTFCQELWKAQNSLLLEAMWRLHTHSVVSLQELLQSHPDSEAMAMWLFRNLRSLCEQI GASCPSPDTTEAMLSGLVQLLISRGFQGSSDPRRLVEPERLPQVATDVLQRMLAFSLDTL EADPQTTLDCQAVSGWIPIYSGHTCCGVVTENSLKSFFSHTLTQILTHKPVLKVSDAIQM QKEWSFAKTHHLLTDLHCRVLATLGPEESVGRLQEVLEMQEVNWQHVLSCVSTLVVCFPE AQQLVKGWVASLMARAFESYHLDSMVTAFLIVRQATLEGPYVFPSYADWFKESFGSSHGY HSCSKKTLVFLFKFLSDLVPWEAPRYMQVHIFHPPLVPSKYHSLLTDYISLAKTRLADLK VSLENVGLYEDLSSPGDIAERESQAVQDVKKAIMVFEQTGKIPMPVLEASIFRRPYYVSH FLPTLLAPRVLPEVPDPRVALIETLKRADKIPSSIYDAYRKACASAEKQQPENATSAQRT EADCAKEPLGLLTAALEELRALMTDPTQYSVISAQVAVVSEKLNAVLGHRNDGGSLQRAK IQLSVLPSTLQKQDQAVVDLLLTAFCQNLMAASSFVPPERQSPWAVLFVRTLCGHVLLPA VLTRLRQLLRHQGQSLSTSHVLGLAALAVHLGECRSMLPEVDPDVLAPSAGSLCVPDFLN SLLTCRTRDSLLFCMNFCTAAVSYCLCKFSALRNCLSPGLIKKVRVSHSSF >ENSMUSP00000113125.1 pep:known chromosome:GRCm38:8:123302075:123318560:-1 gene:ENSMUSG00000032815.15 transcript:ENSMUST00000118395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fanca description:Fanconi anemia, complementation group A [Source:MGI Symbol;Acc:MGI:1341823] MPGSPARGAAMGGGPRGLRKTWTELLAGRVKKQKYDPEREQKLKDSALKLLRYHQNMHDL LLEVEEPQCKRLRLSELIDRDSADASSDRSASFIRSAFRDQASRLGVPVGVLSAKVFARS VQQVCVEPSHPVLLSPEQSKKLSSLLMIARHLLAQNMFSRLTFCQELWKAQNSLLLEAMW RLHTHSVVSLQELLQSHPDSEAMAMWLFRNLRSLCEQIGASCPSPDTTEAMLSGLVQLLI SRGFQGSSDPRRLVEPERLPQVATDVLQRMLAFSLDTLEADPQTTLDCQAVSGWIPIYSG HTCCGVVTENSLKSFFSHTLTQILTHKPVLKVSDAIQMQKEWSFAKTHHLLTDLHCRVLA TLGPEESVGRLQEVLEMQEVNWQHVLSCVSTLVVCFPEAQQLVKGWVASLMARAFESYHL DSMVTAFLIVRQATLEGPYVFPSYADWFKVLRCAPRPYMDPTYMSVFCVWLQPVFLSAQC C >ENSMUSP00000006969.7 pep:known chromosome:GRCm38:11:99477974:99493137:-1 gene:ENSMUSG00000006777.7 transcript:ENSMUST00000006969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt23 description:keratin 23 [Source:MGI Symbol;Acc:MGI:2148866] MNSSHSFNQTYSASVHSLGSTRGRQGSCHRAPSVHGGAGGVRISLSFTTPGCLPPGGSWG SGRSSPLLGGNGKATMQNLNDRLATYLEKVRALEEANSKLETRILRWHQEREPSHRKDYS QYEENISRLQEQIVDGKMANAHIVVLIDNARMAVDDFNLKFENEHSLKKDLEIEVEGLRK TLDDLTIVTTDLEQEVEGMRKELILMKKRHEQEMEENHLPSDFKVSVKVDTTPGEDLIKV LEDMRQEYELIIKKKHQELDTWFREQSAAMAQEVASPAPVQGNQSDIHELRRTFQALEID LQAQHSRKTALENMLTETRARYSCRLQDMQQIISHYEEELIQLRQDLERQNNEHKVLLGI KTHLEKEIATYRRLLEGDTEGTMDGSESRLKGSEASTIKAITQESVNGRIVLSQVNEIQK HI >ENSMUSP00000131899.1 pep:known chromosome:GRCm38:4:155409258:155421992:1 gene:ENSMUSG00000078490.9 transcript:ENSMUST00000123952.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap74 description:cilia and flagella associated protein 74 [Source:MGI Symbol;Acc:MGI:1917130] MEEPTVQFSDEDLVDNFPPMDDERVQLEDLEFEVERPSEGLEDEGSHSSAKKESKGAEKM RKSTTKDQVQAFHLRKSLNLLDKMHEEKDVFIQKTKGELHICRQRMDLLNKQQESLAAEI ATEKEANNM >ENSMUSP00000123626.2 pep:known chromosome:GRCm38:4:155409258:155466823:1 gene:ENSMUSG00000078490.9 transcript:ENSMUST00000151083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap74 description:cilia and flagella associated protein 74 [Source:MGI Symbol;Acc:MGI:1917130] MEEPTVQFSDEDLVDNFPPMDDERVQLEDLEFEVERPSEGLEDEGSHSSAKKESKGAEKM RKSTTKDQVQAFHLRKSLNLLDKMHEEKDVFIQKTKGELHICRQRMDLLNKQQESLAAEI ATEKEANNMAAIGRLQAASRRLQTELENEKDLQSKITAMLKDSENAMWHIEIQKGQFEDV RKHHEAEAEARQRGLEVHSARQLQREREAMEKSEKNRLLRARKSLHTQKELGLRHQKLVE DAQRNHRIAVKFLKASLGRVREREQKEEMESRTHMQRRMDAVLSLKNNITASRETLKKFQ AWGQTRADLAKQKALTEKEVILSQGGDAFKYLFHQRRHQELEAQKRAFEEEQKLRKQEIV NRILKEEAEEEQRKRRQHPLSKPINRRTLRDKTWQYISDFCEGKSVLTSQQERERELLLY PKTVCVIKAISSESVQVDLGSISTEDEVLAEPDISGLWNKESYQVPKEDMERKPVGGSKM EKDILARTMEQLRSGVVQKQVVSGREFKGRPFNSKPEVIHFKDFDIGKVYKKKITLINAT YTINYCKLVGVEENLKDFIHIDFEPPGPMSAGMSCEVLVTFKPMINKDLEGNVSFLAQTG SFSVPLKCSTKKCSLSLDKELIDFGTYVVGETTSRIITLTNVGGLGTKFKFLPDSEFYEM DESQPAMKISSLFTCEEKIIYEKIMTSLSEQQLEVNDSSLVDLQSLKESEKQLDDPEVTT AAVSAMTMIPSEEQAEITLGEVTEGEIGPFSSVKVPITFTPVIPGEVQTKFKVMFKNPQS PPLYFRATGTAIDVPVWVPKATVDLKICMYDRLYQDSITVHTRSKAALRLKFEVCKELRG HIELLPETGYIQAQSTYSVQLKFLPRQSLPEDARKYFDPASRVLEAPMTIRVADQIKPVR FTVQAIVTTSDLEINPSEINFGYCTIYEAIRTEICLSNLSLLPQEFGFVGLPKYVDIQPN DGFGTILPLETLHLDVIFQPIKAKEYKFELVCKSEINRCFKVSCQAVGVHPPLELSHYQI KFSATSLYDTSVSTLYVINSHLSMNKMIHSLPRIGSEEAAPVGPTSFEFLLPPNSPITIS PSVGTVLPGKRCLIQVAFQPVLPKEIIYKEASQILNKEIETKPVSQKEIVQRKELWKQSF SVVRVHNRDRPTRVSTPQATELQRPVINSSSTEFQIAQATLSKAFQGKFNRFVIPCVVAS GDIKDRKTAEPLSFSPHNTLYLELWCPAVAPFIVVTSHKGKTDFNFGDIAVGHRSVKKIT LQNICNEDLTLEYSVLNPNGPFVRLNPFNKLRSGETQTLVLSFSPHENILAQETLDIITK RGTLSLTLFGMGVASMITCSIDGNILNMGYVLARESVSTNFKLQNESSLPIKFWVRLESL SRKKAEAHQQLPKFITSHEQRAEIVGTQNYNGQSVFSIVPVEGLMFPGKAQEFTVTFSPD HESLFFSDLLKVVLFEKKVSHQILLKGAAREHMMFVEGGDPLDVPVESLAVVTAFDTEHK EEAEELKPILVTLNYVQLDTDTTTSPATRELQVGCIRTTQPSPRRPDHPLMVSTLLQLRG DVKETYKVTFVAHVVTGL >ENSMUSP00000101244.1 pep:known chromosome:GRCm38:4:155451570:155466405:1 gene:ENSMUSG00000078490.9 transcript:ENSMUST00000105619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap74 description:cilia and flagella associated protein 74 [Source:MGI Symbol;Acc:MGI:1917130] MGVASMITCSIDGNILNMGYVLARESVSTNFKLQNESSLPIKFWVRLESLSRKKAEAHQQ LPKFITSHEQRAEIVGTQNYNGQSVFSIVPVEGLMFPGKAQEFTVTFSPDHESLFFSDLL KVVLFEKKVSHQILLKGAAREHMMFVEGGDPLDVPVESLAVVTAFDTEHKEEAEELKPIL VTLNYVQLDTDTTTSPATRELQVGCIRTTQPSPRRPDHPLMVSTLLQLRGDVKETYKVTF VAHVVTGL >ENSMUSP00000004392.5 pep:known chromosome:GRCm38:6:29526625:29537319:1 gene:ENSMUSG00000029771.12 transcript:ENSMUST00000004392.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf5 description:interferon regulatory factor 5 [Source:MGI Symbol;Acc:MGI:1350924] MNHSAPGIPPPPRRVRLKPWLVAQVNSCQYPGLQWVNGEKKLFYIPWRHATRHGPSQDGD NTIFKAWAKETGKYTEGVDEADPAKWKANLRCALNKSRDFQLFYDGPRDMPPQPYKIYEV CSNGPAPTESQPTDDYVLGEEEEEEEEELQRMLPGLSITEPALPGPPNAPYSLPKEDTKW PPALQPPVGLGPPVPDPNLLAPPSGNPAGFRQLLPEVLEPGPLASSQPPTEPLLPDLLIS PHMLPLTDLEIKFQYRGRAPRTLTISNPQGCRLFYSQLEATQEQVELFGPVTLEQVRFPS PEDIPSDKQRFYTNQLLDVLDRGLILQLQGQDLYAIRLCQCKVFWSGPCALAHGSCPNPI QREVKTKLFSLEQFLNELILFQKGQTNTPPPFEIFFCFGEEWPDVKPREKKLITVQVVPV AARLLLEMFSGELSWSADSIRLQISNPDLKDHMVEQFKELHHLWQSQQQLQPMVQAPPVA GLDASQGPWPMHPVGMQ >ENSMUSP00000126273.2 pep:known chromosome:GRCm38:6:29526708:29541871:1 gene:ENSMUSG00000029771.12 transcript:ENSMUST00000167252.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf5 description:interferon regulatory factor 5 [Source:MGI Symbol;Acc:MGI:1350924] MNHSAPGIPPPPRRVRLKPWLVAQVNSCQYPGLQWVNGEKKLFYIPWRHATRHGPSQDGD NTIFKAWAKETGKYTEGVDEADPAKWKANLRCALNKSRDFQLFYDGPRDMPPQPYKIYEV CSNGPAPTESQPTDDYVLGEEEEEEEEELQRMLPGLSITEPALPGPPNAPYSLPKEDTKW PPALQPPVGLGPPVPDPNLLAPPSGNPAGFRQLLPEVLEPGPLASSQPPTEPLLPDLLIS PHMLPLTDLEIKFQYRGRAPRTLTISNPQGCRLFYSQLEATQEQVELFGPVTLEQVRFPS PEDIPSDKQRFYTNQLLDVLDRGLILQLQGQDLYAIRLCQCKVFWSGPCALAHGSCPNPI QREVKTKLFSLEQFLNELILFQKGQTNTPPPFEIFFCFGEEWPDVKPREKKLITVQVVPV AARLLLEMFSGELSWSADSIRLQISNPDLKDHMKEVHQETSSPPTTSDRLQPSLKTALFL PHIPNRDSLGCLGG >ENSMUSP00000130548.1 pep:known chromosome:GRCm38:6:29528270:29533997:1 gene:ENSMUSG00000029771.12 transcript:ENSMUST00000164626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf5 description:interferon regulatory factor 5 [Source:MGI Symbol;Acc:MGI:1350924] MNHSAPGIPPPPRRVRLKPWLVAQVNSCQYPGLQWVNGEKKLFYIPWRHATRHGPSQDGD NTIFKAWAKETGKYTEGVDE >ENSMUSP00000127021.1 pep:known chromosome:GRCm38:6:29529282:29537319:1 gene:ENSMUSG00000029771.12 transcript:ENSMUST00000163511.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf5 description:interferon regulatory factor 5 [Source:MGI Symbol;Acc:MGI:1350924] MNHSAPGIPPPPRRVRLKPWLVAQVNSCQYPGLQWVNGEKKLFYIPWRHATRHGPSQDGD NTIFKAWAKETGKYTEGVDEADPAKWKANLRCALNKSRDFQLFYDGPRDMPPQPYKIYEV CSNGPAPTESQPTDDYVLGEEEEEEEEELQRMLPGLSITEPALPGPPNAPYSLPKEDTKW PPALQPPVGLGPPVPDPNLLAPPSGNPAGFRQLLPEVLEPGPLASSQPPTEPLLPDLLIS PHMLPLTDLEIKFQYRGRAPRTLTISNPQGCRLFYSQLEATQEQVELFGPVTLEQVRFPS PEDIPSDKQRFYTNQLLDVLDRGLILQLQGQDLYAIRLCQCKVFWSGPCALAHGSCPNPI QREVKTKLFSLEQFLNELILFQKGQTNTPPPFEIFFCFGEEWPDVKPREKKLITVQVVPV AARLLLEMFSGELSWSADSIRLQISNPDLKDHMVEQFKELHHLWQSQQQLQPMVQAPPVA GLDASQGPWPMHPVGMQ >ENSMUSP00000145299.1 pep:known chromosome:GRCm38:6:29531248:29535346:1 gene:ENSMUSG00000029771.12 transcript:ENSMUST00000164922.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf5 description:interferon regulatory factor 5 [Source:MGI Symbol;Acc:MGI:1350924] GEKKLFYIPWRHATRHGPSQDGDNTIFKAWAKETGKYTEGVDEADPAKWKANLRCALNKS RDFQLFYDGPRDMPPQPYKIYEVCSNGPAPTAPENATRPEHHRACATWASQRTLFLTQRR HQVATCSPATCRAG >ENSMUSP00000022946.5 pep:known chromosome:GRCm38:15:34484021:34495255:-1 gene:ENSMUSG00000022323.11 transcript:ENSMUST00000022946.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrsp12 description:heat-responsive protein 12 [Source:MGI Symbol;Acc:MGI:1095401] MSSIIRKVISTTKAPAAIGPYSQAVQVDRTIYISGQVGLDPSSGQLVPGGVVEEAKQALK NLGEILKAAGCDFNNVVKTTVLLADMNDFGTVNEIYKTYFQGSLPARAAYQVAALPRGSR VEIEAIAVQGPFIKA >ENSMUSP00000112662.1 pep:known chromosome:GRCm38:6:71707561:71810710:1 gene:ENSMUSG00000052852.7 transcript:ENSMUST00000121469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep1 description:receptor accessory protein 1 [Source:MGI Symbol;Acc:MGI:1098827] MVSWIISRLVVLIFGTLYPAYYSYKAVKSKDIKEYVKWMMYWIIFALFTTAETFTDIFLC WFPFYYELKIAFVAWLLSPYTKGSSLLYRKFVHPTLSSKEKEIDDCLVQAKDRSYDALVH FGKRGLNVAATAAVMAASKGQGALSERLRSFSMQDLTTIRGDGAPAPSGPPPPGTGRSSG KHSQPKMSRSASESAGSSGTA >ENSMUSP00000047730.4 pep:known chromosome:GRCm38:1:15853862:15892722:-1 gene:ENSMUSG00000032719.4 transcript:ENSMUST00000040695.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbspon description:somatomedin B and thrombospondin, type 1 domain containing [Source:MGI Symbol;Acc:MGI:2684952] MKTLWMVLCALARLWPGALAGCAEAGRCCPGRDPACFARGWRLDRVYGTCFCDQACRLTG DCCFDYDRACPARPCFVGEWSPWSGCAGQCQPTTRVRRRSVRQEPLNGGAPCPPLEERAG CLEYSSSQSQDCGHSFVPAFITSSVFNKKRIIQAVSPQWSTHTKDAGYCMEFKTESLTPH CALVNSPLTRWMQYLREGYTVCVDCQPPAMNSVSLRCSGDGLDSDGNQTLRWQAIGNPRC QGTWKKVRRVEQCSCPDVHRFIFI >ENSMUSP00000040746.6 pep:known chromosome:GRCm38:6:83671215:83677857:-1 gene:ENSMUSG00000034783.7 transcript:ENSMUST00000037882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd207 description:CD207 antigen [Source:MGI Symbol;Acc:MGI:2180021] MPEAEMKEEAPEAHFTVDKQNISLWPREPPPKQDLSPVLRKPLCICVAFTCLALVLVTSI VLQAVFYPRLMGKILDVKSDAQMLKGRVDNISTLGSDLKTERGRVDDAEVQMQIVNTTLK RVRSQILSLETSMKIANDQLQILTMSWGEVDSLSAKIPELKRDLDKASALNTKVQGLQNS LENVNKLLKQQSDILEMVARGWKYFSGNFYYFSRTPKTWYSAEQFCISRKAHLTSVSSES EQKFLYKAADGIPHWIGLTKAGSEGDWYWVDQTSFNKEQSRRFWIPGEPNNAGNNEHCAN IRVSALKCWNDGPCDNTFLFICKRPYVQTTE >ENSMUSP00000074684.6 pep:known chromosome:GRCm38:15:103248212:103255439:-1 gene:ENSMUSG00000058794.12 transcript:ENSMUST00000075192.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2 description:nuclear factor, erythroid derived 2 [Source:MGI Symbol;Acc:MGI:97308] MPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITELQGLNVPSETSFEPQAPTPYPGPL PPPTYCPCSIHPDAGFSLPPPSYELPASTPHVPELPYSYGNVAIPVSKPLTLSGLLNEPL PDHLALLDIGLPVGQPKPQEDPESDSGLSLNYSDAESLELEGMEAGRRRSEYADMYPVEY PYSLMPNSLAHPNYTLPPTETPLALESSSGPVRAKPAVRGEAGSRDERRALAMKIPFPTD KIVNLPVDDFNELLAQYPLTESQLALVRDIRRRGKNKVAAQNCRKRKLETIVQLERELER LSSERERLLRARGEADRTLEVMRQQLAELYHDIFQHLRDESGNSYSPEEYVLQQAADGAI FLVPRGTKMEATD >ENSMUSP00000122476.1 pep:known chromosome:GRCm38:15:103248220:103251676:-1 gene:ENSMUSG00000058794.12 transcript:ENSMUST00000149111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2 description:nuclear factor, erythroid derived 2 [Source:MGI Symbol;Acc:MGI:97308] MPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITELQGLNVPSETSFEPQAPTPYPGPL PPPTYCPCSIHPDAGFSLPPPSYELPASTPHVPELPYSYGNVAIPVSKPLTLSGLLNEPL PDHLALLDIGLPVGQPKPQEDPESDSGLSLNYSDAESLELEGMEAGRRRSEYADMYPVEY PYSLMPNSLAHPNYTLPPTETPLALESSSGPVRAKPAVRGEAGSRDERRALAMKIPFPTD KIVNLPVDDFNELLAQYPLTESQLALVRDIRRRGKNKVAAQNCRKRKLETIVQLERELER LSSERERLLRARGEADRTLEVMRQQLAELYHDIFQHLRDESGNSYSPEEYVLQQAADGAI FLVPRGTKMEATD >ENSMUSP00000121817.1 pep:known chromosome:GRCm38:15:103248217:103251465:-1 gene:ENSMUSG00000058794.12 transcript:ENSMUST00000133600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2 description:nuclear factor, erythroid derived 2 [Source:MGI Symbol;Acc:MGI:97308] MVRSGCRNPLCLWRPGAISWHSRMPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITEL QGLNVPSETSFEPQAPTPYPGPLPPPTYCPCSIHPDAGFSLPPPSYELPASTPHVPELPY SYGNVAIPVSKPLTLSGLLNEPLPDHLALLDIGLPVGQPKPQEDPESDSGLSLNYSDAES LELEGMEAGRRRSEYADMYPVEYPYSLMPNSLAHPNYTLPPTETPLALESSSGPVRAKPA VRGEAGSRDERRALAMKIPFPTDKIVNLPVDDFNELLAQYPLTESQLALVRDIRRRGKNK VAAQNCRKRKLETIVQLERELERLSSERERLLRARGEADRTLEVMRQQLAELYHDIFQHL RDESGNSYSPEEYVLQQAADGAIFLVPRGTKMEATD >ENSMUSP00000117474.1 pep:known chromosome:GRCm38:15:103248275:103251515:-1 gene:ENSMUSG00000058794.12 transcript:ENSMUST00000134554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2 description:nuclear factor, erythroid derived 2 [Source:MGI Symbol;Acc:MGI:97308] MPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITELQGLNVPSETSFEPQAPTPYPGPL PPPTYCPCSIHPDAGFSLPPPSYELPASTPHVPELPYSYGNVAIPVSKPLTLSGLLNEPL PDHLALLDIGLPVGQPKPQEDPESDSGLSLNYSDAESLELEGMEAGRRRSEYADMYPVEY PYSLMPNSLAHPNYTLPPTETPLALESSSGPVRAKPAVRGEAGSRDERRALAMKIPFPTD KIVNLPVDDFNELLAQYPLTESQLALVRDIRRRGKNKVAAQNCRKRKLETIVQLERELER LSSERERLLRARGEADRTLEVMRQQLAELYHDIFQHLRDESGNSYSPEEYVLQQAADGAI FLVPRGTKMEATD >ENSMUSP00000114160.1 pep:known chromosome:GRCm38:15:103248217:103251516:-1 gene:ENSMUSG00000058794.12 transcript:ENSMUST00000156927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2 description:nuclear factor, erythroid derived 2 [Source:MGI Symbol;Acc:MGI:97308] MPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITELQGLNVPSETSFEPQAPTPYPGPL PPPTYCPCSIHPDAGFSLPPPSYELPASTPHVPELPYSYGNVAIPVSKPLTLSGLLNEPL PDHLALLDIGLPVGQPKPQEDPESDSGLSLNYSDAESLELEGMEAGRRRSEYADMYPVEY PYSLMPNSLAHPNYTLPPTETPLALESSSGPVRAKPAVRGEAGSRDERRALAMKIPFPTD KIVNLPVDDFNELLAQYPLTESQLALVRDIRRRGKNKVAAQNCRKRKLETIVQLERELER LSSERERLLRARGEADRTLEVMRQQLAELYHDIFQHLRDESGNSYSPEEYVLQQAADGAI FLVPRGTKMEATD >ENSMUSP00000116079.1 pep:known chromosome:GRCm38:15:103249349:103258403:-1 gene:ENSMUSG00000058794.12 transcript:ENSMUST00000132438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2 description:nuclear factor, erythroid derived 2 [Source:MGI Symbol;Acc:MGI:97308] MPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITELQGLNVPSETSFEPQAPTPYPGPL PPPTYCPCSIH >ENSMUSP00000123064.1 pep:known chromosome:GRCm38:15:103248955:103253152:-1 gene:ENSMUSG00000058794.12 transcript:ENSMUST00000134193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2 description:nuclear factor, erythroid derived 2 [Source:MGI Symbol;Acc:MGI:97308] MPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITELQGLNVPSETSFEPQAPTPYPGPL PPPTYCPCSIHPDAGFSLPPPSYELPASTPHVPELPYSYGNVAIPVSKPLTLSGLLNEPL PDHLALLDIGLPVGQPKPQEDPESDSGLSLNYSDAESLELEGMEAGRRRSEYADMYPVEY PYSLMPNSLAHPNYTLPPTETP >ENSMUSP00000116678.1 pep:known chromosome:GRCm38:15:103249239:103252810:-1 gene:ENSMUSG00000058794.12 transcript:ENSMUST00000154510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2 description:nuclear factor, erythroid derived 2 [Source:MGI Symbol;Acc:MGI:97308] MPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITELQGLNVPSETSFEPQAPTPYPGPL PPPTYCPCSIHPDAGFSLPPPSYELPASTPHVPELPYSYGNVAIPVSK >ENSMUSP00000120256.1 pep:known chromosome:GRCm38:15:103249069:103251687:-1 gene:ENSMUSG00000058794.12 transcript:ENSMUST00000132836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2 description:nuclear factor, erythroid derived 2 [Source:MGI Symbol;Acc:MGI:97308] MPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITELQGLNVPSETSFEPQAPTPYPGPL PPPTYCPCSIHPDAGFSLPPPSYELPASTPHVPELPYSYGNVAIPVSKPLTLSGLLNEPL PDHLALLDIGLPVGQPKPQEDPESDSGLSLNYSDAESLELEGMEA >ENSMUSP00000116158.1 pep:known chromosome:GRCm38:15:103249007:103254062:-1 gene:ENSMUSG00000058794.12 transcript:ENSMUST00000131364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2 description:nuclear factor, erythroid derived 2 [Source:MGI Symbol;Acc:MGI:97308] MPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITELQGLNVPSETSFEPQAPTPYPGPL PPPTYCPCSIHPDAGFSLPPPSYELPASTPHVPELPYSYGNVAIPVSKPLTLSGLLNEPL PDHLALLDIGLPVGQPKPQEDPESDSGLSLNYSDAESLELEGMEAGRRRSEYADMYPVEY PYSLM >ENSMUSP00000016768.5 pep:known chromosome:GRCm38:15:84785381:84855110:-1 gene:ENSMUSG00000016624.15 transcript:ENSMUST00000016768.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21b description:PHD finger protein 21B [Source:MGI Symbol;Acc:MGI:2443812] MRRQPQNGDLKKQLHERQPRIAALSDKQALGSTTAVPVTGPQVSSLQRLAGQGAAVLPQV RPKTLIPDSLPVTPGRDRPPKQPPTFQKATVVSIKNPSPALPTANNTVNHVPTPSSQTQA LTEPTAITSPLSSAGVAYAIISTSPSNAATITPSTTVPVASDGIKVQPLLISADKKVIII QPQVKTQPESSAEPEPPTEEPSQGAQATKEDQPPSKENPEKIAFMVALGLVTTEHLEEIQ SKRQERKRRSTANPAYSGFLETERKRLASSYLHNPLFLTARANEDPCWKGEITHDEFCAA CKRGASLQPCGTCSGAYHLSCLDPPLKTPPKGLWVCPKCQRKALKKDEGVPWTGMLAIVH SYVTHKTVKEEEKQKLLQRGSELQSEHQQLEERDRQLASAVKKCLELKTSLLARQRGTQS SLDRIRALLRLIQGEQMLQVAMATTSPTPLLAGPWTKPSTTTTMRTTLQHPQNHN >ENSMUSP00000125355.1 pep:known chromosome:GRCm38:15:84785384:84856049:-1 gene:ENSMUSG00000016624.15 transcript:ENSMUST00000159939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf21b description:PHD finger protein 21B [Source:MGI Symbol;Acc:MGI:2443812] MELQSRSEALAVELARHQNGDLKKQLHERQPRIAALSDKQALGSTTAVPVTGPQVSSLQR LAGQGAAVLPQVRPKTLIPDSLPVTPGRDRPPKQPPTFQKATVVSIKNPSPALPTANNTV NHVPTPSSQTQALTEPTAITSPLSSAGVAYAIISTSPSNAATITPSTTVPVASDGIKVQP LLISADKKVIIIQPQVKTQPESSAEPEPPTEEPSQGAQATKEDQPPSKENPEKIAFMVAL GLVTTEHLEEIQSKRQERKRRSTANPAYSGFLETERKRLASSYLHNPLFLTARANEDPCW KGEITHDEFCAACKRGASLQPCGTCSGAYHLSCLDPPLKTPPKGLWVCPKCQRKALKKDE GVPWTGMLAIVHSYVTHKTVKEEEKQKLLQRGSELQSEHQQLEERDRQLASAVKKCLELK TSLLARQRGTQSSLDRIRALLRLIQGEQMLQVAMATTSPTPLLAGPWTKPSTTTTMRTTL QHPQNHN >ENSMUSP00000124941.1 pep:known chromosome:GRCm38:15:84786134:84855751:-1 gene:ENSMUSG00000016624.15 transcript:ENSMUST00000162044.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phf21b description:PHD finger protein 21B [Source:MGI Symbol;Acc:MGI:2443812] MELQSRSEALAVELARHQNGDLKKQLHERQPRIAALSDKQALGSTTAVPVTGPQVSSLQR LAGQGAAVLPQVRPKTLIPDSLPVTPGRDRPPKQPPTFQKATVVSIKNPSPALPTANNTV NHVPTPSSQTQALTEPTAITSPLSSAGVAYAIISTSPSNAATITPSTTVPVASDGIKVQP LLISADKKVIIIQPQVKTQPESSAEPEPPTEEPSQGAQATKEDQPPSKENPEKIAFMVAL GLVTTEHLEEIQSKRQERKRRSTANPAYSGFLETERKRLASSYLHNPLFLTARG >ENSMUSP00000119418.1 pep:known chromosome:GRCm38:2:111134114:111152936:-1 gene:ENSMUSG00000079169.3 transcript:ENSMUST00000132464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15130 description:predicted gene 15130 [Source:MGI Symbol;Acc:MGI:3705238] XVTEGQSDESTINGQGKGSINYMDSQQELDIKSELEEEPKSHGNGEKSQHEHMFKLPWPK SVTREYFDSPEQYSECKATNERKEYDSDSNSSVMDVKTSCVESNLKIETKENLDGNETSQ PPLSLGLTATSTDILNEGGKGFQKTFLELKPPMEMKDSDSTEASAMMHRQGFLADVVDIK LDTENQDYPDSRENTLSSVCNELFQL >ENSMUSP00000027615.5 pep:known chromosome:GRCm38:1:139501702:139523752:1 gene:ENSMUSG00000026368.6 transcript:ENSMUST00000027615.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F13b description:coagulation factor XIII, beta subunit [Source:MGI Symbol;Acc:MGI:88379] MMTLRHLPFILLLILSGELYAEEKQCDFPTVENGRIAQYYYTFKSFYFPMSVDKKLSFFC LAGYATESGKQEEQIRCTAEGWSPNPRCYKKCLKPDLRNGYVSNDKVLYKLQERMSYGCS SGYKTTGGKDEEVVHCLSAGWSSQPSCRKEQETCLAPELEHGNYSTTQRTFKVKDIVAYT CTAGYYTTTGKQTGEAECQANGWSLTPQCNKLMCSSLRLIENGYFHPVKQTYEEGDVVQF FCHENYYLSGSDLIQCYNFGWYPESPICEGRRNRCPPPPVPLNSKIQPHSTTYRHGERVH IECELNFVIQGSEELLCENGKWTEPPKCIEEKEKVACEQPPSVENGVAHPHSEIYYSGDK VTYRCGGGYSLRGSSTITCNRGRWTLPPECVENIENCKPPPDIANGVVVDGLLASYTTGS SVEYRCNEYYLLKGSETSRCEQGAWSSPPVCLEPCTIDVDHMNRNNIQLKWKYEGKILHG DLIDFVCKQGYNLSPSIPLSEISAQCNRGDVRYPMCIRKESKGMCASPPVIRNGDIVSSA ARTYENGSSVEYRCFDNHFLQGSQNVYCVDGVWTTPPSCLEPCTLSFVEMDKNYLQLKWN FDNRPLILHGEYIEFMCKRDAYISETSIAGSVLRVQCDRGRLKYPKCTPRDRRLSFQEAL RTRRQMEKR >ENSMUSP00000028817.6 pep:known chromosome:GRCm38:2:132249162:132253314:-1 gene:ENSMUSG00000027342.14 transcript:ENSMUST00000028817.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcna description:proliferating cell nuclear antigen [Source:MGI Symbol;Acc:MGI:97503] MFEARLIQGSILKKVLEALKDLINEACWDVSSGGVNLQSMDSSHVSLVQLTLRSEGFDTY RCDRNLAMGVNLTSMSKILKCAGNEDIITLRAEDNADTLALVFEAPNQEKVSDYEMKLMD LDVEQLGIPEQEYSCVIKMPSGEFARICRDLSHIGDAVVISCAKNGVKFSASGELGNGNI KLSQTSNVDKEEEAVTIEMNEPVHLTFALRYLNFFTKATPLSPTVTLSMSADVPLVVEYK IADMGHLKYYLAPKIEDEEAS >ENSMUSP00000143980.1 pep:known chromosome:GRCm38:5:93924777:93929052:-1 gene:ENSMUSG00000096230.4 transcript:ENSMUST00000201400.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16429 description:predicted gene 16429 [Source:MGI Symbol;Acc:MGI:3704105] MSVQTPSTLQNLTLKALLRDEALALSCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRKSHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYARRKQLKVVADLCLRPRRDEAQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQVLDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTANWNKMNVEQYPAPL ECYNELGHVSVERFAQLCQELMDTLRAIRQPKSLSFATRICHKCGEPCVYGQGGRLCFCW R >ENSMUSP00000136364.1 pep:known chromosome:GRCm38:5:93925078:93927872:-1 gene:ENSMUSG00000096230.4 transcript:ENSMUST00000179824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16429 description:predicted gene 16429 [Source:MGI Symbol;Acc:MGI:3704105] MSVQTPSTLQNLTLKALLRDEALALSCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRKSHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYARRKQLKVVADLCLRPRRDEAQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQVLDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTANWNKMNVEQYPAPL ECYNELGHVSVERFAQLCQELMDTLRAIRQPKSLSFATRICHKCGEPCVYGQGGRLCFCW R >ENSMUSP00000029369.4 pep:known chromosome:GRCm38:3:55182028:55209957:1 gene:ENSMUSG00000027794.4 transcript:ENSMUST00000029369.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sohlh2 description:spermatogenesis and oogenesis specific basic helix-loop-helix 2 [Source:MGI Symbol;Acc:MGI:1921684] MADRISTGELGRRPGQGRVNLLLVGDATRYFLAGSMQKFFPSTAQITLTISNVKKVAVLL AANSFDIIFLKVTSTLTAEEQEAAKLIRSGKKKNTHLLFAFVIPERLKGYVSEYGADISF SEPLTLEKVNTVIHYWKTYFTNTDMENTELPPECRLYFQTSRSELGGRFSTDMFLCSELL KNDARLGLKAPLSSLDKSKQASFLHSTKEKLRRERIKSCCEQLRTLLPYVKGRKSDVASV IEATVDYVKQVRESLSPAIMAQVTEAIQNNRRFSKRQMPIELFLPFSATSQREDAMLTSA FSPVQEIQLLADRGLNVYSMTAAGGALEGAVRGQPGSVSESSIEDLYKTRVPSTARSLNS FHSVKYTSGTVSPHEAAARTNQNISTYLPPTAPSVSNFIPQHCNAMLCPARPTSPNCLCT PGHELAASSRAASASIFRGFRAASASDHQASQPPALPSPQPHDSSYF >ENSMUSP00000106543.2 pep:known chromosome:GRCm38:2:115581733:115778768:1 gene:ENSMUSG00000040282.13 transcript:ENSMUST00000110918.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC052040 description:cDNA sequence BC052040 [Source:MGI Symbol;Acc:MGI:3026886] MILTKAQYEEIAQCLVSVPPTRQSLRKLKQRFPSQSQATLLSIFSQEYQKHIKRTHAKHH TPEAIESYYQRYLNGVGKNGAAPVLLELANEVDYAPSLMARIILERFLQGHEQTPPSKSV INSMLRDPSQIPDGVLANQVYQCIVNDCCYGPLVDCIKHAIGYEHEVLLRDLLLKKNLSF LDEDQLRAKGYDKTPDFILQVPVAVEGHIIHWIESKASFGDECSHHAYLHGQFWSYWNRF GPGLVIYWYGFIQELDCNRERGILLKASFPTDIVTLCHSTA >ENSMUSP00000126772.1 pep:known chromosome:GRCm38:2:115581716:115778768:1 gene:ENSMUSG00000040282.13 transcript:ENSMUST00000166472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC052040 description:cDNA sequence BC052040 [Source:MGI Symbol;Acc:MGI:3026886] MILTKAQYEEIAQCLVSVPPTRQSLRKLKQRFPSQSQATLLSIFSQEYQKHIKRTHAKHH TPEAIESYYQRYLNGVGKNGAAPVLLELANEVDYAPSLMARIILERFLQGHEQTPPSKSV INSMLRDPSQIPDGVLANQVYQCIVNDCCYGPLVDCIKHAIGYEHEVLLRDLLLKKNLSF LDEDQLRAKGYDKTPDFILQVPVVMLCPLAVEGHIIHWIESKASFGDECSHHAYLHGQFW SYWNRFGPGLVIYWYGFIQELDCNRERGILLKASFPTDIVTLCHSTA >ENSMUSP00000030189.7 pep:known chromosome:GRCm38:4:43566928:43578873:-1 gene:ENSMUSG00000028467.15 transcript:ENSMUST00000030189.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gba2 description:glucosidase beta 2 [Source:MGI Symbol;Acc:MGI:2654325] MVTCVPASEQVGCAERDSQVYCEDTGGTEAVRVTDCGSPEDSGPQDEPSYCNSEDSGQLM ASYEGKARGYQVPPFGWRICLAHEFAEKRRPFQANNISLSNLVKHLGMGLRYLKWWYRKT HVEKKTPFIDMLNSLPLRQIYGCPLGGIGGGTITRGWRGQFCRWQLNPGMYQHQTVIADQ FIVCLRRDGRTVYQQVLSLELPNVLRSWNWGLCGYFAFYHALYPRAWTVYQLPGQNVTLT CRQVTPILPHDYQDSSLPVGVFVWDVENEGDETLDVSITFSMRNGLGGEDDAAGSLWNEP FRLEQGGTTVQGLLLHHPTPPNPYTMAVAARCTADTTVTHTTAFDPNGTGQQVWQDLLQD GQLDSPAGQSTPTQKGEGIAGAVCVSSKLLPRSRCCLEFSLAWDMPKIMFGAKSQVHYRR YTRFFGSDGDVAPALSHYALCHYADWEDRISAWQNPVLDDRTLPAWYKSALFNELYFLAD GGTVWLEVPADSLPEGLGGSMRQLRSTLQDYGRFGYLEGQEYRMYNTYDVHFYASFALVM LWPKLELSLQYDMALATLKEDLTRRRYLMSGVVAPVKRRNVIPHDIGDPDDEPWLRVNAY LIHDTADWKDLNLKFVLQIYRDYYLTGDQGFLEDMWPVCLAVMESEMKFDKDQDGLIENG GYADQTYDAWVTTGPSAYCGGLWLAAVAVMVQMAVLCGAQDVQERFASILCRGREAYERL LWNGRYYNYDSSSHPQSRSIMSDQCAGQWFLRACGLGEGDTEVFPTLHVVRALQTIFELN VQAFAGGAMGAVNGMHPHGVPDRSSVQSDEVWVGVVYGLAATMIQEGLTWEGFRTAEGCY RTVWERLGLAFQTPEAYCQQQVFRSLAYMRPLSIWAMQLALQQQQHKKSRRPSVTQGTGL STQPECGPKRSLANLNSE >ENSMUSP00000119589.1 pep:known chromosome:GRCm38:4:43570762:43578636:-1 gene:ENSMUSG00000028467.15 transcript:ENSMUST00000130443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gba2 description:glucosidase beta 2 [Source:MGI Symbol;Acc:MGI:2654325] MVTCVPASEQVGCAERDSQVYCEDTGGTEAVRVTDCGSPEDSGPQDEPSYCNSEDSGQLM ASYEGKARGYQVPPFGWRICLAHEFAEKRRPFQANNISLSNLVKHLGMGLRYLKWWYRKT HVEKKTPFIDMLNSLPLRQIYGCPLGGIGGGTITRGWRGQFCRWQLNPGMYQHQTVIADQ DSSLPVGVFVWDVENEGDETLDVSITFSMRNGLGGEDDAAGSLWNEPFRL >ENSMUSP00000067708.7 pep:known chromosome:GRCm38:13:12269426:12340760:-1 gene:ENSMUSG00000052374.14 transcript:ENSMUST00000064204.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actn2 description:actinin alpha 2 [Source:MGI Symbol;Acc:MGI:109192] MNQIEPGVQYNYVYDEDEYMIQEEEWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIE NIEEDFRNGLKLMLLLEVISGERLPKPDRGKMRFHKIANVNKALDYIASKGVKLVSIGAE EIVDGNVKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYRNVNIQNFHTSW KDGLGLCALIHRHRPDLIDYSKLNKDDPIGNINLAMEIAEKHLDIPKMLDAEDIVNTPKP DERAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENERLMEEYERLASELLEWIRRT IPWLENRTPEKTMQAMQKKLEDFRDYRRKHKPPKVQEKCQLEINFNTLQTKLRISNRPAF MPSEGKMVSDIAGAWQRLEQAEKGYEEWLLNEIRRLERLEHLAEKFRQKASTHETWAYGK EQILLQKDYESASLTEVRALLRKHEAFESDLAAHQDRVEQIAAIAQELNELDYHDAVNVN DRCQKICDQWDRLGTLTQKRREALERTEKLLETIDQLHLEFAKRAAPFNNWMEGAMEDLQ DMFIVHSIEEIQSLITAHEQFKATLPEADGERQSILAIQNEVEKVIQSYSIRISSSNPYS TVTMDELRNKWDKVKQLVPVRDQSLQEELARQHANERLRRQFAAQANAIGPWIQNKMEEI ARSSIQITGALEDQMNQLKQYEHNIINYKNNIDKLEGDHQLIQEALVFDNKHTNYTMEHI RVGWELLLTTIARTINEVETQILTRDAKGITQEQMNEFRASFNHFDRRKNGLMDHEDFRA CLISMGYDLGEAEFARIMTLVDPNGQGTVTFQSFIDFMTRETADTDTAEQVIASFRILAS DKPYILAEELRRELPPDQAQYCIKRMPPYSGPGSVPGALDYTAFSSALYGESDL >ENSMUSP00000106246.1 pep:known chromosome:GRCm38:13:12308219:12340732:-1 gene:ENSMUSG00000052374.14 transcript:ENSMUST00000110616.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actn2 description:actinin alpha 2 [Source:MGI Symbol;Acc:MGI:109192] MNQIEPGVQYNYVYDEDEYMIQEEEWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIE NIEEDFRNGLKLMLLLEVISGERLPKPDRGKMRFHKIANVNKALDYIASKGVKLVSIGAE EIVDGNVKMTLGMIWTIILRFAIQDISVEGKVHGGGPRV >ENSMUSP00000129609.1 pep:known chromosome:GRCm38:13:12269435:12340724:-1 gene:ENSMUSG00000052374.14 transcript:ENSMUST00000168193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actn2 description:actinin alpha 2 [Source:MGI Symbol;Acc:MGI:109192] MNQIEPGVQYNYVYDEDEYMIQEEEWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIE NIEEDFRNGLKLMLLLEVISGERLPKPDRGKMRFHKIANVNKALDYIASKGVKLVSIGAE EIVDGNVKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYRNVNIQNFHTSW KDGLGLCALIHRHRPDLIDYSKLNKDDPIGNINLAMEIAEKHLDIPKMLDAEDIVNTPKP DERAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENERLMEEYERLASELLEWIRRT IPWLENRTPEKTMQAMQKKLEDFRDYRRKHKPPKVQEKCQLEINFNTLQTKLRISNRPAF MPSEGKMVSDIAGAWQRLEQAEKGYEEWLLNEIRRLERLEHLAEKFRQKASTHETWAYGK EQILLQKDYESASLTEVRALLRKHEAFESDLAAHQDRVEQIAAIAQELNELDYHDAVNVN DRCQKICDQWDRLGTLTQKRREALERTEKLLETIDQLHLEFAKRAAPFNNWMEGAMEDLQ DMFIVHSIEEIQSLITAHEQFKATLPEADGERQSILAIQNEVEKVIQSYSIRISSSNPYS TVTMDELRNKWDKVKQLVPVRDQSLQEELARQHANERLRRQFAAQANAIGPWIQNKMEEI ARSSIQITGALEDQMNQLKQYEHNIINYKNNIDKLEGDHQLIQEALVFDNKHTNYTMEHI RVGWELLLTTIARTINEVETQILTRDAKGITQEQMNEFRASFNHFDRRKNGLMDHEDFRA CLISMGYDLGEAEFARIMTLVDPNGQGTVTFQSFIDFMTRETADTDTAEQVIASFRILAS DKPYILAEELRRELPPDQAQYCIKRMPPYSGPGSVPGALDYTAFSSALYGESDL >ENSMUSP00000032842.6 pep:known chromosome:GRCm38:7:92561149:92582294:1 gene:ENSMUSG00000030613.13 transcript:ENSMUST00000032842.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc90b description:coiled-coil domain containing 90B [Source:MGI Symbol;Acc:MGI:1913615] MRSRWIWRFLRPDGGGIRWTSTPHGRLSPALRRGFLTTTTKSDYDRRPVDITPLEQRKLT FDTHALVQDLETHGFDKTQAQTIVSVLSTLSNVSLDTIYKEMVTKAQQEITVQQLMAHLD SIRKDMVILEKSEFANLRAENEKMKIELDQVKQQLTNETSRIRADNKLDINLERSRVTDM FTDQEKQLIEATNEFAKKDTQTKSIISETSNKIDTEIASLKTLMESSKLETIRYLAASVF TCLAIALGFYRFWKEN >ENSMUSP00000082090.4 pep:known chromosome:GRCm38:7:92561149:92582294:1 gene:ENSMUSG00000030613.13 transcript:ENSMUST00000085017.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc90b description:coiled-coil domain containing 90B [Source:MGI Symbol;Acc:MGI:1913615] MRSRWIWRFLRPDGGGIRWTSTPHGRLSPALRRGFLTTTTKSDYDRRPVDITPLEQRKLT FDTHALVQDLETHGFDKTQAQTIVSVLSTLSNVSLDTIYKEMVTKAQQKMKIELDQVKQQ LTNETSRIRADNKLDINLERSRVTDMFTDQEKQLIEATNEFAKKDTQTKSIISETSNKID TEIASLKTLMESSKLETIRYLAASVFTCLAIALGFYRFWKEN >ENSMUSP00000080832.5 pep:known chromosome:GRCm38:15:89123307:89128700:-1 gene:ENSMUSG00000062906.12 transcript:ENSMUST00000082197.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac10 description:histone deacetylase 10 [Source:MGI Symbol;Acc:MGI:2158340] MGTALVYHEDMTATRLLWDDPECEIECPERLTAALDGLRQRGLEERCLCLSACEASEEEL GLVHSPEYIALVQKTQTLDKEELHALSKQYNAVYFHPDTFHCARLAAGAALQLVDAVLTG AVHNGLALVRPPGHHSQRAAANGFCVFNNVALAAKHAKQKYGLQRILIVDWDVHHGQGIQ YIFNDDPSVLYFSWHRYEHGSFWPFLPESDADAVGQGQGQGFTVNLPWNQVGMGNADYLA AFLHVLLPLAFEFDPELVLVSAGFDSAIGDPEGQMQATPECFAHLTQLLQVLAGGRICAV LEGGYHLESLAQSVCMMVQTLLGDPTPPLLGLMVPCQSALESIQSVQTAQTPYWTSLQQN VAPVLSSSTHSPEERSLRLLGESPTCAVAEDSLSPLLDQLCLRPAPPICTAVASTVPGAA LCLPPGVLHQEGSVLREETEAWARLHKSRFQDEDLATLGKILCLLDGIMDGQIRNAIATT TALATAATLDVLIQRCLARRAQRVLCVALGQLDRPLDLADDGRILWLNIRGKDAAIQSMF HFSTPLPQTTGGFLSLILGLVLPLAYGFQPDMVLMALGPAHGLQNAQAALLAAMLRSPVG GRILAVVEEESIRLLARSLAQALHGETPPSLGPFSKATPEEIQALMFLKARLEARWKLLQ VAAPPP >ENSMUSP00000104971.1 pep:known chromosome:GRCm38:15:89123572:89128448:-1 gene:ENSMUSG00000062906.12 transcript:ENSMUST00000109347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac10 description:histone deacetylase 10 [Source:MGI Symbol;Acc:MGI:2158340] MGTALVYHEDMTATRLLWDDPECEIECPERLTAALDGLRQRGLEERCLCLSACEASEEEL GLVHSPEYIALVQKTQTLDKEELHALSKQYNAVYFHPDTFHCARLAAGAALQLVDAVLTG AVHNGLALVRPPGHHSQRAAANGFCVFNNVALAAKHAKQKYGLQRILIVDWDVHHGQGIQ YIFNDDPSVLYFSWHRYEHGSFWPFLPESDADAVGQGQGQGFTVNLPWNQVGMGNADYLA AFLHVLLPLAFEGGYHLESLAQSVCMMVQTLLGDPTPPLLGLMVPCQSALESIQSVQTAQ TPYWTSLQQNVAPVLSSSTHSPEERSLRLLGESPTCAVAEDSLSPLLDQLCLRPAPPICT AVASTVPGAALCLPPGVLHQEGSVLREETEAWARNAIATTTALATAATLDVLIQRCLARR AQRVLCVALGQLDRPLDLADDGRILWLNIRGKDAAIQSMFHFSTPLPQGF >ENSMUSP00000122274.1 pep:known chromosome:GRCm38:9:122351608:122381524:1 gene:ENSMUSG00000032540.15 transcript:ENSMUST00000156520.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd5 description:abhydrolase domain containing 5 [Source:MGI Symbol;Acc:MGI:1914719] MKAMAAEEEVDSADAGGGSGWLTGWLPTWCPTSTSHLKEAEEKMLKCVPCTYKKEPVRIS NGNRIWTLMFSHNISSKTPLVLLHGFGGGLGLWALNFEDLSTDRPVYAFDLLGFGRSSRP RFDSDAEEVENQFVESIEEWRCALRLDKMILLGHNLGGFLAAAYSLKYPSRVSHLILVEP WGFPERPDLADQERPIPVWIRALGAALTPFNPLAGLRIAGPFGLSLVQRLRPDFKRKYSS MFEDDTVTEYIYHCNVQTPSGETAFKNMTIPYGWAKRPMLQRIGGLHPDIPVSVIFGARS CIDGNSGTSIQSLRPKSYVKTIAILGAGHYVYADQPEEFNQKVKEICHTVD >ENSMUSP00000135538.1 pep:known chromosome:GRCm38:9:122351730:122379825:1 gene:ENSMUSG00000032540.15 transcript:ENSMUST00000035128.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd5 description:abhydrolase domain containing 5 [Source:MGI Symbol;Acc:MGI:1914719] MKAMAAEEEVDSADAGGGFHICELGLIWTKITPNCQDG >ENSMUSP00000107123.3 pep:known chromosome:GRCm38:9:122351730:122381524:1 gene:ENSMUSG00000032540.15 transcript:ENSMUST00000111497.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd5 description:abhydrolase domain containing 5 [Source:MGI Symbol;Acc:MGI:1914719] MKAMAAEEEVDSADAGGGVSHLILVEPWGFPERPDLADQERPIPVWIRALGAALTPFNPL AGLRIAGPFGLSLVQRLRPDFKRKYSSMFEDDTVTEYIYHCNVQTPSGETAFKNMTIPYG WAKRPMLQRIGGLHPDIPVSVIFGARSCIDGNSGTSIQSLRPKSYVKTIAILGAGHYVYA DQPEEFNQKVKEICHTVD >ENSMUSP00000135807.1 pep:known chromosome:GRCm38:9:122351732:122377932:1 gene:ENSMUSG00000032540.15 transcript:ENSMUST00000175973.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd5 description:abhydrolase domain containing 5 [Source:MGI Symbol;Acc:MGI:1914719] MKAMAAEEEVDSADAGGGCPLHLQERACAHIQWKQNMDADVLSQHF >ENSMUSP00000122939.1 pep:known chromosome:GRCm38:9:122363653:122368261:1 gene:ENSMUSG00000032540.15 transcript:ENSMUST00000154161.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd5 description:abhydrolase domain containing 5 [Source:MGI Symbol;Acc:MGI:1914719] MLKCVPCTYKKEPVRISNGNRIWTLMFSHNISSKTPLVLLHGFGGGLGLWALNFEDLSTD RPVYAFDLLGFGRSSRPRFDSDAEEVENQFVESIEEWRCALRLDKMILLGHNLGGFLAAA YSLKYPS >ENSMUSP00000106453.2 pep:known chromosome:GRCm38:5:140008689:140321550:-1 gene:ENSMUSG00000029554.15 transcript:ENSMUST00000110829.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mad1l1 description:MAD1 mitotic arrest deficient 1-like 1 [Source:MGI Symbol;Acc:MGI:1341857] MEDLGENTTVLSSLRSLNNFISQRMEGTSGLDVSTSASGSLQKQYEYHMQLEERAEQIRS KSYLIQVEREKMQMELSHKRARVELERAASTNARNYEREVDRNQELLARIRQLQECEATA EEKMREQLERHRLCKQNLDAVSQQLREQEDSLASAREMISSLKGRVSELQLSAMDQKVQV KRLESEKQELKEQLELQQRKWQEANQKIQELQASQDERAEHEQKIKDLEQKLCLQEQDAA VVKSMKSELMRMPRMERELKRLHEENTHLREMKETNGLLTEELEGLQRKLSRQEKMQEAL VDLELEKEKLLAKLQSWENLDQTMGLNLRTPEDLSRFVVELQQRELTLKEKNNSITSSAR GLEKVQQQLQDEVRQANAQLLEERKKRETHEALARRLQKRNALLTKERDGMRAILGSYDS ELTQTEYSTQLTQRLWEAEDMVQKVHAHSSEMEAQLSQALEELGVQKQRADTLEMELKML KAQTSSAESSFSFCKEEVDALRVTTTRVEPKCYT >ENSMUSP00000031534.6 pep:known chromosome:GRCm38:5:140008689:140321552:-1 gene:ENSMUSG00000029554.15 transcript:ENSMUST00000031534.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mad1l1 description:MAD1 mitotic arrest deficient 1-like 1 [Source:MGI Symbol;Acc:MGI:1341857] MEDLGENTTVLSSLRSLNNFISQRMEGTSGLDVSTSASGSLQKQYEYHMQLEERAEQIRS KSYLIQVEREKMQMELSHKRARVELERAASTNARNYEREVDRNQELLARIRQLQECEATA EEKMREQLERHRLCKQNLDAVSQQLREQEDSLASAREMISSLKGRVSELQLSAMDQKVQV KRLESEKQELKEQLELQQRKWQEANQKIQELQASQDERAEHEQKIKDLEQKLCLQEQDAA VVKSMKSELMRMPRMERELKRLHEENTHLREMKETNGLLTEELEGLQRKLSRQEKMQEAL VDLELEKEKLLAKLQSWENLDQTMGLNLRTPEDLSRFVVELQQRELTLKEKNNSITSSAR GLEKVQQQLQDEVRQANAQLLEERKKRETHEALARRLQKRNALLTKERDGMRAILGSYDS ELTQTEYSTQLTQRLWEAEDMVQKVHAHSSEMEAQLSQALEELGVQKQRADTLEMELKML KAQTSSAESSFSFCKEEVDALRLKVEELEGERSRLEQEKQVLEMQMEKLTLQGDYNQSRT KVLHMSLNPISMARQRQHEDHDRLQEECERLRGLVHALERGGPIPADLEAASSLPSSKEV AELRKQVESAELKNQRLKEVFQTKIQEFRKVCYTLTGYQIDVTTESQYRLTSRYAEHQTD CLIFKATGPSGSKMQLLETEFSRSVPELIELHLLQQDSIPAFLSALTIELFSRQTSI >ENSMUSP00000068057.3 pep:known chromosome:GRCm38:9:40874127:40877972:1 gene:ENSMUSG00000054360.3 transcript:ENSMUST00000067375.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsx description:brain specific homeobox [Source:MGI Symbol;Acc:MGI:2669849] MNLNFTSPLHPASSQRPTSFFIEDILLHKPKPLREVAPDHFASSLASRVPLLDYGYPLMP TPTLLTPHAHHPLHKGDHHHPYFLTTSGMPVPALFPHPQHAELPGKHCRRRKARTVFSDS QLSGLEKRFEIQRYLSTPERVELATALSLSETQVKTWFQNRRMKHKKQLRKSQDEPKAAD GPESPEGSPRAPEGAPADARLSLPAGAFVLTEPEDEVDIGDEGELSSGPHVL >ENSMUSP00000029611.7 pep:known chromosome:GRCm38:3:131110471:131224356:1 gene:ENSMUSG00000027985.14 transcript:ENSMUST00000029611.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lef1 description:lymphoid enhancer binding factor 1 [Source:MGI Symbol;Acc:MGI:96770] MPQLSGGGGGGDPELCATDEMIPFKDEGDPQKEKIFAEISHPEEEGDLADIKSSLVNESE IIPASNGHEVVRQAPSSQEPYHDKAREHPDEGKHPDGGLYNKGPSYSSYSGYIMMPNMNS DPYMSNGSLSPPIPRTSNKVPVVQPSHAVHPLTPLITYSDEHFSPGSHPSHIPSDVNSKQ GMSRHPPAPEIPTFYPLSPGGVGQITPPIGWQGQPVYPITGGFRQPYPSSLSGDTSMSRF SHHMIPGPPGPHTTGIPHPAIVTPQVKQEHPHTDSDLMHVKPQHEQRKEQEPKRPHIKKP LNAFMLYMKEMRANVVAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQLHMQL YPGWSARDNYGKKKKRKREKLQESTSGTGPRMTAAYI >ENSMUSP00000101948.2 pep:known chromosome:GRCm38:3:131110471:131224356:1 gene:ENSMUSG00000027985.14 transcript:ENSMUST00000106341.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lef1 description:lymphoid enhancer binding factor 1 [Source:MGI Symbol;Acc:MGI:96770] MPQLSGGGGGGDPELCATDEMIPFKDEGDPQKEKIFAEISHPEEEGDLADIKSSLVNESE IIPASNGHEVVRQAPSSQEPYHDKAREHPDEGKHPDGGLYNKGPSYSSYSGYIMMPNMNS DPYMSNGSLSPPIPRTSNKVPVVQPSHAVHPLTPLITYSDEHFSPGSHPSHIPSDVNSKQ GMSRHPPAPEIPTFYPLSPGGVGQITPPIGWFSHHMIPGPPGPHTTGIPHPAIVTPQVKQ EHPHTDSDLMHVKPQHEQRKEQEPKRPHIKKPLNAFMLYMKEMRANVVAECTLKESAAIN QILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKREKLQESTSGG KRSSFPTCKAKAATPGPLLEMEAC >ENSMUSP00000067808.6 pep:known chromosome:GRCm38:3:131110471:131224356:1 gene:ENSMUSG00000027985.14 transcript:ENSMUST00000066849.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lef1 description:lymphoid enhancer binding factor 1 [Source:MGI Symbol;Acc:MGI:96770] MPQLSGGGGGGDPELCATDEMIPFKDEGDPQKEKIFAEISHPEEEGDLADIKSSLVNESE IIPASNGHEVVRQAPSSQEPYHDKAREHPDEGKHPDGGLYNKGPSYSSYSGYIMMPNMNS DPYMSNGSLSPPIPRTSNKVPVVQPSHAVHPLTPLITYSDEHFSPGSHPSHIPSDVNSKQ GMSRHPPAPEIPTFYPLSPGGVGQITPPIGWFSHHMIPGPPGPHTTGIPHPAIVTPQVKQ EHPHTDSDLMHVKPQHEQRKEQEPKRPHIKKPLNAFMLYMKEMRANVVAECTLKESAAIN QILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKREKLQESTSGT GPRMTAAYI >ENSMUSP00000096211.3 pep:known chromosome:GRCm38:3:131112797:131224356:1 gene:ENSMUSG00000027985.14 transcript:ENSMUST00000098611.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lef1 description:lymphoid enhancer binding factor 1 [Source:MGI Symbol;Acc:MGI:96770] MAGVVRQAPSSQEPYHDKAREHPDEGKHPDGGLYNKGPSYSSYSGYIMMPNMNSDPYMSN GSLSPPIPRTSNKVPVVQPSHAVHPLTPLITYSDEHFSPGSHPSHIPSDVNSKQGMSRHP PAPEIPTFYPLSPGGVGQITPPIGWQGQPVYPITGGFRQPYPSSLSGDTSMSRFSHHMIP GPPGPHTTGIPHPAIVTPQVKQEHPHTDSDLMHVKPQHEQRKEQEPKRPHIKKPLNAFML YMKEMRANVVAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSA RDNYGKKKKRKREKLQESTSGTGPRMTAAYI >ENSMUSP00000076681.2 pep:known chromosome:GRCm38:2:87532035:87532958:1 gene:ENSMUSG00000061520.3 transcript:ENSMUST00000077471.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr153 description:olfactory receptor 153 [Source:MGI Symbol;Acc:MGI:1313138] MNIGNCSLNEFIFVGVTNNPEMKGTLFTIFLLIYLINLLGNIGMIILIRMDPQLHTPMYF FLSHLSFCDLCYSTAIGPKMLVDMFGKNKSIPFWGCAVQFFISCTFADSECVLLAVMAFD RYQAISNPLLYTANMSSRKCFMFMAGVYLVGTSDALIHTTLAFRLCFCGSNEINHFFCDL PPIYLLSCSDTQVNYLALFTIYGFLELSTISGVLVSYCYIISSVLKIRSTEGRFKAFSTC TSHLTAVAIFQGTLLFTYFRPSSSYSLDQDKMTSLFYTLVIPMLNPLIYSLRNKDVKEAL GKMKRKR >ENSMUSP00000066493.2 pep:known chromosome:GRCm38:6:71812997:71823810:-1 gene:ENSMUSG00000052962.3 transcript:ENSMUST00000065103.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl35 description:mitochondrial ribosomal protein L35 [Source:MGI Symbol;Acc:MGI:1913473] MAASIFTGAVRAASGIFRPLNVLASSTYRNCARNACLNSSLCTIHFRHIQTSVVSSAPRL VTSVGHLAYGHTTTVLNRVATLVPSVLKPPVRALTYCSTRKGKRKTVKSVVHRFLRLHSG LWLRRKAGYKKKLWKKSTARKKRLREFVFCSKTQSKLLDKMTTSFWKRRNWYAGDPYQMY HDRTNLRV >ENSMUSP00000146077.1 pep:known chromosome:GRCm38:6:71816163:71823763:-1 gene:ENSMUSG00000052962.3 transcript:ENSMUST00000205435.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl35 description:mitochondrial ribosomal protein L35 [Source:MGI Symbol;Acc:MGI:1913473] MAASIFTGAVRAASGQCSIDSFTEKRVGAGGSGNLVWMLTRKEKQDDLRSEVCCAIPCTV >ENSMUSP00000040853.4 pep:known chromosome:GRCm38:4:141746672:141759263:1 gene:ENSMUSG00000040706.4 transcript:ENSMUST00000038161.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agmat description:agmatine ureohydrolase (agmatinase) [Source:MGI Symbol;Acc:MGI:1923236] MLRLLRSSWARGLGSGVATWRPSAGLFRPGCPGIRQASGASDTPHHQSPSSESPVQPVGV GVCSMMRLPLQSSPEGLDAAFIGVPLDTGTSNRPGARFGPCRIREESLMLGAVNPSTGAL PFQSLRVADLGNVNVNLYNLQDSCLLIREAYQNVLAAGCIPLTLGGDQTITYPILQAVAK EHGPVGLVHVGAHTNTTDKPREEKVYHRTPFRRSVDEGLLDSKRVVQIGIRGSSRTLDPY RYSRSQGFRVVLAEDCWMKSLVPLMAEVRQQMGGKPLYISFAIDALDPAYAPGTGTPEIA GLTPSQALEIIRGCQGLNVVGCDLVEVSPPYDLSGNTALLAANLLFEMLCALPKVTTV >ENSMUSP00000130954.1 pep:known chromosome:GRCm38:6:97183670:97205560:-1 gene:ENSMUSG00000030061.16 transcript:ENSMUST00000164744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba3 description:ubiquitin-like modifier activating enzyme 3 [Source:MGI Symbol;Acc:MGI:1341217] MADGEEPMAVDGGCGDTGDWEGRWNHVKKFLERSGPFTHPDFEPSTESLQFLLDTCKVLV IGAGGLGCELLKNLALSGFRQIHVIDMDTIDVSNLNRQFLFRPKDVGRPKAEVAAEFLND RVPNCNVVPHFNKIQDFNDTFYRQFHIIVCGLDSIIARRWINGMLISLLNYEDGVLDPSS IVPLIDGGTEGFKGNARVILPGMTACIECTLELYPPQVNFPMCTIASMPRLPEHCIEYVR MLQWPKEQPFGDGVPLDGDDPEHIQWIFQKSIERASQYNIRGVTYRLTQGVVKRIIPAVA STNAVIAAVCATEVFKIATSAYIPLNNYLVFNDVDGLYTYTFEAERKENCPACSQLPQNI QFSPSAKLQEVLDYLTNSASLQMKSPAITATLEGKNRTLYLQSVTSIEERTRPNLSKTLK ELGLVDGQELAVADVTTPQTVLFKLHFT >ENSMUSP00000145309.1 pep:known chromosome:GRCm38:6:97183818:97205559:-1 gene:ENSMUSG00000030061.16 transcript:ENSMUST00000204056.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uba3 description:ubiquitin-like modifier activating enzyme 3 [Source:MGI Symbol;Acc:MGI:1341217] MADGEEPEKKRRRIEELLAEKLVLLGGRGPLRRPPPPPNPRPRGPAAAGPRVLGGGHLEQ AARPHP >ENSMUSP00000086701.5 pep:known chromosome:GRCm38:6:97184007:97205647:-1 gene:ENSMUSG00000030061.16 transcript:ENSMUST00000089287.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba3 description:ubiquitin-like modifier activating enzyme 3 [Source:MGI Symbol;Acc:MGI:1341217] MADGEEPEKKRRRIEELLAEKMAVDGGCGDTGDWEGRWNHVKKFLERSGPFTHPDFEPST ESLQFLLDTCKVLVIGAGGLGCELLKNLALSGFRQIHVIDMDTIDVSNLNRQFLFRPKDV GRPKAEVAAEFLNDRVPNCNVVPHFNKIQDFNDTFYRQFHIIVCGLDSIIARRWINGMLI SLLNYEDGVLDPSSIVPLIDGGTEGFKGNARVILPGMTACIECTLELYPPQVNFPMCTIA SMPRLPEHCIEYVRMLQWPKEQPFGDGVPLDGDDPEHIQWIFQKSIERASQYNIRGVTYR LTQGVVKRIIPAVASTNAVIAAVCATEVFKIATSAYIPLNNYLVFNDVDGLYTYTFEAER KENCPACSQLPQNIQFSPSAKLQEVLDYLTNSASLQMKSPAITATLEGKNRTLYLQSVTS IEERTRPNLSKTLKELGLVDGQELAVADVTTPQTVLFKLHFT >ENSMUSP00000058432.6 pep:known chromosome:GRCm38:2:180257377:180258445:1 gene:ENSMUSG00000039001.12 transcript:ENSMUST00000059080.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps21 description:ribosomal protein S21 [Source:MGI Symbol;Acc:MGI:1913731] MQNDAGEFVDLYVPRKCSASNRIIAAKDHASIQMNVAEVDRTTGRFNGQFKTYGICGAIR RMGESDDSILRLAKADGIVSKNF >ENSMUSP00000109667.2 pep:known chromosome:GRCm38:17:34898469:34914051:1 gene:ENSMUSG00000013787.15 transcript:ENSMUST00000114033.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt2 description:euchromatic histone lysine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:2148922] MAAAAGAAAAAAAEGEAPAEMGALLLEKEPRGAAERVHSSLGDTPQSEETLPKANPDSLE PAGPSSPASVTVTVGDEGADTPVGAASLIGDEPESLEGDGGRIVLGHATKSFPSSPSKGG ACPSRAKMSMTGAGKSPPSVQSLAMRLLSMPGAQGAATAGPEPSPATTAAQEGQPKVHRA RKTMSKPSNGQPPIPEKRPPEVQHFRMSDDMHLGKVTSDVAKRRKLNSGSLSEDLGSAGG SGDIILEKGEPRPLEEWETVVGDDFSLYYDAYSVDERVDSDSKSEVEALAEQLSEEEEEE EEEEEEEEEEEEEEEEEEEDEESGNQSDRSGSSGRRKAKKKWRKDSPWVKPSRKRRKREP PRAKEPRGVNGVGSSGPSEYMEVPLGSLELPSEGTLSPNHAGVSNDTSSLETERGFEELP LCSCRMEAPKIDRISERAGHKCMATESVDGELLGCNAAILKRETMRPSSRVALMVLCEAH RARMVKHHCCPGCGYFCTAGTFLECHPDFRVAHRFHKACVSQLNGMVFCPHCGEDASEAQ EVTIPRGDGGTPPIGTAAPALPPLAHDAPGRADTSQPSARMRGHGEPRRPPCDPLADTID SSGPSLTLPNGGCLSAVGLPPGPGREALEKALVIQESERRKKLRFHPRQLYLSVKQGELQ KVILMLLDNLDPNFQSDQQSKRTPLHAAAQKGSVEICHVLLQAGANINAVDKQQRTPLME AVVNNHLEVARYMVQLGGCVYSKEEDGSTCLHHAAKIGNLEMVSLLLSTGQVDVNAQDSG GWTPIIWAAEHKHIDVIRMLLTRGADVTLTDNEENICLHWASFTGSAAIAEVLLNAQCDL HAVNYHGDTPLHIAARESYHDCVLLFLSRGANPELRNKEGDTAWDLTPERSDVWFALQLN RKLRLGVGNRAVRTEKIICRDVARGYENVPIPCVNGVDGEPCPEDYKYISENCETSTMNI DRNITHLQHCTCVDDCSSSNCLCGQLSIRCWYDKDGRLLQEFNKIEPPLIFECNQACSCW RSCKNRVVQSGIKVRLQLYRTAKMGWGVRALQTIPQGTFICEYVGELISDAEADVREDDS YLFDLDNKDGEVYCIDARYYGNISRFINHLCDPNIIPVRVFMLHQDLRFPRIAFFSSRDI RTGEELGFDYGDRFWDIKSKYFTCQCGSEKCKHSAEAIALEQSRLARLDPHPELLPDLSS LPPINT >ENSMUSP00000077208.6 pep:known chromosome:GRCm38:17:34898499:34914047:1 gene:ENSMUSG00000013787.15 transcript:ENSMUST00000078061.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt2 description:euchromatic histone lysine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:2148922] MAAAAGAAAAAAAEGEAPAEMGALLLEKEPRGAAERVHSSLGDTPQSEETLPKANPDSLE PAGPSSPASVTVTVGDEGADTPVGAASLIGDEPESLEGDGGRIVLGHATKSFPSSPSKGG ACPSRAKMSMTGAGKSPPSVQSLAMRLLSMPGAQGAATAGPEPSPATTAAQEGQPKVHRA RKTMSKPSNGQPPIPEKRPPEVQHFRMSDDMHLGKVTSDVAKRRKLNSGSLSEDLGSAGG SGDIILEKGEPRPLEEWETVVGDDFSLYYDAYSVDERVDSDSKSEVEALAEQLSEEEEEE EEEEEEEEEEEEEEEEEEEDEESGNQSDRSGSSGRRKAKKKWRKDSPWVKPSRKRRKREP PRAKEPRGVSNDTSSLETERGFEELPLCSCRMEAPKIDRISERAGHKCMATESVDGELLG CNAAILKRETMRPSSRVALMVLCEAHRARMVKHHCCPGCGYFCTAGTFLECHPDFRVAHR FHKACVSQLNGMVFCPHCGEDASEAQEVTIPRGDGGTPPIGTAAPALPPLAHDAPGRADT SQPSARMRGHGEPRRPPCDPLADTIDSSGPSLTLPNGGCLSAVGLPPGPGREALEKALVI QESERRKKLRFHPRQLYLSVKQGELQKVILMLLDNLDPNFQSDQQSKRTPLHAAAQKGSV EICHVLLQAGANINAVDKQQRTPLMEAVVNNHLEVARYMVQLGGCVYSKEEDGSTCLHHA AKIGNLEMVSLLLSTGQVDVNAQDSGGWTPIIWAAEHKHIDVIRMLLTRGADVTLTDNEE NICLHWASFTGSAAIAEVLLNAQCDLHAVNYHGDTPLHIAARESYHDCVLLFLSRGANPE LRNKEGDTAWDLTPERSDVWFALQLNRKLRLGVGNRAVRTEKIICRDVARGYENVPIPCV NGVDGEPCPEDYKYISENCETSTMNIDRNITHLQHCTCVDDCSSSNCLCGQLSIRCWYDK DGRLLQEFNKIEPPLIFECNQACSCWRSCKNRVVQSGIKVRLQLYRTAKMGWGVRALQTI PQGTFICEYVGELISDAEADVREDDSYLFDLDNKDGEVYCIDARYYGNISRFINHLCDPN IIPVRVFMLHQDLRFPRIAFFSSRDIRTGEELGFDYGDRFWDIKSKYFTCQCGSEKCKHS AEAIALEQSRLARLDPHPELLPDLSSLPPINT >ENSMUSP00000094955.3 pep:known chromosome:GRCm38:17:34898954:34914044:1 gene:ENSMUSG00000013787.15 transcript:ENSMUST00000097342.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt2 description:euchromatic histone lysine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:2148922] MRGLPRGRGLMRARGRGRAAPTGGRGRGRGGAHRGRGRPRSLLSLPRAQASWAPQLPAGL TGPPVPCLPSQGEAPAEMGALLLEKEPRGAAERVHSSLGDTPQSEETLPKANPDSLEPAG PSSPASVTVTVGDEGADTPVGAASLIGDEPESLEGDGGRIVLGHATKSFPSSPSKGGACP SRAKMSMTGAGKSPPSVQSLAMRLLSMPGAQGAATAGPEPSPATTAAQEGQPKVHRARKT MSKPSNGQPPIPEKRPPEVQHFRMSDDMHLGKVTSDVAKRRKLNSGSLSEDLGSAGGSGD IILEKGEPRPLEEWETVVGDDFSLYYDAYSVDERVDSDSKSEVEALAEQLSEEEEEEEEE EEEEEEEEEEEEEEEEDEESGNQSDRSGSSGRRKAKKKWRKDSPWVKPSRKRRKREPPRA KEPRGVSNDTSSLETERGFEELPLCSCRMEAPKIDRISERAGHKCMATESVDGELLGCNA AILKRETMRPSSRVALMVLCEAHRARMVKHHCCPGCGYFCTAGTFLECHPDFRVAHRFHK ACVSQLNGMVFCPHCGEDASEAQEVTIPRGDGGTPPIGTAAPALPPLAHDAPGRADTSQP SARMRGHGEPRRPPCDPLADTIDSSGPSLTLPNGGCLSAVGLPPGPGREALEKALVIQES ERRKKLRFHPRQLYLSVKQGELQKVILMLLDNLDPNFQSDQQSKRTPLHAAAQKGSVEIC HVLLQAGANINAVDKQQRTPLMEAVVNNHLEVARYMVQLGGCVYSKEEDGSTCLHHAAKI GNLEMVSLLLSTGQVDVNAQDSGGWTPIIWAAEHKHIDVIRMLLTRGADVTLTDNEENIC LHWASFTGSAAIAEVLLNAQCDLHAVNYHGDTPLHIAARESYHDCVLLFLSRGANPELRN KEGDTAWDLTPERSDVWFALQLNRKLRLGVGNRAVRTEKIICRDVARGYENVPIPCVNGV DGEPCPEDYKYISENCETSTMNIDRNITHLQHCTCVDDCSSSNCLCGQLSIRCWYDKDGR LLQEFNKIEPPLIFECNQACSCWRSCKNRVVQSGIKVRLQLYRTAKMGWGVRALQTIPQG TFICEYVGELISDAEADVREDDSYLFDLDNKDGEVYCIDARYYGNISRFINHLCDPNIIP VRVFMLHQDLRFPRIAFFSSRDIRTGEELGFDYGDRFWDIKSKYFTCQCGSEKCKHSAEA IALEQSRLARLDPHPELLPDLSSLPPINT >ENSMUSP00000013931.5 pep:known chromosome:GRCm38:17:34898971:34914051:1 gene:ENSMUSG00000013787.15 transcript:ENSMUST00000013931.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt2 description:euchromatic histone lysine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:2148922] MRGLPRGRGLMRARGRGRAAPTGGRGRGRGGAHRGRGRPRSLLSLPRAQASWAPQLPAGL TGPPVPCLPSQGEAPAEMGALLLEKEPRGAAERVHSSLGDTPQSEETLPKANPDSLEPAG PSSPASVTVTVGDEGADTPVGAASLIGDEPESLEGDGGRIVLGHATKSFPSSPSKGGACP SRAKMSMTGAGKSPPSVQSLAMRLLSMPGAQGAATAGPEPSPATTAAQEGQPKVHRARKT MSKPSNGQPPIPEKRPPEVQHFRMSDDMHLGKVTSDVAKRRKLNSGSLSEDLGSAGGSGD IILEKGEPRPLEEWETVVGDDFSLYYDAYSVDERVDSDSKSEVEALAEQLSEEEEEEEEE EEEEEEEEEEEEEEEEDEESGNQSDRSGSSGRRKAKKKWRKDSPWVKPSRKRRKREPPRA KEPRGVNGVGSSGPSEYMEVPLGSLELPSEGTLSPNHAGVSNDTSSLETERGFEELPLCS CRMEAPKIDRISERAGHKCMATESVDGELLGCNAAILKRETMRPSSRVALMVLCEAHRAR MVKHHCCPGCGYFCTAGTFLECHPDFRVAHRFHKACVSQLNGMVFCPHCGEDASEAQEVT IPRGDGGTPPIGTAAPALPPLAHDAPGRADTSQPSARMRGHGEPRRPPCDPLADTIDSSG PSLTLPNGGCLSAVGLPPGPGREALEKALVIQESERRKKLRFHPRQLYLSVKQGELQKVI LMLLDNLDPNFQSDQQSKRTPLHAAAQKGSVEICHVLLQAGANINAVDKQQRTPLMEAVV NNHLEVARYMVQLGGCVYSKEEDGSTCLHHAAKIGNLEMVSLLLSTGQVDVNAQDSGGWT PIIWAAEHKHIDVIRMLLTRGADVTLTDNEENICLHWASFTGSAAIAEVLLNAQCDLHAV NYHGDTPLHIAARESYHDCVLLFLSRGANPELRNKEGDTAWDLTPERSDVWFALQLNRKL RLGVGNRAVRTEKIICRDVARGYENVPIPCVNGVDGEPCPEDYKYISENCETSTMNIDRN ITHLQHCTCVDDCSSSNCLCGQLSIRCWYDKDGRLLQEFNKIEPPLIFECNQACSCWRSC KNRVVQSGIKVRLQLYRTAKMGWGVRALQTIPQGTFICEYVGELISDAEADVREDDSYLF DLDNKDGEVYCIDARYYGNISRFINHLCDPNIIPVRVFMLHQDLRFPRIAFFSSRDIRTG EELGFDYGDRFWDIKSKYFTCQCGSEKCKHSAEAIALEQSRLARLDPHPELLPDLSSLPP INT >ENSMUSP00000121838.1 pep:known chromosome:GRCm38:17:34906002:34908558:1 gene:ENSMUSG00000013787.15 transcript:ENSMUST00000124846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt2 description:euchromatic histone lysine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:2148922] RISERAGHKCMATESVDGELLGCNAAILKRETMRPSSRVALMVLCEAHRARMVKHHCCPG CGYFCTAGTFLECHPDFRVAHRFHKACVSQLNGMVFCPHCGEDASEAQEVTIPRGDGGTP PIGTAAPALPPLAHDAPGRADTSQPSARMRGHGEPRRPPCDPLADTIDSSGPSLTLPNGG CLSAVGLPPGPGREALEKALVIQESESPPSPPPSPDRRKKLRFHPRQLYLSVKQGELQKV ILMLLDNLDPNFQSDQQSKRTPLHAAAQKGSVEICHVLLQAGANINAVDKQQRTPLMEAV VNNHLEVARYMVQLGGCVYSKEEDGSTCLHHAAKIGNLEMVSLLLSTGQVDVNAQDSGGW TPIIWAAEHKHIDVIRMLLTRGADVTLTDNEENICLHWASFTGSAAIAEVLLNAQCDLHA VNYHGDTPLHIAARESYHDCVL >ENSMUSP00000134749.1 pep:known chromosome:GRCm38:17:34907398:34914047:1 gene:ENSMUSG00000013787.15 transcript:ENSMUST00000137071.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ehmt2 description:euchromatic histone lysine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:2148922] PEGVGRDLSCAAAGANINAVDKQQRTPLMEAVVNNHLEVARYMVQLGGCVYSKEEDGSTC LHHAAKIGNLEMVSLLLSTGQVDVNAQDSGGWTPIIWAAEHKHIDVIRMLLTRGADVTLT DNVRDTQGGSENLGRKTSACTGPPSRVVPPSLRSF >ENSMUSP00000134089.1 pep:known chromosome:GRCm38:17:34907400:34908802:1 gene:ENSMUSG00000013787.15 transcript:ENSMUST00000172921.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt2 description:euchromatic histone lysine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:2148922] XKGSVEICHVLLQAGANINAVDKQQRTPLMEAVVNNHLEVARYMVQLGGCVYSKEEDGST CLHHAAKIGNLEMVSLLLSTGQVDVNAQEENICLHWASFTGSAAIAEVLLNAQCDLHAVN YHGDTPLHIAARESYHDCVLLFLSRGANPELRNKEGDTAWDLTPERSDVWFALQLNRKLR LGVGNRAVRTEKII >ENSMUSP00000030533.5 pep:known chromosome:GRCm38:4:138565360:138624012:-1 gene:ENSMUSG00000028753.12 transcript:ENSMUST00000030533.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa5b1 description:von Willebrand factor A domain containing 5B1 [Source:MGI Symbol;Acc:MGI:1922968] MPGLLNCLTGAALPLMESDVTSYVSGYALGLTASLTYGNLEAQPFQGLFVYPIDEYSTVV GFEAVIADRVVTIQLRDKAKLDRSHLDIQPATVTGNFPEEESPIAPGKVTLDEDLERVLF VVNLGTIAPMENVTVFISTSSELPTLPSGAVRVLLPAICAPTVPPSCTHRFGSSSPQPQG KDPHCFGTQTKDSYNRLCLATLLDTKVTNPMEYEFKFQLEIRGPCLLAGVESPTHEIRAD AAPSAHSAKSIIITLAKKHTFDRPVEILLHPSEPHMPHVLVEKGDMTLGEYDQHLKGKAD FIRGTKKDNSAERKTEVIRKRLHKDIPHHSVIMLNFCPDLQSVQPNPRKAHGEFIFLIDR SNSMSKTNIQCIKEAMLVALKSLMPACFFNIIGFGSTFKAVFASSRIYNEENLTMACDCI QRMQADMGGTNMLSPLKWVLRQPLRRGHPRLLFLITDGSVNNTGKVLELVRNHASSTRCY SFGIGPTVCYRLVKGLASVSKGSAEFLMEGERLQPKMVKSLKKAMAPVLSDVTVEWVFPE TTEALISPVSTSSLFPGERLMGYGIVCDASLYISNSRSDKRRKYGMLHTQESSSSVFYPS QDEGLSPGSGNCAKNVNQGQTKDAHPCNGDSPTHHGLDVSRRRRAYSTNQISSHKTCPRA TTASDPTGTARRYPLRKAKVQDLASESDWESQKWQTDLQTLLNEGHNLSQGPKLHGPGAR RPSLLPQGCQLMRFFDQKPQAWGPVRELDCGASRTSAPNSQSSEDLAIEPAHCPSTFERE TSLDLEPMAESEEQANPCRTATPSPVVGKALVKGLCANQRMQWEVSFELEPPALKRGDTQ NADMWSETFHHLAARAIIREFEHLAEREDEIELGSNRRYQVNAVHTSKACSVISKYTAFV PVDINKRQYLPTVVKYPNSGAMLSFRNLTRQWGGSSAGLGRPQSMLREHSSAAGDSKFQT LALQDSPTSTFNKTPSPGHEKQTTAEGPPQNLSASAPSSMKATETLFGSKLNLNKSRLLT RATKGFLSKSLPKASEATPGSQSSDYIPLVSLQLASGAFLLNEAFCTTIQIPMEKLKWTS PFSCLRMSLVTRRQDLKTQSPQDCTSLSSSPPSCDGISLKSEESSDQESNAMLEHMGKLW ATVVALAWLEHSSANYIIEWELVAAKASSWVEKQKVPEGRTLSTLKNTARQLFVLLRHWD EKLEFNMLCYNPNYV >ENSMUSP00000122484.1 pep:known chromosome:GRCm38:4:138571046:138581083:-1 gene:ENSMUSG00000028753.12 transcript:ENSMUST00000137206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa5b1 description:von Willebrand factor A domain containing 5B1 [Source:MGI Symbol;Acc:MGI:1922968] XLCANQRMQWEVSFELEPPALKRGDTQNADMWSETFHHLAARAIIREFEHLAEREDEIEL GSNRRYQVNAVHTSKACSVISKYTAFVPVDINKRQYLPTVVKYPNSALQDSPTSTFNKTP SPGHEKQTTAEGPPQNLSASAPSSMKATETLFGSKLNLNKSRLLTRATKGF >ENSMUSP00000101438.3 pep:known chromosome:GRCm38:4:138581630:138635884:-1 gene:ENSMUSG00000028753.12 transcript:ENSMUST00000105812.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vwa5b1 description:von Willebrand factor A domain containing 5B1 [Source:MGI Symbol;Acc:MGI:1922968] MLLLGQDSEQRSPRMPGLLNCLTGAALPLMESDVTSYVSGYALGLTASLTYGNLEAQPFQ GLFVYPIDEYSTVVGFEAVIADRVVTIQLRDKAKLDRSHLDIQPATVTGDLG >ENSMUSP00000146289.1 pep:known chromosome:GRCm38:7:25680780:25686558:1 gene:ENSMUSG00000063439.7 transcript:ENSMUST00000205658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B9d2 description:B9 protein domain 2 [Source:MGI Symbol;Acc:MGI:2387643] MAEVHVIGQIIGATGFSESSLFCKWGIHTGAAWKLLSGVREGQTQVDTPQIGDMAYWSHP IDLHFATKGLQGWPRLHLQVWSQDSFGRCQLAGYGFCHVPSSPGTHQLDCPTWRPLGSWR EQLARAFVGGGPQLLHADTIYSGADRYRLHTAAGGTVHLGIGLLLRHFDRYGVEC >ENSMUSP00000145729.1 pep:known chromosome:GRCm38:7:25680838:25683650:1 gene:ENSMUSG00000063439.7 transcript:ENSMUST00000205325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B9d2 description:B9 protein domain 2 [Source:MGI Symbol;Acc:MGI:2387643] MAEVHVIGQIIGATGFSESSLFCKWGIHTGAAWKLLSGVREGQTQVDTPQIGDMAYWSHP IDLHFATKGLQGGYRMTGWGGAVKLRGAGLGTPWLSAPCTLWVPFLVQPPLEQCI >ENSMUSP00000145950.1 pep:known chromosome:GRCm38:7:25680856:25683421:1 gene:ENSMUSG00000063439.7 transcript:ENSMUST00000206913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B9d2 description:B9 protein domain 2 [Source:MGI Symbol;Acc:MGI:2387643] MAEVHVIGQIIGATGFSESSLFCKWGIHTGVREGQTQVDTPQIGDMAYWSHPIDLHFATK GLQGG >ENSMUSP00000104040.3 pep:known chromosome:GRCm38:7:25681158:25686558:1 gene:ENSMUSG00000063439.7 transcript:ENSMUST00000108403.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B9d2 description:B9 protein domain 2 [Source:MGI Symbol;Acc:MGI:2387643] MAEVHVIGQIIGATGFSESSLFCKWGIHTGAAWKLLSGVREGQTQVDTPQIGDMAYWSHP IDLHFATKGLQGWPRLHLQVWSQDSFGRCQLAGYGFCHVPSSPGTHQLDCPTWRPLGSWR EQLARAFVGGGPQLLHADTIYSGADRYRLHTAAGGTVHLGIGLLLRHFDRYGVEC >ENSMUSP00000115655.1 pep:known chromosome:GRCm38:3:146117450:146124806:1 gene:ENSMUSG00000036853.5 transcript:ENSMUST00000140214.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln3 description:mucolipin 3 [Source:MGI Symbol;Acc:MGI:1890500] MANPEVLVSSCRARQDESPCTFHPSSSPSEQLLLEDQMRRKLKFFFMNPCEKFWARGRKP WKLAIQILKIAMVTIQLVLFGLSNQMVVAFKEENTIA >ENSMUSP00000038801.4 pep:known chromosome:GRCm38:3:146121672:146141806:1 gene:ENSMUSG00000036853.5 transcript:ENSMUST00000039450.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln3 description:mucolipin 3 [Source:MGI Symbol;Acc:MGI:1890500] MANPEVLVSSCRARQDESPCTFHPSSSPSEQLLLEDQMRRKLKFFFMNPCEKFWARGRKP WKLAIQILKIAMVTIQLVLFGLSNQMVVAFKEENTIAFKHLFLKGYMDRMDDTYAVYTQS EVYDQIIFAVTQYLQLQNISVGNHAYENKGTKQSAMAICQHFYRQGTICPGNDTFDIDPE VETECFLVEPDEASHLGTPGENKLNLSLDFHRLLTVELQFKLKAINLQTVRHQELPDCYD FTLTITFDNKAHSGRIKISLDNDISIKECKDWHVSGSIQKNTHYMMIFDAFVILTCLASL VLCARSVIRGLQLQQEFVNFFLLHYKKEVSASDQMEFINGWYIMIIISDILTIVGSVLKM EIQAKSLTSYDVCSILLGTSTMLVWLGVIRYLGFFAKYNLLILTLQAALPNVMRFCCCAA MIYLGYCFCGWIVLGPYHEKFRSLNRVSECLFSLINGDDMFSTFAKMQQKSYLVWLFSRV YLYSFISLFIYMILSLFIALITDTYETIKHYQQDGFPETELRKFIAECKDLPNSGKYRLE DDPPGSLLCCCKK >ENSMUSP00000048695.6 pep:known chromosome:GRCm38:17:36958592:36965622:1 gene:ENSMUSG00000036214.13 transcript:ENSMUST00000040177.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrd1as description:zinc ribbon domain containing 1, antisense [Source:MGI Symbol;Acc:MGI:1923666] MTSTKLRLAWAKESRDPRISEGQQSPLEKKILSFGGVHTTAARHLITQKCHEESKALCKE QANSLDYWLAKAESYYNKRMVERMKKDTGDETKTKVQEEPPSPSPPPPPPPRRERQKQHY WVPEREKKQIERHIHRTSHAREFTDKPWRQPRLFSETTLPKIVLEEESIPQAQKRRQAHE RELLQIKDHRERMIRGRELLQQRLKDRILRKSPSQIPLPEKRDQVKKQKKEFEKVVAYPL VQPSCTSRIKVDVLMEKSQDEEDLSTIIKPFGRRFLAVPPFLRTQIGKIKDL >ENSMUSP00000134016.1 pep:known chromosome:GRCm38:17:36958641:36965622:1 gene:ENSMUSG00000036214.13 transcript:ENSMUST00000173814.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrd1as description:zinc ribbon domain containing 1, antisense [Source:MGI Symbol;Acc:MGI:1923666] MVERMKKDTGDETKTKVQEEPPSPSPPPPPPPRRERQKQHYWVPEREKKQIERHIHRTSH AREFTDKPWRQPRLFSETTLPKIVLEEESIPQAQKRRQAHERELLQIKDHRERMIRGREL LQQRLKDRILRKSPSQIPLPEKRDQVKKQKKEFEKVVAYPLVQPSCTSRIKVDVLMEKSQ DEEDLSTIIKPFGRRFLAVPPFLRTQIGKIKDL >ENSMUSP00000124790.1 pep:known chromosome:GRCm38:1:55131231:55153938:1 gene:ENSMUSG00000025979.13 transcript:ENSMUST00000159311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob4 description:MOB family member 4, phocein [Source:MGI Symbol;Acc:MGI:104899] MVMAEGTAVLRRNRPGTKAQYIQQNIRADCSNIDKILEPPEGQDEGVWKYEHLRQFCLEL NGLAVKLQSECHPDTCTQMTATEQWIFLCAAHKTPKECPAIDYTRHTLDGAACLLNSNKY FPSRVSIKESSVAKLGSVCRRIYRIFSHAYFHHRQIFDEYENETFLCHRFTKFVMKYNLM SKDNLIVPILEEEVQNSVSGESEA >ENSMUSP00000125415.1 pep:known chromosome:GRCm38:1:55131231:55154899:1 gene:ENSMUSG00000025979.13 transcript:ENSMUST00000162364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob4 description:MOB family member 4, phocein [Source:MGI Symbol;Acc:MGI:104899] MVMAEGTAVLRRNRPGTKAQDFYNWPDESFDEMDSTLAVQQYIQQNIRADCSNIDKILEP PEGQDEGVWKYEHLRQFCLELNGLAVKLQSECHPDTCTQMTATEQWIFLCAAHKTPKECP AIDYTRHTLDGAACLLNSNKYFPSRVSIKESSVAKLGSVCRRIYRIFSHAYFHHRQIFDE YENETFLCHRFTKFVMKYNLMSKDNLIVPILEEEVQNSVSGESEA >ENSMUSP00000027122.7 pep:known chromosome:GRCm38:1:55131282:55152999:1 gene:ENSMUSG00000025979.13 transcript:ENSMUST00000027122.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob4 description:MOB family member 4, phocein [Source:MGI Symbol;Acc:MGI:104899] RPDSGTMVMAEGTAVLRRNRPGTKAQDFYNWPDESFDEMDSTLAVQQSECHPDTCTQMTA TEQWIFLCAAHKTPKECPAIDYTRHTLDGAACLLNSNKYFPSRVSIKESSVAKLGSVCRR IYRIFSHAYFHHRQIFDEYENETFLCHRFTKFVMKYNLMSKDNLIVPILEEEVQNSVSGE SEA >ENSMUSP00000124981.1 pep:known chromosome:GRCm38:1:55131287:55153359:1 gene:ENSMUSG00000025979.13 transcript:ENSMUST00000161122.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mob4 description:MOB family member 4, phocein [Source:MGI Symbol;Acc:MGI:104899] MVMAEGTAVLRRNRPGTKAQDFYNWPDESFDEMDSTLAVQQAILP >ENSMUSP00000124151.1 pep:known chromosome:GRCm38:1:55131320:55152783:1 gene:ENSMUSG00000025979.13 transcript:ENSMUST00000162553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob4 description:MOB family member 4, phocein [Source:MGI Symbol;Acc:MGI:104899] MDSTLAVQQYIQQNIRADCSNIDKILEPPEGQDEGVWKYEHLRQFCLELNGLAVKLQSEC HPDTCTQMTATEQWIFLCAAHKTPKECPAIDYTRHTLDGAACLLNSNKYFPSRVSIKESS VAKLGSVCRRIYRIFSHAYFHHRQIFDEYENETFLCHRFTKFVMKYNLMSKDNLIVPILE EEVQNS >ENSMUSP00000110930.1 pep:known chromosome:GRCm38:6:29396630:29426953:1 gene:ENSMUSG00000029769.16 transcript:ENSMUST00000115275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc136 description:coiled-coil domain containing 136 [Source:MGI Symbol;Acc:MGI:1918128] MEAGGGAGAGAAGWSCPGPGPTVTTLGSYEVSEGCERKKGQRWGSLERRGMQAMDGEVLL PALYEEEEEEEEEEEEVEEEQVEKGGSLGSLSMGKHRGLSLTETELEELRAQVLQLVAEL EETRELAGQHEDDSLELQGLLEDERLASAQQAEVFTKQIQQLQGELQHLREEISLLEHEK ESELKEMEQELHLAQAEIQNLRQAAADSATEHESDIASLQDDLCRLQNDLDDMERIRGDY EMEIASLRAEMELKTSEPSNLSISDFSGIQDELHHLRERYNLLNEEYQALRESNSSLTGQ LAELESDRTRRATERWLESHLLRSTMSSESQTSELDFPEPDPVMQLLRQQLLGAEEQMQD MQDKCKNLYCELEELQHHRRTSEEEQKRLQRELKCAQNEVLRFQTSHSTQHEELKSRLCT LQQKYDASQDEHSELLKVQMQLETELQQLRLLRCTPVESQSEKELMCRLQKLQAQHQCSV NEKEQLLEVQHHLHDKLRCHESEVHRLRSMVDCLREKNEKNSGIHLQLQEMKGLYQFSRD ELERQKHMYDQLEQDFLLCQQELTELKSSQSLCEENGNCSNKCDALLARLTELQDKFKAS QEEIGHLQMEQCELLEDQRRLQEEQGQLQEELHRLTFPQPKCGILQKSQELLSKLQDLCE MQLLYQNMQEQQRKLTQNQECVLKEQLEAHKHLRGFKESHFQEVLANPQDARGPKSSSCE NKFKVLMDQLQALQVLYDTSQKQQEVLQREHGRLMEERKRLQAELQLCMEEMQVLQTQSP MIKRSFEYCGKNSGSRAPSTENFHRSYESSIDENEGYQKSYVSSQPSTETFLKSYDSSTS ANEAFEKSYCSSSTSVSYKKSYGSVSSGETLHRSYASSSTDEDPAEPEDLEHFEETVAKV LTKLQAVKALYQVSQEEHCQLQQRMHRLLAKQKELTEELQCCEKELRECMESLGKPLPPQ SDKCENMFGMWKPMVFLAIAAVALYVLPNMRPQESEYYMK >ENSMUSP00000145331.1 pep:known chromosome:GRCm38:6:29396643:29426948:1 gene:ENSMUSG00000029769.16 transcript:ENSMUST00000145310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc136 description:coiled-coil domain containing 136 [Source:MGI Symbol;Acc:MGI:1918128] MEAGGGAGAGAAGWSCPGPGPTVTTLGSYEVSEGCERKKGQRWGSLERRGMQAMDGEVLL PALYEEEEEEEEEEEEVEEEQVEKGGSLGSLSMGKHRGLSLTETELEELRAQVLQLVAEL EETRELAGQHEDDSLELQGLLEDERLASAQQAEVFTKQIQQLQGELQHLREEISLLEHEK ESELKEMEQELHLAQAEIQNLRQAAADSATEHESDIASLQDDLCRLQNDLDDMERIRGDY EMEIASLRAEMELKTSEPSNLSISDFSGIQDELHHLRERYNLLNEEYQALRESNSSLTGQ LAELESDRTRRATERWLESHLLRSTMSSESQTSELDFPEPDPVMQLLRQQLLGAEEQMQD MQDKCKNLYCELEELQHHRRTSEEEQKRLQRELKCAQNEVLRFQTSHSTQPSPAPDPPIF SLPLVGLVVISALLWCWWAETSS >ENSMUSP00000137673.1 pep:known chromosome:GRCm38:6:29398926:29426948:1 gene:ENSMUSG00000029769.16 transcript:ENSMUST00000181464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc136 description:coiled-coil domain containing 136 [Source:MGI Symbol;Acc:MGI:1918128] MGKHRGLSLTETELEELRAQVLQLVAELEETRELAGQHEDDSLELQGLLEDERLASAQQA EVFTKQIQQLQGELQHLREEISLLEHEKESELKEMEQELHLAQAEIQNLRQAAADSATEH ESDIASLQDDLCRLQNDLDDMERIRGDYEMEIASLRAEMELKTSEPSNLSISDFSGIQDE LHHLRERYNLLNEEYQALRESNSSLTGQLAELESDRTRRATERWLESHLLRSTMSSESQT SELDFPEPDPVMQLLRQQLLGAEEQMQDMQDKCKNLYCELEELQHHRRTSEEEQKRLQRE LKCAQNEVLRFQTSHSTQHEELKSRLCTLQQKYDASQDEHSELLKVQMQLETELQQLRLL RCTPVESQSEKELMCRLQKLQAQHQCSVNEKEQLLEVQHHLHDKLRCHESEVHRLRSMVD CLREKNEKNSGIHLQLQEMKGLYQFSRDELERQKHMYDQLEQDFLLCQQELTELKSSQSL CEENGNCSNKCDALLARLTELQDKFKASQEEIGHLQMEQCELLEDQRRLQEEQGQLQEEL HRLTFPQPKCGILQKSQELLSKLQDLCEMQLLYQNMQEQQRKLTQNQECVLKEQLEAHKH LRGFKESHFQEVLANPQDARGPKSSSCENKFKVLMDQLQALQVLYDTSQKQQEVLQREHG RLMEERKRLQAELQLCMEEMQVLQTQSPMIKRSFEYCGKNSGSRAPSTENFHRSYESSID ENEGYQKSYVSSQPSTETFLKSYDSSTSANEAFEKSYCSSSTSVSYKKSYGSVSSGETLH RSYASSSTDEDPAEPEDLEHFEETVAKVLTKLQAVKALYQVSQEEHCQLQQRMHRLLAKQ KELTEELQCCEKELRECMESLGKPLPPQSDKCEIKELQTKLRELQLQYQASMDEQGRLLA VQEQLEGQLQCCQEELRQLKENRPSISSEARGKNVNKNMNKNANGVRNKKLSMACSEDLE NGFENEKNMFGMWKPMVFLAIAAVALYVLPNMRPQESEYYMK >ENSMUSP00000137747.1 pep:known chromosome:GRCm38:6:29398926:29426955:1 gene:ENSMUSG00000029769.16 transcript:ENSMUST00000180829.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc136 description:coiled-coil domain containing 136 [Source:MGI Symbol;Acc:MGI:1918128] MGKHRGLSLTETELEELRAQVLQLVAELEETRELAGQHEDDSLELQGLLEDERLASAQQA EVFTKQIQQLQGELQHLREEISLLEHEKESELKEMEQELHLAQAEIQNLRQAAADSATEH ESDIASLQDDLCRLQNDLDDMERIRGDYEMEIASLRAEMELKTSEPSNLSISDFSGIQDE LHHLRERYNLLNEEYQALRESNSSLTGQLAELESDRTRRATERWLESHLLRSTMSSESQT SELDFPEPDPVMQLLRQQLLGAEEQMQDMQDKCKNLYCELEELQHHRRTSEEEQKRLQRE LKCAQNEVLRFQTSHSTQHEELKSRLCTLQQKYDASQDEHSELLKVQMQLETELQQLRLL RCTPVESQSEKELMCRLQKLQAQHQCSVNEKEQLLEVQHHLHDKLRCHESEVHRLRSMVD CLREKNEKNSGIHLQLQEMKGLYQFSRDELERQKHMYDQLEQDFLLCQQELTELKSSQSL CEENGNCSNKCDALLARLTELQDKFKASQEEIGHLQMEQCELLEDQRRLQEEQGQLQEEL HRLTFPQPKCGILQKSQELLSKLQDLCEMQLLYQNMQEQQRKLTQNQECVLKEQLEAHKH LRGFKESHFQEVLANPQDARGPKSSSCENKFKVLMDQLQALQVLYDTSQKQQEVLQREHG RLMEERKRLQAELQLCMEEMQVLQTQSPMIKRSFEYCGKNSGSRAPSTENFHRSYESSID ENEGYQKSYVSSQPSTETFLKSYDSSTSANEAFEKSYCSSSTSVSYKKSYGSVSSGETLH RSYASSSTDEDPAEPEDLEHFEETVAKVLTKLQAVKALYQVSQEEHCQLQQRMHRLLAKQ KELTEELQCCEKELRECMESLGKPLPPQSDKCENMFGMWKPMVFLAIAAVALYVLPNMRP QESEYYMK >ENSMUSP00000118132.2 pep:known chromosome:GRCm38:6:29402869:29426857:1 gene:ENSMUSG00000029769.16 transcript:ENSMUST00000154619.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc136 description:coiled-coil domain containing 136 [Source:MGI Symbol;Acc:MGI:1918128] MQAITSELQHLREEISLLEHEKESELKEMEQELHLAQAEIQNLRQAAADSATEHESDIAS LQDDLCRLQNDLDDMERIRGDYEMEIASLRAEMELKTSEPSNLSISDFSGIQDELHHLRE RYNLLNEEYQALRESNSSLTGQLAELESDRTRRATERWLESHLLRSTMSSESQTSELDFP EPDPVMQLLRQQLLGAEEQMQDMQDKCKNLYCELEELQHHRRTSEEEQKRLQRELKCAQN EVLRFQTSHSTQHEELKSRLCTLQQKYDASQDEHSELLKVQMQLETELQQLRLLRCTPVE SQSEKELMCRLQKLQAQHQCSVNEKEQLLEVQHHLHDKLRCHESEVHRLRSMVDCLREKN EKNSGIHLQLQEMKGLYQFSRDELERQKHMYDQLEQDFLLCQQELTELKSSQSLCEENGN CSNKCDALLARLTELQDKFKASQEEIGHLQMEQCELLEDQRRLQEEQGQLQEELHRLTFP QPKCGILQKSQELLSKLQDLCEMQLLYQNMQEQQRKLTQNQECVLKEQLEAHKHLRGFKE SHFQEVLANPQDARGPKSSSCENKFKVLMDQLQALQVLYDTSQKQQEVLQREHGRLMEER KRLQAELQLCMEEMQVLQTQSPMIKRSFEYCGKNSGSRAPSTENFHRSYESSIDENEGYQ KSYVSSQPSTETFLKSYDSSTSANEAFEKSYCSSSTSVSYKKSYGSVSSGETLHRSYASS STDEDPAEPEDLEHFEETVAKVLTKLQAVKALYQVSQEEHCQLQQRMHRLLAKQKELTEE LQCCEKELRECMESLGKPLPPQSDKCEIKELQTKLRELQLQYQASMDEQGRLLAVQEQLE GQLQCCQEELRQLKENRPSISSEARGKNVNKNMNKNANGVRNKKLSMACSEDLENGFENE KNLEVMLYYKASQRRLDELMKEEKEIEEARKKEREKKAKKDLCKLATNPAADPRAEPEPT EDEEENFEEYREGEDESCEAAEEGNPLKLSESKKNMFGMWKPMVFLAIAAVALYVLPNMR PQESEYYMK >ENSMUSP00000144577.1 pep:known chromosome:GRCm38:6:29410105:29426988:1 gene:ENSMUSG00000029769.16 transcript:ENSMUST00000202726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc136 description:coiled-coil domain containing 136 [Source:MGI Symbol;Acc:MGI:1918128] SHLLRSTMSSESQTSELDFPEPDPVMQLLRQQLLGAEEQMQDMQDKCKNLYCELEELQHH RRTSEEEQKRLQRELKCAQNEVLRFQTSHSTQNMFGMWKPMVFLAIAAVALYVLPNMRPQ ESEYYMK >ENSMUSP00000093789.5 pep:known chromosome:GRCm38:6:29396685:29426994:1 gene:ENSMUSG00000029769.16 transcript:ENSMUST00000096084.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc136 description:coiled-coil domain containing 136 [Source:MGI Symbol;Acc:MGI:1918128] MEAGGGAGAGAAGWSCPGPGPTVTTLGSYEVSEGCERKKGQRWGSLERRGMQAMDGEVLL PALYEEEEEEEEEEEEVEEEQVEKGGSLGSLSMGKHRGLSLTETELEELRAQVLQLVAEL EETRELAGQHEDDSLELQGLLEDERLASAQQAEVFTKQIQQLQGELQHLREEISLLEHEK ESELKEMEQELHLAQAEIQNLRQAAADSATEHESDIASLQDDLCRLQNDLDDMERIRGDY EMEIASLRAEMELKTSEPSNLSISDFSGIQDELHHLRERYNLLNEEYQALRESNSSLTGQ LAELESDRTRRATERWLESHLLRSTMSSESQTSELDFPEPDPVMQLLRQQLLGAEEQMQD MQDKCKNLYCELEELQHHRRTSEEEQKRLQRELKCAQNEVLRFQTSHSTQHEELKSRLCT LQQKYDASQDEHSELLKVQMQLETELQQLRLLRCTPVESQSEKELMCRLQKLQAQHQCSV NEKEQLLEVQHHLHDKLRCHESEVHRLRSMVDCLREKNEKNSGIHLQLQEMKGLYQFSRD ELERQKHMYDQLEQDFLLCQQELTELKSSQSLCEENGNCSNKCDALLARLTELQDKFKAS QEEIGHLQMEQCELLEDQRRLQEEQGQLQEELHRLTFPQPKCGILQKSQELLSKLQDLCE MQLLYQNMQEQQRKLTQNQECVLKEQLEAHKHLRGFKESHFQEVLANPQDARGPKSSSCE NKFKVLMDQLQALQVLYDTSQKQQEVLQREHGRLMEERKRLQAELQLCMEEMQVLQTQSP MIKRSFEYCGKNSGSRAPSTENFHRSYESSIDENEGYQKSYVSSQPSTETFLKSYDSSTS ANEAFEKSYCSSSTSVSYKKSYGSVSSGETLHRSYASSSTDEDPAEPEDLEHFEETVAKV LTKLQAVKALYQVSQEEHCQLQQRMHRLLAKQKELTEELQCCEKELRECMESLGKPLPPQ SDKCEIKELQTKLRELQLQYQASMDEQGRLLAVQEQLEGQLQCCQEELRQLKENRPSISS EARGKNVNKNMNKNANGVRNKKLSMACSEDLENGFENEKNLEVMLYYKASQRRLDELMKE EKEIEEARKKEREKKAKKDLCKLATNPAADPRAEPEPTEDEEENFEEYREGEDESCEAAE EGNPLKLSESKKNMFGMWKPMVFLAIAAVALYVLPNMRPQESEYYMK >ENSMUSP00000133502.1 pep:known chromosome:GRCm38:4:129600668:129607558:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000172774.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEVRLA LTDEPLKISP >ENSMUSP00000117184.1 pep:known chromosome:GRCm38:4:129600668:129608117:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000129515.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEVRLA LTDEPLKISP >ENSMUSP00000117568.1 pep:known chromosome:GRCm38:4:129600669:129619574:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000137090.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEVRLA LTDEPLKISP >ENSMUSP00000099651.3 pep:known chromosome:GRCm38:4:129600680:129608528:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000102591.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEYLMP FLLNQSGSLLYYLTLASTDLTLAVPICNSLAIVFTLIVGKVLGEDIGGKEAVAGMVLTIT GITVCITSSVSKTQGQPSHS >ENSMUSP00000137989.1 pep:known chromosome:GRCm38:4:129600681:129607547:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000181579.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEYLMP FLLNQSGSLLYYLTLASTDLTLAVPICNSLAIVFTLIVGKVLGEDIGGKVAGMVLTITGI TVCITSSVSKTQGQPSHS >ENSMUSP00000120878.1 pep:known chromosome:GRCm38:4:129600687:129607737:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000151969.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEVRLA LTDEPLKISP >ENSMUSP00000134588.1 pep:known chromosome:GRCm38:4:129600691:129607582:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000173758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTESGSL LYYLTLASTDLTLAVPICNSLAIVFTLIVGKVLGEDIGGKEAVAGMVLTITGITVCITSS VSKTQGQPSHS >ENSMUSP00000133412.1 pep:known chromosome:GRCm38:4:129600691:129607816:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000173937.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEVRLA LTDEPLKISP >ENSMUSP00000133325.1 pep:known chromosome:GRCm38:4:129600691:129624059:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000174073.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEVRLA LTDEPLKISP >ENSMUSP00000133695.1 pep:known chromosome:GRCm38:4:129600695:129607825:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000174819.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEI >ENSMUSP00000120224.1 pep:known chromosome:GRCm38:4:129600700:129608521:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000152126.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEVRLA LTDEPLKISP >ENSMUSP00000122513.1 pep:known chromosome:GRCm38:4:129600701:129607162:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000150110.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEGSSN T >ENSMUSP00000120682.1 pep:known chromosome:GRCm38:4:129600703:129607179:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000135628.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEVRLA LTDEPLKISP >ENSMUSP00000123153.1 pep:known chromosome:GRCm38:4:129600707:129601449:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000127919.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] MAASWGQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEVRLA LTDEPLKISP >ENSMUSP00000134104.1 pep:known chromosome:GRCm38:4:129600983:129601930:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000174610.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] XQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEVRLALTDEP LKISP >ENSMUSP00000122893.1 pep:known chromosome:GRCm38:4:129600983:129607693:1 gene:ENSMUSG00000028797.20 transcript:ENSMUST00000145345.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem234 description:transmembrane protein 234 [Source:MGI Symbol;Acc:MGI:1924049] XQVLALVLVAALWGGTQPLLKRASSGLEQVRERTWAWQLLQEIKALFGNTEGFSVYPWLP >ENSMUSP00000020231.3 pep:known chromosome:GRCm38:10:85386827:85640594:1 gene:ENSMUSG00000020042.15 transcript:ENSMUST00000020231.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd11 description:BTB (POZ) domain containing 11 [Source:MGI Symbol;Acc:MGI:1921257] MARRGKKPVVRTLEDLTLDSGYGGAADSVRSSNLSLCCSDSHPASPYGGSCWPPLADSMH SRHNSFDTVNTALVEDSEGLDCAGQHCSRLLPDLDEVPWTLQELELLLLRSRDPRAGPAV PGSLPKDALAKLSMLVSRALVRIAKEAQRLSLRFAKCTKYEIQSAMEIVLSWGLAAHCTA AALAALSLYNMSSAGGDRLGRGKSARCGLTFSVGRVYRWMVDSRVALRIHEHAAIYLTAC MESLFRDIYSRVLASGLPRSCSGPGPGSSSGSGPGPGSGPGAPAADKERETPGGGAASGG PCSAASSASGGSSCCAPPATAATAVPPTTATAAVAANHHHHHHTLHEAPKFTVETLEHTV NNDSEIWGLLQPYQHLICGKNASGVLSLPESLNLHRDPQRPSKPGELPMFSQSELRTIEQ SLLATRVGSIAELSDLVSRAMHHLQPLNAKHHGNGTPMHHKQGALYWEPEALYTLCYFMH CPQMEWENPNVEPSKVNLQVERPFLVLPPLMEWIRVAVAHAGHRRSFSMDSDDVRQAARL LLPGVDCEPRQLKADDCFCASRKLDAVAIEAKFKQDLGFRMLNCGRTDLVKQAVSLLGPD GINTMSEQGMTPLMYACVRGDEAMVQMLLDAGADLNVEVVSTPHKYPSVHPETRHWTALT FAVLHGHIPVVQLLLDAGAKVEGSVEHGEENYSETPLQLAAAVGVPWTLHTWLESLRIAF QQHRRPLIQCLLKEFKTIQEEEYTEELVTQGLPLMFEILKASKNEVISQQLCVIFTHCYG PYPIPKLTEIKRK >ENSMUSP00000100944.1 pep:known chromosome:GRCm38:10:85386814:85660292:1 gene:ENSMUSG00000020042.15 transcript:ENSMUST00000105307.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd11 description:BTB (POZ) domain containing 11 [Source:MGI Symbol;Acc:MGI:1921257] MARRGKKPVVRTLEDLTLDSGYGGAADSVRSSNLSLCCSDSHPASPYGGSCWPPLADSMH SRHNSFDTVNTALVEDSEGLDCAGQHCSRLLPDLDEVPWTLQELELLLLRSRDPRAGPAV PGSLPKDALAKLSMLVSRALVRIAKEAQRLSLRFAKCTKYEIQSAMEIVLSWGLAAHCTA AALAALSLYNMSSAGGDRLGRGKSARCGLTFSVGRVYRWMVDSRVALRIHEHAAIYLTAC MESLFRDIYSRVLASGLPRSCSGPGPGSSSGSGPGPGSGPGAPAADKERETPGGGAASGG PCSAASSASGGSSCCAPPATAATAVPPTTATAAVAANHHHHHHTLHEAPKFTVETLEHTV NNDSEIWGLLQPYQHLICGKNASGVLSLPESLNLHRDPQRPSKPGELPMFSQSELRTIEQ SLLATRVGSIAELSDLVSRAMHHLQPLNAKHHGNGTPMHHKQGALYWEPEALYTLCYFMH CPQMEWENPNVEPSKVNLQVERPFLVLPPLMEWIRVAVAHAGHRRSFSMDSDDVRQAARL LLPGVDCEPRQLKADDCFCASRKLDAVAIEAKFKQDLGFRMLNCGRTDLVKQAVSLLGPD GINTMSEQGMTPLMYACVRGDEAMVQMLLDAGADLNVEVVSTPHKYPSVHPETRHWTALT FAVLHGHIPVVQLLLDAGAKVEGSVEHGEENYSETPLQLAAAVGNFELVSLLLERGADPL IGTMYRNGISTTPQGDMNSFSQAAAHGHRNVFRKLLAQPEKEKSDILSLEEILAEGTDLA ETAPPPLCASRNSKAKLRALREAMYHSAEHGYVDVTIDIRSIGVPWTLHTWLESLRIAFQ QHRRPLIQCLLKEFKTIQEEEYTEELVTQGLPLMFEILKASKNEVISQQLCVIFTHCYGP YPIPKLTEIKRKQTSRLDPHFLNNKEMSDVTFLVEGRPFYAHKVLLFTASPRFKALLSSK PTNDNTCIEIGYVKYPIFQLVMQYLYYGGPESLLIKNNEIMELLSAAKFFQLEALQRHCE IICAKSINTDNCVDIYSHAKFLGVTELSAYCEGYFLKNMMVLIENEAFKQLLYDKNGEGA GQDVLQDLQRTLAIRIQSIHLSSSKGSVV >ENSMUSP00000100943.2 pep:known chromosome:GRCm38:10:85598401:85660292:1 gene:ENSMUSG00000020042.15 transcript:ENSMUST00000105306.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd11 description:BTB (POZ) domain containing 11 [Source:MGI Symbol;Acc:MGI:1921257] MRKPRPRESREPDPGSPVGSGCLQRLSHSGVSRPFLVLPPLMEWIRVAVAHAGHRRSFSM DSDDVRQAARLLLPGVDCEPRQLKADDCFCASRKLDAVAIEAKFKQDLGFRMLNCGRTDL VKQAVSLLGPDGINTMSEQGMTPLMYACVRGDEAMVQMLLDAGADLNVEVVSTPHKYPSV HPETRHWTALTFAVLHGHIPVVQLLLDAGAKVEGSVEHGEENYSETPLQLAAAVGNFELV SLLLERGADPLIGTMYRNGISTTPQGDMNSFSQAAAHGHRNVFRKLLAQPEKEKSDILSL EEILAEGTDLAETAPPPLCASRNSKAKLRALREAMYHSAEHGYVDVTIDIRSIGVPWTLH TWLESLRIAFQQHRRPLIQCLLKEFKTIQEEEYTEELVTQGLPLMFEILKASKNEVISQQ LCVIFTHCYGPYPIPKLTEIKRKQTSRLDPHFLNNKEMSDVTFLVEGRPFYAHKVLLFTA SPRFKALLSSKPTNDNTCIEIGYVKYPIFQLVMQYLYYGGPESLLIKNNEIMELLSAAKF FQLEALQRHCEIICAKSINTDNCVDIYSHAKFLGVTELSAYCEGYFLKNMMVLIENEAFK QLLYDKNGEGAGQDVLQDLQRTLAIRIQSIHLSSSKGSVV >ENSMUSP00000104138.2 pep:known chromosome:GRCm38:7:16671331:16675705:-1 gene:ENSMUSG00000078795.2 transcript:ENSMUST00000108498.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam15 description:carcinoembryonic antigen-related cell adhesion molecule 15 [Source:MGI Symbol;Acc:MGI:2141810] MGAETMESPSLFLCKGLLLTASLLICWNWSTAALLTSKEMRFSAAEGAKVLLSVPDQEEN LLSFSWYKGKDVNENFTIAHYKKSSDSLQLGKKVSGREEIYKDGSMMLRAITLEDTGFYT LQTFKAHGQQEVTHVHLQVYKIVTKPYLQLNHTRLKRKSASILTCVSPDTGVDINWFFNY KPLNATERITLSPEKRELTISPVWRADVGIYLCEVSNSFSSKKSYPLLMALAYG >ENSMUSP00000012679.8 pep:known chromosome:GRCm38:6:29540827:29609887:-1 gene:ENSMUSG00000012535.14 transcript:ENSMUST00000012679.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnpo3 description:transportin 3 [Source:MGI Symbol;Acc:MGI:1196412] MEGAKPTLQLVYQAVQALYHDPDPSGKERASFWLGELQRSVHAWEISDQLLQIRQDVESC YFAAQTMKMKIQTSFYELPTDSHASLRDSLLTHIQNLKDLSPVIVTQLALAIADLALQMP SWKGCVQTLVEKYSNDVTSLPFLLEILTVLPEEVHSRSLRIGANRRTEIIEDLAFYSSTV VSLLMTCVEKAGTDEKMLMKVFRCLGSWFNLGVLDSNFMANNKLLALLFEVLQQDKTSSN LHEAASDCVCSALYAIENVETNLPLAMQLFQGVLTLETAYHMAVAREDLDKVLNYCRIFT ELCETFLEKIVCTPGQGLGDLRTLELLLICAGHPQYEVVEISFNFWYRLGEHLYKTNDEV IHSIFKAYIQRLLHALARHCQLEPDHEGVPEETDDFGEFRMRVSDLVKDLIFLIGSMECF AQLYSTLKEGNPPWEVTEAVLFIMAAIAKSVDPENNPTLVEVLEGVVHLPETVHTAVRYT SIELVGEMSEVVDRNPQFLDPVLGYLMKGLCEKPLASAAAKAIHNICSVCRDHMAQHFNG LLEIAHSLDSFMLSPEAAVGLLKGTALVLARLPLDKITECLSELCSVQVMALKKLLSQEP SNGISSDPTVFLDRLAVIFRHTNPIVENGQTHPCQKVIQEIWPVLSETLNKHRADNRIVE RCCRCLRFAVRCVGKGSAALLQPLVTQMVNVYHVHQHSCFLYLGSILVDEYGMEEGCRQG LLDMLQALCIPTFQLLEQQNGLQNHPDTVDDLFRLATRFIQRSPVTLLRSQVVIPILQWA IASTTLDHRDANSSVMRFLRDLIHTGVANDHEEDFELRKELIGQVMSQLGQQLVSQLLHT CCFCLPPYTLPDVAEVLWEIMQVDRPTFCRWLENSLKGLPKETTVGAVTVTHKQLTDFHK QVTSAEECKQVCWALRDFTRLFR >ENSMUSP00000133115.1 pep:known chromosome:GRCm38:6:29540982:29560094:-1 gene:ENSMUSG00000012535.14 transcript:ENSMUST00000170647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnpo3 description:transportin 3 [Source:MGI Symbol;Acc:MGI:1196412] XLVTQMVNVYHVHQHSCFLYLGSILVDEYGMEEGCRQGLLDMLQALCIPTFQLLEQQNGL QNHPDTVDDLFRLATRFIQRSPVTLLRSQVVIPILQWAIASTTLDHRDANSSVMRFLRDL IHTGVANDLSVFLQHEEDFELRKELIGQVMSQLGQQLVSQLLHTCCFCLPPYTLPDVAEV LWEIMQVDRPTFCRWLENSLKGLPKETTVGAVTVTHKQLTDFHKQVTSAEECKQVCWALR DFTRLFR >ENSMUSP00000131411.1 pep:known chromosome:GRCm38:6:29541571:29609811:-1 gene:ENSMUSG00000012535.14 transcript:ENSMUST00000170350.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnpo3 description:transportin 3 [Source:MGI Symbol;Acc:MGI:1196412] MEGAKPTLQLVYQAVQALYHDPDPSGKERASFWLGELQRSSL >ENSMUSP00000110906.1 pep:known chromosome:GRCm38:6:29540827:29609607:-1 gene:ENSMUSG00000012535.14 transcript:ENSMUST00000115251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnpo3 description:transportin 3 [Source:MGI Symbol;Acc:MGI:1196412] MEGAKPTLQLVYQAVQALYHDPDPSGKERASFWLGELQRSVHAWEISDQLLQIRQDVESC YFAAQTMKMKIQTSFYELPTDSHASLRDSLLTHIQNLKDLSPVIVTQLALAIADLALQMP SWKGCVQTLVEKYSNDVTSLPFLLEILTVLPEEVHSRSLRIGANRRTEIIEDLAFYSSTV VSLLMTCVEKAGTDEKMLMKVFRCLGSWFNLGVLDSNFMANNKLLALLFEVLQQDKTSSN LHEAASDCVCSALYAIENVETNLPLAMQLFQGVLTLETAYHMAVAREDLDKVLNYCRIFT ELCETFLEKIVCTPGQGLGDLRTLELLLICAGHPQYEVVEISFNFWYRLGEHLYKTNDEV IHSIFKAYIQRLLHALARHCQLEPDHEGVPEETDDFGEFRMRVSDLVKDLIFLIGSMECF AQLYSTLKEGNPPWEVTEAVLFIMAAIAKSVDPENNPTLVEVLEGVVHLPETVHTAVRYT SIELVGEMSEVVDRNPQFLDPVLGYLMKGLCEKPLASAAAKAIHNICSVCRDHMAQHFNG LLEIAHSLDSFMLSPEAAVGLLKGTALVLARLPLDKITECLSELCSVQVMALKKLLSQEP SNGISSDPTVFLDRLAVIFRHTNPIVENGQTHPCQKVIQEIWPVLSETLNKHRADNRIVE RCCRCLRFAVRCVGKGSAALLQPLVTQMVNVYHVHQHSCFLYLGSILVDEYGMEEGCRQG LLDMLQALCIPTFQLLEQQNGLQNHPDTVDDLFRLATRFIQRSPVTLLRSQVVIPILQWA IASTTLDHRDANSSVMRFLRDLIHTGVANDLSVFLQHEEDFELRKELIGQVMSQLGQQLV SQLLHTCCFCLPPYTLPDVAEVLWEIMQVDRPTFCRWLENSLKGLPKETTVGAVTVTHKQ LTDFHKQVTSAEECKQVCWALRDFTRLFR >ENSMUSP00000038245.6 pep:known chromosome:GRCm38:5:146582400:146585239:-1 gene:ENSMUSG00000041468.7 transcript:ENSMUST00000036211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr12 description:G-protein coupled receptor 12 [Source:MGI Symbol;Acc:MGI:101909] MNEDPKVNLSGLPRDCIDAGAPENISAAVPSQGSVAESEPELVVNPWDIVLCSSGTLICC ENAVVVLIIFHSPSLRAPMFLLIGSLALADLLAGLGLIINFVFAYLLQSEATKLVTIGLI VASFSASVCSLLAITVDRYLSLYYALTYHSERTVTFTYVMLVMLWGTSICLGLLPVMGWN CLRDESTCSVVRPLTKNNAAILSISFLFMFALMLQLYIQICKIVMRHAHQIALQHHFLAT SHYVTTRKGVSTLALILGTFAACWMPFTLYSLIADYTYPSIYTYATLLPATYNSIINPVI YAFRNQEIQKALCLICCGCIPSSLSQRARSPSDV >ENSMUSP00000142889.1 pep:known chromosome:GRCm38:5:146582752:146584832:-1 gene:ENSMUSG00000041468.7 transcript:ENSMUST00000197431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr12 description:G-protein coupled receptor 12 [Source:MGI Symbol;Acc:MGI:101909] MNEDPKVNLSGLPRDCIDAGAPENISAAVPSQGSVAESEPELVVNPWDIVLCSSGTLICC ENAVVVLIIFHSPSLRAPMFLLIGSLALADLLAGLGLIINFVFAYLLQSEATKLVTIGLI VASFSASVCSLLAITVDRYLSLYYALTYHSERTVTFTYVMLVMLWGTSICLGLLPVMGWN CLRDESTCSVVRPLTKNNAAILSISFLFMFALMLQLYIQICKIVMRHAHQIALQHHFLAT SHYVTTRKGVSTLALILGTFAACWMPFTLYSLIADYTYPSIYTYATLLPATYNSIINPVI YAFRNQEIQKALCLICCGCIPSSLSQRARSPSDV >ENSMUSP00000143653.1 pep:known chromosome:GRCm38:5:146583471:146584819:-1 gene:ENSMUSG00000041468.7 transcript:ENSMUST00000200112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr12 description:G-protein coupled receptor 12 [Source:MGI Symbol;Acc:MGI:101909] MLVMLWGTSICLGLLPVMGWNCLRDESTCSVVRPLTKNNAAILSISFLFMFALM >ENSMUSP00000143415.1 pep:known chromosome:GRCm38:5:146583655:146584843:-1 gene:ENSMUSG00000041468.7 transcript:ENSMUST00000197825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr12 description:G-protein coupled receptor 12 [Source:MGI Symbol;Acc:MGI:101909] MNEDPKVNLSGLPRDCIDAGAPENISAAVPSQGSVAESEPELVVNPWDIVLCSSGTLICC ENAVVVLIIFHSPSLRAPMFLLIGSLALADLLAGLGLIINFVFAYLLQSEATKLVTIGLI VASFSASVCSLLAITVDRYLSLYYALTYHSER >ENSMUSP00000032322.8 pep:known chromosome:GRCm38:6:134446476:134566913:-1 gene:ENSMUSG00000030201.15 transcript:ENSMUST00000032322.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp6 description:low density lipoprotein receptor-related protein 6 [Source:MGI Symbol;Acc:MGI:1298218] MGAVLRSLLACSFCVLLRAAPLLLYANRRDLRLVDATNGKENATIVVGGLEDAAAVDFVF GHGLIYWSDVSEEAIKRTEFNKTESVQNVVVSGLLSPDGLACDWLGEKLYWTDSETNRIE VSNLDGSLRKVLFWQELDQPRAIALDPSSGFMYWTDWGEVPKIERAGMDGSSRFVIINTE IYWPNGLTLDYQERKLYWADAKLNFIHKSNLDGTNRQAVVKGSLPHPFALTLFEDTLYWT DWNTHSILACNKYTGEGLREIHSNIFSPMDIHAFSQQRQPNATNPCGIDNGGCSHLCLMS PVKPFYQCACPTGVKLLENGKTCKDGATELLLLARRTDLRRISLDTPDFTDIVLQLEDIR HAIAIDYDPVEGYIYWTDDEVRAIRRSFIDGSGSQFVVTAQIAHPDGIAVDWVARNLYWT DTGTDRIEVTRLNGTMRKILISEDLEEPRAIVLDPMVGYMYWTDWGEIPKIERAALDGSD RVVLVNTSLGWPNGLALDYDEGTIYWGDAKTDKIEVMNTDGTGRRVLVEDKIPHIFGFTL LGDYVYWTDWQRRSIERVHKRSAEREVIIDQLPDLMGLKATSVHRIIGSNPCAEDNGGCS HLCLYRPQGLRCACPIGFELISDMKTCIVPEAFLLFSRRADIRRISLETNNNNVAIPLTG VKEASALDFDVTDNRIYWTDISLKTISRAFMNGSALEHVVEFGLDYPEGMAVDWLGKNLY WADTGTNRIEVSKLDGQHRQVLVWKDLDSPRALALDPAEGFMYWTEWGGKPKIDRAAMDG SERTTLVPNVGRANGLTIDYAKRRLYWTDLDTNLIESSDMLGLNREVIADDLPHPFGLTQ YQDYIYWTDWSRRSIERANKTSGQNRTIIQGHLDYVMDILVFHSSRQAGWNECASSNGHC SHLCLAVPVGGFVCGCPAHYSLNADNRTCSAPTTFLLFSQKSAINRMVIDEQQSPDIILP IHSLRNVRAIDYDPLDKQLYWIDSRQNSIRKAHEDGGQGFNVVANSVANQNLEIQPYDLS IDIYSRYIYWTCEATNVIDVTRLDGRSVGVVLKGEQDRPRAIVVNPEKGYMYFTNLQERS PKIERAALDGTEREVLFFSGLSKPIALALDSKLGKLFWADSDLRRIESSDLSGANRIVLE DSNILQPVGLTVFENWLYWIDKQQQMIEKIDMTGREGRTKVQARIAQLSDIHAVKELNLQ EYRQHPCAQDNGGCSHICLVKGDGTTRCSCPMHLVLLQDELSCGEPPTCSPQQFTCFTGD IDCIPVAWRCDGFTECEDHSDELNCPVCSESQFQCASGQCIDGALRCNGDANCQDKSDEK NCEVLCLIDQFRCANGQCVGKHKKCDHSVDCSDRSDELDCYPTEEPAPQATNTVGSVIGV IVTIFVSGTIYFICQRMLCPRMKGDGETMTNDYVVHSPASVPLGYVPHPSSLSGSLPGMS RGKSMISSLSIMGGSSGPPYDRAHVTGASSSSSSSTKGTYFPAILNPPPSPATERSHYTM EFGYSSNSPSTHRSYSYRPYSYRHFAPPTTPCSTDVCDSDYAPSRRMTSVATAKGYTSDV NYDSEPVPPPPTPRSQYLSAEENYESCPPSPYTERSYSHHLYPPPPSPCTDSS >ENSMUSP00000144733.1 pep:known chromosome:GRCm38:6:134511284:134566965:-1 gene:ENSMUSG00000030201.15 transcript:ENSMUST00000126836.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp6 description:low density lipoprotein receptor-related protein 6 [Source:MGI Symbol;Acc:MGI:1298218] MYWTDWGEVPKIERAGMDGSSRFVIINTEIYWPNGLTLDYQERKLYWADAKLNFIHKSNL DGTNRQAVVKGSLPHPFALTLFEDTLYWTDWNTHSILACNKYTGEGLREIHSNIFSPMDI HAFSQQRQPNAT >ENSMUSP00000078985.4 pep:known chromosome:GRCm38:5:3803184:3841359:1 gene:ENSMUSG00000000600.15 transcript:ENSMUST00000080085.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krit1 description:KRIT1, ankyrin repeat containing [Source:MGI Symbol;Acc:MGI:1930618] MGNPENIEDAYVAVIRPKNTASLNSREYRAKSYEILLHEVPIEGQKKKRKKVLLETKLQS NSEIAQGILDYVVETTKPISPANQGIKGKRVVLMRKFPLDGEKTGREAALFIVPSVVKDN TKYAYTPGCPIFYCLQDIMRVCSESSTHFATLTARMLIALDKWLDERHAQSHFIPALFRP SPLERIKTNVINPAYAAELGQVDNSLHMGYSALEIKSKMLALEKADTCIYNPLFGSDLQY TNRVDKVVINPYFGLGAPDYSKIQIPKQEKWQRSMSSVVEDKERQWVDDFPLHRNACEGD SELLSHLLDKGLSVNQLDNDHWAPIHYACWYGKVEATRILLEKGKCNPNLLNGQLSSPLH FAAGGGHAEIVQILLTHPDIDRHITDQQGRSPLNVCEENKQNNWEEAAKLLKDAINKPYE KVRIYRMDGSYRSVELKHGNNTTAQQIMEGMRLSQETQRYFTIWICSENLSLQFKPYHKP LQQVHDWPEILAELTNLDPQRETPQLFLRRDVGLPLEVEKKIEDPLAILILFDEARYNLL KGFYTAPDAKLITLASLLLQIVYGNYESKKHKQGFLNEETLKSIVPITKLKSKAPHWINR ILHEYKNLSLSEGVSKEMHHLQRMFLQNCWEIPTYGAAFFTGQIFTKASPSNHKVIPVYV GVNIKGLHLLNMETKALLISLKYCCFTWQLGDAGTCFQIHSMENKMSFIVHTKQAGLVVK LLMKLNGQLMPSERNS >ENSMUSP00000143776.1 pep:known chromosome:GRCm38:5:3803189:3841359:1 gene:ENSMUSG00000000600.15 transcript:ENSMUST00000200577.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Krit1 description:KRIT1, ankyrin repeat containing [Source:MGI Symbol;Acc:MGI:1930618] MGNPENIEDAYVAVIRPKNTASLNSREYRAKSYEILLHEVPIEGQKKKRKKVLLETKLQS NSEIAQGILDYVVETTKPISPANQGIKGKRVVLMRKFPLDGEKTGREAALFIVPSVVKDN TKYAYTPGCPIFYCLQDIMRVCSESSTHFATLTARMLIALDKWLDERHAQSHFIPALFRP SPLERIKTNVINPAYAAELGQVDNSLHMGYSALEIKSKMLALEKADTCIYNPLFGSDLQY TNRVDKVVINPYFGLGAPDYSKIQIPKQEKWQRSMSSVVEDKERQWVDDFPLHRNACEGD SELLSHLLDKGLSVNQLDNDHWAPIHYAC >ENSMUSP00000143559.1 pep:known chromosome:GRCm38:5:3803190:3845564:1 gene:ENSMUSG00000000600.15 transcript:ENSMUST00000200386.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krit1 description:KRIT1, ankyrin repeat containing [Source:MGI Symbol;Acc:MGI:1930618] MGNPENIEDAYVAVIRPKNTASLNSREYRAKSYEILLHEVPIEGQKKKRKKVLLETKLQS NSEIAQGILDYVVETTKPISPANQGIKGKRVVLMRKFPLDGEKTGREAALFIVPSVVKDN TKYAYTPGCPIFYCLQDIMRVCSESSTHFATLTARMLIALDKWLDERHAQSHFIPALFRP SPLERIKTNVINPAYAAELGQVDNSLHMGYSALEIKSKMLALEKADTCIYNPLFGSDLQY TNRVDKVVINPYFGLGAPDYSKIQIPKQEKWQRSMSSVVEDKYGKVEATRILLEKGKCNP NLLNGQLSSPLHFAAGGGHAEIVQILLTHPDIDRHITDQQGRSPLNVCEENKQNNWEEAA KLLKDAINKPYEKVRIYRMDGSYRSVELKHGNNTTAQQIMEGMRLSQETQRYFTIWICSE NLSLQFKPYHKPLQQVHDWPEILAELTNLDPQRETPQLFLRRDVGLPLEVEKKIEDPLAI LILFDEARYNLLKGFYTAPDAKLITLASLLLQIVYGNYESKKHKQGFLNEETLKSIVPIT KLKSKAPHWINRILHEYKNLSLSEGVSKEMHHLQRMFLQNCWEIPTYGAAFFTGQIFTKA SPSNHKVIPVYVGVNIKGLHLLNMETKALLISLKYCCFTCLFKKKIV >ENSMUSP00000132375.1 pep:known chromosome:GRCm38:5:3803405:3844303:1 gene:ENSMUSG00000000600.15 transcript:ENSMUST00000171023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krit1 description:KRIT1, ankyrin repeat containing [Source:MGI Symbol;Acc:MGI:1930618] MGNPENIEDAYVAVIRPKNTASLNSREYRAKSYEILLHEVPIEGQKKKRKKVLLETKLQS NSEIAQGILDYVVETTKPISPANQGIKGKRVVLMRKFPLDGEKTGREAALFIVPSVVKDN TKYAYTPGCPIFYCLQDIMRVCSESSTHFATLTARMLIALDKWLDERHAQSHFIPALFRP SPLERIKTNVINPAYAAELGQVDNSLHMGYSALEIKSKMLALEKADTCIYNPLFGSDLQY TNRVDKVVINPYFGLGAPDYSKIQIPKQEKWQRSMSSVVEDKERQWVDDFPLHRNACEGD SELLSHLLDKGLSVNQLDNDHWAPIHYACWYGKVEATRILLEKGKCNPNLLNGQLSSPLH FAAGGGHAEIVQILLTHPDIDRHITDQQGRSPLNVCEENKQNNWEEAAKLLKDAINKPYE KVRIYRMDGSYRSVELKHGNNTTAQQIMEGMRLSQETQRYFTIWICSENLSLQFKPYHKP LQQVHDWPEILAELTNLDPQRETPQLFLRRDVGLPLEVEKKIEDPLAILILFDEARYNLL KGFYTAPDAKLITLASLLLQIVYGNYESKKHKQGFLNEETLKSIVPITKLKSKAPHWINR ILHEYKNLSLSEGVSKEMHHLQRMFLQNCWEIPTYGAAFFTGQIFTKASPSNHKVIPVYV GVNIKGLHLLNMETKAGLVVKLLMKLNGQLMPSERNS >ENSMUSP00000142657.1 pep:known chromosome:GRCm38:5:3804240:3809709:1 gene:ENSMUSG00000000600.15 transcript:ENSMUST00000198079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krit1 description:KRIT1, ankyrin repeat containing [Source:MGI Symbol;Acc:MGI:1930618] MLLALCHFLFQGTFCLFYKAMGNPENIEDAYVAVIRPKNTASLNSREYRAKSYEILLHEV PIEGQKKKRKKVLLETKLQSNSEIAQGILDYVVETTKPISPANQGIKGKRVVLMRKFPLD GEKTGREAALFI >ENSMUSP00000048607.3 pep:known chromosome:GRCm38:4:82881751:82885148:-1 gene:ENSMUSG00000038192.5 transcript:ENSMUST00000048430.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cer1 description:cerberus 1, DAN family BMP antagonist [Source:MGI Symbol;Acc:MGI:1201414] MHLLLVQLLVLLPLGKADLCVDGCQSQGSLSFPLLERGRRDLHVANHEEAEDKPDLFVAV PHLMGTSLAGEGQRQRGKMLSRLGRFWKKPETEFYPPRDVESDHVSSGMQAVTQPADGRK VERSPLQEEAKRFWHRFMFRKGPAFQGVILPIKSHEVHWETCRTVPFNQTIAHEDCQKVV VQNNLCFGKCSSIRFPGEGADAHSFCSHCSPTKFTTVHLMLNCTSPTPVVKMVMQVEECQ CMVKTERGEERLLLAGSQGSFIPGLPASKTNP >ENSMUSP00000103069.1 pep:known chromosome:GRCm38:11:99514114:99521338:-1 gene:ENSMUSG00000064165.8 transcript:ENSMUST00000107445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt39 description:keratin 39 [Source:MGI Symbol;Acc:MGI:3588208] MDTKGSTVTISSSTPPQNCSGNTNFRTNSSSNKSCCHDGQSTGCALQTPQGQGCGSSPCL YRCPHYLIRTYSFHPCLDDGSRCTEGINTHEKETMQILNERLANYLEKVRMLEGENADLE DKIQEACSKALPILCPDYLSYYTTIEELQQKILCTKAENSRLVSQIDNTKLAADDLRANY EAELSLRQLVEADANGLKQILDALTLSKADLEARVQSLTEELLCLKTNHEEEVNSLQCQL GDRINIEVTAAPSVDLNQILQEMRCRYESIMETNRKDVEEWFNTQMEELNQQVVSSSQQQ QCCQKDIIELRRTISALEIELQAQHRMRESQECILTETEARYTALLAQIQSLIHNLEAQV ADIRSALQRQSQEYEVLLDIKSRLECEIATYRSLLESLDGRLPCNPCATKWEPSCQARAM ECFTPVYTSSSLPGIHKPCRASGPPSRILVKICTITKEIKDGKVISSYEHVQPCYITRAT KV >ENSMUSP00000076216.1 pep:known chromosome:GRCm38:11:99514624:99521258:-1 gene:ENSMUSG00000064165.8 transcript:ENSMUST00000076948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt39 description:keratin 39 [Source:MGI Symbol;Acc:MGI:3588208] MDTKGSTVTISSSTPPQNCSGNTNFRTNSSSNKSCCHDGQSTGCALQTPQGQGCGSSPCL YRCPHYLIRTYSFHPCLDDGSRCTEGINTHEKETMQILNERLANYLEKVRMLEGENADLE DKIQEACSKALPILCPDYLSYYTTIEELQQKILCTKAENSRLVSQIDNTKLAADDLRANY EAELSLRQLVEADANGLKQILDALTLSKADLEARVQSLTEELLCLKTNHEEEVNSLQCQL GDRINIEVTAAPSVDLNQILQEMRCRYESIMETNRKDVEEWFNTQMEELNQQVVSSSQQQ QCCQKDIIELRRTISALEIELQAQHRMRESQECILTETEARYTALLAQIQSLIHNLEAQV ADIRSALQRQSQEYEVLLDIKSRLECEIATYRSLLESLDGRLPCNPCATKWEPSCQARAM ECFTPVYTSSSLPGIHKPCRASGPPSRILVKICTITKEIKDGKVISSYEHVQPCYITRAT KV >ENSMUSP00000104519.1 pep:known chromosome:GRCm38:2:180258540:180273496:-1 gene:ENSMUSG00000038990.14 transcript:ENSMUST00000108891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cables2 description:CDK5 and Abl enzyme substrate 2 [Source:MGI Symbol;Acc:MGI:2182335] MAAAAAGGAPGPAPGPSRPAPAARNPPAVPRRRGDSRRRQAALFFLNNISLDGRPPSLGP GGEKPAPPPPPPTEAREAPAPPPAPPGGLPGLPARPAPQGLLSPTTAPAGLGLDGQRQRR RVTSQRCSLEFLEDTVGCASVQRTKHASGSPRHKGLKKTHFIKNMRQYDTKNSRIVLICA KRSLCAAFSVLPYGEGLRISDLRVDSQKQRHPSGGVSVSSEMVFELEGVELGADGKVVSY AKFLYPTNALVIHKNDSHGLLPQPRPSIPRAPPGSRHKPVPTKSTPAGTELGSDGGDAVE YNPNLLDDPQWPCGKHKRVLIFASYMTTVIEYVKPADLKKDMNETFREKFPHIKLTLSKI RSLKREMRNLSEECSLEPVTVSMAYVYFEKLVLQGKLNKQNRKLCAGACVLLAAKISSDL RKSEVKQLIDKLEERFRFNRKDLIGFEFTVLVALELALYLPENQVLPHYRRLTQQF >ENSMUSP00000140564.1 pep:known chromosome:GRCm38:19:8888926:8895064:1 gene:ENSMUSG00000071652.6 transcript:ENSMUST00000191089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints5 description:integrator complex subunit 5 [Source:MGI Symbol;Acc:MGI:1923578] MAPPGGKINRPRTELKKKLFKRRRVLSRDRRRKRQVVGAVIDEGLTTKHHLKKRASSARA NITLSGKKRRKLLQQIRLAQKEKAAMEVLRSCPKKSRLF >ENSMUSP00000093968.5 pep:known chromosome:GRCm38:19:8892987:8897890:1 gene:ENSMUSG00000071652.6 transcript:ENSMUST00000096249.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints5 description:integrator complex subunit 5 [Source:MGI Symbol;Acc:MGI:1923578] MSALCDPPGAPGPPGPAPATHGPAPLSAQELSQEIKAFLTGVDPILGHQLSAREHARCGL LLLRSLPPARAAVLDHLRGVFDESVRAHLAALEESPVAGPPHLRPPPPSHVPTGGPGLED VVHEVQQVLCEFIRANPKAWAPVISAWSIDLMGQLSSTYSGQHQRVPHATGSLNELLQLW MGCRATRTLMDIYVQCLSALIGSCPDACVDALLDTSVQHSPHFDWVVAHIGSSFPGTIIS RVLSCGLKDFCVHSGAGGGASACGNSSQPPSTDPFPGSPAIPGEKRVPKIASVVGILGHL ASRHGDSIRRELLRMFHDSLAGGSGGRNGEPSLQATVPFLLQLAVMSPALLGTVSGELVD CLKPPAVLSQLQQHLQGFPREELDNMLNLAVHLVSQASGTGAYRLLQFLVDTAMPASVIT TQGLAVPDTVREACDRLIQLLLLHLQKLVHHRGGSPGEGVLGPPPPPRPVPFLDALRNHV GELCGETLRLERKRFLWQHQLLGLLSVYTRPSCGPEALGHLLSRARSPEELSLATQLYAG LVVSLSGLLPLAFRSCLARVHAGTLQPPFTARFLRNLALLVGWEQQGGEGPSALGARFGE SASAHLADLAPLLLHPEEEVAEAAASLLAICPFPSEALSPSQLLGLVRAGVHHFFSSLRL HGPPGVASASQLLTRLSQTSPAGLKAVLQLLVEGALHRGNTELFGGEMDGDNETLSIVST PLASASLLDINRRHTAAVPGPGGIWSVFHAGVIGRGLKPPKIVQSRNHQEVIYNTQSLVS LLVHCCSASGNSEREGCWGAPTLSPEAAKAVAVTLVESVCPDAAGAELAWPPEDHARATV ERDLRIGRRFREQPLLFELLKLVAAAPPALCYCSVLLRGLLAALLSHWEASRHPDTTHSP WHLEASCILVAVMAEGSLLPPALGNMHEVFSQLAPFEVRLLLLSVWGFLREHGPLPQKFI FQSERGRFIRDFAREGGAEGGPHLSVLHSVLHRNIDRLGLFSGRFQAPPPSTLLRQGT >ENSMUSP00000009732.7 pep:known chromosome:GRCm38:11:116765025:116775507:-1 gene:ENSMUSG00000009588.9 transcript:ENSMUST00000009732.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac1 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 1 [Source:MGI Symbol;Acc:MGI:1341826] MTRYCRGLSQRQAFLLLTVLALLFILLFVVKDPRAKDSRCQFIWKNDASAQENQQKAEPQ VPIMTLSPRVHNKETTSVSSKDLKKQEREAVQGEQAEGKEKRKLETIRPAPENPQSKAEP AAKTPVSEHLDKLPRAPGALSTRKTPMATGAVPAKKKVVQATKSPASSPHPTTRRRQRLK ASEFKSEPRWDFEEEYSLDMSSLQTNCSASVKIKASKSPWLQNIFLPNITLFLDSGRFTQ SEWNRLEHFAPPFGFMELNQSLVQKVVTRFPPVRQQQLLLASLPTGYSKCITCAVVGNGG ILNDSRVGREIDSHDYVFRLSGAVIKGYEQDVGTRTSFYGFTAFSLTQSILILGRRGFQH VPLGKDVRYLHFLEGTRDYEWLEAMFLNQTLAKTHLSWFRHRPQEAFRNALDLDRYLLLH PDFLRYMKNRFLRSKTLDTAHWRIYRPTTGALLLLTALHLCDKVSAYGFITEGHQRFSDH YYDTSWKRLIFYINHDFRLERMVWKRLHDEGIIWLYQRPQSDKAKN >ENSMUSP00000033524.2 pep:known chromosome:GRCm38:X:65047644:65049014:1 gene:ENSMUSG00000031181.2 transcript:ENSMUST00000033524.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctag2 description:cancer/testis antigen 2 [Source:MGI Symbol;Acc:MGI:1917312] MQDPSGDKVCRAGGEEDGEDGQHTSRVHDTEADPHHTEASSHRTEGSSHLTETSSRPSEA ENGHDNSPHVNRAQDVGTQASPQGSLNSRVPVEARVVVEEAAIAPQGEQAPIIPGPSGDA ATTAGSRLLEFSVTVPFRTAVEANIACRTLASNIQQQQVMVQQEFTVNDTILTVRWTTED PVLFRTSINAFLDQLSLVVRNIPRPVFMAVFKQGRGRNN >ENSMUSP00000133547.1 pep:known chromosome:GRCm38:8:124021508:124048504:1 gene:ENSMUSG00000031976.14 transcript:ENSMUST00000173168.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Urb2 description:URB2 ribosome biogenesis 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2681124] MAAVYSGISFKLKSKTTSWEDKLKLAHFAWISHQCFLPNKEQVLLDWARQSLVAFYKKKL ELQEDIVERLWIYVDNILHSKKLQNLLKDGKTVNLQLSLVKIINERIEEFSRSGCQRNIC AVLSCCQGILSAPALAVIYTAKPELIVALLSQLCWSACRQPEGATTAKLFEVIHLALDHY LRLQQQQANPKRVFGDMTGHLFQPCLVLRHLLLGGTWTQASQGQLWQVLSRDIRSKIDAV LRAGVFRHDLLSSYKEELLEQHQESVRMGVLKGLLTPMEAVIARLVEPGYVRSDLHALVV ASSVPLLFRLFLEAYLQEESQFLCFQVLPRLFGCLQISHLQEGQIEALSLSDWTTELLAI EQLLNSVATSNIYNVATDRIRHAETQFHFYRRVAEVLINHSQASVPAWFRCLKILISLNH LILEPDLDDLLSSAWIDAEVTEFRAKKAQEVLINTVFQTYAKLRQVPRLFEEVLGVICRP AAEALRQPLLASGLSVALSACLLELPLSQILDSWSLVLDKFQSLVMPCLQSDTDMALKAM SLSSLLHCIMFNMQSLDNNMPLPIIRRTQCIMERMLRELVGPLLGLLLDLWSPEPELWQQ KVCDSALLLSYTWAQVDATISLHCSQYYSLGISLARAALDSSNLPLLLPGVEMEFWKKVE ECVGQSRSLSRYCLEQLYLQKVKRTLMRSNSQSKEALQTLRFDTAHILDSSRDCLSQKTA AAWDRQVSTMNESIYPVAHWHLIVSNLTVLIPYLCLNDVRYVATVLLRTLPASKAQGSLA HGEPHVTLEKISTALLHSPLFPEMQSLYSAFLTCIIAECSSTLCSGAHSDLSLVSQQLPW LSGKDDHTIVAHWETRLAKVGPEGVEPRGEIAQNFLSMVKSGFPIKLDEEQLKGLLELLE VISALRLDSLSPSYHVHMFFLLFSMAVSTLGHCSCPLALQFLVKCYRLLSSLQRGKNGRT VFRVMYVSDIFEVVLTSLLQASAEFQVREDDPAWLQLLQVSGVFLEQLMQMLTQVKLSLV LNFGKITAFLSRYRKEASCKEGKIPNLRSRQLLLVALTKLCQSLGPCVKERRQLLEAPAE LAELLQQAMMQMGTMLKLCLVSGTTGRRRLPSVLLAAVPTLLEVDMSQHLRDGQPKIAQV VDTDKTLLSHGTLYQDVYTQLLEELPALSGNAQSFQAALQFLTLFLLAPELHSKETSVFA SVFYSVQKVLTGPCIPAPVTQDTELHLGALLTQMFEAGTTEHFGMVLQSILQGLDVTQAW RLFCVPLDYSNCYSNVHSTEKRQVCCGVRVPRSSRL >ENSMUSP00000034457.8 pep:known chromosome:GRCm38:8:124023394:124048505:1 gene:ENSMUSG00000031976.14 transcript:ENSMUST00000034457.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urb2 description:URB2 ribosome biogenesis 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2681124] MAAVYSGISFKLKSKTTSWEDKLKLAHFAWISHQCFLPNKEQVLLDWARQSLVAFYKKKL ELQEDIVERLWIYVDNILHSKKLQNLLKDGKTVNLQLSLVKIINERIEEFSRSGCQRNIC AVLSCCQGILSAPALAVIYTAKPELIVALLSQLCWSACRQPEGATTAKLFEVIHLALDHY LRLQQQQANPKRVFGDMTGHLFQPCLVLRHLLLGGTWTQASQGQLWQVLSRDIRSKIDAV LRAGVFRHDLLSSYKEELLEQHQESVRMGVLKGLLTPMEAVIARLVEPGYVRSDLHALVV ASSVPLLFRLFLEAYLQEESQFLCFQVLPRLFGCLQISHLQEGQIEALSLSDWTTELLAI EQLLNSVATSNIYNVATDRIRHAETQFHFYRRVAEVLINHSQASVPAWFRCLKILISLNH LILEPDLDDLLSSAWIDAEVTEFRAKKAQEVLINTVFQTYAKLRQVPRLFEEVLGVICRP AAEALRQPLLASGLSVALSACLLELPLSQILDSWSLVLDKFQSLVMPCLQSDTDMALKAM SLSSLLHCIMFNMQSLDNNMPLPIIRRTQCIMERMLRELVGPLLGLLLDLWSPEPELWQQ KVCDSALLLSYTWAQVDATISLHCSQYYSLGISLARAALDSSNLPLLLPGVEMEFWKKVE ECVGQSRSLSRYCLEQLYLQKVKRTLMRSNSQSKEALQTLRFDTAHILDSSRDCLSQKTA AAWDRQVSTMNESIYPVAHWHLIVSNLTVLIPYLCLNDVRYVATVLLRTLPASKAQGSLA HGEPHVTLEKISTALLHSPLFPEMQSLYSAFLTCIIAECSSTLCSGAHSDLSLVSQQLPW LSGKDDHTIVAHWETRLAKVGPEGVEPRGEIAQNFLSMVKSGFPIKLDEEQLKGLLELLE VISALRLDSLSPSYHVHMFFLLFSMAVSTLGHCSCPLALQFLVKCYRLLSSLQRGKNGRT VFRVMYVSDIFEVVLTSLLQASAEFQVREDDPAWLQLLQVSGVFLEQLMQMLTQVKLSLV LNFGKITAFLSRYRKEASCKEGKIPNLRSRQLLLVALTKLCQSLGPCVKERRQLLEAPAE LAELLQQAMMQMGTMLKLCLVSGTTGRRRLPSVLLAAVPTLLEVDMSQHLRDGQPKIAQV VDTDKTLLSHGTLYQDVYTQLLEELPALSGNAQSFQAALQFLTLFLLAPELHSKETSVFA SVFYSVQKVLTGPCIPAPVTQDTELHLGALLTQMFEAGTTEHFGMVLQSILQGLDVTQAW RSDLQVVLCAIRLLKLLLKCPLNGEKASLLWRSCPQIITALMLQHREACREQPVALAVIE PVLEVLAVLLRKGEESISNPHHVSLAFNILLTVPLEHLQPREFGSVFLKMHSVLFSILQC HSKVMLKAVPSFLNSFNRLLVSVMHEGRQKDKGSVDDLTVVLESARLVERMYSHLATRAE EFTAFSPFLVAQYVTEVQKVTLYPPVKNLLQEGIYLILDLCMERDIQFLRASLQAGARDV FKDLHSDYLKYHKAKHEGEKRYTA >ENSMUSP00000093970.3 pep:known chromosome:GRCm38:19:8888853:8890881:1 gene:ENSMUSG00000071653.9 transcript:ENSMUST00000096251.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810009A15Rik description:RIKEN cDNA 1810009A15 gene [Source:MGI Symbol;Acc:MGI:1913526] MAPPGGKINRPRTELKKKLFKRRRVLSRDRRRKRQVVGAVIDEGLTTKHHLKKRASSARA NITLSGKKRRKLLQQIRLAQKEKAAMEVEAPSKSTRTSQPQPKQQKKIKAPQDVAMEDLE DKS >ENSMUSP00000140221.1 pep:known chromosome:GRCm38:19:8888915:8890546:1 gene:ENSMUSG00000071653.9 transcript:ENSMUST00000185488.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1810009A15Rik description:RIKEN cDNA 1810009A15 gene [Source:MGI Symbol;Acc:MGI:1913526] MAPPGGKINRPRTELKKKLFKRRRVLSRDRRRKRQVVGAVIDEGLTTKHHLKKRAVSLCR PGCPGTHPVDQAGLELRTY >ENSMUSP00000001984.3 pep:known chromosome:GRCm38:7:16721929:16726132:1 gene:ENSMUSG00000007209.4 transcript:ENSMUST00000001984.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam9 description:carcinoembryonic antigen-related cell adhesion molecule 9 [Source:MGI Symbol;Acc:MGI:1347247] MGAETMESPSLFLCKGLLLTAFLLTCWNAPAAAELTIELVPPMVAEGGNSVLFVHEMPLN VQAFYWYKQRDSTKSYEVARYLTPTNQSSKMPQHSDRKTVFYSGSLLIRNVTKADSGVYT LLTFNTEMESELTHVHLEVQEPVAQPTLQADSTAVTEAGSVTLTCVSEDPGLSIRWLFNH QGLYFNDRMTLSQKNSRLTIDPAKREDAGEYQCEVSNGYSSKMSLPLQMSVTSE >ENSMUSP00000145785.1 pep:known chromosome:GRCm38:7:16721958:16725214:1 gene:ENSMUSG00000007209.4 transcript:ENSMUST00000206252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam9 description:carcinoembryonic antigen-related cell adhesion molecule 9 [Source:MGI Symbol;Acc:MGI:1347247] MGAETMESPSLFLCKGLLLTGFSLLAFLLTCWNAPAAAELTIELVPPMVAEGGNSVLFVH EMPLNVQAFYWYKQRDSTKSYEVARYLTPTNQSSKMPQHSDRKTVFYSGSLLIRNVTKAD SGVYTLLTFNTEMESELTHVHLEVQEPVAQP >ENSMUSP00000144741.1 pep:known chromosome:GRCm38:10:129681799:129686098:-1 gene:ENSMUSG00000093866.2 transcript:ENSMUST00000203785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr802 description:olfactory receptor 802 [Source:MGI Symbol;Acc:MGI:3030636] MSNHTETTEFILLGLSDDPKLQVVIFVFLFITYTLSITGNLTIITLTLLDSHLQTPMYFF LRNFSVLEVSFTTVTIPKFLGTIISGDKTISFNNCIAQLFFFILLGVTEFYLLAAMSYDR YVAICKPLHYLTIMSQKVCTMLVFASWLTSFLIIFPALMLLLQLDYCGSNIIDHYTCDYF PLLQLSCSDTKFLERMGFSCAVFTLMLTLVLIFLSYTYIIKTIVKIPSASQRSKAFSTCS SHMIVISISYGSCIFMYIKPSATDRASLTKGVAILNTSVAPMLNPFIYSLRNQQVKQAFM NMTRKIVFSTSK >ENSMUSP00000074275.2 pep:known chromosome:GRCm38:10:129681799:129682737:-1 gene:ENSMUSG00000093866.2 transcript:ENSMUST00000074713.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr802 description:olfactory receptor 802 [Source:MGI Symbol;Acc:MGI:3030636] MSNHTETTEFILLGLSDDPKLQVVIFVFLFITYTLSITGNLTIITLTLLDSHLQTPMYFF LRNFSVLEVSFTTVTIPKFLGTIISGDKTISFNNCIAQLFFFILLGVTEFYLLAAMSYDR YVAICKPLHYLTIMSQKVCTMLVFASWLTSFLIIFPALMLLLQLDYCGSNIIDHYTCDYF PLLQLSCSDTKFLERMGFSCAVFTLMLTLVLIFLSYTYIIKTIVKIPSASQRSKAFSTCS SHMIVISISYGSCIFMYIKPSATDRASLTKGVAILNTSVAPMLNPFIYSLRNQQVKQAFM NMTRKIVFSTSK >ENSMUSP00000061208.7 pep:known chromosome:GRCm38:7:92581723:92637102:-1 gene:ENSMUSG00000041343.19 transcript:ENSMUST00000056106.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd42 description:ankyrin repeat domain 42 [Source:MGI Symbol;Acc:MGI:1921095] MPGVANPGPSKSRRETADSSSRKKVHFSSIHDAVRAGDVKQLSDIVERGANLNEVDALHQ FTPLHWAAHSGSLECLHWLLWSGADATQTTTRGWTAAHIAAIRGQDACLQALIINGANLA TQDDRGCTPLHLAATHGHSFSLQIMLRSGVDPSVTDKREWKPVHYASFHGRLGCLQLLVK WGCGIEDVDYNGNLPVHLAAMEGHLHCLKFLLSRMNSATQALKAFNDNGENVLDLAQRFL KQNVVEFIQGAQYEGSHPDDHDDLAFPGHVAAFKGDLEVLKKLIGDGVINLNERDDNGST PMHKAAGQGHIDCLQWLIEMGAESNITNKAGETPSDVAKRFAHLAAVKLLEGLQKYEIDD IESDKDHINFFTRHGVEGSTDAKDDLCLSESDKANARMRAHKKIVELRQLLEIAESNFKH LGGITEEDLKQKKEQLESKKTINELQGQLAYERLRREKLECQLDEYRVEVDQLKETLQKI QVTSVAAMEDDSCESSKEKRRVKKKVSPGGVFVRSYQRIRTSISSQV >ENSMUSP00000113767.1 pep:known chromosome:GRCm38:7:92584183:92637142:-1 gene:ENSMUSG00000041343.19 transcript:ENSMUST00000118157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd42 description:ankyrin repeat domain 42 [Source:MGI Symbol;Acc:MGI:1921095] MPGVANPGPSKSRRETADSSSRKKVHFSSIHDAVRAGDVKQLSDIVERGANLNEVDALHQ FTPLHWAAHSGSLECLHWLLWSGADATQTTTRGWTAAHIAAIRGQDACLQALIINGANLA TQDDRGCTPLHLAATHGHSFSLQIMLRSGVDPSVTDKREWKPVHYASFHGRLGCLQLLVK WGCGIEDVDYNGNLPVHLAAMEGHLHCLKFLLSRMNSATQALKAFNDNGENVLDLAQRFL KQNVVEFIQGAQYEGSHPDDHDDLAFPGHVAAFKGDLEVLKKLIGDGVINLNERDDNGST PMHKAAGQGHIDCLQWLIEMGAESNITNKAGETPSDVAKRFAHLAAVKLLEGLQKYEIDD IESDKDHINFFTRHGVEGSTDAKDDLCLSESDKANARMRAHKKIVELRQLLEIAESNFKH LGGITEEDLKQKKEQLESKKTINELQGQLAYERLRREKLECQLDEYRVEVDQLKETLQKI QVTSVAAMEDDSCESSKEKRRVKKKVSPGGVFVRRY >ENSMUSP00000123315.1 pep:known chromosome:GRCm38:7:92584199:92637136:-1 gene:ENSMUSG00000041343.19 transcript:ENSMUST00000126305.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd42 description:ankyrin repeat domain 42 [Source:MGI Symbol;Acc:MGI:1921095] MPGVANPGPSKSRRETADSSSRKKVHFSSIHDAVRAGDVKQLSDIVERGANLNEVDALHQ FTPLHWAAHSGSLECLHWLLWSGADATQTTTRGWTAAHIAAIRGQDACLQALIINGANLA TQDDRGCTPLHLAATHGHSFSLQIMLRSGVETESILKPSEFYL >ENSMUSP00000146446.1 pep:known chromosome:GRCm38:7:92609122:92637130:-1 gene:ENSMUSG00000041343.19 transcript:ENSMUST00000138267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd42 description:ankyrin repeat domain 42 [Source:MGI Symbol;Acc:MGI:1921095] MPGVANPGPSKSRRETADSSSRKKVHFSSIHDAVRAGDVKQLSDIVERGANLNEVDALHQ FTPLHWAAHSGSLECLHWLLWSGADATQTTTRGWTAAHIAAIRGQDACLQALIINGANLA TQDDRGCTPLHLAATHGHSFSLQIMLRSGVDPSVTDKREWKPVHYASFHGRLGCLQLLVK WGCGIEDVDYNGNLPVHLAAMEGHLHCLKFLLSRMNSATQALKAFNDNGENVLDLAQRFL KQNVVEFIQGAQYEGSHPDDHDDLAFPGHVAAFKGDLEVLKKLIGDGVINLNERDDNGST PMHKAAGQGHIDCLQWLIEMGAESNITNKAGETPSDVAKSVLSSSCGLGTVATVRR >ENSMUSP00000147057.1 pep:known chromosome:GRCm38:7:92610244:92636260:-1 gene:ENSMUSG00000041343.19 transcript:ENSMUST00000207131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd42 description:ankyrin repeat domain 42 [Source:MGI Symbol;Acc:MGI:1921095] MPGVANPGPSKSRRETADSSSRKKVHFSSIHDAVRAGDVKQLSDIVERGANLNEVDALHQ FTPLHWAAHSGSLECLHWLLWSGADATQTTTRGWTAAHIAAIRGQDACLQALIINGANLA TQDDRGCTPLHLAATHGHSFSLQIMLRSGVDPSVTDKREWKPVHYASFHGRLGCLQLLVK WGCGIEDVDYNGNLPVHLAAMEGHLHCLKFLLSRMNSATQALKAFNDNGENVLDLAQRFL KQNVVEFIQGAQYEGSHPDDHDDLAFPGHVAAFKGDLEVLKKLIGDGVINLNERDDNGST PMHKAAGQGHIDCLQWLIEMGAESNITNKAGETPSDVAKRSPL >ENSMUSP00000146370.1 pep:known chromosome:GRCm38:7:92610244:92636260:-1 gene:ENSMUSG00000041343.19 transcript:ENSMUST00000207151.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd42 description:ankyrin repeat domain 42 [Source:MGI Symbol;Acc:MGI:1921095] MPGVANPGPSKSRRETADSSSRKKVHFSSIHDAVRAGDVKQLSDIVERGANLNEVDALHQ FTPLHWAAHSGSLECLHWLLWSGADATQTTTRGWTAAHIAAIRGQDACLQALIINGANLA TQDDRGCTPLHLAATHGHSFSLQIMLRSGVFI >ENSMUSP00000075683.7 pep:known chromosome:GRCm38:7:34912379:35044708:1 gene:ENSMUSG00000063931.14 transcript:ENSMUST00000075068.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pepd description:peptidase D [Source:MGI Symbol;Acc:MGI:97542] MASTVRPSFSLGNETLKVPLALFALNRQRLCERLRKNGAVQAASAVVLQGGEEMQRYCTD TSIIFRQESFFHWAFGVVESGCYGVIDVDTGKSTLFVPRLPDSYATWMGKIHSKEYFKEK YAVDDVQYTDEIASVLTSRNPSVLLTLRGVNTDSGSVCREASFEGISKFNVNNTILHPEI VECRVFKTDMELEVLRYTNRISSEAHREVMKAVKVGMKEYEMESLFQHYCYSRGGMRHTS YTCICCSGENAAVLHYGHAGAPNDRTIKDGDICLFDMGGEYYCFASDITCSFPANGKFTE DQKAIYEAVLRSCRTVMSTMKPGVWWPDMHRLADRIHLEELARIGLLSGSVDAMLQVHLG AVFMPHGLGHFLGLDVHDVGGYPEGVERIDEPGLRSLRTARHLEPGMVLTVEPGIYFIDH LLDQALADPAQACFFNQEVLQRFRNFGGVRIEEDVVVTDSGMELLTCVPRTVEEIEACMA GCDKASVPFSGQK >ENSMUSP00000133634.1 pep:known chromosome:GRCm38:7:34945589:35021746:1 gene:ENSMUSG00000063931.14 transcript:ENSMUST00000161900.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pepd description:peptidase D [Source:MGI Symbol;Acc:MGI:97542] XGVNTDSGSVCREASFEGISKFNVNNTILHPEIVECRVFKTDMELEVLRYTNRISSEAHR EVMKAVKVGMKEYEMERCCF >ENSMUSP00000055860.3 pep:known chromosome:GRCm38:10:129691113:129692039:-1 gene:ENSMUSG00000046041.3 transcript:ENSMUST00000056736.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr803 description:olfactory receptor 803 [Source:MGI Symbol;Acc:MGI:3030637] MKNYTIITEFVLLGISGNRELQVVIFVFLLITYIVSITGNLTIILLTLLDSHLKTPMYYF LRNFSFLEIMFTSVSIPRFLASIITQVKTISYNNCFAQLFFFIFMGVTEFFLLTAMSYDR YVAICKPLHYTLIMNQKVCTLLVLTSWLAGFLTIFPPLMLVLKLDFCASNVIDHFCCDYF PLLQLSCSDTWLLEVIGFYVALVTLLFTLALVILSYMYIFRTILRIPSANQRKKAFSTCS SHMIVISMSYGSCIFIYVKPSANERASLTKTVAILSTSVAPMLNPFIYTLRNQQVKQAFK DLIHKVVF >ENSMUSP00000042575.1 pep:known chromosome:GRCm38:8:122356967:122360746:-1 gene:ENSMUSG00000039079.1 transcript:ENSMUST00000044123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trhr2 description:thyrotropin releasing hormone receptor 2 [Source:MGI Symbol;Acc:MGI:2177284] MDGPSNVSLVHGDTTLGLPEYKVVSVLLVLLVCTVGIVGNAMVVLVVLTSRDMHTPTNCY LVSLALADLIVLLAAGLPNVSDSLVGHWIYGHAGCLGITYFQYLGINVSSCSILAFTVER YIAICHPMRAQTVCTVARARRIIAGIWGVTSLYCLLWFFLVDLNVRDNQRLECGYKVSRG LYLPIYLLDFAVFFIAPLLGTLVLYGFIGRILFQSPLSQEAWQKERQSHGQSEGTPGNCS RSKSSMSSRKQATRMLAVIVLLFAVLWTPYRTLVLLNSFLARPFLDPWVLLFCRTCVYTN SAINPVIYSLMSQKFRAAFLKLCWCRAAGSQRRAACAPTSNYSAAQETSLGTEKMQLGSR EVSGPAAAASLRCQHEPHFSVL >ENSMUSP00000135160.1 pep:known chromosome:GRCm38:16:4835416:4852123:1 gene:ENSMUSG00000022518.15 transcript:ENSMUST00000177042.7 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:4930562C15Rik description:RIKEN cDNA 4930562C15 gene [Source:MGI Symbol;Acc:MGI:1926059] MSFSITFTELVNVAIPQCGVVNFKALHLLLQGILEHIQITELKKVLSGEEDFLQSSPAVF IPREGDAQPVINPMKRLSNIFDQVVDRIEKIESKLAEMQDIPTTSQLVEESYGDKRPAEE MWNNIKIQKRIEGNEKATEKFTRTLQDLLNDLHVLKGSVETVQKDVDTIKFIFEKVNPQK LELLGDDLKTQSRKLGALQREVVTLQNKVRAVPQPEEMVLWSGLHEAMFSPEQQKLEVEP SGVWQSMVSLPGSPLEQPEDAGYIHIPIHSATPVPTMVQLGTTGALREEELTRAVELADS QRPELAQAFVSQTQRLERAQASVSQTQRLERAQASVSQTQRLERAQASVSQTQNLRQTAP FPFPVPGFVPGPDFMPVYGPRPGMATPSAWALPRGLARGNYWPIWGIGPYQPGQGPLQPM GPMPRVPFPAMGSDIPWTQPLPQGTQWYPNIEERGPEYEEQYPEGYQEEMPMDEAPQEEM AVYGALEEEIPTEGAPQDGIPKGRARERISKEKVPKYRTPKERVSYHKASKDVSPEDTGT KDEAPEVKVLKKVVYKDRPQKDKAPEPRRKGPSSAIKKLRSAIASAAAAAAAYAAHANSA AQLAKDAVKAIQDVPANQLAAKAALIASSGPLGAFADFLGAGFGHGATSNTPFDEGELEE FPEEFAAPFNPFTSKPVLSQAMISATQATSPEEKKKAVQYSMGHIAQMPSRHNSLKEEFT NLSTTLNQRLNYLANMGSSGVLGSTVNVLEEKIINLQKARLQEEELERVWGHQIDTMKSH YMVLDRAVERLQIRMDDLKVRRSEDQEGGTGELLLGTTRPSSKGLQNTGELDNILFCINY TSIKNKSQGL >ENSMUSP00000135532.1 pep:known chromosome:GRCm38:16:4841107:4852129:1 gene:ENSMUSG00000022518.15 transcript:ENSMUST00000175836.7 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:4930562C15Rik description:RIKEN cDNA 4930562C15 gene [Source:MGI Symbol;Acc:MGI:1926059] MVLWSGLHEAMFSPEQQKLEVEPSGVWQSMVSLPGSPLEQPEDAGYIHIPIHSATPVPTM VQLGTTGALREEELTRAVELADSQRPELAQAFVSQTQRLERAQASVSQTQRLERAQASVS QTQRLERAQASVSQTQNLRQTAPFPFPVPGFVPGPDFMPVYGPRPGMATPSAWALPRGLA RGNYWPIWGIGPYQPGQGPLQPMGPMPRVPFPAMGSDIPWTQPLPQGTQWYPNIEERGPE YEEQYPEGYQEEMPMDEAPQEEMAVYGALEEEIPTEGAPQDGIPKGRARERISKEKVPKY RTPKERVSYHKASKDVSPEDTGTKDEAPEVKVLKKVVYKDRPQKDKAPEPRRKGPSSAIK KLRSAIASAAAAAAAYAAHANSAAQLAKDAVKAIQDVPANQLAAKAALIASSGPLGAFAD FLGAGFGHGATSNTPFDEGELEEFPEEFAAPFNPFTSKPVLSQAMISATQATSPEEKKKA VQYSMGHIAQMPSRHNSLKEEFTNLSTTLNQRLNYLANMGSSGVLGSTVNVLEEKIINLQ KARLQEEELERVWGHQIDTMKSHYMVLDRAVERLQIRMDDLKVRRSEDQEGGTGELLLGT TRPSSKGLQNTGELDNILFCINYTSIKNKSQGLER >ENSMUSP00000137885.1 pep:known chromosome:GRCm38:16:4850735:4861062:1 gene:ENSMUSG00000022518.15 transcript:ENSMUST00000180566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930562C15Rik description:RIKEN cDNA 4930562C15 gene [Source:MGI Symbol;Acc:MGI:1926059] XEFAAPFNPFTSKPVLSQAMISATQATSPEEKKKAVQYSMGHIAQMPSRHNSLKEEFTNL STTLNQRLNYLANMGSSGVLGSTVNVLEEKIINLQKARLQEEELERVWGHQIDTMKSHYM VLDRAVERLQIRMDDLKILKAEIERLDLVKADKNVMDLELNEKANRDALASKANRVDLET VAMELNEMIHSMLLKITNYESDWKKALKHLRKDLNTKLVQSDLNSLKKDIEEVWKVVRKL LLEGLRFDPD >ENSMUSP00000135347.1 pep:known chromosome:GRCm38:16:4858254:4867621:1 gene:ENSMUSG00000022518.15 transcript:ENSMUST00000176982.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930562C15Rik description:RIKEN cDNA 4930562C15 gene [Source:MGI Symbol;Acc:MGI:1926059] MCRSCWSRAGATIGGPYGEPTPPPSSELSAVSGCRQASPERSE >ENSMUSP00000127814.2 pep:known chromosome:GRCm38:16:4858254:4867621:1 gene:ENSMUSG00000022518.15 transcript:ENSMUST00000171105.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930562C15Rik description:RIKEN cDNA 4930562C15 gene [Source:MGI Symbol;Acc:MGI:1926059] MCRSCWSRAGATIGGPYGEPTPPPSSELSAVSGCRQGKFTLVQSDLNSLKKDIEEVWKVV RKLLLEGLRFDPDSAAGFKKKLFERVKCISCDRPVEMMTGPQLITIRNTHGLSRIRPASA NSYEYLQRQLIREQQQHLHFQNFGVHEEGQGFQKDWGDGPRNENTLKHKSHDLSTLYPYG DPELMNYDTAEVDILGVDGVLYKGRMSSQFGTRTGEKDIAAVKVSYYTIPNLTDRVRPGS LLAPGYPPLSPRTSITSATTLHSATNTTTARPPSLPPVPQLPPLIPVSRDTQEAPGSAKR LKSPRL >ENSMUSP00000135119.1 pep:known chromosome:GRCm38:16:4858254:4867621:1 gene:ENSMUSG00000022518.15 transcript:ENSMUST00000176394.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930562C15Rik description:RIKEN cDNA 4930562C15 gene [Source:MGI Symbol;Acc:MGI:1926059] MCRSCWSRAGATIGGPYGEPTPPPSSELSAVSGCRQASPERSE >ENSMUSP00000137827.1 pep:known chromosome:GRCm38:16:4861573:4867349:1 gene:ENSMUSG00000022518.15 transcript:ENSMUST00000175762.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930562C15Rik description:RIKEN cDNA 4930562C15 gene [Source:MGI Symbol;Acc:MGI:1926059] XMTGPQLITIRNTHGLSRIRPASANSYEYLQRQLIREQQQHLHFQNFGVHEEGQGFQKDW GDGPRNENTLKHKSHDLSTLYPYGDPELMNYDTAEVDILGVDGVLYKGRMSSQFGTRTGE KDIAG >ENSMUSP00000097784.3 pep:known chromosome:GRCm38:16:4835416:4867691:1 gene:ENSMUSG00000022518.15 transcript:ENSMUST00000100211.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930562C15Rik description:RIKEN cDNA 4930562C15 gene [Source:MGI Symbol;Acc:MGI:1926059] MSFSITFTELVNVAIPQCGVVNFKALHLLLQGILEHIQITELKKVLSGEEDFLQSSPAVF IPREGDAQPVINPMKRLSNIFDQVVDRIEKIESKLAEMQDIPTTSQLVEESYGDKRPAEE MWNNIKIQKRIEGNEKATEKFTRTLQDLLNDLHVLKGSVETVQKDVDTIKFIFEKVNPQK LELLGDDLKTQSRKLGALQREVVTLQNKVRAVPQPEEMVLWSGLHEAMFSPEQQKLEVEP SGVWQSMVSLPGSPLEQPEDAGYIHIPIHSATPVPTMVQLGTTGALREEELTRAVELADS QRPELAQAFVSQTQRLERAQASVSQTQRLERAQASVSQTQRLERAQASVSQTQNLRQTAP FPFPVPGFVPGPDFMPVYGPRPGMATPSAWALPRGLARGNYWPIWGIGPYQPGQGPLQPM GPMPRVPFPAMGSDIPWTQPLPQGTQWYPNIEERGPEYEEQYPEGYQEEMPMDEAPQEEM AVYGALEEEIPTEGAPQDGIPKGRARERISKEKVPKYRTPKERVSYHKASKDVSPEDTGT KDEAPEVKVLKKVVYKDRPQKDKAPEPRRKGPSSAIKKLRSAIASAAAAAAAYAAHANSA AQLAKDAVKAIQDVPANQLAAKAALIASSGPLGAFADFLGAGFGHGATSNTPFDEGELEE FPEEFAAPFNPFTSKPVLSQAMISATQATSPEEKKKAVQYSMGHIAQMPSRHNSLKEEFT NLSTTLNQRLNYLANMGSSGVLGSTVNVLEEKIINLQKARLQEEELERVWGHQIDTMKSH YMVLDRAVERLQIRMDDLKILKAEIERLDLVKADKNVMDLELNEKANRDALASKANRVDL ETVAMELNEMIHSMLLKITNYESDWKKALKHLRKDLNTKLVQSDLNSLKKDIEEVWKVVR KLLLEGLRFDPDSAAGFKKKLFERVKCISCDRPVEMMTGPQLITIRNTHGLSRIRPASAN SYEYLQRQLIREQQQHLHFQNFGVHEEGQGFQKDWGDGPRNENTLKHKSHDLSTLYPYGD PELMNYDTAEVDILGVDGVLYKGRMSSQFGTRTGEKDIAAVKVSYYTIPNLTDRVRPGSL LAPGYPPLSPRTSITSATTLHSATNTTTARPPSLPPVPQLPPLIPVSRDTQEAPGSAKRL KSPRL >ENSMUSP00000076459.5 pep:known chromosome:GRCm38:11:46978785:47379522:-1 gene:ENSMUSG00000020354.15 transcript:ENSMUST00000077221.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgcd description:sarcoglycan, delta (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:1346525] MPQEQYSHHRSTMPSSEGPHIYKVGIYGWRKRCLYFFVLLLMILILVNLAMTIWILKVMN FTIDGMGNLRITEKGLKLEGDSEFLQPLYAKEIKSRPGNALYFKSARNVTVNILNDQTKV LTQLVTGPKAVEAYGKRFEVKTVSGKLLFSADDSEVVVGAERLRVLGAEGTVFPKSIETP NVRADPFKELRLESPTRSLVMEAPKGVEINAEAGNMEAICRSELRLESKDGEIKLDAAKI KLPRLPRGSYTPTGTRQKVFEVCVCANGRLFLSQAGTGSTCQINTSVCL >ENSMUSP00000104843.1 pep:known chromosome:GRCm38:11:46896253:47578965:-1 gene:ENSMUSG00000020354.15 transcript:ENSMUST00000109220.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgcd description:sarcoglycan, delta (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:1346525] MPQEQYSHHRSTMPSSEGPHIYKVGIYGWRKRCLYFFVLLLMILILVNLAMTIWILKVMN FTIDGMGNLRITEKGLKLEGDSEFLQPLYAKEIKSRPGNALYFKSARNVTVNILNDQTKV LTQLVTGPKAVEAYGKRFEVKTVSGKLLFSADDSEVVVGAERLRVLGAEGTVFPKSIETP NVRADPFKELRV >ENSMUSP00000114195.1 pep:known chromosome:GRCm38:10:80115803:80130469:1 gene:ENSMUSG00000003068.15 transcript:ENSMUST00000144883.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stk11 description:serine/threonine kinase 11 [Source:MGI Symbol;Acc:MGI:1341870] MDVADPEPLGLFSEGELMSVGMDTFIHRIDSTEVIYQPRRKRAKLIGKYLMGDLLGEGSY GKVKEVLDSETLCRRAVKILKKKKLRRIPNGEANVKKEIQLLRRLRHRNVIQLVDVLYNE EKQKMYMVMEYCVCGMQEMLDSVPEKRFPVCQAHGYFRQLIDGLEYLHSQGIVHKDIKPG NLLLTTNGTLKISDLGVAEALHPFAVDDTCRTSQGSPAFQPPEIANGLDTFSGFKVDIWS AGVTLYNITTGLYPFEGDNIYKLFENIGRGDFTIPCDCGPPLSDLLRGMLEYEPAKRFSI RQIRQHSWFRKKHPLAEALVPIPPSPDTKDRWRSMTVVPYLEDLHGRAEEEEEEDLFDIE DGIIYTQDFTVPGVEEAAEAGLSEDACDTCMWKSQGAGLPGEEPEEGFGALV >ENSMUSP00000003152.7 pep:known chromosome:GRCm38:10:80115803:80130682:1 gene:ENSMUSG00000003068.15 transcript:ENSMUST00000003152.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk11 description:serine/threonine kinase 11 [Source:MGI Symbol;Acc:MGI:1341870] MDVADPEPLGLFSEGELMSVGMDTFIHRIDSTEVIYQPRRKRAKLIGKYLMGDLLGEGSY GKVKEVLDSETLCRRAVKILKKKKLRRIPNGEANVKKEIQLLRRLRHRNVIQLVDVLYNE EKQKMYMVMEYCVCGMQEMLDSVPEKRFPVCQAHGYFRQLIDGLEYLHSQGIVHKDIKPG NLLLTTNGTLKISDLGVAEALHPFAVDDTCRTSQGSPAFQPPEIANGLDTFSGFKVDIWS AGVTLYNITTGLYPFEGDNIYKLFENIGRGDFTIPCDCGPPLSDLLRGMLEYEPAKRFSI RQIRQHSWFRKKHPLAEALVPIPPSPDTKDRWRSMTVVPYLEDLHGRAEEEEEEDLFDIE DGIIYTQDFTVPGQVLEEEVGQNGQSHSLPKAVCVNGTEPQLSSKVKPEGRPGTANPARK VCSSNKIRRLSACKQQ >ENSMUSP00000101010.2 pep:known chromosome:GRCm38:10:80116347:80130470:1 gene:ENSMUSG00000003068.15 transcript:ENSMUST00000105371.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stk11 description:serine/threonine kinase 11 [Source:MGI Symbol;Acc:MGI:1341870] MDVADPEPLGLFSEGELMSVGMDTFIHRIDSTEGDPAAAAAAASECDPACGRAVQ >ENSMUSP00000101009.1 pep:known chromosome:GRCm38:10:80125375:80130675:1 gene:ENSMUSG00000003068.15 transcript:ENSMUST00000105370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk11 description:serine/threonine kinase 11 [Source:MGI Symbol;Acc:MGI:1341870] MVMEYCVCGMQEMLDSVPEKRFPVCQAHGYFRQLIDGLEYLHSQGIVHKDIKPGNLLLTT NGTLKISDLGVAEALHPFAVDDTCRTSQGSPAFQPPEIANGLDTFSGFKVDIWSAGVTLY NITTGLYPFEGDNIYKLFENIGRGDFTIPCDCGPPLSDLLRGMLEYEPAKRFSIRQIRQH SWFRKKHPLAEALVPIPPSPDTKDRWRSMTVVPYLEDLHGRAEEEEEEDLFDIEDGIIYT QDFTVPGQVLEEEVGQNGQSHSLPKAVCVNGTEPQLSSKVKPEGRPGTANPARKVCSSNK IRRLSACKQQ >ENSMUSP00000118853.1 pep:known chromosome:GRCm38:10:80126682:80130470:1 gene:ENSMUSG00000003068.15 transcript:ENSMUST00000152592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk11 description:serine/threonine kinase 11 [Source:MGI Symbol;Acc:MGI:1341870] FEGDNIYKLFENIGRGDFTIPCDCGPPLSDLLRGMLEYEPAKRFSIRQIRQHSPDTKDRW RSMTVVPYLEDLHGRAEEEEEEDLFDIEDGIIYTQDFTVPGQVLEEEVGQNGQSHSLPKA VCVNGTEPQLSSKVKPEGRPGTANPARKVCSSNKIRRLSACKQQ >ENSMUSP00000100008.4 pep:known chromosome:GRCm38:4:43562332:43567060:1 gene:ENSMUSG00000028466.15 transcript:ENSMUST00000102944.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb3 description:cAMP responsive element binding protein 3 [Source:MGI Symbol;Acc:MGI:99946] MDPGGQDLLALDPGDQDLLGFLLEESGDLWAATEPDVKAPLDLELSPSENSVQELSDWEV EDLLSSLLSPSVSRDVLGSSSSSILHDHNYSLPQEHVSIDLDTESFEKEGFHVTPLPGEE RAAEQEMSRLILTEEEKKLLEKEGLTLPSTLPLTKVEEQVLKRVRRKIRNKRAAQESRKK KKVYVVGLESRVLKYTAQNRELQNKVQRLEEQNLSLLDQLRKLQAMVIEIANKTSSGSTC VLVLVFSFCLLLVPAMYSSDARGSVPAEYVVLHRKLRALPSEDDHQPKPSALSSELPMDS THQSLDSSEHMFLVSSNFSCVLYHAPQAEQPLHWPLWDLSSEMLFSDSNLLLQANLSESE GWQPNHSPSLVIFQGRYSG >ENSMUSP00000123831.1 pep:known chromosome:GRCm38:4:43562947:43565316:1 gene:ENSMUSG00000028466.15 transcript:ENSMUST00000132631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb3 description:cAMP responsive element binding protein 3 [Source:MGI Symbol;Acc:MGI:99946] MSRLILTEEEKKLLEKEGLTLPSTLPLTKVEEQVLKRVRRKIRNKRAA >ENSMUSP00000129401.1 pep:known chromosome:GRCm38:4:43562813:43566797:1 gene:ENSMUSG00000028466.15 transcript:ENSMUST00000167751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb3 description:cAMP responsive element binding protein 3 [Source:MGI Symbol;Acc:MGI:99946] MDPGGQDLLALDPGDQDLLGFLLEESGDLWAATEPDVKAPLDLELSPSENSVQELSDWEV EDLLSSLLSPSVSRDVLGSSSSSILHDHNYSLPQEHVSIDLGECEMISCGRRELTGLAGS TFPFADTESFEKEGFHVTPLPGEERAAEQEMSRLILTEEEKKLLEKEGLTLPSTLPLTKV EEQVLKRVRRKIRNKRAAQESRKKKKVYVVGLESRVLKYTAQNRELQNKVQRLEEQNLSL LDQLRKLQAMVIEIANKTSSGSTCVLVLVFSFCLLLVPAMYSSDARGSVPAEYVVLHRKL RALPSEDDHQPKPSALSSELPMDSTHQSLDSSEHMFLVSSNFSCVLYHAPQAEQPLHWPL WDLSSEMLFSDSNLLLQANLSESEGWQPNHSPSLVIFQGRYSG >ENSMUSP00000113886.1 pep:known chromosome:GRCm38:8:123805985:123835287:1 gene:ENSMUSG00000019478.15 transcript:ENSMUST00000118535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab4a description:RAB4A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105069] MAQTAMSETYDFLFKFLVIGNAGTGKSCLLHQFIEKKFKDDSNHTIGVEFGSKIINVGGK YVKLQIWDTAGQERFRSVTRSYYRGAAGALLVYDITSRETYNALTNWLTDARMLASQNIV LILCGNKKDLDADREVTFLEASRFAQENELMFLETSALTGENVEEAFMQCARKILNKIES GELDPERMGSGIQYGDAALRQLRSPRRTQAPSAQECGC >ENSMUSP00000113401.1 pep:known chromosome:GRCm38:8:123806068:123834485:1 gene:ENSMUSG00000019478.15 transcript:ENSMUST00000117702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab4a description:RAB4A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105069] MAQTAMSETYDFLFKFLVIGNAGTGKSCLLHQFIEKKFKDDSNHTIGVEFGSKIINVGGK YVKLQIWDTAGQERFRSVTRSYYRGAAGALLVYDITSRETYNALTNWLTDARMLASQNIV LILCGNKKDLDADREVTFLEASRFAQENELMFLETSALTGENVEEAFMQCARKILNKIES GELDPERMGSGIQYGDAALRQLRSPRRTQAPSAQECGC >ENSMUSP00000139692.1 pep:known chromosome:GRCm38:19:8883732:8892627:1 gene:ENSMUSG00000096740.7 transcript:ENSMUST00000187504.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbhd1 description:LBH domain containing 1 [Source:MGI Symbol;Acc:MGI:5516029] MALVPESSKDGSWSGDNPDSPWHPESPQLANPLSKNREETGRCEDHQDVEVSQKPRLPSI VVEASEGSEEDQEDHQWPHEELLVLTDGEEDAEAFFQDQSEEPGWAWIPQDPTSPLRTIN PGLGWGQEQDAFWIPEDTEGQETPNLCPLWDPTGSCIYRTRFMEYPHFPPLSTFGGAEEE VVQAPQGIKQGSATETPGGRGCDRRRADYEAPPQEAGVQCSCQHHAVWEEAQETLAADPT CPERKSSHGSGSPLQVNQD >ENSMUSP00000137432.1 pep:known chromosome:GRCm38:19:8883930:8887258:1 gene:ENSMUSG00000096740.7 transcript:ENSMUST00000177826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbhd1 description:LBH domain containing 1 [Source:MGI Symbol;Acc:MGI:5516029] MALVPESSKDGSWSGDNPDSPWHPESPQLANPLSKNREETGRCEDHQDVEVSQKPRLPSI VVEASEGSEEDQEDHQWPHEELLVLTDGEEDAEAFFQDQSEEPGWAWIPQDPTSPLRTIN PGLGWGQEQDAFWIPEDTEGQETPNLCPLWDPTGSCIYRTRFMEYPHFPPLSTFGGKY >ENSMUSP00000092751.3 pep:known chromosome:GRCm38:2:18699023:18750413:1 gene:ENSMUSG00000037708.16 transcript:ENSMUST00000095132.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag6 description:sperm associated antigen 6 [Source:MGI Symbol;Acc:MGI:3040687] MSQRQVLQVFELYQNARTRFVQMVAEQATKPQNIETLQNAGIMSLLRPLLLDVVPTIQQT AALALGRLANYNDDLAEAVVKGDILPQLVYSLAEQNCVYKKAAAFVLRAVGKHSPQLAQA TVDCGALDSLVICLEDFDPGVKEAAAWALAYIARHNAELSQAVVDAGAVPLLVLCIQEPE TALKRIAASALSDISKHSPELAQTVVDVGAIAHLAQMILNPDEKLKQQVLSALSHIAKHS VDLAEMVVEAEIFPVVLTCLKDKDDFVKKNACTLIREIAKHTPELSQLIVNAGGVAAVID CIGSCKGNIRLPGIMMLGYMAAHSENLAMAVIISKGVPQLSDCLSEEPEDHIKAAAAWAL GQVGRHTPEHARAVAITNTLPVLLALYMSPESSEDLQVKSKKAIKNIIQKCTYLPALEPF LYDAPPNILKYVAGQFSKVLPHDSKARRLFVTSGGLKKIQEIKAEPGSILQEYINNINSC YPEEIVRYYSPGYSDILLQRIDSYQPLIN >ENSMUSP00000133383.1 pep:known chromosome:GRCm38:2:18699084:18732034:1 gene:ENSMUSG00000037708.16 transcript:ENSMUST00000173763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag6 description:sperm associated antigen 6 [Source:MGI Symbol;Acc:MGI:3040687] MVAEQATKPQNIETLQNAGIMSLLRPLLLDVVPTIQQTAALALGRLANYNDDLAEAVVKG DILPQLVYSLAEQNCVYKKAAAFVLRAVGKHSPQLAQATVDCGALDSLVICLEDFDPGVK EAAAWALAYIARHNAELSQAVVDAGAVPLLVLCIQEPETALKRIAAS >ENSMUSP00000140204.1 pep:known chromosome:GRCm38:1:65186689:65246898:1 gene:ENSMUSG00000025949.15 transcript:ENSMUST00000190058.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pikfyve description:phosphoinositide kinase, FYVE finger containing [Source:MGI Symbol;Acc:MGI:1335106] MATDDKSSPTLDSANDLPRSPASPSHLTHFKPLTPDQDEPPFKSAYSSFVNLFRFNKERG EGGQGEQQSPSSSWASPQIPSRTQSVRSPVPYKKQLNEELHRRSSVLDSRRKAEPACGGH DPRTAVQLRSLSTVLKRLKEIMEGKSQETSEHAPTVEK >ENSMUSP00000079926.7 pep:known chromosome:GRCm38:1:65186702:65278695:1 gene:ENSMUSG00000025949.15 transcript:ENSMUST00000081154.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pikfyve description:phosphoinositide kinase, FYVE finger containing [Source:MGI Symbol;Acc:MGI:1335106] MATDDKSSPTLDSANDLPRSPASPSHLTHFKPLTPDQDEPPFKSAYSSFVNLFRFNKERG EGGQGEQQSPSSSWASPQIPSRTQSVRSPVPYKKQLNEELHRRSSVLENTLPHPQESTDS RRKAEPACGGHDPRTAVQLRSLSTVLKRLKEIMEGKSQDSDLKQYWMPDSQCKECYDCSE KFTTFRRRHHCRLCGQIFCSRCCNQEIPGKFMGYTGDLRACTYCRKIALSYAHSTDSNSI GEDLNALSDSTCSVSILDPSEPRTPVGSRKASRNIFLEDDLAWQSLIHPDSSNSALSTRL VSVQEDAGKSPARNRSASITNLSLDRSGSPMVPSYETSVSPQANRNYIRTETTEDERKIL LDSAQLKDLWKKICHHTSGMEFQDHRYWLRTHPNCIVGKELVNWLIRNGHIATRAQAIAI GQAMVDGRWLDCVSHHDQLFRDEYALYRPLQSTEFSETPSPDSDSVNSVEGHSEPSWFKD IKFDDSDTEQIAEEGDDNLAKYLVSDTGGQQLSISDAFIKESLFNRRVEEKSKELPFTPL GWHHNNLELLREENEEKQAMERLLSANHNHMMALLQQLLQNESLSSSWRDIIVSLVCQVV QTVRPDVKHQDDDMDIRQFVHIKKIPGGKKFDSVVVNGFVCTKNIAHKKMNSCIKNPKIL LLKCSIEYLYREETKFTCIDPIVLQEREFLKNYVQRIVDVRPTLVLVEKTVSRIAQDMLL EHGITLVINVKSQVLERISRMTQGDLVVSMDQLLTKPHLGTCHKFYMQIFQLPNEQTKTL MFFEGCPQHLGCTIKLRGGSDYELARVKEILIFMICVAYHSQLEISFLMDEFAMPPTLMQ SPSFHLLTEGRGEEGASQEQVSGSSLPQDPECPREALSSEDSTLLESRTVLEKGELDNKS IPQAVASLKHQDYTTPTCPAGIPCALFALVPESLLPLHMDQQDAVGNEQPETSQQTDEQQ DPKSQMKAFRDPLQDDTGMYVTEEVTSSEDQRKTYALTFKQELKDVILCISPVITFREPF LLTEKGMRCSTRDYFPEQIYWSPLLNKEVKEMESRRKKQLLRDLSGLQGMNGSVQAKSIQ VLPSHELVSTRIAEHLGDSQTLGRMLADYRARGGRIQSKHLDPFVHSKDASCTSGGKSGN KTESDEERGLIPSDVIWPTKVDCLNPANHQRLCVLFSSSSAQSSNAPSACVSPWIVTMEF YGKNDLTLGIFLERYCFRSSYQCPSMFCDTPMVHHIRRFVHGQGCVQIILKELDSPVPGY QHTILTYSWCRICKQVTPVVALSNESWSMSFAKYLELRFYGHQYTRRANAEPCGHSIHHD YHQYFSYNQMVASFSYSPIRLLEVCVPLPKIFIKRQAPLKVSLLQDLKDFFQKVSQVYLA VDERLASLKTDTFSKTREEKMEDIFAQKEMEEGEFKNWTEKMQARLMSSSVDTPQQLQSI FESLIAKKQSLCEVLQAWNSRLQDLFQQEKGRKRPSVPPSPGRLRQGEESKINAMDTSPR NISPGLHNGEKEDRFLTTLSSQSSTSSTHLQLPTPPEALAEQVVGGPTDLDSASGSEDVF DGHLLGSTDSQVKEKSTMKAIFANLLPGNSYNPIPFPFDPDKHYLMYEHERVPIAVCEKE PSSIIAFALSCKEYRNALEELSKATLRNSAEEGLPANSALDNRPKSSSPIRLPEISGGQT NRTVEAEPQPTKKASGMLSFFRGTAGKSPDLSSQKRETLRGADSAYYQVGQAGKEGLESQ GLEPQDEVDGGDTQKKQLTNPHVELQFSDANAKFYCRLYYAGEFHKMREVILGSSEEEFI RSLSHSSPWQARGGKSGAAFYATEDDRFILKQMPRLEVQSFLDFAPHYFNYITNAVQQKR PTALAKILGVYRIGYKNSQNNTEKKLDLLVMENLFYGRKMAQVFDLKGSLRNRNVKTDTG KESCDVVLLDENLLKMVRDNPLYIRSHSKSVLRTSIHSDAHFLSSHLIIDYSLLVGRDDT SNELVVGIIDYIRTFTWDKKLEMVVKSTGILGGQGKMPTVVSPELYRTRFCEAMDKYFLM VPDHWTGLDLNC >ENSMUSP00000140932.1 pep:known chromosome:GRCm38:1:65186713:65192187:1 gene:ENSMUSG00000025949.15 transcript:ENSMUST00000185263.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pikfyve description:phosphoinositide kinase, FYVE finger containing [Source:MGI Symbol;Acc:MGI:1335106] MATDDKSSPTLDSANDLPRSPASPSHLTHFKPLTPDQDEPPFKSAYSSFVNLFRFNKDQG PFFGLG >ENSMUSP00000095314.4 pep:known chromosome:GRCm38:1:65186750:65274012:1 gene:ENSMUSG00000025949.15 transcript:ENSMUST00000097707.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pikfyve description:phosphoinositide kinase, FYVE finger containing [Source:MGI Symbol;Acc:MGI:1335106] MATDDKSSPTLDSANDLPRSPASPSHLTHFKPLTPDQDEPPFKSAYSSFVNLFRFNKERG EGGQGEQQSPSSSWASPQIPSRTQSVRSPVPYKKQLNEELHRRSSVLDSRRKAEPACGGH DPRTAVQLRSLSTVLKRLKEIMEGKSQDSDLKQYWMPDSQCKECYDCSEKFTTFRRRHHC RLCGQIFCSRCCNQEIPGKFMGYTGDLRACTYCRKIALSYAHSTDSNSIGEDLNALSDST CSVSILDPSEPRTPVGSRKASRNIFLEDDLAWQSLIHPDSSNSALSTRLVSVQEDAGKSP ARNRSASITNLSLDRSGSPMVPSYETSVSPQANRNYIRTETTEDERKILLDSAQLKDLWK KICHHTSGMEFQDHRYWLRTHPNCIVGKELVNWLIRNGHIATRAQAIAIGQAMVDGRWLD CVSHHDQLFRDEYALYRPLQSTEFSETPSPDSDSVNSVEGHSEPSWFKDIKFDDSDTEQI AEEGDDNLANSASPSKRTSVSSFQSTVDSDSAASISLNVELDNVNFHIKKPSKYPHVPPH PADQKEYLVSDTGGQQLSISDAFIKESLFNRRVEEKSKELPFTPLGWHHNNLELLREENE EKQAMERLLSANHNHMMALLQQLLQNESLSSSWRDIIVSLVCQVVQTVRPDVKHQDDDMD IRQFVHIKKIPGGKKFDSVVVNGFVCTKNIAHKKMNSCIKNPKILLLKCSIEYLYREETK FTCIDPIVLQEREFLKNYVQRIVDVRPTLVLVEKTVSRIAQDMLLEHGITLVINVKSQVL ERISRMTQGDLVVSMDQLLTKPHLGTCHKFYMQIFQLPNEQTKTLMFFEGCPQHLGCTIK LRGGSDYELARVKEILIFMICVAYHSQLEISFLMDEFAMPPTLMQSPSFHLLTEGRGEEG ASQEQVSGSSLPQDPECPREALSSEDSTLLESRTVLEKGELDNKSIPQAVASLKHQDYTT PTCPAGIPCALFALVPESLLPLHMDQQDAVGNEQPETSQQTDEQQDPKSQMKAFRDPLQD DTGMYVTEEVTSSEDQRKTYALTFKQELKDVILCISPVITFREPFLLTEKGMRCSTRDYF PEQIYWSPLLNKEVKEMESRRKKQLLRDLSGLQGMNGSVQAKSIQVLPSHELVSTRIAEH LGDSQTLGRMLADYRARGGRIQSKHLDPFVHSKDASCTSGGKSGNKTESDEERGLIPSDV IWPTKVDCLNPANHQRLCVLFSSSSAQSSNAPSACVSPWIVTMEFYGKNDLTLGIFLERY CFRSSYQCPSMFCDTPMVHHIRRFVHGQGCVQIILKELDSPVPGYQHTILTYSWCRICKQ VTPVVALSNESWSMSFAKYLELRFYGHQYTRRANAEPCGHSIHHDYHQYFSYNQMVASFS YSPIRLLEVCVPLPKIFIKRQAPLKVSLLQDLKDFFQKVSQVYLAVDERLASLKTDTFSK TREEKMEDIFAQKEMEEGEFKNWTEKMQARLMSSSVDTPQQLQSIFESLIAKKQSLCEVL QAWNSRLQDLFQQEKGRKRPSVPPSPGRLRQGEESKINAMDTSPRNISPGLHNGEKEDRF LTTLSSQSSTSSTHLQLPTPPEALAEQVVGGPTDLDSASGSEDVFDGHLLGSTDSQVKEK STMKAIFANLLPGNSYNPIPFPFDPDKHYLMYEHERVPIAVCEKEPSSIIAFALSCKEYR NALEELSKATLRNSAEEGLPANSALDNRPKSSSPIRLPEISGGQTNRTVEAEPQPTKKAS GMLSFFRGTAGKSPDLSSQKRETLRGADSAYYQVGQAGKEGLESQGLEPQDEVDGGDTQK KQLTNPHVELQFSDANAKFYCRLYYAGEFHKMREVILGSSEEEFIRSLSHSSPWQARGGK SGAAFYATEDDRFILKQMPRLEVQSFLDFAPHYFNYITNAVQQKRPTALAKILGVYRIGY KNSQNNTEKKLDLLVMENLFYGRKMAQVFDLKGSLRNRNVKTDTGKESCDVVLLDENLLK MVRDNPLYIRSHSKSVLRTSIHSDAHFLSSHLIIDYSLLVGRDDTSNELVVGIIDYIRTF TWDKKLEMVVKSTGILGGQGKMPTVVSPELYRTRFCEAMDKYFLMVPDHWTGLDLNC >ENSMUSP00000023965.5 pep:known chromosome:GRCm38:1:139547053:139560272:-1 gene:ENSMUSG00000057037.8 transcript:ENSMUST00000023965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfhr1 description:complement factor H-related 1 [Source:MGI Symbol;Acc:MGI:2138169] MGFCRLLLLAIVLLTSWFSTAKGEVSLCDFPKIRHGILYDEKKNEPFSSVLSGKILYYSC EYNFASPSNSFWTRITCTESGWSPTPKCLRLCFFPFVENGNSTSSGQTHVEGDIVQVVCN QGYSLQNNQSTITCAEEGWSITPKCISTNPTGKCGPPPPIDNGDITSLSLPVYASLSSVE YQCQKYYLLKGNKTITCRNGKWSEPPTCIYPTGKCGPPPPIDNGDITSLSLLEYEPLSSV EYQCQNYYVLKGKKTITCRNGKWSEPPTCLSACVISEAIMERHNILLRWRQSEKVYIQSG EDIEFGCKPRYKRAKGSLPFRTQCINGHINYPTCMLNHNTFIH >ENSMUSP00000142078.1 pep:known chromosome:GRCm38:1:139550682:139553599:-1 gene:ENSMUSG00000057037.8 transcript:ENSMUST00000161224.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfhr1 description:complement factor H-related 1 [Source:MGI Symbol;Acc:MGI:2138169] XQCQKYYLLKGNKTITCRNGKWSEPPTCIYPTGKCGPPPPIDNGDITSLSLLEYEPLSSV EYQCQNYYVLKGKKTITCRNGKWSEPPTCLCKYLILVDYRRFWFILWIFFC >ENSMUSP00000116642.1 pep:known chromosome:GRCm38:4:44129424:44167648:-1 gene:ENSMUSG00000035696.15 transcript:ENSMUST00000136730.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf38 description:ring finger protein 38 [Source:MGI Symbol;Acc:MGI:1920719] MRPWEMTSNRQPPSVRPNQHHFSGERCNTPARNRRSPPVRRQRGRRERLSRHNSISQDEN YHHLPYAQQQAIEEPRAFHPPNVSPRLLHPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVT TVAPHGLPLCTGQHIPACSTQQVPGCSVVFSGQHLPVCSVPPPMLQACSVQHLPVPYAAF PPLISSDPFLIHPPHLSPHHPPHLPPPGQFVPFQTQQSRSPLQRIENEVELLGEHLQVGS FTYPPSAHPPTLPPSAPLQFLTHDPLHQEVSFGVPYPPFMPRRLTGRSRYRSQQPMPPPP YHPSLLPYVLSMLPVPPAVGPTFSFELDVEDGEVENYEVCSMHV >ENSMUSP00000095702.2 pep:known chromosome:GRCm38:4:44126210:44167608:-1 gene:ENSMUSG00000035696.15 transcript:ENSMUST00000098098.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf38 description:ring finger protein 38 [Source:MGI Symbol;Acc:MGI:1920719] MRESEDSPSPKRQRLSHSVFDYTSASPAPSPPMRPWEMTSNRQPPSVRPNQHHFSGERCN TPARNRRSPPVRRQRGRRERLSRHNSISQDENYHHLPYAQQQAIEEPRAFHPPNVSPRLL HPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVTTVAPHGLPLCTGQHIPACSTQQVPGCSV VFSGQHLPVCSVPPPMLQACSVQHLPVPYAAFPPLISSDPFLIHPPHLSPHHPPHLPPPG QFVPFQTQQSRSPLQRIENEVELLGEHLQVGSFTYPPSAHPPTLPPSAPLQFLTHDPLHQ EVSFGVPYPPFMPRRLTGRSRYRSQQPMPPPPYHPSLLPYVLSMLPVPPAVGPTFSFELD VEDGEVENYEALLNLAERLGEAKPRGLTKADIEQLPSYRFNPSNHQSEQTLCVVCMCDFE SRQLLRVLPCNHEFHAKCVDKWLKGNRTCPICRADASEVHRDSE >ENSMUSP00000103467.1 pep:known chromosome:GRCm38:4:44129420:44158943:-1 gene:ENSMUSG00000035696.15 transcript:ENSMUST00000107836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf38 description:ring finger protein 38 [Source:MGI Symbol;Acc:MGI:1920719] MRPWEMTSNRQPPSVRPNQHHFSGERCNTPARNRRSPPVRRQRGRRERLSRHNSISQDEN YHHLPYAQQQAIEEPRAFHPPNVSPRLLHPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVT TVAPHGLPLCTGQHIPACSTQQVPGCSVVFSGQHLPVCSVPPPMLQACSVQHLPVPYAAF PPLISSDPFLIHPPHLSPHHPPHLPPPGQFVPFQTQQSRSPLQRIENEVELLGEHLQVGS FTYPPSAHPPTLPPSAPLQFLTHDPLHQEVSFGVPYPPFMPRRLTGRSRYRSQQPMPPPP YHPSLLPYVLSMLPVPPAVGPTFSFELDVEDGEVENYEALLNLAERLGEAKPRGLTKADI EQLPSYRFNPSNHQSEQTLCVVCMCDFESRQLLRVLPCNHEFHAKCVDKWLKGNRTCPIC RADASEVHRDSE >ENSMUSP00000099998.2 pep:known chromosome:GRCm38:4:44126215:44167951:-1 gene:ENSMUSG00000035696.15 transcript:ENSMUST00000102934.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf38 description:ring finger protein 38 [Source:MGI Symbol;Acc:MGI:1920719] MRPWEMTSNRQPPSVRPNQHHFSGERCNTPARNRRSPPVRRQRGRRERLSRHNSISQDEN YHHLPYAQQQAIEEPRAFHPPNVSPRLLHPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVT TVAPHGLPLCTGQHIPACSTQQVPGCSVVFSGQHLPVCSVPPPMLQACSVQHLPVPYAAF PPLISSDPFLIHPPHLSPHHPPHLPPPGQFVPFQTQQSRSPLQRIENEVELLGEHLQVGS FTYPPSAHPPTLPPSAPLQFLTHDPLHQEVSFGVPYPPFMPRRLTGRSRYRSQQPMPPPP YHPSLLPYVLSMLPVPPAVGPTFSFELDVEDGEVENYEALLNLAERLGEAKPRGLTKADI EQLPSYRFNPSNHQSEQTLCVVCMCDFESRQLLRVLPCNHEFHAKCVDKWLKGNRTCPIC RADASEVHRDSE >ENSMUSP00000122342.1 pep:known chromosome:GRCm38:4:44142393:44168005:-1 gene:ENSMUSG00000035696.15 transcript:ENSMUST00000143337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf38 description:ring finger protein 38 [Source:MGI Symbol;Acc:MGI:1920719] MRPWEMTSNRQPPSVRPNQHHFSGERCNTPARNRRSPPVRRQRGRRERLSRHNSISQDEN YHHLPYAQQQAIEEPRAFHPPNVSPRLLHPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVT TVAPHGLPLCTGQHIPACSTQQVPGCSVVFSGQHLPVCSVPPPMLQACSVQHLPVPYAAF PPLISSD >ENSMUSP00000119889.1 pep:known chromosome:GRCm38:4:44142295:44168287:-1 gene:ENSMUSG00000035696.15 transcript:ENSMUST00000128426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf38 description:ring finger protein 38 [Source:MGI Symbol;Acc:MGI:1920719] MRPWEMTSNRQPPSVRPNQHHFSGERCNTPARNRRSPPVRRQRGRRERLSRHNSISQDEN YHHLPYAQQQAIEEPRAFHPPNVSPRLLHPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVT TVAPHGLPLCTGQHIPACSTQQVPGCSVVFSGQHLPVCSVPPPMLQACSVQHLPVPYAAF PPLISSDPFLIHPPHLSPHHPPHLPPPGQFVPFQTQQSRS >ENSMUSP00000121329.1 pep:known chromosome:GRCm38:4:44142349:44168252:-1 gene:ENSMUSG00000035696.15 transcript:ENSMUST00000145760.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf38 description:ring finger protein 38 [Source:MGI Symbol;Acc:MGI:1920719] MRPWEMTSNRQPPSVRPNQHHFSGERCNTPARNRRSPPVRRQRGRRERLSRHNSISQDEN YHHLPYAQQQAIEEPRAFHPPNVSPRLLHPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVT TVAPHGLPLCTGQHIPACSTQQVPGCSVVFSGQHLPVCSVPPPMLQACSVQHLPVPYAAF PPLISSDPFLIHPPHLSPHHPP >ENSMUSP00000038477.8 pep:known chromosome:GRCm38:4:44126215:44168339:-1 gene:ENSMUSG00000035696.15 transcript:ENSMUST00000045793.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf38 description:ring finger protein 38 [Source:MGI Symbol;Acc:MGI:1920719] MRPWEMTSNRQPPSVRPNQHHFSGERCNTPARNRRSPPVRRQRGRRERLSRHNSISQDEN YHHLPYAQQQAIEEPRAFHPPNVSPRLLHPAAHPPQQNAVMVDIHDQLHQGTVPVSYTVT TVAPHGLPLCTGQHIPACSTQQVPGCSVVFSGQHLPVCSVPPPMLQACSVQHLPVPYAAF PPLISSDPFLIHPPHLSPHHPPHLPPPGQFVPFQTQQSRSPLQRIENEVELLGEHLQVGS FTYPPSAHPPTLPPSAPLQFLTHDPLHQEVSFGVPYPPFMPRRLTGRSRYRSQQPMPPPP YHPSLLPYVLSMLPVPPAVGPTFSFELDVEDGEVENYEALLNLAERLGEAKPRGLTKADI EQLPSYRFNPSNHQSEQTLCVVCMCDFESRQLLRVLPCNHEFHAKCVDKWLKGNRTCPIC RADASEVHRDSE >ENSMUSP00000112394.1 pep:known chromosome:GRCm38:7:55931287:55962245:-1 gene:ENSMUSG00000030452.16 transcript:ENSMUST00000119041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipa2 description:non imprinted in Prader-Willi/Angelman syndrome 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1913918] MSLGRGKYDFYIGLGLAMTSSIFIGGSFILKKKGLLRLARKGSMRAGQGGHAYLKEWLWW AGLLSMGAGEVANFAAYAFAPATLVTPLGALSVLVSAILSSYFLNERLNLHGKIGCLLSI LGSTVMVIHAPKEEEIETLNEMSHKLGDPGFVVFATFVVIVALIFIFVVGPRHGQTNILV YITICSVIGAFSVSCVKGLGIAIKELLAGKPVLQHPLAWILLFSLVVCVSTQINYLNRAL DIFNTSIVTPIYYVFFTTSVLTCSAILFKEWQDMPVDDVIGTLSGFFTIIVGIFLLHAFK DVSFSLASLPVSFRKDEKAMNGNLSSMYEVLNNNEDDLPCGIEHTGENISRRNGNLPSF >ENSMUSP00000113727.1 pep:known chromosome:GRCm38:7:55931287:55962439:-1 gene:ENSMUSG00000030452.16 transcript:ENSMUST00000117812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipa2 description:non imprinted in Prader-Willi/Angelman syndrome 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1913918] MSLGRGKYDFYIGLGLAMTSSIFIGGSFILKKKGLLRLARKGSMRAGQGGHAYLKEWLWW AGLLSMGAGEVANFAAYAFAPATLVTPLGALSVLVSAILSSYFLNERLNLHGKIGCLLSI LGSTVMVIHAPKEEEIETLNEMSHKLGDPGFVVFATFVVIVALIFIFVVGPRHGQTNILV YITICSVIGAFSVSCVKGLGIAIKELLAGKPVLQHPLAWILLFSLVVCVSTQINYLNRAL DIFNTSIVTPIYYVFFTTSVLTCSAILFKEWQDMPVDDVIGTLSGFFTIIVGIFLLHAFK DVSFSLASLPVSFRKDEKAMNGNLSSMYEVLNNNEDDLPCGIEHTGENISRRNGNLPSF >ENSMUSP00000114020.1 pep:known chromosome:GRCm38:7:55931287:55962450:-1 gene:ENSMUSG00000030452.16 transcript:ENSMUST00000119201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipa2 description:non imprinted in Prader-Willi/Angelman syndrome 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1913918] MSLGRGKYDFYIGLGLAMTSSIFIGGSFILKKKGLLRLARKGSMRAGQGGHAYLKEWLWW AGLLSMGAGEVANFAAYAFAPATLVTPLGALSVLVSAILSSYFLNERLNLHGKIGCLLSI LGSTVMVIHAPKEEEIETLNEMSHKLGDPGFVVFATFVVIVALIFIFVVGPRHGQTNILV YITICSVIGAFSVSCVKGLGIAIKELLAGKPVLQHPLAWILLFSLVVCVSTQINYLNRAL DIFNTSIVTPIYYVFFTTSVLTCSAILFKEWQDMPVDDVIGTLSGFFTIIVGIFLLHAFK DVSFSLASLPVSFRKDEKAMNGNLSSMYEVLNNNEDDLPCGIEHTGENISRRNGNLPSF >ENSMUSP00000032635.7 pep:known chromosome:GRCm38:7:55931287:55962476:-1 gene:ENSMUSG00000030452.16 transcript:ENSMUST00000032635.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipa2 description:non imprinted in Prader-Willi/Angelman syndrome 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1913918] MSLGRGKYDFYIGLGLAMTSSIFIGGSFILKKKGLLRLARKGSMRAGQGGHAYLKEWLWW AGLLSMGAGEVANFAAYAFAPATLVTPLGALSVLVSAILSSYFLNERLNLHGKIGCLLSI LGSTVMVIHAPKEEEIETLNEMSHKLGDPGFVVFATFVVIVALIFIFVVGPRHGQTNILV YITICSVIGAFSVSCVKGLGIAIKELLAGKPVLQHPLAWILLFSLVVCVSTQINYLNRAL DIFNTSIVTPIYYVFFTTSVLTCSAILFKEWQDMPVDDVIGTLSGFFTIIVGIFLLHAFK DVSFSLASLPVSFRKDEKAMNGNLSSMYEVLNNNEDDLPCGIEHTGENISRRNGNLPSF >ENSMUSP00000120798.1 pep:known chromosome:GRCm38:7:55935808:55962476:-1 gene:ENSMUSG00000030452.16 transcript:ENSMUST00000152649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipa2 description:non imprinted in Prader-Willi/Angelman syndrome 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1913918] MSLGRGKYDFYIGLGLAMTSSIFIGGSFILKKKGLLRLARKGSMRAVGAGEVANFAAYAF APATLVTPLGALSVLVSAILSSYFLNERLNLHGKIGCLLSILGSTVMVIHAPKEEEIETL NEMSHKL >ENSMUSP00000116219.1 pep:known chromosome:GRCm38:7:55935856:55962430:-1 gene:ENSMUSG00000030452.16 transcript:ENSMUST00000126604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipa2 description:non imprinted in Prader-Willi/Angelman syndrome 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1913918] MSLGRGKYDFYIGLGLAMTSSIFIGGSFILKKKGLLRLARKGSMRAGQGGHAYLKEWLWW AGLLSMGAGEVANFAAYAFAPATLVTPLGALSVLVSAILSSYFLNERLNLHGKIGCLLSI LGSTVMVIHA >ENSMUSP00000119946.1 pep:known chromosome:GRCm38:7:55944610:55962173:-1 gene:ENSMUSG00000030452.16 transcript:ENSMUST00000143325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipa2 description:non imprinted in Prader-Willi/Angelman syndrome 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1913918] MSL >ENSMUSP00000064315.4 pep:known chromosome:GRCm38:11:52004221:52038165:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000063303.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKFIPELR AKLLQEAKVNSFIKPKENFKENEPVRDEKKSVFTNTLLYGNPSLYGKEVDRDKRAKELKV RVIKAKGGKGDVPDQKKPEYEGDHRQQGTADDTQPSSLDKKPSVLELTNPLNPSENSDGV KEDPHAGGCMIMPPINLTSSNLLAANLSSNLSHPNSRLTERTKKRRTSSQTIGQTLSNSR QEDTGPTQVQTEKGAFNERTGQNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKG MEVKQIKVLKRESKKTDSSKIPTLLSMDPNQEKQEGGDGDCEGKNLKRNRFFFSR >ENSMUSP00000112477.1 pep:known chromosome:GRCm38:11:52004221:52084667:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000121591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKFIPELR AKLLQEAKVNSFIKPKENFKENEPVRDEKKSVFTNTLLYGNPSLYGKEVDRDKRAKELKV RVIKAKGGKGDVPDQKKPEYEGDHRQQGTADDTQPSSLDKKPSVLELTNPLNPSENSDGV KEDPHAGGCMIMPPINLTSSNLLAANLSSNLSHPNSRLTERTKKRRTSSQTIGQTLSNSR QEDTGPTQVQTEKGAFNERTGQNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKG MEVKQIKVLKRESKKTDSSKIPTLLSMDPNQEKQEQSARHLPGQC >ENSMUSP00000104706.1 pep:known chromosome:GRCm38:11:52004225:52027883:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000109078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKFIPELR AKLLQEAKVNSFIKPKENFKENEPVRDEKKSVFTNTLLYGNPSLYGKEVDRDKRAKELKV RVIKAKGGKGDVPDQKKPEYEGDHRQQGTADDTQPSSLDKKPSVLELTNPLNPSENSDGV KEDPHAGGCMIMPPINLTSSNLLAANLSSNLSHPNSR >ENSMUSP00000065128.6 pep:known chromosome:GRCm38:11:52004241:52033869:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000063321.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKFIPELR AKLLQEAKVNSFIKPKENFKENEPVRDEKKSVFTNTLLYGNPSLYGKEVDRDKRAKELKV RVIKAKGGKGDVPDQKKPEYEGDHRQQGTADDTQPSSLDKKPSVLELTNPLNPSENSDGV KEDPHAGGCMIMPPINLTSSNLLAANLSSNLSHPNSRLTERTKKRRTSSQTIGQTLSNSR QEDTGPTQVQTEKGAFNERTGQNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKG MEVKQIKVLKRESKKTDSSKIPTLLSMDPNQEKQESLTMYL >ENSMUSP00000117983.1 pep:known chromosome:GRCm38:11:52004254:52019766:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000135076.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMII >ENSMUSP00000123279.1 pep:known chromosome:GRCm38:11:52004265:52057563:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000143228.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKFIPELR AKLLQEAKVNSFIKPKENFKENEPVRDEKKSVFTNTLLYGNPSLYGKEVDRDKRAKELKV RVIKAKGGKGDVPDQKKPEYEGDHRQQGTADDTQPSSLDKKPSVLELTNPLNPSENSDGV KEDPHAGGCMIMPPINLTSSNLLAANLSSNLSHPNSRLTERTKKRRTSSQTIGQTLSNSR QEDTGPTQVQTEKGAFNERTGQNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKG MEVKQIKVLKRESKKTDSSKIPTLLSMDPNQEKQENTKCRNRTGWRQNSLQ >ENSMUSP00000113303.1 pep:known chromosome:GRCm38:11:52004290:52038165:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000120374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKFIPELR AKLLQEAKVNSFIKPKENFKENEPVRDEKKSVFTNTLLYGNPSLYGKEVDRDKRAKELKV RVIKAKGGKGDVPDQKKPEYEGDHRQQGTADDTQPSSLDKKPSVLELTNPLNPSENSDGV KEDPHAGGCMIMPPINLTSSNLLAANLSSNLSHPNSRLTERTKKRRTSSQTIGQTLSNSR QEDTGPTQVQTEKGAFNERTGQNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKG MEVKQIKVLKRESKKTDSSKIPTLLSMDPNQEKQETEPCVTVNEWPDQERREPTGRGGHR VEMAIVRGRI >ENSMUSP00000104704.1 pep:known chromosome:GRCm38:11:52004349:52027564:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000109076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKKWTETK GPRSSKSESLRPKGAKEMSQTRRSQSMKATTASRAQLMTHSPHHWTRSLLSWN >ENSMUSP00000121945.1 pep:known chromosome:GRCm38:11:52027073:52085109:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000128853.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] GKGDVPDQKKPEYEGDHRQQGTADDTQPSSLDKKPSVLELTNPLNPSENSDGVKEDPHAG GCMIMPPINLTSSNLLAANLSSNLSHPNSRLTERTKKRRTSSQTIGQTLSNSRQEDTGPT QVQTEKGAFNERTGQNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKGMEVKQIK VLKRESKKTDSSKIPTLLSMDPNQEKQENTKCRNRTGWRQNSLQ >ENSMUSP00000119505.1 pep:known chromosome:GRCm38:11:52027297:52033724:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000147874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] XLAANLSSNLSHPNSRLTERTKKRRTSSQTIGQTLSNSRQEDTGPTQVQTEKGAFNERTG QNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKGMEVKQIKVLKRESKKTDSSKI PTLLSMDPNQEKQEVNTL >ENSMUSP00000118607.1 pep:known chromosome:GRCm38:11:52032362:52089778:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000150736.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] VQTEKGAFNERTGQNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKGMEVKQIKV LKRESKKTDSSKIPTLLSMDPNQEKQEQSARHLPGQC >ENSMUSP00000116009.1 pep:known chromosome:GRCm38:11:52032447:52089784:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000154701.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] XKCDRKEFHFPELPFTVQAKEMKGMEVKQIKVLKRESKKTDSSKIPTLLSMDPNQEKQEV STVCNIFPVWYKRSNLNWPS >ENSMUSP00000117315.1 pep:known chromosome:GRCm38:11:52033527:52089776:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000136021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] XVLKRESKKTDSSKIPTLLSMDPNQEKQETEPCVTVNEWPDQERREPTGRGGHSRFPLFA TSFLFGTREATSTGHPDLPLGQPPHSAEILLL >ENSMUSP00000147107.1 pep:known chromosome:GRCm38:11:52033530:52089778:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000207272.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] XLKRESKKTDSSKIPTLLSMDPNQEKQEQVSTVCNIFPVWYKRSNLNWPS >ENSMUSP00000104709.2 pep:known chromosome:GRCm38:11:52004221:52040593:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000109081.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKFIPELR AKLLQEAKVNSFIKPKENFKENEPVRDEKKSVFTNTLLYGNPSLYGKEVDRDKRAKELKV RVIKAKGGKGDVPDQKKPEYEGDHRQQGTADDTQPSSLDKKPSVLELTNPLNPSENSDGV KEDPHAGGCMIMPPINLTSSNLLAANLSSNLSHPNSRLTERTKKRRTSSQTIGQTLSNSR QEDTGPTQVQTEKGAFNERTGQNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKG MEVKQIKVLKRESKKTDSSKIPTLLSMDPNQEKQEQSARHLPGQC >ENSMUSP00000104707.2 pep:known chromosome:GRCm38:11:52004221:52033802:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000109079.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKFIPELR AKLLQEAKVNSFIKPKENFKENEPVRDEKKSVFTNTLLYGNPSLYGKEVDRDKRAKELKV RVIKAKGGKGDVPDQKKPEYEGDHRQQGTADDTQPSSLDKKPSVLELTNPLNPSENSDGV KEDPHAGGCMIMPPINLTSSNLLAANLSSNLSHPNSRLTERTKKRRTSSQTIGQTLSNSR QEDTGPTQVQTEKGAFNERTGQNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKG MEVKQIKVLKRESKKTDSSKIPTLLSMDPNQEKQESLTMYL >ENSMUSP00000104705.2 pep:known chromosome:GRCm38:11:52004221:52038165:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000109077.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKKWTETK GPRSSKSESLRPKGAKEMSQTRRSQSMKATTASRAQLMTHSPHHWTRSLLSWN >ENSMUSP00000104708.2 pep:known chromosome:GRCm38:11:52004221:52038165:1 gene:ENSMUSG00000020389.19 transcript:ENSMUST00000109080.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl3 description:cyclin-dependent kinase-like 3 [Source:MGI Symbol;Acc:MGI:2388268] MEMYETLGKVGEGSYGTVMKCKHKDTGRIVAIKIFYEKPEKSVNKIATREIKFLKQFRHE NLVNLIEVFRQKKKIHLVFEFIDHTVLDELQHYCHGLESKRLRKYLFQILRAIEYLHNNN IIHRDIKPENILVSQSGITKLCDFGFARTLAAPGDVYTDYVATRWYRAPELVLKDTSYGK PVDIWALGCMIIEMATGHPFLPSSSDLDLLHKIVLKVGNLTPHLHNIFSKSPIFAGVVLP QVQHPKTARKKYPKLNGLLADIVHACLQIDPAERTSSTDLLRHDYFTRDGFIEKFIPELR AKLLQEAKVNSFIKPKENFKENEPVRDEKKSVFTNTLLYGNPSLYGKEVDRDKRAKELKV RVIKAKGGKGDVPDQKKPEYEGDHRQQGTADDTQPSSLDKKPSVLELTNPLNPSENSDGV KEDPHAGGCMIMPPINLTSSNLLAANLSSNLSHPNSRLTERTKKRRTSSQTIGQTLSNSR QEDTGPTQVQTEKGAFNERTGQNDQISSGNKRKLNFPKCDRKEFHFPELPFTVQAKEMKG MEVKQIKVLKRESKKTDSSKIPTLLSMDPNQEKQETEPCVTVNEWPDQERREPTGRGGHR VEMAIVRGRI >ENSMUSP00000099684.1 pep:known chromosome:GRCm38:2:87544607:87545542:-1 gene:ENSMUSG00000075156.4 transcript:ENSMUST00000102624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1128 description:olfactory receptor 1128 [Source:MGI Symbol;Acc:MGI:3030962] MTFEIITVFTDFVLLGLSGRQDVQQGLFALFFLVYGITVIANLGMILLIKLDSRLHTPMY YFMSNLSFCDICYSTIISPKMLADFLLKEKRIPCNLCALQMYFLGVFGDTECLILAVMAY DCYVAICNPLLYTTTMSTKLYIQLVAVAYAVGLVDSAVHTSCTFQLSFCNSNVINHFSCD LPPLLALSCSDTSINEILLFIFSTLVIGCSIFHILLSYCYIIATICRMNSAEGRRKAFST CTSHLMAVAIFHGTLFMYFQPSSLYSMDTDKMASVFYTVVIPMLNPLIYSLRNKDVKGAL KKVININLWPG >ENSMUSP00000116390.1 pep:known chromosome:GRCm38:2:155846894:155930060:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000136933.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] MALLVRVLRNQTSISQWVPVCSQLVSVSPTQRQWSSTSQWLQKNQSRVCLGSEQTVGADT AQNRKYHNTSK >ENSMUSP00000123111.1 pep:known chromosome:GRCm38:2:155846896:155930036:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000151078.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] MALLVRVLRNQTSISQWVPVCSQLVSVSPTQRQWSSTSQGVRCPIHSTPGFS >ENSMUSP00000105260.1 pep:known chromosome:GRCm38:2:155846896:155930043:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000109632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] MALLVRVLRNQTSISQWVPVCSQLVSVSPTQRQWSSTSQWLQKNQSRVCLGSEQTVGADT AQNRKYHNTSKLLTTQDFPQPVEEKVGPFTKIIEAMGFTGPLKYSKWKIKIAALRMYTSC VEKTDFEEFFLRCQMPDTFNSWFLITLLHVWMCLVRMKQEGRTGKYMCRIIVHFMWEDVE QRGRVMGGILSDDHGLAAALWRTFFNQKCEDPRQLELLVEYVRKQMQYLDSMNGEDLLLT GEVRWRPLVEKNPQSILKPHAPTYNDEGL >ENSMUSP00000105264.4 pep:known chromosome:GRCm38:2:155846921:155930310:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000109636.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] MALLVRVLRNQTSISQWVPVCSQLVSVSPTQRQWSSTSQWLQKNQSRVCLGSEQTVGADT AQNRKYHNTSKLLTTQDFPQPVEEKVGPFTKIIEAMGFTGPLKYSKWKIKIAALRMYTSC VEKTDFEEFFLRCQMPDTFNSWFLITLLHVWMCLVRMKQEGRTGKYMCRIIVHFMWEDVE QRGRVMGVNSYILKKNMALMTNNFYAAILGYDEGILSDDHGLAAALWRTFFNQKCEDPRQ LELLVEYVRKQMQYLDSMNGEDLLLTGEVRWRPLVEKNPQSILKPHAPTYNDEGL >ENSMUSP00000122886.1 pep:known chromosome:GRCm38:2:155847947:155930018:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000152766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] VLRNQTSISQWVPVCSQLVSVSPTQRQWSSTSQWLQKNQSRVCLGSEQTVGADTAQNRKY HNTSKLLTTQDFPQPVEEKVGPFTKIIEAMGFTGPLKYRCQMPDTFNSWFLITLLHVWMC LVRMKQEGRTGKYMCRIIVHFMWEDVEQRGRVMGVNSYILKKNMALMTNNFYAAILGYDE GILSDDHGLAAALWRTFFNQKCEDPRQLELLVEYVRKQMQYLDSMNGEDLLLTGEVRWRP LVEKNPQSILKPHAPTYNDEGL >ENSMUSP00000006036.6 pep:known chromosome:GRCm38:2:155848240:155930044:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000006036.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] MALLVRVLRNQTSISQWVPVCSQLVSVSPTQRQWSSTSQWLQKNQSRVCLGSEQTVGADT AQNRKYHNTSKLLTTQDFPQPVEEKVGPFTKIIEAMGFTGPLKYSKWVNSYILKKNMALM TNNFYAAILGYDEGILSDDHGLAAALWRTFFNQKCEDPRQLELLVEYVRKQMQYLDSMNG EDLLLTGEVRWRPLVEKNPQSILKPHAPTYNDEGL >ENSMUSP00000105259.1 pep:known chromosome:GRCm38:2:155848259:155930310:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000109631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] MALLVRVLRNQTSISQWVPVCSQLVSVSPTQRQWSSTSQKIKIAALRMYTSCVEKTDFEE FFLRCQMPDTFNSWFLITLLHVWMCLVRMKQEGRTGKYMCRIIVHFMWEDVEQRGRVMGV NSYILKKNMALMTNNFYAAILGYDEGILSDDHGLAAALWRTFFNQKCEDPRQLELLVEYV RKQMQYLDSMNGEDLLLTGEVRWRPLVEKNPQSILKPHAPTYNDEGL >ENSMUSP00000123019.1 pep:known chromosome:GRCm38:2:155848450:155930032:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000139232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] XLLVRVLRNQTSISQWVPVCSQLVSVSPTQRQWSSTSQLLTTQDFPQPVEEKVGPFTKII EAMGFTGPLKYSKWKIKIAALRMYTSCVEKTDFEEFFLRCQMPDTFNSWFLITLLHVWMC LVRMKQEGRTGKYMCRIIVHFMWEDVEQRGRVMGVNSYILKKNMALMTNNFYAAILGYDE GILSDDHGLAAALWRTFFNQKCEDPRQLELLVEYVRKQMQYLDSMNGEDLLLTGEVRWRP LVE >ENSMUSP00000121610.1 pep:known chromosome:GRCm38:2:155851367:155930043:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000133726.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] MALLVRVLRNQTSISQWVPVCSQLVSVSPTQRQWSSTSQWLQKNQSRVCLGSEQTVGADT AQNRKYHNTSKLLTTQDFPQPVEEKVGPFTKIIEAMGFTGPLKYSKWGVRCPIHSTPGFS >ENSMUSP00000125200.1 pep:known chromosome:GRCm38:2:155887107:155930060:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000159238.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] MALLVRVLDVSSPNEAGRPDWEVHVPDHSSFHVGRCGAARPRHGG >ENSMUSP00000118094.2 pep:known chromosome:GRCm38:2:155909361:155930050:-1 gene:ENSMUSG00000005882.18 transcript:ENSMUST00000142655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc1 description:ubiquinol-cytochrome c reductase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1929472] MGFTGPLKYSKWKIKIAALRMYTSCVEKTDFEE >ENSMUSP00000047237.1 pep:known chromosome:GRCm38:2:180277646:180289879:-1 gene:ENSMUSG00000038980.1 transcript:ENSMUST00000038529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp8nl description:RBBP8 N-terminal like [Source:MGI Symbol;Acc:MGI:3606212] MDSFMESLNRLKEAHEKEVLGLQNKLLELNSERCRDAQRVEELFAKNHQLREQQKALKEN LRVLENRLRAGLCDRCMVTQELARKKQLELENAHLQSLQHLCILTNEMNGLREENKILKE EVKRLRSLGDKTAPQAWEGTSEPPSPLPLPSPSSWKGTTENPPGAPEEAEEEQSGTDKIS SHKTSPVSRVSPAANLPEPRALDMTPQCISNQLHSTVAVVRPGSRACPPDSGSADGTPPP STRSTPPSPTYEHGLPVDSFLRASRPSAIAYETLKHSLQTDRLCLLNRQLSLRLQSPHSS PLASAVAPHSPLPRGLKAREAEAWEEPGALVGMQDPRLEGALHLLLVQQHLRARARASTA RLQVPSAPEEMPSSPPAGSDSEGSDSEAPKPALSTEAQPDGWHPQTTGQGSSQRKETHVA TQDCPPDKPLDLSDRGRCRDISKSTGQPLPLSPKIVYTPSPQLPTLSRPLVPSSHTLSNV STETRAQESEEYSTPKDTSHPLPGVCMGLTSPGRTAEEAGGRPQPGPHLQRPDTGGTTEP KKVRAQRLALEQLEESDTSDTKAGLISEASAEPSMPGVGHAEDHQQSQQQKRKRASDLQD KGTYLREGKAGPGM >ENSMUSP00000087102.3 pep:known chromosome:GRCm38:2:127341630:127357650:1 gene:ENSMUSG00000050468.12 transcript:ENSMUST00000089673.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astl description:astacin-like metalloendopeptidase (M12 family) [Source:MGI Symbol;Acc:MGI:3046414] MGIMGSLWPWILTMLSLLGLSMGAPSASRCSGVCSTSVPEGFTPEGSPVFQDKDIPAINQ GLISEETPESSFLVEGDIIRPSPFRLLSVTNNKWPKGVGGFVEIPFLLSRKYDELSRRVI MDAFAEFERFTCIRFVAYHGQRDFVSILPMAGCFSGVGRSGGMQVVSLAPTCLRKGRGIV LHELMHVLGFWHEHSRADRDRYIQVNWNEILPGFEINFIKSRSTNMLVPYDYSSVMHYGR FAFSWRGQPTIIPLWTSSVHIGQRWNLSTSDITRVCRLYNCSRSVPDSHGRGFEAQSDGS SLTPASISRLQRLLEALSEESGSSAPSGSRTGGQSIAGLGNSQQGWEHPPQSTFSVGALA RPPQMLADASKSGPGAGADSLSLEQFQLAQAPTVPLALFPEARDKPAPIQDAFERLAPLP GGCAPGSHIREVPRD >ENSMUSP00000054456.2 pep:known chromosome:GRCm38:2:127338639:127357651:1 gene:ENSMUSG00000050468.12 transcript:ENSMUST00000059839.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astl description:astacin-like metalloendopeptidase (M12 family) [Source:MGI Symbol;Acc:MGI:3046414] MGAPSASRCSGVCSTSVPEGFTPEGSPVFQDKDIPAINQGLISEETPESSFLVEGDIIRP SPFRLLSVTNNKWPKGVGGFVEIPFLLSRKYDELSRRVIMDAFAEFERFTCIRFVAYHGQ RDFVSILPMAGCFSGVGRSGGMQVVSLAPTCLRKGRGIVLHELMHVLGFWHEHSRADRDR YIQVNWNEILPGFEINFIKSRSTNMLVPYDYSSVMHYGRFAFSWRGQPTIIPLWTSSVHI GQRWNLSTSDITRVCRLYNCSRSVPDSHGRGFEAQSDGSSLTPASISRLQRLLEALSEES GSSAPSGSRTGGQSIAGLGNSQQGWEHPPQSTFSVGALARPPQMLADASKSGPGAGADSL SLEQFQLAQAPTVPLALFPEARDKPAPIQDAFERLAPLPGGCAPGSHIREVPRD >ENSMUSP00000116771.1 pep:known chromosome:GRCm38:2:127341918:127350168:1 gene:ENSMUSG00000050468.12 transcript:ENSMUST00000156747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astl description:astacin-like metalloendopeptidase (M12 family) [Source:MGI Symbol;Acc:MGI:3046414] MGAPSASRCSGVCSTSVPEGFTPEGSPVFQDKDIPAINQGLISEETPESSFLVEGDIIRP SPFRLLSVTNNKWPKGVGGFVEIPFLLSRKYDELSRRVIMDAFAEFERFTCIRFVAYHGQ RDFVSILPMAGCFSGVGRSGGMQVVSLAPTCLRKGRGIVLHELMHVLGFWHEHSRADRDR YIQVNWNEILPGF >ENSMUSP00000135987.1 pep:known chromosome:GRCm38:2:127341644:127357649:1 gene:ENSMUSG00000050468.12 transcript:ENSMUST00000179618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astl description:astacin-like metalloendopeptidase (M12 family) [Source:MGI Symbol;Acc:MGI:3046414] MGAPSASRCSGVCSTSVPEGFTPEGSPVFQDKDIPAINQGLISEETPESSFLVEGDIIRP SPFRLLSVTNNKWPKGVGGFVEIPFLLSRKYDELSRRVIMDAFAEFERFTCIRFVAYHGQ RDFVSILPMAGCFSGVGRSGGMQVVSLAPTCLRKGRGIVLHELMHVLGFWHEHSRADRDR YIQVNWNEILPGFEINFIKSRSTNMLVPYDYSSVMHYGRFAFSWRGQPTIIPLWTSSVHI GQRWNLSTSDITRVCRLYNCSRSVPDSHGRGFEAQSDGSSLTPASISRLQRLLEALSEES GSSAPSGSRTGGQSIAGLGNSQQGWEHPPQSTFSVGALARPPQMLADASKSGPGAGADSL SLEQFQLAQAPTVPLALFPEARDKPAPIQDAFERLAPLPGGCAPGSHIREVPRD >ENSMUSP00000117247.1 pep:known chromosome:GRCm38:7:142371148:142382667:-1 gene:ENSMUSG00000007891.15 transcript:ENSMUST00000133843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsd description:cathepsin D [Source:MGI Symbol;Acc:MGI:88562] XKNGTSFDIHYGSGSLSGYLSQDTVSVPCKSDQSKARGIKVEKQIFGEATKQPGIVFVAA KFDGILGMGYPHISVNNVLPVFDNLMQQKLVDKNIFSFYLNRDPEGQPGGELMLGGTDSK YYHGELSYLNVTRKAYWQVHMDQLEVGNELTLCKGGCEAIVDTGTSLLVGPVEEVKELQK AIGAVPLIQGEYMIPCEKVSSLPTVYLKLGGKNYELHPDKYILKAQGPSQCPALLGAPAS TTDGTQEARVPLDGAFWIPRPPAGSPKGCFACVSKPPA >ENSMUSP00000121203.1 pep:known chromosome:GRCm38:7:142375910:142388038:-1 gene:ENSMUSG00000007891.15 transcript:ENSMUST00000151120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsd description:cathepsin D [Source:MGI Symbol;Acc:MGI:88562] MKTPGVLLLILGLLASSSFAIIRIPLRKFTSIRRTMTEVGGSVEDLILKGPITKYSMQSS PKTTEPVSELLKNYLDAQYYGDIGIGTPPQCFTVVFDTGSSNLWVPSIHCKILDIACWVH HKYNSDKSSTYVKNGTSFDIHYGSGSLSGYLSQDTVSVPCKSDQSKARGIKVEKQIFGEA TKQPGIVFVAAKFDGILGMGYPHISVNNVLPVFDNLMQQKLVDKNIFSFYLNRDPEGQPG GELMLGGTDSKYYHGELSYLNVTRKAYWQVHMDQLEVGNELTLCKGGCEAIVDTGTSLLV GPVEEVKELQKAIGAVPLIQGEYMIPCEKVSSLPTVYLKLGGKNYELHPDKYILKVSQGG KTICLSGFMGMDIPPPSGPLWILGDVFIGSYYTVFDRDNNRVGFANAVVL >ENSMUSP00000063904.5 pep:known chromosome:GRCm38:7:142375929:142387819:-1 gene:ENSMUSG00000007891.15 transcript:ENSMUST00000066401.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctsd description:cathepsin D [Source:MGI Symbol;Acc:MGI:88562] MKTPGVLLLILGLLASSSFAIIRIPLRKFTSIRRTMTEVGGSVEDLILKGPITKYSMQSS PKTTEPVSELLKNYLDAQYYGDIGIGTPPQCFTVVFDTGSSNLWVPSIHCKILDIACWVH HKYNSDKSSTYVKNGTSFDIHYGSGSLSGYLSQDTVSVPCKSDQSKARGIKVEKQIFGEA TKQPGIVFVAAKFDGILGMGYPHISVNNVLPVFDNLMQQKLVDKNIFSFYLNRDPEGQPG GELMLGGTDSKYYHGELSYLNVTRKAYWQVHMDQ >ENSMUSP00000102923.1 pep:known chromosome:GRCm38:11:101087277:101092207:1 gene:ENSMUSG00000017801.15 transcript:ENSMUST00000107302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlx description:MAX-like protein X [Source:MGI Symbol;Acc:MGI:108398] MTEPGASPEDPWVKVEYAYSDNSLDPGLFVESTHKGSVVSRANSIGSTSASSVPNTDDED SDYQQESYKESYKDRRRRAHTQAEQKRRDAIKRGYDDLQTIVPTCQQQDFSIGSQKLSKA IVLQKTIDYIQFLHKEKKKQEEEVSTLRKDVTALKIMKVNYEQIVKAHQDNPHEGEDQVS DQVKFNVFQGIMDSLFQSFNASISVASFQELSACVFSWIEEHCEPQTLREIVIGVLHQLK NQLY >ENSMUSP00000102924.3 pep:known chromosome:GRCm38:11:101087277:101092207:1 gene:ENSMUSG00000017801.15 transcript:ENSMUST00000107303.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlx description:MAX-like protein X [Source:MGI Symbol;Acc:MGI:108398] MTEPGASPEDPWVKVEYAYSDNSLDPDDEDSDYQQESYKESYKDRRRRAHTQAEQKRRDA IKRGYDDLQTIVPTCQQQDFSIGSQKLSKAIVLQKTIDYIQFLHKEKKKQEEEVSTLRKD VTALKIMKVNYEQIVKAHQDNPHEGEDQVSDQVKFNVFQGIMDSLFQSFNASISVASFQE LSACVFSWIEEHCEPQTLREIVIGVLHQLKNQLY >ENSMUSP00000017945.8 pep:known chromosome:GRCm38:11:101087300:101092207:1 gene:ENSMUSG00000017801.15 transcript:ENSMUST00000017945.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlx description:MAX-like protein X [Source:MGI Symbol;Acc:MGI:108398] MTEPGASPEDPWVKASFADAHAGEGRAGRARARRGSGRRGAPQLSPESPLLSGARGCRED SSHPACAKVEYAYSDNSLDPGLFVESTHKGSVVSRANSIGSTSASSVPNTDDEDSDYQQE SYKESYKDRRRRAHTQAEQKRRDAIKRGYDDLQTIVPTCQQQDFSIGSQKLSKAIVLQKT IDYIQFLHKEKKKQEEEVSTLRKDVTALKIMKVNYEQIVKAHQDNPHEGEDQVSDQVKFN VFQGIMDSLFQSFNASISVASFQELSACVFSWIEEHCEPQTLREIVIGVLHQLKNQLY >ENSMUSP00000121600.1 pep:known chromosome:GRCm38:11:101087304:101088965:1 gene:ENSMUSG00000017801.15 transcript:ENSMUST00000149597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlx description:MAX-like protein X [Source:MGI Symbol;Acc:MGI:108398] MTEPGASPEDPWVKASFADAHAGEGRAGRARARRGSGRRGAPQLSPESPLLSGARGCRED SSHPACAKVEYAYSDNSLDPDDEDSDYQQESYKESYKDRRRRAHTQAEQKRRDAIKRGYD DLQTIVP >ENSMUSP00000139519.1 pep:known chromosome:GRCm38:1:132477365:132504490:1 gene:ENSMUSG00000026439.14 transcript:ENSMUST00000190825.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp5 description:retinoblastoma binding protein 5 [Source:MGI Symbol;Acc:MGI:1918367] MNLELLESFGQNYPEEADGTLDCISMALTCTFNRWGTLLAVGCNDGRIVIWDFLTRGIAK IISAHIHPVCSLCWSRDGHKLVSASTDNIVSQWDVLSGDCDQRFRFPSPILKVQYHPRDQ NKVLVCPMKSAPVMLTLSDSKHVVLPVDDDSDLNVVASFDRRGEYIYTGNAKGKILVLKT DSQDLVASFRVTTGTSNTTAIKSIEFARKGSCFLINTADRIIRVYDGREILTCGRDGEPE PMQKLQDLVNRTPWKKCCFSGDGEYIVAGSARQHALYIWEKSIGNLVKILHGTRGELLLD VAWHPVRPIIASISSGVVSIWAQNQVENWSAFAPDFKELDENVEYEERESEFDIEDEDKS EPEQTGADAAEDEEVDVTSVDPIAAFCSSDEELEDSKALLYLPIAPEVEDPEENPYGPPP DAVPSSLMDEGASSEKKRQSSADGSQPPKKKPKTTNIELQGVPNDEVHPLLGVKGDGKSK KKQAGRPKGSKGKEKDSPFKPKLYKGDRGLPLEGSTKGKVQAELSQSLAGGAISELL >ENSMUSP00000141003.1 pep:known chromosome:GRCm38:1:132477367:132504889:1 gene:ENSMUSG00000026439.14 transcript:ENSMUST00000190997.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp5 description:retinoblastoma binding protein 5 [Source:MGI Symbol;Acc:MGI:1918367] MNLELLESFGQNYPEEADGTLDCISMALTCTFNRWGTLLAVGCNDGRIVIWDFLTRGIAK IISAHIHPVCSLCWSRDGHKLVSASTDNIVSQWDVLSGDCDQRFRFPSPILKVQYHPRDQ NKVLVCPMKSAPVMLTLSDSKHVVLPVDDDSDLNVVASFDRRGEYIYTGNAKGKILVLKT DSQDLVASFRVTTGTSNTTAIKSIEFARKGSCFLINTADRIIRVYDGREILTCGRDGEPE PMQKLQDLVNRTPWKKCCFSGDGEYIVAGSARQHALYIWEKSIGNLVKILHGTRGELLLD VAWHPVRPIIASISSGVVSIWAQNQVENWSAFAPDFKELDENVEYEERESEFDIEDEDKS EPEQTGADAAEDEEVDVTSVDPIAAFCSSDEELEDSKALLYLPIAPEVEDPEENPYGPPP DAVPSSLMDEGASSEKKRQSSADGSQPPKKKPKTTNIELQGVPNDEVHPLLGVKGDGKSK KKQAGRPKGSKGKEKDSPFKPKLYKGDRGLPLEGSTKGKVQAELSQSLAAGGAISELL >ENSMUSP00000141176.1 pep:known chromosome:GRCm38:1:132477406:132504108:1 gene:ENSMUSG00000026439.14 transcript:ENSMUST00000187505.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp5 description:retinoblastoma binding protein 5 [Source:MGI Symbol;Acc:MGI:1918367] MKSAPVMLTLSDSKHVVLPVDDDSDLNVVASFDRRGEYIYTGNAKGKILVLKTDSQDLVA SFRVTTGTSNTTAIKSIEFARKGSCFLINTADRIIRVYDGREILTCGRDGEPEPMQKLQD LVNRTPWKKCCFSGDGEYIVAGSARQHALYIWEKSIGNLVKILHGTRGELLLDVAWHPVR PIIASISSGVVSIWAQNQVENWSAFAPDFKELDENVEYEERESEFDIEDEDKSEPEQTGA DAAEDEEVDVTSVDPIAAFCSSDEELEDSKALLYLPIAPEVEDPEENPYGPPPDAVPSSL MDEGASSEKKRQSSADGSQPPKKKPKTTNIELQGVPNDEVHPLLGVKGDGKSKKKQAGRP KGSKGKEKDSPFKPKLYKGDRGLPLEGSTKGKVQAELSQSLAGGAISELL >ENSMUSP00000027700.9 pep:known chromosome:GRCm38:1:132477435:132505659:1 gene:ENSMUSG00000026439.14 transcript:ENSMUST00000027700.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp5 description:retinoblastoma binding protein 5 [Source:MGI Symbol;Acc:MGI:1918367] MKSAPVMLTLSDSKHVVLPVDDDSDLNVVASFDRRGEYIYTGNAKGKILVLKTDSQDLVA SFRVTTGTSNTTAIKSIEFARKGSCFLINTADRIIRVYDGREILTCGRDGEPEPMQKLQD LVNRTPWKKCCFSGDGEYIVAGSARQHALYIWEKSIGNLVKILHGTRGELLLDVAWHPVR PIIASISSGVVSIWAQNQVENWSAFAPDFKELDENVEYEERESEFDIEDEDKSEPEQTGA DAAEDEEVDVTSVDPIAAFCSSDEELEDSKALLYLPIAPEVEDPEENPYGPPPDAVPSSL MDEGASSEKKRQSSADGSQPPKKKPKTTNIELQGVPNDEVHPLLGVKGDGKSKKKQAGRP KGSKAGGAISELL >ENSMUSP00000140344.1 pep:known chromosome:GRCm38:1:132477491:132490501:1 gene:ENSMUSG00000026439.14 transcript:ENSMUST00000188575.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp5 description:retinoblastoma binding protein 5 [Source:MGI Symbol;Acc:MGI:1918367] MNLELLESFGQNYPEEADGTLDCISMALTCTFNSWSRDGHKLVSASTDNIVSQWDVLSGD CDQRFRFPSPILKVQYHPRDQNKVLVCPMKSAPVMLTLSDSKHVVLP >ENSMUSP00000140790.1 pep:known chromosome:GRCm38:1:132477642:132490585:1 gene:ENSMUSG00000026439.14 transcript:ENSMUST00000189786.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp5 description:retinoblastoma binding protein 5 [Source:MGI Symbol;Acc:MGI:1918367] MALTCTFNRWGTLLAVGCNDGRIVIWDFLTRGIAKIISAHIHPVCSLCWSRDGHKLVSAS TDNIVSQWDVLSGDCDQRFRFPSPILKVQYHPRDQNKVLVCPMKSAPVMLTLSDSKHVVL PVDDDSDLNVVASFDRRGEYIYTGNAKGK >ENSMUSP00000103518.2 pep:known chromosome:GRCm38:4:43578715:43587487:1 gene:ENSMUSG00000028468.15 transcript:ENSMUST00000107886.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgp1 description:RGP1 retrograde golgi transport homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915956] MIEVVAELSRGPVFLAGEALECVVTVTNPLPPTATSASSEALAWASAQIHCQFHASESRV ALPPPDSSQPDVQPDSQTVFLPHRGERGQCILSTPPKILFCDLRLDPGESKSYSYSEVLP TEGPPSFRGQSVKYVYKLTIGCQRVNSPITLLRVPLRVLVLTGLQDVHFPQDEAVAPSSP FLEEDDSGKKDSWLAELAGERLMAATSCRSLHLYNISDGRGKVGTFGIFKSVYRLGEDVV GTLNLGEGTVACLQFSVSLQTEERVQPEYQRRRGTGVAPSVSHVTHARHQESCLHTTRTS FSLPIPLCSTPGFCTAIVSLKWRLHFEFVTSREPGLVLLPPLEQPEPATWTGPEQVPVDT FSWDLPIKVLPTSPTLVSYAAPGPSTSSITI >ENSMUSP00000113476.1 pep:known chromosome:GRCm38:4:43578735:43587485:1 gene:ENSMUSG00000028468.15 transcript:ENSMUST00000117140.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgp1 description:RGP1 retrograde golgi transport homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915956] MIEVVAELSRGPVFLAGEALECVVTVTNPLPPTATSASSEALAWASAQIHCQFHASESRV ALPPPDSSQPDVQPDSQTVFLPHRGERGQCILSTPPKILFCDLRLDPGESKSYSYSEVLP TEGPPSFRGQSVKYVYKLTIGCQRVNSPITLLRVPLRVLVLTGLQDVHFPQDEAVAPSSP FLEEDDSGKKDSWLAELAGERLMAATSCRSLHLYNISDGRGKVGTFGIFKSVYRLGEDVV GTLNLGEGTVACLQFSVSLQTEERVQPEYQRRRGTGVAPSVSHVTHARHQESCLHTTRTS FSLPIPLCSTPGFCTAIVSLKWRLHFEFVTSREPGLVLLPPLEQPEPATWTGPEQVNWNH VGL >ENSMUSP00000030190.8 pep:known chromosome:GRCm38:4:43578958:43583130:1 gene:ENSMUSG00000028468.15 transcript:ENSMUST00000030190.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgp1 description:RGP1 retrograde golgi transport homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915956] MIEVVAELSRGPVFLAGEALECVVTVTNPLPPTATSASSEALAWASAQIHCQFHASESRV ALPPPDSSQPDVQPDSQTVFLPHRGERGQCILSTPPKILFCDLRLDPGESKSYSYSEVLP TEGPPSFRGQSVKYVYKLTIGCQRVNSPITLLRVPLRVLVLTGLQDVHFPQDEAVAPSSP FLEEDDSGKKDSWLAELAGERLMAATSCRSLHLYNISDGRGKVGTFGIFKSVYRLGEDVV GTLNLGEGTVACLQFSVSLQTEERVQPEYQRRRGTGVAPSVSHVTHARHQESCLHTTRTS FSLPIPLCSTPGFCTAIVSLKWRLHFEFVTSREPGLVLLPPLEQPEPATWTGPEQVPVDT FSWDLPIKVLPTSPTLVSYAAPGPSTSSITI >ENSMUSP00000028577.2 pep:known chromosome:GRCm38:2:111193254:111229602:-1 gene:ENSMUSG00000027157.10 transcript:ENSMUST00000028577.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930430A15Rik description:RIKEN cDNA 4930430A15 gene [Source:MGI Symbol;Acc:MGI:1914825] MKKILVHGVKEKTPLGFCDVPRKNLLDFLRDMKSISVPNEPRYKPLRKIHQAASDGDTER LQRMISLGKHSVHDRDFKERTALHFACACGQVEVVSILLRNNCDIDAADMNFITPLMKAV QNWTYECVCILLKHGADPNRKDKNGNTSLHYAVSEDNQTLAKCLLKYSANMEQKNKDGFT PLLLALKENKIEMAKFLVKMGANIHVFDDMRRNTLLYAIRWDSKDMVNLLLDEGIDFFFR DVFGWTALRYAIEGTSKGSREILMNYDEMLRRKNKDGIPEYKFFEDKSLDKHPNNPTSGS TFPKSNENACKFDDELDISKYVLGTCPNNIHGAGEEGDKSETKKAADVQVWTNPTLKSST KGKVPNLNEVGTMTESHHFIKESDLEIESLVETFSYDNEDKQSMSDDGHFSGTTPKWCQN DTNRKTTVQDCMYPNLKSPTEGKIPTRSKAGKMAESAHFIIESDLEIESLEETVSCDSED KQLLV >ENSMUSP00000117759.1 pep:known chromosome:GRCm38:2:111162061:111205199:-1 gene:ENSMUSG00000027157.10 transcript:ENSMUST00000142636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930430A15Rik description:RIKEN cDNA 4930430A15 gene [Source:MGI Symbol;Acc:MGI:1914825] MTESHHFIKESDLEIESLVETFSYDNEDKQSMSDDGHFSGTTPKWCQNDTNRKTTVQDCM YPNLKSPTEGKIPTRSKAGKMAESAHFIIESDLEIESLEETVSCDSEDKQLLYLPQIDDS HFSEAANEEPENNLERQMKESAKKYPYLKIANEMNDLSPNTYSESKDEETLTSELTISST SEEQKCPYARGKAQSMQTGPMDIVYLVTVNNQREENMGISQEKDSPEEKHEHQCSTEKTY SIAQEESDTNQEDSSHAEQVFELTSQE >ENSMUSP00000124634.1 pep:known chromosome:GRCm38:9:36821939:36850405:1 gene:ENSMUSG00000032118.15 transcript:ENSMUST00000162633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fez1 description:fasciculation and elongation protein zeta 1 (zygin I) [Source:MGI Symbol;Acc:MGI:2670976] MEAPLVSLDEEFEDIRPSCTEEPEEKPQCLYGTSPHHLEDPSLSELENFSSEIISFKSME DLVNEFDEKLNVCFRNYNAKTESLAPVKNQLQIQEEEETLRDEEVWDALTDNYIPSLSED WRD >ENSMUSP00000034630.8 pep:known chromosome:GRCm38:9:36832684:36878924:1 gene:ENSMUSG00000032118.15 transcript:ENSMUST00000034630.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fez1 description:fasciculation and elongation protein zeta 1 (zygin I) [Source:MGI Symbol;Acc:MGI:2670976] MEAPLVSLDEEFEDIRPSCTEEPEEKPQCLYGTSPHHLEDPSLSELENFSSEIISFKSME DLVNEFDEKLNVCFRNYNAKTESLAPVKNQLQIQEEEETLRDEEVWDALTDNYIPSLSED WRDPNIEALNGNSSDIEIHEKEEEEFNEKSENDSGINEEPLLTADQVIEEIEEMMQNSPD PEEEEEVLEEEDGGEISSQADSVLLQEMQALTQTFNNNWSYEGLRHMSGSELTELLDRVE GAIRDFSEELVHQLARRDELEFEKEVKNSFITVLIEVQNKQREQRELMKKRRKEKGLSLQ SNRIEKGSQMPLKRFSMEGISNILQSGIRQTFGSSGADRQYLNTVIPYEKKSSPPSVEDL QMLTNILFAMKEDNEKVPTLLTDYILKVLCPT >ENSMUSP00000123762.1 pep:known chromosome:GRCm38:9:36833635:36863499:1 gene:ENSMUSG00000032118.15 transcript:ENSMUST00000161500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fez1 description:fasciculation and elongation protein zeta 1 (zygin I) [Source:MGI Symbol;Acc:MGI:2670976] MEAPLVSLDEEFEDIRPSCTEEPEEKPQCLYGTSPHHLEDPSLSELENFSSEIISFKSME DLVNEFDEKLNVCFRNYNAKTESLAPVKNQLQIQEEEETLRDEEVWDALTDNYIPSLSED WRDPNIEALNGNSSDIEIHEKEEEEFNEKSENDSGINEEPLLTADQV >ENSMUSP00000124648.1 pep:known chromosome:GRCm38:9:36843778:36867780:1 gene:ENSMUSG00000032118.15 transcript:ENSMUST00000160041.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fez1 description:fasciculation and elongation protein zeta 1 (zygin I) [Source:MGI Symbol;Acc:MGI:2670976] XEKPQCLYGTSPHHLEDPSLSELENFSSEIISFKSMEDLVNEFDEKLNVCFRNYNAKTES LAPVKNQLQIQEEEETLRDEEQYPDLSPVEL >ENSMUSP00000124185.1 pep:known chromosome:GRCm38:9:36868878:36870765:1 gene:ENSMUSG00000032118.15 transcript:ENSMUST00000162235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fez1 description:fasciculation and elongation protein zeta 1 (zygin I) [Source:MGI Symbol;Acc:MGI:2670976] LQSGIRQTFGSSGADRQYLNTVIPYEKKSSPPSVEDLQMLTNISRFL >ENSMUSP00000125475.1 pep:known chromosome:GRCm38:9:36870503:36878920:1 gene:ENSMUSG00000032118.15 transcript:ENSMUST00000161978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fez1 description:fasciculation and elongation protein zeta 1 (zygin I) [Source:MGI Symbol;Acc:MGI:2670976] XEKKSSPPSVEDLQMLTNILFAMKEDNEKVPTLLTDYILKGSHADQDGLKLSL >ENSMUSP00000126072.1 pep:known chromosome:GRCm38:9:36843659:36878640:1 gene:ENSMUSG00000032118.15 transcript:ENSMUST00000163816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fez1 description:fasciculation and elongation protein zeta 1 (zygin I) [Source:MGI Symbol;Acc:MGI:2670976] MEAPLVSLDEEFEDIRPSCTEEPEEKPQCLYGTSPHHLEDPSLSELENFSSEIISFKSME DLVNEFDEKLNVCFRNYNAKTESLAPVKNQLQIQEEEETLRDEEVWDALTDNYIPSLSED WRDPNIEALNGNSSDIEIHEKEEEEFNEKSENDSGINEEPLLTADQVIEEIEEMMQNSPD PEEEEEVLEEEDGGEISSQADSVLLQEMQALTQTFNNNWSYEGLRHMSGSELTELLDRVE GAIRDFSEELVHQLARRDELEFEKEVKNSFITVLIEVQNKQREQRELMKKRRKEKGLSLQ SNRIEKGSQMPLKRFSMEGISNILQSGIRQTFGSSGADRQYLNTVIPYEKKSSPPSVEDL QMLTNILFAMKEDNEKVPTLLTDYILKVLCPT >ENSMUSP00000006949.8 pep:known chromosome:GRCm38:10:115078641:115185022:-1 gene:ENSMUSG00000006764.8 transcript:ENSMUST00000006949.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tph2 description:tryptophan hydroxylase 2 [Source:MGI Symbol;Acc:MGI:2651811] MQPAMMMFSSKYWARRGLSLDSAVPEDHQLLGSLTQNKAIKSEDKKSGKEPGKGDTTESS KTAVVFSLKNEVGGLVKALRLFQEKHVNMLHIESRRSRRRSSEVEIFVDCECGKTEFNEL IQLLKFQTTIVTLNPPESIWTEEEDLEDVPWFPRKISELDRCSHRVLMYGTELDADHPGF KDNVYRQRRKYFVDVAMGYKYGQPIPRVEYTEEETKTWGVVFRELSKLYPTHACREYLKN LPLLTKYCGYREDNVPQLEDVSMFLKERSGFTVRPVAGYLSPRDFLAGLAYRVFHCTQYV RHGSDPLYTPEPDTCHELLGHVPLLADPKFAQFSQEIGLASLGASDEDVQKLATCYFFTI EFGLCKQEGQLRAYGAGLLSSIGELKHALSDKACVKSFDPKTTCLQECLITTFQDAYFVS DSFEEAKEKMRDFAKSITRPFSVYFNPYTQSIEILKDTRSIENVVQDLRSDLNTVCDALN KMNQYLGI >ENSMUSP00000112515.1 pep:known chromosome:GRCm38:7:109279223:109438817:-1 gene:ENSMUSG00000031027.15 transcript:ENSMUST00000121748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk33 description:serine/threonine kinase 33 [Source:MGI Symbol;Acc:MGI:2152419] MADPSLNDNPTACPHCASSQAGLLCVCPAGKSPVLVVEMSQTSSIGSTEFFASQERKKER NTSRESSLKDLSIRTSNVERKPQAQWSRSNVTVGKIPHIRMDDGAGIEEFYTFGRILGQG SFGMVFEAIDKETGAKWAIKKVNKEKAGSSAMKLLEREVSILKTVNHQHIIHLEQVFESP QKMYLVMELCEDGELKAVMDQRGHFSENETRLIIQSLASAIAYLHNKDIVHRDLKLENIM VKSSFIDDNNEMNLNIKVTDFGLSVQKHGSRSEGMMQTTCGTPIYMAPEVINAHDYSQQC DIWSIGVIMFILLCGEPPFLANSEEKLYELIKKGELRFENPVWESVSDSAKNTLKQLMKV DPAHRITAKELLDNQWLTGNTLSSARPTNVLEMMKEWKNNPESDEETNTDEETEQSAVYS PSANTAKQPTNAAKKPAAESVGMTSSNSSSSKLLSAESKAEPEKSSETVGHASVAKTTLK STTLFRGKKRL >ENSMUSP00000102356.2 pep:known chromosome:GRCm38:7:109279223:109439076:-1 gene:ENSMUSG00000031027.15 transcript:ENSMUST00000106745.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk33 description:serine/threonine kinase 33 [Source:MGI Symbol;Acc:MGI:2152419] MADPSLNDNPTACPHCASSQAGLLCVCPAGKSPVLVVEMSQTSSIGSTEFFASQERKKER NTSRESSLKDLSIRTSNVERKPQAQWSRSNVTVGKIPHIRMDDGAGIEEFYTFGRILGQG SFGMVFEAIDKETGAKWAIKKVNKEKAGSSAMKLLEREVSILKTVNHQHIIHLEQVFESP QKMYLVMELCEDGELKAVMDQRGHFSENETRLIIQSLASAIAYLHNKDIVHRDLKLENIM VKSSFIDDNNEMNLNIKVTDFGLSVQKHGSRSEGMMQTTCGTPIYMAPEVINAHDYSQQC DIWSIGVIMFILLCGEPPFLANSEEKLYELIKKGELRFENPVWESVSDSAKNTLKQLMKV DPAHRITAKELLDNQWLTGNTLSSARPTNVLEMMKEWKNNPESDEETNTDEETEQSAVYS PSANTAKQPTNAAKKPAAESVGMTSSNSSSSKLLSAESKAEPEKSSETVGHASVAKTTLK STTLFRGKKRL >ENSMUSP00000087897.4 pep:known chromosome:GRCm38:7:109279223:109439081:-1 gene:ENSMUSG00000031027.15 transcript:ENSMUST00000090414.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk33 description:serine/threonine kinase 33 [Source:MGI Symbol;Acc:MGI:2152419] MADPSLNDNPTACPHCASSQAGLLCVCPAGKSPVLVVEMSQTSSIGSTEFFASQERKKER NTSRESSLKDLSIRTSNVERKPQAQWSRSNVTVGKIPHIRMDDGAGIEEFYTFGRILGQG SFGMVFEAIDKETGAKWAIKKVNKEKAGSSAMKLLEREVSILKTVNHQHIIHLEQVFESP QKMYLVMELCEDGELKAVMDQRGHFSENETRLIIQSLASAIAYLHNKDIVHRDLKLENIM VKSSFIDDNNEMNLNIKVTDFGLSVQKHGSRSEGMMQTTCGTPIYMAPEVINAHDYSQQC DIWSIGVIMFILLCGEPPFLANSEEKLYELIKKGELRFENPVWESVSDSAKNTLKQLMKV DPAHRITAKELLDNQWLTGNTLSSARPTNVLEMMKEWKNNPESDEETNTDEETEQSAVYS PSANTAKQPTNAAKKPAAESVGMTSSNSSSSKLLSAESKAEPEKSSETVGHASVAKTTLK STTLFRGKKRL >ENSMUSP00000147147.1 pep:known chromosome:GRCm38:7:109279223:109439081:-1 gene:ENSMUSG00000031027.15 transcript:ENSMUST00000141210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk33 description:serine/threonine kinase 33 [Source:MGI Symbol;Acc:MGI:2152419] MMKEWKNNPESDEETNTDEETEQSAVYSPSANTAKQPTNAAKKPAAESVGMTSSNSSSSK LLSAESKAEPEKSSETVGHASVAKTTLKSTTLFRGKKRL >ENSMUSP00000112560.1 pep:known chromosome:GRCm38:7:109279595:109438998:-1 gene:ENSMUSG00000031027.15 transcript:ENSMUST00000121378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk33 description:serine/threonine kinase 33 [Source:MGI Symbol;Acc:MGI:2152419] MVFEAIDKETGAKWAIKKVNKEKAGSSAMKLLEREVSILKTVNHQHIIHLEQVFESPQKM YLVMELCEDGELKAVMDQRGHFSENETRLIIQSLASAIAYLHNKDIVHRDLKLENIMVKS SFIDDNNEMNLNIKVTDFGLSVQKHGSRSEGMMQTTCGTPIYMAPEVINAHDYSQQCDIW SIGVIMFILLCGEPPFLANSEEKLYELIKKGELRFENPVWESVSDSAKNTLKQLMKVDPA HRITAKELLDNQWLTGNTLSSARPTNVLEMMKEWKNNPESDEETNTDEETEQSAVYSPSA NTAKQPTNAAKKPAAESVGMTSSNSSSSKLLSAESKAEPEKSSETVGHASVAKTTLKSTT LFRGKKRL >ENSMUSP00000138025.1 pep:known chromosome:GRCm38:16:11322908:11755472:1 gene:ENSMUSG00000071669.14 transcript:ENSMUST00000180792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx29 description:sorting nexin 29 [Source:MGI Symbol;Acc:MGI:1921728] MSGSQNDDRRQFLLERLLDAVKQCQIRFGGRKEIASDSDSRVTCLCAQFEAVLQHGMKRS RGLALTAAAIKQAAGFTSKTETEPVFWVYVKEVLNKHELQRFYSLHHITSDAGRGRAWLR CALNEHSLERYLHMLLADRARLSTFYEDWSFVMDEERSSMLPTMAAGLNSILFAINIDNK DLNGQSKFAPTVSDLLKESTQNVTSLLKESTQGMSSLLREITASSAVSILIKPEQETDPL PVISKNVHVDTRCKRERRRRKKVTNIVSFDDDEEEQGTGDTLKKMPGTAESSEENSDRSS VNIMAAFEGTFGPNSNGSQSSSSWKIDSASLNGELGYQKLDVKSIDDDVDENEEDAYRSP LGRGHTGHAESPDRTLDGNACLAQVHGWAPLQVLHGDADADTDVLFPVSGVGSYGAADAP VGSLENGTGTENHIIPEPGLRYREASSPGQGSPLSSLLPSASVPESMTVHELRQAIVAMM NRKDELEEENGSLRNLLDGEMEHSAALRQEVDALRRKVTEQQERHATKVQALARENEVLK VQLKKYVGAVQMLKREGQTAEAVPSLWNVDAEVTVPEQKPGEVAEELASSYERKLIEVAE MHGELIEFNERLHRALVAKEALVSQMRQELIDLRGPVPGDLSQTSEDQSLSDFEISNRAL INVWIPSVFLRGKAANAFHVYQVYIRIKDDEWNVYRRYTEFRALHHQLQSAFPQVRAYSF PPKKAIGNKDAKFVEERRKQLQSYLRSVMNKVIQMVPEFAANPKKETLVQLVPFFVDITP PGEPLNKSSRPKAVSRFPKLSRGHPREVRNVEPQSGDL >ENSMUSP00000093993.2 pep:known chromosome:GRCm38:16:11405648:11755472:1 gene:ENSMUSG00000071669.14 transcript:ENSMUST00000096273.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx29 description:sorting nexin 29 [Source:MGI Symbol;Acc:MGI:1921728] MDSLCPVYSGIMIEESAEKPGQELSSDEWLTETLDGNACLAQVHGWAPLQVLHGDADADT DVLFPVSGVGSYGAADAPVGSLENGTGTENHIIPEPGLRYREASSPGQGSPLSSLLPSAS VPESMTVHELRQAIVAMMNRKDELEEENGSLRNLLDGEMEHSAALRQEVDALRRKVTEQQ ERHATKVQALARENEVLKVQLKKYVGAVQMLKREGQTAEAVPSLWNVDAEVTVPEQKPGE VAEELASSYERKLIEVAEMHGELIEFNERLHRALVAKEALVSQMRQELIDLRGPVPGDLS QTSEDQSLSDFEISNRALINVWIPSVFLRGKAANAFHVYQVYIRIKDDEWNVYRRYTEFR ALHHQLQSAFPQVRAYSFPPKKAIGNKDAKFVEERRKQLQSYLRSVMNKVIQMVPEFAAN PKKETLVQLVPFFVDITPPGEPLNKSSRPKAVSRFPKLSRGHPREVRNVEPQSGDL >ENSMUSP00000113595.1 pep:known chromosome:GRCm38:16:11406657:11661555:1 gene:ENSMUSG00000071669.14 transcript:ENSMUST00000122168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx29 description:sorting nexin 29 [Source:MGI Symbol;Acc:MGI:1921728] MGTRRRKCMCAFTATVTLDGNACLAQVHGWAPLQVLHGDADADTDVLFPVSGVGSYGAAD APVGSLENGTGTENHIIPEPGLRYSREASSPGQGSPLSSLLPSASVPESMTVHELRQAIV AMMNRKDELEEENGSLRNLLDGEMEHSAALRQEVDALRRKVTEQQERHATKVQALARENE VLKVQLKKYVGAVQMLKREGQTAEAVPSLWNVDAEVTVPEQKPGEVAEELASSYERKLIE VAEMHGELIEFNERLHRALVAKEALVSQMRQELIDLRGPVPGDLSQTSEDQSLSDFEISN RALINVWIPSVFLRGKAANAFHVYQVSAWALCRHHGCGAMVLCMLAPFPA >ENSMUSP00000117896.1 pep:known chromosome:GRCm38:16:11420708:11679349:1 gene:ENSMUSG00000071669.14 transcript:ENSMUST00000150993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx29 description:sorting nexin 29 [Source:MGI Symbol;Acc:MGI:1921728] ASSPGQGSPLSSLLPSASVPESMTVHELRQAIVAMMNRKDELEEENGSLRNLLDGEMEHS AALRQEVDALRRKVTEQQERHATKVQALARENEVLKVQLKKYVGAVQMLKREGQTAEAVP SLWNVDAEVTVPEQKPGEVAEELASSYERKLIEVAEMHGELIEFNERLHRALVAKEALVS QMRQELIDLRGPVPGDLSQTSEDQSLSDFEISNRALINVWIPSVFLRGKAANAFHVYQIN LWLGDRAAV >ENSMUSP00000111481.3 pep:known chromosome:GRCm38:16:11322972:11403836:1 gene:ENSMUSG00000071669.14 transcript:ENSMUST00000115814.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx29 description:sorting nexin 29 [Source:MGI Symbol;Acc:MGI:1921728] MSGSQNDDRRQFLLERLLDAVKQCQIRFGGRKEIASDSDSRVTCLCAQFEAVLQHGMKRS RGLALTAAAIKQAAGFTSKTETEPVFWVYVKEVLNKHELQRFYSLHHITSDAGRGRAWLR CALNEHSLERYLHMLLADRARLSTFYEDWSFVMDEERSSMLPTMAAGLNSILFAINIDNK DLNGQSKFAPTVSDLLKESTQNVTSLLKESTQGMSSLLREITASSAVSILIKPEQETDPL PVISKNVHVDTRCKRERRRRKKVTNIVSFDDDEEEQGTGDTLKKMPGTAESSEENSDRSS VNIMAAFEGTFGPNSNGSQSSSSWKIDSASLNGELGYQKLDVKSIDDDVDENEEDAYRSP LGRGHTGHAESPDR >ENSMUSP00000001092.8 pep:known chromosome:GRCm38:8:123254195:123269745:1 gene:ENSMUSG00000001065.14 transcript:ENSMUST00000001092.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp276 description:zinc finger protein (C2H2 type) 276 [Source:MGI Symbol;Acc:MGI:1888495] MKRDRLGRFLSPGIARQRGGSGGGCGSGRTRGRPSRSGGTSADGAAAQLSWGSMTRSCGD TGDDGTDEAGAGRTLAMGHCRLCHGKFSSRSLRSISDRVPGETSERLSPGERVFIRDFQR LLGVAVHQDPALPQSVCKNCYTQFYQCHSLLRTFLQRVNVSPAGQRKPCTKVGVQPTTVA EEGACVADLIASSPRCLHGLVGWVHEHAVSCGSLPSLQRTLSSEYCGIIQAVWGCDQGHD FTMDTASSCRALFLDSALAVKWAWGKDLSPRLAQNSESNPTGAASRLCQARETQVGSETK TLPSVDVALLHSHGDSVGPGLGPCTQPHLAPSEAPGQLGETQVPSSTSDDRVKDEFSDLS EGDFLSEDESDKKQTPQSSDESFEPYPEKKVSGKKSEGREAKRPEEPKIRKKPGPKPGWK KKLRCEREELPTIYKCPYQGCTAVYRGADGMKKHIKEHHEEVRERPCPHPGCNKVFMIDR YLQRHVKLIHTEVRNYICDECGQTFKQRKHLLVHQMRHSGAKPLQCEVCGFQCRQRASLK YHMTKHKAETELDFACDQCGRRFEKAHNLNVHMSMVHPLTQAQDRALPLEAEPPPGPLSP SGTMEGQAVKPEPT >ENSMUSP00000119771.1 pep:known chromosome:GRCm38:8:123254686:123265070:1 gene:ENSMUSG00000001065.14 transcript:ENSMUST00000154450.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp276 description:zinc finger protein (C2H2 type) 276 [Source:MGI Symbol;Acc:MGI:1888495] MKRDRLGRFLSPGIARQRGGSGGGCGSGRTRGRPSRSGGTSADGAAAQLSWGSMTRSCGD TGDDGTDEAGAGRTLAMGHCRLCHGKFSSRSLRSISDRVPGETSERLSPGERVFIRDFQR LLGVAVHQDPALPQSVCKNCYTQFYQCHSLLRTFLQRVNVSPAGQRKPCTKVSGKKSEGR EAKRPEEPKIRKKPGPKPGWKKKLRCEREELPTIYKCPYQGCTAVYRGADGMK >ENSMUSP00000073762.1 pep:known chromosome:GRCm38:16:59328543:59329532:-1 gene:ENSMUSG00000094422.2 transcript:ENSMUST00000074125.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr205 description:olfactory receptor 205 [Source:MGI Symbol;Acc:MGI:3030039] MEGNSTLLTEFVLRGITDRPELQVPLFLVFFFIYVITMVGNLGLIFLIWKDPHLHTPMYL FLGNLAFADACTSSSVTPKMLMKFLNKNDMISVGECFAQYYFFCFSATTEIFLLVAMAYD RYVAICNPLLYLVVMSKRLCTVFISLSYIIGVLNPIVHVGLLFRLTFCKSNVIDHFYCEI LPLYTISCTDASLNALVIFIFASSIQISTSVTIIVSYARVLFAVLNMKSEKGRRKAFFTC SAHLLSVSLFYGTLLFMYVSHGSAPGENQDKMYSLFYTVVIPLLNPFIYSLRNKEVLCAL RKVVK >ENSMUSP00000110093.3 pep:known chromosome:GRCm38:5:33335509:33373296:1 gene:ENSMUSG00000079562.6 transcript:ENSMUST00000114449.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maea description:macrophage erythroblast attacher [Source:MGI Symbol;Acc:MGI:1891748] MAVQESAAQLSMTLKVQEYPTLKVPYETLNKRFRAAQKNIDRETSHVTMVVAELEKTLSS CPAVDSVVSLLDGVVEKLSVLKRKAVESIQAEDESAKLCKRRIEHLKEHSSDQPAAASMW KRKRMDRMMVEHLLRCGYYNTAVKLARQSGIEDLVNIEMFLTAKEVEESLERRETATCLA WCHDNKSRLRKMKSCLEFSLRIQEFIELVRQNKRLDAVRHARKHFSQAEGSQLDEVRQVM GMLAFPPDTHISPYKDLLDPARWRMLIQQFRYDNYRLHQLGNSSVFTLTLQAGLSAIKTP QCYKEDGSSKSPDCPVCSRSLNKLAQPLPMAHCANSRLVCKISGDVMNENNPPMMLPNGY VYGYNSLLSIRQDDKVVCPRTKEVFHFSQAEKVYIM >ENSMUSP00000144584.1 pep:known chromosome:GRCm38:5:33335561:33362695:1 gene:ENSMUSG00000079562.6 transcript:ENSMUST00000200716.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maea description:macrophage erythroblast attacher [Source:MGI Symbol;Acc:MGI:1891748] MAVQESAAQLSMTLKVQEYPTLKVPYETLNKRFRAAQKNIDRETSHVTMVVAELEKTLSS CPAVDSVVSLLDGVVEKLSVLKRKAEDESAKLCKRRIEHLKEHSSDQPAAASMWKRKRMD RMMVEHLLRCGYYNTAVKLARQSGIE >ENSMUSP00000144587.1 pep:known chromosome:GRCm38:5:33335565:33360352:1 gene:ENSMUSG00000079562.6 transcript:ENSMUST00000200882.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Maea description:macrophage erythroblast attacher [Source:MGI Symbol;Acc:MGI:1891748] MAVQESAAQLSMTLKVQEYPTLKPVLHPLHCVVHGNSAEG >ENSMUSP00000144238.1 pep:known chromosome:GRCm38:5:33336185:33360403:1 gene:ENSMUSG00000079562.6 transcript:ENSMUST00000202113.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maea description:macrophage erythroblast attacher [Source:MGI Symbol;Acc:MGI:1891748] MVVAELEKTLSSCPAVDSVVSLLDGVVEKLSVLKRKAVESIQAEDESAKLCKR >ENSMUSP00000146429.1 pep:known chromosome:GRCm38:7:28833854:28841694:1 gene:ENSMUSG00000053964.17 transcript:ENSMUST00000208971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals4 description:lectin, galactose binding, soluble 4 [Source:MGI Symbol;Acc:MGI:107536] MAYVPAPGYQPTYNPTLPYKRPIPGGLSVGMSVYIQGMAKENMRRFHVNFAVGQDDGADV AFHFNPRFDGWDKVVFNTMQSGQWGKEEKKKSMPFQKGKHFELVFMVMPEHYKVVVNGNS FYEYGHRLPVQMVTHLQVDGDLELQSINFLGGQPAAAPYPVMTGPPVFNPRVPYVGALQG GLTVRRTIIIKGYVLPTARNFVINFKVGSSGDIALHLNPRIGDSVVRNSFMNGSWGAEER KVAYNPFGPGQFFDLSIRCGMDRFKVFANGQHLFDFSHRFQAFQMVDTLEINGDITLSYV QI >ENSMUSP00000066461.8 pep:known chromosome:GRCm38:7:28833854:28841708:1 gene:ENSMUSG00000053964.17 transcript:ENSMUST00000066723.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals4 description:lectin, galactose binding, soluble 4 [Source:MGI Symbol;Acc:MGI:107536] MAYVPAPGYQPTYNPTLPYKRPIPGGLSVGMSVYIQGMAKENMRRFHVNFAVGQDDGADV AFHFNPRFDGWDKVVFNTMQSGQWGKEEKKKSMPFQKGKHFELVFMVMPEHYKVVVNGNS FYEYGHRLPVQMVTHLQVDGDLELQSINFLGGQPAAAPYPGAMTIPAYPAGSPGYNPPQM NTLPVMTGPPVFNPRVPYVGALQGGLTVRRTIIIKGYVLPTARNFVINFKVGSSGDIALH LNPRIGDSVVRNSFMNGSWGAEERKVAYNPFGPGQFFDLSIRCGMDRFKVFANGQHLFDF SHRFQAFQMVDTLEINGDITLSYVQI >ENSMUSP00000141005.1 pep:known chromosome:GRCm38:7:28834523:28841214:1 gene:ENSMUSG00000053964.17 transcript:ENSMUST00000151547.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lgals4 description:lectin, galactose binding, soluble 4 [Source:MGI Symbol;Acc:MGI:107536] ENMRRFHVNFAVGQDDGADVAFHFNPRFDGWDKVVFNTMQSGQWGKEEKKKSMPFQKGKH FELVFMVMPEHYKVVVNGNSFYEYGHRLPVQMVTHLQVDGDLELQSINFLGGQPAAAPYP GAMTIPAYPAGSPGYNPPQMNTLPVMTGPPVFNPVWCPRG >ENSMUSP00000123628.1 pep:known chromosome:GRCm38:7:18176494:18181862:1 gene:ENSMUSG00000066756.5 transcript:ENSMUST00000152973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfl3 description:IGF-like family member 3 [Source:MGI Symbol;Acc:MGI:2685426] MKIRNACAVLIEVLLFILEGVTGARKISTFSGPGSWPCNPKCDGRTYNPSEECCVHDTIL PFKRINLCGPSCTYRPCFELCCPESYSPKKKFIVKLKVHGERSHCSSSPISRNCKSNKIF HGEDIEDNQLSLRKKSGDQP >ENSMUSP00000081849.3 pep:known chromosome:GRCm38:16:59344722:59345726:-1 gene:ENSMUSG00000066257.3 transcript:ENSMUST00000084791.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr206 description:olfactory receptor 206 [Source:MGI Symbol;Acc:MGI:3030040] MEKNSTLLTEFVLRGITDRSELQVPLLLVFFLIYVTTMVGNLGLIFLIWKDPHLHTPMYL FLGNLAFADACISTTVTPKMLMKFLNKNDMISVGECFAQYYFFCISATTEIFLLVAMAYD RYVAICNPLLYLVVMSKRLCTVLISISYIIGFVNCGLNIGLLFRLTFCKSNIIDHFYCEI LQLYTISCTDPSLNTLVVFICASSIQISTSVTIIVSYARVLFAVLNMKSERGRRKAFFTC SAHLLSVSLFYGTLLFMYVSPGSGSGKQKDKIYSLFYTVVIPLLNPFIYSLRNKEVLGAL KKFIKC >ENSMUSP00000083281.6 pep:known chromosome:GRCm38:7:16738410:16749294:1 gene:ENSMUSG00000008036.11 transcript:ENSMUST00000086112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2s1 description:adaptor-related protein complex 2, sigma 1 subunit [Source:MGI Symbol;Acc:MGI:2141861] MIRFILIQNRAGKTRLAKWYMQFDDDEKQKLIEEVHAVVTVRDAKHTNFVEFRNFKIIYR RYAGLYFCICVDVNDNNLAYLEAIHNFVEVLNEYFHNVCELDLVFNFYKVYTVVDEMFLA GEIRETSQTKVLKQLLMLQSLE >ENSMUSP00000146195.1 pep:known chromosome:GRCm38:7:16738444:16749014:1 gene:ENSMUSG00000008036.11 transcript:ENSMUST00000205607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2s1 description:adaptor-related protein complex 2, sigma 1 subunit [Source:MGI Symbol;Acc:MGI:2141861] MFRNFKIIYRRYAGLYFCICVDVNDNNLAYLEAIHNFVEVLNEYFHNVCELDLVFNFYKV YTVVDEMFLAGEIRETSQTKVLKQLLMLQSLE >ENSMUSP00000146095.1 pep:known chromosome:GRCm38:7:16738472:16748934:1 gene:ENSMUSG00000008036.11 transcript:ENSMUST00000205590.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap2s1 description:adaptor-related protein complex 2, sigma 1 subunit [Source:MGI Symbol;Acc:MGI:2141861] MIRFILIQNRAGKTRLAKWYMQFDDDEKQKLIEEVHAVVTVRDAKHTNFVEVTFNQDLQG >ENSMUSP00000115974.1 pep:known chromosome:GRCm38:2:120046157:120057497:-1 gene:ENSMUSG00000074899.4 transcript:ENSMUST00000156159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn5 description:spectrin beta, non-erythrocytic 5 [Source:MGI Symbol;Acc:MGI:2685200] XMMPTSRESKTCHCSSRTRALRNPRPSASGKTSSTIGQWKTFHGNLLLYQQRLEAALEIH RLSRELDDVIERLREKESLIWAPEGTEDLENVQRLSWRQKVLQQEMGLIQTQVESLEGRI GRLCKESPEVAHSLRHKQQEMMDSWWKVWSKAQKWRELLDVGHEVQKLQTLLQDLQDWAR GLQAEMATQGTPCSPVRIQYMLEEYRAYKVELDIRTESLNLVQSMGQRLLASGYPQASEI HQTLAAVEQGLSSLRESWQGRQQQLQQALEQQLFLGSVEKAERWLDSEEASLASEGVADP LVTVETLLSKLKRHEQGLKAQAEKIRALEATAHSLHQGGHSEARSILDRCQALLLRTEAL TEQARARGHQLEELRKLRTFLQDSNEVTAWLREKSLAALDEGQQDPATMQTQLQKQQNFQ AELDASVHQQQEVQMEGQKLLQGGHPASETIRGQLEELGGLWDELQTNCQRKMARLQGAL KVLHLQRMLKELEKWLEHMEAELRVPVRSQALPRVGELLGAQEELEAAMDRQAKEVQELQ GQSQACLQEGHCLAKDVEEQARQLLQRFQSLREPLQERRASLEAQRLLLQFFRDADEEMA WVQEKLPSATAQDYGQSLNTVRHLQEKHQNLENEIHSHKALSQVVTGTGHKLIQAGHFAT EEVAARVQQLEVALNRLETEAAQRRRRLQQALEAQQTLVELLEAGSWLAERDHILDSEDL GQDAEATQALLRCLEATTRDLEGFSSRIEQLQQTVALLESGQTPGSPRVLAQLQAVREAH ARLLQRAESRGEALREQLHLYQLEQEALLLDAWLTTKLAVAESQDYGQDLAGIKVLEDMF GAFNREVQSLGQAKMQTLRERMASLERGAPRFYPQIQAQKCRVQAAWEGLNKAIKVRTEN LAAACDLRSFEQAASELQRWIQEKTTLLEEAFQVHSLSPSQPLLQQQQQQQQQQQQQQQQ QQQQQQQQQQHRRLQRELRAIEKEVSRVQMEAHRLGQHYPVAQGSLSEWLTKVQGAWTNL EAKVQEWSQKLLQATQGHTFLGSCRELLAWAQEMQELLSKEKQAGDVVGAKQFLEQHEAL EQEIQERCLQAQTIRHEGQQLLDNGHFLSPEVAECMQELERHLQELQVAWALRGQRWEQT RSLQQLRQRLELAEAWLASWERLLLDPSCGHSVLEVERLLYRHEGLEKLLVAHEETFIQL QTMTEVQGL >ENSMUSP00000115497.1 pep:known chromosome:GRCm38:2:120059068:120061436:-1 gene:ENSMUSG00000074899.4 transcript:ENSMUST00000125737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn5 description:spectrin beta, non-erythrocytic 5 [Source:MGI Symbol;Acc:MGI:2685200] XVQDGLRTLQEERDQVFQAWALKQEKLQAMLQEQHLLRQCGHLVEVLTAQEAFLKASGLG SSVEEVEQLIRKHVIFQKVLALQDKKESALNEQLKTISSPKGQNLFCHMLEHRAQVKELA ESRGQALHTSLMIGNFVRAATQAEDWIQQRVQQLRAWSPLGNLKDYLKHLRKHQAFRAEV QAQEQILTSVAKQGEELLSQSHPQAGEVSQRLEALRDLWEKLRQAVTLQGQALENRYNFQ EFLQRVDLAETWIQEKERMVNSCDIGLNLEHCLQLCRQVRR >ENSMUSP00000106384.1 pep:known chromosome:GRCm38:2:120061586:120072523:-1 gene:ENSMUSG00000074899.4 transcript:ENSMUST00000110756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn5 description:spectrin beta, non-erythrocytic 5 [Source:MGI Symbol;Acc:MGI:2685200] LHRTRLEESIALFSFYSSCRELQSWLEKQTALFQTLQPQGHNLEVIQLKYENVLMTLATG KGHWAEAINTAEQLKQRCPGHISKIQQQQEDLKQRWQQLEALKEEKLLQLTRGMEVCSLL QESEPIQAQLLNVISRLETLGTRSSGDSHHTLQQTQQKVLVLEKKISYLQRATIEVMESG SPESRLLWEQVLMLQSLLKQAQGQVARQIQVQTVARVQRGILQESQKLLLWAEDIQAQLC SKEERQVEASALRPLRRHGTLQEETCLWEERLQQLEAQGQPVAVSDSPQSQEVASALRLL GQHSQQLKALWEQRQQKFWEGLELQGFGQEVDDFMATCASHEALLQLDNLGEDIREAQSL LQQHQGLGWLRSTLGSRAEALRARGEKLLLSHPAAVSKIRELLHSAQAQWTRVQERSEQR RVQLLASLQLQEWKQAEEGLMLWMEEKWPRVADERSQAGSNILQKLKWRKITKSELLASR RYMEELQQAGKELLHSNPYAQEDIQDRLQSLNHKWEELNHKMEDRGDRLPQTRQQDQLLE LIQDVQETMEHLEGALQSTETGQDLCSSRRLQRQHCKLEDKSQALASKMDALISQTHNAF TSWTILEESQKCHQRFKSLQSKLATQHQQLQASVELYEFNLLSNLELTWAAEHMPNAALN CPAQCWHDAHSLQRKHKMLQAEVKGHVRHMYRVLSSGQRLAASGHPRAQHIVEQCQKLES HWAGLEQACEERAHCLQQAVTVQQYFLNVSEMETWVEEKRPLASSQDYGSNEEATSGLIR KHQMLQQEVALYWSSMEDLEQRFQTLAEFEAPERLGVVREKLQALRKLADERGQELEGTL RLHEFMREAEDLQSWLSSRKQVARGGDTFGEDHEHVLQLCTEFTKFQYQVETGAQRVETC RLLAESLQERGHSAAPKAHQRQQDIQASWSELCQLTQARSRLLNDAEITLRVHGDLLEVL TQIQEKATSLPNDVAQDLCGVENQLQRHERLERELAGMEQQVQELMKAGGRVQELCPGTQ ALAAVQQKQQAVTQAWEALQLRMEQRKAQLERGYLLVRFHTAVRDYTSWAASVHQELQME EASWEPHSLLLRLRAHQWLWAELKAKEELQQRATKMGQQALLAAGTPAKVG >ENSMUSP00000116766.1 pep:known chromosome:GRCm38:2:120076214:120085772:-1 gene:ENSMUSG00000074899.4 transcript:ENSMUST00000134935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn5 description:spectrin beta, non-erythrocytic 5 [Source:MGI Symbol;Acc:MGI:2685200] XVVPSTLHLLSRSVPDEKTQAQSSALLSSSLAMDSEYEIGHVRKLQAQHTHMQEKTFTNW INNIFRLGRVGIRIQNLYTEFADGAHLLRLLELISGEALPAPSPGRLRVHFLENNSHALA FLRAKVPIPFIGPENIVDGDQSLILGLLWVIILRFQISHISLDREEFGASAALLSAKEAL LVWCQRKTAGYTNVDITDFSRSWSDGLGFNALLHAHRPDLLDYGSLSPDRPLYNLSFAFR VAEQQLGIAQLLDPEDVAALHPDECSIMTYLSQYYHYFSRLQRGHTAQRRLAKILLQLQE TEVLQAQYKQLVADLLCWIEEKKMQLEARDFPDSLPAMRQLLAAFASFRAREKPPRWQQR GATEALLFQLQTTLRAQNRRPFLPREGLGPAELAQRWAELERAEACRSQAMQQRLLQLER LDTLARRFQCKASHRESFLNDVEQMLDQARASLTDPATVEAATQRLSVLEAAILPQEGRF QALGEMADILRQEEYHSWADMARRQKEITGRWRRLLRCLQEERKRMEDSKAVLSLLQGVE AVTHQLGELQVLASSTVYGQQLAEIVSLLQSHDLLEAQVSAHRTHVTHLVHQTTQLDSQG TSVEVLQAKALALAELHHSLVSLVRARRTLLEQTLQRAQFLRSCEEEEAWLQEHRQLMET AVLDRDLTQIATALQKHKALETELHRHQAVCVDLMQRGRNFSVREPLTQPDPLERAEAVQ GTWQLLWAGAARRRARLQTALLIGQYFSDSAEAASWLFQRQKQLESASCGKDQADAEALL LQHLRLEQDVRAFAAELRELEEQARAAAALV >ENSMUSP00000015771.2 pep:known chromosome:GRCm38:2:180325133:180334699:-1 gene:ENSMUSG00000015627.5 transcript:ENSMUST00000015771.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata5 description:GATA binding protein 5 [Source:MGI Symbol;Acc:MGI:109497] MYQSLALAQSPGQGTYADSGAFLHSSGTGSPVFVAPTRMPSMLPYLPSCEPGSQAPALAA HSSWTQAVAADSSAFGSGSPHPPAAHPPGATTFPFAHSPPGSGSGGSAGVRDGGAFQGAL LAREQYPTPLGRPMGASYPTTYPAYMSSDVAPSWTSGAFDSSILHGLQARPGGLPGRRTS FVPDFLEEFPGEGRECVNCGALSTPLWRRDGTGHYLCNACGLYHKMNGVNRPLVRPQKRL SSSRRSGLCCSNCHTATTTLWRRNSEGEPVCNACGLYMKLHGVPRPLAMKKESIQTRKRK PKNPAKIKGSSGSTANTTASSPTLLNSESSATTLKAESSLASPVCAGPTITSQASSPADE SLASSHLEFKFEPEDFAFTSSSMSPQAGLSGVLRQETWCALALA >ENSMUSP00000139270.1 pep:known chromosome:GRCm38:14:25924000:25927672:-1 gene:ENSMUSG00000072676.11 transcript:ENSMUST00000185006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem254a description:transmembrane protein 254a [Source:MGI Symbol;Acc:MGI:1196450] MGTATGAGYFQRGSLFWFTVITVSFGYYTWAVFWPQSIPYQSLGPLGPFTKYLVDHYHTF LRNGYWLAWLIHVGESLYALVLCKRKGITDVQAQLLWFLQTFLFGVASLSILIAYRSKRQ KHN >ENSMUSP00000098374.4 pep:known chromosome:GRCm38:14:25924170:25927250:-1 gene:ENSMUSG00000072676.11 transcript:ENSMUST00000100811.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem254a description:transmembrane protein 254a [Source:MGI Symbol;Acc:MGI:1196450] MVVAKSEARRDPTAYFRVARLWPSLITALGLGYFAWAVFWPQSIPYQSLGPLGPFTKYLV DHYHTFLRNGYWLAWLIHVGESLYALVLCKRKGITDVQAQLLWFLQTFLFGVASLSILIA YRSKRQKHN >ENSMUSP00000098376.4 pep:known chromosome:GRCm38:14:25924750:25927643:-1 gene:ENSMUSG00000072676.11 transcript:ENSMUST00000100813.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem254a description:transmembrane protein 254a [Source:MGI Symbol;Acc:MGI:1196450] MGTATGAGYFQRGSLFWFTVITVSFGYYTWAVFWPQSIPYQSLGPLGPFTKYLVDHYHTF LRNG >ENSMUSP00000037028.7 pep:known chromosome:GRCm38:2:5293457:5714515:-1 gene:ENSMUSG00000039145.16 transcript:ENSMUST00000044009.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk1d description:calcium/calmodulin-dependent protein kinase ID [Source:MGI Symbol;Acc:MGI:2442190] MARENGESSSSWKKQAEDIKKIFEFKETLGTGAFSEVVLAEEKATGKLFAVKCIPKKALK GKESSIENEIAVLRKIKHENIVALEDIYESPNHLYLVMQLVSGGELFDRIVEKGFYTEKD ASTLIRQVLDAVYYLHRMGIVHRDLKPENLLYYSQDEESKIMISDFGLSKMEGKGDVMST ACGTPGYVAPEVLAQKPYSKAVDCWSIGVIAYILLCGYPPFYDENDSKLFEQILKAEYEF DSPYWDDISDSAKDFIRNLMEKDPNKRYTCEQAARHPWIAGDTALSKNIHESVSAQIRKN FAKSKWRQAFNATAVVRHMRRLQLGSSLDSSNASVSSNLSLASQKDCLAPSTLCSFLSSS SGVAGVGAERRPRPTTVTTGHTGSK >ENSMUSP00000110638.3 pep:known chromosome:GRCm38:2:5298887:5676046:-1 gene:ENSMUSG00000039145.16 transcript:ENSMUST00000114987.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk1d description:calcium/calmodulin-dependent protein kinase ID [Source:MGI Symbol;Acc:MGI:2442190] MAEFVSWSCLNFRWSWIKGSRNSGAFSEVVLAEEKATGKLFAVKCIPKKALKGKESSIEN EIAVLRKIKHENIVALEDIYESPNHLYLVMQLVSGGELFDRIVEKGFYTEKDASTLIRQV LDAVYYLHRMGIVHRDLKPENLLYYSQDEESKIMISDFGLSKMEGKGDVMSTACGTPGYV APEVLAQKPYSKAVDCWSIGVIAYILLCGYPPFYDENDSKLFEQILKAEYEFDSPYWDDI SDSAKDFIRNLMEKDPNKRYTCEQAARHPWIAGDTALSKNIHESVSAQIRKNFAKSKWRQ AFNATAVVRHMRRLQLGSSLDSSNASVSSNLSLASQKDCLAPSTLCSFLSSSSGVAGVGA ERRPRPTTVTTGHTGSK >ENSMUSP00000032520.2 pep:known chromosome:GRCm38:7:18065929:18071813:1 gene:ENSMUSG00000030366.10 transcript:ENSMUST00000032520.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam12 description:carcinoembryonic antigen-related cell adhesion molecule 12 [Source:MGI Symbol;Acc:MGI:1914565] MMNSDALSCKDCTSWQELLLTVSILTCWLLPTTAQITIESVPPIAVEGDNVLLFVQNLPE NVQTLSWYKGGKLLKMFEIARHVIATNSSVMGPAHSGRETMLNNGSLMIKNVTRKDSGYY TLQILDTTSRREIMRAEFFVQRPILGFRKHPTSQLKIEFVPPRIEENDDVLLLVYNLPEN LQGFVWHKGVFPVDHFKIASHSFLTNSTMLGRTYLDRLTVCSDGSLLLSKVSQEDTGLYS LRTIPVDLMSESAIVYLKVNKHGRWASGNQQPPHQQRMSKKQIQKVDMCFSSVSVTIYYN >ENSMUSP00000104127.2 pep:known chromosome:GRCm38:7:18065939:18077986:1 gene:ENSMUSG00000030366.10 transcript:ENSMUST00000108487.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam12 description:carcinoembryonic antigen-related cell adhesion molecule 12 [Source:MGI Symbol;Acc:MGI:1914565] MMNSDALSCKDCTSWQELLLTVSILTCWLLPTTAQITIESVPPIAVEGDNVLLFVQNLPE NVQTLSWYKGGKLLKMFEIARHVIATNSSVMGPAHSGRETMLNNGSLMIKNVTRKDSGYY TLQILDTTSRREIMRAEFFVQRPILGFRKHPTSQLKIEFVPPRIEENDDVLLLVYNLPEN LQGFVWHKGVFPVDHFKIASHSFLTNSTMLGRTYLDRLTVCSDGSLLLSKVSQEDTGLYS LRTIPVDLMSESAIVYLKVNNCLALGWTLASCGSEAAIRCFCT >ENSMUSP00000104123.1 pep:known chromosome:GRCm38:7:18065991:18070427:1 gene:ENSMUSG00000030366.10 transcript:ENSMUST00000108483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam12 description:carcinoembryonic antigen-related cell adhesion molecule 12 [Source:MGI Symbol;Acc:MGI:1914565] MMNSDALSCKDCTSWQELLLTVSILTCWLLPTTAQITIESVPPIAVEGDNVLLFVQNLPE NVQTLSWYKGGKLLKMFEIARHVIATNSSVMGPAHSGRETMLNNGSLMIKNVTRKDSGYY TLQILDTTSRREIMRAEFFVQRPILGFRKHPTSQLKIEFVPPRIEENDDVLLLVYNLPEN LQGFVWHKGVFPVDHFKIASHSFLTNSTMLGRTYLDRLTVCSDGSLLLSKVSQEDTGLYS LRTIPVDLMSESAIVYLKVNSK >ENSMUSP00000035743.7 pep:known chromosome:GRCm38:2:150786735:150831758:1 gene:ENSMUSG00000033059.7 transcript:ENSMUST00000045441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pygb description:brain glycogen phosphorylase [Source:MGI Symbol;Acc:MGI:97828] MAKPLTDSERQKQISVRGIAGLGDVAEVRKSFNRHLHFTLVKDRNVATPRDYFFALAHTV RDHLVGRWIRTQQHYYERDPKRIYYLSLEFYMGRTLQNTMVNLGLQTACDEATYQLGLDL EELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEFGIFNQKIVNGWQVEEA DDWLRYGNPWEKARPEYMLPVHFYGRVEHTPDGVLWLDTQVVLAMPYDTPVPGYKNNTVN TMRLWSAKAPNDFKLKDFNVGDYIEAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFV VAATLQDIIRRFKSSRFGCRDPVRTCFETFPDKVAIQLNDTHPALSIPELMRILVDVEKV DWDKAWEITKKTCAYTNHTVLPEALERWPVSMFEKLLPRHLEIIYAINQRHLDHVAALFP GDVDRLRRMSVIEEGDCKRINMAHLCVIGSHAVNGVARIHSEIVKQSVFKDFYELEPEKF QNKTNGITPRRWLLLCNPGLAEIIVERIGEGFLTDLSQLKKLLSLVDDEAFIRDVAKVKQ ENKLKFSAQLEKEYKVKINPASMFDVHVKRIHEYKRQLLNCLHIITLYNRIKKDPAKAFV PRTVMIGGKAAPGYHMAKMIIKLVTSIGDVVNHDPVVGDRLRVIFLENYRVSLAEKVIPA ADLSQQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENLFIFGMRVEDVE ALDQKGYNAREFYERLPELRQAVDQISSGFFSPKDPDCFKDVVNMLMYHDRFKVFADYEA YIQCQAQVDRLYRNSKEWTKKVIRNIACSGKFSSDRTITEYAREIWGVEPSDLQIPPPNL PKD >ENSMUSP00000101718.1 pep:known chromosome:GRCm38:7:138890836:138909506:-1 gene:ENSMUSG00000078566.8 transcript:ENSMUST00000106112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip3 description:BCL2/adenovirus E1B interacting protein 3 [Source:MGI Symbol;Acc:MGI:109326] MSQSGEENLQGSWVELHFSNGNGSSVPASVSIYNGDMEKILLDAQHESGRSSSKSSHCDS PPRSQTPQDTNRAEIDSHSFGEKNSTLSEEDYIERRREVESILKKNSDWIWDWSSRPENI PPKEFLFKHPKRTATLSMRNTSVMKKGGIFSADFLKVFLPSLLLSHLLAIGLGIYIGRRL TTSTSTF >ENSMUSP00000026937.5 pep:known chromosome:GRCm38:5:113772748:113778288:1 gene:ENSMUSG00000025825.12 transcript:ENSMUST00000026937.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iscu description:iron-sulfur cluster assembly enzyme [Source:MGI Symbol;Acc:MGI:1913633] MAAATGAGRLRRAASALLLRSPRLPARELSAPARLYHKKVVDHYENPRNVGSLDKTSKNV GTGLVGAPACGDVMKLQIQVDEKGKIVDARFKTFGCGSAIASSSLATEWVKGKTVEEALT IKNTDIAKELCLPPVKLHCSMLAEDAIKAALADYKLKQESKKEEPEKQ >ENSMUSP00000107930.1 pep:known chromosome:GRCm38:5:113772803:113777798:1 gene:ENSMUSG00000025825.12 transcript:ENSMUST00000112311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iscu description:iron-sulfur cluster assembly enzyme [Source:MGI Symbol;Acc:MGI:1913633] MAAATGAGRLRRAASALLLRSPRLPARELSAPARLYHKKVVDHYENPRNVGSLDKTSKNV GTGLVGAPACGDVMKLQIQVDEKGKIVDARFKTFGCGSAIASSSLATEWVKGKTVEEALT IKNTDIAKELCLPPVKLHCSSESAPPARQLGSVQSLLFPPHGGRAAETGSSSPTLRFPSL PLLSLSVSAVPEATVHYLPHCPTRAFPHC >ENSMUSP00000107931.1 pep:known chromosome:GRCm38:5:113772803:113778273:1 gene:ENSMUSG00000025825.12 transcript:ENSMUST00000112312.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iscu description:iron-sulfur cluster assembly enzyme [Source:MGI Symbol;Acc:MGI:1913633] MAAATGAGRLRRAASALLLRSPRLPARELSAPARLYHKKVVDHYENPRNVGSLDKTSKNV GTGLVGAPACGDVMKLQIQVDEKGKIVDARFKTFGCGSAIASSSLATEWVKGKTVEEALT IKNTDIAKELCLPPVKLHCSSESAPPARQLGSVQSLLFPPHGGRAAETGSSSPTLRFPSL PLLSLSVSAVPEATVHYLPHCPTRAFPHC >ENSMUSP00000117973.1 pep:known chromosome:GRCm38:5:113772818:113777039:1 gene:ENSMUSG00000025825.12 transcript:ENSMUST00000123616.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Iscu description:iron-sulfur cluster assembly enzyme [Source:MGI Symbol;Acc:MGI:1913633] MAAATGAGRLRRAASALLLRSPRLPARELSAPARLYHKKVWAGEGVREPSRGPAAVAQIT STEEPRGRLCPAAAVTPSVPRLTAQKGGSGLWIIMKTLGTWDPLTRHLKMLEPDWWGLRH VVTS >ENSMUSP00000117053.1 pep:known chromosome:GRCm38:5:113773005:113778014:1 gene:ENSMUSG00000025825.12 transcript:ENSMUST00000145778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iscu description:iron-sulfur cluster assembly enzyme [Source:MGI Symbol;Acc:MGI:1913633] TSTEEPRGRLCPAAAVTPSVPRLTAQKGGSGLWIIMKTLGTWDPLTRHLKMLEPDWWGLR HVVTS >ENSMUSP00000120553.1 pep:known chromosome:GRCm38:5:113773025:113777945:1 gene:ENSMUSG00000025825.12 transcript:ENSMUST00000134881.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Iscu description:iron-sulfur cluster assembly enzyme [Source:MGI Symbol;Acc:MGI:1913633] XGRLCPAAAVTPSVPRLTAQKGGSGLWIIMKTLGTWDPLTRHLKMLEPDWWGLRHVVTS >ENSMUSP00000123237.1 pep:known chromosome:GRCm38:5:113773847:113777841:1 gene:ENSMUSG00000025825.12 transcript:ENSMUST00000145592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iscu description:iron-sulfur cluster assembly enzyme [Source:MGI Symbol;Acc:MGI:1913633] MTRSFPNLQLISDLETSGELFHLGLFLFSGFFVVDHYENPRNVGSLDKTSKNVGTGLVGA PACGDVMKLQIQVDEKGKIVDARFKTFGCGSAIASSSLATEWVKGKTVEEALTIKNTDIA KELCLPPVKLHCSMLA >ENSMUSP00000076483.1 pep:known chromosome:GRCm38:4:118781636:118782583:-1 gene:ENSMUSG00000061075.1 transcript:ENSMUST00000077247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1337 description:olfactory receptor 1337 [Source:MGI Symbol;Acc:MGI:3031171] MWMIPGQNQSWVSEFILIGFSSDPTTNSILFIVFLLMYLSSVLGNGLIIMLVCLDTQLHT PMYFFLCTISLLDMGYVTTTMPQMLVHLLAHSQTISFAGCWLQMYVFGALGMTECTFFVV MAYDQYVAICYPLRYTVILNWGLCIRLAGGSWICGLFSSLLHTFFTMSLPYCGPNRINHY FCEGPSVRSLACMDTHVIEMVDFVLSVFVVVIPISLIVASYIGIAMAILKIKSNQGRCKA FSTCASHLTVVTFFYAPASYIYMRPNSSYSPERDKQISLFYNVFTALLNPVVYSLRNKDI KRAFLKVMGHGRLAW >ENSMUSP00000061470.8 pep:known chromosome:GRCm38:10:100443902:100487350:-1 gene:ENSMUSG00000036676.14 transcript:ENSMUST00000058154.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc3 description:transmembrane and tetratricopeptide repeat containing 3 [Source:MGI Symbol;Acc:MGI:3036255] MLEGKMADINFKEVTLIVSVVAACYWNSLFCGFVFDDVSAILDNKDLHPSTPLKTLFQND FWGTPMSEERSHKSYRPLTVLTFRLNYLLSELKPMSYHLLNTVFHAVVSVIFLKVCRLFL DKRSSMIAALLFAVHPIHTEAVTGVVGRAELLSSVFFLAAFLSYTKSKGPDNSIVWTPIV LTVFLVAVATLCKEQGITVVGICCVYEVFVAQGYTLPMLCTVAGQFLRGKGSIPLSMLQT LVKLIVLMLSTLLLVVVRVQVIQSQLPVFTRFDNPAAVSPTPTRQLTFNYLLPVNAWLLL NPSELCCDWTMGTIPLIESFLDVRNLATFAFFCFLGALGIFSLRYPGDSSKTVLMALCLM ALPFIPASNLFFPVGFVVAERVLYVPSMGFCILVAHGWQKISNKSVLKKLSWVCLSMVIL THALKTLHRNWDWESEYTLFMSALKVNKNNAKLWNNVGHALENEKNFEKALKYFLQATHV QPDDIGAHMNVGRTYKNLNRTREAEASYMLAKSLMPQIIPGKKYAARIAPNHLNVYINLA NLIRANESRLEEADQLYRQAISMRPDFKQAYISRGELLLKMNKPLKAKEAYLKALELDRN NADLWYNLAIVYIELKEPNEALKNFNRALELNPKHKLALFNSAILMQESGEVKLRPEARK RLLNYVNEEPQDANGYFNLGMLAMDDKKDSEAESWMKKAIKLQPDFRSALFNLALLYSQT AKELKALPILEELLKYYPDHTKGLILKGDILMNQKKDIPGAKKCFEKILEMDPSNVQGKH NLCVVYFEEKELLKAERCLVETLALAPHEEYIQRHLSIVRDRISSSGIVEQPLAPADKTP GTEEREEIPSEDVKEISSESRPPQILKTNNNRNSKSNKQSTENADQDAPHKTTKDIKEIE KKRVAALKRLEEIERILNGE >ENSMUSP00000096921.3 pep:known chromosome:GRCm38:10:100448178:100487347:-1 gene:ENSMUSG00000036676.14 transcript:ENSMUST00000099318.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc3 description:transmembrane and tetratricopeptide repeat containing 3 [Source:MGI Symbol;Acc:MGI:3036255] MLEGKMADINFKEVTLIVSVVAACYWNSLFCGFVFDDVSAILDNKDLHPSTPLKTLFQND FWGTPMSEERSHKSYRPLTVLTFRLNYLLSELKPMSYHLLNTVFHAVVSVIFLKVCRLFL DKRSSMIAALLFAVHPIHTEAVTGVVGRAELLSSVFFLAAFLSYTKSKGPDNSIVWTPIV LTVFLVAVATLCKEQGITVVGICCVYEVFVAQGYTLPMLCTVAGQFLRGKGSIPLSMLQT LVKLIVLMLSTLLLVVVRVQVIQSQLPVFTRFDNPAAVSPTPTRQLTFNYLLPVNAWLLL NPSELCCDWTMGTIPLIESFLDVRNLATFAFFCFLGALGIFSLRYPGDSSKTVLMALCLM ALPFIPASNLFFPVGFVVAERVLYVPSMGFCILVAHGWQKISNKSVLKKLSWVCLSMVIL THALKTLHRNWDWESEYTLFMSALKVNKNNAKLWNNVGHALENEKNFEKALKYFLQATHV QPDDIGAHMNVGRTYKNLNRTREAEASYMLAKSLMPQIIPGKKYAARIAPNHLNVYINLA NLIRANESRLEEADQLYRQAISMRPDFKQAYISRGELLLKMNKPLKAKEAYLKALELDRN NADLWYNLAIVYIELKEPNEALKNFNRALELNPKHKLALFNSAILMQESGKFPENVSI >ENSMUSP00000121473.1 pep:known chromosome:GRCm38:10:100476640:100487267:-1 gene:ENSMUSG00000036676.14 transcript:ENSMUST00000128009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc3 description:transmembrane and tetratricopeptide repeat containing 3 [Source:MGI Symbol;Acc:MGI:3036255] MLEGKMADINFKEVTLIVSVVAACYWNSLFCGFVFDDVSAILDNKDLHPSTPLKTLFQND FWGTPMSEERSHKSYRPLTVLTFRLNYLLSELKPMSYHLLNTVFHAVVSVIFL >ENSMUSP00000120025.1 pep:known chromosome:GRCm38:10:100477976:100487326:-1 gene:ENSMUSG00000036676.14 transcript:ENSMUST00000134477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc3 description:transmembrane and tetratricopeptide repeat containing 3 [Source:MGI Symbol;Acc:MGI:3036255] MLEGKMADINF >ENSMUSP00000125552.1 pep:known chromosome:GRCm38:10:30608141:30618479:-1 gene:ENSMUSG00000019791.11 transcript:ENSMUST00000161074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hint3 description:histidine triad nucleotide binding protein 3 [Source:MGI Symbol;Acc:MGI:1914097] MAEKQAGLVGEPDPEGSSPGTSESWNYDSNCVFCRVAAGQEPKTELFHCENEDLVCFKDI KPAALYHYLVVPKKHIGSCKDLNKDHIEMVESMVAAGKTMLERNNFTDFTDVRMGFHVPP FCSISHLHLHVIAPVKEFGFLSKLVYRQDSYWFVTVDYLLEKLRK >ENSMUSP00000125125.1 pep:known chromosome:GRCm38:10:30608349:30618333:-1 gene:ENSMUSG00000019791.11 transcript:ENSMUST00000160646.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hint3 description:histidine triad nucleotide binding protein 3 [Source:MGI Symbol;Acc:MGI:1914097] MVAAGKTMLERNNFTDFTDVRMGFHVPPFCSISHLHLHVIAPVKEFGFLSKLVYRQDSYW FVTVDYLLEKLRK >ENSMUSP00000019925.5 pep:known chromosome:GRCm38:10:30608383:30618337:-1 gene:ENSMUSG00000019791.11 transcript:ENSMUST00000019925.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hint3 description:histidine triad nucleotide binding protein 3 [Source:MGI Symbol;Acc:MGI:1914097] AGLVGEPDPEGSSPGTSESWNYDSNCVFCRVAAGQEPKTELFHCENEDLVCFKDIKPAAL YHYLVVPKKHIGSCKDLNKDHIEMVESMVAAGKTMLERNNFTDFTDVSKLLCFRMGFHVP PFCSISHLHLHVIAPVKEFGFLSKLVYRQDSYWFVTVLITCLKN >ENSMUSP00000032629.9 pep:known chromosome:GRCm38:7:55842071:55932602:1 gene:ENSMUSG00000030447.15 transcript:ENSMUST00000032629.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyfip1 description:cytoplasmic FMR1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1338801] MAAQVTLEDALSNVDLLEELPLPDQQPCIEPPPSSLLYQPNFNTNFEDRNAFVTGIARYI EQATVHSSMNEMLEEGQEYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVT KLMNFMYFQRNAIERFCGEVRRLCHAERRKDFVSEAYLITLGKFINMFAVLDELKNMKCS VKNDHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNKITQSLQQQLEVISGYEELLAD IVNLCVDYYENRMYLTPSEKHMLLKVMGFGLYLMDGSVSNIYKLDAKKRINLSKIDKYFK QLQVVPLFGDMQIELARYIKTSAHYEENKSRWTCASSSSSPQYNICEQMIQIREDHMRFI SELARYSNSEVVTGSGRQEAQKTDAEYRKLFDLALQGLQLLSQWSAHVMEVYSWKLVHPT DKYSNKDCPDNAEEYERATRYNYTTEEKFALVEVIAMIKGLQVLMGRMESVFNHAIRHTV YAALQDFSQVTLREPLRQAIKKKKNVIQSVLQAIRKTVCDWETGHEPFNDPALRGEKDPK SGFDIKVPRRAVGPSSTQLYMVRTMLESLIADKSGSKKTLRSSLEGPTILDIEKFHRESF FYTHLINFSETLQQCCDLSQLWFREFFLELTMGRRIQFPIEMSMPWILTDHILETKEASM MEYVLYSLDLYNDSAHYALTKFNKQFLYDEIEAEVNLCFDQFVYKLADQIFAYYKVMAGS LLLDKRLRSECKNQGATIHLPPSNRYETLLKQRHVQLLGRSIDLNRLITQRVSAAMYKSL ELAIGRFESEDLTSVVELDGLLEINRMTHKLLSRYLTLDSFDAMFREANHNVSAPYGRIT LHVFWELNYDFLPNYCYNGSTNRFVRTVLPFSQEFQRDKQPNAQPQYLHGSKALNLAYSS IYGSYRNFVGPPHFQVICRLLGYQGIAVVMEELLKVVKSLLQGTILQYVKTLMEVMPKIC RLPRHEYGSPGILEFFHHQLKDIVEYAELKTVCFQNLREVGNAVLFCLLIEQSLSLEEVC DLLHAAPFQNILPRIHVKEGERVDAKMKRLESKYAPLHLVPLIERLGTPQQIAIAREGDL LTKERLCCGLSMFEVILTRIRTFLDDPIWRGPLPSNGVMHVDECVEFHRLWSAMQFVYCI PVGTHEFTVEQCFGDGLHWAGCMIIVLLGQQRRFAVLDFCYHLLKVQKHDGKDEIIKNVP LKKMVERIRKFQILNDEIITILDKYLKSGDGESTPVEHVRCFQPPIHQSLASS >ENSMUSP00000134509.1 pep:known chromosome:GRCm38:7:55842072:55878003:1 gene:ENSMUSG00000030447.15 transcript:ENSMUST00000173783.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyfip1 description:cytoplasmic FMR1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1338801] MAAQVTLEDALSNVDLLEELPLPDQQPCIEPPPSSLLYQPNFNTNFEDRNAFVTGIARYI EQATVHSSMNEMLEEGQEYAVMLYTWRSCSRAIPQRNAIERFCGEVRRLCHAERRKDFVS EAYLITLGKFINMFAVLDELKNMKCSVKNDHSAYKRAAQFLRKMADPQSIQESQNLSMFL ANHNKITQSLQQQLEVISGYEELLADIVNLCVDYYENRMYLTPSEKHMLL >ENSMUSP00000082353.4 pep:known chromosome:GRCm38:7:55842206:55930498:1 gene:ENSMUSG00000030447.15 transcript:ENSMUST00000085255.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyfip1 description:cytoplasmic FMR1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1338801] MAAQVTLEDALSNVDLLEELPLPDQQPCIEPPPSSLLYQPNFNTNFEDRNAFVTGIARYI EQATVHSSMNEMLEEGQEYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVT KLMNFMYFQRNAIERFCGEVRRLCHAERRKDFVSEAYLITLGKFINMFAVLDELKNMKCS VKNDHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNKITQSLQQQLEVISGYEELLAD IVNLCVDYYENRMYLTPSEKHMLLKVMGFGLYLMDGSVSNIYKLDAKKRINLSKIDKYFK QLQVVPLFGDMQIELARYIKTSAHYEENKSRWTCASSSSSPQYNICEQMIQIREDHMRFI SELARYSNSEVVTGSGRQEAQKTDAEYRKLFDLALQGLQLLSQWSAHVMEVYSWKLVHPT DKYSNKDCPDNAEEYERATRYNYTTEEKFALVEVIAMIKGLQVLMGRMESVFNHAIRHTV YAALQDFSQVTLREPLRQAIKKKKNVIQSVLQAIRKTVCDWETGHEPFNDPALRGEKDPK SGFDIKVPRRAVGPSSTQLYLVRTMAESLSSAELLRQLKSLGMERLLHVVNAFLRQSYTY PPLLTFGETLQQCCDLSQLWFREFFLELTMGRRIQFPIEMSMPWILTDHILETKEASMME YVLYSLDLYNDSAHYALTKFNKQFLYDEIEAEVNLCFDQFVYKLADQIFAYYKVMAGSLL LDKRLRSECKNQGATIHLPPSNRYETLLKQRHVQLLGRSIDLNRLITQRVSAAMYKSLEL AIGRFESEDLTSVVELDGLLEINRMTHKLLSRYLTLDSFDAMFREANHNVSAPYGRITLH VFWELNYDFLPNYCYNGSTNRFVRTVLPFSQEFQRDKQPNAQPQYLHGSKALNLAYSSIY GSYRNFVGPPHFQVICRLLGYQGIAVVMEELLKVVKSLLQGTILQYVKTLMEVMPKICRL PRHEYGSPGILEFFHHQLKDIVEYAELKTVCFQNLREVGNAVLFCLLIEQSLSLEEVCDL LHAAPFQNILPRIHVKEGERVDAKMKRLESKYAPLHLVPLIERLGTPQQIAIAREGDLLT KERLCCGLSMFEVILTRIRTFLDDPIWRGPLPSNGVMHVDECVEFHRLWSAMQFVYCIPV GTHEFTVEQCFGDGLHWAGCMIIVLLGQQRRFAVLDFCYHLLKVQKHDGKDEIIKNVPLK KMVERIRKFQILNDEIITILDKYLKSGDGESTPVEHVRCFQPPIHQSLASS >ENSMUSP00000127717.2 pep:known chromosome:GRCm38:7:55842255:55930471:1 gene:ENSMUSG00000030447.15 transcript:ENSMUST00000163845.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyfip1 description:cytoplasmic FMR1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1338801] MAAQVTLEDALSNVDLLEELPLPDQQPCIEPPPSSLLYQPNFNTNFEDRNAFVTGIARYI EQATVHSSMNEMLEEGQEYAVMLYTWRSCSRAIPQVKCNEQPNRVEIYEKTVEVLEPEVT KLMNFMYFQRNAIERFCGEVRRLCHAERRKDFVSEAYLITLGKFINMFAVLDELKNMKCS VKNDHSAYKRAAQFLRKMADPQSIQESQNLSMFLANHNKITQSLQQQLEVISGYEELLAD IVNLCVDYYENRMYLTPSEKHMLLKVMGFGLYLMDGSVSNIYKLDAKKRINLSKIDKYFK QLQVVPLFGDMQIELARYIKTSAHYEENKSRWTCASSSSSPQYNICEQMIQIREDHMRFI SELARYSNSEVVTGSGRQEAQKTDAEYRKLFDLALQGLQLLSQWSAHVMEVYSWKLVHPT DKYSNKDCPDNAEEYERATRYNYTTEEKFALVEVIAMIKGLQVLMGRMESVFNHAIRHTV YAALQDFSQVTLREPLRQAIKKKKNVIQSVLQAIRKTVCDWETGHEPFNDPALRGEKDPK SGFDIKVPRRAVGPSSTQLYMVRTMLESLIADKSGSKKTLRSSLEGPTILDIEKFHRESF FYTHLINFSETLQQCCDLSQLWFREFFLELTMGRRIQFPIEMSMPWILTDHILETKEASM MEYVLYSLDLYNDSAHYALTKFNKQFLYDEIEAEVNLCFDQFVYKLADQIFAYYKVMAGS LLLDKRLRSECKNQGATIHLPPSNRYETLLKQRHVQLLGRSIDLNRLITQRVSAAMYKSL ELAIGRFESEDLTSVVELDGLLEINRMTHKLLSRYLTLDSFDAMFREANHNVSAPYGRIT LHVFWELNYDFLPNYCYNGSTNRFVRTVLPFSQEFQRDKQPNAQPQYLHGSKALNLAYSS IYGSYRNFVGPPHFQVICRLLGYQGIAVVMEELLKVVKSLLQGTILQYVKTLMEVMPKIC RLPRHEYGSPGILEFFHHQLKDIVEYAELKTVCFQNLREVGNAVLFCLLIEQSLSLEEVC DLLHAAPFQNILPRIHVKEGERVDAKMKRLESKYAPLHLVPLIERLGTPQQIAIAREGDL LTKERLCCGLSMFEVILTRIRTFLDDPIWRGPLPSNGVMHVDECVEFHRLWSAMQFVYCI PVGTHEFTVEQCFGDGLHWAGCMIIVLLGQQRRFAVLDFCYHLLKVQKHDGKDEIIKNVP LKKMVERIRKFQILNDEIITILDKYLKSGDGESTPVEHVRCFQPPIHQSLASS >ENSMUSP00000146194.1 pep:known chromosome:GRCm38:7:55871859:55923960:1 gene:ENSMUSG00000030447.15 transcript:ENSMUST00000206862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyfip1 description:cytoplasmic FMR1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1338801] MAAQVTLEDALSNVDLLEELPLPDQQPCIEPPPSSLLYQNEMLEEGQEYAVMLYTWRSCS RAIPQVKCNEQPNRVEIYEKTVEVLEPEVTKLMNFMYFQRNAIERFCGEVRRLCHAERRK DFVSEAYLITLGKFINMFAVLDELKNMKCSVKNDHSAYKRAAQFLRKMADPQSIQESQNL SMFLANHNKITQSLQQQLEVISGYEELLADIVNLCVDYYENRMYLTPSEKHMLLKVMGFG LYLMDGSVSNIYKLDAKKRINLSKIDKYFKQLQVVPLFGDMQIELARYIKTSAHYEENKS RWTCASSSSSPQYNICEQMIQIREDHMRFISELARYSNSEVVTGSGRQEAQKTDAEYRKL FDLALQGLQLLSQWSAHVMEVYSWKLVHPTDKYSNKDCPDNAEEYERATRYNYTTEEKFA LVEVIAMIKGLQVLMGRMESVFNHAIRHTVYAALQDFSQVTLREPLRQAIKKKKNVIQSV LQAIRKTVCDWETGHEPFNDPALRGEKDPKSGFDIKVPRRAVGPSSTQLYMVRTMLESLI ADKSGSKKTLRSSLEGPTILDIEKFHRESFFYTHLINFSETLQQCCDLSQLWFREFFLEL TMGRRIQFPIEMSMPWILTDHILETKEASMMEYVLYSLDLYNDSAHYALTKFNKQFLYDE IEAEVNLCFDQFVYKLADQIFAYYKVMAGSLLLDKRLRSECKNQGATIHLPPSNRYETLL KQRHVQLLGRSIDLNRLITQRVSAAMYKSLELAIGRFESEDLTSVVELDGLLEINRMTHK LLSRYLTLDSFDAMFREANHNVSAPYGRITLHVFWELNYDFLPNYCYNGSTNRFVRTVLP FSQEFQRDKQPNAQPQYLHGSKALNLAYSSIYGSYRNFVGPPHFQVICRLLGYQGIAVVM EELLKVVKSLLQGTILQYVKTLMEVMPKICRLPRHEYGSPGILEFFHHQLKDIVEYAELK TVCFQNLRE >ENSMUSP00000118402.1 pep:known chromosome:GRCm38:14:65598546:65648531:1 gene:ENSMUSG00000061356.13 transcript:ENSMUST00000150897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nuggc description:nuclear GTPase, germinal center associated [Source:MGI Symbol;Acc:MGI:2685446] MEEMEALVGVVPHSADCDLFKEPVRKRRRLHRDRQFQAFPSAEQSALKEYEKLECRTRRV LSNTYQKLIQSVFLDDSIPSGLKYLINRLLALIEKSPLEPVYVGFLGITGAGKSSLINAL IRQAMFLPVSGESVCTSCIVQVSSGCCEQYEAKIHLLSDQEWKAELKDLTKLLHRAEQSG EEEADLWDRDDATEEAAQKLRMLYGHGAERRHYEELLRLKPRGRIPNSRTITLKAEEAGE LSVKLDPYIRTRRRDWDGESAETQIWPLIKYVEVILPKSALIPEGVVLVDIPGTGDFNSK RDKMWKKTIDKCSVIWVISDIERVSGGKTHEDLLSESIKACQRGFCRDIALVVTKTDKLH LQEYLRERKMGNQAIQSQREAVLQRNEIIKLQRKRMLKEKLKRKLPADSKVLEASDLVYT VSAHEYWQRTILTEEESEIPKLREYIRKRILDKKRRLVTKYVTEAFGLLLLTDTLNTEES LLTEELNTGGLRQFVEEKMELLEKAIEQCFARMEQPLQTGVQVAMTSYRRILGSCLVRSR GNQGFHQTLKAVCLKNGVYASRTLARIDLNEALSQPIYDQIDPVFGGIFRDGKPTAPALM QHIDAFKHSLEERMAEVGVRSGWKQDGYKRSFLIQEISAILGGLESHILRRKRKIYKSVT SSIQNDLKPCYEEAAQITGKKACERMKDVIRRGVERQVAEGLFERAQERMWHQFRQLKHG ITEKVKGSITTMLTLAAPQGVGLCKELADVRNEQKEMEKLYRSLREVAENAQLRRSMQDF LLRMSPSKAGPHGTKL >ENSMUSP00000078434.6 pep:known chromosome:GRCm38:14:65605267:65648444:1 gene:ENSMUSG00000061356.13 transcript:ENSMUST00000079469.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nuggc description:nuclear GTPase, germinal center associated [Source:MGI Symbol;Acc:MGI:2685446] MDLDSMKSKEELGVAPLGTGYSSSFQGVSPADCDLFKEPVRKRRRLHRDRQFQAFPSAEQ SALKEYEKLECRTRRVLSNTYQKLIQSVFLDDSIPSGLKYLINRLLALIEKSPLEPVYVG FLGITGAGKSSLINALIRQAMFLPVSGESVCTSCIVQVSSGCCEQYEAKIHLLSDQEWKA ELKDLTKLLHRAEQSGEEEADLWDRDDATEEAAQKLRMLYGHGAERRHYEELLRLKPRGR IPNSRTITLKAEEAGELSVKLDPYIRTRRRDWDGESAETQIWPLIKYVEVILPKSALIPE GVVLVDIPGTGDFNSKRDKMWKKTIDKCSVIWVISDIERVSGGKTHEDLLSESIKACQRG FCRDIALVVTKTDKLHLQEYLRERKMGNQAIQSQREAVLQRNEIIKLQRKRMLKEKLKRK LPADSKVLEASDLVYTVSAHEYWQRTILTEEESEIPKLREYIRKRILDKKRRLVTKYVTE AFGLLLLTDTLNTEESLLTEELNTGGLRQFVEEKMELLEKAIEQCFARMEQPLQTGVQVA MTSYRRILGSCLVRSRGNQGFHQTLKAVCLKNGVYASRTLARIDLNEALSQPIYDQIDPV FGGIFRDGKPTAPALMQHIDAFKHSLEERMAEVGVRSGWKQDGYKRSFLIQEISAILGGL ESHILRRKRKIYKSVTSSIQNDLKPCYEEAAQITGKKACERMKDVIRRGVERQVAEGLFE RAQERMWHQFRQLKHGITEKVKGSITTMLTLAAPQGVGLCKELADVRNEQKEMEKLYRSL REVAENAQLRRSMQDFLLRMSPSKAGPHGTKL >ENSMUSP00000044846.5 pep:known chromosome:GRCm38:17:36977701:36981237:-1 gene:ENSMUSG00000064308.10 transcript:ENSMUST00000039846.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410137M14Rik description:RIKEN cDNA 2410137M14 gene [Source:MGI Symbol;Acc:MGI:1924047] MTPPKTNVAHHSTLEGDVTLRCWALDFHPAVIILTWQRDEKDLTQDMDLVETRPAEDEAF QKWAAVVLPSGEEHKYTCHVQPEGLSEPLILKWSKEPSFSPTTPITGITAGLVLLPVLVT GGLSATIPLEYCLRSRTKSFLWNQIVLHSVLCLYPPRDGEGC >ENSMUSP00000133725.1 pep:known chromosome:GRCm38:17:36977838:36981217:-1 gene:ENSMUSG00000064308.10 transcript:ENSMUST00000173707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410137M14Rik description:RIKEN cDNA 2410137M14 gene [Source:MGI Symbol;Acc:MGI:1924047] MTPPKTNVAHHSTLEGDVTLRCWALDFHPAVIILTWQRDEKDLTQDMDLVETRPAEDEAF QKWAAVVLPSGEEHKYTCHVQPEGLSEPLILKWSKEPSFSPTTPITGITAGLVLLPVLVT GGALP >ENSMUSP00000103067.1 pep:known chromosome:GRCm38:11:99537485:99543158:-1 gene:ENSMUSG00000059169.9 transcript:ENSMUST00000107443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt40 description:keratin 40 [Source:MGI Symbol;Acc:MGI:3629968] MASDGSPSCCSSEPCAGASGCATASFCPTNTTCLPNTCSTSRCQTPSFLCRASLPACCLS PCYLAGGCNSPCLVGSCAWCEEGSFNSNEKETMQFLNDRLASYLERVRSLEENNAELECR IREQCEPNAPLVCPDYQRYFDTIEELQQKEVNLLREQLGDRLSVELDTAPTVDLNKVLDE MRCQYERVLANNRRDAEEWFAAQTEELNQQQKSSAEQLEGCQTEMLELKRKANTLEIELQ AQQTLTESLECTVAETEAQYSTQLAQMQCLIDSVEHQLAEIRCDLERQNQEYQVLLDTKA RLECEINTYRGLLEKEDSRLPCNPGSGAPMPNSTCEPCSNSMCEPCSAYVICTVENCCA >ENSMUSP00000073869.3 pep:known chromosome:GRCm38:11:99537485:99543158:-1 gene:ENSMUSG00000059169.9 transcript:ENSMUST00000074253.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt40 description:keratin 40 [Source:MGI Symbol;Acc:MGI:3629968] MASDGSPSCCSSEPCAGASGCATASFCPTNTTCLPNTCSTSRCQTPSFLCRASLPACCLS PCYLAGGCNSPCLVGSCAWCEEGSFNSNEKETMQFLNDRLASYLERVRSLEENNAELECR IREQCEPNAPLVCPDYQRYFDTIEELQQKILCTKAENSRLAVQVDNCKLAADDFRSKYES ELSLRQLVENDITGLRGILGELTLCKSDLEAHVESMKDDLICLKKGHEEEVNLLREQLGD RLSVELDTAPTVDLNKVLDEMRCQYERVLANNRRDAEEWFAAQTEELNQQQKSSAEQLEG CQTEMLELKRKANTLEIELQAQQTLTESLECTVAETEAQYSTQLAQMQCLIDSVEHQLAE IRCDLERQNQEYQVLLDTKARLECEINTYRGLLEKEDSRLPCNPGSGAPMPNSTCEPCSN SMCEPCSAYVICTVENCCA >ENSMUSP00000000964.4 pep:known chromosome:GRCm38:6:52155590:52158317:-1 gene:ENSMUSG00000029844.9 transcript:ENSMUST00000000964.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa1 description:homeobox A1 [Source:MGI Symbol;Acc:MGI:96170] MDNARMNSFLEYPILGSGDSGTCSARAYPSDHGITTFQSCAVSANSCGGDDRFLVGRGVQ ISSPHHHHHHHHHHHPQTATYQTSGNLGISYSHSSCGPSYGAQNFSAPYGPYGLNQEADV SGGYPPCAPAVYSGNLSTPMVQHHHHHQGYAGGTVGSPQYIHHSYGQEQQTLALATYNNS LSPLHASHQEACRSPASETSSPAQTFDWMKVKRNPPKTGKVGEYGYVGQPNAVRTNFTTK QLTELEKEFHFNKYLTRARRVEIAASLQLNETQVKIWFQNRRMKQKKREKEGLLPISPAT PPGSDEKTEESSEKSSPSPSAPSPASSTSDTLTTSH >ENSMUSP00000113724.1 pep:known chromosome:GRCm38:6:52155595:52158317:-1 gene:ENSMUSG00000029844.9 transcript:ENSMUST00000120363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa1 description:homeobox A1 [Source:MGI Symbol;Acc:MGI:96170] MDNARMNSFLEYPILGSGDSGTCSARAYPSDHGITTFQSCAVSANSCGGDDRFLVGRGVQ ISSPHHHHHHHHHHHPQTATYQTSGNLGISYSHSSCGPSYGAQNFSAPYGPYGLNQEADP PRSLSFPCFRDVFSSADL >ENSMUSP00000021770.7 pep:known chromosome:GRCm38:13:23953456:23991214:-1 gene:ENSMUSG00000021337.7 transcript:ENSMUST00000021770.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgn description:secretagogin, EF-hand calcium binding protein [Source:MGI Symbol;Acc:MGI:2384873] MDNARRKTPARLDAACFWQIWQRFDKEEKGYIRETELDAFFDHLLAKSGTEDTLMEENVQ KVKEQLMTSHNVSKEGRILMKELASMFLSEDENFLLFFRLETPLDNSVEFMQIWRKYDAD SSGFISAAELCNFLRDLFLHHKKNISEAELEEYTSTMMKIFDKNKDGRLDLNDLARILAL QENFLLQFKMDASSTEERKRDFEKIFAHYDVSKTGALEGPEVDGFVKDMMELVQPSISGV DLDKFREILLRHCDVNKDGKIQKSELALCLGLKINP >ENSMUSP00000135592.1 pep:known chromosome:GRCm38:3:64490821:64509735:-1 gene:ENSMUSG00000068999.12 transcript:ENSMUST00000177184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r5 description:vomeronasal 2, receptor 5 [Source:MGI Symbol;Acc:MGI:3649074] MTSRNKCLILGLTVFLWVGVHAQSYIDTCRLLRKFNLTGYVEAENHSMIIGGLFPIHTRT IPINNSDTELEFESAMCEGFNFRGFRWMKTMIHTIKEINERKDILPNHTLGYQIFDTCFS VSKAMETAMTLLTGQEEKKPNYRNSTGKYLVGIIGSGGSSLSVTAARIFGLYYMPQVGYT SSSAILSDKFQFPSFYRSIPSDKIQIEAMVTLIIHFGWVWVGAIASEDDYGKYGVKSFRE KMETANLCVAFSETIPKVYSNERMQKAVKAIKSSSAKVIVLYTSDIDLSPFVLEVIHHNI THRTWIASEAWITSALIAKPEYFPYFGGTIGFAIPRSVIPGLKEFLYDVHPSKDPNDVLT IEFWQTAFNCTWPNNSVPYNVDHRVNMTGKEDRLYDMSDQLCTGEEKLEDLKNTYLDTSQ LRITNNVRQAVYLMAHALDHLSNCDLLEEQRNNTACSHIPDFEPKELLPYFKKLKITTHD GAEIELNGNGDVDSGYYDILNWHMGDAGEITFVKVGEYIFNSTKYELVLPKNSSLFWNTE SSRLPDSVCTKLCAPGTRKGIRPGQPLCCFACIPCADGYVSEKPGQRECDPCGEDDWSNA EKSKCVPKLVEFLAYEEALGFTLVILSIFGALVVLAVTAVYVVHRHTPLVKANDRELSFL IQMSLGITVLSSMLFIGKPCNWSCMARQITLALGFCLCLSSILGKTISLFFAYRISISKT RLISMHPIFRKLIVLICVLAEICVCAVYLVLEPPKMFKNIEIQNVKIIFECSEGSIEFLC SIFGFDVLLALLCFLTTFVARQLPDNYYEGKCITFGILVFFIVWISFVPAYLSTKGKFKV AVEIFAILASSYGLLGCVFLPKCFIILLRPKRNTDETVGGRVPTVDRSIQLTSASVSSEL NSTTVSTVLDE >ENSMUSP00000131925.1 pep:known chromosome:GRCm38:3:64490821:64507685:-1 gene:ENSMUSG00000068999.12 transcript:ENSMUST00000170270.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r5 description:vomeronasal 2, receptor 5 [Source:MGI Symbol;Acc:MGI:3649074] MKTMIHTIKEINERKDILPNHTLGYQIFDTCFSVSKAMETAMTLLTGQEEKKPNYRNSTG KYLVGIIGSGGSSLSVTAARIFGLYYMPQVGYTSSSAILSDKFQFPSFYRSIPSDKIQIE AMVTLIIHFGWVWVGAIASEDDYGKYGVKSFREKMETANLCVAFSETIPKVYSNERMQKA VKAIKSSSAKVIVLYTSDIDLSPFVLEVIHHNITHRTWIASEAWITSALIAKPEYFPYFG GTIGFAIPRSVIPGLKEFLYDVHPSKDPNDVLTIEFWQTAFNCTWPNNSVPYNVDHRVNM TGKEDRLYDMSDQLCTGEEKLEDLKNTYLDTSQLRITNNVRQAVYLMAHALDHLSNCDLL EEQRNNTACSHIPDFEPKELLPYFKKLKITTHDGAEIELNGNGDVDSGYYDILNWHMGDA GEITFVKVGEYIFNSTKYELVLPKNSSLFWNTESSRLPDSVCTKLCAPGTRKGIRPGQPL CCFACIPCADGYVSEKPGQRECDPCGEDDWSNAEKSKCVPKLVEFLAYEEALGFTLVILS IFGALVVLAVTAVYVVHRHTPLVKANDRELSFLIQMSLGITVLSSMLFIGKPCNWSCMAR QITLALGFCLCLSSILGKTISLFFAYRISISKTRLISMHPIFRKLIVLICVLAEICVCAV YLVLEPPKMFKNIEIQNVKIIFECSEGSIEFLCSIFGFDVLLALLCFLTTFVARQLPDNY YEGKCITFGILVFFIVWISFVPAYLSTKGKFKVAVEIFAILASSYGLLGCVFLPKCFIIL LRPKRNTDETVGGRVPTVDRSIQLTSASVSSELNSTTVSTVLDE >ENSMUSP00000040584.5 pep:known chromosome:GRCm38:4:129615126:129619099:-1 gene:ENSMUSG00000040795.12 transcript:ENSMUST00000046675.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcc description:IQ motif containing C [Source:MGI Symbol;Acc:MGI:2446212] MDPDLFLRKVSTLQAGFRGFLVRRQFQSLRAEYEAIVQEIEGDLSTLQWTGGWIPKPVFL PEAKSHQSWKAEKISNPEQKLWSHSPHKDSEKELIWEEMVQKKTEKSPANPGSLCRDDSA WPQAEQGRKASQGNSQDTSVSKMENADLGLSQSQQELQEQRNHLAMELLWLQQAINSRKE YLILKQTLRSPEASQTRDKHRGQAYEKTSLHSSCVLDNQSYRDRIIGESHHAEDSSHKGR LKPQKHPDSVTSAGKTTAGSKGRELCYRNSASQLPAALESQAGGDRVTKGPDHGGQPFKE TSLQQLKVLEDQIPGDLKFRSPCSRKAETQLPTLSENQNIEDRYSRKPSRSAGPCDLNIL EGHMIWDETLAGQEQGSLDLIRTKPPKSQPPSAGSSGHGNTSELSPEGWKNRGILQWR >ENSMUSP00000113036.1 pep:known chromosome:GRCm38:4:129618099:129619096:-1 gene:ENSMUSG00000040795.12 transcript:ENSMUST00000121442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcc description:IQ motif containing C [Source:MGI Symbol;Acc:MGI:2446212] MDPDLFLRKVSTLQAGFRGFLVRRQFQSLRAEYEAIVQEIEGDLSTLQWTGGWIPKPVFL PEPGTPRGPKRTLDPLELELTTVNHRMGAGN >ENSMUSP00000041503.6 pep:known chromosome:GRCm38:6:97210689:97233315:1 gene:ENSMUSG00000035199.6 transcript:ENSMUST00000044681.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6ip5 description:ADP-ribosylation factor-like 6 interacting protein 5 [Source:MGI Symbol;Acc:MGI:1929501] MDVNLAPLRAWDDFFPGSDRFARPDFRDISKWNNRVVSNLLYYQTNYLVVAAMMISVVGF LSPFNMILGGVIVVLVFMGFVWAAHNKDILRRMKKQYPTAFVMVVMLASYFLISMFGGVM VFVFGITLPLLLMFIHASLRLRNLKNKLENKMEGIGLKKTPMGIILDALEQQEDNINKFA DYISKARE >ENSMUSP00000090747.2 pep:known chromosome:GRCm38:8:122619471:122622739:-1 gene:ENSMUSG00000069867.2 transcript:ENSMUST00000093059.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpn1l description:poly(A)binding protein nuclear 1-like [Source:MGI Symbol;Acc:MGI:2685954] MEPYLSNELFPPPTEAWLQTVSSDPEAQGWGAWGRTEKTSLVPRAGSRAGSDKEAEENED ASFLLSLLEPENLAKSPVFNQELEAIKLKLWAMEHAEAQPEPPCVQRKATEEERAEVRQL LSPETVDCFFSRTSKENVEADHRSVFVGNVDYGGSAAELEAYFSPCGEIHRVTILCDKFS GHPKGYAYIEFASHRSVKAAVGLDESTFRGRVIKVLPKRTNFPGISSTDRGGLRTHSGNR AAFLHGSLHRKARLRAHGRSRGHGGAPQWFSPY >ENSMUSP00000024032.3 pep:known chromosome:GRCm38:4:122689275:122705129:1 gene:ENSMUSG00000023263.3 transcript:ENSMUST00000024032.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9530002B09Rik description:RIKEN cDNA 9530002B09 gene [Source:MGI Symbol;Acc:MGI:1924682] MVLPRSLWLLSVCLLSWCCDARSLGPQDLQLESWQITFVFLHLKGETISMDDIEVMFQMN KAGAYVPSVETEKAVMEDVDNKALYVSSHAFKILPKQPKLRHGHTDFKFPEPSQMWKVLM NDDSTRYKLKFWQRT >ENSMUSP00000100652.1 pep:known chromosome:GRCm38:4:118808855:118809814:-1 gene:ENSMUSG00000066061.4 transcript:ENSMUST00000105035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1335 description:olfactory receptor 1335 [Source:MGI Symbol;Acc:MGI:3031168] MWRMSGQNQSWVSEFILLGFSSDSTTNSILFIVFLLIYLSSVLGNGLIIMLVCLDTQLHT PMYFFLSTLSLLDMGYVTTTMPQMLVHLLAHSQTISFASCWLQMYVFGALGMTESILFVV MAYDRYVAICYPLRYTVILNWDLCIRLAAGTWICGFFSSLLNTFFTMSLPYCGPNRVNHY LCEGPSVRSLACMDTHFVEMVDLVLSVFVVVTPISLIVASYIHIAKAILKIKSTQGRCKA FSTCASHLTVVTLFYLPATYIYMRPNSSSSSERDKQISLFYTAFTPLLNPVVYSLRNKDI KRAFLKVMFYGRWTRGPQW >ENSMUSP00000081339.3 pep:known chromosome:GRCm38:4:118808797:118809944:-1 gene:ENSMUSG00000066061.4 transcript:ENSMUST00000084313.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1335 description:olfactory receptor 1335 [Source:MGI Symbol;Acc:MGI:3031168] MCQTTHRIMDSLTVSTMWRMSGQNQSWVSEFILLGFSSDSTTNSILFIVFLLIYLSSVLG NGLIIMLVCLDTQLHTPMYFFLSTLSLLDMGYVTTTMPQMLVHLLAHSQTISFASCWLQM YVFGALGMTESILFVVMAYDRYVAICYPLRYTVILNWDLCIRLAAGTWICGFFSSLLNTF FTMSLPYCGPNRVNHYLCEGPSVRSLACMDTHFVEMVDLVLSVFVVVTPISLIVASYIHI AKAILKIKSTQGRCKAFSTCASHLTVVTLFYLPATYIYMRPNSSSSSERDKQISLFYTAF TPLLNPVVYSLRNKDIKRAFLKVMFYGRWTRGPQW >ENSMUSP00000079823.1 pep:known chromosome:GRCm38:2:87575086:87576030:1 gene:ENSMUSG00000062272.3 transcript:ENSMUST00000081034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1129 description:olfactory receptor 1129 [Source:MGI Symbol;Acc:MGI:3030963] MKFTEIRAEDNASTVTEFLLLGFSDLPNLQGILFGMFSIIYLIILVGNSFIIVITRIDPA LQKPMYFFLANFSSLEICYVSVTLPRILFSIAAQERKISVLSCATQLCFFLMLGATECFL LAVMSYDRYVAICNPLHYPLVMNPTKCTQLAAASWLGGIPVQIGQTCQIFSLHFCNSNQI NHFFCDIPPFLKLACGDTSINELSVYLVAILFAAVPFMLILASYSKIIATILKLPTATGR AKAFSTCSSHLLVVFLFFGSATITYLRPKSTHSPGTDKLFSLFYTIVTPMLNPLIYSLRN KEVIAALRKLLRIK >ENSMUSP00000101968.1 pep:known chromosome:GRCm38:4:118829485:118836273:-1 gene:ENSMUSG00000073770.3 transcript:ENSMUST00000106361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1333 description:olfactory receptor 1333 [Source:MGI Symbol;Acc:MGI:3031167] MSVPGQNQSWVSEFILIGFSSDPTTNSILFIVFLLIYLSSVLGNGLIIMLVCLDTQLHTP MYFFLSTLSLLDMSFVTTTMPQMLVHLLAHSQTISFASCCLQMFVFGALGITECTFFVVM AYDRYVAICYPLRYTVILNWGLCMRLAAGSWICGFFSSLLHTFFTMSLPYCGPNRVNHYL CEGPSVRSLACMDTHIIEMVDLVLSVFLVVTPISLIVASYIRIAMAILKIKSTEGRCKAF STCASHLTVVTFFYAPASYIYMRPNSSYSPEQDKQISLFYSAFTPLLNPVVYSLRNKDIK RAFFKVMGYDRCASGPGW >ENSMUSP00000075398.2 pep:known chromosome:GRCm38:4:118829485:118830440:-1 gene:ENSMUSG00000073770.3 transcript:ENSMUST00000076019.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1333 description:olfactory receptor 1333 [Source:MGI Symbol;Acc:MGI:3031167] MIPGQNQSWVSEFILIGFSSDPTTNSILFIVFLLIYLSSVLGNGLIIMLVCLDTQLHTPM YFFLSTLSLLDMSFVTTTMPQMLVHLLAHSQTISFASCCLQMFVFGALGITECTFFVVMA YDRYVAICYPLRYTVILNWGLCMRLAAGSWICGFFSSLLHTFFTMSLPYCGPNRVNHYLC EGPSVRSLACMDTHIIEMVDLVLSVFLVVTPISLIVASYIRIAMAILKIKSTEGRCKAFS TCASHLTVVTFFYAPASYIYMRPNSSYSPEQDKQISLFYSAFTPLLNPVVYSLRNKDIKR AFFKVMGYDRCASGPGW >ENSMUSP00000046502.6 pep:known chromosome:GRCm38:2:180456245:180474867:1 gene:ENSMUSG00000038963.15 transcript:ENSMUST00000038259.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco4a1 description:solute carrier organic anion transporter family, member 4a1 [Source:MGI Symbol;Acc:MGI:1351866] MPQHAMGDTHFLSLPKHLFTSTSSATDSGCDTPPSSRASPASLRSAHGTLGSSSQPLFEP QAEKRSSQTAREVQYVSSGPQNSLCGWQAFTPKCLQVFNTPKGFLFFLCAASFLQGMTVN GFINTVITSIERRFDLHSYQSGLIASSYDIAACLCLTFVSYFGGNGHKPRWLGWGVLVLG IGSLVFALPHFTAGRYEVEMDEGLGTGTCLTNQSHVECKDSASGLSNYRLIFMLGQLLHG VGATPLYTLGVTYLDENVKSSYSPIYIAIFYTAAILGPAAGYLIGGAMLNVYTEVGQRTE LTTDSPLWVGAWWIGFLGTGIAAFLIAIPILGYPRQLPGSQRYVVMRAAETQQLKDHSRG AVSNPAFGKTVRDLPLSIWLLLRNPTFILLCLAGATEATLIAGMSTFGPKFFEAQFSLSA SEAATLFGYLVVPAGGGGTLLGGFLVNKFKLRGSGIIRFCLFCTLTSLLAFFVFLMHCPN VHMAGVTTGYVGSLLPKGQLDLKAACNAIYCCQPKHYSPLCGSDGTMYYSPCYAGCPADA ETDLGGQKVYRGCSCILEKASSGWGNATAGKCASTCQSKPFLLVLVFVVIIFTFLSSIPA LTATLRCVSDRQRSFALGIQWIVVRTLGSIPGPIAFGWVIDKACLLWQDQCGHQGSCFVY ENEAMSRYMLIAGLTFKVLGFLFFVAAYFLYKSPSVSSDGLEASLPSQSSASDSPTEQLQ SNV >ENSMUSP00000045023.7 pep:known chromosome:GRCm38:2:180460979:180474867:1 gene:ENSMUSG00000038963.15 transcript:ENSMUST00000038225.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco4a1 description:solute carrier organic anion transporter family, member 4a1 [Source:MGI Symbol;Acc:MGI:1351866] MPQHAMGDTHFLSLPKHLFTSTSSATDSGCDTPPSSRASPASLRSAHGTLGSSSQPLFEP QAEKRSSQTAREVQYVSSGPQNSLCGWQAFTPKCLQVFNTPKGFLFFLCAASFLQGMTVN GFINTVITSIERRFDLHSYQSGLIASSYDIAACLCLTFVSYFGGNGHKPRWLGWGVLVLG IGSLVFALPHFTAGRYEVEMDEGLGTGTCLTNQSHVECKDSASGLSNYRLIFMLGQLLHG VGATPLYTLGVTYLDENVKSSYSPIYIAIFYTAAILGPAAGYLIGGAMLNVYTEVGQRTE LTTDSPLWVGAWWIGFLGTGIAAFLIAIPILGYPRQLPGSQRYVVMRAAETQQLKDHSRG AVSNPAFGKTVRDLPLSIWLLLRNPTFILLCLAGATEATLIAGMSTFGPKFFEAQFSLSA SEAATLFGYLVVPAGGGGTLLGGFLVNKFKLRGSGIIRFCLFCTLTSLLAFFVFLMHCPN VHMAGVTTGYVGSLLPKGQLDLKAACNAIYCCQPKHYSPLCGSDGTMYYSPCYAGCPADA ETDLGGQKVYRGCSCILEKASSGWGNATAGKCASTCQSKPFLLVLVFVVIIFTFLSSIPA LTATLRCVSDRQRSFALGIQWIVVRTLGSIPGPIAFGWVIDKACLLWQDQCGHQGSCFVY ENEAMSRYMLIAGLTFKVLGFLFFVAAYFLYKSPSVSSDGLEASLPSQSSASDSPTEQLQ SNV >ENSMUSP00000027286.6 pep:known chromosome:GRCm38:1:37417084:37430103:-1 gene:ENSMUSG00000026112.7 transcript:ENSMUST00000027286.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coa5 description:cytochrome C oxidase assembly factor 5 [Source:MGI Symbol;Acc:MGI:1923428] MPRYYEDKPEGGACAGVKEDLGACLLQSACVLQEGKSPRQCLKEGNCRALQYSFFECKRS MLDARSRFRGRKGY >ENSMUSP00000109098.2 pep:known chromosome:GRCm38:2:31640037:31655795:1 gene:ENSMUSG00000079466.2 transcript:ENSMUST00000113470.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm12 description:PR domain containing 12 [Source:MGI Symbol;Acc:MGI:2685844] MMGSVLPAEALVLKTGLKAPGLALAEVITSDILHSFLYGRWRNVLGEQLLEDKSHHASPK TAFTAEVLAQSFSGEVQKLSSLVLPVEVIIAQSSIPGEGLGIFSKTWIKAGTEMGPFTGR VIAPEHVDICKNNNLMWEVFNEDGTVRYFIDASQEDHRSWMTYIKCARNEQEQNLEVVQI GTSIFYKAIEMIPPDQELLVWYGNSHNTFLGIPGVPGLEEEQKKNKHEDFHPADSATGTA GRMRCVICHRGFNSRSNLRSHMRIHTLDKPFVCRFCNRRFSQSSTLRNHVRLHTGERPYK CQVCQSAYSQLAGLRAHQKSARHRPPSTALQAHSPALPAPHAHAPALAAAAAAAAAAHHL PAMVL >ENSMUSP00000135148.1 pep:known chromosome:GRCm38:3:64537561:64565298:-1 gene:ENSMUSG00000090581.8 transcript:ENSMUST00000176481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r6 description:vomeronasal 2, receptor 6 [Source:MGI Symbol;Acc:MGI:3649068] MASRNKCLILGFTVFLWVELYAQYPHIDQNVTCRLLRKFNLTGYVEVENHSVVIGGIFPV HYRTMPTSDSDEEIESPMCEGFNFRGFRWMKTMIHTIKEINERKDILPNHTLGYQIFDNC FSTTKAMESSSVFLTGQDEYKSNWRNSTGKFLVGIIGAGGSTMSAAVSRIVGIHNVPQVG YASSSSIFSNDIQFPYILRTIPSDKFQSEAIVNLIRHFGWVWVGAIASDDDYGKYGVRFF REEMERANLCVAFSETIPKVYSNEKMKIAVDAVKSSTAKVIVLYATDIDLCPFVLEVIHH NITDRTWIATEAWITSALIAKPEYFPYFGGTIGFAVPRSVIPGLKEFLYDVHPSKDPNDV LTIEFWQTAFNCTWPNSTVPYNVDHRVNMTGKEDRLYDMSDQLCTGEEKLQDLKSTYLDT SQLRITNNVRQAVYLLAHAIDRFSQADIREEYRESAVLENKPDFESGKLWLYLTKIKFIT HDGKKIELGSNGDVLNGSYDILNWHMDNTGEITFVKVGEYKFTSSKYEFVLPKNSTLFWN TESSRLPDSVCTKVCPPGTRKGIHQGQPICCFDCIPCTDGYVSEKPGQRLCDPCGENDWS NAEKNKCVPKHVEFLAYEEALGFTLVILSIFGALVVLAVTVVYVIHRHTPLVKANDRELS FLIQVSLGITVLSSMLFIGKPCNWSCKTRQVTLALGFCLCLSSILGKTVSLFFAYRISIS KTRLISMHPIFRKLIVLICVVGEIGVCTTYLVLEPPSLFKNIEPQNVKIIFECNEGSIEF LCSIFGFDVLLALLCFVTTFVARQLPDNYYEGKCITFGMLVFFIVWISFVPAYLGTKGKF NVAVEIFAILASSYGLLGCVFLPKCFIILLRPKRNTDETVGGRVPTVDRSIQLTSASVSS ELNSTTVSTVLDE >ENSMUSP00000131831.1 pep:known chromosome:GRCm38:3:64537561:64559818:-1 gene:ENSMUSG00000090581.8 transcript:ENSMUST00000165012.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r6 description:vomeronasal 2, receptor 6 [Source:MGI Symbol;Acc:MGI:3649068] MKTMIHTIKEINERKDILPNHTLGYQIFDNCFSTTKAMESSSVFLTGQDEYKSNWRNSTG KFLVGIIGAGGSTMSAAVSRIVGIHNVPQVGYASSSSIFSNDIQFPYILRTIPSDKFQSE AIVNLIRHFGWVWVGAIASDDDYGKYGVRFFREEMERANLCVAFSETIPKVYSNEKMKIA VDAVKSSTAKVIVLYATDIDLCPFVLEVIHHNITDRTWIATEAWITSALIAKPEYFPYFG GTIGFAVPRSVIPGLKEFLYDVHPSKDPNDVLTIEFWQTAFNCTWPNSTVPYNVDHRVNM TGKEDRLYDMSDQLCTGEEKLQDLKSTYLDTSQLRITNNVRQAVYLLAHAIDRFSQADIR EEYRESAVLENKPDFESGKLWLYLTKIKFITHDGKKIELGSNGDVLNGSYDILNWHMDNT GEITFVKVGEYKFTSSKYEFVLPKNSTLFWNTESSRLPDSVCTKVCPPGTRKGIHQGQPI CCFDCIPCTDGYVSEKPGQRLCDPCGENDWSNAEKNKCVPKHVEFLAYEEALGFTLVILS IFGALVVLAVTVVYVIHRHTPLVKANDRELSFLIQVSLGITVLSSMLFIGKPCNWSCKTR QVTLALGFCLCLSSILGKTVSLFFAYRISISKTRLISMHPIFRKLIVLICVVGEIGVCTT YLVLEPPSLFKNIEPQNVKIIFECNEGSIEFLCSIFGFDVLLALLCFVTTFVARQLPDNY YEGKCITFGMLVFFIVWISFVPAYLGTKGKFNVAVEIFAILASSYGLLGCVFLPKCFIIL LRPKRNTDETVGGRVPTVDRSIQLTSASVSSELNSTTVSTVLDE >ENSMUSP00000112420.2 pep:known chromosome:GRCm38:12:103980243:103989957:-1 gene:ENSMUSG00000063232.16 transcript:ENSMUST00000120251.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina11 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 11 [Source:MGI Symbol;Acc:MGI:2685741] MMGPVWLWLWLLVAEVLLPVHCQPFSAHGDKSLGASQPASHQSLEPAPAYHKVTPTITNF ALRLYKQLAEEVAGNILFSPVSLSSSLALLSLGAHADTQTQILESLGFNLTETPAADVHR GFQSLLHTLDLPSPKLELKLGHFLFLDRQLKPQQRFLDSAKELYGALAFSANFTEAAATG QQINDLVRKQTYGQVVGCLPEFSHDTLMVLLNYIFFKAKCKHPFDRYQTRKQESFSLDQR TPLRIPMMRQKEMHRFLYDQEASCTVLQIEYSGTALLLLVLPDPGKMQQVEAALQPETLR RWGQRFLPSLLDLHLPRFSISATYNLEEILPLIGLGNLFDMEADLSGIMGQLNKTVSRVS HKAIVDMNEKGTEAAAASGLLSQPPALNMTSAPQAHYNRPFLLLLWEVTTQSLLFLGKVV NPAAG >ENSMUSP00000074258.7 pep:known chromosome:GRCm38:12:103980255:103989927:-1 gene:ENSMUSG00000063232.16 transcript:ENSMUST00000074693.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina11 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 11 [Source:MGI Symbol;Acc:MGI:2685741] MKMMGPVWLWLWLLVAEVLLPVHCQPFSAHGDKSLGASQPASHQSLEPAPAYHKVTPTIT NFALRLYKQLAEEVAGNILFSPVSLSSSLALLSLGAHADTQTQILESLGFNLTETPAADV HRGFQSLLHTLDLPSPKLELKLGHFLFLDRQLKPQQRFLDSAKELYGALAFSANFTEAAA TGQQINDLVRKQTYGQVVGCLPEFSHDTLMVLLNYIFFKAKCKHPFDRYQTRKQESFSLD QRTPLRIPMMRQKEMHRFLYDQEASCTVLQIEYSGTALLLLVLPDPGKMQQVEAALQPET LRRWGQRFLPSLLDLHLPRFSISATYNLEEILPLIGLGNLFDMEADLSGIMGQLNKTVSR VSHKAIVDMNEKGTEAAAASGLLSQPPALNMTSAPQAHYNRPFLLLLWEVTTQSLLFLGK VVNPAAG >ENSMUSP00000135138.1 pep:known chromosome:GRCm38:12:103984686:103989919:-1 gene:ENSMUSG00000063232.16 transcript:ENSMUST00000176246.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina11 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 11 [Source:MGI Symbol;Acc:MGI:2685741] MMGPVWLWLWLLVAEVLLPVHCQPFSAHGDKSLGASQPASHQSLEPAPAYHKVTPTITNF ALRLYKQLAEEVAGNILFSPVSLSSSLALLSLGAHADTQTQILESLGFNLTETPAADVHR GFQSLLHTLDLPSPKLELKLGHFLFLDRQLKPQQRFLDSAKELYGALAFSANFTEAAATG QQINDLVRKQTYGQVVGCLPEFSHDTLMVLLNYIFFKAKCKHPFDRYQTRKQESFSLDQR TPLRIPMMRQKEMHRFLY >ENSMUSP00000115400.2 pep:known chromosome:GRCm38:12:103984772:103989917:-1 gene:ENSMUSG00000063232.16 transcript:ENSMUST00000151709.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina11 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 11 [Source:MGI Symbol;Acc:MGI:2685741] MCSSSFQMMGPVWLWLWLLVAEVLLPVHCQPFSAHGDKSLGASQPASHQSLEPAPAYHKV TPTITNFALRLYKQLAEEVAGNILFSPVSLSSSLALLSLGAHADTQTQILESLGFNLTET PAADVHRGFQSLLHTLDLPSPKLELKLGHFLFLDRQLKPQQRFLDSAKELYGALAFSANF TEAAATGQQINDLVRKQTYGQVVGCLPEFSHDTLMVLLNYIFFKAKCKHPFDRYQTR >ENSMUSP00000078649.1 pep:known chromosome:GRCm38:2:87607390:87608334:1 gene:ENSMUSG00000059205.3 transcript:ENSMUST00000079711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1130 description:olfactory receptor 1130 [Source:MGI Symbol;Acc:MGI:3030964] MKFTEIRAEDNASTVTEFLLLGFSDLPNLQGILFGMFSIIYLIILVGNSFIIVITRIDPA LQKPMYFFLANFSSLEICYVSVTLPRILFSIATQERKISVLSCATQLCFFLMLAATECFL LAVMSYDRYVAICNPLHYPLVMNPTKCTQLAAASWLGGIPVQIGQTCQIFSLHFCNSNQI NHFLCDIPPILKLACGDTSINELSVYLVAILFAAVPFMLILASYGKIIATILKLPTATGR AKAFSTCSSHLLVVFLFFGSATITYLRPKSTHSPGTDKLFSLFYSIVTPMLNPLIYSLRN KEVIAALRKLLRIK >ENSMUSP00000026672.7 pep:known chromosome:GRCm38:7:81213596:81334533:1 gene:ENSMUSG00000025584.17 transcript:ENSMUST00000026672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8a description:phosphodiesterase 8A [Source:MGI Symbol;Acc:MGI:1277116] MGCAPSIHTSENRTFSHSDGEDEDVDVDVPGPAPRSIQRWSTAPGLVEPQPRDNGASKVS VADVQFGPMRFHQDQLQVLLVFTKEDSQCNGFHRACEKAGFKCTVTKEVQTVLTCFQDKL HDIIIIDHRYPRQMDAETLCRSIRSSKFSENTVIVGVVRRVDKEESSLMPFLAAGFTRRF IENPNVMACYNELLQLACGEVRSQLKLRACNSVFTALEKSQEAIEITSEDHIIQYANPAF ESTMGYQSGELIGKELAQVPINEKKGDLLDAINSCVTVDKEWQGVYHTQKKNGDNIQQNV KIIPVIGQGGKIRHYVSIIRVCNGNNKVETTTECVQTDSQTDNQAGKHKDRRKHSMDAKA VSSRTSDVSSQRRHSSLARIHSMMIEAPITKVINIINAAQENSPVPVTEALNRVLDILRT TELYSPQFNAQDDPHATDLVGGLMSDGLRRFSGNEYILATKNLPPLSNNLATPVSLHDVP PRIALAIENEEQWDFDIFELEVATQNRPLIYLGLKTFARFGMCEFLQCSETTLRSWFQMI ESNYHSSNPYHNSTHAADVLHATAYFLSRDKIKETLDRIDEVAALIAATVHDVDHPGRTN SFLCNAGNQLAVLYNDTAVLESHHVALAFQLTLENDQCNIFKQMERNDYRTLRQSIIDMV LATEMTKHFEHVNKFINSINKPLTAQESEEPDRSLEDIKAMLKTPESRALIKRMMIKCAD VSNPCRPLEHCIEWAARISEEYFSQTDEEKQLDLPVVMPVFDRNTCSIPKSQISFIDYFI TDMFDAWDAFVDLPNLMQHLDDNFRYWKGLDEKKLRSLRPPPE >ENSMUSP00000028755.7 pep:known chromosome:GRCm38:2:120089175:120154606:-1 gene:ENSMUSG00000027293.13 transcript:ENSMUST00000028755.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehd4 description:EH-domain containing 4 [Source:MGI Symbol;Acc:MGI:1919619] MFSWMGRQAGGRERSGGMDAVQTVTGGLRSLYQRKVLPLEEAYRFHEFHSPALEDADFEN KPMILLVGQYSTGKTTFIRYLLEQDFPGMRIGPEPTTDSFIAVMYGETEGSTPGNALVVD PKKPFRKLSRFGNAFLNRFMCSQLPNQVLKSISIIDSPGILSGEKQRISRGYDFCQVLQW FAERVDRIILLFDAHKLDISDEFSEAIKAFRGQDDKIRVVLNKADQVDTQQLMRVYGALM WSLGKVINTPEVLRVYIGSFWAQPLQNTDNRRLFEAEAQDLFRDIQSLPQKAAVRKLNDL IKRARLAKVHAYIISYLKKEMPNMFGKENKKRELIYRLPEIYVQLQREYQISAGDFPEVK AMQEQLENYDFTKFHSLKPKLIEAVDNMLTNKISSLMGLISQEEMNMPTQMVQGGAFDGT TEGPFNQGYGEGAKEGADEEEWVVAKDKPVYDELFYTLSPINGKISGVNAKKEMVTSKLP NSVLGKIWKLADCDCDGMLDEEEFALAKHLIKIKLDGYELPNSLPPHLVPPSHRKSLPKA D >ENSMUSP00000088214.1 pep:known chromosome:GRCm38:2:87628465:87629394:1 gene:ENSMUSG00000068819.1 transcript:ENSMUST00000090712.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1131 description:olfactory receptor 1131 [Source:MGI Symbol;Acc:MGI:3030965] MDIGNCSLNEFIFVGVTNNPEMKGTLFTIFLLIYLINLLGNIGMIILIRMDPQLHTPMYF FLSHLSFCDLCYSTAIGPKMLLDMFGKNKSIPFWGCALQLFIFCVFADSECVLLAVMAFD RYQAISNPLLYTANMSSRKCFMFMAGVYLVGTSDALIHTTLAFRLCFCGSNEINHFFCDL PPLYLLSCLDTQVNYLALFTIYGFLELSTISGVLVSYCYIISSILKIRSAEGRFKAFSTC TSHLTAVAIFQGTLLFTYFRPSSSYSLDQDKMTSLFYTLVIPMLNPLIYSLRNKDVKEAL KKIKRKRWF >ENSMUSP00000030586.8 pep:known chromosome:GRCm38:4:129619274:129623947:-1 gene:ENSMUSG00000028795.15 transcript:ENSMUST00000030586.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc28b description:coiled coil domain containing 28B [Source:MGI Symbol;Acc:MGI:1913514] MEDKKKKRSPKPCLTQPAQAPGTLRRVPVPTSHSGSLALGLPHLPSPKQRAKFKRAGKEK CRPVLAGGGGGSAGTPLQHSFLTEVTDVYEMEGGLLNLLNDFHSGRLQAFGKECSFEQLE HVREMQEKLARLHFSLDVCGEEEDEEEEEDGVTEGLPEEQKKTMADRNLDQLLSNLEDLS NSIQKLHLAENAEPEDQPAA >ENSMUSP00000122229.1 pep:known chromosome:GRCm38:4:129619276:129623837:-1 gene:ENSMUSG00000028795.15 transcript:ENSMUST00000151838.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc28b description:coiled coil domain containing 28B [Source:MGI Symbol;Acc:MGI:1913514] MEDKKKKRSPKPCLTQPAQAPGTLRRVPVPTSHSGSLALGLPHLPSPKQRAKFKRAGKEK CRPVLAGGGGGSAGTPLQHSFLTEVTDVYEMEGGLLNLLNDFHSGRLQAFAGACSGDAGE VGQTALQPGCVWGGRGRGRRGGWGHRRLA >ENSMUSP00000101652.1 pep:known chromosome:GRCm38:4:129620476:129623878:-1 gene:ENSMUSG00000028795.15 transcript:ENSMUST00000106035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc28b description:coiled coil domain containing 28B [Source:MGI Symbol;Acc:MGI:1913514] MEDKKKKRSPKPCLTQPAQAPGTLRRVPVPTSHSGSLALGLPHLPSPKQRAKFKRAGKEK CRPVLAGGGGGSAGTPLQHSFLTEVTDVYEMEGGLLNLLNDFHSGRLQAFGKECSFEQLE HVREMQEKLARLHFSLDVCGEEEDEEEEEDGVTEGLPEEQKKTMADRNLDQLLSNVGHRA GCCGSWDTKGGEGTGSQVSHGKLGT >ENSMUSP00000118241.1 pep:known chromosome:GRCm38:4:129621003:129623886:-1 gene:ENSMUSG00000028795.15 transcript:ENSMUST00000150357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc28b description:coiled coil domain containing 28B [Source:MGI Symbol;Acc:MGI:1913514] MEDKKKKRSPKPCLTQPAQAPGTLRRVPVPTSHSGSLALGLPHLPSPKQRAKFKRAGKEK CRPVLAGGGGGSAGTPLQHSFLTEVTDVYEMEGGLLNLLNDFHS >ENSMUSP00000103127.1 pep:known chromosome:GRCm38:3:88744700:88752051:-1 gene:ENSMUSG00000068923.14 transcript:ENSMUST00000107503.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt11 description:synaptotagmin XI [Source:MGI Symbol;Acc:MGI:1859547] MTVVVLKARHLPKMDITGLSGNPYVKVNVYYGRKRIAKKKTHVKKCTLNPVFNESFIYDI PTDLLPDISIEFLVIDFDRTTKNEVVGRLILGAHSVTTSGAEHWREVCESPRKPIAKWHS LSEY >ENSMUSP00000103129.1 pep:known chromosome:GRCm38:3:88744700:88775164:-1 gene:ENSMUSG00000068923.14 transcript:ENSMUST00000107505.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt11 description:synaptotagmin XI [Source:MGI Symbol;Acc:MGI:1859547] MAEITNIRPSFDVSPVAAGLIGASVLVVCVSVTVFVWTCCHQQAEKKHKTPPYKFIHMLK GISIYPETLSNKKKIIKVRRDKDGPRRESGRGNLLINAESGLLSHDKDPRGPSPASCMDQ LPIKRDYGEELRSPMTSLTPGESKATSPSSPEEDVMLGSLTFSVDYNFPKKALVVTIQEA HGLPVMDDQTQGSDPYIKMTILPDKRHRVKTRVLRKTLDPVFDETFTFYGIPYSQLQDLV LHFLVLSFDRFSRDDVIGEVMVPLAGVDPSTGKVQLTRDIIKRNIQKCISRGELQVSLSY QPVAQRMTVVVLKARHLPKMDITGLSGNPYVKVNVYYGRKRIAKKKTHVKKCTLNPVFNE SFIYDIPTDLLPDISIEFLVIDFDRTTKNEVVGRLILGAHSVTTSGAEHWREVCESPRKP IAKWHSLSEY >ENSMUSP00000138284.1 pep:known chromosome:GRCm38:3:88747472:88762384:-1 gene:ENSMUSG00000068923.14 transcript:ENSMUST00000183267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt11 description:synaptotagmin XI [Source:MGI Symbol;Acc:MGI:1859547] XTLSNKKKIIKVRRDKDGPRRESGRGNLLINAESGLLSHDKDPRGPSPASCMDQLPIKRD YGEELRSPMTSLTPGESKATSPSSPEEDVMLGSLTFSVDYNFPKKALVVTIQEAHGLPVM DDQTQGSDPYIKMTILPDKRHRVKTRVLRKTLDPVFDETFTFYGIPYSQLQDLVLHFLVL SFDRFSRDDVIGEVMVPLAGVDPSTGKVQLTRDIIKRNIQKCISRGELQVSLSYQPVAQR MTVVVLKARHLPKMDITGLSDPYVKVNVYYGRKRIAKKKTHVKKCTLNPVFNESFIYDIP TDLLPDISIEFLVIDFDRTTKNEVVGRLILGAHSVTTSGAEHWREVCESPRKPIAKWHSL SEY >ENSMUSP00000088464.4 pep:known chromosome:GRCm38:3:88744701:88772599:-1 gene:ENSMUSG00000068923.14 transcript:ENSMUST00000090945.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt11 description:synaptotagmin XI [Source:MGI Symbol;Acc:MGI:1859547] MAEITNIRPSFDVSPVAAGLIGASVLVVCVSVTVFVWTCCHQQAEKKHKTPPYKFIHMLK GISIYPETLSNKKKIIKVRRDKDGPRRESGRGNLLINAESGLLSHDKDPRGPSPASCMDQ LPIKRDYGEELRSPMTSLTPGESKATSPSSPEEDVMLGSLTFSVDYNFPKKALVVTIQEA HGLPVMDDQTQGSDPYIKMTILPDKRHRVKTRVLRKTLDPVFDETFTFYGIPYSQLQDLV LHFLVLSFDRFSRDDVIGEVMVPLAGVDPSTGKVQLTRDIIKRNIQKCISRGELQVSLSY QPVAQRMTVVVLKARHLPKMDITGLSGNPYVKVNVYYGRKRIAKKKTHVKKCTLNPVFNE SFIYDIPTDLLPDISIEFLVIDFDRTTKNEVVGRLILGAHSVTTSGAEHWREVCESPRKP IAKWHSLSEY >ENSMUSP00000088213.2 pep:known chromosome:GRCm38:2:87634819:87635745:-1 gene:ENSMUSG00000068818.4 transcript:ENSMUST00000090711.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1132 description:olfactory receptor 1132 [Source:MGI Symbol;Acc:MGI:3030966] MEKKNCSSVDEFIFLGITKNPDMRVTFFTTLLLVYLINLLANLGMIILIRVNTQLHTPMY FFLSHLSFCDLCYSTAIGPKMLVDLLVEEKSIPIVGCALQFFTFCIFADSECLLLAVMAY DRYQAISNPLLYTVNMSSRLCSLLMAGVYLVGTADALIHTTLTFRLCFCGSNEINHFFCD VPPLLLISCSDTEVNELAIFTIFGFIELSTISGVLVSYCYIISSVLKIGSAEGRFKAFST CASHLTAVAVFQGTMLFMYFRPSSAYSLDQDKMTSLFYTLVIPMLNPLIYSLRNKDVKEA VVKLKNKW >ENSMUSP00000112113.1 pep:known chromosome:GRCm38:8:122476143:122483092:1 gene:ENSMUSG00000049482.15 transcript:ENSMUST00000116412.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctu2 description:cytosolic thiouridylase subunit 2 [Source:MGI Symbol;Acc:MGI:1914215] MCQAGEDYAGPARREPPPVPRPSREQKCVKCAEGLPVVVIRAGDAFCRVCFKAFYVHKFR AMLGKNRVIFPGEKVLLSWSGGPSSSSMVWQVLEGLSQDSAKRLRFVPGVIYVDEGAACG QSLEDRQKTVAEVKRILENTGFPWHVVALEEVFSLPPSVLCCTSQESAGTEEAYKAAVDR FLQQQQQQQQRVLGAEAGASPAQGEARLHPSHGREPSGTAGYPTAAQTEALSRLFSSIKT LTAKEELLQTLRTHLIVHIARVHGYCKVMTGETCTRLAIKLMTNLALGRGAFLAWDTGFS DERHGDVVLVRPMRDHTLKEVAFYNHLFRVPSVFTPAIDTKAPEKASIHRLMEAFILRLQ TLFPSTVSTVYRTSEKLVKAPREGCAAGPSGPSCLLCMCALDIDTADSATAFGAQSSSHL SQMPSAEAGMPTQPCCAAGEGQAQSCHREVGKRGDARACITEQLCYSCRVNMKDLPSLDP LPPYVLAEAQLRSQRGSVSEEIQEYLITDEEEDS >ENSMUSP00000133622.1 pep:known chromosome:GRCm38:8:122476209:122484138:1 gene:ENSMUSG00000049482.15 transcript:ENSMUST00000151855.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctu2 description:cytosolic thiouridylase subunit 2 [Source:MGI Symbol;Acc:MGI:1914215] MCQAGEDYAGPARREPPPVPRPSREQKCVKCAEGLPVVVIRAGDAFCRVCFKAFYVHKFR AMLGKNRVIFPGEKVLLSWSGGPSSSSMVWQVLEGLSQDSAKRLRFVPGVIYVDEGAACG QSLEDRQKTVAEVKRILENTGFPWHVVALEEVFSLPPSVLCCTSQESAGTEEAYKAAVDR FLQQQQQQQQRVLGAEAGASPAQGEARLHPSHGREPSGTAGYPTAAQTEALSRLFSSIKT LTAKEELLQTLRTHLIVHIARVHGYCKVMTGETCTRLAIKLMTNLALGRGAFLAWDTGFS DERHGDVVLVRPMRDHTLKEVAFYNHLFRVPSVFTPAIDTKAPEKASIHRLMEAFILRLQ TLFPSTVSTVYRTSEKLVKAPREGCAAGPSGPSCLLCMCALDIDTADAEC >ENSMUSP00000119931.1 pep:known chromosome:GRCm38:8:122476213:122478213:1 gene:ENSMUSG00000049482.15 transcript:ENSMUST00000146634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctu2 description:cytosolic thiouridylase subunit 2 [Source:MGI Symbol;Acc:MGI:1914215] MCQAGEDYAGPARREPPPVPRPSREQKCVKCAEGLPVVVIRAGDAFCRVCFKAFYVHKFR AMLGKNRVIFPGEKVLLSWSGGPSSSSMVWQVLEVHLHHLLGGLSQDSAKRL >ENSMUSP00000119237.1 pep:known chromosome:GRCm38:8:122476220:122479343:1 gene:ENSMUSG00000049482.15 transcript:ENSMUST00000134127.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctu2 description:cytosolic thiouridylase subunit 2 [Source:MGI Symbol;Acc:MGI:1914215] MCQAGEDYAGPARREPPPVPRPRVCFKAFYVHKFRAMLGKNRVIFPGEKVLLSWSGGPSS SSMVWQVLEGLSQDSAKRLRFVPGVIYVDEGAACGQSLEDRQKTVAEVKRILENTGFPWH VVALEEVFSLPPSVLCCTSQESAGTEEAYKAAVDRFLQQQQQQQQRVLGAEAGASPAQGE ARLHPSHGREPSGTAGYPTAAQTEALSRLFSSIKTLTAKEELLQTLRTHLIVHIARVHGY CKVMTGETC >ENSMUSP00000116104.1 pep:known chromosome:GRCm38:8:122480957:122481744:1 gene:ENSMUSG00000049482.15 transcript:ENSMUST00000136253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctu2 description:cytosolic thiouridylase subunit 2 [Source:MGI Symbol;Acc:MGI:1914215] GPSCLLCMCALDIDTADSATAFGAQSSSHLSQMPSAEAGMPTQPCCAAGEGQAQSCHREV GKRGDARACITEQLCYSCRVNMKDLPSLDPLPPYVLAEAQLRSQRSI >ENSMUSP00000033525.2 pep:known chromosome:GRCm38:X:66303361:66304224:-1 gene:ENSMUSG00000031182.2 transcript:ENSMUST00000033525.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930447F04Rik description:RIKEN cDNA 4930447F04 gene [Source:MGI Symbol;Acc:MGI:1922112] MTMVTRNSLKQNTAQVTLTPMTKRRKMRKPFIPLRCQLYFKVQRTRIKTEQSLGCTKKKV PTYYVYPKRRKRAIRPTIYVCYHMLNRKWKERREKRQNKRRYQSKKRSQLKRRCQSKKQN KSKSYF >ENSMUSP00000103516.2 pep:known chromosome:GRCm38:4:43583216:43584494:-1 gene:ENSMUSG00000078719.2 transcript:ENSMUST00000107884.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msmp description:microseminoprotein, prostate associated [Source:MGI Symbol;Acc:MGI:3652339] MALRMLWAGQAKGILGGWRTICLVVSLFLQHPGVSSKCYFQAQAPCHYEGKYFTLGESWL RKDCFHCTCLHPVGVGCCDTAQHPIDFPAECEVLQEAGTCQFSLVQKADPRLPCKGGGPD LEWGSANTPAPGASAPHSS >ENSMUSP00000034521.7 pep:known chromosome:GRCm38:9:40894849:40964118:-1 gene:ENSMUSG00000032023.7 transcript:ENSMUST00000034521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931429I11Rik description:RIKEN cDNA 4931429I11 gene [Source:MGI Symbol;Acc:MGI:1918239] MNKYKPVPKLSSQSPVHHTNLRIPPSGRPFKKEDFLNLISKDSLESDSESLPQEAKSWSD IKDQIQDKDMEPDSLEEDSPSETEEAVNRKAAHNTNREDLSAHDAGVNHSQHQVEDKYSD LRYDPNWKNKREEGQPLAGEALPGSADSSSENLPLAPLYPSREPSMGLSAGKGKEKKSPQ SEASLLGSEFLSPKYERSTRQNGFFSELSDSDQEEKSSGLSQYLKSSSSHNDVFLPGSRG PRRRKSKQYFVEKNKLTLGLPMPKTDSYLQLHNKKRGETRLEQISYPVRDTDKMTVQNDK EVENTFMDPEDKWHQRAKQLKNYQEHLSQYEDTKSGNVPRGQSSDAANGQQPSRRTAKAR VRKQRKHQKGLKSLGTKELVVSQNNQNNPFQQPQNQRQTADASAKHELAAQTNASNPNLQ DARTLTHNPKVTSDSFVSPKQALDRALYKNSISGLNANKRRGHRREEERILYQPQPIYVF SDTHLQDFNELPHRHESPSQRAPQSDHHMNTHRSTKTKKPAKQPQAETKYKNIEMLWKFH SSSDMEPASASPDSRLAQIMEQHQQALMQLAEVQPSEGSLASIILPPILSRVESESQLNS ERSHRHQVKMARSNSEGYLLQLERGKRHRKRSSTKSSKLKGYQKRDVKLGGLGPDFASVR DKMQMLMQQKEYAQQVKDYNMKALSILSKPQTSKTESKSAISRKKALDYAKTIPKPKPPN LPDQTAKKTKNSRHSEKEGGLPEISLLEILQSRHEREKQAVAAFKVLHIV >ENSMUSP00000124975.1 pep:known chromosome:GRCm38:9:40960886:40962333:-1 gene:ENSMUSG00000032023.7 transcript:ENSMUST00000160120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931429I11Rik description:RIKEN cDNA 4931429I11 gene [Source:MGI Symbol;Acc:MGI:1918239] MNKYKPVPKLSSQSPVHHTNLRIPPSGRPFKKEDFLNLISKDSLESDSESLPQEAKSWSD IKDQIQDKDMEPDSLEEDSPSETEEAVNRKAAHNTNREDLSAHDAGVN >ENSMUSP00000033751.7 pep:known chromosome:GRCm38:X:164373378:164402650:1 gene:ENSMUSG00000031380.10 transcript:ENSMUST00000033751.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Figf description:c-fos induced growth factor [Source:MGI Symbol;Acc:MGI:108037] MYGEWGMGNILMMFHVYLVQGFRSEHGPVKDFSFERSSRSMLERSEQQIRAASSLEELLQ IAHSEDWKLWRCRLKLKSLASMDSRSASHRSTRFAATFYDTETLKVIDEEWQRTQCSPRE TCVEVASELGKTTNTFFKPPCVNVFRCGGCCNEEGVMCMNTSTSYISKQLFEISVPLTSV PELVPVKIANHTGCKCLPTGPRHPYSIIRRSIQTPEEDECPHSKKLCPIDMLWDNTKCKC VLQDETPLPGTEDHSYLQEPTLCGPHMTFDEDRCECVCKAPCPGDLIQHPENCSCFECKE SLESCCQKHKIFHPDTCSCEDRCPFHTRTCASRKPACGKHWRFPKETRAQGLYSQENP >ENSMUSP00000031383.7 pep:known chromosome:GRCm38:5:129020069:129024323:1 gene:ENSMUSG00000029430.13 transcript:ENSMUST00000031383.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ran description:RAN, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1333112] MAAQGEPQVQFKLVLVGDGGTGKTTFVKRHLTGEFEKKYVATLGVEVHPLVFHTNRGPIK FNVWDTAGQEKFGGLRDGYYIQAQCAIIMFDVTSRVTYKNVPNWHRDLVRVCENIPIVLC GNKVDIKDRKVKAKSIVFHRKKNLQYYDISAKSNYNFEKPFLWLARKLIGDPNLEFVAMP ALAPPEVVMDPALAAQYEHDLEVAQTTALPDEDDDL >ENSMUSP00000106975.1 pep:known chromosome:GRCm38:5:129020272:129024323:1 gene:ENSMUSG00000029430.13 transcript:ENSMUST00000111343.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ran description:RAN, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1333112] MAAQGEPQVQFKLVLVGDGGTGKTTFVKRHLTGEFEKKYVATLGVEVHPLVFHTNRGPIK FNVWDTAGQEKFGGLRDGYYIQAQCAIIMFDVTSRVTYKNVPNWHRDLVRVCENIPIVLC GNKVDIKDRKVKAKSIVFHRKKNLQYYDISAKSNYNFEKPFLWLARKLIGDPNLEFVAMP ALAPPEVVMDPALAAQYEHDLEVAQTTALPDEDDDL >ENSMUSP00000062728.8 pep:known chromosome:GRCm38:7:142326110:142372441:-1 gene:ENSMUSG00000045777.14 transcript:ENSMUST00000059223.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm10 description:interferon induced transmembrane protein 10 [Source:MGI Symbol;Acc:MGI:2444776] MAPTLFPMESKSSKTDSVRASGVPQACKHLAEKKTMTNPTTVIEVYPDTTEVNDYYLWSI FNFVYLNFCCLGFIALAYSLKRTLTNTNRGKKGCLATQDTSALCCLLQGAHPEEGDGAIC YVRDHRACVVGHTYNPSPGEAEEGTWMAQRDNTFEKNSAQHC >ENSMUSP00000081450.5 pep:known chromosome:GRCm38:7:142355508:142372259:-1 gene:ENSMUSG00000045777.14 transcript:ENSMUST00000084412.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm10 description:interferon induced transmembrane protein 10 [Source:MGI Symbol;Acc:MGI:2444776] MAPTLFPMESKSSKTDSVRASGVPQACKHLAEKKTMTNPTTVIEVYPDTTEVNDYYLWSI FNFVYLNFCCLGFIALAYSLKVRDKKLLNDLNGAVEDAKTARLFNITSSALAASCIILIF IFLRYPLTDY >ENSMUSP00000101608.1 pep:known chromosome:GRCm38:7:142355922:142373753:-1 gene:ENSMUSG00000045777.14 transcript:ENSMUST00000105988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm10 description:interferon induced transmembrane protein 10 [Source:MGI Symbol;Acc:MGI:2444776] MAQGPSQCPALLGAPASTTDGTQEARVPLDGAFWIPRPPAGSPKGCFACVSKPPALQAAA APAPEPSASPPMAPTLFPMESKSSKTDSVRASGVPQACKHLAEKKTMTNPTTVIEVYPDT TEVNDYYLWSIFNFVYLNFCCLGFIALAYSLKVRDKKLLNDLNGAVEDAKTARLFNITSS ALAASCIILIFIFLRYPLTDY >ENSMUSP00000101008.1 pep:known chromosome:GRCm38:10:80130551:80139365:-1 gene:ENSMUSG00000035640.18 transcript:ENSMUST00000105369.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbarp description:calcium channel, voltage-dependent, beta subunit associated regulatory protein [Source:MGI Symbol;Acc:MGI:1354170] MQPTATMATAAATTATVALTTSWDNATSRPTAEPDPILDNYVLLVVVMSLFVGGTLVVLS GVLLLCKRCWEVHQRFNRAMEEAEKTTTTYLDNGTHPIQDPDCRGEDPEGQDTETERFLA TSSTGRRVSFNEAALFEQSRKAQDKGRRYTLTEGDFHHLKNARLTHLHLPPLKIATIHEC DSGEASAAATPHPATTSKDSLAIFQPPGKTLTGHSVGPSSALPGGPYNSVDFSEISPSTS SDSGEGISLDAGTRGAKAAGPETVPGEMGTGSSGSGTVLQFFTRLRRHASLDGASPYFKV KKWKLEPSQRASSLDTRGSPKRHHFQRQRAASESMEQEGDVPHADFIQYIASAGDSVAFP PPRPFLASPTSPPPTLGRLEAAEAAGGASPETPPEHGISLGPEHAQQQDPQQEQDAEHAQ CSYRDLWSLRASLELHAATASDHSSSGNDRDSVRSGDSSGSGSGGGGAAPAFPPPPESPP ALRPKDGEARRLLQMDSGYASIEGRGAGDEVSELPAPARSPPRSPRAWPRRPRRDYSIDE KTDALFHEFLRHDPHFDDAPRHRTRAHPHTHARKQWQQRGRQHSDPGGARAATPPGVARP TRAPLRRGDSVDCPPEGRALPITGDDPSIPVIEEEPGGGGGGCPGSGLCVEPAGALLDKL AASLDERLFSPRLAEPVASSQVLIVAAAAPTSPDHSPA >ENSMUSP00000130389.1 pep:known chromosome:GRCm38:10:80130551:80139365:-1 gene:ENSMUSG00000035640.18 transcript:ENSMUST00000147778.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cbarp description:calcium channel, voltage-dependent, beta subunit associated regulatory protein [Source:MGI Symbol;Acc:MGI:1354170] MQPTATMATAAATTATVALTTSWDNATSRPTAEPDPILDNYVLLVVVMSLFVGGTLVVLS GVLLLCKRCWEVHQRFNRAMEEAEKTTTTYLDNGTHPIQDWAQFESDSSLFP >ENSMUSP00000128980.1 pep:known chromosome:GRCm38:10:80135118:80139363:-1 gene:ENSMUSG00000035640.18 transcript:ENSMUST00000132523.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cbarp description:calcium channel, voltage-dependent, beta subunit associated regulatory protein [Source:MGI Symbol;Acc:MGI:1354170] MQPTATMATAAATTATVALTTSWDNATSRPTAEPDPILDNYVLLVVVMSLFVGGTLVVLS GVLLLCKRCWEVHQRFNRAMEEAEKTTTTYLDNGTHPIQDWAQFESDSSLFP >ENSMUSP00000125962.1 pep:known chromosome:GRCm38:10:80135158:80139178:-1 gene:ENSMUSG00000035640.18 transcript:ENSMUST00000123967.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cbarp description:calcium channel, voltage-dependent, beta subunit associated regulatory protein [Source:MGI Symbol;Acc:MGI:1354170] MQPTATMATAAATTATVALTTSWDNATSRPTGHGGSREDHHYLSGQRHPPYTRLGAVRVR LISVSLTPRRRPRLQGGRPRGPGHRNRALPSHQLHRPPRVLQRGCPV >ENSMUSP00000115009.1 pep:known chromosome:GRCm38:10:80137347:80140835:-1 gene:ENSMUSG00000035640.18 transcript:ENSMUST00000142853.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbarp description:calcium channel, voltage-dependent, beta subunit associated regulatory protein [Source:MGI Symbol;Acc:MGI:1354170] MQPTATMATAA >ENSMUSP00000131487.2 pep:known chromosome:GRCm38:10:80130451:80137387:-1 gene:ENSMUSG00000035640.18 transcript:ENSMUST00000170219.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbarp description:calcium channel, voltage-dependent, beta subunit associated regulatory protein [Source:MGI Symbol;Acc:MGI:1354170] MQPTATMATAAATTATVALTTSWDNATSRPTAEPDPILDNYVLLVVVMSLFVGGTLVVLS GVLLLCKRCWEVHQRFNRAMEEAEKTTTTYLDNGTHPIQDPDCRGEDPEGQDTETERFLA TSSTGRRVSFNEAALFEQSRKAQDKGRRYTLTEGDFHHLKNARLTHLHLPPLKIATIHEC DSGEASAAATPHPATTSKDSLAIFQPPGKTLTGHSVGPSSALPGGPYNSVDFSEISPSTS SDSGEGISLDAGTRGAKAAGPETVPGEMGTGSSGSGTVLQFFTRLRRHASLDGASPYFKV KKWKLEPSQRASSLDTRGSPKRHHFQRQRAASESMEQEGDVPHADFIQYIASAGDSVAFP PPRPFLASPTSPPPTLGRLEAAEAAGGASPETPPEHGISLGPEHAQQQDPQQEQDAEHAQ CSYRDLWSLRASLELHAATASDHSSSGNDRDSVRSGDSSGSGSGGGGAAPAFPPPPESPP ALRPKDGEARRLLQMDSGYASIEGRGAGDEVSELPAPARSPPRSPRAWPRRPRRDYSIDE KTDALFHEFLRHDPHFDDAPRHRTRAHPHTHARKQWQQRGRQHSDPGGARAATPPGVARP TRAPLRRGDSVDCPPEGRALPITGDDPSIPVIEEEPGGGGGGCPGSGLCVEPAGALLDKL AASLDERLFSPRLAEPVASSQVLIVAAAAPTSPDHSPA >ENSMUSP00000132978.2 pep:known chromosome:GRCm38:10:80130451:80137387:-1 gene:ENSMUSG00000035640.18 transcript:ENSMUST00000169546.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbarp description:calcium channel, voltage-dependent, beta subunit associated regulatory protein [Source:MGI Symbol;Acc:MGI:1354170] MATAAATTATVALTTSWDNATSRPTAEPDPILDNYVLLVVVMSLFVGGTLVVLSGVLLLC KRCWEVHQRFNRAMEEAEKTTTTYLDNGTHPIQDWAQFESDSSLFPYPDCRGEDPEGQDT ETERFLATSSTGRRVSFNEAALFEQSRKAQDKGRRYTLTEGDFHHLKNARLTHLHLPPLK IATIHECDSGEASAAATPHPATTSKDSLAIFQPPGKTLTGHSVGPSSALPGGPYNSVDFS EISPSTSSDSGEGISLDAGTRGAKAAGPETVPGEMGTGSSGSGTVLQFFTRLRRHASLDG ASPYFKVKKWKLEPSQRASSLDTRGSPKRHHFQRQRAASESMEQEGDVPHADFIQYIASA GDSVAFPPPRPFLASPTSPPPTLGRLEAAEAAGGASPETPPEHGISLGPEHAQQQDPQQE QDAEHAQCSYRDLWSLRASLELHAATASDHSSSGNDRDSVRSGDSSGSGSGGGGAAPAFP PPPESPPALRPKDGEARRLLQMDSGYASIEGRGAGDEVSELPAPARSPPRSPRAWPRRPR RDYSIDEKTDALFHEFLRHDPHFDDAPRHRTRAHPHTHARKQWQQRGRQHSDPGGARAAT PPGVARPTRAPLRRGDSVDCPPEGRALPITGDDPSIPVIEEEPGGGGGGCPGSGLCVEPA GALLDKLAASLDERLFSPRLAEPVASSQVLIVAAAAPTSPDHSPA >ENSMUSP00000130692.1 pep:known chromosome:GRCm38:5:53038081:53061401:1 gene:ENSMUSG00000029188.14 transcript:ENSMUST00000170523.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc34a2 description:solute carrier family 34 (sodium phosphate), member 2 [Source:MGI Symbol;Acc:MGI:1342284] MAPWPELENAQPNPGKFIEGASGPQSSIPAKDKEASKTNDNGTPVAKTELLPSYSALVLI EEHPEGTDPWDLPELQDTGIKWSERDTKGKTLCIFQGVGKFILLLGFLYLFVCSLDVLSS AFQLVGGKVAGQFFSNNSIMSNPVAGLVIGVLVTVMVQSSSTSSSIIVSMVASSLLTVRA AIPIIMG >ENSMUSP00000092380.4 pep:known chromosome:GRCm38:5:53049352:53071664:1 gene:ENSMUSG00000029188.14 transcript:ENSMUST00000094787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc34a2 description:solute carrier family 34 (sodium phosphate), member 2 [Source:MGI Symbol;Acc:MGI:1342284] MAPWPELENAQPNPGKFIEGASGPQSSIPAKDKEASKTNDNGTPVAKTELLPSYSALVLI EEHPEGTDPWDLPELQDTGIKWSERDTKGKTLCIFQGVGKFILLLGFLYLFVCSLDVLSS AFQLVGGKVAGQFFSNNSIMSNPVAGLVIGVLVTVMVQSSSTSSSIIVSMVASSLLTVRA AIPIIMGANIGTSITNTIVALMQAGDRNEFRRAFAGATVHDFFNWLSVFVLLPLEAATHY LEILTNLVLETFKFQNGEDAPDILKVITDPFTKLIIQLDKKVIQQIAMGDSAAQNKSLIK IWCKSITNVTEMNVTVPSTDNCTSPSYCWTDGIQTWTIQNVTQKENIAKCQHIFVNFSLP DLAVGIILLTVSLVVLCGCLIMIVKLLGSVLRGQVATVIKKTLNTDFPFPFAWLTGYLAI LVGAGMTFIVQSSSVFTSAMTPLIGIGVISIERAYPLTLGSNIGTTTTAILAALASPGNT LRSSLQIALCHFFFNISGILLWYPIPFTRLPIRLAKGLGNISAKYRWFAVFYLIFFFFVT PLTVFGLSLAGWPVLVGVGVPIILLLLLVLCLRMLQFRCPRILPLKLRDWNFLPLWMHSL KPWDNVISLATTCFQRRCCCCCRVCCRVCCMVCGCKCCRCSKCCRDQGEEEEEKEQDIPV KASGAFDNAAMSKECQDEGKGQVEVLSMKALSNTTVF >ENSMUSP00000039670.5 pep:known chromosome:GRCm38:17:25188397:25234443:1 gene:ENSMUSG00000015127.14 transcript:ENSMUST00000039734.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unkl description:unkempt-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1921404] MPSVSKAAAAALSGSPPQTEKPTHYRYLKEFRTEQCSLFLQHKCSQHRPFTCFHWHFLNQ RRRRPLRRRDGTFNYSPDIYCSKYDEATGLCPDGDECPYLHRTTGDTERKYHLRYYKTGT CIHETDARGHCVKNGLHCAFAHGPLDLRPPVCDIRELQAQEALQNGQLSGGDGVPDLQPG VLASQAMIEKILGEDPRWQDSNFVLGSYKTEQCPKPPRLCRQGYACPHYHNSRDRRRNPR RFQYRSTPCPSVKHGDEWGEPSRCDGGDSCQYCHSRTEQQFHPEIYKSTKCNDMRQTGYC PRGPFCAFAHTEKSLAMVNEWSCRDLSSNSTSAYSSQPGSAKRKDSPSEGSQKATEDSKQ NHLAVFSVAHPLAHSISSSVASSLASSTGSGSSSPTTLPTLPARALPLDPAGNTVGAVIG SALDLRLSDINIASLDKDLEEQDLGLTGPRSLAGSAPVTIPGSLPRSPSLHSSSSLSTSP LSSLSQSLSGPLVSSAMTPPQQPPPLRSEPATLGSAASSYSSLGLNGVPGSIWDFVSGSF SPSPSPILNSGPSASSSASPNSAELARVRRQLDEAKRKIRQWEESWQQVKQACDAWQREA QEAKERARVADSDRQLALQRKEEVEAKVKQLQEELEGLGLSSLPGLQSLGDISDIPLPKL HSLQSKLRLDLEAVDGVIFQLRAKQCVACQERAHGTVLRPCQHRVLCEPCAASTPECPYC KGQPLPW >ENSMUSP00000124276.1 pep:known chromosome:GRCm38:17:25188914:25234443:1 gene:ENSMUSG00000015127.14 transcript:ENSMUST00000160896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unkl description:unkempt-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1921404] MIEKILGEDPRWQDSNFVLGSYKTEQCPKPPRLCRQGYACPHYHNSRDRRRNPRRFQYRS TPCPSVKHGDEWGEPSRCDGGDSCQYCHSRTEQQFHPEIYKSTKCNDMRQTGYCPRGPFC AFAHTEKSLAMVNEWSCRDLSSNSTSAYSSQPGSAKRKDSPSEGSQKATEDSKQNHLAVF SVAHPLAHSISSSVASSLASSTGSGSSSPTTLPTLPARALPLDPAGNTVGAVIGSALDLR LSDINIASLDKDLEEQDLGLTGPRSLAGSAPVTIPGSLPRSPSLHSSSSLSTSPLSSLSQ SLSGPLVSSAMTPPQQPPPLRSEPATLGSAASSYSSLGLNGVPGSIWDFVSGSFSPSPSP ILNSGPSASSSASPNSAELARVRRQLDEAKRKIRQWEESWQQVKQACDAWQREAQEAKER ARVADSDRQLALQRKEEVEAKVKQLQEELEGLGLSSLPGLQSLGDISDIPLPKLHSLQSK LRLDLEAVDGVIFQLRAKQCVACQERAHGTVLRPCQHRVLCEPCAASTPECPYCKGQPLP W >ENSMUSP00000124121.1 pep:known chromosome:GRCm38:17:25189464:25205734:1 gene:ENSMUSG00000015127.14 transcript:ENSMUST00000160785.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unkl description:unkempt-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1921404] RYLKEFRTEQCSLFLQHKCSQHRPFTCFHWHFLNQRRRRPLRRRDGTFNYSPDIYCSKYD EATGLCPDGDECPYLHRTTGDTERKYHLRYYKTGTCIHETDARGHCVKNGLHCAFAHGPL DLRPPVCDISSSLR >ENSMUSP00000124530.1 pep:known chromosome:GRCm38:17:25222539:25229457:1 gene:ENSMUSG00000015127.14 transcript:ENSMUST00000162498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unkl description:unkempt-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1921404] MRPPTLPGSALDLRLSDINIASLDKDLEEQDLGLTGPRSLAGSAPVTIPGSLPRSPSLHS SSSLSTSPLSSLSQSLSGPLVSSAMTPPQQPPPLRSEPATLGSAASSY >ENSMUSP00000015271.5 pep:known chromosome:GRCm38:17:25222582:25234442:1 gene:ENSMUSG00000015127.14 transcript:ENSMUST00000015271.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unkl description:unkempt-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1921404] MRPPTLPGSALDLRLSDINIASLDKDLEEQDLGLTGLNGVPGSIWDFVSGSFSPSPSPIL NSGPSASSSASPNSAELARVRRQLDEAKRKIRQWEESWQQVKQACDAWQREAQEAKERAR VADSDRQLALQRKEEVEAKVKQLQEELEGLGLSSLPGLQSLGDISDIPLPKLHSLQSKLR LDLEAVDGVIFQLRAKQCVACQERAHGTVLRPCQHRVLCEPCAASTPECPYCKGQPLPW >ENSMUSP00000125294.1 pep:known chromosome:GRCm38:17:25222779:25231867:1 gene:ENSMUSG00000015127.14 transcript:ENSMUST00000161679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unkl description:unkempt-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1921404] MTPPQQPPPLRSEPATLGSAASSYSSLGLNGVPGSIWDFVSGSFSPSPSPILNSGPSASS SASPNSAELARVRRQLDEAKRKIRQWEESWQQVKQACDAWQREAQEAKERARVADSDRQL ALQRKEEVEAKVKQLQEELEGLGLSSLPGLQSLGDISDIPLPKLHSLQSKLRLDLEAVDG VIFQLRAKQCVACQERAHGTVLRPCQHRVLCEPCAASTPECPYCKGQPLPW >ENSMUSP00000109791.1 pep:known chromosome:GRCm38:5:37289098:37333566:-1 gene:ENSMUSG00000029122.11 transcript:ENSMUST00000114154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evc description:Ellis van Creveld gene syndrome [Source:MGI Symbol;Acc:MGI:1890596] MRSTYSDDRILQCAFLRVGSFPEILACESVDIDLCVCSLHLKDLLQVDTALRQEKHLMFI QILKACLLDFFPKKKPDDELCQKVLSKQEHDLEELEKGLQARLANTEMLGTGDSGYVSLA DVERKERELSEQLIDNMGAFWKQMESIQPTLMDQFKCSSSKARQFMMTLTGRMIVAEGLL HDSQDLHVLDTLERTMGRSHLARMVEFLRTQIQEETKCRLAAISRGLELLTVQGQLSGRQ KEELLTQQHKAFWEEAERFGREFTQRGKDLVQASQARQAEAAAELTQTQEEERRSFLADS QLTSDPGEFLKAFHEVLERQRLTRSDQEGDEDTRITEAMAALCQELYCSTMGTFQKFVDS LFLKTLPEVTSLPVAECETLRQQVQEQAARQLGQADRFRRRQWGLLCDLLEQDKRVWLEE GTLSTVLQRQLRDHHESTIHGVLSRFSGLSEESSRGILQGHELLLCSALRRLALRGTTIT ALAQMRLSGKKRLLQELHEQLALEQGVSPCLEEHQWQLLRALEARIQEEAARLEDEAQQT GLRLQQQLLAEAQEAGRLLQLHMERVIGQALLVHARNVASKGRTREKEDFKRTLVETVVE SVYVTSTSVNRLVQAHYQAVGKLLQAHEEQLLQRLKTLQGERINAYKLWKKQEFSDPSLE SQTADGTHGASQGVQQRMLSQQKRLLDQFTKHQQGRLNSQRQKAQELDQLQAQLETQLQE AEQTLISELSTLARVPLPENKPFSNKRGLPEKPVRTKRKKPPPREREDLGTPNDDHLALA DHTTGPLRCEGFQPTAFLLPPVKCLESGNDSSQEYPKSVEPVGMAKIRNTSGRSCWRGYE ARGPLSSTAGVPVFKSH >ENSMUSP00000031005.4 pep:known chromosome:GRCm38:5:37299171:37336894:-1 gene:ENSMUSG00000029122.11 transcript:ENSMUST00000031005.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evc description:Ellis van Creveld gene syndrome [Source:MGI Symbol;Acc:MGI:1890596] MTCTKDARLQLGREALQAAPTLLVPAVLLGGVLGLGLGLWLGCRASHLRARLQKDDRKRL LGSSEPPAQSLRDTGSQAKARRRQRETTRDEDAPEVCEPSLSGNITAFALKARVVYPINQ KFRPLADGSSHPSLHENLTQAAAILPHLPHQPAEASPASSLGSLSQAGKEDGSSSSSMRS TYSDDRILQCAFLRVGSFPEILACESVDIDLCVCSLHLKDLLQVDTALRQEKHLMFIQIL KACLLDFFPKKKPDDELCQKVLSKQEHDLEELEKGLQARLANTEMLGTGDSGYVSLADVE RKERELSEQLIDNMGAFWKQMESIQPTLMDQFKCSSSKARQFMMTLTGRMIVAEGLLHDS QDLHVLDTLERTMGRSHLARMVEFLRTQIQEETKCRLAAISRGLELLTVQGQLSGRQKEE LLTQQHKAFWEEAERFGREFTQRGKDLVQASQARQAEAAAELTQTQEEERRSFLADSQLT SDPGEFLKAFHEVLERQRLTRSDQEGDEDTRITEAMAALCQELYCSTMGTFQKFVDSLFL KTLPEVTSLPVAECETLRQQVQEQAARQLGQADRFRRRQWGLLCDLLEQDKRVWLEEGTL STVLQRQLRDHHESTIHGVLSRFSGLSEESSRGILQGHELLLCSALRRLALRGTTITALA QMRLSGKKRLLQELHEQLALEQGVSPCLEEHQWQLLRALEARIQEEAARLEDEAQQTGLR LQQQLLAEAQEAGRLLQLHMERVIGQALLVHARNVASKGRTREKEDFKRTLVETVVESVY VTSTSVNRLVQAHYQAVGKLLQAHEEQLLQRLKTLQGERINAYKLWKKQEFSDPSLESQT ADGTHGASQGVQQRMLSQQKRLLDQFTKHQQGRLNSQRQKAQELDQLQAQLETQLQEAEQ TLISELSTLARVPLPENKPFSNKRGLPEKPVRTKRKKPPPREREDLGTPNDDHLALADHT TGPLSTTYSASPPIRVHSGGRLDQQDSEAGDGESTSKILQKGSNL >ENSMUSP00000114825.1 pep:known chromosome:GRCm38:5:37299722:37307229:-1 gene:ENSMUSG00000029122.11 transcript:ENSMUST00000146568.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evc description:Ellis van Creveld gene syndrome [Source:MGI Symbol;Acc:MGI:1890596] QGVQQRMLSQQKRLLDQFTKHQQGRLNSQRQKAQELDQLQAQLETQLQEAEQTLISELST LARVPLPENKPFSNKRGLPEKPVRTKRKKPPPREREDLGTPNDDHLALADHTTGPLSGGR LDQQDSEAGDGESTSKILQKGSNL >ENSMUSP00000120191.1 pep:known chromosome:GRCm38:5:37300484:37301613:-1 gene:ENSMUSG00000029122.11 transcript:ENSMUST00000139550.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evc description:Ellis van Creveld gene syndrome [Source:MGI Symbol;Acc:MGI:1890596] XARVPLPENKPFSNKRGLPEKPVRTKRKKPPPREREDLGTPNDDHLALADHTTGPLRCEG FQPTAFLLPPVKCLESGNDSSQGAHVRPRKC >ENSMUSP00000109785.1 pep:known chromosome:GRCm38:5:37313269:37336866:-1 gene:ENSMUSG00000029122.11 transcript:ENSMUST00000114148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evc description:Ellis van Creveld gene syndrome [Source:MGI Symbol;Acc:MGI:1890596] MTCTKDARLQLGREALQAAPTLLVPAVLLGGVLGLGLGLWLGCRASHLRARLQKDDRKRL LGSSEPPAQSLRDTGSQAKARRRQRETTRDEDAPEVCEPSLSGNITAFALKARVVYPINQ KFRPLADGSSHPSLHENLTQAAAILPHLPHQPAEASPASSLGSLSQAGKEDGSSSSSMRS TYSDDRILQCAFLRVGSFPEILACESVDIDLCVCSLHLKDLLQVDTALRQEKHLMFIQIL KACLLDFFPKKKPDDELCQKVLSKQEHDLEELEKGLQARLANTEMLGTGDSGYVSLADVE RKERELSEQLIDNMGAFWKQMESIQPTLMDQFKCSSSKARQFMMTLTGRMIVAEGLLHDS QDLHVLDTLERTMGRSHLARMVEFLRTQIQEETKCRLAAISRGLELLTVQGQLSGRQKEE LLTQQHKAFWEEAERFGREFTQRGKDLVQASQARQAEAAAELTQTQEEERRSFLADSQLT SDPGEFLKAFHEVLERQRLTRSDQEGDEDTRITEAMAALCQELYCSTMGTFQKFVDSLFL KTLPEVTSLPVAECETLRQQVQEQAARQLGQADRFRRRQWGLLCDLLEQDKRVWLEEGTL STVLQRQLRDHHESTIHGVLSRFSGLSEE >ENSMUSP00000056551.1 pep:known chromosome:GRCm38:4:48539935:48561918:1 gene:ENSMUSG00000039693.11 transcript:ENSMUST00000061135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msantd3 description:Myb/SANT-like DNA-binding domain containing 3 [Source:MGI Symbol;Acc:MGI:1913915] MQNNEIIKPAKYFSELEKSILLALVEKYKYVLECKKSDARTIALKQRTWQALAHEYNSQP SVSLRDFKQLKKCWENIKARTKKIMAHERREKVKRSGSPLLSSHVLDKEKISSMLPEQLY FLQSPPEEEPEYHQDAAAQESFAVSNRELCEDEKEFGPFPVCEGTSQPEPSCSAVRITAN KNYRSKTPQEGALKKMHEEEHHQQMSILQLQLIQMNEVHVAKIQQIERECEMAEEEHRIK MEVLNKKKMYWERKLQTFTKEWPVSSFNRPFPNSP >ENSMUSP00000065785.2 pep:known chromosome:GRCm38:4:48540079:48561577:1 gene:ENSMUSG00000039693.11 transcript:ENSMUST00000064807.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msantd3 description:Myb/SANT-like DNA-binding domain containing 3 [Source:MGI Symbol;Acc:MGI:1913915] MQNNEIIKPAKYFSELEKSILLALVEKYKYVLECKKSDARTIALKQRTWQALAHEYNSQP SVSLRDFKQLKKCWENIKARTKKIMAHERREKVKRSGSPLLSSHVLDKEKISSMLPEQLY FLQSPPEEEPEYHQDAAAQESFAVSNRELCEDEKEFGPFPVCEGTSQPEPSCSAVRITAN KNYRSKTPQEGALKKMHEEEHHQQMSILQLQLIQMNEVHVAKIQQIERECEMAEEEHRIK MEVLNKKKMYWERKLQTFTKEWPVSSFNRPFPNSP >ENSMUSP00000103332.1 pep:known chromosome:GRCm38:4:48540499:48561919:1 gene:ENSMUSG00000039693.11 transcript:ENSMUST00000107704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msantd3 description:Myb/SANT-like DNA-binding domain containing 3 [Source:MGI Symbol;Acc:MGI:1913915] MQNNEIIKPAKYFSELEKSILLALVEKYKYVLECKKSDARTIALKQRTWQALAHEYNSQP SVSLRDFKQLKKCWENIKARTKKIMAHERREKVKRSGSPLLSSHVLDKEKISSMLPEQLY FLQSPPEEEPEYHQDAAAQESFAVSNRELCEDEKEFGPFPVCEGTSQPEPSCSAVRITAN KNYRSKTPQEGALKKMHEEEHHQQMSILQLQLIQMNEVHVAKIQQIERECEMAEEEHRIK MEVLNKKKMYWERKLQTFTKEWPVSSFNRPFPNSP >ENSMUSP00000081322.5 pep:known chromosome:GRCm38:4:34550937:34566908:1 gene:ENSMUSG00000028291.7 transcript:ENSMUST00000084299.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akirin2 description:akirin 2 [Source:MGI Symbol;Acc:MGI:1889364] MACGATLKRTLDFDPLLSPASPKRRRCAPLSAPASAAASPAAATAAAAASAAAASPQKYL RMEPSPFGDVSSRLTTEQILYNIKQEYKRMQKRRHLEASFQQADPGCTSDSQPHAFLISG PASPGTSSATSSPLKKEQPLFTLRQVGMICERLLKEREEKVREEYEEILNTKLAEQYDAF VKFTHDQIMRRYGEQPASYVS >ENSMUSP00000058535.4 pep:known chromosome:GRCm38:12:103994743:104013652:-1 gene:ENSMUSG00000058260.2 transcript:ENSMUST00000058464.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina9 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 9 [Source:MGI Symbol;Acc:MGI:1919157] MGSSSFYRVLLLVGFCAPIFCMLSSNPYNQESSHLPSMKKNPASQVSPSNTRFSFLLYQR LAQENPGQNILFSPVSISTSLAMLSLGARSATKTQILRTLGFNFTWVSEPTIHMGFEYLV RSLNKCHQGRELRMGSVLFIRKELQLQATFLDRVKKLYGAKVFSEDFSNAATAQAQINSY VEKETKGKVVDVIQDLDSQTAMVLVNHIFFKANWTQPFSTANTNKSFPFLLSKGTTVHVP MMHQTESFAFGVDKELGCSILQMDYRGDAVAFFVLPGKGKMRQLEKSLSARRLRKWSRSL QKRWIKVFIPKFSISASYNLETILPKMGIRDAFNSNADFSGITKTHFLQVSKAAHKAVLD VSEEGTEAAAATTTKLIVRSRDTPSSIIAFKEPFLILLLDKNTESVLFLGKVENPRKM >ENSMUSP00000130064.1 pep:known chromosome:GRCm38:12:104008276:104013755:-1 gene:ENSMUSG00000058260.2 transcript:ENSMUST00000164023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina9 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 9 [Source:MGI Symbol;Acc:MGI:1919157] MGSSSFYRVLLLVGFCAPIFCMLSSNPYNQESSHLPSMKKNPASQVSPSNTRFSFLLYQR LAQENPGQNILFSPVSISTSLAMLSLGARSATKTQILRTLGFNFTWVSEPTIHMGFEYLV RSLNKCHQGRELRMGSVLFIRKELQLQATFLDRVKKLYGAKVFSEDFSNAATAQAQINSY VEKETKGKVVDVIQDLDSQTAMVLV >ENSMUSP00000113641.1 pep:known chromosome:GRCm38:16:13981702:13986855:-1 gene:ENSMUSG00000065968.7 transcript:ENSMUST00000117803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm7 description:interferon induced transmembrane protein 7 [Source:MGI Symbol;Acc:MGI:1921732] MGPALLRKLLHLFNLQHLKAPNCLKNQEMPKDQHEVVVMGTPHTSTSSTTTIITMPEISK PDYVVWSLFNTLFMNFCCLGFIAYAYSVKSRDRKMVGDMTGAQAFASTARCLNISCLILS VVMVILFITFFATRSFYPRLP >ENSMUSP00000136512.1 pep:known chromosome:GRCm38:16:13982799:13984621:-1 gene:ENSMUSG00000065968.7 transcript:ENSMUST00000178021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm7 description:interferon induced transmembrane protein 7 [Source:MGI Symbol;Acc:MGI:1921732] MPKDQHEVVVMGTPHTSTSSTTTIITMPEISKPDYVVWSLFNTLFMNFCCLGFIAYAYSV KSRDRKMVGDMTGAQAFASTARCLNISCLILSVVMVILFITFFATRR >ENSMUSP00000055297.5 pep:known chromosome:GRCm38:7:100285520:100319699:1 gene:ENSMUSG00000051048.17 transcript:ENSMUST00000057023.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha3 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha polypeptide III [Source:MGI Symbol;Acc:MGI:2444049] MGPGARLALLALLALGGDPAAATGREDTFSALTSVARALAPERRLLGTLRRYLRGEEARL RDLTRFYDKVLSLHEDLKIPVVNPLLAFTLIKRLQSDWRNVVHSLEATENIRALKDGYEK VEQDLPAFEDLEGAARALMRLQDVYMLNVKGLARGVFQRVTGSSITDLYSPRQLFSLTAD DCFQVGKVAYDTGDYYHAIPWLEEAVSLFRRAHGEWKTEDEASLEDALDYLAFACFQVGN VSCALSLSREFLVYSPDNKRMARNVLKYERLLAENGHQMAAETAIQRPNVPHLQTRDTYE GLCQTLGSQPTHYQIPSLYCSYETNSSPYLLLQPARKEVVHLRPLIALYHDFVSDEEAQK IRELAEPWLQRSVVASGEKQLQVEYRISKSAWLKDTVDPMLVTLDHRIAALTGLDIQPPY AEYLQVVNYGIGGHYEPHFDHATSPSSPLYRMKSGNRVATFMIYLSSVEAGGATAFIYGN FSVPVVKNAALFWWNLHRSGEGDGDTLHAGCPVLVGDKWVANKWIHEYGQEFRRPCSTNP ED >ENSMUSP00000117015.1 pep:known chromosome:GRCm38:7:100285520:100319699:1 gene:ENSMUSG00000051048.17 transcript:ENSMUST00000139790.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:P4ha3 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha polypeptide III [Source:MGI Symbol;Acc:MGI:2444049] MGPGARLALLALLALGGDPAAATGREDTFSALTSVARALAPERRLLGTLRRYLRGEEARL RDLTR >ENSMUSP00000119159.2 pep:known chromosome:GRCm38:7:100293810:100319698:1 gene:ENSMUSG00000051048.17 transcript:ENSMUST00000138465.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha3 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha polypeptide III [Source:MGI Symbol;Acc:MGI:2444049] XARALMRLQDVYMLNVKGLARGVFQRVTGSSITDLYSPRQLFSLTADDCFQVGKVAYDTG DYYHAIPWLEEAVSLFRRAHGEWKTEDEASLEDALDYLAFACFQVGNVSCALSLSREFLV YSPDNKRMARNVLKYERLLAENGHQMAAETAIQRPNVPHLQTRDTYEGLCQTLGSQPTHY QIPSLYCSYETNSSPYLLLQPARKEVVHLRPLIALYHDFVSDEEAQKIRELAEPWLQRSV VASGEKQLQVEYRISKSAWLKDTVDPMLVTLDHRIAALTGLDIQPPYAEYLQVVNYGIGG HYEPHFDHATLSSVEAGGATAFIYGNFSVPVVKNAALFWWNLHRSGEGDGDTLHAGCPVL VGDKWVANKWIHEYGQEFRRPCSTNPED >ENSMUSP00000034184.9 pep:known chromosome:GRCm38:8:92357796:92361456:1 gene:ENSMUSG00000031737.10 transcript:ENSMUST00000034184.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx5 description:Iroquois related homeobox 5 (Drosophila) [Source:MGI Symbol;Acc:MGI:1859086] MSYPQGYLYQPSASLALYSCPAYSTSVISGPRTDELGRSSSGSAFSPYAGSTAFTAPSPG YNSHLQYGADPAAAAAAAFSYVGSPYDHTPGMAGSLGYHPYAAPLGSYPYGDPAYRKNAT RDATATLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKMTWTP RNRSEDEEEEENIDLEKNDEDEPQKPEDKGDLEGPESGGAEQKATAGCERLQGPLSPAGK ETEGSLSDSDFKESSSEGRHDELPRPPRAGESSPAGPATARLAEDAGPHYPASVPAPGPH PSAGELPPGSGGSSVIHSPPPPPPPPPAVLAKPKLWSLAEIATSSDKVKDGGGGSEGSPC PPCPGPMGGQTLGGSRASPAPAPARSPSAQCPFPGGTVLSRPLYYTAPFYPGYTNYGSFG HLHGHPGPGPSPTAGPGSHFNGLNQTVLNRADVLAKDPKMLRSQSQLDLCKDSPYELKKG MSDI >ENSMUSP00000062758.5 pep:known chromosome:GRCm38:16:13986604:14101500:1 gene:ENSMUSG00000044117.12 transcript:ENSMUST00000056521.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2900011O08Rik description:RIKEN cDNA 2900011O08 gene [Source:MGI Symbol;Acc:MGI:1914504] MELKQSLSVHLEAEKPLRRYGAVEETAWKAEGLGSQLDIISMAETSMMPEEIELEMAKIQ RLREVLVRRESELRFMMDDIQLCKDIMDLKQELQNLVAIPEKEKTKLQKQREDELIQKIH RLVQKRDFLVDDAEVERLREQEEDKEMADFLRIKLKPLDKVTKTSASSRAEKKAEPPPSK PTVAKTGLALIKDCCGTTQCNIM >ENSMUSP00000112435.1 pep:known chromosome:GRCm38:16:13986657:14100228:1 gene:ENSMUSG00000044117.12 transcript:ENSMUST00000118412.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2900011O08Rik description:RIKEN cDNA 2900011O08 gene [Source:MGI Symbol;Acc:MGI:1914504] MELKQSLSVHLEAEKPLRRYGAVEETAWKAEGLGSQLDIISMAETSMMPEEIELEMAKIQ RLREVLVRRESELRFMMDDIQLCKDIMDLKQELQNLVAIPGNRKKTRKWLIS >ENSMUSP00000122790.1 pep:known chromosome:GRCm38:16:13986674:14095987:1 gene:ENSMUSG00000044117.12 transcript:ENSMUST00000131608.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2900011O08Rik description:RIKEN cDNA 2900011O08 gene [Source:MGI Symbol;Acc:MGI:1914504] MESGGTGKMDDIQLCKDIMDLKQELQNLVAIPEKEKTKLQKQREDELIQKIHRLVQKRDF LVDDAEVERLREQEEDKEMADFLRIKLKPLDKVTKTSA >ENSMUSP00000076540.2 pep:known chromosome:GRCm38:10:129704880:129705824:1 gene:ENSMUSG00000095401.1 transcript:ENSMUST00000077312.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr804 description:olfactory receptor 804 [Source:MGI Symbol;Acc:MGI:3030638] MKNQSMELDFILLGLTDDPQLQIVVFLFLFLNYMMSLVGNLIIVLLTLLDPRLKTPMYFF LRNFSYLEIMFTTVCIPKFLTAIVTGDKTVSYNNCATQLFFYLLLGVTEFYLLAAMSYDR YVAICRPLHYPIIMNSKVCHQLVLSSWVTGFLIIFPPLAMGLKLDFCDSRIIDHFMCETS PILQISCTDTHVIEMMSFVLAVVTLVVTLVLVSLSYSFIIKTIMSFPSAQQRTKAFSTCT SHMIVVSITYGSCIFMYIKPSARERVSVSKGVALLYTSIAPLLNPFIYTLRNQQVKEVFW DILRKTLGFLKNKV >ENSMUSP00000124149.1 pep:known chromosome:GRCm38:3:116742507:116807417:-1 gene:ENSMUSG00000033400.14 transcript:ENSMUST00000162792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agl description:amylo-1,6-glucosidase, 4-alpha-glucanotransferase [Source:MGI Symbol;Acc:MGI:1924809] MEHSKQIRILLLNEMEKLEKTLFRLEQGFELQFRLGPTLQGKAVTVYTNYPLPGEAFNRE KFRSLDWENPTEREDDSDKYCKLHLQQSGSFQYYFLQGNEKSGGGYIVVDPILRIGVDNH VLPLDCVTLQTFLAKCLGPFDEWESRLRVAKESGYNMIHFTPLQTLGLSRSCYSLADQLE LNPDFSRPSKRYTWSDVGQLVEKLKREWNILCITDVVYNHTATNSKWILEHPESAYNLVN SPHLKPAWVLDRALWHFSCDVADGKYREKGVPALIENDQHMNCIRKIIWEDIFPRIQLWE FFQVDVHKAVEQFRRLLSQENRRVTKSEPKEHLKIIQDPEYRRRGCAVDMDTALATFIPH DNGPAAIEECCNWFRKRLEELNSEKHHLTSCHQEQAVNCLLGNVFYERLAGHGPKLGPVT RKYPLVTRYFTFPFGEMALSAEEALIHLPDKACFLMAHNGWVMGDDPLRNFAEPGSDVYL RRELICWGDSVKLRYGNKPEDCPYLWAHMKKYTEITATHFQGVRLDNCHSTPLHVAEYML DAARKLQPNLYVVAELFTGSEELDNIFVTRLGISSLIREAMSAYNSHEEGRLVYRYGGEP VGSFVQPCLRPLMPAIAHALFMDITHDNECPIVHRSAYDALPSTTVVSMACCASGSTRGY DELVPHQISVVAEERFYTKWNPGASPADTGDVNVHSGIIAARCAINRLHQELGAKGFIQV YVDQVDEDIVAVTRHSPSIHQSVVAVSRTAFRNPKTSFYSKEVPQMCIPGKIEEVVLEAR TIERNTKPYKKDENSINGMPNMTVELREHIQLHESKIVRQAGVATKGPNEYIQEIEFENL SPGSVIIFRVSLDPHAQVAVGILRNHLTQFSSHFKSGSLAVDNADPILKIPFASIASKLT LAELNQVLYRCESEEQEDGGGCYDIPNWSSLKYAGLQGLMSVLAEIRPKNDLGHPFCENL RSGDWMIDYVSGRLISRSGSIAEVGKWLQAMFFYLKQIPRYLIPCYFDAILIGAYTTLLD VAWKQMSSFVQNGSTFVKHLSLGSVQMCGVGKCPCLPLLSPSLLDVPCRLNEITKEKEQC CASLAAGLPHFSSGLFRCWGRDTFIALRGMLLVTGRYLEARNIILAFASTLRHGLIPNLL GEGTYARYNCRDAVWWWLQCIQDYCRTVPNGLDILKCPVSRMYPTDDSAPLPAGTLDQPL FEVIQEAMQRHMQGIQFRERNAGPQIDRNMKDEGFNITAGIDEETGFVYGGNRFNCGTWM DKMGESDRARNRGIPATPRDGSAVEIVGLCKSAVRWLLELSKKNIFPYHEVRVKRHGKVV AVSYDEWNRKIQNNFEKLFHVSEDPSDPNEKHPNLVHKRGIYKDSYGASSPWCDYQLRPN FTIAMVVAPELFTAEKAWKALEIAEKKLLGPLGMKTLDPDDMVYCGVYDNALDNDNYNLA RGFNYHQGPEWLWPIGYFLRAKLYFSKMMGPETAAKTVFLVKNVLSRHYVHLERSPWKGL PELTNENGQYCPFSCETQAWSMAVVLETLYDL >ENSMUSP00000123985.2 pep:known chromosome:GRCm38:3:116743051:116781250:-1 gene:ENSMUSG00000033400.14 transcript:ENSMUST00000160484.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agl description:amylo-1,6-glucosidase, 4-alpha-glucanotransferase [Source:MGI Symbol;Acc:MGI:1924809] XHQISVVAEERFYTKWNPGASPADTGDVNVHSGIIAARCAINRLHQELGAKGFIQVYVDQ VDEDIVAVTRHSPSIHQSVVAVSRTAFRNPKTSFYSKEVPQMCIPGKIEEVVLEARTIER NTKPYKKDENSINGMPNMTVELREHIQLHESKIVRQAGVATKGPNEYIQEIEFENLSPGS VIIFRVSLDPHAQVAVGILRNHLTQFSSHFKSGSLAVDNADPILKIPFASIASKLTLAEL NQVLYRCESEEQEDGGGCYDIPNWSSLKYAGLQGLMSVLAEIRPKNDLGHPFCENLRSGD WMIDYVSGRLISRSGSIAEVGKWLQAMFFYLKQIPRYLIPCYFDAILIGAYTTLLDVAWK QMSSFVQNGSTFVKHLSLGSVQMCGVGKCPCLPLLSPSLLDVPCRLNEITKEKEQCCASL AAGLPHFSSGLFRCWGRDTFIALRGMLLVTGRYLEARNIILAFASTLRHGLIPNLLGEGT YARYNCRDAVWWWLQCIQDYCRTVPNGLDILKCPVSRMYPTDDSAPLPAGTLDQPLFEVI QEAMQRHMQGIQFRERNAGPQIDRNMKDEGFNITAGIDEETGFVYGGNRFNCGTWMDKMG ESDRARNRGIPATPRDGSAVEIVGLCKSAVRWLLELSKKNIFPYHEVRVKRHGKVVAVSY DEWNRKIQNNFEKLFHVSEDPSDPNEKHPNLVHKRGIYKDSYGASSPWCDYQLRPNFTIA MVVAPELFTAEKAWKALEIAEKKLLGPLGMKTLDPDDMVYCGVYDNALDNDNYNLARGFN YHQGPEWLWPIGYFLRAKLYFSKMMGPETAAKTVFLVKNVLSRHYVHLER >ENSMUSP00000123877.1 pep:known chromosome:GRCm38:3:116748082:116808042:-1 gene:ENSMUSG00000033400.14 transcript:ENSMUST00000161336.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agl description:amylo-1,6-glucosidase, 4-alpha-glucanotransferase [Source:MGI Symbol;Acc:MGI:1924809] MEHSKQIRILLLNEMEKLEKTLFRLEQGFELQFRLGPTLQGKAVTVYTNYPLPGEAFNRE KFRSLDWENPTEREDDSDKYCKLHLQQSGSFQYYFLQGNEKSGGGYIVVDPILRIGVDNH VLPLDCVTLQTFLAKCLGPFDEWESRLRVAKESGYNMIHFTPLQTLGLSRSCYSLADQLE LNPDFSRPSKRYTWSDVGQLVEKLKREWNILCITDVVYNHTVTSYSPLENWQY >ENSMUSP00000143582.1 pep:known chromosome:GRCm38:3:116754535:116808129:-1 gene:ENSMUSG00000033400.14 transcript:ENSMUST00000159742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agl description:amylo-1,6-glucosidase, 4-alpha-glucanotransferase [Source:MGI Symbol;Acc:MGI:1924809] MEHSKQIRILLLNEMEKLEKTLFRLEQGFELQFRLGPTLQGKAVTVYTNYPLPGEAFNRE KFRSLDWENPTEREDDSDKYCKLHLQQSGSFQYYFLQGNEKSGGGYIVVDPILRIGVDNH VLPLDCVTLQTFLAKCLGPFDEWESRLRVAKESGYNMIHFTPLQTLGLSRSCYSLADQLE LNPDFSRPSKRYTWSDVGQLVEKLKREWNILCITDVVYNHTATNSKWILEHPESAYNLVN SPHLKPAWVLDRALWHFSCDVADGKYREKGVPALIENDQHMNCIRKIIWEDIFPRIQLWE FFQVDVHKAVEQFRRLLSQENRRVTKSEPKEHLKIIQDPEYRRRGCAVDMDTALATFIPH DNGPAAIEECCNWFRKRLEELNSEKHHLTSCHQEQAVNCLLGNVFYERLAGHGPKLGPVT RKYPLVTRYFTFPFGEMALSAEEALIHLPDKACFLMAHNGWVMGDDPLRNFAEPGSDVYL RRELICWGDSVKLRYGNKPEDCPYLWAHMKKYTEITATHFQGVRLDNCHSTPLHVAEYML DAARKLQPNLYVVAELFTGSEELDNIFVTRLGISSLIREAMSAYNSHEEGRLVYRYGGEP VGSFVQPCLRPLMPAIAHALFMDITHDNECPIVHRSAYDALPSTTVVSMACCASGSTRGY DELVPHQISVVAEERFYTKWNPGASPADTGDVNVHSGIIAARCAINRLHQELGAKGFIQV YVDQVDEDIVAVTRHSPSIHQSVVAVSRTAFRNPKTSFYSKEVPQMCIPGKIEEVVLEAR TIERNTKPYKKDENSINGMPNMTVELREHIQLHESKIVRQAGVATKGPNEYIQEIEFENL SPGSVIIFRVSLDPHAQVAVGILRNHLTQFSSHFKSGSLAVDNADPILKIPFASIASKLT LAELNQVLYRCESEEQEDGGGCYDIPNWSSLKYAGLQGLMSVLAEIRPKNDLGHPFCENL RSGDWMIDYVSGRLISRSGSIAEVGKWLQAMFFYLKQIPRYLIPCYFDAILIGAYTTLLD VAWKQMSSFVQNGSTFVKHLSLGSVQMCGVGKCPCLPLLSPSLLDVPCRLNEITKEKEQC CASLAAGLPHFSSGLFRCWGRDTFIALRGMLLVTGRYLEARNIILAFASTLRHGLIPNLL GEGTYARYNCRDAVWWWLQCIQDYCRTVPNGLDILKCPVSRMYPTDDSAPLPAGTLDQPL FEVIQEAMQRHMQGIQFRERNAGPQIDRNMKDEGFNITAGIDEETGFVYGGNRFNCGTWM DKMGESDRARNRGIPATPR >ENSMUSP00000125246.1 pep:known chromosome:GRCm38:3:116780013:116781750:-1 gene:ENSMUSG00000033400.14 transcript:ENSMUST00000159995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agl description:amylo-1,6-glucosidase, 4-alpha-glucanotransferase [Source:MGI Symbol;Acc:MGI:1924809] XAMSAYNSHEEGRLVYRYGGEPVGSFVQPCLRPLMPAIAHALFMDITHDNECPIVHRSAY DALPSTTVVSMACCASGSTRGYDELVPHQISVVAEERFYTKWNPGASPADTGDVNVHSGI IAARCAINRLHQELGAKGFIQVYVDQVDEDIVAVTRHSPSIHQSVVAVSRTAFRNPKTSF YSKEVPQMCIPGNLRPHQ >ENSMUSP00000124840.1 pep:known chromosome:GRCm38:3:116792128:116807851:-1 gene:ENSMUSG00000033400.14 transcript:ENSMUST00000159670.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agl description:amylo-1,6-glucosidase, 4-alpha-glucanotransferase [Source:MGI Symbol;Acc:MGI:1924809] MEHSKQIRILLLNEMEKLEKTLFRLEQGFELQFRLGPTLQGKAVTVYTNYPLPGEAFNRE KFRSLDWENPTEREDDSDKYCKLHLQQSGSFQYYFLQGNEKSGGGYIVVDPILRIGV >ENSMUSP00000044012.7 pep:known chromosome:GRCm38:3:116739999:116808166:-1 gene:ENSMUSG00000033400.14 transcript:ENSMUST00000040603.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agl description:amylo-1,6-glucosidase, 4-alpha-glucanotransferase [Source:MGI Symbol;Acc:MGI:1924809] MEHSKQIRILLLNEMEKLEKTLFRLEQGFELQFRLGPTLQGKAVTVYTNYPLPGEAFNRE KFRSLDWENPTEREDDSDKYCKLHLQQSGSFQYYFLQGNEKSGGGYIVVDPILRIGVDNH VLPLDCVTLQTFLAKCLGPFDEWESRLRVAKESGYNMIHFTPLQTLGLSRSCYSLADQLE LNPDFSRPSKRYTWSDVGQLVEKLKREWNILCITDVVYNHTATNSKWILEHPESAYNLVN SPHLKPAWVLDRALWHFSCDVADGKYREKGVPALIENDQHMNCIRKIIWEDIFPRIQLWE FFQVDVHKAVEQFRRLLSQENRRVTKSEPKEHLKIIQDPEYRRRGCAVDMDTALATFIPH DNGPAAIEECCNWFRKRLEELNSEKHHLTSCHQEQAVNCLLGNVFYERLAGHGPKLGPVT RKYPLVTRYFTFPFGEMALSAEEALIHLPDKACFLMAHNGWVMGDDPLRNFAEPGSDVYL RRELICWGDSVKLRYGNKPEDCPYLWAHMKKYTEITATHFQGVRLDNCHSTPLHVAEYML DAARKLQPNLYVVAELFTGSEELDNIFVTRLGISSLIREAMSAYNSHEEGRLVYRYGGEP VGSFVQPCLRPLMPAIAHALFMDITHDNECPIVHRSAYDALPSTTVVSMACCASGSTRGY DELVPHQISVVAEERFYTKWNPGASPADTGDVNVHSGIIAARCAINRLHQELGAKGFIQV YVDQVDEDIVAVTRHSPSIHQSVVAVSRTAFRNPKTSFYSKEVPQMCIPGKIEEVVLEAR TIERNTKPYKKDENSINGMPNMTVELREHIQLHESKIVRQAGVATKGPNEYIQEIEFENL SPGSVIIFRVSLDPHAQVAVGILRNHLTQFSSHFKSGSLAVDNADPILKIPFASIASKLT LAELNQVLYRCESEEQEDGGGCYDIPNWSSLKYAGLQGLMSVLAEIRPKNDLGHPFCENL RSGDWMIDYVSGRLISRSGSIAEVGKWLQAMFFYLKQIPRYLIPCYFDAILIGAYTTLLD VAWKQMSSFVQNGSTFVKHLSLGSVQMCGVGKCPCLPLLSPSLLDVPCRLNEITKEKEQC CASLAAGLPHFSSGLFRCWGRDTFIALRGMLLVTGRYLEARNIILAFASTLRHGLIPNLL GEGTYARYNCRDAVWWWLQCIQDYCRTVPNGLDILKCPVSRMYPTDDSAPLPAGTLDQPL FEVIQEAMQRHMQGIQFRERNAGPQIDRNMKDEGFNITAGIDEETGFVYGGNRFNCGTWM DKMGESDRARNRGIPATPRDGSAVEIVGLCKSAVRWLLELSKKNIFPYHEVRVKRHGKVV AVSYDEWNRKIQNNFEKLFHVSEDPSDPNEKHPNLVHKRGIYKDSYGASSPWCDYQLRPN FTIAMVVAPELFTAEKAWKALEIAEKKLLGPLGMKTLDPDDMVYCGVYDNALDNDNYNLA RGFNYHQGPEWLWPIGYFLRAKLYFSKMMGPETAAKTVFLVKNVLSRHYVHLERSPWKGL PELTNENGQYCPFSCETQAWSMAVVLETLYDL >ENSMUSP00000131598.1 pep:known chromosome:GRCm38:8:81342556:81745890:1 gene:ENSMUSG00000037940.15 transcript:ENSMUST00000172167.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4b description:inositol polyphosphate-4-phosphatase, type II [Source:MGI Symbol;Acc:MGI:2158925] MEIKEEGTSEEGQHFLPAAQANDPEDIQFTSIQKIPNEPQLEFILGKEFFLIYSKQ >ENSMUSP00000131947.1 pep:known chromosome:GRCm38:8:81342558:82069002:1 gene:ENSMUSG00000037940.15 transcript:ENSMUST00000169116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4b description:inositol polyphosphate-4-phosphatase, type II [Source:MGI Symbol;Acc:MGI:2158925] MEIKEEGTSEEGQHFLPAAQANDPEDIQFTSIQKIPNEPQLEFILACRDLVAPVSDRKLN TVVQISVIHPVEQTLTRYSSTEIVEGTKDPLFLTGVTFPPDYPIYEETRIKLTVYDVKDK SHDTIRTSVLPEHKDPLPEVARSFLGCASFKVGELLKSKEQLLSLSLRTSDGGKVVGTIE VSLVKMGEIEDGDTDHITTDVQGQKCALMYESTAPESLSGKENLPFMNAVLRNPVCKLYR FPTSDNKWMRIREQMSESILSFHIPKELISLHIKEDLCRNQELKELGDLSPHWDNLRKNV LSHCDQMVTMYQDILTELSKETGSSFKSSSSKGEKTLEFVPVNLHLQRMQVHSPHLKDAL YDVITVGAPAAHFQGFKNGGLRKLLHRFETERRNTGYQFIYYSPENTAKAKEVLSSINQL QPLIATHADLLLTSASQRSPDSLKSSLKLLSEKTELFVHAFKDQLVRSALLALYTARPGG ILKKPPSPNVSTEEKSTQHDTPQLRRQDSIPHHSDYDEEEWDRVWANVGKSLNCIIAKVD KLIERDSHNEEGAGGSSSKDGEADHTLEDSITSHPREDWYEQLHPLILTLKECMGEVVNR AKQSLTFVLLQELAYSLPQCLMLTLRRDIVFSQALAGLVCGFIIKLHTSLHDPGFLQQLH TVGLIVQYEGLLSTYSDEIGMLEDMAVGISDLRKVAFKITEATSNDVLPVLTGRREHYVV EVKLPATVFESLPLQIKEGQLLHVYPVLFNVGINEQQTLAERFGDVSLQESINQENFELV QEYYSIFMEKMPPDYISHFQEQTDLKGLLDNLHQNIQA >ENSMUSP00000105478.2 pep:known chromosome:GRCm38:8:81342562:82122556:1 gene:ENSMUSG00000037940.15 transcript:ENSMUST00000109852.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4b description:inositol polyphosphate-4-phosphatase, type II [Source:MGI Symbol;Acc:MGI:2158925] MEIKEEGTSEEGQHFLPAAQANDPEDIQFTSIQKIPNEPQLEFILACRDLVAPVSDRKLN TVVQISVIHPVEQTLTRYSSTEIVEGTKDPLFLTGVTFPPDYPIYEETRIKLTVYDVKDK SHDTIRTSVLPEHKDPLPEVARSFLGCASFKVGELLKSKEQLLSLSLRTSDGGKVVGTIE VSLVKMGEIEDGDTDHITTDVQGQKCALMYESTAPESLSGKENLPFMNAVLRNPVCKLYR FPTSDNKWMRIREQMSESILSFHIPKELISLHIKEDLCRNQELKELGDLSPHWDNLRKNV LSHCDQMVTMYQDILTELSKETGSSFKSSSSKGEKTLEFVPVNLHLQRMQVHSPHLKDAL YDVITVGAPAAHFQGFKNGGLRKLLHRFETERRNTGYQFIYYSPENTAKAKEVLSSINQL QPLIATHADLLLTSASQRSPDSLKSSLKLLSEKTELFVHAFKDQLVRSALLALYTARPGG ILKKPPSPNVSTEEKSTQHDTPQLRRQDSIPHHSDYDEEEWDRVWANVGKSLNCIIAKVD KLIERDSHNEEGAGGSSSKDGEADHTLEDSITSHPREDWYEQLHPLILTLKECMGEVVNR AKQSLTFVLLQELAYSLPQCLMLTLRRDIVFSQALAGLVCGFIIKLHTSLHDPGFLQQLH TVGLIVQYEGLLSTYSDEIGMLEDMAVGISDLRKVAFKITEATSNDVLPVLTGRREHYVV EVKLPATVFESLPLQIKEGQLLHVYPVLFNVGINEQQTLAERFGDVSLQESINQENFELV QEYYSIFMEKMPPDYISHFQEQTDLKGLLDNLHQNIQAKKRKNVEIMWLAATICRKLNGI RFTCCKSAKDRTSMSVTLEQCSILRDEHQLHKDFFIRALDCMRSRQTQGALNESDDPETG CLTDNKPTSRHFYPVALLLVSSHLLVVWLILSLALLLAKYQ >ENSMUSP00000130104.1 pep:known chromosome:GRCm38:8:81342562:82122788:1 gene:ENSMUSG00000037940.15 transcript:ENSMUST00000169387.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Inpp4b description:inositol polyphosphate-4-phosphatase, type II [Source:MGI Symbol;Acc:MGI:2158925] MEIKEEGTSEEGQHFLPAAQANDPEDIQFTSIQKIPNEPQLEFILGNKRPTVPNGCHIPT GLPHL >ENSMUSP00000131324.1 pep:known chromosome:GRCm38:8:81342562:82125036:1 gene:ENSMUSG00000037940.15 transcript:ENSMUST00000172031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4b description:inositol polyphosphate-4-phosphatase, type II [Source:MGI Symbol;Acc:MGI:2158925] MEIKEEGTSEEGQHFLPAAQANDPEDIQFTSIQKIPNEPQLEFILACRDLVAPVSDRKLN TVVQISVIHPVEQTLTRYSSTEIVEGTKDPLFLTGVTFPPDYPIYEETRIKLTVYDVKDK SHDTIRTSVLPEHKDPLPEVARSFLGCASFKVGELLKSKEQLLSLSLRTSDGGKVVGTIE VSLVKMGEIEDGDTDHITTDVQGQKCALMYESTAPESLSGKENLPFMNAVLRNPVCKLYR FPTSDNKWMRIREQMSESILSFHIPKELISLHIKEDLCRNQELKELGDLSPHWDNLRKNV LSHCDQMVTMYQDILTELSKETGSSFKSSSSKGEKTLEFVPVNLHLQRMQVHSPHLKDAL YDVITVGAPAAHFQGFKNGGLRKLLHRFETERRNTGYQFIYYSPENTAKAKEVLSSINQL QPLIATHADLLLTSASQRSPDSLKSSLKLLSEKTELFVHAFKDQLVRSALLALYTARPGG ILKKPPSPNVSTEEKSTQHDTPQLRRQDSIPHHSDYDEEEWDRVWANVGKSLNCIIAKVD KLIERDSHNEEGAGGSSSKDGEADHTLEDSITSHPREDWYEQLHPLILTLKECMGEVVNR AKQSLTFVLLQELAYSLPQCLMLTLRRDIVFSQALAGLVCGFIIKLHTSLHDPGFLQQLH TVGLIVQYEGLLSTYSDEIGMLEDMAVGISDLRKVAFKITEATSNDVLPVLTGRREHYVV EVKLPATVFESLPLQIKEGQLLHVYPVLFNVGINEQQTLAERFGDVSLQESINQENFELV QEYYSIFMEKMPPDYISHFQEQTDLKGLLDNLHQNIQAKKRKNVEIMWLAATICRKLNGI RFTCCKSAKDRTSMSVTLEQCSILRDEHQLHKDFFIRALDCMRREGCRIENVLKNIKCRR YAFNMLQLMAFPKCYRPPEGTYGKADT >ENSMUSP00000044466.6 pep:known chromosome:GRCm38:8:81715200:82122561:1 gene:ENSMUSG00000037940.15 transcript:ENSMUST00000042529.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4b description:inositol polyphosphate-4-phosphatase, type II [Source:MGI Symbol;Acc:MGI:2158925] MEIKEEGTSEEGQHFLPAAQANDPEDIQFTSIQKIPNEPQLEFILACRDLVAPVSDRKLN TVVQISVIHPVEQTLTRYSSTEIVEGTKDPLFLTGVTFPPDYPIYEETRIKLTVYDVKDK SHDTRSFLGCASFKVGELLKSKEQLLSLSLRTSDGGKVVGTIEVSLVKMGEIEDGDTDHI TTDVQGQKCALMYESTAPESLSGKENLPFMNAVLRNPVCKLYRFPTSDNKWMRIREQMSE SILSFHIPKELISLHIKEDLCRNQELKELGDLSPHWDNLRKNVLSHCDQMVTMYQDILTE LSKETGSSFKSSSSKGEKTLEFVPVNLHLQRMQVHSPHLKDALYDVITVGAPAAHFQGFK NGGLRKLLHRFETERRNTGYQFIYYSPENTAKAKEVLSSINQLQPLIATHADLLLTSASQ RSPDSLKSSLKLLSEKTELFVHAFKDQLVRSALLALYTARPGGILKKPPSPNVSTEEKST QHDTPQLRRQDSIPHHSDYDEEEWDRVWANVGKSLNCIIAKVDKLIERDSHNEEGAGGSS SKDGEADHTLEDSITSHPREDWYEQLHPLILTLKECMGEVVNRAKQSLTFVLLQELAYSL PQCLMLTLRRDIVFSQALAGLVCGFIIKLHTSLHDPGFLQQLHTVGLIVQYEGLLSTYSD EIGMLEDMAVGISDLRKVAFKITEATSNDVLPVLTGRREHYVVEVKLPATVFESLPLQIK EGQLLHVYPVLFNVGINEQQTLAERFGDVSLQESINQENFELVQEYYSIFMEKMPPDYIS HFQEQTDLKGLLDNLHQNIQAKKRKNVEIMWLAATICRKLNGIRFTCCKSAKDRTSMSVT LEQCSILRDEHQLHKDFFIRALDCMRSRQTQGALNESDDPETGCLTDNKPTSRHFYPVAL LLVSSHLLVVWLILSLALLLAKYQ >ENSMUSP00000132156.1 pep:known chromosome:GRCm38:8:81773113:82069002:1 gene:ENSMUSG00000037940.15 transcript:ENSMUST00000170160.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4b description:inositol polyphosphate-4-phosphatase, type II [Source:MGI Symbol;Acc:MGI:2158925] MGEIEDGDTDHITTDVQGQKCALMYESTAPESLSGKENLPFMNAVLRNPVCKLYRFPTSD NKWMRIREQMSESILSFHIPKELISLHIKEDLCRNQELKELGDLSPHWDNLRKNVLSHCD QMVTMYQDILTELSKETGSSFKSSSSKGEKTLEFVPVNLHLQRMQVHSPHLKDALYDVIT VGAPAAHFQGFKNGGLRKLLHRFETERRNTGYQFIYYSPENTAKAKEVLSSINQLQPLIA THADLLLTSASQRSPDSLKSSLKLLSEKTELFVHAFKDQLVRSALLALYTARPGGILKKP PSPNVSTEEKSTQHDTPQLRRQDSIPHHSDYDEEEWDRVWANVGKSLNCIIAKVDKLIER DSHNEEGAGGSSSKDGEADHTLEDSITSHPREDWYEQLHPLILTLKECMGEVVNRAKQSL TFVLLQELAYSLPQCLMLTLRRDIVFSQALAGLVCGFIIKLHTSLHDPGFLQQLHTVGLI VQYEGLLSTYSDEIGMLEDMAVGISDLRKVAFKITEATSNDVLPVLTGRREHYVVEVKLP ATVFESLPLQIKEGQLLHVYPVLFNVGINEQQTLAERFGDVSLQESINQENFELVQEYYS IFMEKMPPDYISHFQEQTDLKGLLDNLHQNIQA >ENSMUSP00000105477.2 pep:known chromosome:GRCm38:8:81856368:82122570:1 gene:ENSMUSG00000037940.15 transcript:ENSMUST00000109851.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp4b description:inositol polyphosphate-4-phosphatase, type II [Source:MGI Symbol;Acc:MGI:2158925] METILSYFQRSFLGCASFKVGELLKSKEQLLSLSLRTSDGGKVVGTIEVSLVKMGEIEDG DTDHITTDVQGQKCALMYESTAPESLSGKENLPFMNAVLRNPVCKLYRFPTSDNKWMRIR EQMSESILSFHIPKELISLHIKEDLCRNQELKELGDLSPHWDNLRKNVLSHCDQMVTMYQ DILTELSKETGSSFKSSSSKGEKTLEFVPVNLHLQRMQVHSPHLKDALYDVITVGAPAAH FQGFKNGGLRKLLHRFETERRNTGYQFIYYSPENTAKAKEVLSSINQLQPLIATHADLLL TSASQRSPDSLKSSLKLLSEKTELFVHAFKDQLVRSALLALYTARPGGILKKPPSPNVST EEKSTQHDTPQLRRQDSIPHHSDYDEEEWDRVWANVGKSLNCIIAKVDKLIERDSHNEEG AGGSSSKDGEADHTLEDSITSHPREDWYEQLHPLILTLKECMGEVVNRAKQSLTFVLLQE LAYSLPQCLMLTLRRDIVFSQALAGLVCGFIIKLHTSLHDPGFLQQLHTVGLIVQYEGLL STYSDEIGMLEDMAVGISDLRKVAFKITEATSNDVLPVLTGRREHYVVEVKLPATVFESL PLQIKEGQLLHVYPVLFNVGINEQQTLAERFGDVSLQESINQENFELVQEYYSIFMEKMP PDYISHFQEQTDLKGLLDNLHQNIQAKKRKNVEIMWLAATICRKLNGIRFTCCKSAKDRT SMSVTLEQCSILRDEHQLHKDFFIRALDCMRSRQTQGALNESDDPETGCLTDNKPTSRHF YPVALLLVSSHLLVVWLILSLALLLAKYQ >ENSMUSP00000144843.1 pep:known chromosome:GRCm38:10:129722583:129723791:-1 gene:ENSMUSG00000096858.2 transcript:ENSMUST00000203573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr805 description:olfactory receptor 805 [Source:MGI Symbol;Acc:MGI:3030639] MKNQSVEIVFILLGLTDDPQLQILIFLFMFFNYILSLIGNLIIIFLTLLDLRLKTPMYFF LRNFSFLEMAFTSSCIPRFLMSILTGDKTISYGSCLTQLFFFFLLLITEFYLLAAMSYDR YVAICRPLHYPIIMNSKVCHLLVLSSWVTGFLSIFPPLMLGLKLDFCASKLIDHFLCDTS PVLQLSCTDTRFIEWMAFVIAIMTLIITLILVILSYTLIIKTILKFPSAQQRKKAFSTCS SHMVVVSITYGSCIFMYIKTSAKERVSLNKGVAVLNTSVAPLLNPFIYTLRNQQVKDAFK QVLQRLCHSQNSELRFRHQ >ENSMUSP00000145414.1 pep:known chromosome:GRCm38:10:129723401:129727172:-1 gene:ENSMUSG00000096858.2 transcript:ENSMUST00000204717.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr805 description:olfactory receptor 805 [Source:MGI Symbol;Acc:MGI:3030639] MKNQSVEIVFILLGLTDDPQLQILIFLFMFFNYILSLIGNLIIIFLT >ENSMUSP00000092874.1 pep:known chromosome:GRCm38:10:129722583:129723542:-1 gene:ENSMUSG00000096858.2 transcript:ENSMUST00000078876.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr805 description:olfactory receptor 805 [Source:MGI Symbol;Acc:MGI:3030639] MKNQSVEIVFILLGLTDDPQLQILIFLFMFFNYILSLIGNLIIIFLTLLDLRLKTPMYFF LRNFSFLEMAFTSSCIPRFLMSILTGDKTISYGSCLTQLFFFFLLLITEFYLLAAMSYDR YVAICRPLHYPIIMNSKVCHLLVLSSWVTGFLSIFPPLMLGLKLDFCASKLIDHFLCDTS PVLQLSCTDTRFIEWMAFVIAIMTLIITLILVILSYTLIIKTILKFPSAQQRKKAFSTCS SHMVVVSITYGSCIFMYIKTSAKERVSLNKGVAVLNTSVAPLLNPFIYTLRNQQVKDAFK QVLQRLCHSQNSELRFRHQ >ENSMUSP00000130207.1 pep:known chromosome:GRCm38:7:138940750:139026882:1 gene:ENSMUSG00000056856.11 transcript:ENSMUST00000166163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip3 description:janus kinase and microtubule interacting protein 3 [Source:MGI Symbol;Acc:MGI:1921254] MSKKGAGSRAKGDKAETLAALQAANEELRAKLTDIQIELQQEKSKVSKVEREKSQELKQV REHEQRKHAVLVTELKTKLHEEKMKELQAVREALLRQHEAELLRVIKIKDNENQRLQALL NTLRDGAPDKVKTVLLCEAKEEAKKGFEVEKVKMQQEISELKGAKKQVEEALTMVIQADK IKAAEIRSVYHLHQEEITRIKKECEREIRRLMEEIRFKDRAVFVLERELGVQAGHAQRLQ LQKEALDEQLSQAKEAERHPGSPRRELPYASGAGDASDHSGSPEQQLDEKDARRFQLKIA ELSAIIRKLEDRNALLSEERNELLKRLREAESQYKPLLDKNKRLTRKNEDLSHTLRRIES KLKFVTQENIEMRQRAGIIRRPSSLNDLDQSQDEREIDFLKLQIVEQQNLIDELSKTLET AGYVKSVLERDKLLRYRKQRKKMAKLPKPVVVETFFGYDEEASLESDGSSISYQTDRTDQ TPCTPEDDLEEGMAKEETELRFRQLTMEYQALQRAYALLQEQVGGTLDAEREVK >ENSMUSP00000127468.1 pep:known chromosome:GRCm38:7:139064641:139083976:1 gene:ENSMUSG00000056856.11 transcript:ENSMUST00000171843.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip3 description:janus kinase and microtubule interacting protein 3 [Source:MGI Symbol;Acc:MGI:1921254] FLFLFLFFSLAFILWS >ENSMUSP00000101717.1 pep:known chromosome:GRCm38:7:138940730:139026888:1 gene:ENSMUSG00000056856.11 transcript:ENSMUST00000106111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip3 description:janus kinase and microtubule interacting protein 3 [Source:MGI Symbol;Acc:MGI:1921254] MSKKGAGSRAKGDKAETLAALQAANEELRAKLTDIQIELQQEKSKVSKVEREKSQELKQV REHEQRKHAVLVTELKTKLHEEKMKELQAVREALLRQHEAELLRVIKIKDNENQRLQALL NTLRDGAPDKVKTVLLCEAKEEAKKGFEVEKVKMQQEISELKGAKKQVEEALTMVIQADK IKAAEIRSVYHLHQEEITRIKKECEREIRRLEQQLDEKDARRFQLKIAELSAIIRKLEDR NALLSEERNELLKRLREAESQYKPLLDKNKRLTRKNEDLSHTLRRIESKLKFVTQENIEM RQRAGIIRRPSSLNDLDQSQDEREIDFLKLQIVEQQNLIDELSKTLETAGYVKSVLERDK LLRYRKQRKKMAKLPKPVVVETFFGYDEEASLESDGSSISYQTDRTDQTPCTPEDDLEEG MAKEETELRFRQLTMEYQALQRAYALLQEQVGGTLDAEREVKV >ENSMUSP00000044094.4 pep:known chromosome:GRCm38:X:66653007:66656488:1 gene:ENSMUSG00000036790.5 transcript:ENSMUST00000036043.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slitrk2 description:SLIT and NTRK-like family, member 2 [Source:MGI Symbol;Acc:MGI:2679449] MLSGVWFLSVLTVAGILQTESRKTAKDICKIRCLCEEKENVLNINCENKGFTTVSLLQPP QYRIYQLFLNGNLLTRLYPNEFVNYSNAVTLHLGNNGLQEIRPGAFSGLKTLKRLHLNNN KLEVLREDTFLGLESLEYLQADYNYISTIEAGAFSKLNKLKVLILNDNLLLSLPSNVFRF VLLTHLDLRGNRLKVMPFAGVLEHIGGIMEIQLEENPWNCTCDLLPLKAWLDTITVFVGE IVCETPFRLHGKDVTQLTRQDLCPRKSASGDSSQRSSHSDTHVQRLTPTTNPALNPTRAP KASRPPKMRNRPTPRVTVSKDRQSFGPIMVYQTKSPVALTCPSSCVCTSQSSDNGLNVNC QERKFTNISDLQPKPTSPKKLYLTGNYLQTVYKNDLLEYSSLDLLHLGNNRIAVIQEGAF TNLTSLRRLYLNGNYLEVLYPSMFDGLQSLQYLYLEYNVIKEIKPLTFDALINLQLLFLN NNLLRSLPDNIFGGTALTRLNLRNNHFSHLPVKGVLDQLPAFIQIDLQENPWDCTCDIMG LKDWTEHANSPVIINEVTCESPAKHAGEILKFLGREAICPENPNLSDGTILSMNHNTDTP RSLSVSPSSYPELHTEVPLSVLILGLLVVFILSVCFGAGLFVFVLKRRKGVPNVPRNATN LDVSSFQLQYGSYNTETNDKADGHVYNYIPPPVGQMCQNPIYMQKEGDPVAYYRNLQDFS YGNLEEKKEEPATLAYTISATELLEKQATPREPELLYQNIAERVKELPSAGLVHYNFCTL PKRQFAPSYESRRQNQDRINKTVLYGTPRKCFVGQSKPDHPLLQAKPQSEPDYLEVLEKQ TAISQL >ENSMUSP00000130057.1 pep:known chromosome:GRCm38:X:66649318:66661393:1 gene:ENSMUSG00000036790.5 transcript:ENSMUST00000166241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slitrk2 description:SLIT and NTRK-like family, member 2 [Source:MGI Symbol;Acc:MGI:2679449] MLSGVWFLSVLTVAGILQTESRKTAKDICKIRCLCEEKENVLNINCENKGFTTVSLLQPP QYRIYQLFLNGNLLTRLYPNEFVNYSNAVTLHLGNNGLQEIRPGAFSGLKTLKRLHLNNN KLEVLREDTFLGLESLEYLQADYNYISTIEAGAFSKLNKLKVLILNDNLLLSLPSNVFRF VLLTHLDLRGNRLKVMPFAGVLEHIGGIMEIQLEENPWNCTCDLLPLKAWLDTITVFVGE IVCETPFRLHGKDVTQLTRQDLCPRKSASGDSSQRSSHSDTHVQRLTPTTNPALNPTRAP KASRPPKMRNRPTPRVTVSKDRQSFGPIMVYQTKSPVALTCPSSCVCTSQSSDNGLNVNC QERKFTNISDLQPKPTSPKKLYLTGNYLQTVYKNDLLEYSSLDLLHLGNNRIAVIQEGAF TNLTSLRRLYLNGNYLEVLYPSMFDGLQSLQYLYLEYNVIKEIKPLTFDALINLQLLFLN NNLLRSLPDNIFGGTALTRLNLRNNHFSHLPVKGVLDQLPAFIQIDLQENPWDCTCDIMG LKDWTEHANSPVIINEVTCESPAKHAGEILKFLGREAICPENPNLSDGTILSMNHNTDTP RSLSVSPSSYPELHTEVPLSVLILGLLVVFILSVCFGAGLFVFVLKRRKGVPNVPRNATN LDVSSFQLQYGSYNTETNDKADGHVYNYIPPPVGQMCQNPIYMQKEGDPVAYYRNLQDFS YGNLEEKKEEPATLAYTISATELLEKQATPREPELLYQNIAERVKELPSAGLVHYNFCTL PKRQFAPSYESRRQNQDRINKTVLYGTPRKCFVGQSKPDHPLLQAKPQSEPDYLEVLEKQ TAISQL >ENSMUSP00000048319.3 pep:known chromosome:GRCm38:4:34570796:34614944:-1 gene:ENSMUSG00000040044.11 transcript:ENSMUST00000048706.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc3 description:origin recognition complex, subunit 3 [Source:MGI Symbol;Acc:MGI:1354944] MHTGPRTMATSSVSKGCFVFKPDFKKRKVFVPIEDYFNNEELDSEDSKLRFETYSLLWQR MKSETEQLQEELNENLFDNLVDFLQKSHPEFQKNSRDWGSQMKFREIPTAALILGVNVTD HDVILRSLTETLQNNVTPYVVSLQAKDCPDVKHFLQKFTSQLMDCCVDRHSKEVTSGKAL KKTNYSMDSLCSWYSAVTQKADHKVTIKKRTASGHWRSPPVVLILKSMESFTSKVLQDFI TISSQHLHEFPLILIFGIATSPVIIHRLLPHSVSSLLCVELFQSLSCEQHLTVVLDKLLL TPQFPFKLSKKALQVLTNIFLYHDFSIQSFIKGIKLSLLEHFYSQPLSVLCCDLSEAKKR VNVFSVSQCENIRRLPSFRRYVENQPLGKQVALLTNETFLKEKTQSLLEDLHVYHINYFL VLRCLHNFTSSLPKYPLGRQIRELYCTCLEKKIWDSEEYKSALQLLRMLAKDELVSILQR CIEVLDSSTEKQLGNTTQKIKDFLTQFQNLDADSKEEEDACGSQPKGLQKTDLYHLQKSL LEMKELRRTKKPTKFEMLRENVMNFIDNLVRDYLLPPESQPLHEVVYFSAANTLREHLNA APRIALHTALNNPYYYLKNEELEGCIPNTAPDICIAYKLHLECSLLINLVDWAEAFATVV TAAEKMDANSTVSEEMSEVIHARFIRAVSELELLGFIKPTKQKTDHVARLTWGGC >ENSMUSP00000103777.1 pep:known chromosome:GRCm38:4:34570796:34614913:-1 gene:ENSMUSG00000040044.11 transcript:ENSMUST00000108142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc3 description:origin recognition complex, subunit 3 [Source:MGI Symbol;Acc:MGI:1354944] MHTGPRTMATSSVSKGCFVFKPDFKKRKVFVPIEDYFNNEELDSEDSKLRFETYSLLWQR MKSETEQLQEELNENLFDNLVDFLQKSHPEFQKNSRDWGSQMKFREIPTAALILGVNVTD HDVILRSLTETLQNNVTPYVVSLQAKDCPDVKHFLQKFTSQLMDCCVDRHSKEVTSGKAL KKTNYSMDSLCSWYSAVTQKADHKVTIKKRTASGHWRSPPVVLILKSMESFTSKVLQDFI TISSQHLHEFPLILIFGIATSPVIIHRLLPHSVSSLLCVELFQSLSCEQHLTVVLDKLLL TPQFPFKLSKKALQVLTNIFLYHDFSIQSFIKGIKLSLLEHFYSQPLSVLCCDLSEAKKR VNVFSVSQCENIRRLPSFRRYVENQPLGKQVALLTNETFLKEKTQSLLEDLHVYHINYFL VLRCLHNFTSSLPKYPLGRQIRELYCTCLEKKIWDSEEYKSALQLLRMLAKDELVSILQR CIEVLDSSTEKQLGNTTQKIKDFLTQFQNLDDSKEEEDACGSQPKGLQKTDLYHLQKSLL EMKELRRTKKPTKFEMLRENVMNFIDNLVRDYLLPPESQPLHEVVYFSAANTLREHLNAA PRIALHTALNNPYYYLKNEELEGCIPNTAPDICIAYKLHLECSLLINLVDWAEAFATVVT AAEKMDANSTVSEEMSEVIHARFIRAVSELELLGFIKPTKQKTDHVARLTWGGC >ENSMUSP00000119335.1 pep:known chromosome:GRCm38:4:34593059:34614893:-1 gene:ENSMUSG00000040044.11 transcript:ENSMUST00000140334.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc3 description:origin recognition complex, subunit 3 [Source:MGI Symbol;Acc:MGI:1354944] MATSSVSKQLQEELNENLFDNLVDFLQKSHPEFQKNSRDWGSQMKFREIPTAALILGVNV TDHDVILRSLTETLQNNVTPYVVSLQAKDCPDVKHFLQKFTSQLMDCCVDRHSKEVTSGK ALKKTNYSMDSLCSWYSAVTQKADHKVTIKKRTASGHWRSPPVVLILKSMESFTSKVLQD FITISSQHLHEFPLILIFGIATSPVIIHRLLPHSVSSLLCVELFQSLSCEQHLTVVLDKL LLTPQFPFKLSKKALQVLTNIFLYHDFSIQSFIKGIKV >ENSMUSP00000101653.2 pep:known chromosome:GRCm38:4:129608748:129614254:-1 gene:ENSMUSG00000078552.10 transcript:ENSMUST00000106037.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcdc2b description:doublecortin domain containing 2b [Source:MGI Symbol;Acc:MGI:2686212] AAKRILVYRNGDAFFPGHQLVVTQRRYPTMEALLYEVTSAVQAPLAVRVLYTLSDGHPVT NLADLQNGGQYVAAGFERFHKIHYLPPGMKHPGGKTRQQHEPPVSQRPCDGVFGQWLPAD APCYIHVFRNGDLLSPPFSLKLSQTAIQDWDTVLKLLSEKVTLQSGAVHKLCTLEGLPLS TGTALVNGHYYVAVGEEEFKALPYMELLVPSPSLSRGCWCPPGLKYKAHRKRVQGYKASA AQPFPKEPGETGPSTFYARPQQPWSKLPALSSPSGVTGVYGAPYPRKETAGAQEVNEDEN TRTEEPVDQRAAETAEEALSAQHQPGPEAVAPTSAHAPPS >ENSMUSP00000135913.1 pep:known chromosome:GRCm38:4:129608331:129614257:-1 gene:ENSMUSG00000078552.10 transcript:ENSMUST00000179209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcdc2b description:doublecortin domain containing 2b [Source:MGI Symbol;Acc:MGI:2686212] MAAKRILVYRNGDAFFPGHQLVVTQRRYPTMEALLYEVTSAVQAPLAVRVLYTLSDGHPV TNLADLQNGGQYVAAGFERFHKIQSLGDPSPYLAVLCLSVFRNGDLLSPPFSLKLSQTAI QDWDTVLKLLSEKVTLQSGAVHKLCTLEGLPLSTGTALVNGHYYVAVGEEEFKALPYMEL LVPSPSLSRGCWCPPGLKYKAHRKRVQGYKASAAQPFPKEPGETGPSTFYARPQQPWSKL PALSSPSGVTGVYGAPYPRKETAGAQEVNEDENTRTEEPVDQPAKAL >ENSMUSP00000053555.2 pep:known chromosome:GRCm38:4:12140267:12146700:1 gene:ENSMUSG00000046667.14 transcript:ENSMUST00000050069.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm12b1 description:RNA binding motif protein 12 B1 [Source:MGI Symbol;Acc:MGI:1919647] MAVVIRLLGLPFIAGPVDIRHFFKGLTIPDGGVHIIGGKVGEAFIIFATDEDARRAISRS GGFIKDSSVELFLSSKVEMQKTIEMKRTARVGRGRPGSGASGVGNVYHFSDALKEEESYS GYGSSVNRDAGFHTNGTGLDLRPRKTRPLKAENPYLFLRGLPYLVNEDDVRVFFSGLCVD GVILLKHHDGRNNGDAIVKFASCVDASGGLKCHRSFMGSRFIEVMQGSEQQWIEFGGTAT EGGDTPRMRSEEHSPSRRINGRHFRKRSHSKSPRARSRSPLGFYVHLKNLSLNTNKRDLR NLFRDTDLTNDQIKFVYKDERRTRYAFVMFKNQKDYNTALGLHKTVLQYRPVLIDPVSRK EMVRIIECYEKKRPESLEKERPGRVSQKYSQEGFSGSGQKLCIYIRNLPFDVTKGEVQKF FADFSLVEDDIYLLCDDKGVGLGEALVRFKSEEQAMKAERLNRQRFLGIEVLLRLISEEQ MQEFGVKSSWLSNERTQACSRSHDGDDCSCLFDLKDPSSCSFGQSESLRYHPKDLRKMGH FKHPQGYFRQSDRRSPEDFRHSPEDYRHPWEEHTSHSREEDWRLPLEDWKWSQEDDFRQC HEKDRRQLRSPWEEDFRRPSQEHFRRSYQEHIRQPPEEHFRRSREEDFRHVADEDFRQAS DEDFRTSQEDLRYPTDEDFRRVSVEDLREVPEKDLRLPKNFRSPGEEFWTPPDFRGQHPF GNFDHLQGGKFDFEKYKFGSFPDAKVTSDLNLNCVSDKIIPVKISNLPFKANASEILDFF HGYKVIPDSVSLQYNEQGLPIGEAIVAMTNYNEALAAVKDLSGRPVGPRKVKLSLL >ENSMUSP00000064195.7 pep:known chromosome:GRCm38:4:12140317:12146731:1 gene:ENSMUSG00000046667.14 transcript:ENSMUST00000069128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm12b1 description:RNA binding motif protein 12 B1 [Source:MGI Symbol;Acc:MGI:1919647] MAVVIRLLGLPFIAGPVDIRHFFKGLTIPDGGVHIIGGKVGEAFIIFATDEDARRAISRS GGFIKDSSVELFLSSKVEMQKTIEMKRTARVGRGRPGSGASGVGNVYHFSDALKEEESYS GYGSSVNRDAGFHTNGTGLDLRPRKTRPLKAENPYLFLRGLPYLVNEDDVRVFFSGLCVD GVILLKHHDGRNNGDAIVKFASCVDASGGLKCHRSFMGSRFIEVMQGSEQQWIEFGGTAT EGGDTPRMRSEEHSPSRRINGRHFRKRSHSKSPRARSRSPLGFYVHLKNLSLNTNKRDLR NLFRDTDLTNDQIKFVYKDERRTRYAFVMFKNQKDYNTALGLHKTVLQYRPVLIDPVSRK EMVRIIECYEKKRPESLEKERPGRVSQKYSQEGFSGSGQKLCIYIRNLPFDVTKGEVQKF FADFSLVEDDIYLLCDDKGVGLGEALVRFKSEEQAMKAERLNRQRFLGIEVLLRLISEEQ MQEFGVKSSWLSNERTQACSRSHDGDDCSCLFDLKDPSSCSFGQSESLRYHPKDLRKMGH FKHPQGYFRQSDRRSPEDFRHSPEDYRHPWEEHTSHSREEDWRLPLEDWKWSQEDDFRQC HEKDRRQLRSPWEEDFRRPSQEHFRRSYQEHIRQPPEEHFRRSREEDFRHVADEDFRQAS DEDFRTSQEDLRYPTDEDFRRVSVEDLREVPEKDLRLPKNFRSPGEEFWTPPDFRGQHPF GNFDHLQGGKFDFEKYKFGSFPDAKVTSDLNLNCVSDKIIPVKISNLPFKANASEILDFF HGYKVIPDSVSLQYNEQGLPIGEAIVAMTNYNEALAAVKDLSGRPVGPRKVKLSLL >ENSMUSP00000043962.4 pep:known chromosome:GRCm38:10:22645011:22704285:1 gene:ENSMUSG00000037490.5 transcript:ENSMUST00000042261.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a12 description:solute carrier family 2 (facilitated glucose transporter), member 12 [Source:MGI Symbol;Acc:MGI:3052471] MVPVENTEGPNLLNQKGREAETEGSCGASGGGHPACAGGPSMFTFLTSVTAAISGLLVGY ELGLISGALLQIRTLLALTCHEQEMVVSSLLIGAFLASLTGGVLIDRYGRRLAIILSSCL LGLGSLVLIMSLSYTLLIMGRVAIGVSISLSSIATCVYIAEIAPQHRRGLLVSLNELMIV TGILFAYISNYAFANISNGWKYMFGLVIPLGVLQAIAMYFLPPSPRFLVMKGQEESAGKV LRKLRVISDTTEELTLIKSSLKDEYQYSFWDLFRSKDNMRTRILIGLTLVFFVQTTGQPN ILFYASTVLKSVGFQSNEAASLASTGVGVVKVVSTIPATLLVDHIGSKTFLCIGSSVMSA SLLTMGIVNLNINMNFTNICRSHSLLNQSLEEFVFYATGNLSISNSSLREHFKRITPYSK GSFMPMGNGMEPKGEMTFTSSLPNAGLSRTEHQGVTDTAVVPAAYKWLSLASLLVYVAAF SIGLGPMPWLVLSEIFPGGIRGRAMALTSSMNWGVNLLISLTFLTVTDLIGLSWVCFIYT IMSLASLAFVVLFIPETKGCSLEQISVELAKANYVKNNICFMSHHQEELVPTQLQKRKPQ EQLPECNHLCGRGQSQRPSPDT >ENSMUSP00000021170.2 pep:known chromosome:GRCm38:11:116803062:116828046:-1 gene:ENSMUSG00000020814.13 transcript:ENSMUST00000021170.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxra7 description:matrix-remodelling associated 7 [Source:MGI Symbol;Acc:MGI:1914872] MESPVELLAALPALVTALALLLAWLLLRRGAARVPAPESTASDEAPGAPAPPEPPESCAP EPAPEGPSQSERVAEPEESEAEEPAAEGRQDEDSDSEMGPPTEEPEEEDGAAFSFKYSPG QLRGSQYKKMMTKEELEEEHRVQKEQLAAIFKLMKDNKDTFGEMSDGDMQEQLRLYDM >ENSMUSP00000038213.5 pep:known chromosome:GRCm38:11:116807729:116828013:-1 gene:ENSMUSG00000020814.13 transcript:ENSMUST00000047715.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxra7 description:matrix-remodelling associated 7 [Source:MGI Symbol;Acc:MGI:1914872] MESPVELLAALPALVTALALLLAWLLLRRGAARVPAPESTASDEAPGAPAPPEPPESCAP EPAPEGPSQSERVAEPEESEAEEPAAEGRQDEDSDSEMGPPTEEPEEEDGAAFSFKYSPG QLRGSQYKKMMTKEELEEEHRIELTSDLTSL >ENSMUSP00000134412.1 pep:known chromosome:GRCm38:11:116807913:116828003:-1 gene:ENSMUSG00000020814.13 transcript:ENSMUST00000150015.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mxra7 description:matrix-remodelling associated 7 [Source:MGI Symbol;Acc:MGI:1914872] MESPVELLAALPALVTALALLLAWLLLRRGAARVPAPESTASDEAPGAPAPPEPPESCAP EPAPEGPSQSERVAEPEESEAEEPAAEGRQDEDSDSEMGPPTEEPEEEDGAAFSFKYSPG QLRGSQYKKMMTKEELEEEHRIELTSDLTSL >ENSMUSP00000035976.1 pep:known chromosome:GRCm38:6:83711264:83738313:1 gene:ENSMUSG00000034777.2 transcript:ENSMUST00000037807.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vax2 description:ventral anterior homeobox 2 [Source:MGI Symbol;Acc:MGI:1346018] MGDGGAERDRGPKRREEPGGRSGRHGEHRGAEDLRADTGSASPREIAGTSASSPAGSRES GGDSDGQQALGETDHCRRILVRDAKGTIREIVLPKGLDLDRPKRTRTSFTAEQLYRLEME FQRCQYVVGRERTELARQLNLSETQVKVWFQNRRTKQKKDQSRDLEKRASSSASEAFATS NVLRLLEQGRLLSVPRAPSLLALTPGLPGLPASHRGTSLVDPRNSSPRLNPMPSASASSP LPPPLPAICFSSAPLLDLPAGYKLGSSAFEPYSRLEQQKVGSPGQSDKKADI >ENSMUSP00000093315.2 pep:known chromosome:GRCm38:6:97238534:97252759:-1 gene:ENSMUSG00000044086.8 transcript:ENSMUST00000095655.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmod3 description:leiomodin 3 (fetal) [Source:MGI Symbol;Acc:MGI:2444169] MSGHSRNSEQEDTLSEELDEDELLANLSPEELKELQSEMEVMAPDPHLPVGMIQKDQTDK APTGNFNHKSLVDYMYLQKASRRMLEDERVPVSFVQSEKNTQNQREVGDKGIKNMPQFLK EKLNSEILAKKRESNGSNNVQEAEDDDEDEEEEEEDDEDEEEEEEDEEDDEGEEDEDGEQ ANREKNDAKEQIHNNPGTYQQLATKTAHEQKDTSETKEKGEKKIAKLDPKKLALDTSFLK VSARPSGNQTDLDGSLRRVRQNDPDMKELNLNNIENIPKEMLLDFVNAMKKNKHIKTFSL ANVGADESVAFALANMLRENRSVTTLNIESNFITGKGIVAIMRCLQFNETLTELRFHNQR HMLGHHAEMEISRLLKANTTLLKMGYHFELPGPRMVVTNLLTRNQDKRRQKRQEEQQQQQ LKEQRKLIAMLENGLGLPPGMWERLGGPMPDPRMQEFFQPASGRPLDAQEVPFGSRKEMI KNPPQPPQCKTDPDSFRVVKLKRIQRKSRMPEAREAQEKTNLKDVIKTLKPVPRNRPPPL VEITPRDQLLNDIRHSNVAYLKPVQLPKELE >ENSMUSP00000069011.5 pep:known chromosome:GRCm38:3:122728947:122859374:1 gene:ENSMUSG00000053965.10 transcript:ENSMUST00000066728.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde5a description:phosphodiesterase 5A, cGMP-specific [Source:MGI Symbol;Acc:MGI:2651499] MERAGPNSVRSQQQRDPDWVEAWLDDHRDFTFSYFIRKATRDMVNAWFSERVHNIPVCKE GIRAHTESCSCSLQQSPHADNTTPGAPARKISASEFDRPLRPIVVKDSEGTVSFLSDSGK KEQMPLTPPRFDSDEGDQCSRLLELVKDISSHLDVTALCHKIFLHIHGLISADRYSLFLV CEDSSKDKFLISRLFDVAEGSTLEEASNNCIRLEWNKGIVGHVAAFGEPLNIKDAYEDPR FNAEVDQITGYKTQSILCMPIKNHREEVVGVAQAINKKSGNGGTFTEKDEKDFAAYLAFC GIVLHNAQLYETSLLENKRNQVLLDLASLIFEEQQSLEVILKKIAATIISFMQVQKCTIF IVDEDCPDSFSRVFHMECEEVGKPSDPLTREQDANKINYMYAQYVKNTMEPLNIPDVTKD KRFPWTNENMGHVNTPCIGSLLCTPIKNGKKNKVIGVCQLVNKMEENTGKIKAFNQNDEQ FLEAFVIFCGLGIQNTQMYEAVERAMAKQMVTLEVLSYHASAAEEETRELQALSAAVVPS AQTLKITDFSFSDFELSDLETALCTIRMFTDLNLVQNFQMKHEVLCRWILSVKKNYRKNV AYHNWRHAFNTAQCMFAALKAGKIQNKLTDLETLALLIAALSHDLDHRGVNNSYIQRSEH PLAQLYCHSIMEHHHFDQCLMILNSPGNQILSGLSIDEYKTTLKIIKQAILATDLALYIK RRGEFFELIRKNQFSFEDPLQKELFLAMLMTACDLSAITKPWPIQQRIAELVAAEFFDQG DRERKELNMEPADLMNREKKNKIPSMQVGFIDAICLQLYEALTHVSEDCLPLLDGCRKNR QKWQALAEQQEKMLLNGESSQGKRD >ENSMUSP00000143042.1 pep:known chromosome:GRCm38:3:122729570:122859372:1 gene:ENSMUSG00000053965.10 transcript:ENSMUST00000200389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde5a description:phosphodiesterase 5A, cGMP-specific [Source:MGI Symbol;Acc:MGI:2651499] MLPFGDKTRDMVNAWFSERVHNIPVCKEGIRAHTESCSCSLQQSPHADNTTPGAPARKIS ASEFDRPLRPIVVKDSEGTVSFLSDSGKKEQMPLTPPRFDSDEGDQCSRLLELVKDISSH LDVTALCHKIFLHIHGLISADRYSLFLVCEDSSKDKFLISRLFDVAEGSTLEEASNNCIR LEWNKGIVGHVAAFGEPLNIKDAYEDPRFNAEVDQITGYKTQSILCMPIKNHREEVVGVA QAINKKSGNGGTFTEKDEKDFAAYLAFCGIVLHNAQLYETSLLENKRNQVLLDLASLIFE EQQSLEVILKKIAATIISFMQVQKCTIFIVDEDCPDSFSRVFHMECEEVGKPSDPLTREQ DANKINYMYAQYVKNTMEPLNIPDVTKDKRFPWTNENMGHVNTPCIGSLLCTPIKNGKKN KVIGVCQLVNKMEENTGKIKAFNQNDEQFLEAFVIFCGLGIQNTQMYEAVERAMAKQMVT LEVLSYHASAAEEETRELQALSAAVVPSAQTLKITDFSFSDFELSDLETALCTIRMFTDL NLVQNFQMKHEVLCRWILSVKKNYRKNVAYHNWRHAFNTAQCMFAALKAGKIQNKLTDLE TLALLIAALSHDLDHRGVNNSYIQRSEHPLAQLYCHSIMEHHHFDQCLMILNSPGNQILS GLSIDEYKTTLKIIKQAILATDLALYIKRRGEFFELIRKNQFSFEDPLQKELFLAMLMTA CDLSAITKPWPIQQRIAELVAAEFFDQGDRERKELNMEPADLMNREKKNKIPSMQVGFID AICLQLYEALTHVSEDCLPLLDGCRKNRQKWQALAEQQEKMLLNGESSQGKRD >ENSMUSP00000114223.1 pep:known chromosome:GRCm38:10:22703879:22731447:-1 gene:ENSMUSG00000071359.13 transcript:ENSMUST00000127698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbpl1 description:TATA box binding protein-like 1 [Source:MGI Symbol;Acc:MGI:1339946] MDADSDVALDILITNVVCVFRTRCHLNLRKIALEGANVIYKRDVGKVLMKLRKPRITATI WSSGKIICTGATSEEEAKFGARRLARSLQKLGFQVIFTDFKVVNVLAVCNMPFEIRLPEF TKNNRPHASYEPELHPAVCYRIKSLRATLQIFSTGSITVTGPNVKAVATAVEQIYPFVFE SRKEIL >ENSMUSP00000093470.3 pep:known chromosome:GRCm38:10:22705381:22731938:-1 gene:ENSMUSG00000071359.13 transcript:ENSMUST00000095794.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbpl1 description:TATA box binding protein-like 1 [Source:MGI Symbol;Acc:MGI:1339946] MDADSDVALDILITNVVCVFRTRCHLNLRKIALEGANVIYKRDVGKVLMKLRKPRITATI WSSGKIICTGATSEEEAKFGARRLARSLQKLGFQVIFTDFKVVNVLAVCNMPFEIRLPEF TKNNRPHASYEPELHPAVCYRIKSLRATLQIFSTGSITVTGPNVKAVATAVEQIYPFVFE SRKEIL >ENSMUSP00000139237.1 pep:known chromosome:GRCm38:14:25942539:25956356:1 gene:ENSMUSG00000095975.3 transcript:ENSMUST00000184016.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cphx1 description:cytoplasmic polyadenylated homeobox 1 [Source:MGI Symbol;Acc:MGI:2145733] MLSKNFPGAPETKDNRSKARKRYGSRNSKPRHKFSRDELKRLKQEFAYAPYPDFTTKDEL ARQFQCEVSVIDNWFQNKRARLAPELKSKISAMRRMRRCQDYMRTGHQDTQPPKASGEQY SSCDSVVRSIGRQSIGTVEHQGAAGRESSFRPTNFTFPPVYEQYYMGDQLETQETQYFTF SY >ENSMUSP00000113677.1 pep:known chromosome:GRCm38:3:51685907:51796536:-1 gene:ENSMUSG00000061143.15 transcript:ENSMUST00000118075.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maml3 description:mastermind like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2389461] MASVCVCFVCLSVCLHILGKDRVGQPVRSKDPAFVEQHAVGIPRTTGPMQNSVPSGSGSM VSGASPGGLGFLGSQPQAAIMKQMLMDQRAQLMEHQKQQFLREQRQQQQQQQQQQQQQQQ QQQILAEQQLQQPHLPRQHLQQQRNPYPVQQVNQFQGSPQDIAAVRNQVALQSMRASRLI AQNAGMMGMGPSQNPGTMATAAAQSEIGLASYSAPPTSQPGMYNMNTGMTQMLQHSNQSG MGIPHNQSQGPRPPASSQGVGMVSGFGQSMLVNSALSQQHQQLKGSVGQALPRPQGPPRL QSVMGTVQQGAQNWQQRSLQGVPGRTSGELGPFNNGASYPLQAGQPRLTKQHFPQGLSQP VMDANTGAVRTLNPAAMGRQMMPTLAGQQSASQVRPLVMPGLSQGVPGMPAFSQPPAQQQ IAGGNFAASNQGQAYERTPAQDMSYSYSGEGVGAAFPGLPDSTDLVDSIIKSGPGDEWMQ ELDELFGNP >ENSMUSP00000112637.1 pep:known chromosome:GRCm38:3:51685907:52105076:-1 gene:ENSMUSG00000061143.15 transcript:ENSMUST00000121440.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maml3 description:mastermind like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2389461] MGDFAAPAAAANGSSICINSSLSSSLGGAGIGVNTPNSTPVAPSGNHPAAGGCGGSGGPG GSSAAVPKHSTVVERLRQRIEGCRRHHVNCENRYQQAQVEQLELERRDTVSLYQRTLEQR AKKSGAGSGKQQHPSKTQQDAEAASAEQRNHTLIMLQETVKRKLEGARSPLNGDQQNGAC DGSFSPTSKRIRKDLGTGLEAINNLPNNMPLTSASPLHQLDLKPSLPLQNSAAHTPGLLE DLSKNGRLPVNGCGDLEDSFAILQNKDLKQEPLDDPACIDTSETSLSNQNKLFSDINLND QEWQELIDELANTVPEDDIQDLFNEDFEEKKEQEFSQTTMETPLSQESVSVKSDASHSPF AHVSLGSPQARPSSSGPPFSTVSTGTNLPSVANTPGAQNPASSPANCAVQSPQTPTQAHT PGQAPPRPGNGYLLNPVSVAVSGSGSGSVAGPSSDMSPAEQLKQMAAQQQQRAKLMQQKQ QQQQQQQQQQQQQQQQQQHSNQTSSWSPLGPPSSPYGTAFASEKPNSPMMYPQAFNNQNT IVPAMANSLQKTTMNNYLPSNHMNMISQQPNNLGTNSLNKQHNILTYGNTKPLTHFNADL SPRMTPPMANPNKTPLMPYIQQPQQSQQPQPQPPQQQPPPPPQLQAPRAHLSEDQKRMLL IKQKGVMNPPMAYAALPAHGQEQHAVGIPRTTGPMQNSVPSGSGSMVSGASPGGLGFLGS QPQAAIMKQMLMDQRAQLMEHQKQQFLREQRQQQQQQQQQQQQQQQQQQILAEQQLQQPH LPRQHLQQQRNPYPVQQVNQFQGSPQDIAAVRNQVALQSMRASRLIAQNAGMMGMGPSQN PGTMATAAAQSEIGLASYSAPPTSQPGMYNMNTGMTQMLQHSNQSGMGIPHNQSQGPRPP ASSQGVGMVSGFGQSMLVNSALSQQHQQLKGSVGQALPRPQGPPRLQSVMGTVQQGAQNW QQRSLQGVPGRTSGELGPFNNGASYPLQAGQPRLTKQHFPQGLSQPVMDANTGAVRTLNP AAMGRQMMPTLAGQQSASQVRPLVMPGLSQGVPGMPAFSQPPAQQQIAGGNFAASNQGQA YERTPAQDMSYSYSGEGVGAAFPGLPDSTDLVDSIIKSGPGDEWMQELDELFGNP >ENSMUSP00000120211.1 pep:known chromosome:GRCm38:11:3649759:3669410:1 gene:ENSMUSG00000034543.14 transcript:ENSMUST00000140242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc2a description:microrchidia 2A [Source:MGI Symbol;Acc:MGI:1921772] MAFTNYSSLNRAQLTFEYLHTNSTTHEFLFGALAELVDNARDADATRIDIYAERREDLRG GFMLCFLDDGAGMDPSDAASVIQFGKSAKRTPESTQIGQYGNG >ENSMUSP00000094176.3 pep:known chromosome:GRCm38:11:3650253:3690477:1 gene:ENSMUSG00000034543.14 transcript:ENSMUST00000096441.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc2a description:microrchidia 2A [Source:MGI Symbol;Acc:MGI:1921772] MAFTNYSSLNRAQLTFEYLHTNSTTHEFLFGALAELVDNARDADATRIDIYAERREDLRG GFMLCFLDDGAGMDPSDAASVIQFGKSAKRTPESTQIGQYGNGLKSGSMRIGKDFILFTK KEDTMTCLFLSRTFHEEEGIDEVIVPLPTWNARTREPITDNVEKFAIETELVYKYSPFHT EEQVMNQFMKIPGNSGTLVIIFNLKLMDNGEPELDIISNPKDIQMAETSPEGTKPERRSF RAYAAVLYIDPRMRIFIHGHKVQTKRLSCCLYKPRMYKYTSSRFKTRAEQEVKKAEHVAR IAEEKAREAESKARTLEVRMGGDLTRDSRVMLRQVQNTAITLRREADVKKRIKDAKQRAL KEPKELNFVFGVNIEHRDLDGMFIYNCSRLIKMYEKVGPQLEGGMACGGVVGVVDVPYLV LEPTHNKQDFADAKEYRHLLRAMGEHLAQYWKDIAIAQRGIIKFWDEFGYLSANWNQPPS SELRFKRRRAMEIPTTIQCDLCLKWRTLPFQLSSVETDYPDTWVCSMNPDPEQDRCEASE QKQKVPLGTLKKDPKTQEEKQKQLTEKIRQQQEKLEALQKTTPIRSQADLKKLPLEVTTR PIEEPVRRPQRPRSPPLPAVIKNAPSRPPSIQTPRPSTQLRKTSVISLPKPPTTAARGET STSRLLQPTEAPRKPANPPIKTVPRPTPPVHTPPLSLIPSSKSLREVPAQKAIKTPVVKK PEPPVKQSVATSGRKRSLAVSDEEEAEEEAEKRRERCKRGKLAVKEEKKEANELSDSAGE DHPAELRKAQKDKGLHVEVRVNREWYTGRVTAVEVGKNAVRWKVKFDYVPTDTTPRDRWV EKGSEDVRLMKPPSPEHQSPDTQQEGGEEEEAMVARQAVALPEPSTSDGLPIEPDTTATS PSHETIDLLVQILRNCLRYFLPPSFPISKKELSVMNSEELISFPLKEYFKQYEVGLQNLC HSYQSRADSRAKASEESLRTSEKKLRETEEKLQKLRTNIVALLQKVQEDIDINTDDELDA YIEDLITKGD >ENSMUSP00000091087.2 pep:known chromosome:GRCm38:11:3649494:3690370:1 gene:ENSMUSG00000034543.14 transcript:ENSMUST00000093389.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc2a description:microrchidia 2A [Source:MGI Symbol;Acc:MGI:1921772] MAFTNYSSLNRAQLTFEYLHTNSTTHEFLFGALAELVDNARDADATRIDIYAERREDLRG GFMLCFLDDGAGMDPSDAASVIQFGKSAKRTPESTQIGQYGNGLKSGSMRIGKDFILFTK KEDTMTCLFLSRTFHEEEGIDEVIVPLPTWNARTREPITDNVEKFAIETELVYKYSPFHT EEQVMNQFMKIPGNSGTLVIIFNLKLMDNGEPELDIISNPKDIQMAETSPEGTKPERRSF RAYAAVLYIDPRMRIFIHGHKVQTKRLSCCLYKPRMYKYTSSRFKTRAEQEVKKAEHVAR IAEEKAREAESKARTLEVRMGGDLTRDSRVMLRQVQNTAITLRREADVKKRIKDAKQRAL KEPKELNFVFGVNIEHRDLDGMFIYNCSRLIKMYEKVGPQLEGGMACGGVVGVVDVPYLV LEPTHNKQDFADAKEYRHLLRAMGEHLAQYWKDIAIAQRGIIKFWDEFGYLSANWNQPPS SELRFKRRRAMEIPTTIQCDLCLKWRTLPFQLSSVETDYPDTWVCSMNPDPEQDRCEASE QKQKVPLGTLKKDPKTQEEKQKQLTEKIRQQQEKLEALQKTTPIRSQADLKKLPLEVTTR PIEEPVRRPQRPRSPPLPAVIKNAPSRPPSIQTPRPSTQLRKTSVISLPKPPTTAARGET STSRLLQPTEAPRKPANPPIKTVPRPTPPVHTPPLSLIPSSKSLREVPAQKAIKTPVVKK PEPPVKQSVATSGRKRSLAVSDEEEAEEEAEKRRERCKRGKLAVKEEKKEANELSDSAGE DHPAELRKAQKDKGLHVEVRVNREWYTGRVTAVEVGKNAVRWKVKFDYVPTDTTPRDRWV EKGSEDVRLMKPPSPEHQSPDTQQEGGEEEEAMVARQAVALPEPSTSDGLPIEPDTTATS PSHETIDLLVQILRNCLRYFLPPSFPISKKELSVMNSEELISFPLKEYFKQYEVGLQNLC HSYQSRADSRAKASEESLRTSEKKLRETEEKLQKLRTNIVALLQKVQEDIDINTDDELDA YIEDLITKGD >ENSMUSP00000110077.2 pep:known chromosome:GRCm38:6:52169062:52213115:-1 gene:ENSMUSG00000079560.13 transcript:ENSMUST00000114434.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa3 description:homeobox A3 [Source:MGI Symbol;Acc:MGI:96175] MQKATYYDSSAIYGGYPYQAANGFAYNASQQPYAPSAALGTDGVEYHRPACSLQSPASAG GHPKTHELSEACLRTLSGPPSQPPGLGEPPLPPPPPQAAPPAPQPPQPPPQPPAPTPAAP PPPSSVSPPQSANSNPTPASTAKSPLLNSPTVGKQIFPWMKESRQNTKQKTSGSSSGESC AGDKSPPGQASSKRARTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLTERQIKIWF QNRRMKYKKDQKGKGMLTSSGGQSPSRSPVPPGAGGYLNSMHSLVNSVPYEPQSPPPFSK PPQGAYGLPPASYPAPLPSCAPPPPPQKRYTAAGSGAGGTPDYDPHAHGLQGNGSYGTPH LQGSPVFVGGSYVEPMSNSGPLFGLTHLPHTTSAAMDYGGTGPLGSGHHHGPGPGEPHPT YTDLTAHHPSQGRIQEAPKLTHL >ENSMUSP00000114711.1 pep:known chromosome:GRCm38:6:52172571:52183855:-1 gene:ENSMUSG00000079560.13 transcript:ENSMUST00000134831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa3 description:homeobox A3 [Source:MGI Symbol;Acc:MGI:96175] MQKATYYDSSAIYGGYPYQAANGFAY >ENSMUSP00000097909.3 pep:known chromosome:GRCm38:11:105292646:105351139:1 gene:ENSMUSG00000020695.14 transcript:ENSMUST00000100335.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrc2 description:mannose receptor, C type 2 [Source:MGI Symbol;Acc:MGI:107818] MVPIRPALAPWPRHLLRCVLLLGGLRLGHPADSAAALLEPDVFLIFSQGMQGCLEAQGVQ VRVTPVCNASLPAQRWKWVSRNRLFNLGATQCLGTGWPVTNTTVSLGMYECDREALSLRW QCRTLGDQLSLLLGARASNASKPGTLERGDQTRSGHWNIYGSEEDLCARPYYEVYTIQGN SHGKPCTIPFKYDNQWFHGCTSTGREDGHLWCATTQDYGKDERWGFCPIKSNDCETFWDK DQLTDSCYQFNFQSTLSWREAWASCEQQGADLLSITEIHEQTYINGLLTGYSSTLWIGLN DLDTSGGWQWSDNSPLKYLNWESDQPDNPGEENCGVIRTESSGGWQNHDCSIALPYVCKK KPNATVEPIQPDRWTNVKVECDPSWQPFQGHCYRLQAEKRSWQESKRACLRGGGDLLSIH SMAELEFITKQIKQEVEELWIGLNDLKLQMNFEWSDGSLVSFTHWHPFEPNNFRDSLEDC VTIWGPEGRWNDSPCNQSLPSICKKAGRLSQGAAEEDHGCRKGWTWHSPSCYWLGEDQVI YSDARRLCTDHGSQLVTITNRFEQAFVSSLIYNWEGEYFWTALQDLNSTGSFRWLSGDEV IYTHWNRDQPGYRRGGCVALATGSAMGLWEVKNCTSFRARYICRQSLGTPVTPELPGPDP TPSLTGSCPQGWVSDPKLRHCYKVFSSERLQEKKSWIQALGVCRELGAQLLSLASYEEEH FVAHMLNKIFGESEPESHEQHWFWIGLNRRDPREGHSWRWSDGLGFSYHNFARSRHDDDD IRGCAVLDLASLQWVPMQCQTQLDWICKIPRGVDVREPDIGRQGRLEWVRFQEAEYKFFE HHSSWAQAQRICTWFQADLTSVHSQAELDFLGQNLQKLSSDQEQHWWIGLHTLESDGRFR WTDGSIINFISWAPGKPRPIGKDKKCVYMTARQEDWGDQRCHTALPYICKRSNSSGETQP QDLPPSALGGCPSGWNQFLNKCFRIQGQDPQDRVKWSEAQFSCEQQEAQLVTIANPLEQA FITASLPNVTFDLWIGLHASQRDFQWIEQEPLLYTNWAPGEPSGPSPAPSGTKPTSCAVI LHSPSAHFTGRWDDRSCTEETHGFICQKGTDPSLSPSPAATPPAPGAELSYLNHTFRLLQ KPLRWKDALLLCESRNASLAHVPDPYTQAFLTQAARGLQTPLWIGLASEEGSRRYSWLSE EPLNYVSWQDEEPQHSGGCAYVDVDGTWRTTSCDTKLQGAVCGVSRGPPPRRINYRGSCP QGLADSSWIPFREHCYSFHMEVLLGHKEALQRCQKAGGTVLSILDEMENVFVWEHLQTAE AQSRGAWLGMNFNPKGGTLVWQDNTAVNYSNWGPPGLGPSMLSHNSCYWIQSSSGLWRPG ACTNITMGVVCKLPRVEENSFLPSAALPESPVALVVVLTAVLLLLALMTAALILYRRRQS AERGSFEGARYSRSSHSGPAEATEKNILVSDMEMNEQQE >ENSMUSP00000021038.4 pep:known chromosome:GRCm38:11:105292668:105343871:1 gene:ENSMUSG00000020695.14 transcript:ENSMUST00000021038.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrc2 description:mannose receptor, C type 2 [Source:MGI Symbol;Acc:MGI:107818] MVPIRPALAPWPRHLLRCVLLLGGLRLGHPADSAAALLEPDVFLIFSQGMQGCLEAQGVQ VRVTPVCNASLPAQRWKWVSRNRLFNLGATQCLGTGWPVTNTTVSLGMYECDREALSLRW QCRTLGDQLSLLLGARASNASKPGTLERGDQTRSGHWNIYGSEEDLCARPYYEVYTIQGN SHGKPCTIPFKYDNQWFHGCTSTGREDGHLWCATTQDYGKDERWGFCPIKSNDCETFWDK DQLTDSCYQFNFQSTLSWREAWASCEQQGADLLSITEIHEQTYINGLLTGYSSTLWIGLN DLDTSGGWQWSDNSPLKYLNWESDQPDNPGEENCGVIRTESSGGWQNHDCSIALPYVCKK KPNATVEPIQPDRWTNVKVECDPSWQPFQGHCYRLQAEKRSWQESKRACLRGGGDLLSIH SMAELEFITKQIKQEVEELWIGLNDLKLQMNFEWSDGSLVSFTHWHPFEPNNFRDSLEDC VTIWGPEGRWNDSPCNQSLPSICKKAGRLSQGAAEEDHGCRKGWTWHSPSCYWLGEDQVI YSDARRLCTDHGSQLVTITNRFEQAFVSSLIYNWEGEYFWTALQDLNSTGSFRWLSGDEV IYTHWNRDQPGYRRGGCVALATGSAMGLWEVKNCTSFRARYICRQSLGTPVTPELPGPDP TPSLTGSCPQGWVSDPKLRHCYKVFSSERLQEKKSWIQALGVCRELGAQLLSLASYEEEH FVAHMLNKIFGESEPESHEQHWFWIGLNRRDPREGHSWRWSDGLGFSYHNFARSRHDDDD IRGCAVLDLASLQWVPMQCQTQLDWICKIPRGVDVREPDIGRQGRLEWVRFQEAEYKFFE HHSSWAQAQRICTWFQADLTSVHSQAELDFLGQNLQKLSSDQEQHWWIGLHTLESDGRFR WTDGSIINFISWAPGKPRPIGKDKKCVYMTARQEDWGDQRCHTALPYICKRSNSSGETQP QDLPPSALGGCPSGWNQFLNKVGSWEGTRRVRGEDGNRCWLSLRHPPSCSATPFICPHTP LCANESEVPWKVAQCWSTREQNYLNTLVSFLDQ >ENSMUSP00000124192.2 pep:known chromosome:GRCm38:3:64690660:64844765:-1 gene:ENSMUSG00000062200.12 transcript:ENSMUST00000161972.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r7 description:vomeronasal 2, receptor 7 [Source:MGI Symbol;Acc:MGI:2441693] MKMASRNKCLILGFTVFLWVELYTQYPHIDQNVTCRLLRKFNLTGYVEAENHSVVIGGLF PVHYRTMPTSDSDEEIESPMCEGFNFRGFRWMKTMIHTIKEINERKDILPNHTLGYQIFD NCFSITKAMESSSVFLTGQEEYKPNWRNSTGKFLIGIIGAGGSTMSAAVSRIVGIHHVPQ VGYASSSSIFSNDIQFPYILRTIPSDKFQSEAIVNLIRHFGWVWVGAIASDDDYGKYGVK FFREEMESANLCVAFSETIPKVYSNEKMKIAVDAVKSSTAKVIVLYATDIDLSPFVLEVI HHNITDRTWIATEAWITSALIAKPEYFPYFGGTIGFAVPRSVIPGLKEFLYDVHPSKDPN DVLTIEFWQTAFNCTWPNSTVPYNVDHRVNMTGKEDRLYDMSDQLCTGEEKLEDLKSTYL DTSQLRITNNVRQAVYLLAHAIDLFSQADIREEYRENAVLENKPNFESVKLWLYLTKIKF ITHDGRKIELGRNGDVLNGSYDILNWHMDNTGEITFVKVGEYKFTSSKYEFVLPKNSTLF WNTESSRLPDSVCTKVCPPGTRKGIHQGQPICCFDCIPCTDGYVSEKPGQRLCDPCGEND WSNAEKNKCVPKHVEFLAYEEALGFTLVILSIFGALVVLAVTVVYVIHRHTPLVKANDRE LSFLIQVSLGITVLSSMLFIGKPCNWSCKTRQVTLALGFCLCLSSILGKTVSLFFAYRMS ISKTRLISMHPIFRKLIVLICVVGEIGVCTAYLVLEPPSLFKNIEPQNVKIIFECNEGSI EFLCSIFGFDVLLALLCFVTTFVARQLPDNYYEGKCITFGMLVFFIVWISFVPAYLGTKG KFNVAVEIFAILASSYGLLGCVFLPKCFIILLRPKRNTDETVGGRVPTVDRSIQLTSASV SSELNSTTVSTVLDE >ENSMUSP00000131220.1 pep:known chromosome:GRCm38:3:64690660:64719602:-1 gene:ENSMUSG00000062200.12 transcript:ENSMUST00000168072.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r7 description:vomeronasal 2, receptor 7 [Source:MGI Symbol;Acc:MGI:2441693] MKTMIHTIKEINERKDILPNHTLGYQIFDNCFSITKAMESSSVFLTGQEEYKPNWRNSTG KFLIGIIGAGGSTMSAAVSRIVGIHHVPQVGYASSSSIFSNDIQFPYILRTIPSDKFQSE AIVNLIRHFGWVWVGAIASDDDYGKYGVKFFREEMESANLCVAFSETIPKVYSNEKMKIA VDAVKSSTAKVIVLYATDIDLSPFVLEVIHHNITDRTWIATEAWITSALIAKPEYFPYFG GTIGFAVPRSVIPGLKEFLYDVHPSKDPNDVLTIEFWQTAFNCTWPNSTVPYNVDHRVNM TGKEDRLYDMSDQLCTGEEKLEDLKSTYLDTSQLRITNNVRQAVYLLAHAIDLFSQADIR EEYRENAVLENKPNFESVKLWLYLTKIKFITHDGRKIELGRNGDVLNGSYDILNWHMDNT GEITFVKVGEYKFTSSKYEFVLPKNSTLFWNTESSRLPDSVCTKVCPPGTRKGIHQGQPI CCFDCIPCTDGYVSEKPGQRLCDPCGENDWSNAEKNKCVPKHVEFLAYEEALGFTLVILS IFGALVVLAVTVVYVIHRHTPLVKANDRELSFLIQVSLGITVLSSMLFIGKPCNWSCKTR QVTLALGFCLCLSSILGKTVSLFFAYRMSISKTRLISMHPIFRKLIVLICVVGEIGVCTA YLVLEPPSLFKNIEPQNVKIIFECNEGSIEFLCSIFGFDVLLALLCFVTTFVARQLPDNY YEGKCITFGMLVFFIVWISFVPAYLGTKGKFNVAVEIFAILASSYGLLGCVFLPKCFIIL LRPKRNTDETVGGRVPTVDRSIQLTSASVSSELNSTTVSTVLDE >ENSMUSP00000111239.2 pep:known chromosome:GRCm38:17:17402672:17459387:1 gene:ENSMUSG00000047786.12 transcript:ENSMUST00000115576.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lix1 description:limb expression 1 homolog (chicken) [Source:MGI Symbol;Acc:MGI:1913893] MDRTLESLRHIIAQALPHRDPALVFKDLNVVSMLQEFWESKQQQKATFSSEGLVVYESMP SSGPPFVSYVTLPGGSCFGNFQCCLSRAEARRDAAKVALINSLFNELPSRRITKEFIMES VQEAVASTRGTLDDADDPSTSVGAYHYMLESNMGKTMLEFQELMTIFQLLHWNGSLKALR ETKCSRQEVISYYSQYSLDEKMRSHMALDWIMKERESPGILSQELRAALGQLEEARKAGQ ELRFYKEKKEILSLALTQIYSDPDPSSPSDDQLSLTALCGYH >ENSMUSP00000105120.1 pep:known chromosome:GRCm38:13:93303757:93386744:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000109494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MGEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINST ITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQEFKEAARLAKEKSQEK MELTSTPSQESAGGDLQSPLTPESINGTDDERTPDVTQNSEPRAEPTQNALPFPHSAGDR TQALSHARQVPYC >ENSMUSP00000099813.3 pep:known chromosome:GRCm38:13:93304495:93360462:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000102752.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MGEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINST ITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQEFKEAARLAKEKSQEK MELTSTPSQESAGGDLQSPLTPESINGTDDERTPDVTQNSEPRAEPTQNALPFPHRYTFN SAIMIK >ENSMUSP00000105118.1 pep:known chromosome:GRCm38:13:93305184:93366400:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000109492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MGEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINST ITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQEFKEAARLAKEKSQEK MELTSTPSQESAGGDLQSPLTPESINGTDDERTPDVTQNSEPRAEPTQNALPFPHSSSRW IFFPYCEDSQLSLLESSSGLGYF >ENSMUSP00000079026.5 pep:known chromosome:GRCm38:13:93304799:93403681:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000080127.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MGEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINST ITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQEFKEAARLAKEKSQEK MELTSTPSQESAGGDLQSPLTPESINGTDDERTPDVTQNSEPRAEPTQNALPFPHSAGDR TQALSHASSAISKHWEAELATLKGNNAKLTAALLESTANVKQWKQQLAAYQEEAERLHKR VTELECVSSQANAVHSHKTELNQTVQELEETLKVKEEEIERLKQEIDNARELQEQRDSLT QKLQEVEIRNKDLEGQLSDLEQRLEKSQNEQEAFRSNLKTLLEILDGKIFELTELRDNLA KLLECS >ENSMUSP00000105119.1 pep:known chromosome:GRCm38:13:93304102:93367128:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000109493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MGEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINST ITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQEFKEAARLAKEKSQEK MELTSTPSQESAGGDLQSPLTPESINGTDDERTPDVTQNSEPRAEPTQNALPFPHRQPTF FAGELLWPWLFLKLVKSPFPLEEEDLQFILIGKIQMTWKKHNSVKRPTHVTQF >ENSMUSP00000050471.4 pep:known chromosome:GRCm38:13:93305159:93403790:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000060490.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MGEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINST ITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQEFKEAARLAKEKSQEK MELTSTPSQESAGGDLQSPLTPESINGTDDERTPDVTQNSEPRAEPTQNALPFPHSSAIS KHWEAELATLKGNNAKLTAALLESTANVKQWKQQLAAYQEEAERLHKRVTELECVSSQAN AVHSHKTELNQTVQELEETLKVKEEEIERLKQEIDNARELQEQRDSLTQKLQEVEIRNKD LEGQLSDLEQRLEKSQNEQEAFRSNLKTLLEILDGKIFELTELRDNLAKLLECS >ENSMUSP00000105123.1 pep:known chromosome:GRCm38:13:93305103:93402270:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000109497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MGSAISKHWEAELATLKGNNAKLTAALLESTANVKQWKQQLAAYQEEAERLHKRVTELEC VSSQANAVHSHKTELNQTVQELEETLKVKEEEIERLKQEIDNARELQEQRDSLTQKLQEV EIRNKDLEGQLSDLEQRLEKSQNEQEAFRSNLKTLLEILDGKIFELTELRDNLAKLLECS >ENSMUSP00000078093.6 pep:known chromosome:GRCm38:13:93308034:93404129:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000079086.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MLIHHHNRRALCKGSPTTEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNV YRIISLDGSKAIINSTITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQ EFKEAARLAKEKSQEKMELTSTPSQESAGGDLQSPLTPESINGTDDERTPDVTQNSEPRA EPTQNALPFPHSSAISKHWEAELATLKGNNAKLTAALLESTANVKQWKQQLAAYQEEAER LHKRVTELECVSSQANAVHSHKTELNQTVQELEETLKVKEEEIERLKQEIDNARELQEQR DSLTQKLQEVEIRNKDLEGQLSDLEQRLEKSQNEQEAFRSNLKTLLEILDGKIFELTELR DNLAKLLECS >ENSMUSP00000105124.1 pep:known chromosome:GRCm38:13:93305103:93402270:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000109498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MGAGDRTQALSHASSAISKHWEAELATLKGNNAKLTAALLESTANVKQWKQQLAAYQEEA ERLHKRVTELECVSSQANAVHSHKTELNQTVQELEETLKVKEEEIERLKQEIDNARELQE QRDSLTQKLQEVEIRNKDLEGQLSDLEQRLEKSQNEQEAFRSNLKTLLEILDGKIFELTE LRDNLAKLLECS >ENSMUSP00000105122.1 pep:known chromosome:GRCm38:13:93305278:93402306:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000109496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MGEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINST ITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKVTELECVSSQANAVHSHKTELN QTVQELEETLKVKEEEIERLKQEIDNARELQEQRDSLTQKLQEVEIRNKDLEGQLSDLEQ RLEKSQNEQEAFRSNLKTLLEILDGKIFELTELRDNLAKLLECS >ENSMUSP00000105121.1 pep:known chromosome:GRCm38:13:93305278:93402306:1 gene:ENSMUSG00000007617.17 transcript:ENSMUST00000109495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homer1 description:homer scaffolding protein 1 [Source:MGI Symbol;Acc:MGI:1347345] MGEQPIFSTRAHVFQIDPNTKKNWVPTSKHAVTVSYFYDSTRNVYRIISLDGSKAIINST ITPNMTFTKTSQKFGQWADSRANTVYGLGFSSEHHLSKFAEKFQEFKEAARLAKEKSQEK MELTSTPSQESAGGDLQSPLTPESINGTDDERTPDVTQNSEPRAEPTQNALPFPHRKLKF EIKTWRGSCLT >ENSMUSP00000003568.8 pep:known chromosome:GRCm38:6:55090049:55133014:-1 gene:ENSMUSG00000003476.15 transcript:ENSMUST00000003568.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crhr2 description:corticotropin releasing hormone receptor 2 [Source:MGI Symbol;Acc:MGI:894312] MGTPGSLPSAQLLLCLFSLLPVLQVAQPGQAPQDQPLWTLLEQYCHRTTTGNFSGPYTYC NTTLDQIGTCWPQSAPGALVERPCPEYFNGIKYNTTRNAYRECLENGTWASRVNYSHCEP ILDDKRKYDLHYRIALIVNYLGHCVSVVALVAAFLLFLVLRSIRCLRNVIHWNLITTFIL RNIAWFLLQLIDHEVHEGNEVWCRCITTIFNYFVVTNFFWMFVEGCYLHTAIVMTYSTEH LRKWLFLFIGWCIPCPIIIAWAVGKLYYENEQCWFGKEAGDLVDYIYQGPVMLVLLINFV FLFNIVRILMTKLRASTTSETIQYRKAVKATLVLLPLLGITYMLFFVNPGEDDLSQIVFI YFNSFLQSFQGFFVSVFYCFFNGEVRAALRKRWHRWQDHHALRVPVARAMSIPTSPTRIS FHSIKQTAAV >ENSMUSP00000093586.3 pep:known chromosome:GRCm38:6:55091186:55117985:-1 gene:ENSMUSG00000003476.15 transcript:ENSMUST00000095898.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crhr2 description:corticotropin releasing hormone receptor 2 [Source:MGI Symbol;Acc:MGI:894312] MDAALLLSLLEANCSLALAEELLLDGWGVPPDPEGPYTYCNTTLDQIGTCWPQSAPGALV ERPCPEYFNGIKYNTTRNAYRECLENGTWASRVNYSHCEPILDDKEYPLPEECDPLEPHH HLHSEKHRVVPAATHRPRSARGQ >ENSMUSP00000110015.2 pep:known chromosome:GRCm38:6:55091213:55117913:-1 gene:ENSMUSG00000003476.15 transcript:ENSMUST00000114374.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crhr2 description:corticotropin releasing hormone receptor 2 [Source:MGI Symbol;Acc:MGI:894312] MDAALLLSLLEANCSLALAEELLLDGWGVPPDPEGPYTYCNTTLDQIGTCWPQSAPGALV ERPCPEYFNGIKYNTTRNAYRECLENGTWASRVNYSHCEPILDDKRKYDLHYRIALIVNY LGHCVSVVALVAAFLLFLVLRSIRCLRNVIHWNLITTFILRNIAWFLLQLIDHEVHEGNE VWCRCITTIFNYFVVTNFFWMFVEGCYLHTAIVMTYSTEHLRKWLFLFIGWCIPCPIIIA WAVGKLYYENEQCWFGKEAGDLVDYIYQGPVMLVLLINFVFLFNIVRILMTKLRASTTSE TIQYRKAVKATLVLLPLLGITYMLFFVNPGEDDLSQIVFIYFNSFLQSFQGFFVSVFYCF FNGEVRAALRKRWHRWQDHHALRVPVARAMSIPTSPTRISFHSIKQTAAV >ENSMUSP00000126673.1 pep:known chromosome:GRCm38:6:55092026:55132841:-1 gene:ENSMUSG00000003476.15 transcript:ENSMUST00000164012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crhr2 description:corticotropin releasing hormone receptor 2 [Source:MGI Symbol;Acc:MGI:894312] MGTPGSLPSAQLLLCLFSLLPVLQVAQPGQAPQDQPLWTLLEQYCHRTTTGNFSGPYTYC NTTLDQIGTCWPQSAPGALVERPCPEYFNGIKYNTTRNAYRECLENGTWASRVNYSHCEP ILDDKRKYDLHYRIALIVNYLGHCVSVVALVAAFLLFLVLRSIRCLRNVIHWNLITTFIL RNIAWFLLQLIDHEVHEGNEVWCRCITTIFNYFVVTNFFWMFVEGCYLHTAIVMTYSTEH LRKWLFLFIGWCIPCPIIIAWAVGKLYYENEQCWFGKEAGDLVDYIYQGPVMLVLLINFV FLFNIVRILMTKLRASTTSETIQYRKAVKATLVLLPLLGITYMLFFVNPGEDDLSQIVFI YFNSFLQSFQGFFVSVFYCFFNGERRNRRGLVDDCQSSGPEFKPKTQTAEEENLLLNES >ENSMUSP00000118267.1 pep:known chromosome:GRCm38:18:3266048:3327591:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000151311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MSKCGRKKYMRTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVATIAE TDDSADSEVIDSHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMA VPTSIYQTSTGQYIAIAQGGTIQISNPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSA DGTQQFFVPGSQVVVQAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRK RELRLMKNREAAKECRRRKKEYVKCLESRVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000121233.1 pep:known chromosome:GRCm38:18:3266354:3337679:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000150235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MSKCGRKKYMRTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVSVAGS GTGRGSPAVTLVQLPSGQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDSADSEVID SHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTG QYIAIAQGGTIQISNPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQQFFVPGS QVVVQDEETDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATR KRELRLMKNREAAKECRRRKKEYVKCLESRVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000121118.1 pep:known chromosome:GRCm38:18:3266356:3299559:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000122958.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MVSVAGSGTGRGSPAVTLVQLPSGQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDS ADSEVIDSHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTS IYQTSTGQYIAIAQGGTIQISNPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQ QFFVPGSQVVVQDEETDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQ LAEEATRKRELRLMKNREAAKECRRRKKEYVKCLESRVAVLEVQNKKLIEELETLKDICS PKTD >ENSMUSP00000122051.1 pep:known chromosome:GRCm38:18:3266356:3337488:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000154135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MSKCGRKKYMRTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVATIAE TDDSADSEVIDSHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMA VPTSIYQTSTGQYNEETDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQ QLAEEATRKRELRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLY CHKAE >ENSMUSP00000115423.1 pep:known chromosome:GRCm38:18:3266957:3281769:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000140332.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MAVTGDETAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKN REAAKECRRRKKEYVKCLESRVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000118128.1 pep:known chromosome:GRCm38:18:3267261:3337748:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000154470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MSKCGRKKYMRTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVSVAGS GTGRGSPAVTLVQLPSGQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDSADSEVID SHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTG QYTATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAARE CRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000117438.1 pep:known chromosome:GRCm38:18:3267264:3299556:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000129435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MVATIAETDDSADSEVIDSHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTP PNIATMAVPTSIYQTSTGQYIAIAQGGTIQISNPGSDGVQGLQALTMTNSGAPPPGATIV QYAAQSADGTQQFFVPGSQVVVQAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQL AEEATRKRELRLMKNREAAKECRRRKKEYVKCLESRVAVLEVQNKKLIEELETLKDICSP KTD >ENSMUSP00000118578.2 pep:known chromosome:GRCm38:18:3267390:3281747:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000151084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MQKPNMAVTGDETAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKREL RLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000119353.1 pep:known chromosome:GRCm38:18:3267423:3327507:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000131899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] RTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVSVAGSGTGRGSPAVT LVQLPSGQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDSADSEVIDSHKRREILSR RPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYNEETDLAP SHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAA RECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000115471.1 pep:known chromosome:GRCm38:18:3267514:3325474:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000130599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVATIAETDDSADSEVIDSHKRR EILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYNEE TDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMK NREAAKECRRRKKEYVKCLESRVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000121352.1 pep:known chromosome:GRCm38:18:3267534:3281132:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000124747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAARE CRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000061925.6 pep:known chromosome:GRCm38:18:3267546:3281078:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000049942.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MMYPHFTDEETDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEA TRKRELRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000118649.1 pep:known chromosome:GRCm38:18:3267558:3325478:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000127601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] XQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVSVAGSGTGRGSPAVTLVQL PSGQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDSADSEVIDSHKRREILSRRPSY RKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYIAIAQGGTIQIS NPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQQFFVPGSQVVVQAATGDMPTY QIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAAKECRRRKKEYVKC LESRVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000114280.1 pep:known chromosome:GRCm38:18:3267572:3281769:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000147138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MAVTGDETDEETDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEE ATRKRELRLMKNREAAKECRRRKKEYVKCLESRVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000121388.1 pep:known chromosome:GRCm38:18:3267575:3325474:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000156234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVSVAGSGTGRGSPAVTLVQLPS GQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDSADSEVIDSHKRREILSRRPSYRK ILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYIAIAQGGTIQISNP GSDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQQFFVPGSQVVVQDEETDLAPSHM AAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAAREC RRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000123515.1 pep:known chromosome:GRCm38:18:3267585:3327507:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000152900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] RTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVATIAETDDSADSEVI DSHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTST GQYIAIAQGGTIQISNPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQQFFVPG SQVVVQDEETDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEAT RKRELRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000121541.1 pep:known chromosome:GRCm38:18:3267585:3327507:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000130455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] RTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVSVAGSGTGRGSPAVT LVQLPSGQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDSADSEVIDSHKRREILSR RPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYIAIAQGGT IQISNPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQQFFVPGSQVVVQAATGD MPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAARECRRKKKE YVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000115336.1 pep:known chromosome:GRCm38:18:3267585:3327507:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000137568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] RTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVATIAETDDSADSEVI DSHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTST GQYTATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAAR ECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000119638.1 pep:known chromosome:GRCm38:18:3267585:3327507:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000146265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] RTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVSVAGSGTGRGSPAVT LVQLPSGQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDSADSEVIDSHKRREILSR RPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYTATGDMPT YQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAAKECRRRKKEYVK CLESRVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000122557.1 pep:known chromosome:GRCm38:18:3267585:3337533:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000134027.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MSKCGRKKYMRTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQLS >ENSMUSP00000122241.1 pep:known chromosome:GRCm38:18:3267588:3309723:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000152108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MWWHQQNLCFRHPIEEDYSSGDLDKKVATIAETDDSADSEVIDSHKRREILSRRPSYRKI LNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYNEETDLAPSHMAAAT GDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAAKECRRRK KEYVKCLESRVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000115363.1 pep:known chromosome:GRCm38:18:3267588:3309723:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000136961.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MWWHQQNLCFRHPIEEDYSSGDLDKKVATIAETDDSADSEVIDSHKRREILSRRPSYRKI LNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYIAIAQGGTIQISNPG SDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQQFFVPGSQVVVQAATGDMPTYQIR APTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAAKECRRRKKEYVKCLES RVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000120349.1 pep:known chromosome:GRCm38:18:3267588:3309858:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000144496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MWWHQQNLCFRHPIEEDYSSGDLDKKVATIAETDDSADSEVIDSHKRREILSRRPSYRKI LNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYIAIAQGGTIQISNPG SDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQQFFVPGSQVVVQDEETDLAPSHMA AATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAAKECR RRKKEYVKCLESRVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000120557.1 pep:known chromosome:GRCm38:18:3267588:3325474:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000123672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVATIAETDDSADSEVIDSHKRR EILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYTAT GDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAAKECRRRK KEYVKCLESRVAVLEVQNKKLIEELETLKDICSPKTD >ENSMUSP00000122123.1 pep:known chromosome:GRCm38:18:3267633:3281101:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000139537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAARECRRKKKE YVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000115938.1 pep:known chromosome:GRCm38:18:3267839:3325474:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000148305.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQMRRLTLPQVTWLLPQVTCQLTR SELLLLLCHKVW >ENSMUSP00000119194.2 pep:known chromosome:GRCm38:18:3267845:3281738:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000154705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MQKPNMAVTGDETDEETDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQ QLAEEATRKRELRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLY CHKAE >ENSMUSP00000114780.1 pep:known chromosome:GRCm38:18:3267985:3299452:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000126578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MVSVAGSGTGRGSPAVTLVQLPSGQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDS ADSEVIDSHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTS IYQTSTGQYIAIAQGGTIQISNPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQ QFFVPGSQVVVQDEETDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQ LAEEATRKRELRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYC HKAE >ENSMUSP00000122179.1 pep:known chromosome:GRCm38:18:3267985:3309900:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000154715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MWWHQQNLCFRHPIEEDYSSGDLDKKVSVAGSGTGRGSPAVTLVQLPSGQTVQVQGVIQT PHPSVIQSPQIQTVQVATIAETDDSADSEVIDSHKRREILSRRPSYRKILNELSSDVPGI PKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYIAIAQGGTIQISNPGSDGVQGLQALT MTNSGAPPPGATIVQYAAQSADGTQQFFVPGSQVVVQDEETDLAPSHMAAATGDMPTYQI RAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREAARECRRKKKEYVKCLE NRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000121210.1 pep:known chromosome:GRCm38:18:3294184:3337589:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000149803.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVATIAETDDSADSEVIDSHKRR EILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYSMY AMIP >ENSMUSP00000122282.1 pep:known chromosome:GRCm38:18:3294482:3337574:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000142690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVSVAGSGTGRGSPAVTLVQLPS GQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDSADSEVIDSHKRREILSRRPSYRK ILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTGQYSMYAMIP >ENSMUSP00000025069.4 pep:known chromosome:GRCm38:18:3266356:3337589:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000025069.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MSKCGRKKYMRTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVSVAGS GTGRGSPAVTLVQLPSGQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDSADSEVID SHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTG QYIAIAQGGTIQISNPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSADGTQQFFVPGS QVVVQAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRELRLMKNREA ARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000127353.1 pep:known chromosome:GRCm38:18:3266356:3337589:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000165086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MSKCGRKKYMRTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVSVAGS GTGRGSPAVTLVQLPSGQTVQVQGVIQTPHPSVIQSPQIQTVQVATIAETDDSADSEVID SHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMAVPTSIYQTSTG QYNEETDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLHSPQQLAEEATRKRE LRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKAE >ENSMUSP00000080780.5 pep:known chromosome:GRCm38:18:3266356:3337589:-1 gene:ENSMUSG00000063889.16 transcript:ENSMUST00000082141.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crem description:cAMP responsive element modulator [Source:MGI Symbol;Acc:MGI:88495] MSKCGRKKYMRTNVRQMTMETVESQQDRSVTRSVAEHSSAHMQTGQISVPTLAQVATIAE TDDSADSEVIDSHKRREILSRRPSYRKILNELSSDVPGIPKIEEEKSEEEGTPPNIATMA VPTSIYQTSTGQYIAIAQGGTIQISNPGSDGVQGLQALTMTNSGAPPPGATIVQYAAQSA DGTQQFFVPGSQVVVQDEETDLAPSHMAAATGDMPTYQIRAPTTALPQGVVMAASPGSLH SPQQLAEEATRKRELRLMKNREAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALK DLYCHKAE >ENSMUSP00000140776.1 pep:known chromosome:GRCm38:6:52163359:52213339:-1 gene:ENSMUSG00000101298.1 transcript:ENSMUST00000128102.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28308 description:predicted gene 28308 [Source:MGI Symbol;Acc:MGI:5579014] MKHKRQTQCKENQNSEGKFKNLED >ENSMUSP00000029084.2 pep:known chromosome:GRCm38:2:180499976:180544980:1 gene:ENSMUSG00000027568.9 transcript:ENSMUST00000029084.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntsr1 description:neurotensin receptor 1 [Source:MGI Symbol;Acc:MGI:97386] MHLNSSVQQGAPSEPGAQPFPHPQFGLETMLLALSLSNGSGNSSESILEPNSNLDVNTDI YSKVLVTAVYLALFVVGTVGNSVTAFTLARKKSLQSLQSTVHYHLGSLALSDLLILLLAM PVELYNFIWVHHPWAFGDAGCRGYYFLRDACTYATALNVASLSVERYLAICHPFKAKTLM SRSRTKKFISAIWLASALLAVPMLFTMGLQNRSADGQHPGGLVCTPTVDTATVKVVIQVN TFMSFLFPMLIISILNTVIANKLTVMVHQAAEQGRGVCTVGTHNSLEHSTFNMSIEPGRV QALRHGVLVLRAVVIAFVVCWLPYHVRRLMFCYISDEQWTTFLFDFYHYFYMLTNALFYV SSAINPILYNLVSANFRQVFLSTLACLCPGWRRRRKKRPTFSRKPNSMSSNHAFSTSATR ETLY >ENSMUSP00000127548.1 pep:known chromosome:GRCm38:2:180500227:180544219:1 gene:ENSMUSG00000027568.9 transcript:ENSMUST00000170448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntsr1 description:neurotensin receptor 1 [Source:MGI Symbol;Acc:MGI:97386] MHLNSSVQQGAPSEPGAQPFPHPQFGLETMLLALSLSNGSGNSSESILEPNSNLDVNTDI YSKVLVTAVYLALFVVGTVGNSVTAFTLARKKSLQSLQSTVHYHLGSLALSDLLILLLAM PVELYNFIWVHHPWAFGDAGCRGYYFLRDACTYATALNVASLSVERYLAICHPFKAKTLM SRSRTKKFISAIWLASALLAVPMLFTMGLQNRSADGQHPGGLVCTPTVDTATVKVVIQVN TFMSFLFPMLIISILNTVIANKLTVMVHQAAEQGRGVCTVGTHNSLEHSTFNMSIEPGRV QALRHGVLVLRAVVIAFVVCWLPYHVRRLMFCYISDEQWTTFLFDFYHYFYMLTNALFYV SSAINPILYNLVSANFRQVFLSTLACLCPGWRRRRKKRPTFSRKPNSMSSNHAFSTSATR ETLY >ENSMUSP00000113891.1 pep:known chromosome:GRCm38:14:63198922:63245248:-1 gene:ENSMUSG00000021944.15 transcript:ENSMUST00000118022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata4 description:GATA binding protein 4 [Source:MGI Symbol;Acc:MGI:95664] MYQSLAMAANHGPPPGAYEAGGPGAFMHSAGAASSPVYVPTPRVPSSVLGLSYLQGGGSA AAAGTTSGGSSGAGPSGAGPGTQQGSPGWSQAGAEGAAYTPPPVSPRFSFPGTTGSLAAA AAAAAAREAAAYGSGGGAAGAGLAGREQYGRPGFAGSYSSPYPAYMADVGASWAAAAAAS AGPFDSPVLHSLPGRANPGRHPNLDMFDDFSEGRECVNCGAMSTPLWRRDGTGHYLCNAC GLYHKMNGINRPLIKPQRRLSASRRVGLSCANCQTTTTTLWRRNAEGEPVCNACGLYMKL HGVPRPLAMRKEGIQTRKRKPKNLNKSKTPAGPAGETLPPSSGASSGNSSNATSSSSSSE EMRPIKTEPGLSSHYGHSSSMSQTFSTVSGHGPSIHPVLSALKLSPQGYASPVTQTSQAS SKQDSWNSLVLADSHGDIITA >ENSMUSP00000066927.3 pep:known chromosome:GRCm38:14:63198922:63245271:-1 gene:ENSMUSG00000021944.15 transcript:ENSMUST00000067417.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata4 description:GATA binding protein 4 [Source:MGI Symbol;Acc:MGI:95664] MYQSLAMAANHGPPPGAYEAGGPGAFMHSAGAASSPVYVPTPRVPSSVLGLSYLQGGGSA AAAGTTSGGSSGAGPSGAGPGTQQGSPGWSQAGAEGAAYTPPPVSPRFSFPGTTGSLAAA AAAAAAREAAAYGSGGGAAGAGLAGREQYGRPGFAGSYSSPYPAYMADVGASWAAAAAAS AGPFDSPVLHSLPGRANPGRHPNLVDMFDDFSEGRECVNCGAMSTPLWRRDGTGHYLCNA CGLYHKMNGINRPLIKPQRRLSASRRVGLSCANCQTTTTTLWRRNAEGEPVCNACGLYMK LHGVPRPLAMRKEGIQTRKRKPKNLNKSKTPAGPAGETLPPSSGASSGNSSNATSSSSSS EEMRPIKTEPGLSSHYGHSSSMSQTFSTVSGHGPSIHPVLSALKLSPQGYASPVTQTSQA SSKQDSWNSLVLADSHGDIITA >ENSMUSP00000113451.1 pep:known chromosome:GRCm38:14:63198924:63213607:-1 gene:ENSMUSG00000021944.15 transcript:ENSMUST00000121312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata4 description:GATA binding protein 4 [Source:MGI Symbol;Acc:MGI:95664] MFDDFSEGRECVNCGAMSTPLWRRDGTGHYLCNACGLYHKMNGINRPLIKPQRRLSASRR VGLSCANCQTTTTTLWRRNAEGEPVCNACGLYMKLHGVPRPLAMRKEGIQTRKRKPKNLN KSKTPAGPAGETLPPSSGASSGNSSNATSSSSSSEEMRPIKTEPGLSSHYGHSSSMSQTF STVSGHGPSIHPVLSALKLSPQGYASPVTQTSQASSKQDSWNSLVLADSHGDIITA >ENSMUSP00000121008.1 pep:known chromosome:GRCm38:14:63241102:63271692:-1 gene:ENSMUSG00000021944.15 transcript:ENSMUST00000132122.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata4 description:GATA binding protein 4 [Source:MGI Symbol;Acc:MGI:95664] MYQSLAMAANHGPPPG >ENSMUSP00000114279.1 pep:known chromosome:GRCm38:14:63241115:63271124:-1 gene:ENSMUSG00000021944.15 transcript:ENSMUST00000137244.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata4 description:GATA binding protein 4 [Source:MGI Symbol;Acc:MGI:95664] MYQSLAMAANH >ENSMUSP00000114369.1 pep:known chromosome:GRCm38:14:63241115:63271124:-1 gene:ENSMUSG00000021944.15 transcript:ENSMUST00000156782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata4 description:GATA binding protein 4 [Source:MGI Symbol;Acc:MGI:95664] MYQSLAMAANH >ENSMUSP00000138000.1 pep:known chromosome:GRCm38:3:134236491:134262161:1 gene:ENSMUSG00000044365.15 transcript:ENSMUST00000181904.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxxc4 description:CXXC finger 4 [Source:MGI Symbol;Acc:MGI:2442112] MNTNVCVEPGPSPEAPGLPKESHLPEGALNSLVDYNSEMERYRSFATSFYKTNGGAFPQA AKIARITTPIFPSSAAAAAAAARIGMSPWNCDNAATAAATAMLWGSGGGGGGGGGGGGGG GAGRKSSSAAASSSASSSAILPAAGGGGGGGGGGSGGGGGGGGGGRTSMHHRNDSQRLGK AGCPPEPSLQMANTNFLSTLSPEHCRPLAGECMNKLKCGAAEAEIMNLPERVGTFSAIPA LGGISLPPGVIVMTALHSPAAASAAVTDSAFQIANLADCPQNHSSSSSSSSGGAGGANPA KKKRKRCGVCVPCKRLINCGVCSSCRNRKTGHQICKFRKCEELKKKPGTSLERTPVPSAE AFRWFF >ENSMUSP00000128574.1 pep:known chromosome:GRCm38:3:134236820:134258231:1 gene:ENSMUSG00000044365.15 transcript:ENSMUST00000166288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxxc4 description:CXXC finger 4 [Source:MGI Symbol;Acc:MGI:2442112] MHHRNDSQRLGKAGCPPEPSLQMANTNFLSTLSPEHCRPLAGECMNKLKCGAAEAEIMNL PERVGTFSAIPALGGISLPPGVIVMTALHSPAAASAAVTDSAFQIANLADCPQNHSSSSS SSSGGAGGANPAKKKRKRCGVCVPCKRLINCGVCSSCRNRKTGHQICKFRKCEELKKKPG TSLERTPVPSAEAFRWFF >ENSMUSP00000048714.4 pep:known chromosome:GRCm38:4:141760189:141790891:-1 gene:ENSMUSG00000040697.10 transcript:ENSMUST00000038014.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc16 description:DnaJ heat shock protein family (Hsp40) member C16 [Source:MGI Symbol;Acc:MGI:2442146] MELKRLGVSWRFLMVLVLILQSLSALDFDPYRVLGVSRTASQADIKKAYKKLAREWHPDK NKDPGAEDRFIQISKAYEILSNEEKRTNYDHYGDAGENQGYQKQQREHRFRHFHENFYFD ESFFHFPFNAERRDSGDEKYLLHFSHYVNEVLPESFKRPYLIKITSDWCFSCIHIEPVWK EVVQELEGLGVGIGVVHAGYERRLAHHLGAHSTPSILGVISGKITFFHNAVVHENLRQFV ESLLPGNLVEKVTNKNYVRFLSGWQQENKPHALLFGQTPAVPLMYKLTAFAYKDYVSFGY VYVGLRGVEEMTRQYNVNLYTPTMLIFKEHINKPADVIQARGLKKQVIEDFIAQNKYLLA SRLTSQRLFHELCPVKRSHRQRKYCVVLLTAETNKVSKPFEAFLSFALANTQDTVRFVHV YSNRQQEFASTLLPDMEAFQGKSGVSILERRNTAGRVVFKTLEDPWTGSESDKFVLLGYL DQLRKDPAFLSSEAVLPDLTDELAPVFFLRWLYSVSDYLSDFWESLLHSNWREMMPLLSL IFSALFILFGTVMVQAFSDSNEERESHPADKEEVPEKAGKTEPSFTKESSSKIPKKGFVE VTELTDVTYTSNLVRLRPGHMNVVLILSNSTKTSLLQKFALEVYTFTGSSSLHFSFLTLD KHREWLEYLLEFAQDAAPIPNQYDKHFMERDYTGYVLALNGHKKYFCLFKPLKTVDEETV ASCDPDSSRGKPSCGLGPKPLKGKLSKLSLWMERLLEGSLQRFYIPSWPELD >ENSMUSP00000120783.1 pep:known chromosome:GRCm38:4:141783066:141790931:-1 gene:ENSMUSG00000040697.10 transcript:ENSMUST00000153880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc16 description:DnaJ heat shock protein family (Hsp40) member C16 [Source:MGI Symbol;Acc:MGI:2442146] MELKRLGVSWRFLMVLVLILQSLSALDFDPYRVLGVSRTASQADIKKAYKKLAREWHPDK NKDPGAEDRFIQISKAYEILSNEEKRTNYDH >ENSMUSP00000126405.1 pep:known chromosome:GRCm38:9:15005161:15045478:-1 gene:ENSMUSG00000031934.13 transcript:ENSMUST00000164273.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Panx1 description:pannexin 1 [Source:MGI Symbol;Acc:MGI:1860055] MAIAHLATEYVFSDFLLKEPTEPKFKGLRLELAVDKMVTCIAVGLPLLLISLAFAQEISI GTQISCFSPSSFSWRQAAFVDSYCWAAVQQKSSLQSESGNLPLWLHKFFPYILLLFAILL YLPALFWRFSAAPHLCSDLKFIMEELDKVYNRAIKAAKSARDLDLRDGPGPPGVTENVGQ SLWEISESHFKYPIVEQYLKTKKNSSHLIMKYISCRLVTFVVILLACIYLSYYFSLSSLS DEFLCSIKSGVLKNDSTIPDRFQCKLIAVGIFQLLSLINLIVYALLIPVVVYTFFIPFRQ KTDILKVYEILPTFDVLHFKSEGYNDLSLYNLFLEENISELKSYKCLKVLENIKSNGQGI DPMLLLTNLGMIKMDIIDGKIPTSLQTKGEDQGSQRVEFKDLDLSSEAAANNGEKNSRQR LLNPSC >ENSMUSP00000053557.7 pep:known chromosome:GRCm38:9:15005785:15045478:-1 gene:ENSMUSG00000031934.13 transcript:ENSMUST00000056755.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Panx1 description:pannexin 1 [Source:MGI Symbol;Acc:MGI:1860055] MAIAHLATEYVFSDFLLKEPTEPKFKGLRLELAVDKMVTCIAVGLPLLLISLAFAQEISI GTQISCFSPSSFSWRQAAFVDSYCWAAVQQKSSLQSESGNLPLWLHKSVGDI >ENSMUSP00000132764.1 pep:known chromosome:GRCm38:9:15010086:15043797:-1 gene:ENSMUSG00000031934.13 transcript:ENSMUST00000169288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Panx1 description:pannexin 1 [Source:MGI Symbol;Acc:MGI:1860055] MEELDKVYNRAIKAAKSARDLD >ENSMUSP00000035338.5 pep:known chromosome:GRCm38:17:43615339:43630299:-1 gene:ENSMUSG00000040140.14 transcript:ENSMUST00000045717.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd6 description:tudor domain containing 6 [Source:MGI Symbol;Acc:MGI:2679727] MSSTPGLPTPGASLALRVSFVDVHPEVIPVQLWGLVGQRREEYVRLSREIQEAAATRGPW ALGGASASPGELCLVQVGLMWHRCRVVSRQAQDSRVFLLDEGRTITAGAGSLAPGRSEFF HLPSEVLGCVLAGLVPAGGGGTGGGEPQQWSPRAVDFLSNLQGKEVHGRVLDVLLLHRLV LLEVPVVSQQMEELGLARQVPDSLFCSLLKRYLTAAGQGSSGAPVLPRAAPKQEHPGLDY FYPQLQLGVTEPVVVTQVCHPHRIHCQLRSLSQEIHRLSESMAQVYRAPVGTDDEDSGSA TWEEREESPDKPGSPCASCGLDGQWYRALLLETFRPQRCAQVLHVDYGRKELVSCSSLRY LLPEYFRMPVVTYPCALYGLWDCGRGWSRSQVGDLKALILGQAVNAKIEFYCSFEHMYYV TLYGEDGINLNSAFGVQSCCLADWFLQSQGIEEEEEEDEDEVEAAFQSQSPAEEMEAEVS LPSLRSIRLKMNTFYDAQVEFVKSPSEFWIRLRKHKNTFSKLTKRMCSFYSSASKLDGVI LRPEPDDLCCVKWKENGYYRATVTRLDSKSVDVFLVDRGNSENVDWCDVRMLLPQFRQLP ILALKCTLADIWPLGKTWSQEATSFFKKTVLHKELVVHVLDKQDHQYVIEILDESRMGEE NISKVIAQAGFAKFQEFETKENIRLSAHSPGHVSGHFMAEPSKITSAKKAEGDQRAKKDN KTLSVSEALADTVSLSNLSTAQDTEKVTSDPSLLMLNFLKTKPDCCGKGELEVGSTVEVK VSHIENPGSFWCQLMRNAQGFRTLMCDIEDYCKSSEPSPYEGDTRVCLAKRTASGRWSRA LISGAHSLEHVRVVFVDYGDRDVVSTKDILSVSDVFFQVRAQAFRCSLYNLIQPMGENPF VWDEKAVQAFSGFIDSARQNNLELKCTVFALASRHEEEWFNVVDLLTPFQSACRFLVEKR LARPVKHQKPLEPSVQLHSYYYSTHDLKIGSEELVYVTHADDPWTFYCQLARNTNVLEQL SYNIMQLSKALLNLKASTLAPGTLCLARYTDGNWYRGIIIEKEPSKVFFVDFGNTYIAVD HLLPIPRDAHDVLLLPMQALKCSLSDIPHHIPEEVTAWFQETVLDKSLKALVVAKDPDGR LIIELYDDSVQINASINEKLGLLGYKNRTRRKEKENEIILYETKALEDKKESVKPSLADY LSKPGESKAHSVEIMGESCKPKMGPACKELRYLQGSAKANLVPPYQDSVGNKNDGGFPLT REKKEDIFASSPMSGTKLDSALPERRMGEPSGRDLPPKFCEFPQKTIAPGFKTSVYVSHI NDLSDFYIQLIEDEAEINNLSERLNDVRTRPQYHTGPQWQSGDVICAVFPEDNLWYRALV MEQQPNGLLSVQFIDYGNMSVVHTNRTGRLGPVDAVLPALCLHCSLWGLSVPVCKEMVSY FSQRTDEAQIRCEFVKFQGTWEVILADEHGVIAEDMISRFPCNGNSQAGLTTQTMKGDCL KIANKPNADTSVLLNWYNPKAKLIKAYATVIDGPEYFWCQFADSEKLQYLETEVQSAGKQ LSDRRSCTQCPQIGDPCIVRYREDGHYYRALITNICDGELASVRLVDFGNAEDCVDAKEL WSIPSELLLVPMQAFPCCLAGFSVSGGVCPQEGNDYFYDIVTEDVLDITILEIKRDVCNI PLAIVELRSKGENINEKMKKYAKTGVPKNDLSSEKRGPERKGSLASPDLGLKKPSHKIAQ DKTFYGEARASELSERLEKDLNIETKTSKFYERSTRSIFNAFENSCKGKMGSERLEGSMD YHFVDRAKFDNNYLITGFNPILAHASEPKELLELSSLEVPLSADNDDECKEFLELESIEL QHSPVGEEEKEELGLGSPMAPLSPGCQAGATLESFMMQLPLDCEAEKQLELKLPTPQLSL EDSISPLSAAVSQDIQESRYSEDERKAGYMGSSDDDHSRSPLLQHGKGGNSPAHGGRNLS EEEFPQFESRDSAALLAPLFSEEEAREGRKCGSMVPAQLQSTYTLKGFSVGSKCVVWSSL RNTWSKCEILELAEEGTRVLNLSNGVEETVSPENVWNGIPKVDKRPSEAVFQTVGKDLPF MPSDDATTKGFSSVSEEEACGGDADSLSTAKLNI >ENSMUSP00000131277.1 pep:known chromosome:GRCm38:17:43615335:43630299:-1 gene:ENSMUSG00000040140.14 transcript:ENSMUST00000168073.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd6 description:tudor domain containing 6 [Source:MGI Symbol;Acc:MGI:2679727] MSSTPGLPTPGASLALRVSFVDVHPEVIPVQLWGLVGQRREEYVRLSREIQEAAATRGPW ALGGASASPGELCLVQVGLMWHRCRVVSRQAQDSRVFLLDEGRTITAGAGSLAPGRSEFF HLPSEVLGCVLAGLVPAGGGGTGGGEPQQWSPRAVDFLSNLQGKEVHGRVLDVLLLHRLV LLEVPVVSQQMEELGLARQVPDSLFCSLLKRYLTAAGQGSSGAPVLPRAAPKQEHPGLDY FYPQLQLGVTEPVVVTQVCHPHRIHCQLRSLSQEIHRLSESMAQVYRAPVGTDDEDSGSA TWEEREESPDKPGSPCASCGLDGQWYRALLLETFRPQRCAQVLHVDYGRKELVSCSSLRY LLPEYFRMPVVTYPCALYGLWDCGRGWSRSQVGDLKALILGQAVNAKIEFYCSFEHMYYV TLYGEDGINLNSAFGVQSCCLADWFLQSQGIEEEEEEDEDEVEAAFQSQSPAEEMEAEVS LPSLRSIRLKMNTFYDAQVEFVKSPSEFWIRLRKHKNTFSKLTKRMCSFYSSASKLDGVI LRPEPDDLCCVKWKENGYYRATVTRLDSKSVDVFLVDRGNSENVDWCDVRMLLPQFRQLP ILALKCTLADIWPLGKTWSQEATSFFKKTVLHKELVVHVLDKQDHQYVIEILDESRMGEE NISKVIAQAGFAKFQEFETKENIRLSAHSPGHVSGHFMAEPSKITSAKKAEGDQRAKKDN KTLSVSEALADTVSLSNLSTAQDTEKVTSDPSLLMLNFLKTKPDCCGKGELEVGSTVEVK VSHIENPGSFWCQLMRNAQGFRTLMCDIEDYCKSSEPSPYEGDTRVCLAKRTASGRWSRA LISGAHSLEHVRVVFVDYGDRDVVSTKDILSVSDVFFQVRAQAFRCSLYNLIQPMGENPF VWDEKAVQAFSGFIDSARQNNLELKCTVFALASRHEEEWFNVVDLLTPFQSACRFLVEKR LARPVKHQKPLEPSVQLHSYYYSTHDLKIGSEELVYVTHADDPWTFYCQLARNTNVLEQL SYNIMQLSKALLNLKASTLAPGTLCLARYTDGNWYRGIIIEKEPSKVFFVDFGNTYIAVD HLLPIPRDAHDVLLLPMQALKCSLSDIPHHIPEEVTAWFQETVLDKSLKALVVAKDPDGR LIIELYDDSVQINASINEKLGLLGYKNRTRRKEKENEIILYETKALEDKKESVKPSLADY LSKPGESKAHSVEIMGESCKPKMGPACKELRYLQGSAKANLVPPYQDSVGNKNDGGFPLT REKKEDIFASSPMSGTKLDSALPERRMGEPSGRDLPPKFCEFPQKTIAPGFKTSVYVSHI NDLSDFYIQLIEDEAEINNLSERLNDVRTRPQYHTGPQWQSGDVICAVFPEDNLWYRALV MEQQPNGLLSVQFIDYGNMSVVHTNRTGRLGPVDAVLPALCLHCSLWGLSVPVCKEMVSY FSQRTDEAQIRCEFVKFQGTWEVILADEHGVIAEDMISRFPCNGNSQAGLTTQTMKGDCL KIANKPNADTSVLLNWYNPKAKLIKAYATVIDGPEYFWCQFADSEKLQYLETEVQSAGKQ LSDRRSCTQCPQIGDPCIVRYREDGHYYRALITNICDGELASVRLVDFGNAEDCVDAKEL WSIPSELLLVPMQAFPCCLAGFSVSGGVCPQEGNDYFYDIVTEDVLDITILEIKRDVCNI PLAIVELRSKGENINEKMKKYAKTGVPKNDLSSEKRGPERKGSLASPDLGLKKPSHKIAQ DKTFYGEARASELSERLEKDLNIETKTSKFYERSTRSIFNAFENSCKGKMGSERLEGSMD YHFVDRAKFDNNYLITGFNPILAHASEPKELLELSSLEVPLSADNDDECKEFLELESIEL QHSPVGEEEKEELGLGSPMAPLSPGCQAGATLESFMMQLPLDCEAEKQLELKLPTPQLSL EDSISPLSAAVSQDIQESRYSEDERKAGYMGSSDDDHSRSPLLQHGKGGNSPAHGGRNLS EEEFPQFESRDSAALLAPLFSEEEAREGRKCGSMVPAAQLQSTYTLKGFSVGSKCVVWSS LRNTWSKCEILELAEEGTRVLNLSNGVEETVSPENVWNGIPKVDKRPSEAVFQTVGKDLP FMPSDDATTKGFSSVSEEEACGGDADSLSTAKLNI >ENSMUSP00000039012.6 pep:known chromosome:GRCm38:6:52201754:52204587:-1 gene:ENSMUSG00000038253.6 transcript:ENSMUST00000048794.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa5 description:homeobox A5 [Source:MGI Symbol;Acc:MGI:96177] MSSYFVNSFCGRYPNGPDYQLHNYGDHSSVSEQFRDSASMHSGRYGYGYNGMDLSVGRSG SGHFGSGERARSYAAGASAAPAEPRYSQPATSTHSPPPDPLPCSAVAPSPGSDSHHGGKN SLGNSSGASANAGSTHISSREGVGTASAAEEDAPASSEQAGAQSEPSPAPPAQPQIYPWM RKLHISHDNIGGPEGKRARTAYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLSERQIK IWFQNRRMKWKKDNKLKSMSMAAAGGAFRP >ENSMUSP00000019447.8 pep:known chromosome:GRCm38:11:101091823:101095436:-1 gene:ENSMUSG00000019303.14 transcript:ENSMUST00000019447.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc3ip description:proteasome (prosome, macropain) 26S subunit, ATPase 3, interacting protein [Source:MGI Symbol;Acc:MGI:1098610] MSKSRAEAAAGAPGIILRYLQEQNRPYSAQDVFGNLQKEHGLGKAAVVKALDQLAQEGKI KEKTYGKQKIYFADQNQFDTVSDADLHGLDASIVALTAKVQSLQQSCRHMEAELKELTSA LTTPEMQKEIQELKKECAQYTERLKNIKAATNHVTPEEKEKVYRDRQKYCKEWRKRKRMT TELCDAILEGYPKSKKQFFEEVGIETDEDHNVLLPDP >ENSMUSP00000117100.1 pep:known chromosome:GRCm38:11:101092487:101095376:-1 gene:ENSMUSG00000019303.14 transcript:ENSMUST00000142545.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmc3ip description:proteasome (prosome, macropain) 26S subunit, ATPase 3, interacting protein [Source:MGI Symbol;Acc:MGI:1098610] MSKSRAEAAAGAPGIILRYLQEQNRPYSAQDVFGNLQKEHGLGKAAVVKALDQLAQEGKI KEKTYGKQKIYFADQLYFSWLAEPV >ENSMUSP00000034265.3 pep:known chromosome:GRCm38:8:121530780:121541954:-1 gene:ENSMUSG00000031809.10 transcript:ENSMUST00000034265.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700018B08Rik description:RIKEN cDNA 1700018B08 gene [Source:MGI Symbol;Acc:MGI:1923655] MSGAGLQAWLYPGRVRFRPLERMCASRVSSGLRTVPQEYACCQCYTKFGGHLPVPRADAL LPYWVPLSLRPRKQVSKMMRCYIPRAMKSCRCSCHCFGGRLPMPRDRAVMPYWVPQGLRS QKKVLKRLENVEDTPGRPQDSSRWYGCWRVCGNQHLLLKWQQLQALYQDELPGLQEDEPH AGRLGLLPISFNLLSLLQAVLRAIIAICHLFWD >ENSMUSP00000138269.1 pep:known chromosome:GRCm38:8:121530828:121541869:-1 gene:ENSMUSG00000031809.10 transcript:ENSMUST00000182739.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700018B08Rik description:RIKEN cDNA 1700018B08 gene [Source:MGI Symbol;Acc:MGI:1923655] MSGAGLQAWLYPGFVSDLWKGCVHHGSVGVLRPPHCSPGVCVLPMLHQVWGPPACAPG >ENSMUSP00000138141.1 pep:known chromosome:GRCm38:8:121530828:121541869:-1 gene:ENSMUSG00000031809.10 transcript:ENSMUST00000183280.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700018B08Rik description:RIKEN cDNA 1700018B08 gene [Source:MGI Symbol;Acc:MGI:1923655] MSGAGLQAWLYPGRVRFRPLERMCASRVSRCPPASALFPRSMRVANATPSLGATCLCPGL MHCCPTGCLCP >ENSMUSP00000138106.1 pep:known chromosome:GRCm38:8:121531814:121544320:-1 gene:ENSMUSG00000031809.10 transcript:ENSMUST00000182460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700018B08Rik description:RIKEN cDNA 1700018B08 gene [Source:MGI Symbol;Acc:MGI:1923655] MAMIPATPGGKGDRDRVVLRAHRSIHRKWKGSFQTFGKDVCITGQSVSSGLRTVPQEYAC CQCYTKFGGHLPVPRADALLPYWVPLSLRPRKQVSKMMRCYIPRAMKSCRCSCHCFGGRL PMPRDRAVMPYWVPQGLRSQKKVLKRLENVEDTPGRPQDSSRWYGCWRVCGNQHLLLKWQ QLQALYQD >ENSMUSP00000049759.7 pep:known chromosome:GRCm38:9:122805539:122836334:1 gene:ENSMUSG00000046603.15 transcript:ENSMUST00000052740.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcaim description:T cell activation inhibitor, mitochondrial [Source:MGI Symbol;Acc:MGI:1196217] MLGSWRAYSEMFCHLRPLRRFGLRKVLPHWLHYSRALSGAEAINALRPFYFAVHPDFFGQ HPREREVNENSLKRLSVYLENLQKPGFKSLKPTQLTFYIREKTAQNSSEGQEPISTTGFR AVRFTLHSSDLLSTVLYILNSCSLPVEHVQSLNTNVHSQPLKEATGMPDRPIKWHRSYYS FTGFKDPDEDLTHVSRVETTLTSWLGSNGKGAVKKLRNSLPLRKELDRLKNELSELLQLS DIRWQRGWGVAHRCSQLHSLSRLAQQNPGPLQNVKGCTVVFTDRSGMSALGHVMLGTMDV HHHWTRLFESLPSYFDLQRRMSALEAQISNLLGGIQVVYIEELQPALTLDQYYSLLHTFY NQLLRSRVPPHPHPQSLSGLQMILSSDRYAPSLHELGHFDIPALSDPASLQSFMRTKAQQ ARENMTRREKLKVMENELIQASTKQFSLEKLYKEPSISSRQMVDCCKRLLEQSLPYLHGM HLCVSHFYSVMQDGDLCIPWNWKKGEAMK >ENSMUSP00000120948.2 pep:known chromosome:GRCm38:9:122805596:122836327:1 gene:ENSMUSG00000046603.15 transcript:ENSMUST00000136274.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcaim description:T cell activation inhibitor, mitochondrial [Source:MGI Symbol;Acc:MGI:1196217] MLGSWRAYSEMFCHLRPLRRFGLRKVLPHWLHYSRALSGAEAINALRPFYFAVHPDFFGQ HPREREVNENSLKRLSVYLENLQKPGFKSLKPTQLTFYIREKTAQNSSEGQEPISTTVCL LNTSKA >ENSMUSP00000006818.2 pep:known chromosome:GRCm38:5:30968662:30977018:1 gene:ENSMUSG00000006642.3 transcript:ENSMUST00000006818.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf23 description:transcription factor 23 [Source:MGI Symbol;Acc:MGI:1934960] MSQEATEAPAMPGEGHGHNKAKARWLLGTDRKRSRINRTRQDLWEDTSWSNHRLSRATSA PRGTRARGTAHGRSEASPENAARERTRVKTLRQAFLALQAALPAVPPDTKLSKLDVLVLA TSYIAHLTRTLGHELPGPAWPPFVRGLRYLHPLKKWPMRSRLYAGGLGCSDLDSTTAITT GQRCKDAELGSQDSVAAESLLTSPAFGNK >ENSMUSP00000134641.2 pep:known chromosome:GRCm38:6:52214491:52220393:-1 gene:ENSMUSG00000038236.8 transcript:ENSMUST00000153280.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hoxa7 description:homeobox A7 [Source:MGI Symbol;Acc:MGI:96179] MVPVCGSGLSARLEETPRLRCWRWSIKSEKRLRAGRRRLRLHCAGLIQCQQPPLSEPLRV RLWPGSRRLQPALRLLRPKHPRALQ >ENSMUSP00000048648.6 pep:known chromosome:GRCm38:6:52214498:52217505:-1 gene:ENSMUSG00000038236.8 transcript:ENSMUST00000048715.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa7 description:homeobox A7 [Source:MGI Symbol;Acc:MGI:96179] MSSSYYVNALFSKYTAGASLFQNAEPTSCSFAPNSQRSGYGPGAGAFASTVPGLYNVNSP LYQSPFASGYGLGADAYNLPCASYDQNIPGLCSDLAKGACDKADEGVLHGPAEASFRIYP WMRSSGPDRKRGRQTYTRYQTLELEKEFHFNRYLTRRRRIEIAHALCLTERQIKIWFQNR RMKWKKEHKDESQAPTAAPEDAVPSVSTAADKADEEEEEEEEEEEEEEE >ENSMUSP00000134610.2 pep:known chromosome:GRCm38:6:52215753:52218711:-1 gene:ENSMUSG00000038236.8 transcript:ENSMUST00000134367.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa7 description:homeobox A7 [Source:MGI Symbol;Acc:MGI:96179] MVPVCGSGLSARLEETPRLRCWRWSIKSGLKGFPAYREREAATGRAPAPSPPLCRAYTMS TAPSIRAPSRPAMAWEPTPTTCPAPPTTKTSPGSAVTSPKAPATRRTRACFTARPKPVSA STPGCAVQDPTGSGDARPTRATRRWNWRRNSISTAT >ENSMUSP00000140519.1 pep:known chromosome:GRCm38:6:52216009:52221854:-1 gene:ENSMUSG00000038236.8 transcript:ENSMUST00000150041.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa7 description:homeobox A7 [Source:MGI Symbol;Acc:MGI:96179] MSTAPSIRAPSRPAMAWEPTPTTCPAPPTTKTSPGSAVTSPKAPATRRTRACFTARPKPV SASTPGCAVQDPTGSGD >ENSMUSP00000138790.1 pep:known chromosome:GRCm38:6:52216440:52217452:-1 gene:ENSMUSG00000038236.8 transcript:ENSMUST00000140316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa7 description:homeobox A7 [Source:MGI Symbol;Acc:MGI:96179] MSSSYYVNALFSKYTAGASLFQNAEPTSCSFAPNSQRSGYGPGAGAFASTVPGLYNVNSP LYQSPFASGYGLGADAYNLPCASYDQNIPGLCSDLAKGACDKADEGVLHGPAEASFRIYP WMRSSVFLSIPLFSVLYLFLDSSSLSPPSLLSAWLSFSKARRIETSGIPHSSLYQRPFPE RG >ENSMUSP00000004389.5 pep:known chromosome:GRCm38:6:124739183:124741374:-1 gene:ENSMUSG00000072772.3 transcript:ENSMUST00000004389.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grcc10 description:gene rich cluster, C10 gene [Source:MGI Symbol;Acc:MGI:1315201] MASASAQPAALSAEQAKVVLAEVIQAFSAPENAVRMDEARDNACNDMGKMLQFVLPVATQ IQQEVIKAYGFSCDGEGVLKFARLVKSYEAQDPEIASLSGKLKALFLPPMTLPPHGPASG SSVAAS >ENSMUSP00000002305.8 pep:known chromosome:GRCm38:6:39136623:39206789:-1 gene:ENSMUSG00000042599.8 transcript:ENSMUST00000002305.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm7a description:lysine (K)-specific demethylase 7A [Source:MGI Symbol;Acc:MGI:2443388] MAGAAAAVAAGAAAGAAAAAGSVSAPGRASAPPPPPPVYCVCRQPYDVNRFMIECDVCKD WFHGSCVGVEEHHAVDIDLYHCPDCAALHGSSLMKKRRNWHRHDYTEVDDGSKPVQAGTR AFVKELRSRVFPSADEIIVKMHGSQLTQRYLEKHGFDVPIMVPKLDDLGLRLPSPAFSVM DVERYVGGDKVIDVIDVARQADSKMTLHNYVKYFMNPDRPKVLNVISLEFSDTKMSELVE VPDIARKLSWVENYWPDDSVFPKPFVQKYCLMGVQDSYTDFHIDFGGTSVWYHVLWGEKI FYLIKPTNENLALYESWSSSVTQSEVFFGDKVDKCYKCVVKQGHTLFVPTGWIHAVLTSQ DCMAFGGNFLHNLNIGMQLRCYEMEKRLKTPDLFKFPFFEAICWFVAKSLLETLKELKED GFQPQSYLVQGVKALHTALKLWMKKELVSEHAFEIPDNVRPGHLIKELSKVIRAIEEENG KPVKSQGIPSVCPVSRPSNEASPPYHSRRKMRKLRDHNVRTPSNLDILELHTREVLKRLE MCPWEEDLLSSKLNGKFNKHLQPSSTVPEWRAKDNDLRLLLTNGRIIKDERQLFADRSLY TADSENEEDKKPTQNANMKTEQSSGREEAESQGSPKPLNRIFTSVRSELRSRPSEYSDGS DSEDSGPDCTALKINFATEDSESSGDEKKHEITSHFKEESDIVRNLLQKSQKPSRQEIPV KRECPTSTSTEEEAIQGMLSMAGLHYSSCLQRQIQSTDCSGEKNSLQDPSSCHGSNPEFR QLYRCNKPVEFGYHAKTEDQDLMTSSWNKQFDRTSRFNAQDLSRSQKHIKKESSSEINQK AQSRHCVDSNSSSIQNGKYTLNPSLVSCQISNGSLSPERPIGETSFSMPLHPTKRPASNP PPISNQATKGKRPKKGMATAKQRLGKILKLNRNGHARFFV >ENSMUSP00000080571.4 pep:known chromosome:GRCm38:11:11589223:11627824:-1 gene:ENSMUSG00000062511.6 transcript:ENSMUST00000081896.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930512M02Rik description:RIKEN cDNA 4930512M02 gene [Source:MGI Symbol;Acc:MGI:1922360] MLVSMVPDLFPRVSISSIASLWVFFILSTSLFRSWMVLFNSIACLVVFSCNSLRDFCVSS LMSSTCLVMFSCNSIRDFCVSSLMSSTCLAVFFCISLSELFKSFLISSTIIMRYAFKSRS SFSGVLGCPGLGEVGLLGSNDDQRITGQAEVKLRAFLGDYDGSQMEECCFKILLNIWFNG TANHPHEANISQGALFILQNFSIYLTTLLKGEAEVHII >ENSMUSP00000026210.4 pep:known chromosome:GRCm38:19:43956307:43986556:-1 gene:ENSMUSG00000025196.4 transcript:ENSMUST00000026210.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpn1 description:carboxypeptidase N, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2135874] MPDLPSAFLPLLLLSKFVTPVTFRHHRYDDLVRTLYKVHNQCPDITRLYNIGRSVKGRYL YVLEFSDYPGIHEPLEPEVKYVGNMHGNEVLGRELLLQLSEFLCEEFRNRNQRILRLIQD TRIHILPSMNPDGYEVAAAQGPNMSGYLVGRNNANGVDLNRNFPDLNTYFYYNSKNGGPN HHLPLPDNWKSQVEPETRAVIQWIRSLNFVLSANMHGGAVVANYPYDKSLEHRFRGPHRT SNSPTPDDELFQTLAKVYSYAHGWMHQGWNCGDYFPDGITNGASWYSLSKGMQDFNYLHT NCFEITLELSCDKFPRQEELQREWLGNREALIQFLEQVHQGIKGMVLDENSNNLTGAVIS VTGINHDVTSGEHGDYFRLLLPGTYSVTAKAPGYDPKTVTVTVGPAGPTVVDFQLKRSSS QVYPVQRAPGRGQGGRAKQPRTSRKKDPATKRHRGPA >ENSMUSP00000121459.1 pep:known chromosome:GRCm38:7:123214780:123265680:1 gene:ENSMUSG00000030769.15 transcript:ENSMUST00000131933.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a11 description:solute carrier family 5 (sodium/glucose cotransporter), member 11 [Source:MGI Symbol;Acc:MGI:1919316] MESATISPQPPQSDSLEAFPQKSMEPADIAVLVLYFLFVLAVGLWSTVRTKRDTVKGYFL AGGDMVWWPVGASLFASNVGSGHFIGLAGSGAAVGISVAAYELNGLFSVLMLAWVFLPIY IAGQVTTMPEYLRRRFGGNRISITLAVLYLFIYIFTKISVDMYAGAIFIQQSLHLDLYLA IVGLLAITALYTVAGGLAAVIYTDALQTVIMLIGAFILMGYSFAAVGGMEGLKDQYFLAL ASNRSENSSCGLPREDAFHIFRDPLTSDLPWPGILFGMSIPSLWYWCTDQVIVQRSLAAK NLSHAKGGSLMAAYLKVLPLFLMVFPGMVSRVLFPDQVACAHPDICQRVCSNPSGCSDIA YPKLVLELLPTGLRGLMMAVMVAALMSSLTSIFNSASTIFTM >ENSMUSP00000033035.6 pep:known chromosome:GRCm38:7:123214813:123273253:1 gene:ENSMUSG00000030769.15 transcript:ENSMUST00000033035.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a11 description:solute carrier family 5 (sodium/glucose cotransporter), member 11 [Source:MGI Symbol;Acc:MGI:1919316] MESATISPQPPQSDSLEAFPQKSMEPADIAVLVLYFLFVLAVGLWSTVRTKRDTVKGYFL AGGDMVWWPVGASLFASNVGSGHFIGLAGSGAAVGISVAAYELNGLFSVLMLAWVFLPIY IAGQVTTMPEYLRRRFGGNRISITLAVLYLFIYIFTKISVDMYAGAIFIQQSLHLDLYLA IVGLLAITALYTVAGGLAAVIYTDALQTVIMLIGAFILMGYSFAAVGGMEGLKDQYFLAL ASNRSENSSCGLPREDAFHIFRDPLTSDLPWPGILFGMSIPSLWYWCTDQVIVQRSLAAK NLSHAKGGSLMAAYLKVLPLFLMVFPGMVSRVLFPDQVACAHPDICQRVCSNPSGCSDIA YPKLVLELLPTGLRGLMMAVMVAALMSSLTSIFNSASTIFTMDLWNHIRPRASERELMIV GRIFVFALVLVSILWIPIVQASQGGQLFIYIQSISSYLQPPVAMVFIMGCFWKRTNEKGA FSGLILGLLLGLVRLILDFVYAQPRCDQPDDRPAVVKDVHYLYFSMILSFTTLITVVTVS WFTETPSKEMVSRLTWFTRHEPVAQKDSAPPETPLSLTLSQNGTTEAPGTSIQLETVQES TTKACGDGVSPRHSKVVRAILWLCGMEKNKEEPPSKAEPVIVSLEENPLVKTLLDVNCIV CISCAIFLWGYFA >ENSMUSP00000123027.1 pep:known chromosome:GRCm38:7:123228884:123239426:1 gene:ENSMUSG00000030769.15 transcript:ENSMUST00000131461.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a11 description:solute carrier family 5 (sodium/glucose cotransporter), member 11 [Source:MGI Symbol;Acc:MGI:1919316] MESATISPQPPQSDSLEAFPQKSMEPADIAVLVLYFLFVLAVGLWVGASLFASNVGSGHF IGLA >ENSMUSP00000117956.1 pep:known chromosome:GRCm38:7:123228884:123248022:1 gene:ENSMUSG00000030769.15 transcript:ENSMUST00000127655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a11 description:solute carrier family 5 (sodium/glucose cotransporter), member 11 [Source:MGI Symbol;Acc:MGI:1919316] MESATISPQPPQSDSLEAFPQKSMEPADIAVLVLYFLFVLAVGLWSTVRTKRDTVKGYFL AGGDMVWWPVGASLFASNVGSGHFIGLAGSGAAVGISVAAYELNGLFSVLMLAWVFLPIY IAGQVTTMPEYLRRRFGGNRISITLAVLYLFI >ENSMUSP00000120678.1 pep:known chromosome:GRCm38:7:123240323:123250095:1 gene:ENSMUSG00000030769.15 transcript:ENSMUST00000131209.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a11 description:solute carrier family 5 (sodium/glucose cotransporter), member 11 [Source:MGI Symbol;Acc:MGI:1919316] XQNRPERTMGLFSVLMLAWVFLPIYIAGQVTTMPEYLRRRFGGNRISITLAVLYLFIYIF TKISVDMYAGAI >ENSMUSP00000127977.2 pep:known chromosome:GRCm38:7:123235620:123273203:1 gene:ENSMUSG00000030769.15 transcript:ENSMUST00000167299.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a11 description:solute carrier family 5 (sodium/glucose cotransporter), member 11 [Source:MGI Symbol;Acc:MGI:1919316] MESATISPQPPQSDSLEAFPQKSMEPADIAVLVLYFLFVLAVGLWSTVRTKRDTVKGYFL AGGDMVWWPVGASLFASNVGSGHFIGLAGSGAAVGISVAAYELNGLFSVLMLAWVFLPIY IAGQVTTMPEYLRRRFGGNRISITLAVLYLFIYIFTKISVDMYAGAIFIQQSLHLDLYLA IVGLLAITALYTVAGGLAAVIYTDALQTVIMLIGAFILMGYSFAAVGGMEGLKDQYFLAL ASNRSENSSCGLPREDAFHIFRDPLTSDLPWPGILFGMSIPSLWYWCTDQVIVQRSLAAK NLSHAKGGSLMAAYLKVLPLFLMVFPGMVSRVLFPDQVACAHPDICQRVCSNPSGCSDIA YPKLVLELLPTGLRGLMMAVMVAALMSSLTSIFNSASTIFTMDLWNHIRPRASERELMIV GRIFVFALVLVSILWIPIVQASQGGQLFIYIQSISSYLQPPVAMVFIMGCFWKRTNEKGA FSGLILGLLLGLVRLILDFVYAQPRCDQPDDRPAVVKDVHYLYFSMILSFTTLITVVTVS WFTETPSKEMVSRLTWFTRHEPVAQKDSAPPETPLSLTLSQNGTTEAPGTSIQLETVQES TTKACGDGVSPRHSKVVRAILWLCGMEKNKEEPPSKAEPVIVSLEENPLVKTLLDVNCIV CISCAIFLWGYFA >ENSMUSP00000126650.1 pep:known chromosome:GRCm38:17:17703941:17734167:1 gene:ENSMUSG00000092120.1 transcript:ENSMUST00000169805.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r90 description:vomeronasal 2, receptor 90 [Source:MGI Symbol;Acc:MGI:3645076] MFWILIFGLLYFLNIVCAITNNNCYTTIKENFHVKGDVKIGAFFSLHIYYTGNNIPDTID PYYFKDVHLQYKFKNYQFLLALLFAIEEINKNPHLLPNITLGFDFYNVRFTEKSILEGPL TWLTMLPYAIPNYSCENKSNPPAALTGTSWSTSAHIGTLLQLYKIPQLTFGPFDSILNNQ EQLNYVYQMAPKDTFLSLAIVSLMLHFSWSWVGLIIPDDHKGTQILSDLRQVMERNNICI ASVQMIPGIWNSFSNALWKSLVQTKESSANVTVVCGDIVSLQGLMRHIAQLLVTWKVWVL NSQWDVDTHSDYFMLESLHGSFIFSHHHEEMAEFTNFIRTVNPYKYPEDNYLPKFWYLFF KCSFSEFDCQLLENCQPNASLELLPRYLFDPVMSEESYNIYNAVYAVAYSLHEMNLQQIQ IQRYANGEKMVAFPWEVLPFLKNTLLKHRMRGHTDLDGKRKLDSEYDILNFWNFPKGLAL KVKVGNFSPNAPHGQQLMLAEKRIQWPLMFTNIPQSVCSESCRPGFRKSVQEGEPTCCFY CTPCADNEISNETDMDECVKCPESHYANSEKNHCLQKSVSFLAYEDSLGIALTTTALCLS VLTAVVLVVFVKHRHTPIVKANNRTLSYTLLLTLIMCFLSSLLFIGQPNTTTCILQQTAF GIFFTVALSTVLAKAITVVIAFKVTVPNRKVRRLMISRAPNFIIPICTLVQLLFCGIWLI TSPPFIDQNAHAEHGNIIILCNKGSAVAFHCVLGYLCFLALVSYTLAFFSRNLPDTFNEA KFLSFSMQVFFCVWITFLPVYHSTKGKVMVAMEVFSMLASSTALLSLIFGPKCYIILLRP DKNVCLDIRHKSHSKRNSGLNFI >ENSMUSP00000002678.9 pep:known chromosome:GRCm38:7:25687002:25705077:1 gene:ENSMUSG00000002603.15 transcript:ENSMUST00000002678.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfb1 description:transforming growth factor, beta 1 [Source:MGI Symbol;Acc:MGI:98725] MPPSGLRLLPLLLPLPWLLVLTPGRPAAGLSTCKTIDMELVKRKRIEAIRGQILSKLRLA SPPSQGEVPPGPLPEAVLALYNSTRDRVAGESADPEPEPEADYYAKEVTRVLMVDRNNAI YEKTKDISHSIYMFFNTSDIREAVPEPPLLSRAELRLQRLKSSVEQHVELYQKYSNNSWR YLGNRLLTPTDTPEWLSFDVTGVVRQWLNQGDGIQGFRFSAHCSCDSKDNKLHVEINGIS PKRRGDLGTIHDMNRPFLLLMATPLERAQHLHSSRHRRALDTNYCFSSTEKNCCVRQLYI DFRKDLGWKWIHEPKGYHANFCLGPCPYIWSLDTQYSKVLALYNQHNPGASASPCCVPQA LEPLPIVYYVGRKPKVEQLSNMIVRSCKCS >ENSMUSP00000130413.1 pep:known chromosome:GRCm38:7:25688927:25697213:1 gene:ENSMUSG00000002603.15 transcript:ENSMUST00000169009.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tgfb1 description:transforming growth factor, beta 1 [Source:MGI Symbol;Acc:MGI:98725] MPSRSEWRAPLSWAWKGVAEPLESSPRGAARSRGEAVFKTQMKKPNRHL >ENSMUSP00000029085.8 pep:known chromosome:GRCm38:2:180582712:180586287:1 gene:ENSMUSG00000027569.15 transcript:ENSMUST00000029085.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgbp description:MRG/MORF4L binding protein [Source:MGI Symbol;Acc:MGI:1920497] MGEAEVGGTGAPGDKGPGEAAPSPAEETVVWSPEVEVCLFHAMLGHKPVGVNRHFHMICI RDKFSQNIGRQVPSKVIWDHLSTMYDMQALHESEILPFPNPERNFVLPDEIIQEVREGKV VIEEEMKEEMKEDVDPHSGADDVFSSSGSLGKALEKSSKDKEKNSSDLGCKEGADKRKRS RVTDKVLTANSNPSSPSAAKRRRT >ENSMUSP00000127747.1 pep:known chromosome:GRCm38:2:180581304:180585634:1 gene:ENSMUSG00000027569.15 transcript:ENSMUST00000169630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgbp description:MRG/MORF4L binding protein [Source:MGI Symbol;Acc:MGI:1920497] MGEAEVGGTGAPGDKGPGEAAPSPAEETVVWSPEVEVCLFHAMLGHKPVGVNRHFHMICI RDKFSQNIGRQVPSKVIWDHLSTMYDMQALHESEILPFPNPERNFVLPDEIIQEVREGKV VIEEEMKEEMKEDVDPHSGADDVFSSSGSLGKALEKSSKDKEKNSSDLGCKEGADKRKRS RVTDKVLTANSNPSSPSAAKRRRT >ENSMUSP00000101006.1 pep:known chromosome:GRCm38:10:80141457:80145813:1 gene:ENSMUSG00000003072.15 transcript:ENSMUST00000105367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5d description:ATP synthase, H+ transporting, mitochondrial F1 complex, delta subunit [Source:MGI Symbol;Acc:MGI:1913293] MLPASLLRHPGLRRLMLQARTYAEAAAAPAPAAGPGQMSFTFASPTQVFFDSANVKQVDV PTLTGAFGILASHVPTLQVLRPGLVVVHTEDGTTTKYFVSSGSVTVNADSSVQLLAEEAV TLDMLDLGAARANLEKAQSELSGAADEAARAEIQIRIEANEALVKALE >ENSMUSP00000101005.1 pep:known chromosome:GRCm38:10:80142370:80145812:1 gene:ENSMUSG00000003072.15 transcript:ENSMUST00000105366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5d description:ATP synthase, H+ transporting, mitochondrial F1 complex, delta subunit [Source:MGI Symbol;Acc:MGI:1913293] MLPASLLRHPGLRRLMLQARTYAEAAAAPAPAAGPGQMSFTFASPTQVFFDSANVKQVDV PTLTGAFGILASHVPTLQVLRPGLVVVHTEDGTTTKYFGSPGQPGEGAVRTVRCGGRGST G >ENSMUSP00000003156.8 pep:known chromosome:GRCm38:10:80142315:80145818:1 gene:ENSMUSG00000003072.15 transcript:ENSMUST00000003156.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5d description:ATP synthase, H+ transporting, mitochondrial F1 complex, delta subunit [Source:MGI Symbol;Acc:MGI:1913293] MLPASLLRHPGLRRLMLQARTYAEAAAAPAPAAGPGQMSFTFASPTQVFFDSANVKQVDV PTLTGAFGILASHVPTLQVLRPGLVVVHTEDGTTTKYFVSSGSVTVNADSSVQLLAEEAV TLDMLDLGAARANLEKAQSELSGAADEAARAEIQIRIEANEALVKALE >ENSMUSP00000058755.7 pep:known chromosome:GRCm38:6:52206288:52208722:-1 gene:ENSMUSG00000043219.9 transcript:ENSMUST00000062829.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa6 description:homeobox A6 [Source:MGI Symbol;Acc:MGI:96178] MSSYFVNPTFPGSLPSGQDSFLGQLPLYPAGYDALRPFPASYGASSLPDKTYTSPCFYQQ SNSVLACNRASYEYGASCFYSDKDLSGASPSGNNKQRGPGDYLHFSPEQQYKPDGSVQGK ALHEEGTDRKYTSPVYPWMQRMNSCAGAVYGSHGRRGRQTYTRYQTLELEKEFHFNRYLT RRRRIEIANALCLTERQIKIWFQNRRMKWKKENKLINSTQASGEDSEAKAGE >ENSMUSP00000085695.5 pep:known chromosome:GRCm38:6:124742544:124756524:-1 gene:ENSMUSG00000004263.15 transcript:ENSMUST00000088357.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atn1 description:atrophin 1 [Source:MGI Symbol;Acc:MGI:104725] MKTRQNKDSMSMRSGRKKEAPGPREELRSRGRASPGGVSTSSSDGKAEKSRQTAKKARIE EPSAPKASKQGRSEEISESESEETSAPKKTKTEQELPRPQSPSDLDSLDGRSINDDGSSD PRDIDQDNRSTSPSIYSPGSVENDSDSSSGLSQGPARPYHPPPLFPPSPPPPDSTPRQPE SGFEPHPSVPPTGYHAPMEPPTSRLFQGPPPGAPPTHPQLYPGNASGGVLSGPPMGPKGG AAASSVGAPSGGKQHPPPTTPIPISSSGASGAPPAKPPSAPVGGGSLPSAPPPASFPHVT PNLPPPPALRPLNNASASPPGMGAQPIPGHLPSPHAMGQGMSGLPPGPEKGPTLAPSPHP LPPASSSAPGPPMRYPYSSSSSSAAASSSSSSSSASQYPASQALPSYPHSFPPPTSMSVS NQPPKYTQPSLPSQAVWSQGPPPPPPYGRLLANNNTHPGPFPPTGGQSTAHPAAPTHHHH QQQPQQQHHHGNSGPPPPGAYPHPLESSNSHHAHPYNMSPSLGSLRPYPPGPAHLPPPHG QVSYNQAGPNGPPVSSSNSSGSSSQASYSCSHPSSSQGPQGASYPFPPVPPVTTSSATLS TVIATVASSPAGYKTASPPGPPQYSKRAPSPGSYKTATPPGYKPGSPPSFRTGTPPGYRG TSPPAGPGTFKPGSPTVGPGPLPPAGPSSLSSLPPPPAAPTTGPPLTATQIKQEPAEEYE PPESPVPPARSPSPPPKVVDVPSHASQSARFNKHLDRGFNSCARSDLYFVPLEGSKLAKK RADLVEKVRREAEQRAREEKEREREREREKEREREKERELERSVKLAQEGRAPVECPSLG PVPHRPPFEPGSAVATVPPYLGPDTPALRTLSEYARPHVMSPGNRNHPFYVPLGAVDPGL LGYNVPALYSSDPAAREREREARERDLRDRLKPGFEVKPSELEPLHGVPGPGLDPFPRHG GLALQPGPPGLHPFPFHPSLGPLERERLALAAGPALRPDMSYAERLAAERQHAERVAALG NDPLARLQMLNVTPHHHQHSHIHSHLHLHQQDAIHAASASVHPLIDPLASGSHLTRIPYP AGTLPNPLLPHPLHENEVLRHQLFAAPYRDLPASLSAPMSAAHQLQAMHAQSAELQRLAL EQQQWLHAHHPLHSVPLPAQEDYYSHLKKESDKPL >ENSMUSP00000123560.1 pep:known chromosome:GRCm38:6:124747719:124756054:-1 gene:ENSMUSG00000004263.15 transcript:ENSMUST00000146872.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atn1 description:atrophin 1 [Source:MGI Symbol;Acc:MGI:104725] MKTRQNKDSMSMRSGRKKEAPGPREELRSRGRASPGGVSTSSSDGKAEKSRQTAKKARIE EPSAPKASKQGRSEEISESESEETSAPKKTKTEQELPRPQSPSDLDSLDGRSINDDGSSD PRDIDQDNRSTSPSIYSPGSVENDSDSSSGLSQGPARPYHPPPLFPPSPPPPDSTPRQPE SGF >ENSMUSP00000038675.1 pep:known chromosome:GRCm38:5:3845173:3866596:1 gene:ENSMUSG00000040367.2 transcript:ENSMUST00000044039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrd1 description:leucine rich repeats and death domain containing 1 [Source:MGI Symbol;Acc:MGI:3045299] MSEDGSNVEPGGFPRFESLEEPGSEISDLLDEASPYSKSKDSNQIYEANPSKDTEQSAAS FTSQLDRNEEKNTGIPFSETNIADIIPLSIEESLQSSGSSETKMAEDYYPVKFPTGRKFR GRIFNGNQKGLDMKSDNFTVNLDAKGLQEFPVDIVKVKYVKYLYLDKNQIKNFQGIDPGD LLGLEILSLQENGLSSIPLEIQLFHNLKILNASYNEISQIPKELLQLENMRQLLLNSNHI DTLPSGLEHLRYLETLSLGKNMLTYIPDSLSSLKNLRILNLEYNQLTIFSKSLCFLPKLN SLNLTGNMIGSLPKEVRELKNLESLLMDHNKLTFLAVEIFQLPKIKELHLADNKLEAISP KIENFKELRLLNLDKNLLQSIPKKISHCVNLESLSLSDNNIEELPKKIRKLKNLRQLHVN RNKMITMTEEISHLSNIHILEFSGNQITHVPIEIKNCRKITRVELNYNNIMYFPVGLCAL QSLDYLSFNGNYISEIPVDMSFSKQLLHLELNRNKLTVFSKHLCSLTNLEYLDLAKNQIM TIPSCISAMVSLHVLILSDNKFESFPKELCSLKNLRVLDISENKLQKIPLEISKLKRIQK LNLSNNIFTNFPVELCQLQTLEELNISQTSGKKLTRLPEEVSHMTQLKILNISNNAIKDI PKNIGELRSLVSFYASNNQISSLPSSFLSLEVLQSLDLRGNNMTALPSGIYKLSSLKEIN FDDNPLMRPPMEICKGKEMHMITCYLQRADERDEKILEKIFNIVANSITETNFEFLQQKL NMASSANNMPVRPTTPLNERIYQALIKWKAEKDVQFTATALRDKLFRALNMIGAYDIMDK ITALNLYTSAIKL >ENSMUSP00000122668.1 pep:known chromosome:GRCm38:5:3845187:3850188:1 gene:ENSMUSG00000040367.2 transcript:ENSMUST00000143027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrd1 description:leucine rich repeats and death domain containing 1 [Source:MGI Symbol;Acc:MGI:3045299] MSEDGSNVEPGGFPRFESLEEPGSEISDLLDEASPYSKSKDSNQIYEANPSKDTEQSAAS FTSQLDRNEEKNTGIPFSETNIADIIPLSIEESLQSSGSSETKMAEDYYPVKFPTGRKFR GRIFNGNQKGLDMKSDNFTVNLDAKGLQEFPVDIVKVKYVKYLY >ENSMUSP00000107617.2 pep:known chromosome:GRCm38:1:139697623:139781168:-1 gene:ENSMUSG00000070594.10 transcript:ENSMUST00000111986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4788 description:predicted gene 4788 [Source:MGI Symbol;Acc:MGI:3646434] MGFCSMLLLSNILLTAWLSTAKGEVKSCEFPQFKYGRLYYEEILRPNFPVSIGNKYSYKC DNGFSPPSGIFWDYLRCTVQGWEPEVPCVRKCVFHYVENGQSAYWEKIYVQGQSLKVQCY NGYSLQNGQDTMTCTENGWSPPPKCIRIKTCSVSDIEIENGFFSESFRTYALNRETSYRC KQGYVTNTGETSGSITCLQNGWSPQPSCIKSCERPVFENSVTKNNSTWFKLNDKLDYECL IGYENEYKHTKGSITCTYYGWSDTPSCYEIECSVPILDRKLVVSPRKEKYRVGDLLEFSC RSGHRVGPDSVQCYHFGWSPSFPTCKAGQVASCAQPPEIPNGEINGAKRVEYSHGEVVGY DCKPRFLLKGPNKIQCVDGMWTTLPVCVEEERTCGDIPELEHGSVKFSVPPYHHGDSVEF TCAETFTMIGLGSVFCLSGKWTQLPKCVATDQLEKCRVLKSTDIEAIKPKRKEFQHNSTM YYKCRDKQEYEHSICINGKWNPEPNCIRKISCPPPPQIPNTQVIETTVKYLDGEKLSVLC QDNYLTQDPEEMMCKDGRWQSLPHCIEKIPCSQPPTIEHGSIKLPRTSEKRRKSIEFSSH EHGTTFSYVCDDGFRIPEENGVACYMGKWSTPPRCVGLPCGPPPSIPLGTVSLELESYQH GEEVTYHCSTGFGIDGPALIKCEGGKWSDPPKCINSRGKCGPPPPIDNGDITSLSLPEYE PFSSVDYQCQKYYLLKGKKTITCRNGKWSEPPTCLHACIIPENIMEARKIILKWRHTKNI YSHSGEDIEFECKYGYHKARGSPPFRTKCISGTINYPICE >ENSMUSP00000107620.2 pep:known chromosome:GRCm38:1:139697623:139781243:-1 gene:ENSMUSG00000070594.10 transcript:ENSMUST00000111989.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4788 description:predicted gene 4788 [Source:MGI Symbol;Acc:MGI:3646434] MGFCSMLLLSNILLTAWLSTAKGEVKSCEFPQFKYGRLYYEEILRPNFPVSIGNKYSYKC DNGFSPPSGIFWDYLRCTVQGWEPEVPCVRKCVFHYVENGQSAYWEKIYVQGQSLKVQCY NGYSLQNGQDTMTCTENGWSPPPKCIRIKTCSVSDIEIENGFFSESFRTYALNRETSYRC KQGYVTNTGETSGSITCLQNGWSPQPSCIKSCERPVFENSVTKNNSTWFKLNDKLDYECL IGYENEYKHTKGSITCTYYGWSDTPSCYEIECSVPILDRKLVVSPRKEKYRVGDLLEFSC RSGHRVGPDSVQCYHFGWSPSFPTCKAGQVASCAQPPEIPNGEINGAKRVEYSHGEVVGY DCKPRFLLKGPNKIQCVDGMWTTLPVCVEEERTCGDIPELEHGSVKFSVPPYHHGDSVEF TCAETFTMIGLGSVFCLSGKWTQLPKCVATDQLEKCRVLKSTDIEAIKPKRKEFQHNSTM YYKCRDKQEYEHSICINGKWNPEPNCIRKISCPPPPQIPNTQVIETTVKYLDGEKLSVLC QDNYLTQDPEEMMCKDGRWQSLPHCIEKIPCSQPPTIEHGSIKLPRTSEKRRKSIEFSSH EHGTTFSYVCDDGFRIPEENGVACYMGKWSTPPRCVGLPCGPPPSIPLGTVSLELESYQH GEEVTYHCSTGFGIDGPALIKCEGGKWSDPPKCIKTDCDILPTIENAIIRGKNKKSYRTG EQVTVRCQSPYQMNGSDTVTCINGRWIGKPVCKDSRGKCGPPPPIDNGDITSLSLPEYEP FSSVDYQCQKYYLLKGKKTITCRNGKWSEPPTCLHACIIPENIMEARKIILKWRHTKNIY SHSGEDIEFECKYGYHKARGSPPFRTKCISGTINYPICE >ENSMUSP00000027612.8 pep:known chromosome:GRCm38:1:139697632:139781236:-1 gene:ENSMUSG00000070594.10 transcript:ENSMUST00000027612.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4788 description:predicted gene 4788 [Source:MGI Symbol;Acc:MGI:3646434] MGFCSMLLLSNILLTAWLSTAKGEVKSCEFPQFKYGRLYYEEILRPNFPVSIGNKYSYKC DNGFSPPSGIFWDYLRCTVQGWEPEVPCVRKCVFHYVENGQSAYWEKIYVQGQSLKVQCY NGYSLQNGQDTMTCTENGWSPPPKCIRIKTCSVSDIEIENGFFSESFRTYALNRETSYRC KQGYVTNTGETSGSITCLQNGWSPQPSCIKSCERPVFENSVTKNNSTWFKLNDKLDYECL IGYENEYKHTKGSITCTYYGWSDTPSCYEIECSVPILDRKLVVSPRKEKYRVGDLLEFSC RSGHRVGPDSVQCYHFGWSPSFPTCKGQVASCAQPPEIPNGEINGAKRVEYSHGEVVGYD CKPRFLLKGPNKIQCVDGMWTTLPVCVEEERTCGDIPELEHGSVKFSVPPYHHGDSVEFT CAETFTMIGLGSVFCLSGKWTQLPKCVATDQLEKCRVLKSTDIEAIKPKRKEFQHNSTMY YKCRDKQEYEHSICINGKWNPEPNCIRKISCPPPPQIPNTQVIETTVKYLDGEKLSVLCQ DNYLTQDPEEMMCKDGRWQSLPHCIGLPCGPPPSIPLGTVSLELESYQHGEEVTYHCSTG FGIDGPALIKCEGGKWSDPPKCIKTDCDILPTIENAIIRGKNKKSYRTGEQVTVRCQSPY QMNGSDTVTCINGRWIGKPVCKDSRGKCGPPPPIDNGDITSLSLPEYEPFSSVDYQCQKY YLLKGKKTITCRNGKWSEPPTCLHACIIPENIMEARKIILKWRHTKNIYSHSGEDIEFEC KYGYHKARGSPPFRTKCISGTINYPICE >ENSMUSP00000031879.3 pep:known chromosome:GRCm38:6:42623016:42645254:-1 gene:ENSMUSG00000029851.5 transcript:ENSMUST00000031879.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcaf2 description:TRPM8 channel-associated factor 2 [Source:MGI Symbol;Acc:MGI:2385258] MATTPDAAFEALMNGVTSWDLPKEFTPSELLLIGEAAFPVMVNDKGQVLIAVSFYGQGRL VVVSHESYLMHAGLAPFLLNAVSWLCPSPGTPIEVHSSLASLVNILRGSGINALVQPEPG EALGVYCIDAYNDTLTKKLVQFVKRGGGLLIGGQAWNWASQHGSDKVLFSFPGNKVTSVA GVYFTDVYGDINRFKVSKKIPKIPLYIRCWEELRHDQDQLLDGISMLDVRTGGVPSQLLV HGSLAFPLGLDNSFLSCFLAAAHYGRGRVVLAAHEAMLCAPKMEPFLLNAIRWLSRGQED NIGVNTRLKNLNSLLLKHGLKCSLESHLTDDMCVYCCAAYSDQEAKKIQEFVAEGGGLLI GGQSWWWASQNPGSSALGSFPGNVILNTFGLSILPRTVSPGCFPILHIDIRNYHFRGALS EFQAMLNHKEGNLEKRYSGKLGVDGAGFLQIPAQGVPAYLSVHRILRKILRQAGLPAVSK SNPVSSHSYEAAILQLATELAHSGSDCSQIAHNLSSQTCSSNLSSSEHPITVEINGTNPG DRDVWMSTGLYLLEGQSTEISVSEPAASAGLKVQIGCHTDDLTFAIKLFRAPVVTYQCCM NRTQRSVSCLWGGLLYIIVPKGCQLGPVSVTITNAVPAPYYKLGKTSLEEWKSCIQKNLG PWGELATDNVILTVPTASLKTLENPEPLLQLWDEMMQAVARLASQPFPFQRPERIVADVQ LSAGWMHSGYPIMCHMESVQELVSLANIRSKGLWGPIHELGHNQQCRGWEFPPHTTEATC NLWSVYVHETVLGIPRAQAHPQLKPEEREKRIKEHLQKGAPLQNWNVWTALETYLQLQEV FGWEPFITLFAEYQTIFYIPEDNECKMNIWLKLFSEKVQKNLVPFFEAWGWPIQKDVAED LACYPSWEDHPLRMYMGSE >ENSMUSP00000098943.2 pep:known chromosome:GRCm38:6:52189671:52191753:-1 gene:ENSMUSG00000000942.10 transcript:ENSMUST00000101395.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa4 description:homeobox A4 [Source:MGI Symbol;Acc:MGI:96176] MTMSSFLINSNYIEPKFPPFEEFAPHGGPGGGDGAVGGGPGYPRPQSAPHLPAPNPHAAR QPPAYYAPRAREPSYPGGLYPAPAAACPYACRGASPARPEQSPAPGAHPSPAPQPPAPPR HCAPGPTTPAVATGGSAPACPLLLADQGPAGPKGKEPVVYPWMKKIHVSAVNSSYNGGEP KRSRTAYTRQQVLELEKEFHFNRYLTRRRRIEIAHTLCLSERQVKIWFQNRRMKWKKDHK LPNTKMRSSNTASAPAGPPGKAQTHSPHPHPHPLPGASTPIPSSI >ENSMUSP00000057159.7 pep:known chromosome:GRCm38:7:7171330:7183562:1 gene:ENSMUSG00000034538.7 transcript:ENSMUST00000051435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp418 description:zinc finger protein 418 [Source:MGI Symbol;Acc:MGI:2444763] MAALKHLAQVPRSTEKGMVCIQGCVTFEDVAVYFSQEEWELLDESQRLLYLDVMLENFTL ITSLAHWSEAEAEDKTCAPQIRTAKEGLPTKKPHPSDICSTVLKDILHLSDLPGQKPHLT EVCTDLLDQKHHRAKNWLKKDVDSLVKNCIFHVAGNPSVCSKIGEKFPAVWNLLQPKAIP KGEKQNQIKCRKAFHSEKNNSKSDKYNKSSSPQHRLHEYPRLCSGKEGFESNSCEQDLNK YSIAPSQTDQTENRPYGCHDCGKWFGQKATLRIHQRRHTGEKPYRCGECGKSFCQSSNLS EHCRVHSGERPFECLECGKAFGCHSSLLRHQRTHTGEWPYECGDCGRLFRQIVSLITHQR THTTEKPYECGQCEKSFSHKATLTVHQRVHTGEKPYHCEACGKSFSQSANLIKHSKIHTG EKPYKCGECGLCFRQRATLMKHQRTHTSERPYECRECGKFFKQYFYLIEHSRIHTTTEFY ECGQCGKSYTQNATLIRHQRVHTGESPYKCKECGKAFEYKSRLNRHQRTHTGERPYECAK CGKFFRESYNLAEHQKIHTKAKPYNCDQCGKCFSRRADLVKHQRVHTGERPYTCGECGKT FSRTTNLVQHRRIHTGERPYECDQCGKSFSQVSTLTRHQLLHTGEKSYKCSK >ENSMUSP00000045269.3 pep:known chromosome:GRCm38:4:103313812:103366443:1 gene:ENSMUSG00000035069.3 transcript:ENSMUST00000035780.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oma1 description:OMA1 homolog, zinc metallopeptidase (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914263] MSLLYGLQSTRINRFLSGVNNLANRRQWTPPASCPLAPKLRAVNAYWGLNTVSHCHSVTL LPRNFLFCRTLNHKKSRCLSSAQSKELGVLTYRCTVRGDSVLRQGARKVAGVPALAASCS PSCPAVIEARSFRTSARVQAAPVPLLLLILKPVQKLLAIIVGRGIRKWWQALPPNKKELF KDSVRKNKWRLLLGLSAFGLLFVVFYFTHLEVSPVTGRSKLLLVGKEHFRLLSDLEYEVW MEEFKNDLLPERDPRYLTVKEMVYHLTQCNRDVPGISETNWVVHVVDSPAVNAFVLPNGQ VFIFTGLLNSVTDVHQLSFLLGHEIAHAVLGHAAEKASLVHLLDFLGMIFLTMIWAICPR DSLAVLGQWIQSKLQEYMFDRPYSRTLEAEADKVGLQLAAKACADVRASSVFWQQMEFSE SLHGYPKLPEWLSTHPSHGNRAEYLDRLIPQALKLREVCNCPPLSGPDPRLLFRLTVKRF LEDSEKEDLNITVKKQKTDALPMQKQEQIPLTYVLEKRTAG >ENSMUSP00000069083.4 pep:known chromosome:GRCm38:7:18245347:18266092:1 gene:ENSMUSG00000054005.4 transcript:ENSMUST00000066780.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mill1 description:MHC I like leukocyte 1 [Source:MGI Symbol;Acc:MGI:2179988] MLLSRNLRALAAIHLWIVYLLLEDLLGTCAEGDNQRLVASAPYQDIEITLEKPRVQAVAE PHTLRYDLMALSLEVPGLPQFLTLRYFDDEPFLPYKKNSSITDSQEPRIKDHLRAETWGR ETDDLQEEEEQLKGMLAEITAQNGQNTDLHILQATFGCELQRNGSTRGFWKLGYDGQNFL TFDQKTLTWTVDGPSTQKNKTFWKTRAPRADLVKTFLDDICPAQLQRYLASLRNGLLNTG FPKVIVTFRNYPVGRITLTCRAFRLYTRVATLTWLQYRKPVQQKTFGSETILPSGDGTYQ AWVSIRVLPGQESQFSCNLKHGNHNINEPAATEAPVYGARREQPPTSGVGSRVGKSLWSA MTTALVVISWTLSQKLMGPLLWFCSGGFCSFLQCW >ENSMUSP00000014848.8 pep:known chromosome:GRCm38:6:52162417:52164831:-1 gene:ENSMUSG00000014704.10 transcript:ENSMUST00000014848.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa2 description:homeobox A2 [Source:MGI Symbol;Acc:MGI:96174] MNYEFEREIGFINSQPSLAECLTSFPPVADTFQSSSIKTSTLSHSTLIPPPFEQTIPSLN PGSHPRHGAGVGGRPKSSPAGSRGSPVPAGALQPPEYPWMKEKKAAKKTALPPAAASTGP ACLGHKESLEIADGSGGGSRRLRTAYTNTQLLELEKEFHFNKYLCRPRRVEIAALLDLTE RQVKVWFQNRRMKHKRQTQCKENQNSEGKFKNLEDSDKVEEDEEEKSLFEQALSVSGALL EREGYTFQQNALSQQQAPNGHNGDSQTFPVSPLTSNEKNLKHFQHQSPTVPNCLSTMGQN CGAGLNNDSPEAIEVPSLQDFNVFSTDSCLQLSDALSPSLPGSLDSPVDISADSFDFFTD TLTTIDLQHLNY >ENSMUSP00000140100.1 pep:known chromosome:GRCm38:15:84910438:84920038:-1 gene:ENSMUSG00000100967.1 transcript:ENSMUST00000189185.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29666 description:predicted gene 29666 [Source:MGI Symbol;Acc:MGI:5580372] MIMDLTSEPGQSPFPWSVTRDAVSVEGLSEATAISLLENTAASLHLEPSSCSKDTHLNRK GFLLCGIWNTPKRKAPRDSPQRMDHRIMERGRDEVNVSKCFHTFLANRYTRQLKHFKAGL GVQLSW >ENSMUSP00000143847.1 pep:known chromosome:GRCm38:5:37241940:37268785:1 gene:ENSMUSG00000029121.15 transcript:ENSMUST00000202434.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crmp1 description:collapsin response mediator protein 1 [Source:MGI Symbol;Acc:MGI:107793] MAERRRAWNTEDDLPVYLARPGSAAQTPRQKYGGMFAAVEGAYENKTIDFDAYSVGRRGS ARTPRSAGRPDAVGLPCPGGSEDTASDVSEPSGSAVSSPGDRDDRPPALRIRCPAPRDLP LGRDNGQSDRLLIRGGRIINDDQSFYADVYLEDGLIKQIGENLIVPGGVKTIEANGRMVI PGGIDVNTYLQ >ENSMUSP00000109795.2 pep:known chromosome:GRCm38:5:37242080:37292133:1 gene:ENSMUSG00000029121.15 transcript:ENSMUST00000114158.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crmp1 description:collapsin response mediator protein 1 [Source:MGI Symbol;Acc:MGI:107793] MAERRRAWNTEDDLPVYLARPGSAAQTPRQKYGGMFAAVEGAYENKTIDFDAYSVGRRGS ARTPRSAGRPDAVGLPCPGGSEDTASDVSEPSGSAVSSPGDRDDRPPALRIRCPAPRDLP LGRDNGQSDRLLIRGGRIINDDQSFYADVYLEDGLIKQIGENLIVPGGVKTIEANGRMVI PGGIDVNTYLQKPSQGMTSADDFFQGTKAALAGGTTMIIDHVVPEPGSSLLTSFEKWHEA ADTKSCCDYSLHVDITSWYDGVREELEVLVQDKGVNSFQVYMAYKDLYQMSDSQLYEAFT FLKGLGAVILVHAENGDLIAQEQKRILEMGITGPEGHALSRPEELEAEAVFRAIAIAGRI NCPVYITKVMSKSAADIIALARKKGPLVFGEPIAASLGTDGTHYWSKNWAKAAAFVTSPP LSPDPTTPDYLTSLLACGDLQVTGSGHCPYSTAQKAVGKDNFTLIPEGVNGIEERMTVVW DKAVATGKMDENQFVAVTSTNAAKIFNLYPRKGRIAVGSDADVVIWDPDKMKTITAKSHK STVEYNIFEGMECHGSPLVVISQGKIVFEDGNISVSKGMGRFIPRKPFPEHLYQRVRIRS KVFGLHSVSRGMYDGPVYEVPATPKHAAPAPSAKSSPSKHQPPPIRNLHQSNFSLSGAQI DDNNPRRTGHRIVAPPGGRSNITSLG >ENSMUSP00000144408.1 pep:known chromosome:GRCm38:5:37242734:37278163:1 gene:ENSMUSG00000029121.15 transcript:ENSMUST00000201834.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crmp1 description:collapsin response mediator protein 1 [Source:MGI Symbol;Acc:MGI:107793] MVIPGGIDVNTYLQKPSQGMTSADDFFQGTKAALAGGTTMIIDHVVPEPGSSLLTSFEKW HEAADTKSCCDYSLHVDITSWYDGVREELEVLVQDKGVNSFQVYMAYKDLYQMSDSQLYE AFTFLKGLGAVILVHAENGDLIA >ENSMUSP00000031004.7 pep:known chromosome:GRCm38:5:37245764:37292101:1 gene:ENSMUSG00000029121.15 transcript:ENSMUST00000031004.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crmp1 description:collapsin response mediator protein 1 [Source:MGI Symbol;Acc:MGI:107793] MSHQGKKSIPHITSDRLLIRGGRIINDDQSFYADVYLEDGLIKQIGENLIVPGGVKTIEA NGRMVIPGGIDVNTYLQKPSQGMTSADDFFQGTKAALAGGTTMIIDHVVPEPGSSLLTSF EKWHEAADTKSCCDYSLHVDITSWYDGVREELEVLVQDKGVNSFQVYMAYKDLYQMSDSQ LYEAFTFLKGLGAVILVHAENGDLIAQEQKRILEMGITGPEGHALSRPEELEAEAVFRAI AIAGRINCPVYITKVMSKSAADIIALARKKGPLVFGEPIAASLGTDGTHYWSKNWAKAAA FVTSPPLSPDPTTPDYLTSLLACGDLQVTGSGHCPYSTAQKAVGKDNFTLIPEGVNGIEE RMTVVWDKAVATGKMDENQFVAVTSTNAAKIFNLYPRKGRIAVGSDADVVIWDPDKMKTI TAKSHKSTVEYNIFEGMECHGSPLVVISQGKIVFEDGNISVSKGMGRFIPRKPFPEHLYQ RVRIRSKVFGLHSVSRGMYDGPVYEVPATPKHAAPAPSAKSSPSKHQPPPIRNLHQSNFS LSGAQIDDNNPRRTGHRIVAPPGGRSNITSLG >ENSMUSP00000143895.1 pep:known chromosome:GRCm38:5:37247285:37278902:1 gene:ENSMUSG00000029121.15 transcript:ENSMUST00000202652.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crmp1 description:collapsin response mediator protein 1 [Source:MGI Symbol;Acc:MGI:107793] MVIPGGIDVNTYLQKPSQGMTSADDFFQGTKAALAGGTTMIIDHVVPEPGSSLLTSFEKW HEAADTKSCCDYSLHVDITSWYDGVREELEVLVQDKGVNSFQVYMAYKDLYQMSDSQLYE AFTFLKGLGAVILVHAENGDLIAQEQKRILEMGITGP >ENSMUSP00000114584.1 pep:known chromosome:GRCm38:8:122481712:122499886:-1 gene:ENSMUSG00000014444.16 transcript:ENSMUST00000156333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piezo1 description:piezo-type mechanosensitive ion channel component 1 [Source:MGI Symbol;Acc:MGI:3603204] XVWSIMYHSWLTFVLLLWACLIWTVRSRHQLAMLCSPCILLYGLTLCCLRYVWAMELPEL PTTLGPVSLHQLGLEHTRYPCLDLGAMLLYLLTFWLLLRQFVKEKLLKKQKVPAALLEVT VADTEPTQTQTLLRSLGELVTGIYVKYWIYVCAGMFIVVSFAGRLVVYKIVYMFLFLLCL TLFQVYYTLWRKLLRVFWWLVVAYTMLVLIAVYTFQFQDFPTYWRNLTGFTDEQLGDLGL EQFSVSELFSSILIPGFFLLACILQLHYFHRPFMQLTDLEHVPPPGTRHPRWAHRQDAVS EAPLLEHQEEEEVFREDGQSMDGPHQATQVPEGTASKWGLVADRLLDLAASFSAVLTRIQ VFVRRLLELHVFKLVALYTVWVALKEVSVMNLLLVVLWAFALPYPRFRPMASCLSTVWTC IIIVCKMLYQLKIVNPHEYSSNCTEPFPNNTNLQPLEINQSLLYRGPVDPANWFGVRKGY PNLGYIQNHLQILLLLVFEAVVYRRQEHYRRQHQQAPLPAQAVCADGTRQRLDQDLLSCL KYFINFFFYKFGLEICFLMAVNVIGQRMNFMVILHGCWLVAILTRRRREAIARLWPNYCL FLTLFLLYQYLLCLGMPPALCIDYPWRWSKAIPMNSALIKWLYLPDFFRAPNSTNLISDF LLLLCASQQWQVFSAERTEEWQRMAGINTDHLEPLRGEPNPIPNFIHCRSYLDMLKVAVF RYLFWLVLVVVFVAGATRISIFGLGYLLACFYLLLFGTTLLQKDTRAQLVLWDCLILYNV TVIISKNMLSLLSCVFVEQMQSNFCWVIQLFSLVCTVKGYYDPKEMMTRDRDCLLPVEEA GIIWDSICFFFLLLQRRIFLSHYFLHVSADLKATALQASRGFALYNAANLKSINFHRQIE EKSLAQLKRQMKRIRAKQEKYRQSQASRGQLQSKDPQDPSQEPGPDSPGGSSPPRRQWWR PWLDHATVIHSGDYFLFESDSEEEEEALPEDPRPAAQSAFQMAYQAWVTNAQTVLRQRRE RARQERAEQLASGGDLNPDVEPVDVPEDEMAGRSHMMQRVLSTMQFLWVLGQATVDGLTR WLRAFTKHHRTMSDVLCAERYLLTQELLRVGEVRRGVLDQLYVGEDEATLSGPMETRDGP STASSGLGAEEPLSSMTDDTSSPLSTGYNTRSGSEEIVTDAGDLQAGTSLHGSQELLANA RTRMRTASELLLDRRLHIPELEEAERFEAQQGRTLRLLRAGYQCVAAHSELLCYFIIILN HMVTASAASLVLPVLVFLWAMLTIPRPSKRFWMTAIVFTEVMVVTKYLFQFGFFPWNSYV VLRRYENKPYFPPRILGLEKTDSYIKYDLVQLMALFFHRSQLLCYGLWDHEEDRYPKDHC RSSVKDREAKEEPEAKLESQSETGTGHPKEPVLAGTPRDHIQGKGSIRSKDVIQDPPEDL KPRHTRHISIRFRRRKETPGPKGTAVMETEHEEGEGKETTERKRPRHTQEKSKFRERMKA AGRRLQSFCVSLAQSFYQPLQRFFHDILHTKYRAATDVYALMFLADIVDIIIIIFGFWAF GKHSAATDIASSLSDDQVPQAFLFMLLVQFGTMVIDRALYLRKTVLGKLAFQVVLVVAIH IWMFFILPAVTERMFSQNAVAQLWYFVKCIYFALSAYQIRCGYPTRILGNFLTKKYNHLN LFLFQGFRLVPFLVELRAVMDWVWTDTTLSLSNWMCVEDIYANIFIIKCSRETEKKYPQP KGQKKKKIVKYGMGGLIILFLIAIIWFPLLFMSLIRSVVGVVNQPIDVTVTLKLGGYEPL FTMSAQQPSIVPFTPQAYEELSQQFDPYPLAMQFISQYSPEDIVTAQIEGSSGALWRISP PSRAQMKQELYNGTADITLRFTWNFQRDLAKGGTVEYTNEKHTLELAPNSTARRQLAQLL EGRPDQSVVIPHLFPKYIRAPNGPEANPVKQLQPDEEEDYLGVRIQLRREQVGTGASGEQ AGTKASDFLEWWVIELQDCKADCNLLPMVIFSDKVSPPSLGFLAGYGIVGLYVSIVLVVG KFVRGFFSEISHSIMFEELPCVDRILKLCQDIFLVRETRELELEEELYAKLIFLYRSPET MIKWTRERE >ENSMUSP00000116194.1 pep:known chromosome:GRCm38:8:122481982:122499885:-1 gene:ENSMUSG00000014444.16 transcript:ENSMUST00000128383.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Piezo1 description:piezo-type mechanosensitive ion channel component 1 [Source:MGI Symbol;Acc:MGI:3603204] VWSIMYHSWLTFVLLLWACLIWTVRSRHQLAMLCSPCILLYGLTLCCLRYVWAMELPELP TTLGPVSLHQLGLEHTRYPCLDLGAMLLYLLTFWLLLRQFVKEKLLKKQKVPAALLEVTV ADTEPTQTQTLLRSLGELVTGIYVKYWIYVCAGMFIVVSFAGRLVVYKIVYMFLFLLCLT LFQVYYTLWRKLLRVFWWLVVAYTMLVLIAVYTFQFQDFPTYWRNLTGFTDEQLGDLGLE QFSVSELFSSILIPGFFLLACILQLHYFHRPFMQLTDLEHVPPPGTRHPRWAHRQDAVSE APLLEHQEEEEVFREDGQSMDGPHQATQVPEGTASKWGLVADRLLDLAASFSAVLTRIQV FVRRLLELHVFKLVALYTVWVALKEVSVMNLLLVVLWAFALPYPRFRPMASCLSTVWTCI IIVCKMLYQLKIVNPHEYSSNCTEPFPNNTNLQPLEINQSLLYRGPVDPANWFGVRKGYP NLGYIQNHLQILLLLVFEAVVYRRQEHYRRQHQQAPLPAQAVCADGTRQRLDQDLLSCLK YFINFFFYKFGLEICFLMAVNVIGQRMNFMVILHGCWLVAILTRRRREAIARLWPNYCLF LTLFLLYQYLLCLGMPPALCIDYPWRWSKAIPMNSALIKWLYLPDFFRAPNSTNLISDFL LLLCASQQWQVFSAERTEEWQRMAGINTDHLEPLRGEPNPIPNFIHCRSYLDMLKVAVFR YLFWLVLVVVFVAGATRISIFGLGYLLACFYLLLFGTTLLQKDTRAQLVLWDCLILYNVT VIISKNMLSLLSCVFVEQMQSNFCWVIQLFSLVCTVKGYYDPKEMMTRDRDCLLPVEEAG IIWDSICFFFLLLQRRIFLSHYFLHVSADLKATALQASRGFALYNAANLKSINFHRQIEE KSLAQLKRQMKRIRAKQEKYRQSQASRGQLQSKDPQDPSQEPAQGAPPRHGDSGGAPGWT TPQSSTLATTSCLSQIARRKRRPYLRTPGLQLRVPSRWHTRHG >ENSMUSP00000121725.1 pep:known chromosome:GRCm38:8:122485924:122498204:-1 gene:ENSMUSG00000014444.16 transcript:ENSMUST00000148497.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Piezo1 description:piezo-type mechanosensitive ion channel component 1 [Source:MGI Symbol;Acc:MGI:3603204] XFQDFPTYWRNLTGFTDEQLGDLGLEQFSVSELFSSILIPGFFLLACILQLHYFHRPFMQ LTDLEHVPPPGTRHPRWAHRQDAVSEAPLLEHQEEEEVFREDGQSMDGPHQATQVPEGTA SKWGLVADRLLDLAASFSAVLTRIQVFVRRLLELHVFKLVALYTVWVALKEVSVMNLLLV VLWAFALPYPRFRPMASCLSTVWTCIIIVCKMLYQLKIVNPHEYSSNCTEPFPNNTNLQP LEINQSLLYRGPVDPANWFGVRKGYPNLGYIQNHLQILLLLVFEAVVYRRQEHYRRQHQQ APLPAQAVCADGTRQRLDQDLLSCLKYFINFFFYKFGLEPLQICFLMAVNVIGQRMNFMV ILHGCWLVAILTRRRREAIARLWPNYCLFLTLFLLYQYLLCLGMPPALCIDYPWRWSKAI PMNSALIKWLYLPDFFRAPNSTNLISDFLLLLCASQQWQVFSAERTEEWQRMAGINTDHL EPLRGEPNPIPNFIHCRSYLDMLKVAVFRYLFWLVLVVVFVAGATRISIFGLGYLLACFY LLLFGTTLLQKDTRAQLVLWDCLILYNVTVIISKNMLSLLSCVFVEQMQSNFCWVIQLFS LVCTVKGYYDPKEMMTRDRDCLLPVEEAGIIWDSICFFFLLLQRRIFLSHYFLHVSADLK ATALQASRGFALYNAANLKSINFHRQIEEKSLAQLKRQMKRIRAKQEKYRQSQASRGQLQ SKDPQDPSQEPGPDSPGGSSPPRRQWWRPWLDHATVIHSGDYFLFESDSEEEEEALPEDP RPAAQSAFQMAYQAWVTNAQTVLRQRRERARQERAEQLASGGDLNPDVEPVDVPEDEMAG RSHMMQRVLSTMQFLWVLGQATVDGLTRWLRAFTKHHRTMSDVLCAERYLLTQELLRVGE VRRGVLDQLYVGEDEATLSGPMETRDGPSTASSGLGAEEPLSSMTDDTSSPLSTGYNTRS GSEEIVTDAGDLQAGTSLHGSQELLANARTRMRTASELLLDRSWWSPNTCSSSASSPGTA TLCCGAMRTSPTSLRESWALRKRTATSSMTWCSSWPSSSTARSYCVMASGTMRRIAIPRT IAGVV >ENSMUSP00000089777.5 pep:known chromosome:GRCm38:8:122481698:122551329:-1 gene:ENSMUSG00000014444.16 transcript:ENSMUST00000067252.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piezo1 description:piezo-type mechanosensitive ion channel component 1 [Source:MGI Symbol;Acc:MGI:3603204] MEPHVLGAGLYWLLLPCTLLAASLLRFNALSLVYLLFLLLLPWLPGPSRHSIPGHTGRLL RALLCLSLLFLVAHLAFQICLHTVPHLDQFLGQNGSLWVKVSQHIGVTRLDLKDIFNTTR LVAPDLGVLLASSLCLGLCGRLTRKARQSRRTQELDDDDDDDDDDEDIDAAPAVGLKGAP ALATKRRLWLASRFRVTAHWLLMTSGRTLVIVLLALAGIAHPSAFSSVYLVVFLAICTWW SCHFPLSPLGFNTLCVMVSCFGAGHLICLYCYQTPFIQDMLPPGNIWARLFGLKNFVDLP NYSSPNALVLNTKHAWPIYVSPGILLLLYYTATSLLKLHKSCPSELRKETPREDEEHELE LDHLEPEPQARDATQGEMPMTTEPDLDNCTVHVLTSQSPVRQRPVRPRLAELKEMSPLHG LGHLIMDQSYVCALIAMMVWSIMYHSWLTFVLLLWACLIWTVRSRHQLAMLCSPCILLYG LTLCCLRYVWAMELPELPTTLGPVSLHQLGLEHTRYPCLDLGAMLLYLLTFWLLLRQFVK EKLLKKQKVPAALLEVTVADTEPTQTQTLLRSLGELVTGIYVKYWIYVCAGMFIVVSFAG RLVVYKIVYMFLFLLCLTLFQVYYTLWRKLLRVFWWLVVAYTMLVLIAVYTFQFQDFPTY WRNLTGFTDEQLGDLGLEQFSVSELFSSILIPGFFLLACILQLHYFHRPFMQLTDLEHVP PPGTRHPRWAHRQDAVSEAPLLEHQEEEEVFREDGQSMDGPHQATQVPEGTASKWGLVAD RLLDLAASFSAVLTRIQVFVRRLLELHVFKLVALYTVWVALKEVSVMNLLLVVLWAFALP YPRFRPMASCLSTVWTCIIIVCKMLYQLKIVNPHEYSSNCTEPFPNNTNLQPLEINQSLL YRGPVDPANWFGVRKGYPNLGYIQNHLQILLLLVFEAVVYRRQEHYRRQHQQAPLPAQAV CADGTRQRLDQDLLSCLKYFINFFFYKFGLEICFLMAVNVIGQRMNFMVILHGCWLVAIL TRRRREAIARLWPNYCLFLTLFLLYQYLLCLGMPPALCIDYPWRWSKAIPMNSALIKWLY LPDFFRAPNSTNLISDFLLLLCASQQWQVFSAERTEEWQRMAGINTDHLEPLRGEPNPIP NFIHCRSYLDMLKVAVFRYLFWLVLVVVFVAGATRISIFGLGYLLACFYLLLFGTTLLQK DTRAQLVLWDCLILYNVTVIISKNMLSLLSCVFVEQMQSNFCWVIQLFSLVCTVKGYYDP KEMMTRDRDCLLPVEEAGIIWDSICFFFLLLQRRIFLSHYFLHVSADLKATALQASRGFA LYNAANLKSINFHRQIEEKSLAQLKRQMKRIRAKQEKYRQSQASRGQLQSKDPQDPSQEP GPDSPGGSSPPRRQWWRPWLDHATVIHSGDYFLFESDSEEEEEALPEDPRPAAQSAFQMA YQAWVTNAQTVLRQRRERARQERAEQLASGGDLNPDVEPVDVPEDEMAGRSHMMQRVLST MQFLWVLGQATVDGLTRWLRAFTKHHRTMSDVLCAERYLLTQELLRVGEVRRGVLDQLYV GEDEATLSGPMETRDGPSTASSGLGAEEPLSSMTDDTSSPLSTGYNTRSGSEEIVTDAGD LQAGTSLHGSQELLANARTRMRTASELLLDRRLHIPELEEAERFEAQQGRTLRLLRAGYQ CVAAHSELLCYFIIILNHMVTASAASLVLPVLVFLWAMLTIPRPSKRFWMTAIVFTEVMV VTKYLFQFGFFPWNSYVVLRRYENKPYFPPRILGLEKTDSYIKYDLVQLMALFFHRSQLL CYGLWDHEEDRYPKDHCRSSVKDREAKEEPEAKLESQSETGTGHPKEPVLAGTPRDHIQG KGSIRSKDVIQDPPEDLKPRHTRHISIRFRRRKETPGPKGTAVMETEHEEGEGKETTERK RPRHTQEKSKFRERMKAAGRRLQSFCVSLAQSFYQPLQRFFHDILHTKYRAATDVYALMF LADIVDIIIIIFGFWAFGKHSAATDIASSLSDDQVPQAFLFMLLVQFGTMVIDRALYLRK TVLGKLAFQVVLVVAIHIWMFFILPAVTERMFSQNAVAQLWYFVKCIYFALSAYQIRCGY PTRILGNFLTKKYNHLNLFLFQGFRLVPFLVELRAVMDWVWTDTTLSLSNWMCVEDIYAN IFIIKCSRETEKKYPQPKGQKKKKIVKYGMGGLIILFLIAIIWFPLLFMSLIRSVVGVVN QPIDVTVTLKLGGYEPLFTMSAQQPSIVPFTPQAYEELSQQFDPYPLAMQFISQYSPEDI VTAQIEGSSGALWRISPPSRAQMKQELYNGTADITLRFTWNFQRDLAKGGTVEYTNEKHT LELAPNSTARRQLAQLLEGRPDQSVVIPHLFPKYIRAPNGPEANPVKQLQPDEEEDYLGV RIQLRREQVGTGASGEQAGTKASDFLEWWVIELQDCKADCNLLPMVIFSDKVSPPSLGFL AGYGIVGLYVSIVLVVGKFVRGFFSEISHSIMFEELPCVDRILKLCQDIFLVRETRELEL EEELYAKLIFLYRSPETMIKWTRERE >ENSMUSP00000145500.1 pep:known chromosome:GRCm38:9:122837653:122844035:-1 gene:ENSMUSG00000107504.3 transcript:ENSMUST00000204619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm35549 description:predicted gene, 35549 [Source:MGI Symbol;Acc:MGI:5594708] MSRSQLEQEKKSLDSFVWVNEITGETTFPPGEETTPATSGEKRQARSGSLRVSMPCAAAV HRPASPVNPEAAGRGPGTGSLLLPDLSLSRALSPSGGALPTQHHLGASPPLAARLPASPS LPWALSCKLRNVLTRNNRFSF >ENSMUSP00000144807.1 pep:known chromosome:GRCm38:9:122837653:122844035:-1 gene:ENSMUSG00000107504.3 transcript:ENSMUST00000203656.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm35549 description:predicted gene, 35549 [Source:MGI Symbol;Acc:MGI:5594708] MSRSQLEQEKKSLDSFVWVNEITGETTFPPGEETTPATSGEKRQARSGSLRVSMPCAAAV HRPASPVNPEAAGRGPGTGSLLLPDLSLSRALSPSGGALPTQHHLGASPPLAARLPASPS LPWALSCKLRNVLTRNNRFSF >ENSMUSP00000145415.1 pep:known chromosome:GRCm38:9:122837653:122844035:-1 gene:ENSMUSG00000107504.3 transcript:ENSMUST00000203176.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm35549 description:predicted gene, 35549 [Source:MGI Symbol;Acc:MGI:5594708] MSRSQLEQEKKSLDSFVWVNEITGETTFPPGEETTPATSGEKRQARSGSLRVSMPCAAAV HRPASPVNPEAAGRGPGTGSLLLPDLSLSRALSPSGGALPTQHHLGASPPLAARLPASPS LPWALSCKLRNVLTRNNRFSF >ENSMUSP00000115407.1 pep:known chromosome:GRCm38:6:124739189:124756021:-1 gene:ENSMUSG00000107478.1 transcript:ENSMUST00000129411.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atn1 description:atrophin 1 [Source:MGI Symbol;Acc:MGI:104725] MKTRQNKDSMSMRSGRKKEAPGPREELRSRGRASPGGVSTSSSDGKAEKSRQTAKKARIE EPSAPKASKQGRSEEISESESEETSAPKKTKTEQELPRPQSPSDLDSLDGRSINDDGSSD PRDIDQDNRSTSPSIYSPGSVENDSDSSSGLSQGPARPYHPPPLFPPSPPPPDSTPRQPE SGFEPHPSVPPTGYHAPMEPPTSRLFQGPPPGAPPTHPQLYPGNASGGVLSGPPMGPKGG AAASSVGAPSGGKQHPPPTTPIPISSSGASGAPPAKPPSAPVGGGSLPSAPPPASFPHVT PNLPPPPALRPLNNASASPPGMGAQPIPGHLPSPHAMGQGMSGLPPGPEKGPTLAPSPHP LPPASSSAPGPPMRYPYSSSSSSAAASSSSSSSSASQYPASQALPSYPHSFPPPTSMSVS NQPPKYTQPSLPSQAVWSQGPPPPPPYGRLLANNNTHPGPFPPTGGQSTAHPAAPTHHHH QQQPQQQHHHGNSGPPPPGAYPHPLESSNSHHAHPYNMSPSLGSLRPYPPGPAHLPPPHG QVSYNQAGPNGPPVSSSNSSGSSSQASYSCSHPSSSQGPQGASYPFPPVPPVTTSSATLS TVIATVASSPAGYKTASPPGPPQYSKRAPSPGSYKTATPPGYKPGSPPSFRTGTPPGYRG TSPPAGPGTFKPGSPTVGPGPLPPAGPSSLSSLPPPPAAPTTGPPLTATQIKQEPAEEYE PPESPVPPARSPSPPPKVVDVPSHASQSARFNKHLDRGFNSCARSDLYFVPLEGSKLAKK RADLVEKVRREAEQRAREEKEREREREREKEREREKERELERSVKLAQEGRAPVECPSLG PVPHRPPFEPGSAVATVPPYLGPDTPALRTLSEYARPHVMSPGNRNHPFYVPLGAVDPGL LGYNVPALYSSDPAAREREREARERDLRDRLKPGFEVKPSELEPLHGVPGPGLDPFPRHG GLALQPGPPGLHPFPFHPSLGPLERERLALAAGPALRPDMSYAERLAAERQHAERVAALG NDPLARLQMLNVTPHHHQHSHIHSHLHLHQQDAIHAASASVHPLIDPLASGSHLTRIPYP AGTLPNPLLPHPLHENEVLRHQLFAAPYRDLPASLSAPMSAAHQLQAMHAQSAELQRLAL EQQQWLHAHHPLHSVPLPAQEDYYSHLKKESDKPL >ENSMUSP00000058651.2 pep:known chromosome:GRCm38:11:34204338:34208089:-1 gene:ENSMUSG00000047861.2 transcript:ENSMUST00000060271.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxi1 description:forkhead box I1 [Source:MGI Symbol;Acc:MGI:1096329] MSSFDLPAPSPPRCSPQFPSIGQEPPEMNLYYENFFHPQGMPSPQRPTSFEGGGEYGTTP NPYLWLNGPAMTPPPYLPGTNASPFLPQAYGMQRQLLPSDLGWLPIPSQEELMKLVRPPY SYSALIAMAIHGAPDQRLTLSQIYQYVADNFPFYNKSKAGWQNSIRHNLSLNDCFKKVPR DEDDPGKGNYWTLDPNCEKMFDNGNFRRKRKRKSDSSSSTSSLASEKTENGLLASSPKPT EPQEVLDTASPDTTTSSPEKRSSPAPSGTPCLNNFLSTMTAYVSGTNPISRSVATPGLSS EPIDKMGQNSLNFNSYTPLTNLSSHGNGGEWANPVATNALGYGGSVFNQFSPHFYNSINT NGILFPREGTEV >ENSMUSP00000029041.4 pep:known chromosome:GRCm38:3:10204088:10208576:-1 gene:ENSMUSG00000062515.3 transcript:ENSMUST00000029041.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp4 description:fatty acid binding protein 4, adipocyte [Source:MGI Symbol;Acc:MGI:88038] MCDAFVGTWKLVSSENFDDYMKEVGVGFATRKVAGMAKPNMIISVNGDLVTIRSESTFKN TEISFKLGVEFDEITADDRKVKSIITLDGGALVQVQKWDGKSTTIKRKRDGDKLVVECVM KGVTSTRVYERA >ENSMUSP00000114208.1 pep:known chromosome:GRCm38:8:79120483:79193192:1 gene:ENSMUSG00000071064.13 transcript:ENSMUST00000145827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp827 description:zinc finger protein 827 [Source:MGI Symbol;Acc:MGI:2444807] XKVSKEHNHTKENAMRTTTSPFFSEDTFRQSPFTSNSKDLLPGESVLHGRVSAPETEKIV LEAGNGLPSWKFNDQLFPCDVCGKVFGRQQTLSRHLSLHTVLVSPPEERKYKCHLCPYAA KCRANLNQHLTVHSVKLVSTDTEDIVSAVTSEGSDGKKHPYYYSCHVCGFETELNVQFVS HMSLHVDKEQWMFSICCTACDFVTMEEAEIKTHIGTKHTGDDRKTPSESNSPSSSSLSTL SDSANGKDDSDSSQKNKGGNNLLVISVVPGSQPSLNNEEKPEKGFECVFCNFVCKTKNMF ERHLQIHLITRMFECDVCHKFMKTPEQLLEHKKCHTVPTGGLK >ENSMUSP00000120913.1 pep:known chromosome:GRCm38:8:79118327:79193766:1 gene:ENSMUSG00000071064.13 transcript:ENSMUST00000148713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp827 description:zinc finger protein 827 [Source:MGI Symbol;Acc:MGI:2444807] PEGRVPPERNLFSQDISVKMASELLFQLSEKVSKEHNHTKENAMRTTTSPFFSEDTFRQS PFTSNSKDLLPGESVLHGRVSAPETEKIVLEAGNGLPSWKFNDQLFPCDVCGKVFGRQQT LSRHLSLHTEERKYKCHLCPYAAKCRANLNQHLTVHSVKLVSTDTEDIVSAVTSEGSDGK KHPYYYSCHVCGFETELNVQFVSHMSLHVDKEQWMFSICCTACDFVTMEEAEIKTHIGTK HTGDDRKTPSESNSPSSSSLSTLSDSANGKDDSDSSQKNKGGNNLLVISVVPGSQPSLNN EEKPEKGFECVFCNFVCKTKNMFERHLQIHLITRMFECDVCHKFMKTPEQLLEHKKCHTV PTGGLNSGQW >ENSMUSP00000120562.1 pep:known chromosome:GRCm38:8:79175810:79190854:1 gene:ENSMUSG00000071064.13 transcript:ENSMUST00000155960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp827 description:zinc finger protein 827 [Source:MGI Symbol;Acc:MGI:2444807] XTPCSLGPETEKIVLEAGNGLPSWKFNDQLFPCDVCGKVFGRQQTLSRHLSLHTEERKYK CHLCPYAAKCRANLNQHLTVHSVKLVSTDTEDIVSAVTSEGSDGKKHPYYYSCHVCGFET ELNVQFVSHMSLHVDKEQWMFSICCTACDFVTMEEAEIKTHIGTKHTGDDRKTPSESNSP SSSSLSTLSDSANGKDDSDSSQKNKGGNNLLVISVVPGSQPSLNNEEKPEKGFECVFCNF VCKTKNMFERHLQIHLITRMFECDVCHKFMKTPEQLLEHKKCHTVPTGGLKCPFCIYSTN RPAAMECHLKTHYKMEYKCRICQTVKANQLELETHTREHRLGNHYKCEQCGYLSKTANKL IEHVRVHTGERPFHCDQCSYSCKRKDNLNLHKKLKHAPRQTFSCGECLFKTTHPFVFSRH VKKHQSGDGPEEDKKGLSPASREPAGPGAPLLVVGGGRSLLSPLSVMSASQALQTVALSA AHGSSSEPNLALKALAFSGSPLHLDKYRNSDVAHLIPLTMLYPRNHLDLTFHPPRPQTAP PSIPSPKHSFLAYLGLRERAGTV >ENSMUSP00000119511.1 pep:known chromosome:GRCm38:8:79178975:79189761:1 gene:ENSMUSG00000071064.13 transcript:ENSMUST00000129613.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp827 description:zinc finger protein 827 [Source:MGI Symbol;Acc:MGI:2444807] XLTVHSVKLVSTDTEDIVSAVTSEGSDGKKHPYYYSCHVCGFETELNVQFVSHMSLHVDK EQWMFSICCTACDFVTMEEAEIKTHIGTKHTGDDRKTPSESNSPSSSSLSTLSDSANGKD DSDSSQKNKGGNNLLVISVVPGSQPSLNNEEKPEKGFECVFCNFVCKTKNMFERHLQIHL ITRMFECDVCHKFMKTPEQLLEHKKCHTVPTGGLKTVVSVRLL >ENSMUSP00000113199.1 pep:known chromosome:GRCm38:8:79028587:79190856:1 gene:ENSMUSG00000071064.13 transcript:ENSMUST00000119254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp827 description:zinc finger protein 827 [Source:MGI Symbol;Acc:MGI:2444807] MPRRKQEQPKRLPSHVSRQDEAEGDFSEGEQWYGNSSETPSEASYGEVQENYKLSLEDRI QEQSTSPDTSLGSATPSSHTLELVALDGEVLRDSLQCQGHLSPGVSSVCDDDPPSSNKPL SSNLRRLLEAGSLKLDGTANGRVESPVNVGPSLSFSPPSHHAQQLSVLARKLAEKQDQSD QFTPSNRFIWNQGKWLPNSTTTCGLSPDSAILKLKAAANAVLQDKSLSRTEESLRFESFS SPFSSQSASSTLAALSKKVSERSLTPGQEHPPPASSFLSLASMTSSAALLKEVAARAAGS LLAEKSSLLPDDPLPLPSSEKKPEKVTPPPPPPPPTAQPPQSLELLLLPVSKGRASKPSN SAPEEESGKPFQCPICGLVIKRKSYWKRHMVIHTGLKSHQCPLCPFRCARKDNLKSHMKV HQHQDRGETFQCQLCPFTSSRHFSLKLHMRCHQHFLRTEAKVKEEIPDPDVKGSPHLSDS GCLGQQREGGGTELVGTVMTSNTPERTGQGGAGVAPLLVKEEPKEDNGLPTSFTLNAADR PANHTKLKDPSEYVSNSAAVLFSQDISVKMASDFLMKLSAANQKEPMNLNFKVKEEPKEE ESLSMPLPRSSYVFSPEPEVSTPSVSEDPLTPQEGKGSVLRRDMSAKAASELLMKLSAES YKETQAVTVKEEPMEVDIQDSPASISPSRNIGYSTLMGREKTEPLQKLPEGRVPPERNLF SQDISVKMASELLFQLSEKVSKEHNHTKENAMRTTTSPFFSEDTFRQSPFTSNSKDLLPG ESVLHGRVSAPETEKIVLEAGNGLPSWKFNDQLFPCDVCGKVFGRQQTLSRHLSLHTEER KYKCHLCPYAAKCRANLNQHLTVHSVKLVSTDTEDIVSAVTSEGSDGKKHPYYYSCHVCG FETELNVQFVSHMSLHVDKEQWMFSICCTACDFVTMEEAEIKTHIGTKHTGDDRKTPSES NSPSSSSLSTLSDSANGKDDSDSSQKNKGGNNLLVISVVPGSQPSLNNEEKPEKGFECVF CNFVCKTKNMFERHLQIHLITRMFECDVCHKFMKTPEQLLEHKKCHTVPTGGLK >ENSMUSP00000085238.4 pep:known chromosome:GRCm38:8:79028437:79071837:1 gene:ENSMUSG00000071064.13 transcript:ENSMUST00000087927.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp827 description:zinc finger protein 827 [Source:MGI Symbol;Acc:MGI:2444807] MPRRKQEQPKRLPSHVSRQDEAEGDFSEGEQWYGNSSETPSEASYGEVQENYKLSLEDRI QEQSTSPDTSLGSATPSSHTLELVALDGEVLRDSLQCQGHLSPGVSSVCDDDPPSSNKPL SSNLRRLLEAGSLKLDGTANGRVESPVNVGPSLSFSPPSHHAQQLSVLARKLAEKQDQSD QFTPSNRFIWNQGKWLPNSTTTCGLSPDSAILKLKAAANAVLQDKSLSRTEESLRFESFS SPFSSQSASSTLAALSKKVSERSLTPGQEHPPPASSFLSLASMTSSAALLKEVAARAAGS LLAEKSSLLPDDPLPLPSSEKKPEKVTPPPPPPPPTAQPPQSLELLLLPVSKGRASKPSN SAPEEESGKPFQCPICGLVIKRKSYWKRHMVIHTGLKSHQCPLCPFRCARKDNLKSHMKV SHLPRRGRGFVLFSTAGGFLADREHCGGAGLRKLTLGCE >ENSMUSP00000096214.2 pep:known chromosome:GRCm38:8:79028437:79193743:1 gene:ENSMUSG00000071064.13 transcript:ENSMUST00000098614.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp827 description:zinc finger protein 827 [Source:MGI Symbol;Acc:MGI:2444807] MPRRKQEQPKRLPSHVSRQDEAEGDFSEGEQWYGNSSETPSEASYGEVQENYKLSLEDRI QEQSTSPDTSLGSATPSSHTLELVALDGEVLRDSLQCQGHLSPGVSSVCDDDPPSSNKPL SSNLRRLLEAGSLKLDGTANGRVESPVNVGPSLSFSPPSHHAQQLSVLARKLAEKQDQSD QFTPSNRFIWNQGKWLPNSTTTCGLSPDSAILKLKAAANAVLQDKSLSRTEESLRFESFS SPFSSQSASSTLAALSKKVSERSLTPGQEHPPPASSFLSLASMTSSAALLKEVAARAAGS LLAEKSSLLPDDPLPLPSSEKKPEKVTPPPPPPPPTAQPPQSLELLLLPVSKGRASKPSN SAPEEESGKPFQCPICGLVIKRKSYWKRHMVIHTGLKSHQCPLCPFRCARKDNLKSHMKV HQHQDRGETFQCQLCPFTSSRHFSLKLHMRCHQHFLRTEAKVKEEIPDPDVKGSPHLSDS GCLGQQREGGGTELVGTVMTSNTPERTGQGGAGVAPLLVKEEPKEDNGLPTSFTLNAADR PANHTKLKDPSEYVSNSAAVLFSQDISVKMASDFLMKLSAANQKEPMNLNFKVKEEPKEE ESLSMPLPRSSYVFSPEPEVSTPSVSEDPLTPQEGKGSVLRRDMSAKAASELLMKLSAES YKETQAVTVKEEPMEVDIQDSPASISPSRNIGYSTLMGREKTEPLQKLPEGRVPPERNLF SQDISVKMASELLFQLSEKVSKEHNHTKENAMRTTTSPFFSEDTFRQSPFTSNSKDLLPG ESVLHGRVSAPETEKIVLEAGNGLPSWKFNDQLFPCDVCGKVFGRQQTLSRHLSLHTEER KYKCHLCPYAAKCRANLNQHLTVHSVKLVSTDTEDIVSAVTSEGSDGKKHPYYYSCHVCG FETELNVQFVSHMSLHVDKEQWMFSICCTACDFVTMEEAEIKTHIGTKHTGDDRKTPSES NSPSSSSLSTLSDSANGKDDSDSSQKNKGGNNLLVISVVPGSQPSLNNEEKPEKGFECVF CNFVCKTKNMFERHLQIHLITRMFECDVCHKFMKTPEQLLEHKKCHTVPTGGLNSGQW >ENSMUSP00000097441.1 pep:known chromosome:GRCm38:2:87645180:87646121:-1 gene:ENSMUSG00000075155.1 transcript:ENSMUST00000099855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1133 description:olfactory receptor 1133 [Source:MGI Symbol;Acc:MGI:3030967] MQFENFTTVFTEFVLLGLSGRQDVQQGLFALFFLVYGITVIANLGMILLIKLDSRLHTPM YYFLSNLSFCDICYSTIISPKMLADFLSTEKRIPYNLCAIQLYFFGAFADVECLMLAVMA YDHYVAICNPLLYTIKMSKKLCIQLVAVAYAIGLVDSTIHTSCAFRLSFCNSNVINHFFC DLPPLLALSCSDTSINEIVMFTLIGCVVGCSIVTVLLSYCYIIATICRMNSAEGRHKAFS TCASHLMAVAIFYGTLLFMYFRPSSSYSMDTDKMASVFYTVVIPMLNPLIYSLRNKDVKG ALKKAININLWPG >ENSMUSP00000079840.5 pep:known chromosome:GRCm38:9:122923072:122931028:1 gene:ENSMUSG00000057895.11 transcript:ENSMUST00000051667.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp105 description:zinc finger protein 105 [Source:MGI Symbol;Acc:MGI:1277119] MTTELKETMGRASWDPEKVKMEEDDESVISQASSQQVYYENDKDCAPGEGPYGSISVSED EEKGQEMFREMAVVLKATQEAAAASPFGSYSLAGTLAESQILELHGNPTPTGAKSKNLEL LIPKKEISDDSEKPPMILGRIQKGDLQGPELGESCEKGNVLKGLRIKEEKGDLGEATVKD CPLSESFRDEEESKKSRGKYSLRSTPGKNQKIQPGQKPFTCSECGKGFSQSANLVVHQRI HTGEKPFECHECGKAFIQSANLVVHQRIHTGQKPYVCGKCGKAFTQSSNLTVHQKIHSLE KTFKCSECEKAFSYSSQLARHQKVHITEKCYECNECGKTFTRSSNLIVHQRIHTGEKPFA CSDCGKAFTQSANLIVHQRSHTGEKPYKCKDCEKAFSCFSHLIVHQRIHTAEKPYDCSEC GKAFSQLSCLIVHQRIHSGDLPYVCNECGKAFTCSSYLLIHQRIHNGEKPYSCNECGKAF RQRSSLTVHQRTHTGEKPYECAKCGAAFISNSHLMRHHRTHLVE >ENSMUSP00000123112.1 pep:known chromosome:GRCm38:9:122923099:122929678:1 gene:ENSMUSG00000057895.11 transcript:ENSMUST00000148851.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp105 description:zinc finger protein 105 [Source:MGI Symbol;Acc:MGI:1277119] MTTELKETMGRASWDPEKVKMEEDDESVISQASSQQVYYENDKDCAPGEGPYGSISVSED EEKGQEMFREMAVVLKATQEAAAASPFGSYSLAGTLAESQILELHGNPTPTGAKSKNLEL LIPKKEISDDSEKPPMI >ENSMUSP00000031215.8 pep:known chromosome:GRCm38:5:107331159:107387058:1 gene:ENSMUSG00000029279.15 transcript:ENSMUST00000031215.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brdt description:bromodomain, testis-specific [Source:MGI Symbol;Acc:MGI:1891374] MSLPSRQTAIVNPPPPEYINTKKSGRLTNQLQFLQRVVLKALWKHGFSWPFQQPVDAVKL KLPDYYTIIKTPMDLNTIKKRLENKYYEKASECIEDFNTMFSNCYLYNKTGDDIVVMAQA LEKLFMQKLSQMPQEEQVVGGKERIKKDIQQKIAVSSAKEQIPSKAAENVFKRQEIPSGL PDISLSPLNMAQEAPPICDSQSLVQITKGVKRRADTTTPTTSIAKASSESPPTLRETKPV NMPVKENTVKNVLPDSQQQHKVLKTVKVTEQLKHCSEILKEMLAKKHLPYAWPFYNPVDA DALGLHNYYDVVKNPMDLGTIKGKMDNQEYKDAYEFAADVRLMFMNCYKYNPPDHEVVAM ARTLQDVFELHFAKIPDEPIESMHACHLTTNSAQALSRESSSEASSGDASSEDSEDERVQ HLAKLQEQLNAVHQQLQVLSQVPLRKLKKKNEKSKRAPKRKKVNNRDENPRKKPKQMKQK EKAKINQPKKKKPLLKSEEEDNAKPMNYDEKRQLSLDINKLPGDKLGRIVHIIQSREPSL RNSNPDEIEIDFETLKASTLRELEKYVLACLRKRSLKPQAKKVVRSKEELHSEKKLELER RLLDVNNQLNCRKRQTKRPAKVEKPPPPPPPPPPPPPPPELASGSRLTDSSSSSGSGSGS SSSSSGSSSSSSSSGSASSSSDSSSSDSSDSEPEIFPKFTGVKQNDLPPKENIKQIQSSV QDITSAEAPLAQQSTAPCGAPGKHSQQMLGCQVTQHLQATENTASVQTQPLSGDCKRVLL GPPVVHTSAESLTVLEPECHAPAQKDIKIKNADSWKSLGKPVKASSVLKSSDELFNQFRK AAIEKEVKARTQEQMRKHLEHNAKDPKVSQENQREPGSGLTLESLSSKVQDKSLEEDQSE QQPPSEAQDVSKLWLLKDRNLAREKEQERRRREAMAGTIDMTLQSDIMTMFENNFD >ENSMUSP00000108297.3 pep:known chromosome:GRCm38:5:107331175:107350741:1 gene:ENSMUSG00000029279.15 transcript:ENSMUST00000112677.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brdt description:bromodomain, testis-specific [Source:MGI Symbol;Acc:MGI:1891374] MSLPSRQTAIVNPPPPEYINTKKSGRLTNQLQFLQRVVLKALWKHGFSWPFQQPVDAVKL KLPDYYTIIKTPMDLNTIKKRLENKYYEKASECIEDFNTMFSNCYLYNKTGDDIVVMAQA LEKLFMQKLSQMPQEEQVVGGKERIKKDIQQKIAVSSAKEQIPSKAAENVFKRQEIPSGL PDISLSPLNMAQEAPPICDSQSLVQITKGVKRRADTTTPTTSIAKASSESPPTLRETKPV NMPVKENTVKNVLPDSQQQHKVLKTVKVTEQLKHCSEILKEMLAKKHLPYAWPFYNPVDA DALGLHNYYDVVKNPMDLGTIKVNTA >ENSMUSP00000052654.7 pep:known chromosome:GRCm38:15:34495304:34530648:1 gene:ENSMUSG00000022325.14 transcript:ENSMUST00000052290.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pop1 description:processing of precursor 1, ribonuclease P/MRP family, (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914974] MSNAKERKHAKKMRNQPSNVTLSSGFMAERDGKHHFGKGKPSQSQKQELHSGTSRQWQIK MSPHLLPESDVNEQSSSKTMFKKKGGWKAGPEGTSQEIPKYITASTFAQARAAEISAMLK AVTQKSSNSLVFQTLPRHMRRRAMSHNVKRLPRRLQEMAKKEAEKAAHQKKEHSKNKCHK ARRCHINRTLEFNRRQQKNIWLETHIWHAKRFHMVKKWGYCLGERPTAKSHRACYRAMTN LCLLQDLSYYCCLELKGKEEELLKALSQMCSIDAGLTFAAVHCLSGKRQGSLMLYRANKY PREMLGPVTFIWKSRMTPEDASEDRQLWIWLHPTLKLAVLEEIKTTCHCSEPVKSNICMP DPLLTPAPEDIQAGLAGDKISKKRKRRDDGESVSRAKKTIGDGTRDACQPRSWRSPTTGI IVSDLTMEMNRFRLIGPLSHCILTSALRAAPVHTGEEDAEETPHHWWTDTCRSSDGRSLH QRQEAVFELLGGISSPAEIPAGTILGLTVRDPRVNLPPQRSRALPDPERYQVSEFTCEEG MCTGSWSCAHWKPLSDHTDDPANERVKQLLLEGVPVACAHSFIWDQDICRSVTENKLLDQ DLNRMRSELLVPGSQLDLGARESKIPILLIQQPGKVTGEDRLGWGSGWDVLIPKGWGMAF WIPFIYRGARVGGLKEATVHSQYRRSPNIPGDFPDCRAGVLFAEDQAKDLLEKYRRRPPA KRPNYVKLGTLAPFCCPWEQLTRDWESRVHAQEAAIIASMPGAQETDPRRLGVPCVPKEI CQLSNEAGIAENQPRKPEVTGCQAQEGTKVAMEWNASEAHMATTGSQLCVVRSRKLLKQL SSWCGPSSEIRRAPCRAQQELTSNVCLSILNDFPRALVWVSLSLLRKGSPEPHTMICVPS KEDLHRLSQDQCYHGPWESRHSDPFKSLILKEKEKKKKEKRQDGEHRASEGQAAGPPIAG QEALTQGLWSGPLPGLTSHCSRLLLGFVTQGDFSMAAGCGEALGFVSMTGLLEMLSSQSA AMRGLVLLRPPTSLQYRFARITIEV >ENSMUSP00000078037.5 pep:known chromosome:GRCm38:15:34495323:34530637:1 gene:ENSMUSG00000022325.14 transcript:ENSMUST00000079028.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pop1 description:processing of precursor 1, ribonuclease P/MRP family, (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914974] MSNAKERKHAKKMRNQPSNVTLSSGFMAERDGKHHFGKGKPSQSQKQELHSGTSRQWQIK MSPHLLPESDVNEQSSSKTMFKKKGGWKAGPEGTSQEIPKYITASTFAQARAAEISAMLK AVTQKSSNSLVFQTLPRHMRRRAMSHNVKRLPRRLQEMAKKEAEKAAHQKKEHSKNKCHK ARRCHINRTLEFNRRQQKNIWLETHIWHAKRFHMVKKWGYCLGERPTAKSHRACYRAMTN LCLLQDLSYYCCLELKGKEEELLKALSQMCSIDAGLTFAAVHCLSGKRQGSLMLYRANKY PREMLGPVTFIWKSRMTPEDASEDRQLWIWLHPTLKLAVLEEIKTTCHCSEPVKSNICMP DPLLTPAPEDIQAGLAGDKISKKRKRRDDGESVSRAKKTIGDGTRDACQPRSWRSPTTGI IVSDLTMEMNRFRLIGPLSHCILTSALRAAPVHTGEEDAEETPHHWWTDTCRSSDGRSLH QRQEAVFELLGGISSPAEIPAGTILGLTVRDPRVNLPPQRSRALPDPERYQANERVKQLL LEGVPVACAHSFIWDQDICRSVTENKLLDQDLNRMRSELLVPGSQLDLGARESKIPILLI QQPGKVTGEDRLGWGSGWDVLIPKGWGMAFWIPFIYRGARVGGLKEATVHSQYRRSPNIP GDFPDCRAGVLFAEDQAKDLLEKYRRRPPAKRPNYVKLGTLAPFCCPWEQLTRDWESRVH AQEAAIIASMPGAQETDPRRLGVPCVPKEICQLSNEAGIAENQPRKPEVTGCQAQEGTKV AMEWNASEAHMATTGSQLCVVRSRKLLKQLSSWCGPSSEIRRAPCRAQQELTSNVCLSIL NDFPRALVWVSLSLLRKGSPEPHTMICVPSKEDLHRLSQDQCYHGPWESRHSDPFKSLIL KEKEKKKKEKRQDGEHRASEGQAAGPPIAGQEALTQGLWSGPLPGLTSHCSRLLLGFVTQ GDFSMAAGCGEALGFVSMTGLLEMLSSQSAAMRGLVLLRPPTSLQYRFARITIEV >ENSMUSP00000097440.1 pep:known chromosome:GRCm38:2:87655981:87656919:-1 gene:ENSMUSG00000075154.5 transcript:ENSMUST00000099854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1134 description:olfactory receptor 1134 [Source:MGI Symbol;Acc:MGI:3030968] MTFENFTMLTEFVFLGLSGRQDVQQGLFALFFLVYGITVIANLGMVILIKLDSRLHTPMY YFLSNLSFCDICYSSTVSPKMLADFLSKEKRIPYNLCAVQMYFFGAFADVECLMLAVMAY DRYVAICNPLLYTIAMSKKLCIQLVAVAYAIGLVDSAIHTSCTFRLSFCNSNVINHFFCD IPPLLALSCSDTSINEIVMFTFIGCVVGISIVTVLLSYCYIIATICRMNSAEGRHKAFST CASHLMAVAIFHGTLLFMYFRPSSSYSMDTDKMASVFYTVVIPMLNPLIYSLRNKDVKGA LKKAININLWPG >ENSMUSP00000125405.1 pep:known chromosome:GRCm38:8:3630955:3643644:1 gene:ENSMUSG00000004626.14 transcript:ENSMUST00000160708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp2 description:syntaxin binding protein 2 [Source:MGI Symbol;Acc:MGI:107370] MAPLGLKAVVGEKILSGVIRSVKKDGEWKVLIMDHPSMRILSSCCKMSDILAEGITIVED INKRREPIPSLEAIYLLSPTEKSVQALIADFQGTPTFTYKAAHIFFTDTCPEPLFSELGR SRLAKAVKTLKEIHLAFLPYEAQVFSLDAPHSTYNLYCPFRAGERGRQLDALAQQIATLC ATLQEYPSIRYRKGPEDTAQLAHAVLAKLNAFKADTPSLGEGPEKTRSQLLIMDRAADPV SPLLHELTFQAMAYDLLDIEQDTYRYETTGLSESREKAVLLDEDDDLWVELRHMHIADVS KKVTELLKTFCESKRLTTDKANIKDLSHILKKMPQYQKELNKYSTHLHLADDCMKHFKGS VEKLCSVEQDLAMGSDAEGEKIKDAMKLIVPVLLDASVPPYDKIRVLLLYILLRNGVSEE NLAKLIQHANVQSYSSLIRNLEQLGGTVTNSAGSGTSSRLERRERMEPTYQLSRWSPVIK DVMEDVVEDRLDRKLWPFVSDPAPVPSSQAAVSARFGHWHKNKAGVEARAGPRLIVYIVG GVAMSEMRAAYEVTRATEGKWEVLIGSSHILTPTRFLDDLKTLDQKLEGVALP >ENSMUSP00000146468.1 pep:known chromosome:GRCm38:8:3631152:3635494:1 gene:ENSMUSG00000004626.14 transcript:ENSMUST00000162867.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stxbp2 description:syntaxin binding protein 2 [Source:MGI Symbol;Acc:MGI:107370] MAPLGLKAVVGEKILSGVIRSVKKDGEWKSWKTSTNGENPFPAWRQFIC >ENSMUSP00000147220.1 pep:known chromosome:GRCm38:8:3631153:3633924:1 gene:ENSMUSG00000004626.14 transcript:ENSMUST00000159911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp2 description:syntaxin binding protein 2 [Source:MGI Symbol;Acc:MGI:107370] MAPLGLKAVVGEKILSGVIRSVKKDGEWKVLIMDHPSMRILSSCCKMSDILAEGITSEWM NLSRGRHQQTERTHSQLGGNLFAESHGEVISPPQSVQALIADFQGTPTFTYKAAHIFFT >ENSMUSP00000146798.1 pep:known chromosome:GRCm38:8:3631153:3635639:1 gene:ENSMUSG00000004626.14 transcript:ENSMUST00000159888.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stxbp2 description:syntaxin binding protein 2 [Source:MGI Symbol;Acc:MGI:107370] MAPLGLKAVVGESECHPAAGLWCFLGCPLGDLGTSGEKF >ENSMUSP00000004745.8 pep:known chromosome:GRCm38:8:3631170:3642795:1 gene:ENSMUSG00000004626.14 transcript:ENSMUST00000004745.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp2 description:syntaxin binding protein 2 [Source:MGI Symbol;Acc:MGI:107370] XAPLGLKAVVGEKILSGVIRSVKKDGEWKVLIMDHPSMRILSSCCKMSDILAEGITIVED INKRREPIPSLEAIYLLSPTEKSVQALIADFQGTPTFTYKAAHIFFTDTCPEPLFSELGR SRLAKAVKTLKEIHLAFLPYEAQVFSLDAPHSTYNLYCPFRAGERGRQLDALAQQIATLC ATLQEYPSIRYRKGPEDTAQLAHAVLAKLNAFKADTPSLGEGPEKTRSQLLIMDRAADPV SPLLHELTFQAMAYDLLDIEQDTYRYETTGLSESREKAVLLDEDDDLWVELRHMHIADVS KKVTELLKTFCESKRLTTDKANIKDLSHILKKMPQYQKELNKYSTHLHLADDCMKHFKGS VEKLCSVEQDLAMGSDAEGEKIKDAMKLIVPVLLDASVPPYDKIRVLLLYILLRNGVSEE NLAKLIQHANVQSYSSLIRNLEQLGGTVTNSAGSGTSSRLERRERMEPTYQLSRWSPVIK DVMEDVVEDRLDRKLWPFVSDPAPVPSSQAAVSSARFGHWHKNKAGVEARAGPRLIVYIV GGVAMSEMRAAYEVTRATEGKWEVLIGSSHILTPTRFLDDLKTLDQKLEGVALP >ENSMUSP00000147088.1 pep:known chromosome:GRCm38:8:3639574:3642794:1 gene:ENSMUSG00000004626.14 transcript:ENSMUST00000159370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp2 description:syntaxin binding protein 2 [Source:MGI Symbol;Acc:MGI:107370] XRNLEQLGGTVTNSADVVEDRLDRKLWPFVSDPAPVPSSQAAVSSARFGHWHKNKAGVEA RAGPRLIVYIVGGVAMSEMRAAYEVTRATEGKWEVLIGSSHILTPTRFLDDLKTLDQKLE GVALP >ENSMUSP00000092168.2 pep:known chromosome:GRCm38:5:94066151:94070545:-1 gene:ENSMUSG00000072822.2 transcript:ENSMUST00000094587.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC061212 description:cDNA sequence BC061212 [Source:MGI Symbol;Acc:MGI:2681870] MSVQTLSTLQNLTLKALLRDEALALSCLEEVPFLLFPALFQRAFAGRLKKLMKAIMAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSEPLDEKPTVVKVLRRYARRRQLKVVADLCLRPRHDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLWQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLG SLSITHYQISQSDLDSFSCCQSLFQLNHLEMKGVVLQVLDVMPLRGLLEKVVKTLETLNL QGCKLKDSQLNALLPSFIQCSQLTKVNFYNNDFSMPILKDLLQHTANWNKMNVEQYPASL ECYNELGHVSVERFAQLCQELMDTLRAIRQPKSLSFATRICHKCGECCVYGKRARLCFCW R >ENSMUSP00000097443.3 pep:known chromosome:GRCm38:2:87655925:87658457:-1 gene:ENSMUSG00000090018.7 transcript:ENSMUST00000099857.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13741 description:predicted gene 13741 [Source:MGI Symbol;Acc:MGI:3713291] MTFENFTMLTEFVFLGLSGRQDVQQGLFALFFLVYGITVIANLGMVILIKLDSRLHTPMY YFLSNLSFCDICYSSTVSPKMLADFLSKEKRIPYNLCAVQMYFFGAFADVECLMLAVMAY DRYVAICNPLLYTIAMSKKLCIQLVAVAYAIGLVDSAIHTSCTFRLSFCNSNVINHFFCD IPPLLALSCSDTSINEIVMFTFIGCVVGISIVTVLLSYCYIIATICRMNSAEGRHKAFST CASHLMAVAIFHGTLLFMYFRPSSSYSMDTDKMASVFYTVVIPMLNPLIYSLRNKDVKGA LKKAININLWPG >ENSMUSP00000085497.5 pep:known chromosome:GRCm38:X:51387212:51681703:-1 gene:ENSMUSG00000062184.11 transcript:ENSMUST00000088172.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs6st2 description:heparan sulfate 6-O-sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:1354959] MALPAFAARALGPPLQPEQGAPARTTCPRRHSRVEAELAASRPGSVAASVRAGPPRGVSL GFNSPPLQDKPPKAFSSLAGALRAPLFALLPRGRRRRMHDLRRRWDLGSLCRALLTRGLA AVGHSLKHVLSAIFSKIFGPLASVGNMDEKSNKLLLALVMLFLFAVIVLQYVCPGTECQL LRLQAFSSPVPDPYRSEDESSARFVPRYNFSRGDLLRKVDFDIKGDDLIVFLHIQKTGGT TFGRHLVRNIQLEQPCECRVGQKKCTCHRPGKRETWLFSRFSTGWSCGLHADWTELTSCV PAVVDGKRDARLRPSRWRIFQILDGTSKDRWGSSNFNSGANSPSSTKPRSTSKSGKNFHY ITILRDPVSRYLSEWRHVQRGATWKASLHVCDGRPPTSEELPSCYTGDDWSGCPLKEFMD CPYNLANNRQVRMLSDLTLVGCYNLSVMPEKQRNKVLLESAKSNLKHMAFFGLTEFQRKT QYLFEKTFNMNFISPFTQYNTTRASSVEINEEIQKRIEGLNFLDMELYSYAKDLFLQRYQ FMRQKEHQDARRKRQEQRKFLKGRFLQTHFQSQSQGQSQSQSPGQNLSQNPNPNPNQNLT QNLSHNLTPSSNPNSTQRENRGSQKQGSGQGQGDSGTSNGTNDYIGSVETWR >ENSMUSP00000110521.1 pep:known chromosome:GRCm38:X:51387212:51681856:-1 gene:ENSMUSG00000062184.11 transcript:ENSMUST00000114871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs6st2 description:heparan sulfate 6-O-sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:1354959] MALPAFAARALGPPLQPEQGAPARTTCPRRHSRVEAELAASRPGSVAASVRAGPPRGVSL GFNSPPLQDKPPKAFSSLAGALRAPLFALLPRGRRRRMHDLRRRWDLGSLCRALLTRGLA AVGHSLKHVLSAIFSKIFGPLASVGNMDEKSNKLLLALVMLFLFAVIVLQYVCPGTECQL LRLQAFSSPVPDPYRSEDESSARFVPRYNFSRGDLLRKVDFDIKGDDLIVFLHIQKTGGT TFGRHLVRNIQLEQPCECRVGQKKCTCHRPGKRETWLFSRFSTGWSCGLHADWTELTSCV PAVVDGKRDARLRPSRNFHYITILRDPVSRYLSEWRHVQRGATWKASLHVCDGRPPTSEE LPSCYTGDDWSGCPLKEFMDCPYNLANNRQVRMLSDLTLVGCYNLSVMPEKQRNKVLLES AKSNLKHMAFFGLTEFQRKTQYLFEKTFNMNFISPFTQYNTTRASSVEINEEIQKRIEGL NFLDMELYSYAKDLFLQRYQFMRQKEHQDARRKRQEQRKFLKGRFLQTHFQSQSQGQSQS QSPGQNLSQNPNPNPNQNLTQNLSHNLTPSSNPNSTQRENRGSQKQGSGQGQGDSGTSNG TNDYIGSVETWR >ENSMUSP00000008462.3 pep:known chromosome:GRCm38:7:100845847:100863446:-1 gene:ENSMUSG00000008318.10 transcript:ENSMUST00000008462.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Relt description:RELT tumor necrosis factor receptor [Source:MGI Symbol;Acc:MGI:2443373] MSLQGLMMKRTLLCWPLSCLFVLLPWPLATPTPITPWLCPPGKEPDPDPGQGTLCRTCPP GTFSASWNSYPCQPHYRCSLQKRLEAQAGTATHDTMCGDCQHGWFGPQGVPHVPCQPCSK APPSTGGCDESGRRGRRGVEVAAGTSSNGEPRQPGNGTRAGGPEETAAQYAVIAIVPVFC LMGLLGILVCNLLKRKGYHCTAQKEVGPSPGGGGSGINPAYRTEDANEDTIGVLVRLITE KKENAAALEELLKEYHSKQLVQTSHRPVPRLLPASPSIPHICPHHHHLHTVQGLASLSGP CCSRCSQKWPEVLLSPEAAAATTPAPTLLPTASRAPKASAKPGRQGEITILSVGRFRVAR IPEQRTSSLLSEVKTITEAGPSEGDLPDSPQPGLPPEQRALLGSGGSHTKWLKPPAENKA EENRYVVRLSESNLVI >ENSMUSP00000118150.2 pep:known chromosome:GRCm38:7:100846530:100863406:-1 gene:ENSMUSG00000008318.10 transcript:ENSMUST00000155413.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Relt description:RELT tumor necrosis factor receptor [Source:MGI Symbol;Acc:MGI:2443373] MSLQGLMMKRTLLCWPLSCLFVLLPWPLATPTPITPWLCPPGKEPDPDPGQGTLCRTCPP GTFSASWNSYPCQPHYRCSLQKRLEAQAGTATHDTMCGDCQHGVRAAGPAWRRSGSRYQ >ENSMUSP00000120042.1 pep:known chromosome:GRCm38:7:100851112:100855429:-1 gene:ENSMUSG00000008318.10 transcript:ENSMUST00000156855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Relt description:RELT tumor necrosis factor receptor [Source:MGI Symbol;Acc:MGI:2443373] MSLQGLMMKRTLLCWPLSCLFVLLPWPLATPTPITPWLCPPGKEPDPDPGQGTLCRTCPP GTFSASWNSYPCQPHYRCSLQKRLEAQAGTATHDTMCGDCQHGWFGPQGVPHVPCQPCSK APP >ENSMUSP00000119208.1 pep:known chromosome:GRCm38:7:100851112:100856302:-1 gene:ENSMUSG00000008318.10 transcript:ENSMUST00000139604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Relt description:RELT tumor necrosis factor receptor [Source:MGI Symbol;Acc:MGI:2443373] MSLQGLMMKRTLLCWPLSCLFVLLPWPLATPTPITPWLCPPGKEPDPDPGQGTLCRTCPP GTFSASWNSYPCQPHYRCSLQKRLEAQAGTATHDTMCGDCQHGWFGPQGVPHVPCQPCSK APP >ENSMUSP00000121443.1 pep:known chromosome:GRCm38:7:100851122:100863158:-1 gene:ENSMUSG00000008318.10 transcript:ENSMUST00000136231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Relt description:RELT tumor necrosis factor receptor [Source:MGI Symbol;Acc:MGI:2443373] MSLQGLMMKRTLLCWPLSCLFVLLPWPLATPTPITPWLCPPGKEPDPDPGQGTLCRTCPP GTFSASWNSYPCQPHYRCSLQKRLEAQAGTATHDTMCGDCQHGWFGPQGVPHVPCQPCS >ENSMUSP00000121049.1 pep:known chromosome:GRCm38:7:100853057:100863398:-1 gene:ENSMUSG00000008318.10 transcript:ENSMUST00000142885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Relt description:RELT tumor necrosis factor receptor [Source:MGI Symbol;Acc:MGI:2443373] MRQPRSPAQSRPILVLSTRPGAPGLRVLPAPRAAQSVRRSMSLQGLMMKRTLLCWPLS >ENSMUSP00000029087.3 pep:known chromosome:GRCm38:2:180589245:180595836:1 gene:ENSMUSG00000049401.10 transcript:ENSMUST00000029087.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfr description:opioid growth factor receptor [Source:MGI Symbol;Acc:MGI:1919325] MDDPECDSTWEDESEEDGEDGQADDTTDEDTGDDDGDAEEARPSLFQSRMTRYRNWRAMQ DMQRYRHNYPDLTDQDCNGDMCNLSFYKNEICFQPNGFLIEDILQNWKDNYDLLEENHSY IQWLFPLREPGVNWHAKPLTLKEVEAFKSSKEVRERLVRAYELMLGFYGIQLEDRNTGAV CRAQNFQPRFHNLNSHSHNNLRITRILKSLGELGLEHYQAPLVRFFLEETLVQHKLPSVR QSALDYFLFAVRCRHQRRELVHFAWEHFKPRREFVWGPRDKLRRFRPQTISRPLMGLGQA DKDEGPGDPSQEAGTQGRTCGSGRDLSGDSGTAEDLSLLSAKPQDVGTLDGDQRHEAKSP SPKESKKRKLEGNRQEQVPGEPDPQGVSEVEKIALNLEGCALSPTSQEPREAEQPCLVAR VANEVRKRRKVEEGAEGDGVASNTQVQASALSPTPSECPESQKDGNGPEDPKSQVGPEDP KSQVGPEDPKSQVGPEDPKSQVGPEDPKGQVEPEDPKGQVGPEDPKGQVGPEDPKGQVGP EDPKSQVGPEDPKSQVEPEDPKSQVEPEDPKSQVEPEDPKSQVGPEDPQSQVGPEQAASK SLGEDPDSDTTGTSMSESEELARIEASVEPPKP >ENSMUSP00000082754.5 pep:known chromosome:GRCm38:5:146734809:146795006:-1 gene:ENSMUSG00000029640.17 transcript:ENSMUST00000085614.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp12 description:ubiquitin specific peptidase 12 [Source:MGI Symbol;Acc:MGI:1270128] MEILMTVSKFASICTMGANASALEKEIGPEQFPVNEHYFGLVNFGNTCYCNSVLQALYFC RPFREKVLAYKSQPRKKENLLTCLADLFHSIATQKKKVGVIPPKKFITRLRKENELFDNY MQQDAHEFLNYLLNTIADILQEERKQEKQNGRLRNGDVDNEDNNSTPDPTWVHEIFQGTL TNETRCLTCETISSKDEDFLDLSVDVEQNTSITHCLRGFSNTETLCSEYKYYCEECRSKQ EAHKRMKVKKLPLILALHLKRFKYMDQLHRYTKLSYRVVFPLELRLFNTSGDATNPDRMY DLVAVVVHCGSGPNRGHYIAIVKSHDFWLLFDDDIVEKIDAQAIEEFYGLTSDISKNSES GYILFYQSRD >ENSMUSP00000097439.1 pep:known chromosome:GRCm38:2:87671433:87672365:-1 gene:ENSMUSG00000075153.1 transcript:ENSMUST00000099853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1135 description:olfactory receptor 1135 [Source:MGI Symbol;Acc:MGI:3030969] MDEGNCSSNTEFVLLGITNNPSMKVGLFIVFLIIYLIILVANIGMIVLIKLDPQLHTPMY FFLSHLSFSDLCYSTAVGPKMLVDLMVKQKIIPFVGCALQFFFTCIFVDAECMLLAVMAF DRYKAISNPLMYVVGMSSKFCYQLLAGVYLVGMIDTVIHTIMTFGLCFCGSNEINHFFCD IPPILLLSCSDTQTNELITFVIFGFIELSTISGVLVSYCYIISSVLKIRSTDGRFKAFST CASHLTAVAIFQGTLLFMYFRPASAYSLDQDKITSLFYTLVIPMLNPLIYSLRNKDVQEA LQKLKKKIFF >ENSMUSP00000111024.1 pep:known chromosome:GRCm38:1:16065979:16081212:-1 gene:ENSMUSG00000067795.13 transcript:ENSMUST00000115367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930444P10Rik description:RIKEN cDNA 4930444P10 gene [Source:MGI Symbol;Acc:MGI:1923049] MRGYLQEVPVFFPFPHWSSVSFSSGLLKEEKMSMLSPEIKFETSNATRSNLDNCFLFESS WRKAVLETQKMRKAFGLEEPKECLKMPYLPELSKSSSSSSLEAHKRLLHTDAEIPPIRIK KTKESCSMAAFQEKSKGSVFSDPFTGAPSDFLQRLSRMAILEYDTIRQETHKKLRRGKKR DLRDC >ENSMUSP00000137853.1 pep:known chromosome:GRCm38:1:16065979:16093325:-1 gene:ENSMUSG00000067795.13 transcript:ENSMUST00000145070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930444P10Rik description:RIKEN cDNA 4930444P10 gene [Source:MGI Symbol;Acc:MGI:1923049] MSMLSPEIKFETSNATRSNLDNCFLFESSWRKAVLETQKMRKAFGLEEPKECLKMPYLPE LSKSSSSSSLEAHKRLLHTDAEIPPIRIKKTKESCSMAAFQEKSKGSVFSDPFTGAPSDF LQRLSRMAILEYDTIRQETHKKLRRGKKRDLRDC >ENSMUSP00000119257.2 pep:known chromosome:GRCm38:1:16065979:16093325:-1 gene:ENSMUSG00000067795.13 transcript:ENSMUST00000151004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930444P10Rik description:RIKEN cDNA 4930444P10 gene [Source:MGI Symbol;Acc:MGI:1923049] MSMLSPEIKFETSNATRSNLDNCFLFESSWRKAVLETQKMRKAFGLEEPKECLKMPYLPE LSKSSSSSSLEAHKRLLHTDAEIPPIRIKKTKESCSMAAFQEKSKGSVFSDPFTGAPSDF LQRLSRMAILEYDTIRQETHKKLRRGKKRDLRDC >ENSMUSP00000048079.2 pep:known chromosome:GRCm38:2:155941023:155945367:-1 gene:ENSMUSG00000038259.4 transcript:ENSMUST00000040162.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf5 description:growth differentiation factor 5 [Source:MGI Symbol;Acc:MGI:95688] MRLPKLLTLLLWHLAWLDLELICTVLGAPDLGQRTPGAKPGLTKAEAKERPPLARNVFRP GGHIYGVGATNARAKGSSGQTQAKKDEPRKMPPRSGGPETKPGPSSQTRQAAARTVTPKG QLPGGKASSKAGSAPSSFLLKKTREPGTPREPKEPFRPPPITPHEYMLSLYRTLSDADRK GGNSSVKLEAGLANTITSFIDKGQDDRGPAVRKQRYVFDISALEKDGLLGAELRILRKKP LDVAKPAVPSSGRVAQLKLSSCPSGRQPAALLDVRSVPGLDGSGWEVFDIWKLFRNFKNS AQLCLELEAWERGRAVDLRGLGFERTARQVHEKALFLVFGRTKKRDLFFNEIKARSGQDD KTVYEYLFSQRRKRRAPLANRQGKRPSKNLKARCSRKALHVNFKDMGWDDWIIAPLEYEA FHCEGLCEFPLRSHLEPTNHAVIQTLMNSMDPESTPPTCCVPTRLSPISILFIDSANNVV YKQYEDMVVESCGCR >ENSMUSP00000077135.3 pep:known chromosome:GRCm38:1:74278550:74279624:1 gene:ENSMUSG00000064272.3 transcript:ENSMUST00000077985.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpbar1 description:G protein-coupled bile acid receptor 1 [Source:MGI Symbol;Acc:MGI:2653863] MMTPNSTELSAIPMGVLGLSLALASLIVIANLLLALGIALDRHLRSPPAGCFFLSLLLAG LLTGLALPMLPGLWSRNHQGYWSCLLLHLTPNFCFLSLLANLLLVHGERYMAVLQPLRPH GSVRLALFLTWVSSLFFASLPALGWNHWSPDANCSSQAVFPAPYLYLEVYGLLLPAVGAT ALLSVRVLATAHRQLCEIRRLERAVCRDVPSTLARALTWRQARAQAGATLLFLLCWGPYV ATLLLSVLAYERRPPLGPGTLLSLISLGSTSAAAVPVAMGLGDQRYTAPWRTAAQRCLRV LRGRAKRDNPGPSTAYHTSSQCSIDLDLN >ENSMUSP00000091317.2 pep:known chromosome:GRCm38:9:95752642:95845286:-1 gene:ENSMUSG00000049493.13 transcript:ENSMUST00000093800.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pls1 description:plastin 1 (I-isoform) [Source:MGI Symbol;Acc:MGI:104809] MENSTTTISREELEELQEAFNKIDIDNSGYVSDYELQDLFKEASLPLPGYKVREIVEKIL VVADNNKDGKISFEEFVSLMQELKSKDISKTFRKIINKREGITAIGGTSSISSEGTQHSY SEEEKVAFVNWINKALENDADCSHLLPMNPNDGSLFKSLADGILLCKMINLSEPDTIDER AINKKKLTPFTVSENLNLALNSASAIGCTVVNIGAQDLKEGKPHLVLGLLWQIIKVGLFA DIEISRNEALIALLKDGEDLEELMKLSPEELLLRWVNYHLTNAGWRTINNFSQDIKDSKA YFHLLNQIAPKGDRDDGPAVAIDLSGFNEKNDLKRAGFMLQEADKLGCRQFVTPADVVSG NPKLNLAFVANLFNTYPCLHKPDNNDIDLNLLEGESKEERTFRNWMNSLGVNPYINHLYS DLADALVIFQLYEMIRVPVNWSQVNKPPYPALGGNMKKIENCNYAVELGKNEAKFSLVGI AGQDLNEGNATLTLALVWQLMRRYTLKVLSDLGEGEKVTDDIIIKWVNQTLKSANKSTSI SSFKDKSISTSLPVLDLIDAIAPNAVRQEMIKREHLTDEDKLNNAKYAISVARKIGARIY ALPDDLVEVKPKMVMTVFACLMGKGLNRLK >ENSMUSP00000113200.1 pep:known chromosome:GRCm38:9:95784181:95815406:-1 gene:ENSMUSG00000049493.13 transcript:ENSMUST00000119760.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pls1 description:plastin 1 (I-isoform) [Source:MGI Symbol;Acc:MGI:104809] MENSTTTISREELEELQEAFNKIDIDNSGYVSDYELQDLFKEASLPLPGYKVREIVEKIL VVADNNKDGKISFEEFVSLMQELKSKDISKTFRKIINKREGITAIGGTSSISSEGTQHSY SEEEKVAFVNWINKALEN >ENSMUSP00000133992.1 pep:known chromosome:GRCm38:10:89638721:89686285:-1 gene:ENSMUSG00000069539.11 transcript:ENSMUST00000174252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scyl2 description:SCY1-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1289172] MESMLNKLKSTVTKVTADVTSAVMGNPVTREFDVGRHIASGGNGLAWKIFNGTKKSTKQE VAVFVFDKKLIDKYQKFEKDQIIDSLKRGVQQLTRLRHPRLLTVQHPLEESRDCLAFCTE PVFASLANVLGNWENLPSSISPDIKDYKLYDVETKYGLLQVSEGLSFLHSSVKMVHGNVT PENVILNKSGAWKIMGFDFCVSSSNPSEQEPKFPCKEWDPNLPSLCLPNPEYLAPEYILS VSCETASDMYSLGAVMYAVFNQGRPIFEVNKQDIYKSFSRQLDQLSRLGSSSLTSIPEEV REHVKLLLNVTPTVRPDADQMTKIPFFDDVGAVTLQYFDTLFQRDNLQKSQFFKGLPKVL PKLPKRVIVQRILPCLTSEFVNPDMVPFVLPNVLLIAEECTKEEYIKLILPELGPVFKQQ EPIQILLIFLQKMDLLLTKTPPDEIKNSVLPMVYRALEAPSIQIQELCLNIIPTFANLID YPSMKNALIPRIKNACLQTSSLAVRVNSLVCLGKILEYLDKWFVLDDILPFLQQIPSKEP AVLMGILGIYKCTFTHKKLGITKEQLAGKVLPHLIPLSIENNLNLNQFSSFIAVIKEMLS RLESEHRTKLEQLHVMQEQQRSLDIGNQMSTSEETKVAHSGSQQIDKVFNNIGADLLSGS ESENREDGMQGKQKRGSLTLEEKQKLAKEQEQAQKLKSQQPLKPQVHTPIAPIKQTKDLT DTLMENMSSLTSLSVSTPKISASSTFTPVPSTGLGMMFSTPIDNTKRNLTNGLNANMGFQ TSGFSMPVNPNQNFFSGTGTAGVTTMSLGAPPTMSNFSPLTIPPASVKQPQQRPTDMSAL NNLFGPQKPKVSMNQLSQQKPNQWLNQFAPPQGSPVMGSAAMGTQGNVMGQAAFGMQGNP FFNPQNFAQPPPTTMTSSSSASNDLKDLFG >ENSMUSP00000089874.5 pep:known chromosome:GRCm38:10:89639952:89686268:-1 gene:ENSMUSG00000069539.11 transcript:ENSMUST00000092227.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scyl2 description:SCY1-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1289172] MESMLNKLKSTVTKVTADVTSAVMGNPVTREFDVGRHIASGGNGLAWKIFNGTKKSTKQE VAVFVFDKKLIDKYQKFEKDQIIDSLKRGVQQLTRLRHPRLLTVQHPLEESRDCLAFCTE PVFASLANVLGNWENLPSSISPDIKDYKLYDVETKYGLLQVSEGLSFLHSSVKMVHGNVT PENVILNKSGAWKIMGFDFCVSSSNPSEQEPKFPCKEWDPNLPSLCLPNPEYLAPEYILS VSCETASDMYSLGAVMYAVFNQGRPIFEVNKQDIYKSFSRQLDQLSRLGSSSLTSIPEEV REHVKLLLNVTPTVRPDADQMTKIPFFDDVGAVTLQYFDTLFQRDNLQKSQFFKGLPKVL PKLPKRVIVQRILPCLTSEFVNPDMVPFVLPNVLLIAEECTKEEYIKLILPELGPVFKQQ EPIQILLIFLQKMDLLLTKTPPDEIKNSVLPMVYRALEAPSIQIQELCLNIIPTFANLID YPSMKNALIPRIKNACLQTSSLAVRVNSLVCLGKILEYLDKWFVLDDILPFLQQIPSKEP AVLMGILGIYKCTFTHKKLGITKEQLAGKVLPHLIPLSIENNLNLNQFSSFIAVIKEMLS RLESEHRTKLEQLHVMQEQQRSLDIGNQMSTSEETKVAHSGSQIDKVFNNIGADLLSGSE SENREDGMQGKQKRGSLTLEEKQKLAKEQEQAQKLKSQQPLKPQVHTPIAPIKQTKDLTD TLMENMSSLTSLSVSTPKISASSTFTPVPSTGLGMMFSTPIDNTKRNLTNGLNANMGFQT SGFSMPVNPNQNFFSGTGTAGVTTMSLGAPPTMSNFSPLTIPPASVKQPQQRPTDMSALN NLFGPQKPKVSMNQLSQQKPNQWLNQFAPPQGSPVMGSAAMGTQGNVMGQAAFGMQGNPF FNPQNFAQPPPTTMTSSSSASNDLKDLFG >ENSMUSP00000134366.1 pep:known chromosome:GRCm38:10:89650750:89660161:-1 gene:ENSMUSG00000069539.11 transcript:ENSMUST00000174492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scyl2 description:SCY1-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1289172] KSFSRQLDQLSRLGSSSLTSIPEEVREHVKLLLNVTPTVRPDADQMTKIPFFDDVGAVTL QYFDTLFQRDNLQKSQFFKGLPKVLPKLPKRVIVQRILPCLTSEFVNPDMVPFVLPNVLL IAEECTKEEYIKLILPELGPVFKQQEPIQILLIFLQKMDLLLTKTPPDEIKNSVLPMVYR ALEAPSIQIQELCLNIIPTFANLIDYPSMKNALIPRIKNACLQTSSLAVRVNSLVCLGKI LEYLDKWFVLDDILPFLQQIPSKEPAVLMGILGS >ENSMUSP00000105257.1 pep:known chromosome:GRCm38:2:155940728:155942750:1 gene:ENSMUSG00000078972.1 transcript:ENSMUST00000109629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15557 description:predicted gene 15557 [Source:MGI Symbol;Acc:MGI:3783006] MIQSSQPMSLKLTCKALRLQRALRFLLGRLPWRLANGARRFRRWLNKYSYTVLSSWPERA LISLKNRSRFLVRPNTRNKAFSWTCRAVRSKPRPRRSTARPRSQASSSRHSCAEFLKFRK SFQMSNTSQPDPSRPGTERTSSKAAGCRPLGQLDSFSWATRPLLGTAGLATSKGFFRKIR SSAPNSPSFSKALMSNTYLCFLTAGPRSSRENSQKSIPVTSPREPATSGPPGRI >ENSMUSP00000065544.5 pep:known chromosome:GRCm38:15:38487430:38519266:-1 gene:ENSMUSG00000037458.14 transcript:ENSMUST00000065308.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azin1 description:antizyme inhibitor 1 [Source:MGI Symbol;Acc:MGI:1859169] MKGFIDDANYSVGLLDEGTNLGNVIDNYVYEHTLTGKNAFFVGDLGKIVKKHSQWQTVVA QIKPFYTVKCNSTPAVLEILAALGTGFACSSKNEMALVQELGVSPENIIFTSPCKQVSQI KYAAKVGVNIMTCDNEIELKKIARNHPNAKVLLHIATEDNIGGEDGNMKFGTTLKNCRHL LECAKELDVQIIGVKFHVSSACKEYQVYVHALSDARCVFDMAGEFGFTMNMLDIGGGFTG TEIQLEEVNHVISPLLDIYFPEGSGIQIISEPGSYYVSSAFTLAVNIIAKKVVENDKFSS GVEKNGSDEPAFVYYMNDGVYGSFASKLSEDLNTIPEVHKKYKEDEPLFTSSLWGPSCDE LDQIVESCLLPELNVGDWLIFDNMGADSFHEPSAFNDFQRPAIYFMMSFSDWYEMQDAGI TSDAMMKNFFFAPSCIQLSQEDSFSTEA >ENSMUSP00000105958.1 pep:known chromosome:GRCm38:15:38488238:38519263:-1 gene:ENSMUSG00000037458.14 transcript:ENSMUST00000110329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azin1 description:antizyme inhibitor 1 [Source:MGI Symbol;Acc:MGI:1859169] MKGFIDDANYSVGLLDEGTNLGNVIDNYVYEHTLTGKNAFFVGDLGKIVKKHSQWQTVVA QIKPFYTVKCNSTPAVLEILAALGTGFACSSKNEMALVQELGVSPENIIFTSPCKQVSQI KYAAKVGVNIMTCDNEIELKKIARNHPNAKVLLHIATEDNIGGEDGNMKFGTTLKNCRHL LECAKELDVQIIGVKFHVSSACKEYQVYVHALSDARCVFDMAGEFGFTMNMLDIGGGFTG TEIQLEEVNHVISPLLDIYFPEGSGIQIISEPGSYYVSSAFTLAVNIIAKKVVENDKFSS GVEKNGSDEPAFVYYMNDGVYGSFASKLSEDLNTIPEVHKKYKEDEPLFTSSLWGPSCDE LDQIVESCLLPELNVGDWLIFDNMGADSFHEPSAFNDFQRPAIYFMMSFSDWYEMQDAGI TSDAMMKNFFFAPSCIQLSQEDSFSTEA >ENSMUSP00000117988.1 pep:known chromosome:GRCm38:15:38488241:38519169:-1 gene:ENSMUSG00000037458.14 transcript:ENSMUST00000129589.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Azin1 description:antizyme inhibitor 1 [Source:MGI Symbol;Acc:MGI:1859169] MKGFIDDANYSVGLLDEGTNLGNVIDNYVYEHTLTGKNAFFVGDLGKIVKKHSQWQTVVA QIKPFYTVKCNSTPAVLEILAALGTGFACSSKNEMALVQELGVSPENIIFTSPCKQVSQI KYAAKVGVNIMTCDNEIELKKIARNHPNAKIVFH >ENSMUSP00000105957.1 pep:known chromosome:GRCm38:15:38489454:38519227:-1 gene:ENSMUSG00000037458.14 transcript:ENSMUST00000110328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azin1 description:antizyme inhibitor 1 [Source:MGI Symbol;Acc:MGI:1859169] MKGFIDDANYSVGLLDEGTNLGNVIDNYVYEHTLTGKNAFFVGDLGKIVKKHSQWQTVVA QIKPFYTVKCNSTPAVLEILAALGTGFACSSKNEMALVQELGVSPENIIFTSPCKQVSQI KYAAKVGVNIMTCDNEIELKKIARNHPNAKVLLHIATEDNIGGEDGNMKFGTTLKNCRHL LECAKELDVQIIGVKFHVSSACKEYQVYVHALSDARCVFDMAGEFGFTMNMLDIGGGFTG TEIQLEEVNHVISPLLDIYFPEGSGIQIISEPGSYYVSSAFTLAVNIIAKKVVENDKFSS GVEKNGSDEPAFVYYMNDGVYGSFASKLSEDLNTIPEVHKKYKEDEPLFTSSLWGPS >ENSMUSP00000114831.1 pep:known chromosome:GRCm38:15:38500436:38518221:-1 gene:ENSMUSG00000037458.14 transcript:ENSMUST00000127848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azin1 description:antizyme inhibitor 1 [Source:MGI Symbol;Acc:MGI:1859169] MKGFIDDANYSVGLLDEGTNLGNVIDNYVYEHTLTGKNAFFVGDLG >ENSMUSP00000119201.1 pep:known chromosome:GRCm38:15:38498669:38518162:-1 gene:ENSMUSG00000037458.14 transcript:ENSMUST00000151319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azin1 description:antizyme inhibitor 1 [Source:MGI Symbol;Acc:MGI:1859169] MKGFIDDANYSVGLLDEGTNLGNVIDNYVYEHTLTGKNAFFVGDLGKIVKKHSQWQTVVA QIKPFYTVKCNSTPAVLEILAALGTGFACSSKNEMALVQELGVSPENIIFTSPCKQVSQI KYAAKVGVNIMTCDNEIELKKIARNHPNA >ENSMUSP00000102849.1 pep:known chromosome:GRCm38:4:82897927:83052339:-1 gene:ENSMUSG00000059049.14 transcript:ENSMUST00000107230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frem1 description:Fras1 related extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:2670972] MHSPGCTGPKAQWFLLLQLLLLHLDRVSATFISINRGLRVMKGSSAFLSGDHLRVAVPKE KDACRLEVVMNEPVTQRVGKLSPQVFDCHFLPNEVKYVHNGCPILDEDSVKLRLYRFTET DTFMETFLLRVYLVEPDCNIIRMSSNVLEVTEFYGLSQAIDKNLLQFDYDRTASLDCTIR LDPLRTQLPAHGKLVVVNRKSEGPRGDQPHSFFSETELGAGLKCPDGSCALELKQVASLK VSCEEFLLTGFHYQHMQPPSPNIDYIPIQLDLTDRRSKTVYKSESAWLPVYIRVGIPNQV PRAAFMAMFVLEVDQFILTPLTTSVLDCEEDETPKPLLVFNITKAPLQGYVTHLLDHTRP ISSFTWKDLSDMQVAYQPPNSSHPERRHYTMELEVYDFFFERSAPITVHISIRTADTNAP RVSWNTGLNLLEGQSRAITWEQFQIVDNDDIGAVQLVTIGGLQHGRLTVREGKGFLFTVT DLQAGVVRYHHDDSDTTKDFVAFRIFDGHHSSHHKFPINILPKDDSPPFLITNVVIELEE GKTILIQGSMLRASDMDSSDDYIFFNITKFPQAGEIMKKPGPRLIGYPVPGFLQRDLFSG IIYYRHFGGEIFEDSFEFVLWDSHEPPNLSVPQVVTIHITPVDDQLPKEAPGISRHLVVK ETEVAYITKKHLHFLDMESRDGELIYTVTRPPCFSFSHRHLDAGKLFMVDSIPKLTKNPT APGLSSFTQHAVNHMKVAYMPPMQDIGPSPRHVQFTVSVSNQHGGALHGICFNITVLPVD NQVPEVFTNTLRVVEGGQCTISTEHILVSDVDTPLDSISLSLKERPLHGGVELDGFPLNP RGTFSWRDLNTLKVWYQHDGSEVLQDEIFLEVTDGTNSAAFVLHIEVFPVNDEPPILKAD LIPMMHCSEGGEVTITPEYISATDADSDDLELLFLIAREPQHGVVRKAGLHVDRFSQGDV ISGAVTYKHTGGEIGLEPCSDTVVLVVSDGEADPLMNGCCYDGPDSSVPLHKSFPTYQLN ITVHPVDNQPPSIIIGRMLTVDEGFSAALTTHHLTVVDWDTAPDDLKFMLASQPQFGYLE NALPSAGFEKSNIGIRIASFQWTDMKASHINYVQSRHLRVEPTADQFTVYATDGKHRSLE TTFHVIINPTNDEAPDLAVQNITVYEGHMVELDSSIISATDRDIPKDPLLFSIALKPQHG LLVDAAISKDSHQIKQLQHEIHSFSVDLLKNGMKLVYAHDDSESSADNFVIQLSDGKHKI LKTISVNITPVNDETPTLSKKAEISMAVGDTRVLSSAVLSATDKDSPREKIHYVFERLPQ NGQLQLKIGRDWVPLSTGMQCTQEDVDLNLLRYTHAGKTDSQDGDSFTFYLWDEDNRSPA FDCHIIIEDMGKGDIVIHAKPLVVVKGDRGLLTTATLLAVDGADKPEELLYLITSPPRHG QVEYVHSPGVPIASFSQMDIAGQTVCYIHKSRTAVPTDSFRFTISNGLQTQRGVFEITLQ TVDSALPVLTKNKRLRLAEGAMGLLSADHLQLTDPDTPPENLTFFLAQLPRHGYLFLRGK ALQHNFTQRDVDSGGVAYQHSGGGAREDYFTFLATDRKNQGFVVDGKVQKEPVRFTIQVD QLDKAAPRITHLHSPTQVGLLKNGCYGIYITSRVLKASDPDTEDDQIIFKILRGPLYGRL ENTTTGEFIHERFSQKDLSHKTILYIINPSLQVTSDILEFQAMDPTGNTATPQSLDLRWS YIEWAQTAYEVCENVGLLPLEVTRRGYPMDSAFVGVEVNQVSATVGKDFTVTPSKLLQFD PGMSTKMWNIAITYDGLEEDDEVFEVILNSPVNAVLGTQTKAAVKILDSKGGRCHPSNSF NQSKHSTWGKGPWHPLPSGSSSLTTSGSPLLERPPPSFTSGDALQGFGLTDLTQRKTMTQ GNGKSVLPSSVCRNGTDTIYNYHGIVSLKLEGDRFSAHKRKAKISIVSQPQRTIKVAELP LADKVESTTDLHFLRQGLRPLFPKNCSVDLKGLFHFEESTHRLYQCDGISWKAWSPQTKG LEDRSCPGGWLLHSGYCHILVTRQKGTWTTATRACREQHQGDLVTVLSRRHMQWLWAMSG RKPFWIGLKNQPRTGHWEWIGGEPVAFTNWRRGAPLHPKPGKNCALVQKRGQWQTKNCSK GKAHNFVCSRKL >ENSMUSP00000122467.1 pep:known chromosome:GRCm38:4:82938426:82970703:-1 gene:ENSMUSG00000059049.14 transcript:ENSMUST00000127886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frem1 description:Fras1 related extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:2670972] XFGYLENALPSAGFEKSNIGIRIASFQWTDMKASHINYVQSRHLRVEPTADQFTVYATDG KHRSLETTFHVIINPTNDEAPDLAVQNITVYEGHMVELDSSIISATDRDIPKDPLLFSIA LKPQHGLLVDAAISKDSHQIKQLQHEIHSFSVDLLKNGMKLVYAHDDSESSADNFVIQLS DGKHKILKTISVNITPVNDETPTLSKKAEISMAVGDTRVLSSAVLSATDKDSPREKIHYV FERLPQNGQLQLKIGRDWVPLSTGMQCTQEDVDLNLLRYTHAGKTDSQDGDSFTFYLWDE DNRSPAFDCHIIIEDMGKGDIVIHAKPLVVVKGDRGLLTTATLLAVDGADKPEELLYLIT SPPRHGQVEYVHSPGVPIASFSQMDIAGQTVCYIHKSRTAVPTDSFSRWGHKSPC >ENSMUSP00000071627.5 pep:known chromosome:GRCm38:4:82897927:83021102:-1 gene:ENSMUSG00000059049.14 transcript:ENSMUST00000071708.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frem1 description:Fras1 related extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:2670972] MHSPGCTGPKAQWFLLLQLLLLHLDRVSATFISINRGLRVMKGSSAFLSGDHLRVAVPKE KDACRLEVVMNEPVTQRVGKLSPQVFDCHFLPNEVKYVHNGCPILDEDSVKLRLYRFTET DTFMETFLLRVYLVEPDCNIIRMSSNVLEVTEFYGLSQAIDKNLLQFDYDRTASLDCTIR LDPLRTQLPAHGKLVVVNRKSEGPRGDQPHSFFSETELGAGLKCPDGSCALELKQVASLK VSCEEFLLTGFHYQHMQPPSPNIDYIPIQLDLTDRRSKTVYKSESAWLPVYIRVGIPNQV PRAAFMAMFVLEVDQFILTPLTTSVLDCEEDETPKPLLVFNITKAPLQGYVTHLLDHTRP ISSFTWKDLSDMQVAYQPPNSSHPERRHYTMELEVYDFFFERSAPITVHISIRTADTNAP RVSWNTGLNLLEGQSRAITWEQFQIVDNDDIGAVQLVTIGGLQHGRLTVREGKGFLFTVT DLQAGVVRYHHDDSDTTKDFVAFRIFDGHHSSHHKFPINILPKDDSPPFLITNVVIELEE GKTILIQGSMLRASDMDSSDDYIFFNITKFPQAGEIMKKPGPRLIGYPVPGFLQRDLFSG IIYYRHFGGEIFEDSFEFVLWDSHEPPNLSVPQVVTIHITPVDDQLPKEAPGISRHLVVK ETEVAYITKKHLHFLDMESRDGELIYTVTRPPCFSFSHRHLDAGKLFMVDSIPKLTKNPT APGLSSFTQGLILISANQHTCSSQFASQHAVNHMKVAYMPPMQDIGPSPRHVQFTVSVSN QHGGALHGICFNITVLPVDNQVPEVFTNTLRVVEGGQCTISTEHILVSDVDTPLDSISLS LKERPLHGGVELDGFPLNPRGTFSWRDLNTLKVWYQHDGSEVLQDEIFLEVTDGTNSAAF VLHIEVFPVNDEPPILKADLIPMMHCSEGGEVTITPEYISATDADSDDLELLFLIAREPQ HGVVRKAGLHVDRFSQGDVISGAVTYKHTGGEIGLEPCSDTVVLVVSDGEADPLMNGCCY DGPDSSVPLHKSFPTYQLNITVHPVDNQPPSIIIGRMLTVDEGFSAALTTHHLTVVDWDT APDDLKFMLASQPQFGYLENALPSAGFEKSNIGIRIASFQWTDMKASHINYVQSRHLRVE PTADQFTVYATDGKHRSLETTFHVIINPTNDEAPDLAVQNITVYEGHMVELDSSIISATD RDIPKDPLLFSIALKPQHGLLVDAAISKDSHQIKQLQHEIHSFSVDLLKNGMKLVYAHDD SESSADNFVIQLSDGKHKILKTISVNITPVNDETPTLSKKAEISMAVGDTRVLSSAVLSA TDKDSPREKIHYVFERLPQNGQLQLKIGRDWVPLSTGMQCTQEDVDLNLLRYTHAGKTDS QDGDSFTFYLWDEDNRSPAFDCHIIIEDMGKGDIVIHAKPLVVVKGDRGLLTTATLLAVD GADKPEELLYLITSPPRHGQVEYVHSPGVPIASFSQMDIAGQTVCYIHKSRTAVPTDSFR FTISNGLQTQRGVFEITLQTVDSALPVLTKNKRLRLAEGAMGLLSADHLQLTDPDTPPEN LTFFLAQLPRHGYLFLRGKALQHNFTQRDVDSGGVAYQHSGGGAREDYFTFLATDRKNQG FVVDGKVQKEPVRFTIQVDQLDKAAPRITHLHSPTQVGLLKNGCYGIYITSRVLKASDPD TEDDQIIFKILRGPLYGRLENTTTGEFIHERFSQKDLSHKTILYIINPSLQVTSDILEFQ AMDPTGNTATPQSLDLRWSYIEWAQTAYEVCENVGLLPLEVTRRGYPMDSAFVGVEVNQV SATVGKDFTVTPSKLLQFDPGMSTKMWNIAITYDGLEEDDEVFEVILNSPVNAVLGTQTK AAVKILDSKGGRCHPSNSFNQSKHSTWGKGPWHPLPSGSSSLTTSGSPLLERPPPSFTSG DALQGFGLTDLTQRKTMTQGNGKSVLPSSVCRNGTDTIYNYHGIVSLKLEGDRFSAHKRK AKISIVSQPQRTIKVAELPLADKVESTTDLHFLRQGLRPLFPKNCSVDLKGLFHFEESTH RLYQCDGISWKAWSPQTKGLEDRSCPGGWLLHSGYCHILVTRQKGTWTTATRACREQHQG DLVTVLSRRHMQWLWAMSGRKPFWIGLKNQPRTGHWEWIGGEPVAFTNWRRGAPLHPKPG KNCALVQKRGQWQTKNCSKGKAHNFVCSRKL >ENSMUSP00000125809.2 pep:known chromosome:GRCm38:4:82897920:83052167:-1 gene:ENSMUSG00000059049.14 transcript:ENSMUST00000170248.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frem1 description:Fras1 related extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:2670972] MHSPGCTGPKAQWFLLLQLLLLHLDRVSATFISINRGLRVMKGSSAFLSGDHLRVAVPKE KDACRLEVVMNEPVTQRVGKLSPQVFDCHFLPNEVKYVHNGCPILDEDSVKLRLYRFTET DTFMETFLLRVYLVEPDCNIIRMSSNVLEVTEFYGLSQAIDKNLLQFDYDRTASLDCTIR LDPLRTQLPAHGKLVVVNRKSEGPRGDQPHSFFSETELGAGLKCPDGSCALELKQVASLK VSCEEFLLTGFHYQHMQPPSPNIDYIPIQLDLTDRRSKTVYKKSESAWLPVYIRVGIPNQ VPRAAFMAMFVLEVDQFILTPLTTSVLDCEEDETPKPLLVFNITKAPLQGYVTHLLDHTR PISSFTWKDLSDMQVAYQPPNSSHPERRHYTMELEVYDFFFERSAPITVHISIRTADTNA PRVSWNTGLNLLEGQSRAITWEQFQIVDNDDIGAVQLVTIGGLQHGRLTVREGKGFLFTV TDLQAGVVRYHHDDSDTTKDFVAFRIFDGHHSSHHKFPINILPKDDSPPFLITNVVIELE EGKTILIQGSMLRASDMDSSDDYIFFNITKFPQAGEIMKKPGPRLIGYPVPGFLQRDLFS GIIYYRHFGGEIFEDSFEFVLWDSHEPPNLSVPQVVTIHITPVDDQLPKEAPGISRHLVV KETEVAYITKKHLHFLDMESRDGELIYTVTRPPCFSFSHRHLDAGKLFMVDSIPKLTKNP TAPGLSSFTQHAVNHMKVAYMPPMQDIGPSPRHVQFTVSVSNQHGGALHGICFNITVLPV DNQVPEVFTNTLRVVEGGQCTISTEHILVSDVDTPLDSISLSLKERPLHGGVELDGFPLN PRGTFSWRDLNTLKVWYQHDGSEVLQDEIFLEVTDGTNSAAFVLHIEVFPVNDEPPILKA DLIPMMHCSEGGEVTITPEYISATDADSDDLELLFLIAREPQHGVVRKAGLHVDRFSQGD VISGAVTYKHTGGEIGLEPCSDTVVLVVSDGEADPLMNGCCYDGPDSSVPLHKSFPTYQL NITVHPVDNQPPSIIIGRMLTVDEGFSAALTTHHLTVVDWDTAPDDLKFMLASQPQFGYL ENALPSAGFEKSNIGIRIASFQWTDMKASHINYVQSRHLRVEPTADQFTVYATDGKHRSL ETTFHVIINPTNDEAPDLAVQNITVYEGHMVELDSSIISATDRDIPKDPLLFSIALKPQH GLLVDAAISKDSHQIKQLQHEIHSFSVDLLKNGMKLVYAHDDSESSADNFVIQLSDGKHK ILKTISVNITPVNDETPTLSKKAEISMAVGDTRVLSSAVLSATDKDSPREKIHYVFERLP QNGQLQLKIGRDWVPLSTGMQCTQEDVDLNLLRYTHAGKTDSQDGDSFTFYLWDEDNRSP AFDCHIIIEDMGKGDIVIHAKPLVVVKGDRGLLTTATLLAVDGADKPEELLYLITSPPRH GQVEYVHSPGVPIASFSQMDIAGQTVCYIHKSRTAVPTDSFRFTISNGLQTQRGVFEITL QTVDSALPVLTKNKRLRLAEGAMGLLSADHLQLTDPDTPPENLTFFLAQLPRHGYLFLRG KALQHNFTQRDVDSGGVAYQHSGGGAREDYFTFLATDRKNQGFVVDGKVQKEPVRFTIQV DQLDKAAPRITHLHSPTQVGLLKNGCYGIYITSRVLKASDPDTEDDQIIFKILRGPLYGR LENTTTGEFIHERFSQKDLSHKTILYIINPSLQVTSDILEFQAMDPTGNTATPQSLDLRW SYIEWAQTAYEVCENVGLLPLEVTRRGYPMDSAFVGVEVNQVSATVGKDFTVTPSKLLQF DPGMSTKMWNIAITYDGLEEDDEVFEVILNSPVNAVLGTQTKAAVKILDSKGGRCHPSNS FNQSKHSTWGKGPWHPLPSGSSSLTTSGSPLLERPPPSFTSGDALQGFGLTDLTQRKTMT QGNGKSVLPSSVCRNGTDTIYNYHGIVSLKLEGDRFSAHKRKAKISIVSQPQRTIKVAEL PLADKVESTTDLHFLRQGLRPLFPKNCSVDLKGLFHFEESTHRLYQCDGISWKAWSPQTK GLEDRSCPGGWLLHSGYCHILVTRQKGTWTTATRACREQHQGDLVTVLSRRHMQWLWAMS GRKPFWIGLKNQPRTGHWEWIGGEPVAFTNWRRGAPLHPKPGKNCALVQKRGQWQTKNCS KGKAHNFVCSRKL >ENSMUSP00000132963.1 pep:known chromosome:GRCm38:7:127191660:127196000:-1 gene:ENSMUSG00000042502.10 transcript:ENSMUST00000166791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd2bp2 description:CD2 antigen (cytoplasmic tail) binding protein 2 [Source:MGI Symbol;Acc:MGI:1917483] MPKRKVTFQGVGDEDGEDEISVPKKKLVDPVAAAGGPGSRFKGKHSLDSDEEDDDEEGSS KYDILASEDVEGQEAATLPSEGGVRITPFNLQEEMEEGHFDADGNYFLNQDAQIRDSWLD NIDWVRIKERPPDKHQVSDSEEEDSLGQTPMSAQALLEGLLELLLPRETVAGALRRLGAR GGGKGSNSKGTGRPNSPQRLDRLSGLADQMVARGNLGVYQETRERLAMRLKGLGCRAQGS HDPTPPPSLDMFAEEVAEGELETPTPTQREEAESAGDGLMDVMWEYKWENTGDAELYGPF TSAQMQTWVSEGYFPDGVYCRKLDPPGGQFYNSKRIDFELYT >ENSMUSP00000146167.1 pep:known chromosome:GRCm38:7:127191996:127195938:-1 gene:ENSMUSG00000042502.10 transcript:ENSMUST00000206026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd2bp2 description:CD2 antigen (cytoplasmic tail) binding protein 2 [Source:MGI Symbol;Acc:MGI:1917483] MPKRKVTFQGVGDEDGEDEISVPKKKLVDPVAAAGGPGSRFKGKHSLDSDEEDDDEEGSS KYDILASEDVEGQEAATLPSEGGVRITPFNLQEEMEEGHFDADGNYFLNQDAQIRDSWLD NIDWVRIKERPPDKHQVSDSEEEDSLGQTPMSAQALLEGLLELLLPRETVAGALRRLGAR GGGKGSNSKGTGRPNSPQRLDRLSGLADQMVARGNLGVYQETRERLAMRLKGLGCRAQGS HDPTPPPSLDMFAEEVAEGELETPTPTQREEAESAGDGLMDVMWEYKWENTGDAELYGPF TSAQMQTWVSEGYFPDGVYCRKLDPPGGQFYNSKRIDFELYT >ENSMUSP00000145888.1 pep:known chromosome:GRCm38:7:127192025:127195987:-1 gene:ENSMUSG00000042502.10 transcript:ENSMUST00000205316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd2bp2 description:CD2 antigen (cytoplasmic tail) binding protein 2 [Source:MGI Symbol;Acc:MGI:1917483] MPKRKVTFQGVGDEDGEDEISVPKKKLVDPVAAAGGPGSRFKGKHSLDSDEEDDDEEGSS KYDILASEDVEGQEAATLPSEGGVRITPFNLQEEMEEGHFDADGNYFLNQDAQIRDSWLD NIDWVRIKERPPDKHQVSDSEEEDSLGQTPMSAQALLEGLLELLLPRETVAGALRRLGAR GGGKGSNSKGTGRPNSPQRLDRLSGLADQMVARGNLGVYQETRERLAMRLKGLGCRAQGS HDPTPPPSLDMFAEEVAEGELETPTPTQREEAESAGDGLMDVMWEYKWENTGDAELYGPF TSAQMQTWVSEGYFPDGVYCRKLDPPGGQFYNSKRIDFELYT >ENSMUSP00000044790.3 pep:known chromosome:GRCm38:7:127193658:127195875:-1 gene:ENSMUSG00000042502.10 transcript:ENSMUST00000035771.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd2bp2 description:CD2 antigen (cytoplasmic tail) binding protein 2 [Source:MGI Symbol;Acc:MGI:1917483] MPKRKVTFQGVGDEDGEDEISVPKKKLVDPVAAAGGPGSRFKGKHSLDSDEEDDDEEGSS KYDILASEDVEGQEAATLPSEGGVRITPFNLQEEMEEGHFDADGNYFLNQDAQIRDSWLD NIDWVRIKERPPDKHQVSDSEEEDSLGQTPMSAQALLEGLLELLLPRETVAGALRRLGAR GGGKGSNSKGTGRPNSPQRLDRLSGLADQMVARGNLGVYQETRERLAMRLKGLGCRAQGS HDPTPPPSLDMFAEEVAEGELETPTPTQREEAESAGDGLMDVMWEYKWENTGDAELYGPF TSAQMQTWVSEGYFPDGVYCRKLDPPGGQFYNSKRIDFELYT >ENSMUSP00000145634.1 pep:known chromosome:GRCm38:7:127194462:127195444:-1 gene:ENSMUSG00000042502.10 transcript:ENSMUST00000205355.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd2bp2 description:CD2 antigen (cytoplasmic tail) binding protein 2 [Source:MGI Symbol;Acc:MGI:1917483] MPKRKVTFQGVGDEDGEDEISVPKKKLVDPVAAAGGPGSRFKGKHSLDSDEEDDDEEGSS KYDILASEDVEGRDGGRPL >ENSMUSP00000145549.1 pep:known chromosome:GRCm38:7:127194527:127195942:-1 gene:ENSMUSG00000042502.10 transcript:ENSMUST00000205321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd2bp2 description:CD2 antigen (cytoplasmic tail) binding protein 2 [Source:MGI Symbol;Acc:MGI:1917483] MPKRKVTFQGVGDEDGEDEISVPKKKLVDPVAAAGGPGSRFKGKHSLDSDEEDDDEEGSS KYDILASEDVEGQEAATLPSEGGVRITPFNLQEEMEEGHFDADGNYFLNQDAQIRDSWLD NIDWVRIKERPPDKHQVSDSEEEDSLGQTPMSAQALLEGLLE >ENSMUSP00000145779.1 pep:known chromosome:GRCm38:7:127194622:127195966:-1 gene:ENSMUSG00000042502.10 transcript:ENSMUST00000206587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd2bp2 description:CD2 antigen (cytoplasmic tail) binding protein 2 [Source:MGI Symbol;Acc:MGI:1917483] MPKRKVTFQGVGDEDGEDEISVPKKKLVDPVAAAGGPGSRFKGKHSLDSDEEDDDEEGSS KYDILASEDVEGQEAATLPSEGGVRITPFNLQEEMEEGHFDADGNYFLNQDAQIRDSWLD NIDWVRIKERP >ENSMUSP00000146087.1 pep:known chromosome:GRCm38:7:127195092:127196050:-1 gene:ENSMUSG00000042502.10 transcript:ENSMUST00000206081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd2bp2 description:CD2 antigen (cytoplasmic tail) binding protein 2 [Source:MGI Symbol;Acc:MGI:1917483] MPKRKVTFQGVGDEDGEDEISVPKKKLVDPVAAAGGPGSRFKGKHSLD >ENSMUSP00000023616.2 pep:known chromosome:GRCm38:16:36750178:36784962:-1 gene:ENSMUSG00000022899.8 transcript:ENSMUST00000023616.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a2 description:solute carrier family 15 (H+/peptide transporter), member 2 [Source:MGI Symbol;Acc:MGI:1890457] MLEERESKEPAMNPFQKNESKETLFSPVSTEEMLPGPPSPPKKSTPKLFGSSYPLSIAFI VVNEFCERFSYYGMKAVLTLYFLYFLHWNEDTSTSVYHAFSSLCYFTPILGAAIADSWLG KFKTIIYLSLVYVLGHVFKSLGAIPILGGKMLHTILSLVGLSLIALGTGGIKPCVAAFGG DQFEEEHAEARTRYFSVFYLSINAGSLISMFITPMLRGDVKCFGEDCYALAFGIPGLLMV LALVVFAMGSKMYRKPPPEGNIVAQVTKCIWFAICNRFRNRSEDIPKRQHWLDWAAEKYP KHLIMDVKALTRILFLYIPLPMFWALLDQQGSRWTLQANKMDGDLGFFVLQPDQMQVLNP FLVLVFIPLFDLVIYRLISKCGVNFSSLRKMAVGMILACLAFAVAALVEIKINGMIHPQP ASQEIFLQVLNLADGEIEVTVQGNRNNPLLVESISSFQNTTHYSKLRLETKSQDLHFHLK YNNLSVHNEYSVEEKNCYQLVVHENGESLSSMLVKDTGIKPANGMTAIRFINTLHKDMNI SLDANAPLSVGKDYGVSEYRTVQRGKYPAVHCETEDNVFSLNLGQLDFGTTYLFVITNIT NRGLQAWKAEDIPANKLSIAWQLPQYVLVTAAEVMFSVTGLEFSYSQAPSSMKSVLQAAW LLTVAVGNIIVLIVAQFSGLVQWAEFVLFSCLLLVVCLIFSVMGYYYVPLKSEGIHEATE KQIPHIQGNMINLETKNTRL >ENSMUSP00000131395.1 pep:known chromosome:GRCm38:16:36751778:36784792:-1 gene:ENSMUSG00000022899.8 transcript:ENSMUST00000165380.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc15a2 description:solute carrier family 15 (H+/peptide transporter), member 2 [Source:MGI Symbol;Acc:MGI:1890457] MLEERESKEPAMNPFQKNESKETLFSPVSTEEMLPGPPSPPKKSTPKLFGSSYPLSIAFI VVNEFCERFSYYGMKGHSGKWLLV >ENSMUSP00000132663.1 pep:known chromosome:GRCm38:16:36751778:36784820:-1 gene:ENSMUSG00000022899.8 transcript:ENSMUST00000165531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a2 description:solute carrier family 15 (H+/peptide transporter), member 2 [Source:MGI Symbol;Acc:MGI:1890457] MLEERESKEPAMNPFQKNESKETLFSPVSTEEMLPGPPSPPKKSTPKLFGSSYPLSIAFI VVNEFCERFSYYGMKAVLTLYFLYFLHWNEDTSTSVYHAFSSLCYFTPILGAAIADSWLG KFKILSLVGLSLIALGTGGIKPCVAAFGGDQFEEEHAEARTRYFSVFYLSINAGSLISMF ITPMLRGDVKCFGEDCYALAFGIPGLLMVLALVVFAMGSKMYRKPPPEGNIVAQVTKCIW FAICNRFRNRSEDIPKRQHWLDWAAEKYPKHLIMDVKALTRILFLYIPLPMFWALLDQQG SRWTLQANKMDGDLGFFVLQPDQMQVLNPFLVLVFIPLFDLVIYRLISKCGVNFSSLRKM AVGMILACLAFAVAALVEIKINGMIHPQPASQEIFLQVLNLADGEIEVTVQGNRNNPLLV ESISSFQNTTHYSKLRLETKSQDLHFHLKYNNLSVHNEYSVEEKNCYQLVVHENGESLSS MLVKDTGIKPANGMTAIRFINTLHKDMNISLDANAPLSVGKDYGVSEYRTVQRGKYPAVH CETEDNVFSLNLGQLDFGTTYLFVITNITNRGLQAWKAEDIPANKLSIAWQLPQYVLVTA AEVMFSVTGLEFSYSQAPSSMKSVLQAAWLLTVAVGNIIVLIVAQFSGLVQWAEFVLFSC LLLVVCLIFSVMGYYYVPLKSEGIHEATEKQIPHIQGNMINLETKNTRL >ENSMUSP00000132029.1 pep:known chromosome:GRCm38:16:36771874:36784940:-1 gene:ENSMUSG00000022899.8 transcript:ENSMUST00000164579.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a2 description:solute carrier family 15 (H+/peptide transporter), member 2 [Source:MGI Symbol;Acc:MGI:1890457] MLEERESKEPAMNPFQKNESKETLFSPVSTEEMLPGPPSPPKKSTPKLFGSSYPLSIAFI VVNEFCERFSYYGMKAVLTLYFLYFLHWNEDTSTSVYHAFSSLCYFTPILGAAIADSWLG KFKTIIYLSLVYVLGHVFKSLGAIPILGGKMLHTILSLVGLSLIALGTGGIKPCVAAFGG DQFEEEHAEARTRYFSVFYLSINAGSLISMFITPMLRGDVKCFGEDCYALAFGIPGLLMV LALGEWSGKGCEQPLIPAT >ENSMUSP00000132885.1 pep:known chromosome:GRCm38:16:36771877:36784924:-1 gene:ENSMUSG00000022899.8 transcript:ENSMUST00000168279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a2 description:solute carrier family 15 (H+/peptide transporter), member 2 [Source:MGI Symbol;Acc:MGI:1890457] MLEERESKEPAMNPFQKNESKETLFSPVSTEEMLPGPPSPPKKSTPKLFGSSYPLSIAFI VVNEFCERFSYYGMKAVLTLYFLYFLHWNEDTSTSVYHAFSSLCYFTPILGAAIADSWLG KFKTIIYLSLVYVLGHVFKSLGAIPILGGKMLHTILSLVGLSLIALGTGGIKPCVAAFGG DQFEEEHGM >ENSMUSP00000035154.3 pep:known chromosome:GRCm38:9:122945089:122951000:-1 gene:ENSMUSG00000032551.6 transcript:ENSMUST00000035154.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110059G10Rik description:RIKEN cDNA 1110059G10 gene [Source:MGI Symbol;Acc:MGI:1913452] MSKRNQVSYVRPAEPAFLSRFKERVGYKEGPTVETKKIQPQLPDEDGNHSDKEDEQPQVV VLKKGDLTAEEVMKIKAEIKAAKTDEEPPPADGRIVYRKPVKRSSDEKCSGLTASSKKKK TNEDDVNKQSSVRKNSQKQIKNSSLLSFDSEDENE >ENSMUSP00000112461.1 pep:known chromosome:GRCm38:9:122947535:122950953:-1 gene:ENSMUSG00000032551.6 transcript:ENSMUST00000118422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110059G10Rik description:RIKEN cDNA 1110059G10 gene [Source:MGI Symbol;Acc:MGI:1913452] MSKRNQVSYVRPAEPAFLSRFKERVGYKEGPTVETKMKNHLQLMEE >ENSMUSP00000046286.7 pep:known chromosome:GRCm38:16:32475522:32487879:-1 gene:ENSMUSG00000035699.7 transcript:ENSMUST00000042042.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc51a description:solute carrier family 51, alpha subunit [Source:MGI Symbol;Acc:MGI:2146634] MEPGRTHIKLDPRYTAELLELLETNYSISPACFSHPPTAAQLLRALGPVDIALTIILTFL TTGSVAIFLEDAVYLYKNTLCPIKKRTLIWSSSAPTVVSVFCCFGLWIPRALTLVEMAIT SFYAVCFYLLMMVMVEGFGGKKAVLRTLKDTPMRVHTGPCCCCCPCCPPLILTRKKLQLL LLGPFQYAFFKITLSIVGLFLIPDGIYDPGEISEKSAALWINNLLAVSTLLALWSLAILF RQAKMHLGEQNMGSKFALFQVLVILTALQPAIFSILANSGQIACSPPYSSKIRSQVMNCH MLILETFLMTVLTRMYYRRKDDKVGYEACSLPDLDSALKA >ENSMUSP00000097438.3 pep:known chromosome:GRCm38:2:87692948:87693880:-1 gene:ENSMUSG00000075151.4 transcript:ENSMUST00000099852.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1136 description:olfactory receptor 1136 [Source:MGI Symbol;Acc:MGI:3030970] MDKRNCSSVPEFLLLGITNKPEMKVALFIVFLIVYPTILLTNVGMITLIRMDPQLHIPMY FFLSHLSFSDLCYSTAVGPKMLLDLLEDNNPISFVGCFLQLLIVSIFIDVECMLLAVMAF DRYKAISNPLMYAVDMSSRVCYQFLTAIYVFGTIDGFIHTSLAFSLCFCHSTQINHLFCD LPPVLLLSCSDTHINELVLFMLFGFIELSTISGVLVSYCYIISSVLKISSTGGWFKAFST CASHLTAVGIFQGTMLFMYFRPSSAYSLDQDKMTSIFYLLVIPMINPLIYSLRNKDVKEA LVRLRSKWWF >ENSMUSP00000115801.1 pep:known chromosome:GRCm38:5:94076385:94080455:1 gene:ENSMUSG00000072821.4 transcript:ENSMUST00000139102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6351 description:predicted gene 6351 [Source:MGI Symbol;Acc:MGI:3647817] MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRRKKLQYLDLRNVHHSFWNIWTDSE DSDYSAEILDEKKALQVLDDTLEDLLHYLLPDFTERFGFLCLLSE >ENSMUSP00000119594.1 pep:known chromosome:GRCm38:5:94078168:94080997:1 gene:ENSMUSG00000072821.4 transcript:ENSMUST00000139828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6351 description:predicted gene 6351 [Source:MGI Symbol;Acc:MGI:3647817] MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRRKKLQYLDLRNVHHSFWNIWTDSE DSDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFC CTKMKIWTLPVKALRHIFHIFDPEHIMELELNTEWTLLELTHFAPYFGQMRNLCKVFLAP LHKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLK TFSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGTLETLDL QGCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPL ECYDEFAQVSVERFVRLCQDLMDTLRAIRQPKNTSFATDICHTCGERWVFDQVANLCRCW Q >ENSMUSP00000098583.3 pep:known chromosome:GRCm38:5:94078202:94080935:1 gene:ENSMUSG00000072821.4 transcript:ENSMUST00000101021.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6351 description:predicted gene 6351 [Source:MGI Symbol;Acc:MGI:3647817] MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRKKLQYLDLRNVHHSFWNIWTDSED SDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFCC TKMKIWTLPVKALRHIFHIFDPEHIMELELNTEWTLLELTHFAPYFGQMRNLCKVFLAPL HKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLKT FSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGTLETLDLQ GCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPLE CYDEFAQVSVERFVRLCQDLMDTLRAIRQPKNTSFATDICHTCGERWVFDQVANLCRCWQ >ENSMUSP00000144955.1 pep:known chromosome:GRCm38:10:129737974:129741902:-1 gene:ENSMUSG00000071065.4 transcript:ENSMUST00000204624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr806 description:olfactory receptor 806 [Source:MGI Symbol;Acc:MGI:3030640] MKNQSVEIVFILLGLTDDPQLQILIFLFMFFNYILSLMGNLVIIFLTLLDLRLKTPMYFF LRNFSFLEIAFTTACIPRFLMSILTGDKTITYNACVAQLFFFFLLLITEFYLLAAMSYDR YVAICRPLHYPIIMNSKVCHILVLSSWMTGFLSIFPPLMLGLKLEFCASKTVDHFLCDTS PVLQLSCTDTRFIEWMAFVIAIMTLIITLILVILSYTLIIKTILKFPSAQQRKKAFSTCS SHMVVVSITYGSCIFMYIKTSAKERVSLNKGVAVLNTSVAPLLNPFIYTLRNQQVKDAFK QVLQRLCHYQTVN >ENSMUSP00000092873.1 pep:known chromosome:GRCm38:10:129737974:129738915:-1 gene:ENSMUSG00000071065.4 transcript:ENSMUST00000095245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr806 description:olfactory receptor 806 [Source:MGI Symbol;Acc:MGI:3030640] MKNQSVEIVFILLGLTDDPQLQILIFLFMFFNYILSLMGNLVIIFLTLLDLRLKTPMYFF LRNFSFLEIAFTTACIPRFLMSILTGDKTITYNACVAQLFFFFLLLITEFYLLAAMSYDR YVAICRPLHYPIIMNSKVCHILVLSSWMTGFLSIFPPLMLGLKLEFCASKTVDHFLCDTS PVLQLSCTDTRFIEWMAFVIAIMTLIITLILVILSYTLIIKTILKFPSAQQRKKAFSTCS SHMVVVSITYGSCIFMYIKTSAKERVSLNKGVAVLNTSVAPLLNPFIYTLRNQQVKDAFK QVLQRLCHYQTVN >ENSMUSP00000119960.1 pep:known chromosome:GRCm38:11:11685003:11754083:1 gene:ENSMUSG00000018654.17 transcript:ENSMUST00000126058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf1 description:IKAROS family zinc finger 1 [Source:MGI Symbol;Acc:MGI:1342540] MDVDEGQDMSQVSGKESPPVSDTPDEGDEPMPVPEDLSTTSGAQQNSKSDRGMGERPFQC NQCGASFTQKGNLLRHIKL >ENSMUSP00000067372.5 pep:known chromosome:GRCm38:11:11685925:11772112:1 gene:ENSMUSG00000018654.17 transcript:ENSMUST00000065433.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf1 description:IKAROS family zinc finger 1 [Source:MGI Symbol;Acc:MGI:1342540] MDVDEGQDMSQVSGKESPPVSDTPDEGDEPMPVPEDLSTTSGAQQNSKSDRGMVAYGADG FRDFHAIIPKSFSPSNVKVETQSDEENGRACEMNGEECAEDLRMLDASGEKMNGSHRDQG SSALSGVGGIRLPNGKLKCDICGIVCIGPNVLMVHKRSHTGERPFQCNQCGASFTQKGNL LRHIKLHSGEKPFKCHLCNYACRRRDALTGHLRTHSVGKPHKCGYCGRSYKQRSSLEEHK ERCHNYLESMGLPGMYPVIKEETNHNEMAEDLCKIGAERSLVLDRLASNVAKRKSSMPQK FLGDKCLSDMPYDSANYEKEDMMTSHVMDQAINNAINYLGAESLRPLVQTPPGSSEVVPV ISSMYQLHKPPSDGPPRSNHSAQDAVDNLLLLSKAKSVSSEREASPSNSCQDSTDTESNA EEQRSGLIYLTNHINPHARNGLALKEEQRAYEVLRAASENSQDAFRVVSTSGEQLKVYKC EHCRVLFLDHVMYTIHMGCHGFRDPFECNMCGYHSQDRYEFSSHITRGEHRYHLS >ENSMUSP00000018798.6 pep:known chromosome:GRCm38:11:11686445:11769798:1 gene:ENSMUSG00000018654.17 transcript:ENSMUST00000018798.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf1 description:IKAROS family zinc finger 1 [Source:MGI Symbol;Acc:MGI:1342540] MDVDEGQDMSQVSGKESPPVSDTPDEGDEPMPVPEDLSTTSGAQQNSKSDRGMGERPFQC NQCGASFTQKGNLLRHIKLHSGEKPFKCHLCNYACRRRDALTGHLRTHSVGKPHKCGYCG RSYKQRSSLEEHKERCHNYLESMGLPGMYPVIKEETNHNEMAEDLCKIGAERSLVLDRLA SNVAKRKSSMPQKFLGDKCLSDMPYDSANYEKEDMMTSHVMDQAINNAINYLGAESLRPL VQTPPGSSEVVPVISSMYQLHKPPSDGPPRSNHSAQDAVDNLLLLSKAKSVSSEREASPS NSCQDSTDTESNAEEQRSGLIYLTNHINPHARNGLALKEEQRAYEVLRAASENSQDAFRV VSTSGEQLKVYKCEHCRVLFLDHVMYTIHMGCHGFRDPFECNMCGYHSQDRYEFSSHITR GEHRYHLS >ENSMUSP00000046974.6 pep:known chromosome:GRCm38:11:11686229:11761424:1 gene:ENSMUSG00000018654.17 transcript:ENSMUST00000048122.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf1 description:IKAROS family zinc finger 1 [Source:MGI Symbol;Acc:MGI:1342540] MDVDEGQDMSQVSGKESPPVSDTPDEGDEPMPVPEDLSTTSGAQQNSKSDRGMGERPFQC NQCGASFTQKGNLLRHIKLHSGEKPFKCHLCNYACRRRDALTGHLRTHSVGKPHKCGYCG RSYKQRSSLEEHKERCHNYLESMGLPGMYPVIKEETNHNEMAEDLCKIGAERSLVLDRLA SNVAKRKSSMPQKFLGKS >ENSMUSP00000117958.1 pep:known chromosome:GRCm38:11:11685003:11707800:1 gene:ENSMUSG00000018654.17 transcript:ENSMUST00000141436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf1 description:IKAROS family zinc finger 1 [Source:MGI Symbol;Acc:MGI:1342540] MDVDEGQDMSQVSGKESPP >ENSMUSP00000075992.4 pep:known chromosome:GRCm38:11:11686213:11772926:1 gene:ENSMUSG00000018654.17 transcript:ENSMUST00000076700.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf1 description:IKAROS family zinc finger 1 [Source:MGI Symbol;Acc:MGI:1342540] MDVDEGQDMSQVSGKESPPVSDTPDEGDEPMPVPEDLSTTSGAQQNSKSDRGMASNVKVE TQSDEENGRACEMNGEECAEDLRMLDASGEKMNGSHRDQGSSALSGVGGIRLPNGKLKCD ICGIVCIGPNVLMVHKRSHTGERPFQCNQCGASFTQKGNLLRHIKLHSGEKPFKCHLCNY ACRRRDALTGHLRTHSVGKPHKCGYCGRSYKQRSSLEEHKERCHNYLESMGLPGMYPVIK EETNHNEMAEDLCKIGAERSLVLDRLASNVAKRKSSMPQKFLGDKCLSDMPYDSANYEKE DMMTSHVMDQAINNAINYLGAESLRPLVQTPPGSSEVVPVISSMYQLHKPPSDGPPRSNH SAQDAVDNLLLLSKAKSVSSEREASPSNSCQDSTDTESNAEEQRSGLIYLTNHINPHARN GLALKEEQRAYEVLRAASENSQDAFRVVSTSGEQLKVYKCEHCRVLFLDHVMYTIHMGCH GFRDPFECNMCGYHSQDRYEFSSHITRGEHRYHLS >ENSMUSP00000066181.6 pep:known chromosome:GRCm38:6:71831331:71875244:1 gene:ENSMUSG00000052337.15 transcript:ENSMUST00000064062.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immt description:inner membrane protein, mitochondrial [Source:MGI Symbol;Acc:MGI:1923864] MLRACQLSGVTVAAQSCLCGKFVLRPLRPCRRYSTSSSSGLTAGKIAGAGLLFVGGGIGG TILYAKWDSHFRESVEKTIPYSDKLFGMVLGSAPYTVPLPKKPVQSGPLKISSVSEVMKD SKLPVAQSQKTKGDTPASAASTGAAQIISAAGDTLSVPAPAVQHEDTIKTECPNTNEGKS TSETTEEAFSSSVRERPPEEVAARLAQQEKQEQVEMESLAKSLEDALNRTSSVTLQTITA QNAAVQAVKAHSNILKTAMDNSEIAGEKKSAQWRTVEGALKERRKAVDEAADALLKAKEE LEKMKTIIEDAKKREIAGATPHITAAEGRLHNMIVDLDNVVKKVQAAQSEAKVVSQYHEL VVQARDDFRKELDSITPDITPGWKGMSISDLAGKLSTDDLNSLIAHAHRRIDQLNRELAQ QKATEKQHIELALEKHKLEEKRTFDSAVAKALEHHRSEIQAEQDRKVEEVRDAMENEMRT QLRRQAAAHTDHLRDVLKVQEQELKYEFEQGLSEKLSEQELEFRRRSQEQMDSFTLDINT AYARLRGIEQAVQSHAVAEEEARKAHQLWLSVEALKYSMKTSSAEMPTIPLGSAVEAIRV NCSDNEFTQALTAAIPPESLTRGVYSEETLRARFYAVQKLARRVAMIDETRNSLYQYFLS YLQSLLLFPPKQLKPPAELYPEDINTFKLLSYASYCIEHGDLELAAKFVNQLKGESRRVA QDWLKEARMTLETKQIVEILTAYASAVGIGTTQVQQE >ENSMUSP00000128367.1 pep:known chromosome:GRCm38:6:71831333:71875250:1 gene:ENSMUSG00000052337.15 transcript:ENSMUST00000166975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immt description:inner membrane protein, mitochondrial [Source:MGI Symbol;Acc:MGI:1923864] MLRACQLSGVTVAAQSCLCGKFVLRPLRPCRRYSTSSSSGLTAGKIAGAGLLFVGGGIGG TILYAKWDSHFRESVEKTIPYSDKLFGMVLGSAPYTVPLPKKPVQSGPLKISSVSEVMKD SKLPVAQSQKTKGDTPASAALAKSLEDALNRTSSVTLQTITAQNAAVQAVKAHSNILKTA MDNSEIAGEKKSAQWRTVEGALKERRKAVDEAADALLKAKEELEKMKTIIEDAKKREIAG ATPHITAAEGRLHNMIVDLDNVVKKVQAAQSEAKVVSQYHELVVQARDDFRKELDSITPD ITPGWKGMSISDLAGKLSTDDLNSLIAHAHRRIDQLNRELAQQKATEKQHIELALEKHKL EEKRTFDSAVAKALEHHRSEIQAEQDRKVEEVRDAMENEMRTQLRRQAAAHTDHLRDVLK VQEQELKYEFEQGLSEKLSEQELEFRRRSQEQMDSFTLDINTAYARSCSC >ENSMUSP00000128834.1 pep:known chromosome:GRCm38:6:71831380:71861103:1 gene:ENSMUSG00000052337.15 transcript:ENSMUST00000165331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immt description:inner membrane protein, mitochondrial [Source:MGI Symbol;Acc:MGI:1923864] MLRACQLSGVTVAAQSCLCGKFVLRPLRPCRRYSTSSSSGLTAGKIAGAGLLFVGGGIGG TILYAKWDSHFRESVEKTIPYSDKLFGMVLGSAPYTVPLPKKPVQSGPLKISSVSEVMKD SKLPVAQSQKTKGDTPASAASTGAAQIISAAGDTLSVPAPAVQHEDTIKTECPNTNEGKS TSETTALAKSLEDALNRTSSVTLQTITAQNAAVQAVKAHSNILKTAMDNSEIAGEKKSAQ WRTVEGALKERRKAVDEAADALLKA >ENSMUSP00000109788.3 pep:known chromosome:GRCm38:6:71831410:71875257:1 gene:ENSMUSG00000052337.15 transcript:ENSMUST00000114151.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immt description:inner membrane protein, mitochondrial [Source:MGI Symbol;Acc:MGI:1923864] MLRACQLSGVTVAAQSCLCGKFVLRPLRPCRRYSTSSSSGLTAGKIAGAGLLFVGGGIGG TILYAKWDSHFRESVEKTIPYSDKLFGMVLGSAPYTVPLPKKPVQSGPLKISSVSEVMKD SKLPVAQSQKTKGDTPASAAGDTLSVPAPAVQHEDTIKTECPNTNEGKSTSETTALAKSL EDALNRTSSVTLQTITAQNAAVQAVKAHSNILKTAMDNSEIAGEKKSAQWRTVEGALKER RKAVDEAADALLKAKEELEKMKTIIEDAKKREIAGATPHITAAEGRLHNMIVDLDNVVKK VQAAQSEAKVVSQYHELVVQARDDFRKELDSITPDITPGWKGMTGKLSTDDLNSLIAHAH RRIDQLNRELAQQKATEKQHIELALEKHKLEEKRTFDSAVAKALEHHRSEIQAEQDRKVE EVRDAMENEMRTQLRRQAAAHTDHLRDVLKVQEQELKYEFEQGLSEKLSEQELEFRRRSQ EQMDSFTLDINTAYARLRGIEQAVQSHAVAEEEARKAHQLWLSVEALKYSMKTSSAEMPT IPLGSAVEAIRVNCSDNEFTQALTAAIPPESLTRGVYSEETLRARFYAVQKLARRVAMID ETRNSLYQYFLSYLQSLLLFPPKQLKPPAELYPEDINTFKLLSYASYCIEHGDLELAAKF VNQLKGESRRVAQDWLKEARMTLETKQIVEILTAYASAVGIGTTQVQQE >ENSMUSP00000098859.3 pep:known chromosome:GRCm38:6:71831413:71874930:1 gene:ENSMUSG00000052337.15 transcript:ENSMUST00000101301.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immt description:inner membrane protein, mitochondrial [Source:MGI Symbol;Acc:MGI:1923864] MLRACQLSGVTVAAQSCLCGKFVLRPLRPCRRYSTSSSSGLTAGKIAGAGLLFVGGGIGG TILYAKWDSHFRESVEKTIPYSDKLFGMVLGSAPYTVPLPKKPVQSGPLKISSVSEVMKD SKLPVAQSQKTKGDTPASAAGDTLSVPAPAVQHEDTIKTECPNTNEGKSTSETTEEAFSS SVRERPPEEVAARLAQQEKQEQVEMESLAKSLEDALNRTSSVTLQTITAQNAAVQAVKAH SNILKTAMDNSEIAGEKKSAQWRTVEGALKERRKAVDEAADALLKAKEELEKMKTIIEDA KKREIAGATPHITAAEGRLHNMIVDLDNVVKKVQAAQSEAKVVSQYHELVVQARDDFRKE LDSITPDITPGWKGMSISDLAGKLSTDDLNSLIAHAHRRIDQLNRELAQQKATEKQHIEL ALEKHKLEEKRTFDSAVAKALEHHRSEIQAEQDRKVEEVRDAMENEMRTQLRRQAAAHTD HLRDVLKVQEQELKYEFEQGLSEKLSEQELEFRRRSQEQMDSFTLDINTAYARLRGIEQA VQSHAVAEEEARKAHQLWLSVEALKYSMKTSSAEMPTIPLGSAVEAIRVNCSDNEFTQAL TAAIPPESLTRGVYSEETLRARFYAVQKLARRVAMIDETRNSLYQYFLSYLQSLLLFPPK QLKPPAELYPEDINTFKLLSYASYCIEHGDLELAAKFVNQLKGESRRVAQDWLKEARMTL ETKQIVEILTAYASAVGIGTTQVQQE >ENSMUSP00000128967.1 pep:known chromosome:GRCm38:6:71831418:71875250:1 gene:ENSMUSG00000052337.15 transcript:ENSMUST00000166938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immt description:inner membrane protein, mitochondrial [Source:MGI Symbol;Acc:MGI:1923864] MLRACQLSGVTVAAQSCLCGKFVLRPLRPCRRYSTSSSSGLTAGKIAGAGLLFVGGGIGG TILYAKWDSHFRESVEKTIPYSDKLFGMVLGSAPYTVPLPKKPVQSGPLKISSVSEVMKD SKLPVAQSQKTKGDTPASAALAKSLEDALNRTSSVTLQTITAQNAAVQAVKAHSNILKTA MDNSEIAGEKKSAQWRTVEGALKERRKAVDEAADALLKAKEELEKMKTIIEDAKKREIAG ATPHITAAEGRLHNMIVDLDNVVKKVQAAQSEAKVVSQYHELVVQARDDFRKELDSITPD ITPGWKGMSISDLAGKLSTDDLNSLIAHAHRRIDQLNRELAQQKATEKQHIELALEKHKL EEKRTFDSAVAKALEHHRSEIQAEQDRKVEEVRDAMENEMRTQLRRQAAAHTDHLRDVLK VQEQELKYEFEQGLSEKLSEQELEFRRRSQEQMDSFTLDINTAYARLRGIEQAVQSHAVA EEEARKAHQLWLSVEALKYSMKTSSAEMPTIPLGSAVEAIRVNCSDNEFTQALTAAIPPE SLTRGVYSEETLRARFYAVQKLARRVAMIDETRNSLYQYFLSYLQSLLLFPPKQLKPPAE LYPEDINTFKLLSYASYCIEHGDLELAAKFVNQLKGESRRVAQDWLKEARMTLETKQIVE ILTAYASAVGIGTTQVQQE >ENSMUSP00000145872.1 pep:known chromosome:GRCm38:6:71831432:71877385:1 gene:ENSMUSG00000052337.15 transcript:ENSMUST00000207003.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Immt description:inner membrane protein, mitochondrial [Source:MGI Symbol;Acc:MGI:1923864] MLRACQLSGVTVAAQSCLCGKFVLRPLRPCRRYSTSSSSGLTAGKIAGAGLLFVGGGIGG TILYAKWDSHFRESVEKTIPYSDKLFGMVLGSAPYTVPLPKKPVQSGPLKISSVSEVMKD SKLPVAQSQKTKGDTPASAAGDTLSVPAPAVQHEDTIKTECPNTNEGKSTSETTALAKSL EDALNRTSSVTLQTITAQNAAVQAVKAHSNILKTAMDNSEIAGEKKSAQWRTVEGALKER RKAVDEAADALLKAKEELEKMKTIIEDAKKREIAGATPHITAAEGRLHNMIVDLDNVVKK VQAAQSEAKVVSQYHELVVQARDDFRKELDSITPDITPGWKGMSISDLAGKLSTDDLNSL IAHAHRRIDQLNRELAQQKATEKQHIELALEKHKLEEKRTFDSAVAKALEHHRSEIQAEQ DRKVEEVRDAMENEMRTQLRRQAAAHTDHLRDVLKVQEQELKYEFEQVMQLLKKKPEKPT SSGFQWRH >ENSMUSP00000133017.1 pep:known chromosome:GRCm38:6:71831459:71856955:1 gene:ENSMUSG00000052337.15 transcript:ENSMUST00000171057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immt description:inner membrane protein, mitochondrial [Source:MGI Symbol;Acc:MGI:1923864] MLRACQLSGVTVAAQSCLCGKFVLRPLRPCRRYSTSSSSGLTAGKIAGAGLLFVGGGIGG TILYAKWDSHFRESVEKTIPYSDKLFGMVLGSAPYTVPLPKKPVQSGPLKISSVSEVMKD SKLPVAQSQKTKGDTPASAGDTLSVPAPAVQHEDTIKTECPNTNEGKSTSETTALAKSLE DALNRTSS >ENSMUSP00000145642.1 pep:known chromosome:GRCm38:6:71871497:71874532:1 gene:ENSMUSG00000052337.15 transcript:ENSMUST00000206330.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immt description:inner membrane protein, mitochondrial [Source:MGI Symbol;Acc:MGI:1923864] XDHLRDVLKVQEQELKYEFEQVMQLLKKKPEKPTSSGFQWRH >ENSMUSP00000146205.1 pep:known chromosome:GRCm38:6:71871536:71877388:1 gene:ENSMUSG00000052337.15 transcript:ENSMUST00000205628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immt description:inner membrane protein, mitochondrial [Source:MGI Symbol;Acc:MGI:1923864] XLKYEFEQGLSEKLSEQELEFRRRSQEQMDSFTLDINTAYARLRGIEQAVQSHAVAEEEA RKAHQLWLSVEALKYSMKTSSAEMPTIPLGSAVEAIRVNCSDNEFTQALTAAIPPESLTR GVYSEETLRARFYAVQKLARRVAMIDETRNSLYQYFLSYLQSLLLFPPKQLKPPAELYPE DINTFKLLSYASYCIEHGDLELAAKFVNQLKGESRRVAQDWLKEARMTLETKQIVEILTA YASAVGIGTTQVQQEFWIKAVFKSQMSNPTSFKVTRLNSVQKNRSSPLI >ENSMUSP00000139596.1 pep:known chromosome:GRCm38:Y:35895328:35921526:-1 gene:ENSMUSG00000096650.7 transcript:ENSMUST00000188585.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20896 description:predicted gene, 20896 [Source:MGI Symbol;Acc:MGI:5434252] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000137594.1 pep:known chromosome:GRCm38:Y:35898093:35920097:-1 gene:ENSMUSG00000096650.7 transcript:ENSMUST00000180332.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20896 description:predicted gene, 20896 [Source:MGI Symbol;Acc:MGI:5434252] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000032422.5 pep:known chromosome:GRCm38:6:113483297:113493338:1 gene:ENSMUSG00000030284.11 transcript:ENSMUST00000032422.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creld1 description:cysteine-rich with EGF-like domains 1 [Source:MGI Symbol;Acc:MGI:2152539] MAPLPPRGLVPSLLWCLSLFLSLPGPVWLQPSPPPHPSPRAEPHPCHTCRALVDNFNKGL ERTIRDNFGGGNTAWEEEKLSKYKDSETRLVEVLEGVCSRSDFECHRLLELSEELVENWW FHRQQEAPDLFQWLCSDSLKLCCPSGTFGPSCLPCPGGTERPCGGYGQCEGEGTRGGSGH CDCQAGYGGEACGQCGLGYFEAERNSSHLVCSACFGPCARCTGPEESHCLQCKKGWALHH LKCVDIDECGTEQATCGADQFCVNTEGSYECRDCAKACLGCMGAGPGRCKKCSRGYQQVG SKCLDVDECETVVCPGENEKCENTEGGYRCVCAEGYRQEDGICVKEQVPESAGFFAEMTE DEMVVLQQMFFGVIICALATLAAKGDLVFTAIFIGAVAAMTGYWLSERSDRVLEGFIKGR >ENSMUSP00000113962.1 pep:known chromosome:GRCm38:14:63436394:63465498:1 gene:ENSMUSG00000035095.11 transcript:ENSMUST00000121288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam167a description:family with sequence similarity 167, member A [Source:MGI Symbol;Acc:MGI:3606565] MSVPQIQVEEVSEKDRPAGAAVPPDDHLLSLKALTEKLRLETRRPSYLEWQARLEEQTWP FPRPAAQQEASLEQGACGGGEPLMPLKEPRDLLPPSASAGRGDRPLTTGKLEGFQSIDEA IAWLRKELAEMRLQDQQLARQLMRLRGDINKLKIEQTCRLHRRMLNDAAFELEERDELSD LFCDSPLASSFSLSMPLKLIGVTKMNINSRRFSLC >ENSMUSP00000037018.7 pep:known chromosome:GRCm38:3:83007724:83015049:1 gene:ENSMUSG00000033860.13 transcript:ENSMUST00000048486.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgg description:fibrinogen gamma chain [Source:MGI Symbol;Acc:MGI:95526] MSWSLQPPSFLLCCLLLLFSPTGLAYVATRDNCCILDERFGSFCPTTCGIADFLSSYQTD VDNDLRTLEDILFRAENRTTEAKELIKAIQVYYNPDQPPKPGMIDSATQKSKKMVEEIVK YEALLLTHETSIRYLQEIYNSNNQKITNLKQKVAQLEAQCQEPCKDSVQIHDTTGKDCQE IANKGAKESGLYFIRPLKAKQQFLVYCEIDGSGNGWTVLQKRIDGSLDFKKNWIQYKEGF GHLSPTGTTEFWLGNEKIHLISMQSTIPYALRIQLKDWNGRTSTADYAMFRVGPESDKYR LTYAYFIGGDAGDAFDGYDFGDDPSDKFFTSHNGMQFSTWDNDNDKFEGNCAEQDGSGWW MNKCHAGHLNGVYHQGGTYSKSSTTNGFDDGIIWATWKSRWYSMKETTMKIIPFNRLSIG EGQQHHMGGSKQAGDV >ENSMUSP00000141648.1 pep:known chromosome:GRCm38:3:83007861:83014705:1 gene:ENSMUSG00000033860.13 transcript:ENSMUST00000194175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgg description:fibrinogen gamma chain [Source:MGI Symbol;Acc:MGI:95526] MSWSLQPPSFLLCCLLLLFSPTGLAYVATRDNCCILDERFGSFCPTTCGIADFLSSYQTD VDNDLRTLEDILFRAENRTTEAKELIKAIQVYYNPDQPPKPGMIDSATQKSKKMVEEIVK YEALLLTHETSIRYLQEIYNSNNQKITNLKQKVAQLEAQCQEPCKDSVQIHDTTGKDCQE IANKGAKESGLYFIRPLKAKQQFLVYCEIDGSGNGWTVLQKRIDGSLDFKKNWIQYKEGF GHLSPTGTTEFWLGNEKIHLISMQSTIPYALRIQLKDWNGRTSTADYAMFRVGPESDKYR LTYAYFIGGDAGDAFDGYDFGDDPSDKFFTSHNGMQFSTWDNDNDKFEGNCAEQDGSGWW MNKCHAGHLNGVYHQGGTYSKSSTTNGFDDGIIWATWKSRWYSMKETTMKIIPFNRLSIG EGQQHHMGGSKQVSVDHEVEIEY >ENSMUSP00000138700.1 pep:known chromosome:GRCm38:16:29395853:29456580:-1 gene:ENSMUSG00000038094.15 transcript:ENSMUST00000182573.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp13a4 description:ATPase type 13A4 [Source:MGI Symbol;Acc:MGI:1924456] XGAVRAVVLQTGFNTAKGDLVRSILYPKPMNFKLYRDAIRFLLCLVGTATIGMVYTLCVY VLSGEPPEEVVRKALDVITIAVPPALPAALTTGIIYAQRRLKKKGIFCISPQRINVCGQL NLVCFDKTGTLTRGGLDPWGVVPCDQNGFQAVHSFASGKALPQGPLCAAMASCHSLILLD GTIQGDPLDLKMFEATKWEMTASGDDFHIKEMLAHTIVVKPTDMVAQVPAEGLAIVHQFP FSSALQRMTVIVQEMGGGRLAFMKGAPERVASFCQPDTVPTSFISELQIYTTQGFRVIAL AYKKLEMDCPTTALMREKVESDLVFLGLLILENRLKEETKPVLEELISARIRTVMITGDN LQTAITVARKSGMVSEGQKVILVEANEATGSSSASISWKLVEEKKPGPFGSQDTYINIRE EVPENGRDGSYHFALSGKSFHVISQYFSSLLPKILINGTIFARMSPGQKSSLVEEFQKLD YFVGMCGDGANDCGALKMAHVGISLSEQEASVASPFTSKTPNIECVPHLIKEGRAALVTS FCMFKYMALYSMIQRQTAFQITSFYSRIWPSRLLLV >ENSMUSP00000138479.1 pep:known chromosome:GRCm38:16:29396028:29541597:-1 gene:ENSMUSG00000038094.15 transcript:ENSMUST00000182627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a4 description:ATPase type 13A4 [Source:MGI Symbol;Acc:MGI:1924456] MGDHLEKSQHALLNEGDENEMEIFGYRTQGCRKALCLIGSIFSLGMLPLVFYWRPAWRVW ANCVPCSLQEADVVLLKTTDEFKIYSWKKVIWISLSALSSTSGLTPDHPLITDEGYIINR AIRKPDLKVRYIKVQKIRYVWNNLEGQFQKIGSLEDWLSSAKIHQKFGLGLTSEEQEIRR LICGPNAIDVEITPIWKLLIKEVLNPFYIFQLFSVCLWFSEDYKEYALAIILMSVISIAL TVYDLRQQSVKLHHLVESHNSITVSVYERKAGAQDLESRLLVPGDLLILTGSRVQMPCDA ILIDGSCVVDEGMLTGESIPVTKTPLSQTASSVPWKMQSEADPRRHVLFCGTEVIQAKAA GSGAVRAVVLQTGFNTAKGDLVRSILYPKPMNFKLYRDAIRFLLCLVGTATIGMVYTLCV YVLSGEPPEEVVRKALDVITIAVPPALPAALTTGIIYAQRRLKKKGIFCISPQRINVCGQ LNLVCFDKTGTLTRGGLDPWGVVPCDQNGFQAVHSFASGKALPQGPLCAAMASCHSLILL DGTIQGDPLDLKMFEATKWEMTASGDDFHIKEMLAHTIVVKPTDMVAQVPAEGLAIVHQF PFSSALQRMTVIVQEMGGGRLAFMKGAPERVASFCQPDTVPTSFISELQIYTTQGFRVIA LAYKKLEMDCPTTALMREKVESDLVFLGLLILENRLKEETKPVLEELISARIRTVMITGD NLQTAITVARKSGMVSEGQKVILVEANEATGSSSASISWKLVEEKKPGPFGSQDTYINIR EEVPENGRDGSYHFALSGKSFHVISQYFSSLLPKILINGTIFARMSPGQKSSLVEEFQKL DYFVGMCGDGANDCGALKMAHVGISLSEQEASVASPFTSKTPNIECVPHLIKEGRAALVT SFCMFKYMALYSMIQYVGVLLLYWKTNSLSNYQFLFQDLAITTLIGVTMNLNGANPKLVP FRPAGRLISPPLLLSVVLNILLSLAMHIVGFILVQKQPWYIMDYHSVCPVRNESASALAA SPSVPEKTRSNSTFASFENTTIWFLGTINCIFVALVFSKGKPFRQPTYTNYIFVLVLILQ MGVCLFILFADIPEMHRRLDLLCTPVLWRVYILIMISSNFVVSLAVEKAIIENRALWIAV KRCFGYQSKSQYRIWQRNLANDSSWPPLNQTSYSDMQGVSYSNPVFESNEEQL >ENSMUSP00000060987.8 pep:known chromosome:GRCm38:16:29396041:29541483:-1 gene:ENSMUSG00000038094.15 transcript:ENSMUST00000057018.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a4 description:ATPase type 13A4 [Source:MGI Symbol;Acc:MGI:1924456] MGDHLEKSQHALLNEGDENEMEIFGYRTQGCRKALCLIGSIFSLGMLPLVFYWRPAWRVW ANCVPCSLQEADVVLLKTTDEFKIYSWKKVIWISLSALSSTSGLTPDHPLITDEGYIINR AIRKPDLKVRYIKVQKIRYVWNNLEGQFQKIGSLEDWLSSAKIHQKFGLGLTSEEQEIRR LICGPNAIDVEITPIWKLLIKEVLNPFYIFQLFSVCLWFSEDYKEYALAIILMSVISIAL TVYDLRQQSVKLHHLVESHNSITVSVYERKAGAQDLESRLLVPGDLLILTGSRVQMPCDA ILIDGSCVVDEGMLTGESIPVTKTPLSQTASSVPWKMQSEADPRRHVLFCGTEVIQAKAA GSGAVRAVVLQTGFNTAKGDLVRSILYPKPMNFKLYRDAIRFLLCLEPPEEVVRKALDVI TIAVPPALPAALTTGIIYAQRRLKKKGIFCISPQRINVCGQLNLVCFDKTGTLTRGGLDP WGVVPCDQNGFQAVHSFASGKALPQGPLCAAMASCHSLILLDGTIQGDPLDLKMFEATKW EMTASGDDFHIKEMLAHTIVVKPTDMVAQVPAEGLAIVHQFPFSSALQRMTVIVQEMGGG RLAFMKGAPERVASFCQPDTVPTSFISELQIYTTQGFRVIALAYKKLEMDCPTTALMREK VESDLVFLGLLILENRLKEETKPVLEELISARIRTVMITGDNLQTAITVARKSGMVSEGQ KVILVEANEATGSSSASISWKLVEEKKPGPFGSQDTYINIREEVPENGRDGSYHFALSGK SFHVISQYFSSLLPKILINGTIFARMSPGQKSSLVEEFQKLDYFVGMCGDGANDCGALKM AHVGISLSEQEASVASPFTSKTPNIECVPHLIKEGRAALVTSFCMFKYMALYSMIQYVGV LLLYWKTNSLSNYQFLFQDLAITTLIGVTMNLNGANPKLVPFRPAGRLISPPLLLSVVLN ILLSLAMHIVGFILVQKQPWYIMDYHSVCPVRNESASALAASPSVPEKTRSNSTFASFEN TTIWFLGTINCIFVALVFSKGKPFRQPTYTNYIFVLVLILQMGVCLFILFADIPEMHRRL DLLCTPVLWRVYILIMISSNFVVSLAVEKAIIENRALWIAVKRCFGYQSKSQYRIWQRNL ANDSSWPPLNQTSYSDMQGVSYSNPVFESNEEQL >ENSMUSP00000138583.1 pep:known chromosome:GRCm38:16:29396091:29544864:-1 gene:ENSMUSG00000038094.15 transcript:ENSMUST00000182013.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp13a4 description:ATPase type 13A4 [Source:MGI Symbol;Acc:MGI:1924456] MGDHLEKSQHALLNEGDENEMEIFGYRTQGCRKALCLIGSIFSLGMLPLVFYWRPAWRVW ANCVPCSLQEADVVLLKTTTRDTS >ENSMUSP00000138515.1 pep:known chromosome:GRCm38:16:29420736:29441160:-1 gene:ENSMUSG00000038094.15 transcript:ENSMUST00000182168.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp13a4 description:ATPase type 13A4 [Source:MGI Symbol;Acc:MGI:1924456] XTVPTSFISELQIYTTQGFRVIALAYKKLEMDCPTTALMREKVESDLVFLGLLILENRLK EETKPVLEELISARIRTVMITGHLYQH >ENSMUSP00000048753.7 pep:known chromosome:GRCm38:16:29420745:29544864:-1 gene:ENSMUSG00000038094.15 transcript:ENSMUST00000039090.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a4 description:ATPase type 13A4 [Source:MGI Symbol;Acc:MGI:1924456] MGDHLEKSQHALLNEGDENEMEIFGYRTQGCRKALCLIGSIFSLGMLPLVFYWRPAWRVW ANCVPCSLQEADVVLLKTTDEFKIYSWKKVIWISLSALSSTSGLTPDHPLITDEGYIINR AIRKPDLKVRYIKVQKIRYVWNNLEGQFQKIGSLEDWLSSAKIHQKFGLGLTSEEQEIRR LICGPNAIDVEITPIWKLLIKEVLNPFYIFQLFSVCLWFSEDYKEYALAIILMSVISIAL TVYDLRQQSVKLHHLVESHNSITVSVYERKAGAQDLESRLLVPGDLLILTGSRVQMPCDA ILIDGSCVVDEGMLTGESIPVTKTPLSQTASSVPWKMQSEADPRRHVLFCGTEVIQAKAA GSGAVRAVVLQTGFNTAKGDLVRSILYPKPMNFKLYRDAIRFLLCLVGTATIGMVYTLCV YVLSGEPPEEVVRKALDVITIAVPPALPAALTTGIIYAQRRLKKKGIFCISPQRINVCGQ LNLVCFDKTGTLTRGGLDPWGVVPCDQNGFQAVHSFASGKALPQGPLCAAMASCHSLILL DGTIQGDPLDLKMFEATKWEMTASGDDFHIKEMLAHTIVVKPTDMVAQVPAEGLAIVHQF PFSSALQRMTVIVQEMGGGRLAFMKGAPERVASFCQPDTVPTSFISELQIYTTQGFRVIA LAYKKLEMDCPTTALMREKVESDLVFLGLLILENRLKEETKPVLEELISARIRTVMITGD NLQTAITVARKSGMVSEGQKVILVEANEATGSSSASISWKLVEEKKPGPFGSQDTYINIR EEVPENGRDGSYHFALSGKSFHVISQYFSSLLPKLVMNNFLGSSCVFAFSWVLLYVSIVI PRIPLVQLSVKLKIFQDMAEKAVFSMAAGFNYTGHLKK >ENSMUSP00000137749.1 pep:known chromosome:GRCm38:9:40972753:40984467:-1 gene:ENSMUSG00000032021.13 transcript:ENSMUST00000180872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crtam description:cytotoxic and regulatory T cell molecule [Source:MGI Symbol;Acc:MGI:1859822] MWLKLISVVAEFCFSPFLVADQETSDQETSDAPEQSSLSSQALQQPTSTVSMMENSSIPE TDKEEKEHATQDPGLSTEASAQHTGLARRKSGILLLTLVSFLIFILFIIVQLFIMKLRKA HVVWKKESEISEQALESYRSRSNNEETSSQENSSQAPQSKRCMNYITRLYSGAKTKKSAQ HWKLGGKHSRVPESIV >ENSMUSP00000139826.1 pep:known chromosome:GRCm38:9:40972796:41004586:-1 gene:ENSMUSG00000032021.13 transcript:ENSMUST00000188848.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crtam description:cytotoxic and regulatory T cell molecule [Source:MGI Symbol;Acc:MGI:1859822] MWWGALSLLFWVPVQAAFLKMETVTVEEGQTLTLTCVTSQTKNVSLQWLAPSGFTIFLNQ HPALKSSKYQLLHHSATQLSISVSNVTLREEGVYTCLHYGSSVKTKQVRVTVLVTPFQPT VEALVLRRQNGEKSVVLKCSTERSKPPPQITWLLGEGLEIYGELNHEFEADGKICNTSSM LIARAYGKNSTVHCIIQHEGLHGRKLVAPFQFEDLVADQETSDQETSDAPEQSSLSSQAL QQPTSTVSMMENSSIPETDKEEKEHATQDPGLSTASAQHTGLARRKSGILLLTLVSFLIF ILFIIVQLFIMKLRKAHVVWKKESEISEQALESYRSRSNNEETSSQENSSQAPQSKRCMN YITRLYSGAKTKKSAQHWKLGGKHSRVPESIV >ENSMUSP00000137837.1 pep:known chromosome:GRCm38:9:40972799:41004628:-1 gene:ENSMUSG00000032021.13 transcript:ENSMUST00000180384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crtam description:cytotoxic and regulatory T cell molecule [Source:MGI Symbol;Acc:MGI:1859822] MWWGALSLLFWVPVQAAFLKMETVTVEEGQTLTLTCVTSQTKNVSLQWLAPSGFTIFLNQ HPALKSSKYQLLHHSATQLSISVSNVTLREEGVYTCLHYGSSVKTKQVRVTVLVTPFQPT VEALVLRRQNGEKSVVLKCSTERSKPPPQITWLLGEGLEIYGELNHEFEADGKICNTSSM LIARAYGKNSTVHCIIQHEGLHGRKLVAPFQFEDLVADQETSDQETSDAPEQSSLSSQAL QQPTSTVSMMENSSIPETDKEEKEHATQDPGLSTEASAQHTGLARRKSGILLLTLVSFLI FILFIIVQLFIMKLRKAHVVWKKESEISEQALESYRSRSNNEETSSQENSSQAPQSKRCM NYITRLYSGAKTKKSAQHWKLGGKHSRVPESIV >ENSMUSP00000034519.6 pep:known chromosome:GRCm38:9:40972798:41004586:-1 gene:ENSMUSG00000032021.13 transcript:ENSMUST00000034519.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crtam description:cytotoxic and regulatory T cell molecule [Source:MGI Symbol;Acc:MGI:1859822] MWWGALSLLFWVPVQAAFLKMETVTVEEGQTLTLTCVTSQTKNVSLQWLAPSGFTIFLNQ HPALKSSKYQLLHHSATQLSISVSNVTLREEGVYTCLHYGSSVKTKQVRVTVLVTPFQPT VEALVLRRQNGEKSVVLKCSTERSKPPPQITWLLGEGLEIYGELNHEFEADGKICNTSSM LIARAYGKNSTVHCIIQHEGLHGRKLVAPFQFEDLDQETSDAPEQSSLSSQALQQPTSTV SMMENSSIPETDKEEKEHATQDPGLSTEASAQHTGLARRKSGILLLTLVSFLIFILFIIV QLFIMKLRKAHVVWKKESEISEQALESYRSRSNNEETSSQENSSQAPQSKRCMNYITRLY SGAKTKKSAQHWKLGGKHSRVPESIV >ENSMUSP00000103285.1 pep:known chromosome:GRCm38:11:96817431:96827955:-1 gene:ENSMUSG00000038615.17 transcript:ENSMUST00000107658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l1 description:nuclear factor, erythroid derived 2,-like 1 [Source:MGI Symbol;Acc:MGI:99421] MLSLKKYLTEGLLQFTILLSLIGVRVDVDTYLTSQLPPLREIILGPSSAYTQTQFHNLRN TLDGYGIHPKSIDLDNYFTARRLLSQVRALDRFQVPTTEVNAWLVHRDPEGSVSGSQPNS GLALESSSGLQDVTGPDNGVRESETEQGFGEDLEDLGAVAPPVSGDLTKEDIDLIDILWR QDIDLGAGREVFDYSHRQKEQDVDKELQDGREREDTWSGEGAEALARDLLVDGETGESFP AQFPADVSSIPEAVPSESESPALQNSLLSPLLTGTESPFDLEQQWQDLMSIMEMQAMEVN TSASEILYNAPPGDPLSTNYSLAPNTPINQNVSLHQASLGGCSQDFSLFSPEVESLPVAS SSTLLPLVPSNSTSLNSTFGSTNLAGLFFPSQLNGTANDTSGPELPDPLGGLLDEAMLDE ISLMDLAIEEGFNPVQASQLEEEFDSDSGLSLDSSHSPSSLSSSEGSSSSSSSSSSSSAS SSASSSFSEEGAVGYSSDSETLDLEEAEGAVGYQPEYSKFCRMSYQDPSQLSCLPYLEHV GHNHTYNMAPSALDSADLPPPSTLKKGSKEKQADFLDKQMSRDEHRARAMKIPFTNDKII NLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQNCRKRKLDTILNLERDVEDLQR DKARLLREKVEFLRSLRQMKQKVQSLYQEVFGRLRDEHGRPYSPSQYALQYAGDGSVLLI PRTMADQQARRQERKPKDRRK >ENSMUSP00000103286.1 pep:known chromosome:GRCm38:11:96817599:96827719:-1 gene:ENSMUSG00000038615.17 transcript:ENSMUST00000107659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l1 description:nuclear factor, erythroid derived 2,-like 1 [Source:MGI Symbol;Acc:MGI:99421] MLSLKKYLTEGLLQFTILLSLIGVRVDVDTYLTSQLPPLREIILGPSSAYTQTQFHNLRN TLDGYGIHPKSIDLDNYFTARRLLSQVRALDRFQVPTTEVNAWLVHRDPEGSVSGSQPNS GLALESSSGLQDVTGPDNGVRESETEQGFGEDLEDLGAVAPPVSGDLTKEDIDLIDILWR QDIDLGAGREVFDYSHRQKEQDVDKELQDGREREDTWSGEGAEALARDLLVDGETGESFP AQFPADVSSIPEAVPSESESPALQNSLLSPLLTGTESPFDLEQQWQDLMSIMEMQAMEVN TSASEILYNAPPGDPLSTNYSLAPNTPINQNVSLHQASLGGCSQDFSLFSPEVESLPVAS SSTLLPLVPSNSTSLNSTFGSTNLAGLFFPSQLNGTANDTSGPELPDPLGGLLDEAMLDE ISLMDLAIEEGFNPVQASQLEEEFDSDSGLSLDSSHSPSSLSSSEGSSSSSSSSSSSSAS SSASSSFSEEGAVGYSSDSETLDLEEAEGAVGYQPEYSKFCRMSYQDPSQLSCLPYLEHV GHNHTYNMAPSALDSADLPPPSTLKKGSKEKQADFLDKQMSRDEHRARAMKIPFTNDKII NLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQNCRKRKLDTILNLERDVEDLQR DKARLLREKENEKCMQGAGDDPPLGFQLQAASSQPVQPGLLEDSPGGGSEGQRQDSQVVG SRTTVSFFCCWFFVVVVCLFVF >ENSMUSP00000103284.1 pep:known chromosome:GRCm38:11:96818182:96829955:-1 gene:ENSMUSG00000038615.17 transcript:ENSMUST00000107657.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l1 description:nuclear factor, erythroid derived 2,-like 1 [Source:MGI Symbol;Acc:MGI:99421] MLSLKKYLTEGLLQFTILLSLIGVRVDVDTYLTSQLPPLREIILGPSSAYTQTQFHNLRN TLDGYGIHPKSIDLDNYFTARRLLSQVRALDRFQVPTTEVNAWLVHRDPEGSVSGSQPNS GLALESSSGLQDVTGPDNGVRESETEQGFGEDLEDLGAVAPPVSGDLTKEDIDLIDILWR QDIDLGAGREVFDYSHRQKEQDVDKELQDGREREDTWSGEGAEALARDLLVDGETGESFP AQFPADVSSIPEAVPSESESPALQNSLLSPLLTGTESPFDLEQQWQDLMSIMEMQAMEVN TSASEILYNAPPGDPLSTNYSLAPNTPINQNVSLHQASLGGCSQDFSLFSPEVESLPVAS SSTLLPLVPSNSTSLNSTFGSTNLAGLFFPSQLNGTANDTSGPELPDPLGGLLDEAMLDE ISLMDLAIEEGFNPVQASQLEEEFDSDSGLSLDSSHSPSSLSSSEGSSSSSSSSSSSSAS SSASSSFSEEGAVGYSSDSETLDLEEAEGAVGYQPEYSKFCRMSYQDPSQLSCLPYLEHV GHNHTYNMAPSALDSADLPPPSTLKKGSKEKQADFLDKQMSRDEHRARAMKIPFTNDKII NLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQNCRKRKLDTILNLERDVEDLQR DKARLLREKVEFLRSLRQMKQKVQSLYQEVFGRLRDEHGRPYSPSQYALQYAGDGSVLLI PRTMADQQARRQERKPKDRRK >ENSMUSP00000120512.1 pep:known chromosome:GRCm38:11:96820093:96824127:-1 gene:ENSMUSG00000038615.17 transcript:ENSMUST00000126949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l1 description:nuclear factor, erythroid derived 2,-like 1 [Source:MGI Symbol;Acc:MGI:99421] MSIMEMQAMEVNTSASEILYNAPPGDPLSTNYSLAPNTPINQNVSLHQASLGGCSQDFSL FSPEVESLPVASSSTLLPLVPSNSTSLNSTFGSTNLAGLFFPSQLNGTANDTSGPELPDP LGGLLDEAMLDEISLMDLAIEEGFNPVQ >ENSMUSP00000121423.1 pep:known chromosome:GRCm38:11:96820470:96824008:-1 gene:ENSMUSG00000038615.17 transcript:ENSMUST00000142065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l1 description:nuclear factor, erythroid derived 2,-like 1 [Source:MGI Symbol;Acc:MGI:99421] MGWESRLTAASADIDLIDILWRQDIDLGAGREVFDYSHRQKEQDVDKELQDGREREDTWS GEGAEALARDLLVDGETGESFPAQFPADVSSIPEAVPSESESPALQNSLLSPLLTGTESP FDLEQQWQDLMSIMEMQAMEVNTSASEILYNAP >ENSMUSP00000131585.1 pep:known chromosome:GRCm38:11:96817414:96824127:-1 gene:ENSMUSG00000038615.17 transcript:ENSMUST00000169828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l1 description:nuclear factor, erythroid derived 2,-like 1 [Source:MGI Symbol;Acc:MGI:99421] MSIMEMQAMEVNTSASEILYNAPPGDPLSTNYSLAPNTPINQNVSLHQASLGGCSQDFSL FSPEVESLPVASSSTLLPLVPSNSTSLNSTFGSTNLAGLFFPSQLNGTANDTSGPELPDP LGGLLDEAMLDEISLMDLAIEEGFNPVQASQLEEEFDSDSGLSLDSSHSPSSLSSSEGSS SSSSSSSSSSASSSASSSFSEEGAVGYSSDSETLDLEEAEGAVGYQPEYSKFCRMSYQDP SQLSCLPYLEHVGHNHTYNMAPSALDSADLPPPSTLKKGSKEKQADFLDKQMSRDEHRAR AMKIPFTNDKIINLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQNCRKRKLDTI LNLERDVEDLQRDKARLLREKVEFLRSLRQMKQKVQSLYQEVFGRLRDEHGRPYSPSQYA LQYAGDGSVLLIPRTMADQQARRQERKPKDRRK >ENSMUSP00000127804.1 pep:known chromosome:GRCm38:11:96817414:96824013:-1 gene:ENSMUSG00000038615.17 transcript:ENSMUST00000167110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l1 description:nuclear factor, erythroid derived 2,-like 1 [Source:MGI Symbol;Acc:MGI:99421] MGWESRLTAASADIDLIDILWRQDIDLGAGREVFDYSHRQKEQDVDKELQDGREREDTWS GEGAEALARDLLVDGETGESFPAQFPADVSSIPEAVPSESESPALQNSLLSPLLTGTESP FDLEQQWQDLMSIMEMQAMEVNTSASEILYNAPPGDPLSTNYSLAPNTPINQNVSLHQAS LGGCSQDFSLFSPEVESLPVASSSTLLPLVPSNSTSLNSTFGSTNLAGLFFPSQLNGTAN DTSGPELPDPLGGLLDEAMLDEISLMDLAIEEGFNPVQASQLEEEFDSDSGLSLDSSHSP SSLSSSEGSSSSSSSSSSSSASSSASSSFSEEGAVGYSSDSETLDLEEAEGAVGYQPEYS KFCRMSYQDPSQLSCLPYLEHVGHNHTYNMAPSALDSADLPPPSTLKKGSKEKQADFLDK QMSRDEHRARAMKIPFTNDKIINLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQ NCRKRKLDTILNLERDVEDLQRDKARLLREKVEFLRSLRQMKQKVQSLYQEVFGRLRDEH GRPYSPSQYALQYAGDGSVLLIPRTMADQQARRQERKPKDRRK >ENSMUSP00000080467.5 pep:known chromosome:GRCm38:11:96817414:96829968:-1 gene:ENSMUSG00000038615.17 transcript:ENSMUST00000081775.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l1 description:nuclear factor, erythroid derived 2,-like 1 [Source:MGI Symbol;Acc:MGI:99421] MLSLKKYLTEGLLQFTILLSLIGVRVDVDTYLTSQLPPLREIILGPSSAYTQTQFHNLRN TLDGYGIHPKSIDLDNYFTARRLLSQVRALDRFQVPTTEVNAWLVHRDPEGSVSGSQPNS GLALESSSGLQDVTGPDNGVRESETEQGFGEDLEDLGAVAPPVSGDLTKEDIDLIDILWR QDIDLGAGREVFDYSHRQKEQDVDKELQDGREREDTWSGEGAEALARDLLVDGETGESFP AQFPADVSSIPEAVPSESESPALQNSLLSPLLTGTESPFDLEQQWQDLMSIMEMQAMEVN TSASEILYNAPPGDPLSTNYSLAPNTPINQNVSLHQASLGGCSQDFSLFSPEVESLPVAS SSTLLPLVPSNSTSLNSTFGSTNLAGLFFPSQLNGTANDTSGPELPDPLGGLLDEAMLDE ISLMDLAIEEGFNPVQASQLEEEFDSDSGLSLDSSHSPSSLSSSEGSSSSSSSSSSSSAS SSASSSFSEEGAVGYSSDSETLDLEEAEGAVGYQPEYSKFCRMSYQDPSQLSCLPYLEHV GHNHTYNMAPSALDSADLPPPSTLKKGSKEKQADFLDKQMSRDEHRARAMKIPFTNDKII NLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQNCRKRKLDTILNLERDVEDLQR DKARLLREKVEFLRSLRQMKQKVQSLYQEVFGRLRDEHGRPYSPSQYALQYAGDGSVLLI PRTMADQQARRQERKPKDRRK >ENSMUSP00000128527.1 pep:known chromosome:GRCm38:11:96817414:96829502:-1 gene:ENSMUSG00000038615.17 transcript:ENSMUST00000167149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l1 description:nuclear factor, erythroid derived 2,-like 1 [Source:MGI Symbol;Acc:MGI:99421] MLSLKKYLTEGLLQFTILLSLIGVRVDVDTYLTSQLPPLREIILGPSSAYTQTQFHNLRN TLDGYGIHPKSIDLDNYFTARRLLSQVRALDRFQVPTTEVNAWLVHRDPEGSVSGSQPNS GLALESSSGLQDVTGPDNGVRESETEQGFGEDLEDLGAVAPPVSGDLTKEDIDLIDILWR QDIDLGAGREVFDYSHRQKEQDVDKELQDGREREDTWSGEGAEALARDLLVDGETGESFP AQFPADVSSIPEAVPSESESPALQNSLLSPLLTGTESPFDLEQQWQDLMSIMEMQAMEVN TSASEILYNAPPGDPLSTNYSLAPNTPINQNVSLHQASLGGCSQDFSLFSPEVESLPVAS SSTLLPLVPSNSTSLNSTFGSTNLAGLFFPSQLNGTANDTSGPELPDPLGGLLDEAMLDE ISLMDLAIEEGFNPVQASQLEEEFDSDSGLSLDSSHSPSSLSSSEGSSSSSSSSSSSSAS SSASSSFSEEGAVGYSSDSETLDLEEAEGAVGYQPEYSKFCRMSYQDPSQLSCLPYLEHV GHNHTYNMAPSALDSADLPPPSTLKKGSKEKQADFLDKQMSRDEHRARAMKIPFTNDKII NLPVEEFNELLSKYQLSEAQLSLIRDIRRRGKNKMAAQNCRKRKLDTILNLERDVEDLQR DKARLLREKVEFLRSLRQMKQKVQSLYQEVFGRLRDEHGRPYSPSQYALQYAGDGSVLLI PRTMADQQARRQERKPKDRRK >ENSMUSP00000121202.1 pep:known chromosome:GRCm38:13:67747801:67755228:-1 gene:ENSMUSG00000058331.14 transcript:ENSMUST00000144183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp85 description:zinc finger protein 85 [Source:MGI Symbol;Acc:MGI:107767] MTPLDRTLLSVLPGSFYVCDSPNSAVFSKLGQCGLFFALNMKDLLSFTDVAIDFSADECE CLDSAQWNLYKEVMLENYSNLVFLGLAFSKPYLVTFLEQSSDPWSMKRKESAAIHLGSKP HKCKECGKAFDRNSVLIQHQRIHTGERSYKCEECGKSFNYSSSFKQHQRIHTGEKPYKCE VCGKAFNCSSYLGKHQRIHTGEKRYKCEECGKPFTNCSGLIVHRRVHTGEKPYKCEECGK AFSVGTTLSNHQRIHTGEKPYKCEECGMAFNVRFLLSKHQQTHTGEKPYKCKECGKAFNC SSSLHQHQQIHRGEKLYKCDDCGQAFSCSSYLYKHRRIHTGMKPSKCKECGKTFYCSVNL IYHQRIHTGEKPYKCNECGKAFSIYSRFMKHQRIHSGEKPYKCKECEKAFNNCYNLIQHQ RIHTGEKPYKCKDCGKAFHYTSSLAQHERIHTGEKPYKCEECGKAFNSSSNLKNHWRLHT GEKPYKCEQCGKAFKDCSSFTRHYRIHTRENPD >ENSMUSP00000089105.6 pep:known chromosome:GRCm38:13:67747800:67755134:-1 gene:ENSMUSG00000058331.14 transcript:ENSMUST00000091520.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp85 description:zinc finger protein 85 [Source:MGI Symbol;Acc:MGI:107767] MKDLLSFTDVAIDFSADECECLDSAQWNLYKEVMLENYSNLVFLGLAFSKPYLVTFLEQS SDPWSMKRKESAAIHLGSKPHKCKECGKAFDRNSVLIQHQRIHTGERSYKCEECGKSFNY SSSFKQHQRIHTGEKPYKCEVCGKAFNCSSYLGKHQRIHTGEKRYKCEECGKPFTNCSGL IVHRRVHTGEKPYKCEECGKAFSVGTTLSNHQRIHTGEKPYKCEECGMAFNVRFLLSKHQ QTHTGEKPYKCKECGKAFNCSSSLHQHQQIHRGEKLYKCDDCGQAFSCSSYLYKHRRIHT GMKPSKCKECGKTFYCSVNLIYHQRIHTGEKPYKCNECGKAFSIYSRFMKHQRIHSGEKP YKCKECEKAFNNCYNLIQHQRIHTGEKPYKCKDCGKAFHYTSSLAQHERIHTGEKPYKCE ECGKAFNSSSNLKNHWRLHTGEKPYKCEQCGKAFKDCSSFTRHYRIHTRENPD >ENSMUSP00000093394.4 pep:known chromosome:GRCm38:17:7324646:7345974:1 gene:ENSMUSG00000071322.12 transcript:ENSMUST00000095726.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10a description:t-complex protein 10a [Source:MGI Symbol;Acc:MGI:98541] MLEDLSQGKGSNHEKRKMESTAQITEEDSKLDEVVGLQKQICDLGTELTRQSSWWCIAHK DLQSQIDALIKENQEIRAELKTLKKQDAEATKACIGSPTPARASNTLPVYIKIEGTDSER TTSWDERDELSGSPPNRSTMATGGTDSQDEMLSFTSVDEKVIHMSSKFLQRSLGRMSPEP LSDSTFLDTESLADIWSSNPETSDGELLLHAQASRVIPCFSPNALWVQNIPTKSRAPKEI QQTSDTTKTDETKEKQHPNGKVERMLSDGRTIITFPNGTRKEISADKKTTLIRFFNGDMK KIKSNQKVIYYYADAQTMHTTYPDGVEVVQFPNKWTEKFYPDGSKETVFPDGTVKRLKDG CEETVFPDGTFVTVKRNGDKTIMFSNGEKEIHTARFKRKEFPDGTTKTVYCNGCQETKYA SGRVRVKDEKGTVILDWK >ENSMUSP00000114351.1 pep:known chromosome:GRCm38:17:7324667:7345502:1 gene:ENSMUSG00000071322.12 transcript:ENSMUST00000128533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10a description:t-complex protein 10a [Source:MGI Symbol;Acc:MGI:98541] MLEDLSQGKGSNHEKRKMESTAQITEEDSKLDEVVGLQKQICDLGTELTRQSSWWCIAHK DLQSQIDALIKENQEIRAELKTLKKQDAEATKACIGSPTPARASNTLPVYIKIEGTDSER TTSWDERDELSGSPPNRSTMATGGTDSQDEMLSFTSVDEKVIHMSSKFLQRSLGRMSPEP LSDSTFLDTESLADIWSSNPETSDGELLLHAQASRVIPCFSPNALWVQNIPTKSRAPKEI QQTSDTTKTDETKEKQHPNGKVERMLSDGRTIITFPNGTRKEISADKKTTLIRFFNGDMK KIKSNQKVIYYYADAQTMHTTYPDGVEVVQFPNKWTEKFYPDGSKETVFPDGTVKRLKDG CEETVFPDGTFVTVKRNGDKTIMFSNGEKEIHTARFKRKEFPDGTTKTVYCNGCQETKYA SGRVRVKDEKGTVILDWK >ENSMUSP00000114830.1 pep:known chromosome:GRCm38:17:7324686:7345198:1 gene:ENSMUSG00000071322.12 transcript:ENSMUST00000129709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10a description:t-complex protein 10a [Source:MGI Symbol;Acc:MGI:98541] MLEDLSQGKGSNHEKRKMESTAQITEEDSKLDEVVGLQKQICDLGTELTRQSSWWCIAHK DLQSQIDALIKENQEIRAELKTLKKQDAEATKACIGSPTPARASNTLPVYIKIEGTDSER TTSWDERDELSGSPPNRSTMATGGTDSQDEMLSFTSVDEKVIHMSSKFLQRSLGRMSPEP LSDSTFLDTESLADIWSSNPETSDGELLLHAQASRVIPCFSPNALWVQNIPTKSRAPKEI QQTSDTTKTDETKEKQHPNGKVERMLSDGRTIITFPNGTRKEISADKKTTLIRFFNGDMK KIKSNQKVIYYYADAQTMHTTYPDGVEVVQFPNKWTEKFYPDGSKETVFPDGTVKRLKDG CEETVFPDGTFVTVKRNGDKTIMFSNGEKEIHTARFKRKEFPDGTTKTVYCNGCQETKYA SGRVRVKDEKGTVILDWK >ENSMUSP00000120513.1 pep:known chromosome:GRCm38:17:7324689:7325899:1 gene:ENSMUSG00000071322.12 transcript:ENSMUST00000147803.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10a description:t-complex protein 10a [Source:MGI Symbol;Acc:MGI:98541] MLEDLS >ENSMUSP00000117557.1 pep:known chromosome:GRCm38:17:7324711:7345013:1 gene:ENSMUSG00000071322.12 transcript:ENSMUST00000140192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10a description:t-complex protein 10a [Source:MGI Symbol;Acc:MGI:98541] MLEDLSQGKGSNHEKRKMESTAQITEEDSKLDEVVGLQKQICDLGTELTRQSSWWCIAHK DLQSQIDALIKENQEIRAELKTLKKQDAEATKACIGSPTPARASNTLPVYIKIEGTDSER TTSWDERDELSGSPPNRSTMATGGTDSQDEMLSFTSVDEKVIHMSSKFLQRSLGRMSPEP LSDSTFLDTESLADIWSSNPETSDGELLLHAQASRVIPCFSPNALWVQNIPTKSRAPKEI QQTSDTTKTDETKEKQHPNGKVERMLSDGRTIITFPNGTRKEISADKKTTLIRFFNGDMK KIKSNQKVIYYYADAQTMHTTYPDGVEVVQFPNKWTEKFYPDGSKETVFPDGTVKRLKDG CEETVFPDGTFVTVKRNGDKTIMFSNGEKEIHTARFKRKEF >ENSMUSP00000115431.1 pep:known chromosome:GRCm38:17:7324734:7345512:1 gene:ENSMUSG00000071322.12 transcript:ENSMUST00000138222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10a description:t-complex protein 10a [Source:MGI Symbol;Acc:MGI:98541] MLEDLSQGKGSNHEKRKMESTAQITEEDSKLDEVVVSQAKKQHLQSQIDALIKENQEIRA ELKTLKKQDAEATKACIGSPTPARASNTLPVYIKIEGTDSERTTSWDERDELSGSPPNRS TMATGGTDSQDEMLSFTSVDEKVIHMSSKFLQRSLGRMSPEPLSDSTFLDTESLADIWSS NPETSDGELLLHAQASRVIPCFSPNALWVQNIPTKSRAPKEIQQTSDTTKTDETKEKQHP NGKVERMLSDGRTIITFPNGTRKEISADKKTTLIRFFNGDMKKIKSNQKVIYYYADAQTM HTTYPDGVEVVQFPNKWTEKFYPDGSKETVFPDGTVKRLKDGCEETVFPDGTFVTVKRNG DKTIMFSNGEKEIHTARFKRKEFPDGTTKTVYCNGCQETKYASGRVRVKDEKGTVILDWK >ENSMUSP00000122766.1 pep:known chromosome:GRCm38:17:7324869:7334337:1 gene:ENSMUSG00000071322.12 transcript:ENSMUST00000144861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10a description:t-complex protein 10a [Source:MGI Symbol;Acc:MGI:98541] MLEDLSQGKGSNHEKRKMESTAQITEEDSKLDEVVGLQKQICDLGTELTRQSSWWCIAHK DLQSQIDALIKENQEIRAELKTLKKQDAEATKACIGSPTPARASNTLPVYIKIEGTDSER TTSWDERDELSGSPPNRSTMATGGTDSQDEMLSFTSVDEKVIHMSSKFLQRSLGRMSPEP LSDSTFLDTESLADIWSSNPETSDGELLLHAQASRVIPCFSPNALWVQNIPTKSRAPKEI QQTSD >ENSMUSP00000140170.1 pep:known chromosome:GRCm38:1:82839483:82901182:1 gene:ENSMUSG00000026159.13 transcript:ENSMUST00000189220.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg1 description:ArfGAP with FG repeats 1 [Source:MGI Symbol;Acc:MGI:1333754] MAASAKRKQEEKHLKMLRDMTGLPHNRKCFDCDQRGPTYVNMTVGSFVCTSCSGSLRGLN PPHRVKSISMTTFTQQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEK YEKKRWYVPPEQAKVVASVHASISGSSASSTSSTPEVKPLKSLLGESAPALHLNKGTPSQ SPVVGRSQGQQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAAQNSANADF ANFDAFGQSSGSSNFGGFPTASHSSFQPQTTGGSAGSVNANFAHFDNFPKSSSADFGTFS TSQSHQTASTVSKVSTNKAGLQTADKYAALANLDNIFSAGQGGDQGSGFGTTGKAPVGSV VSVPSHSSASSDKYAALAELDSVFSSAATSSNAYTPTSNASSSVFGTVPVGASAQTQPAS SGPAPFGATPSTNPFVAATGPSAASSTNPFQTNARGATAATFGTASMSMPAGFGTPAQYS LPTSFSGSFQQPAFPAQAAFPQQTAFSQQPNGFATFGQTKPVVTPFGQVAAAGVSSNPFM TGAPTGQLPTGSSSTNPFL >ENSMUSP00000109071.2 pep:known chromosome:GRCm38:1:82839497:82896381:1 gene:ENSMUSG00000026159.13 transcript:ENSMUST00000113444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg1 description:ArfGAP with FG repeats 1 [Source:MGI Symbol;Acc:MGI:1333754] MAASAKRKQEEKHLKMLRDMTGLPHNRKCFDCDQRGPTYVNMTVGSFVCTSCSGSLRGLN PPHRVKSISMTTFTQQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEK YEKKRWYVPPEQAKVVASVHASISGSSASSTSSTPEVKPLKSLLGESAPALHLNKGTPSQ SPVVGRSQGQQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAAQNSANADF ANFDAFGQSSGSSNFGGFPTASHSSFQPQTTGGSAGSVNANFAHFDNFPKSSSADFGTFS TSQSHQTASTVSKVSTNKAGLQTADKYAALANLDNIFSAGQGGDQGSGFGTTGKAPVGSV VSVPSHSSASSDKYAALAELDSVFSSAATSSNAYTPTSNASSSVFGTVPVGASAQTQPAS SGPAPFGAATFGTASMSMPAGFGTPAQYSLPTSFSGSFQQPAFPAQAAFPQQTAFSQQPN GAGFATFGQTKPVVTPFGQVAAAGVSSNPFMTGAPTGQLPTGSSSTNPFL >ENSMUSP00000141157.1 pep:known chromosome:GRCm38:1:82839669:82896381:1 gene:ENSMUSG00000026159.13 transcript:ENSMUST00000190052.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg1 description:ArfGAP with FG repeats 1 [Source:MGI Symbol;Acc:MGI:1333754] MAASAKRKQEEKHLKMLRDMTGLPHNRKCFDCDQRGPTYVNMTVGSFVCTSCSGSLRGLN PPHRVKSISMTTFTQQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEK YEKKRWYVPPEQAKVVASVHASISGSSASSTSSTPEVKPLKSLLGESAPALHLNKGTPSQ SPVVGRSQGQQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAGGSAGSVNA NFAHFDNFPKSSSADFGTFSTSQSHQTASTVSKVSTNKAGLQTADKYAALANLDNIFSAG QGGDQGSGFGTTGKAPVGSVVSVPSHSSASSDKYAALAELDSVFSSAATSSNAYTPTSNA SSSVFGTVPVGASAQTQPASSGPAPFGATPSTNPFVAATGPSAASSTNPFQTNARGATGL SGAMHSQVFPHAHFAATFGTASMSMPAGFGTPAQYSLPTSFSGSFQQPAFPAQAAFPQQT AFSQQPNGAGFATFGQTKPVVTPFGQVAAAGVSSNPFMTGAPTGQLPTGSSSTNPFL >ENSMUSP00000070250.5 pep:known chromosome:GRCm38:1:82839671:82896279:1 gene:ENSMUSG00000026159.13 transcript:ENSMUST00000063380.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg1 description:ArfGAP with FG repeats 1 [Source:MGI Symbol;Acc:MGI:1333754] MAASAKRKQEEKHLKMLRDMTGLPHNRKCFDCDQRGPTYVNMTVGSFVCTSCSGSLRGLN PPHRVKSISMTTFTQQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEK YEKKRWYVPPEQAKVVASVHASISGSSASSTSSTPEVKPLKSLLGESAPALHLNKGTPSQ SPVVGRSQGQQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAAQNSANADF ANFDAFGQSSGSSNFGGFPTASHSSFQPQTTGGSAGSVNANFAHFDNFPKSSSADFGTFS TSQSHQTASTVSKVSTNKAGLQTADKYAALANLDNIFSAGQVPSHSSASSDKYAALAELD SVFSSAATSSNAYTPTSNASSSVFGTVPVGASAQTQPASSGPAPFGATPSTNPFVAATGP SAASSTNPFQTNARGATAATFGTASMSMPAGFGTPAQYSLPTSFSGSFQQPAFPAQAAFP QQTAFSQQPNGAGFATFGQTKPVVTPFGQVAAAGVSSNPFMTGAPTGQLPTGSSSTNPFL >ENSMUSP00000139503.1 pep:known chromosome:GRCm38:1:82839674:82895521:1 gene:ENSMUSG00000026159.13 transcript:ENSMUST00000187899.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg1 description:ArfGAP with FG repeats 1 [Source:MGI Symbol;Acc:MGI:1333754] MAASAKRKQEEKHLKMLRDMTGLPHNRKCFDCDQRGPTYVNMTVGSFVCTSCSGSLRGLN PPHRVKSISMTTFTQQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEK YEKKRWYVPPEQAKVVASVHASISGSSASSTSSTPEVKPLKSLLGESAPALHLNKGTPSQ SPVVGRSQGQQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAGGSAGSVNA NFAHFDNFPKSSSADFGTFSTSQSHQTASTVSKVSTNKAGLQTADKYAALANLDNIFSAG QGGDQGSGFGTTGKAPVGSVVSVPSHSSASSDKYAALAELDSVFSSAATSSNAYTPTSNA SSSVFGTVPVGASAQTQPASSGPAPFGATPSTNPFVAATGPSAASSTNPFQTNARGATAA TFGTASMSMPAGFGTPAQYSLPTSFSGSFQQPAFPAQAAFPQQTAFSQQPNGAGFATFGQ TKPVVTPFGQVAAAGVSSNPFMTGAPTGQLPTGSSSTNPFL >ENSMUSP00000140785.1 pep:known chromosome:GRCm38:1:82839674:82895675:1 gene:ENSMUSG00000026159.13 transcript:ENSMUST00000186302.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg1 description:ArfGAP with FG repeats 1 [Source:MGI Symbol;Acc:MGI:1333754] MAASAKRKQEEKHLKMLRDMTGLPHNRKCFDCDQRGPTYVNMTVGSFVCTSCSGSLRGLN PPHRVKSISMTTFTQQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEK YEKKRWYVPPEQAKVVASVHASISGSSASSTSSTPEVKPLKSLLGESAPALHLNKGTPSQ SPVVGRSQGQQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAAQNSANADF ANFDAFGQSSGSSNFGGFPTASHSSFQPQTTGGSAGSVNANFAHFDNFPKSSSADFGTFS TSQSHQTASTVSKVSTNKAGLQTADKYAALANLDNIFSAGQGGDQGSGFGTTGKAPVGSV VSVPSHSSASSDKYAALAELDSVFSSAATSSNAYTPTSNASSSVFGTVPVGASAQTQPAS SGPAPFGATPSTNPFVAATGPSAASSTNPFQTNARGATAATFGTASMSMPAGFGTPAQYS LPTSFSGSFQQPAFPAQAAFPQQTAFSQQPNGAGFATFGQTKPVVTPFGQVAAAGVSSNP FMTGAPTGQLPTGSSSTNPFL >ENSMUSP00000140473.1 pep:known chromosome:GRCm38:1:82839734:82895122:1 gene:ENSMUSG00000026159.13 transcript:ENSMUST00000190046.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg1 description:ArfGAP with FG repeats 1 [Source:MGI Symbol;Acc:MGI:1333754] XAKRKQEEKHLKMLRDMTGLPHNRKCFDCDQRGPTYVNMTVGSFVCTSCSGSLRGLNPPH RVKSISMTTFTQQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEKYEK KRWYVPPEQAKVVASVHASISGSSASSTSSTPEVKPLKSLLGESAPALHLNKGTPSQSPV VGRSQGQQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAAQNSANADFANF DAFGQSSGSSNFGGFPTASHSSFQPQTTAFSVLSSSCSFGEFTSAFPLQAAHSGSAGSVN ANFAHFDNFPKSSSADFGTFSTSQSHQTASTVSKVSTNKAGLQTADKYAALANLDNIFSA GQGGDQGSGFGTTGKAPVGSVVSVPSHSSASSDKYAALAELDSVFSSAATSSNAYTPTSN ASSSVFGTVPVGASAQTQPASSGPAPFGATPSTNPFVAATGPSAASSTNPFQTNARGATA ATFGTASMSMPAGFGTPAQYSLPTSFSGSFQQPAFPAQAAFPQQTAFSQQPNGFATFGQT KPVVTPFGQVAAAGVSSNPFMTGAPTGQLPTGSSSTNPFL >ENSMUSP00000140678.1 pep:known chromosome:GRCm38:1:82840073:82880122:1 gene:ENSMUSG00000026159.13 transcript:ENSMUST00000186043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg1 description:ArfGAP with FG repeats 1 [Source:MGI Symbol;Acc:MGI:1333754] MTTFTQQEIEFLQKHGNEVCKQIWLGLFDDRSSAIPDFRDPQKVKEFLQEKYEKKRWYVP PEQAKVVASVHASISGSSASSTSSTPEVKPLKSLLGESAPALHLNKGTPSQSPVVGRSQG QQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAAQNSANADFANFDAFGQS SGSSNFGGFPTASHSSFQPQT >ENSMUSP00000093699.3 pep:known chromosome:GRCm38:14:40815868:40893266:-1 gene:ENSMUSG00000037833.13 transcript:ENSMUST00000096000.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d4b description:SH2 domain containing 4B [Source:MGI Symbol;Acc:MGI:1925182] MLQQILQDMYIDPELLAELSDVQKHILFYKMREEQLRRWREREAWDALAQAEGLRPAKVK RASNKHLQWLLGADGEVWVWVMGEGPGDKPYEEISEELIAERARLQAQKEAEELWRQKEA EITKKFRDALANEKARILAEKWKVEMEDRKAAKILEERIHEEFKRKEEEERRRGEEQIRL QEEQRAKELYWTLKQAQLHSQASENEEREWEEQLRRSKAADEERSRRAQRARDEYRRHSL RAIQKGTVAGLSTMFQELGQNHEQEARLYHQLPDTSPPSPLTGPDRTWERPLRPLSREVI VRWFKEEQLPRRAGFERNTKSIAPWFHGIISRESAEDLLENMTEGAFLVRVSEKIWGYTL SYRLQRGFKHFLVDASGDFYSFLGVDPNRHATLTDLIDFHKEEIITVSGGELLQEPCGQR DSPPDYHLLFE >ENSMUSP00000064708.3 pep:known chromosome:GRCm38:14:40813789:40853305:-1 gene:ENSMUSG00000037833.13 transcript:ENSMUST00000070328.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d4b description:SH2 domain containing 4B [Source:MGI Symbol;Acc:MGI:1925182] MFQELGQNHEQEARLYHQLPDTSPPSPLTGPDRTWERPLRPLSREVIVRWFKEEQLPRRA GFERNTKSIAPWFHGIISRESAEDLLENMTEGAFLVRVSEKIWGYTLSYRLQRGFKHFLV DASGDFYSFLGVDPNRHATLTDLIDFHKEEIITVSGGELLQEPCGQRDSPPDYHLLFE >ENSMUSP00000025944.2 pep:known chromosome:GRCm38:19:37434810:37440731:1 gene:ENSMUSG00000024986.11 transcript:ENSMUST00000025944.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhex description:hematopoietically expressed homeobox [Source:MGI Symbol;Acc:MGI:96086] MQFPHPGPAAAPAVGVPLYAPTPLLQPAHPTPFYIDDILGRGPAAPTPTPTLPSPNSSFT SLVSSYRTPVYEPTPVHPAFSHHPAAALAAAYGPSGFGGPLYPFPRTVNDYTHALLRHDP LGKPLLWSPFLQRPLHKRKGGQVRFSNDQTVELEKKFETQKYLSPPERKRLAKMLQLSER QVKTWFQNRRAKWRRLKQENPQSNKKDALDSLDTSCEQGQDLPSEQNKGASLDRSQCSPS PASQEDPDSEISEDSDQEVDIEGDKGYFNAG >ENSMUSP00000133688.1 pep:known chromosome:GRCm38:19:37436739:37440672:1 gene:ENSMUSG00000024986.11 transcript:ENSMUST00000128184.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhex description:hematopoietically expressed homeobox [Source:MGI Symbol;Acc:MGI:96086] MLQLSERQVKTWFQNRRAKWRRLKQENPQSNKKDALDSLDTSCEQGQDLPSEQNKGASLD RSQCSPSPASQEDPDSEISEDSDQEVDIEGDKGYFNAG >ENSMUSP00000124348.1 pep:known chromosome:GRCm38:12:16670615:16800684:-1 gene:ENSMUSG00000036523.16 transcript:ENSMUST00000162112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Greb1 description:gene regulated by estrogen in breast cancer protein [Source:MGI Symbol;Acc:MGI:2149712] MGNSYAGQLKSTRFEEVLHNSIEASLRSNTLVPRPIFSQLYLEAEQQLSSLEGGSRADNE EEEEDGEGGLEPSSPPNAYQLPPPPEGCCTTDGFCQAGKDLRLVSISSEPIEVPAGFLLV GAKSPSLPDHLLVCAVDKRFLPDDNGHNALLGFSGNCVGCGKKGFCYFTEFSNHINLKLT TQPKKQKHLKYYLVRNAQGALTKGPLICWKGSEFRGRQNSTNTCSSSLFPPLESSGSLAA FPTEPVPGTNPSVPVGAQQAGPASDHPSVTTATGPAVFNGKDSPKHPQLVKSSLSALPRP SALGILPNSGPPKKRHKGWSPESKSTTDGGFIQGGGNRAKHEGTSIPCVPQAGLVGPASV TFPVVASGEPVSVPDNLLKICKAKPVIFKGHGNFPYLCGNLNDVVVSPLLYTCYQNSQSL ARAYEQHGASTMQPISEETQLLLTVYYLVQLAADQVPLMEDLEQIFLRSWRESHLTEIRQ YQQAPPQPFPPATSTAAPVTSAQLPWLAGLAASSCNDSVHVIECAYSLAEGLSEMFRLLI EGKLSKTNYVVIICACRNAAIDSCIAVTGKYQARILSESLLSPAEYQREVHYELVTGKVD SLGTFFSSLCPEGDIDILLDKFHQENQGHVSSSFTASSTKKTAVLDASGVPVCTSYHQEP RGVRPFQLAVAQKLLSHVCSIADSSTQNLDLGSFEKVDFLICIPPSEVTYQQTVFHVWHS GVLLELGLEKEPVTKQRAEQHVLKLDTEAQARFKAFLQNSFQNPHTLFVLIHDHAHWDLV SSAVHNIYSQSDPSVGLVDRLLNCREVKEAPNIVTLHVTSFPYALQTQHTLISPYNEIHW PISFSNGVDLYHESKKYFGLSEFIDSTLSGHSLPLLRYDSSFEAMVTALGKRFPRLHSAV IRTFVLVQHYAAAMMAVSGLPQMKNHTSVETLEITQNLLNSPKQCPCGHGLMVLLRVPCS PLAAVAYERLAHVRARLALEEHFEIILGHPSSGITVGKHFVKQLKMWQKIEDAEWRPQTY LELEGLPCILIFSGMDPHGESLPRSLRYCDLRLINSSCLVRTALEQELGLAAYFVSNDIP LEKGPKNEALESDGEKLSSTDEDEEAGTEGCFEAGSTSEQRGPVKRERSHSHDSASSSLS SRASGSVLYGESLAQPSGPPQGELTRSPPSCGPAEEGRAPGEIQRLRVSQGSTVISRHSP GLVPQPDSSLRTGRRSLQVPAAPSSQLSSSSGSSSTCAVPTANVLVLQASQCSMAKACRQ PPIVFLPKLVYDMLLSTDSSGLPKSASLLPSPSVMWTSSFRPLLSKMMTSTEQSLYYRQW TVPRPSHMDYGNRAEGRVDSFHPRRLLLSGPPQIGKTGAYLQFLSILSRMLIRLTEVDVY DEEEINTSFREESEWRYLQLADPWPDLELFQKMPFDYIIHDPKYEDASLICSHPQTIKSE DRGMSRKPEDLYVRRQTARMRLSKYAAYNTYHHCEQCQQYMGFHPHYQLSESTLHVFAFS CSMLGEEVQLHFIIPKSKEHHFVFSQPGGQLESMRLPLVTDKSHEHIKSPTFTPTTGRHE HGLFNLYHAMDGANHLHVLVVKEYEMAIYKKYWPNHIMLVLPSIFNSAGVGAAHFLIKEL CYHNLELERNRQEELGVKPQDVWPFIVIADDSCVMWNVADVDCAGERSREFSWSERNVSL KYIMLHIEASPNITHYALLGMRKWASKTRGREVQEPFSRCHVHDFIILNVDLTQNVQYNQ NRFTCDDVDFNLRVHSAGLLLCRFNRFSVMKKQIAVGGHRSFHITSKVSDSSVAIVPSQY ICAPDSKHTFLAAPAQLLLEKFLQYHSHRFFPLSLKNHSHPVLSVDCYLNLGPQISVCYV SSRPHSLNISCSDMVFSGLLLYLCDSFVGASFLKKFHFLKGATLCVICQDRNSLRQTVVR LELEDEWQFRLRDEFQTANAKEDRPLFFLTARHI >ENSMUSP00000125339.1 pep:known chromosome:GRCm38:12:16672079:16757195:-1 gene:ENSMUSG00000036523.16 transcript:ENSMUST00000159120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Greb1 description:gene regulated by estrogen in breast cancer protein [Source:MGI Symbol;Acc:MGI:2149712] MGNSYAGQLKSTRFEEVLHNSIEASLRSNTLVPRPIFSQLYLEAEQQLSSLEGGSRADNE EEEEDGEGGLEPSSPPNAYQLPPPPEGCCTTDGFCQAGKDLRLVSISSEPIEVPAGFLLV GAKSPSLPDHLLVCAVDKRFLPDDNGHNALLGFSGNCVGCGKKGFCYFTEFSNHINLKLT TQPKKQKHLKYYLVRNAQGALTKGPLICWKGSEFRGRQNSTNTCSSSLFPPLESSGSLAA FPTEPVPGTNPSVPVGAQQAGPASDHPSVTTATGPAVFNGKDSPKHPQLVKSSLSALPRP SALGILPNSGPPKKRHKGWSPESKSTTDGGFIQGGGNRAKHEGTSIPCVPQAGLVGPASV TFPVVASGEPVSVPDNLLKICKAKPVIFKGHGNFPYLCGNLNDVVVSPLLYTCYQNSQSL ARAYEQHGASTMQPISEETQLLLTVYYLVQLAADQVPLMEDLEQIFLRSWRESHLTEIRQ YQQAPPQPFPPATSTAAPVTSAQLPWLAGLAASSCNDSVHVIECAYSLAEGLSEMFRLLI EGKLSKTNYVVIICACRNAAIDSCIAVTGKYQARILSESLLSPAEYQREVHYELVTGKVD SLGTFFSSLCPEGDIDILLDKFHQENQGHVSSSFTASSTKKTAVLDASGVPVCTNSSTQN LDLGSFEKVDFLICIPPSEVTYQQTVFHVWHSGVLLELGLEKEPVTKQRAEQHVLKLDTE AQARFKAFLQNSFQNPHTLFVLIHDHAHWDLVSSAVHNIYSQSDPSVGLVDRLLNCREVK EAPNIVTLHVTSFPYALQTQHTLISPYNEIHWPISFSNGVDLYHESKKYFGLSEFIDSTL SGHSLPLLRYDSSFEAMVTALGKRFPRLHSAVIRTFVLVQHYAAAMMAVSGLPQMKNHTS VETLEITQNLLNSPKQCPCGHGLMVLLRVPCSPLAAVAYERLAHVRARLALEEHFEIILG HPSSGITVGKHFVKQLKMWQKIEDAEWRPQTYLELEGLPCILIFSGMDPHGESLPRSLRY CDLRLINSSCLVRTALEQELGLAAYFVSNDIPLEKGPKNEALESDGEKLSSTDEDEEAGT EGCFEAGSTSEQRGPVKRERSHSHDSASSSLSSRASGSVLYGESLAQPSGPPQGELTRSP PSCGPAEEGRAPGEIQRLRVSQGSTVISRHSPGLVPQPDSSLRTGRRSLQVPAAPSSQLS SSSGSSSTCAVPTANVLVLQASQCSMAKACRQPPIVFLPKLVYDMLLSTDSSGLPKSASL LPSPSVMWTSSFRPLLSKMMTSTEQSLYYRQWTVPRPSHMDYGNRAEGRVDSFHPRRLLL SGPPQIGKTGAYLQFLSILSRMLIRLTEVDVYDEEEINTSFREESEWRYLQLADPWPDLE LFQKMPFDYIIHDPKYEDASLICSHPQTIKSEDRGMSRKPEDLYVRRQTARMRLSKYAAY NTYHHCEQCQQYMGFHPHYQLSESTLHVFAFSCSMLGEEVQLHFIIPKSKEHHFVFSQPG GQLESMRLPLVTDKSHEHIKSPTFTPTTGRHEHGLFNLYHAMDGANHLHVLVVKEYEMAI YKKYWPNHIMLVLPSIFNSAGVGAAHFLIKELCYHNLELERNRQEELGVKPQDVWPFIVI ADDSCVMWNVADVDCAGERSREFSWSERNVSLKYIMLHIEASPNITHYALLGMRKWASKT RGREVQEPFSRCHVHDFIILNVDLTQNVQYNQNRFTCDDVDFNLRVHSAGLLLCRFNRFS VMKKQIAVGGHRSFHITSKVSDSSVAIVPSQYICAPDSKHTFLAAPAQLLLEKFLQYHSH RFFPLSLKNHSHPVLSVDCYLNLGPQISVCYVSSRPHSLNISCSDMVFSGLLLYLCDSFV GASFLKKFHFLKGATLCVICQDRNSLRQTVVRLELEDEWQFRLRDEFQTANAKEDRPLFF LTARHI >ENSMUSP00000124897.1 pep:known chromosome:GRCm38:12:16706150:16708594:-1 gene:ENSMUSG00000036523.16 transcript:ENSMUST00000160347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Greb1 description:gene regulated by estrogen in breast cancer protein [Source:MGI Symbol;Acc:MGI:2149712] XVTYQQTVFHVWHSGVLLELGLEKEPVTKQRAEQHVLKLDTEAQARFKAFLQNSFQNPHT LFVLIHDHAHWDLVSAVHNIYSQSDPSVGLVDRLLNCREVKEAPNIVTLHVTSFPYALQT Q >ENSMUSP00000124922.1 pep:known chromosome:GRCm38:12:16707886:16711773:-1 gene:ENSMUSG00000036523.16 transcript:ENSMUST00000160627.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Greb1 description:gene regulated by estrogen in breast cancer protein [Source:MGI Symbol;Acc:MGI:2149712] XFRLLIEGKLSKTNYVVIICACRNAAIDSCIAVTGKYQARILSESLLSPAEYQREVHYEL VTGKVDSLGTFFSSLCPEGDIDILLDKFHQENQGHVSSSFTASSTKKTAVLDASGVPVCT SEW >ENSMUSP00000124275.1 pep:known chromosome:GRCm38:12:16733278:16800886:-1 gene:ENSMUSG00000036523.16 transcript:ENSMUST00000161998.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Greb1 description:gene regulated by estrogen in breast cancer protein [Source:MGI Symbol;Acc:MGI:2149712] MGNSYAGQLKSTRFEEVLHNSIEASLRSNTLVPRPIFSQLYLEAEQQLSSLEGGSRADNE EEEEDGEGGLEPSSPPNAYQLPPPPEGCCTTDGFCQAGKDLRLVSISSEPIEVPAGFLLV GAKSPSLPDHLLVCAVDKRFLPDDNGHNALL >ENSMUSP00000044454.9 pep:known chromosome:GRCm38:12:16670615:16757241:-1 gene:ENSMUSG00000036523.16 transcript:ENSMUST00000048064.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Greb1 description:gene regulated by estrogen in breast cancer protein [Source:MGI Symbol;Acc:MGI:2149712] MGNSYAGQLKSTRFEEVLHNSIEASLRSNTLVPRPIFSQLYLEAEQQLSSLEGGSRADNE EEEEDGEGGLEPSSPPNAYQLPPPPEGCCTTDGFCQAGKDLRLVSISSEPIEVPAGFLLV GAKSPSLPDHLLVCAVDKRFLPDDNGHNALLGFSGNCVGCGKKGFCYFTEFSNHINLKLT TQPKKQKHLKYYLVRNAQGALTKGPLICWKGSEFRGRQNSTNTCSSSLFPPLESSGSLAA FPTEPVPGTNPSVPVGAQQAGPASDHPSVTTATGPAVFNGKDSPKHPQLVKSSLSALPRP SALGILPNSGPPKKRHKGWSPESKSTTDGGFIQGGGNRAKHEGTSIPCVPQAGLVGPASV TFPVVASGEPVSVPDNLLKICKAKPVIFKGHGNFPYLCGNLNDVVVSPLLYTCYQNSQSL ARAYEQHGASTMQPISEETQLLLTVYYLVQLAADQVPLMEDLEQIFLRSWRESHLTEIRQ YQQAPPQPFPPATSTAAPVTSAQLPWLAGLAASSCNDSVHVIECAYSLAEGLSEMFRLLI EGKLSKTNYVVIICACRNAAIDSCIAVTGKYQARILSESLLSPAEYQREVHYELVTGKVD SLGTFFSSLCPEGDIDILLDKFHQENQGHVSSSFTASSTKKTAVLDASGVPVCTSYHQEP RGVRPFQLAVAQKLLSHVCSIADSSTQNLDLGSFEKVDFLICIPPSEVTYQQTVFHVWHS GVLLELGLEKEPVTKQRAEQHVLKLDTEAQARFKAFLQNSFQNPHTLFVLIHDHAHWDLV SSAVHNIYSQSDPSVGLVDRLLNCREVKEAPNIVTLHVTSFPYALQTQHTLISPYNEIHW PISFSNGVDLYHESKKYFGLSEFIDSTLSGHSLPLLRYDSSFEAMVTALGKRFPRLHSAV IRTFVLVQHYAAAMMAVSGLPQMKNHTSVETLEITQNLLNSPKQCPCGHGLMVLLRVPCS PLAAVAYERLAHVRARLALEEHFEIILGHPSSGITVGKHFVKQLKMWQKIEDAEWRPQTY LELEGLPCILIFSGMDPHGESLPRSLRYCDLRLINSSCLVRTALEQELGLAAYFVSNDIP LEKGPKNEALESDGEKLSSTDEDEEAGTEGCFEAGSTSEQRGPVKRERSHSHDSASSSLS SRASGSVLYGESLAQPSGPPQGELTRSPPSCGPAEEGRAPGEIQRLRVSQGSTVISRHSP GLVPQPDSSLRTGRRSLQVPAAPSSQLSSSSGSSSTCAVPTANVLVLQASQCSMAKACRQ PPIVFLPKLVYDMLLSTDSSGLPKSASLLPSPSVMWTSSFRPLLSKMMTSTEQSLYYRQW TVPRPSHMDYGNRAEGRVDSFHPRRLLLSGPPQIGKTGAYLQFLSILSRMLIRLTEVDVY DEEEINTSFREESEWRYLQLADPWPDLELFQKMPFDYIIHDPKYEDASLICSHPQTIKSE DRGMSRKPEDLYVRRQTARMRLSKYAAYNTYHHCEQCQQYMGFHPHYQLSESTLHVFAFS CSMLGEEVQLHFIIPKSKEHHFVFSQPGGQLESMRLPLVTDKSHEHIKSPTFTPTTGRHE HGLFNLYHAMDGANHLHVLVVKEYEMAIYKKYWPNHIMLVLPSIFNSAGVGAAHFLIKEL CYHNLELERNRQEELGVKPQDVWPFIVIADDSCVMWNVADVDCAGERSREFSWSERNVSL KYIMLHIEASPNITHYALLGMRKWASKTRGREVQEPFSRCHVHDFIILNVDLTQNVQYNQ NRFTCDDVDFNLRVHSAGLLLCRFNRFSVMKKQIAVGGHRSFHITSKVSDSSVAIVPSQY ICAPDSKHTFLAAPAQLLLEKFLQYHSHRFFPLSLKNHSHPVLSVDCYLNLGPQISVCYV SSRPHSLNISCSDMVFSGLLLYLCDSFVGASFLKKFHFLKGATLCVICQDRNSLRQTVVR LELEDEWQFRLRDEFQTANAKEDRPLFFLTARHI >ENSMUSP00000118252.1 pep:known chromosome:GRCm38:11:72690006:72771221:1 gene:ENSMUSG00000020790.14 transcript:ENSMUST00000127610.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankfy1 description:ankyrin repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1337008] MAEEEVAKLEKHLMLLRQEYVKLQKKLAETEKRCTLLAAQANKENSNESFISRLLAIVAG LYEQEQYSDLKIKVGDRHISAHKFVLAARSDSWSLANLSSTEEIDLSDANPEVTMTMLRW IYTDELEFREDDVFLTELMKLANRFQLQLLRERCEKGVMSLVNVRNCIRFYQTAEELNAS TLMNYCAEIIASHWDDLRKEDFSSLSAQLLYKMIKSKTEYPLHKAIKVEREDVVFLYLIE MDSQLPGKLNETDHNGDLALDLALSRRLESIATTLVSHKADVDMVDKNGWSLLHKGIQRG DLFASTFLIKNGALVNAATAGAQETPLHLVALYSPKKYSADVMSEMAQIAEALLQAGANP NMQDSKGRTPLHLSIMARNDCVFSQLLQCKQYVGRPGEMQSGCEGGIIRFRAERSRRQHC TLAGRPVHHCVFRPVCKPL >ENSMUSP00000118751.1 pep:known chromosome:GRCm38:11:72690006:72772146:1 gene:ENSMUSG00000020790.14 transcript:ENSMUST00000155998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankfy1 description:ankyrin repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1337008] MAEEEVAKLEKHLMLLRQEYVKLQKKLAETEKRCTLLAAQANKENSNESFISRLLAIVAG LYEQEQYSDLKIKVGDRHISAHKFVLAARSDSWSLANLSSTEEIDLSDANPEVTMTMLRW IYTDELEFREDDVFLTELMKLANRFQLQLLRERCEKGVMSLVNVRNCIRFYQTAEELNAS TLMNYCAEIIASHWDDLRKEDFSSLSAQLLYKMIKSKTEYPLHKAIKVEREDVVFLYLIE MDSQLPGKLNETDHNGDLALDLALSRRLESIATTLVSHKADVDMVDKNGWSLLHKGIQRG DLFASTFLIKNGALVNAATAGAQETPLHLVALYSPKKYSADVMSEMAQIAEALLQAGANP NMQDSKGRTPLHLSIMARNDCVFSQLLQCKQLDLELKDHEGSTALWLAVQYITVSSDQSV NPFEDLPVVNGTSFDENSFAARLIQRGSNTDAPDVMTGNCLLQRAAGAGNEAAALFLATS GAHANHRNKWGETPLHTACRHGLANLTAELLQQGANPNLQTEEALPVPKESPVLMSSADS IYLQTPLHMAIAYNHPDVVSVILEQKANALHATNNLQIIPDFSLKDSRDQTVLGLALWTG MHTIAAQLLGSGASINDTMSDGQTLLHMAIQRQDSKSALFLLEHQADINVRTQDGETALQ LAIKHQLPLVVDAICTRGADMSVPDEKGNPPLWLALASNLEDIASTLVRHGCDATCWGPG PSGCLQTLLHRAVDENNESTACFLIRSGCDVNSPRQPGTNGEGEEEARDGQTPLHLAASW GLEETVQCLLEFGANVNAQDAEGRTPVHVAISNQHSVIIQLLISHPNIELSVRDRQGLTP FACAMTYKNNKAAEAILKRESGAAEQVDNKGRNFLHVAVQNSDIESVLFLISVQANVNSR VQDASKLTPLHLAVQAGSEIIVRNLLLAGAKVNELTKHRQTALHLAAQQDLPTICSVLLE NGVDFAAVDENGNNALHLAVMHGRLNNIRALLTECTVDAEAFNLRGQSPLHILGQYGKEN AAAIFDLFLECMPEYPLDKPDAEGNTVLLLAYMKGNANLCRAIVRSGVRLGVNNNQGVNI FNYQVATKQLLFRLLDMLSKEPPWCDGSNCYECTAKFGVTTRKHHCRHCGRLLCHKCSTK EIPIIKFDLNKPVRVCNICFDVLTLGGVS >ENSMUSP00000054036.7 pep:known chromosome:GRCm38:3:127789805:127798389:1 gene:ENSMUSG00000046688.14 transcript:ENSMUST00000054483.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tifa description:TRAF-interacting protein with forkhead-associated domain [Source:MGI Symbol;Acc:MGI:2182965] MSTFEDADTEETVTCLQMTIYHPGQQSGIFKSIRFCSKEKFPSIEVVKFGRNSNMCQYTF QDKQVSRIQFVLQPFKQFNSSVLSFEIKNMSKKTSLMVDNQELGYLNKMDLPYKCMLRFG EYQFLLQKEDGESVESFETQFIMSSRPLLQENNWPTQNPIPEDGMYSSYFTHRSSPSEMD ENEL >ENSMUSP00000132309.1 pep:known chromosome:GRCm38:3:127789912:127797954:1 gene:ENSMUSG00000046688.14 transcript:ENSMUST00000163775.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tifa description:TRAF-interacting protein with forkhead-associated domain [Source:MGI Symbol;Acc:MGI:2182965] MSTFEDADTEETVTCLQMTIYHPGQQSGIFKSIRFCSKEKFPSIEVVKFGRNSNMCQYTF QDKQVSRIQFVLQPFKQFNSSVLSFEIKNMSKKTSLMVDNQELGYLNKMDLPYKCMLRFG EYQFLLQKEDGESVESFETQFIMSSRPLLQENNWPTQNPIPEDGMYSSYFTHRSSPSEMD ENEL >ENSMUSP00000126692.1 pep:known chromosome:GRCm38:3:127790655:127797954:1 gene:ENSMUSG00000046688.14 transcript:ENSMUST00000164447.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tifa description:TRAF-interacting protein with forkhead-associated domain [Source:MGI Symbol;Acc:MGI:2182965] MSTFEDADTEETVTCLQMTIYHPGQQSGIFKSIRFCSKEKFPSIEVVKFGRNSNMCQYTF QDKQVSRIQFVLQPFKQFNSSVLSFEIKNMSKKTSLMVDNQELGYLNKMDLPYKCMLRFG EYQFLLQKEDGESVESFETQFIMSSRPLLQENNWPTQNPIPEDGMYSSYFTHRSSPSEMD ENEL >ENSMUSP00000127700.1 pep:known chromosome:GRCm38:3:127790804:127798389:1 gene:ENSMUSG00000046688.14 transcript:ENSMUST00000171621.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tifa description:TRAF-interacting protein with forkhead-associated domain [Source:MGI Symbol;Acc:MGI:2182965] MSTFEDADTEETVTCLQMTIYHPGQQSGIFKSIRFCSKEKFPSIEVVKFGRNSNMCQYTF QDKQVSRIQFVLQPFKQFNSSVLSFEIKNMSKKTSLMVDNQELGYLNKMDLPYKCMLRFG EYQFLLQKEDGESVESFETQFIMSSRPLLQENNWPTQNPIPEDGMYSSYFTHRSSPSEMD ENEL >ENSMUSP00000135802.1 pep:known chromosome:GRCm38:9:105403539:105461030:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000177293.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] PECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVDLSVDESSLTGETAPCS KVTAPQPAANGDLASRSNIAFMGTLVRCGKAKGIVIGTGENSEFGEVFKMMQAEEAPKTP LQKSMDLLGKQLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSLAVAAIPEGLPIVVTVT LALGVMRMVKKRAIVKKLPIVETLGCCNVICSDKTGTLTKNEMTVTHILTSDGLHAEVTG VGYNQFGEVIVDGDVVHGFYNPAVSRIVEAGCVCNDAVIRNNTLMGKPTEGALIALAMKM GLDGLQQDYIRKAEYPFSSEQKWMAVKCVHRTQQDRPEICFMKGAYEQVIKYCTTYNSKG QTLALTQQQRDLYQQEKARMGSAGLRVLALASGPELGQLTFLGLVGIIDPPRTGVKEAVT TLIASGVSIKMITGDSQETAIAIASRLGLYSKTSQSVSGEEVDTMEVQHLSQIVPKVAVF YRASPRHKMKIIKSLQKNGAVVAMTGDGVNDAVALKAADIGVAMGQTGTDVCKEAADMIL VDDDFQTIMSAIEEGKGIYNNIKNFVRFQLSTSIAALTLISLATLMNFPNPLNAMQILWI NIIMDGPPAQSLGVEPVDKDVIRKPPRNWKDSILTKNLILKILVSSIIIVCGTLFVFWRE LRDNVITPRDTTMTFTCFVFFDMFNALSSRSQTKSVFEIGLCSNKMFCYAVLGSIMGQLL VIYFPPLQKVFQTESLSILDLLFLLGLTSSVCIVSEIIKKVERSREKVQKNAGSASSSFL EFYPKI >ENSMUSP00000134764.1 pep:known chromosome:GRCm38:9:105403539:105521147:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000176770.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MENLLPPSGFSYLKKYPLHSIRKYLSTLRSQRAEEQVARFQKIPNVENETMIPVLTSKRA SELAVSEVAGLLQFKNPLIMLLLASAVISILMRQFDDAVSITVAIVIVVTVAFVQEYRSE KSLEELSKLVPPECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVDLSVDE SSLTGETAPCSKVTAPQPAANGDLASRSNIAFMGTLVRCGKAKGIVIGTGENSEFGEVFK MMQAEEAPKTPLQKSMDLLGKQLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSLAVAAI PEGLPIVVTVTLALGVMRMVKKRAIVKKLPIVETLGCCNVICSDKTGTLTKNEMTVTHIL TSDGLHAEVTGVGYNQFGEVIVDGDVVHGFYNPAVSRIVEAGCVCNDAVIRNNTLMGKPT EGALIALAMKMGLDGLQQDYIRKAEYPFSSEQKWMAVKCVHRTQQDRPEICFMKGAYEQV IKYCTTYNSKGQTLALTQQQRDLYQQEKARMGSAGLRVLALASGPELGQLTFLGLVGIID PPRTGVKEAVTTLIASGVSIKMITGDSQETAIAIASRLGLYSKTSQSVSGEEVDTMEVQH LSQIVPKVAVFYRASPRHKMKIIKSLQKNGAVVAMTGDGVNDAVALKAADIGVAMGQTGT DVCKEAADMILVDDDFQTIMSAIEEGKGIYNNIKNFVRFQLSTSIAALTLISLATLMNFP NPLNAMQILWINIIMDGPPAQSLGVEPVDKDVIRKPPRNWKDSILTKNLILKILVSSIII VCGTLFVFWRELRDNVITPRDTTMTFTCFVFFDMFNALSSRSQTKSVFEIGLCSNKMFCY AVLGSIMGQLLVIYFPPLQKVFQTESLSILDLLFLLGLTSSVCIVSEIIKKVERSREKVQ KNAGSASSSFLEVWLWQRSGQQLVEI >ENSMUSP00000135646.1 pep:known chromosome:GRCm38:9:105403546:105494420:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000177074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MKVARFQKIPNVENETMIPVLTSKRASELAVSEVAGLLQADLQNGLNKSEVSHRRAFHGW NEFDISEDEPLWKKYISQFKNPLIMLLLASAVISILMRQFDDAVSITVAIVIVVTVAFVQ EYRSEKSLEELSKLVPPECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVD LSVDESSLTGETAPCSKVTAPQPAANGDLASRSNIAFMGTLVRCGKAKGIVIGTGENSEF GEVFKMMQAEEAPKTPLQKSMDLLGKQLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSL AVAAIPEGLPIVVTVTLALGVMRMVKKRAIVKKLPIVETLGCCNVICSDKTGTLTKNEMT VTHILTSDGLHAEVTGVGYNQFGEVIVDGDVVHGFYNPAVSRIVEAGCVCNDAVIRNNTL MGKPTEGALIALAMKMGLDGLQQDYIRKAEYPFSSEQKWMAVKCVHRTQQDRPEICFMKG AYEQVIKYCTTYNSKGQTLALTQQQRDLYQQEKARMGSAGLRVLALASGPELGQLTFLGL VGIIDPPRTGVKEAVTTLIASGVSIKMITGDSQETAIAIASRLGLYSKTSQSVSGEEVDT MEVQHLSQIVPKVAVFYRASPRHKMKIIKSLQKNGAVVAMTGDGVNDAVALKAADIGVAM GQTGTDVCKEAADMILVDDDFQTIMSAIEEGKGIYNNIKNFVRFQLSTSIAALTLISLAT LMNFPNPLNAMQILWINIIMDGPPAQSLGVEPVDKDVIRKPPRNWKDSILTKNLILKILV SSIIIVCGTLFVFWRELRDNVITPRDTTMTFTCFVFFDMFNALSSRSQTKSVFEIGLCSN KMFCYAVLGSIMGQLLVIYFPPLQKVFQTESLSILGLALAEEWTAAG >ENSMUSP00000108177.2 pep:known chromosome:GRCm38:9:105410443:105495094:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000112558.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MKVARFQKIPNVENETMIPVLTSKRASELAVSEVAGLLQADLQNGLNKSEVSHRRAFHGW NEFDISEDEPLWKKYISQFKNPLIMLLLASAVISILMRQFDDAVSITVAIVIVVTVAFVQ EYRSEKSLEELSKLVPPECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVD LSVDESSLTGETAPCSKVTAPQPAANGDLASRSNIAFMGTLVRCGKAKGIVIGTGENSEF GEVFKMMQAEEAPKTPLQKSMDLLGKQLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSL AVAAIPEGLPIVVTVTLALGVMRMVKKRAIVKKLPIVETLGCCNVICSDKTGTLTKNEMT VTHILTSDGLHAEVTGVGYNQFGEVIVDGDVVHGFYNPAVSRIVEAGCVCNDAVIRNNTL MGKPTEGALIALAMKMGLDGLQQDYIRKAEYPFSSEQKWMAVKCVHRTQQDRPEICFMKG AYEQVIKYCTTYNSKGQTLALTQQQRDLYQQEKARMGSAGLRVLALASGPELGQLTFLGL VGIIDPPRTGVKEAVTTLIASGVSIKMITGDSQETAIAIASRLGLYSKTSQSVSGEEVDT MEVQHLSQIVPKVAVFYRASPRHKMKIIKSLQKNGAVVAMTGDGVNDAVALKAADIGVAM GQTGTDVCKEAADMILVDDDFQTIMSAIEEGKGIYNNIKNFVRFQLSTSIAALTLISLAT LMNFPNPLNAMQILWINIIMDGPPAQSLGVEPVDKDVIRKPPRNWKDSILTKNLILKILV SSIIIVCGTLFVFWRELRDNVITPRDTTMTFTCFVFFDMFNALSSRSQTKSVFEIGLCSN KMFCYAVLGSIMGQLLVIYFPPLQKVFQTESLSILDLLFLLGLTSSVCIVSEIIKKVERS REKVQKNAGSASSSFLEV >ENSMUSP00000039103.7 pep:known chromosome:GRCm38:9:105411362:105495133:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000038118.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MKVARFQKIPNVENETMIPVLTSKRASELAVSEVAGLLQADLQNGLNKSEVSHRRAFHGW NEFDISEDEPLWKKYISQFKNPLIMLLLASAVISILMRQFDDAVSITVAIVIVVTVAFVQ EYRSEKSLEELSKLVPPECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVD LSVDESSLTGETAPCSKVTAPQPAANGDLASRSNIAFMGTLVRCGKAKGIVIGTGENSEF GEVFKMMQAEEAPKTPLQKSMDLLGKQLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSL AVAAIPEGLPIVVTVTLALGVMRMVKKRAIVKKLPIVETLGCCNVICSDKTGTLTKNEMT VTHILTSDGLHAEVTGVGYNQFGEVIVDGDVVHGFYNPAVSRIVEAGCVCNDAVIRNNTL MGKPTEGALIALAMKMGLDGLQQDYIRKAEYPFSSEQKWMAVKCVHRTQQDRPEICFMKG AYEQVIKYCTTYNSKGQTLALTQQQRDLYQQEKARMGSAGLRVLALASGPELGQLTFLGL VGIIDPPRTGVKEAVTTLIASGVSIKMITGDSQETAIAIASRLGLYSKTSQSVSGEEVDT MEVQHLSQIVPKVAVFYRASPRHKMKIIKSLQKNGAVVAMTGDGVNDAVALKAADIGVAM GQTGTDVCKEAADMILVDDDFQTIMSAIEEGKGIYNNIKNFVRFQLSTSIAALTLISLAT LMNFPNPLNAMQILWINIIMDGPPAQSLGVEPVDKDVIRKPPRNWKDSILTKNLILKILV SSIIIVCGTLFVFWRELRDNVITPRDTTMTFTCFVFFDMFNALSSRSQTKSVFEIGLCSN KMFCYAVLGSIMGQLLVIYFPPLQKVFQTESLSILDLLFLLGLTSSVCIVSEIIKKVERS REKVQKNAGSASSSFLEV >ENSMUSP00000082220.6 pep:known chromosome:GRCm38:9:105411363:105521257:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000085133.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MENLLPPSGFSYLKKYPLHSIRKYLSTLRSQRAEEQVARFQKIPNVENETMIPVLTSKRA SELAVSEVAGLLQADLQNGLNKSEVSHRRAFHGWNEFDISEDEPLWKKYISQFKNPLIML LLASAVISILMRQFDDAVSITVAIVIVVTVAFVQEYRSEKSLEELSKLVPPECHCVREGK LEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVDLSVDESSLTGETAPCSKVTAPQPAAN GDLASRSNIAFMGTLVRCGKAKGIVIGTGENSEFGEVFKMMQAEEAPKTPLQKSMDLLGK QLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSLAVAAIPEGLPIVVTVTLALGVMRMVK KRAIVKKLPIVETLGCCNVICSDKTGTLTKNEMTVTHILTSDGLHAEVTGVGYNQFGEVI VDGDVVHGFYNPAVSRIVEAGCVCNDAVIRNNTLMGKPTEGALIALAMKMGLDGLQQDYI RKAEYPFSSEQKWMAVKCVHRTQQDRPEICFMKGAYEQVIKYCTTYNSKGQTLALTQQQR DLYQQEKARMGSAGLRVLALASGPELGQLTFLGLVGIIDPPRTGVKEAVTTLIASGVSIK MITGDSQETAIAIASRLGLYSKTSQSVSGEEVDTMEVQHLSQIVPKVAVFYRASPRHKMK IIKSLQKNGAVVAMTGDGVNDAVALKAADIGVAMGQTGTDVCKEAADMILVDDDFQTIMS AIEEGKGIYNNIKNFVRFQLSTSIAALTLISLATLMNFPNPLNAMQILWINIIMDGPPAQ SLGVEPVDKDVIRKPPRNWKDSILTKNLILKILVSSIIIVCGTLFVFWRELRDNVITPRD TTMTFTCFVFFDMFNALSSRSQTKSVFEIGLCSNKMFCYAVLGSIMGQLLVIYFPPLQKV FQTESLSILDLLFLLGLTSSVCIVSEIIKKVERSREKVQKNAGSASSSFLEV >ENSMUSP00000129617.2 pep:known chromosome:GRCm38:9:105422768:105495077:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000163879.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MIPVLTSKRASELAVSEVAGLLQADLQNGLNKSEVSHRRAFHGWNEFDISEDEPLWKKYI SQFKNPLIMLLLASAVISILMRQFDDAVSITVAIVIVVTVAFVQEYRSEKSLEELSKLVP PECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVDLSVDESSLTGETAPCS KVTAPQPAANGDLASRSNIAFMGTLVRCGKAKGIVIGTGENSEFGEVFKMMQAEEAPKTP LQKSMDLLGKQLSFYSFGIIGIIMLVGWLLGKDILEMFTISVSLAVAAIPEGLPIVVTVT LALGVMRMVKKRAIVKKLPIVETLGCCNVICSDKTGTLTKNEMTVTHILTSDGLHAEVTG VGYNQFGEVIVDGDVVHGFYNPAVSRIVEAGCVCNDAVIRNNTLMGKPTEGALIALAMKM GLDGLQQDYIRKAEYPFSSEQKWMAVKCVHRTQQDRPEICFMKGAYEQVIKYCTTYNSKG QTLALTQQQRDLYQQEKARMGSAGLRVLALASGPELGQLTFLGLVGIIDPPRTGVKEAVT TLIASGVSIKMITGDSQETAIAIASRLGLYSKTSQSVSGEEVDTMEVQHLSQIVPKVAVF YRASPRHKMKIIKSLQKNGAVVAMTGDGVNDAVALKAADIGVAMGQTGTDVCKEAADMIL VDDDFQTIM >ENSMUSP00000135732.1 pep:known chromosome:GRCm38:9:105451825:105470390:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000176651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MIPVLTSKRASELAVSEVAGLLQADLQNGLNKSEVSHRRAFHGWNEFDISEDEPLWKKYI SQFKNPLIMLLLASAVISILMRQFDDAVSITVAIVIVVTVAFVQEYRSEKSLEELSKLVP PECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFEAVDLSVDESSLTGETAPCS KVTAPQPAANGDLASRSNIAFMGTLVRCGKAKGIVIGTGENSEFGEVFKMMQAEEAPKTP LQKSMDLLGKQLS >ENSMUSP00000135320.1 pep:known chromosome:GRCm38:9:105452818:105495047:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000176190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MIPVLTSKRASELAVSEVAGLLQADLQNGLNKSEVSHRRAFHGWNEFDISEDEPLWKKYI SQFKNPLIMLLLASAVISILMRQFDDAVSITVEYRSEKSLEELSKLVPPECHCVREGKLE HTLARDLVPGDTVCLSVGDRVPADLRLFEAVDLSVDESSLTGETAPCSKVTAPQPAANGD LASRSNIAFMGTLVRCGKAKGIVIGTGE >ENSMUSP00000135170.1 pep:known chromosome:GRCm38:9:105453538:105494610:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000176363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MKMLEKVARFQKIPNVENETMIPVLTSKRASELAVSEVAGLLQADLQNGLNKSEVSHRRA FHGWNEFDISEDEPLWKKYISQFKNPLIMLLLASAVISILMRQFDDAVSITVAIVIVVTV AFVQEYRSEKSLEELSKLVPPECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLF EAVDLSVDESSLTGETAPCSKVTAPQPAANGDLASRSNIAFMGTLVRCGKA >ENSMUSP00000135010.1 pep:known chromosome:GRCm38:9:105459660:105495483:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000176036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MKVARFQKIPNVENETMIPVLTSKRASELAVSEVAGLLQADLQNGLNKSEVSHRRAFHGW NEFDISEDEPLWKKYISQFKNPLIMLLLASAVISILMRQFDDAVSITVAIVIVVTVAFVQ EYRSEKSLEELSKLVPPECHCVREGKLEHTLARDLVPGDTVCLSVGDRVPADLRLFE >ENSMUSP00000135261.1 pep:known chromosome:GRCm38:9:105461017:105495105:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000176390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MKMLEKVARFQKIPNVENETMIPVLTSKRASELAVSEVAGLLQADLQNGLNKSEVSHRRA FHGWNEFDISEDEPLWKKYISQFKNPLIMLLLASAVISILMRQFDDAVSITVAIVIVVTV AFVQEYRSEKSLEELSKLVPPECH >ENSMUSP00000134902.1 pep:known chromosome:GRCm38:9:105464652:105494664:-1 gene:ENSMUSG00000032570.16 transcript:ENSMUST00000177334.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c1 description:ATPase, Ca++-sequestering [Source:MGI Symbol;Acc:MGI:1889008] MKVARFQKIPNVENETMIPVLTSKRASELAVSEVAGLLQADLQNGLNKSEVSHRRAFHGW NEFDISEDEPLWKKYISQFKNPLIMLLLASAVISILMRQFDDAVSITVAIV >ENSMUSP00000101813.1 pep:known chromosome:GRCm38:4:123712710:123716326:-1 gene:ENSMUSG00000028648.13 transcript:ENSMUST00000106207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs5 description:NADH dehydrogenase (ubiquinone) Fe-S protein 5 [Source:MGI Symbol;Acc:MGI:1890889] MPFLDIQKKLGISLDRHFMFLSAEQPYKNAARCHAFEKEWIECAHGIGGTRAKKECKIEF DDFEECLLRYKTMRRMHDIKKQREKLMKEGKYTPPPHHSGREEPRP >ENSMUSP00000101812.1 pep:known chromosome:GRCm38:4:123712710:123718186:-1 gene:ENSMUSG00000028648.13 transcript:ENSMUST00000106206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs5 description:NADH dehydrogenase (ubiquinone) Fe-S protein 5 [Source:MGI Symbol;Acc:MGI:1890889] MPFLDIQKKLGISLDRHFMFLSAEQPYKNAARCHAFEKEWIECAHGIGGTRAKKECKIEF DDFEECLLRYKTMRRMHDIKKQREKLMKEGKYTPPPHHSGREEPRP >ENSMUSP00000030401.7 pep:known chromosome:GRCm38:4:123712710:123718202:-1 gene:ENSMUSG00000028648.13 transcript:ENSMUST00000030401.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs5 description:NADH dehydrogenase (ubiquinone) Fe-S protein 5 [Source:MGI Symbol;Acc:MGI:1890889] MPFLDIQKKLGISLDRHFMFLSAEQPYKNAARCHAFEKEWIECAHGIGGTRAKKECKIEF DDFEECLLRYKTMRRMHDIKKQREKLMKEGKYTPPPHHSGREEPRP >ENSMUSP00000123236.1 pep:known chromosome:GRCm38:4:123712869:123718181:-1 gene:ENSMUSG00000028648.13 transcript:ENSMUST00000137312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs5 description:NADH dehydrogenase (ubiquinone) Fe-S protein 5 [Source:MGI Symbol;Acc:MGI:1890889] MPFLDIQKKLGISLDRHFMFLSAEQPYKNAARCHAFEKEWIECAHGIGGTRAKKECKIEF DDFEECLLRYKTMRRMHDIKKQREKLMKEGK >ENSMUSP00000070712.5 pep:known chromosome:GRCm38:7:4003402:4063179:-1 gene:ENSMUSG00000055541.17 transcript:ENSMUST00000068865.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lair1 description:leukocyte-associated Ig-like receptor 1 [Source:MGI Symbol;Acc:MGI:105492] MSLHPVILLVLVLCLGWKINTQEDTSWLKTYSIYIFTVVSVIFLLCLSALLFCFLRHRQK KQGLPNNKRQQQRPEERLNLATNGLEMTPDIVADDRLPEDRWTETWTPVAGDLQEVTYIQ LDHHSLTQRAVGAVTSQSTDMAESSTYAAIIRH >ENSMUSP00000083589.3 pep:known chromosome:GRCm38:7:4008059:4063174:-1 gene:ENSMUSG00000055541.17 transcript:ENSMUST00000086401.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lair1 description:leukocyte-associated Ig-like receptor 1 [Source:MGI Symbol;Acc:MGI:105492] MSLHPVILLVLVLCLGWKINTQEGSLPDITIFPNSSLMISQGTFVTVVCSYSDKHDLYNM VRLEKDGSTFMEKSTEPYKTEDEFEIGPVNETITGHYSCIYSKGITWSERSKTLELKVIK ENVIQTPAPGPTSDTSWLKTYSIYIFTVVSVIFLLCLSALLFCFLRHRQKKQGLPNNKRQ QQRPEERLNLATNGLEMTPDIVADDRLPEDRWTETWTPVAGDLQEVTYIQLDHHSLTQRA VGAVTSQSTDMAESSTYAAIIRH >ENSMUSP00000122037.1 pep:known chromosome:GRCm38:7:4008980:4063161:-1 gene:ENSMUSG00000055541.17 transcript:ENSMUST00000136616.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lair1 description:leukocyte-associated Ig-like receptor 1 [Source:MGI Symbol;Acc:MGI:105492] MSLHPVILLVLVLCLGWKINTQEGELCLWFLLYPWATLELIMCTWDAWKETLEYFL >ENSMUSP00000116800.1 pep:known chromosome:GRCm38:7:4009007:4063139:-1 gene:ENSMUSG00000055541.17 transcript:ENSMUST00000149395.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lair1 description:leukocyte-associated Ig-like receptor 1 [Source:MGI Symbol;Acc:MGI:105492] MSLHPVILLVLVLCLGWKINTQEGELCLWFLLYPWATLELIMCTWDAWKETLEYFL >ENSMUSP00000121738.1 pep:known chromosome:GRCm38:7:4009573:4063116:-1 gene:ENSMUSG00000055541.17 transcript:ENSMUST00000131126.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lair1 description:leukocyte-associated Ig-like receptor 1 [Source:MGI Symbol;Acc:MGI:105492] MSLHPVILLVLVLCLGWKINTQEGELCLWFLLYPWATLELIMCTWDAWKETLEYFL >ENSMUSP00000104241.2 pep:known chromosome:GRCm38:7:4009663:4063141:-1 gene:ENSMUSG00000055541.17 transcript:ENSMUST00000108600.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lair1 description:leukocyte-associated Ig-like receptor 1 [Source:MGI Symbol;Acc:MGI:105492] MSLHPVILLVLVLCLGWKINTQEGSLPDITIFPNSSLMISQGTFVTVVCSYSDKHDLYNM VRLEKDGSTFMEKSTEPYKTEDEFEIGPVNETITGHYSCIYSKGITWSERSKTLELKVIK ENVIQTPAPGPTSGLPNNKRQQQRPEERLNLATNGLEMTPDIVADDRLPEDRWTETWTPV AGDLQEVTYIQLDHHSLTQRAVGAVTSQSTDMAESSTYAAIIRH >ENSMUSP00000145940.1 pep:known chromosome:GRCm38:7:4009663:4063141:-1 gene:ENSMUSG00000055541.17 transcript:ENSMUST00000205296.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lair1 description:leukocyte-associated Ig-like receptor 1 [Source:MGI Symbol;Acc:MGI:105492] MSLHPVILLVLVLCLGWKINTQEGLPNNKRQQQRPEERLNLATNGLEMTPDIVADDRLPE DRWTETWTPVAGDLQEVTYIQLDHHSLTQRAVGAVTSQSTDMAESSTYAAIIRH >ENSMUSP00000083588.6 pep:known chromosome:GRCm38:7:4007073:4063204:-1 gene:ENSMUSG00000055541.17 transcript:ENSMUST00000086400.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lair1 description:leukocyte-associated Ig-like receptor 1 [Source:MGI Symbol;Acc:MGI:105492] MSLHPVILLVLVLCLGWKINTQEGSLPDITIFPNSSLMISQGTFVTVVCSYSDKHDLYNM VRLEKDGSTFMEKSTEPYKTEDEFEIGPVNETITGHYSCIYSKGITWSERSKTLELKVIK ENVIQTPAPGPTSDTSWLKTYSIYIFTVVSVIFLLCLSALLFCFLRHRQKKQGLPNNKRQ QQRPEERLNLATNGLEMTPTPVAGDLQEVTYIQLDHHSLTQRAVGAVTSQSTDMAESSTY AAIIRH >ENSMUSP00000105786.1 pep:known chromosome:GRCm38:2:132263148:132296193:1 gene:ENSMUSG00000058793.14 transcript:ENSMUST00000110158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cds2 description:CDP-diacylglycerol synthase (phosphatidate cytidylyltransferase) 2 [Source:MGI Symbol;Acc:MGI:1332236] MTELRQRVVREDAPPEDKESESEAKLDGETASDSESRAETAPLPTSVDDTPEVLNRALSN LSSRWKNWWVRGILTLAMIAFFFIIIYLGPMVLMMIVMCVQIKCFHEIITIGYNVYHSYD LPWFRTLSW >ENSMUSP00000099470.4 pep:known chromosome:GRCm38:2:132263148:132312050:1 gene:ENSMUSG00000058793.14 transcript:ENSMUST00000103181.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cds2 description:CDP-diacylglycerol synthase (phosphatidate cytidylyltransferase) 2 [Source:MGI Symbol;Acc:MGI:1332236] MTELRQRVVREDAPPEDKESESEAKLDGETASDSESRAETAPLPTSVDDTPEVLNRALSN LSSRWKNWWVRGILTLAMIAFFFIIIYLGPMVLMMIVMCVQIKCFHEIITIGYNVYHSYD LPWFRTLSWYFLLCVNYFFYGETVTDYFFTLVQREEPLRILSKYHRFISFALYLTGFCMF VLSLVKKHYRLQFYMFGWTHVTLLIVVTQSHLVIHNLFEGMIWFIVPISCVICNDIMAYM FGFFFGRTPLIKLSPKKTWEGFIGGFFATVVFGLLLSYVMSGYRCFVCPVEYNNDTNSFT VDCEPSDLFRLQEYNIPGVIQSAIGWKTVRMYPFQIHSIALSTFASLIGPFGGFFASGFK RAFKIKDFANTIPGHGGIMDRFDCQYLMATFVNVYIASFIRGPNPSKLIQQFLTLRPDQQ LHIFNTLKSHLTDKGILTSALEDE >ENSMUSP00000135497.1 pep:known chromosome:GRCm38:2:132263241:132297271:1 gene:ENSMUSG00000058793.14 transcript:ENSMUST00000147456.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cds2 description:CDP-diacylglycerol synthase (phosphatidate cytidylyltransferase) 2 [Source:MGI Symbol;Acc:MGI:1332236] MTELRQRVVREDAPPEDKVEELVGERHPDFGHDRVFLHYHLPGTNGFDDDCYVCPD >ENSMUSP00000135710.1 pep:known chromosome:GRCm38:2:132285176:132295573:1 gene:ENSMUSG00000058793.14 transcript:ENSMUST00000125060.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cds2 description:CDP-diacylglycerol synthase (phosphatidate cytidylyltransferase) 2 [Source:MGI Symbol;Acc:MGI:1332236] MIAFFFIIIYLGPMVLMMIVMCVQIKCFHEIIT >ENSMUSP00000086886.4 pep:known chromosome:GRCm38:2:132285939:132312050:1 gene:ENSMUSG00000058793.14 transcript:ENSMUST00000089461.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cds2 description:CDP-diacylglycerol synthase (phosphatidate cytidylyltransferase) 2 [Source:MGI Symbol;Acc:MGI:1332236] MESESEAKLDGETASDSESRAETAPLPTSVDDTPEVLNRALSNLSSRWKNWWVRGILTLA MIAFFFIIIYLGPMVLMMIVMCVQIKCFHEIITIGYNVYHSYDLPWFRTLSWYFLLCVNY FFYGETVTDYFFTLVQREEPLRILSKYHRFISFALYLTGFCMFVLSLVKKHYRLQFYMFG WTHVTLLIVVTQSHLVIHNLFEGMIWFIVPISCVICNDIMAYMFGFFFGRTPLIKLSPKK TWEGFIGGFFATVVFGLLLSYVMSGYRCFVCPVEYNNDTNSFTVDCEPSDLFRLQEYNIP GVIQSAIGWKTVRMYPFQIHSIALSTFASLIGPFGGFFASGFKRAFKIKDFANTIPGHGG IMDRFDCQYLMATFVNVYIASFIRGPNPSKLIQQFLTLRPDQQLHIFNTLKSHLTDKGIL TSALEDE >ENSMUSP00000121769.1 pep:known chromosome:GRCm38:2:132301296:132305328:1 gene:ENSMUSG00000058793.14 transcript:ENSMUST00000138194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cds2 description:CDP-diacylglycerol synthase (phosphatidate cytidylyltransferase) 2 [Source:MGI Symbol;Acc:MGI:1332236] XLLSYVMSGYRCFVCPVEYNNDTNSFTVDCEPSDLFRLQEYNIPGVIQSAIGWKTVRMYP FQIHSIALSTFASLIGPFGGFFASGFKRAFKIKDFANTIPGHGGIMDRFDCQYLMATFVN VYIASFISTPWVTGPARQLAPAQGCLMFCCRGPNPSKLIQQFLTLRPDQQLHIFNTLKSH LTDKGILTSALEDE >ENSMUSP00000029632.6 pep:known chromosome:GRCm38:3:82892579:82903973:-1 gene:ENSMUSG00000028003.6 transcript:ENSMUST00000029632.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrat description:lecithin-retinol acyltransferase (phosphatidylcholine-retinol-O-acyltransferase) [Source:MGI Symbol;Acc:MGI:1891259] MKNPMLEAASLLLEKLLLISNFKLFSVSVPGGGTGKNRPYEISSFVRGDVLEVSRTHFIH YGIYLGENRVAHLMPDILLALTNDKERTQKVVSNKRLLLGVICKVASIRVDTVEDFAYGA DILVNHLDGTLKKKSLLNEEVARRAEQQLGLTPYSLLWNNCEHFVTYCRYGSRISPQAEK FYDTVKIIIRDQRSSLASAVLGLASIVYTGLASYMTLPAICIPFCLWMMSG >ENSMUSP00000024119.9 pep:known chromosome:GRCm38:5:137309654:137314404:-1 gene:ENSMUSG00000023348.11 transcript:ENSMUST00000024119.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip6 description:thyroid hormone receptor interactor 6 [Source:MGI Symbol;Acc:MGI:1343458] MSGPTWLPPKQPEPSRLPQGRSLPRGALGPPTAHGATLQPHPRVNFCPLPPEHCYQPPGV PEDRGPTWVGSHGTPQRLQGLPPDRGIIRPGSLDAEIDSLTSMLADLDGGRSHAPRRPDR QAFEAPPPHAYRGGSLKPSGGAVPTPMLPASHYGGPTPASYATASTPAGPAFPVQVKVAQ PVRGCGLPRRGASQASGPLPGPHFPLTGRGEVWGAGYRSHREPGPGVPEGPSGVHIPAGG GRGGGHEPQGPLGQPPEEELERLTKKLVHDMSHPPSGEYFGRCGGCGEDVVGDGAGVVAL DRVFHIGCFVCSTCRAQLRGQHFYAVERRAYCESCYVATLEKCSTCSEPILDRILRAMGK AYHPGCFTCVVCHRGLDGIPFTVDATSQIHCIEDFHRKFAPRCSVCGGAIMPEPGQEETV RIVALDRSFHIGCYKCEECGLLLSSEGECQGCYPLDGHILCKACSAWRIQELSATVTTDC >ENSMUSP00000143687.1 pep:known chromosome:GRCm38:5:137312955:137314190:-1 gene:ENSMUSG00000023348.11 transcript:ENSMUST00000199121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip6 description:thyroid hormone receptor interactor 6 [Source:MGI Symbol;Acc:MGI:1343458] MSGPTWLPPKQPEPSRLPQGRSLPRGALGPPTAHGASF >ENSMUSP00000044172.5 pep:known chromosome:GRCm38:8:121091628:121108318:-1 gene:ENSMUSG00000031816.14 transcript:ENSMUST00000047282.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfsd description:methenyltetrahydrofolate synthetase domain containing [Source:MGI Symbol;Acc:MGI:2679252] MESHDIADFPRPVHHRIPNFKGAAQAAGHLPHLQAFHVARTIKVNPDAPQRNARFLVLES KKTLLVPTPRLRTGLFNKITPPPGATKDILRKCATSQGVRNFSVPVGLDSSVLVDLVVVG SVAVSEKGWRIGKGEGYADLEYAMMVSMGAVHKGTPVVTIVHDCQVVDIPEALVEDHDLT VDYILTPTRVITTGCARPKPTGIMWSKVSCEMLTKIPVLRNLREREKQAGKDVTLRDEPG SQQPAPGPIRRPQDRPQTGSRGGSRSPLQGADTQLAATVCVGNLPFTTRVRELKRVLQEL GVVPLRLTWQGPQHRAVLHYTDSAAAQQAASLLQGLRLGANALRVSLGQQRDM >ENSMUSP00000112116.2 pep:known chromosome:GRCm38:8:121097553:121108327:-1 gene:ENSMUSG00000031816.14 transcript:ENSMUST00000116415.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfsd description:methenyltetrahydrofolate synthetase domain containing [Source:MGI Symbol;Acc:MGI:2679252] METQEGVSKQSIRERIWDYMESHDIADFPRPVHHRIPNFKGAAQAAGHLPHLQAFHVART IKVNPDAPQRNARFLVLESKKTLLVPTPRLRTGLFNKITPPPGATKDILRKCATSQGVRN FSVPVGLDSSVLVDLVVVGSVAVSEKGWRIGKGEGYADLEYAMMVSMGAVHKGTPVVTIV HDCQVVDIPEALVEDHDLTVDYILTPTRVITTGCARPKPTGIMWSKVSCEMLTKIPVLRN LREREKQAGKDVTLRDEPGSQQPAPGPIRRPQDRPQTGSRGGSRSPLQGADTQLAATVCV GNLPFTTRVRELKRVLQELGVVPLRLTWQGPQHRAVLHYTDSAAAQQAASLLQGLRLGAN ALRVSLGQQRDM >ENSMUSP00000115382.1 pep:known chromosome:GRCm38:8:121097557:121108310:-1 gene:ENSMUSG00000031816.14 transcript:ENSMUST00000139782.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfsd description:methenyltetrahydrofolate synthetase domain containing [Source:MGI Symbol;Acc:MGI:2679252] MESHDIADFPRPVHHRIPNFKGSYLAGQSIRDLEVFAGTQEVKVDPDKPLEGVRFLALQS KKTLLVPTPRLRTGLFNKITPPPGATKDILRKCATSQGVRNFSVPVGLDSSVLVDLVVVG SVAVSEKGWRIGKGEGYADLEYAMMVSMGAVHKGTPVVTIVHDCQVVDIPEALVEDHDLT VDYILTPTRVITTGCARPKPTGIMWSKVSCEMLTKIPVLRNLREREKQAGKDVTLRDEPG SQQPAPGPIRRPQDRPQTGPTSGCQRLEGVTGAAEGYVTWTQPWKTANSVTSASKLSEVP >ENSMUSP00000117429.1 pep:known chromosome:GRCm38:8:121097851:121108392:-1 gene:ENSMUSG00000031816.14 transcript:ENSMUST00000133037.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfsd description:methenyltetrahydrofolate synthetase domain containing [Source:MGI Symbol;Acc:MGI:2679252] METQEGVSKQSIRERIWDYMESHDIADFPRPVHHRIPNFKGSYLAGQSIRDLEVFAGTQE VKVDPDKPLEGVRFLALQSKKTLLVPTPRLRTGLFNKITPPPGATKDILRKCATSQGVRN FSVPVGLDSSVLVDLVVVGSVAVSEKGWRIGKGEGYADLEYAMMVSMGAVHKGTPVVTIV HDCQVVDIPEALVEDHDLTVDYILTPTRVITTGCARPKPTGIMWSKVSCEMLTKIPVLRN LREREKQAGKDVTLRDEPGSQQPAPGPIRRPQDRPQTGPTSGCQRLEGVTGAAEGYVTWT QPWKTANSVTSASKLSEVP >ENSMUSP00000119673.1 pep:known chromosome:GRCm38:8:121101176:121108298:-1 gene:ENSMUSG00000031816.14 transcript:ENSMUST00000126431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfsd description:methenyltetrahydrofolate synthetase domain containing [Source:MGI Symbol;Acc:MGI:2679252] MRGGGRGSAWYGWDAEAGSREGGSVPSSPCLAGQVLASQAGEVAQRRRALAQQAPGPRCK AFSTSAPAAKVGKATIAGVSKQSIRERIWDYMESHDIADFPRPVHHRIPNFKGSYLAGQS IRDLEVFAGTQEVKVDPDKPLEGVRFLALQSKKTLLVPTPRLRTGLFNKITPPPGATKDI LRKCATSQGVRNFSVPVGLDSSVLVDLVVVGSVAVSEKGWRIGKGEGYADLEYAMMVSMG AVHKGTPVVTIVHDCQVVDIPEALVEDHDLTVDYILTPT >ENSMUSP00000070727.7 pep:known chromosome:GRCm38:16:32496265:32507211:1 gene:ENSMUSG00000052363.7 transcript:ENSMUST00000064192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc19 description:zinc finger, DHHC domain containing 19 [Source:MGI Symbol;Acc:MGI:2682948] MPFLKDAVTLVKEPQQLPSIPLSWFPSSVFAAFNVTLLLFLSGLFFGFPCRWLVQNGEWA FPAITGPLFILTFFSLVSLNFSDPGILHRGSTKEDPMTVHVVRVNQRAFRLEWCPKCLFH RPPRTYHCPWCNICVEDFDHHCKWVNNCIGHRNFRLFMLLVLSLCLYSGALLVTCLTFLF RTRHLPFSLDKGMAILVAVPAAGFLIPLFLLLLIQALSVSRAESSYESKCRYHPEYNPFD QGFAKNWYLAMFAPLGPNYMSEVVCLQRPVGTAWIQEKTKPSPPRRPKHCRPGPPGPQHQ PRRVPGKGPPGSGEAAALQEMRRLPASVEKSPGGPRQPTAEPAAGDP >ENSMUSP00000076115.5 pep:known chromosome:GRCm38:17:62604184:62881317:-1 gene:ENSMUSG00000048915.12 transcript:ENSMUST00000076840.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efna5 description:ephrin A5 [Source:MGI Symbol;Acc:MGI:107444] MLHVEMLTLLFLVLWMCVFSQDPGSKVVADRYAVYWNSSNPRFQRGDYHIDVCINDYLDV FCPHYEDSVPEDKTERYVLYMVNFDGYSACDHTSKGFKRWECNRPHSPNGPLKFSEKFQL FTPFSLGFEFRPGREYFYISSAIPDNGRRSCLKLKVFVRPTNSCMKTIGVHDRVFDVNDK VENSLEPADDTVHESAEPSRGENAAQTPRIPSRLLAILLFLLAMLLTL >ENSMUSP00000077883.4 pep:known chromosome:GRCm38:17:62607319:62881144:-1 gene:ENSMUSG00000048915.12 transcript:ENSMUST00000078839.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efna5 description:ephrin A5 [Source:MGI Symbol;Acc:MGI:107444] MLHVEMLTLLFLVLWMCVFSQDPGSKVVADRYAVYWNSSNPRFQRGDYHIDVCINDYLDV FCPHYEDSVPEDKTERYVLYMVNFDGYSACDHTSKGFKRWECNRPHSPNGPLKFSEKFQL FTPFSLGFEFRPGREYFYISSAIPDNGRRSCLKLKVFVRPTNDTVHESAEPSRGENAAQT PRIPSRLLAILLFLLAMLLTL >ENSMUSP00000073652.5 pep:known chromosome:GRCm38:5:114380607:114421169:1 gene:ENSMUSG00000029577.13 transcript:ENSMUST00000074002.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3b description:ubiquitin protein ligase E3B [Source:MGI Symbol;Acc:MGI:1891295] MFTVSQTSRAWFIDRARQAREERLVQKERERSAVTIQALVRSFLCRRRLHRDIRKEIDEF FSADESGSSKRSALCIFKIARRLLFICKTTEDSERLEKLCRSILNSMDAENEPKVWYVSL ALSKDLTLLWIKQIKSILWHCCELLGQLKPEILQDSRLITLYLTMLVTFTDTSTWKILRG KGESLRPALNHICANIMGHLNQRGLYSVLQVLLTRGLARPRPCLSKGMLTAAFSLALRPV VAAQFSDNLMRPFIIHVMSVPALVAHLSTVAPERLGVLESHDMLRKFIVFLRDRDRCRDA CESLEGCHTLCLMGNLLHLGSLSLRLLEEEMDGFVSALTQMLCYCQKYVAQKKSNLTHWH PVLGWFSQPVDYGLNDSMYLITKQLQFLWAVPLIRILFSDILSRKLLEHAEPAPVQPQPS SPQTVLPVKSLLKRAFQKSASVRNILRPVGGRRVDSAEVRKVCNICVLYQTSLTTLTQIR LQILTGLTYLDDLLPKLWAFICELGPHGGLKLFLECLNNDTGESKQLLAMLMLFCDCSRH LITILDDIEVYEEQISFKLEELVTISSFLNSFVFKMIWDGIVENAKGETLELFQSVHGWL MVLYERDCRRRFAPEDHWLRRDLKPGVLFQELDKDRRRAQLVLQHIPHVVPHKNRVLLFR NMVIKEKEKLGLVETSSASPHVTHITIRRSRMLEDGYEQLRQLSQHAMKGVIRVKFVNDL GVDEAGIDQDGVFKEFLEEIIKRVFDPALNLFKTTSGDERLYPSPTSYIHENYLQLFEFV GKMLGKAVYEGIVVDVPFASFFLSQMLGHHHSVFYSSVDELPSLDSEFYKNLTSIKRYDG DIADLGLTLSYDEDVMGQLVCHELVPGGKTIPVTDENKISYIHLMAHFRMHTQIKNQTAA LISGFRSIIKPEWIRMFSTPELQRLISGDNAEIDLEDLKKHTVYYGGFHGSHRVIIWLWD ILASDFTPEERAMFLKFVTSCSRPPLLGFAYLKPPFSIRCVEVSDDQDTGDTLGSVLRGF FTIRKREPGGRLPTSSTCFNLLKLPNYSKKSVLREKLRYAISMNTGFELS >ENSMUSP00000138723.1 pep:known chromosome:GRCm38:5:114380826:114421168:1 gene:ENSMUSG00000029577.13 transcript:ENSMUST00000130169.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube3b description:ubiquitin protein ligase E3B [Source:MGI Symbol;Acc:MGI:1891295] MFTVSQTSRAWFIDRARQAREERLVQKERERSAVTIQALVRSFLCRRRLHRDIRKEIDEF FSADESGSSKRSALCIFKIARRLLFICKTTEDSERLEKLCRSILNSMDAENEPKVWYVSL ALSKDLTLLWIKQIKSILWHCCELLGQLKPEILQDSRLITLYLTMLVTFTDTSTWKILRG KGTADPRPGQAPALSVQRHVNSSLLSGPAPCGCCAVLRQSYATIHHPRHVGTCSRGSSQH RGP >ENSMUSP00000142943.1 pep:known chromosome:GRCm38:5:114380833:114392159:1 gene:ENSMUSG00000029577.13 transcript:ENSMUST00000151809.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube3b description:ubiquitin protein ligase E3B [Source:MGI Symbol;Acc:MGI:1891295] MFTVSQTSRAWFIDRARQAREERLVQKERERSAVTIQALVRSFLCRRRLHRDIRDWRSCV EAS >ENSMUSP00000143455.2 pep:known chromosome:GRCm38:5:114398784:114421168:1 gene:ENSMUSG00000029577.13 transcript:ENSMUST00000196651.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube3b description:ubiquitin protein ligase E3B [Source:MGI Symbol;Acc:MGI:1891295] XDMLRKFIVFLRDRDRCRDACESLEGCHTLCLMGNLLHLGSLSLRLLEEEMDGFVSALTQ MLCYCQKYVAQKKSNLTHWHPVLGWFSQPVDYGLNDSMYLITKQLQFLWAVPLIRILFSD ILSRKLLEHAEPAPVQPQPSSPQTVLPVKSEPPQACVSEVGFGSEHPQACWGPPCRLR >ENSMUSP00000034185.6 pep:known chromosome:GRCm38:8:92674288:92680956:1 gene:ENSMUSG00000031738.14 transcript:ENSMUST00000034185.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx6 description:Iroquois related homeobox 6 (Drosophila) [Source:MGI Symbol;Acc:MGI:1927642] MAFSPFGHPYGSTSQFLVSASSSATCCETAPRPVSDVASASTSASTLCCTPYDSRLLGSA RPELGAALGIYGAPYAAAQSYPGYLTYGPEPPTLCGALNPQYEFKDAAGSFAPSLTQPGA YYPYETTLGQYQYDRYGGVELSSAGRRKNATRESTSALKAWLHEHRKNPYPTKGEKIMLA IITKMTLTQVSTWFANARRRLKKENKMTWAPKNKGGEERKADSGEDSLGCLNGDTKDATA SQEARGLRLSDLEDLEEEEEEEEAEEEAAVSAARRLADFQKSTQPLPAPCAAAQERCLES RECGLGLPRFSFTEAPQSGEADFITAEPGGPTMILHYPSGHKPRIWSLAHTAAASAVESA PSTPPRAQSPECHMIPRQPSSIRRLLVPRDSEGEEDSPAAKAFGNSTFTLQGLPLNCAPY PRRREPEVRFQYPSGAEAG >ENSMUSP00000127446.1 pep:known chromosome:GRCm38:8:92674826:92680956:1 gene:ENSMUSG00000031738.14 transcript:ENSMUST00000167261.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx6 description:Iroquois related homeobox 6 (Drosophila) [Source:MGI Symbol;Acc:MGI:1927642] MAFSPFGHPYGSTSQFLVSASSSATCCETAPRPVSDVASASTSASTLCCTPYDSRLLGSA RPELGAALGIYGAPYAAAQSYPGYLTYGPEPPTLCGALNPQYEFKDAAGSFAPSLTQPGA YYPYETTLGQYQYDRYGGVELSSAGRRKNATRESTSALKAWLHEHRKNPYPTKGEKIMLA IITKMTLTQVSTWFANARRRLKKENKMTWAPKNKGGEERKADSGEDSLGCLNGDTKDATA SQEARGLRLSDLEDLEEEEEEEEAEEEAAVSAARRLADFQKSTQPLPAPCAAAQERCLES RECGLGLPRFSFTEAPQSGEADFITAEPGGPTMILHYPSGHKPRIWSLAHTAAASAVESA PSTPPRAQSPECHMIPRQPSSIRRLLVPRDSEGEEDSPAAKAFGNSTFTLQGLPLNCAPY PRRREPEVRFQYPSGAEG >ENSMUSP00000107609.1 pep:known chromosome:GRCm38:5:117120129:117275217:1 gene:ENSMUSG00000061288.13 transcript:ENSMUST00000111978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok3 description:TAO kinase 3 [Source:MGI Symbol;Acc:MGI:3041177] MRKGALKDPEIADLFFKDDPEELFIDLHEIGHGSFGAVYFATNAHTNEVVAVKKMSYSGK QTHEKWQDILKEVKFLQQLKHPNTIEYKGCYLKEHTAWLVMEYCLGSASDLLEVHKKPLQ EVEIAAITHGALQGLAYLHFHSLIHRDIKAGNILLTEPGQVKLADFGSASMASPANSFVG TPYWMAPEVILAMDEGQYDGKVDIWSLGITCIELAERKPPLFNMNAMSALYHIAQNDSPT LQSREWTDSFRRFVDYCLHKIPQERPAAVELLRHDFIRRERPPKVLIDLIQRTKDAVREL DNLQYRKMKKILFQETRNGPLNESQEEEEDGEQGSNLNREVDSLGSIHSIPSTSVSTGSR SSSVNSMQEVMDESSSELVMMQEDEGTANSSASTVHKKDHVFVRDEAGHGDPRPEPRPTQ SVQSRALHYRNRERFATIKSASLVTRQIHEHEQENELREQMSGYKRMRRQHQKQLIALEN KLKAEMDEHRLKLQKEVETHANNSSIELEKLAKKQVATIEKEAKVAAADEKKFQQQILAQ QKKDLTTFLESQKKQYKICKEKIKEEMNEDHSTPKKEKQERISKHKENLQHTQAEEEAHL LTQQRLYYDRNCRFFKRKIMIKRHEVEQQNIREELNKKRTQKEMEHAMLIRHDESTRELE YRQLHTLQKLRMDLIRLQHQTELENQLEYNKRRERELHRKHVMELRQQPKNLKAMEMQIK KQFQDTCKVQTKQYKALKNHQLEVTPKNEHKAILKTLKDEQTRKLAILAEQYEQSINEMM ASQALRLDEAQEAECQALRLQLQQEMELLNAYQSKIKMQTEAQHERELQKLEQRVSLRRA HLEQKIEEELAALQKERSERIKTLLERQERETETFDMESLRMGFGNLVTLDFPKEDYR >ENSMUSP00000117841.1 pep:known chromosome:GRCm38:5:117126301:117203283:1 gene:ENSMUSG00000061288.13 transcript:ENSMUST00000125738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok3 description:TAO kinase 3 [Source:MGI Symbol;Acc:MGI:3041177] MRKGALKDPEIADLFFKDDPEELFIDLHEIGHGSFGAVYFATNAHTNEVVAVKKMSYSGK QTHEKWQDILKEVKFLQQLKHPNTIEYKGCYLKEHTAWLVMEYCLGSAS >ENSMUSP00000090565.5 pep:known chromosome:GRCm38:5:117133595:117275219:1 gene:ENSMUSG00000061288.13 transcript:ENSMUST00000092889.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok3 description:TAO kinase 3 [Source:MGI Symbol;Acc:MGI:3041177] MRKGALKDPEIADLFFKDDPEELFIDLHEIGHGSFGAVYFATNAHTNEVVAVKKMSYSGK QTHEKWQDILKEVKFLQQLKHPNTIEYKGCYLKEHTAWLVMEYCLGSASDLLEVHKKPLQ EVEIAAITHGALQGLAYLHFHSLIHRDIKAGNILLTEPGQVKLADFGSASMASPANSFVG TPYWMAPEVILAMDEGQYDGKVDIWSLGITCIELAERKPPLFNMNAMSALYHIAQNDSPT LQSREWTDSFRRFVDYCLHKIPQERPAAVELLRHDFIRRERPPKVLIDLIQRTKDAVREL DNLQYRKMKKILFQETRNGPLNESQEEEEDGEQGSNLNREVDSLGSIHSIPSTSVSTGSR SSSVNSMQEVMDESSSELVMMQEDEGTANSSASTVHKKDHVFVRDEAGHGDPRPEPRPTQ SVQSRALHYRNRERFATIKSASLVTRQIHEHEQENELREQMSGYKRMRRQHQKQLIALEN KLKAEMDEHRLKLQKEVETHANNSSIELEKLAKKQVATIEKEAKVAAADEKKFQQQILAQ QKKDLTTFLESQKKQYKICKEKIKEEMNEDHSTPKKEKQERISKHKENLQHTQAEEEAHL LTQQRLYYDRNCRFFKRKIMIKRHEVEQQNIREELNKKRTQKEMEHAMLIRHDESTRELE YRQLHTLQKLRMDLIRLQHQTELENQLEYNKRRERELHRKHVMELRQQPKNLKAMEMQIK KQFQDTCKVQTKQYKALKNHQLEVTPKNEHKAILKTLKDEQTRKLAILAEQYEQSINEMM ASQALRLDEAQEAECQALRLQLQQEMELLNAYQSKIKMQTEAQHERELQKLEQRVSLRRA HLEQKIEEELAALQKERSERIKTLLERQERETETFDMESLRMGFGNLVTLDFPKEDYR >ENSMUSP00000116920.1 pep:known chromosome:GRCm38:5:117133618:117252658:1 gene:ENSMUSG00000061288.13 transcript:ENSMUST00000145640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok3 description:TAO kinase 3 [Source:MGI Symbol;Acc:MGI:3041177] MRKGALKDPEIADLFFKDDPEELFIDLHEIGHGSFGAVYFATNAHTNEVVAVKKMSYSGK QTHEKWQDILKEVKFLQQLKHPNTIEYKGCYLKEHTAWLVMEYCLGSASDLLEVHKKPLQ EVEIAAITHGALQGLAYLHFHSLIHRDIKAGNILLTEPGQVKLADFGSASMASPANSFVG TPYWMAPEVILAMDEGQYDGKVDIWSLGITCIELAERKPPLFNMNAMSALYHIAQNDSPT LQSREWTDSFRRFVDYCLHKIPQERPAAVELLRHDFIRRERPPKVLIDLIQRTKDAVREL DNLQYRKMKKILFQETRNGPLNESQEEEEDGEQGSNLNREVDSLGSIHSIPSTSVSTGSR SSSVNSMQEVMDESSSELVMMQEDEGTANSSASTVHKKDHVFVRDEAGHGDPRPEPRPTQ SVQSRALHYRNRERFATIKSASLVTRQIHEHEQENELREQMSGYKRMRRQHQKQLIALEN KLKAEMDEHRLKLQKEVETHANNSSIELEKLAKKQVATIEKEAKVAAADEKKFQQQILAQ QKKDLTTFLESQKKQYKICKE >ENSMUSP00000119998.1 pep:known chromosome:GRCm38:5:117203265:117228079:1 gene:ENSMUSG00000061288.13 transcript:ENSMUST00000127814.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok3 description:TAO kinase 3 [Source:MGI Symbol;Acc:MGI:3041177] CLGSASDLLEVHKKPLQEVEIAAITHGALQGLAYLHFHSLIHRDIKAGNILLTEPGQVKL ADFGSASMASPANSFVGTPYWMAPEVILAMDEGQYDGKVDIWSLGITCIELAERKPPLFN MNAMSALYHIAQNDSPTLQSREWTDSFRRFVDYCLHKIPQERPAAVELLRDGEQGSNLNR EVDSLGSIHSIPSTSVSTGSRSSSVNSMQEVMDESSSELVMMQEDEGTANSSASTVHKK >ENSMUSP00000107606.1 pep:known chromosome:GRCm38:5:117240460:117273867:1 gene:ENSMUSG00000061288.13 transcript:ENSMUST00000111975.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok3 description:TAO kinase 3 [Source:MGI Symbol;Acc:MGI:3041177] MSGYKRMRRQHQKQLIALENKLKAEMDEHRLKLQKEVETHANNSSIELEKLAKKQVATIE KEAKVAAADEKKFQQQILAQQKKDLTTFLESQKKQYKICKEKIKEEMNEDHSTPKKEKQE RISKHKENLQHTQAEEEAHLLTQQRLYYDRNCRFFKRKIMIKRHEVEQQNIREELNKKRT QKEMEHAMLIRHDESTRELEYRQLHTLQKLRMDLIRLQHQTELENQLEYNKRRERELHRK HVMELRQQPKNLKAMEMQIKKQFQDTCKVQTKQYKALKNHQLEVTPKNEHKAILKTLKDE QTRKLAILAEQYEQSINEMMASQALRLDEAQEAECQALRLQLQQEMELLNAYQSKIKMQT EAQHERELQKLEQRVSLRRAHLEQKIEEELAALQKERSERIKTLLERQERETETFDMESL RMGFGNLVTLDFPKEDYR >ENSMUSP00000136750.1 pep:known chromosome:GRCm38:5:117133588:117275075:1 gene:ENSMUSG00000061288.13 transcript:ENSMUST00000179276.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok3 description:TAO kinase 3 [Source:MGI Symbol;Acc:MGI:3041177] MRKGALKDPEIADLFFKDDPEELFIDLHEIGHGSFGAVYFATNAHTNEVVAVKKMSYSGK QTHEKWQDILKEVKFLQQLKHPNTIEYKGCYLKEHTAWLVMEYCLGSASDLLEVHKKPLQ EVEIAAITHGALQGLAYLHFHSLIHRDIKAGNILLTEPGQVKLADFGSASMASPANSFVG TPYWMAPEVILAMDEGQYDGKVDIWSLGITCIELAERKPPLFNMNAMSALYHIAQNDSPT LQSREWTDSFRRFVDYCLHKIPQERPAAVELLRHDFIRRERPPKVLIDLIQRTKDAVREL DNLQYRKMKKILFQETRNGPLNESQEEEEDGEQGSNLNREVDSLGSIHSIPSTSVSTGSR SSSVNSMQEVMDESSSELVMMQEDEGTANSSASTVHKKDHVFVRDEAGHGDPRPEPRPTQ SVQSRALHYRNRERFATIKSASLVTRQIHEHEQENELREQMSGYKRMRRQHQKQLIALEN KLKAEMDEHRLKLQKEVETHANNSSIELEKLAKKQVATIEKEAKVAAADEKKFQQQILAQ QKKDLTTFLESQKKQYKICKEKIKEEMNEDHSTPKKEKQERISKHKENLQHTQAEEEAHL LTQQRLYYDRNCRFFKRKIMIKRHEVEQQNIREELNKKRTQKEMEHAMLIRHDESTRELE YRQLHTLQKLRMDLIRLQHQTELENQLEYNKRRERELHRKHVMELRQQPKNLKAMEMQIK KQFQDTCKVQTKQYKALKNHQLEVTPKNEHKAILKTLKDEQTRKLAILAEQYEQSINEMM ASQALRLDEAQEAECQALRLQLQQEMELLNAYQSKIKMQTEAQHERELQKLEQRVSLRRA HLEQKIEEELAALQKERSERIKTLLERQERETETFDMESLRMGFGNLVTLDFPKEDYR >ENSMUSP00000038346.3 pep:known chromosome:GRCm38:6:134609207:134632488:-1 gene:ENSMUSG00000032718.4 transcript:ENSMUST00000047443.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mansc1 description:MANSC domain containing 1 [Source:MGI Symbol;Acc:MGI:1914979] MLFRGTSLAYSLLVISFLTPRSSAGQNCLTKSLEDVVIDIQSSLSKGIRGNEPIHLATQE DCIGACCSTKDIAGDKACNLMIFDTRKTDRQPNCYLFFCPSEDACPLKPAKGLVTYRLIR DFPLTSANSSLQQLTQGEFLLLDHSSPGATPGFRTPAGYPKPTGLSWSDRSSLKSTAPLH LRKHIKADETSMQLPEEKSHSQSLQLPSELKMAHLLPKTVPTPPTTVAVAPLRNVSATLK PELLLTSISVTAKTLKQKEATTASPVTTVTSKLPGVPGSTSFTPVVTHQAALTNTFQAHT DSKGILETMPFQGGSTLTSDPRHGKSSTSESSITNKTASWEDRRVSVGSASLNKGPKSQH GLSFEKWLLIGTLLCGVLFLVIGLVLLGRMLVEALRRKRYSRLDYLINGIYVDI >ENSMUSP00000144418.1 pep:known chromosome:GRCm38:5:119832668:119840886:1 gene:ENSMUSG00000018263.11 transcript:ENSMUST00000202723.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx5 description:T-box 5 [Source:MGI Symbol;Acc:MGI:102541] MADTDEGFGLARTPLEPDSKDRSCDSKPESALGAPSKSPSSPQAAFTQQGMEGIKVFLHE RELWLKFHEVGTEMIITKAGRRMFPSYKVKVTGLNPKTKYILLMDIVPADDHRYKFADNK >ENSMUSP00000018407.6 pep:known chromosome:GRCm38:5:119834663:119885219:1 gene:ENSMUSG00000018263.11 transcript:ENSMUST00000018407.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx5 description:T-box 5 [Source:MGI Symbol;Acc:MGI:102541] MADTDEGFGLARTPLEPDSKDRSCDSKPESALGAPSKSPSSPQAAFTQQGMEGIKVFLHE RELWLKFHEVGTEMIITKAGRRMFPSYKVKVTGLNPKTKYILLMDIVPADDHRYKFADNK WSVTGKAEPAMPGRLYVHPDSPATGAHWMRQLVSFQKLKLTNNHLDPFGHIILNSMHKYQ PRLHIVKADENNGFGSKNTAFCTHVFPETAFIAVTSYQNHKITQLKIENNPFAKGFRGSD DLELHRMSRMQSKEYPVVPRSTVRHKVTSNHSPFSSETRALSTSSNLGSQYQCENGVSGP SQDLLPPPNPYPLAQEHSQIYHCTKRKDEECSSTEHPYKKPYMETSPSEEDTFYRSGYPQ QQGLSTSYRTESAQRQACMYASSAPPSEPVPSLEDISCNTWPSMPSYSSCTVTTVQPMDR LPYQHFSAHFTSGPLVPRLAGMANHGSPQLGEGMFQHQTSVAHQPVVRQCGPQTGLQSPG GLQPPEFLYTHGVPRTLSPHQYHSVHGVGMVPEWSENS >ENSMUSP00000143828.1 pep:known chromosome:GRCm38:5:119836155:119845110:1 gene:ENSMUSG00000018263.11 transcript:ENSMUST00000202504.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx5 description:T-box 5 [Source:MGI Symbol;Acc:MGI:102541] MADTDEGFGLARTPLEPDSKDRSCDSKPESALGAPSKSPSSPQAAFTQQGMEGIKVFLHE RELWLKFHEVGTEMIITKAGRRMFPSYKVKVTGLNPKTKYILLMDIVPADDHRYKFADNK WSVTGKAEPAMPGRLYVHPDSPATGAHWMRQLVSFQKLKLTNNHLDPFGHIILNSMHKYQ PRLHIVKADENNGFGSKNTAFCTHVFPETAFIAVTSYQ >ENSMUSP00000026093.7 pep:known chromosome:GRCm38:7:81792074:81829431:-1 gene:ENSMUSG00000025103.8 transcript:ENSMUST00000026093.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd1 description:BTB (POZ) domain containing 1 [Source:MGI Symbol;Acc:MGI:1933765] MASLGSAAAGEPATGAEAEPGPPAPPPPPPPPPAPSPSALGPLLPLQREPLYNWQATKAS LKERFAFLFNSELLSDVRFVLGKGRGAAAAGGPQRIPAHRFVLAAGSAVFDAMFNGGMAT TSAEIELPDVEPAAFLALLRFLYSDEVQIGPETVMTTLYTAKKYAVPALEAHCVEFLTKH LRADNAFMLLTQARLFDEPQLASLCLDTIDKSTVDAISAEGFTDIDIDTLCAVLERDTLS IRESRLFGAIVRWAEAECQRQQLAVTFGNKQKVLGKALSLIRFPLMTIEEFAAGPAQSGI LSDREVVNLFLHFTVNPKPRVEYIDRPRCCLRGKECCINRFQQVESRWGYSGTSDRIRFT VNRRISVVGFGLYGSIHGPTDYQVNIQIIEYEKKQTLGQNDTGFSCDGTANTFRVMFKEP IEILPNVCYTACATLKGPDSHYGTKGLKKVVHETPAASKTVFLFFSSPGNNNGTSIEDGQ IPEIIFYT >ENSMUSP00000133844.1 pep:known chromosome:GRCm38:11:102304560:102319096:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000174302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYF RFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQEFERNLARFR EDHPDLIQNAKKSDIPEKPKTPQQLWYTHEKKVYLKVRPDATTKEVKDSLGKQWSQLSDK KRLKWIHKALEQRKEYEEIMRDYIQKHPELNISEEGITKSTLTKAERQLKDKFDGRPTKP PPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQKEKDAYHKKCDQKKKDYEVELLR FLESLPEEEQQRVLGEEKMLNINKKQTTSPASKKPSQEGGKGGSEKPKRPVSAMFIFSEE KRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYKAREAALKAQSERKPGGEREDRGK LPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEMTWNNMEKKEKLMWIKKAAEDQKR YERELSEMRAPPAATNSSKKMKFQGEPKKPPMNGYQKFSQELLSNGELNHLPLKERMVEI GSRWQRISQSQKEHYKKLAEEQQRQYKVHLDLWVKSLSPQDRAAYKEYISNKRKNMTKLR GPNPKSSRTTLQSKSESEEDDDEEEEDDEEEEEEEDDENGDSSEDGGDSSESSSEDESED GDENDDDDDDEDDEDDDDEDEDNESEGSSSSSSSSGDSSDSDSN >ENSMUSP00000078539.4 pep:known chromosome:GRCm38:11:102305924:102316680:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000079589.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYF RFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQEFERNLARFR EDHPDLIQNAKKSDIPEKPKTPQQLWYTHEKKVYLKVRPDATTKEVKDSLGKQWSQLSDK KRLKWIHKALEQRKEYEEIMRDYIQKHPELNISEEGITKSTLTKAERQLKDKFDGRPTKP PPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQKEKDAYHKKCDQKKKDYEVELLR FLESLPEEEQQRVLGEEKMLNINKKQTTSPASKKPSQEGGKGGSEKPKRPVSAMFIFSEE KRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYKAREAALKAQSERKPGGEREDRGK LPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEMTWNNMEKKEKLMWIKKAAEDQKR YERELSEMRAPPAATNSSKKMKFQGEPKKPPMNGYQKFSQELLSNGELNHLPLKERMVEI GSRWQRISQSQKEHYKKLAEEQQRQYKVHLDLWVKSLSPQDRAAYKEYISNKRKNMTKLR GPNPKSSRTTLQSKSESEEDDDEEEEDDEEEEEEEDDENGDSSEDGGDSSESSSEDESED GDENDDDDDDEDDEDDDDEDEDNESEGSSSSSSSSGDSSDSDSN >ENSMUSP00000102732.1 pep:known chromosome:GRCm38:11:102305924:102316704:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000107115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYF RFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQEFERNLARFR EDHPDLIQNAKKSDIPEKPKTPQQLWYTHEKKVYLKVRPDEIMRDYIQKHPELNISEEGI TKSTLTKAERQLKDKFDGRPTKPPPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQ KEKDAYHKKCDQKKKDYEVELLRFLESLPEEEQQRVLGEEKMLNINKKQTTSPASKKPSQ EGGKGGSEKPKRPVSAMFIFSEEKRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYK AREAALKAQSERKPGGEREDRGKLPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEM TWNNMEKKEKLMWIKKAAEDQKRYERELSEMRAPPAATNSSKKMKFQGEPKKPPMNGYQK FSQELLSNGELNHLPLKERMVEIGSRWQRISQSQKEHYKKLAEEQQRQYKVHLDLWVKSL SPQDRAAYKEYISNKRKNMTKLRGPNPKSSRTTLQSKSESEEDDDEEEEDDEEEEEEEDD ENGDSSEDGGDSSESSSEDESEDGDENDDDDDDEDDEDDDDEDEDNESEGSSSSSSSSGD SSDSDSN >ENSMUSP00000102734.2 pep:known chromosome:GRCm38:11:102305924:102317871:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000107117.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYF RFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQEFERNLARFR EDHPDLIQNAKKSDIPEKPKTPQQLWYTHEKKVYLKVRPDEIMRDYIQKHPELNISEEGI TKSTLTKAERQLKDKFDGRPTKPPPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQ KEKDAYHKKCDQKKKDYEVELLRFLESLPEEEQQRVLGEEKMLNINKKQTTSPASKKPSQ EGGKGGSEKPKRPVSAMFIFSEEKRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYK AREAALKAQSERKPGGEREDRGKLPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEM TWNNMEKKEKLMWIKKAAEDQKRYERELSEMRAPPAATNSSKKMKFQGEPKKPPMNGYQK FSQELLSNGELNHLPLKERMVEIGSRWQRISQSQKEHYKKLAEEQQRQYKVHLDLWVKSL SPQDRAAYKEYISNKRKNMTKLRGPNPKSSRTTLQSKSESEEDDDEEEEDDEEEEEEEDD ENGDSSEDGGDSSESSSEDESEDGDENDDDDDDEDDEDDDDEDEDNESEGSSSSSSSSGD SSDSDSN >ENSMUSP00000006754.7 pep:known chromosome:GRCm38:11:102305924:102319096:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000006754.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYF RFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQEFERNLARFR EDHPDLIQNAKKSDIPEKPKTPQQLWYTHEKKVYLKVRPDEIMRDYIQKHPELNISEEGI TKSTLTKAERQLKDKFDGRPTKPPPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQ KEKDAYHKKCDQKKKDYEVELLRFLESLPEEEQQRVLGEEKMLNINKKQTTSPASKKPSQ EGGKGGSEKPKRPVSAMFIFSEEKRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYK AREAALKAQSERKPGGEREDRGKLPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEM TWNNMEKKEKLMWIKKAAEDQKRYERELSEMRAPPAATNSSKKMKFQGEPKKPPMNGYQK FSQELLSNGELNHLPLKERMVEIGSRWQRISQSQKEHYKKLAEEQQRQYKVHLDLWVKSL SPQDRAAYKEYISNKRKNMTKLRGPNPKSSRTTLQSKSPRRMTMRRRRTTRRRRKRKMMR TVTLLRMAGTLLSPAVKMKARMGMRMMMTTTMKMTKMTTMRMKTTSLRAVAPALHPQGTL RILTPTEAQPPPQAGRQAGSQGESPCRAHLPN >ENSMUSP00000102736.2 pep:known chromosome:GRCm38:11:102305993:102317287:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000107119.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYF RFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQEFERNLARFR EDHPDLIQNAKKSDIPEKPKTPQQLWYTHEKKVYLKVRPDEIMRDYIQKHPELNISEEGI TKSTLTKAERQLKDKFDGRPTKPPPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQ KEKDAYHKKCDQKKKDYEVELLRFLESLPEEEQQRVLGEEKMLNINKKQTTSPASKKPSQ EGGKGGSEKPKRPVSAMFIFSEEKRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYK AREAALKAQSERKPGGEREDRGKLPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEM TWNNMEKKEKLMWIKKAAEDQKRYERELSEMRAPPAATNSSKKMKFQGEPKKPPMNGYQK FSQELLSNGELNHLPLKERMVEIGSRWQRISQSQKEHYKKLAEEQQRQYKVHLDLWVKSL SPQDRAAYKEYISNKRKNMTKLRGPNPKSSRTTLQSKSESEEDDDEEEEDDEEEEEEEDD ENGDSSEDGGDSSESSSEDESEDGDENDDDDDDEDDEDDDDEDEDNESEGSSSSSSSSGD SSDSDSN >ENSMUSP00000102740.3 pep:known chromosome:GRCm38:11:102306298:102317635:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000107123.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYF RFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQEFERNLARFR EDHPDLIQNAKKSDIPEKPKTPQQLWYTHEKKVYLKVRPDATTKEVKDSLGKQWSQLSDK KRLKWIHKALEQRKEYEEIMRDYIQKHPELNISEEGITKSTLTKAERQLKDKFDGRPTKP PPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQKEKDAYHKKCDQKKKDYEVELLR FLESLPEEEQQRVLGEEKMLNINKKQTTSPASKKPSQEGGKGGSEKPKRPVSAMFIFSEE KRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYKAREAALKAQSERKPGGEREDRGK LPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEMTWNNMEKKEKLMWIKKAAEDQKR YERELSEMRAPPAATNSSKKMKFQGEPKKPPMNGYQKFSQELLSNGELNHLPLKERMVEI GSRWQRISQSQKEHYKKLAEEQQRQYKVHLDLWVKSLSPQDRAAYKEYISNKRKNMTKLR GPNPKSSRTTLQSKSESEEDDDEEEEDDEEEEEEEDDENGDSSEDGGDSSESSSEDESED GDENDDDDDDEDDEDDDDEDEDNESEGSSSSSSSSGDSSDSDSN >ENSMUSP00000133611.1 pep:known chromosome:GRCm38:11:102306486:102319742:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000173870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYF RFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQEFERNLARFR EDHPDLIQNAKKSDIPEKPKTPQQLWYTHEKKVYLKVRPDATTKEVKDSLGKQWSQLSDK KRLKWIHKALEQRKEYEEIMRDYIQKHPELNISEEGITKSTLTKAERQLKDKFDGRPTKP PPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQKEKDAYHKKCDQKKKDYEVELLR FLESLPEEEQQRVLGEEKMLNINKKQTTSPASKKPSQEGGKGGSEKPKRPVSAMFIFSEE KRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYKAREAALKAQSERKPGGEREDRGK LPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEMTWNNMEKKEKLMWIKKAAEDQKR YERELSEMRAPPAATNSSKKMKFQGEPKKPPMNGYQKFSQELLSNGELNHLPLKERMVEI GSRWQRISQSQKEHYKKLAEEQQRQYKVHLDLWVKSLSPQDRAAYKEYISNKRKNMTKLR GPNPKSSRTTLQSKSESEEDDDEEEEDDEEEEEEEDDENGDSSEDGGDSSESSSEDESED GDENDDDDDDEDDEDDDDEDEDNESEGSSSSSSSSGDSSDSDSN >ENSMUSP00000134665.1 pep:known chromosome:GRCm38:11:102311755:102316675:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000146896.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEKHPDFPKKPLTPYFRFFMEKRAKYAKLHPEMSNLDLTKILSK KYKELPEKKKMKYIQDFQREKQEFERNLARF >ENSMUSP00000131930.1 pep:known chromosome:GRCm38:11:102313609:102316705:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000128016.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYF RFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKVGGGGAQVWGG >ENSMUSP00000136310.1 pep:known chromosome:GRCm38:11:102304561:102319096:-1 gene:ENSMUSG00000020923.17 transcript:ENSMUST00000178839.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtf description:upstream binding transcription factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:98512] MNGEADCPTDLEMAAPKGQDRWSQEDMLTLLECMKNNLPSNDSSKFKTTESHMDWEKVAF KDFSGDMCKLKWVEISNEVRKFRTLTELILDAQEHVKNPYKGKKLKKHPDFPKKPLTPYF RFFMEKRAKYAKLHPEMSNLDLTKILSKKYKELPEKKKMKYIQDFQREKQEFERNLARFR EDHPDLIQNAKKSDIPEKPKTPQQLWYTHEKKVYLKVRPDEIMRDYIQKHPELNISEEGI TKSTLTKAERQLKDKFDGRPTKPPPNSYSLYCAELMANMKDVPSTERMVLCSQQWKLLSQ KEKDAYHKKCDQKKKDYEVELLRFLESLPEEEQQRVLGEEKMLNINKKQTTSPASKKPSQ EGGKGGSEKPKRPVSAMFIFSEEKRRQLQEERPELSESELTRLLARMWNDLSEKKKAKYK AREAALKAQSERKPGGEREDRGKLPESPKRAEEIWQQSVIGDYLARFKNDRVKALKAMEM TWNNMEKKEKLMWIKKAAEDQKRYERELSEMRAPPAATNSSKKMKFQGEPKKPPMNGYQK FSQELLSNGELNHLPLKERMVEIGSRWQRISQSQKEHYKKLAEEQQRQYKVHLDLWVKSL SPQDRAAYKEYISNKRKNMTKLRGPNPKSSRTTLQSKSESEEDDDEEEEDDEEEEEEEDD ENGDSSEDGGDSSESSSEDESEDGDENDDDDDDEDDEDDDDEDEDNESEGSSSSSSSSGD SSDSDSN >ENSMUSP00000128942.1 pep:known chromosome:GRCm38:13:22772327:22773325:-1 gene:ENSMUSG00000071493.4 transcript:ENSMUST00000124841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r208 description:vomeronasal 1 receptor 208 [Source:MGI Symbol;Acc:MGI:2159668] MVLKYINKVNKISLFMTVVGTLGNMFVSVNYTFSYWGSSEKKPIYLILIHLAFTNILILL TKGLPKTILAFGLRNFLDDIGCKIIVYLERVARGLSICTSSFLTVVQAVIISPRASGWNR LRPESAWHILPFFSSFWILNALISINLIHSIRSTSLNISQQINYENSCYFTVENQEIKWI FLILMVLRDALFQVAMGGASGYMVFLLHKHHHHVLYLQNSKFLYRTPPELRAAQSVLLLM LCFVFFYWTDCAFSLIINISSKDNTLMINTREFLTLCYPTFSPFVLIHRDGLLSKFWHAH WEKLSKCL >ENSMUSP00000143466.1 pep:known chromosome:GRCm38:3:127807007:127837523:-1 gene:ENSMUSG00000074238.6 transcript:ENSMUST00000200409.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1ar description:adaptor-related protein complex 1 associated regulatory protein [Source:MGI Symbol;Acc:MGI:2384822] MGNCCWTQCFGLLRREAGRLQRAGGGSKYFRTCPRGEHLTIEFENLVESDEGESPGSSHR PLTEDEIADLQERHYDSIAEKQKDVDRKIQRQQEKQRVAQHYHCSSGDYQSAGPEDDFES SLRNIKSQYEVFRSSRLSSDATVLTPNTESSCDLMTKTKSTSGNDDSTSLDLEWEDEEGM NRMLPMRERSKTEEDILRAALKYSSKKGSNPTSASDDSNGLEWENDFVSAEMDDNGNSEY SGFVNPVLELSDSAIKQSDTDQQIR >ENSMUSP00000059669.6 pep:known chromosome:GRCm38:3:127807012:127837511:-1 gene:ENSMUSG00000074238.6 transcript:ENSMUST00000051737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1ar description:adaptor-related protein complex 1 associated regulatory protein [Source:MGI Symbol;Acc:MGI:2384822] MGNCCWTQCFGLLRREAGRLQRAGGGSKYFRTCPRGEHLTIEFENLVESDEGESPGSSHR PLTEDEIADLQERHYDSIAEKQKDVDRKIQRQLALQEEKLRLEEEALYAAQREAARAARQ RKLLEQEKQRVAQHYHCSSGDYQSAGPEDDFESSLRNIKSQYEVFRSSRLSSDATVLTPN TESSCDLMTKTKSTSGNDDSTSLDLEWEDEEGMNRMLPMRERSKTEEDILRAALKYSSKK GSNPTSASDDSNGLEWENDFVSAEMDDNGNSEYSGFVNPVLELSDSAIKQSDTDQQIR >ENSMUSP00000142961.1 pep:known chromosome:GRCm38:3:127820783:127837256:-1 gene:ENSMUSG00000074238.6 transcript:ENSMUST00000196392.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap1ar description:adaptor-related protein complex 1 associated regulatory protein [Source:MGI Symbol;Acc:MGI:2384822] MGNCCWTQCFGLLRREAGRLQRAGGGLLYSCPSLLQIKVF >ENSMUSP00000088370.3 pep:known chromosome:GRCm38:2:69722805:69754012:1 gene:ENSMUSG00000042133.16 transcript:ENSMUST00000090858.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppig description:peptidyl-prolyl isomerase G (cyclophilin G) [Source:MGI Symbol;Acc:MGI:2445173] MGIKVQRPRCFFDIAINNQPAGRVVFELFSDVCPKTCENFRCLCTGEKGTGKSTQKPLHY KSCLFHRVVKDFMVQGGDFSEGNGRGGESIYGGFFEDESFAVKHNKEFLLSMANRGKDTN GSQFFITTKPTPHLDGHHVVFGQVISGQEVVREIENQKTDAASKPFAEVRILSCGELIPK SKVKKEEKKRHKSSSSSSSSDSDSSSDSQSSSESSDSESASEEKSRKRKKKHRKNSRKHK KEKKKRKKSKKSPSSESEAENVDAQPQSTVRPEEIPPIPENRFLMRKSPPKADDKERKNR ERERERECNPPNSQPASYQRRFLVTRSGRKIKGRGPRRYRTPSRSRSRDRFRRSETPPHW RQEMQRAQRMRVSSGERWIKGDKSELNEIKENQRSPVRVKEKKITDHRHMSESPNRKVEK EKKAKDHKSESKERDIRRNSEKDDKYNKNKVKKRGKSKSRSKSKERSKSKERDSKHSRHE DKRVRSRSKERDHETTKEKEKPLDPKGKDQERSRSKENSKQVESKSNEHDHSKSKEKDRR AQSRSRERDLTKSKHSYNSRTRERSRSRDRSRRVRSRSHDRDRSRSKEYHRYREQEYRRR GRSRSRDRRTPGRSRSKDRRRRRRDSRSSEREESQSRNKDKYRSQESKSSHRKENSEGEK RTYSKSRDHNSSSNNREKKADREQSPVSKTKQSSQDNEVKSSTLKNQEDEKTRSPVEKEN QKSKGQENDHVHDKNKKCDHESSPGTDEDKSG >ENSMUSP00000045945.8 pep:known chromosome:GRCm38:2:69723093:69754012:1 gene:ENSMUSG00000042133.16 transcript:ENSMUST00000040915.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppig description:peptidyl-prolyl isomerase G (cyclophilin G) [Source:MGI Symbol;Acc:MGI:2445173] MGIKVQRPRCFFDIAINNQPAGRVVFELFSDVCPKTCENFRCLCTGEKGTGKSTQKPLHY KSCLFHRVVKDFMVQGGDFSEGNGRGGESIYGGFFEDESFAVKHNKEFLLSMANRGKDTN GSQFFITTKPTPHLDGHHVVFGQVISGQEVVREIENQKTDAASKPFAEVRILSCGELIPK SKVKKEEKKRHKSSSSSSSSDSDSSSDSQSSSESSDSESASEEKSRKRKKKHRKNSRKHK KEKKKRKKSKKSPSSESEAENVDAQPQSTVRPEEIPPIPENRFLMRKSPPKADDKERKNR ERERERECNPPNSQPASYQRRFLVTRSGRKIKGRGPRRYRTPSRSRSRDRFRRSETPPHW RQEMQRAQRMRVSSGERWIKGDKSELNEIKENQRSPVRVKEKKITDHRHMSESPNRKVEK EKKAKDHKSESKERDIRRNSEKDDKYNKNKVKKRGKSKSRSKSKERSKSKERDSKHSRHE DKRVRSRSKERDHETTKEKEKPLDPKGKDQERSRSKENSKQVESKSNEHDHSKSKEKDRR AQSRSRERDLTKSKHSYNSRTRERSRSRDRSRRVRSRSHDRDRSRSKEYHRYREQEYRRR GRSRSRDRRTPGRSRSKDRRRRRRDSRSSEREESQSRNKDKYRSQESKSSHRKENSEGEK RTYSKSRDHNSSSNNREKKADREQSPVSKTKQSSQDNEVKSSTLKNQEDEKTRSPVEKEN QKSKGQENDHVHDKNKKCDHESSPGTDEDKSG >ENSMUSP00000114570.1 pep:known chromosome:GRCm38:2:69729192:69735872:1 gene:ENSMUSG00000042133.16 transcript:ENSMUST00000144652.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppig description:peptidyl-prolyl isomerase G (cyclophilin G) [Source:MGI Symbol;Acc:MGI:2445173] MGIKVQRPRCFFDIAINNQPAGRVVFELFSDVCPKTCENFRCLCTGEKGTGKSTQKPLHY KSCLFHRVVKDFMVQGGDFSEGNGRGGESIYGGFFEDESFAVKHNKEFLLSMANRGKDTN GSQFFIT >ENSMUSP00000076777.7 pep:known chromosome:GRCm38:15:98931425:98934565:-1 gene:ENSMUSG00000023004.8 transcript:ENSMUST00000077577.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba1b description:tubulin, alpha 1B [Source:MGI Symbol;Acc:MGI:107804] MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGK HVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLD RIRKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTA VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITA SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN QMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRSIQFVDWCPTGFKVGINYQPP TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE AREDMAALEKDYEEVGVDSVEGEGEEEGEEY >ENSMUSP00000030412.4 pep:known chromosome:GRCm38:4:122836242:122859078:1 gene:ENSMUSG00000028657.14 transcript:ENSMUST00000030412.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt1 description:palmitoyl-protein thioesterase 1 [Source:MGI Symbol;Acc:MGI:1298204] MASSCSRRLLAAALLPWCCAAWALGHLDPPSPPPLVIWHGMGDSCCNPMSMGVIKKMVEK EIPGIYVLSLEIGKNMMEDVENSFFLNVNVQVNMVCQILEKDPKLQQGYNAIGFSQGGQF LRAVAQRCPTPPMMTLISVGGQHQGVFGLPRCPGESSHICDFIRKSLNAGAYSKLVQERL VQAQYWHDPIKESVYRNYSIFLADINQERCVNESYKKNLMALKKFVMVKFFNDSIVDPVD SEWFGFYRSGQAKETIPLQESTLYTEDRLGLKKMDKAGKLVFLAKEGDHLQISKEWFTAH IIPFLK >ENSMUSP00000113367.1 pep:known chromosome:GRCm38:4:122836246:122848975:1 gene:ENSMUSG00000028657.14 transcript:ENSMUST00000121870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt1 description:palmitoyl-protein thioesterase 1 [Source:MGI Symbol;Acc:MGI:1298204] MASSCSRRLLAAALLPWCCAAWALGHLDPPSPPPLVIWHGMGDSCCNPMSMGVIKKMVEK EIPGIYVLSLEIGKNMMEDVENSFFLNVNVQVNMVCQILEKDPKLQQGYNAIGFSQGGQF LRAVAQRCPTPPMMTLISVGGQHQGVFGLPRCPGESSHICDFIRKSLNAGAYSKLVQER >ENSMUSP00000113258.1 pep:known chromosome:GRCm38:4:122836250:122859175:1 gene:ENSMUSG00000028657.14 transcript:ENSMUST00000120157.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppt1 description:palmitoyl-protein thioesterase 1 [Source:MGI Symbol;Acc:MGI:1298204] MASSCSRRLLAAALLPWCCAAWALGHLDPPSPPPLVIWHGMESLYCPGYPGTHL >ENSMUSP00000095512.4 pep:known chromosome:GRCm38:4:122836254:122850259:1 gene:ENSMUSG00000028657.14 transcript:ENSMUST00000097902.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppt1 description:palmitoyl-protein thioesterase 1 [Source:MGI Symbol;Acc:MGI:1298204] MASSCSRRLLAAALLPWCCAAWALGHLDPPSPPPLVIWHGMGDSCCNPMSMGVIKKMVEK EIPGIYVLSLEIGKNMMEDVENSFFLNVNVQVNMVCQILEKDPKLQQGYNAIGFSQGGQF LRAVAQRCPTPPMMTLISVGGQHQGVFGLPRCPGESSHICDFIRKSLNAGAYSKLVQERT LEAEAAGSLSLRPPCSP >ENSMUSP00000128718.1 pep:known chromosome:GRCm38:2:180597790:180622189:1 gene:ENSMUSG00000027570.15 transcript:ENSMUST00000132527.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col9a3 description:collagen, type IX, alpha 3 [Source:MGI Symbol;Acc:MGI:894686] MTGAPALALLLLGQLLTATSAQKVGPRGPPGPQGPPGKPGKDGIDGEAGPPGLPGLPGPK GTSGKPGKPGEAGLPGLPGVDGLTGRDGPAGPKGAPGERGSLGPPGPPGLGGKGLPGPPG EAGVSGLPGGIGLRGPPGPSGLPGLPGLPGPPGPPGNPGVLPEGATDLQCPAICPPGPPG PPGMPGFKGPTGYKGEQGEVGKDGEKGSPGPPGPPGIPGTVGLQGPRGLRGLPGPLGPPG DRGPIGFRGPPGTPGAPGKVGDRGERGPEGFRGPKGDLGRPGPKGIPGMAGPGGEPGMPG KDGKDGVPGLDGEKGEAGRNGGQGEKGPNGLPGLPGRAGSKGEKGEPGRTGELGEAGPSG EPGIPGDVGVPGERGEAGHRGSVGALGPQGPPGAPGIRGFQGQKGSTGDPGLPGPQGLRG DVGDRGPGGATGPKGDQGIAGSDGLPGDKGELGPNGPVGQKGESGSRGELGPKGIQGPNG TSGVQGVPGPPGPLGLQGVQGVPGITGKPGVPGKEASEQRIRELCGGMISEQIAQLAAHL RKPLAPGSIGRPGPAGPPGPPGPPGSIGHPGARGPPGYRGPTGELGDPGPRGSQGDRGDK GATGAGLDGPAGDQGYQGPQGVPGISKDGRDGAHGEPGLPGDPGLPGAAGAQGTPGICDT SACQGAVLGGGGEKSGPRSS >ENSMUSP00000099348.1 pep:known chromosome:GRCm38:2:180598222:180622185:1 gene:ENSMUSG00000027570.15 transcript:ENSMUST00000103059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col9a3 description:collagen, type IX, alpha 3 [Source:MGI Symbol;Acc:MGI:894686] MTGAPALALLLLGQLLTATSAQKVGPRGPPGPQGPPGKPGKDGIDGEAGPPGLPGLPGPK GTSGKPGKPGEAGLPGLPGVDGLTGRDGPAGPKGAPGERGSLGPPGPPGLGGKGLPGPPG EAGVSGLPGGIGLRGPPGPSGLPGLPGLPGPPGPPGNPGVLPEGATDLQCPAICPPGPPG PPGMPGFKGPTGYKGEQGEVGKDGEKGSPGPPGPPGIPGTVGLQGPRGLRGLPGPLGPPG DRGPIGFRGPPGTPGAPGKVGDRGERGPEGFRGPKGDLGRPGPKGIPGMAGPGGEPGMPG KDGKDGVPGLDGEKGEAGRNGGQGEKGPNGLPGLPGRAGSKGEKGEPGRTGELGEAGPSG EPGIPGDVGVPGERGEAGHRGSVGALGPQGPPGAPGIRGFQGQKGSTGDPGLPGPQGLRG DVGDRGPGGATGPKGDQGIAGSDGLPGDKGELGPNGPVGQKGESGSRGELGPKGIQGPNG TSGVQGVPGPPGPLGLQGVQGVPGITGKPGVPGKEASEQRIRELCGGMISEQIAQLAAHL RKPLAPGSIGRPGPAGPPGPPGPPGSIGHPGARGPPGYRGPTGELGDPGPRGSQGDRGDK GATGAGLDGPAGDQGYQGPQGVPGISKDGRDGAHGEPGLPGDPGLPGAAGAQGTPGICDT SACQGAVLGGGGEKSGPRSS >ENSMUSP00000002683.2 pep:known chromosome:GRCm38:7:25711106:25719088:-1 gene:ENSMUSG00000002608.8 transcript:ENSMUST00000002683.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc97 description:coiled-coil domain containing 97 [Source:MGI Symbol;Acc:MGI:1196455] MEAVAAAEVTERKPDNDGVEPRVVHWGELSQTPIPSGPQEKETAERTPDIPNSGSSQAES PAVSAMLHAIAASHLPVCSQQQGEPDLTEPEKVAILGQLYHKKPLVFLERFRTGLREEHL ACFGHLRGDHRADFYCAEVARQGTARPRTLRTRLRNRRYAALRELIQGGEYFSDEQMRFR APLLYEQYIGQYLTQEELNARTAAPQAPRSGSPGTPAYPLSDLLFQSYQERELQQKLLQQ QEEEEACFEEEEDSDEEDQRSDKDSEAWVPDSEERLILREEFTSRMHQRFLDGKDGGFDY STVDDNPDFDNLDIVARDEEDRYFDEEEPEDVSSAELGGD >ENSMUSP00000145855.1 pep:known chromosome:GRCm38:7:25714822:25719045:-1 gene:ENSMUSG00000002608.8 transcript:ENSMUST00000125699.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc97 description:coiled-coil domain containing 97 [Source:MGI Symbol;Acc:MGI:1196455] MLHAIAASHLPVCSQQQGEPDLTEPEKVAILGQLYHKKPLVFLERFRTGLREEHLACFGH LRGDHRADFYCAEVARQGTARPRTLRTRLRNRRYAALRELIQGGEYFSDEQMRFRAPLLY EQYIGQYLTQEELNARTAAPQAPRSGSPGTPAYPLSDLLFQSYQEREL >ENSMUSP00000127413.1 pep:known chromosome:GRCm38:6:134639510:134710355:1 gene:ENSMUSG00000042992.15 transcript:ENSMUST00000166591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Borcs5 description:BLOC-1 related complex subunit 5 [Source:MGI Symbol;Acc:MGI:1915024] MPVPLRTATLVYRHLEPRKQVTPSPAKHRAKMDDIVVVAQGSQASRNVSNDPDVIKLQEI PTFQPLLKGLLSGQTSPTNAKLEKLDSQQVLQLCLRYQDHLHQCAEAVAFDQNALVKRIK EMDLSVETLFCFMQERQKRYAKYAEQIQKVNEMSAILRRIQMGIDQTVPLMERLNSMLPE AERLEPFSMKPERERH >ENSMUSP00000054913.8 pep:known chromosome:GRCm38:6:134640940:134711187:1 gene:ENSMUSG00000042992.15 transcript:ENSMUST00000062755.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Borcs5 description:BLOC-1 related complex subunit 5 [Source:MGI Symbol;Acc:MGI:1915024] MGSEQSAEAESRPGDLNASVTPSPAKHRAKMDDIVVVAQGSQASRNVSNDPDVIKLQEIP TFQPLLKGLLSGQTSPTNAKLEKLDSQQVLQLCLRYQDHLHQCAEAVAFDQNALVKRIKE MDLSVETLFCFMQERQKRYAKYAEQIQKVNEMSAILRRIQMGIDQTVPLMERLNSMLPEA ERLEPFSMKPERERH >ENSMUSP00000026092.8 pep:known chromosome:GRCm38:7:81782182:81789478:-1 gene:ENSMUSG00000025102.14 transcript:ENSMUST00000026092.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110040N11Rik description:RIKEN cDNA 3110040N11 gene [Source:MGI Symbol;Acc:MGI:1914540] MPKKAGATSKGKNQTKEPETAPPAAGPVATDPKGFVTIAIHAKPGSRQNAVTDLSTEAVG VAIAAPPSEGEANAELCRYLSKVLDLRKSDVVLDKGGKSREKVVKLLASTTPEEVLEKLK TEAEKK >ENSMUSP00000120526.1 pep:known chromosome:GRCm38:7:81783104:81789465:-1 gene:ENSMUSG00000025102.14 transcript:ENSMUST00000152620.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:3110040N11Rik description:RIKEN cDNA 3110040N11 gene [Source:MGI Symbol;Acc:MGI:1914540] MPKKAGATSKASGKPRVVERVGHGATLAGNRRSAWRAEDLPSGPG >ENSMUSP00000025474.6 pep:known chromosome:GRCm38:18:62977836:62999450:1 gene:ENSMUSG00000024581.12 transcript:ENSMUST00000025474.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Napg description:N-ethylmaleimide sensitive fusion protein attachment protein gamma [Source:MGI Symbol;Acc:MGI:104561] MAAQKINEGLEHLAKAEKYLKTGFLKWKPDYDSAASEYGKAAVAFKNAKQFEQAKDACLR EAVAHENNRALFHAAKAYEQAGMMLKEMQKLPEAVQLIEKASMMYLENGTPDTAAMALER AGKLIENVDPEKAVQLYQQTANVFENEERLRQAVELLGKASRLLVRGRRFDEAALSIQKE KNIYKEIENYPTCYKKTIAQVLVHLHRNDYVAAERCVRESYSIPGFNGSEDCAALEQLLE GYDQQDQDQVSEVCNSPLFKYMDNDYAKLGLSLVVPGGGIKKKSPATPQAKPDGAAGMAA EEEEDEYSGGLC >ENSMUSP00000122681.1 pep:known chromosome:GRCm38:18:62977901:62996932:1 gene:ENSMUSG00000024581.12 transcript:ENSMUST00000150267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Napg description:N-ethylmaleimide sensitive fusion protein attachment protein gamma [Source:MGI Symbol;Acc:MGI:104561] MAAQKINEGLEHLAKAEKYLKTGFLKWKPDYDSAASEYGKAAVAFKNAKQFEQAKDACLR EAVAHENNRALFHAAKAYEQAGMMLKEMQKLPEAVQLIEKASMMYLENGTPDTAAMALER AGKLIENVDPEKAVQLYQQTANVFENEERLRQAVELLGKASRLLVRGRRFDEAALSIQKE KNIYKEIENYPTCYKVEFWSPAERTGTGTKRTGTDPREEEHLGKGNPLCVWPTQILAVGT WDRPKKTIAQVLVHLHRNDYVAAERCVRESYSIPGFNGSEDCAALEQLLEGYDQQDQDQV SEVCNSPLFKYMDNDYAKLGLSLVVPGGGIKKKSPATPQAKPDGAAGMAAEEE >ENSMUSP00000110575.3 pep:known chromosome:GRCm38:1:37430139:37438984:1 gene:ENSMUSG00000026111.15 transcript:ENSMUST00000114925.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc50 description:unc-50 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1914637] MLPSTSLSSSMHGNGVLNSRDAARHTAGAKRYKYLRRLFRFRQMDFEFAAWQMLYLFTSP QRVYRNFHYRKQTKDQWARDDPAFLVLLSIWLCVSTIGFGFVLDMGFFETIKLLLWVVFI DCVGVGLLISTLMWFVSNKYLVKRQSRDYDVEWGYAFDVHLNAFYPLLVILHFIQLFFIN HVILTDTFIGYLVGNTLWLIAVGYYIYVTFLGYSALPFLKNTVILLYPFAPLMVLYGLSL ALGWNFTHTLCSFYKYRVK >ENSMUSP00000027285.6 pep:known chromosome:GRCm38:1:37430170:37439124:1 gene:ENSMUSG00000026111.15 transcript:ENSMUST00000027285.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc50 description:unc-50 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1914637] MLPSTSLSSSMHGNGVLNSRDAARHTAGAKRYKYLRRLFRFRQMDFEFAAWQMLYLFTSP QRVYRNFHYRKQTKDQWARDDPAFLVLLSIWLCVSTIGFGFVLDMGFFETIKLLLWVVFI DCVGVGLLISTLMWFVSNKYLVKRQSRDYDVEWGYAFDVHLNAFYPLLVILHFIQLFFIN HVILTDTFIGYLVGNTLWLIAVGYYIYVTFLGYSALPFLKNTVILLYPFAPLMVLYGLSL ALGWNFTHTLCSFYKYRVK >ENSMUSP00000123684.1 pep:known chromosome:GRCm38:1:37430177:37437478:1 gene:ENSMUSG00000026111.15 transcript:ENSMUST00000144617.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc50 description:unc-50 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1914637] MLPSTSLSSSMHGNGVLNSRDAARHTAGAKRYKYLRRLFRFRQMDFEFAAWQMLYLFTSP QRVYRNFHYRKQTKDQWARDDPAFLVLLSIWLCVSTIGFGFVLDMGFFETIKLLLWVVFI DCVGVGLLISTLMWFVSNKYLVKRQSRDYDVEWGYAFDVHLNAFYPLLVILHFIQLFFIN HVILTDTFIGYLVGNTLWLIAVGYYIYVTFLGYS >ENSMUSP00000141818.1 pep:known chromosome:GRCm38:1:37430186:37437270:1 gene:ENSMUSG00000026111.15 transcript:ENSMUST00000193979.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc50 description:unc-50 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1914637] MLPSTSLSSSMHGNGVLNSRDAARHTAGAKRYKYLRRLFRFRQMDFEFAAWQMLYLFTSP QRVYRNFHYRKQTKDQWARDDPAFLVLLSIWLCVSTIGFGFVLDMGFFETIKLLLWVVFI DCVGVGLLISTLMWFVSNKYLVKRQSRDYDVEWGYAFDVHLNAFYPLLVI >ENSMUSP00000113135.1 pep:known chromosome:GRCm38:1:37430202:37438987:1 gene:ENSMUSG00000026111.15 transcript:ENSMUST00000118059.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc50 description:unc-50 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1914637] MLPSTSLSSSMHGNGVLNSRDAARHTAGAKRYKYLRRLFRFRQMDFEFAAWQMLYLFTSP QRVYRNFHYRKQTKDQWARDDPAFLVLLSIWLCVSTIGFGFVLDMGFFETIKLLLWVVFI DCVGVGLLISTLMWFVSNKYLVKRQSRDYDVEWGYAFDVHLNAFYPLLVILHFIQLFFIN HVILTDTFIGYLVGNTLWLIAVGYYIYVTFLGYSALPFLKNTVILLYPFAPLMVLYGLSL ALGWNFTHTLCSFYKYRVK >ENSMUSP00000141651.1 pep:known chromosome:GRCm38:1:37430208:37431554:1 gene:ENSMUSG00000026111.15 transcript:ENSMUST00000193713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc50 description:unc-50 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1914637] MLPSTSLSSSMHGNGVLNSRDAARHTAGAKRYKYLRRLFRFRQMDFEFAAWQMLYLFTSP QRVYRNFHYRKQTKDQWARDDPAFLV >ENSMUSP00000146910.1 pep:known chromosome:GRCm38:16:59361224:59362240:-1 gene:ENSMUSG00000095706.2 transcript:ENSMUST00000208246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr209 description:olfactory receptor 209 [Source:MGI Symbol;Acc:MGI:3030043] MELNRTQLTEFVLRGITDRSELQVPLFLVFFLIYVITMVGNLGLIFLIWKDPHLHTPMYL FLGNLAFADACTSSSVTPKMLMKFSNKNDMISMASEIKKSSVP >ENSMUSP00000147068.1 pep:known chromosome:GRCm38:16:59361299:59363966:-1 gene:ENSMUSG00000095706.2 transcript:ENSMUST00000208875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr209 description:olfactory receptor 209 [Source:MGI Symbol;Acc:MGI:3030043] MELNRTQLTEFVLRGITDRSELQVPLFLVFFLIYVITMVGNLGLIFLIWKDPHLHTPMYL FLGNLAFADACTSSSVTPKMLMKFSNKNDMISMGECFAQFYFFCLSATAECFILVAMAYD RYVAICKPLLYVVVMSNRLCIQFIGVSYLIGLLHGLLHVGLLFRLTFCSSNVIDHFYCEI LPLYRISCTDPSINVLVAFIMAILIQVSTFMSIIVSYILILFAILRTKSERGRNKAFSTC SSHLSSVSLFYGTLFIIYVLSGSDKDNYQGKMYSLFYTIIIPLLNPFIYSLRNKEVIGAL RKLRE >ENSMUSP00000053192.3 pep:known chromosome:GRCm38:16:59361299:59362216:-1 gene:ENSMUSG00000095706.2 transcript:ENSMUST00000055868.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr209 description:olfactory receptor 209 [Source:MGI Symbol;Acc:MGI:3030043] MELNRTQLTEFVLRGITDRSELQVPLFLVFFLIYVITMVGNLGLIFLIWKDPHLHTPMYL FLGNLAFADACTSSSVTPKMLMKFSNKNDMISMGECFAQFYFFCLSATAECFILVAMAYD RYVAICKPLLYVVVMSNRLCIQFIGVSYLIGLLHGLLHVGLLFRLTFCSSNVIDHFYCEI LPLYRISCTDPSINVLVAFIMAILIQVSTFMSIIVSYILILFAILRTKSERGRNKAFSTC SSHLSSVSLFYGTLFIIYVLSGSDKDNYQGKMYSLFYTIIIPLLNPFIYSLRNKEVIGAL RKLRE >ENSMUSP00000049924.2 pep:known chromosome:GRCm38:10:129754489:129755485:-1 gene:ENSMUSG00000050478.2 transcript:ENSMUST00000059038.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr807 description:olfactory receptor 807 [Source:MGI Symbol;Acc:MGI:3030641] MRNHTLVTTFILLGLTEDPKWQIVIFLFLFMTYVLSITGNLTIILLTLLDSNLKTPMYFF LQKFSFLEISLTSTCIPRFLVSIVTMDKTISVEACFTQLFAAFIFGIAQFFLLAVMSYDR YVAICRPLHYTTIMNNRVCTLLFVSCCLIAVFAICPGVIVSLSLEFCDTIIEHFFCDYSP ILKLSCNDTRFMQLLNFIFAIFILLMTLALVMFSYGKIISTILRFPSAQQQKKAFSTCSS HMIVVSISYGSCIFMYIKPSAEERIYLNKGIAILTLALAPVLNPFIYTLRNKQVKEALKD IIKKCTSATSK >ENSMUSP00000045862.6 pep:known chromosome:GRCm38:X:18162575:18279936:1 gene:ENSMUSG00000037369.16 transcript:ENSMUST00000044484.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm6a description:lysine (K)-specific demethylase 6A [Source:MGI Symbol;Acc:MGI:1095419] MKSCGVSLATAAAAAAAAAFGDEEKKMAAGKASGESEEASPSLTAEEREALGGLDSRLFG FVRFHEDGARMKALLGKAVRCYESLILKAEGKVESDFFCQLGHFNLLLEDYPKALSAYQR YYSLQSDYWKNAAFLYGLGLVYFHYNAFQWAIKAFQEVLYVDPSFCRAKEIHLRLGLMFK VNTDYESSLKHFQLALVDCNPCTLSNAEIQFHIAHLYETQRKYHSAKEAYEQLLQTENLS AQVKATILQQLGWMHHTVDLLGDKATKESYAIQYLQKSLEADPNSGQSWYFLGRCYSSIG KVQDAFISYRQSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDL GTLYESCNQPQDAIKCYLNATRSKNCSNTSGLAARIKYLQAQLCNLPQGSLQNKTKLLPS IEEAWSLPIPAELTSRQGAMNTAQQNTSDNWSGGNAPPPVEQQTHSWCLTPQKLQHLEQL RANRNNLNPAQKLMLEQLESQFVLMQQHQMRQTGVAQVRPTGILNGPTVDSSLPTNSVSG QQPQLPLTRMPSVSQPGVHTACPRQTLANGPFSAGHVPCSTSRTLGSTDTVLIGNNHVTG SGSNGNVPYLQRNAPTLPHNRTNLTSSTEEPWKNQLSNSTQGLHKGPSSHLAGPNGERPL SSTGPSQHLQAAGSGIQNQNGHPTLPSNSVTQGAALNHLSSHTATSGGQQGITLTKESKP SGNTLTVPETSRQTGETPNSTASVEGLPNHVHQVMADAVCSPSHGDSKSPGLLSSDNPQL SALLMGKANNNVGPGTCDKVNNIHPTVHTKTDNSVASSPSSAISTATPSPKSTEQTTTNS VTSLNSPHSGLHTINGEGMEESQSPIKTDLLLVSHRPSPQIIPSMSVSIYPSSAEVLKAC RNLGKNGLSNSSILLDKCPPPRPPSSPYPPLPKDKLNPPTPSIYLENKRDAFFPPLHQFC TNPNNPVTVIRGLAGALKLDLGLFSTKTLVEANNEHMVEVRTQLLQPADENWDPTGTKKI WHCESNRSHTTIAKYAQYQASSFQESLREENEKRSHHKDHSDSESTSSDNSGKRRKGPFK TIKFGTNIDLSDDKKWKLQLHELTKLPAFVRVVSAGNLLSHVGHTILGMNTVQLYMKVPG SRTPGHQENNNFCSVNINIGPGDCEWFVVPEGYWGVLNDFCEKNNLNFLMGSWWPNLEDL YEANVPVYRFIQRPGDLVWINAGTVHWVQAIGWCNNIAWNVGPLTACQYKLAVERYEWNK LQNVKSIVPMVHLSWNMARNIKVSDPKLFEMIKYCLLRTLKQCQTLREALIAAGKEIIWH GRTKEEPAHYCSICEVEVFDLLFVTNESNSRKTYIVHCQDCARKTSGNLENFVVLEQYKM EDLMQVYDQFTLAPPLPSASS >ENSMUSP00000061539.8 pep:known chromosome:GRCm38:X:18162575:18279936:1 gene:ENSMUSG00000037369.16 transcript:ENSMUST00000052368.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm6a description:lysine (K)-specific demethylase 6A [Source:MGI Symbol;Acc:MGI:1095419] MKSCGVSLATAAAAAAAAAFGDEEKKMAAGKASGESEEASPSLTAEEREALGGLDSRLFG FVRFHEDGARMKALLGKAVRCYESLILKAEGKVESDFFCQLGHFNLLLEDYPKALSAYQR YYSLQSDYWKNAAFLYGLGLVYFHYNAFQWAIKAFQEVLYVDPSFCRAKEIHLRLGLMFK VNTDYESSLKHFQLALVDCNPCTLSNAEIQFHIAHLYETQRKYHSAKEAYEQLLQTENLS AQVKATILQQLGWMHHTVDLLGDKATKESYAIQYLQKSLEADPNSGQSWYFLGRCYSSIG KVQDAFISYRQSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDL GTLYESCNQPQDAIKCYLNATRSKNCSNTSGLAARIKYLQAQLCNLPQGSLQNKTKLLPS IEEAWSLPIPAELTSRQGAMNTAQQNTSDNWSGGNAPPPVEQQTHSWCLTPQKLQHLEQL RANRNNLNPAQKLMLEQLESQFVLMQQHQMRQTGVAQVRPTGILNGPTVDSSLPTNSVSG QQPQLPLTRMPSVSQPGVHTACPRQTLANGPFSAGHVPCSTSRTLGSTDTVLIGNNHVTG SGSNGNVPYLQRNAPTLPHNRTNLTSSTEEPWKNQLSNSTQGLHKGPSSHLAGPNGERPL SSTGPSQHLQAAGSGIQNQNGHPTLPSNSVTQGAALNHLSSHTATSGGQQGITLTKESKP SGNTLTVPETSRQTGETPNSTASVEGLPNHVHQVMADAVCSPSHGDSKSPGLLSSDNPQL SALLMGKANNNVGPGTCDKVNNIHPTVHTKTDNSVASSPSSAISTATPSPKSTEQTTTNS VTSLNSPHSGLHTINGEGMEESQSPIKTDLLLVSHRPSPQIIPSMSVSIYPSSAEVLKAC RNLGKNGLSNSSILLDKCPPPRPPSSPYPPLPKDKLNPPTPSIYLENKRDAFFPPLHQFC TNPNNPVTVIRGLAGALKLDLGLFSTKTLVEANNEHMVEVRTQLLQPADENWDPTGTKKI WHCESNRSHTTIAKYAQYQASSFQESLREENEKRSHHKDHSDSESTSSDNSGKRRKGPFK TIKFGTNIDLSDDKKWKLQLHELTKLPAFVRVVSAGNLLSHVGHTILGMNTVQLYMKVPG SRTPGHQENNNFCSVNINIGPGDCEWFVVPEGYWGVLNDFCEKNNLNFLMGSWWPNLEDL YEANVPVYRFIQRPGDLVWINAGTVHWVQAIGWCNNIAWNVGPLTACQYKLAVERYEWNK LQNVKSIVPMVHLSWNMARNIKVSDPKLFEMIKYCLLRTLKQCQTLREALIAAGKEIIWH GRTKEEPAHYCSICEVEVFDLLFVTNESNSRKTYIVHCQDCARKTSGNLENFVVLEQYKM EDLMQVYDQFTLVSEINMLLHYHPPHLDIVPWTLNMRPFLLFRK >ENSMUSP00000092468.1 pep:known chromosome:GRCm38:7:6131491:6155971:-1 gene:ENSMUSG00000046792.8 transcript:ENSMUST00000094870.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp787 description:zinc finger protein 787 [Source:MGI Symbol;Acc:MGI:1914359] MELREEAWSPGPLDSEDQQMASHENPVDILIMDDDDVPSWPPTKLSPPQSAPPPGPPPRP RPPAPYICTECGKSFSHWSKLTRHQRTHTGERPNACTDCGKTFSQSSHLVQHRRIHTGEK PYACSECGKRFSWSSNLMQHQRIHTGEKPYTCPDCGRSFTQSKSLAKHRRSHSGLKPFVC PRCGRGFSQPKSLARHLRLHPELSGPGVAAKVLAASVRRAKAPEEATAADGEIAIPVGDG EGIIVVGPPGDGAAAAAALAGVGTRATGTRSRRAPAPKPYVCMECGKGFGHGAGLLAHQR AQHGDGLGVAVGEEPAHICVECGEGFVQGAALRRHKKIHAVGAPSVCSSCGQSFYRAGGE DDGEDQSAGARCAECRGGEAR >ENSMUSP00000147060.1 pep:known chromosome:GRCm38:7:6132955:6155595:-1 gene:ENSMUSG00000046792.8 transcript:ENSMUST00000207315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp787 description:zinc finger protein 787 [Source:MGI Symbol;Acc:MGI:1914359] MELREEAWSPGPLDSEDQQMASHENPVDILIMDDDDVPSWPPTKLSPPQSAPPPGPPPRP RPPAPYICTECGKSFSHWSKLTRHQRTHTGERPNACTD >ENSMUSP00000147044.1 pep:known chromosome:GRCm38:7:6142286:6155997:-1 gene:ENSMUSG00000046792.8 transcript:ENSMUST00000207628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp787 description:zinc finger protein 787 [Source:MGI Symbol;Acc:MGI:1914359] MELREEAWSPGPLDSEDQQMASHENPALLG >ENSMUSP00000146901.1 pep:known chromosome:GRCm38:7:6142353:6155926:-1 gene:ENSMUSG00000046792.8 transcript:ENSMUST00000207957.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp787 description:zinc finger protein 787 [Source:MGI Symbol;Acc:MGI:1914359] MELREEAWSPGPLDSEDQQMASHENPGEVALVVPQPTPLLSHQAREPSFVTLGCLDSCPL PSKSPTLPA >ENSMUSP00000146951.1 pep:known chromosome:GRCm38:7:6142402:6151048:-1 gene:ENSMUSG00000046792.8 transcript:ENSMUST00000207331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp787 description:zinc finger protein 787 [Source:MGI Symbol;Acc:MGI:1914359] MELREEAWSPGPLDSEDQQMASHENPALLG >ENSMUSP00000146871.1 pep:known chromosome:GRCm38:7:6142403:6155434:-1 gene:ENSMUSG00000046792.8 transcript:ENSMUST00000208746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp787 description:zinc finger protein 787 [Source:MGI Symbol;Acc:MGI:1914359] MELREEAWSPGPLDSEDQQMASHENPALLG >ENSMUSP00000029955.4 pep:known chromosome:GRCm38:4:39450295:39451776:1 gene:ENSMUSG00000028287.4 transcript:ENSMUST00000029955.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700009N14Rik description:RIKEN cDNA 1700009N14 gene [Source:MGI Symbol;Acc:MGI:1922721] MAAEGDPPVQFKLVLVGDGGTGKTAFVKRHLTGEFEKKYVATLGVEVHPLMFHTSRGPIK FNVWDTAGQEKFGGLRDGYYIQAQGAIIMFDVTSRITYKNVPNWHRDLVRVCENIPIVLC GNKVDVKDRKVKAKSIVFHRKKNLQYYDISAKSNYNFEKPFLWLSRRLTGDSNLEFVAMP ALAPPEVVMDPALAKKYEHDLEVAQATALPDEDDDL >ENSMUSP00000145315.1 pep:known chromosome:GRCm38:10:129765483:129768436:1 gene:ENSMUSG00000049894.2 transcript:ENSMUST00000203236.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr808 description:olfactory receptor 808 [Source:MGI Symbol;Acc:MGI:3030642] MPNKTSITEFILLGLTDDPELQIVIFFFLLATYLLSVSGNMTIITLTLSNVHLKTPMYFF LRNFSFLEILFTTVCIPRFLISIITGNTAISYNACMAQVFFLIFLGATEFFLLAAMSYDR YVAICKPLHYTAIINNKVCNQLVIASWSAGFLIVFPPVIMGLQLEFCDSNIIDHFTCDSS PMLQIACTDTKILELMAFFLAVFTLIVTLALVILSYTLILRTILKIPSAEQRKKAFSTCS SHMIVVSISYGSCIFMYVKTSAREGVVLSKGVAMLNTSVAPMLNPFIYTLRNQQVKQAFK DFTRKLLASKKH >ENSMUSP00000059714.1 pep:known chromosome:GRCm38:10:129767498:129768436:1 gene:ENSMUSG00000049894.2 transcript:ENSMUST00000060636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr808 description:olfactory receptor 808 [Source:MGI Symbol;Acc:MGI:3030642] MPNKTSITEFILLGLTDDPELQIVIFFFLLATYLLSVSGNMTIITLTLSNVHLKTPMYFF LRNFSFLEILFTTVCIPRFLISIITGNTAISYNACMAQVFFLIFLGATEFFLLAAMSYDR YVAICKPLHYTAIINNKVCNQLVIASWSAGFLIVFPPVIMGLQLEFCDSNIIDHFTCDSS PMLQIACTDTKILELMAFFLAVFTLIVTLALVILSYTLILRTILKIPSAEQRKKAFSTCS SHMIVVSISYGSCIFMYVKTSAREGVVLSKGVAMLNTSVAPMLNPFIYTLRNQQVKQAFK DFTRKLLASKKH >ENSMUSP00000110519.1 pep:known chromosome:GRCm38:X:51753959:51801233:-1 gene:ENSMUSG00000055780.10 transcript:ENSMUST00000114869.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp26 description:ubiquitin specific peptidase 26 [Source:MGI Symbol;Acc:MGI:1933247] MEPILINAQVQMWSAKAGMSKSRNALIETCVGKREVKLILYFSTGKIKTLQLHDNIKSVV LQTYGEDQNYLHLTFKNNDFLFVEKLTTTDARRLKRFLDKTSQGSIRPARSDERCGEPST SAQELNGSGSSCETNSECFESPKESEMCMFRELSLLPSSSTFLHNVGLLENQFIKRKRFF SDLAKNEKQSNLKDSIRDFEANLVVCISNEKGKERNVREVDISKPGFGFPFETNYPEDSG VDVRDLNDLITKLFSPVLLETHCIENGLEWHEYMKTYLLYPEKLWQGLPNVGNTCYINVV LQSLCSIPLFINDLFNQGFPWIKAPKDDFNMLLMQLLVLKDIYNARFRQKLLIGITKALP IFGEIFAVDRQNDAHEFLSLCLVQLKETFQRVTMMWQSENDSGDFYLLKDIFADYATINK MPVCPVTNNFEFELLSSIFCKACGLTLFKGEPSRYLSINIPQGGKDMSIQSTLDLFFSAE ELEHRCEKCLYNKSVSFHRFGRLPRVIIVHLKRYHFNESWVMKKDERPILVSKYLRLSCH CSKSTKPPPPLRPGEHVKNLDLLKPLEVLGSEILKLPFNSVRTSRSKGFETINITSNRES EAQSGKRVSEVLSGKVQQENSGKGDTAHIVGSELTKETEKLKKHEEEHRPSDLDSGSIRE AQKYQQAEKCNEGRSDKQISLEALTQSRPKPISQEQTENLGKTTLSHTQDSSQSSQSSSD SSKSSRCSDDLDKKAKPTRKVDPTKLNKKEDNVYRLVNIINHIGNSPNGGHYINDAFDFK RQSWFTYSDLHVTRTQEDFVYRGRSSTGYVFFYMHNDIFEELLAKETQSTSTSKG >ENSMUSP00000069140.3 pep:known chromosome:GRCm38:X:51753959:51801144:-1 gene:ENSMUSG00000055780.10 transcript:ENSMUST00000069509.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp26 description:ubiquitin specific peptidase 26 [Source:MGI Symbol;Acc:MGI:1933247] MEPILINAQVQMWSAKAGMSKSRNALIETCVGKREVKLILYFSTGKIKTLQLHDNIKSVV LQTYGEDQNYLHLTFKNNDFLFVEKLTTTDARRLKRFLDKTSQGSIRPARSDERCGEPST SAQELNGSGSSCETNSECFESPKESEMCMFRELSLLPSSSTFLHNVGLLENQFIKRKRFF SDLAKNEKQSNLKDSIRDFEANLVVCISNEKGKERNVREVDISKPGFGFPFETNYPEDSG VDVRDLNDLITKLFSPVLLETHCIENGLEWHEYMKTYLLYPEKLWQGLPNVGNTCYINVV LQSLCSIPLFINDLFNQGFPWIKAPKDDFNMLLMQLLVLKDIYNARFRQKLLIGITKALP IFGEIFAVDRQNDAHEFLSLCLVQLKETFQRVTMMWQSENDSGDFYLLKDIFADYATINK MPVCPVTNNFEFELLSSIFCKACGLTLFKGEPSRYLSINIPQGGKDMSIQSTLDLFFSAE ELEHRCEKCLYNKSVSFHRFGRLPRVIIVHLKRYHFNESWVMKKDERPILVSKYLRLSCH CSKSTKPPPPLRPGEHVKNLDLLKPLEVLGSEILKLPFNSVRTSRSKGFETINITSNRES EAQSGKRVSEVLSGKVQQENSGKGDTAHIVGSELTKETEKLKKHEEEHRPSDLDSGSIRE AQKYQQAEKCNEGRSDKQISLEALTQSRPKPISQEQTENLGKTTLSHTQDSSQSSQSSSD SSKSSRCSDDLDKKAKPTRKVDPTKLNKKEDNVYRLVNIINHIGNSPNGGHYINDAFDFK RQSWFTYSDLHVTRTQEDFVYRGRSSTGYVFFYMHNDIFEELLAKETQSTSTSKG >ENSMUSP00000113344.1 pep:known chromosome:GRCm38:16:48924232:48994165:-1 gene:ENSMUSG00000064061.13 transcript:ENSMUST00000121869.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzip3 description:DAZ interacting protein 3, zinc finger [Source:MGI Symbol;Acc:MGI:1917433] MDSLAEEFFVSGNPDVEEQTKEETEIIAEKPVTQLDKQKMDISADPEPVNALLEIKKVLN PISALPKGVFPNIEKFIQEDFSFQTMQREVTTHSQTGEEIVPALTLHFLITQLEMALRNI QASNYTAQQINVGYYLTLLFLYGVALTERAKKEDCIEAENKFLVMKMVIQESEICENFMC LVYFGRGLLRCAQKRYNGALLEFYKSLQEIGDTDDNWFEVDPTDDEDLPTTFKDSLNNFI KTTESNIMKETICSYLDCERSCEADILKNTNYKGFFQLMCSKSCCIYFHKICWKKFKNLK YPGESDQSFSGQKCLKEGCPGDMVRMLQCDVPGIVKILFEVVRKDEYITIENLGASYKNL MSLELTDTDIRPKFNLKPNTKDEVPIFKLDYNYFYHLLHIIIISGTDMVRQIFDEAMPPT LLKKELLIHKNVLEPYYNHLWTNHPLGGSWHLLYPPNKELPQSKQFDLCLLLALIKHLNV FPAPRKGWDMEPPSSDLSKSADILRLCKYRDILLSEILMNGLTELQFNSIWKKVSDILLR LGMKQDDIDKVKENPIENISLDYHQLSIYLGIPVPEIIQRMLSCYQQGITLQSITGSQRL DVEEFQNDEEDLSPPVMEYNIDVKSNTEIQLAEINKDVASIPSESSTESVKDLQEVKSKT KKKKRTKSNKKDKDSEDEQVSYMVEKDDQLETEQVDVNTLSTYMKTDTSDAQEDSAAEDK FCSLDELHILDMVEQGSSGKESTDFKETEKERLAHQHQLYKLQYECEDYKRQLKTVTFRW QENQMLIKKKEKIIVSLNQQVAFGINKMSKLQRQIHAKDDEIKNLKDQLSLKRSQWEMEK HNLESTVKTYLNKLNAETSRALTAEVYFLQCRRDFGLLHLEQTEKECLNQLARVTHMAAS NLESLQLKAAVDSWNAIVADVRNKIAFLRTQYNEQINKVKQGFALSTLPPVQLPPPPPSP EILIQQFLGRPLVKESFFRPILTVPQMPAVCPGVISAAVQPRPPLMPGITWAMPTPIGDT VSPSASLCSEPLMINWERITDRLKTAFPQQTRKELTDFLQQLKDSHGKSVSRLTFDEIVY KISQMIEPKKSESEEKSAQDGNNASPSHTASQPNAPQDPKSAQGSATWEGDKDMDNEEEE EEPCVICHENLSPENLSVLPCAHKFHSQCIRPWLMQQGTCPTCRLHVLQPEEFPGHPNGQ LPKI >ENSMUSP00000110161.1 pep:known chromosome:GRCm38:16:48925879:48993941:-1 gene:ENSMUSG00000064061.13 transcript:ENSMUST00000114516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzip3 description:DAZ interacting protein 3, zinc finger [Source:MGI Symbol;Acc:MGI:1917433] MDSLAEEFFVSGNPDVEEQTKEETEIIAEKPVTQLDKQKMDISADPEPVNALLEIKKVLN PISALPKGVFPNIEKFIQEDFSFQTMQREVTTHSQTGEEIVPALTLHFLITQLEMALRNI QASNYTAQQINVGYYLTLLFLYGVALTERAKKEDCIEAENKFLVMKMVIQESEICENFMC LVYFGRGLLRCAQKRYNGALLEFYKSLQEIGDTDDNWFEVDPTDDEDLPTTFKDSLNNFI KTTESNIMKETICSYLDCERSCEADILKNTNYKGFFQLMCSKSCCIYFHKICWKKFKNLK YPGESDQSFSGQKCLKEGCPGDMVRMLQCDVPGIVKILFEVVRKDEYITIENLGASYKNL MSLELTDTDIRPKFNLKPNTKGITLQSITGSQRLDVEEFQNDEEDLSPPVMEYNIDVKSN TEIQLAEINKDVASIPSESSTESVKDLQEVKSKTKKKKRTKSNKKDKDSEDEQVSYMVEK DDQLETEQVDVNTLSTYMKTDTSDAQEDSAAEDKFCSLDELHILDMVEQGSSGKESTDFK ETEKERLAHQHQLYKLQYECEDYKRQLKTVTFRWQENQMLIKKKEKIIVSLNQQVAFGIN KMSKLQRQIHAKDDEIKNLKDQLSLKRSQWEMEKHNLESTVKTYLNKLNAETSRALTAEV YFLQCRRDFGLLHLEQTEKECLNQLARVTHMAASNLESLQLKAAVDSWNAIVADVRNKIA FLRTQYNEQINKVKQGFALSTLPPVQLPPPPPSPEILIQQFLGRPLVKESFFRPILTVPQ MPAVCPGVISAAVQPRPPLMPGITWAMPTPIGDTVSPSASLCSEPLMINWERITDRLKTA FPQQTRKELTDFLQQLKDSHGKSVSRLTFDEIVYKISQMIEPKKSESEEKSAQDGNNASP SHTASQPNAPQDPKSAQGSATWEGDKDMDNEEEEEEPCVICHENLSPENLSVLPCAHKFH SQCIRPWLMQQGTCPTCRLHVLQPEEFPGHPNGQLPKI >ENSMUSP00000117675.1 pep:known chromosome:GRCm38:16:48926866:48929747:-1 gene:ENSMUSG00000064061.13 transcript:ENSMUST00000151950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzip3 description:DAZ interacting protein 3, zinc finger [Source:MGI Symbol;Acc:MGI:1917433] XITWAMPTPIGDTVSPSASLCSEPLMINWERITDRLKTAFPQQTRKELTDFLQQLKDSHG KSVSRLTFDEIVYKISQMIEPKKSESEEKSAQDGNNASPSHTASQPNAPQDPKSAQGSAT WEGDKDMDNEEEEEEPCVICHENLSPENLSVLPCAHKFHSQEDSLEI >ENSMUSP00000142033.1 pep:known chromosome:GRCm38:1:139804167:139858690:-1 gene:ENSMUSG00000033898.16 transcript:ENSMUST00000194186.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfhr2 description:complement factor H-related 2 [Source:MGI Symbol;Acc:MGI:3611575] MGFCSMLLLSNILLTAWLSTAKGEEKTCSPPYILNGIYTPHRIIHKSDDEIRYECNYGFY PVTGSTVSKCTPTGWIPVPRCTLKPCEFPQFKYGRLYYEESLRPNFPVSIGNKYSYKCDN GFSPPSGYSWDYLRCTAQGWEPEVPCVRKCVFHYVENGDSTYWEKIYVQGQSLKVQCYNG YSLQNGQDTMTCTENGWSPPPKCIRINSTRTCGPPPPIDNGDITSLSLPEYEPLSSVDYQ CQKYYLLKGNKTITCRNGNWSEPPMCLRVYCALSLDIHLGETIGYPRGILWILPLVSFRV SHC >ENSMUSP00000092065.3 pep:known chromosome:GRCm38:1:139810288:139858702:-1 gene:ENSMUSG00000033898.16 transcript:ENSMUST00000094489.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfhr2 description:complement factor H-related 2 [Source:MGI Symbol;Acc:MGI:3611575] MGFCSMLLLSNILLTAWLSTAKGEEKTCSPPYILNGIYTPHRIIHKSDDEIRYECNYGFY PVTGSTVSKCTPTGWIPVPRCTLKPCEFPQFKYGRLYYEESLRPNFPVSIGNKYSYKCDN GFSPPSGYSWDYLRCTAQGWEPEVPCVRKCVFHYVENGDSTYWEKIYVQGQSLKVQCYNG YSLQNGQDTMTCTENGWSPPPKCIRINSTRTCGPPPPIDNGDITSLSLPEYEPLSSVDYQ CQKYYLLKGNKTITCRNGNWSEPPMCLHACVIPENIMEAHNIILKWRHTEKIYAHSGEDI EFECKRGYQKARGSLPFRTKCINGTINYPTCV >ENSMUSP00000043370.3 pep:known chromosome:GRCm38:10:62920633:62946498:1 gene:ENSMUSG00000071253.8 transcript:ENSMUST00000044977.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a16 description:solute carrier family 25 (mitochondrial carrier, Graves disease autoantigen), member 16 [Source:MGI Symbol;Acc:MGI:1920382] MAALVAAAALAAAEPAPAVPQAAGSGGPTSRRDFYWLRSFLAGGIAGCCAKTTVAPLDRV KVLLQAHNRHYKHLGVLSTLRAVPQKEGYLGLYKGNGAMMIRIFPYGAIQFMAFEHYKTF ITTKLGVSGHVHRLMAGSMAGMTAVICTYPLDVVRVRLAFQVKGEHTYSGIIHAFKTIYA KEGGFLGFYRGLMPTILGMAPYAGVSFFTFGTLKSVGLSYAPALLGRPSSDNPNVLVLKT HINLLCGGVAGAIAQTISYPFDVTRRRMQLGAVLPEFEKCLTMRETMKYVYGQHGIRRGL YRGLSLNYIRCIPSQAVAFTTYELMKQFFHLN >ENSMUSP00000114510.1 pep:known chromosome:GRCm38:10:62920680:62944429:1 gene:ENSMUSG00000071253.8 transcript:ENSMUST00000144459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a16 description:solute carrier family 25 (mitochondrial carrier, Graves disease autoantigen), member 16 [Source:MGI Symbol;Acc:MGI:1920382] MAALVAAAALAAAEPAPAVPQAAGSGGPTSRRDFYWLRSFLAGGIAGCCAKTTVAPLDRV KVLLQAHNRHYKHLGVLSTLRAVPQKEGYLGLYKGNGAMMIRIFPYGAIQFMAFEHYKTF ITTKLGVSGHVHRLMAGSMAGKMSMTAVICTYPLDVVRVRLAFQVKGEHTYSGIIHAFKT IYAKEGGFLGFYRGLMPTILGMAPYAGVSFFTFGTLKSVGLSYAPALLGRPSSDNPNVLV LKTHINLLCGGVAGAIAQTISYPFDVTRRRMQLGAVLPEFEKCLTMRETMKYVYGQHGIR RGLYRGLSLNYIRCIPSQAVAF >ENSMUSP00000027885.7 pep:known chromosome:GRCm38:1:156838562:156861078:1 gene:ENSMUSG00000033544.6 transcript:ENSMUST00000027885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angptl1 description:angiopoietin-like 1 [Source:MGI Symbol;Acc:MGI:1919963] MKAFVWTLSVLLFLLGSGHCKGGQLKIKKITQRRYPRATDGKEEAKKCSYTFLVPEQKIT GPICVNTKGQDAGTIKDMITRMDLENLKDVLSRQKREIDVLQLVVDVDGNIVNEVKLLRK ESRNMNSRVTQLYMQLLHEIIRKRDNSLELSQLENKILNVTTEMLKMATRYRELEVKYAS LTDLVNNQSVTITVLEEQCLRMFSRQDPHASPPLVQVVPRHSPNSHQYTPGLLGGNEIQR DPGYPRDVMPPPDLPTAPTKSPFKIPAVTFINEGPFKDCQQAKEAGHSASGIYMIKPENS NGLMQLWCENSLDPGGWTVIQKRTDGSVNFFRNWENYKKGFGNIDGEYWLGLDNIYKLSN QDNYKLMIELEDWSEKKVYAEYSSFRLEPESDYYRLRLGTYQGNAGDSMMWHNGKQFTTL DRDKDTYTGNCAHFHKGGWWYNACAHSNLNGVWYRGGHYRSKHQDGIFWAEYRGGSYSLR AVQMMIKPID >ENSMUSP00000107349.1 pep:known chromosome:GRCm38:1:156838942:156845615:1 gene:ENSMUSG00000033544.6 transcript:ENSMUST00000111720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angptl1 description:angiopoietin-like 1 [Source:MGI Symbol;Acc:MGI:1919963] MKAFVWTLSVLLFLLGSGHCKGGQLKIKKITQRRYPRATDGKEEAKKCSYTFLVPEQKIT GPICVNTKGQDAGTIKDMITRMDLENLKDVLSRQKREIDVLQLVVDVDGNIVNEVKLLRK ESRNMNSRVTQLYMQLLHEIIRKRDNSLELSQLENKILNVTTEMLKMATRYRELEVKYAS LTDLVNNQSVTITVLEEQCLRMFSRQDPHASPPLVQVVPRHSPNSHQYTPGLLGGNEIQR DPGYPRDVMPPPDLPTAPTKSPFKIPAVTFINEGELLLPGQWKNEAGMLMLYDSRNNTLI LVSPTSYVLMPLYMSNQNFHFLK >ENSMUSP00000034359.3 pep:known chromosome:GRCm38:8:105258286:105264609:-1 gene:ENSMUSG00000031887.13 transcript:ENSMUST00000034359.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tradd description:TNFRSF1A-associated via death domain [Source:MGI Symbol;Acc:MGI:109200] MAAGQNGHEEWVGSAYLFLESAVDKVILSEAYTDPKKKVAIYKALQTALSESGDSSDVLQ ILKIHCSDPQLIVQLRFCGRVLCGRFLQAYREGALRTALQRCMAPALAQEALRLQLELRA GAEQLDSWLTDEERCLNYILAQKPDRLRDEELAELEDELCKLTCDCTGQGGAIQVASAGS KFPVSSPTEEKPLPAACQTFLFHGQLVVNRPLTLQDQQTFARSVGLKWRRVGRSLQRNCR ALRDPALDSLAYEYERDGLYEQAFQLLRRFMQAEGRRATLQRLVEALEENELTSLAEDLL GQAEPDGGLA >ENSMUSP00000119174.1 pep:known chromosome:GRCm38:8:105259283:105264581:-1 gene:ENSMUSG00000031887.13 transcript:ENSMUST00000144762.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tradd description:TNFRSF1A-associated via death domain [Source:MGI Symbol;Acc:MGI:109200] MAAGQNGHEEWVGSAYLFLESAVDKVILSEAYTDPKKKVAIYKALQTALSARPAQGRGTR GAGG >ENSMUSP00000115535.1 pep:known chromosome:GRCm38:8:105259757:105264603:-1 gene:ENSMUSG00000031887.13 transcript:ENSMUST00000147670.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tradd description:TNFRSF1A-associated via death domain [Source:MGI Symbol;Acc:MGI:109200] MAAGQNGHEEWVGSAYLFLESAVDKVILSEAYTDPKKKVAIYKALQTALSAAFLAPVPTL SVPLLGREH >ENSMUSP00000115668.1 pep:known chromosome:GRCm38:5:13791619:13796820:1 gene:ENSMUSG00000067855.7 transcript:ENSMUST00000124677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer3 description:spermatogenesis associated glutamate (E)-rich protein 3 [Source:MGI Symbol;Acc:MGI:1918276] MFARLCNLFGRASVDGRETRERRKDVGLPSESNEERRRWTWRMWRAPRKISPTVRDLSEK EFKMEMERLTTELHLITQKRNGQRDRLISFQEGSMKKRTRPFQKIHPFYEQLSLKKNQLM SSVNNLELEIIELQENIHELKKEINFYSNLHSQLMVEKNLIKMSITQKGESEEVQIDWAL IEKYLVDLNLNGKDKQEQTSNHETQQLQDSETPARAEMSTSQEESLLHNEFLPQEPPAAL HPQHPQSTLNESSYIQYIYSV >ENSMUSP00000104890.2 pep:known chromosome:GRCm38:13:111746428:111808993:-1 gene:ENSMUSG00000021754.17 transcript:ENSMUST00000109267.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k1 description:mitogen-activated protein kinase kinase kinase 1 [Source:MGI Symbol;Acc:MGI:1346872] MAAAAGDRASSSGFPGAAAASPEAGGGGGGGGALQGSGAPAAGAAGLLREPGSAGRERAD WRRRQLRKVRSVELDQLPEQPLFLAAASPPCPSTSPSPEPADAAAGASRFQPAAGPPPPG AASRCGSHSAELAAARDSGARSPAGAEPPSAAAPSGREMENKETLKGLHKMEDRPEERMI REKLKATCMPAWKHEWLERRNRRGPVVVKPIPIKGDGSEMNNLAAEPQGEGQAGSAAPAP KGRRSPSPGSSPSGRSVKPESPGVRRKRVSPVPFQSGRITPPRRAPSPDGFSPYSPEETS RRVNKVMRARLYLLQQIGPNSFLIGGDSPDNKYRVFIGPQNCSCGRGAFCIHLLFVMLRV FQLEPSDPMLWRKTLKNFEVESLFQKYHSRRSSRIKAPSRNTIQKFVSRMSNCHTLSSSS TSTSSSENSIKDEEEQMCPICLLGMLDEESLTVCEDGCRNKLHHHCMSIWAEECRRNREP LICPLCRSKWRSHDFYSHELSSPVESPTSLRAVQQPSSPQQPVAGSQRRNQESSFNLTHF GTQQIPSAYKDLAEPWIQVFGMELVGCLFSRNWNVREMALRRLSHDVSGALLLANGESTG NSGGGSGGSLSAGAASGSSQPSISGDVVEACCSVLSIVCADPVYKVYVAALKTLRAMLVY TPCHSLAERIKLQRLLRPVVDTILVKCADANSRTSQLSISTVLELCKGQAGELAVGREIL KAGSIGVGGVDYVLSCILGNQAESNNWQELLGRLCLIDRLLLEFPAEFYPHIVSTDVSQA EPVEIRYKKLLSLLTFALQSIDNSHSMVGKLSRRIYLSSARMVTAVPAVFSKLVTMLNAS GSTHFTRMRRRLMAIADEVEIAEVIQLGVEDTVDGHQDSLQVLAPASCLENSSLEHTVHR EKTGKGLSATRLSASSEDISDRLAGVSVGLPSSTTTEQPKPAVQTKGRPHSQCLNSSPLS HAQLMFPAPSAPCSSAPSVPDISKHRPQAFVPCKIPSASPQTQRKFSLQFQRNCSEHRDS DQLSPVFTQSRPPPSSNIHRPKPSRPVPGSTSKLGDATKSSMTLDLGSASRCDDSFGGGG NSGNAVIPSEETVFTPVEDKCRLDVNTELNSSIEDLLEASMPSSDTTVTFKSEVAVLSPE KAENDDTYKDDVNHNQKCKEKMEAEEEEALAIAMAMSASQDALPIVPQLQVENGEDIIII QQDTPETLPGHTKAKQPYREDAEWLKGQQIGLGAFSSCYQAQDVGTGTLMAVKQVTYVRN TSSEQEEVVEALREEIRMMGHLNHPNIIRMLGATCEKSNYNLFIEWMAGGSVAHLLSKYG AFKESVVINYTEQLLRGLSYLHENQIIHRDVKGANLLIDSTGQRLRIADFGAAARLASKG TGAGEFQGQLLGTIAFMAPEVLRGQQYGRSCDVWSVGCAIIEMACAKPPWNAEKHSNHLA LIFKIASATTAPSIPSHLSPGLRDVALRCLELQPQDRPPSRELLKHPVFRTTW >ENSMUSP00000117863.1 pep:known chromosome:GRCm38:13:111767984:111808315:-1 gene:ENSMUSG00000021754.17 transcript:ENSMUST00000145055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k1 description:mitogen-activated protein kinase kinase kinase 1 [Source:MGI Symbol;Acc:MGI:1346872] MENKETLKGLHKMEDRPEERMIREKLKATCMPAWKHEWLERRNRRGPVVVKPIPIKGDGS EMNNLAAEPQGEGQAGSAAPAPKGRRSPSPGSSPSGRSVKPESPGVRRKRVSPVPFQSGR ITPPRRAPSPDGFSPYSPEETSRRVNKVMRARLYLLQQIGPNSFLIGGDSPDNKYRVFIG PQNCSCGRGAFCIHLLFVMLRVFQLEPSDPMLWRKTLKNFEVESLFQKYHSRRSSRIKAP SRNTIQKFVSRMSNCHTLSSSSTS >ENSMUSP00000138759.1 pep:known chromosome:GRCm38:7:18345422:18349422:-1 gene:ENSMUSG00000003505.15 transcript:ENSMUST00000182983.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg18 description:pregnancy specific glycoprotein 18 [Source:MGI Symbol;Acc:MGI:1347251] XDTLDSAQLSIDPVPRHAAEGGSVLLQVHNLPEDVQTFSWYKELVTQPAMRVTDSTVRVQ SSVVFTCFSDNTGVSIRWLFNNQRLQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNP VSSKTSLPVSLTVMNE >ENSMUSP00000003597.7 pep:known chromosome:GRCm38:7:18345808:18355009:-1 gene:ENSMUSG00000003505.15 transcript:ENSMUST00000003597.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg18 description:pregnancy specific glycoprotein 18 [Source:MGI Symbol;Acc:MGI:1347251] MELSSELFSNGCTSWQRVLLTASLLTCWLLPTTARVTIESLPPQVYEGENVLLRVDNMPE NLLVFGWYRGMTNLWQAIAQHWLYYYSVMVKGLNHSGREILYINGSLWIQNVTQEDTGYY TFQTISKRGEIVSNTSLYLHVYSSLFICVRPTTLISPTIELVPASVAAGGSILLLVHNIP KYLQSLFWYKGLIAFNKVEIARYRTAKNSGEPGPAHSGRETVYSNGSLLLQNVTWKDTGF YTLRTLTRYQKMEFAHIYLQVDTSHSLCCDTLDSAQLSIDPVPRHAAEGGSVLLQVHNLP EDVQTFSWYKGVLSTQDFKIAEYSIATKSIIRGRAHSRREIGYTNGSLLLQDVTEKDSGL YTLITIDSNVRVVTAHVQVNIHQLVTQPAMRVTDSTVRVQSSVVFTCFSDNTGVSIRWLF NNQRLQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVSLTVMNE >ENSMUSP00000096380.3 pep:known chromosome:GRCm38:7:18348210:18354944:-1 gene:ENSMUSG00000003505.15 transcript:ENSMUST00000098783.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg18 description:pregnancy specific glycoprotein 18 [Source:MGI Symbol;Acc:MGI:1347251] MELSSELFSNGCTSWQRVLLTASLFICVRPTTLISPTIELVPASVAAGGSILLLVHNIPK YLQSLFWYKGLIAFNKVEIARYRTAKNSGEPGPAHSGRETVYSNGSLLLQNVTWKDTGFY TLRTLTRYQKMEFAHIYLQVDTSHSLCCDTLDSAQLSIDPVPRHAAEGGSVLLQVHNLPE DVQTFSWYKGVLSTQDFKIAEYSIATKSIIRGRAHSRREIGYTNGSLLLQDVTEKDSGLY TLITIDSNVRVVTAHVQVNIHRK >ENSMUSP00000028610.3 pep:known chromosome:GRCm38:2:103453849:103485160:-1 gene:ENSMUSG00000027187.10 transcript:ENSMUST00000028610.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cat description:catalase [Source:MGI Symbol;Acc:MGI:88271] MSDSRDPASDQMKQWKEQRASQRPDVLTTGGGNPIGDKLNIMTAGSRGPLLVQDVVFTDE MAHFDRERIPERVVHAKGAGAFGYFEVTHDITRYSKAKVFEHIGKRTPIAVRFSTVTGES GSADTVRDPRGFAVKFYTEDGNWDLVGNNTPIFFIRDAILFPSFIHSQKRNPQTHLKDPD MVWDFWSLRPESLHQVSFLFSDRGIPDGHRHMNGYGSHTFKLVNADGEAVYCKFHYKTDQ GIKNLPVGEAGRLAQEDPDYGLRDLFNAIANGNYPSWTFYIQVMTFKEAETFPFNPFDLT KVWPHKDYPLIPVGKLVLNKNPVNYFAEVEQMAFDPSNMPPGIEPSPDKMLQGRLFAYPD THRHRLGPNYLQIPVNCPYRARVANYQRDGPMCMHDNQGGAPNYYPNSFSAPEQQRSALE HSVQCAVDVKRFNSANEDNVTQVRTFYTKVLNEEERKRLCENIAGHLKDAQLFIQKKAVK NFTDVHPDYGARIQALLDKYNAEKPKNAIHTYTQAGSHMAAKGKANL >ENSMUSP00000106798.3 pep:known chromosome:GRCm38:2:103454595:103485125:-1 gene:ENSMUSG00000027187.10 transcript:ENSMUST00000111168.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cat description:catalase [Source:MGI Symbol;Acc:MGI:88271] MSDSRDPASDQMKQWKEQRASQRPDVLTTGGGNPIGDKLNIMTAGSRGPLLVQDVVFTDE MAHFDRERIPERVVHAKGAGAFGYFEVTHDITRYSKAKVLNEEERKRLCENIAGHLKDAQ LFIQKKAVKNFTDVHPDYGARIQALLDKYNAEKPKNAIHTYTQAGSHMAAKGKANL >ENSMUSP00000090374.3 pep:known chromosome:GRCm38:11:99550130:99550865:-1 gene:ENSMUSG00000069722.4 transcript:ENSMUST00000092700.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap3-3 description:keratin associated protein 3-3 [Source:MGI Symbol;Acc:MGI:1913630] MACCVARCCSVPTGPATTICSSDKSCRCGVCLPSTCPHTIWQLEPTCCDNCPPPCHIPQP CVPTCFLLNSCHPTPDLLTVNLTTYVQPGCEEPCVPRCC >ENSMUSP00000030696.4 pep:known chromosome:GRCm38:4:132899213:132922558:-1 gene:ENSMUSG00000028878.11 transcript:ENSMUST00000030696.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam76a description:family with sequence similarity 76, member A [Source:MGI Symbol;Acc:MGI:2385211] MAALYACTKCHQRFPFEALSQGQQLCKECRIAHPVVKCTYCRTEYQQESKTNTICKKCAQ NVQLYGTPKPCQYCNIIAAFIGNKCQRCTNSEKKYGPPYSCEQCKQQCAFDRKDDRKKVD GKLLCWLCTLSYKRVLQKTKEQRKHLSSSSRGSHQEKEQYSRLSGGSHYNSQKTLSTSSI QNEIPKKKSKFESITTNGDSFSPDLALDSPGTDHFVIIAQLKEEVATLKKMLHQKDQMIL EKEKKITELKADFQYQESQTRAKMNQMEKTHKEVTEQLQAKNRELLKQAAALSKSKKSEK SGTITSP >ENSMUSP00000095468.3 pep:known chromosome:GRCm38:4:132900251:132922493:-1 gene:ENSMUSG00000028878.11 transcript:ENSMUST00000097856.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam76a description:family with sequence similarity 76, member A [Source:MGI Symbol;Acc:MGI:2385211] MAALYACTKCHQRFPFEALSQGQQLCKECRIAHPVVKCTYCRTEYQQESKTNTICKKCAQ NVQLYGTPKPCQYCNIIAAFIGNKCQRCTNSEKKYGPPYSCEQCKQQCAFDRKDDRKKVD GKLLCWLCTLSYKRVLQKTKEQRKHLSSSSRGSHQEKEQYSRLSGGSHYNSFSPDLALDS PGTDHFVIIAQLKEEVATLKKMLHQKDQMILEKEKKITELKADFQYQESQTRAKMNQMEK THKEVTEQLQAKNRELLKQAAALSKSKKSEKSGTITSP >ENSMUSP00000121892.1 pep:known chromosome:GRCm38:4:132913741:132920909:-1 gene:ENSMUSG00000028878.11 transcript:ENSMUST00000148667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam76a description:family with sequence similarity 76, member A [Source:MGI Symbol;Acc:MGI:2385211] MTHPGLLLATECRIAHPVVKCTYCRTEYQQESKTNTICKKCAQNVQLYGTPKPCQYCNII AAFIGNKCQRCTNSEKKYGPPYSCEQCKQQCAFDRKDDRKKVDGKLLCWLCTLSYKRVLQ KTKEQRKHL >ENSMUSP00000073261.4 pep:known chromosome:GRCm38:3:89209081:89214430:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000073572.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] MRLGGPPRGVRSGLRPERARGCRGHVQFGKCPQRPTSRTRCPSPKPSVSPAVRGYARQRR RESPKRVWTAALLPYGGRLRTSPRRSPEGRCPTSHSPAAAQAAKSHASPDPKAPAAREAG TRGGSWREKADAYEKVLPGQRAYKMAAPMELFCWSGGWGLPSVDLDSLAVLTYTRFTGAP LKIHKTSNPWQSPSGTLPALRTSDGKVITVPHKIITHLRKEKYNADYDLSARQGADTLAF MSLLEEKLLPVLIHTFWIDAKNYVEVTRKWYAEAMPFPLNFFLPGRMQRQYMERLQLLCG EHKSENEEELEKELYQEARECLTLLSQRLGSQKFFFGDAPASLDAFVFSHLALLLQAKLP SGKLQAHLRGLHNLCAYCTHILNLYFPRDGDEVPLPRQTPAAPETEEEPYRRRTQILSVL AGLAAMVGYALLSGIVSIQRTSPARAPGTRALGLAEEDEED >ENSMUSP00000134110.1 pep:known chromosome:GRCm38:3:89209086:89210336:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000173475.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] XYMERLQLLCGEHKSENEEELEKELYQEARECLTLLSQRLGSQKFFFGDAHLALLLQAKL PSGKLQAHLRGLHNLCAYCTHILNLYFPRDGDEVPLPRQTPAAPETEEEPYRRRTQILSV LAGLAAMVGYALLSGIVSIQRTSPARAPGTRALGLAEEDEED >ENSMUSP00000114009.2 pep:known chromosome:GRCm38:3:89209087:89214357:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000118964.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] MRLGGPPRGVRSGLRPERARGCRGHVQFGKCPQRPTSRTRCPSPKPSVSPAVRGYARQRR RESPKRVWTAALLPYGGRLRTSPRRSPEGRCPTSHSPAAAQAAKSHASPDPKAPAAREAG TRGGSWREKADAYEKVLPGQRAYKMAAPMELFCWSGGWGLPSVDLDSLAVLTYTRFTGAP LKIHKTSNPWQSPSGTLPALRTSDGKVITVPHKIITHLRKEIHTFWIDAKNYVEVTRKWY AEAMPFPLNFFLPGRMQRQYMERLQLLCGEHKSENEEELEKELYQEARECLTLLSQRLGS QKFFFGDAPASLDAFVFSHLALLLQAKLPSGKLQAHLRGLHNLCAYCTHILNLYFPRDGD EVPLPRQTPAAPETEEEPYRRRTQILSVLAGLAAMVGYALLSGIVSIQRTSPARAPGTRA LGLAEEDEED >ENSMUSP00000133537.1 pep:known chromosome:GRCm38:3:89209089:89210121:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000129055.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] XFFGDA >ENSMUSP00000113986.1 pep:known chromosome:GRCm38:3:89209092:89213907:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000119222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] XRAYKMAAPMELFCWSGGWGLPSVDLDSLAVLTYTRFTGAPLKIHKTSNPWQSPSGIPEG QECARGGAGDRNAMQMSMTRQAGPCEYTSFSISGTLPALRTSDGKVITVPHKIITHLRKE KYNADYDLSARQGADTLAFMSLLEEKLLPVLIHTFWIDAKNYVEVTRKWYAEAMPFPLNF FLPGRMQRQYMERLQLLCGEHKSENEEELEKELYQEARECLTLLSQRLGSQKFFFGDAPA SLDAFVFSHLALLLQAKLPSGKLQAHLRGLHNLCAYCTHILNLYFPRDGDEVPLPRQTPA APETEEEPYRRRTQILSVLAGLAAMVGYALLSGIVSIQRTSPARAPGTRALGLAEEDEED >ENSMUSP00000133282.1 pep:known chromosome:GRCm38:3:89209213:89213840:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000173477.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] XVDLDSLAVLTYTRFTGAPLKIHKTSNPWQSPSGTLPALRTSDGKVITVPHKIITHLRKE YNADYDLSARQGADTLAFMSLLEEKLLPVLIHTFWIDAKNYVEVTRKWYAEAMPFPLNFF LPGRMQRQYMERLQLLCGEHKSENEEELEKELYQEARECLTLLSQRLGSQKFFFGDAPAS LDAFVFSHLALLLQAKLPSGKLQAHLRGLHNLCAYCTHILNLYFPRDGDEVPLPRQTPAA PETEEEPYRRRTQILSVLAGLAAMVGYALLSGIVSIQRTSPARAPGTRALGLAEEDEE >ENSMUSP00000133866.1 pep:known chromosome:GRCm38:3:89209328:89213912:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000173593.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] XGQRAYKMAAPMELFCWSGGWGLPSVDLDSLAVLTYTRFTGAPLKIHKTSNPWQSPSGTL PALRTSDGKVITVPHKIITHLRKEKYNADYDLSARQGADTLAFMSLLEEKLLPVLIHTFW IDAKNYVEVTRKWYAEAMPFPLNFFLPGRMQRQYMERLQLLCGEHKSENEEELEKEPCLP GRLCF >ENSMUSP00000133291.1 pep:known chromosome:GRCm38:3:89209356:89227052:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000174126.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] MSLLEEKLLPVLIHTFWIDAKNYVEVTRKWYAEAMPFPLNFFLPGRMQRQYMERLQLLCG EHKSENEEELEKELYQEARECLTLLSQRLGSQKFFFGDAPASLDAFVFSHLALLLQAKLP SGKLQAHLRGLHNLCAYCTHILNLYFPRDGDEVPLPRQTPAAPETEEEPYRR >ENSMUSP00000133773.1 pep:known chromosome:GRCm38:3:89210032:89213885:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000173465.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] XPMELFCWSGGWGLPSVDLDSLAVLELCLLFEPVMGKSLQCHTRSSPIFVKRSIMPTTIC QLAKEQIP >ENSMUSP00000133623.1 pep:known chromosome:GRCm38:3:89210395:89213389:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000152789.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] MSLLEEKLLPVLIHTFWIDAKNYVEVTRKW >ENSMUSP00000134603.1 pep:known chromosome:GRCm38:3:89210676:89213826:-1 gene:ENSMUSG00000064068.13 transcript:ENSMUST00000150303.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtx1 description:metaxin 1 [Source:MGI Symbol;Acc:MGI:103025] XLAVLVRAHAPVL >ENSMUSP00000101862.1 pep:known chromosome:GRCm38:4:122859047:122886044:-1 gene:ENSMUSG00000028656.14 transcript:ENSMUST00000106255.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cap1 description:CAP, adenylate cyclase-associated protein 1 (yeast) [Source:MGI Symbol;Acc:MGI:88262] MADMQNLVERLERAVGRLEAVSHTSDMHCGYGDSPSKGAVPYVQAFDSLLANPVAEYLKM SKEIGGDVQKHAEMVHTGLKLERALLATASQCQQPAGNKLSDLLAPISEQIQEVITFREK NRGSKFFNHLSAVSESIQALGWVALAAKPGPFVKEMNDAAMFYTNRVLKEYRDVDKKHVD WVRAYLSIWTELQAYIKEFHTTGLAWSKTGPVAKELSGLPSGPSVGSGPPPPPPGPPPPP ISTSSGSDDSASRSALFAQINQGESITHALKHVSDDMKTHKNPALKAQSGPVRSGPKPFS APKPQTSPSPKPATKKEPALLELEGKKWRVENQENVSNLVIDDTELKQVAYIYKCVNTTL QIKGKINSITVDNCKKLGLVFDDVVGIVEIINSRDVKVQVMGKVPTISINKTDGCHAYLS KNSLDCEIVSAKSSEMNVLIPTEGGDFNEFPVPEQFKTLWNGQKLVTTVTEIAG >ENSMUSP00000068260.5 pep:known chromosome:GRCm38:4:122859047:122885911:-1 gene:ENSMUSG00000028656.14 transcript:ENSMUST00000069533.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cap1 description:CAP, adenylate cyclase-associated protein 1 (yeast) [Source:MGI Symbol;Acc:MGI:88262] MADMQNLVERLERAVGRLEAVSHTSDMHCGYGDSPSKGAVPYVQAFDSLLANPVAEYLKM SKEIGGDVQKHAEMVHTGLKLERALLATASQCQQPAGNKLSDLLAPISEQIQEVITFREK NRGSKFFNHLSAVSESIQALGWVALAAKPGPFVKEMNDAAMFYTNRVLKEYRDVDKKHVD WVRAYLSIWTELQAYIKEFHTTGLAWSKTGPVAKELSGLPSGPSVGSGPPPPPPGPPPPP ISTSSGSDDSASRSALFAQINQGESITHALKHVSDDMKTHKNPALKAQSGPVRSGPKPFS APKPQTSPSPKPATKKEPALLELEGKKWRVENQENVSNLVIDDTELKQVAYIYKCVNTTL QIKGKINSITVDNCKKLGLVFDDVVGIVEIINSRDVKVQVMGKVPTISINKTDGCHAYLS KNSLDCEIVSAKSSEMNVLIPTEGGDFNEFPVPEQFKTLWNGQKLVTTVTEIAG >ENSMUSP00000101864.3 pep:known chromosome:GRCm38:4:122859047:122886056:-1 gene:ENSMUSG00000028656.14 transcript:ENSMUST00000106257.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cap1 description:CAP, adenylate cyclase-associated protein 1 (yeast) [Source:MGI Symbol;Acc:MGI:88262] MADMQNLVERLERAVGRLEAVSHTSDMHCGYGDSPSKGAVPYVQAFDSLLANPVAEYLKM SKEIGGDVQKHAEMVHTGLKLERALLATASQCQQPAGNKLSDLLAPISEQIQEVITFREK NRGSKFFNHLSAVSESIQALGWVALAAKPGPFVKEMNDAAMFYTNRVLKEYRDVDKKHVD WVRAYLSIWTELQAYIKEFHTTGLAWSKTGPVAKELSGLPSGPSVGSGPPPPPPGPPPPP ISTSSGSDDSASRSALFAQINQGESITHALKHVSDDMKTHKNPALKAQSGPVRSGPKPFS APKPQTSPSPKPATKKEPALLELEGKKWRVENQENVSNLVIDDTELKQVAYIYKCVNTTL QIKGKINSITVDNCKKLGLVFDDVVGIVEIINSRDVKVQVMGKVPTISINKTDGCHAYLS KNSLDCEIVSAKSSEMNVLIPTEGGDFNEFPVPEQFKTLWNGQKLVTTVTEIAG >ENSMUSP00000114847.1 pep:known chromosome:GRCm38:4:122867514:122885965:-1 gene:ENSMUSG00000028656.14 transcript:ENSMUST00000128485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cap1 description:CAP, adenylate cyclase-associated protein 1 (yeast) [Source:MGI Symbol;Acc:MGI:88262] MADMQNLVERLERAVGRLEAVSHTSDMHCGYGDSPSKGAVPYVQAFDSLLANPVAEYLKM SKEIGGDVQKHAEMVHTGLKLERALLATASQCQQPAGNKLSDLLAPISEQIQEVITFREK NRGSKFFNHLSAVSESIQALGWVALAAKPGPFVKEMNDAAMFYT >ENSMUSP00000131990.1 pep:known chromosome:GRCm38:7:7231327:7247328:-1 gene:ENSMUSG00000095730.2 transcript:ENSMUST00000170922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r29 description:vomeronasal 2, receptor 29 [Source:MGI Symbol;Acc:MGI:1923479] MFILMGVFFLLNIPVFMANFTDPVCFWRINLNEIQDEYLGLTCAFILAAVQKPIEKDYFN KTLNFLKTTKNHKYALALAFAMDEINRNPDLLPNMSLIIRYTLGRCDGKTVIPTPYLFHK KTKSPIPNYFCNEETMCSFLLTGTHWEVSLSFWKYLDSFLSPRILQLTYGPFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYFSWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDYVYLEPEIEMYYKQIVMSSSNVIIIYEEKDNFFDLIFRMWEPPVLQRIWITT KQWNCPTSKRDITHGTFYGSLTFLPHHGEISGFKNFVQTWFNLSNKDLYLVMPEWKYFKY EGSASNCKILKNNSSDASFDWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQQVDNQ AIDNGKGASSHCLKVHSFLRKTHFTNPLGDKVIMKQRVITQEDYDIFHFGNLSQHLGIKL KLGKFSPYFSHGRHFHLYVDMIEVATGSRKMPSSVCSAECSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRILSYILLISLMSCFLCSFFFIGHPNRATCVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSVTAFYCVLGYLACLALGSFTLAFLAKNLPDTFNEAKF LTFSMLVFFSVWVTFLPVYHSTKGKVMVAVEIFSILASSAGMLGCIFAPKIYIILMRPER NSTQKIKEKSYF >ENSMUSP00000115925.2 pep:known chromosome:GRCm38:6:134715468:134792475:-1 gene:ENSMUSG00000030203.17 transcript:ENSMUST00000129433.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp16 description:dual specificity phosphatase 16 [Source:MGI Symbol;Acc:MGI:1917936] MAHEMIGTQIVTESLVALLESGTEKVLLIDSRPFVEYNTSHILEAININCSKLMKRRLQQ DKVLITELIQHSAKHKVDIDCNQKVVVYDQSSQDVGSLSSDCFLTVLLGKLERSFNSVHL LAGGFAEFSRCFPGLCEGKSTLVPTCISQPCLPVANIGPTRILPNLYLGCQRDVLNKDLM QQNGIGYVLNASNTCPKPDFIPESHFLRVPVNDSFCEKILPWLDKSVDFIEKAKASNGCV LIHCLAGISRSATIAIAYIMKRMDMSLDEAYRRQKPTDRADSRRSWHEESPFEKQFKRRS CQMEFGESIMSENRSREELGKVGSQSSFSGSMEIIEVS >ENSMUSP00000098419.3 pep:known chromosome:GRCm38:6:134715473:134792625:-1 gene:ENSMUSG00000030203.17 transcript:ENSMUST00000100857.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp16 description:dual specificity phosphatase 16 [Source:MGI Symbol;Acc:MGI:1917936] MAHEMIGTQIVTESLVALLESGTEKVLLIDSRPFVEYNTSHILEAININCSKLMKRRLQQ DKVLITELIQHSAKHKVDIDCNQKVVVYDQSSQDVGSLSSDCFLTVLLGKLERSFNSVHL LAGGFAEFSRCFPGLCEGKSTLVPTCISQPCLPVANIGPTRILPNLYLGCQRDVLNKDLM QQNGIGYVLNASNTCPKPDFIPESHFLRVPVNDSFCEKILPWLDKSVDFIEKAKASNGCV LIHCLAGISRSATIAIAYIMKRMDMSLDEAYRFVKEKRPTISPNFNFMGQLMDYEKTINN QTGMSGPKSKLKLLHLDKPSEPVPAASEGGWKSALSLSPPCANSTSEASGQRLVHPASVP SLQPSLLEDSPLVQALSGLQLSSEKLEDSTKLKRSFSLDIKSVSYSASMAASLHGFSSEE ALDYYKPSATLDGTNKLCQFSPVQEVSEQSPETSPDKEEAHIPKQPQPPRPSESQVTRLH SVRTGSSGSTQRPFFSPLHRSGSVEDNYHTNFLFGLSTSQQHLTKSAGLGLKGWHSDILA PQSSAPSLTSSWYFATEPSHLYSASAIYGGNSSYSAYSCGQLPTCSDQIYSVRRRQKPTD RADSRRSWHEESPFEKQFKRRSCQMEFGESIMSENRSREELGKVGSQSSFSGSMEIIEVS >ENSMUSP00000144834.1 pep:known chromosome:GRCm38:6:134717815:134761100:-1 gene:ENSMUSG00000030203.17 transcript:ENSMUST00000204083.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp16 description:dual specificity phosphatase 16 [Source:MGI Symbol;Acc:MGI:1917936] MAHEMIGTQIVTESLVALLESGTEKVLLIDSRPFVEYNTSHILEAININCSKLMKRRLQQ DKVLITELIQHSAKHKVDIDCNQKVVVYDQSSQDVGSLSSDCFLTVLLGKLERSFNSVHL LAGPDATEWDWLCVKCQQYLSKA >ENSMUSP00000144917.1 pep:known chromosome:GRCm38:6:134720579:134758720:-1 gene:ENSMUSG00000030203.17 transcript:ENSMUST00000148926.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp16 description:dual specificity phosphatase 16 [Source:MGI Symbol;Acc:MGI:1917936] XQSSQDVGSLSSDCFLTVLLGKLERSFNSVHLLAGDPKEVLGWKMTAVMEY >ENSMUSP00000144784.1 pep:known chromosome:GRCm38:6:134737496:134791334:-1 gene:ENSMUSG00000030203.17 transcript:ENSMUST00000149776.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp16 description:dual specificity phosphatase 16 [Source:MGI Symbol;Acc:MGI:1917936] MAHEMIGTQIVTESLVALLESGTEKVLLIDSRPFVEYNTSHILEAININCSKLMKRRLQQ DKVLITELIQHSAKHKVDIDCNQKVVVYDQSSQDVGSLSSDCFLTVLLGKLERSFNSVHL LAGGFAEFSRCFPGLCEGKSTLVPTCISQPCLPVANIGPTRILPNLYLGCQRDVLNKVGA SKHLSLCLSVASGKAAVVHYLSWNIL >ENSMUSP00000028311.6 pep:known chromosome:GRCm38:2:25517982:25546940:-1 gene:ENSMUSG00000026942.13 transcript:ENSMUST00000028311.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf2 description:TNF receptor-associated factor 2 [Source:MGI Symbol;Acc:MGI:101835] MAAASVTSPGSLELLQPGFSKTLLGTRLEAKYLCSACKNILRRPFQAQCGHRYCSFCLTS ILSSGPQNCAACVYEGLYEEGISILESSSAFPDNAARREVESLPAVCPNDGCTWKGTLKE YESCHEGLCPFLLTECPACKGLVRLSEKEHHTEQECPKRSLSCQHCRAPCSHVDLEVHYE VCPKFPLTCDGCGKKKIPRETFQDHVRACSKCRVLCRFHTVGCSEMVETENLQDHELQRL REHLALLLSSFLEAQASPGTLNQVGPELLQRCQILEQKIATFENIVCVLNREVERVAVTA EACSRQHRLDQDKIEALSNKVQQLERSIGLKDLAMADLEQKVSELEVSTYDGVFIWKISD FTRKRQEAVAGRTPAIFSPAFYTSRYGYKMCLRVYLNGDGTGRGTHLSLFFVVMKGPNDA LLQWPFNQKVTLMLLDHNNREHVIDAFRPDVTSSSFQRPVSDMNIASGCPLFCPVSKMEA KNSYVRDDAIFIKAIVDLTGL >ENSMUSP00000109872.1 pep:known chromosome:GRCm38:2:25518866:25546910:-1 gene:ENSMUSG00000026942.13 transcript:ENSMUST00000114234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf2 description:TNF receptor-associated factor 2 [Source:MGI Symbol;Acc:MGI:101835] MAAASVTSPGSLELLQPGFSKTLLGTRLEAKYLCSACKNILRRPFQAQCGHRYCSFCLTS ILRCASILSSSGPQNCAACVYEGLYEEGISILESSSAFPDNAARREVESLPAVCPNDGCT WKGTLKEYESCHEGLCPFLLTECPACKGLVRLSEKEHHTEQECPKRSLSCQHCRAPCSHV DLEVHYEVCPKFPLTCDGCGKKKIPRETFQDHVRACSKCRVLCRFHTVGCSEMVETENLQ DHELQRLREHLALLLSSFLEAQASPGTLNQVGPELLQRCQILEQKIATFENIVCVLNREV ERVAVTAEACSRQHRLDQDKIEALSNKVQQLERSIGLKDLAMADLEQKVSELEVSTYDGV FIWKISDFTRKRQEAVAGRTPAIFSPAFYTSRYGYKMCLRVYLNGDGTGRGTHLSLFFVV MKGPNDALLQWPFNQKVTLMLLDHNNREHVIDAFRPDVTSSSFQRPVSDMNIASGCPLFC PVSKMEAKNSYVRDDAIFIKAIVDLTGL >ENSMUSP00000090373.2 pep:known chromosome:GRCm38:11:99555823:99556853:-1 gene:ENSMUSG00000069721.2 transcript:ENSMUST00000092699.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap3-2 description:keratin associated protein 3-2 [Source:MGI Symbol;Acc:MGI:1913958] MACCVARCCSVPTGPATTICSSDKSCRCGVCLPSTCPHTIWQLEPTCCDNCPPPCHIPQP CVPTCFLLNSCHPTPDLLTVNLTTYVQPGCEEPCVPRCC >ENSMUSP00000027231.7 pep:known chromosome:GRCm38:1:40680574:40769273:1 gene:ENSMUSG00000026062.12 transcript:ENSMUST00000027231.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a2 description:solute carrier family 9 (sodium/hydrogen exchanger), member 2 [Source:MGI Symbol;Acc:MGI:105075] MGPRGTAHSVRAPLSWLLLLLLSLQVAVPAGALAETLLDAPRARGASSNPPSPASVVAPG TTPFEESRLPVFTLDYPHVQIPFEITLWILLASLAKIGFHLYHKLPTIVPESCLLIMVGL LLGGIIFGVDEKSPPAMKTDVFFLYLLPPIVLDAGYFMPTRPFFENFGTIFWYAVVGTLW NSIGIGVSLFGICQIEAFGLSDITLLQNLLFGSLISAVDPVAVLAVFENIHVNEQLYILV FGESLLNDAVTVVLYNLFKSFCQMKTIQTVDVFAGIANFFVVGIGGVLIGILLGFIAAFT TRFTHNIRVIEPLFVFLYSYLSYITAEMFHLSGIMAITACAMTMNKYVEENVSQKSYTTI KYFMKMLSSVSETLIFIFMGVSTVGKNHEWNWAFVCFTLAFCLIWRALGVFVLTQVINWF RTIPLTFKDQFIIAYGGLRGAICFALVFLLPATVFPRKKLFITAAIVVIFFTVFILGITI RPLVEFLDVKRSNKKQQAVSEEIHCRFFDHVKTGIEDVCGHWGHNFWRDKFKKFDDKYLR KLLIRENQPKSSIVSLYKKLEIKHAIEMAETGMISTVPSFASLNDCREEKIRKLTPGEMD EIREILSRNLYQIRQRTLSYNRHNLTADTSERQAKEILIRRRHSLRESLRKDNSSNRERR ASTSTSRYLSLPKNTKLPEKLQKKKKVSNADGNSSDSDPDVGTTVLNLQPRTRRFLPDQF SKKASQAYKMEWKNEVDVGSVRVPPSVSPAPRSKDGGTQTPGLLRQPLLSKDQRFGRGRE DSLTEDVPPKPPPRLVRRASEPGNRKGRLGNEKP >ENSMUSP00000142144.1 pep:known chromosome:GRCm38:1:40681597:40727681:1 gene:ENSMUSG00000026062.12 transcript:ENSMUST00000192345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a2 description:solute carrier family 9 (sodium/hydrogen exchanger), member 2 [Source:MGI Symbol;Acc:MGI:105075] MGPRGTAHSVRAPLSWLLLLLLSLQVAVPAGALAETLLDAPRARGASSNPPSPASVVAPG TTPFEESRLPVFTLDYPHVQIPFEITLWILLASLAKIGFHLYHKLPTIVPESCLLIMVGL LLGGIIFGVDEKSPPAMKTDVFFLYLLPPIVLDAGYFMPTRPFFENFGTIFWYAVVGTLW NSIGIGVSLFGICQIEAFGLSDITLLQNLLFGSLISAVDPVAVLAVFENIHVNEQLYILV FGESLLNDAVTVVLYNLFKSFCQMKTIQTVDVFAGIANFFVVGIGGVLIGILLGFIAAFT TRFTHNIRVIEPLFVFLYSYLSYITAEMFHLSGIMA >ENSMUSP00000093657.1 pep:known chromosome:GRCm38:13:22805580:22806518:-1 gene:ENSMUSG00000071491.1 transcript:ENSMUST00000095961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r209 description:vomeronasal 1 receptor 209 [Source:MGI Symbol;Acc:MGI:3650395] MVLKYIKEIIFTFITVVGTLGNTSVFVNYMFSWWGNPEKKSVQLILIHLAFTNIIILLTK GLPKTVAAFGLRNFLDAIGCKIIVYLGRVAHGLSICTSSLLTVVQAIIISPRASRWSRLR PRSAWHILLFFLYFWILNALMSMNLIHSITSTDLNMSQRKNSNNYCYLMQENQEIEWIFL TLMVLRDAVFQGAMGGASGYMVFLLHKHHQHVLYLQNSNLLYRTPPELRAAQSVLLLMLC FVFFYWTDCAFSLIFSLSSRDNTLVANTEEFLTLGYATFSPLVLIHRDGLLVECWHAQWE KLRRCHSHLNVQ >ENSMUSP00000100536.1 pep:known chromosome:GRCm38:11:99559167:99559466:-1 gene:ENSMUSG00000078132.1 transcript:ENSMUST00000104931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11939 description:predicted gene 11939 [Source:MGI Symbol;Acc:MGI:3651443] MTGCNSYLQGCRIVPIGPATTLCSSDIYCPCGVCLPSTCPHKISLLHPSCCDTCPPSCCV PDSYVSSCWLLNKGYPAPNLKGISVSTCVEPCECDPSCC >ENSMUSP00000094778.5 pep:known chromosome:GRCm38:15:98949841:98953551:-1 gene:ENSMUSG00000072235.5 transcript:ENSMUST00000097014.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba1a description:tubulin, alpha 1A [Source:MGI Symbol;Acc:MGI:98869] MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGK HVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLD RIRKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTA VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLIGQIVSSITA SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN QMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE AREDMAALEKDYEEVGVDSVEGEGEEEGEEY >ENSMUSP00000056782.2 pep:known chromosome:GRCm38:3:68869586:68872163:1 gene:ENSMUSG00000046999.2 transcript:ENSMUST00000054551.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110032F04Rik description:RIKEN cDNA 1110032F04 gene [Source:MGI Symbol;Acc:MGI:1915975] MWGPGVTAEGLSVAPAPPPLLPLLLLLALALVAPSRGGGGCAELACGERERCCDSANATA VRCCKLPLHAFLDNVGWFVRKLSGLLILLVLFAIGYFLQRIICPSPRRYPRGQARPGQAR PGPPGGAGPPGTAGPPDDDDDSPALLRDEVAAGSQDSLLDSGGGRGRGSGGRLPPSCVSE HELHVVSPVFLQLPSYEEVKYLPTYEESMRLQQLSPAEVVLPVSVLGRPRGGSAGDSDGG QVRFPLI >ENSMUSP00000137294.1 pep:known chromosome:GRCm38:5:94218005:94222010:1 gene:ENSMUSG00000096259.2 transcript:ENSMUST00000179743.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3106 description:predicted gene 3106 [Source:MGI Symbol;Acc:MGI:3781282] MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYVPWLFQEAFAGRYNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000020980.5 pep:known chromosome:GRCm38:12:24708241:24714146:1 gene:ENSMUSG00000020649.11 transcript:ENSMUST00000020980.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrm2 description:ribonucleotide reductase M2 [Source:MGI Symbol;Acc:MGI:98181] MLSVRTPLATIADQQQLQLSPLKRLTLADKENTPPTLSSTRVLASKAARRIFQDSAELES KAPTNPSVEDEPLLRENPRRFVVFPIEYHDIWQMYKKAEASFWTAEEVDLSKDIQHWEAL KPDERHFISHVLAFFAASDGIVNENLVERFSQEVQVTEARCFYGFQIAMENIHSEMYSLL IDTYIKDPKEREYLFNAIETMPCVKKKADWALRWIGDKEATYGERVVAFAAVEGIFFSGS FASIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLMFKHLVHKPAEQRVREIITNAVRI EQEFLTEALPVKLIGMNCTLMKQYIEFVADRLMLELGFNKIFRVENPFDFMENISLEGKT NFFEKRVGEYQRMGVMSNSTENSFTLDADF >ENSMUSP00000120893.1 pep:known chromosome:GRCm38:12:24708752:24711769:1 gene:ENSMUSG00000020649.11 transcript:ENSMUST00000153058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrm2 description:ribonucleotide reductase M2 [Source:MGI Symbol;Acc:MGI:98181] MRHWLAVSCGSVGIWGLVHLALSLIQQESKAPTNPSVEDEPLLRENPRRFVVFPIEYHDI WQMYKKAEASFWTAEEVDLSKDIQHWEALKPDERHFISHVLAFFAASDGIVNENLVERFS QEVQVTEARCFYGFQIAMENIHSEMYSLLIDTYIKDPKEREYLFNAIETMPCVKKKADWA LRWIGDKEATYGERVVAFAAVEGIFFSGSFASIFWLKKRGLMPGL >ENSMUSP00000119502.1 pep:known chromosome:GRCm38:12:24709029:24713341:1 gene:ENSMUSG00000020649.11 transcript:ENSMUST00000154588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrm2 description:ribonucleotide reductase M2 [Source:MGI Symbol;Acc:MGI:98181] HDIWQMYKKAEASFWTAEEVDLSKDIQHWEALKPDERHFISHVLAFFAASDGIVNENLVE RFSQEVQVTEARCFYGFQIAMENIHSEMYSLLIDTYIKDPKEREYLFNAIETMPCVKKKA DWALRWIGDKEATYGERVVAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEF VADRLMLELGFNKIFRVENPFDFMENISLEGKTNFFEKRVGEYQRMGVMSNSTENSFTLD ADF >ENSMUSP00000046121.6 pep:known chromosome:GRCm38:6:86628164:86629710:1 gene:ENSMUSG00000033508.7 transcript:ENSMUST00000043400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asprv1 description:aspartic peptidase, retroviral-like 1 [Source:MGI Symbol;Acc:MGI:1915105] MRNPGGPGWASKRPLQKKQNTACLCAQQPARHFVPAPFNSSRQGKNTAQPTEPSLSSVIA PTLFCAFLYLACVTAELPEVSRRMATSGVRSKEGRREHAFVPEPFTGTNLAPSLWLHRFE VIDDLNHWDHATKLRFLKESLKGDALDVYNGLSSQAQGDFSFVKQALLRAFGAPGEAFSE PEEILFANSMGKGYYLKGKVGHVPVRFLVDSGAQVSVVHPALWEEVTDGDLDTLRPFNNV VKVANGAEMKILGVWDTEISLGKTKLKAEFLVANASAEEAIIGTDVLQDHNAVLDFEHRT CTLKGKKFRLLPVGSSLEDEFDLELIEEEEGSSAPEGSH >ENSMUSP00000035250.2 pep:known chromosome:GRCm38:11:69156989:69169792:1 gene:ENSMUSG00000032807.5 transcript:ENSMUST00000036424.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox12b description:arachidonate 12-lipoxygenase, 12R type [Source:MGI Symbol;Acc:MGI:1274782] MATYKVKVATGTDFFSGTLDSISLTIVGTQGESHKQRLNHFGRDFATGAVDDYTVQCQQD LGELIIIRLHKEPHSFLAKDPWYCNYVQICAPDCRVYHFPAYQWMDGYETLALREATGKI TADDTLPILLEHRQEEIRAKKDFYHWRVFVPGLPNYVDIPSYHPPPRRCRNPNRPEWDGY IPGFPILINIKATRFLNSNLRFSFVKTASFFYRLGPMALAFKLRGLVDRKRSWKRLKDIK NIFPATKSVVSEYVAEHWTEDSFFGYQYLNGINPGLIRRCTQIPDKFPVTDEMVAPFLGE GTCLQAELERGNIYLADYRILDGIPTVELNGQQQHHCAPMCLLHFGPDGNMMPIAIQLSQ TPGPDCPIFLPNDSEWDWLLAKTWVRYAEFYSHEAVAHLLESHLIGEAFCLALLRNLPMC HPLYKLLIPHTRYNVQINSIGRALLLNKGGLSARAMSLGLEGFAQVMVRGLSELTYKSLC IPNDFVERGVQDLPGYYFRDDSLAVWYAMERYVTEIITYYYPNDAAVEGDPELQCWVQEI FKECLLGRESSGFPTCLRTIPELIEYVTMVMYTCSARHAAVNSGQLEYTSWMPNFPSSMR NPPMQTKGLTTLQTYMDTLPDVKTTCIVLLVLWTLCREPDDRRPLGHFPDIHFVEEGPRR SIEAFRQNLNQISHNIRQRNKCLTLPYYYLDPVLIENSISI >ENSMUSP00000095087.1 pep:known chromosome:GRCm38:5:94302519:94306796:-1 gene:ENSMUSG00000094560.1 transcript:ENSMUST00000097479.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16522 description:RIKEN cDNA A430089I19 gene [Source:MGI Symbol;Acc:MGI:2683305] MTVQTPSTLQNLALQALLRDEALALFCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYARRKQLKVVADLCLRPRRDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQVLDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTAN >ENSMUSP00000019291.5 pep:known chromosome:GRCm38:7:18422536:18432041:-1 gene:ENSMUSG00000030373.6 transcript:ENSMUST00000019291.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg28 description:pregnancy-specific glycoprotein 28 [Source:MGI Symbol;Acc:MGI:1891360] MEVSSELFSNGCTSWQRVLLTAFLLTCWHLPTTARVTIESFPPQVVEGENVLLRVDNMPE NLLVFGWYRGMTNLRHAIALYYSLTAKGLKHSGRETLYINGSLWIQNVTQEDTGYYTFQT ISKQGEMVSNTSLYLHVYSSLFICGRSTTLEGPTIELVPTSVAAGGSVLLLVHNIPKYLQ SLFWYKGLIVFNKVEIARYRRAKKSREPGPAHSGRETVYRNGSLLLKNVTWKDTGFYTLR TLTRYQKMELAHIYLQVDTSLSLCCDTLDSAQLSIDPVPQHAAEGGSVLLQVYNLPEGLQ TFSWYKGVLSTQDFKIAEYSIATKSIIRGRAHSRREIGYTNGSLLLQDVTEKDSGLYTLV TIDSNMRVVTAHVQVNIHKLVTQPAMRVTDSTVRVQSSVVFTCFSYNTGISIRWLFNNQN LQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVRLTVMNE >ENSMUSP00000099696.3 pep:known chromosome:GRCm38:4:123734559:123750345:-1 gene:ENSMUSG00000023075.9 transcript:ENSMUST00000102636.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akirin1 description:akirin 1 [Source:MGI Symbol;Acc:MGI:1915300] MACGATLKRPMEFEAALLSPGSPKRRRCAPLPGPTPGLRPPDAEPPPLQMQTPPASLQQP APPGSERRLPTPEQIFQNIKQEYNRYQRWRHLEVVLSQSEACTSETQPSSSALTAPGSPG AFWMKKDQPTFTLRQVGIICERLLKDYEDKVREEYEQILSTKLAEQYESFVKFTHDQIMR RYGTRPTSYVS >ENSMUSP00000052607.7 pep:known chromosome:GRCm38:5:101832956:102069921:-1 gene:ENSMUSG00000043940.14 transcript:ENSMUST00000053177.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy3 description:WD repeat and FYVE domain containing 3 [Source:MGI Symbol;Acc:MGI:1096875] MNMVKRIMGRPRQEECSPQDNALGLMHLRRLFTELCHPPRHMTQKEQEEKLYMMLPVFNR VFGNAPPNTMTEKFSDLLQFTTQVSRLMVTEIRRRASNKSTEAASRAIVQFLEINQSEEA SRGWMLLTTINLLASSGQKTVDCMTTMSVPSTLVKCLYLFFDLPHVPEAGGGAQNELPLA ERRGLLQKAFVQILVKLCSFVSPAEELAQKDDLQLLFSAITSWCPPYNLPWRKSAGEVLM TISRHGLSVNVVKYIHEKECLSTCVQNMQQSDDLSPLEIVEMFAGLSCFLKDSSDVSQTL LDDFRIWQGYNFLCDLLLRLEQGKEAECRDALKDLVSLVTSLTTYGVSELKPAGVTTGAP FLLPGFAVPQPAGKGHSVRNIQAFAVLQNAFLKAKTNFLAQIILDAITNIYMADNANYFI LESQHTLSQFAEKISKLPEVQNKYFEMLEFVVFSLNYIPCKELISVSILLKSSSSYHCSI IAMKTLLKFTRHDYIFKDVFREVGLLEVMVNLLHKYAALLKDPAQALNEQGDSRNNSSVE DQKHLALLVMEALTVLLQGSNTNAGIFREFGGARCAHNIVKYPQCRQHALMTIQQLVLSP NGEDDMGTLLGLMHSAPPTELQLKTDILRALLSVLRESHRSRTVFRKVGGFVYITSLLVA MERSLSSPPKNGWEKVSQSQVLELLHTVFCTLTAALRYEPANSHFFKTEIQYEKLADAVR FLGCFSDLRKISAVNVFPSNTQPFQRLLEEGAVSVDSVSPTLRHCSKLFIYLYKVATDSF DSHAEQIPPCLTSESSLPSPWGTPALSRKRHAFHCVSTPPVYPAKNVTDLKLQVTSSPLQ SSDAVIIHPGAMLAMLDLLASVGSVTQPEHALDLQLAVANILQSLVHTERNQQVMCEAGL HARLLQRCGAALADEDHSLHPPLQRMFERLASQALEPMVLREFLRLASPLNCGAWDKKLL KQYRVHKPSSLSFEPEMRSSVITSLEGLGSDNVFSSHEDNHYRISKSLVKSAEGSTVPLT RVKCLVSMTTPHDIRLHGSSVTPAFVEFDTSLEGFGCLFLPSLAPHNAPTNNTVTTGLTD GAVVSGMGSGERFFPPPSGLSYSCWFCIEHFSSPPNNHPVRLLTVVRRANSSEQHYVCLA IVLSAKDRSLIVSTKEELLQNYVDDFSEESSFYEILPCCARFRCGELVVEGQWHHLALLM SRGMLKNSTAALYLDGQLVSTVKLHYVHSTPGGSGSANPPVLSTVYAYVGTPPAQRQIAS LVWRLGPTHFLEEVLPPSSVTTIYELGPNYVGSFQAVCVPCKDAKSEGVTPSPVSLVAEE KVSFGLYALSVSSLTVARIRKVYNKLDSKAIAKQLGISSHENATPVKLVHNAAGHLNGPA RTIGAALIGYLGVRTFVPKPVATTLQYIGGAAAILGLVAMASDVEGLYAAVKALVCVVKS NPLASKEMERIKGYQLLAMLLKKKRSLLNSHILHLTFSLVGTVDSGHETSIIPNSTAFQD LLCDFEVWLHAPYELHLSLFEHFIELLTESSEASKNAKLMREFQLIPKLLLTLRDMSLSQ PTIAAISNVLSFLLQGFPNSNDLLRFGQFISSTLPTFAVCEKFVVMEINNEEKPDPGAEE EFGGLVSANLILLRNRLLDILLKLVYTSKEKTNINLQACEELVRTLGFDWIMMFMEEHLH PTTVTAAMRILVVLLSNQSILIKFKEGLSGGGWLEQTDSVLTNKIGTVLGFNVGRSAGGR STVREINRDACHFPGFLVLQSFLPKHTNVPALYFLLMALFLQQPVSELPENLQVSVPVTS SRCKQGCQFDLDSIWTFIFGVPASSGTVVSSIHNVCTESAFLLLGMLRSMLNSPWQSEEE GSWLREYPVTLMQFFRYLYHNVPDLASMWLSPDFLCALAATVFPFNIRPYSEMVTDLDDE VGSPAEEFKAFAADTGMNRSQSEYCNVGTKTYLTNHPAKKFVFDFMRVLIIDNLCLTPAS KQTPLIDLLLEASPERSTRTQQKEFQTHVLDSVMDHLLAADVLLGEDASLPITSGGSYQV LVNNVFYFTQRVVDKLWQGMFNKESKLLIDFIIQLIAQSKRRSQGLSLDAVYHCLNRTIL YQFSRAHKTVPQQVALLDSLRVLTVNRNLILGPGNHDQEFISCLAHCLINLHAGSVEGFG LEAEARMTTWHIMIPSDIEPDGGYSQDISEGRQLLIKAVNRVWTELIHSKKQVLEELFKV SLPVNDRGHVDIALARPLIEEAGLKCWQNHLAHEKKCISRGEALVPTTQSKLSRVSSGFG LSKLTGSRRNRKESGLHKHSPSPQEISQWMFTHIAVVRDLVDTQYKEYQERQQNALKYVT EEWCQIECELLRERGLWGPPIGSHLDKWMLEMTEGPCRMRKKMVRNDMFYNHYPYVPETE QEASVGKPARYRRAISYDSKEYYLRLASGNPAIVQDAIVESSEGEATQQEPEHGEDTIAK VKGLVKPPLKRSRSAPDGGDEETQEQLQDQIAESGSIEEEEKTDNATLLRLLEEGEKIQH MYRCARVQGLDTSEGLLLFGKEHFYVIDGFTMTATREIRDIETLPPNMHEPIIPRGARQG PSQLKRTCSIFAYEDIKEVHKRRYLLQPIAVEVFSGDGRNYLLAFQKGIRNKVYQRFLAV VPSLTDSSESVSGQRPNTSVEQGSGLLSTLVGEKSVTQRWERGEISNFQYLMHLNTLAGR SYNDLMQYPVFPWILSDYDSEEVDLTNPKTFRNLAKPMGAQTDERLAQYKKRYKDWEDPN GETPAYHYGTHYSSAMIVASYLVRMEPFTQIFLRLQGGHFDLADRMFHSVREAWYSASKH NMADVKELIPEFFYLPEFLFNSNNFDLGCKQNGTKLGDVILPPWAKGDPREFIRVHREAL ECDYVSAHLHEWIDLIFGYKQQGPAAVEAVNVFHHLFYEGQVDIYNINDPLKETATIGFI NNFGQIPKQLFKKPHPPKRVRSRLNGDNIGISVPPGATSDKIFFHHLDNLRPSLTPVKEL KEPVGQIVCTDKGILAVEQNKVLIPPAWNKTFAWGYADLSCRLGTYESDKAVTVYECLSE WGQILCAVCPNPKLVITGGTSTVVCVWEMGTSKEKAKPLTLKQALLGHTDTVTCATASLA YHIIVSGSRDRTCIIWDLNKLSFLTQLRGHRAPVSALCINELTGDIVSCAGTYIHVWSIN GNPIVSVNTFTGRSQQIVCCCMSEMNEWDTQNVIVTGHSDGVVRFWRMEFLQVPETPAPE PVEDLEMQEGCPEAQIGQQAQDDDSSDSETEEPSVSQDPKDTSSQPSSTSHRPRAASCRA TATWCTDSGSDDSRRWSDQLSLDEKDGFIFVNYSEGQTRAHLQGPLAHPHPNPIEARSYS RLKPGYRWERQLVFRSKLTMHTAFDRKDNTHPAEVTALGVSKDHSRILVGDSRGRVFSWS VSDQPGRSAADHWVKDEGGDSCSGCSVRFSLTERRHHCRNCGQLFCQKCSRFQSEIKRLK ISSPVRVCQNCYYSLQHERGAEDGPRNC >ENSMUSP00000134244.1 pep:known chromosome:GRCm38:5:101836158:101981558:-1 gene:ENSMUSG00000043940.14 transcript:ENSMUST00000174598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy3 description:WD repeat and FYVE domain containing 3 [Source:MGI Symbol;Acc:MGI:1096875] MNMVKRIMGRPRQEECSPQDNALGLMHLRRLFTELCHPPRHMTQKEQEEKLYMMLPVFNR VFGNAPPNTMTEKFSDLLQFTTQVSRLMVTEIRRRASNKSTEAASRAIVQFLEINQSEEA SRGWMLLTTINLLASSGQKTVDCMTTMSVPSTLVKCLYLFFDLPHVPEAGGGAQNELPLA ERRGLLQKAFVQILVKLCSFVSPAEELAQKDDLQLLFSAITSWCPPYNLPWRKSAGEVLM TISRHGLSVNVVKYIHEKECLSTCVQNMQQSDDLSPLEIVEMFAGLSCFLKDSSDVSQTL LDDFRIWQGYNFLCDLLLRLEQGKEAECRDALKDLVSLVTSLTTYGVSELKPAGVTTGAP FLLPGFAVPQPAGKGHSVRNIQAFAVLQNAFLKAKTNFLAQIILDAITNIYMADNANYFI LESQHTLSQFAEKISKLPEVQNKYFEMLEFVVFSLNYIPCKELISVSILLKSSSSYHCSI IAMKTLLKFTRHDYIFKDVFREVGLLEVMVNLLHKYAALLKDPAQALNEQGDSRNNSSVE DQKHLALLVMEALTVLLQGSNTNAGIFREFGGARCAHNIVKYPQCRQHALMTIQQLVLSP NGEDDMGTLLGLMHSAPPTELQLKTDILRALLSVLRESHRSRTVFRKVGGFVYITSLLVA MERSLSSPPKNGWEKVSQSQVLELLHTVFCTLTAALRYEPANSHFFKTEIQYEKLADAVR FLGCFSDLRKISAVNVFPSNTQPFQRLLEEGAVSVDSVSPTLRHCSKLFIYLYKVATDSF DSHAEQIPPCLTSESSLPSPWGTPALSRKRHAFHCVSTPPVYPAKNVTDLKLQVTSSPLQ SSDAVIIHPGAMLAMLDLLASVGSVTQPEHALDLQLAVANILQSLVHTERNQQVMCEAGL HARLLQRCGAALADEDHSLHPPLQRMFERLASQALEPMVLREFLRLASPLNCGAWDKKLL KQYRVHKPSSLSFEPEMRSSVITSLEGLGSDNVFSSHEDNHYRISKSLVKSAEGSTVPLT RVKCLVSMTTPHDIRLHGSSVTPAFVEFDTSLEGFGCLFLPSLAPHNAPTNNTVTTGLTD GAVVSGMGSGERFFPPPSGLSYSCWFCIEHFSSPPNNHPVRLLTVVRRANSSEQHYVCLA IVLSAKDRSLIVSTKEELLQNYVDDFSEESSFYEILPCCARFRCGELVVEGQWHHLALLM SRGMLKNSTAALYLDGQLVSTVKLHYVHSTPGGSGSANPPVLSTVYAYVGTPPAQRQIAS LVWRLGPTHFLEEVLPPSSVTTIYELGPNYVGSFQAVCVPCKDAKSEGVTPSPVSLVAEE KVSFGLYALSVSSLTVARIRKVYNKLDSKAIAKQLGISSHENATPVKLVHNAAGHLNGPA RTIGAALIGYLGVRTFVPKPVATTLQYIGGAAAILGLVAMASDVEGLYAAVKALVCVVKS NPLASKEMERIKGYQLLAMLLKKKRSLLNSHILHLTFSLVGTVDSGHETSIIPNSTAFQD LLCDFEVWLHAPYELHLSLFEHFIELLTESSEASKNAKLMREFQLIPKLLLTLRDMSLSQ PTIAAISNVLSFLLQGFPNSNDLLRFGQFISSTLPTFAVCEKFVVMEINNEEKPDPGAEE EFGGLVSANLILLRNRLLDILLKLVYTSKEKTNINLQACEELVRTLGFDWIMMFMEEHLH PTTVTAAMRILVVLLSNQSILIKFKEGLSGGGWLEQTDSVLTNKIGTVLGFNVGRSAGGR STVREINRDACHFPGFLVLQSFLPKHTNVPALYFLLMALFLQQPVSELPENLQVSVPVTS SRCKQGCQFDLDSIWTFIFGVPASSGTVVSSIHNVCTESAFLLLGMLRSMLNSPWQSEEE GSWLREYPVTLMQFFRYLYHNVPDLASMWLSPDFLCALAATVFPFNIRPYSEMVTDLDDE VGSPAEEFKAFAADTGMNRSQSEYCNVGTKTYLTNHPAKKFVFDFMRVLIIDNLCLTPAS KQTPLIDLLLEASPERSTRTQQKEFQTHVLDSVMDHLLAADVLLGEDASLPITSGGSYQV LVNNVFYFTQRVVDKLWQGMFNKESKLLIDFIIQLIAQSKRRSQGLSLDAVYHCLNRTIL YQFSRAHKTVPQQVALLDSLRVLTVNRNLILGPGNHDQEFISCLAHCLINLHAGSVEGFG LEAEARMTTWHIMIPSDIEPDGGYSQDISEGRQLLIKAVNRVWTELIHSKKQVLEELFKV SLPVNDRGHVDIALARPLIEEAGLKCWQNHLAHEKKCISRGEALVPTTQSKLSRVSSGFG LSKLTGSRRNRKESGLHKHSPSPQEISQWMFTHIAVVRDLVDTQYKEYQERQQNALKYVT EEWCQIECELLRERGLWGPPIGSHLDKWMLEMTEGPCRMRKKMVRNDMFYNHYPYVPETE QEASVGSEIPSKQPEPPDDVIAQKKPARYRRAISYDSKEYYLRLASGNPAIVQDAIVESS EGEATQQEPEHGEDTIAKVKGLVKPPLKRSRSAPDGGDEETQEQLQDQIAESGSIEEEEK TDNATLLRLLEEGEKIQHMYRCARVQGLDTSEGLLLFGKEHFYVIDGFTMTATREIRDIE TLPPNMHEPIIPRGARQGPSQLKRTCSIFAYEDIKEVHKRRYLLQPIAVEVFSGDGRNYL LAFQKGIRNKVYQRFLAVVPSLTDSSESVSGQRPNTSVEQGSGLLSTLVGEKSVTQRWER GEISNFQYLMHLNTLAGRSYNDLMQYPVFPWILSDYDSEEVDLTNPKTFRNLAKPMGAQT DERLAQYKKRYKDWEDPNGETPAYHYGTHYSSAMIVASYLVRMEPFTQIFLRLQGGHFDL ADRMFHSVREAWYSASKHNMADVKELIPEFFYLPEFLFNSNNFDLGCKQNGTKLGDVILP PWAKGDPREFIRVHREALECDYVSAHLHEWIDLIFGYKQQGPAAVEAVNVFHHLFYEGQV DIYNINDPLKETATIGFINNFGQIPKQLFKKPHPPKRVRSRLNGDNIGISVPPGATSDKI FFHHLDNLRPSLTPVKELKEPVGQIVCTDKGILAVEQNKVLIPPAWNKTFAWGYADLSCR LGTYESDKAVTVYECLSEWGQILCAVCPNPKLVITGGTSTVVCVWEMGTSKEKAKPLTLK QALLGHTDTVTCATASLAYHIIVSGSRDRTCIIWDLNKLSFLTQLRGHRAPVSALCINEL TGDIVSCAGTYIHVWSINGNPIVSVNTFTGRSQQIVCCCMSEMNEWDTQNVIVTGHSDGV VRFWRMEFLQVPETPAPEPVEDLEMQEGCPEAQIGQQAQDDDSSDSETEEPSVSQDPKDT SSQPSSTSHRPRAASCRATATWCTDSGSDDSRRWSDQLSLDEKDGFIFVNYSEGQTRAHL QGPLAHPHPNPIEARSYSRLKPGYRWERQLVFRSKLTMHTAFDRKDNTHPAEVTALGVSK DHSRILVGDSRGRVFSWSVSDQPGRSAADHWVKDEGGDSCSGCSVRFSLTERRHHCRNCG QLFCQKCSRFQSEIKRLKISSPVRVCQNCYYSLQHERGAEDGPRNC >ENSMUSP00000133979.1 pep:known chromosome:GRCm38:5:101922817:101930853:-1 gene:ENSMUSG00000043940.14 transcript:ENSMUST00000172927.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdfy3 description:WD repeat and FYVE domain containing 3 [Source:MGI Symbol;Acc:MGI:1096875] XVGSVTQPEHALDLQLAVANILQSLVHTERNQQVMCEAGLHARLLQRCGAALADEDHSLH PPLQRMFERLASQALEPMVLREFLRLASPLNCGAWDKKLLKQYRVHKPSSLSFEPEMRNI LSRR >ENSMUSP00000134541.1 pep:known chromosome:GRCm38:5:101929306:102069921:-1 gene:ENSMUSG00000043940.14 transcript:ENSMUST00000174698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy3 description:WD repeat and FYVE domain containing 3 [Source:MGI Symbol;Acc:MGI:1096875] MNMVKRIMGRPRQEECSPQDNALGLMHLRRLFTELCHPPRHMTQKEQEEKLYMMLPVFNR VFGNAPPNTMTEKFSDLLQFTTQVSRLMVTEIRRRASNKSTEAASRAIVQFLEINQSEEA SRGWMLLTTINLLASSGQKTVDCMTTMSVPSTLVKCLYLFFDLPHVPEAGGGAQNELPLA ERRGLLQKAFVQILVKLCSFVSPAEELAQKDDLQLLFSAITSWCPPYNLPWRKSAGEVLM TISRHGLSVNVVKYIHEKECLSTCVQNMQQSDDLSPLEIVEMFAGLSCFLKDSSDVSQTL LDDFRIWQGYNFLCDLLLRLEQGKEAECRDALKDLVSLVTSLTTYGVSELKPAGVTTGAP FLLPGFAVPQPAGKGHSVRNIQAFAVLQNAFLKAKTNFLAQIILDAITNIYMADNANYFI LESQHTLSQFAEKISKLPEVQNKYFEMLEFVVFSLNYIPCKELISVSILLKSSSSYHCSI IAMKTLLKFTRHDYIFKDVFREVGLLEVMVNLLHKYAALLKDPAQALNEQGDSRNNSSVE DQKHLALLVMEALTVLLQGSNTNAGIFREFGGARCAHNIVKYPQCRQHALMTIQQLVLSP NGEDDMGTLLGLMHSAPPTELQLKTDILRALLSVLRESHRSRTVFRKVGGFVYITSLLVA MERSLSSPPKNGWEKVSQSQVLELLHTVFCTLTAALRYEPANSHFFKTEIQYEKLADAVR FLGCFSDLRKISAVNVFPSNTQPFQRLLEEGAVSVDSVSPTLRHCSKLFIYLYKVATDSF DRHAFHCVSTPPVYPAKNVTDLKLQVTSSPLQSSDAVIIHPGAMLAMLDLLASVGSVTQP EHALDLQLAVANILQSLVHTERNQQVMCEAGLHARLLQRCGAALADEDHSLHPPLQRMFE RLASQALEPMVLR >ENSMUSP00000017821.5 pep:known chromosome:GRCm38:11:79239372:79254671:-1 gene:ENSMUSG00000017677.11 transcript:ENSMUST00000017821.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wsb1 description:WD repeat and SOCS box-containing 1 [Source:MGI Symbol;Acc:MGI:1926139] MASFPPRVNEKEIVRSRTIGELLAPAAPFDKKCGGENWTVAFAPDGSYFAWSQGYRIVKL VPWSQCRKNFLLHGSKNVTNSSCLKLARQNSNGGQKNKPPEHVIDCGDIVWSLAFGSSVP EKQSRCVNIEWHRFRFGQDQLLLATGLNNGRIKIWDVYTGKLLLNLVDHIEMVRDLTFAP DGSLLLVSASRDKTLRVWDLKDDGNMVKVLRAHQNWVYSCAFSPDCSMLCSVGASKAVFL WNMDKYTMIRKLEGHHHDVVACDFSPDGALLATASYDTRVYVWDPHNGDLLMEFGHLFPS PTPIFAGGANDRWVRAVSFSHDGLHVASLADDKMVRFWRIDEDCPVQVAPLSNGLCCAFS TDGSVLAAGTHDGSVYFWATPRQVPSLQHICRMSIRRVMSTQEVQKLPVPSKILAFLSYR G >ENSMUSP00000131290.1 pep:known chromosome:GRCm38:11:79240276:79248659:-1 gene:ENSMUSG00000017677.11 transcript:ENSMUST00000131818.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wsb1 description:WD repeat and SOCS box-containing 1 [Source:MGI Symbol;Acc:MGI:1926139] XLLHGSKNVTNSSCLKLARQNSNGGQKNKPPEHVIDCGDIVWSLAFGSSVPEKQSRCVNI EWHRFRFGQDQLLLATGLNNGRIKIWDVYTGKLLLNLVDHIEMVRDLTFAPDGSLLLVSA SRDKTLRVWDLKDDGNMVKVLRAHQNWVYSCAFSPDCSMLCSVGASKAVVAAILV >ENSMUSP00000137999.1 pep:known chromosome:GRCm38:11:79243288:79254669:-1 gene:ENSMUSG00000017677.11 transcript:ENSMUST00000145772.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wsb1 description:WD repeat and SOCS box-containing 1 [Source:MGI Symbol;Acc:MGI:1926139] MASFPPRVNEKEIVRSRTIGELLAPAAPFDKKCGGENWTVAFAPDGSYFAWSQGYRIVKL VPWSQCRKNLKTPP >ENSMUSP00000128181.1 pep:known chromosome:GRCm38:11:79243400:79254470:-1 gene:ENSMUSG00000017677.11 transcript:ENSMUST00000131848.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wsb1 description:WD repeat and SOCS box-containing 1 [Source:MGI Symbol;Acc:MGI:1926139] MASFPPRVNEKEIVRSRTIGELLAPAAPFDKKCGGENWTVAFAPDGSYFAWSQGYRIVKL VPWSQCRKNFSRKTESLR >ENSMUSP00000131365.1 pep:known chromosome:GRCm38:17:36986855:36989504:-1 gene:ENSMUSG00000024459.18 transcript:ENSMUST00000169189.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M5 description:histocompatibility 2, M region locus 5 [Source:MGI Symbol;Acc:MGI:95917] MRSPALSTLLSLLLTGALALTLVRAGIHSLQFFATTMTQPGLREHSFIFVVFVDDTQFLC YNNKGKNQRMEPRALWVKQMGPEYWEQQTRTVKVIEKIALVNLQEAMDIYNHSKDGSHVF QCVYGCEVGPDGLFLRGHEKHAYDGRDYLTLSPDLHSWVAGDTAAQITLRRWEKSGVSEQ RQSFLKGECVESLRTYLEIGKETLLRTDPPKAHVTHHPRPEGEVTLRCWALGFYPANIIL TWQWDEEDLTQDMDLIETRPAGDGTFQKWASVVVPSGEEQRYTCHVQHEGLTQPLVLKWD PSKHTIPIMGITVGLLLFGVVFTGAVVAIVMRKRKGFQIIILKTFLKG >ENSMUSP00000135262.1 pep:known chromosome:GRCm38:17:36987115:36989188:-1 gene:ENSMUSG00000024459.18 transcript:ENSMUST00000176784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M5 description:histocompatibility 2, M region locus 5 [Source:MGI Symbol;Acc:MGI:95917] XFFATTMTQPGLREHSFIFVVFVDDTQFLCYNNKGKNQRMEPRALWVKQMGPEYWEQQTR TVKVIEKIALVNLQEAMDIYNHSKDGSHVFQCVYGCEVGPDGLFLRGHEKHAYDGRDYLT LSPDLHSWVAGDTAAQITLRRWEKSGVSEQRQSFLKGECVESLRTYLEIGKETLLRTDPP KAHVTHHPRPEGEVTLRCWALGFYPANIILTWQWDEEDLTQDMDLIETRPAGDGTFQKWA SVVVPSGEEQRYTCHVQHEGLTQPLVLKWDPSKHTIPIMGITVGLLLFGVVFTGAVVAIV MRKRKGYCVLTLSKAHLETSDKYLTLT >ENSMUSP00000134912.1 pep:known chromosome:GRCm38:17:36987115:36989188:-1 gene:ENSMUSG00000024459.18 transcript:ENSMUST00000176712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M5 description:histocompatibility 2, M region locus 5 [Source:MGI Symbol;Acc:MGI:95917] XFFATTMTQPGLREHSFIFVVFVDDTQFLCYNNKGKNQRMEPRALWVKQMGPEYWEQQTR TVKVIEKIALVNLQEAMDIYNHSKDGSHVFQCVYGCEVGPDGLFLRGHEKHAYDGRDYLT LSPDLHSWVAGDTAAQITLRRWEKSGVSEQRQSFLKGECVESLRTYLEIGKETLLRTDPP KAHVTHHPRPEGEVTLRCWALGFYPANIILTWQWDEEDLTQDMDLIETRPAGDGTFQKWA SVVVPSGEEQRYTCHVQHEGLTQPLVLKWDPSKHTIPIMGITVGLLLFGVVFTGAVVAIV MRKRKAHLETSDKYLTLT >ENSMUSP00000109297.3 pep:known chromosome:GRCm38:17:36987497:36989045:-1 gene:ENSMUSG00000024459.18 transcript:ENSMUST00000113667.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M5 description:histocompatibility 2, M region locus 5 [Source:MGI Symbol;Acc:MGI:95917] XMGPEYWEQQTRTVKVIEKIALVNLQEAMDIYNHSKDDPPKAHVTHHPRPEGEVTLRCWA LGFYPANIILTWQWDEEDLTQDMDLIETRPAGDGTFQKWASVVVPSGEEQRYTCHVQHEG LTQPLVLKWDPSKHTIPI >ENSMUSP00000118450.1 pep:known chromosome:GRCm38:19:4148619:4150504:1 gene:ENSMUSG00000024835.14 transcript:ENSMUST00000123874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1b description:coronin, actin binding protein 1B [Source:MGI Symbol;Acc:MGI:1345963] MSFRKVVRQSKFRHVFGQPVKNDQCYEDIRVSRVTWDSTFCAVNPKFLAVIVEASGGGAF MVLPLNKTGRIDKAYPTVCGHTGPVLDIDWCPHNDEVIASGSEDCTVMVWQIPENGLTSP LTEPVVVLEGHTKRVGIITWHPTARNVLLSAGCDNVVLIWNVGTAEELYRLDS >ENSMUSP00000008893.8 pep:known chromosome:GRCm38:19:4148619:4154035:1 gene:ENSMUSG00000024835.14 transcript:ENSMUST00000008893.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1b description:coronin, actin binding protein 1B [Source:MGI Symbol;Acc:MGI:1345963] MSFRKVVRQSKFRHVFGQPVKNDQCYEDIRVSRVTWDSTFCAVNPKFLAVIVEASGGGAF MVLPLNKTGRIDKAYPTVCGHTGPVLDIDWCPHNDEVIASGSEDCTVMVWQIPENGLTSP LTEPVVVLEGHTKRVGIITWHPTARNVLLSAGCDNVVLIWNVGTAEELYRLDSLHPDLIY NVSWNHNGSLFCSACKDKSVRIIDPRRGTLVAEREKAHEGARPMRAIFLADGKVFTTGFS RMSERQLALWDPENLEEPMALQELDSSNGALLPFYDPDTSVVYVCGKGDSSIRYFEITDE PPYIHFLNTFTSKEPQRGMGSMPKRGLEVSKCEIARFYKLHERKCEPIVMTVPRKSDLFQ DDLYPDTAGPEAALEAEDWVSGQDANPILISLREAYVPSKQRDLKVSRRNVLSDSRPASY SRSGASTATAVTDVPSGNLAGAGEAGKLEEVMQELRALRMLVKEQGERISRLEEQLGRME NGDT >ENSMUSP00000040611.10 pep:known chromosome:GRCm38:2:180621956:180642708:-1 gene:ENSMUSG00000038932.13 transcript:ENSMUST00000037877.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcfl5 description:transcription factor-like 5 (basic helix-loop-helix) [Source:MGI Symbol;Acc:MGI:2672878] MSGPGPREPPPEAGATGGEAGPEGAGGGDAALGEPGLSFTTTDLSLVEMTEVEYTQLQHI LYSHMEAADGELEARLGSALLAGPGAGSGAAGSLAPAPPVYPVLCPPLAADAPCLGHVDF QELRMMLLGEAGAAEKTPGGADGTRTRADGVAKEGAGGAGPDGAPEARAKPTVRVRLEDR FNSMPAEPPAPRGAEPAESGVALNNLVTLIRHPSELMNVPLHQQQNKCTTLVKNKTAAAT TALQFTYPLFTSACSTGGNASLAQTQSSSNSCSILEAAKHQDIGLPRAFSFCYQQEIEST KQTGGSRNKALPEQVWIKVGEALCKQAINKRNRSRIRQLDTSVERRALGEIQNVGEGSST SQGTWQSSESSQSNLGEQTQSGPQGGRSQRRERHNRMERDRRRRIRICCDELNLLVPFCN AETDKATTLQWTTAFLKYIQERHGDSLKKEFESVFCGKTGRRLKLTRPESLVTCPAQGSL QSSPAMEIK >ENSMUSP00000125945.1 pep:known chromosome:GRCm38:2:180635254:180642589:-1 gene:ENSMUSG00000038932.13 transcript:ENSMUST00000161425.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcfl5 description:transcription factor-like 5 (basic helix-loop-helix) [Source:MGI Symbol;Acc:MGI:2672878] MSGPGPREPPPEAGATGGEAGPEGAGGGDAALGEPGLSFTTTDLSLVEMTEVEYTQLQHI LYSHMEAADGELEARLGSALLAGPGAGSGAAGSLAPAPPVYPVLCPPLAADAPCLGHVDF QELRMMLLGEAGAAEKTPGGADGTRTRADGVAKEGAGGAGPDGAPEARAKPTVRVRLEDR FNSMPAEPPAPRGAEPAESGVALNKVLVTHALYWKLPSTRILDCLEHFLSVTSKRLSPPN RQEVVETKPCRSRFGLKWEKRYVNKQSIRGIGVESASWTQAWSEEPLERFRM >ENSMUSP00000132533.1 pep:known chromosome:GRCm38:1:156804166:156939602:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000172057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEGQITLMDVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTR RFNHVSFWVVREILHAQTLKIRAEVLSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRL TKTWALLSRKDKTTFEKLEYVMSKEDNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSA YPSTGSILENEQRSNLMNNILRIISDLQQSCEYDIPILPHVQKYLNSVQYIEELQKFVED DNYKLSLKIEPGASTPRSAASREDLAGPDIGASPQGGRKSSAAAAAAAAAEGALLPQTPP SPRNLIPHGHRKCHSLGYNFIHKMNTAEFKSATFPNAGPRHLLDDSVMEPHAPSRGQAES STLSSGISIGSSDGSELSEETSWPAFERNRLYHSLGPVTRVPRNGYRSHTKASSSAESED LAVHLYPGAVTIQGVLRRKTLLKEGKKPTVASWTKYWAALCGTQLFYYAAKSLKATERKH FKSTSNKNVSVVGWMVMMADDPEHPDLFLLTDSEKGNSYKFQAGSRMNAMLWFKHLSAAC QSNKQQVPTNLMTFE >ENSMUSP00000027886.7 pep:known chromosome:GRCm38:1:156806760:156939543:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000027886.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEV LSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEKLEYVMSKE DNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPSTGSILENEQRSNLMNNILRIIS DLQQSCEYDIPILPHVQKYLNSVQYIEELQKFVEDDNYKLSLKIEPGASTPRSAASREDL AGPDIGASPQGGRKSSAAAAAAAAAEGALLPQTPPSPRNLIPHGHRKCHSLGYNFIHKMN TAEFKSATFPNAGPRHLLDDSVMEPHAPSRGQAESSTLSSGISIGSSDGSELSEETSWPA FESSAESEDLAVHLYPGAVTIQGVLRRKTLLKEGKKPTVASWTKYWAALCGTQLFYYAAK SLKATERKHFKSTSNKNVSVVGWMVMMADDPEHPDLFLLTDSEKGNSYKFQAGSRMNAML WFKHLSAACQSNKQQVPTNLMTFE >ENSMUSP00000142004.1 pep:known chromosome:GRCm38:1:156806760:156939599:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000192343.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKAIQPDVSLHHHP >ENSMUSP00000130581.1 pep:known chromosome:GRCm38:1:156807710:156939387:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000171292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEV LSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEKLEYVMSKE DNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPSTGSILENEQRSNLMNNILRIIS DLQQSCEYDIPILPHVQKYLNSVQYIEELQKFVEDDNYKLSLKIEPGASTPRSAASREDL AGPDIGASPQGGRKSSAAAAAAAAAEGALLPQTPPSPRNLIPHGHRKCHSLGYNFIHKMN TAEFKSATFPNAGPRHLLDDSVMEPHAPSRGQAESSTLSSGISIGSSDGSELSEETSWPA FERNRLYHSLGPVTRVPRNGYRSHTKASSSAESEDLAVHLYPGAVTIQGVLRRKTLLKEG KKPTVASWTKYWAALCGTQLFYYAAKSLKATERKHFKSTSNKNVSVVGWMVMMADDPEHP DLFLLTDSEKGNSYKFQAGSRMNAMLWFKHLSAACQSNKQQVPTNLMTFE >ENSMUSP00000063872.6 pep:known chromosome:GRCm38:1:156808116:156939531:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000063199.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEV LSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEKLEYVMSKE DNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPSTGSILENEQRSNLMNNILRIIS DLQQSCEYDIPILPHVQKYLNSVQYIEELQKFVEDDNYKLSLKIEPGASTPRSAASREDL AGPDIGASPQGGRKSSAAAAAAAAAEGALLPQTPPSPRNLIPHGHRKCHSLGYNFIHKMN TAEFKSATFPNAGPRHLLDDSVMEPHAPSRGQAESSTLSSGISIGSSDGSELSEETSWPA FERNRLYHSLGPVTRVPRNGYRSHTKASSSAESEDLAVHLYPGAVTIQGVLRRKTLLKEG KKPTVASWTKYWAALCGTQLFYYAAKSLKATERKHFKSTSNKNVSVVGWMVMMADDPEHP DLFLLTDSEKGNSYKFQAGSRMNAMLWFKHLSAACQSNKQQVPTNLMTFE >ENSMUSP00000139645.1 pep:known chromosome:GRCm38:1:156808122:156939608:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000191605.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEV LSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEKLEYVMSKE DNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPSTGSILENEQRSNLMNNILRIIS DLQQSCEYDIPILPHVQKYLNSVQYIEELQKFVEDDNYKLSLKIEPGASTPRSAASREDL AGPDIGASPQGGRKSSAAAAAAAAAEGALLPQTPPSPRNLIPHGHRKCHSLGYNFIHKMN TAEFKSATFPNAGPRHLLDDSVMEPHAPSRGQAESSTLSSGISIGSSDGSELSEETSWPA FERNRLYHSLGPVTRVPRNGYRSHTKASSSAESEDLAVHLYPGAVTIQGVLRRKTLLKEG KKPTVASWTKYWAALCGTQLFYYAAKSLKATERKHFKSTSNKNVSVVGWMVMMADDPEHP DLFLLTDSEKGNSYKFQAGSRMNAMLWFKHLSAACQSNKQQVPTNLMTFE >ENSMUSP00000139618.1 pep:known chromosome:GRCm38:1:156813081:156939626:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000185198.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEV LSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEKLEYVMSKE DNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPSTGSILENEQRSNLMNNILRIIS DLQQSCEYDIPILPHVQKYLNSVQYIEELQKFVEDDNYKLSLKIEPGASTPRSAASREDL AGPDIGASPQGGRKSSAAAAAAAAAEGALLPQTPPSPRNLIPHGHRKCHSLGYNFIHKMN TAEFKSATFPNAGPRHLLDDSVMEPHAPSRGQAESSTLSSGISIGSSDGSELSEETSWPA FERNRLYHSLGPVTRVPRNGYRSHTKASSSAESEDLAVHLYPGAVTIQGVLRRKTLLKEG KKPTVASWTKYWAALCGTQLFYYAAKSLKATERKHFKSTSNKNVSVVGWMVMMADDPEHP DLFLLTDSEKGERLDRLGSSTADPNSGS >ENSMUSP00000140230.1 pep:known chromosome:GRCm38:1:156816524:156939567:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000189316.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEV LSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEKLEYVMSKE DNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPSTGSILENEQRSNLMNNILRIIS DLQQSCEYDIPILPHVQKYLNSVQYIEELQKFVEDDNYKLSLKIEPGASTPRSAASREDL AGPDIGASPQGGRKSSAAAAAAAAAEGALLPQTPPSPRNLIPHGHRKCHSLGYNFIHKMN TAEFKSATFPNAGPRHLLDDSVMEPHAPSRGQAESSTLSSGISIGSSDGSELSEETSWPA FERNRLYHSLGPVTRVPRNGYRSHTKASSSAESEDLAVHLYPGAVTIQGVLRRKTLLKEG KKPTVASWTKYWAALCGTQLFYYAAKSLKATERKHVSIPPQNP >ENSMUSP00000140055.1 pep:known chromosome:GRCm38:1:156818877:156939593:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000190648.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEV LSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEKLEYVMSKE DNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPSTGSILENEQRSNLMNNILRIIS DLQQSCEYDIPILPHVQKYLNSVQYIEELQKFVEDDNYKLSLKIEPGASTPRSAASREDL AGPDIGASPQGGRKSSAAAAAAAAAEGALLPQTPPSPRNLIPHGHRKCHSLGYNFIHKMN TAEFKSATFPNAGPRHLLDDSVMEPHAPSRGQAESSTLSSGISIGSSDGSELSEETSWPA FESSAESEDLAVHLYPGAVTIQGVLRRKTLLKEGKKPTVRLTS >ENSMUSP00000140342.1 pep:known chromosome:GRCm38:1:156818896:156938818:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000188656.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEV LSHYIKTAKKLYELNNLHALMAVVSGLQSAPIFRLTKTWALLSRKDKTTFEKLEYVMSKE DNYKRLRDYISSLKMTPCIPYLGIYLSDLTYIDSAYPSTGSILENEQRSNLMNNILRIIS DLQQSCEYDIPILPHVQKYLNSVQYIEELQKFVEDDNYKLSLKIEPGASTPRSAASREDL AGPDIGASPQGGRKSSAAAAAAAAAEGALLPQTPPSPRNLIPHGHRKCHSLGYNFIHKMN TAEFKSATFPNAGPRHLLDDSVMEPHAPSRGQAESSTLSSGISIGSSDGSELSEETSWPA FERNRLYHSLGPVTRVPRNGYRSHTKASSSAESEDLAVHLYPGAVTIQGVLRRKTLLKEG KKPTVRLTS >ENSMUSP00000139822.1 pep:known chromosome:GRCm38:1:156887093:156939134:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000190762.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKAIQPDELSSCGWNKKEKYSSAPNAVAFTRRFNHVSFWVVREILHAQTLKIRAEV LSHYIKTA >ENSMUSP00000140108.1 pep:known chromosome:GRCm38:1:156900598:156938781:-1 gene:ENSMUSG00000026594.14 transcript:ENSMUST00000189648.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps2 description:Ral GEF with PH domain and SH3 binding motif 2 [Source:MGI Symbol;Acc:MGI:1925505] MDLMNGQASSVTIAATVSEKSSSSESLSEKGSELKKSFDAVVFDVLKVTPEEYAGQITLM DVPVFKA >ENSMUSP00000121576.1 pep:known chromosome:GRCm38:4:18860454:19122526:-1 gene:ENSMUSG00000073991.4 transcript:ENSMUST00000137780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnbd1 description:cyclic nucleotide binding domain containing 1 [Source:MGI Symbol;Acc:MGI:3650508] MPVDSLPNSILAHMLAISNVPPPPLHSLPRLRLSKTINYGQLNALCHIRGLKSDQHSSLR SEAHDAFIKQYPQIFLREKTVLPKVSHQVDKRKSALKLAEKKHQPVMAHNISAYLREARG GPSIDEKEITEEKFQEFLNILKKLPVYRTTHEHNMVWKILQSIPELTSQFSNEDLKILSK KVISETWIKGSTVIGDDGLYVLLTGQARRRVKVFRSLIEENDSIITSLYRDSFLFDAELQ DSTVAQLYVPSKKLIVKQWGIFGSLKDRAEMDERDRSVVTDYDCEILKIAAKEYEKLKLE KVKHENAQKLKLIRKCPFYETWPTLSIWELVSICKFKNFPPGHVLVESGTVISFVGYINS GYCNIYRNVVGLVDLPINKVKKIKKLVYMGKLKEKESFGEISILLQAPFTCTVITGQEVE MAVIEDKDIQ >ENSMUSP00000053940.4 pep:known chromosome:GRCm38:11:99566027:99566630:-1 gene:ENSMUSG00000047564.4 transcript:ENSMUST00000055502.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap3-1 description:keratin associated protein 3-1 [Source:MGI Symbol;Acc:MGI:1916723] MACCVARCCSVPTGPATTICSSDKSCRCGVCLPSTCPHEISLLQPTCCDPCPPPCCQPEV YVPTCWLLNSCHPTPGLSGINLTTYVQPGCESPCEPCC >ENSMUSP00000042606.5 pep:known chromosome:GRCm38:X:71420060:71492849:-1 gene:ENSMUSG00000035776.14 transcript:ENSMUST00000037391.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd99l2 description:CD99 antigen-like 2 [Source:MGI Symbol;Acc:MGI:2177151] MVARLTAFLVCLVFSLATLVQRGYGDTDGFNLEDALKETSSVKQRWDHFSTTTRRPVTTR APANPAERWDHVATTTTRRPGTTRAPSNPMELDGFDLEDALDDRNDLDGPKKPSAGEAGG WSDKDLEDIVEGGGYKPDKNKGGGGYGSNDDPGSGISTETGTIAGVASALAMALIGAVSS YISYQQKKFCFSIQQGLNADYVKGENLEAVVCEEPQVTYSKQETQSAEPPPPEPPRI >ENSMUSP00000110234.2 pep:known chromosome:GRCm38:X:71420060:71492849:-1 gene:ENSMUSG00000035776.14 transcript:ENSMUST00000114586.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd99l2 description:CD99 antigen-like 2 [Source:MGI Symbol;Acc:MGI:2177151] MVARLTAFLVCLVFSLATLVQRGYGDTDGFNLEDALKETSSVKQLDGFDLEDALDDRNDL DGPKKPSAGEAGGWSDKDLEDIVEGGGYKPDKNKGGGGYGSNDDPGSGISTETGTIAGVA SALAMALIGAVSSYISYQQKKFCFSIQQGLNADYVKGENLEAVVCEEPQVTYSKQETQSA EPPPPEPPRI >ENSMUSP00000110235.2 pep:known chromosome:GRCm38:X:71422624:71492849:-1 gene:ENSMUSG00000035776.14 transcript:ENSMUST00000114587.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd99l2 description:CD99 antigen-like 2 [Source:MGI Symbol;Acc:MGI:2177151] MVARLTAFLVCLVFSLATLVQRERWDHFSTTTRRPVTTRAPANPAERWDHVATTTTRRPG TTRAPSNPMELDGFDLEDALDDRNDLDGPKKPSAGEAGGWSDKDLEDIVEGGGYKPDKNK GGGGYGSNDDPGSGISTETGTIAGVASALAMALIGAVSSYISYQQKKFCFSIQQGLNADY VKGENLEAVVCEEPQVTYSKQETQSAEPPPPEPPRI >ENSMUSP00000078944.4 pep:known chromosome:GRCm38:X:71420060:71492645:-1 gene:ENSMUSG00000035776.14 transcript:ENSMUST00000080035.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd99l2 description:CD99 antigen-like 2 [Source:MGI Symbol;Acc:MGI:2177151] MVARLTAFLVCLVFSLATLVQRGYGDTDGFNLEDALKETSSVKQRWDHVATTTTRRPGTT RAPSNPMELDGFDLEDALDDRNDLDGPKKPSAGEAGGWSDKDLEDIVEGGGYKPDKNKGG GGYGSNDDPGSGISTETGTIAGVASALAMALIGAVSSYISYQQKKFCFSIQQGLNADYVK GENLEAVVCEEPQVTYSKQETQSAEPPPPEPPRI >ENSMUSP00000071616.6 pep:known chromosome:GRCm38:1:16101295:16104662:-1 gene:ENSMUSG00000043716.13 transcript:ENSMUST00000058437.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl7 description:ribosomal protein L7 [Source:MGI Symbol;Acc:MGI:98073] MEAVPEKKKKVATVPGTLKKKVPAGPKTLKKKVPAVPETLKKKRRNFAELKVKRLRKKFA LKTLRKARRKLIYEKAKHYHKEYRQMYRTEIRMARMARKAGNFYVPAEPKLAFVIRIRGI NGVSPKVRKVLQLLRLRQIFNGTFVKLNKASINMLRIVEPYIAWGYPNLKSVNELIYKRG YGKINKKRIALTDNSLIARSLGKFGIICMEDLIHEIYTVGKRFKEANNFLWPFKLSSPRG GMKKKTTHFVEGGDAGNREDQINRLIRRMN >ENSMUSP00000118695.1 pep:known chromosome:GRCm38:1:16101780:16104227:-1 gene:ENSMUSG00000043716.13 transcript:ENSMUST00000149566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl7 description:ribosomal protein L7 [Source:MGI Symbol;Acc:MGI:98073] XEGVRGASARRPWQYQEQTEGRLRIRLLGRREKKKKVATVPGTLKKKVPAGPKTLKKKVP AVPETLKKKRRNFAELKVKRLRKKFALKTLRKARRKLIYEKAKHYHKEYRQMYRTEIRMA RMARKAGNFYVPAEPKLAFVIRIRGINGVSPKVRKVLQLLRLRQIFNGTFVKLNKASINM LRIVEPYIAWGYPNLKSVNELIYKRGYGKINKKRIALTDNSLIARSLGKFGIICMEDLIH EIYTVGKRFKEANNFLWPFKLSSPRGGMKKKTTHFVEGG >ENSMUSP00000060457.7 pep:known chromosome:GRCm38:8:121916126:121944904:-1 gene:ENSMUSG00000025317.7 transcript:ENSMUST00000057653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car5a description:carbonic anhydrase 5a, mitochondrial [Source:MGI Symbol;Acc:MGI:101946] MLRRDPRKPLAILRHVGLLCATGPQRWRFQHSCAEEHSNCARHPLWTGPVSSAEGTRQSP INIQWKDSVYDPQLAPLRVSYDAASCRYLWNTGYFFQVEFDDSCEDSGISGGPLGNHYRL KQFHFHWGATDEWGSEHAVDGHTYPAELHLVHWNSTKYENYKKASVGENGLAVIGVFLKL GAHHQALQKLVDVLPEVRHKDTQVAMGPFDPSCLLPACRDYWTYPGSLTTPPLAESVTWI VQKTPVEVSPSQLSTFRTLLFSGRGEEEDVMVNNYRPLQPLRDRKLRSSFRLDRTKMRS >ENSMUSP00000093581.4 pep:known chromosome:GRCm38:10:4432467:4455141:1 gene:ENSMUSG00000061759.15 transcript:ENSMUST00000095893.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armt1 description:acidic residue methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1920669] MAESPAFLSAKDEGSFAYLTIKDRTPQILTKVIDTLHRHKSEFFEKHGEEGIEAEKKAIS LLSKLRNELQTDKPITPLVDKCVDTHIWNQYLEYQRSLLNEGDGEPRWFFSPWLFVECYM YRRIHEAIMQSPPIHDFDVFKESKEENFFESQGSIDALCSHLLQLKPVKGLREEQIQDEF FKLLQISLWGNKCDLSLSGGESSSQKANIINCLQDLKPFILINDTESLWALLSKLKKTVE TPVVRVDIVLDNSGFELITDLVLADFLFSSELATEIHFHGKSIPWFVSDVTEHDFNWIVE HMKSSNLESMSTCGACWEAYARMGRWAYHDHAFWTLPHPYCVMPQVAPDLYAELQKAHLI LFKGDLNYRKLMGDRKWKFTFPFHQALSGFHPAPLCSIRTLKCELQVGLQPGQAEQLTAS DPHWLTTGRYGILQFDGPL >ENSMUSP00000114073.1 pep:known chromosome:GRCm38:10:4432513:4455140:1 gene:ENSMUSG00000061759.15 transcript:ENSMUST00000118544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armt1 description:acidic residue methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1920669] MAESPAFLSAKDEGSFAYLTIKDRTPQILTKVIDTLHRHKSEFFEKHGEEGIEAEKKAIS LLSKLRNELQTDKPITPLVDKCVDTHIWNQYLEYQRSLLNEGDGEPRWFFSPWLFVECYM YRRIHEAIMQSPPIHDFDVFKESKEENFFESQGSIDALCSHLLQLKPVKGLREEQIQDEF FKLLQVYKEYSTQDPMQPRRVMQTVTLQ >ENSMUSP00000115624.1 pep:known chromosome:GRCm38:10:4432640:4453958:1 gene:ENSMUSG00000061759.15 transcript:ENSMUST00000152294.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Armt1 description:acidic residue methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1920669] MAESPAFLSAKDEGSFAYLTIKDRTPQILTKVIDTLHRHKSEFFEKHGESANP >ENSMUSP00000114025.1 pep:known chromosome:GRCm38:10:4432620:4439973:1 gene:ENSMUSG00000061759.15 transcript:ENSMUST00000117489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armt1 description:acidic residue methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1920669] MAESPAFLSAKDEGSFAYLTIKDRTPQILTKVIDTLHRHKSEFFEKHGEEGIEAEKKAIS LLSKLRNELQTDKPITPLVDKCVDTHIWNQYLEYQRSLLNEGDGEPRWFFSPWLFVECYM YRRIHEAIMQRQVYSQ >ENSMUSP00000097215.2 pep:known chromosome:GRCm38:2:111230818:111231837:-1 gene:ENSMUSG00000074966.4 transcript:ENSMUST00000099620.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1275 description:olfactory receptor 1275 [Source:MGI Symbol;Acc:MGI:3031109] MNEANYSEVSEFIFLGLSTYRPTQYFLFAFAIISYAATFLGNFSVVFIVIFDPHLHSPMY FLLANLSFVDFCFSTSTVPKLISDLYSGHSIISIQSCIFQMFVLHLLGGCEMVLLVAMAW DRYVAICKPLYYLTIMNPRMCLLLLISAWIIGLIHSVAQLAFVVHLPFCASNEIDSFYCD LPRFIKMACINTYRMEFLVTADSGFISLSTFFLLIISYIFILFIVRKQSLGSLSKAFSTL SAHICVVVLFFGPCIFVYIWPFPTVPVDKFLAILDFMIIPILNPAIYTLRNKDMKVAMRR LSVQLLSRKSIC >ENSMUSP00000047898.7 pep:known chromosome:GRCm38:8:110974996:110997800:-1 gene:ENSMUSG00000015023.7 transcript:ENSMUST00000040416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx19a description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 19a [Source:MGI Symbol;Acc:MGI:99526] MATDSWALAVDEQEAAVKSMSSLQIKEEKVKADTNGVIKTSTTAEKTEEEEKEDRAAQSL LNKLIRSNLVDNTNQVEVLQRDPSSPLYSVKSFEELRLKPQLLQGVYAMGFNRPSKIQEN ALPMMLAEPPQNLIAQSQSGTGKTAAFVLAMLSRVEPADRYPQCLCLSPTYELALQTGKV IEQMGKFHPELKLAYAVRGNKLERGQKVSEQIVIGTPGTVLDWCSKLKFIDPKKIKVFVL DEADVMIATQGHQDQSIRIQRMLPRNCQMLLFSATFEDSVWKFAQKVVPDPNIIKLKREE ETLDTIKQYYVLCNNREEKFQALCNLYGAITIAQAMIFCHTRKTASWLAAELSKEGHQVA LLSGEMMVEQRAAVIERFREGKEKVLVTTNVCARGIDVEQVSVVINFDLPVDKDGNPDNE TYLHRIGRTGRFGKRGLAVNMVDSKHSMNILNRIQEHFNKKIERLDTDDLDEIEKIAN >ENSMUSP00000000619.6 pep:known chromosome:GRCm38:7:7282316:7299511:-1 gene:ENSMUSG00000000605.8 transcript:ENSMUST00000000619.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn4 description:chloride channel, voltage-sensitive 4 [Source:MGI Symbol;Acc:MGI:104571] MDFLEEPFPDVGTYEDFHTIDWLREKSRDTDRHRKITSKSKESIWEFIKSLLDAWSGWVV MLLIGLLAGTLAGVIDLAVDWMTDLKEGVCLSAFWYSHEQCCWTSNETTFEDRDKCPLWQ KWSELLLSQSEGASAYILNYLMYILWALLFAFLAVSLVRVFAPYACGSGIPEIKTILSGF IIRGYLGKWTLLIKTVTLVLVVSSGLSLGKEGPLVHVACCCGNFFSSLFSKYSKNEGKRR EVLSAAAAAGVSVAFGAPIGGVLFSLEEVSYYFPLKTLWRSFFAALVAAFTLRSINPFGN SRLVLFYVEYHTPWYMAELFPFILLGVFGGLWGTLFTRCNIAWCRRRKTTRLGRYPVLEV IAVTAVTAIVAYPNPYTRQSTSELISELFNDCGALESSQLCDYINDPNMTRPVDDIPDRP AGVGVYTAMWQLALALIFKIVITIFTFGMKIPSGLFIPSMAVGAMAGRMVGIGVEQLAYH HHDWIIFRNWCRPGADCVTPGLYAMVGAAACLGGVTRMTVSLVVIMFELTGGLEYIVPLM AAAVTSKWVADAFGKEGIYEAHIHLNGYPFLDVKDEFTHRTLATDVMRPRRGEPPLSVLT QDSMTVEDVETLIKETDYNGFPVLVSRDSERLIGFAQRRELILAIKNARQRQEGIVSNSI MYFTEEPPELPANSPHPLKLRRILNLSPFTVTDHTPMETVVDIFRKLGLRQCLVTRSGRL LGIITKKDVLRHMAQMANQDPESIMFN >ENSMUSP00000053312.3 pep:known chromosome:GRCm38:11:99579976:99581016:-1 gene:ENSMUSG00000047253.4 transcript:ENSMUST00000054532.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap1-5 description:keratin associated protein 1-5 [Source:MGI Symbol;Acc:MGI:1916914] MACCATSFCGFPTCSTGGTCGSSCCQPSCCETSCFQPSCCGTGYGIGGGIGCGQEGGFGG VSCRVRWCRPDCRVEGTCLPPCCVVSCIPPTCCQLHHAQASCCRPSYCGQSCCRPACCCY CC >ENSMUSP00000103920.2 pep:known chromosome:GRCm38:4:12153409:12172015:-1 gene:ENSMUSG00000028218.19 transcript:ENSMUST00000108285.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam92a description:family with sequence similarity 92, member A [Source:MGI Symbol;Acc:MGI:1915349] MPSGLPCPALDRRGARRVATETPGRRGGAHCALSAPGRSGGVACSRAPWRPGAALVGLPS WVPGGGKGKMLRRNLDERDAQTKQLQDAVTNVEKHFGELCQIFAAYVRKTARLRDKADLL VNEINLYASTETPNLKQGLKDFADEFAKLQDYRQAEVERLEAKVVEPLKAYGTIVKMKRD DLKATLTARNREAKQLSQLERTRQRNPSDRHVISQAETELQRATIDATRTSRHLEETIDN FEKQKIKDIKNILSEFITIEMLFHGKALEVFTAAYQNIQNIDEDEDLEVFRNSLYLSDYP SRLDIVRANSKSPLQRSLSTKCTSGTGQISTCRTRKDQQVEDEDDEELDVTEDEN >ENSMUSP00000084284.4 pep:known chromosome:GRCm38:4:12153724:12171995:-1 gene:ENSMUSG00000028218.19 transcript:ENSMUST00000087052.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam92a description:family with sequence similarity 92, member A [Source:MGI Symbol;Acc:MGI:1915349] MPSGLPCPALDRRGARRVATETPGRRGGAHCALSAPGRSGGVACSRAPWRPGAALVGLPS WVPGGGKGKMLRRNLDERDAQTKQLQDAVTNVEKHFGELCQIFAAYVRKTARLRDKADLL VNEINLYASTETPNLKQGLKDFADEFAKLQDYRQAEVERLEAKVVEPLKAYGTIVKMKRD DLKATLTARNREAKQLSQLERTRQRNPSDRHAETELQRATIDATRTSRHLEETIDNFEKQ KIKDIKNILSEFITIEMLFHGKALEVFTAAYQNIQNIDEDEDLEVFRNSLYLSDYPSRLD IVRANSKSPLQRSLSTKCTSGTGQISTCRTRKDQQVEDEDDEELDVTEDEN >ENSMUSP00000120369.2 pep:known chromosome:GRCm38:4:12155711:12171168:-1 gene:ENSMUSG00000028218.19 transcript:ENSMUST00000154416.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam92a description:family with sequence similarity 92, member A [Source:MGI Symbol;Acc:MGI:1915349] XFGELCQIFAAYVRKTARLRDKADLLVNEINLYASTETPNLKQGLKDFADEFAKLQDYRQ AEMLMNMAKVERLEAKVVEPLKAYGTIVKMKRDDLKATLTARNREAKQLSQLERTRQRNP SDRHAETELQRATIDATRTSRHLEETIDNFEKQKIKDIKNILSEFITIEMLFHGKALEVF TAAYQNIQNIDEDEDLEVFRNSLYLSDYPSRLDIVR >ENSMUSP00000133923.1 pep:known chromosome:GRCm38:4:12164121:12171871:-1 gene:ENSMUSG00000028218.19 transcript:ENSMUST00000126012.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam92a description:family with sequence similarity 92, member A [Source:MGI Symbol;Acc:MGI:1915349] XETPGRRGGAHCALSAPGRSGGVACSRAPWRPGAALVGLPSWVPGGGKGKMLRRNLDERD AQTKQLQDAVTNVEKHFGELCQIFAAYVRKTARLRDKADLLVNEINLYASTETPNLKQGL KDFADEFAKLQDYRQAELYFI >ENSMUSP00000135961.1 pep:known chromosome:GRCm38:4:12153723:12172015:-1 gene:ENSMUSG00000028218.19 transcript:ENSMUST00000177837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam92a description:family with sequence similarity 92, member A [Source:MGI Symbol;Acc:MGI:1915349] MPSGLPCPALDRRGARRVATETPGRRGGAHCALSAPGRSGGVACSRAPWRPGAALVGLPS WVPGGGKGKMLRRNLDERDAQTKQLQDAVTNVEKHFGELCQIFAAYVRKTARLRDKADLL VNEINLYASTETPNLKQGLKDFADEFAKLQDYRQAEVERLEAKVVEPLKAYGTIVKMKRD DLKATLTARNREAKQLSQLERTRQRNPSDRHVISKAETELQRATIDATRTSRHLEETIDN FEKQKIKDIKNILSEFITIEMLFHGKALEVFTAAYQNIQNIDEDEDLEVFRNSLYLSDYP SRLDIVRANSKSPLQRSLSTKCTSGTGQISTCRTRKDQQVEDEDDEELDVTEDEN >ENSMUSP00000108004.1 pep:known chromosome:GRCm38:5:112246493:112252518:-1 gene:ENSMUSG00000066975.12 transcript:ENSMUST00000112385.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryba4 description:crystallin, beta A4 [Source:MGI Symbol;Acc:MGI:102716] MTLQCTKSAGHWRMVVWDEEGFQGRRHEFTAECPSVLELGFETVRSLKVLSGAWVGFEHA GFQGQQYVLERGDYPGWDAWGGNTAYPAERLTSFRPVACANHRDSRLTIFEQENFLGRKG ELNDDYPSLQAMGWDGTEVGSFHVQSGAWVCSQFPGYRGFQYILESDHHSGDYKHFREWG SHAHTFQVQSVRRIQQ >ENSMUSP00000108002.1 pep:known chromosome:GRCm38:5:112246494:112251162:-1 gene:ENSMUSG00000066975.12 transcript:ENSMUST00000112383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryba4 description:crystallin, beta A4 [Source:MGI Symbol;Acc:MGI:102716] MVVWDEEGFQGRRHEFTAECPSVLELGFETVRSLKVLSGAWVGFEHAGFQGQQYVLERGD YPGWDAWGGNTAYPAERLTSFRPVACANHRDSRLTIFEQENFLGRKGELNDDYPSLQAMG WDGTEVGSFHVQSGAWVCSQFPGYRGFQYILESDHHSGDYKHFREWGSHAHTFQVQSVRR IQQ >ENSMUSP00000083826.5 pep:known chromosome:GRCm38:5:112246533:112251802:-1 gene:ENSMUSG00000066975.12 transcript:ENSMUST00000086629.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryba4 description:crystallin, beta A4 [Source:MGI Symbol;Acc:MGI:102716] MTLQCTKSAGHWRMVVWDEEGFQGRRHEFTAECPSVLELGFETVRSLKVLSGAWVGFEHA GFQGQQYVLERGDYPGWDAWGGNTAYPAERLTSFRPVACANHRDSRLTIFEQENFLGRKG ELNDDYPSLQAMGWDGTEVGSFHVQSGAWVCSQFPGYRGFQYILESDHHSGDYKHFREWG SHAHTFQVQSVRRIQQ >ENSMUSP00000095929.2 pep:known chromosome:GRCm38:8:123407107:123410744:1 gene:ENSMUSG00000074037.3 transcript:ENSMUST00000098324.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mc1r description:melanocortin 1 receptor [Source:MGI Symbol;Acc:MGI:99456] MSTQEPQKSLLGSLNSNATSHLGLATNQSEPWCLYVSIPDGLFLSLGLVSLVENVLVVIA ITKNRNLHSPMYYFICCLALSDLMVSVSIVLETTIILLLEAGILVARVALVQQLDNLIDV LICGSMVSSLCFLGIIAIDRYISIFYALRYHSIVTLPRARRAVVGIWMVSIVSSTLFITY YKHTAVLLCLVTFFLAMLALMAILYAHMFTRACQHAQGIAQLHKRRRSIRQGFCLKGAAT LTILLGIFFLCWGPFFLHLLLIVLCPQHPTCSCIFKNFNLFLLLIVLSSTVDPLIYAFRS QELRMTLKEVLLCSW >ENSMUSP00000123590.1 pep:known chromosome:GRCm38:8:119910360:119957555:1 gene:ENSMUSG00000031826.19 transcript:ENSMUST00000144458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp10 description:ubiquitin specific peptidase 10 [Source:MGI Symbol;Acc:MGI:894652] MALHNPQYIFGDFSPDEFNQFFVTPRSSVELPPYSGTLCSIQAEDELPDAGQEHQRIEFG VDEVIEPSEGLPPTPSYSISSTLNPQAPEFILGCTTSKKIPEAVEKDETYSSIDQYPASA LALESNSNAEAETLENDSGAGGLGQRERKKKKKRPPGYYSYLKDGGEDSASPATLVNGHA TSVGTSGEAVEDAEFMDVLPPVMPRTCDSPQNPVDFISGPVPDSPFPRTLGGDARTAGLC EGCHEADFEQPCLPADSLLRTAGTQPYVGTDTTENFAVANGKILESPGEDTAANGAELHT DEGADLDPAKPESQSPPAESALSASGAIPISQPAKSWASLFHDSKPSASSPMAYVETKCS PPVPSPLASEKQMEVKEGLVPVSEDPVAIKIAELLETVTLIHKPVSLQPRGLINKGNWCY INATLQALVACPPMYHLMKFIPLYSKVQRPCTSTPMIDSFVRLMNEFTNMPVPPKPRQAL GDKIVRDIRPGAAFEPTYIYRLLTVIKSSLSEKGRQEDAEEYLGFILNGLHEEMLSLKKL LSPTHEKHSVSNGPRSDLIEDEELEDTGKGSEDEWEQVGPKNKTSITRQADFVQTPITGI FGGHIRSVVYQQSSKESATLQLFFTLQLDIQSDKIRTVQDALESLVARESVQGYTTKTKQ EVEVSRRVTLEKLPPVLVLHLKRFVYEKTGGCQKLVKNIDYPVDLEISRELLSPGIKNKN FKCQRTYRLFAVVYHHGNSATGGHYTTDVFQIGLNGWLRIDDQTVKVINQYQVVKPPADR TAYLLYYRRVDLL >ENSMUSP00000104616.2 pep:known chromosome:GRCm38:8:119910814:119957560:1 gene:ENSMUSG00000031826.19 transcript:ENSMUST00000108988.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp10 description:ubiquitin specific peptidase 10 [Source:MGI Symbol;Acc:MGI:894652] MALHNPQYIFGDFSPDEFNQFFVTPRSSVELPPYSGTLCSIQAEDELPDGQEHQRIEFGV DEVIEPSEGLPPTPSYSISSTLNPQAPEFILGCTTSKKIPEAVEKDETYSSIDQYPASAL ALESNSNAEAETLENDSGAGGLGQRERKKKKKRPPGYYSYLKDGGEDSASPATLVNGHAT SVGTSGEAVEDAEFMDVLPPVMPRTCDSPQNPVDFISGPVPDSPFPRTLGGDARTAGLCE GCHEADFEQPCLPADSLLRTAGTQPYVGTDTTENFAVANGKILESPGEDTAANGAELHTD EGADLDPAKPESQSPPAESALSASGAIPISQPAKSWASLFHDSKPSASSPMAYVETKCSP PVPSPLASEKQMEVKEGLVPVSEDPVAIKIAELLETVTLIHKPVSLQPRGLINKGNWCYI NATLQALVACPPMYHLMKFIPLYSKVQRPCTSTPMIDSFVRLMNEFTNMPVPPKPRQALG DKIVRDIRPGAAFEPTYIYRLLTVIKSSLSEKGRQEDAEEYLGFILNGLHEEMLSLKKLL SPTHEKHSVSNGPRSDLIEDEELEDTGKGSEDEWEQVGPKNKTSITRQADFVQTPITGIF GGHIRSVVYQQSSKESATLQLFFTLQLDIQSDKIRTVQDALESLVARESVQGYTTKTKQE VEVSRRVTLEKLPPVLVLHLKRFVYEKTGGCQKLVKNIDYPVDLEISRELLSPGIKNKNF KCQRTYRLFAVVYHHGNSATGGHYTTDVFQIGLNGWLRIDDQTVKVINQYQVVKPPADRT AYLLYYRRVDLL >ENSMUSP00000104610.4 pep:known chromosome:GRCm38:8:119910857:119951934:1 gene:ENSMUSG00000031826.19 transcript:ENSMUST00000108982.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp10 description:ubiquitin specific peptidase 10 [Source:MGI Symbol;Acc:MGI:894652] MKFIPLYSKVQRPCTSTPMIDSFVRLMNEFTNMPVPPKPRQALGDKIVRDIRPGAAFEPT YIYRLLTVIKSSLSEKGRQEDAEEYLGFILNGLHEEMLSLKKLLSPTHEKHSVS >ENSMUSP00000134182.1 pep:known chromosome:GRCm38:8:119953275:119956869:1 gene:ENSMUSG00000031826.19 transcript:ENSMUST00000134729.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp10 description:ubiquitin specific peptidase 10 [Source:MGI Symbol;Acc:MGI:894652] XQDALESLVARESVQGYTTKTKQENYFLQASKIKILNAREPIGCLQWSTTMATALQAATT LRTSSRLGLMAGYESMTKRSRLLTSTRW >ENSMUSP00000098048.2 pep:known chromosome:GRCm38:11:99583062:99583654:-1 gene:ENSMUSG00000075567.4 transcript:ENSMUST00000100479.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap1-4 description:keratin associated protein 1-4 [Source:MGI Symbol;Acc:MGI:3651229] MACCATSFCGFPTCSTGGTCGSNCCQPSCSQSSCCQPSCSQSTCTQSSCCQPTCTQSSCC QPTCTQSSCCQPTCTQSSCCQPTCTQSSCCQPSCCQTSCCGTGSGQEGGSGGLSCRVRWC RPDCRVEGTCLPPCCVVSCTPPTCCQLHHAQASCCRPSYCGQSCCRPACCCHCCEPSCSK PSCSEPSC >ENSMUSP00000111229.1 pep:known chromosome:GRCm38:18:39410545:39490674:-1 gene:ENSMUSG00000024431.14 transcript:ENSMUST00000115567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c1 description:nuclear receptor subfamily 3, group C, member 1 [Source:MGI Symbol;Acc:MGI:95824] MDSKESLAPPGRDEVPSSLLGRGRGSVMDLYKTLRGGATVKVSASSPSVAAASQADSKQQ RILLDFSKGSASNAQQQQQQQQQQQQQQQQQPQPDLSKAVSLSMGLYMGETETKVMGNDL GYPQQGQLGLSSGETDFRLLEESIANLNRSTSRPENPKSSTPAAGCATPTEKEFPQTHSD PSSEQQNRKSQPGTNGGSVKLYTTDQSTFDILQDLEFSAGSPGKETNESPWRSDLLIDEN LLSPLAGEDDPFLLEGDVNEDCKPLILPDTKPKIQDTGDTILSSPSSVALPQVKTEKDDF IELCTPGVIKQEKLGPVYCQASFSGTNIIGNKMSAISVHGVSTSGGQMYHYDMNTASLSQ QQDQKPVFNVIPPIPVGSENWNRCQGSGEDNLTSLGAMNFAGRSVFSNGYSSPGMRPDVS SPPSSSSTATGPPPKLCLVCSDEASGCHYGVLTCGSCKVFFKRAVEGQHNYLCAGRNDCI IDKIRRKNCPACRYRKCLQAGMNLEARKTKKKIKGIQQATAGVSQDTSENANKTIVPAAL PQLTPTLVSLLEVIEPEVLYAGYDSSVPDSAWRIMTTLNMLGGRQVIAAVKWAKAIPGFR NLHLDDQMTLLQYSWMFLMAFALGWRSYRQASGNLLCFAPDLIINEQRMTLPCMYDQCKH MLFISTELQRLQVSYEEYLCMKTLLLLSSVPKEGLKSQELFDEIRMTYIKELGKAIVKRE GNSSQNWQRFYQLTKLLDSMHDVVENLLSYCFQTFLDKSMSIEFPEMLAEIITNQIPKYS NGNIKKLLFHQK >ENSMUSP00000025300.6 pep:known chromosome:GRCm38:18:39411987:39489846:-1 gene:ENSMUSG00000024431.14 transcript:ENSMUST00000025300.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c1 description:nuclear receptor subfamily 3, group C, member 1 [Source:MGI Symbol;Acc:MGI:95824] MDSKESLAPPGRDEVPSSLLGRGRGSVMDLYKTLRGGATVKVSASSPSVAAASQADSKQQ RILLDFSKGSASNAQQQQQQQQQQQQQQQQQPQPDLSKAVSLSMGLYMGETETKVMGNDL GYPQQGQLGLSSGETDFRLLEESIANLNRSTSRPENPKSSTPAAGCATPTEKEFPQTHSD PSSEQQNRKSQPGTNGGSVKLYTTDQSTFDILQDLEFSAGSPGKETNESPWRSDLLIDEN LLSPLAGEDDPFLLEGDVNEDCKPLILPDTKPKIQDTGDTILSSPSSVALPQVKTEKDDF IELCTPGVIKQEKLGPVYCQASFSGTNIIGNKMSAISVHGVSTSGGQMYHYDMNTASLSQ QQDQKPVFNVIPPIPVGSENWNRCQGSGEDNLTSLGAMNFAGRSVFSNGYSSPGMRPDVS SPPSSSSTATGPPPKLCLVCSDEASGCHYGVLTCGSCKVFFKRAVEGQHNYLCAGRNDCI IDKIRRKNCPACRYRKCLQAGMNLEARKTKKKIKGIQQATAGVSQDTSENANKTIVPAAL PQLTPTLVSLLEVIEPEVLYAGYDSSVPDSAWRIMTTLNMLGGRQVIAAVKWAKAIPGFR NLHLDDQMTLLQYSWMFLMAFALGWRSYRQASGNLLCFAPDLIINEQRMTLPCMYDQCKH MLFISTELQRLQVSYEEYLCMKTLLLLSSVPKEGLKSQELFDEIRMTYIKELGKAIVKRE GNSSQNWQRFYQLTKLLDSMHDVVENLLSYCFQTFLDKSMSIEFPEMLAEIITNQIPKYS NGNIKKLLFHQK >ENSMUSP00000095199.2 pep:known chromosome:GRCm38:18:39414482:39487232:-1 gene:ENSMUSG00000024431.14 transcript:ENSMUST00000097592.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c1 description:nuclear receptor subfamily 3, group C, member 1 [Source:MGI Symbol;Acc:MGI:95824] MDSKESLAPPGRDEVPSSLLGRGRGSVMDLYKTLRGGATVKVSASSPSVAAASQADSKQQ RILLDFSKGSASNAQQQQQQQQQQQQQQQQQPQPDLSKAVSLSMGLYMGETETKVMGNDL GYPQQGQLGLSSGETDFRLLEESIANLNRSTSRPENPKSSTPAAGCATPTEKEFPQTHSD PSSEQQNRKSQPGTNGGSVKLYTTDQSTFDILQDLEFSAGSPGKETNESPWRSDLLIDEN LLSPLAGEDDPFLLEGDVNEDCKPLILPDTKPKIQDTGDTILSSPSSVALPQVKTEKDDF IELCTPGVIKQEKLGPVYCQASFSGTNIIGNKMSAISVHGVSTSGGQMYHYDMNTASLSQ QQDQKPVFNVIPPIPVGSENWNRCQGSGEDNLTSLGAMNFAGRSVFSNGYSSPGMRPDVS SPPSSSSTATGPPPKLCLVCSDEASGCHYGVLTCGSCKVFFKRAVEGRQHNYLCAGRNDC IIDKIRRKNCPACRYRKCLQAGMNLEARKTKKKIKGIQQATAGVSQDTSENANKTIVPAA LPQLTPTLVSLLEVIEPEVLYAGYDSSVPDSAWRIMTTLNMLGGRQVIAAVKWAKAIPGF RNLHLDDQMTLLQYSWMFLMAFALGWRSYRQASGNLLCFAPDLIINEQRMTLPCMYDQCK HMLFISTELQRLQVSYEEYLCMKTLLLLSSVPKEGLKSQELFDEIRMTYIKELGKAIVKR EGNSSQNWQRFYQLTKLLDSMHDVVENLLSYCFQTFLDKSMSIEFPEMLAEIITNQIPKY SNGNIKKLLFHQK >ENSMUSP00000120082.1 pep:known chromosome:GRCm38:18:39424436:39489888:-1 gene:ENSMUSG00000024431.14 transcript:ENSMUST00000152853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c1 description:nuclear receptor subfamily 3, group C, member 1 [Source:MGI Symbol;Acc:MGI:95824] MDSKESLAPPGRDEVPSSLLGRGRGSVMDLYKTLRGGATVKVSASSPSVAAASQADSKQQ RILLDFSKGSASNAQQQQQQQQQQQQQQQQQPQPDLSKAVSLSMGLYMGETETKVMGNDL GYPQQGQLGLSSGETDFRLLEESIANLNRSTSRPENPKSSTPAAGCATPTEKEFPQTHSD PSSEQQNRKSQPGTNGGSVKLYTTDQSTFDILQDLEFSAGSPGKETNESPWRSDLLIDEN LLSPLAGEDDPFLLEGDVNEDCKPLILPDTKPKIQDTGDTILSSPSSVALPQVKTEKDDF IELCTPGVIKQEKLGPVYCQASFSGTNIIGNKMSAISVHGVSTSGGQMYHYDMNTASLSQ QQDQKPVFNVIPPIPVGSENWNRCQGSGEDNLTSLGAMNFAGRSVFSNGYSSPGMRPDVS SPPSSSSTATGPPPKLCLVCSDEASGCHYGVLTCGSCKVFFKRAVEGRQHNYLCAGRNDC IIDKIRRK >ENSMUSP00000119630.1 pep:known chromosome:GRCm38:18:39486844:39491301:-1 gene:ENSMUSG00000024431.14 transcript:ENSMUST00000124115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c1 description:nuclear receptor subfamily 3, group C, member 1 [Source:MGI Symbol;Acc:MGI:95824] MDSKESLAPPGRDEVPSSLLGRGRGSVMDLYKTLRGGATVKVSASSPSVAAASQADSKQQ RILLDFSKGSASNAQQQQQQQQQQQQQQQQQPQPDLSKAVSLSMGLYMGETETKVMGNDL GYPQQGQLGL >ENSMUSP00000121144.1 pep:known chromosome:GRCm38:18:39487086:39489283:-1 gene:ENSMUSG00000024431.14 transcript:ENSMUST00000131885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c1 description:nuclear receptor subfamily 3, group C, member 1 [Source:MGI Symbol;Acc:MGI:95824] MDSKESLAPPGRDEVPSSLLGRGRGSVMDLYKTLRGGATVKVSASSPSV >ENSMUSP00000119432.1 pep:known chromosome:GRCm38:18:39487160:39491299:-1 gene:ENSMUSG00000024431.14 transcript:ENSMUST00000150483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c1 description:nuclear receptor subfamily 3, group C, member 1 [Source:MGI Symbol;Acc:MGI:95824] MDSKESLAPPGRDEVPSSLLGRGR >ENSMUSP00000111233.1 pep:known chromosome:GRCm38:18:39410547:39487245:-1 gene:ENSMUSG00000024431.14 transcript:ENSMUST00000115571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr3c1 description:nuclear receptor subfamily 3, group C, member 1 [Source:MGI Symbol;Acc:MGI:95824] MDSKESLAPPGRDEVPSSLLGRGRGSVMDLYKTLRGGATVKVSASSPSVAAASQADSKQQ RILLDFSKGSASNAQQQQQQQQQQQQQQQQQPQPDLSKAVSLSMGLYMGETETKVMGNDL GYPQQGQLGLSSGETDFRLLEESIANLNRSTSRPENPKSSTPAAGCATPTEKEFPQTHSD PSSEQQNRKSQPGTNGGSVKLYTTDQSTFDILQDLEFSAGSPGKETNESPWRSDLLIDEN LLSPLAGEDDPFLLEGDVNEDCKPLILPDTKPKIQDTGDTILSSPSSVALPQVKTEKDDF IELCTPGVIKQEKLGPVYCQASFSGTNIIGNKMSAISVHGVSTSGGQMYHYDMNTASLSQ QQDQKPVFNVIPPIPVGSENWNRCQGSGEDNLTSLGAMNFAGRSVFSNGYSSPGMRPDVS SPPSSSSTATGPPPKLCLVCSDEASGCHYGVLTCGSCKVFFKRAVEGQHNYLCAGRNDCI IDKIRRKNCPACRYRKCLQAGMNLEARKTKKKIKGIQQATAGVSQDTSENANKTIVPAAL PQLTPTLVSLLEVIEPEVLYAGYDSSVPDSAWRIMTTLNMLGGRQVIAAVKWAKAIPGFR NLHLDDQMTLLQYSWMFLMAFALGWRSYRQASGNLLCFAPDLIINEQRMTLPCMYDQCKH MLFISTELQRLQVSYEEYLCMKTLLLLSSVPKEGLKSQELFDEIRMTYIKELGKAIVKRE GNSSQNWQRFYQLTKLLDSMHDVVENLLSYCFQTFLDKSMSIEFPEMLAEIITNQIPKYS NGNIKKLLFHQK >ENSMUSP00000144806.1 pep:known chromosome:GRCm38:7:30670722:30671605:-1 gene:ENSMUSG00000049761.6 transcript:ENSMUST00000051495.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmis2 description:Pmis2, sperm specific protein [Source:MGI Symbol;Acc:MGI:1922177] MDTDEQGAPGRKPLDRPQTPDELKFYARNYVMLALLAMILFLPFGILAIYFSIQTNEANK CSNWEDAYRNSSRTMWFNMLAIVAFVGIIYILVLVL >ENSMUSP00000073046.1 pep:known chromosome:GRCm38:2:111257117:111258055:1 gene:ENSMUSG00000063844.3 transcript:ENSMUST00000073322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1276 description:olfactory receptor 1276 [Source:MGI Symbol;Acc:MGI:3031110] MNEINYTEVSEFVFLGLSTSKHIQHFFLAFSVVFYVTIVLGNTLVVFTLAFDPHLHSPMY FLLGNLSFIDLCLSTLTVPKMISDLSSGHNTISFQGCVFQIFVLHVLGASEMVLLVAMAW DRYVAICKPLHYLTIMSPRMCLLLLSGAWIIGFLHSVAQLGFVVHLRFCGPNKIDSFYCD LPRFIKLACIDNYRMEFMVAANSGIISIGTFFLLIISYIVILFNVRKHSSGDLSKALSTL SAHISVVVLFFGPCIFVYMWPFPTVPVDKFLAILDFMITPILNPAIYTLRNKDMKVAMRK LSYQFLNFRKMS >ENSMUSP00000048709.7 pep:known chromosome:GRCm38:9:53537047:53574342:1 gene:ENSMUSG00000033054.7 transcript:ENSMUST00000035850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npat description:nuclear protein in the AT region [Source:MGI Symbol;Acc:MGI:107605] MLLPSDVARLVLGYLQQENLTSTCQTFILESSNLKEYAEHCTDEGFIPACLLSLFGKNLT TILNEYVAMKAKETSNDVPTIMSSLWKKLDHTLSQIRSMHSSPGFAAHQRARTRNGIAEI KRQRWLASQAAPVSSELLVLPYASGQFTTSPLVATQAVKPTGPISTPVRSNIVVVNQSQP QSTVTNTAGESLNIIPGPQERKTQTSLMSPGRRKSESQKKSLTSSGPHSSRNFQDPNAFA VEKQMVIENAREKILSNKSLQEKLAENINKFLTSDSSVAQVPKQTDSNPTEPETSIDELL GLPSEIHMSEEAIQDILEQTESDPAFQALFDLFDYGKTKNNKNMPQISSQPMETNSNIVL PEETNLTIKSSFETEESDGQSGQPPFCTSYQNEDVLLNDLKSGNSHDVLPQESQENFSQI SSNIQKKTFKTAIPAEQKCALDITLESVSNLSDFNQRGSSAECNEHCSELFASQIPTEAE VAVGEKNSLSADILSQSQYQPDQPSVPVTSFVSLGGETNDKNLVLSGKNSQLLSQSTPLT TKPSKSQLCENSNNIIKVKTNPQASESADSSETANRKTETNTVSPAAAQPQADCQDNSPL QSKPPPGIGESLGVNVTEKIEIHLEEPAPSDKQLSNDAASVDLNPTESKTEPLQSASAQE PEPPSVKDGDTIFLSLSEHNSCEEVALVLGEGNPVKNNNSLSSESGGSVGVSPETQNTDG KTSNSTEVDASSIVSLKIIISDDPFVSSDAELNSAVSSISGENLPTIILSSKSPAKNAEF VTCLSSEETASAVVSVEVGDSGSMEQNLLVLKPEEPMVNNTQNEDGIAFSANVAPCVPKD GGYIQLMPTTSTAFGNSSNILIATCMTDSTALGPTVSQSNVVVLPGSSAPMTAQPPQQQL QTPPKSNSAFAVSQAVSPNFSQGSAIIIASPVQPVLQGMVGMIPVSVVGQNGNTFSTPPQ QVLHMPLAAPVCNRSIAQLPIPQKSQKAQGLRNKLITGKQVNNLTNLSSLSEACHTQRTE ASDKNIATELGKKMEDTTISLSGERVAPPSKPFESHRRVLCFDSTVSSVANTQGSLYKMT SENKEKKEASFSHLDSPILSSTLKPPPNNAIKREREKTVPKILSKSETASSRHTTVKEVQ SEKKVSPTEVALESLHKATANKENELCGDGERPKNADTSKLPGGQQNGSLRNEKAIASLQ ELTKKQATPSNNKNATSVGGTVKDQKQEQSKPASSLIGAEILQDVPIHSPANRSADTDLP VPRTPGSGAGEKHKEEPSDSMKAPASRRCGEEGSMPRVMIPPVTADLPACSPASETGSEN SVSMAAHTLMILSRAAIARTTATPLKDNTQQFRTSSRSTTKKRKIEELDECERNSRTSGK NLANSSVPMKKKKIKKKKLPSSFPAGMDVDKFLLSLHYDE >ENSMUSP00000010941.2 pep:known chromosome:GRCm38:6:17988940:18030585:-1 gene:ENSMUSG00000010797.6 transcript:ENSMUST00000010941.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt2 description:wingless-type MMTV integration site family, member 2 [Source:MGI Symbol;Acc:MGI:98954] MNVPLGGIWLWLPLLLTWLTPEVSSSWWYMRATGGSSRVMCDNVPGLVSRQRQLCHRHPD VMRAIGLGVAEWTAECQHQFRQHRWNCNTLDRDHSLFGRVLLRSSRESAFVYAISSAGVV FAITRACSQGELKSCSCDPKKKGSAKDSKGTFDWGGCSDNIDYGIKFARAFVDAKERKGK DARALMNLHNNRAGRKAVKRFLKQECKCHGVSGSCTLRTCWLAMADFRKTGDYLWRKYNG AIQVVMNQDGTGFTVANKRFKKPTKNDLVYFENSPDYCIRDREAGSLGTAGRVCNLTSRG MDSCEVMCCGRGYDTSHVTRMTKCECKFHWCCAVRCQDCLEALDVHTCKAPKSADWATPT >ENSMUSP00000053558.8 pep:known chromosome:GRCm38:2:150832493:150904741:-1 gene:ENSMUSG00000032046.15 transcript:ENSMUST00000056149.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd12 description:abhydrolase domain containing 12 [Source:MGI Symbol;Acc:MGI:1923442] MRKRTEPVTLEHERCAASGSSSSGSAAAALDADCSLKQNLRLAGKGTAEPHSASDAGMKR ALGRRKSLWFRLRKILLCVLGFYIAIPFLVKLCPGIQAKLIFLNFVRVPYFIDLKKPQDQ GLNHTCNYYLQPEDDVTIGVWHTIPSVWWKNAQGKDQMWYEDALASNHAIILYLHGNAGT RGGDHRVELYKVLSSLGYHVVTFDYRGWGDSVGTPSERGMTYDALHVFDWIKARSGDNPV YIWGHSLGTGVATNLVRRLCERETPPDALILESPFTNIREEAKSHPFSVIYRYFPGFDWF FLDPITSSGIKFANDENMKHISCPLLILHAEDDPVVPFHLGRKLYNIAAPSRSFRDFKVQ FIPFHSDLGYRHKYIYKSPELPRILREFLGKSEPERQH >ENSMUSP00000122763.1 pep:known chromosome:GRCm38:2:150832517:150904731:-1 gene:ENSMUSG00000032046.15 transcript:ENSMUST00000141899.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd12 description:abhydrolase domain containing 12 [Source:MGI Symbol;Acc:MGI:1923442] MRKRTEPVTLEHERCAASGSSSSGSAAAALDADCSLKQNLRLAGKGTAEPHSASDAGMKR ALGRRKSLWFRLRKILLCVLGFYIAIPFLVKLCPGIQAKLIFLNFVRVPYFIDLKKPQDQ GLNHTCNYYLQPEDDVTIGVWHTIPSVWWKNAQGKDQMWYEDALASNHAIILYLHGNAGT RGGDHRVELYKVLSSLGYHVVTFDYRGWGDSVGTPSERGMTYDALHVFDWIKARSGDNPV YIWGHSLGTGVATNLVRRLCERETPPDALILESPFTNIREEAKSHPFSVANIPILPWL >ENSMUSP00000122331.1 pep:known chromosome:GRCm38:2:150833116:150839722:-1 gene:ENSMUSG00000032046.15 transcript:ENSMUST00000145826.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd12 description:abhydrolase domain containing 12 [Source:MGI Symbol;Acc:MGI:1923442] XGVATNLVRRLCERETPPDALILESPFTNIREEAKSHPFSVYEAHLLSSAHLACRG >ENSMUSP00000118501.1 pep:known chromosome:GRCm38:2:150846330:150904647:-1 gene:ENSMUSG00000032046.15 transcript:ENSMUST00000129228.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd12 description:abhydrolase domain containing 12 [Source:MGI Symbol;Acc:MGI:1923442] MRKRTEPVTLEHERCAASGSSSSGSAAAALDADCSLKQNLRLAGKGTAEPHSASDAGMKR ALGSPFSLVF >ENSMUSP00000140278.1 pep:known chromosome:GRCm38:9:95954767:96057803:1 gene:ENSMUSG00000032410.13 transcript:ENSMUST00000185633.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrn1 description:5'-3' exoribonuclease 1 [Source:MGI Symbol;Acc:MGI:891964] MGVPKFYRWISERYPCLSEVVKEHQIPEFDNLYLDMNGIIHQCSHPNDDDVHFRISDDKI FTDIFHYLEVLFRIIKPRKVFFMAVDGVAPRAKMNQQRGRRFRSAKEAEDKIKKAIEKGE TLPTEARFDSNCITPGTEFMARLHEHLKYFVNMKISTDKSWQGVTIYFSGHETPGEGEHK IMEFIRSEKAKPDHDPNTRHCLYGLDADLIMLGLTSHEAHFSLLREEVRFGGKKTQRVCA PEETTFHLLHLSLMREYIDYEFSALKEKITFKYDIEKIIDDWILMGFLVGNDFIPHLPHL HINHDALPLLYGTYIAILPELGGYINESGHLNLPRFERYLVKLSDFDREHFSEVFVDLKW FESKVGNKYLNEAAGAAAEEAKNCKEKRKPKGQENSLSWAALDKSEGEGVASRDNFEDET EDDDLFETEFRQYKRTYYMTKMGVDVVSDEFLANQAACYVQAIQWILHYYYHGVQSWSWY YPYHYAPFLSDIRSISTLKIHFELGKPFKPFEQLLAVLPSASKNLLPTCYQHLMTSEDSP IIEYYPPDFKTDLNGKQQEWEAVVLIPFIDETRLLEAMETCNHSLKKEERKRNQHSECLM CWYDRDTEFTYSSPWPEKFPAIERCCTRYKMISLDAWRVDINKNKITRVDQKALYFCGFP TLKHIKHKFFLKKSGVQVFQQSSRGENLMLEISVNAEPDELRIENIASAVLGKAVFVNWP HLEEARVVAVSDGETKFYIEEPPGTQKVYLGKTAPPSKVIQLTDKEQSNWTKEIQGISEQ YLRRKGIIINETSAVVYAQLLTGRKYQISQNGEVRLEKQWSKQILPFVYQTIVKDIRAFD SRFSNIKTLDDLFPPRTMVFMLGTPYYGCTGEVQDSGDLITEGRIRVVFSIPCEPNLDAL IQNQHKYSIKYNPGYVLAGRLGVSGYLVSRFTGSIFIGRGSRRNPHGDHKANVGLNLKFN KKNEEVPGYTKKVGNEWMYSSAAEQLLAEYIERAPELFSYIAKNSQEDVFYEDDIWPGEN ENGAEKVQEIITWLKGHPVSTLSRSSCDLHILDAAIVEKIEEEVEKCKQRKSNKKVRVTV KPHLLYRPLEQQHGVIPDRDAEFRLFDRVVNVRESFSVPVGLRGTVIGIKGASREADVLF EVLFDEEFPGGLTIRCSPGRGYRLPTSALVNLSHGSRCETGNQKLTAIVKPQPSVSHCSA APSGHLGGLNHSPQSPFLPTQVPTKGDDEFCNIWQSLQGAGKIQHLQPTVQEKGAVLPQE ISQVTEGHKSGFTDHSVRHQQRKHDSQRKFKEEYKSPKAECQSQKLSSKQTSGGSARCSI KLLKRNESPGTSEAQKVVTSYPNAVHKPPSGIENFLASLNLSKENEAQLPHHGEPPDEAD LSPQSFAMKGTRMLKEILKIDSPDTRDSKNDMKKSDNEATVSSRRDERGVSAHPKPTCHM NKPHGTNEFQNVASVDSVCWPGQMPPVSTPVTELSRICSLVGMPQPDFSFLRTTQTMTVC QVKLSNGLLVHGPQCHSESEAKERAALFALQQLGSLGVSFPLPPPIFTNYPPAVPPGAVP PVFTQPTANIMPSSSHLFGSVSWRPPVPVAGNAFHYPSYPGTMPLAGGVPGGVHSQFIPL QVTKKRVANRKNFENKEAQSSQATPLQTNKPGSSEATKMTPQESPPASSSSSQAAQPVSS HVETASQGHVGSQPRSAPSSSKRKSRKLAVNFSVSKPSE >ENSMUSP00000140004.1 pep:known chromosome:GRCm38:9:95954793:95967770:1 gene:ENSMUSG00000032410.13 transcript:ENSMUST00000189106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrn1 description:5'-3' exoribonuclease 1 [Source:MGI Symbol;Acc:MGI:891964] MAVDGVAPRAKMNQQRGRRFRSAKEAEDKIKKAIEKGETL >ENSMUSP00000139510.1 pep:known chromosome:GRCm38:9:95954862:96011865:1 gene:ENSMUSG00000032410.13 transcript:ENSMUST00000190665.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrn1 description:5'-3' exoribonuclease 1 [Source:MGI Symbol;Acc:MGI:891964] MGVPKFYRWISERYPCLSEVVKEHQIPEFDNLYLDMNGIIHQCSHPNDDDVHFRISDDKI FTDIFHYLEVLFRIIKPRKVFFMAVDGVAPRAKMNQQRGRRFRSAKEAEDKIKKAIEKGE TLPTEARFDSNCITPGTEFMARLHEHLKYFVNMKISTDKSWQGVTIYFSGHETPGEGEHK IMEFIRSEKAKPDHDPNTRHCLYGLDADLIMLGLTSHEAHFSLLREEVRFGGKKTQRVCA PEETTFHLLHLSLMREYIDYEFSALKEKITFKYDIEKIIDDWILMGFLVGNDFIPHLPHL HINHDALPLLYGTYIAILPELGGYINESGHLNLPRFERYLVKLSDFDREHFSEVFVDLKW FESKVGNKYLNEAAGAAAEEAKNCKEKRKPKGQENSLSWAALDKSEGEGVASRDNFEDET EDDDLFETEFRQYKRTYYMTKMGVDVVSDEFLANQAACYVQAIQWILHYYYHGVQSWSWY YPYHYAPFLSDIRSISTLKIHFELGKPFKPFEQLLAVLPSASKNLLPTCYQHLMTSEDSP IIEYYPPDFKTDLNGKQQEWEAVVLIPFIDETRLLEAMETCNHSLKKEERKRNQHSECLM CWYDRDTEFTYSSPWPEKFPAIERCCTRFYIEEPPGTQKVYLGKTAPPSKVIQLTDKEQS NWTKEIQGISEQYLRRKGIIINETSAVVYAQLLTGRKYQISQNGEVRLEKQWSKQILPFV YQTIVKDIRAFDSRFSNIKTLDDLFPPRTMVFMLGTPYYGCTGEVQDSGDLITEGRIRVV FSIPCEPNLDALIQNQHKYSIKYNPGYVLAGRLGVSGYLVSRFTGSIFIGRGSRRNPHGD HKANVGLNLKFNKKNEEVPGYTKKVGNEWMYSSAAEQLLAEYIERAPELFSYIAKNSQED VFYEDDIWPGENENGAEKVQEIITWLKGHPVSTLSRSSCDLHILDAAIVEKIEEEVEKCK VLTLRNHDS >ENSMUSP00000034981.7 pep:known chromosome:GRCm38:9:95954760:96053027:1 gene:ENSMUSG00000032410.13 transcript:ENSMUST00000034981.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrn1 description:5'-3' exoribonuclease 1 [Source:MGI Symbol;Acc:MGI:891964] MGVPKFYRWISERYPCLSEVVKEHQIPEFDNLYLDMNGIIHQCSHPNDDDVHFRISDDKI FTDIFHYLEVLFRIIKPRKVFFMAVDGVAPRAKMNQQRGRRFRSAKEAEDKIKKAIEKGE TLPTEARFDSNCITPGTEFMARLHEHLKYFVNMKISTDKSWQGVTIYFSGHETPGEGEHK IMEFIRSEKAKPDHDPNTRHCLYGLDADLIMLGLTSHEAHFSLLREEVRFGGKKTQRVCA PEETTFHLLHLSLMREYIDYEFSALKEKITFKYDIEKIIDDWILMGFLVGNDFIPHLPHL HINHDALPLLYGTYIAILPELGGYINESGHLNLPRFERYLVKLSDFDREHFSEVFVDLKW FESKVGNKYLNEAAGAAAEEAKNCKEKRKPKGQENSLSWAALDKSEGEGVASRDNFEDET EDDDLFETEFRQYKRTYYMTKMGVDVVSDEFLANQAACYVQAIQWILHYYYHGVQSWSWY YPYHYAPFLSDIRSISTLKIHFELGKPFKPFEQLLAVLPSASKNLLPTCYQHLMTSEDSP IIEYYPPDFKTDLNGKQQEWEAVVLIPFIDETRLLEAMETCNHSLKKEERKRNQHSECLM CWYDRDTEFTYSSPWPEKFPAIERCCTRYKMISLDAWRVDINKNKITRVDQKALYFCGFP TLKHIKHKFFLKKSGVQVFQQSSRGENLMLEISVNAEPDELRIENIASAVLGKAVFVNWP HLEEARVVAVSDGETKFYIEEPPGTQKVYLGKTAPPSKVIQLTDKEQSNWTKEIQGISEQ YLRRKGIIINETSAVVYAQLLTGRKYQISQNGEVRLEKQWSKQILPFVYQTIVKDIRAFD SRFSNIKTLDDLFPPRTMVFMLGTPYYGCTGEVQDSGDLITEGRIRVVFSIPCEPNLDAL IQNQHKYSIKYNPGYVLAGRLGVSGYLVSRFTGSIFIGRGSRRNPHGDHKANVGLNLKFN KKNEEVPGYTKKVGNEWMYSSAAEQLLAEYIERAPELFSYIAKNSQEDVFYEDDIWPGEN ENGAEKVQEIITWLKGHPVSTLSRSSCDLHILDAAIVEKIEEEVEKCKQRKSNKKVRVTV KPHLLYRPLEQQHGVIPDRDAEFRLFDRVVNVRESFSVPVGLRGTVIGIKGASREADVLF EVLFDEEFPGGLTIRCSPGRGYRLPTSALVNLSHGSRCETGNQKLTAIVKPQPSVSHCSA APSGHLGGLNHSPQSPFLPTQVPTKGDDEFCNIWQSLQGAGKIQHLQPTVQEKGAVLPQE ISQVTEGHKSGFTDHSVRHQQRKHDSQRKFKEEYKSPKAECQSQKLSSKQTSGGSARCSI KLLKRNESPGTSEAQKVVTSYPNAVHKPPSGIENFLASLNLSKENEAQLPHHGEPPDEAD LSPQSFAMKGTRMLKEILKIDSPDTRDSKNDMKKSDNEATVSSRRDERGVSAHPKPSKKL TCHMNKPHGTNEFQNVASVDSVCWPGQMPPVSTPVTELSRICSLVGMPQPDFSFLRTTQT MTVCQVKLSNGLLVHGPQCHSESEAKERAALFALQQLGSLGVSFPLPPPIFTNYPPAVPP GAVPPVFTQPTANIMPSSSHLFGSVSWRPPVPVAGNAFHYPSYPGTMPLAGGVPGGVHSQ FIPLQVTKKRVANRKNFENKEAQSSQATPLQTNKPGSSEATKMTPQESPPASSSSSQAAQ PVSSHVETASQGHVGSQPRSAPSSSKRKSRKLAVNFSVSKPSE >ENSMUSP00000100535.1 pep:known chromosome:GRCm38:11:99590461:99591339:-1 gene:ENSMUSG00000078131.1 transcript:ENSMUST00000104930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap1-3 description:keratin associated protein 1-3 [Source:MGI Symbol;Acc:MGI:3650443] MACCATSFCGFPTCSTGGTCGSSCCQPSCCQPSCCQPSCSQSSCCQPSCCQSSCCQPSCC QSSCCQPCCSQTSCCQPSCCQPSCCGTGSGQEGGSGAVSCRVRWCRPDCRVEGTCLPPCC VVSCTPPTCCQLHHAQASCCRPSYCGQSCCRPACCCYCCPPSCSESNCCEPTC >ENSMUSP00000017920.7 pep:known chromosome:GRCm38:11:75679259:75706065:1 gene:ENSMUSG00000017776.15 transcript:ENSMUST00000017920.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crk description:v-crk avian sarcoma virus CT10 oncogene homolog [Source:MGI Symbol;Acc:MGI:88508] MAGNFDSEERSSWYWGRLSRQEAVALLQGQRHGVFLVRDSSTSPGDYVLSVSENSRVSHY IINSSGPRPPVPPSPAQPPPGVSPSRLRIGDQEFDSLPALLEFYKIHYLDTTTLIEPVAR SRQGSGVILRQEEAEYVRALFDFNGNDEEDLPFKKGDILRIRDKPEEQWWNAEDSEGKRG MIPVPYVEKYRPASASVSALIGGNQEGSHPQPLGGPEPGPYAQPSVNTPLPNLQNGPIYA RVIQKRVPNAYDKTALALEVGELVKVTKINVSGQWEGECNGKRGHFPFTHVRLLDQQNPD EDFS >ENSMUSP00000104064.1 pep:known chromosome:GRCm38:11:75679284:75703655:1 gene:ENSMUSG00000017776.15 transcript:ENSMUST00000108426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crk description:v-crk avian sarcoma virus CT10 oncogene homolog [Source:MGI Symbol;Acc:MGI:88508] MAGNFDSEERSSWYWGRLSRQEAVALLQGQRHGVFLVRDSSTSPGDYVLSVSENSRVSHY IINSSGPRPPVPPSPAQPPPGR >ENSMUSP00000104063.1 pep:known chromosome:GRCm38:11:75679284:75706908:1 gene:ENSMUSG00000017776.15 transcript:ENSMUST00000108425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crk description:v-crk avian sarcoma virus CT10 oncogene homolog [Source:MGI Symbol;Acc:MGI:88508] MAGNFDSEERSSWYWGRLSRQEAVALLQGQRHGVFLVRDSSTSPGDYVLSVSENSRVSHY IINSSGPRPPVPPSPAQPPPGVSPSRLRIGDQEFDSLPALLEFYKIHYLDTTTLIEPVAR SRQGSGVILRQEEAEYVRALFDFNGNDEEDLPFKKGDILRIRDKPEEQWWNAEDSEGKRG MIPVPYVEKYRPASASVSALIGGR >ENSMUSP00000090803.3 pep:known chromosome:GRCm38:11:75679311:75700789:1 gene:ENSMUSG00000017776.15 transcript:ENSMUST00000093115.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crk description:v-crk avian sarcoma virus CT10 oncogene homolog [Source:MGI Symbol;Acc:MGI:88508] MAGNFDSEERSSWYWGRLSRQEAVALLQGQRHGVFLVRDSSTSPGDYVLSVSENSRVSHY IINSSGPRPPVPPSPAQPPPGVSPSRLRIGDQEFDSLPALLEFYKIHYLDTTTLIEPVAR SRQGSGVILRQEEAEYVRALFDFNGNDEEDLPFKKGDILRIRDKPEEQWWNAEDSEGKRG MIPVPYVEKYRPASASVSALIGAPDGLISLLRTYEFKKQILYLRYCSSWAGQMAQRLRAL TALPKALSSNPSNHMVAHNHL >ENSMUSP00000116527.1 pep:known chromosome:GRCm38:11:75679542:75700737:1 gene:ENSMUSG00000017776.15 transcript:ENSMUST00000147718.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crk description:v-crk avian sarcoma virus CT10 oncogene homolog [Source:MGI Symbol;Acc:MGI:88508] XSTSPGDYVLSVSENSRVSHYIINSSGPRPPVPPSPAQPPPGVSPSRLRIGDQEFDSLPA LLEFYKIHYLDTTTLIEPVARSRQGSGVILRQEEAEYVRALFDFNGNDEEDLPFKKGDIL RIRDKPEEQWWNAEDSEGKRGMIPVPYVEKYRPASASVSALIGGNQEGSHPQPLGGPEPG PYAQPSVNTPLPNLQNGPIYARVIQKRVPNAYDKTALALELLMV >ENSMUSP00000004203.5 pep:known chromosome:GRCm38:9:20888175:20892178:1 gene:ENSMUSG00000004100.11 transcript:ENSMUST00000004203.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppan description:peter pan homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2178445] MGQSGRSRHQKRNRAQAQLRNLESYAAQPHSFVFTRGRAGRNVRQLSLDVRRVMEPLTAT RLQVRKKNSLKDCVAVAGPLGVTHFLILTKTDNSVYLKLMRLPGGPTLTFQISKYTLIRD VVSSLRRHRMHEQQFNHPPLLVLNSFGPQGMHIKLMATMFQNLFPSINVHTVNLNTIKRC LLINYNPDSQELDFRHYSVKVVPVGASRGMKKLLQEKFPNMSRLQDISELLATGVGLSDS EVEPDGEHNTTELPQAVAGRGNMQAQQSAVRLTEIGPRMTLQLIKIQEGVGNGNVLFHSF VHKTEEELQAILAAKEEKLRLKAQRQNQQAENLQRKQELREAHKKKSLAGIKRARARADG DSDAEDPGAPPEAVGAGQPEDEEDDAEYFRQAVGEEPDEDLFPTAAKRRRQGGPLGKKQR GKEQRPGNKGRGQGGNWQALKLQGRSQRGKAKPRPRATHQDSRPASRRRN >ENSMUSP00000083215.2 pep:known chromosome:GRCm38:5:94314435:94317255:1 gene:ENSMUSG00000095718.2 transcript:ENSMUST00000086050.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6502 description:predicted gene 6502 [Source:MGI Symbol;Acc:MGI:3779601] MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRHIKLIKALVIAWP FHCLPVGALMRTTDVETLQAVLDGVDIRRTIGFHPRRKKLQFLDLRNVHHSFWNIWTDSE DSDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQIWFLKWAQERKGSLYFC CTKMKIWTLPVKALRHIFHVFDPEHIMELELNTEWTLLELTRFAPYFGQMRNLRKVFLAP LHKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLK TLSITYSLVSQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGILETLDL QGCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPL ECYDEFAQVSVERFAQVCQDLMDTLRAIRQPKNSSFATDICHTCGERWVFDQVANLCHCW Q >ENSMUSP00000095086.3 pep:known chromosome:GRCm38:5:94314469:94316977:1 gene:ENSMUSG00000095718.2 transcript:ENSMUST00000097478.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6502 description:predicted gene 6502 [Source:MGI Symbol;Acc:MGI:3779601] MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRHIKLIKALVIAWP FHCLPVGALMRTTDVETLQAVLDGVDIRRTIGFHPRKKLQFLDLRNVHHSFWNIWTDSED SDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQIWFLKWAQERKGSLYFCC TKMKIWTLPVKALRHIFHVFDPEHIMELELNTEWTLLELTRFAPYFGQMRNLRKVFLAPL HKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLKT LSITYSLVSQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGILETLDLQ GCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTAN >ENSMUSP00000088508.4 pep:known chromosome:GRCm38:3:87250758:87263599:-1 gene:ENSMUSG00000015852.13 transcript:ENSMUST00000090986.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrls description:Fc receptor-like S, scavenger receptor [Source:MGI Symbol;Acc:MGI:1933397] MPLCLLLLVFAPVGVQSDWLSISLPHRSYEGDQVVISCTGKNNGDIKRLKYFKDGYHIET YSSASSYTIRNARRGDSGSYSCKADRKFFLFIDTTEETGSKWLNVQELFPAPGLTASPLQ PVEGSSVTLSCNTWLPSDRATTQLRYSFFKDGHTLQSGWTSSKFTISAISKEDSGNYWCE AMTASRSVSKQSHRSYIDVERIPVSQVTMEIQPSRGWGVEGEPLVVEGEPLVLACSVAKG TGLITFSWHRQDTKESVGKKSQRSQRVELEIPTIRESHAGGYYCTADNNYGLIQSAIVNI TVKIPVLNPLLSISVPGVLPFIGDVAELHCEDKRASPPVLYWFYHENITLANTSAPFGGK ASFKLSLTAGHSGNYSCEAENAWGTKRSEVVTLNVTEPPPKVRLVNGPHHCEGRVEVEQE GRWGTVCDDGWDMRDVAVVCRELGCGAAQHTPIAMLYPPAVDEALPVLIQVALCNGTEKT LAECDQVEAFDCGHDEDAGAVCEVLPSTF >ENSMUSP00000115780.1 pep:known chromosome:GRCm38:3:87258939:87263738:-1 gene:ENSMUSG00000015852.13 transcript:ENSMUST00000146512.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrls description:Fc receptor-like S, scavenger receptor [Source:MGI Symbol;Acc:MGI:1933397] MPLCLLLLVFAPVGVQSDWLSISLPHRSYEGDQVVISCTGKNNGDIKRLKYFKDGYHIET YSSASSYTIRNARRGDSGSYSCKADRKFFLFIDTTEETGSKWLNVQELFPAPGLTASPLQ PVEGSSVTLSCNTWLPSDRATTQLRYSFFKDGHTLQSGWTSSKFTISAISKEDSGN >ENSMUSP00000024761.6 pep:known chromosome:GRCm38:17:63057452:63500017:-1 gene:ENSMUSG00000023965.13 transcript:ENSMUST00000024761.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl17 description:F-box and leucine-rich repeat protein 17 [Source:MGI Symbol;Acc:MGI:1354704] MGHLLSKEPRNRPSQKRPRCCSWCRRRRPLLRLPRRALAKASPQPAAPRSRDCFFRGPCM LCFIVHSPGAPASAGLEEEPPLSPPPPPPRDGAYAAVSSQHLARRYAALAAEDCAAAARR FLLSSAAAAAAAASSPASCCKELGLAAAAAWEQQGRSLFLAGVGPVRFLGPLAAVQLFRA PPAPPPQAEPATALEMVCKRKGAGVPACTPCKQPRCGCGGCGGGGGGGGGPAGGGASPPR PPDAGCCQAPEQPPPPLCPAPASPASECAPIVAAAGDTVRAGGTAPSSAQQQPESGDADC QEPPENPCDCHREPPPEIPDINQLPPSILLKIFSNLSLNERCLSASLVCKYWRDLCLDFQ FWKQLDLSSRQQVTDELLEKIASRSQNIIEINISDCRSLSDSGVCVLAFKCPGLLRYTAY RCKQLSDTSIIAVASHCPLLQKVHVGNQDKLTDEGLKQLGSRCRELKDIHFGQCYKISDE GMIVIAKSCLKLQRIYMQENKLVTDQSVKAFAEHCPELQYVGFMGCSVTSKGVIHLTKLR NLSSLDLRHITELDNETVMEIVKRCKNLSSLNLCLNWIINDRCVEVIAKEGQNLKELYLV SCKITDYALIAIGRYSVTIETVDVGWCKEITDQGATLIAQSSKSLRYLGLMRCDKVNELT VEQLVQQYPHITFSTVLQDCKRTLERAYQMGWTPNMSAATS >ENSMUSP00000108459.1 pep:known chromosome:GRCm38:17:63057782:63492753:-1 gene:ENSMUSG00000023965.13 transcript:ENSMUST00000112840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl17 description:F-box and leucine-rich repeat protein 17 [Source:MGI Symbol;Acc:MGI:1354704] MIVIAKSCLKLQRIYMQENKLVTDQSVKAFAEHCPELQYVGFMGCSVTSKGVIHLTKLRN LSSLDLRHITELDNETVMEIVKRCKNLSSLNLCLNWIINDRCVEVIAKEGQNLKELYLVS CKITDYALIAIGRYSVTIETVDVGWCKEITDQGATLIAQSSKSLRYLGLMRCDKVNELTV EQLVQQYPHITFSTVLQDCKRTLERAYQMGWTPNMSAATS >ENSMUSP00000145037.1 pep:known chromosome:GRCm38:10:129774717:129776361:1 gene:ENSMUSG00000050251.3 transcript:ENSMUST00000203598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr809 description:olfactory receptor 809 [Source:MGI Symbol;Acc:MGI:3030643] MRNRTSVTYFILLGLTDDPELQVVIFFFLFLTYLLSITGNLTIITLTLLDSHLKTPMYFF LRNFSFLEISFTSVCNPRFLVSILTKDKSISYNACAAQLFFFIFLGSTEFFLLASMSYDR YVAICKPLHYTTIISNKICHQLIISSWLA >ENSMUSP00000054949.3 pep:known chromosome:GRCm38:10:129775827:129776926:1 gene:ENSMUSG00000050251.3 transcript:ENSMUST00000059891.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr809 description:olfactory receptor 809 [Source:MGI Symbol;Acc:MGI:3030643] MRNRTSVTYFILLGLTDDPELQVVIFFFLFLTYLLSITGNLTIITLTLLDSHLKTPMYFF LRNFSFLEISFTSVCNPRFLVSILTKDKSISYNACAAQLFFFIFLGSTEFFLLASMSYDR YVAICKPLHYTTIISNKICHQLIISSWLAGFLVVFPPLAMGLDLDFCDSNTIDHFTCDSA PLLQISCTDTSTLELMSFILALITLMTTLMLIILSYTCILRTILKFPSAKQREKAFSTCS SHMIVVSISYGSCIFMYVKTSAKAGVALTKGVAMLNTSVAPMLNPFIYTLRNQQVKQAFK DLVRKKLASKLLI >ENSMUSP00000097214.2 pep:known chromosome:GRCm38:2:111269427:111270387:-1 gene:ENSMUSG00000074965.4 transcript:ENSMUST00000099619.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1277 description:olfactory receptor 1277 [Source:MGI Symbol;Acc:MGI:3031111] MEKINHSEISEFIILGLCDSWELQAFFLVIFTSLYLITIFGNIFIVVLIITDLHLHTPMY FLLANLSFIDFCLSSVTTPKMIIDFLKEIKTISFGGCMCQIFFGHFFGGGEMVLLVSMAY DRYVAICKPLHYSNIMSRHMCIGLVMASWMIGFVHSISQLVIIVNLPFCGSRVLDSFFCD IPLVIKLACLDIYVLEILINADSGVLAAICFVLLLVSYFHILTTVCLHSKDGASKALSTC TAHITVVVLFFGPCIFIYLWPVSITWVDKFLAVFYAVITPLLNPAIYTLRNKEIKTAMKR LQC >ENSMUSP00000090059.6 pep:known chromosome:GRCm38:11:116849901:116853094:-1 gene:ENSMUSG00000034120.18 transcript:ENSMUST00000092404.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf2 description:serine/arginine-rich splicing factor 2 [Source:MGI Symbol;Acc:MGI:98284] MSYGRPPPDVEGMTSLKVDNLTYRTSPDTLRRVFEKYGRVGDVYIPRDRYTKESRGFAFV RFHDKRDAEDAMDAMDGAVLDGRELRVQMARYGRPPDSHHSRRGPPPRRYGGGGYGRRSR SPRRRRRSRSRSRSRSRSRSRSRYSRSKSRSRTRSRSRSTSKSRSARRSKSKSSSVSRSR SRSRSRSRSRSPPPVSKRESKSRSRSKSPPKSPEEEGAVSS >ENSMUSP00000134907.1 pep:known chromosome:GRCm38:11:116849906:116852086:-1 gene:ENSMUSG00000034120.18 transcript:ENSMUST00000176834.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf2 description:serine/arginine-rich splicing factor 2 [Source:MGI Symbol;Acc:MGI:98284] XSRSKSPPKSPEEEGAVSS >ENSMUSP00000120086.1 pep:known chromosome:GRCm38:11:116850044:116853072:-1 gene:ENSMUSG00000034120.18 transcript:ENSMUST00000136914.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf2 description:serine/arginine-rich splicing factor 2 [Source:MGI Symbol;Acc:MGI:98284] MSYGRPPPDVEGMTSLKVDNLTYRTSPDTLRRVFEKYGRVGDVYIPRDRYTKESRGFAFV RFHDKRDAEDAMDAMDGAVLDGRELRVQMARYGRPPDSHHSRRGPPPRRYGGGGYGRRSR SPRRRRRSRSRSRSRSRSRSRSRYSRSKSRSRTRSRSRSTSKSRSARRSKSKSSSVSRSR SRSRSRSRSRSPPPVSKRESKSRSRSKSPPKSPEEEGAVSS >ENSMUSP00000140016.1 pep:known chromosome:GRCm38:11:116850054:116853072:-1 gene:ENSMUSG00000034120.18 transcript:ENSMUST00000190993.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf2 description:serine/arginine-rich splicing factor 2 [Source:MGI Symbol;Acc:MGI:98284] MSYGRPPPDVEGMTSLKVDNLTYRTSPDTLRRVFEKYGRVGDVYIPRDRYTKESRGFAFV RFHDKRDAEDAMDAMDGAVLDGRELRVQMARYGRPPDSHHSRRGPPPRRYGGGGYGRRSR SPRRRRRSRSRSRSRSRSRSRSRYSRSKSRSRTRSRSRSTSKSRSARRSKSKSSSVSRSR SRSRSRSRSRSPPPVSKRESKSRSRSKSPPKSPEEEGAVSS >ENSMUSP00000022856.8 pep:known chromosome:GRCm38:15:10486018:10493689:1 gene:ENSMUSG00000022248.14 transcript:ENSMUST00000022856.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad1 description:RAD1 checkpoint DNA exonuclease [Source:MGI Symbol;Acc:MGI:1316678] MPLLTQYNEEEYEQYCLVASLDNVRNLSTVLKAIHFREHATCFATKNGIKVTVENAKCVQ ANAFIQADVFQEFVIQEESVTFRINLTILLDCLSIFGSSPTPGTLTALRMCYQGYGHPLM LFLEEGGVVTVCKITTQEPEETLDFDFCSTNVMNKIILQSEGLREAFSELDMTGDVLQIT VSPDKPYFRLSTFGNAGNSHLDYPKDSDLVEAFHCDKTQVNRYKLSLLKPSTKALALSCK VSIRTDNRGFLSLQYMIRNEDGQICFVEYYCCPDEEVPES >ENSMUSP00000098338.3 pep:known chromosome:GRCm38:15:10486069:10499063:1 gene:ENSMUSG00000022248.14 transcript:ENSMUST00000100775.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad1 description:RAD1 checkpoint DNA exonuclease [Source:MGI Symbol;Acc:MGI:1316678] MPLLTQYNEEEYEQYCLVASLDNVRNLSTVLKAIHFREHATCFATKNGIKVTVENAKCVQ ANAFIQADVFQEFVIQEESVTFRINLTILLDCLSIFGSSPTPGTLTALRMCYQGYGHPLM LFLEEGGVVTVCKITTQEPEETLDFDFCSTNVMNKIILQSEGLREAFSELDMTGDVLQIT VSPDKPYFRLSTFGNAGNSHLDYPKDSDLVEAFHCDKTQVNRISDVKYSCKTWLQLPEGV GYDPLSGLEWTLSERDGEQEVAQWVNVVPVQA >ENSMUSP00000126645.1 pep:known chromosome:GRCm38:15:10486080:10490347:1 gene:ENSMUSG00000022248.14 transcript:ENSMUST00000169519.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad1 description:RAD1 checkpoint DNA exonuclease [Source:MGI Symbol;Acc:MGI:1316678] MPLLTQYNEEEYEQYCLVASLDNVRNLSTVLKAIHFREHATCFATKNGIKVTVENAKCVQ ANAFIQADVFQEFVIQEESVTFRINLTILLDCLSIFGSSPTPGTLTALRMCYQGYGHPLM LFLEEGGVVTVCK >ENSMUSP00000132747.1 pep:known chromosome:GRCm38:15:10486475:10493363:1 gene:ENSMUSG00000022248.14 transcript:ENSMUST00000168408.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad1 description:RAD1 checkpoint DNA exonuclease [Source:MGI Symbol;Acc:MGI:1316678] MPLLTQYNEEEYEQYCLVASLDNVRNLSTVLKAIHFREHATCFATKNGIKVTVENAKCVQ ANAFIQGL >ENSMUSP00000128601.1 pep:known chromosome:GRCm38:15:10486609:10493674:1 gene:ENSMUSG00000022248.14 transcript:ENSMUST00000170100.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad1 description:RAD1 checkpoint DNA exonuclease [Source:MGI Symbol;Acc:MGI:1316678] LKAIHFREHATCFATKNGIKVTVENAKCVQANAFIQADVFQEFVIQEESVTFRINLTILL DCLSIFGSSPTPGTLTALRMCYQGYGHPLMLFLEEGGVVTVCKITTQEPEETLDFDFCST NVMNKIILQSEGLREAFSELDMTGDVLQITVSPDKPYFRKLPS >ENSMUSP00000029682.4 pep:known chromosome:GRCm38:3:89215180:89226837:1 gene:ENSMUSG00000028047.11 transcript:ENSMUST00000029682.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thbs3 description:thrombospondin 3 [Source:MGI Symbol;Acc:MGI:98739] MEKPELWGVLALLLLCSYTCGSQDLQVIDLLTVGESRQMVAVAEKIRTALLTAGDIYLLS TFRLPPKQGGVLFGLYSRQDNTRWLEASVVGKINKVLVRYQREDGKVHAVNLQQAGLADG RTHTALLRLRGPSRPSPGLQLYVDCKLGDQHAGLPALAPIPPAEVSGLEIRTGQKAYLRM QGFVESMKIILGGSMARVGALSECPFQGDDSIHNAVTSALQSILGEQTKALVTQLTLFNQ ILVELRDDIRDQVKEMSLIRNTIMECQVCGFHEQRSHCSPSPCFRGVDCMEVYEYPGYRC GPCPPGLQGNGTHCDDINECAHADPCFPGSSCINTMPGFHCEACPPGYKGTRVSGVGIDY ARASKQVCNDIDECNDGNNGGCDPNSICTNTVGSFKCGPCRLGFLGNQSQGCVPARTCHS PAHSPCHIHAHCLFERNGAVSCQCNVGWAGNGNVCGPDTDIDGYPDQALPCMDNNKHCKQ DNCLLTPNSGQEDADNDGVGDQCDDDADGDGIKNVEDNCRLFPNKDQQNSDTDSFGDACD NCPNVPNNDQKDTDGNGEGDACDNDVDGDGIPNGLDNCPKVPNPLQTDRDEDGVGDACDS CPEMSNPTQTDADSDLVGDVCDTNEDSDGDGHQDTKDNCPQLPNSSQLDSDNDGLGDECD GDDDNDGVPDYIPPGPDNCRLVPNPNQKDSDGNGVGDVCEDDFDNDAVVDPLDVCPESAE VTLTDFRAYQTVILDPEGDAQIDPNWVVLNQGMEIVQTMNSDPGLAVGYTAFNGVDFEGT FHVNTVTDDDYAGFLFSYQDSGRFYVVMWKQTEQTYWQATPFRAVAQPGLQLKAVTSISG PGEHLRNALWHTGHTPDQVRLLWTDPRNVGWRDKTSYRWRLLHRPQVGYIRVKLYEGPQL VADSGVIIDTSMRGGRLGVFCFSQENIIWSNLQYRCNDTVPEDFEPFRRQLLQGRV >ENSMUSP00000116136.1 pep:known chromosome:GRCm38:3:89215205:89218085:1 gene:ENSMUSG00000028047.11 transcript:ENSMUST00000142051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thbs3 description:thrombospondin 3 [Source:MGI Symbol;Acc:MGI:98739] MEKPELWGVLALLLLCSYTCGSQDLQVLVRYQREDGKVHAVNLQQAGLADGRTHTALLRL RGPSRPSPGLQLYVDCKLGDQHAGLPALAPIPPAEVSGLEIRTGQKAYLRMQGFVESMKI ILGGSMARVGA >ENSMUSP00000112912.1 pep:known chromosome:GRCm38:3:89215233:89226804:1 gene:ENSMUSG00000028047.11 transcript:ENSMUST00000119084.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thbs3 description:thrombospondin 3 [Source:MGI Symbol;Acc:MGI:98739] MEKPELWGVLALLLLCSYTCGSQDLQVIDLLTVGESRQMVAVAEKIRTALLTAGDIYLLS TFRLPPKQGGVLFGLYSRQDNTRWLEASVVGKINKVLVRYQREDGKVHAVNLQQAGLADG RTHTALLRLRGPSRPSPGLQLYVDCKLGDQHAGLPALAPIPPAEVSGLEIRTGQKAYLRM QGFVESMKIILGGSMARVGALSECPFQGDDSIHNAVTSALQSILGEQTKALVTQLTLFNQ ILVELRDDIRDQVKEMSLIRNTIMECQVCGFHEQRSHCSPSPCFRGVDCMEVYEYPGYRC GPCPPGLQGNGTHCDDINECAHADPCFPGSSCINTMPGFHCEACPPGYKGTRVSGVGIDY ARASKQVCNDIDECNDGNNGGCDPNSICTNTVGSFKCGPCRLGFLGNQSQGCVPARTCHS PAHSPCHIHAHCLFERNGAVSCQDNCLLTPNSGQEDADNDGVGDQCDDDADGDGIKNVED NCRLFPNKDQQNSDTDSFGDACDNCPNVPNNDQKDTDGNGEGDACDNDVDGDGIPNGLDN CPKVPNPLQTDRDEDGVGDACDSCPEMSNPTQTDADSDLVGDVCDTNEDSDGDGHQDTKD NCPQLPNSSQLDSDNDGLGDECDGDDDNDGVPDYIPPGPDNCRLVPNPNQKDSDGNGVGD VCEDDFDNDAVVDPLDVCPESAEVTLTDFRAYQTVILDPEGDAQIDPNWVVLNQGMEIVQ TMNSDPGLAVGYTAFNGVDFEGTFHVNTVTDDDYAGFLFSYQDSGRFYVVMWKQTEQTYW QATPFRAVAQPGLQLKAVTSISGPGEHLRNALWHTGHTPDQVRLLWTDPRNVGWRDKTSY RWRLLHRPQVGYIRVKLYEGPQLVADSGVIIDTSMRGGRLGVFCFSQENIIWSNLQYRCN DTVPEDFEPFRRQLLQGRV >ENSMUSP00000120337.1 pep:known chromosome:GRCm38:3:89224289:89226703:1 gene:ENSMUSG00000028047.11 transcript:ENSMUST00000136881.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Thbs3 description:thrombospondin 3 [Source:MGI Symbol;Acc:MGI:98739] XGVGDVCEDDFDNDAVVDPLDVCPESAEVTLTDFRAYQTVILDPEGDAQIDPNWVVLNQL PGQWPLLCGHVETDGADLLAGHAFPSSGPTRASAQGSDIHIWPR >ENSMUSP00000128732.2 pep:known chromosome:GRCm38:17:17831004:17839071:1 gene:ENSMUSG00000080316.10 transcript:ENSMUST00000172097.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spaca6 description:sperm acrosome associated 6 [Source:MGI Symbol;Acc:MGI:1922452] MTSQRSLSSPQTRRPSVMGLISLVGSIVLLFLLIFRASTWACLFCFTTYEERLRVCQLFV GREETKINLCRNELEGAFEDLKDMKINYDERSYLHDEFTQMTVSLQEKAARRREPFWLAF KDAAAKLKRTIEHLKKAPACIPPCGLQEVARLFHCSGCFSKLCDLPLDCPVQDMLVNRGD QALFSCIVAFELPESEITYSWKFVGGVRTKDVTYFRDMPGAHGYLARIRPVQPKHGGTFS CVILHDQRPLARLYFYLNVTGPPPPEDTELQVTFREVMNRTPAEPEMIQPWSPSLGELLT NPQALTLGNLFLLAATAALGSASVTLLVWLFFRWYLSGN >ENSMUSP00000119658.1 pep:known chromosome:GRCm38:17:17831064:17843009:1 gene:ENSMUSG00000080316.10 transcript:ENSMUST00000139969.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spaca6 description:sperm acrosome associated 6 [Source:MGI Symbol;Acc:MGI:1922452] ISLVGSIVLLFLLIFRASTWACLFCFTTYEERLRVCQLFVGREETKINLCRNELEGAFED LKDMKINYDERSYLHDEFTQMTVSLQEKAARRREPFWLAFKDAAAKLKRTIEHLKKAPAC IPPCGLQEVARLFHCSGCFSKLCDLPLDCPVQDMLVNRGDQALFSCIVAFELPESEITYS WKFVGGPN >ENSMUSP00000136307.1 pep:known chromosome:GRCm38:17:17831444:17837723:1 gene:ENSMUSG00000080316.10 transcript:ENSMUST00000150302.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spaca6 description:sperm acrosome associated 6 [Source:MGI Symbol;Acc:MGI:1922452] MTVSLQEKAARRREPFWLAFKDAAAKLKRTIEHLKKAPACIPPCGLQEVARLFHCSGCFS KLCDLPLDCPVQDMLVNRGDQALFSCIVAF >ENSMUSP00000117377.1 pep:known chromosome:GRCm38:17:17837694:17839067:1 gene:ENSMUSG00000080316.10 transcript:ENSMUST00000154301.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spaca6 description:sperm acrosome associated 6 [Source:MGI Symbol;Acc:MGI:1922452] XRLCFLVSWLSSCQSRRSLIPGNLWEVRTKDVTYFRDMPGAHGYLARIRPVQPKHGGTFS CVILHDQRPLARLYFYLNGH >ENSMUSP00000084794.3 pep:known chromosome:GRCm38:2:180657964:180702350:-1 gene:ENSMUSG00000038914.15 transcript:ENSMUST00000087517.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dido1 description:death inducer-obliterator 1 [Source:MGI Symbol;Acc:MGI:1344352] MDDKGHLSNEEAPKAIKPTSKEFRKTWGFRRTTIAKREGAGDTEVDPSEQQPQQHNLSLR RSGRQPKRTERVEEFLTTVRRRGKKNVPVSLEDSSEPTSSTVTDVETASEGSVESSSEIR SGPVSDSLGKEHPASSEKAKGGEEEEDTSDSDSDGLTLKELQNRLRRKREQEPVERSLRG SQNRLRKKRREEDSAETGSVQIGSAEQDRPLCKQEPEASQGPVSQSETDDIENQLEGKAT QGNTEENPREAGKPKPECEVYDPNALYCICRQPHNNRFMICCDRCEEWFHGDCVGISEAR GRLLERNGEDYICPNCTILQVQDETNGSATDEQDSGCRSVGADGTDCTSIGTVEQKSGED QGIKGRIEKAANPSGKKKLKIFQPVVEAPGAPKCIGPGCSSVAQPDSVYCSNDCILKHAA ATMRFLSSGKEQKTKPKEKVKTKPEKFSLPKCSVQVGIKISSVHKRLASEKRENPVKKVM LASRSETSGKEAACESSTPSWASDHNYNAVKPEKPEKPTALSPTLLSKSMKDDRRVEDRT MAAVTIPKKALPSASLVGRQTSPRNLVPKKLPPYSNMAGAKPAIKKLPSGFKGTIPKRPW PSATLSGTSARQAGPTPMTAASKKLPGSAAVVGVTRKPMSANVPAASPAPGRLGPVSPAP SQPNSQIRQNIRRSLKEILWKRVNDSDDLIMTENEVGKIALHIEKEMFNLFQVTDNRYKS KYRSIMFNLKDPKNQGLFHRVLREEISLAKLVRMKPEELVSKELSMWTEKPTKSVIESRT KLLNESKKNTTKPETIPDMEDSPPVSDSEEQQESVRAAPEKSAAPLLDVFSSMLKDTTSQ HRAHLFDLNCKICTGQVPSSEDEPAPKKQKLSASSKKEDFKPRHDSSPPNAVPNTADEGI ADTLPENASEPDPESTSSLNQERKCFPESPGDSHPEPSSLGGLSPSSASGGSGVVTTVTM SGRDPRTALSGSCTVTASMAAHLDNSQASETKLDMIKPALTSAVVPKSILAKPSSSPDPR YLSVPPSPSISESRSPPEGDTTLFLSRLNTIWKGFINMQSVAKFVTKAYPVSGCLDYLSE DLPDTIHIGGRIAPKTVWDYVGKLKSSVSKELCLIRFHPATEEEEVAYISLYSYFSSRGR FGVVANNNRHVKDLYLIPLSAKDPVPSKLLPFEGPGLESPRPNIILGLVICQKVKRPSSA GELDKTDEKRTRLQQEELETSVYPKVTAALPSEKKPPKYSVHSIDTAATSTTPPGSPPPP PPLPEPPVLKILSSLKPGSTSTVTAPTTAAITTTASPVTAATSKTASPLEHILQTLFGKK KSFEPSGKESVGSTLSPHQDSKAKGEDTMSAAPLLDPIVQQFGQFSKDKALEEEEEDDRP YDPEEEYNPDRAFHTLLAEPGRPHDVQSVSETAEREEVAYDPEDETILEEAKVTIDDLPN RMCMKVSATERPADFTTDASSASLVEQQKMLEELNKQIEEQKRQLEEQEEALRQQRAAVG VSMAHFSVSDALMSPPPKSSLGKTELFSQEQQAPDPSQGAPNTNHNLDSRQSRDPRQARR LAAENTENESLPRAPTGSTPGPQGTLPARETPAGTAVVQGPGLAAEAKESMAVPWAPGEN AVLRPEHDIQKCEHPGNPVSLPLDTSHLPTAGDGAARPAPPRRVLLPTPPSTTFPPSFPL QPKAQNFSSGSREPFSGPTFMSQETSLGSSQYEDPRGAQSAGKNDSPVADMEDSREPQPR PGESTTSFPQPGQRGGGPQPQFPGQREPAPRTFGMSGHHGPSFPGPRGPVPPYSEENLVP NSDGPRGPPPARFGAQKPPIPSLFSGQHGPPPYGDNRGLSPSYLGGPRGGAPAQFEDRKD PHGEKREFQDTPYNEMTGAPAQCEGPDQAQFMGNRAPFQFGGQRRPLLTQMKGPRGGPPP SQFGAQRGPPPGHFVGPRGPHPSQFENSRGTHPGQFEGARGQAPGFMPGPRGIQPQQFEE QRVNSPPRFAGQRASAPLPYGGPRGPAPFPEKNEQPPSRFHFQGPSSQPVKPPPRPLLEL PSHPPQHRKDRWDEAGPATALPSSAGPGQGHEADGQWATSEFREGKGHEYRSPAFEGRQR ERFEAGSKEKPLDEPEAQGLESRQGRAFEDRRRERERGRNWSRERDWERSRDWDRHREWD KGRDRSSNRDRERDNDRAKEWDRSRERSRNRDRDRERRRDRDRSRSRDRDRDRERARDRD RDRGRDRKDRSKSRESPRDQKPEARTSEGGPAAAQA >ENSMUSP00000099346.1 pep:known chromosome:GRCm38:2:180668288:180709999:-1 gene:ENSMUSG00000038914.15 transcript:ENSMUST00000103057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dido1 description:death inducer-obliterator 1 [Source:MGI Symbol;Acc:MGI:1344352] MDDKGHLSNEEAPKAIKPTSKEFRKTWGFRRTTIAKREGAGDTEVDPSEQQPQQHNLSLR RSGRQPKRTERVEEFLTTVRRRGKKNVPVSLEDSSEPTSSTVTDVETASEGSVESSSEIR SGPVSDSLGKEHPASSEKAKGGEEEEDTSDSDSDGLTLKELQNRLRRKREQEPVERSLRG SQNRLRKKRREEDSAETGSVQIGSAEQDRPLCKQEPEASQGPVSQSETDDIENQLEGKAT QGNTEENPREAGKPKPECEVYDPNALYCICRQPHNNRFMICCDRCEEWFHGDCVGISEAR GRLLERNGEDYICPNCTILQVQDETNGSATDEQDSGCRSVGADGTDCTSIGTVEQKSGED QGIKGRIEKAANPSGKKKLKIFQPVVEAPGAPKCIGPGCSSVAQPDSVYCSNDCILKHAA ATMRFLSSGKEQKTKPKEKVKTKPEKFSLPKCSVQVGIKISSVHKRLASEKRENPVKKVM LASRSETSGKEAACESSTPSWASDHNYNAVKPEKPEKPTALSPTLLSKSMKDDRRVEDRT MAAVTIPKKALPSASLVGRQTSPRNLVPKKLPPYSNMAGAKPAIKKLPSGFKGTIPKRPW PSATLSGTSARQAGPTPMTAASKKLPGSAAVVGVTRKPMSANVPAASPAPGRLGPVSPAP SQPNSQIRQNIRRSLKEILWKRVNDSDDLIMTENEVGKIALHIEKEMFNLFQVTDNRYKS KYRSIMFNLKDPKNQGLFHRVLREEISLAKLVRMKPEELVSKELSMWTEKPTKSVIESRT KLLNESKKNTTKPETIPDMEDSPPVSDSEEQQESVRAAPEKSAAPLLDVFSSMLKDTTSQ HRAHLFDLNCKICTGQVPSSEDEPAPKKQKLSASSKKEDFKPRHDSSPPNAVPNTADEGI ADTLPENASEPDPESTSSLNQERKCFPESPGDSHPEPSSLGGLSPSSASGGSGVVTTVTM SGRDPRTALSGSCTVTASMAAHLDNSQASETKLDMIKPALTSAVVPKSILAKPSSSPDPR YLSVPPSPSISESRSPPEGDTTLFLSRLNTIWKGFINMQSVAKFVTKAYPVSGCLDYLSE DLPDTIHIGGRIAPKTVWDYVGKLKSSVSKELCLIRFHPATEEEEVAYISLYSYFSSRGR FGVVANNNRHVKDLYLIPLSAKDPVPSKLLPFEGPGKHPVSGR >ENSMUSP00000048315.5 pep:known chromosome:GRCm38:2:180668290:180675955:-1 gene:ENSMUSG00000038914.15 transcript:ENSMUST00000037764.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dido1 description:death inducer-obliterator 1 [Source:MGI Symbol;Acc:MGI:1344352] MAAVTIPKKALPSASLVGRQTSPRNLVPKKLPPYSNMAGAKPAIKKLPSGFKGTIPKRPW PSATLSGTSARQAGPTPMTAASKKLPGSAAVVGVTRKPMSANVPAASPAPGRLGPVSPAP SQPNSQIRQNIRRSLKEILWKRVNDSDDLIMTENEVGKIALHIEKEMFNLFQVTDNRYKS KYRSIMFNLKDPKNQGLFHRVLREEISLAKLVRMKPEELVSKELSMWTEKPTKSVIESRT KLLNESKKNTTKPETIPDMEDSPPVSDSEEQQESVRAAPEKSAAPLLDVFSSMLKDTTSQ HRAHLFDLNCKICTGQVPSSEDEPAPKKQKLSASSKKEDFKPRHDSSPPNAVPNTADEGI ADTLPENASEPDPESTSSLNQERKCFPESPGDSHPEPSSLGGLSPSSASGGSGVVTTVTM SGRDPRTALSGSCTVTASMAAHLDNSQASETKLDMIKPALTSAVVPKSILAKPSSSPDPR YLSVPPSPSISESRSPPEGDTTLFLSRLNTIWKGFINMQSVAKFVTKAYPVSGCLDYLSE DLPDTIHIGGRIAPKTVWDYVGKLKSSVSKELCLIRFHPATEEEEVAYISLYSYFSSRGR FGVVANNNRHVKDLYLIPLSAKDPVPSKLLPFEGPGKHPVSGR >ENSMUSP00000099345.3 pep:known chromosome:GRCm38:2:180669213:180702350:-1 gene:ENSMUSG00000038914.15 transcript:ENSMUST00000103056.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dido1 description:death inducer-obliterator 1 [Source:MGI Symbol;Acc:MGI:1344352] MDDKGHLSNEEAPKAIKPTSKEFRKTWGFRRTTIAKREGAGDTEVDPSEQQPQQHNLSLR RSGRQPKRTERVEEFLTTVRRRGKKNVPVSLEDSSEPTSSTVTDVETASEGSVESSSEIR SGPVSDSLGKEHPASSEKAKGGEEEEDTSDSDSDGLTLKELQNRLRRKREQEPVERSLRG SQNRLRKKRREEDSAETGSVQIGSAEQDRPLCKQEPEASQGPVSQSETDDIENQLEGKAT QGNTEENPREAGKPKPECEVYDPNALYCICRQPHNNRFMICCDRCEEWFHGDCVGISEAR GRLLERNGEDYICPNCTILQVQDETNGSATDEQDSGCRSVGADGTDCTSIGTVEQKSGED QGIKGRIEKAANPSGKKKLKIFQPVVEAPGAPKCIGPGCSSVAQPDSVYCSNDCILKHAA ATMRFLSSGKEQKTKPKEKVKTKPEKFSLPKCSVQVGIKISSVHKRLASEKRENPVKKVM LASRSETSGKEAACESSTPSWASDHNYNAVKPEKPEKPTALSPTLLSKSMKDDRRVEDRT MAAVTIPKKALPSASLVGRQTSPRNLVPKKLPPYSNMAGAKPAIKKLPSGFKGTIPKRPW PSATLSGTSARQAGPTPMTAASKKLPGSAAVVGVTRKPMSANVPAASPAPGRLGPVSPAP SQPNSQIRQNIRRSLKEILWKRVNDSDDLIMTENEVGKIALHIEKEMFNLFQVTDNRYKS KYRSIMFNLKDPKNQGLFHRVLREEISLAKLVRMKPEELVSKELSMWTEKPTKSVIESRT KLLNESKKNTTKPETIPDMEDSPPVSDSEEQQESVRAAPEKSAAPLLDVFSSMLKDTTSQ HRAHLFDLNCKICTGQVPSSEDEPAPKKQKLSASSKKEDFKPRHDSSPPNAVPNTADEGI ADTLPENASEPDPESTSSLNQERKCFPESPGDSHPEPSSLGGLSPSSASGGSGVVTTVTM SGRDPRTALSGSCTVTASMAAHLDNSQASETKLDMIKPALTSAVVPKSILAKPSSSPDPR YLSVPPSPSISESRSPPEGDTTLFLSRLNTIWKGFINMQSVAKFVTKAYPVSGCLDYLSE DLPDTIHIGGRIAPKTVWDYVGKLKSSVSKELCLIRFHPATEEEEVAYISLYSYFSSRGR FGVVANNNRHVKDLYLIPLSAKDPVPSKLLPFEGPGKHPVSGR >ENSMUSP00000099344.1 pep:known chromosome:GRCm38:2:180681058:180709999:-1 gene:ENSMUSG00000038914.15 transcript:ENSMUST00000103055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dido1 description:death inducer-obliterator 1 [Source:MGI Symbol;Acc:MGI:1344352] MDDKGHLSNEEAPKAIKPTSKEFRKTWGFRRTTIAKREGAGDTEVDPSEQQPQQHNLSLR RSGRQPKRTERVEEFLTTVRRRGKKNVPVSLEDSSEPTSSTVTDVETASEGSVESSSEIR SGPVSDSLGKEHPASSEKAKGGEEEEDTSDSDSDGLTLKELQNRLRRKREQEPVERSLRG SQNRLRKKRREEDSAETGSVQIGSAEQDRPLCKQEPEASQGPVSQSETDDIENQLEGKAT QGNTEENPREAGKPKPECEVYDPNALYCICRQPHNNRFMICCDRCEEWFHGDCVGISEAR GRLLERNGEDYICPNCTILQVQDETNGSATDEQDSGCRSVGADGTDCTSIGTVEQKSGED QGIKGRIEKAANPSGKKKLKIFQPVVEAPGAPKCIGPGCSSVAQPDSVYCSNDCILKHAA ATMRFLSSGKEQKTKPKEKVKTKPEKFSLPKCSVQVGIKISSVHKRLASEKRENPVKKVM LASRSETSGKEAACESSTPSWASDHNYNAVKPEKPEKPTALSPTLLSKCTYHPKAGFPGP SHHLGGCLGLSRTRVLGVLVLIVASSSLPARSRYQDASGPQVFLPSLWSLSGWFLKSCVG LMLEAISYFSFRPW >ENSMUSP00000119689.1 pep:known chromosome:GRCm38:2:180681073:180702350:-1 gene:ENSMUSG00000038914.15 transcript:ENSMUST00000130986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dido1 description:death inducer-obliterator 1 [Source:MGI Symbol;Acc:MGI:1344352] MDDKGHLSNEEAPKAIKPTSKEFRKTWGFRRTTIAKREGAGDTEVDPSEQQPQQHNLSLR RSGRQPKRTERVEEFLTTVRRRGKKNVPVSLEDSSEPTSSTVTDVETASEGSVESSSEIR SGPVSDSLGKEHPASSEKAKGGEEEEDTSDSDSDGLTLKELQNRLRRKREQEPVERSLRG SQNRLRKKRREEDSAETGSVQIGSAEQDRPLCKQEPEASQGPVSQSETDDIENQLEGKAT QGNTEENPREAGKPKPECEVYDPNALYCICRQPHNNRFMICCDRCEEWFHGDCVGISEAR GRLLERNGEDYICPNCTILQVQDETNGSATDEQDSGCRSVGADGTDCTSIGTVEQKSGED QGIKGRIEKAANPSGKKKLKIFQPVVEAPGAPKCIGPGCSSVAQPDSVYCSNDCILKHAA ATMRFLSSGKEQKTKPKEKVKTKPEKFSLPKCSVQVGIKISSVHKRLASEKRENPVKKVM LASRSETSGKEAACESSTPSWASDHNYNAVKPEKPEKPTALSPTLLSKCTYHPKAGFPGP SHHLGGCLGLSRTRVLGVLVLIVASSSLPARSRYQDASGPQVFLPSLWSLSGWFLKSCVG LMLEAISYFSFRPW >ENSMUSP00000124518.1 pep:known chromosome:GRCm38:9:15051841:15112108:-1 gene:ENSMUSG00000031936.9 transcript:ENSMUST00000159985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hephl1 description:hephaestin-like 1 [Source:MGI Symbol;Acc:MGI:2685355] MFLKQPGGCILLQFLGLLGLVGAVTRTYYIGIVEEYWNYVPQGKDVITGKSFSEDKLATL FLERGPNRIGGIYKKAVYRHFTDGSYSTEIPKPPWLGFLGPILRAEVGDVIVIHLMNFAS RPFSLHPHGVFYDKDSEGALYPDGTSGRNKEDDMVPPGKNYTYVWPVREEYAPAPADANC LTWVYHSHIDAPKDICSGLIGPLLVCKEGVLNRYSGMRTDVDREFVIMFTLVDENQSWYL DDNIKQFCTNPNSVDKSDAVFQRSNKMHALNGFLFGNMPEPEMCVGESVSWHLFGMGNEI DIHSIYFYGNTFITRGHRADVVNLFPATFLTTEMIVENPGKWMITCQVSDHLQAGMLGQY SVGNCRGNAPHPKVQGQQRRYFIAAEKVLWDYGPQGYDKFTGFPLNTSGSDSAVYFTQAD NRIGGKYWKARYTEYVDATFSRRKMPSDSEAHLGILGPVIKAEVGDILLVTFANKADKVY SILPHGVFYDKASDAAPNVDGFLKPGAHVKPGETFTYRWTVPESVSPTDEDPPCLTYLYF SAVQPIKDTSAGLVGPLLVCKKGTLNADGTQKGIDKEFYLLFTVFDENFSSYLDENIKKF TWHPFSVDKEDKEFVKSNRMHAVNGYMYGSQPGLSMCKKDRVSWHLIGMGTDTDMHGVYF QGNTIHLRGTHRDSLALFPHMATTAYMQPDHSGIFKVFCSTLPHFTRGMGQIYEISSCGN RDPSEPPYGMLRTFFIAAEEVEWDYAPNKNWEFEKQHLDAGGERHGDIFMNHTENWIGSQ YRKVVYREYTNGEFVEIKARPPQEEHLQLLGPMIHAEVGDSILIIFKNKASRPYSIAAQG VEDSNNGKLLNVPVTKPGEIKTYRWNVPKRSGPGPSDPNCIPWVYFSTANFVKDTYSGLM GPLITCREGVLNEKGRRSDVDYEFALLFLVFNENESWYLDDNIKKYLNKDPRDFKHTDDF EESNKMHAINGKIFGNLPGLIMTEDSMTNWYLLGIGSEVDIHTIHYHAESFLFKIDKSYR EDVYDLFPGTFQTIELFADHPGTWLLHCHVSDHIHAGMETTYTVLRNIDNRIPYSTKTPS GAGSHAVTVPSQEQPGKEELYFFGKNLRPRGAKAALVILFILGLLLLVATVVLALRLRSS RRQMAYREVQSCALPTDAL >ENSMUSP00000053176.5 pep:known chromosome:GRCm38:14:20658946:20668306:-1 gene:ENSMUSG00000039376.13 transcript:ENSMUST00000057090.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo2l description:synaptopodin 2-like [Source:MGI Symbol;Acc:MGI:1916010] MGAEEEVQVTLAGGAPWGFRLQGGTEQRKPLQIRRRSQAGRAGLRERDQLLAINGVSCTN FSHASAMTLIDASGRQLVLTVRRVTDEGSVRSPSPGELQVLSPLSPLSPEPPGAPVSQAL QPTSLRSPPDSEAYYGETDSDVDGPATQEKPRRTRRRGPARPSLPGAPPDEVYLSDSPAE PAPVKTGSPSQGDSRVSSPSWEEGAALQPPPAEALLLPHGPLRPGPHLIPMVGPVPHPVA EDLTTTYTQKAKQAKLQRAESLQEKSVKEARTKCRTIASLLTAAPNPHSKGVLMFKKRRQ RAKKYTLVSFGAAAGTGTEEEDGIPPTSESELDEETFSDARSLTNQSDWDSPYLDMELAR AGLGTAESQNSGLGGQLSEVSGRGVQLFEQQRQRVASSSQELAQVGPAAMLNGQSLQSPP RAQSAPPEAAVLPLSPLSVPAVSPTPFFPDGGAPIPAPSIFNRSARPFTPGLQGQRSGTT SVIFRPLAPKKVNEGLGSTSPAPSPFAAPPQGPTPLPSFTTVVPSHTPVSGASSSTQRSS GPVTATSSLYIPAPSRPVTPGGAPEPPTPPSAAAMTSTASIFLSTPLRNSARPEAPGPAV PEPASVREQRISVPAARTGILQEARRRGTRKQMFRPGKEETKNSPNPELLSLVQNLDEKP RAGGAESGPEEDALSLGAEACNFMQPLGGRSYKTLPQVSPKTPPPMAPKTPPPTTPKTPP PVAPKPGSRGLLDGLVNGSTPMVGIPEPPRLQGRGGELFAKRQSRADRYVVEATSGSSLN PGLRPRSPSPTPSLPPSWKYSPNIRAPPPIAYNPLLSPFFPQAARTLPNKAQSQGPRVTP KQGIKALDFMRHQPYQLKTAMFCFDEGSSTPGPTSGPPKTARVQEIRRFSTPAPQPTAEP LAPTVLVPRAATTLDEPIWRAELASTPVPNPDHQESLRSFAAAPSSCGFQVARPRFSATR TGLQAHVWRPGAGHQ >ENSMUSP00000112792.1 pep:known chromosome:GRCm38:14:20659418:20668354:-1 gene:ENSMUSG00000039376.13 transcript:ENSMUST00000117386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo2l description:synaptopodin 2-like [Source:MGI Symbol;Acc:MGI:1916010] MGAEEEVQVTLAGGAPWGFRLQGGTEQRKPLQVSKIRRRSQAGRAGLRERDQLLAINGVS CTNFSHASAMTLIDASGRQLVLTVRRVTDEGSVRSPSPGELQVLSPLSPLSPEPPGAPVS QALQPTSLRSPPDSEAYYGETDSDVDGPATQEKPRRTRRRGPARPSLPGAPPDEVYLSDS PAEPAPVKTGSPSQGDSRVSSPSWEEGAALQPPPAEALLLPHGPLRPGPHLIPMVGPVPH PVAEDLTTTYTQKAKQAKLQRAESLQEKSVKEARTKCRTIASLLTAAPNPHSKGVLMFKK RRQRAKKYTLVSFGAAAGTGTEEEDGIPPTSESELDEETFSDARSLTNQSDWDSPYLDME LARAGLGTAESQNSGLGGQLSEVSGRGVQLFEQQRQRVASSSQELAQVGPAAMLNGQSLQ SPPRAQSAPPEAAVLPLSPLSVPAVSPTPFFPDGGAPIPAPSIFNRSARPFTPGLQGQRS GTTSVIFRPLAPKKVNEGLGSTSPAPSPFAAPPQGPTPLPSFTTVVPSHTPVSGASSSTQ RSSGPVTATSSLYIPAPSRPVTPGGAPEPPTPPSAAAMTSTASIFLSTPLRNSARPEAPG PAVPEPASVREQRISVPAARTGILQEARRRGTRKQMFRPGKEETKNSPNPELLSLVQNLD EKPRAGGAESGPEEDALSLGAEACNFMQPLGGRSYKTLPQVSPKTPPPMAPKTPPPTTPK TPPPVAPKPGSRGLLDGLVNGSTPMVGIPEPPRLQGRGGELFAKRQSRADRYVVEATSGS SLNPGLRPRSPSPTPSLPPSWKYSPNIRAPPPIAYNPLLSPFFPQAARTLPNKAQSQGPR VTPKQGIKALDFMRHQPYQLKTAMFCFDEGSSTPGPTSGPPKTARVQEIRRFSTPAPQPT AEPLAPTVLVPRAATTLDEPIWRAELASTPVPNPDHQESLRSFAAAPSSCGFQVARPRFS ATRTGLQAHVWRPGAGHQ >ENSMUSP00000112760.1 pep:known chromosome:GRCm38:14:20658948:20664574:-1 gene:ENSMUSG00000039376.13 transcript:ENSMUST00000119483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo2l description:synaptopodin 2-like [Source:MGI Symbol;Acc:MGI:1916010] METTIQEPLGQASCDKAPAPELQDPFYAELQRAESLQEKSVKEARTKCRTIASLLTAAPN PHSKGVLMFKKRRQRAKKYTLVSFGAAAGTGTEEEDGIPPTSESELDEETFSDARSLTNQ SDWDSPYLDMELARAGLGTAESQNSGLGGQLSEVSGRGVQLFEQQRQRVASSSQELAQVG PAAMLNGQSLQSPPRAQSAPPEAAVLPLSPLSVPAVSPTPFFPDGGAPIPAPSIFNRSAR PFTPGLQGQRSGTTSVIFRPLAPKKVNEGLGSTSPAPSPFAAPPQGPTPLPSFTTVVPSH TPVSGASSSTQRSSGPVTATSSLYIPAPSRPVTPGGAPEPPTPPSAAAMTSTASIFLSTP LRNSARPEAPGPAVPEPASVREQRISVPAARTGILQEARRRGTRKQMFRPGKEETKNSPN PELLSLVQNLDEKPRAGGAESGPEEDALSLGAEACNFMQPLGGRSYKTLPQVSPKTPPPM APKTPPPTTPKTPPPVAPKPGSRGLLDGLVNGSTPMVGIPEPPRLQGRGGELFAKRQSRA DRYVVEATSGSSLNPGLRPRSPSPTPSLPPSWKYSPNIRAPPPIAYNPLLSPFFPQAART LPNKAQSQGPRVTPKQGIKALDFMRHQPYQLKTAMFCFDEGSSTPGPTSGPPKTARVQEI RRFSTPAPQPTAEPLAPTVLVPRAATTLDEPIWRAELASTPVPNPDHQESLRSFAAAPSS CGFQVARPRFSATRTGLQAHVWRPGAGHQ >ENSMUSP00000143886.1 pep:known chromosome:GRCm38:5:94377317:94385079:1 gene:ENSMUSG00000073497.6 transcript:ENSMUST00000202253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA792892 description:expressed sequence AA792892 [Source:MGI Symbol;Acc:MGI:2140789] MSGQTPPTRTLLTHEALIKSSLEELPTVVFPALFKEVFAGRHTNLLKAMVAAWPFPCLPV GPLIKKPNLETLQALLDGVDMRLTREFHPRCLMTPFETFSITQCLISQRDFDFFSCSHNL FKLKHLEIRGMILYALDLMPLRGLLQKVADTLEFLDFQWCRMKDSQINALLPALSQCTHL NQINFYNNDFSMSTLKELLQHTANWSKMNVEQCPAPLQCYNELGHVSVERFVQLCQELMY TLRAIRQPKSISFATDSCHKCCKPCVYDQDPILCSCFQ >ENSMUSP00000095085.3 pep:known chromosome:GRCm38:5:94339749:94385010:1 gene:ENSMUSG00000073497.6 transcript:ENSMUST00000097477.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA792892 description:expressed sequence AA792892 [Source:MGI Symbol;Acc:MGI:2140789] MSGQTPPTRTLLTHEALIKSSLEELPTVVFPALFKEVFAGRHTNLLKAMVAAWPFPCLPV GPLIKKPNLETLQALLDGVDMRLTREFHPRCLMTPFETFSITQCLISQRDFDFFSCSHNL FKLKHLEIRGMILYALDLMPLRGLLQKVADTLEFLDFQWCRMKDSQINALLPALSQCTHL NQINFYNNDFSMSTLKELLQHTANWSKMNVEQCPAPLQCYNELGHVSVERFVQLCQELMY TLRAIRQPKSISFATDSCHKCCKPCVYDQDPILCSCFQ >ENSMUSP00000134593.1 pep:known chromosome:GRCm38:13:44729535:44848340:1 gene:ENSMUSG00000038518.15 transcript:ENSMUST00000174068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jarid2 description:jumonji, AT rich interactive domain 2 [Source:MGI Symbol;Acc:MGI:104813] MSKERPKRNIIQKKYDDSDGIPWSEERVVRKVLYLSLKEFKNAQKRQHGEGLAGSLKAVN GLLGNAQAKALGPASEQSEN >ENSMUSP00000133653.1 pep:known chromosome:GRCm38:13:44729795:44823510:1 gene:ENSMUSG00000038518.15 transcript:ENSMUST00000172830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jarid2 description:jumonji, AT rich interactive domain 2 [Source:MGI Symbol;Acc:MGI:104813] MSKERPKRNIIQKKYDDSDGIPWSEERVVRKVLYLSLKEFKNAQKRQHGEGLAGSLKAV >ENSMUSP00000134675.1 pep:known chromosome:GRCm38:13:44730774:44920108:1 gene:ENSMUSG00000038518.15 transcript:ENSMUST00000173704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jarid2 description:jumonji, AT rich interactive domain 2 [Source:MGI Symbol;Acc:MGI:104813] MSKERPKRNIIQKKYDDSDGIPWSEERVVRKVLYLSLKEFKNAQKRQHGEGLAGSLKAVN GLLGNAQAKALGPASEQSENEKDDASQVSSTSNDVSSSDFEEGPSRKRPRLQAQRKFAQS QPNSPSTTPVKIVEPLLPPPATQISDLSKRKPKTEDFLTFLCLRGSPALPNSMVYFGSSQ DEEDVEEEDDETEDVKATTNNASSSCQSTPRKGKTHKHVHNGHVFNGSSRSAREKEPAHK HRSKEATPGKEKHSEPRADSRREQASGAQPTAASAAASSAKGLAANHQPPPSHRSAQDLR KQVSKVNGVTRMSSLGAGTNSAKKIREVRPSPSKTVKYTATVTKGTVTYTKAKRELVKET KPNHHKPSSAVNHTISGKTESSNAKTRKQVLSLGGASKSTGPAASGLKASSRLNPKSCTK EVGGRQLREGLRNSKRRLEEAQQVDKPQSPPKKMKGVAGNAEAPGKKASAASGEKSLLNG HVKKEVPERSLERNRPKRAAAGKNMLGKQAHGKTEGTPCENRSTSQPESSHKPHDPQGKP EKGSGKSGWAAMDEIPVLRPSAKEFHDPLIYIESVRAQVEKYGMCRVIPPPDWRPECKLN DEMRFVTQIQHIHKLGRRWGPNVQRLACIKKHLRSQGITMDELPLIGGCELDLACFFRLI NEMGGMQQVTDLKKWNKLADMLRIPKTAQDRLAKLQEAYCQYLLSYDSLSPEEHRRLEKE VLMEKEILEKRKGPLEGHTESDHHKFHSLPRFEPKNGLVHGVTPRNGFRSKLKEVGRAPL KTGRRRLFAQEKEVVKEEEEDKGVLNDFHKCIYKGRSVSLTTFYRTARNIMNMCFSKEPA PAEIEQEYWRLVEEKDCHVAVHCGKVDTNTHGSGFPVGKSEPFSRHGWNLTVLPNNTGSI LRHLGAVPGVTIPWLNIGMVFSTSCWSRDQNHLPYIDYLHTGADCIWYCIPAEEENKLED VVHTLLQGNGTPGLQMLESNVMISPEVLCKKGIKVHRTVQQSGQFVVCFPGSFVSKVCCG YNVSETVHFATTQWTSMGFETAKEMKRRHIAKPFSMEKLLYQIAQAEAKKENGPTLSTIS ALLDELRDTELRQRRLLFEAGLHSSARYGSHDGNSTVADGKKKPRKWLQLETSERRCQIC QHLCYLSMVVQENENVVFCLECALRHVEKQKSCRGLKLMYRYDEEQIISLVNQICGKVSG KHGGIENCLNKPTPKRGPRKRATVDVPPSRLPSS >ENSMUSP00000037774.7 pep:known chromosome:GRCm38:13:44730823:44920995:1 gene:ENSMUSG00000038518.15 transcript:ENSMUST00000044608.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jarid2 description:jumonji, AT rich interactive domain 2 [Source:MGI Symbol;Acc:MGI:104813] MSKERPKRNIIQKKYDDSDGIPWSEERVVRKVLYLSLKEFKNAQKRQHGEGLAGSLKAVN GLLGNAQAKALGPASEQSENEKDDASQVSSTSNDVSSSDFEEGPSRKRPRLQAQRKFAQS QPNSPSTTPVKIVEPLLPPPATQISDLSKRKPKTEDFLTFLCLRGSPALPNSMVYFGSSQ DEEDVEEEDDETEDVKATTNNASSSCQSTPRKGKTHKHVHNGHVFNGSSRSAREKEPAHK HRSKEATPGKEKHSEPRADSRREQASGAQPTAASAAASSAKGLAANHQPPPSHRSAQDLR KQVSKVNGVTRMSSLGAGTNSAKKIREVRPSPSKTVKYTATVTKGTVTYTKAKRELVKET KPNHHKPSSAVNHTISGKTESSNAKTRKQVLSLGGASKSTGPAASGLKASSRLNPKSCTK EVGGRQLREGLRNSKRRLEEAQQVDKPQSPPKKMKGVAGNAEAPGKKASAASGEKSLLNG HVKKEVPERSLERNRPKRAAAGKNMLGKQAHGKTEGTPCENRSTSQPESSHKPHDPQGKP EKGSGKSGWAAMDEIPVLRPSAKEFHDPLIYIESVRAQVEKYGMCRVIPPPDWRPECKLN DEMRFVTQIQHIHKLGRRWGPNVQRLACIKKHLRSQGITMDELPLIGGCELDLACFFRLI NEMGGMQQVTDLKKWNKLADMLRIPKTAQDRLAKLQEAYCQYLLSYDSLSPEEHRRLEKE VLMEKEILEKRKGPLEGHTESDHHKFHSLPRFEPKNGLVHGVTPRNGFRSKLKEVGRAPL KTGRRRLFAQEKEVVKEEEEDKGVLNDFHKCIYKGRSVSLTTFYRTARNIMNMCFSKEPA PAEIEQEYWRLVEEKDCHVAVHCGKVDTNTHGSGFPVGKSEPFSRHGWNLTVLPNNTGSI LRHLGAVPGVTIPWLNIGMVFSTSCWSRDQNHLPYIDYLHTGADCIWYCIPAEEENKLED VVHTLLQGNGTPGLQMLESNVMISPEVLCKKGIKVHRTVQQSGQFVVCFPGSFVSKVCCG YNVSETVHFATTQWTSMGFETAKEMKRRHIAKPFSMEKLLYQIAQAEAKKENGPTLSTIS ALLDELRDTELRQRRLLFEAGLHSSARYGSHDGNSTVADGKKKPRKWLQLETSERRCQIC QHLCYLSMVVQENENVVFCLECALRHVEKQKSCRGLKLMYRYDEEQIISLVNQICGKVSG KHGGIENCLNKPTPKRGPRKRATVDVPPSRLPSS >ENSMUSP00000134658.1 pep:known chromosome:GRCm38:13:44730838:44903258:1 gene:ENSMUSG00000038518.15 transcript:ENSMUST00000173367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jarid2 description:jumonji, AT rich interactive domain 2 [Source:MGI Symbol;Acc:MGI:104813] MMPPKCPLLATMLVLQILKKGRRGKGSPALPNSMVYFGSSQDEEDVEEEDDETEDVKATT NNASSSCQSTPRKGKTHKHVHNGHVFNGSSRSAREKEPAHKHRSKEATPGKEKHSEPRAD SRREQASGAQPTAASAAASSAKGLAANHQPPPSHRSAQDLRKQVSKVNGVTRMSSLGAGT NSAKKIREVRPSPSKTVKYTATVTKGTVTYTKAKRELVKETKPNHHKPSSAVNHTISGKT ESSNAKTRKQVLSLGGASKSTGPAASGLKASSRLNPKSCTKEVGGRQLREGLRNSKRRLE EAQQVDKPQSPPKKMKGVAGNAEAPGKKASAASGEKSLLNGHVKKEVPERSLERNRPKRA AAGKNMLGKQAHGKTEGTPCENRSTSQPESSHKPHDPQGKPEKGSGKSGWAAMDEIPVLR PSAKEFHDPLIYIESVRAQVEKYGMCRVIPPPDWRPECKLNDEMRFVTQIQHIHKLGRRW GPNVQRLACIKKHLRSQGITMDELPLI >ENSMUSP00000134205.1 pep:known chromosome:GRCm38:13:44731271:44921643:1 gene:ENSMUSG00000038518.15 transcript:ENSMUST00000173246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jarid2 description:jumonji, AT rich interactive domain 2 [Source:MGI Symbol;Acc:MGI:104813] MSKERPKRNIIQKKYDDSDGIPWSEERVVRKVLYLSLKEFKNAQKRQHGEGLAGSLKAVN GLLGNAQAKALGPASEQSENEKDDASQVSSTSNDVSSSDFEEGPSRKRPRLQAQRKFAQS QPNSPSTTPVKIVEPLLPPPATQISDLSKRKPKTEDFLTFLCLRGSPALPNSMVYFGSSQ DEEDVEEEDDETEDVKATTNNASSSCQSTPRKGKTHKHVHNGHVFNGSSRSAREKEPAHK HRSKEATPGKEKHSEPRADSRREQASGAQPTAASAAASSAKGLAANHQPPPSHRSAQDLR KQVSKVNGVTRMSSLGAGTNSAKKIREVRPSPSKTVKYTATVTKGTVTYTKAKRELVKET KPNHHKPSSAVNHTISGKTESSNAKTRKQVLSLGGASKSTGPAASGLKASSRLNPKSCTK EVGGRQLREGLRNSKRRLEEAQQVDKPQSPPKKMKGVAGNAEAPGKKASAASGEKSLLNG HVKKEVPERSLERNRPKRAAAGKNMLGKQAHGKTEGTPCENRSTSQPESSHKPHDPQGKP EKGSGKSGWAAMDEIPVLRPSAKEFHDPLIYIESVRAQVEKYGMCRVIPPPDWRPECKLN DEMRFVTQIQHIHKLGRRWGPNVQRLACIKKHLRSQGITMDELPLIGGCELDLACFFRLI NEMGGMQQVTDLKKWNKLADMLRIPKTAQDRLAKLQEAYCQYLLSYDSLSPEEHRRLEKE VLMEKEILEKRKGPLEGHTESDHHKFHSLPRFEPKNGLVHGVTPRNGFRSKLKEVGRAPL KTGRRRLFAQEKEVVKEEEEDKGVLNDFHKCIYKGRSVSLTTFYRTARNIMNMCFSKEPA PAEIEQEYWRLVEEKDCHVAVHCGKVDTNTHGSGFPVGKSEPFSRHGWNLTVLPNNTGSI LRHLGAVPGVTIPWLNIGMVFSTSCWSRDQNHLPYIDYLHTGADCIWYCIPAEEENKLED VVHTLLQGNGTPGLQMLESNVMISPEVLCKKGIKVHRTVQQSGQFVVCFPGSFVSKVCCG YNVSETVHFATTQWTSMGFETAKEMKRRHIAKPFSMEKLLYQIAQAEAKKENGPTLSTIS ALLDELRDTELRQRRLLFEAGLHSSARYGSHDGNSTVADGKKKPRKWLQLETSERRCQIC QHLCYLSMVVQENENVVFCLECALRHVEKQKSCRGLKLMYRYDEEQIISLVNQICGKVSG KHGGIENCLNKPTPKRGPRKRATVDVPPSRLPSS >ENSMUSP00000133812.1 pep:known chromosome:GRCm38:13:44731287:44823403:1 gene:ENSMUSG00000038518.15 transcript:ENSMUST00000174086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jarid2 description:jumonji, AT rich interactive domain 2 [Source:MGI Symbol;Acc:MGI:104813] MSKERPKRNIIQKKYDDSDGIPW >ENSMUSP00000134276.1 pep:known chromosome:GRCm38:13:44731598:44884748:1 gene:ENSMUSG00000038518.15 transcript:ENSMUST00000172977.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jarid2 description:jumonji, AT rich interactive domain 2 [Source:MGI Symbol;Acc:MGI:104813] MSKERPKRNIIQKKYDDSDGIPWSEERVVRKVLYLSLKEFKNAQKRQHGEGLAGSLKAVN GPGCKHKGSLLNLSRIVPAQLQ >ENSMUSP00000134630.1 pep:known chromosome:GRCm38:13:44840691:44914319:1 gene:ENSMUSG00000038518.15 transcript:ENSMUST00000173906.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jarid2 description:jumonji, AT rich interactive domain 2 [Source:MGI Symbol;Acc:MGI:104813] MAAPRVCQVQFLVAYLEEPGIEGLLGNAQAKALGPASEQSENEKDDASQVSSTSNDVSSS DFEEGPSRKRPRLQAQRKFAQSQPNSPSTTPVKIVEPLLPPPATQISDLSKRKPKTEDFL TFLCLRGSPALPNSMVYFGSSQDEEDVEEEDDETEDVKATTNNASSSCQSTPRKGKTHKH VHNGHVFNGSSRSAREKEPAHKHRSKEATPGKEKHSEPRADSRREQASGAQPTAASAAAS SAKGLAANHQPPPSHRSAQDLRKQVSKVNGVTRMSSLGAGTNSAKKIREVRPSPSKTVKY TATVTKGTVTYTKAKRELVKETKPNHHKPSSAVNHTISGKTESSNAKTRKQVLSLGGASK STGPAASGLKASSRLNPKSCTKEVGGRQLREGLRNSKRRLEEAQQVDKPQSPPKKMKGVA GNAEAPGKKASAASGEKSLLNGHVKKEVPERSLERNRPKRAAAGKNMLGKQAHGKTEGTP CENRSTSQPESSHKPHDPQGKPEKGSGKSGWAAMDEIPVLRPSAKEFHDPLIYIESVRAQ VEKYGMCRVIPPPDWRPECKLNDEMRFVTQIQHIHKLGRRWGPNVQRLACIKKHLRSQGI TMDELPLIGGCELDLACFFRLINEMGGMQQVTDLKKWNKLADMLRIPKTAQDRLAKLQEA YCQYLLSYDSLSPEEHRRLEKEVLMEKEILEKRKGPLEGHTESDHHKFHSLPRFEPKNGL VHGVTPRNGFRSKLKEVGRAPLKTGRRRLFAQEKEVVKEEEEDKGVLNDFHKCIYKGRSV SLTTFYRTARNIMNMCFSKEPAPAEIEQEYWRLVEEKDCHVAVHCGKVDTNTHGSGFPVG KSEPFSRHGWNLTVLPNNTGSILRHLGAVPGVTIPWLNIGMVFSTSCWSRDQNHLPYIDY LHTGADCIWYCIPAEEENKLEDVVHTLLQGNGTPGLQMLESNVMISPEVLCKKGIKVHRT VQQSGQFVVCFPGSFVSKVCCGYNVSETVHFATTQWTSMGFETAKEMKRRHIAKPFSMEK LLYQIAQAEA >ENSMUSP00000134302.1 pep:known chromosome:GRCm38:13:44840783:44896481:1 gene:ENSMUSG00000038518.15 transcript:ENSMUST00000173003.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jarid2 description:jumonji, AT rich interactive domain 2 [Source:MGI Symbol;Acc:MGI:104813] MAAPRVCQVQFLVAYLEEPGIEGPGCKHKGSLLNLSRIVPAQLQ >ENSMUSP00000124710.1 pep:known chromosome:GRCm38:14:103113411:103346800:-1 gene:ENSMUSG00000033004.14 transcript:ENSMUST00000159855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycbp2 description:MYC binding protein 2 [Source:MGI Symbol;Acc:MGI:2179432] MMMCAATASPAAASSGPGGDGFFAAATISSSPAPGALFMPVPDGSVAAAGLGLGLPTTDS RGHYQLLLSGRALADRYRRIYTTALSDRDQAGSSTGHPASRNKKILNKKKLKRKQKSKSK VKTRSKSENVENTVIIPDIKLHSNPSAFNIYCNVRHCVLEWQKKETSLAAASKNSVQSGE SDSDEEEESREPPIKLPKIIEVGLCEVFELIKETRFSHPSLCLRSLQALLNVLQGQQPEG LQSEPPEVLESLFQLLLEITVRSTGMNDSTGQSLTALSCACLFSLVASWGETGRTLQAIS AILTNNGSHACQTIQVPTILNSLQRSVQAVLVGKIQVQDWFSNGIKKAALMHKWPLKEVS VDEDDQCLLQNDGFFLYLLCKDGLYKIGSGYSGTVRGHIYNSTSRIRNRKEKKSWLGYAQ GYLLYRDLNNHSMTAIRISPETLEQDGTVLLPDCHTEGQNILFTDGEYINQIAASRDDGF VVRIFATSTEPVLQQELQLKLARKCLHACGISLFDLEKDLHIISTGFDEESAILGAGREF ALMKTANGKIYYTGKYQSLGIKQGGPSAGKWVELPITKSPKIVHFSVGHDGSHALLVAED GSVFFTGSASKGEDGESTKSRRQSKPYKPKKIIKMEGKIVVYTACNNGSSSVISKDGELY MFGKDAIYSDSSSLVSDLKGHFVTQVAMGKAHTCVLMKNGEVWTFGVNNKGQCGRDTGAM NQGGKGFGVENMATAMDEDLEEELDEKDEKSMMCPPGMHKWKLEQCMVCTVCGDCTGYGA SCVSSGRPDRVPGGICGCGSGESGCAVCGCCKACARELDGQEARQRGILDAVKEMIPLDL LLAVPVPGVNIEEHLQLRQEEKRQRVIRRHRLEDGRGPLVFAGPIFMNHREQALARLRSH PAQLKHKRDKHKDGSGDRGEKDASKITTYPPGSVRFDCELRAVQVSCGFHHSVVLMENGD VYTFGYGQHGQLGHGDVNSRGCPTLVQALPGPSTQVTAGSNHTAVLLMDGQVFTFGSFSK GQLGRPILDIPYWNAKPAPMPNIGSKYGRKATWIGASGDQTFLRIDEALINSHVLATSEI FASKHIIGLVPASISEPPPFKCLLINKVDGSCKTFNDSEQEDLQGFGVCLDPVYDVLWRF RPSTRELWCYNAVVADARLPSATDMQSRCSILSPELALPTGSRALTTRSHAALHILGCLD TLAAMQDLKMGIASTEEETQAVMKVYSKEDYSVVNRFESHGGGWGYSAHSVEAIRFSADT DILLGGLGLFGGRGEYTAKIKLFELGPDGGDHETDGDLLAETDVLAYDCAAREKYAMMFD EPVLLQAGWWYVAWARVSGPSSDCGSHGQASITTDDGVIFQFKSSKKSNNGTDVNAGQIP QLLYRLPTSDGSTSKGKQQTSEPVHILKRSFARTVSVECFESLLSILHWSWTTLVLGVEE LRGLKGFQFTATLLDLERLRFVGTCCLRLLRVYTCEIYPVSATGKAVVEETSKLAECIGK TRTLLRKILSEGVDHCMVKLDNDPQGYLSQPLRLLEAVLQECHNTFTACFHSFYPTPALQ WACLCDLLNCLDQEANFKTSSSRLLAAVMSALCHTSVKLTSLFPIAYDGEVLLRSIVKQV STENDSTLVHRFPLLVGHMEKLSQSEENISGMTSFREVLEKMLVIVVLPVRNSLRRESEL FSSHLVSNTCGLLASIVSELTASALGSEVDGLNSLHSVKASANRFTKTSQGRSWNTGNGS PDAICFAVDKPGIVVVGFAVYGGGGIHEYELEVLVDDSEHAGDSTHSHRWTSLELVKGTY TTDDSPSDIAEIRLDKVVPLKENVKYAVRLRNYGSRTANGDGGMTTVQCPDGVTFTFSTC SLSSNGTNQTRGQIPQILYYRSEFDGDLQSQLLSKANEEDKNCSRALSVVSTVVRAAKDL LHRALAVDADDIPELLSSSSLFSMLLPLIIAYIGPVAAAIPKVAVEVFGLVQQLLPSVAI LNQKYAPPAFNPNQSTDSTTGNQPEQGLSACTTSNHYAVIESEHPYKPACVMHYKVTFPE CVRWMTIEFDPQCGTAQSEDVIRLLIPVRTIQNSGYGAKLTSVHENLNSWVELKKYSGSS GWPTMVLVLPGNEALFSLETASDYVKDDKASFYGFKCFAIGYEFSPGPDEGVIQLEKELA NLGGVCAAALMKKDLALPVGNELEEDLEILEEAALQVCKTHSGILGKGLALSHSPTILEA LEGNLPLQIQSNEQSFLDDFIACVPGSSGGRLARWLQPDSYADPQKTSLILNKDDIRCGW PTTITVQTKDQYGDVVHVPNMKVEVKAVPVSQKKTSLQQDQGKKCQRIPGSPSAAASSAD MTFGGLASPKLDVSYEPMIVKEARYIAITMMKVYENYSFEELRFASPTPKRPSENMLIRV NNDGTYCANWTPGAIGLYTVHVTIDGIEIDAGLEVKVKDPPKGMIPPGTQLVKPKADPQP NKIRKFVAKDSAGLRIRSHPSLQSEQIGIVRVNGTITFIDEIHNDDGVWLRLNEETIKKY VPNMNGYTEAWCLSFNQHLGKSLLVPVDNIFNASQGVRDLDVFSWTSKAFFPQEPKTNTD DFFKDMNSCGPQEATMQERDHPFLRGGPGMYKVVKTGPSGHNIRSCPNLRGIPIGMLVLG NKVKAVGEVTNSEGAWVQLDKNSMVEFCESDEGEAWSLARDRGGNQYLRHEDEQVLLDQN SQPPPPSPFSVQAFNKGASCSAQGFDYGLGNNKGDQLSAILNSIQSRPNLPAPSIFDQAA KPPSSLVHSPFVFGQPLSFQQRQLQSDRGTISTSSRPVSTSGKSELPSKHSRSVKPDGHV SRTPADQKKPRGTEGLSASESLMLKSDAAKLRSDSHSRSLSPNHNTLQTLKSDGRTSSGF RAESPGPGSRSSSPKPKPLPTPRSSPSGASSPRSSSPQDKNLPQKSTAPAKTKLDPPRER SKSDSYTLDPDTLRKKKMPLTEPLRGRSTSPKPKPVPKDPKDSPGSENRAPSPHVVQENL HSEVVEVCTSSTLKTNGVTDSTCDDSGDLKSVDEGSNKVHFSIGKAPLKDEQEMRASPKI SRKCANRHTRPKKEKSNFLFKGDGTKSLEPAKQAMSPSVAECARAVFASFLWHEGIVHDA MACSSFLKFNPDLSKEHAPIRSSLNSQPPTEEKEIKLKNRHSLEISSALNMFNIAPHGPD ISKMGSINKNKVLSMLKEPPLHEKCEDGKSEATFEMSMHHTMKSKSPLPLTLQHLVAFWE DISLATIKAASQNMIFPSPGSCAVLKKKECEKENKKTKKEKKKKEKTEIRPRGNLFGEMA QLAVGGPEKDTICELCGESHPYPVTYHMRQAHPGCGRYAGGQGYNSIGHFCGGWAGNCGD GGMGGSTWYLVCDRCREKYLREKQAAAREKVKQSRRKPMQVKTPRALPTMEAHQVIKANA LFLLSLSSAAEPSILCYHPAKPFQSQLPIVKEGVSEDLPVKMPCLYLQTLARHHHENFVG YQDDNLFQDEMRYLRSTSVPAPYISVTPDASPNVFEEPESNMKSMPPSLETSPITDTDLA KRTVFQRSYSVVASEYDKQHSILPARVKAIPRRRVNSGDTVGSSLLRHPSPELSRLISAH SSLSKGERNFQWPVLAFVIQHHDLEGLEIAMKQALRKSACRVFAMEAFNWLLCNVIQTTS LHDILWHFVAALTPSPVEAEEDEDEDNKSNKENAEQEKDTRVCEHPLSDIVIAGEAAHPL PHTFHRLLQTISDLMMSLPSGSSLQQMALRCWSLKFKQSDHQFLHQSNVFHHINNILSKS DDGDSEESFSISVQSGFEAMSQELCIVMCLKDLTSIVDIKTSSRPAMIGSLTDGSTETFW ESGDEDKNKTKNITINCVKGINARYVSVHVDNSRDLGNKVTSMTFLTGKAVEELCRIKQV DLDSRHIGWVTSELPGGDNQIIKIELKGPENTLRVRQVKVLGWKDGESTKIAGQISASVA QQRSCEAETLRVFRLITSQVFGKLISGDAEPTPEQEEKALLSSPEGEEKATSDADLKEHM VGIIFSRSKLTNLQKQVCAHIVQAIRMEATRVREEWEHAISSKENANSQPSDEDASSDAY CFELLSMVLALSGSNVGRQYLAQQLTLLQDLFSLLHTASPRVQRQVTSLLRRVLPEVTPN RLASIIGVKSLPPADISDIIHSTEKGDWNKLGILDMFLGCIAKALTVQLKAKGTTITGTA GTTVGKGVTTVTLPMIFNSSYLRRGESHWWMKGSTPTQISEIIIRLIKDMAAGHLSEAWS RVTKNAIAETIIALTKMEEEFRSPVRCIATTRLWLALASLCVLDQDHVDRLSSGRWMGKD GQQKQMPMCDNHDDGETAAIILCNICGNLCTDCDRFLHLHRRTKTHQRQVFKEEEEAIKV DLHEGCGRTKLFWLMALADSKTMKAMVEFREHTGKPTTSSSEACRFCGSRSGTELSAVGS VCSDADCQEYAKIACSKTHPCGHPCGGVRNEEHCLPCLHGCDKSATTLKQDADDMCMICF TEALSAAPAIQLDCSHVFHLQCCRRVLENRWLGPRITFGFISCPICKNKINHIVLKDLLD PIKELYEDVRRKALMRLEYEGLHKSEAITTPGVRFYNDAAGYAMNRYAYYVCYKCRKAYF GGEARCDAEAGQGDDYDPRELICGACSDVSRAQMCPKHGTDFLEYKCRYCCSVAVFFCFG TTHFCNACHDDFQRMTSIPKEELPHCPAGPKGKQLEGTECPLHVVHPPTGEEFALGCGVC RNAHTF >ENSMUSP00000125189.1 pep:known chromosome:GRCm38:14:103155313:103191615:-1 gene:ENSMUSG00000033004.14 transcript:ENSMUST00000161278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycbp2 description:MYC binding protein 2 [Source:MGI Symbol;Acc:MGI:2179432] XNYSFEELRFASPTPKRPSENMLIRVNNDGTYCANWTPGAIGLYTVHVTIDGIEIDAGLE VKVKDPPKGMIPPGTQLVKPKADPQPNKIRKFVAKDSAGLRIRSHPSLQSEQIGIVRVNG TITFIDEIHNDDGVWLRLNEETIKKYVPNMNGYTEAWCLSFNQHLGKSLLVPVDEPKTNT DDFFKDMNSCGPQEATMQERDHPFLRGGPGMYKVVKTGPSGHNIRSCPNLRGIPIGMLVL GNKVKAVGEVTNSEGAWVQLDKNSMVEFCESDEGEAWSLARDRGGNQYLRHEDEQVLLDQ NSQPPPPSPFSVQAFNKGASCSAQGFDYGLGNNKGDQLSAILNSIQSRPNLPAPSIFDQA AKPPSSLVHSPFVFGQPLSFQQRQLQSDRGTISTSSRPVSTSGKSELPSKHSRSVKPDGH VSRTPADQKKPRGTEGLSASESLMLKSDAAKLRSDSHSRSLSPNHNTLQTLKSDGRTSSG FRAESPGPGSRSSSPKPKPLPTPRSSPSGASSPRSSSPQDKNLPQKSTAPAKTKLDPPRE RSKSDSYTLDPDTLRKKKMPLTEPLRGRSTSPKPKPVPKDPKDSPGSENRAPSPHVVQEN LHSEVVEVCTSSTLKTNGVTDSTCDDSGDLKSVDEGSNKVHFSIGKAPLKDEQEMRASPK ISRKCANRHTRPKKEKSNFLFKGDGTKSLEPAKQAMSPSVAECARAVFASFLWHEGIVHD AMACSSFLKFNPDLSKEHAPIRSSLNSQPPTEEKEIKLKNRHSLEISSALNMFNIAPHGP DISKMGSINKNKVLSMLKEPPLHEKCEDGKSEATFEMSMHHTMKSKSPLPLTLQHLVAFW EDISLATIKAASQNMIFPSPGSCAVLKKKEC >ENSMUSP00000124443.1 pep:known chromosome:GRCm38:14:103113411:103155263:-1 gene:ENSMUSG00000033004.14 transcript:ENSMUST00000161008.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycbp2 description:MYC binding protein 2 [Source:MGI Symbol;Acc:MGI:2179432] XEIRPRGNLFGEMAQLAVGGPEKDTICELCGESHPYPVTYHMRQAHPGCGRYAGGQGYNS IGHFCGGWAGNCGDGGMGGSTWYLVCDRCREKYLREKQAAAREKVKQSRRKPMQVKTPRA LPTMEAHQVIKANALFLLSLSSAAEPSILCYHPAKPFQSQLPIVKEGVSEDLPVKMPCLY LQTLARHHHENFVGYQDDNLFQDEMRYLRSTSVPAPYISVTPDASPNVFEEPESNMKSMP PSLETSPITDTDLAKRTVFQRSYSVVASEYDKQHSILPARVKAIPRRRVNSGDTVGSSLL RHPSPELSRLISAHSSLSKGERNFQWPVLAFVIQHHDLEGLEIAMKQALRKSACRVFAME AFNWLLCNVIQTTSLHDILWHFVAALTPSPVEAEEDEDEDNKSNKENAEQEKDTRVCEHP LSDIVIAGEAAHPLPHTFHRLLQTISDLMMSLPSGSSLQQMALRCWSLKFKQSDHQFLHQ SNVFHHINNILSKSDDGDSEESFSISVQSGFEAMSQELCIVMCLKDLTSIVDIKTSSRPA MIGSLTDGSTETFWESGDEDKNKTKNITINCVKGINARYVSVHVDNSRDLGNKVTSMTFL TGKAVEELCRIKQVDLDSRHIGWVTSELPGGDNQIIKIELKGPENTLRVRQVKVLGWKDG ESTKIAGQISASVAQQRSCEAETLRVFRLITSQVFGKLISGDAEPTPEQEEKALLSSPEG EEKVYNATSDADLKEHMVGIIFSRSKLTNLQKQVCAHIVQAIRMEATRVREEWEHAISSK ENANSQPSDEDASSDAYCFELLSMVLALSGSNVGRQYLAQQLTLLQDLFSLLHTASPRVQ RQVTSLLRRVLPEVTPNRLASIIGVKSLPPADISDIIHSTEKGDWNKLGILDMFLGCIAK ALTVQLKAKGTTITGTAGTTVGKGVTTVTLPMIFNSSYLRRGESHWWMKGSTPTQISEII IRLIKDMAAGHLSEAWSRVTKNAIAETIIALTKMEEEFRSPVRCIATTRLWLALASLCVL DQDHVDRLSSGRWMGKDGQQKQMPMCDNHDDGETAAIILCNICGNLCTDCDRFLHLHRRT KTHQRQVFKEEEEAIKVDLHEGCGRTKLFWLMALADSKTMKAMVEFREHTGKPTTSSSEA CRFCGSRSGTELSAVGSVCSDADCQEYAKIACSKTHPCGHPCGGVRNEEHCLPCLHGCDK SATTLKQDADDMCMICFTEALSAAPAIQLDCSHVFHLQCCRRVLENRWLGPRITFGFISC PICKNKINHIVLKDLLDPIKELYEDVRRKALMRLEYEGLHKSEAITTPGVRFYNDAAGYA MNRYAYYVCYKCRKAYFGGEARCDAEAGQGDDYDPRELICGACSDVSRAQMCPKHGTDFL EYKCRYCCSVAVFFCFGTTHFCNACHDDFQRMTSIPKEELPHCPAGPKGKQLEGTECPLH VVHPPTGEEFALGCGVCRNAHTF >ENSMUSP00000124601.1 pep:known chromosome:GRCm38:14:103113411:103346418:-1 gene:ENSMUSG00000033004.14 transcript:ENSMUST00000160758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycbp2 description:MYC binding protein 2 [Source:MGI Symbol;Acc:MGI:2179432] PGALFMPVPDGSVAAAGLGLGLPTTDSRGHYQLLLSGRALADRYRRIYTTALSDRDQAGS STGHPASRNKKILNKKKLKRKQKSKSKVKTRSKSENVENTVIIPDIKLHSNPSAFNIYCN VRHCVLEWQKKETSLAAASKNSVQSGESDSDEEEESREPPIKLPKIIEVGLCEVFELIKE TRFSHPSLCLRSLQALLNVLQGQQPEGLQSEPPEVLESLFQLLLEITVRSTGMNDSTGQS LTALSCACLFSLVASWGETGRTLQAISAILTNNGSHACQTIQVPTILNSLQRSVQAVLVG KIQVQDWFSNGIKKAALMHKWPLKEVSVDEDDQCLLQNDGFFLYLLCKDGLYKIGSGYSG TVRGHIYNSTSRIRNRKEKKSWLGYAQGYLLYRDLNNHSMTAIRISPETLEQDGTVLLPD CHTEGQNILFTDGEYINQIAASRDDGFVVRIFATSTEPVLQQELQLKLARKCLHACGISL FDLEKDLHIISTGFDEESAILGAGREFALMKTANGKIYYTGKYQSLGIKQGGPSAGKWVE LPITKSPKIVHFSVGHDGSHALLVAEDGSVFFTGSASKGEDGESTKSRRQSKPYKPKKII KMEGKIVVYTACNNGSSSVISKDGELYMFGKDAIYSDSSSLVSDLKGHFVTQVAMGKAHT CVLMKNGEVWTFGVNNKGQCGRDTGAMNQGGKGFGVENMATAMDEDLEEELDEKDEKSMM CPPGMHKWKLEQCMVCTVCGDCTGYGASCVSSGRPDRVPGGICGCGSGESGCAVCGCCKA CARELDGQEARQRGILDAVKEMIPLDLLLAVPVPGVNIEEHLQLRQEEKRQRVIRRHRLE DGRGPLVFAGPIFMNHREQALARLRSHPAQLKHKRDKHKDGSGDRGEKDASKITTYPPGS VRFDCELRAVQVSCGFHHSVVLMENGDVYTFGYGQHGQLGHGDVNSRGCPTLVQALPGPS TQVTAGSNHTAVLLMDGQVFTFGSFSKGQLGRPILDIPYWNAKPAPMPNIGSKYGRKATW IGASGDQTFLRIDEALINSHVLATSEIFASKHIIGLVPASISEPPPFKCLLINKVDGSCK TFNDSEQEDLQGFGVCLDPVYDVLWRFRPSTRELWCYNAVVADARLPSATDMQSRCSILS PELALPTGSRALTTRSHAALHILGCLDTLAAMQDLKMGIASTEEETQAVMKVYSKEDYSV VNRFESHGGGWGYSAHSVEAIRFSADTDILLGGLGLFGGRGEYTAKIKLFELGPDGGDHE TDGDLLAETDVLAYDCAAREKYAMMFDEPVLLQAGWWYVAWARVSGPSSDCGSHGQASIT TDDGVIFQFKSSKKSNNGTDVNAGQIPQLLYRLPTSDGSTSKGKQQTSEPVHILKRSFAR TVSVECFESLLSILHWSWTTLVLGVEELRGLKGFQFTATLLDLERLRFVGTCCLRLLRVY TCEIYPVSATGKAVVEETSKLAECIGKTRTLLRKILSEGVDHCMVKLDNDPQGYLSQPLR LLEAVLQECHNTFTACFHSFYPTPALQWACLCDLLNCLDQEANFKTSSSRLLAAVMSALC HTSVKLTSLFPIAYDGEVLLRSIVKQVSTENDSTLVHRFPLLVGHMEKLSQSEENISGMT SFREVLEKMLVIVVLPVRNSLRRESELFSSHLVSNTCGLLASIVSELTASALGSEVDGLN SLHSVKASANRFTKTSQGRSWNTGNGSPDAICFAVDKPGIVVVGFAVYGGGGIHEYELEV LVDDSEHAGDSTHSHRWTSLELVKGTYTTDDSPSDIAEIRLDKVVPLKENVKYAVRLRNY GSRTANGDGGMTTVQCPDGVTFTFSTCSLSSNGTNQTRGQIPQILYYRSEFDGDLQSQLL SKANEEDKNCSRALSVVSTVVRAAKDLLHRALAVDADDIPELLSSSSLFSMLLPLIIAYI GPVAAAIPKVAVEVFGLVQQLLPSVAILNQKYAPPAFNPNQSTDSTTGNQPEQGLSACTT SNHYAVIESEHPYKPACVMHYKVTFPECVRWMTIEFDPQCGTAQSEDVIRLLIPVRTIQN SGYGAKLTSVHENLNSWVELKKYSGSSGWPTMVLVLPGNEALFSLETASDYVKDDKASFY GFKCFAIGYEFSPGPDEGVIQLEKELANLGGVCAAALMKKDLALPVGNELEEDLEILEEA ALQVCKTHSGILGKGLALSHSPTILEALEGNLPLQIQSNEQSFLDDFIACVPGSSGGRLA RWLQPDSYADPQKTSLILNKDDIRCGWPTTITVQTKDQYGDVVHVPNMKVEVKAVPVSQK KTSLQQDQGKKCQRIPGSPSAAASSADMTFGGLASPKLDVSYEPMIVKEARYIAITMMKV YENYSFEELRFASPTPKRPSENMLIRVNNDGTYCANWTPGAIGLYTVHVTIDGIEIDAGL EVKVKDPPKGMIPPGTQLVKPKADPQPNKIRKFVAKDSAGLRIRSHPSLQSEQIGIVRVN GTITFIDEIHNDDGVWLRLNEETIKKYVPNMNGYTEAWCLSFNQHLGKSLLVPVDEPKTN TDDFFKDMNSCGPQEATMQERDHPFLRGGPGMYKVVKTGPSGHNIRSCPNLRGIPIGMLV LGNKVKAVGEVTNSEGAWVQLDKNSMVEFCESDEGEAWSLARDRGGNQYLRHEDEQVLLD QNSQPPPPSPFSVQAFNKGASCSAQGFDYGLGNNKGDRGTISTSSRPVSTSGKSELPSKH SRSVKPDGHVSRTPADQKKPRGTEGLSASESLMLKSDAAKLRSDSHSRSLSPNHNTLQTL KSDGRTSSGFRAESPGPGSRSSSPKPKPLPTPRSSPSGASSPRSSSPQDKNLPQKSTAPA KTKLDPPRERSKSDSYTLDPDTLRKKKMPLTEPLRGRSTSPKPKPVPKDPKDSPGSENRA PSPHVVQENLHSEVVEVCTSSTLKTNGVTDSTCDDSGDLKSVDEGSNKVHFSIGKAPLKD EQEMRASPKISRKCANRHTRPKKEKSNFLFKGDGTKSLEPAKQAMSPSVAECARAVFASF LWHEGIVHDAMACSSFLKFNPDLSKEHAPIRSSLNSQPPTEEKEIKLKNRHSLEISSALN MFNIAPHGPDISKMGSINKNKVLSMLKEPPLHEKCEDGKSEATFEMSMHHTMKSKSPLPL TLQHLVAFWEDISLATIKAASQNMIFPSPGSCAVLKKKECEKENKKTKKEKKKKEKTEIR PRGNLFGEMAQLAVGGPEKDTICELCGESHPYPVTYHMRQAHPGCGRYAGGQGYNSIGHF CGGWAGNCGDGGMGGSTWYLVCDRCREKYLREKQAAAREKVKQSRRKPMQVKTPRALPTM EAHQVIKANALFLLSLSSAAEPSILCYHPAKPFQSQLPIVKEGVSEDLPVKMPCLYLQTL ARHHHENFVGYQDDNLFQDEMRYLRSTSVPAPYISVTPDASPNVFEEPESNMKSMPPSLE TSPITDTDLAKRTVFQRSYSVVASEYDKQHSILPARVKAIPRRRVNSGDTVGSSLLRHPS PELSRLISAHSSLSKGERNFQWPVLAFVIQHHDLEGLEIAMKQALRKSACRVFAMEAFNW LLCNVIQTTSLHDILWHFVAALTPSPVEAEEDEDEDNKSNKENAEQEKDTRVCEHPLSDI VIAGEAAHPLPHTFHRLLQTISDLMMSLPSGSSLQQMALRCWSLKFKQSDHQFLHQSNVF HHINNILSKSDDGDSEESFSISVQSGFEAMSQELCIVMCLKDLTSIVDIKTSSRPAMIGS LTDGSTETFWESGDEDKNKTKNITINCVKGINARYVSVHVDNSRDLGNKVTSMTFLTGKA VEELCRIKQVDLDSRHIGWVTSELPGGDNQIIKIELKGPENTLRVRQVKVLGWKDGESTK IAGQISASVAQQRSCEAETLRVFRLITSQVFGKLISGDAEPTPEQEEKALLSSPEGEEKA TSDADLKEHMVGIIFSRSKLTNLQKQVCAHIVQAIRMEATRVREEWEHAISSKENANSQP SDEDASSDAYCFELLSMVLALSGSNVGRQYLAQQLTLLQDLFSLLHTASPRVQRQVTSLL RRVLPEVTPNRLASIIGVKSLPPADISDIIHSTEKGDWNKLGILDMFLGCIAKALTVQLK AKGTTITGTAGTTVGKGVTTVTLPMIFNSSYLRRGESHWWMKGSTPTQISEIIIRLIKDM AAGHLSEAWSRVTKNAIAETIIALTKMEEEFRSPVRCIATTRLWLALASLCVLDQDHVDR LSSGRWMGKDGQQKQMPMCDNHDDGETAAIILCNICGNLCTDCDRFLHLHRRTKTHQRQV FKEEEEAIKVDLHEGCGRTKLFWLMALADSKTMKAMVEFREHTGKPTTSSSEACRFCGSR SGTELSAVGSVCSDADCQEYAKIACSKTHPCGHPCGGVRNEEHCLPCLHGCDKSATTLKQ DADDMCMICFTEALSAAPAIQLDCSHVFHLQCCRRVLENRWLGPRITFGFISCPICKNKI NHIVLKDLLDPIKELYEDVRRKALMRLEYEGLHKSEAITTPGVRFYNDAAGYAMNRYAYY VCYKCRKAYFGGEARCDAEAGQGDDYDPRELICGACSDVSRAQMCPKHGTDFLEYKCRYC CSVAVFFCFGTTHFCNACHDDFQRMTSIPKEELPHCPAGPKGKQLEGTECPLHVVHPPTG EEFALGCGVCRNAHTF >ENSMUSP00000055482.6 pep:known chromosome:GRCm38:15:99099412:99104737:1 gene:ENSMUSG00000038009.7 transcript:ENSMUST00000061295.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc22 description:DnaJ heat shock protein family (Hsp40) member C22 [Source:MGI Symbol;Acc:MGI:1920028] MAKGLLMTYVLWALGGPVGLHHLYLGRDSHALLWMLTLGGGGLGWLWEFWKLPSFVAQAN RVQSWKQRPEEERPPLSLLRFASQIVVGVYFGLVALVSLSSMDNFYIVGLPLAVGLGVLL VAAVGNQTSDFKNTLGAAFLTSPVFYGRPIAILPISLAASITAQKHRRYKASAGSETLSV RLYRVGLAYLAFTGPLAYSTMYNTAATINYVAETLGSFLSWFSFFPLLGRLVESVLLLPC RIWWLLVGGPGFNSSRFQEWEKLYEFVDSFQDEKLQLAHQVLGVPEGATNEEIHRSYRDL VKVWHPDHNRHQTEEAQRHFLEIQAAYEVLSQPKKPRAS >ENSMUSP00000112551.1 pep:known chromosome:GRCm38:12:44852488:45074112:-1 gene:ENSMUSG00000046314.15 transcript:ENSMUST00000120531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp6 description:syntaxin binding protein 6 (amisyn) [Source:MGI Symbol;Acc:MGI:2384963] MSAKSAISKEIFAPLDERMLGAIQVKRRTKKKIPFLATGGQGEYLTYICLSVTNKKPTQA SITKVKQFEGSTSFVRRSQWMLEQLRQVNGIDPNRDSAEFDLLFENAFDQWVASTASEKC TFFQILHHTCQRYLTDRKPEFINCQSKIMGGNSILHSAADSVTSAVQKASQALNERGERL GRAEEKTEDMKNSAQQFAETAHKLAMKHKC >ENSMUSP00000052639.6 pep:known chromosome:GRCm38:12:44855221:45074493:-1 gene:ENSMUSG00000046314.15 transcript:ENSMUST00000053768.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp6 description:syntaxin binding protein 6 (amisyn) [Source:MGI Symbol;Acc:MGI:2384963] MSAKSAISKEIFAPLDERMLGAIQVKRRTKKKIPFLATGGQGEYLTYICLSVTNKKPTQA SITKVKQFEGSTSFVRRSQWMLEQLRQVNGIDPNRDSAEFDLLFENAFDQWVASTASEKC TFFQILHHTCQRYLTDRKPEFINCQSKIMGGNSILHSAADSVTSAVQKASQALNERGERL GRAEEKTEDMKNSAQQFAETAHKLAMKHKC >ENSMUSP00000117366.1 pep:known chromosome:GRCm38:12:44902877:45074154:-1 gene:ENSMUSG00000046314.15 transcript:ENSMUST00000143376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp6 description:syntaxin binding protein 6 (amisyn) [Source:MGI Symbol;Acc:MGI:2384963] MSAKSAISKEIFAPLDERMLGAIQVKRRTKKKIPFLATGGQGEYLTYICLSVTNKKPTQA SITKVKQFEGSTSFVRRSQWMLEQLRQVN >ENSMUSP00000119307.1 pep:known chromosome:GRCm38:12:45012932:45074318:-1 gene:ENSMUSG00000046314.15 transcript:ENSMUST00000139750.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stxbp6 description:syntaxin binding protein 6 (amisyn) [Source:MGI Symbol;Acc:MGI:2384963] MSAKSAISKEIFAPLDERMLGAIQVKRRTKKKIPFLATGGQGEYLTYICLSVHFSAEIL >ENSMUSP00000002145.5 pep:known chromosome:GRCm38:17:31944769:32034508:-1 gene:ENSMUSG00000002076.11 transcript:ENSMUST00000002145.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf2bp description:heat shock transcription factor 2 binding protein [Source:MGI Symbol;Acc:MGI:1921627] MAATVGDGSGTEEACRNMESKEEFVKVRKKDLERLTTEVMQIRDFLPRILNGELLESFQK LKMVEKNLERKEQELEQLIMDREHFKARLETAQADSGREKKEKLALRQQLNEAKQQLLQQ AEYCTQMGAVTCTLLWGVSSSEEVVKTILGGDKALKFFNITGQTMESFVKSLDGDVKEVD SDENQFVFALAGIVTNVAAIACGREFLVNSSRVLLDTMLQLLGDLKPGQCTKLKVLMLMS LYNVSINSKGLKYITESPGFIPLLWWLLSDPDAEVCLHTLRLIQSVVLEPDVFSKVASEL QSSLPLQRILAMSKSRNSHLQSAAQELLEDLRALDCNV >ENSMUSP00000115909.1 pep:known chromosome:GRCm38:17:31946328:32013403:-1 gene:ENSMUSG00000002076.11 transcript:ENSMUST00000133308.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf2bp description:heat shock transcription factor 2 binding protein [Source:MGI Symbol;Acc:MGI:1921627] XCTQMGAVTCTLLWGVSSSEEVVKTILGGDKALKFFNITGQTMESFVKSLDGDVKEVDSD ENQFVFALAGIVTNVAAIACGREFLVNSSRVLLDTMLQLLGDLKPGQCTKLKVSRRGSVS SYPAAHPVCGSRTRRLLQGGL >ENSMUSP00000001184.7 pep:known chromosome:GRCm38:6:86647042:86669161:-1 gene:ENSMUSG00000001156.9 transcript:ENSMUST00000001184.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxd1 description:MAX dimerization protein 1 [Source:MGI Symbol;Acc:MGI:96908] MATAVGMNIQLLLEAADYLERREREAEHGYASMLPYSKDRDAFKRRNKPKKNSTSSRSTH NEMEKNRRAHLRLCLEKLKGLVPLGPESSRHTTLSLLTKAKLHIKKLEDCDRKAVHQIDQ LQREQRHLKRRLEKLGAERTRMDSVGSVVSSERSDSDREELDVDVDVDVDVDVEGTDYLN GDLGWSSSVSDSDERGSMQSLGSDEGYSSATVKRAKLQDGHKAGLSL >ENSMUSP00000145081.1 pep:known chromosome:GRCm38:6:86649016:86663105:-1 gene:ENSMUSG00000001156.9 transcript:ENSMUST00000203946.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxd1 description:MAX dimerization protein 1 [Source:MGI Symbol;Acc:MGI:96908] FRSTHNEMEKNRRAHLRLCLEKLKGLVPLGPESSRHTTLSLLTKAKLHIKKLEDCDRKAV HQIDQLQREQRHLKRRLEKLGAERTRMDSVGSVVSSERSDSDRVWMFSASGKSFSLNPNH L >ENSMUSP00000145396.1 pep:known chromosome:GRCm38:6:86650800:86669100:-1 gene:ENSMUSG00000001156.9 transcript:ENSMUST00000204437.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mxd1 description:MAX dimerization protein 1 [Source:MGI Symbol;Acc:MGI:96908] MATAVGMNIQLLLEAADYLERREREAEHGYASMLPYSKDRDAFKRRNKPKKNSTSSRSTH NEMEKNRLCSQHKTS >ENSMUSP00000030436.5 pep:known chromosome:GRCm38:4:135870918:135873850:-1 gene:ENSMUSG00000028675.12 transcript:ENSMUST00000030436.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnrc2 description:proline-rich nuclear receptor coactivator 2 [Source:MGI Symbol;Acc:MGI:106512] MGGGERYNIPDPQSRNASKNQEQQNRQKSKDQNSSQTKIAHKKKERGHGYNPAAAAWQAM QNGGKTKSLSNNSNWNAGLSSPSLLFKSQASQNYAGAKFSEPPSPSVLPKPPSHWVHVSL NPSDKETMTFQLKTLLKVQV >ENSMUSP00000120788.1 pep:known chromosome:GRCm38:4:135872324:135873570:-1 gene:ENSMUSG00000028675.12 transcript:ENSMUST00000142585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnrc2 description:proline-rich nuclear receptor coactivator 2 [Source:MGI Symbol;Acc:MGI:106512] MGGGERYNIPDPQSRNASKNQEQQNRQKSKDQNSSQT >ENSMUSP00000136785.1 pep:known chromosome:GRCm38:4:135872451:135873061:-1 gene:ENSMUSG00000028675.12 transcript:ENSMUST00000180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnrc2 description:proline-rich nuclear receptor coactivator 2 [Source:MGI Symbol;Acc:MGI:106512] MWTSAPRLKSADWLMKTCVLRQRKGSSRKQRLLSWAWQQGRGQVVEILQSEKQTER >ENSMUSP00000085253.4 pep:known chromosome:GRCm38:17:29135056:29148980:1 gene:ENSMUSG00000064147.6 transcript:ENSMUST00000087942.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab44 description:RAB44, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:3045302] MALSAHMQEALEAKEQEVQRLAEGQRELEAQLLHLSSTQQEANRENLQLREAERDLAGQL EEVRGQLQVTRGHLDTARTRGKVSWQIEEEPSVPRANKEAPDPQAVPTEEAPLPELFGNN DNWDQFLSSIEAHSHRTLRLCWSPPPSPSSTSAPQTPRIVRQISISKISALQFSQEPASD PDPGPRGSPEVPPGGAKDGKGVEDPKGQDEQDVSSKQPVDSPDSDARPKGSFLWSLPGAL TAESGTVEAAFRDQLAFEAEPPPQGLSSSPQSPAGSRKQTQTPDLGDKSLWSGPDPAKQS LEREVMAEDLKLGLGSQGATALPEGATEPSLSLESVDQVGPERPVQDATHLARQESHAKG FQEAPGQVLSLDSLPTHLPQSLEEQLRPEEGNLGERGQQDPGSEASESHGLEARSMESPQ QDDPLPNTSQPPAETEVPAPGQMSPPRGSPILGAGAGLAVGTPETTHTLLTLAESEAQPG PVSMPVQVESKSGAPQPTEPEAESRPEDPRTDLQEAERSSSPGDLTAGKPQADPDYLYHV VFLGDSNVGKTSFLHLLHHDAFATGLTATVGVDFRVKNLLVDNKTFALQLWDTAGQERYH SLTRQLLRKAEGVVLMYDVTSQESFTHVRYWLDCLQDAGVEGVAMVLLGNKMDCEEERQV PTEAGRRLAQELGVSFGECSAALGHNILEPMMNLARSLKMQEDRLKASLAEVTHPQSTKR AGCCH >ENSMUSP00000118112.2 pep:known chromosome:GRCm38:11:116852440:116874712:1 gene:ENSMUSG00000020818.16 transcript:ENSMUST00000139954.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd11 description:major facilitator superfamily domain containing 11 [Source:MGI Symbol;Acc:MGI:1917150] MSPESKKLFNIVILGVAFMFMFTAFQTCGNVAQTVIRSLNSTDFHGSGYTSLAIIYGVFS ASNLITPSVVAIVGPQISMFVSGLFYSLSHGPSTQHLSSSELPLLSFGQHKEIA >ENSMUSP00000118203.1 pep:known chromosome:GRCm38:11:116853762:116874719:1 gene:ENSMUSG00000020818.16 transcript:ENSMUST00000136012.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd11 description:major facilitator superfamily domain containing 11 [Source:MGI Symbol;Acc:MGI:1917150] MSPESKKLFNIVILGVAFMFMFTAFQTCGNVAQTVIRSLNSTDFHGSGYTSLAIIYGVFS ASNLITPSVVAIVGPQISMFVSGLFYSLSHGPSTQHLSSSELPLLSFGQHKEIA >ENSMUSP00000021173.7 pep:known chromosome:GRCm38:11:116853820:116875635:1 gene:ENSMUSG00000020818.16 transcript:ENSMUST00000021173.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd11 description:major facilitator superfamily domain containing 11 [Source:MGI Symbol;Acc:MGI:1917150] MSPESKKLFNIVILGVAFMFMFTAFQTCGNVAQTVIRSLNSTDFHGSGYTSLAIIYGVFS ASNLITPSVVAIVGPQISMFVSGLFYSMYIAVFIQPFPWSFYTASVFIGIAAAVLWTAQG NCLTINSDEHTIGRNSGIFWALLQSSLFFGNLYIYFAWQGKTQISEHDRRTVFIALTVIS LVGTVLFFLIRKPDPENVLGEEESCDDQDMEATESAQNNVTKAVDAFKKSLRLCVTREML LLSVTTAYTGLELTFFSGVYGTCIGAVNKFGTEEKSLIGLSGIFIGIGEILGGSLFGLLS KNSRFGRNPVVLLGTLVHFVAFYLIFLNMPGDAPIAPVEGTNSIAYIRPSKEVAILCSFL LGLGDSCFNTQLLSILGFLYSEDSAPAFAVFKFVQSICAAVAFFYSNYLLLHWQLLVMVI FGFFGTISFFAVEWDAAAIVARGSDYRSI >ENSMUSP00000101973.2 pep:known chromosome:GRCm38:11:116853895:116875457:1 gene:ENSMUSG00000020818.16 transcript:ENSMUST00000106365.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd11 description:major facilitator superfamily domain containing 11 [Source:MGI Symbol;Acc:MGI:1917150] MSPESKKLFNIVILGVAFMFMFTAFQTCGNVAQTVIRSLNSTDFHGSGYTSLAIIYGVFS ASNLITPSVVAIVGPQISMFVSGLFYSLSHGPSTQHLSSSELPLLSFGQHKEIA >ENSMUSP00000101971.3 pep:known chromosome:GRCm38:11:116854026:116874167:1 gene:ENSMUSG00000020818.16 transcript:ENSMUST00000106363.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd11 description:major facilitator superfamily domain containing 11 [Source:MGI Symbol;Acc:MGI:1917150] MSPESKKLFNIVILGVAFMFMFTAFQTCGNVAQTVIRSLNSTDFHGSGYTSLAIIYGVFS ASNLITPSVVAIVGPQISMFVSGLFYSICLHRNCRCCPLDSTRKLPDDKF >ENSMUSP00000123368.2 pep:known chromosome:GRCm38:11:116854078:116873945:1 gene:ENSMUSG00000020818.16 transcript:ENSMUST00000153084.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd11 description:major facilitator superfamily domain containing 11 [Source:MGI Symbol;Acc:MGI:1917150] MSPESKKLFNIVILGVAFMFMFTAFQTCGNVAQTVIRSLNSTDFHGSGYTSLAIIYGVFS ASNLITPSVVAIVGPQISMFVSGLFYSMYIAVFIQPFPWSFYTASVFIGIAAAEHDRRTV FIALTVISLVGTVLFFLIRKPDPENVLGEEESCDDQDMEATESAQNNVTKAVDAFKKSLR LCVTREMLLLSVTTAYTGLELTFFSGVYGTCIGAVNKFGTEEKSLIGLSGIFIGIGEILG GSLFGLLSKNSRFGRNPVVLLGTLVHFVAFYLIFLNMPGDAPIAPVEGTNSIAYIRPSKE VAILCSFLLGLGDSCFNTQLLSILGFLYSEDSAPAFAVFKFVQSICAAVAFFYSNYLLLH WQLLVMVIFGFFGTISFFAVEWDAAAIVARGSDYRSI >ENSMUSP00000116269.1 pep:known chromosome:GRCm38:5:124116089:124117042:1 gene:ENSMUSG00000029404.15 transcript:ENSMUST00000149835.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6ip4 description:ADP-ribosylation factor-like 6 interacting protein 4 [Source:MGI Symbol;Acc:MGI:1929500] MAHVGSRKRSRSRSRSRSGRRGSEKRSKRSSKDASRNCSASRSQGHKAGSASGVEERSKH KAQRTSRSSSTSSSSSSSSSASSSSSSDGRKKRAKHKE >ENSMUSP00000031351.4 pep:known chromosome:GRCm38:5:124116099:124118196:1 gene:ENSMUSG00000029404.15 transcript:ENSMUST00000031351.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6ip4 description:ADP-ribosylation factor-like 6 interacting protein 4 [Source:MGI Symbol;Acc:MGI:1929500] MAHVGSRKRSRSRSRSRSGRRGSEKRSKRSSKDASRNCSASRSQGHKAGSASGVEERSKH KAQRTSRSSSTSSSSSSSSSASSSSSSDGRKKRAKHKEKKRKKKKKKRKKKLKKRVKEKA VAVHQAEALPGPSLDQWHRSAGEDNDGPVLTDEQKSRIQAMKPMTKEEWDARQSVIRKVV DPETGRTRLIKGDGEVLEEIVTKERHREINKQATRGDGLAFQMRTGLLP >ENSMUSP00000112506.1 pep:known chromosome:GRCm38:5:124116122:124118096:1 gene:ENSMUSG00000029404.15 transcript:ENSMUST00000122394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6ip4 description:ADP-ribosylation factor-like 6 interacting protein 4 [Source:MGI Symbol;Acc:MGI:1929500] MAHVGSRKRSRSRSRSRSGRRGSEKRKRSKHKAQRTSRSSSTSSSSSSSSSASSSSSSDG RKKRAKHKEKKRKKKKKKRKKKLKKRVKEKAVAVHQAEALPGPSLDQWHRSAGEDNDGPV LTDEQKSRIQAMKPMTKEEWDARQSVIRKVVDPETGRTRLIKGDGEVLEEIVTKERHREI NKQATRGDGLAFQMRTGLLP >ENSMUSP00000122377.1 pep:known chromosome:GRCm38:5:124116679:124118034:1 gene:ENSMUSG00000029404.15 transcript:ENSMUST00000145667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6ip4 description:ADP-ribosylation factor-like 6 interacting protein 4 [Source:MGI Symbol;Acc:MGI:1929500] MLWVFEVERGQDPARHIVTSRTQKKVPDVLLESPLGGSLPLEQGTKALPSPCITERSKHK AQRTSRSSSTSSSSSSSSSASSSSSSDGRKKRAKHKEKKRKKKKKKRKKKLKKRVKEKAV AVHQAEALPGPSLDQWHRSAGEDNDGPVLTDEQKSRIQAMKPMTKEEWDARQSVIRKVVD PETGRTRLIKGDGEVLEEIVTKERHREINKQATRGDGLAFQMRTGLL >ENSMUSP00000142496.1 pep:known chromosome:GRCm38:5:124117311:124118191:1 gene:ENSMUSG00000029404.15 transcript:ENSMUST00000196401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6ip4 description:ADP-ribosylation factor-like 6 interacting protein 4 [Source:MGI Symbol;Acc:MGI:1929500] THDKGGVGCPTERYSKGGGPRDRTHKAHQGRRRGFRGNRNQRTTQRDQQGCHPRFVNCCW >ENSMUSP00000016977.8 pep:known chromosome:GRCm38:5:100798627:100804471:1 gene:ENSMUSG00000016833.14 transcript:ENSMUST00000016977.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps18c description:mitochondrial ribosomal protein S18C [Source:MGI Symbol;Acc:MGI:1915985] MAALVALCSGIGRKNLTTAAGCFTDRGTQAVSVIWRRCFSQFEQVTSNEDLPVPMENPYK EPLKKCVLCEKRVDYKNVQLLSQFISPFTGCIYGRHITGLCGKKQREITKAIKRAQKMGF MPVTYKDPAYLKDPRVCNIRYRE >ENSMUSP00000108519.1 pep:known chromosome:GRCm38:5:100798737:100804461:1 gene:ENSMUSG00000016833.14 transcript:ENSMUST00000112898.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps18c description:mitochondrial ribosomal protein S18C [Source:MGI Symbol;Acc:MGI:1915985] MAALVALCSGIGRKNLTTAAGCFTDRGTQAVSVIWRRCFSQFEQVTSNEDLPVPMENPYK EPLKKCVLCEKRVDYKNVQVFVERNRGK >ENSMUSP00000143166.1 pep:known chromosome:GRCm38:5:100798743:100804468:1 gene:ENSMUSG00000016833.14 transcript:ENSMUST00000198453.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrps18c description:mitochondrial ribosomal protein S18C [Source:MGI Symbol;Acc:MGI:1915985] MAALVALCSGIGRKNLTTAAGCFTDRGTQAASPNGEPLQGAS >ENSMUSP00000108522.1 pep:known chromosome:GRCm38:5:100798747:100804471:1 gene:ENSMUSG00000016833.14 transcript:ENSMUST00000112901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps18c description:mitochondrial ribosomal protein S18C [Source:MGI Symbol;Acc:MGI:1915985] MAALVALCSGIGRKNLTTAAGCFTDRGTQAVSVIWRRCFSQFEQVTSNEDLPVPMENPYK EPLKKCVLCEKRVDYKNVQLLSQFISPFTGCIYGRHITGLCGKKQREITKAIKRAQKMGK HSCTAELN >ENSMUSP00000030043.6 pep:known chromosome:GRCm38:4:62965573:63115748:1 gene:ENSMUSG00000028358.15 transcript:ENSMUST00000030043.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp618 description:zinc finger protein 618 [Source:MGI Symbol;Acc:MGI:1919950] MTEVKVKTEVPDDYIQEVIWQGEAKEEKAGGKDSTGDVPAEICVVIGGVRNQQTLDGKAP EGSPHGGSVRSRYSGTWIFDQALKYASGSYECGICGKKYKYYNCFQTHVRAHRDTEATSG EGVSQSNNFRYTCDICGKKYKYYSCFQEHRDLHAVDVFSVEGAPENRADPFDQGVVATDE VKEEPPEPFQKIGPKTGNYTCEFCGKQYKYYTPYQEHVALHAPISTAPGWEPPEDPDTGS ECSHPEVTPSPRFVAAKTQSNQSGKKAPASVVRCTSLLHRTPPATQTQTFRAPNSGSPAS KAAAGTDGLSHRCLPGFPPTTCRSCSPARTRLEFPSCFGADWDPWPLATSVTLADQVINL SWRFIQRNLQTFSK >ENSMUSP00000103038.1 pep:known chromosome:GRCm38:4:62965573:63139708:1 gene:ENSMUSG00000028358.15 transcript:ENSMUST00000107415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp618 description:zinc finger protein 618 [Source:MGI Symbol;Acc:MGI:1919950] MSQPDGAAAPQVDGASAPGRKSAVNRERLKRSQKSSKVEGPEPVPAEASLSAEQGTMTEV KVKTEVPDDYIQEVIWQGEAKEEKAGGKDSTGDVPAEICVVIGGVRNQQTLDGKAPEGSP HGGSVRSRYSGTWIFDQALKYASGSYECGICGKKYKYYNCFQTHVRAHRDTEATSGEGVS QSNNFRYTCDICGKKYKYYSCFQEHRDLHAVDVFSVEGAPENRADPFDQGVVATDEVKEE PPEPFQKIGPKTGNYTCEFCGKQYKYYTPYQEHVALHAPISTAPGWEPPEDPDTGSECSH PEVTPSPRFVAAKTQSNQSGKKAPASVVRCTSLLHRTPPATQTQTFRAPNSGSPASKAAA AENTFSRRVESKAQNHFEETNSSSQNSSEPYTCGACGIQFQFYSNLLEHMQSHAADNENN ITSNQSRSPPAAVEEKWKPQAQRNSANNTTTSGLTPNSVIPEKERQNIAERLLRVMCADL GALSVVSGKEFLKLAQTLVDSGARYGAFSVTEILGNFNTLALKHLPRMYNQVKVKVTCAL GSNACLGIGVTCHSQSVGPDSCYILTAYQAEGNHIKSYVLGVKGADIRDSGDLVHHWVQN VLSEFVMSEIRTVYVTDCRVSTSAFSKAGMCLRCSACALNSVVQSVLSKRTLQARSMHEV IELLNVCEDLAGSTGLAKETFGSLEETSPPPCWNSVTDSLLLVHERYEQICEFYSRAKKM NLIQSLNKHLLSNLAAILTPVKQAVIELSNESQPTLQLVLPTYVRLEKLFTAKANDAGTV SKLCHLFLEALKENFKVHPAHKVAMILDPQQKLRPVPPYQHEEIISKVCELINEVKESWA EEADFEPAAKKARSATGEHPAAQEEDRLGKNEVYDYLQEPLFQATPDLFQYWSCVTQKHT KLAKLAFWLLAVPAVGARSGCVNMCEQALLIKRRRLLSPEDMNKLMFLKSNML >ENSMUSP00000069275.5 pep:known chromosome:GRCm38:4:62965574:63134030:1 gene:ENSMUSG00000028358.15 transcript:ENSMUST00000064814.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp618 description:zinc finger protein 618 [Source:MGI Symbol;Acc:MGI:1919950] MSQPDGAAAPQVDGASAPGRKSAVNRERLKRSQKSSKVEGPEPVPAEASLSAEQGTMTEV KVKTEVPDDYIQEVIWQGEAKEEKAGGKDSTGDVPAEICVVIGGVRNQQTLGSYECGICG KKYKYYNCFQTHVRAHRDTEATSGEGVSQSNNFRYTCDICGKKYKYYSCFQEHRDLHAVD VFSVEGAPENRADPFDQGVVATDEVKEEPPEPFQKIGPMSSPVSASYPRCEMRMCEQRKT GNYTCEFCGKQYKYYTPYQEHVALHAPIKNTFSRRVESKAQNHFEETNSSSQNSSEPYTC GACGIQFQFYSNLLEHMQSHAADNENNITSNQSRSPPAAVEEKWKPQAQRNSANNTTTSG LTPNSVIPEKERQNIAERLLRVMCADLGALSVVSGKEFLKLAQTLVDSGARYGAFSVTEI LGNFNTLALKHLPRMYNQVKVKVTCALGSNACLGIGVTCHSQSVGPDSCYILTAYQAEGN HIKSYVLGVKGADIRDSGDLVHHWVQNVLSEFVMSEIRTVYVTDCRVSTSAFSKAGMCLR CSACALNSVVQSVLSKRTLQARSMHEVIELLNVCEDLAGSTGLAKETFGSLEETSPPPCW NSVTDSLLLVHERYEQICEFYSRAKKMNLIQSLNKHLLSNLAAILTPVKQAVIELSNESQ PTLQLVLPTYVRLEKLFTAKANDAGTVSKLCHLFLEALKENFKVHPAHKVAMILDPQQKL RPVPPYQHEEIISKVCELINEVKESWAEEADFEPAAKKARSATGEHPAAQEEDRLGKNEV YDYLQEPLFQATPDLFQYWSCVTQKHTKLAKLAFWLLAVPAVGARSGCVNMCEQALLIKR RRLLSPEDMNKLMFLKSNML >ENSMUSP00000132282.1 pep:known chromosome:GRCm38:13:67779754:67789078:1 gene:ENSMUSG00000090659.8 transcript:ENSMUST00000164936.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp493 description:zinc finger protein 493 [Source:MGI Symbol;Acc:MGI:1920208] MEKLLSFRDVAIDFSAEERECLDSAQWNLYKEVMLENYSHLVFLGLAFSKPHLITFLERR PEPWNVKTQASVGTYPGSKPYQCKQCGKAFGWKSLLVQHQRIHTGEKPYICKECGKAFNY SSTLKQHQRIHTGEKPYKCEVCDKAFKYSFSFKQHQRIHTGEKPYKCEVCGKAFNCSSYL GKHQRIHTGEKPYRCEECGRAFTNYSGLTVHRKVHTGVKPYKCEECGKAFSARTTLSKHH RIHTGEKPYKCDECGKTFSVHSTFSKHQRLHTGEKPYKCEECGMAFIGRCILSKHRGTHT GEKPYKCKECGKAFNCSSSLHQHQQIHRGEKLFKCDDCGQAFSCSSYLYKHRRIHTGMKP YKCKECGKAFNCSSSRNQHQRIHI >ENSMUSP00000137936.1 pep:known chromosome:GRCm38:13:67779754:67792512:1 gene:ENSMUSG00000090659.8 transcript:ENSMUST00000181319.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp493 description:zinc finger protein 493 [Source:MGI Symbol;Acc:MGI:1920208] MEKLLSFRDVAIDFSAEERECLDSAQWNLYKEVMLENYSHLVFLGLAFSKPHLITFLERR PEPWNVKTQASVGTYPGCCLDLLLWLLLMMGCNLFVTSSSLKQAGSDLCH >ENSMUSP00000046137.4 pep:known chromosome:GRCm38:6:42668002:42710088:-1 gene:ENSMUSG00000036667.14 transcript:ENSMUST00000045054.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcaf1 description:TRPM8 channel-associated factor 1 [Source:MGI Symbol;Acc:MGI:1914665] MATPSAAFEALMNGVTSWDIPEDSVPCELLLIGEASFPIMVNDVGQVLVAASSYGRGRMV VASHEDFLLESQLFVFLVNAVGWLRSSPNSAIGVHSSLAPLVKILESCGIESKIEPEVND SLGVYCIDAYNETMTDKLVQFVKRGGGLLIGGEAWDWDTQGDDDRVLFAFPGNLVTSVAG VYFTDNKADTSFFKVSKKMPKIPILVRCDDDLSDDRDELLRGIIDLDITNSDCFPSQLLV HGSLAFPLGLDTYHGCVIAAARYGRGRVVVTGHKVLFTVGKLGPFLLNAVRWLDGGRKGK IVVQTELRTLSGLLAVGGIDTSIEPHLTSDASVYCFEPTSDVGVKELQEFVAEGGGLFVG TQAWWWAFKNPGVSPLARFPGNLLLNAFGISITSQSLNPGPFRTPKIGTRTYHFRSTLAE FQVIMGRKRGNVEKGWLAKLGPDGATFLQIPAEEIPAYMSVHRLLRKLLSRYRLPVATRE NPVINDCCRGAMLSLATGLAHSGSDLSLLIPEIEDVYSSRYLRPSASPITVNVNCTNPGT RYCWMSTGLYIPGRQVIDVSVPESAASADLKIQIGCHTDDLTRASKLFRGPLVINRCCLD KPTKSITCLWGGLLYIIVPQNSKLGSVPLTVKGAVRAPFYKLGETSKEEWKRRLLEYPGP WGELATDNIILTVPTANLRTLENPEPLLRLWDEMMQAVAKLGGETFPLRLPQRIVADVQI SVGWMHAGYPIMCHLESVQELINEKLIRTKGLWGPVHELGRNQQRQEWEFPPHTTEATCN LWCVYVHETVLGIPRSRANIALWPPVREKRVRIYLSKGPSVKHWNAWTALETYLQLQEAF GWEPFIRLFTEYRNQTTSSSPPSDNVDKMNLWVKMFSQQVQRNLAPFFEAWGWPIQKEVA TSLAYLPEWKENIMKLYLLTQM >ENSMUSP00000114036.1 pep:known chromosome:GRCm38:6:42669942:42693087:-1 gene:ENSMUSG00000036667.14 transcript:ENSMUST00000121083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcaf1 description:TRPM8 channel-associated factor 1 [Source:MGI Symbol;Acc:MGI:1914665] MATPSAAFEALMNGVTSWDIPEDSVPCELLLIGEASFPIMVNDVGQVLVAASSYGRGRMV VASHEDFLLESQLFVFLVNAVGWLRSSPNSAIGVHSSLAPLVKILESCGIESKIEPEVND SLGVYCIDAYNETMTDKLVQFVKRGGGLLIGGEAWDWDTQGDDDRVLFAFPGNLVTSVAG VYFTDNKADTSFFKVSKKMPKIPILVRCDDDLSDDRDELLRGIIDLDITNSDCFPSQLLV HGSLAFPLGLDTYHGCVIAAARYGRGRVVVTGHKVLFTVGKLGPFLLNAVRWLDGGRKGK IVVQTELRTLSGLLAVGGIDTSIEPHLTSDASVYCFEPTSDVGVKELQEFVAEGGGLFVG TQAWWWAFKNPGVSPLARFPGNLLLNAFGISITSQSLNPGPFRTPKIGTRTYHFRSTLAE FQVIMGRKRGNVEKGWLAKLGPDGATFLQIPAEEIPAYMSVHRLLRKLLSRYRLPVATRE NPVINDCCRGAMLSLATGLAHSGSDLSLLIPEIEDVYSSRYLRPSASPITVNVNCTNPGT RYCWMSTGLYIPGRQVIDVSVPESAASADLKIQIGCHTDDLTRASKLFRGPLVINRCCLD KPTKSITCLWGGLLYIIVPQNSKLGSVPLTVKGAVRAPFYKLGETSKEEWKRRLLEYPGP WGELATDNIILTVPTANLRTLENPEPLLRLWDEMMQAVAKLGGETFPLRLPQRIVADVQI SVGWMHAGYPIMCHLESVQELINEKLIRTKGLWGPVHELGRNQQRQEWEFPPHTTEATCN LWCVYVHETVLGIPRSRANIALWPPVREKRVRIYLSKGPSVKHWNAWTALETYLQLQEAF GWEPFIRLFTEYRNQTTSSSPPSDNVDKMNLWVKMFSQQVQRNLAPFFEAWGWPIQKEVA TSLAYLPEWKENIMKLYLLTQM >ENSMUSP00000036379.4 pep:known chromosome:GRCm38:6:42671029:42693059:-1 gene:ENSMUSG00000036667.14 transcript:ENSMUST00000045140.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcaf1 description:TRPM8 channel-associated factor 1 [Source:MGI Symbol;Acc:MGI:1914665] MATPSAAFEALMNGVTSWDIPEDSVPCELLLIGEASFPIMVNDVGQVLVAASSYGRGRMV VASHEDFLLESQLFVFLVNAVGWLRSSPNSAIGVHSSLAPLVKILESCGIESKIEPEVND SLGVYCIDAYNETMTDKLVQFVKRGGGLLIGGEAWDWDTQGDDDRVLFAFPGNLVTSVAG VYFTDNKADTSFFKVSKKMPKIPILVRCDDDLSDDRDELLRGIIDLDITNSDCFPSQLLV HGSLAFPLGLDTYHGCVIAAARYGRGRVVVTGHKVLFTVGKLGPFLLNAVRWLDGGRKGK IVVQTELRTLSGLLAVGGIDTSIEPHLTSDASVYCFEPTSDVGVKELQEFVAEGGGLFVG TQAWWWAFKNPGVSPLARFPGNLLLNAFGISITSQSLNPGPFRTPKIGTRTYHFRSTLAE FQVIMGRKRGNVEKGWLAKLGPDGATFLQIPAEEIPAYMSVHRLLRKLLSRYRLPVATRE NPVINDCCRGAMLSLATGLAHSGSDLSLLIPEIEDVYSSRYLRPSASPITVNVNCTNPGT RYCWMSTGLYIPGRQVIDVSVPESAASADLKIQIGCHTDDLTRASKLFRGPLVINRCCLD KPTKSITCLWGGLLYIIVPQNSKLGSVPLTVKGAVRAPFYKLGETSKEEWKRRLLEYPGP WGELATDNIILTVPTANLRTLENPEPLLRLWDEMMQAVAKLGGETFPLRLPQRIVADVQI SVGWMHAGYPIMCHLESVQELINEKLIRTKGLWGPVHELGRNQQRQEWEFPPHTTEATCN LWCVYVHETVLGIPRSRANIALWPPVREKRVRIYLSKGPSVKHWNAWTALETYLQLQEAF GWEPFIRLFTEYRNQTTSSSPPSDNVDKMNLWVKMFSQQVQRNLAPFFEAWGWPIQKEVA TSLAYLPEWKENIMKLYLLTQM >ENSMUSP00000056481.3 pep:known chromosome:GRCm38:1:44204070:44218961:-1 gene:ENSMUSG00000046828.3 transcript:ENSMUST00000054801.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl21e description:methyltransferase like 21E [Source:MGI Symbol;Acc:MGI:2685837] MDLTVTHITHKETYKEPRDDDDDKQVVAEIMARSFIPTLITTIPWEGFHFAGHEIQITEG KDCYGAFVWPSALVLCYFLETHAKQYNMVDKNVIEIGAGTGLVSIVASLLGARVIATDLP ELLGNLQYNISRNTKMKCKHLPQVKELSWGVALDRNFPRSSNNFDYILAADVVYAHPFLE ELLMTFDHLCKETTIILWAMRFRLEKENKFVDKFKELFDLEEISSFPSLNIKLYKAMKKN RRSA >ENSMUSP00000097809.3 pep:known chromosome:GRCm38:2:29060262:29087656:1 gene:ENSMUSG00000026803.17 transcript:ENSMUST00000100237.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttf1 description:transcription termination factor, RNA polymerase I [Source:MGI Symbol;Acc:MGI:105044] MKGGTSKFKTHTETLYKKKKWSSVSEKRPQKCPSQCLESKQPQVSVLGKRRRASQTPAQE TLESEWPQKAKRKKRRREPQTPAQETLESEWPQKAKKKKRRGEPQTPTQESLESEQPPVS LLGKRRRESQTPAQENSESEQPRKAKRRRKKRKGSQQPTSSLLKTPETFLKAKKTTSAHK KKKNSVLEVDMETGIILVDKENMENLLETSRKDVDIVYVDMSKGQRSAKVRETGELPAAK PQEHGCRELLGDVRSRKKQKHLQKVAPWDVVQGSQPESISLPPSEPLSSEDLEGKSTEAA VFCKKKSKKNVFRSQELEPIPDSLDDSETISERLDSTHHGGAVGAGEECESTKESHSIKK KSKKKKHKSVALATSSDSASVTDSKAKNALVDSSEGSGAVREEDVDHRPAEAEAQACSTE KHREAMQRLEPTHEEESNSESASNSAARHISEDRRESDDSDVDLGSAVRQLREFIPDIQE RAATTIRRMYRDDLGRFKEFKAQGVAIRFGKFSAKENKQIEKNVQDFLSLTGIESADKLL YTDRYPEEKTLITNLKRKHAFRLHIGKGIARPWKLVYYRAKKIFDVNNYKGRYNEEDTKK LKAYHSLHGNDWKKIGAMVARSSLSVALKFSQIGGTRNQGAWSKAETQRLIKAVEDVILK KMSPQELRELDSKLQEDPEGRLSIVREKLYKGISWVEVEARVETRNWMQCKSKWTEILTK RMTHGGFVYRGVNALQAKITLIERLYELNVNDANEIDWEDLASAIGDVPPPFVQAKFYKL KAACVPFWQKKTFPEIIDYLYKNSLPLLKEKLDKKMKKKDGQIQTPAAPKQDFLFKDIFH CDDDSDEGSPEEPSASDVQ >ENSMUSP00000071925.1 pep:known chromosome:GRCm38:13:22827194:22828114:-1 gene:ENSMUSG00000061296.1 transcript:ENSMUST00000072044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r210 description:vomeronasal 1 receptor 210 [Source:MGI Symbol;Acc:MGI:2159673] MFLNYINKIISLFMTVFGTLGNMSVSVNYMFSWWGSPEKKPIHLILIHMAFTNILILLAK GLPKTILAFGLRNFLDDIGCKIIVYLERVARGLSICTSSLLTVVQAIIISPRGSGWRKLK PKSAWHILPFFSFFWILNALISTNLIHSIKSASINISQRINDDNYCYFMLENEKIKWVVL PLMVLRDAVFQGAMGGASGYMVFLLHKHHQQVLYLLNSKLLYRTPPELRAAQSVLLLMLC FVFFYWADCAFSLIISISSRDNNLMLNTREFLALGYATFSPFVLIHRDGLLVKFWHAQWE KLRKCL >ENSMUSP00000047261.7 pep:known chromosome:GRCm38:9:7368239:7388047:-1 gene:ENSMUSG00000041620.8 transcript:ENSMUST00000047888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp1b description:matrix metallopeptidase 1b (interstitial collagenase) [Source:MGI Symbol;Acc:MGI:1933847] MSSLPLLLLLWAASSYSFPVIQDGLQKNVKTVWKYLENYYNLGKNMQAKNVNGKEVMAEK LRQMQQLFGLKVTGNSDPETLRAMKKPRCGVPDVAPYAITHNNPRWTKTHLTYSILNYTP YLSKAVVEDAIARAFRVWSDVTPLTFQRVFEEEGDIVLSFHRGDHGDLYTFDGSKYHFAH AFLPGLGLGGNVHYDLDQKWTDNNEDFNLFYVTAHELGHSLGLSHSNDEEALMFPSYTWS NKDFVLNQDDINRIQALYGPSPNPIQLTDATLDPCNSGLTFDAIITYRGEVIFFKDRFYI RVISFLPEPLIDVIDLIWPNLPGKFDAAYEVSGVDELRFFKGSKVWAVQEQNVLEGFPMD IQSFFGFPSNVTNIDAAVCEEETGKTYFFVDHMYWRYDENTRSMDPGYPRLIAEDFPGID YKVDDVIQKEDNFYFFHQSIQYRFNLKTRRIDDSSDINTWFNC >ENSMUSP00000035304.5 pep:known chromosome:GRCm38:6:134830154:134858931:1 gene:ENSMUSG00000032652.13 transcript:ENSMUST00000046303.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebl2 description:cAMP responsive element binding protein-like 2 [Source:MGI Symbol;Acc:MGI:1889385] MDDSKVVGGKVKKPGKRGRKPAKIDLKAKLERSRQSARECRARKKLRYQYLEELVSSRER AICALREELEMYKQWCMAMDQGKIPSEIRALLTGEEQSKPQQSSSRHPKAGKTDADTNSL VGN >ENSMUSP00000107568.1 pep:known chromosome:GRCm38:6:134830255:134856160:1 gene:ENSMUSG00000032652.13 transcript:ENSMUST00000111937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebl2 description:cAMP responsive element binding protein-like 2 [Source:MGI Symbol;Acc:MGI:1889385] MDDSKVVGGKVKKPGKRGRKPAKIDLKAKLERSRQSARECRARKKLRYQYLEELVSSRER AICALREELEMWWGIEPVASDTMR >ENSMUSP00000099636.3 pep:known chromosome:GRCm38:4:130944385:130955475:1 gene:ENSMUSG00000040533.7 transcript:ENSMUST00000102576.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matn1 description:matrilin 1, cartilage matrix protein [Source:MGI Symbol;Acc:MGI:106591] MKVTSGPAFALCSLLLLLLLLLQVPDSLSLVPQPRGHLCRTRPTDLVFVVDSSRSVRPVE FEKVKVFLSQVIESLDVGPNATRVGLVNYASTVKPEFPLRAHGSKASLLQAVRRIQPLST GTMTGLALQFAITKALSDAEGGRARSPDISKVVIVVTDGRPQDSVRDVSERARASGIELF AIGVGRVDKATLRQIASEPQDEHVDYVESYNVIEKLAKKFQEAFCVVSDLCATGDHDCEQ LCVSSPGSYTCACHEGFTLNSDGKTCNVCRGGGSGSATDLVFLIDGSKSVRPENFELVKK FINQIVDTLDVSDRLAQVGLVQYSSSIRQEFPLGRFHTKKDIKAAVRNMSYMEKGTMTGA ALKYLIDNSFTVSSGARPGAQKVGIVFTDGRSQDYINDAARKAKDLGFKMFAVGVGNAVE EELREIASEPVADHYFYTADFKTINQIGKKLQKQICVEEDPCACESILKFEAKVEGLLQA LTRKLEAVSGRLAVLENRII >ENSMUSP00000028259.5 pep:known chromosome:GRCm38:2:62595798:62646211:-1 gene:ENSMUSG00000026896.14 transcript:ENSMUST00000028259.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifih1 description:interferon induced with helicase C domain 1 [Source:MGI Symbol;Acc:MGI:1918836] MSIVCSAEDSFRNLILFFRPRLKMYIQVEPVLDHLIFLSAETKEQILKKINTCGNTSAAE LLLSTLEQGQWPLGWTQMFVEALEHSGNPLAARYVKPTLTDLPSPSSETAHDECLHLLTL LQPTLVDKLLINDVLDTCFEKGLLTVEDRNRISAAGNSGNESGVRELLRRIVQKENWFST FLDVLRQTGNDALFQELTGGGCPEDNTDLANSSHRDGPAANECLLPAVDESSLETEAWNV DDILPEASCTDSSVTTESDTSLAEGSVSCFDESLGHNSNMGRDSGTMGSDSDESVIQTKR VSPEPELQLRPYQMEVAQPALDGKNIIICLPTGSGKTRVAVYITKDHLDKKKQASESGKV IVLVNKVMLAEQLFRKEFNPYLKKWYRIIGLSGDTQLKISFPEVVKSYDVIISTAQILEN SLLNLESGDDDGVQLSDFSLIIIDECHHTNKEAVYNNIMRRYLKQKLRNNDLKKQNKPAI PLPQILGLTASPGVGAAKKQSEAEKHILNICANLDAFTIKTVKENLGQLKHQIKEPCKKF VIADDTRENPFKEKLLEIMASIQTYCQKSPMSDFGTQHYEQWAIQMEKKAAKDGNRKDRV CAEHLRKYNEALQINDTIRMIDAYSHLETFYTDEKEKKFAVLNDSDKSDDEASSCNDQLK GDVKKSLKLDETDEFLMNLFFDNKKMLKKLAENPKYENEKLIKLRNTILEQFTRSEESSR GIIFTKTRQSTYALSQWIMENAKFAEVGVKAHHLIGAGHSSEVKPMTQTEQKEVISKFRT GEINLLIATTVAEEGLDIKECNIVIRYGLVTNEIAMVQARGRARADESTYVLVTSSGSGV TEREIVNDFREKMMYKAINRVQNMKPEEYAHKILELQVQSILEKKMKVKRSIAKQYNDNP SLITLLCKNCSMLVCSGENIHVIEKMHHVNMTPEFKGLYIVRENKALQKKFADYQTNGEI ICKCGQAWGTMMVHKGLDLPCLKIRNFVVNFKNNSPKKQYKKWVELPIRFPDLDYSEYCL YSDED >ENSMUSP00000108078.3 pep:known chromosome:GRCm38:2:62597447:62646153:-1 gene:ENSMUSG00000026896.14 transcript:ENSMUST00000112459.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifih1 description:interferon induced with helicase C domain 1 [Source:MGI Symbol;Acc:MGI:1918836] MSIVCSAEDSFRNLILFFRPRLKMYIQVEPVLDHLIFLSAETKEQILKKINTCGNTSAAE LLLSTLEQGQWPLGWTQMFVEALEHSGNPLAARYVKPTLTDLPSPSSETAHDECLHLLTL LQPTLVDKLLINDVLDTCFEKGLLTVEDRNRISAAGNSGNESGVRELLRRIVQKENWFST FLDVLRQTGNDALFQELTGGGCPEDNTESDTSLAEGSVSCFDESLGHNSNMGRDSGTMGS DSDESVIQTKRVSPEPELQLRPYQMEVAQPALDGKNIIICLPTGSGKTRVAVYITKDHLD KKKQASESGKVIVLVNKVMLAEQLFRKEFNPYLKKWYRIIGLSGDTQLKISFPEVVKSYD VIISTAQILENSLLNLESGDDDGVQLSDFSLIIIDECHHTNKEAVYNNIMRRYLKQKLRN NDLKKQNKPAIPLPQILGLTASPGVGAAKKQSEAEKHILNICANLDAFTIKTVKENLGQL KHQIKEPCKKFVIADDTRENPFKEKLLEIMASIQTYCQKSPMSDFGTQHYEQWAIQMEKK AAKDGNRKDRVCAEHLRKYNEALQINDTIRMIDAYSHLETFYTDEKEKKFAVLNDSDKSD DEASSCNDQLKGDVKKSLKLDETDEFLMNLFFDNKKMLKKLAENPKYENEKLIKLRNTIL EQFTRSEESSRGIIFTKTRQSTYALSQWIMENAKFAEVGVKAHHLIGAGHSSEVKPMTQT EQKEVISKFRTGEINLLIATTVAEEGLDIKECNIVIRYGLVTNEIAMVQARGRARADEST YVLVTSSGSGVTEREIVNDFREKMMYKAINRVQNMKPEEYAHKILELQVQSILEKKMKVK RSIAKQYNDNPSLITLLCKNCSMLVCSGENIHVIEKMHHVNMTPEFKGLYIVRENKALQK KFADYQTNGEIICKCGQAWGTMMVHKGLDLPCLKIRNFVVNFKNNSPKKQYKKWVELPIR FPDLDYSEYCLYSDED >ENSMUSP00000037809.6 pep:known chromosome:GRCm38:16:4874778:4880315:-1 gene:ENSMUSG00000039568.6 transcript:ENSMUST00000037843.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubald1 description:UBA-like domain containing 1 [Source:MGI Symbol;Acc:MGI:1916255] MSVNMDELKHQVMINQFVLTAGCAADQAKQLLQAAHWQFETALSTFFQETNIPYSHHHQM MCTPANTPATPPNFPDALTMFSRLKASESFHGGGGSSSPMATSATSPPPHFPHATGSFAT PSWPTAASPPGGPQQHQPQPPLWTPAPPSPTSDWPPLAPQQATSEPRAHPAMEAER >ENSMUSP00000057445.2 pep:known chromosome:GRCm38:11:99597347:99598105:-1 gene:ENSMUSG00000049809.2 transcript:ENSMUST00000062683.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap9-3 description:keratin associated protein 9-3 [Source:MGI Symbol;Acc:MGI:1922836] MACCATSFCGFPTCSTGGSSCCQPTCTQSSCCQPSCCEASCCQPSCCETGFGGGIGCGQE GGSGGVSCRVRWCRPDCRVEGTCLPPCCVVSCTPPTCCQLHHAQASCCRPSYCGQSCCRP ACCCYCCQPSCSEPSC >ENSMUSP00000074404.2 pep:known chromosome:GRCm38:X:53069995:53240111:-1 gene:ENSMUSG00000061082.11 transcript:ENSMUST00000074861.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac1 description:placental specific protein 1 [Source:MGI Symbol;Acc:MGI:1926287] MNLRKFLGGTVLVAFMLFSYSEQNQVNVLCSTDWFMVTVHPFLLNNDVYVHFYEVHLGLG CPPNHVHPHFYQFHYRVTECGIRIKAVSPDVVIYSSEIHYASKGSSTKYVIPVSCAAPRR SPWLTKPYSAKAPSNNMGATPKNDTSYHVFTLPEPSEQPNCSCPPYVYNQKSM >ENSMUSP00000110492.2 pep:known chromosome:GRCm38:X:53069996:53114530:-1 gene:ENSMUSG00000061082.11 transcript:ENSMUST00000114843.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac1 description:placental specific protein 1 [Source:MGI Symbol;Acc:MGI:1926287] MNLRKFLGGTVLVAFMLFSYSEQNQVNVLCSTDWFMVTVHPFLLNNDVYVHFYEVHLGLG CPPNHVHPHFYQFHYRVTECGIRIKAVSPDVVIYSSEIHYASKGSSTKYVIPVSCAAPRR SPWLTKPYSAKAPSNNMGATPKNDTSYHVFTLPEPSEQPNCSCPPYVYNQKSM >ENSMUSP00000133862.1 pep:known chromosome:GRCm38:X:53070172:53209724:-1 gene:ENSMUSG00000061082.11 transcript:ENSMUST00000174390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac1 description:placental specific protein 1 [Source:MGI Symbol;Acc:MGI:1926287] MNLRKFLGGTVLVAFMLFSYSEQNQVNVLCSTDWFMVTVHPFLLNNDVYVHFYEVHLGLG CPPNHVHPHFYQFHYRVTECGIRIKAVSPDVVIYSSEIHYASKGSSTKYVIPVSCAAPRR SPWLTKPYSAKAPSNNMGATPKNDTSYHVFTLPEPSEQPNCSCPPYVYNQKSM >ENSMUSP00000134223.1 pep:known chromosome:GRCm38:7:7311723:7337531:-1 gene:ENSMUSG00000070847.11 transcript:ENSMUST00000174368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r30 description:vomeronasal 2, receptor 30 [Source:MGI Symbol;Acc:MGI:1316729] MFIFMGVFFLLNITLLMANFINPRCFWRINLDEITDEYLGLSCTFILAAVQTPTEKDYFN KTLNVLKTTKNHKYALALVFAMDEINRNPDLLPNMSLIIRYTLGLCDGKTVTPTPYLFHK KKQSPIPNYFCNEETMCSFLLSGPKWDVSLSFWMYLDSFLSPRILQLTYGPFHSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYLKWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDTSFPHKTEMDYNQIVMSSTNVIIIYGETRNFIYLIFRMWEPPILQRIWITT KQLNFPTRKTDISHGTFYGSLTFLPHHGEISGFKKFVQTWFHVRNTDLYLVMPEWNYFNY VSSASNCKILKNNSSDASFDWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIGNGKGASSHCLKVNSFLRRTYFTNPLGDKVFMKQRVIMQDEYDIIHFGNLSQHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETSSSPFHPCIQTGTIMGWRCDYGMGIPSLT >ENSMUSP00000072296.6 pep:known chromosome:GRCm38:7:7312274:7337493:-1 gene:ENSMUSG00000070847.11 transcript:ENSMUST00000072475.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r30 description:vomeronasal 2, receptor 30 [Source:MGI Symbol;Acc:MGI:1316729] MFIFMGVFFLLNITLLMANFINPRCFWRINLDEITDEYLGLSCTFILAAVQTPTEKDYFN KTLNVLKTTKNHKYALALVFAMDEINRNPDLLPNMSLIIRYTLGLCDGKTVTPTPYLFHK KKQSPIPNYFCNEETMCSFLLSGPKWDVSLSFWMYLDSFLSPRILQLTYGPFHSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYLKWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDTSFPHKTEMDYNQIVMSSTNVIIIYGETRNFIYLIFRMWEPPILQRIWITT KQLNFPTRKTDISHGTFYGSLTFLPHHGEISGFKKFVQTWFHVRNTDLYLVMPEWNYFNY VSSASNCKILKNNSSDASFDWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIGNGKGASSHCLKVNSFLRRTYFTNPLGDKVFMKQRVIMQDEYDIIHFGNLSQHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNRAICVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSDTAFYCILGYLACLALGSFSLAFLAKNLPDTFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGILGCIFVPKIYIILMRPER NSTQKIREKSYF >ENSMUSP00000002925.5 pep:known chromosome:GRCm38:16:38498347:38522663:-1 gene:ENSMUSG00000002846.9 transcript:ENSMUST00000002925.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timmdc1 description:translocase of inner mitochondrial membrane domain containing 1 [Source:MGI Symbol;Acc:MGI:1922139] MGAPPPAPRSRLCGAWGPFPRVFAAGAVAADSPGFVEDREQRSGVSDPGSLESGWDRLRQ LFAKDEQQRFSKEIDYIYRAAVSAGIIGWAYGGIPAFIYAKKRYIEQSQAEIYHNRFDAV QSAHRAATRGFIRYGWRWSWRTAVFVTIFNTVNTGLTVYRNKDAMSHFAIAGAVTGGLFR INLGVRGLVAGSIIGALLGAPMGSLLMALEKYSGETVQERRQKEWKALHEQRLEEWRSSL QVTELLPMEIESGLEKIQPEGDAQRIEELLSLPRNPSSPHQQSKH >ENSMUSP00000043437.6 pep:known chromosome:GRCm38:19:29522282:29606829:1 gene:ENSMUSG00000038658.15 transcript:ENSMUST00000043610.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ric1 description:RAB6A GEF complex partner 1 [Source:MGI Symbol;Acc:MGI:1924893] MYFLSGWPKRLLCAPRSPAEAPLHVQSDPRRAFFAVLAPARLSIWYSRPSVLIVTYKEPA KSSTQFGSYKQAEWRPDSTMIAVSTANGYILFFHITSSRGDKYLYEPVYPKGSPQMKGIP HFKEEHCAPALNLEMKKILDLQAPIMSLQSVLEDLLVATSDGLLHLIHWEGMTNGRKAIN LSTVPFSVDLQSSRVGSFLGFADVHIKDMEYCATLDGFAVVFNDGKVGFITPVSSRFTAE QLHGVWPQDVIDGTCVAVNNKYRLMAFGCASGCVQVYTIDNTTGAMLLSHKLELTAKQYP DIWNKTGAVKLIRWSPDNSAVIVTWEYGGLSLWSVFGAQLICTLGGDFAYRSDGTKKDPL KINSMSWGAEGYHLWVISGLGSQHTQIETDLRSTVKEPSILLFQFIKSVLTVNPCMSNQE QVLLQGEDRLYLNCGEASQAQNPKYSSARAERMPRHEKSPFADGGLEAPGLSTLLGHRHW HVVQISSTYLESNWPIRFSAIDKLGQNIAVAGKFGFAHYSLLTKKWKLFGNITQEQNMIV TGGLAWWDDFMVLACYNLSDRQEELRIYLRTSNLDNAFAHVTKAPMETLLLSVFRDMVVV FRADCSICLYSIERKSDGSNTTASVQVLQEVSMSRYIPHPFLVVSVTLTSVSTENGISLK MPQQARDAESIMLNLAGQLIMMQRDRSGPQIREKDSHPNQRKLLPFCPPVVLAQSVENVW TTCRANKQKRHLLEALWLSCGGAGMKVWLPLFPRDHRKPHSFLSQRIMLPFHINIYPLAV LFEDALVLGAVNDTLLYDSLYTRSSAREQLEVLFPFCVVERTSQIYLHHILRQLLVRNLG EQALLLAQSCAALPYFPHVLELMLHEVLEEEATSREPIPDPLLPTVAKFITEFPLFLQTV VHCARKTEYALWNYLFAAVGNPKDLFEECLMAQDLDTAASYLIILQNMEVPAVSRQHATL LFNTALEQGKWDLCRHMIRFLKAIGSGESETPPSTPTSQEPSSSGGFEFFRNRSISLSQS AENVPPGKFGLQKTLSMPTGPSGKRWSKDSECAENMYIDMMLWRHARRLLEEVRLKDLGC FAAQLGFELISWLCKERTRAARVDNFVVALKRLHKDFLWPLPIIPASSISSPFKNGKCRA VGEQMLKSQSADPFITPEMDAGISNIQRSQSWLSNIGPTHRDTDRASSPGPQMQDAFLSP LSNKGDECSIGSATDLTESSSVVDGDWTMVDENFSTLSLTQSELEHISMELASKGPHKSQ VQLRYLLHIFMEAGCLDWCVVIGLILRESSVVSQLLGIAQSSEMDGEMLQNIKSGLQAVD RWASTDCPGYKPFLNIIKPQLQKLSEITEELVQPDTFQPVTVGKTPEQTSPRAEENRGSC SHGSISQSEPGSNNVVSRKEEDTTQADEEEPLQDGAYDCSVS >ENSMUSP00000124788.1 pep:known chromosome:GRCm38:19:29522335:29563621:1 gene:ENSMUSG00000038658.15 transcript:ENSMUST00000162184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ric1 description:RAB6A GEF complex partner 1 [Source:MGI Symbol;Acc:MGI:1924893] MYFLSGWPKRLLCAPRSPAEAPLHVQSDPRRAFFAVLAPARLSIWYSRPSVLIVTYKEPA KSSTQFGSYKQAEWRPDSTMIAVSTANGYILFFHITSSRGDKYLYEPVYPKGSPQMKGIP HFKEEHCAPALNLEMKKILDLQAPIMRYGMLVKFEIF >ENSMUSP00000125299.1 pep:known chromosome:GRCm38:19:29595528:29605185:1 gene:ENSMUSG00000038658.15 transcript:ENSMUST00000160452.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ric1 description:RAB6A GEF complex partner 1 [Source:MGI Symbol;Acc:MGI:1924893] XAVNDTLLYDSLYTRSSAREQLEVLFPFCVVERTSQIYLHHILRQLLVRNLGEQALLLAQ SCAALPYFPHVLELMLHEVLEEEATSREPIPDPLLPTVAKFITEFPLFLQTVVHCARKTE YALWNYLFAAVGNPKDLFEECLMAQDLDTAASYLIILQMEQRQ >ENSMUSP00000125709.1 pep:known chromosome:GRCm38:19:29597845:29605896:1 gene:ENSMUSG00000038658.15 transcript:ENSMUST00000161330.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ric1 description:RAB6A GEF complex partner 1 [Source:MGI Symbol;Acc:MGI:1924893] XFLKAIGSGESETPPSTPTSQEPSSSGGFEFFRNRSISLSQSAENVPPGKFGLQKTLSMP TGPSGKRWSKDSECAENMYIDMMLWRHARRLLEEVRLKDLGCFAAQLGFELISWLCKERT RAARVDNFVVALKRLHKDFLWPLPIIPASSISSPFKNGKCRAVGEQMLKSQSADPFITPE MDAGISNIQRSQSWLSNIGPTHRDTDRASSPGPQMQDAFLSPLSNKGDECSIGSATDLTE SSSVVDGDWTMVDENFSTLSLTQSELEHISMELASKGPHKSQVQLRYLLHIFMEAGCLDW CVVIGLILRESSVVSQLLGIAQSSEMDGEMLQNIKSGLQAVDRWASTDCPGYKPFLNIIK PQLQKLSEITEELVQPDTFQPVTVGKTPEQTSPRAEENRGSCSHGSISQSEPGSNNVVSR KEEDTTQADEEEPLQDGAYDCSVS >ENSMUSP00000124727.1 pep:known chromosome:GRCm38:19:29533236:29604200:1 gene:ENSMUSG00000038658.15 transcript:ENSMUST00000162492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ric1 description:RAB6A GEF complex partner 1 [Source:MGI Symbol;Acc:MGI:1924893] XEWRPDSTMIAVSTANGYILFFHITSSRGDKYLYEPVYPKGSPQMKGIPHFKEEHCAPAL NLEMKKILDLQAPIMSLQSVLEDLLVATSDGLLHLIHWEGMTNGRKAINLSTVPFSVDLQ SSRVGSFLGFADVHIKDMEYCATLDGFAVVFNDGKVGFITPVSSRFTAEQLHGVWPQDVI DGTCVAVNNKYRLMAFGCASGCVQVYTIDNTTGAMLLSHKLELTAKQYPDIWNKTGAVKL IRWSPDNSAVIVTWEYGGLSLWSVFGAQLICTLGGDFAYRSDGTKKDPLKINSMSWGAEG YHLWVISGLGSQHTQIETDLRSTVKEPSILLFQFIKSVLTVNPCMSNQEQVLLQGEDRLY LNCGEASQAQNPKYSSARAERMPRHEKSPFADGGLEAPGLSTLLGHRHWHVVQISSTYLE SNWPIREQNMIVTGGLAWWDDFMVLACYNLSDRQEELRIYLRTSNLDNAFAHVTKAPMET LLLSVFRDMVVVFRADCSICLYSIERKSDGSNTTASVQVLQEVSMSRYIPHPFLVVSVTL TSVSTENGISLKMPQQARDAESIMLNLAGQLIMMQRDRSGPQIREKDSHPNQRKLLPFCP PVVLAQSVENVWTTCRANKQKRHLLEALWLSCGGAGMKVWLPLFPRDHRKPHSFLSQRIM LPFHINIYPLAVLFEDALVLGAVNDTLLYDSLYTRSSAREQLEVLFPFCVVERTSQIYLH HILRQLLVRNLGEQALLLAQSCAALPYFPHVLELMLHEVLEEEATSREPIPDPLLPTVAK FITEFPLFLQTVVHCARKTEYALWNYLFAAVGNPKDLFEECLMAQDLDTAASYLIILQNM EVPAVSRQHATLLFNTALEQGKWDLCRHMIRFLKAIGSGESETPPSTPTSQEPSSSGGFE FFRNRSISLSQSAENVPPGKFGLQKTLSMPTGPSGKRWSKDSECAENMYIDMMLWRHARR LLEEVRLKDLGCFAAQLGFELISWLCKERTRAARVDNFVVALKRLHKDFLWPLPIIPASS ISSPFKNGKCRAVGEQMLKSQSADPFITPEMDAGISNIQRSQSWLSNIGPTHRDTDRASS PGPQMQDAFLSPLSNKGDECSIGSATDLTESSSVVDGDWTMVDENFSTLSLTQSELEHIS MELASKGPHKSQVQLRYLLHIFMEAGCLDWCVVIGLILRESSVVSQLLGIAQSSEMDGEM LQNIKSGLQAVDRWASTDCPGYKPFLNIIKPQLQKLSEITEELVQPDTFQPVTVGKTPEQ TSPRAEENRGSCSHGSISQSEPGSNNVVSRKEEDTTQADEEEPLQDGAYDCSVS >ENSMUSP00000116080.1 pep:known chromosome:GRCm38:9:7344381:7349787:1 gene:ENSMUSG00000049723.14 transcript:ENSMUST00000150167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp12 description:matrix metallopeptidase 12 [Source:MGI Symbol;Acc:MGI:97005] MQQFFGLEATGQLDNSTLAIMHIPRCGVPDVQHLRAVPQRSRWMKRYLTYRIYNYTPDMK REDVDYIFQKAF >ENSMUSP00000114129.1 pep:known chromosome:GRCm38:9:7344397:7358464:1 gene:ENSMUSG00000049723.14 transcript:ENSMUST00000120655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp12 description:matrix metallopeptidase 12 [Source:MGI Symbol;Acc:MGI:97005] MQQFFGLEATGQLDNSTLAIMHIPRCGVPDVQHLRAVPQRSRWMKRYLTYRIYNYTPDMK REDVDYIFQKAFQVWSDVTPLRFRKLHKDEADIMILFAFGAHGDFNYFDGKGGTLAHAFY PGPGIQGDAHFDEAETWTKSFQGTNLFLVAVHELGHSLGLQHSNNPKSIMYPTYRYLNPS TFRLSADDIRNIQSLYGAPVKPPSLTKPSSPPSTFCHQSLSFDAVTTVGEKIFFFKDWFF WWKLPGSPATNITSISSIWPSIPSGIQAAYEIESRNQLFLFKDEKYWLINNLVPEPHYPR SIYSLGFSASVKKVDAAVFDPLRQKVYFFVDKHYWRYDVRQELMDPAYPKLISTHFPGIK PKIDAVLYFKRHYYIFQGAYQLEYDPLFRRVTKTLKSTSWFGC >ENSMUSP00000005950.5 pep:known chromosome:GRCm38:9:7347374:7360461:1 gene:ENSMUSG00000049723.14 transcript:ENSMUST00000005950.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp12 description:matrix metallopeptidase 12 [Source:MGI Symbol;Acc:MGI:97005] MSCTLLKGVCTMKFLMMIVFLQVSACGAAPMNDSEFAEWYLSRFYDYGKDRIPMTKTKTN RNFLKEKLQEMQQFFGLEATGQLDNSTLAIMHIPRCGVPDVQHLRAVPQRSRWMKRYLTY RIYNYTPDMKREDVDYIFQKAFQVWSDVTPLRFRKLHKDEADIMILFAFGAHGDFNYFDG KGGTLAHAFYPGPGIQGDAHFDEAETWTKSFQGTNLFLVAVHELGHSLGLQHSNNPKSIM YPTYRYLNPSTFRLSADDIRNIQSLYGAPVKPPSLTKPSSPPSTFCHQSLSFDAVTTVGE KIFFFKDWFFWWKLPGSPATNITSISSIWPSIPSGIQAAYEIESRNQLFLFKDEKYWLIN NLVPEPHYPRSIYSLGFSASVKKVDAAVFDPLRQKVYFFVDKHYWRYDVRQELMDPAYPK LISTHFPGIKPKIDAVLYFKRHYYIFQGAYQLEYDPLFRRVTKTLKSTSWFGC >ENSMUSP00000065291.5 pep:known chromosome:GRCm38:9:7347374:7369499:1 gene:ENSMUSG00000049723.14 transcript:ENSMUST00000065079.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp12 description:matrix metallopeptidase 12 [Source:MGI Symbol;Acc:MGI:97005] MSCTLLKGVCTMKFLMMIVFLQVSACGAAPMNDSEFAEWYLSRFYDYGKDRIPMTKTKTN RNFLKEKLQEMQQFFGLEATGQLDNSTLAIMHIPRCGVPDVQHLRAVPQRSRWMKRYLTY RIYNYTPDMKREDVDYIFQKAFQVWSDVTPLRFRKLHKDEADIMILFAFGAHGDFNYFDG KGGTLAHAFYPGPGIQGDAHFDEAETWTKSFQGTNLFLVAVHELGHSLGLQHSNNPKSIM YPTYRYLNPSTFRLSADDIRNIQSLYVHVVNKEVCFSSLFFTNSSINVCHIAREAKETLD VHGKSFQYILFLNSPYFAACQSKATDDPPCLHFQLSFTS >ENSMUSP00000120225.1 pep:known chromosome:GRCm38:9:7347406:7358888:1 gene:ENSMUSG00000049723.14 transcript:ENSMUST00000127722.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mmp12 description:matrix metallopeptidase 12 [Source:MGI Symbol;Acc:MGI:97005] MSCTLLKGVCTMKFLMMIVFLQVSACGAAPMNDSEFAEGSQQKPGCIQPCAMLVFYLVVL VKIL >ENSMUSP00000091551.4 pep:known chromosome:GRCm38:11:76416734:76509419:-1 gene:ENSMUSG00000017631.18 transcript:ENSMUST00000094012.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abr description:active BCR-related gene [Source:MGI Symbol;Acc:MGI:107771] MAAGGRRRRPLRYQSLAALVEDSQWPFLFLVSDFSYGAEDYDAEGHEEQKGPPEGSETMP YIDESPTMSPQLSARSQGGGDSVSPTPPEGLAPGVEAGKGLEMRKLVLSGFLASEEIYIN QLEALLLPMKPLKATATTSQPVLTIQQIETIFYKIQDIYEIHKEFYDNLCPKVQQWDSQV TMGHLFQKLASQLGVYKAFVDNYKVALETAEKCSQSNNQFQKISEELKVKGPKDSKDSHT SVTMEALLYKPIDRVTRSTLVLHDLLKHTPVDHPDYPLLQDALRISQNFLSSINEDIDPR RTAVTTPKGETRQLVKDGFLVEMSESSRKLRHVFLFTDVLLCAKLKKTSAGKHQQYDCKW YIPLADLVFPSPEESEASPQVHPFPDHELEDMKTKISALKSEIQKEKANKGQSRAIERLK KKMFENEFLLLLNSPTIPFRIHNRNGKSYLFLLSSDYERSEWREAIQKLQKKDLQAFVLS SVELQVLTGSCFKLRTVHNIPVTSNKDDDESPGLYGFLHVIVHSAKGFKQSANLYCTLEV DSFGYFVSKAKTRVFRDTTEPKWDEEFEIELEGSQSLRILCYEKCYDKTKVNKDNNEIVD KIMGKGQIQLDPQTVESKNWHTDVIEMNGIKVEFSMKFTSRDMSLKRTPSKKQTGVFGVK ISVVTKRERSKVPYIVRQCIEEVEKRGIEEVGIYRISGVATDIQALKAVFDANNKDILLM LSDMDINAIAGTLKLYFRELPEPLLTDRLYPAFMEGIALSDPAAKENCMMHLLRSLPDPN LITFLFLLEHLKRVAEKEPINKMSLHNLATVFGPTLLRPSEVESKAHLTSAADIWSHDVM AQVQVLLYYLQHPPISFAELKRNTLYFSTDV >ENSMUSP00000072522.6 pep:known chromosome:GRCm38:11:76416734:76571535:-1 gene:ENSMUSG00000017631.18 transcript:ENSMUST00000072740.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abr description:active BCR-related gene [Source:MGI Symbol;Acc:MGI:107771] MEPLSHRGLPRLSWIDTLYSNFSYGAEDYDAEGHEEQKGPPEGSETMPYIDESPTMSPQL SARSQGGGDSVSPTPPEGLAPGVEAGKGLEMRKLVLSGFLASEEIYINQLEALLLPMKPL KATATTSQPVLTIQQIETIFYKIQDIYEIHKEFYDNLCPKVQQWDSQVTMGHLFQKLASQ LGVYKAFVDNYKVALETAEKCSQSNNQFQKISEELKVKGPKDSKDSHTSVTMEALLYKPI DRVTRSTLVLHDLLKHTPVDHPDYPLLQDALRISQNFLSSINEDIDPRRTAVTTPKGETR QLVKDGFLVEMSESSRKLRHVFLFTDVLLCAKLKKTSAGKHQQYDCKWYIPLADLVFPSP EESEASPQVHPFPDHELEDMKTKISALKSEIQKEKANKGQSRAIERLKKKMFENEFLLLL NSPTIPFRIHNRNGKSYLFLLSSDYERSEWREAIQKLQKKDLQAFVLSSVELQVLTGSCF KLRTVHNIPVTSNKDDDESPGLYGFLHVIVHSAKGFKQSANLYCTLEVDSFGYFVSKAKT RVFRDTTEPKWDEEFEIELEGSQSLRILCYEKCYDKTKVNKDNNEIVDKIMGKGQIQLDP QTVESKNWHTDVIEMNGIKVEFSMKFTSRDMSLKRTPSKKQTGVFGVKISVVTKRERSKV PYIVRQCIEEVEKRGIEEVGIYRISGVATDIQALKAVFDANNKDILLMLSDMDINAIAGT LKLYFRELPEPLLTDRLYPAFMEGIALSDPAAKENCMMHLLRSLPDPNLITFLFLLEHLK RVAEKEPINKMSLHNLATVFGPTLLRPSEVESKAHLTSAADIWSHDVMAQVQVLLYYLQH PPISFAELKRNTLYFSTDV >ENSMUSP00000068982.7 pep:known chromosome:GRCm38:11:76416751:76468465:-1 gene:ENSMUSG00000017631.18 transcript:ENSMUST00000065028.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abr description:active BCR-related gene [Source:MGI Symbol;Acc:MGI:107771] MEILLIIRFCCNCTYALLYKPIDRVTRSTLVLHDLLKHTPVDHPDYPLLQDALRISQNFL SSINEDIDPRRTAVTTPKGETRQLVKDGFLVEMSESSRKLRHVFLFTDVLLCAKLKKTSA GKHQQYDCKWYIPLADLVFPSPEESEASPQVHPFPDHELEDMKTKISALKSEIQKEKANK GQSRAIERLKKKMFENEFLLLLNSPTIPFRIHNRNGKSYLFLLSSDYERSEWREAIQKLQ KKDLQAFVLSSVELQVLTGSCFKLRTVHNIPVTSNKDDDESPGLYGFLHVIVHSAKGFKQ SANLYCTLEVDSFGYFVSKAKTRVFRDTTEPKWDEEFEIELEGSQSLRILCYEKCYDKTK VNKDNNEIVDKIMGKGQIQLDPQTVESKNWHTDVIEMNGIKVEFSMKFTSRDMSLKRTPS KKQTGVFGVKISVVTKRERSKVPYIVRQCIEEVEKRGIEEVGIYRISGVATDIQALKAVF DANNKDILLMLSDMDINAIAGTLKLYFRELPEPLLTDRLYPAFMEGIALSDPAAKENCMM HLLRSLPDPNLITFLFLLEHLKRVAEKEPINKMSLHNLATVFGPTLLRPSEVESKAHLTS AADIWSHDVMAQVQVLLYYLQHPPISFAELKRNTLYFSTDV >ENSMUSP00000104045.2 pep:known chromosome:GRCm38:11:76419104:76495456:-1 gene:ENSMUSG00000017631.18 transcript:ENSMUST00000108408.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abr description:active BCR-related gene [Source:MGI Symbol;Acc:MGI:107771] MEEEEEAIGFLDKVLEDEDVFLLEECELGTPTSPGSGSPFLVAVKVEAGKGLEMRKLVLS GFLASEEIYINQLEALLLPMKPLKATATTSQPVLTIQQIETIFYKIQDIYEIHKEFYDNL CPKVQQWDSQVTMGHLFQKLASQLGVYKAFVDNYKVALETAEKCSQSNNQFQKISEELKV KGPKDSKDSHTSVTMEALLYKPIDRVTRSTLVLHDLLKHTPVDHPDYPLLQDALRISQNF LSSINEDIDPRRTAVTTPKGETRQLVKDGFLVEMSESSRKLRHVFLFTDVLLCAKLKKTS AGKHQQYDCKWYIPLADLVFPSPEESEASPQVHPFPDHELEDMKTKISALKSEIQKEKAN KGQSRAIERLKKKMFENEFLLLLNSPTIPFRIHNRNGKSYLFLLSSDYERSEWREAIQKL QKKDLQAFVLSSVELQVLTGSCFKLRTVHNIPVTSNKDDDESPGLYGFLHVIVHSAKGFK QSANLYCTLEVDSFGYFVSKAKTRVFRDTTEPKWDEEFEIELEGSQSLRILCYEKCYDKT KVNKDNNEIVDKIMGKGQIQLDPQTVESKNWHTDVIEMNGIKVEFSMKFTSRDMSLKRTP SKKQTGVFGVKISVVTKRERSKVPYIVRQCIEEVEKRGIEEVGIYRISGVATDIQALKAV FDANNKDILLMLSDMDINAIAGTLKLYFRELPEPLLTDRLYPAFMEGIALSDPAAKENCM MHLLRSLPDPNLITFLFLLEHLKRVAEKEPINKMSLHNLATVFGPTLLRPSEVESKAHLT SAADIWSHDVMAQVQVLLYYLQHPPISFAELKRNTLYFSTDV >ENSMUSP00000135174.1 pep:known chromosome:GRCm38:11:76454544:76468298:-1 gene:ENSMUSG00000017631.18 transcript:ENSMUST00000130364.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abr description:active BCR-related gene [Source:MGI Symbol;Acc:MGI:107771] XEILLIIRFCCNCTYALLYKPIDRVTRSTLVLHDLLKHTPVDHPDYPLLQDALRISQNFL SSINEDIDPRRTAVTTPKGETRQLVKDGFLVEMSESSRKLRHVFLFTDVLLCAKLKKTSA GGQSPGAPLPRPRARGHENEDLCPQE >ENSMUSP00000135544.1 pep:known chromosome:GRCm38:11:76464238:76493929:-1 gene:ENSMUSG00000017631.18 transcript:ENSMUST00000151526.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abr description:active BCR-related gene [Source:MGI Symbol;Acc:MGI:107771] MRKLVLSGFLASEEIYINQLEALLLPMKPLKATATTSQPVLTIQQIETIFYKIQDIYEIH KEFYDNLCPKVQQWDSQVTMGHLFQKLASQLGVYKAFVDNYKVALETAEKCSQSNNQFQK ISEELKVKGPKDSKDSHTSVTMEALLYKPIDRVTRSTLVLH >ENSMUSP00000135515.1 pep:known chromosome:GRCm38:11:76479003:76577727:-1 gene:ENSMUSG00000017631.18 transcript:ENSMUST00000176179.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abr description:active BCR-related gene [Source:MGI Symbol;Acc:MGI:107771] MPYIDESPTMSPQLSARSQGGGDSVSPTPPEGLAPGVEAGKGLEMRKLVLSGFLASEEIY INQLEALLLPMKPLKATATTSQPVLTIQQIETIFYKIQDIYEIHKEFYDNLCPKVQQWDS QVTMGHLF >ENSMUSP00000122614.1 pep:known chromosome:GRCm38:11:76479057:76622314:-1 gene:ENSMUSG00000017631.18 transcript:ENSMUST00000155035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abr description:active BCR-related gene [Source:MGI Symbol;Acc:MGI:107771] MPYIDESPTMSPQLSARSQGGGDSVSPTPPEGLAPGVEAGKGLEMRKLVLSGFLASEEIY INQLEALLLPMKPLKATATTSQPVLTIQQIETIFYKIQDIYEIHKEFYDN >ENSMUSP00000135691.1 pep:known chromosome:GRCm38:11:76479113:76613395:-1 gene:ENSMUSG00000017631.18 transcript:ENSMUST00000176024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abr description:active BCR-related gene [Source:MGI Symbol;Acc:MGI:107771] MPYIDESPTMSPQLSARSQGGGDSVSPTPPEGLAPGVEAGKGLEMRKLVLSGFLASEEIY INQLEALLLPMKPLKATATTSQPVLTIQQIET >ENSMUSP00000104044.3 pep:known chromosome:GRCm38:11:76416735:76508995:-1 gene:ENSMUSG00000017631.18 transcript:ENSMUST00000108407.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abr description:active BCR-related gene [Source:MGI Symbol;Acc:MGI:107771] MPYIDESPTMSPQLSARSQGGGDSVSPTPPEGLAPGVEAGKGLEMRKLVLSGFLASEEIY INQLEALLLPMKPLKATATTSQPVLTIQQIETIFYKIQDIYEIHKEFYDNLCPKVQQWDS QVTMGHLFQKLASQLGVYKAFVDNYKVALETAEKCSQSNNQFQKISEELKVKGPKDSKDS HTSVTMEALLYKPIDRVTRSTLVLHDLLKHTPVDHPDYPLLQDALRISQNFLSSINEDID PRRTAVTTPKGETRQLVKDGFLVEMSESSRKLRHVFLFTDVLLCAKLKKTSAGKHQQYDC KWYIPLADLVFPSPEESEASPQVHPFPDHELEDMKTKISALKSEIQKEKANKGQSRAIER LKKKMFENEFLLLLNSPTIPFRIHNRNGKSYLFLLSSDYERSEWREAIQKLQKKDLQAFV LSSVELQVLTGSCFKLRTVHNIPVTSNKDDDESPGLYGFLHVIVHSAKGFKQSANLYCTL EVDSFGYFVSKAKTRVFRDTTEPKWDEEFEIELEGSQSLRILCYEKCYDKTKVNKDNNEI VDKIMGKGQIQLDPQTVESKNWHTDVIEMNGIKVEFSMKFTSRDMSLKRTPSKKQTGVFG VKISVVTKRERSKVPYIVRQCIEEVEKRGIEEVGIYRISGVATDIQALKAVFDANNKDIL LMLSDMDINAIAGTLKLYFRELPEPLLTDRLYPAFMEGIALSDPAAKENCMMHLLRSLPD PNLITFLFLLEHLKRVAEKEPINKMSLHNLATVFGPTLLRPSEVESKAHLTSAADIWSHD VMAQVQVLLYYLQHPPISFAELKRNTLYFSTDV >ENSMUSP00000140212.1 pep:known chromosome:GRCm38:15:9100156:9107178:1 gene:ENSMUSG00000022253.14 transcript:ENSMUST00000188194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadk2 description:NAD kinase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1915896] KSSGLNLCTGTGSKACKTTRKSDSSIEQRLGRKSDKRI >ENSMUSP00000068318.4 pep:known chromosome:GRCm38:15:9071260:9110487:1 gene:ENSMUSG00000022253.14 transcript:ENSMUST00000067760.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadk2 description:NAD kinase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1915896] MTCYRGFLLGSCRRVAGGRAALRGSGSGADGRRHLGHGQPRELAGGGSPADGGFRPSRVV VVAKTTRYEFEQQRYRYAELSEEDLKQLLALKGSSYSGLLERHHIHTKNVEHIIDSLRDE GIEVRLVKRREYDEETVRWADAVIAAGGDGTMLLAASKVLDRLKPVIGVNTDPERSEGHL CLPVRYTHSFPEALRRFSRGEFRWLWRQRIRLYLEGTGINPTPVDLHEQQLSLNQHSRAF NIERAHDERSEASGPQLLPVRALNEVFIGESLSSRMPYCWAVAVDNLRRDIPNLKGLASY YEISVDDGPWEKQKSSGLNLCTGTGSKAWSFNINRVAAQAVEDVLHIARRQGNLTLPLNK DLVEKVTNEYNESLLYSPEEPKILFSIREPIANRVFSSSRQRCFSSKVCVRSRCWDACMV VDGGTSFEFNDGAIASMMINKEDELRTVILEQ >ENSMUSP00000098353.4 pep:known chromosome:GRCm38:15:9071260:9110487:1 gene:ENSMUSG00000022253.14 transcript:ENSMUST00000100789.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadk2 description:NAD kinase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1915896] MTCYRGFLLGSCRRVAGGRAALRGSGSGADGRRHLGHGQPRELAGGGSPADGGFRPSRVV VVAKTTRYEFEQQRYRYAELSEEDLKQLLALKGSSYSGLLERHHIHTKNVEHIIDSLRDE GIEVRLVKRREYDEETVRWADAVIAAGGDGTMLLAASKVLDRLKPVIGVNTDPERWLWRQ RIRLYLEGTGINPTPVDLHEQQLSLNQHSRAFNIERAHDERSEASGPQLLPVRALNEVFI GESLSSRASYYEISVDDGPWKQKSSGLNLCTGTGSKAWSFNINRVAAQAVEDVLHIARRQ GNLTLPLNKDLVEKVTNEYNESLLYSPEEPKILFSIREPIANRVFSSSRQRCFSSKVCVR SRCWDACMVVDGGTSFEFNDGAIASMMINKEDELRTVILEQ >ENSMUSP00000098354.3 pep:known chromosome:GRCm38:15:9071260:9110487:1 gene:ENSMUSG00000022253.14 transcript:ENSMUST00000100790.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadk2 description:NAD kinase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1915896] MTCYRGFLLGSCRRVAGGRAALRGSGSGADGRRHLGHGQPRELAGGGSPADGGFRPSRVV VVAKTTRYEFEQQRYRYAELSEEDLKQLLALKGSSYSGLLERHHIHTKNVEHIIDSLRDE GIEVRLVKRREYDEETVRWADAVIAAGGDGTMLLAASKVLDRLKPVIGVNTDPERSEGHL CLPVRYTHSFPEALRRFSRGEFRWLWRQRIRLYLEGTGINPTPVDLHEQQLSLNQHSRAF NIERAHDERSEASGPQLLPVRALNEVFIGESLSSRASYYEISVDDGPWEKQKSSGLNLCT GTGSKAWSFNINRVAAQAVEDVLHIARRQGNLTLPLNKDLVEKVTNEYNESLLYSPEEPK ILFSIREPIANRVFSSSRQRCFSSKVCVRSRCWDACMVVDGGTSFEFNDGAIASMMINKE DELRTVILEQ >ENSMUSP00000026357.5 pep:known chromosome:GRCm38:8:121730563:121790821:1 gene:ENSMUSG00000025318.13 transcript:ENSMUST00000026357.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jph3 description:junctophilin 3 [Source:MGI Symbol;Acc:MGI:1891497] MSSGGRFNFDDGGSYCGGWEDGKAHGHGVCTGPKGQGEYTGSWSHGFEVLGVYTWPSGNT YQGTWAQGKRHGIGLESKGKWVYKGEWTHGFKGRYGVRECTGNGAKYEGTWSNGLQDGYG TETYSDGGTYQGQWVGGMRQGYGVRQSVPYGMAAVIRSPLRTSINSLRSEHTNGAALHPD ASPAVAGSPAVSRGGFVLVAHSDSEILKSKKKGLFRRSLLSGLKLRKSESKSSLASQRSK QSSFRSEAGMSTVSSTASDIHSTISLGEAEAELAVIEDDIDATTTETYVGEWKNDKRSGF GVSQRSDGLKYEGEWVSNRRHGYGCMTFPDGTKEEGKYKQNVLVSGKRKNLIPLRASKIR EKVDRAVEAAERAATIAKQKAEIAASRTSHSRAKAEAALTAAQKAQEEARIARITAKEFS PSFQHRENGLEYQRPKHQMSCDDIEVLSTGTPLQQESPELYRKGTTPSDLTPDDSPLQSF PASPTSTPPPAPASRTKMAHFSRQVSVDEERSGDIQMLLEGRGGDYARNSWGEEKAGASR GIRSGALRSGQPTEDFRTRGSGHKQPGNPKPRERRTESPTTFSWTSHHRAGNPCSGGPKL LEPDEEQLSNYKLEMKPLLRMDACPQDTHPQRRRHSRGAGGDRGFGLQRLRSKSQNKENL RPASSAEPTVQKLESLRLGDRPEPRLLRWDLTFSPPQKSLPVALESDEETGDELKSSTGS APILVVMVILLNIGVAILFINFFI >ENSMUSP00000126190.1 pep:known chromosome:GRCm38:8:121730567:121794276:1 gene:ENSMUSG00000025318.13 transcript:ENSMUST00000167439.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jph3 description:junctophilin 3 [Source:MGI Symbol;Acc:MGI:1891497] MSSGGRFNFDDGGSYCGGWEDGKAHGHGVCTGPKGQGEYTGSWSHGFEVLGVYTWPSGNT YQGTWAQGKRHGIGLESKGKWVYKGEWTHGFKGRYGVRECTGNGAKYEGTWSNGLQDGYG TETYSDGGTYQGQWVGGMRQGYGVRQSVPYGMAAVIRSPLRTSINSLRSEHTNGAALHPD ASPAVAGSPAVSRGGFVLVAHSDSEILKSKKKGLFRRSLLSGLKLRKSESKSSLASQRSK QSSFRSEAGMSTVSSTASDIHSTISLGEAEAELAVIEDDIDATTTETYVGEWKNDKRSGF GVSQRSDGLKYEGEWVSNRRHGYGCMTFPDGTKEEGKYKQNVLVSGKRKNLIPLRASKIR EKVDRAVEAAERAATIAKQKAEIAASRTSHSRAKAEAALTAAQKAQEEARIARITAKEFS PSFQHRENGLEYQRPKHQMSCDDIEVLSTGTPLQQESPELYRKGTTPSDLTPDDSPLQSF PASPTSTPPPAPASRTKMAHFSRQVSVDEERSGDIQMLLEGRGGDYARNSWGEEKAGASR GIRSGALRSGQPTEDFRTRGSGHKQPGNPKPRERRTESPTTFSWTSHHRAGNPCSGGPKL LEPDEEQLSNYKLEMKPLLRMDACPQDTHPQRRRHSRGAGGDRGFGLQRLRSKSQNKENL RPASSAEPTVQKLESLRLGDRPEPRLLRWDLTFSPPQKSLPVALESDEETGDELKSSTGS APILVVMVILLNIGVAILFINFFI >ENSMUSP00000031837.7 pep:known chromosome:GRCm38:6:48929895:48933687:1 gene:ENSMUSG00000029813.8 transcript:ENSMUST00000031837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600015I10Rik description:RIKEN cDNA 1600015I10 gene [Source:MGI Symbol;Acc:MGI:1917011] MLCSRAMGMAQKSLTLGWVTAILLLQMAIADRPRWSLNGRPRVFADLSVYEIKIVQNFLM DKKELQLQPSETPTLGKNSVYLIEMLLPDKEDVLDFLDKGERSPVREARVVIFFGAQKHP KVTEFAVGPMPLPIYMREISPGPGHDPSWASRPISKAEYSLIYRTLTEATKSLHQFFLDT TDYSLKERVEDYLTFTYMAPDDTKSDQRCSWFMLQRYMESHFLQPTGLEILLDHGSTEVQ HWKVKQLWYNGKLYNSPEELAQKYADGEVSILVLTESVDKDTKQPLVFSSSNIPEDFPTT NSMARPRVSEPHVSSYNVEDNTVFYRDWIFSFRLRPSSGLQILNVHFRGVRIAYEVSVQE AVAQFGGHVSAGTQAKYMDVGWGPGSATRQLAAGIDCPDTATFLEVIHHYDTDRPVSYPR ALCLFEMPAEMPIRHHFNSNFREDFNSSAGTNGHMLVLRTISSVLHYDYIWDFVFYTNGA MKAKMQATGLVHATYYQPEEMRYISQSHTHVFSNIHTHLVHYRIDLDVAGTKNRFQTLQI IRKNITNSKNLRHQALGNTLKQANYTQEQQAAFYFGKPLPNYLIFSNLHTTHGMGHRSRY RLKTYSKIHQVPLPGWQKKQGVSWTRYPLAVTEYQDSEKCSSSIYSQNNPWDPPVVFEDF IQNNTNIEDKDLVAWATVGFHNMPRSEASTNMTTPENSVGFVLRPFNLPGF >ENSMUSP00000102443.2 pep:known chromosome:GRCm38:4:104367590:104744844:1 gene:ENSMUSG00000028519.16 transcript:ENSMUST00000106830.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab1 description:disabled 1 [Source:MGI Symbol;Acc:MGI:108554] MSTETELQVAVKTSAKKDSRKKGQDRSEATLIKRFKGEGVRYKAKLIGIDEVSAARGDKL CQDSMMKLKGVVAGARSKGEHKQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDI TDHRAFGYVCGKEGNHRFVAIKTAQAAEPVILDLRDLFQLIYELKQREELEKKAQKDKQC EQAVYQTILEEDVEDPVYQYIVFEAGHEPIRDPETEENIYQVPTSQKKEGVYDVPKSQPV SAVTQLELFGDMSTPPDITSPPTPATPGDAFLPSSSQTLPGSADVFGSMSFGTAAVPSGY VAMGAVLPSFWGQQPLVQQQIAMGAQPPVAQVIPGAQPIAWGQPGLFPATQQAWPTVAGQ FPPAAFMPTQTVMPLAAAMFQGPLTPLATVPGTNDSARSSPQSDKPRQKMGKESFKDFQM VQPPPVPSRKPDQPSLTCTSEAFSSYFNKVGVAQDTDDCDDFDISQLNLTPVTSTTPSTN SPPTPAPRQSSPSKSSASHVSDPTADDIFEEGFESPSKSEEQEAPDGSQASSTSDPFGEP SGEPSGDNISPQDGS >ENSMUSP00000102440.1 pep:known chromosome:GRCm38:4:103619665:104680382:1 gene:ENSMUSG00000028519.16 transcript:ENSMUST00000106827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab1 description:disabled 1 [Source:MGI Symbol;Acc:MGI:108554] MSTETELQVAVKTSAKKDSRKKGQDRSEATLIKRFKGEGVRYKAKLIGIDEVSAARGDKL CQDSMMKLKGVVAGARSKGEHKQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDI TDHRAFGYVCGKEGNHRFVAIKTAQAAEPVILDLRDLFQLIYELKQREELEKKAQKDKQC EQAVYQTILEEDVEDPVYQNLQKN >ENSMUSP00000125033.1 pep:known chromosome:GRCm38:4:104367590:104741797:1 gene:ENSMUSG00000028519.16 transcript:ENSMUST00000146078.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dab1 description:disabled 1 [Source:MGI Symbol;Acc:MGI:108554] MSTETELQVAVKTSAKKDSRKKGQDRSEATLIKRFKGEGVRYKAKLIGIDEVSAARGDKL CQDSMMKLKGVVAGARSKGEHKQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDI TDHRAFGYVCGKEGNHRFVAIKTAQAAEPVILDLRDLFQLIYELKQREELEKKAQKDKQC EQAVYQYIVFEAGHEPIRDPETEENIYQVPTSQKKEGVYDVPKSQPVSSLVQSPAAERAE AESRTGPAEPGSILRPLG >ENSMUSP00000102439.1 pep:known chromosome:GRCm38:4:104512073:104680382:1 gene:ENSMUSG00000028519.16 transcript:ENSMUST00000106826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab1 description:disabled 1 [Source:MGI Symbol;Acc:MGI:108554] MLCQDRSEATLIKRFKGEGVRYKAKLIGIDEVSAARGDKLCQDSMMKLKGVVAGARSKGE HKQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDITDHRAFGYVCGKEGNHRFVA IKTAQAAEPVILDLRDLFQLIYELKQREELEKKAQKDKQCEQAVYQTILEEDVEDPVYQV ISEPRQGFACSCEGSFD >ENSMUSP00000118650.1 pep:known chromosome:GRCm38:4:104367113:104679496:1 gene:ENSMUSG00000028519.16 transcript:ENSMUST00000143644.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab1 description:disabled 1 [Source:MGI Symbol;Acc:MGI:108554] MSTETELQVAVKTSAKKDSRKKGQDRSEATLIKRFKGEGVRYKAKLIGIDEVSAARGDKL CQDSMMKLKGVVAGARSKGEHKQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDI TDHRAFGYVCGKEGNHRFVAIKTAQAAEPVILDLRDLFQL >ENSMUSP00000118532.1 pep:known chromosome:GRCm38:4:104605296:104713800:1 gene:ENSMUSG00000028519.16 transcript:ENSMUST00000149672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab1 description:disabled 1 [Source:MGI Symbol;Acc:MGI:108554] XGQDRSEATLIKRFKGEGVRYKAKLIGIDEVSAARGDKLCQDSMMKLKGVVAGARSKGEH KQKIFLTISFGGIKIFDEKTGALQHHHAVHEISYIAKDITDHRAFGYVCGKEGNHRFVAI KTAQAAEPVILDLRDLFQLIYELKQREELEKKAQKDKQCEQAVYQVPTSQKKEGVYDVPK SQPVSNSQPLEDFDSRFAAATPNRNLSMDFDELL >ENSMUSP00000109207.1 pep:known chromosome:GRCm38:1:75450436:75474340:1 gene:ENSMUSG00000033007.15 transcript:ENSMUST00000113577.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asic4 description:acid-sensing (proton-gated) ion channel family member 4 [Source:MGI Symbol;Acc:MGI:2652846] MPIEIVCKIKFAEEDAKPKEKEAGDEQSLLGAAQGPAAPRDLATFASTSTLHGLGRACGP GPHGLRRTLWALALLTSLAAFLYQAASLARGYLTRPHLVAMDPAAPAPVAGFPAVTLCNI NRFRHSALSDADIFHLANLTGLPPKDRDGHRAAGLRYPEPDMVDILNRTGHQLADMLKSC NFSGHHCSASNFSVVYTRYGKCYTFNADPQSSLPSRAGGMGSGLEIMLDIQQEEYLPIWR ETNETSFEAGIRVQIHSQEEPPYIHQLGFGVSPGFQTFVSCQEQRLTYLPQPWGNCRAES ELREPELQGYSAYSVSACRLRCEKEAVLQRCHCRMVHMPDSLGGGSEGPCFCPTPCNLTR YGKEISMVKIPNRGSARYLARKYNRNETYIRENFLVLDVFFEALTSEAMEQQAAYGLSAL LGDLGGQMGLFIGASILTLLEILDYIYEVSWDRLKRVWRRPKTPLRTSTGGISTLGLQEL KEQSPCPSRGRAEGGGASSLLPNHHHPHGPPGSLFEDFAC >ENSMUSP00000045598.9 pep:known chromosome:GRCm38:1:75450699:75474343:1 gene:ENSMUSG00000033007.15 transcript:ENSMUST00000037708.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asic4 description:acid-sensing (proton-gated) ion channel family member 4 [Source:MGI Symbol;Acc:MGI:2652846] MPIEIVCKIKFAEEDAKPKEKEAGDEQSLLGAAQGPAAPRDLATFASTSTLHGLGRACGP GPHGLRRTLWALALLTSLAAFLYQAASLARGYLTRPHLVAMDPAAPAPVAGFPAVTLCNI NRFRHSALSDADIFHLANLTGLPPKDRDGHRAAGLRYPEPDMVDILNRTGHQLADMLKSC NFSGHHCSASNFSVVYTRYGKCYTFNADPQSSLPSRAGGMGSGLEIMLDIQQEEYLPIWR ETNETSFEAGIRVQIHSQEEPPYIHQLGFGVSPGFQTFVSCQEQRLTYLPQPWGNCRAES ELREPELQGYSAYSVSACRLRCEKEAVLQRCHCRMVHMPGNETICPPNIYIECADHTLDS LGGGSEGPCFCPTPCNLTRYGKEISMVKIPNRGSARYLARKYNRNETYIRENFLVLDVFF EALTSEAMEQQAAYGLSALLGDLGGQMGLFIGASILTLLEILDYIYEVSWDRLKRVWRRP KTPLRTSTGGISTLGLQELKEQSPCPSRGRAEGGGASSLLPNHHHPHGPPGSLFEDFAC >ENSMUSP00000139370.1 pep:known chromosome:GRCm38:16:5007288:5008306:1 gene:ENSMUSG00000096215.8 transcript:ENSMUST00000184439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim22 description:small integral membrane protein 22 [Source:MGI Symbol;Acc:MGI:3643379] MGLSDEELRQELQATAEEVLGRLRSRQLFQSEWDVAAFVVFLTFVGTVLLLLLLVFVHCC CCCCCNTSPRPRKVSPGKEKRNGVDNLALEP >ENSMUSP00000137083.1 pep:known chromosome:GRCm38:16:5007337:5008309:1 gene:ENSMUSG00000096215.8 transcript:ENSMUST00000178155.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim22 description:small integral membrane protein 22 [Source:MGI Symbol;Acc:MGI:3643379] MGLSDEELRQELQATAEEVLGRLRSRQLFQSEWDVAAFVVFLTFVGTVLLLLLLVFVHCC CCCCCNTSPRPRKVSPGKEKRNGVDNLALEP >ENSMUSP00000138990.1 pep:known chromosome:GRCm38:16:5007352:5008306:1 gene:ENSMUSG00000096215.8 transcript:ENSMUST00000184256.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim22 description:small integral membrane protein 22 [Source:MGI Symbol;Acc:MGI:3643379] MGRRCLCGLSHICGHCAVVAVAGLCPLLLLLLLQHLPQAPEGEPREGKAQWCG >ENSMUSP00000139067.1 pep:known chromosome:GRCm38:16:5007352:5008309:1 gene:ENSMUSG00000096215.8 transcript:ENSMUST00000185147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim22 description:small integral membrane protein 22 [Source:MGI Symbol;Acc:MGI:3643379] MGLSDEELRQELQATAEEVLGRLRSRQLFQSEWDVAAFVVFLTFVGTVLLLLLLVFVHCC CCCCCNTSPRPRKEKRNGVDNLALEP >ENSMUSP00000045572.3 pep:known chromosome:GRCm38:12:104028769:104044443:-1 gene:ENSMUSG00000041567.3 transcript:ENSMUST00000043915.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina12 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 12 [Source:MGI Symbol;Acc:MGI:1915304] MTRMLDLGLFLAGLLTVKGLLQDRDAPDMYDSPVRVQEWRGKKDARQLARHNMEFGFKLL QRLASNSPQGNIFLSPLSISTAFSMLSLGAQNSTLEEIREGFNFKEMSNWDVHAAFHYLL HKLNQETEDTKMNLGNALFMDQKLRPQQRFLNLAKNVYDADMVLTNFQDLENTQKDINRY ISQKTHSRIKNMVKSIDPGTVMILTNYIYFRGRWQYEFDPKQTKEEEFFIEKGKTVKVPM MFQRGLYDMAYDSQLSCTILEIPYRGNITATFVLPDNGKLKLLEQGLQADIFAKWKSLLS KRVVDVWVPKLRISSTYNMKKVLSRLGISKIFEENGDLTRISSHRSLKVGEAVHKAELKM DEKGMEGAAGSGAQTLPMETPRHMKLDRPFLMMIYENFMPSMVFLARIYDPSG >ENSMUSP00000019924.8 pep:known chromosome:GRCm38:10:30832359:30842801:-1 gene:ENSMUSG00000019789.8 transcript:ENSMUST00000019924.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hey2 description:hairy/enhancer-of-split related with YRPW motif 2 [Source:MGI Symbol;Acc:MGI:1341884] MKRPCEETTSESDLDETIDVGSENNYPGHATSSVMRSNSPTTTSQIMARKKRRGIIEKRR RDRINNSLSELRRLVPTAFEKQGSAKLEKAEILQMTVDHLKMLQATGGKGYFDAHALATD FMSIGFRECLTEVARYLSSVEGLDPSDPLRVRLVSHLSTCASQREAAVMTSSMAHHHHPL HPHHWAAAFHHLPTALLQPNGLHTSESTPCRLSTSSEVPSAHGSALLTATFAHADSALRM PSGGTVAPCVPPLSTSLLSLSATVHAAAAAATAAAHSFPLSFAGAFPMLPSNAAAAAAVA AATAISPPLSVSAASSPQQTSTGTNNKPYQPWGTEVGAF >ENSMUSP00000044069.8 pep:known chromosome:GRCm38:10:115384959:115432772:1 gene:ENSMUSG00000034163.10 transcript:ENSMUST00000036044.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfc3h1 description:zinc finger, C3H1-type containing [Source:MGI Symbol;Acc:MGI:2446143] MAAAADPSTPASSGLSPKEEGELEDGEISDDDNSRSRSSSSSSSGGGLLPYPRRRPPPPA RGGGSGGGGGGSSSSSSSSQQQLRNFSRSRHPAERGQLRGPSSYRPKEPFRTHPPPGRMP SGSLSESSPRPSFWERSHIALDRFRFRGSRPYRGGSRWSRGRGVGERGGKPGCRPPGGGG SGGAGSGFGSSQSWREPSPPRKSSKSFGRSPSRKQNHSSKSENCAEETFEDLLLKYKQIQ LELECINKDEKLALSSKEETAQEDPKTLHLEDQTSTDNASITKDPSKEVAPEEKTQVKTF QAFELKPLRQKLTLPGDKNRVKRGKDGTRQLSLKSSTTDASQGLEDKEQNLTRRLSASDI VSEKKLGEEEEELSELQLRLLALQSASKKWQQKEQQVMKESKEKLTKTKTAQQKAKTSTK AHSAKKVSATAKQALRKQQTKAWKKLQQQKEQERQKEEDQRKHAEEEERRKREEEIRKIR DLSNQEEQYNRFMKLVGGKRRARSKSSDPDLRRSLEKQSDSAGGIYQYDNYEEVAMDTDS ETSSPAPSPVQPPFFPECSLGYFSSAPSVSLPPPAQVSSVPSLNQPYGEGLCVSLDPLPP LPPLPPLPPEDPEQPPKPPFADEEEEEEMLLREELLKSLASKRAFKPEETSSNSDPPSPP VLNNSQPLSRSNLSIVSINTVSQPRIQNPKFHRGPRLPRTVISLPKHKSVVVTLNDSDDS ESDGEASKSTNSVFGGLESMIKEARRTAEQASKPKVPPKSEKENDPLRTPEALPEEKKME YRLLKEEIANREKQRLIKSDQLKTSSSSPANSDVEMDGIGRIAMVTKQVADAEAKLKKHK ILLIKDESVLKNLVLQEAKKKESVRNAEAKITKLTEQLQAAEKILSANRMFLKKLQEQIH RVQQRVTIKKALTLKYGEELARAKAVASKELGKRKLEQDRLGPNKMMRLDNSPISSPRKH SAELIAMEKRRLQKLEYEYALKIQKLKEARALKAKEQQNLVPVVEEEPEFSVPQPSLHDL TQDKLTLDTEENDVDDEVLSGASRERRRSFLESNSFTKPNLKHTDTPNKECINKLSKSTV EKPELFLGLKIGELQKLYSRADSLKQLILKTTTGTTEKVLHGQEISVDVDFVTAQSKTTE VKPCPFRPYQSPLLVFKSYRFSPYYRTKEKLPLSSVSYSNMVEPDQCFCRFDLTGTCNDD DCQWQHVQDYTLSRKRLFQDILSYNLSLIGCSEMSTDEEIASAAEKYVEKLFGVNKDRMS MDQMAVLLVSNVNESKGHTPPFTTYKDKRKWKPKFCRKPVSENSCSSDDEQSTGPIKYAF RPEKQINVPALDTVVTPDDVRYFTSETDDIANLEASVLENPSHVQLWLKLAYKYLNQNEG LCSESLDSALNVLARALENNKDNPEIWCHYLRLFSKRGTKEEVQEMCETAVEYAPDYQSF WTFLHLESTFEEKDYVCERMVEFLMGAAKREISDILSFQLLEALLFRVQLHIFTGRCQSA LAVLQNALKLANDAIVAEYLKTDDRCLAWLAYIHLIEFNSLPSKLYDPSNANPSRIVNTE PFVMPWQAAQDVKTNPDLLLAVFEDAVKACTDETLTSGERIEVCLPLYTNMIALHQLLER YEEAVELCTSLLESCPTNCQLLETLAALYLKTDRYDKARRVWLTAFENNPQNAEIFYHLC KFFILQDGGDKLLPVLRQFVGSFFKPGFEKYSNVDLFRYLLNIPGPLDIPACLCKGNFDD DAFNNQVPYLWLIYCLCHPLQSSIKETVEAYEAALGVAMRSDIVQKIWMDYLVFANNRAA GSRNKVQEFKLFTDLVNRCLVTVPARYPIPFSSADYWSNYEFHNRVIFFYLSCVPKTQHS KTLERFCSAMPANSRLALRLLQHEWEESNVQILKLQAKMFTYNIPTCLATWKIAIAAEIA LKGQREVHRLYQRALQKLPLCASLWKDQLLFEASEGGKTDNLRKLVSKCQEIGVSLNELL NLNSNKTESKNL >ENSMUSP00000109855.1 pep:known chromosome:GRCm38:2:25575416:25581728:-1 gene:ENSMUSG00000029419.8 transcript:ENSMUST00000114217.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm996 description:predicted gene 996 [Source:MGI Symbol;Acc:MGI:2685842] MTRTDPPDLLVSTVYQDIKVVDPGLTSKRQPCERSVARPAAPTPFNKRHCRSFDFLEALD EPTMETHPEPPPPEPAPPRARPRDSEPRRRTRSKSAPRASQGLATAPASPPVLQRRGREA QRAVRVEGSPRREPSYPALRALANELHPIKLQPQRGGPGRIAPLCATPGRCAPPEPPSGP VPHVRCRLDIKPDEAVLQHAARSSRSCAPRETTSWARTAPQFHGLTVPGPRHVALSRTPT PSDLYCTDPRTLYCDGPLPGPRDYLEHRSQPFTTPPGPTQFFYTEEPEGYAGSFTTSPGL PFDGYCSRPYLSEEPPRPSPRRGGSYYAGEVRTFPIQEPPSRSYYGETTRAYGMPFVPRY VPEEPRAHPGARTFYTEDFGRYRERDVLARTYPHPRSSPPWADWGPRPYRTLQVMPPPAP GPLLASWHGGTGTSPPRLATDSRHYSRSWDNILAPGPRREDPLGRGRSYENLLGREVRDT RGSSPEGRRPPVVVNLSTSPRRYAALSLSETSLTEKGRAGESLGRNWYVTPEITITDNDL RSVDRPTAKGWELPGGRPRQPVSTVPEGPASSRQRSLEQLDELITDLVIDSRSPAQAPEP AAEGLGRQLRRLLDSRAAGPGGATLLAPSRSPPASAGSTEEPTGSGEAADASPEPSADED DLMTCSNARCRRTETMFNACLYFKSCHSCYTYYCSRLCRREDWDAHKARCVYGRVGSVCR HVLQFCRDSSPVHRAFSRIARVGFLSRGRGVLFLGFPSPGSADNFLRFGLEGLLLSPTYL SLRELATHAAPLGSYARELAAAGRLYEPAECFLLSVSVAVGPSAAPPGAAARPAPRTPGP TVRKFAKVALAAGSPTRPPPARGGEPDMETLILTPPPGTAGLDEEGEAGRRAREVAFIHI QRELRMRGVFLRHEFPRVYEQLCEFVEANRRFTPTTIYPTDRRTGRPFMCMIMAASEPRA LDWVASANLLDDIM >ENSMUSP00000140109.1 pep:known chromosome:GRCm38:2:25575430:25581757:-1 gene:ENSMUSG00000029419.8 transcript:ENSMUST00000191602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm996 description:predicted gene 996 [Source:MGI Symbol;Acc:MGI:2685842] MTRTDPPDLLVSTVYQDIKVVDPGLTSKRQPCERSVARPAAPTPFNKRHCRSFDFLEALD EPTMETHPEPPPPEPAPPRARPRDSEPRRRTRSKSAPRASQGLATAPASPPVLQRRGREA QRAVRVEGSPRREPSYPALRALANELHPIKLQPQRGGPGRIAPLCATPGRCAPPEPPSGP VPHVRCRLDIKPDEAVLQHAARSSRSCAPRETTSWARTAPQFHGLTVPGPRHVALSRTPT PSDLYCTDPRTLYCDGPLPGPRDYLEHRSQPFTTPPGPTQFFYTEEPEGYAGSFTTSPGL PFDGYCSRPYLSEEPPRPSPRRGGSYYAGEVRTFPIQEPPSRSYYGETTRAYGMPFVPRY VPEEPRAHPGARTFYTEDFGRYRERDVLARTYPHPRSSPPWADWGPRPYRTLQVMPPPAP GPLLASWHGGTGTSPPRLATDSRHYSRSWDNILAPGPRREDPLGRGRSYENLLGREVRDT RGSSPEGRRPPVVVNLSTSPRRYAALSLSETSLTEKGRAGESLGRNWYVTPEITITDNDL RSVDRPTAKGWELPGGRPRQPVSTVPEGPASSRQRSLEQLDELITDLVIDSRSPAQAPEP AAEGLGRQLRRLLDSRAAGPGGATLLAPSRSPPASAGSTEEPTGSGEAADASPEPSADED DLMTCSNARCRRTETMFNACLYFKSCHSCYTYYCSRLCRREDWDAHKARCVYGRVGSVCR HVLQFCRDSSPVHRAFSRIARVGFLSRGRGVLFLGFPSPGSADNFLRFGLEGLLLSPTYL SLRELATHAAPLGSYARELAAAGRLYEPAECFLLSVSVAVGPSAAPPGAAARPAPRTPGP TVRKFAKVALAAGSPTRPPPARGGEPDMETLILTPPPGTAGLDEEGEAGRRAREVAFIHI QRELRMRGVFLRHEFPRVYEQLCEFVEANRRFTPTTIYPTDRRTGRPFMCMIMAASEPRA LDWVASANLLDDIM >ENSMUSP00000139598.1 pep:known chromosome:GRCm38:2:25579811:25580263:-1 gene:ENSMUSG00000029419.8 transcript:ENSMUST00000187017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm996 description:predicted gene 996 [Source:MGI Symbol;Acc:MGI:2685842] MTRTDPPDLLVSTVYQDIKVVDPGLTSKR >ENSMUSP00000140763.1 pep:known chromosome:GRCm38:2:25576973:25579897:-1 gene:ENSMUSG00000029419.8 transcript:ENSMUST00000188161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm996 description:predicted gene 996 [Source:MGI Symbol;Acc:MGI:2685842] MTRTDPPDLLVSTVYQDIKVVDPGLTSKRQPCERSVARPAAPTPFNKRHCRSFDFLEALD EPTMETHPEPPPPEPAPPRARPRDSEPRRRTRSKSAPRASQGLATAPASPPVLQRRGREA QRAVRVEGSPRREPSYPALRALANELHPIKLQPQRGGPGRIAPLCATPGRCAPPEPPSGP VPHVRCRLDIKPDEAVLQHAARSSRSCAPRETTSWARTAPQFHGLTVPGPRHVALSRTPT PSDLYCTDPRTLYCDGPLPGPRDYLEHRSQPFTTPPGPTQFFYTEEPEGYAGSFTTSPGL PFDGYCSRPYLSEEPPRPSPRRGGSYYAGEVRTFPIQEPPSRSYYGETTRAYGMPFVPRY VPEEPRAHPGARTFYTEDFGRYRERDVLARTYPHPRSSPPWADWGPRPYRTLQVMPPPAP GPLLASWHGGTGTSPPRLATDSRHYSRSWDNILAPGPRREDPLGRGRSYENLLGREVRDT RGSSPEGRRPPVVVNLSTSPRRYAALSLSETSLTEKGRAGESLGRNWYVTPEITITDNDL RSVDRPTAKGWELPGGRPRQPVSTVPEGPASSRQRSLEQLDELITDLVIDSRSPAQAPEP AAEGLGRQLRRLLDSRAAGPGGATLLAPSRSPPASAGSTEEPTGSGEAADASPEPSADED DLMTCSNARCRRTETMFNACLYFKSCHSCYTYYCSRLCRREDWDAHKARCVYGRVGSVCR HVLQFCRDSSPVHRAFSRIARVGFLSRGRGVLFLGFPSPGSADNFLRFGLEGLLLSPTYL SLRELATHAAPLGSYARELAAAGRLYEPAECFLLSVSVAVGPSAAPPGAAARPAPRTPGP TVRKFAKVALAAGSPTRPPPARGGEPDMETLILTPPPGTAGLDEEGEAGRRAREVAFIHI QRELRMRGVFLRHEFPRVYEQLCEFVEANRRFTPTTIYPTDRRTGRPFMCMIMAASEPRA LDWVASANLLDDIM >ENSMUSP00000023043.2 pep:known chromosome:GRCm38:15:80948490:80970947:1 gene:ENSMUSG00000022407.9 transcript:ENSMUST00000023043.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adsl description:adenylosuccinate lyase [Source:MGI Symbol;Acc:MGI:103202] MAASGDPGSAESYRSPLAARYASREMCFLFSDRYKFQTWRQLWLWLAEAEQTLGLPITDE QIQEMKSNLNNIDFQMAAEEEKRLRHDVMAHVHTFGHCCPKAAGIIHLGATSCYVGDNTD LIILRNAFDLLLPKLARVISRLADFAKDRADLPTLGFTHFQPAQLTTVGKRCCLWIQDLC MDLQNLKRVRDELRFRGVKGTTGTQASFLQLFEGDHQKVEQLDKMVTEKAGFKRAFIITG QTYTRKVDIEVLSVLASLGASVHKICTDIRLLANLKEMEEPFEKQQIGSSAMPYKRNPMR SERCCSLARHLMALTMDPLQTASVQWFERTLDDSANRRICLAEAFLTADTILNTLQNISE GLVVYPKVIERRIRQELPFMATENIIMAMVKAGGSRQDCHEKIRVLSQQAAAVVKQEGGD NDLIERIRADAYFSPIHSQLEHLLDPSSFTGRAPQQVHRFLEEEVRPLLKPYGNEMAVKA ELCL >ENSMUSP00000131998.1 pep:known chromosome:GRCm38:15:80948491:80969008:1 gene:ENSMUSG00000022407.9 transcript:ENSMUST00000164806.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adsl description:adenylosuccinate lyase [Source:MGI Symbol;Acc:MGI:103202] MAASGDPGSAESYRSPLAARYASREMCFLFSDRYKFQTWRQLWLWLAEAEQTLGLPITDE QIQEMKSNLNNIDFQMAAEEEKRLRHDVMAHVHTFGHCCPKAAGIIHLGATSCYVGDNTD LIILRNAFDLLLPKLARVISRLADFAKDRADLPTLGFTHFQPAQLTTVGKRCCLWIQDLC MDLQNLKRVRDELRFRGVKGTTGTQASFLQLFEGDHQKVEQLDKMVTEKAGFKRAFIITG QTYTRKVDIEVLSVLASLGASVHKICTDIRLLANLKEMEEPFEKQQIGSSAMPYKRNPMR SERCCSLARHLMALTMDPLQTASVQWFERTLDDSANRRICLAEAFLTADTILNTLQNISE GLVVYPKVIERRIRQELPFMATENIIMAMVKAGGSRQVHRFLEEEVRPLLKPYGNEMAVK AELCL >ENSMUSP00000129601.1 pep:known chromosome:GRCm38:15:80948512:80969119:1 gene:ENSMUSG00000022407.9 transcript:ENSMUST00000166711.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adsl description:adenylosuccinate lyase [Source:MGI Symbol;Acc:MGI:103202] MAASGDPGSAESYRSPLAARYASREMCFLFSDRYKFQTWRQLWLWLAEAEQTLGLPITDE QIQEMKSNLNNIDFQMAAEEEKRLRHDVMAHVHTFGHCCPKAAGIIHLGATSCYVGDNTD LIILRNAFDLLLPKACSADHGWETMLPLDSRSLHGSPELEACPG >ENSMUSP00000146546.1 pep:known chromosome:GRCm38:15:80948517:80963958:1 gene:ENSMUSG00000022407.9 transcript:ENSMUST00000207170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adsl description:adenylosuccinate lyase [Source:MGI Symbol;Acc:MGI:103202] MDLQNLKRVRDELRFRGVKGTTGTQASFLQLFEGDHQKVEQLDKMVTEKAGFKRAFIITG QTYTRKVDIEVLSVLASLGASVHKICTDIRLLANLKEMEEPFEKQQIGSSAMPYKRNPMR SERCCSLARHLMAL >ENSMUSP00000143188.1 pep:known chromosome:GRCm38:15:80948519:80963969:1 gene:ENSMUSG00000022407.9 transcript:ENSMUST00000200201.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adsl description:adenylosuccinate lyase [Source:MGI Symbol;Acc:MGI:103202] MAASGDPGSAESYRSPLAARYASREMCFLFSDRYKFQTWRQLWLWLAEAEQTLGLPITDE QIQEMKSNLNNIDFQMAAEEEKRLRHDVMAHVHTFGHCCPKAAGIIHLGATSCYVGDNTA CSADHGWETMLPLDSRSLHGSPELEACPG >ENSMUSP00000127593.1 pep:known chromosome:GRCm38:15:80948519:80968748:1 gene:ENSMUSG00000022407.9 transcript:ENSMUST00000168756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adsl description:adenylosuccinate lyase [Source:MGI Symbol;Acc:MGI:103202] MAASGDPGSAESYRSPLAARYASREMCFLFSDRYKFQTWRQLWLWLAEAEQTLGLPITDE QIQEMKSNLNNIDFQMAAEEEKRLRHDVMAHVHTFGHCCPKAAGIIHLGATSCYVGDNTL ARVISRLADFAKDRADLPTLGFTHFQPAQLTTVGKRCCLWIQDLCMDLQNLKRVRDELRF RGVKGTTGTQASFLQLFEGDHQKVEQLDKMVTEKAGFKRAFIITGQTYTRKVDIEVLSVL ASLGASVHKICTDIRLLANLKEMEEPFEKQQIGSSAMPYKRNPMRSERCCSLARHLMALT MDPLQTASVQWFERTLDDSANRRICLAEAFLTADTILNTLQNISEGLVVYPKVIERRIRQ ELPFMATENIIMAMVKAGGSRQDCHEKIRVLSQQAAAVVKQEGGDNDLIERIRADAYFSP IHSQLEHLLDPSSFTGRAPQQVHRFLEEEVRPLLKPYGNEMAVKAELCL >ENSMUSP00000132423.1 pep:known chromosome:GRCm38:15:80948537:80966123:1 gene:ENSMUSG00000022407.9 transcript:ENSMUST00000169238.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adsl description:adenylosuccinate lyase [Source:MGI Symbol;Acc:MGI:103202] MAASGDPGSAESYRSPLAARYASREMCFLFSDRYKFQTWRQLWLWLAEAEQTLGLPITDE QIQEMKSNLNNIDFQMAAEEEKRLRHDVMAHVHTFGHCCPKAAGIIHLGATSCYVGDNTD LIILRNAFDLLLPKACSADHGWETMLPLDSRSLHGSPELEACPG >ENSMUSP00000132524.1 pep:known chromosome:GRCm38:15:80948777:80952288:1 gene:ENSMUSG00000022407.9 transcript:ENSMUST00000170354.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adsl description:adenylosuccinate lyase [Source:MGI Symbol;Acc:MGI:103202] MTLGLPITDEQIQEMKSNLNNIDFQMAAEEE >ENSMUSP00000143222.1 pep:known chromosome:GRCm38:15:80963923:80968755:1 gene:ENSMUSG00000022407.9 transcript:ENSMUST00000199284.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adsl description:adenylosuccinate lyase [Source:MGI Symbol;Acc:MGI:103202] XRCCSLARHLMALTMDPLQTASVQWFERTLDDSANR >ENSMUSP00000041008.5 pep:known chromosome:GRCm38:17:34263209:34269418:1 gene:ENSMUSG00000073421.5 transcript:ENSMUST00000040828.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Ab1 description:histocompatibility 2, class II antigen A, beta 1 [Source:MGI Symbol;Acc:MGI:103070] MALQIPSLLLSAAVVVLMVLSSPGTEGGDSERHFVYQFMGECYFTNGTQRIRYVTRYIYN REEYVRYDSDVGEHRAVTELGRPDAEYWNSQPEILERTRAELDTVCRHNYEGPETHTSLR RLEQPNVVISLSRTEALNHHNTLVCSVTDFYPAKIKVRWFRNGQEETVGVSSTQLIRNGD WTFQVLVMLEMTPRRGEVYTCHVEHPSLKSPITVEWRAQSESAWSKMLSGIGGCVLGVIF LGLGLFIRHRSQKGPRGPPPAGLLQ >ENSMUSP00000051515.5 pep:known chromosome:GRCm38:7:30308717:30314304:1 gene:ENSMUSG00000042831.13 transcript:ENSMUST00000060834.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh6 description:alkB homolog 6 [Source:MGI Symbol;Acc:MGI:2142037] MEEQDARVPALEPFRVEQAPPLIYYVPDFISKEEEEYLLRQVFNAPKPKWTQLSGRKLQN WGGLPHPRGMVPERLPPWLQRYVDKVSDLSLFGGLPANHVLVNQYLPGEGIMPHEDGPLY YPTVSTISLGSHTVLDFYEPRQPDDDVPMEQPRPPQRPITSLLVEPRSLLVLRGTAYTRL LHGISATRVDELDATSLPPNATACKSALPGAHLVRGTRVSLTIRRVPRVLRASLLLSK >ENSMUSP00000121953.1 pep:known chromosome:GRCm38:7:30308777:30314154:1 gene:ENSMUSG00000042831.13 transcript:ENSMUST00000136887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh6 description:alkB homolog 6 [Source:MGI Symbol;Acc:MGI:2142037] MEEQDARVPALEPFRVEQEEEEYLLRQVFNAPKPKWTQLSGRKLQNWGGLPHPRGMVPER LPPWLQRYVDKVSDLSLFGGLPANHVLVNQYLPGEGIMPHEDGPLYYPTVSTISLGSHTV LDFYEPRQPDDDVPMEQPRPPQRPITSLLVEPRSLLVLRGTAYTRLLHGISATRVDELDA TSLPPNATACKSALPGAHLVRGTRVSLTIRRVPRVL >ENSMUSP00000115896.1 pep:known chromosome:GRCm38:7:30308777:30314161:1 gene:ENSMUSG00000042831.13 transcript:ENSMUST00000137550.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alkbh6 description:alkB homolog 6 [Source:MGI Symbol;Acc:MGI:2142037] MEEQDARVPALEPFRVEQEEEEYLLRQVFNAPKPKWTQLSGRKLQNWGGLPHPRGMVPER LPPWLQRYVDKVSDLSLFGGLPANHVLVNQYLPGEGIMARSSLSQLGNSTLT >ENSMUSP00000122962.1 pep:known chromosome:GRCm38:8:119992438:120052793:1 gene:ENSMUSG00000031825.16 transcript:ENSMUST00000132583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crispld2 description:cysteine-rich secretory protein LCCL domain containing 2 [Source:MGI Symbol;Acc:MGI:1926142] MSCLLNNMVLMGLALLVCGVQAFFLPNTTSLEKLLSKYQHAEPHSRVRRAIPMSDRQEIL MLHNKLRGQVYPPASNMEHMTWDEELERSAAAWAHRCLWEHGPAGLLRSIGQNLAVHWGR YRSPGFHVQSWYDEVKDYTYPYPHECTPRCRERCSGPMCTHYTQMVWATTNKIGCAVHTC RNMNVWGDTWENAVYLVCNYSPKGNWIGEAPYKHGRPCSECPSSYGGGCLNNLCHREKPH KHKPEVDMMNEVESPPAPEETHVWVQPRVIKTKKTPVINFMTQVVHCDTKMKDSCKGSTC NRYQCPAGCLSNKAKVFGSLFYESSSSICRAAIHYGVIDDRGGLVDVTRNGMVPFFVKSQ KNGMESLSKYKPSSSFTVSKVTETAVDCHATVAQLCPFEKPATHCPRIQCPARCGEEPSY WAPVYGTNIYADTSSICKAAVHAGVIVDEVGGYADVMPVDKKKSYVGSLRNGVQSESLNT PQNGNAFRIFAVRQ >ENSMUSP00000034282.9 pep:known chromosome:GRCm38:8:119992508:120051576:1 gene:ENSMUSG00000031825.16 transcript:ENSMUST00000034282.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crispld2 description:cysteine-rich secretory protein LCCL domain containing 2 [Source:MGI Symbol;Acc:MGI:1926142] MSCLLNNMVLMGLALLVCGVQAFFLPNTTSLEKLLSKYQHAEPHSRVRRAIPMSDRQEIL MLHNKLRGQVYPPASNMEHMTWDEELERSAAAWAHRCLWEHGPAGLLRSIGQNLAVHWGR YRSPGFHVQSWYDEVKDYTYPYPHECTPRCRERCSGPMCTHYTQMVWATTNKIGCAVHTC RNMNVWGDTWENAVYLVCNYSPKGNWIGEAPYKHGRPCSECPSSYGGGCLNNLCHRAEKP HKHKPEVDMMNEVESPPAPEETHVWVQPRVIKTKKTPVINFMTQVVHCDTKMKDSCKGST CNRYQCPAGCLSNKAKVFGSLFYESSSSICRAAIHYGVIDDRGGLVDVTRNGMVPFFVKS QKNGMESLSKYKPSSSFTVSKVTETAVDCHATVAQLCPFEKPATHCPRIQCPARCGEEPS YWAPVYGTNIYADTSSICKAAVHAGVIVDEVGGYADVMPVDKKKSYVGSLRNGVQSESLN TPQNGNAFRIFAVRQ >ENSMUSP00000104600.3 pep:known chromosome:GRCm38:8:120002726:120051576:1 gene:ENSMUSG00000031825.16 transcript:ENSMUST00000108972.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crispld2 description:cysteine-rich secretory protein LCCL domain containing 2 [Source:MGI Symbol;Acc:MGI:1926142] MSCLLNNMVLMGLALLVCGVQAFFLPNTTSLEKLLSKYQHAEPHSRVRRAIPMSDRQEIL MLHNKLRGQVYPPASNMEHMTWDEELERSAAAWAHRCLWEHGPAGLLRSIGQNLAVHWGR YRSPGFHVQSWYDEVKDYTYPYPHECTPRCRERCSGPMCTHYTQMVWATTNKIGCAVHTC RNMNVWGDTWENAVYLVCNYSPKGNWIGEAPYKHGRPCSECPSSYGGGCLNNLCHREKPH KHKPEVDMMNEVESPPAPEETHVWVQPRVIKTKKTPVINFMTQVVHCDTKMKDSCKGSTC NRYQCPAGCLSNKAKVFGSLFYESSSSICRAAIHYGVIDDRGGLVDVTRNGMVPFFVKSQ KNGMESLSKYKPSSSFTVSKVTETAVDCHATVAQLCPFEKPATHCPRIQCPARCGEEPSY WAPVYGTNIYADTSSICKAAVHAGVIVDEVGGYADVMPVDKKKSYVGSLRNGVQSESLNT PQNGNAFRIFAVRQ >ENSMUSP00000071035.7 pep:known chromosome:GRCm38:8:79338395:79399518:-1 gene:ENSMUSG00000031681.14 transcript:ENSMUST00000066091.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad1 description:SMAD family member 1 [Source:MGI Symbol;Acc:MGI:109452] MNVTSLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALSCPGQ PSNCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLECCEFPFGSKQKEV CINPYHYKRVESPVLPPVLVPRHSEYNPQHSLLAQFRNLGQNEPHMPLNATFPDSFQQPN SHPFPHSPNSSYPNSPGGSSSTYPHSPTSSDPGSPFQMPADTPPPAYLPPEDPMAQDGSQ PMDTNMMAPPLPAEISRGDVQAVAYEEPKHWCSIVYYELNNRVGEAFHASSTSVLVDGFT DPSNNKNRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECLSDSSIFVQSRNC NYHHGFHPTTVCKIPSGCSLKIFNNQEFAQLLAQSVNHGFETVYELTKMCTIRMSFVKGW GAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMGSPHNPISSVS >ENSMUSP00000105511.1 pep:known chromosome:GRCm38:8:79341580:79399518:-1 gene:ENSMUSG00000031681.14 transcript:ENSMUST00000109885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad1 description:SMAD family member 1 [Source:MGI Symbol;Acc:MGI:109452] MNVTSLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALSCPGQ PSNCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLECCEFPFGSKQKEV CINPYHYKRVESPVLPPVLVPRHSEYNPQHSLLAQFRNLGQNEPHMPLNATFPDSFQQPN SHPFPHSPNSSYPNSPGGSSSTYPHSPTSSDPGSPFQMPADTPPPAYLPPEDPMAQDGSQ PMDTNMMAPPLPAEISRGDVQAVAYEEPKHWCSIVYYELNNRVGEAFHASSTSVLVDGFT DPSNNKNRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECLSDSSIFVQSRNC NYHHGFHPTTVCKIPSGCSLKIFNNQEFAQLLAQSVNHGFETVYELTKMCTIRMSFVKVS SAHPSHSG >ENSMUSP00000064015.3 pep:known chromosome:GRCm38:8:79355356:79399518:-1 gene:ENSMUSG00000031681.14 transcript:ENSMUST00000066081.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad1 description:SMAD family member 1 [Source:MGI Symbol;Acc:MGI:109452] MNVTSLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALSCPGQ PSNCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLECCEFPFGSKQKEV CINPYHYKRVESPVLPPVLVPRHSEYNPQHSLLAQFRNLGQNEPHMPLNATFPDSFQQPN SHPFPHSPNSSYPNSPGGSSSTYPHSPTSSDPGSPFQMPAASFWEWHGCSSLCSP >ENSMUSP00000023934.6 pep:known chromosome:GRCm38:7:103826534:103828078:-1 gene:ENSMUSG00000052305.5 transcript:ENSMUST00000023934.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbb-bs description:hemoglobin, beta adult s chain [Source:MGI Symbol;Acc:MGI:5474852] MVHLTDAEKAAVSGLWGKVNADEVGGEALGRLLVVYPWTQRYFDSFGDLSSASAIMGNAK VKAHGKKVITAFNDGLNHLDSLKGTFASLSELHCDKLHVDPENFRLLGNMIVIVLGHHLG KDFTPAAQAAFQKVVAGVAAALAHKYH >ENSMUSP00000115607.1 pep:known chromosome:GRCm38:7:103827452:103828096:-1 gene:ENSMUSG00000052305.5 transcript:ENSMUST00000153218.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbb-bs description:hemoglobin, beta adult s chain [Source:MGI Symbol;Acc:MGI:5474852] MVHLTDAEKAAVSGLWGKVNADEVGGEALGRLLVVYPWTQRYFDSFGDLSSASAIMGNAK VKAHGKKVITAFNDGLNHLDSLKGTFASLSELHCDKLHVDPEN >ENSMUSP00000023407.5 pep:known chromosome:GRCm38:16:59471775:59492461:1 gene:ENSMUSG00000022724.15 transcript:ENSMUST00000023407.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mina description:myc induced nuclear antigen [Source:MGI Symbol;Acc:MGI:1914264] MPKKVQPTGDENEEASVPCKRVKEELPETLSVLNFDSPSSFFESLISPIKVETFFKEFWE QKPLLIQRDDPVLAKYYQSLFSLSDLKRLCKKGVYYGRDVNVCRSISGKKKVLNKDGRAH FLQLRKDFDQKRATIQFHQPQRYKDELWRIQEKLECYFGSLVGSNVYMTPAGSQGLPPHY DDVEVFILQLEGTKHWRLYSPTVPLAHEYSVESEDRIGTPTHDFLLKPGDLLYFPRGTIH QAETPSGLAYSIHLTISTYQNNSWGDCLLDSISGFVFDIAKEDVALRSGMPRRMLLNVET PADVTRKLSGFLRTLADQLEGREELLSSDMKKDFVKHRLPPFFEGNGTETMDPGKQLPRL DNIIRLQFKDHIVLTVGPDKNPFDEAQQKVVYIYHSLKNVRQMHMIGEEEESEIFGLRFP LSHVDALKQIWCGSPIRVKDLKLDTDEEKENLALSLWSESLIQVL >ENSMUSP00000112950.1 pep:known chromosome:GRCm38:16:59471804:59477567:1 gene:ENSMUSG00000022724.15 transcript:ENSMUST00000120667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mina description:myc induced nuclear antigen [Source:MGI Symbol;Acc:MGI:1914264] MPKKVQPTGDENEEASVPCKRVKEELPETLSVLNFDSPSSFFESLISPIKVETFFKEFWE QKPLLIQRDDPVLAKYYQSLFSLSDLKRLCKKGVYYGRDVNVCRSISGKKKVLNKDGRAH FLQLRKDFDQKRATIQFHQPQRYKVVDFPRAEFSDI >ENSMUSP00000112899.1 pep:known chromosome:GRCm38:16:59471822:59491668:1 gene:ENSMUSG00000022724.15 transcript:ENSMUST00000120674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mina description:myc induced nuclear antigen [Source:MGI Symbol;Acc:MGI:1914264] MPKKVQPTGDENEEASVPCKRVKEELPETLSVLNFDSPSSFFESLISPIKVETFFKEFWE QKPLLIQRDDPVLAKYYQSLFSLSDLKRLCKKGVYYGRDVNVCRSISGKKKVLNKDGRAH FLQLRKDFDQKRATIQFHQPQRYKDELWRIQEKLECYFGSLVGSNVYMTPAGSQGLPPHY DDVEVFILQLEGTKHWRLYSPTVPLAHEYSVESEDRIGTPTHDFLLKPGDLLYFPRGTIH QAETPSGLAYSIHLTISTYQNNSWGDCLLDSISGFVFDIAKEDVALRSGMPRRMLLNVET PADVTRKLSGFLRTLADQLEGREELLSSDMKKDFVKHRLPPFFEGNGTETMDPGYSSEVK PVRQFLMQGLPLS >ENSMUSP00000125297.1 pep:known chromosome:GRCm38:16:59472068:59492291:1 gene:ENSMUSG00000022724.15 transcript:ENSMUST00000160571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mina description:myc induced nuclear antigen [Source:MGI Symbol;Acc:MGI:1914264] MPKKVQPTGDENEEASVPCKRVKEELPETLSVLNFDSPSSFFESLISPIKVETFFKEFWE QKPLLIQRDDPVLAKYYQSLFSLSDLKRLCKKGVYYGRDVNVCRSISGKKKVLNKDGRAH FLQLRKDFDQKRATIQFHQPQRYKDELWRIQEKLECYFGSLVGSNVYMTPAGSQGLPPHY DDVEVFILQLEGTKHWRLYSPTVPLAHEYSVESEDRIGTPTHDFLLKPGDLLYFPRGTIH QAETPSGLAYSIHLTISTYQNNSWGDCLLDSISGFVFDIAKEDVALRSGMPRRMLLNVET PADVTRKLSGFLRTLADQLEGREELLSSDMKKDFVKHRLPPFFEGNGTETMDPGKQLPRL DNIIRLQFKDHIVLTVGPDKNPFDEAQQKVVYIYHSLKNVRQMHMIGEEEESEIFGLRFP LSHVDALKQIWCGSPIRVKDLKLDTDEEKENLALSLWSESLIQVL >ENSMUSP00000121743.1 pep:known chromosome:GRCm38:16:59472343:59475758:1 gene:ENSMUSG00000022724.15 transcript:ENSMUST00000138324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mina description:myc induced nuclear antigen [Source:MGI Symbol;Acc:MGI:1914264] MPKKVQPTGDENEEASVPCKRVKEELPETLSVLNFDSPSSFFESLISPIKVETFFKEFWE QKPLLIQRDDPVLA >ENSMUSP00000028118.3 pep:known chromosome:GRCm38:2:11172108:11301222:1 gene:ENSMUSG00000026778.13 transcript:ENSMUST00000028118.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcq description:protein kinase C, theta [Source:MGI Symbol;Acc:MGI:97601] MSPFLRIGLSNFDCGTCQACQGEAVNPYCAVLVKEYVESENGQMYIQKKPTMYPPWDSTF DAHINKGRVMQIIVKGKNVDLISETTVELYSLAERCRKNNGRTEIWLELKPQGRMLMNAR YFLEMSDTKDMSEFENEGFFALHQRRGAIKQAKVHHVKCHEFTATFFPQPTFCSVCHEFV WGLNKQGYQCRQCNAAIHKKCIDKVIAKCTGSAINSRETMFHKERFKIDMPHRFKVYNYK SPTFCEHCGTLLWGLARQGLKCDACGMNVHHRCQTKVANLCGINQKLMAEALAMIESTQQ ARSLRDSEHIFREGPVEIGLPCSTKNETRPPCVPTPGKREPQGISWDSPLDGSNKSAGPP EPEVSMRRTSLQLKLKIDDFILHKMLGKGSFGKVFLAEFKRTNQFFAIKALKKDVVLMDD DVECTMVEKRVLSLAWEHPFLTHMFCTFQTKENLFFVMEYLNGGDLMYHIQSCHKFDLSR ATFYAAEVILGLQFLHSKGIVYRDLKLDNILLDRDGHIKIADFGMCKENMLGDAKTNTFC GTPDYIAPEILLGQKYNHSVDWWSFGVLVYEMLIGQSPFHGQDEEELFHSIRMDNPFYPR WLEREAKDLLVKLFVREPEKRLGVRGDIRQHPLFREINWEELERKEIDPPFRPKVKSPYD CSNFDKEFLSEKPRLSFADRALINSMDQNMFSNFSFINPGMETLICS >ENSMUSP00000100035.3 pep:known chromosome:GRCm38:2:11226868:11300120:1 gene:ENSMUSG00000026778.13 transcript:ENSMUST00000102970.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcq description:protein kinase C, theta [Source:MGI Symbol;Acc:MGI:97601] MSPFLRIGLSNFDCGTCQACQGEAVNPYCAVLVKEYVESENGQMYIQKKPTMYPPWDSTF DAHINKGRVMQIIVKGKNVDLISETTVELYSLAERCRKNNGRTEIWLELKPQGRMLMNAR YFLEMSDTKDMSEFENEGFFALHQRRGAIKQAKVHHVKCHEFTATFFPQPTFCSVCHEFV WGLNKQGYQCRQCNAAIHKKCIDKVIAKCTGSAINSRETMFHKERFKIDMPHRFKVYNYK SPTFCEHCGTLLWGLARQGLKCDACGMNVHHRCQTKVANLCGINQKLMAEALAMIESTQQ ARSLRDSEHIFREGPVEIGLPCSTKNETRPPCVPTPGKREPQGISWDSPLDGSNKSAGPP EPEVSMRRTSLQLKLKIDDFILHKMLGKGSFGKVFLAEFKRTNQFFAIKALKKDVVLMDD DVECTMVEKRVLSLAWEHPFLTHMFCTFQTKENLFFVMEYLNGGDLMYHIQSCHKFDLSR ATFYAAEVILGLQFLHSKGIVYRDLKLDNILLDRDGHIKIADFGMCKENMLGDAKTNTFC GTPDYIAPELFVREPEKRLGVRGDIRQHPLFREINWEELERKEIDPPFRPKVKSPYDCSN FDKEFLSEKPRLSFADRALINSMDQNMFSNFSFINPGMETLIC >ENSMUSP00000030865.8 pep:known chromosome:GRCm38:4:148077061:148088031:-1 gene:ENSMUSG00000029007.8 transcript:ENSMUST00000030865.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtrap description:angiotensin II, type I receptor-associated protein [Source:MGI Symbol;Acc:MGI:1339977] MELPAVNLKVILLVHWLLTTWGCLVFSSSYAWGNFTILALGVWAVAQRDSIDAIGMFLGG LVATIFLDIIYISIFYSSVATGDTGRFGAGMAILSLLLKPFSCCLVYHMHRERGGELPLR PDFFGPSQEHSAYQTIDSSSDAAADPFASLENKGQAVPRGY >ENSMUSP00000087802.1 pep:known chromosome:GRCm38:2:111292270:111293211:1 gene:ENSMUSG00000068647.1 transcript:ENSMUST00000090330.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1278 description:olfactory receptor 1278 [Source:MGI Symbol;Acc:MGI:3031112] MFKKNYTKVSEFVFLGLSSSRKIRPFLLAFSMVLYVAIVLGNTLVVFTLAFDPHLHSPMY FLLGNLAFIDLCLSTLTVPKMISDLSSGHNAISFQGCVFQIFVLHVLGATEMVLLVAMAW DRYVAICKPLHYLTIMSPRMCLMLLSGAWVIGFLHSVTQLAFIIKLNFCGPNEIDSFYCD LPQFIKLACIDPKKMQFMVTANSGFISMGTFLLLIISYIVILFIVRKHSSSGDLSKALST LSAHISVVVLFFGPCIFVYMWPFPTVPVDKFLAILDFMVTPILNPAIYTLRNKDMKVAMR RLITQLFNLRKSP >ENSMUSP00000142528.1 pep:known chromosome:GRCm38:5:105115767:105139586:-1 gene:ENSMUSG00000079363.7 transcript:ENSMUST00000196204.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gbp4 description:guanylate binding protein 4 [Source:MGI Symbol;Acc:MGI:97072] MTQPQMAPICLVENHNEQLSVNQEAIEILDKISQPVVVVAIVGWSHTGKSYLMNCLAGQN HVSLWAPPCSLRPRASGCGACPTPPSQSTGPPGHRGPGRCGKG >ENSMUSP00000098522.3 pep:known chromosome:GRCm38:5:105115767:105139586:-1 gene:ENSMUSG00000079363.7 transcript:ENSMUST00000100962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp4 description:guanylate binding protein 4 [Source:MGI Symbol;Acc:MGI:97072] MTQPQMAPICLVENHNEQLSVNQEAIEILDKISQPVVVVAIVGWSHTGKSYLMNCLAGQN HVSGTLPTSQRFPSGLHRAVSDQGHLDVVHAPPHQARALVLLDTEGLGDVEKGDPKNDLW IFALSVLLSSTFVYNSMNTINHQALEQLHYVTELTELIRAKSSPNPHGIKNSTEFVSFFP DFVWTVRDFMLELKLNGEDITSDEYLENALKLIPGNNPRIQASNSARECIRRFFPNRKCF VFEWPTHDIELIKQLETISEDQLDPTFKESAMAFASYIFTYAKIKTLREGIKVTGNGLGT LVTTYVDAINSGAVPCLDDAVTTLAQRENSVAVQKAASHYSEQMAQRLSLPTDTIQELLD VHAACEKEAMAVFMEHSFKDENQQFLKKLVELLREKNGLFLLKNEEASDKYCQEELDRLS KDLMDNISTFSVPGGHRLYMDMREKIEHDYWQVPRKGVKASEVFQNFLQSQAIIESSILQ ADTALTAGQKAIAEKHTKKEAAEKEQDLLRQKQKEHQEYMEAQEKRNKENLEQLRRKLEQ EREQLIKDHNMMLEKLTKEQKTFREEGYKTQAEELRREIHQLGHNIKEMKQNGDSLVESI LRSWFSFISPPSESEKAISSVLSLLRKKDRL >ENSMUSP00000142795.1 pep:known chromosome:GRCm38:5:105122909:105139545:-1 gene:ENSMUSG00000079363.7 transcript:ENSMUST00000196677.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp4 description:guanylate binding protein 4 [Source:MGI Symbol;Acc:MGI:97072] MNTINHQALEQLHYVTELTELIRAKSSPNPHGIKNSTEFVSFFPDFVWTVRDFMLELKLN GEDITSDEYLENALKLIPGNNPRIQASNSARECIRRFFPNRKCFVFEWPTHDIELIKQLE TISEDQLDPTFKESAMAFASYIFTYAKIK >ENSMUSP00000143689.1 pep:known chromosome:GRCm38:5:105122973:105139544:-1 gene:ENSMUSG00000079363.7 transcript:ENSMUST00000199629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp4 description:guanylate binding protein 4 [Source:MGI Symbol;Acc:MGI:97072] MNTINHQALEQLHYVTELTELIRAKSSPNPHGIKNSTEFVSFFPDFVWTVRDFMLELKLN GEDITSDEYLENALKLIPGNNPRIQASNSARECIRRFFPNRKCFVFEWPTHDIELIKQLE TISEDQL >ENSMUSP00000142493.1 pep:known chromosome:GRCm38:5:105125226:105139540:-1 gene:ENSMUSG00000079363.7 transcript:ENSMUST00000197799.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp4 description:guanylate binding protein 4 [Source:MGI Symbol;Acc:MGI:97072] MTQPQMAPICLVENHNEQLSVNQEAIEILDKISQPVVVVAIVGWSHTGKSYLMNCLAGQN HVSGTLPTSQRFPSGLHRAVSDQGHLDVVHAPPHQARALVLLDTEGLGDVEKGDPKNDLW IFALSVLLSSTFVYNSMNTINHQALEQLHYVTELTELIRAKSSPNPHGIKNSTEFVSFFP DFVW >ENSMUSP00000058609.4 pep:known chromosome:GRCm38:7:35772343:35802989:-1 gene:ENSMUSG00000044452.10 transcript:ENSMUST00000061586.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp507 description:zinc finger protein 507 [Source:MGI Symbol;Acc:MGI:1916378] MEESSSIAMLVQEIGEPEAVLTAEGVLSPSSEVDQQRKAKADPLVHVIQKLSKIVGHEKS QKCLLIGKKRPRPSETANSLEKLENCEIPAKATESPAAGVRKTEMSQASSTLASNDGKAM SYQCSLCKFLSPSFSVLKEHVKQHGQQHDVMLMCSECHATSRSQQELEAHVVSEHENSAS SQARSSPSGQGATERKSETMVDIPVNMGSPQTHAVQSAAMAESGRRKWYAYEQYGMYRCL FCSYTCGQQRMLKTHAWKHAGEVNCSYPIFENENEPLGLLASSMSAAPGGVDAVVIAIGD SELSIHNGPSVQVQICSSDPPSSSPLEQSTEEGVHLNQAVTLDANEEEMLEVMSDSEENL FADSLLSSAQKIISSSPNKKGHVNVIVERLPSAEETLPPKHFLINAEMEEGKSPSPSEAQ TGCVGAGNMYHADKCTVDIGGLIIGWSSAEKKDSELSKGLAPDENAPPGRRRTNSESLRL HSLAAEALVTMPIRAAELTRASLGHYGDINLLDPDTGQRQVSGPLATYSKKIMSPLKNST DGVTSFNQSNSTVVALPEGRQELSDGQVKTGISMSLLTVIEKLRERTDQNASDDDILKEL QDNAQCQPNSDGSLLGSNVVEYIPDAERPYRCRLCNYSSGNRGYIKQHLRVHRQRQPYQC PICEHIAENSKDLESHMINHCKTRIHQCKQCKESFHYKSQLRNHEREQHCLPNTLSVASN EPRISRDAADGKCAQEGNKPSTQKQYRCDVCDYTSTTYVGVRNHRRVHNSDKPYRCSLCG YVCSHPPSLKSHMWKHASDQNYNYEQVNKAINDAISQSARVLGKSRGKPLLTSSEERTGP TTGSPENLVSSSELTSQLPGEVMDASELEKLNPTGCSSDVSGRSCSLAAPGTEYCVLLFC CCICGFESTSKESLLDHMKEHEGEIVSIILNKDHSTALNAN >ENSMUSP00000145751.1 pep:known chromosome:GRCm38:7:35773727:35794044:-1 gene:ENSMUSG00000044452.10 transcript:ENSMUST00000206615.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp507 description:zinc finger protein 507 [Source:MGI Symbol;Acc:MGI:1916378] LATYSKKIMSPLKNSTDGVTSFNQSNSTVVALPEGRQELSDGQVKTGISMSLLTVIEKLR ERTDQNASDDDILKELQDNAQCQPNSDGSLLGSNVVEYIPDAERPYRCRLCNYSSGNRGY IKQHLRVHRQRQPYQCPICEHIAENSKDLESHMINHCKTRIHQCKQCKESFHYKSQLRNH EREQHCLPNTLSVASNEPRISRDAADGKCAQEGNKPSTQKQYRCDVCDYTSTTYVGVRNH RRVHNSDKPYRCSLCGYVCSHPPSLKSHMWKHASDQNYNYEQVNKAINDAISQSARYVVP PFT >ENSMUSP00000140940.1 pep:known chromosome:GRCm38:7:35775974:35802967:-1 gene:ENSMUSG00000044452.10 transcript:ENSMUST00000187282.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp507 description:zinc finger protein 507 [Source:MGI Symbol;Acc:MGI:1916378] MWKHASDQNYNYEQVNKAINDAISQSARVLGKSRGKPLLTSSEERTGPTTGSPENLVSSS ELTSQLPGEVMDASELEKLNPTGCSSDVSGRSCSLAAPGTEYCVLLFCCCICGFESTSKE SLLDHMKEHEGEIVSIILNKDHSTAL >ENSMUSP00000146278.1 pep:known chromosome:GRCm38:7:35791722:35802986:-1 gene:ENSMUSG00000044452.10 transcript:ENSMUST00000205670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp507 description:zinc finger protein 507 [Source:MGI Symbol;Acc:MGI:1916378] MEESSSIAMLVQEIGEPEAVLTAEGVLSPSSEVDQQRKAKADPLVHVIQKLSKIVGHEKS QKCLLIGKKRPRPSETANSLEKLENCEIPAKATESPAAGVRKTEMSQSQLRNHEREQHCL P >ENSMUSP00000101434.1 pep:known chromosome:GRCm38:4:138724792:138746132:1 gene:ENSMUSG00000028750.12 transcript:ENSMUST00000105808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g2c description:phospholipase A2, group IIC [Source:MGI Symbol;Acc:MGI:106638] MKGIAIFLVFIFYWTTSTLSSFWQFQRMVKHVTGRSAFFSYYGYGCYCGLGGKGLPVDAT DRCCWAHDCCYHKLKEYGCQPILNAYQFTIVNGTVTCGCTVASSCLCGQKACECDKQSVY CFKENLATYEKAFKQLFPTRPQCGRDKLQC >ENSMUSP00000124341.1 pep:known chromosome:GRCm38:4:138725325:138743694:1 gene:ENSMUSG00000028750.12 transcript:ENSMUST00000124660.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g2c description:phospholipase A2, group IIC [Source:MGI Symbol;Acc:MGI:106638] MKGIAIFLVFIFYWTTSTLSSFWQFQRMVKHVTGRSAFFSYYGYGCYCGLGGKGLPVDAT DRCCWAHDCCYHKLKEYGCQPILNAYQFTIVNGTVTCGCTVASSCLCGQKACECDKQSVY CFKENLAT >ENSMUSP00000030530.4 pep:known chromosome:GRCm38:4:138725325:138744575:1 gene:ENSMUSG00000028750.12 transcript:ENSMUST00000030530.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g2c description:phospholipase A2, group IIC [Source:MGI Symbol;Acc:MGI:106638] MKGIAIFLVFIFYWTTSTLSSFWQFQRMVKHVTGRSAFFSYYGYGCYCGLGGKGLPVDAT DRCCWAHDCCYHKLKEYGCQPILNAYQFTIVNGTVTCGCTVASSCLCGQKACECDKQSVY CFKENLATYEKAFKQLFPTRPQCGRDKLQC >ENSMUSP00000047630.7 pep:known chromosome:GRCm38:6:134869108:134897858:-1 gene:ENSMUSG00000032641.17 transcript:ENSMUST00000046255.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr19 description:G protein-coupled receptor 19 [Source:MGI Symbol;Acc:MGI:892973] MVFAHRMDNDQPPVVTATLLVPLQNSSCAEAAEALLPHGLMGLHEEHSWMSNRTELQYEL NPGEVATASIFFGALWLFSIFGNSLVCLVIHRSRRTQSTTNYFVVSMACADLLISVASTP FVVLQFTTGRWTLGSAMCKVVRYFQYLTPGVQIYVLLSICIDRFYTIVYPLSFKVSREKA KKMIAASWILDAAFVTPVFFFYGSNWDSHCNYFLPPSWEGTAYTVIHFLVGFVIPSILII LFYQKVIKYIWRIGTDGRTLRRTMNIVPRTKVKTVKMFLLLNLVFLFSWLPFHVAQLWHP HEQDYKKSSLVFTAVTWVSFSSSASKPTLYSIYNANFRRGMKETFCMSSMKCYRSNAYTI TTSSRMAKRNYVGISEIPPVSRTITKDSIYDSFDREAREKKLAWPINSNPPNTFV >ENSMUSP00000066287.6 pep:known chromosome:GRCm38:6:134869157:134887832:-1 gene:ENSMUSG00000032641.17 transcript:ENSMUST00000066107.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr19 description:G protein-coupled receptor 19 [Source:MGI Symbol;Acc:MGI:892973] MVFAHRMDNDQPPVVTATLLVPLQNSSCAEAAEALLPHGLMGLHEEHSWMSNRTELQYEL NPGEVATASIFFGALWLFSIFGNSLVCLVIHRSRRTQSTTNYFVVSMACADLLISVASTP FVVLQFTTGRWTLGSAMCKVVRYFQYLTPGVQIYVLLSICIDRFYTIVYPLSFKVSREKA KKMIAASWILDAAFVTPVFFFYGSNWDSHCNYFLPPSWEGTAYTVIHFLVGFVIPSILII LFYQKVIKYIWRIGTDGRTLRRTMNIVPRTKVKTVKMFLLLNLVFLFSWLPFHVAQLWHP HEQDYKKSSLVFTAVTWVSFSSSASKPTLYSIYNANFRRGMKETFCMSSMKCYRSNAYTI TTSSRMAKRNYVGISEIPPVSRTITKDSIYDSFDREAREKKLAWPINSNPPNTFV >ENSMUSP00000107563.1 pep:known chromosome:GRCm38:6:134869162:134897863:-1 gene:ENSMUSG00000032641.17 transcript:ENSMUST00000111932.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr19 description:G protein-coupled receptor 19 [Source:MGI Symbol;Acc:MGI:892973] MDNDQPPVVTATLLVPLQNSSCAEAAEALLPHGLMGLHEEHSWMSNRTELQYELNPGEVA TASIFFGALWLFSIFGNSLVCLVIHRSRRTQSTTNYFVVSMACADLLISVASTPFVVLQF TTGRWTLGSAMCKVVRYFQYLTPGVQIYVLLSICIDRFYTIVYPLSFKVSREKAKKMIAA SWILDAAFVTPVFFFYGSNWDSHCNYFLPPSWEGTAYTVIHFLVGFVIPSILIILFYQKV IKYIWRIGTDGRTLRRTMNIVPRTKVKTVKMFLLLNLVFLFSWLPFHVAQLWHPHEQDYK KSSLVFTAVTWVSFSSSASKPTLYSIYNANFRRGMKETFCMSSMKCYRSNAYTITTSSRM AKRNYVGISEIPPVSRTITKDSIYDSFDREAREKKLAWPINSNPPNTFV >ENSMUSP00000145128.1 pep:known chromosome:GRCm38:6:134870037:134897825:-1 gene:ENSMUSG00000032641.17 transcript:ENSMUST00000203409.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr19 description:G protein-coupled receptor 19 [Source:MGI Symbol;Acc:MGI:892973] MDNDQPPVVTATLLVPLQNSSCAEAAEALLPHGLMGLHEEHSWMSNRTELQYELNPGEVA TASIFFGALWLFSIFGNSLVCLVIHRSRRTQSTTNYFVVSMACADLLISVASTPFVVLQF TTGRWTLGSAMCKVV >ENSMUSP00000144918.1 pep:known chromosome:GRCm38:6:134870208:134897815:-1 gene:ENSMUSG00000032641.17 transcript:ENSMUST00000204880.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr19 description:G protein-coupled receptor 19 [Source:MGI Symbol;Acc:MGI:892973] MDNDQPPVVTATLLVPLQNSSCAEAAEALLPHGLMGLHEEHSWMSNRTELQYELNPGEVA TASIFFGALWLFSIFGNS >ENSMUSP00000145441.1 pep:known chromosome:GRCm38:6:134870249:134887768:-1 gene:ENSMUSG00000032641.17 transcript:ENSMUST00000203762.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr19 description:G protein-coupled receptor 19 [Source:MGI Symbol;Acc:MGI:892973] MDNDQPPVVTATLLVPLQNSSCAEAAEALLPHGLMGLHEEHSWMSNRTELQYELNPGEVA TASI >ENSMUSP00000127876.1 pep:known chromosome:GRCm38:6:134869093:134897815:-1 gene:ENSMUSG00000032641.17 transcript:ENSMUST00000165392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr19 description:G protein-coupled receptor 19 [Source:MGI Symbol;Acc:MGI:892973] MDNDQPPVVTATLLVPLQNSSCAEAAEALLPHGLMGLHEEHSWMSNRTELQYELNPGEVA TASIFFGALWLFSIFGNSLVCLVIHRSRRTQSTTNYFVVSMACADLLISVASTPFVVLQF TTGRWTLGSAMCKVVRYFQYLTPGVQIYVLLSICIDRFYTIVYPLSFKVSREKAKKMIAA SWILDAAFVTPVFFFYGSNWDSHCNYFLPPSWEGTAYTVIHFLVGFVIPSILIILFYQKV IKYIWRIGTDGRTLRRTMNIVPRTKVKTVKMFLLLNLVFLFSWLPFHVAQLWHPHEQDYK KSSLVFTAVTWVSFSSSASKPTLYSIYNANFRRGMKETFCMSSMKCYRSNAYTITTSSRM AKRNYVGISEIPPVSRTITKDSIYDSFDREAREKKLAWPINSNPPNTFV >ENSMUSP00000112214.2 pep:known chromosome:GRCm38:6:134869093:134897925:-1 gene:ENSMUSG00000032641.17 transcript:ENSMUST00000116515.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr19 description:G protein-coupled receptor 19 [Source:MGI Symbol;Acc:MGI:892973] MDNDQPPVVTATLLVPLQNSSCAEAAEALLPHGLMGLHEEHSWMSNRTELQYELNPGEVA TASIFFGALWLFSIFGNSLVCLVIHRSRRTQSTTNYFVVSMACADLLISVASTPFVVLQF TTGRWTLGSAMCKVVRYFQYLTPGVQIYVLLSICIDRFYTIVYPLSFKVSREKAKKMIAA SWILDAAFVTPVFFFYGSNWDSHCNYFLPPSWEGTAYTVIHFLVGFVIPSILIILFYQKV IKYIWRIGTDGRTLRRTMNIVPRTKVKTVKMFLLLNLVFLFSWLPFHVAQLWHPHEQDYK KSSLVFTAVTWVSFSSSASKPTLYSIYNANFRRGMKETFCMSSMKCYRSNAYTITTSSRM AKRNYVGISEIPPVSRTITKDSIYDSFDREAREKKLAWPINSNPPNTFV >ENSMUSP00000072150.3 pep:known chromosome:GRCm38:11:99602646:99603308:-1 gene:ENSMUSG00000057674.3 transcript:ENSMUST00000072306.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11938 description:predicted gene 11938 [Source:MGI Symbol;Acc:MGI:3651233] MTGSCCGSFSSQSCGGGCCQPCCCRDPCCCRPVSCQTTVCRPVTCVPHCTRPICEPCRRP ICCDPCSLQQGCCRPITCCPTSCTAVVCRPCCWASTCCQPISVQAPCCRPPCCQPAPCRT TCRTSPCNTCC >ENSMUSP00000146520.1 pep:known chromosome:GRCm38:7:119519463:119545551:1 gene:ENSMUSG00000030972.6 transcript:ENSMUST00000207796.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acsm5 description:acyl-CoA synthetase medium-chain family member 5 [Source:MGI Symbol;Acc:MGI:2444086] MRLWLRGLACQALRSSWGVCRIHTQPPPPPIPEVVATWEAISLGRQPVPEYFNFAHDVLD VWSQLEKTGHRPPNPAFWWVNGSGTEVKWTFEELGKQSRKAANVLEGVCGLQPGDRMMLV LPRLPDWWLISVACMRTGVVMIPGVSQLTAKDLKYRLQAARAKSIVTSDALAPQVDAISA DCPSLQTKLLVSDTSRPGWINFRELLRAASPEHNCVRTRSGDSVAIYFTSGTTGAPKMVE HSQSSYGLGFVASGRRWMALTESDIFWNTTDTGWVKAAWTLFSAWSNGACIFVHELPRVD AKTILNTLCRFPITTLCCVPTLFRLLVQEDLTRYKFQCLRHCLTGGEALNPDVRDKWKSQ TGLELHEGYGQSETVVICGNSRNSTIKSGSMGKASPPYDVQIVDEEGNVLPPGKEGNIAV RIKPTRPFCFFNCYLDNPEKTAASEQGDFYITGDRAHMDEDGYFWFLGRNDDVINSSSYR IGPVEVESALAEHPAVLESAVVSSPDPIRGEKNQEVNGYCHWEGTSSSVFASYGNQCGLL MKPLW >ENSMUSP00000063416.1 pep:known chromosome:GRCm38:7:119526208:119543793:1 gene:ENSMUSG00000030972.6 transcript:ENSMUST00000066465.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm5 description:acyl-CoA synthetase medium-chain family member 5 [Source:MGI Symbol;Acc:MGI:2444086] MRLWLRGLACQALRSSWGVCRIHTQPPPPPIPEVVATWEAISLGRQPVPEYFNFAHDVLD VWSQLEKTGHRPPNPAFWWVNGSGTEVKWTFEELGKQSRKAANVLEGVCGLQPGDRMMLV LPRLPDWWLISVACMRTGVVMIPGVSQLTAKDLKYRLQAARAKSIVTSDALAPQVDAISA DCPSLQTKLLVSDTSRPGWINFRELLRAASPEHNCVRTRSGDSVAIYFTSGTTGAPKMVE HSQSSYGLGFVASGRRWMALTESDIFWNTTDTGWVKAAWTLFSAWSNGACIFVHELPRVD AKTILNTLCRFPITTLCCVPTLFRLLVQEDLTRYKFQCLRHCLTGGEALNPDVRDKWKSQ TGLELHEGYGQSETVVICGNSRNSTIKSGSMGKASPPYDVQIVDEEGNVLPPGKEGNIAV RIKPTRPFCFFNCYLDNPEKTAASEQGDFYITGDRAHMDEDGYFWFLGRNDDVINSSSYR IGPVEVESALAEHPAVLESAVVSSPDPIRGEVVKAFIVLSPAYASHDPEALTRELQEHVK TVTAPYKYPRKVAFISELPKTVSGKILRSKLRNQEWGR >ENSMUSP00000146737.1 pep:known chromosome:GRCm38:7:119526243:119543513:1 gene:ENSMUSG00000030972.6 transcript:ENSMUST00000207307.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acsm5 description:acyl-CoA synthetase medium-chain family member 5 [Source:MGI Symbol;Acc:MGI:2444086] MRLWLRGLACQALRSSWGVCRIHTQPPPPPIPEVVATWEAISLGRQPVPEYFNFAHDVLD VWSQLEKTGHRPPNPAFWWVNGSGTEVKWTFEELGKQSRKAANVLEGVCGLQPGDRMMLV LPRLPDWWLISVACMRTGVVMIPGVSQLTAKDLKYRLQAARAKSIVTSDALAPQVDAISA DCPSLQTKLLVSDTSRPGWINFRELLRAASPEHNCVRTRSGDSVAIYFTSGTTGAPKMVE HSQSSYGLGFVASGRYWKSMSRRSENKEVDGLD >ENSMUSP00000146938.1 pep:known chromosome:GRCm38:7:119526259:119543267:1 gene:ENSMUSG00000030972.6 transcript:ENSMUST00000207440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm5 description:acyl-CoA synthetase medium-chain family member 5 [Source:MGI Symbol;Acc:MGI:2444086] MRLWLRGLACQALRSSWGVCRIHTQPPPPPIPEVVATWEAISLGRQPVPEYFNFAHDVLD VWSQLEKTGHRPPNPAFWWVNGSGTEVKWTFEELGKQSRKAANVLEGVCGLQPGDRMMLV LPRLPDWWLISVACMRTGVVMIPGVSQLTAKDLKYRLQAARAKSIVTSDALAPQVDAISA DCPSLQTKLLVSDTSRPGWINFRELLRAASPEHNCVRTRSGDSVAIYFTSGTTGAPKMVE HSQSSYGLGFVASGRRWMALTESDIFWNTTDTGWVKAAWTLFSAWSNGACIFVHELPRVD AKTILNTLCRFPITTLCCVPTLFRLLVQEDLTRYKFQCLRHCLTGGEALNPDVRDKWKSQ TGLELHEGYGQSETVVICGNSRNSTIKSGSMGKASPPYDVQIVDEEGNVLPPGKEGNIAV RIKPTRPFCFFNCYLDNPEKTAASEQGDFYITGDRAHMDEDGYFWFLGRNDDVINSSSYR IGPVEVESALAEHPAVLESAVVSSPDPIRGEVVKAFIVLSPAYASHDPEALTRELQEHVK TVTAPYKYPRKVAFISELPKTVSGKILRSKLRNQEWGR >ENSMUSP00000146715.1 pep:known chromosome:GRCm38:7:119526264:119542929:1 gene:ENSMUSG00000030972.6 transcript:ENSMUST00000207381.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acsm5 description:acyl-CoA synthetase medium-chain family member 5 [Source:MGI Symbol;Acc:MGI:2444086] MRLWLRGLACQALRSSWGVCRIHTQPPPPPIPEVVATWEAISLGRQPVPEYFNFAHDAMD NQKQLSSVAIQETRQSSLDLWGKQAHLTMCRL >ENSMUSP00000146357.1 pep:known chromosome:GRCm38:7:119526329:119534999:1 gene:ENSMUSG00000030972.6 transcript:ENSMUST00000207387.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm5 description:acyl-CoA synthetase medium-chain family member 5 [Source:MGI Symbol;Acc:MGI:2444086] MRLWLRGLACQALRSSWGVCRIHTQPPPPPIPEVVATWEAISLGRQPVPEYFNFAHDVLD VWSQLEKTGHRPPNPAFWWVNGSGTEVKWTFEELGKQSRKAANVLEGVCGLQPGDRMMLV LPRLPDWWLISVACMRTGVVMIPGVSQLTAKDLKYRLQAARAKSIVTSDALAPQVDAISA DCPSLQTKLLVSDTSRPGWINFRELLRAASPEHNCVRTRSGDSVAIYFTSGTTGAPKMVE HSQSSYGLGFVASGRRWMALTESDIFWNTTDTGWVKAAWTLFSAWSNGACIFVHELPRVD AKTILNVRRKI >ENSMUSP00000147176.1 pep:known chromosome:GRCm38:7:119526341:119534928:1 gene:ENSMUSG00000030972.6 transcript:ENSMUST00000207813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm5 description:acyl-CoA synthetase medium-chain family member 5 [Source:MGI Symbol;Acc:MGI:2444086] MRLWLRGLACQALRSSWGVCRIHTQPPPPPIPEVVATWEAISLGRQPVPEYFNFAHDVLD VWSQLEKTGHRPPNPAFWWVNGSGTEVKWTFEELGKQSRKAANVLEGVCGLQPGDRMMLV LPRLPDWWLISVACMRTGVVMIPGVSQLTAKDLKYRLQAARAKSIVTSDALAPQVDAISA DCPSLQTKLLVSDTSRPGWINFRELLRAASPEHNCVRTRSGDSVAIYFTSGTTGAPKMVE HSQSSYGLGFVASGRYWKSMSRRSENKEVDGLD >ENSMUSP00000117219.1 pep:known chromosome:GRCm38:4:138709837:138725221:-1 gene:ENSMUSG00000043621.13 transcript:ENSMUST00000146415.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ubxn10 description:UBX domain protein 10 [Source:MGI Symbol;Acc:MGI:2443123] MAIEAPVNFAPPERSTVVSTAGDSSTWQPSSLRMHVIRPKSAKGRKRPNLHRPQGMGDGS PSALSSSPPPRSSGSPSNQKPGVCATVSTSQGAPDEMPELLLQQAPTRTASSLNRYPVLP SINRRSLEVGAVDTVASKTSSLQLSSVQALYQEDSSQEDSRTQVCALEKKFIIRTKRQSS SRASNIEEPSDEEPRLLLAVRSPSGQRFVRYFRPSDDLQTVLEVAEQKNKATYQHCSIET MEVPRRRFSDLTKSLQECGILHKSVLGISQEEGEAWP >ENSMUSP00000101437.2 pep:known chromosome:GRCm38:4:138718538:138725265:-1 gene:ENSMUSG00000043621.13 transcript:ENSMUST00000105811.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn10 description:UBX domain protein 10 [Source:MGI Symbol;Acc:MGI:2443123] MAIEAPVNFAPPERSTVVSTAGDSSTWQPSSLRMHVIRPKSAKGRKRPNLHRPQGMGDGS PSALSSSPPPRSSGSPSNQKPGVCATVSTSQGAPDEMPELLLQQAPTRTASSLNRYPVLP SINRRSLEVGAVDTVASKTSSLQLSSVQALYQEDSSQEDSRTQVCALEKKFIIRTKRQSS SRASNIEEPSDEEPRLLLAVRSPSGQRFVRYFRPSDDLQTVLEVAEQKNKATYQHCSIET MEVPRRRFSDLTKSLQECGILHKSVLGISQEEGEAWP >ENSMUSP00000101436.1 pep:known chromosome:GRCm38:4:138718538:138737167:-1 gene:ENSMUSG00000043621.13 transcript:ENSMUST00000105810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn10 description:UBX domain protein 10 [Source:MGI Symbol;Acc:MGI:2443123] MAIEAPVNFAPPERSTVVSTAGDSSTWQPSSLRMHVIRPKSAKGRKRPNLHRPQGMGDGS PSALSSSPPPRSSGSPSNQKPGVCATVSTSQGAPDEMPELLLQQAPTRTASSLNRYPVLP SINRRSLEVGAVDTVASKTSSLQLSSVQALYQEDSSQEDSRTQVCALEKKFIIRTKRQSS SRASNIEEPSDEEPRLLLAVRSPSGQRFVRYFRPSDDLQTVLEVAEQKNKATYQHCSIET MEVPRRRFSDLTKSLQECGILHKSVLGISQEEGEAWP >ENSMUSP00000101435.1 pep:known chromosome:GRCm38:4:138718838:138724856:-1 gene:ENSMUSG00000043621.13 transcript:ENSMUST00000105809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn10 description:UBX domain protein 10 [Source:MGI Symbol;Acc:MGI:2443123] MAIEAPVNFAPPERSTVVSTAGDSSTWQPSSLRMHVIRPKSAKGRKRPNLHRPQGMGDGS PSALSSSPPPRSSGSPSNQKPGVCATVSTSQGAPDEMPELLLQQAPTRTASSLNRYPVLP SINRRSLEVGAVDTVASKTSSLQLSSVQALYQEDSSQEDSRTQVCALEKKFIIRTKRQSS SRASNIEEPSDEEPRLLLAVRSPSGQRFVRYFRPSDDLQTVLEVAEQKNKATYQHCSIET MEVPRRRFSDLTKSLQECGILHKSVLGISQEEGEAWP >ENSMUSP00000126522.1 pep:known chromosome:GRCm38:13:24012344:24108584:-1 gene:ENSMUSG00000021338.17 transcript:ENSMUST00000125901.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc16a description:leucine rich repeat containing 16A [Source:MGI Symbol;Acc:MGI:1915982] EVPPSFKQFFSSSLALIQINLSGTKLSPEPLKALLLGLACNHSLKGVSLDLSNCELGHCL RSGGAQVLEGCIAEIHNITSLDISDNGLESDLSTLIVWLSKNRSIQHLALGKNFNNMKSK NLTPVLDNLVQMIQDEDSPLQSLSLADSKLKAEVTIIINALGSNTSLTKVDISGNGMGDM GAKMLAKALQINTKLRTVIWDKNNITAQGFQDIAVAMEKNYTLRFMPIPMYDAAQALKTN PEKTEEALQKIENYLLRNHETRKYLQEQAYRLQQGIVTSTTQQMIDRICVKVQDHLNSLR ACGGDAIQEDLKAAERLMRDAKNSKTLLPNLYHVGGASWAGASGLSSSPIQETLESMAGE VTRVVDEQLKDLLESMVDAAETLCPNVMRKAHIRQDLIHASTEKISIPRTFVKNVLLEQS GIDILNKISEVKLTVASFLSDRIVDEILDSLSSSHRKLANHFSRLNKSLPQREDLEVELV EEKPVKRAILTVEDLTEVERLEDLDTCMTLCCTSMTPKSKRKSIHSRMLRPVSRAFEMEF DLDKALEEVPIHIEDPPFPSVRQEKRSSGLISELPSEEGRRLEHFTKLRPKRNKKQQPTQ AAVCTISILPQDGEQNGLMGRVDEGVDEFFTKKVTKMDCKRSSSRSSDAHELGEGDEKKK RDSRRSGFLNLIKSRSRSERPPTVLMTEELSSPKGAMRSPPVDTTRKEIKAAEHNGAPDR TEEIKTPEPLEEGPAEEAGRAERSDSRGSPQGGRRYVQVMGSGLLAEMKAKQERRAACAQ KKLGNDVISQDPSSPVSCNTERLEGGATVPKLQPGLPEARFGSGTPEKNAKAEPRVDGGC RSRSSSSMPTSPKPLLQSPKPSPSARPSIPQKPRTASRPEDTPDSPSGPSSPKVALLPPI LKKVSSDKERDGQNSSQSSPRSFSQEACPTNF >ENSMUSP00000120971.1 pep:known chromosome:GRCm38:13:24012484:24022556:-1 gene:ENSMUSG00000021338.17 transcript:ENSMUST00000151566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc16a description:leucine rich repeat containing 16A [Source:MGI Symbol;Acc:MGI:1915982] XTRSVTARTAHSPVLGASPRKCKEEIISKGIQIMTVGSLPVEGKDLQNSTSPLQKRKRMH LGTGSHSQQMPQGEAGARPRSIKNRSNGPRAKMVTKGANAVTLEKRQKKSLFLCNGAHPK PLHAGRSPQLSERSQGQYLFSLLLVLSSFSPFFFLIYIYFQIFGLPPFLAFDF >ENSMUSP00000072662.5 pep:known chromosome:GRCm38:13:24012659:24280795:-1 gene:ENSMUSG00000021338.17 transcript:ENSMUST00000072889.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc16a description:leucine rich repeat containing 16A [Source:MGI Symbol;Acc:MGI:1915982] MTDESSDVPRELMESIKDVIGRKIKISVKKKVKLEVKGDRVENKVLVLTSCRAFLLSARI PSKLELTFSYLEIHGVICHKPAQMVVETEKCNMSMKMVSPEDVSEVLAHIGTCLRRIFPG LSPLRIMKKVSMEPSERLASLQALWDSQTLAEPGPCGGFSQMYACVCDWLGFSYKEEVQW DVDTIYLTQDTRELNLQDFSHLEHRDLIPIIAALEYNQWFTKLSSKDLKLSTDVCEQILR VVSRSNRLEELVLENAGLRIDFAQKLAGALAHNPNSGLHTINLAGNSLEDRGVSSLSIQF AKLPKGLKHLNLSKTSLSPKGVNSLCQSLSANPLTASTLTHLDLSGNALRGDDLSHMYNF LAQPNTIVHLDLSNTECSLEMVCSALLRGCLQCLAVLNLSRSVFSHRKGKEVPPSFKQFF SSSLALIQINLSGTKLSPEPLKALLLGLACNHSLKGVSLDLSNCELGHCLRSGGAQVLEG CIAEIHNITSLDISDNGLESDLSTLIVWLSKNRSIQHLALGKNFNNMKSKNLTPVLDNLV QMIQDEDSPLQSLSLADSKLKAEVTIIINALGSNTSLTKVDISGNGMGDMGAKMLAKALQ INTKLRTVIWDKNNITAQGFQDIAVAMEKNYTLRFMPIPMYDAAQALKTNPEKTEEALQK IENYLLRNHETRKYLQEQAYRLQQGIVTSTTQQMIDRICVKVQDHLNSLRACGGDAIQED LKAAERLMRDAKNSKTLLPNLYHVGGASWAGASGLSSSPIQETLESMAGEVTRVVDEQLK DLLESMVDAAETLCPNVMRKAHIRQDLIHASTEKISIPRTFVKNVLLEQSGIDILNKISE VKLTVASFLSDRIVDEILDSLSSSHRKLANHFSRLNKSLPQREDLEVELVEEKPVKRAIL TVEDLTEVERLEDLDTCMMTPKSKRKSIHSRMLRPVSRAFEMEFDLDKALEEVPIHIEDP PFPSVRQEKRSSGLISELPSEEGRRLEHFTKLRPKRNKKQQPTQAAVCTISILPQDGEQN GLMGRVDEGVDEFFTKKVTKMDCKRSSSRSSDAHELGEGDEKKKRDSRRSGFLNLIKSRS RSERPPTVLMTEELSSPKGAMRSPPVDTTRKEIKAAEHNGAPDRTEEIKTPEPLEEGPAE EAGRAERSDSRGSPQGGRRYVQVMGSGLLAEMKAKQERRAACAQKKLGNDVISQDPSSPV SCNTERLEGGATVPKLQPGLPEARFGSGTPEKNAKAEPRVDGGCRSRSSSSMPTSPKPLL QSPKPSPSARPSIPQKPRTASRPEDTPDSPSGPSSPKVALLPPILKKVSSDKERDGQNSS QSSPRSFSQEASRRSWGPAQEYQEQKQRSSGKDGHQGSKCSDSGEEAEKEFIFV >ENSMUSP00000106028.2 pep:known chromosome:GRCm38:13:24013061:24280716:-1 gene:ENSMUSG00000021338.17 transcript:ENSMUST00000110398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc16a description:leucine rich repeat containing 16A [Source:MGI Symbol;Acc:MGI:1915982] MTDESSDVPRELMESIKDVIGRKIKISVKKKVKLEVKGDRVENKVLVLTSCRAFLLSARI PSKLELTFSYLEIHGVICHKPAQMVVETEKCNMSMKMVSPEDVSEVLAHIGTCLRRIFPG LSPLRIMKKVSMEPSERLASLQALWDSQTLAEPGPCGGFSQMYACVCDWLGFSYKEEVQW DVDTIYLTQDTRELNLQDFSHLEHRDLIPIIAALEYNQWFTKLSSKDLKLSTDVCEQILR VVSRSNRLEELVLENAGLRIDFAQKLAGALAHNPNSGLHTINLAGNSLEDRGVSSLSIQF AKLPKGLKHLNLSKTSLSPKGVNSLCQSLSANPLTASTLTHLDLSGNALRGDDLSHMYNF LAQPNTIVHLDLSNTECSLEMVCSALLRGCLQCLAVLNLSRSVFSHRKGKEVPPSFKQFF SSSLALIQINLSGTKLSPEPLKALLLGLACNHSLKGVSLDLSNCELRSGGAQVLEGCIAE IHNITSLDISDNGLESDLSTLIVWLSKNRSIQHLALGKNFNNMKSKNLTPVLDNLVQMIQ DEDSPLQSLSLADSKLKAEVTIIINALGSNTSLTKVDISGNGMGDMGAKMLAKALQINTK LRTVIWDKNNITAQGFQDIAVAMEKNYTLRFMPIPMYDAAQALKTNPEKTEEALQKIENY LLRNHETRKYLQEQAYRLQQGIVTSTTQQMIDRICVKVQDHLNSLRACGGDAIQEDLKAA ERLMRDAKNSKTLLPNLYHVGGASWAGASGLSSSPIQETLESMAGEVTRVVDEQLKDLLE SMVDAAETLCPNVMRKAHIRQDLIHASTEKISIPRTFVKNVLLEQSGIDILNKISEVKLT VASFLSDRIVDEILDSLSSSHRKLANHFSRLNKSLPQREDLEVELVEEKPVKRAILTVED LTEVERLEDLDTCMMTPKSKRKSIHSRMLRPVSRAFEMEFDLDKALEEVPIHIEDPPFPS VRQEKRSSGLISELPSEEGRRLEHFTKLRPKRNKKQQPTQAAVCTISILPQDGEQNGLMG RVDEGVDEFFTKKVTKMDCKRSSSRSSDAHELGEGDEKKKRDSRRSGFLNLIKSRSRSER PPTVLMTEELSSPKGAMRSPPVDTTRKEIKAAEHNGAPDRTEEIKTPEPLEEGPAEEAGR AERSDSRGSPQGGRRYVQVMGSGLLAEMKAKQERRAACAQKKLGNDVISQDPSSPVSCNT ERLEGGATVPKLQPGLPEARFGSGTPEKNAKAEPRVDGGCRSRSSSSMPTSPKPLLQSPK PSPSARPSIPQKPRTASRPEDTPDSPSGPSSPKVALLPPILKKVSSDKERDGQNSSQSSP RSFSQEACPTNF >ENSMUSP00000127121.1 pep:known chromosome:GRCm38:13:24155437:24280486:-1 gene:ENSMUSG00000021338.17 transcript:ENSMUST00000140042.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc16a description:leucine rich repeat containing 16A [Source:MGI Symbol;Acc:MGI:1915982] MVVETEKCNMSMKMVSPEDVSEVLAHIGTCLRRIFPGLSPLRIMKKVSMEPSERLASL >ENSMUSP00000130100.1 pep:known chromosome:GRCm38:13:24164862:24206293:-1 gene:ENSMUSG00000021338.17 transcript:ENSMUST00000123076.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc16a description:leucine rich repeat containing 16A [Source:MGI Symbol;Acc:MGI:1915982] MVVETEKCNMSMKMVSPEDV >ENSMUSP00000115094.1 pep:known chromosome:GRCm38:13:12439415:12464944:-1 gene:ENSMUSG00000057554.13 transcript:ENSMUST00000124888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals8 description:lectin, galactose binding, soluble 8 [Source:MGI Symbol;Acc:MGI:1928481] MLSLNNLQNIIYNPIIPYVGTITEQLKPGSLIVIRGHVPKDSERFQVDFQLGNSLKPRAD VAFHFNPRFKRSSCIVCNTLTQEKWGWEEITYDMPFRKEKSFEIVFMVLKNKFQVAVNGR HVLLYAHRISPEQIDTVGIYGKVNIHSIGFRFSSDLQSMETSALGLTQINRENIQKPGKL QLSLPFEARLNASMGPGRTVVIKGEVNTNARSFNVDLVAGKTRDIALHLNPRLNVKAFVR NSFLQDAWGEEERNITCFPFSSGMYFEMIIYCDVREFKVAINGVHSLEYKHRFKDLSSID TLSVDGDIRLLDVRSW >ENSMUSP00000120210.1 pep:known chromosome:GRCm38:13:12439418:12461506:-1 gene:ENSMUSG00000057554.13 transcript:ENSMUST00000135166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals8 description:lectin, galactose binding, soluble 8 [Source:MGI Symbol;Acc:MGI:1928481] MPFRKEKSFEIVFMVLKNKFQVAVNGRHVLLYAHRISPEQIDTVGIYGKVNIHSIGFRFS SDLQSMETSALGLTQINRENIQKPGKLQLSLPFEARLNASMGPGRTVVIKGEVNTNARSF NVDLVAGKTRDIALHLNPRLNVKAFVRNSFLQDAWGEEERNITCFPFSSGMYFEMIIYCD VREFKVAINGVHSLEYKHRFKDLSSIDTLSVDGDIRLLDVRSW >ENSMUSP00000118925.1 pep:known chromosome:GRCm38:13:12439418:12461493:-1 gene:ENSMUSG00000057554.13 transcript:ENSMUST00000143693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals8 description:lectin, galactose binding, soluble 8 [Source:MGI Symbol;Acc:MGI:1928481] MPFRKEKSFEIVFMVLKNKFQVAVNGRHVLLYAHRISPEQIDTVGIYGKVNIHSIGFRFS SDLQSMETSALGLTQINRENIQKPGKLQLSLPFEARLNASMGPGRTVVIKGEVNTNARSF NVDLVAGKTRDIALHLNPRLNVKAFVRNSFLQDAWGEEERNITCFPFSSGMYFEMIIYCD VREFKVAINGVHSLEYKHRFKDLSSIDTLSVDGDIRLLDVRSW >ENSMUSP00000097408.3 pep:known chromosome:GRCm38:13:12439424:12461503:-1 gene:ENSMUSG00000057554.13 transcript:ENSMUST00000099820.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals8 description:lectin, galactose binding, soluble 8 [Source:MGI Symbol;Acc:MGI:1928481] MLSLNNLQNIIYNPIIPYVGTITEQLKPGSLIVIRGHVPKDSERFQVDFQLGNSLKPRAD VAFHFNPRFKRSSCIVCNTLTQEKWGWEEITYDMPFRKEKSFEIVFMVLKNKFQVAVNGR HVLLYAHRISPEQIDTVGIYGKVNIHSIGFRFSSDLQSMETSALGLTQINRENIQKPGKL QLSLPFEARLNASMGPGRTVVIKGEVNTNARSFNVDLVAGKTRDIALHLNPRLNVKAFVR NSFLQDAWGEEERNITCFPFSSGMYFEMIIYCDVREFKVAINGVHSLEYKHRFKDLSSID TLSVDGDIRLLDVRSW >ENSMUSP00000114200.1 pep:known chromosome:GRCm38:13:12440845:12461495:-1 gene:ENSMUSG00000057554.13 transcript:ENSMUST00000144283.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals8 description:lectin, galactose binding, soluble 8 [Source:MGI Symbol;Acc:MGI:1928481] MLSLNNLQNIIYNPIIPYVGTITEQLKPGSLIVIRGHVPKDSERFQVDFQLGNSLKPRAD VAFHFNPRFKRSSCIVCNTLTQEKWGWEEITYDMPFRKEKSFEIVFMVLKNKFQVAVNGR HVLLYAHRISPEQIDTVGIYGKVNIHSIGFRFSSASALPHTLGDLQSMETSALGLTQINR ENIQKPGKLQLSLPFEARLNASMGPGRTVVIKGEVNTNARSFNVDLVAGKTRDIALHLNP RLNVKAFVRNSFLQDAWGEEERNITCFPFSSGMYFEMIIYCDVREFKVAINGVHSLEYKH RFKDLSSIDTLSVDGDIRLLDVRSW >ENSMUSP00000097409.3 pep:known chromosome:GRCm38:13:12439417:12461738:-1 gene:ENSMUSG00000057554.13 transcript:ENSMUST00000099821.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals8 description:lectin, galactose binding, soluble 8 [Source:MGI Symbol;Acc:MGI:1928481] MLSLNNLQNIIYNPIIPYVGTITEQLKPGSLIVIRGHVPKDSERFQVDFQLGNSLKPRAD VAFHFNPRFKRSSCIVCNTLTQEKWGWEEITYDMPFRKEKSFEIVFMVLKNKFQVAVNGR HVLLYAHRISPEQIDTVGIYGKVNIHSIGFRFSSDLQSMETSALGLTQINRENIQKPGKL QLSLPFEARLNASMGPGRTVVIKGEVNTNARSFNVDLVAGKTRDIALHLNPRLNVKAFVR NSFLQDAWGEEERNITCFPFSSGMYFEMIIYCDVREFKVAINGVHSLEYKHRFKDLSSID TLSVDGDIRLLDVRSW >ENSMUSP00000020637.8 pep:known chromosome:GRCm38:11:50294467:50325673:-1 gene:ENSMUSG00000020368.15 transcript:ENSMUST00000020637.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Canx description:calnexin [Source:MGI Symbol;Acc:MGI:88261] MEGKWLLCLLLVLGTAAVEAHDGHDDDAIDIEDDLDDVIEEVEDSKSKSDASTPPSPKVT YKAPVPTGEVYFADSFDRGSLSGWILSKAKKDDTDDEIAKYDGKWEVDEMKETKLPGDKG LVLMSRAKHHAISAKLNKPFLFDTKPLIVQYEVNFQNGIECGGAYVKLLSKTAELSLDQF HDKTPYTIMFGPDKCGEDYKLHFIFRHKNPKTGVYEEKHAKRPDADLKTYFTDKKTHLYT LILNPDNSFEILVDQSVVNSGNLLNDMTPPVNPSREIEDPEDRKPEDWDERPKIADPDAV KPDDWDEDAPSKIPDEEATKPEGWLDDEPEYIPDPDAEKPEDWDEDMDGEWEAPQIANPK CESAPGCGVWQRPMIDNPNYKGKWKPPMIDNPNYQGIWKPRKIPNPDFFEDLEPFKMTPF SAIGLELWSMTSDIFFDNFIISGDRRVVDDWANDGWGLKKAADGAAEPGVVLQMLEAAEE RPWLWVVYILTVALPVFLVILFCCSGKKQSNAMEYKKTDAPQPDVKDEEGKEEEKNKRDE EEEEEKLEEKQKSDAEEDGVTGSQDEEDSKPKAEEDEILNRSPRNRKPRRE >ENSMUSP00000137440.1 pep:known chromosome:GRCm38:11:50293961:50325673:-1 gene:ENSMUSG00000020368.15 transcript:ENSMUST00000179865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Canx description:calnexin [Source:MGI Symbol;Acc:MGI:88261] MEGKWLLCLLLVLGTAAVEAHDGHDDDAIDIEDDLDDVIEEVEDSKSKSDASTPPSPKVT YKAPVPTGEVYFADSFDRGSLSGWILSKAKKDDTDDEIAKYDGKWEVDEMKETKLPGDKG LVLMSRAKHHAISAKLNKPFLFDTKPLIVQYEVNFQNGIECGGAYVKLLSKTAELSLDQF HDKTPYTIMFGPDKCGEDYKLHFIFRHKNPKTGVYEEKHAKRPDADLKTYFTDKKTHLYT LILNPDNSFEILVDQSVVNSGNLLNDMTPPVNPSREIEDPEDRKPEDWDERPKIADPDAV KPDDWDEDAPSKIPDEEATKPEGWLDDEPEYIPDPDAEKPEDWDEDMDGEWEAPQIANPK CESAPGCGVWQRPMIDNPNYKGKWKPPMIDNPNYQGIWKPRKIPNPDFFEDLEPFKMTPF SAIGLELWSMTSDIFFDNFIISGDRRVVDDWANDGWGLKKAADGAAEPGVVLQMLEAAEE RPWLWVVYILTVALPVFLVILFCCSGKKQSNAMEYKKTDAPQPDVKDEEGKEEEKNKRDE EEEEEKLEEKQKSDAEEDGVTGSQDEEDSKPKAEEDEILNRSPRNRKPRRE >ENSMUSP00000131276.1 pep:known chromosome:GRCm38:5:48389502:48599682:-1 gene:ENSMUSG00000029088.16 transcript:ENSMUST00000166924.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip4 description:Kv channel interacting protein 4 [Source:MGI Symbol;Acc:MGI:1933131] MLTLEWESEGLQTVGIVVIICASLKLLHLLGLIDFSEDSVEDELEMATVRHRPEALELLE AQSKFTKKELQILYRGFKNECPSGVVNEETFKEIYSQFFPQGDSTTYAHFLFNAFDTDHN GAVSFEDFIKGLSILLRGTVQEKLNWAFNLYDINKDGYITKEEMLDIMKAIYDMMGKCTY PVLKEDAPRQHVETFFQKMDKNKDGVVTIDEFIESCQKDENIMRSMQLFENVI >ENSMUSP00000134758.1 pep:known chromosome:GRCm38:5:48389502:48889557:-1 gene:ENSMUSG00000029088.16 transcript:ENSMUST00000176978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip4 description:Kv channel interacting protein 4 [Source:MGI Symbol;Acc:MGI:1933131] MNLEGLEMIAVLIVIVLFVKLLEQFGLIEAGLEDSVEDELEMATVRHRPEALELLEAQSK FTKKELQILYRGFKNECPSGVVNEETFKEIYSQFFPQGDSTTYAHFLFNAFDTDHNGAVS FEDFIKGLSILLRGTVQEKLNWAFNLYDINKDGYITKEEMLDIMKAIYDMMGKCTYPVLK EDAPRQHVETFFQKMDKNKDGVVTIDEFIESCQKDENIMRSMQLFENVI >ENSMUSP00000084656.4 pep:known chromosome:GRCm38:5:48389502:49524907:-1 gene:ENSMUSG00000029088.16 transcript:ENSMUST00000087395.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip4 description:Kv channel interacting protein 4 [Source:MGI Symbol;Acc:MGI:1933131] MNVRRVESISAQLEEASSTGGFLYAQNNTKRSIKERLMKLLPCSAAKTSSPAIQNSVEDE LEMATVRHRPEALELLEAQSKFTKKELQILYRGFKNECPSGVVNEETFKEIYSQFFPQGD STTYAHFLFNAFDTDHNGAVSFEDFIKGLSILLRGTVQEKLNWAFNLYDINKDGYITKEE MLDIMKAIYDMMGKCTYPVLKEDAPRQHVETFFQKMDKNKDGVVTIDEFIESCQKDENIM RSMQLFENVI >ENSMUSP00000135071.2 pep:known chromosome:GRCm38:5:48389507:49524764:-1 gene:ENSMUSG00000029088.16 transcript:ENSMUST00000176191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip4 description:Kv channel interacting protein 4 [Source:MGI Symbol;Acc:MGI:1933131] MNVRRVESISAQLEEASSTGDSVEDELEMATVRHRPEALELLEAQSKFTKKELQILYRGF KNECPSGVVNEETFKEIYSQFFPQGDSTTYAHFLFNAFDTDHNGAVSFEDFIKGLSILLR GTVQEKLNWAFNLYDINKDGYITKEEMLDIMKAIYDMMGKCTYPVLKEDAPRQHVETFFQ KMDKNKDGVVTIDEFIESCQKDENIMRSMQLFENVI >ENSMUSP00000135799.1 pep:known chromosome:GRCm38:5:48389512:49285659:-1 gene:ENSMUSG00000029088.16 transcript:ENSMUST00000175660.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip4 description:Kv channel interacting protein 4 [Source:MGI Symbol;Acc:MGI:1933131] MSGCRKRCKREILKFAQYLLRLLTGSLHTDSVEDELEMATVRHRPEALELLEAQSKFTKK ELQILYRGFKNECPSGVVNEETFKEIYSQFFPQGDSTTYAHFLFNAFDTDHNGAVSFEDF IKGLSILLRGTVQEKLNWAFNLYDINKDGYITKEEMLDIMKAIYDMMGKCTYPVLKEDAP RQHVETFFQKMDKNKDGVVTIDEFIESCQKDENIMRSMQLFENVI >ENSMUSP00000101978.3 pep:known chromosome:GRCm38:11:116843278:116849740:1 gene:ENSMUSG00000090266.10 transcript:ENSMUST00000106370.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl23 description:methyltransferase like 23 [Source:MGI Symbol;Acc:MGI:1921569] MDSVRPRAPWAPPPDPASLDSPTCEPGLMAGTQLFRFREEPVPGGNRAVLEVRVPQVLHV QYGMYVWPCAVVLAQYLWFHRRSLPGKAVLEVGAGVSLPGILAAKCGAKVILSDSSEFPH CLDICRQSCQMNNLPQVEVVGLTWGHISKDILSLPPQDIILGSDVFFEPEDFESILATVY FLMQKNPKVQFWSTYQVRSADWSLEGLLYKWDMKCVHIPLESFDADKEDIAESTLPGRHT VEMLIISFAKDSF >ENSMUSP00000135469.1 pep:known chromosome:GRCm38:11:116843675:116848355:1 gene:ENSMUSG00000090266.10 transcript:ENSMUST00000132593.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl23 description:methyltransferase like 23 [Source:MGI Symbol;Acc:MGI:1921569] XCEPGLMAGTQLFRFREEPVPGGNRAVLEVRVPQHSKGRGKRITGV >ENSMUSP00000135321.1 pep:known chromosome:GRCm38:11:116843675:116849053:1 gene:ENSMUSG00000090266.10 transcript:ENSMUST00000140869.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl23 description:methyltransferase like 23 [Source:MGI Symbol;Acc:MGI:1921569] XCEPGLMAGTQLFRFREEPVPGGNRAVLEVRVPQINFLLELMAHALNPSFNPSIQKAEAR GSLEFEANIVCTVTTQ >ENSMUSP00000119131.1 pep:known chromosome:GRCm38:11:116848901:116854263:1 gene:ENSMUSG00000090266.10 transcript:ENSMUST00000143184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl23 description:methyltransferase like 23 [Source:MGI Symbol;Acc:MGI:1921569] VGAGVSLPGILAAKCGAKVILSDSSEFPHCLDICRQSCQMNNLPQVEVVGLTWGHISKDI LSLPPQDIILGSDVFFEPEGLSGGSTGGEEVTVLGRCWPLSKRSQNVPGV >ENSMUSP00000032169.5 pep:known chromosome:GRCm38:6:88835914:88841900:-1 gene:ENSMUSG00000030083.11 transcript:ENSMUST00000032169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abtb1 description:ankyrin repeat and BTB (POZ) domain containing 1 [Source:MGI Symbol;Acc:MGI:1933148] MDTSDLFASCRKGDVGRVRYLLEQRDVEVNVRDKWDSTPLYYACLCGHEELVRYLLANGA RCEANTFDGERCLYGALSDPIRRALRDYKQVTASCRRRDYYDDFLQRLLEQGIHSDVVFV VHGKPFRAHRCILGARSTYFANMLDTKWKGKSVVVLRHPLINPVAFGALLQYLYTGRLDI GVEHVSDCERLAKQCQLWDLLDDLEAKCEKVSEFVASKPGTCVKVLTIEPPPADPRLRAD MALLADCALPSELRGDLGELPFPCPDGFSSCPDICFRVADSSFLCYKAFFCGRSDYFRAL LDDHFQESEEPAASGDPPVVTLHDISPDIFIHVLYYVYSDHTELPPELAYDVLSVADMYL LPGLKRLCGRSLAQLLEEDSVVGVWRIAKMFRLARLEDQCTEYMAKVIEKLVEREDFVEA VREEAAAVAARQETDSIPLVDDIRFHVASTVQTYSAIEEAQQRLRALEDLLVSIGLDC >ENSMUSP00000144757.1 pep:known chromosome:GRCm38:6:88835924:88841894:-1 gene:ENSMUSG00000030083.11 transcript:ENSMUST00000203137.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abtb1 description:ankyrin repeat and BTB (POZ) domain containing 1 [Source:MGI Symbol;Acc:MGI:1933148] MDTSDLFASCRKGDVGRVRYLLEQRDVEVLCLPLWA >ENSMUSP00000144802.1 pep:known chromosome:GRCm38:6:88836148:88841984:-1 gene:ENSMUSG00000030083.11 transcript:ENSMUST00000203272.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abtb1 description:ankyrin repeat and BTB (POZ) domain containing 1 [Source:MGI Symbol;Acc:MGI:1933148] MDTSDLFASCRKGDVGRVRYLLEQRDVEVNVRDKWDSTPL >ENSMUSP00000145338.1 pep:known chromosome:GRCm38:6:88836423:88838866:-1 gene:ENSMUSG00000030083.11 transcript:ENSMUST00000203120.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abtb1 description:ankyrin repeat and BTB (POZ) domain containing 1 [Source:MGI Symbol;Acc:MGI:1933148] XARRCLNLWLPSLARV >ENSMUSP00000145110.1 pep:known chromosome:GRCm38:6:88836685:88839080:-1 gene:ENSMUSG00000030083.11 transcript:ENSMUST00000204327.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abtb1 description:ankyrin repeat and BTB (POZ) domain containing 1 [Source:MGI Symbol;Acc:MGI:1933148] LERTGPIYKLSRPLGHRCRTRE >ENSMUSP00000144915.1 pep:known chromosome:GRCm38:6:88838115:88839155:-1 gene:ENSMUSG00000030083.11 transcript:ENSMUST00000205082.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abtb1 description:ankyrin repeat and BTB (POZ) domain containing 1 [Source:MGI Symbol;Acc:MGI:1933148] AAHPGWPRADVTFGEEECAQHDLTCLERTGPIYKLSRPLGHRCRTRE >ENSMUSP00000145144.1 pep:known chromosome:GRCm38:6:88838454:88841866:-1 gene:ENSMUSG00000030083.11 transcript:ENSMUST00000204458.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abtb1 description:ankyrin repeat and BTB (POZ) domain containing 1 [Source:MGI Symbol;Acc:MGI:1933148] MDTSDLFASCRKGDVGRVRYLLEQRDVEVNVRDKWDSTPL >ENSMUSP00000145252.1 pep:known chromosome:GRCm38:6:88838845:88841882:-1 gene:ENSMUSG00000030083.11 transcript:ENSMUST00000203864.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abtb1 description:ankyrin repeat and BTB (POZ) domain containing 1 [Source:MGI Symbol;Acc:MGI:1933148] MDTSDLFASCRKGDVGRVRYLLEQRDVEVNVRDKWDSTPLSPL >ENSMUSP00000144922.1 pep:known chromosome:GRCm38:6:88839665:88841935:-1 gene:ENSMUSG00000030083.11 transcript:ENSMUST00000204932.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abtb1 description:ankyrin repeat and BTB (POZ) domain containing 1 [Source:MGI Symbol;Acc:MGI:1933148] MDTSDLFASCRKGDVGRVRYLLEQRDVEVNVRDKWDSTPL >ENSMUSP00000124976.1 pep:known chromosome:GRCm38:1:52845013:52920981:1 gene:ENSMUSG00000041426.12 transcript:ENSMUST00000159352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hibch description:3-hydroxyisobutyryl-Coenzyme A hydrolase [Source:MGI Symbol;Acc:MGI:1923792] MGQPYAWRLLSRVSSFRRASVILQHLRMSMHTEAAEVLLERRGCGGVITLNRPKFLNALS LNMIRQIYPQLKTWEQDPDTFLIIIKGAGGKAFCAGGDIKALSEAKKARQNLTQDLFREE YILNNAIASCQKPYVALIDGITMGGGVGLSVHGQFRVATERSLFAMPETGIGLFPDVGGG YFLPRLQGKLGYFLALTGYRLKGRDVHRAGIATHFVDSEKLRVLEEELLALKSPSAEDVA GVLESYHAKSKMDQDKSIIFEEHMDKINSCFSANTVEQIIENLRQDGSPFAIEQMKFSLT KTRLQNGNQPI >ENSMUSP00000045606.6 pep:known chromosome:GRCm38:1:52845046:52920986:1 gene:ENSMUSG00000041426.12 transcript:ENSMUST00000044478.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hibch description:3-hydroxyisobutyryl-Coenzyme A hydrolase [Source:MGI Symbol;Acc:MGI:1923792] MGQPYAWRLLSRVSSFRRASVILQHLRMSMHTEAAEVLLERRGCGGVITLNRPKFLNALS LNMIRQIYPQLKTWEQDPDTFLIIIKGAGGKAFCAGGDIKALSEAKKARQNLTQDLFREE YILNNAIASCQKPYVALIDGITMGGGVGLSVHGQFRVATERSLFAMPETGIGLFPDVGGG YFLPRLQGKLGYFLALTGYRLKGRDVHRAGIATHFVDSEKLRVLEEELLALKSPSAEDVA GVLESYHAKSKMDQDKSIIFEEHMDKINSCFSANTVEQIIENLRQDGSPFAIEQMKVINK MSPTSLKITLRQLMEGSSKTLQEVLIMEYRITQACMEGHDFHEGVRAVLIDKDQTPKWKP ANLKDVTDEDLNSYFKSLGSSDLKF >ENSMUSP00000099732.3 pep:known chromosome:GRCm38:4:118442415:118457513:-1 gene:ENSMUSG00000006389.12 transcript:ENSMUST00000102671.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpl description:myeloproliferative leukemia virus oncogene [Source:MGI Symbol;Acc:MGI:97076] MPSWALFMVTSCLLLALPNQAQVTSQDVFLLALGTEPLNCFSQTFEDLTCFWDEEEAAPS GTYQLLYAYRGEKPRACPLYSQSVPTFGTRYVCQFPAQDEVRLFFPLHLWVKNVSLNQTL IQRVLFVDSVGLPAPPRVIKARGGSQPGELQIHWEAPAPEISDFLRHELRYGPTDSSNAT APSVIQLLSTETCCPTLWMPNPVPVLDQPPCVHPTASQPHGPAPFLTVKGGSCLVSGLQA GKSYWLQLRSQPDGVSLRGSWGPWSFPVTVDLPGDAVTIGLQCFTLDLKMVTCQWQQQDR TSSQGFFRHSRTRCCPTDRDPTWEKCEEEEPRPGSQPALVSRCHFKSRNDSVIHILVEVT TAQGAVHSYLGSPFWIHQAVLLPTPSLHWREVSSGRLELEWQHQSSWAAQETCYQLRYTG EGREDWKVLEPSLGARGGTLELRPRARYSLQLRARLNGPTYQGPWSAWSPPARVSTGSET AWITLVTALLLVLSLSALLGLLLLKWQFPAHYRRLRHALWPSLPDLHRVLGQYLRDTAAL SPSKATVTDSCEEVEPSLLEILPKSSESTPLPLCPSQPQMDYRGLQPCLRTMPLSVCPPM AETGSCCTTHIANHSYLPLSYWQQP >ENSMUSP00000006556.3 pep:known chromosome:GRCm38:4:118443272:118457457:-1 gene:ENSMUSG00000006389.12 transcript:ENSMUST00000006556.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpl description:myeloproliferative leukemia virus oncogene [Source:MGI Symbol;Acc:MGI:97076] MVTSCLLLALPNQAQVTSQDVFLLALGTEPLNCFSQTFEDLTCFWDEEEAAPSGTYQLLY AYRGEKPRACPLYSQSVPTFGTRYVCQFPAQDEVRLFFPLHLWVKNVSLNQTLIQRVLFV DSVGLPAPPRVIKARGGSQPGELQIHWEAPAPEISDFLRHELRYGPTDSSNATAPSVIQL LSTETCCPTLWMPNPVPVLDQPPCVHPTASQPHGPVRTSPAGEAPFLTVKGGSCLVSGLQ AGKSYWLQLRSQPDGVSLRGSWGPWSFPVTVDLPGDAVTIGLQCFTLDLKMVTCQWQQQD RTSSQGFFRHSRTRCCPTDRDPTWEKCEEEEPRPGSQPALVSRCHFKSRNDSVIHILVEV TTAQGAVHSYLGSPFWIHQAVLLPTPSLHWREVSSGRLELEWQHQSSWAAQETCYQLRYT GEGREDWKVLEPSLGARGGTLELRPRARYSLQLRARLNGPTYQGPWSAWSPPARVSTGSE TAWITLVTALLLVLSLSALLGLLLLKWQFPAHYRRLRHALWPSLPDLHRVLGQYLRDTAA LSPSKATVTDSCEEVEPSLLEILPKSSESTPLPLCPSQPQMDYRGLQPCLRTMPLSVCPP MAETGSCCTTHIANHSYLPLSYWQQP >ENSMUSP00000101983.1 pep:known chromosome:GRCm38:4:118443429:118457450:-1 gene:ENSMUSG00000006389.12 transcript:ENSMUST00000106375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpl description:myeloproliferative leukemia virus oncogene [Source:MGI Symbol;Acc:MGI:97076] MVTSCLLLALPNQAQVTSQDVFLLALGTEPLNCFSQTFEDLTCFWDEEEAAPSGTYQLLY AYRGEKPRACPLYSQSVPTFGTRYVCQFPAQDEVRLFFPLHLWVKNVSLNQTLIQRVLFV DSVETCCPTLWMPNPVPVLDQPPCVHPTASQPHGPVRTSPAGEAPFLTVKGGSCLVSGLQ AGKSYWLQLRSQPDGVSLRGSWGPWSFPVTVDLPGDAVTIGLQCFTLDLKMVTCQWQQQD RTSSQGFFRHSRTRCCPTDRDPTWEKCEEEEPRPGSQPALVSRCHFKSRNDSVIHILVEV TTAQGAVHSYLGSPFWIHQAVLLPTPSLHWREVSSGRLELEWQHQSSWAAQETCYQLRYT GEGREDWKVLEPSLGARGGTLELRPRARYSLQLRARLNGPTYQGPWSAWSPPARVSTGSE TAWITLVTALLLVLSLSALLGLLLLKWQFPAHYRRLRHALWPSLPDLHRVLGQYLRDTAA LSPSKATVTDSCEEVEPSLLEILPKSSESTPLPLCPSQPQMDYRGLQPCLRTMPLSVCPP MAETGSCCTTHIANHSYLPLSYWQQP >ENSMUSP00000130167.1 pep:known chromosome:GRCm38:4:118442417:118457495:-1 gene:ENSMUSG00000006389.12 transcript:ENSMUST00000168404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpl description:myeloproliferative leukemia virus oncogene [Source:MGI Symbol;Acc:MGI:97076] MPSWALFMVTSCLLLALPNQAQVTSQDVFLLALGTEPLNCFSQTFEDLTCFWDEEEAAPS GTYQLLYAYRGEKPRACPLYSQSVPTFGTRYVCQFPAQDEVRLFFPLHLWVKNVSLNQTL IQRVLFVDSVGLPAPPRVIKARGGSQPGELQIHWEAPAPEISDFLRHELRYGPTDSSNAT APSVIQLLSTETCCPTLWMPNPVPVLDQPPCVHPTASQPHGPVRTSPAGEAPFLTVKGGS CLVSGLQAGKSYWLQLRSQPDGVSLRGSWGPWSFPVTVDLPGDAVTIGLQCFTLDLKMVT CQWQQQDRTSSQGFFRHSRTRCCPTDRDPTWEKCEEEEPRPGSQPALVSRCHFKSRNDSV IHILVEVTTAQGAVHSYLGSPFWIHQAVLLPTPSLHWREVSSGRLELEWQHQSSWAAQET CYQLRYTGEGREDWKVLEPSLGARGGTLELRPRARYSLQLRARLNGPTYQGPWSAWSPPA RVSTGSETAWITLVTALLLVLSLSALLGLLLLKWQFPAHYRRLRHALWPSLPDLHRVLGQ YLRDTAALSPSKATVTDSCEEVEPSLLEILPKSSESTPLPLCPSQPQMDYRGLQPCLRTM PLSVCPPMAETGSCCTTHIANHSYLPLSYWQQP >ENSMUSP00000101967.1 pep:known chromosome:GRCm38:4:118864658:118869736:1 gene:ENSMUSG00000073769.2 transcript:ENSMUST00000106360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1331 description:olfactory receptor 1331 [Source:MGI Symbol;Acc:MGI:3031165] MSGQNQSWVSEFILIGFSSDPTTNSILFIVFLLIYLSSVLGNGLIIMLVCLDTQLHTPMY FFLSTLSLLDMTFVTTTMPQMLVHLLAHSQTISFTGCWLQMFMFGGLGITECTFFVVMAY DRYVAICYPLSYTVILNWGLCIRLAAGSCICGFFSSLLHTFFTMSLPYCGPNRVNHYLCE GPSVRSLACMDTHIIEMVDLVLSVFLVVTPISLIVASYIRIAMAILKIKSTEGRCKAFST CASHLTVVTFFYAPATYTYLRPNSSYSPERDKQISLFYSAFTPLLNPVVYSLRNKDIKRA FLKVMGYGRCASGPGW >ENSMUSP00000092426.1 pep:known chromosome:GRCm38:4:118868783:118869736:1 gene:ENSMUSG00000073769.2 transcript:ENSMUST00000094831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1331 description:olfactory receptor 1331 [Source:MGI Symbol;Acc:MGI:3031165] MIPGQNQSWVSEFILIGFSSDPTTNSILFIVFLLIYLSSVLGNGLIIMLVCLDTQLHTPM YFFLSTLSLLDMTFVTTTMPQMLVHLLAHSQTISFTGCWLQMFMFGGLGITECTFFVVMA YDRYVAICYPLSYTVILNWGLCIRLAAGSCICGFFSSLLHTFFTMSLPYCGPNRVNHYLC EGPSVRSLACMDTHIIEMVDLVLSVFLVVTPISLIVASYIRIAMAILKIKSTEGRCKAFS TCASHLTVVTFFYAPATYTYLRPNSSYSPERDKQISLFYSAFTPLLNPVVYSLRNKDIKR AFLKVMGYGRCASGPGW >ENSMUSP00000040302.2 pep:known chromosome:GRCm38:16:17894223:17897922:1 gene:ENSMUSG00000041566.3 transcript:ENSMUST00000046937.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssk1 description:testis-specific serine kinase 1 [Source:MGI Symbol;Acc:MGI:1347557] MDDAAVLKRRGYIMGINLGEGSYAKVKSAYSERLKFNVAVKIIDRKKAPSDFLEKFLPRE IEILAMLNHRSIVKTYEIFETSDGKVYIVMELGVQGDLLEFIKTRGALQEDDARKKFHQL SSAIKYCHDLDVVHRDLKCENLLLDKDFNIKLSDFGFSKRCLRDDSGRLILSKTFCGSAA YAAPEVLQGIPYQPKVYDIWSLGVILYIMVCGSMPYDDSNIKKMLRIQKEHRVNFPRSKH LTGECKDLIYRMLQPDVNRRLHIDEILNHCWVQPKARGLSSGAINKEGESSRATEPSWIP EPGADKKSATKLEPREEARSEARSESKPQEDTLQVVRQSENVGLSSELNRDTEEGHPQQP SETHT >ENSMUSP00000020650.1 pep:known chromosome:GRCm38:11:53631324:53634702:-1 gene:ENSMUSG00000020383.1 transcript:ENSMUST00000020650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il13 description:interleukin 13 [Source:MGI Symbol;Acc:MGI:96541] MALWVTAVLALACLGGLAAPGPVPRSVSLPLTLKELIEELSNITQDQTPLCNGSMVWSVD LAAGGFCVALDSLTNISNCNAIYRTQRILHGLCNRKAPTTVSSLPDTKIEVAHFITKLLS YTKQLFRHGPF >ENSMUSP00000122767.1 pep:known chromosome:GRCm38:17:44078813:44086567:1 gene:ENSMUSG00000023960.13 transcript:ENSMUST00000154166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp5 description:ectonucleotide pyrophosphatase/phosphodiesterase 5 [Source:MGI Symbol;Acc:MGI:1933830] MIPEFLLASCTLATLCHSAPFSLQPEEQKVLVVSFDGFRWDYLYKVPTPHFHYIMKNGVH VNQVTNVFITKTYPNHYTLVTGLFAENHGIVANDMFDPILNKSFSLEHMDIYDSKFWEEA TPIWITNQRAGHASGAAMWPGADVKIHDSFPTYYLPYNESVSFEDRVAKIIEWFTAKDPI NLGFLYWEEPDDTGHDVGPDSPLMGSVISDVDHKLGYLIKMLKRAKLWNNVNLIVTSDHG MTQCSKQRVIELDRYLDKEHYTLIDHSPVAAILPKEGKFDEVYDALAGAHPNLTVYKKEE IPERWHYKHNDRVQPIVAVADEGWYILQNKSDDFLLGNHGYDNALAEMHPIFLAHGPAFR KNFTKEAMNSTDLYSLLCHLLNLTALPHNGSFWNVQDLLSSATPKPIPYTQSTTLLLGSD KPGEDEQEESYPYYIGVSLGSIIAMVFFVVLIKHLIRSQVHTLQYRQVEVAQPLLQA >ENSMUSP00000024756.4 pep:known chromosome:GRCm38:17:44078845:44086559:1 gene:ENSMUSG00000023960.13 transcript:ENSMUST00000024756.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp5 description:ectonucleotide pyrophosphatase/phosphodiesterase 5 [Source:MGI Symbol;Acc:MGI:1933830] MIPEFLLASCTLATLCHSAPFSLQPEEQKVLVVSFDGFRWDYLYKVPTPHFHYIMKNGVH VNQVTNVFITKTYPNHYTLVTGLFAENHGIVANDMFDPILNKSFSLEHMDIYDSKFWEEA TPIWITNQRAGHASGAAMWPGADVKIHDSFPTYYLPYNESVSFEDRVAKIIEWFTAKDPI NLGFLYWEEPDDTGHDVGPDSPLMGSVISDVDHKLGYLIKMLKRAKLWNNVNLIVTSDHG MTQCSKQRVIELDRYLDKEHYTLIDHSPVAAILPKEGKFDEVYDALAGAHPNLTVYKKEE IPERWHYKHNDRVQPIVAVADEGWYILQNKSDDFLLGNHGYDNALAEMHPIFLAHGPAFR KNFTKEAMNSTDLYSLLCHLLNLTALPHNGSFWNVQDLLSSATPKPIPYTQSTTLLLGSD KPGEDEQEESYPYYIGVSLGSIIAMVFFVVLIKHLIRSQVHTLQYRQVEVAQPLLQA >ENSMUSP00000115049.1 pep:known chromosome:GRCm38:17:44078879:44086567:1 gene:ENSMUSG00000023960.13 transcript:ENSMUST00000126032.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Enpp5 description:ectonucleotide pyrophosphatase/phosphodiesterase 5 [Source:MGI Symbol;Acc:MGI:1933830] MIPEFLLASCTLATLCHSAPFSLQPEEQKVLRIMGLLQMTCSTLF >ENSMUSP00000037682.8 pep:known chromosome:GRCm38:16:59492088:59555752:-1 gene:ENSMUSG00000022723.15 transcript:ENSMUST00000044604.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybg3 description:beta-gamma crystallin domain containing 3 [Source:MGI Symbol;Acc:MGI:2676311] MEVAMERTNGTTPGVTIMQTDALGPAFENTKDPREYMEKSIGEIEEPPGEVKKGLILHDD RLASHFRGYESPTLSKDYEGYPASAIPDVQEEDTVVRLKKIMSVPVVYDKRRNLDCREEK EESNLAFVSQDEQDSSSFTILYEEPLQEEDRYTSAELRGSQSLLFPDTSSMPGLACERSE SRTDLVHHFEKEGKLGEAFDGDNSEMFLSVEAKRYKIYPLALSPIYEDDSSQEDVLSSEV SPGHHGSSKSRESANQPSSVLSLLQSVSERLQRNFDGDDRQEAEEEEEEAVASGKDWRTE KREHVTFHLPDPSIPFYPEDNQEHAGIFKSYVEFSEPTTSSLQHGRWSEKELFLQKSDMT SKLHSSLKSAYHQYLQTSRTHSSETGTRFGGTLQEPVSKYFRVQDHAGRLSPYVENVDKQ TLKCNPRPGKMIIYDLHGSKYKQEVYCNIPDATTWSFPNGALIKVVRGCWILYEKPHFQG QKCVLEEGERVLDRDWLLQNRKHPERNFVLGSIKRVLKDCSIPVIELCPKTDPGCSPIYI HRSVPNVEELNIPKSTSVTVKSGVWLAYPDIHFKGQATILEEDQGLFEISAAEMKSLHPL QMGGLKVEMPMNLKVILYEKPHFLGHTKEFSEHIDSVPTFLKSDKDFHGIGSIRVIGGVW VAYEKEHFKGQQFLLEEGDFEDSSACGALSGPIMSFRYLQANFIESSITLFESSHLESGK FIDITNQEISDLEEIGFGSETRSIHVKSGVWVAYHQKFFCGDQYILEKGKYKCFFDWGGS SNTILSIRPIQLEPLGINEPTHLLKAFSKAGFQGECIDFVKECADLTSFTPASFKVLRGC WLLLYYQEDGFYHQCVLEEGLYVDLTSCGCPSARIRALQPIDYVFEEPSISLFALEHCEG RELHLEDAVNSVLNKDLHFYTQSVWIKSGLWIAYEGSNFLGRQILLTPKEIPNWTAFSGW KTIGSVRPMKQPAVYIRIRNRAQDEYLTVTGNPADARTMSVCISPYSGKDTQIWHYCRGL FKSKASHTCLDVIGGRDTPGAKVALWTEHGQLRQKWRMSRNGTISSYLSDELVLDVKGGN YYDKTHVIVNQPLEGEETQKWDIEIL >ENSMUSP00000122663.1 pep:known chromosome:GRCm38:16:59544071:59553970:-1 gene:ENSMUSG00000022723.15 transcript:ENSMUST00000139989.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybg3 description:beta-gamma crystallin domain containing 3 [Source:MGI Symbol;Acc:MGI:2676311] MIIYDLHGSKYKQEVYCNIPDATTWSFPNGALIKVVRGCWILYEKPHFQGQKCVLEEGER VLDRDWLLQNRKHPERNFVLGSIKRVLKDCSIPVIELCPKTDPGCSPIYIHRSVPNVEEL >ENSMUSP00000012279.3 pep:known chromosome:GRCm38:16:17913107:17915059:-1 gene:ENSMUSG00000022738.6 transcript:ENSMUST00000012279.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsc2 description:goosecoid homebox 2 [Source:MGI Symbol;Acc:MGI:892006] MYIFRLLRRPPAGMATAGSAASRRDPGRPCPFSIEHILSSLPERRPATRPPQPVGGRNPA ELDEPEAPVPAAPCACCCCCNPRAATRGTPETSSGPGLRLAWPLRLAPATPSPLTAPRAG SPALTGTSGPGPQRRTRRHRTIFSEEQLQALEALFVQNQYPDVGTRERLAVRIRLREERV EVWFKNRRAKWRHQKRASSSRLLPGTKKTPKESC >ENSMUSP00000108338.4 pep:known chromosome:GRCm38:5:105014927:105051047:-1 gene:ENSMUSG00000034438.16 transcript:ENSMUST00000112718.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp8 description:guanylate-binding protein 8 [Source:MGI Symbol;Acc:MGI:1923324] MTQPQMAPICLVENHNEHLSVNHEAIEILEKISQPVVVVAIVGLYRTGKSYLMNRLAGQN HGFPLGSTVQSQTKGIWMWCMPHPTKPEHTLVLLDTEGLGDVEKGDPKNDLWIFALSVLL SSTFIYNSMNTISHDSLEKLHYVTELTELIRAKSSPNPDGIKNSTEFVSFFPDFVWTVRD FMLELKLNGEDITSDEYLENALKLIPGLGILVTTYVDAINSGAVPCVDDAVTTLAQRENS VAVQRAADHYSEQMVQRLSLPTDTLQELLDVHAACEKEAMAVFMEHSFKDENQQFLKKLV ELIGEAKVLFLLKNEEASDKYCQEELDRLSKDLMDNISTFSVPGGHRLYMDMREKIEHDY WQVPRKGVKAREVFQSFLQSQAIIESSILQADTALTAGQKAIAEERTKKEAAEKEQDLLR QKQKEQQEYMEAQEKRNKENIEQLRRKLEQEREQLIKDHNMMVEKKLKEQKALLEEGFKK KAEEMDGEIQQLKHNIEDMKKKQWFHFRYYYKRSCFIYFFSHFND >ENSMUSP00000031235.6 pep:known chromosome:GRCm38:5:105014153:105139540:-1 gene:ENSMUSG00000034438.16 transcript:ENSMUST00000031235.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp8 description:guanylate-binding protein 8 [Source:MGI Symbol;Acc:MGI:1923324] MTQPQMAPICLVENHNEHLSVNHEAIEILEKISQPVVVVAIVGLYRTGKSYLMNRLAGQN HGFPLGSTVQSQTKGIWMWCMPHPTKPEHTLVLLDTEGLGDVEKGDPKNDLWIFALSVLL SSTFIYNSMNTISHDSLEKLHYVTELTELIRAKSSPNPDGIKNSTEFVSFFPDFVWTVRD FMLELKLNGEDITSDEYLENALKLIPGLGILVTTYVDAINSGAVPCVDDAVTTLAQRENS VAVQRAADHYSEQMVQRLSLPTDTLQELLDVHAACEKEAMAVFMEHSFKDENQQFLKKLV ELIGEAKVLFLLKNEEASDKYCQEELDRLSKDLMDNISTFSVPGGHRLYMDMREKIEHDY WQVPRKGVKAREVFQSFLQSQAIIESSILQADTALTAGQKAIAEERTKKEAAEKEQDLLR QKQKEQQEYMEAQEKRNKENIEQLRRKLEQEREQLIKDHNMMVEKKLKEQKALLEEGFKK KAEEMDGEIQQLKHNIEDMKKKQWFHFRYYYKRSCFIYFFSHFND >ENSMUSP00000034980.7 pep:known chromosome:GRCm38:9:95857597:95951644:1 gene:ENSMUSG00000032409.14 transcript:ENSMUST00000034980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atr description:ataxia telangiectasia and Rad3 related [Source:MGI Symbol;Acc:MGI:108028] MGDHGLELASMIPALRELASATPEEYNTVVQKPRQILCQFIDRILTDVNVVALELVKKTD AQPTSVMLLDFIQHIMKSSPLMFVNVNGSQGQNEAKDSCIEFSHWIITRLLRIAATPSCH MLHKKICEVICSLLFLFKSKNPAIFGVLTRELLYLFEDLIYLHKRNAVGEVMEWPVVVSR FLSRLDEHMGCLQPAPLQFMNVQNVEFIEVTLLMVLIHIVPTVFFRRQELLLWQIGCALL EHGSPKIRSLAISLLTELFELGGLPAQPASTFFSLFLELLQHLVGMDADQLKLYEEPLSK LLKTLFPFEAEAYRNIEPVYLNVLLEKLSVMFEDRVLMRLKSDLLKAALCHLLQYFLTFV PAGYESALQVRKVYVTNICRALVDALGVQKHVGYLLGPFYAALKMESKEIIERIQCQAQQ ENLSGNNDEVSPKRRKLSSSLSSYKKPSRQPEEIIHVDMDKKSILWNVLKQKAESLQISL ECGTLKNSVAEALEGITVVLQLTALCTVHCSHQDMDGHNVKDHQHKYKKKPPVVVTWMSL DFYTKVLKSCRSLLESVQKLELELVIDSMVRICDALMYMQVKSSFKDHVLEELCGMLSLP WIYSYSDDNSLKMTTFATNLLPLSQRVWDSYSPQAQSKCVFLLTLFPRRIFLEWRTAVYN WALKSSHEVIRASCVKGFFILLHQQNSCNQIPKMLVDRVKDDSDMVKKEFASVLGQLVCT LHGMFYLSSSVEPCFEHMDLFSKNLKATSQHECSSSQVKASTCKPFLFLLTKNTPSPVKL AFIDNLHHLCKHLDFQEDEREVKAVLGTLLNLMEDPDKDVRIAFSGNIKYILESLNSEDG FVKELFVLRMKEAYTHAQIARNNELKDTLILTTGDIGRAAKGDLIPFALLHLLHCLLSKS ASVSGAAYTEIRALVAAKSVKLQNFFSQYKKPICQFLVESLHSSQMTALPSAPCQSSEIR KQDVAHHREMALNTLSEIANVFDFPDLNRFLTRTLQVLLPDLAAKASPAASALIRTLGKQ LNVSRREILINNFKYIFSHLVCSCSKDELERALHYLKNETEIELGSLLRQDFQGLHNELL LRIGEHYQQVFNGLSILASFASSDDPYQGPRDITSPELMADYLQPKLLGILAFFNMQLLS SSVGIEDKKMALTSLMSLMKLMGPKHVSSVRVKMMTTLRTGLRFKDDFPELCCRAWDCFV RCLDHAYLGPLLSHVIVALLPLIHMQPKETAAIFHYLIIENRDAVQDFLHEIYFLPDHPE LEKIKAVLQEYRKETSETTDLQTTLQLSMKAIQHENVDVRIHALTSLKETLYKNQEKLIK YATDSETVEPVISQLVTVILKGCQDANSQARLLCGECLGELGAIDPGRLDFSTTETQGKD FTFVTGVEDLSFAYGLLMELTRAYLAYADNSRAQDSAAYAIQELLSIYDCREMQSNGPGY QLWKRFPEHVREILEPHLNTRYKSSQKSTDWSGVTKPIYLSKLGNNFAEWSSSWAGYLIT KVRDNLASKIFTCCSIMMKHDFKVTIYLLPHILVYVLLGCNQEDQQEVYAEIMAVLKHDE QHAISTQDSASDLCQLSTQTVFSVLDHLTQWARHKFQALNAEKLAQNKPKGGKVNKILSN VNFEDYQSVTRFLDLIPQDTLAVASFRSKAYTRAVMHFESFITEKKQNIQKHLGFLQKLY AAMHEPDGVAGVSAIRKAEPSLKEQILEHESIGLLRDATACYDRAIQLEPDQIIHYHGVV KSMLGLGQLSTVITQVNGVHANRSEWTDELNTYRVEAAWKLSQWDLVENYLAADGKSTTW SVRLGQLLLSAKKRDTTTFYDTLKLVRAEQIVPLSAASFERGSYQRGYEFIVRLHMLCEL EHSLKPLFRKSPGDSCNEDSLNWGARLEMTQNSYRAKEPILALRRALLSLNKRPDYNEMV GECWLQSARVARKAGHHQTAYNALLNAGESRLAELYVERAKWLWSKGDVHQALIVLQKGV ELCFPENKSPSESKHMLIHGRATLLVGRFMEETANFESNAVMKKYKDVTLFLPEWEDGHF YLAKYYDKLMPMVTDNKMEKQGDLIRYIVLHFGRSLQYGNQFIYQSMPRMLSLWLDFGAK AYEWEKGGRSDRLQMRNDLAKINSVLTEHTNRLAPYQFLTAFSQLISRICHSHDEVFVVL MEIIAKVFLAYPQQAMWMMTAVSKSSYPMRVNRCKEILTKAIHMKKSLEKFVGDATRLTD KLLELCNKSVDGSNSTLSMSTHFKMLKRLVEDPTFSEILIPLQSVMIPTLPSVLGAHANH DPFPGHWAYLAGFDDVVEILSSLQKPKKISLKGSDGKFYIMMCKPKDDLRKDCRLMEFNS LINKSLRKDAESRRRELHIRTYAVIPLNDECGIIEWVNNTAGLRPILTKIYKEKGVYMTG KELRQCMLPKSAALSEKLKVFQELLLPRHPPVFHEWFLRTFPDPTSWYSSRSAYCRSTAV MSMVGYILGLGDRHGENILFDSFTGECVHVDFNCLFNKGETFEVPEIVPFRLTHNMVNGM GPMGTEGLFRRACEVTLRLMRDQREPLMSVLKTFLHDPLVEWSKPVKGHSKAPLNETGEV VNEKAKTHVLDIEQRLQGVIKTRNRVTGLPLSIEGHVHYLIQEATDENLLCQMYLGWTPY M >ENSMUSP00000006431.6 pep:known chromosome:GRCm38:6:83742990:83758855:1 gene:ENSMUSG00000006269.7 transcript:ENSMUST00000006431.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1b1 description:ATPase, H+ transporting, lysosomal V1 subunit B1 [Source:MGI Symbol;Acc:MGI:103285] MATTVDSRSSGFTGNSCDPGTAQEHVQAVTRNYITHPRVTYRTVCSVNGPLVVLDQVKFA QYAEIVNFTLPDGTQRSGQVLEVAGTKAIVQVFEGTSGIDSQKTTCEFTGDILRTPVSED MLGRIFNGSGKPIDKGPAVMAEEFLDINGQPINPHDRIYPEEMIQTGISPIDVMNSIARG QKIPIFSAAGLPHNEIAAQICRQAGLVKKSKAVLDYHEDNFAIVFAAMGVNMETARFFKS DFEQNGTMGNVCLFLNLANDPTIERIITPRLALTTAEFLAYQCEKHVLVILTDMSSYAEA LREVSAAREEVPGRRGFPGYMYTDLATIYERAGRVEGRGGSITQIPILTMPNDDITHPIP DLTGFITEGQIYVDRQLHNRQVYPPINVLPSLSRLMKSAIGEGMTRKDHGDVSNQLYACY AIGKDVQAMKAVVGEEALTSEDLLYLEFLQKFEKNFITQGPYENRTVFESLDLGWKLLRI FPKEMLKRIPQSMTDEFYSRQGAQQDPASDTAL >ENSMUSP00000146154.1 pep:known chromosome:GRCm38:6:83743064:83751305:1 gene:ENSMUSG00000006269.7 transcript:ENSMUST00000206911.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1b1 description:ATPase, H+ transporting, lysosomal V1 subunit B1 [Source:MGI Symbol;Acc:MGI:103285] MATTVDSRSSGFTGNSCDPGTAQEHVQAVTRNYITHPRVTYRTVCSVNGPLVVLDQVKVR RFSLSPGS >ENSMUSP00000145710.1 pep:known chromosome:GRCm38:6:83743094:83754759:1 gene:ENSMUSG00000006269.7 transcript:ENSMUST00000205763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1b1 description:ATPase, H+ transporting, lysosomal V1 subunit B1 [Source:MGI Symbol;Acc:MGI:103285] TVDSRSSGFTGNSCDPGTAQEHVQAVTRNYITHPRVTYRTVCSVNGPLVVLDQVKEPPEA NCSYNNHFAQYAEIVNFTLPDGTQRSGQVLEVAGTKAIVQVFEGTSGIDSQKTTCEFTGD ILRTPVSEDMLGRIFNGSGKPIDKGPAVMAEEFLDINGQPINPHDRIYPEEMIQTGISPI DVMNSIARGQKIPIFSAAGLPHNEIAAQICRQAGLV >ENSMUSP00000095414.4 pep:known chromosome:GRCm38:4:141793612:141813687:1 gene:ENSMUSG00000028914.13 transcript:ENSMUST00000097805.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp9 description:caspase 9 [Source:MGI Symbol;Acc:MGI:1277950] MDEADRQLLRRCRVRLVSELQVAELWDALLSRELFTRDMIEDIQQAGSGSRRDQARQLVT DLETRGRQALPLFISCLEDTGQGTLASLLQSGRQAAKQDPEAVKPLDHLVPVVLGPMGLT AKEQRVVKLDPSQPAVGNLTPVVLGPEELWPARLKPEVLRPETPRPVDIGSGGAHDVCVP GKIRGHADMAYTLDSDPCGHCLIINNVNFCPSSGLGTRTGSNLDRDKLEHRFRWLRFMVE VKNDLTAKKMVTALMEMAHRNHRALDCFVVVILSHGCQASHLQFPGAVYGTDGCSVSIEK IVNIFNGSGCPSLGGKPKLFFIQACGGEQKDHGFEVACTSSQGRTLDSDSEPDAVPYQEG PRPLDQLDAVSSLPTPSDILVSYSTFPGCQCCFCERDLQADSWLF >ENSMUSP00000030747.4 pep:known chromosome:GRCm38:4:141793612:141815976:1 gene:ENSMUSG00000028914.13 transcript:ENSMUST00000030747.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp9 description:caspase 9 [Source:MGI Symbol;Acc:MGI:1277950] MDEADRQLLRRCRVRLVSELQVAELWDALLSRELFTRDMIEDIQQAGSGSRRDQARQLVT DLETRGRQALPLFISCLEDTGQGTLASLLQSGRQAAKQDPEAVKPLDHLVPVVLGPMGLT AKEQRVVKLDPSQPAVGNLTPVVLGPEELWPARLKPEVLRPETPRPVDIGSGGAHDVCVP GKIRGHADMAYTLDSDPCGHCLIINNVNFCPSSGLGTRTGSNLDRDKLEHRFRWLRFMVE VKNDLTAKKMVTALMEMAHRNHRALDCFVVVILSHGCQASHLQFPGAVYGTDGCSVSIEK IVNIFNGSGCPSLGGKPKLFFIQACGGEQKDHGFEVACTSSQGRTLDSDSEPDAVPYQEG PRPLDQLDAVSSLPTPSDILVSYSTFPGFVSWRDKKSGSWYIETLDGILEQWARSEDLQS LLLRVANAVSAKGTYKQIPGCFNFLRKKLFFKTS >ENSMUSP00000121331.1 pep:known chromosome:GRCm38:4:141793710:141805442:1 gene:ENSMUSG00000028914.13 transcript:ENSMUST00000153094.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp9 description:caspase 9 [Source:MGI Symbol;Acc:MGI:1277950] MDEADRQLLRRCRVRLVSELQVAELWDALLSRELFTRDMIEDIQAGSGSRRDQARQLVTD LETRGRQALPLFISCLEDTGQGTLASLLQSGRQAAKQDPEAVKPLDHLVPVVLGPMGLTA KEQRVVKLDPSQPAVGNLTPVVLGPEELWPARLKPEVLRPETPRPVDIGSGGAHDVCVPG KIRGHADMAYTLDSDPCGHCLIINNVNFCPSSGLG >ENSMUSP00000040360.4 pep:known chromosome:GRCm38:8:121598703:121652901:-1 gene:ENSMUSG00000061410.6 transcript:ENSMUST00000046386.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc14 description:zinc finger, CCHC domain containing 14 [Source:MGI Symbol;Acc:MGI:2159407] MASNHPAFSFHQKQVLRQELTQIQSSLNSGGGGGGGGGGGGKSAPGPSGALPTCSACHKM APRTETPVSSISNSLENALHTSAHSTEESLPKRPLGKHGKVSVEKIDLKGLSHTKNDRSV ECSFEVLWSDSSITSVTKSSSEVTEFISKLSQLCPEENLDKLIPCLAGPDSFYVERNHVD LEAGLRFLASAPSHTLKHDHVRKFFSSSSPSQQLQSPSPGNPSLPKVGAVMGVSGRPVCG VAGIPSSQSSAQHHLQHSASTSASLPHCSHTGGTGSALAYRTQVDNSPTILMPSSLQTPQ PQEQNGILDWLRKLRLHKYYPVFKQLTMEKFLSLTEEDLNKFESLTMGAKKKLKTQLELE KEKSERRCLNSSAPSLVTSSGVARVTPTSHVGPVQPGRSSSHASELRVEVEPPAHQLPRE GSSSEYSSSSSSPMGVQVREESSDSAEESDRRVDIHVEGTEKEKPVMLLAHFPSSSARPT AQVLPVQNETGSSPAAHHPLPPQLMPAASHLAPVRMLNSVHKSDRGGADVKLLSSSVHSL LSLEERNKGPGPRSGTKVDKSFGGAVLDPLPSAAPHPPGQGLSGLVENNAVSPTVSFGPR AKVVHAATLDRVLKTAQQPALTVESSSATTGTPSTVLHVARPPIKLLLASSVPADAAIAG QTSCPNNGQISVPPAIMNPRTALYTANTKVAFSAVSSVPVGPLQGSFCANSNTASPSSHP STSFASMASLPSCPAPSSSPALSSVPESSFYSGGAGSSSPGNIPASSQSHHHHHHHQQPP APPQPAPPPPGCIVCTSCGCSGSCGSNGLTVSYANYFQHPFSGPSVLTFPFLPFSPMCGN GYVSTQQYGGGSAFPVVHTPYNGSVTPDPVLGGQSTFAVPPMQNFMAGTAGVYQAQGLVG STNGSSHKKSGNLSCYNCGATGHRAQDCKQPSMDFNRQGTFRLKYAPPAESLDSTD >ENSMUSP00000120570.1 pep:known chromosome:GRCm38:8:121600350:121604514:-1 gene:ENSMUSG00000061410.6 transcript:ENSMUST00000154725.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc14 description:zinc finger, CCHC domain containing 14 [Source:MGI Symbol;Acc:MGI:2159407] XQGSFCANSNTASPSSHPSTSFASMASLPSCPAPSSSPALSSVPESSFYSGGAGSSSPGN IPASSQSHHHHHHHQQPPAPPQPAPPPPGCIVCTSCGCSGSCGSNGLTVSYANYFQHPFS GPSVLTFPFLPFSPMCGNGYVSTQQYGGGSAFPVVHTPYNGSVTPDPVLGGQSTFAVPPM QNFMAGTAGVYQAQGLVGSTNGSSHKKSGNLSCYNCGATGHRAQDCKQPSMDFNRQEHFI KP >ENSMUSP00000104136.2 pep:known chromosome:GRCm38:7:16781340:16798274:1 gene:ENSMUSG00000001918.17 transcript:ENSMUST00000108496.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a5 description:solute carrier family 1 (neutral amino acid transporter), member 5 [Source:MGI Symbol;Acc:MGI:105305] MAVDPPKADPKGVVAVDSTANGGPALGSREDQSAKAGGCCGSRDRVRRCIRANLLVLLTV AAVVAGVGLGLGVSAAGGADALGPARLTAFAFPGELLLRLLKMIILPLVVCSLIGGAASL DPSALGRVGAWALLFFLVTTLLASALGVGLALALKPGAAVTAITSINDSVVDPCARSAPT KEVLDSFLDLVRNIFPSNLVSAAFRSFATSYEPKDNSCKIPQSCIQREINSTMVQLLCEV EGMNILGLVVFAIVFGVALRKLGPEGELLIRFFNSFNDATMVLVSWIMWYAPVGILFLVA SKIVEMKDVRQLFISLGKYILCCLLGHAIHGLLVLPLIYFLFTRKNPYRFLWGIMTPLAT AFGTSSSSATLPLMMKCVEEKNGVAKHISRFILPIGATVNMDGAALFQCVAAVFIAQLNG VSLDFVKIITILVTATASSVGAAGIPAGGVLTLAIILEAVSLPVKDISLILAVDWLVDRS CTVLNVEGDAFGAGLLQSYVDRTKMPSSEPELIQVKNEVSLNPLPLATEEGNPLLKQYQG PTGDSSATFEKESVM >ENSMUSP00000128483.1 pep:known chromosome:GRCm38:3:95929246:95947390:1 gene:ENSMUSG00000015749.12 transcript:ENSMUST00000165307.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32e description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member E [Source:MGI Symbol;Acc:MGI:1913721] MEMKKKINMELKNRAPEEVTELVLDNCLCVNGEIEGLNDTFKELEFLSMANVELSSLARL PSLNKLRKLELSDNIISGGLEVLAEKCPNLTYLNLSGNKIKDLSTVEALQNLKNLKSLDL FNCEITNLEDYRESIFELLQQITYLDGFDQEDNEAPDSEEEDDDDEDGDEDEEDEDEDEA GPPEGYEEEEDDDEDEAGSEVGEGEEEVGLSYLMKDEIQDEEDDDDYVDEGEEEEEEEEE GLRGEKRKRDAEDDGEEDDD >ENSMUSP00000015893.6 pep:known chromosome:GRCm38:3:95929278:95945565:1 gene:ENSMUSG00000015749.12 transcript:ENSMUST00000015893.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32e description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member E [Source:MGI Symbol;Acc:MGI:1913721] MEMKKKINMELKNRAPEEVTELVLDNCLCVNGEIEGLNDTFKELEFLSMANVELSSLARL PSLNKLRKLELSDNIISGGLEVLAEKCPNLTYLNLSGNKIKDLSTVEALQNLKNLKSLDL FNCEITNLEDYRESIFELLQQITYLDGFDQEDNEAPDSEEEDDDDEDGDEDEEDEDEDEA GPPEGYEEEEDDDEDEAGSEVGEGEEEDEEDDDDYVDEGEEEEEEEEEGLRGEKRKRDAE DDGEEDDD >ENSMUSP00000142810.1 pep:known chromosome:GRCm38:3:95929283:95945635:1 gene:ENSMUSG00000015749.12 transcript:ENSMUST00000169426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32e description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member E [Source:MGI Symbol;Acc:MGI:1913721] MEMKKKINMELKNRAPEEVGLSYLMKDEIQDEEDDDDYVDEGEEEEEEEEEGLRGEKRKR DAEDDGEEDDD >ENSMUSP00000130599.1 pep:known chromosome:GRCm38:3:95929325:95945442:1 gene:ENSMUSG00000015749.12 transcript:ENSMUST00000171368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32e description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member E [Source:MGI Symbol;Acc:MGI:1913721] MEMKKKINMELKNRAPEEITYLDGFDQEDNEAPDSEEEDDDDEDGDEDEEDEDEDEAGPP EGYEEEEDDDEDEAGSEVGEGEEEVGLSYLMKDEIQDEEDDDDYVDEGEEEEEEEEEGLR GEKRKRDAEDDGEEDDD >ENSMUSP00000132357.1 pep:known chromosome:GRCm38:3:95929386:95947269:1 gene:ENSMUSG00000015749.12 transcript:ENSMUST00000168106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32e description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member E [Source:MGI Symbol;Acc:MGI:1913721] MEMKKKINMELKNRAPEEVTELVLDNCLCVNGEIEGLNDTFKELEFLSMANVELSSLARL PSLNKLRKQNLKNLKSLDLFNCEITNLEDYRESIFELLQQITYLDGFDQEDNEAPDSEEE DDDDEDGDEDEEDEDEDEAGPPEGYEEEEDDDEDEAGSEVGEGEEEVGLSYLMKDEIQDE EDDDDYVDEGEEEEEEEEEGLRGEKRKRDAEDDGEEDDD >ENSMUSP00000131777.1 pep:known chromosome:GRCm38:3:95929541:95945547:1 gene:ENSMUSG00000015749.12 transcript:ENSMUST00000170213.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Anp32e description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member E [Source:MGI Symbol;Acc:MGI:1913721] MEMKKKINMELKNRAPEEAMKRRKMTMRMKLAQKWEREKRRWASHT >ENSMUSP00000129931.1 pep:known chromosome:GRCm38:3:95929582:95945318:1 gene:ENSMUSG00000015749.12 transcript:ENSMUST00000170125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32e description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member E [Source:MGI Symbol;Acc:MGI:1913721] MEMKKKINMELKNRAPEEVTELVLDNCLCVNGEIEGLNDTFKELEFLSMANVELSSLARL PSLNKLRKDEEDDDDYVDEGEEEEEEEEEGLRGEKRKRDAEDDGEEDDD >ENSMUSP00000128298.1 pep:known chromosome:GRCm38:3:95930536:95937161:1 gene:ENSMUSG00000015749.12 transcript:ENSMUST00000171035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32e description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member E [Source:MGI Symbol;Acc:MGI:1913721] MANVELSSLARLPSLNKLRKLELSDNIISGGLEVLAEKCPNLTYLNLSGNKIKDLSTVEA LQNLKNLKSLDLFNCEITNLEDYRESIFELLQQITYLDGFDQEDNEA >ENSMUSP00000132580.1 pep:known chromosome:GRCm38:3:95932801:95938039:1 gene:ENSMUSG00000015749.12 transcript:ENSMUST00000167876.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32e description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member E [Source:MGI Symbol;Acc:MGI:1913721] MANVELSSLARLPSLNKLRKLELSDNIISGGLEVLAEKCPNLTYLNLSGNKIKDLSTVEA LQNLKNLKSLDLFNCEITNLEDYRESIFELLQQITYLDGFDQEDNEAPDSEEEDDDDEDG DEDEEDEDEDEAGPPEGYEEEEDDDEDEAGSEVGEGEEEVGLSYLMKDEIQ >ENSMUSP00000047570.3 pep:known chromosome:GRCm38:11:116837432:116843449:-1 gene:ENSMUSG00000056962.11 transcript:ENSMUST00000047616.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd6 description:jumonji domain containing 6 [Source:MGI Symbol;Acc:MGI:1858910] MNHKSKKRIREAKRSARPELKDSLDWTRHNYYESYPLNPAAVPDNVERADALQLSVKEFV ERYERPYKPVVLLNAQEGWSAQEKWTLERLKRKYRNQKFKCGEDNDGYSVKMKMKYYIEY MESTRDDSPLYIFDSSYGEHPKRRKLLEDYKVPKFFTDDLFQYAGEKRRPPYRWFVMGPP RSGTGIHIDPLGTSAWNALVQGHKRWCLFPTNTPRELIKVTREEGGNQQDEAITWFNVIY PRTQLPTWPPEFKPLEILQKPGETVFVPGGWWHVVLNLDTTIAITQNFASSTNFPVVWHK TVRGRPKLSRKWYRILKQEHPELAVLADAVDLQESTGIASDSSSDSSSSSSSSSSDSDSE CESGSEGDGTTHRRKKRRTCSMVGNGDTTSQDDCVSKERSSSR >ENSMUSP00000117922.1 pep:known chromosome:GRCm38:11:116837433:116843355:-1 gene:ENSMUSG00000056962.11 transcript:ENSMUST00000142495.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jmjd6 description:jumonji domain containing 6 [Source:MGI Symbol;Acc:MGI:1858910] MNHKSKKRIREAKRSARPELKDSLDWTRHNYYESYPLNPAAVPDNVERADALQLSVKEFV ERYERPYKPVVLLNAQEGWSAQEKWTLERLKRKYRNQKFKCGEDNDGYSVKMKMKYYIEY MESTRDDSPLYIFDSSYGEHPKRRKLLEDYKVPKFFTDDLFQYAGEKRRPPYRWFVMGPP RSGTGIHIDPLGTSAWNALVQGHKRWCLFPTNTPRELIKVTREEGGNQQDEAITWFNVIY PRTQLPTWPPEFKPLEILQKPGETVFVPGS >ENSMUSP00000122867.1 pep:known chromosome:GRCm38:11:116837435:116841251:-1 gene:ENSMUSG00000056962.11 transcript:ENSMUST00000124831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd6 description:jumonji domain containing 6 [Source:MGI Symbol;Acc:MGI:1858910] XFPTNTPRELIKVTREEGGNQQDEAITWFNVIYPRTQLPTWPPEFKPLEILQKPGETVFV PGGWWHVVLNLDTTIAITQNFASSTNFPVVWHKTIQHGQRQCKPPPATPCHCVPTLTWRD QLSGILKQEHPELAVLADAVDLQESTGIASDSSSDSSSSSSSSSSDSDSECESGSEGDGT THRRKKRRTCSMVGNGDTTSQDDCVSKERSSSR >ENSMUSP00000120850.1 pep:known chromosome:GRCm38:11:116837588:116842705:-1 gene:ENSMUSG00000056962.11 transcript:ENSMUST00000140349.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jmjd6 description:jumonji domain containing 6 [Source:MGI Symbol;Acc:MGI:1858910] ADALQLSVKEFVERYERPYKPVVLLNAQEGWSAQEKWTLERLKRKYRNQKFKCGEDNDGY SVKMKMKYYIEYMESTRDDSPLYIFDSSYGEHPKRRKLLEDYKVPKFFTDDLFQYAGEKR RPPYRWFVMGPPRSGTGIHIDPLGTSAWNALVQGHKRWCLFPTNTPRELIKVTREEGGNQ QDEAITWFNVIYPRTQLPTWPPEFKPLEILQKPGETVFVPGGWWHVVLNLDTTIAITQNF ASSTNFPVVWHKTVRGRPKLSRKWYRYSTGSGSASHPQPPRATVSQP >ENSMUSP00000115086.1 pep:known chromosome:GRCm38:11:116837613:116842720:-1 gene:ENSMUSG00000056962.11 transcript:ENSMUST00000140513.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jmjd6 description:jumonji domain containing 6 [Source:MGI Symbol;Acc:MGI:1858910] DNVERADALQLSVKEFVERYERPYKPVVLLNAQEGWSAQEKWTLERLKRKYRNQKFKCGE DNDGYSVKMKMKYYIEYMESTRDDSPLYIFDSSYGEHPKRRKLLEDYKVPKFFTDDLFQY AGEKRRPPYRWFVMGPPRSGTGIHIDPLGTSAWNALVQGHKRWCLFPTNTPRELIKVTRE EGGNQQDEAITWFNVIYPRTQLPTWPPEFKPLEILQKPGETVFVPGGWWHVVLNLDTTIA ITQNFASSTNFPVVWHKTVRGRPKLSRKWYRTDTARAAAVQATPSHPVPLCPNPDLEGPA LGDLETGAP >ENSMUSP00000121791.1 pep:known chromosome:GRCm38:12:26442753:26456431:-1 gene:ENSMUSG00000020641.15 transcript:ENSMUST00000137792.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsad2 description:radical S-adenosyl methionine domain containing 2 [Source:MGI Symbol;Acc:MGI:1929628] MGMLVPTALAARLLSLFQQQLGSLWSGLAILFCWLRIALGWLDPGKEQPQVRGEPEDTQE TQEDGNSTQPTTPVSVNYHFTRQCNYKCGFCFHTAKTSFVLPLEEAKRGLLLLKQAGLEK INFSGGEPFLQDRGEYLGKLVRFCKEELALPSVSIVSNGSLIRERWFKDYGDSLGSWIRE WHTVLVT >ENSMUSP00000020970.7 pep:known chromosome:GRCm38:12:26442753:26456452:-1 gene:ENSMUSG00000020641.15 transcript:ENSMUST00000020970.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsad2 description:radical S-adenosyl methionine domain containing 2 [Source:MGI Symbol;Acc:MGI:1929628] MGMLVPTALAARLLSLFQQQLGSLWSGLAILFCWLRIALGWLDPGKEQPQVRGEPEDTQE TQEDGNSTQPTTPVSVNYHFTRQCNYKCGFCFHTAKTSFVLPLEEAKRGLLLLKQAGLEK INFSGGEPFLQDRGEYLGKLVRFCKEELALPSVSIVSNGSLIRERWFKDYGEYLDILAIS CDSFDEQVNALIGRGQGKKNHVENLQKLRRWCRDYKVAFKINSVINRFNVDEDMNEHIKA LSPVRWKVFQCLLIEGENSGEDALREAERFLISNEEFETFLERHKEVSCLVPESNQKMKD SYLILDEYMRFLNCTGGRKDPSKSILDVGVEEAIKFSGFDEKMFLKRGGKYVWSKADLKL DW >ENSMUSP00000097437.1 pep:known chromosome:GRCm38:2:87710972:87711904:-1 gene:ENSMUSG00000075150.1 transcript:ENSMUST00000099850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1137 description:olfactory receptor 1137 [Source:MGI Symbol;Acc:MGI:3030971] MDKENCSSLPEFFLLGISSKYGVKVVLFVVFLLVYLTTLLENIGMIALIRMDPQLHTPMY FFLSHLSFSDLCYSTAVGPRMLVDLVAEKHSIPFTGCFLQLLFVYVFIDVECMLLAVMAF DRYKAISKPLLYSVDMSSKVCYQFLTLIYLTSTIDGLIHTTLAFNLCFCGSTQINHFFCD LPPLYLLSCSDTQANELVVFTLFGFIELSTISSVLVSYCYIISSVLKISSAGGRFKAFST CASHLTAVGIFQGTMLFMYFRPSSAYSLDQDKMTSVFYLLIIPMINPLIYSLRNKDVKEA LVRLRNKRLF >ENSMUSP00000028280.7 pep:known chromosome:GRCm38:2:27886425:28039514:1 gene:ENSMUSG00000026837.15 transcript:ENSMUST00000028280.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col5a1 description:collagen, type V, alpha 1 [Source:MGI Symbol;Acc:MGI:88457] MDVHTRWKAARPGALLLSSPLLLFLLLLWAPPSSRAAQPADLLEMLDFHNLPSGVTKTTG FCATRRSSSEPDVAYRVSKDAQLSMPTKQLYPESGFPEDFSILTTVKAKKGSQAFLVSIY NEQGIQQLGLELGRSPVFLYEDHTGKPGPEEYPLFPGINLSDGKWHRIALSVYKKNVTLI LDCKKKITKFLSRSDHPIIDTNGIVMFGSRILDDEIFEGDIQQLLFVSDNRAAYDYCEHY SPDCDTAVPDTPQSQDPNPDEYYPEGEGETYYYEYPYYEDPEDPGKEPAPTQKPVEAARE TTEVPEEQTQPLPEAPTVPETSDTADKEDSLGIGDYDYVPPDDYYTPPPYEDFGYGEGVE NPDQPTNPDSGAEVPTSTTVTSNTSNPAPGEGKDDLGGEFTEETIKNLEENYYDPYFDPD SDSSVSPSEIGPGMPANQDTIFEGIGGPRGEKGQKGEPAIIEPGMLIEGPPGPEGPAGLP GPPGTTGPTGQMGDPGERGPPGRPGLPGADGLPGPPGTMLMLPFRFGGGGDAGSKGPMVS AQESQAQAILQQARLALRGPAGPMGLTGRPGPMGPPGSGGLKGEPGDMGPQGPRGVQGPP GPTGKPGRRGRAGSDGARGMPGQTGPKGDRGFDGLAGLPGEKGHRGDPGPSGPPGIPGDD GERGDDGEVGPRGLPGEPGPRGLLGPKGPPGPPGPPGVTGMDGQPGPKGNVGPQGEPGPP GQQGNPGAQGLPGPQGAIGPPGEKGPLGKPGLPGMPGADGPPGHPGKEGPPGEKGGQGPP GPQGPIGYPGPRGVKGADGIRGLKGTKGEKGEDGFPGFKGDMGIKGDRGEIGPPGPRGED GPEGPKGRGGPNGDPGPLGPTGEKGKLGVPGLPGYPGRQGPKGSIGFPGFPGANGEKGGR GTPGKPGPRGQRGPTGPRGERGPRGITGKPGPKGNSGGDGPAGPPGERGPNGPQGPTGFP GPKGPPGPPGKDGLPGHPGQRGETGFQGKTGPPGPPGVVGPQGPTGETGPMGERGHPGPP GPPGEQGLPGAAGKEGTKGDPGPAGLPGKDGPPGLRGFPGDRGLPGPVGALGLKGSEGPP GPPGPAGSPGERGPAGAAGPIGIPGRPGPQGPPGPAGEKGLPGEKGPQGPAGRDGLQGPV GLPGPAGPVGPPGEDGDKGEIGEPGQKGSKGDKGEQGPPGPTGPQGPIGQPGPSGADGEP GPRGQQGLFGQKGDEGSRGFPGPPGPVGLQGLPGPPGEKGETGDVGQMGPPGPPGPRGPS GAPGADGPQGPPGGIGNPGAVGEKGEPGEAGDPGLPGEGGPLGPKGERGEKGEAGPSGAA GPPGPKGPPGDDGPKGSPGPVGFPGDPGPPGEPGPAGQDGPPGDKGDDGEPGQTGSPGPT GEPGPSGPPGKRGPPGPAGPEGRQGEKGAKGEAGLEGPPGKTGPIGPQGAPGKPGPDGLR GIPGPVGEQGLPGSPGPDGPPGPMGPPGLPGLKGDSGPKGEKGHPGLIGLIGPPGEQGEK GDRGLPGPQGSSGPKGDQGITGPSGPLGPPGPPGLPGPPGPKGAKGSSGPTGPKGEAGHP GLPGPPGPPGEVIQPLPIQASRTRRNIDASQLLDDGAGESYVDYADGMEEIFGSLNSLKL EIEQMKRPLGTQQNPARTCKDLQLCHPDFPDGEYWVDPNQGCSRDSFKVYCNFTAGGSTC VFPDKKSEGARITSWPKENPGSWFSEFKRGKLLSYVDAEGNPVGVVQMTFLRLLSASAHQ NVTYNCYQSVAWQDAATGSYDKAIRFLGSNDEEMSYDNNPYIRALVDGCATKKGYQKTVL EIDTPKVEQVPIVDIMFNDFGEASQKFGFEVGPACFLG >ENSMUSP00000123532.1 pep:known chromosome:GRCm38:2:28017441:28037015:1 gene:ENSMUSG00000026837.15 transcript:ENSMUST00000145423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col5a1 description:collagen, type V, alpha 1 [Source:MGI Symbol;Acc:MGI:88457] PGLKGDSGPKGEKGHPGLIGLIGPPGEQGEKGDRGLPGPQGSSGPKGDQVYIVTGSMEQI LVSQGGWEDALIAMESQGPPGEVIQPLPIQASRTRRNIDASQLLDDGAGESYVDYADGME EIFGSLNSLKLEIEQMKRPLGTQQNPARTCKDLQLCHPDFPDGEYWVDPNQGCSRDSFKV YCNFTAGGSTCVFPDKKSEGARITSWPKENPGSWFSEFKRGKLLSYVDAEGNPVGVVQMT FLRLLSASAHQNVTYNCYQSVAWQDAATGSYDKAIRFLGSNDEEMSYDNNPYIRALVDGC ATKKGYQKTVLEIDTPKVEQVPIVDIMFNDFGEASQKFGFEVGPACFLG >ENSMUSP00000097435.1 pep:known chromosome:GRCm38:2:87737387:87738322:-1 gene:ENSMUSG00000075149.1 transcript:ENSMUST00000099848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1138 description:olfactory receptor 1138 [Source:MGI Symbol;Acc:MGI:3030972] MDKGNCSTLTEFLLLGITSNPEVKVFLFTMFLVVYLTNLLTNLGMIILIRMDPQLHTPMY FFLSHLSFSDLCYSTAVGPKMLVDLLSKNTSIPFLGCAMQFFTFCIFIDAECVLLAVMAF DRYKAISNPLLYAVDMSRKVCFQLLTGVYSVALADALIHTTLTFHLCFCGSNEINHFFCD IPPVLVLSCSDTQVNVLVIFTVFGFIELSTISGVLISYCYIISSVLKISSAAGRLKAFST CTSHLTAVAIFQGTMLFMYFRPSSSYSLDQDKVTSLFYTLVIPMLNPLIYSLRNKDVKEA LQRIRSKMCLK >ENSMUSP00000000466.6 pep:known chromosome:GRCm38:4:86656565:86670060:-1 gene:ENSMUSG00000028494.12 transcript:ENSMUST00000000466.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin2 description:perilipin 2 [Source:MGI Symbol;Acc:MGI:87920] MAAAVVDPQQSVVMRVANLPLVSSTYDLVSSAYVSTKDQYPYLRSVCEMAEKGVKTVTSA AMTSALPIIQKLEPQIAVANTYACKGLDRMEERLPILNQPTSEIVASARGAVTGAKDVVT TTMAGAKDSVASTVSGVVDKTKGAVTGSVERTKSVVNGSINTVLGMVQFMNSGVDNAITK SELLVDQYFPLTQEELEMEAKKVEGFDMVQKPSNYERLESLSTKLCSRAYHQALSRVKEA KQKSQETISQLHSTVHLIEFARKNMHSANQKIQGAQDKLYVSWVEWKRSIGYDDTDESHC VEHIESRTLAIARNLTQQLQTTCQTVLVNAQGLPQNIQDQAKHLGVMAGDIYSVFRNAAS FKEVSDGVLTSSKGQLQKMKESLDEVMDYFVNNTPLNWLVGPFYPQSTEVNKASLKVQQS EVKAQ >ENSMUSP00000119063.1 pep:known chromosome:GRCm38:4:86660121:86665725:-1 gene:ENSMUSG00000028494.12 transcript:ENSMUST00000147097.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin2 description:perilipin 2 [Source:MGI Symbol;Acc:MGI:87920] MEERLPILNQPTSEIVASARGAVTGAKDVVTTTMAGAKDSVASTVSGVVDKTKGAVTGSV ERTKSVVNGSINTVLGMVQFMNSGVDNAITKSELLVDQYFPLTQEELEMEAKKVEGFDMV QKPSNYERLESLSTKLCSRAYHQALSRVKEAKQKSQE >ENSMUSP00000123333.1 pep:known chromosome:GRCm38:4:86661934:86669560:-1 gene:ENSMUSG00000028494.12 transcript:ENSMUST00000149700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin2 description:perilipin 2 [Source:MGI Symbol;Acc:MGI:87920] MAAAVVDPQQSVVMRVANLPLVSSTYDLVSSAYVSTKDQYPYLRSVCEMAEKGVKTVTSA AMTSALPIIQKLEPQIAVANTYACKGLDRMEERLPILNQPTSEIVASARGAVTGAKDVVT TTMAGAKDSVASTVSGVVDKTKGAVTGSVERTKSVVNGSINTVLGMVQFMNSGVDNAITK SELLVDQYFPLTQEEL >ENSMUSP00000123456.1 pep:known chromosome:GRCm38:4:86661934:86669768:-1 gene:ENSMUSG00000028494.12 transcript:ENSMUST00000140382.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin2 description:perilipin 2 [Source:MGI Symbol;Acc:MGI:87920] MAAAVVDPQQSVVMRVANLPLVSSTYDLVSSAYVSTKDQYPYLRSVCEMAEKGVKTVTSA AMTSALPIIQKLEPQIAVANTYACKGLDRMEERLPILNQPTSEIVASARGAVTGAKDVVT TTMAGAKDSVASTVSGVVDKTKGAVTGSVERTKSVVNGSINTVLGMVQFMNSGVDNAITK SELLVDQYFPLTQEEL >ENSMUSP00000013227.7 pep:known chromosome:GRCm38:7:30699783:30700380:1 gene:ENSMUSG00000013083.8 transcript:ENSMUST00000013227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2200002J24Rik description:RIKEN cDNA 2200002J24 gene [Source:MGI Symbol;Acc:MGI:1916397] MGVGTKIKNLEAQIASVQPAYQRLPRSETVMLIALPAPAKDYLCLSITAFCFCILLAIPA LLFSLKTREANSVGDWRRAQRNSTLALGFGVSSILVGCILMASSIRLISL >ENSMUSP00000102220.1 pep:known chromosome:GRCm38:3:108653913:108678840:1 gene:ENSMUSG00000027884.16 transcript:ENSMUST00000106609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcc1 description:chloride channel CLIC-like 1 [Source:MGI Symbol;Acc:MGI:2385186] MLCRLLLCECLLLITGYAHDDDWIDPTDMLNYDAASGTMRKSQVRSGTSEKKEVSPDSSE AEELSDCLHRLDSLTHKVDSCEKKKMKDYESQSNPVFRRYLNKILIEAGKLGLPDENKVE MRYDAEILLSRQTLLEIQKFLSGEEWKPGALDDALSDILINFKCHDSEAWKWQFEDYFGV DPYNVFMVLLCLLCLVVLVATELWTYVRWYTQMKRIFIISFLLSLAWNWIYLYKMAFAQH QANIAGMEPFDNLCAKKMDWTGSLWEWFTSSWTYKDDPCQKYYELLIVNPIWLVPPTKAL AITFTNFVTEPLKHIGKGAGEFIKALMKEIPVLLQIPVLAILALAVLSFCYGAGRSVPML RHFGGPDREPPRALEPDDRRRQKGLDYRLHGGAGDADFSYRGPAGSIEQGPYDKMHASKR DALRQRFHSGNKSPEVLRAFDLPDTEAQEHPEVVPSHKSPIMNTNLETGELPGESTPTEY SQSAKDVSGQVPSAGKSSPTVDKAQLKTDSECSPPGGCPPSKEAAVAAHGTEPVSSPCG >ENSMUSP00000118529.1 pep:known chromosome:GRCm38:3:108653958:108663544:1 gene:ENSMUSG00000027884.16 transcript:ENSMUST00000124384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcc1 description:chloride channel CLIC-like 1 [Source:MGI Symbol;Acc:MGI:2385186] MLCRLLLCECLLLITGYAHDDDWIDPTDMLNYDAASGTMRKSQVRSGTSEKKEVSPDSSE AEELSDCLHRLDSLTHKVDSCEKK >ENSMUSP00000029483.8 pep:known chromosome:GRCm38:3:108653958:108678836:1 gene:ENSMUSG00000027884.16 transcript:ENSMUST00000029483.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcc1 description:chloride channel CLIC-like 1 [Source:MGI Symbol;Acc:MGI:2385186] MLCRLLLCECLLLITGYAHDDDWIDPTDMLNYDAASGTMRKSQVRSGTSEKKEVSPDSSE AEELSDCLHRLDSLTHKVDSCEKKKMKDYESQSNPVFRRYLNKILIEAGKLGLPDENKVE MRYDAEILLSRQTLLEIQKFLSGEEWKPGALDDALSDILINFKCHDSEAWKWQFEDYFGV DPYNVFMVLLCLLCLVVLVATELWTYVRWYTQMKRIFIISFLLSLAWNWIYLYKMAFAQH QANIAGMEPFDNLCAKKMDWTGSLWEWFTSSWTYKDDPCQKYYELLIVNPIWLVPPTKAL AITFTNFVTEPLKHIGKGAGEFIKALMKEIPVLLQIPVLAILALAVLSFCYGAGRSVPML RHFGGPDREPPRALEPDDRRRQKGLDYRLHGGAGDADFSYRGPAGSIEQGPYDKMHASKR DALRQRFHSGNKSPEVLRAFDLPDTEAQEHPEVVPSHKSPIMNTNLETGELPGESTPTEY SQSAKDVSGQVPSAGKSSPTVDKAQLKTDSECSPPGGCPPSKEAAVAAHGTEPVSSPCG >ENSMUSP00000102224.1 pep:known chromosome:GRCm38:3:108653990:108678012:1 gene:ENSMUSG00000027884.16 transcript:ENSMUST00000106613.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcc1 description:chloride channel CLIC-like 1 [Source:MGI Symbol;Acc:MGI:2385186] MALFRMLCRLLLCECLLLITGYAHDDDWIDPTDMLNYDAASGTMRKSQVRSGTSEKKEVS PDSSEAEELSDCLHRLDSLTHKVDSCEKKKMKDYESQSNPVFRRYLNKILIEAGKLGLPD ENKVEMRYDAEILLSRQTLLEIQKFLSGEEWKPGALDDALSDILINFKCHDSEAWKWQFE DYFGVDPYNVFMVLLCLLCLVVLVATELWTYVRWYTQMKRIFIISFLLSLAWNWIYLYKM AFAQHQANIAGMEPFDNLCAKKMDWTGSLWEWFTSSWTYKDDPCQKYYELLIVNPIWLVP PTKALAITFTNFVTEPLKHIGKGAGEFIKALMKEIPVLLQIPVLAILALAVLSFCYGAGR SVPMLRHFGGPDREPPRALEPDDRRRQKGLDYRLHGGAGDADFSYRGPAGSIEQGPYDKM HASKRDALRQRFHSGNKSPEVLRAFDLPDTEAQEHPEVVPSHKSPIMNTNLETGELPGES TPTEYSQSAKDVSGQVPSAGKSSPTVDKAQLKTDSECSPPGGCPPSKEAAVAAHGTEPVS SPCG >ENSMUSP00000026455.7 pep:known chromosome:GRCm38:10:128225838:128231812:1 gene:ENSMUSG00000025389.7 transcript:ENSMUST00000026455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mip description:major intrinsic protein of lens fiber [Source:MGI Symbol;Acc:MGI:96990] MWELRSASFWRAIFAEFFATLFYVFFGLGASLRWAPGPLHVLQVALAFGLALATLVQTVG HISGAHVNPAVTFAFLVGSQMSLLRAFCYIAAQLLGAVAGAAVLYSVTPPAVRGNLALNT LHAGVSVGQATTVEIFLTLQFVLCIFATYDERRNGRMGSVALAVGFSLTLGHLFGMYYTG AGMNPARSFAPAILTRNFSNHWVYWVGPIIGGGLGSLLYDFLLFPRLKSVSERLSILKGA RPSDSNGQPEGTGEPVELKTQAL >ENSMUSP00000143072.1 pep:known chromosome:GRCm38:5:48372329:48388845:1 gene:ENSMUSG00000029089.8 transcript:ENSMUST00000196950.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacrgl description:PARK2 co-regulated-like [Source:MGI Symbol;Acc:MGI:1914018] MQRSECSGGVQLRNRATGSNDQRTSSSTQMKHRTTVQRSKSSSLTSSPEAARRARPRPSD KLNPKTINPFGEQPRAPTAFAAIYSQGGIPCRLVHGSVKHRLQWECPPEILPFDPLLITL AEGLRETKHPYTFVSKEGFRELLLVKGAPEKAIPLLPRLIPVLKAALVHSDDEVFERGLS ALVQLSVVVGPSLNGHLKLLLTSLSKRLMDKKFKEPITSALQKLEQHGGNASLIIIKSKI PTYCSICC >ENSMUSP00000030968.2 pep:known chromosome:GRCm38:5:48372329:48388845:1 gene:ENSMUSG00000029089.8 transcript:ENSMUST00000030968.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacrgl description:PARK2 co-regulated-like [Source:MGI Symbol;Acc:MGI:1914018] MQRSECSGGVQLRNRATGSNDQRTSSSTQMKHRTTVQRSKSSSLTSSPEAARRARPRPSD KLNPKTINPFGEQPRAPTAFAAIYSQGGIPCRLVHGSVKHRLQWECPPEILPFDPLLITL AEGLRETKHPYTFVSKEGFRELLLVKGAPEKAIPLLPRLIPVLKAALVHSDDEVFERGLS ALVQLSVVVGPSLNGHLKLLLTSLSKRLMDKKFKEPITSALQKLEQHGGNASLIIIKSKI PTYCSICC >ENSMUSP00000142613.1 pep:known chromosome:GRCm38:5:48372433:48388846:1 gene:ENSMUSG00000029089.8 transcript:ENSMUST00000200566.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pacrgl description:PARK2 co-regulated-like [Source:MGI Symbol;Acc:MGI:1914018] MQRSECSGGVQLRNRATGSNDQRTSSSTQMKHRTTVQRSKSSSLTSSPEAARRARPRPSD KLNPKTINPFGEQPRAPTAFAAIYSQGGIPCRV >ENSMUSP00000142685.1 pep:known chromosome:GRCm38:5:48374435:48395617:1 gene:ENSMUSG00000029089.8 transcript:ENSMUST00000195960.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pacrgl description:PARK2 co-regulated-like [Source:MGI Symbol;Acc:MGI:1914018] XVQRSKSSSLTSSPEAARRARPRPSDKLNPKTINPFGEQPRAPTAFAAIYSQGGIPCRV >ENSMUSP00000038638.5 pep:known chromosome:GRCm38:8:105264648:105269326:1 gene:ENSMUSG00000033313.11 transcript:ENSMUST00000036221.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl8 description:F-box and leucine-rich repeat protein 8 [Source:MGI Symbol;Acc:MGI:1354697] MGELVDNLPEEVLALIFRDLPLRDLAVATRVCRAWAAAAANSTVWSDKSISCDCELEDLL PPYLSSCLDHIHNLRLEYEPSKKPSRGTATELLTALASRAPRLRGLRLECRGEKPLFDAG QDILGAVHAVCGAAHQLRHLDLRHLPYTLDDTLVLKAAAGCPELRSLFLDNHALVNSVQP TSVLKLLEACPHLRALGLHLASMSRAALELLAAPHRSPFALLALRCACPEDARASPLPDE AWATLSCRHPGLEVELELEPVLPDEAVTRILQPAVPVAVLRLNLSGDTVGPVRFATRHYA ETLRALEVRASASPELHTALEELAARCAGLREIHCFCVVRPSVLDAFRAHCPRLRSYTLK LKREPHPWRPTLVR >ENSMUSP00000115366.1 pep:known chromosome:GRCm38:8:105264682:105269170:1 gene:ENSMUSG00000033313.11 transcript:ENSMUST00000126923.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxl8 description:F-box and leucine-rich repeat protein 8 [Source:MGI Symbol;Acc:MGI:1354697] MGELVDNLPEEVLALIFRDLPLRDLAVATRVCRAWAAAAANSTVWSDKSIRQEAK >ENSMUSP00000099922.3 pep:known chromosome:GRCm38:7:24978167:25005895:-1 gene:ENSMUSG00000040907.15 transcript:ENSMUST00000102858.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1a3 description:ATPase, Na+/K+ transporting, alpha 3 polypeptide [Source:MGI Symbol;Acc:MGI:88107] MGDKKDDKSSPKKSKAKERRDLDDLKKEVAMTEHKMSVEEVCRKYNTDCVQGLTHSKAQE ILARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGAILCFLAYGIQAGTEDDPSGDNL YLGIVLAAVVIITGCFSYYQEAKSSKIMESFKNMVPQQALVIREGEKMQVNAEEVVVGDL VEIKGGDRVPADLRIISAHGCKVDNSSLTGESEPQTRSPDCTHDNPLETRNITFFSTNCV EGTARGVVVATGDRTVMGRIATLASGLEVGKTPIAIEIEHFIQLITGVAVFLGVSFFILS LILGYTWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGST STICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGTSFDKSSHTWVALSHIAGLCNR AVFKGGQDNIPVLKRDVAGDASESALLKCIELSSGSVKLMRERNKKVAEIPFNSTNKYQL SIHETEDPNDNRYLLVMKGAPERILDRCATILLQGKEQPLDEEMKEAFQNAYLELGGLGE RVLGFCHYYLPEEQFPKGFAFDCDDVNFTTDNLCFVGLMSMIDPPRAAVPDAVGKCRSAG IKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNPRDAKACVIHGTDL KDFTSEQIDEILQNHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSPALKKADI GVAMGIAGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITPF LLFIMANIPLPLGTITILCIDLGTDMVPAISLAYEAAESDIMKRQPRNPRTDKLVNERLI SMAYGQIGMIQALGGFFSYFVILAENGFLPGNLVGIRLNWDDRTVNDLEDSYGQQWTYEQ RKVVEFTCHTAFFVSIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLFEETALAAFLSY CPGMDVALRMYPLKPSWWFCAFPYSFLIFVYDEIRKLILRRNPGGEGRMARGKGWEGKQR VSTPCASQMCPFFFLFPLSPPCLSLSFQVRLCV >ENSMUSP00000079691.6 pep:known chromosome:GRCm38:7:24978167:25005958:-1 gene:ENSMUSG00000040907.15 transcript:ENSMUST00000080882.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1a3 description:ATPase, Na+/K+ transporting, alpha 3 polypeptide [Source:MGI Symbol;Acc:MGI:88107] MGDKKDDKSSPKKSKAKERRDLDDLKKEVAMTEHKMSVEEVCRKYNTDCVQGLTHSKAQE ILARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGAILCFLAYGIQAGTEDDPSGDNL YLGIVLAAVVIITGCFSYYQEAKSSKIMESFKNMVPQQALVIREGEKMQVNAEEVVVGDL VEIKGGDRVPADLRIISAHGCKVDNSSLTGESEPQTRSPDCTHDNPLETRNITFFSTNCV EGTARGVVVATGDRTVMGRIATLASGLEVGKTPIAIEIEHFIQLITGVAVFLGVSFFILS LILGYTWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLEAVETLGST STICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGTSFDKSSHTWVALSHIAGLCNR AVFKGGQDNIPVLKRDVAGDASESALLKCIELSSGSVKLMRERNKKVAEIPFNSTNKYQL SIHETEDPNDNRYLLVMKGAPERILDRCATILLQGKEQPLDEEMKEAFQNAYLELGGLGE RVLGFCHYYLPEEQFPKGFAFDCDDVNFTTDNLCFVGLMSMIDPPRAAVPDAVGKCRSAG IKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNPRDAKACVIHGTDL KDFTSEQIDEILQNHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSPALKKADI GVAMGIAGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSNIPEITPF LLFIMANIPLPLGTITILCIDLGTDMVPAISLAYEAAESDIMKRQPRNPRTDKLVNERLI SMAYGQIGMIQALGGFFSYFVILAENGFLPGNLVGIRLNWDDRTVNDLEDSYGQQWTYEQ RKVVEFTCHTAFFVSIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLFEETALAAFLSY CPGMDVALRMYPLKPSWWFCAFPYSFLIFVYDEIRKLILRRNPGGWVEKETYY >ENSMUSP00000143735.1 pep:known chromosome:GRCm38:7:24978170:25005943:-1 gene:ENSMUSG00000040907.15 transcript:ENSMUST00000196684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1a3 description:ATPase, Na+/K+ transporting, alpha 3 polypeptide [Source:MGI Symbol;Acc:MGI:88107] MGSGGSDSYRVATSQDKKDDKSSPKKSKAKERRDLDDLKKEVAMTEHKMSVEEVCRKYNT DCVQGLTHSKAQEILARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGAILCFLAYGI QAGTEDDPSGDNLYLGIVLAAVVIITGCFSYYQEAKSSKIMESFKNMVPQQALVIREGEK MQVNAEEVVVGDLVEIKGGDRVPADLRIISAHGCKVDNSSLTGESEPQTRSPDCTHDNPL ETRNITFFSTNCVEGTARGVVVATGDRTVMGRIATLASGLEVGKTPIAIEIEHFIQLITG VAVFLGVSFFILSLILGYTWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCL VKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGTSFDKSSHT WVALSHIAGLCNRAVFKGGQDNIPVLKRDVAGDASESALLKCIELSSGSVKLMRERNKKV AEIPFNSTNKYQLSIHETEDPNDNRYLLVMKGAPERILDRCATILLQGKEQPLDEEMKEA FQNAYLELGGLGERVLGFCHYYLPEEQFPKGFAFDCDDVNFTTDNLCFVGLMSMIDPPRA AVPDAVGKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNP RDAKACVIHGTDLKDFTSEQIDEILQNHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGD GVNDSPALKKADIGVAMGIAGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIA YTLTSNIPEITPFLLFIMANIPLPLGTITILCIDLGTDMVPAISLAYEAAESDIMKRQPR NPRTDKLVNERLISMAYGQIGMIQALGGFFSYFVILAENGFLPGNLVGIRLNWDDRTVND LEDSYGQQWTYEQRKVVEFTCHTAFFVSIVVVQWADLIICKTRRNSVFQQGMKNKILIFG LFEETALAAFLSYCPGMDVALRMYPLKPSWWFCAFPYSFLIFVYDEIRKLILRRNPGGWV EKETYY >ENSMUSP00000104576.1 pep:known chromosome:GRCm38:8:120114152:120154574:1 gene:ENSMUSG00000031824.14 transcript:ENSMUST00000108948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430548M08Rik description:RIKEN cDNA 6430548M08 gene [Source:MGI Symbol;Acc:MGI:2443793] MEAPEVPVGSLIDFETEPPTSPPLEAAPSTLQDPDGSLGDGASESETTESADSENDMGES PSHPSWDQDRRSSSNESFSSNQSADSAPDEETLALREFMRSYVEKIFSGGEDLDQEEKAK FGEYCSGEDGKGREWFARFVSAQRCKSKCVSEPTFYRLVQSFAVVLFECHQMDDFGPAKN LMTMCFTYYHLGKPQLPPTEPREKPAGSIDSYLKSANSWLAEKKDIAERLLKNTENMKGF FGGLETKLKGPLVRKNEEDENKPKDRQTKTVTMISPEDEQKGEKVYLYTHLRQQPIW >ENSMUSP00000034281.6 pep:known chromosome:GRCm38:8:120114152:120165306:1 gene:ENSMUSG00000031824.14 transcript:ENSMUST00000034281.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430548M08Rik description:RIKEN cDNA 6430548M08 gene [Source:MGI Symbol;Acc:MGI:2443793] MEAPEVPVGSLIDFETEPPTSPPLEAAPSTLQDPDGSLGDGASESETTESADSENDMGES PSHPSWDQDRRSSSNESFSSNQSADSAPDEETLALREFMRSYVEKIFSGGEDLDQEEKAK FGEYCSGEDGKGREWFARFVSAQRCKSKCVSEPTFYRLVQSFAVVLFECHQMDDFGPAKN LMTMCFTYYHLGKPQLPPTEPREKPAGSIDSYLKSANSWLAEKKDIAERLLKNTENMKGF FGGLETKLKGPLVRKNEEDENKPKDRQTKTVTMISPEDEQKGEKVYLYTHLRQQPIWHTL RFWNAAFFDAVHCERRKRSPTTRGDAGEQEEKREKWCHMTQEERDDSLRFNENITFGQLG TFTHNMLAFGLNKKLCSDFLKKQAVIGNLDEEQYKLLSDHIEQMATE >ENSMUSP00000104579.1 pep:known chromosome:GRCm38:8:120114153:120165304:1 gene:ENSMUSG00000031824.14 transcript:ENSMUST00000108951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430548M08Rik description:RIKEN cDNA 6430548M08 gene [Source:MGI Symbol;Acc:MGI:2443793] MEAPEVPVGSLIDFETEPPTSPPLEAAPSTLQDPDGSLGDGASESETTESADSENDMGES PSHPSWDQDRRSSSNESFSSNQSADSAPDEETLALREFMRSYVEKIFSGGEDLDQEEKAK FGEYCSGEDGKGREWFARFVSAQRCKSKCVSEPTFYRLVQSFAVVLFECHQMDDFGPAKN LMTMCFTYYHLGKPQLPPTEPREKPAGSIDSYLKSANSWLAEKKDIAERLLKNTENMKGF FGGLETKLKGPLVRKNEEDENKPKDRQTKTVTMISPEDEQKGEKVYLYTHLRQQPIWHTL RFWNAAFFDAVHCERRKRSPTTREKWCHMTQEERDDSLRFNENITFGQLGTFTHNMLAFG LNKKLCSDFLKKQAVIGNLDEEQYKLLSDHIEQMATE >ENSMUSP00000117269.1 pep:known chromosome:GRCm38:8:120144463:120145518:1 gene:ENSMUSG00000031824.14 transcript:ENSMUST00000132229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430548M08Rik description:RIKEN cDNA 6430548M08 gene [Source:MGI Symbol;Acc:MGI:2443793] MEAPEVPVGSLIDFETEPPTSPPLEAAPSTLQDPDGSLGDGASESETTESADSENDMGES P >ENSMUSP00000114976.1 pep:known chromosome:GRCm38:8:120144704:120152545:1 gene:ENSMUSG00000031824.14 transcript:ENSMUST00000153725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430548M08Rik description:RIKEN cDNA 6430548M08 gene [Source:MGI Symbol;Acc:MGI:2443793] MEAPEVPVGSLIDFETEPPTSPPLEAAPSTLQDPDGSLGDGASESETTESADSENDMGES PSHPSWDQDRRSSSNESFSSNQSADSAPDEETLALREFMRSYVEKIFSGGEDLDQEEKAK FGEYCSGEDGKGREWFARFVSAQRCKSKCVSEPTFYRLVQSFAVVLFECHQMDDFGPAKN LMTMCFTYYHLGKPQLPPTEPREKPAGSIDSYLKSANSWLAEKKDIA >ENSMUSP00000104578.1 pep:known chromosome:GRCm38:8:120144929:120162888:1 gene:ENSMUSG00000031824.14 transcript:ENSMUST00000108950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430548M08Rik description:RIKEN cDNA 6430548M08 gene [Source:MGI Symbol;Acc:MGI:2443793] MEAPEVPVGSLIDFETEPPTSPPLEAAPSTLQDPDGSLGDGASESETTESADSENDMGES PSHPSWDQDRRSSSNESFSSNQSADSAPDEETLALREFMRSYVEKIFSGGEDLDQEEKAK FGEYCSGEDGKGREWFARFVSAQRCKSKCVSEPTFYRLVQSFAVVLFECHQMDDFGPAKN LMTMCFTYYHLGKPQLPPTEPREKPAGSIDSYLKSANSWLAEKKDIAERLLKNTENMKGF FGGLETKLKGPLVRKNEEDENKPKDRQTKTVTMISPEDEQKGEKVYLYTHLRQQPIWHTL RFWNAAFFDAVHCERRKRSPTTRGDAGEQEEKREKWCHMTQEERDDSLRFNENITFGQLG TFTHNMLAFGLNKKLCSDFLKKQAVIGNLDEEQYKLLSDHIEQMATE >ENSMUSP00000015998.6 pep:known chromosome:GRCm38:3:87357881:87371073:1 gene:ENSMUSG00000015854.7 transcript:ENSMUST00000015998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd5l description:CD5 antigen-like [Source:MGI Symbol;Acc:MGI:1334419] MAPLFNLMLAILSIFVGSCFSESPTKVQLVGGAHRCEGRVEVEHNGQWGTVCDDGWDRRD VAVVCRELNCGAVIQTPRGASYQPPASEQRVLIQGVDCNGTEDTLAQCELNYDVFDCSHE EDAGAQCENPDSDLLFIPEDVRLVDGPGHCQGRVEVLHQSQWSTVCKAGWNLQVSKVVCR QLGCGRALLTYGSCNKNTQGKGPIWMGKMSCSGQEANLRSCLLSRLENNCTHGEDTWMEC EDPFELKLVGGDTPCSGRLEVLHKGSWGSVCDDNWGEKEDQVVCKQLGCGKSLHPSPKTR KIYGPGAGRIWLDDVNCSGKEQSLEFCRHRLWGYHDCTHKEDVEVICTDFDV >ENSMUSP00000107194.1 pep:known chromosome:GRCm38:2:87746198:87747133:1 gene:ENSMUSG00000068817.4 transcript:ENSMUST00000111568.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1140 description:olfactory receptor 1140 [Source:MGI Symbol;Acc:MGI:3030974] MDEGNCSSITEFILLGITDDPSMKVVLFISFLIIYLIILVANIGIIVLIRIDPQLHTPMY FFLSHLSFSDLCYSTAVGPKMLVDLLAKHKSLSFLGCALQFFFTCVFIDVECVLLAVMAF DRYKAISNPLMYVVDMSSRFCYQLLAGVYVLAMIDTLMQTIITFGLCFCRSNEINHFFCD LPPILLLSCSDIYVNELALFVFSGFVELCTISGLLVSYSYIIASVLKISCDEGRFKAFST CASHLTAVAIFQGTLLFMYFRPSSSYSLDQDKTTSLFYTLVIPMLNPLIYSLRNKDVKEA LYKLRNKRSFK >ENSMUSP00000031238.6 pep:known chromosome:GRCm38:5:105077630:105110276:-1 gene:ENSMUSG00000029298.15 transcript:ENSMUST00000031238.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp9 description:guanylate-binding protein 9 [Source:MGI Symbol;Acc:MGI:3605620] MTQPQMAPICLVENHNEQLLVNQEAIEILEKISQPVVVVAIVGLYRTGKSYLMNCLAGQN HGFPLGSTVQSQTKGIWMWCMPHPTKPEHTLVLLDTEGLGDVEKSNPKNDSWIFALSVLL SSTFVYNSMSTINHQALEQLHYVTELTELIRSKSSRNPHGIKNSTEFVSFFPDFVWTVRD FMLELKLNGEDITSDEYLENALKLIPGYNPRVQASNSARECIRCFFPNRKCFVFDRPTHD RELLQKLETISEDQLDLKFREGTKAFVSYVFTYAKIKTLREGIKVTGNRLGTLVTTYVDA INSGAVPCLDDAMTSVARRENSVAVQKAADHYSEQMAQRLRLPTDTLQELLDVHAACEKE AMAVFMEHSFKDENQQFLKKLVEIISEKIAFFWLKNEEASNKYCQEELDRLSKDFMDNIS TFSVPGGHRIYTDMRKKIERDYWQVPRKGVKACEVFQNFLQSQYIIESSILQADRALTAG EKAIAEERAQKEVAEKEQELLRQKQKEQQEYMEAQEKRNKENLEQLRRKLMQEREQDIKD HDMMLEKQLKDQKAFLEEGFTNKAEEINAEIERLEHNIKDKKENIGPILELIEKAFCAGV FSSLIMVALLTHNPSLLRK >ENSMUSP00000098521.4 pep:known chromosome:GRCm38:5:105079298:105139539:-1 gene:ENSMUSG00000029298.15 transcript:ENSMUST00000100961.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp9 description:guanylate-binding protein 9 [Source:MGI Symbol;Acc:MGI:3605620] MTQPQMAPICLVENHNEQLLVNQEAIEILEKISQPVVVVAIVGLYRTGKSYLMNCLAGQN HGFPLGSTVQSQTKGIWMWCMPHPTKPEHTLVLLDTEGLGDVEKSNPKNDSWIFALSVLL SSTFVYNSMSTINHQALEQLHYVTELTELIRSKSSRNPHGIKNSTEFVSFFPDFVWTVRD FMLELKLNGEDITSDEYLENALKLIPGYNPRVQASNSARECIRCFFPNRKCFVFDRPTHD RELLQKLETISEDQLDLKFREGTKAFVSYVFTYAKIKTLREGIKVTGNRLGTLVTTYVDA INSGAVPCLDDAMTSVARRENSVAVQKAADHYSEQMAQRLRLPTDTLQELLDVHAACEKE AMAVFMEHSFKDENQQFLKKLVEIISEKIAFFWLKNEEASNKYCQEELDRLSKDFMDNIS TFSVPGGHRIYTDMRKKIERDYWQVPRKGVKACEVFQNFLQSQYIIESSILQADRALTAG EKAIAEERAQKEVAEKEQELLRQKQKEQQEYMEAQEKRNKENLEQLRRKLMQEREQDIKD HDMMLEKQLKDQKAFLEEGFTNKAEEINAEIERLEHNIKDKKENIGPILELIEKAFCAGV FSSLIMVALLTHNPSLLRK >ENSMUSP00000048457.7 pep:known chromosome:GRCm38:2:26890435:26902879:-1 gene:ENSMUSG00000036160.13 transcript:ENSMUST00000047632.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Surf6 description:surfeit gene 6 [Source:MGI Symbol;Acc:MGI:98447] MASLLAKDTYLQDLAKKICAQPGPERQRSTWGVRTKGSEAAGAPKKKRKKTQKKSPEQEQ KAMDHKTKALGKKPPTSSRPKNPMVSKQEKGLSSLGSPKDSQGTARESVFALDFLRQRLH EKIQLARGQGSTKELSAATLEKRQRRKQERERKKRKRKERQAKQQVAEAEKKEEPVEVTP KMACKELQESGLIFNKVEVTEEEPASKAQRKKEKRQKVKGNLTPLTGRNYRQLLDRLQAR QGRLDELRDQDAAKAQELEAKMKWTNLLYKAEGVKIRDDERLLQEALKRKEKRRAQRQRK WEKRSEHVVEKMQQRQDKRRQNLRKKKAARAERRLQKAHKKGRVLPQDLERAGLS >ENSMUSP00000109677.1 pep:known chromosome:GRCm38:2:26890772:26902850:-1 gene:ENSMUSG00000036160.13 transcript:ENSMUST00000114043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Surf6 description:surfeit gene 6 [Source:MGI Symbol;Acc:MGI:98447] MASLLAKDTYLQDLAKKICAQPGPERQRSTWGVRTKGSEAAGAPKKKRKKTQKKSPEQEQ KAMDHKTKALGKKPPTSSRPKNPMVSKQEKGLSSLGSPKDSQGTARESVFALDFLRQRLH EKIQLARGQGSTKELSAATLEKRQRRKQERERKKRKRKERQAKQQVAEAEKKEEPVEVTP KMACKELQESGLIFNKVDLFSLRPARAT >ENSMUSP00000144247.1 pep:known chromosome:GRCm38:5:94445784:94448653:1 gene:ENSMUSG00000074011.4 transcript:ENSMUST00000202916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7682 description:predicted gene 7682 [Source:MGI Symbol;Acc:MGI:3779756] MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPAHFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRRKKLQYLDLRNVHHSFWNIWTDSE DSDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFC CTKMKIWTLPVKALRHIFHIFDPEHIMELELNTEWTLLELTHFAPYFGQMRNLCKVFLAP LHKIDFHLPNRTRVTEVKCINKFVSQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLK TFSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGTLETLDL QGCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPL ECYDEFAQVSVERFVRLCQDLMDTLRAIRQPKNTSFATDICHTCGERWVFDQVANLCRCW Q >ENSMUSP00000095890.3 pep:known chromosome:GRCm38:5:94445818:94448551:1 gene:ENSMUSG00000074011.4 transcript:ENSMUST00000098289.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7682 description:predicted gene 7682 [Source:MGI Symbol;Acc:MGI:3779756] MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPAHFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRKKLQYLDLRNVHHSFWNIWTDSED SDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFCC TKMKIWTLPVKALRHIFHIFDPEHIMELELNTEWTLLELTHFAPYFGQMRNLCKVFLAPL HKIDFHLPNRTRVTEVKCINKFVSQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLKT FSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGTLETLDLQ GCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPLE CYDEFAQVSVERFVRLCQDLMDTLRAIRQPKNTSFATDICHTCGERWVFDQVANLCRCWQ >ENSMUSP00000029374.6 pep:known chromosome:GRCm38:3:55625195:56183701:-1 gene:ENSMUSG00000027799.12 transcript:ENSMUST00000029374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbea description:neurobeachin [Source:MGI Symbol;Acc:MGI:1347075] MASDKPGPGLEPQPVALLAVGAGGGAGGGGAMGEPRGAAGSGPVVLPAGMINPSVPIRNI RMKFAVLIGLIQVGEVSNRDIVETVLNLLVGGEFDLEMNFIIQDAESITCMTELLEHCDV TCQAEIWSMFTAILRKSVRNLQTSTEVGLIEQVLLKMSAVDDMIADLLVDMLGVLASYSI TVKELKLLFSMLRGESGIWPRHAVKLLSVLNQMPQRHGPDTFFNFPGCSAAAIALPPIAK WPYQNGFTLNTWFRMDPLNNINVDKDKPYLYCFRTSKGVGYSAHFVGNCLIVTSLKSKGK GFQHCVKYDFQPRKWYMISIVHIYNRWRNSEIRCYVNGQLVSYGDMAWHVNTNDSYDKCF LGSSETADANRVFCGQLGAVYVFSEALNPAQIFAVHQLGPGYKSTFKFKSESDIHLAEHH KQVLYDGKLASSIAFSYNAKATDAQLCLESSPKENASIFVHSPHALMLQDVKAIVTHSIH SAIHSIGGIQVLFPLFAQLDNRQLNDSQVETTVCATLLAFLVELLKSSVAMQEQMLGGKG FLVIGYLLEKSSRVHITRAVLEQFLSFAKYLDGLSHGAPLLKQLCDHILFNPAIWIHTPA KVQLSLYTYLSAEFIGTATIYTTIRRVGTVLQLMHTLKYYYWVINPADSSGIAPKGLDGP RPSQKEIISLRAFMLLFLKQLILKDRGVKEDELQSILNYLLTMHEDENIHDVLQLLVALM SEHPASMIPAFDQRNGIRVIYKLLASKSESIWVQALKVLGYFLKHLGHKRKVEIMHTHSL FTLLGERLMLHTNTVTVTTYNTLYEILTEQVCTQVVHKPHPEPDSTVKIQNPMILKVVAT LLKNSTPSAELMEVRRLFLSDMIKLFSNSRENRRCLLQCSVWQDWMFSLGYINPKSSEEQ KITEMVYNIFRILLYHAIKYEWGGWRVWVDTLSIAHSKVTYEAHKEYLAKMYEEYQRQEE ENIKKGKKGNVSTISGLSSQTAGAKGGMEIREIEDLSQSQSPESETDYPVSTDTRDLLMS TKVSDDILGSSDRPGSGVHVEVHDLLVDIKAEKVEATEVKLDDMDLSPETLVGGENGALV EVESLLDNVYSAAVEKLQNNVHGSVGIIKKNEEKDNGPLITLADEKEELPNSSTPFLFDK IPRQEEKLLPELSSNHIIPNIQDTQVHLGVSDDLGLLAHMTASVELTCTSSIMEEKDFRI HTTSDGVSSVSERELASSTKGLDYAEMTATTLETESSNSKAVPNVDAGSIISDTERSDDG KESGKEIRKIQTTATTQAVQGRSSTQQDRDLRVDLGFRGMPMTEEQRRQFSPGPRTTMFR IPEFKWSPMHQRLLTDLLFALETDVHVWRSHSTKSVMDFVNSNENIIFVHNTIHLISQMV DNIIIACGGILPLLSAATSPTGSKTELENIEVTQGMSAETAVTFLSRLMAMVDVLVFASS LNFSEIEAEKNMSSGGLMRQCLRLVCCVAVRNCLECRQRQRDRGSKSSHGSSKPQEAPHS VTAASASKTPLENVPGNLSPIKDPDRLLQDVDINRLRAVVFRDVDDSKQAQFLALAVVYF ISVLMVSKYRDILEPQRETARTGSQPGRNIRQEINSPTSTVVVIPSIPHPSLNHGLLAKL MPEQSFAHSFYKETPATFPDTVKEKETPTPGEDIQLESSVPHTDSGMGEEQVASILDGAE LEPAAGPDAMSELLSTLSSEVKKSQESLTEHPSEMLKPAPSISSISQTKGINVKEILKSL VAAPVEIAECGPEPIPYPDPALKREAHAILPMQFHSFDRSVVVPVKKPPPGSLAVTTVGA TAAGSGLPTGSTSSIFAAPGATPKSMINTTGAVDSGSSSSSSSSSFVNGATSKNLPAVQT VAPMPEDSAENMSITAKLERALEKVAPLLREIFVDFAPFLSRTLLGSHGQELLIEGLVCM KSSTSVVELVMLLCSQEWQNSIQKNAGLAFIELINEGRLLCHAMKDHIVRVANEAEFILN RQRAEDVHKHAEFESQCAQYAADRREEEKMCDHLISAAKHRDHVTANQLKQKILNILTNK HGAWGAVSHSQLHDFWRLDYWEDDLRRRRRFVRNAFGSTHAEALLKSAVEYGTEEDVVKS KKAFRSQAIVNQNSETELMLEGDDDAVSLLQEKEIDNLAGPVVLSTPAQLIAPVVVAKGT LSITTTEIYFEVDEDDAAFKKIDTKVLAYTEGLHGKWMFSEIRAVFSRRYLLQNTALEVF MANRTSVMFNFPDQATVKKVVYSLPRVGVGTSYGLPQARRISLATPRQLYKSSNMTQRWQ RREISNFEYLMFLNTIAGRTYNDLNQYPVFPWVLTNYESEELDLTLPGNFRDLSKPIGAL NPKRAVFYAERYETWEEDQSPPFHYNTHYSTATSALSWLVRIEPFTTFFLNANDGKFDHP DRTFSSIARSWRTSQRDTSDVKELIPEFYYLPEMFVNSNGYHLGVREDEVVVNDVDLPPW AKKPEDFVRINRMALESEFVSCQLHQWIDLIFGYKQRGPEAVRALNVFHYLTYEGSVNLD SITDPVLREAMEAQIQNFGQTPSQLLIEPHPPRSSAMHLCFLPQSPLMFKDQMQQDVIMV LKFPSNSPVTHVAANTLPHLTIPAVVTVTCSRLFAVNRWHNTVGLRGAPGYSLDQAHHLP IEMDPLIANNSGVNKRQITDLVDQSIQINAHCFVVTADNRYILICGFWDKSFRVYSTETG KLTQIVFGHWDVVTCLARSESYIGGDCYIVSGSRDATLLLWYWSGRHHIIGDNPNSSDYP APRAVLTGHDHEVVCVSVCAELGLVISGAKEGPCLVHTITGDLLRALEGPENCLFPRLIS VSSEGHCIIYYERGRFSNFSINGKLLAQMEINDSTRAILLSSDGQNLVTGGDNGVVEVWQ ACDFKQLYIYPGCDAGIRAMDLSHDQRTLITGMASGSIVAFNIDFNRWHYEHQNRY >ENSMUSP00000023994.3 pep:known chromosome:GRCm38:2:84765387:84775404:-1 gene:ENSMUSG00000023224.12 transcript:ENSMUST00000023994.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serping1 description:serine (or cysteine) peptidase inhibitor, clade G, member 1 [Source:MGI Symbol;Acc:MGI:894696] MASRLTPLTLLLLLLAGDRAFSDPEATSHSTQDPLEAQAKSRESFPERDDSWSPPEPTVL PSTWPTTSVAITITNDTMGKVANESFSQHSQPAAQLPTDSPGQPPLNSSSQPSTASDLPT QATTEPFCPEPLAQCSDSDRDSSEAKLSEALTDFSVKLYHAFSATKMAKTNMAFSPFSIA SLLTQVLLGAGDSTKSNLESILSYPKDFACVHQALKGFSSKGVTSVSQIFHSPDLAIRDT YVNASQSLYGSSPRVLGPDSAANLELINTWVAENTNHKIRKLLDSLPSDTCLVLLNAVYL SAKWKITFEPKKMMAPFFYKNSMIKVPMMSSVKYPVAQFDDHTLKAKVGQLQLSHNLSFV IVVPVFPKHQLKDVEKALNPTVFKAIMKKLELSKFLPTYLTMPHIKVKSSQDMLSVMEKL EFFDFTYDLNLCGLTEDPDLQVSAMKHETVLELTESGVEAAAASAISFGRSLPIFEVQRP FLFLLWDQQHRFPVFMGRVYDPRG >ENSMUSP00000107268.1 pep:known chromosome:GRCm38:2:84765506:84775444:-1 gene:ENSMUSG00000023224.12 transcript:ENSMUST00000111641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serping1 description:serine (or cysteine) peptidase inhibitor, clade G, member 1 [Source:MGI Symbol;Acc:MGI:894696] MASRLTPLTLLLLLLAGDRAFSDPEATSHSTQDPLEAQAKSRESFPERDDSWSPPEPTVL PSTWPTTSVAITITNDTMGKVANESFSQHSQPAAQLPTDSPGQPPLNSSSQPSTASDLPT QATTEPFCPEPLAQCSDSDRDSSEAKLSEALTDFSVKLYHAFSATKMAKTNMAFSPFSIA SLLTQVLLGAGDSTKSNLESILSYPKDFACVHQALKGFSSKGVTSVSQIFHSPDLAIRDT YVNASQSLYGSSPRVLGPDSAANLELINTWVAENTNHKIRKLLDSLPSDTCLVLLNAVYL SAKWKITFEPKKMMAPFFYKNSMIKVPMMSSVKYPVAQFDDHTLKAK >ENSMUSP00000112387.1 pep:known chromosome:GRCm38:19:56912361:57008228:-1 gene:ENSMUSG00000025083.17 transcript:ENSMUST00000122359.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afap1l2 description:actin filament associated protein 1-like 2 [Source:MGI Symbol;Acc:MGI:2147658] MNKVSVNGEQNSASPDKVPEEQGPLTNGEPSQHSSAPQKSLPDLPPPKMIPERKQPTVPK IESPEGYYEEAEPFDRSINEDGEAVSSSYESYDEDENSKGKAAPYQWPSPEASIELMRDA RICAFLWRKKWLGQWAKQLCVIRDTRLLCYKSSKDHSPQLDVNLRGSSVVHKEKQVRKKG HKLKITPMNADVIVLGLQSKDQAEQWLRVIQEVSGLPSEGASEGNQYTPDAQRLNCQKPD IAEKYLSAAEYGITINGHPEIPETKDVKKKCSAGLKLSNLMNLGRKKSTSLEPPERSLET SSYLNVLVNSQWKSRWCFVRDSHLHFYQDRNRSKVAQQPLSLVGCDVLPDPSPDHLYSFR ILHNGEELAKLEAKSSEEMGHWLGLLLSESGSKTDPEELTYDYVDAERVSCIVSAAKTSL LLMQRKFSEPNTYIDGLPSRDCQDDLYDDVEVSELIAVVEPAEEAAPAVDANSGSEPDRV YLDLTPVKSFLHSSSEAQAQASLPAVPHQDDVAETLTVDPKPGTTPEEPHTESPGDPEVQ QRQPEVQESSEPIEPTPRITMVKLQAEQQRISFPANCPDTMASAPIAASPPVKEKLRVTS AEIKLGKNRTEAEVKRYTEEKERLERSKEEIRGHLAQLRREKRELKETLLRCTDKGVLAK LEQTLKKIDEECRMEESRRVDLELSIMEVKDNLKKAEAGPVTLGTTVDTTHLDNMSPRPQ PKAATPNPPPDSTPVNSASVLKNRPLSVMVTGKGTVLQKAKEWEKKGAS >ENSMUSP00000120490.1 pep:known chromosome:GRCm38:19:56923540:57008228:-1 gene:ENSMUSG00000025083.17 transcript:ENSMUST00000148049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afap1l2 description:actin filament associated protein 1-like 2 [Source:MGI Symbol;Acc:MGI:2147658] MNKVSVNGEQNSASPDKVPEEQGPLTNGEPSQHSSAPQKSLPDLPPPKMIPERKQPTVPK IESPEGYYEEAEPFDRSINEDGEAVSSSYESYDEDENSKGKAAPYQWPSPEASIELMRDA RICAFLWRKKWLGQWAKQLCVIRDTRLLCYKSSKDHSPQLDVNLRGSSVVHKEKQVRKKG HKLKITPMNADVIVLGLQSKDQAEQWLRVIQEVSGLPSEGASEGNQYTPDAQRLNCQKPD IAEKYLSAAEYGITINGHPEIPET >ENSMUSP00000107210.2 pep:known chromosome:GRCm38:19:56912361:57008210:-1 gene:ENSMUSG00000025083.17 transcript:ENSMUST00000111584.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afap1l2 description:actin filament associated protein 1-like 2 [Source:MGI Symbol;Acc:MGI:2147658] MERYKAQGCCCLVVQRRILQVSASLEQLLTELDDFLKVLDQENLSSAAVLKKSGLSELLR LYTKSSSSDEEYIYMNKVSVNGEQNSASPDKVPEEQGPLTNGEPSQHSSAPQKSLPDLPP PKMIPERKQPTVPKIESPEGYYEEAEPFDRSINEDGEAVSSSYESYDEDENSKGKAAPYQ WPSPEASIELMRDARICAFLWRKKWLGQWAKQLCVIRDTRLLCYKSSKDHSPQLDVNLRG SSVVHKEKQVRKKGHKLKITPMNADVIVLGLQSKDQAEQWLRVIQEVSGLPSEGASEGNQ YTPDAQRLNCQKPDIAEKYLSAAEYGITINGHPEIPETKDVKKKCSAGLKLSNLMNLGRK KSTSLEPPERSLETSSYLNVLVNSQWKSRWCFVRDSHLHFYQDRNRSKVAQQPLSLVGCD VLPDPSPDHLYSFRILHNGEELAKLEAKSSEEMGHWLGLLLSESGSKTDPEELTYDYVDA ERVSCIVSAAKTSLLLMQRKFSEPNTYIDGLPSRDCQDDLYDDVEVSELIAVVEPAEEAA PAVDANSGSEPDRVYLDLTPVKSFLHSSSEAQAQASLPAVPHQDDVAETLTVDPKPGTTP EEPHTESPGDPEVQQRQPEVQESSEPIEPTPRITMVKLQAEQQRISFPANCPDTMASAPI AASPPVKEKLRVTSAEIKLGKNRTEAEVKRYTEEKERLERSKEEIRGHLAQLRREKRELK ETLLRCTDKGVLAKLEQTLKKIDEECRMEESRRVDLELSIMEVKDNLKKAEAGPVTLGTT VDTTHLDNMSPRPQPKAATPNPPPDSTPVNSASVLKNRPLSVMVTGKGTVLQKAKEWEKK GAS >ENSMUSP00000113745.1 pep:known chromosome:GRCm38:19:56912361:57008198:-1 gene:ENSMUSG00000025083.17 transcript:ENSMUST00000118800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afap1l2 description:actin filament associated protein 1-like 2 [Source:MGI Symbol;Acc:MGI:2147658] MERYKALEQLLTELDDFLKVLDQENLSSAAVLKKSGLSELLRLYTKSSSSDEEYIYMNKV SVNGEQNSASPDKVPEEQGPLTNGEPSQHSSAPQKSLPDLPPPKMIPERKQPTVPKIESP EGYYEEAEPFDRSINEDGEAVSSSYESYDEDENSKGKAAPYQWPSPEASIELMRDARICA FLWRKKWLGQWAKQLCVIRDTRLLCYKSSKDHSPQLDVNLRGSSVVHKEKQVRKKGHKLK ITPMNADVIVLGLQSKDQAEQWLRVIQEVSGLPSEGASEGNQYTPDAQRLNCQKPDIAEK YLSAAEYGITINGHPEIPETKDVKKKCSAGLKLSNLMNLGRKKSTSLEPPERSLETSSYL NVLVNSQWKSRWCFVRDSHLHFYQDRNRSKVAQQPLSLVGCDVLPDPSPDHLYSFRILHN GEELAKLEAKSSEEMGHWLGLLLSESGSKTDPEELTYDYVDAERVSCIVSAAKTSLLLMQ RKFSEPNTYIDGLPSRDCQDDLYDDVEVSELIAVVEPAEEAAPAVDANSGSEPDRVYLDL TPVKSFLHSSSEAQAQASLPAVPHQDDVAETLTVDPKPGTTPEEPHTESPGDPEVQQRQP EVQESSEPIEPTPRITMVKLQAEQQRISFPANCPDTMASAPIAASPPVKEKLRVTSAEIK LGKNRTEAEVKRYTEEKERLERSKEEIRGHLAQLRREKRELKETLLRCTDKGVLAKLEQT LKKIDEECRMEESRRVDLELSIMEVKDNLKKAEAGPVTLGTTVDTTHLDNMSPRPQPKAA TPNPPPDSTPVNSASVLKNRPLSVMVTGKGTVLQKAKEWEKKGAS >ENSMUSP00000123400.1 pep:known chromosome:GRCm38:19:56930098:57001046:-1 gene:ENSMUSG00000025083.17 transcript:ENSMUST00000126964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afap1l2 description:actin filament associated protein 1-like 2 [Source:MGI Symbol;Acc:MGI:2147658] MNKVSVNGEQNSASPDKVPEEQGPLTNGEPSQHSSAPQKSLPDLPPPKMIPERKQPTVPK IESPEGYYEEAEPFDRSINEDGEAVSSSYESYDEDENSKGKAAPYQWPSPEASIELMRDA RICAFLWRKKWLGQ >ENSMUSP00000141209.1 pep:known chromosome:GRCm38:1:140084708:140183280:-1 gene:ENSMUSG00000026365.15 transcript:ENSMUST00000192880.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfh description:complement component factor h [Source:MGI Symbol;Acc:MGI:88385] MVQHRFLLESVGPRKIQIMRLSARIIWLILWTVCAAEDCKGPPPRENSEILSGSWSEQLY PEGTQATYKCRPGYRTLGTIVKVCKNGKWVASNPSRICRKKPCGHPGDTPFGSFRLAVGS QFEFGAKVVYTCDDGYQLLGEIDYRECGADGWINDIPLCEVVKCLPVTELENGRIVSGAA ETDQEYYFGQVVRFECNSGFKIEGHKEIHCSENGLWSNEKPRCVEILCTPPRVENGDGIN VKPVYKENERYHYKCKHGYVPKERGDAVCTGSGWSSQPFCEEKRCSPPYILNGIYTPHRI IHRSDDEIRYECNYGFYPVTGSTVSKCTPTGWIPVPRCTLKPCEFPQFKYGRLYYEESLR PNFPVSIGNKYSYKCDNGFSPPSGYSWDYLRCTAQGWEPEVPCVRKCVFHYVENGDSAYW EKVYVQGQSLKVQCYNGYSLQNGQDTMTCTENGWSPPPKCIRIKTDCDVLPTVKNAIIRG KSKKSYRTGEQVTFRCQSPYQMNGSDTVTCVNSRWIGQPVCKDNSCVDPPHVPNATIVTR TKNKYLHGDRVRYECNKPLELFGQVEVMCENGIWTEKPKCRDSTGKCGPPPPIDNGDITS LSLPVYEPLSSVEYQCQKYYLLKGKKTITCRNGKWSEPPTCLHACVIPENIMESHNIILK WRHTEKIYSHSGEDIEFGCKYGYYKARDSPPFRTKCINGTINYPTCV >ENSMUSP00000107608.2 pep:known chromosome:GRCm38:1:140085858:140183320:-1 gene:ENSMUSG00000026365.15 transcript:ENSMUST00000111977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfh description:complement component factor h [Source:MGI Symbol;Acc:MGI:88385] MVQHRFLLESVGPRKIQIMRLSARIIWLILWTVCAAEDCKGPPPRENSEILSGSWSEQLY PEGTQATYKCRPGYRTLGTIVKVCKNGKWVASNPSRICRKKPCGHPGDTPFGSFRLAVGS QFEFGAKVVYTCDDGYQLLGEIDYRECGADGWINDIPLCEVVKCLPVTELENGRIVSGAA ETDQEYYFGQVVRFECNSGFKIEGHKEIHCSENGLWSNEKPRCVEILCTPPRVENGDGIN VKPVYKENERYHYKCKHGYVPKERGDAVCTGSGWSSQPFCEEKRCSPPYILNGIYTPHRI IHRSDDEIRYECNYGFYPVTGSTVSKCTPTGWIPVPRCTLKPCEFPQFKYGRLYYEESLR PNFPVSIGNKYSYKCDNGFSPPSGYSWDYLRCTAQGWEPEVPCVRKCVFHYVENGDSAYW EKVYVQGQSLKVQCYNGYSLQNGQDTMTCTENGWSPPPKCIRIKTCSASDIHIDNGFLSE SSSIYALNRETSYRCKQGYVTNTGEISGSITCLQNGWSPQPSCIKSCDMPVFENSITKNT RTWFKLNDKLDYECLVGFENEYKHTKGSITCTYYGWSDTPSCYAGQVASCAPPLEILNGE INGAKKVEYSHGEVVKYDCKPRFLLKGPNKIQCVDGNWTTLPVCIEEERTCGDIPELEHG SAKCSVPPYHHGDSVEFICEENFTMIGHGSVSCISGKWTQLPKCVATDQLEKCRVLKSTG IEAIKPKLTEFTHNSTMDYKCRDKQEYERSICINGKWDPEPNCTSKTSCPPPPQIPNTQV IETTVKYLDGEKLSVLCQDNYLTQDSEEMVCKDGRWQSLPRCIEKIPCSQPPTIEHGSIN LPRSSEERRDSIESSSHEHGTTFSYVCDDGFRIPEENRITCYMGKWSTPPRCVGLPCGPP PSIPLGTVSLELESYQHGEEVTYHCSTGFGIDGPAFIICEGGKWSDPPKCIKTDCDVLPT VKNAIIRGKSKKSYRTGEQVTFRCQSPYQMNGSDTVTCVNSRWIGQPVCKDNSCVDPPHV PNATIVTRTKNKYLHGDRVRYECNKPLELFGQVEVMCENGIWTEKPKCRDSTGKCGPPPP IDNGDITSLSLPVYEPLSSVEYQCQKYYLLKGKKTITCRNGKWSEPPTCLHACVIPENIM ESHNIILKWRHTEKIYSHSGEDIEFGCKYGYYKARDSPPFRTKCINGTINYPTCV >ENSMUSP00000066677.6 pep:known chromosome:GRCm38:1:140085859:140183411:-1 gene:ENSMUSG00000026365.15 transcript:ENSMUST00000066859.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfh description:complement component factor h [Source:MGI Symbol;Acc:MGI:88385] MRLSARIIWLILWTVCAAEDCKGPPPRENSEILSGSWSEQLYPEGTQATYKCRPGYRTLG TIVKVCKNGKWVASNPSRICRKKPCGHPGDTPFGSFRLAVGSQFEFGAKVVYTCDDGYQL LGEIDYRECGADGWINDIPLCEVVKCLPVTELENGRIVSGAAETDQEYYFGQVVRFECNS GFKIEGHKEIHCSENGLWSNEKPRCVEILCTPPRVENGDGINVKPVYKENERYHYKCKHG YVPKERGDAVCTGSGWSSQPFCEEKRCSPPYILNGIYTPHRIIHRSDDEIRYECNYGFYP VTGSTVSKCTPTGWIPVPRCTLKPCEFPQFKYGRLYYEESLRPNFPVSIGNKYSYKCDNG FSPPSGYSWDYLRCTAQGWEPEVPCVRKCVFHYVENGDSAYWEKVYVQGQSLKVQCYNGY SLQNGQDTMTCTENGWSPPPKCIRIKTCSASDIHIDNGFLSESSSIYALNRETSYRCKQG YVTNTGEISGSITCLQNGWSPQPSCIKSCDMPVFENSITKNTRTWFKLNDKLDYECLVGF ENEYKHTKGSITCTYYGWSDTPSCYERECSVPTLDRKLVVSPRKEKYRVGDLLEFSCHSG HRVGPDSVQCYHFGWSPGFPTCKGQVASCAPPLEILNGEINGAKKVEYSHGEVVKYDCKP RFLLKGPNKIQCVDGNWTTLPVCIEEERTCGDIPELEHGSAKCSVPPYHHGDSVEFICEE NFTMIGHGSVSCISGKWTQLPKCVATDQLEKCRVLKSTGIEAIKPKLTEFTHNSTMDYKC RDKQEYERSICINGKWDPEPNCTSKTSCPPPPQIPNTQVIETTVKYLDGEKLSVLCQDNY LTQDSEEMVCKDGRWQSLPRCIEKIPCSQPPTIEHGSINLPRSSEERRDSIESSSHEHGT TFSYVCDDGFRIPEENRITCYMGKWSTPPRCVGLPCGPPPSIPLGTVSLELESYQHGEEV TYHCSTGFGIDGPAFIICEGGKWSDPPKCIKTDCDVLPTVKNAIIRGKSKKSYRTGEQVT FRCQSPYQMNGSDTVTCVNSRWIGQPVCKDNSCVDPPHVPNATIVTRTKNKYLHGDRVRY ECNKPLELFGQVEVMCENGIWTEKPKCRDSTGKCGPPPPIDNGDITSLSLPVYEPLSSVE YQCQKYYLLKGKKTITCRNGKWSEPPTCLHACVIPENIMESHNIILKWRHTEKIYSHSGE DIEFGCKYGYYKARDSPPFRTKCINGTINYPTCV >ENSMUSP00000141634.1 pep:known chromosome:GRCm38:1:140085862:140147812:-1 gene:ENSMUSG00000026365.15 transcript:ENSMUST00000192919.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfh description:complement component factor h [Source:MGI Symbol;Acc:MGI:88385] XPPRVENGDGINVKPVYKENERYHYKCKHGYVPKERGDAVCTGSGWSSQPFCEVKPCEFP QFKYGRLYYEESLRPNFPVSIGNKYSYKCDNGFSPPSGYSWDYLRCTAQGWEPEVPCVRK CVFHYVENGDSAYWEKVYVQGQSLKVQCYNGYSLQNGQDTMTCTENGWSPPPKCIRIKTC SASDIHIDNGFLSESSSIYALNRETSYRCKQGYVTNTGEISGSITCLQNGWSPQPSCIKS CDMPVFENSITKNTRTWFKLNDKLDYECLVGFENEYKHTKGSITCTYYGWSDTPSCYERE CSVPTLDRKLVVSPRKEKYRVGDLLEFSCHSGHRVGPDSVQCYHFGWSPGFPTCKGQVAS CAPPLEILNGEINGAKKVEYSHGEVVKYDCKPRFLLKGPNKIQCVDGNWTTLPVCIEEER TCGDIPELEHGSAKCSVPPYHHGDSVEFICEENFTMIGHGSVSCISGKWTQLPKCVATDQ LEKCRVLKSTGIEAIKPKLTEFTHNSTMDYKCRDKQEYERSICINGKWDPEPNCTSKTSC PPPPQIPNTQVIETTVKYLDGEKLSVLCQDNYLTQDSEEMVCKDGRWQSLPRCIGLPCGP PPSIPLGTVSLELESYQHGEEVTYHCSTGFGIDGPAFIICEGGKWSDPPKCIKTDCDVLP TVKNAIIRGKSKKSYRTGEQVTFRCQSPYQMNGSDTVTCVNSRWIGQPVCKDSTGKCGPP PPIDNGDITSLSLPVYEPLSSVEYQCQKYYLLKGKKTITCRNGKWSEPPTCLHACVIPEN IMESHNIILKWRHTEKIYSHSGEDIEFGCKYGYYKARDSPPFRTKCINGTINYPTCV >ENSMUSP00000115166.1 pep:known chromosome:GRCm38:1:140085879:140183322:-1 gene:ENSMUSG00000026365.15 transcript:ENSMUST00000123238.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfh description:complement component factor h [Source:MGI Symbol;Acc:MGI:88385] MRLSARIIWLILWTVCAAEDCKGPPPRENSEILSGSWSEQLYPEGTQATYKCRPGYRTLG TIVKVCKNGKWVASNPSRICRKKPCGHPGDTPFGSFRLAVGSQFEFGAKVVYTCDDGYQL LGEIDYRECGADGWINDIPLCEVVKCLPVTELENGRIVSGAAETDQEYYFGQVVRFECNS GFKIEGHKEIHCSENGLWSNEKPRCVEILCTPPRVENGDGINVKPVYKENERYHYKCKHG YVPKERGDAVCTGSGWSSQPFCEEKRCSPPYILNGIYTPHRIIHRSDDEIRYECNYGFYP VTGSTVSKCTPTGWIPVPRCTLKPCEFPQFKYGRLYYEESLRPNFPVSIGNKYSYKCDNG FSPPSGYSWDYLRCTAQGWEPEVPCVRKCVFHYVENGDSAYWEKVYVQGQSLKVQCYNGY SLQNGQDTMTCTENGWSPPPKCIRIKTCSASDIHIDNGFLSESSSIYALNRETSYRCKQG YVTNTGEISGSITCLQNGWSPQPSCIKSCDMPVFENSITKNTRTWFKLNDKLDYECLVGF ENEYKHTKGSITCTYYGWSDTPSCYERECSVPTLDRKLVVSPRKEKYRVGDLLEFSCHSG HRVGPDSVQCYHFGWSPGFPTCKGQVASCAPPLEILNGEINGAKKVEYSHGEVVKYDCKP RFLLKGPNKIQCVDGNWTTLPVCIEEERTCGDIPELEHGSAKCSVPPYHHGDSVEFICEE NFTMIGHGSVSCISGKWTQLPKCVATDQLEKCRVLKSTGIEAIKPKLTEFTHNSTMDYKC RDKQEYERSICINGKWDPEPNCTSKTSCPPPPQIPNTQVIETTVKYLDGEKLSVLCQDNY LTQDSEEMVCKDGRWQSLPRCIEKIPCSQPPTIEHGSINLPRSSEERRDSIESSSHEHGT TFSYVCDDGFRIPEENRITCYMGKWSTPPRCVGLPCGPPPSIPLGTVSLELESYQHGEEV TYHCSTGFGIDGPAFIICEGGKWSDPPKCIKTDCDVLPTVKNAIIRGKSKKSYRTGEQVT FRCQSPYQMNGSDTVTCVNSRWIGQPVCKDNSCVDPPHVPNATIVTRTKNKYLHGDRVRY ECNKPLELFGQVEVMCENGIWTEKPKCRGL >ENSMUSP00000107607.2 pep:known chromosome:GRCm38:1:140085855:140183411:-1 gene:ENSMUSG00000026365.15 transcript:ENSMUST00000111976.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfh description:complement component factor h [Source:MGI Symbol;Acc:MGI:88385] MVQHRFLLESVGPRKIQIMRLSARIIWLILWTVCAAEDCKGPPPRENSEILSGSWSEQLY PEGTQATYKCRPGYRTLGTIVKVCKNGKWVASNPSRICRKKPCGHPGDTPFGSFRLAVGS QFEFGAKVVYTCDDGYQLLGEIDYRECGADGWINDIPLCEVVKCLPVTELENGRIVSGAA ETDQEYYFGQVVRFECNSGFKIEGHKEIHCSENGLWSNEKPRCVEILCTPPRVENGDGIN VKPVYKENERYHYKCKHGYVPKERGDAVCTGSGWSSQPFCEEKRCSPPYILNGIYTPHRI IHRSDDEIRYECNYGFYPVTGSTVSKCTPTGWIPVPRCTLKPCEFPQFKYGRLYYEESLR PNFPVSIGNKYSYKCDNGFSPPSGYSWDYLRCTAQGWEPEVPCVRKCVFHYVENGDSAYW EKVYVQGQSLKVQCYNGYSLQNGQDTMTCTENGWSPPPKCIRIKTCSASDIHIDNGFLSE SSSIYALNRETSYRCKQGYVTNTGEISGSITCLQNGWSPQPSCIKSCDMPVFENSITKNT RTWFKLNDKLDYECLVGFENEYKHTKGSITCTYYGWSDTPSCYERECSVPTLDRKLVVSP RKEKYRVGDLLEFSCHSGHRVGPDSVQCYHFGWSPGFPTCKGQVASCAPPLEILNGEING AKKVEYSHGEVVKYDCKPRFLLKGPNKIQCVDGNWTTLPVCIEEERTCGDIPELEHGSAK CSVPPYHHGDSVEFICEENFTMIGHGSVSCISGKWTQLPKCVATDQLEKCRVLKSTGIEA IKPKLTEFTHNSTMDYKCRDKQEYERSICINGKWDPEPNCTSKTSCPPPPQIPNTQVIET TVKYLDGEKLSVLCQDNYLTQDSEEMVCKDGRWQSLPRCIEKIPCSQPPTIEHGSINLPR SSEERRDSIESSSHEHGTTFSYVCDDGFRIPEENRITCYMGKWSTPPRCVGLPCGPPPSI PLGTVSLELESYQHGEEVTYHCSTGFGIDGPAFIICEGGKWSDPPKCIKTDCDVLPTVKN AIIRGKSKKSYRTGEQVTFRCQSPYQMNGSDTVTCVNSRWIGQPVCKDNSCVDPPHVPNA TIVTRTKNKYLHGDRVRYECNKPLELFGQVEVMCENGIWTEKPKCRDSTGKCGPPPPIDN GDITSLSLPVYEPLSSVEYQCQKYYLLKGKKTITCRNGKWSEPPTCLHACVIPENIMESH NIILKWRHTEKIYSHSGEDIEFGCKYGYYKARDSPPFRTKCINGTINYPTCV >ENSMUSP00000135842.1 pep:known chromosome:GRCm38:4:141814962:141846263:-1 gene:ENSMUSG00000062478.16 transcript:ENSMUST00000176781.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctrc description:chymotrypsin C (caldecrin) [Source:MGI Symbol;Acc:MGI:1923951] XGITVLAAILACASSCGDPTFPPNLSARVVGGEDAVPKAGLGRSLFSTSGMTLGGTPVGA VSSPPATSSLLPTASTQT >ENSMUSP00000101407.1 pep:known chromosome:GRCm38:4:141838239:141846283:-1 gene:ENSMUSG00000062478.16 transcript:ENSMUST00000105781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctrc description:chymotrypsin C (caldecrin) [Source:MGI Symbol;Acc:MGI:1923951] MLGITVLAAILACASSCGDPTFPPNLSARVVGGEDAVPKAGLGSTNLTYRVGLGKYNLTV EDEEGSVYAEVDTIYVHEKWNRLLLWNDIAIIKLAEPVELSDTIQVACIPEQDSLLPGDY PCYVTGWGRLWTNGPIAEVLQQGLQPIVNHTTCSRLDWWFIKVRETMVCAGGDGVISACN GDSGGPLNCPVEDGLWQVHGIVSFGSSRGCNTYKKPVVFTRVSAYIDWIKEKIQL >ENSMUSP00000039879.10 pep:known chromosome:GRCm38:4:141838244:141846359:-1 gene:ENSMUSG00000062478.16 transcript:ENSMUST00000037059.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctrc description:chymotrypsin C (caldecrin) [Source:MGI Symbol;Acc:MGI:1923951] MLGITVLAAILACASSCGDPTFPPNLSARVVGGEDAVPKAGWQVSLQYLRDDTWRHTCGG SLITTSHVLTAAHCINTNLTYRVGLGKYNLTVEDEEGSVYAEVDTIYVHEKWNRLLLWND IAIIKLAEPVELSDTIQVACIPEQDSLLPGDYPCYVTGWGRLWTNGPIAEVLQQGLQPIV NHTTCSRLDWWFIKVRETMVCAGGDGVISACNGDSGGPLNCPVEDGLWQVHGIVSFGSSR GCNTYKKPVVFTRVSAYIDWIKEKIQL >ENSMUSP00000038678.7 pep:known chromosome:GRCm38:X:106420041:106485274:-1 gene:ENSMUSG00000033737.14 transcript:ENSMUST00000039447.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc3c1 description:fibronectin type III domain containing 3C1 [Source:MGI Symbol;Acc:MGI:2685630] MEHQLSPILSEIPPTVPVINGEPMCPVENYLLDPNAMAVCSNHSQIMTQHKMYNTWTCPQ YWSQIVFVQVNPGEILTIKADDGSIQNIQGPADVPLIAPTGNLPPIYLPPGYMSQVVEEN GIQKIVIVPQTLDYHVPMTAPVQQPFVAAPLLTYPQAPQLLYSPVQGEIPVPSYIQEPQQ IPPLPLLPPLPLLPPAATFLFQEHLETYPQGRVNHNQFDERTVKIGEYSKKKIRDRQLGE HKINSTFSDTTLLLNKDIDMPAPPDSLCPYTVDTAPGTNTDNSTSDTYSLNTVLNTCTID SAPRNMADNIPDTNTTDTITSSSAHTPSISTSNATFCSDNNNNITDSSISNYNQVTYDET HKPPDAKSIPSCTSQSASNPSVSENAHNPSSINDGLRPSDVASISENDHEETEANMGAGD NKQILGENQKKSQSSNASLKEHNTEDRTQPGCFNIEKPVVSNIQTRSATVSWTRKSNEKY DINSSMTHELALSSNGKNGTYKNIYTGNGVTVVLHDLQPCMVYFLRVTTIRNAEHRSVSE VVSFTTPGCEPDPPLAPTLISRTKNSLSLQWKASNDNGSKISSFLLEWDEGKGEDFKSCY SGRLKQHKLFKLNPSTKYSFRLAAKNDFGCSNFSETAVFYTSGKTPPAPLPPKLKEAGIY SLSLEWCAPTNPNPNDTLTYVLEMEEAKSGLGFKPKYNGEDLTCTIRNLQRNTMYKFRIF AYNLEGRSNPSGEVKYTTRPARPGCPNKPYVVGTIHAHQVTIGWDLPKDNGGMNISSYSL EVCENSDSANLWKIIYSGTRQEFLYDDLQAATTYKLRVFCTSPAGQSRPSDVLTIQTPTL PPESCRSQPLRGKTKSKDANLPDNRSVNGKPEAHVRGKKAKGPHQDRKVHPSSEKKCALG SQSMECGSVPARHPPSQCGTPVLTCKGPTCVIVSWEIPKCNGAEIIDYRLQWGQVEDSMH LIYTGPCLRYEVKGLVPATTYFCRVQAVNIVGVGMFGGTAKVTTPGTVPAMVPVLKEVES KVPAKLSSTCIAIRWEEPDCHGSPITGYNIEYGDKKVVTVKRITEYVLKDLQPNTTYRIR IQAINHYGLSPFSPSIRCKTKPLPPEPPQLNCVVYGHQSLRLKWGTVSSKKTLANFINYN VLMEDRSGRFSVIYRGPDVTHKVQKLSEYTEYKFKIQACNEAGEGPESDIYTFTTTKSPP TALKAPKVHPLNNNSCEIKWESLEPIKGDPIVYCLQVTTGKKANQIYKGPNTSFSFSNYH ANSRYRFKVCAGRRYETSNGLQELWGPYSPSALFSTYKHHSGHGKGSGSKGKGNHNDKGE KCKTEMSDDTFVLTLLIGFALIAVLCAVAVQYLLIN >ENSMUSP00000121226.1 pep:known chromosome:GRCm38:X:106447591:106485022:-1 gene:ENSMUSG00000033737.14 transcript:ENSMUST00000149331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc3c1 description:fibronectin type III domain containing 3C1 [Source:MGI Symbol;Acc:MGI:2685630] MAVCSNHSQIMTQHKMYNTWTCPQYWSQIVFVQVNPGEILTIKADDGSIQNIQGPADVPL IAPTGNLPPIYLPPGYMSQVVEENGIQKIVIVPQTL >ENSMUSP00000116843.1 pep:known chromosome:GRCm38:X:106472653:106484897:-1 gene:ENSMUSG00000033737.14 transcript:ENSMUST00000138724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc3c1 description:fibronectin type III domain containing 3C1 [Source:MGI Symbol;Acc:MGI:2685630] MEHQLSPILSEIPPTVPVINGEPMCPVENYLLDPNAMAVCSNHSQIMTQHKMYNTWTCPQ YWSQIVFVQVNPGEILTIKADDGSIQNI >ENSMUSP00000118896.1 pep:known chromosome:GRCm38:X:106472665:106485401:-1 gene:ENSMUSG00000033737.14 transcript:ENSMUST00000140707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc3c1 description:fibronectin type III domain containing 3C1 [Source:MGI Symbol;Acc:MGI:2685630] MEHQLSPILSEIPPTVPVINGEPMCPVENYLLDPNAMAVCSNHSQIMTQHKMYNTWTCPQ YWSQIVFVQVNPGEILTIKADDGS >ENSMUSP00000006912.5 pep:known chromosome:GRCm38:2:18842255:18998126:-1 gene:ENSMUSG00000026737.12 transcript:ENSMUST00000006912.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip4k2a description:phosphatidylinositol-5-phosphate 4-kinase, type II, alpha [Source:MGI Symbol;Acc:MGI:1298206] MATPGNLGSSVLASKTKTKKKHFVAQKVKLFRASDPLLSVLMWGVNHSINELSHVQIPVM LMPDDFKAYSKIKVDNHLFNKENMPSHFKFKEYCPMVFRNLRERFGIDDQDFQNSLTRSA PLPNDSQARSGARFHTSYDKRYVIKTITSEDVAEMHNILKKYHQYIVECHGVTLLPQFLG MYRLNVDGVEIYVIVTRNVFSHRLSVYRKYDLKGSTVAREASDKEKAKELPTLKDNDFIN EGQKIYIDDNNKKIFLEKLKKDVEFLAQLKLMDYSLLVGIHDVERAEQEEVECEENDGEE EGESDSTHPIGTPPDSPGNTLNSSPPLAPGEFDPNIDVYAIKCHENAPRKEVYFMAIIDI LTHYDAKKKAAHAAKTVKHGAGAEISTVNPEQYSKRFLDFIGHIL >ENSMUSP00000115475.1 pep:known chromosome:GRCm38:2:18844371:18866120:-1 gene:ENSMUSG00000026737.12 transcript:ENSMUST00000148583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip4k2a description:phosphatidylinositol-5-phosphate 4-kinase, type II, alpha [Source:MGI Symbol;Acc:MGI:1298206] KEKAKELPTLKDNDFINEGQKIYIDDNNKKIFLEKLKKDVEMRLEKRYTSWRLLTSLLIT MQKRKLPTLQKLLNMALELRSRL >ENSMUSP00000119075.1 pep:known chromosome:GRCm38:2:18847497:18906274:-1 gene:ENSMUSG00000026737.12 transcript:ENSMUST00000152981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip4k2a description:phosphatidylinositol-5-phosphate 4-kinase, type II, alpha [Source:MGI Symbol;Acc:MGI:1298206] XRNLRERFGIDDQDFQNSLTRSAPLPNDSQARSGARFHTSYDKRYVIKTITSEDVAEMHN ILKKYHQYIVECHGVTLLPQFLGMYRLNVDGVEIYVIVTRNVFSHRLSVYRKYDLKAKEL PTLKDNDFINEGQKIYIDDNNKKIFLEKLKKDVEFLAQLKLMDYSLLVGIHDVERAEQEE VECEENDGEEEGESDSTHPIGTPPDSPGNTLNSS >ENSMUSP00000027121.8 pep:known chromosome:GRCm38:1:55170159:55226782:-1 gene:ENSMUSG00000025978.14 transcript:ENSMUST00000027121.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rftn2 description:raftlin family member 2 [Source:MGI Symbol;Acc:MGI:1921263] MGCGLRKLEDPDESSPGKIFSTLKRPQVETKTEFAYEYALLDFTLQASTNPDVIKINSVL DIVAKVEDYYLKGYVVGAIHPVIQPVGQRKHLPASHLYRAVLSRLKLSPKHSAAGGQRRA RLVMEECPLTCEAQANDAAKELMDKINAAAKRGMKFVGLVSQCYLPSMHCNGASHDGVAE SGLHVRQDSQDNCKGWNEGALGGHLSESGVEEEPQHESGQHQTERNSSPSYANPKRGEAP DGKLYMVFNAFEEDAASWAYQEGVLSMKVTRKGAVISALDANWLELTTFYYKQGFSLIDS FVCWETPKGDQLPKSLEGFFIYEEEGSGVPGSNRRGNDAIVVEQWTVIEGCEIKTDYGPL LHTLAEFGWLLTSVLPTPILRHDSEGNLATKQVVFLQRPVTWNSAAQTPERKGSRLLKGE DRNKVSSRSLGLDTNASQAAGGRAPLEEGSLSPSRECWTKEERPAQSDSFSGFSSSDSVL RELDDGQFDQEEGVTQVTCM >ENSMUSP00000137942.1 pep:known chromosome:GRCm38:1:55171748:55226782:-1 gene:ENSMUSG00000025978.14 transcript:ENSMUST00000132055.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rftn2 description:raftlin family member 2 [Source:MGI Symbol;Acc:MGI:1921263] MGCGLRKLEDPDESSPGKIFSTLKRPQVETKTEFAYEYALLDFTLQD >ENSMUSP00000110071.2 pep:known chromosome:GRCm38:1:55201651:55226782:-1 gene:ENSMUSG00000025978.14 transcript:ENSMUST00000114428.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rftn2 description:raftlin family member 2 [Source:MGI Symbol;Acc:MGI:1921263] MGCGLRKLEDPDESSPGKIFSTLKRPQVETKTEFAYEYALLDFTLQASTNPDVIKINSVL DIVAKVEDYYLKGYVVGAIHPVIQPVGQRKHLPASHLYRAVLSRLKLSPKHSAAGGQRRA RLVMEECPLTCEAQANDAAKELMDKINAAAKRGMKFVGLVSQCYLPSMHCNGASHDGVAE SGLHVRQDSQDNCKGWNEGALGGHLSESGVEEEPQHESGQHQTERNSSPSYANPKRGEAP DGKLYMVFNAFEEDAASWAYQEGVLSMKVTRKGAVISALDANWLELTTFYYKQGFSLIDS FVCWETPKVAKLEAFQLSVSDKLPKGKQKYSFQSLSGIPASGLA >ENSMUSP00000029090.2 pep:known chromosome:GRCm38:2:180710117:180718733:1 gene:ENSMUSG00000027573.11 transcript:ENSMUST00000029090.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gid8 description:GID complex subunit 8 [Source:MGI Symbol;Acc:MGI:1923675] MSYAEKPDEITKDEWMEKLNNLHVQRADMNRLIMNYLVTEGFKEAAEKFRMESGIEPSVD LETLDERIKIREMILKGQIQEAIALINSLHPELLDTNRYLYFHLQQQHLIELIRQRETEA ALEFAQTQLAEQGEESRECLTEMERTLALLAFDSPEESPFGDLLHMMQRQKVWSEVNQAV LDYENRESTPKLAKLLKLLLWAQNELDQKKVKYPKMTDLSKGVIEEPK >ENSMUSP00000077753.5 pep:known chromosome:GRCm38:2:180712546:180718730:1 gene:ENSMUSG00000027573.11 transcript:ENSMUST00000078687.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gid8 description:GID complex subunit 8 [Source:MGI Symbol;Acc:MGI:1923675] MSYAEKPDEITKDEWMEKLNNLHVQRADMNRLIMNYLVTEGFKEAAEKFRMESGIEPSVD LETLDERIKIREMILKGQIQEAIALINSLHPELLDTNRYLYFHLQQQHLIELIRQRETEA ALEFAQTQLAEQGEESRECLTEMERTLALLAFDSPEESPFGDLLHMMQRQKVWSEVNQAV LDYENRESTPKLAKLLKLLLWAQNELDQKKVKYPKMTDLSKGVIEEPK >ENSMUSP00000062565.1 pep:known chromosome:GRCm38:1:132506230:132508639:1 gene:ENSMUSG00000048174.2 transcript:ENSMUST00000058167.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem81 description:transmembrane protein 81 [Source:MGI Symbol;Acc:MGI:1921876] MKTGAIVFILRSLLSITYLPLVLMTLDIPEELQKAVGRVIVNATGCSVTCGLGYKEETEC EVGPDGVRRNCTFQRLECVTNWICGMLHFTIVHGKTFELNCLSSDILEKGQEAFRFTWRL ARGIISTNDELFRPFRANSPFIGFKPAYEYNAGTYRCDVQLLKNLKFVKRLYFGLRVLPP KLVNLNFQQSLTEDQKLIDKGWEVNLDNGSKPHLPVWQRKVTSALGIGIVAGVVGGVLVS VAVFKALGGTDGSGGRTRL >ENSMUSP00000139911.1 pep:known chromosome:GRCm38:1:132506889:132508639:1 gene:ENSMUSG00000048174.2 transcript:ENSMUST00000188789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem81 description:transmembrane protein 81 [Source:MGI Symbol;Acc:MGI:1921876] MKTGAIVFILRSLLSITYLPLVLMTLDIPEELQKAVGRVIVNATGCSVTCGLGYKEETEC EVGPDGVRRNCTFQRLECVTNWICGMLHFTIVHGKTFELNCLSSDILEKGQEAFRFTWRL ARGIISTNDELFRPFRANSPFIGFKPAYEYNAGTYRCDVQLLKNLKFVKRLYFGLRVLPP KLVNLNFQQSLTEDQKLIDKGWEVNLDNGSKPHLPVWQRKVTSALGIGIVAGVVGGVLVS VAVFKALGGTDGSGGRTRL >ENSMUSP00000035727.5 pep:known chromosome:GRCm38:1:40772038:40790663:-1 gene:ENSMUSG00000041945.12 transcript:ENSMUST00000039672.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd9 description:major facilitator superfamily domain containing 9 [Source:MGI Symbol;Acc:MGI:2443548] MALGVPGHGASRQDLASETPVTATAPSSAVSSRRFLLWLYLVGFLDLFGVSMVVPLLNLH VRSLGASPVVAGIVGSSYGVLQLFSSTFVGCWSDVVGRRSSLLVCILLSALGYLLLGMST NVFLFTLARVPVGVFKHTLSISRALLSDLVTEKERPLVLGQFNTASGVGFILGPVVGGYL TELDGGFYVTAFICCSVFLLNAGLVWLLPWRETSLNIPDNGWSLDGKHTTEPIKSEGTLQ GAATTSTARRSERTVQAPWVEVMLALKDMKNLIFSALWDVFLMRLLMGVAVMLYYSNFVL ALEERFEVRPKTTGYLISYTSALGTLAGFAVGPILRLYKHNSYMVLLHSSVLTCLLLVVY STTCSMAMVVFSSTLLSFSTTIGRTCITDLQLSVGGAQASGTVIGVGQSVTAVGRILAPL LSGVAQEISPCGPPSLGAALALVAILIMTLNRPPFSGDGSERLKQE >ENSMUSP00000137884.1 pep:known chromosome:GRCm38:1:40772041:40790687:-1 gene:ENSMUSG00000041945.12 transcript:ENSMUST00000131390.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd9 description:major facilitator superfamily domain containing 9 [Source:MGI Symbol;Acc:MGI:2443548] MALGVPGHGASRQDLASETPVTATAPSSAVSSRRFLLWLYLVGFLLQPGV >ENSMUSP00000101810.1 pep:known chromosome:GRCm38:4:123809914:123829904:1 gene:ENSMUSG00000043333.12 transcript:ENSMUST00000106204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdl2 description:rhomboid, veinlet-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:3608413] MAVAHEMEMESVNLNMEREGKEEPEEEKMKGNGEGKDFPRSRKVHRIVSKWMLPEPVRRT YLERANCLPPPLFIILISLAELAVFIYYAVWKPQKQWITLDTGILESPLTYCPEKREEAW RFISYMLVHAGVQHIVGNLLMQIVLGIPLEMVHKGLRVGLVYLAGVLAGSLASSIFDPLK SLVGASGGVYALMGGYFMNVIVNFREMIPAFGIVRLLVIILIVASDMGFALYRRFFVPAN GSPVSFAAHIAGGFAGMSIGYTVFSCFDKTLLKDPRFWIAIAAYVACLLFAVFFNIFLSP AN >ENSMUSP00000054546.5 pep:known chromosome:GRCm38:4:123787875:123829904:1 gene:ENSMUSG00000043333.12 transcript:ENSMUST00000053202.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdl2 description:rhomboid, veinlet-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:3608413] MAVAHEMEMESVNLNMEREGKEEPEEEKMKGNGEGKDFPRSRKVHRIVSKWMLPEPVRRT YLERANCLPPPLFIILISLAELAVFIYYAVWKPQKQWITLDTGILESPLTYCPEKREEAW RFISYMLVHAGVQHIVGNLLMQIVLGIPLEMVHKGLRVGLVYLAGVLAGSLASSIFDPLK SLVGASGGVYALMGGYFMNVIVNFREMIPAFGIVRLLVIILIVASDMGFALYRRFFVPAN GSPVSFAAHIAGGFAGMSIGYTVFSCFDKTLLKDPRFWIAIAAYVACLLFAVFFNIFLSP AN >ENSMUSP00000006462.7 pep:known chromosome:GRCm38:1:74279840:74284738:-1 gene:ENSMUSG00000006299.13 transcript:ENSMUST00000006462.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamp description:angio-associated migratory protein [Source:MGI Symbol;Acc:MGI:107809] MESESESGAAADTPPLETLSFHGDEEIIEVVELDPGPPDPDDLAQEMEDVDFEEEEEEEE GNDEGWVLEPQEGVVGSMEGPDDSEVTFALHSASVFCVSLDPKTNTLAVTGGEDDKAFVW RLGDGELLFECAGHKDSVTCAGFSHDSTLVATGDMSGLLKVWQVDTKEEVWSFEAGDLEW MEWHPRAPVLLAGTADGNTWMWKVPNGDCKTFQGPNCPATCGRVLPDGKRAVVGYEDGTI RIWDLKQGNPIHVLKGTEGHQGPLTCVATNQDGSLILTGSVDCQAKLVSATTGKVVGVFR PETVASQPSLGEGEESESNSVESLGFCSVMPLAAVGYLDGTLAIYDLSTQTLRHQCQHQS GIVQLLWEAGTAVVYTCSLDGVVRLWDARTGRLLTDYRGHTAEILDFALSKDASLVVTTS GDHKAKVFCVQRPDR >ENSMUSP00000136644.1 pep:known chromosome:GRCm38:1:74279846:74284680:-1 gene:ENSMUSG00000006299.13 transcript:ENSMUST00000178235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamp description:angio-associated migratory protein [Source:MGI Symbol;Acc:MGI:107809] MESESESGAAADTPPLETLSFHGDEEIIEVVELDPGPPDPADDLAQEMEDVDFEEEEEEE EGNDEGWVLEPQEGVVGSMEGPDDSEVTFALHSASVFCVSLDPKTNTLAVTGGEDDKAFV WRLGDGELLFECAGHKDSVTCAGFSHDSTLVATGDMSGLLKVWQVDTKEEVWSFEAGDLE WMEWHPRAPVLLAGTADGNTWMWKVPNGDCKTFQGPNCPATCGRVLPDGKRAVVGYEDGT IRIWDLKQGNPIHVLKGTEGHQGPLTCVATNQDGSLILTGSVDCQAKLVSATTGKVVGVF RPETVASQPSLGEGEESESNSVESLGFCSVMPLAAVGYLDGTLAIYDLSTQTLRHQCQHQ SGIVQLLWEAGTAVVYTCSLDGVVRLWDARTGRLLTDYRGHTAEILDFALSKDASLVVTT SGDHKAKVFCVQRPDR >ENSMUSP00000139411.1 pep:known chromosome:GRCm38:1:74280933:74283979:-1 gene:ENSMUSG00000006299.13 transcript:ENSMUST00000187046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamp description:angio-associated migratory protein [Source:MGI Symbol;Acc:MGI:107809] NDEGWVLEPQEGVVGSMEGPDDSEVTFALHSASVFCVSLDPKTNTLAVTGGEDDKAFVWR LGDGELLFECAGHKDSVTCAGFSHDSTLVATGDMSGLLKVWQVDTKEEVVGVFRPETVAS QPSLGEGEESESNSVESLGFCSVMPLAAVGYLDGTLAIYDLSTQTLRHQCQHQSG >ENSMUSP00000140427.1 pep:known chromosome:GRCm38:1:74283196:74284421:-1 gene:ENSMUSG00000006299.13 transcript:ENSMUST00000190008.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamp description:angio-associated migratory protein [Source:MGI Symbol;Acc:MGI:107809] MPSVLSSHTVAGQHQIFRPSLSPPPRPPSALFLLDDLAQEMEDVDFEEEEEEEEGNDEGW VLEPQEGVVGSMEGPDDSEVTFALHSASVFCV >ENSMUSP00000079628.4 pep:known chromosome:GRCm38:7:81342733:81345254:-1 gene:ENSMUSG00000061787.15 transcript:ENSMUST00000080813.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps17 description:ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1309526] MGRVRTKTVKKAARVIIEKYYTRLGNDFHTNKRVCEEIAIIPSKKLRNKIAGYVTHLMKR IQRGPVRGISIKLQEEERERRDNYVPEVSALDQEIIEVDPDTKEMLKLLDFGSLSNLQVT QPTVGMNFKTPRGAV >ENSMUSP00000146028.1 pep:known chromosome:GRCm38:7:79720218:79732787:-1 gene:ENSMUSG00000030546.14 transcript:ENSMUST00000205915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin1 description:perilipin 1 [Source:MGI Symbol;Acc:MGI:1890505] MSMNKGPTLLDGDLPEQENVLQRVLQLPVVSGTCECFQKTYNSTKEAHPLVASVCNAYEK GVQGASNLAAWSMEPVVRRLSTQFTAANELACRGLDHLEEKIPALQYPPEKIASELKGTI STRLRSARNSISVPIASTSDKVLGATLAGCELALGMAKETAEYAANTRVGRLASGGADLA LGSIEKVVEFLLPPDKESAPSSGRQRTQKAPKAKPSLVRRVSTLANTLSRHTMQTTAWAL KQGHSLAMWIPGVAPLSSLAQWGASAAMQVVSRRQSEVRVPWLHNLAASQDESHDDQTDT EGEETDDEEEEEESEAEENVLREVTALPNPRGLLGGVVHTVQNTLRNTISAVTWAPAAVL GTVGRILHLTPAQAVSSTKGRAMSLSDALKGVTDNVVDTVVHYVPLPRLSLMEPESEFRD IDNPSAEAERKGSGARPASPESTPRPGQPRGSLRSVRGLSAPSCPGLDDKTEASARPGFL AMPREKPARRVSDSFFRPSVMEPILGRAQYSQLRKKS >ENSMUSP00000136996.1 pep:known chromosome:GRCm38:7:79721164:79732171:-1 gene:ENSMUSG00000030546.14 transcript:ENSMUST00000178257.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin1 description:perilipin 1 [Source:MGI Symbol;Acc:MGI:1890505] MSMNKGPTLLDGDLPEQENVLQRVLQLPVVSGTCECFQKTYNSTKEAHPLVASVCNAYEK GVQGASNLAAWSMEPVVRRLSTQFTAANELACRGLDHLEEKIPALQYPPEKIASELKGTI STRLRSARNSISVPIASTSDKVLGATLAGCELALGMAKETAEYAANTRVGRLASGGADLA LGSIEKVVEFLLPPDKESAPSSGRQRTQKAPKAKPSLVRRVSTLANTLSRHTMQTTAWAL KQGHSLAMWIPGVAPLSSLAQWGASAAMQVVSRRQSEVRVPWLHNLAASQDESHDDQTDT EGEETDDEEEEEESEAEENVLREVTALPNPRGLLGGVVHTVQNTLRNTISAVTWAPAAVL GTVGRILHLTPAQAVSSTKGRAMSLSDALKGVTDNVVDTVVHYVPLPRLSLMEPESEFRD IDNPSAEAERKGSGARPASPESTPRPGQPRGSLRSVRGLSAPSCPGLDDKTEASARPGFL AMPREKPARRVSDSFFRPSVMEPILGRAQYSQLRKKS >ENSMUSP00000145653.1 pep:known chromosome:GRCm38:7:79722381:79723288:-1 gene:ENSMUSG00000030546.14 transcript:ENSMUST00000205553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin1 description:perilipin 1 [Source:MGI Symbol;Acc:MGI:1890505] XEESEAEENVLREVTALPNPRGLLGGVVHTVQNTLRNTISAVTWAPAAVLGTVGRILHLT PAQAVSSTKGRAMSLSDALKGVTDNVVDTVVHYVPVSPAPGPPSDSQGRFD >ENSMUSP00000146003.1 pep:known chromosome:GRCm38:7:79725083:79729865:-1 gene:ENSMUSG00000030546.14 transcript:ENSMUST00000206083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin1 description:perilipin 1 [Source:MGI Symbol;Acc:MGI:1890505] XLSTQFTAANELACRGLDHLEEKIPALQYPPEKIASELKGTISTRLRSARNSISVPIAST SDKVLGATLAGCELALGMAKETAEYAANTRVGRLASGGADLALGSIEKVVEFLLPPDKES APSSGRQRTQKAPKAKPSLVRRVSTLANTLSRHTMQTTAWALKQGHSLAMWIPGVAPLVS VCSEFPLALL >ENSMUSP00000146198.1 pep:known chromosome:GRCm38:7:79726504:79732835:-1 gene:ENSMUSG00000030546.14 transcript:ENSMUST00000205747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin1 description:perilipin 1 [Source:MGI Symbol;Acc:MGI:1890505] MSMNKGPTLLDGDLPEQENVLQRVLQLPVVSGTCECFQKTYNSTKEAHPLVASVCNAYEK GVQGASNLAAWSMEPVVRRLSTQFTAANELACRGLDHLEEKIPALQYPPEKIASELKGTI STRLRSARNSISVPIASTSDKVLGATLAGCELALGMAKETA >ENSMUSP00000145747.1 pep:known chromosome:GRCm38:7:79728983:79732903:-1 gene:ENSMUSG00000030546.14 transcript:ENSMUST00000205413.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin1 description:perilipin 1 [Source:MGI Symbol;Acc:MGI:1890505] MSMNKGPTLLDGDLPEQENVLQRVLQLPVVSGTCECFQKTYNSTKEAHPLVASVCNAYEK GVQGASNLAAWSMEPVVRRLSTQCESS >ENSMUSP00000032762.7 pep:known chromosome:GRCm38:7:79721164:79732776:-1 gene:ENSMUSG00000030546.14 transcript:ENSMUST00000032762.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin1 description:perilipin 1 [Source:MGI Symbol;Acc:MGI:1890505] MSMNKGPTLLDGDLPEQENVLQRVLQLPVVSGTCECFQKTYNSTKEAHPLVASVCNAYEK GVQGASNLAAWSMEPVVRRLSTQFTAANELACRGLDHLEEKIPALQYPPEKIASELKGTI STRLRSARNSISVPIASTSDKVLGATLAGCELALGMAKETAEYAANTRVGRLASGGADLA LGSIEKVVEFLLPPDKESAPSSGRQRTQKAPKAKPSLVRRVSTLANTLSRHTMQTTAWAL KQGHSLAMWIPGVAPLSSLAQWGASAAMQVVSRRQSEVRVPWLHNLAASQDESHDDQTDT EGEETDDEEEEEESEAEENVLREVTALPNPRGLLGGVVHTVQNTLRNTISAVTWAPAAVL GTVGRILHLTPAQAVSSTKGRAMSLSDALKGVTDNVVDTVVHYVPLPRLSLMEPESEFRD IDNPSAEAERKGSGARPASPESTPRPGQPRGSLRSVRGLSAPSCPGLDDKTEASARPGFL AMPREKPARRVSDSFFRPSVMEPILGRAQYSQLRKKS >ENSMUSP00000099539.3 pep:known chromosome:GRCm38:4:141814962:141826160:-1 gene:ENSMUSG00000058579.5 transcript:ENSMUST00000102481.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cela2a description:chymotrypsin-like elastase family, member 2A [Source:MGI Symbol;Acc:MGI:95316] MIRTLLLSALVAGALSCGYPTYEVEDDVSRVVGGQEATPNTWPWQVSLQVLSSGRWRHNC GGSLVANNWVLTAAHCLSNYQTYRVLLGAHSLSNPGAGSAAVQVSKLVVHQRWNSQNVGN GYDIALIKLASPVTLSKNIQTACLPPAGTILPRNYVCYVTGWGLLQTNGNSPDTLRQGRL LVVDYATCSSASWWGSSVKSSMVCAGGDGVTSSCNGDSGGPLNCRASNGQWQVHGIVSFG SSLGCNYPRKPSVFTRVSNYIDWINSVMARN >ENSMUSP00000115997.1 pep:known chromosome:GRCm38:10:4482502:4562231:1 gene:ENSMUSG00000019767.12 transcript:ENSMUST00000138112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc170 description:coiled-coil domain containing 170 [Source:MGI Symbol;Acc:MGI:2685067] MSHSSSLGSGSPECPCNSSPPEGTASHRPEVPDTWEQLNHYRNVTENVQSELAAMLVKFE CAQSELRELRSKMLSKEACCQELKVEMESYKESSARKSALLTSLRGRMEELQEESAAVSA SKSRAEASVHAMMKENQELMKKVLELDEKLQNCSKENEESKKQGSEHLRRHEEFRAQLHD CLSLDKKHEEASDEDLILKLRELYKEPTFLKGQLATLEETVTVHEMEAKANRETIRRLAA EVSREQTKAASWAEEKDKLNQDLLSAVEGRTALEREVKLYQERLLASQRAWDASKQELTQ LRKRCQELDVSLKASREATTASQSRNSCFREKLTALLCSRFDTAESTEDAILERIRELGI EEESQRRMASQLEAQISEFVGKLGNESESHQKALQRAHVAEKKLEALQSQLSHLEGELLS GDVVRDNLFLEKQKYLKFLDQLTEKMKLGHVAADLGFDVCLDVVLARIEQLVRLESNAVI ENKTMAHNLQRKLKTQKERLESKEVHMNLLRQKIAQLQQEKEARSALAVERDEAHLAMRK LEKKLERMQKELSACQEHNTELKAKLADTNELKIKTLEQTKAIEDLNQSRDELEKMKENA EKKLMLVMSELENTEQEARAHQERSRAMIELASLELKTLRKSLDEAERRGMQLADFREVV SSMLGLNMTSHDPPDYEIIKCLERLLHSHRHHHHHHFVPCACLQDMAAEQGSCSHCRVEI PH >ENSMUSP00000122673.1 pep:known chromosome:GRCm38:10:4511543:4514312:1 gene:ENSMUSG00000019767.12 transcript:ENSMUST00000145465.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc170 description:coiled-coil domain containing 170 [Source:MGI Symbol;Acc:MGI:2685067] MLVKFECAQSELRELRSKMLSKEACCQELKVEMESYKESSARKSALLTSLRGRMEELQEE SAAVSASKSRAEASVHAMMKENQELMKKVLELDEKL >ENSMUSP00000019901.5 pep:known chromosome:GRCm38:10:4509872:4561111:1 gene:ENSMUSG00000019767.12 transcript:ENSMUST00000019901.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc170 description:coiled-coil domain containing 170 [Source:MGI Symbol;Acc:MGI:2685067] MSLCTCGKSCQGVASEGTASHRPEVPDTWEQLNHYRNVTENVQSELAAMLVKFECAQSEL RELRSKMLSKEACCQELKVEMESYKESSARKSALLTSLRGRMEELQEESAAVSASKSRAE ASVHAMMKENQELMKKVLELDEKLQNCSKENEESKKQGSEHLRRHEEFRAQLHDCLSLDK KHEEASDEDLILKLRELYKEPTFLKGQLATLEETVTVHEMEAKANRETIRRLAAEVSREQ TKAASWAEEKDKLNQDLLSAVEGRTALEREVKLYQERLLASQRAWDASKQELTQLRKRCQ ELDVSLKASREATTASQSRNSCFREKLTALLCSRFDTAESTEDAILERIRELGIEEESQR RMASQLEAQISEFVGKLGNESESHQKALQRAHVAEKKLEALQSQLSHLEGELLSGDVVRD NLFLEKQKYLKFLDQLTEKMKLGHVAADLGFDVCLDVVLARIEQLVRLESNAVIENKTMA HNLQRKLKTQKERLESKEVHMNLLRQKIAQLQQEKEARSALAVERDEAHLAMRKLEKKLE RMQKELSACQEHNTELKAKLADTNELKIKTLEQTKAIEDLNQSRDELEKMKENAEKKLML VMSELENTEQEARAHQERSRAMIELASLELKTLRKSLDEAERRGMQLADFREVVSSMLGL NMTSHDPPDYEIIKCLERLLHSHRHHHHHHFVPCACLQDMAAEQGSCSHCRVEIPH >ENSMUSP00000031286.6 pep:known chromosome:GRCm38:5:112255815:112269585:1 gene:ENSMUSG00000029343.17 transcript:ENSMUST00000031286.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb1 description:crystallin, beta B1 [Source:MGI Symbol;Acc:MGI:104992] MSQAAKASATTAVNPGPDGKGKGAPSTGPAPAPGPTPVPASVPRPAAKVGDLPPGSYRLI VFEQENFQGRRVEFSGECLNLGDRGFDRVRSLIVVSGPWVAFEQSAFRGEMFVLEKGEYP RWDTWTSSYRSDRLMSFRPIRMDSQEHKICLFEGANFKGNTMEIQEDDVPSLWVYGFCDR VGSITVSGGTWVGYQYPGYRGYQYLLEPGDFRHWNEWGAFQPQMQAVRRLRDRQWHQEGC FPVLTAEPPK >ENSMUSP00000118399.1 pep:known chromosome:GRCm38:5:112255845:112269457:1 gene:ENSMUSG00000029343.17 transcript:ENSMUST00000131673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb1 description:crystallin, beta B1 [Source:MGI Symbol;Acc:MGI:104992] MSQAAKASATTAVNPGPDGKGKGAPSTGPAPAPGPTPVPASVPRPAAKVGDLPPGSYRLI VFEQENFQGRRVEFSGECLNLGDRGFDRVRSLIVVSGPWVAFEQSAFRGEMFVLEKGEYP RWDTWTSSYRSDRLMSFRPIRMDSQEHKICLFEGANFKGNTMEIQEDDVPSLWVYGFCDR VGSITVSGGTWVGYQYPGYRGYQYLLEPGDFRHWNEWGAFQPQMQAVRRLRDRQWH >ENSMUSP00000107994.1 pep:known chromosome:GRCm38:5:112255874:112269502:1 gene:ENSMUSG00000029343.17 transcript:ENSMUST00000112375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crybb1 description:crystallin, beta B1 [Source:MGI Symbol;Acc:MGI:104992] MSQAAKASATTAVNPGPDGKGKGAPSTGPAPAPGPTPVPASVPRPAAKVGDLPPGSYRLI VFEQENFQGRRVEFSGECLNLGDRGFDRVRSLIVVSGPWVAFEQSAFRGEMFVLEKGEYP RWDTWTSSYRSDRLMSFRPIRMDSQEHKICLFEGANFKGNTMEIQEDDVPSLWVYGFCDR VGSITVSGGTWVGYQYPGYRGYQYLLEPGDFRHWNEWGAFQPQMQAVRRLRDRQWHQEGC FPVLTAEPPK >ENSMUSP00000041652.5 pep:known chromosome:GRCm38:5:146832890:146837032:1 gene:ENSMUSG00000041453.12 transcript:ENSMUST00000035983.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl21 description:ribosomal protein L21 [Source:MGI Symbol;Acc:MGI:1278340] MTNTKGKRRGTRYMFSRPFRKHGVVPLATYMRIYKKGDIVDIKGMGTVQKGMPHKCYHGK TGRVYNVTQHAVGIIVNKQVKGKILAKRINVRIEHIKHSKSRDSFLKRVKENDQKKKEAK EKGTWVQLKRQPAPPREAHFVRTNGKEPELLEPIPYEFMA >ENSMUSP00000106213.1 pep:known chromosome:GRCm38:5:146833195:146835985:1 gene:ENSMUSG00000041453.12 transcript:ENSMUST00000075453.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl21 description:ribosomal protein L21 [Source:MGI Symbol;Acc:MGI:1278340] MTNTKGKRRGTRYMFSRPFRKHGVVPLATYMRIYKKGDIVDIKGMGTVQKGMPHKCYHGK TGRVYNVTQHAVGIIVNKQVKGKILAKRINVRIEHIKHSKSRDSFLKRVKENDQKKKEAK EKGTWVQLKRQPAPPREAHFVRTNGKEPELLEPIPYEFMA >ENSMUSP00000106211.1 pep:known chromosome:GRCm38:5:146833202:146835986:1 gene:ENSMUSG00000041453.12 transcript:ENSMUST00000099272.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl21 description:ribosomal protein L21 [Source:MGI Symbol;Acc:MGI:1278340] MTNTKGKRRGTRYMFSRPFRKHGVVPLATYMRIYKKGDIVDIKGMGTVQKGMPHKCYHGK TGRVYNVTQHAVGIIVNKQVKGKILAKRINVRIEHIKHSKSRDSFLKRVKENDQKKKEAK EKGTWVQLKRQPAPPREAHFVRTNGKEPELLEPIPYEFMA >ENSMUSP00000033497.2 pep:known chromosome:GRCm38:X:7894519:7899269:-1 gene:ENSMUSG00000031157.10 transcript:ENSMUST00000033497.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqbp1 description:polyglutamine binding protein 1 [Source:MGI Symbol;Acc:MGI:1859638] MPLPVALQTRLAKRGILKHLEPEPEEEIIAEDYDDDPVDYEATRIEGLPPSWYKVFDPSC GLPYYWNVETDLVSWLSPHDPNFVVTKSAKKVRNNNADAEDKSDRNLEKVDRNHEKSDRS HEKPDRSHEKADRNHEKNDRERERNYDKVDRERDRDRERERAFDKADREEGKDRRHHRRE ELAPYPKNKKATSRKDEELDPMDPSSYSDAPRGTWSTGLPKRNEAKTGADTTAAGPLFQQ RPYPSPGAVLRANAEASRTKQQD >ENSMUSP00000111319.1 pep:known chromosome:GRCm38:X:7894583:7898979:-1 gene:ENSMUSG00000031157.10 transcript:ENSMUST00000115655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqbp1 description:polyglutamine binding protein 1 [Source:MGI Symbol;Acc:MGI:1859638] MPLPVALQTRLAKRGILKHLEPEPEEEIIAEDYDDDPVDYEATRIEGLPPSWYKVFDPSC GLPYYWNVETDLVSWLSPHDPNFVVTKSAKKVRNNNADAEDKSDRNLEKVDRNHEKSDRS HEKPDRSHEKADRNHEKNDRERERNYDKVDRERDRDRERERAFDKADREEGKDRRHHRRE ELAPYPKNKKATSRKDEELDPMDPSSYSDAPRGTWSTGLPKRNEAKTGADTTAAGPLFQQ RPYPSPGAVLRANAEASRTKQQD >ENSMUSP00000111318.1 pep:known chromosome:GRCm38:X:7894711:7899199:-1 gene:ENSMUSG00000031157.10 transcript:ENSMUST00000115654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqbp1 description:polyglutamine binding protein 1 [Source:MGI Symbol;Acc:MGI:1859638] MPLPVALQTRLAKRGILKHLEPEPEEEIIAEDYDDDPVDYEATRIEGLPPSWYKVFDPSC GLPYYWNVETDLVSWLSPHDPNFVVTKSAKKVRNNNADAEDKSDRNLEKVDRNHEKSDRS HEKPDRSHEKADRNHEKNDRERERNYDKVDRERDRDRERERAFDKADREEGKDRRHHRRE ELAPYPKNKKATSRKDEELDPMDPSSYSDAPRGTWSTGLPKRNEAKTGADTTAAGPLFQQ RPYPSPGAVLRANAEASRTKQQD >ENSMUSP00000123657.1 pep:known chromosome:GRCm38:X:7894794:7899000:-1 gene:ENSMUSG00000031157.10 transcript:ENSMUST00000156741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqbp1 description:polyglutamine binding protein 1 [Source:MGI Symbol;Acc:MGI:1859638] MPLPVALQTRLAKRGILKHLEPEPEEEIIAEDYDDDPVDYEATRIEGLPPSWYKVFDPSC GLPYYWNVETDLVSWLSPHDPNFVVTKSAKKVRNNNADAEDKSDRNLEKVDRNHEKSDRS HEKPDRSHEKADRNHEKNDRERERNYDKVDRERDRDRERERAFDKADREEGKDRRHHRRE ELAPYPKNKKATSRKDEELDPMDPSSYSDAPRGTWSTGLPKRNEAKTGADTTAAGP >ENSMUSP00000114924.1 pep:known chromosome:GRCm38:X:7895875:7898896:-1 gene:ENSMUSG00000031157.10 transcript:ENSMUST00000154552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqbp1 description:polyglutamine binding protein 1 [Source:MGI Symbol;Acc:MGI:1859638] MPLPVALQTRLAKRGILKHLEPEPEEEIIAEDYDDDPVDYEATRIEGLPPSWYKVFDPSC GLPYYWNVETDLVSWLSPHDPNFVVTKSAKKVRNNNADAE >ENSMUSP00000097433.1 pep:known chromosome:GRCm38:2:87753056:87753991:-1 gene:ENSMUSG00000075148.1 transcript:ENSMUST00000099846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1141 description:olfactory receptor 1141 [Source:MGI Symbol;Acc:MGI:3030975] MDKENCSSLPELLLLEITNNPDMKVLILTVFLAINLIVLIINIGMIIFIKMNPQLQTPMY FFLSHLFFSDLSYSSAIGSKMLIDIFSKYKTIPLLFVPFDSFFVCIFIDVECVLPAVMAF DQYKAISHPVIYAIDMSNRVCYQFLAGVYLVGMTDALIHRTLTFCLCFCESHEINHFFCD IPPILLLSCLNTQFNELMIFTIFGFIQLSTISEVLVSYCSIILSVLKIHSAKGRFKAFST CTLHLTAVAIFQGTLLFTYFQPSTSYSLDQDKMTSLFYTLMIPMLNPLIYSLRYKDVKET LQNLENKRWCK >ENSMUSP00000091771.3 pep:known chromosome:GRCm38:2:180725263:180742280:1 gene:ENSMUSG00000023393.15 transcript:ENSMUST00000094218.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a9 description:solute carrier family 17, member 9 [Source:MGI Symbol;Acc:MGI:1919107] MPSQRSSLMQPIPEETRKTPSAAAEDTRWSRPECQAWTGILLLGTCLLYCARVTMPVCTV AMSQDFGWNKKEAGIVLSSFFWGYCLTQVVGGHLGDRIGGEKVILLSASAWGFITVTTPL LAHLGSGHLAFLTFSRILTGLLQGVYFPALTSLLSQKVQESERAFTYSTVGAGSQVGTLV TGGVGSVLLDQCGWQSVFYFSGGLTLLWAYYVYRYLLNEKDLVLALGFLAQGLPVTKPSK VPWRQLFRKASVWAAICSQLCSACSFFILLSWLPTFFKETFPNSKGWVFNVVPWMLAIPA SLFSGFISDRLISQGYRVITVRKFMQVMGLGLSSIFALCLGHTTSFLKAMIFASASIGFQ TFNHSGISVNIQDLAPSCAGFLFGVANTAGALAGVVGVCLSGYLIETTGSWTCVFHLVAI ISNLGLGTFLVFGKAQRVDLVPTHEDL >ENSMUSP00000049252.1 pep:known chromosome:GRCm38:8:92855350:92919279:1 gene:ENSMUSG00000033192.4 transcript:ENSMUST00000046290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpcat2 description:lysophosphatidylcholine acyltransferase 2 [Source:MGI Symbol;Acc:MGI:3606214] MNRCAEAAAVAATVPGSGVGDAGLRPPMVPRQASFFPPPVPNPFVQQTTISASRRLQMFL LGIILLPVRALLVGIILLLAWPFAVISTACCPEKLTHPISNWRRKITRPALTFLARAMFF SMGFTVTVKGKVASPLEAPIFVVAPHSTFFDGIACVVAGLPSLVSRNENAQTPLVGRLLR ALQPVLVSRVDPDSRKNTINEIKKRATSGGEWPQILVFPEGTCTNRSCLITFKPGAFIPG VPVQPVLLRYPNKLDTVTWTWQGYTFLQLCVLTFCQLFTKVEIEFMPVQAPSEEEKNDPV LFASRIRNLMAEALEIPVTDHTYEDCRLMISAGQLTLPMEAGLVEFSKISRKLKLDWDGI RKHLDEYASIASSSKGGRIGIEEFAEYLKLPVSDVLRQLFALFDRNNDGSIDFREYVIGL AVLCNPANTEEIIQVAFKLFDVDEDGYITEEEFCTILQASLGVPDLNVSGLFREIAQRDS VSYEEFKSFALKHPEYAKIFTTYLDLQTCHVFSLPEEVQTAPSVASNKVSPESQEEGTSD KKVD >ENSMUSP00000137903.1 pep:known chromosome:GRCm38:13:67813740:67827002:1 gene:ENSMUSG00000030446.17 transcript:ENSMUST00000181391.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp273 description:zinc finger protein 273 [Source:MGI Symbol;Acc:MGI:3036278] MEDPLSFTDVSIDFSADECECLDSAQWNLYKEVMLENYSNLVFLGLAFSKPYLVTFLEQS SDPWSMKRKESAAIHLGSKPHKCKECGKAFDRNSVLIQHQRIHTGERPYKCEECGKSFNY SSSLKQHQRIHTGEKPYKCEVCGKAFNCSSYLGKHQRIHTGEKRYRCEECGKPFTNCSGL IVHRRVHTGEKPYKCEECGKAFSVRTTLSKHQRIHTGEKPYKCDECGKTFNVHSTLSKHQ RIHTGEKPYKCEECGMAFNVRCILSKHQRTHTGEKPYKCKECGKAFNCSSSLHQHQQIHR GEKLYKCDDCGQAFSCSSYLYKHRRIHTGMKPYKCKECGKAFYCSVNLIYHQRIHTGEKP YKCNECGKAFSICSTFLKHQRIHSGEKPYKCKECEKAFNNCYNLIQHQRIHTGEKPYKCK DCGKAFNYTSSLAQHERIHTGEKPYKCEECGKAFNSSSNLKHHWRLHTGEKPYKCEQCGK AFKNCSGFTRHYRIHTRENSD >ENSMUSP00000012725.7 pep:known chromosome:GRCm38:13:67813816:67826999:1 gene:ENSMUSG00000030446.17 transcript:ENSMUST00000012725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp273 description:zinc finger protein 273 [Source:MGI Symbol;Acc:MGI:3036278] MLENYSNLVFLGLAFSKPYLVTFLEQSSDPWSMKRKESAAIHLGSKPHKCKECGKAFDRN SVLIQHQRIHTGERPYKCEECGKSFNYSSSLKQHQRIHTGEKPYKCEVCGKAFNCSSYLG KHQRIHTGEKRYRCEECGKPFTNCSGLIVHRRVHTGEKPYKCEECGKAFSVRTTLSKHQR IHTGEKPYKCDECGKTFNVHSTLSKHQRIHTGEKPYKCEECGMAFNVRCILSKHQRTHTG EKPYKCKECGKAFNCSSSLHQHQQIHRGEKLYKCDDCGQAFSCSSYLYKHRRIHTGMKPY KCKECGKAFYCSVNLIYHQRIHTGEKPYKCNECGKAFSICSTFLKHQRIHSGEKPYKCKE CEKAFNNCYNLIQHQRIHTGEKPYKCKDCGKAFNYTSSLAQHERIHTGEKPYKCEECGKA FNSSSNLKHHWRLHTGEKPYKCEQCGKAFKNCSGFTRHYRIHTRENSD >ENSMUSP00000100845.1 pep:known chromosome:GRCm38:2:87782542:87783486:1 gene:ENSMUSG00000068816.2 transcript:ENSMUST00000105210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr152 description:olfactory receptor 152 [Source:MGI Symbol;Acc:MGI:1313139] MEFTSGNYTLVTEFILLGFPTRPELQIILFLVFLTLYGMILIGNIGLMLLIRMDPHLQTP MYFFLSNLSFVDLCYSSVIVPNMLVNFLSAKKSISYLGCALQFYFFCTFADTESFILAAM AYDRYVAICNPLLYTVAMSRSLCIWLIVLSYVGGNMSSLVHTSFAFILKYCDKNIINHFF CDLPPLLKLSCTDTSINEWLLSTYGSSVEIICFFIIIISYFFILLSVLKIRSTSGRKKTF STCASHLTSVAIYQGTLLFIYSRPSSLYSPNTDKIISVFYTIIIPVLNPLIYSLRNKDVK DAAKKALRSKIQSP >ENSMUSP00000088211.1 pep:known chromosome:GRCm38:2:87782536:87783486:1 gene:ENSMUSG00000068816.2 transcript:ENSMUST00000090709.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr152 description:olfactory receptor 152 [Source:MGI Symbol;Acc:MGI:1313139] MIMEFTSGNYTLVTEFILLGFPTRPELQIILFLVFLTLYGMILIGNIGLMLLIRMDPHLQ TPMYFFLSNLSFVDLCYSSVIVPNMLVNFLSAKKSISYLGCALQFYFFCTFADTESFILA AMAYDRYVAICNPLLYTVAMSRSLCIWLIVLSYVGGNMSSLVHTSFAFILKYCDKNIINH FFCDLPPLLKLSCTDTSINEWLLSTYGSSVEIICFFIIIISYFFILLSVLKIRSTSGRKK TFSTCASHLTSVAIYQGTLLFIYSRPSSLYSPNTDKIISVFYTIIIPVLNPLIYSLRNKD VKDAAKKALRSKIQSP >ENSMUSP00000040568.5 pep:known chromosome:GRCm38:7:128237357:128245100:1 gene:ENSMUSG00000042178.6 transcript:ENSMUST00000044660.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc5 description:armadillo repeat containing 5 [Source:MGI Symbol;Acc:MGI:2384586] MAAARPALTDSLSFCLAQLTAAAGEGPGGGKDPATNETPLGRALLALRTRHIKAAEGIER FRARGGLRPLLALLRRTAAAGPAPSQAASGSAPSSVASAGSTPGHAPAAESLLTPSLPMR LRKTLDLALSILANCCTEGACRAEVRRLGGILPLVTILQCVKTDSIQNRTARALGNLAME PESCRDIHSAGAVPFLVESLTACQDSQCLQSIVRALRNLADSPQHRLALAQQGAVRPLAE LLATAPDPALTAALVRALLELSRGCSRACAEQLSLGGALGPLVSLASHPKRAIREAAILI LANLCAQGLVRPALGNAGGVEVLLGELRRRRSPGGSSSATQQPLVRAVCLLCREAINRAR LRDAGGLELLMGLLQDPGASAWHPRVVAALVGFLYDTGALGKLQALGLVPLLARQLCGEA GEEEEEGIEAASWDFPEERTSGQAEGGSFRSLRLWLISEGYAAGPGDISPDWSPERCPMP EPSESVSPTPGQTSMSTPRTLRKPGRIPAATPEEPWGQEGPALLLLSRFSQAPDPSGALV TGPALCGLLAYVTGAPGPPNPRALRILARLTCNPACLEAFVRTYGAALLRAWLVLGVSPD DWPVPHARPVHRSQHRELGEMLLQNLTVQAESPFGVGALTHLLLSGSPEDRVACALTLPF ICRKPTLWRRLLLDQGGLRLLLTALTQPAPHPLFLFFAADSLSCLQGLVSPTASPVPLPA LPLELDSPPPCLYEPLLGPAPAPAPDLHFVLDSGLQLPAQRAASAAASPFFRALLSGSFA EAQMDLVPLRGLSPGAAWPVLHHLHGCRGCGAALGPVPPPGQPLLGSKAEEALEAAGRFL LPALEEELEEAVGRIHLSPRGGPESVGEVFRLGRPRLAAHCARWTLEPGQCPRKRALALT GLVEAAGEEAGPLTEALLAVVMGIES >ENSMUSP00000120029.1 pep:known chromosome:GRCm38:7:4481520:4501361:-1 gene:ENSMUSG00000019254.16 transcript:ENSMUST00000124248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r12c description:protein phosphatase 1, regulatory (inhibitor) subunit 12C [Source:MGI Symbol;Acc:MGI:1924258] PGSGAASDPAVPPPARAVLDSTNADGISALHQACIDENLEVVRFLVEQGATVNQADNEGW TPLHVAASCGYLDIARYLLSHGANIAAVNSDGDLPLDLAESDAMEGLLKAEITRRGVDVE AAKRAEEELLLHDTRCWLNGGAMPEARHPRTGASALHVAAAKGYIEVMRLLLQAGYDTEL RDGDGWTPLHAAAHWGVEDACRLLAEHGGGMDSLTHAGQRPCDLADEDVMNLLEELAQKQ EDLRNQKEGSQGRGQESQVPSSSKHRRSSVCRLSSREKISLQDLSKERRPGGAGGPPIGD EDEGGEASAEHPAVEPRALNGVSSPVSSNPKSPVLPEEAPFSRRFGLQKTGSTGALGPSE RRATEGVLGLQRSASSSLLEKASTQAREPRLARITPTPAQKVPEPFTLYEAATPPSLDHS VPPSRREPGSIVKPNVLTASAAPLADSRDRRRSYQMPVRDEESESQRKARSRLMRQSRRS TQGVTLTDLKEAEKVAGKVPEPEQPALPSLDPSRRPRVPGVENAEGPAQRAEAPEGQGQG PQAAREHRKAGHERRGPAEGEEAGPAERSPECSTVDGGSQVRRQHSQRDLVLESKQEHEE PDGGFRKMYTELRRENERLREALTETTLRLAQLKVELERATQRQERFAERPALLELERFE RRALERKAAELEEELKALSDLRADNQRLKDENAALIRVISKLSK >ENSMUSP00000013886.8 pep:known chromosome:GRCm38:7:4481520:4501680:-1 gene:ENSMUSG00000019254.16 transcript:ENSMUST00000013886.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r12c description:protein phosphatase 1, regulatory (inhibitor) subunit 12C [Source:MGI Symbol;Acc:MGI:1924258] MSGEDGPAAGPGAAAAAAAARERRQEQLRQWGARAGADPGPGERRARTVRFERAAEFLAA CAGGDLDEARLMLRAADPGPGSGAASDPAVPPPARAVLDSTNADGISALHQACIDENLEV VRFLVEQGATVNQADNEGWTPLHVAASCGYLDIARYLLSHGANIAAVNSDGDLPLDLAES DAMEGLLKAEITRRGVDVEAAKRAEEELLLHDTRCWLNGGAMPEARHPRTGASALHVAAA KGYIEVMRLLLQAGYDTELRDGDGWTPLHAAAHWGVEDACRLLAEHGGGMDSLTHAGQRP CDLADEDVMNLLEELAQKQEDLRNQKEGSQGRGQESQVPSSSKHRRSSVCRLSSREKISL QDLSKERRPGGAGGPPIGDEDEGGEASAEHPAVEPRALNGVSSPVSSNPKSPVLPEEAPF SRRFGLQKTGSTGALGPSERRATEGVLGLQRSASSSLLEKASTQAREPRLARITPTPAQK VPEPFTLYEAATPPSLDHSVPPSRREPGSIVKPNVLTASAAPLADSRDRRRSYQMPVRDE ESESQRKARSRLMRQSRRSTQGVTLTDLKEAEKVAGKVPEPEQPALPSLDPSRRPRVPGV ENAEGPAQREAPEGQGQGPQAAREHRKAGHERRGPAEGEEAGPAERSPECSTVDGGSQVR RQHSQRDLVLESKQEHEEPDGGFRKMYTELRRENERLREALTETTLRLAQLKVELERATQ RQERFAERPALLELERFERRALERKAAELEEELKALSDLRADNQRLKDENAALIRVISKL SK >ENSMUSP00000146089.1 pep:known chromosome:GRCm38:7:4489811:4501529:-1 gene:ENSMUSG00000019254.16 transcript:ENSMUST00000125220.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1r12c description:protein phosphatase 1, regulatory (inhibitor) subunit 12C [Source:MGI Symbol;Acc:MGI:1924258] RQEQLRQWGARAGADPGPGERRARTVRFERAAEFLAACAGGDLDEARLMLRAADPGPGSG AASDPAVPPPARAVLDSTNADGISALHQVPPEPWGQHCCCQQ >ENSMUSP00000099974.3 pep:known chromosome:GRCm38:11:6635482:6638637:-1 gene:ENSMUSG00000000381.10 transcript:ENSMUST00000102910.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wap description:whey acidic protein [Source:MGI Symbol;Acc:MGI:98943] MRCLISLVLGLLALEVALAQNLEEQVFNSVQSMFPKASPIEGTECIICQTNEECAQNAMC CPGSCGRTRKTPVNIGVPKAGFCPWNLLQMISSTGPCPMKIECSSDRECSGNMKCCNVDC VMTCTPPVPVITLQ >ENSMUSP00000075802.1 pep:known chromosome:GRCm38:11:99609794:99610189:-1 gene:ENSMUSG00000058725.1 transcript:ENSMUST00000076478.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11937 description:predicted gene 11937 [Source:MGI Symbol;Acc:MGI:3651231] MTGSCCGSFSSQSCGGGCCQPCCCRDPCCCRPVSCQTTVCRPVTCVPHCTRPICEPCRRP ICCDPCSLQQGCCRPITCCPTSCTAVVCRPCCWASTCCQPISVQAPCCRPPCCQPAPCRT TCRTSPCNTCC >ENSMUSP00000022522.8 pep:known chromosome:GRCm38:14:63492347:63509092:-1 gene:ENSMUSG00000021953.14 transcript:ENSMUST00000022522.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdh description:L-threonine dehydrogenase [Source:MGI Symbol;Acc:MGI:1926231] MLFLGMLKQVVNGTAQSKASSCRKLVLPLKFLGTSQHRIPADANFHSTSISEAEPPRVLI TGGLGQLGVGLANLLRKRFGKDNVILSDIRKPPAHVFHSGPFVYANILDYKSLREIVVNH RISWLFHYSALLSAVGEANVSLARDVNITGLHNVLDVAAEYNVRLFVPSTIGAFGPTSPR NPAPDLCIQRPRTIYGVSKVHTELMGEYYYYRYGLDFRCLRYPGIISADSQPGGGTTDYA VQIFHAAAKNGTFECNLEAGTRLPMMYISDCLRATLEVMEAPAERLSMRTYNISAMSFTP EELAQALRKHAPDFQITYCVDPLRQAIAESWPMILDDSNARKDWGWKHDFDLPELVATML NFHGVSTRVAQVN >ENSMUSP00000132119.1 pep:known chromosome:GRCm38:14:63492652:63500259:-1 gene:ENSMUSG00000021953.14 transcript:ENSMUST00000168669.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tdh description:L-threonine dehydrogenase [Source:MGI Symbol;Acc:MGI:1926231] QSKASSCRKLVLPLKFLGTSQHRIPADANFHSTSISEAEPPRVLITGGLGQLGVGLANLL RKRFGKDNVILSDIRKPPAHVFHSGPFVYANILDYKSLREIVVNHRISWLFHYSALLSAV GEANVSLARDVNITGLHNVLDVAAEYNVRLFVPSTIGAFGPTSPRNPAPDLCIQRPRTIY GVSKVHTELMGETTPSRFSTLQQRTAHSSATSRLAPGSQ >ENSMUSP00000129206.1 pep:known chromosome:GRCm38:14:63492707:63500259:-1 gene:ENSMUSG00000021953.14 transcript:ENSMUST00000165776.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tdh description:L-threonine dehydrogenase [Source:MGI Symbol;Acc:MGI:1926231] QSKASSCRKLVLPLKFLGTSQHRIPADANFHSTSISEAEPPRVLITGGLGQLGVGLANLL RKRFGKDNVILSDIRKPPAHVFHSGLHNVLDVAAEYNVRLFVPSTIGAFGPTSPRNPAPD LCIQRPRTIYGVSKVHTELMGEIFGACVILESFLQIPSLEEEQLTTPSRFSTLQQRTAHS SATSRLAPGSQ >ENSMUSP00000131068.1 pep:known chromosome:GRCm38:14:63492707:63500259:-1 gene:ENSMUSG00000021953.14 transcript:ENSMUST00000168488.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tdh description:L-threonine dehydrogenase [Source:MGI Symbol;Acc:MGI:1926231] QSKASSCRKLVLPLKFLGTSQHRIPADANFHSTSISEAEPPRVLITGGLGQLGVGLANLL RKRFGKDNVILSDIRKPPAHVFHSGLHNVLDVAAEYNVRLFVPSTIGAFGPTSPRNPAPD LCIQRPRTIYGVSKVHTELMGETTPSRFSTLQQRTAHSSATSRLAPGSQ >ENSMUSP00000029482.8 pep:known chromosome:GRCm38:3:108678638:108722309:-1 gene:ENSMUSG00000027883.15 transcript:ENSMUST00000029482.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpsm2 description:G-protein signalling modulator 2 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:1923373] MEGNLISMREDHSFHVRYRMEASCLELALEGERLCKSGDCRAGVSFFEAAVQVGTEDLKT LSAIYSQLGNAYFYLHDYAKALEYHHHDLTLARTIGDQLGEAKASGNLGNTLKVLGNFDE AIVCCQRHLDISRELNDKVGEARALYNLGNVYHAKGKSFGCPGPQDTGEFPEDVRNALQA AVDLYEENLSLVTALGDRAAQGRAFGNLGNTHYLLGNFRDAVIAHEQRLLIAKEFGDKAA ERRAYSNLGNAYIFLGEFETASEYYKKTLLLARQLKDRAVEAQSCYSLGNTYTLLQDYEK AIDYHLKHLAIAQELKDRIGEGRACWSLGNAYTALGNHDQAMHFAEKHLEISREVGDKSG ELTARLNLSDLQMVLGLSYSTNNSMMSENIEIDGSLHGAGAKLGRRHSMENLELMKLTPE KVPNWNSEILAKQKPLIAKPSAKLLFVNRLKGKKYKSGSACTKVLQDASNSVDHRAPRSQ KKISSDTIGDEGFFDLLRRFQSNRMDDQRCHLQGNCRTTSTAAASATPKLMKAPSVSVVS PNTDEFLDLLASSQSRRLDDQRASFSNLPGLRLTKGNSPSVLERLMTNDKKEPDEDFFDI LVKCQGSRLDDQRCAPPSAATKGPTVPDEDFFSLILRSQAKRMDEQRVLLQRDPNRDSEF GLKELLQNNALLEFKHSGK >ENSMUSP00000115759.1 pep:known chromosome:GRCm38:3:108701840:108711670:-1 gene:ENSMUSG00000027883.15 transcript:ENSMUST00000145558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpsm2 description:G-protein signalling modulator 2 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:1923373] MEGNLISMREDHSFHVRYRMEASCLELALEGERLCKSGDCRAGVSFFEAAVQVGTEDLKT LSAIYSQLGNAYFYLHDYAKALEYHHHDLTLARTIGDQLGEAKASGNLGNTLKVLGNFDE AIVCCQRHLDISRELNDKVGEARALYNLGNVYHA >ENSMUSP00000132780.2 pep:known chromosome:GRCm38:13:8885501:8892451:1 gene:ENSMUSG00000058258.14 transcript:ENSMUST00000169314.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idi1 description:isopentenyl-diphosphate delta isomerase [Source:MGI Symbol;Acc:MGI:2442264] MWRGRTLARAIGYAVRGRGLEAEHAAERIEVQLSAQLLSTCSRNLCVLGQIRHSVTMPEI NTSHLDEKQVQLLAEMCILIDENDNKIGADTKKNCHLNENIDKGLLHRAFSVFLFNTENK LLLQQRSDAKITFPGCFTNSCCSHPLSNPGELEENNAIGVKRAAKRRLKAELGIPLEEVD LNEMDYLTRIYYKAQSDGIWGEHEVDYILFLRKNVTLNPDPNEIKSYCYVSKEEVREILK KAASGEIKLTPWFKIIADTFLFKWWDNLNHLSPFVDHEKIHRL >ENSMUSP00000135349.1 pep:known chromosome:GRCm38:13:8885943:8890558:1 gene:ENSMUSG00000058258.14 transcript:ENSMUST00000177397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idi1 description:isopentenyl-diphosphate delta isomerase [Source:MGI Symbol;Acc:MGI:2442264] XIEVQLSAQLLSTCSRNLCVLGQIRHSVTMPEINTSHLDEKQVQLLAEMCILIDENDNKI GADTKKNCHLNENIDKGLLHRAFSVFLFNTENKLLLQQRSDAKITFPGCFTNSCCSHPLS NPGELEENNAIGVKRAAKRRLKAELGIPLEEVPALTTITQSAA >ENSMUSP00000135770.1 pep:known chromosome:GRCm38:13:8885993:8891358:1 gene:ENSMUSG00000058258.14 transcript:ENSMUST00000177400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idi1 description:isopentenyl-diphosphate delta isomerase [Source:MGI Symbol;Acc:MGI:2442264] XCVLGQIRHSVTMPEINTSHLDEKQVQLLAEMCILIDENDNKIGADTKKNCHLNENIDKG LLHRAFSVFLFNTENKLLLQQRSDAKITFPGCFTNSCCSHPLSNPGELEENNAIGVKRAA KRRLKAELGIPLEEVDLNEMDYLTRIYYKAQSDDRMINLRNPV >ENSMUSP00000135861.1 pep:known chromosome:GRCm38:13:8885994:8890600:1 gene:ENSMUSG00000058258.14 transcript:ENSMUST00000177447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idi1 description:isopentenyl-diphosphate delta isomerase [Source:MGI Symbol;Acc:MGI:2442264] XCVLGQIRHSVTMPEINTSHLDEKQVQLLAEMCILIDENDNKIGADTKKNCHLNENIDKG LLHRAFSVFLFNTENKLLLQQRSDAKITFPGCFTNSCCSHPLSNPGELEENNAIGVKRAA KRRLKAELGIPLEEVPALTTITQSAA >ENSMUSP00000139012.1 pep:known chromosome:GRCm38:6:48974963:48978745:1 gene:ENSMUSG00000068536.11 transcript:ENSMUST00000184917.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Doxl2 description:diamine oxidase-like protein 2 [Source:MGI Symbol;Acc:MGI:3618290] MGLAQRSLALGCMAIVLVLQETMAEYPSWMLNGKAQVFSDLSIQEMEAVHSFLMGRTELE LQPSGTQALDKNSVFLIETLLPNKKVVLEFLNKGTRPPVREARVIIFFSAQEHPNVTEFA VGPLPQPIYMRELFPRPEKHRSWASRPMSKAEQSLLYHKIKEATTPLKGFFLDTTGFSLE DCNGQCLTFTHVAPHSVESRHRATWFLLQRIVKDRLLQPTGLEVLVDHGSTDIRDWRVEQ VWYNGKLYSSPEELAQKYADGEVDTVVLKDLRPKNTELPQVFNKPGGKFAAPLRKSGPHV KQANDSRYHLEGNTVIYKDWSFSFQLRPSSGLQILNVHFRNEYIAYEIGVQGAMAVHREK TPAGELTKTMDLGWSLGTVTHELAPGINCPETATFLDVIHYHGTDGPVRYPRALCLFEIP TGVPVRPTFNTNSAGKINFFSDVMGHKLVLRATSALYNFDYIWDFIFYTTGTISAKMHAT GYIHTTIYTREGLRETHLLTHQLGHSHTHLVHYRVDLDVAGTNNSFHTLQAKQKNTTNSS SPRRLVQDVMEKTQYSQERQATFSFGQTLPSFLLFSSPKKDIWGYRRSYRLKIYSTSEQR LTPETQEDLAFSWARYSLAVSKYSDSEQYSTSIYNQNHPWDPPVVFEDFLQDSENIEDQD LVAWVTVGFSHGPHSEAAPYMASSRNFVGFLLLPFNFFYITEKHPATSATDISDTGSLGA GSA >ENSMUSP00000087517.3 pep:known chromosome:GRCm38:6:48975074:48978746:1 gene:ENSMUSG00000068536.11 transcript:ENSMUST00000090063.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Doxl2 description:diamine oxidase-like protein 2 [Source:MGI Symbol;Acc:MGI:3618290] MGLAQRSLALGCMAIVLVLQETMAEYPSWMLNGKAQVFSDLSIQEMEAVHSFLMGRTELE LQPSGTQALDKNSVFLIETLLPNKKVVLEFLNKGTRPPVREARVIIFFSAQEHPNVTEFA VGPLPQPIYMRELFPRPEKHRSWASRPMSKAEQSLLYHKIKEATTPLKGFFLDTTGFSLE DCNGQCLTFTHVAPHSVESRHRATWFLLQRIVKDRLLQPTGLEVLVDHGSTDIRDWRVEQ VWYNGKLYSSPEELAQKYADGEVDTVVLKDLRPKNTELPQVFNKPGGKFAAPLRKSGPHV KQANDSRYHLEGNTVIYKDWSFSFQLRPSSGLQILNVHFRNEYIAYEIGVQGAMAVHREK TPAGELTKTMDLGWSLGTVTHELAPGINCPETATFLDVIHYHGTDGPVRYPRALCLFEIP TGVPVRPTFNTNSAGKINFFSDVMGHKLVLRATSALYNFDYIWDFIFYTTGTISAKMHAT GYIHTTIYTREGLRETHLLTHQLGHSHTHLVHYRVDLDVAGTNNSFHTLQAKQKNTTNSS SPRRLVQDVMEKTQYSQERQATFSFGQTLPSFLLFSSPKKDIWGYRRSYRLKIYSTSEQR LTPETQEDLAFSWAR >ENSMUSP00000088210.1 pep:known chromosome:GRCm38:2:87802391:87803335:1 gene:ENSMUSG00000068815.1 transcript:ENSMUST00000090708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1143 description:olfactory receptor 1143 [Source:MGI Symbol;Acc:MGI:3030977] MQRRMEGENCSSFTEFILMGITNNSEVKVVLFTIFLLVYLINLIGNLGMILLIKVDPQLQ TPMYFFLSNLSFCDLCYSTAVGPKMLMDIFGNDKSIQFFGCALQFFISCTFVDSECILLA VMAFDRYQAISNPLLYTTNMSNRLCSLLVAGVYFVGVADSLIHTTLTFHLCFCGSNEIDH FFCDIPPILLLSCSDTQVNELAIFTIFGFIELSTISGVLVSYCYIISSVLKISSAGGRFK AFSTCASHLTAVAIFQGTVLFMYFRPSSSYSLDQDKMSSLFYILVIPMLNPLIYSLRNKD VKEALKNLKNKRCC >ENSMUSP00000077867.3 pep:known chromosome:GRCm38:7:128234458:128238031:-1 gene:ENSMUSG00000062944.4 transcript:ENSMUST00000078816.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130023H24Rik description:RIKEN cDNA 9130023H24 gene [Source:MGI Symbol;Acc:MGI:2442738] MELAAPEVTRENLDGAKLLENRTSKFPGAPRSPLDRETALHERPLLARPEQPAPVEKMLD QQYLCRSGSGPKEETFVKTSDPAVPKAFKCRDCGLAVPSLSDLIHHQSSHGCDRPYSCPE CDKSFRRGSDLVKHYRVHSGEKPYPCPECGRCFSLSSNLTKHRRSHSGLRPHKCMECGEA FGRSADLAKHQRVHTGEKPYACAECGKTFRVSSNLIQHQRTHTGEKPYRCGLCGKSFSLS SNLLQHQRCHTGEKPYFCAWCGDSFGRSSYLLEHQRSHTGEKPYNCCECGKNFTNSSNCL RHQRTHNGEPPFRCLECGRGFSEITMFTEHQRIHLSK >ENSMUSP00000070725.6 pep:known chromosome:GRCm38:11:54717456:54787675:-1 gene:ENSMUSG00000052298.12 transcript:ENSMUST00000064104.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42se2 description:CDC42 small effector 2 [Source:MGI Symbol;Acc:MGI:1919979] MSEFWLCFNCCIAEQPQPKRRRRIDRSMIGEPTNFVHTAHVGSGDLFSGMNSVSSIQNQM QSKGGYGGGMPANVQMQLVDTKAG >ENSMUSP00000120253.1 pep:known chromosome:GRCm38:11:54723574:54787646:-1 gene:ENSMUSG00000052298.12 transcript:ENSMUST00000153209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42se2 description:CDC42 small effector 2 [Source:MGI Symbol;Acc:MGI:1919979] MSEFWLCFNCCIAEQPQPKRRRRIDRSMIGEPTNFVHTAHVGSGDLFSGMNS >ENSMUSP00000118588.1 pep:known chromosome:GRCm38:7:35046422:35056573:-1 gene:ENSMUSG00000056216.9 transcript:ENSMUST00000130491.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cebpg description:CCAAT/enhancer binding protein (C/EBP), gamma [Source:MGI Symbol;Acc:MGI:104982] MSKLSQPATTPGVNGISVIHTQAHASGLQQVPQLVPAGPGGGGKAVPPSKQSKKSSPMDR NSDEYRQRRERNNMAVKKSRLKSKQKAQDTLQRVNQLKEENERLEAKIKLLTKELSVLKD LFLEHAHSLADNVQPISTETTATNSDNPGQ >ENSMUSP00000064963.8 pep:known chromosome:GRCm38:7:35050315:35055940:-1 gene:ENSMUSG00000056216.9 transcript:ENSMUST00000070191.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cebpg description:CCAAT/enhancer binding protein (C/EBP), gamma [Source:MGI Symbol;Acc:MGI:104982] MSKLSQPATTPGVNGISVIHTQAHASGLQQVPQLVPAGPGGGGKAVPPSKQSKKSSPMDR NSDEYRQRRERNNMAVKKSRLKSKQKAQDTLQRVNQLKEENERLEAKIKLLTKELSVLKD LFLEHAHSLADNVQPISTETTATNSDNPGQ >ENSMUSP00000033449.1 pep:known chromosome:GRCm38:X:51968695:51972772:-1 gene:ENSMUSG00000031118.8 transcript:ENSMUST00000033449.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700080O16Rik description:RIKEN cDNA 1700080O16 gene [Source:MGI Symbol;Acc:MGI:1921529] MDPPDNSRNVNQDSTHSERETRDLEAGEEEASTSGDVLGSSQGAQRASFPSTAGVPSVEA SGSQIEFYPDILRQQILNSKTIDLILFLILKYRRKQQTCKAEILYMVIRGYEDHFPAIFT KASECLRLTFGLEIIERNPRVHSYSLVNALGLTYDGMLHGFRGVPKIGLLIIVLCIIFIQ DNCASEQELWRILNNMGLYAGRDHFIYGDPGVLITEHFVQEGYLECRQVPGSDPPTREYL WGPRAHAETTKMKVLKHFASIVKQDPRSYPTRYADALKDEIDRT >ENSMUSP00000110516.1 pep:known chromosome:GRCm38:X:51968892:51971047:-1 gene:ENSMUSG00000031118.8 transcript:ENSMUST00000114866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700080O16Rik description:RIKEN cDNA 1700080O16 gene [Source:MGI Symbol;Acc:MGI:1921529] MDPPDNSRNVNQDSTHSERETRDLEAGEEEASTSGDVLGSSQGAQRASFPSTAGVPSVEA SGSQIEFYPDILRQQILNSKTIDLILFLILKYRRKQQTCKAEILYMVIRGYEDHFPAIFT KASECLRLTFGLEIIERNPRVHSYSLVNALGLTYDGMLHGFRGVPKIGLLIIVLCIIFIQ DNCASEQELWRILNNMGLYAGRDHFIYGDPGVLITEHFVQEGYLECRQVPGSDPPTREYL WGPRAHAETTKMKVLKHFASIVKQDPRSYPTRYADALKDEIDRT >ENSMUSP00000049548.3 pep:known chromosome:GRCm38:2:121460235:121474067:-1 gene:ENSMUSG00000048222.3 transcript:ENSMUST00000056732.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap1b description:microfibrillar-associated protein 1B [Source:MGI Symbol;Acc:MGI:3694697] MSVPSALMKQPPIQSTAGAVPVRNEKGEISMEKVKVKRYVSGKRPDYAPMESSDEEDEEF QFIKKAKEQEAEPEEQEEDSSSDPRLRRLQNRISEDVEERLARHRKIVEPEVVGESDSEV EGDAWRLEREDSSEEEEEEIDDEEIERRRGMMRQRAQERKNEEMEVMEVEDEGRSGEESE SESEYEEYTDSEDEMEPRLKPVFIRKKDRVTVQEREAEALKQKELEQEAKRMAEERRKYT LKIVEEETKKELEENKRSLAALDALNTDDENDEEEYEAWKVRELKRIKREREDREALEKE KAEIERMRNLTEEERRAELRANGKVITNKAVKGKYKFLQKYYHRGAFFMDEDEEVYKRDF SAPTLEDHFNKTILPKVMQVKNFGRSGRTKYTHLVDQDTTSFDSAWGQESAQNTKFFKQK AAGVRDVFERPSAKKRKTT >ENSMUSP00000113307.1 pep:known chromosome:GRCm38:7:127197459:127208468:-1 gene:ENSMUSG00000042492.12 transcript:ENSMUST00000120705.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d10b description:TBC1 domain family, member 10b [Source:MGI Symbol;Acc:MGI:1915699] METGPAPLVAPPRRHGAPAAPSPPPRGSRAGSHLVVEPGPPVTTATSAPVELVAPGEARP ACVPGSSQTSASTPTTATSSTVVMLTLEASPEAAKTQEFPAPAAETGAETSVALALGTDT QKTEEVRASPVPGPGTPTRTPSRMAPGALTAKPPLAPKPGTTVASGVTARGGVGQVAGGH EAATSASAGSVPEDPSGPVTGPPGTCEAPAPTPVAVVTVTPAPEPVENFQDLGSTSSLGP GISGPRGQAPDTLSYLDSVSLMSGTLESLPDDVSSMGSDSEINGMALRKTDKYGFLGGSQ YSGSLESSIPVDVARQRELKWLEMFSNWDKWLSRRFQKVKLRCRKGIPSSLRAKAWQYLS NSKELLEQNPGKFEELERAAGDPKWLDVIEKDLHRQFPFHEMFAARGGHGQQDLYRILKA YTIYRPDEGYCQAQAPVAAVLLMHMPAEQAFWCLVQICDKYLPGYYSAGLEAIQLDGEIF FALLRRVSPLAHRHLRRQRIDPVLYMTEWFMCIFARTLPWASVLRVWDMFFCEGVKIIFR VALVLLRHTLGSVEKLRSCQGMYETMEQLRNLPQQCMQEDFLVHEVTNLPVTEAWIEREN AAQLKKWRETRGELQYRPSRRLHGSRAIHEERRRQQPPLGPSSSLLSLPSLKSRGSRAVG GAPSPPPPVRRASAGPVPGAVVIAEGLHPSLPSPTGNSTPLGTSKEIRRQEKERQKQEKD REKERQRQEKERERQEKERQKWEKEQEKEQQKQEKERQKLEKKGQGRKLSLRRRADGPPA SHDGGDRSAAEARQDAYF >ENSMUSP00000146053.1 pep:known chromosome:GRCm38:7:127198722:127203753:-1 gene:ENSMUSG00000042492.12 transcript:ENSMUST00000205620.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d10b description:TBC1 domain family, member 10b [Source:MGI Symbol;Acc:MGI:1915699] XRFQKVKLRCRKGIPSSLRAKAWQYLSNSKELLEQNPGKFEELERAAGDPKWLDVIEKDL HRQFPFHEMFAARGGHGQQDLYRILKAYTIYRPDEGYCQAQAPVAAVLLMHMPAEQAFWC LVQICDKYLPGYYSAGLALRSSSEWLWFYCGTHWVLWKSCAHVKACMKPWSSFETYHNSV CRRTSWCMR >ENSMUSP00000038551.8 pep:known chromosome:GRCm38:8:111003188:111027764:-1 gene:ENSMUSG00000033658.16 transcript:ENSMUST00000040241.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx19b description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 19b [Source:MGI Symbol;Acc:MGI:2148251] MATDSWALAVDEQEAAAESLSNLHLKEEKIKPDANGAVVKTNANTEKTEEDEKEDRAAQS LLNKLIRSNLVDNTNQVEVLQRDPSSPLYSVKSFEELRLKPQLLQGVYAMGFNRPSKIQE NALPLMLAEPPQNLIAQSQSGTGKTAAFVLAVLSQVEPANKFAQCLCLSPTYELALQTGK VIEQMGKFYPELKLAYAVRGNKLERGQKVSEQIVIGTPGTVLDWCSKLKFIDPKKIKVFV LDEADVMIATQGHQDQSIRIQRMLPRNCQMLLFSATFEDSVWKFAQKVVPDPNIIKLKRE EETLDTIKQYYVLCNNREEKFQALCNLYGAITIAQAMIFCHTRKTASWLAAELSKEGHQV ALLSGEMMVEQRAAVIERFREGKEKVLVTTNVCARGIDVEQVSVVINFDLPVDKDGNPDN ETYLHRIGRTGRFGKRGLAVNMVDSKHSMNILNRIQEHFNKKIERLDTDDLDEIEKIAN >ENSMUSP00000066806.5 pep:known chromosome:GRCm38:8:111006659:111031751:-1 gene:ENSMUSG00000033658.16 transcript:ENSMUST00000065784.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx19b description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 19b [Source:MGI Symbol;Acc:MGI:2148251] MLRRDGRSCWPGRGRARARAQAQARNPLSLPLTGLSNLHLKEEKIKPDANGAVVKTNANT EKTEEDEKEDRAAQSLLNKLIRSNLVDNTNQVEVLQRDPSSPLYSVKSFEELRLKPQLLQ GVYAMGFNRPSKIQENALPLMLAEPPQNLIAQSQSGTGKTAAFVLAVLSQVEPANKFAQC LCLSPTYELALQTGKVIEQMGKFYPELKLAYAVRGNKLERGQKVSEQIVIGTPGTVLDWC SKLKFIDPKKIKVFVLDEADVMIATQGHQDQSIRIQRMLPRNCQMLLFSATFEDSVWKFA QKVVPDPNIIKLKREEETLDTIKQYYVLCNNREEKFQALCNLYGAITIAQAMIFCHTRKT ASWLAAELSKEGHQVALLSGEMMVEQRAAVIERFREGKEKVLVTTNVCARGIDVEQVSVV INFDLPVDKDGNPDNETYLHRIGRTGRFGKRGLAVNMVDSKHSMNILNRIQEHFNKKIER LDTDDLDEIEKIAN >ENSMUSP00000003622.8 pep:known chromosome:GRCm38:16:17925211:17928219:-1 gene:ENSMUSG00000003528.14 transcript:ENSMUST00000003622.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a1 description:solute carrier family 25 (mitochondrial carrier, citrate transporter), member 1 [Source:MGI Symbol;Acc:MGI:1345283] MAAPRGPRALSAAAPGSGKPKLTHPGKAILAGGLAGGIEICITFPTEYVKTQLQLDERAN PPRYRGIGDCVRQTVRSHGVLGLYRGLSSLLYGSIPKAAVRFGMFEFLSNHMRDAQGRLD SRRGLLCGLGAGVAEAVVVVCPMETIKVKFIHDQTSSNPKYRGFFHGVREIIREQGLKGT YQGLTATVLKQGSNQAIRFFVMTSLRNWYQGDNHNKPMNPLITGVFGATAGAASVFGNTP LDVIKTRMQGLEAHKYRNTLDCGLKILKNEGPKAFYKGTVPRLGRVCLDVAIVFIIYDEV VKLLNKVWKTD >ENSMUSP00000123613.1 pep:known chromosome:GRCm38:16:17925716:17927416:-1 gene:ENSMUSG00000003528.14 transcript:ENSMUST00000131507.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a1 description:solute carrier family 25 (mitochondrial carrier, citrate transporter), member 1 [Source:MGI Symbol;Acc:MGI:1345283] XAASAPCSTAPSPRRLSGLGCSSSSATTCGMPKVKFIHDQTSSNPKYRGFFHGVREIIRE QGLKGTYQGLTATVLKQGSNQAIRFFVMTSLRNWYQGDNHNKPMNPLITGVFGATAGAAS VFGNTPLDVIKTRMQGLEAHKYRNTLDCGLKILKNEGPKAFYKGTVPRLGRVCLDVAIVF IIYDEVVKLLNKVWKTD >ENSMUSP00000100553.2 pep:known chromosome:GRCm38:8:92901407:92902407:1 gene:ENSMUSG00000078144.3 transcript:ENSMUST00000104947.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capns2 description:calpain, small subunit 2 [Source:MGI Symbol;Acc:MGI:1916793] MFLAKAILEGADRGLGGALGGLLGGGGQARAGGGNIGGILGGIVNFISEAAAAQYTPEPP PQQQHFTVVEASESEEVRRFRQQFTQLAGPDMEVGATDLMNILNKVLSKHKELKTEGFSL DTCRSIVSVMDSDTTGKLGFEEFKYLWNNIKKWQCVFKQYDSDHSGSLGSSQLHGAMQAA GFQLNEQLYLMIVRRYADEDGGMDFNNFISCLVRLDAMFRAFKALDRDRDGLIQVSIREW LQLTMYS >ENSMUSP00000146716.1 pep:known chromosome:GRCm38:7:100326737:100345050:-1 gene:ENSMUSG00000030718.9 transcript:ENSMUST00000207634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppme1 description:protein phosphatase methylesterase 1 [Source:MGI Symbol;Acc:MGI:1919840] XIGHSMGGAIAVHTAAANLVPSLLGLCMIDVVEGTAMDALNSMQNFLRGRPKTFKSLENA IEWSVKSGQIRNLESARVSMVGQVKQCEGITSPEGSKSIVEGIIEEEEEDEEGSESVNKR KKEDDMETKKDHPYTWRIELHPQSLPSSSCRSAALGPPPAAQGGGGGQPPETHLSVTVLG DV >ENSMUSP00000032963.8 pep:known chromosome:GRCm38:7:100326737:100372307:-1 gene:ENSMUSG00000030718.9 transcript:ENSMUST00000032963.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppme1 description:protein phosphatase methylesterase 1 [Source:MGI Symbol;Acc:MGI:1919840] MSALEKSMHLGRLPSRPPLPGSGGSQSGAKMRMGPGRKRDFTPVPWSQYFESMEDVEVEN ETGKDTFRVYKSGSEGPVLLLLHGGGHSALSWAVFTAAIISRVQCRIVALDLRGHGETKV KNSEDLSAETMAKDVGNVVEAMYGDLPPPVMLIGHSMGGAIAVHTAAANLVPSLLGLCMI DVVEGTAMDALNSMQNFLRGRPKTFKSLENAIEWSVKSGQIRNLESARVSMVGQVKQCEG ITSPEGSKSIVEGIIEEEEEDEEGSESVNKRKKEDDMETKKDHPYTWRIELAKTEKYWDG WFRGLSNLFLSCPIPKLLLLAGVDRLDKDLTIGQMQGKFQMQVLPQCGHAVHEDAPDKVA EAVATFLIRHRFAEPIGGFQCVFPGC >ENSMUSP00000075167.6 pep:known chromosome:GRCm38:2:31688551:31803541:1 gene:ENSMUSG00000026842.16 transcript:ENSMUST00000075759.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abl1 description:c-abl oncogene 1, non-receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:87859] MGQQPGKVLGDQRRPSLPALHFIKGAGKRDSSRHGGPHCNVFVEHEALQRPVASDFEPQG LSEAARWNSKENLLAGPSENDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNHNGEWCE AQTKNGQGWVPSNYITPVNSLEKHSWYHGPVSRNAAEYLLSSGINGSFLVRESESSPGQR SISLRYEGRVYHYRINTASDGKLYVSSESRFNTLAELVHHHSTVADGLITTLHYPAPKRN KPTIYGVSPNYDKWEMERTDITMKHKLGGGQYGEVYEGVWKKYSLTVAVKTLKEDTMEVE EFLKEAAVMKEIKHPNLVQLLGVCTREPPFYIITEFMTYGNLLDYLRECNRQEVSAVVLL YMATQISSAMEYLEKKNFIHRDLAARNCLVGENHLVKVADFGLSRLMTGDTYTAHAGAKF PIKWTAPESLAYNKFSIKSDVWAFGVLLWEIATYGMSPYPGIDLSQVYELLEKDYRMERP EGCPEKVYELMRACWQWNPSDRPSFAEIHQAFETMFQESSISDEVEKELGKRGTRGGAGS MLQAPELPTKTRTCRRAAEQKDAPDTPELLHTKGLGESDALDSEPAVSPLLPRKERGPPD GSLNEDERLLPRDRKTNLFSALIKKKKKMAPTPPKRSSSFREMDGQPDRRGASEDDSREL CNGPPALTSDAAEPTKSPKASNGAGVPNGAFREPGNSGFRSPHMWKKSSTLTGSRLAAAE EESGMSSSKRFLRSCSASCMPHGARDTEWRSVTLPRDLPSAGKQFDSSTFGGHKSEKPAL PRKRTSESRSEQVAKSTAMPPPRLVKKNEEAAEEGFKDTESSPGSSPPSLTPKLLRRQVT ASPSSGLSHKEEATKGSASGMGTPATAEPAPPSNKVGLSKASSEEMRVRRHKHSSESPGR DKGRLAKLKPAPPPPPACTGKAGKPAQSPSQEAGEAGGPTKTKCTSLAMDAVNTDPTKAG PPGEGLRKPVPPSVPKPQSTAKPPGTPTSPVSTPSTAPAPSPLAGDQQPSSAAFIPLIST RVSLRKTRQPPERIASGTITKGVVLDSTEALCLAISRNSEQMASHSAVLEAGKNLYTFCV SYVDSIQQMRNKFAFREAINKLESNLRELQICPATASSGPAATQDFSKLLSSVKEISDIV RR >ENSMUSP00000142123.1 pep:known chromosome:GRCm38:2:31688552:31800339:1 gene:ENSMUSG00000026842.16 transcript:ENSMUST00000142554.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abl1 description:c-abl oncogene 1, non-receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:87859] MGQQPGKVLGDQRRPSLPALHFIKGAGKRDSSRHGGPHCNVFVEHGAGDVAQRLRELIAL PEDESSVPSTHTVAHSHL >ENSMUSP00000142297.1 pep:known chromosome:GRCm38:2:31689465:31778392:1 gene:ENSMUSG00000026842.16 transcript:ENSMUST00000123471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abl1 description:c-abl oncogene 1, non-receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:87859] MGQQPGKVLGDQRRPSLPALHFIKGAGKRDSSRHGGPHCNVFVEHALQRPVASDFEPQGL SEAA >ENSMUSP00000141320.1 pep:known chromosome:GRCm38:2:31689611:31779024:1 gene:ENSMUSG00000026842.16 transcript:ENSMUST00000135233.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abl1 description:c-abl oncogene 1, non-receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:87859] MGQQPGKVLGDQRRPSLPALHFIKGAGKRDSSRHGGPHCNVFVEHAVFRHPRRGHQVSLW MVVSHHVVAGI >ENSMUSP00000028190.6 pep:known chromosome:GRCm38:2:31759943:31804227:1 gene:ENSMUSG00000026842.16 transcript:ENSMUST00000028190.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abl1 description:c-abl oncogene 1, non-receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:87859] MLEICLKLVGCKSKKGLSSSSSCYLEEALQRPVASDFEPQGLSEAARWNSKENLLAGPSE NDPNLFVALYDFVASGDNTLSITKGEKLRVLGYNHNGEWCEAQTKNGQGWVPSNYITPVN SLEKHSWYHGPVSRNAAEYLLSSGINGSFLVRESESSPGQRSISLRYEGRVYHYRINTAS DGKLYVSSESRFNTLAELVHHHSTVADGLITTLHYPAPKRNKPTIYGVSPNYDKWEMERT DITMKHKLGGGQYGEVYEGVWKKYSLTVAVKTLKEDTMEVEEFLKEAAVMKEIKHPNLVQ LLGVCTREPPFYIITEFMTYGNLLDYLRECNRQEVSAVVLLYMATQISSAMEYLEKKNFI HRDLAARNCLVGENHLVKVADFGLSRLMTGDTYTAHAGAKFPIKWTAPESLAYNKFSIKS DVWAFGVLLWEIATYGMSPYPGIDLSQVYELLEKDYRMERPEGCPEKVYELMRACWQWNP SDRPSFAEIHQAFETMFQESSISDEVEKELGKRGTRGGAGSMLQAPELPTKTRTCRRAAE QKDAPDTPELLHTKGLGESDALDSEPAVSPLLPRKERGPPDGSLNEDERLLPRDRKTNLF SALIKKKKKMAPTPPKRSSSFREMDGQPDRRGASEDDSRELCNGPPALTSDAAEPTKSPK ASNGAGVPNGAFREPGNSGFRSPHMWKKSSTLTGSRLAAAEEESGMSSSKRFLRSCSASC MPHGARDTEWRSVTLPRDLPSAGKQFDSSTFGGHKSEKPALPRKRTSESRSEQVAKSTAM PPPRLVKKNEEAAEEGFKDTESSPGSSPPSLTPKLLRRQVTASPSSGLSHKEEATKGSAS GMGTPATAEPAPPSNKVGLSKASSEEMRVRRHKHSSESPGRDKGRLAKLKPAPPPPPACT GKAGKPAQSPSQEAGEAGGPTKTKCTSLAMDAVNTDPTKAGPPGEGLRKPVPPSVPKPQS TAKPPGTPTSPVSTPSTAPAPSPLAGDQQPSSAAFIPLISTRVSLRKTRQPPERIASGTI TKGVVLDSTEALCLAISRNSEQMASHSAVLEAGKNLYTFCVSYVDSIQQMRNKFAFREAI NKLESNLRELQICPATASSGPAATQDFSKLLSSVKEISDIVRR >ENSMUSP00000117748.1 pep:known chromosome:GRCm38:2:31760173:31779024:1 gene:ENSMUSG00000026842.16 transcript:ENSMUST00000124089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abl1 description:c-abl oncogene 1, non-receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:87859] MSQRWTYTKCRVQRDPALPFMEALQRPVASDFEPQGLSEAARWNSKENLLAGPSENDPNL FVALYDFVASGDNTLSITKGEKLRVLGYNHNGEWCEAQTKNGQGWVPSNYITPV >ENSMUSP00000093665.3 pep:known chromosome:GRCm38:19:53677306:53843763:1 gene:ENSMUSG00000043639.14 transcript:ENSMUST00000095969.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm20 description:RNA binding motif protein 20 [Source:MGI Symbol;Acc:MGI:1920963] MVLAVAMSQDADPSGPEQPDRDACVMPGVQGPSVPQGQQGMQPLPPPPPPQPQASLPQII QNAAKLLDKSPFSVNNQNPLLTSPASVQLAQIQAQLTLHRLKMAQTAVTNNTAAATVLNQ VLSKVAMSQPLFNQLRHPSVLGTAHGPTGVSQHAASVPSAHFPSTAIAFSPPSQTGGPGP SVSLPSQPPNAMVVHTFSGVVPQTPAQPAVILSLGKAGPTPATTGFYDYGKANSGQAYGS ETEGQPGFLPASASATASGSMTYEGHYSHTGQDGQPAFSKDFYGPNAQGPHIAGGFPADQ TGSMKGDVGGLLQGTNSQWERPPGFSGQNKPDITAGPSLWAPPASQPYELYDPEEPTSDR APPAFGSRLNNSKQGFGCSCRRTKEGQAVLSVRPLQGHQLNDFRGLAPLHLPHICSICDK KVFDLKDWELHVKGKLHAQKCLLFSESAGLRSIRASGEGTLSASANSTAVYNPTGNEDYT SNLGTSYAAIPTRAFAQSNPVFPSASSGTSFAAQRKGAGRVVHICNLPEGSCTENDVINL GLPFGKVTNYILMKSTNQAFLEMAYTEAAQAMVQYYQEKPAIINGEKLLIRMSTRYKELQ LKKPGKNVAAIIQDIHSQRERDMLREADRYGPERPRSRSPMSRSLSPRSHSPPGPSRADW GNGRDSYAWRDEDRETVPRRENGEDKRDRLDVWAHDRKHYPRQLDKAELDERLEGGRGYR EKYLKSGSPGPLHSVSGYKGREDGYHRKEPKAKLDKYPKQQPDVPGRSRRKEEARLREPR HPHPEDSGKAEDLEPKITRAPDGTKSKQS >ENSMUSP00000124363.1 pep:known chromosome:GRCm38:19:53843210:53867080:1 gene:ENSMUSG00000043639.14 transcript:ENSMUST00000161856.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm20 description:RNA binding motif protein 20 [Source:MGI Symbol;Acc:MGI:1920963] XLPPRYGPERPRSRSPMSRSLSPRSHSPPGPSRADWGNGRDSYAWRDEDRETVPRRENGE DKRDRLDVWAHDRKHYPRQLDKAELDERLEGGRGYREKYLKSGSPGPLHSVSGYKGREDG YHRKEPKAKLDKYPKQQPDVPGRSRRKEEARLREPRHPHPEDSGKAEDLEPKITRAPDGT KSKQSEKSKTKRADRDQEGADDKKESQLAENEAGAEEQEGMVGIQQEGTESCDPENTRTK KGQDCDSGSEPEGDNWYPTNMEELVTVDEVGEEDFIMEPDLPELEEIVPIDQKDKTLPKI CTCVTATLGLDLAKDFTKQGETLGNGDAELSLKLPGQVPSTSASCPNDTDLEMPGLNLDA ERKPAESETGLSLEVSNCYEKEARGEEDSDVSLAPAVQQMSSPQPADERARQSSPFLDDC KARGSPEDGSHEASPLEGKASPPTESDLQSQACRGSDSGAGLIPETPYWRKPQVHGSEIS EREIARIHRSGAERAPFFAFLGTGGVQ >ENSMUSP00000129447.1 pep:known chromosome:GRCm38:19:53677306:53867080:1 gene:ENSMUSG00000043639.14 transcript:ENSMUST00000164202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm20 description:RNA binding motif protein 20 [Source:MGI Symbol;Acc:MGI:1920963] MVLAVAMSQDADPSGPEQPDRDACVMPGVQGPSVPQGQQGMQPLPPPPPPQPQASLPQII QNAAKLLDKSPFSVNNQNPLLTSPASVQLAQIQAQLTLHRLKMAQTAVTNNTAAATVLNQ VLSKVAMSQPLFNQLRHPSVLGTAHGPTGVSQHAASVPSAHFPSTAIAFSPPSQTGGPGP SVSLPSQPPNAMVVHTFSGVVPQTPAQPAVILSLGKAGPTPATTGFYDYGKANSGQAYGS ETEGQPGFLPASASATASGSMTYEGHYSHTGQDGQPAFSKDFYGPNAQGPHIAGGFPADQ TGSMKGDVGGLLQGTNSQWERPPGFSGQNKPDITAGPSLWAPPASQPYELYDPEEPTSDR APPAFGSRLNNSKQGFGCSCRRTKEGQAVLSVRPLQGHQLNDFRGLAPLHLPHICSICDK KVFDLKDWELHVKGKLHAQKCLLFSESAGLRSIRASGEGTLSASANSTAVYNPTGNEDYT SNLGTSYAAIPTRAFAQSNPVFPSASSGTSFAAQRKGAGRVVHICNLPEGSCTENDVINL GLPFGKVTNYILMKSTNQAFLEMAYTEAAQAMVQYYQEKPAIINGEKLLIRMSTRYKELQ LKKPGKNVAAIIQDIHSQRERDMLREADRYGPERPRSRSPMSRSLSPRSHSPPGPSRADW GNGRDSYAWRDEDRETVPRRENGEDKRDRLDVWAHDRKHYPRQLDKAELDERLEGGRGYR EKYLKSGSPGPLHSVSGYKGREDGYHRKEPKAKLDKYPKQQPDVPGRSRRKEEARLREPR HPHPEDSGKAEDLEPKITRAPDGTKSKQSEKSKTKRADRDQEGADDKKESQLAENEAGAE EQEGMVGIQQEGTESCDPENTRTKKGQDCDSGSEPEGDNWYPTNMEELVTVDEVGEEDFI MEPDLPELEEIVPIDQKDKTLPKICTCVTATLGLDLAKDFTKQGETLGNGDAELSLKLPG QVPSTSASCPNDTDLEMPGLNLDAERKPAESETGLSLEVSNCYEKEARGEEDSDVSLAPA VQQMSSPQPADERARQSSPFLDDCKARGSPEDGSHEASPLEGKASPPTESDLQSQACREN PRYMEVKSLNVRSPEFTEAELKEPLSLPSWEPEVFSELSIPLGVEFVVPRTGFYCKLCGL FYTSEEAAKVSHCRSTVHYRNLQKYLSQLAEEGLKETEGTDSPSPERGGIGPHLERKKL >ENSMUSP00000143793.1 pep:known chromosome:GRCm38:5:34007198:34169448:-1 gene:ENSMUSG00000045102.11 transcript:ENSMUST00000202638.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poln description:DNA polymerase N [Source:MGI Symbol;Acc:MGI:2675617] MKMENYEACVGFDVCEIPLSAVAQKIMSAMRSGDFMDSRNEGESTNTSKVAKKSSVHYSV LAEHEETQSLGTKNPESLITQTPRGSIELCPQPSITKLTCQLSAGQVQNSISSLGLSSYL IPQCDQEASVLPNMEHKRQHFLKENIGKEDKDNSSLKRKYITCSKSSEKASKHTALEKDT DGTESWPNSRDTRALGERLCDVRYLGDLAKAQLMDALKQAAALVVTLMYKDGSTQLSAKE ALTCTVKGIVVLLKSHVGNSTLTLPAHGGALEKDFISEDHCVYIHTEHSPFWDPKQEAHS LFVRNILFWTLRCKCPVVCFNAKDFVRTVLQLYGEDGSWKHVADFVGLDPRVAAWLIDPS DTAPSFEDLVAKHLEKSITVKPSSTFREASRNTLSQNVFMNLKILYDLTMDLCSKLKAYG LWQLFCTLELPLIPILAVMENHKIPVDKEEMERTSALLGARLKELEQEAHFVAGEQFLIM SNNQLREILFGKLKLHLLSQRKHLPRTGLQNQLSTSEAMLNSLQDLHPLPKLILEYRQVH KIKSTFIDGLLAYMKKGSISSTWNQTGTVTGRLSAKHPNIQGISKHPIKISKPWNFKGKE EETVTISPRTLFVSSEGHTFLAADFSQIELRILAHLSGDPELLKLFQESERDDVFSTLTS QWKDIPIERVTHMDREQTKKVVYSVVYGAGYVTSILGRRRPLPRICAQDQQLRAQAERQA VNFVVQGSAADLCKLAMIRISTAVATSPTLTARLVAQIHDELLFEVEDTQVPEFAALVRR IMESLQQVQTLELQLQVPLKVNLSVGRSWGHLTPLQEILGSA >ENSMUSP00000036110.7 pep:known chromosome:GRCm38:5:34007198:34169448:-1 gene:ENSMUSG00000045102.11 transcript:ENSMUST00000042954.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poln description:DNA polymerase N [Source:MGI Symbol;Acc:MGI:2675617] MKMENYEACVGFDVCEIPLSAVAQKIMSAMRSGDFMDSRNEGESTNTSKVAKKSSVHYSV LAEHEETQSLGTKNPESLITQTPRGSIELCPQPSITKLTCQLSAGQVQNSISSLGLSSYL IPQCDQEASVLPNMEHKRQHFLKENIGKEDKDNSSLKRKYITCSKSSEKASKHTALEKDT DGTESWPNSRDTRALGERLCDVRYLGDLAKAQLMDALKQAAALVVTLMYKDGSTQLSAKE ALTCTVKGIVVLLKSHVGNSTLTLPAHGGALEKDFISEDHCVYIHTEHSPFWDPKQEAHS LFVRNILFWTLRCKCPVVCFNAKDFVRTVLQLYGEDGSWKHVADFVGLDPRVAAWLIDPS DTAPSFEDLVAKHLEKSITVKPSSTFREASRNTLSQNVFMNLKILYDLTMDLCSKLKAYG LWQLFCTLELPLIPILAVMENHKIPVDKEEMERTSALLGARLKELEQEAHFVAGEQFLIM SNNQLREILFGKLKLHLLSQRKHLPRTGLQNQLSTSEAMLNSLQDLHPLPKLILEYRQVH KIKSTFIDGLLAYMKKGSISSTWNQTGTVTGRLSAKHPNIQGISKHPIKISKPWNFKGKE EETVTISPRTLFVSSEGHTFLAADFSQIELRILAHLSGDPELLKLFQESERDDVFSTLTS QWKDIPIERVTHMDREQTKKVVYSVVYGAGKERLAACLGVTVLEATHFLERFLQKYKKIK DFAQTVIGQCHSAGYVTSILGRRRPLPRICAQDQQLRAQAERQAVNFVVQGSAADLCKLA MIRISTAVATSPTLTARLVAQIHDELLFEVEDTQVPEFAALVRRIMESLQQVQTLELQLQ VPLKVNLSVGRSWGHLTPLQEILGSA >ENSMUSP00000144578.1 pep:known chromosome:GRCm38:5:34007283:34169426:-1 gene:ENSMUSG00000045102.11 transcript:ENSMUST00000202409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poln description:DNA polymerase N [Source:MGI Symbol;Acc:MGI:2675617] MKMENYEACVGFDVCEIPLSAVAQKIMSAMRSGDFMDSRNEGESTNTSKVAKKSSVHYSV LAEHEETQSLGTKNPESLITQTPRGSIELCPQPSITKLTCQLSAGQVQNSISSLGLSSYL IPQCDQEASVLPNMEHKRQHFLKENIGKEDKDNSSLKRKYITCSKSSEKASKHTALEKDT DGTESWPNSRDTRALGERLCDVRYLGDLAKAQLMDALKQAAALVVTLMYKDGSTQLSAKE ALTCTVKGIVVLLKSHVGNSTLTLPAHGGALEKDFISEDHCVYIHTEHSPFWDPKQEAHS LFVRNILFWTLRCKCPVVCFNAKDFVRTVLQLYGEDGSWKHVADFVGLDPRVAAWLIDPS DTAPSFEDLVAKHLEKSITVKPSSTFREASRNTLSQNVFMNLKILYDLTMDLCSKLKAYG LWQLFCTLELPLIPILAVMENHKIPVDKEEMERTSALLGARLKELEQEAHFVAGEQFLIM SNNQLREILFGKLKLHLLSQRKHLPRTGLQNQLSTSEAMLNSLQDLHPLPKLILEYRQGS ISSTWNQTGTVTGRLSAKHPNIQGISKHPIKISKPWNFKGKEEETVTISPRTLFVSSEGH TFLAADFSQIELRILAHLSGDPELLKLFQESERDDVFSTLTSQWKDIPIERVTHMDREQT KKVVYSVVYGAGKERLAACLGVTVLEATHFLERFLQKYKKIKDFAQTVIGQCHSAGYVTS ILGRRRPLPRICAQDQQLRAQAERQAVNFVVQGSAADLCKLAMIRISTAVATSPTLTARL VAQIHDELLFEVEDTQVPEFAALVRRIMESLQQVQTLELQLQVPLKVNLSVGRSWGHLTP LQEILGSA >ENSMUSP00000109592.1 pep:known chromosome:GRCm38:X:89403848:89409689:-1 gene:ENSMUSG00000035395.11 transcript:ENSMUST00000113959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pet2 description:plasmacytoma expressed transcript 2 [Source:MGI Symbol;Acc:MGI:101758] MSSHESYTNAAETPENISILSCLGETSGALVDTKTISDIKTMDPEVSLTPSSDVTGTEDS SVLSPQSTDVNSVDSYQGYEGDDDDEEDDEDDKDGDSNLPSLEDSDNFISCLENSYIPQN VEKREVVEEQSLGRRFHPYELEAGEVVEGQGGGSLFYPYELEAGEVVEAQNVQNLFHRYE LEEGEVVEAQVVQSMFPYYELEAGEVVEAEEVQGFFQRYELEAREVIGAQGGQGLSRHYG LEGGEVVEATAVRRLIQHHELEEGEDVDDQEESSEMHEETSEDSSEQYDIEDDSLIDEWI ALETSPLPRPRWNVLSALRDRQLGSSGRFVYEACGARLFVQRFSLEHVFEGHSGCVNTVH FNQHGTLLASGSDDLKVIVWDWLKKRSVLNFDSGHKNNILQAKFLPNCNDAILAMCGRDG QVRVAQLSAVAGTHMTKRLVKHGGASHRLGLEPDSPFRFLTSGEDAVVFNIDLRQAHPAS KLLVIKDGDKKVGLYTVFVNPANVYQFAVGGQDQFMRIYDQRKIDENVNNGVLKKFCPHH LLSSDYPAHITSLMYSYDGTEILASYNDEDIYIFNSSDSDGAQYAKRYKGHRNNSTVKGV YFYGPRSEFVMSGSDCGHIFIWEKSSCQIVQFLEADEGGTINCIDSHPYLPVLASSGLDH EVKIWSPIAEPSKKLAGLKNVIKINKLKRDNFTLRHTSLFNNSMLCFLMSHVTQSNYGRS WRGIRINAGGGDFSDSSSSSEETNQES >ENSMUSP00000109593.2 pep:known chromosome:GRCm38:X:89403848:89409689:-1 gene:ENSMUSG00000035395.11 transcript:ENSMUST00000113960.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pet2 description:plasmacytoma expressed transcript 2 [Source:MGI Symbol;Acc:MGI:101758] MSSHESYTNAAETPENISILSCLGETSGALVDTKTISDIKTMDPEVSLTPSSDVTGTEDS SVLSPQSTDVNSVDSYQGYEGDDDDEEDDEDDKDGDSNLPSLEDSDNFISCLENSYIPQN VEKREVVEEQSLGRRFHPYELEAGEVVEGQGGGSLFYPYELEAGEVVEAQNVQNLFHRYE LEEGEVVEAQVVQSMFPYYELEAGEVVEAEEVQGFFQRYELEAREVIGAQGGQGLSRHYG LEGGEVVEATAVRRLIQHHELEEGEDVDDQEESSEMHEETSEDSSEQYDIEDDSLIDEWI ALETSPLPRPRWNVLSALRDRQLGSSGRFVYEACGARLFVQRFSLEHVFEGHSGCVNTVH FNQHGTLLASGSDDLKVIVWDWLKKRSVLNFDSGHKNNILQAKFLPNCNDAILAMCGRDG QVRVAQLSAVAGTHMTKRLVKHGGASHRLGLEPDSPFRFLTSGEDAVVFNIDLRQAHPAS KLLVIKDGDKKVGLYTVFVNPANVYQFAVGGQDQFMRIYDQRKIDENVNNGVLKKFCPHH LLSSDYPAHITSLMYSYDGTEILASYNDEDIYIFNSSDSDGAQYAKRYKGHRNNSTVKGV YFYGPRSEFVMSGSDCGHIFIWEKSSCQIVQFLEADEGGTINCIDSHPYLPVLASSGLDH EVKIWSPIAEPSKKLAGLKNVIKINKLKRDNFTLRHTSLFNNSMLCFLMSHVTQSNYGRS WRGIRINAGGGDFSDSSSSSEETNQES >ENSMUSP00000093959.2 pep:known chromosome:GRCm38:19:8941875:8952303:1 gene:ENSMUSG00000071646.9 transcript:ENSMUST00000096240.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta2 description:metastasis-associated gene family, member 2 [Source:MGI Symbol;Acc:MGI:1346340] MAANMYRVGDYVYFENSSSNPYLVRRIEELNKTANGNVEAKVVCLFRRRDISSSLNSLAD SNAREFEEESKQPGVSEQQRHQLKHRELFLSRQFESLPATHIRGKCSVTLLNETDILNQY LDKEDCFFYSLVFDPVQKTLLADQGEIRVGCKFQAEIPDRLAEGESDNRNQQKMEMKVWD PDNPLTDRQIDQFLVVARAVGTFARALDCSSSIRQPSLHMSAAAASRDITLFHAMDTLQR NGYDLAKAMSTLVPQGGPVLCRDEMEEWSASEAMLFEEALEKYGKDFNDIRQDFLPWKSL ASIVQFYYMWKTTDRYIQQKRLKAAEADSKLKQVYIPTYTKPNPNQIISVGSKPGMNGAG FQKGLTCESCHTTQSAQWYAWGPPNMQCRLCASCWIYWKKYGGLKTPTQLEGAARGTTEP HSRGHLSRPEAQSLSPYTTSANRAKLLAKNRQTFLLQTTKLTRLARRMCRDLLQPRRAAR RPYAPINANAIKAECSIRLPKAAKTPLKIHPLVRLPLATIVKDLVAQAPLKPKTPRGTKT PINRNQLTQNRGLGGIMVKRSYETMAGAGVPFSANGRPLASGIRSSSQPAAKRQKLNPAD APNPVVFVATKDTRALRKALTHLEMRRAARRPNLPLKVKPTLMTVRPPVPLPASSHPAST NEPIVLED >ENSMUSP00000135581.1 pep:known chromosome:GRCm38:9:36890982:37083309:-1 gene:ENSMUSG00000035934.15 transcript:ENSMUST00000177218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pknox2 description:Pbx/knotted 1 homeobox 2 [Source:MGI Symbol;Acc:MGI:2445415] MMQHASPAPALTMMATQNVPPPPYQDSPQMTATAQPPSKAQAVHISAPSATASTPVPSAP IDPQAQLEADKRAVYRHPLFPLLTLLFEKCEQATQGSECITSASFDVDIENFVHQQEQEH KPFFSDDPELDNLMVKAIQVLRIHLLELEKVNELCKDFCNRYITCLKTKMHSDNLLRNDL GGPYSPNQPSINLHSQDLLQNSPNSMSGVSNNPQGIVVPASALQQGNIAMTTVNSQVVSG GALYQPVTMVTSQGQVVTQAIPQGAIQIQNTQVNLDLTSLLDNEDKKSKNKRGVLPKHAT NIMRSWLFQHLMHPYPTEDEKRQIAAQTNLTLLQVNNWFINARRRILQPMLDASNPDPAP KAKKIKSQHRPTQRFWPNSIAAGVLQQQGGTPGTNPDGSINLDNLQSLSSDNATMAMQQA MMAAHDDSLDGTEEEDEDDMEEEEEEEEELEEEADELQTTNVSDLGLEHSDSLE >ENSMUSP00000035806.5 pep:known chromosome:GRCm38:9:36890982:37147289:-1 gene:ENSMUSG00000035934.15 transcript:ENSMUST00000039674.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pknox2 description:Pbx/knotted 1 homeobox 2 [Source:MGI Symbol;Acc:MGI:2445415] MMQHASPAPALTMMATQNVPPPPYQDSPQMTATAQPPSKAQAVHISAPSATASTPVPSAP IDPQAQLEADKRAVYRHPLFPLLTLLFEKCEQATQGSECITSASFDVDIENFVHQQEQEH KPFFSDDPELDNLMVKAIQVLRIHLLELEKVNELCKDFCNRYITCLKTKMHSDNLLRNDL GGPYSPNQPSINLHSQDLLQNSPNSMSGVSNNPQGIVVPASALQQGNIAMTTVNSQVVSG GALYQPVTMVTSQGQVVTQAIPQGAIQIQNTQVNLDLTSLLDNEDKKSKNKRGVLPKHAT NIMRSWLFQHLMHPYPTEDEKRQIAAQTNLTLLQVNNWFINARRRILQPMLDASNPDPAP KAKKIKSQHRPTQRFWPNSIAAGVLQQQGGTPGTNPDGSINLDNLQSLSSDNATMAMQQA MMAAHDDSLDGTEEEDEDDMEEEEEEEEELEEEADELQTTNVSDLGLEHSDSLE >ENSMUSP00000138866.1 pep:known chromosome:GRCm38:9:36892478:36968490:-1 gene:ENSMUSG00000035934.15 transcript:ENSMUST00000175938.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pknox2 description:Pbx/knotted 1 homeobox 2 [Source:MGI Symbol;Acc:MGI:2445415] MMQHASPAPALTMMATQNVPPPPYQDSPQAPSFPAPDAAV >ENSMUSP00000135641.3 pep:known chromosome:GRCm38:9:36936426:37083279:-1 gene:ENSMUSG00000035934.15 transcript:ENSMUST00000177444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pknox2 description:Pbx/knotted 1 homeobox 2 [Source:MGI Symbol;Acc:MGI:2445415] MSVKMTATAQPPSKAQAVHISAPSATASTPVPSAPIDPQAQLEADKRAVYRHPLFPLLTL LFEKCEQATQGSE >ENSMUSP00000139976.1 pep:known chromosome:GRCm38:9:36936439:37137567:-1 gene:ENSMUSG00000035934.15 transcript:ENSMUST00000188348.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pknox2 description:Pbx/knotted 1 homeobox 2 [Source:MGI Symbol;Acc:MGI:2445415] MMQHASPAPALTMMATQNVPPPPYQDSPQMTATAQPPSKAQAVHISAPSATASTPVPSAP IDPQAQLEADKRAVYRHPLFPLLTLLFEKCEQAT >ENSMUSP00000140793.1 pep:known chromosome:GRCm38:9:36936455:37147322:-1 gene:ENSMUSG00000035934.15 transcript:ENSMUST00000188057.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pknox2 description:Pbx/knotted 1 homeobox 2 [Source:MGI Symbol;Acc:MGI:2445415] MMQHASPAPALTMMATQNVPPPPYQDSPQMTATAQPPSKAQAVHISAPSATASTPVPSAP IDPQAQLEADKRAVYRHPLFPLLTLLFE >ENSMUSP00000139728.1 pep:known chromosome:GRCm38:9:36954772:37145660:-1 gene:ENSMUSG00000035934.15 transcript:ENSMUST00000189294.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pknox2 description:Pbx/knotted 1 homeobox 2 [Source:MGI Symbol;Acc:MGI:2445415] MMQHASPAPALTMMATQNVPPPPYQDSPQMTATAQPPSKAQAVHISAPS >ENSMUSP00000079578.5 pep:known chromosome:GRCm38:9:36890985:37147314:-1 gene:ENSMUSG00000035934.15 transcript:ENSMUST00000080754.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pknox2 description:Pbx/knotted 1 homeobox 2 [Source:MGI Symbol;Acc:MGI:2445415] MMQHASPAPALTMMATQNVPPPPYQDSPQMTATAQPPSKAQAVHISAPSATASTPVPSAP IDPQAQLEADKRAVYRHPLFPLLTLLFEKCEQATQGSECITSASFDVDIENFVHQQEQEH KPFFSDDPELDNLMVKAIQVLRIHLLELEKVNELCKDFCNRYITCLKTKMHSDNLLRNDL GGPYSPNQPSINLHSQDLLQNSPNSMSGVSNNPQGIVVPASALQQGNIAMTTVNSQVVSG GALYQPVTMVTSQGQVVTQAIPQGAIQIQNTQVNLDLTSLLDNEDKKSKNKRGVLPKHAT NIMRSWLFQHLMHPYPTEDEKRQIAAQTNLTLLQVNNWFINARRRILQPMLDASNPDPAP KAKKIKSQHRPTQRFWPNSIAAGVLQQQGGTPGTNPDGSINLDNLQSLSSDNATMAMQQA MMAAHDDSLDGTEEEDEDDMEEEEEEEEELEEEADELQTTNVSDLGLEHSDSLE >ENSMUSP00000040478.7 pep:known chromosome:GRCm38:8:31111820:31131482:1 gene:ENSMUSG00000039328.9 transcript:ENSMUST00000046941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf122 description:ring finger protein 122 [Source:MGI Symbol;Acc:MGI:1916117] MHPFQWCNGCFCGLGLVSTNKSCSMPPISFQDLPLNIYMVIFGTGIFVFMLSLIFCCYFI SKLRNQAQSERYGYKEVVLKGDAKKLQLYGTCAVCLEDFKGKDELGVLPCQHAFHRKCLV KWLEVRCVCPMCNKPIAGPTETSQSIGILLDELV >ENSMUSP00000104506.1 pep:known chromosome:GRCm38:2:180774381:180776900:-1 gene:ENSMUSG00000045493.4 transcript:ENSMUST00000108878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlhe23 description:basic helix-loop-helix family, member e23 [Source:MGI Symbol;Acc:MGI:2153710] MAELKSLSGDSYLALSHSYTATGHAYAAARGPETTRGFGASGPGGDLPAAPASRVPAATV ESSGEQSGDEDEAFERRRRRRGSGVAVDARRRPREQRSLRLSINARERRRMHDLNDALDG LRAVIPYAHSPSVRKLSKIATLLLAKNYILMQAQALEEMRRLVAYLNQGQGLAAPVAAAP LTPFGQAAIYPFSAGTALGPCPDKCATFSGSPSALCKHCGEKP >ENSMUSP00000145443.1 pep:known chromosome:GRCm38:6:113493639:113501883:-1 gene:ENSMUSG00000045009.9 transcript:ENSMUST00000204268.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrt3 description:proline-rich transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:2444810] MAPSPQACTSPLLLLLLPCLGAGPALGRGLPRPLENSEPHMIPSESQTFDLFWEKLRNES SWHSGDPQARAEGPKKPADPYLGPALHGPKAAPGVQGERLLRADDLQLARAFTSQGWTGP PDSQELLEPEAPEPHPVRAPRLTLVTTTPSSLLSAAILSTASQKPGGTAGQQPARNEELI MVKAETHITQASPWDFQGSSHTPVPETDAVRTLVLGKQGGHEQGFQEAVQGPLLTQQDPV VPGVGSTPPVKVESTPEPGAQLDLALVRSLPLPEGLPAEPPKTGAGDTWEVSSLGPQPEQ TDLLGGQDSPAPQPIPPSASDTSDGHLRPAVSSLNGADPISPQRVRGAMEAPGTPKSFIP DLPNSAQAANGTESPVRALQPDEAEDWPGRPQSHPPAPPVQAPSTSRRGLVRVTTQRALG QPLPPEPSASSIVPIPASSPPANATAPPLRWGPLRRVLSFSWELHVYGVGVLFLLPALLA LVTVAAALAGPRLALVAAALVLVASGLRSAYMLTDPYGSQARLGVRAGLVLYNLPFPLLL TALAALTLLGLGAGLPQPLQKPLLLGIVAPVHGTCLLATDLFSTSPVLNLLTQGLSCAWG ASVALGTLCLCRRRLLEGPRGWDASPGPRLLAVAGSLGLLASGLQLAASLWLYPGPGREG RFSWAWWGVHFWLRLLELTWALALALAALAATRPRPPTEHACWAKLLRLACPAPTGKSEV PERPNNCYAGPSGLGTGGLDISKSLIRNAAGEAGLPVTPGSGPWGSAASLGRGRPGGQRM SRGSVGPAPSLSELDLRPPSPINLSRSIDAALFREHLVRESVFQRCGLRGLASSPTGGAL RPRRGSQPDAELDGAGTSLLRGRCRSLTEVCLRTSLPQHVMEPPVGAAAAGTSGSSLDSF SKGSLKISWNPWRHGLSSVDSLPLDELPSTVQLLPPPTPVPAPARAGEPQGEGQSRCKSS ESHSASSDTIEL >ENSMUSP00000145031.1 pep:known chromosome:GRCm38:6:113493925:113501931:-1 gene:ENSMUSG00000045009.9 transcript:ENSMUST00000204134.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrt3 description:proline-rich transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:2444810] MAPSPQACTSPLLLLLLPCLGAGPALGRGLPRPLENSEPHMIPSESQTFDLFWEKLRNES SWHSGDPQARAEGPKKPADPYLGPALHGPKAAPGVQGERLLRADDLQLARAFTSQGWTGP PDSQELLEPEAPEPHPVRAPRLTLVTTTPSSLLSAAILSTASQKPGGTAGQQPARNEELI MVKAETHITQASPWDFQGSSHTPVPETDAVRTLVLGKQGGHEQGFQEAVQGPLLTQQDPV VPGVGSTPPVKVESTPEPGAQLDLALVRSLPLPEGLPAEPPKTGAGDTWEVSSLGPQPEQ TDLLGGQDSPAPQPIPPSASDTSDGHLRPAVSSLNGADPISPQRVRGAMEAPGTPKSFIP DLPNSAQAANGTESPVRALQPDEAEDWPGRPQSHPPAPPVQAPSTSRRGLVRVTTQRALG QPLPPEPSASSIVPIPASSPPANATAPPLRWGPLRRVLSFSWELHVYGVGVLFLLPALLA LVTVAAALAGPRLALVAAALVLVASGLRSAYMLTDPYGSQARLGVRAGLVLYNLPFPLLL TALAALTLLGLGAGLPQPLQKPLLLGIVAPVHGTCLLATDLFSTSPVLNLLTQGLSCAWG ASVALGTLCLCRRRLLEGPRGWDASPGPRLLAVAGSLGLLASGLQLAASLWLYPGPGREG RFSWAWWGVHFWLRLLELTWALALALAALAATRPRPPTEHACWAKLLRLACPAPTGKSEV PERPNNCYAGPSGLGTGGLDISKSLIRNAAGEAGLPVTPGSGPWGSAASLGRGRPGGQRM SRGSVGPAPSLSELDLRPPSPINLSRSIDAALFREHLVRESVFQRCGLRGLASSPTGGAL RPRRGSQPDAELDGAGTSLLRGRCRSLTEVCLRTSLPQHVMEPPVGAAAAGTSGSSLDSF SKGSLKISWNPWRHGLSSVDSLPLDELPSTVQLLPPPTPVPAPARAGEPQGEGQSRCKSS ESHSASSDTIEL >ENSMUSP00000098620.1 pep:known chromosome:GRCm38:6:113494095:113501818:-1 gene:ENSMUSG00000045009.9 transcript:ENSMUST00000101059.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrt3 description:proline-rich transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:2444810] MAPSPQACTSPLLLLLLPCLGAGPALGRGLPRPLENSEPHMIPSESQTFDLFWEKLRNES SWHSGDPQARAEGPKKPADPYLGPALHGPKAAPGVQGERLLRADDLQLARAFTSQGWTGP PDSQELLEPEAPEPHPVRAPRLTLVTTTPSSLLSAAILSTASQKPGGTAGQQPARNEELI MVKAETHITQASPWDFQGSSHTPVPETDAVRTLVLGKQGGHEQGFQEAVQGPLLTQQDPV VPGVGSTPPVKVESTPEPGAQLDLALVRSLPLPEGLPAEPPKTGAGDTWEVSSLGPQPEQ TDLLGGQDSPAPQPIPPSASDTSDGHLRPVSSLNGADPISPQRVRGAMEAPGTPKSFIPD LPNSAQAANGTESPVRALQPDEAEDWPGRPQSHPPAPPVQAPSTSRRGLVRVTTQRALGQ PLPPEPSASSIVPIPASSPPANATAPPLRWGPLRRVLSFSWELHVYGVGVLFLLPALLAL VTVAAALAGPRLALVAAALVLVASGLRSAYMLTDPYGSQARLGVRAGLVLYNLPFPLLLT ALAALTLLGLGAGLPQPLQKPLLLGIVAPVHGTCLLATDLFSTSPVLNLLTQGLSCAWGA SVALGTLCLCRRRLLEGPRGWDASPGPRLLAVAGSLGLLASGLQLAASLWLYPGPGREGR FSWAWWGVHFWLRLLELTWALALALAALAATRPRPPTEHACWAKLLRLACPAPTGKSEVP ERPNNCYAGPSGLGTGGLDISKSLIRNAAGEAGLPVTPGSGPWGSAASLGRGRPGGQRMS RGSVGPAPSLSELDLRPPSPINLSRSIDAALFREHLVRESVFQRCGLRGLASSPTGGALR PRRGSQPDAELDGAGTSLLRGRCRSLTEVCLRTSLPQHVMEPPVGAAAAGTSGSSLDSFS KGSLKISWNPWRHGLSSVDSLPLDELPSTVQLLPPPTPVPAPARAGEPQGEGQSRCKSSE SHSASSDTIEL >ENSMUSP00000145183.1 pep:known chromosome:GRCm38:6:113496268:113501887:-1 gene:ENSMUSG00000045009.9 transcript:ENSMUST00000205170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrt3 description:proline-rich transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:2444810] MAPSPQACTSPLLLLLLPCLGAGPALGRGLPRPLENSEPHMIPSESQTFDLFWEKLRNES SWHSGDPQARAEGPKKPADPYLGPALHGPKAAPGVQGERLLRADDLQLARAFTSQGWTGP PDSQELLEPEAPEPHPVRAPRLTLVTTTPSSLLSAAILSTASQKPGGTAGQQPARNEELI MVKAETHITQASPWDFQGSSHTPVPETDAVRTLVLGKQGGHEQGFQEAVQGPLLTQQDPV VPGVGSTPPVKVESTPEPGAQLDLALVRSLPLPEGLPAEPPKTGAGDTWEVSSLGPQPEQ TDLLGGQDSPAPQPIPPSASDTSDGHLRPAVSSLNGADPISPQRVRGAMEAPGTPKSFIP DLPNSAQAANGTESPVRALQPGEATARGEGRETKNLCLVMGQGPGLNREKHPLKGIG >ENSMUSP00000145089.1 pep:known chromosome:GRCm38:6:113496300:113501893:-1 gene:ENSMUSG00000045009.9 transcript:ENSMUST00000205075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrt3 description:proline-rich transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:2444810] MAPSPQACTSPLLLLLLPCLGAGPALGRGLPRPLENSEPHMIPSESQTFDLFWEKLRNES SWHSGDPQARAEGPKKPADPYLGPALHGPKAAPGVQGERLLRADDLQLARAFTSQGWTGP PDSQELLEPEAPEPHPVRAPRLTLVTTTPSSLLSAAILSTASQKPGGTAGQQPARNEELI MVKAETHITQASPWDFQGSSHTPVPETDAVRTLVLGKQGGHEQGFQEAVQGPLLTQQDPV VPGVGSTPPVKVESTPEPGAQLDLALVRSLPLPEGLPAEPPKTGAGDTWEVSSLGPQPEQ TDLLGGQDSPAPQPIPPSASDTSDGHLRPAVSSLNGADPISPQRVRGAMEAPGTPKSFIP DLPNSAQAANGTESPVRALQPGEATARGEGRETKNLCLVMGQGPGLNREKHPLKGIG >ENSMUSP00000144523.1 pep:known chromosome:GRCm38:5:94526287:94546423:1 gene:ENSMUSG00000095074.4 transcript:ENSMUST00000201138.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3139 description:predicted gene 3139 [Source:MGI Symbol;Acc:MGI:3781318] MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYVPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGIGVGSATHGLLFARAEGGGSLCYF YLPIPKSHQELQLKEEVYITVLWKHLSKGEEERLAFLINGFLKEQSLLVGKAEESKEEKM WNKITLRPR >ENSMUSP00000144005.1 pep:known chromosome:GRCm38:5:94526304:94538371:1 gene:ENSMUSG00000095074.4 transcript:ENSMUST00000202642.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3139 description:predicted gene 3139 [Source:MGI Symbol;Acc:MGI:3781318] MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYVPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGNVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000137485.1 pep:known chromosome:GRCm38:5:94534849:94537928:1 gene:ENSMUSG00000095074.4 transcript:ENSMUST00000178330.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3139 description:predicted gene 3139 [Source:MGI Symbol;Acc:MGI:3781318] MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYVPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGNVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000033267.2 pep:known chromosome:GRCm38:7:119486587:119523489:-1 gene:ENSMUSG00000030968.3 transcript:ENSMUST00000033267.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdilt description:protein disulfide isomerase-like, testis expressed [Source:MGI Symbol;Acc:MGI:1919080] MELLWTPLLLVAACLSEVLGSPEIDTGINISQPLHILEDHNLMVLTPAGLTQTLNETRFL MVIFHNPSLKQSRKLAKELGKAAEIFGKGKNGLGFGKVDITKETELQQEFDITHAPELKL FFEGNRLKPISCKDVVESTALVVWLRRQISKKALLFNNSDEVADFVKSRPLVIVGFFQDL EEEVAELFYDTIKDFPELTFGAIQIKNSFGRFHVILDSVLVFKKGKIVKRQELINDSTNK DHLNQVIKQQLTGFVIELNPENKDLIYELNILNHMLLFISKSSEPYSTISRHYRQIAKEF QNKILFVLVNADEPKNKRIFEYFQISRVNVPSVQILNLSSDGRYKMPTDDINFESLKKFC NSFLSKTAKKHKASEEIPKYWDQGPVKKLVGKNFNVVVLDKEKDVFVMFYAPWSEKCRVL LPLLEELGIKYQNHSTVIIAKIDITANDIQLANPEQYPFFRLFPTDSQEAVMYKGEHTMK GFCDFLESHVKVRIEEEDELLYIEQNEEEEVLAEPEIQLIEKLPENPLLKIEDTSKQDRP VKESPVLDSIRKPEEPERRKETAEREAAAAQPKEQPKPERKLEVKEEL >ENSMUSP00000146664.1 pep:known chromosome:GRCm38:7:119491737:119498785:-1 gene:ENSMUSG00000030968.3 transcript:ENSMUST00000208275.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdilt description:protein disulfide isomerase-like, testis expressed [Source:MGI Symbol;Acc:MGI:1919080] LLIRKESRGVRNSESHQNTIKGKIVKRQELINDSTNKDHLNQVIKQQLTGFVIELNPENK DLIYELNILNHMLLFISKSSEPYSTISRHYRQIAKEFQNKFP >ENSMUSP00000030862.4 pep:known chromosome:GRCm38:4:148098437:148130698:-1 gene:ENSMUSG00000029005.4 transcript:ENSMUST00000030862.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Draxin description:dorsal inhibitory axon guidance protein [Source:MGI Symbol;Acc:MGI:1917683] MAGCPVLRVPTLFLILLLFPELHTAGTLASGSSARNLPETHSHLPSSALWVSQASHHGRR GLGKKDRGPGRPSRAQEGAVVTATKQASQMTLGQPPAGLLQNKELLLGLTLPYPEKEARS PAWERVKKRGREHKRRRDRLRLHRGRAAIRGPSSLMKKVEPSEDRMLEGTMEESSTSLAP TMFFLTMTDGATPTTEESRILPVTSLRPQTQPRSDGEVMPTLDMALFDWTDYEDLKPEVW PSAKKKEKHWSHFTSDGNETSPAEGDPCDHHQDCLPGTCCDLREHLCTPHNRGLNNKCFD DCMCMEGLRCYAKFHRNRRVTRRKGRCVEPETANGDQGSFINI >ENSMUSP00000022317.8 pep:known chromosome:GRCm38:14:40993740:41013775:-1 gene:ENSMUSG00000021792.15 transcript:ENSMUST00000022317.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213a description:family with sequence similarity 213, member A [Source:MGI Symbol;Acc:MGI:1917814] MGMWSIGVGAVGAAAVALLLANTDMFLSKPRKAALEYLEDIDLKTLEKEPRTFKAKELWE KNGAVIMAVRRPGCFLCRAEAADLMSLKPKLDELGVPLYAVVKEQVKREVEDFQPYFKGE IFLDEKKKFYGPERRKMMFMGLIRLGVWYNSFRAWNGGFSGNLEGEGFILGGVFVIGSGK QGILLEHREKEFGDRVNPLSVLEAVKKIKLQTPASGRS >ENSMUSP00000112377.1 pep:known chromosome:GRCm38:14:40993740:41013788:-1 gene:ENSMUSG00000021792.15 transcript:ENSMUST00000118466.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213a description:family with sequence similarity 213, member A [Source:MGI Symbol;Acc:MGI:1917814] MSFLQDPSFFSMGMWSIGVGAVGAAAVALLLANTDMFLSKPRKAALEYLEDIDLKTLEKE PRTFKAKELWEKNGAVIMAVRRPGCFLCRAEAADLMSLKPKLDELGVPLYAVVKEQVKRE VEDFQPYFKGEIFLDEKKKFYGPERRKMMFMGLIRLGVWYNSFRAWNGGFSGNLEGEGFI LGGVFVIGSGKQGILLEHREKEFGDRVNPLSVLEAVKKIKLQTPASGRS >ENSMUSP00000121795.1 pep:known chromosome:GRCm38:14:40994572:41008266:-1 gene:ENSMUSG00000021792.15 transcript:ENSMUST00000136661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213a description:family with sequence similarity 213, member A [Source:MGI Symbol;Acc:MGI:1917814] MGMWSIGVGAVGAAAVALLLANTDMFLSKPRKAALEYLEDIDLKTLEKEPRTFKAKELWE KNGAVIMAVRRPGCFLCRAEAADLMSLKPKLDELGVPLYAVVKEQVKREVEDFQPYFKGE IFLDEKKKFYGPERRKMMFMGLIRLGVWYNSFRAWNGGFSGNLEGEGFILGGVFVIGSGK QGILLEHREKEFGDRVNPLSVLE >ENSMUSP00000115439.1 pep:known chromosome:GRCm38:14:40998911:41006976:-1 gene:ENSMUSG00000021792.15 transcript:ENSMUST00000134715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213a description:family with sequence similarity 213, member A [Source:MGI Symbol;Acc:MGI:1917814] MGMWSIGVGAVGAAAVALLLANTDMFLSKPRKAALEYLEDIDLKTLEKEPRTFKAKELWE KNGAVIMAVRRPGCFLCRAEAADLMSLKPKLDELGVPLYAVVK >ENSMUSP00000123109.1 pep:known chromosome:GRCm38:14:41004041:41006920:-1 gene:ENSMUSG00000021792.15 transcript:ENSMUST00000152837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213a description:family with sequence similarity 213, member A [Source:MGI Symbol;Acc:MGI:1917814] MGMWSIGVGAVGAAAVALLLANTDMFLSKPRKAALEYLEDIDLKTLEK >ENSMUSP00000122866.1 pep:known chromosome:GRCm38:14:40997728:41004979:-1 gene:ENSMUSG00000021792.15 transcript:ENSMUST00000130166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213a description:family with sequence similarity 213, member A [Source:MGI Symbol;Acc:MGI:1917814] MGMWSIGVGAVGAAAVALLLANTDMFLSKPRKAALEYLEDIDLKTLEKEPRTFKAKELWE KNGAVIMAVRRPGCFLCRAEAADLMSLKPKLDELGVPLYAVVKEQVKREVEDFQPYFKGE IFLDEKKKFYGPERRKMMFMGLIRLGVWYNSFRAWNGGFSGNLEGEGFILGGVFVI >ENSMUSP00000115839.1 pep:known chromosome:GRCm38:14:40997732:41013771:-1 gene:ENSMUSG00000021792.15 transcript:ENSMUST00000143143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213a description:family with sequence similarity 213, member A [Source:MGI Symbol;Acc:MGI:1917814] MGMWSIGVGAVGAAAVALLLANTDMFLSKPRKAALEYLEDIDLKTLEKEPRTFKAKELWE KNGAVIMAVRRPGCFLCRAEAADLMSLKPKLDELGVPLYAVVKEQVKREVEDFQPYFKGE IFLDEKKKFYGPERRKMMFMGLIRLGVWYNSFRAWNGGFSGNLEGEGFILGGVFV >ENSMUSP00000120052.1 pep:known chromosome:GRCm38:14:40998953:41013771:-1 gene:ENSMUSG00000021792.15 transcript:ENSMUST00000128236.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213a description:family with sequence similarity 213, member A [Source:MGI Symbol;Acc:MGI:1917814] MGMWSIGVGAVGAAAVALLLANTDMFLSKPRKAALEYLEDIDLKTLEKEPRTFKAKELWE KNGAVIMAVRRPGCFLCRAEAADLMSLKP >ENSMUSP00000117278.1 pep:known chromosome:GRCm38:14:40994526:41004533:-1 gene:ENSMUSG00000021792.15 transcript:ENSMUST00000153830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213a description:family with sequence similarity 213, member A [Source:MGI Symbol;Acc:MGI:1917814] MSFLQDPSFFSMGMWSIGVGAVGAAAVALLLANTDMFLSKPRKAALEYLEDIDLKTLEKE PRTFKAKELWEKNGAVIMAVRRPGCFLCRAEAADLMSLKPKLDELGVPLYAVVKEQVKRE VEDFQPYFKGEIFLDEKKKFYGPERRKMMFMGLIRLGVWYNSFRAWNGGFSGNLEGEGFI LGGVFVIGSGKQGILLEHREKEFGDRVNPLSVLEAVKKIKLQTPASGRS >ENSMUSP00000029800.2 pep:known chromosome:GRCm38:3:138742195:138907905:1 gene:ENSMUSG00000028152.10 transcript:ENSMUST00000029800.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan5 description:tetraspanin 5 [Source:MGI Symbol;Acc:MGI:1928096] MSGKHYKGPEVSCCIKYFIFGFNVIFWFLGITFLGIGLWAWNEKGVLSNISSITDLGGFD PVWLFLVVGGVMFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIK DQLYFFINNNIRAYRDDIDLQNLIDFTQEYWQCCGAFGADDWNLNIYFNCTDSNASRERC GVPFSCCTKDPAEDVINTQCGYDARQKPEVDQQIVIYTKGCVPQFEKWLQDNLTIVAGIF IGIALLQIFGICLAQNLVSDIEAVRASW >ENSMUSP00000120969.1 pep:known chromosome:GRCm38:3:138742305:138904426:1 gene:ENSMUSG00000028152.10 transcript:ENSMUST00000142890.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tspan5 description:tetraspanin 5 [Source:MGI Symbol;Acc:MGI:1928096] MSGKHYKGPEVSCCIKYFIFGFNVIFWFLGITFLGIGLWAWNEKGVLSNISSITDLGGFD PVWLFLVVGGVMFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIK DQLYFFINNNIRAYRDDIDLQNLIDFTQEYIPMQAESDAVCHFPAALKTPRKMSSTLSVA MMPGRNQKLTNRL >ENSMUSP00000122500.1 pep:known chromosome:GRCm38:3:138742505:138896861:1 gene:ENSMUSG00000028152.10 transcript:ENSMUST00000127772.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tspan5 description:tetraspanin 5 [Source:MGI Symbol;Acc:MGI:1928096] MSGKHYKGPEVSCCIKYFIFGFNVIFWTSLAGEERTLMEQLLQGFRFWE >ENSMUSP00000122120.2 pep:known chromosome:GRCm38:3:138742769:138894484:1 gene:ENSMUSG00000028152.10 transcript:ENSMUST00000153336.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan5 description:tetraspanin 5 [Source:MGI Symbol;Acc:MGI:1928096] MFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIKDQLYFFINNNI RAYRDDI >ENSMUSP00000117857.1 pep:known chromosome:GRCm38:3:138778206:138902494:1 gene:ENSMUSG00000028152.10 transcript:ENSMUST00000142001.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tspan5 description:tetraspanin 5 [Source:MGI Symbol;Acc:MGI:1928096] MFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIKDQLYFFINNNI RAYRDDIDLQNLIDFTQEYIPMQAESDAVCHFPAALKTPRKMSSTLSVAMMPGRNQKLTN RL >ENSMUSP00000120961.1 pep:known chromosome:GRCm38:3:138778207:138894518:1 gene:ENSMUSG00000028152.10 transcript:ENSMUST00000135629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan5 description:tetraspanin 5 [Source:MGI Symbol;Acc:MGI:1928096] MFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIKDQLYFFINNNI RAYRDDIDLQNLIDFTQEY >ENSMUSP00000114663.1 pep:known chromosome:GRCm38:3:138782854:138896871:1 gene:ENSMUSG00000028152.10 transcript:ENSMUST00000146356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan5 description:tetraspanin 5 [Source:MGI Symbol;Acc:MGI:1928096] MFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIKDQLYFFINNNI RAYRDDIDLQNLIDFTQEYWQCCGAFGADDWNLNIYFNCTDSNASR >ENSMUSP00000113230.1 pep:known chromosome:GRCm38:3:138809874:138903674:1 gene:ENSMUSG00000028152.10 transcript:ENSMUST00000119993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan5 description:tetraspanin 5 [Source:MGI Symbol;Acc:MGI:1928096] MFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIKDQLYFFINNNI RAYRDDIDLQNLIDFTQEYWQCCGAFGADDWNLNIYFNCTDSNASRERCGVPFSCCTKDP AEDVINTQCGYDARQKPEVDQQIVIYTKGCVPQFEKWLQDNLTIVAGIFIGIALLQIFGI CLAQNLVSDIEAVRASW >ENSMUSP00000113359.1 pep:known chromosome:GRCm38:3:138860493:138902657:1 gene:ENSMUSG00000028152.10 transcript:ENSMUST00000121826.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan5 description:tetraspanin 5 [Source:MGI Symbol;Acc:MGI:1928096] MFILGFAGCIGALRENTFLLKFFSVFLGIIFFLELTAGVLAFVFKDWIKDQLYFFINNNI RAYRDDIDLQNLIDFTQEYWQCCGAFGADDWNLNIYFNCTDSNASRERCGVPFSCCTKDP AEDVINTQCGYDARQKPEVDQQIVIYTKGCVPQFEKWLQDNLTIVAGIFIGIALLQIFGI CLAQNLVSDIEAVRASW >ENSMUSP00000147146.1 pep:known chromosome:GRCm38:X:164419787:164431836:1 gene:ENSMUSG00000031381.16 transcript:ENSMUST00000208741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piga description:phosphatidylinositol glycan anchor biosynthesis, class A [Source:MGI Symbol;Acc:MGI:99461] MANRRGGGQGQPPSVSPSPGTDLLSGIIPELCQKYQELHFLIGGEGPKRIILEEVRERYQ LHDRVQLLGALEHKDVRNVLVQGHIFLNTSLTEAFCMAIVEAASCGLQVVSTKVGGIPEV LPESLIILCEPSVKSLCDGLEKAIFQVKSGTLPAPENIHNVVKTFYTWRNVAERTEKVYE RVSKETVLPMHKRLDRLISHCGPVTGYMFALLAVLSYLFLIFLQWMTPDSFIDVAIDATG PRRAWT >ENSMUSP00000137831.1 pep:known chromosome:GRCm38:X:164419787:164433911:1 gene:ENSMUSG00000031381.16 transcript:ENSMUST00000112257.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Piga description:phosphatidylinositol glycan anchor biosynthesis, class A [Source:MGI Symbol;Acc:MGI:99461] MANRRGGGQGQPPSVSPSPGSSGNLSDDRTCTHNICMVSDFFYPNMGGVESHIYQLSQCL IERGHKVITVTHAYGNRKGVRYLTNGLKVYYLPLRVMYNQSTATTLFHSLPLLRD >ENSMUSP00000033754.7 pep:known chromosome:GRCm38:X:164419787:164433916:1 gene:ENSMUSG00000031381.16 transcript:ENSMUST00000033754.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piga description:phosphatidylinositol glycan anchor biosynthesis, class A [Source:MGI Symbol;Acc:MGI:99461] MANRRGGGQGQPPSVSPSPGSSGNLSDDRTCTHNICMVSDFFYPNMGGVESHIYQLSQCL IERGHKVITVTHAYGNRKGVRYLTNGLKVYYLPLRVMYNQSTATTLFHSLPLLRYIFVRE RITIIHSHSSFSAMAHDALFHAKTMGLQTVFTDHSLFGFADVSSVLTNKLLTVSLCDTNH IICVSYTSKENTVLRAALNPEIVSVIPNAVDPTDFTPDPFRRHDSVITVVVVSRLVYRKG TDLLSGIIPELCQKYQELHFLIGGEGPKRIILEEVRERYQLHDRVQLLGALEHKDVRNVL VQGHIFLNTSLTEAFCMAIVEAASCGLQVVSTKVGGIPEVLPESLIILCEPSVKSLCDGL EKAIFQVKSGTLPAPENIHNVVKTFYTWRNVAERTEKVYERVSKETVLPMHKRLDRLISH CGPVTGYMFALLAVLSYLFLIFLQWMTPDSFIDVAIDATGPRRAWTHQWPRDKKRDENDK ISQSR >ENSMUSP00000138077.1 pep:known chromosome:GRCm38:X:164419787:164433916:1 gene:ENSMUSG00000031381.16 transcript:ENSMUST00000151911.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Piga description:phosphatidylinositol glycan anchor biosynthesis, class A [Source:MGI Symbol;Acc:MGI:99461] MANRRGGGQGQPPSVSPSPGSSGNLSDDRTCTHNICMVSDFFYPNMGGVESHIYQLSQCL IERGHKVITVTHAYGNRKGVRYLTNGLKVYYLPLRVMYNQSTATTLFHSLPLLRYIFVRE RITIIHSHSSFSAMAHDALFHAKTMGLQTVFTDHSLFGFADVSSVLTNKLLTVSLCDTNH IICVSYTSKENTVLRAALNPEIVSVIPNAVDPTDFTPDPFRRHDSVITVVVVSRLVYRKG NDIVAQFALTACFFFISCRKLFNTCML >ENSMUSP00000146731.1 pep:known chromosome:GRCm38:X:164419798:164432060:1 gene:ENSMUSG00000031381.16 transcript:ENSMUST00000208697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piga description:phosphatidylinositol glycan anchor biosynthesis, class A [Source:MGI Symbol;Acc:MGI:99461] MGLEGTDLLSGIIPELCQKYQELHFLIGGEGPKRIILEEVRERYQLHDRVQLLGALEHKD VRNVLVQGHIFLNTSLTEAFCMAIVEAASCGLQVVSTKVGGIPEVLPESLIILCEPSVKS LCDGLEKAIFQVKSGTLPAPENIHNVVKTFYTWRNVAERTEKVYERVSKETVLPMHKRLD RLISHCGPVTGYMFALLAVLSYLFLIFLQWMTPDSFIDVAIDATGPRRAWTHQWPRDKKR DENDKISQSR >ENSMUSP00000146808.1 pep:known chromosome:GRCm38:X:164419807:164431872:1 gene:ENSMUSG00000031381.16 transcript:ENSMUST00000208261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piga description:phosphatidylinositol glycan anchor biosynthesis, class A [Source:MGI Symbol;Acc:MGI:99461] MANRRGGTDLLSGIIPELCQKYQELHFLIGGEGPKRIILEEVRERYQLHDRVQLLGALEH KDVRNVLVQGHIFLNTSLTEAFCMAIVEAASCGLQVVSTKVGGIPEVLPESLIILCEPSV KSLCDGLEKAIFQVKSGTLPAPENIHNVVKTFYTWRNVAERTEKVYERVSKETVLPMHKR LDRLISHCGPVTGYMFALLAVLSYLFLIFLQWMTPDSFIDVAIDATGPRRAWTHQWPRDK KRDEN >ENSMUSP00000107874.1 pep:known chromosome:GRCm38:X:164419909:164433913:1 gene:ENSMUSG00000031381.16 transcript:ENSMUST00000112255.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piga description:phosphatidylinositol glycan anchor biosynthesis, class A [Source:MGI Symbol;Acc:MGI:99461] MANRRGGGQGQPPSVSPSPGSSGNLSDDRTCTHNICMVSDFFYPNMGGVESHIYQLSQCL IERGHKVITVTHAYGNRKGVRYLTNGLKVYYLPLRVMYNQSTATTLFHSLPLLRYIFVRE RITIIHSHSSFSAMAHDALFHAKTMGLQTVFTDHSLFGFADVSSVLTNKLLTVSLCDTNH IICVSYTSKENTVLRAALNPEIVSVIPNAVDPTDFTPDPFRRHDSVITVVVVSRLVYRKG TDLLSGIIPELCQKYQELHFLIGGEGPKRIILEEVRERYQLHDRVQLLGALEHKDVRNVL VQGHIFLNTSLTEAFCMAIVEAASCGLQVVSTKVGGIPEVLPESLIILCEPSVKSLCDGL EKAIFQVKSGTLPAPENIHNVVKTFYTWRNVAERTEKVYERVSKETVLPMHKRLDRLISH CGPVTGYMFALLAVLSYLFLIFLQWMTPDSFIDVAIDATGPRRAWTHQWPRDKKRDENDK ISQSR >ENSMUSP00000122176.1 pep:known chromosome:GRCm38:X:164422584:164431972:1 gene:ENSMUSG00000031381.16 transcript:ENSMUST00000133813.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piga description:phosphatidylinositol glycan anchor biosynthesis, class A [Source:MGI Symbol;Acc:MGI:99461] MANRRGGGQGQPPSVSPSPGSSGNLSDDRTCTHNICMVSDFFYPNMGGVESHIYQLSQCL IERGHKVITVTHAYGNRKGVRYLTNGLKVYYLPLRVMYNQSTATTLFHSLPLLRVQLLGA LEHKDVRNVLVQGHIFLNTSLTEAFCMAIVEAASCGLQVVSTKVGGIPEVLPESLIILCE PSVKSLCDGLEKAIFQVKSGTLPAPENIHNVVKTFYTWRNVAERTEKVYERVSKETVLPM HKRLDRLISHCGPVTGYMFALLAVLSYLFLIFLQWMTPDSFIDVAIDATGPRRAWTHQWP RDKKRDENDKISQSR >ENSMUSP00000094449.4 pep:known chromosome:GRCm38:10:118204942:118210047:1 gene:ENSMUSG00000074695.3 transcript:ENSMUST00000096691.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il22 description:interleukin 22 [Source:MGI Symbol;Acc:MGI:1355307] MAVLQKSMSFSLMGTLAASCLLLIALWAQEANALPVNTRCKLEVSNFQQPYIVNRTFMLA KEASLADNNTDVRLIGEKLFRGVSAKDQCYLMKQVLNFTLEDVLLPQSDRFQPYMQEVVP FLTKLSNQLSSCHISGDDQNIQKNVRRLKETVKKLGESGEIKAIGELDLLFMSLRNACV >ENSMUSP00000144063.1 pep:known chromosome:GRCm38:5:94612069:94616342:-1 gene:ENSMUSG00000095503.4 transcript:ENSMUST00000201071.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3147 description:predicted gene 3147 [Source:MGI Symbol;Acc:MGI:3781326] MTVQTPSTLQNLALQALLRDEALALFCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYARRKQLKVVADLCLRPRRDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKDFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQILDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTANWNKMNVEQYPAPL ECYNELGHVSVERFAQLCQELMDTLRAIRQPKSLSFATRICHKCGEPCVYGQGGRLCFCW R >ENSMUSP00000137458.1 pep:known chromosome:GRCm38:5:94612595:94615161:-1 gene:ENSMUSG00000095503.4 transcript:ENSMUST00000180178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3147 description:predicted gene 3147 [Source:MGI Symbol;Acc:MGI:3781326] MTVQTPSTLQNLALQALLRDEALALFCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYARRKQLKVVADLCLRPRRDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKDFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQILDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTAN >ENSMUSP00000139202.1 pep:known chromosome:GRCm38:14:69555424:69585520:1 gene:ENSMUSG00000022066.16 transcript:ENSMUST00000185072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21685 description:predicted gene, 21685 [Source:MGI Symbol;Acc:MGI:5435040] MGRIGISCLFPASWHFSISPVGCPRILNTNLRQIVVISILAAAVSLLYFSVVIIRSKYGW LSKDKKFQRYLARVTDVEATDTNNPSVNYGIVVDCGSSGSRIFVYCWPRHNGNPHDLLDI RQMRDKNRKPVVMKIKPGISEFATSPEKVSDYISPLLSFAAEHVPRAKHKETPLYILCTA GMRVLPESQQKAILEDLLTDIPVHYDFLFSDSHAEVISGKQEGVYAWIGINFVLGRFEHI EEDDEAVVEVNIPGSESSEAIVRKRTAGVLDMGGVSTQIAYEVPQTVSFASSQQEEVAKN LLAEFNLGCDVHQTEHVYRVYVATFLGFGGNAARQRYEDRLFASTVQKNRLLGKQTGLTP DAPLLDPCLPLDIKDEIQQNGQTLYLQGTGDFDLCRETLQPFMNKTNETQTSLNGVYQPP IHFQNSEFYGFSEFYYCTEDVLRMGGDYNAARFTQAAKDYCATKWSILRERFDRGLYASH ADLHRLKYQCFKSAWMFEVFHKGFSFPVTYKNLKTALQVYDKEVQWTLGAILYRTRFLPL RDIRQEVFRAGHAHWRGVSFVYNHYLFSGCFLVVLLSILLYLLRLRRIHRRAPRTGSLWM EEGLPSQKGPGPL >ENSMUSP00000063726.6 pep:known chromosome:GRCm38:14:69555448:69568583:1 gene:ENSMUSG00000022066.16 transcript:ENSMUST00000064846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21685 description:predicted gene, 21685 [Source:MGI Symbol;Acc:MGI:5435040] MGRIGISCLFPASWHFSISPVGCPRILNTNLRQIVVISILAAAVSLLYFSVVIIRSKYGW LSKDKKFQRGNSRLDSQQLTIGGENGLWAIMRWLNRHRNIWL >ENSMUSP00000030041.4 pep:known chromosome:GRCm38:4:63143275:63154173:-1 gene:ENSMUSG00000028356.4 transcript:ENSMUST00000030041.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ambp description:alpha 1 microglobulin/bikunin [Source:MGI Symbol;Acc:MGI:88002] MQGLRTLFLLLTACLASRADPASTLPDIQVQENFSESRIYGKWYNLAVGSTCPWLSRIKD KMSVSTLVLQEGATETEISMTSTRWRRGVCEEITGAYQKTDIDGKFLYHKSKWNITLESY VVHTNYDEYAIFLTKKSSHHHGLTITAKLYGREPQLRDSLLQEFKDVALNVGISENSIIF MPDRGECVPGDREVEPTSIARARRAVLPQESEGSGTEPLITGTLKKEDSCQLNYSEGPCL GMQERYYYNGASMACETFQYGGCLGNGNNFISEKDCLQTCRTIAACNLPIVQGPCRAFIK LWAFDAAQGKCIQFHYGGCKGNGNKFYSEKECKEYCGVPGDGYEELIRS >ENSMUSP00000118965.1 pep:known chromosome:GRCm38:4:63152698:63154799:-1 gene:ENSMUSG00000028356.4 transcript:ENSMUST00000142901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ambp description:alpha 1 microglobulin/bikunin [Source:MGI Symbol;Acc:MGI:88002] MQGLRTLFLLLTACLASRADPASTLPDIQVQENFSESRIYGKWYNLAV >ENSMUSP00000033652.2 pep:known chromosome:GRCm38:X:160502434:160591141:1 gene:ENSMUSG00000031295.13 transcript:ENSMUST00000033652.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka2 description:phosphorylase kinase alpha 2 [Source:MGI Symbol;Acc:MGI:97577] MRSRSNSGVRLDGYARLVQQTILCYQNPVTGLLSASHDQKDAWVRDNIYSILAVWGLGMA YRKNADRDEDKAKAYELEQNVVKLMRGLLQCMMRQVDKVEKFKHTQSTKDSLHAKYNTAT CSTVVGDDQWGHLQVDATSLFLLFLAQMTASGLRIIFTLDEVAFIQNLVFYIEAAYKVAD YGMWERGDKTNQGIPELNASSVGVAKAALEAIDELDLFGAHGGRKSVIHVLPDEVEHCQS ILFSMLPRASTSKEIDAGLLSIISFPAFAVEDVNLVNVTKNEIISKLQGRYGCCRFLRDG YKTPREDPHRLHYDPAELKLFENIECEWPVFWTYLIIDGIFNGDAVQVQEYREALEGILI RGKDGIHLVPELYAIPPDKVDEEYKNPHTVDRVPLGKLPHLWGQSLYILSSLLAEGFLAT GEIDPLNRRFSTSVKPDVVVQVAVLAENSHIKGLLKEHGMTVQSIADVHPIRVQPGRILS HIYAKLGRNKNMKLSGRPYRHIGVLGTSKLYVIRNHIFTFTPQFTDQHHFYLALDNEMIV EMLRIELAYLCTCWRMTGRPTLTFPVTHTMLTNDGSDIHPAVLSTIRKLEDGYFGGARVK LGNLAEFLTTSFYTHLTFLDPDCDEKLFGDITDRSFSPDSEPDLGGYLEDSSPQESQDEL DQYISHLLQSTSLKCYLPPLCKKSEDSHFFSAIHSTRDILSVMAKAKGLETTFFPMILPT KVLSGHRKSLNLVDSPQPLLKTTPEYDYQWPRDDHDEVDCEKLVGQLKDCSNLQDQADIL YILYVMKGPRWDTNLFGQHGVTVHSLLSELYGKAGLNQEWSLIRYISGLLRKKVEVLAEA CADLLSHQKQLTVGLPPEPREKTISTPLPPEELTELIYEASGQDISIAVLTQEIVVYLAM YVRAQPSLFAEMLRLRIGLIIQVMATELARSLNCSGKEASESLMNLSPFDMKSLLHHILS GKEFGVERSVRPIHSSMSSPAISIHEVGHTGATKTERSGITRLRSEMKQMNRRASADEQF FPLGQTMSNSLHSIKSVRSSTPSSPTGTSSTDSGGQHLGWGEQQGQWLRRRRLDGAINRV PVGFYQKVWKILQKCHGLSIDGYVLPSSTTQEMTPCEIKFAVHVESVLNRVSQPEYRQLL VEAIMVLTLLSDTEMDSIGGIIHVDQIVQLANQLFLQDQVSFGTTDILEKDQATGICHLF YDSAPSGAYGTMTYLTKAVASHLQELLPSSGCQMQ >ENSMUSP00000107996.1 pep:known chromosome:GRCm38:X:160502166:160591141:1 gene:ENSMUSG00000031295.13 transcript:ENSMUST00000112377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka2 description:phosphorylase kinase alpha 2 [Source:MGI Symbol;Acc:MGI:97577] MRSRSNSGVRLDGYARLVQQTILCYQNPVTGLLSASHDQKDAWVRDNIYSILAVWGLGMA YRKNADRDEDKAKAYELEQNVVKLMRGLLQCMMRQVDKVEKFKHTQSTKDSLHAKYNTAT CSTVVGDDQWGHLQVDATSLFLLFLAQMTASGLRIIFTLDEVAFIQNLVFYIEAAYKVAD YGMWERGDKTNQGIPELNASSVGVAKAALEAIDELDLFGAHGGRKSVIHVLPDEVEHCQS ILFSMLPRASTSKEIDAGLLSIISFPAFAVEDVNLVNVTKNEIISKLQGRYGCCRFLRDG YKTPREDPHRLHYDPAELKLFENIECEWPVFWTYLIIDGIFNGDAVQVQEYREALEGILI RGKDGIHLVPELYAIPPDKVDEEYKNPHTVDRVPLGKLPHLWGQSLYILSSLLAEGFLAT GEIDPLNRRFSTSVKPDVVVQVAVLAENSHIKGLLKEHGMTVQSIADVHPIRVQPGRILS HIYAKLGRNKNMKLSGRPYRHIGVLGTSKLYVIRNHIFTFTPQFTDQHHFYLALDNEMIV EMLRIELAYLCTCWRMTGRPTLTFPVTHTMLTNDGSDIHPAVLSTIRKLEDGYFGGARVK LGNLAEFLTTSFYTHLTFLDPDCDEKLFGDITDRSFSPDSEPDLGGYLEDSSPQESQDEL DQYISHLLQSTSLKCYLPPLCKKSEDSHFFSAIHSTRDILSVMAKAKGLETTFFPMILPT KVLSGHRKSLNLVDSPQPLLKTTPEYDYQWPRDDHDEVDCEKLVGQLKDCSNLQDQADIL YILYVMKGPRWDTNLFGQHGVTVHSLLSELYGKAGLNQEWSLIRYISGLLRKKVEVLAEA CADLLSHQKQLTVGLPPEPREKTISTPLPPEELTELIYEASGQDISIAVLTQEIVVYLAM YVRAQPSLFAEMLRLRIGLIIQVMATELARSLNCSGKEASESLMNLSPFDMKSLLHHILS GKEFGVERSVRPIHSSMSSPAISIHEVGHTGATKTERSGITRLRSEMKQMNRRASADEQF FPLGQTMSNSLHSIKSVRSSTPSSPTGTSSTDSGGQHLGWGEQQGQWLRRRRLDGAINRV PVGFYQKVWKILQKCHGLSIDGYVLPSSTTQEMTPCEIKFAVHVESVLNRVSQPEYRQLL VEAIMVLTLLSDTEMDSIGGIIHVDQIVQLANQLFLQDQVSFGTTDILEKDQATGICHLF YDSAPSGAYGTMTYLTKAVASHLQELLPSSGCQMQ >ENSMUSP00000107995.1 pep:known chromosome:GRCm38:X:160502465:160558955:1 gene:ENSMUSG00000031295.13 transcript:ENSMUST00000112376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka2 description:phosphorylase kinase alpha 2 [Source:MGI Symbol;Acc:MGI:97577] MRSRSNSGVRLDGYARLVQQTILCYQNPVTGLLSASHDQKDAWVRDNIYSILAVWGLGMA YRKNADRDEDKAKAYELEQNVVKLMRGLLQCMMRQVDKVEKFKHTQSTKDSLHAKYNTAT CSTVVGDDQWGHLQVDATSLFLLFLAQMTASGLRIIFTLDEVAFIQNLVFYIEAAYKVAD YGMWERGDKTNQGIPELNASSVGVAKAALEAIDELDLFGAHGGRKSVIHVLPDEVEHCQS ILFSMLPRASTSKEIDAGLLSIISFPAFAVEDVNLVNVTKNEIISKLQGRYGCCRFLRDG YKTPREDPHRLHYDPAELKLFENIECEWPVFWTYLIIDGIFNGDAVQVQEYREALEGILI RGKDGIHLVPELYAIPPDKVDEEYKNPHTVDRVPLGKLPHLWGQSLYILSSLLAEGFLAT GEIDPLNRRFSTSVKPDVVVQVAVLAENSHIKGLLKEHGMTVQSIADVHPIRVQPGRILS HIYAKLGRNKNMKLSGRPYRHIGVLGTSKLYVIRNHIFTFTPQVKRTGMGARASACL >ENSMUSP00000118575.1 pep:known chromosome:GRCm38:X:160502172:160527776:1 gene:ENSMUSG00000031295.13 transcript:ENSMUST00000139587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka2 description:phosphorylase kinase alpha 2 [Source:MGI Symbol;Acc:MGI:97577] MRSRSNSGVRLDGYARLVQQTILCYQNPVTGLLSASHDQKDAWVRDNIYSILAVWGLGMA YRKNADRDEDKAKAYELEQNVVKLMRGLLQCMMR >ENSMUSP00000107999.2 pep:known chromosome:GRCm38:X:160502434:160598878:1 gene:ENSMUSG00000031295.13 transcript:ENSMUST00000112380.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phka2 description:phosphorylase kinase alpha 2 [Source:MGI Symbol;Acc:MGI:97577] MRSRSNSGVRLDGYARLVQQTILCYQNPVTGLLSASHDQKDAWVRDNIYSILAVWGLGMA YRKNADRDEDKAKAYELEQNVVKLMRGLLQCMMRQVDKVEKFKHTQSTKDSLHAKYNTAT CSTVVGDDQWGHLQVDATSLFLLFLAQMTASGLRIIFTLDEVAFIQNLVFYIEAAYKVAD YGMWERGDKTNQGIPELNASSVGVAKAALEAIDELDLFGAHGGRKSVIHVLPDEVEHCQS ILFSMLPRASTSKEIDAGLLSIISFPAFAVEDVNLVNVTKNEIISKLQGRYGCCRFLRDG YKTPREDPHRLHYDPAELKLFENIECEWPVFWTYLIIDGIFNGDAVQVQEYREALEGILI RGKDGIHLVPELYAIPPDKVDEEYKNPHTVDRVPLGKLPHLWGQSLYILSSLLAEGFLAT GEIDPLNRRFSTSVKPDVVVQVAVLAENSHIKGLLKEHGMTVQSIADVHPIRVQPGRILS HIYAKLGRNKNMKLSGRPYRHIGVLGTSKLYVIRNHIFTFTPQFTDQHHFYLALDNEMIV EMLRIELAYLCTCWRMTGRPTLTFPVTHTMLTNDGSDIHPAVLSTIRKLEDGYFGGARVK LGNLAEFLTTSFYTHLTFLDPDCDEKLFGDITDRSFSPDSEPDLGGYLEDSSPQESQDEL DQYISHLLQSTSLKCYLPPLCKKSEDSHFFSAIHSTRDILSVMAKAKGLETTFFPMILPT KVLSGHRKSLNLVDSPQPLLKTTPEYDYQWPRDDHDEVDCEKLVGQLKDCSNLQDQADIL YILYVMKGPRWDTNLFGQHGVTVHSLLSELYGKAGLNQEWSLIRYISGLLRKKVEVLAEA CADLLSHQKQLTVGLPPEPREKTISTPLPPEELTELIYEASGQDISIAVLTQEIVVYLAM YVRAQPSLFAEMLRLRIGLIIQVMATELARSLNCSGKEASESLMNLSPFDMKSLLHHILS GKEFGVERSVRPIHSSMSSPAISIHEVGHTGATKTERSGITRLRSEMKQMNRRASADEQF FPLGQTMSNSLHSIKSVRSSTPSSPTGTSSTDSGGQHLGWGEQQGQWLRRRRLDGAINRV PVGFYQKVWKILQKCHGLSIDGYVLPSSTTQEMTPCEIKFAVHVESVLNRVSQPEYRQLL VEAIMVLTLLSDTEMDSIGGIIHVDQIVQLANQLFLQDQVSDLDNLAIKVL >ENSMUSP00000022610.8 pep:known chromosome:GRCm38:14:65666403:65764826:1 gene:ENSMUSG00000022032.14 transcript:ENSMUST00000022610.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scara5 description:scavenger receptor class A, member 5 (putative) [Source:MGI Symbol;Acc:MGI:1918395] MDNKAMYLHTVSDRDNGSIFEEPFDGRSLSKLNLCEDGPCHKRRAGGCCTQLGSLSALKH AVLGLYLLVFLILVGIFILAVSRPRSSPDDLKALTRNVNRLNESLRDMQLRLLQAPLQAD LTEQVWKVQDALQNQTDSLLALAGLVQRLEGTLWGLHAQAAQTEQAMALLRDRTGQQSDS AQLELYQLQVESNRSQLLLQRHAGLLDGLARRVGVLGEELADVGGALRGLNHSLSYDVAL HSTWLQDLQVLVSNASADTRRMRLVHMDMEMQLKQELATLNVVTEDLRLKDWEHSIALRN ITLAKGPPGPKGDQGNEGKEGKPGSPGLPGSRGLPGERGDPGLPGPKGDDGKLGATGPMG MRGFKGDRGPKGEKGERGERAGDMDFTMIRLVNGSGPHQGRVEVFHDRRWGTVCDDGWDK KDGDVVCRMLGFHGVEEVYRTARFGQGTGRIWMDDVNCKGTESSIFHCQFSKWGVTNCGH AEDAGVTCTVP >ENSMUSP00000063391.6 pep:known chromosome:GRCm38:14:65666437:65744943:1 gene:ENSMUSG00000022032.14 transcript:ENSMUST00000069226.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scara5 description:scavenger receptor class A, member 5 (putative) [Source:MGI Symbol;Acc:MGI:1918395] MDNKAMYLHTVSDRDNGSIFEEPFDGRSLSKLNLCEDGPCHKRRAGGCCTQLGSLSALKH AVLGLYLLVFLILVGIFILAVSRPRSSPDDLKALTRNVNRLNESLRDMQLRLLQAPLQAD LTEQVWKVQDALQNQTDSLLALAGLVQRLEGTLWGLHAQAAQTEQAMALLRDRTGQQSDS AQLELYQLQVESNRSQLLLQRHAGLLDGLARRVGVLGEELADVGGALRGLNHSLSYDVAL HSTWLQDLQVLVSNASADTRRMRLVHMDMEMQLKQELATLNVVTEDLRLKDWEHSIALRN ITLAKGPPGPKGDQGNEGKEGKPGSPGLPGSRGLPGERGDPGLPGPKGDDGKLGATGPMG MRGFKGDRGPKGEKGERGERAECCRGG >ENSMUSP00000081146.2 pep:known chromosome:GRCm38:4:148130915:148145699:1 gene:ENSMUSG00000029003.11 transcript:ENSMUST00000084129.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mad2l2 description:MAD2 mitotic arrest deficient-like 2 [Source:MGI Symbol;Acc:MGI:1919140] MTTLTRQDLNFGQVVADVLSEFLEVAVHLILYVREVYPVGIFQKRKKYNVPVQMSCHPEL NQYIQDTLHCVKPLLEKNDVEKVVVVILDKEHRPVEKFVFEITQPPLLSINSDSLLSHVE QLLRAFILKISVCDAVLDHNPPGCTFTVLVHTREAATRNMEKIQVIKDFPWILADEQDVH MHDPRLIPLKTMTSDILKMQLYVEERAHKNS >ENSMUSP00000122774.1 pep:known chromosome:GRCm38:4:148140182:148145121:1 gene:ENSMUSG00000029003.11 transcript:ENSMUST00000132698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mad2l2 description:MAD2 mitotic arrest deficient-like 2 [Source:MGI Symbol;Acc:MGI:1919140] MTTLTRQDLNFGQVVADVLSEFLEVAVHLILYVREVYPVGIFQKRKKYNVPVQMSCHPEL NQYIQDTLHCVKPLLEKNDVEKVVVVILDKEHRPVEKFVFEITQPPLLSINSDSLLSHVE QLLRAFILKISVCDAVLDHNPPGCTFTVLVHTREAATRNMEKIQVIKDFPWIL >ENSMUSP00000030860.2 pep:known chromosome:GRCm38:4:148140182:148145699:1 gene:ENSMUSG00000029003.11 transcript:ENSMUST00000030860.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mad2l2 description:MAD2 mitotic arrest deficient-like 2 [Source:MGI Symbol;Acc:MGI:1919140] MTTLTRQDLNFGQVVADVLSEFLEVAVHLILYVREVYPVGIFQKRKKYNVPVQMSCHPEL NQYIQDTLHCVKPLLEKNDVEKVVVVILDKEHRPVEKFVFEITQPPLLSINSDSLLSHVE QLLRAFILKISVCDAVLDHNPPGCTFTVLVHTREAATRNMEKIQVIKDFPWILADEQDVH MHDPRLIPLKTMTSDILKMQLYVEERAHKNS >ENSMUSP00000120481.1 pep:known chromosome:GRCm38:4:148140724:148145120:1 gene:ENSMUSG00000029003.11 transcript:ENSMUST00000140049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mad2l2 description:MAD2 mitotic arrest deficient-like 2 [Source:MGI Symbol;Acc:MGI:1919140] MTTLTRQDLNFGQVVADVLSEFLEVAVHLILYVREITQPPLLSINSDSLLSHVEQLLRAF ILKISVCDAVLDHNPPGCTFTVLVHTREAATRNMEKIQVIKDFPWIL >ENSMUSP00000101332.1 pep:known chromosome:GRCm38:4:148140743:148145696:1 gene:ENSMUSG00000029003.11 transcript:ENSMUST00000105707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mad2l2 description:MAD2 mitotic arrest deficient-like 2 [Source:MGI Symbol;Acc:MGI:1919140] MTTLTRQDLNFGQVVADVLSEFLEVAVHLILYVREVYPVGIFQKRKKYNVPVQMSCHPEL NQYIQDTLHCVKPLLEKNDVEKVVVVILDKEHRPVEKFVFEITQPPLLSINSDSLLSHVE QLLRAFILKISVCDAVLDHNPPGCTFTVLVHTREAATRNMEKIQVIKMNRMSTCTTPA >ENSMUSP00000137569.1 pep:known chromosome:GRCm38:X:149447617:149487784:1 gene:ENSMUSG00000095621.1 transcript:ENSMUST00000178169.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15085 description:predicted gene 15085 [Source:MGI Symbol;Acc:MGI:3712210] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSCNRFRRAPVQSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSRRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV ALEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000018816.7 pep:known chromosome:GRCm38:11:96849876:96861203:1 gene:ENSMUSG00000018672.15 transcript:ENSMUST00000018816.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Copz2 description:coatomer protein complex, subunit zeta 2 [Source:MGI Symbol;Acc:MGI:1929008] MQRPEAWPRPHPGEGASAAQAGGAAPPTRATEQREPSLYTIKAVFILDNDGRRLLAKYYD DTFPSVKEQMVFEKNVFNKTSRTESEIAFLGGMTIVYKSSIDIFLYVVGSSSENELMLMS VLACLFDSLSHILRKNVEKRWLLENMDGAFLVLDETVDGGVILESDPQQVIQKVNFRTDD SGLTEQSVAQVLQSAKEQIKWSLLK >ENSMUSP00000119593.1 pep:known chromosome:GRCm38:11:96849881:96854153:1 gene:ENSMUSG00000018672.15 transcript:ENSMUST00000145633.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Copz2 description:coatomer protein complex, subunit zeta 2 [Source:MGI Symbol;Acc:MGI:1929008] MQRPEAWPRPHPGEGASAAQAGGAAPPTRATEQREPSLYTIKAVFILDNDGRRLLAKVLF FLLLPLEC >ENSMUSP00000118356.1 pep:known chromosome:GRCm38:11:96854131:96861203:1 gene:ENSMUSG00000018672.15 transcript:ENSMUST00000147710.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Copz2 description:coatomer protein complex, subunit zeta 2 [Source:MGI Symbol;Acc:MGI:1929008] XSSSENELMLMSVLACLFDSLSHILRTWRNAGCWRTWTEPSWCWMKLSMEV >ENSMUSP00000032958.7 pep:known chromosome:GRCm38:7:100472991:100486432:1 gene:ENSMUSG00000032942.14 transcript:ENSMUST00000032958.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucp3 description:uncoupling protein 3 (mitochondrial, proton carrier) [Source:MGI Symbol;Acc:MGI:1099787] MVGLQPSEVPPTTVVKFLGAGTAACFADLLTFPLDTAKVRLQIQGENPGAQSVQYRGVLG TILTMVRTEGPRSPYSGLVAGLHRQMSFASIRIGLYDSVKQFYTPKGADHSSVAIRILAG CTTGAMAVTCAQPTDVVKVRFQAMIRLGTGGERKYRGTMDAYRTIAREEGVRGLWKGTWP NITRNAIVNCAEMVTYDIIKEKLLESHLFTDNFPCHFVSAFGAGFCATVVASPVDVVKTR YMNAPLGRYRSPLHCMLKMVAQEGPTAFYKGFVPSFLRLGAWNVMMFVTYEQLKRALMKV QVLRESPF >ENSMUSP00000102674.1 pep:known chromosome:GRCm38:7:100472993:100485306:1 gene:ENSMUSG00000032942.14 transcript:ENSMUST00000107059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucp3 description:uncoupling protein 3 (mitochondrial, proton carrier) [Source:MGI Symbol;Acc:MGI:1099787] MVGLQPSEVPPTTVVKFLGAGTAACFADLLTFPLDTAKVRLQIQGENPGAQSVQYRGVLG TILTMVRTEGPRSPYSGLVAGLHRQMSFASIRIGLYDSVKQFYTPKGADHSSVAIRILAG CTTGAMAVTCAQPTDVVKVRFQAMIRLGTGGERKYRGTMDAYRTIAREEGVRGLWKGTWP NITRNAIVNCAEMVTYDIIKEKLLESHLFTDNFPCHFVSAFGAGFCATVVASPVDVVKTR YMNAPLGRYRSPLHCMLKMVAQEGPTAFYKGFVPSFLRLGAWNVMMFVTYEQLKRALMKV QVLRESPF >ENSMUSP00000128415.1 pep:known chromosome:GRCm38:10:118289629:118295038:-1 gene:ENSMUSG00000090461.2 transcript:ENSMUST00000163808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iltifb description:interleukin 10-related T cell-derived inducible factor beta [Source:MGI Symbol;Acc:MGI:2151139] MAVLQKSMSFSLMGTLAASCLLLIALWAQEANALPINTRCKLEVSNFQQPYIVNRTFMLA KEVSLADNNTDVWLIGEKLFRGVSAKDQCYLMKQVLNFTLEDVLLPQSDRFQPYMQEVVP FLTKLSNQLSSCHISGDDQNIQKNVRRLKETVKKLGESGEIKAIGELDLLFMSLRNACV >ENSMUSP00000029043.6 pep:known chromosome:GRCm38:3:10244209:10301183:-1 gene:ENSMUSG00000027530.15 transcript:ENSMUST00000029043.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp12 description:fatty acid binding protein 12 [Source:MGI Symbol;Acc:MGI:1922747] MVDQLQGTWKSVSCDNFENYMKELGVGRASRKLGCLAKPTVTISTDGDLITIKTKSIFKN KEISFKLGEEFEETTPSGRKSKSTVILDNDSLVQVQDWDGKEATICRRLVDGKMVVESAV NNVTCTRTYQRV >ENSMUSP00000131101.1 pep:known chromosome:GRCm38:3:10245918:10254225:-1 gene:ENSMUSG00000027530.15 transcript:ENSMUST00000172126.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp12 description:fatty acid binding protein 12 [Source:MGI Symbol;Acc:MGI:1922747] MVDQLQGTWKSVSCDNFENYMKELGVGRASRKLGCLAKPTVTISTDGDLITIKTKSIFKN KEISFKLGEEFEETTPSGRKSKSTVILDNDSLVQVQDWDGKEATICRRLVDGKMVVESAV NNVTCTRTYQRV >ENSMUSP00000112464.1 pep:known chromosome:GRCm38:3:10245918:10254252:-1 gene:ENSMUSG00000027530.15 transcript:ENSMUST00000117917.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp12 description:fatty acid binding protein 12 [Source:MGI Symbol;Acc:MGI:1922747] MVDQLQGTWKSVSCDNFENYMKELGVGRASRKLGCLAKPTVTISTDGDLITIKTKSIFKN KEISFKLGEEFEETTPSGRKSKSTVILDNDSLVQVQDWDGKEATICRRLVDGKMVVESAV NNVTCTRTYQRV >ENSMUSP00000112958.1 pep:known chromosome:GRCm38:3:10245951:10301174:-1 gene:ENSMUSG00000027530.15 transcript:ENSMUST00000119761.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp12 description:fatty acid binding protein 12 [Source:MGI Symbol;Acc:MGI:1922747] MVDQLQGTWKSVSCDNFENYMKELGVGRASRKLGCLAKPTVTISTDGDLITIKTKSIFKN KEISFKLGEEFEETTPSGRKSKSTVILDNDSLVQVQDWDGKEATICRRLVDGKMVVESAV NNVTCTRTYQRV >ENSMUSP00000090884.5 pep:known chromosome:GRCm38:11:34226815:34783892:-1 gene:ENSMUSG00000020143.15 transcript:ENSMUST00000093193.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock2 description:dedicator of cyto-kinesis 2 [Source:MGI Symbol;Acc:MGI:2149010] MAPWRKTDKERHGVAIYNFQGSEAQHLTLQIGDVVRIQETCGDWYRGYLIKHKLSQGIFP TSFIHLKEVTVEKRRNIENIIPAEIPLAQEVTTTLWEWGSIWKQLYVASKKERFLQVQSM MYDLMEWRSQLLSGTLPKDELKELKQKVTSKIDYGNKILELDLIVRDEDGNILDPDKTSV ISLFHAHEEATYKITERIKEEMSKDQPDYGVYSRISSSPTHSLYVFVRNFVCRIGEDAEL FMSLYDPHKQTVISENYLVRWGSKGFPKEIEMLNNLKVVFTDLGNKDLNRDKIFLICQIV RIGKMDLKDINAKKCTQGLRRPFGVAVMDITDIIKGKAESDEEKQHFIPFHPVSAENDFL HSLLGKVIASKGDSGGQGLWVTMKMLVGDIIQIRKDYPHLVDRTTVVARKLGFPEIIMPG DVRNDIYITLLQGDFDKYTKTTQRNVEVIMCVCTEDGKVLPNAICVGAGDKAMNEYHSVV YYQVKQPRWMETVKVAVPIEDMQRIHLRFMFRHRSSLESKDKGEKNFAMSYVKLMKEDGT TLHDGYHELVVLKGDSKKMEDASAYLTLPSYRHPVENKGATLSRSSSSVGGLSVSSRDVF SISTLVCSTKLTQNVGLLGLLKWRMKPQLLQENLEKLKIVDGEEVVKFLQDTLDALFNIM MEHSQSNEYDILVFDALIYIIGLIADRKFQHFNTVLEAYIQQHFSATLAYKKLMTVLKTY LDTSSRGEQCEPILRTLKALEYVFKFIVRSRTLFSQLYEGKEQMEFEESMRRLFESINNL MKSQYKTTILLQVAALKYIPSVLHDVETVFDAKLLSQLLYEFYTCIPPVKLQKQKVQSMN EIVQSNLFKKQECRDILLPVITKELKELLEQRDDGQHQAEKKHCVELLNSILEVLSCQDA AFTYDHIQEIMVQLLRTVNRTVITMGRDHALISHFVACMTAILDQMGDQHYSFYIETFQT SSDLVDFLMETFIMFKDLIGKNVYPGDWMAMSMVQNRVFLRAINKFAETMNQKFLEHTSF EFQLWNNYFHLAVAFITQDSLQLEQFTHAKYNKILNKYGDMRRLIGFSIRDMWYKLGQNK ICFIPGMVGPILEMTLIPEAELRKATIPIFFDMMLCEYQRTGAFKKFENEIILKLDHEVE GGRGDEQYMQLLESILMECTAEHPTIAKSVENFVSLVKGLLEKLLDYRGVMTDESKDNRM SCTVNLLNFYKDNNREEMYIRYLYKLRDLHLDCENYTEAAYTLLLHTWLLKWSDEQCASQ VMQTGQQHPQTHRQLKETLYETIIGYFDKGKMWEEAISLCKELAEQYEMEIFDYELLSQN LTQQAKFYENIMKILRTKPDYFAVGYYGQGFPSFLRNKVFIYRGKEYERREDFQMQLLSQ FPNAEKMNTTSAPGDDVRNAPGQYIQCFTVQPVLDEHPRFKNKPVPDQIINFYKSNYVQK FHYSRPVRRGKVDPENEFASMWIERTSFLTAYKLPGILRWFEVVHMSQTTISPLENAIET MSTVNEKILMMINQYQSDESLPINPLSMLLNGIVDPAVMGGFAKYEKAFFTEEYSREHPE DQDKLSHLKDLIAWQIPFLGAGIKIHEKRVSDNLRPFHDRMEECFKNLKMKVEKEYGVRE MPDFEDRRVGRPRSMLRSYRQMSVISLASMHSDCSTPSKVPAESFDLESAPPKTPKVEEE PISPGSTLPEVKLRRSKKRTKRSSVVFADEKAATESDLKRLSRKQEFMSDTNLSEHAAIP ARVSILSQMSFASQSMPTIPALTLSVAGVPGLDEANTSPRLSQTFFQVSDGDKKTLKKKK VNQFFKTMLASKSSEESKQIPDFLSTNM >ENSMUSP00000098916.2 pep:known chromosome:GRCm38:11:34273045:34783892:-1 gene:ENSMUSG00000020143.15 transcript:ENSMUST00000101365.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock2 description:dedicator of cyto-kinesis 2 [Source:MGI Symbol;Acc:MGI:2149010] MAPWRKTDKERHGVAIYNFQGSEAQHLTLQIGDVVRIQETCGDWYRGYLIKHKLSQGIFP TSFIHLKEVTVEKRRNIENIIPAEIPLAQEVTTTLWEWGSIWKQLYVASKKERFLQVQSM MYDLMEWRSQLLSGTLPKDELKELKQKVTSKIDYGNKILELDLIVRDEDGNILDPDKTSV ISLFHAHEEATYKITERIKEEMSKDQPDYGVYSRISSSPTHSLYVFVRNFVCRIGEDAEL FMSLYDPHKQTVISENYLVRWGSKGFPKEIEMLNNLKVVFTDLGNKDLNRDKIFLICQIV RIGKMDLKDINAKKCTQGLRRPFGVAVMDITDIIKGKAESDEEKQHFIPFHPVSAENDFL HSLLGKVIASKGDSGGQGLWVTMKMLVGDIIQIRKDYPHLVDRTTVVARKLGFPEIIMPG DVRNDIYITLLQGDFDKYTKTTQRNVEVIMCVCTEDGKVLPNAICVGAGDKAMNEYHSVV YYQVKQPRWMETVKVAVPIEDMQRIHLRFMFRHRSSLESKDKGEKNFAMSYVKLMKEDGT TLHDGYHELVVLKGDSKKMEDASAYLTLPSYRHPVENKGATLSRSSSSVGGLSVSSRDVF SISTLVCSTKLTQNVGLLGLLKWRMKPQLLQENLEKLKIVDGEEVVKFLQDTLDALFNIM MEHSQSNEYDILVFDALIYIIGLIADRKFQHFNTVLEAYIQQHFSATLAYKKLMTVLKTY LDTSSRGEQCEPILRTLKALEYVFKFIVRSRTLFSQLYEGKEQMEFEESMRRLFESINNL MKSQYKTTILLQVAALKYIPSVLHDVETVFDAKLLSQLLYEFYTCIPPVKLQKQKVQSMN EIVQSNLFKKQECRDILLPVITKELKELLEQRDDGQHQAEKKHCVELLNSILEVLSCQDA AFTYDHIQEIMVQLLRTVNRTVITMGRDHALISHFVACMTAILDQMGDQHYSFYIETFQT SSDLVDFLMETFIMFKDLIGKNVYPGDWMAMSMVQNRVFLRAINKFAETMNQKFLEHTSF EFQLWNNYFHLAVAFITQDSLQLEQFTHAKYNKILNKYGDMRRLIGFSIRDMWYKLGQNK ICFIPGMVGPILEMTLIPEAELRKATIPIFFDMMLCEYQRTGAFKKFENEIILKLDHEVE GGRGDEQYMQLLESMFTVLGHVSLGGLLSSGRQAH >ENSMUSP00000116893.1 pep:known chromosome:GRCm38:11:34437312:34783892:-1 gene:ENSMUSG00000020143.15 transcript:ENSMUST00000143540.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dock2 description:dedicator of cyto-kinesis 2 [Source:MGI Symbol;Acc:MGI:2149010] MAPWRKTDKERHGVAIYNFQGSEAQHLTLQIGDVVRIQETCGDWYRGYLIKHKLSQGIFP TSFIHLKEVTVEKRRNIENIIPAEIPLAQEVTTTLWEWGSIWKQLYVASKKERFLQVQSM MYDLMEWRSQLLSGTLPKDELKELKQKVTSKIDYGNKILELDLIVRDEDGNILDPDKTSV ISLFHAHEEATYKITERIKEEMSKDQPDYGVYSRISSSPTHSLYVFVRNFVCRIGEDAEL FMSLYDPHKQTVISENYLVRWGSKGFPKEIEMLNNLKVVFTDLGNKDLNRDKIFLICQIV RIGKMDLKDINAKKCTQGLRRPFGVAVMDITDIIKGKAESDEEKQHFIPFHPVSAENDFL HSLLGKVIASKGDSGGQGLWVTMKMLVGDIIQIRKDYPHLVDRTTVVARKLGFPEIIMPG DVRNDIYITLLQGDFDKYTKTTQRNVEVIMCVCTEDGKVLPNAICVGAGDKAMNEYHSVV YYQVKQPRWMETVKVAVPIEDMQRIHLRFMFRHRSSLESKDKGEKNFAMSYVKLMKEDGT TLHDGYHELVVLKGDSKKMEDASAYLTLPSYRHPVENKGATLSRSSSSVGGLSVSSRDVF SISTLVCSTKLTQNVGLLGLLKWRMKPQLLQENLEKLKIVDGEEVVKFLQDTLDALFNIM MEHSQSNEYDILVFDALETDDGAEDLLGYLQQGGAVRAHPQNAQSLRIRVQVHCSVEDII LTALRRQRADGV >ENSMUSP00000098915.2 pep:known chromosome:GRCm38:11:34361959:34688479:-1 gene:ENSMUSG00000020143.15 transcript:ENSMUST00000101364.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock2 description:dedicator of cyto-kinesis 2 [Source:MGI Symbol;Acc:MGI:2149010] MTVLKTYLDTSSRGEQCEPILRTLKALEYVFKFIVRSRTLFSQLYEGKEQMEFEESMRRL FESINNLMKSQYKTTILLQVAALKYIPSVLHDVETVFDAKLLSQLLYEFYTCIPPVKLQK QKVQSMNEIVQSNLFKKQECRDILLPVITKELKELLEQRDDGQHQAEKKHCVELLNSILE VLSCQDAAFTYDHIQEIMVQLLRTVNRTVITMGRDHALIVRSKGAHSKEGTLDLPAGVVL CLSPPTSLSDRQSEAKGPKTRSDFNSKPTTNIRKPLSSLILSCLRQSSPHNLAYY >ENSMUSP00000052153.3 pep:known chromosome:GRCm38:2:111306201:111307142:1 gene:ENSMUSG00000050781.4 transcript:ENSMUST00000062407.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1284 description:olfactory receptor 1284 [Source:MGI Symbol;Acc:MGI:3031118] MDQLNDSRVSEFVLLGLSSSWETKVFLMVTFSMLYIGIILGNLFIVILVIADSHLHSPMY FLLANLSLNDVWVSSTTVPKMISDLLKEHKVISFHNCMTQICFIHIMGGVEMVLLIAMAF DRYTAICKPLRYLSIMSPRICISFVIAGWVTGVVHAMSQFSFVVSLPFCGPNKVDSFYCD FPRIIQLACTDGDKFEFVVAANSGFMSMGTFFLLLLSYVFILVTVWQRSSGDLSKALVTL SAHITVVVLFFTPCMFLYVWPFPTSSIDKYLFIADFAITPALNPVIYTFRNKDIRIAIGR LSKRAVCSRFC >ENSMUSP00000125352.1 pep:known chromosome:GRCm38:16:44736768:44746363:-1 gene:ENSMUSG00000022668.10 transcript:ENSMUST00000162512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp8 description:GTP-binding protein 8 (putative) [Source:MGI Symbol;Acc:MGI:1913317] MAAARLSHRMGRLLEKAPALGPWTRVYSTSPAFAEVLRLPQKQLTKVVYPLRELGQHLAA DSGPGLIEQRLFDPSLEDIGRAESIFEATARNRIEYLSSAVRLDHAPSLQQPEVCFIGRS NVGKSSLIKALFSLAPDVEVRISKKPGHTKKMNFFKVGKHFTLVDMPGYGYRAPEDFVDM VETYLKERNNLKRTFLLVDSVVGITKLDNIAIEMCEEFALPYVMILTKIDKSSKGYLLKQ VLQIQKFVNTQTQGCFPQLFPISAVTNSGVHLLKCFIADITGSLK >ENSMUSP00000023348.4 pep:known chromosome:GRCm38:16:44739142:44746359:-1 gene:ENSMUSG00000022668.10 transcript:ENSMUST00000023348.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp8 description:GTP-binding protein 8 (putative) [Source:MGI Symbol;Acc:MGI:1913317] MAAARLSHRMGRLLEKAPALGPWTRVYSTSPAFAEVLRLPQKQLTKVCFIGRSNVGKSSL IKALFSLAPDVEVRISKKPGHTKKMNFFKVGKHFTLVDMPGYGYRAPEDFVDMVETYLKE RNNLKRTFLLVDSVVGITKLDNIAIEMCEEFALPYVMILTKIDKSSKGYLLKQVLQIQKF VNTQTQGCFPQLFPISAVTNSGVHLLKCFIADITGSLK >ENSMUSP00000123974.1 pep:known chromosome:GRCm38:16:44739265:44746283:-1 gene:ENSMUSG00000022668.10 transcript:ENSMUST00000161436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp8 description:GTP-binding protein 8 (putative) [Source:MGI Symbol;Acc:MGI:1913317] ALGPWTRVYSTSPAFAEVLRLPQKQLTKVVYPLRELGQHLAADSGPGLIEQRLFDPSLED IGRAESIFEATARNRIEYLSSAVRLDHAPSLQQPEGHTKKMNFFKVGKHFTLVDMPGYGY RAPEDFVDMVETYLKERNNLKRTFLLVDSVVGITKLDNIAIEMCEEFALPYVMILTKIDK SSKGYLLKQVLQIQKFVNTQTQGCFPQLFPISAVTNSGVHLLKCFIADITGSLK >ENSMUSP00000124621.1 pep:known chromosome:GRCm38:16:44743808:44746309:-1 gene:ENSMUSG00000022668.10 transcript:ENSMUST00000162479.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtpbp8 description:GTP-binding protein 8 (putative) [Source:MGI Symbol;Acc:MGI:1913317] XGRLLEKAPALGPWTRVYSTSPAFAEVLRLPQKQLTKVVYPLRELGQHLAADSGPGLIEQ RLFDPSLEDIGRAESIFEATARNRIEYLSSAVRLDHAPSLQQPEVCFIGRSNVGKSSLIK ALFSLAPDVEVRISKKPVCWVSLEFIK >ENSMUSP00000133532.1 pep:known chromosome:GRCm38:8:105269801:105275686:1 gene:ENSMUSG00000033249.10 transcript:ENSMUST00000173640.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hsf4 description:heat shock transcription factor 4 [Source:MGI Symbol;Acc:MGI:1347058] MQEAPAALPTEPGPSPVPAFLGKLWALVGDPGTDHLIRWSPSGTSFLVSDQSRFAKEVLP QYFKHSNMASFVRQLNMYGFRKVVSIEQGGLLRPERDHVEFQHPSFVRGREQLLERVRRK VPALRGDDSRWRPEDLSRLLGEVQALRGVQESTEARLQELRQQNEILWREVVTLRQSHSQ QHRVIGKLIQCLFGPLQTGPSSTGAKRKLSLMLDEGSACSASAKFNACPVSGALLQDPYF IQSPLPETTLGLSPHRARGPIISDIPEDSPSPEGHRLSPSGGCRSTPTAARGCGAGHPGR ERELQP >ENSMUSP00000048904.2 pep:known chromosome:GRCm38:8:105269801:105275845:1 gene:ENSMUSG00000033249.10 transcript:ENSMUST00000036127.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf4 description:heat shock transcription factor 4 [Source:MGI Symbol;Acc:MGI:1347058] MQEAPAALPTEPGPSPVPAFLGKLWALVGDPGTDHLIRWSPSGTSFLVSDQSRFAKEVLP QYFKHSNMASFVRQLNMYGFRKVVSIEQGGLLRPERDHVEFQHPSFVRGREQLLERVRRK VPALRGDDSRWRPEDLSRLLGEVQALRGVQESTEARLQELRQQNEILWREVVTLRQSHSQ QHRVIGKLIQCLFGPLQTGPSSTGAKRKLSLMLDEGSACSASAKFNACPVSGALLQDPYF IQSPLPETTLGLSPHRARGPIISDIPEDSPSPEGHRLSPSGGCRRVKGLALLKEEPASPG GDGEAGLALAPNECDFCVTAPPPLPVAVVQAILEGKGSYSPEGPRSVQQPEPRGPREVPD RGTLGLDRGNRSPESLLPPMLLRPAPETLEPVAPVDVLGPSLHGREWTLMDLDMELSLMQ PLAPETDEAELTVKELNSSGVGKDHTLGTPLMLDVQADLEGAALSVPGALTLYNVTESNA SYLDPGASPSSP >ENSMUSP00000133879.1 pep:known chromosome:GRCm38:8:105269829:105275843:1 gene:ENSMUSG00000033249.10 transcript:ENSMUST00000173102.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hsf4 description:heat shock transcription factor 4 [Source:MGI Symbol;Acc:MGI:1347058] MQEAPAALPTEPGPSPVPAFLGKLWALVGDPGTDHLIRWSPMVFGRW >ENSMUSP00000134206.1 pep:known chromosome:GRCm38:8:105269856:105275736:1 gene:ENSMUSG00000033249.10 transcript:ENSMUST00000172525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf4 description:heat shock transcription factor 4 [Source:MGI Symbol;Acc:MGI:1347058] MQEAPAALPTEPGPSPVPAFLGKLWALVGDPGTDHLIRWSPSGTSFLVSDQSRFAKEVLP QYFKHSNMASFVRQLNMYGFRKVVSIEQGGLLRPERDHVEFQHPSFVRGREQLLERVRRK VPALRGDDSRWRPEDLSRLLGEVQALRGVQESTEARLQELRQQNEILWREVVTLRQSHSQ QHRVIGKLIQCLFGPLQTGPSSTGAKRKLSLMLDEGSACSASAKFNACPVSGALLQDPYF IQSHPHRCPWLWCRPSWKGKGATALRGPGVYNSLNQGAPGRYLTGELWAWIGVTGAQRVC YPQCCFGLPLKLWSPWHLWMCSALACMDENGP >ENSMUSP00000134477.1 pep:known chromosome:GRCm38:8:105269874:105275658:1 gene:ENSMUSG00000033249.10 transcript:ENSMUST00000174837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf4 description:heat shock transcription factor 4 [Source:MGI Symbol;Acc:MGI:1347058] MQEAPAALPTEPGPSPVPAFLGKLWALVGDPGTDHLIRWSPSGTSFLVSDQSRFAKEVLP QYFKHSNMASFVRQLNMYGFRKVVSIEQGGLLRPERDHVEFQHPSFVRGREQLLERVRRK VPALRGDDSRWRPEDLSRLLGEVQALRGVQESTEARLQELRQQNEILWREVVTLRQSHSQ QHRVIGKLIQCLFGPLQTGPSSTGAKRKLSLMLDEGSACSASAKFNACPVSGALLQDPYF IQSPLPETTLGLSPHRARGPIISDIPEDSPSPEGHRLSPSGGCRRGTLGLDRGNRSPESL LPPMLLRPAPETLEPVAPVDVLGPSLHGREWTLMDLDMELSLMQPLAPETDEAELTVKEL NSSGVGKDHTLGTPLMLDVQADLEGAALSVPGALTLYNVTESNASYLDPGASPSSP >ENSMUSP00000134213.1 pep:known chromosome:GRCm38:8:105269874:105275845:1 gene:ENSMUSG00000033249.10 transcript:ENSMUST00000173859.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf4 description:heat shock transcription factor 4 [Source:MGI Symbol;Acc:MGI:1347058] MQEAPAALPTEPGPSPVPAFLGKLWALVGDPGTDHLIRWSPSGTSFLVSDQSRFAKEVLP QYFKHSNMASFVRQLNMYGFRKVVSIEQGGLLRPERDHVEFQHPSFVRGREQLLERVRRK VPALRGDDSRWRPEDLSRLLGEVQALRGVQESTEARLQELRQQNEILWREVVTLRQSHSQ QHRVIGKLIQCLFGPLQTGPSSTGAKRKLSLMLDEGSACSASAKFNACPVSGALLQDPYF IQSPSPCSPSQRPRWASALTGPEGPSSLTSQKILHLLKDTGFLPPVVAGAPPPLPVAVVQ AILEGKGSYSPEGPRSVQQPEPRGPREVPDRGTLGLDRGNRSPESLLPPMLLRPAPETLE PVAPVDVLGPSLHGREWTLMDLDMELSLMQPLAPETDEAELTVKELNSSGVGKDHTLGTP LMLDVQADLEGAALSVPGALTLYNVTESNASYLDPGASPSSP >ENSMUSP00000126278.2 pep:known chromosome:GRCm38:8:105269801:105275845:1 gene:ENSMUSG00000033249.10 transcript:ENSMUST00000163734.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf4 description:heat shock transcription factor 4 [Source:MGI Symbol;Acc:MGI:1347058] MGAGRRPRHRPPHPLEPDGFRKVVSIEQGGLLRPERDHVEFQHPSFVRGREQLLERVRRK VPALRGDDSRWRPEDLSRLLGEVQALRGVQESTEARLQELRQQNEILWREVVTLRQSHSQ QHRVIGKLIQCLFGPLQTGPSSTGAKRKLSLMLDEGSACSASAKFNACPVSGALLQDPYF IQSPLPETTLGLSPHRARGPIISDIPEDSPSPEGHRLSPSGGCRRVKGLALLKEEPASPG GDGEAGLALAPNECDFCVTAPPPLPVAVVQAILEGKGSYSPEGPRSVQQPEPRGPREVPD RGTLGLDRGNRSPESLLPPMLLRPAPETLEPVAPVDVLGPSLHGREWTLMDLDMELSLMQ PLAPETDEAELTVKELNSSGVGKDHTLGTPLMLDVQADLEGAALSVPGALTLYNVTESNA SYLDPGASPSSP >ENSMUSP00000108095.1 pep:known chromosome:GRCm38:6:124760055:124769621:-1 gene:ENSMUSG00000004267.16 transcript:ENSMUST00000112476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno2 description:enolase 2, gamma neuronal [Source:MGI Symbol;Acc:MGI:95394] MSIEKIWAREILDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDGDKQRYLGK GVLKAVDHINSRIAPALISSGISVVEQEKLDNLMLELDGTENKSLELVKEAIDKAGYTEK MVIGMDVAASEFYRDGKYDLDFKSPADPSRYITGDQLGALYQDFVRNYPVVSIEDPFDQD DWAAWSKFTANVGIQIVGDDLTVTNPKRIERAVEEKACNCLLLKVNQIGSVTEAIQACKL AQENGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEELGD EARFAGHNFRNPSVL >ENSMUSP00000004378.8 pep:known chromosome:GRCm38:6:124760055:124769673:-1 gene:ENSMUSG00000004267.16 transcript:ENSMUST00000004378.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno2 description:enolase 2, gamma neuronal [Source:MGI Symbol;Acc:MGI:95394] MSIEKIWAREILDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDGDKQRYLGK GVLKAVDHINSRIAPALISSGISVVEQEKLDNLMLELDGTENKSKFGANAILGVSLAVCK AGAAERDLPLYRHIAQLAGNSDLILPVPAFNVINGGSHAGNKLAMQEFMILPVGAESFRD AMRLGAEVYHTLKGVIKDKYGKDATNVGDEGGFAPNILENSEALELVKEAIDKAGYTEKM VIGMDVAASEFYRDGKYDLDFKSPADPSRYITGDQLGALYQDFVRNYPVVSIEDPFDQDD WAAWSKFTANVGIQIVGDDLTVTNPKRIERAVEEKACNCLLLKVNQIGSVTEAIQACKLA QENGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEELGDE ARFAGHNFRNPSVL >ENSMUSP00000144861.1 pep:known chromosome:GRCm38:6:124760925:124769520:-1 gene:ENSMUSG00000004267.16 transcript:ENSMUST00000204896.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno2 description:enolase 2, gamma neuronal [Source:MGI Symbol;Acc:MGI:95394] MSIEKIWAREILDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDGDKQRYLGK AKFGANAILGVSLAVCKAGAAERDLPLYRHIAQLAGNSDLILPVPAFNVINGGSHAGNKL AMQEFMILPVGAESFRDAMRLGAEVYHTLKGVIKDKYGKDATNVGDEGGFAPNILENSEA LELVKEAIDKAGYTEKMVIGMDVAASEFYRDGKYDLDFKSPADPSRYITGDQLGALYQDF VRNYPVVSIEDPFDQDDWAAWSKFTANVGIQIVGDDLTVTNPKRIERAVEEKACNCLLLK VNQIGSVTEAIQACKLAQENGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSER LAKYNQLMRIEEELGDEARFAGHNFRNPSVL >ENSMUSP00000144854.1 pep:known chromosome:GRCm38:6:124763767:124768330:-1 gene:ENSMUSG00000004267.16 transcript:ENSMUST00000138770.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eno2 description:enolase 2, gamma neuronal [Source:MGI Symbol;Acc:MGI:95394] MSIEKIWAREILDSRGNPTVEVDLYTAKGDGQSMDCP >ENSMUSP00000114500.1 pep:known chromosome:GRCm38:6:124763870:124768376:-1 gene:ENSMUSG00000004267.16 transcript:ENSMUST00000135626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno2 description:enolase 2, gamma neuronal [Source:MGI Symbol;Acc:MGI:95394] MSIEKIWAREILDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDGDKQRYLGK GVLKAVDHINSRIAPALISSGISVVEQEKLDNLMLELDGTENKSLELVKEAIDKAGYTEK MV >ENSMUSP00000145131.1 pep:known chromosome:GRCm38:6:124766141:124769484:-1 gene:ENSMUSG00000004267.16 transcript:ENSMUST00000151214.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eno2 description:enolase 2, gamma neuronal [Source:MGI Symbol;Acc:MGI:95394] MSIEKIWAREILDSRGNPTVEVDLYTAKGDGQSMDCP >ENSMUSP00000145216.1 pep:known chromosome:GRCm38:6:124766222:124768331:-1 gene:ENSMUSG00000004267.16 transcript:ENSMUST00000127274.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eno2 description:enolase 2, gamma neuronal [Source:MGI Symbol;Acc:MGI:95394] MSIEKIWAREILDSRGNPTVEVDLYTAKGDGQSMDCP >ENSMUSP00000119112.1 pep:known chromosome:GRCm38:6:124766285:124769550:-1 gene:ENSMUSG00000004267.16 transcript:ENSMUST00000149652.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno2 description:enolase 2, gamma neuronal [Source:MGI Symbol;Acc:MGI:95394] MSIEKIWAREILDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDGDKQRYLGK GVLKAVDHINSRIAPALISSGISVVEQEKLDNLMLELDGTENKSKFGANAILGVSLAVCK AGAAERDLPLYRHIAQLAGNSDLILPVPAFNVINGGSHAGNK >ENSMUSP00000144698.1 pep:known chromosome:GRCm38:6:124767708:124769486:-1 gene:ENSMUSG00000004267.16 transcript:ENSMUST00000156033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno2 description:enolase 2, gamma neuronal [Source:MGI Symbol;Acc:MGI:95394] MSIEKIWAREILDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDGDKQRYL >ENSMUSP00000121111.1 pep:known chromosome:GRCm38:10:81105915:81124697:1 gene:ENSMUSG00000035027.17 transcript:ENSMUST00000143517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k2 description:mitogen-activated protein kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346867] MLARRKPVLPALTINPTIAEGPSPTSEGASEANLVDLQKKLEELDLDEQQRKRLEAFLTQ KAKVGELKDDDFERISELGAGNGGVVTKARHRPSGLIMARKLIHLEIKPAVRNQIIRELQ VLHECNSPYIVGFYGAFYSDGEISICMEHMDGGSLDQVLKEAKRIPEDILGKVSIAVLRG LAYLREKHQIMHRDVKPSNILVNSRGEIKLCDFGVSGQLIDSMANSFVGTRSYMSPERLQ GTHYSVQSDIWSMGLSLVELAIGRYPIPPPDAKELEASFGRPVVDGADGEPHSVSPRPRP PGRPISVGHGMDSRPAMAIFELLDYIVNEPPPKLPSGVFSSDFQEFVNKCLIKNPAERAD LKLLMNHAFIKRSEGEEVDFAGWLCRTLRLKQPSTPTRTAV >ENSMUSP00000100968.1 pep:known chromosome:GRCm38:10:81105947:81123883:1 gene:ENSMUSG00000035027.17 transcript:ENSMUST00000105331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k2 description:mitogen-activated protein kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346867] MLARRKPVLPALTINPTIAEGPSPTSEGASEANLVDLQKKLEELDLDEQQRKRLEAFLTQ KAKVGELKDDDFERISELGAGNGGVVTKARHRPSGLIMARKLIHLEIKPAVRNQIIRELQ VLHECNSPYIVGFYGAFYSDGEISICMEHMDGGSLDQVLKEAKRIPEDILGKVSIAVLRG LAYLREKHQIMHRDVKPSNILVNSRGEIKLCDFGVSGQLIDSMANSFVGTRSYMSPERLQ GTHYSVQSDIWSMGLSLVELAIGRYPIPPPDAKELEASFGRPVVDGADGEPHSVSPRPRP PGRPISGHGMDSRPAMAIFELLDYIVNEPPPKLPSGVFSSDFQEFVNKCLIKNPAERADL KLLMNHAFIKRSEGEEVDFAGWLCRTLRLKQPSTPTRTAV >ENSMUSP00000137918.1 pep:known chromosome:GRCm38:10:81105972:81123845:1 gene:ENSMUSG00000035027.17 transcript:ENSMUST00000048223.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map2k2 description:mitogen-activated protein kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346867] MLARRKPVLPALTINPTIAEGPSPTSEGASEANLVDLQKKLEELDLDEQQRKRLEAFLTQ KAKVGELKDDDFERISELGAGNGGVVTKARHRPSGLIMARKLIHLEIKPAVRNQIIRELQ VLHECNSPYIVGFYGAFYSDGEISICMEHMDGGSLDQVLKEAKRIPEDILGKVSIAVPVW DLGMYISHACHGTTLWLGRLITA >ENSMUSP00000117567.1 pep:known chromosome:GRCm38:10:81106345:81119125:1 gene:ENSMUSG00000035027.17 transcript:ENSMUST00000136743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k2 description:mitogen-activated protein kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346867] MARKLIHLEIKPAVRNQIIRELQVLHECNSPYIVGFYGAFYSDGEISICMEHMDGGSLDQ VLKEAKRIPEDILGKVSIAVLRGLA >ENSMUSP00000074461.5 pep:known chromosome:GRCm38:11:99614019:99614846:-1 gene:ENSMUSG00000060756.5 transcript:ENSMUST00000074926.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap2-4 description:keratin associated protein 2-4 [Source:MGI Symbol;Acc:MGI:1918703] MTGSCCGSFSSQSCGGGCCQPCCCRDPCCCRPVSCQTTVCRPVTCVPHCTRPICEPCRRP ICCDPCSLQQGCCRPITCCPTSCTAVVCRPCCWASTCCQPISVQAPCCRPPCCQPAPCRT TCRTSPCNTCC >ENSMUSP00000074878.4 pep:known chromosome:GRCm38:3:55782510:55785001:1 gene:ENSMUSG00000056947.5 transcript:ENSMUST00000075422.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mab21l1 description:mab-21-like 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1333773] MIAAQAKLVYHLNKYYNEKCQARKAAIAKTIREVCKVVSDVLKEVEVQEPRFISSLNEMD NRYEGLEVISPTEFEVVLYLNQMGVFNFVDDGSLPGCAVLKLSDGRKRSMSLWVEFITAS GYLSARKIRSRFQTLVAQAVDKCSYRDVVKMVADTSEVKLRIRDRYVVQITPAFKCTGIW PRSAAHWPLPHIPWPGPNRVAEVKAEGFNLLSKECHSLAGKQSSAESDAWVLQFAEAENR LQMGGCRKKCLSILKTLRDRHLELPGQPLNNYHMKTLVSYECEKHPRESDWDESCLGDRL NGILLQLISCLQCRRCPHYFLPNLDLFQGKPHSALENAAKQTWRLAREILTNPKSLEKL >ENSMUSP00000095454.2 pep:known chromosome:GRCm38:4:135895394:135920207:1 gene:ENSMUSG00000062585.11 transcript:ENSMUST00000097843.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnr2 description:cannabinoid receptor 2 (macrophage) [Source:MGI Symbol;Acc:MGI:104650] MEGCRETEVTNGSNGGLEFNPMKEYMILSSGQQIAVAVLCTLMGLLSALENMAVLYIILS SRRLRRKPSYLFISSLAGADFLASVIFACNFVIFHVFHGVDSNAIFLLKIGSVTMTFTAS VGSLLLTAVDRYLCLCYPPTYKALVTRGRALVALCVMWVLSALISYLPLMGWTCCPSPCS ELFPLIPNDYLLGWLLFIAILFSGIIYTYGYVLWKAHRHVATLAEHQDRQVPGIARMRLD VRLAKTLGLVLAVLLICWFPALALMGHSLVTTLSDQVKEAFAFCSMLCLVNSMVNPIIYA LRSGEIRSAAQHCLIGWKKYLQGLGPEGKEEGPRSSVTETEADVKTT >ENSMUSP00000069957.3 pep:known chromosome:GRCm38:4:135911818:135920207:1 gene:ENSMUSG00000062585.11 transcript:ENSMUST00000068830.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnr2 description:cannabinoid receptor 2 (macrophage) [Source:MGI Symbol;Acc:MGI:104650] MEGCRETEVTNGSNGGLEFNPMKEYMILSSGQQIAVAVLCTLMGLLSALENMAVLYIILS SRRLRRKPSYLFISSLAGADFLASVIFACNFVIFHVFHGVDSNAIFLLKIGSVTMTFTAS VGSLLLTAVDRYLCLCYPPTYKALVTRGRALVALCVMWVLSALISYLPLMGWTCCPSPCS ELFPLIPNDYLLGWLLFIAILFSGIIYTYGYVLWKAHRHVATLAEHQDRQVPGIARMRLD VRLAKTLGLVLAVLLICWFPALALMGHSLVTTLSDQVKEAFAFCSMLCLVNSMVNPIIYA LRSGEIRSAAQHCLIGWKKYLQGLGPEGKEEGPRSSVTETEADVKTT >ENSMUSP00000105549.2 pep:known chromosome:GRCm38:13:55473429:55488111:-1 gene:ENSMUSG00000034675.17 transcript:ENSMUST00000109923.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbn1 description:drebrin 1 [Source:MGI Symbol;Acc:MGI:1931838] MAGVSFSGHRLELLAAYEEVIREESAADWALYTYEDGSDDLKLAASGEGGLQELSGHFEN QKVMYGFCSVKDSQAALPKYVLINWVGEDVPDARKCACASHVAKVAEFFQGVDVIVNASS VEDIDAGAIGQRLSNGLARLSSPVLHRLRLREDENAEPVGTTYQKTDAAVEMKRINREQF WEQAKKEEELRKEEERKKALDARLRFEQERMEQERQEQEERERRYREREQQIEEHRRKQQ SLEAEEAKRRLKEQSIFGDQRDEEEESQMKKSESEVEEAAAIIAQRPDNPREFFRQQERV ASASGGSCDAPAPAPFNHRPGSHLDSHRRMAPTPIPTRSPSDSSTASTPIAEQIERALDE VTSSQPPPPPPPPPPTQEAQETTPSLDEELSKEAKVTAAPEVWAGCAAEPPQAQEPPLLQ SSPLEDSMCTESPEQAALAAPAEPAASVTSVADVHAADTIETTTATTDTTIANNVTPAAA SLIDLWPGNGEEASTLQAEPRVPTPPSGAEASLAEVPLLNEAAQEPLPPVGEGCANLLNF DELPEPPATFCDPEEEVGETLAASQVLTMPSALEEVDQVLEQELEPEPHLLTNGETTQKE GTQASEGYFSQSQEEEFAQSEEPCAKVPPPVFYNKPPEIDITCWDADPVPEEEEGFEGGD >ENSMUSP00000021950.8 pep:known chromosome:GRCm38:13:55473429:55488111:-1 gene:ENSMUSG00000034675.17 transcript:ENSMUST00000021950.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbn1 description:drebrin 1 [Source:MGI Symbol;Acc:MGI:1931838] MAGVSFSGHRLELLAAYEEVIREESAADWALYTYEDGSDDLKLAASGEGGLQELSGHFEN QKVMYGFCSVKDSQAALPKYVLINWVGEDVPDARKCACASHVAKVAEFFQGVDVIVNASS VEDIDAGAIGQRLSNGLARLSSPVLHRLRLREDENAEPVGTTYQKTDAAVEMKRINREQF WEQAKKEEELRKEEERKKALDARLRFEQERMEQERQEQEERERRYREREQQIEEHRRKQQ SLEAEEAKRRLKEQSIFGDQRDEEEESQMKKSESEVEEAAAIIAQRPDNPREFFRQQERV ASASGGSCDAPAPAPFNHRPGRPYCPFIKASDSGPSSSSSSSSSPPRTPFPYITCHRTPN LSSSLPCSHLDSHRRMAPTPIPTRSPSDSSTASTPIAEQIERALDEVTSSQPPPPPPPPP PTQEAQETTPSLDEELSKEAKVTAAPEVWAGCAAEPPQAQEPPLLQSSPLEDSMCTESPE QAALAAPAEPAASVTSVADVHAADTIETTTATTDTTIANNVTPAAASLIDLWPGNGEEAS TLQAEPRVPTPPSGAEASLAEVPLLNEAAQEPLPPVGEGCANLLNFDELPEPPATFCDPE EEVGETLAASQVLTMPSALEEVDQVLEQELEPEPHLLTNGETTQKEGTQASEGYFSQSQE EEFAQSEEPCAKVPPPVFYNKPPEIDITCWDADPVPEEEEGFEGGD >ENSMUSP00000105547.2 pep:known chromosome:GRCm38:13:55473944:55488061:-1 gene:ENSMUSG00000034675.17 transcript:ENSMUST00000109921.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbn1 description:drebrin 1 [Source:MGI Symbol;Acc:MGI:1931838] MAGVSFSGHRLELLAAYEEVIREESAADWALYTYEDGSDDLKLAASGEGGLQELSGHFEN QKVMYGFCSVKDSQAALPKYVLINWVGEDVPDARKCACASHVAKVAEFFQGVDVIVNASS VEDIDAGAIGQRLSNGLARLSSPVLHRLRLREDENAEPVGTTYQKTDAAVEMKRINREQF WEQAKKEEELRKEEERKKALDARLRFEQERMEQERQEQEERERRYREREQQIEEHRRKQQ SLEAEEAKRRLKEQSIFGDQRDEEEESQMKKSESEVEEAAAIIAQRPDNPREFFRQQERV ASASGGSCDAPAPAPFNHRPGSHLDSHRRMAPTPIPTRSPSDSSTASTPIAEQIERALDE VTSSQPPPPPPPPPPTQEAQETTPSLDEELSKEAKVTAAPEVWAGCAAEPPQAQEPPLLQ SSPLEDSMCTESPEQAALAAPAEPAASVTSVADVHAADTIETTTATTDTTIANNVTPAAA SLIDLWPGNGEEASTLQAEPRVPTPPSGAEASLAEVPLLNEAAQEPLPPVGEGCANLLNF DELPEPPATFCDPEEEVGETLAASQVLTMPSALEEVDQVLEQELEPEPHLLTNGETTQKE GTQQASEGYFSQSQEEEFAQSEEPCAKVPPPVFYNKPPEIDITCWDADPVPEEEEGFEGG D >ENSMUSP00000122574.1 pep:known chromosome:GRCm38:13:55481550:55487633:-1 gene:ENSMUSG00000034675.17 transcript:ENSMUST00000139275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbn1 description:drebrin 1 [Source:MGI Symbol;Acc:MGI:1931838] MYGFCSVKDSQAALPKYVLINWVGEDVPDARKCACASHVAKVAEFFQGVDVIVNASSVED IDAGAIGQRLSNGLARLSSPVLHRLRLREDENAEPVGTTYQKTDAAVEMKRINREQFWEQ AKKEEELRKEEERKKALDARLRFEQERMEQERQEQEERERRYREREQQI >ENSMUSP00000056008.3 pep:known chromosome:GRCm38:8:122422020:122423639:1 gene:ENSMUSG00000046108.3 transcript:ENSMUST00000050963.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17c description:interleukin 17C [Source:MGI Symbol;Acc:MGI:2446486] MTHQDPPSWGKPRSHRTLRCYSAEELSHGQAPPHLLTRSARWEQALPVALVASLEATGHR RQHEGPLAGTQCPVLRPEEVLEADTHERSISPWRYRIDTDENRYPQKLAVAECLCRGCIN AKTGRETAALNSVQLLQSLLVLRRQPCSRDGTADPTPGSFAFHTEFIRVPVGCTCVLPRS TQ >ENSMUSP00000097906.3 pep:known chromosome:GRCm38:11:105401652:105456735:-1 gene:ENSMUSG00000078627.9 transcript:ENSMUST00000100332.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March10 description:membrane-associated ring finger (C3HC4) 10 [Source:MGI Symbol;Acc:MGI:2443469] MLHETRDRQKFVSDVQYLRDMQHKVDSEYQACLKRQENKKEPNEKKQEQLWVRDTSDRSR FSSGSSCKQSSCEEDSRSEARLSTKTSSAKCEPRLPAIDQASVKQKHKGTMTLKKSEKVS PSKPSPGDSSEQPLFCVEYSPYCSVFAFSPTQQPNTNYCCLLLPLVPPAAQGAQSPSTSL PPAIFPTFSTPPSSPLSPLLGSPASLCYFPVSQPTPAETPVLCLPGPRRPSKIYLIW >ENSMUSP00000121919.1 pep:known chromosome:GRCm38:11:105360798:105455294:-1 gene:ENSMUSG00000078627.9 transcript:ENSMUST00000138977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March10 description:membrane-associated ring finger (C3HC4) 10 [Source:MGI Symbol;Acc:MGI:2443469] MLHETRDRQKFVSDVQYLRDMQHKVDSEYQACLKRQENKKEPNEKKQEQLWVRDTSDRSR FSSGSSCKQSSCEEDSRSEARLSTKTSSAKCEPRLPAIDQASVKQKHKGTMTLKKSEKVS PSKPSPVAPKILSRKRRPNLGRLTVSPEMHSPRASAERSRQKPQLSTKASGLLGADPVVQ QESLLCANETKLKKPARERRKLAPSSQLMRTAGKPREKQKKGDLSAHPQNEPHTALSQTF QPMNGSQMLNESLGPSLLPATVVGPRRTPFRFHDEDFYSAISLNNEQESYDTEEETHMEE ELLLSGMRSPPSYKRSRFLGTSTAQNRNVEENAENLRGNSLRWSEPSPGSPRKTSTTEPA TKQPSPGQRMLQDTRLPSELAKENPSGDQDEKTPVLGDAKSDGVIQVSADDVSSGGTVED RSAVQNHERDWQHYYSGSRTSFDCLLSGRPTAPRSSMNPPYSAHGSLLHSALIDDIPANL SMSSILVPSSDLEENLRFNVRRPLSPIRNRNPLAAAEGRSDEAQGTQEMASTSHIQEPPL LADLPNPQSSVALGDSPSSPTRRHLQGHFYMPGSLQENIPFTFFAVSDFANQNDNGTTVR VSGVMDEKATEIKADPEKLRKLQESLLEEDSEEEEGDLCRICQIAGGSPANPLLEPCGCV GSLQFVHQECLKKWLKVKITSGADLGTVKTCEMCKQGLLVDLDDFNMTEFYHKHQQSRAQ SELMNSGLYLVLLLHLYEQRFAELMALNYRRASRERLSRNYPQPRPEENESSESGDGNAS NIYPGRVI >ENSMUSP00000118401.1 pep:known chromosome:GRCm38:11:105396695:105408707:-1 gene:ENSMUSG00000078627.9 transcript:ENSMUST00000151305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March10 description:membrane-associated ring finger (C3HC4) 10 [Source:MGI Symbol;Acc:MGI:2443469] XKHKGTMTLKKSEKVSPSKPSPGDSSEQPLFCVEYSPYCSVFAFSPTQQPNTNYCCLLLP LVPPAAQGAQSPSTSLPPAIFPTFSTPPSSPLSPLLGSPASLCYFPVSQPTPAETPVLCL PGPRRPSKIYLICGTKDFIKEEETKPGEIDSQPRDAQPKSICGEKQAETTAVDKGIRTPG SREWTLSGLRQRC >ENSMUSP00000063198.3 pep:known chromosome:GRCm38:11:105360798:105456735:-1 gene:ENSMUSG00000078627.9 transcript:ENSMUST00000049995.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March10 description:membrane-associated ring finger (C3HC4) 10 [Source:MGI Symbol;Acc:MGI:2443469] MLHETRDRQKFVSDVQYLRDMQHKVDSEYQACLKRQENKKEPNEKKQEQLWVRDTSDRSR FSSGSSCKQSSCEEDSRSEARLSTKTSSAKCEPRLPAIDQASVKQKHKGTMTLKKSEKVS PSKPSPVAPKILSRKRRPNLGRLTVSPEMHSPRASAERSRQKPQLSTKASGLLGADPVVQ QESLLCANETKLKKPARERRKLAPSSQLMRTAGKPREKQKKGDLSAHPQNEPHTALSQTF QPMNGSQMLNESLGPSLLPATVVGPRRTPFRFHDEDFYSAISLNNEQESYDTEEETHMEE ELLLSGMRSPPSYKRSRFLGTSTAQNRNVEENAENLRGNSLRWSEPSPGSPRKTSTTEPA TKQPSPGQRMLQDTRLPSELAKENPSGDQDEKTPVLGDAKSDGVIQVSADDVSSGGTVED RSAVQNHERDWQHYYSGSRTSFDCLLSGRPTAPRSSMNPPYSAHGSLLHSALIDDIPANL SMSSILVPSSDLEENLRFNVRRPLSPIRNRNPLAAAEGRSDEAQGTQEMASTSHIQEPPL LADLPNPQSSVALGDSPSSPTRRHLQGHFYMPGSLQENIPFTFFAVSDFANQNDNGTTVR VSGVMDEKATEIKADPEKLRKLQESLLEEDSEEEEGDLCRICQIAGGSPANPLLEPCGCV GSLQFVHQECLKKWLKVKITSGADLGTVKTCEMCKQGLLVDLDDFNMTEFYHKHQQSRAQ SELMNSGLYLVLLLHLYEQRFAELMALNYRRASRERLSRNYPQPRPEENESSESGDGNAS NIYPGRVI >ENSMUSP00000023129.8 pep:known chromosome:GRCm38:15:103402405:103430470:-1 gene:ENSMUSG00000022487.14 transcript:ENSMUST00000023129.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtsf1 description:gametocyte specific factor 1 [Source:MGI Symbol;Acc:MGI:1921424] MEDTYIDSLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNHPDVANKLATCPFNARHQVP RAEISHHISSCDDKSCIEQDVVNQTRNLGQETLAESTWQCPPCDEDWDKDLWEQTSTPFV WGTASFCGNNSPANNIVMEHKSNLASGMRVPKSLPYVLPWKNNGNAQ >ENSMUSP00000116366.1 pep:known chromosome:GRCm38:15:103402458:103428500:-1 gene:ENSMUSG00000022487.14 transcript:ENSMUST00000136480.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtsf1 description:gametocyte specific factor 1 [Source:MGI Symbol;Acc:MGI:1921424] MEDTYIDSLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNHPDVANKLATCPFNARHQVP RAEISHHISSCDDKSCIEQDVVNQTRNLGQETLAESTWQCPPCDEDWDKDLWEQTSTPFV WGTASFCGNNSPANNIVMEHKSNLASGMRVPKSLPYVLPWKNNGNAQ >ENSMUSP00000120797.1 pep:known chromosome:GRCm38:15:103409626:103430409:-1 gene:ENSMUSG00000022487.14 transcript:ENSMUST00000147389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtsf1 description:gametocyte specific factor 1 [Source:MGI Symbol;Acc:MGI:1921424] MEDTYIDSLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNHPDVANKLATCPFNARHQVP RAEISHHISSCDDKSCIEQDVVNQTRNLGQETLAESTWQCPPCDEDWDKDLWEQTSTPFV WGTASFCGNNSPANNIVMEHKSNLASGMRVPKSLPYVLPWK >ENSMUSP00000114244.1 pep:known chromosome:GRCm38:15:103402483:103430349:-1 gene:ENSMUSG00000022487.14 transcript:ENSMUST00000153930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtsf1 description:gametocyte specific factor 1 [Source:MGI Symbol;Acc:MGI:1921424] MEDTYIDSLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNHPDVANKLATCPFNARHQVP RAEISHHISSCDDKSCIEQDVVNQTRNLGQETLAESTWQCPPCDEDWDKDLWEQTSTPFV WGTASFCGNNSPANNIVMEHKSNLASGMRVPKSLPYVLPWKNNGNAQ >ENSMUSP00000119234.1 pep:known chromosome:GRCm38:15:103402514:103430379:-1 gene:ENSMUSG00000022487.14 transcript:ENSMUST00000141364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtsf1 description:gametocyte specific factor 1 [Source:MGI Symbol;Acc:MGI:1921424] MEDTYIDSLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNHPDVANKLATCPFNARHQVP RAEISHHISSCDDKSCIEQDVVNQTRNLGQETLAESTWQCPPCDEDWDKDLWEQTSTPFV WGTASFCGNNSPANNIVMEHKSNLASGMRVPKSLPYVLPWKNNGNAQ >ENSMUSP00000115123.1 pep:known chromosome:GRCm38:15:103419968:103430244:-1 gene:ENSMUSG00000022487.14 transcript:ENSMUST00000129837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtsf1 description:gametocyte specific factor 1 [Source:MGI Symbol;Acc:MGI:1921424] MEDTYIDSLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNHPDVANKLATCPFNARHQVP RAEISHHISSCDDKSCIEQDVVNQTRNLGQETLAESTWQCPPCDEDWDKDLWEQTSTPFV WGTASFC >ENSMUSP00000122193.1 pep:known chromosome:GRCm38:15:103402495:103430344:-1 gene:ENSMUSG00000022487.14 transcript:ENSMUST00000146675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtsf1 description:gametocyte specific factor 1 [Source:MGI Symbol;Acc:MGI:1921424] MEDTYIDSLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNHPDVANKLATCPFNARHQVP RAEISHHISSCDDKSCIEQDVVNQTRNLGQETLAESTWQCPPCDEDWDKDLWEQTSTPFV WGTASFCGNNSPANNIVMEHKSNLASGMRVPKSLPYVLPWKNNGNAQ >ENSMUSP00000115670.1 pep:known chromosome:GRCm38:15:103402461:103429562:-1 gene:ENSMUSG00000022487.14 transcript:ENSMUST00000146736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtsf1 description:gametocyte specific factor 1 [Source:MGI Symbol;Acc:MGI:1921424] XWNFCERPKESLPRDNSSLEQDSFAISMEDTYIDSLDPEKLLQCPYDKNHQIRACRFPYH LIKCRKNHPDVANKLATCPFNARHQVPRAEISHHISSCDDKSCIEQDVVNQTRNLGQETL AESTWQCPPCDEDWDKDLWEQTSTPFVWGTASFCGNNSPANNIVMEHKSNLASGMRVPKS LPYVLPWKNNGNAQ >ENSMUSP00000114733.1 pep:known chromosome:GRCm38:15:103402458:103428606:-1 gene:ENSMUSG00000022487.14 transcript:ENSMUST00000123724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtsf1 description:gametocyte specific factor 1 [Source:MGI Symbol;Acc:MGI:1921424] MPLRRNWREALEQDSFAISMEDTYIDSLDPEKLLQCPYDKNHQIRACRFPYHLIKCRKNH PDVANKLATCPFNARHQVPRAEISHHISSCDDKSCIEQDVVNQTRNLGQETLAESTWQCP PCDEDWDKDLWEQTSTPFVWGTASFCGNNSPANNIVMEHKSNLASGMRVPKSLPYVLPWK NNGNAQ >ENSMUSP00000024805.7 pep:known chromosome:GRCm38:17:29156550:29237797:-1 gene:ENSMUSG00000024008.15 transcript:ENSMUST00000024805.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne5 description:copine V [Source:MGI Symbol;Acc:MGI:2385908] MEQPEDMASLSEFDSLAGSIPATKVEITVSCRNLLDKDMFSKSDPLCVMYTQGMENKQWR EFGRTEVIDNTLNPDFVRKFIVDYFFEEKQNLRFDLYDVDSKSPDLSKHDFLGQAFCTLG EIVGSSGSRLEKPLTIGTFSLNSRTGKPMPAVSNGGVPGKKCGTIILSAEELSNCRDVAT MQFCANKLDKKDFFGKSDPFLVFYRSNEDGTFTICHKTEVMKNTLNPVWQTFSIPVRALC NGDYDRTIKVEVYDWDRDGSHDFIGEFTTSYRELARGQSQFNIYEVINPKKKMKKKKYVN SGTVTLLSFAVESESTFLDYIKGGTQINFTVAIDFTASNGNPSQSTSLHYMSPYQLNAYA LALTAVGEIIQHYDSDKMFPALGFGAKLPPDGRVSHEFPLNGNQENPSCCGIDGILEAYH SSLRTVQLYGPTNFAPVVTHVARNAAAVQDGSQYSVLLIITDGVISDMAQTKEAIVNAAK LPMSIIIVGVGQAEFDAMVELDGDDVRISSRGKLAERDIVQFVPFRDYVDRTGNHVLSMA RLARDVLAEIPDQLVSYMKAQGIRPRPPPAAPAQSPPQSPAHSPPGSPVHTHI >ENSMUSP00000117982.1 pep:known chromosome:GRCm38:17:29188334:29237759:-1 gene:ENSMUSG00000024008.15 transcript:ENSMUST00000137727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne5 description:copine V [Source:MGI Symbol;Acc:MGI:2385908] MEQPEDMASLSEFDSLAGSIPATKVEITVSCRNLLDKDMFSKSDPLCVMYTQGMENKQWR EFGRTEVIDNTLNPDFVRKFIVDYFFEEKQNLRFDLYDVDSKSPDLSKHDFLGQAFCTLG EIVGSSGSRLEKPLTIGTFSLNSRTGKPMPAVSNGSGLWMESSRTTGPEASGGVPGKKCG TIILSAEELSNCRDVATMQFCANKLDKKDFFGKS >ENSMUSP00000034270.9 pep:known chromosome:GRCm38:8:121590361:121598760:1 gene:ENSMUSG00000031812.16 transcript:ENSMUST00000034270.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map1lc3b description:microtubule-associated protein 1 light chain 3 beta [Source:MGI Symbol;Acc:MGI:1914693] MPSEKTFKQRRSFEQRVEDVRLIREQHPTKIPVIIERYKGEKQLPVLDKTKFLVPDHVNM SELIKIIRRRLQLNANQAFFLLVNGHSMVSVSTPISEVYESERDEDGFLYMVYASQETFG TAMAV >ENSMUSP00000137754.1 pep:known chromosome:GRCm38:8:121590484:121597012:1 gene:ENSMUSG00000031812.16 transcript:ENSMUST00000181948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map1lc3b description:microtubule-associated protein 1 light chain 3 beta [Source:MGI Symbol;Acc:MGI:1914693] MPSEKTFKQRRSFEQRVEDVRLIREQHPTKIPVGSPAARGDPNPIGAPRSPGKPQAPDLS RGKRGRRVIIERYKGEKQLPVLDKTKFLVPDHVNMSELIKIIRRRLQLNANQAFFLLVNG HSMVSVSTPISEVYESERDEDGFLYMVYASQETFGTAMAV >ENSMUSP00000137996.1 pep:known chromosome:GRCm38:8:121590712:121596995:1 gene:ENSMUSG00000031812.16 transcript:ENSMUST00000181521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map1lc3b description:microtubule-associated protein 1 light chain 3 beta [Source:MGI Symbol;Acc:MGI:1914693] MSELIKIIRRRLQLNANQAFFLLVNGHSMVSVSTPISEVYESERDEDGFLYMVYASQETF GTAMAV >ENSMUSP00000073519.2 pep:known chromosome:GRCm38:11:99619619:99620435:-1 gene:ENSMUSG00000062278.2 transcript:ENSMUST00000073853.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11562 description:predicted gene 11562 [Source:MGI Symbol;Acc:MGI:3652059] MTGSCCGSFSSQSCGGGCCQPCCCQPCCCRDPCCCRPVSCQTTVCRPVTCVPHCTRPICE PCRRPICCDPCSLQQGCCRPITCCPTSCTAVVCRPCCWASTCCQPISVQAPCCRPPCCQP APCRTTCRTSPCNTCC >ENSMUSP00000062637.7 pep:known chromosome:GRCm38:7:100372233:100470150:1 gene:ENSMUSG00000047248.20 transcript:ENSMUST00000051777.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd3 description:C2 calcium-dependent domain containing 3 [Source:MGI Symbol;Acc:MGI:2142166] MKQRKGQGPGGGRGRKKRGLSDISPSTSLPPLVEGQLRCFLKLTINKVVWKIAKPPTSVL VRVRWWGETSDGTLFCPRDALQTEPKAVRTTTRYGIRCGPKQFTSYLTDMAVLVLEVITK FDHLPVGRVQISGLAQLSPTHQINGFFTIVSPASKKLGELQVSLALEPLSETYDSYKPLP ATEVTKNVLLSERELRENTESSNTQSMIPSRSCRGPAIKIDGKELAGHSSRSTTPRGKDH LYFAENSDAVKGSLCGLQQHLNQGTNVETITLRGKAPQKQLSLLNSSEFQPQISTVAKSH SDSCILSSNTPPAKDLLSALLEQGNKLRNAMLISAMNSNPDTSMLLDKVPPPMTEAIPRS SALNSSENHFKGHSADHLLPLADTGAIQLLLGSAELSQGHFWNGLGSPPDSPTPGSDEYC SSDLNDPQYDQSLLENLFYTVPKSDVGTSELPSEDDGVEPSRTMNQSKASGRSKVVESKE QKQKRAAVKKSRNPIDQQELSRTPGHTPAMSLSVDRLALLGRVHSVRIIVETMGVPPDSP HMTPSRKNFAGKPPKPTAAKKRTFFVEYHFPVGFSKSGLGKTALITEVVRLASSKITDGV VKFQQRFVCPVEFGGPMIEHWWDSNLIFQIYAKKTPQKKPEVIGSASLPLRAVIQSELLS FSSQLPVQQENGLSSLGPLKVTMELVLGHKDFTGISAKLSSSTQPAPVSAATSSDTILPE TGQDTACTRNPQSSNKIHEETTKKTQNLVLPDQESANSVASNSSIFMAVPSCNLVHQING SNKESGLLLHVLLMVPDGKDFVFGEREKQPSCNVYLNCKLFSTEEVTRSVVSWGTAQPVF NFSQVIPVSLTSKCLERLKNNVMIIETWNKVRSPGQDKLLGLVKLPLHQFYMSFKDPKIS RLLLDARYPVVAVDSYMPVIDVFSGHQNGSLRVFLAMGSSAQIMMLQRLKNEEGTLPPFS PRPAHFLDQPPVASVAMPEKQGTRLMEHHFEFCVAMVKGLMPLQATVWGEADCYVQYYFP FQDSQPSVLQGPDFLENGITLKPFRTSTTLCVPDPVFNSEHHHSLLLPTDVPVQRLLLSA FSSQGLVPGGGVQFEVWCRYYYPNVRDQMVAKGTLPLSRVCAMVTMQYREDVGMQSFNLP LTSRLEHSKELKNQSSGFLDVGLRYRRSPRTAEGILAARAVSISVHIIRACGLQAAAKAL AEQEPALQFSATVGVNASVTAHLSFLPKGEQRQTRPVACSFCPEFSHHIEFPCNLVTQHC SGEACFLAELLEFAEIIFAIYHENTKSVSDITSIQSCKDYLLGIVKVPTKDLLVKRSGIT GWYPVILPEDKGLPQDLDLMQKIVGGLELSVSFAHPGDRERVLEAAELLGWSFESIPKDL VKKEEEVPATVTISTPRLWLPIHCVLLAGHMNIHKNTYCYLRYKLYNQEAFWTPLRKPKE STNKNQVLITFKASKRAEVTRSQSLLWYFREEKLEIQVWRAYGNDNLERPHQTDSWIGSA YVDLSRLGEKSPRTLTISGVYPLFGRNASDLSGAALRIHVLLSPLSPHTEPARELDSMDC SSHSESEQHPRKSDALQLSPPHVLQTSPTSTQVHGNSAAAQVCPAQEGPPELAGTFAVSI LVERAMHLSLKGSPLTDRKVSVPSCCVSFATATELSPVYTHVVENTDSPIWGFHQQARLS KELLLDPHQTLVFKVWHKGDEERVVGFASVDLSPLLSGFQFICGWYNITDFSGECQGQIK VAISPMESLMHLKEERQARRGIDTPGALIPLFSALSFPASAGCDAFPRPIARHVEGQLAH TSPKEDGLSSPARNGAIRSQAARHEEHVQNIRRFHESLQHGEAVLTSDEKLTTAPSSSHT SILTSLRKNLSELDEIQKYFSQKLSKPFLPFSSQSSPAVSQSQESQRDPVAAGTGRQDPE NQCILEKSNHLVSQVSSLISDLQTLTRGSQAALTSQQARSRSRAVTTIPDAQGTEAAGEG STTLEEPLAGAIEASTDSLPPPVEEPSKGGGMLHESLEQTMPITRVQSIDDTEVGPDYSD EDYEEDIIEPRTLNEITTVTDRTSPWSSFMSDMSEVLSPQPTEVQREGPSCPPEPFPREE LKVKSSPQKAVSPQPAQGSPSQSGVCEGGAYKIEVEDLASAKPQPVPSLTFSEAQEGSDS VGWRASQINQVRKPMPEMLAESEAFSSEFSDSSESFETFPLHLPSQSKREDYKDSPAVRQ KQVPTGSEVSTRQTLLLPEPVVVPNFFLPPQQLEASLRMISHSPGLPPAATTDQDKSEAT RGALAQRPCRPRPYSIPPNLPEEETRRIARIFSSQYSKKTEET >ENSMUSP00000118864.1 pep:known chromosome:GRCm38:7:100372246:100423354:1 gene:ENSMUSG00000047248.20 transcript:ENSMUST00000133464.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:C2cd3 description:C2 calcium-dependent domain containing 3 [Source:MGI Symbol;Acc:MGI:2142166] MKQRKGQGPGGGRGRKKRGLSDISPSTSLPPLVEGQLRCFLKLTINKVVWKIAKPPTSVL VRVRWWGETSDGTLFCPRDALQTEPKAVRTTTRYGIRCGPKQFTSYLTDMAVLVLEVITK FDHLPVGRVQISGLAQLSPTHQINGFFTIVSPASKKLGELQEMKIIMAPDVAPESYHCAS HWAMLGDWMHVLTKSLVCSSSVLPHENGNSAVLDLLIFFKKSQRYQFLSRLPWSLCQKLM TATNLFLPLK >ENSMUSP00000113360.2 pep:known chromosome:GRCm38:7:100395799:100454441:1 gene:ENSMUSG00000047248.20 transcript:ENSMUST00000119647.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd3 description:C2 calcium-dependent domain containing 3 [Source:MGI Symbol;Acc:MGI:2142166] XLPLADTGAIQLLLGSAELSQGHFWNGLGSPPDSPTPGSDEYCSSDLNDPQYDQSLLENL FYTVPKSDVGTSELPSEDDGVEPSRTMNQSKASGRSKVVESKEQKQKRAAVKKSRNPIDQ QELSRTPGHTPAMSLSVDRLALLGRVHSVRIIVETMGVPPDSPHMTPSRKNFAGKPPKPT AAKKRTFFVEYHFPVGFSKSGLGKTALITEVVRLASSKITDGVVKFQQRFVCPVEFGGPM IEHWWDSNLIFQIYAKKTPQKKPEVIGSASLPLRAVIQSELLSFSSQLPVQQENGLSSLG PLKVTMELVLGHKDFTGISAKLSSSTQPAPVSAATSSDTILPETGQDTACTRNPQSSNKI HEETTKKTQNLVLPDQESANSVASNSSIFMAVPSCNLVHQINGSNKESGLLLHVLLMVPD GKDFVFGEREKQPSCNVYLNCKLFSTEEVTRSVVSWGTAQPVFNFSQVIPVSLTSKCLER LKNNVMIIETWNKVRSPGQDKLLGLVKLPLHQFYMSFKDPKISRLLLDARYPVVAVDSYM PVIDVFSGHQNGSLRVFLAMGSSAQIMMLQRLKNEEGTLPPFSPRPAHFLDQPPVASVAM PEKQGTRLMEHHFEFCVAMVKGLMPLQATVWGEADCYVQYYFPFQDSQPSVLQGPDFLEN GITLKPFRTSTTLCVPDPVFNSEHHHSLLLPTDVPVQRLLLSAFSSQGLVPGGGVQFEVW CRYYYPNVRDQMVAKGTLPLSRVCAMVTMQYREDVGMQSFNLPLTSRLEHSKELKNQSSG FLDVGLRYRRSPRTAEGILAARAVSISVHIIRACGLQAAAKALAEQEPALQFSATVGVNA SVTAHLSFLPKGEQRQTRPVACSFCPEFSHHIEFPCNLVTQHCSGEACFLAELLEFAEII FAIYHENTKSVSDITSIQSCKDYLLGIVKVPTKDLLVKRSGITGWYPVILPEDKGLPQDL DLMQKIVGGLELSVSFAHPGDRERVLEAAELLGWSFESIPKDLVKKEEEVPATVTISTPR LWLPIHCVLLAGHMNIHKNTYCYLRYKLYNQEAFWTPLRKPKESTNKNQVLITFKASKRA EVTRSQSLLWYFREEKLEIQVWRAYGNDNLERPHQTDSWIGSAYVDLSRLGEKSPRTLTI SGVYPLFGRNASDLSGAALRIHVLLSPLSPHTEPARELDSMDCSSHSESEQHPRKSDALQ LSPPHVLQTSPTSTQVHGNSAAAQVCPAQEGPPELAGTFAVSILVERAMHLSLKGSPLTD RKVSVPSCCVSFATATELSPVYTHVVENTDSPIWGFHQQARLSKELLLDPHQTLVFKVWH KGDEERVVGFASVDLSPLLSGFQFICGWYNITDFSGECQGQIKVAISPMESLMHLKEERQ ARRGIDTPGALIPLFSALSFPASAGCDAFPRPIARHVEGQLAHTSPKEDGLSSPARNGAI RSQAARHEEHVQNIRRFHESLQHGEAVLTSDEKLTTAPSSSHTSILTSLRKNLSELDEIQ KYFSQKLSKPFLPFSSQSSPAVSQSQESQRDPVAAGTGRQDPENQCILEKSNHLVSQVSS LISGDY >ENSMUSP00000113728.2 pep:known chromosome:GRCm38:7:100430150:100470152:1 gene:ENSMUSG00000047248.20 transcript:ENSMUST00000120196.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd3 description:C2 calcium-dependent domain containing 3 [Source:MGI Symbol;Acc:MGI:2142166] RQTRPVACSFCPEFSHHIEFPCNLVTQHCSGEACFLAELLEFAEIIFAIYHENTKSVSDI TSIQSCKDYLLGIVKVPTKDLLVKRSGITGWYPVILPEDKGLPQDLDLMQKIVGGLELSV SFAHPGDRERVLEAAELLGWSFESIPKDLVKKEEEVPATVTISTPRLWLPIHCVLLAGHM NIHKNTYCYLRYKLYNQEAFWTPLRKPKESTNKNQVLITFKASKRAEVTRSQSLLWYFRE EKLEIQVWRAYGNDNLERPHQTDSWIGSAYVDLSRLGEKSPRTLTISGVYPLFGRNASDL SGAALRIHVLLSPLSPHTEPARELDSMDCSSHSESEQHPRKSDALQLSPPHVLQTSPTST QVHGNSAAAQVCPAQEGPPELAGTFAVSILVERAMHLSLKGSPLTDRKVSVPSCCVSFAT ATELSPVYTHVVENTDSPIWGFHQQARLSKELLLDPHQTLVFKVWHKGDEERVVGFASVD LSPLLSGFQFICGWYNITDFSGECQGQIKVAISPMESLMHLKEERQARRGIDTPGALIPL FSALSFPASAGCDAFPRPIARHVEGQLAHTSPKEDGLSSPARNGAIRSQAARHEEHVQNI RRFHESLQHGEAVLTSDEKLTTAPSSSHTSILTSLRKNLSELDEIQKYFSQKLSKPFLPF SSQSSPAVSQSQESQRDPVAAGTGRQDPENQCILEKSNHLVSQVSSLISDLQTLTRGSQA ALTSQQARSRSRAVTTIPDAQGTEAAGEGSTTLEEPLAGAIEASTDSLPPPVEEPSKGGG MLHESLEQTMPITRVQSIDDTEVGPDYSDEDYEEDIIEPRTLNEITTVTDRTSPWSSFMS DMSEVLSPQPTEVQREGPSCPPEPFPREELKVKSSPQKAGSPCPEALSPQTLLHPSQPS >ENSMUSP00000139071.1 pep:known chromosome:GRCm38:7:100448805:100454830:1 gene:ENSMUSG00000047248.20 transcript:ENSMUST00000185084.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:C2cd3 description:C2 calcium-dependent domain containing 3 [Source:MGI Symbol;Acc:MGI:2142166] XLTSDEKLTTAPSSSHTSILTSLRKNLSELDEIQKYFSQKLSKPFLPFSSQSSPAVSQSQ ESQRDPVAAGTGRQDPENQCILEKSNHLVSQVSSLISGDY >ENSMUSP00000095859.4 pep:known chromosome:GRCm38:7:100372233:100469461:1 gene:ENSMUSG00000047248.20 transcript:ENSMUST00000098259.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd3 description:C2 calcium-dependent domain containing 3 [Source:MGI Symbol;Acc:MGI:2142166] MKQRKGQGPGGGRGRKKRGLSDISPSTSLPPLVEGQLRCFLKLTINKVVWKIAKPPTSVL VRVRWWGETSDGTLFCPRDALQTEPKAVRTTTRYGIRCGPKQFTSYLTDMAVLVLEVITK FDHLPVGRVQISGLAQLSPTHQINGFFTIVSPASKKLGELQVSLALEPLSETYDSYKPLP ATEVTKNVLLSERELRENTESSNTQSMIPSRSCRGPAIKIDGKELAGHSSRSTTPRGKDH LYFAENSDAVKGSLCGLQQHLNQGTNVETITLRGKAPQKQLSLLNSSEFQPQISTVAKSH SDSCILSSNTPPAKDLLSALLEQGNKLRNAMLISAMNSNPDTSMLLDKVPPPMTEAIPRS SALNSSENHFKGHSADHLLPLADTGAIQLLLGSAELSQGHFWNGLGSPPDSPTPGSDEYC SSDLNDPQYDQSLLENLFYTVPKSDVGTSELPSEDDGVEPSRTMNQSKASGRSKVVESKE QKQKRAAVKKSRNPIDQQELSRTPGHTPAMSLSVDRLALLGRVHSVRIIVETMGVPPDSP HMTPSRKNFAGKPPKPTAAKKRTFFVEYHFPVGFSKSGLGKTALITEVVRLASSKITDGV VKFQQRFVCPVEFGGPMIEHWWDSNLIFQIYAKKTPQKKPEVIGSASLPLRAVIQSELLS FSSQLPVQQENGLSSLGPLKVTMELVLGHKDFTGISAKLSSSTQPAPVSAATSSDTILPE TGQDTACTRNPQSSNKIHEETTKKTQNLVLPDQESANSVASNSSIFMAVPSCNLVHQING SNKESGLLLHVLLMVPDGKDFVFGEREKQPSCNVYLNCKLFSTEEVTRSVVSWGTAQPVF NFSQVIPVSLTSKCLERLKNNVMIIETWNKVRSPGQDKLLGLVKLPLHQFYMSFKDPKIS RLLLDARYPVVAVDSYMPVIDVFSGHQNGSLRVFLAMGSSAQIMMLQRLKNEEGTLPPFS PRPAHFLDQPPVASVAMPEKQGTRLMEHHFEFCVAMVKGLMPLQATVWGEADCYVQYYFP FQDSQPSVLQGPDFLENGITLKPFRTSTTLCVPDPVFNSEHHHSLLLPTDVPVQRLLLSA FSSQGLVPGGGVQFEVWCRYYYPNVRDQMVAKGTLPLSRVCAMVTMQYREDVGMQSFNLP LTSRLEHSKELKNQSSGFLDVGLRYRRSPRTAEGILAARAVSISVHIIRACGLQAAAKAL AEQEPALQFSATVGVNASVTAHLSFLPKGEQRQTRPVACSFCPEFSHHIEFPCNLVTQHC SGEACFLAELLEFAEIIFAIYHENTKSVSDITSIQSCKDYLLGIVKVPTKDLLVKRSGIT GWYPVILPEDKGLPQDLDLMQKIVGGLELSVSFAHPGDRERVLEAAELLGWSFESIPKDL VKKEEEVPATVTISTPRLWLPIHCVLLAGHMNIHKNTYCYLRYKLYNQEAFWTPLRKPKE STNKNQVLITFKASKRAEVTRSQSLLWYFREEKLEIQVWRAYGNDNLERPHQTDSWIGSA YVDLSRLGEKSPRTLTISGVYPLFGRNASDLSGAALRIHVLLSPLSPHTEPARELDSMDC SSHSESEQHPRKSDALQLSPPHVLQTSPTSTQVHGNSAAAQVCPAQEGPPELAGTFAVSI LVERAMHLSLKGSPLTDRKVSVPSCCVSFATATELSPVYTHVVENTDSPIWGFHQQARLS KELLLDPHQTLVFKVWHKGDEERVVGFASVDLSPLLSGFQFICGWYNITDFSGECQGQIK VAISPMESLMHLKEERQARRGIDTPGALIPLFSALSFPASAGCDAFPRPIARHVEGQLAH TSPKEDGLSSPARNGAIRSQAARHEEHVQNIRRFHESLQHGEAVLTSDEKLTTAPSSSHT SILTSLRKNLSELDEIQKYFSQKLSKPFLPFSSQSSPAVSQSQESQRDPVAAGTGRQDPE NQCILEKSNHLVSQVSSLISDLQTLTRGSQAALTSQQARSRSRAVTTIPDAQGTEAAGEG STTLEEPLAGAIEASTDSLPPPVEEPSKGGGMLHESLEQTMPITRVQSIDDTEVGPDYSD EDYEEDIIEPRTLNEITTVTDRTSPWSSFMSDMSEVLSPQPTEVQREGPSCPPEPFPREE LKVKSSPQKAGSPCPEALSPQTLLHPSQPS >ENSMUSP00000028948.4 pep:known chromosome:GRCm38:2:150909594:150931280:1 gene:ENSMUSG00000027454.10 transcript:ENSMUST00000028948.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gins1 description:GINS complex subunit 1 (Psf1 homolog) [Source:MGI Symbol;Acc:MGI:1916520] MFCEKAMELVRELHRAPEGQLPAFNEDGLRQVLEEMKALYEQNQSDVNEAKSAGRGDLIP TVKFRHCALLRNRRCTIAYLYDRLLRIRALRWEYGSVLPNSLRFHMSAEETEWFNHYKKS LATYMRSLGGDEGLDITQDVKPPKSLYIEVRCLKDYGEFEVDDGTSVLLKKNSQHFLPRW KCEQLIRQGVLEHVLS >ENSMUSP00000010940.4 pep:known chromosome:GRCm38:6:18050964:18109057:-1 gene:ENSMUSG00000010796.11 transcript:ENSMUST00000010940.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asz1 description:ankyrin repeat, SAM and basic leucine zipper domain containing 1 [Source:MGI Symbol;Acc:MGI:1921318] MAAGTLRGLAVAGGGESSDSEDDGWDIGYLDRSSQKLKRSLPVEEKNETFKKALTTGDIS LVKELLDSGINVDSSFRYGWTPLMYAASVANAELVRFLLDRGANASFDKDKLTILISACS ARGSEEQVLKCVELLLSRNADPNTACRRLMTPIMYAARDGHTQVVALLVAHGAEVNAQDE NGYTALTWAARQGHKNVILKLLELGANKMLQTKDGRTPSEIAKRNKHLEIFNFLSLTLNP LEGKLQQLTKEETICKLLATDSDKEKDHIFSPYTAFGDLEIFLHGLGLEHMTDSLKEKDI TLRHLLTMKKDELTKNGIASKDQQKILAALKELEVEEINFGKLPEVTKLEISGDEFLNFL LKLNKQCGHLITAVQNIITELPVNSHKIVLEWASPRNFTSVCEELVSNVEDLNEEVCRLK ELIQKMQNERENDPTHIPLVEEVSTWKTRILKRSAVTVCGFGLLLFIGKLTLQRK >ENSMUSP00000122680.1 pep:known chromosome:GRCm38:6:18075776:18109045:-1 gene:ENSMUSG00000010796.11 transcript:ENSMUST00000136194.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asz1 description:ankyrin repeat, SAM and basic leucine zipper domain containing 1 [Source:MGI Symbol;Acc:MGI:1921318] MAAGTLRGLAVAGGGESSDSEDDGWDIGYLDRSSQKLKRSLPVEEKNETFKKALTTGDIS LVKELLDSGINVDSSFRYGWTPLMYAASVANAELVRFLLDRGANASFDKDKLTILISACS ARGSEEQVLKCVELLLSRNADPNTACRP >ENSMUSP00000037808.8 pep:known chromosome:GRCm38:2:180904377:180920949:-1 gene:ENSMUSG00000038848.14 transcript:ENSMUST00000037299.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdf1 description:YTH domain family 1 [Source:MGI Symbol;Acc:MGI:1917431] MSATSVDPQRTKGQDNKVQNGSLHQKDAVHDNDFEPYLSGQSNPSNSYPSMSDPYLSSYY PPSIGFPYSLSEAPWSTAGDPPIPYLTTYGQLSNGDHHFMHDAVFGQPGGLGNNIYQHRF NFFPENPAFSAWGTSGSQGQQTQSSAYGSSYTYPPSSLGGTVVDGQTGFHSDSLNKAPGM NSLEQGMVGLKIGDVTTSAVKTVGSVVNSVALTGVLSGNGGTNVNMPVSKPTSWAAIASK PAKPQPKMKTKSGPIVGGALPPPPIKHNMDIGTWDNKGPAPKASAPQQTPSPQAAPQPQQ VAQPLPVQPPPLVQPQYQSPQQPLQPRWVAPRNRNAAFGQSGGANSDSNSVGNAQPTSAP SVESHPVLEKLKAAHSYNPKEFDWNLKSGRVFIIKSYSEDDIHRSIKYSIWCSTEHGNKR LDGAFRSMSSKGPVYLLFSVNGSGHFCGVAEMKSPVDYGTSAGVWSQDKWKGKFDVKWIF VKDVPNNQLRHIRLENNDNKPVTNSRDTQEVPLEKAKQVLKIIASYKHTTSIFDDFSHYE KRQEEEEVVRKERQNRNKQ >ENSMUSP00000104504.2 pep:known chromosome:GRCm38:2:180905370:180920949:-1 gene:ENSMUSG00000038848.14 transcript:ENSMUST00000108876.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdf1 description:YTH domain family 1 [Source:MGI Symbol;Acc:MGI:1917431] MSATSVDPQRTKGQDNKGSGWLWRRVSQLAVDPEALPSILSYSHLQNGSLHQKDAVHDND FEPYLSGQSNPSNSYPSMSDPYLSSYYPPSIGFPYSLSEAPWSTAGDPPIPYLTTYGQLS NGDHHFMHDAVFGQPGGLGNNIYQHRFNFFPENPAFSAWGTSGSQGQQTQSSAYGSSYTY PPSSLGGTVVDGQTGFHSDSLNKAPGMNSLEQGMVGLKIGDVTTSAVKTVGSVVNSVALT GVLSGNGGTNVNMPVSKPTSWAAIASKPAKPQPKMKTKSGPIVGGALPPPPIKHNMDIGT WDNKGPAPKASAPQQTPSPQAAPQPQQVAQPLPVQPPPLVQPQYQSPQQPLQPRWVAPRN RNAAFGQSGGANSDSNSVGNAQPTSAPSVESHPVLEKLKAAHSYNPKEFDWNLKSGRVFI IKSYSEDDIHRSIKYSIWCSTEHGNKRLDGAFRSMSSKGPVYLLFSVNGSGHFCGVAEMK SPVDYGTSAGVWSQDKWKGKFDVKWIFVKDVPNNQLRHIRLENNDNKPVTNSRDTQEVPL EKAKQVLKIIASYKHTTSIFDDFSHYEKRQEEEEVVRKERQNRNKQ >ENSMUSP00000116665.1 pep:known chromosome:GRCm38:2:180911768:180920387:-1 gene:ENSMUSG00000038848.14 transcript:ENSMUST00000124666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdf1 description:YTH domain family 1 [Source:MGI Symbol;Acc:MGI:1917431] MQRTKGQDNKVQNGSLHQKDAVHDNDFEPYLSGQSNPSNSYPSMSDPYLSSYYPPSIGFP YSLSEAPWSTAGDPPIPYLTTYGQLSNGDHHFMHDAVFGQPGGLGNNIYQHRFNFFPENP AFSAWGTSGSQGQQTQSSAYGSSYTYPPSSLGGTVVDGQTGFHSDSLNKAPGMNSLEQGM VGL >ENSMUSP00000129960.1 pep:known chromosome:GRCm38:7:7550967:7566786:-1 gene:ENSMUSG00000096691.1 transcript:ENSMUST00000165921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r33 description:vomeronasal 2, receptor 33 [Source:MGI Symbol;Acc:MGI:3757694] MFTLMGVFFFLNIPLFMANFIDPRCFWRINLNEIQDEYLGLTCAFILAAVQKPIEKDYFN KTLNFLKTTKNHKYALALAFAMDEINRNPDLLPNMSLIIRYTLGRCDGKTVIPTPYLFHK KNQSPIPNYFCNEETMCSFLLTGPNWGVSISFWKYLDSFLSPRILQLTYGPFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYFNWNWIGLVIPDDDQGNQFLLELKKQRENKEICFA FVKMISVDDISFEHKTEMYYKQIVMSSSNVIIIYEETIDFIDLIFRMWEPPVLQRIWITT KQWNFPTSKRDITHGTFYGSLTFLPHHGGISGFKDFVQTWFHLRSKDLYLVMPEWKYFKY ESSASKCKILKNNSSDASFDWLMEQKFDMAFSESSHNIYNAVHAIAHALHEMNLQQVDNQ AIDNGKGASSHCLKVNSFLRKIHFTNPLGNKVIMKQRVIMQEEYDIFHFGNLSQHLGIKV KLGKFSPYFSHGRNFHLYVDMLEVATGSRKMPSSVCSAEGSPGFRRLWKEGMAACCFICS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRILSYILLISLMSCFLCSFFFIGHPNRATCVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLEVS PPFVDIDEHSQHGHIIIVCNKGSVTAFYCVLGYLACLALGSFSLAFLAKNLPDTFNEAKF LTFSMLVFFSVWVTFLPVYHSTKGKVMVAVEIFSILASSAGMLGCIFAPKIYIILMRPDR NSTQKIKVRNSTQKIKEKSYF >ENSMUSP00000145495.2 pep:known chromosome:GRCm38:2:111314130:111316440:1 gene:ENSMUSG00000109449.1 transcript:ENSMUST00000204064.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1280 description:olfactory receptor 1280 [Source:MGI Symbol;Acc:MGI:3031114] MEEANQTVVSEFIFQGLCASKELQILLLLPFSTLYMMTVVGNLFVVILIIIDHHLHSPMY FLLANLSFIDFCLSSVTTPKLITDLLKDNKTISFGGCMSQILCVHFFGGGEMVLLVTMAY DRYVAICRPLHYSSIMDRQKCIWLVVISWTIGFIHAMSQLILILDLPFCGPRVIDSFFCD IPLVMKLACMNTDTLGIVINADSGVLATTCFILLLISYTYILLTVQLHSKDGSSKALSTC TSHIIVVVLFFGPCIFIYLWPVSITWVDKFLAVFYTVITPLLNPAIYTLRNKDIKNAIKK LKNHM >ENSMUSP00000080805.3 pep:known chromosome:GRCm38:2:111315438:111316440:1 gene:ENSMUSG00000109449.1 transcript:ENSMUST00000082167.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1280 description:olfactory receptor 1280 [Source:MGI Symbol;Acc:MGI:3031114] MEEANQTVVSEFIFQGLCASKELQILLLLPFSTLYMMTVVGNLFVVILIIIDHHLHSPMY FLLANLSFIDFCLSSVTTPKLITDLLKDNKTISFGGCMSQILCVHFFGGGEMVLLVTMAY DRYVAICRPLHYSSIMDRQKCIWLVVISWTIGFIHAMSQLILILDLPFCGPRVIDSFFCD IPLVMKLACMNTDTLGIVINADSGVLATTCFILLLISYTYILLTVQLHSKDGSSKALSTC TSHIIVVVLFFGPCIFIYLWPVSITWVDKFLAVFYTVITPLLNPAIYTLRNKDIKNAIKK LKNHM >ENSMUSP00000066639.2 pep:known chromosome:GRCm38:18:39773497:39776082:1 gene:ENSMUSG00000051732.2 transcript:ENSMUST00000063219.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc2 description:poly(A) binding protein, cytoplasmic 2 [Source:MGI Symbol;Acc:MGI:1349723] MNSSDPGCPMASLYVGDLHPDVTEAMLYEKFSSAGPILSIRVYRDVITRRSLGYASVNFE QPADAERALDTMNFDVIKGKPVRIMWSQRDPSLRRSGVGNVFIKNLNKTIDNKALYDTFS AFGNILSCKVVSDENGSKGHGFVHFETEEAAERAIEKMNGMLLNDRKVFVGRFKSQKERE AELGTGTKEFTNVYIKNFGDRMDDETLNGLFGRFGQILSVKVMTDEGGKSKGFGFVSFER HEDAQKAVDEMNGKELNGKHIYVGRAQKKDDRHTELKHKFEQVTQDKSIRYQGINLYVKN LDDGIDDERLQKEFSPFGTITSTKVMTEGGRSKGFGFVCFSSPEEATKAVSEMNGRIVAT KPLYVALAQRKEERQAHLTNQYIQRMASVRSGPNPVNPYQPASSSYSVAAVPQTQNCVPC CPSQIAQPRPSARWIAQGSRPHPFPNVPGAIHPAAPRSSLTTVRPSSSHVQVTTAHRITN TSAQITGQRPAPASSATATPVHSIPQYKYAAGVQNSQQHLNAQLAQQPAVCIQGQEPWTA SMLVTAPQEPKQMLGERLFPLIQAMHPTLAGKITGMLLDIDNSEPLRMLESPVSRCSRAE EAVATLQAHQVKEAAQKAVGSTSGVPTV >ENSMUSP00000035181.3 pep:known chromosome:GRCm38:9:105395392:105405758:1 gene:ENSMUSG00000032567.14 transcript:ENSMUST00000035181.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aste1 description:asteroid homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913845] MGIRGLMSFVEDYSNEFFVDLKLRNTKLIIDGYSLFHRLCFNSDLELRYGGDYDLFADVV QKFFESLFVCHICPYVVLDGGCDISDKKLTTLKDRAKEKIQAARSLSLGGGGNVCPLLIR EVFIQVLIRLEVCFVQSFSEADRDIMTLANHWNCPVLSSDSDFCIFDLRSGFCSLNSFQW RNLNTIKDTQDYYIPARSFSLNAFCHYFNNMNKALLPLFAVLCGNDHVNLPIMDTFISKV RLPLSSKGRRYHRVLGLLNWLSHFDDPTEALDNVLKSLPKKSRENVKELLCCSMEEYQQS PVKLQDFFQYGSYVCTDASDLGLPEWVLGALAKGQLPPFISDALVLRRTFLHTQVENMQR PNAHRISQPIRQIIYGLLLNGPSHAEDIAQNTLPSQLLAFNEVERIDTNIKTSTVYAKQL LKDQCDLSKLAELPLARRQMLLLEALKVKQVVLESIPTFLKLPIAVTCYWLQSTEAKAKL HHLQALLLGMLREPLHAIVNSPGTEDPQRGGAKMLYEELCQVKAPMRPGPRVDLDTAHVF CQWQSCLQMGLYLNQLLSTPLPEPNLTWLYNGSLVHRLCQQLPASSSVESLLSLCPEAKQ LYEHLFNATKSYAPAELFLPKTKSKSKKKRQKKKVASLGTTADAKHWYDRSNRFGPLMPE SLEEHVENSELE >ENSMUSP00000139429.1 pep:known chromosome:GRCm38:9:105395544:105401613:1 gene:ENSMUSG00000032567.14 transcript:ENSMUST00000176350.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aste1 description:asteroid homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913845] MGIRGLMSFVEDYSNEFFVDLKLRNTKLIIDGYSLFHRLCFNSDLELSSPWLGGRCFS >ENSMUSP00000135856.1 pep:known chromosome:GRCm38:9:105397216:105405173:1 gene:ENSMUSG00000032567.14 transcript:ENSMUST00000176940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aste1 description:asteroid homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913845] XFAVLCGNDHVNLPIMDTFISKVRLPLSSKGRRYHRVLGLLNWLSHFDDPTEALDNVLKS LPKKSRENVKELLCCSMEEYQQSPVKLQDFFQYGSYVCTDASDLVL >ENSMUSP00000135318.1 pep:known chromosome:GRCm38:9:105397814:105405328:1 gene:ENSMUSG00000032567.14 transcript:ENSMUST00000177402.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aste1 description:asteroid homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913845] KQLLKDQCDLSKLAELPLARRQMLLLEALKVKQVVLESIPTFLKLPIAVTCYWLQSTEAK AKLHHLQALLLGMLREPLHAIVNSPGNVDSAPRQAQCLAPRYL >ENSMUSP00000139854.1 pep:known chromosome:GRCm38:9:105397814:105412229:1 gene:ENSMUSG00000032567.14 transcript:ENSMUST00000189758.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aste1 description:asteroid homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913845] KQLLKDQCDLSKLAELPLARRQMLLLEALKVKQVVLESIPTFLKLPIAVTCYWLQSTEAK AKLHHLQALLLGMLREPLHAIVNSPGNVDSAPRQAQCLAPRYL >ENSMUSP00000131164.1 pep:known chromosome:GRCm38:9:105401475:105405758:1 gene:ENSMUSG00000032567.14 transcript:ENSMUST00000167674.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aste1 description:asteroid homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913845] MLYEELCQVKAPMRPGPRVDLDTAHVFCQWQSCLQMGLYLNQLLSTPLPEPNLTWLYNGS LVHRLCQQLPASSSVESLLSLCPEAKQLYEHLFNATKSYAPAELFLPKTKSKSKKKRQKK KVASLGTTADAKHWYDRSNRFGPLMPESLEEHVENSELE >ENSMUSP00000072264.6 pep:known chromosome:GRCm38:7:25897620:25926624:1 gene:ENSMUSG00000030483.14 transcript:ENSMUST00000072438.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2b10 description:cytochrome P450, family 2, subfamily b, polypeptide 10 [Source:MGI Symbol;Acc:MGI:88598] MEPSVLLLLALLVGFLLLLARGHPKSRGNFPPGPRPLPLLGNLLQMDRGGLLKSFIQLRE KYGDVFTVHLGPRPVVMLCGTDTIREALVGQAEAFSGRGTVAVVEPTFKEYGVIFANGER WKTLRRFSLATMRDFGMGKRSVEERIQEEAQCLVEELRKSQGAPLDPTFLFQCITANIIC SIVFGERFEYTDRQFLRLLELFYQTFSLISSFSSQMFELFSGFLKYFPGAHRQISKNLQE LLDYIGHSVEKHRATLDPSVPRDFIDIYLLRMEKEKSNQHTEFHHQNLMMSVLSLFFAGT ETSSTTLRYGFLLMLKYPHVAEKVQKEIDQVIGSHRLPTLDDRTKMPYTDAVIHEIQRFS DLIPIGVPHRVTKDTMFRGYLLPKNTEVYPILSSALHDPQYFEQPDSFNPDHFLDANGAL KKSEAFLPFSTGKRICLGESIARNELFLFFTSILQNFSVASHVAPKDIDLTPKESGIGKI PPTYQICFLAR >ENSMUSP00000005477.5 pep:known chromosome:GRCm38:7:25897676:25926559:1 gene:ENSMUSG00000030483.14 transcript:ENSMUST00000005477.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2b10 description:cytochrome P450, family 2, subfamily b, polypeptide 10 [Source:MGI Symbol;Acc:MGI:88598] MEPSVLLLLALLVGFLLLLARGHPKSRGNFPPGPRPLPLLGNLLQMDRGGLLKSFIQLRE KYGDVFTVHLGPRPVVMLCGTDTIREALVGQAEAFSGRGTVAVVEPTFKEYGVIFANGER WKTLRRFSLATMRDFGMGKRSVEERIQEEAQCLVEELRKSQGAPLDPTFLFQCITANIIC SIVFGERFEYTDRQFLRLLELFYQTFSLISSFSSQMFELFSGFLKYFPGAHRQISKNLQE LLDYIGHSVEKHRATLDPSVPRDFIDIYLLRMEKEKSNQHTEFHHQNLMMSVLSLFFAGT ETSSTTLRYGFLLMLKYPHVAEKVQKEIDQVIGSHRLPTLDDRTKMPYTDAVIHEIQRFS DLIPIGVPHRVTKDTMFRGYLLPKNTEVYPILSSALHDPQYFEQPDSFNPDHFLDANGAL KKSEAFLPFSTGQIFDQKSVGKRICLGESIARNELFLFFTSILQNFSVASHVAPKDIDLT PKESGIGKIPPTYQICFLAR >ENSMUSP00000079799.5 pep:known chromosome:GRCm38:11:99627224:99628238:-1 gene:ENSMUSG00000063251.6 transcript:ENSMUST00000081007.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap4-1 description:keratin associated protein 4-1 [Source:MGI Symbol;Acc:MGI:3622079] MVNSCCGSVCSEESCGQGCCQPSCCQTTCCRTTCCRPSCCVSSCCRPSCCVSSCCRPSCC RPQCCQSVCCQPTCCRPSCCISSCCRPSCGSSSCCGSSCCRPCCRPCCSPCCSPCCRPCC RPCCRPCCRPCCCLRPVCGQVCCQTTCYRPTCVISTCPRPMCCATPCCC >ENSMUSP00000092462.4 pep:known chromosome:GRCm38:7:7463969:7479973:-1 gene:ENSMUSG00000096743.1 transcript:ENSMUST00000094866.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r32 description:vomeronasal 2, receptor 32 [Source:MGI Symbol;Acc:MGI:1316696] MFIFMGVFFLLNITLLMANFIDPRCFWRINLDEITDEYLGLSCAFILAAVQTPTEKDYFN KTLNFLKTTKNHKYALALVFAMDEINRYPDLLPNMSLIIRYSLGHCDGKTVTPTPYLFHR KKQSPIPNYICNEESMCSFLLSGPNWDESLSFWKYLDSFLSPHILQLSYGSFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYLKWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDEVSFPQKTEIYYKQIVKSLTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTSKTDISHDTFYGSLTFLPHHGEISGFKNFVQTWFHLRNTDLYLVMPEWKYINS EDSASNCKILKNSSSDASFDWLMEQKLDMAFSDNSHNIYNVVHAIAHALHEMNLQQADNQ AIDNGKGASSHCLKVNSFLRRTYFTNPLGDKVFMKQRVIMQDEYDIVHFANLSQHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNKVICVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRYFLVSGTLNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHSQHGHIIIVCNKGSVTAFYCVLGYLACLALGSFTLAFLAKNLPDAFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGMLECIFVPKIYIILMRPER NSTQKIREKSYF >ENSMUSP00000034547.5 pep:known chromosome:GRCm38:9:53580522:53610382:-1 gene:ENSMUSG00000032047.5 transcript:ENSMUST00000034547.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acat1 description:acetyl-Coenzyme A acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:87870] MAALVALHGVVRRPLLRGLLQEVRCLERSYASKPTLNEVVIVSAIRTPIGSFLGSLASQP ATKLGTAAIQGAIEKAGIPKEEVKEVYMGNVIQGGEGQAPTRQATLGAGLPISTPCTTVN KVCASGMKAIMMASQSLMCGHQDVMVAGGMESMSNVPYVMSRGATPYGGVKLEDLIVKDG LTDVYNKIHMGNCAENTAKKMNISRQEQDTYALSSYTRSKEAWDAGKFASEITPITISVK GKPDVVVKEDEEYKRVDFSKVPKLKTVFQKENGTITAANASTLNDGAAALVLMTAEAAQR LNVKPLARIAAFADAAVDPIDFPLAPAYAVPKVLKYAGLKKEDIAMWEVNEAFSVVVLAN IKMLEIDPQKVNIHGGAVSLGHPIGMSGARIVVHMAHALKPGEFGLASICNGGGGASALL IEKL >ENSMUSP00000021495.3 pep:known chromosome:GRCm38:12:104101113:104106137:1 gene:ENSMUSG00000041550.4 transcript:ENSMUST00000021495.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina5 description:serine (or cysteine) peptidase inhibitor, clade A, member 5 [Source:MGI Symbol;Acc:MGI:107817] MRFFPILCLVLFISHGVASRRHSHSKKKKAKESSVGAVGPPSSKDFAFRLYRALVSESPG QNVFFSPLSVSMSLGMLSLGAGLKTKTQILDGLGLSLQQGQEDKLHKGFQQLLQRFRQPS DGLQLSLGSALFKDPAVHIRDDFLSAMKTLYMSDTFSTNFGNPEIAKKQINNYVAKQTKG KIVDFIKDLDSTHVMIVVNYIFFKAKWQTAFSETNTHKMDFHVTPKRTTQVPMMNREDGY SYYLDQNISCTVVGIPYQGNAIALFILPSEGKMKQVEDGLDERTLRNWLKMFTKRRLDLY LPKFSIEATYKLENVLPKLGIQDVFTTHADLSGITDHTNIKLSEMVHKSMMEVEESGTTA AAITGAIFTFRSARPSSLKIEFTRPFLLTLMEDSHILFVGKVTRP >ENSMUSP00000092392.3 pep:known chromosome:GRCm38:7:18474582:18484177:-1 gene:ENSMUSG00000070799.4 transcript:ENSMUST00000094798.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg26 description:pregnancy-specific glycoprotein 26 [Source:MGI Symbol;Acc:MGI:1891358] MEVSSELFSNGCTSWQRVLLTASLLTCWFLPTTARVTIESLPPQVVEGENVLLRVDNMPE NLLVFGWYRGMTNLRQAIALHSLYYSVTVKGLKHSGRETLYINGTLWIQNVTQEDTGYYT FQTISKQGEMVSNTSLYLHVYSSLFICGRPTTLVGPTIELVPASVAAGGSVLLLVHNIPK YLQSLFWYKGLIVFNKVEIARYRRAKKSRESGPAHSGRETVYSNGSLLLQNVTWKDTGFY TLRTLTRYQKMEFAHIYLQVDTSLSLCCDTLDSAQLSIDPVPQHAAEGGSVLLQVHNLPE GLQAFSWYKGVLSTQDFKIAEYSIATKSIIRGRAHSRREIGYTNGSLLLQDVTEKDSGLY TLITIDSNVRILTAHVQVNIHKLVTQPAMRVTDSTVRVQSSVVFTCFSYNTGISIRWLFN NQSLQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVRLTVMNE >ENSMUSP00000005692.6 pep:known chromosome:GRCm38:7:30712209:30725522:1 gene:ENSMUSG00000005553.14 transcript:ENSMUST00000005692.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp4a description:ATPase, H+/K+ exchanging, gastric, alpha polypeptide [Source:MGI Symbol;Acc:MGI:88113] MGKAENYELYSVELGSGPGGDMTAKMSKKKAGGGGGKKKEKLENMKKEMEINDHQLSVSE LEQKYQTSATKGLKASLAAELLLRDGPNALRPPRGTPEYVKFARQLAGGLQCLMWVAAAI CLIAFAIQASEGDLTTDDNLYLAVALIAVVVVTGCFGYYQEFKSTNIIASFKNLVPQQAT VIRDGDKFQINADQLVVGDLVEMKGGDRVPADIRILSAQGCKVDNSSLTGESEPQTRSPE CTHESPLETRNIAFFSTMCLEGTAQGLVVSTGDRTIIGRIASLASGVENEKTPIAIEIEH FVDIIAGLAILFGATFFVVAMCIGYTFLRAMVFFMAIVVAYVPEGLLATVTVCLSLTAKR LASKNCVVKNLEAVETLGSTSVICSDKTGTLTQNRMTVSHLWFDNHIHTADTTEDQSGQT FDQSSETWRALCRVLTLCNRAAFKSGQDAVPVPKRIVIGDASETALLKFSELTLGNAMGY RDRFPKVCEIPFNSINKFQLSIHTLEDPRDSRHLLVMKGAPERVLERCSSILIKGQELPL DEQWREAFQTAYLSLGGLGERVLGFCQLYLNEKDYPPGYAFDVEAMNFPSSGLCFAGLVS MIDPPRATVPDAVLKCRTAGIRVIMVTGDHPITAKAIAASVGIISEGSETVEDIAARLRM PVDQVNRKDARACVINGMQLKDMDPSELVEALRTHPEMVFARTSPQQKLVIVESCQRLGA IVAVTGDGVNDSPALKKADIGVAMGIAGSDAAKNAADMILLDDNFASIVTGVEQGRLIFD NLKKSIAYTLTKNIPELTPYLIYITVSVPLPLGCITILFIELCTDIFPSVSLAYEKAESD IMHLRPRNPKRDRLVNEPLAAYSYFQIGAIQSFAGFADYFTAMAQEGWFPLLCVGLRPQW EDHHLQDLQDSYGQEWTFGQRLYQQYTCYTVFFISIEMCQIADVLIRKTRRLSVFQQGFF RNKILVIAIVFQVCIGCFLCYCPGMPNIFNFMPIRFQWWLVPMPFGLLIFVYDEIRKLGV RCCPGSWWDQELYY >ENSMUSP00000131964.1 pep:known chromosome:GRCm38:7:30712232:30725534:1 gene:ENSMUSG00000005553.14 transcript:ENSMUST00000170371.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp4a description:ATPase, H+/K+ exchanging, gastric, alpha polypeptide [Source:MGI Symbol;Acc:MGI:88113] MGKAENYELYSVELGSGPGGDMTAKMSKKKAGGGGGKKKEKLENMKKEMEINDHQLSVSE LEQKYQTSATKGLKASLAAELLLRDGPNALRPPRGTPEYVKFARQLAGGLQCLMWVAAAI CLIAFAIQASEGDLTTDDNLYLAVALIAVVVVTGCFGYYQEFKSTNIIASFKNLVPQQAT VIRDGDKFQINADQLVVGDLVEMKGGDRVPADIRILSAQGCKVDNSSLTGESEPQTRSPE CTHESPLETRNIAFFSTMCLEGTAQGLVVSTGDRTIIGRIASLASGVENEKTPIAIEIEH FVDIIAGLAILFGATFFVVAMCIGYTFLRAMVFFMAIVVAYVPEGLLATVTVCLSLTAKR LASKNCVVKNLEAVETLGSTSVICSDKTGTLTQNRMTVSHLWFDNHIHTADTTEDQSGQT FDQSSETWRALCRVLTLCNRAAFKSGQDAVPVPKRIVIGDASETALLKFSELTLGNAMGY RDRFPKVCEIPFNSINKFQLSIHTLEDPRDSRHLLVMKGAPERVLERCSSILIKGQELPL DEQWREAFQTAYLSLGGLGERVLGFCQLYLNEKDYPPGYAFDVEAMNFPSSGLCFAGLVS MIDPPRATVPDAVLKCRTAGIRVIMVTGDHPITAKAIAASVGIISEGSETVEDIAARLRM PVDQVNRKDARACVINGMQLKDMDPSELVEALRTHPEMVFARTSPQQKLGAIVAVTGDGV NDSPALKKADIGVAMGIAGSDAAKNAADMILLDDNFASIVTGVEQGRLIFDNLKKSIAYT LTKNIPELTPYLIYITVSVPLPLGCITILFIELCTDIFPSVSLAYEKAESDIMHLRPRNP KRDRLVNEPLAAYSYFQIGAIQSFAGFADYFTAMAQEGWFPLLCVGLRPQWEDHHLQDLQ DSYGQEWTFGQRLYQQYTCYTVFFISIEMCQIADVLIRKTRRLSVFQQGFFRNKILVIAI VFQVCIGCFLCYCPGMPNIFNFMPIRFQWWLVPMPFGLLIFVYDEIRKLGVRCCPGSWWD QELYY >ENSMUSP00000127209.1 pep:known chromosome:GRCm38:7:30717832:30720620:1 gene:ENSMUSG00000005553.14 transcript:ENSMUST00000171014.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp4a description:ATPase, H+/K+ exchanging, gastric, alpha polypeptide [Source:MGI Symbol;Acc:MGI:88113] AFQTAYLSLGGLGERVLDSYQGEWGHCSDLKG >ENSMUSP00000074613.6 pep:known chromosome:GRCm38:7:7383985:7399627:-1 gene:ENSMUSG00000096373.1 transcript:ENSMUST00000075108.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r31 description:vomeronasal 2, receptor 31 [Source:MGI Symbol;Acc:MGI:3757688] MFTLMGVFFFLNIPLFMANFIDPRCFWRINLNEIQDEYLGLTCAFILAAVQKPIEKDYFN KTLNFLKTTKNHKYALALAFAMDEINRNPDLLPNMSLIIRYTLGRCDGKTVIPTPYLFHK KKQSPIPNYFCNEETMCSFLLTGPNWGVSISFWKYLDSFLSPRILQLTYGPFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYFNWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDISFEHKTEMYYKQIVMSSSNVIIIYEETINFIDLIFRMWEPPVLQRIWITT KQWNFPTSKRDITHGTFYGSLTFLPHHGGISGFKDFVQTWFHLRSKDLYLVMPEWKYFKY ESSASKCKILKNNSSDASFDWLMEQKFDMAFSESSHNIYNAVHAIAHALHEVNLQQVDNQ AIDNGKGASSHCLKVNSFLRKIHFTNPLGDKVIMKQRVIMQEEYDIFHFGNLSQHLGIKV KLGKFSPYFSHGRNFHLYVDMLEVATGSRKMPSSVCSAECSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRILSYLLLISLMSCFLCSFFYIGHPNRATCVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWIEVS PPFVDIDEHSQHGHIIIVCNKGSVTAFYCVLGYLACLALGSFTLAFLAKNLPDTFNEAKF LTFSMLVFFSVWVTFLPVYHSTKGKVMVAVEIFSILASSAGMLGCIFAPKIYIILMRPER NSTQKIKVRNSTQKIKEKSYF >ENSMUSP00000024884.4 pep:known chromosome:GRCm38:17:78852564:78882573:-1 gene:ENSMUSG00000024079.4 transcript:ENSMUST00000024884.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak2 description:eukaryotic translation initiation factor 2-alpha kinase 2 [Source:MGI Symbol;Acc:MGI:1353449] MASDTPGFYMDKLNKYRQMHGVAITYKELSTSGPPHDRRFTFQVLIDEKEFPEAKGRSKQ EARNAAAKLAVDILDNENKVDCHTSASEQGLFVGNYIGLVNSFAQKKKLSVNYEQCEPNS ELPQRFICKCKIGQTMYGTGSGVTKQEAKQLAAKEAYQKLLKSPPKTAGTSSSVVTSTFS GFSSSSSMTSNGVSQSAPGSFSSENVFTNGLGENKRKSGVKVSPDDVQRNKYTLDARFNS DFEDIEEIGLGGFGQVFKAKHRIDGKRYAIKRVKYNTEKAEHEVQALAELNHVNIVQYHS CWEGVDYDPEHSMSDTSRYKTRCLFIQMEFCDKGTLEQWMRNRNQSKVDKALILDLYEQI VTGVEYIHSKGLIHRDLKPGNIFLVDERHIKIGDFGLATALENDGKSRTRRTGTLQYMSP EQLFLKHYGKEVDIFALGLILAELLHTCFTESEKIKFFESLRKGDFSNDIFDNKEKSLLK KLLSEKPKDRPETSEILKTLAEWRNISEKKKRNTC >ENSMUSP00000038779.7 pep:known chromosome:GRCm38:16:44724301:44737284:1 gene:ENSMUSG00000036208.13 transcript:ENSMUST00000048788.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nepro description:nucleolus and neural progenitor protein [Source:MGI Symbol;Acc:MGI:2384836] MAAAVRPGAEPWNRVRIPQAGNCSTLTVRDPSATLDICTAAVTKGCHLVTQSLKSQTLDA EVDVLCSVLYSNHNRLGHHKPHLALRQVEQCLKRLKHMNLEGSIEDLSQLLSANATQPGA TENRVVPSQPVVEVVLMKVLGGCKLLLRLLDCCCKAFLLTVKHLGLKEFIILNLVMVGLV SRLWVLHKGLLRRLISLYEPLLSLRQEISSIHPMPYFKDFAFPSDITDFLGPSYLEVFKV KTPAASATKGVTKLLNKLFLMREQLPKMNEDTLDRLSKPSEQMTSNPQSTVDLGQPVKAC KRTRKEKPLGFDLRAFCTRLGNKATQETNRDFKYSQSKLKTTKLPSQQLRTHWANDTVQR IRKTKTFAQLSEEIEMAIVWSRSKKLKTQATFLGNKLLKSNRFRHVESQGYSLTKKLQCM KTSLCNCLLRGSRTSTSEHPPRQRRSKYKVLSRQRKPQRKLQSTLLKETQQVPEGTLKNT RDSSAKRRCSGTVQRSDVCPNGKQVLRKLAKPDLKTKVVVHGNLTGGSRNESGFQAKTQM HTHNAPDTAKEADDIDDIFALMGV >ENSMUSP00000122861.1 pep:known chromosome:GRCm38:16:44724357:44731364:1 gene:ENSMUSG00000036208.13 transcript:ENSMUST00000123673.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nepro description:nucleolus and neural progenitor protein [Source:MGI Symbol;Acc:MGI:2384836] MAAAVRPGAEPWNRVRIPQAGNCSTLTVRDPSATLGRTVLKAFETYEFGGLN >ENSMUSP00000122052.1 pep:known chromosome:GRCm38:16:44724357:44736573:1 gene:ENSMUSG00000036208.13 transcript:ENSMUST00000147804.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nepro description:nucleolus and neural progenitor protein [Source:MGI Symbol;Acc:MGI:2384836] MAAAVRPGAEPWNRVRIPQAGNCSTLTVRDPSATLGRTVLKAFETYEFGGLN >ENSMUSP00000116115.1 pep:known chromosome:GRCm38:16:44727119:44734726:1 gene:ENSMUSG00000036208.13 transcript:ENSMUST00000132610.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nepro description:nucleolus and neural progenitor protein [Source:MGI Symbol;Acc:MGI:2384836] XSVLYSNHNRLGHHKPHLALRQVEQCLKRLKHMNLEGSIEDLSQLLSANATQPGATENRV VPSQPVVEVVLMKVLGGCKLLLRLLDCCCKAFLLTVKHLGLKEFIILNLVMVGLVSRL >ENSMUSP00000087798.1 pep:known chromosome:GRCm38:2:111328421:111329338:1 gene:ENSMUSG00000095156.1 transcript:ENSMUST00000090326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1281 description:olfactory receptor 1281 [Source:MGI Symbol;Acc:MGI:3031115] MEDSNQTVVSEFIFQGLCTSRQLEIFLLLPFSVLYLVTLVGNLFVVILIIIDHHLHSPMY FLLANLSFVDFCLSSVNTPKLTIDLLKENKTISFGGCMSQILCVHFFGGSEMVLLVTMAY DRYVAICRPLHYSSIMDRQKCIWLVVISWIVGFVHAISQLLLILDLPFCGPRVIDSFFCD IPLVMKLACMNTDTLEILINADSGILATSCFTLLLISYTYILLTVQHRSKDGSSKALSTC TSHIIVVLLFFGPIIFIYLWPVNITWVDKFLAVFYTVITPLLNPAIYTLRNKDIKNAIKK LTNHM >ENSMUSP00000022660.6 pep:known chromosome:GRCm38:14:69609068:69695834:1 gene:ENSMUSG00000034205.16 transcript:ENSMUST00000022660.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxl2 description:lysyl oxidase-like 2 [Source:MGI Symbol;Acc:MGI:2137913] MELHFGSCLSGCLALLVLLPSLSLAQYEGWPYQLQYPEYFQQPAPEHHQRQVPSDVVKIQ VRLAGQKRKHNEGRVEVYYEGQWGTVCDDDFSIHAAHVVCRQVGYVEAKSWAASSSYGPG EGPIWLDNIYCTGKESTLASCSSNGWGVTDCKHTEDVGVVCSEKRIPGFKFDNSLINQIE SLNIQVEDIRIRPILSAFRHRKPVTEGYVEVKEGKAWKQICNKHWTAKNSHVVCGMFGFP AEKTYNPKAYKTFASRRKLRYWKFSMNCTGTEAHISSCKLGPSVTRDPVKNATCENGQPA VVSCVPSQIFSPDGPSRFRKAYKPEQPLVRLRGGAQVGEGRVEVLKNGEWGTICDDKWDL VSASVVCRELGFGTAKEAITGSRLGQGIGPIHLNEVQCTGTEKSIIDCKFNTESQGCNHE EDAGVRCNIPIMGFQKKVRLNGGRNPYEGRVEVLTERNGSLVWGTVCGQNWGIVEAMVVC RQLGLGFASNAFQETWYWHGNIFANNVVMSGVKCSGTELSLAHCRHDEEVACPEGGVRFG AGVACSETAPDLVLNAEIVQQTAYLEDRPMSLLQCAMEENCLSASAVHTDPTRGHRRLLR FSSQIHNNGQSDFRPKNGRHAWIWHDCHRHYHSMEVFTYYDLLSLNGTKVAEGHKASFCL EDTECEGDIQKSYECANFGEQGITMGCWDMYRHDIDCQWIDITDVPPGDYLFQVVINPNY EVPESDFSNNIMKCRSRYDGYRIWMYNCHVGGAFSEETEQKFEHFSGLLNNQLSVQ >ENSMUSP00000097987.2 pep:known chromosome:GRCm38:14:69609478:69693698:1 gene:ENSMUSG00000034205.16 transcript:ENSMUST00000100420.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxl2 description:lysyl oxidase-like 2 [Source:MGI Symbol;Acc:MGI:2137913] MELHFGSCLSGCLALLVLLPSLSLAQYEGWPYQLQYPEYFQQPAPEHHQRQVPSDVVKIQ VRLAGQKRKHNEGRVEVYYEGQWGTVCDDDFSIHAAHVVCRQVGYVEAKSWAASSSYGPG EGPIWLDNIYCTGKESTLASCSSNGWGVTDCKHTEDVGVVCSEKRIPGFKFDNSLINQIE SLNIQVEDIRIRPILSAFRHRKPVTEGYVEVKEGKAWKQICNKHWTAKNSHVVCGMFGFP AEKTYNPKAYKTFASRRKLRYWKFSMNCTGTEAHISSCKLGPSVTRDPVKNATCENGQPA VVSCVPSQIFSPDGPSRFRKAYKPEQPLVRLRGGAQVGEGRVEVLKNGEWGTICDDKWDL VSASVVCRELGFGTAKEAITGSRLGQGIGPIHLNEVQCTGTEKSIIDCKFNTESQGCNHE EDAGVRCNIPIMGFQKKVRLNGGRNPYEGRVEVLTERNGSLVWGTVCGQNWGIVEAMVVC RQLGLGFASNAFQETWYWHGNIFANNVVMSGVKCSGTELSLAHCRHDEEVACPEGGVRFG AGVACSETAPDLVLNAEIVQQTAYLEDRPMSLLQCAMEENCLSASAVHTDPTRGHRRLLR FSSQIHNNGQSDFRPKNGRHAWIWHDCHRHYHSMEVFTYYDLLSLNGTKVAEGHKASFCL EDTECEGDIQKSYECANFGEQGITMGCWDMYRHDIDCQWIDITDVPPGDYLFQVVINPNY EVPESDFSNNIMKCRSRYDGYRIWMYNCHVGGAFSEETEQKFEHFSGLLNNQLSVQ >ENSMUSP00000040245.3 pep:known chromosome:GRCm38:8:120736358:120756694:1 gene:ENSMUSG00000041515.9 transcript:ENSMUST00000047737.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf8 description:interferon regulatory factor 8 [Source:MGI Symbol;Acc:MGI:96395] MCDRNGGRRLRQWLIEQIDSSMYPGLIWENDEKTMFRIPWKHAGKQDYNQEVDASIFKAW AVFKGKFKEGDKAEPATWKTRLRCALNKSPDFEEVTDRSQLDISEPYKVYRIVPEEEQKC KLGVAPAGCMSEVPEMECGRSEIEELIKEPSVDEYMGMTKRSPSPPEACRSQILPDWWVQ QPSAGLPLVTGYAAYDTHHSAFSQMVISFYYGGKLVGQATTTCLEGCRLSLSQPGLPKLY GPDGLEPVCFPTADTIPSERQRQVTRKLFGHLERGVLLHSNRKGVFVKRLCQGRVFCSGN AVVCKGRPNKLERDEVVQVFDTNQFIRELQQFYATQSRLPDSRVVLCFGEEFPDTVPLRS KLILVQVEQLYARQLVEEAGKSCGAGSLMPALEEPQPDQAFRMFPDICTSHQRPFFRENQ QITV >ENSMUSP00000125443.1 pep:known chromosome:GRCm38:8:120736385:120753478:1 gene:ENSMUSG00000041515.9 transcript:ENSMUST00000162658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf8 description:interferon regulatory factor 8 [Source:MGI Symbol;Acc:MGI:96395] MCDRNGGRRLRQWLIEQIDSSMYPGLIWENDEKTMFRIPWKHAGKQDYNQEVDASIFKPS VDEYMGMTKRSPSPPEACRSQILPDWWVQQPSAGLPLVTGYAAYDTHHSAFSQMVISFYY GGKLVGQATTTCLEGCRLSLSQPGLPKLYGP >ENSMUSP00000125029.1 pep:known chromosome:GRCm38:8:120738639:120755581:1 gene:ENSMUSG00000041515.9 transcript:ENSMUST00000162001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf8 description:interferon regulatory factor 8 [Source:MGI Symbol;Acc:MGI:96395] MCDRNGGRRLRQWLIEQIDSSMYPGLIWENDEKTMFRIPWKHAGKQDYNQEVDASIFKAW AVFKGKFKEGDKAEPATWKTRLRCALNKSPDFEEVTDRSQLDISEPYKVYRIVPEEEQKC KLGVAPAGCMSEVPEMECGRSEIEELIKEPSVDEYMGMTKRSPSPPEACRSQILPDWWVQ QPSAGLPLVTGYAAYDTHHSAFSQMVISFYYGGKLVGQATTTCLEGCRLSLSQPGLPKLY GPDGLEPVCFPTADTIPSERQRQVTRKLFGHLERGVLLHSNRKGVFVKRLCQGRVFCSGN AVVCKGRPNKLERDEVVQVFDTNQFIRELQQFYATQSRLPDSRVVLCFGEEFPDTVPLRS KLILVQVEQLYARQLVEEAGKSCGAGSLMPALEEPQPDQAFRMFPDICTSHQRPFFRENQ QITV >ENSMUSP00000125447.1 pep:known chromosome:GRCm38:8:120739990:120755204:1 gene:ENSMUSG00000041515.9 transcript:ENSMUST00000160943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf8 description:interferon regulatory factor 8 [Source:MGI Symbol;Acc:MGI:96395] XAWAVFKGKFKEGDKAEPATWKTRLRCALNKSPDFEEVTDRSQLDISEPYKVYRIVPEEE QKCKLGVAPAGCMSEVPEMECGRSEIEELIKESCSNSTPPRAAYLTAGWSCASGRSFRTL CPCAPNSFWCR >ENSMUSP00000073405.2 pep:known chromosome:GRCm38:13:22851554:22852543:-1 gene:ENSMUSG00000063998.3 transcript:ENSMUST00000073728.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r211 description:vomeronasal 1 receptor 211 [Source:MGI Symbol;Acc:MGI:2159685] MVLDPVKGTVFLCLTGLGTVGNSLVFVSYMHMFQSTEQKPIHLILAHLAFTNIIMLFSKG IRRTIGAFNFDNFLDDTSCKVAVYLARVSRGLSICTSSLLTVVQAITISPRHSMCQRLKL KTPQHILSSLLCLWILNSLISMNLLSYVKNVNRVNITQFREDGDFCYFLPESWITRWIFL TLMVLRDAVFQGAMGGASGYMVFLLHKHHQHVLYLQTSKLLYRTPPELRAAQSVLLLMMC FIFFYWADCFISLFFTLTIENYSTVLDVPEFLTIGYAVLSPFILIHRDEHLIKCCHTQ >ENSMUSP00000142568.1 pep:known chromosome:GRCm38:5:23434441:23486400:1 gene:ENSMUSG00000029004.15 transcript:ENSMUST00000196889.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kmt2e description:lysine (K)-specific methyltransferase 2E [Source:MGI Symbol;Acc:MGI:1924825] MSIAIPLGVDTTETSYLEMAAGSEPESVEASPVVVEKSNSFPHQLYTSSSHHSHSYIGLP YADHNYGARPPPTPPASPPPSGLISKNEVGIFTTPNFDETSSATTISTSEDGSYGTDVTR CICGFTHDDGYMICCDKCSVWQHIDCMGIDRQHIPDTYLCERCQPRSLDKERAVLLQRRK RENMSDGDTSATESGDEVPVELYTAFQHTPTSITLTASRVPKVTDKRRKKSGEKEQNFSK CKKAFREGSRKSSRVKGSAPEIDPSSDSSNFVWETKIKAWMDRYEEANNNQYSEGVQREA QRLAQRLGSGNDSKDMNKSELSTNNSLFRPPVESHIQKNKKILKSAKDLPPDALIIEYRG KFMLREQFEANGYFFKR >ENSMUSP00000092569.2 pep:known chromosome:GRCm38:5:23434441:23504235:1 gene:ENSMUSG00000029004.15 transcript:ENSMUST00000094962.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2e description:lysine (K)-specific methyltransferase 2E [Source:MGI Symbol;Acc:MGI:1924825] MSIAIPLGVDTTETSYLEMAAGSEPESVEASPVVVEKSNSFPHQLYTSSSHHSHSYIGLP YADHNYGARPPPTPPASPPPSGLISKNEVGIFTTPNFDETSSATTISTSEDGSYGTDVTR CICGFTHDDGYMICCDKCSVWQHIDCMGIDRQHIPDTYLCERCQPRSLDKERAVLLQRRK RENMSDGDTSATESGDEVPVELYTAFQHTPTSITLTASRVPKVTDKRRKKSGEKEQNFSK CKKAFREGSRKSSRVKGSAPEIDPSSDSSNFVWETKIKAWMDRYEEANNNQYSEGVQREA QRLAQRLGSGNDSKDMNKSELSTNNSLFRPPVESHIQKNKKILKSAKDLPPDALIIEYRG KFMLREQFEANGYFFKRPYPFVLFYSKFHGLEMCVDARTFGNEARFIRRSCTPNAEVRHE IEEGTIHLYIYSIQSIPKGTEITIAFDFDYGNCKYKVDCACLKENPECPVLKRSSESTEN INSGYETRRKKGKKEKDTSKEKDIQNQNMTLDCEGTNNKIRSPETKQRKLSPLRLSVSNN QEPDFIDDMEEKTPISNEVEMESEEQIAERKRKMTREERKMEAILQAFARLEKREKRREQ ALERISTAKTEVKPECKESQVIADAEVVQEQVKEETAIKPAAAKVNRTKQRKSFSRSRTH IGQQRRRHRTVSMCSDIPPSSPDIEVLSQQNEIENTVLAIEPETETAVAEIIPEAEVPAL NKCPTKYPKTKKHLVNEWLSEKNEKTGKPSDSLSERPLRITTDPEVLATQLNSLPGLTYS PHVYSTPKHYIRFTSPFLSEKKRRKETTENISGSCKKRWLKQALEEENSTILHRYHSPCQ ERSRSPTVNGENKSPLLLSDSCSLPDLTTPLKKRRLYQLLDTAYSESSTPTPSPYATPTH TDITPTDPAFATPPRIKSDDETYRNGYKPIYSPVTPVTPGTPGNTMHFENISSPESSPEI KRCTYNQEGYDRPSNMLTLGPFRNSNLTELGLQEIKTIGYTSPRSRTEVNRPCPGEKESV SDLQLGLDAVEPAALQKSMETPAHDRTEPSNQLDSTHSGRGTMYSSWVKSPDRTGVNFSV NSNLRDLTPSHQLETGGGFRVSESKCLIQQDDTRGMFLGAAVFCTSEDGLASGFGRTVND NLIDGSCTPQNPPQKKKVSLLEYRKRQREARKSGSKPENFALISVSPHPSGSLSSSGDGC VHSSENGEQAENQASLPLPPPAAAAAATAAAAYSASSEEGSSNCPVKDANSSEKKDPEVQ WTASTSVEQVRERSYQRALLLSDHRKDKDSGGESPCVSCSPSHVQSPPSSHSNHIPQVHA QSLAPSLSELMADPDAEGTEATSTSECPSPDTSQSPSKTSKPGSPGPINPAQSHGKILTK PDSHWEATATVSEADNSVHQNPEPQHRQLSSNTPALSQNHAPQAHALSANDQLPQKLPSA PTKLHCPPSPHTENPPKSSTPHTPVQHGYLSPKPPSQHLGSPFRPHHSQSPQVGTPQRET QRNFYAAAQNLQANPQQATSGALFTQTPSGQSSATYSQFNQQSLNSTAPPPPPPPPPSSY YQNQQPSANFQNYNQLKGSLSQQTVFTSGPNQALPGSTSQQSVPGHHVTPGHFLPSQNPT IHHQPAAAAVVPPPPPPPPAPGPHLIQQPSSHQQHSVAHGVGPVHAVTPGSHIHSQTAGH HLPPPPPPPGPAPHHHPPPHPTTGLQSLQAQHQHVVNSAPPPPPPPPPPPPASVLVSGHH SASGQALHHPPHQGPPLFPASAHPAVPPYPSQATHHTTLGPGPQHQPSGTGPHCPLPVAG PHLQPQGPNSIPTPTASGFCPHPHPGSVALPHGVQGPQQASPVPAQIPIHRAQVPPTFQN NYHGSGWH >ENSMUSP00000110781.1 pep:known chromosome:GRCm38:5:23434449:23504235:1 gene:ENSMUSG00000029004.15 transcript:ENSMUST00000115128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2e description:lysine (K)-specific methyltransferase 2E [Source:MGI Symbol;Acc:MGI:1924825] MSIAIPLGVDTTETSYLEMAAGSEPESVEASPVVVEKSNSFPHQLYTSSSHHSHSYIGLP YADHNYGARPPPTPPASPPPSGLISKNEVGIFTTPNFDETSSATTISTSEDGSYGTDVTR CICGFTHDDGYMICCDKCSVWQHIDCMGIDRQHIPDTYLCERCQPRSLDKERAVLLQRRK RENMSDGDTSATESGDEVPVELYTAFQHTPTSITLTASRVPKVTDKRRKKSGEKEQNFSK CKKAFREGSRKSSRVKGSAPEIDPSSDSSNFVWETKIKAWMDRYEEANNNQYSEGVQREA QRLAQRLGSGNDSKDMNKSELSTNNSLFRPPVESHIQKNKKILKSAKDLPPDALIIEYRG KFMLREQFEANGYFFKRPYPFVLFYSKFHGLEMCVDARTFGNEARFIRRSCTPNAEVRHE IEEGTIHLYIYSIQSIPKGTEITIAFDFDYGNCKYKVDCACLKENPECPVLKRSSESTEN INSGYETRRKKGKKEKDTSKEKDIQNQNMTLDCEGTNNKIRSPETKQRKLSPLRLSVSNN QEPDFIDDMEEKTPISNEVEMESEEQIAERKRKMTREERKMEAILQAFARLEKREKRREQ ALERISTAKTEVKPECKESQVIADAEVVQEQVKEETAIKPAAAKVNRTKQRKSFSRSRTH IGQQRRRHRTVSMCSDIPPSSPDIEVLSQQNEIENTVLAIEPETETAVAEIIPEAEVPAL NKCPTKYPKTKKHLVNEWLSEKNEKTGKPSDSLSERPLRITTDPEVLATQLNSLPGLTYS PHVYSTPKHYIRFTSPFLSEKKRRKETTENISGSCKKRWLKQALEEENSTILHRYHSPCQ ERSRSPTVNGENKSPLLLSDSCSLPDLTTPLKKRRLYQLLDTAYSESSTPTPSPYATPTH TDITPTDPAFATPPRIKSDDETYRNGYKPIYSPVTPVTPGTPGNTMHFENISSPESSPEI KRCTYNQEGYDRPSNMLTLGPFRNSNLTELGLQEIKTIGYTSPRSRTEVNRPCPGEKESV SDLQLGLDAVEPAALQKSMETPAHDRTEPSNQLDSTHSGRGTMYSSWVKSPDRTGVNFSV NSNLRDLTPSHQLETGGGFRVSESKCLIQQDDTRGMFLGAAVFCTSEDGLASGFGRTVND NLIDGSCTPQNPPQKKKVSLLEYRKRQREARKSGSKPENFALISVSPHPSGSLSSSGDGC VHSSENGEQAENQASLPLPPPAAAAAATAAAAYSASSEEGSSNCPVKDANSSEKKDPEVQ WTASTSVEQVRERSYQRALLLSDHRKDKDSGGESPCVSCSPSHVQSPPSSHSNHIPQVHA QSLAPSLSELMADPDAEGTEATSTSECPSPDTSQSPSKTSKPGSPGPINPAQSHGKILTK PDSHWEATATVSEADNSVHQNPEPQHRQLSSNTPALSQNHAPQAHALSANDQLPQKLPSA PTKLHCPPSPHTENPPKSSTPHTPVQHGYLSPKPPSQHLGSPFRPHHSQSPQVGTPQRET QRNFYAAAQNLQANPQQATSGALFTQTPSGQSSATYSQFNQQSLNSTAPPPPPPPPPSSY YQNQQPSANFQNYNQLKGSLSQQTVFTSGPNQALPGSTSQQSVPGHHVTPGHFLPSQNPT IHHQPAAAAVVPPPPPPPPAPGPHLIQQPSSHQQHSVAHGVGPVHAVTPGSHIHSQTAGH HLPPPPPPPGPAPHHHPPPHPTTGLQSLQAQHQHVVNSAPPPPPPPPPPPPASVLVSGHH SASGQALHHPPHQGPPLFPASAHPAVPPYPSQATHHTTLGPGPQHQPSGTGPHCPLPVAG PHLQPQGPNSIPTPTASGFCPHPHPGSVALPHGVQGPQQASPVPAQIPIHRAQVPPTFQN NYHGSGWH >ENSMUSP00000142547.1 pep:known chromosome:GRCm38:5:23485501:23496208:1 gene:ENSMUSG00000029004.15 transcript:ENSMUST00000146375.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kmt2e description:lysine (K)-specific methyltransferase 2E [Source:MGI Symbol;Acc:MGI:1924825] QNQNMTLDCEGTNNKIRSPETKQRKLSPLRLSVSNNQEPDFIDDMEEKTPISNEVEMESE EQIAERKRKMEERQMDLSLRTWFFHLENWTVDGPASWFWLRAEALPGPLLKALGLVLNIL YPRVSVQEKKEKWKQFCRLLPDLRRERKDENKLWKGSAQLRQKLSLNAKSRRSSPMPKWC RNK >ENSMUSP00000143791.1 pep:known chromosome:GRCm38:5:23485549:23502862:1 gene:ENSMUSG00000029004.15 transcript:ENSMUST00000196260.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2e description:lysine (K)-specific methyltransferase 2E [Source:MGI Symbol;Acc:MGI:1924825] RSPETKQRKLSPLRLSVSNNQEPDFIDDMEEKTPISNEVEMESEEQIAERKRKMTREERK MEAILQAFARLEKREKRREQALERISTAKTEVKPECKESQVIADAEVVQEQVKEETAIKP AAAKVNRTKQRKSFSRSRTHIGQQRRRHRTVSMCSDIPPSSPDIEVLSQQNEIENTVLAI EPETETAVAEIIPEAEVPALNNCPAVPLTSHTSYHFGTGTPTPAFRNRATLSITSCRSSS PAPRT >ENSMUSP00000141126.1 pep:known chromosome:GRCm38:5:23492421:23500487:1 gene:ENSMUSG00000029004.15 transcript:ENSMUST00000126586.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kmt2e description:lysine (K)-specific methyltransferase 2E [Source:MGI Symbol;Acc:MGI:1924825] EVVQEQVKEETAIKPAAAKVNRTKQRKSFSRSRTHIGQQRRRHRTVSMCSDIPPSSPDIE VLSQQNEIENTVLAIEPETETAVAEIIPEAEVPALNKCPTKYPKTKKHLVNEWLSEKNEK TGKPSDSLSERPLRITTDPEVLATQLNSLPGLTYSPHVYSTPKHYIRFTSPFLSEKKRRK ETTENISGSCKKRWLKQALEEENSTILHRYHSPCQERSRSPTVNEYFFPRKFSRNKEMHL QSRGI >ENSMUSP00000141393.1 pep:known chromosome:GRCm38:5:23498269:23500530:1 gene:ENSMUSG00000029004.15 transcript:ENSMUST00000194010.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kmt2e description:lysine (K)-specific methyltransferase 2E [Source:MGI Symbol;Acc:MGI:1924825] XQNPPQKKKSPVGNFVGSNVV >ENSMUSP00000056162.3 pep:known chromosome:GRCm38:11:99634111:99635084:-1 gene:ENSMUSG00000044649.4 transcript:ENSMUST00000058987.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap4-2 description:keratin associated protein 4-2 [Source:MGI Symbol;Acc:MGI:1915923] MVSSCGSVCSEEGCGQGCCQPSCCQTTCCRTTCCRPSCCVSSCCRPSCCVSSCCRPSCCR PQCCQSVCCQPTCCRPSCCISSCCQPSCGSSSCCGSSCCRPCCRPCCSPCCSPCCRPCCR PCCRPCCRPCCCLRPVCGQVCCQTTCYRPTCVISTCPRPMCCAIPCC >ENSMUSP00000043519.7 pep:known chromosome:GRCm38:2:31670715:31681349:1 gene:ENSMUSG00000039356.15 transcript:ENSMUST00000038474.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc2 description:exosome component 2 [Source:MGI Symbol;Acc:MGI:2385133] MALEMRLPKARKPLSESLGRDSKKHLVVPGDTITTDTGFMRGHGTYMGEEKLIASVAGSV ERVNKLICVKALKTRYNGEVGDIVVGRITEVQQKRWKVETNSRLDSVLLLSSMNLPGGEL RRRSAEDELAMRGFLQEGDLISAEVQAVFSDGAVSLHTRSLKYGKLGQGVLVQVSPSLVK RQKTHFHDLPCGASVILGNNGFIWIYPTPEHKDEDAGGFIANLEPVALSDREVISRLRNC VVLLVTQRMMLFDTSILYCYEASLAHQIKDILKPEVMEEIMLETRQRLLDQEG >ENSMUSP00000118770.1 pep:known chromosome:GRCm38:2:31670734:31680519:1 gene:ENSMUSG00000039356.15 transcript:ENSMUST00000137156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc2 description:exosome component 2 [Source:MGI Symbol;Acc:MGI:2385133] MALEMRLPKARKPLSESLGRDSKKHLVVPGDTITTDTGFMRGHGTYMGEEKLIASVAGSV ERVNKLICVKALKTRYNGEVGDIVVGRITERRRSAEDELAMRGFLQEGDLISAEVQAVFS DGAVSLHTRSLKYGKLGQGVLVQVSPSLVKRQKTHFHDLPCGASVILGNNGFIWIYPTPE HKDEDAGGFIANLEPVALSDREVISRLRNCVVLLVTQRMMLFDTSILYCYEASLAHQIKD ILKPEVM >ENSMUSP00000141404.1 pep:known chromosome:GRCm38:2:31670743:31678460:1 gene:ENSMUSG00000039356.15 transcript:ENSMUST00000125883.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Exosc2 description:exosome component 2 [Source:MGI Symbol;Acc:MGI:2385133] ALEMRLPKARKPLSESLGRDSKKHLVVPGDTITTDTGFMRGHGTYMGEEKLIASVAGSVE RVNKLICVKALKTR >ENSMUSP00000102479.1 pep:known chromosome:GRCm38:7:103839145:103840427:-1 gene:ENSMUSG00000078621.1 transcript:ENSMUST00000106866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbb-bh2 description:hemoglobin beta, bh2 [Source:MGI Symbol;Acc:MGI:96025] MVELTAEEKAAITATWTKVKAEELGVESLERILTVYPHTKRYFDHFGDFSFCAATEDNHK LKALGKKMIESFSEDLQSLDNLHYTFASLSELHHDKLHMDPENFKLLGSMIVIVMSPHFG NSFTSALQAAFEKVVAAVADAMAYKYY >ENSMUSP00000049973.6 pep:known chromosome:GRCm38:5:34153880:34169527:-1 gene:ENSMUSG00000079555.2 transcript:ENSMUST00000060049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus3 description:HAUS augmin-like complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2387633] MSCGNEFVETLKKIGYPKADILNGEDFDWLFEDVEDESFLKWFCGNVNEQNVLSEKELEA FSDLQRSGKPILEGTALDEVLRTCKTFDLKTCKLDDKEIQILEDEVQTLQKLNNSKIQRR NKYQLMVSETSYRFLALNAKQEEATKKLKQKQGFLNSVNTKLSNELQGLTEEVNNLMIFF RNSNLSERTNPMVFLSQFPLGKYISQEEQSTAALTLYTKKQFFQGMHEVVESSNEDNFQL LDIQTPSICDNEEILRERRLEMARLQMACICVQKQIIYLKTSNLSMKSSIKWAEENLNRL TNEVIDKENLDAEISSLNSEILKLEEQITHIKDKVLPAVVKEYAQLLNMPVVKGDFELQI AKQDYYTARQELVLNELIKQKASFELVQLSYEIELRKHWDTYRQLESLVQQLSQRNTVLC QHLAVLSDIPASEQLTSRTPIDTKDHSTHRLYELLEGDNKKKELFITHEHLEEVAEKLKQ DVSVIQDQLAVSTQEHFFFLSKLNNDVDMLCDALYRGGNQLLLCDQELKEHFHQVESQLN ELHHLLTDILADVKTKRRILATNKLHQVER >ENSMUSP00000144049.1 pep:known chromosome:GRCm38:5:34168024:34169503:-1 gene:ENSMUSG00000079555.2 transcript:ENSMUST00000202042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus3 description:HAUS augmin-like complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2387633] MSCGNEFVETLKKIGYPKADILNGEDFDWLFEDVEDESFLKWFCGNVNEQNVLSEKELEA FSDLQRSGKPILEGTALDEVLRTCKTFDLKTCKLDD >ENSMUSP00000144601.1 pep:known chromosome:GRCm38:5:34168237:34169433:-1 gene:ENSMUSG00000079555.2 transcript:ENSMUST00000202541.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus3 description:HAUS augmin-like complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2387633] MSCGNEFVETLKKIGYPKADILNGE >ENSMUSP00000101760.1 pep:known chromosome:GRCm38:11:120710942:120713732:-1 gene:ENSMUSG00000025144.17 transcript:ENSMUST00000106154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra13 description:stimulated by retinoic acid 13 [Source:MGI Symbol;Acc:MGI:894324] MAHLWCSHGIPRPLDSPSHLHLTNYHQPFSSQAIPDAWPICRHHLELVSRLLHLHFRDCK TKVSGDALQLMAEFLRIFVLEAAVRGVWQAQAEDLDVVEVDQLEKVLPQLLLDF >ENSMUSP00000101761.3 pep:known chromosome:GRCm38:11:120710942:120713732:-1 gene:ENSMUSG00000025144.17 transcript:ENSMUST00000106155.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra13 description:stimulated by retinoic acid 13 [Source:MGI Symbol;Acc:MGI:894324] MEGNSGFRKASGFSLSSPPHKLPPTFQFSSHSRCLANLPPPPGTGEQTTPFALQGLQDQS QRGCTAAHGGVPEDLRTRGCCPWGLAGPGRRPGCCGSGSAGESAPSAAPGLLEFPPVACK V >ENSMUSP00000050335.6 pep:known chromosome:GRCm38:11:120710942:120713738:-1 gene:ENSMUSG00000025144.17 transcript:ENSMUST00000055424.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra13 description:stimulated by retinoic acid 13 [Source:MGI Symbol;Acc:MGI:894324] MEGNSGFRKELVSRLLHLHFRDCKTKVSGDALQLMAEFLRIFVLEAAVRGVWQAQAEDLD VVEVDQLEKVLPQLLLDF >ENSMUSP00000026137.7 pep:known chromosome:GRCm38:11:120710943:120713738:-1 gene:ENSMUSG00000025144.17 transcript:ENSMUST00000026137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra13 description:stimulated by retinoic acid 13 [Source:MGI Symbol;Acc:MGI:894324] MEGNSGFRKELVSRLLHLHFRDCKTKGLGHQWGGEYVGHREGGCPMTPPPSPFPPVSGDA LQLMAEFLRIFVLEAAVRGVWQAQAEDLDVVEVDQLEKVLPQLLLDF >ENSMUSP00000093655.2 pep:known chromosome:GRCm38:13:22883088:22884161:-1 gene:ENSMUSG00000071490.3 transcript:ENSMUST00000095960.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r212 description:vomeronasal 1 receptor 212 [Source:MGI Symbol;Acc:MGI:2159683] MVLKFIKKMLFPSMTVVGILGNMSVSVNYMFSWWGSPEKKLIHVILIHLAFTNIILLLAK RLPKTKAAFGLRNFLDDIGCKTIVYLERMARGLSICTSSLLTVVQAITISPRASGWRKLR PKSVWHILPFLSFFWVLNASISVNLIHSTTNTKLNVSQLRSDESDCYFMLPSQKIKWIFL PLMVLRDAVFQGAMGGASGYMVFLLYKHHQHVLYLQNSKLLYRTPPELRAAQSVLLLMLC FVFFYWIDCAFSLIINISLMENSLIINIQEFVTLGYATFSPLVLIHRDGVLTGFWHVHLG KQKMSLIYLFNKCWEKTLSSSVLWVRSDPTKKVKNLFILTFPGDSSLELRINYKLTL >ENSMUSP00000038106.7 pep:known chromosome:GRCm38:5:137314558:137333597:-1 gene:ENSMUSG00000037344.13 transcript:ENSMUST00000039991.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a9 description:solute carrier family 12 (potassium/chloride transporters), member 9 [Source:MGI Symbol;Acc:MGI:1933532] MASESSPLLAYRLLGEEGAAFPPNGAGVSGVPSSRKLSTFLGVVVPTVLSMFSIVVFLRI GFVVGHAGLLQALAMLLVAYIILALTVLSVCAIATNGAVRGGGAYFMISRTLGPEVGGSI GLMFYLANVCGCAVSLLGLVESILDVFGADATGSSGIQVLPQGYGWNLLYGSLLLGLVGG VCTLGAGLYARASFLTFLLVSGSLASVLVSFVAVGPRNIPLAPRPGTNASSVPHRHGHFT GFNGSTLRDNLGAGYAEDYTTGAMMTFASVFAVLFNGCTGIMAGANMSGELKDPSRAIPL GTIIAVAYTFFIYILLFFLSSFTCDRALLQEDYGFFRDISLWPPLVLIGIYATALSASMS SLIGASRILHALAQDDLFGVILAPAKVVSGGGNPWGAVLYSWGLVQLVLLAGKLNTLAAV VTVFYLVAYAAVDLSCLSLEWASAPNFRPTFSLFSWHTCLLGVASCLLMMFLISPGAAGG SLLLMGLLSALLTARGGPSSWGYVSQALLFHQVRKYLLRLDVRKEHVKFWRPQLLLLVGN PRGALPLLRLANQLKKGGLYVLGHVTLGDLDSLPSDPVQPQYGAWLSLVDLAQVKAFVDL TLSPSVRQGAQHLLRISGLGGMKPNTLVLGFYDDAPPQDHFLTDPAFSEPAEGTREGGSP ALSTLFPPPRAPGSPRALSPQDYVATVADALKMNKNVVLARACGALPPERLSRGSSSSAQ LHHVDVWPLNLLRPRGGPGYVDVCGLFLLQMATILSMVPAWHSARLRIFLCLGPREAPGA AEGRLRALLSQLRIRAEVQEVVWGEGAETGEPEEEEGDFVNGGRGDEEAEALACSANALV RAQQGRGTVGGPGGPEGRDGEEGPTTALTFLYLPRPPADPARYPRYLALLETLSRDLGPT LLIHGVTPVTCTDL >ENSMUSP00000117757.1 pep:known chromosome:GRCm38:5:137332259:137333010:-1 gene:ENSMUSG00000037344.13 transcript:ENSMUST00000156646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a9 description:solute carrier family 12 (potassium/chloride transporters), member 9 [Source:MGI Symbol;Acc:MGI:1933532] MASESSPLLAYRLLGEEGAAFPPNGAGVSGVPSSRKLSTFLGVVVPTVLSMFSIVVFLRI G >ENSMUSP00000099523.3 pep:known chromosome:GRCm38:11:80046493:80080938:-1 gene:ENSMUSG00000017561.16 transcript:ENSMUST00000103233.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crlf3 description:cytokine receptor-like factor 3 [Source:MGI Symbol;Acc:MGI:1860086] MKGAMEPEPEVLLQEARENVEAAQSYRRELGQRLQGLREAQRQIKESASQTRDVLKQHFS DLKGTLGKLLDERLVTLLQEVDTIEQETIKPLDDCQKLIEHGVNTADDLVREGEIAILGG IEEESDKLWNFTKKASHIQLDSLPEVPLLVDVPCLSAQLDDSILNIVKDHIFKHGTVASR PPVQIEELIEKPGGIIVRWCKVDDDFTAQDYRLQFRKCTANHFEDVYVGSETEFIVLHID PNVDYQFRVCARGDGRQEWSPWSVPQTGHSTLVPHEWTTGFEGYSLSSRRNIALRNDAES SGVLYSSAPTYFCGQTLTFRCSLCQWKRNDQSVACSYLWLHCHI >ENSMUSP00000060028.8 pep:known chromosome:GRCm38:11:80046493:80080991:-1 gene:ENSMUSG00000017561.16 transcript:ENSMUST00000061283.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crlf3 description:cytokine receptor-like factor 3 [Source:MGI Symbol;Acc:MGI:1860086] MKGAMEPEPEVLLQEARENVEAAQSYRRELGQRLQGLREAQRQIKESASQTRDVLKQHFS DLKGTLGKLLDERLVTLLQEVDTIEQETIKPLDDCQKLIEHGVNTADDLVREGEIAILGG IEEESDKLWNFTKKASHIQLDSLPEVPLLVDVPCLSAQLDDSILNIVKDHIFKHGTVASR PPVQIEELIEKPGGIIVRWCKVDDDFTAQDYRLQFRKCTANHFEDVYVGSETEFIVLHID PNVDYQFRVCARGDGRQEWSPWSVPQTGHSTLVPHEWTTGFEGYSLSSRRNIALRNDAES SGVLYSSAPTYFCGQTLTFRVETVGQPDRRDSIGVCAERQNGYESLQRDQAVCISTNGAV FVNGKEMTNQLPAVTSGSTVTFDIEAVTLGTSNSHEGGNAKLRVTISSNNREVVFDWLLE QACGPLYFGCSFFYPGWKVLVF >ENSMUSP00000136473.1 pep:known chromosome:GRCm38:11:80047481:80080912:-1 gene:ENSMUSG00000017561.16 transcript:ENSMUST00000177825.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crlf3 description:cytokine receptor-like factor 3 [Source:MGI Symbol;Acc:MGI:1860086] MKGAMEPEPEVLLQEARENVEAAQSYRRELGQRLQGLREAQRQIKESASQTRDVLKQHFS DLKGTLGKLLDERLVTLLQEVDTIEQETIKPLDDCQKLIEHGVNTADDLVREGEIAILGG IEEESDKLWNFTKKASHIQLDRWMMTSQPKITGFSSANVLQIILKTCM >ENSMUSP00000137371.1 pep:known chromosome:GRCm38:11:80047481:80080929:-1 gene:ENSMUSG00000017561.16 transcript:ENSMUST00000178893.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crlf3 description:cytokine receptor-like factor 3 [Source:MGI Symbol;Acc:MGI:1860086] MKGAMEPEPEVLLQEARENVEAAQSYRRELGQRLQGLREAQRQVRLPFLVVSKKRVINCG TLPKRPHTFSWTAYQKCPC >ENSMUSP00000137124.1 pep:known chromosome:GRCm38:11:80059312:80080861:-1 gene:ENSMUSG00000017561.16 transcript:ENSMUST00000179855.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crlf3 description:cytokine receptor-like factor 3 [Source:MGI Symbol;Acc:MGI:1860086] ENVEAAQSYRRELGQRLQGLREAQR >ENSMUSP00000026927.3 pep:known chromosome:GRCm38:2:5845019:5871895:1 gene:ENSMUSG00000025817.12 transcript:ENSMUST00000026927.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt5 description:nudix (nucleoside diphosphate linked moiety X)-type motif 5 [Source:MGI Symbol;Acc:MGI:1858232] METRESTESSPGKHLVTSEELISEGKWVKFEKTTYMDPTGKTRTWETVKLTTRKGKSADA VSVIPVLQRTLHHECVILVKQFRPPMGSYCLEFPAGFIEDGESPEAAALRELEEETGYKG EVAECSPAVCMDPGLSNCTTHVVTVTINGDDAGNVRPKPKPGDGEFMEVISLPKNDLLTR LDALGAEQHLTVDAKVYAYGLALKHANSKPFEVPFLKF >ENSMUSP00000117670.1 pep:known chromosome:GRCm38:2:5845253:5864448:1 gene:ENSMUSG00000025817.12 transcript:ENSMUST00000127116.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt5 description:nudix (nucleoside diphosphate linked moiety X)-type motif 5 [Source:MGI Symbol;Acc:MGI:1858232] METRESTESSPGKHLVTSEELISEGKWVKFEKTTYMDPTGKTRTWETVKLTTRKGKSADA VSVIPVLQRTLHHECVILVKQFRPPMGSYCLEFPAGFIEDGESPEAAALRELEEETGYKG EVAECSPAVCMDPGLSNCTTHVVTVTINGDDAGNVRPKPKP >ENSMUSP00000141265.1 pep:known chromosome:GRCm38:2:5845290:5862388:1 gene:ENSMUSG00000025817.12 transcript:ENSMUST00000194933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt5 description:nudix (nucleoside diphosphate linked moiety X)-type motif 5 [Source:MGI Symbol;Acc:MGI:1858232] METRESTESSPGKHLVTSEELISEGKWVKFEKTTYMDPTGKTRTWETVKLTTRKGKSADA VSVIPVLQRTLHHECVILVKQFRPPMGS >ENSMUSP00000136233.1 pep:known chromosome:GRCm38:2:5845034:5868736:1 gene:ENSMUSG00000025817.12 transcript:ENSMUST00000179748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt5 description:nudix (nucleoside diphosphate linked moiety X)-type motif 5 [Source:MGI Symbol;Acc:MGI:1858232] METRESTESSPGKHLVTSEELISEGKWVKFEKTTYMDPTGKTRTWETVKLTTRKGKSADA VSVIPVLQRTLHHECVILVKQFRPPMGSYCLEFPAGFIEDGESPEAAALRELEEETGYKG EVAECSPAVCMDPGLSNCTTHVVTVTINGDDAGNVRPKPKPGDGEFMEVISLPKNDLLTR LDALGAEQHLTVDAKVYAYGLALKHANSKPFEVPFLKF >ENSMUSP00000097213.1 pep:known chromosome:GRCm38:2:111335159:111336076:-1 gene:ENSMUSG00000096554.1 transcript:ENSMUST00000099618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1282 description:olfactory receptor 1282 [Source:MGI Symbol;Acc:MGI:3031116] MEDSNRTVSSEFIFQGLCSSRQLEIFLLLPFSILYLMAVVGNLFVVILIIIDHHLHSPMY FLLANLSFIDFCLSSVTTPKLTIDLLKENKTISFVGYMRQIVCVHFFAGGEMVLLVTMAY DRYVAICRPLHYSSIMDRQKCIWLVVISWIVGFVHAISQMLLILDLPFCGPRVIDSFFCD IPLVMKLACMNTDTLEILINADSGILATTCFILLLISYTYILLTVQHRSKDGSSKALSTC TSHIIVVLLFFGPIIFIYLWPVSITWVDKFLAVFYSVITPLLNPAIYTLRNKDIKNAIKK LISHK >ENSMUSP00000144354.1 pep:known chromosome:GRCm38:5:94623979:94626848:1 gene:ENSMUSG00000094195.2 transcript:ENSMUST00000201552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6509 description:predicted gene 6509 [Source:MGI Symbol;Acc:MGI:3779602] MNVHTPPILQKLAIQTLVREQALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRRKKLQFLDLRNVHHSFWNIWTDSE DSDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFC CTKMKIWTLPVKALRHIFHVFDPEHIMELELNTEWTLLELTRFAPYFGQMRNLHKVFLAP LHKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLK TLSITYSLVSQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGILETLDL QGCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFPKDLLQHTANWSKMNVEQYPAPL ECYDEFAQVSVERFAQVCQDLMDTLRAIRQPKNSSFATDICHTCGERWVFDQVANLCHCW Q >ENSMUSP00000095887.3 pep:known chromosome:GRCm38:5:94624013:94626521:1 gene:ENSMUSG00000094195.2 transcript:ENSMUST00000098286.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6509 description:predicted gene 6509 [Source:MGI Symbol;Acc:MGI:3779602] MNVHTPPILQKLAIQTLVREQALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRKKLQFLDLRNVHHSFWNIWTDSED SDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFCC TKMKIWTLPVKALRHIFHVFDPEHIMELELNTEWTLLELTRFAPYFGQMRNLHKVFLAPL HKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLKT LSITYSLVSQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGILETLDLQ GCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFPKDLLQHTAN >ENSMUSP00000088209.1 pep:known chromosome:GRCm38:2:87809822:87810799:1 gene:ENSMUSG00000068814.1 transcript:ENSMUST00000090707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1145 description:olfactory receptor 1145 [Source:MGI Symbol;Acc:MGI:3030979] MTQTPTKSFLQMKHDEIEAEVNISTVIQFVLLGFSALPNLQGILSALFSIIYRIILTGNC LIILITRLDHTLHKPMYFFLANFSSLEICYVSVTVPRILFNIWTQDRNISVLACAVQMCF FLMLGTDECFLLAVMSYDRYVAICNPLHYPLIMNSKKCTQLAAGSWLSGIPIQIGQTCWI FSMHFCDSNEIDHFFCDIPPILKLACGDTSVHELSVYVVVMVVAAFPFILVLTSYSKIIA TILRLPTAKGRAKAFSTCSSHLLVVVLFYGSGTITYLRPKSTHSPGIDKLLSLFYTIVTP MFNPLIYSLRNKEVVVALRKLILQK >ENSMUSP00000014891.3 pep:known chromosome:GRCm38:6:83762644:83768326:1 gene:ENSMUSG00000014747.6 transcript:ENSMUST00000014891.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd53 description:ankyrin repeat domain 53 [Source:MGI Symbol;Acc:MGI:1922555] MRRPSRRRSKASTPPRSHTTPRRTGPSDSRRRPGTKEQPRPSVQGGTRQAEHDLKVSSPN SESSQYSTSELWSHKVIANYSELFAASVGNVDWLRFCVNPERKEIIVDDKGFTAIHFAAQ KCQLSCLKVLIEEYKYPVDLPTNKGQTPLHLVIHKNNKSDILPCIDYLLKKGAAINSQTY NGSTPLHLASCNGLLGCIKLLVQSGANVHARDATGFKPIDYCRLWNHRTCARFLKDVMWK HDKKVLAQEMEKLRTLKEKLTILEYHYLVEYQKEHQILREAHFRKWLQNKVLAQTLGSAD SKQKAGVQPWSLASNTLRCPITESLHYPSVEAQLKNLPSPVVPPKPIYKQTTISRPKLWN YSANPARSPITNIGHPQNIRLGVHPEPYKEHDFRRFLEVTRNKHGGACLRTVDRQLVTPV PQLPFEMMVRVLYPGTQPYRMKVPQGLYPRDILKVPEKRHVGDTCSNTMAMTLRETFDKP FLDSLEVCRTRVAPPSK >ENSMUSP00000145973.1 pep:known chromosome:GRCm38:6:83762686:83768037:1 gene:ENSMUSG00000014747.6 transcript:ENSMUST00000206496.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd53 description:ankyrin repeat domain 53 [Source:MGI Symbol;Acc:MGI:1922555] MRRPSRRRSKASTPPRSHTTPRRTGPSDSRRRPGTKEQPRPSVQGGTRQAEHDLKGFTAI HFAAQKCQLSCLKVLIEEYKYPVDLPTNKGQTPLHLVIHKNNKSDILPCIDYLLKKGAAI NSQTYNGSTPLHLASCNGLLGCIKLLVQSGANVHARDATGFKPIDYCRLWNHRTCARFLK DVMWKHDKKVLAQEMEKLRTLKEKLTILEYHYLVEYQKEHQILREAHFRKWLQNKVLAQT LGSADSKQKAGVQPWSLASNTLRCPITESLHYPSVEAQLKNLPSPVVPPKPIYKQTTISR PKLWNYSANPARSPITNIGHPQNIRLGVHPEPYKEHDFRRFLEVTRNKHGGACL >ENSMUSP00000119791.1 pep:known chromosome:GRCm38:5:24393663:24400222:1 gene:ENSMUSG00000028973.18 transcript:ENSMUST00000138168.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb8 description:ATP-binding cassette, sub-family B (MDR/TAP), member 8 [Source:MGI Symbol;Acc:MGI:1351667] MLVHLFRFGIRGGPVPGWSLQSLRFQTFSAARSSDDRLSSHLLRTVAQLRVQLRAHLPRA PPASHWSPSAWCWVGGTLVVPAVLWQHPRLCLIALCEAKESPPAQPTRAPELRFNWKLFW HFLHPHLLALGAAIV >ENSMUSP00000110729.1 pep:known chromosome:GRCm38:5:24393676:24410054:1 gene:ENSMUSG00000028973.18 transcript:ENSMUST00000115077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb8 description:ATP-binding cassette, sub-family B (MDR/TAP), member 8 [Source:MGI Symbol;Acc:MGI:1351667] MLVHLFRFGIRGGPVPGWSLQSLRFQTFSAARSSDDRLSSHLLRTVAQLRVQLRAHLPRA PPASHWSPSAWCWVGGTLVVPAVLWQHPRLCLIALCEAKESPPAQPTRAPELRFNWKLFW HFLHPHLLALGAAIVLALGAALVNVQIPLLLGQLVEIVAKYTRDHMGSFVSESRKLSVQL LLLYGVQGLLTFGYLVLLSHIGERMAMDMRKALFSSLLRQDIAFFDAKKTGQLVSRLTTD VQEFKSSFKLVISQGLRSCTQVIGSLVSLSMLSPRLTLMLAVVTPALMGVGTLMGSGLRK LSRQCQEQIARATGVADEALGNVRTVRAFAMEKREEERYQAELESCCCKAEELGRGIALF QGLSNIAFNCMVLGTLFIGGSLVAGQQLKGGDLMSFLVASQTVQRSMASLSVLFGQVVRG LSAGARVFEYMALSPVIPLTGGYCIPNKDIRGSITFQNVTFSYPCRPGFNVLKDFTLKLP SGKIVALVGQSGGGKTTVASLLERFYDPEAGSVTLDGHDLRTLNPSWLRGQVIGFISQEP VLFATTIMENIRFGKLDASDEEVYTAAREANAHEFISSFPDGYSTVVGERGTTLSGGQKQ RLAIARALIKQPTVLILDEATSALDAESERVVQEALDRASAGRTVLVIAHRLSTVRAAHS IIVMANGQVCEAGTHEELLKKGGLYSELIRRQTLDASLTSTPPAEKPEDPKSCQSKA >ENSMUSP00000143193.1 pep:known chromosome:GRCm38:5:24400598:24409947:1 gene:ENSMUSG00000028973.18 transcript:ENSMUST00000198166.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb8 description:ATP-binding cassette, sub-family B (MDR/TAP), member 8 [Source:MGI Symbol;Acc:MGI:1351667] XYTRDHMGSFVSESRKLSVQLLLLYGVQVTARHQSEAGALLGTEPLEGQCAGVWPALLPP >ENSMUSP00000114767.1 pep:known chromosome:GRCm38:5:24406408:24409924:1 gene:ENSMUSG00000028973.18 transcript:ENSMUST00000151535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb8 description:ATP-binding cassette, sub-family B (MDR/TAP), member 8 [Source:MGI Symbol;Acc:MGI:1351667] XRPGFNVLKDFTLKLPSGKIVALVGQSGGGKTTVASLLERFYDPEAGSVTLDGHDLRTLN PSWLRGQVIGFISQEPVLFATTIMENIRFGKLDASDEEVYTAAREANAHEFISSFPDGYS TVVGWDPRRTP >ENSMUSP00000072826.5 pep:known chromosome:GRCm38:5:24394155:24409945:1 gene:ENSMUSG00000028973.18 transcript:ENSMUST00000073076.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb8 description:ATP-binding cassette, sub-family B (MDR/TAP), member 8 [Source:MGI Symbol;Acc:MGI:1351667] MLVHLFRFGIRGGPVPGWSLQSLRFQTFSAARSSDDRLSSHLLRTVAQLRVQLRAHLPRA PPASHWSPSAWCWVGGTLVVPAVLWQHPRLCLIALCEAKESPPAQPTRAPELRFNWKLFW HFLHPHLLALGAAIVLALGAALVNVQIPLLLGQLVEIVAKYTRDHMGSFVSESRKLSVQL LLLYGVQGLLTFGYLVLLSHIGERMAMDMRKALFSSLLRQDIAFFDAKKTGQLVSRLTTD VQEFKSSFKLVISQGLRSCTQVIGSLVSLSMLSPRLTLMLAVVTPALMGVGTLMGSGLRK LSRQCQEQIARATGVADEALGNVRTVRAFAMEKREEERYQAELESCCCKAEELGRGIALF QGLSNIAFNCMVLGTLFIGGSLVAGQQLKGGDLMSFLVASQTVQRSMASLSVLFGQVVRG LSAGARVFEYMALSPVIPLTGGYCIPNKDIRGSITFQNVTFSYPCRPGFNVLKDFTLKLP SGKIVALVGQSGGGKTTVASLLERFYDPEAGSVTLDGHDLRTLNPSWLRGQVIGFISQEP VLFATTIMENIRFGKLDASDEEVYTAAREANAHEFISSFPDGYSTVVGERGTTLSGGQKQ RLAIARALIKQPTVLILDEATSALDAESERVVQEALDRASAGRTVLVIAHRLSTVRAAHS IIVMANGQVCEAGTHEELLKKGGLYSELIRRQTLDASLTSTPPAEKPEDPKSCQSKA >ENSMUSP00000053711.5 pep:known chromosome:GRCm38:11:99643106:99644089:-1 gene:ENSMUSG00000045109.6 transcript:ENSMUST00000055121.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap4-7 description:keratin associated protein 4-7 [Source:MGI Symbol;Acc:MGI:1923694] MVSSCCGSVCSEEGCSQGCCQPSCCVSSCCRPQCCQSVCCQPTCCRPSCCISSCCRPSCC RPSCCRPSCCVSSCCRPQCCQSACCQPTCCRPSCCRPSCCISSCCQPSCGGSSCCGSSCC RPCCRPCCRPCCCLRPVCGQVCCQTTCYRPTCVISTCPRPMCCATPCC >ENSMUSP00000038282.6 pep:known chromosome:GRCm38:17:78885066:78906899:-1 gene:ENSMUSG00000038045.14 transcript:ENSMUST00000042683.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult6b1 description:sulfotransferase family, cytosolic, 6B, member 1 [Source:MGI Symbol;Acc:MGI:1920921] MCTQETFRALDAFEARSDDVLLASYPKCGSNWILHIVSELIFAVSKKKYACPEFPVLECG DAEKYQRMKLFPSPRILTTHLHYDKLPQSIFKNKAKILVIFRNPKDTAVSFFHFHNDVPD IPSYASWDEFFRQFIKGQVSWGSYFDFAINWNKHIDDENVKFILYEDLKENLVVGIKQIS EFLGFSLTDEQIETISTQSTFLAMRANSQETHGAIGPFLFRKGEVGDWKRLFNETQNQEM DERFKECLAGTSLGDKLKYEAYCLA >ENSMUSP00000124309.1 pep:known chromosome:GRCm38:17:78885066:78906899:-1 gene:ENSMUSG00000038045.14 transcript:ENSMUST00000159710.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sult6b1 description:sulfotransferase family, cytosolic, 6B, member 1 [Source:MGI Symbol;Acc:MGI:1920921] MCTQETFRALDAFEARSDDVLLASYPKFKLDSPYCQ >ENSMUSP00000132823.1 pep:known chromosome:GRCm38:17:78883938:78906992:-1 gene:ENSMUSG00000038045.14 transcript:ENSMUST00000169544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult6b1 description:sulfotransferase family, cytosolic, 6B, member 1 [Source:MGI Symbol;Acc:MGI:1920921] MADKSKFIDYIDDALEKSKETTLSQLFLTYQGIPYPVTMCTQETFRALDAFEARSDDVLL ASYPKCGSNWILHIVSELIFAVSKKKYACPEFPVLECGDAEKYQRMKLFPSPRILTTHLH YDKLPQSIFKNKAKILVIFRNPKDTAVSFFHFHNDVPDIPSYASWDEFFRQFIKGQVSWG SYFDFAINWNKHIDDENVKFILYEDLKENLVVGIKQISEFLGFSLTDEQIETISTQSTFL AMRANSQETHGAIGPFLFRKGEVGDWKRLFNETQNQEMDERFKECLAGTSLGDKLKYEAY CLA >ENSMUSP00000055308.5 pep:known chromosome:GRCm38:3:52268336:52353221:1 gene:ENSMUSG00000044167.6 transcript:ENSMUST00000053764.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxo1 description:forkhead box O1 [Source:MGI Symbol;Acc:MGI:1890077] MAEAPQVVETDPDFEPLPRQRSCTWPLPRPEFNQSNSTTSSPAPSGGAAANPDAAASLAS ASAVSTDFMSNLSLLEESEDFARAPGCVAVAAAAAASRGLCGDFQGPEAGCVHPAPPQPP PTGPLSQPPPVPPSAAAAAGPLAGQPRKTSSSRRNAWGNLSYADLITKAIESSAEKRLTL SQIYEWMVKSVPYFKDKGDSNSSAGWKNSIRHNLSLHSKFIRVQNEGTGKSSWWMLNPEG GKSGKSPRRRAASMDNNSKFAKSRGRAAKKKASLQSGQEGPGDSPGSQFSKWPASPGSHS NDDFDNWSTFRPRTSSNASTISGRLSPIMTEQDDLGDGDVHSLVYPPSAAKMASTLPSLS EISNPENMENLLDNLNLLSSPTSLTVSTQSSPGSMMQQTPCYSFAPPNTSLNSPSPNYSK YTYGQSSMSPLPQMPMQTLQDSKSSYGGLNQYNCAPGLLKELLTSDSPPHNDIMSPVDPG VAQPNSRVLGQNVMMGPNSVMPAYGSQASHNKMMNPSSHTHPGHAQQTASVNGRTLPHVV NTMPHTSAMNRLTPVKTPLQVPLSHPMQMSALGSYSSVSSCNGYGRMGVLHQEKLPSDLD GMFIERLDCDMESIIRNDLMDGDTLDFNFDNVLPNQSFPHSVKTTTHSWVSG >ENSMUSP00000038611.4 pep:known chromosome:GRCm38:9:105162156:105395524:-1 gene:ENSMUSG00000035032.11 transcript:ENSMUST00000038648.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek11 description:NIMA (never in mitosis gene a)-related expressed kinase 11 [Source:MGI Symbol;Acc:MGI:2442276] MLKFQETAKCVGRRPTVIPMYPTALIARRYVLQQKLGSGSFGTVYLVSDKKAKPGEELKV LKEISVGELNPNETVQANVEAQLLSRLHHPAIVRFHASFMEQETFCIITEYCEGRDLDYR IQEYKEAGKVFAENQIVEWFIQLLLGVDYMHERRILHRDLKSKNIFLKNNLLKIGDFGVS RLLMGSCELATTLTGTPHYMSPEALKHQGYDAKSDIWSLACILYEMCCLDHAFAGSSFLS VVLNIVEGKTPSLPDRYPRELNTIMERMLNKSPSLRPSAADILKAPYMEEQLQLLMCKYP EMTLEDKNSVCQKEAAHTINAVQKKLHLQTLQALSDTQKTTPRERMWLRKLQAADERARR LKKIAEENYKENDKRMQALRSRNVGSVHAHVLHELDERTLESLPEPQSLPCLDLDELEPS LEDTIVDLGHYEIPEDPLVAEQYYSDVFDSCSEDSEEQEEEMIFSEAGGDTKEEESPSVY RTNQQDSDTAALVGCLEHVLGYTSLDTKTITNAVTDMSPGPMVFNSAVARTKMKRMKESA VQKLGMETFEEVYDYLKRARHQNAREAEIWEHLETVVPRASDCFEVDQLLYFEELLLTME GKEPSLQNLPCEAAQKKPVKGTHFCDNP >ENSMUSP00000135837.1 pep:known chromosome:GRCm38:9:105162974:105393021:-1 gene:ENSMUSG00000035032.11 transcript:ENSMUST00000177029.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nek11 description:NIMA (never in mitosis gene a)-related expressed kinase 11 [Source:MGI Symbol;Acc:MGI:2442276] MLKFQETAKCVGRRPTVIPMYPTALIARRYVLQQKLGSGSFGTVYLVSDKKAKPGEELAE IWTIESRNIKKLGKSSLKIR >ENSMUSP00000116761.1 pep:known chromosome:GRCm38:9:105243587:105395287:-1 gene:ENSMUSG00000035032.11 transcript:ENSMUST00000156256.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nek11 description:NIMA (never in mitosis gene a)-related expressed kinase 11 [Source:MGI Symbol;Acc:MGI:2442276] MLKFQETAKCVGRRPTVIPMYPTALIARRYVLQQKLGSGSFGTVYLVSDKKAKPGEELKV LKEISVGELNPNETVQANVEAQLLSRLHHPAIVRFHASFMEQETFCIITEYCEGRDLDYR IQEYKEAGKVFAENQIVEWFIQLLLGVDYMHERRILHRDLKSKNIFLKNNLLKIGHWHAF YMRCVAWIMHLLAPVSCLWF >ENSMUSP00000116864.1 pep:known chromosome:GRCm38:9:105295374:105395258:-1 gene:ENSMUSG00000035032.11 transcript:ENSMUST00000140851.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek11 description:NIMA (never in mitosis gene a)-related expressed kinase 11 [Source:MGI Symbol;Acc:MGI:2442276] MLKFQETAKCVGRRPTVIPMYPTALIARRYVLQQKLGSGSFGTVYLVSDKKAKPGEELKV LKEISVGELNPNETVQANVEAQLLSRLHHPAIVRFHASFMEQETFCIITEYCEGRDLDYR IQEYKEAGKVFAENQIVEWFIQLLLGVDYMHERRILHRDLKSKNIFLKNNLLKIGDFGVS RLLMGSCELATTLTGTPHYMSPEALKHQGYDAKSDIWSLACILYEMCCLDHAFAGSSFLS VVLNIVEGKTPSLPDRYPRELNTIMERMLNKSPSLRPSAADILKAPYMEEQLQLLMCKYP EMTLEDKNSVCQKEAAHTINAVQKKLHLQTLQALSDTQKTTPRERMWLRKLQAADERARR L >ENSMUSP00000034189.8 pep:known chromosome:GRCm38:8:93099015:93131271:-1 gene:ENSMUSG00000057400.12 transcript:ENSMUST00000034189.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces1c description:carboxylesterase 1C [Source:MGI Symbol;Acc:MGI:95420] MWLHALVWASLAVCPILGHSLLPPVVDTTQGKVLGKYISLEGFEQPVAVFLGVPFAKPPL GSLRFAPPQPAEPWSFVKNATSYPPMCSQDAGWAKILSDMFSTEKEILPLKISEDCLYLN IYSPADLTKSSQLPVMVWIHGGGLVIGGASPYNGLALSAHENVVVVTIQYRLGIWGLFST GDEHSPGNWAHLDQLAALRWVQDNIANFGGNPDSVTIFGESSGGISVSVLVLSPLGKDLF HRAISESGVVINTNVGKKNIQAVNEIIATLSQCNDTSSAAMVQCLRQKTESELLEISGKL VQYNISLSTMIDGVVLPKAPEEILAEKSFNTVPYIVGFNKQEFGWIIPMMLQNLLPEGKM NEETASLLLRRFHSELNISESMIPAVIEQYLRGVDDPAKKSELILDMFGDIFFGIPAVLL SRSLRDAGVSTYMYEFRYRPSFVSDKRPQTVEGDHGDEIFFVFGAPLLKEGASEEETNLS KMVMKFWANFARNGNPNGEGLPHWPEYDEQEGYLQIGATTQQAQRLKAEEVAFWTELLAK NPPETDPTEHTEHK >ENSMUSP00000123146.1 pep:known chromosome:GRCm38:8:93108511:93124529:-1 gene:ENSMUSG00000057400.12 transcript:ENSMUST00000134161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces1c description:carboxylesterase 1C [Source:MGI Symbol;Acc:MGI:95420] ALSAHENVVVVTIQYRLGIWGLFSTGDEHSPGNWAHLDQLAALRWVQDNIANFGGNPDSV TIFGESSGGISVSVLLPLSWADDPVLSPLGKDLFHRAISESGVVINTNVGKKNIQAVNEI IATLSQCNDTSSAAMVQCLRQKTESELLEISGKLVQYNISLSTMIDGVVLPKAPEEI >ENSMUSP00000027053.7 pep:known chromosome:GRCm38:1:16105774:16133734:1 gene:ENSMUSG00000025921.7 transcript:ENSMUST00000027053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh10 description:retinol dehydrogenase 10 (all-trans) [Source:MGI Symbol;Acc:MGI:1924238] MNIVVEFFVVTFKVLWAFVLAAARWLVRPKEKSVAGQVCLITGAGSGLGRLFALEFARRR ALLVLWDINTQSNEETAGMVRHIYRDLEAADAAALQAGKGEEEILPPCNLQVFTYTCDVG KRENVYLTAERVRKEVGEVSVLVNNAGVVSGHHLLECPDELIERTMMVNCHAHFWTTKAF LPTMLEINHGHIVTVASSLGLFSTAGVEDYCASKFGVVGFHESLSHELKAAEKDGIKTTL VCPYLVDTGMFRGCRIRKEIEPFLPPLKPDYCVKQAMRAILTDQPMVCTPRLMYIVTFMK SILPFEAVVCMYRFLGADKCMYPFIAQRKQATNNNEAKNGI >ENSMUSP00000113576.1 pep:known chromosome:GRCm38:10:81252935:81262985:1 gene:ENSMUSG00000004933.17 transcript:ENSMUST00000119547.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matk description:megakaryocyte-associated tyrosine kinase [Source:MGI Symbol;Acc:MGI:99259] MPTRWAPGTQCMTKCENSRPKPGELAFRKGDMVTILEACEDKSWYRAKHHGSGQEGLLAA AALRQREALSTDPKLSLMPWFHGKISGQEAIQQLQPPEDGLFLVRESARHPGDYVLCVSF GRDVIHYRVLHRDGHLTIDEAVCFCNLMDMVEHYTKDKGAICTKLVKPRRKQGAKSAEEE LAKAGWLLDLQHLTLGAQIGEGEFGAVLQGEYLGQKVAVKNIKCDVTAQAFLDETAVMTK LQHRNLVRLLGVILHHGLYIVMEHVSKGNLVNFLRTRGRALVSTSQLLQFALHVAEGMEY LESKKLVHRDLAARNILVSEDLVAKVSDFGLAKAERKGLDSSRLPVKWTAPEALKNGRFS SKSDVWSFGVLLWEVFSYGRAPYPKMSLKEVSEAVEKGYRMEPPDGCPGSVHTLMGSCWE AEPARRPPFRKIVEKLGRELRSVGVSAPAGGQEAEGSAPTRSQDP >ENSMUSP00000113221.1 pep:known chromosome:GRCm38:10:81257299:81262981:1 gene:ENSMUSG00000004933.17 transcript:ENSMUST00000117488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matk description:megakaryocyte-associated tyrosine kinase [Source:MGI Symbol;Acc:MGI:99259] MARRSSRVSWLAFEGWESRDLPRVSPRLFGAWHPAPAAARMPTRWAPGTQCMTKCENSRP KPGELAFRKGDMVTILEACEDKSWYRAKHHGSGQEGLLAAAALRQREALSTDPKLSLMPW FHGKISGQEAIQQLQPPEDGLFLVRESARHPGDYVLCVSFGRDVIHYRVLHRDGHLTIDE AVCFCNLMDMVEHYTKDKGAICTKLVKPRRKQGAKSAEEELAKAGWLLDLQHLTLGAQIG EGEFGAVLQGEYLGQKVAVKNIKCDVTAQAFLDETAVMTKLQHRNLVRLLGVILHHGLYI VMEHVSKGNLVNFLRTRGRALVSTSQLLQFALHVAEGMEYLESKKLVHRDLAARNILVSE DLVAKVSDFGLAKAERKGLDSSRLPVKWTAPEALKNGRFSSKSDVWSFGVLLWEVFSYGR APYPKMSLKEVSEAVEKGYRMEPPDGCPGSVHTLMGSCWEAEPARRPPFRKIVEKLGREL RSVGVSAPAGGQEAEGSAPTRSQDP >ENSMUSP00000100965.3 pep:known chromosome:GRCm38:10:81257299:81263365:1 gene:ENSMUSG00000004933.17 transcript:ENSMUST00000105328.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matk description:megakaryocyte-associated tyrosine kinase [Source:MGI Symbol;Acc:MGI:99259] MPTRWAPGTQCMTKCENSRPKPGELAFRKGDMVTILEACEDKSWYRAKHHGSGQEGLLAA AALRQREALSTDPKLSLMPWFHGKISGQEAIQQLQPPEDGLFLVRESARHPGDYVLCVSF GRDVIHYRVLHRDGHLTIDEAVCFCNLMDMVEHYTKDKGAICTKLVKPRRKQGAKSAEEE LAKAGWLLDLQHLTLGAQIGEGEFGAVLQGEYLGQKVAVKNIKCDVTAQAFLDETAVMTK LQHRNLVRLLGVILHHGLYIVMEHVSKGNLVNFLRTRGRALVSTSQLLQFALHVAEGMEY LESKKLVHRDLAARNILVSEDLVAKVSDFGLAKAERKGLDSSRLPVKWTAPEALKNGRFS SKSDVWSFGVLLWEVFSYGRAPYPKMSLKEVSEAVEKGYRMEPPDGCPGSVHTLMGSCWE AEPARRPPFRKIVEKLGRELRSVGVSAPAGGQEAEGSAPTRSQDP >ENSMUSP00000122445.1 pep:known chromosome:GRCm38:10:81257299:81263365:1 gene:ENSMUSG00000004933.17 transcript:ENSMUST00000128576.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Matk description:megakaryocyte-associated tyrosine kinase [Source:MGI Symbol;Acc:MGI:99259] MPTQRWAPGTQCMTKCENSRPKPGELAFRKGDMVTILEACEDKSWYRAKHHGSGQEGLLA AAALRQREALSTDPKLSLMPWFHGKISGQEAIQQLQPPEDGLFLVRESARHPGDYVLCVS FGRDVIHYRVLHRDGHLTIDEAVCFCNLMDMVELAGYSTCSI >ENSMUSP00000113043.1 pep:known chromosome:GRCm38:10:81257305:81262981:1 gene:ENSMUSG00000004933.17 transcript:ENSMUST00000121205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matk description:megakaryocyte-associated tyrosine kinase [Source:MGI Symbol;Acc:MGI:99259] MPTQRWAPGTQCMTKCENSRPKPGELAFRKGDMVTILEACEDKSWYRAKHHGSGQEGLLA AAALRQREALSTDPKLSLMPWFHGKISGQEAIQQLQPPEDGLFLVRESARHPGDYVLCVS FGRDVIHYRVLHRDGHLTIDEAVCFCNLMDMVEHYTKDKGAICTKLVKPRRKQGAKSAEE ELAKAGWLLDLQHLTLGAQIGEGEFGAVLQGEYLGQKVAVKNIKCDVTAQAFLDETAVMT KLQHRNLVRLLGVILHHGLYIVMEHVSKGNLVNFLRTRGRALVSTSQLLQFALHVAEGME YLESKKLVHRDLAARNILVSEDLVAKVSDFGLAKAERKGLDSSRLPVKWTAPEALKNGRF SSKSDVWSFGVLLWEVFSYGRAPYPKMSLKEVSEAVEKGYRMEPPDGCPGSVHTLMGSCW EAEPARRPPFRKIVEKLGRELRSVGVSAPAGGQEAEGSAPTRSQDP >ENSMUSP00000114233.1 pep:known chromosome:GRCm38:10:81257830:81259653:1 gene:ENSMUSG00000004933.17 transcript:ENSMUST00000130282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matk description:megakaryocyte-associated tyrosine kinase [Source:MGI Symbol;Acc:MGI:99259] MPTRWAPGTQCMTKCENSRPKPGELAFRKGDMVTILEACEDKSWYRAKHHGSGQEGLLAA AALRQREALSTDPKLSLMPWFHGKISGQEAIQQLQPPEDGL >ENSMUSP00000113666.1 pep:known chromosome:GRCm38:10:81257918:81262975:1 gene:ENSMUSG00000004933.17 transcript:ENSMUST00000120265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matk description:megakaryocyte-associated tyrosine kinase [Source:MGI Symbol;Acc:MGI:99259] MPTQRWAPGTQCMTKCENSRPKPGELAFRKGDMVTILEACEDKSWYRAKHHGSGQEGLLA AAALRQREALSTDPKLSLMPWFHGKISGQEAIQQLQPPEDGLFLVRESARHPGDYVLCVS FGRDVIHYRVLHRDGHLTIDEAVCFCNLMDMVEHYTKDKGAICTKLVKPRRKQGAKSAEE ELAKAGWLLDLQHLTLGAQIGEGEFGAVLQGEYLGQKVAVKNIKCDVTAQAFLDETAVMT KLQHRNLVRLLGVILHHGLYIVMEHVSKGNLVNFLRTRGRALVSTSQLLQFALHVAEGME YLESKKLVHRDLAARNILVSEDLVAKVSDFGLAKAERKGLDSSRLPVKWTAPEALKNGRF SSKSDVWSFGVLLWEVFSYGRAPYPKMSLKEVSEAVEKGYRMEPPDGCPGSVHTLMGSCW EAEPARRPPFRKIVEKLGRELRSVGVSAPAGGQEAEGSAPTRSQDP >ENSMUSP00000076778.1 pep:known chromosome:GRCm38:2:87833041:87833985:1 gene:ENSMUSG00000061875.1 transcript:ENSMUST00000077580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1148 description:olfactory receptor 1148 [Source:MGI Symbol;Acc:MGI:3030982] MNHEELESINNVSTVIQFVLIGFSDLPNLQGFLFAVFSVVYIIILIGNFLIIIIISTDQA LQKPMYFFLANFSSLEICYVSVTVPRILFNIGTQNRSISLMSCATQLCFFLVFGTTECLL LAVMSYDRYVAICNPLHYPLVMNPTKCTQLAAVSWLGGIPVQIGQTCQIFSMNFCNSYKI NHFFCDIPPILTLACGNTSVHELSVYVVVMVVAAFPFILVLTSYSKIIATILRLPTAKGR GKAFSTCSSHLLVVLLFYGSATVTYLRPKSMHSPGTDKLLSLFYTIVTPMFNPLIYSLRN KEVIAALRKLILKK >ENSMUSP00000019701.8 pep:known chromosome:GRCm38:X:73639419:73643514:1 gene:ENSMUSG00000031383.8 transcript:ENSMUST00000019701.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp9 description:dual specificity phosphatase 9 [Source:MGI Symbol;Acc:MGI:2387107] MESLSRSCLWLRQELSSPRPQLLLLDCRSRELYESARICGALSVALPSLMLRRLRRGSMS VRSLLPGPPLQPPPPAPVLLYDQGSSGQCHREAAEAEAKAEPKAEAEAEPKAEAEAEPKA EAENEAEAKAEAKAEAKAEAKAEAKAEAKAEAKAEAKAEAKAEAKEEWDADSVLGVLLQK LREEGYPAYYLQGGFSKFQAECPHLCETSFSGRAGSGLGSMSSPVPVVGLGGLCLSSDFS DAESEADRDTLSCGLDSENTTSPPAGLLPPFPVQILPNLYLGSARDSANLESLAKLGIRY ILNVTPNLPNLFEKNGDFHYKQIPISDHWSQNLSQFFPEAIAFIDEALSQNCGVLVHCLA GVSRSVTVTVAYLMQKLHLSLNDAYDLVKRKKSNISPNFNFMGQLLDFERSLRLGGKRSG GRGSGGPESTVSDPPSFFTTPTSDGVFELDPT >ENSMUSP00000029630.9 pep:known chromosome:GRCm38:3:83026076:83032263:1 gene:ENSMUSG00000028001.16 transcript:ENSMUST00000029630.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fga description:fibrinogen alpha chain [Source:MGI Symbol;Acc:MGI:1316726] MLSLRVTCLILSVASTVWTTDTEDKGEFLSEGGGVRGPRVVERHQSQCKDSDWPFCSDDD WNHKCPSGCRMKGLIDEANQDFTNRINKLKNSLFDFQRNNKDSNSLTRNIMEYLRGDFAN ANNFDNTYGQVSEDLRRRIEILRRKVIEKAQQIQALQSNVRAQLIDMKRLEVDIDIKIRS CKGSCSRAVNREINLQDYEGHQKQLQQVIAKELLPTKDRQYLPALKMSPVPDLVPGSFKS QLQEAPPEWKALTEMRQMRMELERPGKDGGSRGDSPGDSRGDSRGDFATRGPGSKAENPT NPGPGGSGYWRPGNSGSGSDGNRNPGTTGSDGTGDWGTGSPRPGSDSGNFRPANPNWGVF SEFGDSSSPATRKEYHTGKAVTSKGDKELLIGKEKVTSSGTSTTHRSCSKTITKTVTGPD GRREVVKEVITSDDGSDCGDATELDISHSFSGSLDELSERHPDLSGFFDNHFGLISPNFK EFGSKTHSDSDILTNIEDPSSHVPEFSSSSKTSTVKKQVTKTYKMADEAGSEAHREGETR NTKRGRARARPTRGIDT >ENSMUSP00000133117.1 pep:known chromosome:GRCm38:3:83026215:83033627:1 gene:ENSMUSG00000028001.16 transcript:ENSMUST00000166581.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fga description:fibrinogen alpha chain [Source:MGI Symbol;Acc:MGI:1316726] MLSLRVTCLILSVASTVWTTDTEDKGEFLSEGGGVRGPRVVERHQSQCKDSDWPFCSDDD WNHKCPSGCRMKGLIDEANQDFTNRINKLKNSLFDFQRNNKDSNSLTRNIMEYLRGDFAN ANNFDNTYGQVSEDLRRRIEILRRKVIEKAQQIQALQSNVRAQLIDMKRLEVDIDIKIRS CKGSCSRAVNREINLQDYEGHQKQLQQVIAKELLPTKDRQYLPALKMSPVPDLVPGSFKS QLQEAPPEWKALTEMRQMRMELERPGKDGGSRGDSPGDSRGDSRGDFATRGPGSKAENPT NPGPGGSGYWRPGNSGSGSDGNRNPGTTGSDGTGDWGTGSPRPGSDSGNFRPANPNWGVF SEFGDSSSPATRKEYHTGKAVTSKGDKELLIGKEKVTSSGTSTTHRSCSKTITKTVTGPD GRREVVKEVITSDDGSDCGDATELDISHSFSGSLDELSERHPDLSGFFDNHFGLISPNFK EFGSKTHSDSDILTNIEDPSSHVPEFSSSSKTSTVKKQVTKTYKMADEAGSEAHREGETR NTKRGRARARPTRDCDDVLQTQTSGAQNGIFSIKPPGSSKVFSVYCDQETSLGGWLLIQQ RMDGSLNFNRTWQDYKRGFGSLNDKGEGEFWLGNDYLHLLTLRGSVLRVELEDWAGKEAY AEYHFRVGSEAEGYALQVSSYRGTAGDALVQGSVEEGTEYTSHSNMQFSTFDRDADQWEE NCAEVYGGGWWYNSCQAANLNGIYYPGGTYDPRNNSPYEIENGVVWVPFRGADYSLRAVR MKIRPLVGQ >ENSMUSP00000023359.6 pep:known chromosome:GRCm38:16:14163275:14192928:1 gene:ENSMUSG00000022678.16 transcript:ENSMUST00000023359.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nde1 description:nuclear distribution gene E homolog 1 (A nidulans) [Source:MGI Symbol;Acc:MGI:1914453] MEDSGKTFESEEEETNYWRDLAMTYKQRAENTQEELREFQEGSREYEAELEAQLQQIETR NRDLLSENNRLRMELESVKEKFEMQHSEGYRQISALEDDLAQTKAIKDQLQKYIRELEQA NDDLERAKRATIMSLEDFEQRLNQAIERNAFLESELDEKENLLESVQRLKDEARDLRQEL AVQQKQDKPRTPMPGSGQAKRTDMAVQATGSVPSTPVAHRGPSSGLNTPGMFRRGLDSST SGTPLTPAARISALNIVGDLLRKVGALESKLASCRNFMYDQSPSRTSGPASGRGTKNRDG VDRRPGSTSVGDKGSGKRLEFGKPASEPASPALPSAQGVVKLLL >ENSMUSP00000118005.1 pep:known chromosome:GRCm38:16:14163298:14192918:1 gene:ENSMUSG00000022678.16 transcript:ENSMUST00000132316.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nde1 description:nuclear distribution gene E homolog 1 (A nidulans) [Source:MGI Symbol;Acc:MGI:1914453] MEDSGKTFESEEEETNYWRDLAMTYKQRAENTQEELREFQEGSREYEAELEAQLQQIETR NRDLLSENNRLRMELESVKSHNHVPGRL >ENSMUSP00000119355.1 pep:known chromosome:GRCm38:16:14183573:14190520:1 gene:ENSMUSG00000022678.16 transcript:ENSMUST00000149232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nde1 description:nuclear distribution gene E homolog 1 (A nidulans) [Source:MGI Symbol;Acc:MGI:1914453] LLESVQRLKDEARDLRQELAVQQKQDKPRTPMPGSGQAKRTDMAVQATGSVPSTPVAHRG PSSGLNTPGMFRRGLDSSTSGTPLTPAARISALNIVGDLLRKVGALESKLASCRNFMYDQ SPSRTSGPASGRGTKNRDGVDRRPGSTSVGDKGSVPLILSVRTYQAALDQTVAPT >ENSMUSP00000112817.1 pep:known chromosome:GRCm38:16:14163287:14192828:1 gene:ENSMUSG00000022678.16 transcript:ENSMUST00000117958.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nde1 description:nuclear distribution gene E homolog 1 (A nidulans) [Source:MGI Symbol;Acc:MGI:1914453] MEDSGKTFESEEEETNYWRDLAMTYKQRAENTQEELREFQEGSREYEAELEAQLQQIETR NRDLLSENNRLRMELESVKEKFEMQHSEGYRQISALEDDLAQTKAIKDQLQKYIRELEQA NDDLERAKRATIMSLEDFEQRLNQAIERNAFLESELDEKENLLESVQRLKDEARDLRQEL AVQQKQDKPRTPMPGSGQAKRTDMAVQATGSVPSTPVAHRGPSSGLNTPGMFRRGLDSST SGTPLTPAARISALNIVGDLLRKVGALESKLASCRNFMYDQSPSRTSGPASGRGTKNRDG VDRRPGSTSVGDKGSLP >ENSMUSP00000111461.2 pep:known chromosome:GRCm38:16:14163345:14192918:1 gene:ENSMUSG00000022678.16 transcript:ENSMUST00000115795.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nde1 description:nuclear distribution gene E homolog 1 (A nidulans) [Source:MGI Symbol;Acc:MGI:1914453] MEDSGKTFESEEEETNYWRDLAMTYKQRAENTQEELREFQEGSREYEAELEAQLQQIETR NRDLLSENNRLRMELESVKEKFEMQHSEGYRQISALEDDLAQTKAIKDQLQKYIRELEQA NDDLERAKRATIMSLEDFEQRLNQAIERNAFLESELDEKENLLESVQRLKDEARDLRQEL AVQQKQDKPRTPMPGSGQAKRTDMAVQATGSVPSTPVAHRGPSSGLNTPGMFRRGLDSST SGTPLTPAARISALNIVGDLLRKVGALESKLASCRNFMYDQSPSRTSGPASGRGTKNRDG VDRRPGSTSVGDKGREN >ENSMUSP00000123110.1 pep:known chromosome:GRCm38:7:28863853:28865696:1 gene:ENSMUSG00000053522.11 transcript:ENSMUST00000138272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals7 description:lectin, galactose binding, soluble 7 [Source:MGI Symbol;Acc:MGI:1316742] MSATQHKTSLPQGVRVGTVMRIRGMVPDQAGRFHVNLLCGEEQGADAALHFNPRLDTSEV VFNTKEQGKWGREERGTGIPFERGQP >ENSMUSP00000080179.4 pep:known chromosome:GRCm38:7:28864229:28866284:1 gene:ENSMUSG00000053522.11 transcript:ENSMUST00000081457.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals7 description:lectin, galactose binding, soluble 7 [Source:MGI Symbol;Acc:MGI:1316742] MSATQHKTSLPQGVRVGTVMRIRGMVPDQAGRFHVNLLCGEEQGADAALHFNPRLDTSEV VFNTKEQGKWGREERGTGIPFERGQPFEVLLIATEEGFKAVVGDDEYLHFHHRMPPARVR LVEVGGDVQLHSVKIF >ENSMUSP00000046012.7 pep:known chromosome:GRCm38:X:48025146:48034853:-1 gene:ENSMUSG00000037010.7 transcript:ENSMUST00000039026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apln description:apelin [Source:MGI Symbol;Acc:MGI:1353624] MNLRLCVQALLLLWLSLTAVCGVPLMLPPDGTGLEEGSMRYLVKPRTSRTGPGAWQGGRR KFRRQRPRLSHKGPMPF >ENSMUSP00000144644.1 pep:known chromosome:GRCm38:5:31202664:31220565:-1 gene:ENSMUSG00000029147.10 transcript:ENSMUST00000202294.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1g description:protein phosphatase 1G (formerly 2C), magnesium-dependent, gamma isoform [Source:MGI Symbol;Acc:MGI:106065] MGAYLSQPNTVKCSGDGVGAPRLPLPYGFSAMQGWRVSMEDAHNCIPELDNETAMFSVYD GHGGEEVALYCAKYLPDIIKDQKAYKEGKLQKALQDAFLAIDAKLTTEEVIKELAQIAGR PTEDEDDKDKVADEDDASDKLPRVAKSKFFEDSEDESDEVEEEEDDSEECSEDEDGYSSE EAENEEDEDDTEEAEEDDDEEMMVPGMEGKEEPGSDSGTTAVVALIRGKQLIVANAGDSR CVVSEAGKALDMSYDHKPEDEVELARIKNAGGKVTMDGRVNGGLNLSRAIGDHFYKRNKN LPPQEQMISALPDIKVLTLTDDHEFMVIACDGIWNVMSSQEVVDFIQSKISQRDENGELR LLSSIVEELLDQCLAPDTSGDGTGCDNMTCIIICFKPRNTVELQAESGKRKLEEALSTEG AEDTGNSDKKKAKRD >ENSMUSP00000031032.7 pep:known chromosome:GRCm38:5:31202668:31220687:-1 gene:ENSMUSG00000029147.10 transcript:ENSMUST00000031032.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1g description:protein phosphatase 1G (formerly 2C), magnesium-dependent, gamma isoform [Source:MGI Symbol;Acc:MGI:106065] MGAYLSQPNTVKCSGDGVGAPRLPLPYGFSAMQGWRVSMEDAHNCIPELDNETAMFSVYD GHGGEEVALYCAKYLPDIIKDQKAYKEGKLQKALQDAFLAIDAKLTTEEVIKELAQIAGR PTEDEDDKDKVADEDDVDNEEAALLHEEATMTIEELLTRYGQNCQKVPPHTKSGIGTGDE PGPQGLNGEAGPEDPSRETPSQENGPTAKGHTGFSSNSEHGTEAGQISEPGTATGEAGPS CSSASDKLPRVAKSKFFEDSEDESDEVEEEEDDSEECSEDEDGYSSEEAENEEDEDDTEE AEEDDDEEMMVPGMEGKEEPGSDSGTTAVVALIRGKQLIVANAGDSRCVVSEAGKALDMS YDHKPEDEVELARIKNAGGKVTMDGRVNGGLNLSRAIGDHFYKRNKNLPPQEQMISALPD IKVLTLTDDHEFMVIACDGIWNVMSSQEVVDFIQSKISQRDENGELRLLSSIVEELLDQC LAPDTSGDGTGCDNMTCIIICFKPRNTVELQAESGKRKLEEALSTEGAEDTGNSDKKKAK RD >ENSMUSP00000144094.1 pep:known chromosome:GRCm38:5:31205090:31220304:-1 gene:ENSMUSG00000029147.10 transcript:ENSMUST00000200778.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1g description:protein phosphatase 1G (formerly 2C), magnesium-dependent, gamma isoform [Source:MGI Symbol;Acc:MGI:106065] XDAHNCIPELDNETAMFSVYDGHGGEEVALYCAKYLPDIIKDQKAYKEGKLQKIAGRPTE DEDDKDKVADEDDVDNEEAALLHEEATMTIEELLTRYGQNCQKVPPHTKSGIGTGDEPGP QGLNGEAGPEDPSRETPSQENGPTAKGHTGFSSNSEHGTEAGQISEPGTATGEAGPSCSS ASDKLPRVAKSKFFEDSEDESDEVEEEEDDSEECSEDEDGYSSEE >ENSMUSP00000144556.1 pep:known chromosome:GRCm38:5:31205092:31207665:-1 gene:ENSMUSG00000029147.10 transcript:ENSMUST00000201407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1g description:protein phosphatase 1G (formerly 2C), magnesium-dependent, gamma isoform [Source:MGI Symbol;Acc:MGI:106065] AKLTTEEVIKELAQIAGRPTEDEDDKDKVADEDDVDNEEAALLHEEATMTIEELLTRYGQ NCQKVPPHTKSGIGTGDEPGPQGLNGEAGPEDPSRETPSQENGPTAKGHTGFSSNSEHGT EAGQISEPGTATGEAGPSCSSASDKLPRVAKSKFFEDSEDESDEVEEEEDDSEGMLVEER GHLERNNFLFLPRM >ENSMUSP00000055394.1 pep:known chromosome:GRCm38:2:87857177:87858103:1 gene:ENSMUSG00000047039.3 transcript:ENSMUST00000061081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1151 description:olfactory receptor 1151 [Source:MGI Symbol;Acc:MGI:3030985] MDRGNCSSVDEFIFLGITNNPVKKVALFTTFLVVYLITLLANLGIIILIRMNTQLHTPMY FFLSNLSFCDFCYSTAIGPKMLVDLLAAEKSIPFFGCAVQFLIFCVFADSECLLLAVMAF DRYQAISNPLLYTVNMSSMVCFMLMTGVYLVATTDGLIHTILAFRLCFCGSNEINHFFCD LPPLYLLSCSEIQVNELALFTVFGFIELSTISGVLVSYCYIILSVLKIRSAEGRFKAFST CTSHLTTVAIFQGTMLFMYFRPSSSYSLDQDKMTSLFYTLVIPMLNPLIYSLRNKDVKEA LQRLKMKM >ENSMUSP00000045221.4 pep:known chromosome:GRCm38:6:48986861:48991722:1 gene:ENSMUSG00000039215.5 transcript:ENSMUST00000037696.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svs1 description:seminal vesicle secretory protein 1 [Source:MGI Symbol;Acc:MGI:2682321] MSLVGRTLVLGWMTTILVVHMSVAKHSRWALRTKPQMLWDLSGEELEAVHNFVMSSKELE LQPSTLLTLAKNSVFLIEMLMPKKYEVLAFLDKGAMLPLREARVLIYFGAQEYPNVTEYA VGPVEQPMYMRKLNRKGGQQLSWASRPMSKVESALLLHTLKTATKPLQEFFFDTTGFTLQ DCNGGCLTFTNVGPRAMTFGKRHSWFLLQRIMNDYFLQPTGLEILLDHGSTDVQDWIVEQ VWYNGKFYNSPEELAQKYADGEVDIVVLEDPLPKTTEQFPQFSTYKPYAEFLMPISKGGP RVIQLYPEFPMPISKGGPQKAQPDPKFPIPISKGGPQVAQPYREFPMPISKGGPQVVQPD PKFPIPISKGGPRVPQPHAKFPMPISKGGPRVVQPKAKFPMPISKGGPQIAQPHVPHYRL KHNTVLYGDWNFFFKLHSSYGLQLFNVHFRGERIAYEVGVQEVMALYRGHTAAGRKTKYM DVGWGLGGITHQLTPGIDCPHEATFLDAIHYYDSDGPVLSRRALCIFEMPLRQYFNSNFR SSFSSYAKLSGPMLVLRTASTIHNHDYIWDFIFHSNGMMEGKMYATGYVHATFYSSEGLL YHSRLHTHLLGNVHSHLAHYRIDLDVAGTKNRFQTLKMRLENIMDPWSQQVKPILDKTQY SWERQAAFHFRQTLPKYLLFSNTGKSVSGLSHSYRLHVPSMAEQVLPPGWQTSPAFTWPR YQLAVTKYQESERFHGSLYNQNHHWAYPMVFENFIHNNENIEDEDLVAWVTVGLSHNHHS EIVPSVATPGNSAGFLLQPFDFYNSFRRYTASPTHAQCVC >ENSMUSP00000139603.1 pep:known chromosome:GRCm38:5:94648382:94686353:1 gene:ENSMUSG00000092073.8 transcript:ENSMUST00000190001.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6205 description:predicted gene 6205 [Source:MGI Symbol;Acc:MGI:3779572] MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRQTNLLKAMVAAWPFPCLPV GSLMKKPNLETLQALLDGIDMRLTREFHPRRAKLQVLDMRNMYHAFWNIRADANDSDYKS KTLDKKQLVKVLPRYARRQRLKVIVNLSISSHFNKSKAYFLNWAKQRIGSLYFCCIKMKI WDPPDQVIRDIFNVFDPEHITELELNTDWTLLQLAHFAPYFGHMKNLQKVFLAPLHKSTS PIINITSATEAKCVHKIISQFSQFNCLQHIFMKHVHFLRDYMNQVLGCLMTPLETLSITQ CLISQRDFDLFSCSQNLFKLKHLEIRGMILYALDLMPLRGLLQKVADTLEILDFQWCRM >ENSMUSP00000131590.2 pep:known chromosome:GRCm38:5:94682645:94685026:1 gene:ENSMUSG00000092073.8 transcript:ENSMUST00000165424.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6205 description:predicted gene 6205 [Source:MGI Symbol;Acc:MGI:3779572] MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRQTNLLKAMVAAWPFPCLPV GSLMKKPNLETLQALLDGIDMRLTREFHPRRAKLQVLDMRNMYHAFWNIRADANDSDYKS KTLDKKQLVKVLPRYARRQRLKVIVNLSISSHFNKSKAYFLNWAKQRIGSLYFCCIKMKI WDPPDQVIRDIFNVFDPEHITELELNTDWTLLQLAHFAPYFGHMKNLQKVFLAPLHKSTS PIINITSATEAKCVHKIISQFSQFNCLQHIFMKHVHFLRDYMNQVLGCLMTPLETLSITQ CLISQRDFDLFSCSQNLFKLKHLEIRGMILYALDLMPLRGLLQKVADTLEILDFQWCRM >ENSMUSP00000071896.4 pep:known chromosome:GRCm38:18:42053667:42158960:1 gene:ENSMUSG00000057719.10 transcript:ENSMUST00000072008.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3rf2 description:SH3 domain containing ring finger 2 [Source:MGI Symbol;Acc:MGI:2444628] MDDLTLLDLLECPVCFEKLDVTAKVLPCQHTFCKPCLQRIFKAHKELRCPECRTLVFCSI EALPANLLLVRLLDGVRSGQSSWKGGSFRRPRILTLQDNRKAKSSPRSLQASPFRLVPSV RIHMDGVPRAKALCNYRGKNPGDLKFNKGDVILLRRQLDENWYQGEINGVSGIFPASSVE VIKQLPQPPPLCRALYNFDLRDKDKSENQDCLTFLKDDVITVISRVDENWAEGKLGDKVG IFPILFVEPNVSARHLLENKGHQLSRTRHLSLMSSPSRGKATNTSSLRKSPGSRRKGSGQ FSMTTALNTLNRTVHSPEGHQMVEISTPMLISSNSPSVLTQHGDKADFPTSSTGQVSSSQ PAPASPGHSTAMVSVPSSQQHLSNNMFVALHTYSAHRPEELDLQKGEGIRVLGKYQDGWL KGLSLLTGRTGIFPSDYVIPVFSSTARKTSSFPDSRSPTVCTTWALSTSSVSSQGSFAEG DPRQSGPFKSVFVPTAVVNPSRSTPGPGSSGQGSLRKVRSSMRKNGSLQRPVQSGIPTFM VGSLRCSPTMVIRPQRFQFYPPQGMTPSPTPIMVEMGSKSIYTGEPALTCINRGSKTRIH SAGNSIIMEGKETPIKSEPPPKPPASAPPSILVKPENSKNGTEKQVKTVRFQNYSPPPTK HSASSPTSGKHDHPATLKGSQHEAVSSGGEMTILFAHRSGCHSGQQTDLRRKSAFGKTMP LLSTASATQTLFPSK >ENSMUSP00000074247.3 pep:known chromosome:GRCm38:18:42053710:42158451:1 gene:ENSMUSG00000057719.10 transcript:ENSMUST00000074679.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3rf2 description:SH3 domain containing ring finger 2 [Source:MGI Symbol;Acc:MGI:2444628] MDDLTLLDLLECPVCFEKLDVTAKVLPCQHTFCKPCLQRIFKAHKELRCPECRTLVFCSI EALPANLLLVRLLDGVRSGQSSWKGGSFRRPRILTLQDNRKAKSSPRSLQASPFRLVPSV RIHMDGVPRAKALCNYRGKNPGDLKFNKGDVILLRRQLDENWYQGEINGVSGIFPASSVE VIKQLPQPPPLCRALYNFDLRDKDKSENQDCLTFLKPNVSARHLLENKGHQLSRTRHLSL MSSPSRGKATNTSSLRKSPGSRRKGSGQFSMTTALNTLNRTVHSPEGHQMVEISTPMLIS SNSPSVLTQHGDKADFPTSSTGQVSSSQPAPASPGHSTAMVSVPSSQQHLSNNMFVALHT YSAHRPEELDLQKGEGIRVLGKYQDGWLKGLSLLTGRTGIFPSDYVIPVFSSTARKTSSF PDSRSPTVCTTWALSTSSVSSQGSFAEGDPRQSGPFKSVFVPTAVVNPSRSTPGPGSSGQ GSLRKVRSSMRKNGSLQRPVQSGIPTFMVGSLRCSPTMVIRPQRFQFYPPQGMTPSPTPI MVEMGSKSIYTGEPALTCINRGSKTRIHSAGNSIIMEGKETPIKSEPPPKPPASAPPSIL VKPENSKNGTEKQVKTVRFQNYSPPPTKHSASSPTSGKHDHPATLKGSQHEAVSSGGEMT ILFAHRSGCHSGQQTDLRRKSAFGKTMPLLSTASATQTLFPSK >ENSMUSP00000069816.5 pep:known chromosome:GRCm38:8:31187331:31261483:1 gene:ENSMUSG00000046152.16 transcript:ENSMUST00000066173.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut10 description:fucosyltransferase 10 [Source:MGI Symbol;Acc:MGI:2384748] MVRFQRRKLLASCLCVTATVFLMVTLQVVVELGKFERKKLKDSNVQDGHRDVEGEPKHLE PFPEKEALALAGRTKVDAGSYPIVLWWSPLTGETGRLGQCGADACFFTINRTFQHHPMTR AFLFYGTDFNIDSLPLPREAHHDWALFHEESPKNNYKLFHKPVITLFNHTATFSRHSHLP LTTQYLEGVDVLKSLRYLVPLQAKNNLRQKLAPLVYVQSDCDPPSDRDSYVRELMAYIEV DSYGECLQNRDLPQQLKNPASMDADAFYRVIAQYKFILAFENAVCDDYITEKFWRPLKLG VVPVYYGSPTIADWLPSNRSAILVSEFSHPRELASFIRRLDYDDGLYETYVEWKLKGKIS NQRLLTALNEREWGVQDINQDNYIDSFECMVCRRVWANSRLQEQGLPPKQWKADVSHLHC PEPALFTFSSPASPALRGRSLRELWLPSFQQSKKEAQALRWLVDRNQNFSSEEFWALVFK D >ENSMUSP00000124437.1 pep:known chromosome:GRCm38:8:31187332:31237794:1 gene:ENSMUSG00000046152.16 transcript:ENSMUST00000161788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut10 description:fucosyltransferase 10 [Source:MGI Symbol;Acc:MGI:2384748] MVRFQRRKLLASCLCVTATVFLMVTLQVVVELGKFERKKLKDSNVQDGHRDVEGEPKHLE PFPEKEALALAGRTKVDAGSYPIVLWWSPLTGETGRLGQCGADACFFTINRTFQHHPMTR AFLFYGTDFNIDSLPLPREAHHDWALFHEESPKNNYKLFHKPVITLFNHTATFSRHSHLP LTTQYLEGVDVLKSLRYLVPLQAKNNLRQKLAPLVYVQSDCDPPSDRDSYVRELMAYIEV DSYGECLQNRDLPQQLKNPASMDADAFYRVIAQYKFILAFENAVCDDYITEKFWRPLKLG VVPVYYGSPTIADWLPSNRSAILVSEFSHPRELASFIRRLDYDDGLYETYVEWKLKGKIS NQRLLTALNEREWGVQDINQDNYIDSFECMVCRRVWANSRLQEQVSEWKSGGWHGPSLCV VLVFLLWWLPATGLYS >ENSMUSP00000106156.2 pep:known chromosome:GRCm38:8:31187343:31261475:1 gene:ENSMUSG00000046152.16 transcript:ENSMUST00000110527.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut10 description:fucosyltransferase 10 [Source:MGI Symbol;Acc:MGI:2384748] MVRFQRRKLLASCLCVTATVFLMVTLQVVVELGKFERKKLKDSNVQDGHRDVEGEPKHLE PFPEKEALALAGRTKVDAGSYPIVLWWSPLTGETGRLGQCGADACFFTINRTFQHHPMTR AFLFYGLTTQTMEGRC >ENSMUSP00000125265.1 pep:known chromosome:GRCm38:8:31187347:31261738:1 gene:ENSMUSG00000046152.16 transcript:ENSMUST00000161502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut10 description:fucosyltransferase 10 [Source:MGI Symbol;Acc:MGI:2384748] MVRFQRRKLLASCLCVTATVFLMVTLQVVVELGKFERKKLKDSNVQDGHRDVEGEPKHLE PFPEKEALALAGRTKVDAGSYPIVLWWSPLTGETGRLGQCGADACFFTINRTFQHHPMTR AFLFYGTDFNIDSLPLPREAHHDWALFHEESPKNNYKLFHKPVITLFNHTATFSRHSHLP LTTQYLEGVDVLKSLRYLVPLQAKNNLRQKLAPLVYVQSDCDPPSDRDSYVRELMAYIEV DSYGECLQNRDLPQQLKNPASMDADAFYRVIAQYKFILAFENAVCDDYITEKFWRPLKLG VVPVYYGSPTIADWLPSNRSAILVSEFSHPRELASFIRRLDYDDGLYETYVEWKLKGKIS NQRLLTALNEREWGVQDINQDNYIDSFECMVCRRVWANSRLQEQGLPPKQWKADVSHLHC PEPALFTFSSPASPALRGRSLRELWLPSFQQSKKEAQALRWLVDRNQNFSSEEFWALVFK D >ENSMUSP00000018476.7 pep:known chromosome:GRCm38:15:34875496:35155806:-1 gene:ENSMUSG00000022329.14 transcript:ENSMUST00000018476.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk3 description:serine/threonine kinase 3 [Source:MGI Symbol;Acc:MGI:1928487] MEQPPASKSKLKKLSEDSLTKQPEEVFDVLEKLGEGSYGSVFKAIHKESGQVVAIKQVPV ESDLQEIIKEISIMQQCDSPYVVKYYGSYFKNTDLWIVMEYCGAGSVSDIIRLRNKTLTE DEIATILKSTLKGLEYLHFMRKIHRDIKAGNILLNTEGHAKLADFGVAGQLTDTMAKRNT VIGTPFWMAPEVIQEIGYNCVADIWSLGITSIEMAEGKPPYADIHPMRAIFMIPTNPPPT FRKPELWSDDFTDFVKKCLVKSPEQRATATQLLQHPFIKNAKPVSILRDLIAEAMEIKAK RHEEQQRELEEEEENSDEDELDSHTMVKTSSESVGTMRATSTMSEGAQTMIEHNSTMLES DLGTMVINSEEEEEEEEEEEEDGTMKRNATSPQVQRPSFMDYFDKQDFKNKSHENCDQSM REPGPMSNSVFPDNWRVPQDGDFDFLKNLSLEELQMRLKALDPMMEREIEELHQRYSAKR QPILDAMDAKKRRQQNF >ENSMUSP00000064225.7 pep:known chromosome:GRCm38:15:34875673:35155750:-1 gene:ENSMUSG00000022329.14 transcript:ENSMUST00000067033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk3 description:serine/threonine kinase 3 [Source:MGI Symbol;Acc:MGI:1928487] MEQPPASKSPYVVKYYGSYFKNTDLWIVMEYCGAGSVSDIIRLRNKTLTEDEIATILKST LKGLEYLHFMRKIHRDIKAGNILLNTEGHAKLADFGVAGQLTDTMAKRNTVIGTPFWMAP EVIQEIGYNCVADIWSLGITSIEMAEGKPPYADIHPMRAIFMIPTNPPPTFRKPELWSDD FTDFVKKCLVKSPEQRATATQLLQHPFIKNAKPVSILRDLIAEAMEIKAKRHEEQQRELE EEEENSDEDELDSHTMVKTSSESVGTMRATSTMSEGAQTMIEHNSTMLESDLGTMVINSE EEEEEEEEEEEDGTMKRNATSPQVQRPSFMDYFDKQDFKNKSHENCDQSMREPGPMSNSV FPDNWRVPQDGDFDFLKNLSLEELQMRLKALDPMMEREIEELHQRYSAKRQPILDAMDAK KRRQQNF >ENSMUSP00000116310.1 pep:known chromosome:GRCm38:15:35072479:35155669:-1 gene:ENSMUSG00000022329.14 transcript:ENSMUST00000138841.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stk3 description:serine/threonine kinase 3 [Source:MGI Symbol;Acc:MGI:1928487] MEQPPASKSKLKKLSEDSLTKQPEEVFDVLEKLGEGCI >ENSMUSP00000049770.8 pep:known chromosome:GRCm38:1:55237177:55248470:1 gene:ENSMUSG00000046994.9 transcript:ENSMUST00000061334.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mars2 description:methionine-tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:2444136] MLRQCARWVLTRTRFGRGCRRYGSCSPSASGDAGEARAYFTTPIFYVNAAPHIGHLYSAL LADALCRHRRLRVPGSASTRFSTGTDEHGLKIQQAAATAGLAPIELCDRVSAQFLQLFRE ADISSTDFIRTTEARHRVAVQHFWGVLEARGLLYKGIYEGWYCASDECFLPEAKVTRQVG PSGDPCPVSLESGHPVSWTKEENYIFKLSQFREPLQRWLGNNPQAITPEPFHQAVLQWLE EELPDLSVSRRSSHLHWGIPVPGDDSQTIYVWLDALVNYLTVVGYPDADFKSWWPATSHI IGKDILKFHAIYWPALLLGAGLRPPHRIYVHSHWTVSGQKMSKSLGNVVDPRTCLDRYTV DGFRYFLLRQGVPNWDCDYYDEKVVKLLDSELADALGGLLNRCTAYRINPSGTYPSFCAA CFPSEPGLTGPSVRVQAEDYALVTAVATLPKLVAGYYNDFQIYKALEAVSSCVRQTNGFV QRHAPWKLNWESPEDAPWLGTVLHVALECLRVFGTLLQPVTPNLADKLLSRLGVSTTERG LGELYFLPRFYGHPCPFEGRKLGPDTGLLFPRLDQSRTRLVKAHRT >ENSMUSP00000031646.7 pep:known chromosome:GRCm38:5:146845071:146847726:1 gene:ENSMUSG00000029641.8 transcript:ENSMUST00000031646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasl11a description:RAS-like, family 11, member A [Source:MGI Symbol;Acc:MGI:1916145] MRPLTMSGHFLLAPIPESSSDYLLPKDIKLAVLGAGCVGKSAMIVRFLTKRFIGDYEPNT GKLYSRLVYVEGDQLSLQIQDTPGGIQAQDSLSQMVDSLTKSVHWAEGFLLVYSITDYES YQSIRPLYQHIRKVHPDGKAPIFIVGNKGDLLHARQVQTHEGLQLANELGSLFLEISTSE NYEDVCDVFQHLCKEVIKVHRLGGERRRASVIPRPRSPNMQDLKRRFRQALSSKAKASSA LG >ENSMUSP00000087372.5 pep:known chromosome:GRCm38:2:121491911:121506665:-1 gene:ENSMUSG00000068479.5 transcript:ENSMUST00000089926.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap1a description:microfibrillar-associated protein 1A [Source:MGI Symbol;Acc:MGI:1914782] MSVPSALMKQPPIQSTAGAVPVRNEKGEISMEKVKVKRYVSGKRPDYAPMESSDEEDEEF QFIKKAKEQEAEPEEQEEDSSSDPRLRRLQNRISEDVEERLARHRKIVEPEVVGESDSEV EGDAWRLEREDSSEEEEEEIDDEEIERRRGMMRQRAQERKNEEMEVMEVEDEGRSGEESE SESEYEEYTDSEDEMEPRLKPVFIRKKDRVTVQEREAEALKQKELEQEAKRMAEERRKYT LKIVEEETKKELEENKRSLAALDALNTDDENDEEEYEAWKVRELKRIKREREDREALEKE KAEIERMRNLTEEERRAELRANGKVITNKAVKGKYKFLQKYYHRGAFFMDEDEEVYKRDF SAPTLEDHFNKTILPKVMQVKNFGRSGRTKYTHLVDQDTTSFDSAWGQESAQNTKFFKQK AAGVRDVFERPSAKKRKTT >ENSMUSP00000039472.3 pep:known chromosome:GRCm38:3:83040141:83049863:-1 gene:ENSMUSG00000033831.5 transcript:ENSMUST00000048246.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgb description:fibrinogen beta chain [Source:MGI Symbol;Acc:MGI:99501] MRHLWLLLLLCVFSVQTQAADDDYDEPTDSLDARGHRPVDRRKEEPPSLRPAPPPISGGG YRARPAKATANQKKVERRPPDAGGCLHADTDMGVLCPTGCTLQQTLLNQERPIKSSIAEL NNNIQSVSDTSSVTFQYLTLLKDMWKKKQAQVKENENVINEYSSILEDQRLYIDETVNDN IPLNLRVLRSILEDLRSKIQKLESDISAQMEYCRTPCTVSCNIPVVSGKECEEIIRKGGE TSEMYLIQPDTSIKPYRVYCDMKTENGGWTVIQNRQDGSVDFGRKWDPYKKGFGNIATNE DAKKYCGLPGEYWLGNDKISQLTRMGPTELLIEMEDWKGDKVKAHYGGFTVQNEASKYQV SVNKYKGTAGNALMDGASQLVGENRTMTIHNGMFFSTYDRDNDGWVTTDPRKQCSKEDGG GWWYNRCHAANPNGRYYWGGLYSWDMSKHGTDDGVVWMNWKGSWYSMRRMSMKIRPFFPQ Q >ENSMUSP00000119017.1 pep:known chromosome:GRCm38:8:123062942:123079987:1 gene:ENSMUSG00000000738.17 transcript:ENSMUST00000142541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg7 description:spastic paraplegia 7 homolog (human) [Source:MGI Symbol;Acc:MGI:2385906] MKQKNKDNDKPKGKTPEDDEEEKRRKEREDQMYRERLRTLFIIALVMSLLNSLSTSGGSI SWADFVNEMLAKGEVQRVQVVPESDVVEVYLHPGAVVFGRPRLALMYRMQVANIDKFEEK LRAAEDELNIESKDRIPVSYKRTGFFGNALYALGMTAVGLAILWYVFRLAGMTGREGGFS AFNQLKMARFTIVDGKTGKGVSFQDVAGMHEAKLEVREFVDYLKSPERFL >ENSMUSP00000120361.1 pep:known chromosome:GRCm38:8:123062988:123097760:1 gene:ENSMUSG00000000738.17 transcript:ENSMUST00000125975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg7 description:spastic paraplegia 7 homolog (human) [Source:MGI Symbol;Acc:MGI:2385906] MKQKNKDNDKPKGKTPEDDEEEKRRKEREDQMYRERLRTLFIIALVMSLLNSLSTSGGSI SWADFVNEMLAKGEVQRVQVVPESDVVEVYLHPGAVVFGRPRLALMYRMQVANIDKFEEK LRAAEDELNIESKDRIPVSYKRTGFFGNALYALGMTAVGLAILWYVFRLAGMTGREGGFS AFNQLKMARFTIVDGKTGKGVSFQDVAGMHEAKLEVREFVDYLKSPERFLQLGAKVPKGA LLLGPPGCGKTLLAKAVATEAQVPFLAMAGPEFVEVIGGLGAARVRSLFKEARARAPCIV YIDEIDAVGKKRSTSMSGFSNTEEEQTLNQLLVEMDGMGTTDHVIVLASTNRADVLDNAL MRPGRLDRHVFIDLPTLQERREIFEQHLKGLKLTQPSSFYSQRLAELTPGFSGADIANIC NEAALHAAREGHTSVHTFNFEYAVERVIAGTAKKSKILSKEEQRVVAFHESGHALVGWLL EHTEAVMKVSIAPRTNAALGFSQMLPRDQYLFTKEQLFERMCMALGGRAAEAISFSRVTS GAQDDLRKVTRIAYSMVKQFGMAPSIGPVSFPEAQEGLMGIGRRPFSQGLQQMMDHEAKL LVAKAYRHTEKVLLDNLDKLQALANALLEKEVINYEDIEALIGPPPHGPKKMIAPQKWID AEKERQASGEEEAPAP >ENSMUSP00000119552.1 pep:known chromosome:GRCm38:8:123065179:123097760:1 gene:ENSMUSG00000000738.17 transcript:ENSMUST00000149248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg7 description:spastic paraplegia 7 homolog (human) [Source:MGI Symbol;Acc:MGI:2385906] MAAALLLLRGLRPGPEPRPRRLWGLLSGRGPGLSSGAGARRPYAARGTPVGPAAAGGHAP QSLLLRILTPSFEGISGLLLKQHIVPNAVRLWPLSGVTLYFNTSRMKQKNKDNDKPKGKT PEDDEEEKRRKEREDQMYRERLRTLFIIALVMSLLNSLSTSGGSISWADFVNEMLAKGEV QRVQVVPESDVVEVYLHPGAVVFGRPRLALMYRMQVANIDKFEEKLRAAEDELNIESKDR IPVSYKRTGFFGNALYALGMTAVGLAILWYVFRLAGMTGREGGFSAFNQLKMARFTIVDG KTGKGVSFQDVAGMHEAKLEVREFVDYLKSPERFLQLGAKVPKGALLLGPPGCGKTLLAK AVATEAQVPFLAMAGPEFVEVIGGLGAARVRSLFKEARARAPCIVYIDEIDAVGKKRSTS MSGFSNTEEEQTLNQLLVEMDGMGTTDHVIVLASTNRADVLDNALMRPGRLDRHVFIDLP TLQERREIFEQHLKGLKLTQPSSFYSQRLAELTPGFSGADIANICNEAALHAAREGHTSV HTFNFEYAVERVIAGTAKKSKILSKEEQRVVAFHESGHALVGWLLEHTEAVMKVSIAPRT NAALGFSQMLPRDQYLFTKEQLFERMCMALGGRAAEAISFSRVTSGAQDDLRKVTRIAYS MVKQFGMAPSIGPVSFPEAQEGLMGIGRRPFSQGLQQMMDHEAKLLVAKAYRHTEKVLLD NLDKLQALANALLEKEVINYEDIEALIGPPPHGPKKMIAPQKWIDAEKERQASGEEEAPA P >ENSMUSP00000115039.1 pep:known chromosome:GRCm38:8:123065476:123097753:1 gene:ENSMUSG00000000738.17 transcript:ENSMUST00000153285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg7 description:spastic paraplegia 7 homolog (human) [Source:MGI Symbol;Acc:MGI:2385906] MAAALLLLRGLRPGPEPRPRRLWGLLSGRGPGLSSGAGARRPYAARGTPVGPAAAGGHAP QSLLLRILTPSFEGISGLLLKQHIVPNAVRLWPLSGVTLYFNTSRMKQKNKDNDKPKGKT PEDDEEEKRRKEREDQMYRERLRTLFIIALVMSLLNSLSTSGGSISWADFVNEMLAKGEV QRVQVVPESDVVEVYLHPGAVVFGRPRLALMYRMQVANIDKFEEKLRAAEDELNIESKDR IPVSYKRTGFFGNALYALGMTAVGLAILWYVFRLAGMTGREGGFSAFNQLKMARFTIVDG KTGKGVSFQDVAGMHEAKLEVREFVDYLKSPERFLQLGAKVPKGALLLGPPGCGKTLLAK AVATEAQVPFLAMAGPEFVEVIGGLGAARVRSLFKEARARAPCIVYIDEIDAVGKKRSTS MSGFSNTEEEQTLNQLLVEMDGMGTTDHVIVLASTNRADVLDNALMRPGRLDRHVFIDLP TLQERREIFEQHLKGLKLTQPSSFYSQRLAELTPGFSGTAKKSKILSKEEQRVVAFHESG HALVGWLLEHTEAVMKVSIAPRTNAALGFSQMLPRDQYLFTKEQLFERMCMALGGRAAEA ISFSRVTSGAQDDLRKVTRIAYSMVKQFGMAPSIGPVSFPEAQEGLMGIGRRPFSQGLQQ MMDHEAKLLVAKAYRHTEKVLLDNLDKLQALANALLEKEVINYEDIEALIGPPPHGPKKM IAPQKWIDAEKERQASGEEEAPAP >ENSMUSP00000133602.1 pep:known chromosome:GRCm38:8:123073794:123088962:1 gene:ENSMUSG00000000738.17 transcript:ENSMUST00000153492.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spg7 description:spastic paraplegia 7 homolog (human) [Source:MGI Symbol;Acc:MGI:2385906] XLRTLFIIALVMSLLNSLSTSGGSISWADFVNEMLAKGEVQRVQVVPESDVVEVYLHPGA VVFGRPRLALMYRMQVANIDKFEEKLRAAEDELNIESKDRIPVSYKRTGFFGNALYALGM TAVGLAILWYVFRLAGMTGREGGFSAFNQLKMARFTIVDGKTGKGVSFQDVAGMHEAKLE VREFVDYLKAWELPECEASSRRHEPGPLA >ENSMUSP00000120793.1 pep:known chromosome:GRCm38:8:123080781:123097753:1 gene:ENSMUSG00000000738.17 transcript:ENSMUST00000128234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg7 description:spastic paraplegia 7 homolog (human) [Source:MGI Symbol;Acc:MGI:2385906] XLNQLLVEMDGMGTTDHVIVLASTNRADVLDNALMRPGRLDRHVFIDLPTLQERREIFEQ HLKGLKLTQPSSFYSQRLAELTPGFSGADIANICNEAALHAAREGHTSVHTFNFEYAVER VIAGTAKKSKILSKEEQRVVAFHESGHALVGWLLEHTEAVMKVSIAPRTNAALGFSQMLP RDQYLFTKEQLFERMCMALGGRAAEAISFSRVTSGSKAAGGQSLQTHREGAARQPGQAAG VGECPSGKGSDKL >ENSMUSP00000118066.1 pep:known chromosome:GRCm38:8:123091676:123097753:1 gene:ENSMUSG00000000738.17 transcript:ENSMUST00000135991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg7 description:spastic paraplegia 7 homolog (human) [Source:MGI Symbol;Acc:MGI:2385906] RVVAFHESGHALVGWLLEHTEAVMKVSIAPRTNAALGFSQMLPRDQYLFTKEQLFERMCM ALGGRAAEAISFSRVTSVGECPSGKGSDKL >ENSMUSP00000104496.3 pep:known chromosome:GRCm38:8:123065281:123097649:1 gene:ENSMUSG00000000738.17 transcript:ENSMUST00000108868.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg7 description:spastic paraplegia 7 homolog (human) [Source:MGI Symbol;Acc:MGI:2385906] MAAALLLLRGLRPGPEPRPRRLWGLLSGRGPGLSSGAGARRPYAARGTPVGPAAAGGHAP QSLLLRILTPSFEGISGLLLKQHIVPNAVRLWPLSGSTLYFNTSRMKQKNKDNDKPKGKT PEDDEEEKRRKEREDQMYRERLRTLFIIALVMSLLNSLSTSGGSISWADFVNEMLAKGEV QRVQVVPESDVVEVYLHPGAVVFGRPRLALMYRMQVANIDKFEEKLRAAEDELNIESKDR IPVSYKRTGFFGNALYALGMTAVGLAILWYVFRLAGMTGREGGFSAFNQLKMARFTIVDG KTGKGVSFQDVAGMHEAKLEVREFVDYLKSPERFLQLGAKVPKGALLLGPPGCGKTLLAK AVATEAQVPFLAMAGPEFVEVIGGLGAARVRSLFKEARARAPCIVYIDEIDAVGKKRSTS MSGFSNTEEEQTLNQLLVEMDGMGTTDHVIVLASTNRADVLDNALMRPGRLDRHVFIDLP TLQERREIFEQHLKGLKLTQPSSFYSQRLAELTPGFSGADIANICNEAALHAAREGHTSV HTFNFEYAVERVIAGTAKKSKILSKEEQRVVAFHESGHALVGWLLEHTEAVMKVSIAPRT NAALGFSQMLPRDQYLFTKEQLFERMCMALGGRAAEAISFSRVTSGAQDDLRKVTRIAYS MVKQFGMAPSIGPVSFPEAQEGLMGIGRRPFSQGLQQMMDHEAKLLVAKAYRHTEKVLLD NLDKLQALANALLEKEVINYEDIEALIGPPPHGPKKMIAPQKWIDAEKERQASGEEEAPA P >ENSMUSP00000060307.7 pep:known chromosome:GRCm38:5:129096750:129204599:1 gene:ENSMUSG00000044017.16 transcript:ENSMUST00000056617.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrd1 description:adhesion G protein-coupled receptor D1 [Source:MGI Symbol;Acc:MGI:3041203] MKDLPAFPCSWVWLLWSFCSVQVCSTQPRAQEHPGFAVLASASHYWPLENVDGILELQDT TGALRTLNLTVPLSHNATFVFTNDSAYSNLSATVDIMEGKVNKGIYLKEEKGVTFLYYGT YKSSCISNPAQCGPEGVTFSFFWKTQGDQTRPAPYAYGGQVVSDGFKVCSSGGKGSVELY TRDNSMTWKATFNPPGPYWTHVLFTWKSKEGLKVYVNGTLSTSDPSGKVSHTYGDPHVNL VIGSEQDQTKRYENGAFDEFIIWERALTPDEIKMYFTAAIGKHALLSSTPPAMPTAHTVI PTDAYHPIITNLTEERKRFQRPGTVLRYLQNVSLRLPNKSLSEETALNLTETFLRTVGEV LLLPSWTHESEDNAMTLGLVDTIDTVMGHISSNLQSREPHVTLTGSSSTAEFTVAKVLPP ALSAPHYRFPAHGHSYIEIPREALHSQAWTTIVGLLYHTMHYYLKNIHPTSTEIPEAVNC RDCLLSVASHLISLEVSPPPTLSQNLSGSPLITVHLRHKLTQKQYSDATNESNRLFLYCA FLNFSSGEGVWSSQGCALTEGNLTYSVCHCTHLTNFAILMQVVPLKLTHGHQVALSSISY VGCSLSVLCLAATLVTFAVLSSVSTIRNQRYHIHANLSFAVLVAQVLLLISFSMEPGTVP CQVLAVLLHYFFLTAFAWMLVEGLHLYSMVIKVFGSEDSKHLYYYGIGWGCPLLICIISI SSSMDSYGTSDSCWLALGSGAIWAFVGPALLVIVVNIVILVAVTRVISHISTDSYKIHGD PSAFKLTAKAVAVLLPILGTSWVFGVLAVSDRALVFQYMFAILNSLQGLFIFLFHCLLNS EVRAAFKHKTKVWSLTSSSARTANTKPFSSDTVNGTRPGTASTKLSPWDKSSHSAHRVDL SAV >ENSMUSP00000121217.2 pep:known chromosome:GRCm38:5:129096757:129203680:1 gene:ENSMUSG00000044017.16 transcript:ENSMUST00000156437.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrd1 description:adhesion G protein-coupled receptor D1 [Source:MGI Symbol;Acc:MGI:3041203] MKDLPAFPCSWVWLLWSFCSVQVCSTQPRAQEHPGFAVLASASHYWPLENVDGILELQDT TGDIMEGKVNKGIYLKEEKGVTFLYYGTYKSSCISNPAQCGPEGVTFSFFWKTQGDQTRP APYAYGGQVVSDGFKVCSSGGKGSVELYTRDNSMTWKATFNPPGPYWTHVLFTWKSKEGL KVYVNGTLSTSDPSGKVSHTYGDPHVNLVIGSEQDQTKRYENGAFDEFIIWERALTPDEI KMYFTAAIGKHALLSSTPPAMPTAHTVIPTDAYHPIITNLTEERKRFQRPGTVLRYLQNV SLRLPNKSLSEETALNLTETFLRTVGEVLLLPSWTHESEDNAMTLGLVDTIDTVMGHISS NLQSREPHVTLTGSSSTAEFTVAKVLPPALSAPHYRFPAHGHSYIEIPREALHSQAWTTI VGLLYHTMHYYLKNIHPTSTEIPEAVNCRDCLLSVASHLISLEVSPPPTLSQNLSGSPLI TVHLRHKLTQKQYSDATNESNRLFLYCAFLNFSSGEGVWSSQGCALTEGNLTYSVCHCTH LTNFAILMQVVPLKLTHGHQVALSSISYVGCSLSVLCLAATLVTFAVLSSVSTIRNQRYH IHANLSFAVLVAQVLLLISFSMEPGTVPCQVLAVLLHYFFLTAFAWMLVEGLHLYSMVIK VFGSEDSKHLYYYGIGWGCPLLICIISISSSMDSYGTSDSCWLALGSGAIWAFVGPALLV IVVNIVILVAVTRVISHISTDSYKIHGDPSAFKLTAKAVAVLLPILGTSWVFGVLAVSDR ALVFQYMFAILNSLQGLFIFLFHCLLNSEVRAAFKHKTKVWSLTSSSARTANTKPFSSDT VNGTRPGTASTKLSPWDKSSHSAHRVDLSAV >ENSMUSP00000054645.2 pep:known chromosome:GRCm38:2:87867993:87868925:1 gene:ENSMUSG00000045225.2 transcript:ENSMUST00000051058.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1152 description:olfactory receptor 1152 [Source:MGI Symbol;Acc:MGI:3030986] MEVGNCSATEFLFLGITNNPVIKVILFTTFLIVYLIILIENLGMIILIRMDHQLHIPMYF FLSHLSFSDVCYSSAVGPKMLLDLLAKSSNSITFLGCVLQFFIFCIFTDVECMLLAMMAF DRYKAISNPLLYAVDMSSKVCYQLLAVVYTVAIVDAVVHTTLTFRLCFCGSKEINHFFCD LPPLYMLSCSDIQVNELALFTVFGFIELSTISGVLVSYCYIILSVLKIRSAEGRFKAFST CTSHLTAVAIFQGTMLFMYFRPSSSYSLDQDKMTSLFYTLVIPVLNPLIYSLRNKDVKEA LQKLKTKTWF >ENSMUSP00000065832.4 pep:known chromosome:GRCm38:6:134920401:134925513:1 gene:ENSMUSG00000003031.14 transcript:ENSMUST00000067327.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn1b description:cyclin-dependent kinase inhibitor 1B [Source:MGI Symbol;Acc:MGI:104565] MSNVRVSNGSPSLERMDARQAEHPKPSACRNLFGPVNHEELTRDLEKHCRDMEEASQRKW NFDFQNHKPLEGRYEWQEVERGSLPEFYYRPPRPPKSACKVLAQESQDVSGSRQAVPLIG SQANSEDRHLVDQMPDSSDNPAGLAEQCPGMRKRPAAEDSSSQNKRANRTEENVSDGSPN AGTVEQTPKKPGLRRQT >ENSMUSP00000003115.6 pep:known chromosome:GRCm38:6:134920401:134925513:1 gene:ENSMUSG00000003031.14 transcript:ENSMUST00000003115.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn1b description:cyclin-dependent kinase inhibitor 1B [Source:MGI Symbol;Acc:MGI:104565] MSNVRVSNGSPSLERMDARQAEHPKPSACRNLFGPVNHEELTRDLEKHCRDMEEASQRKW NFDFQNHKPLEGRYEWQEVERGSLPEFYYRPPRPPKSACKVLAQESQDVSGSRQAVPLIG SQANSEDRHLVDQMPDSSDNPAGLAEQCPGMRKRPAAEDSSSQNKRANRTEENVSDGSPN AGTVEQTPKKPGLRRQT >ENSMUSP00000145056.1 pep:known chromosome:GRCm38:6:134920513:134925320:1 gene:ENSMUSG00000003031.14 transcript:ENSMUST00000204807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn1b description:cyclin-dependent kinase inhibitor 1B [Source:MGI Symbol;Acc:MGI:104565] MSNVRVSNGSPSLERMDARQAEHPKPSACRNLFGPVNHEELTRDLEKHCRDMEEASQRKW NFDFQNHKPLEGRYEWQEVERGSLPEFYYRPPRPPKSACKVLAQESQDVSGSRQAVPLIG SQANSEDRHLVDQMPDSSDNPAGLAEQCPGMRKRPAAEDSSSQNKRANRTEENVSDGSPN AGTVEQTPKKPGLRRQT >ENSMUSP00000023486.8 pep:known chromosome:GRCm38:16:32608920:32632794:1 gene:ENSMUSG00000022797.15 transcript:ENSMUST00000023486.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfrc description:transferrin receptor [Source:MGI Symbol;Acc:MGI:98822] MMDQARSAFSNLFGGEPLSYTRFSLARQVDGDNSHVEMKLAADEEENADNNMKASVRKPK RFNGRLCFAAIALVIFFLIGFMSGYLGYCKRVEQKEECVKLAETEETDKSETMETEDVPT SSRLYWADLKTLLSEKLNSIEFADTIKQLSQNTYTPREAGSQKDESLAYYIENQFHEFKF SKVWRDEHYVKIQVKSSIGQNMVTIVQSNGNLDPVESPEGYVAFSKPTEVSGKLVHANFG TKKDFEELSYSVNGSLVIVRAGEITFAEKVANAQSFNAIGVLIYMDKNKFPVVEADLALF GHAHLGTGDPYTPGFPSFNHTQFPPSQSSGLPNIPVQTISRAAAEKLFGKMEGSCPARWN IDSSCKLELSQNQNVKLIVKNVLKERRILNIFGVIKGYEEPDRYVVVGAQRDALGAGVAA KSSVGTGLLLKLAQVFSDMISKDGFRPSRSIIFASWTAGDFGAVGATEWLEGYLSSLHLK AFTYINLDKVVLGTSNFKVSASPLLYTLMGKIMQDVKHPVDGKSLYRDSNWISKVEKLSF DNAAYPFLAYSGIPAVSFCFCEDADYPYLGTRLDTYEALTQKVPQLNQMVRTAAEVAGQL IIKLTHDVELNLDYEMYNSKLLSFMKDLNQFKTDIRDMGLSLQWLYSARGDYFRATSRLT TDFHNAEKTNRFVMREINDRIMKVEYHFLSPYVSPRESPFRHIFWGSGSHTLSALVENLK LRQKNITAFNETLFRNQLALATWTIQGVANALSGDIWNIDNEF >ENSMUSP00000113028.1 pep:known chromosome:GRCm38:16:32608983:32623741:1 gene:ENSMUSG00000022797.15 transcript:ENSMUST00000120680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfrc description:transferrin receptor [Source:MGI Symbol;Acc:MGI:98822] MMDQARSAFSNLFGGEPLSYTRFSLARQVDGDNSHVEMKLAADEEENADNNMKASVRKPK RFNGRLCFAAIALVIFFLIGFMSGYLGYCKRVEQKEECVKLAETEETDKSETMETEDVPT SSRLYWADLKTLLSEKLNSIEFADTIKQLSQNTYTPREAGSQKDESLAYYIENQFHEFKF SKVWRDEHYVKIQVKSSIGQNMVTIVQSNGNLDPVESPEGYVAFSKPTEVSGKLVHANFG TKKDFEELSYSVNGSLVIVRAGEITFAEKVANAQSFNAIGVLIYMDKNKFPVVEADLALF GHAHLGTGDPYTPGFPSFNHTQFPPSQSSGLPNIPVQTISRAAAEKLFGKMEGSCPARWN IDSSCKLELSQNQNVKLIVKNVLKERRILNIFGVIKGYEEPDRYVVVGAQRDALGAGVAA KSSVGTGLLLKLAQVFSDMISKDGFRPSRSIIFASWTAGDFGAVGATEWLEGYLSSLHLK AFTYINLDKVVLGKHLFFVLFCFLIWVNCIGNRDF >ENSMUSP00000123423.1 pep:known chromosome:GRCm38:16:32609250:32613226:1 gene:ENSMUSG00000022797.15 transcript:ENSMUST00000124243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfrc description:transferrin receptor [Source:MGI Symbol;Acc:MGI:98822] MMDQARSAFSNL >ENSMUSP00000034740.7 pep:known chromosome:GRCm38:9:72662346:72749852:1 gene:ENSMUSG00000032216.14 transcript:ENSMUST00000034740.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd4 description:neural precursor cell expressed, developmentally down-regulated 4 [Source:MGI Symbol;Acc:MGI:97297] MSSDMAADESEAPVLSEDEVWEFCLDKTEDGGGSPGSDVTDTCEPPCGCWELNPNSLEEE HVLFTADPYLELHNDDTRVVRVKVIAGIGLAKKDILGASDPYVRVTLYDPMSGILTSVQT KTIKKSLNPKWNEEILFRVLPQRHRILFEVFDENRLTRDDFLGQVDVPLYPLPTENPRME RPYTFKDFVLHPRSHKSRVKGYLRLKMTYLPKNGSEDENADQAEELEPGWVVLDQPDAAT HLPHPPEPSPLPPGWEERQDVLGRTYYVNHESRRTQWKRPSPDDDLTDEDNDDMQLQAQR AFTTRRQISEDVDGPDNRESPENWEIVREDENTEYSGQAVQSPPSGHIDVQTHLAEEFNT RLAVCGNPATSQPVTSSNHSSRGGSLQTCIFEEQPTLPVLLPTSSGLPPGWEEKQDDRGR SYYVDHNSKTTTWSKPTMQDDPRSKIPAHLRGKTDSNDLGPLPPGWEERTHTDGRVFFIN HNIKKTQWEDPRLQNVAITGPAVPYSRDYKRKYEFFRRKLKKQTDIPNKFEMKLRRANIL EDSYRRIMGVKRADLLKARLWIEFDGEKGLDYGGVAREWFFLISKEMFNPYYGLFEYSAT DNYTLQINPNSGLCNEDHLSYFKFIGRVAGMAVYHGKLLDGFFIRPFYKMMLQKLITLHD MESVDSEYYSSLRWILENDPTELDLRFIIDEELFGQTHQHELKTGGSEIVVTNKNKKEYI YLVIQWRFVNRIQKQMAAFKEGFFELIPQDLIKIFDENELELLMCGLGDVDVNDWREHTK YKNGYSMNHQVIHWFWKAVWMMDSEKRIRLLQFVTGTSRVPMNGFAELYGSNGPQSFTVE QWGTPDKLPRAHTCFNRLDLPPYESFDELWDKLQMAIENTQGFDGVD >ENSMUSP00000138983.1 pep:known chromosome:GRCm38:9:72662482:72720790:1 gene:ENSMUSG00000032216.14 transcript:ENSMUST00000184450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd4 description:neural precursor cell expressed, developmentally down-regulated 4 [Source:MGI Symbol;Acc:MGI:97297] MSSDMAADESEAPVLSEDEVWEFCLDKTEDGGGSPGSDVTDTCEPPCGCWELNPNSLEEE HVLFTADPYLELHNDDTRVVRVKVIAGIGLAKKDILGASDPYVRVTLYDPMSGILTSVQT KTIKKSLNPKWNEEILFRVLPQRHRILFEVFDENRLTRDDFLGQVDVPLYPLPTENPRME RPYTFKDFVLHPRSHKSRVKGYLRLKMTYLPKNGSEDENADQAEELEPGWVVLDQPDAAT HLPHPPEPSPLPPGWEERQDVLGRTYYVNHESRRTQWKRPSPEYVPVCIDFAAPWPVNAV IRVGV >ENSMUSP00000138852.1 pep:known chromosome:GRCm38:9:72662487:72712229:1 gene:ENSMUSG00000032216.14 transcript:ENSMUST00000183375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd4 description:neural precursor cell expressed, developmentally down-regulated 4 [Source:MGI Symbol;Acc:MGI:97297] MSSDMAADESEAPVLSEDEVWEFCLDKTEDGGGSPGSDVTDTCEPPCGCWELNPNSLEEE HVLFTADPYLELHNDDTRVVRVKVIAGIGLAKKDILGASDPYVRVTLYDPMSGILTSVQT KTIKKSLNPKWNEEILFRTRDDFLGQVDVPLYPLPTENPRMERPYTFKDFVLHPRSHKSR VKGYLRLKMTYLPKNGS >ENSMUSP00000139190.1 pep:known chromosome:GRCm38:9:72668680:72720355:1 gene:ENSMUSG00000032216.14 transcript:ENSMUST00000184333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd4 description:neural precursor cell expressed, developmentally down-regulated 4 [Source:MGI Symbol;Acc:MGI:97297] MSGILTSVQTKTIKKSLNPKWNEEILFRVLPQRHRILFEVFDENRLTRDDFLGQVDVPLY PLPTENPRMERPYTFKDFVLHPRSHKSRVKGYLRLKMTYLPKNGSEDENADQAEELEPGW VVLDQPDAATHLPHPPEPSPLPPGWEERQDVLGRTYYVNHESRRTQWKRPSPEYVPVCID FAAPWPVNAVIRVGV >ENSMUSP00000139297.1 pep:known chromosome:GRCm38:9:72739472:72748158:1 gene:ENSMUSG00000032216.14 transcript:ENSMUST00000184020.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nedd4 description:neural precursor cell expressed, developmentally down-regulated 4 [Source:MGI Symbol;Acc:MGI:97297] XKTGGSEIVVTNKNKKEYIYFSCVVWEMWM >ENSMUSP00000100046.3 pep:known chromosome:GRCm38:2:5870987:5895432:-1 gene:ENSMUSG00000025816.15 transcript:ENSMUST00000102981.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61a2 description:Sec61, alpha subunit 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1931071] MGIKFLEVIKPFCAVLPEIQKPERKIQFREKVLWTAITLFIFLVCCQIPLFGIMSSDSAD PFYWMRVILASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFG MIITIGQAIVYVMTGMYGDPAEMGAGICLLIIIQLFVAGLIVLLLDELLQKGYGLGSGIS LFIATNICETIVWKAFSPTTINTGRGTEFEGAVIALFHLLATRTDKVRALREAFYRQNLP NLMNLIATVFVFAVVIYFQGFRVDLPIKSARYRGQYSSYPIKLFYTSNIPIILQSALVSN LYVISQMLSVRFSGNFLVNLLGQWADVSGGGPARSYPVGGLCYYLSPPESMGAIFEDPVH VVVYIIFMLGSCAFFSKTWIEVSGSSAKDVAKQLKEQQMVMRGHRDTSMVHELNRYIPTA AAFGGLCIGALSVLADFLGAIGSGTGILLAVTIIYQYFEIFVKEQAEVGGMGALFF >ENSMUSP00000141333.1 pep:known chromosome:GRCm38:2:5871029:5895334:-1 gene:ENSMUSG00000025816.15 transcript:ENSMUST00000193792.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61a2 description:Sec61, alpha subunit 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1931071] MGIKFLEVIKPFCAVLPEIQKPERKIQFREKVLWTAITLFIFLVCCQIPLFGIMSSDSAD PFYWMRVILASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFG MIITIGQAIVYVMTGMYGDPAEMGAGICLLIIIQLFVAGLIVLLLDELLQKGYGLGSGIS LFIATNICETIVWKAFSPTTINTGRGTEFEGAVIALFHLLATRTDKVRALREAFYRQNLP NLMNLIATVFVFAVVIYFQDVSGGGPARSYPVGGLCYYLSPPESMGAIFEDPVHVVVYII FMLGSCAFFSKTWIEVSGSSAKDVAKQLKEQQMVMRGHRDTSMVHELNRYIPTAAAFGGL CIGALSVLADFLGAIGSGTGILLAVTIIYQYFEIFVKEQAEVGGMGALFF >ENSMUSP00000141220.1 pep:known chromosome:GRCm38:2:5871595:5876460:-1 gene:ENSMUSG00000025816.15 transcript:ENSMUST00000132908.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61a2 description:Sec61, alpha subunit 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1931071] XQSALVSNLYVISQMLSVRFSGNFLVNLLGQWAVAKQLKEQQMVMRGHRDTSMVHELNRY IPTAAAFGGLCIGALSVLADFLGAIGSGTGILLAVTIIYQYFEIFVKEQAEVGGMGALFF >ENSMUSP00000026926.4 pep:known chromosome:GRCm38:2:5877855:5895319:-1 gene:ENSMUSG00000025816.15 transcript:ENSMUST00000026926.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61a2 description:Sec61, alpha subunit 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1931071] MGIKFLEVIKPFCAVLPEIQKPERKIQFREKVLWTAITLFIFLVCCQIPLFGIMSSDSAD PFYWMRVILASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDTPKDRALFNGAQKLFG MIITIGQAIVYVMTGMYGDPAEMGAGICLLIIIQGLLTLKICGYTEEPLDQCVFT >ENSMUSP00000046105.6 pep:known chromosome:GRCm38:17:34282744:34287827:-1 gene:ENSMUSG00000036594.14 transcript:ENSMUST00000040655.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Aa description:histocompatibility 2, class II antigen A, alpha [Source:MGI Symbol;Acc:MGI:95895] MPRSRALILGVLALTTMLSLCGGEDDIEADHVGTYGISVYQSPGDIGQYTFEFDGDELFY VDLDKKETVWMLPEFGQLASFDPQGGLQNIAVVKHNLGVLTKRSNSTPATNEAPQATVFP KSPVLLGQPNTLICFVDNIFPPVINITWLRNSKSVADGVYETSFFVNRDYSFHKLSYLTF IPSDDDIYDCKVEHWGLEEPVLKHWEPEIPAPMSELTETVVCALGLSVGLVGIVVGTIFI IQGLRSGGTSRHPGPL >ENSMUSP00000133399.1 pep:known chromosome:GRCm38:17:34282765:34287791:-1 gene:ENSMUSG00000036594.14 transcript:ENSMUST00000174751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Aa description:histocompatibility 2, class II antigen A, alpha [Source:MGI Symbol;Acc:MGI:95895] MPRSRALILGVLALTTMLSLCGGEDDIEEAPQATVFPKSPVLLGQPNTLICFVDNIFPPV INITWLRNSKSVADGVYETSFFVNRDYSFHKLSYLTFIPSDDDIYDCKVEHWGLEEPVLK HWEPEIPAPMSELTETVVCALGLSVGLVGIVVGTIFIIQGLRSGGTSRHPGPL >ENSMUSP00000000304.6 pep:known chromosome:GRCm38:10:31313382:31328204:1 gene:ENSMUSG00000000295.12 transcript:ENSMUST00000000304.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hddc2 description:HD domain containing 2 [Source:MGI Symbol;Acc:MGI:1916942] MALASSGSGAGLLRFLRLVGQLKRVPRTGWVYRNVEKPESVSDHMYRMAVMAMVTRDDRL NKDRCIRLALVHDMAECIVGDIAPADNIPKEEKHRREEEAMKQITQLLPEDLRKELYELW EEYETQSSEEAKFVKQLDQCEMILQASEYEDLENKPGRLQDFYDSTAGKFSHPEIVQLVS ELETERNASMATASAEPGS >ENSMUSP00000124106.1 pep:known chromosome:GRCm38:10:31313472:31328184:1 gene:ENSMUSG00000000295.12 transcript:ENSMUST00000159194.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hddc2 description:HD domain containing 2 [Source:MGI Symbol;Acc:MGI:1916942] MYRMAVMAMVTRDDRLNKDRCIRLALVHDMAECIVGDIAPADNIPKEEKHRREEEAMKQI TQLLPEDLRKELYELWEVMCSALRMPLELRFTQKGQWERKGV >ENSMUSP00000030400.7 pep:known chromosome:GRCm38:4:123904832:123912269:1 gene:ENSMUSG00000028647.13 transcript:ENSMUST00000030400.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycbp description:c-myc binding protein [Source:MGI Symbol;Acc:MGI:1891750] MAHYKAADSKREQFRRYLEKSGVLDTLTKVLVALYEEPEKPTSALDFLKHHLGAATPENP EIELLRLELAEMKEKYEATVEENKKLKAKLVQYEPPQEEKRAE >ENSMUSP00000101808.3 pep:known chromosome:GRCm38:4:123904914:123911076:1 gene:ENSMUSG00000028647.13 transcript:ENSMUST00000106202.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycbp description:c-myc binding protein [Source:MGI Symbol;Acc:MGI:1891750] MAHYKAADSKREQFRRYLEKSGVLDTLTKVLVALYEEPEKPTSALDFLKHHLGAATPENP EIELLRLELAEMKEKYEATVEENKKLKAKVNLKKKLKLKNNLVSNDYLTKSLLQARDVCS SVVEHLSGMCKGPGSHLQHDKTRVNN >ENSMUSP00000044435.5 pep:known chromosome:GRCm38:11:82979629:82991830:-1 gene:ENSMUSG00000069793.11 transcript:ENSMUST00000038211.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn9 description:schlafen 9 [Source:MGI Symbol;Acc:MGI:2445121] METYLSLVVKRSYPDLIIYAGEVTLGEKVRNKKDSKKRKLEKTRITQAACALLNSGGGVI VIQMANQSEQPERMGQDLETSLRNLIPSLDLQAFFETKQQEDKFYIFVKSWSSSPEDDST KPRICSLGTSLYCRSLTSKVAMDSRDAFYFLKKKKAYIKCSPTDDRAPPAKIPRTMSQKS LESNPAFEIFQSKKLEYGQRLLFSESTSIEFKQFDTENAQKYMKDIIPEYISAFANTQGG YLFIGVDDKSIILGCPKDNVDPDSLKIVANEAISKLPVFHFCSSKDKNKVSYETRVIDVF QEGNLYGYLCVIKVEPFCCAVFSEAPISWMVDKEKGVYTLNTEEWVRMMVDVGPEAASND LSRDFECQLSLSDSPPHCRPVYSKKGLEHKVDLQQRLFQVSPDCLKYTPESLWSELCSQH ERLEDLVKQQIRSFSCGLLILSRSWAVDLNLEEKQEVICDALLIAQNSPPILYTILGEQD EQGQDYCTRTAFTLKQKLVNTGGYTGRVCVMTKVLCLSSQNNNKTSGGSVSPIDYPSSYN LANIQEMQGLLQALVIVLLNFRSFLSDQLGCEVLNLLTAQQYEILSKSLRKTRELFVHGL PGSGKTIIAMKIMEKIRNTFHCETDRILYICENQPLRDFIQAKNICQAVTRKTFMNYKFK TERFQHIIIDEAQNFRTEDGNWYEKAKGITRGMKNCPGILWIFLDYFQTSHLQESGLPDF SLQYPKEELTQVVRNADKIAEFLQQELQKIRDNPPCSIPQESLNILHEFKWSQGVSGTYE ITYLTLEKMVSYITDKCDVFLSKGYSPQDIAVLFSTDREKKAYEHMFLREMRKRRRASHM NDESVCHSNMFDSIRRFSGLERSIVFGINPIATEQPISHNLLLCLASRAMKHLYILYLST PEGQSSMVAC >ENSMUSP00000090515.4 pep:known chromosome:GRCm38:11:82980412:82991829:-1 gene:ENSMUSG00000069793.11 transcript:ENSMUST00000092840.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn9 description:schlafen 9 [Source:MGI Symbol;Acc:MGI:2445121] METYLSLVVKRSYPDLIIYAGEVTLGEKVRNKKDSKKRKLEKTRITQAACALLNSGGGVI VIQMANQSEQPERMGQDLETSLRNLIPSLDLQAFFETKQQEDKFYIFVKSWSSSPEDDST KPRICSLGTSLYCRSLTSKVAMDSRDAFYFLKKKKAYIKCSPTDDRAPPAKIPRTMSQKS LESNPAFEIFQSKKLEYGQRLLFSESTSIEFKQFDTENAQKYMKDIIPEYISAFANTQGG YLFIGVDDKSIILGCPKDNVDPDSLKIVANEAISKLPVFHFCSSKDKNKVSYETRVIDVF QEGNLYGYLCVIKVEPFCCAVFSEAPISWMVDKEKGVYTLNTEEWVRMMVDVGPEAASND LSRDFECQLSLSDSPPHCRPVYSKKGLEHKVDLQQRLFQVSPDCLKYTPESLWSELCSQH ERLEDLVKQQIRSFSCGLLILSRSWAVDLNLEEKQEVICDALLIAQNSPPILYTILGEQD EQGQDYCTRTAFTLKQKLVNTGGYTGRVCVMTKVLCLSSQNNNKTSGGSVSPIDYPSSYN LANIQEMQGLLQALVIVLLNFRSFLSDQLGCEVLNLLTAQQYEILSKSLRKTRELFVHGL PGSGKTIIAMKIMEKIRNTFHCETDRILYICENQPLRDFIQAKNICQAVTRKTFMNYKFK TERFQHIIIDEAQNFRTEDGNWYEKAKGITRGMKNCPGILWIFLDYFQTSHLQESGLPDF SLQYPKEELTQVVRNADKIAEFLQQELQKIRDNPPCSIPQESLNILHEFKWSQGVSGTYE ITYLTLEKMVSYITDKCDVFLSKGYSPQDIAVLFSTDREKKAYEHMFLREMRKRRRASHM NDESVCHSNMFDSIRRFSGLERSIVFGINPIATEQPISHNLLLCLASRAMKHLYILYLST PEGQSSMVAC >ENSMUSP00000115273.1 pep:known chromosome:GRCm38:11:82981984:82991137:-1 gene:ENSMUSG00000069793.11 transcript:ENSMUST00000138797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn9 description:schlafen 9 [Source:MGI Symbol;Acc:MGI:2445121] METYLSLVVKRSYPDLIIYAGEVTLGEKVRNKKDSKKRKLEKTRITQAACALLNSGGGVI VIQMANQSEQPERMGQDLETSLRNLIPSLDLQAFFETKQQEDKFYIFVKSWSSSPEDDST KPRICSLGTSLYCRSLTSKVAMDSRDAFYFLKKKKAYIKCSPTDDRAPPAKIPRTMSQKS LESNPAFEIFQSKKLEYGQRLLFSESTSIEFKQFDTENAQKYMKDIIPEYISAFANTQGG YLFIGVDDKSIILGCPKDNVDPDSLKIVANEAISKLPVFHFCSSKDKNKVSYETRVIDVF QEGNLYGYLCVIKVEPFCCAVFSEAPISWMVDKEKGVYTLNTEEWVRMMVDVGPEAASND LSRDFECQLSLSDSPPHCRPVYSKKGLEHKVDLQQRLFQVSPDCLKYTPESLWSELCSQH ERLEDLVKQQIRSFSCGLLILSRSWAVDLNLEEKQEVICDALLIAQNSPPILYTILGEQD EQGQDYCTRTAFTLKQKLVNTGGYTGRVCVMTKVLCLSSQNNNKTSGGSVSPIDYPSSYN LANIQEMQGLLQALVIVLLNFRSFLSDQLGCEVLNLLTAQQYEILSKSLRKTRELFVHGL PGSGKTIIAMKIMEKIRNTFHCETDRILYICENQPLRDFIQA >ENSMUSP00000111479.3 pep:known chromosome:GRCm38:18:30272747:30348126:1 gene:ENSMUSG00000033628.15 transcript:ENSMUST00000115812.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c3 description:phosphoinositide-3-kinase, class 3 [Source:MGI Symbol;Acc:MGI:2445019] MGEAEKFHYIYSCDLDINVQLKIGSLEGKREQKSYKAVLEDPMLKFSGLYQETCSDLYVT CQVFAEGKPLALPVRTSYKAFSTRWNWNEWLKLPVKYPDLPRNAQVALTIWDVYGPGSAV PVGGTTVSLFGKYGMFRQGMHDLKVWPNVEADGSEPTRTPGRTSSTLSEDQMSRLAKLTK AHRQGHMVKVDWLDRLTFREIEMINESEKRSSNFMYLMVEFRCVKCDDKEYGIVYYEKDG DESSPILTSFELVKVPDPQMSMENLVESKHHKLARSLRSGPSDHDLKPNATTRDQLNIIV SYPPTKQLTYEEQDLVWKFRYYLTNQEKALTKFLKCVNWDLPQEAKQALELLGKWKPMDV EDSLELLSSHYTNPTVRRYAVARLRQADDEDLLMYLLQLVQALKYENFDDIKNGLEPTKK DSQTSASESLSNSGVSSGDIDSSQIITNPLPPVASPPPASKAKEVSDGENLEQDLCTFLI SRACKNSTLANYLYWYVIVECEDQDTQQRDPKTHEMYLNVMRRFSQALLKGDKSVRVMRS LLAAQQTFVDRLVHLMKAVQRESGNRKKKNERLQALLGDNEKMNLSDVELIPLPLEPQVK IRGIIPETATLFKSALMPAQLFFKTEDGGKYPVIFKHGDDLRQDQLILQIISLMDKLLRK ENLDLKLTPYKVLATSTKHGFMQFIQSVPVAEVLDTEGSIQNFFRKYAPSETGPYGISAE VMDTYVKSCAGYCVITYILGVGDRHLDNLLLTKTGKLFHIDFGYILGRDPKPLPPPMKLN KEMVEGMGGTQSEQYQEFRKQCYTAFLHLRRYSNLILNLFSLMVDANIPDIALEPDKTVK KVQDKFRLDLSDEEAVHYMQSLIDESVHALFAAVVEQIHKFAQYWRK >ENSMUSP00000111478.1 pep:known chromosome:GRCm38:18:30272870:30330968:1 gene:ENSMUSG00000033628.15 transcript:ENSMUST00000115811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c3 description:phosphoinositide-3-kinase, class 3 [Source:MGI Symbol;Acc:MGI:2445019] MGEAEKFHYIYSCDLDINVQLKIGSLEGKREQKSYKAVLEDPMLKFSGLYQETCSDLYVT CQVFAEGKPLALPVRTSYKAFSTRWNWNEWLKLPVKYPDLPRNAQVALTIWDVYGPGSAV PVGGTTVSLFGKYGMFRQGMHDLKVWPNVEADGSEPTRTPGRTSSTLSEDQMSRLAKLTK AHRQGHMVKVDWLDRLTFREIEMINESEKRSSNFMYLMVEFRCVKCDDKEYGIVYYEKDG DESSPILTSFELVKVPDPQMSMENLVESKHHKLARSLRSGPSDHDLKPNATTRDQLNIIV SYPPTKQLTYEEQDLVWKFRYYLTNQEKALTKFLKCVNWDLPQEAKQALELLGKWKPMDV EDSLELLSSHYTNPTVRRYAVARLRQADDEDLLMYLLQLVQALKYENFDDIKNGLEPTKK DSQTSASESLSNSGVSSGDIDSSQIITNPLPPVASPPPASKAKEVSDGENLEQDLCTFLI SRACKNSTLANYLYWYVIVECEDQDTQQRDPKTHEMYLNVMRRFSQALLKGDKSVRVMRS LLAAQQTFVDRLVHLMKAVQRESGNRKKKNERLQALLGDNEKMNLSDVELIPLPLEPQVK IRGIIPETATLFKSALMPAQLFFKTEDGGKYPVIFKHGDDLRQDQLILQIISLMDKLLRK ENLDLKLTPYKVLATSTKHGFMQFIQSVPVAEVLDTEGSIQNFFRKYAPSETGPYGISAE VMDTYVKSCAGYCVITYILGVGDRHLDNLLLTKTDL >ENSMUSP00000089601.5 pep:known chromosome:GRCm38:18:30272872:30343116:1 gene:ENSMUSG00000033628.15 transcript:ENSMUST00000091978.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c3 description:phosphoinositide-3-kinase, class 3 [Source:MGI Symbol;Acc:MGI:2445019] MGEAEKFHYIYSCDLDINVQLKIGSLEGKREQKSYKAVLEDPMLKFSGLYQETCSDLYVT CQVFAEGKPLALPVRTSYKAFSTRWNWNEWLKLPVKYPDLPRNAQVALTIWDVYGPGSAV PVGGTTVSLFGKYGMFRQGMHDLKVWPNVEADGSEPTRTPGRTSSTLSEDQMSRLAKLTK AHRQGHMVKVDWLDRLTFREIEMINESEKRSSNFMYLMVEFRCVKCDDKEYGIVYYEKDG DESSPILTSFELVKVPDPQMSMENLVESKHHKLARSLRSGPSDHDLKPNATTRDQLNIIV SYPPTKQLTYEEQDLVWKFRYYLTNQEKALTKFLKCVNWDLPQEAKQALELLGKWKPMDV EDSLELLSSHYTNPTVRRYAVARLRQADDEDLLMYLLQLVQALKYENFDDIKNGLEPTKK DSQTSASESLSNSGVSSGDIDSSQIITNPLPPVASPPPASKAKEVSDGENLEQDLCTFLI SRACKNSTLANYLYWYVIVECEDQDTQQRDPKTHEMYLNVMRRFSQALLKGDKSVRVMRS LLAAQQTFVDRLVHLMKAVQRESGNRKKKNERLQALLGDNEKMNLSDVELIPLPLEPQVK IRGIIPETATLFKSALMPAQLFFKTEDGGKYPVIFKHGDDLRQDQLILQIISLMDKLLRK ENLDLKLTPYKVLATSTKHGFMQFIQSVPVAEVLDTEGSIQNFFRKYAPSETGPYGISAE VMDTYVKSCAGYCVITYILGVGDRHLDNLLLTKTGKLFHIDFGYILGRDPKPLPPPMKLN KEMVEGMGGTQSEQYQEFRKQCYTAFLHLRRYSNLILNLFSLMVDANIPDIALEPDKTVK KMSCHRKI >ENSMUSP00000128927.1 pep:known chromosome:GRCm38:18:30272927:30348120:1 gene:ENSMUSG00000033628.15 transcript:ENSMUST00000131405.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pik3c3 description:phosphoinositide-3-kinase, class 3 [Source:MGI Symbol;Acc:MGI:2445019] MGEAEKFHYIYSCDLDINVQLKIGSLEGKREQKSYKAVLEDPMLKFSGLYQETCSDLYVT CQVFAEGKPLALPVRTSYKAFSTRWNWNEWLKLPVKYPDLPRNAQVALTIWDVYGPGSAV PVGGTTVSLFGKYGMFRQGMHDLKVWPNVEADGSEPTRTPGRTSSTLSEDQMSRLAKLTK AHRQGHMVKVDWLDRLTFREIEMINESEKRSSNFMYLMVEFRCVKCDDKEYGIVYYEKDG DESSPILTSFELVKVPDPQMSMENLVESKHHKLARSLRSGPSDHDLKPNATTRDQLNIIV SYPPTKQLTYEEQDLVWKFRYYLTNQEKALTKFLKCVNWDLPQEAKQALELLGKWKPMDV EDSLELLSSHYTNPTVRRYAVARLRQADDEDLLMYLLQLVQALKYENFDDIKNGLEPTKK DSQTSASESLSNSGVSSGDIDSSQIITNPLPPVASPPPASKAKEVSDGENLEQDLCTFLI SRACKNSTLANYLYCSVSTDRMDLSVSSHHPSI >ENSMUSP00000021262.3 pep:known chromosome:GRCm38:11:69183932:69197843:-1 gene:ENSMUSG00000020891.11 transcript:ENSMUST00000021262.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox8 description:arachidonate 8-lipoxygenase [Source:MGI Symbol;Acc:MGI:1098228] MAKCRVRVSTGEACGAGTWDKVSVSIVGTHGESPLVPLDHLGKEFSAGAEEDFEVTLPQD VGTVLMLRVHKAPPEVSLPLMSFRSDAWFCRWFELEWLPGAALHFPCYQWLEGAGELVLR EGAAKVSWQDHHPTLQDQRQKELESRQKMYSWKTYIEGWPRCLDHETVKDLDLNIKYSAM KNAKLFFKAHSAYTELKVKGLLDRTGLWRSLREMRRLFNFRKTPAAEYVFAHWQEDAFFA SQFLNGINPVLIRRCHSLPNNFPVTDEMVAPVLGPGTSLQAELEKGSLFLVDHGILSGVH TNILNGKPQFSAAPMTLLHQSSGSGPLLPIAIQLKQTPGPDNPIFLPSDDTWDWLLAKTW VRNSEFYIHEAVTHLLHAHLIPEVFALATLRQLPRCHPLFKLLIPHIRYTLHINTLAREL LVAPGKLIDKSTGLGTGGFSDLIKRNMEQLNYSVLCLPEDIRARGVEDIPGYYYRDDGMQ IWGAIKSFVSEIVSIYYPSDTSVQDDQELQAWVREIFSEGFLGRESSGMPSLLDTREALV QYITMVIFTCSAKHAAVSSGQFDSCVWMPNLPPTMQLPPPTSKGQARPESFIATLPAVNS SSYHIIALWLLSAEPGDQRPLGHYPDEHFTEDAPRRSVAAFQRKLIQISKGIRERNRGLA LPYTYLDPPLIENSVSI >ENSMUSP00000091621.3 pep:known chromosome:GRCm38:11:69185090:69197809:-1 gene:ENSMUSG00000020891.11 transcript:ENSMUST00000094078.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox8 description:arachidonate 8-lipoxygenase [Source:MGI Symbol;Acc:MGI:1098228] MAKCRVRVSTGEACGAGTWDKVSVSIVGTHGESPLVPLDHLGKEFSAGAEEDFEVTLPQD VGTVLMLRVHKAPPEVSLPLMSFRSDAWFCRWFELEWLPGAALHFPCYQWLEGAGELVLR EGAAKVSWQDHHPTLQDQRQKELESRQKMYSWKTYIEGWPRCLDHETVKDLDLNIKYSAM KNAKLFFKAHSAYTELKVKGLLDRTGLWRSLREMRRLFNFRKTPAAEYVFAHWQEDAFFA SQFLNGINPVLIRRCHSLPNNFPVTDEMVAPVLGPGTSLQAELEKGSLFLVDHGILSGVH TNILNGKPQFSAAPMTLLHQSSGSGPLLPIAIQLKQTPGPDNPIFLPSDDTWDWLLAKTW VRNSEFYIHEAVTHLLHAHLIPEVFALATLRQLPRCHPLFKSTGLGTGGFSDLIKRNMEQ LNYSVLCLPEDIRARGVEDIPGYYYRDDGMQIWGAIKSFVSEIVSIYYPSDTSVQDDQEL QAWVREIFSEGFLGRESSGMPSLLDTREALVQYITMVIFTCSAKHAAVSSGQFDSCVWMP NLPPTMQLPPPTSKGQARPESFIATLPAVNSSSYHIIALWLLSAEPGDQRPLGHYPDEHF TEDAPRRSVAAFQRKLIQISKGIRERNRGLALPYTYLDPPLIENSVSI >ENSMUSP00000098271.4 pep:known chromosome:GRCm38:11:84048041:84068357:-1 gene:ENSMUSG00000018648.15 transcript:ENSMUST00000100705.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp14 description:dual specificity phosphatase 14 [Source:MGI Symbol;Acc:MGI:1927168] MSSRGHSTLPRTLMAPRMISEGDIGGIAQITSSLFLGRASVASNWHLLQARGITCVINAT IEIPNFNWPQFEYVKVPLADIPHAPIRLYFDTVADKIHSVSKKHGATLVHCAAGVSRSAT LCIAYLMKFHNLCLLEAYNWVKARRPVIRPNLGFWRQLIDYESQLFGKSSVKMVQTPYGI IPDVYEKESRHLMPYWGI >ENSMUSP00000018792.5 pep:known chromosome:GRCm38:11:84048041:84068801:-1 gene:ENSMUSG00000018648.15 transcript:ENSMUST00000018792.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp14 description:dual specificity phosphatase 14 [Source:MGI Symbol;Acc:MGI:1927168] MSSRGHSTLPRTLMAPRMISEGDIGGIAQITSSLFLGRASVASNWHLLQARGITCVINAT IEIPNFNWPQFEYVKVPLADIPHAPIRLYFDTVADKIHSVSKKHGATLVHCAAGVSRSAT LCIAYLMKFHNLCLLEAYNWVKARRPVIRPNLGFWRQLIDYESQLFGKSSVKMVQTPYGI IPDVYEKESRHLMPYWGI >ENSMUSP00000103736.1 pep:known chromosome:GRCm38:11:84048414:84067080:-1 gene:ENSMUSG00000018648.15 transcript:ENSMUST00000108101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp14 description:dual specificity phosphatase 14 [Source:MGI Symbol;Acc:MGI:1927168] MSSRGHSTLPRTLMAPRMISEGDIGGIAQITSSLFLGRASVASNWHLLQARGITCVINAT IEIPNFNWPQFEYVKVPLADIPHAPIRLYFDTVADKIHSVSKKHGATLVHCAAGVSRSAT LCIAYLMKFHNLCLLEAYNWVKARRPVIRPNLGFWRQLIDYESQLFGKSSVKMVQTPYGI IPDVYEKESRHLMPYWGI >ENSMUSP00000120773.1 pep:known chromosome:GRCm38:11:84048980:84069261:-1 gene:ENSMUSG00000018648.15 transcript:ENSMUST00000138208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp14 description:dual specificity phosphatase 14 [Source:MGI Symbol;Acc:MGI:1927168] MSSRGHSTLPRTLMAPRMISEGDIGGIAQITSSLFLGRASVASNWHLLQARGITCVINAT IEIPNFNWPQFEYVKVPL >ENSMUSP00000130624.1 pep:known chromosome:GRCm38:11:84048045:84068579:-1 gene:ENSMUSG00000018648.15 transcript:ENSMUST00000164891.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp14 description:dual specificity phosphatase 14 [Source:MGI Symbol;Acc:MGI:1927168] MSSRGHSTLPRTLMAPRMISEGDIGGIAQITSSLFLGRASVASNWHLLQARGITCVINAT IEIPNFNWPQFEYVKVPLADIPHAPIRLYFDTVADKIHSVSKKHGATLVHCAAGVSRSAT LCIAYLMKFHNLCLLEAYNWVKARRPVIRPNLGFWRQLIDYESQLFGKSSVKMVQTPYGI IPDVYEKESRHLMPYWGI >ENSMUSP00000078070.5 pep:known chromosome:GRCm38:12:27334264:27342574:-1 gene:ENSMUSG00000063632.6 transcript:ENSMUST00000079063.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox11 description:SRY (sex determining region Y)-box 11 [Source:MGI Symbol;Acc:MGI:98359] MVQQAESSEAESNLPRDALDTEEGEFMACSPVALDESDPDWCKTASGHIKRPMNAFMVWS KIERRKIMEQSPDMHNAEISKRLGKRWKMLKDSEKIPFIREAERLRLKHMADYPDYKYRP RKKPKTDPAAKPSAGQSPDKSAAGAKAAKGPGKKCAKLKAPAGKAGAGKAAQPGDCAAGK AAKCVFLDDDDEDDDEDDELQLRPKPDADDDDDEPAHSHLLPPPTQQQPPQLLRRYSVAK VPASPTLSSAAESPEGASLYDEVRAGGRLYYSFKNITKQQPPPAPPALSPASSRCVSTSS SSGSSSGSGAEDADDLMFDLSLNFSQGAHSACEQPLGAGAAGNLSLSLVDKDLDSFSEGS LGSHFEFPDYCTPELSEMIAGDWLEANFSDLVFTY >ENSMUSP00000032425.5 pep:known chromosome:GRCm38:6:113514874:113531652:-1 gene:ENSMUSG00000030286.6 transcript:ENSMUST00000032425.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc3 description:ER membrane protein complex subunit 3 [Source:MGI Symbol;Acc:MGI:1913337] MAGPELLLDSNIRLWVVLPIVIITFFVGMIRHYVSILLQSDKKLTQEQVSDSQVLIRSRV LRENGKYIPKQSFLTRKYYFNNPEDGFFKKTKRKVVPPSPMTDPTMLTDMMKGNVTNVLP MILIGGWINMTFSGFVTTKVPFPLTLRFKPMLQQGIELLTLDASWVSSASWYFLNVFGLR SIYSLILGQDNAADQSRMMQEQMTGAAMAMPADTNKAFKTEWEALELTDHQWALDDVEEE LMARDLHFEGMFKKELQTSIF >ENSMUSP00000088046.3 pep:known chromosome:GRCm38:3:108390851:108415552:-1 gene:ENSMUSG00000068740.13 transcript:ENSMUST00000090558.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celsr2 description:cadherin, EGF LAG seven-pass G-type receptor 2 [Source:MGI Symbol;Acc:MGI:1858235] MRSRAASAPLPTPLLPLLLLLLLLPPSPLLGDQVGPCRSLGSGGRSSSGACAPVGWLCPA SASNLWLYTSRCRESGIELTGHLVPHHDGLRVWCPESGAHIPLPPSSEGCPWSCRLLGIG GHLSPQGTLTLPEEHPCLKAPRLRCQSCKLAQAPGLRAGEGSPEESLGGRRKRNVNTAPQ FQPPSYQATVPENQPAGTSVASLRAIDPDEGEAGRLEYTMDALFDSRSNHFFSLDPITGV VTTAEELDRETKSTHVFRVTAQDHGMPRRSALATLTILVTDTNDHDPVFEQQEYKESLRE NLEVGYEVLTVRATDGDAPPNANILYRLLEGAGGSPSDAFEIDPRSGVIRTRGPVDREEV ESYKLTVEASDQGRDPGPRSSTAIVFLSVEDDNDNAPQFSEKRYVVQVREDVTPGAPVLR VTASDRDKGSNALVHYSIMSGNARGQFYLDAQTGALDVVSPLDYETTKEYTLRIRAQDGG RPPLSNVSGLVTVQVLDINDNAPIFVSTPFQATVLESVPLGYLVLHVQAIDADAGDNARL EYSLAGVGHDFPFTINNGTGWISVAAELDREEVDFYSFGVEARDHGTPALTASASVSVTI LDVNDNNPTFTQPEYTVRLNEDAAVGTSVVTVSAVDRDAHSVITYQITSGNTRNRFSITS QSGGGLVSLALPLDYKLERQYVLAVTASDGTRQDTAQIVVNVTDANTHRPVFQSSHYTVN VNEDRPAGTTVVLISATDEDTGENARITYFMEDSIPQFRIDADTGAVTTQAELDYEDQVS YTLAITARDNGIPQKSDTTYLEILVNDVNDNAPQFLRDSYQGSVYEDVPPFTSVLQISAT DRDSGLNGRVFYTFQGGDDGDGDFIVESTSGIVRTLRRLDRENVAQYVLRAYAVDKGMPP ARTPMEVTVTVLDVNDNPPVFEQDEFDVFVEENSPIGLAVARVTATDPDEGTNAQIMYQI VEGNIPEVFQLDIFSGELTALVDLDYEDRPEYVLVIQATSAPLVSRATVHVRLLDRNDNP PVLGNFEILFNNYVTNRSSSFPGGAIGRVPAHDPDISDSLTYSFERGNELSLVLLNASTG ELRLSRALDNNRPLEAIMSVLVSDGVHSVTAQCSLRVTIITDEMLTHSITLRLEDMSPER FLSPLLGLFIQAVAATLATPPDHVVVFNVQRDTDAPGGHILNVSLSVGQPPGPGGGPPFL PSEDLQERLYLNRSLLTAISAQRVLPFDDNICLREPCENYMRCVSVLRFDSSAPFIASSS VLFRPIHPVGGLRCRCPPGFTGDYCETEVDLCYSRPCGPHGRCRSREGGYTCLCLDGYTG EHCEASTHSGRCTPGVCKNGGTCVNLLVGGFKCDCPSGDFEKPFCQVTTRSFPARSFITF RGLRQRFHFTLALSFATKERNGLLLYNGRFNEKHDFVALEVIQEQVQLTFSAGESTTTVS PFVPGGVSDGQWHTVQLKYYNKPLLGQTGLPQGPSEQKVAVVSVDGCDTGVALRFGAMLG NYSCAAQGTQGGSKKSLDLTGPLLLGGVPDLPESFPVRMRHFVGCMKDLQVDSRHIDMAD FIANNGTVPGCPTKKIVCDSSICHNGGTCVNQWNAFSCECPLGFGGKSCAQEMANPQRFL GSSLVAWHGLSLPISQPWHLSLMFRTRQADGVLLQAVTRGRSTITLQLRAGHVVLSVEGT GLQASSLRLEPGRANDGDWHHAQLALGASGGPGHAILSFDYGQQKAEGNLGPRLHGLHLS NITVGGVPGPASGVARGFRGCLQGVRVSETPEGISSLDPSRGESINVEPGCSWPDPCDSN PCPTNSYCSNDWDSYSCSCVLGYYGDNCTNVCDLNPCEHQSVCTRKPNTPHGYICECLPN YLGPYCETRIDQPCPRGWWGHPTCGPCNCDVSKGFDPDCNKTSGECHCKENHYRPPGSPT CLLCDCYPTGSLSRVCDPEDGQCPCKPGVIGRQCDRCDNPFAEVTTNGCEVNYDSCPRAI EAGIWWPRTRFGLPAAAPCPKGSFGTAVRHCDEHRGWLPPNLFNCTSVTFSELKGFAERL QRNESGLDSGRSQRLALLLRNATQHTSGYFGSDVKVAYQLATRLLAHESAQRGFGLSATQ DVHFTENLLRVGSALLDAANKRHWELIQQTEGGTAWLLQHYEAYASALAQNMRHTYLSPF TIVTPNIVISVVRLDKGNFAGTKLPRYEALRGERPPDLETTVILPESVFREMPSMVRSAG PGEAQETEELARRQRRHPELSQGEAVASVIIYHTLAGLLPHNYDPDKRSLRVPKRPVINT PVVSISVHDDEELLPRALDKPVTVQFRLLETEERTKPICVFWNHSILVSGTGGWSARGCE VVFRNESHVSCQCNHMTSFAVLMDMSRRENGEILPLKTLTYVALGVTLAALMLTFLFLTL LRALRSNQHGIRRNLTAALGLAQLVFLLGINQADLPFACTVIAILLHFLYLCTFSWALLE ALHLYRALTEVRDVNASPMRFYYMLGWGVPAFITGLAVGLDPEGYGNPDFCWLSVYDTLI WSFAGPVAFAVSMSVFLYILSARASCAAQRQGFEKKGPVSGLRSSFTVLLLLSATWLLAL LSVNSDTLLFHYLFAACNCVQGPFIFLSYVVLSKEVRKALKFACSRKPSPDPALTTKSTL TSSYNCPSPYADGRLYQPYGDSAGSLHSASRSGKSQPSYIPFLLREESTLNPGQVPPGLG DPSGLFLEGQAQQHDPDTDSDSDLSLEDDQSGSYASTHSSDSEEEEEEAAFPGEQGWDSL LGPGAERLPLHSTPKDGGPGSGKVPWLGDFGTTTKENSGSGPLEERPRENGDALTREGSL GPLPGPSTQPHKGILKKKCLPTISEKSSLLRLPLEQGTGSSRGSSISEGSRHGPPPRPPP RQSLQEQLNGVMPVAMSIKAGTVDEDSSGSEFLFFNFLH >ENSMUSP00000122329.1 pep:known chromosome:GRCm38:3:108392222:108398951:-1 gene:ENSMUSG00000068740.13 transcript:ENSMUST00000147251.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celsr2 description:cadherin, EGF LAG seven-pass G-type receptor 2 [Source:MGI Symbol;Acc:MGI:1858235] XEHRGWLPPNLFNCTSVTFSELKGFAERLQRNESGLDSGRSQRLALLLRNATQHTSGYFG SDVKVAYQLATRLLAHESAQRGFGLSATQDVHFTENLLRVGSALLDAANKRHWELIQQTE GGTAWLLQHYEAYASALAQNMRHTYLSPFTIVTPNIVISVVRLDKGNFAGTKLPRYEALR GERPPDLETTVILPESVFREMPSMVRSAGPGEAQETEELARRQRRHPELSQGEAVASVII YHTLAGLLPHNYDPDKRSLRVPKRPVINTPVVSISVHDDEELLPRALDKPVTVQFRLLET EERTKPICVFWNHSILVSGTGGWSARGCEVVFRNESHVSCQCNHMTSFAVLMDMSRRENG EILPLKTLTYVALGVTLAALMLTFLFLTLLRALRSNQHGIRRNLTAALGLAQLVFLLGIN QADLPFACTVIAILLHFLYLCTFSWALLEALHLYRALTEVRDVNASPMRFYYMLGWGVPA FITGLAVGLDPEGYGNPDFCWLSVYDTLIWSFAGPVAFAVSMSVFLYILSARASCAAQRQ GFEKKGPVSGLRSSFTVLLLLSATWLLALLSVNSDTLLFHYLFAACNCVQGPFIFLSYVV LSKEVRKALKFACSRKPSPDPALTTKSTLTSSYNCPSPYADGRLYQPYGDSAGSLHSASR SGKSQPSYIPFLLREESTLNPGQVPPGLGDPSGLFLEGQAQQHDPDTDSDSDLSLEDDQS GSYASTHSSDSEEEEEEAAFPGEQGWDSLLGPGAERLPLHSTPKDGGPGSGKVPWLGDFG TTTKENSGSGPLEERPRENGDALTREGSLGPLPGPSTQPHKGILKKKCLPTISEKSSLLR LPLEQGTGSSRGSSISEGSRHGPPPRPPPRQSLQEQLNGVMPVAMSIKAGTVDEDSSGSE >ENSMUSP00000029822.4 pep:known chromosome:GRCm38:3:134829007:134934579:1 gene:ENSMUSG00000028172.5 transcript:ENSMUST00000029822.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacr3 description:tachykinin receptor 3 [Source:MGI Symbol;Acc:MGI:892968] MASVPTGENWTDGTAGVGSHTGNLSAALGITEWLALQAGNFSSALGLPVTSQAPSQVRAN LTNQFVQPSWRIALWSLAYGLVVAVAVFGNLIVIWIILAHKRMRTVTNYFLVNLAFSDAS VAAFNTLVNFIYGVHSEWYFGANYCRFQNFFPITAVFASIYSMTAIAVDRYMAIIDPLKP RLSATATKIVIGSIWILAFLLAFPQCLYSKIKVMPGRTLCYVQWPEGPKQHFTYHIIVII LVYCFPLLIMGVTYTIVGITLWGGEIPGDTCDKYHEQLKAKRKVVKMMIIVVVTFAICWL PYHVYFILTAIYQQLNRWKYIQQVYLASFWLAMSSTMYNPIIYCCLNKRFRAGFKRAFRW CPFIQVSSYDELELKTTRFHPTRQSSLYTVSRMESVTVLYDPSEGDPAKSSRKKRAVPRD PSANGCSHREFKSASTTSSFISSPYTSVDEYS >ENSMUSP00000024757.7 pep:known chromosome:GRCm38:17:44096308:44105809:-1 gene:ENSMUSG00000023961.16 transcript:ENSMUST00000024757.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp4 description:ectonucleotide pyrophosphatase/phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:2682634] MFNMKILVIPLFWGLVTGYKGNSSDSSAPRLLLVSFDGFRADYLKSYDLPHLQNFIKEGV LVEHVKNVFITKTFPNHYSIVTGLYEESHGIVANSMYDSVTKKHFSESNDKDPFWWNGAE PIWVTNQLQENRSSAAAMWPGTDVPIHNITASYFMNYSSSVSFKERLGNVTTWLSSSNPP VTFAALYWEEPDVSGHKYGPEDKENMRRVLKEVDDLIGDIVLKLKVLGLWDSLNVIITSD HGMAQCSKNRLIDLDSCIDRSNYSVIDLTPVAAILPKINVTEVYDKLKRCNPHMNVYLKE AIPNRFYYQHSSRIQPIILVAEEGWTITLNKSSFKLGDHGYDNSLPSMHPFLAAHGPAFR KGYRQSTINTVDIYPMMCHILGLKPHPNNGTLSHTKCLLVDQWCINLPEAIGIVVSALLV LTMLTGLMIFMRSRASTSRPFSRLQLQEDDDDPLID >ENSMUSP00000114429.1 pep:known chromosome:GRCm38:17:44096316:44105764:-1 gene:ENSMUSG00000023961.16 transcript:ENSMUST00000143137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp4 description:ectonucleotide pyrophosphatase/phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:2682634] MFNMKILVIPLFWGLVTGYKGNSSDSSAPRLLLVSFDGFRADYLKSYDLPHLQNFIKEGV LVEHVKNVFITKTFPNHYSIVTGLYEESHGIVANSMYDSVTKKHFSESNDKDPFWWNGAE PIWVTNQLQENRSSAAAMWPGTDVPIHNITASYFMNYSSSVSFKERLGNVTTWLSSSNPP VTFAALYWEEPDVSGHKYGPEDKENMRRVLKEVDDLIGDIVLKLKVLGLWDSLNVIITSD HGMAQCSKNRLIDLDSCIDRSNYSVIDLTPVAAILPKINVTEVYDKLKRCNPHMNVYLKE AIPNRFYYQHSSRIQPIILVAEEGWTITLNKSSFKLGDHGYDNSLPSMHPFLAAHGPAFR KGYRQSTINTVDIYPMMCHILGLKPHPNNGTLSHTKCLLVDQWCINLPEAIGIVVSALLV LTMLTGLMIFMRSRASTSRPFSRLQLQEDDDDPLID >ENSMUSP00000119521.1 pep:known chromosome:GRCm38:2:29124181:29130245:1 gene:ENSMUSG00000043535.13 transcript:ENSMUST00000129544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setx description:senataxin [Source:MGI Symbol;Acc:MGI:2443480] MSTCCWCTPGGSSTIDVLKRYASSTGSSEFQTADEDLCYCLECVAEYHRARDEVPFLHEV LWELETLRLVSHFEKSMKAEA >ENSMUSP00000051492.2 pep:known chromosome:GRCm38:2:29124992:29182471:1 gene:ENSMUSG00000043535.13 transcript:ENSMUST00000061578.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setx description:senataxin [Source:MGI Symbol;Acc:MGI:2443480] MSTCCWCTPGGSSTIDVLKRYASSTGSSEFQTADEDLCYCLECVAEYHRARDEVPFLHEV LWELETLRLVSHFEKSMKAEAEDDDDLYIVDNNGEEQLFDCSGQDFENKLRVPLFEILKY PYLLLHERVNELCVEALCRMEQNNCSFQVFDKYPGIYLFLVHPNEMVRRWAILTARNLGK VDRDDYYDLQEVLTCLFKVIELGLLESPDIYTSSVLEKGKLILLPAHMYDTTNYKNYWLG ICMLLTILEEQAMDSLLLGSDKQNDFMQSILHTMEKQSDDDSMDPFWPALHCFMVILDRL GSKVWGQLIDPIEAFQTIINNESYNREIQNIRNSSIRTKLEPEPHFDDMVTCSQIVYNFN PEKTKKDSGWRSAICPDYCPNMYEEMETLANVLQSDIGQDMRVHNSTFLWFIPFVQSLMD LKDLGVAYIVEVIHHLYSEVKDVLNQTDAVCDKVTEFFILILISVIELHRNKKCLHLLWV SSQQWVEAVVKCAKLPTTAFVRSCEKSPGSTSRGAAIMSSLALHSVQSNSVQLACVQLIR GLLKEGYQLGQQTLCKRFWDKLNLFLRGNLSLGWQLTGQETHELQMCLKQIIRNIKFKMP QYSTFGDSTSTFKTPPSFKEESDKIDRKHKKNIYCLENCSPVSSKEPMKADTHRVLMKVN TTEEENFKQHYIDLNEEEQEPLPAELCLKQKSEALFSESAQEQVKISAEKSGKESSSYAP SNSTSRNGPEWGCDRGVIMSAHSLTDSSSDFMEQVSTSNEDVSLKDGSVGKTSKPSFKLQ KDEICAKLSHVIKKQIRKSTLVDNIIDLEENTAISDLENCSGTDGGALKEDSIGHNVPSD PVLDDKHEEQKSQNSSLFKKEIKSEELDNSSSDDEDKLQIQEGRADDDLVSFTEVTDTLV KAPCEGHVKMVVESRDKEMRESTALTSNLVEGQVPHDSSKPLVAGRQIDLCNITLISQTT VIQFPSGLSKQNSFQLQKGDKRCLTANQNSAATCRGQVIVISDSDEEEDEDEDERSSSEE NIKQSKACIGKDCSEHRSLAVNASVEKQLVKEEERYPVEFEDSESQVFEFESSSEVFSVW QDHKIDSKNSLQGEQKSYVTHVADSTNNNLGCGDSVSEEVVRNKAEGVKEHAGPHSSVSA EEFCKTGVKKPKRKRYDKVTAEDPQRPSSSVGTDQLPDRRDLTESDLKSADMGMATPSSS VERDSTILQKSTKSRTHSKPVRKVPASKATKKTHSDTRRGQSKSSCYISCRTSPAIVPPK KLRQCPEPTSTVEKLGLKKAPRKAFELSQRSLECIVQLRDHGKTVGVVDAPKKAKLISPQ TLSIKNNKKLLTSQDLQFQRLMRSRSHKKRDFDYKNTDTVRVSRIVQGSDVLEADSDEPD DHRVSEPLAISNEKQLAKCMLSKTEVAEASSDPWVTGITCLVNQCESRVLSGGVPTDVVM VSASEDPVDGGAVTVQVGEVASVKAAEPASSSDTDDDDNLFLTQHDPQDMDLCSQLENKT IIVAHKKDTVQREDSLSRPQLESLSITKCKYKDCVETTKNQGEYCPRHSEAKAADDGLFR KPGLPLSVARPLRPTTTKIFSSSSASRTANLSKSLESTTLQQSALKNKSSGAQPNLKVTP PSSMGSQKPVAEVKSLCNIFHFQTPSSSSKQSCKLTFSENRPTSAASPVNILLPSQSIFD TFIKEVLKWKYQMFLNFDKCGAPTSLCQSISRPVPVRFQDCAEYFNVFLPLIILNAFETV AQEWLSSPNKENFYQLQLRKFPADYKKYWEFLIYLNESELAKQLHPKENDLVFLAPEKSY MDRHGMQDCSHYYCGYVHKFRRTSVMRSGKAECSLCIQTQDTLPASVKNLTRCIVISSLV TTQRKLKAMSLLSSRNQLARAVLNPNPMDFCTKDLLTTTSERIVAYLKDFNEDQKKAIET AYAMVKHSPSVAKICLIHGPPGTGKSKTIVGLLYRLLTENQRKGHSDENFNAKIKQNRVL VCAPSNAAVDELMKKIILEFKEKCKDKKNPLGNCGDINLVRLGPEKSINTEVLKFSLDSQ VNHRMKKDLPSHIQEMLRRKEILDAQLDELSRQRALCRGGREMQRQELDEHIAIVSKERQ ELASKIKEVQGRPQRAQNTIILESHVICCTLSTSGGLLLESAFRGQGGVPFSCVIVDEAG QSCEVETLSPLIHRCNKLILVGDPKQLPPTVISMKAQEYGYDQSMMARFCKLLEENVEQN MIGRLPVLQLTIQYRMHPDICLFPSNYVYNKNLKTNRLTESIRCSSEWPFQPYLVFDVGD GSERRDNDSYINVQEIKLVMEIIKLIKEKRKDISFRNIGIITHYKAQKTMIQKDLEKEFD KKGPAEVDTVDAFQGRQKDCIIVTCVRASAVQGSIGFLASLQRLNVTITRAKYSLFILGH LRTLMENQHWYELIQDAQKRGAIIKTSDPNYRHDAMKILKLKPVLQRSLTHPPATAPEAP RPQGGLPSNRLDSGLATTSFAASLYHTPSDTVTSKGPERPLLQDRLRDPRLLRRLDAEAK GTFLKDPQPVSPQLPGVVHLLGEPGFPVVFQDLGFVVPPSTAIVAPLGSHRSPMQAEPPP AHPAAAASTSKRKYSDPDAGLSHKREPRAFSGEQGRHGSVTHHVLRSTDWDRRRLDDSSA KRRQFL >ENSMUSP00000119176.1 pep:known chromosome:GRCm38:2:29164458:29179540:1 gene:ENSMUSG00000043535.13 transcript:ENSMUST00000145422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setx description:senataxin [Source:MGI Symbol;Acc:MGI:2443480] XCCTLSTSGGLLLESAFRGQGGVPFSCVIVDEAGQSCEVETLSPLIHRCNKLILVGDPKQ LPPTVISMKAQEYGYDQSMMARFCKLLEENVEQNMIGRLPVLQLTIQYRMHPDICLFPSN YVYNKNLKTNRLTESIRCSSEWPFQPYLVFDVGDGSERRDNDSYINVQEIKLVMEIIKLI KEKRKDISFRNIGIITHYKAQKTMIQKDLEKEFDKKGLLQPIMMGESRQQDSEASKQQQK SSEFMHASDELGSSTVTQSGISSLENGATHTGPAEVDTVDAFQGRQKDCIIVTCVRASAV QGSIGFLASLQRLNVTITRAKYSLFILGHLRTLMENQHWYELIQDAQKRGAIIKTSDPNY RHDAMKILKLKPVLQRSLTHP >ENSMUSP00000106735.1 pep:known chromosome:GRCm38:1:180227004:180253138:-1 gene:ENSMUSG00000010609.15 transcript:ENSMUST00000111106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psen2 description:presenilin 2 [Source:MGI Symbol;Acc:MGI:109284] MLAFMASDSEEEVCDERTSLMSAESPTSRSCQEGRPGPEDGESTAQWRTQESEEDCEEDP DRYACSGAPGRPSGLEEELTLKYGAKHVIMLFVPVTLCMIVVVATIKSVRFYTEKNGQLI YTPFTEDTPSVGQRLLNSVLNTLIMISVIVVMTIFLVVLYKYRCYKFIHGWLIMSSLMLL FLFTYIYLGEVLKTYNVAMDYPTLFLAVWNFGAVGMVCIHWKGPLVLQQAYLIVISALMA LVFIKYLPEWSAWVILGAISVYDLVAVLCPKGPLRMLVETAQERNEPIFPALIYSSAMVW TVGMAKLDPSSQGALQLPYDPEMEEDSYDSFGEPSYPEAFEAPLPGYPGEELEEEEERGV KLGLGDFIFYSVLVGKAAATGNGDWNTTLACFIAILIGLCLTLLLLAVFKKALPALPISI TFGLIFYFSTDNLVRPFMDTLASHQLYI >ENSMUSP00000106737.3 pep:known chromosome:GRCm38:1:180227004:180256300:-1 gene:ENSMUSG00000010609.15 transcript:ENSMUST00000111108.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psen2 description:presenilin 2 [Source:MGI Symbol;Acc:MGI:109284] MLAFMASDSEEEVCDERTSLMSAESPTSRSCQEGRPGPEDGESTAQWRTQESEEDCEEDP DRYACSGAPGRPSGLEEELTLKYGAKHVIMLFVPVTLCMIVVVATIKSVRFYTEKNGQLI YTPFTEDTPSVGQRLLNSVLNTLIMISVIVVMTIFLVVLYKYRCYKFIHGWLIMSSLMLL FLFTYIYLGEVLKTYNVAMDYPTLFLAVWNFGAVGMVCIHWKGPLVLQQAYLIVISALMA LVFIKYLPEWSAWVILGAISVYDLVAVLCPKGPLRMLVETAQERNEPIFPALIYSSAMVW TVGMAKLDPSSQGALQLPYDPEMEEDSYDSFGEPSYPEAFEAPLPGYPGEELEEEEERGV KLGLGDFIFYSVLVGKAAATGNGDWNTTLACFIAILIGLCLTLLLLAVFKKALPALPISI TFGLIFYFSTDNLVRPFMDTLASHQLYI >ENSMUSP00000010753.7 pep:known chromosome:GRCm38:1:180227006:180245950:-1 gene:ENSMUSG00000010609.15 transcript:ENSMUST00000010753.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psen2 description:presenilin 2 [Source:MGI Symbol;Acc:MGI:109284] MLAFMASDSEEEVCDERTSLMSAESPTSRSCQEGRPGPEDGESTAQWRTQESEEDCEEDP DRYACSGAPGRPSGLEEELTLKYGAKHVIMLFVPVTLCMIVVVATIKSVRFYTEKNGQLI YTPFTEDTPSVGQRLLNSVLNTLIMISVIVVMTIFLVVLYKYRCYKFIHGWLIMSSLMLL FLFTYIYLGEVLKTYNVAMDYPTLFLAVWNFGAVGMVCIHWKGPLVLQQAYLIVISALMA LVFIKYLPEWSAWVILGAISVYDLVAVLCPKGPLRMLVETAQERNEPIFPALIYSSAMVW TVGMAKLDPSSQGALQLPYDPEMEEDSYDSFGEPSYPEAFEAPLPGYPGEELEEEEERGV KLGLGDFIFYSVLVGKAAATGNGDWNTTLACFIAILIGLCLTLLLLAVFKKALPALPISI TFGLIFYFSTDNLVRPFMDTLASHQLYI >ENSMUSP00000106734.1 pep:known chromosome:GRCm38:1:180227443:180248150:-1 gene:ENSMUSG00000010609.15 transcript:ENSMUST00000111105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psen2 description:presenilin 2 [Source:MGI Symbol;Acc:MGI:109284] MLAFMASDSEEEVCDERTSLMSAESPTSRSCQEGRPGPEDGESTAQWRTQESEEDCEEDP DRYACSGAPGRPSGLEEELTLKYGAKHVIMLFVPVTLCMIVVVATIKSVRFYTEKNGQLI YTPFTEDTPSVGQRLLNSVLNTLIMISVIVVMTIFLVVLYKYRCYKFIHGWLIMSSLMLL FLFTYIYLGEVLKTYNVAMDYPTLFLAVWNFGAVGMVCIHWKGPLVLQQAYLIVISALMA LVFIKYLPEWSAWVILGAISVYDLVAVLCPKGPLRMLVETAQERNEPIFPALIYSSAMVW TVGMAKLDPSSQGALQLPYDPEMEEDSYDSFGEPSYPEAFEAPLPGYPGEELEEEEERGV KLGLGDFIFYSVLVGKAAATGNGDWNTTLACFIAILIGLCLTLLLLAVFKKALPALPISI TFGLIFYFSTDNLVRPFMDTLASHQLYI >ENSMUSP00000106733.1 pep:known chromosome:GRCm38:1:180227445:180245757:-1 gene:ENSMUSG00000010609.15 transcript:ENSMUST00000111104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psen2 description:presenilin 2 [Source:MGI Symbol;Acc:MGI:109284] MLAFMASDSEEEVCDERTSLMSAESPTSRSCQEGRPGPEDGESTAQWRTQESEEDCEEDP DRYACSGAPGRPSGLEEELTLKYGAKHVIMLFVPVTLCMIVVVATIKSVRFYTEKNGQLI YTPFTEDTPSVGQRLLNSVLNTLIMISVIVVMTIFLVVLYKYRCYKFIHGWLIMSSLMLL FLFTYIYLGEVLKTYNVAMDYPTLFLAVWNFGAVGMVCIHWKGPLVLQQAYLIVISALMA LVFIKYLPEWSAWVILGAISVYDLVAVLCPKGPLRMLVETAQERNEPIFPALIYSSAMVW TVGMAKLDPSSQGALQLPYDPEMEDSYDSFGEPSYPEAFEAPLPGYPGEELEEEEERGVK LGLGDFIFYSVLVGKAAATGNGDWNTTLACFIAILIGLCLTLLLLAVFKKALPALPISIT FGLIFYFSTDNLVRPFMDTLASHQLYI >ENSMUSP00000120216.1 pep:known chromosome:GRCm38:1:180245638:180263438:-1 gene:ENSMUSG00000010609.15 transcript:ENSMUST00000133340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psen2 description:presenilin 2 [Source:MGI Symbol;Acc:MGI:109284] MLAFMASDSEEEVCDERTSLMSAESPTSRSCQEGRPGPE >ENSMUSP00000133463.1 pep:known chromosome:GRCm38:14:55581516:55584946:-1 gene:ENSMUSG00000022217.14 transcript:ENSMUST00000174352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc9 description:ER membrane protein complex subunit 9 [Source:MGI Symbol;Acc:MGI:1934682] XLSVMLEVALNQVDVWGAQAGLVVAGYYHANAVLDDQRVMWRDWEESRQMVGALLEGRAH QHLVDFDCHLDDIRQDWTNQRLNTQITQWSGSTDGNA >ENSMUSP00000022828.8 pep:known chromosome:GRCm38:14:55581522:55585302:-1 gene:ENSMUSG00000022217.14 transcript:ENSMUST00000022828.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc9 description:ER membrane protein complex subunit 9 [Source:MGI Symbol;Acc:MGI:1934682] MGEVEISARAYGKMCLHASRYPHAAVNGLLLAPATGSGECLCLTDCVPLFHSHLALSVML EVALNQVDVWGAQAGLVVAGYYHANAVLDDQSPGPLALKIAGRIAEFFPRAVLIMLDNKK LVTRPRVPPVIVLENQGLQWVPKDKNLVMWRDWEESRQMVGALLEGRAHQHLVDFDCHLD DIRQDWTNQRLNTQITQWSGSTDGNA >ENSMUSP00000070993.3 pep:known chromosome:GRCm38:11:75732869:75765845:1 gene:ENSMUSG00000020849.13 transcript:ENSMUST00000067664.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhae description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, epsilon polypeptide [Source:MGI Symbol;Acc:MGI:894689] MDDREDLVYQAKLAEQAERYDEMVESMKKVAGMDVELTVEERNLLSVAYKNVIGARRASW RIISSIEQKEENKGGEDKLKMIREYRQMVETELKLICCDILDVLDKHLIPAANTGESKVF YYKMKGDYHRYLAEFATGNDRKEAAENSLVAYKAASDIAMTELPPTHPIRLGLALNFSVF YYEILNSPDRACRLAKAAFDDAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDMQGDGE EQNKEALQDVEDENQ >ENSMUSP00000117877.1 pep:known chromosome:GRCm38:11:75732913:75764623:1 gene:ENSMUSG00000020849.13 transcript:ENSMUST00000134745.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ywhae description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, epsilon polypeptide [Source:MGI Symbol;Acc:MGI:894689] MDDREDLVYQAKLAEQAERYDEMVESMKKVAGMDVELTVEERNLLSVAYKNVIGARRASW RIISSIEQKEENKGGEDKLKMIREYRQMVETELKLICCDILDVLDKHLIPAANTGWQKQL LMTQLQNWTR >ENSMUSP00000123504.1 pep:known chromosome:GRCm38:11:75733148:75756872:1 gene:ENSMUSG00000020849.13 transcript:ENSMUST00000131398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhae description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, epsilon polypeptide [Source:MGI Symbol;Acc:MGI:894689] XEAGNRRQNGGAVSEPGLGRGSGSRPRPAGSRREMVESMKKVAGMDVELTVEERNLLSVA YKNVIGARRASWRIISSIEQKEENKGGEDKLKMIREYRQMVETELKLICCDILDVLDKHL IPAANTGESKVFYYKMKGDYHRYLAEFATGNDRK >ENSMUSP00000105591.1 pep:known chromosome:GRCm38:12:104112724:104121896:1 gene:ENSMUSG00000041536.13 transcript:ENSMUST00000109965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3a description:serine (or cysteine) peptidase inhibitor, clade A, member 3A [Source:MGI Symbol;Acc:MGI:1921319] MRTVWLFQMFPFLLGPNIRQELLEGFWNVTFDPEDTFLGNFTLDRKRTVNVPMMKTEELT TNYFRDEEMQSTVMELNYIGNASFLFILPDQGRIQHVEDSLQPQSLRKWRKSLRPRMLDE LSLPKFSLSQDYNLNDILPELGIKEVFSTQADLSGITGAKNIRVSQMIHQAALDVTETHT EADVITIARYNFQSAKIKAKIVKVDREFLYLILDPMFKSISVMGKVINPLTN >ENSMUSP00000140024.2 pep:known chromosome:GRCm38:12:104112780:104121808:1 gene:ENSMUSG00000041536.13 transcript:ENSMUST00000185595.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3a description:serine (or cysteine) peptidase inhibitor, clade A, member 3A [Source:MGI Symbol;Acc:MGI:1921319] MAFIAALGLLMAGICPAITYWATADGQLGRHTEVQKDRDHEIQLDSVTLASINTDFAFSL YKKLALKNPHKNIVFSPLSISAALALMSLGAKDNTLEEILEGLKFNLTETPEADIHQNFG HLLQMLIQPENQVQINAGNALFIDKHLQILTEFKEKARALYKAEAFTADFQLPREATKLI NDYVRKQTQGKIKELVSDLHRNTSMALVNFLNFQGFWNVTFDPEDTFLGNFTLDRKRTVN VPMMKTEELTTNYFRDEEMQSTVMELNYIGNASFLFILPDQGRIQHVEDSLQPQSLRKWR KSLRPRMLDELSLPKFSLSQDYNLNDILPELGIKEVFSTQADLSGITGAKNIRVSQMIHQ AALDVTETHTEADVITIARYNFQSAKIKAKIVKVDREFLYLILDPMFKSISVMGKVINPL TN >ENSMUSP00000021496.7 pep:known chromosome:GRCm38:12:104115970:104121518:1 gene:ENSMUSG00000041536.13 transcript:ENSMUST00000021496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3a description:serine (or cysteine) peptidase inhibitor, clade A, member 3A [Source:MGI Symbol;Acc:MGI:1921319] MAFIAALGLLMAGICPAITYWATADGQLGRHTEVQKDRDHEIQLDSVTLASINTDFAFSL YKKLALKNPHKNIVFSPLSISAALALMSLGAKDNTLEEILEGLKFNLTETPEADIHQNFG HLLQMLIQPENQVQINAGNALFIDKHLQILTEFKEKARALYKAEAFTADFQLPREATKLI NDYVRKQTQGKIKELVSDLHRNTSMALVNFLNFQGFWNVTFDPEDTFLGNFTLDRKRTVN VPMMKTEELTTNYFRDEEMQSTVMELNYIGNASFLFILPDQGRIQHVEDSLQPQSLRKWR KSLRPRMLDELSLPKFSLSQDYNLNDILPELGIKEVFSTQADLSGITGAKNIRVSQMIHQ AALDVTETHTEADVITIARYNFQSAKIKAKIVKVDREFLYLILDPMFKSISVMGKVINPL TN >ENSMUSP00000065787.7 pep:known chromosome:GRCm38:11:114727412:114757889:1 gene:ENSMUSG00000034706.16 transcript:ENSMUST00000069325.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaic2 description:dynein, axonemal, intermediate chain 2 [Source:MGI Symbol;Acc:MGI:2685574] MEIVYVYLKKRSEFGKQCNFSDRQAELNIDILPNPELAALYVERNPVDTGIQCSASMSEH EANTERFEMESCGVNHVEGGWPKDVNPQELEQTIRFRKKVEKDENYINAVMQLGSIMEHC IKQNNAIDIYEEYFDDEEAVEVTEEAPSAKTINVFRDPQEIKRTATHLSWHPDGNRKLAV AYSCLKFQRAPMSMNYDSYIWDLENPNRPEIALKPLSPLVTLEYNPKDSHVLLGGCYNGQ IACWDTRKGSLVAELSTIEFSHRDPVYGTIWLQSKTGTECFSASTDGQVMWWDIRKISEP IEVVIMDISRKEQLENALGAISLEFESTLPTKFMVGTEQGIVISCNRKAKTQAEKIVCTF YGHHGPIYALQRNPFYPKNFLTVGDWTARIWSEDSRESSIMWTKYHMAYLSDGAWSPVRP AVFFTTKMDGTLDIWDLVFKQCDPALSLKVCDDPLFCLRVQDNGCLIACGSELGTTTLLE VSSSLSTLQRNEKNIASSIFERETRREKILEARHREMRLKEKGKVEGKEDDQKEEEAALD LDELVGKAEEEFFEVIFSELKRKEAEALKKKPKPRKKSSVKVEAEEEVEENVGEEEEAGG IIGIDAVEDMSEEAGEEQEDVPT >ENSMUSP00000090126.4 pep:known chromosome:GRCm38:11:114729148:114757889:1 gene:ENSMUSG00000034706.16 transcript:ENSMUST00000092469.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaic2 description:dynein, axonemal, intermediate chain 2 [Source:MGI Symbol;Acc:MGI:2685574] MEIVYVYLKKRSEFGKQCNFSDRQAELNIDILPNPELAALYVERNPVDTGIQCSASMSEH EANTERFEMESCGVNHVEGGWPKDVNPQELEQTIRFRKKVEKDENYINAVMQLGSIMEHC IKQNNAIDIYEEYFDDEEAVEVTEEAPSAKTINVFRDPQEIKRTATHLSWHPDGNRKLAV AYSCLKFQRAPMSMNYDSYIWDLENPNRPEIALKPLSPLVTLEYNPKDSHVLLGGCYNGQ IACWDTRKGSLVAELSTIEFSHRDPVYGTIWLQSKTGTECFSASTDGQVMWWDIRKISEP IEVVIMDISRKEQLENALGAISLEFESTLPTKFMVGTEQGIVISCNRKAKTQAEKIVCTF YGHHGPIYALQRNPFYPKNFLTVGDWTARIWSEDSRESSIMWTKYHMAYLSDGAWSPVRP AVFFTTKMDGTLDIWDLVFKQCDPALSLKVCDDPLFCLRVQDNGCLIACGSELGTTTLLE VSSSLSTLQRNEKNIASSIFERETRREKILEARHREMRLKEKGKVEGKEDDQKEEEAALD LDELVGKAEEEFFEVIFSELKRKEAEALKKKPKPRKKSSVKVEAEEEVEENVGEEEEAGG IIGIDAVEDMSEEAGEEQEDVPT >ENSMUSP00000114700.1 pep:known chromosome:GRCm38:11:114732895:114754462:1 gene:ENSMUSG00000034706.16 transcript:ENSMUST00000141762.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaic2 description:dynein, axonemal, intermediate chain 2 [Source:MGI Symbol;Acc:MGI:2685574] MEIVYVYLKKRSEFGKQCNFSDRQAELNIDILPNPELAALYVERNPVDTGIQCSASMSEH EANTERFEMESCGVNHVEGGWPKDVNPQELEQTIRFRKKVEKDENYINAVMQLGSIMEHC IKQNNAIDIYEEYFDDEEAVEVTEEAPSAKTINVFRDPQEIKRTATHLSWHPDGNRKLAV AYSCLKFQRAPMSMNYDSYIWDLENPNRPEIALKPLSPLVTLEYNPKDSHVLLGGCYNGQ IACWDTRKGSLVAELSTIEFSHRDPVYGTIWLQSKTGTECFSASTDGQVMWWDIRKISEP IEVVIMDISRKEQLENALGAISLEFESTLPTKFMVGTEQGIVISCNRKAKTQAEKIVCTF YGHHGPIYALQRNPFYPKNFLTVGDWTARIWSEDSRESSIMWTKYHMAYLSDGAWSPVRP AVFFTTKMDGTLDIWDLVFKQCDPALSLKDNGCLIACGSELGTTTLLEVSSSLSTLQRNE KNIASSIFERETRREKILEARHREMRLKEKGKVEGKEDDQKEEEAALDLDELVGKAEEEF FEVIFSELKRKEAEALKKKPKP >ENSMUSP00000141179.1 pep:known chromosome:GRCm38:1:132509427:132515637:-1 gene:ENSMUSG00000053024.14 transcript:ENSMUST00000189528.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cntn2 description:contactin 2 [Source:MGI Symbol;Acc:MGI:104518] XTSMMVESSAVRPAHPGPVFSCMVILMLAGCQRL >ENSMUSP00000140306.1 pep:known chromosome:GRCm38:1:132509427:132523393:-1 gene:ENSMUSG00000053024.14 transcript:ENSMUST00000188065.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cntn2 description:contactin 2 [Source:MGI Symbol;Acc:MGI:104518] XKANSTGILSVRDATKITLAPSSADINVGDNLTLQCHASHDPTMDLTFTWTLDDFPVDFD KPGGHYRRASVKETIGDLTILNAQLRHGGTYTCMAQTVVDGASKEATVLVRGPPGPPGGV VVRDIGDTTVQLSWSRGFDNHSPIAKYTLQARTPPSGKWKQVRTNPVNIEGNAETAQVLG LMPWMDYEFRVSASNILGTGEPSGPSSRIRTKEAVPSVAPSGLSGGGGAPGELTINWTPM SREYQNGDGFGYLLSFRRQGSSSWQTARVPGADTQYFVYSNDSIHPYTPFEVKIRSYNRR GDGPESLTAIVYSAEEEPKVAPAKVWAKGSSSSEMNVSWEPVLQDMNGILLGYEIRYWKA GDKEAAADRVRTAGLDSSARVTGLYPNTKYHVTVRAYNRAGTGPASPSADAMTMKPPPRR PPGNISWTFSSSSLSLKWDPVVPLRNESTVTGYKMLYQNDLQPTPMLHLTSKNWIEIPVP EDIGHALVQIRTTGPGGDGIPAEVHIVRNGGTSMMVESSAVRPAHPGPVFSCMVILMLAG CQRL >ENSMUSP00000083707.4 pep:known chromosome:GRCm38:1:132511782:132542939:-1 gene:ENSMUSG00000053024.14 transcript:ENSMUST00000086521.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn2 description:contactin 2 [Source:MGI Symbol;Acc:MGI:104518] MGAPARKRASLLLLLLATMALVSSPGWSFSQGTPATFGPVFEEQPVGLLFPEESAEDQVT LACRARASPPATYRWKMNGTEMNLEPGSRHQLMGGNLVIMSPTKAQDAGVYQCLASNPVG TVVSKEAVLRFGFLQEFSKEERDPVKTHEGWGVMLPCNPPAHYPGLSYRWLLNEFPNFIP TDGRHFVSQTTGNLYIARTNASDLGNYSCLATSHLDFSTKSVFSKFAQLNLAAEDPRLFA PSIKARFPPETYALVGQQVTLECFAFGNPVPRIKWRKVDGSLSPQWGTAEPTLQIPSVSF EDEGTYECEAENSKGRDTVQGRIIVQAQPEWLKVISDTEADIGSNLRWGCAAAGKPRPMV RWLRNGEPLASQNRVEVLAGDLRFSKLNLEDSGMYQCVAENKHGTIYASAELAVQALAPD FRQNPVRRLIPAARGGEISIPCQPRAAPKATILWSKGTEILGNSTRVTVTLDGTLIIRNI SRSDEGKYTCFAENFMGKANSTGILSVRDATKITLAPSSADINVGDNLTLQCHASHDPTM DLTFTWTLDDFPVDFDKPGGHYRRASVKETIGDLTILNAQLRHGGTYTCMAQTVVDGASK EATVLVRGPPGPPGGVVVRDIGDTTVQLSWSRGFDNHSPIAKYTLQARTPPSGKWKQVRT NPVNIEGNAETAQVLGLMPWMDYEFRVSASNILGTGEPSGPSSRIRTKEAVPSVAPSGLS GGGGAPGELTINWTPMSREYQNGDGFGYLLSFRRQGSSSWQTARVPGADTQYFVYSNDSI HPYTPFEVKIRSYNRRGDGPESLTAIVYSAEEEPKVAPAKVWAKGSSSSEMNVSWEPVLQ DMNGILLGYEIRYWKAGDKEAAADRVRTAGLDSSARVTGLYPNTKYHVTVRAYNRAGTGP ASPSADAMTMKPPPRRPPGNISWTFSSSSLSLKWDPVVPLRNESTVTGYKMLYQNDLQPT PMLHLTSKNWIEIPVPEDIGHALVQIRTTGPGGDGIPAEVHIVRNGGTSMMVESSAVRPA HPGPVFSCMVILMLAGCQRL >ENSMUSP00000139795.1 pep:known chromosome:GRCm38:1:132528999:132533884:-1 gene:ENSMUSG00000053024.14 transcript:ENSMUST00000188943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn2 description:contactin 2 [Source:MGI Symbol;Acc:MGI:104518] MGAPARKRASLLLLLLATMALVSSPGWSFSQGTPATFGPVFEEQPVGLLFPEESAEDQVT LACRARASPPATYRWKMNGTEMNLEPGSRHQLMGGNLVIMSPT >ENSMUSP00000139897.1 pep:known chromosome:GRCm38:1:132533657:132543256:-1 gene:ENSMUSG00000053024.14 transcript:ENSMUST00000186530.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn2 description:contactin 2 [Source:MGI Symbol;Acc:MGI:104518] MGAPARKRASLLLLLLATMALVSSP >ENSMUSP00000110487.1 pep:known chromosome:GRCm38:X:53243425:53269020:-1 gene:ENSMUSG00000036022.15 transcript:ENSMUST00000114838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam122b description:family with sequence similarity 122, member B [Source:MGI Symbol;Acc:MGI:1926005] MAQEKMDLDFEADTSEGATLRRSNSAPLIHVLSDLSQVFEPYPLRTGRTSTAIMSHHSLE EGLDMMNRETTNEREAQAGMQISQSWDESLSLSDSDFDKPEKLYSPKRIDFTPVSPAPSP TRGFGKQCLSPSLQMFVSSSGMPPSPVLNPRHFSRRSQSPVKCIRPSVLGPLKRKGEMEM ESQPKRPFQGTTSMLSTNPAQLSDFSSCSDILDGSSISSGLSSDSLATGSAPAESPVACS NSCSPFILMDDLSPK >ENSMUSP00000110490.1 pep:known chromosome:GRCm38:X:53243746:53269798:-1 gene:ENSMUSG00000036022.15 transcript:ENSMUST00000114841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam122b description:family with sequence similarity 122, member B [Source:MGI Symbol;Acc:MGI:1926005] MAQEKMDLDFEADTSEGATLRRSNSAPLIHVLSDLSQVFEPYPLRTGRTSTAIMSHHSLE EGLDMMNRETTNEREAQAGMQISQSWDESLSLSDSDFDKPEKLYSPKRIDFTPVSPAPSP TRGFGKQCLSPSLQMFVSSSGMPPSPVLNPRHFSRRSQSPVKCIRPSVLGPLKRKGEMEM ESQPKRPFQGTTSMLSTNPAQLSDFSSWWCYQGEEIPALTRCVEHLQMNE >ENSMUSP00000069112.5 pep:known chromosome:GRCm38:X:53243415:53269805:-1 gene:ENSMUSG00000036022.15 transcript:ENSMUST00000071023.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam122b description:family with sequence similarity 122, member B [Source:MGI Symbol;Acc:MGI:1926005] MAQEKMDLDFEADTSEGATLRRSNSAPLIHVLSDLSQVFEPYPLRTGRTSTAIMSHHSLE EGLDMMNRETTNEREAQAGMQISQSWDESLSLSDSDFDKPEKLYSPKRIDFTPVSPAPSP TRGFGKQCLSPSLQMFVSSSGMPPSPVLNPRHFSSRRSQSPVKCIRPSVLGPLKRKGEME MESQPKRPFQGTTSMLSTNPAQLSDFSSCSDILDGSSISSGLSSDSLATGSAPAESPVAC SNSCSPFILMDDLSPK >ENSMUSP00000085734.4 pep:known chromosome:GRCm38:5:23503264:23616534:-1 gene:ENSMUSG00000062604.11 transcript:ENSMUST00000088392.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpk2 description:serine/arginine-rich protein specific kinase 2 [Source:MGI Symbol;Acc:MGI:1201408] MSVNSEKSSSSERPEPQQKAPLVPPPPPPPPPPPLPDPAPPEPEEEILGSDDEEQEDPAD YCKGGYHPVKIGDLFNGRYHVIRKLGWGHFSTVWLCWDMQGKRFVAMKVVKSAQHYTETA LDEIKLLKCVRESDPSDPNKDMVVQLIDDFKISGMNGIHVCMVFEVLGHHLLKWIIKSNY QGLPVRCVKSIIRQVLQGLDYLHSKCKIIHTDIKPENILMCVDDAYVRRMAAEATEWQKA GAPPPSGSAVSTAPQQKPIGKISKNKKKKLKKKQKRQAELLEKRLQEIEELEREAERKIL EENITSAEASGEQQDGEYQPEVTLKAADLEDTTEEETAKDNGEVEDQEEKEDAEKENAEK DEDDVEQELANLDPTWVESPKANGHIENGPFSLEQQLEDEEDDEDDCANPEEYNLDEPNA ESDYTYSSSYEQFNGELPNGQHKTSEFPTPLFSGPLEPVACGSVISEGSPLTEQEESSPS HDRSRTVSASSTGDLPKTKTRAADLLVNPLDPRNADKIRVKIADLGNACWVHKHFTEDIQ TRQYRSIEVLIGAGYSTPADIWSTACMAFELATGDYLFEPHSGEDYSRDEDHIAHIIELL GSIPRHFALSGKYSREFFNRRGELRHITKLKPWSLFDVLVEKYGWPHEDAAQFTDFLIPM LEMVPEKRASAGECLRHPWLNS >ENSMUSP00000143216.1 pep:known chromosome:GRCm38:5:23505452:23676448:-1 gene:ENSMUSG00000062604.11 transcript:ENSMUST00000196929.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srpk2 description:serine/arginine-rich protein specific kinase 2 [Source:MGI Symbol;Acc:MGI:1201408] MQGKRFVAMKVVKSAQHYTETALDEIKLLKCVRESDPSDPNKDMVVQLIDDFKISGMNGI HVCMVFEVLGHHLLKWIIKSNYQGLPVRCVKSIIRQVLQGLDYLHSKCKIIHTDIKPENI LMCVDDAYVRRMAAEATEWQKAGAPPPSGSADRKNI >ENSMUSP00000143453.1 pep:known chromosome:GRCm38:5:23527947:23676014:-1 gene:ENSMUSG00000062604.11 transcript:ENSMUST00000196388.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpk2 description:serine/arginine-rich protein specific kinase 2 [Source:MGI Symbol;Acc:MGI:1201408] MQGKRFVAMKVVKSAQHYTETALDEIKLLKCVRESDPSDPNKDMVVQLIDDFKISGMNGI HVCMVFEVLGHHLLKWIIKSNYQGLPVRCVKSIIRQVLQGLDYLHSKCKIIHTDIKPENI LMCVDDAYVRRMAA >ENSMUSP00000142554.1 pep:known chromosome:GRCm38:5:23546979:23684617:-1 gene:ENSMUSG00000062604.11 transcript:ENSMUST00000197985.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpk2 description:serine/arginine-rich protein specific kinase 2 [Source:MGI Symbol;Acc:MGI:1201408] MSVNSEKSSSSERPEPQQKAPLVPPPPPPPPPPPLPDPAPPEPEEEILGSDDEEQEDPAD YCKGGYHPVKIGDLFNGRYHVIRKLGWGHFSTVWLCWDMQGKRFVAMKVVKSAQHYTETA LDEIKLLKC >ENSMUSP00000142494.1 pep:known chromosome:GRCm38:5:23565133:23676355:-1 gene:ENSMUSG00000062604.11 transcript:ENSMUST00000198661.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpk2 description:serine/arginine-rich protein specific kinase 2 [Source:MGI Symbol;Acc:MGI:1201408] MSVNSEKSSSSERPEPQQKAPLVPPPPPPPPPPPLPDPAPPEPEEEILGSDDEEQED >ENSMUSP00000142781.1 pep:known chromosome:GRCm38:5:23565238:23684600:-1 gene:ENSMUSG00000062604.11 transcript:ENSMUST00000198549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpk2 description:serine/arginine-rich protein specific kinase 2 [Source:MGI Symbol;Acc:MGI:1201408] MSVNSEKSSSSERPEPQQKAPL >ENSMUSP00000064865.4 pep:known chromosome:GRCm38:7:103841636:103843164:-1 gene:ENSMUSG00000052217.5 transcript:ENSMUST00000063957.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbb-bh1 description:hemoglobin Z, beta-like embryonic chain [Source:MGI Symbol;Acc:MGI:96024] MVHFTAEEKAAITSIWDKVDLEKVGGETLGRLLIVYPWTQRFFDKFGNLSSALAIMGNPR IRAHGKKVLTSLGLGVKNMDNLKETFAHLSELHCDKLHVDPENFKLLGNMLVIVLSTHFA KEFTPEVQAAWQKLVIGVANALSHKYH >ENSMUSP00000023820.5 pep:known chromosome:GRCm38:3:122895072:122899506:1 gene:ENSMUSG00000023057.5 transcript:ENSMUST00000023820.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp2 description:fatty acid binding protein 2, intestinal [Source:MGI Symbol;Acc:MGI:95478] MAFDGTWKVDRNENYEKFMEKMGINVMKRKLGAHDNLKLTITQDGNKFTVKESSNFRNID VVFELGVNFPYSLADGTELTGAWTIEGNKLIGKFTRVDNGKELIAVREVSGNELIQTYTY EGVEAKRFFKKE >ENSMUSP00000033450.2 pep:known chromosome:GRCm38:X:52053021:52165252:-1 gene:ENSMUSG00000031119.4 transcript:ENSMUST00000033450.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc4 description:glypican 4 [Source:MGI Symbol;Acc:MGI:104902] MARLGLLALLCTLAALSASLLAAELKSKSCSEVRRLYVSKGFNKNDAPLYEINGDHLKIC PQDYTCCSQEMEEKYSLQSKDDFKTVVSEQCNHLQAIFASRYKKFDEFFKELLENAEKSL NDMFVKTYGHLYMQNSELFKDLFVELKRYYVAGNVNLEEMLNDFWARLLERMFRLVNSQY HFTDEYLECVSKYTEQLKPFGDVPRKLKLQVTRAFVAARTFAQGLAVARDVVSKVSVVNP TAQCTHALLKMIYCSHCRGLVTVKPCYNYCSNIMRGCLANQGDLDFEWNNFIDAMLMVAE RLEGPFNIESVMDPIDVKISDAIMNMQDNSVQVSQKVFQGCGPPKPLPAGRISRSISESA FSARFRPYHPEQRPTTAAGTSLDRLVTDVKEKLKQAKKFWSSLPSTVCNDERMAAGNENE DDCWNGKGKSRYLFAVTGNGLANQGNNPEVQVDTSKPDILILRQIMALRVMTSKMKNAYN GNDVDFFDISDESSGEGSGSGCEYQQCPSEFEYNATDHSGKSANEKADSAGGAHAEAKPY LLAALCILFLAVQGEWR >ENSMUSP00000133570.1 pep:known chromosome:GRCm38:14:25979083:25988718:1 gene:ENSMUSG00000048502.14 transcript:ENSMUST00000172667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl1 description:double homeobox B-like 1 [Source:MGI Symbol;Acc:MGI:1916048] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFACCSEESQEQEQDKPRVKEARRSRTHFTKFQTDILIEAFEKNRFPG IVTREKLAQQTGIPESRIHVRCASLCLWQPTCPYHKPLFSRAVDGQSQATHGCPCALDTG CKYLVFPATVWRNSHIRPAES >ENSMUSP00000134483.1 pep:known chromosome:GRCm38:14:25979401:25988909:1 gene:ENSMUSG00000048502.14 transcript:ENSMUST00000173580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl1 description:double homeobox B-like 1 [Source:MGI Symbol;Acc:MGI:1916048] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFACCSEESQEQEQDKPRVKEARRSRTHFTKFQTDILIEAFEKNRFPG IVTREKLAQQTGIPESRIHIWFQNRRARHPDPGQNTQKTPHPPQSSQGPTQKTVGKLAPS KTLTSSASV >ENSMUSP00000134001.1 pep:known chromosome:GRCm38:14:25980039:25986817:1 gene:ENSMUSG00000048502.14 transcript:ENSMUST00000173155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl1 description:double homeobox B-like 1 [Source:MGI Symbol;Acc:MGI:1916048] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFAC >ENSMUSP00000056056.8 pep:known chromosome:GRCm38:14:25983005:25990088:1 gene:ENSMUSG00000048502.14 transcript:ENSMUST00000049793.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl1 description:double homeobox B-like 1 [Source:MGI Symbol;Acc:MGI:1916048] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFACCSEESQEQEQDKPRVKEARRSRTHFTKFQTDILIEAFEKNRFPG IVTREKLAQQTGIPESRIHIWFQNRRARHPDPGQNTQKTPHPPQSSQGPTQKTVGKLAPS KTLTSSASVILPLSPPHTPNGPLDLSKGRQKQLPGTTLLQSSQVVQQRSDDQNPNKGHLS PTTTPGEQGFHSQPPLQLLTQNRGHNPRESGGLAVPRLEDCTQVPAVNQHFRKLDQNDSS FLQHWDEWFGSMLAEWMPDKEYWSEKAELHPWQVQLRQLASVSPQAHQTP >ENSMUSP00000116886.1 pep:known chromosome:GRCm38:X:133582860:133688987:-1 gene:ENSMUSG00000051323.16 transcript:ENSMUST00000149154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh19 description:protocadherin 19 [Source:MGI Symbol;Acc:MGI:2685563] MESLLLPVLLLLAVLWTQAAALINLKYSVEEEQRAGTVIANVAKDAREAGFALDPRQASA FRVVSNSAPHLVDINPSSGLLVTKQKIDRDLLCRQSPKCIISLEVMSSSMEICVIKVEIK DLNDNAPSFPAAQIELEISEAASPGTRIPLDSAYDPDSGSFGVQTYELTPNELFGLEIKT RGDGSRFAELVVEKSLDRETQSHYSFRITALDGGDPPHMGTVGLSIKVTDSNDNNPVFGE STYSVSVPENSPPNTPVIRLNASDPDEGTNGQVVYSFYGYVNDRTRELFQIDPHSGLVTV TGALDYEEGHVYELDVQAKDLGPNSIPAHCKVTVSVLDTNDNPPIINLLSVNSELVEVSE SAPPGYVIALVRVSDRDSGLNGRVQCRLLGNVPFRLQEYESFSTILVDGRLDREQHDQYN LTIQARDSGVPMLQSAKSFTVRITDENDNHPHFSKPYYQVIVQENNTPGAYLLSVSARDP DMGLNGSVSYQIVPSQVRDMPVFTYVSINPNSGDIYALRSFNHEQTKAFEFKVLAKDGGL PSLQSNATVRVIILDVNDNTPVITAPPLINGTAEVYIPRNSGIGYLVTVVKADDYDEGEN GRVTYDMTEGDRGFFEIDQVNGEVRTTRTFNENSKPSYELIVVAHDHGKTSLSASALVLI YLSPALDAQESMGSVNLSLIFIIALGSIAGILFVTMIFVAIKCKRDNKEIRTYNCSNCLT ITCLLGCFIKGQNSKCLHCISVSPNSEEQDKKAEEKVSLRGKRIAEYSYGHQKKSSKKKK ISKNDIRLVPRDVEETDKMNVVSCSSLTSSLNYFDYHQQTLPLGCRRSESTFLNVENQNT RNTTASHIYHHSFNSQGPQQPDLIINGVPLPETENYSFDSNYVNSRAHLIKSSSTFKDLE GNSLKDSGHEESDQTDSEHDVQRSLYCDTAVNDVLNTSVTSMGSQMPDHDQNEGFHCREE CRILGHSDRCWMPRNPMPTRSKSPEHVRNIIALSIEATAADVEAYDDCGPTKRTFATFGK DVSSHRAEERPILKGKRTVDVTICSPKVNSAIREAGNGCEAISPVTSPLHLKSPLPTKPS ISYTVALAPPAHDLEHHANSGASRPSEAEPRGADNEKVMHEVNPIRKDGRDKESPSVKRL KDIVL >ENSMUSP00000128313.1 pep:known chromosome:GRCm38:X:133582875:133687264:-1 gene:ENSMUSG00000051323.16 transcript:ENSMUST00000167944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh19 description:protocadherin 19 [Source:MGI Symbol;Acc:MGI:2685563] MESLLLPVLLLLAVLWTQAAALINLKYSVEEEQRAGTVIANVAKDAREAGFALDPRQASA FRVVSNSAPHLVDINPSSGLLVTKQKIDRDLLCRQSPKCIISLEVMSSSMEICVIKVEIK DLNDNAPSFPAAQIELEISEAASPGTRIPLDSAYDPDSGSFGVQTYELTPNELFGLEIKT RGDGSRFAELVVEKSLDRETQSHYSFRITALDGGDPPHMGTVGLSIKVTDSNDNNPVFGE STYSVSVPENSPPNTPVIRLNASDPDEGTNGQVVYSFYGYVNDRTRELFQIDPHSGLVTV TGALDYEEGHVYELDVQAKDLGPNSIPAHCKVTVSVLDTNDNPPIINLLSVNSELVEVSE SAPPGYVIALVRVSDRDSGLNGRVQCRLLGNVPFRLQEYESFSTILVDGRLDREQHDQYN LTIQARDSGVPMLQSAKSFTVRITDENDNHPHFSKPYYQVIVQENNTPGAYLLSVSARDP DMGLNGSVSYQIVPSQVRDMPVFTYVSINPNSGDIYALRSFNHEQTKAFEFKVLAKDGGL PSLQSNATVRVIILDVNDNTPVITAPPLINGTAEVYIPRNSGIGYLVTVVKADDYDEGEN GRVTYDMTEGDRGFFEIDQVNGEVRTTRTFNENSKPSYELIVVAHDHGKTSLSASALVLI YLSPALDAQESMGSVNLSLIFIIALGSIAGILFVTMIFVAIKCKRDNKEIRTYNCRIAEY SYGHQKKSSKKKKISKNDIRLVPRDVEETDKMNVVSCSSLTSSLNYFDYHQQTLPLGCRR SESTFLNVENQNTRNTTASHIYHHSFNSQGPQQPDLIINGVPLPETENYSFDSNYVNSRA HLIKSSTFKDLEGNSLKDSGHEESDQTDSEHDVQRSLYCDTAVNDVLNTSVTSMGSQMPD HDQNEGFHCREECRILGHSDRCWMPRNPMPTRSKSPEHVRNIIALSIEATAADVEAYDDC GPTKRTFATFGKDVSSHRAEERPILKGKRTVDVTICSPKVNSAIREAGNGCEAISPVTSP LHLKSPLPTKPSISYTVALAPPAHDLEHHANSGASRPSEAEPRGADNEKVMHEVNPIRKD GRDKESPSVKRLKDIVL >ENSMUSP00000049889.8 pep:known chromosome:GRCm38:X:133582879:133685893:-1 gene:ENSMUSG00000051323.16 transcript:ENSMUST00000060309.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh19 description:protocadherin 19 [Source:MGI Symbol;Acc:MGI:2685563] YQVIVQENNTPGAYLLSVSARDPDMGLNGSVSYQIVPSQVRDMPVFTYVSINPNSGDIYA LRSFNHEQTKAFEFKVLAKDGGLPSLQSNATVRVIILDVNDNTPVITAPPLINGTAEVYI PRNSGIGYLVTVVKADDYDEGENGRVTYDMTEGDRGFFEIDQVNGEVRTTRTFNENSKPS YELIVVAHDHGKTSLSASALVLIYLSPALDAQESMGSVNLSLIFIIALGSIAGILFVTMI FVAIKCKRDNKEIRTYNCRIAEYSYGHQKKSSKKKKISKNDIRLVPRDVEETDKMNVVSC SSLTSSLNYFDYHQQTLPLGCRRSESTFLNVENQNTRNTTASHIYHHSFNSQGPQQPDLI INGVPLPETENYSFDSNYVNSRAHLIKSSSTFKDLEGNSLKDSGHEESDQTDSEHDVQRS LYCDTAVNDVLNTSVTSMGSQMPDHDQNEGFHCREECRILGHSDRCWMPRNPMPTRSKSP EHVRNIIALSIEATAADVEAYDDCGPTKRTFATFGKDVSSHRAEERPILKGKRTVDVTIC SPKVNSAIREAGNGCEAISPVTSPLHLKSPLPTKPSISYTVALAPPAHDLEHHANSGASR PSEAEPRGADNEKVMHEVNPIRKDGRDKESPSVKRLKDIVL >ENSMUSP00000141338.1 pep:known chromosome:GRCm38:X:133582880:133588011:-1 gene:ENSMUSG00000051323.16 transcript:ENSMUST00000193376.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcdh19 description:protocadherin 19 [Source:MGI Symbol;Acc:MGI:2685563] RLKDIVL >ENSMUSP00000038166.6 pep:known chromosome:GRCm38:16:38525137:38550258:-1 gene:ENSMUSG00000034064.14 transcript:ENSMUST00000036210.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poglut1 description:protein O-glucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2444232] MERRAGSRLRAWMLLLLLCPVQGRQKDSGSKWKVFLDQINRALENYEPCSSQNCSCYHGV IEEDLTPFRGGISRKMMAEVVRRKLGTHYQIIKNRLFREDDCMFPSRCSGVEHFILEVIH RLPDMEMVINVRDYPQVPKWMEPTIPVFSFSKTSEYHDIMYPAWTFWEGGPAVWPLYPTG LGRWDLFREDLLRSAAQWPWEKKNSTAYFRGSRTSPERDPLILLSRKNPKLVDAEYTKNQ AWKSMKDTLGKPAAKDVHLIDHCKYRYLFNFRGVAASFRFKHLFLCGSLVFHVGDEWVEF FYPQLKPWVHYIPVKTDLSNVQELLQFVKANDDIAQEIAKRGSQFIINHLQMDDITCYWE NLLTDYSKFLSYNVTRRKDYYQIVPRRLKTEL >ENSMUSP00000057839.1 pep:known chromosome:GRCm38:2:87896177:87897133:1 gene:ENSMUSG00000048197.3 transcript:ENSMUST00000052300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1153 description:olfactory receptor 1153 [Source:MGI Symbol;Acc:MGI:3030987] MQFIKQRRMEVGNCSATEFLLLGITNNPVIKVILFTTFLIVYLIILIENLGMIILIRMNS QLHTPMYFFLSHLSFSDICYSTAVGPKMLVGLIFKNNSIPFIDCAVQFFIFCIFTDAECV LLAVMAFDRYKAISNPLMYAVDMSRVVCYQLLAVVYLVGMVDALTHTTLTFHLCFCQSKE INHFFCDVPPLLLLSCSDTEVNELVIFTLFGFIELSTISGVLVSYCYIISSVLKIRSAEG RFKAFSTCTSHLTVVAIFQGTMLFMYFRPSSAYSLDQDKMTSLFYTLVIPMLNPLIYSLR NKDVKASVKRSLKSRISF >ENSMUSP00000030526.6 pep:known chromosome:GRCm38:4:138750533:138757626:-1 gene:ENSMUSG00000028749.7 transcript:ENSMUST00000030526.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g2f description:phospholipase A2, group IIF [Source:MGI Symbol;Acc:MGI:1349661] MADGAQANPKGFRKKALVKHSTGRKSPSLRASPSKTSRSSLGMKKFFAIAVLAGSVVTTA HSSLLNLKSMVEAITHRNSILSFVGYGCYCGLGGRGHPMDEVDWCCHAHDCCYEKLFEQG CRPYVDHYDHRIENGTMIVCTELNETECDKQTCECDKSLTLCLKDHPYRNKYRGYFNVYC QGPTPNCSIYDPYPEEVTCGHGLPATPVST >ENSMUSP00000140603.1 pep:known chromosome:GRCm38:12:28437795:28548337:-1 gene:ENSMUSG00000020633.13 transcript:ENSMUST00000189735.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcdc2c description:doublecortin domain containing 2C [Source:MGI Symbol;Acc:MGI:1915761] MIGEKVFPLGGVRKLFTMDGHLLDDSKNLQDNYFYVAAGLETFKSIPYWKSSWVPSEVQQ RFGGNDKYTQTKKRVESKVKEPLQNDSVPPRSQDSVYYAKEKKQMDTELLVQSGAEGDVY KAQTPAKEAQEALEVKEDPEVKVEVPVDQAPAEIVKEIDEIGDSSPGLKSGMHIPASFM >ENSMUSP00000020963.7 pep:known chromosome:GRCm38:12:28516284:28552303:-1 gene:ENSMUSG00000020633.13 transcript:ENSMUST00000020963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcdc2c description:doublecortin domain containing 2C [Source:MGI Symbol;Acc:MGI:1915761] MGTRGPYALVDTTPAKTILVYRNGDQFYVGRKFVFSRRRVANFEALLEQLTEQVEVPFGV RRLYTPTRGHPVLGLDALQTGGKYVAAGRERFKKLDYIHIVPRKPSKMRKLKEIKPVVHC DIKVPSRWQIQSRTSRYINVFTNGRLFIPPIKVIIPKFSLSDWNSVLAMIGEKVFPLGGV RKLFTMDGHLLDDSKNLQDNYFYVAAGLETFKSIPYWKSSWVPSEVQQRFGGNDKYTQTK KRVESKVKEPLQNDSVPPRSQDSVYYAKEKKQMDTELLVQSGAEGDVYKAQTPAKEAQEA LEVKEDPEVKVEVPVDQAPAEIVKEIDEIGDSSPGLKSGMHLPVSAM >ENSMUSP00000130936.1 pep:known chromosome:GRCm38:3:87376387:87391740:1 gene:ENSMUSG00000059994.10 transcript:ENSMUST00000163661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl1 description:Fc receptor-like 1 [Source:MGI Symbol;Acc:MGI:2442862] MLPWLLLLICGAAQFSVNNIAFSLQPDEMLSLPCEPAGISDVSLKTRPPGGWVMEGDKLV LICSVDRVTGNITYFWYRGALGFQLETKTQPSLTAEFEISDMKQSDADQYYCAANDGHDP IPSELVSIHVRVPVSRPVLTFGDSGTQAVLGDLVELHCKALRGSPPIFYQFYHESIILGN SSAPSGGGASFNFSLTAEHSGNFSCEASNGQGAQRSEVVALNLTGLSLVPTENGISHLSL GLTGWLLGCLSLITMALIFCYWLKRKIGRQSEDPVRSPPQTVLQGSTYPKPPDSRQPEPL YENVNVVSGNEVYSLVYHTPQVLEPAAAQHVRTHGVSESFQVSSGLYSKPRINIAHMDYE DAM >ENSMUSP00000072300.2 pep:known chromosome:GRCm38:3:87376389:87392133:1 gene:ENSMUSG00000059994.10 transcript:ENSMUST00000072480.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl1 description:Fc receptor-like 1 [Source:MGI Symbol;Acc:MGI:2442862] MLPWLLLLICALPCEPAGISDVSLKTRPPGGWVMEGDKLVLICSVDRVTGNITYFWYRGA LGFQLETKTQPSLTAEFEISDMKQSDADQYYCAANDGHDPIPSELVSIHVRVPVSRPVLT FGDSGTQAVLGDLVELHCKALRGSPPIFYQFYHESIILGNSSAPSGGGASFNFSLTAEHS GNFSCEASNGQGAQRSEVVALNLTGLSLVPTENGISHLSLGLTGWLLGCLSLITMALIFC YWLKRKIGRQSEDPVRSPPQTVLQGSTYPKPPDSRQPEPLYENVNVVSGNEVYSLVYHTP QVLEPAAAQHVRTHGVSESFQVSSGLYSKPRINIAHMDYEDAM >ENSMUSP00000128235.1 pep:known chromosome:GRCm38:3:87376448:87392134:1 gene:ENSMUSG00000059994.10 transcript:ENSMUST00000167200.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl1 description:Fc receptor-like 1 [Source:MGI Symbol;Acc:MGI:2442862] MLPWLLLLICALPCEPAGISDVSLKTRPPGGWVMEGDKLVLICSVDRVTGNITYFWYRGA LGFQLETKTQPSLTAEFEISDMKQSDADQYYCAANDGHDPIPSELVSIHVRVPVSRPVLT FGDSGTQAVLGDLVELHCKALRGSPPIFYQFYHESIILGNSSAPSGGGASFNFSLTAEHS GNFSCEASNGQGAQRSEVVALNLTGRQSEDPVRSPPQTVLQGSTYPKPPDSRQPEPLYEN VNVVSGNEVYSLVYHTPQVLEPAAAQHVRTHGVSESFQVSSGLYSKPRINIAHMDYEDAM >ENSMUSP00000142286.1 pep:known chromosome:GRCm38:3:87376460:87402934:1 gene:ENSMUSG00000059994.10 transcript:ENSMUST00000194786.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl1 description:Fc receptor-like 1 [Source:MGI Symbol;Acc:MGI:2442862] MLPWLLLLICALPCEPAGISDVSLKTRPPGGWVMEGDKLVLICSVDRVTGNITYFWYRGA LGFQLETKTQPSLTAEFEISDMKQSDADQYYCAANDGHDPIPSELVSIHVRVPVSRPVLT FGDSGTQAVLGDLVELHCKALRGSPPIFYQFYHESIILGNSSAPSGGGASFNFSLTAEHS GNFSCEASNGQGAQRSEVVALNLTGLSLVPTENGISHLSLGLTGWLLGCLSLITMALIFC YWLKRKIGRQSEDPVRSPPQTVLQGSTYPKPPDSRQPEPLYENVNVVSGNEVYSLVYHTP QVLEPAAAQHVRTHGVSEVQGGIA >ENSMUSP00000141916.1 pep:known chromosome:GRCm38:3:87376467:87391754:1 gene:ENSMUSG00000059994.10 transcript:ENSMUST00000191666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl1 description:Fc receptor-like 1 [Source:MGI Symbol;Acc:MGI:2442862] MLPWLLLLICALPCEPAGISDVSLKTRPPGGWVMEGDKLVLICSVDRVTGNITYFWYRGA LGFQLETKTQPSLTAEFEISDMKQSDADQYYCAANDGHDPIPSELVSIHVRVPVSRPVLT FGDSGTQAVLGDLVELHCKALRGSPPIFYQFYHESIILGNSSAPSGGGASFNFSLTAEHS GNFSCEASNGQGAQRSEVVALNLTGKPT >ENSMUSP00000143956.1 pep:known chromosome:GRCm38:5:31048312:31052769:1 gene:ENSMUSG00000013622.15 transcript:ENSMUST00000201174.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atraid description:all-trans retinoic acid induced differentiation factor [Source:MGI Symbol;Acc:MGI:1918918] MQARCCLNQKGTILGLDLQNCSLKDPGPNFLQAYTAIIIDLQANPLKDDLANTFRGFTQL QTLIL >ENSMUSP00000013766.6 pep:known chromosome:GRCm38:5:31048635:31054623:1 gene:ENSMUSG00000013622.15 transcript:ENSMUST00000013766.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atraid description:all-trans retinoic acid induced differentiation factor [Source:MGI Symbol;Acc:MGI:1918918] MASRESGGSRAAALLLVLGVERALALPEICTLCPGGMHNLSRVAAYCEDTSKLMQARCCL NQKGTILGLDLQNCSLKDPGPNFLQAYTAIIIDLQANPLKDDLANTFRGFTQLQTLILPQ DVPCPGGSNAWDNVTSFKDKQICQGQRDLCNSTGSPEMCPENGSCASDGPGLLQCVCADG FHGYKCMRQGSFSLLMFFGILGSTTLAISILLWGTQRRKAKAS >ENSMUSP00000144333.1 pep:known chromosome:GRCm38:5:31048648:31053993:1 gene:ENSMUSG00000013622.15 transcript:ENSMUST00000201773.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atraid description:all-trans retinoic acid induced differentiation factor [Source:MGI Symbol;Acc:MGI:1918918] MASRESGGSRAAALLLVLGVERALALPEICTLCPGGMHNLSRVAAYCEDTSKLMQARCCL NQKGTILGLDLQNCSLKDPGPNFLQAYTAIIMILPQDVPCPGGSNAWDNVTSFKDKQICQ GQRDLCNSTGSPEMCPENGSCAS >ENSMUSP00000144431.1 pep:known chromosome:GRCm38:5:31048659:31054618:1 gene:ENSMUSG00000013622.15 transcript:ENSMUST00000200942.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atraid description:all-trans retinoic acid induced differentiation factor [Source:MGI Symbol;Acc:MGI:1918918] MASRESGGSRAAALLLVLGVERALALPEICTLCPGG >ENSMUSP00000144256.1 pep:known chromosome:GRCm38:5:31048722:31054344:1 gene:ENSMUSG00000013622.15 transcript:ENSMUST00000200748.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atraid description:all-trans retinoic acid induced differentiation factor [Source:MGI Symbol;Acc:MGI:1918918] XLGVERALALPEICTLCPGGMHNLSRVAAYCEDTSKLMQARCCLNQKGTILGLDLQNCSL KDPGPNFLQAYTAIIIDLQANPLKDDLANTFRGFTQLQTLILPQDVPCPGGSNAWDNVTS FKDKQICQGQRDLCNSTGSPEMCPENGSCASDGPGLLQCVCADGFHGYKCMRQPSPFYFG EPS >ENSMUSP00000144085.1 pep:known chromosome:GRCm38:5:31048785:31054393:1 gene:ENSMUSG00000013622.15 transcript:ENSMUST00000201136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atraid description:all-trans retinoic acid induced differentiation factor [Source:MGI Symbol;Acc:MGI:1918918] MQARCCLNQKGTILGLDLQNCSLKDPGPNFLQAYTAIIIDLQANPLKDDLANTFRGFTQL QTLILPQDVPCPGGSNAWDNVTSFKDKQICQGQRDLCNSTGSPEMCPENGSCASDGPGLL QCVCADGFHGYKCMRQGSFSLLMFFGILGSTTLAISILLWGTQRRKAKAS >ENSMUSP00000133883.1 pep:known chromosome:GRCm38:14:55578123:55581346:1 gene:ENSMUSG00000022216.16 transcript:ENSMUST00000174484.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme1 description:proteasome (prosome, macropain) activator subunit 1 (PA28 alpha) [Source:MGI Symbol;Acc:MGI:1096367] MATLRVHPEAQAKVDVFREDLCSKTENLLGSYFPKKISELDAFLKEPALNEANLSNLKAP LDIPVPDPVKEKEKEERKKQQEKEEKEEKKKGDEDDKGPPCGPVNCNEKIVVLLQRLKPE IKDVTEQLNLVTTWLQLQIPRIEDGNNFGVAVQEKVFELMTNLHTKLEGFHTQISKYFSE RGDAVAKAAKQPHVGDYRQLVHELDEAEYQEIRLMVMEIRNAYAVLYDIILKNFEKLK >ENSMUSP00000134735.1 pep:known chromosome:GRCm38:14:55578360:55581528:1 gene:ENSMUSG00000022216.16 transcript:ENSMUST00000174259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme1 description:proteasome (prosome, macropain) activator subunit 1 (PA28 alpha) [Source:MGI Symbol;Acc:MGI:1096367] MATLRVHPEAQAKVDVFREDLCSKTENLLGSYFPKKISELDAFLKEPALNEANLSNLKAP LDIPVPDPVKEKEKEERKKQQEKEEKEEKKKGDEDDKGPPCGPVNCNEKIVVLLQRLKPE IKDVTEQLNLVTTWLQLQIPRIEDGNNFGVAVQEKVFELMTNLHTKLEGFHTQISKYFSE RGDAVAKAAKQPHVGDYRQLVHELDEAEYQEIRLMVMEIRNAYAVLYDIILKNFEKLKKP RGETKGMIY >ENSMUSP00000133366.1 pep:known chromosome:GRCm38:14:55578538:55580942:1 gene:ENSMUSG00000022216.16 transcript:ENSMUST00000174563.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme1 description:proteasome (prosome, macropain) activator subunit 1 (PA28 alpha) [Source:MGI Symbol;Acc:MGI:1096367] MATLRVHPEAQAKVDVFREDLCSKKISELDAFLKEPALNEANLSNLKAPLDIPVPDPVKE KEKEERKKQQEKEEKEEKKKGDEDDKGPPCGPVNCNEKIVVLLQRLKPEIKDVTEQLNLV TTWLQLQIPRIEDGNNFGVAVQEKVFELMTNLHTKLEGFHTQISKYFSERGDA >ENSMUSP00000087046.6 pep:known chromosome:GRCm38:14:55578575:55581381:1 gene:ENSMUSG00000022216.16 transcript:ENSMUST00000089619.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme1 description:proteasome (prosome, macropain) activator subunit 1 (PA28 alpha) [Source:MGI Symbol;Acc:MGI:1096367] MATLRVHPEAQAKVDVFREDLCSKTENLLGSYFPKKISELDAFLKEPALNEANLSNLKAP LDIPVPDPVKEKEKEERKKQQEKEEKEEKKKGDEDDKGPPCGPVNCNEKIVVLLQRLKPE IKDVTEQLNLVTTWLQLQIPRIEDGNNFGVAVQLEGFHTQISKYFSERGDAVAKAAKQPH VGDYRQLVHELDEAEYQEIRLMVMEIRNAYAVLYDIILKNFEKLKKPRGETKGMIY >ENSMUSP00000133867.1 pep:known chromosome:GRCm38:14:55578575:55581381:1 gene:ENSMUSG00000022216.16 transcript:ENSMUST00000172738.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme1 description:proteasome (prosome, macropain) activator subunit 1 (PA28 alpha) [Source:MGI Symbol;Acc:MGI:1096367] MATLRVHPEAQAKVDVFREDLCSKTENLLGSYFPKKISELDAFLKEPALNEANLSNLKAP LDIPVPDPVKEKEKEERKKQQEKEEKEEKKKGDEDDKGPPCGPVNCNEKIVVLLQRLKPE IKDVTEQLNLEKVFELMTNLHTKLEGFHTQISKYFSERGDAVAKAAKQPHVGDYRQLVHE LDEAEYQEIRLMVMEIRNAYAVLYDIILKNFEKLKKPRGETKGMIY >ENSMUSP00000133791.1 pep:known chromosome:GRCm38:14:55580748:55581529:1 gene:ENSMUSG00000022216.16 transcript:ENSMUST00000174419.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psme1 description:proteasome (prosome, macropain) activator subunit 1 (PA28 alpha) [Source:MGI Symbol;Acc:MGI:1096367] XVFELMTNLHTKLEGFHTQISKYFSERGDAVAKAAKQPHVVG >ENSMUSP00000097432.1 pep:known chromosome:GRCm38:2:87902742:87903674:-1 gene:ENSMUSG00000075146.1 transcript:ENSMUST00000099844.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1154 description:olfactory receptor 1154 [Source:MGI Symbol;Acc:MGI:3030988] MSVENSTVKTEFYLLGFSDHPELQSLLFAVFFFIYSITLMGNIGMILLITVSPNLHIPMY FFLCMLSFIDACYSSVIAPKLLVDLISDKKVISYNGCATQLYFFCSLVDTESFLLAAMAY DRYIAICNPLLYTVIMSKRVCTHLAFGAFLGGTMSSIIHTTNTFQLSFCSKVINHFFCDI SPLFSLSCTDTYTHDIILVVFASLVEAVSLLAVLLSYMYIIVAILKTGSAEGRKKGFSTC ASHLTVVTIYHGTLIFIYLRPSTGHSMDIDKMTSVFYTLIIPMLNPLIYSLRNKDVKFAF RKIMSKKSFS >ENSMUSP00000057362.1 pep:known chromosome:GRCm38:5:34915915:34923839:1 gene:ENSMUSG00000051246.2 transcript:ENSMUST00000050535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msantd1 description:Myb/SANT-like DNA-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:2684990] MVRWPGLRPCLSAILNPAGASNMAAAEVPGYLVSPQTEKHRRARNWTDAEMRGLMLVWEE FFDELKQTKRNAKVYEKMASKLFEMTGERRLGEEIKIKITNMTFQYRKLKCMTDSESIPP DWPYYLAIDRILAKVPESCEGKLPDGQQPGPSTSQTEASLSPSAKSTPLYLPYTQCSYEG HFEDDRSDSSSSLLSLKFRSEERPVKKRKMRSCHLQKKKLRLLEAMLEEQRRLSRAMEET CREVRRVLDQQNILQVQSLQLQERMMSLLEKIIAKSNV >ENSMUSP00000144008.1 pep:known chromosome:GRCm38:5:34917539:34923690:1 gene:ENSMUSG00000051246.2 transcript:ENSMUST00000202205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msantd1 description:Myb/SANT-like DNA-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:2684990] MVRWPGLRPCLSAILNPAGASNMAAAEVPGYLVSPQTEKHRRARNWTDAEMRGLMLVWEE FFDELKQTKRNAKVYEKMASKLFEMTGERRLGEEIKIKITNMTFQYRSEERPVKKRKMRS CHLQKKKLRLLEAMLEEQRRLSRAMEETCREVRRVLDQQNILQVQSLQLQERMMSLLEKI IAKSNV >ENSMUSP00000093992.4 pep:known chromosome:GRCm38:16:11803721:11909423:-1 gene:ENSMUSG00000065979.12 transcript:ENSMUST00000096272.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpped1 description:calcineurin-like phosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:2443300] MSAMEAADVFHRARGRTLDAFSSEKEREWKGPFYFVQGADTQFGLMKAWSTGNCDAGGDE WGQEIRLTEQAVEAINKLNPKPKFFVLCGDLVHAMPGTPWRQEQTRDLQRVLKAVDQDIP LVMVSGNHDLGNAPTAETVEEFCQTWGDDYFSFWVGGVLFLVLNSQFLYDASRCPALKQA QDHWLDQQLNIAEQKQCQHAIVFQHIPLFLQSIDEDDDYFNLTKTVRKELAEKLTRAGIR AVFSGHYHRNAGGTYQNLDMVVSSAIGCQLGKDTHGLRVVAITAEKIVHRYYSLDELSQG GVEEDLKELLKE >ENSMUSP00000089104.5 pep:known chromosome:GRCm38:16:11884223:11909445:-1 gene:ENSMUSG00000065979.12 transcript:ENSMUST00000073371.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpped1 description:calcineurin-like phosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:2443300] MSAMEAADVFHRARGRTLDAFSSEKEREWKGPFYFVQGADTQFGLMKAWSTGNCDAGGDE WGQEIRLTEQAVEAINKLNPKPKFFVLCGDLVHAMPGGKGKAGGKRKGASEEEPEEEVLG YISSRCFWNSLPSPRPSLSTVLSQAGPQPRDQV >ENSMUSP00000119989.1 pep:known chromosome:GRCm38:16:11828239:11909398:-1 gene:ENSMUSG00000065979.12 transcript:ENSMUST00000127972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpped1 description:calcineurin-like phosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:2443300] MSAMEAADVFHRARGRTLDAFSSGKLFGDKKCLYGNQQLWGSQEPLPEKEREWKGPFYFV QGADTQFGLMKAWSTGNCDAGGDEWGQEIRLTEQAVEAINKLNPKPKFFVLCGDLVHAMP GTPWRQEQTRDLQRVLKAVDQDIPLVMVSGNHDLGNAPTAETVEEFCQTWGDDYFSFWVG GVLFLVLNSQFLYDASRCPALKQAQDHWLDQQLNIAEQKQCQHAIVFQHIPLFLQSIDED >ENSMUSP00000112587.1 pep:known chromosome:GRCm38:16:11803727:11909422:-1 gene:ENSMUSG00000065979.12 transcript:ENSMUST00000121750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpped1 description:calcineurin-like phosphoesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:2443300] MSAMEAADVFHRARGRTLDAFSSGADTQFGLMKAWSTGNCDAGGDEWGQEIRLTEQAVEA INKLNPKPKFFVLCGDLVHAMPGTPWRQEQTRDLQRVLKAVDQDIPLVMVSGNHDLGNAP TAETVEEFCQTWGDDYFSFWVGGVLFLVLNSQFLYDASRCPALKQAQDHWLDQQLNIAEQ KQCQHAIVFQHIPLFLQSIDEDDDYFNLTKTVRKELAEKLTRAGIRAVFSGHYHRNAGGT YQNLDMVVSSAIGCQLGKDTHGLRVVAITAEKIVHRYYSLDELSQGGVEEDLKELLKE >ENSMUSP00000102037.3 pep:known chromosome:GRCm38:3:122924203:122926184:1 gene:ENSMUSG00000054091.9 transcript:ENSMUST00000106429.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810037I17Rik description:RIKEN cDNA 1810037I17 gene [Source:MGI Symbol;Acc:MGI:1914954] MEVSQAASGTDGVRERRGSFEAGRRNQDEAPQSGMNGLPKHSYWLDLWLFILFDLALFVF VYLLP >ENSMUSP00000028676.5 pep:known chromosome:GRCm38:2:121506723:121543404:1 gene:ENSMUSG00000027242.14 transcript:ENSMUST00000028676.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr76 description:WD repeat domain 76 [Source:MGI Symbol;Acc:MGI:1926186] MKALSSKADSLLLKSSVDAYTESTRLGPKRTSDSATLSVDAESSDEDSAPGLDDFSGLSP YERKRLRNIRENANFFASLQLAESAARLRGMIKKRESPESKRKRPKKKENEIGCRRSMRL LKVDPLGVSLPASPTQPTLVEEEENPLLPPGPLEMIPENQDDSSELLKASLKTWAEMSQT SNEKTKKGLSSIKSYKANLSGMVISEATVRKVTKGAISSVALHPSEVRTLVAAGAKSGQI GLWDLTQQSEDAMYVFYAHSRYVSCLSFSPTNPAHLLSLSYDGTLRCGDFSSAVFEEVYR NEGNSPSSFDFLNDSSSLLVGHWDGHLSLVDRRTPGTSYEKFFNSSLEKIRTVHVHPLSR QYFVTAGLRDVHVYDARFLKSRGSQPLISLTEHSKSIASAYFSPVTGNRVVTTCADCKLR VFDSSSISSQLPLLSTIRHNTVTGRWLTRFQAVWDPKQEDCFIVGSMDHPRRVEVFHESG KNVHSLWGECLVSVCSLSAVHPTRYILAGGNSSGKLHVFMHQET >ENSMUSP00000097072.3 pep:known chromosome:GRCm38:2:121506772:121532789:1 gene:ENSMUSG00000027242.14 transcript:ENSMUST00000099473.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr76 description:WD repeat domain 76 [Source:MGI Symbol;Acc:MGI:1926186] MKALSSKADSLLLKSSVDAYTESTRLGPKRTSDSATLSVDAESSDEDSAPGLDDFSGLSP YERKRLRNIRENANFFASLQLAETLVSLLVGVACCPSLNSAARLRGMIKKRESPESKRKR PKKKENEIGCRRSMRLLKVDPLGVSLPASPTQPTLVEEEENPLLPPGPLEMIPENQDDSS ELLKASLKTWAEMSQTSNEKTKKGLSSIKSYKANLSGMVISEATVRKVTKGAISSVALHP SEVRTLVAAGAKSGQIGLWDLTQQSEDAMYVFYAHSRYVSCLSFSPTNPAHLLSLSYDGT LRCGDFSSAVFEEVGSDQEA >ENSMUSP00000106232.2 pep:known chromosome:GRCm38:2:121506783:121544860:1 gene:ENSMUSG00000027242.14 transcript:ENSMUST00000110602.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr76 description:WD repeat domain 76 [Source:MGI Symbol;Acc:MGI:1926186] MKALSSKADSLLLKSSVDAYTESTRLGPKRTSDSATLSVDAESSDEDSAPGLDDFSGLSP YERKRLRNIRENANFFASLQLAESAARLRGMIKKRESPESKRKRPKKKENEIGCRRSMRL LKVDPLGVSLPASPTQPTLVEEEENPLLPPGPLEMIPENQDDSSELLKASLKTWAEMSQT SNEKTKKGLSSIKSYKANLSGMVISEATVRKVTKGAISSVALHPSEVRTLVAAGAKSGQI GLWDLTQQSEDAMYVFYAHSRYVSCLSFSPTNPAHLLSLSYDGTLRCGDFSSAVFEEVYR NEGNSPSSFDFLNDSSSLLVGHWDGHLSLVDRRTPGTSYEKFFNSSLEKIRTVHVHPLSR QYFVTAGLRDVHVYDARFLKSRGSQPLISLTEHSKSIASAYFSPVTGNRVVTTCADCKLR VFDSSSISSQLPLLSTIRHNTVTGRWLTRFQAVWDPKQEDCFIVGSMDHPRRVEVFHESG KNVHSLWGECLVSVCSLSAVHPTRYILAGGNSSGKLHVFMHQET >ENSMUSP00000106234.1 pep:known chromosome:GRCm38:2:121509848:121543009:1 gene:ENSMUSG00000027242.14 transcript:ENSMUST00000110603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr76 description:WD repeat domain 76 [Source:MGI Symbol;Acc:MGI:1926186] MSGSKAESEEKAGSKQCPLVQVNEYKENEHIAYTSLRPIQITTLRKTAKVYLYPFSLSNS KLGLLKLSKSPVVNNSSKSVVHKKKDRKKTRRKVLTSKMKALSSKADSLLLKSSVDAYTE STRLGPKRTSDSATLSVDAESSDEDSAPGLDDFSGLSPYERKRLRNIRENANFFASLQLA ESAARLRGMIKKRESPESKRKRPKKKENEIGCRRSMRLLKVDPLGVSLPASPTQPTLVEE EENPLLPPGPLEMIPENQDDSSELLKASLKTWAEMSQTSNEKTKKGLSSIKSYKANLSGM VISEATVRKVTKGAISSVALHPSEVRTLVAAGAKSGQIGLWDLTQQSEDAMYVFYAHSRY VSCLSFSPTNPAHLLSLSYDGTLRCGDFSSAVFEEVYRNEGNSPSSFDFLNDSSSLLVGH WDGHLSLVDRRTPGTSYEKFFNSSLEKIRTVHVHPLSRQYFVTAGLRDVHVYDARFLKSR GSQPLISLTEHSKSIASAYFSPVTGNRVVTTCADCKLRVFDSSSISSQLPLLSTIRHNTV TGRWLTRFQAVWDPKQEDCFIVGSMDHPRRVEVFHESGKNVHSLWGECLVSVCSLSAVHP TRYILAGGNSSGKLHVFMHQET >ENSMUSP00000113937.1 pep:known chromosome:GRCm38:7:4119530:4135347:1 gene:ENSMUSG00000030428.16 transcript:ENSMUST00000119661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttyh1 description:tweety homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1889007] MGAPPGYRPSAWVHLLHQLPRADFQLRPVPSGFAPRDQEYQQALLLVAALAGLGLGLSLI FIAVYLIRFCCCRPPEPHGAKSPPPGGGCVTWSCIAALLVGCAGIGIGFYGNSETSDGVS QLSSALLHANHTLSTIDDVVLETVERLGEAVKTELTTLEEVLSVRMELVAATRGARRQAE AAAQYLQGLAFWQGVSLSPVQVAEDVTFVEEYRWLAYVLLLLLVLLVCLFTLLGLAKQSK WLVVVMTAMSLLVLVLSWGSMGLEAATAVGLSDFCSNPDTYVLNLTQEETGLSSDILSYY FLCNQAVSNPFQQRLTLSQRALASIHSQLQGLEREAIPQFSAAQKPLLSLEETLNVTERS FHQLVALLHCRSLHKDYGSALRGLCEDALEGLLFLMLFSLLSAGALATTLCSLPRAWALF PPSDDYDDTDDDDPFNPQESKRFVQWQSSI >ENSMUSP00000120182.1 pep:known chromosome:GRCm38:7:4119533:4136708:1 gene:ENSMUSG00000030428.16 transcript:ENSMUST00000129423.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttyh1 description:tweety homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1889007] MGAPPGYRPSAWVHLLHQLPRADFQLRPVPSGFAPRDQEYQQALLLVAALAGLGLGLSLI FIAVYLIRFCCCRPPEPHGAKSPPPGGGCVTWSCIAALLVGCAGIGIGFYGNSETSDGVS QLSSALLHANHTLSTIDDVVLETVERLGEAVKTELTTLEEVLSVRMELVAATRGARRQAE AAAQYLQGLAFWQGVSLSPVQVAEDVTFVEEYRWLAYVLLLLLVLLVCLFTLLGLAKQSK WLVVVMTAMSLLVLVLSWGSMGLEAATAVGLSDFCSNPDTYVLNLTQEETGLSSDILSYY FLCNQAVSNPFQQRLTLSQRALASIHSQLQGLEREAIPQFSAAQKPLLSLEETLNVTERS FHQLVALLHCRSLHKDYGSALRGLCEDALEGLLFLMLFSLLSAGALATTLCSLPRAWALF PPSDDYDDTDDDDPFNPQESKRFVQWQSSI >ENSMUSP00000078384.5 pep:known chromosome:GRCm38:7:4119585:4135333:1 gene:ENSMUSG00000030428.16 transcript:ENSMUST00000079415.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttyh1 description:tweety homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1889007] MGAPPGYRPSAWVHLLHQLPRADFQLRPVPSGFAPRDQEYQQALLLVAALAGLGLGLSLI FIAVYLIRFCCCRPPEPHGAKSPPPGGGCVTWSCIAALLVGCAGIGIGFYGNSETSDGVS QLSSALLHANHTLSTIDDVVLETVERLGEAVKTELTTLEEVLSVRMELVAATRGARRQAE AAAQYLQGLAFWQGVSLSPVQVAEDVTFVEEYRWLAYVLLLLLVLLVCLFTLLGLAKQSK WLVVVMTAMSLLVLVLSWGSMGLEAATAVGLSDFCSNPDTYVLNLTQEETGLSSDILSYY FLCNQAVSNPFQQRLTLSQRALASIHSQLQGLEREAIPQFSAAQKPLLSLEETLNVTERS FHQLVALLHCRSLHKDYGSALRGLCEDALEGLLFLMLFSLLSAGALATTLCSLPRAWALF PPRNPNALCSGSLPSEPPLQSGACLSSMLLSWLLEKAPLT >ENSMUSP00000146170.1 pep:known chromosome:GRCm38:7:4119588:4125628:1 gene:ENSMUSG00000030428.16 transcript:ENSMUST00000206987.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttyh1 description:tweety homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1889007] MGAPPGYRPSAWVHLLHQLPRADFQLRPVPSGFAPRDQEYQQALLLVAALAGLGLGLSLI FIAVYLIRFCCCRPPEPHGAKSPPPGGGCVTWSCIAALLCRHWHWFLW >ENSMUSP00000115623.1 pep:known chromosome:GRCm38:7:4119622:4128265:1 gene:ENSMUSG00000030428.16 transcript:ENSMUST00000153673.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttyh1 description:tweety homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1889007] MGAPPGYRPSAWVHLLHQLPRADFQLRPVPSGFAPRDQEYQQALLLVAALAGLGLGLSLI FIAVYLIRFCCCRPPEPHGAKSPPPGGGCVTWSCIAALLVGWCWKRWRGWVRQ >ENSMUSP00000032594.6 pep:known chromosome:GRCm38:7:4119754:4135330:1 gene:ENSMUSG00000030428.16 transcript:ENSMUST00000032594.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttyh1 description:tweety homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1889007] APRDQEYQQALLLVAALAGLGLGLSLIFIAVYLIRFCCCRPPEPHGAKSPPPGGVPALAL VSMVTARPAMGCPSSAQHCCTPTTRSAPSMTWCWKRWRGWVRQ >ENSMUSP00000146131.1 pep:known chromosome:GRCm38:7:4124489:4134239:1 gene:ENSMUSG00000030428.16 transcript:ENSMUST00000206869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttyh1 description:tweety homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1889007] MQRSDSAGIGIGFYGNSETSDGVSQLSSALLHANHTLSTIDDVVLETVERLGEAVKTELT TLEEVLSVRMELVAATRGARRQAEAAAQYLQGLAFWQGVSLSPVQVAEDVTFVEEYRWLA YVLLLLLVLLVCLFTLLGLAKQSKWLVVVMTAMSLLVLVLSWGSMGLEAATAVGLSDFCS NPDTYVLNLTQEETGLSSDILSYYFLCNQAVSNPFQQRLTLSQRALASIHSQLQGLEREA IPQFSAAQKPLLSLEETLNVTERSFHQLVALLHCRSLHKDYGSALRGLCEDALEGLLFLM LFSLLSAGALATTLCSLPRAWALFPPSDDYDDTDDDDPFNPQESKRFVQWQSSI >ENSMUSP00000082127.2 pep:known chromosome:GRCm38:12:104127996:104139545:1 gene:ENSMUSG00000066364.2 transcript:ENSMUST00000085052.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3b description:serine (or cysteine) peptidase inhibitor, clade A, member 3B [Source:MGI Symbol;Acc:MGI:2182835] MAFIAALGLLMAEICPAVICCSDGTLGMHNAVQKGQDTQKQLDSLTLASINTDFAFSFYK ELALKNPHKNIAFSPFGIATALNSLTLGAKGNTLEEILEVLKFNLTETSEADIHQGFKHL LQRLSHPGDQVQIRTGNALFVEKHLQILAEFKEKARALYHTEVFTANFQQPHEAMKLINS YMSNQTQGKIKELVSDMDGNTSMVIVNDLFFKAEWMVPFNSDDTFMGKFIVDRSRHVKVP MMKTKNLRTPYFRDEELKCTVVELNYKGNGKAMFILPDQGKMQQVEASLQPGTLKKWRKS LRPRKIKELHLPKFSLSQHYNLEDILPELGIRELFSTQADLSGITGVKNITVSEMIHSTE LDMTEKGTEGDAITIVGYNFMSAKLKPVFVKFEDQFLYIVLDQGDLWIHVMGKVINPSEK >ENSMUSP00000078945.2 pep:known chromosome:GRCm38:5:34761744:34912534:1 gene:ENSMUSG00000029104.15 transcript:ENSMUST00000080036.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htt description:huntingtin [Source:MGI Symbol;Acc:MGI:96067] MATLEKLMKAFESLKSFQQQQQQQPPPQAPPPPPPPPPPQPPQPPPQGQPPPPPPPLPGP AEEPLHRPKKELSATKKDRVNHCLTICENIVAQSLRNSPEFQKLLGIAMELFLLCSDDAE SDVRMVADECLNKVIKALMDSNLPRLQLELYKEIKKNGAPRSLRAALWRFAELAHLVRPQ KCRPYLVNLLPCLTRTSKRPEESVQETLAAAVPKIMASFGNFANDNEIKVLLKAFIANLK SSSPTVRRTAAGSAVSICQHSRRTQYFYNWLLNVLLGLLVPMEEEHSTLLILGVLLTLRC LVPLLQQQVKDTSLKGSFGVTRKEMEVSPSTEQLVQVYELTLHHTQHQDHNVVTGALELL QQLFRTPPPELLQALTTPGGLGQLTLVQEEARGRGRSGSIVELLAGGGSSCSPVLSRKQK GKVLLGEEEALEDDSESRSDVSSSAFAASVKSEIGGELAASSGVSTPGSVGHDIITEQPR SQHTLQADSVDLSGCDLTSAATDGDEEDILSHSSSQFSAVPSDPAMDLNDGTQASSPISD SSQTTTEGPDSAVTPSDSSEIVLDGADSQYLGMQIGQPQEDDEEGAAGVLSGEVSDVFRN SSLALQQAHLLERMGHSRQPSDSSIDKYVTRDEVAEASDPESKPCRIKGDIGQPNDDDSA PLVHCVRLLSASFLLTGEKKALVPDRDVRVSVKALALSCIGAAVALHPESFFSRLYKVPL NTTESTEEQYVSDILNYIDHGDPQVRGATAILCGTLVYSILSRSRLRVGDWLGNIRTLTG NTFSLVDCIPLLQKTLKDESSVTCKLACTAVRHCVLSLCSSSYSDLGLQLLIDMLPLKNS SYWLVRTELLDTLAEIDFRLVSFLEAKAESLHRGAHHYTGFLKLQERVLNNVVIYLLGDE DPRVRHVAATSLTRLVPKLFYKCDQGQADPVVAVARDQSSVYLKLLMHETQPPSHFSVST ITRIYRGYSLLPSITDVTMENNLSRVVAAVSHELITSTTRALTFGCCEALCLLSAAFPVC TWSLGWHCGVPPLSASDESRKSCTVGMASMILTLLSSAWFPLDLSAHQDALILAGNLLAA SAPKSLRSSWTSEEEANSAATRQEEIWPALGDRTLVPLVEQLFSHLLKVINICAHVLDDV TPGPAIKAALPSLTNPPSLSPIRRKGKEKEPGEQASTPMSPKKVGEASAASRQSDTSGPV TASKSSSLGSFYHLPSYLKLHDVLKATHANYKVTLDLQNSTEKFGGFLRSALDVLSQILE LATLQDIGKCVEEVLGYLKSCFSREPMMATVCVQQLLKTLFGTNLASQFDGLSSNPSKSQ CRAQRLGSSSVRPGLYHYCFMAPYTHFTQALADASLRNMVQAEQERDASGWFDVLQKVSA QLKTNLTSVTKNRADKNAIHNHIRLFEPLVIKALKQYTTTTSVQLQKQVLDLLAQLVQLR VNYCLLDSDQVFIGFVLKQFEYIEVGQFRESEAIIPNIFFFLVLLSYERYHSKQIIGIPK IIQLCDGIMASGRKAVTHAIPALQPIVHDLFVLRGTNKADAGKELETQKEVVVSMLLRLI QYHQVLEMFILVLQQCHKENEDKWKRLSRQVADIILPMLAKQQMHIDSHEALGVLNTLFE ILAPSSLRPVDMLLRSMFITPSTMASVSTVQLWISGILAILRVLISQSTEDIVLCRIQEL SFSPHLLSCPVINRLRGGGGNVTLGECSEGKQKSLPEDTFSRFLLQLVGILLEDIVTKQL KVDMSEQQHTFYCQELGTLLMCLIHIFKSGMFRRITAAATRLFTSDGCEGSFYTLESLNA RVRSMVPTHPALVLLWCQILLLINHTDHRWWAEVQQTPKRHSLSCTKSLNPQKSGEEEDS GSAAQLGMCNREIVRRGALILFCDYVCQNLHDSEHLTWLIVNHIQDLISLSHEPPVQDFI SAIHRNSAASGLFIQAIQSRCENLSTPTTLKKTLQCLEGIHLSQSGAVLTLYVDRLLGTP FRALARMVDTLACRRVEMLLAANLQSSMAQLPEEELNRIQEHLQNSGLAQRHQRLYSLLD RFRLSTVQDSLSPLPPVTSHPLDGDGHTSLETVSPDKDWYLQLVRSQCWTRSDSALLEGA ELVNRIPAEDMNDFMMSSEFNLSLLAPCLSLGMSEIANGQKSPLFEAARGVILNRVTSVV QQLPAVHQVFQPFLPIEPTAYWNKLNDLLGDTTSYQSLTILARALAQYLVVLSKVPAHLH LPPEKEGDTVKFVVMTVEALSWHLIHEQIPLSLDLQAGLDCCCLALQVPGLWGVLSSPEY VTHACSLIHCVRFILEAIAVQPGDQLLGPESRSHTPRAVRKEEVDSDIQNLSHVTSACEM VADMVESLQSVLALGHKRNSTLPSFLTAVLKNIVISLARLPLVNSYTRVPPLVWKLGWSP KPGGDFGTVFPEIPVEFLQEKEILKEFIYRINTLGWTNRTQFEETWATLLGVLVTQPLVM EQEESPPEEDTERTQIHVLAVQAITSLVLSAMTVPVAGNPAVSCLEQQPRNKPLKALDTR FGRKLSMIRGIVEQEIQEMVSQRENTATHHSHQAWDPVPSLLPATTGALISHDKLLLQIN PEREPGNMSYKLGQVSIHSVWLGNNITPLREEEWDEEEEEESDVPAPTSPPVSPVNSRKH RAGVDIHSCSQFLLELYSRWILPSSAARRTPVILISEVVRSLLVVSDLFTERTQFEMMYL TLTELRRVHPSEDEILIQYLVPATCKAAAVLGMDKTVAEPVSRLLESTLRSSHLPSQIGA LHGILYVLECDLLDDTAKQLIPVVSDYLLSNLKGIAHCVNIHSQQHVLVMCATAFYLMEN YPLDVGPEFSASVIQMCGVMLSGSEESTPSIIYHCALRGLERLLLSEQLSRLDTESLVKL SVDRVNVQSPHRAMAALGLMLTCMYTGKEKASPGRASDPSPATPDSESVIVAMERVSVLF DRIRKGFPCEARVVARILPQFLDDFFPPQDVMNKVIGEFLSNQQPYPQFMATVVYKVFQT LHSAGQSSMVRDWVMLSLSNFTQRTPVAMAMWSLSCFLVSASTSPWVSAILPHVISRMGK LEQVDVNLFCLVATDFYRHQIEEEFDRRAFQSVFEVVAAPGSPYHRLLACLQNVHKVTTC >ENSMUSP00000136372.1 pep:known chromosome:GRCm38:12:49382883:49386855:1 gene:ENSMUSG00000020950.10 transcript:ENSMUST00000179669.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxg1 description:forkhead box G1 [Source:MGI Symbol;Acc:MGI:1347464] MLDMGDRKEVKMIPKSSFSINSLVPEAVQNDNHHASHGHHNSHHPQHHHHHHHHHHPPPP APQPPPPPPQQQQQQPPPAPQPPQARGAPAADDDKGPQPLLLPPSTALDGAKADALGAKG EPGGGPAELAPVGPDEKEKGAGAGGEEKKGAGEGGKDGEGGKEGDKKNGKYEKPPFSYNA LIMMAIRQSPEKRLTLNGIYEFIMKNFPYYRENKQGWQNSIRHNLSLNKCFVKVPRHYDD PGKGNYWMLDPSSDDVFIGGTTGKLRRRSTTSRAKLAFKRGARLTSTGLTFMDRAGSLYW PMSPFLSLHHPRASSTLSYNGTTSAYPSHPMPYSSVLTQNSLGNNHSFSTANGLSVDRLV NGEIPYATHHLTAAALAASVPCGLSVPCSGTYSLNPCSVNLLAGQTSYFFPHVPHPSMTS QTSTSMSARAASSSTSPQAPSTLPCESLRPSLPSFTTGLSGGLSDYFTHQNQGSSSNPLI H >ENSMUSP00000021333.3 pep:known chromosome:GRCm38:12:49383007:49386861:1 gene:ENSMUSG00000020950.10 transcript:ENSMUST00000021333.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxg1 description:forkhead box G1 [Source:MGI Symbol;Acc:MGI:1347464] MLDMGDRKEVKMIPKSSFSINSLVPEAVQNDNHHASHGHHNSHHPQHHHHHHHHHHPPPP APQPPPPPPQQQQQQPPPAPQPPQARGAPAADDDKGPQPLLLPPSTALDGAKADALGAKG EPGGGPAELAPVGPDEKEKGAGAGGEEKKGAGEGGKDGEGGKEGDKKNGKYEKPPFSYNA LIMMAIRQSPEKRLTLNGIYEFIMKNFPYYRENKQGWQNSIRHNLSLNKCFVKVPRHYDD PGKGNYWMLDPSSDDVFIGGTTGKLRRRSTTSRAKLAFKRGARLTSTGLTFMDRAGSLYW PMSPFLSLHHPRASSTLSYNGTTSAYPSHPMPYSSVLTQNSLGNNHSFSTANGLSVDRLV NGEIPYATHHLTAAALAASVPCGLSVPCSGTYSLNPCSVNLLAGQTSYFFPHVPHPSMTS QTSTSMSARAASSSTSPQAPSTLPCESLRPSLPSFTTGLSGGLSDYFTHQNQGSSSNPLI H >ENSMUSP00000034172.7 pep:known chromosome:GRCm38:8:93166068:93197838:-1 gene:ENSMUSG00000056973.6 transcript:ENSMUST00000034172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces1d description:carboxylesterase 1D [Source:MGI Symbol;Acc:MGI:2148202] MGLYPLIWLSLAACTAWGYPSSPPVVNTVKGKVLGKYVNLEGFTQPVAVFLGVPFAKPPL GSLRFAPPQPAEPWSFVKNTTSYPPMCSQDAVGGQVLSELFTNRKENIPLQFSEDCLYLN IYTPADLTKNSRLPVMVWIHGGGLVVGGASTYDGLALSAHENVVVVTIQYRLGIWGFFST GDEHSRGNWGHLDQVAALRWVQDNIANFGGNPGSVTIFGESAGGFSVSVLVLSPLAKNLF HRAISESGVSLTAALITTDVKPIAGLVATLSGCKTTTSAVMVHCLRQKTEDELLETSLKL NLFKLDLLGNPKESYPFLPTVIDGVVLPKAPEEILAEKSFSTVPYIVGINKQEFGWIIPT LMGYPLAEGKLDQKTANSLLWKSYPTLKISENMIPVVAEKYLGGTDDLTKKKDLFQDLMA DVVFGVPSVIVSRSHRDAGASTYMYEFEYRPSFVSAMRPKAVIGDHGDEIFSVFGSPFLK DGASEEETNLSKMVMKFWANFARNGNPNGGGLPHWPEYDQKEGYLKIGASTQAAQRLKDK EVSFWAELRAKESAQRPSHREHVEL >ENSMUSP00000070551.5 pep:known chromosome:GRCm38:5:113793729:113800516:-1 gene:ENSMUSG00000054675.5 transcript:ENSMUST00000067853.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem119 description:transmembrane protein 119 [Source:MGI Symbol;Acc:MGI:2385228] MVPWFLLSLLLLARPVPGVAYSVSLPASFLEDVAGSGEAEGSSASSPSLPPPGTPAFSPT PERPQPTALDGPVPPTNLLEGIMDFFRQYVMLIAVVGSLTFLIMFIVCAALITRQKHKAT AYYPSSFPEKKYVDQRDRAGGPRTFSEVPDRAPDSRHEEGLDTSHQLQADILAATQNLRS PARALPGNGEGAKPVKGGSEEEEEEVLSGQEEAQEAPVCGVTEEKLGVPEESVSAEAEGV PATSEGQGEAEGSFSLAQESQGATGPPESPCACNRVSPSV >ENSMUSP00000124226.1 pep:known chromosome:GRCm38:5:113795638:113800446:-1 gene:ENSMUSG00000054675.5 transcript:ENSMUST00000160374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem119 description:transmembrane protein 119 [Source:MGI Symbol;Acc:MGI:2385228] MVPWFLLSLLLLARPVPGVAYSVSLPASFLEDVA >ENSMUSP00000031560.7 pep:known chromosome:GRCm38:5:114431034:114444060:-1 gene:ENSMUSG00000029575.17 transcript:ENSMUST00000031560.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmab description:methylmalonic aciduria (cobalamin deficiency) type B homolog (human) [Source:MGI Symbol;Acc:MGI:1924947] MAVWLFGGRLGLRGRLSACRLLCPRFQSRGPQGGEDGDRLQPSSTAAKIPKIYTKTGDKG FSSTFTGERRPKDDQVFEAVGTTDELSSAIGFAMELVTEKGHMFAEELQKIQCMLQDVGS ALATPRSSAREAHLKHTAFQEGPVLELERWIDKYSSQLPPLKAFILPSGGKSSSALHFCR AVCRRAERRVVPLVQMGETDANVAKFLNRLSDYLFTVARYAAMKEGSQEKIYKKHDV >ENSMUSP00000107864.1 pep:known chromosome:GRCm38:5:114433200:114444021:-1 gene:ENSMUSG00000029575.17 transcript:ENSMUST00000112245.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmab description:methylmalonic aciduria (cobalamin deficiency) type B homolog (human) [Source:MGI Symbol;Acc:MGI:1924947] MAVWLFGGRLGLRGRLSACRLLCPRFQSRGPQGGEDGDRLQPSSTAAKIPKIYTKTGDKG FSSTFTGERRPKDDQVFEAVGTTDELSSAIGFAMELVTEKGHMFAEELQKSGGKSSSALH FCRAVCRRAERRVVPLVQMGETDANVAKFLNRLSDYLFTVARYAAMKEGSQEKIYKKHDV >ENSMUSP00000142979.1 pep:known chromosome:GRCm38:5:114434628:114443992:-1 gene:ENSMUSG00000029575.17 transcript:ENSMUST00000123256.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmab description:methylmalonic aciduria (cobalamin deficiency) type B homolog (human) [Source:MGI Symbol;Acc:MGI:1924947] MAVWLFGGRLGLRGRLSACRLLCPRFQSRGPQGGEDGDRLQPSSTAAKIPKIYTKTGDKG FSSTFTGERRPKDDQVFEAVGTTDELSSAIGFAMELVTEKGHMFAEELQKIQCMLQDVGS ALATPRSSAREAHLKHTAFQEGPVLELERWIDKYSSQLPPLKAFILPSGGKSSSALHFCR AVCRRAERRVVPLVQMGETDANVAKFLNRCLSGVWRVCLTTRPLPSSCILDCAVSPVALS HSEVRLCLGNKSQRRHT >ENSMUSP00000106374.2 pep:known chromosome:GRCm38:12:49385174:49394127:1 gene:ENSMUSG00000089922.2 transcript:ENSMUST00000110746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110039M20Rik description:RIKEN cDNA 3110039M20 gene [Source:MGI Symbol;Acc:MGI:1914543] XFVKVPRHYDDPGKGNYWMLDPSSDDVFIGGTTGKLRRRSTTSRAKLAFKRGARLTSTGL TFMDRAGSLYWPMSPFLSLHHPRASSTLSYNGTTSAYPSHPMPYSSVLTQNSLGNNHSFS TANGLSVDRLVNGEIPYATHHLTAAALAASVPCGLSVPCSGTYSLNPCSVNLLAGQTSYF FPHVPHPSMTSQTSTSMSARAASSSTSPQAPSTLPSILQLLPDRRKSPEENLHSPSKKQG SARCYRKAAEDLRTVNTLTRRSPHPVRALFPQFLWLRQAMVSTGLSGSGAGRVQSHLREG DFLVSQRRKKKSREMSTVLGLTLSLLGIAQFPALEISGK >ENSMUSP00000080650.5 pep:known chromosome:GRCm38:10:31493193:31609184:-1 gene:ENSMUSG00000063760.9 transcript:ENSMUST00000081989.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf217 description:ring finger protein 217 [Source:MGI Symbol;Acc:MGI:3610311] MGEEQSTVSGSGGARASGGGSAGQPESPRPRGDRVRTAGPRAAASSSRPNGGGGGRDPGC VDASVQEPASNRAPAGQPARLPLSGPLDPQSLELQLEREAEGAGPREAPPGQQPPDGLLL DVLAQRHPPPAKPQVLCSVYCVESDLPEAPSAESPSPSESPPQAPLGPIPASPPPSFPSS PLSLPADPLSPDGGSIELEFYLAPEPFSVPGLLGAPPYSGLGGVGDPYAPLMVLMCRVCL EDKPIKPLPCCKKAVCEECLKIYLSSQVQLGQVEIKCPVTECFEFLEETTVVYNLTHEDS IKYKYFLELGRIDSSTKPCPQCKHFTTFKKKGHIPTPSRSESRYKIQCPTCQLIWCFKCH SPWHEGVNCKEYKKGDKLLRHWASEIEHGQRNAQKCPKCKIHIQRTEGCDHMTCSQCNTN FCYRCGERYRQLRFFGDHTSNLSIFGCKYRYLPERPHLRRLVRGSVCAGKLFIAPLILVL GLALGAIAVVIGLFVFPIYCLCKKQRKRSRTGMHW >ENSMUSP00000052281.2 pep:known chromosome:GRCm38:11:50357761:50359699:1 gene:ENSMUSG00000050087.3 transcript:ENSMUST00000052596.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cby3 description:chibby homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1923903] MSTFYLLDHRTRQAELGLNYGEPRTRLSDEAFVFRGGRWTPEGKRASARTPLPSSTIPAW KPQVQPIKSQVLLEENNYLKLQQELLMDMLTETTARMQLLEKKVDADNNPAAPARSWKRK MPKQRGAGVLIIQPRALESR >ENSMUSP00000131004.1 pep:known chromosome:GRCm38:11:50354462:50359699:1 gene:ENSMUSG00000050087.3 transcript:ENSMUST00000164067.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cby3 description:chibby homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1923903] MADSKMKWGQAWDSSLGTATTSSSSATGSPSPFQNIRVPDTRAPRVAHTTFTLEAFECLA ASQAGRLWKQVRQFWVDHFSRRFSPRRPPLRHISSMSTFYLLDHRTRQAELGLNYGEPRT RLSDEAFVFRGGRWTPEGKRASARTPLPSSTIPAWKPQVQPIKSQVLLEENNYLKLQQEL LMDMLTETTARMQLLEKKVDADNNPAAPARSWKRKMPKQRGAGVLIIQPRALESR >ENSMUSP00000105522.1 pep:known chromosome:GRCm38:2:150934519:151009398:-1 gene:ENSMUSG00000068115.13 transcript:ENSMUST00000109896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ninl description:ninein-like [Source:MGI Symbol;Acc:MGI:1925427] MDNEEENHYVSRLRDVYSSCDTTGTGFLDQEELTQLCTKLGLEEQLPALLHILLGDDRLA RVNFEEFKEGFVAVLSSGSGVEPSDEEGSSSESATSCAVPPKYMSGSKWYGRRSLPELGD SATATKYGSEQQAKGSVKPPLRRSASLESVESLKSDEDAESAKEPQNELFEAQGQLRSWG CEVFGTLRKSCSPSFSTPENLVQGIWHELGIGSSGHLNEQELAVVCRSIGLHSLEKQELE ELFSKLDQDGDGRVSLAEFQLGLFGHEPPSLPASSSLIKPNRLWSHYQEESGCHTTTTSS LVSVCSGLRLFSSVDDGSGFAFPEQVISAWAQEGIQNGREILQSLDFSVDEKVNLLELTW ALDNELLTVDGVIQQAALACYRQELSYHQGQVDQLVQERDKARQDLEKAEKRNLDFVREM DDCHSALEQLTEKKIKHLEQEYRGRLSLLRSEVEMERELFWEQARRQRAVLEQDVGRLQA EETSLREKLTLALKENSRLQKEIIEVVEKLSDSEKLVLRLQSDLQFVLKDKLEPQSMELL AQEEQFTAILNDYELKCRDLQDRNDELQAELEGLRLRLPRSRQSPAGTPGTHRRRIPGRG PADNLFVGESTPVSLETEIMVEQMKEHYQELRMQLETKVNYYEKEIEVMKRNFEKDKKEM EQAFQLEVSVLEGQKADLEALYAKSQEVILGLKEQLQDAAQSPEPAPAGLAHCCAQALCT LAQRLEVEMHLRHQDQLLQIRQEAEEELNQKLSWLEAQHAACCESLSLQHQCEKDQLLQT HLQRVKDLAAQLDLEKGRREEREQEVLAHCRRQQLKLQAVMSEEQARICRSFTLEKEKLE QTYREQVEGLVQEADVLRALLKNGTTVVSDQQERTPSSMSLGPDSRQQPTARQAVSPDGR TGAPAEWPGPEKAEGRDFPGQLCSIDAMPSPTPTLLSRRSSENLGVRDNHQRPLNAEEGA IPKEPEPSARTLTGQGQKLPLPVHPQMLEPSLGTTALDRKAASVGVQGQASEGPVGDGEG VQEAWLQFRGEATRMRPSLPCSELPNPQEATVMPAMSESEMKDVKIKLLQLEDVVRALEK ADSRESYRAELQRLSEENLVLKSDLGKIQLELETSESKNEVQRQEIEVLKRDKEQACCDL EELSTQTQKYKDEMSQLNCRVLQLEGEPSGLHTQKEENHGAIQVLMKKLEEAGCREEQQG DQIQNLKIELERVNEECQYLRLSQAELTESLEESRSQLYSVQLRLEAAQSQHGRIVQRLQ EQMSQLVPGARVAELQHLLNVKEEEARRLSAQQEEYRQQLKAREDQVEDAEARLRNVEWL LQEKVEELRKQFEKNTRSDLLLKELYVENAHLMKAVQLTEEKQRGAEKKNCVLEEKVRAL NKLISKMAPASLSV >ENSMUSP00000122069.1 pep:known chromosome:GRCm38:2:150937554:150952792:-1 gene:ENSMUSG00000068115.13 transcript:ENSMUST00000124135.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ninl description:ninein-like [Source:MGI Symbol;Acc:MGI:1925427] VNYYEKEIEVMKRNFEKDKKEMEQAFQLEVSVLEGQKADLEALYAKSQEVILGLKEQLQD AAQSPEPAPAGLAHCCAQALCTLAQRLEVEMHLRHQDQLLQIRAELQRLSEENLVLKSDL GKIQLELETSESKNEVQRQEIEVLKRDKEQACCDLEELSTQTQKYKDEMSQLNCRVLQLE GEPSGLHTQKEENHGAIQVLMKKLEEAGCREEQQGDQIQNLKIELERVNEECQYLRLSQA ELTESLEESRSQLYSVQLRLEAAQSQHGRIVQRLQEQMSQLVPGARVAELQHLLNVKEEE ARRLSAQQ >ENSMUSP00000117001.1 pep:known chromosome:GRCm38:2:150958559:150971080:-1 gene:ENSMUSG00000068115.13 transcript:ENSMUST00000150595.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ninl description:ninein-like [Source:MGI Symbol;Acc:MGI:1925427] EQELAVVCRSIGLHSLEKQASMRRQNTEHKLSRRRAAATPPQPRPSCLCAQACASSPVST MAVALPFLSRSSPHGPRRAFRMAGRSCRGQVDQLVQERDKARQDLEKAEKRNLDFVREMD DCHSALEQLTEKKIKHLEQEYRGRLSLLRSEVEMERELFWEQARRQRAVLEQDVGRLQAE ETSLREKLTLALKENSRLQKEIIEVVEKLSDSEKLVLRLQSDLQFVLKDKLEPQSMELLA QEEQFTAILNDYELKC >ENSMUSP00000121872.1 pep:known chromosome:GRCm38:2:150974123:151039382:-1 gene:ENSMUSG00000068115.13 transcript:ENSMUST00000128627.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ninl description:ninein-like [Source:MGI Symbol;Acc:MGI:1925427] MDNEEENHYVSRLRDVYSSCDTTGTGFLDQEELTQLCTKLGLEEQLPALLHILLGDDRLA RVNFEEFKEGFVAVLSSGSGVEPSDEEGSSSESATSCAVPPKYMSGSKWYGRRSLPELGD SATATKYGSEQQAKGSVKPPLRRSASLESVESLKSDEDAESAKEPQN >ENSMUSP00000100539.1 pep:known chromosome:GRCm38:2:127363208:127367221:1 gene:ENSMUSG00000058620.5 transcript:ENSMUST00000104934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra2b description:adrenergic receptor, alpha 2b [Source:MGI Symbol;Acc:MGI:87935] MVHQEPYSVQATAAIASAITFLILFTIFGNALVILAVLTSRSLRAPQNLFLVSLAAADIL VATLIIPFSLANELLGYWYFWRAWCEVYLALDVLFCTSSIVHLCAISLDRYWAVSRALEY NSKRTPRRIKCIILTVWLIAAVISLPPLIYKGDQRPEPHGLPQCELNQEAWYILASSIGS FFAPCLIMILVYLRIYVIAKRSHCRGLGAKRGSGEGESKKPHPAAGGVPASAKVPTLVSP LSSVGEANGHPKPPREKEEGETPEDPEARALPPNWSALPRSVQDQKKGTSGATAEKGAEE DEEEVEECEPQTLPASPASVFNPPLQQPQTSRVLATLRGQVLLSKNVGVASGQWWRRRTQ LSREKRFTFVLAVVIGVFVVCWFPFFFSYSLGAICPQHCKVPHGLFQFFFWIGYCNSSLN PVIYTIFNQDFRRAFRRILCRQWTQTGW >ENSMUSP00000071798.4 pep:known chromosome:GRCm38:2:127363286:127367221:1 gene:ENSMUSG00000058620.5 transcript:ENSMUST00000071902.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra2b description:adrenergic receptor, alpha 2b [Source:MGI Symbol;Acc:MGI:87935] MSGPAMVHQEPYSVQATAAIASAITFLILFTIFGNALVILAVLTSRSLRAPQNLFLVSLA AADILVATLIIPFSLANELLGYWYFWRAWCEVYLALDVLFCTSSIVHLCAISLDRYWAVS RALEYNSKRTPRRIKCIILTVWLIAAVISLPPLIYKGDQRPEPHGLPQCELNQEAWYILA SSIGSFFAPCLIMILVYLRIYVIAKRSHCRGLGAKRGSGEGESKKPHPAAGGVPASAKVP TLVSPLSSVGEANGHPKPPREKEEGETPEDPEARALPPNWSALPRSVQDQKKGTSGATAE KGAEEDEEEVEECEPQTLPASPASVFNPPLQQPQTSRVLATLRGQVLLSKNVGVASGQWW RRRTQLSREKRFTFVLAVVIGVFVVCWFPFFFSYSLGAICPQHCKVPHGLFQFFFWIGYC NSSLNPVIYTIFNQDFRRAFRRILCRQWTQTGW >ENSMUSP00000113938.1 pep:known chromosome:GRCm38:10:81606303:81609836:1 gene:ENSMUSG00000074862.11 transcript:ENSMUST00000119492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC025920 description:cDNA sequence BC025920 [Source:MGI Symbol;Acc:MGI:2670983] MHAGVKPHARAQCCRAFSFSASLNIHMRTHIRERLYRCQQCGKAFTSQSSLQEHIRMHSR EKPQTCELCDKAFSPFQYFQKHLRLHREERPACAAGVRAASVGKPVILPSPSAHVRTRTG ERPIPSRT >ENSMUSP00000113471.1 pep:known chromosome:GRCm38:10:81606883:81609545:1 gene:ENSMUSG00000074862.11 transcript:ENSMUST00000119753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC025920 description:cDNA sequence BC025920 [Source:MGI Symbol;Acc:MGI:2670983] MHAGVKPHARAQCCRAFSFSASLNIHMRTHIRERLYRCQQCGKAFTSQSSLQEHIRMHSR EKPQTCELCDKAFSPFQYFQKHLRLHREERPACAAGVRAASVGKPVILPSPSAHVRTRTG ERPIPSRT >ENSMUSP00000113598.1 pep:known chromosome:GRCm38:10:81606883:81609545:1 gene:ENSMUSG00000074862.11 transcript:ENSMUST00000121138.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC025920 description:cDNA sequence BC025920 [Source:MGI Symbol;Acc:MGI:2670983] MHAGVKPHARAQCCRAFSFSASLNIHMRTHIRERLYRCQQCGKAFTSQSSLQEHIRMHSR EKPQTCELCDKAFSPFQYFQKHLRLHREERPACAAGVRAASVGKPVILPSPSAHVRTRTG ERPIPSRT >ENSMUSP00000097041.3 pep:known chromosome:GRCm38:10:81607025:81609809:1 gene:ENSMUSG00000074862.11 transcript:ENSMUST00000099442.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC025920 description:cDNA sequence BC025920 [Source:MGI Symbol;Acc:MGI:2670983] MHAGVKPHARAQCCRAFSFSASLNIHMRTHIRERLYRCQQCGKAFTSQSSLQEHIRMHSR EKPQTCELCDKAFSPFQYFQKHLRLHREERPACAAGVRAASVGKPVILPSPSAHVRTRTG ERPIPSRT >ENSMUSP00000055413.4 pep:known chromosome:GRCm38:1:52922324:52952844:-1 gene:ENSMUSG00000043629.12 transcript:ENSMUST00000050567.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019D03Rik description:RIKEN cDNA 1700019D03 gene [Source:MGI Symbol;Acc:MGI:1914330] MGCMKSKETFPFPTTLDIDKLHESEEAFIPDDSSQYRTPSPGEQQQVQEVKKLPEPGAVI GALILEFADRLASEIVEDALQQWACENIQYYNIPYIESEGSDTTIN >ENSMUSP00000110136.1 pep:known chromosome:GRCm38:1:52922324:52953350:-1 gene:ENSMUSG00000043629.12 transcript:ENSMUST00000114492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019D03Rik description:RIKEN cDNA 1700019D03 gene [Source:MGI Symbol;Acc:MGI:1914330] MGCMKSKETFPFPTTLDIDKLHESEEAFIPDDSSQYRTPSPGEQQQVQEVKKLPEPGAVI GALILEFADRLASEIVEDALQQWACENIQYYNIPYIESEGSDTTIN >ENSMUSP00000110137.1 pep:known chromosome:GRCm38:1:52922324:52963260:-1 gene:ENSMUSG00000043629.12 transcript:ENSMUST00000114493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019D03Rik description:RIKEN cDNA 1700019D03 gene [Source:MGI Symbol;Acc:MGI:1914330] MGCMKSKETFPFPTTLDIDKLHESEEAFIPDDSSQYRTPSPGEQQQVQEVKKLPEPGAVI GALILEFADRLASEIVEDALQQWACENIQYYNIPYIESEGSDTTIN >ENSMUSP00000139750.1 pep:known chromosome:GRCm38:1:52925183:53020179:-1 gene:ENSMUSG00000043629.12 transcript:ENSMUST00000191441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019D03Rik description:RIKEN cDNA 1700019D03 gene [Source:MGI Symbol;Acc:MGI:1914330] MGCMKSKETFPFPTTLDIDKLHESEEAFIPDDSSQYRTPSPGEQQQVQEVKKLPEPGAVI GALILEFADRLASEIVEDALQQWACENIQYYNIPYIESEGSDTTIN >ENSMUSP00000140160.1 pep:known chromosome:GRCm38:1:52925187:52992655:-1 gene:ENSMUSG00000043629.12 transcript:ENSMUST00000190831.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019D03Rik description:RIKEN cDNA 1700019D03 gene [Source:MGI Symbol;Acc:MGI:1914330] MGCMKSKETFPFPTTLDIDKLHESEEAFIPDDSSQYRTPSPGEQQQVQEVKKLPEPGAVI GALILEFADRLASEIVEDALQQWACENIQYYNIPYIESEGSDTTIN >ENSMUSP00000140273.1 pep:known chromosome:GRCm38:1:52925188:52972046:-1 gene:ENSMUSG00000043629.12 transcript:ENSMUST00000186266.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019D03Rik description:RIKEN cDNA 1700019D03 gene [Source:MGI Symbol;Acc:MGI:1914330] MGCMKSKETFPFPTTLDIDKLHESEEAFIPDDSSQYRTPSPGEQQQVQEVKKLPEPGAVI GALILEFADRLASEIVEDALQQWACENIQYYNIPYIESEGSDTTIN >ENSMUSP00000140530.1 pep:known chromosome:GRCm38:1:52925198:52992656:-1 gene:ENSMUSG00000043629.12 transcript:ENSMUST00000190726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019D03Rik description:RIKEN cDNA 1700019D03 gene [Source:MGI Symbol;Acc:MGI:1914330] MGCMKSKETFPFPTTLDIDKLHESEEAFIPDDSSQYRTPSPGEQQQVQEVKKLPEPGAVI GALILEFADRLASEIVEDALQQWACENIQYYNIPYIESEGSDTTIN >ENSMUSP00000099628.3 pep:known chromosome:GRCm38:4:132355923:132422489:-1 gene:ENSMUSG00000066043.13 transcript:ENSMUST00000102568.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr4 description:phosphatase and actin regulator 4 [Source:MGI Symbol;Acc:MGI:2140327] MEDPSEEAEQPSGDPGMGMDSVEAGDTTPPTKRKSKFSALGKIFKPWKWRKKKSSDKFKE TSEVLERKISMRKPREELVKRGVLLEDPEQDGEDSGKLSHAALKNGHTTPIGSARSSSPV LVEEEPERSLRNLTPEEESKKRLGSTGSQPNSEAEPGPEHAPKQPLLPPKRPLSSSCEAK EVPAGSTARSVSSTSGSTTVTSAATTAATDMTKTVKSFVGPTPAPAPAPRTLPAAPASAN TAATTTAPAKQPPIPPPKPAQRNSNPIIAELSQAMNSGTVLSKPSPPLPPKRGIPSTSIP SLEPAASFTTKTANDQREKTVSLCLEPPLIIPPSSPSPPLPTHIPPEPPRSPLVPAKTFQ IVPEVEFSSSSDLFQDISQQEDQKTEVPKKIQDQSFGESHIPSRLPPLPLHIRIQQALTS PLPVTPPLEGTHRAHSLLFENSDSFSEDTGTLGRTRSLPITIEMLKVPDDEEEEQTCPFV EDVTSTSATPSLPLCLREEEKESDSDSEGPIKYRDEEEDDDDDESHQSALANRVKRKDTL AMKLSSRPSEPETNLNSWPRKSKEEWNEIRHQIGNTLIRRLSQRPTAEELEQRNILQPKN EADRQAEKREIKRRLTRKLSQRPTVAELLARKILRFNEYVEVTDAHDYDRRADKPWTKLT PADKAAIRKELNEFKSSEMEVHVDSKHFTRYHRP >ENSMUSP00000081270.4 pep:known chromosome:GRCm38:4:132358249:132398211:-1 gene:ENSMUSG00000066043.13 transcript:ENSMUST00000084249.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr4 description:phosphatase and actin regulator 4 [Source:MGI Symbol;Acc:MGI:2140327] MGQADVSRPVNPDAVEEAEQPSGDPGMGMDSVEAGDTTPPTKRKSKFSALGKIFKPWKWR KKKSSDKFKETSEVLERKISMRKPREELVKRGVLLEDPEQDGEDSGKLSHAALKNGHTTP IGSARSSSPVLVEEEPERSLRNLTPEEESKKRLGSTGSQPNSEAEPGPEHAPKQPLLPPK RPLSSSCEAKEVPAGSTARSVSSTSGSTTVTSAATTAATDMTKTVKSFVGPTPAPAPAPR TLPAAPASANTAATTTAPAKQPPIPPPKPAQRNSNPIIAELSQAMNSGTVLSKPSPPLPP KRGIPSTSIPSLEPAASFTTKTANDQREKTVSLCLEPPLIIPPSSPSPPLPTHIPPEPPR SPLVPAKTFQIVPEVEFSSSSDLFQDISQQEDQKTEVPKKIQDQSFGESHIPSRLPPLPL HIRIQQALTSPLPVTPPLEGTHRAHSLLFENSDSFSEDTGTLGRTRSLPITIEMLKVPDD EEEEQTCPFVEDVTSTSATPSLPLCLREEEKESDSDSEGPIKYRDEEEDDDDDESHQSAL ANRVKRKDTLAMKLSSRPSEPETNLNSWPRKSKEEWNEIRHQIGNTLIRRLSQRPTAEEL EQRNILQPKNEADRQAEKREIKRRLTRKLSQRPTVAELLARKILRFNEYVEVTDAHDYDR RADKPWTKLTPADKAAIRKELNEFKSSEMEVHVDSKHFTRYHRP >ENSMUSP00000119767.1 pep:known chromosome:GRCm38:4:132370951:132422441:-1 gene:ENSMUSG00000066043.13 transcript:ENSMUST00000152271.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr4 description:phosphatase and actin regulator 4 [Source:MGI Symbol;Acc:MGI:2140327] MEDPSEEAEQPSGDPGMGMDSVEAGDTTPPTKRKSKFSALGKIFKPWKWRKKKSSDKFKE TSEDGEDSGKLSHAALKNGHTTPIGSARSSSPVLVEEEPERSLRNLTPEEESKKRLGSTG SQPNSEAEPGPEHAPKQPLLPPKRPLSSSCEAKEVPAGSTARSVSSTSGSTTVTSAATTA ATDMTKTVKSFVGPTPAPAPAPRTLPAAPASANTAATTTAPAKQPPIPPPKPAQRNSNPI IAELSQAMNSGTVLSKPSPPLPPKRGIPSTSIPSLEPAASFTTKTANDQREKTVSLCL >ENSMUSP00000122194.1 pep:known chromosome:GRCm38:4:132377461:132398199:-1 gene:ENSMUSG00000066043.13 transcript:ENSMUST00000136711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr4 description:phosphatase and actin regulator 4 [Source:MGI Symbol;Acc:MGI:2140327] MGQADVSRPVNPDAVEEAEQPSGDPGMGMDSVEAGDTTPPTKRKSKFSALGKIFKPWKWR KKKSSDKFKETSEDGEDSGKLSHAALKNGHTTPIGSARSSSPVLVEEEPERSLRNLTPEE ESKKRLGSTGSQPNSEAEPGPEHAPKQPLLPPKRPLSS >ENSMUSP00000081185.5 pep:known chromosome:GRCm38:4:132355930:132422446:-1 gene:ENSMUSG00000066043.13 transcript:ENSMUST00000084170.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr4 description:phosphatase and actin regulator 4 [Source:MGI Symbol;Acc:MGI:2140327] MEDPSEEAEQPSGDPGMGMDSVEAGDTTPPTKRKSKFSALGKIFKPWKWRKKKSSDKFKE TSEDGEDSGKLSHAALKNGHTTPIGSARSSSPVLVEEEPERSLRNLTPEEESKKRLGSTG SQPNSEAEPGPEHAPKQPLLPPKRPLSSSCEAKEVPAGSTARSVSSTSGSTTVTSAATTA ATDMTKTVKSFVGPTPAPAPAPRTLPAAPASANTAATTTAPAKQPPIPPPKPAQRNSNPI IAELSQAMNSGTVLSKPSPPLPPKRGIPSTSIPSLEPAASFTTKTANDQREKTVSLCLEP PLIIPPSSPSPPLPTHIPPEPPRSPLVPAKTFQIVPEVEFSSSSDLFQDISQQEDQKTEV PKKIQDQSFGESHIPSRLPPLPLHIRIQQALTSPLPVTPPLEGTHRAHSLLFENSDSFSE DTGTLGRTRSLPITIEMLKVPDDEEEEQTCPFVEDVTSTSATPSLPLCLREEEKESDSDS EGPIKYRDEEEDDDDDESHQSALANRVKRKDTLAMKLSSRPSEPETNLNSWPRKSKEEWN EIRHQIGNTLIRRLSQRPTAEELEQRNILQPKNEADRQAEKREIKRRLTRKLSQRPTVAE LLARKILRFNEYVEVTDAHDYDRRADKPWTKLTPADKAAIRKELNEFKSSEMEVHVDSKH FTRYHRP >ENSMUSP00000099744.4 pep:known chromosome:GRCm38:11:60226715:60352905:-1 gene:ENSMUSG00000000538.18 transcript:ENSMUST00000102683.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l2 description:target of myb1-like 2 (chicken) [Source:MGI Symbol;Acc:MGI:2443306] MEFLLGNPFSTPVGQCLEKATDGSLQSEDWTLNMEICDIINETEEGPKDAIRALKKRLSG NRNYREVMLALTVLETCVKNCGHRFHLLVANRDFIDSVLVKIISPKNNPPTIVQDKVLAL IQAWADAFRSSPDLTGVVHIYEELKRRGIEFPMADLDALSPIHTPQRSVPEMDPAATIPR SQTQPRTTAGTYSSPPPASYSTLQAPALSVTGPITANSEQIARLRSELDIVRGNTKVMSE MLTEMVPGQEDSSDLELLQELNRTCRAMQHRIVELISRVSNEEVTEELLHVNDDLNNVFL RYERFERYRSGRSVQNASNGVLSEVTEDNLIDLGPGSPAVVSPMVGSTAPPSSLSSQLAG LDLGTESVSGTLSSLQQCKPQDGFDMFAQTRGNSLAEQRKTVTYEDPQAVGGLASALDNR KQNSEMIPVAQPSVMDDIEVWLRTDLKGDDLEEGVTSEEFDKFLEERAKAAETVPDLPSP PTEAPAPASNTSTRKKPERSDDALFAL >ENSMUSP00000090736.6 pep:known chromosome:GRCm38:11:60226715:60352905:-1 gene:ENSMUSG00000000538.18 transcript:ENSMUST00000093048.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l2 description:target of myb1-like 2 (chicken) [Source:MGI Symbol;Acc:MGI:2443306] MEFLLGNPFSTPVGQCLEKATDGSLQSEDWTLNMEICDIINETEEGPKDAIRALKKRLSG NRNYREVMLALTVLETCVKNCGHRFHLLVANRDFIDSVLVKIISPKNNPPTIVQDKVLAL IQSVPEMDPAATIPRSQTQPRTTAGTYSSPPPASYSTLQAPALSVTGPITANSEQIARLR SELDIVRGNTKVMSEMLTEMVPGQEDSSDLELLQELNRTCRAMQHRIVELISRVSNEEVT EELLHVNDDLNNVFLRYERFERYRSGRSVQNASNGVLSEVTEDNLIDLGPGSPAVVSPMV GSTAPPSSLSSQLAGLDLGTESVSGTLSSLQQCKPQDGFDMFAQTRGNSLAEQRKTVTYE DPQAVGGLASALDNRKQNSEMIPVAQPSVMDDIEVWLRTDLKGDDLEEGVTSEEFDKFLE ERAKAAETVPDLPSPPTEAPAPASNTSTRKKPERSDDALFAL >ENSMUSP00000090734.6 pep:known chromosome:GRCm38:11:60226715:60352905:-1 gene:ENSMUSG00000000538.18 transcript:ENSMUST00000093046.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l2 description:target of myb1-like 2 (chicken) [Source:MGI Symbol;Acc:MGI:2443306] MEFLLGNPFSTPVGQCLEKATDGSLQSEDWTLNMEICDIINETEEGPKDAIRALKKRLSG NRNYREVMLALTAWADAFRSSPDLTGVVHIYEELKRRGIEFPMADLDALSPIHTPQRSVP EMDPAATIPRSQTQPRTTAGTYSSPPPASYSTLQAPALSVTGPITANSEQIARLRSELDI VRGNTKVMSEMLTEMVPGQEDSSDLELLQELNRTCRAMQHRIVELISRVSNEEVTEELLH VNDDLNNVFLRYERFERYRSGRSVQNASNGVLSEVTEDNLIDLGPGSPAVVSPMVGSTAP PSSLSSQLAGLDLGTESVSGTLSSLQQCKPQDGFDMFAQTRGNSLAEQRKTVTYEDPQAV GGLASALDNRKQNSEMIPVAQPSVMDDIEVWLRTDLKGDDLEEGVTSEEFDKFLEERAKA AETVPDLPSPPTEAPAPASNTSTRKKPERSDDALFAL >ENSMUSP00000117623.1 pep:known chromosome:GRCm38:11:60229902:60245157:-1 gene:ENSMUSG00000000538.18 transcript:ENSMUST00000133420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l2 description:target of myb1-like 2 (chicken) [Source:MGI Symbol;Acc:MGI:2443306] XIDLGPGSPAVVSPMVGSTAPPSSLSSQLAGLDLGTESVSGTLSSLQQCKPQDGFDMFAQ TRGNSLAEQRKTVTYEDPQAVGGLASALDNRKQNSEMRGRGGDSDLQPIDSWLMTQGMIP VAQPSVMDDIEVWLRTDLKGDDLEEGVTSEEFDKFLEERAKAAETVPDLPSPPTEAPAPA SNTSTRKKPERSDDALFAL >ENSMUSP00000121936.1 pep:known chromosome:GRCm38:11:60229902:60245669:-1 gene:ENSMUSG00000000538.18 transcript:ENSMUST00000143124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l2 description:target of myb1-like 2 (chicken) [Source:MGI Symbol;Acc:MGI:2443306] XSGRSVQNASNGVLSEVTEDNLIDLGPGSPAVVSPMVGSTAPPSSLSSQLAGLDLGTESV SGTLSSLQQCKPQDGFDMFAQTRGNSLAEQRKTVTYEDPQAVGGLASALDNRKQNSEMKG DDLEEGVTSEEFDKFLEERAKAAETVPDLPSPPTEAPAPASNTSTRKKPERSDDALFAL >ENSMUSP00000063414.8 pep:known chromosome:GRCm38:11:60240570:60352905:-1 gene:ENSMUSG00000000538.18 transcript:ENSMUST00000064019.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l2 description:target of myb1-like 2 (chicken) [Source:MGI Symbol;Acc:MGI:2443306] MEFLLGNPFSTPVGQCLEKATDGSLQSEDWTLNMEICDIINETEEGPKDAIRALKKRLSG NRNYREVMLALTVLETCVKNCGHRFHLLVANRDFIDSVLVKIISPKNNPPTIVQDKVLAL IQAWADAFRSSPDLTGVVHIYEELKRRGIEFPMADLDALSPIHTPQRSVPEMDPAATIPR SQTQPRTTAGTYSSPPPASYSTLQAPALSVTGPITANSEQIARLRSELDIVRGNTKVMSE MLTEMVPGQEDSSDLELLQELNRTCRAMQHRIVELISRVSNEEVTEELLHVNDDLNNVFL RYERFERYRSGRSVQNASNGVLSEVTEDNLIDLGPGSPAVVSPMVGSTAPPSSLSSQLAG LDLGTESVSGTLSSLQQCKPQDGFDMFAQTRGNSLAEQRKTVTYEDPQAVGGLASALDNR KQNSEMEMYGNACLSAWQGRRRLPGPPGLE >ENSMUSP00000099743.4 pep:known chromosome:GRCm38:11:60240937:60352905:-1 gene:ENSMUSG00000000538.18 transcript:ENSMUST00000102682.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l2 description:target of myb1-like 2 (chicken) [Source:MGI Symbol;Acc:MGI:2443306] MEFLLGNPFSTPVGQCLEKATDGSLQSEDWTLNMEICDIINETEEGPKDAIRALKKRLSG NRNYREVMLALTVLETCVKNCGHRFHLLVANRDFIDSVLVKIISPKNNPPTIVQDKVLAL IQAWADAFRSSPDLTGVVHIYEELKRRGIEFPMADLDALSPIHTPQRSVPEMDPAATIPR SQTQPRTTAGTYSSPPPASYSTLQAPALSVTGPITANSEQIARLRSELDIVRGNTKVMSE MLTEMVPGQEDSSDLELLQELNRTCRAMQHRIVELISRVSNEEVTEELLHVNDDLNNVFL RYERFERYRSGRSVQNASNGVLSEVTEDNLIDLGPGSPAVVSPMVGSTAPPSSLSSQLAG LDLGTESVSGTLSSLQQCKPQDGFDMFAQTRGNSLAEQRKTVTYEDPQAVGGLASALDNR KQNSEMVGLHTCVLPTVFWR >ENSMUSP00000092884.5 pep:known chromosome:GRCm38:11:60226714:60352905:-1 gene:ENSMUSG00000000538.18 transcript:ENSMUST00000095254.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l2 description:target of myb1-like 2 (chicken) [Source:MGI Symbol;Acc:MGI:2443306] MEFLLGNPFSTPVGQCLEKATDGSLQSEDWTLNMEICDIINETEEGPKDAIRALKKRLSG NRNYREVMLALTVLETCVKNCGHRFHLLVANRDFIDSVLVKIISPKNNPPTIVQDKVLAL IQAWADAFRSSPDLTGVVHIYEELKRRGIEFPMADLDALSPIHTPQRSVPEMDPAATIPR SQTQPRTTAGTYSSPPPASYSTLQAPALSVTGPITANSEQIARLRSELDIVRGNTKVMSE MLTEMVPGQEDSSDLELLQELNRTCRAMQHRIVELISRVSNEEVTEELLHVNDDLNNVFL RYERFERYRSGRSVQNASNGVLSEVTEDNLIDLGPGSPAVVSPMVGSTAPPSSLSSQLAG LDLGTESVSGTLSSLQQCKPQDGFDMFAQTRGNSLAEQRKTVTYEDPQAVGGLASALDNR KQNSEMKGDDLEEGVTSEEFDKFLEERAKAAETVPDLPSPPTEAPAPASNTSTRKKPERS DDALFAL >ENSMUSP00000046097.9 pep:known chromosome:GRCm38:15:74516195:74589464:1 gene:ENSMUSG00000034730.16 transcript:ENSMUST00000042035.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb1 description:adhesion G protein-coupled receptor B1 [Source:MGI Symbol;Acc:MGI:1933736] MRGQAAAPGPIWILAPLLLLLLLLGRWARAASGADIGPGTEQCTTLVQGKFFGYFSAAAV FPANASRCSWTLRNPDPRRYTLYMKVAKAPAPCSGPGRVRTYQFDSFLESTRTYLGVESF DEVLRLCDSSAPLAFLQASKQFLQMQRQQPPQDGDLGPQGEFPSSSDDFSVEYLVVGNRN PSHAACQMLCRWLDACLAGSRSSHPCGIMQTPCACLGGDVGDPASSPLVPRGDVCLRDGV AGGPENCLTSLTQDRGGHGSAGGWKLWSLWGECTRDCGGGLQTRTRTCLPTLGVEGGGCE GVLEEGRLCNRKACGPTGRSSSRSQSLRSTDARRREEFGDELQQFGFPSPQTGDPAAEEW SPWSVCSSTCGEGWQTRTRFCVSSSYSTQCSGPLREQRLCNNSAVCPVHGAWDEWSPWSL CSSTCGRGFRDRTRTCRPPQFGGNPCEGPEKQTKFCNIALCPGRAVDGNWNEWSSWSTCS ASCSQGRQQRTRECNGPSYGGAECQGHWVETRDCFLQQCPVDGKWQAWASWGSCSVTCGG GSQRRERVCSGPFFGGAACQGPQDEYRQCGAQRCPEPHEICDEDNFGAVVWKETPAGEVA AVRCPRNATGLILRRCELDEEGIAFWEPPTYIRCVSIDYRNIQMMTREHLAKAQRGLPGE GVSEVIQTLLEISQDGTSYSGDLLSTIDVLRNMTEIFRRAYYSPTPGDVQNFVQIISNLL AEENRDKWEEAQLMGPNAKELFRLVEDFVDVIGFRMKDLRDAYQVTDNLVLSIHKLPASG ATDISFPMKGWRATGDWAKVPEDRVTVSKSVFSTGLAEADDSSVFVVGTVLYRNLGSFLA LQRNTTVLNSKVISVTVKPPPRSLLTPLEIEFAHMYNGTTNQTCILWDETDGPSSSAPPQ LGPWSWRGCRTVPLDALRTRCLCDRLSTFAILAQLSADATMDKVTVPSVTLIVGCGVSSL TLLMLVIIYVSVWRYIRSERSVILINFCLSIISSNALILIGQTQTRNKVVCTLVAAFLHF FFLSSFCWVLTEAWQSYMAVTGRLRSRLVRKRFLCLGWGLPALVVAISVGFTKAKGYSTM NYCWLSLEGGLLYAFVGPAAAVVLVNMVIGILVFNKLVSKDGITDKKLKERAGASLWSSC VVLPLLALTWMSAVLAVTDRRSALFQILFAVFDSLEGFVIVMVHCILRREVQDAVKCRVV DRQEEGNGDSGGSFQNGHAQLMTDFEKDVDLACRSVLNKDIAACRTATITGTFKRPSLPE EEKMKLAKGPPPTFNSLPANVSKLHLHGSPRYPGGPLPDFPNHSLTLKKDKAPKSSFIGD GDIFKKLDSELSRAQEKALDTSYVILPTATATLRPKPKEEPKYSINIDQMPQTRLIHLSM APDASFPTRSPPAREPPGGAPPEVPPVQPPPPPPPPPPPPQQPIPPPPTLEPAPPSLGDT GEPAAHPGPSSGAGAKNENVATLSVSSLERRKSRYAELDFEKIMHTRKRHQDMFQDLNRK LQHAAEKEKEVPGADSKPEKQQTPNKRAWESLRKPHGTPAWVKKELEPLPPSPLELRSVE WEKAGATIPLVGQDIIDLQTEV >ENSMUSP00000140362.1 pep:known chromosome:GRCm38:15:74516438:74589464:1 gene:ENSMUSG00000034730.16 transcript:ENSMUST00000186360.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adgrb1 description:adhesion G protein-coupled receptor B1 [Source:MGI Symbol;Acc:MGI:1933736] MRGQAAAPGPIWILAPLLLLLLLLGRWARAASGADIGPGTEQCTTLVQGKFFGYFSAAAV FPANASRCSWTLRNPDPRRYTLYMKVAKAPAPCSGPGRVRTYQFDSFLESTRTYLGVESF DEVLRLCDSSAPLAFLQASKQFLQMQRQQPPQDGDLGPQGEFPSSSDDFSVEYLVVGNRN PSHAACQMLCRWLDACLAGSRSSHPCGIMQTPCACLGGDVGDPASSPLVPRGDVCLRDGV AGGPENCLTSLTQDRGGHGSAGGWKLWSLWGECTRDCGGGLQTRTRTCLPTLGVEGGGCE GVLEEGRLCNRKACGPTGRSSSRSQSLRSTDARRREEFGDELQQFGFPSPQTGDPAAEEW SPWSVCSSTCGEGWQTRTRFCVSSSYSTQCSGPLREQRLCNNSAVCPVHGAWDEWSPWSL CSSTCGRGFRDRTRTCRPPQFGGNPCEGPEKQTKFCNIALCPGRAVDGNWNEWSSWSTCS ASCSQGRQQRTRECNGPSYGGAECQGHWVETRDCFLQQCPVDGKWQAWASWGSCSVTCGG GSQRRERVCSGPFFGGAACQGPQDEYRQCGAQRCPEPHEICDEDNFGAVVWKETPAGEVA AVRCPRNATGLILRRCELDEEGIAFWEPPTYIRCVSIDYRNIQMMTREHLAKAQRGLPGE GVSEVIQTLLEISQDGTSYSGDLLSTIDVLRNMTEIFRRAYYSPTPGDVQNFVQIISNLL AEENRDKWEEAQLMGPNAKELFRLVEDFVDVIGFRMKDLRDAYQVTDNLVLSIHKLPASG ATDISFPMKGWRATGDWAKVPEDRVTVSKSVFSTGLAEADDSSVFVVGTVLYRNLGSFLA LQRNTTVLNSKVISVTVKPPPRSLLTPLEIEFAHMYNGTTNQTCILWDETDGPSSSAPPQ LGPWSWRGCRTVPLDALRTRCLCDRLSTFAILAQLSADATMDKVTVPSVTLIVGCGVSSL TLLMLVIIYVSVWRYIRSERSVILINFCLSIISSNALILIGQTQTRNKVVCTLVAAFLHF FFLSSFCWVLTEAWQSYMAVTGRLRSRLVRKRFLCLGWGLPALVVAISVGFTKAKGYSTM NYCWLSLEGGLLYAFVGPAAAVVLGLPVELLRGAAAAGADLDVCCACCHRPPLRPLPDPL RCL >ENSMUSP00000140959.1 pep:known chromosome:GRCm38:15:74516831:74589464:1 gene:ENSMUSG00000034730.16 transcript:ENSMUST00000187485.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adgrb1 description:adhesion G protein-coupled receptor B1 [Source:MGI Symbol;Acc:MGI:1933736] MRGQAAAPGPIWILAPLLLLLLLLGRWARAASGADIGPGTEQCTTLVQGKFFGYFSAAAV FPANASRCSWTLRNPDPRRYTLYMKVAKAPAPCSGPGRVRTYQFDSFLESTRTYLGVESF DEVLRLCDSSAPLAFLQASKQFLQMQRQQPPQDGDLGPQGEFPSSSDDFSVEYLVVGNRN PSHAACQMLCRWLDACLAGSRSSHPCGIMQTPCACLGGDVGDPASSPLVPRGDVCLRDGV AGGPENCLTSLTQDRGGHGSAGGWKLWSLWGECTRDCGGGLQTRTRTCLPTLGVEGGGCE GVLEEGRLCNRKACGPTGRSSSRSQSLRSTDARRREEFGDELQQFGFPSPQTGLDPQVTQ QLRSGPHGVYAPVPAVRAGRPAPASACHPRTVLSAVDL >ENSMUSP00000140713.1 pep:known chromosome:GRCm38:15:74540397:74543522:1 gene:ENSMUSG00000034730.16 transcript:ENSMUST00000189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb1 description:adhesion G protein-coupled receptor B1 [Source:MGI Symbol;Acc:MGI:1933736] XHGAWDEWSPWSLCSSTCGRGFRDRTRTCRPPQFGGNPCEGPEKQTKFCNIALCPVDGNW NEWSSWSTCSASCSQGRQQRTRECNGPSYGGAECQGHWVETRDCFLQQCPVDGKWQAWAS WGSCSVTCGGGSQRRERVCSGPFFGGAACQGPQDEYRQCGAQRCPEPHEICDEDNFGAVV WKETPAGEVAAVRCPRNATGLILRRCELDEEGIAFWEPPTYIRCVSIDYRNIQMMTREHL >ENSMUSP00000140889.1 pep:known chromosome:GRCm38:15:74561284:74574119:1 gene:ENSMUSG00000034730.16 transcript:ENSMUST00000189353.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb1 description:adhesion G protein-coupled receptor B1 [Source:MGI Symbol;Acc:MGI:1933736] MDKVTVPSVTLIVGCGVSSLTLLMLVIIYVSVWRYIRSERSVILINFCLSIISSNALILI GQTQTRNKVVCTLVAAFLHFFFLSSFCWVLTEAWQSYMAVTGRLRSRLVRKRFLCLGWGL PALVVAISVGFTKAKGYSTMNYCWLSL >ENSMUSP00000140407.1 pep:known chromosome:GRCm38:15:74563378:74572207:1 gene:ENSMUSG00000034730.16 transcript:ENSMUST00000190524.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb1 description:adhesion G protein-coupled receptor B1 [Source:MGI Symbol;Acc:MGI:1933736] MDKVTVPSVTLIVGCGVSSLTLLMLVIIYVSVWRYIRSERSVILINFCLSIISSNALILI GQTQTRNKVVCTLVAAFLHFFFLSSFCWVLTEAWQSYMAVTGRLRSRLVRKR >ENSMUSP00000139428.1 pep:known chromosome:GRCm38:15:74563792:74589464:1 gene:ENSMUSG00000034730.16 transcript:ENSMUST00000185682.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb1 description:adhesion G protein-coupled receptor B1 [Source:MGI Symbol;Acc:MGI:1933736] MDKVTVPSVTLIVGCGVSSLTLLMLVIIYVSVWRYIRSERSVILINFCLSIISSNALILI GQTQTRNKVVCTLVAAFLHFFFLSSFCWVLTEAWQSYMAVTGRLRSRLVRKRFLCLGWGL PALVVAISVGFTKAKGYSTMNYCWLSLEGGLLYAFVGPAAAVVLVNMVIGILVFNKLVSK DGITDKKLKERAGASLWSSCVVLPLLALTWMSAVLAVTDRRSALFQILFAVFDSLEGFVI VMVHCILRREVQDAVKCRVVDRQEEGNGDSGGSFQNGHAQLMTDFEKDVDLACRSVLNKD IAACRTATITGTFKRPSLPEEEKMKLAKGPPPTFNSLPANVSKLHLHGSPRYPGGPLPDF PNHSLTLKKDKAPKSSFIGDGDIFKKLDSELSRAQEKALDTSYVILPTATATLRPKPKEE PKYSINIDQMPQTRLIHLSMAPDASFPTRSPPAREPPGGAPPEVPPVQPPPPPPPPPPPP QQPIPPPPTLEPAPPSLGDTGEPAAHPGPSSGAGAKNENVATLSVSSLERRKSRYAELDF EKIMHTRKRHQDMFQDLNRKLQHAAEKEKEVPGADSKPEKQQTPNKRAWESLRKPHGTPA WVKKELEPLPPSPLELRSVEWEKAGATIPLVGQDIIDLQTEV >ENSMUSP00000140831.1 pep:known chromosome:GRCm38:15:74563901:74572861:1 gene:ENSMUSG00000034730.16 transcript:ENSMUST00000187599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb1 description:adhesion G protein-coupled receptor B1 [Source:MGI Symbol;Acc:MGI:1933736] MDKVTVPSVTLIVGCGVSSLTLLMLVIIYVSVWRYIRSERSVILINFCLSIISSNALILI GQTQTRNKVVCTLVAAFLHFFFLSSFCWVLTEAWQSYMAVTGRLRSRLVRKRFLCLGWGL PALVVAISVGFTKAKG >ENSMUSP00000139954.1 pep:known chromosome:GRCm38:15:74574143:74589465:1 gene:ENSMUSG00000034730.16 transcript:ENSMUST00000187639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb1 description:adhesion G protein-coupled receptor B1 [Source:MGI Symbol;Acc:MGI:1933736] XGPAAAVVLVNMVIGILVFNKLVSKDGITDKKLKERAGQLPVPPLGRALTCAECGVLSAA EANSDATSNAMASLWSSCVVLPLLALTWMSAVLAVTDRRSALFQILFAVFDSLEGFVIVM VHCILRREVQDAVKCRVVDRQEEGNGDSGGSFQNGHAQLMTDFEKDVDLACRSGERLTVL NKDIAACRTATITGTFKRPSLPEEEKMKLAKGPPPTFNSLPANVSKLHLHGSPRYPGGPL PDFPNHSLTLKKDKAPKSSFIGDGDIFKKLDSELSRAQEKALDTSYVILPTATATLRPKP KEEPKYSINIDQMPQTRLIHLSMAPDASFPTRSPPAREPPGGAPPEVPPVQPPPPPPPPP PPPQQPIPPPPTLEPAPPSLGDTGEPAAHPGPSSGAGAKNENVATLSVSSLERRKSRYAE LDFEKIMHTRKRHQDMFQDLNRKLQHAAEKEKEVPGADSKPEKQQTPNKRAWESLRKPHG TPAWVKKELEPLPPSPLELRSVEWEKAGATIPLVGQDIIDLQTEV >ENSMUSP00000127122.1 pep:known chromosome:GRCm38:15:74563853:74589443:1 gene:ENSMUSG00000034730.16 transcript:ENSMUST00000170845.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb1 description:adhesion G protein-coupled receptor B1 [Source:MGI Symbol;Acc:MGI:1933736] MDKVTVPSVTLIVGCGVSSLTLLMLVIIYVSVWRYIRSERSVILINFCLSIISSNALILI GQTQTRNKVVCTLVAAFLHFFFLSSFCWVLTEAWQSYMAVTGRLRSRLVRKRFLCLGWGL PALVVAISVGFTKAKGYSTMNYCWLSLEGGLLYAFVGPAAAVVLVNMVIGILVFNKLVSK DGITDKKLKERAGASLWSSCVVLPLLALTWMSAVLAVTDRRSALFQILFAVFDSLEGFVI VMVHCILRREVQDAVKCRVVDRQEEGNGDSGGSFQNGHAQLMTDFEKDVDLACRSVLNKD IAACRTATITGTFKRPSLPEEEKMKLAKGPPPTFNSLPANVSKLHLHGSPRYPGGPLPDF PNHSLTLKKDKAPKSSFIGDGDIFKKLDSELSRAQEKALDTSYVILPTATATLRPKPKEE PKYSINIDQMPQTRLIHLSMAPDASFPTRSPPAREPPGGAPPEVPPVQPPPPPPPPPPPP QQPIPPPPTLEPAPPSLGDTGEPAAHPGPSSGAGAKNENVATLSVSSLERRKSRYAELDF EKIMHTRKRHQDMFQDLNRKLQHAAEKEKEVPGADSKPEKQQTPNKRAWESLRKPHGTPA WVKKELEPLPPSPLELRSVEWEKAGATIPLVGQDIIDLQTEV >ENSMUSP00000064884.5 pep:known chromosome:GRCm38:16:11901363:11930600:1 gene:ENSMUSG00000055015.5 transcript:ENSMUST00000068397.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9961 description:predicted gene 9961 [Source:MGI Symbol;Acc:MGI:3642444] MGWKTLEKEQPGQVKTLLCTRVTRQQSMFQDTWLPSCVVCNSPGFVSHLAVGVLGVQMYI TISSFLSVPEMEVQSPGFHDAVSRKTNTCSKLATTTTPSSVVRTDCWMWVPLD >ENSMUSP00000115750.1 pep:known chromosome:GRCm38:10:62947026:62974185:1 gene:ENSMUSG00000036875.15 transcript:ENSMUST00000131422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dna2 description:DNA replication helicase/nuclease 2 [Source:MGI Symbol;Acc:MGI:2443732] MEPLDELDLLLLEEDGGAEAVPRVELLRKKADALFPETVLSRGVDNRYLVLAVETSQNER GAEEKRLHVTASQDREHEVLCILRNGWSSVPVEPGDIVHLEGDCTSEPWIIDDDFGYFIL YPDMMISGTSVASSIRCLRRAVLSETFRGSDPATRQMLIGTILHEVFQKAISESFAPERL QELALQTLREVRHLKEMYRLNLSQDEILCEVEEYLPSFSKWAEDFMRKGPSSEFPQMQLS LPSDGSNRSSPCNIEVVKSLDIEESIWSPRFGLKGKIDVTVGVKIHRDCKMKYKVMPLEL KTGKESNSIEHRSQVVLYTLLSQERREDPEAGWLLYLKTGQMYPVPANHLDKRELLKLRN WLAASLLHRVSRAAPGEEARLSALPQIIEEEKTCKYCSQIGNCALYSRAVEEQGDDASIP EAMLSKIQEETRHLQLAHLKYFSLWCLMLTLESQSKDNRKTHQSIWLTPASELEESGNCV GNLVRTEPVSRVCDGQYLHNFQRKNGPMPATNLMAGDRIILSGEERKLFALSKGYVKKMN KAAVTCLLDRNLSTLPATTVFRLDREERHGDISTPLGNLSKLMESTDPSKRLRELIIDFR EPQFIAYLSSVLPHDAKDTVANILKGLNKPQRQAMKRVLLSKDYTLIVGMPGTGKTTTIC ALVRILSACGFSVLLTSYTHSAVDNILLKLAKFKVGFLRLGQSHKVHPDIQKFTEEEICR SRSIASLAHLEELYNSHPIVATTCMGINHPIFSRKTFDFCIVDEASQISQPVCLGPLFFS RRFVLVGDHQQLPPLVVNREARALGMSESLFKRLERNESAVVQLTVQYRMNRKIMSLSNK LTYAGKLECGSDRVANAVLALPNLKDARLSLQLYADYSDSPWLAGVLEPDNPVCFLNTDK VPAPEQVENGGVSNVTEARLIVFLTSTFIKAGCSPSDIGVIAPYRQQLRIISDLLARSSV GMVEVNTVDKYQGRDKSLILVSFVRSNEDGTLGELLKDWRRLNVALTRAKHKLILLGSVS SLKRFPPLGTLFDHLNAEQLILDLPSREHESLSHILGDCQRD >ENSMUSP00000090119.5 pep:known chromosome:GRCm38:10:62947075:62973767:1 gene:ENSMUSG00000036875.15 transcript:ENSMUST00000092462.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dna2 description:DNA replication helicase/nuclease 2 [Source:MGI Symbol;Acc:MGI:2443732] MEPLDELDLLLLEEDGGAEAVPRVELLRKKADALFPETVLSRGVDNRYLVLAVETSQNER GAEEKRLHVTASQDREHEVLCILRNGWSSVPVEPGDIVHLEGDCTSEPWIIDDDFGYFIL YPDMMISGTSVASSIRCLRRAVLSETFRGSDPATRQMLIGTILHEVFQKAISESFAPERL QELALQTLREVRHLKEMYRLNLSQDEILCEVEEYLPSFSKWAEDFMRKGPSSEFPQMQLS LPSDGSNRSSPCNIEVVKSLDIEESIWSPRFGLKGKIDVTVGVKIHRDCKMKYKVMPLEL KTGKESNSIEHRSQVVLYTLLSQERREDPEAGWLLYLKTGQMYPVPANHLDKRELLKLRN WLAASLLHRVSRAAPGEEARLSALPQIIEEEKTCKYCSQIGNCALYSRAVEEQGDDASIP EAMLSKIQEETRHLQLAHLKYFSLWCLMLTLESQSKDNRKTHQSIWLTPASELEESGNCV GNLVRTEPVSRVCDGQYLHNFQRKNGPMPATNLMAGDRIILSGEERKLFALSKGYVKKMN KAAVTCLLDRNLSTLPATTVFRLDREERHGDISTPLGNLSKLMESTDPSKRLRELIIDFR EPQFIAYLSSVLPHDAKDTVANILKGLNKPQRQAMKRVLLSKDYTLIVGMPGTGKTTTIC ALVRILSACGFSVLLTSYTHSAVDNILLKLAKFKVGFLRLGQSHKVHPDIQKFTEEEICR SRSIASLAHLEELYNSHPIVATTCMGINHPIFSRKTFDFCIVDEASQISQPVCLGPLFFS RRFVLVGDHQQLPPLVVNREARALGMSESLFKRLERNESAVVQLTVQYRMNRKIMSLSNK LTYAGKLECGSDRVANAVLALPNLKDARLSLQLYADYSDSPWLAGVLEPDNPVCFLNTDK VPAPEQVENGGVSNVTEARLIVFLTSTFIKAAAPQTLASSPRTDSSCGSSATYWPGLLLG WLRLTQ >ENSMUSP00000036357.3 pep:known chromosome:GRCm38:19:46131897:46135307:1 gene:ENSMUSG00000038754.4 transcript:ENSMUST00000043739.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl3 description:elongation of very long chain fatty acids (FEN1/Elo2, SUR4/Elo3, yeast)-like 3 [Source:MGI Symbol;Acc:MGI:1195976] MDTSMNFSRGLKMDLMQPYDFETFQDLRPFLEEYWVSSFLIVVVYLLLIVVGQTYMRTRK SFSLQRPLILWSFFLAIFSILGTLRMWKFMATVMFTVGLKQTVCFAIYTDDAVVRFWSFL FLLSKVVELGDTAFIILRKRPLIFVHWYHHSTVLLFTSFGYKNKVPSGGWFMTMNFGVHS VMYTYYTMKAAKLKHPNLLPMVITSLQILQMVLGTIFGILNYIWRQEKGCHTTTEHFFWS FMLYGTYFILFAHFFHRAYLRPKGKVASKSQ >ENSMUSP00000026259.9 pep:known chromosome:GRCm38:19:46135685:46148326:-1 gene:ENSMUSG00000025229.15 transcript:ENSMUST00000026259.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx3 description:paired-like homeodomain transcription factor 3 [Source:MGI Symbol;Acc:MGI:1100498] MEFGLLGEAEARSPALSLSDAGTPHPPLPEHGCKGQEHSDSEKASASLPGGSPEDGSLKK KQRRQRTHFTSQQLQELEATFQRNRYPDMSTREEIAVWTNLTEARVRVWFKNRRAKWRKR ERSQQAELCKGGFAAPLGGLVPPYEEVYPGYSYGNWPPKALAPPLAAKTFPFAFNSVNVG PLASQPVFSPPSSIAASMVPSAAAAPGTVPGPGALQGLGGAPPGLAPAAVSSGAVSCPYA SAAAAAAAAASSPYVYRDPCNSSLASLRLKAKQHASFSYPAVPGPPPAANLSPCQYAVER PV >ENSMUSP00000134563.1 pep:known chromosome:GRCm38:19:46137032:46140983:-1 gene:ENSMUSG00000025229.15 transcript:ENSMUST00000172971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx3 description:paired-like homeodomain transcription factor 3 [Source:MGI Symbol;Acc:MGI:1100498] MEFGLLGEAEARSPALSLSDAGTPHPPLPEHGCKGQEHSDSEKASASLPGGSPEDGSLKK KQRRQRTHFTSQQLQELEATFQRNRYPDMST >ENSMUSP00000030528.2 pep:known chromosome:GRCm38:4:138775735:138782046:1 gene:ENSMUSG00000041202.12 transcript:ENSMUST00000030528.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g2d description:phospholipase A2, group IID [Source:MGI Symbol;Acc:MGI:1341796] MRLALLCGLLLAGITATQGGLLNLNKMVTHMTGKKAFFSYWPYGCHCGLGGKGQPKDATD WCCQKHDCCYAHLKIDGCKSLTDNYKYSISQGTIQCSDNGSWCERQLCACDKEVALCLKQ NLDSYNKRLRYYWRPRCKGKTPAC >ENSMUSP00000101432.1 pep:known chromosome:GRCm38:4:138777894:138781125:1 gene:ENSMUSG00000041202.12 transcript:ENSMUST00000105806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g2d description:phospholipase A2, group IID [Source:MGI Symbol;Acc:MGI:1341796] MVTHMTGKKAFFSYWPYGCHCGLGGKGQPKDATDWCCQKHDCCYAHLKIDGCKSLTDNYK YSISQGTIQCSDNGSWCERQLCACDKEVALCLKQNLDSYNKRLRYYWRPRCKGKTPAC >ENSMUSP00000030399.6 pep:known chromosome:GRCm38:4:123917446:123936997:1 gene:ENSMUSG00000028646.16 transcript:ENSMUST00000030399.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rragc description:Ras-related GTP binding C [Source:MGI Symbol;Acc:MGI:1858751] MSLQYGAEETPLAGSYGAADSFPKDFGYGVEEEEEEAAAGGGGGAGAGGGCGPGGADSSK PRILLMGLRRSGKSSIQKVVFHKMSPNETLFLESTNKIYKDDISNSSFVNFQIWDFPGQM DFFDPTFDYEMIFRGTGALIYVIDAQDDYMEALTRLHITVSKAYKVNPDMNFEVFIHKVD GLSDDHKIETQRDIHQRANDDLADAGLEKLHLSFYLTSIYDHSIFEAFSKVVQKLIPQLP TLENLLNIFISNSGIEKAFLFDVVSKIYIATDSSPVDMQSYELCCDMIDVVIDVSCIYGL KEDGSGSAYDKESMAIIKLNNTTVLYLKEVTKFLALVCILREESFERKGLIDYNFHCFRK AIHEVFEVGVTSHRSCSHQTSAPSLKALAHNGTPRNAI >ENSMUSP00000115232.1 pep:known chromosome:GRCm38:4:123917474:123936993:1 gene:ENSMUSG00000028646.16 transcript:ENSMUST00000155757.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rragc description:Ras-related GTP binding C [Source:MGI Symbol;Acc:MGI:1858751] MSLQYGAEETPLAGSYGAADSFPKDFGYGVEEEEEEAAAGGGGGAGAGGGCGPGGADSSK PRILLMGLRRSGKSSIQKVVFHKMSPNETLFLESTNKIYKDDISNSSFVNFQIWDFPGQM DFFDPTFDYEMIFRGTGALIYVIDAQVVRHDGVCTSLVAKRQRKGGKLFVSFVMCLKLLS FQ >ENSMUSP00000049619.3 pep:known chromosome:GRCm38:2:127425199:127436092:1 gene:ENSMUSG00000046338.4 transcript:ENSMUST00000062211.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpat2 description:glycerol-3-phosphate acyltransferase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:2684962] MDTMLKSNPQTQQRSNHNGQETSLWSSSFGMKMEAITPFLGKYRPFMGRCCQTCTPKSWE SLFHRSIMDLGFCNVILVKEENTRFRGWLVRRLCYFLWSLEQHIPTSFDASQKIMENTGV QNLLSGRVPGAAGEGQAPELVKKEVQRILGHIQTTPRPFLLRLFSWALLWFLNRLFLNVQ LHKGQMKMVQKAVQEGSPLVFLSTHKSLLDGFLLPFVLFSQGLGVVRVALDSRTCSPALR ALLRKLGGLFLPPEVNLSLDNSEGILARAVVRATVEELLTSGQPLLIFLEEPPGSPGPRL SALGQAWLGVVIQAVQAGIISDATLVPVAIAYDLVPDAPCNMNHDLAPLGLWTGALAVFR RLCNCWGCNRRVCVRVHLAQPFSLQEYTINARSCWDSRQTLEHLLQPIVLGECSVVPDTE KEQEWTPPTGLLLALKEEDQLLVRRLSRHVLSASVASSAVMSTAIMATLLLLKHQKGVVL SQLLGEFSWLTEETLLRGFDVGFSGQLRCLAQHTLSLLRAHVVLLRVHQGDLVVVPRPGP GLTHLARLSMELLPTFLSEAVGACAVRGLLAGRVPPEGPWELQGIELLSQNELYRQILLL LHLLPQDLLLPQPCQSSYCYCQEVLDRLIQCGLLVAEETPGSRPACDTGRQHLSAKLLWK PSGDFTDSESDDFEEPGGRCFRLSQQSRCPDFFLFLCRLLSPILKAFAQAATFLHLGQLP DSEVAYSEKLFQFLQACAQEEGIFECADPNLAISAVWTFKDLGVLQEMPSPTGPQLHLSP TFATRDNQDKLEQFIRQFICS >ENSMUSP00000113075.1 pep:known chromosome:GRCm38:16:48994185:49019705:1 gene:ENSMUSG00000033031.13 transcript:ENSMUST00000117994.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330027C09Rik description:RIKEN cDNA C330027C09 gene [Source:MGI Symbol;Acc:MGI:2146335] MDSTACLKSLLLSISQYKAVKSEANATQLLRHLEVVSGQKLTRLFTSHQILPSECLSCLV ELLEDPNISASLILSIISLLSQLAIDNETRDCLQNIYNLNSVLSGVVCRSSACHNDSVFL QCIQLLQRLTYNAKFFHSGAHIDDLITFLIGHVQSSEDELTMPCLGLLANLCRHNLSVQT QIKTLSNVKSFYRTLISFLAHSSLTVVVFALSILSSLTLNEEVGEKLFHARNIHQTFQLI FNILINGDGTLTRKYSVDLLMDLLKNPKIADYLTRYEHFSSCLSQVLGLLNAKDPDSSSK VLELLLAFCAVTQLRHVLSQMMFEQSPSGNILGSRPKSLEPTAALLRWLSQPLDGAENCS VLALELFKEIFEDVIDTGNCSSTDHFVTLLLPTILDQLQFKEQNLDETLVRNKCERMVKA IEVSMVVRAYDSLKMHVVKILTTLKCTTLIEQQFTYGKIDLGFGTKVADSELCKLAADVI LKTLTLMNKLKQLVPGMEVSFYKILQDPRLITPLAFALTSDNREQVQSGLGILLEASPLP DFPAFVLGESIAANNVYRQQETEHLPRKMTFQPLNHGFSTSAKCLTPPPSKDNAPALNIE DLIEKLQAGVMVKDQISDIRISDIMDVYEMKLSTLASKESRLQDLLEAKALALAQADRLI AQYRCQRTQAETEARTLAGMLREVERKNEELSVLLKSQQLESERAQNDIEHLFQHSKKLE SVAAEHEILTKSYMELVQRNEATEKKNTDLQTTCESLNKHIETMKKLNEALKQQNEKTIA QLIEKEEQRKEVQSQLADRECKLSNLHKIAKSQEEKLNVLQKEKEDKQETIDILRKELSR TEQIRKELSIKASSLEMHKAQLEGRLEEKESLLKLQQEELNKHSHMIAMIHSLSGGKISP ETVNLSI >ENSMUSP00000115529.1 pep:known chromosome:GRCm38:16:48994223:49001064:1 gene:ENSMUSG00000033031.13 transcript:ENSMUST00000125278.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:C330027C09Rik description:RIKEN cDNA C330027C09 gene [Source:MGI Symbol;Acc:MGI:2146335] MDSTACLKSLLLSISQYKAVKSEANATQLLRHLEL >ENSMUSP00000044714.5 pep:known chromosome:GRCm38:16:48994188:49019705:1 gene:ENSMUSG00000033031.13 transcript:ENSMUST00000048374.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330027C09Rik description:RIKEN cDNA C330027C09 gene [Source:MGI Symbol;Acc:MGI:2146335] MDSTACLKSLLLSISQYKAVKSEANATQLLRHLEVVSGQKLTRLFTSHQILPSECLSCLV ELLEDPNISASLILSIISLLSQLAIDNETRDCLQNIYNLNSVLSGVVCRSSACHNDSVFL QCIQLLQRLTYNAKFFHSGAHIDDLITFLIGHVQSSEDELTMPCLGLLANLCRHNLSVQT QIKTLSNVKSFYRTLISFLAHSSLTVVVFALSILSSLTLNEEVGEKLFHARNIHQTFQLI FNILINGDGTLTRKYSVDLLMDLLKNPKIADYLTRYEHFSSCLSQVLGLLNAKDPDSSSK VLELLLAFCAVTQLRHVLSQMMFEQSPSGNILGSRPKSLEPTAALLRWLSQPLDGAENCS VLALELFKEIFEDVIDTGNCSSTDHFVTLLLPTILDQLQFKEQNLDETLVRNKCERMVKA IEVLLTLCGDDSLKMHVVKILTTLKCTTLIEQQFTYGKIDLGFGTKVADSELCKLAADVI LKTLTLMNKLKQLVPGMEVSFYKILQDPRLITPLAFALTSDNREQVQSGLGILLEASPLP DFPAFVLGESIAANNVYRQQETEHLPRKMTFQPLNHGFSTSAKCLTPPPSKDNAPALNIE DLIEKLQAGVMVKDQISDIRISDIMDVYEMKLSTLASKESRLQDLLEAKALALAQADRLI AQYRCQRTQAETEARTLAGMLREVERKNEELSVLLKSQQLESERAQNDIEHLFQHSKKLE SVAAEHEILTKSYMELVQRNEATEKKNTDLQTTCESLNKHIETMKKLNEALKQQNEKTIA QLIEKEEQRKEVQSQLADRECKLSNLHKIAKSQEEKLNVLQKEKEDKQETIDILRKELSR TEQIRKELSIKASSLEMHKAQLEGRLEEKESLLKLQQEELNKHSHMIAMIHSLSGGKISP ETVNLSI >ENSMUSP00000130964.1 pep:known chromosome:GRCm38:7:128246812:128255699:1 gene:ENSMUSG00000030782.15 transcript:ENSMUST00000164710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfb1i1 description:transforming growth factor beta 1 induced transcript 1 [Source:MGI Symbol;Acc:MGI:102784] MSPCSPFIAPPPPTVSQRVPASIHGHGPASNSLTSPPSPSSAPTGHGPRPTLPKLSASAP PWRTWTGSGESSGTTGDKDHLYSTVCKPRSPKPVAPVAPPFSSSSGVLGNGLCELDRLLQ ELNATQFNITDEIMSQFPSSKMAEGEEKEDQSEDKSSPTVPPSPFPAPSKPSATSATQEL DRLMASLSDFRVQNHLPASGPPQPPAASPTREGCPSPPGQTSKGSLDTMLGLLQSDLSRR GVPTQAKGLCGSCNKPIAGQVVTALGRAWHPEHFLCSGCSTTLGGSSFFEKDGAPFCPEC YFERFSPRCGFCNQPIRHKMVTALGTHWHPEHFCCVSCGEPFGEEGFHEREGRPYCRRDF LQLFAPRCQGCQGPILDNYISALSALWHPDCFVCRECLAPFSGGSFFEHEGRPLCENHFH AQRGSLCATCGLPVTGRCVSALGRRFHPDHFTCTFCLRPLTKGSFQERASKPYCQPCFLK LFG >ENSMUSP00000068529.5 pep:known chromosome:GRCm38:7:128246871:128253712:1 gene:ENSMUSG00000030782.15 transcript:ENSMUST00000070656.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfb1i1 description:transforming growth factor beta 1 induced transcript 1 [Source:MGI Symbol;Acc:MGI:102784] MSRLGAPKERPPETLTPPPPYGHQPQTGSGESSGTTGDKDHLYSTVCKPRSPKPVAPVAP PFSSSSGVLGNGLCELDRLLQELNATQFNITDEIMSQFPSSKMAEGEEKEDQSEDKSSPT VPPSPFPAPSKPSATSATQELDRLMASLSDFRVQNHLPASGPPQPPAASPTREGCPSPPG QTSKGSLDTMLGLLQSDLSRRGVPTQAKGLCGSCNKPIAGQVVTALGRAWHPEHFLCSGC STTLGGSSFFEKDGAPFCPECYFERFSPRCGFCNQPIRHKMVTALGTHWHPEHFCCVSCG EPFGEEGFHEREGRPYCRRDFLQLFAPRCQGCQGPILDNYISALSALWHPDCFVCRECLA PFSGGSFFEHEGRPLCENHFHAQRGSLCATCGLPVTGRCVSALGRRFHPDHFTCTFCLRP LTKGSFQERASKPYCQPCFLKLFG >ENSMUSP00000131705.1 pep:known chromosome:GRCm38:7:128246961:128253404:1 gene:ENSMUSG00000030782.15 transcript:ENSMUST00000169919.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tgfb1i1 description:transforming growth factor beta 1 induced transcript 1 [Source:MGI Symbol;Acc:MGI:102784] MEDLDALLSDLETTTSHMSRLGAPKERPPETLTPPPPYGHQPQTGSGESSGTTGDKDHLY R >ENSMUSP00000132100.1 pep:known chromosome:GRCm38:7:128246978:128253707:1 gene:ENSMUSG00000030782.15 transcript:ENSMUST00000167965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfb1i1 description:transforming growth factor beta 1 induced transcript 1 [Source:MGI Symbol;Acc:MGI:102784] MEDLDALLSDLETTTSHMSRLGAPKERPPETLTPPPPYGHQPQTGSGESSGTTGDKDHLY STVCKPRSPKPVAPVAPPFSSSSGVLGNGLCELDRLLQELNATQFNITDEIMSQFPSSKM AEGEEKEDQSEDKSSPTVPPSPFPAPSKPSATSATQELDRLMASLSDFRVQNHLPASGPP QPPAASPTREGCPSPPGQTSKGSLDTMLGLLQSDLSRRGVPTQAKGLCGSCNKPIAGQVV TALGRAWHPEHFLCSGCSTTLGGSSFFEKDGAPFCPECYFERFSPRCGFCNQPIRHKMVT ALGTHWHPEHFCCVSCGEPFGEEGFHEREGRPYCRRDFLQLFAPRCQGCQGPILDNYISA LSALWHPDCFVCRECLAPFSGGSFFEHEGRPLCENHFHAQRGSLCATCGLPVTGRCVSAL GRRFHPDHFTCTFCLRPLTKGSFQERASKPYCQPCFLKLFG >ENSMUSP00000132685.2 pep:known chromosome:GRCm38:7:128246996:128253711:1 gene:ENSMUSG00000030782.15 transcript:ENSMUST00000168825.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tgfb1i1 description:transforming growth factor beta 1 induced transcript 1 [Source:MGI Symbol;Acc:MGI:102784] XWRTWTGSGESSGTTGDKDHLYSTVCKPRSPKPVAPVAPPFSSSSGVLGNGLCELDRLLQ ELNATQFNITDEIMSQFPSSKMAEGEEKEDQSEDKSSPTVLQPPPPLRNWID >ENSMUSP00000127695.1 pep:known chromosome:GRCm38:7:128248018:128253711:1 gene:ENSMUSG00000030782.15 transcript:ENSMUST00000165667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfb1i1 description:transforming growth factor beta 1 induced transcript 1 [Source:MGI Symbol;Acc:MGI:102784] MSRLGAPKERPPETLTPPPPYGHQPQTGSGESSGTTGDKDHLYSGVLGNGLCELDRLLQE LNATQFNITDEIMSQFPSSKMAEGEEKEDQSEDKSSPTVPPSPFPAPSKPSATSATQELD RLMASLSDFRVQNHLPASGPPQPPAASPTREGCPSPPGQTSKGSLDTMLGLLQSDLSRRG VPTQAKGLCGSCNKPIAGQVVTALGRAWHPEHFLCSGCSTTLGGSSFFEKDGAPFCPECY FERFSPRCGFCNQPIRHKMVTALGTHWHPEHFCCVSCGEPFGEEGFHEREGRPYCRRDFL QLFAPRCQGCQGPILDNYISALSALWHPDCFVCRECLAPFSGGSFFEHEGRPLCENHFHA QRGSLCATCGLPVTGRCVSALGRRFHPDHFTCTFCLRPLTKGSFQERASKPYCQPCFLKL FG >ENSMUSP00000129958.1 pep:known chromosome:GRCm38:7:128248176:128253366:1 gene:ENSMUSG00000030782.15 transcript:ENSMUST00000170115.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tgfb1i1 description:transforming growth factor beta 1 induced transcript 1 [Source:MGI Symbol;Acc:MGI:102784] MSRLGAPKERPPETLTPPPPYGHQPQTGSGESSGTTGDKDHLYSTVCKPRSPKPVAPVAP PFSSSSGVLGNGLCELDRLLQELNATQFNITALPAHSLPPQSLQPPPPLRNWID >ENSMUSP00000076163.1 pep:known chromosome:GRCm38:13:23011249:23012403:1 gene:ENSMUSG00000060024.1 transcript:ENSMUST00000076897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r213 description:vomeronasal 1 receptor 213 [Source:MGI Symbol;Acc:MGI:2159664] MLALRNYIQINTPWCMCLFVTPLTPCLPEYWDPDSLRVEGPTESSLRHNTASCTLKNTIM QNVQFRSMSSITDAFFKMYLHTRKEEMILKILKEITFLIMTVLGILGNMSVSVNYMFSWW GSPEKKPIHLILIHLIFTNIIILLVKGLPKTIAAFGLRNFLDDIGCKIIVYMERLARGLS ICTSSLLTVVQAIIISPRASGWRRLRLKSAWHILLFFLFFWILNALISVNLIHSTTNTRL NVSQLKSDDNYCYFMLPIQKIKWIVLPLMVVRDAVFQGAMGGASGYVAFLLHKHHQHVLY FQNSKLLYRTPPELRAAQSVLLLMLCFVFFYWTDCAFSLFLTLSSEVNILMVNTRDFLTL GYATFSPFVLIHRDGLLVECRHVQ >ENSMUSP00000143912.1 pep:known chromosome:GRCm38:5:113818536:113819566:-1 gene:ENSMUSG00000048163.13 transcript:ENSMUST00000201931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selplg description:selectin, platelet (p-selectin) ligand [Source:MGI Symbol;Acc:MGI:106689] XPAPTEAETSKPAPTEAETTQLPRIQAVKTLFTTSAATEVPSTEPTTMETASTESNESTI FLGPSVTHLPDSGLKKGLIVTPGNSPAPTLPGSSDLIPVKQCLLIILILASLATIFLVCT VVLAVRLSRHWIPVLAFSRKTQLPYSTPKSYSVGCHGNPVREELCGRPPCLLL >ENSMUSP00000098436.4 pep:known chromosome:GRCm38:5:113818547:113830501:-1 gene:ENSMUSG00000048163.13 transcript:ENSMUST00000100874.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selplg description:selectin, platelet (p-selectin) ligand [Source:MGI Symbol;Acc:MGI:106689] MSPSFLVLLTILGPGNSLQLQDPWGHETKEAPGPVHLRERRQVVGDDDFEDPDYTYNTDP PELLKNVTNTVAAHPELPTTVVMLERDSTSAGTSERATEKIATTDPTAPGTGGTAVGMLS TDSATQWSLTSVETVQPASTEVETSQPAPMEAETSQPAPMEAETSQPAPMEAETSQPAPM EADTSQPAPMEADTSKPAPTEAETSKPAPTEAETSQPAPNEAETSKPAPTEAETSKPAPT EAETTQLPRIQAVKTLFTTSAATEVPSTEPTTMETASTESNESTIFLGPSVTHLPDSGLK KGLIVTPGNSPAPTLPGSSDLIPVKQCLLIILILASLATIFLVCTVVLAVRLSRKTHMYP VRNYSPTEMICISSLLPEGGDGAPVTANGGLPKVQDLKTEPSGDRDGDDLTLHSFLP >ENSMUSP00000144450.1 pep:known chromosome:GRCm38:5:113818555:113819257:-1 gene:ENSMUSG00000048163.13 transcript:ENSMUST00000201194.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selplg description:selectin, platelet (p-selectin) ligand [Source:MGI Symbol;Acc:MGI:106689] XIILILASLATIFLVCTVVLAVRLSRKTHMYPVTGYLSLRSPERLSSLIPLPKATLLVAM VTR >ENSMUSP00000144186.1 pep:known chromosome:GRCm38:5:113818555:113819317:-1 gene:ENSMUSG00000048163.13 transcript:ENSMUST00000202555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selplg description:selectin, platelet (p-selectin) ligand [Source:MGI Symbol;Acc:MGI:106689] XSPAPTLPGSSDLIPVKQCLLIILILASLATIFLVCTVVLAVTGYLSLRSPERLSSLIPL PKATLLVAMVTR >ENSMUSP00000143052.1 pep:known chromosome:GRCm38:5:113820106:113832644:-1 gene:ENSMUSG00000048163.13 transcript:ENSMUST00000199109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selplg description:selectin, platelet (p-selectin) ligand [Source:MGI Symbol;Acc:MGI:106689] MSPSFLVLLTILGPGNSLQLQDPWGHETKEAPGPVHLRERRQVVGD >ENSMUSP00000015889.3 pep:known chromosome:GRCm38:3:95988429:95996001:-1 gene:ENSMUSG00000015745.9 transcript:ENSMUST00000015889.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekho1 description:pleckstrin homology domain containing, family O member 1 [Source:MGI Symbol;Acc:MGI:1914470] MKKSGSGKRGPPDGNHQSAAPEKVGWVRKFCGKGIFREIWKNRYVVLKGDQLYVSEKEVK DEKNSQEVFDLSDYEKCEELRKSKSRSKKNHSKFTLARCRQPGTTAPNLIFLAVSPEEKE SWINALSSAITRAKNRILDEVTVEEDSYLAHPTRDRAKIQHSRRPPTRGHLMAVASTSTS DGMLTLDLIQEEDPSPEEPASCAESFRVDLDKSVAQLAGSRRRADSDRIQPSSQRASSLS RPWEKPDKGAPYTPQALKKFPSTEKSRCASLEEILSQRDTAPARPLHLQAEESLPPVPAQ PGQLSRIQDLVARKLEKTQELLAEVQGLGDGKRKAKDPPQSPPDSESEQLLLETERLLGE ASSNWSQAKRVLQEVRELRDLYRQMDLQTPDSHLRQTSQHSQYRKSLM >ENSMUSP00000118665.1 pep:known chromosome:GRCm38:3:95988819:95995668:-1 gene:ENSMUSG00000015745.9 transcript:ENSMUST00000123006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekho1 description:pleckstrin homology domain containing, family O member 1 [Source:MGI Symbol;Acc:MGI:1914470] GPPDGNHQSAAPEKVGWVRKFCGKGIFREIWKNRYVVLKGDQLYVSEKEVKDEKNSQEVF DLSDYEKCEELRKSKSRSKKNHSKFTLARCRQPGTTAPNLIFLAVSPEEKESWINALSSA ITRAKNRILDEASTSTSDGMLTLDLIQEEDPSPEEPASCAESFRVDLDKSVAQLAGSRRR ADSDRIQPSSQRASSLSRPWEKPDKGAPYTPQALKKFPSTEKSRCASLEEILSQRDTAPA RPLHLQAEESLPPVPAQPGQLSRIQDLVARKLEKTQELLAEVQGLGDGKRKAKDPPQSPP DSESEQLLLETERLLGEASSNWSQAKRVLQEVRELRDLYRQMDLQTPDSHLRQTSQHSQY RKSLM >ENSMUSP00000115035.1 pep:known chromosome:GRCm38:3:95989395:95995722:-1 gene:ENSMUSG00000015745.9 transcript:ENSMUST00000130043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekho1 description:pleckstrin homology domain containing, family O member 1 [Source:MGI Symbol;Acc:MGI:1914470] GEGAETSLSRRSSSRPGQGPPDGNHQSAAPEKVGWVRKFCGKGIFREIWKNRYVVLKGDQ LYVSEKEVKDEKNSQEVFDLSDYEKCEELRKSKSRSKKNHSKFTLARCRQPGTTAPNLIF LAVSPEEKESWINALSSAITRAKNRILDEVTVEEDSYLAHPTRDRAKIQHSRRPPTRGHL MAVASTSTSDGMLTLDLIQEEDPSPEEPASCAESFRVDLDKSVAQLAGSRRRADSDRIQP SSQRASSLSRPWEKPDKGAPYTP >ENSMUSP00000114505.1 pep:known chromosome:GRCm38:3:95991468:95995866:-1 gene:ENSMUSG00000015745.9 transcript:ENSMUST00000143485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekho1 description:pleckstrin homology domain containing, family O member 1 [Source:MGI Symbol;Acc:MGI:1914470] MKKSGSGKRSAAPEKVGWVRKFCGKGIFREIWKNRYVVLKGDQLYVSEKEVKDEKNSQEV FDLSDYEKCEELRKSKSRSKKNHSKFTLARCRQPGTTAPNLIFLAVSPEEKESWINALSS AITR >ENSMUSP00000092425.1 pep:known chromosome:GRCm38:4:118893085:118894032:1 gene:ENSMUSG00000073768.1 transcript:ENSMUST00000094830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1330 description:olfactory receptor 1330 [Source:MGI Symbol;Acc:MGI:3031164] MWVVLGQNQSWVSEFILIGFSSDPTTNSILFIVFLLIYLSSVLGNGLIIMLVCLDTQLHT PMYFFLSTLSLLDMSYVTTTMPQMLVHLLAHSQTISFVGCWLQMFVFSALGITECTFFVV MAYDRYVAICYPLRYTVILNWGLCIHLTAGSWVCGLFSSLLHTFFTMSLPYCGPNRVNHY FCEGPSVRSLACMDTHVIEMVDFVLSVFVIVIPISLIVASYIGIAKAILKIKSTEGRCKA FSTCASHLTVVTFFYAPATYIYMRPNSSYSPERDKQISLFYNTFTALLNPVVYSLRNKDI KRAFFKVMGHGRMDY >ENSMUSP00000026551.8 pep:known chromosome:GRCm38:7:139086001:139102704:1 gene:ENSMUSG00000025478.15 transcript:ENSMUST00000026551.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl4 description:dihydropyrimidinase-like 4 [Source:MGI Symbol;Acc:MGI:1349764] MSFQGKKSIPRITSDRLLIKGGKIVNDDQSFHADLYVEDGLIKQIGENLIVPGGIKTIDA HGLMVLPGGVDVHTRLQMPVMGMTPADDFCQGTKAALAGGTTMILDHVFPDAGVSLLAAY EQWRERADSAACCDYSLHVDIPRWHESTKEELEALVRDKGVNSFLVFMAYKDRCQCTDGQ IYEIFSLIRDLGAVAQVHAENGDIVEEEQKRLLEQGITGPEGHVLSHPEEVEAEAVYRAV TIAKQANCPLYVTKVMSKGAADMVAQAKRRGVVVFGEPITASLGTDGSHYWSKNWAKAAA FVTSPPINPDPTTADHLTSLLSSGDLQVTGSAHCTFTTAQKAVGKDNFTLIPEGVNGIEE RMSVVWEKCVASGKMDENEFVAVTSTNAAKIFNFYPRKGRVAVGSDADLVIWNPRATKVI SAKSHNLNVEYNIFEGVECRGVPTVVISQGRVVLEDGNLLVTPGAGRFIPRKTFPDFVYK RIKARNRLAEIHGVPRGLYDGPVHEVMLPAKPGSGTQARASCSGKISVPPVRNLHQSGFS LSGSQADDHIARRTAQKIMAPPGGRSNITSLS >ENSMUSP00000117764.1 pep:known chromosome:GRCm38:7:139088365:139094431:1 gene:ENSMUSG00000025478.15 transcript:ENSMUST00000145499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl4 description:dihydropyrimidinase-like 4 [Source:MGI Symbol;Acc:MGI:1349764] MVLPGGVDVHTRLQMPVMGMTPADDFCQGTKAALAGGTTMILDHVFPDAGVSLLAAYEQW RERADSAACCDYSLHVDIPRWHESTKEELEALVRDKGVNSFLVFMAYKDRCQCTDGQIYE IFSLIRDLGAVAQVHAENGDIVEEEQKRLLEQGITGP >ENSMUSP00000112896.1 pep:known chromosome:GRCm38:7:139089247:139101777:1 gene:ENSMUSG00000025478.15 transcript:ENSMUST00000121184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl4 description:dihydropyrimidinase-like 4 [Source:MGI Symbol;Acc:MGI:1349764] MAYKMSQSISVTLGKDIPSRLRCLPQRPLFSLCQSDRLLIKGGKIVNDDQSFHADLYVED GLIKQIGENLIVPGGIKTIDAHGLMVLPGGVDVHTRLQMPVMGMTPADDFCQGTKAALAG GTTMILDHVFPDAGVSLLAAYEQWRERADSAACCDYSLHVDIPRWHESTKEELEALVRDK GVNSFLVFMAYKDRCQCTDGQIYEIFSLIRDLGAVAQVHAENGDIVEEEQKRLLEQGITG PEGHVLSHPEEVEAEAVYRAVTIAKQANCPLYVTKVMSKGAADMVAQAKRRGVVVFGEPI TASLGTDGSHYWSKNWAKAAAFVTSPPINPDPTTADHLTSLLSSGDLQVTGSAHCTFTTA QKAVGKDNFTLIPEGVNGIEERMSVVWEKCVASGKMDENEFVAVTSTNAAKIFNFYPRKG RVAVGSDADLVIWNPRATKVISAKSHNLNVEYNIFEGVECRGVPTVVISQGRVVLEDGNL LVTPGAGRFIPRKTFPDFVYKRIKARNRLAEIHGVPRGLYDGPVHEVMLPAKPGSGTQAR ASCSGKISVPPVRNLHQSGFSLSGSQADDHIARRTAQKIMAPPGGRSNITSLS >ENSMUSP00000100064.1 pep:known chromosome:GRCm38:4:19280850:19510623:1 gene:ENSMUSG00000056494.7 transcript:ENSMUST00000102999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cngb3 description:cyclic nucleotide gated channel beta 3 [Source:MGI Symbol;Acc:MGI:1353562] MLKSLTVKFNKVNPMEGRMEKKLCPNLSSLSQPTIAQGDNQSEKEPLRSRTPITFEKSHS KEDNSTGENSLRDFTPNPDPECRAELTRTMAEMEKTRTGKERPVSFKTKVLETSIINEYT DAHLHNLVERMRERTALYKKTLTEEENFPEVEASSQTAMSTNISPKQENNSKLKEHQDTF SFKPQRVPVKEHLRRMILPRSIDSYTDRVYLLWLLLVTIAYNWNCWLLPVRLVFPCQTPD NKNYWIITDIVCDIIYLCDILLIQPRLQFVRGGEIIVDSNELKRNYRSSTKFRMDVASLL PFEVLYIFFGVNPIFRANRILKYTSFFEFNHHLESIMDKAYVYRVIRTTGYLLFLLHINA CVYYWASDYEGIGSTKWVYNGEGNKYLRCFYWAVRTLITIGGLPEPQTSFEIVFQFLNFF SGVFVFSSLIGQMRDVIGAATANQNYFQACMDHIIAYMNKYSIPQSVQYRVRTWLEYTWN SQRILDESNLLENLPTAMQLSIALDINFSIIDKVELFKGCDTQMIYDLLLRLKSTIYLPG DFVCKKGEIGKEMYIIKHGEVQVLGGPDGAQVLVTLKAGSVFGEISLLAKGGGNRRTADV VAHGFANLLTLDKKTLQEILLHYPTSKKLLMKKAKILLSQKGKTTQAIPARPGPAFLFPP KEETPRMLKVLLGNTGKVDLGRLLKGKRKTTTQK >ENSMUSP00000071870.1 pep:known chromosome:GRCm38:4:118916524:118917465:-1 gene:ENSMUSG00000096705.1 transcript:ENSMUST00000071979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1329 description:olfactory receptor 1329 [Source:MGI Symbol;Acc:MGI:3031163] MIPGQNQSWVSEFILLGFSSVPTTNSILFIVFLLIYLSSVLGNGLIIMLVCLDTQLHTPM YFFLCTLSLLDMSFVTTTVPQMLVHLLAHSQTISFAGCWLQMFVFGALGMTECTFFVVMA YDRYVAICYPLRYTVILNWGLCIRLAGGTWISGFFSSLLHTFFTMSLPYCGPNRVNHYFC EGPSVRSLACMDTHVIEMVDSVLIVILVIVPISLIVASYIRIVMAILKIKSTQGRCKAFS TCASHLTVVTLFYVPASYIYLRPNSSYSPERDKQVSLFYNVFTALLNPVVYSLRNKDIKR AFLKVMGHARVDS >ENSMUSP00000100533.1 pep:known chromosome:GRCm38:11:99649599:99650236:-1 gene:ENSMUSG00000078130.4 transcript:ENSMUST00000104929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11555 description:predicted gene 11555 [Source:MGI Symbol;Acc:MGI:3651823] MVSSCCGSVCSEEGCGQGCCRPSCCVSSCCRPQCCQSVCCQPSCCRPSCCRPQCCQSVCC QPSCCRPSCCRPQCCQSVCCQSSCCRPSCCRPQCCQSVCCQPSCCRPSCCISNCCQPSCG GSSCCGSSCCRPCCRPCCCLRPVCGQVCCQTTCYRPTCVISTCPRPMCCATPCCC >ENSMUSP00000131822.1 pep:known chromosome:GRCm38:11:99649660:99650181:-1 gene:ENSMUSG00000078130.4 transcript:ENSMUST00000073126.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11555 description:predicted gene 11555 [Source:MGI Symbol;Acc:MGI:3651823] MVSSCCGSVCSEEGCGQGCCRPSCCVSSCCRPQCCQSVCCQPSCCRPSCCRPSCCRPSCC RPQCCQSVCCQSSCCRPSCCRPQCCQSVCCQPSCCRPSCCISNCCQPSCGGSSCCGSSCC RPCCRPCCCLRPVCGQVCCQTTCYRPTCVISTCPRPMCCATPCC >ENSMUSP00000125261.1 pep:known chromosome:GRCm38:5:107402736:107413620:1 gene:ENSMUSG00000033805.12 transcript:ENSMUST00000159968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx4 description:epoxide hydrolase 4 [Source:MGI Symbol;Acc:MGI:2686228] MAHQLRVLAAFSEDLSSVPEDSGLRFHYVAAGERGKPLMLLLHGFPEFWYSWRHQLREFK SEYRVVALDLRGYGESDAPAHQESYKLDCLIADIKDILDSLGYSKCVLIGHDWGGMIAWL IAVCYPEMIMKLIVINFPHPSV >ENSMUSP00000043764.5 pep:known chromosome:GRCm38:5:107403496:107430035:1 gene:ENSMUSG00000033805.12 transcript:ENSMUST00000049146.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx4 description:epoxide hydrolase 4 [Source:MGI Symbol;Acc:MGI:2686228] MAPPRPPRLLPALRALLYWSLVYGYCGLCASVHLLKLLWSIGRAPAQTFRRAARANPPAC LNDPSLGTHCYVRIKDSGLRFHYVAAGERGKPLMLLLHGFPEFWYSWRHQLREFKSEYRV VALDLRGYGESDAPAHQESYKLDCLIADIKDILDSLGYSKCVLIGHDWGGMIAWLIAVCY PEMIMKLIVINFPHPSVFTEYILRHPAQLFRSSFYYFFQIPRFPEFMFSINDFKALKHLF TSQSTGIGRKGRQLTTEDLEAYVYVFSQPGALSGPINHYRNIFSCLPLKHHMVTTPTLLL WGEEDAFMEVEMAEVTKIYVKNYFRLTILSEGSHWLQQDQPDIVNGLIWAFLKEETRRD >ENSMUSP00000123962.2 pep:known chromosome:GRCm38:5:107403775:107413528:1 gene:ENSMUSG00000033805.12 transcript:ENSMUST00000161246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx4 description:epoxide hydrolase 4 [Source:MGI Symbol;Acc:MGI:2686228] MLLLHGFPEFWYSWRHQLREFKSEYRVVALDLRGYGESDAPAHQESYKLDCLIADIKDIL DSLGYSKCVLIGH >ENSMUSP00000124661.1 pep:known chromosome:GRCm38:5:107405785:107413588:1 gene:ENSMUSG00000033805.12 transcript:ENSMUST00000161452.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx4 description:epoxide hydrolase 4 [Source:MGI Symbol;Acc:MGI:2686228] MLLLHGFPEFWYSWRHQLREFKSEYRVVALDLRGYGESDAPAHQESYKLDCLIADIKDIL DSLGYSKCVLIGHDWGGMIAWLIAVCYPEMIMKL >ENSMUSP00000119467.1 pep:known chromosome:GRCm38:8:120608602:120634492:-1 gene:ENSMUSG00000043687.15 transcript:ENSMUST00000123927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1190005I06Rik description:RIKEN cDNA 1190005I06 gene [Source:MGI Symbol;Acc:MGI:1916168] MTPAAHGCKRVAWCPSRPPASAPSAPQEAARRGDAMGLKPSCLKGFKMCVSSSNNNHDEA PVLNDKHLSVPNIIITPPTPTGMGLSRDSNKQVWMDELGSYQDDGELEPEA >ENSMUSP00000137752.1 pep:known chromosome:GRCm38:8:120608801:120647632:-1 gene:ENSMUSG00000043687.15 transcript:ENSMUST00000180677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1190005I06Rik description:RIKEN cDNA 1190005I06 gene [Source:MGI Symbol;Acc:MGI:1916168] MGLKPSCLKGFKMCVSSSNNNHDEAPVLNDKHLSVPNIIITPPTPTGMGLSRDSNKQVWM DELGSYQDDGELEPEA >ENSMUSP00000121882.1 pep:known chromosome:GRCm38:8:120608935:120634363:-1 gene:ENSMUSG00000043687.15 transcript:ENSMUST00000144417.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1190005I06Rik description:RIKEN cDNA 1190005I06 gene [Source:MGI Symbol;Acc:MGI:1916168] MTPAAHGCKRVAWCPSRPPASAPSAPQEAVSVRAPCAPRRTHRDLGFGDLG >ENSMUSP00000051278.3 pep:known chromosome:GRCm38:19:5704367:5707101:1 gene:ENSMUSG00000024936.7 transcript:ENSMUST00000052448.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk7 description:potassium channel, subfamily K, member 7 [Source:MGI Symbol;Acc:MGI:1341841] MGSLKPWARYLLLLMAHLLAMGLGAVVLQALEGPPARHLQAQVQAELASFQAEHRACLPP EALEELLGAVLRAQAHGVSSLGNSSETSNWDLPSALLFTASILTTTGYGHMAPLSSGGKA FCVVYAALGLPASLALVAALRHCLLPVFSRPGDWVAIRWQLAPAQAALLQAAGLGLLVAC VFMLLPALVLWGVQGDCSLLEAIYFCFGSLSTIGLGDLLPAHGRGLHPAIYHLGQFALLG YLLLGLLAMLLAVETFSELPQVRAMVKFFGPSGSRTDEDQDGILGQDELALSTVLPDAPV LGPTTPASVSEHQNRPQPADPSQATGSQLDRVGGPEREAPRSA >ENSMUSP00000033229.3 pep:known chromosome:GRCm38:7:103851745:103853240:-1 gene:ENSMUSG00000052187.3 transcript:ENSMUST00000033229.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbb-y description:hemoglobin Y, beta-like embryonic chain [Source:MGI Symbol;Acc:MGI:96027] MVNFTAEEKTLINGLWSKVNVEEVGGEALGRLLVVYPWTHRFFDSFGNLSSASAIMGNPR VKAHGKKVLTAFGESIKNLDNLKSALAKLSELHCDKLHVDPENFKLLGNVLVIVLASHFG NEFTAEMQAAWQKLVAGVATALSHKYH >ENSMUSP00000031090.6 pep:known chromosome:GRCm38:5:53107083:53213452:-1 gene:ENSMUSG00000029189.10 transcript:ENSMUST00000031090.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sel1l3 description:sel-1 suppressor of lin-12-like 3 (C. elegans) [Source:MGI Symbol;Acc:MGI:1916941] MQWRGAGLWWPRRRQQQQQQQPPPPAFGPPAAAMVPPSRGVSPGLGGRPTSALLFLCYLN FVPSLGRQTSLTTSVLPRTEQSTTYADFIYFTAFEGSVRNVSEVSVEYLCSQPCVVHLEA VVSSEFRSSIPVYKKRWRNEKHLHTSRTQTVHVKFPSIMVYRDDYLIRHPISVSTVILRA WITHWHSGGGLNVRGEENLLHAVAKNYTLLQTVPPFERPFKDHQVCLEWNMDYLWSLWAN RIPQCPLESDAVALLSFPYASSGENTGIVKKLQNFQNRELEATRSQRVDYPMVTISLWLY LLHYCEASLCGILYFVDSNEMYGTPSVFLTEDGSLHIQMHLVKGEDLAVKTKFTIPLKEW CRLDISFNGGQIVVTASIGWDLKSYHNQTISFHEDFYYNDTAGYFIIGGSRYVAGIEGFF GPVKYYRLRSLHPAQVLNPFLEKELAEQIKLYYERCTEVQDIISSYAATVQVGGERRETC DFHNSYLDLKRKYGRAEVCRGLPWEKELRDRHPSLFQSLLQMDLLTVPWNQNDSVLEIGA RIFEKAVKRLSGVDGLHQISSAIPFLMDSSCCGYHKASYYLTVFYETGLNGPRDQLQGML YSLVGGQGSERLSSMNLGYKHYQGVDSYPLDWELSYAYYSNIATKTPLDQHTLQGDQAYV ETIRLKDDEILKVQTKEDGDVFMWLKHEATRGNAAAQQRLAQMLFWGQQGVAKNPEAAIE WYAKGALETEDPALIYDYAIVLFKGQGVKKNRRLALELMKKAASKGLHQAVNGLGWYYHK FRKNYAKAAKYWLKAEEMGNPDASYNLGVLYLDGIFPGVPGRNLTLAGEYFHKAAQGGHI EGTLWCSLYYITGNLETFPRDPEKAVVWAKHVAEKNGYLGHVIRKGLNAYLEGLWHEALL YYVLAAETGIEVSQTNLAHICEERPDLAGRYLGVNCVWRYYNFSVFQIDAPSFAYLKMGD LYYYGHQNQSQDLELSVQMYAQAALDGDSQGFFNLAALIEEGAVIPHHILEFLEIDPSLH SSNTSILQELYERCWSLSNEESLSPCSLAWLYLHLRLLWGAVLHSALIYFLGTFLLSVVI AWMVLYLQYISASGSSPAPAWVSADPTSSTPSPAVPPAADASDHDPPMMANGPEPRG >ENSMUSP00000080626.2 pep:known chromosome:GRCm38:4:118933899:118934840:-1 gene:ENSMUSG00000096368.2 transcript:ENSMUST00000081960.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1328 description:olfactory receptor 1328 [Source:MGI Symbol;Acc:MGI:3031162] MIPEQNQSWVSEFILIGFSSDPTTNSILFIVFLLIYLSSVLGNGLIILLVCLDTQLHTPM YFFLCTLSLLDMSYVTTTMPQMLVHLLAHSQTISFAGCWLQMYVFGALGITECTFFVVMA YDRYVAICYPLRYTVILNWGLCIRLAAGSWICGFFSSLLHTFFTMSLPYCGPNRVNHYFC EGPSVRSLACMDTHLIEMVDFVLSVFVVVIPISLIVASYIRIAMAILKIKSTQGRCKAFS TCASHLTVVTFFYAPATYIYLRPNSSYSPERDKQVSLFYNAFTALLNPVVYSLRNKDIKR AFLKVMGHSRLDQ >ENSMUSP00000143938.1 pep:known chromosome:GRCm38:5:31036036:31048002:-1 gene:ENSMUSG00000006641.12 transcript:ENSMUST00000202520.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a6 description:solute carrier family 5 (sodium-dependent vitamin transporter), member 6 [Source:MGI Symbol;Acc:MGI:2660847] MSVASTAAPFHTTSGSSGAISTFSVVDYVVFGLLLVLSLVIGLYHACRGWGHHTVGELLM ADRKMGCLPVALSLLATFQSAVAILGAPAEIFRFGTQYWFLGCSYFLGLLIPAHIFIPVF YRLHLTSAYEYLELRFNKAVRICGTVTFIFQMVIYMGVALYAPSLALNAVTGFDLWLSVL ALGIVCNIYTALGGLKAVIWTDVFQTLVMFLGQLVVIIVGAARVGGLGHVWNVTSQHGLI SGINLDPDPFVRHTFWTLAFGGVFMMLSLYGVNQAQVQRYLSSHSERAAVLSCYAVFPCQ QVALCMSCLIGLVMFAYYNMYSMSPELKQAAPDQLVLYFVMDLLKDMPGLPGLFVACLFS GSLSTISSAFNSLATVTMEDLIQPWFPQLTETRAIMLSRGLAFAYGLVCLGMAYISSHLG SVLQAALSIFGMVGGPLLGLFCLGLFFPCANPLGAIVGLLTGLTMAFWIGIGSIVSRMSS AVAPPPLNGSSSFLPANVTVAAVTTVMPSTLSKPTGLQHFYSLSYLWYSAHNSTTVIVVG LIVSLLTGGMRGRSLNPGTIYPVLPKLLALLPLSCQKRLCWRSHSQDIPVIPNLFPEKMR NGVLQDSTDKERMAEDGLVHQPCSPTYVVQETSL >ENSMUSP00000110316.1 pep:known chromosome:GRCm38:5:31036036:31048562:-1 gene:ENSMUSG00000006641.12 transcript:ENSMUST00000114668.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a6 description:solute carrier family 5 (sodium-dependent vitamin transporter), member 6 [Source:MGI Symbol;Acc:MGI:2660847] MSVASTAAPFHTTSGSSGAISTFSVVDYVVFGLLLVLSLVIGLYHACRGWGHHTVGELLM ADRKMGCLPVALSLLATFQSAVAILGAPAEIFRFGTQYWFLGCSYFLGLLIPAHIFIPVF YRLHLTSAYEYLELRFNKAVRICGTVTFIFQMVIYMGVALYAPSLALNAVTGFDLWLSVL ALGIVCNIYTALGGLKAVIWTDVFQTLVMFLGQLVVIIVGAARVGGLGHVWNVTSQHGLI SGINLDPDPFVRHTFWTLAFGGVFMMLSLYGVNQAQVQRYLSSHSERAAVLSCYAVFPCQ QVALCMSCLIGLVMFAYYNMYSMSPELKQAAPDQLVLYFVMDLLKDMPGLPGLFVACLFS GSLSTISSAFNSLATVTMEDLIQPWFPQLTETRAIMLSRGLAFAYGLVCLGMAYISSHLG SVLQAALSIFGMVGGPLLGLFCLGLFFPCANPLGAIVGLLTGLTMAFWIGIGSIVSRMSS AVAPPPLNGSSSFLPANVTVAAVTTVMPSTLSKPTGLQHFYSLSYLWYSAHNSTTVIVVG LIVSLLTGGMRGRSLNPGTIYPVLPKLLALLPLSCQKRLCWRSHSQDIPVIPNLFPEKMR NGVLQDSTDKERMAEDGLVHQPCSPTYVVQETSL >ENSMUSP00000079291.1 pep:known chromosome:GRCm38:5:31036036:31048924:-1 gene:ENSMUSG00000006641.12 transcript:ENSMUST00000080431.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a6 description:solute carrier family 5 (sodium-dependent vitamin transporter), member 6 [Source:MGI Symbol;Acc:MGI:2660847] MSVASTAAPFHTTSGSSGAISTFSVVDYVVFGLLLVLSLVIGLYHACRGWGHHTVGELLM ADRKMGCLPVALSLLATFQSAVAILGAPAEIFRFGTQYWFLGCSYFLGLLIPAHIFIPVF YRLHLTSAYEYLELRFNKAVRICGTVTFIFQMVIYMGVALYAPSLALNAVTGFDLWLSVL ALGIVCNIYTALGGLKAVIWTDVFQTLVMFLGQLVVIIVGAARVGGLGHVWNVTSQHGLI SGINLDPDPFVRHTFWTLAFGGVFMMLSLYGVNQAQVQRYLSSHSERAAVLSCYAVFPCQ QVALCMSCLIGLVMFAYYNMYSMSPELKQAAPDQLVLYFVMDLLKDMPGLPGLFVACLFS GSLSTISSAFNSLATVTMEDLIQPWFPQLTETRAIMLSRGLAFAYGLVCLGMAYISSHLG SVLQAALSIFGMVGGPLLGLFCLGLFFPCANPLGAIVGLLTGLTMAFWIGIGSIVSRMSS AVAPPPLNGSSSFLPANVTVAAVTTVMPSTLSKPTGLQHFYSLSYLWYSAHNSTTVIVVG LIVSLLTGGMRGRSLNPGTIYPVLPKLLALLPLSCQKRLCWRSHSQDIPVIPNLFPEKMR NGVLQDSTDKERMAEDGLVHQPCSPTYVVQETSL >ENSMUSP00000143993.1 pep:known chromosome:GRCm38:5:31036037:31048009:-1 gene:ENSMUSG00000006641.12 transcript:ENSMUST00000202556.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a6 description:solute carrier family 5 (sodium-dependent vitamin transporter), member 6 [Source:MGI Symbol;Acc:MGI:2660847] MSVASTAAPFHTTSGSSGAISTFSVVDYVVFGLLLVLSLVIGLYHACRGWGHHTVGELLM ADRKMGCLPVALSLLATFQSAVAILGAPAEIFRFGTQYWFLGCSYFLGLLIPAHIFIPVF YRLHLTSAYEYLELRFNKAVRICGTVTFIFQMVIYMGVALYAPSLALNAVTGFDLWLSVL ALGIVCNIYTALGGLKAVIWTDVFQTLVMFLGQLVVIIVGAARVGGLGHVWNVTSQHGLI SGINLDPDPFVRHTFWTLAFGGVFMMLSLYGVNQAQVQRYLSSHSERAAVLSCYAVFPCQ QVALCMSCLIGLVMFAYYNMYSMSPELKQAAPDQLVLYFVMDLLKDMPGLPGLFVACLFS GSLSTISSAFNSLATVTMEDLIQPWFPQLTETRAIMLSRGLAFAYGLVCLGMAYISSHLG SVLQAALSIFGMVGGPLLGLFCLGLFFPCANPLGAIVGLLTGLTMAFWIGIGSIVSRMSS AVAPPPLNGSSSFLPANVTVAAVTTVMPSTLSKPTGLQHFYSLSYLWYSAHNSTTVIVVG LIVSLLTGGMRGRSLNPGTIYPVLPKLLALLPLSCQKRLCWRSHSQDIPVIPNLFPEKMR NGVLQDSTDKERMAEDGLVHQPCSPTYVVQETSL >ENSMUSP00000144349.1 pep:known chromosome:GRCm38:5:31039352:31042605:-1 gene:ENSMUSG00000006641.12 transcript:ENSMUST00000202984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a6 description:solute carrier family 5 (sodium-dependent vitamin transporter), member 6 [Source:MGI Symbol;Acc:MGI:2660847] GTVTFIFQMVIYMGVALYAPSLALNAVTGFDLWLSVLALGIVCNIYTALGGLKAVIWTDV FQTLVMFLGQLVVIIVGAARVGGLGHVWNVTSQHGLISGINSCYAVFPCQQVALCMSCLI GLVMFAYYNMYSMSPELKQAAPDQLVLYFVMDLLKDMPGLPGLFVACLFSGSLSTISSAF NSLATVTMEDLIQPW >ENSMUSP00000144665.1 pep:known chromosome:GRCm38:5:31043095:31048079:-1 gene:ENSMUSG00000006641.12 transcript:ENSMUST00000200816.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a6 description:solute carrier family 5 (sodium-dependent vitamin transporter), member 6 [Source:MGI Symbol;Acc:MGI:2660847] MSVASTAAPFHTTSGSSGAISTFSVVDYVVFGLLLVLSLVIGLYHACRGWGHHTVGELLM ADRKMGCLPVALSLLATFQSAV >ENSMUSP00000082125.3 pep:known chromosome:GRCm38:12:104146382:104153870:-1 gene:ENSMUSG00000066361.3 transcript:ENSMUST00000085050.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3c description:serine (or cysteine) peptidase inhibitor, clade A, member 3C [Source:MGI Symbol;Acc:MGI:102848] MAFIVALGLVITGICPGVLCFPDGTLERDTLFHKDKENGTQLDSLTLASINTDFAFSLYK KLALKNPDTNIVFSPLSISAALAIVSLGAKGNTLEEILEGLNFNLTETPEADIHQGFGHL LQRLSHPGEQVQISTGSALFVEKHLQILAEFQEKARALYQAEAFTADFQQPLEATKLIND YVSNQTQRKIKGLISDLDTDTLMVLVNYIYFKGKWKMPFNPRDTFESEFYLDVKRSVKVP MMKIKTLTTPYFRDEELSCTVVELKYKGNASALFILPDQGRMQQVEASLQPETLRKWKNS LRPRKMGELYLPKFSISTDYSLKNILPELGIKEIFSKQADLSGITGTKDLIVSQMVHKAV LDVAETGTEGVAATGVNFRILSRRTSLWFNRTFLMVISHTDVQTTLFIAKITHPKRA >ENSMUSP00000087709.4 pep:known chromosome:GRCm38:6:39334773:39377675:-1 gene:ENSMUSG00000029924.12 transcript:ENSMUST00000090243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a3 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 3 [Source:MGI Symbol;Acc:MGI:1919394] MAWPRFLQRGALLTSFSHHHLAVFLLTFFSYSLLHASRKTFSNVKVSISKQWTPNAFNTS LDLPAEIWSSNHLFPSTEEATLFLGTLDTVFLFSYAVGLFISGIIGDRLNLRWVLSFGMC SSAFVVFVFGTLTEWLHFYNKWFYCGLWIVNGLLQSTGWPCVVAVMGNWFGKAGRGVVFG LWSACASVGNILGAFLASSVLQYGYEYAFLVTASVQFAGGIIIFFGLLVSPEEIGLPSIG AEESSEEDSQRPLIDGAENEDDYEPNYSIQEDRAVVQVKAISFHQACCLPGVIPYSLAYA CLKLVNYSFFFWLPFYLSNNFGWKEAEADKLSIWYDVGGIIGGTLLGFISDVLQKRAPVL ALSLFLAVGSLVGYSRSPNNKSINALLMTITGFFIGGPSNMVSSAISADLGRQELIQGNS EALATVTGIVDGTGSIGAAVGQYLVSLIQDNLGWMWVFYFFILMTSCTILFILPLIVREV FSLVQRRQAHSLNE >ENSMUSP00000144059.1 pep:known chromosome:GRCm38:6:39335985:39377672:-1 gene:ENSMUSG00000029924.12 transcript:ENSMUST00000201448.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc37a3 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 3 [Source:MGI Symbol;Acc:MGI:1919394] MAWPRFLQRGALLTSFSHHHLAVFLLTFFSYSLLHASRKTFSNVKVSISKQWTPNAFNTS LDLPAEIWSSNHLFPSTEEATLFLGTLDTVFLFSYAVGLFISGIIGDRLNLRWVLSFGMC SSAFVPRSCLWSLECLCFGGQYFGSIPGLIGSPVWL >ENSMUSP00000144562.1 pep:known chromosome:GRCm38:6:39335989:39372930:-1 gene:ENSMUSG00000029924.12 transcript:ENSMUST00000200961.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc37a3 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 3 [Source:MGI Symbol;Acc:MGI:1919394] MAWPRFLQRGALLTSFSHHHLAVFLLTFFSYSLLHASRKTFSNVKVSISKQWTPNAFNTS LDLPAEIWSSNHLFPSTEEATLFLGTLDTVFLFSYAVGLFISGIIGDRLNLRWVLSFGMC SSAFVPRSCLWSLECLCFGGQYFGSIPGLIGSPVWL >ENSMUSP00000144557.1 pep:known chromosome:GRCm38:6:39354977:39377659:-1 gene:ENSMUSG00000029924.12 transcript:ENSMUST00000202400.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a3 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 3 [Source:MGI Symbol;Acc:MGI:1919394] MAWPRFLQRGALLTSFSHHHLAVFLLTFFSYSLLHASRKTFSNVKVSISKQWTPNAFNTS LDLPAEIWSSNHLFPSTEEATLFLGTLDTVFLFSYAVGLFISGIIGDRLNLRWVLSFGMC SSAFVVFVFGTLTEWLHFYNKWFYCGLWIVNGLLQSTGWPCVVAVMGNWFGKAG >ENSMUSP00000144636.1 pep:known chromosome:GRCm38:6:39357459:39377672:-1 gene:ENSMUSG00000029924.12 transcript:ENSMUST00000202749.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a3 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 3 [Source:MGI Symbol;Acc:MGI:1919394] MAWPRFLQRGALLTSFSHHHLAVFLLTFFSYSLLHASRKTFSNVKVSISKQWTPNAFNTS LDLPAEILFFCSPMLWASSSAAS >ENSMUSP00000144316.1 pep:known chromosome:GRCm38:6:39359964:39377647:-1 gene:ENSMUSG00000029924.12 transcript:ENSMUST00000202204.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a3 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 3 [Source:MGI Symbol;Acc:MGI:1919394] MAWPRFLQRGALLTSFSHHHLAVFLLTFFSYSLLHASRKTFSNVKVSISKQWTPNAFNTS LDLPAEIWSSNHLFPSTEEATLFLGTLDTVFL >ENSMUSP00000143953.1 pep:known chromosome:GRCm38:6:39359989:39377636:-1 gene:ENSMUSG00000029924.12 transcript:ENSMUST00000200771.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a3 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 3 [Source:MGI Symbol;Acc:MGI:1919394] MAWPRFLQRGALLTSFSHHHLAVFLLTFFSYSLLHASRKTFSNVKVSISKQWTPNAFNTS LDLPAEIWSSNHLFPSTEEATLFL >ENSMUSP00000144244.1 pep:known chromosome:GRCm38:6:39359991:39377662:-1 gene:ENSMUSG00000029924.12 transcript:ENSMUST00000200969.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a3 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 3 [Source:MGI Symbol;Acc:MGI:1919394] MAWPRFLQRGALLTSFSHHHLAVFLLTFFSYSLLHASRKTFSNVKVSISKQWTPNAFNTS LDLPAEIWSSNHLFPSTEEATLF >ENSMUSP00000144280.1 pep:known chromosome:GRCm38:6:39366897:39377657:-1 gene:ENSMUSG00000029924.12 transcript:ENSMUST00000202952.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a3 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 3 [Source:MGI Symbol;Acc:MGI:1919394] MAWPRFLQRGALLTSFSHHHLAVFLLT >ENSMUSP00000034278.5 pep:known chromosome:GRCm38:8:120578633:120589304:-1 gene:ENSMUSG00000031821.11 transcript:ENSMUST00000034278.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gins2 description:GINS complex subunit 2 (Psf2 homolog) [Source:MGI Symbol;Acc:MGI:1921019] MDAAEVEFLAEKELVTIIPNFSLDKIYLIGGDLGPFNPGLPVDVPLWLAINLKQRQKCRL LPPEWMDVEKLEQMRDEERKEETFTPVPSPHYMEITKLLLNHASDNIPKADTIRTLIKDL WDTRMAKLRVSADSFVRQQEAHAKLDNLTLMEISSSGAFLTQALNHMYKLRTNLQPSEST QSQDF >ENSMUSP00000023920.2 pep:known chromosome:GRCm38:4:155469131:155470857:1 gene:ENSMUSG00000023153.9 transcript:ENSMUST00000023920.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem52 description:transmembrane protein 52 [Source:MGI Symbol;Acc:MGI:1916921] MAPGPSATQGILLLLPLLPLSQVTLGSADRNCDPSDQCPPQARWSSLWHVGLILLAILLM LLCGVTASCVRFCCLRKQTHTQSHTPAAWQPCDGTVIPVDSDSPAHSTVTSYSSVQYPLG MRLPLYFGEPDPDSMVPPTYSLYASELPPSYDEVVKMIKAREEVAAPSEKTNSLPEALEP ETTGGPQEPGPSAQRP >ENSMUSP00000136899.1 pep:known chromosome:GRCm38:4:155469114:155470858:1 gene:ENSMUSG00000023153.9 transcript:ENSMUST00000178188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem52 description:transmembrane protein 52 [Source:MGI Symbol;Acc:MGI:1916921] MAPGPSATQGILLLLPLLPLSQVTLGSADRNCDPSDQLILLAILLMLLCGVTASCVRFCC LRKQTHTQSHTPAAWQPCDGTVIPVDSDSPAHSTVTSYSSVQYPLGMRLPLYFGEPDPDS MVPPTYSLYASELPPSYDEVVKMIKAREEVAAPSEKTNSLPEALEPETTGGPQEPGPSAQ RP >ENSMUSP00000136919.1 pep:known chromosome:GRCm38:4:155469114:155470858:1 gene:ENSMUSG00000023153.9 transcript:ENSMUST00000178238.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem52 description:transmembrane protein 52 [Source:MGI Symbol;Acc:MGI:1916921] MAPGPSATQGILLLLPLLPLSQVTLGSADRNCDPSDQCRCPRRCPPQARWSSLWHVGLIL LAILLMLLCGVTASCVRFCCLRKQTHTQSHTPAAWQPCDGTVIPVDSDSPAHSTVTSYSS VQYPLGMRLPLYFGEPDPDSMVPPTYSLYASELPPSYDEVVKMIKAREEVAAPSEKTNSL PEALEPETTGGPQEPGPSAQRP >ENSMUSP00000099702.2 pep:known chromosome:GRCm38:2:84798828:84810176:1 gene:ENSMUSG00000027078.14 transcript:ENSMUST00000102642.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2l6 description:ubiquitin-conjugating enzyme E2L 6 [Source:MGI Symbol;Acc:MGI:1914500] MMASKRVAKELESLSKELPPYLRQLSSDDANVLVWHMLLLPDQLPYGLKAFQVRIDFPRE YPFKPPTLRFTTKIYHPNVREDGLVCLPLISNENWKPYTKPYQVLEALNVLVSKPNLEEP VRLELADLLTQNPEMFRKKAEEFTLKFGVDRPS >ENSMUSP00000119507.1 pep:known chromosome:GRCm38:2:84798834:84806391:1 gene:ENSMUSG00000027078.14 transcript:ENSMUST00000150325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2l6 description:ubiquitin-conjugating enzyme E2L 6 [Source:MGI Symbol;Acc:MGI:1914500] MASKRVAKELESLSKELPPYLRQLSSDDANVLVWHMLLLPTLVWTFLSSLDRLTSQPQGS ACLSSGMGITKDQLPYGLKAFQVRIDFPR >ENSMUSP00000114931.1 pep:known chromosome:GRCm38:4:53631471:53707009:1 gene:ENSMUSG00000054752.16 transcript:ENSMUST00000132151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fsd1l description:fibronectin type III and SPRY domain containing 1-like [Source:MGI Symbol;Acc:MGI:2442443] MDSQKEALQRIISTLANKSDEIQNFIDTLNHTLKGVQENSSNILSELDEEFDSLYSILDD VKESMISTIKQEQVRKSQELQSQLSQCNNALENSEELLEFATRSLDIKEPEEFSKAARQI KDRVTMASAFRLSLKPKVSDNMTHLMVDFSQERQMLQTLKFLPVPKAPEIDPVECLVADN SVTVAWRMPEEDNKIDHFIMEYRKTNFDGLPRVKDERCWEVIDNIKGTEYTLSGLKFDSK YMNFRVRACNKAVAGDYSDPVTLETRALNFSLDNSSSHLNLKVEDSCVEWDPTGGKGQES KIKGKENKGRSGTPSPKRTSVGSRPPAVRGSRDRFTGESYTVLGDTAIENGQHYWEVKAQ KDCKSYSVGVAYKTLGKFDQLGKTNTSWCVHVNSWLQNTFAAKHNNKVKALDVPVPEKIG VFCDFDGGQLSFYDAHSKQLLYSFKTKFTQPVVPGFMVWCGGLSLSTGMQVPSAVRTLQK SENGMTGSTSSLNNVTQ >ENSMUSP00000124002.2 pep:known chromosome:GRCm38:4:53631569:53701153:1 gene:ENSMUSG00000054752.16 transcript:ENSMUST00000159415.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fsd1l description:fibronectin type III and SPRY domain containing 1-like [Source:MGI Symbol;Acc:MGI:2442443] MDSQKEALQRIISTLANKSDEIQNFIDTLNHTLKGVQENSSNILSELDEEFDSLYSILDD VKESMISTIKQEQVRKSQELQSQLSQCNNALENSEELLEFATRSLDIKEPEEFSKAARQI KDRVTMASAFRLSLKPKVSDNMTHLMVDFSQERQMLQTLKFLPVPKAPEIDPVECLVADN SVTVAWRMPEEDNKIDHFIMEYRKTNFDGLPRVKDERCWEVIDNIKGTEYTLSGLKFDSK YMNFRVRACNKAVAGDYSDPVTLETRALNFSLDNSSSHLNLKVEDSCVEWDPTGGKGQES KIKGKENKGSVHVTSLKKHTSGTPSPKRTSVGSRPPAVRGSRDRFTGESYTVLGDTAIEN GQHYWEVKAQKDCKSYSVGVAYKTLGKFDQLGKTNTSWCVHVNSWLQNTFAAKHNNKVKA LDVPVPEKIGVFCDFDGGQLSFYDAHSKQLLYSFKTKFTQPVVPGFMVWCGGLSLSTGMQ VPSAVRTLQKSENGMTGSTSSLNNV >ENSMUSP00000124613.2 pep:known chromosome:GRCm38:4:53631574:53701151:1 gene:ENSMUSG00000054752.16 transcript:ENSMUST00000163067.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fsd1l description:fibronectin type III and SPRY domain containing 1-like [Source:MGI Symbol;Acc:MGI:2442443] MDSQKEALQRIISTLANKSDEIQNFIDTLNHTLKGVQENSSNILSELDEEFDSLYSILDD VKESMISTIKQEQVRKSQELQSQLSQCNNALENSEELLEFATRSLDIKEPEEFSKAARQI KDRVTMASAFRLSLKPKVSDNMTHLMVDFSQERQMLQTLKFLPVPKAPEIDPVECLVADN SVTVAWRMPEEDNKIDHFIMEYRKTNFDGLPRVKDERCWEVIDNIKGTEYTLSGLKFDSK YMNFRVRACNKAVAGDYSDPVTLETRALNFSLDNSSSHLNLKVEDSCVEWDPTGGKGQES KIKGKENKGSGTPSPKRTSVGSRPPAVRGSRDRFTGESYTVLGDTAIENGQHYWEVKAQK DCKSYSVGVAYKTLGKFDQLGKTNTSWCVHVNSWLQNTFAAKHNNKVKALDVPVPEKIGV FCDFDGGQLSFYDAHSKQLLYSFKTKFTQPVVPGFMVWCGGLSLSTGMQVPSAVRTLQKS ENGMTGSTSSLNN >ENSMUSP00000121176.2 pep:known chromosome:GRCm38:4:53631716:53659678:1 gene:ENSMUSG00000054752.16 transcript:ENSMUST00000129648.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fsd1l description:fibronectin type III and SPRY domain containing 1-like [Source:MGI Symbol;Acc:MGI:2442443] MAAPGTPDDLCPALGSGKALRGGNREPHPGLCRPLRALGPFLPAARGVLRGKLYKESFQL WQIRVMRFRTSLIH >ENSMUSP00000136184.1 pep:known chromosome:GRCm38:4:53643280:53707009:1 gene:ENSMUSG00000054752.16 transcript:ENSMUST00000180164.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fsd1l description:fibronectin type III and SPRY domain containing 1-like [Source:MGI Symbol;Acc:MGI:2442443] MEMVKEALQRIISTLANKSDEIQNFIDTLNHTLKGVQENSSNILSELDEEFDSLYSILDD VKESMISTIKQEQVRKSQELQSQLSQCNNALENSEELLEFATRSLDIKEPEEFSKAARQI KDRVTMASAFRLSLKPKVSDNMTHLMVDFSQERQMLQTLKFLPVPKAPEIDPVECLVADN SVTVAWRMPEEDNKIDHFIMEYRKTNFDGLPRVKDERCWEVIDNIKGTEYTLSGLKFDSK YMNFRVRACNKAVAGDYSDPVTLETRALNFSLDNSSSHLNLKVEDSCVEWDPTGGKGQES KIKGKENKGRSGTPSPKRTSVGSRPPAVRGSRDRFTGESYTVLGDTAIENGQHYWEVKAQ KDCKSYSVGVAYKTLGKFDQLGKTNTSWCVHVNSWLQNTFAAKHNNKVKALDVPVPEKIG VFCDFDGGQLSFYDAHSKQLLYSFKTKFTQPVVPGFMVWCGGLSLSTGMQVPSAVRTLQK SENGMTGSTSSLNNVTQ >ENSMUSP00000140733.1 pep:known chromosome:GRCm38:Y:64473266:64499452:1 gene:ENSMUSG00000101766.1 transcript:ENSMUST00000186004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20908 description:predicted gene, 20908 [Source:MGI Symbol;Acc:MGI:5434264] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000073868.2 pep:known chromosome:GRCm38:13:23034338:23035441:1 gene:ENSMUSG00000061829.3 transcript:ENSMUST00000074252.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r214 description:vomeronasal 1 receptor 214 [Source:MGI Symbol;Acc:MGI:2159663] MRLPLPLEHKKMLLYQLWCSDPCLALLMLSLKCIFILHTRKEEMIWKLIKKILFLFMTML GILGNMFVSVNFMLSWWGSPEKKSVHLILIHLAFTNIIILLTKGLQKTIVAFGLRNFLNN LGCKIIVYMERVAHGLSLCTSSLLTVVQAIIISPRASGWRRLRPKSVRHILPFFLFFWIL NALISMNLINSITSTRLNISQLKSDDNYCYFMLPSQKIKWIVLPLMVLRDAVFQGAMGGA SGYMVFLLHKHHQHVLYLQNSKLLYRTPPELRAAQSVLLLMLCFVFFYWTDCAFSLVLSL SSRDKTLTVNPRELLTLGYATFSPLVLIHRDGLLFECCHAQLKKLRNCLSFICSTRKKKL SVLQHCG >ENSMUSP00000083524.5 pep:known chromosome:GRCm38:7:23385889:23441921:1 gene:ENSMUSG00000015721.18 transcript:ENSMUST00000086341.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp5 description:NLR family, pyrin domain containing 5 [Source:MGI Symbol;Acc:MGI:1345193] MGPPEKESKAILKARGLEEEQKSERKMTSPENDSKSIQKDQGPEQEQTSESTMGPPEKDS KAILKARGLEEEQKSESTMSPSENVSRAILKDSGSEEVEQASERKMTSPENDSKSIQKDQ GPEQEQTSDNGGDLQDYKAHVIAKFDTSVDLHYDSPEMKLLSDAFKPYQKTFQPHTIILH GRPGVGKSALARSIVLGWAQGKLFQKMSFVIFFSVREIKWTEKSSLAQLIAKECPDSWDL VTKIMSQPERLLFVIDGLDDMDSVLQHDDMTLSRDWKDEQPIYILMYSLLRKALLPQSFL IITTRNTGLEKLKSMVVSPLYILVEGLSASRRSQLVLENISNESDRIQVFHSLIENHQLF DQCQAPSVCSLVCEALQLQKKLGKRCTLPCQTLTGLYATLVFHQLTLKRPSQSALSQEEQ ITLVGLCMMAAEGVWTMRSVFYDDDLKNYSLKESEILALFHMNILLQVGHNSEQCYVFSH LSLQDFFAALYYVLEGLEEWNQHFCFIENQRSIMEVKRTDDTRLLGMKRFLFGLMNKDIL KTLEVLFEYPVIPTVEQKLQHWVSLIAQQVNGTSPMDTLDAFYCLFESQDEEFVGGALKR FQEVWLLINQKMDLKVSSYCLKHCQNLKAIRVDIRDLLSVDNTLELCPVVTVQETQCKPL LMEWWGNFCSVLGSLRNLKELDLGDSILSQRAMKILCLELRNQSCRIQKLTFKSAEVVSG LKHLWKLLFSNQNLKYLNLGNTPMKDDDMKLACEALKHPKCSVETLRLDSCELTIIGYEM ISTLLISTTRLKCLSLAKNRVGVKSMISLGNALSSSMCLLQKLILDNCGLTPASCHLLVS ALFSNQNLTHLCLSNNSLGTEGVQQLCQFLRNPECALQRLILNHCNIVDDAYGFLAMRLA NNTKLTHLSLTMNPVGDGAMKLLCEALKEPTCYLQELELVDCQLTQNCCEDLACMITTTK HLKSLDLGNNALGDKGVITLCEGLKQSSSSLRRLGLGACKLTSNCCEALSLAISCNPHLN SLNLVKNDFSTSGMLKLCSAFQCPVSNLGIIGLWKQEYYARVRRQLEEVEFVKPHVVIDG DWYASDEDDRNWWKN >ENSMUSP00000015866.7 pep:known chromosome:GRCm38:7:23385901:23441922:1 gene:ENSMUSG00000015721.18 transcript:ENSMUST00000015866.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp5 description:NLR family, pyrin domain containing 5 [Source:MGI Symbol;Acc:MGI:1345193] MGPPEKESKAILKARGLEEEQKSERKMTSPENDSKSIQKDQGPEQEQTSESTMGPPEKDS KAILKARGLEEEQKSESTMSPSENVSRAILKDSGSEEVEQASERKMTSPENDSKSIQKDQ GPEQEQTSETLQSKEEDEVTEADKDNGGDLQDYKAHVIAKFDTSVDLHYDSPEMKLLSDA FKPYQKTFQPHTIILHGRPGVGKSALARSIVLGWAQGKLFQKMSFVIFFSVREIKWTEKS SLAQLIAKECPDSWDLVTKIMSQPERLLFVIDGLDDMDSVLQHDDMTLSRDWKDEQPIYI LMYSLLRKALLPQSFLIITTRNTGLEKLKSMVVSPLYILVEGLSASRRSQLVLENISNES DRIQVFHSLIENHQLFDQCQAPSVCSLVCEALQLQKKLGKRCTLPCQTLTGLYATLVFHQ LTLKRPSQSALSQEEQITLVGLCMMAAEGVWTMRSVFYDDDLKNYSLKESEILALFHMNI LLQVGHNSEQCYVFSHLSLQDFFAALYYVLEGLEEWNQHFCFIENQRSIMEVKRTDDTRL LGMKRFLFGLMNKDILKTLEVLFEYPVIPTVEQKLQHWVSLIAQQVNGTSPMDTLDAFYC LFESQDEEFVGGALKRFQEVWLLINQKMDLKVSSYCLKHCQNLKAIRVDIRDLLSVDNTL ELCPVVTVQETQCKPLLMEWWGNFCSVLGSLRNLKELDLGDSILSQRAMKILCLELRNQS CRIQKLTFKSAEVVSGLKHLWKLLFSNQNLKYLNLGNTPMKDDDMKLACEALKHPKCSVE TLRLDSCELTIIGYEMISTLLISTTRLKCLSLAKNRVGVKSMISLGNALSSSMCLLQKLI LDNCGLTPASCHLLVSALFSNQNLTHLCLSNNSLGTEGVQQLCQFLRNPECALQRLILNH CNIVDDAYGFLAMRLANNTKLTHLSLTMNPVGDGAMKLLCEALKEPTCYLQELELVDCQL TQNCCEDLACMITTTKHLKSLDLGNNALGDKGVITLCEGLKQSSSSLRRLGLGACKLTSN CCEALSLAISCNPHLNSLNLVKNDFSTSGMLKLCSAFQCPVSNLGIIGLWKQEYYARVRR QLEEVEFVKPHVVIDGDWYASDEDDRNWWKN >ENSMUSP00000118638.1 pep:known chromosome:GRCm38:7:23404102:23441922:1 gene:ENSMUSG00000015721.18 transcript:ENSMUST00000139661.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nlrp5 description:NLR family, pyrin domain containing 5 [Source:MGI Symbol;Acc:MGI:1345193] MGPPEKESKAILKARGLEEEQKSERKMTSPENDSKSIQKDQGPEQEQTSESTMGPPEKDS KAILKARGLEEEQKSESTMSPSENVSRAILKDSGSEEVEQASERKMTSPENDSKSIQKDQ GPEQEQTSETLQSKEEDEVTEADKDNGGDLQDYKAHVIAKFDTSVDLHYDSPEMKLLSDA FKPYQKTFQPHTIILHGRPGVGKSALARSIVLGWAQGKLFQKMSFVIFFSVREIKWTEKS SLAQLIAKECPDSWDLVTKIMSQPERLLFVIDGLDDMDSVLQHDDMTLSRDWKDEQPIYI LMYSLLRKALLPQSFLIITTRNTGLEKLKSMVVSPLYILVEGLSASRRSQLVLENISNES DRIQVFHSLIENHQLFDQCQAPSVCSLVCEALQLQKKLGKRCTLPCQTLTGLYATLVFHQ LTLKRPSQSALSQEEQITLVGLCMMAAEGVWTMRSVFYDDDLKNYSLKESEILALFHMNI LLQVGHNSEQCYVFSHLSLQDFFAALYYVLEGLEEWNQHFCFIENQRSIMEVKRTDDTRL LGMKRFLFGLMNKDILKTLEVLFEYPVIPTVEQKLQHWVSLIAQQVNGTSPMDTLDAFYC LFESQDEEFVGGALKRFQEVWLLINQKMDLKVSSYCLKHCQNLKAIRVDIRDLLSVDNTL ELCPVVTVQETQCKPLLMEWWGNFCSVLGSLRNLKELDLGDSILSQRAMKILCLELRNQS CRIQKLT >ENSMUSP00000104080.1 pep:known chromosome:GRCm38:7:23404102:23441922:1 gene:ENSMUSG00000015721.18 transcript:ENSMUST00000108441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp5 description:NLR family, pyrin domain containing 5 [Source:MGI Symbol;Acc:MGI:1345193] MGPPEKESKAILKARGLEEEQKSERKMTSPENDSKSIQKDQGPEQEQTSESTMGPPEKDS KAILKARGLEEEQKSESTMSPSENVSRAILKDSGSEEVEQASERKMTSPENDSKSIQKDQ GPEQEQTSETLQSKEEDEVTEADKDNGGDLQDYKAHVIAKFDTSVDLHYDSPEMKLLSDA FKPYQKTFQPHTIILHGRPGVGKSALARSIVLGWAQGKLFQKMSFVIFFSVREIKWTEKS SLAQLIAKECPDSWDLVTKIMSQPERLLFVIDGLDDMDSVLQHDDMTLSRDWKDEQPIYI LMYSLLRKALLPQSFLIITTRNTGLEKLKSMVVSPLYILVEGLSASRRSQLVLENISNES DRIQVFHSLIENHQLFDQCQAPSVCSLVCEALQLQKKLGKRCTLPCQTLTGLYATLVFHQ LTLKRPSQSALSQEEQITLVGLCMMAAEGVWTMRSVFYDDDLKNYSLKESEILALFHMNI LLQVGHNSEQCYVFSHLSLQDFFAALYYVLEGLEEWNQHFCFIENQRSIMEVKRTDDTRL LGMKRFLFGLMNKDILKTLEVLFEYPVIPTVEQKLQHWVSLIAQQVNGTSPMDTLDAFYC LFESQDEEFVGGALKRFQEVWLLINQKMDLKVSSYCLKHCQNLKAIRVDIRDLLSVDNTL ELCPVVTVQETQCKPLLMEWWGNFCSVLGSLRNLKELDLGDSILSQRAMKILCLELRNQS CRIQKLTFKSAEVVSGLKHLWKLLFSNQNLKYLNLGNTPMKDDDMKLACEALKHPKCSVE TLRLDSCELTIIGYEMISTLLISTTRLKCLSLAKNRVGVKSMISLGNALSSSMCLLQKLI LDNCGLTPASCHLLVSALFSNQNLTHLCLSNNSLGTEGVQQLCQFLRNPECALQRLILNH CNIVDDAYGFLAMRLANNTKLTHLSLTMNPVGDGAMKLLCEALKEPTCYLQELELVDCQL TQNCCEDLACMITTTKHLKSLDLGNNALGDKGVITLCEGLKQSSSSLRRLGLNLVKNDFS TSGMLKLCSAFQCPVSNLGIIGLWKQEYYARVRRQLEEVEFVKPHVVIDGDWYASDEDDR NWWKN >ENSMUSP00000122007.1 pep:known chromosome:GRCm38:7:23404102:23441922:1 gene:ENSMUSG00000015721.18 transcript:ENSMUST00000133237.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nlrp5 description:NLR family, pyrin domain containing 5 [Source:MGI Symbol;Acc:MGI:1345193] MGPPEKESKAILKARGLEEEQKSERKMTSPENDSKSIQKDQGPEQEQTSESTMGPPEKDS KAILKARGLEEEQKSESTMSPSENVSRAILKDSGSEEVEQASERKMTSPENDSKSIQKDQ GPEQEQTSETLQSKEEDEVTEADKDNGGDLQDYKAHVIAKFDTSVDLHYDSPEMKLLSDA FKPYQKTFQPHTIILHGRPGVGKSALARSIVLGWAQGKLFQKMSFVIFFSVREIKWTEKS SLAQLIAKECPDSWDLVTKIMSQPERLLFVIDGLDDMDSVLQHDDMTLSRDWKDEQPIYI LMYSLLRKALLPQSFLIITTRNTGLEKLKSMVVSPLYILVEGLSASRRSQLVLENISNES DRIQVFHSLIENHQLFDQCQAPSVCSLVCEALQLQKKLGKRCTLPCQTLTGLYATLVFHQ LTLKRPSQSALSQEEQITLVGLCMMAAEGVWTMRSVFYDDDLKNYSLKESEILALFHMNI LLQVGHNSEQCYVFSHLSLQDFFAALYYVLEGLEEWNQHFCFIENQRSIMEVKRTDDTRL LGMKRFLFGLMNKDILKTLEVLFEYPVIPTVEQKLQHWVSLIAQQVNGTSPMDTLDAFYC LFESQDEEFVGGALKRFQEVWLLINQKMDLKVSSYCLKHCQNLKAIRVDIRDLLSVDNTL ELCPVVTVQETQCKPLLMEWWGNFCSVLGSLRNLKELDLGDSILSQRAMKILCLELRNQS CRIQKLTFKSAEVVSGLKHLWKLLFSNQNLKYLNLGNTPMKDDDMKLACEALKHPKCSVE TLRLDSCELTIIGYEMISTLLISTTRLKCLSLAKNRVGVKSMISLGNALSSSMCLLQKLI LDNCGLTPASCHLLVSALFSNQNLTHLCLSNNSLGTEGVQQLCQFLRNPECALQRLILNH CNIVDDAYGFLAMRLANNTKLTHLSLTMNPVGDGAMKLLCEALKEPTCYLQELELVDCQL TQNCCEDLACMITTTKHLKSLDLGWGHVS >ENSMUSP00000014892.6 pep:known chromosome:GRCm38:6:83768885:83775813:-1 gene:ENSMUSG00000014748.13 transcript:ENSMUST00000014892.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex261 description:testis expressed gene 261 [Source:MGI Symbol;Acc:MGI:1096575] MWFMYVLSWLSLFIQVAFITLAVAAGLYYLAELIEEYTVATSRIIKYMIWFSTAVLIGLY VFERFPTSMIGVGLFTNLVYFGLLQTFPFIMLTSPNFILSCGLVVVNHYLAFQFFAEEYY PFSEVLAYFTFCLWIIPFAFFVSLSAGENVLPSTMQPGDDVVSNYFTKGKRGKRLGILVV FSFIKEAILPSRQKIY >ENSMUSP00000127318.1 pep:known chromosome:GRCm38:5:107431549:107435039:1 gene:ENSMUSG00000033794.6 transcript:ENSMUST00000166599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpcat2b description:lysophosphatidylcholine acyltransferase 2B [Source:MGI Symbol;Acc:MGI:1918152] MAHQNQHQDTIDSTEVEVWDSRTAQEVNKSLYPPAVDSPFTLNTHLSAWRWACTIILGTV LVPVRVSCIVFLLILLWPVAVLSAINLPTQPTKPIRRWRKHLIKSALVFLFRLGFFFAGF LVKVKGKKATREEAPIFVSAPHSTFFDAIAVVVAGLPSVVSDSQLARVPLAGKCILVTQP VLVKREDPNSRKTTRNEILRRVKSKMKWPQILIFPEGLCTNRSCLVTFKLGAFSPGVPVQ PVLLRYPNSLDTVTWTWNGFSGFQVCMLTLSQLFTRVEVEFMPVYIPSEEEKKDPILFAN TVRIKMANALKLPVTDHSLEDCKLMISAGALQLPMEAGLVEFTKISQKLKLDWDNIHKHL DQYASFAVSSKGGKIGIEAFSRYLKLPISEPLRQLFSLFDRNQDGTIDFREYVIGLTVLC NPSNTEKILQMSFKLFDLDEDGYVTERELTTMLQAAFGVPDLDVSTLFQQMAGKDSDQVS YRTFRRFALKHPAYAKLFHSYIDLQAAYIYSLPREV >ENSMUSP00000019220.8 pep:known chromosome:GRCm38:7:16815889:16840931:1 gene:ENSMUSG00000030374.15 transcript:ENSMUST00000019220.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strn4 description:striatin, calmodulin binding protein 4 [Source:MGI Symbol;Acc:MGI:2142346] MMEERAAAAVASAASSCRPLGSGTAPNPTAAAPASSPAPGPGPVGKGGGGGGSPGPTAGP EPLSLPGILHFIQHEWARFEAEKARWEAERAELQAQVAFLQGERKGQENLKTDLVRRIKM LEYALKQERAKYHKLKFGTDLNQGEKKTDLSEQVSNGPVESVTLENSPLVWKEGRQLLRQ YLEEVGYTDTILDMRSKRVRSLLGRSLELNGAGEPVEGAPRASPGPGGLSGGESLLVKQI EEQIKRNAAGKDGKERLGGSVLEQIPFLQNCEDEDSDEDDELDSVQHKKQRVRLPSKALV PEMEDEDEEDDSEDAINEFDFLGSGEDGEGSPDPRRCTSEGNPHELESRRVKLQGILADL RDVDGLPPKVTVPPPGTPQPRPHEGSFGFSSDVFIMDTIGGGEVSLGDLADLTVTNDNDL SCDLSDSKDAFKKTWNPKFTLRSHYDGIRSLAFHHSQSALLTASEDGTLKLWNLQKAVTA KKNAALDVEPIHAFRAHRGPVLAVTMGSNSEYCYSGGADARIHSWKIPDLNMDPYDGYDP SVLSHVLEGHGDAVWGLAFSPTSQRLASCSADGTVRIWDPSSSGPSCLCTFPMDGEHGIP TSVAFTSTEPAHVVASFRSGDTVLYDLEAGSALLTLESRGSSGPAQINQVVSHPSQPLTI TAHDDRGIRFLDNRTGKSVHSMVAHLDAVTCLAVDPNGVFLMSGSHDCSLRLWSLDNKTC VQEITAHRKKHEEAIHAVACHPSKALIASAGADALAKVFV >ENSMUSP00000104135.1 pep:known chromosome:GRCm38:7:16816317:16840927:1 gene:ENSMUSG00000030374.15 transcript:ENSMUST00000108495.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strn4 description:striatin, calmodulin binding protein 4 [Source:MGI Symbol;Acc:MGI:2142346] MMEERAAAAVASAASSCRPLGSGTAPNPTAAAPASSPAPGPGPVGKGGGGGGSPGPTAGP EPLSLPGILHFIQHEWARFEAEKARWEAERAELQAQVAFLQGERKGQENLKTDLVRRIKM LEYALKQERAKYHKLKFGTDLNQGEKKTDLSEQVSNGPVESVTLENSPLVWKEGRQLLRQ YLEEVGYTDTILDMRSKRVRSLLGRSLELNGAGEPVEGAPRASPGPGGLSGGESLLVKQI EEQIKRNAAGKDGKERLGGSVLEQIPFLQNCEDEDSDEDDELDSVQHKKQRVRLPSKALV PEMEDEDEEDDSEDAINEFDFLGSGEDGEGSPDPRRCTSEGNPHELESRRVKLQGILADL RDVDGLPPKVTVPPPGTPQPRPHEDVFIMDTIGGGEVSLGDLADLTVTNDNDLSCDLSDS KDAFKKTWNPKFTLRSHYDGIRSLAFHHSQSALLTASEDGTLKLWNLQKAVTAKKNAALD VEPIHAFRAHRGPVLAVTMGSNSEYCYSGGADARIHSWKIPDLNMDPYDGYDPSVLSHVL EGHGDAVWGLAFSPTSQRLASCSADGTVRIWDPSSSGPSCLCTFPMDGEHGIPTSVAFTS TEPAHVVASFRSGDTVLYDLEAGSALLTLESRGSSGPAQINQVVSHPSQPLTITAHDDRG IRFLDNRTGKSVHSMVAHLDAVTCLAVDPNGVFLMSGSHDCSLRLWSLDNKTCVQEITAH RKKHEEAIHAVACHPSKALIASAGADALAKVFV >ENSMUSP00000139307.1 pep:known chromosome:GRCm38:7:16816378:16828814:1 gene:ENSMUSG00000030374.15 transcript:ENSMUST00000184280.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Strn4 description:striatin, calmodulin binding protein 4 [Source:MGI Symbol;Acc:MGI:2142346] XVASAASSCRPLGSGTAPNPTAAAPASSPAPGPGPVGKGGGGGGSPGPTAGPEPLSLPGI LHFIQHEWARFEAEKARWEAERAELQAQVAFLQGERKGQENLKTDLVRRIKMLEYALKQE RAKYHKLKFGTDLNQGEKKTDLSEQVSNGPVESVTLENSPLVWKEGRQLLRQPVSAGFSF LSCRSNKCSGIEVPGRGGLHRYHLGHAVQACAFPSGPFTGTQWGR >ENSMUSP00000139171.1 pep:known chromosome:GRCm38:7:16823071:16833975:1 gene:ENSMUSG00000030374.15 transcript:ENSMUST00000184708.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Strn4 description:striatin, calmodulin binding protein 4 [Source:MGI Symbol;Acc:MGI:2142346] XEQVSNGPVESVTLENSPLVWKEGRQLLRQYLEEVGYTDTILDMRSKRVRSLLGRSLELN GAGEPVEGAPRASPGPGGLSGGESLLVKQIEEQIKRNAAGKDGKERLGGSVLEQIPFLQN CEDEDSDEDDELDSVQHKKQRVRLPSKALVPEMEDEDEEDDSEDAINEFDFLGSGEDGEG SPDPRRCTSEGNPHELESRRVKLQGILADLRDVDGLPPKVTVPPPGTPQPRPHEAVILDP VFLPLFLAPHPFRLSLPLSLSLALSAPPTPPPYQVPLASPQTSSSWTLSGAGR >ENSMUSP00000139290.1 pep:known chromosome:GRCm38:7:16831099:16837982:1 gene:ENSMUSG00000030374.15 transcript:ENSMUST00000185011.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Strn4 description:striatin, calmodulin binding protein 4 [Source:MGI Symbol;Acc:MGI:2142346] VPPPGTPQPRPHEAVILDPVFLPLFLAPHPFRLSLPLSLSLALSAPPTPPPYQVPLASPQ TSSSWTLSGAGR >ENSMUSP00000139113.1 pep:known chromosome:GRCm38:7:16837635:16840236:1 gene:ENSMUSG00000030374.15 transcript:ENSMUST00000184694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strn4 description:striatin, calmodulin binding protein 4 [Source:MGI Symbol;Acc:MGI:2142346] XQRLASCSADGTVRIWDPSSSGPSCLCTFPMDGEHGIPTSVAFTSTEPAHVVASFRSGDT VLYDLEAGSALLTLESRGSSGPAQINQVVSHPSQPLTITAHDDRGIRFLDNRTGKSVHSM VAHLDAVTCLAVDPNGVFLMSGSHDCSLRLWSLDNKTCVQEITAHRKKHEEAIHAVACHP SKALIASAGADALAKVFV >ENSMUSP00000108090.2 pep:known chromosome:GRCm38:X:159414572:159498757:1 gene:ENSMUSG00000041020.14 transcript:ENSMUST00000112471.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7d2 description:MAP7 domain containing 2 [Source:MGI Symbol;Acc:MGI:1917474] MERSGGNGGGGGGGGGGGGGYGGSGGGGGGAGVPSEGAAKGLSLLLAKSAEAASGRASQS TPRSAGMDGFLKSDERQRLAKERREEREKCLAAREQQILEKQKRAKLQYEKQIEERWRKL EEQRQREDQKRAAVEEKRKQKLREEEERLEAMMRRSLERTQQLELKKKCSWAGSPGPGGR DGESENTPPLPLTLATSTPPLDTGTTTAAAESTNACDKLSTSTMNLPKQTESPMSKHLSS STVAISYSPDRALGSPLKSSYKSSPTRTTEKKKNTPISAMGDAGKGAMAGGEPSQMEKMK KGRVATSAASGGHGSPLRRCEPPEDISKRLSSPVKSKITSKTYPQSPKTAKPTYLGSPVK YYFPPIANEETPKKKAEKEKRNKEKEGAPGQQSTVLPREESLEKRMADKYATEKYVADKH ATEKHSAPGGKAEHSAGKPTAGTTDAGEAAKILAEKRRQARLQKEQEEQERLEKEERERL EKEELKRKAEEERLRIEMAYKREQEKKRQEEEEKRKAEEKAKEKAEEELLSKEKQEKEKQ EQEKKEKAMIEKQKEAAEAKAQDAAKQMRLEREQIMLQIEQERLERKKRIDEIMKRTRKS DASLEVKKEDPKVELQPPPDVENKANKAKPVVPNKIEINVLNTCQKVSGSERAAPETFPQ DIFSTGLKPVGGPVHLDVLDGKSNSLDDSTEDVQSMDVSPVSKEELISIPEFSPVSEMIP GMSLDQNGTGNARALQDILDFTGPPAFPKKSSENLSLDDCNKNLIEGFNSPGQETTLNTF C >ENSMUSP00000122106.1 pep:known chromosome:GRCm38:X:159446008:159490778:1 gene:ENSMUSG00000041020.14 transcript:ENSMUST00000145142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7d2 description:MAP7 domain containing 2 [Source:MGI Symbol;Acc:MGI:1917474] MMRRSLERTQQLELKKKCSWAGSPGPGGRDACDKLSTSTMNLPKQTESPMSKHLSSSTVA ISYSPDRALGSPLKSSYKSSPTRTTEKKKNTPISAMGDAGKGAMAGGEPSQMEKMKKGRV ATSAASGGHGSPLRRCEPPEDISKRLSSPVKSKITSKTYPQSPKTAKPTYLGSPVKYYFP PIANEETPKKKAEKEKRNKEKEGAPGQQSTVLPREESLEKRMADKYATEKYVADKHATEK HSAPGGKAEHSAGKPTAGTTDAGEAAKILAEKRRQARLQKEQEEQERLEKEERERLEKEE LKRKAEEERLRIEMAYKREQEKKRQEEEEKRKAEEKAKEKAEEELLSKEKQEKEKQEQEK KEKAMIEKQKEAAEAKAQDAAKQMRLEREQIMLQIEQERLER >ENSMUSP00000046693.5 pep:known chromosome:GRCm38:X:159459125:159498583:1 gene:ENSMUSG00000041020.14 transcript:ENSMUST00000043151.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7d2 description:MAP7 domain containing 2 [Source:MGI Symbol;Acc:MGI:1917474] MMRRSLERTQQLELKKKCSWAGSPGPGGRDACDKLSTSTMNLPKQTESPMSKHLSSSTVA ISYSPDRALGSPLKSSYKSSPTRTTEKKKNTPISAMGDAGKGAMAGGEPSQMEKMKKGRV ATSAASGGHGSPLRRCEPPEDISKRLSSPVKSKITSKTYPQSPKTAKPTYLGSPVKYYFP PIANEETPKKKAEKEKRNKEKEGAPGQQSTVLPREESLEKRMADKYATEKYVADKHATEK HSAPGGKAEHSAGKPTAGTTDAGEAAKILAEKRRQARLQKEQEEQERLEKEERERLEKEE LKRKAEEERLRIEMAYKREQEKKRQEEEEKRKAEEKAKEKAEEELLSKEKQEKEKQEQEK KEKAMIEKQKEAAEAKAQDAAKQMRLEREQIMLQIEQERLERKKRIDEIMKRTRKSDASL EVKKEDPKVELQPPPDVENKANKAKPVVPNKIEINVLNTCQKVSGSERAAPETFPQDIFS TGLKPVGGPVHLDVLDGKSNSLDDSTEDVQSMDVSPVSKEELISIPEFSPVSEMIPGMSL DQNGTGNARALQDILDFTGPPAFPKKSSENLSLDDCNKNLIEGFNSPGQETTLNTFC >ENSMUSP00000119132.1 pep:known chromosome:GRCm38:X:159459137:159470093:1 gene:ENSMUSG00000041020.14 transcript:ENSMUST00000156172.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7d2 description:MAP7 domain containing 2 [Source:MGI Symbol;Acc:MGI:1917474] MMRRSLERTQQLELKKKCSWAGSPGPGGRDALGSPLKSSYKSSPTRTTEKKKNTPISAMG DAGKGAMAGGEPSQ >ENSMUSP00000108089.1 pep:known chromosome:GRCm38:X:159459125:159486623:1 gene:ENSMUSG00000041020.14 transcript:ENSMUST00000112470.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7d2 description:MAP7 domain containing 2 [Source:MGI Symbol;Acc:MGI:1917474] MMRRSLERTQQLELKKKCSWAGSPGPGGRDACDKLSTSTMNLPKQTESPMSKHLSSSTVA ISYSPDRALGSPLKSSYKSSPTRTTEKKKNTPISAMGDAGKGAMAGGEPSQMEKMKKGRV ATSAASGGHGSPLRRCEPPEDISKRLSSPVKSKITSKTYPQSPKTAKPTYLGSPVKYYFP PIANEETPKKKAEKEKRNKEKEGAPGQQSTVLPREESLEKRMADKYATEKYVADKHATEK HSAPGGKAEHSAGKPTAGTTDAGEAAKILAEKRRQARLQKEQEEQERLEKEERERM >ENSMUSP00000102647.1 pep:known chromosome:GRCm38:7:100869752:100932107:-1 gene:ENSMUSG00000032875.8 transcript:ENSMUST00000107032.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef17 description:Rho guanine nucleotide exchange factor (GEF) 17 [Source:MGI Symbol;Acc:MGI:2673002] MADGSPRPPLYRSVSFKLLERWSGGPGPREEDADTPGLRRRASCRPAAAVPGQPSRRVSK LASGPPAAPAQPRPLRSLSPSVRQLSRRFDAAGLDDDSTGTRDGGCSSGTTEEAAEGSER GAWPSVTEMRKLFGGPSSRRPSMDSEALGSTSPDRVSWEPPTRDPRQPPTPPPRTCFPLA GLRSARPLSGPGIEGRRRRQHQQQERAQRPADGLHSWHSFSQPQAGARASSSSSIASSYP VSRSRAASSSEEEEEGPQSQLGPQSPAYLGGHSSGSDEDPNGEDGRRWRGRGLRPGRSQL VHGCSQDSDELNPGGLGSAGGVGSPEPPTSPRTSMDEWGTGTQPCLPGPQESLRPMSDTG GAPFRVAKVSFPAYLASPAGSRGSSRYSSTETLKDDDLWSSRSSVGWGVYRSPSFGTGDG LLLRPQTRSRSKGPVGTARPLRDGGLDLDKNRQRKSLSNPDIASETLTLLSFLRSDLSEL RVRKPSGGPGNRPLDGRDSPSAGSPMEQSESTLSQSPTSPTTRPTLKDLTATLRRAKSFS CSEKPMARRLLRTSALKPSSSELLLAGSGAEEDPLPLVVQDQYVQEARQVFEKIQRMGAQ QDDGNDVCPTSPDWAGDMTQGHRSQEELSGPESNLTDEGIGADPEPLGAAFCSLDPAGVW RPLSSTSAQTNHHLGAGTEDSLGGRALVSPETPPTPGALRRRRKVPPSGPNGTELSNGEA SEAYRSLSDPIPQRHRAATSEEPSGFSVDSNLLGSLNSKTGLPVTPAMDEGLTSGHSDWS VVSEENKDYQEVIQSIVQGPGALGRMGEDRIAGKTPKKKSLSDPSRRGELTGPGFEGPGG EPIREVEPMLPPSSSEPILAEQWTEPEDPAPARGRAQSERSLPAPPASSTAHHDFHLDPK LTSVLSPRLTRRGSKKRPARSSHQELRREEGNQDQTGSLTQTRSSSKHVRHASVPATFTP IVVPEPAMSVGPPVAAPEPVGFPVRGHPALQAPSLEDVTKRYMLTLHSGDVPAPGPVDLP CLPPSAPPSTETKPSGAARATPDEPAPASKCCSKPQVDMRKHVTMTLLDTEQSYVESLRT LMQGYMQPLKQPENSLLCDPSLVDEIFDQIPELLEHHEQFLEQVRHCVQTWHAQQKVGAL LVQSFSKDVLVNIYSAYIDNFLNAKDAVRVAKEARPAFLKFLEQSMRENKEKQALSDLMI KPVQRIPRYELLVKDLLKHTPEDHPDHPLLLDAQRNIKQVAERINKGVRSAEEAERHARV LQEIEAHIEGMEDLQAPLRRFLRQEMVIEVKAIGGKKDRSLFLFTDLIVCTTLKRKSGSL RRSSMSLYTAASVIDTASKYKMLWKLPLEDTDIIKGASQATNRETIQKAISRLDEDLATL GQMSKLSESLGFPHQSLDDALRDLSAAMHRDLSEKQALCCSLAFPPTKLELCATRPEGTD SYIFEFPHPDARLGFEQAFDEAKRKLASSKSCLDPEFLKAIPIMKTRSGMQFSCAAPTFS SCPEPAPEVWVCNSDGYVGQVCLLSLRAEPDVEACIAVCSARILCIGAVPGLQPRCPREQ PEPLRNPPETTLESTGPELDVEATAEEEAATTLAEPGPQPCLHISISGSGLEMEPGPAKG DPQPELVPFDSDSDDESSPSPSGTLQSQASQSTISSSFGNEETPSSKEATAETTSSEEEQ EPGFLSLSGSFGPGGPCGTSPMDGRALRRSSRGSFTRGSLEDLLSVDPEAYQSSVWLGTE DGCVHVYQSSDSIRDRRNSMKLQHAASVTCILYLNNKVFVSLANGELVVYQREAGRFWDP QNFKSMTLGSQGSPITKMVSVGGRLWCGCQNRVLVLSPDTLQLEHTFYVGQDSSRSVACM VDSSLGVWVTLKGSAHVCLYHPDTFEQLAEVDVTPPVHRMLAGSDAIIRQHKAACLRITA LLVCAELLWVGTSAGVVLTIPTSPSTVSCPRAPLSPAGLCQGHTGHVRFLAAVQLPEGFN LLCSTPPPPPDTGPEKLPSLDHRDSPRRRGPTSARPKMLVISGGDGSEDFRLSSGGGGSS ETVGRDDSTNHLLLWRV >ENSMUSP00000146564.1 pep:known chromosome:GRCm38:7:100872135:100894690:-1 gene:ENSMUSG00000032875.8 transcript:ENSMUST00000209041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef17 description:Rho guanine nucleotide exchange factor (GEF) 17 [Source:MGI Symbol;Acc:MGI:2673002] MLQMVKTLAQFTIALEDMRDLGSAAASGESAGGGDGGSDTAEEPGEAQDMRKHVTMTLLD TEQSYVESLRTLMQGYMQPLKQPENSLLCDPSLVDEIFDQIPELLEHHEQFLEQVRHCVQ TWHAQQKVGALLVQSFSKDVLVNIYSAYIDNFLNAKDAVRVAKEARPAFLKFLEQSMREN KEKQALSDLMIKPVQRIPRYELLVKDLLKHTPEDHPDHPLLLDAQRNIKQVAERINKGVR SAEEAERHARVLQEIEAHIEGMEDLQAPLRRFLRQEMVIEVKAIGGKKDRSLFLFTDLIV CTTLKRKSGSLRRSSMSLYTAASVIDTASKYKMLWKLPLEDTDIIKGASQATNRETIQKA ISRLDEDLATLGQMSKLSESLGFPHQSLDDALRDLSAAMHRDLSEKQALCCSLAFPPTKL ELCATRPEGTDSYIFEFPHPDARLGFEQAFDEAKRKLASSKSCLDPEFLKAIPIMKTRSG MQFSCAAPTFSSCPEPAPEVWVCNSDGYVGQVCLLSLRAEPDVEACIAVCSARILCIGAV PGLQPRCPREQPEPLRNPPETTLESTGPELDVEATAEEEAATTLAEPGPQPCLHISISGS GLEMEPGPAKGDPQPELVPFDSDSDDESSPSPSGTLQSQASQSTISSSFGNEETPSSKEA TAETTSSEEEQEPGFLSLSGSFGPGGPCGTSPMDGRALRRSSRGSFTRGSLEDLLSVDPE AYQSSVWLGTEDGCVHVYQSSDSIRDRRNSMKLQHAASVTCILYLNNKVFVSLANGELVV YQREAGRFWDPQNFKSMTLGSQGSPITKMVSVGGRLWCGCQNRVLVLSPDTLQLEHTFYV GQDSSRSVACMVDSSLGVWVTLKGSAHVCLYHPDTFEQLAEVDVTPPVHRMLAGSDAIIR QHKAACLRITALLVCAELLWVGTSAGVVLTIPTSPSTVSCPRAPLSPAGLCQGHTGHVRF LAAVQLPEGFNLLCSTPPPPPDTGPEKLPSLDHRDSPRRRGPTSARPKMLVISGGDGSED FRLSSGGGGSSETVGRDDSTNHLLLWRV >ENSMUSP00000146907.1 pep:known chromosome:GRCm38:7:100876818:100879708:-1 gene:ENSMUSG00000032875.8 transcript:ENSMUST00000208482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef17 description:Rho guanine nucleotide exchange factor (GEF) 17 [Source:MGI Symbol;Acc:MGI:2673002] XTGPELDVEATAEEEAATTLAEPGPQPCLHISISGSGLEMEPGPAKGDPQPELVPFDSDS DDESSPSPSGTLQSQASQSTISSSFGSGPCGTSPMDGRALRRSSRGSFTRGSLEDLLSVD PEAYQSSVWLGTEDGCVHVYQSSDSIRDRRNSMKLQHAASVTCILYLNNKVFVSLANGEL VVYQREAGRFWDPQNFKSMTLGSQGSPITKMVSVGGRLWCGCQNRVLVLSPDTLQLEHTF YVGQDSSRSVACMVDSSLGVWVTLKGSAHVCLYHPDTF >ENSMUSP00000052894.7 pep:known chromosome:GRCm38:17:17876471:17883940:-1 gene:ENSMUSG00000045551.7 transcript:ENSMUST00000061516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpr1 description:formyl peptide receptor 1 [Source:MGI Symbol;Acc:MGI:107443] MDTNMSLLMNKSAVNLMNVSGSTQSVSAGYIVLDVFSYLIFAVTFVLGVLGNGLVIWVAG FRMKHTVTTISYLNLAIADFCFTSTLPFYIASMVMGGHWPFGWFMCKFIYTVIDINLFGS VFLIALIALDRCICVLHPVWAQNHRTVSLAKKVIIVPWICAFLLTLPVIIRLTTVPNSRL GPGKTACTFDFSPWTKDPVEKRKVAVTMLTVRGIIRFIIGFSTPMSIVAICYGLITTKIH RQGLIKSSRPLRVLSFVVAAFFLCWCPFQVVALISTIQVRERLKNMTPGIVTALKITSPL AFFNSCLNPMLYVFMGQDFRERLIHSLPASLERALTEDSAQTSDTGTNLGTNSTSLSENT LNAM >ENSMUSP00000143659.1 pep:known chromosome:GRCm38:3:55242364:55539068:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000196745.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MSFGRDMELEHFDERDKAQRYSRGSRVNGLPSPTHSAHCSFYRTRTLQTLSSEKKAKKVR FYRNGDRYFKGIVYAISPDRFRSFEALLADLTRTLSDNVNLPQGVRTIYTIDGLKKISSL DQLVEGESYVCGSIEPFKKLEYTKNVNPNWSVNVKTTSASRAVSSLATAKGGPSEVRENK DFIRPKLVTIIRSGVKPRKAVRILLNKKTAHSFEQVLTDITDAIKLDSGVVKRLYTLDGK QVMCLQDFFGDDDIFIACGPEKFRYQDDFLLDESECRVVKSTSYTKIASASRRGTTKSPG PSRRSKSPASTSSVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRISQHGGSSTSLSSTK VCSSMDENDGPGEEESEEGFQIPATITERYKVGRTIGDGNFAVVKECIERSTAREYALKI IKKSKCRGKEHMIQNEVSILRRVKHPNIVLLIEEMDVPTELYLVMELVKGGDLFDAITST SKYTERDASGMLYNLASAIKYLHSLNIVHRDIKPENLLVYEHQDGSKSLKLGDFGLATIV DGPLYTVCGTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGFPPFRGGDDQEVLFDQI LMGQVDFPSPYWDNVSDSAKELINMMLLVNVDQRFSAVQVLEHPWVNDDGLPENEHQLSV AGKIKKHFNTGPKPSSTAAGVSVIATTALDKERQVFRRRRNQDVRSRYKAQPAPPELNSE SEDYSPSSSETVRSPNSPF >ENSMUSP00000129334.1 pep:known chromosome:GRCm38:3:55242526:55471316:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000167204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MSFGRDMELEHFDERDKAQRYSRGSRVNGLPSPTHSAHCSFYRTRTLQTLSSEKKAKKVR FYRNGDRYFKGIVYAISPDRFRSFEALLADLTRTLSDNVNLPQGVRTIYTIDGLKKISSL DQLVEGESYVCGSIEPFKKLEYTKNVNPNWSVNVKTTSASRAVSSLATAKGGPSEVRENK DFIRPKLVTIIRSGVKPRKAVRILLNKKTAHSFEQVLTDITDAIKLDSGVVKRLYTLDGK QVMCLQDFFGDDDIFIACGPEKFRYQDDFLLDESECRVVKSTSYTKIASASRRGTTKSPG PSRRSKSPASTSSVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRDLYRPLSSDDLDSVG DSV >ENSMUSP00000143672.1 pep:known chromosome:GRCm38:3:55247071:55247483:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000200348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MSFGRDMELEHFDERDKAQRYSRGSRVNGLPSPTHSAHCSFYRTRTLQTLSSEKKAKKVR FYRNGDRYFKGIVYAISPDRFRSFEALLADLTRTLSDNVNLPQGVRTIYTI >ENSMUSP00000142698.1 pep:known chromosome:GRCm38:3:55461728:55471318:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000199585.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MLELIEVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRDLYRPLSSDDLDSVGDSV >ENSMUSP00000142637.1 pep:known chromosome:GRCm38:3:55461899:55536552:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000198412.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MLELIEVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRISQHGGSSTSLSSTKVCSSMDE NDGPGEEESEEGFQIPATITERYKVGRTIGDGNFAVVKECIERSTAREYALKIIKKSKCR GKEHMIQNEVSILRRVKHPNIVLLIEEMDVPTELYLVMELVKGGDLFDAITSTSKYTERD ASGMLYNLASAIKYLHSLNIVHRDIKPENLLVYEHQDGSKSLKLGDFGLATIVDGPLYTV CGTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGFPPFRGGDDQEVLFDQILMGQVDF PSPYWDNVSDSAKELINMMLLVNVDQRFSAVQVLEHPWVNDDGLPENEHQLSVAGKIKKH FNTGPKPSSTAAGVSVIATTALDKERQVFRRRRNQDVRSRYKAQPAPPELNSESEDYSPS SSETVRSPNSPF >ENSMUSP00000143563.1 pep:known chromosome:GRCm38:3:55461916:55517200:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000199169.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MLELIEVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRISQHGGSSTSLSSTKVCSSMDE NDGPGEEESEEGFQIPATITERYKVGRTIGDGNFAVVKECIERSTAREYALKIIKKSKCR GKEHMIQNEVSILRRVKHPNIVLLIEEMDVPTELYLVMELVKGGDLFDAITSTSKYTERD ASGMLYNLASAIKYLHSLNIVHRDIKPENLLVYEHQDGSKSLKLGDFGLATIVDGPLYTV CGTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGFPPFRGSGDDQEVLFDQILMGQVD FPSPYWDNVSDSAKELINMMLLVNVDQRFSAVQVLEHPWVNDDGLPENEHQLSVAGKIKK HFNTGPKPSSTAAGVSVIAVSI >ENSMUSP00000143507.1 pep:known chromosome:GRCm38:3:55461916:55535135:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000199702.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MLELIEVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRISQHGGSSTSLSSTKVCSSMDE NDGPGEESEEGFQIPATITERYKVGRTIGDGNFAVVKECIERSTAREYALKIIKKSKCRG KEHMIQNEVSILRRVKHPNIVLLIEEMDVPTELYLVMELVKGGDLFDAITSTSKYTERDA SGMLYNLASAIKYLHSLNIVHRDIKPENLLVYEHQDGSKSLKLGDFGLATIVDGPLYTVC GTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGFPPFRGSGDDQEVLFDQILMGQVDF PSPYWDNVSDSAKELINMMLLVNVDQRFSAVQVLEHPWVNDDGLPENEHQLSVAGKIKKH FNTGPKPSSTAAGVSVIALDHGFTIKRSGSLDYYQQPGMYWIRPPLLIRRGRFSDEDATR M >ENSMUSP00000143016.1 pep:known chromosome:GRCm38:3:55461916:55536551:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000198437.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MLELIEVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRISQHGGSSTSLSSTKVCSSMDE NDGPGEGDELGRRHSLQRGWRREESEEGFQIPATITERYKVGRTIGDGNFAVVKECIERS TAREYALKIIKKSKCRGKEHMIQNEVSILRRVKHPNIVLLIEEMDVPTELYLVMELVKGG DLFDAITSTSKYTERDASGMLYNLASAIKYLHSLNIVHRDIKPENLLVYEHQDGSKSLKL GDFGLATIVDGPLYTVCGTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGFPPFRGSG DDQEVLFDQILMGQVDFPSPYWDNVSDSAKELINMMLLVNVDQRFSAVQVLEHPWVNDDG LPENEHQLSVAGKIKKHFNTGPKPSSTAAGVSVIATTALDKERQVFRRRRNQDVRSRYKA QPAPPELNSESEDYSPSSSETVRSPNSPF >ENSMUSP00000142840.1 pep:known chromosome:GRCm38:3:55461972:55522282:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000200352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MLELIEVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRISQHGGSSTSLSSTKVCSSMDE NDGPGEEESEEGFQIPATITERYKVGRTIGDGNFAVVKECIERSTAREYALKIIKKSKCR GKEHMIQNEVSILRRVKHPNIVLLIEEMDVPTELYLVMELVKGGDLFDAITSTSKYTERD ASGMLYNLASAIKYLHSLNIVHRDIKPENLLVYEHQDGSKSLKLGDFGLATIVDGPLYTV CGTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGFPPFRGSGDDQEVLFDQILMGQVD FPSPYWDNVSDSAKELINMMLLVNVDQRFSAVQVLEHPWVNDDGLPENEHQLSVAGKIKK HFNTGPKPSSTAAGVSVIALDHGFTIKRSGSLDYYQQPGMYWIRYEMVQLNSQCFHGNVL AVSIYQFLQWINMFLICYPVHMLLYRFHFNLD >ENSMUSP00000050034.7 pep:known chromosome:GRCm38:3:55242526:55539064:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000054237.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MSFGRDMELEHFDERDKAQRYSRGSRVNGLPSPTHSAHCSFYRTRTLQTLSSEKKAKKVR FYRNGDRYFKGIVYAISPDRFRSFEALLADLTRTLSDNVNLPQGVRTIYTIDGLKKISSL DQLVEGESYVCGSIEPFKKLEYTKNVNPNWSVNVKTTSASRAVSSLATAKGGPSEVRENK DFIRPKLVTIIRSGVKPRKAVRILLNKKTAHSFEQVLTDITDAIKLDSGVVKRLYTLDGK QVMCLQDFFGDDDIFIACGPEKFRYQDDFLLDESECRVVKSTSYTKIASASRRGTTKSPG PSRRSKSPASTSSVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRISQHGGSSTSLSSTK VCSSMDENDGPGEGDELGRRHSLQRGWRREESEEGFQIPATITERYKVGRTIGDGNFAVV KECIERSTAREYALKIIKKSKCRGKEHMIQNEVSILRRVKHPNIVLLIEEMDVPTELYLV MELVKGGDLFDAITSTSKYTERDASGMLYNLASAIKYLHSLNIVHRDIKPENLLVYEHQD GSKSLKLGDFGLATIVDGPLYTVCGTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGF PPFRGSGDDQEVLFDQILMGQVDFPSPYWDNVSDSAKELINMMLLVNVDQRFSAVQVLEH PWVNDDGLPENEHQLSVAGKIKKHFNTGPKPSSTAAGVSVIATTALDKERQVFRRRRNQD VRSRYKAQPAPPELNSESEDYSPSSSETVRSPNSPF >ENSMUSP00000070292.4 pep:known chromosome:GRCm38:3:55461758:55539064:1 gene:ENSMUSG00000027797.15 transcript:ENSMUST00000070418.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk1 description:doublecortin-like kinase 1 [Source:MGI Symbol;Acc:MGI:1330861] MLELIEVNGTPGSQLSTPRSGKSPSPSPTSPGSLRKQRISQHGGSSTSLSSTKVCSSMDE NDGPGEEESEEGFQIPATITERYKVGRTIGDGNFAVVKECIERSTAREYALKIIKKSKCR GKEHMIQNEVSILRRVKHPNIVLLIEEMDVPTELYLVMELVKGGDLFDAITSTSKYTERD ASGMLYNLASAIKYLHSLNIVHRDIKPENLLVYEHQDGSKSLKLGDFGLATIVDGPLYTV CGTPTYVAPEIIAETGYGLKVDIWAAGVITYILLCGFPPFRGSGDDQEVLFDQILMGQVD FPSPYWDNVSDSAKELINMMLLVNVDQRFSAVQVLEHPWVNDDGLPENEHQLSVAGKIKK HFNTGPKPSSTAAGVSVIALDHGFTIKRSGSLDYYQQPGMYWIRPPLLIRRGRFSDEDAT RM >ENSMUSP00000078119.1 pep:known chromosome:GRCm38:7:103881306:103882241:-1 gene:ENSMUSG00000058200.1 transcript:ENSMUST00000079117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr66 description:olfactory receptor 66 [Source:MGI Symbol;Acc:MGI:1341906] MWPNSSDAPFLLTGFLGLEMIHHWISIPFFVIYFSIILGNGTLLFIIWSDHSLHEPMYYF LAVLASMDLGMTLTTMPTVLGVLVLNQREIAQGACFIQSYFIHSLAIVESGVLLAMSYDR FVAICTPLHYNSILTNSRVMKMALGALLRGFVSIVPPIMPLFWFPYCHSHVLSHAFCLHQ DVMKLACADITFNLIYPVVLVALTFFLDALIIIFSYVLILKTVMGIASGEERKKSLNTCV SHISCVLVFYITVIGLTFIHRFGKHAPHVVHITMSYVYFLFPPFMNPIIYSIKTKQIQRS VLRLLSKHSRT >ENSMUSP00000137811.1 pep:known chromosome:GRCm38:8:120230536:120553634:1 gene:ENSMUSG00000031822.18 transcript:ENSMUST00000180448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gse1 description:genetic suppressor element 1 [Source:MGI Symbol;Acc:MGI:1098275] MSHEPKSPSIGMLSTA >ENSMUSP00000034279.8 pep:known chromosome:GRCm38:8:120488447:120581390:1 gene:ENSMUSG00000031822.18 transcript:ENSMUST00000034279.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gse1 description:genetic suppressor element 1 [Source:MGI Symbol;Acc:MGI:1098275] MFGLKPPLYYLPGMSHEPKSPSIGMLSTATRTTATVNPLTPSPLNGALVPTGSPATSSTL SAQAAPSSSFAAALRKLAKQAEEPRGSSLSSESSPVSSPATNHSSPASTPKRVPMGPIIV PPGGHSVPSTPPVVTIAPTKTVNGVWRSESRQDSGSRGSSSGRERLLVEPPLAQEKAAGP AIPSHLLSTPYPFGLSPGSVVQDSRFQPLNLQRPVHHVVPPSTVTEDYLRSFRPYHTAED LRMSSLPPLGLDPATAAAYYHPSYLAPHPFPHPAFRMDDSYCLSALRSPFYPIPTPGSLP PLHPSAMHLHLSGVRYPPELSHSSLAALHSERMSSLSAERLQMDEELRRERERERERERE ADREREKEREREQREKEREKELEREREKERERELERQREQRAREKELLAAKALEPTTFLP VAELHGLRGHSTEERPKPSEQLTPTRAEKLKDVGLQAPKPVQHPLHPVPAPHHTVPSLIS SHGIFSLPGSSATTALLIQRTNEEEKWLARQRRLRQEKEDRQSQVSEFRQQVLEQHLDLG RPLVPTEAEHRPESTRPGTNRHEQGSREPPQHFGGPPPLISPKPQQHTVPTALWNPVSLM DNALETRRAESHSLHSHPTAFEPSRQAAVPLVKVERVYCSEKAEEPRKREATPLDKYQPP PPPPPPREAGSLEPQTFPHGPGPFLTELEKSTQTILGQQRPSLSQATSFGELSGPLKPGS PYCHPTARGPDPAYIYDEFLQQRRKLVSKLDLEERRRREAQEKGYYYDLDDSYDESDEEE VRAHLRCVAEQPPLKLDTSSEKLEFLQLFGLTTQQQKEELVAQKRRKRRRMLRERSPSPP AVQCKRQTPSPRLALSTRYSPDEMNNSPNFEEKRKFLTFFNLTHISAEKRKDKERLVEML RAMKQRALSAADSVTNSSRDSPPVSLSEPATQPAPLETDQPVGVPASLSDVPKTTETGRL EQLRPQELLRVQEPAPPSGEKARLSEAPGGKKSLSMLHYLRGAAPKDIPVPLSHSINGKS KPWEPFVAEEFAHQFHESVLQSTQKALQKHKGNSALLSAEQSHKVDTAIHYNIPELQSSS RVPLPQHNGQQEPPMGRKGPPMQEADQDSEEDSEEDSEEEAEEAPRRQWQGIEAIFEAYQ EHIEEQNLERQVLQTQCRRLEAQNYSLSLTAEQLSHSMAELRSQKQKMVSERERLQAELD HLRKCLALPTMHWPRGYFKGYPR >ENSMUSP00000113577.1 pep:known chromosome:GRCm38:8:120535857:120579480:1 gene:ENSMUSG00000031822.18 transcript:ENSMUST00000120493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gse1 description:genetic suppressor element 1 [Source:MGI Symbol;Acc:MGI:1098275] MSHEPKSPSIGMLSTATRTTATVNPLTPSPLNGALVPTGSPATSSTLSAQAAPSSSFAAA LRKLAKQAEEPRGSSLSSESSPVSSPATNHSSPASTPKRVPMGPIIVPPGGHSVPSTPPV VTIAPTKTVNGVWRSESRQDSGSRGSSSGRERLLVEPPLAQEKAAGPAIPSHLLSTPYPF GLSPGSVVQDSRFQPLNLQRPVHHVVPPSTVTEDYLRSFRPYHTAEDLRMSSLPPLGLDP ATAAAYYHPSYLAPHPFPHPAFRMDDSYCLSALRSPFYPIPTPGSLPPLHPSAMHLHLSG VRYPPELSHSSLAALHSERMSSLSAERLQMDEELRREREREREREREADREREKEREREQ REKEREKELEREREKERERELERQREQRAREKELLAAKALEPTTFLPVAELHGLRGHSTE ERPKPSEQLTPTRAEKLKDVGLQAPKPVQHPLHPVPAPHHTVPSLISSHGIFSLPGSSAT TALLIQRTNEEEKWLARQRRLRQEKEDRQSQVSEFRQQVLEQHLDLGRPLVPTEAEHRPE STRPGTNRHEQGSREPPQHFGGPPPLISPKPQQHTVPTALWNPVSLMDNALETRRAESHS LHSHPTAFEPSRQAAVPLVKVERVYCSEKAEEPRKREATPLDKYQPPPPPPPPREAGSLE PQTFPHGPGPFLTELEKSTQTILGQQRPSLSQATSFGELSGPLKPGSPYCHPTARGPDPA YIYDEFLQQRRKLVSKLDLEERRRREAQEKGYYYDLDDSYDESDEEEVRAHLRCVAEQPP LKLDTSSEKLEFLQLFGLTTQQQKEELVAQKRRKRRRMLRERSPSPPAVQCKRQTPSPRL ALSTRYSPDEMNNSPNFEEKRKFLTFFNLTHISAEKRKDKERLVEMLRAMKQRALSAADS VTNSSRDSPPVSLSEPATQPAPLETDQPVGVPASLSDVPKTTETGRLEQLRPQELLRVQE PAPPSGEKARLSEAPGGKKSLSMLHYLRGAAPKDIPVPLSHSINGKSKPWEPFVAEEFAH QFHESVLQSTQKALQKHKGNSALLSAEQSHKVDTAIHYNIPELQSSSRVPLPQHNGQQEP PMGRKGPPMQEADQDSEEDSEEDSEEEAEEAPRRQWQGIEAIFEAYQEHIEEQNLERQVL QTQCRRLEAQNYSLSLTAEQLSHSMAELRSQKQKMVSERERLQAELDHLRKCLALPTMHW PRGYFKGYPR >ENSMUSP00000112981.1 pep:known chromosome:GRCm38:8:120537429:120579326:1 gene:ENSMUSG00000031822.18 transcript:ENSMUST00000118136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gse1 description:genetic suppressor element 1 [Source:MGI Symbol;Acc:MGI:1098275] MKGMSHEPKSPSIGMLSTATRTTATVNPLTPSPLNGALVPTGSPATSSTLSAQAAPSSSF AAALRKLAKQAEEPRGSSLSSESSPVSSPATNHSSPASTPKRVPMGPIIVPPGGHSVPST PPVVTIAPTKTVNGVWRSESRQDSGSRGSSSGRERLLVEPPLAQEKAAGPAIPSHLLSTP YPFGLSPGSVVQDSRFQPLNLQRPVHHVVPPSTVTEDYLRSFRPYHTAEDLRMSSLPPLG LDPATAAAYYHPSYLAPHPFPHPAFRMDDSYCLSALRSPFYPIPTPGSLPPLHPSAMHLH LSGVRYPPELSHSSLAALHSERMSSLSAERLQMDEELRREREREREREREADREREKERE REQREKEREKELEREREKERERELERQREQRAREKELLAAKALEPTTFLPVAELHGLRGH STEERPKPSEQLTPTRAEKLKDVGLQAPKPVQHPLHPVPAPHHTVPSLISSHGIFSLPGS SATTALLIQRTNEEEKWLARQRRLRQEKEDRQSQVSEFRQQVLEQHLDLGRPLVPTEAEH RPESTRPGTNRHEQGSREPPQHFGGPPPLISPKPQQHTVPTALWNPVSLMDNALETRRAE SHSLHSHPTAFEPSRQAAVPLVKVERVYCSEKAEEPRKREATPLDKYQPPPPPPPPREAG SLEPQTFPHGPGPFLTELEKSTQTILGQQRPSLSQATSFGELSGPLKPGSPYCHPTARGP DPAYIYDEFLQQRRKLVSKLDLEERRRREAQEKGYYYDLDDSYDESDEEEVRAHLRCVAE QPPLKLDTSSEKLEFLQLFGLTTQQQKEELVAQKRRKRRRMLRERSPSPPAVQCKRQTPS PRLALSTRYSPDEMNNSPNFEEKRKFLTFFNLTHISAEKRKDKERLVEMLRAMKQRALSA ADSVTNSSRDSPPVSLSEPATQPAPLETDQPVGVPASLSDVPKTTETGRLEQLRPQELLR VQEPAPPSGEKARLSEAPGGKKSLSMLHYLRGAAPKDIPVPLSHSINGKSKPWEPFVAEE FAHQFHESVLQSTQKALQKHKGNSALLSAEQSHKVDTAIHYNIPELQSSSRVPLPQHNGQ QEPPMGRKGPPMQEADQDSEEDSEEDSEEEAEEAPRRQWQGIEAIFEAYQEHIEEQNLER QVLQTQCRRLEAQNYSLSLTAEQLSHSMAELRSQKQKMVSERERLQAELDHLRKCLALPT MHWPRGYFKGYPR >ENSMUSP00000000769.7 pep:known chromosome:GRCm38:11:75409769:75422701:-1 gene:ENSMUSG00000000753.15 transcript:ENSMUST00000000769.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinf1 description:serine (or cysteine) peptidase inhibitor, clade F, member 1 [Source:MGI Symbol;Acc:MGI:108080] MQALVLLLWTGALLGHGSSQNVPSSSEGSPVPDSTGEPVEEEDPFFKVPVNKLAAAVSNF GYDLYRLRSSASPTGNVLLSPLSVATALSALSLGAEHRTESVIHRALYYDLITNPDIHST YKELLASVTAPEKNLKSASRIVFERKLRVKSSFVAPLEKSYGTRPRILTGNPRVDLQEIN NWVQAQMKGKIARSTREMPSALSILLLGVAYFKGQWVTKFDSRKTTLQDFHLDEDRTVRV PMMSDPKAILRYGLDSDLNCKIAQLPLTGSMSIIFFLPLTVTQNLTMIEESLTSEFIHDI DRELKTIQAVLTVPKLKLSFEGELTKSLQDMKLQSLFESPDFSKITGKPVKLTQVEHRAA FEWNEEGAGSSPSPGLQPVRLTFPLDYHLNQPFLFVLRDTDTGALLFIGRILDPSST >ENSMUSP00000131043.1 pep:known chromosome:GRCm38:11:75410064:75417996:-1 gene:ENSMUSG00000000753.15 transcript:ENSMUST00000168902.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serpinf1 description:serine (or cysteine) peptidase inhibitor, clade F, member 1 [Source:MGI Symbol;Acc:MGI:108080] MQALVLLLWTGALLGHGSSQNVPSSSENFESNPALLPLWRSPMGPGPGSSRATLE >ENSMUSP00000133230.1 pep:known chromosome:GRCm38:11:75410221:75415730:-1 gene:ENSMUSG00000000753.15 transcript:ENSMUST00000167281.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serpinf1 description:serine (or cysteine) peptidase inhibitor, clade F, member 1 [Source:MGI Symbol;Acc:MGI:108080] XAEHRTESVIHRALYYDLITNPDIHSTYKELLASVTAPEKNLKSASRIVFERKLRVKSSF VAPLEKSYGTRPRILTGNPRVDLQEINNWVQAQMKGKIARSTREMPSALSILLLGVAYFK VGNQV >ENSMUSP00000121180.1 pep:known chromosome:GRCm38:11:75413914:75422586:-1 gene:ENSMUSG00000000753.15 transcript:ENSMUST00000138661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinf1 description:serine (or cysteine) peptidase inhibitor, clade F, member 1 [Source:MGI Symbol;Acc:MGI:108080] MQALVLLLWTGALLGHGSSQNVPSSSEGSPVPDSTGEPVEEEDPFFKVPVNKLAAAVSNF GYDLYRLRSSASPTGNVLLSPLSVATALSALSLELRVKSSFVAPLEKSYGTRPRILTGNP RVDLQEINNWVQAQMKGKIARSTREMPSALSILLLGVAYFKGQWVTKFDSRKTTLQDFHL DEDRTVRVPMMSDPKAILRYGLDSDL >ENSMUSP00000114761.1 pep:known chromosome:GRCm38:11:75414921:75422555:-1 gene:ENSMUSG00000000753.15 transcript:ENSMUST00000137103.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinf1 description:serine (or cysteine) peptidase inhibitor, clade F, member 1 [Source:MGI Symbol;Acc:MGI:108080] MQALVLLLWTGALLGHGSSQNVPSSSEGSPVPDSTGEPVEEEDPFFKVPVNKLAAAVSNF GYDLYRLRSSASPTGNVLLSPLSVATALSALSLGAEHRTESVIHRALYYDLITNPDIHST YKELLASVTAPEKNLKSASRIVFERKLRVKSSFVAPLEKSYGTRPRILTGNPRVDLQEIN NWVQAQMKGKIARSTREMPSALSILLLGVAYFK >ENSMUSP00000126807.1 pep:known chromosome:GRCm38:11:75415470:75422552:-1 gene:ENSMUSG00000000753.15 transcript:ENSMUST00000125982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinf1 description:serine (or cysteine) peptidase inhibitor, clade F, member 1 [Source:MGI Symbol;Acc:MGI:108080] MQALVLLLWTGALLGHGSSQNVPSSSEGSPVPDSTGEPVEEEDPFFKVPVNKLAAAVSNF GYDLYRLRSSASPTGNVLLSPLSVATALSALSLGAEHRTESVIHRALYYDLITNPDIHST YKELLASVTAPEKNLKSASRIVFERSQ >ENSMUSP00000131531.1 pep:known chromosome:GRCm38:11:75416316:75418297:-1 gene:ENSMUSG00000000753.15 transcript:ENSMUST00000155009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinf1 description:serine (or cysteine) peptidase inhibitor, clade F, member 1 [Source:MGI Symbol;Acc:MGI:108080] MQALVLLLWTGALLGHGSSQNVPSSSEGSPVPDSTGEPVEEEDPFFKVPVNKLAAAVSNF GYDL >ENSMUSP00000063895.4 pep:known chromosome:GRCm38:2:151029685:151039398:-1 gene:ENSMUSG00000053916.4 transcript:ENSMUST00000066640.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nanp description:N-acetylneuraminic acid phosphatase [Source:MGI Symbol;Acc:MGI:1914561] MGLSRVRAVFFDLDNTLIDTAGASRRGMLEVIKLLQSKYHYKEEAEIICDKVQVKLSKEC FHPYSTCITDVRTSHWEEAIQETKGGADNRKLAEECYFLWKSTRLQHMILADDVKAMLTE LRKEVRLLLLTNGDRQTQREKIEACACQSYFDAIVIGGEQKEEKPAPSIFYHCCDLLGVQ PGDCVMVGDTLETDIQGGLNAGLKATVWINKSGRVPLTSSPMPHYMVSSVLELPALLQSI DCKVSMSV >ENSMUSP00000079041.6 pep:known chromosome:GRCm38:13:70727802:70841811:-1 gene:ENSMUSG00000049538.14 transcript:ENSMUST00000080145.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts16 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 16 [Source:MGI Symbol;Acc:MGI:2429637] MESRGCAALWVLLLAQVSEQQTPACALGLAAAASGSPEDPQPPPFSGSSWLETGEYDLVS AYEVDHRGDYVSHDIMHYQRRRRRRAVTQPGGDALHLRLKGPRHDLHLDLKAASNLMAPG FMVQTLGKGGTKSVQMFPPEENCFYQGSLRSQGNSSVALSTCQGLLGMIRTKDTDYFLKP LPPHLTSKLNRSAQGDSPSHVLYKRSTERQAPRENEVLMITRKRDLARPHLHHDNFHLGP SQKQHFCGRRKKYMPQPPNDDLYILPDEYKPSSRHKRSLLKSHRNEELNVETLVVVDRKM MQSHGHENITTYVLTILNMVSALFKDGTIGGNINIVIVGLILLEDEQPGLAISHHADHTL TSFCQWQSGLMGKDGTRHDHAILLTGLDICSWKNEPCDTLGFAPISGMCSKYRSCTVNED SGLGLAFTIAHESGHNFGMVHDGEGNMCKKSEGNIMSPTLAGRNGVFSWSSCSRQYLHKF LSTAQAICLADQPKPVKEYKYPEKLPGQLYDANTQCKWQFGEKAKLCMLDFRKDICKALW CHRIGRKCETKFMPAAEGTLCGQDMWCRGGQCVKYGDEGPKPTHGHWSDWSPWSPCSRTC GGGISHRDRLCTNPRPSHGGKFCQGSTRTLKLCNSQRCPLDSVDFRAAQCAEYNSKRFRG WLYKWKPYTQLEDQDLCKLYCIAEGFDFFFSLSNKVKDGTPCSEDSRNVCIDGMCERVGC DNVLGSDATEDSCGVCKGNNSDCVTHRGLYSKHHSTNQYYHMVTIPSGARSIHIYETNIS TSYISVRNSLKRYYLNGHWSVDWPGRYKFSGATFNYKRSYKEPENLTSPGPTNETLIVEL LFQGRNPGVAWEFSLPRSGAKKTPAAQPSYSWAIVRSECSVSCGGGKMNSKAGCYRDLKV PVNASFCNPKTRPVTGLVPCKVSPCPSSWSVGNWSVCSRTCGGGTQSRPVRCTRRAHYRD ESIPASLCPQPEPPIHQACNSQSCPPAWSTGPWAECSRTCGKGWRKRTVACKSTNPSARA QLLHDTACTSEPKPRTHEICLLKRCHKHKKLQWLVSAWSQCSVTCQGGTQQRVLRCAEKY ISGKYRELASKKCLHLPKPDLELERACGLIPCPKHPPFDASGSPRGSWFASPWSQCTASC GGGVQRRTVQCLLRGQPASDCFLHEKPETSSACNTHFCPIAEKRGTFCKDLFHWCYLVPQ HGMCGHRFYSKQCCNTCSKSNL >ENSMUSP00000122031.1 pep:known chromosome:GRCm38:13:70727810:70841775:-1 gene:ENSMUSG00000049538.14 transcript:ENSMUST00000123552.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adamts16 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 16 [Source:MGI Symbol;Acc:MGI:2429637] MESRGCAALWVLLLAQVSEQQTPACALGLAAAASGSPEDPQPPPFSGSSWLETGEYDLVS AYEVDHRGDYVSHDIMHYQRRRRRRAVTQPGGDALHLRLKGPRHDLHLDLKAASNLMAPG FMVQTLGKGGTKSVQMFPPEENCFYQGSLRSQGNSSVALSTCQGLLGMIRTKDTDYFLKP LPPHLTSKLNRSAQGDSPSHVLYKRSTERQAPRENEVLMITRKRDLARPHLHHDNFHLGP SQKQHFCGRRKKYMPQPPNDDLYILPDEYKPSSRHKRSLLKSHRNEELNVETLVVVDRKM MQSHGHENITTYVLTILNMVSALFKDGTIGGNINIVIVGLILLEDEQPGLAISHHADHTL TSFCQWQSGLMGKDGTRHDHAILLTGLDICSWKNEPCDTLGFAPISGMCSKYRSCTVNED SGLGLAFTIAHESGHNFGMVHDGEGNMCKKSEGNIMSPTLAGRNGVFSWSSCSRQYLHKF LSTAQAICLADQPKPVKEYKYPEKLPGQLYDANTQCKWQFGEKAKLCMLDFRKDICKALW CHRIGRKCETKFMPAAEGTLCGQDMWCRGGQCVKYGDEGPKPTHGHWSDWSPWSPCSRTC GGGISHRDRLCTNPRPSHGGKFCQGSTRTLKLCNSQRCPLDSVDFRAAQCAEYNSKRFRG WLYKWKPYTQLEGLMQTLLYRRRI >ENSMUSP00000105316.2 pep:known chromosome:GRCm38:13:70761317:70841811:-1 gene:ENSMUSG00000049538.14 transcript:ENSMUST00000109694.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts16 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 16 [Source:MGI Symbol;Acc:MGI:2429637] MESRGCAALWVLLLAQVSEQQTPACALGLAAAASGSPEDPQPPPFSGSSWLETGEYDLVS AYEVDHRGDYVSHDIMHYQRRRRRRAVTQPGGDALHLRLKGPRHDLHLDLKAASNLMAPG FMVQTLGKGGTKSVQMFPPEENCFYQGSLRSQGNSSVALSTCQGLLGMIRTKDTDYFLKP LPPHLTSKLNRSAQGDSPSHVLYKRSTERQAPRENEVLMITRKRDLARPHLHHDNFHLGP SQKQHFCGRRKKYMPQPPNDDLYILPDEYKPSSRHKRSLLKSHRNEELNVETLVVVDRKM MQSHGHENITTYVLTILNMVSALFKDGTIGGNINIVIVGLILLEDEQPGLAISHHADHTL TSFCQWQSGLMGKDGTRHDHAILLTGLDICSWKNEPCDTLGFAPISGMCSKYRSCTVNED SGLGLAFTIAHESGHNFGMVHDGEGNMCKKSEGNIMSPTLAGRNGVFSWSSCSRQYLHKF LSTAQAICLADQPKPVKEYKYPEKLPGQLYDANTQCKWQFGEKAKLCMLDFRKDICKALW CHRIGRKCETKFMPAAEGTLCGQDMWCRGGQCVKYGDEGPKPTHGHWSDWSPWSPCSRTC GGGISHRDRLCTNPRPSHGGKFCQGSTRTLKLCNSQRCPLDSVDFRAAQCAEYNSKRFRG WLYKWKPYTQLEDQDLCKLYCIAEGFDFFFSLSNKVKDGTPCSEDSRNVCIDGMCERVGC DNVLGSDATEDSCGVCKGNNSDCVTHRGLYSKHHSTNQYYHMVTIPSGARSIHIYETNIS TSYISVRNSLKRYYLNGHWSVDWPGRYKFSGATFNYKRSYKEPENLTSPGPTNETLIVEL LFQGRNPGVAWEFSLPRSGAKKTPAAQPSYSWAIVRSECSVSCGGDRQCQTGRGHLEISS >ENSMUSP00000025374.3 pep:known chromosome:GRCm38:18:42394539:42397249:1 gene:ENSMUSG00000024497.3 transcript:ENSMUST00000025374.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou4f3 description:POU domain, class 4, transcription factor 3 [Source:MGI Symbol;Acc:MGI:102523] MMAMNAKQPFGMHPVLQEPKFSSLHSGSEAMRRVCLPAPQLQGNIFGSFDESLLARAEAL AAVDIVSHGKNHPFKPDATYHTMSSVPCTSTSPTVPISHPAALTSHPHHAVHQGLEGDLL EHISPTLSVSGLGAPEHSVMPAQIHPHHLGAMGHLHQAMGMSHPHAVAPHSAMPACLSDV ESDPRELEAFAERFKQRRIKLGVTQADVGAALANLKIPGVGSLSQSTICRFESLTLSHNN MIALKPVLQAWLEEAEAAYREKNSKPELFNGSERKRKRTSIAAPEKRSLEAYFAIQPRPS SEKIAAIAEKLDLKKNVVRVWFCNQRQKQKRMKYSAVH >ENSMUSP00000116631.1 pep:known chromosome:GRCm38:8:107293470:107379517:1 gene:ENSMUSG00000003847.16 transcript:ENSMUST00000133026.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nfat5 description:nuclear factor of activated T cells 5 [Source:MGI Symbol;Acc:MGI:1859333] MPSDFISLLSADLDLESPKSLYSRDSLKLHPSQTFHRAGLLEESVYDLLPKELQLPPPRE TSVASMSQTSGGEAGSPPPAVVAAGFASEAGSVCIKNDL >ENSMUSP00000075311.4 pep:known chromosome:GRCm38:8:107293559:107379508:1 gene:ENSMUSG00000003847.16 transcript:ENSMUST00000075922.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfat5 description:nuclear factor of activated T cells 5 [Source:MGI Symbol;Acc:MGI:1859333] MPSDFISLLSADLDLESPKSLYSRESVYDLLPKELQLPPPRETSVASMSQTSGGEAGSPP PAVVAADASSAPSSSSMGGACSSFTTSSSPTIYSTSVTDSKAMQVESCSSAVGVSNRGVS EKQLTGNTVQQHPSTPKRHTVLYISPPPEDLLDNSRMSCQDEGCGLESEQSCSMWMEDSP SNFSNMSTSSYNDNTEVPRKSRKRNPKQRPGVKRRDCEESNMDIFDADSAKAPHYVLSQL TTDNKGNSKAGNGTLDSQKGTGVKKSPMLCGQYPVKSEGKELKIVVQPETQHRARYLTEG SRGSVKDRTQQGFPTVKLEGHNEPVVLQVFVGNDSGRVKPHGFYQACRVTGRNTTPCKEV DIEGTTVIEVGLDPSNNMTLAVDCVGILKLRNADVEARIGIAGSKKKSTRARLVFRVNIT RKDGSTLTLQTPSSPILCTQPAGVPEILKKSLHSCSVKGEEEVFLIGKNFLKGTKVIFQE NVSDENSWKSEAEIDMELFHQNHLIVKVPPYHDQHITLPVSVGIYVVTNAGRSHDVQPFT YTPDPAAGALNVNVKKEISSPARPCSFEEAMKAMKTTGCNVDKVTILPNALITPLISSSM IKTEDVTPMEVTSEKRSSPIFQTTKSIGSTQQTLETISNIAGGAPFSSPSSSSHLTPESE NQQQLQPKAYNPETLTTIQTQDISQPGTFPAVSAASQLPSSDALLQQATQFQTREAQSRD TIQSDTVVNLSQLTEASQQQQSPLQEQAQTLQQQIPSNIFPSPSSVSQLQSTIQQLQAGS FTGSAAGGRSGSVDLVQQVLEAQQQLSSVLFSTPDGNENVQEQLNADIFQVSQIQNSVSP GMFSSAESAVHTRPDNLLPGRADSVHQQTENTLSNQQQQQQQQQQVMESSAAMVMEMQQS ICQAAAQIQSELFPSAASASGSLQQSPVYQQPSHMMSALPTNEDMQMQCELFSSPPAASG NETSTTTTPQVATPGSTMFQTPSSGDGEETGAQAKQIQNSVFQTMVQMQRSGDSQPQVNL FSSTKNIMSVQNNGTQQQGNSLFQQGSEMMSLQSGNFLQQSSHSQAQLFHPQNPIADAQN LSQETQGSIFHSPNPIVHSQTSTASSEQLQPSMFHSQNTIAVLQGSSVPQDQQSPNIFLS QSSINNLQTNTVAQEEQISFFAAQNSISPLQSTSNTEQQAAFQQQPPISHIQTPILSQEQ AQPSQQGLFQPQESLHSHITPDACK >ENSMUSP00000119370.1 pep:known chromosome:GRCm38:8:107293509:107370659:1 gene:ENSMUSG00000003847.16 transcript:ENSMUST00000151114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfat5 description:nuclear factor of activated T cells 5 [Source:MGI Symbol;Acc:MGI:1859333] MPSDFISLLSADLDLESPKSLYSRDSLKLHPSQTFHRAGLLEESVYDLLPKELQLPPPRE TSVASMSQTSGGEAGSPPPAVVAADASSAPSSSSMGGACSSFTTSSSPTIYSTSVTDSKA MQVESCSSAVGVSNRGVSEKQLTGNTVQQHPSTPKRHTVLYISPPPEDLLDNSRMSCQDE GCGLESEQSCSMWMEDSPSNFSNMSTSSYNDNTEVPRKSRKRNPKQRPGVKRRDCEESNM DIFDADSAKAPHYVLSQLTTDNKGNSKAGNGTLDSQKGTGVKKSPMLCGQYPVKSEGKEL KIVVQPETQHRARYLTEGSRGSVKDRTQQGFPTVKLEGHNEPVVLQVFVGNDSGRVKPHG FYQACRVTGRNTTPCKEVDIEGTTVIEVGLDPSNNMTLAVDCVGILKLRNADVEARIGIA GSKKKSTRARLVFRVNITRKDGSTLTLQTPSSPILCTQPAGVPEILKKSLHSCSVKGEEE VFLIGKNFLKGTKVIFQENVSDENSWKSEAEIDMELFHQNHLIVKVPPYHDQHITLPVSV GIYVVTNAGRSHDVQPFTYTPDPAAGALNVNVKKEISSPARPCSFEEAMKAMKTTGCNVD KVTILPNALITPLISSSMIKTEDVTPMEVTSEKRSSPIFQTTKSIGSTQQTLETISNIAG GAPFSSPSSSSHLTPESENQQQLQPKAYNPETLTTIQTQDISQPGTFPAVSAASQLPSSD ALLQQATQFQTREAQSRDTIQSDTVVNLSQLTEASQQQQSPLQEQAQTLQQQIPSNIFPS PSSVSQLQSTIQQLQAGSFTGSAAGGRSGSVDLVQQVLEAQQQLSSVLFSTPDGNENVQE QLNADIFQVSQIQNSVSPGMFSSAESAVHTRPDNLLPGRADSVHQQTENTLSNQQQQQQQ QQQVMESSAAMVMEMQQSICQAAAQIQSELFPSAASASGSLQQSPVYQQPSHMMSALPTN EDMQMQCELFSSPPAASGNETSTTTTPQVATPGSTMFQTPSSGDGEETGAQAKQIQNSVF QTMVQMQRSGDSQPQVNLFSSTKNIMSVQNNGTQQQGNSLFQQGSEMMSLQSGNFLQQSS HSQAQLFHPQNPIADAQNLSQETQGSIFHSPNPIVHSQTSTASSEQLQPSMFHSQNTIAV LQGSSVPQDQQSPNIFLSQSSINNLQTNTVAQEEQISFFAAQNSISPLQSTSNTEQQAAF QQQPPISHIQTPILSQEQAQPSQQGLFQPQVALGSLPPNPMPQNQQGPIFQTQRPIVGMQ SNSPSQEQQQQQQQQQQQQQQQQQQQQSILFSNQNAMATMASQKQPPPNMMFSPNQNPMA SQEQQNQSIFHQQSNMAPMNQEQQPMQFQNQPTVSSLQNPGPTQSESPQTSLFHSSPQIQ LVQGSPSSQDQQVTLFLSPASMSALQTSINQPDMQQSPLYSPQNNIPGIQGSTSSPQPQA TLFHNTTGGTINQIQNSPGSSQQTSGMFLFGIQNNCSQLLTSGPATLPDQLMAINQQGQP QNEGQSSVTTLLSQQMPETSPLASSVNSSQNMEKIDLLVSLQSQGNNLTGSF >ENSMUSP00000116094.1 pep:known chromosome:GRCm38:8:107293559:107372178:1 gene:ENSMUSG00000003847.16 transcript:ENSMUST00000125721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfat5 description:nuclear factor of activated T cells 5 [Source:MGI Symbol;Acc:MGI:1859333] MPSDFISLLSADLDLESPKSLYSRESVYDLLPKELQLPPPRETSVASMSQTSGGEAGSPP PAVVAADASSAPSSSSMGGACSSFTTSSSPTIYSTSVTDSKAMQVESCSSAVGVSNRGVS EKQLTGNTVQQHPSTPKRHTVLYISPPPEDLLDNSRMSCQDEGCGLESEQSCSMWMEDSP SNFSNMSTSSYNDNTEVPRKSRKRNPKQRPGVKRRDCEESNMDIFDADSAKAPHYVLSQL TTDNKGNSKAGNGTLDSQKGTGVKKSPMLCGQYPVKSEGKELKIVVQPETQHRARYLTEG SRGSVKDRTQQGFPTVKLEGHNEPVVLQVFVGNDSGRVKPHGFYQACRVTGRNTTPCKEV DIEGTTVIEVGLDPSNNMTLAVDCVGILKLRNADVEARIGIAGSKKKSTRARLVFRVNIT RKDGSTLTLQTPSSPILCTQPAGVPEILKKSLHSCSVKGEEEVFLIGKNFLKGTKVIFQE NVSDENSWKSEAEIDMELFHQNHLIVKVPPYHDQHITLPVSVGIYVVTNAGRSHDVQPFT YTPDPAAGALNVNVKKEISSPARPCSFEEAMKAMKTTGCNVDKVTILPNALITPLISSSM IKTEDVTPMEVTSEKRSSPIFQTTKSIGSTQQTLETISNIAGGAPFSSPSSSSHLTPESE NQQQLQPKAYNPETLTTIQTQDISQPGTFPAVSAASQLPSSDALLQQATQFQTREAQSRD TIQSDTVVNLSQLTEASQQQQSPLQEQAQTLQQQIPSNIFPSPSSVSQLQSTIQQLQAGS FTGSAAGGRSGSVDLVQQVLEAQQQLSSVLFSTPDGNENVQEQLNADIFQVSQIQNSVSP GMFSSAESAVHTRPDNLLPGRADSVHQQTENTLSNQQQQQQQQQQVMESSAAMVMEMQQS ICQAAAQIQSELFPSAASASGSLQQSPVYQQPSHMMSALPTNEDMQMQCELFSSPPAASG NETSTTTTPQVATPGSTMFQTPSSGDGEETGAQAKQIQNSVFQTMVQMQRSGDSQPQVNL FSSTKNIMSVQNNGTQQQGNSLFQQGSEMMSLQSGNFLQQSSHSQAQLFHPQNPIADAQN LSQETQGSIFHSPNPIVHSQTSTASSEQLQPSMFHSQNTIAVLQGSSVPQDQQSPNIFLS QSSINNLQTNTVAQEEQISFFAAQNSISPLQSTSNTEQQAAFQQQPPISHIQTPILSQEQ AQPSQQGLFQPQVALGSLPPNPMPQNQQGPIFQTQRPIVGMQSNSPSQEQQQQQQQQQQQ QQQQQQQQQSILFSNQNAMATMASQKQPPPNMMFSPNQNPMASQEQQNQSIFHQQSNMAP MNQEQQPMQFQNQPTVSSLQNPGPTQSESPQTSLFHSSPQIQLVQGSPSSQDQQVTLFLS PASMSALQTSINQPDMQQSPLYSPQNNIPGIQGSTSSPQPQATLFHNTTGGTINQIQNSP GSSQQTSGMFLFGIQNNCSQLLTSGPATLPDQLMAINQQGQPQNEGQSSVTTLLSQQMPE TSPLASSVNSSQNMEKIDLLVSLQSQGNNLTGSF >ENSMUSP00000115036.1 pep:known chromosome:GRCm38:8:107293470:107372227:1 gene:ENSMUSG00000003847.16 transcript:ENSMUST00000154474.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nfat5 description:nuclear factor of activated T cells 5 [Source:MGI Symbol;Acc:MGI:1859333] MPSDFISLLSADLDLESPKSLYSRESVYDLLPKELQLPPPRETSVASMSQTSGGEAGSPP PAVVAAGFASEAGSVCIKNDL >ENSMUSP00000122871.1 pep:known chromosome:GRCm38:8:107355820:107373530:1 gene:ENSMUSG00000003847.16 transcript:ENSMUST00000147588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfat5 description:nuclear factor of activated T cells 5 [Source:MGI Symbol;Acc:MGI:1859333] QNHLIVKVPPYHDQHITLPVSVGIYVVTNAGRSHDVQPFTYTPDPAGALNVNVKKEISSP ARPCSFEEAMKAMKTTGCNVDKVTILPNALITPLISSSMIKTEDVTPMEVTSEKRSSPIF QTTKSIGSTQQTLETISNIAGGAPFSSPSSSSHLTPESENQQQLQPKAYNPETLTTIQTQ DISQPGTFPAVSAASQLPSSDALLQQATQFQTREAQSRDTIQSDTVVNLSQLTEASQQQQ SPLQEQAQTLQQQIPSNIFPSPSSVSQLQSTIQQLQAGSFTGSAAGGRSGSVDLVQQVLE AQQQLSSVLFSTPDGNENVQEQLNADIFQVSQIQNSVSPGMFSSAESAVHTRPDNLLPGR ADSVHQQTENTLSNQQQQQQQQQQVMESSAAMVMEMQQSICQAAAQIQSELFPSAASASG SLQQSPVYQQPSHMMSALPTNEDMQMQCELFSSPPAASGNETSTTTTPQVATPGSTMFQT PSSGDGEETGAQAKQIQNSVFQTMVQMQRSGDSQPQVNLFSSTKNIMSVQNNGTQQQGNS LFQQGSEMMSLQSGNFLQQSSHSQAQLFHPQNPIADAQNLSQETQGSIFHSPNPIVHSQT STASSEQLQPSMFHSQNTIAVLQGSSVPQDQQSPNIFLSQSSINNLQTNTVAQEEQISFF AAQNSISPLQSTSNTEQQAAFQQQPPISHIQTPILSQEQAQPSQQGLFQPQVALGSLPPN PMPQNQQGPIFQTQRPIVGMQSNSPSQEQQQQQQQQQQQQQQQQQQQQSILFSNQNAMAT MASQKQPPPNMMFSPNQNPMASQEQQNQSIFHQQSNMAPMNQEQQPMQFQNQPTVSSLQN PGPTQSESPQTSLFHSSPQIQLVQGSPSSQDQQVTLFLSPASMSALQTSINQPDMQQSPL YSPQNNIPGIQGSTSSPQPQATLFHNTTGGTINQIQNSPGSSQQTSGMFLFGIQNNCSQL LTSGPATLPDQLMAINQQGQPQNEGQSSVTTLLSQQMPETSPLASSVNSSQNMEKIDLLV SLQSQGNNLTGSF >ENSMUSP00000118130.1 pep:known chromosome:GRCm38:8:107344786:107361770:1 gene:ENSMUSG00000003847.16 transcript:ENSMUST00000126333.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nfat5 description:nuclear factor of activated T cells 5 [Source:MGI Symbol;Acc:MGI:1859333] XTLDSQKGTGVKKSPMLCGQYPVKSEGKELKIVVQPETQHRARYLTEGSRGSVKDRTQQG FPTVKLEGHNEPVVLQVFVGNDSGRVKPHGFYQACRVTGRNTTPCKEVDIEGTTVIEVGL DPSNNMTLASASRSS >ENSMUSP00000117554.1 pep:known chromosome:GRCm38:8:107338913:107370659:1 gene:ENSMUSG00000003847.16 transcript:ENSMUST00000144100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfat5 description:nuclear factor of activated T cells 5 [Source:MGI Symbol;Acc:MGI:1859333] AMQVESCSSAVGNNIPGIQGSTSSPQPQATLFHNTTGGTINQIQNSPGSSQQTSGMFLFG IQNNCSQLLTSGPATLPDQLMAINQQGQPQNEGQSSVTTLLSQQMPETSPLASSVNSSQN MEKIDLLVSLQSQGNNLTGSF >ENSMUSP00000076653.6 pep:known chromosome:GRCm38:8:107338807:107379516:1 gene:ENSMUSG00000003847.16 transcript:ENSMUST00000077440.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfat5 description:nuclear factor of activated T cells 5 [Source:MGI Symbol;Acc:MGI:1859333] MGGACSSFTTSSSPTIYSTSVTDSKAMQVESCSSAVGVSNRGVSEKQLTGNTVQQHPSTP KRHTVLYISPPPEDLLDNSRMSCQDEGCGLESEQSCSMWMEDSPSNFSNMSTSSYNDNTE VPRKSRKRNPKQRPGVKRRDCEESNMDIFDADSAKAPHYVLSQLTTDNKGNSKAGNGTLD SQKGTGVKKSPMLCGQYPVKSEGKELKIVVQPETQHRARYLTEGSRGSVKDRTQQGFPTV KLEGHNEPVVLQVFVGNDSGRVKPHGFYQACRVTGRNTTPCKEVDIEGTTVIEVGLDPSN NMTLAVDCVGILKLRNADVEARIGIAGSKKKSTRARLVFRVNITRKDGSTLTLQTPSSPI LCTQPAGVPEILKKSLHSCSVKGEEEVFLIGKNFLKGTKVIFQENVSDENSWKSEAEIDM ELFHQNHLIVKVPPYHDQHITLPVSVGIYVVTNAGRSHDVQPFTYTPDPAAGALNVNVKK EISSPARPCSFEEAMKAMKTTGCNVDKVTILPNALITPLISSSMIKTEDVTPMEVTSEKR SSPIFQTTKSIGSTQQTLETISNIAGGAPFSSPSSSSHLTPESENQQQLQPKAYNPETLT TIQTQDISQPGTFPAVSAASQLPSSDALLQQATQFQTREAQSRDTIQSDTVVNLSQLTEA SQQQQSPLQEQAQTLQQQIPSNIFPSPSSVSQLQSTIQQLQAGSFTGSAAGGRSGSVDLV QQVLEAQQQLSSVLFSTPDGNENVQEQLNADIFQVSQIQNSVSPGMFSSAESAVHTRPDN LLPGRADSVHQQTENTLSNQQQQQQQQQQVMESSAAMVMEMQQSICQAAAQIQSELFPSA ASASGSLQQSPVYQQPSHMMSALPTNEDMQMQCELFSSPPAASGNETSTTTTPQVATPGS TMFQTPSSGDGEETGAQAKQIQNSVFQTMVQMQRSGDSQPQVNLFSSTKNIMSVQNNGTQ QQGNSLFQQGSEMMSLQSGNFLQQSSHSQAQLFHPQNPIADAQNLSQETQGSIFHSPNPI VHSQTSTASSEQLQPSMFHSQNTIAVLQGSSVPQDQQSPNIFLSQSSINNLQTNTVAQEE QISFFAAQNSISPLQSTSNTEQQAAFQQQPPISHIQTPILSQEQAQPSQQGLFQPQVALG SLPPNPMPQNQQGPIFQTQRPIVGMQSNSPSQEQQQQQQQQQQQQQQQQQQQQSILFSNQ NAMATMASQKQPPPNMMFSPNQNPMASQEQQNQSIFHQQSNMAPMNQEQQPMQFQNQPTV SSLQNPGPTQSESPQTSLFHSSPQIQLVQGSPSSQDQQVTLFLSPASMSALQTSINQPDM QQSPLYSPQNNIPGIQGSTSSPQPQATLFHNTTGGTINQIQNSPGSSQQTSGMFLFGIQN NCSQLLTSGPATLPDQLMAINQQGQPQNEGQSSVTTLLSQQMPETSPLASSVNSSQNMEK IDLLVSLQSQGNNLTGSF >ENSMUSP00000127784.1 pep:known chromosome:GRCm38:8:107293470:107379516:1 gene:ENSMUSG00000003847.16 transcript:ENSMUST00000169453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfat5 description:nuclear factor of activated T cells 5 [Source:MGI Symbol;Acc:MGI:1859333] MPSDFISLLSADLDLESPKSLYSRDSLKLHPSQTFHRAGLLEESVYDLLPKELQLPPPRE TSVASMSQTSGGEAGSPPPAVVAADASSAPSSSSMGGACSSFTTSSSPTIYSTSVTDSKA MQVESCSSAVGVSNRGVSEKQLTGNTVQQHPSTPKRHTVLYISPPPEDLLDNSRMSCQDE GCGLESEQSCSMWMEDSPSNFSNMSTSSYNDNTEVPRKSRKRNPKQRPGVKRRDCEESNM DIFDADSAKAPHYVLSQLTTDNKGNSKAGNGTLDSQKGTGVKKSPMLCGQYPVKSEGKEL KIVVQPETQHRARYLTEGSRGSVKDRTQQGFPTVKLEGHNEPVVLQVFVGNDSGRVKPHG FYQACRVTGRNTTPCKEVDIEGTTVIEVGLDPSNNMTLAVDCVGILKLRNADVEARIGIA GSKKKSTRARLVFRVNITRKDGSTLTLQTPSSPILCTQPAGVPEILKKSLHSCSVKGEEE VFLIGKNFLKGTKVIFQENVSDENSWKSEAEIDMELFHQNHLIVKVPPYHDQHITLPVSV GIYVVTNAGRSHDVQPFTYTPDPAAGALNVNVKKEISSPARPCSFEEAMKAMKTTGCNVD KVTILPNALITPLISSSMIKTEDVTPMEVTSEKRSSPIFQTTKSIGSTQQTLETISNIAG GAPFSSPSSSSHLTPESENQQQLQPKAYNPETLTTIQTQDISQPGTFPAVSAASQLPSSD ALLQQATQFQTREAQSRDTIQSDTVVNLSQLTEASQQQQSPLQEQAQTLQQQIPSNIFPS PSSVSQLQSTIQQLQAGSFTGSAAGGRSGSVDLVQQVLEAQQQLSSVLFSTPDGNENVQE QLNADIFQVSQIQNSVSPGMFSSAESAVHTRPDNLLPGRADSVHQQTENTLSNQQQQQQQ QQQVMESSAAMVMEMQQSICQAAAQIQSELFPSAASASGSLQQSPVYQQPSHMMSALPTN EDMQMQCELFSSPPAASGNETSTTTTPQVATPGSTMFQTPSSGDGEETGAQAKQIQNSVF QTMVQMQRSGDSQPQVNLFSSTKNIMSVQNNGTQQQGNSLFQQGSEMMSLQSGNFLQQSS HSQAQLFHPQNPIADAQNLSQETQGSIFHSPNPIVHSQTSTASSEQLQPSMFHSQNTIAV LQGSSVPQDQQSPNIFLSQSSINNLQTNTVAQEEQISFFAAQNSISPLQSTSNTEQQAAF QQQPPISHIQTPILSQEQAQPSQQGLFQPQVALGSLPPNPMPQNQQGPIFQTQRPIVGMQ SNSPSQEQQQQQQQQQQQQQQQQQQQQSILFSNQNAMATMASQKQPPPNMMFSPNQNPMA SQEQQNQSIFHQQSNMAPMNQEQQPMQFQNQPTVSSLQNPGPTQSESPQTSLFHSSPQIQ LVQGSPSSQDQQVTLFLSPASMSALQTSINQPDMQQSPLYSPQNNIPGIQGSTSSPQPQA TLFHNTTGGTINQIQNSPGSSQQTSGMFLFGIQNNCSQLLTSGPATLPDQLMAINQQGQP QNEGQSSVTTLLSQQMPETSPLASSVNSSQNMEKIDLLVSLQSQGNNLTGSF >ENSMUSP00000083705.5 pep:known chromosome:GRCm38:5:115559467:115563727:1 gene:ENSMUSG00000067274.10 transcript:ENSMUST00000086519.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rplp0 description:ribosomal protein, large, P0 [Source:MGI Symbol;Acc:MGI:1927636] MPREDRATWKSNYFLKIIQLLDDYPKCFIVGADNVGSKQMQQIRMSLRGKAVVLMGKNTM MRKAIRGHLENNPALEKLLPHIRGNVGFVFTKEDLTEIRDMLLANKVPAAARAGAIAPCE VTVPAQNTGLGPEKTSFFQALGITTKISRGTIEILSDVQLIKTGDKVGASEATLLNMLNI SPFSFGLIIQQVFDNGSIYNPEVLDITEQALHSRFLEGVRNVASVCLQIGYPTVASVPHS IINGYKRVLALSVETEYTFPLTEKVKAFLADPSAFAAAAPAAAATTAAPAAAAAPAKAEA KEESEESDEDMGFGLFD >ENSMUSP00000138289.1 pep:known chromosome:GRCm38:5:115559537:115562555:1 gene:ENSMUSG00000067274.10 transcript:ENSMUST00000156359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rplp0 description:ribosomal protein, large, P0 [Source:MGI Symbol;Acc:MGI:1927636] MQQIRMSLRGKAVVLMGKNTMMRKAIRGHLENNPALEKLLPHIRGNVGFVFTKEDLTEIR DMLLANKVPAAARAGAIAPCEVTVPAQNTGLGPEKTSFFQALGITTKISRGTIEILSDVQ LIKTGDKVGASEATLLNMLNISPFSFGLIIQQVFDNGSIYNPEVLDITEQALHSRFLEGV RNVASVCLQIGYPTVASVPHSIINGYKRVLALSVETEYTFP >ENSMUSP00000114332.1 pep:known chromosome:GRCm38:5:115559578:115561217:1 gene:ENSMUSG00000067274.10 transcript:ENSMUST00000152976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rplp0 description:ribosomal protein, large, P0 [Source:MGI Symbol;Acc:MGI:1927636] MPREDRATWKSNYFLKIIQLLDDYPKCFIVGADNVGSKQMQQIRMSLRGKAVVLMGKNTM MRKAIRGHLENNPALEKLLPHIRGNVGFVFTKEDLTEIRDMLLANKVPAAARAGAIAPCE VTVPAQNTGLGPEKTSFFQALGITT >ENSMUSP00000025704.2 pep:known chromosome:GRCm38:19:6084983:6091777:1 gene:ENSMUSG00000024791.10 transcript:ENSMUST00000025704.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca5 description:cell division cycle associated 5 [Source:MGI Symbol;Acc:MGI:1915099] MAERRTRSGGAAQRSGPRTSLTKPSKSSKRKSGSDLPNSFSEIWPRTTPAVPVRKAIVLK KIVAHAVEVPDVHTVRRSPRISFILEKENNPPLKVPTKEDLFKTCSVPGTPSSTPVLYTQ NVEPDSGEAELDSRDLEMSQKVRRSYSRLQSLGCASTSTPGRRSFFGFEGPDDLPGVSPV VCSKLIETPKVPAKDLVPARTKDLVPDSTKDLVPARTLPGISPPVVKEKRKKKVPEILKS ELDKWAVAMNAEFEAAEQFELLIE >ENSMUSP00000114968.1 pep:known chromosome:GRCm38:3:83055522:83072355:1 gene:ENSMUSG00000027998.11 transcript:ENSMUST00000150268.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plrg1 description:pleiotropic regulator 1, PRL1 homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1858197] MVEEVQKHSVHTLVFRSLKRTHDMFVADNGKPVPLDEESHKRKMAIKLRNEYGPVLHMPT SKENLKEKGPQNATDSYPHKQYPANQGQDVEYLVTGTHPYPAGPGVALTADTKIQRMPSE SAAQSLAVALPSQTRVDANRTGPAGSEYRHPGASDRSQPTAMNSIMETGNTKNSALMAKK APTMPKPQWHPPWKLYRVISGHLGWVRCIAVEPGNQWFVTGSADRTIKIWDLASGKLKLS LTGHISTVRGVIVSTRSPYLFSCGEDKQVKCWDLEYNKVIRHYHGHLSAVYGLDLHPTLD VLVTCSRDSTARIWDVRTKASVHTLSGHTNAVATVRCQAAEPQIITGSHDTTIRLWDLVA GKTRVTLTNHKKSVRAVVLHPLLYTFASGSPDNIKQWKFPDGGFIQNLSGHNAIINTLAV NADGVLVSGADNGTMHLWDWRTGYNFQRVHAAVQPGSLDSESGIFACAFDRSESRLLTAE ADKTIKVYREDETATEETHPVSWKPEIIKRKRF >ENSMUSP00000029628.3 pep:known chromosome:GRCm38:3:83055532:83072289:1 gene:ENSMUSG00000027998.11 transcript:ENSMUST00000029628.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plrg1 description:pleiotropic regulator 1, PRL1 homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1858197] MVEEVQKHSVHTLVFRSLKRTHDMFVADNGKPVPLDEESHKRKMAIKLRNEYGPVLHMPT SKENLKEKGPQNATDSYPHKQYPANQGQDVEYLVTGTHPYPAGPGVALTADTKIQRMPSE SAAQSLAVALPSQTRVDANRTGPAGSEYRHPGASDRSQPTAMNSIMETGNTKNSALMAKK APTMPKPQWHPPWKLYRVISGHLGWVRCIAVEPGNQWFVTGSADRTIKIWDLASGKLKLS LTGHISTVRGVIVSTRSPYLFSCGEDKQVKCWDLEYNKVIRHYHGHLSAVYGLDLHPTLD VLVTCSRDSTARIWDVRTKASVHTLSGHTNAVATVRCQAAEPQIITGSHDTTIRLWDLVA GKTRVTLTNHKKSVRAVVLHPLL >ENSMUSP00000113614.1 pep:known chromosome:GRCm38:3:83055555:83072172:1 gene:ENSMUSG00000027998.11 transcript:ENSMUST00000122128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plrg1 description:pleiotropic regulator 1, PRL1 homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1858197] MVEEVQKHSVHTLVFRSLKRTHDMFVADNGKPVPLDEESHKRKMAIKLRNEYGPVLHMPT SKENLKEKGPQNATDSYPHKQYPANQGQDVEYLVTGVALTADTKIQRMPSESAAQSLAVA LPSQTRVDANRTGPAGSEYRHPGASDRSQPTAMNSIMETGNTKNSALMAKKAPTMPKPQW HPPWKLYRVISGHLGWVRCIAVEPGNQWFVTGSADRTIKIWDLASGKLKLSLTGHISTVR GVIVSTRSPYLFSCGEDKQVKCWDLEYNKVIRHYHGHLSAVYGLDLHPTLDVLVTCSRDS TARIWDVRTKASVHTLSGHTNAVATVRCQAAEPQIITGSHDTTIRLWDLVAGKTRVTLTN HKKSVRAVVLHPLLYTFASGSPDNIKQWKFPDGGFIQNLSGHNAIINTLAVNADGVLVSG ADNGTMHLWDWRTGYNFQRVHAAVQPGSLDSESGIFACAFDRSESRLLTAEADKTIKVYR EDETATEETHPVSWKPEIIKRKRF >ENSMUSP00000001836.2 pep:known chromosome:GRCm38:10:85871831:85889096:1 gene:ENSMUSG00000001785.11 transcript:ENSMUST00000001836.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pwp1 description:PWP1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914735] MNRSRQVTCVAWVRRGVAKETPDKVELSKEEVNRLIAEAKGKLQEEGGSEEEEAGNPSED GMQSGPTQAPPRESLEDGDPQDDRTLDDDELAGYDLDNYDEEDNPDAETIGESLLGLTVY GSNDQDPYVTLKDTEQYEHEDFLIKPTDNLIVCGRAEQEQCNLEVHVYNQEEESFYVHHD ILLSAYPLSVEWLNFDPSPDASTGNYIAVGNMTPVIEVWDLDIVDSLEPVFTLGSKLSKK KKKKGKKSSSAEGHTDAVLDLSWNKTVRNVLASASADSTVVLWDLSVGKSVARLTAHTDK VQTLQFHPFEAQTLISGSYDKSVALYDCRDPSQNHRQWRFSGQIERVTWNHFSPCHFLAS TDDGFVYNLDARSDKPIFTLNAHNDEISGLDLSSQIKGCLVTASADKFVKIWDILGDRPS LIHSRDMKMGVLFCSSCCPDLPFVYAFGGQKEGLRVWDISTVSSVNEAFGRRERLVIGGT KGLSVSGPCGSRSPQQTPMES >ENSMUSP00000130733.1 pep:known chromosome:GRCm38:10:85871906:85882099:1 gene:ENSMUSG00000001785.11 transcript:ENSMUST00000168509.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pwp1 description:PWP1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914735] MNRSRQVTCVAWVRRGVAKETPDKVELSKEEVNRLIAEAKGKLQEEGGSEEEEAGNPSED GMQSGPTQAPPRESLEDGDPQDDRTLDDDELAGC >ENSMUSP00000140944.1 pep:known chromosome:GRCm38:Y:36709098:36735290:-1 gene:ENSMUSG00000101933.1 transcript:ENSMUST00000185565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20835 description:predicted gene, 20835 [Source:MGI Symbol;Acc:MGI:5434191] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000031536.7 pep:known chromosome:GRCm38:5:140327674:140331898:-1 gene:ENSMUSG00000029557.7 transcript:ENSMUST00000031536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ftsj2 description:FtsJ RNA methyltransferase homolog 2 (E. coli) [Source:MGI Symbol;Acc:MGI:1915267] MAGHLKLVGVPLKVRRLHTAVCHYRGRTGAEHLWLTRHLKDPFVKAAKVESYRCRSAFKL LEMNEKHQILRPGLRVLDCGAAPGAWSQVAVQRVNATGADSSSPVGFVLGVDLLHIFPLA GATFLCPADVTDPRTFQKILELLPSRRADVILSDMAPNATGIRDLDHDKLISLCLTLVDM AVDILHPGGTLLCKTWAGSKSHLLQKRLTQEFQSTRVVKPEASRKESSEVYLLATQYRGG KGTRRP >ENSMUSP00000121050.1 pep:known chromosome:GRCm38:5:76873659:76905427:-1 gene:ENSMUSG00000055923.16 transcript:ENSMUST00000123682.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aasdh description:aminoadipate-semialdehyde dehydrogenase [Source:MGI Symbol;Acc:MGI:2442517] MTLQELVLRTASVYMDRTAVCFDEGNNQPPVCYSYKALLSAASELSHFLIAHCDFGGIRE IGLYCQPGINLPSWILGILQVPAAYAPIDPDSPPSLSTYFMKKCDLKYVLVEKQQLSKFK SSHETVLNYDTVSVEHKDLALFRLHWEDGRVSTVLGDRADQHKVTDREDRVSAESRTPEK EHMDMRHDGCLAYVLHTSGTTGTPKIVRVPHACILPNIQHFRKPDGIYEEQFH >ENSMUSP00000113792.1 pep:known chromosome:GRCm38:5:76875935:76905514:-1 gene:ENSMUSG00000055923.16 transcript:ENSMUST00000120963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aasdh description:aminoadipate-semialdehyde dehydrogenase [Source:MGI Symbol;Acc:MGI:2442517] MTLQELVLRTASVYMDRTAVCFDEGNNQPPVCYSYKALLSAASELSHFLIAHCDFGGIRE IGLYCQPGINLPSWILGILQVPAAYAPIDPDSPPSLSTYFMKKCDLKYVLVEKQQLSKFK SSHETVLNYDTVSVEHKDLALFRLHWEDGRVSTVLGDRADQHKVTDREDRVSAESRTPEK EHMDMRHDGCLAYVLHTSGTTGTPKIVRVPHACILPNIQHFRSLFDITQEDILFLASPLT FDPSVVEIFVSLSSGACLLIVPTSVKVLPSKLADILFSRHRVTVLQATPTLLRRFGSELI KSTVLSAHTSLRVLALGGEAFPSLTILKSWRGKGNRTQIFNIYGITEVSSWATFYRIPEE ILNSAVKHESPVQLGSPLLGTVIEVRDQNGSPVLEGTGQVFLGGKNRVCFLDDEMTVPLG TMRATGDFVTVKDGEIFFLGRKDSQIKRHGKRLNIALVQQVAEELRQVESCAVTWYNQER LILFIVSKVDLVKDCIFKELQKHLPAHALPDDMVLIDTLPFTCHGKVDVSELNKIYLDYI SSQPRNELHGKEELWGKLQYLWKSILCLPEDPEDTLKVPANSVFLDSGGDSLKSMRLLSE IERLTGTAIPGLLEVILSSSLLDVYNHIVQAVFTPEDRKANRSYTTKRKFSDADPEEASG KPARLESAWPSNHAGETNSVIALSRGSQVLSLGAGRLLTQLGLCLPVCSLDLIPQTNTQI LKSLSPPAPDENLEKPPLFQQGSPVVGAMAMALRERWRSDTGKCVDASPLLVRAAVQDKP STTVYIGSHSHTVKAVDLSSGETRWEQLLGDRIESSACVSKCGNFIVVGCYNGLVYVLKS NSGEKYWTFTTEDAVKSSPAVDPTTGLIYVGSHDQHAYALDIYEKKCVWKLNCEGALFSS PCVSLSPHHLYCATLGGLLLALNPASGSTVWKRSCGKPLFSSPRCYQQYICIGCVDGSLL CFTHSGEQVWRFAAGGPIFSSPCVSAAEQEIFFGSHDCFIYCCSKEGHLRWKFETTARVY ATPFAFSNHPRSDDALLAAASTDGKLWVLESRSGELRSVYELPGEVFSSPVVWESMLVIG CRNNYIYCLDLLCGDKNNQV >ENSMUSP00000118854.1 pep:known chromosome:GRCm38:5:76875940:76905513:-1 gene:ENSMUSG00000055923.16 transcript:ENSMUST00000126741.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aasdh description:aminoadipate-semialdehyde dehydrogenase [Source:MGI Symbol;Acc:MGI:2442517] MTLQELVLRTASVYMDRTAVCFDEGNNQPPVCYSYKALLSAASELSHFLIAHCDFGGIRE IGLYCQPGINLPSWILGILQVPAAYAPIDPDSPPSLSTYFMKKCDLKYVLVEKQQLSKFK SSHETVLNYDTVSVEHKDLALFRLHWEDGRVSTVLGDRADQHKVTDREDRVSAESRTPEK EHMDMRHDGCLAYVLHTSGTTGTPKIVRVPHACILPNIQHFRSLFDITQEDILFLASPLT FDPSVVEIFVSLSSGACLLIVPTSVKVLPSKLADILFSRHRVTVLQATPTLLRRFGSELI KSTVLSAHTSLRVLALGGEAFPSLTILKSWRGKGNRTQIFNIYGITEVSSWATFYRIPEE ILNSAVKHESPVQLGSPLLGTVIEVRDQNGSPVLEGTGQVFLGC >ENSMUSP00000117489.1 pep:known chromosome:GRCm38:5:76876168:76882360:-1 gene:ENSMUSG00000055923.16 transcript:ENSMUST00000149602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aasdh description:aminoadipate-semialdehyde dehydrogenase [Source:MGI Symbol;Acc:MGI:2442517] LSSGETRWEQLLGDRIESSACVSKCGNFIVVGCYNGLVYVLKSNSGEKYWTFTTEDAVKS SPAVDPTTGLIYVGSHDQHAYALDIYEKKCVWKLNCEGALFSSPCVSLSPHHLYCATLGG LLLALNPVWRFAAGGPIFSSPCVSAAEQEIFFGSHDCFIYCCSKEGHLRWKFETTARVYA TPFAFSNHPRSDDALLAAASTDGKLWVLESRS >ENSMUSP00000117639.1 pep:known chromosome:GRCm38:5:76882817:76905487:-1 gene:ENSMUSG00000055923.16 transcript:ENSMUST00000146570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aasdh description:aminoadipate-semialdehyde dehydrogenase [Source:MGI Symbol;Acc:MGI:2442517] MTLQELVLRTASVYMDRTAVCFDEGNNQPPVCYSYKALLSAASELSHFLIAHCDFGGIRE IGLYCQPGINLPSWILGILQVPAAYAPIDPDSPPSLSTYFMKKCDLKYVLVEKQQLSKFK SSHETVLNYDTVSVEHKDLALFRLHWEDGRVSTVLGDRADQHKVTDREDRVSAESRTPEK EHMDMRHDGCLAYVLHTSGTTGTPKIVRVPHACILPNIQHFRSLFDITQEDILFLASPLT FDPSVVEIFVSLSSGACLLIVPTSVKVLPSKLADILFSRHRVTVLQATPTLLRRFGSELI KSTVLSAHTSLRVLALGGEAFPSLTILKSWRGKGNRTQIFNIYGITEVSSWATFYRIPEE ILNSAVKHESPVQLGSPLLGTVIEVRDQNGSPVLEGTGQVFLGGKNRVCFLDDEMTVPLG TMRATGDFVTVKDGEIFFLGRKDSQIKRHGKRLNIALVQQVAEELRQVESCAVTWYNQER LILFIVSKVDLVKDCIFKELQKHLPAHALPDDMVLIDTLPFTCHGKVDVSELNKIYLDYI SSQPRNELHGKEELWGKLQYLWKSILCLPEDPEDTLKVPANSVFLDSGGDSLKSMRLLSE IERLTGTAIPGLLEVILSSSLLDVYNHIVQAVFTPEDRKANRSYT >ENSMUSP00000120586.1 pep:known chromosome:GRCm38:5:76902006:76905390:-1 gene:ENSMUSG00000055923.16 transcript:ENSMUST00000135954.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aasdh description:aminoadipate-semialdehyde dehydrogenase [Source:MGI Symbol;Acc:MGI:2442517] MTLQELVLRTASVYMDRTAVCFDEGNNQPPVCYSYKALLSAASELSH >ENSMUSP00000121556.1 pep:known chromosome:GRCm38:5:76902095:76905503:-1 gene:ENSMUSG00000055923.16 transcript:ENSMUST00000142450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aasdh description:aminoadipate-semialdehyde dehydrogenase [Source:MGI Symbol;Acc:MGI:2442517] MTLQELVLRTASVYMDRT >ENSMUSP00000069279.8 pep:known chromosome:GRCm38:5:76875986:76902187:-1 gene:ENSMUSG00000055923.16 transcript:ENSMUST00000069709.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aasdh description:aminoadipate-semialdehyde dehydrogenase [Source:MGI Symbol;Acc:MGI:2442517] MTLQELVLRTASVYMDRTAVCFDEGNNQPPVCYSYKALLSAASELSHFLIAHCDFGGIRE IGLYCQPGINLPSWILGILQVPAAYAPIDPDSPPSLSTYFMKKCDLKYVLVEKQQLSKFK SSHETVLNYDTVSVEHKDLALFRLHWEDGRVSTVLGDRADQHKVTDREDRVSAESRTPEK EHMDMRHDGCLAYVLHTSGTTGTPKIVRVPHACILPNIQHFRSLFDITQEDILFLASPLT FDPSVVEIFVSLSSGACLLIVPTSVKVLPSKLADILFSRHRVTVLQATPTLLRRFGSELI KSTVLSAHTSLRVLALGGEAFPSLTILKSWRGKGNRTQIFNIYGITEVSSWATFYRIPEE ILNSAVKHESPVQLGSPLLGTVIEVRDQNGSPVLEGTGQVFLGGKNRVCFLDDEMTVPLG TMRATGDFVTVKDGEIFFLGRKDSQIKRHGKRLNIALVQQVAEELRQVESCAVTWYNQER LILFIVSKVDLVKDCIFKELQKHLPAHALPDDMVLIDTLPFTCHGKVDVSELNKIYLDYI SSQPRNELHGKEELWGKLQYLWKSILCLPEDPEDTLKVPANSVFLDSGGDSLKSMRLLSE IERLTGTAIPGLLEVILSSSLLDVYNHIVQAVFTPEDRKANRSYTTKRKFSDADPEEASG KPARLESAWPSNHAGETNSVIALSRGSQVLSLGAGRLLTQLGLCLPVCSLDLIPQTNTQI LKSLSPPAPDENLEKPPLFQQGSPVVGAMAMALRERWRSDTGKCVDASPLLVRAAVQDKP STTVYIGSHSHTVKAVDLSSGETRWEQLLGDRIESSACVSKCGNFIVVGCYNGLVYVLKS NSGEKYWTFTTEDAVKSSPAVDPTTGLIYVGSHDQHAYALDIYEKKCVWKLNCEGALFSS PCVSLSPHHLYCATLGGLLLALNPASGSTVWKRSCGKPLFSSPRCYQQYICIGCVDGSLL CFTHSGEQVWRFAAGGPIFSSPCVSAAEQEIFFGSHDCFIYCCSKEGHLRWKFETTARVY ATPFAFSNHPRSDDALLAAASTDGKLWVLESRSGELRSVYELPGEVFSSPVVWESMLVIG CRNNYIYCLDLLCGDKNNQV >ENSMUSP00000113545.1 pep:known chromosome:GRCm38:7:142434977:142440396:1 gene:ENSMUSG00000031098.17 transcript:ENSMUST00000118276.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt8 description:synaptotagmin VIII [Source:MGI Symbol;Acc:MGI:1859867] MKMGHALNPFSTSAPLDATAGPSLIPDLITRIPSCAPGLGPRWTLFIAILAAGVLLVSCL LCVICCYCHRHRHRKQPKDKETVGLGSARNSTTTHLVQPDVDCLEPCSGGDQQWGRLLLS LEYDFGSQEIRVGLRQAGNLKAEGTADPYAWVSVSTQSGRRHETKVHRGTLSPMFEETCC FLVPPAELPKATLKVQLWDFKRFSEHEPLGELQLPLGTVDLQHVLESWYQLGPPGTTEPE QMGELCFSLRYVPSSGSLTVVVLEARGLNPGLAEAYVKIQLMLNQRKWKKSKTSSKKGTT TPYFNEAFVFLVPVSQLQSVDLVLAVWARGLQLRTEPVGKVLLGSRASGQPLQHWADMLA HARRPIAQWHHLRSPREVDRVLALQPRLPLLRPRS >ENSMUSP00000101596.1 pep:known chromosome:GRCm38:7:142435001:142440328:1 gene:ENSMUSG00000031098.17 transcript:ENSMUST00000105976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt8 description:synaptotagmin VIII [Source:MGI Symbol;Acc:MGI:1859867] MKMGHALNPFSTSAPLDATAGPSLIPDLITRIPWPRWTLFIAILAAGVLLVSCLLCVICC YCHRHRHRKQPKDKETVGLGSARNSTTTHLVQPDVDCLEPCSGGDQQWGRLLLSLEYDFG SQEIRVGLRQAGNLKAEGTADPYAWVSVSTQSGRRHETKVHRGTLSPMFEETCCFLVPPA ELPKATLKVQLWDFKRFSEHEPLGELQLPLGTVDLQHVLESWYQLGPPGTTEPEQMGELC FSLRYVPSSGSLTVVVLEARGLNPGLAEAYVKIQLMLNQRKWKKSKTSSKKGTTTPYFNE AFVFLVPVSQLQSVDLVLAVWARGLQLRTEPVGKVLLGSRASGQPLQHWADMLAHARRPI AQWHHLRSPREVDRVLALQPRLPLLRPRS >ENSMUSP00000095552.2 pep:known chromosome:GRCm38:7:142435001:142440328:1 gene:ENSMUSG00000031098.17 transcript:ENSMUST00000097939.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt8 description:synaptotagmin VIII [Source:MGI Symbol;Acc:MGI:1859867] MKMGHALNPFSTSAPLDATAGPSLIPDLITRIPWPRWTLFIAILAAGVLLVSCLLCVICC YCHRHRHRKQPKDKETVGLGSARNSTTTHLVQPDVDCLEPCSGGDQQWGRLLLSLEYDFG SQEIRVGLRQAGNLKAEGTADPYAWVSVSTQSGRRHETKVHRGTLSPMFEETCCFLVPPA ELPKATLKVQLWDFKRFSEHEPLGELQLPLGTVDLQHVLESWYQLGPPGTTEPEQMGELC FSLRYVPSSGSLTVVVLEARGLNPGLAEAYVKIQLMLNQRKWKKSKTSSKKGTTTPYFNE AFVFLVPVSQLQSVDLVLAVWARGLQLRTEPVGKVLLGSRASGQPLQHWADMLAHARRPI AQWHHLRSPREVDRVLALQPRLPLLRPRS >ENSMUSP00000112689.1 pep:known chromosome:GRCm38:7:142436834:142440305:1 gene:ENSMUSG00000031098.17 transcript:ENSMUST00000122393.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt8 description:synaptotagmin VIII [Source:MGI Symbol;Acc:MGI:1859867] MQADRSMKMGHALNPFSTSAPLDATAGPSLIPDLITRIPWPRWTLFIAILAAGVLLVSCL LCVICCYCHRHRHRKQPKDKETVGLGSARNSTTTHLVQPDVDCLEPCSGGDQQWGRLLLS LEYDFGSQEIRVGLRQAGNLKAEGTADPYAWVSVSTQSGRRHETKVHRGTLSPMFEETCC FLVPPAELPKATLKVQLWDFKRFSEHEPLGELQLPLGTVDLQHVLESWYQLGPPGTTEPE QMGELCFSLRYVPSSGSLTVVVLEARGLNPGLAEAYVKIQLMLNQRKWKKSKTSSKKGTT TPYFNEAFVFLVPVSQLQSVDLVLAVWARGLQLRTEPVGKVLLGSRASGQPLQHWADMLA HARRPIAQWHHLRSPREVDRVLALQPRLPLLRPRS >ENSMUSP00000101597.2 pep:known chromosome:GRCm38:7:142438132:142438542:1 gene:ENSMUSG00000031098.17 transcript:ENSMUST00000105977.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt8 description:synaptotagmin VIII [Source:MGI Symbol;Acc:MGI:1859867] MKMGHALNPFSTSAPLDATAGPSLIPDLITRIPWPRWTLFIAILAAGVLLVSCLLCVICC YCHRHRHRKQPKDKETVGLGSARNSTTTH >ENSMUSP00000121413.1 pep:known chromosome:GRCm38:7:6172226:6183951:1 gene:ENSMUSG00000044876.15 transcript:ENSMUST00000128495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp444 description:zinc finger protein 444 [Source:MGI Symbol;Acc:MGI:1923365] MEAPTTQPVKQENLAVEALT >ENSMUSP00000104207.1 pep:known chromosome:GRCm38:7:6172482:6193811:1 gene:ENSMUSG00000044876.15 transcript:ENSMUST00000108567.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp444 description:zinc finger protein 444 [Source:MGI Symbol;Acc:MGI:1923365] MEAPTTQPVKQENLAVEALTLDSPWHRFRHFHLGDAAGPREALGLLRALCRDWLQPEVHT KEQMLELLVLEQFLSALPADTQAWVCSRRPQSGEEAVALLEELWGPATSPDGSSAMRAPR DVTEGPGVSVGKEESGAIPLGTSSGTEVPATENSGAMRPYKQEPGSPPPAPLAPVLPAFL AAPGTVSCPECGKSPLKPAHLLRHRQSHSGEKPHACPECGKAFRRKEHLRRHRGTHPGSP GPALRPLPAREKPHACCECGKTFYWREHLVRHRKTHSGARPFACWECGKGFGRREHVLRH QRIHGRAAAVAQGTSAPGPEGGGAFPPWALG >ENSMUSP00000146697.1 pep:known chromosome:GRCm38:7:6172501:6184203:1 gene:ENSMUSG00000044876.15 transcript:ENSMUST00000207176.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp444 description:zinc finger protein 444 [Source:MGI Symbol;Acc:MGI:1923365] MEAPTTQPVKQENLAVEALTLDSPWHRFRHFHLGDAAGPREALGLLRALCRDWLQPEVHT KEQMLELLVLEQFLSALPADTQAWVCSRRPQSGEEAVALLEELW >ENSMUSP00000050797.5 pep:known chromosome:GRCm38:7:6172502:6191349:1 gene:ENSMUSG00000044876.15 transcript:ENSMUST00000054680.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp444 description:zinc finger protein 444 [Source:MGI Symbol;Acc:MGI:1923365] MEAPTTQPVKQENLAVEALTLDSPWHRFRHFHLGDAAGPREALGLLRALCRDWLQPEVHT KEQMLELLVLEQFLSALPADTQAWVCSRRPQSGEEAVALLEELWGPATSPDGSSAMRAPR DVTEGPGVSVGKEESGAIPLGTSSGTEVPATENSGAMRPYKQEPGSPPPAPLAPVLPAFL AAPGTVSCPECGKSPLKPAHLLRHRQSHSGEKPHACPECGKAFRRKEHLRRHRGTHPGSP GPALRPLPAREKPHACCECGKTFYWREHLVRHRKTHSGARPFACWECGKGFGRREHVLRH QRIHGRAAAVAQGTSAPGPEGGGAFPPWALG >ENSMUSP00000104206.1 pep:known chromosome:GRCm38:7:6172513:6190421:1 gene:ENSMUSG00000044876.15 transcript:ENSMUST00000108566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp444 description:zinc finger protein 444 [Source:MGI Symbol;Acc:MGI:1923365] MEAPTTQPVKQENLAVEALTLDSPWHRFRHFHLGDAAGPREALGLLRALCRDWLQPEVHT KEQMLELLVLEQFLSALPADTQAWVCSRRPQSGEEAVALLEELWGPATSPDGSSAMRAPR DVTEGPGVSVGKEESGAIPLGTSSGTEVPATENSGAMRPYKQEPGSPPPAPLAPVLPAFL AAPGTVSCPECGKSPLKPAHLLRHRQSHSGEKPHACPECGKAFRRKEHLRRHRGTHPGSP GPALRPLPAREKPHACCECGKTFYWREHLVRHRKTHSGARPFACWECGKGFGRREHVLRH QRIHGRAAAVAQGTSAPGPEGGGAFPPWALG >ENSMUSP00000146759.1 pep:known chromosome:GRCm38:7:6172572:6184020:1 gene:ENSMUSG00000044876.15 transcript:ENSMUST00000207329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp444 description:zinc finger protein 444 [Source:MGI Symbol;Acc:MGI:1923365] MEAPTTQPVKQENLAVEALTLDSPWHRFRHFHLGDAAGPREAL >ENSMUSP00000104205.1 pep:known chromosome:GRCm38:7:6174035:6189846:1 gene:ENSMUSG00000044876.15 transcript:ENSMUST00000108565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp444 description:zinc finger protein 444 [Source:MGI Symbol;Acc:MGI:1923365] MEAPTTQPVKQENLAVEALTLDSPWHRFRHFHLGDAAGPREALGLLRALCRDWLQPEVHT KEQMLELLVLEQFLSALPADTQAWVCSRRPQSGEEAVALLEELWEPPLGQRYPQRRTAGP CAHTSRSRAAPHQLHWHRSSLPSWQLQALCRARSAGSLP >ENSMUSP00000120003.1 pep:known chromosome:GRCm38:7:6181456:6188158:1 gene:ENSMUSG00000044876.15 transcript:ENSMUST00000134933.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp444 description:zinc finger protein 444 [Source:MGI Symbol;Acc:MGI:1923365] MEAPTTQPVKQENLAVEALTLDSPWHRFRHFHLGDAAGPREALGLLRALCRDWLQPEVHT KEQMLELLVLEQFLSALPADTQAWVCSRRPQSGEEAVALLEELWGPATSPDG >ENSMUSP00000121948.1 pep:known chromosome:GRCm38:7:6183576:6188233:1 gene:ENSMUSG00000044876.15 transcript:ENSMUST00000136777.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp444 description:zinc finger protein 444 [Source:MGI Symbol;Acc:MGI:1923365] MEAPTTQPVKQENLAVEALTLDSPWHRFRHFHLGDAAGPREALGLLRALCRDWLQPEVHT KEQMLELLVLEQFLSALPADTQAWVCSRRPQSGEEAVALLEELWGPATSPDGSSAMRAPR DVTEGPGVSVGKEESGA >ENSMUSP00000143140.1 pep:known chromosome:GRCm38:5:140321656:140337632:1 gene:ENSMUSG00000036639.12 transcript:ENSMUST00000198660.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt1 description:nudix (nucleoside diphosphate linked moiety X)-type motif 1 [Source:MGI Symbol;Acc:MGI:109280] MSTSRLYTLVLVLQPQRVLLGMKKRGFGAGRWNGFGGKVQEGETIEDGAKRELLEESGLS VDTLHKVGHISFEFVGSPELMDVHIFSADHVHGTPTESEEMRPQWFQLDQIP >ENSMUSP00000071778.3 pep:known chromosome:GRCm38:5:140331860:140338137:1 gene:ENSMUSG00000036639.12 transcript:ENSMUST00000071881.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt1 description:nudix (nucleoside diphosphate linked moiety X)-type motif 1 [Source:MGI Symbol;Acc:MGI:109280] MSTSRLYTLVLVLQPQRVLLGMKKRGFGAGRWNGFGGKVQEGETIEDGAKRELLEESGLS VDTLHKVGHISFEFVGSPELMDVHIFSADHVHGTPTESEEMRPQWFQLDQIPFADLWPDD SYWFPLLLQKKKFCGHFKFQDQDTILSYSLREVDSF >ENSMUSP00000059983.5 pep:known chromosome:GRCm38:5:140331909:140338137:1 gene:ENSMUSG00000036639.12 transcript:ENSMUST00000050205.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt1 description:nudix (nucleoside diphosphate linked moiety X)-type motif 1 [Source:MGI Symbol;Acc:MGI:109280] MSTSRLYTLVLVLQPQRVLLGMKKRGFGAGRWNGFGGKVQEGETIEDGAKRELLEESGLS VDTLHKVGHISFEFVGSPELMDVHIFSADHVHGTPTESEEMRPQWFQLDQIPFADLWPDD SYWFPLLLQKKKFCGHFKFQDQDTILSYSLREVDSF >ENSMUSP00000106451.1 pep:known chromosome:GRCm38:5:140331954:140337941:1 gene:ENSMUSG00000036639.12 transcript:ENSMUST00000110827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt1 description:nudix (nucleoside diphosphate linked moiety X)-type motif 1 [Source:MGI Symbol;Acc:MGI:109280] MSTSRLYTLVLVLQPQRVLLGMKKRGFGAGRWNGFGGKVQEGETIEDGAKRELLEESGLS VDTLHKVGHISFEFVGSPELMDVHIFSADHVHGTPTESEEMRPQWFQLDQIPFADLWPDD SYWFPLLLQKKKFCGHFKFQDQDTILSYSLREVDSF >ENSMUSP00000106450.1 pep:known chromosome:GRCm38:5:140332395:140337808:1 gene:ENSMUSG00000036639.12 transcript:ENSMUST00000110826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt1 description:nudix (nucleoside diphosphate linked moiety X)-type motif 1 [Source:MGI Symbol;Acc:MGI:109280] MSTSRLYTLVLVLQPQRVLLGMKKRGFGAGRWNGFGGKVQEGETIEDGAKRELLEESGLS VDTLHKVGHISFEFVGSPELMDVHIFSADHVHGTPTESEEMRPQWFQLDQIPFADLWPDD SYWFPLLLQKKKFCGHFKFQDQDTILSYSLREVDSF >ENSMUSP00000106449.1 pep:known chromosome:GRCm38:5:140332424:140335696:1 gene:ENSMUSG00000036639.12 transcript:ENSMUST00000110825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt1 description:nudix (nucleoside diphosphate linked moiety X)-type motif 1 [Source:MGI Symbol;Acc:MGI:109280] MSTSRLYTLVLVLQPQRVLLGMKKRGFGAGRWNGFGGKVQEGETIEDGAKRELLEESGLS VDTLHKVGHISFEFVGSPELMDVHIFSADHVHGTPTESEGEPWAGKDGYPDSLASLGERN QPLPSIGTKPFYLCFASEPGAARQSRLVAWG >ENSMUSP00000119607.1 pep:known chromosome:GRCm38:5:146948657:146955614:1 gene:ENSMUSG00000016503.16 transcript:ENSMUST00000146511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3a description:general transcription factor III A [Source:MGI Symbol;Acc:MGI:1913846] LEPRVSVAEAVSSLTIADAFVGACEGPAPPRPALPSRFICSFPDCSASYNKAWKLDAHLC KHTGERPFVCDYEGCGKAFIRDYHLSRHVLIHTGEKPFVCADDGCNQKFNTKSNLKKHIE RKHGNPQKQYVCSYEGCKKAFKKHQQLRTHQCQHTSEPLFRCTHEGCGKHFASPSRLKRH GKVHEGYLCQKGCSFMGKTWTELLKHMREAHKEDITCNVCQRMFKRRDYLKQHMKTHAPE RDVYRCPRQGCGRTYTTVFNLQSHILSFHEEKRPFVCEHAGCGKTFAMKQSLMRHSVVHD PDKKRMKLKVRAPRERRSLASRLSGYFPPKRKQEPDYSLPNASAESSSSPEAQLPPPAAL LTVC >ENSMUSP00000115824.1 pep:known chromosome:GRCm38:5:146948705:146955606:1 gene:ENSMUSG00000016503.16 transcript:ENSMUST00000132102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3a description:general transcription factor III A [Source:MGI Symbol;Acc:MGI:1913846] LEPRVSVAEAVSSLTIADAFVGACEGPAPPRPALPSRFICSFPDCSASYNKAWKLDAHLC KHTGERPFVCDYEGCGKAFIRDYHLSRHVLIHTGEKPFVCADDGCNQKFNTKSNLKKHIE RKHGNPQKQYVCSYEGCKKAFKKHQQLRTHQCQHTSEPLFRCTHEGCGKHFASPSRLKRH GKVHEGYLCQKGCSFMGKTWTELLKHMREAHKEDITCNVCQRMFKRRDYLKQHMKTHAPE RDVYRCPRQGCGRTYTTVFNLQSHILSFHEEKRPFVCEHAGCGKTFAMKQSLMRHSVVHD PDKKRMKLKVSGSCWGQHSAVRPAWRLSIRIPHPACLCLLRV >ENSMUSP00000026139.7 pep:known chromosome:GRCm38:11:120713925:120721128:1 gene:ENSMUSG00000025145.13 transcript:ENSMUST00000026139.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc45 description:leucine rich repeat containing 45 [Source:MGI Symbol;Acc:MGI:2387183] MEEFRRSYNRLCEESGAEPQEAVLQQLHQLPKGGLDLTTQSLTVETCRALGKLLHKETLL KELVLSDCMLSEEGSTLLFQGLCANTSVQHLDLKGNNLRATGAEALGKLLRQNKSIQSLT LEWNNLGTWEDAFATFCGGLAANSALRQLDLRNNQISHKGAEELALALKGNTTLQQLDLR WNNIGLLGGRALVNCLPSNRTLWKLDLAGNNIPGDILRAVEQAMDHNQDRLTAFRENQAR TKILSKEVQHLQEEKSKQFLDLMETIDKQREEMARDSRASAVRVGQLQEALNERQSIINA LKAKLQMTEAALALSEQKVRDLGELLVAGDQERQSLSQRHEKERKLERQEAADRESKLLR DLSAASEKNLLLRSQVDELERKARSQQEQLFLTKQELTNTSAELKIRAIQAEERLDVEKR RAKQNMEDLEKLHSKEVDHMTRHLEESERAMQERVQRLEALRLSLEEELSRMKAAVLSER GQAEEELIKARNQARLEEQHRLAHLEEKIRLLAQARDEAQGTCVQQKQMVAESQARVSQL NLQMEGQQRRLEELQQELINKDQEKVAEVARVRVELQEQMGRMQADLVAQEALREKVAAL ERQMKVIGSEHREALLDRESENASLREKLRLKEAEISRIRDEEAQRASFLQNAVLAYVQG SPLRALSPPK >ENSMUSP00000123038.1 pep:known chromosome:GRCm38:11:120713951:120721123:1 gene:ENSMUSG00000025145.13 transcript:ENSMUST00000145781.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc45 description:leucine rich repeat containing 45 [Source:MGI Symbol;Acc:MGI:2387183] MEEFRRSYNRLCEESGAEPQEAVLQQLHQLPKGGLDLTTQSLTVETCRALGKLLHKETLL KELVLSDCMLSEEGSTLLFQGLCANTSVQHLDLKGNNLRATGAEALGKLLRQNKSIQSLT LEWNNLGTWEDAFATFCGGLAANSALRQLDLRNNQISHKGAEELALALKGNTTLQQLDLR WNNIGLLGGRALVNCLPSNRTLWKLDLAGNNIPGDILRAVEQAMDHNQDRLTAFRENQAR TKILSKEVQHLQEEKSKQFLDLMETIDKQREEMARDSRASAVRVGQLQEALNERQSIINA LKAKLQMTEAALALSEQKVRDLGELLVAGDQERQSLSQRHEKERKLERQEAADRESKLLR DLSAASEKNLLLRSQVDELERKARSQQEQLFLTKQELTNTSAELKIRAIQAEGGSYDSSP GGE >ENSMUSP00000115298.1 pep:known chromosome:GRCm38:11:120713978:120715554:1 gene:ENSMUSG00000025145.13 transcript:ENSMUST00000151852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc45 description:leucine rich repeat containing 45 [Source:MGI Symbol;Acc:MGI:2387183] MEEFRRSYNRLCEESGAEPQEAVLQQLHQLPKGGLDLTTQSLTVETCRALGKLLHKETLL KELVLSDCMLSEEGSTLLFQGLCANTSVQHLDLKGNNLRATGAEALGKLLRQNKSIQSLT LEWNNLGTWEDAFATFCGGLAANSALRQLDLRNNQISHKGAE >ENSMUSP00000109205.2 pep:known chromosome:GRCm38:1:75479532:75485693:1 gene:ENSMUSG00000051703.14 transcript:ENSMUST00000113575.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem198 description:transmembrane protein 198 [Source:MGI Symbol;Acc:MGI:2443133] MPGTMETLRFQLLPPEPDDTFWGAPCEQPLERRYQALPALVCIMCCLFGVVYCFFGYRCF KAVLFLTGLLFGSVVIFLLCYRERVLETQLSAGASAGIALGIGLLCGLVAMLVRSVGLFL VGLLLGLLLAAAALLGSAPYYQPGSVWGPLGLLLGGGLLCALLTLRWPRPLTTLATAVTG AALIATAADYFAELLLLGRYVVERLRAAPVPPLCWRSWALLALWPLLSLMGVLVQWRVTT ERDSHTEVVISRQRRRVQLMRIRQQEERKEKRRKKRPPRAPPRGPRAPPRPGPPDPAYRR RPVPIKRFNGDVLSPSYIQSFRDRQTGSSLSSFMASPTDTDYEYGSRGPLTACSGPPVRV >ENSMUSP00000116977.1 pep:known chromosome:GRCm38:1:75479576:75482612:1 gene:ENSMUSG00000051703.14 transcript:ENSMUST00000148980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem198 description:transmembrane protein 198 [Source:MGI Symbol;Acc:MGI:2443133] MGPRLRDSPSSCSFPFPEPGASLWSCSASRCRRWGDDAVAQRPVSPAQQPPSHGRLCPDP SQSEPLVSYNPGSSGPCTPSFARKLRSPPAQLLPPEPDDTFWGAPCEQPLERRYQALPAL VCIMCCLFGVVYCFFGYRCFKAVLFLTGLL >ENSMUSP00000057865.6 pep:known chromosome:GRCm38:1:75479580:75485705:1 gene:ENSMUSG00000051703.14 transcript:ENSMUST00000050899.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem198 description:transmembrane protein 198 [Source:MGI Symbol;Acc:MGI:2443133] MPGTMETLRFQLLPPEPDDTFWGAPCEQPLERRYQALPALVCIMCCLFGVVYCFFGYRCF KAVLFLTGLLFGSVVIFLLCYRERVLETQLSAGASAGIALGIGLLCGLVAMLVRSVGLFL VGLLLGLLLAAAALLGSAPYYQPGSVWGPLGLLLGGGLLCALLTLRWPRPLTTLATAVTG AALIATAADYFAELLLLGRYVVERLRAAPVPPLCWRSWALLALWPLLSLMGVLVQWRVTT ERDSHTEVVISRQRRRVQLMRIRQQEERKEKRRKKRPPRAPPRGPRAPPRPGPPDPAYRR RPVPIKRFNGDVLSPSYIQSFRDRQTGSSLSSFMASPTDTDYEYGSRGPLTACSGPPVRV >ENSMUSP00000140795.1 pep:known chromosome:GRCm38:1:75479704:75482675:1 gene:ENSMUSG00000051703.14 transcript:ENSMUST00000187411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem198 description:transmembrane protein 198 [Source:MGI Symbol;Acc:MGI:2443133] VGGGATTPSHRDPSLRPSSPLRTADFARTPVSQSLWCPTTPAPPAPAHPPLLGSSGHLRP RLSWEGDPLPFPALCRVLWKLCGFSCCPRSRMTPSGVHLVNSLWSAGYRCFKAVLFLTGL LFGSVVIFLLCYRERVLETQLS >ENSMUSP00000134380.1 pep:known chromosome:GRCm38:17:64281005:64331916:-1 gene:ENSMUSG00000024083.15 transcript:ENSMUST00000172818.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pja2 description:praja 2, RING-H2 motif containing [Source:MGI Symbol;Acc:MGI:2159342] MSQYTEKEPSVMDQESSKAAWPKPAGGYQTITGRRYGRRHAYVSFKPCMTRHERSLGRAG DDYEVLELDDVPKENTSGSSSLDQVHPALPNEPTVEKSETEISTCGPALNQSTESSPSIA TVCHSEEVRETLESNTNLHNRTETEHTPAVCNVSSVQNGIMLVHTDSYDPDSKHDENGSL QLGAEAVEGGRHQKGLGRAVFELENGEAEIYADLSPSVPSLNGEISEAFEELDSAPLEKS STADAELVHQNGQEFQRSSEDGVVRKRRQDDTDQGRQTENSTEDADCAPGHVEQNTSDRA NHHGSSPEQVVRPKVRKVISSSQVDQEIGFNRHEAKQRSVQRWREALEVEECSSDDPIIK CDDYDGDHDCMFLTPTYSRVTQRETERNRVTSENGATASGRQESRDNAFWNACGEYYQLF DKDEDSSECSDGEWSASLPHRFSGTEKDQSSSDDSWETLPGKDENDPELQSDSSGPEEEN QELSLQEGEQTSLEEGEIPWLQYNEVNESSSDEGNEPANEFAQPEAFMLDGNNNLEDDSS VSEDLDVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLAQAMETALAHLESLAVDV EVANPPASKESIDGLPETLVLEDHTAIGQEQCCPICCSEYIKDDIATELPCHHFFHKPCV SIWLQKSGTCPVCRRHFPPAVIDASAAASSDPDPDASPANDNAEEAP >ENSMUSP00000133730.1 pep:known chromosome:GRCm38:17:64281020:64331865:-1 gene:ENSMUSG00000024083.15 transcript:ENSMUST00000172733.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pja2 description:praja 2, RING-H2 motif containing [Source:MGI Symbol;Acc:MGI:2159342] MSQYTEKEPSVMDQESSKAAWPKPAGGYQTITGRRYGRRHAYVSFKPCMTRHERSLGRAG DDYEVLELDDVPKENTSGSSSLDQVHPALPNEPTVEKSETEISTCGPALNQSTESSPSIA TVCHSEEVRETLESNTNLHNRTETEHTPAVCNVSSVQNGIMLVHTDSYDPDSKHDENGSL QLGAEAVEGGRHQKGLGRAVFELENGEAEIYADLSPSVPSLNGEISEAFEELDSAPLEKS STADAELVHQNGQEFQRSSEDGVVRKRRQDDTDQGRQTENSTEDADCAPGHVEQNTSDRA NHHGSSPEQVVRPKVRKVISSSQVDQEIGFNRHEAKQRSVQRWREALEVEECSSDDPIIK CDDYDGDHDCMFLTPTYSRVTQRETERNRVTSENGATASGRQESRDNAFWNACGEYYQLF DKDEDREQTSLEEGEIPWLQYNEVNESSSDEGNEPANEFAQPEAFMLDGNNNLEDDSSVS EDLDVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLAQAMETALAHLESLAVDVEV ANPPASKESIDGLPETLVLEDHTAIGQEQCCPICCSEYIKDDIATELPCHHFFHKPCVSI WLQKSGTCPVCRRHFPPAVIDASAAASSDPDPDASPANDNAEEAP >ENSMUSP00000024888.8 pep:known chromosome:GRCm38:17:64281006:64313031:-1 gene:ENSMUSG00000024083.15 transcript:ENSMUST00000024888.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pja2 description:praja 2, RING-H2 motif containing [Source:MGI Symbol;Acc:MGI:2159342] MSQYTEKEPSVMDQESSKAAWPKPAGGYQTITGRRYGRRHAYVSFKPCMTRHERSLGRAG DDYEVLELDDVPKENTSGSSSLDQVHPALPNEPTVEKSETEISTCGPALNQSTESSPSIA TVCHSEEVRETLESNTNLHNRTETEHTPAVCNVSSVQNGIMLVHTDSYDPDSKHDENGSL QLGAEAVEGGRHQKGLGRAVFELENGEAEIYADLSPSVPSLNGEISEAFEELDSAPLEKS STADAELVHQNGQEFQRSSEDGVVRKRRQDDTDQGRQTENSTEDADCAPGHVEQNTSDRA NHHGSSPEQVVRPKVRKVISSSQVDQEIGFNRHEAKQRSVQRWREALEVEECSSDDPIIK CDDYDGDHDCMFLTPTYSRVTQRETERNRVTSENGATASGRQESRDNAFWNACGEYYQLF DKDEDSSECSDGEWSASLPHRFSGTEKDQSSSDDSWETLPGKDENDPELQSDSSGPEEEN QELSLQEGEQTSLEEGEIPWLQYNEVNESSSDEGNEPANEFAQPEAFMLDGNNNLEDDSS VSEDLDVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLAQAMETALAHLESLAVDV EVANPPASKESIDGLPETLVLEDHTAIGQEQCCPICCSEYIKDDIATELPCHHFFHKPCV SIWLQKSGTCPVCRRHFPPAVIDASAAASSDPDPDASPANDNAEEAP >ENSMUSP00000024889.7 pep:known chromosome:GRCm38:17:64281006:64313031:-1 gene:ENSMUSG00000024083.15 transcript:ENSMUST00000024889.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pja2 description:praja 2, RING-H2 motif containing [Source:MGI Symbol;Acc:MGI:2159342] MSQYTEKEPSVMDQESSKAAWPKPAGGYQTITGRRYGRRHAYVSFKPCMTRHERSLGRAG DDYEVLELDDVPKENTSGSSSLDQVHPALPNEPTVEKSETEISTCGPALNQSTESSPSIA TVCHSEEVRETLESNTNLHNRTETEHTPAVCNVSSVQNGIMLVHTDSYDPDSKHDENGSL QLGAEAVEGGRHQKGLGRAVFELENGEAEIYADLSPSVPSLNGEISEAFEELDSAPLEKS STADAELVHQNGQEFQRSSEDGVVRKRRQDDTDQGRQTENSTEDADCAPGHVEQNTSDRA NHHGSSPEQVVRPKVRKVISSSQVDQEIGFNRHEAKQRSVQRWREALEVEECSSDDPIIK CDDYDGDHDCMFLTPTYSRVTQRETERNRVTSENGATASGRQESRDNAFWNACGEYYQLF DKDEDREQTSLEEGEIPWLQYNEVNESSSDEGNEPANEFAQPEAFMLDGNNNLEDDSSVS EDLDVDWSLFDGFADGLGVAEAISYVDPQFLTYMALEERLAQAMETALAHLESLAVDVEV ANPPASKESIDGLPETLVLEDHTAIGQEQCCPICCSEYIKDDIATELPCHHFFHKPCVSI WLQKSGTCPVCRRHFPPAVIDASAAASSDPDPDASPANDNAEEAP >ENSMUSP00000101215.1 pep:known chromosome:GRCm38:10:4611989:5005614:1 gene:ENSMUSG00000019768.16 transcript:ENSMUST00000105590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esr1 description:estrogen receptor 1 (alpha) [Source:MGI Symbol;Acc:MGI:1352467] MTMTLHTKASGMALLHQIQGNELEPLNRPQLKMPMERALGEVYVDNSKPTVFNYPEGAAY EFNAAAAAAAAASAPVYGQSGIAYGPGSEAAAFSANSLGAFPQLNSVSPSPLMLLHPPPQ LSPFLHPHGQQVPYYLENEPSAYAVRDTGPPAFYRSNSDNRRQNGRERLSSSNEKGNMIM ESAKETRYCAVCNDYASGYHYGVWSCEGCKAFFKRSIQGHNDYMCPATNQCTIDKNRRKS CQACRLRKCYEVGMMKGGIRKDRRGGRMLKHKRQRDDLEGRNEMGASGDMRAANLWPSPL VIKHTKKNSPALSLTADQMVSALLDAEPPMIYSEYDPSRPFSEASMMGLLTNLADRELVH MINWAKRVPGFGDLNLHDQVHLLECAWLEILMIGLVWRSMEHPGKLLFAPNLLLDRNQGK CVEGMVEIFDMLLATSSRFRMMNLQGEEFVCLKSIILLNSGVYTFLSSTLKSLEEKDHIH RVLDKITDTLIHLMAKAGLTLQQQHRRLAQLLLILSHIRHMSNKGMEHLYNMKCKNVVPL YDLLLEMLDAHRLHAPASRMGVPPEEPSQTQLATTSSTSAHSLQTYYIPPEAEGFPNTI >ENSMUSP00000070070.7 pep:known chromosome:GRCm38:10:4612021:5003761:1 gene:ENSMUSG00000019768.16 transcript:ENSMUST00000067086.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esr1 description:estrogen receptor 1 (alpha) [Source:MGI Symbol;Acc:MGI:1352467] MTMTLHTKASGMALLHQIQGNELEPLNRPQLKMPMERALGEVYVDNSKPTVFNYPEGAAY EFNAAAAAAAAASAPVYGQSGIAYGPGSEAAAFSANSLGAFPQLNSVSPSPLMLLHPPPQ LSPFLHPHGQQVPYYLENEPSAYAVRDTGPPAFYRSNSDNRRQNGRERLSSSNEKGNMIM ESAKETRYCAVCNDYASGYHYGVWSCEGCKAFFKRSIQGHNDYMCPATNQCTIDKNRRKS CQACRLRKCYEVGMMKGGIRKDRRGGRMLKHKRQRDDLEGRNEMGASGDMRAANLWPSPL VIKHTKKNSPALSLTADQMVSALLDAEPPMIYSEYDPSRPFSEASMMGLLTNLADRELVH MINWAKRVPGFGDLNLHDQVHLLECAWLEILMIGLVWRSMEHPGKLLFAPNLLLDRNQGK CVEGMVEIFDMLLATSSRFRMMNLQGEEFVCLKSIILLNSGVYTFLSSTLKSLEEKDHIH RVLDKITDTLIHLMAKAGLTLQQQHRRLAQLLLILSHIRHMSNKGMEHLYNMKCKNVVPL YDLLLEMLDAHRLHAPASRMGVPPEEPSQTQLATTSSTSAHSLQTYYIPPEAEGFPNTI >ENSMUSP00000101213.1 pep:known chromosome:GRCm38:10:4710155:4967336:1 gene:ENSMUSG00000019768.16 transcript:ENSMUST00000105588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esr1 description:estrogen receptor 1 (alpha) [Source:MGI Symbol;Acc:MGI:1352467] MTMTLHTKASGMALLHQIQGNELEPLNRPQLKMPMERALGEVYVDNSKPTVFNYPEGAAY EFNAAAAAAAAASAPVYGQSGIAYGPGSEAAAFSANSLGAFPQLNSVSPSPLMLLHPPPQ LSPFLHPHGQQVPYYLENEPSAYAVRDTGPPAFYRSNSDNRRQNGRERLSSSNEKGNMIM ESAKETRYCAVCNDYASGYHYGVWSCEGCKAFFKRSIQGHNDYMCPATNQCTIDKNRRKS CQACRLRKCYEVGMMKGGIRKDRRGGRMLKHKRQRDDLEGRNEMGASGDMRAANLWPSPL VIKHTKKNSPALSLTADQMVSALLDAEPPMIYSEYDPSRPFSEASMMGLLTNLADRELVH MINWAKRVPGFGDLNLHDQVHLLECAWLEILMIGLVWRSMEHPGKLLFAPNLLLDREHSV KTLKRSSDKDLQGLQTTGIPLCQAEDGLLLKNATRVQEKPTCSLHSLTKAKRACHVILVP SPESRNVGVKDSTLLVVNS >ENSMUSP00000101214.1 pep:known chromosome:GRCm38:10:4710155:5002024:1 gene:ENSMUSG00000019768.16 transcript:ENSMUST00000105589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esr1 description:estrogen receptor 1 (alpha) [Source:MGI Symbol;Acc:MGI:1352467] MTMTLHTKASGMALLHQIQGNELEPLNRPQLKMPMERALGEVYVDNSKPTVFNYPEGAAY EFNAAAAAAAAASAPVYGQSGIAYGPGSEAAAFSANSLGAFPQLNSVSPSPLMLLHPPPQ LSPFLHPHGQQVPYYLENEPSAYAVRDTGPPAFYRSNSDNRRQNGRERLSSSNEKGNMIM ESAKETRYCAVCNDYASGYHYGVWSCEGCKAFFKRSIQGHNDYMCPATNQCTIDKNRRKS CQACRLRKCYEVGMMKGGIRKDRRGGRMLKHKRQRDDLEGRNEMGASGDMRAANLWPSPL VIKHTKKNSPALSLTADQMVSALLDAEPPMIYSEYDPSRPFSEASMMGLLTNLADRELVH MINWAKRVPGFGDLNLHDQVHLLECAWLEILMIGLVWRSMEHPGKLLFAPNLLLDRNQGK CVEGMVEIFDMLLATSSRFRMMNLQGEEFVCLKSIILLNSGVYTFLSSTLKSLEEKDHIH RVLDKITDTLIHLMAKAGLTLQQQHRRLAQLLLILSHIRHMSNKGMEHLYNMKCKNVVPL YDLLLEMLDAHRLHAPASRMGVPPEEPSQTQLATTSSTSAHSLQTYYIPPEAEGFPNTI >ENSMUSP00000075566.3 pep:known chromosome:GRCm38:2:103566310:103718423:1 gene:ENSMUSG00000032724.5 transcript:ENSMUST00000076212.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abtb2 description:ankyrin repeat and BTB (POZ) domain containing 2 [Source:MGI Symbol;Acc:MGI:2139365] MAGTYSSTLKTLEDLTLDSGYGAGDSCRSLSLSSSKSNSQALNSSAQQHRGAAWWCYSGS MNSRHNSWDTVNTVLPEDPEVADLFSRCPRLPELEEFPWTEGDVARVLRKSVGGRRLPSF SAEAVRRLAGLLRRALIRVAREAQRLSVLHAKCTRFEVQSAVRLVHSWALAESCALAAVK ALSLYSMSAGDGLRRGKSARCGLTFSVGRFFRWMVDTRISVRIHEYAAISLTACMENLVE EIRARVLASQSPDGGGAGGGEVSAEALEMVINNDAELWGVLQPYEHLICGKNANGVLSLP AYFSPYNGGSLGHDERADAYAQLELRTLEQSLLATCVGSISELSDLVSRAMHHMQGRHPL CPGTSPARQARQPPQPITWSPDALHTLYYFLRCPQMESMENPNLDPPRMTLNNERPFMLL PPLMEWMRVAITYAEHRRSPTVDSGDIRQAARLLLPGLDCEPRQLKPECCFSSFRRLDAR AATERFNQDLGFRMLNCGRTDLISQAIEALGPDGVNTMDDQGMTPLMYACAAGDEAMVQM LIDAGANLDIQVPSHSPRHPSVHPDSRHWTSLTFAVLHGHISVVQLLLDAGAHVEGSAVN SGEDSYAETPLQLASAAGNYELVSLLLSRGADPLLSMLEANGMASSLHEEMNCFSHSAAH GHRNVLRKLLTQPQQAKADVLSLEEILAEGVEESDTSSQGSSEGPVRLSRTRTKALQEAM YYSAEHGYLDITMELRALGVPWKLHIWIESLRTSFSQSRYSVVQSLLKDFSSIKEEEYNE ELVTEGLQLMFDILKTSKNDSVLQQLATIFTHCYGTSPIPSIPEIRKTLPARLDPHFLNN KEMSDVTFLVEGKLFYAHKVLLVTASNRFKTLMTNKSEQDGDSSKTIEISDIKYHIFQML MQYLYYGGTESMEIPTADILQLLSAANLFQLDALQRHCEILCSQTLSVESAVNTYKYAKI HNAPELALFCEGFFLKHMKALLEQDAFRQLIYGRSSKVQGLDPLQDLQSTLAERVHSVYV TSRV >ENSMUSP00000144236.1 pep:known chromosome:GRCm38:5:94865436:94885388:1 gene:ENSMUSG00000095954.4 transcript:ENSMUST00000202911.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3183 description:predicted gene 3183 [Source:MGI Symbol;Acc:MGI:3781362] MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYIPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGIGVGSATHGLLFARAEGGGNLCYF YLPIPKSHQELQLKEEVYITVLWKHLSKGEEERLAFLINGFLKEQSLLVGKAEESKEEKM WNKITLRPR >ENSMUSP00000144382.1 pep:known chromosome:GRCm38:5:94865453:94877335:1 gene:ENSMUSG00000095954.4 transcript:ENSMUST00000201703.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3183 description:predicted gene 3183 [Source:MGI Symbol;Acc:MGI:3781362] MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYIPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000137076.1 pep:known chromosome:GRCm38:5:94873797:94876892:1 gene:ENSMUSG00000095954.4 transcript:ENSMUST00000180076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3183 description:predicted gene 3183 [Source:MGI Symbol;Acc:MGI:3781362] MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYIPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000087525.4 pep:known chromosome:GRCm38:2:120166412:120245335:-1 gene:ENSMUSG00000050211.14 transcript:ENSMUST00000090071.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g4e description:phospholipase A2, group IVE [Source:MGI Symbol;Acc:MGI:1919144] MQSIPHSDEADVAGMTHASEGHHGLGTSMLVPKNPQGEEDSKLGRNCSGFEDAQDPQTAV PSSPLLSMASCSSQEGSSPCHLLTVRIIGMKNVRQADILSQTDCFVTLWLPTASQKKLKT RTISNCLHPEWDESFTFQIQTQVKNVLELSVCDEDTLTQNDHLLTVLYDLSKLCLRNKTH VKFPLNPEGMEELEVEFLLEENFSSSETLITNGVLVSRQVSCLEVHAESRRPRKRKKNKD LLVMVTDSFENTQRVPPCQEPCYPNSACFHYPKYSQPQLYAEAPKSHCNFRLCCCGTHRN DPVCQPLNCLSDGQVTTLPVGENYELHMKSSPCSDTLDVRLGFSLCQEEVEFVQKRKMVV AKTLSQMLQLEEGLHEDEVPIIAIMATGGGTRSMVSLYGHLLGLQKLNFLDASTYITGLS GATWTMATLYSDPEWSSKNLETVVFEARRHVVKDKMPALFPDQLYKWREDLQKHSQEGYK TTFTDFWGKLIEYSLGDKKNECKLSDQRAALCRGQNPLPIYLTINVKDDVSNQDFREWFE FSPYEVGMQKYGAFIPSELFGSEFFMGRLMKRIPEPEMCYMLGLWSSIFSLNLLDAWNLS HTSEEFFYRWTRERLHDIEDDPILPEIPRCDDNPLETTVVIPTTWLSNTFREILTRRPFV SEFHNFLYGMQLHTDYLQNRQFSMWKDTVLDTFPNQLTQFAKHLNLLDTAFFVNSSYAPL LRPERKVDLIIHLNYCAGSQTKPLKQTCEYCTEQKIPFPSFSILEDDNSLKECYVMENPQ EPDAPIVAYFPLISDTFQKYKAPGVERSPDELELGQLNIYGPKSPYATKELTYTEAAFDK LVKLSEYNILNNRDKLIQALRLAMEKKRMRSQCPS >ENSMUSP00000078362.3 pep:known chromosome:GRCm38:6:34598500:34775454:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000079391.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] MDDFERRRELRRQKREEMRLEAERIAYQRNDDDEEEAARERRRRARQERLRQKQEEESLG QVTDQVEAHVQNSVPDEESKPASSNTQVEGDEEAALLERLARREERRQKRLQEALERQKE FDPTITDGSLSGPSRRMQNDSAENETAEGEEKRESRSGRYEVEETEVVIKSYQKNSYQDA EDKKKEEKEEEEQEKLKGGSLGENQIKDEKIKKDKEPKEEVKSFLDRKKGFTEVKAQNGE FMTHKLKQTENAFSRSGGRASGDKEAEGAPQVEAGKRLEELRRRRGETENEEFEKLKQKQ QEAALELEELKKKREERRKVLEEEEQRRKQEEADRKAREEEEKRRLKEEIERRRAEAAEK RQKMPEDGLSEDKKPFKCFTPKGSSLKIEERAEFLNKSVQKSGVRSTHQAAVVSKIDSRL EQYTNAIEGTKASKPMKPAASDLPVPAEGVRNIKSMWEKGSVFSAPSASGTPNKETAGLK VGVSSRINEWLTKSPDGNKSPAPKPSDLRPGDVSGKRNLWEKQSVDKVTSPTKV >ENSMUSP00000122926.1 pep:known chromosome:GRCm38:6:34598512:34753588:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000142512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] MDDFERRRELRRQKREEMRLEAERIAYQRNDDDEEEAARERRRRARQERLRQKQEEESLG QVTDQVEAHVQNSVPDEESKPASSNTQVEGDEEAALLERLARREERRQKRLQEALERQKE FDPTITDGSLSGPSRRMQNDSAENETAEGEEKRESRSGRYEVEETEVVIKSYQKNSYQDA EDKKKEEKEEEEQEKLKGGSLGENQIKDEKIKKDKEPKEEVKSFLDRKKGFTEVKAQNGE FMTHKLKQTENAF >ENSMUSP00000110679.1 pep:known chromosome:GRCm38:6:34598564:34775466:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000115027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] MDDFERRRELRRQKREEMRLEAERIAYQRNDDDEEEAARERRRRARQERLRQKQEEESLG QVTDQVEAHVQNSVPDEESKPASSNTQVEGDEEAALLERLARREERRQKRLQEALERQKE FDPTITDGSLSGPSRRMQNDSAENETAEGEEKRESRSGRYEVEETEVVIKSYQKNSYQDA EDKKKEEKEEEEQEKLKGGSLGENQVEKMVEEKTPEIQEEAVLMVLENGQVSAEESKIEG DGEQDMEEIARRKKMGEEERERAEAERLRLEVEGQEQERIKAEQDRKLAEERARVEAEQK AAAEERERREAEERERREAEERERREAEERAEQARIQEEQRRVAEAQKKAKAEEEEKARI EEQRQKQQLEEQRSEKREAKDKGEKGAEKADRKGVNEREAPDDRLQTAVPKTQEEEKGVK GQAQRQKPRDDKPAFRKEEIKDEKIKKDKEPKEEVKSFLDRKKGFTEVKAQNGEFMTHKL KQTENAFSRSGGRASGDKEAEGAPQVEAGKRLEELRRRRGETENEEFEKLKQKQQEAALE LEELKKKREERRKVLEEEEQRRKQEEADRKAREEEEKRRLKEEIERRRAEAAEKRQKMPE DGLSEDKKPFKCFTPKGSSLKIEERAEFLNKSVQKSGVRSTHQAAVVSKIDSRLEQYTNA IEGTKASKPMKPAASDLPVPAEGVRNIKSMWEKGSVFSAPSASGTPNKETAGLKVGVSSR INEWLTKSPDGNKSPAPKPSDLRPGDVSGKRNLWEKQSVDKVTSPTKV >ENSMUSP00000110678.1 pep:known chromosome:GRCm38:6:34598565:34775466:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000115026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] MDDFERRRELRRQKREEMRLEAERIAYQRNDDDEEEAARERRRRARQERLRQKQEEESLG QVTDQVEAHVQNSVPDEESKPASSNTQVEGDEEAALLERLARREERRQKRLQEALERQKE FDPTITDGSLSGPSRRMQNDSAENETAEGEEKRESRSGRYEVEETEVVIKSYQKNSYQDA EDKKKEEKEEEEQEKLKGGSLGENQIKDEKIKKDKEPKEEVKSFLDRKKGFTEVKAQNGE FMTHKLKQTENAFSPSRSGGRASGDKEAEGAPQVEAGKRLEELRRRRGETENEEFEKLKQ KQQEAALELEELKKKREERRKVLEEEEQRRKQEEADRKAREEEEKRRLKEEIERRRAEAA EKRQKMPEDGLSEDKKPFKCFTPKGSSLKIEERAEFLNKSVQKSGVRSTHQAAVVSKIDS RLEQYTNAIEGTKASKPMKPAASDLPVPAEGVRNIKSMWEKGSVFSAPSASGTPNKETAG LKVGVSSRINEWLTKSPDGNKSPAPKPSDLRPGDVSGKRNLWEKQSVDKVTSPTKV >ENSMUSP00000110673.1 pep:known chromosome:GRCm38:6:34709442:34775473:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000115021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] MLSGSGSQGRRCLATLSQIAYQRNDDDEEEAARERRRRARQERLRQKQEEESLGQVTDQV EAHVQNSVPDEESKPASSNTQVEGDEEAALLERLARREERRQKRLQEALERQKEFDPTIT DGSLSGPSRRMQNDSAENETAEGEEKRESRSGRYEVEETEVVIKSYQKNSYQDAEDKKKE EKEEEEQEKLKGGSLGENQIKDEKIKKDKEPKEEVKSFLDRKKGFTEVKAQNGEFMTHKL KQTENAFSPSRSGGRASGDKEAEGAPQVEAGKRLEELRRRRGETENEEFEKLKQKQQEAA LELEELKKKREERRKVLEEEEQRRKQEEADRKAREEEEKRRLKEEIERRRAEAAEKRQKM PEDGLSEDKKPFKCFTPKGSSLKIEERAEFLNKSVQKSGVRSTHQAAVVSKIDSRLEQYT NAIEGTKASKPMKPAASDLPVPAEGVRNIKSMWEKGSVFSAPSASGTPNKETAGLKVGVS SRINEWLTKSPDGNKSPAPKPSDLRPGDVSGKRNLWEKQSVDKVTSPTKV >ENSMUSP00000138368.1 pep:known chromosome:GRCm38:6:34709490:34775468:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000149009.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] MLSGSGSQGRRCLATLSQIAYQRNDDDEEEAARERRRRARQERLRQKQEEESLGQVTDQV EAHVQNSVPDEESKPASSNTQVEGDEEAALLERLARREERRQKRLQEALERQKEFDPTIT DGSLSGPSRRMQNDSAENETAEGEEKRESRSGRYEVEETEVVIKSYQKNSYQDAEDKKKE EKEEEEQEKLKGGSLGENQIKDEKIKKDKEPKEEVKSFLDRKKGFTEVKAQNGEFMTHKL KQTENAFSRSGGRASGDKEAEGAPQVEAGKRLEELRRRRGETENEEFEKLKQKQQEAALE LEELKKKREERRKVLEEEEQRRKQEEADRKAREEEEKRRLKEEIERRRAEAAEKRQKMPE DGLSEDKKPFKCFTPKGSSLKIEERAEFLNKSVQKSGVRSTHQAAVVSKIDSRLEQYTNA IEGTKASKPMKPAASDLPVPAEGVRNIKSMWEKGSVFSAPSASGTPNKETAGLKVGVSSR INEWLTKSPDGNKSPAPKPSLASLLHSFVSGCLETCLSCLHFSLLSQSGSFSNM >ENSMUSP00000031775.6 pep:known chromosome:GRCm38:6:34709634:34773694:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000031775.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] MLSGSGSQGRRCLATLSQIAYQRNDDDEEEAARERRRRARQERLRQKQEEESLGQVTDQV EAHVQNSVPDEESKPASSNTQVEGDEEAALLERLARREERRQKRLQEALERQKEFDPTIT DGSLSGPSRRMQNDSAENETAEGEEKRESRSGRYEVEETEVVIKSYQKNSYQDAEDKKKE EKEEEEQEKLKGGSLGENQEEEKGVKGQAQRQKPRDDKPAFRKEEIKDEKIKKDKEPKEE VKSFLDRKKGFTEVKAQNGEFMTHKLKQTENAFSRSGGRASGDKEAEGAPQVEAGKRLEE LRRRRGETENEEFEKLKQKQQEAALELEELKKKREERRKVLEEEEQRRKQEEADRKAREE EEKRRLKEEIERRRAEAAEKRQKMPEDGLSEDKKPFKCFTPKGSSLKIEERAEFLNKSVQ KSGVRSTHQAAVVSKIDSRLEQYTNAIEGTKASKPMKPAASDLPVPAEGVRNIKSMWEKG SVFSAPSASGTPNKETAGLKVGVSSRINEWLTKSPDGNKSPAPKPSDLRPGDVSGKRNLW EKQSVDKVTSPTKV >ENSMUSP00000117064.1 pep:known chromosome:GRCm38:6:34745952:34755897:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000123823.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] XKEEKEEEEQEKLKGGSLGENQVEKMVEEKTPEIQEEAVLMVLENGQEKEEEKGVKGQAQ RQKPRDDKPAFRKEEIKDEKIKKDKEPKEEVKSFLDRKKGFTEVKAQNGEFMTHKLKQTE NAFSPSRSGGRASGDKEAEGAPQVEAGKRLEELRRRRGETENEEFEKLKQKQQEAALELE ELKKKREERRKVLEEEEQRRKQEEADRKARE >ENSMUSP00000121213.1 pep:known chromosome:GRCm38:6:34745981:34755807:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000136907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] XKLKGGSLGENQVEKMVEEKTPEIQEEAVLMVLENGQVSAEESKIEGDGEQDMEEIARRK KMGEEERERAEAERLRLEVEGQEQERIKAEQDRKLAEERARVEAEQKAAAEERERREAEE RERREAEERERREAEERAEQARIQEEQRRVAEAQKKAKAEEEEKARIEEQRQKQQLEEQR SEKREAKDKGEKGAEKADRKGVNEREAPDDRLQTAVPKTQEKEEEKGVKGQAQRQKPRDD KPAFRKEEIKDEKIKKDKEPKEEVKSFLDRKKGFTEVKAQNGEFMTHKLKQTENAFSPSR SGGRASGDKEAEGAPQVEAGKRLEELRRRRGETENEEFEKLKQKQQEAALELEEL >ENSMUSP00000121911.1 pep:known chromosome:GRCm38:6:34746007:34755807:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000126181.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] ENQEKEEEKGVKGQAQRQKPRDDKPAFRKEEIKDEKIKKDKEPKEEVKSFLDRKKGFTEV KAQNGEFMTHKLKQTENAFSPSRSGGRASGDKEAEGAPQVEAGKRLEELRRRRGETENEE FEKLKQKQQEAALELEEL >ENSMUSP00000116247.1 pep:known chromosome:GRCm38:6:34746386:34762124:1 gene:ENSMUSG00000029761.16 transcript:ENSMUST00000142716.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cald1 description:caldesmon 1 [Source:MGI Symbol;Acc:MGI:88250] XRAEQARIQEEQRRVAEAQKKAKAEEEEKARIEEQRQKQQLEEQRSEKREAKDKGEKGAE KADRKGVNEREAPDDRLQTAVPKTQIKDEKIKKDKEPKEEVKSFLDRKKGFTEVKAQNGE FMTHKLKQTENAFSPSRSGGRASGDKEAEGAPQVEAGKRLEELRRRRGETENEEFEKLKQ KQQEAALELEELKKKREERRKVLEEEEQRRKQEEADRKAREEEEKRRLKEEIERRRAEAA EKRQKMPEDGLSEDKKPFKCFTPKGSSLKIEERA >ENSMUSP00000140463.1 pep:known chromosome:GRCm38:10:31689310:32890461:-1 gene:ENSMUSG00000069670.7 transcript:ENSMUST00000191234.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain2 description:Na+/K+ transporting ATPase interacting 2 [Source:MGI Symbol;Acc:MGI:1923447] MGYCSGRCTLIFICGMQLVCVLERQIFDFLGYQWAPILANFVHIIIVILGLFGTIQYRPR YVTGYAVWLVLWVTWNVFVICFYLEAGDLSKETDLILTFNISMHRSWWMENGPGCMVTSV TPAPDWAPEDHRYITVSGCFLDYQYIEVAHSSLQIVLALAGFIYACYVVRCITEEEDSFD FIGGFDSYGYQGPQKTSHLQLQPMYMSK >ENSMUSP00000090264.1 pep:known chromosome:GRCm38:10:32889905:32890462:-1 gene:ENSMUSG00000069670.7 transcript:ENSMUST00000092602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain2 description:Na+/K+ transporting ATPase interacting 2 [Source:MGI Symbol;Acc:MGI:1923447] SGRVASARPIPTKQGIAKQASKQARKQASKPASHATGTPPTRSLALFPQSTPPQLPGTAT PRPQRPGLLPATTSEKLLIGRGSAHLGKGKGAAGRPRGPRSRPAPGPRTRSAEQRRPARI SAAPGARAPVRGEGVRGGRALVGRGPQLGGPDAPGLGGAVCLPLLPLRGPGASPWAALPA ALGVQ >ENSMUSP00000090265.4 pep:known chromosome:GRCm38:10:32329765:32410335:-1 gene:ENSMUSG00000069670.7 transcript:ENSMUST00000092603.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain2 description:Na+/K+ transporting ATPase interacting 2 [Source:MGI Symbol;Acc:MGI:1923447] LGYYSGACVLIISCNILWVCVLERQIFDFLGYQWAPILANFVHIIIVILGLFGTIQYRPR YVTGYAVWLVLWVTWNVFVICFYLEAGDLSK >ENSMUSP00000097431.1 pep:known chromosome:GRCm38:2:87942682:87943626:-1 gene:ENSMUSG00000075145.1 transcript:ENSMUST00000099843.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1155 description:olfactory receptor 1155 [Source:MGI Symbol;Acc:MGI:3030989] MILTDINLTSEVTFALLGFSDYPELQVPLFLLFLAIYSFSVVGNIGMMIIIKINPKLHTP MYFFLSHLSFADFCYSSIIAPKMLVNLVVEDRTISFLGCIMQFFFFCTFVVTELILFAVM AYDRFVAVCNPLLYTVVMSQRLCALLVLGSYAWGVVCSLTLTCSALNLYFRGFNTINHFF CELSSLIALSCSDSHLTQLLLFIVATFNEISTLLIILTSYLFIVVTALKMHSSSGHRKVF STCASHMTAITIFHGTILFLYCVPNSKNSRHTVKVASLFYTVVIPMLNPLIYSLRNKDVK DTVSKLMNVRKFSQ >ENSMUSP00000078199.7 pep:known chromosome:GRCm38:1:75474569:75479271:-1 gene:ENSMUSG00000032997.16 transcript:ENSMUST00000079205.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpf description:chondroitin polymerizing factor [Source:MGI Symbol;Acc:MGI:106576] MRASLLLSVLRPAGPVAVGISLGFTLSLLSVTWVEEPCGPGPPQPGDSELPPRGNTNAAR RPNSVQPGSERERPGAGAGTGESWEPRVLPYHPAQPGQATKKAVRTRYISTELGIRQKLL VAVLTSQATLPTLGVAVNRTLGHRLEHVVFLTGARGRRTPSGMAVVALGEERPIGHLHLA LRHLLEQHGDDFDWFFLVPDATYTEAHGLDRLAGHLSLASATHLYLGRPQDFIGGDTTPG RYCHGGFGVLLSRTLLQQLRPHLESCRNDIVSARPDEWLGRCILDATGVGCTGDHEGMHY NYLELSPGEPVQEGDPRFRSALTAHPVRDPVHMYQLHKAFARAELDRTYQEIQELQWEIQ NTSRLAADGERASAWPVGIPAPSRPASRFEVLRWDYFTEQYAFSCADGSPRCPLRGADQA DVADVLGTALEELNRRYQPALQLQKQQLVNGYRRFDPARGMEYTLDLQLEALTPQGGRWP LTRRVQLLRPLSRVEILPVPYVTEASRLTVLLPLAAAERDLASGFLEAFATAALEPGDAA ALTLLLLYEPRQAQRAAHSDVFAPVKAHVAELERRFPGARVPWLSVQTAAPSPLRLMDLL SKKHPLDTLFLLAGPDTVLTPDFLNRCRMHAISGWQAFFPMHFQAFHPAVAPPQGPGPPE LGRDTGHFDRQAASEACFYNSDYVAARGRLVAASEQEEELLESLDVYELFLRFSNLHVLR AVEPALLQRYRAQPCSARLSEDLYHRCRQSVLEGLGSRTQLAMLLFEQEQGNST >ENSMUSP00000092412.2 pep:known chromosome:GRCm38:1:75474575:75479307:-1 gene:ENSMUSG00000032997.16 transcript:ENSMUST00000094818.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpf description:chondroitin polymerizing factor [Source:MGI Symbol;Acc:MGI:106576] MAVVALGEERPIGHLHLALRHLLEQHGDDFDWFFLVPDATYTEAHGLDRLAGHLSLASAT HLYLGRPQDFIGGDTTPGRYCHGGFGVLLSRTLLQQLRPHLESCRNDIVSARPDEWLGRC ILDATGVGCTGDHEGMHYNYLELSPGEPVQEGDPRFRSALTAHPVRDPVHMYQLHKAFAR AELDRTYQEIQELQWEIQNTSRLAADGERASAWPVGIPAPSRPASRFEVLRWDYFTEQYA FSCADGSPRCPLRGADQADVADVLGTALEELNRRYQPALQLQKQQLVNGYRRFDPARGME YTLDLQLEALTPQGGRWPLTRRVQLLRPLSRVEILPVPYVTEASRLTVLLPLAAAERDLA SGFLEAFATAALEPGDAAALTLLLLYEPRQAQRAAHSDVFAPVKAHVAELERRFPGARVP WLSVQTAAPSPLRLMDLLSKKHPLDTLFLLAGPDTVLTPDFLNRCRMHAISGWQAFFPMH FQAFHPAVAPPQGPGPPELGRDTGHFDRQAASEACFYNSDYVAARGRLVAASEQEEELLE SLDVYELFLRFSNLHVLRAVEPALLQRYRAQPCSARLSEDLYHRCRQSVLEGLGSRTQLA MLLFEQEQGNST >ENSMUSP00000117253.1 pep:known chromosome:GRCm38:1:75474576:75479119:-1 gene:ENSMUSG00000032997.16 transcript:ENSMUST00000138683.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chpf description:chondroitin polymerizing factor [Source:MGI Symbol;Acc:MGI:106576] MRASLLLSVLRPAGPVAVGISLGFTLSLLSVTWVEEPCGPGPPQPGDSELPPRGNTNAAR RPNSVQPGSERERPGAGAGTGESWEPRVLPYHPAQPGQATKKAVSA >ENSMUSP00000122057.1 pep:known chromosome:GRCm38:1:75477510:75479114:-1 gene:ENSMUSG00000032997.16 transcript:ENSMUST00000124042.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chpf description:chondroitin polymerizing factor [Source:MGI Symbol;Acc:MGI:106576] MRASLLLSVLRPAGPVAVGISLGFTLSLLSVTWVEEPCGPGPPQPGDSELPPRGNTNAAR RPNSVQPGSERERPGAGAGTGESWEPRVLPYHPAQPGQATKKAVRWVSRATLG >ENSMUSP00000078623.5 pep:known chromosome:GRCm38:11:72777232:72795856:-1 gene:ENSMUSG00000057778.14 transcript:ENSMUST00000079681.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5d2 description:cytochrome b5 domain containing 2 [Source:MGI Symbol;Acc:MGI:2684848] MLRICGLGVVLSLAVAAVAVMAVWLMDWWGPRPGIRLFLPEELARYRGGPGDPGLYLALL GRVYDVSSGRRHYEPGAHYSGFAGRDASRAFVTGDYSEAGLVDDINGLSSSEILTLHNWL SFYEKNYVFVGRLVGRFYRKDGLPTSELTQVEAMVTKGMEANEQEQREKQKFPPCNSEWS SAKGSRLWCSQKSGGVHRDWIGVPRKLYKPGAKEPHCVCVRTTGPPSDQQDNPRHSNHGD LDNPNLEEYTGCPPLATTCSFPL >ENSMUSP00000131961.1 pep:known chromosome:GRCm38:11:72777249:72796142:-1 gene:ENSMUSG00000057778.14 transcript:ENSMUST00000156294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5d2 description:cytochrome b5 domain containing 2 [Source:MGI Symbol;Acc:MGI:2684848] MVTKGMEANEQEQREKQKFPPCNSEWSSAKGSRLWCSQKSGGVHRDWIGVPRKLYKPGAK EPHCVCVRTTGPPSDQQDNPRHSNHGDLDNPNLEEYTGCPPLATTCSFPL >ENSMUSP00000097430.3 pep:known chromosome:GRCm38:2:87949260:87950231:-1 gene:ENSMUSG00000075144.4 transcript:ENSMUST00000099842.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1156 description:olfactory receptor 1156 [Source:MGI Symbol;Acc:MGI:3030990] MEEVNCTFMAEFILLGFSDVPELAIFLFLVFLVIYGVTVIANLGMTVLIQVSSQLHTPMY FFLSHLSFVDFCYASIIVPKMFTDIINQDQVISYLECMLQFYLFCTFAITEVFLLAVMAY DRFVAICNPLLYTVIMSPKLRLVLVSCCYLYASVCSLIHLCLALEITSFKSNVINHFFCD LPPLLSLACSDVSTNEFFLFIIVNFNEILTIVIIFTSYLFILITILKMRSAEGRRKAFST CASHLTVIMVFHGTILFIYCQPNSGNSLDVDKVTTVFYTVIIPMLNPLIYSLRNKDVKEA LRKMLGSKKNSLLDFFFFVFSKT >ENSMUSP00000065799.4 pep:known chromosome:GRCm38:17:17887888:17893853:1 gene:ENSMUSG00000052270.7 transcript:ENSMUST00000064068.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpr2 description:formyl peptide receptor 2 [Source:MGI Symbol;Acc:MGI:1278319] MESNYSIHLNGSEVVVYDSTISRVLWILSMVVVSITFFLGVLGNGLVIWVAGFRMPHTVT TIWYLNLALADFSFTATLPFLLVEMAMKEKWPFGWFLCKLVHIVVDVNLFGSVFLIALIA LDRCICVLHPVWAQNHRTVSLARKVVVGPWIFALILTLPIFIFLTTVRIPGGDVYCTFNF GSWAQTDEEKLNTAITFVTTRGIIRFLIGFSMPMSIVAVCYGLIAVKINRRNLVNSSRPL RVLTAVVASFFICWFPFQLVALLGTVWFKETLLSGSYKILDMFVNPTSSLAYFNSCLNPM LYVFMGQDFRERFIHSLPYSLERALSEDSGQTSDSSTSSTSPPADIELKAP >ENSMUSP00000104503.1 pep:known chromosome:GRCm38:2:180929023:180934010:1 gene:ENSMUSG00000038840.7 transcript:ENSMUST00000108875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc7 description:baculoviral IAP repeat-containing 7 (livin) [Source:MGI Symbol;Acc:MGI:2676458] MFSPADLFRAAVFSMGPESRARDSVRGPELSHREDGSGRTQEQDKPHCPCNHVLGQDCLD GQILGQLRPLSEEEESSGAAFLGEPAFPEMDSEDLRLASFYDWPSTAGIQPEPLAAAGFF HTGQQDKVRCFFCYGGLQSWERGDDPWTEHARWFPRCQFLLRSKGRDFVERIQTYTPLLG SWDQREEPEDAVSATPSAPAHGSPELLRSRRETQPEDVSEPGAKDVQEQLRQLQEERRCK VCLDRAVSIVFVPCGHFVCTECAPNLQLCPICRVPICSCVRTFLS >ENSMUSP00000093316.2 pep:known chromosome:GRCm38:17:17887852:17971677:1 gene:ENSMUSG00000079700.7 transcript:ENSMUST00000054871.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpr3 description:formyl peptide receptor 3 [Source:MGI Symbol;Acc:MGI:1194495] METNYSIPLNGSDVVIYDSTISRVLWILSMVVVSITFFLGVLGNGLVIWVAGFRMPHTVT TIWYLNLALADFSFTATLPFLLVEMAMKEKWPFGWFLCKLVHIAVDVNLFGSVFLIAVIA LDRCICVLHPVWAQNHRTVSLARNVVVGSWIFALILTLPLFLFLTTVRDARGDVHCRLSF VSWGNSVEERLNTAITFVTTRGIIRFIVSFSLPMSFVAICYGLITTKIHKKAFVNSSRPF RVLTGVVASFFICWFPFQLVALLGTVWLKEMQFSGSYKIIGRLVNPTSSLAFFNSCLNPI LYVFMGQDFQERLIHSLSSRLQRALSEDSGHISDTRTNLASLPEDIEIKAI >ENSMUSP00000111227.1 pep:known chromosome:GRCm38:17:17970458:17971677:1 gene:ENSMUSG00000079700.7 transcript:ENSMUST00000115565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpr3 description:formyl peptide receptor 3 [Source:MGI Symbol;Acc:MGI:1194495] METNYSIPLNGSDVVIYDSTISRVLWILSMVVVSITFFLGVLGNGLVIWVAGFRMPHTVT TIWYLNLALADFSFTATLPFLLVEMAMKEKWPFGWFLCKLVHIAVDVNLFGSVFLIAVIA LDRCICVLHPVWAQNHRTVSLARNVVVGSWIFALILTLPLFLFLTTVRDARGDVHCRLSF VSWGNSVEERLNTAITFVTTRGIIRFIVSFSLPMSFVAICYGLITTKIHKKAFVNSSRPF RVLTGVVASFFICWFPFQLVALLGTVWLKEMQFSGSYKIIGRLVNPTSSLAFFNSCLNPI LYVFMGQDFQERLIHSLSSRLQRALSEDSGHISDTRTNLASLPEDIEIKAI >ENSMUSP00000097429.1 pep:known chromosome:GRCm38:2:87961952:87962890:-1 gene:ENSMUSG00000075143.3 transcript:ENSMUST00000099841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1157 description:olfactory receptor 1157 [Source:MGI Symbol;Acc:MGI:3030991] MDEENCSTVAQFILLGFSDVPELSGVLSLLFLLIYGVTALANLGMTALIQVSSQLHTPMY FFLSHLSFVDFCYSSVIVPKMLANIFNKDKAISSLACMVQFYLFCTCVVTEVFLLAVMAY DRFVAICNPLLYTAIMSSNLRMILVSGCYLCASMCSLIHLCLALEIPSFKSNVINHFFCD LPPLLSLACSDVTVNKVLLFVVATFNESFSIVVIFTSYLFILITILRMRSVEGRRKAFST CASHLTVIIVFHGTILSIYCSSTSDNSGDADKVATVFYTVVIPMLNPLIYSLRNKDVKGA LRKVVNSKIYSQ >ENSMUSP00000115442.1 pep:known chromosome:GRCm38:1:25067476:25228826:-1 gene:ENSMUSG00000033569.17 transcript:ENSMUST00000126626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb3 description:adhesion G protein-coupled receptor B3 [Source:MGI Symbol;Acc:MGI:2441837] MESSGTPSVTLIVGSGLSCLALITLAVVYAALWRYIRSERSIILINFCLSIISSNILILV GQTQTHNKSICTTTTAFLHFFFLASFCWVLTEAWQSYMAVTGKIRTRLIRKRFLCLGWGL PALVVATSVGFTRTKGYGTDHYCWLSLEGGLLYAFVGPAAAVVLVNMVIGILVFNKLVSR DGILDKKLKHRAGQMSEPHSGLTLKCAKCGVVSTTALSATTASNAMASLWSSCVVLPLLA LTWMSAVLAMTDKRSILFQILFAVFDSLQGFVIVMVHCILRREVQDAFRCRLRNCQDPIN ADSSSSFPNGHAQIMTDFEKDVDIACRSVLHKDIGPCRAATITGTLSRISLNDDEEEKGT NPEGLSYSTLPGNVISKVIIQQPTGLHMPMSMNELSNPCLKKENTELRRTVYLCTDDNLR GADMDIVHPQERMMESDYIVMPRSSVSTQPSMKEESKMNIGMETLPHERLLHYKVNPEFN MNPPVMDQFNMNLDQHLAPQEHMQNLPFEPRTAVKNFMASELDDNVGLSRSETGSTISMS SLERRKSRYSDLDFEKVMHTRKRHMELFQELNQKFQTLDRFRDIPNTSSMENPAPNKNPW DTFKPPSEYQHYTTINVLDTEAKDTLELRPAEWEKCLNLPLDVQEGDFQTEV >ENSMUSP00000116759.1 pep:known chromosome:GRCm38:1:25067550:25826139:-1 gene:ENSMUSG00000033569.17 transcript:ENSMUST00000146592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb3 description:adhesion G protein-coupled receptor B3 [Source:MGI Symbol;Acc:MGI:2441837] DDQSLVLLNNVVLPLNEQTEGCLTQELQTTQVCNLTREAKRPPKEEFGMMGDHTIKSQRP RSVHEKRVPQEQADAAKFMAQTGESGVEEWSQWSACSVTCGQGSQVRTRTCVSPYGTHCS GPLRESRVCNNTALCPVHGVWEEWSPWSLCSFTCGRGQRTRTRSCTPPQYGGRPCEGPET HHKPCNIALCPVDGQWQEWSSWSHCSVTCSNGTQQRSRQCTAAAHGGSECRGPWAESREC YNPECTANGQWNQWGHWSGCSKSCDGGWERRMRTCQGAAVTGQQCEGTGEEVRRCSEQRC PAPYEICPEDYLISMVWKRTPAGDLAFNQCPLNATGTTSRRCSLSLHGVASWEQPSFARC ISNEYRHLQHSIKEHLAKGQRMLAGDGMSQVTKTLLDLTQRKNFYAGDLLVSVEILRNVT DTFKRASYIPASDGVQNFFQIVSNLLDEENKEKWEDAQQIYPGSIELMQVIEDFIHIVGM GMMDFQNSYLMTGNVVASIQKLPAASVLTDINFPMKGRKGMVDWARNSEDRVVIPKSIFT PVSSKELDESSVFVLGAVLYKNLDLILPTLRNYTVVNSKVIVVTIRPEPKTTDSFLEIEL AHLANGTLNPYCVLWDDSKSNESLGTWSTQGCKTVLTDASHTKCLCDRLSTFAILAQQPR EIVMESSGTPSVTLIVGSGLSCLALITLAVVYAALWRYIRSERSIILINFCLSIISSNIL ILVGQTQTHNKSICTTTTAFLHFFFLASFCWVLTEAWQSYMAVTGKIRTRLIRKRFLCLG WGLPALVVATSVGFTRTKGYGTDHYCWLSLEGGLLYAFVGPAAAVVLVNMVIGILVFNKL VSRDGILDKKLKHRAGASLWSSCVVLPLLALTWMSAVLAMTDKRSILFQILFAVFDSLQG FVIVMVHCILRREVQDAFRCRLRNCQDPINADSSSSFPNGHAQIMTDFEKDVDIACRSVL HKDIGPCRAATITGTLSRISLNDDEEEKGTNPEGLSYSTLPGNVISKVIIQQPTGLHMPM SMNELSNPCLKKENTELRRTVYLCTDDNLRGADMDIVHPQERMMESDYIVMPRSSVSTQP SMKEESKMNIGMETLPHERLLHYKVNPEFNMNPPVMDQFNMNLDQHLAPQEHMQNLPFEP RTAVKNFMASELDDNVGLSRSETGSTISMSSLERRKSRYSDLDFEKVMHTRKRHMELFQE LNQKFQTLDRFRDIPNTSSMENPAPNKNPWDTFKPPSEYQHYTTINVLDTEAKDTLELRP AEWEKCLNLPLDVQEGDFQTEV >ENSMUSP00000119804.1 pep:known chromosome:GRCm38:1:25067550:25829511:-1 gene:ENSMUSG00000033569.17 transcript:ENSMUST00000135518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb3 description:adhesion G protein-coupled receptor B3 [Source:MGI Symbol;Acc:MGI:2441837] MKAVRNLLIYIFSTYLLVMFGFNAAQDFWCSTLVKGVIYGSYSVSEMFPKNFTNCTWTLE NPDPTKYSIYLKFSKKDLSCSNFSLLAYQFDHFSHEKIKDLLRKNHSIMQLCSSKNAFVF LQYDKNFIQIRRVFPTDFPGLQKKVEEDQKSFFEFLVLNKVSPSQFGCHVLCTWLESCLK SENGRTESCGIMYTKCTCPQHLGEWGIDDQSLVLLNNVVLPLNEQTEGCLTQELQTTQVC NLTREAKRPPKEEFGMMGDHTIKSQRPRSVHEKRVPQEQADAAKFMAQTGESGVEEWSQW SACSVTCGQGSQVRTRTCVSPYGTHCSGPLRESRVCNNTALCPVHGVWEEWSPWSLCSFT CGRGQRTRTRSCTPPQYGGRPCEGPETHHKPCNIALCPVDGQWQEWSSWSHCSVTCSNGT QQRSRQCTAAAHGGSECRGPWAESRECYNPECTANGQWNQWGHWSGCSKSCDGGWERRMR TCQGAAVTGQQCEGTGEEVRRCSEQRCPAPYEICPEDYLISMVWKRTPAGDLAFNQCPLN ATGTTSRRCSLSLHGVASWEQPSFARCISNEYRHLQHSIKEHLAKGQRMLAGDGMSQVTK TLLDLTQRKNFYAGDLLVSVEILRNVTDTFKRASYIPASDGVQNFFQIVSNLLDEENKEK WEDAQQIYPGSIELMQVIEDFIHIVGMGMMDFQNSYLMTGNVVASIQKLPAASVLTDINF PMKGRKGMVDWARNSEDRVVIPKSIFTPVSSKELDESSVFVLGAVLYKNLDLILPTLRNY TVVNSKVIVVTIRPEPKTTDSFLEIELAHLANGTLNPYCVLWDDSKSNESLGTWSTQGCK TVLTDASHTKCLCDRLSTFAILAQQPREIVMESSGTPSVTLIVGSGLSCLALITLAVVYA ALWRYIRSERSIILINFCLSIISSNILILVGQTQTHNKSICTTTTAFLHFFFLASFCWVL TEAWQSYMAVTGKIRTRLIRKRFLCLGWGLPALVVATSVGFTRTKGYGTDHYCWLSLEGG LLYAFVGPAAAVVLVNMVIGILVFNKLVSRDGILDKKLKHRAGQMSEPHSGLTLKCAKCG VVSTTALSATTASNAMASLWSSCVVLPLLALTWMSAVLAMTDKRSILFQILFAVFDSLQG FVIVMVHCILRREVQDAFRCRLRNCQDPINADSSSSFPNGHAQIMTDFEKDVDIACRSVL HKDIGPCRAATITGTLSRISLNDDEEEKGTNPEGLSYSTLPGNVISKVIIQQPTGLHMPM SMNELSNPCLKKENTELRRTVYLCTDDNLRGADMDIVHPQERMMESDYIVMPRSSVSTQP SMKEESKMNIGMETLPHERLLHYKVNPEFNMNPPVMDQFNMNLDQHLAPQEHMQNLPFEP RTAVKNFMASELDDNVGLSRSETGSTISMSSLERRKSRYSDLDFEKVMHTRKRHMELFQE LNQKFQTLDRFRDIPNTSSMENPAPNKNPWDTFKPPSEYQHYTTINVLDTEAKDTLELRP AEWEKCLNLPLDVQEGDFQTEV >ENSMUSP00000116231.1 pep:known chromosome:GRCm38:1:25067550:25829707:-1 gene:ENSMUSG00000033569.17 transcript:ENSMUST00000151309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb3 description:adhesion G protein-coupled receptor B3 [Source:MGI Symbol;Acc:MGI:2441837] MKAVRNLLIYIFSTYLLVMFGFNAAQDFWCSTLVKGVIYGSYSVSEMFPKNFTNCTWTLE NPDPTKYSIYLKFSKKDLSCSNFSLLAYQFDHFSHEKIKDLLRKNHSIMQLCSSKNAFVF LQYDKNFIQIRRVFPTDFPGLQKKVEEDQKSFFEFLVLNKVSPSQFGCHVLCTWLESCLK SENGRTESCGIMYTKCTCPQHLGEWGIDDQSLVLLNNVVLPLNEQTEGCLTQELQTTQVC NLTREAKRPPKEEFGMMGDHTIKSQRPRSVHEKRVPQEQADAAKFMAQTGESGVEEWSQW SACSVTCGQGSQVRTRTCVSPYGTHCSGPLRESRVCNNTALCPVHGVWEEWSPWSLCSFT CGRGQRTRTRSCTPPQYGGRPCEGPETHHKPCNIALCPVDGQWQEWSSWSHCSVTCSNGT QQRSRQCTAAAHGGSECRGPWAESRECYNPECTANGQWNQWGHWSGCSKSCDGGWERRMR TCQGAAVTGQQCEGTGEEVRRCSEQRCPAPYEICPEDYLISMVWKRTPAGDLAFNQCPLN ATGTTSRRCSLSLHGVASWEQPSFARCISNEYRHLQHSIKEHLAKGQRMLAGDGMSQVTK TLLDLTQRKNFYAGDLLVSVEILRNVTDTFKRASYIPASDGVQNFFQIVSNLLDEENKEK WEDAQQIYPGSIELMQVIEDFIHIVGMGMMDFQNSYLMTGNVVASIQKLPAASVLTDINF PMKGRKGMVDWARNSEDRVVIPKSIFTPVSSKELDESSVFVLGAVLYKNLDLILPTLRNY TVVNSKVIVVTIRPEPKTTDSFLEIELAHLANGTLNPYCVLWDDSKSNESLGTWSTQGCK TVLTDASHTKCLCDRLSTFAILAQQPREIVMESSGTPSVTLIVGSGLSCLALITLAVVYA ALWRYIRSERSIILINFCLSIISSNILILVGQTQTHNKSICTTTTAFLHFFFLASFCWVL TEAWQSYMAVTGKIRTRLIRKRFLCLGWGLPALVVATSVGFTRTKGYGTDHYCWLSLEGG LLYAFVGPAAAVVLVNMVIGILVFNKLVSRDGILDKKLKHRAGQMSEPHSGLTLKCAKCG VVSTTALSATTASNAMASLWSSCVVLPLLALTWMSAVLAMTDKRSILFQILFAVFDSLQG FVIVMVHCILRREVQDAFRCRLRNCQDPINADSSSSFPNGHAQIMTDFEKDVDIACRSVL HKDIGPCRAATITGTLSRISLNDDEEEKGTNPEGLSYSTLPGNVISKVIIQQPTGLHMPM SMNELSNPCLKKENTELRRTVYLCTDDNLRGADMDIVHPQERMMESDYIVMPRSSVSTQP SMKEESKMNIGMETLPHERLLHYKVNPEFNMNPPVMDQFNMNLDQHLAPQEHMQNLPFEP RTAVKNFMASELDDNVGLSRSETGSTISMSSLERRKSRYSDLDFEKVMHTRKRHMELFQE LNQKFQTLDRFRDIPNTSSMENPAPNKNPWDTFKPPSEYQHYTTINVLDTEAKDTLELRP AEWEKCLNLPLDVQEGDFQTEV >ENSMUSP00000035612.6 pep:known chromosome:GRCm38:1:25067678:25826760:-1 gene:ENSMUSG00000033569.17 transcript:ENSMUST00000041838.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb3 description:adhesion G protein-coupled receptor B3 [Source:MGI Symbol;Acc:MGI:2441837] MKAVRNLLIYIFSTYLLVMFGFNAAQDFWCSTLVKGVIYGSYSVSEMFPKNFTNCTWTLE NPDPTKYSIYLKFSKKDLSCSNFSLLAYQFDHFSHEKIKDLLRKNHSIMQLCSSKNAFVF LQYDKNFIQIRRVFPTDFPGLQKKVEEDQKSFFEFLVLNKVSPSQFGCHVLCTWLESCLK SENGRTESCGIMYTKCTCPQHLGEWGIDDQSLVLLNNVVLPLNEQTEGCLTQELQTTQVC NLTREAKRPPKEEFGMMGDHTIKSQRPRSVHEKRVPQEQADAAKFMAQTGESGVEEWSQW SACSVTCGQGSQVRTRTCVSPYGTHCSGPLRESRVCNNTALCPVHGVWEEWSPWSLCSFT CGRGQRTRTRSCTPPQYGGRPCEGPETHHKPCNIALCPVDGQWQEWSSWSHCSVTCSNGT QQRSRQCTAAAHGGSECRGPWAESRECYNPECTANGQWNQWGHWSGCSKSCDGGWERRMR TCQGAAVTGQQCEGTGEEVRRCSEQRCPAPYEICPEDYLISMVWKRTPAGDLAFNQCPLN ATGTTSRRCSLSLHGVASWEQPSFARCISNEYRHLQHSIKEHLAKGQRMLAGDGMSQVTK TLLDLTQRKNFYAGDLLVSVEILRNVTDTFKRASYIPASDGVQNFFQIVSNLLDEENKEK WEDAQQIYPGSIELMQVIEDFIHIVGMGMMDFQNSYLMTGNVVASIQKLPAASVLTDINF PMKGRKGMVDWARNSEDRVVIPKSIFTPVSSKELDESSVFVLGAVLYKNLDLILPTLRNY TVVNSKVIVVTIRPEPKTTDSFLEIELAHLANGTLNPYCVLWDDSKSNESLGTWSTQGCK TVLTDASHTKCLCDRLSTFAILAQQPREIVMESSGTPSVTLIVGSGLSCLALITLAVVYA ALWRYIRSERSIILINFCLSIISSNILILVGQTQTHNKSICTTTTAFLHFFFLASFCWVL TEAWQSYMAVTGKIRTRLIRKRFLCLGWGLPALVVATSVGFTRTKGYGTDHYCWLSLEGG LLYAFVGPAAAVVLVNMVIGILVFNKLVSRDGILDKKLKHRAGQMSEPHSGLTLKCAKCG VVSTTALSATTASNAMASLWSSCVVLPLLALTWMSAVLAMTDKRSILFQILFAVFDSLQG FVIVMVHCILRREVQDAFRCRLRNCQDPINADSSSSFPNGHAQIMTDFEKDVDIACRSVL HKDIGPCRAATITGTLSRISLNDDEEEKGTNPEGLSYSTLPGNVISKVIIQQPTGLHMPM SMNELSNPCLKKENTELRRTVYLCTDDNLRGADMDIVHPQERMMESDYIVMPRSSVSTQP SMKEESKMNIGMETLPHERLLHYKVNPEFNMNPPVMDQFNMNLDQHLAPQEHMQNLPFEP RTAVKNFMASELDDNVGLSRSETGSTISMSSLERRKSRYSDLDFEKVMHTRKRHMELFQE LNQKFQTLDRFRDIPNTSSMENPAPNKNPWDTFKPPSEYQHYTTINVLDTEAKDTLELRP AEWEKCLNLPLDVQEGDFQTEV >ENSMUSP00000097428.1 pep:known chromosome:GRCm38:2:87973707:87974663:-1 gene:ENSMUSG00000075142.1 transcript:ENSMUST00000099840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr74 description:olfactory receptor 74 [Source:MGI Symbol;Acc:MGI:2151909] MILSEKNNSGIIFTLLVFSDYPDLKVPLFLVFLVIYSITVVGNIGMILVIRINPQLHSPM YFFLSHLSFVDFCYSSIIAPKMLVNLVAKDITISFVECIVQYFLFCVFVVTEAFLLVVMA YDRFVAICNPLLYTVAMSQKLCITLVVGSYAWGFTCSLTLTCSTVQLSFHGVNRIDHFFC ELSSLLALSSSDTLISQLLLFVFATFNAVSTLLLILLSYLFIVVTVLKMRSASGRRKAFS TCASHLAAITIFHGTILFLFCVPNSKNSRLTVKVGSVFYTVVIPMLNPIIYSLRNKDVQD TIRKIMTLISCVKNDRHN >ENSMUSP00000039487.6 pep:known chromosome:GRCm38:3:116859464:116903478:1 gene:ENSMUSG00000033386.10 transcript:ENSMUST00000040260.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frrs1 description:ferric-chelate reductase 1 [Source:MGI Symbol;Acc:MGI:108076] MAAPQITLSVLVIALLTCSVTAYPNGKVPMSCGGMIPQHNHSPQSEPIHQITVSQTTFKP GDQIKVTLSGPPFRGFLLEARDAENLSGPPIGSFTLIDSEESQLLTCTDVQGLAVSHTRS SKKTEIKVYWDAPSPAPDHIRFLATVVQKFKIYWVKIPSPVISQPNAPPFTTPKATTQPL TTPPSVSHLTKPFSAFECGNKKFCVRSPLNCDPEKEPACVFLSFTRDNQSVMVEMSGPSD GYVSFAFSHDQWMGDDDAYLCIREDQTVDIQSSYLTGRSYPVMDSRGTLEDMAWRLADSV IQCSFRRNITLPEAKNRFVLNESYYIFFAEGPSHDGRIFRHSQQPLITYEKYNVTDTPKS VGGSRSSPLLKAHGALMFVAWMTTVSIGVLVARFFRSVWSKAFFLREAAWFQVHRMLMVA TSLLTCVAFVLPFVYRGGWSWRAGYHPYLGCTVMTLAVLQPLLATFRPPLHDPRRQVFNW THWSVGTAARIIAVAAMFLGMDLPGLNLPSPQKTYAMMGFVVWHIGTEVILEIHAYRLSR KVEILDNDRIQILQSLTVAEAEGHVFKKVVLAVYICGNVIFLSIFLSAINHI >ENSMUSP00000143546.1 pep:known chromosome:GRCm38:3:116859567:116890973:1 gene:ENSMUSG00000033386.10 transcript:ENSMUST00000199626.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Frrs1 description:ferric-chelate reductase 1 [Source:MGI Symbol;Acc:MGI:108076] MAAPQITLSVLVIALLTCSVTAYPNGKVPMSCGGMIPQHNHSPQSEPIHQITVSQTTFKP GDQIKVQCLRVWEQEVLCQESFEL >ENSMUSP00000143255.1 pep:known chromosome:GRCm38:3:116878227:116908177:1 gene:ENSMUSG00000033386.10 transcript:ENSMUST00000195905.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frrs1 description:ferric-chelate reductase 1 [Source:MGI Symbol;Acc:MGI:108076] MAAPQITLSVLVIALLTCSVTAYPNGKVPMSCGGMIPQHNHSPQSEPIHQITVSQTTFKP GDQIKVTLSGPPFRGFLLEARDAENLSGPPIGSFTLIDSEESQLLTCTDVQGLAVSHTRS SKKTEIKVYWDAPSPAPDHIRFLATVVQKFKIYWVKIPSPVISQPNAPPFTTPKATTQPL TTPPSVSHLTKPFSAFECGNKKFCVRSPLNCDPEKEPACVFLSFTRDNQSVMVEMSGPSD GYVSFAFSHDQWMGDDDAYLCIREDQTVDIQSSYLTGRSYPVMDSRGTLEDMAWRLADSV IQCSFRRNITLPEAKNRFVLNESYYIFFAEGPSHDGRIFRHSQQPLITYEKYNVTDTPKS VGGSRSSPLLKAHGALMFVAWMTTVSIGVLVARFFRSVWSKAFFLREAAWFQVHRMLMVA TSLLTCVAFVLPFVYRGGWSWRAGYHPYLGCTVMTLAVLQPLLATFRPPLHDPRRQVFNW THWSVGTAARIIAVAAMFLGMDLPGLNLPSPQKTYAMMGFVVWHIGTEVILEIHAYRLSR KVEILDNDRIQILQSLTVAEAEGHVFKKVVLAVYICGNVIFLSIFLSAINHI >ENSMUSP00000142793.1 pep:known chromosome:GRCm38:3:116896710:116902438:1 gene:ENSMUSG00000033386.10 transcript:ENSMUST00000199030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frrs1 description:ferric-chelate reductase 1 [Source:MGI Symbol;Acc:MGI:108076] VSIGVLVARFFRSVWSKAFFLREAAWFQVHRMLMVATSLLTCVAFVLPFVYRGGWSWRAG YHPYLGCTVMTLAVLQPLLATFRPPLHDPSGSNVPRNGFARTESSQSTEDLRNDGLCGLA HRD >ENSMUSP00000099682.1 pep:known chromosome:GRCm38:2:87990113:87991054:1 gene:ENSMUSG00000062793.6 transcript:ENSMUST00000102622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1158 description:olfactory receptor 1158 [Source:MGI Symbol;Acc:MGI:3030992] MSVENSTVKTEFYLLGFSDHPELQSLLFAVFFSIYSITLMGNIGMIVLITVSPNLHIPMY FFLWMLSFIDACSSSVIAPKLLVDLISDKKVISYNGCATQFYFCCSLVDTESFLLAAMAY DRYIAICNPLLYTVIMSKRVCTQLAFEAFLGGTMSSIIHTTNTFQLSFCSKEINHFFCDM TPLFSLSCFDTYTHDIILVFFTSLVEAVCLLAVLLSYMYIIVAILKTGSAEGRKKGFSTC ASHLAVITIYHGTLIFIYLCPSTGHSMDIDKMTSVFYTLIIPMLNPLIYSLRNKNVKFAF RKIISKKLFFLVI >ENSMUSP00000132366.1 pep:known chromosome:GRCm38:6:134981718:134986836:1 gene:ENSMUSG00000090698.2 transcript:ENSMUST00000167323.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apold1 description:apolipoprotein L domain containing 1 [Source:MGI Symbol;Acc:MGI:2685921] MEKRAAWEPQGADALRRFQGLLLDRRGRLHSQVLRLREVARRLERLRRRSLAANVAGSSL SAAGALAAIVGLSLSPVTLGASLVASAVGLGVATAGGAVTITSDLSLIFCNSREVRRVQE IAATCQDQMRELLSCLEFFCQWQGRRDRQLLQSGRDASMALYNSVYFIVFFGSRGFLIPR RAEGATKVSQAVLKAKIQKLSESLESCTGALDELSEQLESRVQLCTKAGRGHNLRISTDL DAALFF >ENSMUSP00000099963.3 pep:known chromosome:GRCm38:2:26905262:26910677:-1 gene:ENSMUSG00000015776.12 transcript:ENSMUST00000102899.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med22 description:mediator complex subunit 22 [Source:MGI Symbol;Acc:MGI:98446] MAQQRALPQSKETLLQSYNKRLKDDIKSIMDNFTEIIKTAKIEDETQVSRATQGEQDNYE MHVRAANIVRAGESLMKLVSDLKQFLILNDFPSVNEAIDQRNQQLRALQEECDRKLITLR DEVSIDLYELEEEYYSSRYK >ENSMUSP00000015920.5 pep:known chromosome:GRCm38:2:26905588:26910569:-1 gene:ENSMUSG00000015776.12 transcript:ENSMUST00000015920.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med22 description:mediator complex subunit 22 [Source:MGI Symbol;Acc:MGI:98446] MAQQRALPQSKETLLQSYNKRLKDDIKSIMDNFTEIIKTAKIEDETQVSRATQGEQDNYE MHVRAANIVRAGESLMKLVSDLKQFLILNDFPSVNEAIDQRNQQLRALQEECDRKLITLR DEVSIDLYELEEEYYSSSSSLCEANDLPLCEAYWRLDLDADSADGLSAPLLASPETGAGP LQSAAPVHSHGGGPGPTEHT >ENSMUSP00000116442.1 pep:known chromosome:GRCm38:2:26908109:26910578:-1 gene:ENSMUSG00000015776.12 transcript:ENSMUST00000139815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med22 description:mediator complex subunit 22 [Source:MGI Symbol;Acc:MGI:98446] MAQQRALPQSKETLLQSYNKRLKDDIKSIMDNFTEIIKTAKIEDETQVSRATQGEQDNYE MHVRAANINVNQTSRMHCWLSSTYTEQGHCVCLLLYFTLITMVQVRAGESLMKLVSDLKQ FLILNDFPSVNEAIDQRNQQLRALQEECDRKLITLRDEVSIDLYELEE >ENSMUSP00000133841.1 pep:known chromosome:GRCm38:7:7670887:7689513:-1 gene:ENSMUSG00000070841.4 transcript:ENSMUST00000173459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r34 description:vomeronasal 2, receptor 34 [Source:MGI Symbol;Acc:MGI:3757700] MFIFMEVFFLLNITLLMANFIDPRCFWRINLDEIMDEYLGLSCAFILAAVQTPIENDYFN KTLNVLKTTKNHKYALALVFAMDEINRNPDLLPNMSLIIRYTLGRCDGKTVIPTPYLFRK KKESPIPNYFCNEETMCSYLLTGPHWEVSLGFWKHMNSFLSPRILQLTYGPFHSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYFSWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDVSFPQNTEMYYNQIVMSSTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTRKTDISHGTFYGSLTFLPHHGVISGFKNFVQTWFHLRNTDLYLVMQDWKYFNY EDSASTCKILKNNSSNASFDWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIDNGKGASSHCLKVNSFLRRIYFTNPPGDKVFMKQRVIMQDEYDIVHFVNLSQHLGIKM KLGKFSPYLPHGRHSHLYVDRIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMSCFLCSFLFIGLPNRAICVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSVTAFYCILGYLACLALGNFSVAFLAKNLPDTFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGILGCIFVPKIYIILMRPER NSTQKIREKSYF >ENSMUSP00000080444.3 pep:known chromosome:GRCm38:7:103892810:103893733:-1 gene:ENSMUSG00000063615.5 transcript:ENSMUST00000081748.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr64 description:olfactory receptor 64 [Source:MGI Symbol;Acc:MGI:1341900] MWSNISAAPFLLTGFPGLEAAHHWISIPFFAIYISVLLGNGTLLYLIKDDHNLHEPMYYF LAMLAGTDLTVTLTTMPTVMAVLWVNHREIRHGACFLQAYIIHSLSIVESGVLLAMSYDR FVAICTPLHYNSILTNSRVIAIGLGVLLRGFLSLVPPILPLFWFSYCRSHVLSHAFCLHQ DVMKLACADITFNRIYPVVLVALTFFLDALIIVFSYVLILKTVMGIASGEERKKSLNTCV SHISCVLVFYITVIGLTFIHRFGKHAPHVVHITMSYVYFLFPPFMNPIIYSIKTKQIQRS VLRLLSV >ENSMUSP00000102477.2 pep:known chromosome:GRCm38:7:103906441:103907388:1 gene:ENSMUSG00000101488.1 transcript:ENSMUST00000106864.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr65 description:olfactory receptor 65 [Source:MGI Symbol;Acc:MGI:1341910] MPSMWLNISSSPFLLTGFPGLEKAHHLISLPLLMAYISILLGNGTLLFLIKDDHNLHEPM YYFLGMLAATDLGVTLTTMPTVLSVLWLNHREIGHGACFSQAYFIHTLSIVESGVLLAMA YDRFIAIRNPLRYTTILTDTKVIKIGIGVVMRAGLSIMPIIIRLHWFPYCRSHVLSHAFC LHQDVIKLACADITFNRLYPVVVVFAMVLLDFLIIFFSYVLILKTVMGIASTDERAKALN TCVSHICCILVFYVTVVGLTFIHRFGKNVPHVVHITMSYVYFLFPPFMNPVIYSIKTKQI QSGLLRLFSLPCSKT >ENSMUSP00000109197.2 pep:known chromosome:GRCm38:1:75485825:75506361:-1 gene:ENSMUSG00000026211.17 transcript:ENSMUST00000113567.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obsl1 description:obscurin-like 1 [Source:MGI Symbol;Acc:MGI:2138628] MKAGSGDQGSPPCFLRFPRPVRVVSGAEAELKCVVLGEPPPTVVWEKGGQQLVASERLSF PEDGAEHGLLLSGALPTDAGVYVCRARNAAGEAYAAAAVTVLEPPAPEPEPESSECPLPT PGTGEGAPKFLTGPQSQWVLRGEEVVLTCQVGGLPEPKLYWEKDGMALDEVWDSSHFKLE PGRGASDEGASLTLRILAARLPDSGVYVCHARNAHGHAQAGALLQVHQPRESPPQDPDEN PKPVLEPLKGAPKTFWVNEGKHAKFRCYVMGKPEPEIEWHLEGRPLLPDRRRLMYRDRDG GFVLKVLYCQAKDRGLYVCAARNSAGQTLSAVQLHVKEPRLRFTRPLQDVEGREHGIVVL ECKVPNSRIPTAWFREDQRLLPCRKYEQIEEGAVRRLVIHKLKADDDGVYLCEMRGRVRT VANVTVKGPILKRLPRKLDVLEGENAVLLVETQEAGVQGCWSRDGEDLPDTCQSSCGHMH ALVLPGVTREDAGEITFSLGNSRTTTLLRVKCVKHSPPGPPVMVEMFKGQKNKVLLTWKP PEPPPETSFIYRLERQEVGSDDWIQCFSIEKAGAVEVPGDCVPTEGDYHFRICTVSEHGR SPHVVFNGSAHLVPTARLVSGLEDVQVYDGEDAVFSLDLSAIIQGSWFLNGEQLQSNEPE GQVEPGALRYRIEQKGLQHRLILQAVKHRDSGALVGFSCPGVQDSAALTIQESSVHILSP QDKVSLTFTTSERVVLTCELSRVDFPATWYKDGQKVEESESLIVKTEGRKHRLILPEAQV RDSGEFECRTEGVSAFFGVTVQDPPVHIVNPQEHVFVHAITSECVRLTCEVDREDTTVHW YKDGQEVEESDIIVLENKGPHHRLVLPAARPSDGGEFQCVAGDERAYFTVTITDVFSWIV YPSSEVHVAAVRLERVVLTCELCRPWAEVRWTKDGEEVVESPALLLEKEDTIRRLVLPSV QLEDSGEYLCEIHDESASFTITVTEPPVRIIYPQDEVTLHAVSLECVVLTCELSREDAPV RWYKDGLEVEESEALVLQSDGPRRRLVLPAAQPEDGGEFVCDAGDDSAFFTVTVTAPPER IVHPAARSLDLQFGAPGHVELRCEVAPAGSQVRWYKDGLEVEVSDALQLGAEGPARTLTL PHAQPEDAGEYVCETRDEAVTFNVSLAELPVQFLAPEAAPNPLCVVPGEPVVLSCELSRA SAQVFWSHNGSPVQQGEGLELRAEGPRRILCIQAADLAHTGVYTCQSGASPGAPSLSFNV QVAELPPVKLVSELTPLTVHEGDDATFQCEVSPPDAEVTWLRNGAVITAGPQLEMVQNGS SRTLIIRGCQLKDAGTVTARAGAADTSARLHVRETELLFLRRLQDVRAEEGQDVHLEVET GRVGAAGTVRWIRGGEPLPLDSRLTTAQDGHVHRLSIHGVLLTDQGTYGCESRHDRTLAR LSVRPRQLRELRPLEDVTVHEGGSATFQLELSQEGVTGEWAQGGVRLHPGPKCHIQSEGR THRLVLSGLGLADSGCVSFTADTLRCAARLTVREVPVTIVQGPQDLEVTEGDTATFECEL SQTLADVIWEKDGQALSLSPRLRLQALGTRRLLLLRRCCSSDAGTYSCVVGTARSEPARL TVREREVSVLRELRSVSAREGDGATFECTVSETEITGRWELGGRALRPGGRVRIRQEGKK HILVLSELRTEDTGEVCFQAGPAQSLARLEVEALPLQMCRRPPREKTVLVNRRAVLEVTV SRPGGHVCWMREGVELCPGNKYETRRHGTTHSLVIHDVRPEDQGTYSCQAGQDSADTQLL VDGD >ENSMUSP00000114553.1 pep:known chromosome:GRCm38:1:75490534:75505641:-1 gene:ENSMUSG00000026211.17 transcript:ENSMUST00000155084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obsl1 description:obscurin-like 1 [Source:MGI Symbol;Acc:MGI:2138628] XILAARLPDSGVYVCHARNAHGHAQAGALLQVHQPRESPPQDPDENPKPVLEPLKGAPKT FWVNEGKHAKFRCYVMGKPEPEIEWHLEGRPLLPDRRRLMYRDRDGGFVLKVLYCQAKDR GLYVCAARNSAGQTLSAVQLHVKEPRLRFTRPLQDVEGREHGIVVLECKVPNSRIPTAWF REDQRLLPCRKYEQIEEGAVRRLVIHKLKADDDGVYLCEMRGRVRTVANVTVKGPILKRL PRKLDVLEGENAVLLVETQEAGVQGCWSRDGEDLPDTCQSSCGHMHALVLPGVTREDAGE ITFSLGNSRTTTLLRVKCVKHSPPGPPVMVEMFKGQKNKVLLTWKPPEPPPETSFIYRLE RQEVGSDDWIQCFSIEKAGAVEVPGDCVPTEGDYHFRICTVSEHGRSPHVVFNGSAHLVP TARLVSGLEDVQVYDGEDAVFSLDLSAIIQGSWFLNGEQLQSNEPEGQVEPGALRYRIEQ KGLQHRLILQAVKHRDSGALVGFSCPGVQDSAALTIQESSVHILSPQDKVSLTFTTSERV VLTCELSRVDFPATWYKDGQKVEESESLIVKTEGRKHRLILPEAQVRDSGEFECRTEGVS AFFGVTVQDPPVHIVNPQEHVFVHAITSECVRLTCEVDREDTTVHWYKDGQEVEESDIIV LENKGPHHRLVLPAARPSDGGEFQCVAGDERAYFTVTITDVFSWIVYPSSEVHVAAVRLE RVVLTCELCRPWAEVRWTKDGEEVVESPALLLEKEDTIRRLVLPSVQLEDSGEYLCEIHD ESASFTITVTEPPVRIIYPQDEVTLHAVSLECVVLTCELSREDAPVRWYKDGLEVEESEA LVLQSDGPRRRLVLPAAQPEDGGEFVCDAGDDSAFFTVTVTAPPERIVHPAARSLDLQFG APGHVELRCEVAPAGSQVRWYKDGLEVEVSDALQLGAEGPARTLTLPHAQPEDAGEYVCE TRDEAVTFNVSLAELPVQFLAPEAAPNPLCVVPGEPVVLSCELSRASAQVFWSHNGSPVQ QGEGLELRAEGPRRILCIQAADLAHTGVYTCQSGASPGAPSLSFNVQVAELPPVKLVSEL TPLTVHEGDDATFQCEVSPPDAEVTWLRNGAVITAGPQLEMVQNGSSRTLIIRGCQLKDA GTVTARAGAADTSARLHVRGSV >ENSMUSP00000117420.1 pep:known chromosome:GRCm38:1:75490849:75493067:-1 gene:ENSMUSG00000026211.17 transcript:ENSMUST00000132252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obsl1 description:obscurin-like 1 [Source:MGI Symbol;Acc:MGI:2138628] XCEVAPAGSQVRWYKDGLEVEVSDALQLGAEGPARTLTLPHAQPEDAGEYVCETRDEAVT FNVSLAELPVQFLAPEAAPNPLCVVPGEPVVLSCELSRASAQVFWSHNGSPVQQGEGLEL RAEGPRRILCIQAADLAHTGVYTCQSGASPGAPSLSFNVQVAEPPVRVVAPEAAQTSVRS TPGGDLELVVHLSRPGTPVRWYKDGERLASQGRVQLEQAGARQVLRVRGARRGDAGEYLC DAPQDSRIFIVSVEELPPVKLVSELTPLTVHEGDDATFQCEVSPPDAEVTWLRNGAVITA GPQLEMVQNGSSRTLIIRGCQLKDAGTVTARAGAADTSARLHVRETELLFLRRLQDVRAE EGQDVHLEVETGRVGAAGTVRWIRGGEPLPLDSRLTTAQDGHVHRLSIHGVLLTDQGT >ENSMUSP00000109195.2 pep:known chromosome:GRCm38:1:75496336:75506361:-1 gene:ENSMUSG00000026211.17 transcript:ENSMUST00000113565.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obsl1 description:obscurin-like 1 [Source:MGI Symbol;Acc:MGI:2138628] MKAGSGDQGSPPCFLRFPRPVRVVSGAEAELKCVVLGEPPPTVVWEKGGQQLVASERLSF PEDGAEHGLLLSGALPTDAGVYVCRARNAAGEAYAAAAVTVLEPPAPEPEPESSECPLPT PGTGEGAPKFLTGPQSQWVLRGEEVVLTCQVGGLPEPKLYWEKDGMALDEVWDSSHFKLE PGRGASDEGASLTLRILAARLPDSGVYVCHARNAHGHAQAGALLQVHQPRESPPQDPDEN PKPVLEPLKGAPKTFWVNEGKHAKFRCYVMGKPEPEIEWHLEGRPLLPDRRRLMYRDRDG GFVLKVLYCQAKDRGLYVCAARNSAGQTLSAVQLHVKEPRLRFTRPLQDVEGREHGIVVL ECKVPNSRIPTAWFREDQRLLPCRKYEQIEEGAVRRLVIHKLKADDDGVYLCEMRGRVRT VANVTVKGPILKRLPRKLDVLEGENAVLLVETQEAGVQGCWSRDGEDLPDTCQSSCGHMH ALVLPGVTREDAGEITFSLGNSRTTTLLRVKCVKHSPPGPPVMVEMFKGQKNKVLLTWKP PEPPPETSFIYRLERQEVGSDDWIQCFSIEKAGAVEVPGDCVPTEGDYHFRICTVSEHGR SPHVVFNGSAHLVPTARLVSGLEDVQVYDGEDAVFSLDLSAIIQGSWFLNGEQLQSNEPE GQVEPGALRYRIEQKGLQHRLILQAVKHRDSGALVGFSCPGVQDSAALTIQESSVHILSP QDKVSLTFTTSERVVLTCELSRVDFPATWYKDGQKVEESESLIVKTEGRKHRLILPEAQV RDSGEFECRTEGVSAFFGVTVQDPPVHIVNPQEHVFVHAITSECVRLTCEVDREDTTVHW YKDGQEVEESDIIVLENKGPHHRLVLPAARPSDGGEFQCVAGDERAYFTVTITDVFSWIV YPSSEVHVAAVRLERVVLTCELCRPWAEVRWTKDGEEVVESPALLLEKEDTIRRLVLPSV QLEDSGEYLCEIHDESASFTITVTESYQSQDSPNNNPEFYVLLKKPKTRRFWSHFPPWRR TAGTE >ENSMUSP00000102476.1 pep:known chromosome:GRCm38:7:103928825:103929784:1 gene:ENSMUSG00000042219.6 transcript:ENSMUST00000106863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr631 description:olfactory receptor 631 [Source:MGI Symbol;Acc:MGI:3030465] MVLSNITHFSPMFYLSGFPGLEAFEHWIFIPFFLMYLVAISGNCLILIIIKTNPRLHTPM YYLLSLLALTDLGLSVSTLPTMVGIFWFNYHGIYFGACQIQMFCIHSFSFMESAVLLVMS FDRFVAICHPLRYSSIITVQRVMRAGLCVILRGPVALIPIVLLLKDFPYCGPLVLSHSFC LHQEVIHLACVDTTFNNLYGLSLVVFTVMLDLVLIALSYGFILYTVAGLASQEEQIRAFQ TCTSHLCAVLVFFVPMMGLSLVHRFGKHAPPAVHLLMANIYLFVPPMLNPVIYSIKTKEI RKAIIRFLGFRKVNSESWG >ENSMUSP00000021641.6 pep:known chromosome:GRCm38:7:36698118:36773553:1 gene:ENSMUSG00000021217.7 transcript:ENSMUST00000021641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshz3 description:teashirt zinc finger family member 3 [Source:MGI Symbol;Acc:MGI:2442819] MPRRKQQAPRRAAAYVSDELKAAALVEDDVEPEEQAADGEPSAKYMCPEKELSKACPSYQ NSPAAEFSSHEMDSESHISETSDRMADFESSSIKNEEETKEVQVPLEDTIVSDSLEQMKA VYNNFLSNSYWSNLNLNLHQPSSENNGGSSSSSSSSSSSCGSGSFDWHQSAMAKTLQQVS QNRMLPEPSLFSTVQLYRQSSKLYGSIFTGASKFRCKDCSAAYDTLVELTVHMNETGHYR DDNHETDNNNPKRWSKPRKRSLLEMEGKEDAQKVLKCMYCGHSFESLQDLSVHMIKTKHY QKVPLKEPVTPVAAKIIPAARKKPSLELELPSSPDSTGGTPKATLSDASDALQKNSNPYI TPNNRYGHQNGASYAWHFEARKSQILKCMECGSSHDTLQELTAHMMVTGHFIKVTNSAMK KGKPIMETPVTPTITTLLDEKVQSVPLAATTFTSPSNTPASVSPKLAVEIKKEVDKEKAV PDEKPKEREKPSEEEEKYDISSKYHYLTENDLEESPKGGLDILKSLENTVTSAINKAQNG TPSWGGYPSIHAAYQLPNMMKLSLGSSGKSTPLKPMFGNSEIVSPTKTQTLVSPPSSQTS PMPKTNFHAMEELVKKVTEKVAKVEEKMKEPEGKLSPPKRATPSPCSSEQSEPIKMEASS DGSFKSQENSPSPPRDACKEASPSAEPVENGKELVKPLSGGLSGSTAIITDHPPEQPFVN PLSALQSVMNIHLGKAAKPSLPALDPMSMLFKMSNSLAEKAAVATPPPLQAKKAEHLDRY FYHVNNDQPIDLTKGKSDKGCSLGSGLLSPTSTSPATSSSTVTTAKTSAVVSFMSNSPLR ENALSDISDMLKNLTESHTSKSSTPSSISEKSDIDGATLEEAEESTPAQKRKGRQSNWNP QHLLILQAQFAASLRQTSEGKYIMSDLSPQERMHISRFTGLSMTTISHWLANVKYQLRRT GGTKFLKNLDTGHPVFFCNDCASQIRTPSTYISHLESHLGFRLRDLSKLSTEQINNQIAQ TKSPSEKLVTSSPEEDLGTTYQCKLCNRTFASKHAVKLHLSKTHGKSPEDHLLFVSELEK Q >ENSMUSP00000018990.7 pep:known chromosome:GRCm38:11:35769484:35791285:1 gene:ENSMUSG00000018846.8 transcript:ENSMUST00000018990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pank3 description:pantothenate kinase 3 [Source:MGI Symbol;Acc:MGI:2387464] MKIKDAKKPSFPWFGMDIGGTLVKLSYFEPIDITAEEEQEEVESLKSIRKYLTSNVAYGS TGIRDVHLELKDLTLFGRRGNLHFIRFPTQDLPTFIQMGRDKNFSTLQTVLSATGGGAYK FEKDFRTIGNLHLHKLDELDCLVKGLLYIDSVSFNGQAECYYFANASEPERCQKMPFNLD DPYPLLVVNIGSGVSILAVHSKDNYKRVTGTSLGGGTFLGLCSLLTGCESFEEALEMASK GDSTQADRLVRDIYGGDYERFGLPGWAVASSFGNMIYKEKRETVSKEDLARATLVTITNN IGSVARMCAVNEKINRVVFVGNFLRVNTLSMKLLAYALDYWSKGQLKALFLEHEGYFGAV GALLGLPNFS >ENSMUSP00000020315.6 pep:known chromosome:GRCm38:10:119199255:119240055:-1 gene:ENSMUSG00000020114.12 transcript:ENSMUST00000020315.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cand1 description:cullin associated and neddylation disassociated 1 [Source:MGI Symbol;Acc:MGI:1261820] MASASYHISNLLEKMTSSDKDFRFMATNDLMTELQKDSIKLDDDSERKVVKMILRLLEDK NGEVQNLAVKCLGPLVSKVKEYQVETIVDTLCTNMLSDKEQLRDISSIGLKTVIGELPPA SSGSALAANVCKKITGRLTSAIAKQEDVSVQLEALDIMADMLSRQGGLLVNFHPSILTCL LPQLTSPRLAVRKRTIIALGHLVMSCGNIVFVDLIEHLLSELSKNDSMSTTRTYIQCIAA ISRQAGHRIGEYLEKIIPLVVKFCNVDDDELREYCIQAFESFVRRCPKEVYPHVSTIINI CLKYLTYDPNYNYDDEDEDENAMDADGGDDDDQGSDDEYSDDDDMSWKVRRAAAKCLDAV VSTRHEMLPEFYKTVSPALIARFKEREENVKADVFHAYLSLLKQTRPVQSWLCDPDAMEQ GDTPLTMLQSQVPNIVKALHKQMKEKSVKTRQCCFNMLTELVNVLPGALTQHIPVLVPGI IFSLNDKSSSSNLKIDALSCLYVILCNHSPQVFHPHVQALVPPVVACVGDPFYKITSEAL LVTQQLVKVIRPLDQPSSFDATPYIKDLFTCTIKRLKAADIDQEVKERAISCMGQIICNL GDNLGPDLSNTLQIFLERLKNEITRLTTVKALTLIAGSPLKIDLRPVLGEGVPILASFLR KNQRALKLGTLSALDILIKNYSDSLTAAMIDAVLDELPPLISESDMHVSQMAISFLTTLA KVYPSSLSKISGSILNELIGLVRSPLLQGGALSAMLDFFQALVVTGTNNLGYMDLLRMLT GPVYSQSTALTHKQSYYSIAKCVAALTRACPKEGPAVVGQFIQDVKNSRSTDSIRLLALL SLGEVGHHIDLSGQLELKSVILEAFSSPSEEVKSAASYALGSISVGNLPEYLPFVLQEIT SQPKRQYLLLHSLKEIISSASVAGLKPYVENIWALLLKHCECAEEGTRNVVAECLGKLTL IDPETLLPRLKGYLISGSSYARSSVVTAVKFTISDHPQPIDPLLKNCIGDFLKTLEDPDL NVRRVALVTFNSAAHNKPSLIRDLLDSVLPHLYNETKVRKELIREVEMGPFKHTVDDGLD IRKAAFECMYTLLDSCLDRLDIFEFLNHVEDGLKDHYDIKMLTFLMLVRLSTLCPSAVLQ RLDRLVEPLRATCTTKVKANSVKQEFEKQDELKRSAMRAVAALLTIPEAEKSPLMSEFQS QISSNPELAAIFESIQKDSSSTNLESMDTS >ENSMUSP00000115234.1 pep:known chromosome:GRCm38:10:119216478:119239303:-1 gene:ENSMUSG00000020114.12 transcript:ENSMUST00000126373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cand1 description:cullin associated and neddylation disassociated 1 [Source:MGI Symbol;Acc:MGI:1261820] MGNGCHDQLSPRPSLIGLYTFSLDPSLWRRFMATNDLMTELQKDSIKLDDDSERKVVKMI LRLLEDKNGEVQNLAVKCLGPLVSKVKEYQVETIVDTLCTNMLSDKEQLRDISSIGLKTV IGELPPASSGSALAANVCKKITGRLTSAIAKQEDVSVQLEALDIMADMLSRQGGLLVNFH PSILTCLLPQLTSPRLAVRKRTIIALGHLVMSCGNIVFVDLIEHLLSELSKNDSMSTTRT YIQCIAA >ENSMUSP00000063398.3 pep:known chromosome:GRCm38:5:146951573:146963785:-1 gene:ENSMUSG00000016510.11 transcript:ENSMUST00000066675.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif3 description:mitochondrial translational initiation factor 3 [Source:MGI Symbol;Acc:MGI:1923616] MAVLLMRLMLQTTKLDHNLIGRCLQRHAVKPDPAQLSLSASTPKLLYLTSAKGFSTAGDP QGERKQKRRDAFSNTGRKISERIIRVLDEKGMDLGMMHRADVIRLMNKQDLRLVQRNTSS EPPEYQLMTGEQIHQERLKLREQEKAKPKTGPTMTKELVFSSNIGQHDLDTKSKQIQQWI EKKYHVQVTIKRRKDAEQSEEETEEIFNQILQTMPDIATFSSRPKAIRGGTASMCVFRHL SKKEEKAYRESQESQRRDTLSKDDDGNSKESDVVCQ >ENSMUSP00000016654.2 pep:known chromosome:GRCm38:5:146954520:146963787:-1 gene:ENSMUSG00000016510.11 transcript:ENSMUST00000016654.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif3 description:mitochondrial translational initiation factor 3 [Source:MGI Symbol;Acc:MGI:1923616] MAVLLMRLMLQTTKLDHNLIGRCLQRHAVKPDPAQLSLSASTPKLLYLTSAKGFSTAGDP QGERKQKRRDAFSNTGRKISERIIRVLDEKGMDLGMMHRADVIRLMNKQDLRLVQRNTSS EPPEYQLMTGEQIHQERLKLREQEKAKPKTGPTMTKELVFSSNIGQHDLDTKSKQIQQWI EKKYHVQVTIKRRKDAEQSEEETEEIFNQILQTMPDIATFSSRPKAIRGGTASMCVFRHL SKKEEKAYRESQESQRRDTLSKDDDGNSKESDVVCQ >ENSMUSP00000106193.1 pep:known chromosome:GRCm38:5:146955367:146963749:-1 gene:ENSMUSG00000016510.11 transcript:ENSMUST00000110564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif3 description:mitochondrial translational initiation factor 3 [Source:MGI Symbol;Acc:MGI:1923616] MAVLLMRLMLQTTKLDHNLIGRCLQRHAVKPDPAQLSLSASTPKLLYLTSAKGFSTAGDP QGERKQKRRDAFSNTGRKISERIIRVLDEKGMDLGMMHRADVIRLMNKQDLRLVQRNTSS EPPEYQLMTGEQIHQERLKLREQEKAKPKTGPTMTKELVFSSNIGQHDLDTKSKQIQQWI EKKYHVQVTIKRRKDAEQSEEETEEIFNQILQTMPDIATFSSRPKAIRGGTASMCVFRHL SKKEEKAYRESQESQRRDTLSKDDDGNSKESDVVCQ >ENSMUSP00000106195.1 pep:known chromosome:GRCm38:5:146955367:146963797:-1 gene:ENSMUSG00000016510.11 transcript:ENSMUST00000110566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif3 description:mitochondrial translational initiation factor 3 [Source:MGI Symbol;Acc:MGI:1923616] MAVLLMRLMLQTTKLDHNLIGRCLQRHAVKPDPAQLSLSASTPKLLYLTSAKGFSTAGDP QGERKQKRRDAFSNTGRKISERIIRVLDEKGMDLGMMHRADVIRLMNKQDLRLVQRNTSS EPPEYQLMTGEQIHQERLKLREQEKAKPKTGPTMTKELVFSSNIGQHDLDTKSKQIQQWI EKKYHVQVTIKRRKDAEQSEEETEEIFNQILQTMPDIATFSSRPKAIRGGTASMCVFRHL SKKEEKAYRESQESQRRDTLSKDDDGNSKESDVVCQ >ENSMUSP00000120283.1 pep:known chromosome:GRCm38:5:146958937:146963743:-1 gene:ENSMUSG00000016510.11 transcript:ENSMUST00000125217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif3 description:mitochondrial translational initiation factor 3 [Source:MGI Symbol;Acc:MGI:1923616] MAVLLMRLMLQTTKLDHNLIGRCLQRHAVKPDPAQLSLSASTPKLLYLTSAKGFSTAGDP QGERKQKRRDAFSNTGRKISERIIRVLDEKGMDLGMMHRADVIRLMNKQDLRL >ENSMUSP00000120064.1 pep:known chromosome:GRCm38:5:146958948:146963800:-1 gene:ENSMUSG00000016510.11 transcript:ENSMUST00000140526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtif3 description:mitochondrial translational initiation factor 3 [Source:MGI Symbol;Acc:MGI:1923616] MAVLLMRLMLQTTKLDHNLIGRCLQRHAVKPDPAQLSLSASTPKLLYLTSAKGFSTAGDP QGERKQKRRDAFSNTGRKISERIIRVLDEKGMDLGMMHRADVIRLMNKQ >ENSMUSP00000142535.1 pep:known chromosome:GRCm38:3:127869058:127896324:-1 gene:ENSMUSG00000050549.8 transcript:ENSMUST00000199273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730508B09Rik description:RIKEN cDNA 5730508B09 gene [Source:MGI Symbol;Acc:MGI:1917867] MCSARKLLRGGAGSAGGECDEDGAAPAGRVEEPEHGASPRRRRPQDEGEQDIEEPQNHSG EPIGDDYKKMGTLFGELNKNLLNMGFTRMYFGERIVEPVVVLFFWLMLWFLGLQALGLVA VLCLVIIYVQQ >ENSMUSP00000062387.8 pep:known chromosome:GRCm38:3:127869680:127896288:-1 gene:ENSMUSG00000050549.8 transcript:ENSMUST00000057198.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730508B09Rik description:RIKEN cDNA 5730508B09 gene [Source:MGI Symbol;Acc:MGI:1917867] MCSARKLLRGGAGSAGGECDEDGAAPAGRVEEPEHGASPRRRRPQDEGEQRVQILLERSK GY >ENSMUSP00000098741.4 pep:known chromosome:GRCm38:X:149594570:149636764:1 gene:ENSMUSG00000072923.6 transcript:ENSMUST00000101181.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10439 description:predicted gene 10439 [Source:MGI Symbol;Acc:MGI:3710525] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGRYSRRSRSRFRRAPVHSERSHVYSGRTNYYTDRPHHDLSNRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPSHSGIAHPGHTERDNQAQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHRHAMRAHNNQSWRSDNNQSWRDLNQSWRGRNNQSQR GHDNQSQRGHDNQSRRGHNDQSWRAHNIRSRRDRYHNSLRSSSIQSWMLHERERYHGPRG RNGPRDASPPGSEPEIDRLSAIRERTDYLWVQEHRRNETVELNVGLEDGYSSAEESDESL DRLTCRSMLDTLY >ENSMUSP00000043033.3 pep:known chromosome:GRCm38:2:5794294:5845164:-1 gene:ENSMUSG00000039128.13 transcript:ENSMUST00000043864.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc123 description:cell division cycle 123 [Source:MGI Symbol;Acc:MGI:2138811] MKKEHVSHCQFSAWYPLFRSLTIKSVILPLPQNVKDYLLDDGTLVVSGREDPPTCSQSDS GNEAEETQWSDDESTATLTAPEFPEFNTQVQEAINSLGGSVFPKLNWSAPRDAYWIAMNS SLKCKTLSDIFLLFKSSDFITHDFTQPFIHCTDDSPDPCIEYELVLRKWCELIPGAEFRC FVKENKLIGISQRDYTQYYDHISKQKEEICRCIQDFFKEHLQYKFLDEDFVFDIYRDSRG KVWLIDFNPFGEVTDSLLFTWEELTSENNLRGEVTEGDAQEQDSPAFRCTNSEVTVQPSP YLSFGLPKDFVDLSTGEDAHKLIDFLKLKRNEQEDD >ENSMUSP00000141306.1 pep:known chromosome:GRCm38:2:5809555:5833678:-1 gene:ENSMUSG00000039128.13 transcript:ENSMUST00000128467.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc123 description:cell division cycle 123 [Source:MGI Symbol;Acc:MGI:2138811] XNEAEETQWSDDESTATLTAPEFPEFNTQVQEAINSLGGSVFPKLNWSAPRDAYWIAMNS SLKCKTLSDIFLLFKSSDFITHDFTQPFIHCTDDSPDPCIEYEPNLVQCLYLHDLCLPAR ATTYLLSIAFKIAP >ENSMUSP00000114891.1 pep:known chromosome:GRCm38:2:180934772:180943077:-1 gene:ENSMUSG00000027574.15 transcript:ENSMUST00000151494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain4 description:Na+/K+ transporting ATPase interacting 4 [Source:MGI Symbol;Acc:MGI:1915372] HSYVEALHSGLQILLALLGFVYGCYVVSVLTEEEDSCLHK >ENSMUSP00000099342.3 pep:known chromosome:GRCm38:2:180934772:180954699:-1 gene:ENSMUSG00000027574.15 transcript:ENSMUST00000103053.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain4 description:Na+/K+ transporting ATPase interacting 4 [Source:MGI Symbol;Acc:MGI:1915372] MGFCSGRCTLLALCALQLVTALERQVFDFLGYQWAPILANFTHIIVVILGLFGTIQYRPR YIVVYVVWAAVWVTWNVFIICFYLEVGGLSKDSELLTFNLSGHRSWWEEHGPGCLHEEEA TAGLGALHGQSLVVGAGCAMVHSYVEALHSGLQILLALLGFVYGCYVVSVLTEEEDSFDF IGGFDPFPLYHVNEKPSSLLSKQAYLPA >ENSMUSP00000116965.1 pep:known chromosome:GRCm38:2:180935985:180954620:-1 gene:ENSMUSG00000027574.15 transcript:ENSMUST00000139929.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain4 description:Na+/K+ transporting ATPase interacting 4 [Source:MGI Symbol;Acc:MGI:1915372] XFCSGRCTLLALCALQLYVVWAAVWVTWNVFIICFYLEVGGLSKDSELLTFNLSGHRSWW EEHGPGCLHEEEATAGLGALHGQSLVVGAGCAMVHSYVEALHSGLQILLALLGFVYGCYV VSVLTEEEDSFDFIGGFDPFPLYHV >ENSMUSP00000119925.1 pep:known chromosome:GRCm38:2:180937181:180954694:-1 gene:ENSMUSG00000027574.15 transcript:ENSMUST00000148905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain4 description:Na+/K+ transporting ATPase interacting 4 [Source:MGI Symbol;Acc:MGI:1915372] MGFCSGRCTLLALCALQLVTALERQVFDFLGYQWAPILANFTHIIVVILGLFGTIQYRPR YIVVDSELLTFNLSGHRSWWEEHGPGCLHEEEATAGLGALHGQSLVVGAGCAMVHSYVEA LHSGLQILLALLGFVYGCYV >ENSMUSP00000118349.1 pep:known chromosome:GRCm38:2:180943740:180949590:-1 gene:ENSMUSG00000027574.15 transcript:ENSMUST00000137274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain4 description:Na+/K+ transporting ATPase interacting 4 [Source:MGI Symbol;Acc:MGI:1915372] MLCLVTALERQVFDFLGYQWAPILANFTHIIVVILGLFGTIQYRPRYIVVYVVWAAVWVT WNVFIICFYLEVGGLSK >ENSMUSP00000104501.2 pep:known chromosome:GRCm38:2:180934772:180954699:-1 gene:ENSMUSG00000027574.15 transcript:ENSMUST00000108873.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain4 description:Na+/K+ transporting ATPase interacting 4 [Source:MGI Symbol;Acc:MGI:1915372] MGFCSGRCTLLALCALQLVTALERQVFDFLGYQWAPILANFTHIIVVILGLFGTIQYRPR YIVVYVVWAAVWVTWNVFIICFYLEVGGLSKDSELLTFNLSGHRSWWEEHGPGCLHEEEA TAGLGALHGQSLVVGAGCAMVHSYVEALHSGLQILLALLGFVYGCYVVSVLTEEEDSCLH K >ENSMUSP00000102857.1 pep:known chromosome:GRCm38:3:95032694:95042614:-1 gene:ENSMUSG00000005625.15 transcript:ENSMUST00000107237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd4 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 4 [Source:MGI Symbol;Acc:MGI:1201670] MVLESTMVCVDNSEYMRNGDFLPTRLQAQQDAVNIVCHSKTRSNPENNVGLITLANDCEV LTTLTPDTGRILSKLHTVQPKGKITFCTGIRVAHLALKHRQGKNHKMRIIAFVGSPVEDN EKDLVKLAKRLKKEKVNVDIINFGEEEVNTEKLTAFVNTLNGKDGTGSHLVTVPPGPSLA DALISSPILAGEGGAMLGLGASDFEFGVDPSADPELALALRVSMEEQRQRQEEEARRAAA ASAAEAGIATPGTEDSDDALLKMTINQQEFGRPGLPDLSSMTEEEQIAYAMQMSLQGTEF SQESADMDASSAMDTSDPVKEEDDYDVMQDPEFLQSVLENLPGVDPNNAAIRSVMGALAS QATKDGKNDKKEEEKK >ENSMUSP00000071589.5 pep:known chromosome:GRCm38:3:95032697:95042552:-1 gene:ENSMUSG00000005625.15 transcript:ENSMUST00000071664.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd4 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 4 [Source:MGI Symbol;Acc:MGI:1201670] MVLESTMVCVDNSEYMRNGDFLPTRLQAQQDAVNIVCHSKTRSNPENNVGLITLANDCEV LTTLTPDTGRILSKLHTVQPKGKITFCTGIRVAHLALKHRQGKNHKMRIIAFVGSPVEDN EKDLVKLAKRLKKEKVNVDIINFGEEEVNTEKLTAFVNTLNGKDGTGSHLVTVPPGPSLA DALISSPILAGEGGAMLGLGASDFEFGVDPSADPELALALRVSMEEQRQRQEEEARRAAA ASAAEAGIATPGTEGERDSDDALLKMTINQQEFGRPGLPDLSSMTEEEQIAYAMQMSLQG TEFSQESADMDASSAMDTSDPVKEEDDYDVMQDPEFLQSVLENLPGVDPNNAAIRSVMGA LASQATKDGKNDKKEEEKK >ENSMUSP00000114545.1 pep:known chromosome:GRCm38:3:95032704:95035267:-1 gene:ENSMUSG00000005625.15 transcript:ENSMUST00000140348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd4 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 4 [Source:MGI Symbol;Acc:MGI:1201670] GSPVEDNEKDLVKLAKRLKKEKVNVDIINFGEEALRVSMEEQRQRQEEEARRAAAASAAE AGIATPGTEGERDSDDALLKMTINQQEFGRPGLPDLSSMTEEEQIAYAMQMSLQGTEFSQ ESADMDASSAMDTSDPVKEEDDYDVMQDPEFLQSVLENLPGVDPNNAAIRSVMGALASQA TKDGKNDKKEEEKK >ENSMUSP00000113554.1 pep:known chromosome:GRCm38:3:95032705:95042543:-1 gene:ENSMUSG00000005625.15 transcript:ENSMUST00000117355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd4 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 4 [Source:MGI Symbol;Acc:MGI:1201670] MVLESTMVCVDNSEYMRNGDFLPTRLQAQQDAVNIVCHSKTRSNPENNVGLITLANDCEV LTTLTPDTGRILSKLHTVQPKGKITFCTGIRVAHLALKHRQGKNHKMRIIAFVGSPVEDN EKDLVKLAKRLKKEKVNVDIINFGEEEVNTEKLTAFVNTLNGKDGTGSHLVTVPPGPSLA DALISSPILAGEGGAMLGLGASDFEFGVDPSADPELALALRVSMEEQRQRQEEEARRAAA ASAAEAGIATPGTEGERDSDDALLKMTINQQEFGRPGLPDLSSMTEEEQIAYAMQMSLQG TEFSQESADMDASSAMDTSDPVKVRASSEALTQPSLTSPAFRSLSFWDQGLSSLAFHKKG LGATEGNT >ENSMUSP00000084910.3 pep:known chromosome:GRCm38:5:35652023:35679782:-1 gene:ENSMUSG00000029096.15 transcript:ENSMUST00000087629.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra3 description:HtrA serine peptidase 3 [Source:MGI Symbol;Acc:MGI:1925808] MQARALLPATLAILATLAVLALAREPPAAPCPARCDVSRCPSPRCPGGYVPDLCNCCLVC AASEGEPCGRPLDSPCGDSLECVRGVCRCRWTHTVCGTDGHTYADVCALQAASRRALQVS GTPVRQLQKGACPSGLHQLTSPRYKFNFIADVVEKIAPAVVHIELFLRHPLFGRNVPLSS GSGFIMSEAGLIVTNAHVVSSSSTASGRQQLKVQLQNGDAYEATIQDIDKKSDIATIVIH PKKKLPVLLLGHSADLRPGEFVVAIGSPFALQNTVTTGIVSTAQRDGKELGLRDSDMDYI QTDAIINYGNSGGPLVNLDGEVIGINTLKVAAGISFAIPSDRITRFLSEFQNKHVKDWKK RFIGIRMRTITPSLVEELKAANPDFPAVSSGIYVQEVVPNSPSQRGGIQDGDIIVKVNGR PLADSSELQEAVLNESSLLLEVRRGNDDLLFSIIPEVVM >ENSMUSP00000144597.1 pep:known chromosome:GRCm38:5:35653017:35679094:-1 gene:ENSMUSG00000029096.15 transcript:ENSMUST00000201028.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Htra3 description:HtrA serine peptidase 3 [Source:MGI Symbol;Acc:MGI:1925808] GVCRCRWTHTVCGTDGHTYADVCALQAASRRALQVSGTPTWTISRPMPSSITGTQEDPW >ENSMUSP00000109871.2 pep:known chromosome:GRCm38:5:35661559:35679472:-1 gene:ENSMUSG00000029096.15 transcript:ENSMUST00000114233.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra3 description:HtrA serine peptidase 3 [Source:MGI Symbol;Acc:MGI:1925808] MQARALLPATLAILATLAVLALAREPPAAPCPARCDVSRCPSPRCPGGYVPDLCNCCLVC AASEGEPCGRPLDSPCGDSLECVRGVCRCRWTHTVCGTDGHTYADVCALQAASRRALQVS GTPVRQLQKGACPSGLHQLTSPRYKFNFIADVVEKIAPAVVHIELFLRHPLFGRNVPLSS GSGFIMSEAGLIVTNAHVVSSSSTASGRQQLKVQLQNGDAYEATIQDIDKKSDIATIVIH PKKKLPVLLLGHSADLRPGEFVVAIGSPFALQNTVTTGIVSTAQRDGKELGLRDSDMDYI QTDAIINYGNSGGPLVNLDGEVIGINTLKVAAGISFAIPSDRITRFLSEFQNKHVKALSP ALH >ENSMUSP00000144550.1 pep:known chromosome:GRCm38:5:35668193:35671552:-1 gene:ENSMUSG00000029096.15 transcript:ENSMUST00000129459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra3 description:HtrA serine peptidase 3 [Source:MGI Symbol;Acc:MGI:1925808] MSEAGLIVTNAHVVSSSSTASGRQQLKVQLQNGDAYEATIQDIDKKSDIATIV >ENSMUSP00000144051.1 pep:known chromosome:GRCm38:5:35668230:35671553:-1 gene:ENSMUSG00000029096.15 transcript:ENSMUST00000137935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra3 description:HtrA serine peptidase 3 [Source:MGI Symbol;Acc:MGI:1925808] MSEAGLIVTNAHVVSSSSTASGRQQLKVQLQNGDAYEATIQ >ENSMUSP00000019920.6 pep:known chromosome:GRCm38:10:33512286:33624769:-1 gene:ENSMUSG00000019785.13 transcript:ENSMUST00000019920.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clvs2 description:clavesin 2 [Source:MGI Symbol;Acc:MGI:2443223] MTHLQAGLSPETLEKARLELNENPDTLHQDIQEVRDMVITRPDIGFLRTDDAFILRFLRA RKFHHFEAFRLLAQYFEYRQQNLDMFKSFKATDPGIKQALKDGFPGGLANLDHYGRKILV LFAANWDQSRYTLVDILRAILLSLEAMIEDPELQVNGFVLIIDWSNFTFKQASKLTPNML RLAIEGLQDSFPARFGGIHFVNQPWYIHALYTVIRPFLKEKTRKRIFLHGNNLNSLHQLI HPEILPSEFGGMLPPYDMGTWARTLLDHEYDDDSEYNVDSYNMPVKDVDKELSPKSMKRS QSVVDPTALKRMDKSEEENMQPLLALD >ENSMUSP00000125100.1 pep:known chromosome:GRCm38:10:33594311:33624622:-1 gene:ENSMUSG00000019785.13 transcript:ENSMUST00000160299.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clvs2 description:clavesin 2 [Source:MGI Symbol;Acc:MGI:2443223] MTHLQAGLSPETLEKARLELNENPDTLHQDIQEVRDMVITRPDIGFLRTDDAFILRFLRA RKFHHFEAFRLLAQYFEYRQQNLDMFKSFKATDPGIKQALKDGFPGGLANLDHYGRKILV LFAANWDQSRYTLVDILRAILLSLEAMIEDPELQVNGFVLIIDWSNFTFKQASKLTPNML RLAIEGLQVRVHHCYCFFVSCMVLALFVMYWVSYEIVKTPNQKFIDF >ENSMUSP00000031840.7 pep:known chromosome:GRCm38:6:49036615:49058182:1 gene:ENSMUSG00000029816.10 transcript:ENSMUST00000031840.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpnmb description:glycoprotein (transmembrane) nmb [Source:MGI Symbol;Acc:MGI:1934765] MESLCGVLGFLLLAAGLPLQAAKRFRDVLGHEQYPDHMREHNQLRGWSSDENEWDEHLYP VWRRGDGRWKDSWEGGRVQAVLTSDSPALVGSNITFVVNLVFPRCQKEDANGNIVYEKNC RNDLGLTSDLHVYNWTAGADDGDWEDGTSRSQHLRFPDRRPFPRPHGWKKWSFVYVFHTL GQYFQKLGRCSARVSINTVNLTAGPQVMEVTVFRRYGRAYIPISKVKDVYVITDQIPVFV TMSQKNDRNLSDEIFLRDLPIVFDVLIHDPSHFLNDSAISYKWNFGDNTGLFVSNNHTLN HTYVLNGTFNLNLTVQTAVPGPCPPPSPSTPPPPSTPPSPPPSPLPTLSTPSPSLMPTGY KSMELSDISNENCRINRYGYFRATITIVEGILEVSIMQIADVPMPTPQPANSLMDFTVTC KGATPMEACTIISDPTCQIAQNRVCSPVAVDGLCLLSVRRAFNGSGTYCVNFTLGDDASL ALTSTLISIPGKDPDSPLRAVNGVLISIGCLAVLVTMVTILLYKKHKAYKPIGNCPRNTV KGKGLSVLLSHAKAPFFRGDQEKDPLLQDKPRTL >ENSMUSP00000145090.1 pep:known chromosome:GRCm38:6:49036665:49042907:1 gene:ENSMUSG00000029816.10 transcript:ENSMUST00000203757.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpnmb description:glycoprotein (transmembrane) nmb [Source:MGI Symbol;Acc:MGI:1934765] MESLCGVLGFLLLAAGLPLQAAKQGRAHMCGGQWTSL >ENSMUSP00000145376.1 pep:known chromosome:GRCm38:6:49036677:49070929:1 gene:ENSMUSG00000029816.10 transcript:ENSMUST00000204260.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpnmb description:glycoprotein (transmembrane) nmb [Source:MGI Symbol;Acc:MGI:1934765] MESLCGVLGFLLLAAGLPLQAAKRFRDVLGHEQYPDHMREHNQLRGWSSDENEWDEHLYP VWRRGDGRWKDSWEGGRVQAVLTSDSPALVGSNITFVVNLVFPRCQKEDANGNIVYEKNC RNDLGLTSDLHVYNWTAGADDGDWEDGTSRSQHLRFPDRRPFPRPHGWKKWSFVYVFHTL GQYFQKLGRCSARVSINTVNLTAGPQVMEVTVFRRYGRAYIPISKVKDVYVITDQIPVFV TMSQKNDRNLSDEIFLRDLPIVFDVLIHDPSHFLNDSAISYKWNFGDNTGLFVSNNHTLN HTYVLNGTFNLNLTVQTAVPGPCPPPSPSTPPPPSTPPSPPPSPLPTLSTPSPSLMPTGY KSMELSDISNENCRINRYGYFRATITIVEGILEVSIMQIADVPMPTPQPANSLMDFTVTC KGATPMEACTIISDPTCQIAQNRVCSPVAVDGLCLLSVRRAFNGSGTYCVNFTLGDDASL ALTSTLISIPGKDPDSPLRAVNGVLISIGCLAVLVTMVTILLYNLG >ENSMUSP00000098740.1 pep:known chromosome:GRCm38:X:149784473:149826694:1 gene:ENSMUSG00000094378.7 transcript:ENSMUST00000101180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15097 description:predicted gene 15097 [Source:MGI Symbol;Acc:MGI:3710639] MANHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHYSRRSRNRFRRAPVHSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV GLEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000108361.3 pep:known chromosome:GRCm38:X:149790191:149826694:1 gene:ENSMUSG00000094378.7 transcript:ENSMUST00000112741.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15097 description:predicted gene 15097 [Source:MGI Symbol;Acc:MGI:3710639] MENHEDEIRQLRYLEAANSEEEYNEENDIQSESSRGQAFFPGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHYSRRSRNRFRRAPVHSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPNNSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGQNNQSQR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIDRSSAIRERTDYLWVQEHRRNETVELNV GLEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000073612.6 pep:known chromosome:GRCm38:5:14025276:14256689:1 gene:ENSMUSG00000063531.7 transcript:ENSMUST00000073957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3e description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3E [Source:MGI Symbol;Acc:MGI:1340034] MAPAGHILTLLLWGHLLELWTPGHSANPSYPRLRLSHKELLELNRTSIFQSPLGFLDLHT MLLDEYQERLFVGGRDLVYSLNLERVSDGYREIYWPSTAVKVEECIMKGKDANECANYIR VLHHYNRTHLLTCATGAFDPHCAFIRVGHHSEEPLFHLESHRSERGRGRCPFDPNSSFVS TLVGNELFAGLYSDYWGRDSAIFRSMGKLGHIRTEHDDERLLKEPKFVGSYMIPDNEDRD DNKMYFFFTEKALEAENNAHTIYTRVGRLCVNDMGGQRILVNKWSTFLKARLVCSVPGMN GIDTYFDELEDVFLLPTRDPKNPVIFGLFNTTSNIFRGHAVCVYHMSSIREAFNGPYAHK EGPEYHWSLYEGKVPYPRPGSCASKVNGGKYGTTKDYPDDAIRFARMHPLMYQPIKPVHK KPILVKTDGKYNLRQLAVDRVEAEDGQYDVLFIGTDTGIVLKVITIYNQETEWMEEVILE ELQIFKDPAPIISMEISSKRQQLYIGSASAVAQVRFHHCDMYGSACADCCLARDPYCAWD GISCSRYYPTGAHAKRRFRRQDVRHGNAAQQCFGQQFVGDALDRTEERLAYGIESNSTLL ECTPRSLQAKVIWFVQKGRDVRKEEVKTDDRVVKMDLGLLFLRVRKSDAGTYFCQTVEHN FVHTVRKITLEVVEEHKVEGMFHKDHEEERHHKMPCPPLSGMSQGTKPWYKEFLQLIGYS NFQRVEEYCEKVWCTDKKRKKLKMSPSKWKYANPQEKRLRSKAEHFRLPRHTLLS >ENSMUSP00000110413.2 pep:known chromosome:GRCm38:1:40805601:40856887:1 gene:ENSMUSG00000079588.3 transcript:ENSMUST00000114765.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem182 description:transmembrane protein 182 [Source:MGI Symbol;Acc:MGI:1923725] MRLNVAVFFGALFGALGVLLFLVAFGSDYWLLATEVGRCSGEQNIENITFHHEGFFWRCW FSGVVEENNSNIWKFWYTNQPPSKNCTHAYLSPYPFMRGEHNSTSYDSAIIYRGFWAVLL LLGVVAALTASFLIICAAPFSSHFLYKAGGGSYIASGVLFSLVVILYVIWVQAVADMESY RALRMRDCWEFTPSILYGWSFFLAPAGVFFSLLAGLLFLVVGRHIQIHH >ENSMUSP00000000206.3 pep:known chromosome:GRCm38:11:114791217:114795897:-1 gene:ENSMUSG00000000202.9 transcript:ENSMUST00000000206.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd17 description:BTB (POZ) domain containing 17 [Source:MGI Symbol;Acc:MGI:1919264] MLRKGSCKPGSWGSFWAILALVGLVTRAAQRADVGGEAAGTAINHSHMLLQRLQDLLRQG NASDVILRVQAVGTDEVRAFHTHRLLLGLHSELFRELLSNQSEVMLRESRDCAAVFDKFI RYLYCGELTVLLAQAIPLHRLATKYRVASLQRGVADYMRAHLAGGVGPAVGWYHYAVSTG DEALRESCLQFLAWNLSAVAGSAEWGAVSPELLAQLLQRSDLVLQDELELFHALEAWLGR ARPPPTVAERALRAIRYPMIPPAQLFQLQARSAALARHGPAVADLLLQAYQFHAASPLHY AKFFHVNGSAFLPRNYLAPAWGAPWVINNPARDDRSTSFQTQLGPSGHDAGRRITWNVLF SPRWLPVSLRPVYADAAGTALPAARPEDGRPRLVVTPASSGGDAAGVSFQKTVLVGARHQ GRLLVRHAYSFHQSSEEAGDFLAHADLQRRNSEYLVENALHLHLIVKPVYHTLIRTPS >ENSMUSP00000030042.2 pep:known chromosome:GRCm38:4:63165637:63172131:-1 gene:ENSMUSG00000028357.12 transcript:ENSMUST00000030042.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif12 description:kinesin family member 12 [Source:MGI Symbol;Acc:MGI:1098232] MEERGSPDGDPARNLEQGPEGSETPIQVVLRVRPMSTVELRRGEQSALHCSGTRTLQVSP DVAFRFGAVLDGARTQEDVFRACGVKRLGELALRGFSCTVFTFGQTGSGKTYTLTGPPPQ GEGVPVPPSLAGIMQRTFTWLLDRVQHLDSPVTLRASYLEIYNEQVWDLLSLGSPRPLPV RWTKARGFYVEQLRVVEFGSLEALMELLQMGLSRRRSSSHTLNQASSRSHALLTLHISRP TSQQVPPVDLGEPPVGGKLCFVDLAGSEKVAATGSQGQLMLEANSINRSLLALGHCISLL LDPQRKQNHIPFRDSKLTKLLADSLGGRGVTLMVACVSPSAQCLPETLSTLRYASRAQRI TTRPQGPKSPGVKPPQQVENELLRLQEENRHLRFQLDQMHTTAPGAHGARMAWAQRNLYG MLQEFMLENERLRKEMRQLRSSRDLARAEQRVLAQQVHDLERRLLSACPLPQQGSTPVCP CRMVPAASCHALPPLCYCHHFCPLCRVPLAHWTCPRRECHMPQVLEPEAPGHISQSVWPP PWAPPPSPGSAKPPRERSQSDWTQTRVLAEMLMGEEVVPSAPPLSAGPSNMPYGLRGGSG IPNLTPRLETLTQQINSSLHLSQRQPQPSEDTQSPGQGLSSC >ENSMUSP00000033602.8 pep:known chromosome:GRCm38:X:133850980:133865577:1 gene:ENSMUSG00000031250.8 transcript:ENSMUST00000033602.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnmd description:tenomodulin [Source:MGI Symbol;Acc:MGI:1929885] MAKNPPENCEGCHILNAEALKSKKICKSLKICGLVFGILALTLIVLFWGSKHFWPEVSKK TYDMEHTFYSNGEKKKIYMEIDPITRTEIFRSGNGTDETLEVHDFKNGYTGIYFVGLQKC FIKTQIKVIPEFSEPEEEIDENEEITTTFFEQSVIWVPAEKPIENRDFLKNSKILEICDN VTMYWINPTLIAVSELQDFEEDGEDLHFPTSEKKGIDQNEQWVVPQVKVEKTRHTRQASE EDLPINDYTENGIEFDPMLDERGYCCIYCRRGNRYCRRVCEPLLGYYPYPYCYQGGRVIC RVIMPCNWWVARMLGRV >ENSMUSP00000112887.1 pep:known chromosome:GRCm38:1:140246158:140612067:1 gene:ENSMUSG00000052726.15 transcript:ENSMUST00000120709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt2 description:potassium channel, subfamily T, member 2 [Source:MGI Symbol;Acc:MGI:3036273] MVDLESEVPPLPPRYRFRDLLLGDQGWQNDDRVQVEFYMNENTFKERLKLFFIKNQRSSL RIRLFNFSLKLLSCLLYIIRVLLEKPSQGSEWSHIFWVNRSLPLWGLQVSVALISLFETI LLGYLSYKGNIWEQILRIPFILEIINAVPFIISIFWPTLRNLFVPVFLNCWLAKHALENM INDLHRAIQRTQSAMFNQVLILISTLLCLIFTCICGIQHLERIGKKLNLFDSLYFCIVTF STVGFGDVTPETWSSKLFVVAMICVALVVLPIQFEQLAYLWMERQKSGGNYSRHRAQTEK HVVLCVSSLKIDLLMDFLNEFYAHPRLQDYYVVILCPTEMDVQVRRVLQIPMWSQRVIYL QGSALKDQDLLRAKMDNAEACFILSSRCEVDRTSSDHQTILRAWAVKDFAPNCPLYVQIL KPENKFHIKFADHVVCEEEFKYAMLALNCICPATSTLITLLVHTSRGQEGQQSPEQWQKT YGRCSGNEVYHIVLEESTFFAEYEGKSFTYASFHAHKKFGVCLVGVRREDNKNILLNPGP RYIMNASDICFYINITKEENSAFKNQDQQRKSNVSRSFYHGPSRLPVHSIIASMGTVAID LQDTSCRATSGPTLALPSEGGKELRRPSIAPVLEVADTSSIQTCDLLSDQSEDETTPDEE TSSNLEYAKGYPPYSPYIGSSPTFCHLLQEKVPFCCLRLDKSCQHNYYEDAKAYGFKNKL IIVAAETAGNGLYNFIVPLRAYYRPKKELNPIVLLLDNPLDDLLRCGVTFAANMVVVDKE STMSAEEDYMADAKTIVNVQTLFRLFSSLSIITELTHPANMRFMQFRAKDCYSLALSKLE KKERERGSNLAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMISITRLLLGLDTIPGSGF LCSMKITEDDLWIRTYARLYQKLCSSTGDVPIGIYRTESQKLTTSESQISISVEEWEDTK DVKDPGHHRSLHRNSTSSDQSDHPLLRRKSMQWARRLSRKGPKHSGKTAEKITQQRLNLY RRSERQELAELVKNRMKHLGLSTVGYDEMNDHQSTLSYILINPSPDTRLELNDVVYLIRP DPLSYLPNSEPSRKNSICNAAVQDSREETQL >ENSMUSP00000113333.1 pep:known chromosome:GRCm38:1:140246195:140609782:1 gene:ENSMUSG00000052726.15 transcript:ENSMUST00000120796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt2 description:potassium channel, subfamily T, member 2 [Source:MGI Symbol;Acc:MGI:3036273] MVDLESEVPPLPPRYRFRDLLLGDQGWQNDDRVQVEFYMNENTFKERLKLFFIKNQRSSL RIRLFNFSLKLLSCLLYIIRVLLEKPSQGSEWSHIFWVNRSLPLWGLQVSVALISLFETI LLGYLSYKGNIWEQILRIPFILEIINAVPFIISIFWPTLRNLFVPVFLNCWLAKHALENM INDLHRAIQRTQSAMFNQVLILISTLLCLIFTCICGIQHLERIGKKLNLFDSLYFCIVTF STVGFGDVTPETWSSKLFVVAMICVALVVLPIQFEQLAYLWMERQKSGGNYSRHRAQTEK HVVLCVSSLKIDLLMDFLNEFYAHPRLQDYYVVILCPTEMDVQVRRVLQIPMWSQRVIYL QGSALKDQDLLRAKMDNAEACFILSSRCEVDRTSSDHQTILRAWAVKDFAPNCPLYVQIL KPENKFHIKFADHVVCEEEFKYAMLALNCICPATSTLITLLVHTSRGQEGQQSPEQWQKT YGRCSGNEVYHIVLEESTFFAEYEGKSFTYASFHAHKKFGVCLVGVRREDNKNILLNPGP RYIMNASDICFYINITKEENSAFKNQDQQRKSNVSRSFYHGPSRLPVHSIIASMGTVAID LQDTSCRATSGPTLALPSEGGKELRRPSIAPVLEVADTSSIQTCDLLSDQSEDETTPDEE TSSNLEYAKGYPPYSPYIGSSPTFCHLLQEKVPFCCLRLDKSCQHNYYEDAKAYGFKNKL IIVAAETAGNGLYNFIVPLRAYYRPKKELNPIVLLLDNPPDMHFLDAICWFPMVYYMVGS IDNLDDLLRCGVTFAANMVVVDKESTMSAEEDYMADAKTIVNVQTLFRLFSSLSIITELT HPANMRFMQFRAKDCYSLALSKLEKKERERGSNLAFMFRLPFAAGRVFSISMLDTLLYQS FVKDYMISITRLLLGLDTIPGSGFLCSMKITEDDLWIRTYARLYQKLCSSTGDVPIGIYR TESQKLTTSESQISISVEEWEDTKDVKDPGHHRSLHRNSTSSDQSDHPLLRRKSMQWARR LSRKGPKHSGKTAEKITQQRLNLYRRSERQELAELVKNRMKHLGLSTVGYDEMNDHQSTL SYILINPSPDTRLELNDVVYLIRPDPLSYLPNSEPSRKNSICNAAVQDSREETQL >ENSMUSP00000113535.1 pep:known chromosome:GRCm38:1:140246207:140610079:1 gene:ENSMUSG00000052726.15 transcript:ENSMUST00000119786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt2 description:potassium channel, subfamily T, member 2 [Source:MGI Symbol;Acc:MGI:3036273] MVDLESEVPPLPPRYRFRDLLLGDQGWQNDDRVQVEFYMNENTFKERLKLFFIKNQRSSL RIRLFNFSLKLLSCLLYIIRVLLEKPSQGSEWSHIFWVNRSLPLWGLQVSVALISLFETI LLGYLSYKGNIWEQILRIPFILEIINAVPFIISIFWPTLRNLFVPVFLNCWLAKHALENM INDLHRAIQRTQSAMFNQVLILISTLLCLIFTCICGIQHLERIGKKLNLFDSLYFCIVTF STVGFGDVTPETWSSKLFVVAMICVALVVLPIQFEQLAYLWMERQKSGGNYSRHRAQTEK HVVLCVSSLKIDLLMDFLNEFYAHPRLQDYYVVILCPTEMDVQVRRVLQIPMWSQRVIYL QGSALKDQDLLRAKMDNAEACFILSSRCEVDRTSSDHQTILRAWAVKDFAPNCPLYVQIL KPENKFHIKFADHVVCEEEFKYAMLALNCICPATSTLITLLVHTSRGQFGVCLVGVRRED NKNILLNPGPRYIMNASDICFYINITKEENSAFKNQDQQRKSNVSRSFYHGPSRLPVHSI IASMGTVAIDLQDTSCRATSGPTLALPSEGGKELRRPSIAPVLEVADTSSIQTCDLLSDQ SEDETTPDEETSSNLEYAKGYPPYSPYIGSSPTFCHLLQEKVPFCCLRLDKSCQHNYYED AKAYGFKNKLIIVAAETAGNGLYNFIVPLRAYYRPKKELNPIVLLLDNPLDDLLRCGVTF AANMVVVDKESTMSAEEDYMADAKTIVNVQTLFRLFSSLSIITELTHPANMRFMQFRAKD CYSLALSKLEKKERERGSNLAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMISITRLLL GLDTIPGSGFLCSMKITEDDLWIRTYARLYQKLCSSTGDVPIGIYRTESQKLTTSESREI GSQSQISISVEEWEDTKDVKDPGHHRSLHRNSTSSDQSDHPLLRRKSMQWARRLSRKGPK HSGKTAEKITQQRLNLYRRSERQELAELVKNRMKHLGLSTVGYDEMNDHQSTLSYILINP SPDTRLELNDVVYLIRPDPLSYLPNSEPSRKNSICNAAVQDSREETQL >ENSMUSP00000141947.1 pep:known chromosome:GRCm38:1:140512956:140574789:1 gene:ENSMUSG00000052726.15 transcript:ENSMUST00000193606.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcnt2 description:potassium channel, subfamily T, member 2 [Source:MGI Symbol;Acc:MGI:3036273] XIQTCDLLSDQSEDETTPDEETSSNLEYAKGYPPYSPYIGSSPTFCHLLQEKVPFCCLRL DKVVFKSQYHH >ENSMUSP00000057500.4 pep:known chromosome:GRCm38:X:152609992:152769465:-1 gene:ENSMUSG00000045180.13 transcript:ENSMUST00000062317.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom2 description:shroom family member 2 [Source:MGI Symbol;Acc:MGI:107194] MEGAEPRARPERLAEAEAPATDGVRLVEVQLSGGAPWGFTLKGGREHGEPLVITKIEEGS KAAAVDKLLAGDEIVAINDVSLSGFRQEAICLVKGSHKTLKLVVKRKSDPSWRPHSWHAT KYFDVHPEPAASLFLNTSGSPSWKSQHQASSSSHDLSGSWEHTSLQRTSDHFSSMGSIDS LDHSSQLYPSGHLSSAKSNSSIDHLGGHSKRDSAYGSFSTCSSTPDHTLPKADASSTENI LYKVGLWEASRPGSSRQSQSTGDPQGLQDRPSCFIPRVPGNSSKSPRPEDNVEPKIATHG RSNFGPVWYVPDKKKAPSPPPLGLPLRSDSFSVAARGHEKARGPPFSDLASMQHFITLPH VQPRGDHRMETTDRQWKLTHLSSGKEIGNVGYQSEGHLDCRWLCSDDRAGRPSGPPGRLQ FSDVHFLKSYHGSQHQQQCSDESPRAPSSPRELLHITPGGGLQEPPEPSQDDNPTQVRWP GSAHQKLDDRGRSHYFPGSLRQPVQGSAQVVIPRGDYWHSDTTPVDLEYPLLRPVGQRTY LQQHEETPASHEKEGYHQLNAGIEGCCSGIQEPPRASRTVRTGLQCPSNDFKLVDGESGR ISRQRTPMLHSLTQDGTWRPGNSKDCGNDKPPLFDAQVGKPTRRSDRFATTLRNEIQMRR AKLQKSKSTVTLAGDSEAEDCAGDWRADVGAVPEGSFPSTYKEHLKEAQTRVLKATSFQR RDLDPTPADQYSGPSEHRTFDHSASSSLSSFPGEPDSAPRFCETGLAKAPSSGVGVPHVL RIGGRKRFTAEQKLKSYSEPEKINEVGLSGDHRPHPTVRTPEDTVGTFADRWKFFEETSK SLLQKAGHRQVHCGLPREKAERPQTGHHECESTEPWFQKRSLATSCGEILSDRKVEKASE KLNPPRRLGTFAEYQASWKEQKKPLEARSSGRYHSADDILDAGLDQQQRPQYIHERSRSS PSTDHYSQEVPVEPNRQAEDSGDHKEAILCTLQAEEGCSAPSAQPQDSQHVNEDTTFPQP ETQLSSKCQHLQTSAMETSRSPSPQFAPQKLTDKPPLLIHEDNSARIERVMDNNTTVKMV PIKIVHSESQPEKESRQSLACPAELPPLPSGLERDQIKTLSTSEQCYSRFCVYTRQEVEA PHRARPPEPRPPSTPAPPVRDSCSSPPSLNYGKAKEKTMDDLKSEELAREIVGKDKSLAD ILDPSVKIKTTMDLMEGIFPKDEYLLEEAQQRRKLLPKVPLPRVTEDKKQDPGMPGVVSL ATNSTYYSTSAPKAELLIKMKDLQEPEEYSAGDLDHDLSVKKQELIDSISRKLQVLREAR ESLLEDIQANNALGDEVEAIVKDVCKPNEFDKFRMFIGDLDKVVNLLLSLSGRLARVENA LNNLDDNPSPGDRQSLLEKQRVLTQQHEDAKELKENLDRRERIVFDILATYLSEENLADY EHFVKMKSALIIEQRELEDKIHLGEEQLKCLFDSLQPERSK >ENSMUSP00000098701.2 pep:known chromosome:GRCm38:X:152609509:152769461:-1 gene:ENSMUSG00000045180.13 transcript:ENSMUST00000101141.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shroom2 description:shroom family member 2 [Source:MGI Symbol;Acc:MGI:107194] MEGAEPRARPERLAEAEAPATDGVRLVEVQLSGGAPWGFTLKGGREHGEPLVITKIEEGS KAAAVDKLLAGDEIVAINDVSLSGFRQEAICLVKGSHKTLKLVVKRKSDPSWRPHSWHAT KYFDVHPEPAASLFLNTSGSPSWKSQHQASSSSHDLSGSWEHTSLQRTSDHFSSMGSIDS LDHSSQLYPSGHLSSAKSNSSIDHLGGHSKRDSAYGSFSTCSSTPDHTLPKADASSTENI LYKVGLWEASRPGSSRQSQSTGDPQGLQDRPSCFIPRVPGNSSKSPRPEDNVEPKIATHG RSNFGPVWYVPDKKKAPSPPPLGLPLRSDSFSVAARGHEKARGPPFSDLASMQHFITLPH VQPRGDHRMETTDRQWKLTHLSSGKEIGNVGYQSEGHLDCRWLCSDDRAGRPSGPPGRLQ FSDVHFLKSYHGSQHQQQCSDESPRAPSSPRELLHITPGGGLQEPPEPSQDDNPTQVRWP GSAHQKLDDRGRSHYFPGSLRQPVQGSAQVVIPRGDYWHSDTTPVDLEYPLLRPVGQRTY LQQHEETPASHEKEGYHQLNAGIEGCCSGIQEPPRASRTVRTGLQCPSNDFKLVDGESGR ISRQRTPMLHSLTQDGTWRPGNSKDCGNDKPPLFDAQVGKPTRRSDRFATTLRNEIQMRR AKLQKSKSTVTLAGDSEAEDCAGDWRADVGAVPEGSFPSTYKEHLKEAQTRVLKATSFQR RDLDPTPADQYSGPSEHRTFDHSASSSLSSFPGEPDSAPRFCETGLAKAPSSGVGVPHVL RIGGRKRFTAEQKLKSYSEPEKINEVGLSGDHRPHPTVRTPEDTVGTFADRWKFFEETSK SLLQKAGHRQVHCGLPREKAERPQTGHHECESTEPWFQKRSLATSCGEILSDRKVEKASE KLNPPRRLGTFAEYQASWKEQKKPLEARSSGRYHSADDILDAGLDQQQRPQYIHERSRSS PSTDHYSQEVPVEPNRQAEDSGDHKEAILCTLQAEEGCSAPSSSVLSSAQPQDSQHVNED TTFPQPETQLSSKCQHLQTSAMETSRSPSPQFAPQKLTDKPPLLIHEDNSARIERVMDNN TTVKMVPIKIVHSESQPEKESRQSLACPAELPPLPSGLERDQIKTLSTSEQCYSRFCVYT RQEVEAPHRARPPEPRPPSTPAPPVRDSCSSPPSLNYGKAKEKTMDDLKSEELAREIVGK DKSLADILDPSVKIKTTMDLMEGIFPKDEYLLEEAQQRRKLLPKVPLPRVTEDKKQDPGM PGVVSLATNSTYYSTSAPKAELLIKMKDLQEPEEYSAGDLDHDLSVKKQELIDSISRKLQ VLREARESLLEDIQANNALGDEVEAIVKDVCKPNEFDKFRMFIGDLDKVVNLLLSLSGRL ARVENALNNLDDNPSPGDRQSLLEKQRVLTQQHEDAKELKENLDRRERIVFDILATYLSE ENLADYEHFVKMKSALIIEQRELEDKIHLGEEQLKCLFDSLQPERSK >ENSMUSP00000033182.3 pep:known chromosome:GRCm38:7:105736592:105742925:1 gene:ENSMUSG00000030890.15 transcript:ENSMUST00000033182.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilk description:integrin linked kinase [Source:MGI Symbol;Acc:MGI:1195267] MDDIFTQCREGNAVAVRLWLDNTENDLNQGDDHGFSPLHWACREGRSAVVEMLIMRGARI NVMNRGDDTPLHLAASHGHRDIVQKLLQYKADINAVNEHGNVPLHYACFWGQDQVAEDLV ANGALVSICNKYGEMPVDKAKAPLRELLRERAEKMGQNLNRIPYKDTFWKGTTRTRPRNG TLNKHSGIDFKQLNFLAKLNENHSGELWKGRWQGNDIVVKVLKVRDWSTRKSRDFNEECP RLRIFSHPNVLPVLGACQAPPAPHPTLITHWMPYGSLYNVLHEGTNFVVDQSQAVKFALD MARGMAFLHTLEPLIPRHALNSRSVMIDEDMTARISMADVKFSFQCPGRMYAPAWVAPEA LQKKPEDTNRRSADMWSFAVLLWELVTREVPFADLSNMEIGMKVALEGLRPTIPPGISPH VCKLMKICMNEDPAKRPKFDMIVPILEKMQDK >ENSMUSP00000123443.1 pep:known chromosome:GRCm38:7:105736733:105741043:1 gene:ENSMUSG00000030890.15 transcript:ENSMUST00000136687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilk description:integrin linked kinase [Source:MGI Symbol;Acc:MGI:1195267] MDDIFTQCREGNAVAVRLWLDNTENDLNQGDDHGFSPLHWACREGRSAVVEMLIMRGARI NVMNRGDDTPLHLAASHGHRDIVQKLLQYKADINAVNEHGNVPLHYACFWGQDQVAEDLV ANGALVSICNKYGEMPVDKAKAPLRELLRERAEKMGQNLNRIPYKDTFWKGTTRTRP >ENSMUSP00000116329.1 pep:known chromosome:GRCm38:7:105740511:105741908:1 gene:ENSMUSG00000030890.15 transcript:ENSMUST00000127298.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilk description:integrin linked kinase [Source:MGI Symbol;Acc:MGI:1195267] XLLQYKADINAVNEHGNVPLHYACFWGQDQVAEDLVANGALVSICNKYGEMPVDKAKAPL RELLRERAEKMGQNLNRIPYKDTFWKGTTRTRPRNGTLNKHSGIDFKQLNFLAKLNENHS GELWKGRWQGNDIVVKVLKVRDWSTRKSRDFNEECPRLRWDTELEAVVSKAPQVVLKNFV VDQSQAVKFALDMARGMAFLHTLEPLIPRHALNSRSVM >ENSMUSP00000130341.1 pep:known chromosome:GRCm38:7:105736725:105742925:1 gene:ENSMUSG00000030890.15 transcript:ENSMUST00000163389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilk description:integrin linked kinase [Source:MGI Symbol;Acc:MGI:1195267] MDDIFTQCREGNAVAVRLWLDNTENDLNQGDDHGFSPLHWACREGRSAVVEMLIMRGARI NVMNRGDDTPLHLAASHGHRDIVQKLLQYKADINAVNEHGNVPLHYACFWGQDQVAEDLV ANGALVSICNKYGEMPVDKAKAPLRELLRERAEKMGQNLNRIPYKDTFWKGTTRTRPRNG TLNKHSGIDFKQLNFLAKLNENHSGELWKGRWQGNDIVVKVLKVRDWSTRKSRDFNEECP RLRIFSHPNVLPVLGACQAPPAPHPTLITHWMPYGSLYNVLHEGTNFVVDQSQAVKFALD MARGMAFLHTLEPLIPRHALNSRSVMIDEDMTARISMADVKFSFQCPGRMYAPAWVAPEA LQKKPEDTNRRSADMWSFAVLLWELVTREVPFADLSNMEIGMKVALEGLRPTIPPGISPH VCKLMKICMNEDPAKRPKFDMIVPILEKMQDK >ENSMUSP00000129877.1 pep:known chromosome:GRCm38:11:105589986:105776834:1 gene:ENSMUSG00000053580.16 transcript:ENSMUST00000168598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tanc2 description:tetratricopeptide repeat, ankyrin repeat and coiled-coil containing 2 [Source:MGI Symbol;Acc:MGI:2444121] MFRNSLKMLLTGGKSSRKNRSSDGGSEEPPDRRQSSVDSRQSRSGQGGISTESDCAFEPD YAVPPLPVSEGMQHIRIMEGMSRSLPSSPLLTHQSISVRLQPVKKLTGDVEQELGPP >ENSMUSP00000097904.3 pep:known chromosome:GRCm38:11:105589991:105929304:1 gene:ENSMUSG00000053580.16 transcript:ENSMUST00000100330.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tanc2 description:tetratricopeptide repeat, ankyrin repeat and coiled-coil containing 2 [Source:MGI Symbol;Acc:MGI:2444121] MFRNSLKMLLTGGKSSRKNRSSDGGSEEPPDRRQSSVDSRQSRSGQGGISTESDCAFEPD YAVPPLPVSEGDVEQELGPPPSVDEAANTLMTRLGFLLGEKVTEVQPSDQYSMEVQDENQ TSAITQRISPCSTLTSSTASPPASSPCSTLPPVSTNAAAKDCSYGAVTSPTSTLESRDSG IIATLTNYSENMERTKYVGEGSKELGSGGNLKPWQSQKSSMDSCLYRVDENMAASTYSLN KIPERNLETVLSQSVQSIPLYLMPRPNSVAATSSAHLEDLAYLDEQRHTPLRTSLRMPRQ SLSGARTQQDLRVRFAPYRPPDISLKPLLFEVPSITTESVFVGRDWVFHEIDAQLQSSNA SVNQGVVIVGNIGFGKTAIISRLVALSCHGTRMRQIASDSPHASPKHVDANRELPLTQAP SAHSSITSGSCPGTPEMRRRQEEAMRRLASQVVAYHYCQADNAYTCLVPEFVHNVAALLC RSPQLTAYREQLLREPHLQSMLSLRSCVQDPMASFRRGVLEPLENLHKERKIPDEDFIIL IDGLNEAEFHKPDYGDTIVSFLSKMIGNFPSWLKLIVTVRTSLQEITKLLPFHRIFLDRL EENEAIDQDLQAYILHRIHSSSEIQNNISLNGKMDNTTFGKLSSHLKTLSQGSYLYLKLT FDLIEKGYLVLKSSSYKVVPVSLSEVYLLQCNMKFPTQSSFDRVMPLLNVAVASLHPLTD EHIFQAINAGSIEGTLEWEDFQQRMENLSMFLIKRRDMTRMFVHPSFREWLIWREEGEKT KFLCDPRSGHTLLAFWFSRQEGKLNRQQTIELGHHILKAHIFKGLSKKVGVSSSILQGLW ISYSTEGLSMALASLRNLYTPNIKVSRLLILGGANINYRTEVLNNAPILCVQSHLGYTEM VALLLEFGANVDASSESGLTPLGYAAAAGFLSIVVLLCKKRAKVDHLDKNGQCALVHAAL RGHLEVVKFLIQCDWTMAGQQQGVFKKSHAIQQALIAAASMGYTEIVSYLLDLPEKDEEE VERAQINSFDSLWGETALTAAAGRGKLDVCRLLLEQGAAVAQPNRRGAVPLFSTVRQGHW QIVDLLLTHGADVNMADKQGRTPLMMAASEGHLGTVDFLLAQGASIALMDKEGLTALSWA CLKGHLSVVRSLVDNGAATDHADKNGRTPLDLAAFYGDAEVVQFLVDHGAMIEHVDYSGM RPLDRAVGCRNTSVVVTLLKKGAKIGPATWAMATSKPDIMIILLSKLMEEGDMFYKKGKV KEAAQRYQYALKKFPREGFGEDLKTFRELKVSLLLNLSRCRRKMNDFGMAEEFATKALEL KPKSYEAYYARARAKRSSRQFAAALEDLKEAIKLCPNNREIQRLLMRVEEECRQMQQQQQ QQPPPPPQQPPQELPEEETEPEPQHEDIYSVQDIFEEEYLEQDVENVSIGLQTEARPSQG LPVIQSPPSSPAHRDSAYISSSPLGSHQVFDFRSNSSVGSPTRQGYQSTSPALSPTHQNS HYRPSPPHTSPAHQGASYRFSPPPVGGQSKEYPSPPPSPLRRGPQYRASPPAESMSVYRS QSGSPVRYQQETNVSQLPGRPKSPLSKMAQRPYQMPQLPVAVPQQGLRLQPAKAQIVRSN QPSSAVHSSTVIPTGAYGQVAHSMASKYQSSQGDMGVSQSRLVYQGSIGGIVGDGRPVQH VQASLSAGAICQHGGLTKEDLPQRPSSAYRGGMRYSQTPQIGRSQSASYYPVCHSKLDLE RSSSQLGSPDVSHLIRRPISVNPNEIKPHPPTPRPLLHSQSVGLRFSPSSNSISSTSNLT PTFRPSSSIQQMEIPLKPAYDRSCDELSPVSPTQGGYPSEPTRSRTTPFMGIIDKTARTQ QYPHLHQQNRTWAVSSVDTVLSPTSPGNLPQPESFSPPSSISNIAFYNKTNNAQNGHLLE DDYYSPHGMLANGSRGDLLERVSQASSYPDVKVARTLPVAQAYQDNLYRQLSRDSRQGQT SPIKPKRPFVESNV >ENSMUSP00000147168.1 pep:known chromosome:GRCm38:11:105673422:105776897:1 gene:ENSMUSG00000053580.16 transcript:ENSMUST00000207807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tanc2 description:tetratricopeptide repeat, ankyrin repeat and coiled-coil containing 2 [Source:MGI Symbol;Acc:MGI:2444121] GGSEEPPDRRQSSVDSRQSRSGQGGISTESDCAFEPDYAVPPLPVSEGMQHIRIMEGMSR SLPSSPLLTHQSISVRLQPVKKLTAPLRKAKFVESPRIPESELGSPTLTSAQKLDVDAYC PGDVEQELGPPPSVDEAANTLMTRLGFLLGEK >ENSMUSP00000126201.1 pep:known chromosome:GRCm38:11:105713540:105714829:1 gene:ENSMUSG00000053580.16 transcript:ENSMUST00000167695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tanc2 description:tetratricopeptide repeat, ankyrin repeat and coiled-coil containing 2 [Source:MGI Symbol;Acc:MGI:2444121] LQPVKKLTGKKL >ENSMUSP00000123674.1 pep:known chromosome:GRCm38:11:105896479:105924115:1 gene:ENSMUSG00000053580.16 transcript:ENSMUST00000146162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tanc2 description:tetratricopeptide repeat, ankyrin repeat and coiled-coil containing 2 [Source:MGI Symbol;Acc:MGI:2444121] XLILGGANINYRTEVLNNAPILCVQSHLGYTEMVALLLEFGANVDASSESGLTPLGYAAA AGFLSIVVLLCKKRAKVDHLDKNGQCALVHAALRGHLEVVKFLIQCDWTMAGQQQGVFKK SHAIQQALIAAASMGYTEIVSYLLDLPEKDEEEVERAQINSFDSLWGETALTAAAGRGKL DVCRLLLEQGAAVAQPNRRGAVPLFSTVRQGHWQIVDLLLTHGADVNMADKQGRTPLMMA ASEGHLGTVDFLLAQGASIALMDKEGLTALSWACLKGHLSVVRSLVDNGAATDHADKNGR TPLDLAAFYGDAEVVQFLVDHGAMIEHVDYSGMRPLDRAVGCRNTSVVVTLLKKGAKIGC QTLPSRPRGPATWAMATSKPDIMIILLSKLMEEGDMFYKKGKVKEAAQRYQYALKKFPRE GFGEDLKTFRELKVSLLLNLSRCRRKMNDFGMAEEFATKALELKPKSYEAYYARARAKRS SRQFAAALEDLKEAIKLCPNNREIQRLLMRVEEECRQMQQQQQQQPPPPPQQPPQELPEE ETEPEPQHEDIYSVQDIFEEEYLEQDVENVSIGLQTEARPSQGLPVIQSPPSSPAHRDSA YISSSPLGSHQVFDFRSNSSVGSPTRQGYQSTSPALSPTHQNSHYRPSPPHTSPAHQGAS YRFSPPPVGGQSKEYPSPPPSPLRRGPQYRASPPAESMSVYRSQSGSPVRYQQETNVSQL PGRPKSPLSKMAQRPYQMPQLPVAVPQQGLRLQPAKAQIVRSNQPSSAVHSSTVIPTGAY GQVAHSMASKYQSSQGDMGVSQSRLVYQGSIGGIVGDGRPVQHVQASLSAGAICQHGGLT KEDLPQRPSSAYRGGMRYSQTPQIGRSQSASYYPVCHSKLDLERSSSQLGSPDVSHLIRR PISVNPNEIKPHPPTPRPLLHSQSVGLRFSPSSNSISSTSNLTPTFRPSSSIQQMEIPLK PAYDRSCDELSPVSPTQGGYPSEPTRSRTTPFMGIIDKTARTQQYPHLHQQNRTWAVSSV DTVLSPTSPGNLPQPESFSPPSSISNIAFYNKTNNAQNGHLLEDDYYSPHGMLANGSRGD LLERVSQASSYPDVKVARTLPVAQAYQDNLYRQLSRDSRQGQTSPIKPKRPFVESNV >ENSMUSP00000086912.5 pep:known chromosome:GRCm38:11:105899722:105924732:1 gene:ENSMUSG00000053580.16 transcript:ENSMUST00000089485.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tanc2 description:tetratricopeptide repeat, ankyrin repeat and coiled-coil containing 2 [Source:MGI Symbol;Acc:MGI:2444121] XHAALRGHLEVVKFLIQCDWTMAGQQQGVFKKSHAIQQALIAAASMGYTEIVSYLLDLPE KDEEEVERAQINSFDSLWGETALTAAAGRGKLDVCRLLLEQGAAVAQPNRRGAVPLFSTV RQGHWQIVDLLLTHGADVNMADKQGRTPLMMAASEGHLGTVDFLLAQGASIALMDKEGLT ALSWACLKGHLSVVRSLVDNGAATDHADKNGRTPLDLAAFYGDAEVVQFLVDHGAMIEHV DYSGMRPLDRAVGCRNTSVVVTLLKKGAKIGPATWAMATSKPDIMIILLSKLMEEGDMFY KKGKVKEAAQRYQYALKKFPREGFGEDLKTFRELKVSLLLNLSRCRRKMNTVCSSPRGPE RGHQAMSQQP >ENSMUSP00000115663.1 pep:known chromosome:GRCm38:11:114765388:114788135:1 gene:ENSMUSG00000010021.13 transcript:ENSMUST00000138804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif19a description:kinesin family member 19A [Source:MGI Symbol;Acc:MGI:2447024] MKDSGDSKDQQLMVALRVRPISVAELEEGATLIAHKMDEQMVVLMDPMEDPDDILRAHRS REKSYLFDVAFDFTATQEMVYQATTKSLIEGVISGYNATVFAYGPTGCGKTYTMLGTDHE PGIYVRTLNDLFRAIEETSNDMEYEVSMSYLEIMQLLMKGNRQRTQEPTAANQTSSRSHA VLQVAVRQRSRVKNILQEVRQGRLFMIDLAGSERASQTQNRGQRMKEGAHINRSLLALGN CINALSDKGSNKYINYRDSKLTRLLKDSLGGNSRTVMIAHISPASTAFEESRNTLTYAGR AKNIRTRVKQNLLNVSYHIAQYTSIIADLRGEIQRLKCKIDQQAGRGQARGKLDRGDIRH IQAEVQLHSGQEGPAEMGQLREQLISAFHEQMDVRRRLLELENQAMEVQIDTSRHLLTIA GWEHEKSRRALKWREERRKESYTKEDSEKDSDTGDEPDNLEPPEVASARENIAALVGEQK KLRKEKLALEQRCRELRARGRRLEETLPRRIGSEEQREVLSLLCRVHELEVENTEMQSHA LLRDSALRHRREAVRRLEQHRSLCDEIIQGQRQIIDDYNLEVPRHLEELYEVYLRELEEG SLERATIMDRVASRALQDSSLPKITPAGATLTPDSDLESVKTLSSEAQRPQNNTLPPLGT DSESYHVFKASPRAWQVKSSSVPTPPPIQAPPQDSLGSQINSSPESSENL >ENSMUSP00000081398.5 pep:known chromosome:GRCm38:11:114765389:114790575:1 gene:ENSMUSG00000010021.13 transcript:ENSMUST00000084368.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif19a description:kinesin family member 19A [Source:MGI Symbol;Acc:MGI:2447024] MKDSGDSKDQQLMVALRVRPISVAELEEGATLIAHKMDEQMVVLMDPMEDPDDILRAHRS REKSYLFDVAFDFTATQEMVYQATTKSLIEGVISGYNATVFAYGPTGCGKTYTMLGTDHE PGIYVRTLNDLFRAIEETSNDMEYEVSMSYLEIYNEMIRDLLNPALGYLELREDSKGVIQ VAGITEVSTINAKEIMQLLMKGNRQRTQEPTAANQTSSRSHAVLQVAVRQRSRVKNILQE VRQGRLFMIDLAGSERASQTQNRGQRMKEGAHINRSLLALGNCINALSDKGSNKYINYRD SKLTRLLKDSLGGNSRTVMIAHISPASTAFEESRNTLTYAGRAKNIRTRVKQNLLNVSYH IAQYTSIIADLRGEIQRLKCKIDQQAGRGQARGKLDRGDIRHIQAEVQLHSGQEGPAEMG QLREQLISAFHEQMDVRRRLLELENQAMEVQIDTSRHLLTIAGWEHEKSRRALKWREERR KESYTKEDSEKDSDTGDEPDNLEPPEVASARENIAALVGEQKKLRKEKLALEQRCRELRA RGRRLEETLPRRIGSEEQREVLSLLCRVHELEVENTEMQSHALLRDSALRHRREAVRRLE QHRSLCDEIIQGQRQIIDDYNLEVPRHLEELYEVYLRELEEGSLERATIMDRVASRALQD SSLPKITPAGATLTPDSDLESVKTLSSEAQRPQNNTLPPLGTDSESYHVFKASPRAWQVK SSSVPTPPPIQVGSLVTQEAPPQDSLGSQINSSPESSENLSEILLSHKERKEILTRTKCI SVKAAQRRSRALGTEGRHLLAPATERSSLSLHSLSEADDARPPGQLACKRPPSPTLQHAI SEDNLSSSTGEGPSRAVGPRGDGTGSWVRGQKKCLSKKREESLEAKRRKRRSRSFEVTGQ GLSRPKTHLLGPRPSEGLSDRRMPACGRPSPGVRHLGKVSLPLAKVKFPPNQNTGSGNPS PLLVAPNQAGVSRRATRGPSLPHGSSTFGKDGRLQHN >ENSMUSP00000122743.1 pep:known chromosome:GRCm38:11:114787903:114790739:1 gene:ENSMUSG00000010021.13 transcript:ENSMUST00000138340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif19a description:kinesin family member 19A [Source:MGI Symbol;Acc:MGI:2447024] XIQVGSLVTQEAPPQDSLGSQINSSPESSENLSEILLSHKERKEILTRTKCISVKAAQRR SRALGTEGRHLLAPATERSSLSLHSLSEADDARPPGQLACKRPPSPTLQHAISEDNLSSS TGEGPSRAVGPRGDGTGSWVRGQKKCLSKKREESLEAKRRKRRSRSFEVTGQGLSRPKTH LLGPRPSEGLSDRRMPACGRPSPGVRHLGKVSLPLAKVKFPPNQNTGSGNPSPLLVAPNQ AGVSRRATRGPSLPHGSSTFGKDGRLQHN >ENSMUSP00000125570.1 pep:known chromosome:GRCm38:19:5728088:5731713:-1 gene:ENSMUSG00000079478.8 transcript:ENSMUST00000160852.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sssca1 description:Sjogren's syndrome/scleroderma autoantigen 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1913482] MALNGADVDDFTWEPPTEAETKVLQARRERQDRISRLMGDYLLRGYRMLGDTCADCGTIL LQDKQRKIYCVACQELDSDVDKDNPALNAQAALSQAREHQLASSTEPASSSRPPSQPPVP RPEHCEGAAAGLKAAQAPPLPAAPPNTDAVASTQTALLQKLTWASVELGSSTSLETSIQL CGLIRACAEALGSLKQLDH >ENSMUSP00000025885.4 pep:known chromosome:GRCm38:19:5730303:5731721:-1 gene:ENSMUSG00000079478.8 transcript:ENSMUST00000025885.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sssca1 description:Sjogren's syndrome/scleroderma autoantigen 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1913482] MALNGADVDDFTWEPPTEAETKVLQARRERQDRISRLMGDYLLRGYRMLGDTCADCGTIL LQDKQRKIYCVACQELDSDVDKDNPALNAQAALSQAREHQLASSTEPASSSRPPSQPPVP RPEHCEGAAAGLKAAQAPPLPAAPPNTDAVASTQTALLQKLTWASVELGSSTSLETSIQL CGLIRACAEALGSLKQLDH >ENSMUSP00000123867.1 pep:known chromosome:GRCm38:19:5730307:5731732:-1 gene:ENSMUSG00000079478.8 transcript:ENSMUST00000159693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sssca1 description:Sjogren's syndrome/scleroderma autoantigen 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1913482] MALNGADVDDFTWEPPTEAETKVLQARRERQDRISRLMGDYLLRGYRMLGDTCADCGVRR SSCKINSGKSTVWLVRSSTQTWIKITRH >ENSMUSP00000125679.1 pep:known chromosome:GRCm38:19:5730411:5731475:-1 gene:ENSMUSG00000079478.8 transcript:ENSMUST00000162976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sssca1 description:Sjogren's syndrome/scleroderma autoantigen 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1913482] XMLGDTCADCGTILLQDKQRKIYCVACQELDSDVDKDNPGEGPSVHCGEKRVAIATEEL >ENSMUSP00000117788.1 pep:known chromosome:GRCm38:7:89866148:89903629:-1 gene:ENSMUSG00000039391.11 transcript:ENSMUST00000131966.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc81 description:coiled-coil domain containing 81 [Source:MGI Symbol;Acc:MGI:1918134] MLDRVGPGFQDLCRQVLPTLPTLSQEEVSTIWANVSDFVERQLTMHKGVQISGLGTFTFS RQQLEVGNKKFVLVQRPVFIMAEKLVQTHGLKQNKVFSPGDIPVVPLNFVMISLEGPFNR DTIEGCVKETLLFLSRSISVKQKVEFTFKGIGVLSIRDSKVKMRFYKDFLCSMDGSGILT KALANAWHHGLGAV >ENSMUSP00000044087.5 pep:known chromosome:GRCm38:7:89866389:89903618:-1 gene:ENSMUSG00000039391.11 transcript:ENSMUST00000041195.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc81 description:coiled-coil domain containing 81 [Source:MGI Symbol;Acc:MGI:1918134] MLDRVGPGFQDLCRQVLPTLPTLSQEEVSTIWANVSDFVERQLTMHKGVQISGLGTFTFS RQQLEVGNKKFVLVQRPVFIMAEKLVQTHGLKQNKVFSPGDIPVVPLNFVMISLEGPFNR DTIEGCVKETLLFLSRSISVKQKVEFTFKGIGVLSIRDSKVKMRFYKDFLCSMDGSGILT KALANRPGTMDSVLSSRESYRKRPNSAMAFPRIEHKETENKTPVEVVGEEGGENRPRKIK LKDQSDKEGGAREISSPKKHRERQSISPAKVTSVSLLDKFERSGNGGKITACENLSSPGC QRNDNERPRTSPAPACQDHNKAGQEMCYVCLQRAQRNFALHYGDERRRREIEDERLMQQY QILKDQEAFFKNQVKGMAAREQNQKNAAYNLGVAEAIRNHKNEKPEFYKSFLFDKRPLSP EINAFKQEEYSQSLLKQMESKREKEIKQRQNRELMDRLEQVQLTEELAAQRAQYLKEKME ETQHYKRALDAQVKNKPPQLPMFEPDSAEPIFGKNDGEREMEKRKREQSCMKHQMEAAAS HKRNTILNQLVDQRRDLQMLQRTQREHMADRAAEMDRVNRLNQCLQEDWDRSLAMKKQRD VEEKAFERASDKLFLLDQCEKYRRCRQCQRRTCNTGESNLWPMNKFLQGSRLLV >ENSMUSP00000042159.8 pep:known chromosome:GRCm38:8:123117378:123135182:1 gene:ENSMUSG00000034796.14 transcript:ENSMUST00000037900.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne7 description:copine VII [Source:MGI Symbol;Acc:MGI:2142747] MSGDSERAVAPGVVPAPCASKVELRLSCRHLLDRDPLTKSDPSVVLLQQAQGQWLQVDRT EVVKSSLHPVFSKVFTVDYYFEGVQKLRFEVYDTHGPSGLTCQDDDFLGGMECTLGQIVA QKKMTRPLLLRFGRNAGKSTITVIAEDISGNNGYVELSFQARKLDDKDLFSKSDPFLELY RVNDDGSEQLVYRTEVVKNNLNPVWEPFKVSLNSLCSCEETRPLKCLVWDYDSRGKHDFI GDFTTTFAEMQKAFEEEQQAQWDCVNAKYKQKKRNYKNSGVVILADLKLHRVHSFLDYIM GGCQIHCTVAIDFTASNGDPRNSCSLHHINPYQPNEYLRALVAVGEVCQDYDSDKRFSAL GFGARIPPKYEVSHDFAINFNPEDDECEGIQGVVEAYQNCLPKVQLYGPTNVAPIISKVA RMAAAEESTGEASQYYILLILTDGVVTDMSDTREAIVRASHLPMSVIIVGVGNADFTDMQ ILDGDDGVLRSPRGEPALRDIVQFVPFRELKNASPAALAKCVLAEVPKQVVEYYSHKELP PRSLGAQTGEAAASSAP >ENSMUSP00000025965.5 pep:known chromosome:GRCm38:19:38930915:38971051:1 gene:ENSMUSG00000025001.11 transcript:ENSMUST00000025965.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hells description:helicase, lymphoid specific [Source:MGI Symbol;Acc:MGI:106209] MAEQTEPAVITPAMLEEEEQLEAAGLEKERKMLEEAQKSWDRESTEIRYRRLQHLLEKSN IYSKFLLTKMEQQQLEEQKKKEKLEKKKRSLKLTEGKSLVDGNGEKPVMKKKRGREDESY NISEVMSKEEILSVAKKHKDNEDESSSTTSLCVEDIQKNKDSNSMIKDRLSQTVRQNSKF FFDPVRKCNGQPVPFQQPKHFTGGVMRWYQVEGMEWLRMLWENGINGILADEMGLGKTVQ CIATIALMIQRGVPGPFLVCGPLSTLPNWMAEFKRFTPEIPTLLYHGTREDRRKLVKNIH KRQGTLQIHPVVVTSFEIAMRDQNALQHCYWKYLIVDEGHRIKNMKCRLIRELKRFNADN KLLLTGTPLQNNLSELWSLLNFLLPDVFDDLKSFESWFDITSLSETAEDIIAKEREQNVL HMLHQILTPFLLRRLKSDVALEVPPKREVVVYAPLCNKQEIFYTAIVNRTIANMFGSCEK ETVELSPTGRPKRRSRKSINYSELDQFPSELEKLISQIQPEVNRERTVVEGNIPIESEVN LKLRNIMMLLRKCCNHPYMIEYPIDPVTQEFKIDEELVTNSGKFLILDRMLPELKKRGHK VLVFSQMTSMLDILMDYCHLRNFIFSRLDGSMSYSEREKNIYSFNTDPDVFLFLVSTRAG GLGINLTAADTVIIYDSDWNPQSDLQAQDRCHRIGQTKPVVVYRLVTANTIDQKIVERAA AKRKLEKLIIHKNHFKGGQSGLSQSKNFLDAKELMELLKSRDYEREVKGSREKVISDEDL ELLLDRSDLIDQMKASRPIKGKTGIFKILENSEDSSAECLF >ENSMUSP00000116710.1 pep:known chromosome:GRCm38:19:38931174:38943914:1 gene:ENSMUSG00000025001.11 transcript:ENSMUST00000145051.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hells description:helicase, lymphoid specific [Source:MGI Symbol;Acc:MGI:106209] MAEQTEPAVITPAMLEEEEQLEAAGLEKERKMLEEAQKSWDRESTEIRYRRLQHLLEKSN IYSKFLLTKMEQQQLEEQKKKEKLEKKKRSLKLTEGKSLVDGNGEKPVMKKKRGREDESY NISEVMSKEEILSVAKKHKDNEDESSSTTSLCVEDIQKNKDSNSMIKDRLSQTVRQNSKF FFDPVRKCNGQPVPFQQPKHFTGGVMRWY >ENSMUSP00000038139.4 pep:known chromosome:GRCm38:11:78290841:78293745:1 gene:ENSMUSG00000037593.11 transcript:ENSMUST00000046361.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC030499 description:cDNA sequence BC030499 [Source:MGI Symbol;Acc:MGI:2652869] MGAVSCRQGQHTRVSAPQKGDNIQGHWVQGWKSLWCGMGTIRSGLEELWGLQRHQCLHQE LLQPAPLLLEKPQSEWPVPQFISLFLPEFPVRPVREQQELKILGLVAKGSFGTVLKVLDC AQKAVFAVKVVPKVKVLQRDTLRQCKEEVSIQRQINHPFVHSLGDSWQGKQHLFIMCSYC SMDLYSLWSTVGWFPEDSIRLFAAELVLVLCYLHDLGIIHRDVKMENILLDERGHLKVTD FGLSRHLSQGARAYTICGTLQYMGEREAKVGREGDLRM >ENSMUSP00000105785.2 pep:known chromosome:GRCm38:2:132337733:132385427:-1 gene:ENSMUSG00000050558.13 transcript:ENSMUST00000110157.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prokr2 description:prokineticin receptor 2 [Source:MGI Symbol;Acc:MGI:2181363] MGPQNRNTSFAPDLNPPQDHVSLNYSYGDYDLPLGEDEDVTKTQTFFAAKIVIGVALAGI MLVCGIGNFVFIAALARYKKLRNLTNLLIANLAISDFLVAIVCCPFEMDYYVVRQLSWAH GHVLCASVNYLRTVSLYVSTNALLAIAIDRKKSTWNRTERWKYRLITECHHGKKEEQKME LWDDLLSCSYKNLDGFCF >ENSMUSP00000056659.7 pep:known chromosome:GRCm38:2:132370329:132385400:-1 gene:ENSMUSG00000050558.13 transcript:ENSMUST00000049997.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prokr2 description:prokineticin receptor 2 [Source:MGI Symbol;Acc:MGI:2181363] MGPQNRNTSFAPDLNPPQDHVSLNYSYGDYDLPLGEDEDVTKTQTFFAAKIVIGVALAGI MLVCGIGNFVFIAALARYKKLRNLTNLLIANLAISDFLVAIVCCPFEMDYYVVRQLSWAH GHVLCASVNYLRTVSLYVSTNALLAIAIDRYLAIVHPLKPRMNYQTASFLIALVWMVSIL IAVPSAYFTTETILVIVKNQEKIFCGQIWSVDQQLYYKSYFLFVFGLEFVGPVVTMTLCY ARISQELWFKAVPGFQTEQIRKRLRCRRKTVLLLMGILTAYVLCWAPFYGFTIVRDFFPT VVVKEKHYLTAFYVVECIAMSNSMINTICFVTVKNNTMKYFKKMLRLHWRPSHYGSKSSA DLDLKTSGVPATEEVDCIRLK >ENSMUSP00000124526.1 pep:known chromosome:GRCm38:2:132370329:132385425:-1 gene:ENSMUSG00000050558.13 transcript:ENSMUST00000142766.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prokr2 description:prokineticin receptor 2 [Source:MGI Symbol;Acc:MGI:2181363] MNYQTASFLIALVWMVSILIAVPSAYFTTETILVIVKNQEKIFCGQIWSVDQQLYYKSYF LFVFGLEFVGPVVTMTLCYARISQELWFKAVPGFQTEQIRKRLRCRRKTVLLLMGILTAY VLCWAPFYGFTIVRDFFPTVVVKEKHYLTAFYVVECIAMSNSMINTICFVTVKNNTMKYF KKMLRLHWRPSHYGSKSSADLDLKTSGVPATEEVDCIRLK >ENSMUSP00000105784.1 pep:known chromosome:GRCm38:2:132371165:132385447:-1 gene:ENSMUSG00000050558.13 transcript:ENSMUST00000110156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prokr2 description:prokineticin receptor 2 [Source:MGI Symbol;Acc:MGI:2181363] MGPQNRNTSFAPDLNPPQDHVSLNYSYGDYDLPLGEDEDVTKTQTFFAAKIVIGVALAGI MLVCGIGNFVFIAALARYKKLRNLTNLLIANLAISDFLVAIVCCPFEMDYYVVRQLSWAH GHVLCASVNYLRTVSLYVSTNALLAIAIDRYLAIVHPLKPRMNYQTASFLIALVWMVSIL IAVPSAYFTTETILVIVKNQEKIFCGQIWSVDQQLYYKSYFLFVFGLEFVGPVVTMTLCY ARISQELWFKAVPGFQTEQIRKRLRCRRKTVLLLMGILTAYVLCWAPFYGFTIVRDFFPT VVVKEKHYLTAFYVVECIAMSNSMINTICFVTVKNNTMKYFKKMLRLHWRPSHYGSKSSA DLDLKTSGVPATEEVDCIRLK >ENSMUSP00000115564.1 pep:known chromosome:GRCm38:2:132381568:132385421:-1 gene:ENSMUSG00000050558.13 transcript:ENSMUST00000145995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prokr2 description:prokineticin receptor 2 [Source:MGI Symbol;Acc:MGI:2181363] MGPQNRNTSFAPDLNPP >ENSMUSP00000103442.1 pep:known chromosome:GRCm38:3:68892499:69004543:-1 gene:ENSMUSG00000027778.15 transcript:ENSMUST00000107812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift80 description:intraflagellar transport 80 [Source:MGI Symbol;Acc:MGI:1915509] MRLKISLSKEPKHQELVSCVGWTTAEELYSCSDDHQIVKWNLLTSETSLIVKLPDDIYPI DLHWFPKSLGIKKQTQAESFVLTSSDGKFHLISKLGRVEKSVEAHCGAVLAGRWNYEGTA LVTVGEDGQVKIWSKTGMLRSTLAQQGTPVYSVAWGPDSEKVLYTAGKQLIIKPLQPNAK VLQWKAHDGIILKVDWNSVNDLILSAGEDCKYKVWDSYGRVLYGSQPHEHPITSVAWAPD GELFAVGSFHTLRLCDKTGWSYALEKPNTGSIFNIAWSIDGTQIAGACGNGHVVFAHVVE QRWEWKNFQVTLTKRRTMQVRNVLNDAVDLLEFRDRVIKASLNHAHLVVSTSLQCYVFST KNWNTPLIFDLKEGTVSLILQAERHFLLVDGGGIYLHSYEGRFISSPKFPGMRTDILNAQ TVSLSNDTIAIKDKADEKIIFLFEASTGKPLGDGKLLSHKNEISEIALDQKGLTNDRKIA FIDKNRDLYITSVKRFGKEEQIIKLGTMVHTLAWCDTCNILCGIQDTRFTVWYYPNTIYV DRDILPKTLYERDASEYSKNPHIVSFVGNQVTIRRADGSLVHISISPYPAILHEYVSSSK WEEAVRLCRFVKEQSMWACLAAMAVANRDMVTAEIAYAAVGEIDKVRYINAIKDLPSRES KMAHILMFSGNIQEAETVLLQAGLVYQAIQININLYNWERALELAVKYKTHVDTVLAYRQ KFLDTFGKQETNKRYLQYAEGLQIDWEKIKAKIEMEITKERDRSSSGQSSKSVGLKH >ENSMUSP00000118406.1 pep:known chromosome:GRCm38:3:68963635:69004477:-1 gene:ENSMUSG00000027778.15 transcript:ENSMUST00000154741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift80 description:intraflagellar transport 80 [Source:MGI Symbol;Acc:MGI:1915509] MRLKISLSKEPKHQELVSCVGWTTAEELYSCSDDHQIVKWNLLTSETSLIVKLPDDIYPI DLHWFPKSLGIKKQTQAESFVLTSSDGKFHLISKLGRVEKSVEAHCGAVLAGRWNYEGTA LVTVGEDGQVKIWSKTGMLRSTLAQQGTPVYSVAWGPDSEKVLYTAGKQLIIKPLQPNAK VLQWKAHDGIILKVDWNSVNDLILSAGED >ENSMUSP00000122919.1 pep:known chromosome:GRCm38:3:68967920:69004484:-1 gene:ENSMUSG00000027778.15 transcript:ENSMUST00000148031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift80 description:intraflagellar transport 80 [Source:MGI Symbol;Acc:MGI:1915509] MRLKISLSKEPKHQELVSCVGWTTAEELYSCSDDHQIVKWNLLTSETSLIVKLPDDIYPI DLHWFPKSLGIKKQTQAESFVLTSSDGKFHLISKLGRVEKSVEAHCGAVLAGRWNYEGTA LVTVGEDGQVKIWSKTGMLRSTLAQQGTPVYSVAWGPDS >ENSMUSP00000029347.7 pep:known chromosome:GRCm38:3:68892525:68994537:-1 gene:ENSMUSG00000027778.15 transcript:ENSMUST00000029347.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift80 description:intraflagellar transport 80 [Source:MGI Symbol;Acc:MGI:1915509] MRLKISLSKEPKHQELVSCVGWTTAEELYSCSDDHQIVKWNLLTSETSLIVKLPDDIYPI DLHWFPKSLGIKKQTQAESFVLTSSDGKFHLISKLGRVEKSVEAHCGAVLAGRWNYEGTA LVTVGEDGQVKIWSKTGMLRSTLAQQGTPVYSVAWGPDSEKVLYTAGKQLIIKPLQPNAK VLQWKAHDGIILKVDWNSVNDLILSAGEDCKYKVWDSYGRVLYGSQPHEHPITSVAWAPD GELFAVGSFHTLRLCDKTGWSYALEKPNTGSIFNIAWSIDGTQIAGACGNGHVVFAHVVE QRWEWKNFQVTLTKRRTMQVRNVLNDAVDLLEFRDRVIKASLNHAHLVVSTSLQCYVFST KNWNTPLIFDLKEGTVSLILQAERHFLLVDGGGIYLHSYEGRFISSPKFPGMRTDILNAQ TVSLSNDTIAIKDKADEKIIFLFEASTGKPLGDGKLLSHKNEISEIALDQKGLTNDRKIA FIDKNRDLYITSVKRFGKEEQIIKLGTMVHTLAWCDTCNILCGIQDTRFTVWYYPNTIYV DRDILPKTLYERDASEYSKNPHIVSFVGNQVTIRRADGSLVHISISPYPAILHEYVSSSK WEEAVRLCRFVKEQSMWACLAAMAVANRDMVTAEIAYAAVGEIDKVRYINAIKDLPSRES KMAHILMFSGNIQEAETVLLQAGLVYQAIQININLYNWERALELAVKYKTHVDTVLAYRQ KFLDTFGKQETNKRYLQYAEGLQIDWEKIKAKIEMEITKERDRSSSGQSSKSVGLKH >ENSMUSP00000133263.1 pep:known chromosome:GRCm38:3:68892525:69004570:-1 gene:ENSMUSG00000027778.15 transcript:ENSMUST00000169064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift80 description:intraflagellar transport 80 [Source:MGI Symbol;Acc:MGI:1915509] MRLKISLSKEPKHQELVSCVGWTTAEELYSCSDDHQIVKWNLLTSETSLIVKLPDDIYPI DLHWFPKSLGIKKQTQAESFVLTSSDGKFHLISKLGRVEKSVEAHCGAVLAGRWNYEGTA LVTVGEDGQVKIWSKTGMLRSTLAQQGTPVYSVAWGPDSEKVLYTAGKQLIIKPLQPNAK VLQWKAHDGIILKVDWNSVNDLILSAGEDCKYKVWDSYGRVLYGSQPHEHPITSVAWAPD GELFAVGSFHTLRLCDKTGWSYALEKPNTGSIFNIAWSIDGTQIAGACGNGHVVFAHVVE QRWEWKNFQVTLTKRRTMQVRNVLNDAVDLLEFRDRVIKASLNHAHLVVSTSLQCYVFST KNWNTPLIFDLKEGTVSLILQAERHFLLVDGGGIYLHSYEGRFISSPKFPGMRTDILNAQ TVSLSNDTIAIKDKADEKIIFLFEASTGKPLGDGKLLSHKNEISEIALDQKGLTNDRKIA FIDKNRDLYITSVKRFGKEEQIIKLGTMVHTLAWCDTCNILCGIQDTRFTVWYYPNTIYV DRDILPKTLYERDASEYSKNPHIVSFVGNQVTIRRADGSLVHISISPYPAILHEYVSSSK WEEAVRLCRFVKEQSMWACLAAMAVANRDMVTAEIAYAAVGEIDKVRYINAIKDLPSRES KMAHILMFSGNIQEAETVLLQAGLVYQAIQININLYNWERALELAVKYKTHVDTVLAYRQ KFLDTFGKQETNKRYLQYAEGLQIDWEKIKAKIEMEITKERDRSSSGQSSKSVGLKH >ENSMUSP00000063314.2 pep:known chromosome:GRCm38:2:5862081:5862923:-1 gene:ENSMUSG00000056718.2 transcript:ENSMUST00000071016.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13199 description:predicted gene 13199 [Source:MGI Symbol;Acc:MGI:3649231] MVNFPPLLFFPRSSGQTHSSLIWRVSLASLLQRSPLSAFQLIGQMMRCSLSGSGPSGSLT CWKLQAVAAHRGPELLHQDDALMVQGSLQHRYDRHGCQRETQLGSPVHRKPVTNSALEGS VSKSL >ENSMUSP00000108982.2 pep:known chromosome:GRCm38:6:97286867:97617541:-1 gene:ENSMUSG00000030064.16 transcript:ENSMUST00000113355.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4b description:FERM domain containing 4B [Source:MGI Symbol;Acc:MGI:2141794] MTEGRHCQVHLLDDRRLELLVQPKLLSRELLDLVASHFNLKEKEYFGITFIDDTGQENWL QLDHRVLEHDLPKKPGPTLLHFAVRFYIESISFLKDKNTVELFFLNAKACVHKGQIEVDS ETIFKLAALVLQESKGDYTSDENARKDLKTLPVFPTKTLQEHPSLAYCEDRVIEHYLKIK GLTRGQAVVQYMKIVEALPTYGVHYYAVKDKQGLPWWLGISYKGIGQYDLQDKVKPRKLF QWKQLENLYFREKKFAVEVHDPRRISVSRRTFGQSGLFVQTWYANSSLIKSIWVMAISQH QFYLDRKQSKAKIPSARSLDDIAMDLTETGTQRGSKLVTLEAKSQFIMASNGSLISSGSQ DSEGMEEQKREKILELKKKEKLLQEKLLQKVEELKKICLREAELTGRMPKEYPLNIGEKP PQVRRRVGTTFKLDDNLLPTEEDPALQELESNFLIQQKLVEAAKKLASEPDLCKTVKKKR KQDYTDAVKRLQEIENSINEYRIRCGKKPSQKAAVVPPEDIIPSESSSLSDTTTYDDPND SFTLAGQRPSSVPHSPRILPPKSLGIERIHFRKSSINEQFMDTRHSREMLSTHSSPYKTL ERRPQGGRSMPTTPVLTRNAYSSSHLEPDSSSQHCRQRSGSLESQSHLLSEMDSDKPFFT LSKSQRSSSTEILDDGSSYTSQSSSEYYCVTPAASPYYTTQTLDTRARGRRRSKKHSVST SNSGSMPNLAQKDPLRNGVYSKGQDPPPSGYYIAGYPPYAECDLYYSGGYVYENDTEGQY SVNPSYRSSAHYGYDRQRDYSRSFHEDEVDRVPHNPYATLRLPRKAAVKSEHITKNIHKA LVAEHLRGWYQRASGQKDQGHSPQTSFDSDRGSQRCLGFAGLQVPCSPSSRASSYSSVSS TNASGNWRTQLTIGLSEYENPVHSPYTSYYGSIYNPLSSPSRQYAETTPLDGTDGSQLED NLEGSEQRLFWHEDSKPGTLV >ENSMUSP00000108986.1 pep:known chromosome:GRCm38:6:97287088:97459286:-1 gene:ENSMUSG00000030064.16 transcript:ENSMUST00000113359.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4b description:FERM domain containing 4B [Source:MGI Symbol;Acc:MGI:2141794] MSLNHGLEMTEGRHCQVHLLDDRRLELLVQPKLLSRELLDLVASHFNLKEKEYFGITFID DTGQENWLQLDHRVLEHDLPKKPGPTLLHFAVRFYIESISFLKDKNTVELFFLNAKACVH KGQIEVDSETIFKLAALVLQESKGDYTSDENARKDLKTLPVFPTKTLQEHPSLAYCEDRV IEHYLKIKGLTRGQAVVQYMKIVEALPTYGVHYYAVKDKQGLPWWLGISYKGIGQYDLQD KVKPRKLFQWKQLENLYFREKKFAVEVHDPRRISVSRRTFGQSGLFVQTWYANSSLIKSI WVMAISQHQFYLDRKQSKAKIPSARSLDDIAMDLTETGTQRGSKLVTLEAKSQFIMASNG SLISSGSQDSEGMEEQKREKILELKKKEKLLQEKLLQKVEELKKICLREAELTGRMPKEY PLNIGEKPPQVRRRVGTTFKLDDNLLPTEEDPALQELESNFLIQQKLVEAAKKLASEPDL CKTVKKKRKQDYTDAVKRLQEIENSINEYRIRCGKKPSQKAAVVPPEDIIPSESSSLSDT TTYDDPNDSFTLAGQRPSSVPHSPRILPPKSLGIERIHFRKSSINEQFMDTRHSREMLST HSSPYKTLERRPQGGRSMPTTPVLTRNAYSSSHLEPDSSSQHCRQRSGSLESQSHLLSEM DSDKPFFTLSKSQRSSSTEILDDGSSYTSQSSSEYYCVTPAASPYYTTQTLDTRARGRRR SKKHSVSTSNSGSMPNLAQKDPLRNGVYSKGQDPPPSGYYIAGYPPYAECDLYYSGGYVY ENDTEGQYSVNPSYRSSAHYGYDRQRDYSRSFHEDEVDRVPHNPYATLRLPRKAAVKSEH ITKNIHKALVAEHLRGWYQRASGQKDQGHSPQTSFDSDRGSQRCLGFAGLQVPCSPSSRA SSYSSVSSTNASGNWRTQLTIGLSEYENPVHSPYTSYYGSIYNPLSSPSRQYAETTPLDG TDGSQLEDNLEGSEQRLFWHEDSKPGTLV >ENSMUSP00000032146.7 pep:known chromosome:GRCm38:6:97288360:97487805:-1 gene:ENSMUSG00000030064.16 transcript:ENSMUST00000032146.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4b description:FERM domain containing 4B [Source:MGI Symbol;Acc:MGI:2141794] MASVFMCGVEDLLFSGSRFVWNLTVSTLRRWYTERLRACHQVLRTWCGLRDVYQMTEGRH CQVHLLDDRRLELLVQPKLLSRELLDLVASHFNLKEKEYFGITFIDDTGQENWLQLDHRV LEHDLPKKPGPTLLHFAVRFYIESISFLKDKNTVELFFLNAKACVHKGQIEVDSETIFKL AALVLQESKGDYTSDENARKDLKTLPVFPTKTLQEHPSLAYCEDRVIEHYLKIKGLTRGQ AVVQYMKIVEALPTYGVHYYAVKDKQGLPWWLGISYKGIGQYDLQDKVKPRKLFQWKQLE NLYFREKKFAVEVHDPRRISVSRRTFGQSGLFVQTWYANSSLIKSIWVMAISQHQFYLDR KQSKAKIPSARSLDDIAMDLTETGTQRGSKLVTLEAKSQFIMASNGSLISSGSQDSEGME EQKREKILELKKKEKLLQEKLLQKVEELKKICLREAELTGRMPKEYPLNIGEKPPQVRRR VGTTFKLDDNLLPTEEDPALQELESNFLIQQKLVEAAKKLASEPDLCKTVKKKRKQDYTD AVKRLQEIENSINEYRIRCGKKPSQKAAVVPPEDIIPSESSSLSDTTTYDDPNDSFTLAG QRPSSVPHSPRILPPKSLGIERIHFRKSSINEQFMDTRHSREMLSTHSSPYKTLERRPQG GRSMPTTPVLTRNAYSSSHLEPDSSSQHCRQRSGSLESQSHLLSEMDSDKPFFTLSKSQR SSSTEILDDGSSYTSQSSSEYYCVTPAASPYYTTQTLDTRARGRRRSKKHSVSTSNSGSM PNLAQKDPLRNGVYSKGQDPPPSGYYIAGYPPYAECDLYYSGGYVYENDTEGQYSVNPSY RSSAHYGYDRQRDYSRSFHEDEVDRVPHNPYATLRLPRKAAVKSEHITKNIHKALVAEHL RGWYQRASGQKDQGHSPQTSFDSDRGSQRCLGFAGLQVPCSPSSRASSYSSVSSTNASGN WRTQLTIGLSEYENPVHSPYTSYYGSIYNPLSSPSRQYAETTPLDGTDGSQLEDNLEGSE QRLFWHEDSKPGTLV >ENSMUSP00000145240.1 pep:known chromosome:GRCm38:6:97396257:97431429:-1 gene:ENSMUSG00000030064.16 transcript:ENSMUST00000124050.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd4b description:FERM domain containing 4B [Source:MGI Symbol;Acc:MGI:2141794] MTEGRHCQVHLLDDRRLELLVQPKLLSRELLDLVASHFNLKEKEYFGITFIDDTGQENWL QLDHRVLEHDLPKKPGPTLLHFAVRFYIESIS >ENSMUSP00000113953.1 pep:known chromosome:GRCm38:10:81621785:81627320:-1 gene:ENSMUSG00000034748.16 transcript:ENSMUST00000119324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt6 description:sirtuin 6 [Source:MGI Symbol;Acc:MGI:1354161] MWQSSSVVFHTGAGISTASGIPDFRGPHGVWTMEERGLAPKFDTTFENARPSKTHMALVQ LERMGFLSFLVSQNVDGLHVRSGFPRDKLAELHGNMFVEECPKCKTQYVRDTVVGTMGLK ATGRLCTVAKTRGLRACRGELRDTILDWEDSLPDRDLMLADEASRTADLSVTLGTSLQIR PSGNLPLATKRRGGRLVIVNLQPTKHDRQADLRIHGYVDEVMCRLMKHLGLEIPAWDGPC VLDKALPPLPRPVALKAEPPVHLNGAVHVSYKSKPNSPILHRPPKRVKTEAAPS >ENSMUSP00000048971.8 pep:known chromosome:GRCm38:10:81621787:81627797:-1 gene:ENSMUSG00000034748.16 transcript:ENSMUST00000042923.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt6 description:sirtuin 6 [Source:MGI Symbol;Acc:MGI:1354161] MSVNYAAGLSPYADKGKCGLPEIFDPPEELERKVWELARLMWQSSSVVFHTGAGISTASG IPDFRGPHGVWTMEERGLAPKFDTTFENARPSKTHMALVQLERMGFLSFLVSQNVDGLHV RSGFPRDKLAELHGNMFVEECPKCKTQYVRDTVVGTMGLKATGRLCTVAKTRGLRACRGE LRDTILDWEDSLPDRDLMLADEASRTADLSVTLGTSLQIRPSGNLPLATKRRGGRLVIVN LQPTKHDRQADLRIHGYVDEVMCRLMKHLGLEIPAWDGPCVLDKALPPLPRPVALKAEPP VHLNGAVHVSYKSKPNSPILHRPPKRVKTEAAPS >ENSMUSP00000118238.1 pep:known chromosome:GRCm38:10:81625779:81627257:-1 gene:ENSMUSG00000034748.16 transcript:ENSMUST00000143424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt6 description:sirtuin 6 [Source:MGI Symbol;Acc:MGI:1354161] MWQSSSVVFHTGAGISTASGIPDFRGPHGVWTMEERGLAPKFDTTFENARPSKTHMALVQ LERMG >ENSMUSP00000122388.1 pep:known chromosome:GRCm38:8:111033144:111036950:1 gene:ENSMUSG00000031960.14 transcript:ENSMUST00000125268.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aars description:alanyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2384560] MDATLTA >ENSMUSP00000034441.7 pep:known chromosome:GRCm38:8:111033902:111057664:1 gene:ENSMUSG00000031960.14 transcript:ENSMUST00000034441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aars description:alanyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2384560] MDATLTAREIRERFINFFRRNEHTYVHSSATIPLDDPTLLFANAGMNQFKPIFLNTIDPS HPMAKLSRAANTQKCIRAGGKHNDLDDVGKDVYHHTFFEMLGSWSFGDYFKELACKMALE LLTQEFGIPVERLYVTYFGGDEAAGLEPDLECRQIWQNLGLDEARILPGNMKDNFWEMGD TGPCGPCSEIHYDRIGGRDAAHLVNQDDPNVLEIWNLVFIQYNRESDGVLKPLPKKSIDT GMGLERLVSVLQNKMSNYDTDLFMPYFEAIQKGTGARPYTGKVGAEDADGIDMAYRVLAD HARTITVALADGGRPDNTGRGYVLRRILRRAVRYSHEKLNASRGFFATLVDVVVQSLGDA FPELKKDPEMVKDIINEEEVQFLKTLSRGRRILDRKIQSLGDCKTIPGDTAWLLYDTYGF PVDLTGLIAEEKGLVVDMNGFEEERRLAQLKSQGKGAGDEDLIMLDIYAIEELRAKGLEA TDDSPKYNYQSDSSGSYVFECTVATVLALRREKMFVDEVVTGQECGVVLDKTCFYAEQGG QIYDEGYLVKVDDSSEDKTEFTVKNAQVRGGYVLHIGTIYGNLKVGDQVRLFIDEPRRRP VMSNHTATHILNFALRSVLGEADQKGSLVAPDRLRFDFTAKGAMSTQQIKKAEEIVNGMI EAAKPVYTQDCPLAAAKAIQGLRAVFDETYPDPVRVVSIGVPVSELLDDPCGPAGSLTSV EFCGGTHLRNSSHAGAFVIVTEEAIAKGIRRIVAVTGAEAQKALRKSETLKKSLSAMEAK VKAQTAPNKDVQREIADLGEALATAVIPQWQKDEQRETLKSLKKVMDDLDRASKADVQKR VLEKTKQLIDSNPNQPLVILEMESGASAKALNEALKLFKTHSPQTSAMLFTVDNEAGKIT CLCQVPQNAANRGLKASEWVQQVSGLMDGKGGGKDMSAQATGKNVGCLQEALQLATSFAQ LRLGDVKN >ENSMUSP00000136411.1 pep:known chromosome:GRCm38:17:78916500:78920306:1 gene:ENSMUSG00000062691.10 transcript:ENSMUST00000180077.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cebpzos description:CCAAT/enhancer binding protein (C/EBP), zeta, opposite strand [Source:MGI Symbol;Acc:MGI:1915804] MARIMEPLARKIFKGVLAAELVGVAGAYCLFKKMHSSQDFRQTMSKKFPFILEVYYKSIE QSGMYGVREKDEEKWLTSKN >ENSMUSP00000068772.4 pep:known chromosome:GRCm38:17:78916517:78920306:1 gene:ENSMUSG00000062691.10 transcript:ENSMUST00000063817.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cebpzos description:CCAAT/enhancer binding protein (C/EBP), zeta, opposite strand [Source:MGI Symbol;Acc:MGI:1915804] MARIMEPLARKIFKGVLAAELVGVAGAYCLFKKMHSSQDFRQTMSKKFPFILEVYYKSIE QSGMYGVREKDEEKWLTSKN >ENSMUSP00000141923.1 pep:known chromosome:GRCm38:17:78916700:78918438:1 gene:ENSMUSG00000062691.10 transcript:ENSMUST00000192288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cebpzos description:CCAAT/enhancer binding protein (C/EBP), zeta, opposite strand [Source:MGI Symbol;Acc:MGI:1915804] MARIMEPLARKIFKGVLAAELVGVAGA >ENSMUSP00000105026.2 pep:known chromosome:GRCm38:13:98839019:98891040:-1 gene:ENSMUSG00000009470.16 transcript:ENSMUST00000109399.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnpo1 description:transportin 1 [Source:MGI Symbol;Acc:MGI:2681523] MEYEWKPDEQGLQQILQLLKESQSPDTTIQRTVQQKLEQLNQYPDFNNYLIFVLTKLKSE DEPTRSLSGLILKNNVKAHFQNFPNGVTDFIKSECLNNIGDSSPLIRATVGILITTIASK GELQNWPDLLPKLCSLLDSEDYNTCEGAFGALQKICEDSAEILDSDVLDRPLNIMIPKFL QFFKHSSPKIRSHAVACVNQFIISRTQALMLHIDSFIENLFALAGDEEAEVRKNVCRALV MLLEVRMDRLLPHMHNIVEYMLQRTQDQDENVALEACEFWLTLAEQPICKDVLVRHLPKL IPVLVNGMKYSDIDIILLKGDVEEDETIPDSEQDIRPRFHRSRTVAQQHEEDGIEEEDDD DDEIDDDDTISDWNLRKCSAAALDVLANVYRDELLPHILPLLKELLFHHEWVVKESGILV LGAIAEGCMQGMIPYLPELIPHLIQCLSDKKALVRSITCWTLSRYAHWVVSQPPDTYLKP LMTELLKRILDSNKRVQEAACSAFATLEEEACTELVPYLAYILDTLVFAFSKYQHKNLLI LYDAIGTLADSVGHHLNKPEYIQMLMPPLIQKWNMLKDEDKDLFPLLECLSSVATALQSG FLPYCEPVYQRCVNLVQKTLAQAMLNNAQPEQYEAPDKDFMIVALDLLSGLAEGLGGNIE QLVARSNILTLMYQCMQDKMPEVRQSSFALLGDLTKACFQHVKPCIADFMPILGTNLNPE FISVCNNATWAIGEISIQMGIEMQPYIPMVLHQLVEIINRPNTPKTLLENTAITIGRLGY VCPQEVAPMLQQFIRPWCTSLRNIRDNEEKDSAFRGICTMISVNPSGVIQDFIFFCDAVA SWINPKDDLRDMFCKILHGFKNQVGDENWRRFSDQFPLPLKERLAAFYGV >ENSMUSP00000105028.1 pep:known chromosome:GRCm38:13:98839019:98926384:-1 gene:ENSMUSG00000009470.16 transcript:ENSMUST00000109401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnpo1 description:transportin 1 [Source:MGI Symbol;Acc:MGI:2681523] MVWDRQTKMEYEWKPDEQGLQQILQLLKESQSPDTTIQRTVQQKLEQLNQYPDFNNYLIF VLTKLKSEDEPTRSLSGLILKNNVKAHFQNFPNGVTDFIKSECLNNIGDSSPLIRATVGI LITTIASKGELQNWPDLLPKLCSLLDSEDYNTCEGAFGALQKICEDSAEILDSDVLDRPL NIMIPKFLQFFKHSSPKIRSHAVACVNQFIISRTQALMLHIDSFIENLFALAGDEEAEVR KNVCRALVMLLEVRMDRLLPHMHNIVEYMLQRTQDQDENVALEACEFWLTLAEQPICKDV LVRHLPKLIPVLVNGMKYSDIDIILLKGDVEEDETIPDSEQDIRPRFHRSRTVAQQHEED GIEEEDDDDDEIDDDDTISDWNLRKCSAAALDVLANVYRDELLPHILPLLKELLFHHEWV VKESGILVLGAIAEGCMQGMIPYLPELIPHLIQCLSDKKALVRSITCWTLSRYAHWVVSQ PPDTYLKPLMTELLKRILDSNKRVQEAACSAFATLEEEACTELVPYLAYILDTLVFAFSK YQHKNLLILYDAIGTLADSVGHHLNKPEYIQMLMPPLIQKWNMLKDEDKDLFPLLECLSS VATALQSGFLPYCEPVYQRCVNLVQKTLAQAMLNNAQPEQYEAPDKDFMIVALDLLSGLA EGLGGNIEQLVARSNILTLMYQCMQDKMPEVRQSSFALLGDLTKACFQHVKPCIADFMPI LGTNLNPEFISVCNNATWAIGEISIQMGIEMQPYIPMVLHQLVEIINRPNTPKTLLENTA ITIGRLGYVCPQEVAPMLQQFIRPWCTSLRNIRDNEEKDSAFRGICTMISVNPSGVIQDF IFFCDAVASWINPKDDLRDMFCKILHGFKNQVGDENWRRFSDQFPLPLKERLAAFYGV >ENSMUSP00000136917.1 pep:known chromosome:GRCm38:13:98849223:98890998:-1 gene:ENSMUSG00000009470.16 transcript:ENSMUST00000179301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnpo1 description:transportin 1 [Source:MGI Symbol;Acc:MGI:2681523] MEYEWKPDEQGLQQILQLLKESQSPDTTIQRTVQQKLEQLNQYPDFNNYLIFVLTKLKSE DEPTRSLSGLILKNNVKAHFQNFPNGVTDFIKSECLNNIGDSSPLIRATVGILITTIASK GELQNWPDLLPKLCSLLDSEDYNTCEGAFGALQKICEDSAEILDSDVLDRPLNIMIPKFL QFFKHSSPKIRSHAVACVNQFIISRTQALMLHIDSFIENLFALAGDEEAEVRKNVCRALV MLLEVRMDRLLPHMHNIVEYMLQRTQDQDENVALEACEFWLTLAEQPICKDVLVRHLPKL IPVLVNGMKYSDIDIILLKGDVEEDETIPDSEQDIRPRFHRSRTVAQQHEEDGIEEEDDD DDEIDDDDTISDWNLRKCSAAALDVLANVYRDELLPHILPLLKELLFHHEWVVKESGILV LGAIAEGCMQGMIPYLPELIPHLIQCLSDKKALVRSITCWTLSRYAHWVVSQPPDTYLKP LMTELLKRILDSNKRVQEAACSAFATLEEEACTELVPYLAYILDTLVFAFSKYQHKNLLI LYDAIGTLADSVGHHLNKPEYIQMLMPPLIQKWNMLKDEDKDLFPLLECLSSVATALQSG FLPYCEPVYQRCVNLVQKTLAQAMLNNAQPEQYEAPDKDFMIVALDLLSGLAEGLGGNIE QLVARSNILTLMYQCMQDKMPEVRQSSFALLGDLTKACFQHVKPCIADFMPILGTNLNPE FISVCNNATWAIGEISIQMGIEMQPYIPMVLHQLVEIINRPNTPKTLLENTAITIGRLGY VCPQEVAPMLQQFIRPWCTSLRNIRDN >ENSMUSP00000136496.1 pep:known chromosome:GRCm38:13:98875642:98891014:-1 gene:ENSMUSG00000009470.16 transcript:ENSMUST00000179271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnpo1 description:transportin 1 [Source:MGI Symbol;Acc:MGI:2681523] MEYEWKPDEQGLQQILQLLKESQSPDTTIQRTVQQKLEQLNQYPDFNNYLIFVLTKLKSE DEPTRSLSGLILKNNVKAHFQNFPNGVTDFIKSECLNNIGDSSPLIRATVGILITTIASK GELQNWPDLLPKLCSLLDSEDYNTCEGAFGALQKICEDSAEILDSDVLDRPLNIMIPK >ENSMUSP00000022612.3 pep:known chromosome:GRCm38:14:65805837:65817822:1 gene:ENSMUSG00000022033.9 transcript:ENSMUST00000022612.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbk description:PDZ binding kinase [Source:MGI Symbol;Acc:MGI:1289156] MEGINNFKTPNKSEKRKSVLCSTPCVNIPASPFMQKLGFGTGVSVYLMKRSPRGLSHSPW AVKKISLLCDDHYRTVYQKRLTDEAKILKNLNHPNIIGYRAFTEASDGSLCLAMEYGGEK SLNDLIEERNKDSGSPFPAAVILRVALHMARGLKYLHQEKKLLHGDIKSSNVVIKGDFET IKICDVGVSLPLDENMTVTDPEACYIGTEPWKPKEALEENGIITDKADVFAFGLTLWEMM TLCIPHVNLPDDDVDEDATFDESDFDDEAYYAALGTRPSINMEELDDSYQKAIELFCVCT NEDPKDRPSAAHIVEALELDGQCCGLSSKH >ENSMUSP00000114484.1 pep:known chromosome:GRCm38:14:65806232:65813874:1 gene:ENSMUSG00000022033.9 transcript:ENSMUST00000139644.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbk description:PDZ binding kinase [Source:MGI Symbol;Acc:MGI:1289156] MEGINNFKTPNKSEKRKSVLCSTPCVNIPASPFMQKLGFGTGVSVYLMKRSPRGLSHSPW AVKKISLLCDDHYRTVYQKRLTDEAKILKNLNHPNIIGYRAFTEASDGSLCLAMEYGGEK >ENSMUSP00000112257.2 pep:known chromosome:GRCm38:19:5728087:5729633:-1 gene:ENSMUSG00000024939.6 transcript:ENSMUST00000116558.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam89b description:family with sequence similarity 89, member B [Source:MGI Symbol;Acc:MGI:106595] MNGLPATEAPGGAGCALAGLPPLPRGLSGLLNASGGSWRELERVYSQRSRIHDELSRAAR APDGPRHAAGSANSGSAAGPRRPVNLDSALAALRKEMLSVGGAATAGYVPVVPAVGPVRV DPGLQASVPRPELVPGPVILSALGQLLPT >ENSMUSP00000097538.3 pep:known chromosome:GRCm38:19:5728087:5729651:-1 gene:ENSMUSG00000024939.6 transcript:ENSMUST00000099955.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam89b description:family with sequence similarity 89, member B [Source:MGI Symbol;Acc:MGI:106595] MNGLPATEAPGGAGCALAGLPPLPRGLSGLLNASGGSWRELERVYSQRSRIHDELSRAAR APDGPRHAAGSANSGSAAGPRRPVNLDSALAALRKEMVGLRQLDMSLLCQLWGLYESIQD YKHLCQDLSLCQDLSSSLHSDSSYPPDAGLSDDEEPPDASLPPDPPPLTVPQTHNARDQW LQDAFQISL >ENSMUSP00000124294.1 pep:known chromosome:GRCm38:19:5728087:5729653:-1 gene:ENSMUSG00000024939.6 transcript:ENSMUST00000161368.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam89b description:family with sequence similarity 89, member B [Source:MGI Symbol;Acc:MGI:106595] MNGLPATEAPGGAGCALAGLPPLPRGLSGLLNASGGSWRELERVYSQRSRIHDELSRAAR APDGPRHAAGSANSGSAAGPRRPVNLDSALAALRKEMLWGLYESIQDYKHLCQDLSLCQD LSSSLHSDSSYPPDAGLSDDEEPPDASLPPDPPPLTVPQTHNARDQWLQDAFQISL >ENSMUSP00000110380.2 pep:known chromosome:GRCm38:6:41605482:41620509:1 gene:ENSMUSG00000029869.7 transcript:ENSMUST00000114732.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb6 description:Eph receptor B6 [Source:MGI Symbol;Acc:MGI:1096338] MATEGTTGSGSRVVAGMVCSLWLLVLGSSVLALEEVLLDTTGETSEIGWLTYPPGGWDEV SVLDDQRRLTRTFEACHVAGLPPGSGQDNWLQTHFVERRGAQRAHIRLHFSVRACSSLGV SGGTCRETFTLYYRQADEPDGPDSIAAWHLKRWTKVDTIAADESFPASSSSSSWAVGPHR TGQRVGLQLNVKERSFGPLTQRGFYVAFQDTGACLALVAVKLFSYTCPSVLRAFASFPET QASGAGGASLVAAVGTCVAHAEPEEDGVGGQAGGSPPRLHCNGEGRWMVAVGGCRCQPGH QPARGDKLCQACPEGSYKALAGNVPCSPCPARSHSPDPAAPVCPCLQGFYRASSDPPEAP CTGPPSAPRELWFEVQGSALMLHWRLPQELGGRGDLLFNVVCKECGGHGEPSSGGMCRRC RDEVHFDPRQRGLTESRVLVGGLRAHVPYILEVQAVNGVSELSPDPPQAAAINVSTSHEV PSAVPVMHQVSRAANSITVSWPQPEQTNGNILDYQLRYYDQAEDESHSFTMTSETNTATV TRLSPGHIYGFQVRARTAAGHGPYGGKVYFQTLPQGELSSQLPEKLSLVIGSILGALAFL LLAAITVLAVIFQRKRRGTGYTEQLQQYSSPGLGVKYYIDPSTYDDPCQAIRELAREVDP TYIKIEEVIGAGSFGEVRRGRLQPRGRREQAVAIQALWAGGAESLKMTFLGRAALLGQFQ HPNILRLEGVVTKSRPVMVLTELMELGPLDSFLRQREGQFSSLQLVAMQRGVAAAMQYLS SFAFVHRALSARSVLVNSHLVCKVARLGHSPQGSSSLLRWAAPEVITHGKYTTSSDVWSF GILMWEVMSYGERPYWDMNEQEVLNAIEQEFRLPPPPGCPPGLHLLMLDTWQKDRARRPH FDQLVAAFDKMIRKPDTLQAEGGSGDRPSQALLNPVALDFPCLDSPQAWLSAIGLECYQD NFSKFGLSTFSDVAQLSLEDLPGLGITLAGHQKKLLHNIQLLQQHLRQPGSVEV >ENSMUSP00000133131.1 pep:known chromosome:GRCm38:6:41614692:41616757:1 gene:ENSMUSG00000029869.7 transcript:ENSMUST00000167082.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ephb6 description:Eph receptor B6 [Source:MGI Symbol;Acc:MGI:1096338] XEPEEDGVGGQAGGSPPRLHCNGEGRWMVAVGGCRCQPGHQPARGDKLCQVRTHQRLPAL VLHRLPGSYGLRCKARHSCCTGVCLRSWVDEGICSSMLCARSVEVTESPAVGGCVAAAGT RCISTPARGD >ENSMUSP00000132773.1 pep:known chromosome:GRCm38:6:41619943:41620507:1 gene:ENSMUSG00000029869.7 transcript:ENSMUST00000167497.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb6 description:Eph receptor B6 [Source:MGI Symbol;Acc:MGI:1096338] XPVALDFPCLDSPQAWLSAIGLECYQDNFSKFGLSTFSDVAQLSLE >ENSMUSP00000134928.1 pep:known chromosome:GRCm38:12:85824659:85857405:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000177188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERYHLSYKIVRTDSRLVRSILTAHGFHEVHPSSTDYNLMWTGSHLKPFLLRTLSEAQKVN HFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRGP >ENSMUSP00000093192.3 pep:known chromosome:GRCm38:12:85824673:85894745:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000095536.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERYHLSYKIVRTDSRLVRSILTAHGFHEVHPSSTDYNLMWTGSHLKPFLLRTLSEAQKVN HFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRGPWIVK PVASSRGRGVYLINNPNQISLEENILVSRYINNPLLIDDFKFDVRLYVLVTSYDPLVIYL YEEGLARFATVRYDQGSKNIRNQFMHLTNYSVNKKSGDYVSCDDPEVEDYGNKWSMSAML RYLKQEGKDTTALMAHVEDLIIKTIISAELAIATACKTFVPHRSSCFELYGFDVLIDNTL KPWLLEVNLSPSLACDAPLDLKIKASMISDMFTVVGFVCQDPAQRTSNRSIYPSFESSRR NPFQKPQAFLLGMTAFQP >ENSMUSP00000105849.2 pep:known chromosome:GRCm38:12:85824707:85884438:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000110220.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERYHLSYKIVRTDSRLVRSILTAHGFHEVHPSSTDYNLMWTGSHLKPFLLRTLSEAQKVN HFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRGPWIVK PVASSRGRGVYLINNPNQISLEENILVSRYINNPLLIDDFKFDVRLYVLVTSYDPLVIYL YEEGLARFATVRYDQGSKNIRNQFMHLTNYSVNKKSGDYVSCDDPEVEDYGNKWSMSAML RYLKQEGKDTTALMAHVEDLIIKTIISAELAIATACKTFVPHRSSCFG >ENSMUSP00000048809.7 pep:known chromosome:GRCm38:12:85824710:86053760:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000040179.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERYHLSYKIVRTDSRLVRSILTAHGFHEVHPSSTDYNLMWTGSHLKPFLLRTLSEAQKVN HFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRGPWIVK PVASSRGRGVYLINNPNQISLEENILVSRYINNPLLIDDFKFDVRLYVLVTSYDPLVIYL YEEGLARFATVRYDQGSKNIRNQFMHLTNYSVNKKSGDYVSCDDPEVEDYGNKWSMSAML RYLKQEGKDTTALMAHVEDLIIKTIISAELAIATACKTFVPHRSSCFELYGFDVLIDNTL KPWLLEVNLSPSLACDAPLDLKIKASMISDMFTVVGFVCQDPAQRTSNRSIYPSFESSRR NPFQKPQRTRPLSASDAEMKNLVASAREKVPGKLGGSVLGLSMEEIKVLRRVKEENDRRG GFIRIFPTSETWEIYGSYLEHKTSMNYMLATRLFQDRGNPRRSLLTGRARVSTEGAPELK VESMNSKAKLHAALYERKLLSLEVRKRRRRSGRLRAMRPKYPVIAQPAEMNIKTETESEE EEEVGLDNDDEEQEASQEESAGSLGENQAKYTPSLTVIVENSPRDNAMKVAEWTNKGEPC CKIEAQEPESKFNLMQILQDNGNLSKVQARLAFSAYLQHVQIRLTKDSGGQTLSPSWAAK EDEQMELVVRFLKRASSNLQHSLRMVLPSRRLALLERRRILAHQLGDFIGVYNKETEQMA EKKSKKKLEEEEEDGVNAESFQEFIRQASEAELEEVLTFYTQKNKSASVFLGTHSKSSKN SSSYSDSGAKGDHPETIQEVKIKQPKQQQATEIHADKLSRFTTSSGKEAKLVYTNCSSFC SPAAVLLQRLPSSHLSSVITTSALSAGPGHHASLSQIPPAVPSLPHQPALLLSPVPDNAP PSIHSGTQNVSPAGLPRCRSGSYTIGPFSSFQSAAHIYSQKLSRPSSAKAAGSCHPHKHH SGIAKTQKEGEDVSLNRRYNQSLVTAELQRLAEKQAARQYSPASHISLLTQQVTNLNLAS SVINRSSASTPPTLRPVISPSGPTWSIQPDLHASETHSSPPGSRSLQTGGFAWEGEVENN AYSKTTGVVPQHKYHPTAGSYQLHFALQQLEQQKLQSRQLLDQSRARHQAIFGSQTLPNS SLWTMNNGPGCRISSATTGGQKPNTLPQKVVAPPNSSTLVSKPASNHKQVLRKPASQRAS KGSSAEGQLNGLQSSLNPAAFMPITNSTGSLEAPQVIFARSKPLPTQSGALATVIGQRKS KSVKSGTI >ENSMUSP00000135852.1 pep:known chromosome:GRCm38:12:85824968:86016052:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000176695.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERYHLSYKIVRTDSRLVRSILTAHGFHEVHPSSTDYNLMWTGSHLKPFLLRTLSEAQKVN HFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRGPWIVK PVASSRGRGVYLINNPNQISLEENILVSRYINNPLLIDDFKFDVRLYVLVTSYDPLVIYL YEEGLARFATVRYDQGSKNIRNQFMHLTNYSVNKKSGDYVSCDDPEVEDYGNKWSMSAML RYLKQEGKDTTALMAHVEDLIIKTIISAELAIATACKTFVPHRSSCFELYGFDVLIDNTL KPWLLEVNLSPSLACDAPLDLKIKASMISDMFTVVGFVCQDPAQRTSNRSIYPSFESSRR NPFQKPQLPVSA >ENSMUSP00000039939.6 pep:known chromosome:GRCm38:12:85824969:86053208:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000040273.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERYHLSYKIVRTDSRLVRSILTAHGFHEVHPSSTDYNLMWTGSHLKPFLLRTLSEAQKVN HFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRGPWIVK PVASSRGRGVYLINNPNQISLEENILVSRYINNPLLIDDFKFDVRLYVLVTSYDPLVIYL YEEGLARFATVRYDQGSKNIRNQFMHLTNYSVNKKSGDYVSCDDPEVEDYGNKWSMSAML RYLKQEGKDTTALMAHVEDLIIKTIISAELAIATACKTFVPHRSSCFELYGFDVLIDNTL KPWLLEVNLSPSLACDAPLDLKIKASMISDMFTVVGFVCQDPAQRTSNRSIYPSFESSRR NPFQKPQRTRPLSASDAEMKNLVASAREKVPGKLGGSVLGLSMEEIKVLRRVKEENDRRG GFIRIFPTSETWEIYGSYLEHKTSMNYMLATRLFQDRGNPRRSLLTGRARVSTEGAPELK VESMNSKAKLHAALYERKLLSLEVRKRRRRSGRLRAMRPKYPVIAQPAEMNIKTETESEE EEEVGLDNDDEEQEASQEESAGSLGENQAKYTPSLTVIVENSPRDNAMKVAEWTNKGEPC CKIEAQEPESKFNLMQILQDNGNLSKVQARLAFSAYLQHVQIRLTKDSGGQTLSPSWAAK EDEQMELVVRFLKRASSNLQHSLRMVLPSRRLALLERRRILAHQLGDFIGVYNKETEQMA EKKSKKKLEEEEEDGVNAESFQEFIRQASEAELEEVLTFYTQKNKSASVFLGTHSKSSKN SSSYSDSGAKGDHPETIQEVKIKQPKQQQATEIHADKLSRFTTSSGKEAKLVYTNCSSFC SPAAVLLQRLPSSHLSSVITTSALSAGPGHHASLSQIPPAVPSLPHQPALLLSPVPDNAP PSIHSGTQNVSPAGLPRCRSGSYTIGPFSSFQSAAHIYSQKLSRPSSAKAAGSCHPHKHH SGIAKTQKEGEDVSLNRRYNQSLVTAELQRLAEKQAARQYSPASHISLLTQQVTNLNLAS SVINRSSASTPPTLRPVISPSGPTWSIQPDLHASETHSSPPGSRSLQTGGFAWEGEVENN AYSKTTGVVPQHKYHPTAGSYQLHFALQQLEQQKLQSRQLLDQSRARHQAIFGSQTLPNS SLWTMNNGPGCRISSATTGGQKPNTLPQKVVAPPNSSTLVSKPASNHKQVLRKPASQRAS KGSSAEGQLNGLQSSLNPAAFMPITNSTDPAHTNR >ENSMUSP00000105853.1 pep:known chromosome:GRCm38:12:85824978:86053759:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000110224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERYHLSYKIVRTDSRLVRSILTAHGFHEVHPSSTDYNLMWTGSHLKPFLLRTLSEAQKVN HFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRGPWIVK PVASSRGRGVYLINNPNQISLEENILVSRYINNPLLIDDFKFDVRLYVLVTSYDPLVIYL YEEGLARFATVRYDQGSKNIRNQFMHLTNYSVNKKSGDYVSCDDPEVEDYGNKWSMSAML RYLKQEGKDTTALMAHVEDLIIKTIISAELAIATACKTFVPHRSSCFELYGFDVLIDNTL KPWLLEVNLSPSLACDAPLDLKIKASMISDMFTVVGFVCQDPAQRTSNRSIYPSFESSRR NPFQKPQRTRPLSASDAEMKNLVASAREKVPGKLGGSVLGLSMEEIKVLRRVKEENDRRG GFIRIFPTSETWEIYGSYLEHKTSMNYMLATRLFQDRVSTEGAPELKVESMNSKAKLHAA LYERKLLSLEVRKRRRRSGRLRAMRPKYPVIAQPAEMNIKTETESEEEEEVGLDNDDEEQ EASQEESAGSLGENQAKYTPSLTVIVENSPRDNAMKVAEWTNKGEPCCKIEAQEPESKFN LMQILQDNGNLSKVQARLAFSAYLQHVQIRLTKDSGGQTLSPSWAAKEDEQMELVVRFLK RASSNLQHSLRMVLPSRRLALLERRRILAHQLGDFIGVYNKETEQMAEKKSKKKLEEEEE DGVNAESFQEFIRQASEAELEEVLTFYTQKNKSASVFLGTHSKSSKNSSSYSDSGAKGDH PETIQEVKIKQPKQQQATEIHADKLSRFTTSSGKEAKLVYTNCSSFCSPAAVLLQRLPSS HLSSVITTSALSAGPGHHASLSQIPPAVPSLPHQPALLLSPVPDNAPPSIHSGTQNVSPA GLPRCRSGSYTIGPFSSFQSAAHIYSQKLSRPSSAKAGSCHPHKHHSGIAKTQKEGEDVS LNRRYNQSLVTAELQRLAEKQAARQYSPASHISLLTQQVTNLNLASSVINRSSASTPPTL RPVISPSGPTWSIQPDLHASETHSSPPGSRSLQTGGFAWEGEVENNAYSKTTGVVPQHKY HPTAGSYQLHFALQQLEQQKLQSRQLLDQSRARHQAIFGSQTLPNSSLWTMNNGPGCRIS SATTGGQKPNTLPQKVVAPPNSSTLVSKPASNHKQVLRKPASQRASKGSSAEGQLNGLQS SLNPAAFMPITNSTDPAHTNR >ENSMUSP00000122530.2 pep:known chromosome:GRCm38:12:85824985:85843787:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000142411.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERY >ENSMUSP00000135139.1 pep:known chromosome:GRCm38:12:85825021:85849317:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000153570.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERYHLSYKIVRTDSRLVRSILTAHGFHERQR >ENSMUSP00000134971.1 pep:known chromosome:GRCm38:12:85825075:86053759:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000155448.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERYHLSYKIVRTDSRLVRSILTAHGFHEVHPSSTDYNLMWTGSHLKPFLLRTLSEAQKVN HFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRGPWIVK PVASSRGRGVYLINNPNQISLEENILVSRYINNPLLIDDFKFDVRLYVLVTSYDPLVIYL YEEGLARFATVRYDQGSKNIRNQFMHLTNYSVNKKSGDYVSCDDPEVEDYGNKWSMSAML RYLKQEGKDTTALMAHVEDLIIKTIISAELAIATACKTFVPHRSSCFELYGFDVLIDNTL KPWLLEVNLSPSLACDAPLDLKIKASMISDMFTVVGFVCQDPAQRTSNRSIYPSFESSRR NPFQKPQRTRPLSASDAEMKNLVASAREKVPGKLGGSVLGLSMEEIKVLRRVKEENDRRG GFIRIFPTSETWEIYGSYLEHKTSMNYMLATRLFQDRGNPRRSLLTGRARVSTEGAPELK VESMNSKAKLHAALYERKLLSLE >ENSMUSP00000135395.1 pep:known chromosome:GRCm38:12:85826484:86053209:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000177114.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] MPVVMARDLEETASSSEDEDLANQEDHPCIMWTGGCRRIPVLVFHAEAILTKDNNIRVIG ERYHLSYKIVRTDSRLVRSILTAHGFHEVHPSSTDYNLMWTGSHLKPFLLRTLSEAQKVN HFPRSYELTRKDRLYKNIIRMQHTHGFKAFHILPQTFLLPAEYAEFCNSYSKDRGPWIVK PVASSRGRGVYLINNPNQISLEENILVSRYINNPLLIDDFKFDVRLYVLVTSYDPLVIYL YEEGLARFATVRYDQGSKNIRNQFMHLTNYSVNKKSGDYVSCDDPEVEDYGNKWSMSAML RYLKQEGKDTTALMAHVEDLIIKTIISAELAIATACKTFVPHRSSCFELYGFDVLIDNTL KPWLLEVNLSPSLACDAPLDLKIKASMISDMFTVVGFVCQDPAQRTSNRSIYPSFESSRR NPFQKPQLPVSA >ENSMUSP00000135279.1 pep:known chromosome:GRCm38:12:85912560:85929939:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000176460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] XRRSLLTGRARVSTEGAPELKVESMNSKAKLHAALYERKLLSLEVRKRRRRSGRLRAMRP KYPESEEEEEVGLDNDDEEQEASQEESAGSLGENQAKYTPSLTVIVENSPRDNAMKVAEW TNKGEPCCKIEAQEPESKFNLMQILQDNGNLSKVQARLAFSAYLQHVQIRLTKDSGGQTL SPSWAAKEDEQMELVVRFLKRASSNLQHSLRMVLPSRRLALLERRRILAHQLGDFIGVYN KETEQMAEKKSKKKLEEEEEDGVNAESFQEFIRQASEAELEEVLTFYTQKNKSASVFLGT H >ENSMUSP00000134874.1 pep:known chromosome:GRCm38:12:85917779:86053759:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000177168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] XKRRRRSGRLRAMRPKYPVIAQPAEMNIKTETESEEEEEVGLDNDDEEQEASQEESAGSL GENQAKYTPSLTVIVENSPRDNAMKVAEWTNKGEPCCKIEAQEPESKFNLMQILQDNGNL SKVQARLAFSAYLQHVQIRLTKDSGGQTLSPSWAAKEDEQMELVVRFLKRASSNLQHSLR MVLPSRRLALLERRRILAHQLGDFIGVYNKETEQMAEKKSKKKLEEEEEDGVNAESFQEF IRQASEAELEEVLTFYTQKNKSASVFLGTHSKSSKNSSSYSDSGAKGDHPETIQEVKIKQ PKQQQATEIHADKLSRFTTSSGKEAKLVYTNCSSFCSPAAVLLQRLPSSHLSSVITTSAL SAGPGHHASLSQIPPAVPSLPHQPALLLSPVPDNAPPSIHSGTQNVSPAGLPRCRSGSYT IGPFSSFQSAAHIYSQKLSRPSSAKAAGSCHPHKHHSGIAKTQKEGEDVSLNRRYNQSLV TAELQRLAEKQAARQYSPASHISLLTQQVTNLNLASSVINRSSASTPPTLRPVISPSGPT WSIQPDLHASETHSSPPGSRSLQTGGFAWEGEVENNAYSKTTGVVPQHKYHPTAGSYQLH FALQQLEQQKLQSRQLLDQSRARHQAIFGSQTLPNSSLWTMNNGPGCRISSATTGGQKPN TLPQKVVAPPNSSTLVSKPASNHKQVLRKPASQRASKSEDDFREPVLSCCHGSWSLTQTA KLAQQVFLGSSAEGQLNGLQSSLNPAAFMPITNSTGSLEAPQVIFARSKPLPTQSGALAT VIGQRKSKSVKSGTI >ENSMUSP00000135730.1 pep:known chromosome:GRCm38:12:85923008:86012800:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000176937.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] XRLTKDSGGQTLSPSWAAKEDEQMELVVRFLKRASSNLQHSLRMVLPSRRLALLERRRIL AHQLGDFIGVYNKETEQMAEKKSKKKLEEEEEDGVNAESFQEFIRQASEAELEEVLTFYT QKNKSASVFLGTHSKSSKNSSSYSDSGAKGDHPETIQEVKIKQPKQQQATEIHADKLSPG SCHPHKHHSGIAKTQKEGEDVSLNRRYNQSLVTAELQRLAEKQAARQYSPASHISLLTQQ VTNLNLASSVINRSSASTPPTLRPVISPSGPTWSIQPDLHASETHSSPPGSRSLQTGGFA WEGEVENNAYSKTTGVVPQHKYHPTAGSYQLHFALQQLEQQKLQSRQLLDQSRARHQAIF GSQTLPNSSLWTMNNGPGCRISSATTGGQKPNTLPQKVVAPPNSSTLV >ENSMUSP00000135712.1 pep:known chromosome:GRCm38:12:85932782:86053759:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000177525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] XEIHADKLSRFTTSSGKEAKLVYTNCSSFCSPAAVLLQRLPSSHLSSVITTSALSAGPGH HASLSQIPPAVPSLPHQPALLLSPVPDNAPPSIHSGTQNVSPAGLPRCRSGSYTIGPFSS FQSAAHIYSQKLSRPSSAKAAGSCHPHKHHSGIAKTQKEGEDVSLNRRYNQSLVTAELQR LAEKQAARQYSPASHISLLTQQVTNLNLASSVINRSSASTPPTLRPVISPSGPTWSIQPD LHASETHSSPPGSRSLQTGGFAWEGEVENNAYSKTTGVVPQHKYHPTAGSYQLHFALQQL EQQKLQSRQLLDQSRARHQAIFGSQTLPNSSLWTMNNGPGCRISSATTGGQKPNTLPQKV VAPPNSSTLVSKPASNHKQVLRKPASQRASKPCSH >ENSMUSP00000134934.1 pep:known chromosome:GRCm38:12:85939389:86061893:1 gene:ENSMUSG00000012609.18 transcript:ENSMUST00000175844.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll5 description:tubulin tyrosine ligase-like family, member 5 [Source:MGI Symbol;Acc:MGI:2443657] XVSLNRRYNQSLVTAELQRLAEKQAARQYSPASHISLLTQQVTNLNLASSVINRSSASTP PTLRPVISPSGPTWSIQPDLHASETHSSPPGSRSLQTGGFAWEGEVENNAYSKTTGVVPQ HKYHPTAGSYQLHFALQQLEQQKLQSRQLLDQSRARHQAIFGSQTLPNSSLWTMNNGPGC RISSATTGGQKPNTLPQKVVAPPNSSTLVSKPASNHKQVLRKPASQRASKGSSAEGQLNG LQSSLNPAAFMPITNSTGSLEAPQVIFARSKPLPTQSGALATVIGQRKSKSVKSGTI >ENSMUSP00000071134.3 pep:known chromosome:GRCm38:8:123411424:123422015:1 gene:ENSMUSG00000062380.3 transcript:ENSMUST00000071134.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubb3 description:tubulin, beta 3 class III [Source:MGI Symbol;Acc:MGI:107813] MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPSGNYVGDSDLQLERISVYYNEASSHKYV PRAILVDLEPGTMDSVRSGAFGHLFRPDNFIFGQSGAGNNWAKGHYTEGAELVDSVLDVV RKECENCDCLQGFQLTHSLGGGTGSGMGTLLISKVREEYPDRIMNTFSVVPSPKVSDTVV EPYNATLSIHQLVENTDETYCIDNEALYDICFRTLKLATPTYGDLNHLVSATMSGVTTSL RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTARGSQQYRALTVPELTQQMFDAKNMM AACDPRHGRYLTVATVFRGRMSMKEVDEQMLAIQSKNSSYFVEWIPNNVKVAVCDIPPRG LKMSSTFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS EYQQYQDATAEEEGEMYEDDDEESEAQGPK >ENSMUSP00000028471.5 pep:known chromosome:GRCm38:2:84811176:84822652:-1 gene:ENSMUSG00000027077.7 transcript:ENSMUST00000028471.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smtnl1 description:smoothelin-like 1 [Source:MGI Symbol;Acc:MGI:1915928] MEQTEGNSSEDGTTVSPTAGNLETPGSQGIAEEVAEGTVGTSDKEGPSDWAEHLCKAASK SGESGGSPGEASILDELKTDLQGEARGKDEAQGDLAEEKVGKEDTTAASQEDTGKKEETK PEPNEVREKEEAMLASEKQKVDEKETNLESKEKSDVNDKAKPEPKEDAGAEVTVNEAETE SQEEADVKDQAKPELPEVDGKETGSDTKELVEPESPTEEQEQGKENESEERAAVIPSSPE EWPESPTDEGPSLSPDGLAPESTGETSPSASESSPSEVPGSPTEPQPSEKKKDRAPERRV SAPSRPRGPRAQNRKAIMDKFGGAASGPTALFRNTKAAGAAIGGVKNMLLEWCRAMTRNY EHVDIQNFSSSWSSGMAFCALIHKFFPEAFDYAELDPAKRRHNFTLAFSTAEKLADCAQL LEVDDMVRLAVPDSKCVYTYIQELYRSLVQKGLVKTKKK >ENSMUSP00000093311.1 pep:known chromosome:GRCm38:17:18021733:18022704:1 gene:ENSMUSG00000048062.4 transcript:ENSMUST00000095651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpr-rs4 description:formyl peptide receptor, related sequence 4 [Source:MGI Symbol;Acc:MGI:1278317] MEVNISMPLNGSEVVFYDSTTSRVLWILSLVVLFITFVLGVLGNGLVIWVAGFQMAHTVT TVSYLNLALSDLSFMATLPLHIISMVMRGKWLFGWFLCKLVHIIANINLFVSIFLITLIA MDRCICVLCPVWSQNHRTVSLARKVVLGAWIFALLLTLPHFLFLTTVRDARGDVYCISKF ESWVATSEEQLKVSVIAATASGIINFIIGFSMPMSFIAICYGLMAAKICRRGFVNSSRPL RVLTAVAVSFFVCWFPFQLIMLLGNIFNNETLSIIHMLVNPANTLASFNSCLNPILYVFL GQEFRDRLIYSLYASLERALRED >ENSMUSP00000133007.1 pep:known chromosome:GRCm38:7:7786151:7819867:-1 gene:ENSMUSG00000096399.1 transcript:ENSMUST00000169683.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r35 description:vomeronasal 2, receptor 35 [Source:MGI Symbol;Acc:MGI:3646200] MFTLMGVFFFLNIPLFMANFIDPRCFWRINLNEIQDEYLGLTCYFILAAVQKPIEKEYFN KTLNFLKTTKNHKYALALVFSMDEINRNPDLLPNMSLIIRYTLGRCDGKTVIPTPYLFHK KTKSPIPNYFCNEETMCSFLLTGTHWEVSLSFWKYLDSFLSPRILQLTYGPFHSIFSDDE QYPYLYQMAPKDTSLALAIVSFIHYFNWNWIGLVIPDDDQGNQFFLELKKQCENKEICFA FVKMISVDDISLEEKTEMYYKQIVMSSSNVIIIYEETINFIDLIFRMWEPPVLQRIWITT KQWNFPTSKRDITHDTFYGSLTFLPHHGGISGFKNFVQTWFHLRSKDLYLVMPEWKYFKY ESSASKCKILKSKSSNASFDWLMEQKFDMAFSESSHNIYNAVYAVAHALHEMNLQQVDNQ AIDNGKGASSHCLKVNSFLRKIHFTNPLGDKVIMKQRVIMQEEYDIFHFENLSQHLGIKV KLGKFSQYVSHGRNFHLYVDMLEVATGSKKMPSSVCSAECSPGFRRMWKEGMAACCSVCS PCPENEISNETNMDHCVNCPEYQYASTEQNKCIQKVVTFLSYEDPLGMALALIAFCFSAF TAVVLCVFVKHHDTPIVKANNRILSYILLLSLMSCFLCSFFFIGHPNRATCVLQQITFGI VFTVALSTVLAKTVTVVLAFKITDPGRRLRHFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHSQNGHIIIVCNKGSVTAFYCLLGYLACLALGSFTVAFLAKNLPDTFNEAKF LTFSMLVFFSVWVTFLPVYHSTKGKVMVAVEIFSILASIAGMLGCIFAPKIYIILMSPER NSTQKIKENNSTQKIKEKSYF >ENSMUSP00000059091.7 pep:known chromosome:GRCm38:7:16809246:16816732:-1 gene:ENSMUSG00000048920.8 transcript:ENSMUST00000061390.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkrp description:fukutin related protein [Source:MGI Symbol;Acc:MGI:2447586] MRLTRCWAALAAAIILNLLVFFYVSWLQHQPRNSRARGPRRTSAIGPRVTVLIREFEAFD NAVPELVDSFLQQDPAQPVVVAADTLPYPPLALPRIPNVRLALLQPALDRPAAASRPETY VATEFVALVPDGARAESPGHLERMVEALRGSSARLVAAPVATANPARCLALNVSLREWTA RYDPAPSAPRCDALDGDAVLLMRSRDLFNLSVPLARPLATSLFLQTALRGWAVQLLDLTF AAARQPPLATAHARWKAEREGRSRRAALLRSLGIRLVSWEGGRLEWFGCSKESARCFGTV AGDTPAYLYEGRWTPPCCLRALRETARYVVGVLEAAGVRYWLEGGSLLGAARHGDIIPWD YDVDLGIYLEDVGNCEQLRGAEAGSVVDERGFVWEKAVEGDFFRVQYSENNHLHVDLWPF YPRNGVMTKDTWLDHRQDVEFPEHFLQPLVPLPFAGFMAQAPNNYRRFLELKFGPGVIEN PEYPNPALLSLTGG >ENSMUSP00000145701.1 pep:known chromosome:GRCm38:7:16811612:16815521:-1 gene:ENSMUSG00000048920.8 transcript:ENSMUST00000206259.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkrp description:fukutin related protein [Source:MGI Symbol;Acc:MGI:2447586] MRLTRCWAALAAAIILNLLVFFYVSWLQHQPRNSRARGPRRTSAIGPRVTVLIREFEAFD NAVPELVDSFLQQDPAQPVVVAADTLPYPPLALPRIPNVRLALLQPAL >ENSMUSP00000118105.1 pep:known chromosome:GRCm38:7:105739393:105744361:-1 gene:ENSMUSG00000043866.10 transcript:ENSMUST00000141116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf10 description:TAF10 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1346320] MSCSGSGADPEAATACAASVAGPAPLVSAPAALPTSTAAESKASPAGTAGGPVAGVATAG TGPVAARAGEPAERRGPASVAAGGAAPPEGAMSNGVYALPSAANGEVKPVVSSTPLVDFL MQLEDYTPTIPDAVTGYYLNRAGFEASDPRIIRLISLAAQKFISDIANDALQHCKMKGTA SGSSRSKSKDRKYTLTMEDLTPALSEYGINVKKPHYFT >ENSMUSP00000029069.6 pep:known chromosome:GRCm38:3:14578641:14606309:1 gene:ENSMUSG00000027552.14 transcript:ENSMUST00000029069.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f5 description:E2F transcription factor 5 [Source:MGI Symbol;Acc:MGI:105091] MAAAEPTSSAQPTPQAQAQPPPHGAPSSQPSAALAGGSSRHEKSLGLLTTKFVSLLQEAQ DGVLDLKAAADTLAVRQKRRIYDITNVLEGIDLIEKKSKNSIQWKGVGAGCNTKEVIDRL RCLKAEIEDLELKERELDQQKLWLQQSIKNVMEDSINNRFSYVTHEDICNCFHGDTLLAI QAPSGTQLEVPIPEMGQNGQKKYQINLKSHSGPIHVLLINKESSSSKPVVFPVPPPDDLT QPSSQSSTSVTPQKSTMAAQNLPEQHVSERSQTFQQTPAAEVSSGSISGDIIDELMSSDV FPLLRLSPTPADDYNFNLDDNEGVCDLFDVQILNY >ENSMUSP00000127877.2 pep:known chromosome:GRCm38:3:14578671:14606308:1 gene:ENSMUSG00000027552.14 transcript:ENSMUST00000165922.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f5 description:E2F transcription factor 5 [Source:MGI Symbol;Acc:MGI:105091] MAAAEPTSSAQPTPQAQAQPPPHGAPSSQPSAALAGGSSRHEKSLGLLTTKFVSLLQEAQ DGVLDLKAAADTLAVRQKRRIYDITNVLEGIDLIEKKSKNSIQWKGVGAGCNTKEVIDRL RCLKAEIEDLELKERELDQQKLWLQQSIKNVMEDSINNSTFSYVTHEDICNCFHGDTLLA IQAPSGTQLEVPIPEMGQNGQKKYQINLKSHSGPIHVLLINKESSSSKPVVFPVPPPDDL TQPSSQSSTSVTPQKSTMAAQNLPEQHVSERSQTFQQTPAAEVSSGSISGDIIDELMSSD VFPLLRLSPTPADDYNFNLDDNEGVCDLFDVQILNY >ENSMUSP00000095791.1 pep:known chromosome:GRCm38:7:103937382:103938335:1 gene:ENSMUSG00000073938.1 transcript:ENSMUST00000098189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr632 description:olfactory receptor 632 [Source:MGI Symbol;Acc:MGI:3030466] MKVSIPPRANFSYAIFLLTGFPGLEWAHHWISLPIFMGYFVAIMGNATILHLVRTDPSLH QPMYYFLAILAVTDLGLCMSTLPSVLGVLWFDARMVGLVPCVLQQHFLHSFSFMESAVLF AMALDRLIAIRFPLRYASVLTGPRVALIGTVLGMRSAAITAAPSLHLLTFDYCHPGALSH AYCLHQDMIRLACSDTRFNRLYGLCIIMLAMGSDVLFILLSYAVILRTVLAIASAGERLK ALNTCVSHILAVLCFYVPVLGLSIVHRFGQHTSPLVHILMGTVSVLFPPVMNPVIYSIKT QQIRRAIVKVISLGKIQ >ENSMUSP00000108094.2 pep:known chromosome:GRCm38:6:124769869:124779727:-1 gene:ENSMUSG00000030125.11 transcript:ENSMUST00000112475.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc23 description:leucine rich repeat containing 23 [Source:MGI Symbol;Acc:MGI:1315192] MSDEDDVDDVDAEQDEVESDKEIEEWEDYRKETEEASEEWLPTPITEAMMKEGLSLLCKI GSGLAHAYIKLEAKDRDLTDISLLRSYIHLRYVDISENHITDISPLNSLTHLLWLKADGN QLRSARMNELPYLQIASFSYNQIIDTEGIFHPRLGSLDLKGNRIHQVTGLDPERLSSLHT LELRGNQLESTKGIYLPKLKNLYLAQNLLKKVEGLENLSNLTTLHLRDNQIETLNGFSQE MKSLQYLNLRSNMISDLAELAKLRDLPKLRALVLLDNPCADETDYRQEALVQMAHLERLD KEFYEDDDRAEAEEIRQRLKEEQDQDLDPDQDMEPYLPPV >ENSMUSP00000122362.1 pep:known chromosome:GRCm38:6:124778262:124779717:-1 gene:ENSMUSG00000030125.11 transcript:ENSMUST00000128697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc23 description:leucine rich repeat containing 23 [Source:MGI Symbol;Acc:MGI:1315192] MSDEDDVDDVDAEQDEVESDKEIEEWEDYRKETEEASEEWLPTPITEAMMKEGLSLLCKI GSGLAHAYIKLEAKDRDLTDISLLRSYIHLRYVDISENHITDIS >ENSMUSP00000122883.1 pep:known chromosome:GRCm38:6:124778901:124779704:-1 gene:ENSMUSG00000030125.11 transcript:ENSMUST00000147669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc23 description:leucine rich repeat containing 23 [Source:MGI Symbol;Acc:MGI:1315192] MSDEDDVDDVDAEQDEVESDKEIEEWEDYRKETEEASEEWLPTPITEA >ENSMUSP00000032218.3 pep:known chromosome:GRCm38:6:124769863:124779718:-1 gene:ENSMUSG00000030125.11 transcript:ENSMUST00000032218.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc23 description:leucine rich repeat containing 23 [Source:MGI Symbol;Acc:MGI:1315192] MSDEDDVDDVDAEQDEVESDKEIEEWEDYRKETEEASEEWLPTPITEAMMKEGLSLLCKI GSGLAHAYIKLEAKDRDLTDISLLRSYIHLRYVDISENHITDISPLNSLTHLLWLKADGN QLRSARMNELPYLQIASFSYNQIIDTEGIFHPRLGSLDLKGNRIHQVTGLDPERLSSLHT LELRGNQLESTKGIYLPKLKNLYLAQNLLKKVEGLENLSNLTTLHLRDNQIETLNGFSQE MKSLQYLNLRSNMISDLAELAKLRDLPKLRALVLLDNPCADETDYRQEALVQMAHLERLD KEFYEDDDRAEAEEIRQRLKEEQDQDLDPDQDMEPYLPPV >ENSMUSP00000099441.4 pep:known chromosome:GRCm38:11:96907426:96916496:-1 gene:ENSMUSG00000018669.14 transcript:ENSMUST00000103152.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap3 description:CDK5 regulatory subunit associated protein 3 [Source:MGI Symbol;Acc:MGI:1933126] MQDHQHVPIDIQTSKLLDWLVDRRHCNLKWQSLVLTIREKINTAIQDMPESQEIAQLLSG SYIHYFHCLRIVDLLKGTEASTKNIFGRYSSQRMKDWQEIVSLYEKDNTYLVELCSLLVR NVSYEIPSLKKQIAKCQQLQQEYSRKEEEGQAGAAEMREQFYHSCKQYGITGDNVRRELL ALVKDLPSQLAEIGAGAQSLGEAIDLYQACVEFVCDSPTEQVLPMLRYVQKKGNSTVYEW RTGTEPSVVERPQLEEPPEQVQEDEIDWGDFGVEAVSDSGIVAETPGIDWGISLESEAKD AGADKIDWGDDAAAASEITVLETGTEAPEGVARGSDALTLLEYPETRNQFIDELMELEIF LSQRAVEMSEEADILSVSQFQLAPAILQGQTKEKMLSLVSTLQQLIGRLTSLRMQHLFMI LASPRYVDRVTEFLQQKLKQSQLLALKKELMVEKQQEALQEQAALEPKLDLLLEKTRELQ KLIEADISKRYSGRPVNLMGTSL >ENSMUSP00000120258.1 pep:known chromosome:GRCm38:11:96907426:96916496:-1 gene:ENSMUSG00000018669.14 transcript:ENSMUST00000134732.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk5rap3 description:CDK5 regulatory subunit associated protein 3 [Source:MGI Symbol;Acc:MGI:1933126] MQDHQHVPIDIQTSKLLDWLVDRRHCNLKWQSLVLTIREKINTAIQDMPESQEIAQLLSG SYIHYFHCLRIVDLLKGTEASTKNIFGRYSSQRMKWNSVASWFGMSAMRSPR >ENSMUSP00000114849.1 pep:known chromosome:GRCm38:11:96911945:96916392:-1 gene:ENSMUSG00000018669.14 transcript:ENSMUST00000127048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap3 description:CDK5 regulatory subunit associated protein 3 [Source:MGI Symbol;Acc:MGI:1933126] MPESQEIAQLLSGSYIHYFHCLRIVDLLKGTEASTKNIFGRYSSQRMKDWQEIVSLYEKD NTYLVELCSLLVRNVSYEIPSLKKQIAKCQQLQQEYSRKEEEGQAGAAEMREQFYHSCKQ YGITGDNVRRELLALVKDLPSQLAE >ENSMUSP00000123113.1 pep:known chromosome:GRCm38:11:96911962:96916107:-1 gene:ENSMUSG00000018669.14 transcript:ENSMUST00000156315.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap3 description:CDK5 regulatory subunit associated protein 3 [Source:MGI Symbol;Acc:MGI:1933126] MPESQEIAQLLSGSYIHYFHCLRIVDLLKGTEASTKNIFGRYSSQRMKDWQEIVSLYEKD NTYLVELCSLLVRNVSYEIPSLKKQIAKCQQLQQEYSRKEEEGQAGAAEMREQFYHSCKQ YGITGDNVRRELLALVKDLP >ENSMUSP00000116405.1 pep:known chromosome:GRCm38:11:96911984:96916429:-1 gene:ENSMUSG00000018669.14 transcript:ENSMUST00000153305.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk5rap3 description:CDK5 regulatory subunit associated protein 3 [Source:MGI Symbol;Acc:MGI:1933126] MQDHQHVPIDIQTSKLLDWLVDRRHCNLKWQSLVLTIREKINTAIQDMPESQEIAQLLSG SYIHYFHCLRIVDLLKGTEASTKNIFGRYSSQRMKDWQEIVSLYEKDNTYLGQGYPTVNF LGLPQWNSVASWFGMSAMRSPR >ENSMUSP00000114724.1 pep:known chromosome:GRCm38:11:96912217:96916366:-1 gene:ENSMUSG00000018669.14 transcript:ENSMUST00000144731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap3 description:CDK5 regulatory subunit associated protein 3 [Source:MGI Symbol;Acc:MGI:1933126] MPESQEIAQLLSGSYIHYFHCLRIVDLLKGTEASTKNIFGRYSSQRMKDWQEIVSLYEKD NTYLVELCSLLVRNVSYEIPSLKKQIAKCQQLQQEYSRKEEEGQAGAAEMREQFYHSCKQ YGIT >ENSMUSP00000118320.1 pep:known chromosome:GRCm38:11:96912238:96916414:-1 gene:ENSMUSG00000018669.14 transcript:ENSMUST00000147573.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk5rap3 description:CDK5 regulatory subunit associated protein 3 [Source:MGI Symbol;Acc:MGI:1933126] MQDHQHVPIDIQTSKLLGRRGRPRAVGSRGRSASCPLSPTSSQEL >ENSMUSP00000114661.1 pep:known chromosome:GRCm38:11:96912348:96916414:-1 gene:ENSMUSG00000018669.14 transcript:ENSMUST00000130774.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap3 description:CDK5 regulatory subunit associated protein 3 [Source:MGI Symbol;Acc:MGI:1933126] MPESQEIAQLLSGSYIHYFHCLRIVDLLKGTEASTKNIFGRYSSQRMKDWQEIVSLYEKD NTYLVELCSLLVRNVSYEIP >ENSMUSP00000133342.1 pep:known chromosome:GRCm38:8:121949750:121997183:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000172628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] MQKGTAWKGTLTTDQVTETAYPVTSGLLLSVCPFLVLWMMSEQDLADVVQIAVEDLSPDH PVVLENHVVTDDDEPALKRQRLEINCQDPSIKSFLYSINQTICLRLDSIEAKLQALEATC KSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVRCAVPGRRQNTIVVKVPGQDDSH NEDGESGSEASDSVSNCGQPGSQNIGSNVTLITLNSEEDYPNGTWLGDENNPEMRVRCAI IPSDMLHISTNCRTAEKMALTLLDY >ENSMUSP00000090766.6 pep:known chromosome:GRCm38:8:121950492:122026177:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000093078.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] MMSEQDLADVVQIAVEDLSPDHPVVLENHVVTDDDEPALKRQRLEINCQDPSIKSFLYSI NQTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVR CAVPGRRQNTIVVKVPGQDDSHNEDGESGSEASDSVSNCGQPGSQNIGSNVTLITLNSEE DYPNGTWLGDENNPEMRVRCAIIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLS GQGKHGKKQLDPLTIYGIRCHLFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVK SFSRRTPSSSSYSASETMMGTPPPTSELQQSQPQALHYALANAQQVQIHQIGEDGQVQVG HLHIAQVPQGEQVQITQDSEGNLQIHHVGQDGQSWGLCQNPIPVSGDSVAQANPSQLWPL GGDTLDLPAGNEMIQVLQGAQLIAVASSDPAATGVDGSPLQGSDIQVQYVQLAPVSDHTA AAQTAEALQPTLQPDMQLEHGAIQIQ >ENSMUSP00000132095.1 pep:known chromosome:GRCm38:8:121950544:122029258:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000170857.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] MMSEQDLADVVQIAVEDLSPDHPVVLENHVVTDDDEPALKRQRLEINCQDPSIKSFLYSI NQTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVR CVVPQTTVILNNDRQNAIVAKMEDPLSNRAPDSLENIISNAVPGRRQNTIVVKVPGQDDS HNEDGESGSEASDSVSNCGQPGSQNIGSNVTLITLNSEEDYPNGTWLGDENNPEMRVRCA IIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLSGQGKHGKKQLDPLTIYGIRCH LFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVKSFSRRTPSSSSYSASETMMGT PPPTSELQQSQPQALHYALANAQQVQIHQIGEDGQVQVIPQGHLHIAQVPQGEQVQITQD SEGNLQIHHVGQDGQSWGLCQNPIPVSGDSVAQANPSQLWPLGGDTLDLPAGNEMIQVLQ GAQLIAVASSDPAATGVDGSPLQGSDIQVQYVQLAPVSDHTAAAQTAEALQPTLQPDMQL EHGAIQIQ >ENSMUSP00000134336.1 pep:known chromosome:GRCm38:8:121950575:121997181:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000172681.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] MMSEQDLADVVQIAVEDLSPDHPVVLENHVVTDDDEPALKRQRLEINCQDPSIKH >ENSMUSP00000026354.8 pep:known chromosome:GRCm38:8:121950580:122025937:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000026354.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] MMSEQDLADVVQIAVEDLSPDHPVVLENHVVTDDDEPALKRQRLEINCQDPSIKSFLYSI NQTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVR CAVPGRRQNTIVVKVPGQDDSHNEDGESGSEASDSVSNCGQPGSQNIGSNVTLITLNSEE DYPNGTWLGDENNPEMRVRCAIIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLS GQGKHGKKQLDPLTIYGIRCHLFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVK SFSRRTPSSSSYSASETMMGTPPPTSELQQSQPQALHYALANAQQVQIHQIGEDGQVQVI PQGHLHIAQVPQGEQVQITQDSEGNLQIHHVGQDGQSWGLCQNPIPVSGDSVAQANPSQL WPLGGDTLDLPAGNEMIQVLQGAQLIAVASSDPAATGVDGSPLQGSDIQVQYVQLAPVSD HTAAAQTAEALQPTLQPDMQLEHGAIQIQ >ENSMUSP00000134058.1 pep:known chromosome:GRCm38:8:121950585:121991569:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000174753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] MMSEQDLADVVQIAVEDLSPDHPVVLENHVVTDDDEPALKRQRLEINCQDPSIKTICLRL DSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVRCAVPGRRQ NTIVVKV >ENSMUSP00000134513.1 pep:known chromosome:GRCm38:8:121950596:121991560:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000174445.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] MMSEQDLADVVQIAVEDLSPDHPVVLENHVVTDDDEPALKRQRLEINCQDPSIKH >ENSMUSP00000133365.1 pep:known chromosome:GRCm38:8:121950605:121991586:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000172511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] MMSEQDLADVVQIAVEDLSPDHPVVLENHVVTDDDEPALKRQRLEINCQDPSIKSFLYSI NQTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVR CVVPQTTVILNNDRQNAIVAKMEDPLSNRAPDSLENIISNAVPGRRQNTIVVKVPGQDD >ENSMUSP00000133783.1 pep:known chromosome:GRCm38:8:121974496:122025635:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000173254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] MMSEQDLADVVQIAVEDLSPDHPVVLENHVVTDDDEPALKRQRLEINCQDPSIKSFLYSI NQTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVR CVVPQTTVILNNDRQNAIVAKMEDPLSNRAPDSLENIISNAVPGRRQNTIVVKVPGQDDS HNEDGESGSEASDSVSNCGQPGSQNIGSNVTLITLNSEEDYPNGTWLGDENNPEMRVRCA IIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLSGQGKHGKKQLDPLTIYGIRCH LFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVKSFSRRTPSSSSYSASETMMGT PPPTSELQQSQPQALHYALANAQQVQIHQIGEDGQVQVIPQGHLHIAQVPQGEQVQITQD SEGNLQIHHVGQDGQVLQGAQLIAVASSDPAATGVDGSPLQGSDIQVQYVQLAPVSDHTA AAQTAEALQPTLQPDMQLEHGAIQIQ >ENSMUSP00000132434.2 pep:known chromosome:GRCm38:8:121991604:122025958:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000167711.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] ESGSEASDSVSNCGQPGSQNIGSNVTLITLNSEEDYPNGTWLGDENNPEMRVRCAIIPSD MLHISTNCRTAEKMALTLLDYLFHREVQAVSNLSGQGKHGKKQLDPLTIYGIRCHLFYKF GITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVKSFSRRTPSSSSYSASETMMGTPPPTS ELQQSQPQALHYALANAQQVQIHQIGEDGQVQVIPQGHLHIAQVPQGEQVQITQDSEGNL QIHHVGQDGQVLQGAQLIAVASSDPAATGVDGSPLQGSDIQVQYVQLAPVSDHTAAAQTA EALQPTLQPDMQLEHGAIQIQ >ENSMUSP00000134212.1 pep:known chromosome:GRCm38:8:121997089:122025607:1 gene:ENSMUSG00000025316.16 transcript:ENSMUST00000173396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banp description:BTG3 associated nuclear protein [Source:MGI Symbol;Acc:MGI:1889023] XRVRCAIIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLSGQGKHGKKQLDPLTI YGIRCHLFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVKSFSRRTPSSSSYSAS ETMMGTPPPTSELQQSQPQALHYALANAQQVQIHQIGEDGQVQVGHLHIAQVPQGEQVQI TQDSEGNLQIHHVGQDGQVLQGAQLIAVASSDPAATGVDGSPLQGSDIQVQYVQLAPVSD HTAAAQTAEALQPTLQPDMQL >ENSMUSP00000033179.6 pep:known chromosome:GRCm38:7:105732207:105736781:-1 gene:ENSMUSG00000030888.13 transcript:ENSMUST00000033179.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrp8 description:ribosomal RNA processing 8, methyltransferase, homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914251] MFEEPEWVEAAPAIVGLGAATAQVRPATAPPVKGRKRRHLLATLRALEAASLSQQTPSLP GSDSEEEEEVGRKKRHLQRPSLASVSKEVGKKRKGKCQKQAPSISDSEGKEIRRKCHRQA PPLGGVSAGEEKGKRKCQEYSSLHLTQPLDSVDQTVHNSRTSTATIDPSKPSPESMSPNS SHTLSRKQWRNRQKNKRRHKNKFRPLQTPEQAPPKASIEETEVPPVPKSDSQESRAGALR ARMTQRLDGARFRYLNEQLYSGPSSAARRLFQEDPEAFLLYHRGFQRQVKKWPLHPVDRI AKDLRQKPASLVVADFGCGDCRLASSVRNPVHCFDLASLDPRVTVCDMAQVPLEDESVDV AVFCLSLMGTNIRDFLEEANRVLKTGGLLKVAEVSSRFEDIRTFLGAVTKLGFKIIYKDL TNSHFFLFDFEKTGPPRVGPKAQLSGLKLQPCLYKRR >ENSMUSP00000095752.4 pep:known chromosome:GRCm38:7:105732404:105737376:-1 gene:ENSMUSG00000030888.13 transcript:ENSMUST00000098148.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrp8 description:ribosomal RNA processing 8, methyltransferase, homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914251] MSTPSSGERTCSRLTRQRFSSPLIEVVLCVVQPQAHRHRVALPALSENVVHSSVPAQRPR DWGSLGGLRENRDKRMTLCGRKRRHLLATLRALEAASLSQQTPSLPGSDSEEEEEVGRKK RHLQRPSLASVSKEVGKKRKGKCQKQAPSISDSEGKEIRRKCHRQAPPLGGVSAGEEKGK RKCQEYSSLHLTQPLDSVDQTVHNSRTSTATIDPSKPSPESMSPNSSHTLSRKQWRNRQK NKRRHKNKFRPLQTPEQAPPKASIEETEVPPVPKSDSQESRAGALRARMTQRLDGARFRY LNEQLYSGPSSAARRLFQEDPEAFLLYHRGFQRQVKKWPLHPVDRIAKDLRQKPASLVVA DFGCGDCRLASSVRNPVHCFDLASLDPRVTVCDMAQVPLEDESVDVAVFCLSLMGTNIRD FLEEANRVLKTGGLLKVAEVSSRFEDIRTFLGAVTKLGFKIIYKDLTNSHFFLFDFEKTG PPRVGPKAQLSGLKLQPCLYKRR >ENSMUSP00000062834.4 pep:known chromosome:GRCm38:4:118961578:118968912:1 gene:ENSMUSG00000024903.10 transcript:ENSMUST00000058651.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lao1 description:L-amino acid oxidase 1 [Source:MGI Symbol;Acc:MGI:2140628] MSFRTMAKKSGILVWGILLCVSSCLALYENLVKCFQDPDYEAFLLIAQNGLHTSPLSKRV VVVGAGMAGLVAAKTLQDAGHEVTILEASNHIGGRVVTLRNKEEGWYLELGPMRIPESHK LIHTYVQKLGLKLNKFHQYDSNTWYLLNGQRYRASEVMANPGILGYPLRPSEKNKTVTDL FYQAITKIKPHRKTSNCSQLLSLYDSYSTKAYLMKEGTLSKGAIEMIGDIMNENAGYYKS LLESLRIASIFSKSDQFSEITGGFDQLPNGLSASLKPGTIRLGSKVERVVRDGPKVKVMY RTDGPTSALHKLTADYAIITASAKATRLITFQPPLSREKTHALRSVHYTSATKVVLVCNE RFWEQDGIRGGYSITDRPSRFIYYPSHSLPGGKGVLLASFTVGDDSSFFAALKPNQVVDV VLDDLAAVHRIPKEELKRMCPKSAIKHWSLDPLTIGAFTEFTPYQFVDYSKQLSQPEGRI YFAGEHTCLPHSWIDTAIKSGIRASCNIQAAVDKEATRGHTAL >ENSMUSP00000070018.3 pep:known chromosome:GRCm38:15:39076932:39087119:1 gene:ENSMUSG00000054196.6 transcript:ENSMUST00000067072.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cthrc1 description:collagen triple helix repeat containing 1 [Source:MGI Symbol;Acc:MGI:1915838] MHPQGRAAPPQLLLGLFLVLLLLLQLSAPISASENPKVKQKALIRQREVVDLYNGMCLQG PAGVPGRDGSPGANGIPGTPGIPGRDGFKGEKGECLRESFEESWTPNYKQCSWSSLNYGI DLGKIAECTFTKMRSNSALRVLFSGSLRLKCRNACCQRWYFTFNGAECSGPLPIEAIIYL DQGSPELNSTINIHRTSSVEGLCEGIGAGLVDVAIWVGTCSDYPKGDASTGWNSVSRIII EELPK >ENSMUSP00000072739.2 pep:known chromosome:GRCm38:13:23075792:23076694:1 gene:ENSMUSG00000099917.1 transcript:ENSMUST00000072972.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r215 description:vomeronasal 1 receptor 215 [Source:MGI Symbol;Acc:MGI:2159687] MNWNNIIHTIIFLSLTGPGIVGNMLIFIRHIYMPALGTEKKPVDLIVIHLAFSNMITICT TGIKDIATVFYFRNFLGDIGCKAVVFLARMARGLSICTTCLLSVVQAITISPRTSLWTKL KPQTACQIIPFILVFWIFNGLISSNLLSYIKGGSSLNRSVAATFIGHCYMLQSRHTIKWI FLSLMTLRDVIFQSLMGWSSGSMALNLYKHHKRVLYLYSSRFANNSPPEIRATWSVLILM TCFLLFYWADFILSFYHGFTVTHESILLNIKVFLELGYVSFSPYVLISRYIHPPNVFHAH >ENSMUSP00000095790.1 pep:known chromosome:GRCm38:7:103946568:103947506:1 gene:ENSMUSG00000073937.1 transcript:ENSMUST00000098188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr633 description:olfactory receptor 633 [Source:MGI Symbol;Acc:MGI:3030467] MFNSSQFTPKYFLLTGFPGLEAWYPWLIFPFCFTYTIGIMGNTLILAVIRKNSSLHQPMF LFLAMLAFSELGVSASTLPTVLSIFLLGANKICFEACLLQMFSIHSFSIMESGVLLAMSV DRFVAIYNPLRYTAILTLPRIAGTSLTLGLKSLLLMFPLPFLLKRLPFCGHNVLSHSYCL HSDLIQLPCGDTRPNSILGLCIVTSTFGLDSLLIVVSYVLILYTVLNITSGEGRRKALNT CVSHMCAVLVYYVPMISVALVHRFMKHAVPAVRLLLANIYLLVPPMLNPIIYSAKNRQIR QGLIQLFLQRKY >ENSMUSP00000050783.7 pep:known chromosome:GRCm38:17:44777152:45119290:1 gene:ENSMUSG00000038954.14 transcript:ENSMUST00000050630.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt3 description:suppressor of Ty 3 [Source:MGI Symbol;Acc:MGI:1923723] MNNAAASPMSTTTSSSGRNAGKTISFAAELQSMMFSLGDARRPLHETAVLVEDVVHTQLI NLLQQAAEVSQLRGARVISAEDLLFLMRKDKKKLRRLLKYMFIRDYKSKIIKGIDEDDLL EEKLSGSSGTNKRQKIAQDLINSIDQTGELLAMFEDNELDDVKQERMERAERQTRTMDSA QYAEFCESRQLSFSKKASKFRDWLDCSSMEIKPNVIAMEILAYLAYETVAQLVDLALLVR QDMVSKAGDPFSHAISATFIQYHNSAEGSSCLKSSPDSPENTPPPTPTAPSSGSQHSGRA ASGSLGNGNTGQDTAKTKQRKRKKSTAACGVEAHSDAIQPCHIREAIRRYGHKIGPLSPF TSAYRRSGMAFLAC >ENSMUSP00000121148.1 pep:known chromosome:GRCm38:17:44777396:45119286:1 gene:ENSMUSG00000038954.14 transcript:ENSMUST00000127798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt3 description:suppressor of Ty 3 [Source:MGI Symbol;Acc:MGI:1923723] MNNAAASPMSTTTSSSGRNAGKTISFAAELQSMMFSLGDARRPLHETAVLVEDVVHTQLI NLLQQAAEVSQLRGARVISAEDLLFLMRKDKKKLRRLLKYMFIRDYKSKIIKGIDEDDLL EEKLSGSSGTNKRQKIAQDLINSIDQTGELLAMFEDNELDDVKQERMERAERQTRTMDSA QYAEFCESRQLSFSKKASKFRDWLDCSSMEIKPNVIAMEILAYLAYETVAQLVDLALLVR QDMVSKAGDPFSHAISATFIQYHNSAEGSSCLKSSPDSPENTPPPTPTAPSSGSQHSEHC GLWCRGSQRCHPALPHQRGHSTLRPQDWPSLPIHKCLPKEWDGFPGLLRGPWPCLPPRRG SGSPRSFLPVLPENKIGFYVLL >ENSMUSP00000120197.1 pep:known chromosome:GRCm38:17:44786631:45119279:1 gene:ENSMUSG00000038954.14 transcript:ENSMUST00000129416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt3 description:suppressor of Ty 3 [Source:MGI Symbol;Acc:MGI:1923723] PMSTTTSSSGRNAGKTISFAAELQSMMFSLGDARRPLHETAVLVEDVVHTQLINLLQQAA EVSQLRGARVISAEDLLFLMRKDKKKLRRLLKYMFIRDYKSKIIKGIDEDDLLEEKLSGS SGTNKRQKIAQDLINSIDQTGELLAMFEDNELDDVKQERMERAERQTRTMDSAQYAEFCE SRQLSFSKKASKFRDWLDCSSMEIKPNVIAMEILAYLAYETVAQLVDLALLVRQDMVSKA GDPFSHAISATFIQYHNSAEGSSCLKSSPDSPENTPPPTPTAPSSGSQHSGRAASGSLGN GNTGQDTAKTKQRKRKKSTAACGVEAHSDAIQPCHIREAIRRYGHKIGPLSPFTISLSLT ECLPKEWDGFPGLLRGPWPCLPPRRGSGSPRSFLPVLPENKIGFYV >ENSMUSP00000069851.6 pep:known chromosome:GRCm38:1:180330485:180424802:1 gene:ENSMUSG00000038855.10 transcript:ENSMUST00000070181.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpkb description:inositol 1,4,5-trisphosphate 3-kinase B [Source:MGI Symbol;Acc:MGI:109235] MAVYCYALNSLVIMNSTNELKSGGPRPSGSETPQPSGRAALSPGSVFSPGRGASFLFPPA ESLSLEEPGSPGGWRSGRRRLNSSSGSGGGSSSSNSSSSSGVGSPSWAGRLRGDAQQVVA ARILSPPGPEEAQRKLRILQRELQNVQVNQKVGMFEAQIQAQSSAIQAPRSPRLGRARSP SPCPFRSSSQPPERVLAPCSPSEERRTKSWGEQCTETPDTNSGRRSRLSTHPSKDKEGVA PLLGPASPTRLGTQSPSTSVRMERGTPASPRCGSPTPMETDKRVAPSLERFGTSLTLATK VAASAASAGPHPGHDSALMETGCELGGMRPWEAQMERRGQFLGKETGSTPEPVRTHMREP PGRVGRGIHSVGGQGSWTPEVIKRPEERAVTAQSSEPSEDPRWSRLPVDLDSVGPEKGGN RIPGMRGPQQTLDSEREGSPALGLLGGSQAAQPGARGVEEDVHYGRMLEPLPPGEVTTKL KEPQCLPGDRMGMQPESSIVWPSALEEAPLIWTRDTGVQSKGTWGSQLPDGDAHPSCQEM PPDQKDKASLKEACSPSNIPAIPAVIITDMGAQEDGGLEEIQGSPRGPLPLRKLSSSSAS STGFSSSYDDSEEDISSDPERTLDPNSAFLHTLDQQKPRVSKSWRKIKNMVQWSPFVMSF KKKYPWIQLAGHAGSFKAAANGRILKKHCESEQRCLDRLMADVLRPFVPAYHGDVVKDGE RYNQMDDLLADFDSPCVMDCKMGVRTYLEEELTKARKKPSLRKDMYQKMVEVDPEAPTEE EKAQRAVTKPRYMQWRETISSTATLGFRIEGIKKEDGSVNRDFKKTKTREQVTEAFREFT KGNQNILIAYRDRLKAIRATLEISPFFKCHEVIGSSLLFIHDKKEQAKVWMIDFGKTTPL PEGQTLQHDVPWQEGNREDGYLSGLDNLIDILTEMSQGSPLT >ENSMUSP00000055874.8 pep:known chromosome:GRCm38:7:30314816:30319046:1 gene:ENSMUSG00000019737.14 transcript:ENSMUST00000054594.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne4 description:spectrin repeat containing, nuclear envelope family member 4 [Source:MGI Symbol;Acc:MGI:2141950] MALVPPLGREFPPEPVNCPLAAPRELDVVGGTICPAPEEETSRPEQVQASLGLPEHCMGE LKSTESATSPSRLPLASSHEHQDGGKPCEHSDSGLEVLEAEQDSLHLCLLRLNFRLQDLE RGLGSWTLAHNRIVQMQALQAELRGAAERVDALLAFGEGLAERSEPRAWASLEQVLRALG THRDTIFQRLWQLQAQLISYSLVLEKANLLDQDLEVEGDSDGPAAGGVWGPWAPSTFPTP AELEWDPAGDVGGLGPSGQKISRIPGAPCELCGYRGPQSSGQGLEDLLSLGLGHRKHLAA HHRRRLRKPQDRKRQVSPSLPDAMLEVDRGVPAPASKRPLTLFFLLLFLLLVGATLLLPL SGVSCCSHARLARTPYLVLSYVNGLPPI >ENSMUSP00000135895.1 pep:known chromosome:GRCm38:7:30314880:30317091:1 gene:ENSMUSG00000019737.14 transcript:ENSMUST00000177078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne4 description:spectrin repeat containing, nuclear envelope family member 4 [Source:MGI Symbol;Acc:MGI:2141950] MALVPPLGREFPPEPVNCPLAAPRELDVVGGTICPAPEEETSRPEQVQASLGLPEHCMGE LKSTESATSPSRLPLASSHEHQDGGKPCEALQAELRGAAERVDALLAFGEGLAERSEPRA WASLEQVLRALGTHRDTIFQRLWQLQAQLISYSLVLEKANLLDQDLEVEGDSDGPAAGGV WGPWAPSTFPTPAELEWDPAGDVGGLGPSGQKISRIPGAPCELCGYRGPQSSGQGLE >ENSMUSP00000135844.1 pep:known chromosome:GRCm38:7:30314888:30317085:1 gene:ENSMUSG00000019737.14 transcript:ENSMUST00000176504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne4 description:spectrin repeat containing, nuclear envelope family member 4 [Source:MGI Symbol;Acc:MGI:2141950] MALVPPLGREFPPEPVNCPLAAPRELDVVGGTICPAPEEETSRPEQVQASLGLPEHCMGE LKSTESATSPSRLPLASSHEHQDGGKPCEDLERGLGSWTLAHNRIVQMQALQAELRGAAE RVDALLAFGEGLAERSEPRAWASLEQVLRALGTHRDTIFQRLWQLQAQLISYSLVLEKAN LLDQDLEVEGDSDGPAAGGVWGPWAPSTFPTPAELEWDPAGDVGGLGPSGQKISRIPGAP CELCGYRGPQSSGQG >ENSMUSP00000135637.1 pep:known chromosome:GRCm38:7:30314916:30319046:1 gene:ENSMUSG00000019737.14 transcript:ENSMUST00000176304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne4 description:spectrin repeat containing, nuclear envelope family member 4 [Source:MGI Symbol;Acc:MGI:2141950] MALVPPLGREFPPEPVNCPLAAPRELDVVGGTICPAPEEETSRPEQVQASLGLPEHCMGE LKSTESATSPSRLPLASSHEHQDGGKPCEHSDSGLEVLEAEQDSLHLCLLRLNFRLQDLE RGLGSWTLAHNRIVQMQALQAELRGAAERVDALLAFGEGLAERSEPRAWASLEQVLRALG THRDTIFQRLWQLQAQLISYSLDLLSLGLGHRKHLAAHHRRRLRKPQDRKRQVSPSLPDA MLEVDRGVPAPASKRPLTLFFLLLFLLLVGATLLLPLSGVSCCSHARLARTPYLVLSYVN GLPPI >ENSMUSP00000135558.1 pep:known chromosome:GRCm38:7:30316988:30319040:1 gene:ENSMUSG00000019737.14 transcript:ENSMUST00000176571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne4 description:spectrin repeat containing, nuclear envelope family member 4 [Source:MGI Symbol;Acc:MGI:2141950] XGGLGPSGQKISRIPGAPCELCGYRGPQSSGQGLEDLLSLGLGHRKHLAAHHRRRLRKPQ DRKRTPYLVLSYVNGLPPI >ENSMUSP00000135474.1 pep:known chromosome:GRCm38:7:30317020:30319044:1 gene:ENSMUSG00000019737.14 transcript:ENSMUST00000176789.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syne4 description:spectrin repeat containing, nuclear envelope family member 4 [Source:MGI Symbol;Acc:MGI:2141950] SRIPGAPCELCGYRGPQSSGQGLEVRSAPPQRLPTWLGPTRTCSPWGLATGNI >ENSMUSP00000027269.5 pep:known chromosome:GRCm38:1:53061640:53068079:1 gene:ENSMUSG00000026100.6 transcript:ENSMUST00000027269.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mstn description:myostatin [Source:MGI Symbol;Acc:MGI:95691] MMQKLQMYVYIYLFMLIAAGPVDLNEGSEREENVEKEGLCNACAWRQNTRYSRIEAIKIQ ILSKLRLETAPNISKDAIRQLLPRAPPLRELIDQYDVQRDDSSDGSLEDDDYHATTETII TMPTESDFLMQADGKPKCCFFKFSSKIQYNKVVKAQLWIYLRPVKTPTTVFVQILRLIKP MKDGTRYTGIRSLKLDMSPGTGIWQSIDVKTVLQNWLKQPESNLGIEIKALDENGHDLAV TFPGPGEDGLNPFLEVKVTDTPKRSRRDFGLDCDEHSTESRCCRYPLTVDFEAFGWDWII APKRYKANYCSGECEFVFLQKYPHTHLVHQANPRGSAGPCCTPTKMSPINMLYFNGKEQI IYGKIPAMVVDRCGCS >ENSMUSP00000140249.1 pep:known chromosome:GRCm38:1:53061657:53068045:1 gene:ENSMUSG00000026100.6 transcript:ENSMUST00000191197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mstn description:myostatin [Source:MGI Symbol;Acc:MGI:95691] MMQKLQMYVYIYLFMLIAAGPVDLNEGSEREENVEKEGLCNACAWRQNTRYSRIEAIKIQ ILSKLRLETAPNISKDAIRQLLPRAPPLRELIDQYDVQRDDSSDGSLEDDDYHATTETII TMPTESDFLMQADGKPKCCFFKFSSKIQYNKVVKAQLWIYLRPVKTPTTVFVQILRLIKP MKDGTRIPF >ENSMUSP00000138836.1 pep:known chromosome:GRCm38:13:112464070:112510086:1 gene:ENSMUSG00000021756.12 transcript:ENSMUST00000183663.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6st description:interleukin 6 signal transducer [Source:MGI Symbol;Acc:MGI:96560] MSAPRIWLAQALLFFLTTESIGQLLEPCGYIYPEFPVVQRGSNFTAICVLKEACLQHYYV NASYIVWKTNHAAVPREQVTVINRTTSSVTFTDVVLPSVQLTCNILSFGQIEQNVYGVTM LSGFPPDKPTNLTCIVNEGKNMLCQWDPGRETYLETNYTLKSEWATEKFPDCQSKHGTSC MVSYMPTYYVNIEVWVEAENALGKVSSESINFDPVDKVKPTPPYNLSVTNSEELSSILKL SWVSSGLGGLLDLKSDIQYRTKDASTWIQVPLEDTMSPRTSFTVQDLKPFTEYVFRIRSI KDSGKGYWSDWSEEASGTTYEDRPSRPPSFWYKTNPSHGQEYRSVRLIWKALPLSEANGK ILDYEVILTQSKSVSQTYTVTGTELTVNLTNDRYVASLAARNKVGKSAAAVLTIPSPHVT AAYSVVNLKAFPKDNLLWVEWTPPPKPVSKYILEWCVLSENAPCVEDWQQEDATVNRTHL RGRLLESKCYQITVTPVFATGPGGSESLKAYLKQAAPARGPTVRTKKVGKNEAVLAWDQI PVDDQNGFIRNYSISYRTSVGKEMVVHVDSSHTEYTLSSLSSDTLYMVRMAAYTDEGGKD GPEFTFTTPKFAQGEIEAIVVPVCLAFLLTTLLGVLFCFNKRDLIKKHIWPNVPDPSKSH IAQWSPHTPPRHNFNSKDQMYSDGNFTDVSVVEIEANNKKPCPDDLKSVDLFKKEKVSTE GHSSGIGGSSCMSSSRPSISSNEENESAQSTASTVQYSTVVHSGYRHQVPSVQVFSRSES TQPLLDSEERPEDLQLVDSVDGGDEILPRQPYFKQNCSQPEACPEISHFERSNQVLSGNE EDFVRLKQQQVSDHISQPYGSEQRRLFQEGSTADALGTGADGQMERFESVGMETTIDEEI PKSYLPQTVRQGGYMPQ >ENSMUSP00000139227.1 pep:known chromosome:GRCm38:13:112464081:112504491:1 gene:ENSMUSG00000021756.12 transcript:ENSMUST00000184311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6st description:interleukin 6 signal transducer [Source:MGI Symbol;Acc:MGI:96560] MSAPRIWLAQALLFFLTTESIGQLLEPCGYIYPEFPVVQRGSNFTAICVLKEACLQHYYV NASYIVWKTNHAAVPREQVTVINRTTSSVTFTDVVLPSVQLTCNILSFGQIEQNVYGVTM LSGFPPDKPTNLTCIVNEGKNMLCQWDPGRETYLETNYTLKSEWATEKFPDCQSKHGTSC MVSYMPTYYVNIEVWVEAENALGKVSSESINFDPVDKVKPTPPYNLSVTNSEELSSILKL SWVSSGLGGLLDLKSDIQYRTKDASTWIQVPLEDTMSPRTSFTVQDLKPFTEYVFRIRSI KDSGKGYWSDWSEEASGTTYEDRPSRPPSFWYKTNPSHGQEYRSVRLIWKALPLSEANGK ILDYEVILTQSKSVSQTYTVTGTELTVNLTNDRYVASLAARNKVGKSAAAVLTIPSPHVT AAYSVVNLKAFPKDNLLWVEWTPPPKPVSKYILEWCVLSENAPCVEDWQQEDATVNRTHL RGRLLESKCYQITVTPVFATGPGGSESLKAYLKQAAPARGPTVRTKKVGKNEAVLAWDQI PVDDQNGFIRNYSISYRTSVGKEMVVHVDSSHTEYTLSSLSSDTLYMVRMAAYTDEGGKD GPEFTFTTPKFAQGEIEAIVVPVCLAFLLTTLLGVLFCFNKRDLIKKHIWPNVPDPSKSH IAQWSPHTPPRHNFNSKDQMYSDGNFTDVSVVEIEANNKKPCPDDLKSVDLFKKEKVSTE GHSSGIGGSSCMSSSRPSISSNEENESAQSTASTVQYSTVVHSGYRHQVPSVQVFSRSES TQPLLDSEERPEDLQLVDSVDGGDEILPRQPYFKQNCSQPEACPEISHFERSNQVLSGNE EDFVRLKQQQVSDHISQPYGSEQRRLFQEGSTADALGTGADGQMERFESVGMETTIDEEI PKSYLPQTVRQGGYMPQ >ENSMUSP00000138820.1 pep:known chromosome:GRCm38:13:112464112:112483616:1 gene:ENSMUSG00000021756.12 transcript:ENSMUST00000183886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6st description:interleukin 6 signal transducer [Source:MGI Symbol;Acc:MGI:96560] MSAPRIWLAQALLFFLTTESIGQLLEPCGYIYPEFPVVQRGSNFTAICVLKEACLQHYYV NASYIVWKTNHAAVPREQVTVINRTTSSVTFTDVVLPSVQLTCNILSFGQIEQNVYGVTM LSGFPPDKPTNLTCIVNEGKNMLCQWDPGRETYLETNYTLKSEW >ENSMUSP00000139060.1 pep:known chromosome:GRCm38:13:112464241:112504545:1 gene:ENSMUSG00000021756.12 transcript:ENSMUST00000184276.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il6st description:interleukin 6 signal transducer [Source:MGI Symbol;Acc:MGI:96560] MSAPRIWLAQALLFFLTTESIGQLLEPCGYIYPEFPVVQRGSNFTAICVLKEACLQHYYV NASYIVWKTNHAAVPREQVTVINRTTSSVTFTDVVLPSVQLTCNILSFGQIEQNVYGVTM LSGFPPDKPTNLTCIVNEGKNMLCQWDPGRETYLETNYTLKSEWATEKFPDCQSKHGTSC MVSYMPTYYVNIEVWVEAENALGKVSSESINFDPVDKVKPTPPYNLSVTNSEELSSILKL SWVSSGLGGLLDLKSDIQYRTKDASTWIQVPLEDTMSPRTSFTVQDLKPFTEYVFRIRSI KDSGKGYWSDWSEEASGTTYEDTFDKPLGRLTWDSGWTIQTTKFLV >ENSMUSP00000139180.1 pep:known chromosome:GRCm38:13:112467593:112480057:1 gene:ENSMUSG00000021756.12 transcript:ENSMUST00000183868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6st description:interleukin 6 signal transducer [Source:MGI Symbol;Acc:MGI:96560] MSAPRIWLAQALLFFLTTESIGQLLEPCGYIYPEFPVVQRGSNFTA >ENSMUSP00000139311.1 pep:known chromosome:GRCm38:13:112472599:112501141:1 gene:ENSMUSG00000021756.12 transcript:ENSMUST00000184445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6st description:interleukin 6 signal transducer [Source:MGI Symbol;Acc:MGI:96560] MSAPRIWLAQALLFFLTTESIGQLLEPCGYIYPEFPVVQRGSNFTAICVLKEACLQHYYV NASYIVWKTNHAAVPREQVTVINRTTSSVTFTDVVLPSVQLTCNILSFGQIEQNVYGVTM LSGFPPDKPTNLTCIVNEGKNMLCQWDPGRETYLETNYTLKSEWATEKFPDCQSKHGTSC MVSYMPTYYVNIEVWVEAENALGKVSSESINFDPVDKVKPTPPYNLSVTNSEELSSILKL SWVSSGLGGLLDLKSDIQYRTKDASTWIQVPLEDTMSPRTSFTVQDLKPFTEYVFRIRSI KDSGKGYWSDWSEEASGTTYEDSTASF >ENSMUSP00000138987.1 pep:known chromosome:GRCm38:13:112475046:112503759:1 gene:ENSMUSG00000021756.12 transcript:ENSMUST00000183829.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6st description:interleukin 6 signal transducer [Source:MGI Symbol;Acc:MGI:96560] MSAPRIWLAQALLFFLTTESIGQLLEPCGYIYPEFPVVQRGSNFTAICVLKEALKPTPPY NLSVTNSEELSSILKLSWVSSGLGGLLDLKSDIQYRTKDASTWIQVPLEDTMSPRTSFTV QDLKPFTEYVFRIRSIKDSGKGYWSDWSEEASGTTYEDKLKNTSGLMFLILPRVILPSGH LTPPQGTILTPKIKCTRTAISLM >ENSMUSP00000139016.1 pep:known chromosome:GRCm38:13:112475046:112503759:1 gene:ENSMUSG00000021756.12 transcript:ENSMUST00000183513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6st description:interleukin 6 signal transducer [Source:MGI Symbol;Acc:MGI:96560] MSAPRIWLAQALLFFLTTESIELKNTSGLMFLILPRVILPSGHLTPPQGTILTPKIKCTR TAISLM >ENSMUSP00000138915.1 pep:known chromosome:GRCm38:13:112475046:112504445:1 gene:ENSMUSG00000021756.12 transcript:ENSMUST00000184949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6st description:interleukin 6 signal transducer [Source:MGI Symbol;Acc:MGI:96560] MSAPRIWLAQALLFFLTTESIGQLLEPCGYIYPEFPVVQRGSNFTAICVLKEACLQHYYV NASYIVWKTNHAAVPREQVTVINRTTSSVTFTDVVLPSVQLTCNILSFGQIEQNVYGVTM LSGFPPDKPTNLTCIVNEGKNMLCQWDPGRETYLETNYTLKSEWATEKFPDCQSKHGTSC MVSYMPTYYVNIEVWVEAENALGKVSSESINFDPVDKVKPTPPYNLSVTNSEELSSILKL SWVSSGLGGLLDLKSDIQYRTKDASTWIQVPLEDTMSPRTSFTVQDLKPFTEYVFRIRSI KDSGKGYWSDWSEEASGTTYEDRPSRPPSFWYKTNPSHGQEYRSVRLIWKALPLSEANGK ILDYEVILTQSKSVSQTYTVTGTELTVNLTNDRYVASLAARNKVGKSAAAVLTIPSPHVT GRLLESKCYQITVTPVFATGPGGSESLKAYLKQAAPARGPTVRTKKVGKNEAVLAWDQIP VDDQNGFIRNYSISYRTSVGKEMVVHVDSSHTEYTLSSLSSDTLYMVRMAAYTDEGGKDG PEFTFTTPKFAQGEIEAIVVPVCLAFLLTTLLGVLFCFNKRDLIKKHIWPNVPDPSKSHI AQWSPHTPPRHNFNSKDQMYSDGNFTDVSVVEIEANNKKPCPDDLKSVDLFKKEKVSTEG HSSGIGGSSCMSSSRPSISSNEENESAQSTASTVQYSTVVHSGYRHQVPSVQVFSRSEST QPLLDSEERPEDLQLVDSVDGGDEILPRQPYFKQNCSQPEACPEISHFERSNQVLSGNEE DFVRLKQQQVSDHISQPYGSEQRRLFQEGSTADALGTGADGQMERFESVGMETTIDEEIP KSYLPQTVRQGGYMPQ >ENSMUSP00000064205.4 pep:known chromosome:GRCm38:13:112475008:112506860:1 gene:ENSMUSG00000021756.12 transcript:ENSMUST00000070731.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il6st description:interleukin 6 signal transducer [Source:MGI Symbol;Acc:MGI:96560] MSAPRIWLAQALLFFLTTESIGQLLEPCGYIYPEFPVVQRGSNFTAICVLKEACLQHYYV NASYIVWKTNHAAVPREQVTVINRTTSSVTFTDVVLPSVQLTCNILSFGQIEQNVYGVTM LSGFPPDKPTNLTCIVNEGKNMLCQWDPGRETYLETNYTLKSEWATEKFPDCQSKHGTSC MVSYMPTYYVNIEVWVEAENALGKVSSESINFDPVDKVKPTPPYNLSVTNSEELSSILKL SWVSSGLGGLLDLKSDIQYRTKDASTWIQVPLEDTMSPRTSFTVQDLKPFTEYVFRIRSI KDSGKGYWSDWSEEASGTTYEDRPSRPPSFWYKTNPSHGQEYRSVRLIWKALPLSEANGK ILDYEVILTQSKSVSQTYTVTGTELTVNLTNDRYVASLAARNKVGKSAAAVLTIPSPHVT AAYSVVNLKAFPKDNLLWVEWTPPPKPVSKYILEWCVLSENAPCVEDWQQEDATVNRTHL RGRLLESKCYQITVTPVFATGPGGSESLKAYLKQAAPARGPTVRTKKVGKNEAVLAWDQI PVDDQNGFIRNYSISYRTSVGKEMVVHVDSSHTEYTLSSLSSDTLYMVRMAAYTDEGGKD GPEFTFTTPKFAQGEIEAIVVPVCLAFLLTTLLGVLFCFNKRDLIKKHIWPNVPDPSKSH IAQWSPHTPPRHNFNSKDQMYSDGNFTDVSVVEIEANNKKPCPDDLKSVDLFKKEKVSTE GHSSGIGGSSCMSSSRPSISSNEENESAQSTASTVQYSTVVHSGYRHQVPSVQVFSRSES TQPLLDSEERPEDLQLVDSVDGGDEILPRQPYFKQNCSQPEACPEISHFERSNQVLSGNE EDFVRLKQQQVSDHISQPYGSEQRRLFQEGSTADALGTGADGQMERFESVGMETTIDEEI PKSYLPQTVRQGGYMPQ >ENSMUSP00000018156.5 pep:known chromosome:GRCm38:11:120721470:120723969:1 gene:ENSMUSG00000018012.11 transcript:ENSMUST00000018156.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rac3 description:RAS-related C3 botulinum substrate 3 [Source:MGI Symbol;Acc:MGI:2180784] MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAG QEDYDRLRPLSYPQTDVFLICFSLVSPASFENVRAKWYPEVRHHCPHTPILLVGTKLDLR DDKDTIERLRDKKLAPITYPQGLAMAREIGSVKYLECSALTQRGLKTVFDEAIRAVLCPP PVKKPGKKCTVF >ENSMUSP00000119523.1 pep:known chromosome:GRCm38:11:120721573:120723606:1 gene:ENSMUSG00000018012.11 transcript:ENSMUST00000142229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rac3 description:RAS-related C3 botulinum substrate 3 [Source:MGI Symbol;Acc:MGI:2180784] MQAINAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAGQEDYDRL RPLSYPQTDVFLICFSLVSPASFENVRAKWYPEVRHHCPHTPILLVGTKLDLRDDKDTIE RLRDKKLAPITYPQGLAMAREIGSVKYLECSALTQRGLKTVFDEAIRAVLCPPPVKKPGK KCTVF >ENSMUSP00000026865.8 pep:known chromosome:GRCm38:3:41555731:41616864:1 gene:ENSMUSG00000025764.14 transcript:ENSMUST00000026865.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade1 description:jade family PHD finger 1 [Source:MGI Symbol;Acc:MGI:1925835] MKRGRLPSSSEDSDDNGSLSTTWSQHSRSQHGRSSTCSRPEDRKPSEVFRTDLITAMKLH DSYQLNPDDYYVLADPWRQEWEKGVQVPVSPGTIPQPVARVVSEEKSLMFIRPKKYIASS GSEPPALGYVDIRTLADSVCRYDLNDMDAAWLEVTNEEFKEMGMPELDEYTMERVLEEFE QRCYDNMNHAIETEEGLGIEYDEDVVCDVCQSPDGEDGNEMVFCDKCNICVHQACYGILK VPEGSWLCRTCALGVQPKCLLCPKKGGAMKPTRSGTKWVHVSCALWIPEVSIGSPEKMEP ITKVSHIPSSRWALVCSLCNEKFGASIQCSVKNCRTAFHVTCAFDRGLEMKTILAENDEV KFKSYCPKHSSHRKPEEGLGEGAAQENGAPESSPQSPLEPYGSLEPNREEAHRVSVRKQK LQQLEDEFYTFVNLLDVARALRLPEEVVDFLYQYWKLKRKINFNKPLITPKKDEEDNLAK REQDVLFRRLQLFTHLRQDLERVRNLTYMVTRREKIKRSVCKVQEQIFTQYTKLLEQEKV SGVPSSCSSALENMLFFNSPSVGPNAPKIEDLKWHSAFFRKQMGTSLVHPLKKSHKRDAV QNSSGTEGKTSHKQPGLCGRREGLEVSESLLSLEKTFAEARLLSSAQQKNGVVTPDHGKR RDNRFHCDLVKGDLKDKSFKQSHKPLRSTDTSQRHLDNTRAATSPGVGQSAPGTRKEIVP KCNGSLVKVPITPASPVKSWGGFRIPKKGERQQQGEAHDGACHQHSDCSHLGVSRAPAKE RAKSRLRADSENDGYAPDGEMSDSESEASEKKCIHASSTISRRTDIIRRSILAS >ENSMUSP00000141670.1 pep:known chromosome:GRCm38:3:41555741:41593781:1 gene:ENSMUSG00000025764.14 transcript:ENSMUST00000194181.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade1 description:jade family PHD finger 1 [Source:MGI Symbol;Acc:MGI:1925835] MKRGRLPSSSEDSDDNGSLSTTWSQHSRSQHGRSSTCSRPEDRKPSEVFRTDLITAMKLH DSYQLNPDDYYVLADPWRQEWEKGVQVPVSPGTIPQPVARVVSE >ENSMUSP00000141711.1 pep:known chromosome:GRCm38:3:41555760:41596620:1 gene:ENSMUSG00000025764.14 transcript:ENSMUST00000195846.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade1 description:jade family PHD finger 1 [Source:MGI Symbol;Acc:MGI:1925835] MKRGRLPSSSEDSDDNGSLSTTWSQHSRSQHGRSSTCSRPEDRKPSEVFRTDLITAMKLH DSYQLNPDDYYVLADPWRQEWEKGVQVPVSPGTIPQPVARPKKYIASSGSEPPALGYVDI RTLADSVCRYDLNDMDAAWLEVTNEEFKEMGM >ENSMUSP00000128152.1 pep:known chromosome:GRCm38:3:41563369:41616858:1 gene:ENSMUSG00000025764.14 transcript:ENSMUST00000163764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade1 description:jade family PHD finger 1 [Source:MGI Symbol;Acc:MGI:1925835] MKRGRLPSSSEDSDDNGSLSTTWSQHSRSQHGRSSTCSRPEDRKPSEVFRTDLITAMKLH DSYQLNPDDYYVLADPWRQEWEKGVQVPVSPGTIPQPVARVVSEEKSLMFIRPKKYIASS GSEPPALGYVDIRTLADSVCRYDLNDMDAAWLEVTNEEFKEMGMPELDEYTMERVLEEFE QRCYDNMNHAIETEEGLGIEYDEDVVCDVCQSPDGEDGNEMVFCDKCNICVHQACYGILK VPEGSWLCRTCALGVQPKCLLCPKKGGAMKPTRSGTKWVHVSCALWIPEVSIGSPEKMEP ITKVSHIPSSRWALVCSLCNEKFGASIQCSVKNCRTAFHVTCAFDRGLEMKTILAENDEV KFKSYCPKHSSHRKPEEGLGEGAAQENGAPESSPQSPLEPYGSLEPNREEAHRVSVRKQK LQQLEDEFYTFVNLLDVARALRLPEEVVDFLYQYWKLKRKINFNKPLITPKKDEEDNLAK REQDVLFRRLQLFTHLRQDLERVRNLTYMVTRREKIKRSVCKVQEQIFTQYTKLLEQEKV SGVPSSCSSALENMLFFNSPSVGPNAPKIEDLKWHSAFFRKQMGTSLVHPLKKSHKRDAV QNSSGTEGKTSHKQPGLCGRREGLEVSESLLSLEKTFAEARLLSSAQQKNGVVTPDHGKR RDNRFHCDLVKGDLKDKSFKQSHKPLRSTDTSQRHLDNTRAATSPGVGQSAPGTRKEIVP KCNGSLVKVPITPASPVKSWGGFRIPKKGERQQQGEAHDGACHQHSDCSHLGVSRAPAKE RAKSRLRADSENDGYAPDGEMSDSESEASEKKCIHASSTISRRTDIIRRSILAS >ENSMUSP00000127113.1 pep:known chromosome:GRCm38:3:41563607:41614058:1 gene:ENSMUSG00000025764.14 transcript:ENSMUST00000170711.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade1 description:jade family PHD finger 1 [Source:MGI Symbol;Acc:MGI:1925835] MKRGRLPSSSEDSDDNGSLSTTWSQHSRSQHGRSSTCSRPEDRKPSEVFRTDLITAMKLH DSYQLNPDDYYVLADPWRQEWEKGVQVPVSPGTIPQPVARVVSEEKSLMFIRPKKYIASS GSEPPALGYVDIRTLADSVCRYDLNDMDAAWLEVTNEEFKEMGMPELDEYTMERVLEEFE QRCYDNMNHAIETEEGLGIEYDEDVVCDVCQSPDGEDGNEMVFCDKCNICVHQACYGILK VPEGSWLCRTCALGVQPKCLLCPKKGGAMKPTRSGTKWVHVSCALWIPEVSIGSPEKMEP ITKVSHIPSSRWALVCSLCNEKFGASIQCSVKNCRTAFHVTCAFDRGLEMKTILAENDEV KFKSYCPKHSSHRKPEEGLGEGAAQENGAPESSPQSPLEPYGSLEPNREEAHRVSVRKQK LQQLEDEFYTFVNLLDVARALRLPEEVVDFLYQYWKLKRKINFNKPLITPKKDEEDNLAK REQDVLFRRLQLFTHLRQDLERVRNLTYMVTRREKIKRSVCKVQEQIFTQYTKLLEQEKV SGVPSSCSSALENMLFFNSPSVGPNAPKIEDLKWHSAFFRKQMGTSLVHPLKKSHKRDAV QNSSGTEGKTSHKQPGLCGRREGLEVSESLLSLEKTFAEARLLSSAQQKNGVVTPDHGKR RDNRFHCDLVKGDLKDKSFKQSHKPLRSTDTSQRHLDNTRAATSPGVGQSAPGTRKEIVP KCNGSLVKVPITPASPVKSWGGFRIPKKGERQQQGEAHDGACHQHSDCSHLGVSRAPAKE RAKSRLRADSENDGYAPDGEMSDSESEASEKKCIHASSTISRRTDIIRRSILAS >ENSMUSP00000131441.1 pep:known chromosome:GRCm38:3:41564854:41616112:1 gene:ENSMUSG00000025764.14 transcript:ENSMUST00000168086.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade1 description:jade family PHD finger 1 [Source:MGI Symbol;Acc:MGI:1925835] MKRGRLPSSSEDSDDNGSLSTTWSQHSRSQHGRSSTCSRPEDRKPSEVFRTDLITAMKLH DSYQLNPDDYYVLADPWRQEWEKGVQVPVSPGTIPQPVARVVSEEKSLMFIRPKKYIASS GSEPPALGYVDIRTLADSVCRYDLNDMDAAWLEVTNEEFKEMGMPELDEYTMERVLEEFE QRCYDNMNHAIETEEGLGIEYDEDVVCDVCQSPDGEDGNEMVFCDKCNICVHQACYGILK VPEGSWLCRTCALGVQPKCLLCPKKGGAMKPTRSGTKWVHVSCALWIPEVSIGSPEKMEP ITKVSHIPSSRWALVCSLCNEKFGASIQCSVKNCRTAFHVTCAFDRGLEMKTILAENDEV KFKSYCPKHSSHRKPEEGLGEGAAQENGAPESSPQSPLEPYGSLEPNREEAHRVSVRKQK LQQLEDEFYTFVNLLDVARALRLPEEVVDFLYQYWKLKRKINFNKPLITPKKDEEDNLAK REQDVLFRRLQLFTHLRQDLERVRNLTYMVTRREKIKRSVCKVQEQIFTQYTKLLEQEKV SGVPSSCSSALENMLFFNSPSVGPNAPKIEDLKWHSAFFRKQMGTSLVHPLKKSHKRDAV QNSSGTEGKTSHKQPGLCGRREGLEVSESLLSLEKTFAEARLLSSAQQKNGVVTPDHGKR RDNRFHCDLVKGDLKDKSFKQSHKPLRSTDTSQRHLDNTRAATSPGVGQSAPGTRKEIVP KCNGSLVKVPITPASPVKSWGGFRIPKKGERQQQGEAHDGACHQHSDCSHLGVSRAPAKE RAKSRLRADSENDGYAPDGEMSDSESEASEKKCIHASSTISRRTDIIRRSILAS >ENSMUSP00000141499.1 pep:known chromosome:GRCm38:3:41564920:41606695:1 gene:ENSMUSG00000025764.14 transcript:ENSMUST00000191952.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade1 description:jade family PHD finger 1 [Source:MGI Symbol;Acc:MGI:1925835] MKRGRLPSSSEDSDDNGSLSTTWSQHSRSQHGRSSTCSRPEDRKPSEVFRTDLITAMKLH DSYQLNPDDYYVLADPWRQEWEKGVQVPVSPGTIPQPVARVVSEEKSLMFIRPKKYIASS GSEPPALGYVDIRTLADSVCRYDLNDMDAAWLEVTNEEFKEMGMPELDEYTMERVLEEFE QRCYDNMNHAIETEEGLGIEYDEDVVCDVCQSPDGEDGNEMVFCDKCNICVHQACYGILK VPEGSWLCRTCALGVQPKCLLCPKKGGAMKPTRSGTKWVHVSCALWIPEVSIGSPEKMEP ITKVSHIPSSRWALVCSLCNEKFGASIQCSVKNCRTAFHVTCAFDRGLEMKTILAENDEV KFKSYCPKHSSHRKPEEGLGEGAAQENGAPESSPQSPLEPYGSLEPNREEAHRVSVRKQK LQQLEDEFYTFVNLLDVARALRLPEEVVDFLYQYWKLKRKINFNKPLITPKKDEEDNLAK REQDVLFRRLQLFTHLRQDLERVMIDTDTL >ENSMUSP00000142142.1 pep:known chromosome:GRCm38:3:41596667:41604800:1 gene:ENSMUSG00000025764.14 transcript:ENSMUST00000192451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jade1 description:jade family PHD finger 1 [Source:MGI Symbol;Acc:MGI:1925835] XQRCYDNMNHAIETEEGLGIEYDEDVVCDVCQSPDGEDGNEMVFCDKCNICVHQCSVKNC RTAFHVTCAFDRGLEMKTILAENDEVKFKSYCPKHSSHRKPEEGLGEGAAQENGAPESSP QSPLEPYGSLEPNREEAHRVSVRKQKLQQLEDEFYTFVNLLDVA >ENSMUSP00000079139.2 pep:known chromosome:GRCm38:13:23099149:23100045:1 gene:ENSMUSG00000057799.3 transcript:ENSMUST00000080253.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r216 description:vomeronasal 1 receptor 216 [Source:MGI Symbol;Acc:MGI:2159696] MKIIWSDLVQGIIFISLTGFGVLGNFILFVRHVYTFIMGSENKNIDVIFIHLAFVNTIII YCIGVRNIATVFYIRNFLSDVGCKTIVYLERVARGLSICTTCLLSMVQAVTISPRTTLWR KLKQHILAFLFLFWIFNSLISSNLLHYITAGSSMNRSEIGMFTGYCYMLPSKPTVKWLFL SFMALRDVIFQSLMGWSSGSMVLHLYKHHVRVLYLHSSRSANNSRPEIRATQRVLTLMTC FLFFYWTDFIFSLYIGSTVKNDFTIPNMKALLVLSYAGLSPFILIIWDVHIAKICSVS >ENSMUSP00000015891.5 pep:known chromosome:GRCm38:3:95999832:96058466:-1 gene:ENSMUSG00000015747.5 transcript:ENSMUST00000015891.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps45 description:vacuolar protein sorting 45 (yeast) [Source:MGI Symbol;Acc:MGI:891965] MNVVFAVKQYISKMIEDSGPGMKVLLMDKETTGIVSMVYTQSEILQKEVYLFERIDSQNR EIMKHLKAICFLRPTKENVEYLIQELRRPKYSIYFIYFSNVISKSDVKSLAEADEQEVVA EVQEFYGDYIAVNPHLFSLNILGCCQGRNWDPAQLSRTTQGLTALLLSLKKCPMIRYQLS SEAAKRLGECVKQVISKEYELFEFRRTEVPPLLLILDRCDDAITPLLNQWTYQAMVHELL GINNNRIDLSRVPGISKDLREVVLSAENDEFYANNMYLNFAEIGSNIKNLMEDFQKKRPK EQQKLESIADMKAFVENYPQFKKMSGTVSKHVTVVGELSRLVSERNLLEVSEVEQELACQ NDHSSALQNVKRLLQNPKVTEFDAVRLVMLYALHYERHSSNSLPGLIVDLRSKGVAEKYR KLVSAVVEYGGKRVRGSDLFSPKDAVAITKQFLKGLKGVENVYTQHQPFLHETLDHLIKG RLKENLYPYLGPSTLRDRPQDIIVFIIGGATYEEALTVYNLNRTTPGVRIVLGGTTIHNT KSFLEEVLASGLHSRSRESSQATSRSANRR >ENSMUSP00000047518.7 pep:known chromosome:GRCm38:11:6658521:6677475:1 gene:ENSMUSG00000041046.7 transcript:ENSMUST00000045374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ramp3 description:receptor (calcitonin) activity modifying protein 3 [Source:MGI Symbol;Acc:MGI:1860292] MKTPAQRLHLLPLLLLLCGECAQVCGCNETGMLERLPRCGKAFADMMQKVAVWKWCNLSE FIVYYESFTNCTEMETNIMGCYWPNPLAQSFITGIHRQFFSNCTVDRTHWEDPPDEVLIP LIAVPVVLTVAMAGLVVWRSKHTDRLL >ENSMUSP00000028848.3 pep:known chromosome:GRCm38:2:127436215:127444550:-1 gene:ENSMUSG00000027371.10 transcript:ENSMUST00000028848.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fahd2a description:fumarylacetoacetate hydrolase domain containing 2A [Source:MGI Symbol;Acc:MGI:1915376] MLGFGRRRLFSALLQVQKRPCQPSRNMRLVQFQAPHLEEPHLGLESGVGGGVVDLNAFDS TLPKTMVQFLEQGETTLSVARRALATQLPVIPRSQVTFLAPVTRPDKVICVGLNYADHCQ EQNVRVPKSPIIFSKFSSSIVGPYDEIILPPESKEVDWEVEMAVVIGKKGKHIKATDVMA HVAGFTVAHDVSARDWQMRNGKQWLLGKTFDTFCPLGPALVTKDTIADPHNLKICCRVNG EVVQSSNTNQMVFKTEYLIAWVSQFVTLYPGDLLLTGTPPGVGMFRKPPVFLKKGDEVQC EIEELGVIINKVV >ENSMUSP00000135613.1 pep:known chromosome:GRCm38:17:18049484:18074220:1 gene:ENSMUSG00000094396.1 transcript:ENSMUST00000176802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r124 description:vomeronasal 2, receptor 124 [Source:MGI Symbol;Acc:MGI:3761531] MMFSWIFILWLLQISKFVSAFILNISRCYYIITEEFHHEGDVVIGAFFPLHTYYTGKKMP HPTVPYLYLDNYIQYNFKNYQYILTLLFAIEEINRNPNLLPNISLGFDFYNVRFTEKETL DNAVIWLSALVQRKFLPNYNCKKRNFTAALTGTTWKTSAQIGTLLQLFKFPQITFGPYDP LLNDHSQYSSLYQMAPKDTSLSLAIVSLMVHFRWSWVGLILPDDHKGNKILSDFREEMER KGICIAFVKMIPETWNLYFAKFWENMDETNVIIIYGDSDTLEGLMRNIGQRLFTWKVWIM NIEYNVFDRADYFMLDSFHGSLIFKHNYRENFEFTKFIQTVNPNKYPEDIYLPKLWHLFF KCSFADISCHALDNCQTNASLDLLPSHIFGVAMNEESTNIYNGVYALAHSLHEMRLQQLQ MQPYLNGEGMVFFPWQLNTFLKDIEVRNNRSLDWRQTIHAEYNILNLWNLPKGLGLKVKI GSFSANAPQGQQLFLSEQMIQWPEIFSEIPQSACSESCGPGFRKVTLEGKAICCYKCTPC ADNEISNESDVDQCVKCPEGHYANTEKNNCLEKSVSFLAYEDPLGMALASIALCLSALTI FVIGIFVKHRDTPIVKANNRTLSYILLITLTFCFLCSLNFIGQPNTAACILQQTTFAVAF TVALATVLAKTITVVLAFKVSFPGRMIRWLMISRGPNYIIPICTLIQLLLCGIWMATSPP FIDQDAHSEYGHIIILCNKGSAVAFHSVLGYLCFLALVSYTMAFLSRNLPDTFNESKFLS FSMLVFFCVWVTFLPVYHSTKGKVMVAMEVFCILASSTALFAFIFGPKCYIILLRPEKNS FRHTRKKTHIPVPKL >ENSMUSP00000045460.7 pep:known chromosome:GRCm38:11:30771726:30880361:1 gene:ENSMUSG00000040850.17 transcript:ENSMUST00000041231.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme4 description:proteasome (prosome, macropain) activator subunit 4 [Source:MGI Symbol;Acc:MGI:2143994] MEAPERAGGGEPPEPGGRPVLGPRAFVPQKEIVYNKLLPYAERLDAESDLQLAQIKSNLG RAVQLQELWPGGLFWTRKLSTYIRLYGRKFSKEDHVLFIKLLYELVSIPKLEISMMQGFA RLLINLLKKKELLSRDDLELPWRPLYDLVERILYSKTEHLRLNSFPNSIENVLKTLVKSC RPYFPADSTAEMLEEWRPLMCPFDVTMQKAISYFEIFLPTSLPPELHHKGFKLWFDELIG LWVSVQNLPQWEGQLVNLFARLATDNIGYIDWDPYVPKIFTRILRSLNLPVGSSQVLVPR FLTNAYDIGHAVIWITAMMGGPSKLVQKHLAGLFNSITSFYHPSNNGRWLNKLMKLLQRL PNSVVRRLHRERFKKPSWLTPVPESHKLTDEDVTDFVQCIIQPVLLAMFSKTGSLEAAQA LQNLALMRPELVIPPVLERTYPALETLTEPHQLTATLNCVIGVARSLVSGSKWFPEGPTH MLPLLMRALPGVDPNDFSKCMITFQFIGTFSTLVPLVDCSSVLQERNDLTEIEKELCSAT AEFEDFVLQFMDRCFGLIESSTLEQTREETETEKMTHLESLVELGLSSTFSTILTQCSKD IFMVALQKVFNFSVSHIFETRVAGRMVADMCRAAVKCCPEESLKLFVPHCYGVITQLTMN DDVLNEEELDKELLWNLQLLSEITRVDGKKLLLYREQLVKILQRTLHLTCKQGYTLSCNL LHHLLRSTTLIYPTEYCSVPGGFNKPPSEYFPVKDWGKPGDLWNLGIQWHVPSSEEVSFA FYLLDSFLQPELIKLQCCGDGELEMSRDDILQSLTIVHSCLIGSGNLLPPLKGEAVTNLV PSMVSLEETKLYTGLEHDLSRENYREVIASVIRKLLSHILDNSEDDTKSLFLIIKIIGDL LHFQGSHKHEFDSRWKSFNLVKKSMENRLHGKKQHIRALLIDRVMLQHELRTLTVEGCEY KKIHQDMIRDLLRLSTSSYSQVRNKAQQTFFAALGAYNFCCRDIIPLVLEFLRPDRKDVT QQQFKGALYCLLGNHSGVCLANLHDWDCIVQTWPALVSSGLSQAMSLEKPSIVRLFDDLA EKIHRQYETIGLDFTIPKSCAAIAELLQQSKNPSISQTLLSPEKIKEGQKRQQDKNADAL RNYECLVNTLLDGVEQRNLPWKFEHIGIGLLSLLLRDDRVLPLRAIRFFVENLNHDAIVV RKMAISAVAGILKQLKRTHKKLTINPYEISGCPKPTKILAGDRPDNHWLHYDSKNIPRTK KEWESSCFVEKTHWGYYNWPKNMVVYAGVEEQPKLGRSREDMIEAEQIIYDRFSDPKFVE QLITFLSLEDRKGRDKFSPRRFCLFKGIFRNFDDAFLPVLKPHLERLVADSHESTQRCVA EIIAGLIRGSKHWTFEKVEKLWELLCPLLRTALSNMTVETYNDWGTCIATSCESRDPRKL HWLFELLLESPLSGEGGSFVDACRLYVLQGGLAQQEWRVPELLHRLLKYLEPKLTQVYKN VRERIGSVLTYIFMIDVSLPNTAPTTSPCIPEFTARVLEKLKPLTDVDEEIQNHVMEENG IGEEDERTQGIKLLKTILKWLMASAGRSFSTAVKEQLQLLPLFFKIAPVENDNSYDELKR DAKLCLSLMSQGLLYPQQVPLILQVLSQTARSSSWHARYTVLTYLQTMVFYNLFIFLNNE DAVKDIRWLIICLLEDEQLEVREMAATTLSGLLQCNFLTMDSAMQIHFEQLCKTKLPKKR KRDPGSVGDTIPSAELVKRHAGVLGLGACVLSSPYDVPTWMPQLLMNLSAHLNDPQPIEM TVKKTLSNFRRTHHDNWQEHKQQFTDDQLLVLTDLLVSPCYYA >ENSMUSP00000119133.1 pep:known chromosome:GRCm38:11:30772321:30804376:1 gene:ENSMUSG00000040850.17 transcript:ENSMUST00000154757.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme4 description:proteasome (prosome, macropain) activator subunit 4 [Source:MGI Symbol;Acc:MGI:2143994] XIPGARPSPGRPSLLVAFRGTSGSCRSPLTISVLVLSLDVPGSGEPQAYPGIGTSGSHWF SLGFCAWSCGIESFGLWGSDSCPCFCGFFGYIRLYGRKFSKEDHVLFIKLLYELVSIPKL EISMMQGFARLLINLLKKKELLSRDDLELPWRPLYDLVERILYSKTEHLRLNSFPNSIEN VLKTLVKSCRPYFPADSTAEMLEEWRPLMCPFDVTMQKA >ENSMUSP00000114537.1 pep:known chromosome:GRCm38:11:30852788:30857152:1 gene:ENSMUSG00000040850.17 transcript:ENSMUST00000129824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme4 description:proteasome (prosome, macropain) activator subunit 4 [Source:MGI Symbol;Acc:MGI:2143994] RERIGSVLTYIFMIDVSLPNTAPTTSPCIPEFTARVLEKLKPLTDVDEEIQNHVMEENGI GEEDERTQGIKLLKTILKWLMASAGRSFSTAVKEQLQLLPLFFKDPM >ENSMUSP00000139512.1 pep:known chromosome:GRCm38:3:14606284:14611285:-1 gene:ENSMUSG00000078784.8 transcript:ENSMUST00000185384.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810022K09Rik description:RIKEN cDNA 1810022K09 gene [Source:MGI Symbol;Acc:MGI:1916376] MAKNKLKGQKSRNVFHIASHKTFKAKNKAKPVTTNLKKINIMNHEKVNRMNRAFVNIQKE LANFSKSLSLKSVQKELKHHENEPANVDEATRLMAQL >ENSMUSP00000104002.2 pep:known chromosome:GRCm38:3:14606289:14611221:-1 gene:ENSMUSG00000078784.8 transcript:ENSMUST00000108365.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810022K09Rik description:RIKEN cDNA 1810022K09 gene [Source:MGI Symbol;Acc:MGI:1916376] MLAAAAPRALFCQLLVLYRFLVGDRTPGVPRPETLRPPLSRTMAKNKLKGQKSRNVFHIA SHKTFKAKNKAKPVTTNLKKINIMNHEKVNRMNRAFVNIQKELANFSKSLSLKSVQKELK HHENEPANVDEATRLMAQL >ENSMUSP00000139464.1 pep:known chromosome:GRCm38:3:14606289:14611261:-1 gene:ENSMUSG00000078784.8 transcript:ENSMUST00000186870.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810022K09Rik description:RIKEN cDNA 1810022K09 gene [Source:MGI Symbol;Acc:MGI:1916376] MAKNKLKGQKSRNVFHIASHKTFKAKNKAKPVTTNLKKINIMNHEKVNRMNRAFVNIQKE LANFSKSLSLKSVQKELVSRN >ENSMUSP00000139401.1 pep:known chromosome:GRCm38:3:14606293:14611254:-1 gene:ENSMUSG00000078784.8 transcript:ENSMUST00000185423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810022K09Rik description:RIKEN cDNA 1810022K09 gene [Source:MGI Symbol;Acc:MGI:1916376] MAKNKLKGQKSRNVFHIASHKTFKAKNKAKPVTTNLKKKHHENEPANVDEATRLMAQL >ENSMUSP00000053483.4 pep:known chromosome:GRCm38:11:75164565:75169519:-1 gene:ENSMUSG00000043099.4 transcript:ENSMUST00000055619.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hic1 description:hypermethylated in cancer 1 [Source:MGI Symbol;Acc:MGI:1338010] MITRMRHHPWLKSSSFEDRTFPSPPPNWRDITYKYVLGSTQHKTPRFMGLPGPISESPGG HIWKRANLQDLLSSASASLLAQVCARGRSPAAHSPRVAARWRHGRGSVCRFGPLQIRVCG KRGGAETRPGRGEDGPARQTDRGPGGRRAAHCSHVPPWIRRQPGPGLPTCPPGECAGQTM LDTMEAPGHSRQLLLQLNNQRTKGFLCDVIIVVQNALFRAHKNVLAASSAYLKSLVVHDN LLNLDHDMVSPAVFRLVLDFIYTGRLTDSVEAAAAAAVAPGAEPSLGAVLAAASYLQIPD LVALCKKRLKRHGKYCHLRGGGSGGGGYAPYGRPGRGLRAATPVIQACYSSPAGPPPPPA AEPPSGPDAAVNTHCAELYASGPGPAASLCAPERRCSPLCGLDLSKKSPPGSSVPERPLS ERELPPRPDSPPGAGPAVYKEPSLALPPLPPLPFQKLEEAVPTPDPFRGSGGSPGPEPPG RPDGSSLLYRWMKHEPGLGSYGDELVRDRGSPGERLEERGGDPAASPGGPPLGLVPPPRY PGSLDGPGTGADGDDYKSSSEETGSSEDPSPPGGHLEGYPCPHLAYGEPESFGDNLYVCI PCGKGFPSSEQLNAHVEAHVEEEEALYGRAEAAEVAAGAAGLGPPFGGGGDKVTGAPGGL GELLRPYRCASCDKSYKDPATLRQHEKTHWLTRPYPCTICGKKFTQRGTMTRHMRSHLGL KPFACDACGMRFTRQYRLTEHMRIHSGEKPYECQVCGGKFAQQRNLISHMKMHAVGGAAG AAGALAGLGGLPGVPGPDGKGKLDFPEGVFAVARLTAEQLSLKQQDKAAAAELLAQTTHF LHDPKVALESLYPLAKFTAELGLSPDKAAEVLSQGAHLAAGPDSRTIDRFSPT >ENSMUSP00000101703.2 pep:known chromosome:GRCm38:4:127123691:127129644:1 gene:ENSMUSG00000070737.10 transcript:ENSMUST00000106097.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12942 description:predicted gene 12942 [Source:MGI Symbol;Acc:MGI:3758095] MSCLRSSPRDQANPLVPVHQLERALFEQFAEVFPLKVFGYQPDPISYQTAVGWLELLAGL LLVVGPPVLQEISNVLLILLMMGAVFTLVVLKEPLSTYVPAAVCLGLLLLLDSCHFLART KGAVRCPSKKIPPAHGN >ENSMUSP00000092302.4 pep:known chromosome:GRCm38:4:127126046:127129644:1 gene:ENSMUSG00000070737.10 transcript:ENSMUST00000094712.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12942 description:predicted gene 12942 [Source:MGI Symbol;Acc:MGI:3758095] MSFRVGVLRVLLGVFFALTGAAKLFQVSAPVSQQMRALFEQFAEVFPLKVFGYQPDPISY QTAVGWLELLAGLLLVVGPPVLQEISNVLLILLMMGAVFTLVVLKEPLSTYVPAAVCLGL LLLLDSCHFLARTKGAVRCPSKKIPPAHGN >ENSMUSP00000089314.2 pep:known chromosome:GRCm38:13:23113834:23114730:-1 gene:ENSMUSG00000094600.1 transcript:ENSMUST00000091721.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r217 description:vomeronasal 1 receptor 217 [Source:MGI Symbol;Acc:MGI:2159677] MVLQFIKETIFLFMTMVGILGNMSVSVHYMFSWWGSPEKKPIHLILTHLSFTNIILLLAK GLQKTITVFGLRNFLDDIGCKIIVYLERVARGLSICTSSLLTVVQAIIISPRASGWSRLR PKSAWHILPFFSFFWILNGLISMNLIHSITSTGLNMSQLNNSKNYCYFMPESREIKWIVL PLMVLRDAVFQGAMGGASGHMIFLLHKHHQHVLYLQNSKLLYRTPPELRAAQSVLLLMLC FVFFYWTDCALSLFLSLSLGDSSLMINIQKVLTLGYAVFSPLVLIHRDGLLPACWHAQ >ENSMUSP00000027232.7 pep:known chromosome:GRCm38:1:30940302:30949770:-1 gene:ENSMUSG00000026064.15 transcript:ENSMUST00000027232.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptp4a1 description:protein tyrosine phosphatase 4a1 [Source:MGI Symbol;Acc:MGI:1277096] MARMNRPAPVEVTYKNMRFLITHNPTNATLNKFIEELKKYGVTTIVRVCEATYDTTLVEK EGIHVLDWPFDDGAPPSNQIVDDWLSLVKIKFREEPGCCIAVHCVAGLGRAPVLVALALI EGGMKYEDAVQFIRQKRRGAFNSKQLLYLEKYRPKMRLRFKDSNGHRNNCCIQ >ENSMUSP00000110861.1 pep:known chromosome:GRCm38:1:30941580:30949757:-1 gene:ENSMUSG00000026064.15 transcript:ENSMUST00000076587.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptp4a1 description:protein tyrosine phosphatase 4a1 [Source:MGI Symbol;Acc:MGI:1277096] MARMNRPAPVEVTYKNMRFLITHNPTNATLNKFIEELKKYGVTTIVRVCEATYDTTLVEK EGIHVLDWPFDDGAPPSNQIVDDWLSLVKIKFREEPGCCIAVHCVAGLGRAPVLVALALI EGGMKYEDAVQFIRQKRRGAFNSKQLLYLEKYRPKMRLRFKDSNGHRNNCCIQ >ENSMUSP00000143884.1 pep:known chromosome:GRCm38:1:30946544:30949587:-1 gene:ENSMUSG00000026064.15 transcript:ENSMUST00000202889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptp4a1 description:protein tyrosine phosphatase 4a1 [Source:MGI Symbol;Acc:MGI:1277096] MIRPQSSMSRHIPQFCGVLGHTFMEFLKGSGDYCQAQHDLYADK >ENSMUSP00000097427.1 pep:known chromosome:GRCm38:2:88005817:88006776:-1 gene:ENSMUSG00000075141.3 transcript:ENSMUST00000099839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1160 description:olfactory receptor 1160 [Source:MGI Symbol;Acc:MGI:3030994] MQKPKFLQIMSVENSTVKTEFYLLGFSDHPELQSLLFAVFFSIYSITLMGNIGMILLITV SPNLHIPMYFFLCMLSFIDACYSSVIAPKLLVDLISDKKVISYNGCATQLYFFCSLVDTE SFLLAAMAYDRYIAICNPLLYTVIMSKRVCIQLAFGAFLGGTMSSIIHTTNTFQLSFCSK VINHFFCDVSPLFSLSCFDTYTHDIILVVFASLVEALSLLTVLLSYMYIIVAICKIGSAE GRKKGFSTCASHLAVITIYHGTLIFIYLRPSTGHSMNIDKMTSVFYTLIIPMLNPLIYSL RNKDVKFAFRKIISKKLFT >ENSMUSP00000087800.3 pep:known chromosome:GRCm38:2:111368591:111369616:1 gene:ENSMUSG00000109322.1 transcript:ENSMUST00000090328.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1283 description:olfactory receptor 1283 [Source:MGI Symbol;Acc:MGI:3031117] MEEGNQTVVSEFIFQGLCASKELQLFLLLPFSILYLMAVVGNLFVVILIIIDHHLHSPMY FLLANLSFIDFCLSSVTTPKLITDLLKDNKTISFGGCMSQILCVHFFGGGEMVLLVTMAY DRYVAICRPLHYSSIMDRQKCIWLVVISWTIGFIHAMSQLILVLELPFCGPRVIDSFFCD IPLVMKLACMNTDTLEILINADSGVLATTCFILLLISYTYILLTVQLHSKDGSSKALSTC TSHIIVVLLFFGPVIFIYLWPVSITWVDKFLAVFYSVITPLLNPAIYTLRNKDIKNAIKK LINHM >ENSMUSP00000137187.1 pep:known chromosome:GRCm38:16:32804760:32810477:-1 gene:ENSMUSG00000094430.2 transcript:ENSMUST00000179384.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm933 description:predicted gene 933 [Source:MGI Symbol;Acc:MGI:2685779] MWFAFLLLLWLGPVIPNQKAGSCSQPQPLCCPGEDYGCKRGNCYCDKFCRVLSDCCPDHE TLCNSSDLHAGSLPPVAQLDAVTHRAFHTPKMVLQMVLRTERPLDSARNNQDLVQNMVLQ LLHSLSRRPLSVTMKGIRKRA >ENSMUSP00000045366.6 pep:known chromosome:GRCm38:4:127077383:127124370:1 gene:ENSMUSG00000042408.13 transcript:ENSMUST00000046751.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym6 description:zinc finger, MYM-type 6 [Source:MGI Symbol;Acc:MGI:106505] MKEPLDGGCDKAMAQQGLLDRIKEEPDDAHEYGPQESELKISAVFSAGDTSLGFQVGLPS SGPSTSFPAVPAAQVFCFGCKKLLLKGQPTYRKAGFAQLYCSMGCIIRFSSAVCVPPLPK RTCAHCSKDILNPMDVITTQFENSSLCKDFCSQSCLFSYELKKPVVTIYTSGISAKCSMC QKGTDNLAPKPLYALGNSSRLSAEMIETTNDSGRTELFCSINCLSAYRIKTVISSGIQVV CHSCKTAAVPQYHLAMSNGTICSFCSSNCVLAFQNVFNKPKGRNSSLAPISQGQEVRSTP VQSAVLARKDAPASSFSSPISNPAATALETLAKQSHQIALTHLFLKLTCLQCSLLFATKP ELLFYKGRMFMFCSKACCDEYKRQNKVKALCDYCKLQKTIEDTVRFSGIDKPFCSEVCKV LSARDFGERWGSYCKTCSYCLQTSANFVENQLEGKLQVFCCEDCMSKFTALLHQTARCDG CKRQGKLSESLKWRGNIKYFCNLFCVLQFCHQYSVNDPIPQRKVFVLPKPAQVIIPKAQT AVTALPSPRIATTPVITSVTSLAKIPALQPTRNTNNVLTGAGPTEVTKIIGNGSAQAPAE RLQPLQPPKLLKNKGILCKPVTQTKATSCRPHTHHAACQTDLPLPEWKSEEPESPPAKRR RVDFSQTYNAEYIRFGFIICSGSEESSPSPQCVICGEVLPSESVMPVSLSNHLKAKHSDL ENKPVDFFEEKSLEMECQNSSLKKCLLVEESLVKASYLIAFQIAARNKPFSIAEELIKPY LVEMCSEVLGSSAGEKMKTIPLSNSTIGCRIKKLSDDIEDQLLQKVRESRWFALQVDESS EATEVPLLLCYVRFIDYDRGDVKEELLFCTEMPSPSTDLEVFELINKYIDSRSLNWNHCV GFCTDGAASMTDRYFRLRSKIQEIAKNTVTFTHCFIHREHLAAKKLSPCLHEILLQSSQI LSFVKNSASDSQMLTILCEEMGSEHVNLPLNAEVRWLSRGRILTRLFELRHEIEIFLNQK HSDLARYFHDEEWIAKLAYLADIFSLINKLNSSLQGTMTTFFNLYNKVDVFQKRLKMWLK RAQENDYGMFPLFSEFLDSSDVSVKNIASIIFEHLEGLSQIFHACYPPEEDLRSGNLWLT DPFATYHSNNLTDSEEEKLAVLSADTGFQSVHKSMSVTQFWVNAKTSYPKLHEKALKLLL PFSSTCLCDATFSALTASKQRDLRTCGPTLRLAVTSLVPRIEKLAKEKE >ENSMUSP00000092303.3 pep:known chromosome:GRCm38:4:127077397:127124372:1 gene:ENSMUSG00000042408.13 transcript:ENSMUST00000094713.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym6 description:zinc finger, MYM-type 6 [Source:MGI Symbol;Acc:MGI:106505] MKEPLDGGCDKAMAQQGLLDRIKEEPDDAHEYGPQESELKISAVFSAGDTSLGFQVGLPS SGPSTSFPAVPAAQVFCFGCKKLLLKGQPTYRKAGFAQLYCSMGCIIRFSSAVCVPPLPK RTCAHCSKDILNPMDVITTQFENSSLCKDFCSQSCLFSYELKKPVVTIYTSGISAKCSMC QKGTDNLAPKPLYALGNSSRLSAEMIETTNDSGRTELFCSINCLSAYRIKTVISSGIQVV CHSCKTAAVPQYHLAMSNGTICSFCSSNCVLAFQGRMFMFCSKACCDEYKRQNKVKALCD YCKLQKTIEDTVRFSGIDKPFCSEVCKVLSARDFGERWGSYCKTCSYCLQTSANFVENQL EGKLQVFCCEDCMSKFTALLHQTARCDGCKRQGKLSESLKWRGNIKYFCNLFCVLQFCHQ YSVNDPIPQRKVFVLPKPAQVIIPKAQTAVTALPSPRIATTPVITSVTSLAKIPALQPTR NTNNVLTGAGPTEVTKIIGNGSAQAPAERLQPLQPPKLLKNKGILCKPVTQTKATSCRPH THHAACQTDLPLPEWKSEEPESPPAKRRRVDFSQTYNAEYIRFGFIICSGSEESSPSPQC VICGEVLPSESVMPVSLSNHLKAKHSDLENKPVDFFEEKSLEMECQNSSLKKCLLVEESL VKASYLIAFQIAARNKPFSIAEELIKPYLVEMCSEVLGSSAGEKMKTIPLSNSTIGCRIK KLSDDIEDQLLQKVRESRWFALQVDESSEATEVPLLLCYVRFIDYDRGDVKEELLFCTEM PSPSTDLEVFELINKYIDSRSLNWNHCVGFCTDGAASMTDRYFRLRSKIQEIAKNTVTFT HCFIHREHLAAKKLSPCLHEILLQSSQILSFVKNSASDSQMLTILCEEMGSEHVNLPLNA EVRWLSRGRILTRLFELRHEIEIFLNQKHSDLARYFHDEEWIAKLAYLADIFSLINKLNS SLQGTMTTFFNLYNKVDVFQKRLKMWLKRAQENDYGMFPLFSEFLDSSDVSVKNIASIIF EHLEGLSQIFHACYPPEEDLRSGNLWLTDPFATYHSNNLTDSEEEKLAVLSADTGFQSVH KSMSVTQFWVNAKTSYPKLHEKALKLLLPFSSTCLCDATFSALTASKQRDLRTCGPTLRL AVTSLVPRIEKLAKEKE >ENSMUSP00000060977.3 pep:known chromosome:GRCm38:2:88024724:88025689:1 gene:ENSMUSG00000045150.4 transcript:ENSMUST00000054845.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1161 description:olfactory receptor 1161 [Source:MGI Symbol;Acc:MGI:3030995] MLLTYGNNSGAMFILLSFSDYPEIEMPLFLVFLAIYSITVVGNIGMIVIIRINPKLHTPM YFFLSHLSFVDFCYSSVIAPKMLVNLFIKDRAISFLECIVQYFFFAIFVVTETILLVVMA YDRFVAICRPLLYTVAMSQKLCISLVVGSYAWGLICSLTMTCSIIQLSFVGINTIDHFFC EFSSLLVLSCSDTHVNQILLFSLSTVNALSTLLIILLSYMFILVTILKMQSSRGRQKAFY TCASHLTTITIFYGTILFLYSVPNSKNSQLTFKVASLFYTLVIPMLNPLIYSLRNKDVKD TIRQIMKIKFIALPHLSSKVR >ENSMUSP00000040060.8 pep:known chromosome:GRCm38:11:83002158:83020810:-1 gene:ENSMUSG00000035208.15 transcript:ENSMUST00000038141.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn8 description:schlafen 8 [Source:MGI Symbol;Acc:MGI:2672859] METHPSLAVKWSCPDLTIYAGEVTIGEEDRNKMDSKKRKLEKTRITEAACALLNSGGGLI AMQMTNKSEHPVEMGQDLEKSLRELIMSPNMQAFFETKQQEDQFYIFVKSWSCRPEDGST KPRICSLGSSLYCRSITSKVAMDSREAFEFLKDKKACIKYRPTDDGAPPAKIPRAMCQNS LESNPAFEIFQSKKLEYGQCLLFSESTSIEFKQFSTKHVQAYMKNIIPEYISAFANTQGG YLFIGVDDKRIILGCPKDNVDRDSLKTVANETISKVPVFHFCSSKDKDKVSYETRVIDVF QEGNLYGYLCVIKVEPFCCAVFSEAPISWMVDKEKGVYRLNTEEWVRMMVDFGPEASSKD LSKDFECQLSLCNSPPHCRPVYSKKGLQHKVDLQQRLFQVSPDCLKYTPESLWKELCSQH KRLKGLVKQQIRSFSCGLLILYRSWAVDLNLKEKQEVICDALLIAQNSPPILYTILGEQD EQGQDYCNHTAFTLKQKLVNTGGYTGRVCVMTKVLCLSSQNNIETNGGSVSPINYPSSYN LANIQEMQDLLQALVIVLLNFRSFLSDQLGCEILNLLTAQQYEILSKSLRKTRELFVHGL PGSGKTIIAMKIMEKIRNTFHCETDSILYICENQPLRDFIRAKRICQAVTRKTFMNYRFK TNSFQHIIVDEAQNFRTEDGNWYGKAKAISRRVKSCPGMFWIFLDYFQTSHLKESGLPDF SRQYPREELTQVVRNGDKIAEFLQKELQKIRDNPPCSIPRQSLNIVHEFKWSQSVSGNIK TEQFTLEDMVIYVADKCYDFLRKGYSLQDIAVLFSTDKEKKTYESMFLGEMRKRRRASEM NHAYLCDSNMFDSIRRFSGLERSIVFGINPIATEQPISHNLLLCLASRAMKHLYILYFST PEGHSSTEAC >ENSMUSP00000090513.4 pep:known chromosome:GRCm38:11:83002524:83020810:-1 gene:ENSMUSG00000035208.15 transcript:ENSMUST00000092838.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn8 description:schlafen 8 [Source:MGI Symbol;Acc:MGI:2672859] METHPSLAVKWSCPDLTIYAGEVTIGEEDRNKMDSKKRKLEKTRITEAACALLNSGGGLI AMQMTNKSEHPVEMGQDLEKSLRELIMSPNMQAFFETKQQEDQFYIFVKSWSCRPEDGST KPRICSLGSSLYCRSITSKVAMDSREAFEFLKDKKACIKYRPTDDGAPPAKIPRAMCQNS LESNPAFEIFQSKKLEYGQCLLFSESTSIEFKQFSTKHVQAYMKNIIPEYISAFANTQGG YLFIGVDDKRIILGCPKDNVDRDSLKTVANETISKVPVFHFCSSKDKDKVSYETRVIDVF QEGNLYGYLCVIKVEPFCCAVFSEAPISWMVDKEKGVYRLNTEEWVRMMVDFGPEASSKD LSKDFECQLSLCNSPPHCRPVYSKKGLQHKVDLQQRLFQVSPDCLKYTPESLWKELCSQH KRLKGLVKQQIRSFSCGLLILYRSWAVDLNLKEKQEVICDALLIAQNSPPILYTILGEQD EQGQDYCNHTAFTLKQKLVNTGGYTGRVCVMTKVLCLSSQNNIETNGGSVSPINYPSSYN LANIQEMQDLLQALVIVLLNFRSFLSDQLGCEILNLLTAQQYEILSKSLRKTRELFVHGL PGSGKTIIAMKIMEKIRNTFHCETDSILYICENQPLRDFIRAKRICQAVTRKTFMNYRFK TNSFQHIIVDEAQNFRTEDGNWYGKAKAISRRVKSCPGMFWIFLDYFQTSHLKESGLPDF SRQYPREELTQVVRNGDKIAEFLQKELQKIRDNPPCSIPRQSLNIVHEFKWSQSVSGNIK TEQFTLEDMVIYVADKCYDFLRKGYSLQDIAVLFSTDKEKKTYESMFLGEMRKRRRASEM NHAYLCDSNMFDSIRRFSGLERSIVFGINPIATEQPISHNLLLCLASRAMKHLYILYFST PEGHSSTEAC >ENSMUSP00000114417.1 pep:known chromosome:GRCm38:11:83003887:83020108:-1 gene:ENSMUSG00000035208.15 transcript:ENSMUST00000130822.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn8 description:schlafen 8 [Source:MGI Symbol;Acc:MGI:2672859] METHPSLAVKWSCPDLTIYAGEVTIGEEDRNKMDSKKRKLEKTRITEAACALLNSGGGLI AMQMTNKSEHPVEMGQDLEKSLRELIMSPNMQAFFETKQQEDQFYIFVKSWSCRPEDGST KPRICSLGSSLYCRSITSKVAMDSREAFEFLKDKKACIKYRPTDDGAPPAKIPRAMCQNS LESNPAFEIFQSKKLEYGQCLLFSESTSIEFKQFSTKHVQAYMKNIIPEYISAFANTQGG YLFIGVDDKRIILGCPKDNVDRDSLKTVANETISKVPVFHFCSSKDKDKVSYETRVIDVF QEGNLYGYLCVIKVEPFCCAVFSEAPISWMVDKEKGVYRLNTEEWVRMMVDFGPEASSKD LSKDFECQLSLCNSPPHCRPVYSKKGLQHKVDLQQRLFQVSPDCLKYTPESLWKELCSQH KRLKGLVKQQIRSFSCGLLILYRSWAVDLNLKEKQEVICDALLIAQNSPPILYTILGEQD EQGQDYCNHTAFTLKQKLVNTGGYTGRVCVMTKVLCLSSQNNIETNGGSVSPINYPSSYN LANIQEMQDLLQALVIVLLNFRSFLSDQLGCEILNLLTAQQYEILSKSLRKTRELFVHGL PGSGKTIIAMKIMEKIRNTFHCETDSILYICENQPLRDFIRA >ENSMUSP00000121831.1 pep:known chromosome:GRCm38:11:83004057:83017180:-1 gene:ENSMUSG00000035208.15 transcript:ENSMUST00000131883.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn8 description:schlafen 8 [Source:MGI Symbol;Acc:MGI:2672859] XSLESNPAFEIFQSKKLEYGQCLLFSESTSIEFKQFSTKHVQAYMKNIIPEYISAFANTQ GGYLFIGVDDKRIILGCPKDNVDRDSLKTVANETISKVPVFHFCSSKDKDKVSYETRVID VFQEGNLYGYLCVIKVEPFCCAVFSEAPISWMVDKEKGVYRLNTEEWVRMMVDFGPVSPD CLKYTPESLWKELCSQHKRLKGLVKQQIRSFSCGLLILYRSWAVDLNLKEKQEVICDALL IAQNSPPILYTILGEQDEQGQDYCNHTAFTLKQKLVNTGGYTGRVCVMTKVLCLSSQNNI ETNGGSVSPINYPSSYNLANIQEMQDLLQALVIVLLNFRSFLSDQLGCEILNLLTAQQYE ILSKSLRKTRELFVHGLPGSGKTIIAMKIMEKIRNTFHCETDSILYICENQPLRDFIR >ENSMUSP00000103787.2 pep:known chromosome:GRCm38:11:83002158:83020722:-1 gene:ENSMUSG00000035208.15 transcript:ENSMUST00000108152.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn8 description:schlafen 8 [Source:MGI Symbol;Acc:MGI:2672859] METHPSLAVKWSCPDLTIYAGEVTIGEEDRNKMDSKKRKLEKTRITEAACALLNSGGGLI AMQMTNKSEHPVEMGQDLEKSLRELIMSPNMQAFFETKQQEDQFYIFVKSWSCRPEDGST KPRICSLGSSLYCRSITSKVAMDSREAFEFLKDKKACIKYRPTDDGAPPAKIPRAMCQNS LESNPAFEIFQSKKLEYGQCLLFSESTSIEFKQFSTKHVQAYMKNIIPEYISAFANTQGG YLFIGVDDKRIILGCPKDNVDRDSLKTVANETISKVPVFHFCSSKDKDKVSYETRVIDVF QEGNLYGYLCVIKVEPFCCAVFSEAPISWMVDKEKGVYRLNTEEWVRMMVDFGPEASSKD LSKDFECQLSLCNSPPHCRPVYSKKGLQHKVDLQQRLFQGQKESARQ >ENSMUSP00000025202.6 pep:known chromosome:GRCm38:7:46396497:46433353:1 gene:ENSMUSG00000058975.6 transcript:ENSMUST00000025202.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnc1 description:potassium voltage gated channel, Shaw-related subfamily, member 1 [Source:MGI Symbol;Acc:MGI:96667] MGQGDESERIVINVGGTRHQTYRSTLRTLPGTRLAWLAEPDAHSHFDYDPRADEFFFDRH PGVFAHILNYYRTGKLHCPADVCGPLYEEELAFWGIDETDVEPCCWMTYRQHRDAEEALD SFGGAPLDNSADDADADGPGDSGDGEDELEMTKRLALSDSPDGRPGGFWRRWQPRIWALF EDPYSSRYARYVAFASLFFILVSITTFCLETHERFNPIVNKTEIENVRNGTQVRYYREAE TEAFLTYIEGVCVVWFTFEFLMRVVFCPNKVEFIKNSLNIIDFVAILPFYLEVGLSGLSS KAAKDVLGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFA TMIYYAERIGAQPNDPSASEHTHFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALC ALAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPKKKKKHIPRPPQLGSPNYCKSVVNSPH HSTQSDTCPLAQEEILEINRAGRKPLRGMSI >ENSMUSP00000124938.1 pep:known chromosome:GRCm38:7:46397648:46438375:1 gene:ENSMUSG00000058975.6 transcript:ENSMUST00000160433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnc1 description:potassium voltage gated channel, Shaw-related subfamily, member 1 [Source:MGI Symbol;Acc:MGI:96667] MGQGDESERIVINVGGTRHQTYRSTLRTLPGTRLAWLAEPDAHSHFDYDPRADEFFFDRH PGVFAHILNYYRTGKLHCPADVCGPLYEEELAFWGIDETDVEPCCWMTYRQHRDAEEALD SFGGAPLDNSADDADADGPGDSGDGEDELEMTKRLALSDSPDGRPGGFWRRWQPRIWALF EDPYSSRYARYVAFASLFFILVSITTFCLETHERFNPIVNKTEIENVRNGTQVRYYREAE TEAFLTYIEGVCVVWFTFEFLMRVVFCPNKVEFIKNSLNIIDFVAILPFYLEVGLSGLSS KAAKDVLGFLRVVRFVRILRIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFA TMIYYAERIGAQPNDPSASEHTHFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALC ALAGVLTIAMPVPVIVNNFGMYYSLAMAKQKLPKKKKKHIPRPPQLGSPNYCKSVVNSPH HSTQSDTCPLAQEEILEINRADSKLNGEVAKAALANEDCPHIDQALTPDEGLPFTRSGTR ERYGPCFLLSTGEYACPPGGGMRKDLCKESPVIAKYMPTEAVRVT >ENSMUSP00000029885.4 pep:known chromosome:GRCm38:4:19519254:19570108:-1 gene:ENSMUSG00000028228.5 transcript:ENSMUST00000029885.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne3 description:copine III [Source:MGI Symbol;Acc:MGI:1917818] MAAQCVTKVELNVSCNNLLDADVTSKSDPLCVLFLNTSGHQWYEVERTERIKNSLNPKFS KTFVIDYYFEVVQKLKFGIYDIDNKTIELSDDDFLGECEVTLGQIVSSKKLTRPLVLKNG KPAGKGSITISAEEIKDNRVVLFEMEARKLDNKDLFGKSDPYLEFHKQTSDGHWLMVHRT EVIKNNLNPMWKPFKISLNSLCYGDMDKTIKVECYDYDNDGSHDLIGTFQTTMTKLKEAS RSSPVEYECINEKKRQKKKSYKNSGVISVKHCEITVECTFLDYIMGGCQLNFTVGVDFTG SNGDPSSPDSLHYISPNGVNEYLTAIWSVGLVIQDYDADKMFPAFGFGAQVPPQWQVSHE FPMNFNPSNPYCNGIQGIVEAYRTCLPQIRLYGPTNFSPIINHVARFAAAATQQQTASQY FVLLIITDGVITDLDETRQAIVNAAKLPMSIIIVGVGGADFSAMEFLDGDGGSLRAPSGE VAIRDIVQFVPFRQFQNAPKEALAQCVLAEIPQQVVGYFNTYKLLPPKNPAVK >ENSMUSP00000130290.2 pep:known chromosome:GRCm38:13:35893472:35906359:-1 gene:ENSMUSG00000021418.15 transcript:ENSMUST00000171686.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpp40 description:ribonuclease P 40 subunit [Source:MGI Symbol;Acc:MGI:1346084] MATLRRLQEAPRHLLVCEKSNFGHDKSRHKHLVETHYHNYRVSFLIPECGLLSKELKSLV MDIGPYYSVKNLPLHELITHEFINTFVKKGSFSALTYNTSIDEDNTVALLPNGKLILSLD KDTYEETGLQGRPSRYSGRKSMKFVISIDLMDLSLNLDSKKYRRISWSFKEKKPLKFDFL LAWHPTGTEESTMMSYFSKYQIQEHQPKVALSTVRELQCPVLRSSGLAGEPEEACSALEF FDWLGAVFCSADLNNEPYNFISTYCCPQPSAVVAQAFLCTITGFILPEKIHVLLEQLCHY FDEPKLAPWVTLTVQGFADSPVAWREKEHGFHKGGEHLYNFVVFNNQDYWLQMAVGANDD CPP >ENSMUSP00000134210.1 pep:known chromosome:GRCm38:13:35896704:35898868:-1 gene:ENSMUSG00000021418.15 transcript:ENSMUST00000174231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpp40 description:ribonuclease P 40 subunit [Source:MGI Symbol;Acc:MGI:1346084] XSALEFFDWLGAVFCSADLHYFDEPKLAPWVTLTVQGFADSPVAWREKEHGFHKGGEHLY NFVVFNNQDYWLQMAVGANDDCPP >ENSMUSP00000134228.1 pep:known chromosome:GRCm38:13:35896715:35906344:-1 gene:ENSMUSG00000021418.15 transcript:ENSMUST00000174230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpp40 description:ribonuclease P 40 subunit [Source:MGI Symbol;Acc:MGI:1346084] MATLRRLQEAPRHLLVCEKSNFGHDKSRHKHLVETHYHNYRVSFLIPECGLLSKELKSLV MDIGPYYSVKNLPLHELITHEFINTFVKKGKLILSLDKDTYEETGLQGRPSRYSGRKSMK FVISIDLMDLSLNLDSKKYRRISWSFKEKKPLKFDFLLAWHPTGTEESTMMSYFSKYQIQ EHQPKVALSTVRELQCPVLRSSGLAGEPEEACSALEFFDWLGAVFCSADLNNEPYNFIST YCCPQPSAVVAQAFLCTITGFILPEKIHVLLEQLCHYFDEPKLAPWVTLTVQGFADSPVA WREKEHGFHKGGEHLYNFVVFNNQDYWLQMAVGANDDCPP >ENSMUSP00000021209.7 pep:known chromosome:GRCm38:11:75768966:75796049:-1 gene:ENSMUSG00000020848.7 transcript:ENSMUST00000021209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Doc2b description:double C2, beta [Source:MGI Symbol;Acc:MGI:1100497] MTLRRRGEKATISIQEHMAIDVCPGPIRPIKQISDYFPRFPRGLPPTAAPRAPAPPDAPA RSPAASASPRSPSDGARDDDEDVDQLFGAYGASPGPSPGPSPARPPAKPPEDEPDVDGYE SDDCTALGTLDFSLLYDQENNALHCTISKAKGLKPMDHNGLADPYVKLHLLPGASKANKL RTKTLRNTLNPSWNETLTYYGITDEDMVRKTLRISVCDEDKFRHNEFIGETRVPLKKLKP NHTKTFSICLEKQLPVDKAEDKSLEERGRILISLKYSSQKQGLLVGIVRCAHLAAMDANG YSDPYVKTYLKPDVDKKSKHKTAVKKKTLNPEFNEEFCYEIKHGDLAKKTLEVTVWDYDI GKSNDFIGGVVLGINAKGERLKHWFDCLKNKDKRIERWHTLTNELPGAVLSD >ENSMUSP00000119782.1 pep:known chromosome:GRCm38:1:180432387:180483467:-1 gene:ENSMUSG00000053963.6 transcript:ENSMUST00000136521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330403A02Rik description:RIKEN cDNA 6330403A02 gene [Source:MGI Symbol;Acc:MGI:2138735] MEPLHKDAETAAAAAAVAAADPRGASSSSGVVVQVREKKGPLRAAIPYMPFPVAVICLFL NTFVPGLGTFVSAFTVLCGARTDLPDRHVCCVFWLNIAAALIQVLTAIVMVGWIMSIFWG MDMVILAISQGYKDQGIPQQL >ENSMUSP00000137089.1 pep:known chromosome:GRCm38:1:180438329:180483504:-1 gene:ENSMUSG00000053963.6 transcript:ENSMUST00000179826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330403A02Rik description:RIKEN cDNA 6330403A02 gene [Source:MGI Symbol;Acc:MGI:2138735] MEPLHKDAETAAAAAAVAAADPRGASSSSGVVVQVREKKGPLRAAIPYMPFPVAVICLFL NTFVPGLGTFVSAFTVLCGARTDLPDRHVCCVFWLNIAAALIQVLTAIVMVGWIMSIFWG MDMVILASEQGYKDQGIPQQL >ENSMUSP00000139205.1 pep:known chromosome:GRCm38:4:12906838:12980873:1 gene:ENSMUSG00000055963.12 transcript:ENSMUST00000143186.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triqk description:triple QxxK/R motif containing [Source:MGI Symbol;Acc:MGI:3650048] MGRKDSSNTKLPVDQYRKQIGKQDYKKTKPILRATKLKAEAKKTAIGIKEVGLMLAAILA LLLAFYAFFYLRLSTNIDSDLDLDED >ENSMUSP00000138966.1 pep:known chromosome:GRCm38:4:12906858:12983553:1 gene:ENSMUSG00000055963.12 transcript:ENSMUST00000183345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triqk description:triple QxxK/R motif containing [Source:MGI Symbol;Acc:MGI:3650048] MGRKDSSNTKLPVDQYRKQIGKQDYKKTKPILRATKLKAEAKKTAIGIKEVGLMLAAILA LLLAFYAFFYLRLSTNIDSDLDLDED >ENSMUSP00000125498.1 pep:known chromosome:GRCm38:17:44495987:44736176:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000162816.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] MRIPVDPSTSRRFSPPSSSLQPGKMSDVSPVVAAQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQEAAAAAAAAAAAAAAAAAAVPRLRPPHDNRTMVEIIADHPAELVRTDSPNFLCSVLP SHWRCNKTLPVAFKVVALGEVPDGTVVTVMAGNDENYSAELRNASAVMKNQVARFNDLRF VGRSGRGKSFTLTITVFTNPPQVATYHRAIKVTVDGPREPRNPRQAQSSPPWSYDQSYPS YLSQMTSPSIHSTTPLSSTRGTGLPAITDVPRRISARSRCSLR >ENSMUSP00000109202.2 pep:known chromosome:GRCm38:17:44604001:44736648:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000113572.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] MRIPVDPSTSRRFSPPSSSLQPGKMSDVSPVVAAQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQEAAAAAAAAAAAAAAAAAAVPRLRPPHDNRTMVEIIADHPAELVRTDSPNFLCSVLP SHWRCNKTLPVAFKVVALGEVPDGTVVTVMAGNDENYSAELRNASAVMKNQVARFNDLRF VGRSGRGKSFTLTITVFTNPPQVATYHRAIKVTVDGPREPRRHRQKLDDSKPSLFSDRLS DLGRIPHPSMRVGVPPQNPRPSLNSAPSPFNPQGQSQITDPRQAQSSPPWSYDQSYPSYL SQMTSPSIHSTTPLSSTRGTGLPAITDVPRRISDDDTATSDFCLWPSSLSKKSQAGASEL GPFSDPRQFPSISSLTESRFSNPRMHYPATFTYTPPVTSGMSLGMSATTHYHTYLPPPYP GSSQSQSGPFQTSSTPYLYYGTSSASYQFPMVPGGDRSPSRMVPPCTTTSNGSTLLNPNL PNQNDGVDADGSHSSSPTVLNSSGRMDESVWRPY >ENSMUSP00000123707.1 pep:known chromosome:GRCm38:17:44606260:44735710:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000162878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] MRIPVDPSTSRRFSPPSSSLQPGKMSDVSPVVAAQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQEAAAAAAAAAAAAAAAAAAVPRLRPPHDNRTMVEIIADHPAELVRTDSPNFLCSVLP SHWRCNKTLPVAFKVVALGEVPDGTVVTVMAGNDENYSAELRNASAVMKNQVARFNDLRF VGRSGRDPRQAQSSPPWSYDQSYPSYLSQMTSPSIHSTTPLSSTRGTGLPAITDVPRRIS DDDTATSDFCLWPSSLSKKSQAGASELGPFSDPRQFPSISSLTESRFSNPRMHYPATFTY TPPVTSGMSLGMSATTHYHTYLPPPYPGSSQSQSGPFQTSSTPYLYYGTSSASYQFPMVP GGDRSPSRMVPPCTTTSNGSTLLNPNLPNQNDGVDADGSHSSSPTVLNSSGRMDESVWRP Y >ENSMUSP00000124918.1 pep:known chromosome:GRCm38:17:44607531:44814581:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000159943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] MASNSLFSAVTPCQQSFFWDPSTSRRFSPPSSSLQPGKMSDVSPVVAAQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQEAAAAAAAAAAAAAAAAAAVPRLRPPHDNRTMVEIIADHPAEL VRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGEVPDGTVVTVMAGNDENYSAELRNASA VMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKVTVDGPREPRRHRQK LDDSKPSLFSDRLSDLGRIPHPSMRVGVPPQNPRPSLNSAPSPFNPQGQSQITDPRQAQS SPPWSYDQSYPSYLSQMTSPSIHSTTPLSSTRGTGLPAITDVPRRISDDDTATSDFCLWP SSLSKKSQAGASELGPFSDPRQFPSISSLTESRFSNPRMHYPATFTYTPPVTSGMSLGMS ATTHYHTYLPPPYPGSSQSQSGPFQTSSTPYLYYGTSSASYQFPMVPGGDRSPSRMVPPC TTTSNGSTLLNPNLPNQNDGVDADGSHSSSPTVLNSSGRMDESVWRPY >ENSMUSP00000124494.1 pep:known chromosome:GRCm38:17:44607819:44735634:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000162373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] MRIPVDPSTSRRFSPPSSSLQPGKMSDVSPVVAAQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQEAAAAAAAAAAAAAAAAAAVPRLRPPHDNRTMVEIIADHPAELVRTDSPNFLCSVLP SHWRCNKTLPVAFKVVALGEVPDGTVVTVMAGNDENYSAELRNASAVMKNQVARFNDLRF VGRSGRGKSFTLTITVFTNPPQVATYHRAIKVTVDGPREPRRHRQKLDDSKPSLFSDRLS DLGRIPHPSMRVGVPPQNPRPSLNSAPSPFNPQGQSQITDPRQAQSSPPWSYDQSYPSYL SQMTSPSIHSTTPLSSTRGTGLPAITDVPRRISGASELGPFSDPRQFPSISSLTESRFSN PRMHYPATFTYTPPVTSGMSLGMSATTHYHTYLPPPYPGSSQSQSGPFQTSSTPYLYYGT SSASYQFPMVPGGDRSPSRMVPPCTTTSNGSTLLNPNLPNQNDGVDADGSHSSSPTVLNS SGRMDESVWRPY >ENSMUSP00000124374.1 pep:known chromosome:GRCm38:17:44607819:44814226:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000162629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] MASNSLFSAVTPCQQSFFWDPSTSRRFSPPSSSLQPGKMSDVSPVVAAQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQEAAAAAAAAAAAAAAAAAAVPRLRPPHDNRTMVEIIADHPAEL VRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGEVPDGTVVTVMAGNDENYSAELRNASA VMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKVTVDGPREPRRHRQK LDDSKPSLFSDRLSDLGRIPHPSMRVGVPPQNPRPSLNSAPSPFNPQGQSQITDPRQAQS SPPWSYDQSYPSYLSQMTSPSIHSTTPLSSTRGTGLPAITDVPRRISGASELGPFSDPRQ FPSISSLTESRFSNPRMHYPATFTYTPPVTSGMSLGMSATTHYHTYLPPPYPGSSQSQSG PFQTSSTPYLYYGTSSASYQFPMVPGGDRSPSRMVPPCTTTSNGSTLLNPNLPNQNDGVD ADGSHSSSPTVLNSSGRMDESVWRPY >ENSMUSP00000123743.1 pep:known chromosome:GRCm38:17:44607905:44814627:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000160673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] MLHSPHKQPQNHKCGANFLQEDCKKALAFKWLISAGHYQPPRPTESFKAASSIYNRGHKF YLEKKGGTMASNSLFSAVTPCQQSFFWDPSTSRRFSPPSSSLQPGKMSDVSPVVAAQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQEAAAAAAAAAAAAAAAAAAVPRLRPPHDNRTMVEI IADHPAELVRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGEVPDGTVVTVMAGNDENYS AELRNASAVMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKVTVDGPR EPRRHRQKLDDSKPSLFSDRLSDLGRIPHPSMRVGVPPQNPRPSLNSAPSPFNPQGQSQI TDPRQAQSSPPWSYDQSYPSYLSQMTSPSIHSTTPLSSTRGTGLPAITDVPRRISDDDTA TSDFCLWPSSLSKKSQAGASELGPFSDPRQFPSISSLTESRFSNPRMHYPATFTYTPPVT SGMSLGMSATTHYHTYLPPPYPGSSQSQSGPFQTSSTPYLYYGTSSASYQFPMVPGGDRS PSRMVPPCTTTSNGSTLLNPNLPNQNDGVDADGSHSSSPTVLNSSGRMDESVWRPY >ENSMUSP00000109198.1 pep:known chromosome:GRCm38:17:44608001:44814797:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000113568.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] MLHSPHKQPQNHKCGANFLQEDCKKALAFKWLISAGHYQPPRPTESFKAASSIYNRGHKF YLEKKGGTMASNSLFSAVTPCQQSFFWGCSPRRGTRWDCGYRHGRE >ENSMUSP00000124199.1 pep:known chromosome:GRCm38:17:44608315:44735366:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000162130.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] XDNRTMVEIIADHPAELVRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGEVPDGTVVTV MAGNDENYSAELRNASAVMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRA IKVTVDGPREPRNPRQAQSSPPWSYDQSYPSYLSQMTSPSIHSTTPLSSTRGTGLPAITD VPRRISDDDTATSDFCLWPSSLSKKSQAGASELGPFSDPRQFPSISSLTES >ENSMUSP00000125196.1 pep:known chromosome:GRCm38:17:44639681:44734693:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000160199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] XWGEMRCQSRSVVALGEVPDGTVVTVMAGNDENYSAELRNASAVMKNQVARFNDLRFVGR SGRGKSFTLTITVFTNPPQVATYHRAIKVTVDGPREPRNPRQAQSSPPWSYDQSYPSYLS QMTSPSIHSTTPLSSTRGTGLPAITDV >ENSMUSP00000125284.1 pep:known chromosome:GRCm38:17:44639777:44814264:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000160672.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] XGHKFYLEKKGGTMASNSLFSAVTPCQQSFFWGCSPRRGTRWDCGYRHGRE >ENSMUSP00000109201.3 pep:known chromosome:GRCm38:17:44604001:44814797:-1 gene:ENSMUSG00000039153.16 transcript:ENSMUST00000113571.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx2 description:runt related transcription factor 2 [Source:MGI Symbol;Acc:MGI:99829] MASNSLFSAVTPCQQSFFWDPSTSRRFSPPSSSLQPGKMSDVSPVVAAQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQEAAAAAAAAAAAAAAAAAAVPRLRPPHDNRTMVEIIADHPAEL VRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGEVPDGTVVTVMAGNDENYSAELRNASA VMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKVTVDGPREPRRHRQK LDDSKPSLFSDRLSDLGRIPHPSMRVGVPPQNPRPSLNSAPSPFNPQGQSQITDPRQAQS SPPWSYDQSYPSYLSQMTSPSIHSTTPLSSTRGTGLPAITDVPRRISDDDTATSDFCLWP SSLSKKSQAGASELGPFSDPRQFPSISSLTESRFSNPRMHYPATFTYTPPVTSGMSLGMS ATTHYHTYLPPPYPGSSQSQSGPFQTSSTPYLYYGTSSASYQFPMVPGGDRSPSRMVPPC TTTSNGSTLLNPNLPNQNDGVDADGSHSSSPTVLNSSGRMDESVWRPY >ENSMUSP00000095936.3 pep:known chromosome:GRCm38:7:81347026:81454758:-1 gene:ENSMUSG00000025586.17 transcript:ENSMUST00000098331.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb1 description:cytoplasmic polyadenylation element binding protein 1 [Source:MGI Symbol;Acc:MGI:108442] MAFSLEEAAGRIKDCWDNQEVPALSTCSNANIFRRINAILDDSLDFSKVCTTPINRGIHD QLPDFQDSEETVTSRMLFPTSAQESPRGLPDANGLCLGLQSLSLTGWDRPWSTQDSDSSA QSSTQSVLSMLQNPLGNVLGKAPLSFLSLDPLGSDLDKFPAPSVRGSRLDTRPILDSRSS SPSDSDTSGFSSGSDHLSDLISSLRISPPLPFLSMTGNGPRDPLKMGVGSRMDQEQAALA AVAPSPTSAPKRWPGASVWPSWDLLGAPKDPFSIEREARLHRQAAAVNEATCTWSGQLPP RNYKNPIYSCKVFLGGVPWDITEAGLVNTFRVFGSLSVEWPGKDGKHPRCPPKGNMPKGY VYLVFELEKSVRALLQACSHDPLSPDGLSEYYFKMSSRRMRCKEVQVIPWVLADSNFVWS PSQRLDPSRTVFVGALHGMLNAEALAAILNDLFGGVVYAGIDTDKHKYPIGSGRVTFNNQ RSYLKAVTAAFVEIKTTKFTKKVQIDPYLEDSLCLICSSQPGPFFCRDQVCFKYFCRSCW HWRHSMEGLRHHSPLMRNQKN >ENSMUSP00000120139.1 pep:known chromosome:GRCm38:7:81347028:81436377:-1 gene:ENSMUSG00000025586.17 transcript:ENSMUST00000130310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb1 description:cytoplasmic polyadenylation element binding protein 1 [Source:MGI Symbol;Acc:MGI:108442] EEAAGRIKDCWDNQEVPALSTCSNANIFRRINAILDDSLDFSKVCTTPINRGIHDQLPDF QDSEETVTSRMLFPTSAQESPRGLPDANGLCLGLQSLSLTGWDRPWSTQDSDSSAQSSTQ SVLSMLQNPLGNVLGKAPLSFLSLDPLGSDLDKFPAPSVRGSRLDTRPILDSRSSSPSDS DTSGFSSGSDHLSDLISSLRISPPLPFLSMTGNGPRDPLKMGVGSRMDQEQAALAAVAPS PTSAPKRWPGASVWPSWDLLGAPKDPFSIEREARLHRQAAAVNEATCTWSGQLPPRNYKN PIYSCKVFLGGVPWDITEAGLVNTFRVFGSLSVEWPGKDGKHPRCPPKGYVYLVFELEKS VRALLQACSHDPLSPDGLSEYYFKMSSRRMRCKEVQVIPWVLADSNFVWSPSQRLDPSRT VFVGALHGMLNAEALAAILNDLFGGVVYAGIDTDKHKYPIGSGRVTFNNQRSYLKAVTAA FVEIKTTKFTKKVQIDPYLEDSLCLICSSQPGPFFCRDQVCFKYFCRSCWHWRHSMEGLR HHSPLMRNQKN >ENSMUSP00000137079.1 pep:known chromosome:GRCm38:7:81348280:81454675:-1 gene:ENSMUSG00000025586.17 transcript:ENSMUST00000178892.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb1 description:cytoplasmic polyadenylation element binding protein 1 [Source:MGI Symbol;Acc:MGI:108442] MAFSLEEAAGRIKDCWDNQEVPALSTCSNANIFRRINAILDDSLDFSKVCTTPINRGIHD QLPADFQDSEETVTSRMLFPTSAQESPRGLPDANGLCLGLQSLSLTGWDRPWSTQDSDSS AQSSTQSVLSMLQNPLGNVLGKAPLSFLSLDPLGSDLDKFPAPSVRGSRLDTRPILDSRS SSPSDSDTSGFSSGSDHLSDLISSLRISPPLPFLSMTGNGPRDPLKMGVGSRMDQEQAAL AAVAPSPTSAPKRWPGASVWPSWDLLGAPKDPFSIEREARLHRQAAAVNEATCTWSGQLP PRNYKNPIYSCKVFLGGVPWDITEAGLVNTFRVFGSLSVEWPGKDGKHPRCPPKGNMPKG YVYLVFELEKSVRALLQACSHDPLSPDGLSEYYFKMSSRRMRCKEVQVIPWVLADSNFVW SPSQRLDPSRTVFVGALHGMLNAEALAAILNDLFGGVVYAGIDTDKHKYPIGSGRVTFNN QRSYLKAVTAAFVEIKTTKFTKKVQIDPYLEDSLCLICSSQPGPFFCRDQVCFKYFCRSC WHWRHSMEGLRHHSPLMRNQKN >ENSMUSP00000028639.6 pep:known chromosome:GRCm38:2:115863064:116065047:-1 gene:ENSMUSG00000027210.20 transcript:ENSMUST00000028639.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis2 description:Meis homeobox 2 [Source:MGI Symbol;Acc:MGI:108564] MAQRYDELPHYGGMDGVGVPASMYGDPHAPRPIPPVHHLNHGPPLHATQHYGAHAPHPNV MPASMGSAVNDALKRDKDAIYGHPLFPLLALVFEKCELATCTPREPGVAGGDVCSSDSFN EDIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLK GKMPIDLVIDERDGSSKSDHEELSGSSTNLADHNPSSWRDHDDATSTHSAGTPGPSSGGH ASQSGDNSSEQGDGLDNSVASPGTGDDDDPDKDKKRQKKRGIFPKVATNIMRAWLFQHLT HPYPSEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAGFLLDPSVSQGAAYS PEGQPMGSFVLDGQQHMGIRPAGLQSMPGDYVSQGGPMGMGMAQPSYTPPQMTPHPTQLR HGPPMHSYLPSHPHHPAMVMHGGPPTHPGMTMSAQSPTMLNSVDPNVGGQVMDIHAQ >ENSMUSP00000099597.3 pep:known chromosome:GRCm38:2:115863064:116065047:-1 gene:ENSMUSG00000027210.20 transcript:ENSMUST00000102538.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis2 description:Meis homeobox 2 [Source:MGI Symbol;Acc:MGI:108564] MAQRYDELPHYGGMDGVGVPASMYGDPHAPRPIPPVHHLNHGPPLHATQHYGAHAPHPNV MPASMGSAVNDALKRDKDAIYGHPLFPLLALVFEKCELATCTPREPGVAGGDVCSSDSFN EDIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLK GKMPIDLVIDERDGSSKSDHEELSGSSTNLADHNPSSWRDHDDATSTHSAGTPGPSSGGH ASQSGDNSSEQGDGLDNSVASPGTGDDDDPDKDKKRQKKRGIFPKVATNIMRAWLFQHLT HPYPSEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAVSQGAAYSPEGQPMG SFVLDGQQHMGIRPAGLQSMPGDYVSQGGPMGMGMAQPSYTPPQMTPHPTQLRHGPPMHS YLPSHPHHPAMVMHGGPPTHPGMTMSAQSPTMLNSVDPNVGGQVMDIHAQ >ENSMUSP00000106532.1 pep:known chromosome:GRCm38:2:115863064:116065839:-1 gene:ENSMUSG00000027210.20 transcript:ENSMUST00000110907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis2 description:Meis homeobox 2 [Source:MGI Symbol;Acc:MGI:108564] MAQRYDELPHYGGMDGVGVPASMYGDPHAPRPIPPVHHLNHGPPLHATQHYGAHAPHPNV MPASMGSAVNDALKRDKDAIYGHPLFPLLALVFEKCELATCTPREPGVAGGDVCSSDSFN EDIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLK GKMPIDLVIDERDGSSKSDHEELSGSSTNLADHNPSSWRDHDDATSTHSAGTPGPSSGGH ASQSGDNSSEQGDGLDNSVASPGTGDDDDPDKDKKRQKKRGIFPKVATNIMRAWLFQHLT HPYPSEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAGFLLDPSVSQGAAYS PEGQPMGSFVLDGQQHMGIRPAGPMSGMGMNMGMDGQWHYM >ENSMUSP00000106533.2 pep:known chromosome:GRCm38:2:115863064:116065839:-1 gene:ENSMUSG00000027210.20 transcript:ENSMUST00000110908.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis2 description:Meis homeobox 2 [Source:MGI Symbol;Acc:MGI:108564] MAQRYDELPHYGGMDGVGVPASMYGDPHAPRPIPPVHHLNHGPPLHATQHYGAHAPHPNV MPASMGSAVNDALKRDKDAIYGHPLFPLLALVFEKCELATCTPREPGVAGGDVCSSDSFN EDIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLK GKMPIDLVIDERDGSSKSDHEELSGSSTNLADHNPSSWRDHDDATSTHSAGTPGPSSGGH ASQSGDNSSEQGDGLDNSVASPGTGDDDDPDKDKKRQKKRGIFPKVATNIMRAWLFQHLT HPYPSEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAVSQGAAYSPEGQPMG SFVLDGQQHMGIRPAGPMSGMGMNMGMDGQWHYM >ENSMUSP00000106531.2 pep:known chromosome:GRCm38:2:115863145:116064721:-1 gene:ENSMUSG00000027210.20 transcript:ENSMUST00000110906.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis2 description:Meis homeobox 2 [Source:MGI Symbol;Acc:MGI:108564] MFLYDELPHYGGMDGVGVPASMYGDPHAPRPIPPVHHLNHGPPLHATQHYGAHAPHPNVM PASMGSAVNDALKRDKDAIYGHPLFPLLALVFEKCELATCTPREPGVAGGDVCSSDSFNE DIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKG KMPIDLVIDERDGSSKSDHEELSGSSTNLADHNPSSWRDHDDATSTHSAGTPGPSSGGHA SQSGDNSSEQGDGLDNSVASPGTGDDDDPDKDKKRQKKRGIFPKVATNIMRAWLFQHLTH PYPSEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAGFLLDPSVSQGAAYSP EGQPMGSFVLDGQQHMGIRPAGPMSGMGMNMGMDGQWHYM >ENSMUSP00000073898.6 pep:known chromosome:GRCm38:2:115863300:116064512:-1 gene:ENSMUSG00000027210.20 transcript:ENSMUST00000074285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meis2 description:Meis homeobox 2 [Source:MGI Symbol;Acc:MGI:108564] MFLYDELPHYGGMDGVGVPASMYGDPHAPRPIPPVHHLNHGPPLHATQHYGAHAPHPNVM PASMGSAVNDALKRDKDAIYGHPLFPLLALVFEKCELATCTPREPGVAGGDVCSSDSFNE DIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKG KMPIDLVIDERDGSSKSDHEELSGSSTNLADHNPSSWRDHDDATSTHSAGTPGPSSGGHA SQSGDNSSEQGDGLDNSVASPGTGDDDDPDKDKKRQKKRGIFPKVATNIMRAWLFQHLTH PYPSEEQKKQLAQDTGLTILQVNNWFINARRRIVQPMIDQSNRAVSQGAAYSPEGQPMGS FVLDGQQHMGIRPAGPMSGMGMNMGMDGQWHYM >ENSMUSP00000088336.5 pep:known chromosome:GRCm38:2:71981240:72257474:1 gene:ENSMUSG00000049044.16 transcript:ENSMUST00000090826.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef4 description:Rap guanine nucleotide exchange factor (GEF) 4 [Source:MGI Symbol;Acc:MGI:1917723] MVAAHAAHSQSSAEWIACLDKRPLERSSEDVDIIFTRLKGVKAFEKFHPNLLRQICLCGY YENLEKGITLFRQGDIGTNWYAVLAGSLDVKVSETSSHQDAVTICTLGIGTAFGESILDN TPRHATIVTRESSELLRIEQEDFKALWEKYRQYMAGLLAPPYGVMETGSNNDRIPDKENT PLIEPHVPLRPAHTITKVPSEKILRAGKILRIAILSRAPHMIRDRKYHLKTYRQCCVGTE LVDWMIQQTSCVHSRTQAVGMWQVLLEDGVLNHVDQERHFQDKYLFYRFLDDEREDAPLP TEEEKKECDEELQDTMLLLSQMGPDAHMRMILRKPPGQRTVDDLEIIYDELLHIKALSHL STTVKRELAGVLIFESHAKGGTVLFNQGEEGTSWYIILKGSVNVVIYGKGVVCTLHEGDD FGKLALVNDAPRAASIVLREDNCHFLRVDKEDFNRILRDVEANTVRLKEHDQDVLVLEKV PAGNRAANQGNSQPQQKYTVMSGTPEKILEHFLETIRLEPSLNEATDSVLNDFVMMHCVF MPNTQLCPALVAHYHAQPSQGTEQERMDYALNNKRRVIRLVLQWAAMYGDLLQEDDVAMA FLEEFYVSVSDDARMMAAFKEQLPELEKIVKQISEDAKAPQKKHKVLLQQFNTGDERAQK RQPIRGSDEVLFKVYCIDHTYTTIRVPVAASVKEVISAVADKLGSGEGLIIVKMNSGGEK VVLKSNDVSVFTTLTINGRLFACPREQFDSLTPLPEQEGPTTGTVGTFELMSSKDLAYQM TTYDWELFNCVHELELIYHTFGRHNFKKTTANLDLFLRRFNEIQFWVVTEVCLCSQLSKR VQLLKKFIKIAAHCKEYKNLNSFFAIVMGLSNVAVSRLALTWEKLPSKFKKFYAEFESLM DPSRNHRAYRLTAAKLEPPLIPFMPLLIKDMTFTHEGNKTFIDNLVNFEKMRMIANTART VRYYRSQPFNPDAAQANKNHQDVRSYVRQLNVIDNQRTLSQMSHRLEPRRP >ENSMUSP00000099759.3 pep:known chromosome:GRCm38:2:71981287:72256376:1 gene:ENSMUSG00000049044.16 transcript:ENSMUST00000102698.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef4 description:Rap guanine nucleotide exchange factor (GEF) 4 [Source:MGI Symbol;Acc:MGI:1917723] MVAAHAAHSQSSAEWIACLDKRPLERSSEDVDIIFTRLKGVKAFEKFHPNLLRQICLCGY YENLEKGITLFRQGDIGTNWYAVLAGSLDVKVSETSSHQDAVTICTLGIGTAFGESILDN TPRHATIVTRESSELLRIEQEDFKALWEKYRQYMAGLLAPPYGVMETGSNNDRIPDKENV PSEKILRAGKILRIAILSRAPHMIRDRKYHLKTYRQCCVGTELVDWMIQQTSCVHSRTQA VGMWQVLLEDGVLNHVDQERHFQDKYLFYRFLDDEREDAPLPTEEEKKECDEELQDTMLL LSQMGPDAHMRMILRKPPGQRTVDDLEIIYDELLHIKALSHLSTTVKRELAGVLIFESHA KGGTVLFNQGEEGTSWYIILKGSVNVVIYGKGVVCTLHEGDDFGKLALVNDAPRAASIVL REDNCHFLRVDKEDFNRILRDVEANTVRLKEHDQDVLVLEKVPAGNRAANQGNSQPQQKY TVMSGTPEKILEHFLETIRLEPSLNEATDSVLNDFVMMHCVFMPNTQLCPALVAHYHAQP SQGTEQERMDYALNNKRRVIRLVLQWAAMYGDLLQEDDVAMAFLEEFYVSVSDDARMMAA FKEQLPELEKIVKQISEDAKAPQKKHKVLLQQFNTGDERAQKRQPIRGSDEVLFKVYCID HTYTTIRVPVAASVKEVISAVADKLGSGEGLIIVKMNSGGEKVVLKSNDVSVFTTLTING RLFACPREQFDSLTPLPEQEGPTTGTVGTFELMSSKDLAYQMTTYDWELFNCVHELELIY HTFGRHNFKKTTANLDLFLRRFNEIQFWVVTEVCLCSQLSKRVQLLKKFIKIAAHCKEYK NLNSFFAIVMGLSNVAVSRLALTWEKLPSKFKKFYAEFESLMDPSRNHRAYRLTAAKLEP PLIPFMPLLIKDMTFTHEGNKTFIDNLVNFEKMRMIANTARTVRYYRSQPFNPDAAQANK NHQDVRSYVRQLNVIDNQRTLSQMSHRLEPRRP >ENSMUSP00000028525.5 pep:known chromosome:GRCm38:2:72054604:72257472:1 gene:ENSMUSG00000049044.16 transcript:ENSMUST00000028525.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef4 description:Rap guanine nucleotide exchange factor (GEF) 4 [Source:MGI Symbol;Acc:MGI:1917723] MLYEKYRQYMAGLLAPPYGVMETGSNNDRIPDKENTPLIEPHVPLRPAHTITKVPSEKIL RAGKILRIAILSRAPHMIRDRKYHLKTYRQCCVGTELVDWMIQQTSCVHSRTQAVGMWQV LLEDGVLNHVDQERHFQDKYLFYRFLDDEREDAPLPTEEEKKECDEELQDTMLLLSQMGP DAHMRMILRKPPGQRTVDDLEIIYDELLHIKALSHLSTTVKRELAGVLIFESHAKGGTVL FNQGEEGTSWYIILKGSVNVVIYGKGVVCTLHEGDDFGKLALVNDAPRAASIVLREDNCH FLRVDKEDFNRILRDVEANTVRLKEHDQDVLVLEKVPAGNRAANQGNSQPQQKYTVMSGT PEKILEHFLETIRLEPSLNEATDSVLNDFVMMHCVFMPNTQLCPALVAHYHAQPSQGTEQ ERMDYALNNKRRVIRLVLQWAAMYGDLLQEDDVAMAFLEEFYVSVSDDARMMAAFKEQLP ELEKIVKQISEDAKAPQKKHKVLLQQFNTGDERAQKRQPIRGSDEVLFKVYCIDHTYTTI RVPVAASVKEVISAVADKLGSGEGLIIVKMNSGGEKVVLKSNDVSVFTTLTINGRLFACP REQFDSLTPLPEQEGPTTGTVGTFELMSSKDLAYQMTTYDWELFNCVHELELIYHTFGRH NFKKTTANLDLFLRRFNEIQFWVVTEVCLCSQLSKRVQLLKKFIKIAAHCKEYKNLNSFF AIVMGLSNVAVSRLALTWEKLPSKFKKFYAEFESLMDPSRNHRAYRLTAAKLEPPLIPFM PLLIKDMTFTHEGNKTFIDNLVNFEKMRMIANTARTVRYYRSQPFNPDAAQANKNHQDVR SYVRQLNVIDNQRTLSQMSHRLEPRRP >ENSMUSP00000052043.4 pep:known chromosome:GRCm38:6:42744039:42744992:1 gene:ENSMUSG00000095831.1 transcript:ENSMUST00000053647.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr453 description:olfactory receptor 453 [Source:MGI Symbol;Acc:MGI:3030287] MGKDNQTWIHEFILLGLSSDWNTEVSLFVLFLLMYLVTVLGNFLIIVLIRLDSRLHTPMY FFLTNLSLVDVSYATSIVPQLLAHFLATHKTIPFLSCAAQLFFSLGLGGIEFVLLAMMAY DRYVAVCNPLRYSAIMHTGLCSRMAIVSWVGGSINSVMQTAITFQLPMCTNVYIDHISCE LLAVVRLACVDTSANEVAIMVSSIILLMTPFCLVLLSYIQIISTILKIQSKEGRKKAFHT CASHLTVVALCYGMAIFTYIQPHSSPSVLQEKLISLFYAILTPMLNPMIYSLRNKEVKGA WQKLLGQFSEFTSKLKT >ENSMUSP00000110999.3 pep:known chromosome:GRCm38:X:20870166:20874733:1 gene:ENSMUSG00000001131.11 transcript:ENSMUST00000115342.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timp1 description:tissue inhibitor of metalloproteinase 1 [Source:MGI Symbol;Acc:MGI:98752] MMAPFASLASGILLLLSLIASSKACSCAPPHPQTAFCNSDLVIRAKFMGSPEINETTLYQ RYKIKMTKMLKGFKAVGNAADIRYAYTPVMESLCGYAHKSQNRSEEFLITGRLRNGNLHI SACSFLVPWRTLSPAQQRAFSKTYSAGCGVCTVFPCLSIPCKLESDTHCLWTDQVLVGSE DYQSRHFACLPRNPGLCTWRSLGAR >ENSMUSP00000009530.4 pep:known chromosome:GRCm38:X:20870221:20874735:1 gene:ENSMUSG00000001131.11 transcript:ENSMUST00000009530.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timp1 description:tissue inhibitor of metalloproteinase 1 [Source:MGI Symbol;Acc:MGI:98752] MMAPFASLASGILLLLSLIASSKACSCAPPHPQTAFCNSDLVIRAKFMGSPEINETTLYQ RYKIKMTKMLKGFKAVGNAADIRYAYTPVMESLCGYAHKSQNRSEEFLITGRLRNGNLHI SACSFLVPWRTLSPAQQRAFSKTYSAGCGVCTVFPCLSIPCKLESDTHCLWTDQVLVGSE DYQSRHFACLPRNPGLCTWRSLGAR >ENSMUSP00000031663.3 pep:known chromosome:GRCm38:4:104766317:104804548:1 gene:ENSMUSG00000029656.13 transcript:ENSMUST00000031663.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C8b description:complement component 8, beta polypeptide [Source:MGI Symbol;Acc:MGI:88236] MKIGAQVWRALAKSCLLCATLGCLHFPGSRGGKPDFFETKAVNGSLVKSRPVRSVAEAPA PIDCELSTWSSWTACDPCQKKRYRHTYLLRPSQFYGELCDLSDKEVEDCVTNQPCRSQVR CEGFVCAQTGRCVNRRLLCNGDNDCGDQSDEANCRRIYKNCQREMEQYWAIDRLASGINL FTNTFEGPVLDHRYYAGGCSPHYILDTNFRKPYNVESYTPQTKCEYEFTLTEYESYSDFE RLVIEKKTHMFNFTSGFKVDGVMDLGIKVESNEGKNYVTRTKRFAHTQSKFLHARSVLEV AHYKLKSRSLMLHYEFLQRVKSLPLEYSYGEYRDLLRDFGTHFITEAVLGGIYEYTLIMN KDAMEQGDYTLSHVTACAGGSFGIGGMVYKVYVKVGVSAKKCSDIMKEINERNKRSTMVE DLVVLVRGGTSEDITALAYKELPTPELMEAWGDAVKYNPAIIKIKAEPLYELVTATDFAY SSTVKQNLKKALEEFQSEVSSCRCAPCRGNGVPVLKGSRCECICPGGFQGTACEVTYRKD IPIDGKWSCWSDWSACSGGHKTRHRQCNNPAPHKGGSPCSGPASETLNC >ENSMUSP00000066940.6 pep:known chromosome:GRCm38:4:104766395:104804171:1 gene:ENSMUSG00000029656.13 transcript:ENSMUST00000065072.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C8b description:complement component 8, beta polypeptide [Source:MGI Symbol;Acc:MGI:88236] MKIGAQVWRALAKSCLLCATLGCLHFPGSRGGKPDFFETKAVNGSLVKSRPVRSVAEAPA PIDCELSTWSSWTACDPCQKKRYRHTYLLRPSQFYGELCDLSDKEVEDCVTNQPCRSQVR CEGFVCAQTGRCVNRRLLCNGDNDCGDQSDEANCRRIYKNCQREMEQYWAIDRLASGINL FTNTFEGPVLDHRYYAGGCSPHYILDTNFRKPYNVESYTPQQSKFLHARSVLEVAHYKLK SRSLMLHYEFLQRVKSLPLEYSYGEYRDLLRDFGTHFITEAVLGGIYEYTLIMNKDAMEQ GDYTLSHVTACAGGSFGIGGMVYKVYVKVGVSAKKCSDIMKEINERNKRSTMVEDLVVLV RGGTSEDITALAYKELPTPELMEAWGDAVKYNPAIIKIKAEPLYELVTATDFAYSSTVKQ NLKKALEEFQSEVSSCRCAPCRGNGVPVLKGSRCECICPGGFQGTACEVTYRKDIPIDGK WSCWSDWSACSGGHKTRHRQCNNPAPHKGGSPCSGPASETLNC >ENSMUSP00000001186.4 pep:known chromosome:GRCm38:6:86675151:86684522:-1 gene:ENSMUSG00000001158.13 transcript:ENSMUST00000001186.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp27 description:small nuclear ribonucleoprotein 27 (U4/U6.U5) [Source:MGI Symbol;Acc:MGI:1913868] MGRSRSRSPRRERRRSRSTSRDRERRRRERSRSRERDRRRSRSRSPHRRRSRSPRRHRST SPSPSRLKERRDEEKKETKEIKNKERQITEEDLEGKTEEEIEMMKLMGFASFDSTKGKKV DGSVNAYAINVSQKRKYRQYMNRKGGFNRPLDFIA >ENSMUSP00000109313.1 pep:known chromosome:GRCm38:6:86675672:86684522:-1 gene:ENSMUSG00000001158.13 transcript:ENSMUST00000113683.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp27 description:small nuclear ribonucleoprotein 27 (U4/U6.U5) [Source:MGI Symbol;Acc:MGI:1913868] MGRSRSRSPRRERRRSRSTSRDRERRRRERSRSRERDRRRSRSRSPHRRRSRSPRRHRST SPSPSRLKERRDEEKKETKEIKNKERQITEEDLEGKTEEEIEMMKLMGFASFDSTKGKKV DGSVNAYAINVSQKRKYRYACSPSCVCLRLERNVTTGLLHVGHVDIFHSLHVGLCCPNVG ITSICHQDWLL >ENSMUSP00000074115.3 pep:known chromosome:GRCm38:1:44551511:44796838:1 gene:ENSMUSG00000056870.9 transcript:ENSMUST00000074525.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gulp1 description:GULP, engulfment adaptor PTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1920407] MNRAFSRKKDKTWMHTPEALSKHYIPYNAKFLGSTEVEQPKGTEVVRDAVRKLKFARHIK KSEGQKIPKVELQISIYGVKILEPKTKEVQHNCQLHRISFCADDKTDKRIFTFICKDSES NKHLCFVFDSEKCAEEITLTIGQAFDLAYRKFLESGGKDVETRKQIAGMQKRIQDLETEN MELKNKVQDLESRLRTTQVSTSPAHGVTVMSPSTDIFDMIPFSPISHQSPTSARNGTQLP PIPSRSAETKRDLFGAEPFDPFNCGSGDFPPDIQSKLDEMQEGFKMGLTLEGTVFCLDPL DSRC >ENSMUSP00000125506.1 pep:known chromosome:GRCm38:1:44551693:44788874:1 gene:ENSMUSG00000056870.9 transcript:ENSMUST00000160854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gulp1 description:GULP, engulfment adaptor PTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1920407] MNRAFSRKKDKTWMHTPEALSKHYIPYNAKFLGSTEVEQPKGTEVVRDAVRKLKFARHIK KSEGQKIPKVELQISIYGVKILEPKTKEVQHNCQLHRISFCADDKTDKRIFTFICKDSES NKHLCFVFDSEKCAEEITLTIGQAFDLAYRKFLESGGKDVETRKQIAGMQKRIQDLETEN MELKNKVQDLESRLRTTQVSTSPAHGVTVMSPSTDIFDMIPFSPISHQSPTSARNGTQLP PIPSRSAETKRDLFGAEPFDPFNCGSGDFPPDIQSKLDEMQVTILKDYLFLLIWNNNKMF LPTLSPLLL >ENSMUSP00000124835.1 pep:known chromosome:GRCm38:1:44551693:44796826:1 gene:ENSMUSG00000056870.9 transcript:ENSMUST00000159555.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gulp1 description:GULP, engulfment adaptor PTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1920407] MNRAFSRKKVSWQYRSGAAKGNRSCERCCQEAEVCKTHQKI >ENSMUSP00000125346.1 pep:known chromosome:GRCm38:1:44552597:44708632:1 gene:ENSMUSG00000056870.9 transcript:ENSMUST00000161066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gulp1 description:GULP, engulfment adaptor PTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1920407] MNRAFSRKKDKTWM >ENSMUSP00000124756.1 pep:known chromosome:GRCm38:1:44689144:44796825:1 gene:ENSMUSG00000056870.9 transcript:ENSMUST00000162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gulp1 description:GULP, engulfment adaptor PTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1920407] MNRAFSRKKDKTWMHTPEALSKHYIPYNAKFLGSTEVEQPKGTEVVRDAVRKLKFARHIK KSEGQKIPKVELQISIYGVKILEPKTKEVQHNCQLHRISFCADDKTDKRIFTFICKDSES NKHLCFVFDSEKCAEEITLTIGQAFDLAYRKFLESGGKDVETRKQIAGMQKRSSRGSRCW IQDLETENMELKNKVQDLESRLRTTQVSTSPAHGVTVMSPSTDIFDMIPFSPISHQSPTS ARNGTQLPPIPSRSAETKRDLFGAEPFDPFNCGSGDFPPDIQSKLDEMQRQRWRGSKWD >ENSMUSP00000108504.1 pep:known chromosome:GRCm38:2:38692656:38712234:-1 gene:ENSMUSG00000026751.14 transcript:ENSMUST00000112883.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr5a1 description:nuclear receptor subfamily 5, group A, member 1 [Source:MGI Symbol;Acc:MGI:1346833] MDYSYDEDLDELCPVCGDKVSGYHYGLLTCESCKGFFKRTVQNNKHYTCTESQSCKIDKT QRKRCPFCRFQKCLTVGMRLEAVRADRMRGGRNKFGPMYKRDRALKQQKKAQIRANGFKL ETGPPMGVPPPPPPPPDYMLPPSLHAPEPKALVSGPPSGPLGDFGAPSLPMAVPGPHGPL AGYLYPAFSNRTIKSEYPEPYASPPQQPGPPYSYPEPFSGGPNVPELILQLLQLEPEEDQ VRARIVGCLQEPAKSRSDQPAPFSLLCRMADQTFISIVDWARRCMVFKELEVADQMTLLQ NCWSELLVLDHIYRQVQYGKEDSILLVTGQEVELSTVAVQAGSLLHSLVLRAQELVLQLH ALQLDRQEFVCLKFLILFSLDVKFLNNHSLVKDAQEKANAALLDYTLCHYPHCGDKFQQL LLCLVEVRALSMQAKEYLYHKHLGNEMPRNNLLIEMLQAKQT >ENSMUSP00000028084.4 pep:known chromosome:GRCm38:2:38692660:38714542:-1 gene:ENSMUSG00000026751.14 transcript:ENSMUST00000028084.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr5a1 description:nuclear receptor subfamily 5, group A, member 1 [Source:MGI Symbol;Acc:MGI:1346833] MDYSYDEDLDELCPVCGDKVSGYHYGLLTCESCKGFFKRTVQNNKHYTCTESQSCKIDKT QRKRCPFCRFQKCLTVGMRLEAVRADRMRGGRNKFGPMYKRDRALKQQKKAQIRANGFKL ETGPPMGVPPPPPPPPDYMLPPSLHAPEPKALVSGPPSGPLGDFGAPSLPMAVPGPHGPL AGYLYPAFSNRTIKSEYPEPYASPPQQPGPPYSYPEPFSGGPNVPELILQLLQLEPEEDQ VRARIVGCLQEPAKSRSDQPAPFSLLCRMADQTFISIVDWARRCMVFKELEVADQMTLLQ NCWSELLVLDHIYRQVQYGKEDSILLVTGQEVELSTVAVQAGSLLHSLVLRAQELVLQLH ALQLDRQEFVCLKFLILFSLDVKFLNNHSLVKDAQEKANAALLDYTLCHYPHCGDKFQQL LLCLVEVRALSMQAKEYLYHKHLGNEMPRNNLLIEMLQAKQT >ENSMUSP00000041585.3 pep:known chromosome:GRCm38:6:124808661:124810619:1 gene:ENSMUSG00000038451.13 transcript:ENSMUST00000047760.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb2 description:splA/ryanodine receptor domain and SOCS box containing 2 [Source:MGI Symbol;Acc:MGI:1315199] MGQTALARGSSSTPTSQALYSDFSPPEGLEELLSAPPPDLVAQRHHGWNPKDCSENIDVK EGGLCFERRPVAQSTDGVRGKRGYSRGLHAWEISWPLEQRGTHAVVGVATALAPLQADHY AALLGSNSESWGWDIGRGKLYHQSKGLEAPQYPAGPQGEQLVVPERLLVVLDMEEGTLGY SIGGTYLGPAFRGLKGRTLYPSVSAVWGQCQVRIRYMGERRVEEPQSLLHLSRLCVRHAL GDTRLGQISTLPLPPAMKRYLLYK >ENSMUSP00000118347.1 pep:known chromosome:GRCm38:6:124808885:124810336:1 gene:ENSMUSG00000038451.13 transcript:ENSMUST00000143040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb2 description:splA/ryanodine receptor domain and SOCS box containing 2 [Source:MGI Symbol;Acc:MGI:1315199] MGQTALARGSSSTPTSQALYSDFSPPEGLEELLSAPPPDLVAQRHHGWNPKDCSENIDVK EGGLCFERRPVAQSTDGVRGKRGYSRGLHAWEISWPLEQRGTHAVVGVATALAPLQADHY AALLGSNSESWGWDIGRGKLYHQSKGLEAPQYPAGPQGEQLVVPERLLVVLDMEEGTLGY SIGGTYLGPAFRGLKGRTLYPSVSAVWGQCQVRIRYMGERRVEEPQSLLHLSRLCVRHAL GDTR >ENSMUSP00000060124.4 pep:known chromosome:GRCm38:6:124808906:124810619:1 gene:ENSMUSG00000038451.13 transcript:ENSMUST00000052727.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb2 description:splA/ryanodine receptor domain and SOCS box containing 2 [Source:MGI Symbol;Acc:MGI:1315199] MGQTALARGSSSTPTSQALYSDFSPPEGLEELLSAPPPDLVAQRHHGWNPKDCSENIDVK EGGLCFERRPVAQSTDGVRGKRGYSRGLHAWEISWPLEQRGTHAVVGVATALAPLQADHY AALLGSNSESWGWDIGRGKLYHQSKGLEAPQYPAGPQGEQLVVPERLLVVLDMEEGTLGY SIGGTYLGPAFRGLKGRTLYPSVSAVWGQCQVRIRYMGERRVEEPQSLLHLSRLCVRHAL GDTRLGQISTLPLPPAMKRYLLYK >ENSMUSP00000122149.1 pep:known chromosome:GRCm38:6:124808919:124809938:1 gene:ENSMUSG00000038451.13 transcript:ENSMUST00000130160.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb2 description:splA/ryanodine receptor domain and SOCS box containing 2 [Source:MGI Symbol;Acc:MGI:1315199] MGQTALARGSSSTPTSQALYSDFSPPEGLEELLSAPPPDLVAQRHHGWNPKDCSENIDVK EGGLCFERRPVAQSTDGVRGKRGYSRGLHAWEISWPLEQRGTHAVVGVATALAPLQADHY AALLGSNSESWGWDIGRGKLYHQSKGLEAPQYPAGPQGEQLVVPERLLVVLDMEEGTLGY SIGGTYLGPAFRGLKGRTLYPSVSAVWGQCQ >ENSMUSP00000108092.1 pep:known chromosome:GRCm38:6:124809298:124810619:1 gene:ENSMUSG00000038451.13 transcript:ENSMUST00000112473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb2 description:splA/ryanodine receptor domain and SOCS box containing 2 [Source:MGI Symbol;Acc:MGI:1315199] MGQTALARGSSSTPTSQALYSDFSPPEGLEELLSAPPPDLVAQRHHGWNPKDCSENIDVK EGGLCFERRPVAQSTDGVRGKRGYSRGLHAWEISWPLEQRGTHAVVGVATALAPLQADHY AALLGSNSESWGWDIGRGKLYHQSKGLEAPQYPAGPQGEQLVVPERLLVVLDMEEGTLGY SIGGTYLGPAFRGLKGRTLYPSVSAVWGQCQVRIRYMGERRGEIRTRCGEITTLGNGLGW KLMVGAQEVGFLSLWPVT >ENSMUSP00000138084.1 pep:known chromosome:GRCm38:1:55248658:55300178:-1 gene:ENSMUSG00000025977.15 transcript:ENSMUST00000161810.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Boll description:bol, boule-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1922638] XDHGVQAAYHQVYASSAIAMPAPMMQPEPIKTVWSIHY >ENSMUSP00000134054.1 pep:known chromosome:GRCm38:1:55268856:55360741:-1 gene:ENSMUSG00000025977.15 transcript:ENSMUST00000173983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Boll description:bol, boule-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1922638] QTDSLSPSPNPVSPVPLNNPTSGPRYGTVIPNRIFVGGIDFKTNENDLRKFFSQYGSVKE VKIVNDRAGVSKGYGFITFETQEDAQKILQEAEKLNYKDKKLNIGPAIRKQQVGIPRSSL MPAAGTMYLTTSTGYPYTYHNGVAYFHTPEVTSVPPSWPSRSISSSPVMVAQPVYQQPAY HYQAPAQCLPGQWQWGVPQSPASSAPFLYLQPSEVIYQPVEIAQDGGCVPPPLSLMETSV PEPYSDHGVQAAYHQVYASSAIAMPAPMMQPEPIKTVWSIHY >ENSMUSP00000110066.3 pep:known chromosome:GRCm38:1:55300069:55362726:-1 gene:ENSMUSG00000025977.15 transcript:ENSMUST00000114423.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Boll description:bol, boule-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1922638] MPAAGTMYLTTSTGYPYTYHNGVAYFHTPEVTSVPPSWPSRSISSSPVMVAQPVYQQPAY HYQAPAQCLPGQWQWGVPQSPASSAPFLYLQPSEVIYQPVEIAQDGGCVPPPLSLMETSV PEPYSDHGVQAAYHQVYASSAIAMPAPMMQPEPIKVRSLF >ENSMUSP00000084868.3 pep:known chromosome:GRCm38:1:55300069:55363319:-1 gene:ENSMUSG00000025977.15 transcript:ENSMUST00000087585.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Boll description:bol, boule-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1922638] METESRAQSTNQTQTDSLSPSPNPVSPVPLNNPTSGPRYGTVIPNRIFVGGIDFKTNEND LRKFFSQYGSVKEVKIVNDRAGVSKGYGFITFETQEDAQKILQEAEKLNYKDKKLNIGPA IRKQQVGIPRSSLMPAAGTMYLTTSTGYPYTYHNGVAYFHTPEVTSVPPSWPSRSISSSP VMVAQPVYQQPAYHYQAPAQCLPGQWQWGVPQSPASSAPFLYLQPSEVIYQPVEIAQDGG CVPPPLSLMETSVPEPYSDHGVQAAYHQVYASSAIAMPAPMMQPEPIKVRSLF >ENSMUSP00000124962.2 pep:known chromosome:GRCm38:1:55300069:55363319:-1 gene:ENSMUSG00000025977.15 transcript:ENSMUST00000159564.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Boll description:bol, boule-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1922638] METESRAQSTNQTQTDSLSPSPNPVSPVPLNNPTSGPRYGTVIPNRIFVGGIDFKTNEND LRKFFSQYGSVKEVKIVNDRAGVSKGF >ENSMUSP00000123814.1 pep:known chromosome:GRCm38:1:55346257:55363469:-1 gene:ENSMUSG00000025977.15 transcript:ENSMUST00000159398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Boll description:bol, boule-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1922638] METESRAQSTNQTQTDSLSPSPNPVSPVPLNNPTSGPRYGTVIPNRIFVGGIDFKTNEND LRKFFSQYGSVKEVKIVNDRAGVSKGYGFITFETQEDAQKILQEAEKLNYKDKKLNIGPA IRKQQVGIPRMY >ENSMUSP00000074519.1 pep:known chromosome:GRCm38:13:23136485:23137381:1 gene:ENSMUSG00000060490.1 transcript:ENSMUST00000074992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r218 description:vomeronasal 1 receptor 218 [Source:MGI Symbol;Acc:MGI:2159691] MNKITQAIVFLSLAGPGVVGNILVFMRYVYTSALGTEKRPIDLILIHLALSNLIIICSTG VTDIVTVFYFRNFLGDIGCKMMVYLARMARGLSICTTCLLSVVQAVTISPRTTIWTKLKP QTSCQVLPFLLLFWIVNVLISSNLLSYIKAGSSLNRSVAATFIGHCYMLPSRHIIKWLFL SLMTLRDVIFQSLMGWSSGSMALHLYKHHKHVLYLHSSRFANNSPPEIRATWSVLILMAF FLFFYWVDFILSFYTGFTVTHDSTLLNIKVFLEFGYASFSPYVLISRDVHPPNVLHAH >ENSMUSP00000043753.7 pep:known chromosome:GRCm38:3:146852367:146984009:1 gene:ENSMUSG00000036745.15 transcript:ENSMUST00000037942.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll7 description:tubulin tyrosine ligase-like family, member 7 [Source:MGI Symbol;Acc:MGI:1918142] MPSLPQDGVIQGSSPVDLGTELPYQCTMKRKVRKKKKKGIITANVAGTKFEIVRLVIDEM GFMKTPDEDETSNLIWCDAAVQQEKITDLQNYQRINHFPGMGEICRKDFLARNMTKMIKS RPMDYTFVPRTWIFPSEYTQFQNYVKELKKKRKQKTFIVKPANGAMGHGISLIRNGDKVP SQDHLIVQEYIEKPFLMEGYKFDLRIYILVTSCDPLKIFLYHDGLVRMGTEKYIPPNESN LTQLYMHLTNYSVNKHNERFERNETEDKGSKRSIKWFTEFLQANQHDVTKFWSDISELVV KTLIVAEPHVLHAYRMCRPGQPPGSESVCFEVLGFDILLDRKLKPWLLEINRAPSFGTDQ KIDYDVKRGVLLNALKLLNIRTSDKRKNLAKQKAEAQRRLYGQNPVRRLSPGSSDWEQQR HQLERRKEELKERLLQVRKQVSQEEHENRHMGNYRRIYPPEDKALLEKYEGLLAVAFQTF LSGRAASFQREMNNPLKKMREEDLLDLLEQCEIDDEKLMGKTGRVRGPKPLCCMPECAEV TKKQKYYGSSDSSYDSSSSSSNSELDENEKELCQKRLDQVPYSLKHTSHCKIIQQSSGSH NLIYSESPVYLTTLVFLSEFPDSMRRSVSCPRSISAHLPSRGDVRPFSSQQVIPLARPTS ASRSHSLNRASSYARHLPHGSDTGSTNTLNESLRQLKTKEQEDDLTSQTLFVLKDMRIRF PGKSDAESELLIEDIMDNWKHYKTKVASYWLIKLDSVKQRKVLDIVKSSIRTVLPRIWRV PDAEELSLYRIFNRVFNRLLWSHGQGLWSCFCDSGSSWESIFSKSPEVVTPLQLQCCQRL VELCKQCLLVVYKYTTETRGPISGIGPDWGNSRYLLPGSTQFLMRSPLYNMKYNSPGMTR SNVLFTSRYGRL >ENSMUSP00000101740.1 pep:known chromosome:GRCm38:3:146894176:146979751:1 gene:ENSMUSG00000036745.15 transcript:ENSMUST00000106134.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll7 description:tubulin tyrosine ligase-like family, member 7 [Source:MGI Symbol;Acc:MGI:1918142] MPSLPQDGVIQGSSPVDLGTELPYQCTMKRKVRKKKKKGIITANVAGTKFEIVRLVIDEM GFMKTPDEDETSNLIWCDAAVQQEKITDLQNYQRINHFPGMGEICRKDFLARNMTKMIKS RPMDYTFVPRTWIFPSEYTQFQNYVKELKKKRKQKTFIVKPANGAMGHGISLIRNGDKVP SQDHLIVQEYIEKPFLMEGYKFDLRIYILVTSCDPLKIFLYHDGLVRMGTEKYIPPNESN LTQLYMHLTNYSVNKHNERFERNETEDKGSKRSIKWFTEFLQANQHDVTKFWSDISELVV KTLIVAEPHVLHAYRMCRPGQPPGSESVCFEVLGFDILLDRKLKPWLLEINRAPSFGTDQ KIDYDVKRGVLLNALKLLNIRTSDKRKNLAKQKAEAQRRLYGQNPVRRLSPGSSDWEQQR HQLERRKEELKERLLQVRKQVSQEEHENRHMGNYRRIYPPEDKALLEKYEGLLAVAFQTF LSGRAASFQREMNNPLKKMREEDLLDLLEQCEIDDEKLMGKTGRVRGPKPLCCMPECAEV TKKQKYYGSSDSSYDSSSSSSNSELDENEKELCQKRLDQVPYSLKHTSHCKIIQQSNSMR RSVSCPRSISAHLPSRGDVRPFSSQQVIPLARPTSASRSHSLNRASSYARHLPHGSDTGS TNTLNESLRQLKTKEQEDDLTSQTLFVLKDMRIRFPGKSDAESELLIEDIMDNWKHYKTK VASYWLIKLDSVKQRKVLDIVKSSIRTVLPRIWRVPDAEELSLYRIFNRVFNRLLWSHGQ GLWSCFCDSGSSWESIFSKSPEVVTPLQLQCCQRLVELCKQCLLVVYKYTTETRGPISGI GPDWGNSRYLLPGSTQFLMRSPLYNMKYNSPGMTRSNVLFTSRYGRL >ENSMUSP00000143151.1 pep:known chromosome:GRCm38:3:146896656:146898506:1 gene:ENSMUSG00000036745.15 transcript:ENSMUST00000124743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll7 description:tubulin tyrosine ligase-like family, member 7 [Source:MGI Symbol;Acc:MGI:1918142] ETSNLIWCDAAVQQEKITDLQNYQRINHFPGMGEICRKDFLARNMTK >ENSMUSP00000129369.1 pep:known chromosome:GRCm38:3:146852367:146982750:1 gene:ENSMUSG00000036745.15 transcript:ENSMUST00000170055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll7 description:tubulin tyrosine ligase-like family, member 7 [Source:MGI Symbol;Acc:MGI:1918142] MPSLPQDGVIQGSSPVDLGTELPYQCTMKRKVRKKKKKGIITANVAGTKFEIVRLVIDEM GFMKTPDEDETSNLIWCDAAVQQEKITDLQNYQRINHFPGMGEICRKDFLARNMTKMIKS RPMDYTFVPRTWIFPSEYTQFQNYVKELKKKRKQKTFIVKPANGAMGHGISLIRNGDKVP SQDHLIVQEYIEKPFLMEGYKFDLRIYILVTSCDPLKIFLYHDGLVRMGTEKYIPPNESN LTQLYMHLTNYSVNKHNERFERNETEDKGSKRSIKWFTEFLQANQHDVTKFWSDISELVV KTLIVAEPHVLHAYRMCRPGQPPGSESVCFEVLGFDILLDRKLKPWLLEINRAPSFGTDQ KIDYDVKRGVLLNALKLLNIRTSDKRKNLAKQKAEAQRRLYGQNPVRRLSPGSSDWEQQR HQLERRKEELKERLLQVRKQVSQEEHENRHMGNYRRIYPPEDKALLEKYEGLLAVAFQTF LSGRAASFQREMNNPLKKMREEDLLDLLEQCEIDDEKLMGKTGRVRGPKPLCCMPECAEV TKKQKYYGSSDSSYDSSSSSSNSELDENEKELCQKRLDQVPYSLKHTSHCKIIQQSNSMR RSVSCPRSISAHLPSRGDVRPFSSQQVIPLARPTSASRSHSLNRASSYARHLPHGSDTGS TNTLVSYQWNESLRQLKTKEQEDDLTSQTLFVLKDMRIRFPGKSDAESELLIEDIMDNWK HYKTKVASYWLIKLDSVKQRKVLDIVKSSIRTVLPRIWRVPDAEELSLYRIFNRVFNRLL WSHGQGLWSCFCDSGSSWESIFSKSPEVVTPLQLQCCQRLVELCKQCLLVVYKYTTETRG PISGIGPDWGNSRYLLPGSTQFLMRSPLYNMKYNSPGMTRSNVLFTSRYGRL >ENSMUSP00000046567.4 pep:known chromosome:GRCm38:X:18418427:18461371:-1 gene:ENSMUSG00000037358.6 transcript:ENSMUST00000044188.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930578C19Rik description:RIKEN cDNA 4930578C19 gene [Source:MGI Symbol;Acc:MGI:1923155] MESQWRGAAATAFHQHWLARLLLWVSTLSCSFSLPASLPPSLVPRVRSSYTMGKTFLGLD KCNACIGTSICKKFFKEEIRFDNSLASHLGLPPQDLHSYAANYSDDSKTWRPVEISQLVS RYQIEISDRRICASVSAPKTCSIERILQKTGRFQKWLQAKRLTPDLVQGLPSPFLRCPSQ RLLDRVVRRYAEVVDAGSIFMDHFTAGDKLRLLYTLAVNAHPIILQIFPGAEGWPMPRYL GSCGRFLVSTSTRPLQEFYDASPEQAADLAYQLLRVLESLRSNDLNYFFYFTHVDAGMFG IFDNGHLFIRDASALGIIDKQEGSQAAARTGENEDIFSCLVSDCQIQLSSCDTVPEKQSL VLVCQQLLPQLLQGKFPSPVQKEIDSALSLCSKDNSTDLEVLGATSWLKDILRSLRTCDP RFAYRYPDCKYNDRF >ENSMUSP00000123781.1 pep:known chromosome:GRCm38:1:16228804:16519228:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000162627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MLQINQMFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPG SITPTVELNGLAMKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRYHCPMPKIFYVQLTV GNNEFFGEGKTRQAARHNAAMKALQALQNEPIPEKSPQNGESGKEMDDDKDANKSEISLV FEIALKRNMPVSFEVIKESGPPHMKSFVTRVSVGEFSAEGEGNSKKLSKKRAATTVLQEL KKLPPLPVVEKPKLFFKKRPKTIVKAGPDYGQGMNPISRLAQIQQARKEKEPDYILLSER GMPRRREFVMQVKVGNEVATGTGPNKKIAKKNAAEAMLLQLGYKASTSLQDPLDKTGENK GWSGPKPGFPEPTNNTPKGILHLSPDVYQEMEASRHRVTSGTTLSYLSPKDMNQPSSSFF SVSPSSTSSATVARELLMNGTSPTAEAIGLKGSSPTSPCSSVQPSKQLEYLARIQGFQAA LSALKQFSEQGLESIDGAVNVEKGSLEKQAKHLREKADNNQAKPASISQDCKKSKSAI >ENSMUSP00000053190.6 pep:known chromosome:GRCm38:1:16228801:16519228:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000054668.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MANPKEKTPVCLVNELARFHSIQPQYKLLNESGPAHSKMFSVQLSLGEQTWESEGSSIKK AQQAVANKALTESTLPKPVQKPPKSNVNNNPGSITPTVELNGLAMKRGEPAIYRPLDPKP FPNYRANYNFRGMYNQRYHCPMPKIFYVQLTVGNNEFFGEGKTRQAARHNAAMKALQALQ NEPIPEKSPQNGESGKEMDDDKDANKSEISLVFEIALKRNMPVSFEVIKESGPPHMKSFV TRVSVGEFSAEGEGNSKKLSKKRAATTVLQELKKLPPLPVVEKPKLFFKKRPKTIVKAGP DYGQGMNPISRLAQIQQARKEKEPDYILLSERGMPRRREFVMQVKVGNEVATGTGPNKKI AKKNAAEAMLLQLGYKASTSLQDPLDKTGENKGWSGPKPGFPEPTNNTPKGILHLSPDVY QEMEASRHRVTSGTTLSYLSPKDMNQPSSSFFSVSPSSTSSATVARELLMNGTSPTAEAI GLKGSSPTSPCSSVQPSKQLEYLARIQGFQAALSALKQFSEQGLESIDGAVNVEKGSLEK QAKHLREKADNNQAKPASISQDCKKSKSAI >ENSMUSP00000118489.2 pep:known chromosome:GRCm38:1:16345594:16519307:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000149320.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MANPKEKTPVCLVNELARFHSIQPQYKLLNESGPAHSKMFSVQLSLGEQTWESEGSSIKK AQQAVANKALTESTLPKPVQKPPKSNVNNNPGSITPTVELNGLAMKRGEPAIYRPLDPKP FPNYRANYNFRGMYNQRYHCPMPKIFYVQLTVGNNEFFGEGKTRQAARHNAAMKALQALQ NEPIPEKSPQNGESGKEMDDDKDANKSEISLVFEIALKRNMPVSFEVIKESGPPHMKSFV TRVSVGEFSAEGEGNSKKLSKKRAATTVLQELKKLPPLPVVEKPKLFFKKRPKTIVKAGP DYGQGMNPISRLAQIQQARKEKEPDYILLSERGMPRRREFVMQVKVGNEVATGTGPNKKI AKKNAAEAMLLQLGYKASTSLQDPLDKTGENKGWSGPKPGFPEPTNNTPKGILHLSPDVY QEMEASRHRVTSGTTLSYLSPKDMNQPSSSFFSVSPSSTSSATVARELLMNGTSPTAEAI GLKGSSPTSPCSSVQPSKQLEYLARIQGFQV >ENSMUSP00000121410.2 pep:known chromosome:GRCm38:1:16343571:16520067:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000131257.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPGSITPTV ELNGLAMKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRYHCPMPKIFYVQLTVGNNEFF GEGKTRQAARHNAAMKALQALQNEPIPEKSPQNGESGKEMDDDKDANKSEISLVFEIALK RNMPVSFEVIKESGPPHMKSFVTRVSVGEFSAEGEGNSKKLSKKRAATTVLQELKKLPPL PVVEKPKLFFKKRPKTIVKAGPDYGQGMNPISRLAQIQQARKEKEPDYILLSERGMPRRR EFVMQVKVGNEVATGTGPNKKIAKKNAAEAMLLQLGYKASTSLQDPLDKTGENKGWSGPK PGFPEPTNNTPKGILHLSPDVYQEMEASRHRVTSGTTLSYLSPKDMNQPSSSFFSVSPSS TSSATVARELLMNGTSPTAEAIGLKGSSPTSPCSSVQPSKQLEYLARIQGFQV >ENSMUSP00000125726.1 pep:known chromosome:GRCm38:1:16343407:16519211:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000159558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MLQINQMFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPG SITPTVELNGLAMKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRYHCPMPKIFYVQLTV GNNEFFGEGKTRQAARHNAAMKALQALQNEPIPEKSPQNGESGKEMDDDKDANKSEISLV FEIALKRNMPVSFEVIKESGPPHMKSFVTRVSVGEFSAEGEGNSKKLSKKRAATTVLQEL KKLPPLPVVEKPKLFFKKRPKTIVKAGPDYGQGMNPISRLAQIQQARKEKEPDYILLSER GMPRRREFVMQVKVGNEVATGTGPNKKIAKKNAAEAMLLQLGYKASTSLQDPLDKTGENK GWSGPKPGFPEPTNNTPKGILHLSPDVYQEMEASRHRVTSGTTLSYLSPKDMNQPSSSFF SVSPSSTSSATVARELLMNGTSPTAEAIGLKGSSPTSPCSSVQPSKQLEYLARIQGFQV >ENSMUSP00000119130.2 pep:known chromosome:GRCm38:1:16460213:16520020:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000144138.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MANPKEKTPVCLVNELARFHSIQPQYKLLNESGPAHSKMFSVQLSLGEQTWESEGSSIKK AQQAVANKALTESTLPKPVQKPPKSNVNNNPVGKLKETVLSPAHEVMIVGITHYSADNFF LHWCL >ENSMUSP00000124505.1 pep:known chromosome:GRCm38:1:16228674:16519306:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000162751.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MANPKEKTPVCLVNELARFHSIQPQYKLLNESGPAHSKMFSVQLSLGEQTWESEGSSIKK AQQAVANKALTESTLPKPVQKPPKSNVNNNPGSITPTVELNGLAMKRGEPAIYRPLDPKP FPNYRANYNFRGMYNQRYHCPMPKIFYVQLTVGNNEFFGEGKTRQAARHNAAMKALQALQ NEPIPEKSPQNGESGKEMDDDKDANKSEISLVFEIALKRNMPVSFEVIKESGPPHMKSFV TRVSVGEFSAEGEGNSKKLSKKRAATTVLQELKKLPPLPVVEKPKLFFKKRPKTIVKAGP DYGQGMNPISRLAQIQQARKEKEPDYILLSERGMPRRREFVMQVKVGNEVATGTGPNKKI AKKNAAEAMLLQLGYKASTSLQDPLDKTGENKGWSGPKPGFPEPTNNTPKGILHLSPDVY QEMEASRHRVTSGTTLSYLSPKDMNQPSSSFFSVSPSSTSSATVARELLMNGTSPTAEAI GLKGSSPTSPCSSVQPSKQLEYLARIQGFQAALSALKQFSEQGLESIDGAVNVEKGSLEK QAKHLREKADNNQAKPASISQDCKKSKSAI >ENSMUSP00000111016.3 pep:known chromosome:GRCm38:1:16459715:16519260:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000115359.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MANPKEKTPVCLVNELARFHSIQPQYKLLNESGPAHSKMFSVQLSLGEQTWESEGSSIKK AQQAVANKALTESTLPKPVQKPPKSNVNNNPVGKLKETVLSPAHEVMIVGITHYSADNFF LHWCL >ENSMUSP00000027052.6 pep:known chromosome:GRCm38:1:16228810:16519306:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000027052.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MLQINQMFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPG SITPTVELNGLAMKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRYHCPMPKIFYVQLTV GNNEFFGEGKTRQAARHNAAMKALQALQNEPIPEKSPQNGESGKEMDDDKDANKSEISLV FEIALKRNMPVSFEVIKESGPPHMKSFVTRVSVGEFSAEGEGNSKKLSKKRAATTVLQEL KKLPPLPVVEKPKLFFKKRPKTIVKAGPDYGQGMNPISRLAQIQQARKEKEPDYILLSER GMPRRREFVMQVKVGNEVATGTGPNKKIAKKNAAEAMLLQLGYKASTSLQDPLDKTGENK GWSGPKPGFPEPTNNTPKGILHLSPDVYQEMEASRHRVTSGTTLSYLSPKDMNQPSSSFF SVSPSSTSSATVARELLMNGTSPTAEAIGLKGSSPTSPCSSVQPSKQLEYLARIQGFQAA LSALKQFSEQGLESIDGAVNVEKGSLEKQAKHLREKADNNQAKPASISQDCKKSKSAI >ENSMUSP00000124303.1 pep:known chromosome:GRCm38:1:16344291:16519240:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000162007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MLQINQMFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPG SITPTVELNGLAMKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRYHCPMPKIFYVQLTV GNNEFFGEGKTRQAARHNAAMKALQALQNEPIPEKSPQNGESGKEMDDDKDANKSEISLV FEIALKRNMPVSFEVIKESGPPHMKSFVTRVSVGEFSAEGEGNSKKLSKKRAATTVLQEL KKLPPLPVVEKPKLFFKKRPKTIVKAGPDYGQGMNPISRLAQIQQARKEKEPDYILLSER GMPRRREFVMQVKVGNEVATGTGPNKKIAKKNAAEAMLLQLGYKASTSLQDPLDKTGENK GWSGPKPGFPEPTNNTPKGILHLSPDVYQEMEASRHRVTSGTTLSYLSPKDMNQPSSSFF SVSPSSTSSATVARELLMNGTSPTAEAIGLKGSSPTSPCSSVQPSKQLEYLARIQGFQV >ENSMUSP00000122116.1 pep:known chromosome:GRCm38:1:16344299:16519253:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000127420.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MLQINQMFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPG SITPTVELNGLAMKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRGICKTTH >ENSMUSP00000122410.2 pep:known chromosome:GRCm38:1:16343486:16519247:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000128957.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MANPKEKTPVCLVNELARFHSIQPQYKLLNESGPAHSKMFSVQLSLGEQTWESEGSSIKK AQQAVANKALTESTLPKPVQKPPKSNVNNNPGSITPTVELNGLAMKRGEPAIYRPLDPKP FPNYRANYNFRGMYNQRYHCPMPKIFYVQLTVGNNEFFGEGKTRQAARHNAAMKALQALQ NEPIPEKSPQNGESGKEMDDDKDANKSEISLVFEIALKRNMPVSFEVIKESGPPHMKSFV TRVSVGEFSAEGEGNSKKLSKKRAATTVLQELKKLPPLPVVEKPKLFFKKRPKTIVKAGP DYGQGMNPISRLAQIQQARKEKEPDYILLSERGMPRRREFVMQVKVGNEVATGTGPNKKI AKKNAAEAMLLQLGYKASTSLQDPLDKTGENKGWSGPKPGFPEPTNNTPKGILHLSPDVY QEMEASRHRVTSGTTLSYLSPKDMNQPSSSFFSVSPSSTSSATVARELLMNGTSPTAEAI GLKGSSPTSPCSSVQPSKQLEYLARIQGFQV >ENSMUSP00000117537.2 pep:known chromosome:GRCm38:1:16440442:16520080:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000153966.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MANPKEKTPVCLVNELARFHSIQPQYKLLNESGPAHSKMFSVQLSLGEQTWESEGSSIKK AQQAVANKALTESTLPKPVQKPPKSNVNNNPGSITPT >ENSMUSP00000123827.1 pep:known chromosome:GRCm38:1:16228816:16520112:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000162435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MLQINQMFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPG SITPTVELNGLAMKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRYHCPMPKIFYVQLTV GNNEFFGEGKTRQAARHNAAMKALQALQNEPIPEKSPQNGESGKEMDDDKDANKSEISLV FEIALKRNMPVSFEVIKESGPPHMKSFVTRVSVGEFSAEGEGNSKKLSKKRAATTVLQEL KKLPPLPVVEKPKLFFKKRPKTIVKAGPDYGQGMNPISRLAQIQQARKEKEPDYILLSER GMPRRREFVMQVKVGNEVATGTGPNKKIAKKNAAEAMLLQLGYKASTSLQDPLDKTGENK GWSGPKPGFPEPTNNTPKGILHLSPDVYQEMEASRHRVTSGTTLSYLSPKDMNQPSSSFF SVSPSSTSSATVARELLMNGTSPTAEAIGLKGSSPTSPCSSVQPSKQLEYLARIQGFQAA LSALKQFSEQGLESIDGAVNVEKGSLEKQAKHLREKADNNQAKPASISQDCKKSKSAI >ENSMUSP00000125473.1 pep:known chromosome:GRCm38:1:16394143:16519270:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000151888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MKRGEPAIYRPLDPKPFPNYRANYNFRGMYNQRYHCPMPKIFYVQLTVGNNEFFG >ENSMUSP00000115041.1 pep:known chromosome:GRCm38:1:16460104:16520021:-1 gene:ENSMUSG00000025920.19 transcript:ENSMUST00000145092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau2 description:staufen (RNA binding protein) homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1352508] MLQINQMFSVQLSLGEQTWESEGSSIKKAQQAVANKALTESTLPKPVQKPPKSNVNNNPV GKLKETVLSPAHEVMIVGITHYSADNFFLHWCL >ENSMUSP00000026383.3 pep:known chromosome:GRCm38:X:152781986:152808646:1 gene:ENSMUSG00000025333.10 transcript:ENSMUST00000026383.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr143 description:G protein-coupled receptor 143 [Source:MGI Symbol;Acc:MGI:107193] MASPRLGIFCCPTWDAATQLVLSFQPRVFHALCLGSGTLRLVLGLLQLLSGRRSVGHRAP ATSPAASVHILRAATACDLLGCLGIVIRSTVWIAYPEFIENISNVNATDIWPATFCVGSA MWIQLLYSACFWWLFCYAVDVYLVIRRSAGRSTILLYHIMAWGLAVLLCVEGAVMLYYPS VSRCERGLDHAIPHYVTTYLPLLLVLVANPILFHKTVTSVASLLKGRKGVYTENERLMGA VIKTRFFKIMLVLIACWLSNIINESLLFYLEMQPDIHGGSLKRIQNAARTTWFIMGILNP AQGLLLSLAFYGWTGCSLDVHPPKMVIQWETMTASAAEGTYQTPVRSCVPHQNPRKVVCV GGHTSDEVLSILSEDSDASTVEIHTATGSCNIKEVDSISQAQGEL >ENSMUSP00000121804.1 pep:known chromosome:GRCm38:6:113596761:113600715:-1 gene:ENSMUSG00000033963.16 transcript:ENSMUST00000125139.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fancd2os description:Fancd2 opposite strand [Source:MGI Symbol;Acc:MGI:1918229] MAGYQLWSPWTPLDESFQWLRHTTPTPSSKHPFRASPCFPHTPSDLEVQLCLQEVTLVLD RPLVEPGESPKLPCHTSELRAVSNKKGVVRKPQPVRLSGVDSVFGRVITAQPPKWTGTFR VSDKSAFCKIISREHQWPTGLKEPQVQMTVTMCKQMLRSILLLYATYKKCTFALQHSK >ENSMUSP00000035316.4 pep:known chromosome:GRCm38:6:113596762:113600715:-1 gene:ENSMUSG00000033963.16 transcript:ENSMUST00000035870.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancd2os description:Fancd2 opposite strand [Source:MGI Symbol;Acc:MGI:1918229] MAGYQLWSPWTPLDESFQWLRHTTPTPSSKHPFRASPCFPHTPSDLEVQLCLQEVTLVLD RPLVEPGESPKLPCHTSELRAVSNKKGVVRKPQPVRLSGVDSVFGRVITAQPPKWTGTFR VSDKSAFCKIISREHQWPTGLKEPQVQMTVTMCKQMLRSILLLYATYKKCTFALQHSK >ENSMUSP00000127465.1 pep:known chromosome:GRCm38:17:18085057:18136643:1 gene:ENSMUSG00000091206.1 transcript:ENSMUST00000172359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r91 description:vomeronasal 2, receptor 91 [Source:MGI Symbol;Acc:MGI:3645072] MMSSWILIFWLLQVPKFFSAIMFNISRCYYIITEEFHHEGDVLIGAFFPLHTFYTGKKMP NSAVPYQYLDNYIQYNFKNYRYILALLFAIEEINGNPNLLPNTSLGFDFYNVRFTEKDTL DNVFIWLSALVQRNYLPNYNCKKRNFTAALTGTSWKTSAQIGTLLQFLKFPQITFGPYDP LLSDHSQYPSLYQMSPRDTSLSLAIVSLMVHFRWSWVGLIIPDDHKGNKILSDFREEMER KGICIAFVKMIPETWNLYFAKFWENMDETNVIIIYGDTDSLASLMRNIGQRLLTWNVWVM NIEHHVIDTADYFMLDLFHGSLIFKHHYRENFEFTKFIQTVNPNKYPEDIYLPKLWYFFF KCSFAGINCHVLANCQTNASLDILPSHIFDVAMNDESRNIYNGVYAVAHSLHEMTLQQIQ MQQFKNGEGMVSFPWQLNTFLKDIEVRDKKSLGWRQTIDEEYDILNLWNLPKGLGLKVKI GSFSVNAPQGQQLSLSEQMIQWPEIFSEVPRSFCTESCGPGFRKVTMEGKAICCYKCTPC ADNEISNETADVDQCVKCPEGHYANTEKNNCFQKSVSFLAYEDPLGMALASIALCLSALT VFVIGIFVKHRDTPIVKANNRVLSYILLITLTFCFLCSLNFIGQPNTAACILQQTTFAVA FTVALATVLAKTITVVLAFKVSFPGRIVRWLMISRGPNYIIPICTLIQLLLCGIWMATSP PFNDQDAHSEYGHIIILCNKGSAVAFHSVLGYLCFLALVSYTMAFLSRNLPDTFNESKFL SFSMLVFFCVWVTFLPVYHSTKGKVMIAMEVFSILASSTALLAFIFGPKCYIILLRPEKN LFRHTRKKNHIPVAKI >ENSMUSP00000095788.1 pep:known chromosome:GRCm38:7:103979176:103980141:1 gene:ENSMUSG00000094520.1 transcript:ENSMUST00000098185.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr635 description:olfactory receptor 635 [Source:MGI Symbol;Acc:MGI:3030469] MLHPSSMSEVTNTTHDPFYFILTGIPGFEDIHLWISIPLFCLYTISIMGNTTILTVIRTE PSLHQPMYLFLSMLALTDLGLTLTTLPTVMQLLWFNIREISFEACFAQFFFLHGFSFMES SVLLAMSFDRYVAICRPLHYASILTSEVIARIGLAIICRCVLAVLPSLFLLKRLPFCHSH LLSHSYCLHQDMIHLVCADIRVNSWYGFALVLLIIVLDPLLIVLSYALILKSVLNTATWT ERLRALNNCLSHMLAVLVLYVPMVGVSMTHRFAKHASPLVHVLMANIYLLAPPVMNPIIY SVKTKQIRQGITRLLLQRKVH >ENSMUSP00000130094.1 pep:known chromosome:GRCm38:19:8740718:8741225:1 gene:ENSMUSG00000090840.2 transcript:ENSMUST00000163172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700092M07Rik description:RIKEN cDNA 1700092M07 gene [Source:MGI Symbol;Acc:MGI:1921557] MGCCQDKNRWASDEQARDEVTEDGREGNEVDNSGRRKQRSNESLLITVLWRRLSMFSRRE SSRSGKQDNQMQERKHEGSHKEPEKG >ENSMUSP00000130858.2 pep:known chromosome:GRCm38:6:124810586:124814296:-1 gene:ENSMUSG00000023456.14 transcript:ENSMUST00000172132.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpi1 description:triosephosphate isomerase 1 [Source:MGI Symbol;Acc:MGI:98797] MEGKAEQQGAGLTMAEGGEKEEFCFTAIYISGQWREPCVCTDLQRLEPGTMAPTRKFFVG GNWKMNGRKKCLGELICTLNAANVPAGTEVVCAPPTAYIDFARQKLDPKIAVAAQNCYKV TNGAFTGEISPGMIKDLGATWVVLGHSERRHVFGESDELIGQKVSHALAEGLGVIACIGE KLDEREAGITEKVVFEQTKVIADNVKDWSKVVLAYEPVWAIGTGKTATPQQAQEVHEKLR GWLKSNVNDGVAQSTRIIYGGSVTGATCKELASQPDVDGFLVGGASLKPEFVDIINAKQ >ENSMUSP00000125292.2 pep:known chromosome:GRCm38:6:124811259:124813070:-1 gene:ENSMUSG00000023456.14 transcript:ENSMUST00000149610.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpi1 description:triosephosphate isomerase 1 [Source:MGI Symbol;Acc:MGI:98797] MIKDLGATWVVLGHSERRHVFGESDELIGQKVSHALAEGLGVIACIGEKLDEREAGITEK VVFEQTKVIADNVKDWSKVVLAYEPVWAIGTGKTATPQQAQEVHEKLRGWLKSNVNDGVA QSTRIIYGGSVTGATCKELASQPDVDGFLVGGASLKPEFVDIINAKQ >ENSMUSP00000115793.1 pep:known chromosome:GRCm38:X:20797814:20860497:1 gene:ENSMUSG00000001127.12 transcript:ENSMUST00000136451.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Araf description:Araf proto-oncogene, serine/threonine kinase [Source:MGI Symbol;Acc:MGI:88065] MEPPKDQGAALPQKPDKEAYGSCPVLPQPILKTILGNMEPPRGPPVSGAEPSRAVGTVKV YLPNKQRTVVTVREGMSVYDSLDKALKVRGLNQDCCVVYRLIKGRKTVTAWDTAIAPLDG EELIVEVLEDVPLTMHNFVRKTFFSLAFCDFCLKFLFHGFRCQTCGYKFHQHCSSKVPTV CVDMSTNRRQFYHSIQDLSGGSRQQEAPSNLSVNELLTPQGPSPFTQQRDQEHFSFPAPA NPPLQRIRSTSTPNVHMVSTTAPMDSSLMQFTAQSFSTDAAGRGGDGAPRGSPSPASVSS GRKSPHSKLPSEQRERKSLADEKKKVKNLGYRDSGYYWEVPPSEVQLLKRIGTGSFGTVF RGRWHGDVAVKVLKVAQPTAEQAQAFKNEMQVLRILLRTVRTSTSTQLPEAHQVSQIAI >ENSMUSP00000001155.4 pep:known chromosome:GRCm38:X:20848543:20860519:1 gene:ENSMUSG00000001127.12 transcript:ENSMUST00000001155.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Araf description:Araf proto-oncogene, serine/threonine kinase [Source:MGI Symbol;Acc:MGI:88065] MEPPRGPPVSGAEPSRAVGTVKVYLPNKQRTVVTVREGMSVYDSLDKALKVRGLNQDCCV VYRLIKGRKTVTAWDTAIAPLDGEELIVEVLEDVPLTMHNFVRKTFFSLAFCDFCLKFLF HGFRCQTCGYKFHQHCSSKVPTVCVDMSTNRRQFYHSIQDLSGGSRQQEAPSNLSVNELL TPQGPSPFTQQRDQEHFSFPAPANPPLQRIRSTSTPNVHMVSTTAPMDSSLMQFTAQSFS TDAAGRGGDGAPRGSPSPASVSSGRKSPHSKLPSEQRERKSLADEKKKVKNLGYRDSGYY WEVPPSEVQLLKRIGTGSFGTVFRGRWHGDVAVKVLKVAQPTAEQAQAFKNEMQVLRKTR HVNILLFMGFMTRPGFAIITQWCEGSSLYHHLHVADTRFDMVQLIDVARQTAQGMDYLHA KNIIHRDLKSNNIFLHEGLTVKIGDFGLATVKTRWSGAQPLEQPSGSVLWMAAEVIRMQD PNPYSFQSDVYAYGVVLYELMTGSLPYSHIGSRDQIIFMVGRGYLSPDLSKIFSNCPKAM RRLLTDCLKFQREERPLFPQILATIELLQRSLPKIERSASEPSLHRTQADELPACLLSAA RLVP >ENSMUSP00000112521.1 pep:known chromosome:GRCm38:X:20848566:20852904:1 gene:ENSMUSG00000001127.12 transcript:ENSMUST00000122312.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Araf description:Araf proto-oncogene, serine/threonine kinase [Source:MGI Symbol;Acc:MGI:88065] MEPPRGPPVSGAEPSRAVGTVKVYLPNKQRTVVTVREGMSVYDSLDKALKVRGLNQDCCV VYRLIKGRKTVTAWDTAIAPLDGEELIVEVLEDVPLTMHNFVRKTFFSLAFCDFCLKFLF HGFRCQTCGYKFHQHCSSKVPTVCVDMSTNRRQFYHSIQDLSGGSRQQEAPSNLSVNELL TPQGPR >ENSMUSP00000112513.1 pep:known chromosome:GRCm38:X:20848578:20852889:1 gene:ENSMUSG00000001127.12 transcript:ENSMUST00000120356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Araf description:Araf proto-oncogene, serine/threonine kinase [Source:MGI Symbol;Acc:MGI:88065] MEPPRGPPVSGAEPSRAVGTVKVYLPNKQRTVVTVREGMSVYDSLDKALKVRGLNQDCCV VYRLIKGRKTVTAWDTAIAPLDGEELIVEVLEDVPLTMHNFVRKTFFSLAFCDFCLKFLF HGFRCQTCGYKFHQHCSSKVPTVCVDMSTNRRQFYHSIQDLSGGSRQQEAPSNLSVNELL TPQGPR >ENSMUSP00000114846.1 pep:known chromosome:GRCm38:X:20848589:20853450:1 gene:ENSMUSG00000001127.12 transcript:ENSMUST00000122850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Araf description:Araf proto-oncogene, serine/threonine kinase [Source:MGI Symbol;Acc:MGI:88065] MEPPRGPPVSGAEPSRAVGTVKVYLPNKQRTVVTVREGMSVYDSLDKALKVRGLNQDCCV VYRLIKGRKTVTAWDTAIAPLDGEELIVEVLEDVPLTMHNFVRKTFFSLAFCDFCLKFLF HGFRCQTCGYKFHQHCSSKVPTVCVDMSTNRRQFYHSIQDLSGGSRQQEAPSNLSVNELL TPQGPSPFTQQRDQEHFSFPAPANPPLQRIRSTSTPNVHMVSTTAPMDSS >ENSMUSP00000119544.2 pep:known chromosome:GRCm38:X:20857723:20860208:1 gene:ENSMUSG00000001127.12 transcript:ENSMUST00000128250.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Araf description:Araf proto-oncogene, serine/threonine kinase [Source:MGI Symbol;Acc:MGI:88065] XADTRFDMVQLIDVARQTAQGMDYLHAKNIIHRDLKSNNIFLHEGLTVKIGDFGLATVKT RWSGAQPLEQPSGSVLWMDPNPYSFQSDVYAYGVVLYELMTGSLPYSHIGSRDQIIFMVG RGYLSPDLSKIFSNCPKAMRRLLTDCLKFQREERPLFPQILATIELLQRSLPKIERSASE PSLHRTQADELPACLLSAARLVP >ENSMUSP00000024015.2 pep:known chromosome:GRCm38:4:119637704:119639471:1 gene:ENSMUSG00000023247.2 transcript:ENSMUST00000024015.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guca2a description:guanylate cyclase activator 2a (guanylin) [Source:MGI Symbol;Acc:MGI:102738] MNACVLSVLCLLGALAVLVEGVTVQDGDLSFPLESVKKLKGLREVQEPRLVSHKKFAPRL LQPVAPQLCSSHSALPEALRPVCEKPNAEEILQRLEAIAQDPNTCEICAYAACTGC >ENSMUSP00000132457.2 pep:known chromosome:GRCm38:7:7876651:7902462:-1 gene:ENSMUSG00000091651.2 transcript:ENSMUST00000170402.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r36 description:vomeronasal 2, receptor 36 [Source:MGI Symbol;Acc:MGI:3757866] MFIFMGVFFLLNITLLMANFIDPRCFWRINLDEITDEYLGLTCAFILAAVQTPTEKDYFN KTLNFLKTTTNHKYALALVFAMDEINRNPDLLPNMSLIIRYALGHCDGKTVTPTPYLFHK KKKSPIPNYFCNEESMCSFLISGPNWKVSLSFWKYLDSFLSPRIFQLTYGPFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFIHYFNWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDVSFPQNTEMYYNQIVMSSTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTRKKDISHGTFYGSLTFLPHHGEISGFKNFVQTWFHFRNTDLYLVMPEWKYFNY EDSASNCKILKNNSSDASFDWLMEQKFDMTFSESSHNIYNAVHAIAHALHEMNLQKADNQ AIDNGKRASSHCLKVNSFLRRAYFTNPLGDKVFMNQRVIMQDEYDIIHFWNLSQHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCMNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNRAICVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSDTAFYCILGYLACLALGSFSLAFLAKNLPDTFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGILGCIFVPKIYIILMLPER NSTQKIREKSYF >ENSMUSP00000037025.8 pep:known chromosome:GRCm38:9:21165714:21213248:1 gene:ENSMUSG00000032177.16 transcript:ENSMUST00000039413.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4a description:phosphodiesterase 4A, cAMP specific [Source:MGI Symbol;Acc:MGI:99558] MEPPAAPSERSLSLSLPGPREGQATLKPPPQHLWRQPRTPIRIQQRGYSDSAERSEPERS PHRPIERADAVDTGDRPGLRTTRMSWPSSFHGTGTGGGSSRRLEAENGPTPSPGRSPLDS QASPGLMLHAGAATSQRRESFLYRSDSDYDMSPKTMSRNSSVASEAHGEDLIVTPFAQVL ASLRNVRSNFSLLTNVPIPSNKRSPLGGPPSVCKATLSEETCQQLARETLEELDWCLEQL ETMQTYRSVSEMASHKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPSPTP RQRPFQQPPPAAVQQAQPMSQITGLKKLVHTGSLNINVPRFGVKTDQEDLLAQELENLSK WGLNIFCVSEYAGGRSLSCIMYTIFQERDLLKKFHIPVDTMMTYMLTLEDHYHADVAYHN SLHAADVLQSTHVLLATPALDAVFTDLEILAALFAAAIHDVDHPGVSNQFLINTNSELAL MYNDESVLENHHLAVGFKLLQEENCDIFQNLSKRQRQSLRKMVIDMVLATDMSKHMTLLA DLKTMVETKKVTSSGVLLLDNYSDRIQVLRNMVHCADLSNPTKPLELYRQWTDRIMAEFF QQGDRERERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDTLED NRDWYHSAIRQSPSPTLEEEPGVLSDPALPDKFQFELTLEEEDEEDSLEVPGLPCTEETL LAPHDTRAQAMEQSKVKGQSPAVVEVAESLKQETASAHGAPEESAEAVGHSFSLETSILP DLRTLSPSEEAQGLLGLPSMAAEVEAPRDHLAAMRACSACSGTSGDNSAVISAPGRWGSG GDPA >ENSMUSP00000111118.2 pep:known chromosome:GRCm38:9:21184103:21211627:1 gene:ENSMUSG00000032177.16 transcript:ENSMUST00000115458.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4a description:phosphodiesterase 4A, cAMP specific [Source:MGI Symbol;Acc:MGI:99558] MRSSAAPRARPRPPALALPLGPESLTHFSFSEEDTLRHPPGRCVSLEAENGPTPSPGRSP LDSQASPGLMLHAGAATSQRRESFLYRSDSDYDMSPKTMSRNSSVASEAHGEDLIVTPFA QVLASLRNVRSNFSLLTNVPIPSNKRSPLGGPPSVCKATLSEETCQQLARETLEELDWCL EQLETMQTYRSVSEMASHKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVEIPS PTPRQRPFQQPPPAAVQQAQPMSQITGLKKLVHTGSLNINVPRFGVKTDQEDLLAQELEN LSKWGLNIFCVSEYAGGRSLSCIMYTIFQERDLLKKFHIPVDTMMTYMLTLEDHYHADVA YHNSLHAADVLQSTHVLLATPALDAVFTDLEILAALFAAAIHDVDHPGVSNQFLINTNSE LALMYNDESVLENHHLAVGFKLLQEENCDIFQNLSKRQRQSLRKMVIDMVLATDMSKHMT LLADLKTMVETKKVTSSGVLLLDNYSDRIQVLRNMVHCADLSNPTKPLELYRQWTDRIMA EFFQQGDRERERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVHPDAQDILDT LEDNRDWYHSAIRQSPSPTLEEEPGVLSDPALPDKFQFELTLEEEDEEDSLEVPGLPCTE ETLLAPHDTRAQAMEQSKVKGQSPAVVEVAESLKQETASAHGAPEESAEAVGHSFSLETS ILPDLRTLSPSEEAQGLLGLPSMAAEVEAPRDHLAAMRACSACSGTSGDNSAVISAPGRW GSGGDPA >ENSMUSP00000003395.9 pep:known chromosome:GRCm38:9:21196705:21211627:1 gene:ENSMUSG00000032177.16 transcript:ENSMUST00000003395.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4a description:phosphodiesterase 4A, cAMP specific [Source:MGI Symbol;Acc:MGI:99558] MPLVDFFCETCSKPWLVGWWDQFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQHEVE IPSPTPRQRPFQQPPPAAVQQAQPMSQITGLKKLVHTGSLNINVPRFGVKTDQEDLLAQE LENLSKWGLNIFCVSEYAGGRSLSCIMYTIFQERDLLKKFHIPVDTMMTYMLTLEDHYHA DVAYHNSLHAADVLQSTHVLLATPALDAVFTDLEILAALFAAAIHDVDHPGVSNQFLINT NSELALMYNDESVLENHHLAVGFKLLQEENCDIFQNLSKRQRQSLRKMVIDMVLATDMSK HMTLLADLKTMVETKKVTSSGVLLLDNYSDRIQVLRNMVHCADLSNPTKPLELYRQWTDR IMAEFFQQGDRERERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVHPDAQDI LDTLEDNRDWYHSAIRQSPSPTLEEEPGVLSDPALPDKFQFELTLEEEDEEDSLEVPGLP CTEETLLAPHDTRAQAMEQSKVKGQSPAVVEVAESLKQETASAHGAPEESAEAVGHSFSL ETSILPDLRTLSPSEEAQGLLGLPSMAAEVEAPRDHLAAMRACSACSGTSGDNSAVISAP GRWGSGGDPA >ENSMUSP00000045667.5 pep:known chromosome:GRCm38:6:113531682:113597017:1 gene:ENSMUSG00000034023.16 transcript:ENSMUST00000036340.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancd2 description:Fanconi anemia, complementation group D2 [Source:MGI Symbol;Acc:MGI:2448480] MISKRRRLDSEDKENLTEDASKTMPLSKLAKKSHNSHEVEENGSVFVKLLKASGLTLKTG ENQNQLGVDQVIFQRKLFQALRKHPAYPKVIEEFVNGLESYTEDSESLRNCLLSCERLQD EEASMGTFYSKSLIKLLLGIDILQPAIIKMLFEKVPQFLFESENRDGINMARLIINQLKW LDRIVDGKDLTAQMMQLISVAPVNLQHDFITSLPEILGDSQHANVGKELGELLVQNTSLT VPILDVFSSLRLDPNFLSKIRQLVMGKLSSVRLEDFPVIVKFLLHSVTDTTSLEVIAELR ENLNVQQFILPSRIQASQSKLKSKGLASSSGNQENSDKDCIVLVFDVIKSAIRYEKTISE AWFKAIERIESAAEHKALDVVMLLIIYSTSTQTKKGVEKLLRNKIQSDCIQEQLLDSAFS THYLVLKDICPSILLLAQTLFHSQDQRIILFGSLLYKYAFKFFDTYCQQEVVGALVTHVC SGTEAEVDTALDVLLELIVLNASAMRLNAAFVKGILDYLENMSPQQIRKIFCILSTLAFS QQPGTSNHIQDDMHLVIRKQLSSTVFKYKLIGIIGAVTMAGIMAEDRSVPSNSSQRSANV SSEQRTQVTSLLQLVHSCTEHSPWASSLYYDEFANLIQERKLAPKTLEWVGQTIFNDFQD AFVVDFCAAPEGDFPFPVKALYGLEEYSTQDGIVINLLPLFYQECAKDASRATSQESSQR SMSSLCLASHFRLLRLCVARQHDGNLDEIDGLLDCPLFLPDLEPGEKLESMSAKDRSLMC SLTFLTFNWFREVVNAFCQQTSPEMKGKVLSRLKDLVELQGILEKYLAVIPDYVPPFASV DLDTLDMMPRSSSAVAAKNRNKGKTGGKKQKADSNKASCSDTLLTEDTSECDMAPSGRSH VDKESTGKEGKTFVSLQNYRAFFRELDIEVFSILHSGLVTKFILDTEMHTEATEVVQLGP AELLFLLEDLSQKLENMLTAPFAKRICCFKNKGRQNIGFSHLHQRSVQDIVHCVVQLLTP MCNHLENIHNFFQCLGAEHLSADDKARATAQEQHTMACCYQKLLQVLHALFAWKGFTHQS KHRLLHSALEVLSNRLKQMEQDQPLEELVSQSFSYLQNFHHSVPSFQCGLYLLRLLMALL EKSAVPNQKKEKLASLAKQLLCRAWPHGEKEKNPTFNDHLHDVLYIYLEHTDNVLKAIEE ITGVGVPELVSAPKDAASSTFPTLTRHTFVIFFRVMMAELEKTVKGLQAGTAADSQQVHE EKLLYWNMAVRDFSILLNLMKVFDSYPVLHVCLKYGRRFVEAFLKQCMPLLDFSFRKHRE DVLSLLQTLQLNTRLLHHLCGHSKIRQDTRLTKHVPLLKKSLELLVCRVKAMLVLNNCRE AFWLGTLKNRDLQGEEIISQDPSSSESNAEDSEDGVTSHVSRNRATEDGEDEASDEQKDQ DSDESDDSSS >ENSMUSP00000144928.1 pep:known chromosome:GRCm38:6:113531704:113596273:1 gene:ENSMUSG00000034023.16 transcript:ENSMUST00000204827.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancd2 description:Fanconi anemia, complementation group D2 [Source:MGI Symbol;Acc:MGI:2448480] MISKRRRLDSEDKENLTEDASKTMPLSKLAKKSHNSHEVEENGSVFVKLLKASGLTLKTG ENQNQLGVDQVIFQRKLFQALRKHPAYPKVIEEFVNGLESYTEDSESLRNCLLSCERLQD EEASMGTFYSKSLIKLLLGIDILQPAIIKMLFEKVPQFLFESENRDGINMARLIINQLKW LDRIVDGKDLTAQMMQLISVAPVNLQHDFITSLPEILGDSQHANVGKELGELLVQNTSLT VPILDVFSSLRLDPNFLSKIRQLVMGKLSSVRLEDFPVIVKFLLHSVTDTTSLEVIAELR ENLNVQQFILPSRIQASQSKLKSKGLASSSGNQENSDKDCIVLVFDVIKSAIRYEKTISE AWFKAIERIESAAEHKALDVVMLLIIYSTSTQTKKGVEKLLRNKIQSDCIQEQLLDSAFS THYLVLKDICPSILLLAQTLFHSQDQRIILFGSLLYKYAFKFFDTYCQQEVVGALVTHVC SGTEAEVDTALDVLLELIVLNASAMRLNAAFVKGILDYLENMSPQQIRKIFCILSTLAFS QQPGTSNHIQDDMHLVIRKQLSSTVFKYKLIGIIGAVTMAGIMAEDRSVPSNSSQRSANV SSEQRTQVTSLLQLVHSCTEHSPWASSLYYDEFANLIQERKLAPKTLEWVGQTIFNDFQD AFVVDFCAAPEGDFPFPVKALYGLEEYSTQDGIVINLLPLFYQECAKDASRATSQESSQR SMSSLCLASHFRLLRLCVARQHDGNLDEIDGLLDCPLFLPDLEPGEKLESMSAKDRSLMC SLTFLTFNWFREVVNAFCQQTSPEMKGKVLSRLKDLVELQGILEKYLAVIPDYVPPFASV DLDTLDMMPRSSSAVAAKNRNKGKTGGKKQKADSNKASCSDTLLTEDTSECDMAPSGRSH VDKESTGKEGKTFVSLQNYRAFFRELDIEVFSILHSGLVTKFILDTEMHTEATEVVQLGP AELLFLLEDLSQKLENMLTAPFAKRICCFKNKGRQNIGFSHLHQRSVQDIVHCVVQLLTP MCNHLENIHNFFQCLGAEHLSADDKARATAQEQHTMACCYQKLLQVLHALFAWKGFTHQS KHRLLHSALEVLSNRLKQMEQDQPLEELVSQSFSYLQNFHHSVPSFQCGLYLLRLLMALL EKSAVPNQKKEKLASLAKQLLCRAWPHGEKEKNPTFNDHLHDVLYIYLEHTDNVLKAIEE ITGVGVPELVSAPKDAASSTFPTLTRHTFVIFFRVMMAELEKTVKGLQAGTAADSQQVHE EKLLYWNMAVRDFSILLNLMKYGRRFVEAFLKQCMPLLDFSFRKHREDVLSLLQTLQLNT RLLHHLCGHSKIRQDTRLTKHVPLLKKSLELLVCRVKAMLVLNNCREAFWLGTLKNRDLQ GEEIISQDPSSSESNAEDSEDGVTSHVSRNRATEDGEDEASDEQKDQDSDESDDSSS >ENSMUSP00000122091.1 pep:known chromosome:GRCm38:6:113551828:113562452:1 gene:ENSMUSG00000034023.16 transcript:ENSMUST00000123738.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancd2 description:Fanconi anemia, complementation group D2 [Source:MGI Symbol;Acc:MGI:2448480] XLLRNKIQSDCIQEQLLDSAFSTHYLVLKDICPSILLLAQTLFHSQDQRIILFGSLLYKY AFKFFDTYCQQEVVGALVTHVCSGTEAEVDTALDVLLELIVLNASAMRLNAAFVKGILDY LENMSPQQIRKIFCILSTLAFSQQPGTSNHIQSLCSHLIIALQDDMHLVIRKQLSSTVFK YKLIGIIGAVTMAGIMAEDRSVPSNSSQRSANVSSEQRTQVTSLLQLVHSCTEHSPWASS LYYDEFA >ENSMUSP00000145220.1 pep:known chromosome:GRCm38:6:113568672:113574598:1 gene:ENSMUSG00000034023.16 transcript:ENSMUST00000129462.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fancd2 description:Fanconi anemia, complementation group D2 [Source:MGI Symbol;Acc:MGI:2448480] SECDMAPSGRSHVDKESTGKEGKTFVSLQNYRAFFRELDIEVFSILHSGLVTKFILDTEM HTESNSVLHPMGETSIKYTEDNYK >ENSMUSP00000028470.3 pep:known chromosome:GRCm38:2:84826997:84830213:1 gene:ENSMUSG00000027076.10 transcript:ENSMUST00000028470.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm10 description:translocase of inner mitochondrial membrane 10 [Source:MGI Symbol;Acc:MGI:1353429] MDPLRAQQLAAELEVEMMADMYNRMTSACHRKCVPPHYKEAELSKGESVCLDRCVSKYLD IHERMGKKLTELSMQDEELMKRVQQSSGPA >ENSMUSP00000107258.1 pep:known chromosome:GRCm38:2:84827384:84830113:1 gene:ENSMUSG00000027076.10 transcript:ENSMUST00000111631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm10 description:translocase of inner mitochondrial membrane 10 [Source:MGI Symbol;Acc:MGI:1353429] MDPLRAQQLAAELEVEMMADMYNRMTSACHRKCVPPHYKEAELSKGESVCLDRCVSKYLD IHERMGKKLTELSMQDEELMKRVQQSSGPA >ENSMUSP00000022613.9 pep:known chromosome:GRCm38:14:65819038:65833994:-1 gene:ENSMUSG00000022034.9 transcript:ENSMUST00000022613.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esco2 description:establishment of sister chromatid cohesion N-acetyltransferase 2 [Source:MGI Symbol;Acc:MGI:1919238] MMATCTPRKRKRYTLNADNDDSLLTDISSSKLRCAENLFPSPNKKHNYQSSVQKEDKSCS HQLHFPSSPLKTTENSRFSFANHSSLFKPAMSTVSFYSKEKYYLNPLERKLIRECRSICL ATESGDKPIPSVTENIQRKPVCTKKNKKKQKSLTAKYQPNYKHIKSKSRNLKNSKPNQVT YKPVVDQENSCFPAKNYPNSPPRVLSQKIKPQVTLQGGAAFFVRKRNSLKKLPLEDKPLL LQKNLPEVPEGAPEAKQIPKSLLVDEKSSVKVQNARSKNEEKLRKNPSGAVVSSKECNLD KHDFPSENSLDENKTISPESVYPIFNVSSVNTKRPEEQSSVGSTACTNFLKQTNVPKNIN SRDTNKGGKDQLVIDAGQKHFGTTVCKSCGMIYTASNPEDEIQHLQHHHRFLEGIKFVGW KRERVVAEFWDGKIVLVLPRDPSYAIKKVEDVQELVDLELGFQQTVPVCPDKTKTFLFID EKRVVGCLIAEPIKQAFRVLSEPSASKECSRAWRCSDVPEPAICGISRIWVFRLKRRKRI ARRLVDTVRNCFMFGCFLSTNEIAFSDPTPDGKLFATKYCNTPNFLVYNFHN >ENSMUSP00000146684.1 pep:known chromosome:GRCm38:7:29289308:29292942:1 gene:ENSMUSG00000037166.5 transcript:ENSMUST00000207714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r14a description:protein phosphatase 1, regulatory (inhibitor) subunit 14A [Source:MGI Symbol;Acc:MGI:1931139] MAAQRLGKRVLSKLQSPSRARGPGGSPSGLQKRHARVTVKYDRRELQRRLDVEKWIDGCL EELYRGRESDMPDEVNIDELLELDSEEERCRKIQGLLEACANPTELLDARGTNVTACQSA WSWLRPGLWGLSLSLRNISLFHLSLCLSRIRVFVSLHLYFLFDFILSG >ENSMUSP00000035642.4 pep:known chromosome:GRCm38:7:29289312:29293801:1 gene:ENSMUSG00000037166.5 transcript:ENSMUST00000048187.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r14a description:protein phosphatase 1, regulatory (inhibitor) subunit 14A [Source:MGI Symbol;Acc:MGI:1931139] MAAQRLGKRVLSKLQSPSRARGPGGSPSGLQKRHARVTVKYDRRELQRRLDVEKWIDGCL EELYRGRESDMPDEVNIDELLELDSEEERCRKIQGLLEACANPTEDFVQELLAKLRGLHK QPGFPQPSPSDDPSLSPRQDRAHTAPP >ENSMUSP00000053412.8 pep:known chromosome:GRCm38:19:4154606:4156751:1 gene:ENSMUSG00000045826.8 transcript:ENSMUST00000061086.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprcap description:protein tyrosine phosphatase, receptor type, C polypeptide-associated protein [Source:MGI Symbol;Acc:MGI:97811] MALPGTLRFGVLMALPGALASGADPEDGVGSSVVTIVLLLLLLLLLVTALALAWRRLSHA SGGYYHPARLGAALWGHTCRLLWASPAGRWLRARTELESPEESGPPEDEEDAEDFVIDGG PEEAAAKEEEQRCQAEQTRDPRDTDSDGGLGLSSQGPVGSGSSAEALLSDLHAFSGSAAW DDSAGGAGGQGLRVTAL >ENSMUSP00000090369.3 pep:known chromosome:GRCm38:11:99657949:99658960:-1 gene:ENSMUSG00000069718.4 transcript:ENSMUST00000092695.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11563 description:predicted gene 11563 [Source:MGI Symbol;Acc:MGI:3650330] MVSSCCGSVCSEEGCGQGCCQPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCC RPSCCRPSCCVSSCCRPSCCQSVCCQPTCCRPSCCRPSCCISSCCQPSCGSSSCCGSSCC RPCCRPCCRPCCCLRPVCGQVCCQTTCYRPTCVISTCPRPMCCATPCC >ENSMUSP00000121106.1 pep:known chromosome:GRCm38:15:35925886:35935331:-1 gene:ENSMUSG00000014313.14 transcript:ENSMUST00000155638.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cox6c description:cytochrome c oxidase subunit VIc [Source:MGI Symbol;Acc:MGI:104614] XKAGIFQSAK >ENSMUSP00000014457.8 pep:known chromosome:GRCm38:15:35931976:35938246:-1 gene:ENSMUSG00000014313.14 transcript:ENSMUST00000014457.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6c description:cytochrome c oxidase subunit VIc [Source:MGI Symbol;Acc:MGI:104614] MSSGALLPKPQMRGLLAKRLRVHIAGAFIVALGVAAAYKFGVAEPRKKAYAEFYRNYDSM KDFEEMRKAGIFQSAK >ENSMUSP00000123609.1 pep:known chromosome:GRCm38:15:35931980:35937645:-1 gene:ENSMUSG00000014313.14 transcript:ENSMUST00000153512.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6c description:cytochrome c oxidase subunit VIc [Source:MGI Symbol;Acc:MGI:104614] MSSGALLPKPQMRGLLAKRLRVHIAGAFIVALGVAAAYKFGVAEPRKKAYAEFYRNYDSM KDFEEMRKAGIFQSAK >ENSMUSP00000122391.1 pep:known chromosome:GRCm38:15:35935387:35938009:-1 gene:ENSMUSG00000014313.14 transcript:ENSMUST00000156915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6c description:cytochrome c oxidase subunit VIc [Source:MGI Symbol;Acc:MGI:104614] MSSGALLPKPQMRGLLAKRLRVHIAGAFIVALGVAAAYKFGVAEPRKK >ENSMUSP00000118403.1 pep:known chromosome:GRCm38:7:98703103:98715367:1 gene:ENSMUSG00000030753.15 transcript:ENSMUST00000126356.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkrir description:protein-kinase, interferon-inducible double stranded RNA dependent inhibitor, repressor of (P58 repressor) [Source:MGI Symbol;Acc:MGI:1920231] MPNFCAAPNCTRKSTQSDLAFFRFPRDPARCQKWVENCRRADLEDKTPDQLNKHYRLCAK HFETSMICRTMHFAEASEN >ENSMUSP00000033009.9 pep:known chromosome:GRCm38:7:98703103:98718062:1 gene:ENSMUSG00000030753.15 transcript:ENSMUST00000033009.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkrir description:protein-kinase, interferon-inducible double stranded RNA dependent inhibitor, repressor of (P58 repressor) [Source:MGI Symbol;Acc:MGI:1920231] MPNFCAAPNCTRKSTQSDLAFFRFPRDPARCQKWVENCRRADLEDKTPDQLNKHYRLCAK HFETSMICRTSPYRTVLRDNAIPTIFDLTSHLNNPHSRHRKRIKELSEDEIRTLKQKKIE ETSEQEQETNTNAQNPSAEAVNQQDANVLPLTLEEKENKEYLKSLFEILVLMGKQNIPLD GHEADEVPEGLFAPDNFQALLECRINSGEEVLRKRFEATAVNTLFCSKTQQRHMLEICES CIREETLREVRDSHFFSIITDDVVDIAGEEHLPVLVRFVDDAHNLREEFVGFLPYEADAE ILAVKFHTTITEKWGLNMEYCRGQAYIVSSGFSSKMKVVASRLLEKYPQAVYTLCSSCAL NAWLAKSVPVIGVSVALGTIEEVCSFFHRSPQLLLELDSVISVLFQNSEERAKELKEICH SQWTGRHDAFEILVDLLQALVLCLDGIINSDTNVRWNNYIAGRAFVLCSAVTDFDFIVTI VVLKNVLSFTRAFGKNLQGQTSDVFFAASSLTAVLHSLNEVMENIEVYHEFWFEEATNLA TKLDIQMKLPGKFRRAQQGNLESQLTSESYYKDTLSVPTVEHIIQELKDIFSEQHLKALK CLSLVPSVMGQLKFNTSEEHHADMYRSDLPNPDTLSAELHCWRIKWKHRGKDIELPSTIY EALHLPDIKFFPNVYALLKVLCILPVMKVENERYENGRKRLKAYLRNTLTDQRSSNLALL NINFDIKHDLDLMVDTYIKLYTNKSELPTINSETIENT >ENSMUSP00000118736.1 pep:known chromosome:GRCm38:7:98703328:98715113:1 gene:ENSMUSG00000030753.15 transcript:ENSMUST00000153566.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkrir description:protein-kinase, interferon-inducible double stranded RNA dependent inhibitor, repressor of (P58 repressor) [Source:MGI Symbol;Acc:MGI:1920231] MPNFCAAPNCTRKSTQSDLAFFRFPRDPARCQKWVENCRRADLEDKTPDQLNKHYRLCAK HFETSMICRTSPYRTVLRDNAIPTIFDLTSHLNNPHSRHRKRIKELSEDEIRTLKQKKSK >ENSMUSP00000142295.1 pep:known chromosome:GRCm38:1:180568924:180579161:1 gene:ENSMUSG00000026496.11 transcript:ENSMUST00000194608.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parp1 description:poly (ADP-ribose) polymerase family, member 1 [Source:MGI Symbol;Acc:MGI:1340806] MAEASERLYRVEYAKSGRASCKKCSESIPKDSLRMAIMVQSPMFDGKVPHWYHFSCFWKW RQGGEDIG >ENSMUSP00000027777.6 pep:known chromosome:GRCm38:1:180568929:180601254:1 gene:ENSMUSG00000026496.11 transcript:ENSMUST00000027777.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp1 description:poly (ADP-ribose) polymerase family, member 1 [Source:MGI Symbol;Acc:MGI:1340806] MAEASERLYRVEYAKSGRASCKKCSESIPKDSLRMAIMVQSPMFDGKVPHWYHFSCFWKV GHSIRQPDVEVDGFSELRWDDQQKVKKTAEAGGVAGKGQDGSGGKAEKTLGDFLAEYAKS NRSMCKGCLEKIEKGQMRLSKKMVDPEKPQLGMIDRWYHPTCFVKKRDELGFRPEYSASQ LKGFSLLSAEDKEALKKQLPAIKNEGKRKGDEVDGTDEVAKKKSKKGKDKDSSKLEKALK AQNELIWNIKDELKKACSTNDLKELLIFNQQQVPSGESAILDRVADGMAFGALLPCKECS GQLVFKSDAYYCTGDVTAWTKCMVKTQNPSRKEWVTPKEFREISYLKKLKVKKQDRIFPP ESSAPAPLALPLSVTSAPTAVNSSAPADKPLSNMKILTLGKLSQNKDEAKAVIEKLGGKL TGSANKASLCISTKKEVEKMSKKMEEVKAANVRVVCEDFLQDVSASTKSLQELLSAHSLS SWGAEVKAEPGEVVAPKGKSAAPSKKSKGAVKEEGVNKSEKRMKLTLKGGAAVDPDSGLE HSAHVLEKGGKVFSATLGLVDIVKGTNSYYKLQLLEDDKESRYWIFRSWGRVGTVIGSNK LEQMPSKEDAVEHFMKLYEEKTGNAWHSKNFTKYPKKFYPLEIDYGQDEEAVKKLTVKPG TKSKLPKPVQELVGMIFDVESMKKALVEYEIDLQKMPLGKLSRRQIQAAYSILSEVQQAV SQGSSESQILDLSNRFYTLIPHDFGMKKPPLLNNADSVQAKVEMLDNLLDIEVAYSLLRG GSDDSSKDPIDVNYEKLKTDIKVVDRDSEEAEVIRKYVKNTHATTHNAYDLEVIDIFKIE REGESQRYKPFRQLHNRRLLWHGSRTTNFAGILSQGLRIAPPEAPVTGYMFGKGIYFADM VSKSANYCHTSQGDPIGLILLGEVALGNMYELKHASHISKLPKGKHSVKGLGKTTPDPSA SITLEGVEVPLGTGIPSGVNDTCLLYNEYIVYDIAQVNLKYLLKLKFNFKTSLW >ENSMUSP00000141775.1 pep:known chromosome:GRCm38:1:180569226:180577624:1 gene:ENSMUSG00000026496.11 transcript:ENSMUST00000194434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp1 description:poly (ADP-ribose) polymerase family, member 1 [Source:MGI Symbol;Acc:MGI:1340806] MFDGKVPHWYHFSCFWKVGHSIRQPDVEVDGFSELRWDDQQKVKKTAEAGGVAGKGQDGS GGKAEKT >ENSMUSP00000141301.1 pep:known chromosome:GRCm38:1:180598988:180601252:1 gene:ENSMUSG00000026496.11 transcript:ENSMUST00000195560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp1 description:poly (ADP-ribose) polymerase family, member 1 [Source:MGI Symbol;Acc:MGI:1340806] XDMVSKSANYCHTSQGDPIGLILLGEVALGNMYELKHASHISKLPKGKHSVKGLGKTTPD PSASITLEGVEVPLGTGIPSGVNDTCLLYNEYIVYDIAQ >ENSMUSP00000023357.7 pep:known chromosome:GRCm38:16:14299244:14317375:-1 gene:ENSMUSG00000022677.14 transcript:ENSMUST00000023357.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fopnl description:Fgfr1op N-terminal like [Source:MGI Symbol;Acc:MGI:1913336] MATVTELKAVLKDTLEKRGVLGHLKARIRAEVFNALDDDREPRPSLSHENLLINELIREY LEFNKYKYTASVLIAESGQPVVPLDRQFLIRELNAFEESKDNSIPLLYGILAHFLRGPPD GAQNVLLTESTLHPATKHLSWKPSRRPDDDHVRKDTGPRTTTEELPAAAQAVSR >ENSMUSP00000113348.1 pep:known chromosome:GRCm38:16:14299244:14317347:-1 gene:ENSMUSG00000022677.14 transcript:ENSMUST00000120707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fopnl description:Fgfr1op N-terminal like [Source:MGI Symbol;Acc:MGI:1913336] MATVTELKAVLKDTLEKRGVLGHLKARIRAEVFNALDDDREPRPSLSHENLLINELIREY LEFNKYKYTASVLIAESGQPVVPLDRQFLIRELNAFEESKDNSIPFLAWSSRWSPECASD RVNPAPGNQASQLEAQQKT >ENSMUSP00000025749.7 pep:known chromosome:GRCm38:19:4156713:4163354:-1 gene:ENSMUSG00000024830.16 transcript:ENSMUST00000025749.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6kb2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1927343] MAAVFDLDLETEEGSEGEGEPEFSPADVCPLGELRAAGLETVGHYEEVELTESSVNLGPE RIGPHCFELLSVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVCSAKDTAHTRAER NILESVKHPFIVELAYAFQTGGKLYLILECLSGGELFTHLEREGIFLEDTACFYLAEITL ALGHLHSHGIIYRDLKPENIMLSSQGHIKLTDFGLCKESIHEGAITHTFCGTIEYMAPEI LVRTGHNRAVDWWSLGALMYDMLTGSPPFTAENRKKTMDKIIKGKLVLPPYLTPDARDLA KKFLKRNPTQRIGGGLGDAADVQRHPFFRHINWDDLLARRVDPPFRPSLQSEEDVSQFDA RFTRQTPVDSPDDTALSESANQAFLGFTYVAPSVLDSIKEGFSFQPKLRSPRRLNSSPRT PISPLKFSPFEGFRPSPGPPEPMEPSLPPLLPSPPSPPPTSTAPLPIRPPSGTKKSKKGR GRSGR >ENSMUSP00000123376.1 pep:known chromosome:GRCm38:19:4156792:4163296:-1 gene:ENSMUSG00000024830.16 transcript:ENSMUST00000127605.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps6kb2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1927343] MAAVFDLDLETEEGSEGEGEPEFSPADVCPLGELRAAGLETVGHYEEVELTESSVNLGPE RIGPHCFELLSVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVCSAKDTAHTRAER NILESVKHPFIVELAYAFQTGGKLYLILECLSGGELFTHLEREGIFLEDTACFYLAEITL ALGHLHSHGIIYRDLKPENIMLSSQGHIKLTDFGLCKESIHEGAITHTFCGTIEYMAPEI LVRTGHNRAVDWWSLGALMYDMLTGSASPASLGAGRPSLQRTGRKLWIKSLKGSWCCPPT SPRMPGTLPKSF >ENSMUSP00000117446.1 pep:known chromosome:GRCm38:19:4156795:4163300:-1 gene:ENSMUSG00000024830.16 transcript:ENSMUST00000130469.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps6kb2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1927343] MAAVFDLDLETEEGSEGEGEPEFSPADVCPLGELRAAGLETVGHYEEVELTESSVNLGPE RIGPHCFELLSVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVCSAKDTAHTRAER NILESVKHPFIVELAYAFQTGGKLYLILECLSD >ENSMUSP00000116744.1 pep:known chromosome:GRCm38:19:4156986:4163285:-1 gene:ENSMUSG00000024830.16 transcript:ENSMUST00000137431.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps6kb2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1927343] MAAVFDLDLETEEGSEGEGEPEFSPADVCPLGELRAAGLETVGHYEEVELTESSVNLGPE RIGPHCFELLSVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVCSAKDTAHTRAER NILESVKHPFIVELAYAFQTGGKLYLILECLSGGELFTHLEREGIFLEDTACFYLAEITL ALGHLHSHGIIYRDLKPENIMLSSQGHIKLTDFGLCKESIHEGAITHTFCGTIEYMAPEI LVRTGHNRAVDWWSLGALMYDMLTGSAISEAEPHSANWGWPGRCC >ENSMUSP00000112512.1 pep:known chromosome:GRCm38:19:4156986:4163285:-1 gene:ENSMUSG00000024830.16 transcript:ENSMUST00000118483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6kb2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1927343] MAAVFDLDLETEEGSEGEGEPEFSPADVCPLGELRAAGLETVGHYEEVELTESSVNLGPE RIGPHCFELLSVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVCSAKDTAHTRAER NILESVKHPFIVELAYAFQTGGKLYLILECLSGGELFTHLEREGIFLEDTACFYLAEITL ALGHLHSHGIIYRDLKPENIMLSSQGHIKLTDFGLCKESIHEGAITHTFCGTIEYMAPEI LVRTGHNRAVDWWSLGALMYDMLTGSPPFTAENRKKTMDKIIKGKLVLPPYLTPDARDLA KKFLKRNPTQRIGGGLGDAADVQRHPFFRHINWDDLLARRVDPPFRPSLQSEEDVSQFDA RFTRQTPVDSPDDTALSESANQAFLPPQVLSL >ENSMUSP00000069055.4 pep:known chromosome:GRCm38:7:28881422:28893563:1 gene:ENSMUSG00000054083.8 transcript:ENSMUST00000066880.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn12 description:calpain 12 [Source:MGI Symbol;Acc:MGI:1891369] MASGNRKVTIQLVDDGAGTGAGGPQLFKGQNYEAIRRACLDSGILFRDPCFPAGPDALGY DKLGPDSEKAKGVEWKRPHEFCAEPQFICEDMSRTDVCQGSLGNCWLLAAAASLTLYPRL LYRVVPPGQGFQDGYAGVFHFQLWQFGRWVDVVVDDKLPVREGKLMFVRSEQRNEFWAPL LEKAYAKLHGSYEVMRGGHMNEAFVDFTGGVGEVLYLRQNTPGVFAALRHALAKESLVGA TALSDRGEIRTDEGLVKGHAYSVTGTHKMSLGFTKVRLLRLRNPWGRVEWSGPWSDSCPR WDMLPSEWRDALLVKKEDGEFWMELQDFLTHFNTVQICSLSPEVLGPSPAGGGWHIHIFQ GRWVRGFNSGGSQPSAENFWTNPQFRLTLLEPDEEEDDDDEEGPWGGWGAAGARGPARGG RVPKCTVLLSLIQRNRRCLRAKGLTYLTVGFHVFQIPEELLDLWDSPRSRALLPGLLRAD RSVFCARRDVSRRCRLPPGHYLVVPSASRVGDEADFTLRIFSERSHTAVEIDDVISADLD ALQAPYKPLELELAQLFLELAGEEEELNALQLQTLISIALEPARANTRTPGEIGLRTCEQ LVQCFGRGQRLSLHHFQELWGHLMSWQATFDKFDEDASGTMNSCELRLALTAAGFHLNNQ LTQSLTSRYRDSRLRVDFERFVCCAARLTCIFRHCCQHLDGGEGVVCLTHKQWSEVATFS >ENSMUSP00000118373.1 pep:known chromosome:GRCm38:11:54826866:54860916:-1 gene:ENSMUSG00000020268.13 transcript:ENSMUST00000148070.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lyrm7 description:LYR motif containing 7 [Source:MGI Symbol;Acc:MGI:1922780] MGQPAKVLQLFKTLHRTRQQVFKNDKRALEAARVKINEEFKKHKNETSPEKIKEMMKLGS DVELLLRTAVIQGIHTDHDTLQLVPRKDLLTENVPYCDAPTQKQ >ENSMUSP00000120778.1 pep:known chromosome:GRCm38:11:54839812:54860608:-1 gene:ENSMUSG00000020268.13 transcript:ENSMUST00000144164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm7 description:LYR motif containing 7 [Source:MGI Symbol;Acc:MGI:1922780] MGQPAKVLQLFKTLHRTRQQVFKNDKRALEAARVKINEEFKKHKNETSPEKIKEMMKLGS DVELLLRTAVIQGIHTDHDTLQLVPRKDLLTENVPYCDAPTQKQ >ENSMUSP00000121259.1 pep:known chromosome:GRCm38:11:54839813:54860588:-1 gene:ENSMUSG00000020268.13 transcript:ENSMUST00000141703.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lyrm7 description:LYR motif containing 7 [Source:MGI Symbol;Acc:MGI:1922780] MGQPAKVLQLFKTLHRTRQQVFKNDKRALEAARVKINEEFKKHKNETSPEKIKEVGKRP >ENSMUSP00000147014.1 pep:known chromosome:GRCm38:2:111378967:111380030:1 gene:ENSMUSG00000108931.1 transcript:ENSMUST00000209096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1284 description:olfactory receptor 1284 [Source:EntrezGene;Acc:258379] MDQINETVAFEFVLLGLSSSWKNTIFLMSTFSLLYVSIIVGNLFIVFLVINDSRLQSPMY FLLANLSLIDVGLSSTTVPKMISDLLKEHKVISFHSCMTQICSIHIMGGVEMVLLIAMAF DRYTAICKPLHYMSIMSPRICISFVIAGWVTGVVHAMSQFSFVVNLPFCGPNKVDSFYCD FPRIIQLACTDRDTFEFVVAANSGFMTLGTFFLLLLSYVFILVTVWQRSSGDLSKALVTL SAHITVVVLFFTPCMFLYVWPFPTSSTDKYLFIVDFAVTPALNPVIYTLRNKDMKEAIKK LSKQRCYIRIF >ENSMUSP00000103250.1 pep:known chromosome:GRCm38:11:96953341:96977711:-1 gene:ENSMUSG00000018678.12 transcript:ENSMUST00000107624.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp2 description:Sp2 transcription factor [Source:MGI Symbol;Acc:MGI:1926162] MSMAATAAVSPSDYLQPAAATTQDSQPSPLALLAATCSKIGPPAVEAAVTPPAPPQPTPR KLVPIKPAPLPLSPCKNSFSILSSKGNILQIQGSQLSTSYPGGQFVFAIQNPTLINKGSR SNASIQYQVPQIQGNSSQTIQVQPSLTNQIQVIPGTNQAITTPSTSGHKPVPIKPAPVQK SSTTTTPVQSGANVVKLTGGGSNMTLTLPLNNLVNTSDIGGPAQLLTESPPTPLSKTNKK ARKKSLPVSQPSVAVAEQVETVLIETTADNIIQAGNNLLIVQSPGGGQPAVVQQVQVVPP KAEQQQVVQIPQQALRVVQAASATLPTVPQKPSQNFQIQTTEPTPTQVYIRTPSGEVQTV LVQDSPPATAATTSTVTCNSPALRAPHLSGTSKKHSAAILRKERPLPKIAPAGSIISLNA AQLAAAAQAMQTININGVQVQGVPVTITNTGGQQQLTVQNVSGNNLTISGLSPTQIQLQM EQALAGEAQPGEKRRRMACTCPNCKDGEKRSGEQGKKKHVCHIPDCGKTFRKTSLLRAHV RLHTGERPFVCNWFFCGKRFTRSDELQRHARTHTGDKRFECAQCQKRFMRSDHLTKHYKT HLGTKGL >ENSMUSP00000103249.1 pep:known chromosome:GRCm38:11:96953473:96968665:-1 gene:ENSMUSG00000018678.12 transcript:ENSMUST00000107623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp2 description:Sp2 transcription factor [Source:MGI Symbol;Acc:MGI:1926162] MSMAATAAVSPSDYLQPAAATTQDSQPSPLALLAATCSKIGPPAVEAAVTPPAPPQPTPR KLVPIKPAPLPLSPCKNSFSILSSKGNILQIQGSQLSTSYPGGQFVFAIQNPTLINKGSR SNASIQYQVPQIQGNSSQTIQVQPSLTNQIQVIPGTNQAITTPSTSGHKPVPIKPAPVQK SSTTTTPVQSGANVVKLTGGGSNMTLTLPLNNLVNTSDIGGPAQLLTESPPTPLSKTNKK ARKKSLPVSQPSVAVAEQVETVLIETTADNIIQAGNNLLIVQSPGGGQPAVVQQVQVVPP KAEQQQVVQIPQQALRVVQAASATLPTVPQKPSQNFQIQTTEPTPTQVYIRTPSGEVQTV LVQDSPPATAATTSTVTCNSPALRAPHLSGTSKKHSAAILRKERPLPKIAPAGSIISLNA AQLAAAAQAMQTININGVQVQGVPVTITNTGGQQQLTVQNVSGNNLTISGLSPTQIQLQM EQALAGEAQPGEKRRRMACTCPNCKDGEKRSGEQGKKKHVCHIPDCGKTFRKTSLLRAHV RLHTGERPFVCNWFFCGKRFTRSDELQRHARTHTGDKRFECAQCQKRFMRSDHLTKHYKT HLGTKGL >ENSMUSP00000051403.6 pep:known chromosome:GRCm38:11:96954219:96982959:-1 gene:ENSMUSG00000018678.12 transcript:ENSMUST00000062652.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp2 description:Sp2 transcription factor [Source:MGI Symbol;Acc:MGI:1926162] MSMAATAAVSPSDYLQPAAATTQDSQPSPLALLAATCSKIGPPAVEAAVTPPAPPQPTPR KLVPIKPAPLPLSPCKNSFSILSSKGNILQIQGSQLSTSYPGGQFVFAIQNPTLINKGSR SNASIQYQVPQIQGNSSQTIQVQPSLTNQIQVIPGTNQAITTPSTSGHKPVPIKPAPVQK SSTTTTPVQSGANVVKLTGGGSNMTLTLPLNNLVNTSDIGGPAQLLTESPPTPLSKTNKK ARKKSLPVSQPSVAVAEQVETVLIETTADNIIQAGNNLLIVQSPGGGQPAVVQQVQVVPP KAEQQQVVQIPQQALRVVQAASATLPTVPQKPSQNFQIQTTEPTPTQVYIRTPSGEVQTV LVQDSPPATAATTSTVTCNSPALRAPHLSGTSKKHSAAILRKERPLPKIAPAGSIISLNA AQLAAAAQAMQTININGVQVQGVPVTITNTGGQQQLTVQNVSGNNLTISGLSPTQIQLQM EQALAGEAQPGEKRRRMACTCPNCKDGEKRSGEQGKKKHVCHIPDCGKTFRKTSLLRAHV RLHTGERPFVCNWFFCGKRFTRSDELQRHARTHTGDKRFECAQCQKRFMRSDHLTKHYKT HLGTKGL >ENSMUSP00000103252.1 pep:known chromosome:GRCm38:11:96953340:96977688:-1 gene:ENSMUSG00000018678.12 transcript:ENSMUST00000107626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp2 description:Sp2 transcription factor [Source:MGI Symbol;Acc:MGI:1926162] MSADPQMSMAATAAVSPSDYLQPAAATTQDSQPSPLALLAATCSKIGPPAVEAAVTPPAP PQPTPRKLVPIKPAPLPLSPCKNSFSILSSKGNILQIQGSQLSTSYPGGQFVFAIQNPTL INKGSRSNASIQYQVPQIQGNSSQTIQVQPSLTNQIQVIPGTNQAITTPSTSGHKPVPIK PAPVQKSSTTTTPVQSGANVVKLTGGGSNMTLTLPLNNLVNTSDIGGPAQLLTESPPTPL SKTNKKARKKSLPVSQPSVAVAEQVETVLIETTADNIIQAGNNLLIVQSPGGGQPAVVQQ VQVVPPKAEQQQVVQIPQQALRVVQAASATLPTVPQKPSQNFQIQTTEPTPTQVYIRTPS GEVQTVLVQDSPPATAATTSTVTCNSPALRAPHLSGTSKKHSAAILRKERPLPKIAPAGS IISLNAAQLAAAAQAMQTININGVQVQGVPVTITNTGGQQQLTVQNVSGNNLTISGLSPT QIQLQMEQALAGEAQPGEKRRRMACTCPNCKDGEKRSGEQGKKKHVCHIPDCGKTFRKTS LLRAHVRLHTGERPFVCNWFFCGKRFTRSDELQRHARTHTGDKRFECAQCQKRFMRSDHL TKHYKTHLGTKGL >ENSMUSP00000098854.2 pep:known chromosome:GRCm38:X:106583186:106709862:1 gene:ENSMUSG00000073010.9 transcript:ENSMUST00000101296.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5127 description:predicted gene 5127 [Source:MGI Symbol;Acc:MGI:3648285] MKDLRRYLMFKYIQYLVLPSPNVIIILLGSLASLYVMLLLTFPSVSRKSTAVFIGSIAQA DILVVCSLFSAISACVIRSEPSSTSFQLALRQNFQIANIHASSLLLSCVTLEAFLITFLP VETRHIRNVRCARVASKIIWAVVITECFLYQLEYVKGLNISYLGIHRQIQLLMNFFYEAT VLLKLLIYPIGVLLRIFNVYLFYKMYFRDHYS >ENSMUSP00000098855.3 pep:known chromosome:GRCm38:X:106583186:106710557:1 gene:ENSMUSG00000073010.9 transcript:ENSMUST00000101297.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5127 description:predicted gene 5127 [Source:MGI Symbol;Acc:MGI:3648285] MKDLRRYLMFKYIQYLVLPSPNVIIILLGSLASLYVMLLLTFPSVSRKSTAVFIGSIAQA DILVVCSLFSAISACVIRSEPSSTSFQLALRQNFQIANIHASSLLLSCVTLEAFLITFLP VETRHIRNVRCARVASKIIWAVVITECFLYQLEYVKGLNISYLGIHRQIQLLMNFFYEAT VLLKLLIYPIGVLLRIFNVYLFYKMYFRDHYS >ENSMUSP00000020649.7 pep:known chromosome:GRCm38:11:53649519:53707319:-1 gene:ENSMUSG00000020380.16 transcript:ENSMUST00000020649.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad50 description:RAD50 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:109292] MSRIEKMSILGVRSFGIEDKDKQIISFFSPLTILVGPNGAGKTTIIECLKYICTGDFPPG TKGNTFVHDPKVAQETDVRAQIRLQFRDVNGEMVAVHRSMLCSQKNKKTEFKTLEGVITR MKHGEKVSLSSKCAEIDREMISCLGVSKSVLNNVIFCHQEDSNWPLSEGKALKQKFDEIF SATRYIKALDTLRQVRQTQGQKVKECQTELKYLKQNKEKACEIRDQITSKEAQLASSQEI VRSYEDELEPLKNRLKEIEHNLSKIMKLDNEIKALESRKKQMEKDNSELEQKMEKVFQGT DEQLNDLYHNHQRTVREKERRLVDCQRELEKLNKEARLLNQEKAELLVEQGRLQLQADRH QEHIRARDSLIQSLATHLELDGFERGPFSERQIKNFHELVKERQEREAKTASQLLSDLTD KEALKQRQLDELRDRKSGLGRTIELKTEILTKKQSELRHVRSELQQLEGSSDRILELDQE LTKAERELSKAEKNSSIETLKAEVMSLQNEKADLDRSLRKLDQEMEQLNHHTTTRTQMEM LTKDKTDKDEQIRKIKSRHSDELTSLLGYFPNKKQLEDWLHSKSKEINQTRDRLAKLNKE LASAEQNKNHINNELKKKEEQLSSYEDKLFDVCGSQDLESDLGRLKEEIEKSSKQRAMLA GATAVYSQFITQLTDENQSCCPVCQRVFQTEAELQEVISDLQSKLRLAPDKLKSTESELK KKERRRDEMLGLVPVRQSIIDLKEKEIPELRNRLQSVNRDIQRLKNDIEEQETLLGTIMP EEESAKVCLTDVTIMERFQMELKDVERKIAQQAAKLQGVDLDRTVQQVNQEKQEKQHRLD TVTSKIELNRKLIQDQQEQIQHLKSKTNELKSEKLQIATNLQRRQQMEEQSVELSTEVQS LNREIKDAKEQISPLETALEKLQQEKEELIHRKHTSNKMAQDKINDIKEKVKNIHGYMKD IENYIQDGKDDYKKQKETELNGVAVQLNECEKHREKINKDMGTMRQDIDTQKIQERWLQD NLTLRKRRDELKEVEEERKQHLKEMGQMQVLQMKNEHQKLEENIDTIKRNHSLALGRQKG YEDEILHFKKELREPQFRDAEEKYREMMIVMRTTELVNKDLDIYYKTLDQAIMKFHSMKM EEINKIIRDLWRSTYRGQDIEYIEIRSDADENVSASDKRRNYNYRVVMLKGDTALDMRGR CSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDRENIESLAHALVEIIKSRSQQR NFQLLVITHDEDFVELLGRSEYVEKFYRVKKNMDQCSEIVKCSISSLGSYVH >ENSMUSP00000120869.1 pep:known chromosome:GRCm38:11:53674755:53707319:-1 gene:ENSMUSG00000020380.16 transcript:ENSMUST00000128483.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad50 description:RAD50 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:109292] MSRIEKMSILGVRSFGIEDKDKQIISFFSPLTILVGPNGAGKTTIIECLKYICTGDFPPG TKGNTFVHDPKVAQETDVRAQIRLQFRDVNGEMVAVHRSMLCSQKNKKTEFKTLEGVITR MKHGEKVSLSSKCAEIDREMISCLGVSKSVLNNVIFCHQEDSNWPLSEGKALKQKFDEIF SATRYIKALDTLRQVRQTQGQKVKECQTELKYLKQNKEKACEIRDQITSKEAQLASSQEI VRSYEDELEPLKNRLKEIEHNLSKIMKLDNEIKALESRKKQMEKDNSELEQKMEKVFQGT DEQLNDLYHNHQRTVREKERRLVDCQRELEKLNKEARLLNQEKAELLVEQGRLQLQADRH QEHIRARDSLIQSLATHLELDGFERGPFSERQIKNFHELVKERQEREAKTASQLLSDLTD KEALKQRQLDELRDRKSGLGRTIELKTEILTKKQSELRHVRSELQQLEGSSDRILELDQE LTKAERELSKAEKNSSIETLKAEVMSLQNEKADLDRSLRKLDQEMEQLNHHTTTRTQMEM LTKDKQRASFS >ENSMUSP00000119618.1 pep:known chromosome:GRCm38:11:53683255:53707295:-1 gene:ENSMUSG00000020380.16 transcript:ENSMUST00000124352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad50 description:RAD50 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:109292] MSRIEKMSILGVRSFGIEDKDKQIISFFSPLTILVGPNGAGKTTIIECLKYICTGDFPPG TKGNTFVHDPKVAQETDVRAQIRLQFRDVNGEMVAVHRSMLCSQKNKKTEFKTLEGVITR MKHGEKVSLSSKCAEIDREMISCLGVSKSVLNNVIFCHQEDSNWPLSEGKALKQKFDEIF SATRYIKALDTLRQVRQTQGQKVKECQTELKYLKQNKEKACEIRDQITSKEAQLASSQEI VRSYEDELEPLKNRLKEIEHNLSKIMKLDNEIKALESRKKQMEKDNSELEQKMEKVFQGT DEQLNDLYHNHQRTVREKERRLVDCQRELEKLNKEARLLNQEKAELLVEQGRLQLQADRH QEHIRARDSLIQSLATHLELDGFERGPFSERQIKNFHELVKERQEREAKTASQLLSDLTD KEALKQRQLDELRDRKSGLGRTIELKTEILTKKQSELRHVRSELQQLEGSSDRILELDQE LTKATDKDEQIRKIKSRHSDELTSLLGYFPNKKQLEDWLHSKSKEINQTRDRLAKLNKEL ASAEQNKNHINNELKKKEEQLSSYEDKLFDVCGSQDLESDLGRLKEEIEKSSKQRAMLAG ATAVYSQFITQLTDENQSCCPVCQRVFQTEAELQEVISDLQSKLRLAPDKLKSTESE >ENSMUSP00000123374.1 pep:known chromosome:GRCm38:10:70097121:70193200:1 gene:ENSMUSG00000048701.13 transcript:ENSMUST00000147545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc6 description:coiled-coil domain containing 6 [Source:MGI Symbol;Acc:MGI:1923801] MADSASESDTDAAGGGPAAMQSSCSATSGGSGGGGGGKSGGIVISPFRLEELTNRLASLQ QENKVLKIELETYKLKCKALQEENRDLRKASVTIQARAEQEEEFISNTLFKKIQALQKEK ETLAVNYEKEEEFLTNELSRKLMQLQHEKAELEQHLEQEQEFQVNKLMKKIKKLENDTIS KQLTLEQLRREKIDLENTLEQEQEALVNRLWKRMDKLEAEKRILQEKLDQPVSAPPSPRD ISMEIDSPENMMRHIRFLKNEVERLKKQLRAAQLQHSEKMAQYLEEERHMREENLRLQRK LQREMERREALCRQLSESESSLEMDDERYFNEMSAQGLRPRTVSSPIPYTPSPSSSRPIS PGLSYASHTVGFTPPTSLTRAGMSYYNSPGLHVQHMGASHGITRPSPRRSSSPDKFKRPT PPPSPNTQSPVQPPPPPPPPPMQPAVPSAAPTQPAPTQPQHPVHPSSQP >ENSMUSP00000115678.1 pep:known chromosome:GRCm38:10:70175011:70188173:1 gene:ENSMUSG00000048701.13 transcript:ENSMUST00000156001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc6 description:coiled-coil domain containing 6 [Source:MGI Symbol;Acc:MGI:1923801] XSEKMAQYLEEERHMREENLRLQRKLQREMERREALCRQLSESESSLEMDDERYFNEMSA QGLRPRTVSSPIPYTPSPSSSRPISPGLSYASHTVGFTPPTSLTRAGMSYYNSPGLHVQH MGASHGITLWSVPTRLT >ENSMUSP00000116408.1 pep:known chromosome:GRCm38:10:70175047:70188187:1 gene:ENSMUSG00000048701.13 transcript:ENSMUST00000135607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc6 description:coiled-coil domain containing 6 [Source:MGI Symbol;Acc:MGI:1923801] XHMREENLRLQRKLQREMERREALCRQLSESESSLEMDDERYFNEMSAQGLRPRTVSSPI PYTPSPSSSRPISPGLSYASHTVGFTPPTSLTRAGMSYYNSPGLHVQHMGASHGITVSVL VRSGQHLTSDPVSRGS >ENSMUSP00000080448.6 pep:known chromosome:GRCm38:3:114904078:115125722:1 gene:ENSMUSG00000027965.15 transcript:ENSMUST00000081752.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm3 description:olfactomedin 3 [Source:MGI Symbol;Acc:MGI:2387329] MQARSSFLNLLLLSLLAGLDPSKTQISPKEGWQVYSSAQDPDGRCICTVVAPEQNLCSRD AKSRQLRQLLEKVQNMSQSIEVLNLRTQRDFQYVLKMETQMKGLKAKFRQIEDDRKTLMT KHFQELKEKMDELLPLIPVLEQYKTDAKLITQFKEEIRNLSSVLTGIQEEIGAYDYEELH QRVLSLETRLRDCMKKLTCGKLMKITGPITVKTSGTRFGAWMTDPLASEKNNRVWYMDSY TNNKIVREYKSIADFVSGAESRTYNLPFKWAGTNHVVYNGSLYFNKYQSNIIIKYSFDLG RVLAQRSLEYAGFHNVYPYTWGGFSDIDLMADEIGLWAVYATNQNAGNIVISQLNQDTLE VMKSWSTGYPKRSAGESFMICGTLYVTNSHLTGAKVYYSYSTKTSTYEYTDIPFHNQYFH ISMLDYNARDRALYAWNNGHQVLFNVTLFHIIKTEDDT >ENSMUSP00000121097.1 pep:known chromosome:GRCm38:3:114904635:115120974:1 gene:ENSMUSG00000027965.15 transcript:ENSMUST00000149158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm3 description:olfactomedin 3 [Source:MGI Symbol;Acc:MGI:2387329] MHPRCLEDNKTQISPKEGWQVYSSAQDPDGRCICTVVAPEQNLCSRDAKSRQLRQLLEKV QNMSQSIEVLNLRTQRDFQYVLKMETQMKGLKAKFRQIEDDRKTLMTKHFQELKEKMDEL LPLIPVLEQYKTDAKLITQFKEEIRNLSSVLTGIQEEIGAYDYEELHQRVLSLETRLRDC MKKLTCGKLMKITGPITVKTSGTRFGAWMTD >ENSMUSP00000060985.8 pep:known chromosome:GRCm38:3:115080965:115125256:1 gene:ENSMUSG00000027965.15 transcript:ENSMUST00000051309.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm3 description:olfactomedin 3 [Source:MGI Symbol;Acc:MGI:2387329] MSAPLLKLGAVLSTMAMISNWMSQTLPSLVGLNTTRLSAPDTLTQISPKEGWQVYSSAQD PDGRCICTVVAPEQNLCSRDAKSRQLRQLLEKVQNMSQSIEVLNLRTQRDFQYVLKMETQ MKGLKAKFRQIEDDRKTLMTKHFQELKEKMDELLPLIPVLEQYKTDAKLITQFKEEIRNL SSVLTGIQEEIGAYDYEELHQRVLSLETRLRDCMKKLTCGKLMKITGPITVKTSGTRFGA WMTDPLASEKNNRVWYMDSYTNNKIVREYKSIADFVSGAESRTYNLPFKWAGTNHVVYNG SLYFNKYQSNIIIKYSFDLGRVLAQRSLEYAGFHNVYPYTWGGFSDIDLMADEIGLWAVY ATNQNAGNIVISQLNQDTLEVMKSWSTGYPKRSAGESFMICGTLYVTNSHLTGAKVYYSY STKTSTYEYTDIPFHNQYFHISMLDYNARDRALYAWNNGHQVLFNVTLFHIIKTEDDT >ENSMUSP00000117346.1 pep:known chromosome:GRCm38:14:21031442:21052450:-1 gene:ENSMUSG00000021824.12 transcript:ENSMUST00000154460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3m1 description:adaptor-related protein complex 3, mu 1 subunit [Source:MGI Symbol;Acc:MGI:1929212] MIHSLFLINCSGDIFLEKHWKSVVSQSVCDYFFEAQEKAADVENVPPVISTPHHYLISIY RDKLFFVSVIQTEVPPLFVIEFLHRVADTFQDYFGECSEAAIKDNVVIVYELLEEMLDNG FPLATESNILKELIKPPTILRSVVNSITGSSNVGDTLPTGQLSNIPWRRAGVKYTNNEAY FDVVEEIDAIIDKSGSTVFAEIQGVIDACIKLSGMPDLSLSFMNPRLLDDVSFHPCIRFK RWESERVLSFIPPDGNFRLISYRVSSQNLVAIPVYVKHSISFKENSSCGRFDITIGPKQN MGKTIEGITVTVHMPKVVLNMNLTPTQGSYTFDPVTKVLAWDVGKITPQKLPSLKGLVNL QSGAPKPEENPNLNIQFKIQQLAISGLKVNRLDMYGEKYKPFKGVKYVTKAGKFQVRT >ENSMUSP00000022371.3 pep:known chromosome:GRCm38:14:21036014:21052222:-1 gene:ENSMUSG00000021824.12 transcript:ENSMUST00000022371.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3m1 description:adaptor-related protein complex 3, mu 1 subunit [Source:MGI Symbol;Acc:MGI:1929212] MIHSLFLINCSGDIFLEKHWKSVVSQSVCDYFFEAQEKAADVENVPPVISTPHHYLISIY RDKLFFVSVIQTEVPPLFVIEFLHRVADTFQDYFGSSNVGDTLPTGQLSNIPWRRAGVKY TNNEAYFDVVEEIDAIIDKSGSTVFAEIQGVIDACIKLSGMPDLSLSFMNPRLLDDVSFH PCIRFKRWESERVLSFIPPDGNFRLISYRVSSQNLVAIPVYVKHSISFKENSSCGRFDIT IGPKQNMGKTIEGITVTVHMPKVVLNMNLTPTQGSYTFDPVTKVLAWDVGKITPQKLPSL KGLVNLQSGAPKPEENPNLNIQFKIQQLAISGLKVNRLDMYGEKYKPFKGVKYVTKAGKF QVRT >ENSMUSP00000118259.1 pep:known chromosome:GRCm38:14:21041014:21052508:-1 gene:ENSMUSG00000021824.12 transcript:ENSMUST00000130291.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3m1 description:adaptor-related protein complex 3, mu 1 subunit [Source:MGI Symbol;Acc:MGI:1929212] MIHSLFLINCSGDIFLEKHWKSVVSQSVCDYFFEAQEKAADVENVPPVISTPHHYLISIY RDKLFFVSVIQTEVPPLFVIEFLHRVADTFQDYFGECSEAAIKDNVVIVYELLEEMLDNG FPLATESNILKELIKPPTILRSVVNSITGSSNVGDTLPTGQLSNIPWRRAGVKY >ENSMUSP00000116046.1 pep:known chromosome:GRCm38:14:21038131:21052187:-1 gene:ENSMUSG00000021824.12 transcript:ENSMUST00000126536.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap3m1 description:adaptor-related protein complex 3, mu 1 subunit [Source:MGI Symbol;Acc:MGI:1929212] MIHSLFLINCSGDIFLEKHWKSVVSQSVCDYFFEAQEKAADVENVPPVISTPHHYLISIY RDKLFFVSVIQTEVPPLFVIEFLHRVADTFQAVVMLGIHSPLGSYPTSHGVEQE >ENSMUSP00000115157.1 pep:known chromosome:GRCm38:14:21044653:21052120:-1 gene:ENSMUSG00000021824.12 transcript:ENSMUST00000130370.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3m1 description:adaptor-related protein complex 3, mu 1 subunit [Source:MGI Symbol;Acc:MGI:1929212] MIHSLFLINCSGDIFLEKHWKSVVSQSVCDYFFEAQEKAADVENVPPVISTPHHYLISIY RDKLFFVSVIQTEVPPLFVIEFLHRVADTFQDYFGECSEAAIKDNVVIVYELLEEMLDNG FPLATESNILKELIKPPTILRSVVNSIT >ENSMUSP00000080085.6 pep:known chromosome:GRCm38:17:32036100:32062865:1 gene:ENSMUSG00000058392.12 transcript:ENSMUST00000081339.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrp1b description:ribosomal RNA processing 1 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919712] MALAMQSSEFQFAQRLASSEKGVRDRAVRKLRQYLSARTQSDTGSFSQEELLKIWKGLFY CMWVQDEPLLQEELANIISQLIHVVNSLEAQYLFIQTFWQTMNREWQGIDKLQLDKYYML IRLVLRQSFEVLKRNAWEESQITLFLDILMKEILSPESQSPNGVRTHLIDVYLEELTTVG GAELLADQNLKLIDPFCRIAAKTKDHTLVQTVARGVFEVIVDQSACVPQESVEERKTKED GSGFPTKALACRKAVSGKKAALDECLRDGVIGSRERDICAALKDSGSPLQFDYKAVADRL LEIANSKSTPPFNRKRLCRLVRKFQDLCEGNGAPLSSAEDNGQRRHKRKRKKLLESEKGD TVSPAAEEDSGGHIHKKKRKKRKRSHFQPDTQNLDAVAVPKVPDSESEPDTAQRQAPCGQ ACVTEPTAEAVSSIGENSSKPTPVMPIHNKRKRPRKKKLRAHKEICKSTTLPQEDMSKND AVSGHSQSSAAHISSSEGVQAQKRKRKLGALPDSSSDLPVQKSGTPTSPVEGKDGQTTLP RCKRSQKKTASSTLDPCDPSSQKPAISKKKKKTMKLMSNGVLESNPGQIQALGSNRTLKK PLKTEDDFVKFDTRFLPKPLFFRKAKNSSATRPQGPAGQLNKTPSSSKKVTFGLNRNMTA EFKKTDKSILVSPTGLSRVAFNPEQRPLHGVLKTATSSPASTPLSPMRLPATTPKRRPRA ADFF >ENSMUSP00000123044.1 pep:known chromosome:GRCm38:17:32036429:32047915:1 gene:ENSMUSG00000058392.12 transcript:ENSMUST00000151808.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rrp1b description:ribosomal RNA processing 1 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919712] MALAMQSSEFQFAQRLASSEKGVRDRAVRKLRQYLSARTQSDTGSFSQEELLKIWKGLFY CMWVQDEPLLQVTQSPRHGSGPLPRWTPICCHPQTDGSKCFKEPLSQLLSPQEGAAGT >ENSMUSP00000117400.1 pep:known chromosome:GRCm38:17:32051804:32056602:1 gene:ENSMUSG00000058392.12 transcript:ENSMUST00000150469.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rrp1b description:ribosomal RNA processing 1 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919712] TKALACRKAVSGKKAALDECLRDGVIGSRERDICAALKDSGSPLQFDYKAVADRLLEIAN SKSTPPFNRKRLCRLVRKFQDLCEGKKSCSHPVHTPPRAARPNPRLRVIGKLIRGWPPSL RSPKQVPWEMPPCKTLCTYFPSIRCLQCAMVLHSVLLRTTVREGTRGKERSF >ENSMUSP00000109108.1 pep:known chromosome:GRCm38:X:106574346:106603679:-1 gene:ENSMUSG00000052821.3 transcript:ENSMUST00000113480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cysltr1 description:cysteinyl leukotriene receptor 1 [Source:MGI Symbol;Acc:MGI:1926218] MYLQGTKQTFLENMNGTENLTTSLINNTCHDTIDEFRNQVYSTMYSVISVVGFFGNSFVL YVLIKTYHEKSAFQVYMINLAIADLLCVCTLPLRVVYYVHKGKWLFGDFLCRLTTYALYV NLYCSIFFMTAMSFFRCVAIVFPVQNINLVTQKKARFVCIGIWIFVILTSSPFLMYKSYQ DEKNNTKCFEPPQNNQAKKYVLILHYVSLFFGFIIPFVTIIVCYTMIILTLLKNTMKKNM PSRRKAIGMIIVVTAAFLVSFMPYHIQRTIHLHLLHSETRPCDSVLRMQKSVVITLSLAA SNCCFDPLLYFFSGGNFRRRLSTFRKHSLSSMTYVPKKKASLPEKGEEICNE >ENSMUSP00000063520.3 pep:known chromosome:GRCm38:X:106577820:106580861:-1 gene:ENSMUSG00000052821.3 transcript:ENSMUST00000064892.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cysltr1 description:cysteinyl leukotriene receptor 1 [Source:MGI Symbol;Acc:MGI:1926218] MYLQGTKQTFLENMNGTENLTTSLINNTCHDTIDEFRNQVYSTMYSVISVVGFFGNSFVL YVLIKTYHEKSAFQVYMINLAIADLLCVCTLPLRVVYYVHKGKWLFGDFLCRLTTYALYV NLYCSIFFMTAMSFFRCVAIVFPVQNINLVTQKKARFVCIGIWIFVILTSSPFLMYKSYQ DEKNNTKCFEPPQNNQAKKYVLILHYVSLFFGFIIPFVTIIVCYTMIILTLLKNTMKKNM PSRRKAIGMIIVVTAAFLVSFMPYHIQRTIHLHLLHSETRPCDSVLRMQKSVVITLSLAA SNCCFDPLLYFFSGGNFRRRLSTFRKHSLSSMTYVPKKKASLPEKGEEICNE >ENSMUSP00000075537.1 pep:known chromosome:GRCm38:13:23162643:23163581:1 gene:ENSMUSG00000061376.1 transcript:ENSMUST00000076180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r219 description:vomeronasal 1 receptor 219 [Source:MGI Symbol;Acc:MGI:2159676] MVLQFIKEIFFFFMTMVGILGNMSVSVHYMFSWWGSPEKKPIHLILTHLTFTNIILLLAK GLQKTITVFRLRNFLDDIGCKIIVYLERVARGLSICTSSFLTVVQAIIISPRASGWSRLR PKSAWHILPFFSFFWIFNGLISVNLIHSIRSIGMNTSQHKNSDNYCYMTLESQEIKWIVL PLMVLRDAVFQGAMGGASGYMVFLLHKHHQQVLYFQNSKLLYRTPPELRAAQSVLLLMLC FVFFYWTDCAFSLFLSLSLGDYLLMVSIHESVTLGYAVSSPLLLIHRDGLLTECCHSQWE TLRNCLSLLYFQ >ENSMUSP00000146230.1 pep:known chromosome:GRCm38:7:127208890:127213522:1 gene:ENSMUSG00000030672.12 transcript:ENSMUST00000206204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylpf description:myosin light chain, phosphorylatable, fast skeletal muscle [Source:MGI Symbol;Acc:MGI:97273] MAPKKAKRRAGAEGSSNVFSMFDQTQIQEFKEAFTVIDQNRDGIIDKEDLRDTFAAMGRL NVKNEELDAMMKEASGPINFTVFLTMFGEKLK >ENSMUSP00000145886.1 pep:known chromosome:GRCm38:7:127208899:127214288:1 gene:ENSMUSG00000030672.12 transcript:ENSMUST00000206772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylpf description:myosin light chain, phosphorylatable, fast skeletal muscle [Source:MGI Symbol;Acc:MGI:97273] MFDQTQIQEFKEAFTVIDQNRDGIIDKEDLRDTFAAMGRLNVKNEELDAMMKEASGPINF TVFLTMFGEKLKGADPEDVITGAFKVLDPEGKGTIKKQFLEELLTTQCDRFSQEEIKNMW AAFPPDVGGNVDYKNICYVITHGDAKDQE >ENSMUSP00000032910.6 pep:known chromosome:GRCm38:7:127211608:127214298:1 gene:ENSMUSG00000030672.12 transcript:ENSMUST00000032910.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylpf description:myosin light chain, phosphorylatable, fast skeletal muscle [Source:MGI Symbol;Acc:MGI:97273] MAPKKAKRRAGAEGSSNVFSMFDQTQIQEFKEAFTVIDQNRDGIIDKEDLRDTFAAMGRL NVKNEELDAMMKEASGPINFTVFLTMFGEKLKGADPEDVITGAFKVLDPEGKGTIKKQFL EELLTTQCDRFSQEEIKNMWAAFPPDVGGNVDYKNICYVITHGDAKDQE >ENSMUSP00000120915.2 pep:known chromosome:GRCm38:7:127212679:127213487:1 gene:ENSMUSG00000030672.12 transcript:ENSMUST00000127710.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylpf description:myosin light chain, phosphorylatable, fast skeletal muscle [Source:MGI Symbol;Acc:MGI:97273] MFDQTQIQEFKEAFTVIDQNRDGIIDKEDLRDTFAAMGRLNVKNEELDAMMKEASGPINF T >ENSMUSP00000123223.1 pep:known chromosome:GRCm38:16:18060357:18089163:-1 gene:ENSMUSG00000003526.11 transcript:ENSMUST00000139861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prodh description:proline dehydrogenase [Source:MGI Symbol;Acc:MGI:97770] MALKRVFLLRSVAPRVAALSTKPQAQEQPPASPEALRGCGAAKAVRPPVPAVDFTNTQEA YRSRRSWELVRNLLVLRLCASPVLLAHHEQIRGVLTLHQGNFSLP >ENSMUSP00000003620.5 pep:known chromosome:GRCm38:16:18071726:18089292:-1 gene:ENSMUSG00000003526.11 transcript:ENSMUST00000003620.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prodh description:proline dehydrogenase [Source:MGI Symbol;Acc:MGI:97770] MALKRVFLLRSVAPRVAALSTKPQAQEQPPASPEALRGCGAAKAVRPPVPAVDFTNTQEA YRSRRSWELVRNLLVLRLCASPVLLAHHEQLFQVARKLLGQRMFERLMKMTFYGHFVAGE DQESIRPLIRHNKAFGVGFILDYGVEEDLSPEEAERKEMESCTSEAERDGSGANKREKQY QVHPAFGDRRDGVISARTYFYANEAKCDNYMENLLQCIKASGGASDGGFSAIKLTALGRP QFLLQFSDVLTRWRRFFHQMAAEQGQAGRAAVDTKLEVAVLQDSIAKMGIASRAEIEGWF TPETLGVSGTVDLLDWNSLIDSRTRLSRHLVVPNVQTGQLEPLLSRFTEEEEQQMKRMLQ RMDVLAKKAKEAGVRLMIDAEQSYFQPAISRLTLEMQRRFNVDKPFIFNTFQCYLKDAYD NVTLDMELARREGWCFGAKLVRGAYMAQERVRAAEIGYEDPINPTYEATNAMYHRCLNYV LEELKHSTKAEVMVASHNEDTVHFTLCRMKEIGLHPADGQVCFGQLLGMCDQISFPLGQA GFPVYKYVPYGPVMEVLPYLSRRALENSSIMKGAQRERQLLWQELRRRLRTGSLFHHPA >ENSMUSP00000117597.1 pep:known chromosome:GRCm38:16:18071726:18090203:-1 gene:ENSMUSG00000003526.11 transcript:ENSMUST00000136776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prodh description:proline dehydrogenase [Source:MGI Symbol;Acc:MGI:97770] MFERLMKMTFYGHFVAGEDQESIRPLIRHNKAFGVGFILDYGVEEDLSPEEAERKEMESC TSEAERDGSGANKREKQYQVHPAFGDRRDGVISARTYFYANEAKCDNYMENLLQCIKASG GASDGGFSAIKLTALGRPQFLLQFSDVLTRWRRFFHQMAAEQGQAGRAAVDTKLEVAVLQ DSIAKMGIASRAEIEGWFTPETLGVSGTVDLLDWNSLIDSRTRLSRHLVVPNVQTGQLEP LLSRFTEEEEQQMKRMLQRMDVLAKKAKEAGVRLMIDAEQSYFQPAISRLTLEMQRRFNV DKPFIFNTFQCYLKDAYDNVTLDMELARREGWCFGAKLVRGAYMAQERVRAAEIGYEDPI NPTYEATNAMYHRCLNYVLEELKHSTKAEVMVASHNEDTVHFTLCRMKEIGLHPADGQVC FGQLLGMCDQISFPLGQAGFPVYKYVPYGPVMEVLPYLSRRALENSSIMKGAQRERQLLW QELRRRLRTGSLFHHPA >ENSMUSP00000122889.1 pep:known chromosome:GRCm38:16:18072126:18079268:-1 gene:ENSMUSG00000003526.11 transcript:ENSMUST00000141635.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prodh description:proline dehydrogenase [Source:MGI Symbol;Acc:MGI:97770] XTVDLLDWNSLIDSRTRLSRHLVVPNVQTGQLEPLLSRFTEEEEQQMKRMLQRMDVLAKP SAA >ENSMUSP00000121736.1 pep:known chromosome:GRCm38:16:18072256:18079942:-1 gene:ENSMUSG00000003526.11 transcript:ENSMUST00000126087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prodh description:proline dehydrogenase [Source:MGI Symbol;Acc:MGI:97770] XFTPETLGVSGTVDLLDWNSLIDSRTRLSRHLVVPNVQKAKEAGVRLMIDAEQSYFQPAI SRLTLEMQRRFNVDKPFIFNTFQCYLKDAYDNVTLDMELARREGWCFGAKLVRGAYMAQE RVRAAEIGYEDPINPTYEATNAMYHRCLNYVLEELKHSTKAEVMVASHNEDTVHFTLCRM KEIGLHPADGQVCFGQLLGMCDQISFPLGQAGFPVYKYVPYGPVMEVLPYLSRRALENSS IMKG >ENSMUSP00000118264.1 pep:known chromosome:GRCm38:16:18084204:18089022:-1 gene:ENSMUSG00000003526.11 transcript:ENSMUST00000132241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prodh description:proline dehydrogenase [Source:MGI Symbol;Acc:MGI:97770] RPPVPAVDFTNTQEAYRSRRSWELVRNLLVLRLCASPVLLAHHEQLFQVARKLLGQRMFE RLMKMTFYGHFVAGEDQESIRPLIRHNKAFGVGFILDYGVEEDLSPEEAERKEME >ENSMUSP00000076633.1 pep:known chromosome:GRCm38:7:104068085:104069029:-1 gene:ENSMUSG00000094302.1 transcript:ENSMUST00000077417.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr644 description:olfactory receptor 644 [Source:MGI Symbol;Acc:MGI:3030478] MGGEAHNSSGLPPFILTGLPGMETSQHWLFLLLGVLYSVSIVGNALILFIIKEEESLHQP MYYFLSLLSGNDLGVSFSTLPTVLGVFCFHLREISFNSCMSQMFFIHLFSFMESGILLAM SFDRYVAICNPLRYSTVLTDARVMWMGVCVFLRSFCMIFPLPFLLKRLPFCKANVLSHAY CLHPDLIRLPCGDTSINNIFGLSIVISTFGLDSALIFLSYVLILRSVLAIASREERMKTL NTCVSHLCAVLIFYVPKVGVSMFARYGRHAPHYVHTLLSLIYLFVPPMLNPVIYSIKTKE IRRRFCKILLGNKF >ENSMUSP00000028307.8 pep:known chromosome:GRCm38:2:25624666:25628030:-1 gene:ENSMUSG00000026938.10 transcript:ENSMUST00000028307.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcna description:ficolin A [Source:MGI Symbol;Acc:MGI:1340905] MQWPTLWAFSGLLCLCPSQALGQERGACPDVKVVGLGAQDKVVVIQSCPGFPGPPGPKGE PGSPAGRGERGFQGSPGKMGPAGSKGEPGTMGPPGVKGEKGDTGAAPSLGEKELGDTLCQ RGPRSCKDLLTRGIFLTGWYTIHLPDCRPLTVLCDMDVDGGGWTVFQRRVDGSIDFFRDW DSYKRGFGNLGTEFWLGNDYLHLLTANGNQELRVDLQDFQGKGSYAKYSSFQVSEEQEKY KLTLGQFLEGTAGDSLTKHNNMSFTTHDQDNDANSMNCAALFHGAWWYHNCHQSNLNGRY LSGSHESYADGINWGTGQGHHYSYKVAEMKIRAS >ENSMUSP00000097426.1 pep:known chromosome:GRCm38:2:88034196:88035137:-1 gene:ENSMUSG00000075140.3 transcript:ENSMUST00000099838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr73 description:olfactory receptor 73 [Source:MGI Symbol;Acc:MGI:2151908] MTLSDGNHSGAVFTLLGFSDYPELTIPLFLIFLTIYSITVVGNIGMIVIIRINPKLHIPM YFFLSHLSFVDFCYSSIVAPKMLVNLVTMNRGISFVGCLVQFFFFCTFVVTESFLLGVMA YDRFVAIRNPLLYTVAMSQRLCAMLVLGSYAWGVVCSLILTCSALNLSFYGFNMINHFFC EFSSLLSLSRSDTSVSQLLLFVFATFNEISTLLIILLSYVLIVVTILKMKSASGRRKAFS TCASHLTAITIFHGTILFLYCVPNSKNSRHTVKVASVFYTVVIPMLNPLIYSLRNKDVKD TVKKIIGTKVYSS >ENSMUSP00000102475.1 pep:known chromosome:GRCm38:7:104011750:104012700:-1 gene:ENSMUSG00000066263.8 transcript:ENSMUST00000106862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr639 description:olfactory receptor 639 [Source:MGI Symbol;Acc:MGI:3030473] MGAENNESLDLLSIFLTGIPGLEAQHGWLSIPFFIMYIVAIVGNSLIMIAVQQESALHEP MYLFLSMLAITEVGVSVSTLPTVMGILWFNAYRIDFDGCLAQMFFIHTFSGMESGVLLAM SYDRFVAIYNPLRYTAILTLPRIISMGLGITLKSVALMAPLPILLKQLPYCHINILSHSY CLHSDLIQLPCADTRLNSILGLAIVLATFGLDSLLIVVSYGLILYTVMGIASGEGRKKTL NTCVSHICAVLIYYVPMIGVSVMHRVAKHASPVVHTLMSSIYLFVPPVLNPIIYSVKTRP IQQGIANLFSCKKGSI >ENSMUSP00000016664.7 pep:known chromosome:GRCm38:5:147016655:147076586:-1 gene:ENSMUSG00000016520.7 transcript:ENSMUST00000016664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnx2 description:ligand of numb-protein X 2 [Source:MGI Symbol;Acc:MGI:2155959] MGTTSDEMVPVEQASSTSSLDPLCFECGQQHWARENHLYNYQGEVDDDLVCHICLQPLLQ PLDTPCGHTFCHKCLRNFLQEKDFCPLDRKRLHFKLCKKSSILVHKLLDKLLVLCPFSPV CQDVMQRCDLEAHLKNRCPGASHRRVDLERRKTSQTQTQIEGETGSTVIDPPGTLPPETD CSGTVPGERNLTPASLPVWTEEPGLDNPAFEESAAADSVQQPLSLPEGEITTIEIHRSNP YIQLGISIVGGNETPLINIVIQEVYRDGVIARDGRLLAGDQILQVNNYDISNVSHNHARA VLSQPCSTLQLTVLRERRFGSRANSHADGSAPRDEVFQVLLHKRDSTEQLGIKLVRRTDE PGVFILDLLEGGLAAQDGRLNSNDRVLAINGHDLKHGTPELAAQIIQASGERVNLTIARP GKPQPSNGSREAGAHSSSNHAQPPSHSRPGSHKDLTRCVTCQEKHITVKKEPHESLGMTV AGGRGSKSGELPIFVTSVPPHGCLARDGRIKRGDVLLNINGIDLTNLSHSEAVAMLKASA ASPAVILKALEVQIAEEAAQATEEQPSAFSENEYDASWSPSWVMWLGLPSALHSCHDIVL RRSYLGSWGFSIVGGYEENHTNQPFFIKTIVLGTPAYYDGRLKCGDMIVAVNGLSTVGMS HSALVPMLKEQRNKVTLTVICWPGSLV >ENSMUSP00000095785.1 pep:known chromosome:GRCm38:7:104039798:104040736:1 gene:ENSMUSG00000073932.1 transcript:ENSMUST00000098183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr641 description:olfactory receptor 641 [Source:MGI Symbol;Acc:MGI:3030475] MALFNVTHPASFLLTGIPGLESLHPWLAGPLCVMYAVALGANTVILQAVRVEPILHAPMY YFLSMLSFSDVAMSMATLPTVLRTFCFDARSIAFDACLVQMFLIHSFSMMESGILLAMSF DRYVAICNPLHYATVLTNEFIAGMGLAVTARSFITLFPLPFLIKRLPICKSNVLSHSYCL HPDMMKLACADITINSIYGLFVLVSTFGMDLLFIFLSYVLILRSVMAIASHEERLKALNT CVSHILAVLAFYVPMIGVSTVHRFGKHAPRYIHVLMSNVYLFVPPVLNPLIYSAKTKEIR RAIFRMFRRIKL >ENSMUSP00000141352.1 pep:known chromosome:GRCm38:3:79336661:79430028:1 gene:ENSMUSG00000091685.6 transcript:ENSMUST00000195157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17359 description:predicted gene, 17359 [Source:MGI Symbol;Acc:MGI:4936993] MDKITHRYQNPCKQEHGPWGSSWMLVTNSAGRKISDPDKDLGGSPDL >ENSMUSP00000130702.1 pep:known chromosome:GRCm38:3:79345376:79464129:1 gene:ENSMUSG00000091685.6 transcript:ENSMUST00000164216.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17359 description:predicted gene, 17359 [Source:MGI Symbol;Acc:MGI:4936993] MALSHREPHCETVGKRMIVTGPDYVKDHLPKVHQHTAYIGEKRPALEKTGDLRYLWRPAS NRSLPAKYKHEYTCGIGWGIPQYSFFNRSRVESGFHIQHGELSLRAMDKITHRYQNPWQP KAFVLNKQLGYSRGFLAWNMSDYEDIEQRNSKRAILVKQSKLIRSNLPSRLPKLQEKKKW KFNSQDKYF >ENSMUSP00000142709.1 pep:known chromosome:GRCm38:3:79345379:79431286:1 gene:ENSMUSG00000091685.6 transcript:ENSMUST00000199658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17359 description:predicted gene, 17359 [Source:MGI Symbol;Acc:MGI:4936993] MALSHREPHCETVGKRMIVTGPDYVKDHLPKVHQHTAYIGEKRPALEKTGDLRYLWRPAS NRSLPAKYKHEYTCGIGWGIPQYSFFNRSRVESGFHIQHGELSLRAMDKITHRYQNPCKQ EHGPWGSSWMLVTNSAGRKISDPDKDLGGSPDLGHQQVLRGPIRPLTSVLPQAAAPPEDI NKASGGSADHGPPPGLQLLTSPPDINTDSGRTTDPDRAPSSSPGVDYVSSGDSTGMSSDW YGPQRLCRPLTSIWPPPAARPRDICMISGHPHGLPLFTCSTDSDYSRTTDPDLVLSSCTV PNINMVSRGHRGYSDRYGPQRLRRPLTSIWPPAVARPKNINMDSSSSLEHGHPHGLQLLT SPKDINTDSGCSRTTDPDMALSSSMSPDTNMISGGGRGYTEWHGHLKLRSPQTPMWPQVA PQPTDVCMTSGGNTHLTVDINKDPRFSTMQCRADGAMDNTVASDGSPAQGYQPGFRLKYR LRRSAWS >ENSMUSP00000128685.1 pep:known chromosome:GRCm38:17:18151930:18185178:1 gene:ENSMUSG00000091350.1 transcript:ENSMUST00000169686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r92 description:vomeronasal 2, receptor 92 [Source:MGI Symbol;Acc:MGI:3761352] MMSSLILIFWLLQVPKFFSSIIFNISRCYYIITEEFHHEGDVLIGAFFPLHTFYTGKKMP NSAVPYKYLDNYIQYNFKNYRYILALLFAIEEINGNPNLLPNTSLGFDFYNVRFTEKDTL DNVFIWLSALVQRNYLPNYNCKKRTFTAALTGTSWKTSAQIGTLLQFLKFPQITFGPYDP LLSDHSQYPSLYQMSPRDTSLSLAIVSLMVHFRWSWVGLIIPDDHKGNKILSDFREEMER KGICIAFVKMIPETWNLYFAKFWENMDETNVIIIYGDTDSLASLMRNIGQRLLTWNVWVM NIEHHVIDTADYFMLDLFHGSLIFKHHYRENFEFTKFIQTVNPNKYPEDIYLPKLWYFFF KCSFAGINCHVLANCQTNASLDILPSHIFDVAMNDESRNIYNGVYAVAHSLHEMTLQQIQ MQRCKNGEGMVSFPWQLNTFLKDIEVRDKKSLGWRQTIDEEYDILNLWNLPKGLGLKVKI GSFSVNAPQGQQLSLSEQMIQWPEIFSEVPRSFCTESCGPGFRKVTMAGKAICCYKCTPC ADNEISNETADVDQCVKCPEGHYANSEKNNCFQKSVSFLAYEDPLGMALASIALCLSALT VFVIGIFVKHRDTPIVKANNRVLSYILLITLTFCFLCSLNFIGQPNTAACILQQTTFAVA FTVALATVLAKTITVVLAFKVSFPGRIVRWLMISRGPNYIIPICTLIQLLLCGIWMATSP PFIDQDAHSEYGHIIILCNKGSAVAFHSVLGYLCFLALVSYTMAFLSRNLPDTFNESKFL SFSMLVFFCVWVTFLPVYHSTKGKVMMAMEVFSILASSTALLAFIFGPKCYIILLRPEKN SFSHTRKKKTHSKRKIFPKI >ENSMUSP00000061462.1 pep:known chromosome:GRCm38:7:104049408:104050352:-1 gene:ENSMUSG00000049797.3 transcript:ENSMUST00000052660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr642 description:olfactory receptor 642 [Source:MGI Symbol;Acc:MGI:3030476] MRTLYSNTSSTLSFMLTGFPEMQSLEHWLAALLLLLYVISIVGNALILFIIKEEQSLHHP MYYFLSLLSVNDLGVSFSTLPTVLASMCFHIPETAFDACLAQMFFIHFFSWTESGILLAM SFDRYVAICNPLHYSSVLTDARVAHMGMSIIIRSFCMVFPLPFLLKRLPFCKANVLTHSY CLHPDLIRLPCGDTTINSMYGLFIVISAFGVDSVLILLSYVLILRSVLAIASREERLKTL NTCVSHISAVLIFYVPMISVSMVHRFVKHAPEYVHKFTSLVYLFVPPMLNPIIYSIKTKE IRRRLHKMLLGTKF >ENSMUSP00000139705.1 pep:known chromosome:GRCm38:13:8941575:8948231:-1 gene:ENSMUSG00000021148.11 transcript:ENSMUST00000187196.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9745 description:predicted gene 9745 [Source:MGI Symbol;Acc:MGI:3704398] MFQASKTHLDELQLKRLEEMCIVIDKQDQIIGADTKKNCHLMENINKGLLHRAFSVVLFN MKNELLVQQRADAKYTFPGHFTDSCSSHPLYVPEELEEKDALGVRRAALRRLQAELGISQ DQISIKDIIFMTRKYHKCQSDAIWGEHEIGYLLLVRKDLMLNPDTREVRRCCYMSQKDVQ ELLDREARGEEKITPWFRSMVEDFLFSWWPHLEDVSSFVEPDKIYGL >ENSMUSP00000021573.5 pep:known chromosome:GRCm38:13:8941705:8944300:-1 gene:ENSMUSG00000021148.11 transcript:ENSMUST00000021573.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9745 description:predicted gene 9745 [Source:MGI Symbol;Acc:MGI:3704398] MFQASKTHLDELQLKRLEEMCIVIDKQDQIIGADTKKNCHLMENINKGLLHRAFSVVLFN MKNELLVQQRADAKYTFPGHFTDSCSSHPLYVPEELEEKDALGVRRAALRRLQAELGISQ DQISIKDIIFMTRKYHKCQSDAIWGEHEIGYLLLVRKDLMLNPDTREVRRCCYMSQKDVQ ELLDREARGEEKITPWFRSMVEDFLFSWWPHLEDVSSFVEPDKIYGL >ENSMUSP00000062054.4 pep:known chromosome:GRCm38:7:104127912:104129826:-1 gene:ENSMUSG00000055643.4 transcript:ENSMUST00000053743.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931431F19Rik description:RIKEN cDNA 4931431F19 gene [Source:MGI Symbol;Acc:MGI:1918230] MARAREEAGDSQLVSGREPSSRIIRVSVKTPQDCHEFFLAENSNVRRFKKQISKYLHCNA DRLVLIFTGKILRDQDILSQRGILDGSTVHVVVRSHLKGSACTGTLVGPTGQYTHRSEPS AKLGRLAGTSPDLADFFSQRVQLLPAAPESVVQLLEDPLIQGLANEKHANGVHIPETSKT VQKRDPALKFPETLQKPAQSQEVLQEHKQRLEALKAVPGGDNAMHPSCSDIHQVMLSTLA LLVASKGHISALELCRGETNNAHSSSDPTTIPATSPLVRTLAQEVSTGGVSQVKGIVSSQ ASLGCRPGMLDLHSGSDIPCQESQQPLEKVPLTCQPRLSPCVLRLALTVLQQNPSLAHQL ATGSPLLHHLPLLPILTNPRALQALLQIEEGLQILSREVPELGPLFRDSAKPRGARGAQE TRGRRQAHREDTTQHSLAFLQLFHSLARACSQSSQTALPTSLFTEGRYQQELEELKALGF ANRDANLQALVATDGDIHAAIEMLLGAPQD >ENSMUSP00000031287.4 pep:known chromosome:GRCm38:5:112276691:112315361:1 gene:ENSMUSG00000029344.14 transcript:ENSMUST00000031287.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpst2 description:protein-tyrosine sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:1309516] MRRAPWLGLRPWLGMRLSVRKVLLAAGCALALVLAVQLGQQVLECRAVLGGTRNPRRMRP EQEELVMLGADHVEYRYGKAMPLIFVGGVPRSGTTLMRAMLDAHPEVRCGEETRIIPRVL AMRQAWTKSGREKLRLDEAGVTDEVLDAAMQAFILEVIAKHGEPARVLCNKDPFTLKSSV YLARLFPNSKFLLMVRDGRASVHSMITRKVTIAGFDLSSYRDCLTKWNKAIEVMYAQCME VGRDKCLPVYYEQLVLHPRRSLKRILDFLGIAWSDTVLHHEDLIGKPGGVSLSKIERSTD QVIKPVNLEALSKWTGHIPRDVVRDMAQIAPMLARLGYDPYANPPNYGNPDPIVINNTHR VLKGDYKTPANLKGYFQVNQNSTSPHLGSS >ENSMUSP00000071399.1 pep:known chromosome:GRCm38:5:112276707:112315355:1 gene:ENSMUSG00000029344.14 transcript:ENSMUST00000071455.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpst2 description:protein-tyrosine sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:1309516] MRRAPWLGLRPWLGMRLSVRKVLLAAGCALALVLAVQLGQQVLECRAVLGGTRNPRRMRP EQEELVMLGADHVEYRYGKAMPLIFVGGVPRSGTTLMRAMLDAHPEVRCGEETRIIPRVL AMRQAWTKSGREKLRLDEAGVTDEVLDAAMQAFILEVIAKHGEPARVLCNKDPFTLKSSV YLARLFPNSKFLLMVRDGRASVHSMITRKVTIAGFDLSSYRDCLTKWNKAIEVMYAQCME VGRDKCLPVYYEQLVLHPRRSLKRILDFLGIAWSDTVLHHEDLIGKPGGVSLSKIERSTD QVIKPVNLEALSKWTGHIPRDVVRDMAQIAPMLARLGYDPYANPPNYGNPDPIVINNTHR VLKGDYKTPANLKGYFQVNQNSTSPHLGSS >ENSMUSP00000114592.1 pep:known chromosome:GRCm38:5:112288762:112307736:1 gene:ENSMUSG00000029344.14 transcript:ENSMUST00000151947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpst2 description:protein-tyrosine sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:1309516] MRRAPWLGLRPWLGMRLSVRKVLLAAGCALALVLAVQLGQQVLECRA >ENSMUSP00000142679.1 pep:known chromosome:GRCm38:5:112303896:112314957:1 gene:ENSMUSG00000029344.14 transcript:ENSMUST00000198502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpst2 description:protein-tyrosine sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:1309516] XRTVRAGSRGDQARGLVLENEGAQGRIERSTDQVIKPVNLEALSKWTGHIPRDVVRDMAQ IAPMLARLGYDPYANPPNYGNPDPIVINNTHRVLKGDYKTPANLKGYFQVNQNSTSPHLG SS >ENSMUSP00000116816.1 pep:known chromosome:GRCm38:5:112308291:112309812:1 gene:ENSMUSG00000029344.14 transcript:ENSMUST00000140262.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpst2 description:protein-tyrosine sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:1309516] XVMYAQCMEVGRDKCLPVYYEQLVLHPRRSLKRILDFLGIAWSDTVLHHEDLIGKPGGVS LSK >ENSMUSP00000066068.7 pep:known chromosome:GRCm38:7:28893248:28962340:-1 gene:ENSMUSG00000054808.14 transcript:ENSMUST00000068045.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actn4 description:actinin alpha 4 [Source:MGI Symbol;Acc:MGI:1890773] MVDYHAANQAYQYGPNSGGGNGAGGGGSMGDYMAQEDDWDRDLLLDPAWEKQQRKTFTAW CNSHLRKAGTQIENIDEDFRDGLKLMLLLEVISGERLPKPERGKMRVHKINNVNKALDFI ASKGVKLVSIGAEEIVDGNAKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAP YKNVNVQNFHISWKDGLAFNALIHRHRPELIEYDKLRKDDPVTNLNNAFEVAEKYLDIPK MLDAEDIVNTARPDEKAIMTYVSSFYHAFSGAQKAETAANRICKVLAVNQENEHLMEDYE RLASDLLEWIRRTIPWLEDRVPQKTIQEMQQKLEDFRDYRRVHKPPKVQEKCQLEINFNT LQTKLRLSNRPAFMPSEGRMVSDINNGWQHLEQAEKGYEEWLLNEIRRLERLDHLAEKFR QKASIHEAWTDGKEAMLKQRDYETATLSDIKALIRKHEAFESDLAAHQDRVEQIAAIAQE LNELDYYDSHNVNTRCQKICDQWDNLGSLTHSRREALEKTEKQLETIDQLHLEYAKRAAP FNNWMESAMEDLQDMFIVHTIEEIEGLISAHDQFKSTLPDADREREAILAIHKEAQRIAE SNHIKLSGSNPYTTVTPQIINSKWEKVQQLVPKRDHALLEEQSKQQSNEHLRRQFASQAN MVGPWIQTKMEEIGRISIEMNGTLEDQLSHLKQYERSIVDYKPSLDLLEQQHQLIQEALI FDNKHTNYTMEHIRVGWEQLLTTIARTINEVENQILTRDAKGISQEQMQEFRASFNHFDK DHGGALGPEEFKACLISLGYDVENDRQGDAEFNRIMSVVDPNHSGLVTFQAFIDFMSRET TDTDTADQVIASFKVLAGDKNFITAEELRRELPPDQAEYCIARMAPYQGPDAAPGALDYK SFSTALYGESDL >ENSMUSP00000115436.1 pep:known chromosome:GRCm38:7:28898620:28962223:-1 gene:ENSMUSG00000054808.14 transcript:ENSMUST00000127210.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actn4 description:actinin alpha 4 [Source:MGI Symbol;Acc:MGI:1890773] MVDYHAANQAYQYGPNSGGGNGAGGGGSMGDYMAQEDDWDRDLLLDPAWEKQQRKTFTAW CNSHLRKAGTQIENIDEDFRDGLKLMLLLEVISGERLPKPERGKMRVHKINNVNKALDFI ASKGVKLVSIGAEEIVDGNAKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAP YKNVNVQNFHISWKDGLAFNALIHRHRPELIEYDKLRKDDPVTNLNNAFEVAEKYLDIPK MLDAEDIVGTLRPDEKAIMTYVSCFYHAFSGAQKAETAANRICKVLAVNQENEHLMEDYE RLASDLLEWIRRTIPWLEDRVPQKTIQEMQQKLEDFRDYRRVHKPPKVQEKCQLEINFNT LQTKLRLSNRPAFMPSEGRMVSDINNGWQHLEQAEKGYEEWLLNEIRRLERLDHLAEKFR QKASIHEAWTDGKEAMLKQRDYETATLSDIKALIRKHEAFESDLAAHQDRVEQIAAIAQE LNELDYYDSHNVNTRCQKICDQWDNLGSLTHSRREALE >ENSMUSP00000123210.1 pep:known chromosome:GRCm38:7:28907014:28919221:-1 gene:ENSMUSG00000054808.14 transcript:ENSMUST00000140622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actn4 description:actinin alpha 4 [Source:MGI Symbol;Acc:MGI:1890773] MLLLEVISGERLPKPERGKMRVHKINNVNKALDFIASKGVKLVSIGAEEIVDGNAKMTLG MIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYKNVNVQNFHISWKDGLAFNALIHR HRPELIEYDKLRKDDPVTNLNNAFEVAEKYLDIPKMLDAEDIVNTARPDEKAIMTYVSSF YHAFSGAQKAETAANRICKVLAVNQE >ENSMUSP00000122268.1 pep:known chromosome:GRCm38:7:28913378:28949759:-1 gene:ENSMUSG00000054808.14 transcript:ENSMUST00000148196.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actn4 description:actinin alpha 4 [Source:MGI Symbol;Acc:MGI:1890773] MLLLEVISGERLPKPERGKMRVHKINNVNKALDFIASKGVKLVSIGAEEIVDGNAKMTLG MIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYKNVNVQNFHISWKDGLAFNALIHR HRPELIEYDKLRK >ENSMUSP00000139240.1 pep:known chromosome:GRCm38:7:103998800:104137405:-1 gene:ENSMUSG00000090219.1 transcript:ENSMUST00000138055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930516K23Rik description:RIKEN cDNA 4930516K23 gene [Source:MGI Symbol;Acc:MGI:1924125] MGAENNESLDLLSIFLTGIPGLEAQHGWLSIPFFIMYIVAIVGNSLIMIAVQQESALHEP MYLFLSMLAITEEASNSLTDLLGLYTVNDGVHHRRCQQIDIGHENMDQWRSMLRKANHLF QRHLISLL >ENSMUSP00000115275.1 pep:known chromosome:GRCm38:3:79455974:79567796:-1 gene:ENSMUSG00000061175.11 transcript:ENSMUST00000133154.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnip2 description:folliculin interacting protein 2 [Source:MGI Symbol;Acc:MGI:2683054] MAPTLLQKLFNKRGGGAASAQARPPKEEPAFSWSCSEFGLSDIRLLVYQDCERRGRQVMF DSRAVQKMEEAAAQKAEDVPIKMSARCCQESSSSSGSSSSGSSSSHGFGGSLQHAKQQLP KYQYTRPASDVSMLGEMMFGSVAMSYKGSTLKIHYIRSPPQLMISKVFSATMGSFCGSTN NLQDSFEYINQDPQAGKLNTNQYNLGPFRTGSNLGLLQVCSGKLLQGLSEGGPLRLTRSA SFFAAHSTPVDMPSRGQNEDRDSGIARSASLSSLLITPFPSPSSSTSSSSSYQRRWLRSQ TTSLENGIFPRRSTDETFSLAEETCSSNPAMVRRKKIAISIIFSLCEREAAQRDFQDFFF SHFPLFESHMNRLKGAIEKAMISCRKISESSLRVQFYVSRLMEALGEFRGTIWNLYSVPR IAEPVWLTMMSNTLEKNQLCQRFLKEFILLIEQVNKNQFFAALLTAVLTYHLAWVPTVMP VDHPPIKAFSEKRTSQSVNMLAKTHPYNPLWAQLGDLYGAIGSPVRLTRTVVIGKQKDLV QRILYVLTYFLRCSELQENQLSWSGNPSEDDQVINGSKIITALEKGEVEESEYVVVTVSS EPALVPPILPQGTAERRSPEPTVVAEISEGVNTSELGHKPEKNRCKRPEQNSEASSMGFQ EAEPDSSWIPQGIFCEDKQNDQEATQDCSSSPPSCEVPRVRRRMDQQTLHSKLHGETLKK RAEQSAAWPCPDRHSQEDPPVEKVTFHIGSSISPESDFESRTKRMEERLKACGHFHGASA SASSSMDTGLTQEQQGSGCSFKADFEKDITPQDHSSGGEGVSEDRGLRANMTHAVGQLSQ VDGPLAHSLCAAESGRRLLEQTRDVQLKGYKGPSSEPVPNRCRQQGGLLIAADVPYGDAS GKGNYRSEGDIPRNESLDSALGDSDDEACVLALLELGHSCDRTEESLEVELPLPRSQSTS KANVRNFGRSLLAGYCATYMPDLVLHGTSSDEKLKQCLAADLVHTVHHPVLDEPIAEAVC IIADTDKWTVQVATSQRKVTDTMKLGQDVLVSSQVSSLLQSILQLYKLHLPADFCIMHLE DRLQEMYLKSKMLSEYLRGHTRVHVKELSVVLGIESNDLPLLTAIASTHSPYVAQILL >ENSMUSP00000075497.4 pep:known chromosome:GRCm38:3:79459294:79567682:-1 gene:ENSMUSG00000061175.11 transcript:ENSMUST00000076136.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnip2 description:folliculin interacting protein 2 [Source:MGI Symbol;Acc:MGI:2683054] MAPTLLQKLFNKRGGGAASAQARPPKEEPAFSWSCSEFGLSDIRLLVYQDCERRGRQVMF DSRAVQKMEEAAAQKAEDVPIKMSARCCQESSSSSGSSSSGSSSSHGFGGSLQHAKQQLP KYQYTRPASDVSMLGEMMFGSVAMSYKGSTLKIHYIRSPPQLMISKVFSATMGSFCGSTN NLQDSFEYINQDPQAGKLNTNQYNLGPFRTGSNLAHSTPVDMPSRGQNEDRDSGIARSAS LSSLLITPFPSPSSSTSSSSSYQRRWLRSQTTSLENGIFPRRSTDETFSLAEETCSSNPA MVRRKKIAISIIFSLCEREAAQRDFQDFFFSHFPLFESHMNRLKGAIEKAMISCRKISES SLRVQFYVSRLMEALGEFRGTIWNLYSVPRIAEPVWLTMMSNTLEKNQLCQRFLKEFILL IEQVNKNQFFAALLTAVLTYHLAWVPTVMPVDHPPIKAFSEKRTSQSVNMLAKTHPYNPL WAQLGDLYGAIGSPVRLTRTVVIGKQKDLVQRILYVLTYFLRCSELQENQLSWSGNPSED DQVINGSKIITALEKGEVEESEYVVVTVSSEPALVPPILPQGTAERRSPEPTVVAEISEG VNTSELGHKPEKNRCKRPEQNSEASSMGFQEAEPDSSWIPQGIFCEDKQNDQEATQDCSS SPPSCEVPRVRRRMDQQTLHSKLHGETLKKRAEQSAAWPCPDRHSQEDPPVEKVTFHIGS SISPESDFESRTKRMEERLKACGHFHGASASASSSMDTGLTQEQQGSGCSFKADFEKDIT PQDHSSGGEGVSEDRGLRANMTHAVGQLSQVDGPLAHSLCAAESGRRLLEQTRDVQLKGY KGPSSEPVPNRCRQQGGLLIAADVPYGDASGKGNYRSEGDIPRNESLDSALGDSDDEACV LALLELGHSCDRTEESLEVELPLPRSQSTSKANVRNFGRSLLAGYCATYMPDLVLHGTSS DEKLKQCLAADLVHTVHHPVLDEPIAEAVCIIADTDKWTVQVATSQRKVTDTMKLGQDVL VSSQVSSLLQSILQLYKLHLPADFCIMHLEDRLQEMYLKSKMLSEYLRGHTRVHVKELSV VLGIESNDLPLLTAIASTHSPYVAQILL >ENSMUSP00000095784.1 pep:known chromosome:GRCm38:7:104106281:104107219:1 gene:ENSMUSG00000073931.1 transcript:ENSMUST00000098182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr646 description:olfactory receptor 646 [Source:MGI Symbol;Acc:MGI:3030480] MSESLPVTLFLTGIPGLEFAHLWIAIPFCVMYVVALLGNAALILIIGTESVLHTPMYLFL CLLSLTDLALSSTTVPKMLAILWLHSNEISFGGCLAQMFCVHSIYALESSVLLAMAFDRY VAICNPLRYTTILNHTVIAQIIFAGIVRSVAIVSPFIFLLRRLPYCGHRVMTHTYCEHMG IARLACANITVNIVYGLTVALLAMGLDSILIAISYGFILRAVFRLPSRDAQHKALSTCGS HLGVILVFYIPAFFSFLTHRFGHNRVPKHVHIFLANLYVLVPPVLNPIIYGARTKEIRSR LLKLLHLGKDLV >ENSMUSP00000081858.1 pep:known chromosome:GRCm38:7:104021372:104022316:-1 gene:ENSMUSG00000066262.3 transcript:ENSMUST00000084799.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr640 description:olfactory receptor 640 [Source:MGI Symbol;Acc:MGI:3030474] MLGLNGTPFQPATLQLTGIPGMNTGQAWIALIFCFLYFISIAGNLSILALVIREPPLHQP MYYFLSMLSLNDLGVSLSTLPTVLATFCFNYRHVDFDACLVQMFFIHTFSFMESGILLAM SFDRFVAICDPLRYSTVLTNSRILAMGLGILAKSFTTLFPFPFLVKRLPFCKGNVLHHSY CLHPDLMKVACGDIHVNNIYGLFVVIFTYGVDSVFILLSYALILRAVLVIASHEQRLKAL NTCISHICAVLAFYVPIIAVSMIHRFWKSAPAVVHVMMSNVYLFVPPMLNPIIYSVKTKE IRKGMLKVFHKSQT >ENSMUSP00000116476.1 pep:known chromosome:GRCm38:5:100805192:100820940:-1 gene:ENSMUSG00000035234.18 transcript:ENSMUST00000153302.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam175a description:family with sequence similarity 175, member A [Source:MGI Symbol;Acc:MGI:1917931] MEGESTLGVLSGFVLGALTFHHLNTDSDTTFRNISHATGFLAFIIL >ENSMUSP00000143465.1 pep:known chromosome:GRCm38:5:100805192:100820940:-1 gene:ENSMUSG00000035234.18 transcript:ENSMUST00000200657.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam175a description:family with sequence similarity 175, member A [Source:MGI Symbol;Acc:MGI:1917931] MEGESTLGVLSGFVLGALTFHHLNTDSDTEGFLLGEMKGEAKNSITDSQMDNVKVVYTID IQKYIPCYRLFSFYNSLGEVNEHALKKVLSNVRKTVVGWYKFRRHSDQIMTFREQLLHRN LQTHLSSPELVFLLLTPSITTESCSTHCLEHALYKPQRGLFHRVPLVVTNLGMSDQLGYK TEPASCTSTVFSRAVRTHSSQFFNEDGSLKEVHKINEMYAAVQEELKSICQKVEQSEREV EKLLMDVNQLKEVRRTQQARATGAGEKNVQRNPQENILLCQALRTFFPESEVLHSCVISL KNRHISPSGCNVNHHVDVVDQLTLMVEYVYSPEASPVPTAQLRKRKALDTHDQGSVKRPR LLETESRPSVAASRSRHQDKASSSSLDIDIEMGSPEDDADYPRSPTF >ENSMUSP00000047692.7 pep:known chromosome:GRCm38:5:100805192:100820943:-1 gene:ENSMUSG00000035234.18 transcript:ENSMUST00000044535.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam175a description:family with sequence similarity 175, member A [Source:MGI Symbol;Acc:MGI:1917931] MEGESTLGVLSGFVLGALTFHHLNTDSDTEGFLLGEMKGEAKNSITDSQMDNVKVVYTID IQKYIPCYRLFSFYNSLGEVNEHALKKVLSNVRKTVVGWYKFRRHSDQIMTFREQLLHRN LQTHLSSPELVFLLLTPSITTESCSTHCLEHALYKPQRGLFHRVPLVVTNLGMSDQLGYK TEPASCTSTVFSRAVRTHSSQFFNEDGSLKEVHKINEMYAAVQEELKCRLRPEEALVPMD LEAQLRAALVYAKKLNKVNEK >ENSMUSP00000055895.6 pep:known chromosome:GRCm38:5:100805192:100820965:-1 gene:ENSMUSG00000035234.18 transcript:ENSMUST00000055245.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam175a description:family with sequence similarity 175, member A [Source:MGI Symbol;Acc:MGI:1917931] MEGESTLGVLSGFVLGALTFHHLNTDSDTEGFLLGEMKGEAKNSITDSQMDNVKVVYTID IQKYIPCYRLFSFYNSLGEVNEHALKKVLSNVRKTVVGWYKFRRHSDQIMTFREQLLHRN LQTHLSSPELVFLLLTPSITTESCSTHCLEHALYKPQRGLFHRVPLVVTNLGMSDQLGYK TEPASCTSTVFSRAVRTHSSQFFNEDGSLKEVHKINEMYAAVQEELKSICQKVEQSEREV EKLLMDVNQLKEVRRTQQARATGAGEKNVQRNPQENILLCQALRTFFPESEVLHSCVISL KNRHISPSGCNVNHHVDVVDQLTLMVEYVYSPEASPVPTAQLRKRKALDTHDQGSVKRPR LLETESRPSVAASRSRHQDKASSSSLDIDIEMGSPEDDADYPRSPTF >ENSMUSP00000117012.1 pep:known chromosome:GRCm38:5:100809794:100820941:-1 gene:ENSMUSG00000035234.18 transcript:ENSMUST00000129358.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam175a description:family with sequence similarity 175, member A [Source:MGI Symbol;Acc:MGI:1917931] MEGESTLGVLSGFVLGALTFHHLNTDSDTEGFLLGEMKGEAKNSITDSQMDNVKVVYTIA FIIL >ENSMUSP00000114050.1 pep:known chromosome:GRCm38:5:100805203:100820940:-1 gene:ENSMUSG00000035234.18 transcript:ENSMUST00000117364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam175a description:family with sequence similarity 175, member A [Source:MGI Symbol;Acc:MGI:1917931] MEGESTLGVLSGFVLGALTFHHLNTDSDTEGFLLGEMKGEAKNSITDSQMDNVKVVYTID IQKYIPCYRLFSFYNSLGEVNEHALKKVLSNVRKTVVGWYKFRRHSDQIMTFREQLLHRN LQTHLSSPELVFLLLTPSITTESCSTHCLEHALYKPQRGLFHRVPLVVTNLGMSDQLGYK TEPASCTSTVFSRAVRTHSSQFFNEDGSLKEVHKINEMYAAVQEELKSICQKVEQSEREV EKLLMDVNQLKEVRRTQQARATGAGEKNVQRNPQENILLCQALRTFFPESEVLHSCVISL KNRHISPSGCNVNHHVDVVDQLTLMVEYVYSPEASPVPTAQLRKRKALDTHDQGSVKRPR LLETESRPSVAASRSRHQDKASSSSLDIDIEMGSPEDDADYPRSPTF >ENSMUSP00000073707.1 pep:known chromosome:GRCm38:7:104058656:104059600:-1 gene:ENSMUSG00000095559.1 transcript:ENSMUST00000074064.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr643 description:olfactory receptor 643 [Source:MGI Symbol;Acc:MGI:3030477] MGGEAHNSSGLPPFILTGLPGMETSQHWLFLLLGVLYTVSIVGNALILFIIKEEESLHQP MYYFLSLLSLNDLGVSFSTLTTVLGVFCFLLREISFNSCMSQMFFIHLFSFMESGILLAM SFDRYVAICNPLHYSTVLTDARVMWMGVCVFFRSFCMIFPLPFLLKRLPFCKANVLSHAY CLHPDMIRLPCGDITINNIFGLFIVISTFGLDSALILLSYVLILRSVLAIASREERLKTL NTCVSHLCAVLIFYVPMVGVSMAARYGRHAPRYVHTLLSLVYLFVPPMLNPVIYSIKTKE IRRRLHKILLGTKI >ENSMUSP00000132646.1 pep:known chromosome:GRCm38:16:11984581:12269949:1 gene:ENSMUSG00000022494.13 transcript:ENSMUST00000170672.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa9 description:shisa family member 9 [Source:MGI Symbol;Acc:MGI:1919805] MRRVLRLLLGCFLTELCARMCRAQERSGHGQLAQLGGVLLLTGGNRSGAASGEAGEGVGG SDAPPTRAPTPDSCRGYFDVMGQWDPPFNCSSGDFIFCCGTCGFRFCCTFKKRRLNQSTC TNYDTPLWLNTGKPPARKDDPLHDPTKDKTNLIVYIICGVVAVMVLVGIFTKLGLEKAHR PQREHMSRALADVMRPQGHCNTDHMERDLNIVVHVQHYENMDSRTPINNLHTTQMNNAVP TSPLLQQMGHPHSYPNLGQISNPYEQQPPGKELNKYASLKAVGNSDGDWAVATLKSPKAD KVNDDFYAKRRHLAELAVKGNLPLHPVRVEDEPRAFSPEHGPAQQNGQKSRTNKMPPHPL AYNSTANFKTWDPSDQSLRRQAYGNKGKLGIAESGSCDPLGTRTQHFPPTQPYFITNSKT EVTV >ENSMUSP00000023138.6 pep:known chromosome:GRCm38:16:11984581:12270902:1 gene:ENSMUSG00000022494.13 transcript:ENSMUST00000023138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa9 description:shisa family member 9 [Source:MGI Symbol;Acc:MGI:1919805] MRRVLRLLLGCFLTELCARMCRAQERSGHGQLAQLGGVLLLTGGNRSGAASGEAGEGVGG SDAPPTRAPTPDSCRGYFDVMGQWDPPFNCSSGDFIFCCGTCGFRFCCTFKKRRLNQSTC TNYDTPLWLNTGKPPARKDDPLHDPTKDKTNLIVYIICGVVAVMVLVGIFTKLGLEKAHR PQREHMSRALADVMRPQGHCNTDHMERDLNIVVHVQHYENMDSRTPINNLHTTQMNNAVP TSPLLQQMGHPHSYPNLGQISNPYEQQPPGKELNKYASLKAVADKVNDDFYAKRRHLAEL AVKGNLPLHPVRVEDEPRAFSPEHGPAQQNGQKSRTNKMPPHPLAYNSTANFKTWDPSDQ SLRRQAYGNKGKLGIAESGSCDPLGTRTQHFPPTQPYFITNSKTEVTV >ENSMUSP00000036621.1 pep:known chromosome:GRCm38:13:8952863:8960945:1 gene:ENSMUSG00000033520.2 transcript:ENSMUST00000038598.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idi2 description:isopentenyl-diphosphate delta isomerase 2 [Source:MGI Symbol;Acc:MGI:2444315] MFQASKTHLDELQLKRLEEMCIVIDKQDQIIGADTKKNCHLMENINKGLLHRAFSVVLFN MKNELLVQQRADAKYTFPGHFTDSCSSHPLYVPEELEEKDALGVRRAALRRLQAELGISQ DQISIKDIIFMTRKYHKCQSDAIWGEHEIGYLLLVRKDLMLNPDTREVRRCCYMSQKDVQ ELLDREARGEEKITPWFRSMVEDFLFSWWPHLEDVSSFVEPDKIYGL >ENSMUSP00000056614.5 pep:known chromosome:GRCm38:11:96929302:96935648:1 gene:ENSMUSG00000047040.9 transcript:ENSMUST00000054311.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr15l description:proline rich 15-like [Source:MGI Symbol;Acc:MGI:2387599] MTEVGWWKLTFLRKKKSTPKVLYEIPDTYAQTEGGAEPPGPDAGDPHSDFNSRLEKIVDK NTKGKHVKVSNSGRFKEKKKVRAMLAENPNLFDDRENKGQ >ENSMUSP00000103262.3 pep:known chromosome:GRCm38:11:96929324:96937830:1 gene:ENSMUSG00000047040.9 transcript:ENSMUST00000107636.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr15l description:proline rich 15-like [Source:MGI Symbol;Acc:MGI:2387599] MTEVGWWKLTFLRKKKSTPKVLYEIPDTYAQTEGGAEPPGPDAGDPHSDFNSRLEKIVDK NTKGKHVKVSNSGRFKEKKKVRAMLAENPNLFDDRENKGQ >ENSMUSP00000103259.1 pep:known chromosome:GRCm38:11:96931387:96935280:1 gene:ENSMUSG00000047040.9 transcript:ENSMUST00000107633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr15l description:proline rich 15-like [Source:MGI Symbol;Acc:MGI:2387599] MTEVGWWKLTFLRKKKSTPKVLYEIPDTYAQTEGGAEPPGPDAGDPHSDFNSRLEKIVDK NTKGKHVKVSNSGRFKEKKKVRAMLAENPNLFDDRENKGQ >ENSMUSP00000056647.5 pep:known chromosome:GRCm38:11:96929394:96935643:1 gene:ENSMUSG00000047040.9 transcript:ENSMUST00000062172.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr15l description:proline rich 15-like [Source:MGI Symbol;Acc:MGI:2387599] MTEVGWWKLTFLRKKKSTPKVLYEIPDTYAQTEGGAEPPGPDAGDPHSDFNSRLEKIVDK NTKGKHVKVSNSGRFKEKKKVRAMLAENPNLFDDRENKGQ >ENSMUSP00000062821.3 pep:known chromosome:GRCm38:7:104084131:104085078:-1 gene:ENSMUSG00000051340.4 transcript:ENSMUST00000057104.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr645 description:olfactory receptor 645 [Source:MGI Symbol;Acc:MGI:3030479] MGNFRINASQVPSFILTGFPGMEAMEPWLSLPFLLFYAISIIGNSLILLIIKEEQSLHQP MYYFLSLLSVNDLGVSFSTLPTVLTTLCFHARVINFNACLAQMFFIHLFSWTESGILLAM SFDHYVAICNPLRYATVLTNARIVAMGLGTVLRSFVLIVVFPVLLHRLPFCHPQNILSHA YCLHVDMIKLACTDVSLNSHYGLSIVLLTFGLDSALILISYVLILRSVLAIASREERLKT LNTCVSHILAVLIFYVPMVSVSIVHRFGAGLPHAVHILMSILYLFVPPMLNPIIYSIKTK EIRRRLLKMLFRVKL >ENSMUSP00000095786.1 pep:known chromosome:GRCm38:7:104003259:104004224:1 gene:ENSMUSG00000094063.1 transcript:ENSMUST00000098184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr638 description:olfactory receptor 638 [Source:MGI Symbol;Acc:MGI:3030472] MLRPSSMSEVTNTTHGPFYFILTGIPGFEDIHLWISIPFFCLYTISIMGNTTILTVIRTE PSLHEPMYLFLSMLALTDLGLTLTTLPTVMQVLWFNIREISFEACFAQVFFLHGFSFMES SVLLAMSFDRYVAICRPLHYASILTSEVIARIGLAIICRCVLAVLPSLFLLKRLPFCHSH LLSHSYCLHQDMIHLVCADIRVNRWYGFALVLLIIVLDPLLIVLSYALILKSVLNTATWT ERLRALNNCLSHMLAVLVLYVPMVGVSMTHRFAKHASPLVHVLMANIYLLAPPVMNPIIY SVKTKQIRQGITRLLLQRKVH >ENSMUSP00000113513.1 pep:known chromosome:GRCm38:3:144188530:144202396:-1 gene:ENSMUSG00000028266.17 transcript:ENSMUST00000121796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo4 description:LIM domain only 4 [Source:MGI Symbol;Acc:MGI:109360] MVNPGSSSQPPPVTAGSLSWKRCAGCGGKIADRFLLYAMDSYWHSRCLKCSCCQAQLGDI GTSCYTKSGMILCRNDYIRLFGNSGACSACGQSIPASELVMRAQGNVYHLKCFTCSTCRN RLVPGDRFHYINGSLFCEHDRPTALINGHLNSLQSNPLLPDQKVC >ENSMUSP00000113840.1 pep:known chromosome:GRCm38:3:144188530:144205220:-1 gene:ENSMUSG00000028266.17 transcript:ENSMUST00000120539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo4 description:LIM domain only 4 [Source:MGI Symbol;Acc:MGI:109360] MVNPGSSSQPPPVTAGSLSWKRCAGCGGKIADRFLLYAMDSYWHSRCLKCSCCQAQLGDI GTSCYTKSGMILCRNDYIRLFGNSGACSACGQSIPASELVMRAQGNVYHLKCFTCSTCRN RLVPGDRFHYINGSLFCEHDRPTALINGHLNSLQSNPLLPDQKVC >ENSMUSP00000113865.1 pep:known chromosome:GRCm38:3:144188632:144202332:-1 gene:ENSMUSG00000028266.17 transcript:ENSMUST00000121112.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo4 description:LIM domain only 4 [Source:MGI Symbol;Acc:MGI:109360] MVNPGSSSQPPPVTAGSLSWKRCAGCGGKIADRFLLYAMDSYWHSRCLKCSCCQAQLGDI GTSCYTKSGMILCRNDYIRLFGNSGACSACGQSIPASELVMRAQGNVYHLKCFTCSTCRN RLVPGDRFHYINGSLFCEHDRPTALINGHLNSLQSNPLLPDQKVC >ENSMUSP00000143035.1 pep:known chromosome:GRCm38:3:144188683:144205220:-1 gene:ENSMUSG00000028266.17 transcript:ENSMUST00000196264.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo4 description:LIM domain only 4 [Source:MGI Symbol;Acc:MGI:109360] MVNPGSSSQPPPVTAGSLSWKRCAGCGGKIADRFLLYAMDSYWHSRCLKCSCCQAQLGDI GTSCYTKSGMILCRNDYIRSAERSE >ENSMUSP00000142551.1 pep:known chromosome:GRCm38:3:144193988:144202963:-1 gene:ENSMUSG00000028266.17 transcript:ENSMUST00000197652.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo4 description:LIM domain only 4 [Source:MGI Symbol;Acc:MGI:109360] MVNPGSSSQPPPVTAGSLSWKRCAGCGGKIADRFLLYAMDSYWHSRCLKCSCCQAQLGDI GTSCYTKSGMILCRNDYIRLFGNSGACSACGQSIPASELVMRAQGNVYHLKCFTCSTCRN RLVPGDRFHYIN >ENSMUSP00000129314.1 pep:known chromosome:GRCm38:5:113842436:113908705:-1 gene:ENSMUSG00000004530.12 transcript:ENSMUST00000164980.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Coro1c description:coronin, actin binding protein 1C [Source:MGI Symbol;Acc:MGI:1345964] MRRVVRQSKFRHVFGQAVKNDQCYDDIRVSRVTWDSSFCAVNPRFVAIIIEASGGGAFLV LPLHKTGRIDKSYPTVCGHTGPVLDIDWCPHNDQVIASGSEDCTVMVWQIPENGLTLSLT EPVVILEGHSKRVGIVAWHPTARNVLLSAEKHAGTNCSP >ENSMUSP00000004646.6 pep:known chromosome:GRCm38:5:113842436:113908758:-1 gene:ENSMUSG00000004530.12 transcript:ENSMUST00000004646.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1c description:coronin, actin binding protein 1C [Source:MGI Symbol;Acc:MGI:1345964] MRRVVRQSKFRHVFGQAVKNDQCYDDIRVSRVTWDSSFCAVNPRFVAIIIEASGGGAFLV LPLHKTGRIDKSYPTVCGHTGPVLDIDWCPHNDQVIASGSEDCTVMVWQIPENGLTLSLT EPVVILEGHSKRVGIVAWHPTARNVLLSAGCDNAIIIWNVGTGEALINLDDMHSDMIYNV SWSRNGSLICTASKDKKVRVIDPRKQEIVAEKEKAHEGARPMRAIFLADGNVFTTGFSRM SERQLALWNPKNMQEPIALHEMDTSNGVLLPFYDPDTSIIYLCGKGDSSIRYFEITDESP YVHYLNTFSSKEPQRGMGYMPKRGLDVNKCEIARFFKLHERKCEPIIMTVPRKSDLFQDD LYPDTAGPEAALEAEEWFEGKNADPILISLKHGYIPGKNRDLKVVKKNILDSKPAANKKS ELSCAPKKPTDTASVQNEAKLDEILKEIKSIKETICSQDERISKLEQQLAKMAA >ENSMUSP00000129457.1 pep:known chromosome:GRCm38:5:113865701:113882945:-1 gene:ENSMUSG00000004530.12 transcript:ENSMUST00000163264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1c description:coronin, actin binding protein 1C [Source:MGI Symbol;Acc:MGI:1345964] MRRVVRQSKFRHVFGQAVKNDQCYDDIRVSRVTWDSSFCAVNPRFVAIIIEASGGGAFLV LPLHKTGRIDKSYPTVCGHTGPVLD >ENSMUSP00000132504.1 pep:known chromosome:GRCm38:5:113865759:113882431:-1 gene:ENSMUSG00000004530.12 transcript:ENSMUST00000168399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1c description:coronin, actin binding protein 1C [Source:MGI Symbol;Acc:MGI:1345964] MRRVVRQSKFRHVFGQAVKNDQCYDDIRVSRVTWDSSFCAVNPRFVAIIIEASGGGAFLV LPLHKT >ENSMUSP00000022909.8 pep:known chromosome:GRCm38:15:39112865:39146856:1 gene:ENSMUSG00000022300.9 transcript:ENSMUST00000022909.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf13 description:DDB1 and CUL4 associated factor 13 [Source:MGI Symbol;Acc:MGI:2684929] MKVKMLSRNPDNYVRETKLDIQRVPRNYDPTLHPFEVPREYVRALNATKLERVFAKPFLA SLDGHRDGVNCLAKHPKSLASVLSGACDGEVKIWNLTKRKCIRTIQAHEGFVRGMCTRFC GTSFFTVGDDKTVKQWKMDGPGYGEEEEPLYTVLGKTVYTGIDHHWKDPVFATCGQQVDI WDEQRTSPVCSMNWGFDSISSVKFNPVETFLLGSCASDRNIVLYDMRQATPLKKVILEMR TNTICWNPMEAFNFTAANEDYNLYTFDMRALDTPVMVHMDHVSAVLDVDYSPTGKEFVSA SFDKSIRIFPVDKSRSREVYHTKRMQHVMCVKWTSDSKYIMCGSDEMNIRLWKANASEKL GVLTSREKAANDYNQKLKEKFQYHPHVKRIARHRHLPKSIYSQIQEQRVMKEARRRKEMN RRKHSKPGSVPIVSERKKHVVAVVK >ENSMUSP00000146918.1 pep:known chromosome:GRCm38:7:30727701:30729321:-1 gene:ENSMUSG00000006315.9 transcript:ENSMUST00000209065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem147 description:transmembrane protein 147 [Source:MGI Symbol;Acc:MGI:1915011] MLFLATFFPTWEGGIYDFIGEFMKASVDVADLIGLNLVMSRNAGKGEYKIMVAALGWATA ELIMSRCIPLWVGARGIEFDWKYIQMSIDSNISLVHYIVASAQVWMITRYDLYHTFRPAV LLLMFLSVYKAFVMETFVHLFSLGSWTALLARAVVTGLLALSTLALYVAVVNVHS >ENSMUSP00000146550.1 pep:known chromosome:GRCm38:7:30727701:30729507:-1 gene:ENSMUSG00000006315.9 transcript:ENSMUST00000207779.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem147 description:transmembrane protein 147 [Source:MGI Symbol;Acc:MGI:1915011] MTLFHFGNCFALAYFPYFITYKCSGLSEYNAFWKCVQAGVTYLFVQLCKMLFLATFFPTW EGGIYDFIGEFMKASVDVADLIGLNLVMSRNADASPSGWEPEALNLTGNISR >ENSMUSP00000146893.1 pep:known chromosome:GRCm38:7:30727702:30729426:-1 gene:ENSMUSG00000006315.9 transcript:ENSMUST00000208169.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem147 description:transmembrane protein 147 [Source:MGI Symbol;Acc:MGI:1915011] MTLFHFGNCFALAYFPYFITYKCSGLSEYNAFWKCVQAGVTYLFVQLCKMLFLATFFPTW EGGIYDFIGVHYIVASAQVWMITRYDLYHTFRPAVLLLMFLSVYKAFVMETFVHLFSLGS WTALLARAVVTGLLALSTLALYVAVVNVHS >ENSMUSP00000147083.1 pep:known chromosome:GRCm38:7:30727703:30729522:-1 gene:ENSMUSG00000006315.9 transcript:ENSMUST00000207296.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem147 description:transmembrane protein 147 [Source:MGI Symbol;Acc:MGI:1915011] MTLFHFGNCFALAYFPYFITYKCSGLSEYNAFWKCVQAGVTYLFVQLCKMLFLATFFPTW EGGIYDFIGEFMKASVDVADLIGLNLVMSRNAGKGEYKIMVAALGWATAELIMSRCIPLW VGARGIEFDWKYIQMSIDSNISLSLPASLQVHYIVASAQVWMITRYDLYHTFRPAVLLLM FLSVYKAFVMETFVHLFSLGSWTALLARAVVTGLLALSTLALYVAVVNVHS >ENSMUSP00000006478.8 pep:known chromosome:GRCm38:7:30727705:30729540:-1 gene:ENSMUSG00000006315.9 transcript:ENSMUST00000006478.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem147 description:transmembrane protein 147 [Source:MGI Symbol;Acc:MGI:1915011] MTLFHFGNCFALAYFPYFITYKCSGLSEYNAFWKCVQAGVTYLFVQLCKMLFLATFFPTW EGGIYDFIGEFMKASVDVADLIGLNLVMSRNAGKGEYKIMVAALGWATAELIMSRCIPLW VGARGIEFDWKYIQMSIDSNISLVHYIVASAQVWMITRYDLYHTFRPAVLLLMFLSVYKA FVMETFVHLFSLGSWTALLARAVVTGLLALSTLALYVAVVNVHS >ENSMUSP00000146763.1 pep:known chromosome:GRCm38:7:30727734:30729509:-1 gene:ENSMUSG00000006315.9 transcript:ENSMUST00000207263.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem147 description:transmembrane protein 147 [Source:MGI Symbol;Acc:MGI:1915011] MTLFHFGNCFALAYFPYFITYKCSGLSEYNAFWKCVQAGVTYLFVQLCK >ENSMUSP00000145436.1 pep:known chromosome:GRCm38:14:26027282:26042711:-1 gene:ENSMUSG00000094800.8 transcript:ENSMUST00000184915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9780 description:predicted gene 9780 [Source:MGI Symbol;Acc:MGI:3710532] MQALLCALAGLALLRAGTGEWGQGPRDTPGRRAAESPSSPGDLAGSPGCDRHAAVQRRLD IMEETVEKTVEHLEAEVTGLLGLLEELASNLPTGPFSPKPDLLGDDGF >ENSMUSP00000144775.1 pep:known chromosome:GRCm38:14:26028658:26042674:-1 gene:ENSMUSG00000094800.8 transcript:ENSMUST00000184272.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9780 description:predicted gene 9780 [Source:MGI Symbol;Acc:MGI:3710532] MQALLCALAGLALLRAGTGEWGQGPRDTPGRRAAESPSSPGDLAGSPGCDRHAAVQRRLD IMEETVEKTVEHLEAEVTGLLGLLEELASNLPTGPFSPKPDLLGDGEW >ENSMUSP00000016124.8 pep:known chromosome:GRCm38:7:139212988:139242979:1 gene:ENSMUSG00000015980.14 transcript:ENSMUST00000016124.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc27 description:leucine rich repeat containing 27 [Source:MGI Symbol;Acc:MGI:1923862] MEDTSPQAVAEKAAKDPKAAKDLKDDAAAATKSFPDHFSREGDDQMDFEGVIFSSSPVLD LSQRGLRHLGKFFKIPNLQQLHLQRNLLREIPEDFFQLLPNLTWLDLRYNKIKVLPSGIG SHKHLKTLLLERNPIKMLPVELGQVTTLTALNLRHCPLEFPPRLIVQKGLVAILTFLRIC SVEKAFPGDELLPEVSAPKMGSNDLQYPVLPLPRKGSPSENSLNDPDQEKEKADFFPPME RLDLSELRKSNAASEIWPSKEEIRRFWKLRQEIVENEQVEIQEKKLLAVELPPNLKAALN VKEKKHRKPWPAVRKRSTSFKGILPNLPSGYQNTVHANRMEDTHKAALQELQEKETVLEQ RRRDKRALQEWREQTQHMRTRRELSKLQPPHSNMMASKIPFATDLTDYEKMPVSPFGKVK PSGEGTAQRPIEISASPLAELEDKIKRHTQQIRTRSFLGTNPMQDIKTANQDLETTKKLQ EELRKLKVEMTLNKDHPFPSFTGNLSLHPPASQPQNIFFNTKS >ENSMUSP00000116624.1 pep:known chromosome:GRCm38:7:139213011:139218291:1 gene:ENSMUSG00000015980.14 transcript:ENSMUST00000156768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc27 description:leucine rich repeat containing 27 [Source:MGI Symbol;Acc:MGI:1923862] MEDTSPQAVAEKAAKDPKAAKDLKDDAAAATKSFPDHFSREGDDQMDFEGVIFSSSPVLD LSQRGLRHLGKFFKIPNLQQLHLQRNLLREIPEDFFQLLP >ENSMUSP00000101710.1 pep:known chromosome:GRCm38:7:139213272:139229008:1 gene:ENSMUSG00000015980.14 transcript:ENSMUST00000106104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc27 description:leucine rich repeat containing 27 [Source:MGI Symbol;Acc:MGI:1923862] MEDTSPQAVAEKAAKDPKAAKDLKDDAAAATKSFPDHFSREGDDQMDFEGVIFSSSPVLD LSQRGLRHLGKFFKIPNLQQLHLQRNLLREIPEDFFQLLPNLTWLDLRYNKIKVLPSGIG SHKHLKTLLLERNPIKMLPVELGQVTTLTALNLRHCPLEFPPRLIVQKGLVAILTFLRIC SVEKAFPGDELLPEVSAPKMGSNDLQYPVLPLPRKGSPSENSLNDPDQEKEKADFFPPME RLDLSELRKSNAASEIWPSKEEIRRFWKLRQEIVENEQVEIQEKKLLAVELPPNLKAALN VKEKKHRKPWPAVRVPKHSSCKQDGRHSQGGSSGAPREGDSAGATEELYWQPSCVLLWAP SSQPVWTTSTEPQGPKPHGWVWPVGDPSGFVRTG >ENSMUSP00000116827.1 pep:known chromosome:GRCm38:7:139214675:139221420:1 gene:ENSMUSG00000015980.14 transcript:ENSMUST00000135509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc27 description:leucine rich repeat containing 27 [Source:MGI Symbol;Acc:MGI:1923862] MEDTSPQAVAEKAAKDPKAAKDLKDDAAAATKSFPDHFSREGDDQMDFEGVIFSSSPVLD LSQRGLRHLGKFFKIPNLQNTTIAINNLQEPGSHLYQEDGIRRVGSSDTLTGGSCQWLPR VGRQHNAITPAEEFAQGDSGGFLPTAAQPDMAGPPLQQNQGSSIWDWLSQTFENFASRT >ENSMUSP00000072089.3 pep:known chromosome:GRCm38:1:53158577:53187636:-1 gene:ENSMUSG00000060715.9 transcript:ENSMUST00000072235.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019A02Rik description:RIKEN cDNA 1700019A02 gene [Source:MGI Symbol;Acc:MGI:1916647] MAGAGSNGETLATRMEIIEMNDKLRNRQEIVNGLNIFLEENEEEAYLEELEEESDMFEEE ETEALNEDGSKEAHNNVRTSHKVSLRSIASMTDEERFNMRIKKFGITSKEFRKSPVASCF GLPLSTGKENADMEKKRRRLERFGLS >ENSMUSP00000139938.1 pep:known chromosome:GRCm38:1:53158600:53187608:-1 gene:ENSMUSG00000060715.9 transcript:ENSMUST00000190748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019A02Rik description:RIKEN cDNA 1700019A02 gene [Source:MGI Symbol;Acc:MGI:1916647] MVGSSWCLHPHGGLLEIIEMNDKLRNRQEIVNGLNIFLEENEEEAYLEELEEESDMFEEE ETEALNEDGSKEAHNNVRTSHKVSLRSIASMTDEERFNMRIKKFGITSKEFRKSPVASCF GLPLSTGKENADMEKKRRRLERFGLS >ENSMUSP00000028238.8 pep:known chromosome:GRCm38:2:35180205:35201120:-1 gene:ENSMUSG00000026878.16 transcript:ENSMUST00000028238.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab14 description:RAB14, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1915615] MATAPYNYSYIFKYIIIGDMGVGKSCLLHQFTEKKFMADCPHTIGVEFGTRIIEVSGQKI KLQIWDTAGQERFRAVTRSYYRGAAGALMVYDITRRSTYNHLSSWLTDARNLTNPNTVII LIGNKADLEAQRDVTYEEAKQFAEENGLLFLEASAKTGENVEDAFLEAAKKIYQNIQDGS LDLNAAESGVQHKPSAPQGGRLTSEPQPQREGCGC >ENSMUSP00000108648.1 pep:known chromosome:GRCm38:2:35180205:35201120:-1 gene:ENSMUSG00000026878.16 transcript:ENSMUST00000113025.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab14 description:RAB14, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1915615] MATAPYNYSYIFKYIIIGDMGVGKSCLLHQFTEKKFMADCPHTIGVEFGTRIIEVSGQKI KLQIWDTAGQERFRAVTRSYYRGAAGALMVYDITRRSTYNHLSSWLTDARNLTNPNTVII LIGNKADLEAQRDVTYEEAKQFAEENGGFET >ENSMUSP00000098045.2 pep:known chromosome:GRCm38:11:99665043:99665960:-1 gene:ENSMUSG00000075566.2 transcript:ENSMUST00000100476.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap4-6 description:keratin associated protein 4-6 [Source:MGI Symbol;Acc:MGI:1916018] MANSCCGSVCSEESCGQGCCQPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSLCCQPTCC RPSCCISSCCRPTCCRPTCCISSCCRPTCCRPSCCISSCCRPTCCRPSCCISSCCRPSCC RPSCCISSCCRPSCCRPSCCRPSCCISSCCRPSCCVSSCCRPQCCISSCCRPICCQTTCC RTTCYRPACSSGSCC >ENSMUSP00000060159.9 pep:known chromosome:GRCm38:2:69897246:70024013:1 gene:ENSMUSG00000044308.17 transcript:ENSMUST00000055758.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr3 description:ubiquitin protein ligase E3 component n-recognin 3 [Source:MGI Symbol;Acc:MGI:1861100] MAAAAAAAAVGDPQPPQPEAPAQGLALDKAATAAHLKAALSRPDNRAGAEELQALLERVL NAERPLAGAAGGEEAAGGGGGGPGEAEEDALEWCKCLLAGGGGYEEFCAAVRAYDPAALC GLVWTANFVAYRCRTCGISPCMSLCAECFHQGDHTGHDFNMFRSQAGGACDCGDSNVMRE SGFCRRHQIKSSSNIPCVPKDLLMMSEFVLPRFIFCLIQYLREGYNEPAADAPSEKDLNK VLQLLEPQISFLEDLTKMGGAMRSVLTQVLTNQQNYKDLTAGLGENACAKKSHEKYLIAL KSSGLTYPEDKLVYGVQEPAAGTSTLAAQGFAGATGTLGQIDSSDEEDQDGSQGLGKRKR VKLSSGTKDQSIMDVLKHKSFLEELLFWTIKYEFPQKMVTFLLNMLPDQEYKVAFTKTFV QHYAFIMKTLKKSHESDTMSNRIVHISVQLFSNEELARQVTEECQLLDIMVTVLLYMMES CLIKSELQDEENSLHVVVNCGEALLKNNTYWPLVSDFINILSHQSVAQRFLEDHGLLVTW MNFVSFFQGMNLNKRELNEHVEFESQTYYAAFAAELEACAQPMWGLLSHCKVRETQEYTR NVVRYCLEALQDWFDAINFVDEPAPNQVTFHLPLHRYYAMFLSKAVKCQELDLDSLLPDQ EMLMKLMIHPLQIQASLAEIHSNMWVRNGLQIKGQAMTYVQSHFCNSMIDPDIYLLQVCA SRLDPDYFISSVFERFKVVDLLTMASQHHNMVLDVEHERSMLEGALTFLVILLSLRLHLG MSDDDILRAEMVAQLCMNDRTHSSLLDLIPENPNPKSGIIPGSYSFESVLSAVADFRAPI FEPGGSMQQGMYTPKAEVWDQEFDPVMVILRTVYRRDVQSAMDRYTAFLKQSGKFPGNPW PPYKKRTSLHPSYKGLMRLLHCKTLHIVLFTLLYKILMDHQNLSEHVLCMVLYLIELGLE NSADDDSEEEVSMGGPERCHDSWFPGSNLVSNMRHFINYVRVRVPETAPELKRDPLASTS SDALDSLQNSGTAQVFSLVAERRKKFQEIINRSNSEANQVVRPKIPSKWSAPGSSPQLTT AILEIKESILSLLIKLHHKLSGKQNSYYPPWLDDIEVLIQPEIPKYNHGDGITAVERILL KAAVQSRMNKRIIEEICRKVTPPVPPKKITAAEKKTLDKEERRQKARERQQKLLAEFASR QKSFMETAMDVDSPENDIPMEITTAEPQVSEAVYDCVICGQSGPSSEDRPTGLVVLLQAS SVLGQCRDNAEPKKLPIAEEEQIYPWDTCAAVHDVRLSLLQRYFKDSSCLLAVSIGWEGG VYVQTCGHTLHIDCHKSYMESLRNDQVLQGFSVDKGEFTCPLCRQFANSVLPCYPGSNVE NNLWQRPCNKSTQDLIKEVEELQGRPGAFPSETNLSKEMESVMKDIKNTTQKKYRDYSKT PGSPDNEFLFMYSVARTNLELELIHRGGSLCSGGPSTAGKRSCLNQLFHVLALHMRLYTI DSEYNPWKKLTQLVEDMNSQVGNEDQQPEVPILYHDVTSLLLIQILMMPQPLRKEHFTCI VKVLFTLLYTQALAALSVKGTEEDRSAWKHAGALRKDTCDAEKCYEVLLSFVISELSKGK LYYEEGAQECAMVSPIAWSPESMERYIQDFCLPFLRVSSLLQHHLFGEDLPSCQEEEEFS VLASCLGLLPTFYQTDHPFISASCLDWPVAAFDIITQWCFEITSFTERHAEQGKALLIQE SRWKLPHLLQLPENYNTIFQYYHRKTCSVCTKVPKDPAVCLVCGTFVCLKGLCCKQQSYC ECVLHSQNCGAGTGIFLLINASVIIIIRGHRFCLWGSVYLDAHGEEDRDLRRGKPLYICE ERYRVLEQQWVSHTFDHINKRWGPHYNGL >ENSMUSP00000121401.1 pep:known chromosome:GRCm38:2:69897303:69938659:1 gene:ENSMUSG00000044308.17 transcript:ENSMUST00000131553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr3 description:ubiquitin protein ligase E3 component n-recognin 3 [Source:MGI Symbol;Acc:MGI:1861100] XAAAAAAVGDPQPPQPEAPAQGLALDKAATAAHLKAALSRPDNRAGAEELQALLERVLNA ERPLAGAAGGEEAAGGGGGGPGEAEEDALEWCKCLLAGGGGYEEFCAAVRAYDPAALCGL VWTANFVAYRCRTCGISPCMSLCAECFHQGDHTGHDFNMFRSQAGGACDCGDSNVMRESG FCRRHQIKSSSNIPCVPKDLLMMSEFVLPRFIFCLIQYLREGYNEPADAPSEKDLNKVLQ LLEPQISFLEDLTKMGGAMRSVLTQVLTNQQNYKDLTAGLGENACAKKSHEKYLIALKSS GLTYPEDKLVYGVQEPAAGTSTLAAQGFAGATGTLGQIDSSDEEDQDGSQGLGKRKRVKL SSGTKDQSIMDVLKHKSFLEELLFWTIKYEFPQKMVTFLLNMLPDQEYKVAFTKTFVQHY AFIMKTLKKSHESDTMSNRIVHISVQLFSNEELARQVTEECQLLDIMVTVLLYMMESCLI KSELQGKLQLCHLLTISDFVQQVLNTLVSLQITSEIV >ENSMUSP00000122027.1 pep:known chromosome:GRCm38:2:69988786:70009188:1 gene:ENSMUSG00000044308.17 transcript:ENSMUST00000152610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr3 description:ubiquitin protein ligase E3 component n-recognin 3 [Source:MGI Symbol;Acc:MGI:1861100] SSCLLAVSIGWEGGVYVQTCGHTLHIDCHKSYMESLRNDQVLQGFSVDKGEFTCPLCRQF ANSVLPCYPGSNVENNLWQRPCNKSTQDLIKEVEELQGRPGAFPSETNLSKEMESVMKDI KNTTQKKYRDYSKTPGSPDNEFLFMYSVARTNLELELIHRGGSLCSGGPSTAGKRSCLNQ LFHVLALHMRLYTIDSEYNPWKKLTQLVEDMNSQVGNEDQQPEVPILYHDVTSLLLIQIL MM >ENSMUSP00000107870.2 pep:known chromosome:GRCm38:2:69897246:70024013:1 gene:ENSMUSG00000044308.17 transcript:ENSMUST00000112251.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr3 description:ubiquitin protein ligase E3 component n-recognin 3 [Source:MGI Symbol;Acc:MGI:1861100] MAAAAAAAAVGDPQPPQPEAPAQGLALDKAATAAHLKAALSRPDNRAGAEELQALLERVL NAERPLAGAAGGEEAAGGGGGGPGEAEEDALEWCKCLLAGGGGYEEFCAAVRAYDPAALC GLVWTANFVAYRCRTCGISPCMSLCAECFHQGDHTGHDFNMFRSQAGGACDCGDSNVMRE SGFCRRHQIKSSSNIPCVPKDLLMMSEFVLPRFIFCLIQYLREGYNEPADAPSEKDLNKV LQLLEPQISFLEDLTKMGGAMRSVLTQVLTNQQNYKDLTAGLGENACAKKSHEKYLIALK SSGLTYPEDKLVYGVQEPAAGTSTLAAQGFAGATGTLGQIDSSDEEDQDGSQGLGKRKRV KLSSGTKDQSIMDVLKHKSFLEELLFWTIKYEFPQKMVTFLLNMLPDQEYKVAFTKTFVQ HYAFIMKTLKKSHESDTMSNRIVHISVQLFSNEELARQVTEECQLLDIMVTVLLYMMESC LIKSELQDEENSLHVVVNCGEALLKNNTYWPLVSDFINILSHQSVAQRFLEDHGLLVTWM NFVSFFQGMNLNKRELNEHVEFESQTYYAAFAAELEACAQPMWGLLSHCKVRETQEYTRN VVRYCLEALQDWFDAINFVDEPAPNQVTFHLPLHRYYAMFLSKAVKCQELDLDSLLPDQE MLMKLMIHPLQIQASLAEIHSNMWVRNGLQIKGQAMTYVQSHFCNSMIDPDIYLLQVCAS RLDPDYFISSVFERFKVVDLLTMASQHHNMVLDVEHERSMLEGALTFLVILLSLRLHLGM SDDDILRAEMVAQLCMNDRTHSSLLDLIPENPNPKSGIIPGSYSFESVLSAVADFRAPIF EPGGSMQQGMYTPKAEVWDQEFDPVMVILRTVYRRDVQSAMDRYTAFLKQSGKFPGNPWP PYKKRTSLHPSYKGLMRLLHCKTLHIVLFTLLYKILMDHQNLSEHVLCMVLYLIELGLEN SADDDSEEEVSMGGPERCHDSWFPGSNLVSNMRHFINYVRVRVPETAPELKRDPLASTSS DALDSLQNSGTAQVFSLVAERRKKFQEIINRSNSEANQVVRPKIPSKWSAPGSSPQLTTA ILEIKESILSLLIKLHHKLSGKQNSYYPPWLDDIEVLIQPEIPKYNHGDGITAVERILLK AAVQSRMNKRIIEEICRKVTPPVPPKKITAAEKKTLDKEERRQKARERQQKLLAEFASRQ KSFMETAMDVDSPENDIPMEITTAEPQVSEAVYDCVICGQSGPSSEDRPTGLVVLLQASS VLGQCRDNAEPKKLPIAEEEQIYPWDTCAAVHDVRLSLLQRYFKDSSCLLAVSIGWEGGV YVQTCGHTLHIDCHKSYMESLRNDQVLQGFSVDKGEFTCPLCRQFANSVLPCYPGSNVEN NLWQRPCNKSTQDLIKEVEELQGRPGAFPVSISSETNLSKEMESVMKDIKNTTQKKYRDY SKTPGSPDNEFLFMYSVARTNLELELIHRGGSLCSGGPSTAGKRSCLNQLFHVLALHMRL YTIDSEYNPWKKLTQLVEDMNSQVGNEDQQPEVPILYHDVTSLLLIQILMMPQPLRKEHF TCIVKVLFTLLYTQALAALSVKGTEEDRSAWKHAGALRKDTCDAEKCYEVLLSFVISELS KGKLYYEEGAQECAMVSPIAWSPESMERYIQDFCLPFLRVSSLLQHHLFGEDLPSCQEEE EFSVLASCLGLLPTFYQTDHPFISASCLDWPVAAFDIITQWCFEITSFTERHAEQGKALL IQESRWKLPHLLQLPENYNTIFQYYHRKTCSVCTKVPKDPAVCLVCGTFVCLKGLCCKQQ SYCECVLHSQNCGAGTGIFLLINASVIIIIRGHRFCLWGSVYLDAHGEEDRDLRRGKPLY ICEERYRVLEQQWVSHTFDHINKRWGPHYNGL >ENSMUSP00000058355.3 pep:known chromosome:GRCm38:5:147077050:147079086:1 gene:ENSMUSG00000029642.11 transcript:ENSMUST00000050970.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1d description:polymerase (RNA) I polypeptide D [Source:MGI Symbol;Acc:MGI:108403] MEDDQELERKISGLKTSMAEGERKTALEMVQAAGTDRQCVTFVLHEEDHTLGNCLRYIIM KNPEVEFCGYTTTHPSESKINLRIQTRGALPAVEPFQKGLNELLNVCQHVLVKFEASIKD YKAKKASKKEPTF >ENSMUSP00000106186.1 pep:known chromosome:GRCm38:5:147077346:147111361:1 gene:ENSMUSG00000029642.11 transcript:ENSMUST00000110557.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1d description:polymerase (RNA) I polypeptide D [Source:MGI Symbol;Acc:MGI:108403] MEDDQELERKAIEELLKEAKRGKTRAETMGPMGWMKCPLAGTNKRFLINTIKNTLPSHKE QDHEQKEGSKEPGKSQDQKEASGKKYRSHSYKRSLHSSRGSAGCSPPRKRTSRTSRDKCD PRPSRR >ENSMUSP00000144185.1 pep:known chromosome:GRCm38:5:147077548:147111597:1 gene:ENSMUSG00000029642.11 transcript:ENSMUST00000200993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1d description:polymerase (RNA) I polypeptide D [Source:MGI Symbol;Acc:MGI:108403] MEDDQELERKAIEELLKEAKRGKTRAETMGPMG >ENSMUSP00000144455.1 pep:known chromosome:GRCm38:5:147077564:147111574:1 gene:ENSMUSG00000029642.11 transcript:ENSMUST00000202444.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polr1d description:polymerase (RNA) I polypeptide D [Source:MGI Symbol;Acc:MGI:108403] MEDDQELERKAIEELLKEAKRGKTRAETMGPMGWGLQGRDRD >ENSMUSP00000020827.6 pep:known chromosome:GRCm38:11:86484657:86499025:1 gene:ENSMUSG00000020521.7 transcript:ENSMUST00000020827.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnft1 description:ring finger protein, transmembrane 1 [Source:MGI Symbol;Acc:MGI:1924142] MQASCNQLHDPPGTAHEDAAASPCGHSRSTEGSLHPGDVHIQINSGPKEYSENPSSRNPR SGVCTCAHGCVHGRFRSYSHSEARPPDDFATESGEHGSGSFSEFRYLFKWLQKSLPYILI LGIKLVMQHITGISLGIGLLTTFMYANKSIVNQVFLRERSSKLRCAWLLVFLAGSSVLLY YTFHSQSLHYSLIFLNPTLEQLSFWEVLWIVGITDFILKFFFMGLKCLILLVPSFIMPFK SKGYWYMLLEELCQYYRIFVPIPVWFRYLISYGEFGNVTTWSLGILLALLYLILKLLDFF GHLRTFRQVLRVFFTRPSYGVPASKRQCSDMDGICTICQAEFQKPVLLFCQHIFCEECIT LWFNREKTCPLCRTVISECINKWKDGATSSHLQMY >ENSMUSP00000072222.1 pep:known chromosome:GRCm38:13:23183628:23184524:-1 gene:ENSMUSG00000096099.1 transcript:ENSMUST00000072385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r220 description:vomeronasal 1 receptor 220 [Source:MGI Symbol;Acc:MGI:2159675] MVLQFIKKTIFLFMTMVGILGNMSVSVHYMFSWWGSPEKKPIHLILTHLTFTNIILLLAK GLQKTIIVFGLRNFLDDIGCKIIVYLERVARGLSICTSSVLTVVQAIIISPRASGWRRLR PKSAWHILPFFSFFWILNAFISVNLIHSIRSKGMNTSQHKNSDDYCYLTPESQKIKWIVL PLMVLRDAVFQGAMGGASGYMVFLLHKHHQHVLYLQNSKLLYRTPPELRAAQSVLLLMLC FLFFYWTDCALSLFLSLSLGDSSLMINIQGFLTLGYAIFSPFVLIQRDGLIPVCWHAQ >ENSMUSP00000018803.5 pep:known chromosome:GRCm38:11:96937825:96943986:-1 gene:ENSMUSG00000018659.12 transcript:ENSMUST00000018803.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpo description:pyridoxine 5'-phosphate oxidase [Source:MGI Symbol;Acc:MGI:2144151] MTCGLLSVTVTFRRPAKWTGYLRHLCCRGAVMDLGPMRKSYRGDREAFEETHLTSLDPMK QFASWFDEAVQCPDIGEANAMCVATCTRDGKPSARMLLLKGFGKDGFRFFTNYESRKGKE LDSNPFASLVFYWEPLNRQVRVEGPVKKLPEKEAENYFHSRPKSSQIGAVVSRQSSVIPD REYLRKKNEELGQLYQDQEVPKPEYWGGYILYPQVMEFWQGQTNRLHDRIVFRRGLATGD SPLGPMTHHGEEDWVYERLAP >ENSMUSP00000103255.1 pep:known chromosome:GRCm38:11:96939503:96943958:-1 gene:ENSMUSG00000018659.12 transcript:ENSMUST00000107629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpo description:pyridoxine 5'-phosphate oxidase [Source:MGI Symbol;Acc:MGI:2144151] MTCGLLSVTVTFRRPAKWTGYLRHLCCRGAVMDLGPMRKSYRGDREAFEETHLTSLDPMK QFASWFDEAVQCPDIGEANAMCVATCTRILIPLPLLSSTGSP >ENSMUSP00000044231.3 pep:known chromosome:GRCm38:19:6105782:6115792:1 gene:ENSMUSG00000054999.2 transcript:ENSMUST00000044451.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naaladl1 description:N-acetylated alpha-linked acidic dipeptidase-like 1 [Source:MGI Symbol;Acc:MGI:2685810] MHWVKILGVALGAAALLGLGIILGHFAIPKATSPLTSSTSDSQDLDLAILDSVMGQLDAS RIRENLRELSKEPHVATSPRDEALVQLLLGRWKDTATGLDSAKTYEYRVLLSFPNAEQPN SVEVVGPNGTTFHSFQPFEKNLTGEQAGPNVLQPYAAYAPPGTPKGLLVYANQGSEEDFK ELETQGINLEGTIALTRYGGVGRGAKAINAAKHGVVGVLVYTDPGDINDGKSLPNETFPN SWRLPPSGVERGSYYEYFGDPLTPYLPAHPSSFRLDPHNTSGFPPIPTQPIGFEDARDLL CNLTGTSAPAFWQGALGCEYKLGPGFEPNGSFPAGSEVKVSVHNRLELRTSSNVLGIIQG AVEPDRYVIYGNHRDSWVHGAVDPSSGTAVLLEISRVLGTLLKKGTWRPRRSIIFASWGA EEFGLIGSTEFTEEFLSKLQERTVAYINVDISVFSNATLRAQGTPPVQSVIFSATKEISA PGSSGLSIYDNWIRYTNRTSPVYGLVPSLGTLGAGSDYAAFVHFLGITSMDLAYTYDRSK TSARIYPTYHTAFDTFDYVEKFLDPGFSSHQAVARTAGSVLLRLSDSLFLPLNVSDYSET LQSFLQAAQEALGTQLEKQNISLGPLVTAVANFKAAAASLGEHILTLQKSSPDPLQVRMV NDQLMLLERAFLNPRAFPEERHYSHVLWAPNTASVDTFPGLANAYAKAQEINSGSEAWAE VQRQLSIVVTALEGAAATLVPVADL >ENSMUSP00000080685.6 pep:known chromosome:GRCm38:4:86748555:86850603:1 gene:ENSMUSG00000038024.17 transcript:ENSMUST00000082026.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd4c description:DENN/MADD domain containing 4C [Source:MGI Symbol;Acc:MGI:1914769] MIEDKGPRVTDYFVVAGLTDTSTLLDQEINRTDTNSIGPKAPITDIAVIIKSAGETVPEG YTCVEATPSALQANLNYGSLKSPELFLCYRRGRDKPPLTDIGVLYEGKERLMPGCEVIQA TPYGRCANVNNSSTTSQRIFITYRRAPPVRSQNSLAVTDICVIITSKGETPPHTFCKVDK NLNCGMWGSNVFLCYKKSVPASNAIAYKAGLIFRYPEEDYESFPLSPSVPLFCLPMGATI ECWDPQIKYPLPVFSTFVLTGSSAEKVYGAAIQFYEPYSQERLTEKQLTQLGLLTLVEKR VVSKPINSNKCICLLSHWPFFEAFKNFLMFIYKVSVSGPHPLPIEKHISHFMQNIPFPSP QRPRILIQLSVHDAFILSQPVSTPLPLSGANFSSLLMNLGPENCATLLLLVLLESKILLH SLRPAVLTGVAEAVVAMIFPFQWQCPYIPLCPLSLAGVLSAPLPFIVGVDSRYFDLHDPP QDVVCIDLDTNTLYVADERKNINWKQLPKRPCKSLLGTLRRLYQQLCSVHRKPQESSAIE MTPIEADYSWQKKMTQLEMEIQETFLRFMASILKGYRSYLRPITEAPSNKATAADSLFDR QGFLKSRDRAYTKFYTLLSKTQIFIRFIEECSFVSDKDTGLAFFDDCIEKLFPDKGVERT EKVDLDSAEDTRLIELDDSQRSEHTVFIMPPEPPPDDGNNLSPQYSYTYFPRLDLKLFDS PQKLKLCFNRHPPGSSITNSPALMAKRTKQEIKTAHKLAKRCYTNPPQWAKYLFSHCYSL WFICLPAYVRVSHPKVRALQQAHDVLVKMRKTDVDPLDEVCYRVVMQLCGLWVNPVLAVR VLFEMKTARIKPNAITYGYYNKVVLESPWPSSTRSGIFLWTKVRNVVHGLAQFRQPLKKT GQKSQVFSISAPQNAACGSDGDTVSHGSVDSSNDANNGEHTVFVRDLISLDSIDNHSSTG GQSDQGYGSKDELVKEGADGHAPEEHTPPELTTTELHIEEECDISAIVSKHLQPTPEPQS PTEPPAWGSSIVKVPSGLFDTNNRTSTGSTSTVLFSTQAPVEDAVFSEVTNFKKNGDRGE KKQKHFPERSCSFSSESRAGMLLKKSSLDLNSSEMAIMMGADAKILTAALTCPKTSPPHV TRTHSFENVNCHLADSRTRMSEGTRDSEHRSSPVLEMLEESQELLEPVVGDNVAETAAEM TCNSLQSNSHSDQSRDTQAGAQDPVNKRSSSYATRKAIEREDVETGLDPLSLLATECVEK TSDSEDKLFSPVISRNLADEIESYMNLKSPLGSKSCSMELHGEGNQEPGSPAVFAHPLER SSSLPSDRGPPARDSTETEKSSPAVSSSKTLTGRFKPQSPYRAYKDRSTSLSALVRSSPN SSLGSVVNSLSGLKLDNILSGPKIDVLKSSMKQAATVASKMWVAVASAYSYSDDEEETNK DYSFPAGLEDHHIVGETLSPNTSVSGLVPSELTQSNTSLGSSSSSGDVGKLQCPAGEVPF SRNIKGQDFEKSDHGSSQNTSMSSIYQNCAMEVLMSSCSQCRACGALVYDEEIMAGWTAD DSNLNTTCPFCKSNFLPLLNVEFKDLRGSASFFLKPSTSGDSLQSGSIPSASEPSEHKPT SSSAEPDLISFMDFSKHSETITEEASYTVESSDEIKKTNGDVQSVKMSSVPNSLSKRNVS LTRSHSVGGPLQNIDFSQRPFHGVSTVSLPSSLQEDVDHLGKRPSPPPVSVPYLSPLVLR KELESLLENEGDQVIHTSSFINQHPIIFWNLVWYFRRLDLPSNLPGLILTSEHCNGGVQL PLSSLSQDSKLVYIQLLWDNINLHQEPGEPLYVSWRNLNSEKKPSLLSEQQQAASALVET IRQSIQQNDVLKPINLLSQQMKPGTKRQRSLYREILFLSLVSLGRENIDIEAFDNEYGLA YRSLPSESLERLQRIDAPPSISVEWCRKCFGAPLI >ENSMUSP00000123367.2 pep:known chromosome:GRCm38:4:86774255:86849055:1 gene:ENSMUSG00000038024.17 transcript:ENSMUST00000142837.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd4c description:DENN/MADD domain containing 4C [Source:MGI Symbol;Acc:MGI:1914769] MIEDKGPRVTDYFVVAGLTDTSTLLDQEINRTDTNSIGPKAPITDIAVIIKSAGETVPEG YTCVEATPSALQANLNYGSLKSPELFLCYRRGRDKPPLTDIGVLYEGKERLMPGCEVIQA TPYGRCANVNNSSTTSQRIFITYRRAPPVRSQNSLAVTDICVIITSKGETPPHTFCKVDK NLNCGMWGSNVFLCYKKSVPASNAIAYKAGLIFRYPEEDYESFPLSPSVPLFCLPMGATI ECWDPQIKYPLPVFSTFVLTGSSAEKVYGAAIQFYEPYSQERLTEKQLTQLGLLTLVEKR VVSKPINSNKCICLLSHWPFFEAFKNFLMFIYKVSVSGPHPLPIEKHISHFMQNIPFPSP QRPRILIQLSVHDAFILSQPVSTPLPLSGANFSSLLMNLGPENCATLLLLVLLESKILLH SLRPAVLTGVAEAVVAMIFPFQWQCPYIPLCPLSLAGVLSAPLPFIVGVDSRYFDLHDPP QDVVCIDLDTNTLYVADERKNINWKQLPKRPCKSLLGTLRRLYQQLCSVHRKPQESSAIE MTPIEADYSWQKKMTQLEMEIQETFLRFMASILKGYRSYLRPITEAPSNKATAADSLFDR QGFLKSRDRAYTKFYTLLSKTQIFIRFIEECSFVSDKDTGLAFFDDCIEKLFPDKGVERT EKVDLDSAEDTRLIELDDSQRSEHTVFIMPPEPPPDDGNNLSPQYSYTYFPRLDLKLFDS PQKLKLCFNRHPPGSSITNSPALMAKRTKQEIKTAHKLAKRCYTNPPQWAKYLFSHCYSL WFICLPAYVRVSHPKVRALQQAHDVLVKMRKTDVDPLDEVCYRVVMQLCGLWVNPVLAVR VLFEMKTARIKPNAITYGYYNKVVLESPWPSSTRSGIFLWTKVRNVVHGLAQFRQPLKKT GQKSQVFSISGGQSDQGYGSKDELVKEGADGHAPEEHTPPELTTTELHIEEECDISAIVS KHLQPTPEPQSPTEPPAWGSSIVKVPSGLFDTNNRTSTGSTSTVLFSTQAPVEDAVFSEV TNFKKNGDRGEKKQKHFPERSCSFSSESRAGMLLKKSSLDLNSSEMAIMMGADAKILTAA LTCPKTSPPHVTRTHSFENVNCHLADSRTRMSEGTRDSEHRSSPVLEMLEESQELLEPVV GDNVAETAAEMTCNSLQSNSHSDQSRDTQAGAQDPVNKRSSSYATRKAIEREDVETGLDP LSLLATECVEKTSDSEDKLFSPVISRNLADEIESYMNLKSPLGSKSCSMELHGEGNQEPG SPAVFAHPLERSSSLPSDRGPPARDSTETEKSSPAVSSSKTLTGRFKPQSPYRAYKDRST SLSALVRSSPNSSLGSVVNSLSGLKLDNILSGPKIDVLKSSMKQAATVASKMWVAVASAY SYSDDEEETNKDYSFPAGLEDHHIVGETLSPNTSVSGLVPSELTQSNTSLGSSSSSGDVG KLQCPAGEVPFSRNIKGQDFEKSDHGSSQNTSMSSIYQNCAMEVLMSSCSQCRACGALVY DEEIMAGWTADDSNLNTTCPFCKSNFLPLLNVEFKDLRGSASFFLKPSTSGDSLQSGSIP SASEPSEHKPTSSSAEPDLISFMDFSKHSETITEEASYTVESSDEIKKTNGDVQSVKMSS VPNSLSKRNVSLTRSHSVGGPLQNIDFSQRPFHGVSTVSLPSSLQEDVDHLGKRPSPPPV SVPYLSPLVLRKELESLLENEGDQVIHTSSFINQHPIIFWNLVWYFRRLDLPSNLPGLIL TSEHCNGGVQLPLSSLSQDSKLVYIQLLWDNINLHQEPGEPLYVSWRNLNSEKKPSLLSE QQQAASALVETIRQSIQQNDVLKPINLLSQQMKPGTKRQRSLYREILFLSLVSLGRENID IEAFDNEYGLAYRSLPSESLERLQRIDAPPSISVEWCRKCFGAPLI >ENSMUSP00000039860.8 pep:known chromosome:GRCm38:4:86748555:86830167:1 gene:ENSMUSG00000038024.17 transcript:ENSMUST00000045512.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd4c description:DENN/MADD domain containing 4C [Source:MGI Symbol;Acc:MGI:1914769] MIEDKGPRVTDYFVVAGLTDTSTLLDQEINRTDTNSIGPKAPITDIAVIIKSAGETVPEG YTCVEATPSALQANLNYGSLKSPELFLCYRRGRDKPPLTDIGVLYEGKERLMPGCEVIQA TPYGRCANVNNSSTTSQRIFITYRRAPPVRSQNSLAVTDICVIITSKGETPPHTFCKVDK NLNCGMWGSNVFLCYKKSVPASNAIAYKAGLIFRYPEEDYESFPLSPSVPLFCLPMGATI ECWDPQIKYPLPVFSTFVLTGSSAEKVYGAAIQFYEPYSQERLTEKQLTQLGLLTLVEKR VVSKPINSNKCICLLSHWPFFEAFKNFLMFIYKVSVSGPHPLPIEKHISHFMQNIPFPSP QRPRILIQLSVHDAFILSQPVSTPLPLSGANFSSLLMNLGPENCATLLLLVLLESKILLH SLRPAVLTGVAEAVVAMIFPFQWQCPYIPLCPLSLAGVLSAPLPFIVGVDSRYFDLHDPP QDVVCIDLDTNTLYVADERKNINWKQLPKRPCKSLLGTLRRLYQQLCSVHRKPQESSAIE MTPIEADYSWQKKMTQLEMEIQETFLRFMASILKGYRSYLRPITEAPSNKATAADSLFDR QGFLKSRDRAYTKFYTLLSKTQIFIRFIEECSFVSDKDTGLAFFDDCIEKLFPDKGVERT EKVDLDSAEDTRLIELDDSQRSEHTVFIMPPEPPPDDGNNLSPQYSYTYFPRLDLKLFDS PQKLKLCFNRHPPGSSITNSPALMAKRTKQEIKTAHKLAKRCYTNPPQWAKYLFSHCYSL WFICLPAYVRVSHPKVRALQQAHDVLVKMRKTDVDPLDEVCYRVVMQLCGLWVNPVLAVR VLFEMKTARIKPNAITYGYYNKVVLESPWPSSTRSGIFLWTKVRNVVHGLAQFRQPLKKT GQKSQVFSISAPQNAACGSDGDTVSHGSVDSSNDANNGEHTVFVRDLISLDSIDNHSSTG GQSDQGYGSKDELVKEGADGHAPEEHTPPELTTTELHIEEECDISAIVSKHLQPTPEPQS PTEPPAWGSSIVKVPSGLFDTNNRTSTGSTSTVLFSTQAPVEDAVFSEVTNFKKNGDRGE KKQKHFPERSCSFSSESRAGMLLKKSSLDLNSSEMAIMMGADAKILTAALTCPKTSPPHV TRTHSFENVNCHLADSRTRMSEGTRDSEHRSSPVLEMLEESQELLEPVVGDNVAETAAEM TCNSLQSNSHSDQSRDTQAGAQDPVNKRSSSYATRKAIEREDVETGLDPLSLLATECVEK TSDSEDKLFSPVISRNLADEIESYMNLKSPLGSKSCSMELHGEGNQEPGSPAVFAHPLER SSSLPSDRGPPARDSTETEKSSPAVSSSKTLTGRFKPQSPYRAYKDRSTSLSALVRSSPN SSLGSVVNSLSGLKLDNILSGPKIDVLKSSMKQAATVASKMWVAVASAYSYSDDEEETNK DYSFPAGLEDHHIVGETLSPNTSVSGLVPSELTQSNTSLGSSSSSGDVGKLQCPAGEVPF SRNIKGQDFEKSDHGSSQNTSMSSIYQNCAMEVLMSSCSQCRACGALVYDEEIMAGWTAD DSNLNTTCPFCKSNFLPLLNVEFKDLRGSARLVLCKLPWEVSALKTWRGWPLLCL >ENSMUSP00000144852.2 pep:known chromosome:GRCm38:2:111420032:111423112:-1 gene:ENSMUSG00000096703.2 transcript:ENSMUST00000184954.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1286 description:olfactory receptor 1286 [Source:MGI Symbol;Acc:MGI:3031120] MEDSNHTVASEFIFQGLCSSRQLEIFLLLPFSILYLMAVVGNLFVVILIIIDHHLHSPMY FLLANLSFIDFCLCSVTTPKLTIDLLKENKTISFVGCMSQIVCVHFFAGGEMVLLVTMAY DRYVAICRPLHYSSIMDRQKCIWFLVIPWIVGFVHAISQMLLILDLPFCGPRVIDSFFCD IPLVMKLACMNTDTLEILINADSGILATTCFILLLVSYTYILLTVQHRSKDGSSKALSTC TSHIIVVLLFFGPIIFIYLWPVSITWVDKFLAVFYSVITPLLNPAIYTLRNKDIKNAIKK LISHK >ENSMUSP00000097212.1 pep:known chromosome:GRCm38:2:111420032:111420949:-1 gene:ENSMUSG00000096703.2 transcript:ENSMUST00000099617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1286 description:olfactory receptor 1286 [Source:MGI Symbol;Acc:MGI:3031120] MEDSNHTVASEFIFQGLCSSRQLEIFLLLPFSILYLMAVVGNLFVVILIIIDHHLHSPMY FLLANLSFIDFCLCSVTTPKLTIDLLKENKTISFVGCMSQIVCVHFFAGGEMVLLVTMAY DRYVAICRPLHYSSIMDRQKCIWFLVIPWIVGFVHAISQMLLILDLPFCGPRVIDSFFCD IPLVMKLACMNTDTLEILINADSGILATTCFILLLVSYTYILLTVQHRSKDGSSKALSTC TSHIIVVLLFFGPIIFIYLWPVSITWVDKFLAVFYSVITPLLNPAIYTLRNKDIKNAIKK LISHK >ENSMUSP00000100694.1 pep:known chromosome:GRCm38:11:99690588:99690740:-1 gene:ENSMUSG00000078276.1 transcript:ENSMUST00000105073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14190 description:predicted gene 14190 [Source:MGI Symbol;Acc:MGI:3651811] MQCCCGPCCCQPCCCQPCCCHTTCCRTTCCCPCCCQPCCSGSNCCQSGCC >ENSMUSP00000076951.4 pep:known chromosome:GRCm38:X:48108725:48136981:1 gene:ENSMUSG00000037005.16 transcript:ENSMUST00000077775.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpnpep2 description:X-prolyl aminopeptidase (aminopeptidase P) 2, membrane-bound [Source:MGI Symbol;Acc:MGI:2180001] MAQAYWQCYPWLVLLCACAWSYPEPKYLGREDVRNCSTSPERLPVTAVNTTMRLAALRQQ METWNLSAYIIPDTDAHMSEYIGKPDKRREWISGFTGSAGTAVVTMGKAAVWTDSRYWTQ AERQMDCNWELHKEVSISSIVAWILAEVPDGQNVGFDPFLFSVDSWKNYDQGFQDSSRHL LSVTTNLVDVAWGSERPPVPSQPIYALPKEFTGSTWQEKVSAVRSYMEHHAKTPTGVLLS ALDETAWLFNLRSSDIPYNPFFYSYALLTNSSIRLFVNKSRFSLETLQYLNTNCTLPMCV QLEDYSQVRDSVKAYASGDVKILIGVSYTTYGVYEVIPKEKLVTDTYSPVMLIKAVKNSK EQALLKSSHVRDAVAVIQYLVWLEKNVPKGTVDEFSGAEYIDELRRNENFSSGPSFETIS ASGLNAALAHYSPTKELHRKLSSDEMYLVDSGGQYWDGTTDITRTVHWGTPTAFQKEAYT RVLMGNIDLSRLVFPAATSGRVIEAFARRALWEVGLNYGHGTGHGIGNFLCVHEWPVGFQ YNNIAMAKGMFTSIEPGYYHDGEFGIRLEDVALVVEAKTKYPGDYLTFELVSFVPYDRNL IDVRLLSPEQLQYLNRYYQTIRENVGPELQRRQLLEEFAWLEQHTEPLSARAPHIISWTS LWVASALAILSWSS >ENSMUSP00000110650.1 pep:known chromosome:GRCm38:X:48108919:48134025:1 gene:ENSMUSG00000037005.16 transcript:ENSMUST00000114998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpnpep2 description:X-prolyl aminopeptidase (aminopeptidase P) 2, membrane-bound [Source:MGI Symbol;Acc:MGI:2180001] MAQAYWQCYPWLVLLCACAWSYPEPKYLGREDVRNCSTSPERLPVTAVNTTMRLAALRQQ METWNLSAYIIPDTDAHMSEYIGKPDKRREWISGFTGSAGTAVVTMGKAAVWTDSRYWTQ AERQMDCNWELHKEVSISSIVAWILAEVPDGQNVGFDPFLFSVDSWKNYDQGFQDSSRHL LSVTTNLVDVAWGSERPPVPSQPIYALPKEFTGSTWQEKVSAVRSYMEHHAKTPTGVLLS ALDETAWLFNLRSSDIPYNPFFYSYALLTNSSIRLFVNKSRFSLETLQYLNTNCTLPMCV QLEDYSQVRDSVKAYASGDVKILIGVSYTTYGVYEVIPKEKLVTDTYSPVMLIKAVKNSK EQALLKSSHVRDAVAVIQYLVWLEKNVPKGTVDEFSGAEYIDELRRNENFSSGPSFETIS ASGLNAALAHYSPTKELHRKLSSDEMYLVDSGGQYWDGTTDITRTVHWGTPTAFQKEAYT RVLMGNIDLSRLVFPAATSGRVIEAFARRALWEVGLNYGHGTGHGIGNFLCVHEWPVGFQ YNNIAMAKGMFTSIEPGYYHDGEFGIRLEDVALVVEAKTKMD >ENSMUSP00000110652.3 pep:known chromosome:GRCm38:X:48108929:48136670:1 gene:ENSMUSG00000037005.16 transcript:ENSMUST00000115000.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpnpep2 description:X-prolyl aminopeptidase (aminopeptidase P) 2, membrane-bound [Source:MGI Symbol;Acc:MGI:2180001] MAQAYWQCYPWLVLLCACAWSYPEPKYLGREDVRNCSTSPERLPVTAVNTTMRLAALRQQ METWNLSAYIIPDTDAHMSEYIGKPDKRREWISGFTGSAGTAVVTMGKAAVWTDSRYWTQ AERQMDCNWELHKEVSISSIVAWILAEVPDGQNVGFDPFLFSVDSWKNYDQGFQDSSRHL LSVTTNLVDVAWGSERPPVPSQPIYALPKEFTGSTWQEKVSAVRSYMEHHAKTPTGVLLS ALDETACEWGFLNMGQSGSPKLPGPCNIQLFLKQQHIHQLLRAPKIIVCGNSEGKRAAVW GYRTRKPGSSKQVGLFNLRSSDIPYNPFFYSYALLTNSSIRLFVNKSRFSLETLQYLNTN CTLPMCVQLEDYSQVRDSVKAYASGDVKILIGVSYTTYGVYEVIPKEKLVTDTYSPVMLI KAVKNSKEQALLKSSHVRDAVAVIQYLVWLEKNVPKGTVDEFSGAEYIDELRRNENFSSG PSFETISASGLNAALAHYSPTKELHRKLSSDEMYLVDSGGQYWDGTTDITRTVHWGTPTA FQKEAYTRVLMGNIDLSRLVFPAATSGRVIEAFARRALWEVGLNYGHGTGHGIGNFLCVH EWPVGFQYNNIAMAKGMFTSIEPGYYHDGEFGIRLEDVALVVEAKTKYPGDYLTFELVSF VPYDRNLIDVRLLSPEQLQYLNRYYQTIRENVGPELQRRQLLEEFAWLEQHTEPLSARAP HIISWTSLWVASALAILSWSS >ENSMUSP00000058746.8 pep:known chromosome:GRCm38:2:25583018:25608521:-1 gene:ENSMUSG00000015087.14 transcript:ENSMUST00000058137.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabl6 description:RAB, member RAS oncogene family-like 6 [Source:MGI Symbol;Acc:MGI:2442633] MFSALKKLVGSEQAPGRDKNIPAGLQSMNQALQRRFAKGVQYNMKIVIRGDRNTGKTALW HRLQGKKFVEEYIPTQEIQVTSIHWNYKTTDDVVKVEVWDVVDKGKCKKRGDGLKTENDP QEAESELALDAEFLDVYKNCNGVVMMFDITKQWTFNYVLRELPKVPTHVPVCVLGNYRDM GEHRVILPDDVRDFIEHLDRPPGSSYFRYAESSMKNSFGLKYLHKFFNIPFLQLQRETLL RQLETNQLDIDATLEELSVQQETEDQNYSIFLEMMEARSRGHASPLAANGQSPSSGSQSP VVPPSAVSTGSSSPSTPQPAPQLSLGVSSTCPSAPSPVPSLEAMPSSVHSSAPAPTPAPA PAPAQRRSIISRLFGTSPAAEVTPSPPEPAPALEAPARVQNVEDFVPEDGLDRSFLEDTS VPKDKKVGAKGPQQDSDSDDGEALGGNPMVAGFQDDVDIEDQTHGKSLLPSDPMPSKNIS LSSEEEAEGLAGHPRVAPQQCSEPETKWSSTKVSHPQKKRAPTRGTPPWSDGLTTDDSER PQEGKDKQVSSESDPEGPIAAQMLSFVMDDPDFESDESDTQRRMGRFPVREDLSDVTDED TGPAQPPPPSKLPGAFRLKNDSDLFGLGLEEMGPKESSDEDRDSKLPSKEKKKKKKKSKE EEEKTTKKKSKHKKSKDKEEGKEDRKKKRKPPRSKEQKAADELEAFLGGGAPGSRHPGGG DYEEL >ENSMUSP00000145942.1 pep:known chromosome:GRCm38:7:35119293:35121928:1 gene:ENSMUSG00000034957.10 transcript:ENSMUST00000205391.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cebpa description:CCAAT/enhancer binding protein (C/EBP), alpha [Source:MGI Symbol;Acc:MGI:99480] MESADFYEVEPRPPMSSHLQSPPHAPSNAAFGFPRGAGPAPPPAPPAAPEPLGGICEHET SIDISAYIDPAAFNDEFLADLFQHSRQQEKAKAAAGPAGGGGDFDYPGAPAGPGGAVISR WTRTATSTGYGGNATTSRCARAEIKPNNATWRRNRRCWS >ENSMUSP00000096129.4 pep:known chromosome:GRCm38:7:35119303:35121928:1 gene:ENSMUSG00000034957.10 transcript:ENSMUST00000042985.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cebpa description:CCAAT/enhancer binding protein (C/EBP), alpha [Source:MGI Symbol;Acc:MGI:99480] MESADFYEVEPRPPMSSHLQSPPHAPSNAAFGFPRGAGPAPPPAPPAAPEPLGGICEHET SIDISAYIDPAAFNDEFLADLFQHSRQQEKAKAAAGPAGGGGDFDYPGAPAGPGGAVMSA GAHGPPPGYGCAAAGYLDGRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQ PPPPPPPPHPHASPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHAAPALGAA GLPGPGSALKGLAGAHPDLRTGGGGGGSGAGAGKAKKSVDKNSNEYRVRRERNNIAVRKS RDKAKQRNVETQQKVLELTSDNDRLRKRVEQLSRELDTLRGIFRQLPESSLVKAMGNCA >ENSMUSP00000143128.1 pep:known chromosome:GRCm38:19:38264536:38312214:1 gene:ENSMUSG00000067242.11 transcript:ENSMUST00000198518.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgi1 description:leucine-rich repeat LGI family, member 1 [Source:MGI Symbol;Acc:MGI:1861691] MESESSRRMGNACIPLKRIAYFLCLFSVVLLTEGKKPAKPKCPAVCTCSKDNALCENARS IPRTVPPDVISLSFVRSGFTEISEGSFLFTPSLQLLLFTSNSFDVISDDAFIGLPHLEYL FIENNNIKSISRHTFRGLKSLIHLSLANNNLQTLPKDIFKGLDSLTNVDLRGNAFNCDCK LKWLVEWLGHTNATVEDIYCEGPPEYKKRKINSLSPKDFDCIITEFAKSQDLPYQSLSID TFSYLNDEYVVIAQPFTGKCIFLEWDHVEKTFRNYDNITGTSTVVCKPIVIDTQLYVIVA QLFGGSHIYKRDGFANKFIKIQDIEVLKIRKPNDIETFKIEDNWYFVVADSSKAGFTTIY KWNGNGFYSHQSLHAWYRDTDVEYLEIARPPLALRTPHLILSSSSQRPVIYQWSKATQLF TNQTDIPNMEDVYAVKHFSVKGDVYICLTRFIGDSKVMKWGGSSFQDIQRMPSRGSMVFQ PLQINNYQYAILGSDYSFTQVYNWDAEKAKFVKFQELNVQAPRSFTHVSINKRNFLFASS FKGNTQIYKHVIVDLSA >ENSMUSP00000084507.6 pep:known chromosome:GRCm38:19:38264567:38308938:1 gene:ENSMUSG00000067242.11 transcript:ENSMUST00000087252.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lgi1 description:leucine-rich repeat LGI family, member 1 [Source:MGI Symbol;Acc:MGI:1861691] MESESSRRMGNACIPLKRIAYFLCLFSVVLLTEGKKPAKPKCPAVCTCSKDNALCENARS IPRTVPPDVISL >ENSMUSP00000143502.1 pep:known chromosome:GRCm38:19:38264577:38306809:1 gene:ENSMUSG00000067242.11 transcript:ENSMUST00000199812.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgi1 description:leucine-rich repeat LGI family, member 1 [Source:MGI Symbol;Acc:MGI:1861691] MESESSRRMGNACIPLKRIAYFLCLFSVVLLTEGKKPAKPKCPAVCTCSKDNALCENARS IPRTVPPDVISLLFTSNSFDVISDDAFIGLPHLEYLFIENNNIKSISRHTFRGLKSLIHL SLANNNLQTLPKDIFKGLDSLTNVDLRGNAFNCDCKLKWLVEWLGHTNATVEDIYCEGPP EYKKRKINSLSPKDFDCIITEFAKSQDLPYQSLSIDTFSYLNDEYVVIAQPFTGKCIFLE WDHVEKTFRNYDNITGTSTVVCKPIVIDTQLYVIVAQLFGGSHIYKRDGFANKFIKIQDI EVLKIRKPNDIETFKIEDNWYFVVADSSKAGFTTIYKWNGNGFYSHQSLHAWYRDTDVEY LEIARPPLALRTPHLILSSSSQRPVIYQWSKATQLFTNQTDIPNMEDVYAVKHFSVKGDV YICLTRFIGDSKVMKWGGSSFQDIQRMPSRGSMVFQPLQINNYQYAILGSDYSFTQVYNW DAEKAKFVKFQELNVQAPRSFTHVSINKRNFLFASSFKGNTQIYKHVIVDLSA >ENSMUSP00000143292.1 pep:known chromosome:GRCm38:19:38264646:38306808:1 gene:ENSMUSG00000067242.11 transcript:ENSMUST00000198045.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgi1 description:leucine-rich repeat LGI family, member 1 [Source:MGI Symbol;Acc:MGI:1861691] MESESSRRMGNACIPLKRIAYFLCLFSVVLLTEGKKPAKPKCPAVCTCSKDNALCENARS IPRTVPPDVISLSFVRSGFTEISEGSFLFTPSLQLLLFTSNSFDVISDDAFIGLPHLEYL FIENNNIKSISRHTFRGLKSLIHLSLANNNLQTLPKDIFKGLDSLTNVDLRGNAFNCDCK LKWLVEWLGHTNATVEDIYCEGPPEYKKRKINSLSPKDFDCIITEFAKSQDLPYQSLSID TFSYLNDEYVVIAQPFTGKCIFLEWDHVEKTFRNYDNITVLRETRRFTNMS >ENSMUSP00000142953.1 pep:known chromosome:GRCm38:19:38264660:38297983:1 gene:ENSMUSG00000067242.11 transcript:ENSMUST00000197123.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lgi1 description:leucine-rich repeat LGI family, member 1 [Source:MGI Symbol;Acc:MGI:1861691] MESESSRRMGNACIPLKRIAYFLCLFSVVLLTEGKKPAKPKCPAVCTCSKDNALCENARS IPRTVPPDVISL >ENSMUSP00000143538.1 pep:known chromosome:GRCm38:19:38264671:38306502:1 gene:ENSMUSG00000067242.11 transcript:ENSMUST00000196090.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgi1 description:leucine-rich repeat LGI family, member 1 [Source:MGI Symbol;Acc:MGI:1861691] MESESSRRMGNACIPLKRIAYFLCLFSVVLLTEGKKPAKPKCPAVCTCSKDNALCENARS IPRTVPPDVISLSFVRSGFTEISEGSFLFTPSLQLLSLANNNLQTLPKDIFKGLDSLTNV DLRGNAFNCDCKLKWLVEWLGHTNATVEDIYCEGPPEYKKRKINSLSPKDFDCIITEFAK SQDLPYQSLSIDTFSYLNDEYVVIAQPFTGKCIFLEWDHVEKTFRNYDNITGTSTVVCKP IVIDTQLYVIVAQLFGGSHIYKRDGFANKFIKIQDIEVLKIRKPNDIETFKIEDNWYFVV ADSSKAGFTTIYKWNGNGFYSHQSLHAWYRDTDVEYLEIARPPLALRTPHLILSSSSQRP VIYQWSKATQLFTNQTDIPNMEDVYAVKHFSVKGDVYICLTRFIGDSKVMKWGGSSFQDI QRMPSRGSMVFQPLQINNYQYAILGSDYSFTQVYNWDAEKAKFVKFQELNVQAPRSFTHV SINKRNFLFASSFKGNTQIYKHVIVDLSA >ENSMUSP00000115854.1 pep:known chromosome:GRCm38:4:107802261:107874167:1 gene:ENSMUSG00000028613.14 transcript:ENSMUST00000143601.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrp8 description:low density lipoprotein receptor-related protein 8, apolipoprotein e receptor [Source:MGI Symbol;Acc:MGI:1340044] MGRPELGALRPLALLLLLLLQLQHLSAADPLPGGQGPVKECEEDQFRCRNERCIPLVWRC DEDNDCSDNSDEDDCPKRTCADSDFTCDNGHCIPERWKCDGEEECPDGSDESKATCSSEE CPAEKLSCGPTSHKCVPASWRCDGEKDCEGGADEAGCPTLCAPHEFQCSNRSCLASVFVC DGDDDCGDGSDERGCSDPACPPREFRCGGGGTCIPERWVCDRQFDCEDRSDEAAELCGRA GQGTTATPAACAPTAQFTCRSGECIHLGWRCDGDRDCKDKSDEADCSPGPCRENEFQCGD GTCVLAIKRCNQERDCPDGSDEAGCLQESTCEGPRRFQCKSGECVDGGKVCDDQRDCRDW SDEPQKVCGLNECLHNNGGCSHICTDLKIGFECTCPAGFQLLDQKTCGDIDECQDPDACS QICVNYKGYFKCECHPGYEMDTLTKNCKAVAGKSPSLIFTNRHEVRRIDLVKRDYSRLIP MLKNVVALDVEVATNRIYWCDLSYRKIYSAHMDKASIPDEQVVLIDEQLHSPEGLAVDWV HKHIYWTDSGNKTISVATTDGRRRCTLFSRELSEPRAIAVDPLRGFMYWSDWGFQAKIEK AGLNGADRQTLVSDNIEWPNGITLDLLSQRLYWVDSKLHQLSSIDFNGGNRKMLIFSTDF LSHPFGVAVFEDKVFWTDLENEAIFSANRLNGLEIAILAENLNNPHDIVIFHELKQPKAA DACDLSAQPNGGCEYLCLPAPQISSHSPKYTCACPDTMWLGPDMKRCYRAPQSTSTTTLA SAMTRTVPATTRAPGTTIHDPTYQNHSTETPSQTAAAPHSVNVPRAPSTSPSTPSPATSN HSQHYGNEGSQMGSTVTAAVIGVIVPIVVIALLCMSGYLIWRNWKRKNTKSMNFDNPVYR KTTEEEEEDELHIGRTAQIGHVYPAAISNYDRPLWAEPCLGETRDLEDPAPALKELFVLP GEPRSQLHQLPKNPLSELPVVKCKRVALSLEDDGLP >ENSMUSP00000102343.2 pep:known chromosome:GRCm38:4:107802413:107872346:1 gene:ENSMUSG00000028613.14 transcript:ENSMUST00000106732.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp8 description:low density lipoprotein receptor-related protein 8, apolipoprotein e receptor [Source:MGI Symbol;Acc:MGI:1340044] MGRPELGALRPLALLLLLLLQLQHLSAADPLPGGQGPVKECEEDQFRCRNERCIPLVWRC DEDNDCSDNSDEDDCPKRTCADSDFTCDNGHCIPERWKCDGEEECPDGSDESKATCSSEE CPAEKLSCGPTSHKCVPASWRCDGEKDCEGGADEAGCPTSAPGPCRENEFQCGDGTCVLA IKRCNQERDCPDGSDEAGCLQESTCEGPRRFQCKSGECVDGGKVCDDQRDCRDWSDEPQK VCGLNECLHNNGGCSHICTDLKIGFECTCPAGFQLLDQKTCGDIDECQDPDACSQICVNY KGYFKCECHPGYEMDTLTKNCKAVAGKSPSLIFTNRHEVRRIDLVKRDYSRLIPMLKNVV ALDVEVATNRIYWCDLSYRKIYSAHMDKASIPDEQVVLIDEQLHSPEGLAVDWVHKHIYW TDSGNKTISVATTDGRRRCTLFSRELSEPRAIAVDPLRGFMYWSDWGFQAKIEKAGLNGA DRQTLVSDNIEWPNGITLDLLSQRLYWVDSKLHQLSSIDFNGGNRKMLIFSTDFLSHPFG VAVFEDKVFWTDLENEAIFSANRLNGLEIAILAENLNNPHDIVIFHELKQPKAADACDLS AQPNGGCEYLCLPAPQISSHSPKYTCACPDTMWLGPDMKRCYRAPQSTSTTTLASAMTRT VPATTRAPGTTIHDPTYQNHSTETPSQTAAAPHSVNVPRAPSTSPSTPSPATSNHSQHYG NEGSQMGSTVTAAVIGVIVPIVVIALLCMSGYLIWRNWKRKNTKSMNFDNPVYRKTTEEE EEDELHIGRTAQIGHVYPAAISNYDRPLWAEPCLGETRDLEDPAPALKELFVLPGEPRSQ LHQLPKNPLSELPVVKCKRVALSLEDDGLP >ENSMUSP00000102342.2 pep:known chromosome:GRCm38:4:107830985:107876840:1 gene:ENSMUSG00000028613.14 transcript:ENSMUST00000106731.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp8 description:low density lipoprotein receptor-related protein 8, apolipoprotein e receptor [Source:MGI Symbol;Acc:MGI:1340044] XGTHRAKRTCADSDFTCDNGHCIPERWKCDGEEECPDGSDESKATCSSEECPAEKLSCGP TSHKCVPASWRCDGEKDCEGGADEAGCPTSPGPCRENEFQCGDGTCVLAIKRCNQERDCP DGSDEAGCLQGLNECLHNNGGCSHICTDLKIGFECTCPAGFQLLDQKTCGDIDECQDPDA CSQICVNYKGYFKCECHPGYEMDTLTKNCKAVAGKSPSLIFTNRHEVRRIDLVKRDYSRL IPMLKNVVALDVEVATNRIYWCDLSYRKIYSAHMDKASIPDEQVVLIDEQLHSPEGLAVD WVHKHIYWTDSGNKTISVATTDGRRRCTLFSRELSEPRAIAVDPLRGFMYWSDWGFQAKI EKAGLNGADRQTLVSDNIEWPNGITLDLLSQRLYWVDSKLHQLSSIDFNGGNRKMLIFST DFLSHPFGVAVFEDKVFWTDLENEAIFSANRLNGLEIAILAENLNNPHDIVIFHELKQPK AADACDLSAQPNGGCEYLCLPAPQISSHSPKYTCACPDTMWLGPDMKRCYRAPQSTSTTT LASAMTRTVPATTRAPGTTIHDPTYQNHSTETPSQTAAAPHSVNVPRAPSTSPSTPSPAT SNHSQHYGNEGSQMGSTVTAAVIGVIVPIVVIALLCMSGYLIWRNWKRKNTKSMNFDNPV YRKTTEEEEEDELHIGRTAQIGHVYPARVALSLEDDGLP >ENSMUSP00000030356.3 pep:known chromosome:GRCm38:4:107802314:107873899:1 gene:ENSMUSG00000028613.14 transcript:ENSMUST00000030356.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp8 description:low density lipoprotein receptor-related protein 8, apolipoprotein e receptor [Source:MGI Symbol;Acc:MGI:1340044] MGRPELGALRPLALLLLLLLQLQHLSAADPLPGGQGPVKECEEDQFRCRNERCIPLVWRC DEDNDCSDNSDEDDCPKRTCADSDFTCDNGHCIPERWKCDGEEECPDGSDESKATCSSEE CPAEKLSCGPTSHKCVPASWRCDGEKDCEGGADEAGCPTLCAPHEFQCSNRSCLASVFVC DGDDDCGDGSDERGCSDPACPPREFRCGGGGTCIPERWVCDRQFDCEDRSDEAAELCGRA GQGTTATPAACAPTAQFTCRSGECIHLGWRCDGDRDCKDKSDEADCSPGPCRENEFQCGD GTCVLAIKRCNQERDCPDGSDEAGCLQGLNECLHNNGGCSHICTDLKIGFECTCPAGFQL LDQKTCGDIDECQDPDACSQICVNYKGYFKCECHPGYEMDTLTKNCKAVAGKSPSLIFTN RHEVRRIDLVKRDYSRLIPMLKNVVALDVEVATNRIYWCDLSYRKIYSAHMDKASIPDEQ VVLIDEQLHSPEGLAVDWVHKHIYWTDSGNKTISVATTDGRRRCTLFSRELSEPRAIAVD PLRGFMYWSDWGFQAKIEKAGLNGADRQTLVSDNIEWPNGITLDLLSQRLYWVDSKLHQL SSIDFNGGNRKMLIFSTDFLSHPFGVAVFEDKVFWTDLENEAIFSANRLNGLEIAILAEN LNNPHDIVIFHELKQPKAADACDLSAQPNGGCEYLCLPAPQISSHSPKYTCACPDTMWLG PDMKRCYRAPQSTSTTTLASAMTRTVPATTRAPGTTIHDPTYQNHSTETPSQTAAAPHSV NVPRAPSTSPSTPSPATSNHSQHYGNEGSQMGSTVTAAVIGVIVPIVVIALLCMSGYLIW RNWKRKNTKSMNFDNPVYRKTTEEEEEDELHIGRTAQIGHVYPAAISNYDRPLWAEPCLG ETRDLEDPAPALKELFVLPGEPRSQLHQLPKNPLSELPVVKCKRVALSLEDDGLP >ENSMUSP00000102344.2 pep:known chromosome:GRCm38:4:107802277:107872816:1 gene:ENSMUSG00000028613.14 transcript:ENSMUST00000106733.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp8 description:low density lipoprotein receptor-related protein 8, apolipoprotein e receptor [Source:MGI Symbol;Acc:MGI:1340044] MGRPELGALRPLALLLLLLLQLQHLSAADPLPGGQGPVKECEEDQFRCRNERCIPLVWRC DEDNDCSDNSDEDDCPKRTCADSDFTCDNGHCIPERWKCDGEEECPDGSDESKATCSSEE CPAEKLSCGPTSHKCVPASWRCDGEKDCEGGADEAGCPTLCAPHEFQCSNRSCLASVFVC DGDDDCGDGSDERGCSDPACPPREFRCGGGGTCIPERWVCDRQFDCEDRSDEAAELCGRA GQGTTATPAACAPTAQFTCRSGECIHLGWRCDGDRDCKDKSDEADCSPGPCRENEFQCGD GTCVLAIKRCNQERDCPDGSDEAGCLQGLNECLHNNGGCSHICTDLKIGFECTCPAGFQL LDQKTCGDIDECQDPDACSQICVNYKGYFKCECHPGYEMDTLTKNCKAVAGKSPSLIFTN RHEVRRIDLVKRDYSRLIPMLKNVVALDVEVATNRIYWCDLSYRKIYSAHMDKASIPDEQ VVLIDEQLHSPEGLAVDWVHKHIYWTDSGNKTISVATTDGRRRCTLFSRELSEPRAIAVD PLRGFMYWSDWGFQAKIEKAGLNGADRQTLVSDNIEWPNGITLDLLSQRLYWVDSKLHQL SSIDFNGGNRKMLIFSTDFLSHPFGVAVFEDKVFWTDLENEAIFSANRLNGLEIAILAEN LNNPHDIVIFHELKQPKAADACDLSAQPNGGCEYLCLPAPQISSHSPKYTCACPDTMWLG PDMKRCYRAPQSTSTTTLASAMTRTVPATTRAPGTTIHDPTYQNHSTETPSQTAAAPHSV NVPRAPSTSPSTPSPATSNHSQHYGNEGSQMGSTVTAAVIGVIVPIVVIALLCMSGYLIW RNWKRKNTKSMNFDNPVYRKTTEEEEEDELHIGRTAQIGHVYPARVALSLEDDGLP >ENSMUSP00000118020.1 pep:known chromosome:GRCm38:4:107802413:107872816:1 gene:ENSMUSG00000028613.14 transcript:ENSMUST00000126573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp8 description:low density lipoprotein receptor-related protein 8, apolipoprotein e receptor [Source:MGI Symbol;Acc:MGI:1340044] MGRPELGALRPLALLLLLLLQLQHLSAADPLPGGQGPVKECEEDQFRCRNERCIPLVWRC DEDNDCSDNSDEDDCPKRTCADSDFTCDNGHCIPERWKCDGEEECPDGSDESKATCSSEE CPAEKLSCGPTSHKCVPASWRCDGEKDCEGGADEAGCPTSPGPCRENEFQCGDGTCVLAI KRCNQERDCPDGSDEAGCLQGLNECLHNNGGCSHICTDLKIGFECTCPAGFQLLDQKTCG DIDECQDPDACSQICVNYKGYFKCECHPGYEMDTLTKNCKAVAGKSPSLIFTNRHEVRRI DLVKRDYSRLIPMLKNVVALDVEVATNRIYWCDLSYRKIYSAHMDKASIPDEQVVLIDEQ LHSPEGLAVDWVHKHIYWTDSGNKTISVATTDGRRRCTLFSRELSEPRAIAVDPLRGFMY WSDWGFQAKIEKAGLNGADRQTLVSDNIEWPNGITLDLLSQRLYWVDSKLHQLSSIDFNG GNRKMLIFSTDFLSHPFGVAVFEDKVFWTDLENEAIFSANRLNGLEIAILAENLNNPHDI VIFHELKQPKAADACDLSAQPNGGCEYLCLPAPQISSHSPKYTCACPDTMWLGPDMKRCY RDGNEGSQMGSTVTAAVIGVIVPIVVIALLCMSGYLIWRNWKRKNTKSMNFDNPVYRKTT EEEEEDELHIGRTAQIGHVYPARVALSLEDDGLP >ENSMUSP00000119715.1 pep:known chromosome:GRCm38:11:86498871:86544805:-1 gene:ENSMUSG00000020516.15 transcript:ENSMUST00000154617.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6kb1 description:ribosomal protein S6 kinase, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1270849] MRRRRRRDGFYLAPDFRHREAEDMAGVFDIDLDQPEDAGSEDELEEGGQLNESMDHGGVG PYELGMEHCEKFEISETSVNRGPEKIRPECFELLRVLGKGGYGKVFQVRKVTGANTGKIF AMKVLKKAMIVRNAKDTAHTKAERNILEEVKHPFIVDLIYAFQTGGKLYLILEYLSGGEL FMQLEREGIFMEDTACFYLAEISMALGHLHQKGIIYRDLKPENIMLNHQGHVKLTDFGLC KESIHDGTVTHTFCGTIEYMAPEILMRSGHNRAVDWWSLGALMYDMLTGAPPFTGENRKK TIDKILKCKLNLPPYLTQEARDLLKKLLKRNAASRLGAGPGDAGEVQAHPFFRHINWEEL LARKVEPPFKPLLQSEEDVSQFDSKFTRQTPVDSPDDSTLSESANQVFLGFTYVAPSVLE SVKEKFSFEPKIRSPRRFIGSPRTPVSPVKFSPGDFWGRGASASTANPQTPVEYPMETSG IEQMDVTVSGEASAPLPIRQPNSGPYKKQAFPMISKRPEHLRMNL >ENSMUSP00000053188.2 pep:known chromosome:GRCm38:11:86513983:86544774:-1 gene:ENSMUSG00000020516.15 transcript:ENSMUST00000058286.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6kb1 description:ribosomal protein S6 kinase, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1270849] MRRRRRRDGFYLAPDFRHREAEDMAGVFDIDLDQPEDAGSEDELEEGGQLNESMDHGGVG PYELGMEHCEKFEISETSVNRGPEKIRPECFELLRVLGKGGYGKVFQVRKVTGANTGKIF AMKVLKKAMIVRNAKDTAHTKAERNILEEVKHPFIVDLIYAFQTGGKLYLILEYLSGGEL FMQLEREGIFMEDTAWPWVDRSSLQNFLELTFQFPRCSLREVITLNIHLWIDFIARMGSA LLFAFSNTEAAFKSLRDEVPLFGGGSLSPVEAVVLLAVKLPQSSRTHPPSWSNLQDCNIV TQPVLQCFVLFESRQG >ENSMUSP00000122756.1 pep:known chromosome:GRCm38:11:86532772:86544765:-1 gene:ENSMUSG00000020516.15 transcript:ENSMUST00000138810.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6kb1 description:ribosomal protein S6 kinase, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1270849] MDHGGVGPYELGMEHCEKFEISETSVNRGPEKIRPECFELLRVLGKGGYGKVFQVRKVTG ANTGKIFAMKVLKK >ENSMUSP00000016125.5 pep:known chromosome:GRCm38:7:139103638:139188517:-1 gene:ENSMUSG00000015981.12 transcript:ENSMUST00000016125.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk32c description:serine/threonine kinase 32C [Source:MGI Symbol;Acc:MGI:2385336] MRSGAERRGSSAAAPPSSPPPGRARPAGSDVSPALPPPAASQPRARDAGDARAQPRPLFQ WSKWKKRMSMSSISSGSARRPVFDDKEDVNFDHFQILRAIGKGSFGKVCIVQKRDTEKMY AMKYMNKQQCIERDEVRNVFRELEILQEIEHVFLVNLWYSFQDEEDMFMVVDLLLGGDLR YHLQQNVQFSEDTVRLYICEMALALDYLRSQHIIHRDVKPDNILLDEQGHAHLTDFNIAT IIKDGERATALAGTKPYMAPEIFHSFVNGGTGYSFEVDWWSVGVMAYELLRGWRPYDIHS SNAVESLVQLFSTVSVQYVPTWSKEMVALLRKLLTVNPEHRFSSLQDMQTAPSLAHVLWD DLSEKKVEPGFVPNKGRLHCDPTFELEEMILESRPLHKKKKRLAKNKSRDSSRDSSQSEN DYLQDCLDAIQQDFVIFNREKLKRSQELMSEPPPGPETSDMTDSTADSEAEPTALPMCGS ICPSSGSS >ENSMUSP00000126638.1 pep:known chromosome:GRCm38:7:139103638:139213307:-1 gene:ENSMUSG00000015981.12 transcript:ENSMUST00000165870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk32c description:serine/threonine kinase 32C [Source:MGI Symbol;Acc:MGI:2385336] MYAMKYMNKQQCIERDEVRNVFRELEILQEIEHVFLVNLWYSFQDEEDMFMVVDLLLGGD LRYHLQQNVQFSEDTVRLYICEMALALDYLRSQHIIHRDVKPDNILLDEQGHAHLTDFNI ATIIKDGERATALAGTKPYMAPEIFHSFVNGGTGYSFEVDWWSVGVMAYELLRGWRPYDI HSSNAVESLVQLFSTVSVQYVPTWSKEMVALLRKLLTVNPEHRFSSLQDMQTAPSLAHVL WDDLSEKKVEPGFVPNKGRLHCDPTFELEEMILESRPLHKKKKRLAKNKSRDSSRDSSQS ENDYLQDCLDAIQQDFVIFNREKLKRSQELMSEPPPGPETSDMTDSTADSEAEPTALPMC GSICPSSGSS >ENSMUSP00000109591.1 pep:known chromosome:GRCm38:X:89752268:89755491:1 gene:ENSMUSG00000079513.1 transcript:ENSMUST00000113958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932429P05Rik description:RIKEN cDNA 4932429P05 gene [Source:MGI Symbol;Acc:MGI:3588287] MDDKLHSVKIYVMVEDEQWKGIGAGQISSKYIERLQGVCLIVQSESDGSLIMECKIHPNV PYHKQRGEIIIWSETKNHGMAIHFQEPNGCQEIWEDICQVQGKDPNVEITEELTDNLKTF EELLPIWNLVEMQNCELHTLENIADLFTFVHETPSHKERLVLVLEKGVYIKKLLQHFNTC EKLKNMESLYYLNNIIKGILFLDNTHLFNIMFSDEFFMDMVGCLEYDPALDHPKQYREFL TQNAKFKEILPITHFQLRQTIQQTYRMQYVHDIVLPIPSICESNLLYGLNTMIIYNKIEI ITMLQDDENFLLEVLARLKDNTVGNDRRHELLLFFKEFCTFAKTLENLEKHELLKTLINL GVMSALKAVVHMHDYQIQIAALDIFPYLVEYNPCLVREYLLDEAHDTDDDDLFLNIMIKQ MICNSDPRFSQGIILPAILCALLDPENMHVTANGCEEKEFLNFFYTRCINNLIAPILSAT VEKENANNRANICPDNYQNAQLLGVVIEILTFCVQYHSTYIKSYILDNNLLSRILVLMTS KHTFLILCAVRFMRKLIGLKDEIYNLYIIKENLFEPVVNAFMHNGHRYNMLNSAIIELFE FIRQENIKSLIANIVENFFISFESIEYVQTFKGLKIKYEEEKNECQVRRNLLNVVCQKIY CICTNDMGLKVKSDICHRRITETKEAILPNRRDFPSHYGIIMKIEETNESESAIEGQKIK SSEAFERCPSHGDASATRMSRSHCSSLVPLVNYPYDTDDENGDDPYGNDNDEDEEPPQKR PNLSS >ENSMUSP00000094225.3 pep:known chromosome:GRCm38:15:9111985:9140365:-1 gene:ENSMUSG00000054115.11 transcript:ENSMUST00000096482.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skp2 description:S-phase kinase-associated protein 2 (p45) [Source:MGI Symbol;Acc:MGI:1351663] MHRKHLQEIPDQSGNVTTSFTWGWDSSKTSELLSGMGVSALEKEEVDSENIPHGLLSNLG HPQSPPRKRVKGKGSDKDFVIIRRPKLSRENFPGVSWDSLPDELLLGIFSCLCLPELLRV SGVCKRWYRLSLDESLWQSLDLAGKNLHPDVTVRLLSRGVVAFRCPRSFMEQPLGESFSS FRVQHMDLSNSVINVSNLHKILSECSKLQNLSLEGLQLSDPIVKTLAQNENLVRLNLCGC SGFSESAVATLLSSCSRLDELNLSWCFDFTEKHVQAAVAHLPNTITQLNLSGYRKNLQKT DLCTIIKRCPNLIRLDLSDSIMLKNDCFPEFFQLNYLQHLSLSRCYDIIPDTLLELGEIP TLKTLQVFGIVPEGTLQLLREALPRLQINCAYFTTIARPTMDSKKNLEIWGIKCRLTLQK PSCL >ENSMUSP00000106215.2 pep:known chromosome:GRCm38:15:9111985:9140439:-1 gene:ENSMUSG00000054115.11 transcript:ENSMUST00000110585.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skp2 description:S-phase kinase-associated protein 2 (p45) [Source:MGI Symbol;Acc:MGI:1351663] MHRKHLQEIPDQSGNVTTSFTWGWDSSKTSELLSGMGVSALEKEEVDSENIPHGLLSNLG HPQSPPRKRVKGKGSDKDFVIIRRPKLSRENFPGVSWDSLPDELLLGIFSCLCLPELLRV SGVCKRWYRLSLDESLWQSLDLAGKNLHPDVTVRLLSRGVVAFRCPRSFMEQPLGESFSS FRVQHMDLSNSVINVSNLHKILSECSKLQNLSLEGLQLSDPIVKTLAQNENLVRLNLCGC SGFSESAVATLLSSCSRLDELNLSWCFDFTEKHVQAAVAHLPNTITQLNLSGYRKNLQKT DLCTIIKRCPNLIRLDLSDSIMLKNDCFPEFFQLNYLQHLSLSRCYDIIPDTLL >ENSMUSP00000139997.1 pep:known chromosome:GRCm38:15:9113302:9155424:-1 gene:ENSMUSG00000054115.11 transcript:ENSMUST00000190131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skp2 description:S-phase kinase-associated protein 2 (p45) [Source:MGI Symbol;Acc:MGI:1351663] MGVSALEKEEVDSENIPHGLLSNLGHPQSPPRKRVKGKGSDKDFVIIRRPKLSRENFPGV SWDSLPDELLLGIFSCLCLPELLRVSGVCKRWYRLSLDESLWQSLDLAGKNLHPDVTVRL LSRGVVAFRCPRSFMEQPLGESFSSFRVQHMDLSNSVINVSNLHKILSECSKLQNLSLEG LQLSDPIVKTLAQNENLVRLNLCGCSGFSESAVATLLSSCSRLDELNLSWCFDFTEKHVQ AAVAHLPNTITQLNLSGYRKNLQKTDLCTIIKRCPNLIRLDLSDSIMLKNDCFPEFFQLN YLQHLSLSRCYDIIPDTLLELGEIPTLKTLQVFGIVPEGTLQLLREALPRLQINCAYFTT IARPTMDSKKNLEIWGIKCRLTLQKPSCL >ENSMUSP00000019907.7 pep:known chromosome:GRCm38:10:5799160:5805600:-1 gene:ENSMUSG00000019773.7 transcript:ENSMUST00000019907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo5 description:F-box protein 5 [Source:MGI Symbol;Acc:MGI:1914391] MSRRTCSDLRRPSSCPCRLGARTTVDGCKEESPVLSVTMKCFNCNPDLSELEVVKPEDSG IEASYSPVCLEPSCNDCVRNHERLSFIDSPIVGHDNKENQRVQNTLDSSNETEELEASRL YEDSGYSSFTQSDRDDGILILENFRNSPQARLLPSQSPDQHPNKTLLPVLHFERVVCSTL KKNGKRNPKVDREMLKEVIASGNFRLQNIIGKKMGLEHLDILAELSRRGFVHLLANILTK LSGMDLVNLSKVSRIWKKILENNKGAFQLYSKTMQRVIESSKLSLHATTRGYVVGRAALT CVQKSSTWAPPKKDVQIKSSSQRGQRVSTYSRHNEFVEVAKTLKNNESLKACVRCNFPAK YDHYLERAVCKRESCQFEYCTKCLCAYHNNKDCLNGKILKASCKVGPLPGTKKSKKNLQR L >ENSMUSP00000074850.1 pep:known chromosome:GRCm38:2:111449142:111450059:1 gene:ENSMUSG00000095586.1 transcript:ENSMUST00000075390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1287 description:olfactory receptor 1287 [Source:MGI Symbol;Acc:MGI:3031121] MEETNQTVVSEFIFQGICASKELQLFLLLPFSILYLMAVVGNLFVVILIIIDHHLHSTMY FLLANLSFIDFCLSSVTTPKLITDLLKDNKTISFGGCMSQILCVYFFGGSEMVLLVTMAY DRYVAICRPLHYSSIMGRQKCIWLVVISWTIGFIHAMSQLILILDLPFCGPRVIDSFFCD ISLVMKLACMNTDTLEILINADSGVLATTCFILLLISYTNILLTVQLHSKDGSSKALSTC TSHIIVVLLFFGPVIFIYLCPVSITWVDNFLAVFYSVITPLLNPAIYTLRNKDIKNAIKK LINHL >ENSMUSP00000100687.1 pep:known chromosome:GRCm38:11:99734213:99734290:-1 gene:ENSMUSG00000078269.1 transcript:ENSMUST00000105066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14180 description:predicted gene 14180 [Source:MGI Symbol;Acc:MGI:3650017] MQCCCGPCCCQPCCCGSNCCQSGCC >ENSMUSP00000033127.4 pep:known chromosome:GRCm38:7:46443168:46639807:-1 gene:ENSMUSG00000030839.10 transcript:ENSMUST00000033127.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sergef description:secretion regulating guanine nucleotide exchange factor [Source:MGI Symbol;Acc:MGI:1351630] MARESCASETVSAAAVLFAWGANSYGQLGLGHKEDVFLPQQLSDFCQAGCIKSVTGGGGH SAVVTDGGDLFVCGLNKDGQLGLGHTEEVLRFTICKPLRGCPIRQVACGWDFTIMLTEKG QVLSCGSNAFGQLGVPHGPRKCVVPQAIECLREKVVCVAAGLRHALATTATGSVFQWGTG LASSGRRLCPGQNLPLFLTAKEPSRVTGLENSTAVCAVAGSDHSASLTDTGELYVWGRNK HGQLASRAVFLPLPQRIEAHYFQDEKVTAVWSGWTHLVAKTETGKVFTWGRADYGQLGRR LEPPEAQKPVEQDSSLAFQGPQNSVPSPLHCLTGATEISCGSEHNLAVIRDKCCSWGWNE HGMCGDGTESNVWTPTPVQALPPSPSRLLLVGCGAGHSLAVCQLPAHPVPCQDLKVTCPL PDDTENTESQGAVDRDRLEGETISDLNPDRTRNGGGGCESETVQ >ENSMUSP00000116461.1 pep:known chromosome:GRCm38:7:46632682:46639631:-1 gene:ENSMUSG00000030839.10 transcript:ENSMUST00000135035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sergef description:secretion regulating guanine nucleotide exchange factor [Source:MGI Symbol;Acc:MGI:1351630] MRTRGTEALREDPASRAKHPFWESARPPDCLERQRGGLAERSRSQSSWGHLCHRTCLLLG GWKASFQRHHPGERPVDGANSYGQLGLGHKEDVFLPQQLSDFCQAGCIKSVTGGGGHSAV VTDGGDLFVCGLNKDGQLGLGHTEEVLRFTICKPLRGCPIRQVACGWDFTIMLTEKGQVL SCGSNAFGQLGVPHGPRK >ENSMUSP00000042919.3 pep:known chromosome:GRCm38:4:142030992:142084304:-1 gene:ENSMUSG00000040616.3 transcript:ENSMUST00000036572.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem51 description:transmembrane protein 51 [Source:MGI Symbol;Acc:MGI:2384874] MMAQSKANGSHYALTAIGLGMLVLGVIMAMWNLVPGFSPADKPTSQGNKTEGGGGILKSK TFSVAYVLVGAGMMLLLLAICLSIRDKRRMRQSEELARIQQQAGTVPHSQEEDSQEEEED VSSRYYVPSYEEVMNTGYPETRGQEQNPRLSISLPSYESLTGLDEATPTSTRAETETSPG HAPDRQNSKLAKRLKPLKVRRIKSEKLHLKDFRITLPDKNVPPPSIEPLTPPPLYDEVQA KAPDARPPD >ENSMUSP00000123029.1 pep:known chromosome:GRCm38:16:18052860:18071360:1 gene:ENSMUSG00000003531.14 transcript:ENSMUST00000143343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr6 description:DiGeorge syndrome critical region gene 6 [Source:MGI Symbol;Acc:MGI:1202877] MVESMAPASSFQQRLSYTTLSDLALALLDGTVFEIVQGLLEIQHLTEKSLYNQRLRLQNE HRVLRQTLRQKHLEAQQSCRPHNLPVLQAAQQRELEAMEHRIREEQQAMDRKIVLELDRK VADQQSTLEKAGVAGFYVTTNPQELTLQMNLLELIRKLQQRGCQVGKAAL >ENSMUSP00000122572.1 pep:known chromosome:GRCm38:16:18066403:18071363:1 gene:ENSMUSG00000003531.14 transcript:ENSMUST00000151266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr6 description:DiGeorge syndrome critical region gene 6 [Source:MGI Symbol;Acc:MGI:1202877] MDRYAAAGDEAADRARQQERHYQLLSALQSLVKELPSSFQQRLSYTTLSDLALALLDGTV FEIVQGLLEIQHLTEKSLYNQRLRLQNEHRVLRQTLRQKHLEAQQSCRPHNLPVLQAAQQ RELEAMEHRIREEQQAMDRKIVLELDRKVADQQSTLEKAGVAGFYVTTNPQELTLQMNLL ELIRKLQQRGCQVGKAAL >ENSMUSP00000067682.7 pep:known chromosome:GRCm38:16:18066443:18071358:1 gene:ENSMUSG00000003531.14 transcript:ENSMUST00000066027.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr6 description:DiGeorge syndrome critical region gene 6 [Source:MGI Symbol;Acc:MGI:1202877] MDRYAAAGDEAADRARQQERHYQLLSALQSLVKELPSSFQQRLSYTTLSDLALALLDGTV FEIVQGLLEIQHLTEKSLYNQRLRLQNEHRVLRQTLRQKHLEAQQSCRPHNLPVLQAAQQ RELESWQAMEHRIREEQQAMDRKIVLELDRKVADQQSTLEKAGVAGFYVTTNPQELTLQM NLLELIRKLQQRGCQVGKAAL >ENSMUSP00000123053.1 pep:known chromosome:GRCm38:16:18066448:18071632:1 gene:ENSMUSG00000003531.14 transcript:ENSMUST00000155387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr6 description:DiGeorge syndrome critical region gene 6 [Source:MGI Symbol;Acc:MGI:1202877] MDRYAAAGDEAADRARQQERHYQLLSALQSLVKELPRCAATGGGRTGAGAGAGAGARAAA ALTRRSTRSSFQQRLSYTTLSDLALALLDGTVFEIVQGLLEIQHLTEKSLYNQRLRLQNE HRVLRQTLRQKHLEAQQSCRPHNLPVLQAAQQRELESWQAMEHRIREEQQAMDRKIVLEL DRKVADQQSTLEKAGVAGFYVTTNPQELTLQMNLLELIRKLQQRGCQVGKAAL >ENSMUSP00000118954.1 pep:known chromosome:GRCm38:16:18066462:18071342:1 gene:ENSMUSG00000003531.14 transcript:ENSMUST00000153123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr6 description:DiGeorge syndrome critical region gene 6 [Source:MGI Symbol;Acc:MGI:1202877] MRPPGMRRRIGSYTTLSDLALALLDGTVFEIVQGLLEIQHLTEKSLYNQRLRLQNEHRVL RQTLRQKHLEAQQSCRPHNLPVLQAAQQRELEAMEHRIREEQQAMDRKIVLELDRKVADQ QSTLEKAGVAGFYVTTNPQELTLQMNLLELIRKLQQRGCQVGKAAL >ENSMUSP00000076044.5 pep:known chromosome:GRCm38:16:18065159:18071342:1 gene:ENSMUSG00000003531.14 transcript:ENSMUST00000076757.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr6 description:DiGeorge syndrome critical region gene 6 [Source:MGI Symbol;Acc:MGI:1202877] MVESMAPASSFQQRLSYTTLSDLALALLDGTVFEIVQGLLEIQHLTEKSLYNQRLRLQNE HRVLRQTLRQKHLEAQQSCRPHNLPVLQAAQQRELEAMEHRIREEQQAMDRKIVLELDRK VADQQSTLEKAGVAGFYVTTNPQELTLQMNLLELIRKLQQRGCQVGKAAL >ENSMUSP00000113708.2 pep:known chromosome:GRCm38:14:63606503:63820809:1 gene:ENSMUSG00000035067.9 transcript:ENSMUST00000119973.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xkr6 description:X Kell blood group precursor related family member 6 homolog [Source:MGI Symbol;Acc:MGI:2447765] MAAKSDGGGVGVGFAQLHNLDEAVGSGEEDGEPGGGGCGGGDGSEPGESSSLHICHCCNT SSCYWGCRSACLRSLLGKKPRRSAAAADGGDQPLQPPGAAGRHPPTPSAGRPQPASPQVE RPWLDCLWIVLALLVFFGDVGTDLWLALDYYRKGDYGCFGLTLFFVLVPSLLVQSLSFRW FVQDYTGGGLGAVEGLSSRGPPMMGAGYGHGAARGGPGAGGSATPGAQRLCRLSVWIWQS VIHLLQMGQVWRYIRTMYLGIQSQRQKEHQRRFYWAMMYEYADVNMLRLLETFLESAPQL VLQLCIMIQKNSAETLPCVSSVTSLMSLAWVLASYHKLLRDSRDDKKSMSYRGALIHLFW RLFTISSRVISFALFASIFQLYFGIFVVVHWCAMAFWIIHGGTDFCMSKWEEILFNMVVG IVYIFCWFNVKEGRTRYRMFAYYTIVLTENAALTFLWYFYRNPESTDSYAVPALCCVFVS FVAGITLMLLYYGVLHPMGPRAKVFASSCCAELLWGIPLPPDVEPMAPQTPGYRGTQVTP TRAVTEQQEDLTADTCLPVFQVRPMGPSTPSGRPYHPEGPLIKIDMPRKRYPAWDAHFVD RRLRRTINILQYVTPTAVGIRYRDGPLLYELLQYESSL >ENSMUSP00000134975.1 pep:known chromosome:GRCm38:14:63606675:63666182:1 gene:ENSMUSG00000035067.9 transcript:ENSMUST00000176510.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xkr6 description:X Kell blood group precursor related family member 6 homolog [Source:MGI Symbol;Acc:MGI:2447765] XSSLHICHCCNTSSCYWGCRSACLRSLLGKKPRRSAAAADGGDQPLQPPGAAGRHPPTPS AGRPQPASPQVERPWLDCLWIVLALLVFFGDVGTDLWLALDYYRKGDYGCFGLTLFFVLV PSLLVQSLSFRWFVQDYTGGGLGAVEGLSSRGPPMMGAGYGHGAARGGPGAGGSATPGAQ RLCRLSVWIWQSVIHLLQMGQVWSSKQKT >ENSMUSP00000135609.1 pep:known chromosome:GRCm38:14:63607026:63662809:1 gene:ENSMUSG00000035067.9 transcript:ENSMUST00000177500.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xkr6 description:X Kell blood group precursor related family member 6 homolog [Source:MGI Symbol;Acc:MGI:2447765] XLVPSLLVQSLSFRWFVQDYTGGGLGAVEGLSSRGPPMMGAGYGHGAARGGPGAGGSATP GAQRLCRLSVWIWQSVIHLLQMGQVWSSKQKT >ENSMUSP00000112691.2 pep:known chromosome:GRCm38:14:63795019:63820019:1 gene:ENSMUSG00000035067.9 transcript:ENSMUST00000120820.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xkr6 description:X Kell blood group precursor related family member 6 homolog [Source:MGI Symbol;Acc:MGI:2447765] MYLGIQSQRQKEHQRRFYWAMMYEYADVNMLRLLETFLESAPQLVLQLCIMIQKNSAETL PCVSSVTSLMSLAWVLASYHKLLRDSRDDKKSMSYRGALIHLFWRLFTISSRVISFALFA SIFQLYFGIFVVVHWCAMAFWIIHGGTDFCMSKWEEILFNMVVGIVYIFCWFNVKEGRTR YRMFAYYTIVLTENAALTFLWYFYRNPESTDSYAVPALCCVFVSFVAGITLMLLYYGVLH PMGPRAKVFASSCCAELLWGIPLPPDVEPMAPQTPGYRGTQVTPTRAVTEQQEDLTADTC LPVFQVRPMGPSTPSGRPYHPEGPLIKIDMPRKRYPAWDAHFVDRRLRRTINILQYVTPT AVGIRYRDGPLLYELLQYESSL >ENSMUSP00000126386.2 pep:known chromosome:GRCm38:17:18243570:18277508:-1 gene:ENSMUSG00000090417.2 transcript:ENSMUST00000172190.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r94 description:vomeronasal 2, receptor 94 [Source:MGI Symbol;Acc:MGI:3648050] MMIGAFFPLHTFYTEKKRPHSAKPYQYLDNYVQYNFKNYQYILALLFAIEEINGNPYILP NISLGFDFYNTKYTERDTLVFTFLWLTAHVERKVLPNYNCKKRNFTAALTGTSWITSAQI GTLLQLFKFPQITFGPYDTILSEHGQYSSLYQIASKDTSLTLAIVSLMVHFRWSWVGLIL PDNHKGNKILSDFREEMERNRICIAFVKMIPATWTAYFTSFWENMEETNVIIIYGDIDSL EGLMRNIGQRILTWIVWVMNIEHTITYDNDYFMLDSFHGSLIFRHNYRENFEFTKFIQTV NPNKYPEDIYLPKLWNFFFKCSFSDTNCHVLDKCQTNASLDLLPRHIFDVVMSEESTNIY NGVYALAHSLHQMRLQLLQMQPFENGEGMVFFPWQLNAFLKDIEMRDKRSLEWRQTKDSG YDILNLWNLPKGLGLKVKIGSFSANAPQGQQLSLSEQMIQWPEIFSEIPQSVCSKSCRPG FRTVTQQGKAICCYKCTPCAENEISNETDVDQCVKCPESHYANTEKDHCFPKSVSFLAYE DPLGMALGSIALCLSAFTAFVIGIFVKHRDTPIVKANNRALSYILLITLTFCFLCSLNFI GKPNTVACILQQTTFAIAFTVALATVLAKAITVVLAFKVSFPGRMVRWLVISWGPRYIIP ICTLIQLLICGIWMTTSPPFIDQDAHTEHGHIILLCNKGSAVAFHSVLGYLCILALGSYT MAFFSRNLPDTFNESKFLSFSMLVFFCVWVTFLPVYHSTKGKVMVAMEVFSILASSTALL AFIFGPKCYIILLRPEKNSFNHIKKKTRSKQKILLKYS >ENSMUSP00000025375.7 pep:known chromosome:GRCm38:18:42511510:42575551:1 gene:ENSMUSG00000024498.15 transcript:ENSMUST00000025375.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcerg1 description:transcription elongation regulator 1 (CA150) [Source:MGI Symbol;Acc:MGI:1926421] MAERGGDGGEGERFNPGELRMAQQQALRFRGPAPPPNAVMRGPPPLMRPPPPFGMMRGPP PPPRPPFGRPPFDPNMPPMPPPGGIPPPMGPPHLQRPPFMPPPMGAMPPPPGMMFPPGMP PGTAPGAPALPPTEEIWVENKTPDGKVYYYNARTRESAWTKPDGVKVIQQSELTPMLAAQ AQVQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQ AQAQAQAQAQAQVQAQAVGAPTPTTSSPAPAVSTSTPTSTPSSTTATTTTATSVAQTVST PTTQDQTPSSAVSVATPTVSVSAPAPTATPVQTVPQPHPQTLPPAVPHSVPQPAAAIPAF PPVMVPPFRVPLPGMPIPLPGVAMMQIVSCPYVKTVATTKTGVLPGMAPPIVPMIHPQVA IAASPATLAGATAVSEWTEYKTADGKTYYYNNRTLESTWEKPQELKEKEKLDEKIKEPIK EASEEPLPMETEEEDPKEEPVKEIKEEPKEEEMTEEEKAAQKAKPVATTPIPGTPWCVVW TGDERVFFYNPTTRLSMWDRPDDLIGRADVDKIIQEPPHKKGLEDMKKLRHPAPTMLSIQ KWQFSMSAIKEEQELMEEMNEDEPIKAKKRKRDDNKDIDSEKEAAMEAEIKAARERAIVP LEARMKQFKDMLLERGVSAFSTWEKELHKIVFDPRYLLLNPKERKQVFDQYVKTRAEEER REKKNKIMQAKEDFKKMMEEAKFNPRATFSEFAAKHAKDSRFKAIEKMKDREALFNEFVA AARKKEKEDSKTRGEKIKSDFFELLSNHHLDSQSRWSKVKDKVESDPRYKAVDSSSMRED LFKQYIEKIAKNLDSEKEKELERQARIEASLREREREVQKARSEQTKEIDREREQHKREE AIQNFKALLSDMVRSSDVSWSDTRRTLRKDHRWESGSLLEREEKEKLFNEHIEALTKKKR EHFRQLLDETSAITLTSTWKEVKKIIKEDPRCIKFSSSDRKKQREFEEYIRDKYITAKAD FRTLLKETKFITYRSKKLIQESDQHLKDVEKILQNDKRYLVLDCVPEERRKLIVAYVDDL DRRGPPPPPTASEPTRRSTK >ENSMUSP00000134458.1 pep:known chromosome:GRCm38:18:42511523:42574844:1 gene:ENSMUSG00000024498.15 transcript:ENSMUST00000173642.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcerg1 description:transcription elongation regulator 1 (CA150) [Source:MGI Symbol;Acc:MGI:1926421] MAERGGDGGEGERFNPGELRMAQQQALRFRGPAPPPNAVMRGPPPLMRPPPPFGMMRGPP PPPRPPFGRPPFDPNMPPMPPPGGIPPPMGPPHLQRPPFMPPPMGAMPPPPGMMFPPGMP PGTAPGAPALPPTEEIWVENKTPDGKVYYYNARTRESAWTKPDGVKVIQQSELTPMLAAQ AQVQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQ AQAQAQAQAQAQVQAQAVGAPTPTTSSPAPAVSTSTPTSTPSSTTATTTTATSVAQTVST PTTQDQTPSSAVSVATPTVSVSAPAPTATPVQTVPQPHPQTLPPAVPHSVPQPAAAIPAF PPVMVPPFRVPLPGMPIPLPGVAMMQIVSCPYVKTVATTKTGVLPGMAPPIVPMIHPQVA IAASPATLAGATAVSEWTEYKTADGKTYYYNNRTLESTWEKPQELKEKEKLDEKIKEPIK EASEEPLPMETEEEDPKEEPVKEIKEEPKEEEMTEEEKAAQKAKPVATTPIPGTPWCVVW TGDERVFFYNPTTRLSMWDRPDDLIGRADVDKIIQEPPHKKGLEDMKKLRHPAPTMLSIQ KWQFSMSAIKEEQELMEEMNEDEPIKAKKRKRDDNKDIDSEKEAAMEAEIKAARERAIVP LEARMKQFKDMLLERGVSAFSTWEKELHKIVFDPRYLLLNPKERKQVFDQYVKTRAEEER REKKNKIMQAKEDFKKMMEEAKFNPRATFSEFAAKHAKDSRFKAIEKMKDREALFNEFVA AARKKEKEDSKTRGEKIKSDFFELLSNHHLDSQSRWSKVKDKVESDPRYKAVDSSSMRED LFKQYIEKIAKNLDSEKEKELERQARIEASLREREREVQKARSEQTKEIDREREQHKREE AIQNFKALLSDMVRSSDVSWSDTRRTLRKDHRWESGSLLEREEKEKLFNEHIEALTKKKR EHFRQLLDETSAITLTSTWKEVKKIIKEDPRCIKFSSSDRKKQREFEEYIRDKYITAKAD FRTLLKETKFITYS >ENSMUSP00000112634.1 pep:known chromosome:GRCm38:10:23796986:23827968:1 gene:ENSMUSG00000037455.16 transcript:ENSMUST00000119597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc18b1 description:solute carrier family 18, subfamily B, member 1 [Source:MGI Symbol;Acc:MGI:1923556] MDEAGSPAPAGTGGGDDPGGSTRETSRRLSREQIFVLVSAASMNLGCMMTYSILGPFFPK EAEKKGASNTMIGMIFGCYALFELLASLVFGKYLVHIGAKFMFIAGMFVSGGVTILFGVL DQLPEGPIFIAMCFLVRIVDAIGFGAAITASSSILAKAFPNNVATVMGSLEVFSGLGLVA GPPLGGLLYQSFGYEVPFIFLGCIVLLMIPLNLYILPSYESDPGKQSFWKLVTLPKMGLL AFVIISLSSCFGFLDPTLSLFVMEKFSLSTGYVGLVFLGLSLSYAISSPLFGLLSDKMPT LRKWLLVFGNLITAGCYMLLGPVPLLHIKSQLWLLVLVLVVNGISAGMSIIPTFPEMLSC AYANGFEDSISTLGLVSGLFGAMWSVGAFMGPILGGFLCEKIGFEWAAAMQGLWTLLSGV SMALFYLWEDSTARRRSKAQNSLGTEEERAALLPNDT >ENSMUSP00000116940.1 pep:known chromosome:GRCm38:10:23797052:23805959:1 gene:ENSMUSG00000037455.16 transcript:ENSMUST00000134170.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc18b1 description:solute carrier family 18, subfamily B, member 1 [Source:MGI Symbol;Acc:MGI:1923556] MDEAGSPAPAGTGGGDDPGGSTRETSRRLSREQIFVLVSAASMNLGCMMTYSILGPFFPK EAEKKGASNTMIGMIFGCYALFELLASLVFGKYLVHIGAKFMFIAGMFVSGGVTILFGVS PCSPEWTGTV >ENSMUSP00000121289.1 pep:known chromosome:GRCm38:10:23797200:23805911:1 gene:ENSMUSG00000037455.16 transcript:ENSMUST00000133289.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc18b1 description:solute carrier family 18, subfamily B, member 1 [Source:MGI Symbol;Acc:MGI:1923556] MGLGPVSLGYNGLACFVVVAAAAVVVSETFKQGDDPGGSTRETSRRLSREQIFVLVSAAS MNLGCMMTYSILGPFFPKEAEKKGASNTMIGMIFGCYALFELLASLVFGKYLVHIGAKFM FIAGMFVSGGVTILFGVLDQLP >ENSMUSP00000123468.1 pep:known chromosome:GRCm38:10:23805976:23819081:1 gene:ENSMUSG00000037455.16 transcript:ENSMUST00000127841.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc18b1 description:solute carrier family 18, subfamily B, member 1 [Source:MGI Symbol;Acc:MGI:1923556] XAITASSSILAKAFPNNVATVMSPIQGSSPSGNLSPYPRWAS >ENSMUSP00000137431.1 pep:known chromosome:GRCm38:10:23796986:23827968:1 gene:ENSMUSG00000037455.16 transcript:ENSMUST00000179321.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc18b1 description:solute carrier family 18, subfamily B, member 1 [Source:MGI Symbol;Acc:MGI:1923556] MDEAGSPAPAGTGGGDDPGGSTRETSRRLSREQIFVLVSAASMNLGCMMTYSILGPFFPK EAEKKGASNTMIGMIFGCYALFELLASLVFGKYLVHIGAKFMFIAGMFVSGGVTILFGVL DQLPEGPIFIAMCFLVRIVDAIGFGAAITASSSILAKAFPNNVATVMGSLEVFSGLGLVA GPPLGGLLYQSFGYEVPFIFLGCIVLLMIPLNLYILPSYAQESDPGKQSFWKLVTLPKMG LLAFVIISLSSCFGFLDPTLSLFVMEKFSLSTGYVGLVFLGLSLSYAISSPLFGLLSDKM PTLRKWLLVFGNLITAGCYMLLGPVPLLHIKSQLWLLVLVLVVNGISAGMSIIPTFPEML SCAYANGFEDSISTLGLVSGLFGAMWSVGAFMGPILGGFLCEKIGFEWAAAMQGLWTLLS GVSMALFYLWEDSTARRRSKAQNSLGTEEERAALLPNDT >ENSMUSP00000041299.3 pep:known chromosome:GRCm38:6:124815019:124829484:-1 gene:ENSMUSG00000038429.10 transcript:ENSMUST00000047510.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp5 description:ubiquitin specific peptidase 5 (isopeptidase T) [Source:MGI Symbol;Acc:MGI:1347343] MAELSEEALLSVLPTIRVPKAGDRVHKDECAFSFDTPESEGGLYICMNTFLGFGKQYVER HFNKTGQRVYLHLRRTRRPKEEDTSAGTGDPPRKKPTRLAIGVEGGFDLTEDKFEFDEDV KIVILPDYLEIARDGLGGLPDIVRDRVTSAVEALLSADSASRKQEVQAWDGEVRQVSKHA FNLKQLDNPARIPPCGWKCSKCDMRENLWLNLTDGSILCGRRYFDGSGGNNHAVEHYRET GYPLAVKLGTITPDGADVYSYDEDDMVLDPSLAEHLSHFGIDMLKMQKTDKTMTELEIDM NQRIGEWELIQESGVPLKPLFGPGYTGIRNLGNSCYLNSVVQVLFSIPDFQRKYVDKLEK IFQNAPTDPTQDFSTQVAKLGHGLLSGEYSKPALESGDGEQVPEQKEVQDGIAPRMFKAL IGKGHPEFSTNRQQDAQEFFLHLINMVERNCRSSENPNEVFRFLVEEKIKCLATEKVKYT QRVDYIMQLPVPMDAALNKEELLEYEEKKRQAEEEKVPLPELVRAQVPFSSCLEAYGAPE QVDDFWSTALQAKSVAVKTTRFASFPDYLVIQIKKFTFGLDWVPKKLDVSIEMPEELDIS QLRGTGLQPGEEELPDIAPPLVTPDEPKGSLGFYGNEDEDSFCSPHFSSPTSPMLDESVI IQLVEMGFPMDACRKAVYYTGNSGAEAAMNWVMSHMDDPDFANPLILPGSSGPGSTSAAA DPPPEDCVTTIVSMGFSRDQALKALRATNNSLERAVDWIFSHIDDLDAEAAMDISEGRSA AESISESVPVGPKVRDGPGKYQLFAFISHMGTSTMCGHYVCHIKKEGRWVIYNDQKVCAS EKPPKDLGYIYFYQRVVS >ENSMUSP00000114000.1 pep:known chromosome:GRCm38:6:124815038:124829435:-1 gene:ENSMUSG00000038429.10 transcript:ENSMUST00000122110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp5 description:ubiquitin specific peptidase 5 (isopeptidase T) [Source:MGI Symbol;Acc:MGI:1347343] MAELSEEALLSVLPTIRVPKAGDRVHKDECAFSFDTPESEGGLYICMNTFLGFGKQYVER HFNKTGQRVYLHLRRTRRPKEEDTSAGTGDPPRKKPTRLAIGVEGGFDLTEDKFEFDEDV KIVILPDYLEIARDGLGGLPDIVRDRVTSAVEALLSADSASRKQEVQAWDGEVRQVSKHA FNLKQLDNPARIPPCGWKCSKCDMRENLWLNLTDGSILCGRRYFDGSGGNNHAVEHYRET GYPLAVKLGTITPDGADVYSYDEDDMVLDPSLAEHLSHFGIDMLKMQKTDKTMTELEIDM NQRIGEWELIQESGVPLKPLFGPGYTGIRNLGNSCYLNSVVQVLFSIPDFQRKYVDKLEK IFQNAPTDPTQDFSTQVAKLGHGLLSGEYSKPALESGDGEQVPEQKEVQDGIAPRMFKAL IGKGHPEFSTNRQQDAQEFFLHLINMVERNCRSSENPNEVFRFLVEEKIKCLATEKVKYT QRVDYIMQLPVPMDAALNKEELLEYEEKKRQAEEEKVPLPELVRAQVPFSSCLEAYGAPE QVDDFWSTALQAKSVAVKTTRFASFPDYLVIQIKKFTFGLDWVPKKLDVSIEMPEELDIS QLRGTGLQPGEEELPDIAPPLVTPDEPKAPMLDESVIIQLVEMGFPMDACRKAVYYTGNS GAEAAMNWVMSHMDDPDFANPLILPGSSGPGSTSAAADPPPEDCVTTIVSMGFSRDQALK ALRATNNSLERAVDWIFSHIDDLDAEAAMDISEGRSAAESISESVPVGPKVRDGPGKYQL FAFISHMGTSTMCGHYVCHIKKEGRWVIYNDQKVCASEKPPKDLGYIYFYQRVVS >ENSMUSP00000117439.1 pep:known chromosome:GRCm38:6:124822619:124829408:-1 gene:ENSMUSG00000038429.10 transcript:ENSMUST00000142058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp5 description:ubiquitin specific peptidase 5 (isopeptidase T) [Source:MGI Symbol;Acc:MGI:1347343] MAELSEEALLSVLPTIRVPKAGDRVHKDECAFSFDTPESEGGLYICMNTFLGFGKQYVER HFNKTGQRVYLHLRRTRRPKEEDTSAGTGDPPRKKPTRLAIGVEGGFDLTEDKFEFDEDV KIVILPDYLEIARDGLGGLPDIVRDREVQAWDGEVRQVSKHAFNLKQLDNPARIPPCGWK CSKCDMRENLWLNLTDGSILCGRRYFDGSGGNNHAVEHYRETGYPLAVKLGTITPDGADV YSYDEDDMVLDPSLAEHLSHFGIDMLKMQKTDKTMTELEIDMNQRIGEWELIQESGVPLK PLFG >ENSMUSP00000118200.1 pep:known chromosome:GRCm38:6:124824136:124828895:-1 gene:ENSMUSG00000038429.10 transcript:ENSMUST00000153306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp5 description:ubiquitin specific peptidase 5 (isopeptidase T) [Source:MGI Symbol;Acc:MGI:1347343] MNTFLGFGKQYVERHFNKTGQRVYLHLRRTRRPKEEDTSAGTGDPPRKKPTRLAIGVEGG FDLTEDKFEFDEDVKIVILPDYLEIARDGLGGLPDIVRDRVTSAVEALLSADSASRKQEV QAWDGEVRQVSKHAFNLKQLDNPARIPPCGWKCSKCDMRENLWLNLTDGSILCGRRYFDG SGGNNHAVEHYRETGYPLAVKLGTITPDG >ENSMUSP00000139393.1 pep:known chromosome:GRCm38:9:34485894:34489158:1 gene:ENSMUSG00000032036.15 transcript:ENSMUST00000184203.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel3 description:kin of IRRE like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1914953] MRPFQLDLLFLCFFLFSQ >ENSMUSP00000139951.1 pep:known chromosome:GRCm38:9:34488733:35036716:1 gene:ENSMUSG00000032036.15 transcript:ENSMUST00000187625.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel3 description:kin of IRRE like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1914953] MAKDKFRRMNEGQVYSFSQQPQDQVVVSGQPVTLLCAIPEYDGFVLWIKDGLALGVGRDL SSYPQYLVVGNHLSGEHHLKILRAELQDDAVYECQAIQAAIRSRPARLTVLVPPDDPIIL GGPVISLRAGDPLNLTCHADNAKPAASIIWLRKGEVINGATYSKTLLRDGKRESIVSTLF ISPGDVENGQSIVCRATNKAIPGGKETSVTIDIQHPPLVNLSVEPQPVLEDNIVTFHCSA KANPAVTQYRWAKRGHIIKEASGELYRTTVDYTYFSEPVSCEVTNALGSTNLSRTVDVYF GPRMTSEPQSLLVDLGSDAVFSCAWIGNPSLTIVWMKRGSGVVLSNEKTLTLKSVRQEDA GKYVCRAVVPRVGAGEREVTLTVNGPPIISSTQTQHALHGEKGQIKCFIRSTPPPDRIAW SWKENVLESGTSGRYTVETVNTEEGVISTLTISNIVRADFQTIYNCTAWNSFGSDTEIIR LKEQESVPMAVIIGVAVGAGVAFLVLMATIVAFCCARSQRNLKGVVSAKNDIRVEIVHKE PSSGREAEDHTTIKQLMMDRGEFQQDSVLKQLEVLKEEEKEFQNLKDPTNGYYSVNTFKE HHSTPTISLSSCQPDLRPTGKQRVPTGMSFTNIYSTLSGQGRLYDYGQRFVLGMGSSSIE LCEREFQRGSLSDSSSFLDTQCDSSVSSSGKQDGYVQFDKASKASASSSHHSQSSSQNSD PSRPLQRRMQTHV >ENSMUSP00000139714.1 pep:known chromosome:GRCm38:9:34488795:35030878:1 gene:ENSMUSG00000032036.15 transcript:ENSMUST00000190549.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel3 description:kin of IRRE like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1914953] MAKDKFRRMNEGQVYSFSQQPQDQVVVSGQPVTLLCAIPEYDGFVLWIKDGLALGVGRDL SSYPQYLVVGNHLSGEHHLKILRAELQDDAVYECQAIQAAIRSRPARLTVLVPPDDPIIL GGPVISLRAGDPLNLTCHADNAKPAASIIWLRKGEVINGATYSKTLLRDGKRESIVSTLF ISPGDVENGQSIVCRATNKAIPGGKETSVTIDIQHPPLVNLSVEPQPVLEDNIVTFHCSA KANPAVTQYRWAKRGHIIKEASGELYRTTVDYTYFSEPVSCEVTNALGSTNLSRTVDVYF GPRMTSEPQSLLVDLGSDAVFSCAWIGNPSLTIVWMKRGSGVVLSNEKTLTLKSVRQEDA GKYVCRAVVPRVGAGEREVTLTVNGPPIISSTQTQHALHGEKGQIKCFIRSTPPPDRIAW SWKENVLESGTSGRYTVETVNTEEGVISTLTISNIVRADFQTIYNCTAWNSFGSDTEIIR LKEQESVPMAVIIGVAVGAGVAFLVLMATIVAFCCARSQRNLKGVVSAKNDIRVEIVHKE PSSGREAEDHTTIKQLMVRAQPMPHSILSTQTSRCSPYC >ENSMUSP00000048863.6 pep:known chromosome:GRCm38:9:34488795:35036716:1 gene:ENSMUSG00000032036.15 transcript:ENSMUST00000045091.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel3 description:kin of IRRE like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1914953] MAKDKFRRMNEGQVYSFSQQPQDQVVVSGQPVTLLCAIPEYDGFVLWIKDGLALGVGRDL SSYPQYLVVGNHLSGEHHLKILRAELQDDAVYECQAIQAAIRSRPARLTVLVPPDDPIIL GGPVISLRAGDPLNLTCHADNAKPAASIIWLRKGEVINGATYSKTLLRDGKRESIVSTLF ISPGDVENGQSIVCRATNKAIPGGKETSVTIDIQHPPLVNLSVEPQPVLEDNIVTFHCSA KANPAVTQYRWAKRGHIIKEASGELYRTTVDYTYFSEPVSCEVTNALGSTNLSRTVDVYF GPRMTSEPQSLLVDLGSDAVFSCAWIGNPSLTIVWMKRGSGVVLSNEKTLTLKSVRQEDA GKYVCRAVVPRVGAGEREVTLTVNGPPIISSTQTQHALHGEKGQIKCFIRSTPPPDRIAW SWKENVLESGTSGRYTVETVNTEEGVISTLTISNIVRADFQTIYNCTAWNSFGSDTEIIR LKEQESVPMAVIIGVAVGAGVAFLVLMATIVAFCCARSQRNLKGVVSAKNDIRVEIVHKE PSSGREAEDHTTIKQLMMDRGEFQQDSVLKQLEVLKEEEKEFQNLKDPTNGYYSVNTFKE HHSTPTISLSSCQPDLRPTGKQRVPTGMSFTNIYSTLSGQGRLYDYGQRFVLGMGSSSIE LCEREFQRGSLSDSSSFLDTQCDSSVSSSGKQDGYVQFDKASKASASSSHHSQSSSQNSD PSRPLQRRMQTHV >ENSMUSP00000139418.1 pep:known chromosome:GRCm38:9:34488828:35036310:1 gene:ENSMUSG00000032036.15 transcript:ENSMUST00000188933.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel3 description:kin of IRRE like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1914953] MAKDKFRRMNEGQVYSFSQQPQDQVVVSGQPVTLLCAIPEYDGFVLWIKDGLALGVGRDL SSYPQYLVVGNHLSGEHHLKILRAELQDDAVYECQAIQAAIRSRPARLTVLVPPDDPIIL GGPVISLRAGDPLNLTCHADNAKPAASIIWLRKGEVINGATYSKTLLRDGKRESIVSTLF ISPGDVENGQSIVCRATNKAIPGGKETSVTIDIQHPPLVNLSVEPQPVLEDNIVTFHCSA KANPAVTQYRWAKRGHIIKEASGELYRTTVDYTYFSEPVSCEVTNALGSTNLSRTVDVYF GPRMTSEPQSLLVDLGSDAVFSCAWIGNPSLTIVWMKRGSGVVLSNEKTLTLKSVRQEDA GKYVCRAVVPRVGAGEREVTLTVNGPPIISSTQTQHALHGEKGQIKCFIRSTPPPDRIAW SWKENVLESGTSGRYTVETVNTEEGVISTLTISNIVRADFQTIYNCTAWNSFGSDTEIIR LKEQGSEMKSGAGLEAESVPMAVIIGVAVGAGVAFLVLMATIVAFCCARSQRNLKGVVSA KNDIRVEIVHKEPSSGREAEDHTTIKQLMMDRGEFQQDSVLKQLEVLKEEEKEFQNLKDP TNGYYSVNTFKEHHSTPTISLSSCQPDLRPTGKQRVPTGMSFTNIYSTLSGQGRLYDYGQ RFVLGMGSSSIELCEREFQRGSLSDSSSFLDTQCDSSVSSSGKQDGYVQFDKASKASASS SHHSQSSSQNSDPSRPLQRRMQTHV >ENSMUSP00000140219.1 pep:known chromosome:GRCm38:9:34488936:35036310:1 gene:ENSMUSG00000032036.15 transcript:ENSMUST00000187182.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel3 description:kin of IRRE like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1914953] MRPFQLDLLFLCFFLFSQGQVYSFSQQPQDQVVVSGQPVTLLCAIPEYDGFVLWIKDGLA LGVGRDLSSYPQYLVVGNHLSGEHHLKILRAELQDDAVYECQAIQAAIRSRPARLTVLVP PDDPIILGGPVISLRAGDPLNLTCHADNAKPAASIIWLRKGEVINGATYSKTLLRDGKRE SIVSTLFISPGDVENGQSIVCRATNKAIPGGKETSVTIDIQHPPLVNLSVEPQPVLEDNI VTFHCSAKANPAVTQYRWAKRGHIIKEASGELYRTTVDYTYFSEPVSCEVTNALGSTNLS RTVDVYFGPRMTSEPQSLLVDLGSDAVFSCAWIGNPSLTIVWMKRGSGVVLSNEKTLTLK SVRQEDAGKYVCRAVVPRVGAGEREVTLTVNGPPIISSTQTQHALHGEKGQIKCFIRSTP PPDRIAWSWKENVLESGTSGRYTVETVNTEEGVISTLTISNIVRADFQTIYNCTAWNSFG SDTEIIRLKEQGSEMKSGAGLEAESVPMAVIIGVAVGAGVAFLVLMATIVAFCCARSQRS TGGRPGISGRGTEKKARLRLPRRANLKGVVSAKNDIRVEIVHKEPSSGREAEDHTTIKQL MMDRGEFQQDSVLKQLEVLKEEEKEFQNLKDPTNGYYSVNTFKEHHSTPTISLSSCQPDL RPTGKQRVPTGMSFTNIYSTLSGQGRLYDYGQRFVLGMGSSSIELCEREFQRGSLSDSSS FLDTQCDSSVSSSGKQDGYVQFDKASKASASSSHHSQSSSQNSDPSRPLQRRMQTHV >ENSMUSP00000140086.1 pep:known chromosome:GRCm38:9:35016452:35030876:1 gene:ENSMUSG00000032036.15 transcript:ENSMUST00000188658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel3 description:kin of IRRE like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1914953] KSVRQEDAGKYVCRAVVPRVGAGEREVTLTVNGPPIISSTQTQHALHGEKGQIKCFIRST PPPDRIAWSWKENVLESGTSGRYTVETVNTEEGVISTLTISNIVRADFQTIYNCTAWNSF GSDTEIIRLKEQGSEMKSGAGLEAESVPMAVIIGVAVGAGVAFLVLMATIVAFCCARSQR NLKGVVSAKNDIRVEIVHKEPSSGREAEDHTTIKQLMVRAQPMPHSILSTQTSRCSPYC >ENSMUSP00000110801.2 pep:known chromosome:GRCm38:9:34486126:35035280:1 gene:ENSMUSG00000032036.15 transcript:ENSMUST00000115148.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel3 description:kin of IRRE like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1914953] MRPFQLDLLFLCFFLFSQELGLQKRGCCLVLGYMAKDKFRRMNEGQVYSFSQQPQDQVVV SGQPVTLLCAIPEYDGFVLWIKDGLALGVGRDLSSYPQYLVVGNHLSGEHHLKILRAELQ DDAVYECQAIQAAIRSRPARLTVLVPPDDPIILGGPVISLRAGDPLNLTCHADNAKPAAS IIWLRKGEVINGATYSKTLLRDGKRESIVSTLFISPGDVENGQSIVCRATNKAIPGGKET SVTIDIQHPPLVNLSVEPQPVLEDNIVTFHCSAKANPAVTQYRWAKRGHIIKEASGELYR TTVDYTYFSEPVSCEVTNALGSTNLSRTVDVYFGPRMTSEPQSLLVDLGSDAVFSCAWIG NPSLTIVWMKRGSGVVLSNEKTLTLKSVRQEDAGKYVCRAVVPRVGAGEREVTLTVNGPP IISSTQTQHALHGEKGQIKCFIRSTPPPDRIAWSWKENVLESGTSGRYTVETVNTEEGVI STLTISNIVRADFQTIYNCTAWNSFGSDTEIIRLKEQESVPMAVIIGVAVGAGVAFLVLM ATIVAFCCARSQRNLKGVVSAKNDIRVEIVHKEPSSGREAEDHTTIKQLMMDRGEFQQDS VLKQLEVLKEEEKEFQNLKDPTNGYYSVNTFKEHHSTPTISLSSCQPDLRPTGKQRVPTG MSFTNIYSTLSGQGRLYDYGQRFVLGMGSSSIELCEREFQRGSLSDSSSFLDTQCDSSVS SSGKQDGYVQFDKASKASASSSHHSQSSSQNSDPSRPLQRRMQTHV >ENSMUSP00000100485.1 pep:known chromosome:GRCm38:2:111478786:111479724:1 gene:ENSMUSG00000044039.3 transcript:ENSMUST00000104889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1288 description:olfactory receptor 1288 [Source:MGI Symbol;Acc:MGI:3031122] MDQVNASALPEFVLLGLAQSFGTQIFFGLFFSLFYVGILFGNLFIVFIVIVDSHLHFPMY ILLANLSLIDLGLSSTTIPRTISDLFTGCKVISFHSCMTQMFFIHVMGGVEMVLLIAMAY DRYIAICKPLHYLMIMNPKKCIILVIAAWVIGMIHAVSQFLFVVNLPFCGPYNVGSFYCD FPRVIKLACMDTYKLEFVVSANSGFISMCTFFFLITSYIFILASVRQHSSTDLSKAFVTL SAHITVVVLFFIPCMFLYVWPFPTKSLDNFFAIVDFVLTPVLNPTIYTLRNKDMRLAIRR LSRQVLSSREFI >ENSMUSP00000140459.1 pep:known chromosome:GRCm38:10:100590511:100603367:1 gene:ENSMUSG00000056912.11 transcript:ENSMUST00000188930.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700017N19Rik description:RIKEN cDNA 1700017N19 gene [Source:MGI Symbol;Acc:MGI:1913855] MEMQQNCSISCFWETQPLGCVKISCIFYHSKPRNINGLFLPPSSNTTLQKESQEGSPSPT QSQESLKPMENVSRPIHHPLVLKTNFEEEEEEEEEPNDASSLWTKT >ENSMUSP00000141032.1 pep:known chromosome:GRCm38:10:100592350:100618312:1 gene:ENSMUSG00000056912.11 transcript:ENSMUST00000187119.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700017N19Rik description:RIKEN cDNA 1700017N19 gene [Source:MGI Symbol;Acc:MGI:1913855] MEMQQNCSISCFWETQPLGCVKISCIFYHSKPRNINGLFLPPSSNTTLQKESQEGSPSPT QSQESLKPMENVSRPIHHPLVLKTNFEEEEEEEEEPNDASSLWTKTPEEIEEKRAIKEMC YKSGEYYRFHAPPDIPSSKSVAPTVEKELEKPLETGSELQEGDGLTVPTKFSLFERAGEA KTSLDRKPRTDIAAFENGGGDCYAPQRIIFLGVDESEALAEDKEATSKCSNVKVNDVQPV RKPHFKGVKKRKWIYDEPKNFPGSGMRRVHIPNPKHKMSYHHHNKNRNAENASYTHAPRD AVRTVTLNAPPRSRPTSRSYNKADVNKEPKLNLCPDKHMSTSYNGSAWRRRIPFSKTYSK TEKVYPEPRRNGSK >ENSMUSP00000140726.1 pep:known chromosome:GRCm38:10:100592370:100618397:1 gene:ENSMUSG00000056912.11 transcript:ENSMUST00000188736.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700017N19Rik description:RIKEN cDNA 1700017N19 gene [Source:MGI Symbol;Acc:MGI:1913855] MEMQQNCSISCFWETQPLGCVKISCIFYHSKPRNINGLFLPPSSNTTLQKESQEGSPSPT QSQESLKPMENVSRPIHHPLVLKTNFEEEEEEEEEPNDASSLWTKTPEEIEEKRAIKEMC YKSGEYYRFHAPPDIPSSKSVAPTVEKELEKPLETGSELQEGDGLTVPTKFSLFERAGEA KTSLDRKPRTDIAAFENGGGDCYAPQRIIFLGVDESEALAEDKEATSKCSNVKESKNGLH PKHPLTTRLMPTTHVLNATENISMKCRETPSSMNDVQPVRKPHFKGVKKRKWIYDEPKNF PGSGMRRAVHIPNPKHKMSYHHHNKNRNAENASYTHAPRDAVRTVTLNAPPRSRPTSRSY NKADVNKEPKLNLCPDKHMSTSYNGSAWRRRIPFSKTYSKTEKVYPEPRRNGSK >ENSMUSP00000140717.1 pep:known chromosome:GRCm38:10:100592376:100612517:1 gene:ENSMUSG00000056912.11 transcript:ENSMUST00000191336.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700017N19Rik description:RIKEN cDNA 1700017N19 gene [Source:MGI Symbol;Acc:MGI:1913855] MENVSRPIHHPLVLKTNFEEEEEEEEEPNDASSLWTKTPEEIEEKRAIKEMCYKSGEYYR FHAPPDIPSSKSVAPTVEKELEKPLETGSELQEGDGLTVPTKFSLFERAGEAKTSLDRKP RTDIAAFENGGGDCYAPQRIIFLGVDESEALAEDKEATSKCSNVKVNDVQPVRKPHFKGV KKRKWIYDEPKNFPG >ENSMUSP00000140291.1 pep:known chromosome:GRCm38:10:100592381:100618391:1 gene:ENSMUSG00000056912.11 transcript:ENSMUST00000186825.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700017N19Rik description:RIKEN cDNA 1700017N19 gene [Source:MGI Symbol;Acc:MGI:1913855] MAEVGNDCYFFVNSTCIKGSQCRFRHCEEALGSDTVCSLWRERKCLDPLCRFRHMEMQQN CSISCFWETQPLGCVKISCIFYHSKPRNINGLFLPPSSRTK >ENSMUSP00000042828.6 pep:known chromosome:GRCm38:10:100592385:100618391:1 gene:ENSMUSG00000056912.11 transcript:ENSMUST00000041162.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700017N19Rik description:RIKEN cDNA 1700017N19 gene [Source:MGI Symbol;Acc:MGI:1913855] MEMQQNCSISCFWETQPLGCVKISCIFYHSKPRNINGLFLPPSSNTTLQKESQEGSPSPT QSQESLKPMENVSRPIHHPLVLKTNFEEEEEEEEEPNDASSLWTKTPEEIEEKRAIKEMC YKSGEYYRFHAPPDIPSSKSVAPTVEKELEKPLETGSELQEGDGLTVPTKFSLFERAGEA KTSLDRKPRTDIAAFENGGGDCYAPQRIIFLGVDESEALAEDKEATSKCSNVKEESKNGL HPKHPLTTRLMPTTHVLNATENISMKCRETPSSMNDVQPVRKPHFKGVKKRKWIYDEPKN FPGSGMRRAVHIPNPKHKMSYHHHNKNRNAENASYTHAPRDAVRTVTLNAPPRSRPTSRS YNKADVNKEPKLNLCPDKHMSTSYNGSAWRRRIPFSKTYSKTEKVYPEPRRNGSK >ENSMUSP00000140022.1 pep:known chromosome:GRCm38:10:100592396:100618314:1 gene:ENSMUSG00000056912.11 transcript:ENSMUST00000190386.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700017N19Rik description:RIKEN cDNA 1700017N19 gene [Source:MGI Symbol;Acc:MGI:1913855] MEMQQNCSISCFWETQPLGCVKISCIFYHSKPRNINGLFLPPSSNTTLQKESQEGSPSPT QSQESLKPMENVSRPIHHPLVLKTNFEEEEEEEEEPNDASSLWTKTPEEIEEKRAIKEMC YKSGEYYRFHAPPDIPSSKSVAPTVEKELEKPLETGSELQEGDGLTVPTKFSLFERAGEA KTSLDRKPRTDIAAFENGGGDCYAPQRIIFLGVDESEALAEDKEATSKCSNVKVNDVQPV RKPHFKGVKKRKWIYDEPKNFPGSGMRRAVHIPNPKHKMSYHHHNKNRNAENASYTHAPR DAVRTVTLNAPPRSRPTSRSYNKADVNKEPKLNLCPDKHMSTSYNGSAWRRRIPFSKTYS KTEKVYPEPRRNGSK >ENSMUSP00000139797.1 pep:known chromosome:GRCm38:10:100592408:100618401:1 gene:ENSMUSG00000056912.11 transcript:ENSMUST00000190708.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700017N19Rik description:RIKEN cDNA 1700017N19 gene [Source:MGI Symbol;Acc:MGI:1913855] MAEVGNDCYFFVNSTCIKGSQCRFRHCEEALGSDTVCSLWRERKCLDPLCRFRHMEMQQN CSISCFWETQPLGCVKISCIFYHSKPRNINGLFLPPSSNTTLQKESQEGSPSPTQSQESL KPMENVSRPIHHPLVLKTNFEEEEEEEEEPNDASSLWTKTPEEIEEKRAIKEMCYKSGEY YRFHAPPDIPSSKSVAPTVEKELEKPLETGSELQEGDGLTVPTKFSLFERAGEAKTSLDR KPRTDIAAFENGGGDCYAPQRIIFLGVDESEALAEDKEATSKCSNVKEESKNGLHPKHPL TTRLMPTTHVLNATENISMKCRETPSSMNDVQPVRKPHFKGVKKRKWIYDEPKNFPGSGM RRAVHIPNPKHKMSYHHHNKNRNAENASYTHAPRDAVRTVTLNAPPRSRPTSRSYNKADV NKEPKLNLCPDKHMSTSYNGSAWRRRIPFSKTYSKTEKVYPEPRRNGSK >ENSMUSP00000139857.1 pep:known chromosome:GRCm38:10:100609155:100618309:1 gene:ENSMUSG00000056912.11 transcript:ENSMUST00000191033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700017N19Rik description:RIKEN cDNA 1700017N19 gene [Source:MGI Symbol;Acc:MGI:1913855] DCYAPQRIIFLGVDESEALAEDKEATSKCSNVKEESKNGLHPKHPLTTRLMPTTHVLNAT ENISMKCRETPSSMNDVQPVRKPHFKGVKKRKWIYDEPKNFPGSGMRRVHIPNPKHKMSY HHHNKNRNAENASYTHAPRDAVRTVTLNAPPRSRPTSRSYNKADVNKEPKLNLCPDKHMS TSYNGSAWRRRIPFSKTYSKTEKVYPEPRRNGSK >ENSMUSP00000140430.1 pep:known chromosome:GRCm38:1:46425592:46807476:1 gene:ENSMUSG00000101337.6 transcript:ENSMUST00000189749.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah7c description:dynein, axonemal, heavy chain 7C [Source:MGI Symbol;Acc:MGI:3639762] MSSKKDKLSTKGKSKMPARFLPQLPMDKLSSKEKAKLPTTVLPQLTLTGVKHQWQQTAPS FHLNIKQENPILEPYTVKNEQSFAEYMEHYRRKGKLLDQIDDDRSAPSTSRSKVKSPHKE RENLRSTLVKVIMQQDGGLESDVIDESGIPKVATSPREKDILRYYYYIRHGIDSDHVAPL EDSWLEHVLQLVPQHLKVMTNSIMVLSDEIREDYLLSVKKSIVDFVLKDPREKDDDGKIT ELPPHRAEIEVLPKPWRRSFLSACSYIRDHLNAMNPMMLAVLDLWHSTFKKLRLVDIEEF HKRQDALELSEFQNIVIKHMESAKETLLKTWFPEVQNIYYKGNKKKQLPTGKSSAKLDSF FNCAATLMTLQLQDLILVSMQDFTDLIAQPPESTRAFEHPGFIMRLVLDKDNISFEPEFN DYIDILVNIYEIMIKAVSFVPRVETKLYSQWESKSKPTTLKPIILNEIIDGHKEKIREVI LRESVAPTEHLKMYDKYQFLITGKAERDIDEFLFQSQNYERLIEEIRKYQKLEEEIQYTS RKAVRLGMFEMHCEELIKSLVKRADIICGKLIAKMFRNHQKESTMLCDEFEKIAEKALST PLNTAELMEMKADIQKVETTDMLELRQRLVDSKNCLAFLIECVNFSPADIRLNNSVFQWY GRMGEIFDEHRKIIKDKTEQYQEALKFRCERFVEELESYAKQVEEFHTFGDLMDMQRYLQ KAQVLNSKLDAAADKIDQFNAEEEAYGWVPSVYPQRKKIQDALNPYLRLYETAVEFSAKH KWWTEGPYHKVNPDQVETDVGNYWRGLYKLEKVFHDSPNALAMTKKVQSMVEEFKQYIPL IQVICNPGLHPRHWEAMSTIVGYPLKPSDDSTVLSFIDMNLEPFLDRFEGISEAASKEYS LEKAMDKMMTEWNSMEFVIHPYRESGTYILSSVDDIQMLLDDHIIKTQTMRGSPFIKPYE KQMREWEGKLLLLQEILDEWLKVQATWLYLEPIFSSPDIMSQMPEEGRRFKAVDKTWRDM MKTVVQDKQVLAVVTIERMLERLKKSNELLELILKGLNEYLEKKRLFFPRFFFLSNDELL EILSETKDPTRVQPHLKKCFEGIAKVEFTETLDITHMKSSEGEVVELVDTISTAKARGQV EKWLVELERTMIKSIHKVIRDAIAAYTKYSRISWVRDWPGQTVLCVSQTFWTVEVQTAIP KGHQALEDYLAKCNQQIDDIVTLVRGKLSKQNRVTLGALVVLDVHARDVLASLADKKISD DSDFQWLSQLRYYWQENNLETKMINAGLRYGYEYLGNSPRLVITPLTDRCYRTLFGALHL HLGGAPEGPAGTGKTETTKDLAKAVAKQCVVFNCSDGLDYLALGKFFKGLLSCGAWACFD EFNRIDLEVLSVVAQQILTIQRGINAGTDLLVFEGTELKLDPTCAVFITMNPGYAGRSEL PDNLKALFRTVAMMVPDYAMIAEIVLYSCGFVTARPLSIKIVATYRLCSEQLSSQHHYDY GMRAVKSVLTAAGNLKLKYPNENEEILLLRSIIDVNLPKFLSHDLPLFEGITSDLFPGVK LPKPDYNDLLAAIRDNCHTMNLQMTDFFSEKILQIYEMMIVRHGFMIVGEPFGGKTSAYR VLAGALNDICEKGLMEENKVQITVLNPKSVTMGQLYGQFDLVSHEWSDGVLAVSFRAFAA SSTPDRKWLIFDGPVDAVWIENMNTVLDDNKKLCLMSGEIIQMSPQMNLIFEPMDLEVAS PATVSRCGMIYMEPHMLGWRPLMVSWINTLPQSVSIIQKEFIEGLFDRMVPLSVEFIRRH TKELSPTSDTNLVHSLMNLIDCFMDDFADENKQKERNDQESFSLLEGIFLFSLIWSVGAT CTDDDRMKFDKILRELMEGPISDQTRNKFTLLSSTEQTSSKAFIVPFPEKGTIYDYQFIL EDLGRWDKWIKKLADTPPIPKDVQFNEIIVPTLDTVRYSALMHLLTTHQKPSIFVGPTGT GKSVYIINFLLNQLNKDIYKPLIVNFSAQTTAAQTQNIIMSKLDKRRKGVFGPPLGKRMI VFVDDVNMPAREVYGAQPPIELLRQWLDHWNWYDLKDCSVIKLVDIQIMCAMGPPGGGRN PITPRYMRHFNIVTINEFSDKSMFTIFSRILAWHLRTCYKFPDDFLDMTTQIVNGTMALY KDAMKNLLPTPAKSHYLFNLRDFSRVIQGVCLSRPETAENKEAIKRLWVHEVLRVYYDRL VDNADRGWLINYIQGILKNYMQEDFHDLFKNLDFNHDGTVEEDDLRSLMFCDFHDPKRED FGYREVENVDALRMIVEGHLDEYNNMSKKPMNLVLFRFAIEHISRISRILKQPRSHALLV GVGGSGRQSVTRLAAHMADYSLFQVEISKGYGSHEWHEDLKVILRKCAENDMQGVFLFTD TQIKRESFLEDVNNLLNAGEVPNLFALDEKQEICEKMRQLDRQRDKTKQTDGSPIALFNM FIDRCRNQLHVVLAMSPIGDAFRIRLRKFPALVNCCTIDWFQSWPEDALEAVASRFLEDI EMSEETQEGCIDMCKRFHTSTINLSTSFHNELQRYNYVTPTSYLELISTFKLLLEKKRNE VMKMKRRYEVGLDKLDSASSQVATMQSELEALHPQLKVASREVDEMMIIIERESMEVAKT EKIVKADETVANDQAMAAKAIKDECDADLAEALPILESALAALDTLTAQDITVVKSMKSP PAGVKLVMEAVCILKGIKADKIPDPTGSGKKIEDFWGPAKRLLGDIRFLQSLHEYDKDNI PPAYMNIIRKSYIPNPDFVPEKIRNASTAAEGLCKWVIAMDSYDKVAKIVAPKKIKLAAA EGKLRIAMEGLRKKQAALYEVQDKLAKLQDTLELNKQKKADLENQVDLCSKKLERAEQLI GGLGGEKTRWSNSALELGHLYINLTGDILISSGVVAYLGAFTSNYRQNQTKEWSQSCKER DIPCSDDYSLMGTLGEAVTIRAWNIAGLPSDSFSIDNGIIIMNARRWPLMIDPQGQANKW IKNMEKTNSLQLIKLSDPDYVRTLENCIQFGTPVLLENVGEELDPILEPLLLKQTFKQGG STCIRLGDSTIEYAPDFRFYITTKLRNPHYLPETSVKVTLLNFMITPEGMQDQLLGIVVA RERPDLEEEKQALIVQGADNKRQLKEIEDKILEVLSLSEGNILEDETAIKILSSSKSLAN EISQKQEVAEETEKKIDNTRMGYRIIAIHSSILFFSIADLANIEPMYQYSLTWFINLFIL SIENSEKSDILSKRLQILRDHFTYSLYVNICRSLFEKDKLLFSFCLTVNLLIHDNLINKT EWRFLLTGGIGLDNPYTNPCTWLPQKSWDEICRLDDLPAFKTIRREFMRLKDGWKKVYDS MEPHHEMFPEDWENKANDFQRMLIIRCLRPDKVIPMLQEFIIKKLGRPFIEPPPFDLAKA FGDSNCCAPLIFVLSPGADPMNALLKFADDQGYGGSKLSSLSLGQGQGPIAMKMLEKAVK DGTWVVLQNCHLATSWMPTLEKVCEELNPESTHPDFRIWLTSYPSPNFPVSVLQNGVKMT NEAPKGLRANIIRSYLMDPISDPEFFDSCKKPEEFKKLLYGLCFFHALVQERRKFGPLGW NIPYEFNETDLRISVQQLHMFLDQYEELPYDALRYMTGECNYGGRVTDDWDRRTLRSILN KFFCTELVENPQYKFDSSGIYFIPPSGDHKSYIDYTKTLPLIPAPEVFGMNANADITKDQ SETQLLFDNILLTQSQSSGSGTKSSDEVVNEVAGDILSKLPNNFDIEAAMRRYPTTYTQS MNTVLVQEMGRFNKLLITIRESCINIQKAIKGLVVMSTELEEVVSSILNVKIPAMWMGKS YPSLKPLGSYVNDFLERLKFLQQWYEVGPPPVFWLSGFFFTQAFLTGAQQNYARKFTIPI DLLAFDYEVMDDKEYKNAPEDGVYIHGLFLDGASWDRKTKKLAESHPKVLYDTVPVMWLK PCKKSDISKRPSYVAPLYKTSERRGTLSTTGHSTNFVIAMILPSDQPKEHWIGRGVALLC QLNS >ENSMUSP00000136771.1 pep:known chromosome:GRCm38:1:46608961:46626111:1 gene:ENSMUSG00000101337.6 transcript:ENSMUST00000178395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah7c description:dynein, axonemal, heavy chain 7C [Source:MGI Symbol;Acc:MGI:3639762] LVITPLTDRCYRTLFGALHLHLGGAPEGPAGTGKTETTKDLAKAVAKQCVVFNCSDGLDY LALGKFFKGLLSCGAWACFDEFNRIDLEVLSVVAQQILTIQRGINAGTDLLVFEGTELKL DPTCAVFITMNPGYAGRSELPDNLKALFRTVAMMVPDYAMIAEIVLYSCGFVTARPLSIK IVATYRLCSEQLSSQHHYDYGMRA >ENSMUSP00000037408.5 pep:known chromosome:GRCm38:7:6197090:6216137:1 gene:ENSMUSG00000034660.8 transcript:ENSMUST00000037553.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galp description:galanin-like peptide [Source:MGI Symbol;Acc:MGI:2663979] MACSVHLVLFLTILLSLAETPESAPAHRGRGGWTLNSAGYLLGPVLPVSSKADQGRKRDS ALEILDLWKIIDGLPYSHSPRMTKRTMGETFVKANTGDMHILDKNVPKEEATLDSES >ENSMUSP00000146615.1 pep:known chromosome:GRCm38:7:6202801:6211366:1 gene:ENSMUSG00000034660.8 transcript:ENSMUST00000130076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galp description:galanin-like peptide [Source:MGI Symbol;Acc:MGI:2663979] XGLLRTSGPLPHHLAEPGRNTGICTCSQVLPVSSKADQGRKRDSALEIL >ENSMUSP00000139068.1 pep:known chromosome:GRCm38:2:88049678:88051112:-1 gene:ENSMUSG00000075139.2 transcript:ENSMUST00000183862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1162 description:olfactory receptor 1162 [Source:MGI Symbol;Acc:MGI:3030996] MVPMERNVSVEIIFSLLGFTDYPELQIPLFLIFLFMYVITVVGNLGMIALININPKFHTP MYFFLSHLSFVDFCYSTIITPKLLENLVLADKTILYFSCMFQYFLSCVAVVSESYLLAVM AYDRFVAICNPLLYTVAMSPRLCILLVTGSYIWSIFAPLILLCYALQLKFSRFNVINHFF CEYTALIAVSSSDIHIPSLLLFCFATFNEVSTLLIILTSYVFIFVTVLKIKSASGRRKAF STCASHLTAITIFHGTILSLYCIPNSKNSRQVVKVASVFYTVVNPMLNPLIYSLRNKDVK DAFQKLVSTKIPLQ >ENSMUSP00000097425.1 pep:known chromosome:GRCm38:2:88049678:88050622:-1 gene:ENSMUSG00000075139.2 transcript:ENSMUST00000099837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1162 description:olfactory receptor 1162 [Source:MGI Symbol;Acc:MGI:3030996] MVPMERNVSVEIIFSLLGFTDYPELQIPLFLIFLFMYVITVVGNLGMIALININPKFHTP MYFFLSHLSFVDFCYSTIITPKLLENLVLADKTILYFSCMFQYFLSCVAVVSESYLLAVM AYDRFVAICNPLLYTVAMSPRLCILLVTGSYIWSIFAPLILLCYALQLKFSRFNVINHFF CEYTALIAVSSSDIHIPSLLLFCFATFNEVSTLLIILTSYVFIFVTVLKIKSASGRRKAF STCASHLTAITIFHGTILSLYCIPNSKNSRQVVKVASVFYTVVNPMLNPLIYSLRNKDVK DAFQKLVSTKIPLQ >ENSMUSP00000103064.1 pep:known chromosome:GRCm38:11:99771714:99772913:-1 gene:ENSMUSG00000078668.3 transcript:ENSMUST00000107440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11595 description:predicted gene 11595 [Source:MGI Symbol;Acc:MGI:3652308] MVSSCCGSVCSEEGCGQGCCQPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCC RPSCCISSCCRPCCRPTCCVSSCCRPSCCISSCCRPSCCRPSCCVSSCCRPQCCQSLCCQ PTCCRPSCCISSCCRPSCCRPSCCVSSCCRPSCCISSCCRPCCGSSSCCGSSCCRPSCCI SSCCRPSCCRPSCCVSSCCRPSCCVSSCCRPQCCQSLCCQPTCCRPSCCRPSCCISSCCR PSCCRPSCCVSSCCRPQCCISSCCRPTCCETTCCRTTCCRPACSSGSCC >ENSMUSP00000111002.1 pep:known chromosome:GRCm38:X:20860511:20921004:-1 gene:ENSMUSG00000037217.15 transcript:ENSMUST00000115345.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syn1 description:synapsin I [Source:MGI Symbol;Acc:MGI:98460] MNYLRRRLSDSNFMANLPNGYMTDLQRPQPPPPPPSAASPGATPGSATASAERASTAAPV ASPAAPSPGSSGGGGFFSSLSNAVKQTTAAAAATFSEQVGGGSGGAGRGGAAARVLLVID EPHTDWAKYFKGKKIHGEIDIKVEQAEFSDLNLVAHANGGFSVDMEVLRNGVKVVRSLKP DFVLIRQHAFSMARNGDYRSLVIGLQYAGIPSVNSLHSVYNFCDKPWVFAQMVRLHKKLG TEEFPLIDQTFYPNHKEMLSSTTYPVVVKMGHAHSGMGKVKVDNQHDFQDIASVVALTKT YATAEPFIDAKYDVRVQKIGQNYKAYMRTSVSGNWKTNTGSAMLEQIAMSDRYKLWVDTC SEIFGGLDICAVEALHGKDGRDHIIEVVGSSMPLIGDHQDEDKQLIVELVVNKMTQALPR QPQRDASPGRGSHSQSSSPGALTLGRQTSQQPAGPPAQQRPPPQGGPPQPGPGPQRQGPP LQQRPPPQGQQHLSGLGPPAGSPLPQRLPSPTAAPQQSASQATPVTQGQGRQSRPVAGGP GAPPAARPPASPSPQRQAGAPQATRQASISGPAPTKASGAPPGGQQRQGPPQKPPGPAGP TRQASQAGPGPRTGPPTTQQPRPSGPGPAGRPAKPQLAQKPSQDVPPPITAAAGGPPHPQ LKASPSQAQP >ENSMUSP00000080568.6 pep:known chromosome:GRCm38:X:20860515:20920918:-1 gene:ENSMUSG00000037217.15 transcript:ENSMUST00000081893.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syn1 description:synapsin I [Source:MGI Symbol;Acc:MGI:98460] MNYLRRRLSDSNFMANLPNGYMTDLQRPQPPPPPPSAASPGATPGSATASAERASTAAPV ASPAAPSPGSSGGGGFFSSLSNAVKQTTAAAAATFSEQVGGGSGGAGRGGAAARVLLVID EPHTDWAKYFKGKKIHGEIDIKVEQAEFSDLNLVAHANGGFSVDMEVLRNGVKVVRSLKP DFVLIRQHAFSMARNGDYRSLVIGLQYAGIPSVNSLHSVYNFCDKPWVFAQMVRLHKKLG TEEFPLIDQTFYPNHKEMLSSTTYPVVVKMGHAHSGMGKVKVDNQHDFQDIASVVALTKT YATAEPFIDAKYDVRVQKIGQNYKAYMRTSVSGNWKTNTGSAMLEQIAMSDRYKLWVDTC SEIFGGLDICAVEALHGKDGRDHIIEVVGSSMPLIGDHQDEDKQLIVELVVNKMTQALPR QPQRDASPGRGSHSQSSSPGALTLGRQTSQQPAGPPAQQRPPPQGGPPQPGPGPQRQGPP LQQRPPPQGQQHLSGLGPPAGSPLPQRLPSPTAAPQQSASQATPVTQGQGRQSRPVAGGP GAPPAARPPASPSPQRQAGAPQATRQASISGPAPTKASGAPPGGQQRQGPPQKPPGPAGP TRQASQAGPGPRTGPPTTQQPRPSGPGPAGRPAKPQLAQKPSQDVPPPITAAAGGPPHPQ LNKSQSLTNAFNLPEPAPPRPSLSQDEVKAETIRSLRKSFASLFSD >ENSMUSP00000103063.1 pep:known chromosome:GRCm38:11:99779722:99780704:-1 gene:ENSMUSG00000089724.1 transcript:ENSMUST00000107439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap4-8 description:keratin associated protein 4-8 [Source:MGI Symbol;Acc:MGI:3652306] MVSSCCGSVCSEEGCGQGCCQPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCC RPSCCISSCCRPSCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCCISSCCRPSCCRPSCC VSSCCRPSCCISSCCRPCCRPCCGSSSCCGSSCCRPTCCISSCCRPSCCRPSCCVSSCCR PQCCISSCCRPTCCQTTCCRPACSSGSCC >ENSMUSP00000097423.2 pep:known chromosome:GRCm38:2:88070430:88071380:-1 gene:ENSMUSG00000075137.3 transcript:ENSMUST00000099835.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1163 description:olfactory receptor 1163 [Source:MGI Symbol;Acc:MGI:3030997] MVPLEINVSVEINFVLLGFTDYPNLQIPLFLIFLFMYIITVVGNLGMTVLINIDHKFHTP MYFFLSHLSFVDFCYSTIITPKLLENLVLADKTILYFSCMLQYFLSCVALVSESYLLAVM AYDRFVAICNPLLYTVAMSPRLCILLVTGSYIWSTFETLILLCYALQLKFSRFNVINHFF CEYTALIVVSSSDIHIPSLLLFCFATFNEVSTLLIILTSYVLIFVTVLKIKSASGRRKAF STCASHLTAITIFHGTILSLYCVPNSKNSRNAVKVASVFYAVVNPLLNPLIYSLRNKDVK EVFQKLVSTSLKFQLH >ENSMUSP00000097201.1 pep:known chromosome:GRCm38:2:111944516:111945454:-1 gene:ENSMUSG00000094747.1 transcript:ENSMUST00000099606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1307 description:olfactory receptor 1307 [Source:MGI Symbol;Acc:MGI:3031141] MERVNQSVVSEFVFLGLTNSWSIQLLLFVFSSMFFVASMMGNSLIVFTVASDPHLHSPMY FLLANLSFIDLGVSSVSSPKMLYDLFRKHKVISFTGCVTQIFFIHVIGGVEMVLLIAMAF DRYVAICKPLHYLTIMSPRTCILFSVTAWVVGLMHSLIQLAFVVNLPFCGPNVLDSFYCD LPRFIKLACIDTNQLEFMVTVNSGFISVGSFFILIISYIVIIISVQKHSSGGSSKALSTL SAHITVVFLFFGPLIFFYTWPSPSTHLDKFLAIFDAVITPFLNPIIYTFRNQEMKVAMKR VCRQLVSYRKTS >ENSMUSP00000078200.6 pep:known chromosome:GRCm38:17:18298281:18326441:1 gene:ENSMUSG00000079698.5 transcript:ENSMUST00000079206.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r93 description:vomeronasal 2, receptor 93 [Source:MGI Symbol;Acc:MGI:3645591] MFSWIFIFWLLQIPKLVSAFTFKISRCYYIITEEFHHEGDFMIGAFFPLHTFYTEKKMPH STIPYTYLDGYVQYNFKNYQYILALQFAIEEINGNPNLLPNISLGFDFYNVRFTEKDTLM NAGIWLTSHVHKMVFPNYTCGKKKFTAALTGSSWMASAQIGTLLHLFKFPQITFGPYDPL LSDHSQYSSLYQMAPQDTSLTLAIVSLIVHFRWLWVGLILPDDHKGNKILSDFREEMERK RICMAFVKMIPATWTSYFAKFWKNMDETNVIIIYGDIDSLEGLMRNIGQRLLTWIVWVMN TEHHVLEISDYFMLDSFHGSLIFKHHYRENSDFTKFIQTVNPKNYPEDIYLPKLWYYFFK CSFSDINCHVLDNCQTNASLDVLPSHIFDVAMTEESTSIYNGVYALAHSLHEMRLQQLQV QPFENGEGMVFFPWQLNTFLKDIEMRKNRSLYWRQTIDVEYDILNLWNLPKGLGLKVKVG SFSANAPQGQQLSLSEQMIQWPAIFSEIPQSVCSESCQSGFRKVSQEGKAICCYNCTPCA ENEISNETDVDQCVKCPESHYANTERNNCLQKSVSFLAYDEPLGMALASIALCLSTITVF VIGIFLRHRDTPIVKANNQGLSFILLITLTFCFLCSLNFIGQPNTSACILQQTTFAVAFT MALATVLAKAITVVLAFKVSFPGRMVRWLMISRGPNYIIPICTLIQLLLCGIWMATYPPF IDQDAHTEHGHIILLCNKGSAVAFHSVLGYLCFLALGSYTMAFLSRNLPDTFNESKFLSF SMLVFFCVWVTFLPVYHSTNGKVLVAMEVFSILASSSALLAFIFGPKCYIILLRPDKNSF NHIRRKQHTRRKNSPKI >ENSMUSP00000025253.5 pep:known chromosome:GRCm38:17:35149076:35164897:-1 gene:ENSMUSG00000024393.14 transcript:ENSMUST00000025253.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2a description:proline-rich coiled-coil 2A [Source:MGI Symbol;Acc:MGI:1915467] MSDRSGPTAKGKDGKKYSSLNLFDTYKGKSLEIQKPAVAPRHGLQSLGKVAIARRMPPPA NLPSLKAENKGNDPNVSLVPKDGTGWASKQEQSDPKSSDASTAQPPESQPLPASQTPASN QPKRPPTAPENTPSVPSGVKSWAQASVTHGAHGDGGRASNLLSRFSREEFPTLQAAGDQD KAAKERESAEQSSGPGPSLRPQNSTTWRDGGGRGPDDLEGPDSKLHHGHDPRGGLQPSGP PQFPPYRGMMPPFMYPPYLPFPPPYGPQGPYRYPTPDGPSRFPRVAGPRGSGPPMRLVEP VGRPSILKEDNLKEFDQLDQENDDGWAGAHEEVDYTEKLKFSDEEDGRDSDEEGAEGHKD SQSAAAEEPETDGKKGTSPGSELPPPKTAWTENARPSETEPAPPTPKPPPPPPHRGPVGN WGPPGDYPDRGGPPCKPPAPEDEDEAWRQRRKQSSSEISLAVERARRRREEEERRMQEER RAACAEKLKRLDEKFGAPDKRLKAEPAAPPVTPAAPALPPVVPKEIPAAPALPPTPTPTP EKEPEEPAQAPPVQAAPSPGVAPVPTLVSGGGCTANSNSSGSFEASPVEPQLPSKEGPEP PEEVPPPTTPPAPKMEPKGDGVGSTRQPPSQGLGYPKYQKSLPPRFQRQQQEQLLKQQQQ QQQWQQQQQGTAPPAPVPPSPPQPVTLGAVPAPQAPPPPPKALYPGALGRPPPMPPMNFD PRWMMIPPYVDPRLLQGRPPLDFYPPGVHPSGLVPRERSDSGGSSSEPFERHAPPLLRER GTPPVDPKLAWVGDVFTTTPTDPRPLTSPLRQAADEEEKSMRSETPPVPPPPPYLANYPG FPENGTPGPPISRFPLEESAPPGPRPLPWPPGNDEAAKMQAPPPKKEPSKEEPPQLSGPE AGRKPARGGQGPPPPRRENRTETRWGPRPGSCRRGIPPEEPGVPPRRAGPIKKPPPPVKV EELPPKSLEQGDETPKVPKPDALKTAKGKVGPKETPPGGNLSPAPRLRRDYSYERVGPTS CRGRGRGEYFARGRGFRGTYGGRGRGARSREFRSYREFRGDDGRGGGSGGTNHPSAPRGR TASETRSEGSEYEEIPKRRRQRGSETGSETHESDLAPSDKEAPPPKEGVLGQVPLAPPQP GAPPSPAPARFSTARGGRVFTPRGVPSRRGRGGGRPPPVCSGWSPPAKSLVPKKPPTGPL PPSKEPLKEKLISGPLSPMSRAGNMGVGMEDGERPRRRRHGRAQQQDKPPRFRRLKQERE NAARGADGKPPSLTLAASTPGPEETLTAATVPPPPRRTAAKSPDLSNQNSDQANEEWETA SESSDFASERRGDKETPPAALMTSKAVGTPGANAGGAGPGISAMSRGDLSQRAKDLSKRS FSSQRPGMDRQNRRPGTGGKTGSGGGSSGGGGAGPGGRTGPGRGDKRSWPSPKNRSRPPE ERPPGLPLPPPPPSSSAVFRLDQVIHSNPAGIQQALAQLSSRQGNVTAPGGHPRPKPGPP QAPQGSSPRPPTRYDPPRASSAISSDPHFEEPGPMVRGVGGTPRDSAGVNPFPPKRRERP PRKPELLQEETVPASHSSGFLGSKPEVPGPQEESRDSGTEALTPHIWNRLHTATSRKSYQ PGSIEPWMEPLSPFEDVAGTEMSQSDSGVDLSGDSQVSSGPCSQRSSPDGGLKGSAEGPP KRPGGPSPLNAVPGESASGSEPSEPPRRRPPASHEGERKELPREQPLPPGPIGTERSQRT DRGPEPGPLRPAHRPGSQVEFGTTNKDSDLCLVVGDTLKGEKELVASATEAVPISRDWEL LPSASTSAEPQPKSLGSGQCVPEPSPSGQRPYPEVFYGSPGPPNSQQVSGGAPIDSQLHP NSGGFRPGTPSLHQYRSQPLYLPPGPAPPSALLSGVALKGQFLDFSALQATELGKLPAGG VLYPPPSFLYSAAFCPSPLPDPPLLQVRQDLPSPSDFYSTPLQPGGQSGFLPSGAPAQQM LLPVVDSQLPVVNFGSLPPAPPPAPPPLSLLPVGPALQPPNLAVRPPPAPAARVLPSPAR PFAPSLGRAELHPVELKPFQDYRKLSSNLGGPGSSRTPPSGRPFSGLNSRLKAPPSTYSG VFRTQRIDLYQQASPPDALRWMPKPWERAGPPSREGPPRRAEEPGSRGEKEPGLPPPR >ENSMUSP00000133550.1 pep:known chromosome:GRCm38:17:35149088:35162969:-1 gene:ENSMUSG00000024393.14 transcript:ENSMUST00000174805.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2a description:proline-rich coiled-coil 2A [Source:MGI Symbol;Acc:MGI:1915467] MPPPANLPSLKAENKGNDPNVSLVPKDGTGWASKQEQSDPKSSDASTAQPPESQPLPASQ TPASNQPKRPPTAPENTPSVPSGVKSWAQASVTHGAHGDGGRASNLLSRFSREEFPTLQA AGDQDKAAKERESAEQSSGPGPSLRPQNSTTWRDGGGRGPDDLEGPDSKLHHGHDPRGGL QPSGPPQFPPYRGMMPPFMYPPYLPFPPPYGPQGPYRYPTPDGPSRFPRVAGPRGSGPPM RLVEPVGRPSILKEDNLKEFDQLDQENDDGWAGAHEEVDYTEKLKFSDEEDGRDSDEEGA EGHKDSQSAAAEEPETDGKKGTSPGSELPPPKTAWTENARPSETEPAPPTPKPPPPPPHR GPVGNWGPPGDYPDRGGPPCKPPAPEDEDEAWRQRRKQSSSEISLAVERARRRREEEERR MQEERRAACAEKLKRLDEKFGAPDKRLKAEPAAPPVTPAAPALPPVVPKEIPAAPALPPT PTPTPEKEPEEPAQAPPVQAAPSPGVAPVPTLVSGGGCTANSNSSGSFEASPVEPQLPSK EGPEPPEEVPPPTTPPAPKMEPKGDGVGSTRQPPSQGLGYPKYQKSLPPRFQRQQQEQLL KQQQQQQQWQQQQQGTAPPAPVPPSPPQPVTLGAVPAPQAPPPPPKALYPGALGRPPPMP PMNFDPRWMMIPPYVDPRLLQGRPPLDFYPPGVHPSGLVPRERSDSGGSSSEPFERHAPP LLRERGTPPVDPKLAWVGDVFTTTPTDPRPLTSPLRQAADEEEKSMRSETPPVPPPPPYL ANYPGFPENGTPGPPISRFPLEESAPPGPRPLPWPPGNDEAAKMQAPPPKKEPSKEEPPQ LSGPEAGRKPARGGQGPPPPRRENRTETRWGPRPGSCRRGIPPEEPGVPPRRAGPIKKPP PPVKVEELPPKSLEQGDETPKVPKPDALKTAKGKVGPKETPPGGNLSPAPRLRRDYSYER VGPTSCRGRGRGEYFARGRGFRGTYGGRGRGARSREFRSYREFRGDDGRGGGSGGTNHPS APRGRTASETRSEGSEYEEIPKRRRQRGSETGSETHESDLAPSDKEAPPPKEGVLGQVPL APPQPGAPPSPAPARFSTARGGRVFTPRGVPSRRGRGGGRPPPVCSGWSPPAKSLVPKKP PTGPLPPSKEPLKEKLISGPLSPMSRAGNMGVGMEDGERPRRRRHGRAQQQDKPPRFRRL KQERENAARGADGKPPSLTLAASTPGPEETLTAATVPPPPRRTAAKSPDLSNQNSDQANE EWETASESSDFASERRGDKETPPAALMTSKAVGTPGANAGGAGPGISAMSRGDLSQRAKD LSKRSFSSQRPGMDRQNRRPGTGGKTGSGGGSSGGGGAGPGGRTGPGRGDKRSWPSPKNR SRPPEERPPGLPLPPPPPSSSAVFRLDQVIHSNPAGIQQALAQLSSRQGNVTAPGGHPRP KPGPPQAPQGSSPRPPTRYDPPRASSAISSDPHFEEPGPMVRGVGGTPRDSAGVNPFPPK RRERPPRKPELLQEETVPASHSSGFLGSKPEVPGPQEESRDSGTEALTPHIWNRLHTATS RKSYQPGSIEPWMEPLSPFEDVAGTEMSQSDSGVDLSGDSQVSSGPCSQRSSPDGGLKGS AEGPPKRPGGPSPLNAVPGESASGSEPSEPPRRRPPASHEGERKELPREQPLPPGPIGTE RSQRTDRGPEPGPLRPAHRPGSQVEFGTTNKDSDLCLVVGDTLKGEKELVASATEAVPIS RDWELLPSASTSAEPQPKSLGSGQCVPEPSPSGQRPYPEVFYGSPGPPNSQVSGGAPIDS QLHPNSGGFRPGTPSLHQYRSQPLYLPPGPAPPSALLSGVALKGQFLDFSALQATELGKL PAGGVLYPPPSFLYSAAFCPSPLPDPPLLQVRQDLPSPSDFYSTPLQPGGQSGFLPSGAP AQQMLLPVVDSQLPVVNFGSLPPAPPPAPPPLSLLPVGPALQPPNLAVRPPPAPAARVLP SPARPFAPSLGRAELHPVELKPFQDYRKLSSNLGGPGSSRTPPSGRPFSGLNSRLKAPPS TYSGVFRTQRIDLYQQVKERTSNTPLPPA >ENSMUSP00000097422.2 pep:known chromosome:GRCm38:2:88092954:88093934:-1 gene:ENSMUSG00000075136.3 transcript:ENSMUST00000099834.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1164 description:olfactory receptor 1164 [Source:MGI Symbol;Acc:MGI:3030998] MNSVVSSNRSQVWNEGNRSMVASFILLAFSEFPNLQLPLFLVFLIMYMVTVLENLGMIFI IRMNPKLHTPMYFFLSHLSFVDFCYTSVIAPKLLDLLIVEDKSISFEGCMAQYFLGCTFV IIEMFMLAVMAYDRFVAVCNPLLYTVAMSHELCSLLVVITYIWAGIFSSTLTYILLQLSY CGPNVIDHFCCEYSALLSVSCSDTSFSQMACLVISMFNEACCLLIIITSYVFIVVTVIKI PTKGAFRKAFSTCASHLKAIGVCHGIVLLLYCVLKSKSSLFLVKVATVFHSMVIPMLNPL IYSLRNKDVKETVRKLIYLKCIFHSI >ENSMUSP00000007318.1 pep:known chromosome:GRCm38:11:100046646:100050551:-1 gene:ENSMUSG00000048981.1 transcript:ENSMUST00000007318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt31 description:keratin 31 [Source:MGI Symbol;Acc:MGI:1309993] MPYNCCLPALSCRTSCSSRPCVPPSCHGCTLPGACNIPANVGNCNWFCEGSFNGNEKETM QFLNDRLASYMEKVRQLERENAELECRIQERNQQQDPLVCPAYQAYFRTIEELQQKILCS KSENARLVVQIDNAKLAADDFRTKYETELGLRQLVESDINGLRRILDELTLCKSDLEAQV ESLKEELLCLKRNHEEEVNTLRCQLGDRLNVEVDAAPTVDLNRVLNETRCQYEAMVETNR REVEEWFTTQTEELNKQVVSSSEQLQSCQAEIIELRRTVNALEIELQAQHCMRNSLENTL TESEARYSSQLSQVQCLITNVESQLGEIRADLERQNQEYQVLLDVKARLECEINTYRGLL ESEDCKLPCNPCATSNACGKPIGPCVSNPCVPCPPPAPCTPCVPRPRCGPCNSFVR >ENSMUSP00000144481.1 pep:known chromosome:GRCm38:16:5008730:5013517:-1 gene:ENSMUSG00000022540.16 transcript:ENSMUST00000202281.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rogdi description:rogdi homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1913299] MATAMAASAAERAVLEEEFRWLLHAEVHAVLRQLQDILKEASLRFTLPGPSTEGPAKQEN FILGSCGTDQVKGTLTLQGDALSQADVNLKMPRNNQLLHLAFREDKQWKLQQIQDARNHV SQAIYLLANRDESYQFKTGAEVLKLMDAVMLQLTRARSRLTTPATLTLPEIAASGLTRMF APTLPSDLLVNVYINLNKLCLTVYQLHALQPTSTKNFRPAGGAVLHSPGAMFEWGSQRLE VSHVHKVECVIPWLNDALVYFTVSLQLCQQLKDKIAVFSSYWSSRPF >ENSMUSP00000023191.10 pep:known chromosome:GRCm38:16:5008730:5013527:-1 gene:ENSMUSG00000022540.16 transcript:ENSMUST00000023191.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rogdi description:rogdi homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1913299] MATAMAASAAERAVLEEEFRWLLHAEVHAVLRQLQDILKEASLRFTLPGPSTEGPAKQEN FILGSCGTDQVKGTLTLQGDALSQALPPGWLRRLGPQPSPHCIAGSQDVNLKMPRNNQLL HLAFREDKQWKLQQIQDARNHVSQAIYLLANRDESYQFKTGAEVLKLMDAVMLQLTRARS RLTTPATLTLPEIAASGLTRMFAPTLPSDLLVNVYINLNKLCLTVYQLHALQPTSTKNFR PAGGAVLHSPGAMFEWGSQRLEVSHVHKVECVIPWLNDALVYFTVSLQLCQQLKDKIAVF SSYWSSRPF >ENSMUSP00000144166.1 pep:known chromosome:GRCm38:16:5010857:5013485:-1 gene:ENSMUSG00000022540.16 transcript:ENSMUST00000201077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rogdi description:rogdi homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1913299] MGLTPRCPQEEEFRWLLHAEVHAVLRQLQDILKEASLRFTLPGPSTEGPAKQENFILGSC GTDQVKGTLTLQGDALSQADVNLKMPRNNQLLHLAFREDKQWKLQQIQDARNHVSQAIYL LANRDESYQFKTGA >ENSMUSP00000087938.5 pep:known chromosome:GRCm38:16:5008755:5013517:-1 gene:ENSMUSG00000022540.16 transcript:ENSMUST00000090453.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rogdi description:rogdi homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1913299] MATAMAASAAERAVLEEEFRWLLHAEVHAVLRQLQDILKEASLRFTLPGPSTEGPAKQEN FILGSCGTDQVKGTLTLQGDALSQADVNLKMPRNNQLLHLAFREDKQWKLQQIQDARNHV SQAIYLLANRDESYQFKTGAEVLKLMDAVMLQLTRARSRLTTPATLTLPEIAASGLTRMF APTLPSDLLVNVYINLNKLCLTVYHLFCDPTHL >ENSMUSP00000104358.1 pep:known chromosome:GRCm38:11:60830679:60860195:1 gene:ENSMUSG00000042569.13 transcript:ENSMUST00000108718.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs7b description:dehydrogenase/reductase (SDR family) member 7B [Source:MGI Symbol;Acc:MGI:2384931] MDLASQTTILPLLFGCLGIFSLFRLLQRIRSKAYLRNAVVVVTGATSGLGRECAKVFHAA GAKLVLCGRNVKALEELSRELAGSSQGQTHQPFVVTFDLADPGTIAAAAAEILQCFGYVD VLINNAGISYRGTISDTIVDVDRKVMEINYFGPVALTKALLPSMVERKQGHIVAISSIQG KISIPFRSAYSASKHATQAFFDCLRAEMEEANIKVTVISPGYIHTNLSVNAVTADGSRYG ALDKNTAQGRSAAEVAQDVFDAVGKKKKDVLLTDFVPSMAVYIRTLAPGLFFRIMASRAR KERKSKSS >ENSMUSP00000044924.7 pep:known chromosome:GRCm38:11:60830631:60858423:1 gene:ENSMUSG00000042569.13 transcript:ENSMUST00000042281.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs7b description:dehydrogenase/reductase (SDR family) member 7B [Source:MGI Symbol;Acc:MGI:2384931] MISPSFRKGMLKERVMDLASQTTILPLLFGCLGIFSLFRLLQRIRSKAYLRNAVVVVTGA TSGLGRECAKVFHAAGAKLVLCGRNVKALEELSRELAGSSQGQTHQPFVVTFDLADPGTI AAAAAEILQCFGYVDVLINNAGISYRGTISDTIVDVDRKVMEINYFGPVALTKALLPSMV ERKQGHIVAISSIQGKISIPFRSAYSASKHATQAFFDCLRAEMEEANIKVTVISPGYIHT NLSVNAVTADGSRYGALDKNTAQGRSAAEVAQDVFDAVGKKKKDVLLTDFVPSMAVYIRT LAPGLFFRIMASRARKERKSKSS >ENSMUSP00000074143.8 pep:known chromosome:GRCm38:17:34305877:34316199:1 gene:ENSMUSG00000060586.10 transcript:ENSMUST00000074557.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Eb1 description:histocompatibility 2, class II antigen E beta [Source:MGI Symbol;Acc:MGI:95901] MVWLPRVPCVAAVILLLTVLSPPVALVRDSRPWFLEYCKSECHFYNGTQRVRLLERYFYN LEENLRFDSDVGEFRAVTELGRPDAENWNSQPEFLEQKRAEVDTVCRHNYEISDKFLVRR RVEPTVTVYPTKTQPLEHHNLLVCSVSDFYPGNIEVRWFRNGKEEKTGIVSTGLVRNGDW TFQTLVMLETVPQSGEVYTCQVEHPSLTDPVTVEWKAQSTSAQNKMLSGVGGFVLGLLFL GAGLFIYFRNQKGQSGLQPTGLLS >ENSMUSP00000147119.1 pep:known chromosome:GRCm38:2:111483349:111484363:1 gene:ENSMUSG00000061195.6 transcript:ENSMUST00000207494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1289 description:olfactory receptor 1289 [Source:MGI Symbol;Acc:MGI:3031123] MGLSNSRELQIFLFAFFFVFYVGIVFGNLLIVITVTNDSHLHSPMYFLLANLSFIDLCVS SVTAPKTIADFFYKRKVISVKGCFTQIFLLHFFGGSEMVTLVAMAFDRYVAICKPLSYTT VMRGNVCVSIVATAWAIGFLHSVSQLAFAISLPFCGPNRVDSFYCDLPRVIKLACAETYR LDIMVIANSGVLSVCSFVLLIISYGIILMTIQRRPSDRSSKALSTLTAHITVVLLFFGPC IFIYAWPFPIKSLDKFLAVFYSVVTPLLNPIIYTLRNTEMKTAMRRLRQWNLSFWVKS >ENSMUSP00000110499.1 pep:known chromosome:GRCm38:17:27856490:27900040:1 gene:ENSMUSG00000039512.11 transcript:ENSMUST00000114849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhrf1bp1 description:UHRF1 (ICBP90) binding protein 1 [Source:MGI Symbol;Acc:MGI:3041238] MAGIIKKQILKHLSRFTKNLSPDKINLSTLKGEGQLTHLELDEEVLQNVLELPTWLAITR VYCNRASIQIQWTKLKTHPICLCLDKVEVEMQTCEDPRPPNGQSPIALASGQSEYGFAEK VVEGMFIVVNSITIKIHSKAFHASFELWQLQGYSVNPNWQQSDLRLTRITDPRRGEVLTF KEITWQTLRVEADAIENGDQDPVTTPLRLITNQGRIQIALKRRTKDCNVVASKLTFLLDD LLWVLTDSQLKAMMKYAESLSEAMEKSAQQRKSLAPESVQTTPPAPSAQQTWAQAFGGSQ GSSSSSRLSQYFEKFDVKESSYHLLISRLDLHICDDSQSREPGVSANRLTGGAMQLTFRR MAFDYYPFHRAGDSCKHWVRHCEAMETRGHWAQQLVTEFQSRVEKQCEGGSVKPPWLLGV DPPFRRKADSFSSPGKTPLDKSPTQGRQAAFGAPAWNRLRSSCLVVRVDDLDIHQVSTAG QPSKKPSTLLSCSRKRHHLPPQVAAIHVQFTEYYFPDNQELPVPCPNLYIQLNGLTFTVD PVSLLWGNLFCLDLYRSLEQFKAIYKLEASRGRDEHVDVRLDAFWLKVSFPLETRELAKL HRPQALVLSTSSMIATNTRHAPNCTRPDLQSLFRGFAATEFFRCSYGHFPQVAGGFSLLH MLFLHHAFQMDSLPPQRLAASQDLWSLHFTQISLDFEGTENFRGHTLNFVAPFPLSIWAC LPLRWQQAQARRLLLASEGRLKQSASFGSPVHSEALAPESVSHQRSKTERDLKSLSGSTE GPAAAVREGDAGVDHKGRVADLEDVADIHMLVHSTAHVRVRLDHYQYLALLRLKEVLEGL QEQLTKDTEAMTGSPLQDQTVCIGVLFPSAEVALLMHPAPGTAHADSAGSDTTSLIDSEL SPSEDREPKSDASSDQGAVSPEKVLRDGSGENLAASQERLPSDGELPDPGPCAQQPVGKS HEAVESLQAKKLSRAQSARSPATLKSPADRDAALNGQGEPVPLRSIEGDLSSAIHMTKDA TKEALHATVDLTKEAVSLTRDAFSLGRDRMTSTMHKMLSLPPAKEAMAKPDEGVVASVSG GAARLRFFSMKRTVSQQSFDGVSLDSGGPDDRISVDSDGSDSFVMLLESESGPESVPPGS VTSVLDDSGIQGSPVTDSGGQGLPETNSSASASGDLVMHSVSILVLKVNEVSLGIEVRGE DLAVALQAEELALQQLGTVGLWQFLHGQCPGAGFQEPSNLKTNPIRPAVGLRFEVGPGAA VHSPLATQNGFLQFLLRGCDLELFTSVLNGLGPFLEDEEVPVVVPMQIELLDCRVTLKDD IPPVYPTSPGPVPITLAMERLVLKRGDDGVFHLGAPAHDRPLTDVPEKLRLPKEQVLLVP LGQGLGCQEKESPTLQQELMDTKQALAIANQDKEKLLQEVRKYNPLFEL >ENSMUSP00000124785.1 pep:known chromosome:GRCm38:14:41072940:41092193:1 gene:ENSMUSG00000021790.12 transcript:ENSMUST00000161837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dydc1 description:DPY30 domain containing 1 [Source:MGI Symbol;Acc:MGI:1916746] MESRYLQKCLGTCLTQGLTEVARVRPLDPIEYLAFWLYKHKENMNMEQMRQREMITLEHE RELAMMEQEMLERLKAEELLFQQQLAFQLELEMQQKEKQKSEDFETGQEKSFKSMMSMES TARGEEQEPMQAEELVMDSGKTLAEISDRYGAPNLSRVEELDEPMLSDVTLNIDQDL >ENSMUSP00000022315.5 pep:known chromosome:GRCm38:14:41072911:41092190:1 gene:ENSMUSG00000021790.12 transcript:ENSMUST00000022315.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dydc1 description:DPY30 domain containing 1 [Source:MGI Symbol;Acc:MGI:1916746] MESRYLQKCLGTCLTQGLTEVARVRPLDPIEYLAFWLYKHKENMNMEQMRQREMITLEHE RELAMMEQEMLERLKAEELLFQQQLAFQLELEMQQKEKQKSEDFETGQEKSFKSMMSMES TARGEEQEPMQAEELVMDSGKTLAEISDRYGAPNLSRVEELDEPMLSDNGVSAPP >ENSMUSP00000139412.1 pep:known chromosome:GRCm38:14:41072911:41092197:1 gene:ENSMUSG00000021790.12 transcript:ENSMUST00000189865.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dydc1 description:DPY30 domain containing 1 [Source:MGI Symbol;Acc:MGI:1916746] MESRYLQKCLGTCLTQGLTEVARVRPLDPIEYLAFWLYKHKENMNMEQMRQREMITLEHE RELAMMEQEMLERLKAEELLFQQQLAFQLELEMQQKEKQKSEDFETGQEKSFKSMMSMES TARGEEQEPMQAEELVMDSGKTLAEISDRYGAPNLSRVEELDEPMLSDNGVSAPP >ENSMUSP00000005509.4 pep:known chromosome:GRCm38:5:135023482:135051100:1 gene:ENSMUSG00000007207.10 transcript:ENSMUST00000005509.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx1a description:syntaxin 1A (brain) [Source:MGI Symbol;Acc:MGI:109355] MKDRTQELRTAKDSDDDDDVTVTVDRDRFMDEFFEQVEEIRGFIDKIAENVEEVKRKHSA ILASPNPDEKTKEELEELMSDIKKTANKVRSKLKSIEQSIEQEEGLNRSSADLRIRKTQH STLSRKFVEVMSEYNATQSDYRERCKGRIQRQLEITGRTTTSEELEDMLESGNPAIFASG IIMDSSISKQALSEIETRHSEIIKLETSIRELHDMFMDMAMLVESQGEMIDRIEYNVEHA VDYVERAVSDTKKAVKYQSKARRKKIMIIICCVILGIIIASTIGGIFG >ENSMUSP00000144082.1 pep:known chromosome:GRCm38:5:135023507:135045607:1 gene:ENSMUSG00000007207.10 transcript:ENSMUST00000201008.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx1a description:syntaxin 1A (brain) [Source:MGI Symbol;Acc:MGI:109355] MKDRTQELRTAKDSDDDDDVTVTVDRDRFMDEFFEQVEEIRGFIDKIAENVEEVKRKHSA ILASPNPDESIEQSIEQEEGLNRSSADLRIRKTQHSTLSRKFVEVMSEYNATQSDYRERC KGRIQRQLEITGRTTTSEELEDMLESGNPAIFASGIIM >ENSMUSP00000117144.1 pep:known chromosome:GRCm38:5:135023560:135051100:1 gene:ENSMUSG00000007207.10 transcript:ENSMUST00000150838.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stx1a description:syntaxin 1A (brain) [Source:MGI Symbol;Acc:MGI:109355] MKDRTQELRTAKDSDDDDDVTVTVDRDRFMDEFFEQVEEIRGFIDKIAENVEEVKRKHSA ILASPNPDEKTKEELEELMSDIKKTANKVRSKLKSIEQSIEQEEGLNRSSADLRIRKTQH STLSRKFVEVMSEYNATQSDYRERCKGRIQRQLEITGRTTTSEELEDMLESGNPAIFASG IIMDSSISKQALSEIETRHSEIIKLETSIRELHDMFMDMAMLVESQETFLNPYPALPPGP EEGRLDLGYPRSCRGR >ENSMUSP00000146688.1 pep:known chromosome:GRCm38:2:111960109:111964732:-1 gene:ENSMUSG00000074952.2 transcript:ENSMUST00000207560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1308 description:olfactory receptor 1308 [Source:MGI Symbol;Acc:MGI:3031142] MEGMNQSMVSEFVFLGLTNSWDIQLFLFVFSSMFYVASMTGNSLIVFTVASDPHLHSPMY FLLANLSFIDLGVSSVIAPKMIYDLFRKHKVISFRGCVTQIFFIHFIGGVEMVLLIAMAF DRYVAICKPLHYLIIMSPRMCILFIVASWVVGFMHSLVQLAFVVNLPFCGPNVLDSFYCD FPRFIKLACIDTYRLKLLVLVNSGFMSVGSFFILIISYVVIIFIVHKHSSSGSSKALSTL SAHVMVVVLFFGPVMFIYTWPSSFTHLDKFLPIFDAIVTPFLNPVIYTFRNQEMKMAMMR VLRQIMGYRQIIKHLHSDQS >ENSMUSP00000097200.1 pep:known chromosome:GRCm38:2:111960109:111961071:-1 gene:ENSMUSG00000074952.2 transcript:ENSMUST00000099605.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1308 description:olfactory receptor 1308 [Source:MGI Symbol;Acc:MGI:3031142] MEGMNQSMVSEFVFLGLTNSWDIQLFLFVFSSMFYVASMTGNSLIVFTVASDPHLHSPMY FLLANLSFIDLGVSSVIAPKMIYDLFRKHKVISFRGCVTQIFFIHFIGGVEMVLLIAMAF DRYVAICKPLHYLIIMSPRMCILFIVASWVVGFMHSLVQLAFVVNLPFCGPNVLDSFYCD FPRFIKLACIDTYRLKLLVLVNSGFMSVGSFFILIISYVVIIFIVHKHSSSGSSKALSTL SAHVMVVVLFFGPVMFIYTWPSSFTHLDKFLPIFDAIVTPFLNPVIYTFRNQEMKMAMMR VLRQIMGYRQIIKHLHSDQS >ENSMUSP00000033498.3 pep:known chromosome:GRCm38:X:7899357:7908351:1 gene:ENSMUSG00000031158.11 transcript:ENSMUST00000033498.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm17b description:translocase of inner mitochondrial membrane 17b [Source:MGI Symbol;Acc:MGI:1343176] MEEYAREPCPWRIVDDCGGAFTMGVIGGGVFQAIKGFRNAPVGIRHRFRGSVNAVRIRAP QIGGSFAVWGGLFSTIDCGLVRLRGKEDPWNSISSGALTGAVLAARSGPLAMVGSAMMGG ILLALIEGVGILLTRYTAQQFRNAPPFLEDPSQLTPKEGSPAPGYPNYQQYH >ENSMUSP00000117340.1 pep:known chromosome:GRCm38:X:7899671:7906330:1 gene:ENSMUSG00000031158.11 transcript:ENSMUST00000146406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm17b description:translocase of inner mitochondrial membrane 17b [Source:MGI Symbol;Acc:MGI:1343176] RCGTNYRTVALALLLDPLCEPKEKRWADPFRRPWRIVDDCGGAFTMGVIGGGVFQAIKGF RNAPVGIRHRFRGSVNAVRIRAPQIGGSFAVWGGLFSTIDCGLVRLRGKEDPWNSISSGA LTGAVLAARSGPLAMVGSAMMGGILL >ENSMUSP00000028309.3 pep:known chromosome:GRCm38:2:25608635:25617678:-1 gene:ENSMUSG00000026940.3 transcript:ENSMUST00000028309.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc183 description:coiled-coil domain containing 183 [Source:MGI Symbol;Acc:MGI:1924308] MKVHNEAAVEAQITELRTITRLQEQCRALQIQGVKEKTAQNKATMGILRSNLRRGAQDWA LAKKHDQWTISKACGKDTSMRLAHGRSTLEVAREKLRKYVFDRVNTHNILIHLVRRRGQK LESLQLELASLRNQPDATKDELRQLQIIRQLENNIEKTVIKITTSQNIHTLYKVLLDYLK KVLAEYPTELDKLQNLVANYCSELSDMTVMSQDAMMITDEVKRNMRQGEATFIEERRARE NRLNQQKKLIDKIHTKETSEKYRRGRRDLDFPSNLMTMENVKVKKRKTSVADIQYQTKVT TLVERVKSAVQCSHLWDIAGRFLAQKNTEENLELQMEDCEERRTQLEALMKKLELEEAVL KFHQTPSAVGFNSIQKKMKNMLEEEEARLKQAQNNMNKGQQLLLVIQTGIDNLYIRLIGI TLPTFQKEIAVSNTLDVYGKLDYCEGKLIYLAERMQTLSRNEEVDTKVRDTLESSTLKEK HNTRITFEDPEEDMIETFQFADVDHSYVPSRAEIKKQAQQLIEAKLKGAKKKKK >ENSMUSP00000128337.2 pep:known chromosome:GRCm38:7:8136950:8161654:-1 gene:ENSMUSG00000090892.2 transcript:ENSMUST00000168807.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r41 description:vomeronasal 2, receptor 41 [Source:MGI Symbol;Acc:MGI:3757876] MFIFMRVFLLLNITLLMANFIGPRCFWRINLDEIMDEYLGLSCAFILAAVQTPTEKDYFN KTLNFLKTTTNHKYALALVFAMDEINRNPDLLPNMSLIIRYALGHCDGKTVTPTPYLFHK RKKSPIPNYFCNEESMCSFLISGPNWKVSLSFWDYLDSFLSPRILQLTYGPFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFIHYFNWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDVSFPQNTEMYYNQIVMSSTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTRKKDISHGTFYGSLTFLPHHGEISGFKNFVQTWFHLRNTDLYLLMQEWKYFNY VSSASNCKILKNNSSDASFNWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQHADNQ AIDNGKGASSHCLKVNSFLRRAYFTNPLGDKVFMKQRVIMQDEYDIIHFGNLSQHLEIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSAECSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCMNCPEYQYANTEQNKCIQKGVTFLSYENPLGMALALMAFCFSAF TALVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNRAICVLQQITFGI VFTVAVSTVLAKTVIVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSDTAFYCILGYLACMALGSFSLAFLAKNLPDTFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGILGCIFVPKIYIILMRPER NSTQKIREKSYF >ENSMUSP00000018795.6 pep:known chromosome:GRCm38:11:84078920:84129600:-1 gene:ENSMUSG00000018651.14 transcript:ENSMUST00000018795.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tada2a description:transcriptional adaptor 2A [Source:MGI Symbol;Acc:MGI:2144471] MDRLGSFSNDPSDKPPCRGCSSYLTEPYIKCAECGPPPFFLCLQCFTRGFEYKKHQSDHT YEIMTSDFPVLDPSWTAQEEMALLEAVMDCGFGNWQDVANQMCTKTKEECEKHYMKHFIN NPLFASTLLNLKQAEAAKAADTAIPFHSADDPPRPAFDSLLSRDMAGYMPARADFIEEFD NYAEWDLRDIDFVEDDSDILHALKMAVVDIYHSRLKERQRRKKIIRDHGLVNLRKFRLME RRYPKEVQDLYETMRRFARIVGPVEHDKFIESHALEFELRREIKRLQEYRTAGITNFCSA RTYDHLKKTREEERLKRTMLSEVLQYIQDSSACQQWLRRQADIDSGLSPSVLMASNSGRR SAPPLNLTGLPGTEKLNEKEKELCQVVRLVPGAYLEYKSALLNECHKQGGLRLAQARALI KIDVNKTRKIYDFLIREGYITKA >ENSMUSP00000119022.1 pep:known chromosome:GRCm38:11:84079636:84093854:-1 gene:ENSMUSG00000018651.14 transcript:ENSMUST00000141852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tada2a description:transcriptional adaptor 2A [Source:MGI Symbol;Acc:MGI:2144471] MCTFIFIALKMAVVDIYHSRLKERQRRKKIIRDHGLVNLRKFRLMERRYPKEVQDLYETM RRFARIVGPVEHDKFIESHALEFELRREIKRLQEYRTAGITNFCSARTYDHLKKTREEER LKRTMLSEVLQYIQDSSACQQWLRRQADIDSGLSPSVLMASNSGRRSAPPLNLTGLPGTE KLNEKEKELCQVVRLVPGAYLEYKSALLNECHKQGGLRLAQARALIKIDVNKTRK >ENSMUSP00000100680.2 pep:known chromosome:GRCm38:11:99785200:99786258:1 gene:ENSMUSG00000078262.5 transcript:ENSMUST00000105059.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap4-9 description:keratin associated protein 4-9 [Source:MGI Symbol;Acc:MGI:3652060] MVSSCCGSVCSEEGCGQGCCQPSCCQTTCCRTTCCRPSCCVSSCCRPSCCVSSCCRPQCC QSVCCQPTCCRPSCCRPSCCISSCCRPCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCCR PTCCISSCCRPCCGSSSCCGSSCCRPTCCISSCCRPSCCRPSCCVSSCCRPQCCISSCCR PCCGSSSCCGSSCCRPSCCRPSCCVSSCCRPQCCISSCCRPTCCQTTCCRTTCCRPSCSS GSCC >ENSMUSP00000093654.1 pep:known chromosome:GRCm38:6:42762054:42763007:1 gene:ENSMUSG00000095236.1 transcript:ENSMUST00000074499.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr38 description:olfactory receptor 38 [Source:MGI Symbol;Acc:MGI:1313140] METNNETQLHEFILLGLSSDWDSQVSLFVLFLLMYLVTVLGNFLIIVLIRLDSRLHTPMY FFLTNLSLVDASYATSIVPQLLAHFLATHKAIPFLSCAAQLFFSLGLGGIEFLLLAVMAY DRYVAVCDPLRYSVIMHAGLCTRLVITSWVSGSINSLVHTAITFQLPMCTNKYIDHIACE TLAVVRLACVDTSSNKIAIMVSSIVLLMTPFFLVLLSYIQIISTILKIQSTEGRWKAFHT CASHLTMVVLCYGMAIFTYIQPHSSPSVLQEKLMSLFYAILTPMLNPMIYSLRNKEVKGA WQKLLGKFSGFASKLAT >ENSMUSP00000017622.5 pep:known chromosome:GRCm38:8:122376609:122417359:1 gene:ENSMUSG00000017478.15 transcript:ENSMUST00000017622.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h18 description:zinc finger CCCH-type containing 18 [Source:MGI Symbol;Acc:MGI:1923264] MDVAESPELDPHSPEDEEQPALSDDDILRESGSEQDLDGAGERASDLEEEENATRVQSQE ETRSDEEDRASEPKSQDQDSEAHELSRGPAGSPCEEGDDVEEDGTSDLRDEASSVTRELD EHELDYDEEVPEEPAPAAQEEEAEKAGAEEEEEKGEGAPGEEGKPDVQSVGEQEPTEAAK EKKKEDDDGEIDDGEIDDDDLEEGEVKDPSDRKVRPRPTCRFFMKDVVTPLSTLLPPIPN SIPLRGQVKGNCTWGMSCRFIHPGVNDKGNYSLITKAEPFPPNGAPPLGPHPLMPANPWG GPVVDEILPPPPPEPPTESAWERGLRHAKEVLKKATIRKEQEPDFEEKRFTVTIGEDDRE FDKENEVFRDWNSRVPRDVRDTTLEPYADPYYDYEIERFWRGGQYENFRVQYTEAEPYHN YRERERERERENRQRERERERERDRERERRQRERERERERERDKERQRRKEEWERERAKR DEKDRQHRDRDRDKDREKDKEKPKPRSPQPPSRQAEPPKKESTSVGPQVKRADEWKDPWR RSKSPKKKLGVSVSPSRARRRRKTSASSASASNSSRSSSRSSSYSGSGSSRSRSRSSSYS SYSSRSSRHSSFSGSRSRSRSFSSSPSPSPTPSPHRPPVRTKGEPAPPPGKAGEKSIKKP APPPAPPQATKTTAPGPEPAKPGDLREARRKERQTRTPPRRRTLSGSGSGSGSSYSGSSS RSRSLSVSSVSSVSSATSSSSSVHSVDSDDMYADLASPVSSASSRSPTPAQTKKERGKSK KEDGVREEKRRRDPSAQPPKSSKAPAGGKASQQAAAPQPAVPGQPQQGSFVAHKEIKLTL LNKAADKGSRKRYEPSDKDRQSPPAKKANLSPDRGSRDRKSGGRMGSPKPERQRGQNAKA PAAPADRKRPLSPQSKGSSKVTSVPGKATDTATAGTKSGKASTLSRREELLKQLKAVEDA IARKRAKIPGKV >ENSMUSP00000090761.5 pep:known chromosome:GRCm38:8:122376643:122417360:1 gene:ENSMUSG00000017478.15 transcript:ENSMUST00000093073.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h18 description:zinc finger CCCH-type containing 18 [Source:MGI Symbol;Acc:MGI:1923264] MDVAESPELDPHSPEDEEQPALSDDDILRESGSEQDLDGAGERASDLEEEENATRVQSQE ETRSDEEDRASEPKSQDQDSEAHELSRGPAGSPCEEGDDVEEDGTSDLRDEASSVTRELD EHELDYDEEVPEEPAPAAQEEEAEKAGAEEEEEKGEGAPGEEGKPDVQSVGEQEPTEAAK EKKKEDDDGEIDDGEIDDDDLEEGEVKDPSDRKVRPRPTCRFFMKGNCTWGMSCRFIHPG VNDKGNYSLITKAEPFPPNGAPPLGPHPLMPANPWGGPVVDEILPPPPPEPPTESAWERG LRHAKEVLKKATIRKEQEPDFEEKRFTVTIGEDDREFDKENEVFRDWNSRVPRDVRDTTL EPYADPYYDYEIERFWRGGQYENFRVQYTEAEPYHNYRERERERERENRQRERERERERD RERERRQRERERERERERDKERQRRKEEWERERAKRDEKDRQHRDRDRDKDREKDKEKPK PRSPQPPSRQAEPPKKESTSVGPQVKRADEWKDPWRRSKSPKKKLGVSVSPSRARRRRKT SASSASASNSSRSSSRSSSYSGSGSSRSRSRSSSYSSYSSRSSRHSSFSGSRSRSRSFSS SPSPSPTPSPHRPPVRTKGEPAPPPGKAGEKSIKKPAPPPAPPQATKTTAPGPEPAKPGD LREARRKERQTRTPPRRRTLSGSGSGSGSSYSGSSSRSRSLSVSSVSSVSSATSSSSSVH SVDSDDMYADLASPVSSASSRSPTPAQTKKERGKSKKEDGVREEKRRRDPSAQPPKSSKA PAGGKASQQAAAPQPAVPGQPQQGSFVAHKEIKLTLLNKAADKGSRKRYEPSDKDRQSPP AKKANLSPDRGSRDRKSGGRMGSPKPERQRGQNAKAPAAPADRKRPLSPQSKGSSKVTSV PGKATDTATAGTKSGKASTLSRREELLKQLKAVEDAIARKRAKIPGKV >ENSMUSP00000135438.1 pep:known chromosome:GRCm38:8:122376644:122383725:1 gene:ENSMUSG00000017478.15 transcript:ENSMUST00000176699.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h18 description:zinc finger CCCH-type containing 18 [Source:MGI Symbol;Acc:MGI:1923264] MDVAESPELDPHSPEDEEQPALSDDDILRESGSEQDLDGAGERASDLEEEENATRVQSQE ETRSDEEDRASEPKSQDQDSEAHELSRGPAGSPCEEGDDVEEDG >ENSMUSP00000134743.1 pep:known chromosome:GRCm38:8:122376681:122417359:1 gene:ENSMUSG00000017478.15 transcript:ENSMUST00000176629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h18 description:zinc finger CCCH-type containing 18 [Source:MGI Symbol;Acc:MGI:1923264] MDVAESPELDPHSPEDEEQPALSDDDILRESGSEQDLDGAGERASDLEEEENATRVQSQE ETRSDEEDRASEPKSQDQDSEAHELSRGPAGSPCEEGDDVEEDGTSDLRDEASSVTRELD EHELDYDEEVPEEPAPAAQEEEAEKAGAEEEEEKGEGAPGEEGKPDVQSVGEQEPTEAAK EKKKEDDDGEIDDGEIDDDDLEEGEVKDPSDRKVRPRPTCRFFMKDVVTPLSTLLPPIPN SIPLRGQVKGNCTWGMSCRFIHPGVNDKGNYSLITKAEPFPPNGAPPLGPHPLMPANPWG GPVVDEILPPPPPEPPTESAWERGLRHAKEVLKKATIRKEQEPDFEEKRFTVTIGEDDRE FDKENEVFRDWNSRVPRDVRDTTLEPYADPYYDYEIERFWRGGQYENFRVQYTEAEPYHN YRERERERERENRQRERERERERDRERERRQRERERERERERDKERQRRKEEWERERAKR DEKDRQHRDRDRDKDREKDKEKPKPRSPQPPSRQAEPPKKESTSVGPQVKRADEWKDPWR RSKSPKKKLGVSVSPSRARRRRKTSASSASASNSSRSSSRSSSYSGSGSSRSRSRSSSYS SYSSRSSRHSSFSGSRSRSRSFSSSPSPSPTPSPHRPPVRTKGEPAPPPGKAGEKSIKKP APPPAPPQATKTTAPGPEPAKPGDLREARRKERQTRTPPRRSLSVSSVSSVSSATSSSSS VHSVDSDDMYADLASPVSSASSRSPTPAQTKKERGKSKKEDGVREEKRRRDPSAQPPKSS KAPAGGKASQQAAAPQPAVPGQPQQGSFVAHKEIKLTLLNKAADKGSRKRYEPSDKDRQS PPAKKANLSPDRGSRDRKSGGRMGSPKPERQRGQNAKAPAAPADRKRPLSPQSKGSSKVT SVPGKATDTATAGTKSGKASTLSRREELLKQLKAVEDAIARKRAKIPGKV >ENSMUSP00000135055.1 pep:known chromosome:GRCm38:8:122403340:122411403:1 gene:ENSMUSG00000017478.15 transcript:ENSMUST00000175819.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h18 description:zinc finger CCCH-type containing 18 [Source:MGI Symbol;Acc:MGI:1923264] QHRDRDRDKDREKDKEKPKPRSPQPPSRQAEPPKKESTSVGPQVKRADEWKDPWRRSKSP KKKLGVSVSPSRARRRRKTSASSASASNSSRSSSRSSSYSGSGSSRSRSRSSSYSSYSSR SSRHSSFSGSRSREKSIKKPAPPPAPPQATKTTAPGPEPAKPGDLREARRKER >ENSMUSP00000135014.1 pep:known chromosome:GRCm38:8:122411325:122416248:1 gene:ENSMUSG00000017478.15 transcript:ENSMUST00000177049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h18 description:zinc finger CCCH-type containing 18 [Source:MGI Symbol;Acc:MGI:1923264] XQATKTTAPGPEPAKPGDLREARRKERQTRTPPRRRTLSGSGSGSGSSYSGSSSRSRSLS VSSVSSVSSATSSSSSVHSVDSDDMYADLASPVSSASSRSPTPAQTKKERGKSKKEDGVR EEKRRRDPSAQPPKSSKAPAGGKASQQAAAPQPAVPGQPQQGSFVAHKEIKLTLLNKAAD KGSRKRYEPSDKDRQSPPAKKANLSPDRGSRDRKSGGRMGSPKPERQRGQNAKAPAAPAD R >ENSMUSP00000071493.2 pep:known chromosome:GRCm38:11:75175942:75178835:-1 gene:ENSMUSG00000038268.4 transcript:ENSMUST00000071562.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ovca2 description:candidate tumor suppressor in ovarian cancer 2 [Source:MGI Symbol;Acc:MGI:2179725] MAARQTLRVLCLAGFRQSERGFREKTGALRKTLRGRAELVCLSGPHPVPEAAAPEGSCPD SGPCSPEEQPRGWWFSEEEADVFSALEESTVCRGLQEALETVARALDTLGPFDGLLGFSQ GAALAAYVCALGQAGDPRFPLPRFIILVSGFCPRGLKEPILQSPMSLPSLHVFGDTDRVI PSQESMQLASRFLGAVTLTHSGGHFIPAAASQRQAYLKFLDQFAE >ENSMUSP00000024802.8 pep:known chromosome:GRCm38:17:29250803:29264158:-1 gene:ENSMUSG00000024007.14 transcript:ENSMUST00000024802.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil1 description:peptidylprolyl isomerase (cyclophilin)-like 1 [Source:MGI Symbol;Acc:MGI:1916066] MAAIPPDTWQPPNVYLETSMGVIVLELYWKHAPKTCKNFAELARRGYYNGTKFHRIIKDF MIQGGDPTGTGRGGASIYGKQFEDELHPDLKFTGAGILAMANAGPDTNGSQFFVTLAPTQ WLDGKHTIFGRVCQGIGMVNRVGMVETNSQDRPVDDVKILKAYPSG >ENSMUSP00000115705.1 pep:known chromosome:GRCm38:17:29251264:29263912:-1 gene:ENSMUSG00000024007.14 transcript:ENSMUST00000135123.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppil1 description:peptidylprolyl isomerase (cyclophilin)-like 1 [Source:MGI Symbol;Acc:MGI:1916066] PDTWQPPNVYLETRCHVRTFPLFGVSFRASAHAFRMLACLWLFCFGTLYARAVLRVTLR >ENSMUSP00000074914.2 pep:known chromosome:GRCm38:6:42790041:42790994:1 gene:ENSMUSG00000094192.3 transcript:ENSMUST00000075468.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr452 description:olfactory receptor 452 [Source:MGI Symbol;Acc:MGI:3030286] MEQDNQTWVHEFILLGLSNDWGTQVSLFVLFLLMYLVTVLGNFLIIVLIRLDSRLHTPMY FFLTNLSLVDASYATSIVPQLLAHFLATHKAIPFLSCAAQLFFSLGLGGIEFLLLAVMAY DRYVAVCDPLRYSVIMHTGLCTRLVITSWVSGSINSLVHTAITFQLPMCTNKYIDHISCE ILAVVRLACVDISSNEIVIMVSSIVLLMTPFFLVLLSYIQIISTILKIQSTEGRRKAFHT CASHLTVVTLCYGTTIFTYIQPHSSPSVLQEKLISLFYAVLMPMLNPMIYSLRNKEVKGA WQKLLGKFSVFTSKLTS >ENSMUSP00000126106.1 pep:known chromosome:GRCm38:17:18424104:18452324:1 gene:ENSMUSG00000091631.1 transcript:ENSMUST00000166327.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r95 description:vomeronasal 2, receptor 95 [Source:MGI Symbol;Acc:MGI:3761354] MFSWIFIFWFLQINKFVSAANYIISSCYYKISEEFHHEGDVVIGAFLPLHTFHTGKKKPH STIPYYYLDNKIQYNFKNYQYILALQFAIEEINGNPNLLPNISLGFDFYNVRFTGKYILD ITFNWLTALGDGNHIPNYNCKKRNFTAALRGTSWITSAQIGTLLQLFKFPQITFGPYDLL LSDHGQYPSLYQMAPKDTSLSLAIVSLMVHFRWSWVGLILPDDHKGNKILSDFREEMERK DICLAFVKMTSETWTSYFYKFWENIDETNVTIIYGDIDSLEGVMRNIEQRLLTWNIWIMN IEHHVIDRADYFMLDSFHGSLIFKHHYRENFEFTKFIQRVNPNKYPEDIYLPKLWYLFFK CSFSATNCHVLENCQTNASLDVFPRHIFDVAMNAESTSIYNGVYAVAHSLHEMRLQQLQM QPYENGKGMVFFPWQLNTFLKHIEVRDKKSLDWRQKIDTEYDILNIWNLPKGLGLKVKIG SFSANAPQGQQLSLSEQMIQWPAIFSEIPQSVCSESCGLGFRKVTMKGKAICCYKCTPCA VNEISNETDVDQCVKCPESHYANTEKSNCFQKSVSFLAYEDPLGMVLVSIALCLSTLTVF VIGIFVKHRETPIVKANNRALSYILLVTLTFCFLCSLNFIGKPTTAACILQQTTFAVAFT VALATVLAKAITVVLAFKVSFPGRMVRWLMISRGPNYIIPICTLIQLLLCGIWMATSPPF IDQDAHTEYGHIIILCNKGSAVAFHSVLGYLCFMALGSYAMAFLSRNLPDTFNESKFLSF SMLVFFCVWITFLPVYHSTKGKVMVAMEIFSILASSTALLAFIFGPKCYIILLRPDKNSF NHIRK >ENSMUSP00000110287.2 pep:known chromosome:GRCm38:2:19199302:19310241:1 gene:ENSMUSG00000037683.14 transcript:ENSMUST00000114640.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc3 description:armadillo repeat containing 3 [Source:MGI Symbol;Acc:MGI:1918132] MGKKIKKEVEPPPKDVFDPITIESKKAATVVLMLKSPEEDILAKACEAIYKFALKGEENK ATLLELGAVEPLTKLLTHEDKTVRRNAMMIFGILASNSDVKKLLRELEVMNSVIAQLSPE EEVVIHEFASLCLANMSVEYTGKVQIFEHGGLEPLIRLLSSSDPDVKKNSIECIYNLVQD FQCRTTLQELNAIPPILELLRSEYPIIQLLALKTLGVITCDKEARTMLKENQGLDHLTKI LETKELNDLHVEALSVIANCLEDMDTMVLMQQTGSLKKVLSFAESSTIPDIQKNAAKAIA KAAYDPENRKVFHEQEVEKCLVTLLGSDSDGTKIAASQAISALCENLSCKEFFNTQGIPQ IVQLLRSDNEEVREAAALALANLTTSSPANANAAAEADAIDPLINILSSKRDGAIANAAT VLTNMATQEPLRAIIQNHEIMHALLGPLHSTNTLVQSTAALTVAATACDVEARTQLRNCG GLVPLVGLLHSKNDEVRRHASWAVMVCAGDEPMAVELCRLGALNILEEINRSLSRKNKFS EAAYNKLLNNNLSLKYSQTGYLSSSNIISDGFYDYGRINPGTKLLSLKELCLQELNDQRA ILLVNNKSDTSPPPSMEDKSSDVGYGRSISSSSSLRRGSKEKANAIFGSPTEEKSEPASV RNTILSRAFTKEKGVSKKKSRLQLICSSYLLWKGKGKKEEEKVKEEEEILALPKFTEGSP EKEWNPPPDPEFCVYVLEVTKSILPIVNLKEQIEVLAKYVADKMGGKIPKEKLADFSWEL HISELKFQLKSNVVPIGYIKKGIFYHRALLFKALADKIGVGCSLVRGEYSRGWNEVKLVN EARKGMIGNLPPPEEYIVDLMFHPGNLLKLRSKEADLYRFL >ENSMUSP00000048784.6 pep:known chromosome:GRCm38:2:19199302:19310241:1 gene:ENSMUSG00000037683.14 transcript:ENSMUST00000049255.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc3 description:armadillo repeat containing 3 [Source:MGI Symbol;Acc:MGI:1918132] MGKKIKKEVEPPPKDVFDPITIESKKAATVVLMLKSPEEDILAKACEAIYKFALKGEENK ATLLELGAVEPLTKLLTHEDKTVRRNAMMIFGILASNSDVKKLLRELEVMNSVIAQLSPE EEVVIHEFASLCLANMSVEYTGKVQIFEHGGLEPLIRLLSSSDPDVKKNSIECIYNLVQD FQCRTTLQELNAIPPILELLRSEYPIIQLLALKTLGVITCDKEARTMLKENQGLDHLTKI LETKELNDLHVEALSVIANCLEDMDTMVLMQQTGSLKKVLSFAESSTIPDIQKNAAKAIA KAAYDPENRKVFHEQEVEKCLVTLLGSDSDGTKIAASQAISALCENLSCKEFFNTQGIPQ IVQLLRSDNEEVREAAALALANLTTSSPANANAAAEADAIDPLINILSSKRDGAIANAAT VLTNMATQEPLRAIIQNHEIMHALLGPLHSTNTLVQSTAALTVAATACDVEARTQLRNCG GLVPLVGLLHSKNDEVRRHASWAVMVCAGDEPMAVELCRLGALNILEEINRSLSRKNKFS EAAYNKLLNNNLSLKYSQTGYLSSSNIISDGFYDYGRINPGTKLLSLKELCLQELNDQRA ILLVNNKSDTSPPPSMEDKSSDVGYGRSISSSSSLRRGSKEKANFRFSAIFGSPTEEKSE PASVRNTILSRAFTKEKGVRKGKGKKEEEKVKEEEEILALPKFTEGSPEKEWNPPPDPEF CVYVLEVTKSILPIVNLKEQIEVLAKYVADKMGGKIPKEKLADFSWELHISELKFQLKSN VVPIGYIKKGIFYHRALLFKALADKIGVGCSLVRGEYSRGWNEVKLVNEARKGMIGNLPP PEEYIVDLMFHPGNLLKLRSKEADLYRFL >ENSMUSP00000103042.1 pep:known chromosome:GRCm38:11:100080857:100088226:-1 gene:ENSMUSG00000046095.5 transcript:ENSMUST00000107419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt32 description:keratin 32 [Source:MGI Symbol;Acc:MGI:1309995] MPSSCCVTNTSSASLKSSARPSSVCSSNMGSRSELCLGYVCQPLQCMPSVCMPTTYRPAS CLSKTYLSSSCQPSNRRPTGCISSSMGTYGLFCEGAFNGNEKETMQVLNDRLANYLEKVR QLEKENAELEGKIQDVYQGQVLTMCPDYQSYFQTIEELQQKVLCTKAENARMIVHIDNAK LAADDFRTKYETELALRQLVEADTNGLRRILDELTLNKADLEAQVESLKEELLCLKRNHE EEVGVLRQQLGDRLNIEVDAAPPVDLTRMLEEMRCQYETMVETNHRDVEEWFNMQMEELN KQVATSSEQLQSYQSDIIDLRRTVNTLEIELQAQHSLRDSLENTLGETEGRFTSQLSQMQ CMITNVESQLSDIRCDLERQNQEYKVLLDVKARLECEIDTYRGLLESEDSKLPCNPCSTP SCQPCAPSPGVSRTVCVPHTVCVPCSPCLQTRY >ENSMUSP00000123788.1 pep:known chromosome:GRCm38:9:15316920:15357788:-1 gene:ENSMUSG00000046111.16 transcript:ENSMUST00000161132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep295 description:centrosomal protein 295 [Source:MGI Symbol;Acc:MGI:2442521] MKRKGMNTKLRLSPSEEAFILKEDYERRRKLRLLQVREQERGIAFQIREGIKQRRSQQVS HLAEELRAKWEEAQSQKIQNLEKLYLASLRHMGDGHRQAKENEPDLDALSRRAAERKRKA EVRHKEALKVQKNQKEMLMKQKTRHIKARKEAVLVEKQRSAKMARLPPPVPSPFENIDVN RIPSLKTNSSTYHHISTFVSRQMGTKQPDAHLAAEEEARRVERLRKQAAQERVKQFERAH VRGSQAMKKIHLAQNQERLMEELKQLQKEDLARRRQTVAQMPPQMLELPYRRSEMKEDRQ RELEFAFEDMYNADRKVKGNLILHLKPEPLPTISDQLQDEELDLSMEQENQVPLAAKIQQ IPSRILFKRLLNKIRSQKSLWTIKSVSEDEGEVTSSIIEIESKVPSVDSGAIITEERTAA SFEQEQVTDSDRLTIESGPLSSEDKPLYYKAGTGREQAMAVSPPATAVAQSSVLLHPQEE AVRIRMSLRRKQIMEIEEQKQKQLELLEQIEQQKLRLETDCFRAQLEEQRKQADQPEVCC APMSHAMISDEDSHRQMIRNYQHQLLQQNRLHKETVETARKRLLEYQTVLKERSPSLSAS ALVPDSVVSGPPQQSYKPAAASDSWDPSQRLKLSPSKYQPVQPSQIPALEQSHIQVPRHG HITQRQGKMAVSEMLGKQPVESQERQWQFSQVETHQGDYEFVLKDSHSLSRTLSYVRPQT LQDAREVSKPPRVIICQSLDSQQISSEDSENISSKPSEPSPFLPLVPERPFTSLPVKFHS GTIHKPFTTINQSVISQMHDQPLSSSETITAQQGDLRFLQEQLELQKKVLQARQEAREKL LLCTQKELGQQTGLPVFLPSPAGNIFSSLPSASAESGNFQTSSTKSDATVSSDNMDRLWD SSQPISSQQTHLEFLQEQSSVETDNLQARREAQEVLFAHTQNTLEKIVRSEQAGSSLPHQ VAQQSFSSLTLADTQSKKIQKQPLPANKKGLLPSQSEVSKAQDGSSGFLQQTLPLQNTLK LLQEQLTRQRSMIPPRRDGQETLLLYKESCSEDSEAGPVESLSSVVVQHADASRAVSEVP KRLQDVYSSEEENRVLSSHLITHGFPQHSLQRQEHFTPLQEETHIQRLILGARKNNEEFA PKQNELEKGLCSQQTDALSSPSQVTDWGTSRGSVSVRSDRTDPLRHFKIPAFRERLVRVS QHTFPLQDNLQEHQEWVDTEKESFQSSPLTPENPSSQQTGFSSFKASLRLPSCVSLPSAD SGITQHPLSTESDSKVKSSHLQIPELQHRLSKISQLIPPQQDSLKALQEQLATQREAIIH SRQEAHEETLREWKEKIFPEQVGPFSPLIPQHSLASFPVSDTERAQELCSTNSDTISSGY PEMLELPDRTLGLSHTALPQQNNLTAHPEHLHAQTNFFHSTEKAQEGLVFPRPCQFEEMS AEHFIQPQHDDLKALQQQLDMQREAIRSGQEMQEKMLLQRLNKLEQRISSKQISSSLFSS QVALPIANSDGTLQSFPTKSNETELLGSQDEYLSFSQPRLPLQNNMTEQLDLEKVFHKEL LLHKQKSQNKSESSEHSLPPLFLSKEIEHPFISLPFAESKSKSICELYLSDKKHAAPNDA VIPRLQDRLLSCSQPVLTQQDNMSLQKQLNLQRETLHSRQKAQEELLVQRQTSLQQQIQR HRETLKNFFNVSQARNPTDENDLEMQKREQLGGWFPHTQGLTWGDAGQGSANGEQPRADV HAEHNGESLAKELSGRASKPPVSKVKCVLDLNQHELSTIQEVESPASGRISMPGKAEFYQ DRDPLRVSVSREQSFLESPLAHDPFGCHQPPAQENSKSHDDNAEAVKVKKSDVEDHAVLS HAVSKEEACTNLGPLGKPDDEAETQEISQEPLSSVTVSTGSFLSYEITDLSLTDPESFSE QTEHLEQESTNKQEETDPLSIAVPSVIYQQQHSLGAHNSLLPMEEESTSDHTHVQQIMDN DVNEANLIPDKRDFQVPAVDLDFRELEHIFPHLHRQLFKPLEPHLDFDLSSPGTSQEDSD FYQSSESSSEKHVKALSTGTICFPALTAKSHSPNPRLNQLDINLAHATTEGSEQSFQQLR PEFSSQESQHADLPSIYSIEARGPSQRMENQNYSEMLQNKKKSLSLQPSTEDLTPACSSS DTALFDQLHLQHSTPCASVSSECSVKLLESREEVLGFEELSRRAVTMSQRLTEDENVVLP INPHVGRVEKEASVQGSNPLSIQNEKPIQNFIETDTTEAVGNVCQLAQAEHILKSCPFRS PIPIWETDTGYGIMEEPDLTLVSNSDISITETDLANLTLEDREDNEAQFFQAGVVLPTSS METSVCGAVSEPYVDQPTVAPSATSGSLQEAFMTRQTLTERSYQRQREIWNKTRLPQTKV SKEKLPTGCTGS >ENSMUSP00000125035.1 pep:known chromosome:GRCm38:9:15317097:15354710:-1 gene:ENSMUSG00000046111.16 transcript:ENSMUST00000161795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep295 description:centrosomal protein 295 [Source:MGI Symbol;Acc:MGI:2442521] MKRKGMNTKLRLSPSEEAFILKEDYERRRKLRLLQVREQERGIAFQIREGIKQRRSQQVS HLAEELRAKWEEAQSQKIQNLEKLYLASLRHMGDGHRQAKENEPDLDALSRRAAERKRKA EVRHKEALKVQKNQKEMLMKQKTRHIKARKEAVLVEKQRSAKMARLPPPVPSPFENIDVN RIPSLKTNSSTYHHISTFVSRQMGTKQPDAHLAAEEEARRVERLRKQAAQERVKQFERAH VRGSQAMKKIHLAQNQERLMEELKQLQKEDLARRRQTVAQMPPQMLELPYRRSEMKEDRQ RELEFAFEDMYNADRKVKGNLILHLKPEPLPTISDQLQDEELDLSMEQENQVPLAAKIQQ IPSRILFKRLLNKIRSQKSLWTIKSVSEDEGEVTSSIIEIESKVPSVDSGAIITEERTAA SFEQEQVTDSDRLTIESGPLSSEDKPLYYKAGTGREQAMAVSPPATAVAQSSVLLHPQEE AVRIRMSLRRKQIMEIEEQKQKQLELLEQIEQQKLRLETDCFRAQLEEQRKQADQPEVCC APMSHAMISDEDSHRQMIRNYQHQLLQQNRLHKETVETARKRLLEYQTVLKERSPSLSAS ALVPDSVVSGPPQQSYKPAAASDSWDPSQRLKLSPSKYQPVQPSQIPALEQSHIQVPRHG HITQRQGKMAVSEMLGKQPVESQERQWQFSQVETHQGDYEFVLKDSHSLSRTLSYVRPQT LQDAREVSKPPRVIICQSLDSQQISSEDSENISSKPSEPSPFLPLVPERPFTSLPVKFHS GTIHKPFTTINQSVISQMHDQPLSSSETITAQQGDLRFLQEQLELQKKVLQARQEAREKL LLCTQKELGQQTGLPVFLPSPAGNIFSSLPSASAESGNFQTSSTKSDATVSSDNMDRLWD SSQPISSQQTHLEFLQEQSSVETDNLQARREAQEVLFAHTQNTLEKIVRSEQAGSSLPHQ VAQQSFSSLTLADTQSKKIQKQPLPANKKGLLPSQSEVSKAQDGSSGFLQQTLPLQNTLK LLQEQLTRQRSMIPPRRDGQETLLLYKESCSEDSEAGPVESLSSVVVQHADASRAVSEVP KRLQDVYSSEEENRVLSSHLITHGFPQHSLQRQEHFTPLQEETHIQRLILGARKNNEEFA PKQNELEKGLCSQQTDALSSPSQVTDWGTSRGSVSVRSDRTDPLRHFKIPAFRERLVRVS QHTFPLQDNLQEHQEWVDTEKESFQSSPLTPENPSSQQTGFSSFKASLRLPSCVSLPSAD SGITQHPLSTESDSKVKSSHLQIPELQHRLSKISQLIPPQQDSLKALQEQLATQREAIIH SRQEAHEETLREWKEKIFPEQVGPFSPLIPQHSLASFPVSDTERAQELCSTNSDTISSGY PEMLELPDRTLGLSHTALPQQNNLTAHPEHLHAQTNFFHSTEKAQEGLVFPRPCQFEEMS AEHFIQPQHDDLKALQQQLDMQREAIRSGQEMQEKMLLQRLNKLEQRISSKQISSSLFSS QVALPIANSDGTLQSFPTKSNETELLGSQDEYLSFSQPRLPLQNNMTEQLDLEKVFHKEL LLHKQKSQNKSESSEHSLPPLFLSKEIEHPFISLPFAESKSKSICELYLSDKKHAAPNDA VIPRLQDRLLSCSQPVLTQQDNMSLQKQLNLQRETLHSRQKAQEELLVQRQTSLQQQIQR HRETLKNFFNVSQARNPTDENDLEMQKREQLGGWFPHTQGLTWGDAGQGSANGEQPRADV HAEHNGESLAKELSGRASKPPVSKVKCVLDLNQHELSTIQEVESPASGRISMPGKAEFYQ DRDPLRVSVSREQSFLESPLAHDPFGCHQPPAQENSKSHDDNAEAVKVKKSDVEDHAVLS HAVSKEEACTNLGPLGKPDDEAETQEISQEPLSSVTVSTGSFLSYEITDLSLTDPVPAVD LDFRELEHIFPHLHRQLFKPLEPHLDFDLSSPGTSQEDSDFYQSSESSSEKHVKALSTGT ICFPALTAKSHSPNPRLNQLDINLAHATTEGSEQSFQQLRPEFSSQESQHADLPSIYSIE ARGPSQRMENQNYSEMLQNKKKSLSLQPSTEDLTPACSSSDTALFDQLHLQHSTPCASVS SECSVKLLESREEVLGFEELSRRAVTMSQRLTEDENVVLPINPHVGRVEKEASVQGSNPL SIQNEKPIQNFIETDTTEAVGNVCQLAQAEHILKSCPFRSPIPIWETDTGYGIMEEPDLT LVSNSDISITETDLANLTLEDREDNEAQFFQAGVVLPTSSMETSVCGAVSEPYVDQPTVA PSATSGSLQEAFMTRQTLTERSYQRQREIWNKTRLPQTKVSKEKLPTGCTGS >ENSMUSP00000123748.1 pep:known chromosome:GRCm38:9:15325542:15331978:-1 gene:ENSMUSG00000046111.16 transcript:ENSMUST00000162264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep295 description:centrosomal protein 295 [Source:MGI Symbol;Acc:MGI:2442521] XRHRETLKNFFNVSQARNPTDENDLEMQKREQLGGWFPHTQGLTWGDAGQGSANGEQPRA DVHAEHNGKAEFYQDRDPLRVSVSREQSFLESPLAHDPFGCHQPPAQENSKSHDDNAEAV KVKKSDVEDHAVLSHAVSKEEACTNLGPLGKPDDEAETQEISQEPLSSVTVSTGSFLSYE ITDLSLTDPESFSEQTEHLEQESTNKQEETDPLSIAVPSVIYQQQHSLGAHNSLLPMEEE STSDHTHVQQIMDNDVN >ENSMUSP00000123950.1 pep:known chromosome:GRCm38:9:15322999:15326179:-1 gene:ENSMUSG00000046111.16 transcript:ENSMUST00000159156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep295 description:centrosomal protein 295 [Source:MGI Symbol;Acc:MGI:2442521] XAETQEISQEPLSSVTVSTGSFLSYEITDLSLTDPVPAVDLDFRELEHIFPHLHRQLFKP LEPHLDFDLSSPGTSQEDSDFYQDLNSLFNSFDQNSLHRKANMLTYPVFIA >ENSMUSP00000125494.1 pep:known chromosome:GRCm38:9:15316915:15333392:-1 gene:ENSMUSG00000046111.16 transcript:ENSMUST00000160946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep295 description:centrosomal protein 295 [Source:MGI Symbol;Acc:MGI:2442521] XNLQEHQEWVDTEKESFQSSPLTPENPSSQQTGFSSFKASLRLPSCVSLPSADSGITQHP LSTESDSKVKSSHLQIPELQHRLSKISQLIPPQQDSLKALQEQLATQREAIIHSRQEAHE ETLREWKEKIFPEQVGPFSPLIPQHSLASFPVSDTERAQELCSTNSDTISSGYPEMLELP DRTLGLSHTALPQQNNLTAHPEHLHAQTNFFHSTEKAQEGLVFPRPCQFEEMSAEHFIQP QHDDLKALQQQLDMQREAIRSGQEMQEKMLLQRLNKLEQRISSKQISSSLFSSQVALPIA NSDGTLQSFPTKSNETELLGSQDEYLSFSQPRLPLQNNMTEQLDLEKVFHKELLLHKQKS QNKSESSEHSLPPLFLSKEIEHPFISLPFAESKSKSICELYLSDKKHAAPNDAVIPRLQD RLLSCSQPVLTQQDNMSLQKQLNLQRETLHSRQKAQEELLVQRQTSLQQQIQRHRETLKN FFNVSQARNPTDENDLEMQKREQLGGWFPHTQGLTWGDAGQGSANGEQPRADVHAEHNGE SLAKELSGRASKPPVSKVKCVLDLNQHELSTIQEVESPASGRISMPGKAEFYQDRDPLRV SVSREQSFLESPLAHDPFGCHQPPAQENSKSHDDNAEAVKVKKSDVEDHAVLSHAVSKEE ACTNLGPLGKPDDEAETQEISQEPLSSVTVSTGSFLSYEITDLSLTDPESFSEQTEHLEQ ESTNKQEETDPLSIAVPSVIYQQQHSLGAHNSLLPMEEESTSDHTHVQQIMDNDVNEANL IPDKRDFQVPAVDLDFRELEHIFPHLHRQLFKPLEPHLDFDLSSPGTSQEDSDFYQSSES SSEKHVKALSTGTICFPALTAKSHSPNPRLNQLDINLAHATTEGSEQSFQQLRPEFSSQE SQHADLPSIYSIEARGPSQRMENQNYSEMLQNKKKSLSLQPSTEDLTPACSSSDTALFDQ LHLQHSTPCASVSSECSVKLLESREEVLGFEELSRRAVTMSQRLTEDENVVLPINPHVGR VEKEASVQGSNPLSIQNEKPIQNFIETDTTEAVGNVCQLAQAEHILKSCPFRSPIPIWET DTGYGIMEEPDLTLVSNSDISITETDLANLTLEDREDNEAQFFQAGVVLPTSSMETSVCG AVSEPYVDQPTVAPSATSGSLQEAFMTRQTLTERSYQRQREIWNKTRLPQTKVSKEKLPT GM >ENSMUSP00000096578.3 pep:known chromosome:GRCm38:9:15316915:15357788:-1 gene:ENSMUSG00000046111.16 transcript:ENSMUST00000098979.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep295 description:centrosomal protein 295 [Source:MGI Symbol;Acc:MGI:2442521] MTEVTRAGSWLSRRYPNGCWVAGRVRVPVCREARPHTLSDPLYLTYSEMKRKGMNTKLRL SPSEEAFILKEDYERRRKLRLLQVREQERGIAFQIREGIKQRRSQQVSHLAEELRAKWEE AQSQKIQNLEKLYLASLRHMGDGHRQAKENEPDLDALSRRAAERKRKAEVRHKEALKVQK NQKEMLMKQKTRHIKARKEAVLVEKQRSAKMARLPPPVPSPFENIDVNRIPSLKTNSSTY HHISTFVSRQMGTKQPDAHLAAEEEARRVERLRKQAAQERVKQFERAHVRGSQAMKKIHL AQNQERLMEELKQLQKEDLARRRQTVAQMPPQMLELPYRRSEMKEDRQRELEFAFEDMYN ADRKVKGNLILHLKPEPLPTISDQLQDEELDLSMEQENQVPLAAKIQQIPSRILFKRLLN KIRSQKSLWTIKSVSEDEGEVTSSIIEIESKVPSVDSGAIITEERTAASFEQEQVTDSDR LTIESGPLSSEDKPLYYKAGTGREQAMAVSPPATAVAQSSVLLHPQEEAVRIRMSLRRKQ IMEIEEQKQKQLELLEQIEQQKLRLETDCFRAQLEEQRKQADQPEVCCAPMSHAMISDED SHRQMIRNYQHQLLQQNRLHKETVETARKRLLEYQTVLKERSPSLSASALVPDSVVSGPP QQSYKPAAASDSWDPSQRLKLSPSKYQPVQPSQIPALEQSHIQVPRHGHITQRQGKMAVS EMLGKQPVESQERQWQFSQVETHQGDYEFVLKDSHSLSRTLSYVRPQTLQDAREVSKPPR VIICQSLDSQQISSEDSENISSKPSEPSPFLPLVPERPFTSLPVKFHSGTIHKPFTTINQ SVISQMHDQPLSSSETITAQQGDLRFLQEQLELQKKVLQARQEAREKLLLCTQKELGQQT GLPVFLPSPAGNIFSSLPSASAESGNFQTSSTKSDATVSSDNMDRLWDSSQPISSQQTHL EFLQEQSSVETDNLQARREAQEVLFAHTQNTLEKIVRSEQAGSSLPHQVAQQSFSSLTLA DTQSKKIQKQPLPANKKGLLPSQSEVSKAQDGSSGFLQQTLPLQNTLKLLQEQLTRQRSM IPPRRDGQETLLLYKESCSEDSEAGPVESLSSVVVQHADASRAVSEVPKRLQDVYSSEEE NRVLSSHLITHGFPQHSLQRQEHFTPLQEETHIQRLILGARKNNEEFAPKQNELEKGLCS QQTDALSSPSQVTDWGTSRGSVSVRSDRTDPLRHFKIPAFRERLVRVSQHTFPLQDNLQE HQEWVDTEKESFQSSPLTPENPSSQQTGFSSFKASLRLPSCVSLPSADSGITQHPLSTES DSKVKSSHLQIPELQHRLSKISQLIPPQQDSLKALQEQLATQREAIIHSRQEAHEETLRE WKEKIFPEQVGPFSPLIPQHSLASFPVSDTERAQELCSTNSDTISSGYPEMLELPDRTLG LSHTALPQQNNLTAHPEHLHAQTNFFHSTEKAQEGLVFPRPCQFEEMSAEHFIQPQHDDL KALQQQLDMQREAIRSGQEMQEKMLLQRLNKLEQRISSKQISSSLFSSQVALPIANSDGT LQSFPTKSNETELLGSQDEYLSFSQPRLPLQNNMTEQLDLEKVFHKELLLHKQKSQNKSE SSEHSLPPLFLSKEIEHPFISLPFAESKSKSICELYLSDKKHAAPNDAVIPRLQDRLLSC SQPVLTQQDNMSLQKQLNLQRETLHSRQKAQEELLVQRQTSLQQQIQRHRETLKNFFNVS QARNPTDENDLEMQKREQLGGWFPHTQGLTWGDAGQGSANGEQPRADVHAEHNGESLAKE LSGRASKPPVSKVKCVLDLNQHELSTIQEVESPASGRISMPGKAEFYQDRDPLRVSVSRE QSFLESPLAHDPFGCHQPPAQENSKSHDDNAEAVKVKKSDVEDHAVLSHAVSKEEACTNL GPLGKPDDEAETQEISQEPLSSVTVSTGSFLSYEITDLSLTDPVPAVDLDFRELEHIFPH LHRQLFKPLEPHLDFDLSSPGTSQEDSDFYQSSESSSEKHVKALSTGTICFPALTAKSHS PNPRLNQLDINLAHATTEGSEQSFQQLRPEFSSQESQHADLPSIYSIEARGPSQRMENQN YSEMLQNKKKSLSLQPSTEDLTPACSSSDTALFDQLHLQHSTPCASVSSECSVKLLESRE EVLGFEELSRRAVTMSQRLTEDENVVLPINPHVGRVEKEASVQGSNPLSIQNEKPIQNFI ETDTTEAVGNVCQLAQAEHILKSCPFRSPIPIWETDTGYGIMEEPDLTLVSNSDISITET DLANLTLEDREDNEAQFFQAGVVLPTSSMETSVCGAVSEPYVDQPTVAPSATSGSLQEAF MTRQTLTERSYQRQREIWNKTRLPQTKVSKEKLPTGCTGS >ENSMUSP00000100058.3 pep:known chromosome:GRCm38:6:30211289:30304539:-1 gene:ENSMUSG00000039159.16 transcript:ENSMUST00000102993.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2h description:ubiquitin-conjugating enzyme E2H [Source:MGI Symbol;Acc:MGI:104632] MSSPSPGKRRMDTDVVKLIESKHEVTILGGLNEFVVKFYGPQGTPYEGGVWKVRVDLPDK YPFKSPSIGFMNKIFHPNIDEASGTVCLDVINQTWTALYDLTNIFESFLPQLLAYPNPID PLNGDAAAMYLHRPEEYKQKIKEYIQKYATEEALKEQEEGTGDSSSESSMSDFSEDEAQD MEL >ENSMUSP00000092121.2 pep:known chromosome:GRCm38:6:30214861:30304522:-1 gene:ENSMUSG00000039159.16 transcript:ENSMUST00000094543.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2h description:ubiquitin-conjugating enzyme E2H [Source:MGI Symbol;Acc:MGI:104632] MSSPSPGKRRMDTDVVKLIESKHEVTILGGLNEFVVKFYGPQGTPYEGGVWKVRVDLPDK YPFKSPSIDLTNIFESFLPQLLAYPNPIDPLNGDAAAMYLHRPEEYKQKIKEYIQKYATE EALKEQEEGTGDSSSESSMSDFSEDEAQDMEL >ENSMUSP00000042162.4 pep:known chromosome:GRCm38:11:75177643:75190519:-1 gene:ENSMUSG00000078789.9 transcript:ENSMUST00000044949.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph1 description:diphthamide biosynthesis 1 [Source:MGI Symbol;Acc:MGI:2151233] MAALVVSETAEPGSRVGPGRGRISRGRLANQIPPEVLNNPQLQAAVQVLPSNYNFEIPKT IWRIQQAQAKKVALQMPEGLLLFACTIVDILERFTEAEVMVMGDVTYGACCVDDFTARAL GVDFLVHYGHSCLVPMDTSVQDFRVLYVFVDIRIDTAHLLDSVRLTFTPGSSLALVSTIQ FVSTLQAAAQELKADYHISVPQCKPLSPGEILGCTSPRLSKEVEAVVYLGDGRFHLESVM IANPNIPAYRYDPYGKVLSREYYDHQRMQATRQEAIAAARSAKSWGLILGTLGRQGSPKI LEHLESQLRNLGLPFVRLLLSEIFPSKLSLLPEVDVWVQVACPRLSIDWGSAFPKPLLTP YEAAVALKDISWQQPYPMDFYSGSSLGPWTVNYGRDRAPRGLCQPASDKVQQGSRGGSPA PACESCNCADQKATSPAP >ENSMUSP00000116537.1 pep:known chromosome:GRCm38:11:75180825:75191241:-1 gene:ENSMUSG00000078789.9 transcript:ENSMUST00000139958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph1 description:diphthamide biosynthesis 1 [Source:MGI Symbol;Acc:MGI:2151233] MLQKAEGRISRGRLANQIPPEVLNNPQLQAAVQVLPSNYNFEIPKTIWRIQQAQAKKVAL QMPEGLLLFACTIVDILERFTEAEVMVMGDVTYGACCVDDFTARALGVDFLVHYGHSCLV PMDTSVQDFRVLYVFVDIRIDTAHLLDSVRLTFTPGSSLALVSTIQFVSTLQAAAQELKA DYHISVPQCKPLSPGEILGCTSPRLSKEVEAVVYLGDGRFHLESVMIANPNIPAYRYDPY GKVLSREYYDHQRMQATRQEAIAAARSAKSWGLILG >ENSMUSP00000028308.4 pep:known chromosome:GRCm38:2:25620067:25621985:-1 gene:ENSMUSG00000026939.12 transcript:ENSMUST00000028308.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem141 description:transmembrane protein 141 [Source:MGI Symbol;Acc:MGI:1098773] XVNLGLSRVDDAVAARHPGLEEFAACQSHAFMKGVFTFVTGTGATFGLLMFIKRKFPYPV QWSFLVSARSVASYRVTSMECQKCSNLWLFLETGQLPKDISTDPHD >ENSMUSP00000121862.1 pep:known chromosome:GRCm38:2:25620067:25622005:-1 gene:ENSMUSG00000026939.12 transcript:ENSMUST00000142087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem141 description:transmembrane protein 141 [Source:MGI Symbol;Acc:MGI:1098773] MVNLGLSRVDDAVAARHPGLEEFAACQSHAFMKGVFTFVTGTGATFGLLMFIKRKFPYPV QWSFLVSAIAGSVASYRVTSMECQKCSNLWLFLETGQLPKDISTDPHD >ENSMUSP00000012849.8 pep:known chromosome:GRCm38:8:3655770:3660110:1 gene:ENSMUSG00000012705.16 transcript:ENSMUST00000012849.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Retn description:resistin [Source:MGI Symbol;Acc:MGI:1888506] MKNLSFPLLFLFFLVPELLGSSMPLCPIDEAIDKKIKQDFNSLFPNAIKNIGLNCWTVSS RGKLASCPEGTAVLSCSCGSACGSWDIREEKVCHCQCARIDWTAARCCKLQVAS >ENSMUSP00000133024.2 pep:known chromosome:GRCm38:8:3655770:3658096:1 gene:ENSMUSG00000012705.16 transcript:ENSMUST00000169234.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Retn description:resistin [Source:MGI Symbol;Acc:MGI:1888506] MKNLSFPLLFLFFLVPELLGSSMPLCPIDEAIDKKIKQDFNSLFPNAIKNIGLNCWTVSS RGKLASCPEGTAVLSCSCGSACGSWDIREEKVCHCQCARIDWTAARCCKLQVAS >ENSMUSP00000020965.7 pep:known chromosome:GRCm38:12:28553755:28582523:-1 gene:ENSMUSG00000020636.14 transcript:ENSMUST00000020965.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Allc description:allantoicase [Source:MGI Symbol;Acc:MGI:2136971] MTDTPKEGKLTRFLDFTQLIDLASECVGGKVLFATDDFFGPAENLIKSDSPTFKEHEYTE FGKWVDGWETRRKRIPGHDWCVIQLGIQGIIRGIDVDISYFSGNHAPRMSIQAANLSEED TMSNIPPRGVRMGTAATPEEFEAVTELKSHSWDYLVPMSELKLGDPDSSHNYYFVNSQQR WTHIRLNIFPDGGVARLRVYGTGQRDWAALDSTEPVDLVAIAFGGVCVGFSNAHFGHPNN MIGVGEPKSIADGWETARRLDRPPVLEASENGLLLVPGCEWAVFRLAHPGVITQIEIDTK YFKGNCPNSCKVDGCILTTLEEEDMIRHNWNLPAHKWKSLLPVTKLIPNQNHLLDSLTLE LQDVITHAMITIAPDGGVSRLRLKGFPSSICLLRPLREKPLLRFSLKTGFRANL >ENSMUSP00000106542.1 pep:known chromosome:GRCm38:12:28553755:28582515:-1 gene:ENSMUSG00000020636.14 transcript:ENSMUST00000110917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Allc description:allantoicase [Source:MGI Symbol;Acc:MGI:2136971] MTDTPKEGKLTRFLDFTQLIDLASECVGGKVLFATDDFFGPAENLIKSDSPTFKEHEYTE FGKWVDGWETRRKRIPGHDWCVIQLGIQGIIRGIDVDISYFSGNHAPRMSIQAANLSEED TMSNIPPRGVRMGTAATPEEFEAVTELKSHSWDYLVPMSELKLGDPDSSHNYYFVNSQQR WTHIRLNIFPDGGVARLRVYGTGQRDWAALDSTEPVDLVAIAFGGVCVGFSNAHFGHPNN MIGVGEPKSIADGWETARRLDRPPVLEASENGLLLVPGCEWAVFRLAHPGVITQIEIDTK YFKGNCPNSCKVDGCILTTLEEEDMIRHNWNLPAHKWKSLLPVTKLIPNQNHLLDSLTLE LQDVITHAMITIAPDGGVSRLRLKGFPSSICLLRPLREKPLLRFSLKTGFRANL >ENSMUSP00000083928.3 pep:known chromosome:GRCm38:17:64600736:64755110:1 gene:ENSMUSG00000024085.13 transcript:ENSMUST00000086723.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2a1 description:mannosidase 2, alpha 1 [Source:MGI Symbol;Acc:MGI:104669] MKLSRQFTVFGSAIFCVVIFSLYLMLDRGHLDYPRGPRQEGSFPQGQLSILQEKIDHLER LLAENNEIISNIRDSVINLSESVEDGPRGSPGNASQGSIHLHSPQLALQADPRDCLFASQ SGSQPRDVQMLDVYDLIPFDNPDGGVWKQGFDIKYEADEWDHEPLQVFVVPHSHNDPGWL KTFNDYFRDKTQYIFNNMVLKLKEDSSRKFMWSEISYLAKWWDIIDIPKKEAVKSLLQNG QLEIVTGGWVMPDEATPHYFALIDQLIEGHQWLEKNLGVKPRSGWAIDPFGHSPTMAYLL KRAGFSHMLIQRVHYAIKKHFSLHKTLEFFWRQNWDLGSATDILCHMMPFYSYDIPHTCG PDPKICCQFDFKRLPGGRYGCPWGVPPEAISPGNVQSRAQMLLDQYRKKSKLFRTKVLLA PLGDDFRFSEYTEWDLQCRNYEQLFSYMNSQPHLKVKIQFGTLSDYFDALEKAVAAEKKS SQSVFPALSGDFFTYADRDDHYWSGYFTSRPFYKRMDRIMESRIRAAEILYQLALKQAQK YKINKFLSSPHYTTLTEARRNLGLFQHHDAITGTAKDWVVVDYGTRLFQSLNSLEKIIGD SAFLLILKDKKLYQSDPSKAFLEMDTKQSSQDSLPQKIIIQLSAQEPRYLVVYNPFEQER HSVVSIRVNSATVKVLSDSGKPVEVQVSAVWNDMRTISQAAYEVSFLAHIPPLGLKVFKI LESQSSSSHLADYVLYNNDGLAENGIFHVKNMVDAGDAITIENPFLAIWFDRSGLMEKVR RKEDSRQHELKVQFLWYGTTNKRDKSGAYLFLPDGQGQPYVSLRPPFVRVTRGRIYSDVT CFLEHVTHKVRLYNIQGIEGQSMEVSNIVNIRNVHNREIVMRISSKINNQNRYYTDLNGY QIQPRRTMSKLPLQANVYPMCTMAYIQDAEHRLTLLSAQSLGASSMASGQIEVFMDRRLM QDDNRGLGQGVHDNKITANLFRILLEKRSAVNMEEEKKSPVSYPSLLSHMTSSFLNHPFL PMVLSGQLPSPAFELLSEFPLLQSSLPCDIHLVNLRTIQSKMGKGYSDEAALILHRKGFD CQFSSRGIGLPCSTTQGKMSVLKLFNKFAVESLVPSSLSLMHSPPDAQNMSEVSLSPMEI STFRIRLRWT >ENSMUSP00000130529.1 pep:known chromosome:GRCm38:17:64713619:64754838:1 gene:ENSMUSG00000024085.13 transcript:ENSMUST00000169668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2a1 description:mannosidase 2, alpha 1 [Source:MGI Symbol;Acc:MGI:104669] YGTTNKRDKSGAYLFLPDGQGQPYVSLRPPFVRVTRGRIYSDVTCFLEHVTHKVRLYNIQ GIEGQSMEVSNIVNIRNVHNREIVMRISSKINNQNRYYTDLNGYQIQPRRTMSKLPLQAN VYPMCTMAYIQDAEHRLTLLSAQSLGASSMASGQIEVFMDRRLMQDDNRGLGQGVHDNKI TANLFRILLEKRSAVNMEEEKKSPVSYPSLLSHMTSSFLNHPFLPMVLSGQLPSPAFELL SEFPLLQSSLPCDIHLLGLVHLLSQMLSGPRLSRYSLPTL >ENSMUSP00000062622.6 pep:known chromosome:GRCm38:9:110084320:110101087:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000062368.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MAAARRLMALAAGVSPRLRPPDPLVASGRQGCSRGFSSSFVRSDGTQEAAEVESEVAPSE PGEGDGSMVNASRDLLKEFPQPKNLLNSVIGRALGISHAKDKLVYVHTNGPKKKKVTLHI KWPKSVEVEGYGSKKIDAERQAAAAACQLFKGWGLLGPRNELFDAAKYRVLADRFGSPAD SWWRPEPTMPPTSWRQLNPENIRPGGPAGLSRSLGREEEEDEEEELEEGTIDVTEFLSMT QQDSHNPLRDSRGGSFEMTDDDSAIRALTQFPLPKNLLAKVIQIATSSSTAKNLMQFHTV GTKTKLATLTLLWPCPMTFVAKGRRKAEAENKAAALACKKLKSLGLVDRNNEPLTHAMYN LASLRELGETQRRPCTIQVPEPILRKIEAFLSHYPVDSSWISPELRLQSDDILPLGKDSG PLSDPITGKPYMPLSEAEEVRLSQSLLELWRRRGPIWQEAPQLPVDPHRDTILSAIEQHP VVVISGDTGCGKTTRIPQLLLERYVTEGRGARCNVIITQPRRISAVSVAQRVSHELGPSL RRNVGFQVRLESKPPARGGALLFCTVGILLRKLQSNPSLEGVSHVIVDEVHERDVNTDFL LILLKGLQRLNPALRLVLMSATGDNERFSRYFGGCPVIKVPGFMYPVKEHYLEDILAKLG KHQYPHRHRHHESEDECALDLDLVTDLVLHIDARGEPGGILCFLPGWQEIKGVQQRLQEA LGMHESKYLILPVHSNIPMMDQKAIFQQPPLGVRKIVLATNIAETSITVNDIVHVVDSGL HKEERYDLKTKVSCLETVWVSRANVIQRRGRAGRCQSGFAYHLFPRSRLEKMVPFQVPEI LRTPLENLVLQAKIHMPEKTAVEFLSKAVDSPNIKAVDEAVILLQEIGVLDQREYLTTLG QRLAHISTDPRLAKAIVLAAIFRCLHPLLVVVSCLTRDPFSSSLQNRAEVDKVKALLSHD SGSDHLAFVRAVAGWEEVLRWQDRTSRENYLEENLLYAPSLRFIHGLIKQFSENIYEAFL VGKPSDCTLPSAQCNEYSEEEELVKGVLMAGLYPNLIQVRQGKVTRQGKFKPNSVTYRTK SGNILLHKSTINREATRLRSRWLTYFMAVKSNGSVFVRDSSQVHPLAVLLLTDGDVHIRD DGRRATISLSDSDLLRLEGDSRTVRLLREFRRALGRMVERSLRSELAALPLSVQQEHGQL LALLAELLRGPCGSFDMRKTADD >ENSMUSP00000142659.1 pep:known chromosome:GRCm38:9:110084320:110117422:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000198425.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MVTPVCNSSTWQPKDSSFLSWPEMFSLDSFRKDRTQHRQRQCKLPPPRLPPMCVNPAPGG TITRASRDLLKEFPQPKNLLNSVIGRALGISHAKDKLVYVHTNGPKKKKVTLHIKWPKSV EVEGYGSKKIDAERQAAAAACQLFKGWGLLGPRNELFDAAKYRVLADRFGSPADSWWRPE PTMPPTSWRQLNPENIRPGGPAGLSRSLGREEEEDEEEELEEGTIDVTEFLSMTQQDSHN PLRDSRGGSFEMTDDDSAIRALTQFPLPKNLLAKVIQIATSSSTAKNLMQFHTVGTKTKL ATLTLLWPCPMTFVAKGRRKAEAENKAAALACKKLKSLGLVDRNNEPLTHAMYNLASLRE LGETQRRPCTIQVPEPILRKIEAFLSHYPVDSSWISPELRLQSDDILPLGKDSGPLSDPI TGKPYMPLSEAEEVRLSQSLLELWRRRGPIWQEAPQLPVDPHRDTILSAIEQHPVVVISG DTGCGKTTRIPQLLLERYVTEGRGARCNVIITQPRRISAVSVAQRVSHELGPSLRRNVGF QVRLESKPPARGGALLFCTVGILLRKLQSNPSLEGVSHVIVDEVHERDVNTDFLLILLKG LQRLNPALRLVLMSATGDNERFSRYFGGCPVIKVPGFMYPVKEHYLEDILAKLGKHQYPH RHRHHESEDECALDLDLVTDLVLHIDARGEPGGILCFLPGWQEIKGVQQRLQEALGMHES KYLILPVHSNIPMMDQKAIFQQPPLGVRKIVLATNIAETSITVNDIVHVVDSGLHKEERY DLKTKVSCLETVWVSRANVIQRRGRAGRCQSGFAYHLFPRSRLEKMVPFQVPEILRTPLE NLVLQAKIHMPEKTAVEFLSKAVDSPNIKAVDEAVILLQEIGVLDQREYLTTLGQRLAHI STDPRLAKAIVLAAIFRCLHPLLVVVSCLTRDPFSSSLQNRAEVDKVKALLSHDSGSDHL AFVRAVAGWEEVLRWQDRTSRENYLEENLLYAPSLRFIHGLIKQFSENIYEAFLVGKPSD CTLPSAQCNEYSEEEELVKGVLMAGLYPNLIQVRQGKVTRQGKFKPNSVTYRTKSGNILL HKSTINREATRLRSRWLTYFMAVKSNGSVFVRDSSQVHPLAVLLLTDGDVHIRDDGRRAT ISLSDSDLLRLEGDSRTVRLLREFRRALGRMVERSLRSELAALPLSVQQEHGQLLALLAE LLRGPCGSFDMRKTADD >ENSMUSP00000142489.1 pep:known chromosome:GRCm38:9:110084320:110117433:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000199529.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MFSLDSFRKDRTQHRQRQCKLPPPRLPPMCVNPAPGGTITRASRDLLKEFPQPKNLLNSV IGRALGISHAKDKLVYVHTNGPKKKKVTLHIKWPKSVEVEGYGSKKIDAERQAAAAACQL FKGWGLLGPRNELFDAAKYRVLADRFGSPADSWWRPEPTMPPTSWRQLNPENIRPGGPAG LSRSLGREEEEDEEEELEEGTIDVTEFLSMTQQDSHNPLRDSRGGSFEMTDDDSAIRALT QFPLPKNLLAKVIQIATSSSTAKNLMQFHTVGTKTKLATLTLLWPCPMTFVAKGRRKAEA ENKAAALACKKLKSLGLVDRNNEPLTHAMYNLASLRELGETQRRPCTIQVPEPILRKIEA FLSHYPVDSSWISPELRLQSDDILPLGKDSGPLSDPITGKPYMPLSEAEEVRLSQSLLEL WRRRGPIWQEAPQLPVDPHRDTILSAIEQHPVVVISGDTGCGKTTRIPQLLLERYVTEGR GARCNVIITQPRRISAVSVAQRVSHELGPSLRRNVGFQVRLESKPPARGGALLFCTVGIL LRKLQSNPSLEGVSHVIVDEVHERDVNTDFLLILLKGLQRLNPALRLVLMSATGDNERFS RYFGGCPVIKVPGFMYPVKEHYLEDILAKLGKHQYPHRHRHHESEDECALDLDLVTDLVL HIDARGEPGGILCFLPGWQEIKGVQQRLQEALGMHESKYLILPVHSNIPMMDQKAIFQQP PLGVRKIVLATNIAETSITVNDIVHVVDSGLHKEERYDLKTKVSCLETVWVSRANVIQRR GRAGRCQSGFAYHLFPRSRLEKMVPFQVPEILRTPLENLVLQAKIHMPEKTAVEFLSKAV DSPNIKAVDEAVILLQEIGVLDQREYLTTLGQRLAHISTDPRLAKAIVLAAIFRCLHPLL VVVSCLTRDPFSSSLQNRAEVDKVKALLSHDSGSDHLAFVRAVAGWEEVLRWQDRTSREN YLEENLLYAPSLRFIHGLIKQFSENIYEAFLVGKPSDCTLPSAQCNEYSEEEELVKGVLM AGLYPNLIQVRQGKVTRQGKFKPNSVTYRTKSGNILLHKSTINREATRLRSRWLTYFMAV KSNGSVFVRDSSQVHPLAVLLLTDGDVHIRDDGRRATISLSDSDLLRLEGDSRTVRLLRE FRRALGRMVERSLRSELAALPLSVQQEHGQLLALLAELLRGPCGSFDMRKTADD >ENSMUSP00000142549.1 pep:known chromosome:GRCm38:9:110084320:110117830:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000197928.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MFSLDSFRKDRTQHRQRQCKLPPPRLPPMCVNPAPGGTITRASRDLLKEFPQPKNLLNSV IGRALGISHAKDKLVYVHTNGPKKKKVTLHIKWPKSVEVEGYGSKKIDAERQAAAAACQL FKGWGLLGPRNELFDAAKYRVLADRFGSPADSWWRPEPTMPPTSWRQLNPENIRPGGPAG LSRSLGREEEEDEEEELEEGTIDVTEFLSMTQQDSHNPLRDSRGGSFEMTDDDSAIRALT QFPLPKNLLAKVIQIATSSSTAKNLMQFHTVGTKTKLATLTLLWPCPMTFVAKGRRKAEA ENKAAALACKKLKSLGLVDRNNEPLTHAMYNLASLRELGETQRRPCTIQVPEPILRKIEA FLSHYPVDSSWISPELRLQSDDILPLGKDSGPLSDPITGKPYMPLSEAEEVRLSQSLLEL WRRRGPIWQEAPQLPVDPHRDTILSAIEQHPVVVISGDTGCGKTTRIPQLLLERYVTEGR GARCNVIITQPRRISAVSVAQRVSHELGPSLRRNVGFQVRLESKPPARGGALLFCTVGIL LRKLQSNPSLEGVSHVIVDEVHERDVNTDFLLILLKGLQRLNPALRLVLMSATGDNERFS RYFGGCPVIKVPGFMYPVKEHYLEDILAKLGKHQYPHRHRHHESEDECALDLDLVTDLVL HIDARGEPGGILCFLPGWQEIKGVQQRLQEALGMHESKYLILPVHSNIPMMDQKAIFQQP PLGVRKIVLATNIAETSITVNDIVHVVDSGLHKEERYDLKTKVSCLETVWVSRANVIQRR GRAGRCQSGFAYHLFPRSRLEKMVPFQVPEILRTPLENLVLQAKIHMPEKTAVEFLSKAV DSPNIKAVDEAVILLQEIGVLDQREYLTTLGQRLAHISTDPRLAKAIVLAAIFRCLHPLL VVVSCLTRDPFSSSLQNRAEVDKVKALLSHDSGSDHLAFVRAVAGWEEVLRWQDRTSREN YLEENLLYAPSLRFIHGLIKQFSENIYEAFLVGKPSDCTLPSAQCNEYSEEEELVKGVLM AGLYPNLIQVRQGKVTRQGKFKPNSVTYRTKSGNILLHKSTINREATRLRSRWLTYFMAV KSNGSVFVRDSSQVHPLAVLLLTDGDVHIRDDGRRATISLSDSDLLRLEGDSRTVRLLRE FRRALGRMVERSLRSELAALPLSVQQEHGQLLALLAELLRGPCGSFDMRKTADD >ENSMUSP00000142952.1 pep:known chromosome:GRCm38:9:110084321:110084991:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000199332.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] XNLATSCCISQPLTDDGRRATISLSDSDLLRLEGDSRTVRLLREFRRALGRMVERSLRSE LAALPLSVQQEHGQLLALLAELLRGPCGSFDMRKTADD >ENSMUSP00000143272.1 pep:known chromosome:GRCm38:9:110084321:110085050:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000199693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] RQGKVTRQGKFKPNSVTYRTKSGNILLHKSTINREATRLRSRWLTYFMAVKSNGSVFVRD SSQMMGVGPPSH >ENSMUSP00000143616.1 pep:known chromosome:GRCm38:9:110084321:110117437:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000196171.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MQASPTPSSTHVCQPCPWRDHHSRLNVNISNMAASRDLLKEFPQPKNLLNSVIGRALGIS HAKDKLVYVHTNGPKKKKVTLHIKWPKSVEVEGYGSKKIDAERQAAAAACQLFKGWGLLG PRNELFDAAKYRVLADRFGSPADSWWRPEPTMPPTSWRQLNPENIRPGGPAGLSRSLGRE EEEDEEEELEEGTIDVTEFLSMTQQDSHNPLRDSRGGSFEMTDDDSAIRALTQFPLPKNL LAKVIQIATSSSTAKNLMQFHTVGTKTKLATLTLLWPCPMTFVAKGRRKAEAENKAAALA CKKLKSLGLVDRNNEPLTHAMYNLASLRELGETQRRPCTIQVPEPILRKIEAFLSHYPVD SSWISPELRLQSDDILPLGKDSGPLSDPITGKPYMPLSEAEEVRLSQSLLELWRRRGPIW QEAPQLPVDPHRDTILSAIEQHPVVVISGDTGCGKTTRIPQLLLERYVTEGRGARCNVII TQPRRISAVSVAQRVSHELGPSLRRNVGFQVRLESKPPARGGALLFCTVGILLRKLQSNP SLEGVSHVIVDEVHERDVNTDFLLILLKGLQRLNPALRLVLMSATGDNERFSRYFGGCPV IKVPGFMYPVKEHYLEDILAKLGKHQYPHRHRHHESEDECALDLDLVTDLVLHIDARGEP GGILCFLPGWQEIKGVQQRLQEALGMHESKYLILPVHSNIPMMDQKAIFQQPPLGVRKIV LATNIAETSITVNDIVHVVDSGLHKEERYDLKTKVSCLETVWVSRANVIQRRGRAGRCQS GFAYHLFPRSRLEKMVPFQVPEILRTPLENLVLQAKIHMPEKTAVEFLSKAVDSPNIKAV DEAVILLQEIGVLDQREYLTTLGQRLAHISTDPRLAKAIVLAAIFRCLHPLLVVVSCLTR DPFSSSLQNRAEVDKVKALLSHDSGSDHLAFVRAVAGWEEVLRWQDRTSRENYLEENLLY APSLRFIHGLIKQFSENIYEAFLVGKPSDCTLPSAQCNEYSEEEELVKGVLMAGLYPNLI QVRQGKVTRQGKFKPNSVTYRTKSGNILLHKSTINREATRLRSRWLTYFMAVKSNGSVFV RDSSQVHPLAVLLLTDGDVHIRDDGRRATISLSDSDLLRLEGDSRTVRLLREFRRALGRM VERSLRSELAALPLSVQQEHGQLLALLAELLRGPCGSFDMRKTADD >ENSMUSP00000107622.2 pep:known chromosome:GRCm38:9:110084322:110117411:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000111991.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MFSLDSFRKDRTQHRQRQCKLPPPRLPPMCVNPAPGGTITRASRDLLKEFPQPKNLLNSV IGRALGISHAKDKLVYVHTNGPKKKKVTLHIKWPKSVEVEGYGSKKIDAERQAAAAACQL FKGWGLLGPRNELFDAAKYRVLADRFGSPADSWWRPEPTMPPTSWRQLNPENIRPGGPAG LSRSLGREEEEDEEEELEEGTIDVTEFLSMTQQDSHNPLRDSRGGSFEMTDDDSAIRALT QFPLPKNLLAKVIQIATSSSTAKNLMQFHTVGTKTKLATLTLLWPCPMTFVAKGRRKAEA ENKAAALACKKLKSLGLVDRNNEPLTHAMYNLASLRELGETQRRPCTIQVPEPILRKIEA FLSHYPVDSSWISPELRLQSDDILPLGKDSGPLSDPITGKPYMPLSEAEEVRLSQSLLEL WRRRGPIWQEAPQLPVDPHRDTILSAIEQHPVVVISGDTGCGKTTRIPQLLLERYVTEGR GARCNVIITQPRRISAVSVAQRVSHELGPSLRRNVGFQVRLESKPPARGGALLFCTVGIL LRKLQSNPSLEGVSHVIVDEVHERDVNTDFLLILLKGLQRLNPALRLVLMSATGDNERFS RYFGGCPVIKVPGFMYPVKEHYLEDILAKLGKHQYPHRHRHHESEDECALDLDLVTDLVL HIDARGEPGGILCFLPGWQEIKGVQQRLQEALGMHESKYLILPVHSNIPMMDQKAIFQQP PLGVRKIVLATNIAETSITVNDIVHVVDSGLHKEERYDLKTKVSCLETVWVSRANVIQRR GRAGRCQSGFAYHLFPRSRLEKMVPFQVPEILRTPLENLVLQAKIHMPEKTAVEFLSKAV DSPNIKAVDEAVILLQEIGVLDQREYLTTLGQRLAHISTDPRLAKAIVLAAIFRCLHPLL VVVSCLTRDPFSSSLQNRAEVDKVKALLSHDSGSDHLAFVRAVAGWEEVLRWQDRTSREN YLEENLLYAPSLRFIHGLIKQFSENIYEAFLVGKPSDCTLPSAQCNEYSEEEELVKGVLM AGLYPNLIQVRQGKVTRQGKFKPNSVTYRTKSGNILLHKSTINREATRLRSRWLTYFMAV KSNGSVFVRDSSQVHPLAVLLLTDGDVHIRDDGRRATISLSDSDLLRLEGDSRTVRLLRE FRRALGRMVERSLRSELAALPLSVQQEHGQLLALLAELLRGPCGSFDMRKTADD >ENSMUSP00000143371.1 pep:known chromosome:GRCm38:9:110084323:110117335:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000200066.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MFSLDSFRKDRTQHRQRQCKLPPPRLPPMCVNPAPGGTITRASRDLLKEFPQPKNLLNSV IGRALGISHAKDKLVYVHTNGPKKKKVTLHIKWPKSVEVEGYGSKKIDAERQAAAAACQL FKGWGLLGPRNELFDAAKYRVLADRFGSPADSWWRPEPTMPPTSWRQLNPENIRPGGPAG LSRSLGREEEEDEEEELEEGTIDVTEFLSMTQQDSHNPLRDSRGGSFEMTDDDSAIRALT QFPLPKNLLAKVIQIATSSSTAKNLMQFHTVGTKTKLATLTLLWPCPMTFVAKGRRKAEA ENKAAALACKKLKSLGLVDRNNEPLTHAMYNLASLRELGETQRRPCTIQVPEPILRKIEA FLSHYPVDSSWISPELRLQSDDILPLGKDSGPLSDPITGKPYMPLSEAEEVRLSQSLLEL WRRRGPIWQEAPQLPVDPHRDTILSAIEQHPVVVISGDTGCGKTTRIPQLLLERYVTEGR GARCNVIITQPRRISAVSVAQRVSHELGPSLRRNVGFQVRLESKPPARGGALLFCTVGIL LRKLQSNPSLEGVSHVIVDEVHERDVNTDFLLILLKGLQRLNPALRLVLMSATGDNERFS RYFGGCPVIKVPGFMYPVKEHYLEDILAKLGKHQYPHRHRHHESEDECALDLDLVTDLVL HIDARGEPGGILCFLPGWQEIKGVQQRLQEALGMHESKYLILPVHSNIPMMDQKAIFQQP PLGVRKIVLATNIAETSITVNDIVHVVDSGLHKEERYDLKTKVSCLETVWVSRANVIQRR GRAGRCQSGFAYHLFPRSRLEKMVPFQVPEILRTPLENLVLQAKIHMPEKTAVEFLSKAV DSPNIKAVDEAVILLQEIGVLDQREYLTTLGQRLAHISTDPRLAKAIVLAAIFRCLHPLL VVVSCLTRDPFSSSLQNRAEVDKVKALLSHDSGSDHLAFVRAVAGWEEVLRWQDRTSREN YLEENLLYAPSLRFIHGLIKQFSENIYEAFLVGKPSDCTLPSAQCNEYSEEEELVKGVLM AGLYPNLIQVRQGKVTRQGKFKPNSVTYRTKSGNILLHKSTINREATRLRSRWLTYFMAV KSNGSVFVRDSSQVHPLAVLLLTDGDVHIRDDGRRATISLSDSDLLRLEGDSRTVRLLRE FRRALGRMVERSLRSELAALPLSVQQEHGQLLALLAELLRGPCGSFDMRKTADD >ENSMUSP00000143607.1 pep:known chromosome:GRCm38:9:110084518:110085515:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000200593.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] XVAGWEEVLRWQDRTSRENYLEENLLYAPSLRFIHGLIKQFSENIYEAFLVGKPSDCTLP SAQCNEYSEEEELVKGVLMAGLYPNLIQVRQGKVTRQGKFKPNSVTYRTKSGNILLHKST INRWVATRVTYRGTQRMTRCVASHSILFPREATRLRSRWLTYFMAVKSNGSVFVRDSSQV HPLAVLLLTDGDVHIRDDGRRATISLSDSDLLRLEGDSRTVRLLREFRRALGRMVERSL >ENSMUSP00000142836.1 pep:known chromosome:GRCm38:9:110092960:110101039:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000198443.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MAAARRLMALAAGVSPRLRPPDPLVASGRQGCSRGFSSSFVRSDGTQEAAEVESEVAPSE PGEGDGSMVNGTY >ENSMUSP00000142636.1 pep:known chromosome:GRCm38:9:110093068:110116784:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000199835.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MVTPVCNSSTWQPKDSSFLSWPEMFSLDSFRKDRTQHRQRQCKLPPPRLPPMCVNPAPGG TITRASRDLLKEFPQPKNLLNSVIGRALGISHAKDKLVYVHTNGPKKKKVTLHIKWPKSV EVEGYGSKKIDAERQAAAAACQLFKGWGLLGPRNELFDAAKYRVLADRFGSPADS >ENSMUSP00000143751.1 pep:known chromosome:GRCm38:9:110093110:110101038:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000196455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] GGIAMAAARRLMALAAGVSPRLRPPDPLVASGRQGCSRGFSSSFVRSDGTQEAAEVESEV APSEPGEGDGSMVNASRDLLKEFPQPKNLLNSVIGRALGISHAKDKLVYVHTNGPKKKGW GLLGPRNELFDAAK >ENSMUSP00000143529.1 pep:known chromosome:GRCm38:9:110098749:110115306:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000196497.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MVTPVCNSSTWQPKDSSFLSWPEMFSLDSFRKDRTQHRQRQCKLPPPRLPPMCVNPAPGG TITRASRDLLKEFPQPKNLLNSVIGRALGISHAKDKLVYVH >ENSMUSP00000143700.1 pep:known chromosome:GRCm38:9:110098837:110117087:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000200476.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MFSLDSFRKDRTQHRQRQCKLPPPRLPPMCVNPAPGGTITRASRDLLKE >ENSMUSP00000142846.1 pep:known chromosome:GRCm38:9:110109114:110117419:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000197182.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MFSLDSFRKDRT >ENSMUSP00000129174.1 pep:known chromosome:GRCm38:9:110084321:110115077:-1 gene:ENSMUSG00000032480.17 transcript:ENSMUST00000165596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx30 description:DEAH (Asp-Glu-Ala-His) box polypeptide 30 [Source:MGI Symbol;Acc:MGI:1920081] MVTPVCNSSTWQPKDSSFLSWPEMFSLDSFRKDRTQHRQRQCKLPPPRLPPMCVNPAPGG TITRASRDLLKEFPQPKNLLNSVIGRALGISHAKDKLVYVHTNGPKKKKVTLHIKWPKSV EVEGYGSKKIDAERQAAAAACQLFKGWGLLGPRNELFDAAKYRVLADRFGSPADSWWRPE PTMPPTSWRQLNPENIRPGGPAGLSRSLGREEEEDEEEELEEGTIDVTEFLSMTQQDSHN PLRDSRGGSFEMTDDDSAIRALTQFPLPKNLLAKVIQIATSSSTAKNLMQFHTVGTKTKL ATLTLLWPCPMTFVAKGRRKAEAENKAAALACKKLKSLGLVDRNNEPLTHAMYNLASLRE LGETQRRPCTIQVPEPILRKIEAFLSHYPVDSSWISPELRLQSDDILPLGKDSGPLSDPI TGKPYMPLSEAEEVRLSQSLLELWRRRGPIWQEAPQLPVDPHRDTILSAIEQHPVVVISG DTGCGKTTRIPQLLLERYVTEGRGARCNVIITQPRRISAVSVAQRVSHELGPSLRRNVGF QVRLESKPPARGGALLFCTVGILLRKLQSNPSLEGVSHVIVDEVHERDVNTDFLLILLKG LQRLNPALRLVLMSATGDNERFSRYFGGCPVIKVPGFMYPVKEHYLEDILAKLGKHQYPH RHRHHESEDECALDLDLVTDLVLHIDARGEPGGILCFLPGWQEIKGVQQRLQEALGMHES KYLILPVHSNIPMMDQKAIFQQPPLGVRKIVLATNIAETSITVNDIVHVVDSGLHKEERY DLKTKVSCLETVWVSRANVIQRRGRAGRCQSGFAYHLFPRSRLEKMVPFQVPEILRTPLE NLVLQAKIHMPEKTAVEFLSKAVDSPNIKAVDEAVILLQEIGVLDQREYLTTLGQRLAHI STDPRLAKAIVLAAIFRCLHPLLVVVSCLTRDPFSSSLQNRAEVDKVKALLSHDSGSDHL AFVRAVAGWEEVLRWQDRTSRENYLEENLLYAPSLRFIHGLIKQFSENIYEAFLVGKPSD CTLPSAQCNEYSEEEELVKGVLMAGLYPNLIQVRQGKVTRQGKFKPNSVTYRTKSGNILL HKSTINREATRLRSRWLTYFMAVKSNGSVFVRDSSQVHPLAVLLLTDGDVHIRDDGRRAT ISLSDSDLLRLEGDSRTVRLLREFRRALGRMVERSLRSELAALPLSVQQEHGQLLALLAE LLRGPCGSFDMRKTADD >ENSMUSP00000146426.1 pep:known chromosome:GRCm38:2:111983134:111984097:-1 gene:ENSMUSG00000109528.1 transcript:ENSMUST00000207885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1309 MYGMNCSVVSEFVFLGITNIWEVQFLLFFFTLLFYFASMIGNLVIVLTVTLDPHLNSPLY FLLANLSVIDMIFCSITAPKMICDIFKKHKTISFWGCITQIFFSHAVGGTEMVLLIAMAF DRYVAICKPLHYLIIMSPRVCLFFLITSWVIGLIHSVVQLVFVVDLPFCGPNTLDSFYCD LPRLLRLACTNTQELELMVTVNSGLISVGSFLLLVISYIFILFTVWKHSSCGLSKALSTL SAHITVVILFFGPLMFFYTWPSPTSHLDKYLAIFDAFITPFLNPVIYTFRNKDMKVAMGR LWGYLRHYRKMS >ENSMUSP00000056814.8 pep:known chromosome:GRCm38:17:34325665:34340229:1 gene:ENSMUSG00000067341.5 transcript:ENSMUST00000050325.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Eb2 description:histocompatibility 2, class II antigen E beta2 [Source:MGI Symbol;Acc:MGI:95902] MVSLWLPRGLCVAAVILSLMMLTPPVILVRDPRPRFLEQLKAECHYFNGKERVWSVTRFI YNQEEFARFNSDFGKFLAVTELGRPIVEYLNTQKDMLDNYRASVDRCRNNYDLVDIFMLN LKAEPKVTVYPAKTQPLEHHNLLVCSVIDFYPGSIEVRWFRNGEEEKTGVVSTGLIQNRD WTYQTLVMLEMVPRGGEVYTCQVEHPSLTSPVTVEWRARSTSAQNKLLSGVMGMALGLFI LAVGLFFYLRNLRAGSVSHGAGEGAESFTSRLTGSGSECHMGPGLSI >ENSMUSP00000069728.5 pep:known chromosome:GRCm38:5:147188696:147190947:1 gene:ENSMUSG00000053129.5 transcript:ENSMUST00000065382.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsx1 description:GS homeobox 1 [Source:MGI Symbol;Acc:MGI:95842] MPRSFLVDSLVLREASDKKAPEGSPPPLFPYAVPPPHALHGLSPGACHARKAGLLCVCPL CVTASQLHGPPGPPALPLLKASFPPFGSQYCHAPLGRQHSVSPGVAHGPAAAAAAAALYQ TSYPLPDPRQFHCISVDSSSNQLPSSKRMRTAFTSTQLLELEREFASNMYLSRLRRIEIA TYLNLSEKQVKIWFQNRRVKHKKEGKGSNHRGGAGAGAGGGAPQGCKCSSLSSAKCSEDD DELPMSPSSSGKDDRDLTVTP >ENSMUSP00000113543.1 pep:known chromosome:GRCm38:10:33905485:33915883:1 gene:ENSMUSG00000039552.13 transcript:ENSMUST00000118315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph4a description:radial spoke head 4 homolog A (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:3027894] MLEVAIQNAKAYLLSTSSKSGLNLYDHLSKVLTKILDERPADAVDIIENISQDVKMAHFN KKLDTLHNEYEMLPAYEIAETQKALFLQGHLEGADSELEEEMAESSLPNVMESAYYFEQA GVGLGTDETYRVFLALKQLTDTHPIQRCRFWGKILGLEMNYIVAEVEFRDGEDEEEVEEE GIAEERDNGGSEAGEEEEEELPKSLYKAPQVIPKEESRTGANKYVYFVCNVPGRPWVRLP SVTPAQIVTARKIKKFFTGRLDAAVISYPPFPGNESNYLRAQIARISAGTHVSPLGFYQF GEEEGEEEEVEGGRDSYEENPDFEGIQVIDLVESLSNWVHHVQYILPQGRCNWFNPIQKD EDEEEEEEEDEEKGEEPDYIEQEVGPPLLTPISEDLGIQNIPSWTTQLSSNLIPQYAIAV LRSNLWPGAYAFSNGKKFENFYIGWGHKYCVENYTPPSPPPVYQEYPSGPEITEMNDPSV EEEQAFRMTQEPVALSTEENEGTEDEDEDDED >ENSMUSP00000131647.1 pep:known chromosome:GRCm38:10:33905111:33916021:1 gene:ENSMUSG00000039552.13 transcript:ENSMUST00000169670.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph4a description:radial spoke head 4 homolog A (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:3027894] MENSTSLKQEKENQEPGEAERLWQGESDVSPQEPGPPSPEYREEEQRTDTEPAPRMSPSW SHQSRVSLSTGDLTAGPEVSSSPPPPPLQFHSTPLNTETTQDPVAASPTEKTANGIADTG TPYSDPWESSSAAKQSTSHYTSHAEESTFPQSQTPQPDLCGLRDASRNKSKHKGLRFDLL QEEGSDSNCDPDQPEVGASEAAQSMLEVAIQNAKAYLLSTSSKSGLNLYDHLSKVLTKIL DERPADAVDIIENISQDVKMAHFNKKLDTLHNEYEMLPAYEIAETQKALFLQGHLEGADS ELEEEMAESSLPNVMESAYYFEQAGVGLGTDETYRVFLALKQLTDTHPIQRCRFWGKILG LEMNYIVAEVEFRDGEDEEEVEEEGIAEERDNGGSEAGEEEEEELPKSLYKAPQVIPKEE SRTGANKYVYFVCNVPGRPWVRLPSVTPAQIVTARKIKKFFTGRLDAAVISYPPFPGNES NYLRAQIARISAGTHVSPLGFYQFGEEEGEEEEVEGGRDSYEENPDFEGIQVIDLVESLS NWVHHVQYILPQGRCNWFNPIQKDEDEEEEEEEDEEKGEEPDYIEQEVGPPLLTPISEDL GIQNIPSWTTQLSSNLIPQYAIAVLRSNLWPGAYAFSNGKKFENFYIGWGHKYCVENYTP PSPPPVYQEYPSGPEITEMNDPSVEEEQAFRMTQEPVALSTEENEGTEDEDEDDED >ENSMUSP00000139034.1 pep:known chromosome:GRCm38:2:29194541:29248125:-1 gene:ENSMUSG00000035513.19 transcript:ENSMUST00000183583.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ntng2 description:netrin G2 [Source:MGI Symbol;Acc:MGI:2159341] MLRLLALFLHCLPLVSGDYDICKSWVTTDEGPTWEFYACQPKVMRLKDYVKVKVEPSGIT CGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDREDEGLATYWQSVTWSRYPSPLE ANITLSWNKSVELTDDVVVTFEYGRPTVMVLEKSLDNGRTWQPYQFYAEDCMEAFGMSAR RARDMSPSSAHRVLCTEEYSRWAGSKKEKHVRFEVRDRFAIFAGPDLRNMDNLYTRMESA KGLKEFFTFTDLRMRLLRPALGGTYVQRENLYKYFYAISNIEVIGRCKCNLHANLCTVRE GSLQCECEHNTTGPDCGRCKKNFRTRAWRAGSYLPLPHGSPNACTYVACPAATASATATP TVAATLTS >ENSMUSP00000035468.2 pep:known chromosome:GRCm38:2:29194821:29248040:-1 gene:ENSMUSG00000035513.19 transcript:ENSMUST00000048455.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng2 description:netrin G2 [Source:MGI Symbol;Acc:MGI:2159341] MLRLLALFLHCLPLVSGDYDICKSWVTTDEGPTWEFYACQPKVMRLKDYVKVKVEPSGIT CGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDREDEGLATYWQSVTWSRYPSPLE ANITLSWNKSVELTDDVVVTFEYGRPTVMVLEKSLDNGRTWQPYQFYAEDCMEAFGMSAR RARDMSPSSAHRVLCTEEYSRWAGSKKEKHVRFEVRDRFAIFAGPDLRNMDNLYTRMESA KGLKEFFTFTDLRMRLLRPALGGTYVQRENLYKYFYAISNIEVIGRCKCNLHANLCTVRE GSLQCECEHNTTGPDCGRCKKNFRTRAWRAGSYLPLPHGSPNACAAAGSAFGSQTKPPTM APLGDSSFWPQVSSSAEAVAISVAVPSQAKDSTLFELKPRSPQVIPIEEFQDCECYGHSN RCSYIDFLNVVTCVSCKHNTRGQHCQHCRLGYYRNGSAELDDENVCIECNCNQIGSVHDR CNETGFCECREGAVGPKCDDCLPTHYWRQGCYPNVCDDDQLLCQNGGTCQQNQRCACPPG YTGIRCEQPRCDLADDAGPDCDRAPGIVPRPDTLLGCLLLLGLAARLAC >ENSMUSP00000099937.1 pep:known chromosome:GRCm38:2:29194821:29248040:-1 gene:ENSMUSG00000035513.19 transcript:ENSMUST00000102873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng2 description:netrin G2 [Source:MGI Symbol;Acc:MGI:2159341] MLRLLALFLHCLPLVSGDYDICKSWVTTDEGPTWEFYACQPKVMRLKDYVKVKVEPSGIT CGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDREDEGLATYWQSVTWSRYPSPLE ANITLSWNKSVELTDDVVVTFEYGRPTVMVLEKSLDNGRTWQPYQFYAEDCMEAFGMSAR RARDMSPSSAHRVLCTEEYSRWAGSKKEKHVRFEVRDRFAIFAGPDLRNMDNLYTRMESA KGLKEFFTFTDLRMRLLRPALGGTYVQRENLYKYFYAISNIEVIGRCKCNLHANLCTVRE GSLQCECEHNTTGPDCGRCKKNFRTRAWRAGSYLPLPHGSPNACAAAGSAFGNCECYGHS NRCSYIDFLNVVTCVSCKHNTRGQHCQHCRLGYYRNGSAELDDENVCIECNCNQIGSVHD RCNETGFCECREGAVGPKCDDCLPTHYWRQGCYPNVCDDDQLLCQNGGTCQQNQRCACPP GYTGIRCEQPRCDLADDAGPDCDRAPGIVPRPDTLLGCLLLLGLAARLAC >ENSMUSP00000088688.2 pep:known chromosome:GRCm38:2:29194821:29248040:-1 gene:ENSMUSG00000035513.19 transcript:ENSMUST00000091153.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng2 description:netrin G2 [Source:MGI Symbol;Acc:MGI:2159341] MLRLLALFLHCLPLVSGDYDICKSWVTTDEGPTWEFYACQPKVMRLKDYVKVKVEPSGIT CGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDREDEGLATYWQSVTWSRYPSPLE ANITLSWNKSVELTDDVVVTFEYGRPTVMVLEKSLDNGRTWQPYQFYAEDCMEAFGMSAR RARDMSPSSAHRVLCTEEYSRWAGSKKEKHVRFEVRDRFAIFAGPDLRNMDNLYTRMESA KGLKEFFTFTDLRMRLLRPALGGTYVQRENLYKYFYAISNIEVIGRCKCNLHANLCTVRE GSLQCECEHNTTGPDCGRCKKNFRTRAWRAGSYLPLPHGSPNACAAAGSAFGTVAISVAV PSQAKDSTLFELKPRSPQVIPIEEFQDCECYGHSNRCSYIDFLNVVTCVSCKHNTRGQHC QHCRLGYYRNGSAELDDENVCIECNCNQIGSVHDRCNETGFCECREGAVGPKCDDCLPTH YWRQGCYPNVCDDDQLLCQNGGTCQQNQRCACPPGYTGIRCEQPRCDLADDAGPDCDRAP GIVPRPDTLLGCLLLLGLAARLAC >ENSMUSP00000071190.4 pep:known chromosome:GRCm38:2:29203822:29253005:-1 gene:ENSMUSG00000035513.19 transcript:ENSMUST00000071201.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng2 description:netrin G2 [Source:MGI Symbol;Acc:MGI:2159341] MLRLLALFLHCLPLVSGDYDICKSWVTTDEGPTWEFYACQPKVMRLKDYVKVKVEPSGIT CGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDREDEGLATYWQSVTWSRYPSPLE ANITLSWNKSVELTDDVVVTFEYGRPTVMVLEKSLDNGRTWQPYQFYAEDCMEAFGMSAR RARDMSPSSAHRVLCTEEYSRWAGSKKEKHVRFEVRDRFAIFAGPDLRNMDNLYTRMESA KGLKEFFTFTDLRMRLLRPALGGTYVQRENLYKYFYAISNIEVIGRCKCNLHANLCTVRE GSLQCECEHNTTGPDCGRCKKNFRTRAWRAGSYLPLPHGSPNASSHLNMAHSPHAPKDLL LLQESAAKGLSVSNYGRPRALRMLPRTSSCFCGDTLPDCLISARLTPVWDASGQKETGLC KHHWPQHQSK >ENSMUSP00000136659.1 pep:known chromosome:GRCm38:2:29194794:29248099:-1 gene:ENSMUSG00000035513.19 transcript:ENSMUST00000177689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng2 description:netrin G2 [Source:MGI Symbol;Acc:MGI:2159341] MLRLLALFLHCLPLVSGDYDICKSWVTTDEGPTWEFYACQPKVMRLKDYVKVKVEPSGIT CGDPPERFCSHENPYLCSNECDASNPDLAHPPRLMFDREDEGLATYWQSVTWSRYPSPLE ANITLSWNKSVELTDDVVVTFEYGRPTVMVLEKSLDNGRTWQPYQFYAEDCMEAFGMSAR RARDMSPSSAHRVLCTEEYSRWAGSKKEKHVRFEVRDRFAIFAGPDLRNMDNLYTRMESA KGLKEFFTFTDLRMRLLRPALGGTYVQRENLYKYFYAISNIEVIGRCKCNLHANLCTVRE GSLQCECEHNTTGPDCGRCKKNFRTRAWRAGSYLPLPHGSPNACTYAGQPFSDCECYGHS NRCSYIDFLNVVTCVSCKHNTRGQHCQHCRLGYYRNGSAELDDENVCIECNCNQIGSVHD RCNETGFCECREGAVGPKCDDCLPTHYWRQGCYPNVCDDDQLLCQNGGTCQQNQRCACPP GYTGIRCEQPRCDLADDAGPDCDRAPGIVPRPDTLLGCLLLLGLAARLAC >ENSMUSP00000135692.1 pep:known chromosome:GRCm38:18:64340364:64398488:1 gene:ENSMUSG00000045991.18 transcript:ENSMUST00000175965.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Onecut2 description:one cut domain, family member 2 [Source:MGI Symbol;Acc:MGI:1891408] MNPELTMESLGTLHGPVGGGSGGGGGGGGGGGGGGPGHEQELLASPSPHHAGRGAAGSLR GPPPPTAHQELGTAAAAAAAASRSAMVTSMASILDGSDYRPELSIPLHHAMSMSCDSSPP GMGMSNTYTTLTPLQPLPPISTVSDKFHHPHPHHHPHHHHHHHHHHQRLSGNVSGSFTLM RDERGLPSMNNLYSPYKEMPSMSQSLSPLAATPLGNGLGGLHNAQQSLPNYGPPGHDKML SPNFDAHHTAMLTRGEQHLSRGLGTPPAAMMSHLNGLHHPGHTQSHGPVLAPSRERPPSS SSGSQVATSGQLEEINTKEVAQRITAELKRYSIPQAIFAQRVLCRSQGTLSDLLRNPKPW SKLKSGRETFRRMWKWLQEPEFQRMSALRLAACKRKEQEPNKDRNNSQKKSRLVFTDLQR RTLFAIFKENKRPSKEMQITISQQLGLELTTVSNFFMNARRRSLEKWQDDLGTGGSSSTS STCTKA >ENSMUSP00000110798.3 pep:known chromosome:GRCm38:18:64340364:64398486:1 gene:ENSMUSG00000045991.18 transcript:ENSMUST00000115145.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Onecut2 description:one cut domain, family member 2 [Source:MGI Symbol;Acc:MGI:1891408] MKAAYTAYRCLTKDLEGCAMNPELTMESLGTLHGPVGGGSGGGGGGGGGGGGGGPGHEQE LLASPSPHHAGRGAAGSLRGPPPPTAHQELGTAAAAAAAASRSAMVTSMASILDGSDYRP ELSIPLHHAMSMSCDSSPPGMGMSNTYTTLTPLQPLPPISTVSDKFHHPHPHHHPHHHHH HHHHHQRLSGNVSGSFTLMRDERGLPSMNNLYSPYKEMPSMSQSLSPLAATPLGNGLGGL HNAQQSLPNYGPPGHDKMLSPNFDAHHTAMLTRGEQHLSRGLGTPPAAMMSHLNGLHHPG HTQSHGPVLAPSRERPPSSSSGSQVATSGQLEEINTKEVAQRITAELKRYSIPQAIFAQR VLCRSQGTLSDLLRNPKPWSKLKSGRETFRRMWKWLQEPEFQRMSALRLAACKRKEQEPN KDRNNSQKKSRLVFTDLQRRTLFAIFKENKRPSKEMQITISQQLGLELTTVSNFFMNARR RSLEKWQDDLGTGGSSSTSSTCTKA >ENSMUSP00000146263.1 pep:known chromosome:GRCm38:7:25721165:25754757:-1 gene:ENSMUSG00000040725.13 transcript:ENSMUST00000206832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpul1 description:heterogeneous nuclear ribonucleoprotein U-like 1 [Source:MGI Symbol;Acc:MGI:2443517] MDVRRLKVNELREELQRRGLDTRGLKAELAERLLAALEAEEPEDERELEADDDPGLPGHN NEEVETEGGSELEGTAQPPPPGLQPHPEPGGYSGPDGHYVMDNITRQNQFYETPVIKQEN ESSYDRRPLDMEPQQQVYHPELKTEMKQEAPPSFLPPEASQLKTDRPQFQNRKRPFEENR GRGYFEHREDRRGRSPQPPAEEDEDDFDDTLVAIDTYNCDLHFKVARDRSSGYPLTIEGF AYLWSGARASYGVRRGRVCFEMKINEEISVKHLPSTEPDPHVVRIGWSLDSCSTQLGEEP FSYGYGGTGKKSTNSRFENYGDKFAENDVIGCFADFECGNDVELSFTKNGKWMGIAFRIQ KEALGGQALYPHVLVKNCAVEFNFGQRAEPYCSVLPGFTFIQHLPLSERIRGTIGPKSKA ECEILMMVGLPAAGKTTWAIKHAASNPSKKYNILGTNAIMDKMRVMGLRRQRNYAGRWDV LIQQATQCLNRLIQIAARKKRNYILDQTNVYGSAQRRKMRPFEGFQRKAIVICPTDEDLK DRTVKRTDEEGKDVPDHAVLEMKANFTLPDVGDFLDEVLFIELQREEADKLVRQYNEEGR KAGPPPEKRFDSRGGGFRGRGGGGGFQRYDNRGPPGGNRGGFQNRGGGGGSGGGGGNYRG GFNRSGGGGYNQNRWGNNNRDNNNSNNRGNYNRAPQQQPPPQQPPPPQPPPQQPPPPPSY SPARNPPGASSYNKNSNIPGSSANTSTPTVSSYTPPQPSYSQPPYNQGGYTQGYTAPPPP PPPPPAYNYGSYGPYNPAPYTPPPPPTAQTYPQPSYNQYQQYAQQWSQYYQNQSQWPPYY GNYDYGGYSGSTQGGTSTQ >ENSMUSP00000037268.8 pep:known chromosome:GRCm38:7:25721482:25754750:-1 gene:ENSMUSG00000040725.13 transcript:ENSMUST00000043765.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpul1 description:heterogeneous nuclear ribonucleoprotein U-like 1 [Source:MGI Symbol;Acc:MGI:2443517] MDNITRQNQFYETPVIKQENESSYDRRPLDMEPQQQVYHPELKTEMKQEAPPSFLPPEAS QLKTDRPQFQNRKRPFEENRGRGYFEHREDRRGRSPQPPAEEDEDDFDDTLVAIDTYNCD LHFKVARDRSSGYPLTIEGFAYLWSGARASYGVRRGRVCFEMKINEEISVKHLPSTEPDP HVVRIGWSLDSCSTQLGEEPFSYGYGGTGKKSTNSRFENYGDKFAENDVIGCFADFECGN DVELSFTKNGKWMGIAFRIQKEALGGQALYPHVLVKNCAVEFNFGQRAEPYCSVLPGFTF IQHLPLSERIRGTIGPKSKAECEILMMVGLPAAGKTTWAIKHAASNPSKKYNILGTNAIM DKMRVMGLRRQRNYAGRWDVLIQQATQCLNRLIQIAARKKRNYILDQTNVYGSAQRRKMR PFEGFQRKAIVICPTDEDLKDRTVKRTDEEGKDVPDHAVLEMKANFTLPDVGDFLDEVLF IELQREEADKLVRQYNEEGRKAGPPPEKRFDSRGGGFRGRGGGGGFQRYDNRGPPGGNRG GFQNRGGGGGSGGGGGNYRGGFNRSGGGGYNQNRWGNNNRDNNNSNNRGNYNRAPQQQPP PQQPPPPQPPPQQPPPPPSYSPARNPPGASSYNKNSNIPGSSANTSTPTVSSYTPPQPSY SQPPYNQGGYTQGYTAPPPPPPPPPAYNYGSYGPYNPAPYTPPPPPTAQTYPQPSYNQYQ QYAQQWSQYYQNQSQWPPYYGNYDYGGYSGSTQGGTSTQ >ENSMUSP00000104038.1 pep:known chromosome:GRCm38:7:25736590:25754701:-1 gene:ENSMUSG00000040725.13 transcript:ENSMUST00000108401.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpul1 description:heterogeneous nuclear ribonucleoprotein U-like 1 [Source:MGI Symbol;Acc:MGI:2443517] MDVRRLKVNELREELQRRGLDTRGLKAELAERLLAALEAEEPEDERELEADDDPGLPGHN NEEVETEGGSELEGTAQPPPPGLQPHPEPGGYSGPDGHYVMDNITRQNQFYETPVIKQEN ESSYDRRPLDMEPQQQVYHPELKTEMKQEAPPSFLPPEASQLKTDRPQFQNRKRPFEENR GRGYFEHREDRRGRSPQPPAEEDEDDFDDTLVAIDTYNCDLHFKVARDRSSGYPLTIEGF AYLWSGARASYGVRRGRVCFEMKINEEISVKHLPSTEPDPHVVRIGWSLDSCSTQLGEEP FSYGYGGTGKKSTNSRFENYGDKFAENDVIGCFAVLELQV >ENSMUSP00000082729.5 pep:known chromosome:GRCm38:5:147269959:147275848:1 gene:ENSMUSG00000029644.7 transcript:ENSMUST00000085591.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdx1 description:pancreatic and duodenal homeobox 1 [Source:MGI Symbol;Acc:MGI:102851] MNSEEQYYAATQLYKDPCAFQRGPVPEFSANPPACLYMGRQPPPPPPPQFTSSLGSLEQG SPPDISPYEVPPLASDDPAGAHLHHHLPAQLGLAHPPPGPFPNGTEPGGLEEPNRVQLPF PWMKSTKAHAWKGQWAGGAYTAEPEENKRTRTAYTRAQLLELEKEFLFNKYISRPRRVEL AVMLNLTERHIKIWFQNRRMKWKKEEDKKRSSGTPSGGGGGEEPEQDCAVTSGEELLAVP PLPPPGGAVPPGVPAAVREGLLPSGLSVSPQPSSIAPLRPQEPR >ENSMUSP00000023214.4 pep:known chromosome:GRCm38:15:76502015:76511951:-1 gene:ENSMUSG00000022555.11 transcript:ENSMUST00000023214.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgat1 description:diacylglycerol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:1333825] MGDRGGAGSSRRRRTGSRVSVQGGSGPKVEEDEVRDAAVSPDLGAGGDAPAPAPAPAHTR DKDGRTSVGDGYWDLRCHRLQDSLFSSDSGFSNYRGILNWCVVMLILSNARLFLENLIKY GILVDPIQVVSLFLKDPYSWPAPCVIIASNIFVVAAFQIEKRLAVGALTEQMGLLLHVVN LATIICFPAAVALLVESITPVGSVFALASYSIMFLKLYSYRDVNLWCRQRRVKAKAVSTG KKVSGAAAQQAVSYPDNLTYRDLYYFIFAPTLCYELNFPRSPRIRKRFLLRRVLEMLFFT QLQVGLIQQWMVPTIQNSMKPFKDMDYSRIIERLLKLAVPNHLIWLIFFYWFFHSCLNAV AELLQFGDREFYRDWWNAESVTYFWQNWNIPVHKWCIRHFYKPMLRHGSSKWVARTGVFL TSAFFHEYLVSVPLRMFRLWAFTAMMAQVPLAWIVGRFFQGNYGNAAVWVTLIIGQPVAV LMYVHDYYVLNYDAPVGV >ENSMUSP00000123802.1 pep:known chromosome:GRCm38:15:76502524:76503945:-1 gene:ENSMUSG00000022555.11 transcript:ENSMUST00000162354.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgat1 description:diacylglycerol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:1333825] XSIMFLKLYSYRDVNLWCRQRRVKAKAVSTGKKVSGAAAQQAVSYPDNLTYRDLYYFIFA PTLCYELNFPRSPRIRKRFLLRRVLEMLFFTQLQVGLIQQWMVPTIQNSMKPFKSFCSLE TASSTEIGGMLSLSPTFGRTGISPCTSGASDTSTSLCSDMAAANGWPGQEYF >ENSMUSP00000123779.1 pep:known chromosome:GRCm38:15:76503276:76506605:-1 gene:ENSMUSG00000022555.11 transcript:ENSMUST00000160293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgat1 description:diacylglycerol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:1333825] XNYRGILNWCVVMLILSNARLFLENLIKYGILVDPIQIEKRLAVGALTEQMGLLLHVVNL ATIICFPAAVALLVESITPVGSVFALASYSIMFLKLYSYRDVNLWCRQRRVKAKAVSTGK KVSGAAAQQAVSYPDNLTYRDLYYFIFAPTLCYELNFPRSPRIRKRFLLRRVLEMLFFTQ LQVGLIQQWMVPTIQNSMKPF >ENSMUSP00000098382.4 pep:known chromosome:GRCm38:14:26063919:26067355:-1 gene:ENSMUSG00000072680.11 transcript:ENSMUST00000100819.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem254c description:transmembrane protein 254c [Source:MGI Symbol;Acc:MGI:3711260] MGTATGAGYFQRGSLFWFTVITVSFGYYTWAVFWPQSIPYQSLGPLGPFTKYLVDHYHTF LRNGYWLAWLIHVGESLYALVLCKRKGITDVQAQLLWFLQTFLFGVASLSILIAYRSKRQ KHN >ENSMUSP00000098381.4 pep:known chromosome:GRCm38:14:26063923:26066961:-1 gene:ENSMUSG00000072680.11 transcript:ENSMUST00000100818.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem254c description:transmembrane protein 254c [Source:MGI Symbol;Acc:MGI:3711260] MVVAKSEARRDPTAYFRVARLWPSLITALGLGYFAWAVFWPQSIPYQSLGPLGPFTKYLV DHYHTFLRNGYWLAWLIHVGESLYALVLCKRKGITDVQAQLLWFLQTFLFGVASLSILIA YRSKRQKHN >ENSMUSP00000076365.2 pep:known chromosome:GRCm38:13:23232115:23233041:-1 gene:ENSMUSG00000061022.3 transcript:ENSMUST00000077116.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r222 description:vomeronasal 1 receptor 222 [Source:MGI Symbol;Acc:MGI:2159679] MVLQFIKETFFFFMTMIGTLGNISVSVDYFFRLLEGVKKKPIHLILIHLAFTNILILLTK GFRKTVAAFGLRNFLDDIGCKSIVYLERVARGLSICTSSLLTVVQAIIISPRASGWRRLR PKYAWHILPFLSFFWVLNGLISVNLIHSTTNTRLNISHFRGDESNCYFMLPSQKIKWIFL PLMVLRDAVFQGAMGGASGYMVFLLYKHHQHVLYLQNSKLLYRTPPELRAAQSVLLLMLC FVFFYWTDCVFSFFLSLSLEEYSLMVNIHEFLTLGYAIFSPFVLIHRDGLLTEQWETLKQ CVSHLSDQ >ENSMUSP00000127781.1 pep:known chromosome:GRCm38:15:10500102:10530702:-1 gene:ENSMUSG00000022249.13 transcript:ENSMUST00000167842.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc23l description:tetratricopeptide repeat domain 23-like [Source:MGI Symbol;Acc:MGI:1923027] QAYSICVSSFSEVSPQTAEASALLAKAYAMSGASEYRDAVEIYFIRSISTYQTLGSEDYE TLTAIEDFCTWLIENGEKQEAYRLLKSTLNSGNFGDCGKKVAETFYNMGSICFAKGELGE AIELLSKCLMIQSLVYGSEHIKSIETKSLLSLLQRASLSRWKKVAAPGKKKSACRDRME >ENSMUSP00000022857.7 pep:known chromosome:GRCm38:15:10503947:10558668:-1 gene:ENSMUSG00000022249.13 transcript:ENSMUST00000022857.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc23l description:tetratricopeptide repeat domain 23-like [Source:MGI Symbol;Acc:MGI:1923027] MARAPAQARGSRGLNCLARSQQTGIPAQETDDLFSTCYCEETEEDTAHQEAGMTVDCLSI PKKKLAQSQKKINQFINSKMSTKANKELIRCFILSQIVFGKEHWKCAQALANLAYGYLTL RGLPAQAKKHAEKARNTLLTWKRNTTSDKDKKEILETLVRLYYTLGVAWLLQNHGKQAYI HLQKAERNMKELKELNNGNICGIQVSEKDLTIALGRASLAMHRMNLALAYFEKAICDVIL DKGHNTSELISLYEEIAQIEQLRKNHKQAIQYLQQAYSICVSSFSEVSPQTAEASALLAK AYAMSGASEYRDAVEIYFIRSISTYQTLGSEDYETLTAIEDFCTWLIENGEKQEAYRLLK STLNSGNFGDCGKKVAETFYNMGSICFAKGELGEAIELLSKCLMIQSLVYGSEHIKSIET KSLLSLLQRWRLKETLEKNRKEASGGETFQKTVITLLQ >ENSMUSP00000131180.1 pep:known chromosome:GRCm38:15:10537626:10558650:-1 gene:ENSMUSG00000022249.13 transcript:ENSMUST00000166039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc23l description:tetratricopeptide repeat domain 23-like [Source:MGI Symbol;Acc:MGI:1923027] MARAPAQARGSRGLNCLARKMQANPICIPTVSNDADWDFCFHLSQQTGIPAQETDDLFST CYCEETEEDTAHQEAGMTVDCLSIPKKKLAQSQKKINQFINSKMSTKANKELIRCFILSQ IVFGKEHWKCAQALANLAYGYLTLRGLPAQAKKHAEKARNTLLTWKRNTTSDKDKKEILE TLVRLYYTLGVAWLLQNHGKQAYIHLQKA >ENSMUSP00000040522.3 pep:known chromosome:GRCm38:15:79251014:79254761:-1 gene:ENSMUSG00000032988.3 transcript:ENSMUST00000039752.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a8 description:solute carrier family 16 (monocarboxylic acid transporters), member 8 [Source:MGI Symbol;Acc:MGI:1929519] MGAGGPRRGAGPPDGGWGWVVLGACFVVTGFAYGFPKAVSVFFRELKRDFGAGYSDTAWV SSIMLAMLYGTGPLSSILVTRFGCRPVMLAGGLLASAGMILASFASRLVELYLTAGVLTG LGLALNFQPSLIMLGLYFERRRPLANGLAAAGSPVFLSMLSPLGQLLGERFGWRGGFLLF GGLLLHCCACGAVMRPPPGPPPRRDPSPHGGPARRRRLLDVAVCTDRAFVVYVVTKFLMA LGLFVPAILLVNYAKDAGVPDAEAAFLLSIVGFVDIVARPACGALAGLGRLRPHVPYLFS LALLANGLTDLISARARSYGTLVAFCIAFGLSYGMVGALQFEVLMATVGAPRFPSALGLV LLVEAVAVLIGPPSAGRLVDALKNYEIIFYLAGSEVALAGVFMAVTTYCCLRCSKNISSG RSAEGGASDPEDVEAERDSEPMPASTEEPGSLEALEVLSPRAGSPEQEPEEEAVPELDHE SIGGHEARGQKA >ENSMUSP00000034756.8 pep:known chromosome:GRCm38:9:69453620:69491795:1 gene:ENSMUSG00000032231.14 transcript:ENSMUST00000034756.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa2 description:annexin A2 [Source:MGI Symbol;Acc:MGI:88246] MSTVHEILCKLSLEGDHSTPPSAYGSVKPYTNFDAERDALNIETAVKTKGVDEVTIVNIL TNRSNVQRQDIAFAYQRRTKKELPSALKSALSGHLETVILGLLKTPAQYDASELKASMKG LGTDEDSLIEIICSRTNQELQEINRVYKEMYKTDLEKDIISDTSGDFRKLMVALAKGRRA EDGSVIDYELIDQDARELYDAGVKRKGTDVPKWISIMTERSVCHLQKVFERYKSYSPYDM LESIKKEVKGDLENAFLNLVQCIQNKPLYFADRLYDSMKGKGTRDKVLIRIMVSRSEVDM LKIRSEFKRKYGKSLYYYIQQDTKGDYQKALLYLCGGDD >ENSMUSP00000122175.1 pep:known chromosome:GRCm38:9:69453716:69485257:1 gene:ENSMUSG00000032231.14 transcript:ENSMUST00000123470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa2 description:annexin A2 [Source:MGI Symbol;Acc:MGI:88246] MSTVHEILCKLSLEGDHSTPPSAYGSVKPYTNFDAERDALNIETAVKTKGVDEVTIVNIL TNRSNVQRQDIAFAYQRRTKKELPSALKSALSGHLETVILGLLKTPAQYDASELKASMKG LGTDEDSLIEIICSRTNQELQEINRVYKEMYKTDLEKDIISDTSGDFRKLMVALAKGRRA EDGSVIDYELIDQDAR >ENSMUSP00000117979.1 pep:known chromosome:GRCm38:9:69454066:69483859:1 gene:ENSMUSG00000032231.14 transcript:ENSMUST00000134907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa2 description:annexin A2 [Source:MGI Symbol;Acc:MGI:88246] MSTVHEILCKLSLEGDHSTPPSAYGSVKPYTNFDAERDALNIETAVKTKGVDEVTIVNIL TNRSNVQRQDIAFAYQRRTKKELPSALKSALSGHLETVILGLLKTPAQYDASELKASMKG LGTDEDSLIEIICSRTNQELQEINRVYKEMYKTDLEKDIISDTSGDFRKLMVALAK >ENSMUSP00000117855.1 pep:known chromosome:GRCm38:9:69480111:69491513:1 gene:ENSMUSG00000032231.14 transcript:ENSMUST00000136282.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa2 description:annexin A2 [Source:MGI Symbol;Acc:MGI:88246] IKEKEKQLLFSKACRFCPCPPGCCFLWLPPAPPQSVAGPKFGFGPKISNAVQGLGTDEDS LIEIICSRTNQELQEINRVYKEMYKTDLEKDIISDTSGDFRKLMVALAKGRRAEDGSVID YELIDQDARELYDAGVKRKGTDVPKWISIMTERSVCHLQKVFERYKSYSPYDMLESIKKE VKGDLENAFLNLVQCIQNKPLYFADRLYDSMKGKGTRDKVLIRIMVSRSEVDMLKIRSEF KRKYGKSLYYYIQQDTKGDYQKALLYLCGGDD >ENSMUSP00000140730.1 pep:known chromosome:GRCm38:Y:37233420:37259603:-1 gene:ENSMUSG00000101157.1 transcript:ENSMUST00000190782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20905 description:predicted gene, 20905 [Source:MGI Symbol;Acc:MGI:5434261] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000142210.1 pep:known chromosome:GRCm38:3:87435773:87467523:1 gene:ENSMUSG00000048031.15 transcript:ENSMUST00000194102.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl5 description:Fc receptor-like 5 [Source:MGI Symbol;Acc:MGI:3053558] MSGSFSPCVVFTQMWLTLLVVTPVNGQHEAAQQSVVSLQPPWTTFFRGEVVTLTCYRFGF SVPQKTKWYQKRKTVKQTPGALVIKAHTLKVHESGEYWCQADSLLPSMHVNVEFSEDFLV LQAPPAVFEGDSVVLRCYAKKGIEAETLTFYKDGKALTLHPQSSEFYIHRANLKDNGQYK CTSKKKWSFGSLYTSNTVVVQVQELFPRPVLRARPSHPIDGSPVTLTCQTQLSAQKSDAR LQFCFFRNLQLLGSGCSRSSEFHIPAIWTEESKRYQCKAETVNSQVSKQSTAFIIPVQRA SARFQTHIIPASKLVFEGQLLLLNCSVKGVPGPLKFSWYKKDMLNKETKILKSSNAEFKI SQVNISDAGEYYCEANNSRRSFVSRAFPITIKVPVSQPVLTLSTGKTQALEGDLMTLHCQ SQRGSPCILYEFFYENVSLGNSSILSGGGAYFNFSMSTERSGNYYCTADNGLGAQCSEAI RISIFDMTKNRSVPMAAGITVGLLIMAVGVFLFYCWFSRKAGGKPTSDDSRNPSDSEPQE PTYYNVPACIELQPVYSNEPEENVIYTEVRRTQPRQKHADQESESPRSRCQMAEKK >ENSMUSP00000141311.1 pep:known chromosome:GRCm38:3:87435813:87500678:1 gene:ENSMUSG00000048031.15 transcript:ENSMUST00000193229.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl5 description:Fc receptor-like 5 [Source:MGI Symbol;Acc:MGI:3053558] MSGSFSPCVVFTQMWLTLLVVTPVNGQHDFLVLQAPPAVFEGDSVVLRCYAKKGIEAETL TFYKDGKALTLHPQSSEFYIHRANLKDNGQYKCTSKKKWSFGSLYTSNTVVVQVQELFPR PVLRARPSHPIDGSPVTLTCQTQLSAQKSDARLQFCFFRNLQLLGSGCSRSSEFHIPAIW TEESKRYQCKAETVNSQVSKQSTAFIIPVQRASARFQTHIIPASKLVFEGQLLLLNCSVK GVPGPLKFSWYKKDMLNKETKILKSSNAEFKISQVNISDAGEYYCEANNSRRSFVSRAFP ITIKVPVSQPVLTLSTGKTQALEGDLMTLHCQSQRGSPCILYEFFYENVSLGNSSILSGG GAYFNFSMSTERSGNYYCTADNGLGAQCSEAIRISIFDMTKNRSVPMAAGITVGLLIMAV GVFLFYCWFSRKAGGKPTSDDSRNPSDSEPQEPTYYNVPACIELQPVYSNEPEENVIYTE VRRTQPRQKHADQESESPRSRCQMAEKK >ENSMUSP00000131176.1 pep:known chromosome:GRCm38:3:87435885:87457836:1 gene:ENSMUSG00000048031.15 transcript:ENSMUST00000166297.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl5 description:Fc receptor-like 5 [Source:MGI Symbol;Acc:MGI:3053558] MSGSFSPCVVFTQMWLTLLVVTPVNGQHDFLVLQAPPAVFEGDSVVLRCYAKKGIEAETL TFYKDGKALTLHPQSSEFYIHRANLKDNGQYKCTSKKKWSFGSLYTSNTVVVQVQELFPR PVLRARPSHPIDGSPVTLTCQTQLSAQKSDARLQFCFFRNLQLLGSGCSRSSEFHIPAIW TEESKRYQCKAETVNSQVSKQSTAFIIPVQRASARFQTHIIPASKLVFEGQLLLLNCSVK GVPGPLKFSWYKKDMLNKETKILKSSNAEFKISQVNISDAGEYYCEANNSRRSFVSRAFP ITIKVPVSQPVLTLSTGKTQALEGDLMTLHCQSQRGSPCILYEFFYENVSLGNSSILSGG GAYFNFSMSTERSGNYYCTADNGLGAQCSEAIRISIFDMTKNRSVPMAAGITVGLLIMAV GVFLFYCWFSRKAGGKPTSDDSRNPSDSEPQEPTYYNVPACIELQPVYSNEPEENVIYTE VRRTQPRQKHADQESESPRSRCQMAEKK >ENSMUSP00000050151.8 pep:known chromosome:GRCm38:3:87435885:87457836:1 gene:ENSMUSG00000048031.15 transcript:ENSMUST00000049926.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl5 description:Fc receptor-like 5 [Source:MGI Symbol;Acc:MGI:3053558] MSGSFSPCVVFTQMWLTLLVVTPVNGQHEAAQQSVVSLQPPWTTFFRGEVVTLTCYRFGF SVPQKTKWYQKRKTVKQTPGALVIKAHTLKVHESGEYWCQADSLLPSMHVNVEFSEDFLV LQAPPAVFEGDSVVLRCYAKKGIEAETLTFYKDGKALTLHPQSSEFYIHRANLKDNGQYK CTSKKKWSFGSLYTSNTVVVQVQELFPRPVLRARPSHPIDGSPVTLTCQTQLSAQKSDAR LQFCFFRNLQLLGSGCSRSSEFHIPAIWTEESKRYQCKAETVNSQVSKQSTAFIIPVQRA SARFQTHIIPASKLVFEGQLLLLNCSVKGVPGPLKFSWYKKDMLNKETKILKSSNAEFKI SQVNISDAGEYYCEANNSRRSFVSRAFPITIKVPVSQPVLTLSTGKTQALEGDLMTLHCQ SQRGSPCILYEFFYENVSLGNSSILSGGGAYFNFSMSTERSGNYYCTADNGLGAQCSEAI RISIFDMTKNRSVPMAAGITVGLLIMAVGVFLFYCWFSRKAGGKPTSDDSRNPSDSEPQE PTYYNVPACIELQPVYSNEPEENVIYTEVRRTQPRQKHADQESESPRSRCQMAEKK >ENSMUSP00000136046.1 pep:known chromosome:GRCm38:3:87435885:87457836:1 gene:ENSMUSG00000048031.15 transcript:ENSMUST00000178261.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl5 description:Fc receptor-like 5 [Source:MGI Symbol;Acc:MGI:3053558] MSGSFSPCVVFTQMWLTLLVVNSFLSSSEAAQQSVVSLQPPWTTFFRGEVVTLTCYRFGF SVPQKTKWYQKRKTVKQTPGALVIKAHTLKVHESGEYWCQADSLLPSMHVNVEFSEDFLV LQAPPAVFEGDSVVLRCYAKKGIEAETLTFYKDGKALTLHPQSSEFYIHRANLKDNGQYK CTSKKKWSFGSLYTSNTVVVQVQELFPRPVLRARPSHPIDGSPVTLTCQTQLSAQKSDAR LQFCFFRNLQLLGSGCSRSSEFHIPAIWTEESKRYQCKAETVNSQVSKQSTAFIIPVQRA SARFQTHIIPASKLVFEGQLLLLNCSVKGVPGPLKFSWYKKDMLNKETKILKSSNAEFKI SQVNISDAGEYYCEANNSRRSFVSRAFPITIKVPVSQPVLTLSTGKTQALEGDLMTLHCQ SQRGSPCILYEFFYENVSLGNSSILSGGGAYFNFSMSTERSGNYYCTADNGLGAQCSEAI RISIFDMTKNRSVPMAAGITVGLLIMAVGVFLFYCWFSRKAGGKPTSDDSRNPSDSEPQE PTYYNVPACIELQPVYSNEPEENVIYTEVRRTQPRQKHADQESESPRSRCQMAEKK >ENSMUSP00000046939.5 pep:known chromosome:GRCm38:6:52223100:52227370:-1 gene:ENSMUSG00000038227.15 transcript:ENSMUST00000048680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa9 description:homeobox A9 [Source:MGI Symbol;Acc:MGI:96180] MATTGALGNYYVDSFLLGADAADELGAGRYAPGTLGQPPRQAAALAEHPDFSPCSFQSKA AVFGASWNPVHAAGANAVPAAVYHHHHHPYVHPQAPVAAAAPDGRYMRSWLEPTPGALSF AGLPSSRPYGIKPEPLSARRGDCPTLDTHTLSLTDYACGSPPVDREKQPSEGAFSENNAE NESGGDKPPIDPNNPAANWLHARSTRKKRCPYTKHQTLELEKEFLFNMYLTRDRRYEVAR LLNLTERQVKIWFQNRRMKMKKINKDRAKDE >ENSMUSP00000110068.1 pep:known chromosome:GRCm38:6:52224121:52226189:-1 gene:ENSMUSG00000038227.15 transcript:ENSMUST00000114425.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa9 description:homeobox A9 [Source:MGI Symbol;Acc:MGI:96180] MATTGALGNYYVDSFLLGADAADELGAGRYAPGTLGQPPRQAAALAEHPDFSPCSFQSKA AVFGASWNPVHAAGANAVPAAVYHHHHHPYVHPQAPVAAAAPDGS >ENSMUSP00000068823.3 pep:known chromosome:GRCm38:6:42817473:42818405:1 gene:ENSMUSG00000054431.3 transcript:ENSMUST00000067503.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr450 description:olfactory receptor 450 [Source:MGI Symbol;Acc:MGI:3030284] MGQEFINQTWVNEFILLGLSSDRNTQVFLFVLVLAMYVVTVVGNTLILFLIRLDIRLHTP MYFFLSVLSIVDLCYGNSIAPQMLAHLVSAQKLIPFHSCVFQLYISLALGGSEFFLLGAM SYDRYVAVCHPLHYTVIMDGGVCLGLAASCLMAGFFNSLMETVITFRLPLCHNVINHFAC ETLAVLRLACVDISFNKVMVAISGFLVIMLPCCLVLFSYTRIVIAILRIRSTQGRHKAFG TCASHLTVVCMCFGATIFTYLGPRSASSEDKEKMVALFYAVVAPTLNPVIYSLRNKEVMA ALTKLVEKLR >ENSMUSP00000128126.1 pep:known chromosome:GRCm38:15:79229173:79249484:1 gene:ENSMUSG00000068206.12 transcript:ENSMUST00000163571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pick1 description:protein interacting with C kinase 1 [Source:MGI Symbol;Acc:MGI:894645] MFADLDYDIEEDKLGIPTVPGKVTLQKDAQNLIGISIGGGAQYCPCLYIVQVFDNTPAAL DGTVAAGDEITGVNGKSIKGKTKVEVAKMIQEVKGEVTIHYNKLQADPKQGMSLDIVLKK VKHRLVENMSSGTADALGLSRAILCNDGLVKRLEELERTAELYKGMTEHTKNLLRAFYEL SQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLNTYLN KAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRLILRC RQEARARFSQMRKDVLEKMELLDQKHVQDIVFQLQRFVSTMSKYYNDCYAVLQDADVFPI EVDLAHTTLAYGPNQGSFTDGEEEDEEEEDGAAREVSKDACGATGPTDKGGSWCDS >ENSMUSP00000018295.7 pep:known chromosome:GRCm38:15:79229382:79249466:1 gene:ENSMUSG00000068206.12 transcript:ENSMUST00000018295.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pick1 description:protein interacting with C kinase 1 [Source:MGI Symbol;Acc:MGI:894645] MFADLDYDIEEDKLGIPTVPGKVTLQKDAQNLIGISIGGGAQYCPCLYIVQVFDNTPAAL DGTVAAGDEITGVNGKSIKGKTKVEVAKMIQEVKGEVTIHYNKLQADPKQGMSLDIVLKK VKHRLVENMSSGTADALGLSRAILCNDGLVKRLEELERTAELYKGMTEHTKNLLRAFYEL SQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLNTYLN KAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRLILRC RQEARARFSQMRKDVLEKMELLDQKHVQDIVFQLQRFVSTMSKYYNDCYAVLQDADVFPI EVDLAHTTLAYGPNQGSFTDGEEEDEEEEDGAAREVSKDACGATGPTDKGGSWCDS >ENSMUSP00000061125.5 pep:known chromosome:GRCm38:15:79229391:79256674:1 gene:ENSMUSG00000068206.12 transcript:ENSMUST00000053926.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pick1 description:protein interacting with C kinase 1 [Source:MGI Symbol;Acc:MGI:894645] MFADLDYDIEEDKLGIPTVPGKVTLQKDAQNLIGISIGGGAQYCPCLYIVQVFDNTPAAL DGTVAAGDEITGVNGKSIKGKTKVEVAKMIQEVKGEVTIHYNKLQADPKQGMSLDIVLKK VKHRLVENMSSGTADALGLSRAILCNDGLVKRLEELERTAELYKGMTEHTKNLLRAFYEL SQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLNTYLN KAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRLILRC RQEARARFSQMRKDVLEKMELLDQKHGLRQCQPGHESLPMCTHGLRPTPALTAGTWRGSV ADSDTVVKLDDGHLNNSLGSPVQADVYFPRMIVPFCGHIKGDRRPDKKALVMGILDLTPE SFAVGLTSGDPEDPPAHVAIELEAVFTDLQLLRNSCISGERGGRAVSSRAVPYFSFIPDQ PFRAEILCQHPQFRVFVDGHKLFDFYHCIQTVSATDTIKINGDLQITKLG >ENSMUSP00000129468.1 pep:known chromosome:GRCm38:15:79229702:79249329:1 gene:ENSMUSG00000068206.12 transcript:ENSMUST00000166155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pick1 description:protein interacting with C kinase 1 [Source:MGI Symbol;Acc:MGI:894645] MFADLDYDIEEDKLGIPTVPGKVTLQKDAQNLIGISIGGGAQYCPCLYIVQVFDNTPAAL DGTVAAGDEITGVNGKSIKGKTKVEVAKMIQEVKGEVTIHYNKLQADPKQGMSLDIVLKK VKHRLVENMSSGTADALGLSRAILCNDGLVKRLEELERTAELYKGMTEHTKNLLRAFYEL SQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLNTYLN KAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRLILRC RQEARARFSQMRKDVLEKMELLDQKHVQDIVFQLQRFVSTMSKYYNDCYAVLQDADVFPI EVDLAHTTLAYGPNQGSFTDGEEEDEEEEDGAAREVSKDACGATGPTDKGGSWCDS >ENSMUSP00000103881.2 pep:known chromosome:GRCm38:4:19608303:19708993:-1 gene:ENSMUSG00000041058.15 transcript:ENSMUST00000108246.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwp1 description:WW domain containing E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1861728] MATASPRSDTSDIHSGRLQLKVTVSSAKLKRKKNWFGTAIYTEVIVDGEVKKTAKSSSSS NPKWDEQLIVNVTPQTTLEFRVWSHHTLKADALLGKATVDLKQVLLTHNRKLEKVKEQLK LSLENKNGIVQTGELTVVLDGLVIEQEPVTNRSSSPPIEIQQNGDALHENGDPATRTTPR LPVEGTIGIDNHVSTNTVVPNSCCSHVVNGENTPSSPSQVAARPKNAPAPKPVTSAPTSD TVNGESSSVLADNTSTMGTLLPSEDTTSTSNCTSTTTQEPPVQEPPASSEHSECIPSASA EVGPEARSLIDPDSDSRNNSVFDKVRQPEGCVEPLRPQSGNTNTEALPSGWEQRKDPHGR TYYVDHNTRTTTWERPQPLPPGWERRVDDRGRVYYVDHNTRTTTWQRPTMESVRNFEQWQ SQRNQLQGAMQQFNQRYLYSASMLAAENDPYGPLPPGWEKRVDSTDRVYFVNHNTKTTQW EDPRTQGLPNEEPLPEGWEIRYTREGVRYFVDHNTRTTTFKDPRNGKSSVTKGGPQIAYE RSFRWKLAHFRYLCQSNALPSHVKINVSRQTLFEDSFQQIMALKPYDLRRRLYVIFRGEE GLDYGGLAREWFFLLSHEVLNPMYCLFEYAGKNNYCLQINPASTINPDHLSYFCFIGRFI AMALFHGKFIDTGFSLPFYKRMLSKKLTIKDLESIDTEFYNSLIWIRDNNIEECGLEMYF SVDMEILGKVTSHDLKLGGSNILVTEENKDEYIGLMTEWRFSRGVQEQTKAFLDGFNEVV PLQWLQYFDEKELEVMLCGMQEVDLADWQRNTVYRHYTRNSKQIIWFWQFVKETDNEVRM RLLQFVTGTCRLPLGGFAELMGSNGPQKFCIEKVGKDTWLPRSHTCFNRLDLPPYKSYEQ LKEKLLFAIEETEGFGQE >ENSMUSP00000041627.7 pep:known chromosome:GRCm38:4:19609673:19678448:-1 gene:ENSMUSG00000041058.15 transcript:ENSMUST00000035982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwp1 description:WW domain containing E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1861728] MATASPRSDTSDIHSGRLQLKVTVSSAKLKRKKNWFGTAIYTEVIVDGEVKKTAKSSSSS NPKWDEQLIVNVTPQTTLEFRVWSHHTLKADALLGKATVDLKQVLLTHNRKLEKVKEQLK LSLENKNGIVQTGELTVVLDGLVIEQEPVTNRSSSPPIEIQQNGDALHENGDPATRTTPR LPVEGTIGIDNHVSTNTVVPNSCCSHVVNGENTPSSPSQVAARPKNAPAPKPVTSAPTSD TVNGESSSVLADNTSTMGTLLPSEDTTSTSNCTSTTTQEPPVQEPPASSEHSECIPSASA EVGPEARSLIDPDSDSRNNSVFDKVRQPEGCVEPLRPQSGNTNTEALPSGWEQRKDPHGR TYYVDHNTRTTTWERPQPLPPGWERRVDDRGRVYYVDHNTRTTTWQRPTMESVRNFEQWQ SQRNQLQGAMQQFNQRYLYSASMLAAENDPYGPLPPGWEKRVDSTDRVYFVNHNTKTTQW EDPRTQGLPNEEPLPEGWEIRYTREGVRYFVDHNTRTTTFKDPRNGKSSVTKGGPQIAYE RSFRWKLAHFRYLCQSNALPSHVKINVSRQTLFEDSFQQIMALKPYDLRRRLYVIFRGEE GLDYGGLAREWFFLLSHEVLNPMYCLFEYAGKNNYCLQINPASTINPDHLSYFCFIGRFI AMALFHGKFIDTGFSLPFYKRMLSKKLTIKDLESIDTEFYNSLIWIRDNNIEECGLEMYF SVDMEILGKVTSHDLKLGGSNILVTEENKDEYIGLMTEWRFSRGVQEQTKAFLDGFNEVV PLQWLQYFDEKELEVMLCGMQEVDLADWQRNTVYRHYTRNSKQIIWFWQFVKETDNEVRM RLLQFVTGTCRLPLGGFAELMGSNGPQKFCIEKVGKDTWLPRSHTCFNRLDLPPYKSYEQ LKEKLLFAIEETEGFGQE >ENSMUSP00000121637.2 pep:known chromosome:GRCm38:5:53267138:53278540:1 gene:ENSMUSG00000061461.11 transcript:ENSMUST00000147148.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim20 description:small integral membrane protein 20 [Source:MGI Symbol;Acc:MGI:1913528] MAAARNLRTALIFGGFISMVGAAFYPIYFRPLMRLEEYQKEQAVNRAGIVQEDVQPPGLK VWSDPFGRK >ENSMUSP00000145521.1 pep:known chromosome:GRCm38:5:53267138:53278540:1 gene:ENSMUSG00000061461.11 transcript:ENSMUST00000204218.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smim20 description:small integral membrane protein 20 [Source:MGI Symbol;Acc:MGI:1913528] MAAARNLRTALIFGGFISMVGAAFYPIYFRPLMRLEEYHSAGFLHF >ENSMUSP00000144695.1 pep:known chromosome:GRCm38:5:53267177:53278540:1 gene:ENSMUSG00000061461.11 transcript:ENSMUST00000204465.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smim20 description:small integral membrane protein 20 [Source:MGI Symbol;Acc:MGI:1913528] MAAARNLRTALIFGGFISMVGAAFYPIYFRPLMRLEEYHSAGFLHF >ENSMUSP00000103122.2 pep:known chromosome:GRCm38:3:88835231:88910103:1 gene:ENSMUSG00000054199.16 transcript:ENSMUST00000107498.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gon4l description:gon-4-like (C.elegans) [Source:MGI Symbol;Acc:MGI:1917579] MLPCKKRRLSVTESSQQQDDQEGDDLDLEAAVKPDTDQLPDSASESLSWGQSQDSAVCPE GLSMQDGDDQLRAEGLSLNSKMLAQHVNLAVLEAVDVAVSQEIPLPSLESSHSLPVHVDK GRLQVSASKKGKRVVFTPGQVTREDRGDHPVPEEPPSGEPAEEAKTEGGELELRSDGEVP LLSSSSQSAKPGAQPRKSVQPDGSAFPQDKPLGPLVRQAEEEMEDGGLFIPTEQDSEESD KKKKTKKGTKRKRDGKGPEQGTMVYDPKLDDMLDRTLEDGAKQHNLTAVNVRNILHEVIT NEHVVAMMKAAISETEDMPLFEPKMTRSKLKEVVEKGVQPPQFVDIHLEEDDSSDEEYSP DEEEEDETAEESLLESDVESTASSPRGVKRSRLRLSSEVAETDEESGMLSEVEKAATPAL RHISAEVVPMGPPPPPKPKQSRDSVFMEKLDAVDEELASSPVCMDSFQPMEDSLIAFRTR SKMPLKDVPLGQLEAELQAPDITPDMYDPNTADDEDWKQWLGGLINDDVENEDEADDDDD PEYNFLEDLDEPDTEDFRTDRAVRITKKEVNGLMEELFETVQSVVPSKFQDEMGFSNMED DGPEEEERATESRPSFNTPQALRFEEPLANLLNERHRTVKELLEQLKMKKPSVRQQPEVE KLKPQEEAAHQTLVLDPAQRSRLQQQMQQHVQLLTQIYLLTTSNPNLSSEASTTRIFLKE LGTFAENSIALHQQFNPRFQTLFQPCNWMGAMRLIEDFTQVSIDCSPHKTAKKTASEFPC LPKQVAWILATNKVFMYPELLPICSLKANNPRDKTIFTKAEDNLLALGLKHFEGTEFPKP LISKYLVTCKTAHQLTVRIKNLNLNRAPNNVIKFYKKTKQLPVLVRCCEEIQPHQWKPPF EKEEHRLPFWLKASLQSIQDELRNISEGATEGGSVTTATESSTDQHLQKASPALGDEPQY PLLLPKGVVLKLKPGSKRFSRKAWRQKRPLVQKPLLIQPSPSVQPVFNPGKMATWPTQSE VPPSNTVVQIPHLIQPAAVLQTLPGFPSVGVRGEDGFESPTALPAMPCGSEARTTFPLSE TQSAPPSCSAPKLMLPSLAPSKFRKPYVRRKPTRRKGAKVSPCVKPAPIIHPTPVIFTVP ATTVKVVSIGGGCNMIQPVSAAVAPSPQTIPITTLLVNPTTFPCSLNQPLVASSISPLIV SSNPLTLPVTSIPEDKAQVKLDVAEGKNAPQNPESKLKPQELTPLCTTVFSKEEPKSWHS SADTGSQEAFSESSACSWAVVKTESQEGSSEKSACGWTVVKTEDGGHAVEPLPQNLQDSL SSSSKDLLNMVKMEAQDCMVEISSNFPKQDIGEEVKEECSMELDSESPQEKPSSASEMSK QTVLQREDMQAAKSPSVPQDAAAEGRTSSHASRGLPKSTLSSMGQGGGLSGPPGKLEDSA NADGQSVGTPAGPDTGGEKDGPEEEEEEDFDDLTQDEEDELSSASEESVLSVPELQETME KLTWLASERRMSQEGESEEENSQEENSEPEEEEEEEAEGMETLQKEDEVNDEAVGDAAKK PPSTLASPQTAPEIETSIAPAGESIKAAGKGRSSHRARNKRGSRARASKDTSKLLLLYDE DILDRDPLREQKDLAFAQAYLTRVREALQHTPGKYEDFLQIIYEFESSTQMHSAVDLFKS LQTLLQDWPQLLKDFAAFLLPEQALSCGLFEEQQAFEKSRKFLRQLEICFAENPSHHQKI IKVLQGCADCLPQDIAELKTQMWQLLRGHDHLQDEFSIFFDHLRPAASRMGDFEEINWTE EKEYEFDGFEEVILPDVEEDEEPAKVSTASKSKRRKEIGVQHQDKDTEWPEAAKDCSCSC HEGGPESKLKKSKRRNCHCSSKVCDSKPYKSKEPPELVGSGPLHEASTVPGSKEAGQGKD MLEEEILEEQENMEVTQSKTARTTRKGEAPAPGSTIGSTLLCPAEVTPMELLLEGPALCS AETPRLPPQTGAVVCSVRRNQAGPEVVSCLSTSSLPPEEGEDQRAAANSETIAPHREASE TERLPETVEHSAPLPSPVSTRTRDTGRRHICGKAGSQSWLIESRAEAEAAHVAAPICEKS SGARASEAAPKTAREVLAEDSGTQGMGPEGALPKASEATVCANNSKVSSTGEKVVLWTRE ADRVILTMCQEQGAQPHTFSVISQQLGNKTPVEVSHRFRELMQLFHTACEASSEDEDDAT STSNADQLSDHGDLLSEEELDE >ENSMUSP00000080397.7 pep:known chromosome:GRCm38:3:88837016:88910098:1 gene:ENSMUSG00000054199.16 transcript:ENSMUST00000081695.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gon4l description:gon-4-like (C.elegans) [Source:MGI Symbol;Acc:MGI:1917579] MLPCKKRRLSVTESSQQQDDQEGDDLDLEAAVKPDTDQLPDSASESLSWGQSQDSAVCPE GLSMQDGDDQLRAEGLSLNSKMLAQHVNLAVLEAVDVAVSQEIPLPSLESSHSLPVHVDK GRLQVSASKKGKRVVFTPGQVTREDRGDHPVPEEPPSGEPAEEAKTEGGELELRSDGEVP LLSSSSQSAKPGAQPRKSVQPDGSAFPQDKPLGPLVRQAEEEMEDGGLFIPTEQDSEESD KKKKTKKGTKRKRDGKGPEQGTMVYDPKLDDMLDRTLEDGAKQHNLTAVNVRNILHEVIT NEHVVAMMKAAISETEDMPLFEPKMTRSKLKEVVEKGVQPPQFVDIHLEEDDSSDEEYSP DEEEEDETAEESLLESDVESTASSPRGVKRSRLRLSSEVAETDEESGMLSEVEKAATPAL RHISAEVVPMGPPPPPKPKQSRDSVFMEKLDAVDEELASSPVCMDSFQPMEDSLIAFRTR SKMPLKDVPLGQLEAELQAPDITPDMYDPNTADDEDWKQWLGGLINDDVENEDEADDDDD PEYNFLEDLDEPDTEDFRTDRAVRITKKEVNGLMEELFETVQSVVPSKFQDEMGFSNMED DGPEEEERATESRPSFNTPQALRFEEPLANLLNERHRTVKELLEQLKMKKPSVRQQPEVE KLKPQEEAAHQTLVLDPAQRSRLQQQMQQHVQLLTQIYLLTTSNPNLSSEASTTRIFLKE LGTFAENSIALHQQFNPRFQTLFQPCNWMGAMRLIEDFTQVSIDCSPHKTAKKTASEFPC LPKQVAWILATNKVFMYPELLPICSLKANNPRDKTIFTKAEDNLLALGLKHFEGTEFPKP LISKYLVTCKTAHQLTVRIKNLNLNRAPNNVIKFYKKTKQLPVLVRCCEEIQPHQWKPPF EKEEHRLPFWLKASLQSIQDELRNISEGATEGGSVTTATESSTDQHLQKASPALGDEPQY PLLLPKGVVLKLKPGSKRFSRKAWRQKRPLVQKPLLIQPSPSVQPVFNPGKMATWPTQSE VPPSNTVVQIPHLIQPAAVLQTLPGFPSVGVRGEDGFESPTALPAMPCGSEARTTFPLSE TQSAPPSCSAPKLMLPSLAPSKFRKPYVRRKPTRRKGAKVSPCVKPAPIIHPTPVIFTVP ATTVKVVSIGGGCNMIQPVSAAVAPSPQTIPITTLLVNPTTFPCSLNQPLVASSISPLIV SSNPLTLPVTSIPEDKAQVKLDVAEGKNAPQNPESKLKPQELTPLCTTVFSKEEPKSWHS SADTGSQEAFSESSACSWAVVKTESQEGSSEKSACGWTVVKTEDGGHAVEPLPQNLQDSL SSSSKDLLNMVKMEAQDCMVEISSNFPKQDIGEEVKEECSMELDSESPQEKPSSASEMSK QTVLQREDMQAAKSPSVPQDAAAEGRTSSHASRGLPKSTLSSMGQGGGLSGPPGKLEDSA NADGQSVGTPAGPDTGGEKDGPEEEEEEDFDDLTQDEEDELSSASEESVLSVPELQETME KLTWLASERRMSQEGESEEENSQEENSEPEEEEEEEAEGMETLQKEDEVNDEAVGDAAKK PPSTLASPQTAPEIETSIAPAGESIKAAGKGRSSHRARNKRGSRARASKDTSKLLLLYDE DILDRDPLREQKDLAFAQAYLTRVREALQHTPGKYEDFLQIIYEFESSTQMHSAVDLFKS LQTLLQDWPQLLKDFAAFLLPEQALSCGLFEEQQAFEKSRKFLRQLEICFAENPSHHQKI IKVLQGCADCLPQDIAELKTQMWQLLRGHDHLQDEFSIFFDHLRPAASRMGDFEEINWTE EKEYEFDGFEEVILPDVEEDEEPAKVSTASKSKRRKEIGVQHQDKDTEWPEAAKDCSCSC HEGGPESKLKKSKRRNCHCSSKVCDSKPYKSKEPPELVGSGPLHEASTVPGSKEAGQGKD MLEEEILEEQENMEVTQSKTARTTRKGEAPAPGSTIGSTLLCPAEVTPMELLLEGPALCS AETPRLPPQTGAVVCSVRRNQAGPEVVSCLSTSSLPPEEGEDQRAAANSETIAPHREASE TERLPETVEHSAPLPSPVSTRTRDTGRRHICGKAGSQSWLIESRAEAEAAHVAAPICEKS SGARASEAAPKTAREVLAEDSGTQGMGPEGALPKASEATVCANNSKVSSTGEKVVLWTRE ADRVILTMCQEQGAQPHTFSVISQQLGNKTPVEVSHRFRELMQLFHTACEASSEDEDDAT STSNADQLSDHGDLLSEEELDE >ENSMUSP00000088461.5 pep:known chromosome:GRCm38:3:88837016:88910098:1 gene:ENSMUSG00000054199.16 transcript:ENSMUST00000090942.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gon4l description:gon-4-like (C.elegans) [Source:MGI Symbol;Acc:MGI:1917579] MLPCKKRRLSVTESSQQQDDQEGDDLDLEAAVKPDTDQLPDSASESLSWGQSQDSAVCPE GLSMQDGDDQLRAEGLSLNSKMLAQHVNLAVLEAVDVAVSQEIPLPSLESSHSLPVHVDK GRLQVSASKKGKRVVFTPGQVTREDRGDHPVPEEPPSGEPAEEAKTEGGELELRSDGEVP LLSSSSQSAKPGAQPRKSVQPDGSAFPQDKPLGPLVRQAEEEMEDGGLFIPTEEQDSEES DKKKKTKKGTKRKRDGKGPEQGTMVYDPKLDDMLDRTLEDGAKQHNLTAVNVRNILHEVI TNEHVVAMMKAAISETEDMPLFEPKMTRSKLKEVVEKGVQPPQFVDIHLEEDDSSDEEYS PDEEEEDETAEESLLESDVESTASSPRGVKRSRLRLSSEVAETDEESGMLSEVEKAATPA LRHISAEVVPMGPPPPPKPKQSRDSVFMEKLDAVDEELASSPVCMDSFQPMEDSLIAFRT RSKMPLKDVPLGQLEAELQAPDITPDMYDPNTADDEDWKQWLGGLINDDVENEDEADDDD DPEYNFLEDLDEPDTEDFRTDRAVRITKKEVNGLMEELFETVQTVSPPKFQDEMGFSNME DDGPEEEERATESRPSFNTPQALRFEEPLANLLNERHRTVKELLEQLKMKKPSVRQQPEV EKLKPQEEAAHQTLVLDPAQRSRLQQQMQQHVQLLTQIYLLTTSNPNLSSEASTTRIFLK ELGTFAENSIALHQQFNPRFQTLFQPCNWMGAMRLIEDFTQVSIDCSPHKTAKKTASEFP CLPKQVAWILATNKVFMYPELLPICSLKANNPRDKTIFTKAEDNLLALGLKHFEGTEFPK PLISKYLVTCKTAHQLTVRIKNLNLNRAPNNVIKFYKKTKQLPVLVRCCEEIQPHQWKPP FEKEEHRLPFWLKASLQSIQDELRNISEGATEGGSVTTATESSTDQHLQKASPALGDEPQ YPLLLPKGVVLKLKPGSKRFSRKAWRQKRPLVQKPLLIQPSPSVQPVFNPGKMATWPTQS EVPPSNTVVQIPHLIQPAAVLQTLPGFPSVGVRGEDGFESPTALPAMPCGSEARTTFPLS ETQSAPPSCSAPKLMLPSLAPSKFRKPYVRRKPTRRKGAKVSPCVKPAPIIHPTPVIFTV PATTVKVVSIGGGCNMIQPVSAAVAPSPQTIPITTLLVNPTTFPCSLNQPLVASSISPLI VSSNPLTLPVTSIPEDKAQVKLDVAEGKNAPQNPESKLKPQELTPLCTTVFSKEEPKSWH SSADTGSQEAFSESSACSWAVVKTESQEGSSEKSACGWTVVKTEDGGHAVEPLPQNLQDS LSSSSKDLLNMVKMEAQDCMVEISSNFPKQDIGEEVKEECSMELDSESPQEKPSSASEMS KQTVLQREDMQAAKSPSVPQDAAAEGRTSSHASRGLPKSTLSSMGQGGGLSGPPGKLEDS ANADGQSVGTPAGPDTGGEKDGPEEEEEEDFDDLTQDEEDELSSASEESVLSVPELQETM EKLTWLASERRMSQEGESEEENSQEENSEPEEEEEEEAEGMETLQKEDEVNDEAVGDAAK KPPSTLASPQTAPEIETSIAPAGESIKAAGKGRSSHRARNKRGSRARASKDTSKLLLLYD EDILDRDPLREQKDLAFAQAYLTRVREALQHTPGKYEDFLQIIYEFESSTQMHSAVDLFK SLQTLLQDWPQLLKDFAAFLLPEQALSCGLFEEQQAFEKSRKFLRQLEICFAENPSHHQK IIKVLQGCADCLPQDIAELKTQMWQLLRGHDHLQDEFSIFFDHLRPAASRMGDFEEINWT EEKEYEFDGFEEVILPDVEEDEEPAKVSTASKSKRRKEIGVQHQDKDTEWPEAAKDCSCS CHEGGPESKLKKSKRRNCHCSSKVCDSKPYKSKEPPELVGSGPLHEASTVPGSKEAGQGK DMLEEEILEEQENMEVTQSKTARTTRKGEAPAPGSTIGSTLLCPAEVTPMELLLEGPALC SAETPRLPPQTGAVVCSVRRNQAGPEVVSCLSTSSLPPEEGEDQRAAANSETIAPHREAS ETERLPETVEHSAPLPSPVSTRTRDTGRRHICGKAGSQSWLIESRAEAEAAHVAAPICEK SSGARASEAAPKTAREVLAEDSGTQGMGPEGALPKASEATVCANNSKVSSTGEKVVLWTR EADRVILTMCQEQGAQPHTFSVISQQLGNKTPVEVSHRFRELMQLFHTACEASSEDEDDA TSTSNADQLSDHGDLLSEEELDE >ENSMUSP00000099316.3 pep:known chromosome:GRCm38:11:116918863:116986948:1 gene:ENSMUSG00000043857.16 transcript:ENSMUST00000103027.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat5b description:mannoside acetylglucosaminyltransferase 5, isoenzyme B [Source:MGI Symbol;Acc:MGI:3606200] MITVNPDGKIMVRRCLVTLRPFRLFVLGIGFFTLCFLMTSLGGQFSARRLGDSPFTIRTE VPGSPESRGALRKMSDLLELMVKRMDMLARLENSSELHRTASVAHLAADRLTPGASLIER IQAIAQNVSDIAVKVDQILRHSLILHSKVSEGRRDQCEAPSDPKFPDCSGKVEWMRARWT SDPCYAFFGVDGTECSFLIYLSEVEWFCPPLPWRNQTAARTAPKSLPRVQAVFRSNLSHL LELMGSGKESLIFMKKRTRRFTAQWTKAAKYLAQKLGDIRRDQKQILVHIGFLTEESGDV FSPRVLKGGPLGEMVQWADILAALYVLGHSLRITVSLKELQSNLGVPPGRGNCPLTVPLP FDLIYTDYHGLQQMKQHMGLSFKKYRCRIRVIDTFGTEPAYNHEEYATLHGYRTNWGYWN LNPKQFMTMFPHTPDNSFMGFVSEELNETEKQLIKDGKASNMAVVYGKEASIWKLQGKEK FLAVLNKYMEIHGTVYYESQRPPEVPAFVKNHGLLPQPEFQQLLRKAKLFIGFGFPYEGP APLEAIANGCIFLQSRFSPPHSSLNHEFFRGKPTSREVFSQHPYAENFIGKPHVWTVDYN NSDEFETAIKAIMNTQVDPYLPYEYTCAGMLERINAYIQHQDFCVGPSPLPPGASTAQSP FVLAPNATHLEWAQNISSVPGAWPPTHSLRAWLAAPGRACTDACLDHGLICEPSFFPFLN SQNSFLKLQVPCDSTEWEMHHLYPAFAQPGQECYLQKEPLLFSCAGASTKYQRLCPCRDF RKGQVALCQGCL >ENSMUSP00000122276.1 pep:known chromosome:GRCm38:11:116966796:116978393:1 gene:ENSMUSG00000043857.16 transcript:ENSMUST00000136584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat5b description:mannoside acetylglucosaminyltransferase 5, isoenzyme B [Source:MGI Symbol;Acc:MGI:3606200] XQMKQHMGLSFKKYRCRIRVIDTFGTEPAYNHEEYATLHGYRTNWGYWNLNPKQFMTMFP HTPDNSFMGFVSEELNETEKQLIKDGKASNMAVVYGKEASIWKGKEKFLAVLNKYMEIHG TVYYESQRPPEVPAFVKNHGLLPQPEFQQLLRKAKLFIGFGFPYEGPAPLEAIANGCIFL QSRFSPPHSSLNHEFFRGKPTSREVFSQHPYAEN >ENSMUSP00000138188.1 pep:known chromosome:GRCm38:17:25242659:25256364:-1 gene:ENSMUSG00000047507.12 transcript:ENSMUST00000182056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap3 description:BAI1-associated protein 3 [Source:MGI Symbol;Acc:MGI:2685783] MSTLLDIKSSVLRQVQVCPSFRRKTEQEPEVTNSQEPPTGAWKPGDGVEFFAHMRLILKK GDGRQGLPCPEVLLRSGSPAPAEPVDPNRGLRTLTQEEVEMLYEEALYTVLHRAGTMGPD QVDDEEVLLSYLQQVFGTSSEEHMEAIMRVKKAKAPTYALKVSVMRAKNLLAKDPNGFSD PYCMLGILPASSAPQEPSGQKEQRFGFRKGSKRSSPLPAKCIQVTEVKNSTLNPVWKEHF LFEIDDVNTDQLHLDIWDHDDDVSLAEACRKLNEVIGLKGMTRYFKQIVKSARANGTAGP TEDHTDDFLGCLNIPIREVPVAGADRWFKLEPRSSASRVQGDCHLVLKLITTQRDTVMSQ RGRSGFLSYLLLLSRVLRFEHRVEEPNSSSWRGELSGPGTTVLCLHGAQSNLSPLQLAVL HWQVSSRHHQTRTLDYGYLLGLLEDVQAHWEEAASLPQEQEESLADSFSAFSEFGLRLLR QLRDYFPATNSTAVYRLELLLKCLEKLQLFQPAFEICPFETELSMDIAAALKRGNREWYD QLLNTKSPREQPGPQRLAGLVELADIIYEDLQLCYGVYASLFHGILKVDFFTLTFRQLER LVAEEAWVLTEELSPKMNLEVASGLFELYLTLADTQRFWSCIPGRESRSLALAGIHTPFL PAVKLWLQVLRDQAKWRLQGAVDVDTLEPVDAASKHSSSAATASLCLSHIQELWVRLAWP DPSQAQGLGTQLSQDMCEASLFYTELLRKKVDTQPGAAGEAVSEQLCVVLNNVELVRRAS GQALRGLAWSEGASGLEGVLPRPLLSCIQALDEDLHREAHTVTAHLTSKMVADIRKYIQH ISLSPDSIQNDEAVAPLLKYLDEKLALLNDALVKENLNRVLEALWELLLQAILQALSANR DVSADFYGRFHFTLEALVSFFHAEGQGLPLENLRDGSYKRLQEELRLHKCSTRECIEQFY LDKLKQRSLEQNRFGRLTVRCHYEAAEQRLAVEVLHAADLLPLDANGLSDPFVIVELGPP HLFPLVRSQRTQVKARTLHPVYDELFHFSVPAEACRRRGACVLFTVMDHDWLSTNDFAGE AALGLGGISGIARPHVGGGMRPGQPITLHLRRPRAQVRSALRMLEGRTSREAQEFVKKLK ELEKCMEADL >ENSMUSP00000138254.1 pep:known chromosome:GRCm38:17:25243355:25253947:-1 gene:ENSMUSG00000047507.12 transcript:ENSMUST00000182825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap3 description:BAI1-associated protein 3 [Source:MGI Symbol;Acc:MGI:2685783] MSTLLDIKSSVLRQVQVCPSFRRKTEQEPEVTNSQEPPTGAWKPGDGVEFFAHMRLILKK GDGRQGLPCPEVLLRSGSPAPAEPVDPNRGLRTLTQEEVEMLYEEALYTVLHRAGTMGPD QVDDEEVLLSYLQQVFGTSSEEHMEAIMRVKKAKAPTYALKVSVMRAKNLLAKDPNGFSD PYCMLGILPASSAPQEPSGQKEQRFGFRKGSKRSSPLPAKCIQVTEVKNSTLNPVWKEHF LFEIDDVNTDQLHLDIWDHDDDVSLAEACRKLNEVIGLKGMTRYFKQIVKSARANGTAGP TEDHTDDFLGCLNIPIRRDTVMSQRGRSGFLSYLLLLSRVLRFEHRVEEPNSSSWRGELS GPGTTVLCLHGAQSNLSPLQLAVLHWQVSSRHHQTRTLDYGYLLGLLEDVQAHWEEAASL PQEQEESLADSFSAFSEFGLRLLRQLRDYFPATNSTAVYRLELLLKCLEKLQLFQPAFEI CPFETELSMDIAAALKRGNREWYDQLLNTKSPREQPGPQRLAGLVELADIIYEDLQLCYG VYASLFHGILKVDFFTLTFRQLERLVAEEAWVLTEELSPKMNLEVASGLFELYLTLADTQ RFWSCIPGRESRSLALAGIHTPFLPAVKLWLQVLRDQAKWRLQGAVDVDTLEPVDAASKH SSSAATASLCLSHIQELWVRLAWPDPSQAQGLGTQLSQDMCEASLFYTELLRKKVDTQPG AAGEAVSEQLCVVLNNVELVRRASGQALRGLAWSEGASGLEGVLPRPLLSCIQALDEDLH REAHTVTAHLTSKMVADIRKYIQHISLSPDSIQNDEAVAPLLKYLDEKLALLNDALVKEN LNRVLEALWELLLQAILQALSANRDVSADFYGRFHFTLEALVSFFHAEGQGLPLENLRDG SYKRLQEELRLHKCSTRECIEQFYLDKLKQRSLEQNRFGRLTVRCHYEAAEQRLAVEVLH AADLLPLDANGLSDPFVIVELGPPHLFPLVRSQRTQVKARTLHPVYDELFHFSVPAEACR RRGACVLFTVMDHDWLSTNDFAGEAALGLGGISGIARPHVGGGMRPGQPITLHLRRPRAQ VRSALRMLEGRTSREAQEFVKKLKELEKCMEADL >ENSMUSP00000138454.1 pep:known chromosome:GRCm38:17:25243370:25244311:-1 gene:ENSMUSG00000047507.12 transcript:ENSMUST00000182696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap3 description:BAI1-associated protein 3 [Source:MGI Symbol;Acc:MGI:2685783] XGLSDPFVIVELGPPHLFPLVRSQRTQVKARTLHPVYDELFHFEVCTADARRPHQQRSTG VCQETQGAGEVHGGGPLSALMVIVPPSPSP >ENSMUSP00000138796.1 pep:known chromosome:GRCm38:17:25243379:25256281:-1 gene:ENSMUSG00000047507.12 transcript:ENSMUST00000182435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap3 description:BAI1-associated protein 3 [Source:MGI Symbol;Acc:MGI:2685783] MSTLLDIKSSVLRQVQVCPSFRRKTEQEPEVTNSQEPPTGAWKPGDGVEFFAHMRLILKK GDGRQGLPCPEVLLRSGSPAPAEPVDPNRGLRTLTQEEVLLSYLQQVFGTSSEEHMEAIM RVKKAKAPTYALKVSVMRAKNLLAKDPNGFSDPYCMLGILPASSAPQEPSGQKEQRFGFR KGSKRSSPLPAKCIQVTEVKNSTLNPVWKEHFLFEIDDVNTDQLHLDIWDHDDDVSLAEA CRKLNEVIGLKGMTRYFKQIVKSARANGTAGPTEDHTDDFLGCLNIPIREVPVAGADRWF KLEPRSSASRVQGDCHLVLKLITTQRDTVMSQRGRSGFLSYLLLLSRVLRFEHRVEEPNS SSWRGELSGPGTTVLCLHGAQSNLSPLQLAVLHWQVSSRHHQTRTLDYGYLLGLLEDVQA HWEEAASLPQEQEESLADSFSAFSEFGLRLLRQLRDYFPATNSTAVYRLELLLKCLEKLQ LFQPAFEICPFETELSMDIAAALKRGNREWYDQLLNTKSPREQPGPQRLAGLVELADIIY EDLQLCYGVYASLFHGILKVDFFTLTFRQLERLVAEEAWVLTEELSPKMNLEVASGLFEL YLTLADTQRFWSCIPGRESRSLALAGIHTPFLPAVKLWLQVLRDQAKWRLQGAVDVDTLE PVDAASKHSSSAATASLCLSHIQELWVRLAWPDPSQAQGLGTQLSQDMCEASLFYTELLR KKVDTQPGAAGEAVSEQLCVVLNNVELVRRASGQALRGLAWSEGASGLEGVLPRPLLSCI QALDEDLHREAHTVTAHLTSKMVADIRKYIQHISLSPDSIQNDEAVAPLLKYLDEKLALL NDALVKENLNRVLEALWELLLQAILQALSANRDVSADFYGRFHFTLEALVSFFHAEGQGL PLENLRDGSYKRLQEELRLHKCSTRECIEQFYLDKLKQRSLEQNRFGRLTVRCHYEAAEQ RLAVEVLHAADLLPLDANGLSDPFVIVELGPPHLFPLVRSQRTQVKARTLHPVYDELFHF SVPAEACRRRGACVLFTVMDHDWLSTNDFAGEAALGLGGISGIARPHVGGGMRPGQPITL HLRRPRAQVRSALRMLEGRTSREAQEFVKKLKELEKCMEADL >ENSMUSP00000129854.2 pep:known chromosome:GRCm38:17:25243522:25256281:-1 gene:ENSMUSG00000047507.12 transcript:ENSMUST00000169109.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap3 description:BAI1-associated protein 3 [Source:MGI Symbol;Acc:MGI:2685783] MSTLLDIKSSVLRQVQVCPSFRRKTEQEPEVTNSQEPPTGAWKPGDGVEFFAHMRLILKK GDGRQGLPCPEVLLRSGSPAPAEPVDPNRGLRTLTQEEVDDEEVLLSYLQQVFGTSSEEH MEAIMRVKKAKAPTYALKVSVMRAKNLLAKDPNGFSDPYCMLGILPASSAPQEPSGQKEQ RFGFRKGSKRSSPLPAKCIQVTEVKNSTLNPVWKEHFLFEIDDVNTDQLHLDIWDHDDDV SLAEACRKLNEVIGLKGMTRYFKQIVKSARANGTAGPTEDHTDDFLGCLNIPIREVPVAG ADRWFKLEPRSSASRVQGDCHLVLKLITTQRDTVMSQRGRSGFLSYLLLLSRVLRFEHRV EEPNSSSWRGELSGPGTTVLCLHGAQSNLSPLQLAVLHWQVSSRHHQTRTLDYGYLLGLL EDVQAHWEEAASLPQEQEESLADSFSAFSEFGLRLLRQLRDYFPATNSTAVYRLELLLKC LEKLQLFQPAFEICPFETELSMDIAAALKRGNREWYDQLLNTKSPREQPGPQRLAGLVEL ADIIYEDLQLCYGVYASLFHGILKVDFFTLTFRQLERLVAEEAWVLTEELSPKMNLEVAS GLFELYLTLADTQRFWSCIPGRESRSLALAGIHTPFLPAVKLWLQVLRDQAKWRLQGAVD VDTLEPVDAASKHSSSAATASLCLSHIQELWVRLAWPDPSQAQGLGTQLSQDMCEASLFY TELLRKKVDTQPGAAGEAVSEQLCVVLNNVELVRRASGQALRGLAWSEGASGLEGVLPRP LLSCIQALDEDLHREAHTVTAHLTSKMVADIRKYIQHISLSPDSIQNDEAVAPLLKYLDE KLALLNDALVKENLNRVLEALWELLLQAILQALSANRDVSADFYGRFHFTLEALVSFFHA EGQGLPLENLRDGSYKRLQEELRLHKCSTRECIEQFYLDKLKQRSLEQNRFGRLTVRCHY EAAEQRLAVEVLHAADLLPLDANGLSDPFVIVELGPPHLFPLVRSQRTQVKARTLHPVYD ELFHFSVPAEACRRRGACVLFTVMDHDWLSTNDFAGEAALGLGGISGIARPHVGGGMRPG QPITLHLRRPRAQVRSALRMLEGRTSREAQEFVKKLKELEKCMEADL >ENSMUSP00000099570.4 pep:known chromosome:GRCm38:4:138799244:138819255:-1 gene:ENSMUSG00000041193.15 transcript:ENSMUST00000102512.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g5 description:phospholipase A2, group V [Source:MGI Symbol;Acc:MGI:101899] MKGLLTLAWFLACSVPAVPGGLLELKSMIEKVTRKNAFKNYGFYGCYCGWGGRGTPKDGT DWCCQMHDRCYGQLEEKDCAIRTQSYDYRYTNGLVICEHDSFCPMRLCACDRKLVYCLRR NLWTYNPLYQYYPNFLC >ENSMUSP00000099571.1 pep:known chromosome:GRCm38:4:138799244:138863482:-1 gene:ENSMUSG00000041193.15 transcript:ENSMUST00000102513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g5 description:phospholipase A2, group V [Source:MGI Symbol;Acc:MGI:101899] MKGLLTLAWFLACSVPAVPGGLLELKSMIEKVTRKNAFKNYGFYGCYCGWGGRGTPKDGT DWCCQMHDRCYGQLEEKDCAIRTQSYDYRYTNGLVICEHDSFCPMRLCACDRKLVYCLRR NLWTYNPLYQYYPNFLC >ENSMUSP00000099569.1 pep:known chromosome:GRCm38:4:138800353:138812165:-1 gene:ENSMUSG00000041193.15 transcript:ENSMUST00000102511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g5 description:phospholipase A2, group V [Source:MGI Symbol;Acc:MGI:101899] MKGLLTLAWFLACSVPAVPGGLLELKSMIEKVTRKNAFKNYGFYGCYCGWGGRGTPKDGT DWCCQMHDRCYGQLEEKDCAIRTQSYDYRYTNGLVICEHDSFCPMRLCACDRKLVYCLRR NLWTYNPLYQYYPNFLC >ENSMUSP00000030524.7 pep:known chromosome:GRCm38:4:138799249:138863469:-1 gene:ENSMUSG00000041193.15 transcript:ENSMUST00000030524.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g5 description:phospholipase A2, group V [Source:MGI Symbol;Acc:MGI:101899] MKGLLTLAWFLACSVPAVPGGLLELKSMIEKVTRKNAFKNYGFYGCYCGWGGRGTPKDGT DWCCQMHDRCYGQLEEKDCAIRTQSYDYRYTNGLVICEHDSFCPMRLCACDRKLVYCLRR NLWTYNPLYQYYPNFLC >ENSMUSP00000092252.4 pep:known chromosome:GRCm38:2:120265595:120289197:-1 gene:ENSMUSG00000070719.4 transcript:ENSMUST00000094665.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g4d description:phospholipase A2, group IVD [Source:MGI Symbol;Acc:MGI:1925640] MWSGDRRVGMESLSPERLHGHPYQEEASVFCRLTVKILEARSLPRADLLSQADPYVTVQL PTASGMKFKTQTVTNSSHPVWNETFSFLIQSQVKNILELTIYDEDVITKDDICFKVSYDV SEILPGQLLQKTFSLNPQGPEELDVELLMERTWDPPENLITNNVLVARELSHLDVSLDRA GNTAMAAGQDKLELELMLKGSYEDTQTFFPDTAFTFSFHYMRGQDTELNGYLRGPRNSGW NSDTSVTPFNVPLMSLAAGKEMTIDIPAMKAPEGKLQLKTDCCPKELSVRLSYGLCPEEQ AFLSRRKKVVAAALKQALQLDEDLNEDEVPVVGINAEGGGMRAMISLYGHLLALQKLGLL DCVTYFSGISGSTWTMAHLYRDPEWSQRDLEGPISHAREHVAKTLLKEFLPEHLASYRQT LKLREEQGYTVTVADLWGLVLESKLHGQVTDQKLSGQRAALERGQNPLPLYLSLNVKENH LETLHFKEWVEFSPYEVGFLKYGGFVPSELFGSEFFMGRLMKRLPESQICFLEGIWSNLF SVNLMDIWYDITYGKDSNNFPVDVRNSEKEFSGSAGTSSGVEAPWLESGTALAQALKGFL TGRPFHQRSANFLHGLQLHRDYCNQRHFSTWADCNLDDTPNQLTPQDPQLCLIDAGCFMN SSCPSLFRPGRQVDLIISFNYNQSLPFKGLQQSEKYSRARGLPFPRVEPSPEDHSQPQEC YLFSDPTCPEAPVVLHFPLVNDSFRDHSAPGVRRSPDELKAGQVNLTGAASPYFMYNMTY KNEDFDRLLQLSDYNVQNNQGTILQALRTVLKRRASETRPLGVKT >ENSMUSP00000097421.2 pep:known chromosome:GRCm38:2:88124033:88124983:-1 gene:ENSMUSG00000101078.1 transcript:ENSMUST00000099833.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1166 description:olfactory receptor 1166 [Source:MGI Symbol;Acc:MGI:3031000] MAYEVMNQTSATTFILVGFSEYPQLQKPLFLLFLAIYSVTLVGNLGILVVIKVNPKLHTP MYFFLSHLSFLDICYSSVFTPKLLQILIMEDRTVSFKACMVQFFFICTFVITEMFMLAVM AYDRFVAVCNPLLYTVVMPFQFCALLVAGTYMIGGLCTVILLYTLLQLSYCEYGIINHFG CEYSAVISVSCSDSSFSQLTSLVIAIVSESSSVLITLASYVFIVVTIIKMPSKGGLRKAF STCTSHLTAISIFHGIILLLYCVPNSNSSRLFVKVATALYTIMIPMLNPLIYSLRNKDVK DTVRRLISSKLHSHLT >ENSMUSP00000019026.3 pep:known chromosome:GRCm38:11:97315716:97329920:1 gene:ENSMUSG00000018882.12 transcript:ENSMUST00000019026.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl45 description:mitochondrial ribosomal protein L45 [Source:MGI Symbol;Acc:MGI:1914286] MAAPVPRGLSSLYRTLGWWSRQPILVTQSTTVVQVKTKSRFRPPTPEPKYKTEKEFLEYA RKAGLVIPQERLERPIHLACTAGIFDPYVPPEGDARMSSLSKEGLTQRTERLRKNAASQL AIRKIREFDANFKTKDFPEKAKDIFIEAHLCLNNSDHDRLHTLVTEHCFPDMVWDLKYKT VRWGFVESLEPAQVVHVRCSGLVNQSNMYGQVTVRLHTRQTLAIYDRFGRLMYGQEDVPK DVLEYVVFERHLMNPYGSWRMHAKIVPAWAPPKQPILKTLMIPGPQLKPWEEYEETQGEA QKPQLA >ENSMUSP00000116306.1 pep:known chromosome:GRCm38:11:97315908:97321725:1 gene:ENSMUSG00000018882.12 transcript:ENSMUST00000132168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl45 description:mitochondrial ribosomal protein L45 [Source:MGI Symbol;Acc:MGI:1914286] XYRTLGWWSRQPILVTQSTTVVQVKTKSRFRPPTPEPKYKTEKEFLEYARKAGLVIPQER LERPIHLACTAGIFDPYVPPEGDARMSSLSKEGLTQRTERLRKNAASQLAYVSEIIRLQY SGLRRTCNI >ENSMUSP00000040019.5 pep:known chromosome:GRCm38:18:63010213:63387183:-1 gene:ENSMUSG00000041482.16 transcript:ENSMUST00000047480.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piezo2 description:piezo-type mechanosensitive ion channel component 2 [Source:MGI Symbol;Acc:MGI:1918781] MASEVVCGLIFRLLLPICLAVACAFRYNGLSFVYLIYLLLIPLFSEPTKATMQGHTGRLL QSLCITSLSFLLLHIIFHITLASLEAQHRITPAYNCSTWEKTFRQIGFESLKGADAGNGI RVFVPDIGMFIASLTIWLVCRTIVKKPDTEEIAQLNSECENEELAGGEKMDSEEALIYEE DLDGEEGMEGELEESTKLKILRRFASVASKLKEFIGNMITTAGKVVVTILLGSSGMMLPS LTSAVYFFVFLGLCTWWSWCRTFDPLLFGCLCVLLAIFTAGHLIGLYLYQFQFFQEAVPP NDYYARLFGIKSVIQTDCASTWKIIVNPDLSWYHHANPILLLVMYYTLATLIRIWLQEPL VQEEMAKEDEGALDCSSNQNTAERRRSLWYATQYPTDERKLLSMTQDDYKPSDGLLVTVN GNPVDYHTIHPSLPIENGPAKTDLYTTPQYRWEPSEESSEKKEEEEDKREDSEGEGSQEE KRSVRMHAMVAVFQFIMKQSYICALIAMMAWSITYHSWLTFVLLIWSCTLWMIRNRRKYA MISSPFMVVYANLLLVLQYIWSFELPEIKKVPGFLEKKEPGELASKILFTITFWLLLRQH LTEQKALREKEALLSEVKIGSQELEEKEDEELQDVQVEGEPTEKEEEEEEEIKEERHEVK KEEEEEVEEDDDQDIMKVLGNLVVALFIKYWIYVCGGMFFFVSFEGKIVMYKIIYMVLFL FCVALYQVHYEWWRKILKYFWMSVVIYTMLVLIFIYTYQFENFPGLWQNMTGLKKEKLED LGLKQFTVAELFTRIFIPTSFLLVCILHLHYFHDRFLELTDLKSIPSKEDNTIYSHAKVN GRVYLIINRLAHPEGSLPDLAIMNMTASLDKPEVQKLAESGEERPEECVKKTEKGEAGKD SDESEEEEDEEEESEEEESSDLRNKWHLVIDRLTVLFLKFLEYFHKLQVFMWWILELHII KIVSSYIIWVTVKEVSLFNYVFLISWAFALPYAKLRRAASSVCTVWTCVIIVCKMLYQLQ TIKPENFSVNCSLPNENQTNIPLHELNKSLLYSAPVDPTEWVGLRKSSPLLVYLRNNLLM LAILAFEVTVYRHQEYYRGRNNLTAPVSKTIFHDITRLHLDDGLINCAKYFVNYFFYKFG LETCFLMSVNVIGQRMDFYAMIHACWLIGVLYRRRRKAIAEVWPKYCCFLACIITFQYFV CIGIPPAPCRDYPWRFKGAYFNDNIIKWLYFPDFIVRPNPVFLVYDFMLLLCASLQRQIF EDENKAAVRIMAGDNVEICMNLDAASFSQHNPVPDFIHCRSYLDMSKVIIFSYLFWFVLT IIFITGTTRISIFCMGYLVACFYFLLFGGDLLLKPIKSILRYWDWLIAYNVFVITMKNIL SIGACGYIGALVRNSCWLIQAFSLACTVKGYQMPEDDSRCKLPSGEAGIIWDSICFAFLL LQRRVFMSYYFLHVVADIKASQILASRGAELFQATIVKAVKARIEEEKKSMDQLKRQMDR IKARQQKYKKGKERMLSLTQESGEGQDIQKVSEEDDEREADKQKAKGKKKQWWRPWVDHA SMVRSGDYYLFETDSEEEEEEELKKEDEEPPRKSAFQFVYQAWITDPKTALRQRRKEKKK LAREEQKERRKGSGDGPVEWEDREDEPVKKKSDGPDNIIKRIFNILKFTWVLFLATVDSF TTWLNSISREHIDISTVLRIERCMLTREIKKGNVPTRESIHMYYQNHIMNLSRESGLDTI DEHSGAGSRAQAAHRMDSLDSRDSISSCYTEATLLISRQSTLDDLDGQDPVPKTSERARP RLRKMFSLDMSSSSADSGSVASSEPTQCTMLYSRQGTTETIEEVEAEAEEEVVEGLEPEL HDAEEKEYAAEYEAGVEEISLTPDEELPQFSTDDCDVEAPPSYSKAVSFEHLSFASQDDS GAKNHMVVSPDDSRTDKLESSILPPLTHELTASDLLMSKMFHDDELEESEKFYVDQPRFL LLFYAMYNTLVARSEMVCYFVIILNHMTSASIITLLLPILIFLWAMLSVPRPSRRFWMMA IVYTEVAIVVKYFFQFGFFPWNKDLEIYKERPYFPPNIIGVEKKEGYVLYDLIQLLALFF HRSILKCHGLWDEDDIVDSNTDKEGSDDELSLDQGRRGSSDSLKSINLAASVESVHVTFP EQPAAIRRKRSCSSSQISPRSSFSSNRSKRGSTSTRNSSQKGSSVLSLKQKSKRELYMEK LQEHLIKAKAFTIKKTLQIYVPIRQFFYDLIHPDYSAVTDVYVLMFLADTVDFIIIVFGF WAFGKHSAAADITSSLSEDQVPGPFLVMVLIQFGTMVVDRALYLRKTVLGKVIFQVILVF GIHFWMFFILPGVTERKFSQNLVAQLWYFVKCVYFGLSAYQIRCGYPTRVLGNFLTKSYN YVNLFLFQGFRLVPFLTELRAVMDWVWTDTTLSLSSWICVEDIYAHIFILKCWRESEKRY PQPRGQKKKKAVKYGMGGMIIVLLICIVWFPLLFMSLIKSVAGVINQPLDVSVTITLGGY QPIFTMSAQQSQLKVMDNSKYNEFLKSFGPNSGAMQFLENYEREDVTVAELEGNSNSLWT ISPPSKQKMIQELTDPNSCFSVVFSWSIQRNMTLGAKAEIATDKLSFPLAVATRNSIAKM IAGNDTESSNTPVTIEKIYPYYVKAPSDSNSKPIKQLLSENNFMNITIILFRDNVTKSNS EWWVLNLTGSRIFNQGSQALELVVFNDKVSPPSLGFLAGYGIMGLYASVVLVIGKFVREF FSGISHSIMFEELPNVDRILKLCTDIFLVRETGELELEEDLYAKLIFLYRSPETMIKWTR EKTN >ENSMUSP00000117107.2 pep:known chromosome:GRCm38:18:63010216:63030312:-1 gene:ENSMUSG00000041482.16 transcript:ENSMUST00000137141.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Piezo2 description:piezo-type mechanosensitive ion channel component 2 [Source:MGI Symbol;Acc:MGI:1918781] XPRSSFSSNRSKRGSTSTRNSSQKGSSVLSLKQKSKRELYMEKLQEHLIKAKAFTIKKTL QIYVPIRQFFYDLIHPDYSAVTDVYVLMFLADTVDFIIIVFGFWAFGKHSAAADITSSLS EDQVPGPFLVMVLIQFGTMVVDRALYLRKTVLGKVIFQVILVFGIHFWMFFILPGVTERK FSQNLVAQLWYFVKCVYFGLSAYQIRCGYPTRVLGNFLTKSYNYVNLFLFQGFRLVPFLT ELRAVMDWVWTDTTLSLSSWICVEDIYAHIFILKCWRESEKRYPQPRGQKKKKAVKYGMG GMIIVLLICIVWFPLLFMSLIKSVAGVINQPLDVSVTITLGGYQPIFTMSAQQSQLKVMD NSKYNEFLKSFGPNSGAMQFLENYEREDVTVAELEGNSNSLWTISPPKT >ENSMUSP00000138758.1 pep:known chromosome:GRCm38:18:63059718:63386457:-1 gene:ENSMUSG00000041482.16 transcript:ENSMUST00000183217.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piezo2 description:piezo-type mechanosensitive ion channel component 2 [Source:MGI Symbol;Acc:MGI:1918781] MASEVVCGLIFRLLLPICLAVACAFRYNGLSFVYLIYLLLIPLFSEPTKATMQGHTGRLL QSLCITSLSFLLLHIIFHITLASLEAQHRITPAYNCSTWEKTFRQIGFESLKGADAGNGI RVFVPDIGMFIASLTIWLVCRTIVKKPDTEEIAQLNSECENEELAGGEKMDSEEALIYEE DLDGEEGMEGELEESTKLKILRRFASVASKLKEFIGNMITTAGKVVVTILLGSSGMMLPS LTSAVYFFVFLGLCTWWSWCRTFDPLLFGCLCVLLAIFTAGHLIGLYLYQFQFFQEAVPP NDYYARLFGIKSVIQTDCASTWKIIVNPDLSWYHHANPILLLVMYYTLATLIRIWLQEPL VQEEMAKEDEGALDCSSNQNTAERRRSLWYATQYPTDERKLLSMTQDDYKPSDGLLVTVN GNPVDYHTIHPSLPIENGPAKTDLYTTPQYRWEPSEESSEKKEEEEDKREDSEGEGSQEE KRSVRMHAMVAVFQFIMKQSYICALIAMMAWSITYHSWLTFVLLIWSCTLWMIRNRRKYA MISSPFMVVYANLLLVLQYIWSFELPEIKKVPGFLEKKEPGELASKILFTITFWLLLRQH LTEQKALREKEALLSEVKIGSQELEEKEDEELQDVQVEGEPTEKEEEEEEEIKEERHEVK KEEEEEVEEDDDQDIMKVLGNLVVALFIKYWIYVCGGMFFFVSFEGKIVMYKIIYMVLFL FCVALYQVHYEWWRKILKYFWMSVVIYTMLVLIFIYTYQFENFPGLWQNMTGLKKEKLED LGLKQFTVAELFTRIFIPTSFLLVCILHLHYFHDRFLELTDLKSIPSKEDNTIYRLAHPE GSLPDLAIMNMTASLDKPEVQKLAESGEERPEECVKKTEKGEAGKDSDESEEEEDEEEES EEEESSDLRNKWHLVIDRLTVLFLKFLEYFHKLQVFMWWILELHIIKIVSSYIIWVTVKE VSLFNYVFLISWAFALPYAKLRRAASSVCTVWTCVIIVCKMLYQLQTIKPENFSVNCSLP NENQTNIPLHELNKSLLYSAPVDPTEWVGLRKSSPLLVYLRNNLLMLAILAFEVTVYRHQ EYYRGRNNLTAPVSKTIFHDITRLHLDDGLINCAKYFVNYFFYKFGLETCFLMSVNVIGQ RMDFYAMIHACWLIGVLYRRRRKAIAEVWPKYCCFLACIITFQYFVCIGIPPAPCRDYPW RFKGAYFNDNIIKWLYFPDFIVRPNPVFLVYDFMLLLCASLQRQIFEDENKAAVRIMAGD NVEICMNLDAASFSQHNPVPDFIHCRSYLDMSKVIIFSYLFWFVLTIIFITGTTRISIFC MGYLVACFYFLLFGGDLLLKPIKSILRYWDWLIAYNVFVITMKNILSIGACGYIGALVRN SCWLIQAFSLACTVKGYQMPEDDSRCKLPSGEAGIIWDSICFAFLLLQRRVFMSYYFLHV VADIKASQILASRGAELFQATIVKAVKARIEEEKKSMDQLKRQMDRIKARQQKYKKGKER MLSLTQESGEGQDIQKVSEEDDEREADKQKAKGKKKQWWRPWVDHASMVRSGDYYLFETD SEEEEEEELKKEDEEPPRKSAFQFVYQAWITDPKTALRQ >ENSMUSP00000138170.1 pep:known chromosome:GRCm38:18:63079595:63146802:-1 gene:ENSMUSG00000041482.16 transcript:ENSMUST00000182233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piezo2 description:piezo-type mechanosensitive ion channel component 2 [Source:MGI Symbol;Acc:MGI:1918781] SSGMMLPSLTSAVYFFVFLGLCTWWSWCRTFDPLLFGCLCVLLAIFTAGHLIGLYLYQFQ FFQEAVPPNDYYARLFGIKSVIQTDCASTWKIIVNPDLSWYHHANPILLLVMYYTLATLI RIWLQEPLVQEEMAKEDEGALDCSSNQNTAERRRSLWYATQYPTDERKLLSMTQDDYKPS DGLLVTVNGNPVDYHTIHPSLPIENGPAKTDLYTTPQYRWEPSEESSEKKEEEEDKREDS EGEGSQEEKRSVRMHAMVAVFQFIMKQSYICALIAMMAWSITYHSWLTFVLLIWSCTLWM IRNRRKYAMISSPFMVVYANLLLVLQYIWSFELPEIKKVPGFLEKKEPGELASKILFTIT FWLLLRQHLTEQKALREKEALLSEVKIGSQELEEKEDEELQDVQVEGEPTEKEEEEEEEI KEERHEVKKEEEEEVEEDDDQDIMKVLGNLVVALFIKYWIYVCGGMFFFVSFEGKIVMYK IIYMVLFLFCVALYQVHYEWWRKILKYFWMSVVIYTMLVLIFIYTYQFENFPGLWQNMTG LKKEKLEDLGLKQFTVAELFTRIFIPTSFLLVCILHLHYFHDRFLELTDLKSIPSKEDNT IYSHAKVNGRVYLIINRLAHPEGSLPDLAIMNMTASLDKPEVQKLAESGEERPEECVKKT EKGEAGKDSDESEEEEDEEEESEEEESSDLRNKWHLVIDRLTVLFLKFLEYFHKLQVFMW WILELHIIKIVSSYIIWVTVKEVSLFNYVFLISWAFALPYAKLRRAASSVCTVWTCVIIV CKMLYQLQTIKPENFSVNCSLPNENQTNIPLHELNKSLLYSAPVDPTEWVGLRKSSPLLV YLRNNLLMLAILAFEVTVYRHQEYYRGRNNLTAPVSKTIFHDITRLHLDDGLINCAKYFV NYFFYKFGLETCFLMSVNVIGQRMDFYAMIHACWLIGVLYRRRRKAIAEVWPKYCCFLAC IITFQYFVCIGIPPAPCRDYPWRFKGAYFNDNIIKWLYFPDFIVRPNPVFLVYDFMLLLC ASLQRQIFEDENKAAVRIMAGDNVEICMNLDAASFSQHNPVPDFIHCRKTFQSKPSMKG >ENSMUSP00000138754.1 pep:known chromosome:GRCm38:18:63109523:63182841:-1 gene:ENSMUSG00000041482.16 transcript:ENSMUST00000182166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piezo2 description:piezo-type mechanosensitive ion channel component 2 [Source:MGI Symbol;Acc:MGI:1918781] XESLKGADAGNGIRVFVPDIGMFIASLTIWLVCRTIVKKPDTEEIAQLNSECENEELAGG EKMDSEEALIYEEDLDGEEGMEGELEESTKLKILRRFASVASKLKEFIGNMITTAGKVVV TILLGSSGMMLPSLTSAVYFFVFLGLCTWWSWCRTFDPLLFGCLCVLLAIFTAGHLIGLY LYQFQFFQEAVPPNDYYARLFGIKSVIQTDCASTWKIIVNPDLSWYHHANPILLLVMYYT LATLIRIWLQEPLVQEEMAKEDEGALDCSSNQNTAERRRSLWYATQYPTDERKLLSMTQD DYKPSDGLLVTVNGNPVDYHTIHPSLPIENGPAKTDLYTTPQYRWEPSEESSEKKEEEED KREDSEGEGSQEEKRSVRMHAMVAVFQFIMKQSYICALIAMMAWSITYHSWLTFVLLIWS CTLWMIRNRRKYAMISSPFMVVYANLLLVLQYIWSFELPEIKKVPGFLEKKEPGELASKI LFTITFWLLLRQHLTEQKALREKEALLSEVKIGSQELEEKEMLFPMKDNTKCQRNFL >ENSMUSP00000036099.5 pep:known chromosome:GRCm38:18:63109573:63387183:-1 gene:ENSMUSG00000041482.16 transcript:ENSMUST00000046860.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piezo2 description:piezo-type mechanosensitive ion channel component 2 [Source:MGI Symbol;Acc:MGI:1918781] MASEVVCGLIFRLLLPICLAVACAFRYNGLSFVYLIYLLLIPLFSEPTKATMQGHTGRLL QSLCITSLSFLLLHIIFHITLASLEAQHRITPAYNCSTWEKTFRQIGFESLKGADAGNGI RVFVPDIGMFIASLTIWLVCRTIVKKPDTEEIAQLNSECENEELAGGEKMDSEEALIYEE DLDGEEGMEGELEESTKLKILRRFASVASKLKEFIGNMITTAGKVVVTILLGSSGMMLPS LTSAVYFFVFLGLCTWWSWCRTFDPLLFGCLCVLLAIFTAGHLIGLYLYQFQFFQEAVPP NDYYARLFGIKSVIQTDCASTWKIIVNPDLSWYHHANPILLLVMYYTLATLIRIWLQEPL VQEEMAKEDEGALDCSSNQNTAERRRSLWYATQYPTDERKLLSMTQDDYKPSDGLLVTVN GNPVDYHTIHPSLPIENGPAKTDLYTTPQYRWEPSEESSEKKEEEEDKREDSEGEGSQEE KRSVRMHAMVAVFQFIMKQSYICALIAMMAWSITYHSWLTFVLLIWSCTLWMIRNRRKYA MISSPFMVVYANLLLVLQYIWSFELPEIKKVPGFLEKKEPGELASKILFTITFWLLLRQH LTEQKALREKEALLSEVKIGSQELEEKEMLFPMKDNTKCQRNFL >ENSMUSP00000122712.1 pep:known chromosome:GRCm38:13:35967853:35970388:1 gene:ENSMUSG00000050423.10 transcript:ENSMUST00000132661.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r3g description:protein phosphatase 1, regulatory (inhibitor) subunit 3G [Source:MGI Symbol;Acc:MGI:1923737] MDPSGEQLHRSEASSSTSSGDPQSAEELSVPEVLCVESGTSETPIPDAQLQDRPLSPQKG AALPEQEELQEYRRSRARSFSLPADPILQAAKLLQQRQQAGQPSSEGGAPAGDCCSKCKK RVQFADSLGLSLASVKHFSEAEEPQVPPAVLSRLHSFPLRAEDLQQLGGLLAVATMPDPL LVPCARLRPHFQLPELRAAEERLRRQRVCLERVQCSQPPRAEVTGSGRVISCPGPRAVAV RYTFTEWRTFLDVPAELDPESVEPLPPLQSGDSGSKAEDSEEGPGTERFHFSLCLPPGLQ PKEGEDAGAWGVAIHFAVCYRCEQGEYWDNNEGANYTLRYVCSTDPL >ENSMUSP00000102420.3 pep:known chromosome:GRCm38:4:104815679:104876395:-1 gene:ENSMUSG00000035031.15 transcript:ENSMUST00000106808.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C8a description:complement component 8, alpha polypeptide [Source:MGI Symbol;Acc:MGI:2668347] MAPWGNCTREGEPYRYRSLLQPSKFGGTICSGDIWDEASCDSPTPCLRQAQCGQDFQCRE TGRCLKRHLVCNGDNDCLDGSDESDCEDVRVTEDDCHQYEPIPGSERAALGYNILTQEEA QSVYDAKYYGGQCETVYNGDWRKLRYDPTCERLYYGEDEKYFRKPYNFLKYHFEALADTS ISSEFYDDANDLFFHIKNGKSHSAGVTVGVAPVKSPVSIEVTGSGSKASSFLNKLNKYNE KRYGFMRVSTKIQTAQFKMRRNNIVLDEGMLQSLMELPEQFNYGMYAKFINDYGTHYITS GTMGGIYEYVMVLDKEKMKTEGTTVDEVQKCIGGGIGIGIKDSTIEGVGISGEFCENSGD GDRDIRKKITGVEDIISRVQGGSSVWGSVLTHNSSAITYQSWGRSLKYNPVVIDFEMQPI YQLLRHTNLGPLETKRQNLRRALDQYLMEFNACRCGPCFNNGEPILDGTNCRCQCSMGRQ GLACERTVIEGLKDFKAAGHWSCWSSWSECRGGSQERRRQCNNPPPKNGGTPCLGRNLQT QAC >ENSMUSP00000047606.8 pep:known chromosome:GRCm38:4:104815679:104876398:-1 gene:ENSMUSG00000035031.15 transcript:ENSMUST00000048947.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C8a description:complement component 8, alpha polypeptide [Source:MGI Symbol;Acc:MGI:2668347] MFVVAFFGLSLVAWHPGVTAQEKVNQRVTRAVTPQAVSCQLSDWYKWTDCFPCQDKKYRY RSLLQPSKFGGTICSGDIWDEASCDSPTPCLRQAQCGQDFQCRETGRCLKRHLVCNGDND CLDGSDESDCEDVRVTEDDCHQYEPIPGSERAALGYNILTQEEAQSVYDAKYYGGQCETV YNGDWRKLRYDPTCERLYYGEDEKYFRKPYNFLKYHFEALADTSISSEFYDDANDLFFHI KNGKSHSAGVTVGVAPVKSPVSIEVTGSGSKASSFLNKLNKYNEKRYGFMRVSTKIQTAQ FKMRRNNIVLDEGMLQSLMELPEQFNYGMYAKFINDYGTHYITSGTMGGIYEYVMVLDKE KMKTEGTTVDEVQKCIGGGIGIGIKDSTIEGVGISGEFCENSGDGDRDIRKKITGVEDII SRVQGGSSVWGSVLTHNSSAITYQSWGRSLKYNPVVIDFEMQPIYQLLRHTNLGPLETKR QNLRRALDQYLMEFNACRCGPCFNNGEPILDGTNCRCQCSMGRQGLACERTVIEGLKDFK AAGHWSCWSSWSECRGGSQERRRQCNNPPPKNGDQHGGDF >ENSMUSP00000067541.8 pep:known chromosome:GRCm38:4:104815683:104876383:-1 gene:ENSMUSG00000035031.15 transcript:ENSMUST00000064873.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C8a description:complement component 8, alpha polypeptide [Source:MGI Symbol;Acc:MGI:2668347] MFVVAFFGLSLVAWHPGVTAQEKVNQRVTRAVTPQAVSCQLSDWYKWTDCFPCQDKKYRY RSLLQPSKFGGTICSGDIWDEASCDSPTPCLRQAQCGQDFQCRETGRCLKRHLVCNGDND CLDGSDESDCEDVRVTEDDCHQYEPIPGSERAALGYNILTQEEAQSVYDAKYYGGQCETV YNGDWRKLRYDPTCERLYYGEDEKYFRKPYNFLKYHFEALADTSISSEFYDDANDLFFHI KNGKSHSAGVTVGVAPVKSPVSIEVTGSGSKASSFLNKLNKYNEKRYGFMRVSTKIQTAQ FKMRRNNIVLDEGMLQSLMELPEQFNYGMYAKFINDYGTHYITSGTMGGIYEYVMVLDKE KMKTEGTTVDEVQKCIGGGIGIGIKDSTIEGVGISGEFCENSGDGDRDIRKKITGVEDII SRVQGGSSVWGSVLTHNSSAITYQSWGRSLKYNPVVIDFEMQPIYQLLRHTNLGPLETKR QNLRRALDQYLMEFNACRCGPCFNNGEPILDGTNCRCQCSMGRQGLACERTVIEGLKDFK AAGHWSCWSSWSECRGGSQERRRQCNNPPPKNGGTPCLGRNLQTQAC >ENSMUSP00000097420.2 pep:known chromosome:GRCm38:2:88149067:88150017:-1 gene:ENSMUSG00000100899.1 transcript:ENSMUST00000099832.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1167 description:olfactory receptor 1167 [Source:MGI Symbol;Acc:MGI:3031001] MAYEVVNQSSATTFILVGFSEYPQLQIPLFLLFLTIYSVTLMGNLGILVVIKVNPKLHTP MYFFLSHLSFLDICYSSVFTPKLLQILIMEDRTISFIGCMIQFFFICTFVITEMFMLAVM AYDRFVAVCNPLLYTVVMPIQFCALLVAGTYMIGGLCTLIILYTLLQLCYFEYGIINHFG CEYSAVISVSCSDSSFSQLICLVISIASEFSSVLITLASYVFIVVTIIKMPSKGGLRKAF STCTSHLTAITIFHGILLLLYCIPNSNSSRLFVKVATALYTIMIPMLNPLIYSLRNKDVK DTVRRLISSKLHSHLT >ENSMUSP00000119913.1 pep:known chromosome:GRCm38:5:120648812:120654902:1 gene:ENSMUSG00000029602.11 transcript:ENSMUST00000125804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal1 description:RAS protein activator like 1 (GAP1 like) [Source:MGI Symbol;Acc:MGI:1330842] MAKSGSLSIRVVEGRALPAKDVSGSSDPYCLVKVDDQVVARTATIWRSLSPFWGEEYTVH LPLDFHHLAFYVL >ENSMUSP00000031606.3 pep:known chromosome:GRCm38:5:120648822:120679597:1 gene:ENSMUSG00000029602.11 transcript:ENSMUST00000031606.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal1 description:RAS protein activator like 1 (GAP1 like) [Source:MGI Symbol;Acc:MGI:1330842] MAKSGSLSIRVVEGRALPAKDVSGSSDPYCLVKVDDQVVARTATIWRSLSPFWGEEYTVH LPLDFHHLAFYVLDEDTVGHDDIIGKISLSKEAITADPRGIDSWINLSRVDPDAEVQGEV CLDVKLLEDARGRCLRCHVRQARDLAPRDISGTSDPFARVFWGNHSLETSTIKKTRFPHW DEVLELREAPGTTSPLRVELWDWDMVGKNDFLGMVEFTPQTLQQKPPNGWFRLLPFPRAE DSGGSLGALRLKVRLTEDRVLPSQYYQPLMELLLESVQGPAEEDTTSPLALLEELASGDC RQDLATKLVKLFLGRGLAGPFLDYLTRREVARTNDPNTLFRSNSLASKSMEQFMKLVGMR YLHEVLRPVISRVFEEKKYMELDPCKMDLNRSRRISFKGTPTEEQVRETSLGLLTGYLGS VVDAIVSSTGRCPLALRLAFKQLQRCVEKRFSGIEHQDVKYLAISGFLFLRFFAPAILTP KLFDLRDQHADPQTSRSLLLLAKAVQSIGNLGQQLGQGKEQWLAPLHPFLLQSISRVRDF LDQLVDVDEDEEAGGPACALVQPSTIVREGFLLKRKEEPGGLATRFAFKKRYFRLSGRDL SYSKTPEWQVHTSIPLSCIRAVEHVDEGAFQLPHVMQVVTQDGAGTSHTTYLQCKNVNDL NQWLSALRKASAPNPGKLVACHPGAFRSGRWTCCLQAERSAAGCSRTHSAITLGDWSDPL DPDAEAQAVYRQLLLGRDQLRLKLLEDSSLDTEVDPGRDSSATDGPCAEVLAQQRAATTH LLQVLEDLEQAHEEFQKRG >ENSMUSP00000123266.1 pep:known chromosome:GRCm38:5:120649188:120679597:1 gene:ENSMUSG00000029602.11 transcript:ENSMUST00000156722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal1 description:RAS protein activator like 1 (GAP1 like) [Source:MGI Symbol;Acc:MGI:1330842] MAKSGSLSIRVVEGRALPAKDVSGSSDPYCLVKVDDQVVARTATIWRSLSPFWGEEYTVH LPLDFHHLAFYVLDEDTVGHDDIIGKISLSKEAITADPRGIDSWINLSRVDPDAEVQGEV CLDVKLLEDARGRCLRCHVRQARDLAPRDISGTSDPFARVFWGNHSLETSTIKKTRFPHW DEVLELREAPGTTSPLRVELWDWDMVGKNDFLGMVEFTPQTLQQKPPNGWFRLLPFPRAE DSGGSLGALRLKVRLTEDRVLPSQYYQPLMELLLESVQGPAEEDTTSPLALLEELASGDC RQDLATKLVKLFLGRGLAGPFLDYLTRREVARTNDPNTLFRSNSLASKSMEQFMKLVGMR YLHEVLRPVISRVFEEKKYMELDPCKMDLNRSRRISFKGTPTEEQVRETSLGLLTGYLGS VVDAIVSSTGRCPLALRLAFKQLQRCVEKRFSGIEHQDVKYLAISGFLFLRFFAPAILTP KLFDLRDQHADPQTSRSLLLLAKAVQSIGNLGQQLGQGKEQWLAPLHPFLLQSISRVRDF LDQLVDVDEDEEAGGPACALVQPSTIVREGFLLKRKEEPGGLATRFAFKKRYFRLSGRDL SYSKTPEWQVHTSIPLSCIRAVEHVDEGAFQLPHVMQVVTQDGAGTSHTTYLQCKNVNDL NQWLSALRKASAPNPGKLVACHPGAFRSGRWTCCLQAERSAAGCSRTHSAITLGDWSDPL DPDAEAQAVYRQLLLGRDQLRLKLLEDSSLDTEVDPGRDSSATDGPCAEVLAQQRAATTH LLQVLEDLEQAHEEFQKRG >ENSMUSP00000078549.3 pep:known chromosome:GRCm38:2:88184879:88185817:1 gene:ENSMUSG00000061342.3 transcript:ENSMUST00000079599.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1168 description:olfactory receptor 1168 [Source:MGI Symbol;Acc:MGI:3031002] MINQSSVTTFILVGFSEYPHLQPPLFLMIMTIYTVTLVGNVGIILVRRINPKLHTPMYFF LSHLSFLDICYSSVFTPKLLEILIVEHRTISLNGCMTQFFFGCACVITEMFMLAVMAYDR FVAVCNPLLYTVAMSHQLCALLVAGSYMWGGLCAVIITYTLVELSYCEPGIIDHFGCEYS AIVSVSCSDPSFSQMVCLVISILSEGSSLLITMASYVFIVVTIIKMPSKDGLRKAFSTCT SHLTAISIFHGIILLLYCIPNAKSSKLLVKVATVLYTVLIPMLNPLIYSLRNKDVKETVK RLISSKLHSQTI >ENSMUSP00000124272.1 pep:known chromosome:GRCm38:19:3935186:3949340:1 gene:ENSMUSG00000036908.16 transcript:ENSMUST00000162708.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc93b1 description:unc-93 homolog B1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1859307] MKEVPTSCWCPELQALDLDLVMEVEPPLYPVAGAAGPQGDEDRHGVPDGPEAPLDELVGA YPNYNEEEEERRYYRRKRLGVVKNVLAASTGVTLTYGVYLGLLQMQLILHYDETYREVKY GNMGLPDIDSKMLMGINVTPIAALLYTPVLIRFFGTKWMMFLAVGIYALFVSTNYWERYY TLVPSAVALGMAIVPLWASMGNYITRMSQKYYEYSHYKEQDEQGPQQRPPRGSHAPYLLV FQAIFYSFFHLSFACAQLPMIYFLNNYLYDLNHTLINVQSCGTKSQGILNGFNKTVLRTL PRSKNLIVVESVLMAVAFLAMLMVLGLCGAAYRPTEEIDLRSVGWGNIFQLPFKHVRDFR LRHLVPFFIYSGFEVLFACTGFALGYGVCSMGLERLAYLLIAYSLGASASSVLGLLGLWL PRSVPLVAGAGLHLLLTLSLFFWAPAPRVLQHSWIFYFVAALWGVGSALNKTGLSTLLGI LYEDKERQDFIFTIYHWWQAVAIFVVYLGSSLPMKAKLAVLLVTLVAAAASYLWMEQKLQ QGLVPRQPRIPKPQHKVRGYRYLEEDNSDESDMEGEQGQGDCAEDEAPQAGPLGAEPAGP CRKPCPYEQALGGDGPEEQ >ENSMUSP00000128751.1 pep:known chromosome:GRCm38:19:3935186:3949339:1 gene:ENSMUSG00000036908.16 transcript:ENSMUST00000165711.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc93b1 description:unc-93 homolog B1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1859307] MKEVPTSCWCPELQALDLDLVMEVEPPLYPVAGAAGPQGDEDRHGVPDGPEAPLDELVGA YPNYNEEEEERRYYRRKRLGVVKNVLAASTGVTLTYGVYLGLLQMQLILHYDETYREVKY GNMGLPDIDSKMLMGINVTPIAALLYTPVLIRFFGTKWMMFLAVGIYALFVSTNYWERYY TLVPSAVALGMAIVPLWASMGNYITRMSQKYYEYSHYKEQDEQGPQQRPPRGSHAPYLLV FQAIFYSFFHLSFACAQLPMIYFLNNYLYDLNHTLINVQSCGTKSQGILNGFNKTVLRTL PRSKNLIVVESVLMAVAFLAMLMVLGLCGAAYRPTEEIDLRSVGWGNIFQLPFKHVRDFR LRHLVPFFIYSGFEVLFACTGFALHSWASYMKTKRGRTSSSPSITGGRPWPSLLCTWAPA CP >ENSMUSP00000059494.5 pep:known chromosome:GRCm38:11:60864452:60879272:-1 gene:ENSMUSG00000043284.12 transcript:ENSMUST00000062677.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem11 description:transmembrane protein 11 [Source:MGI Symbol;Acc:MGI:2144726] MAAWGRRRLGPGGGGSRERVSLSATDCYIVHEIYSGENAQDQFEYELEQALEAQYKYIVI EPTRIGDETARWITVGNCLHKTAVLAGTACLFTPLALPLDYSHYISLPAGVLSLACCTLY GISWQFDPCCKYQVEYDAYKLSRLPLHTLTSSTPVVLVRKDDLHRKRLHNTIALAALVYC VKKVYELYAV >ENSMUSP00000122930.1 pep:known chromosome:GRCm38:11:60864987:60878787:-1 gene:ENSMUSG00000043284.12 transcript:ENSMUST00000155031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem11 description:transmembrane protein 11 [Source:MGI Symbol;Acc:MGI:2144726] MSVGMVSLSATDCYIVHEIYSGENAQDQFEYELEQALEAQYKYIVIEPTRIGDETARWIT VGNCLHKTAVLAGTACLFTPLALPLDYSHYISLPAGVLSLACCTLYGISWQFDPCCKYQV EYDAY >ENSMUSP00000128654.1 pep:known chromosome:GRCm38:11:60864452:60879038:-1 gene:ENSMUSG00000043284.12 transcript:ENSMUST00000168218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem11 description:transmembrane protein 11 [Source:MGI Symbol;Acc:MGI:2144726] MSVGMVSLSATDCYIVHEIYSGENAQDQFEYELEQALEAQYKYIVIEPTRIGDETARWIT VGNCLHKTAVLAGTACLFTPLALPLDYSHYISLPAGVLSLACCTLYGISWQFDPCCKYQV EYDAYKLSRLPLHTLTSSTPVVLVRKDDLHRKRLHNTIALAALVYCVKKVYELYAV >ENSMUSP00000095036.3 pep:known chromosome:GRCm38:17:7738569:7804974:-1 gene:ENSMUSG00000071984.10 transcript:ENSMUST00000097425.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc1 description:fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:1915905] MGLKVTWDPPKDASSRPVEYYNIAYGKSLKSLKSIKVNAETHSFLIKDVEKEVPNKPLRM RVRASDDRLSVAWKAPRLSGAKSPRRSRGFLLGYGESGRKMNYVPLTRDERSHEIKKLAS ESVYVVSLQSTNSQGQSQPVYRAALTKRKHAEEDELDVPEDISVRVMSSQSVLVAWVDPL VEKQKRVVASRQYTVRYREKGESARWDYKQVSNRRVLVDSLIPDTVYEFAVRISQGERDG KWSASIFQRTPESAPTTAPENLRVWPVSGKPTVVTVSWDALPESEGKVKEYILSYAPALK PFGAKSLTFSGHTTSALVDGLQPGERYLFKIRATNRRGPGPHSKAFIVAIPTTSSTEASA QPNGRDSSRKPEKLQQPSSPAPKVAASSEHTPSAKNVKDALSDLNKIRTNSVVPGKTQLH SKMGELDPQSTEVTGEEELDSLEDPRSSRLETLNQKQPLRVPSRSGHGVLTPGRTPARTG LPVLPRKEVMDRRGPSLDPHLRPRVEPSASSAYHQLSSIDNDSVDQNEYDQAGSPDPKAV SSPSSPKNPARSRLTSVPSRHAASNMLRDKSRMQPGTKASSSSASSQSHSSTSEEDSSAA AQPRSHSLLQGGSSRPALSRDRQDVHASSSRMPSQTVSSSRPSALTEGSEEEDTSDGGAD IDRAAGDTRRRAEATAQIQQTRPALGHFSLIRNKPFPAHSRNPSRFPKLRGPRLQPSRSP QSTSASKVLTRAPSLPASHTRPGSDVYGDGEDEEPLPATVVNDRTPSSSSHPISGGSDTL RRGSQRGASLYRKEPIPENSKAAGADVHPGGKSPLSSKAQGFQLSTDEGAPQTPPSSTSR QLSPARHPASRSQPSPGSTVPRRMAPGRSSELSSSQSKDRSLSQPKLSLAHTGPDRPHAA NSRGVLPSAPQNQNEGSQSSYEDNSTEIEGPDPRAPTHSAHAKDTTPSILKPQQMGSQSG NSGNRPQPSRSGASERPIRPGSTHPRVQVPGRAGLQATPAKKISSSKHPLPPESQQSVFT EEEEENEGILKGKEDSLSTSVKKWPSSSSPRNNKYADRNLDRDKAAIGQLVQEEDSIPGR RSPGSPPIASHPPTWYQPRNPVTASPSASTHSWPRYTTRAPSTYSSTTPMLSLRQRMQRR FRTPVSRQPPPRPVLTSGYNGRANVGENIPPGSIGKPNGQRIINGPQGTKWVVDLDRGLV LNAEGRYLQDSHGNPLRVRLGGDGRTIVDLGGTPMVSPDGLPLFGQGRHGKPVASAQDKP ILSLGGKPLVGLEVIKTTTYSPTTTMLPTTTTTTVLTTTTRPPTTTTTTTRRTTTRRTTT TRHPTTTIRATRRTTTTTTTPEPTTPSPTCPPGTLEHQDEAGNLIMGSNGIPECYPEEDD FSGLETDTAPPTEEDYVVYDDDYGFETTRPPTSTMPSTTAATPKVIPEQGTVSSFPEEEF DLAGKRRFVAPYVTYLSKDPTAPCSLTDALDHFQVESLDELIPNDLTKSDLPPQHAPRNI TVVAMEGCHSFVIVDWNKAIPGDVVTGYLVYSASYEDFIRNKWSTQTSSVTHLPIENLKP NTRYYFKVQAKNPHGYGPVSPSVSFVTESDNPLLVVRPPGGEPIWIPFAFKHDPGYTDCH GRQYVKRTWYKKFVGVVLCNSLRYKIYLSDNLKDTFYSIGDSWGRGEDHCQFVDSHLDGR TGPQSYIEALPNIQGYYRQYRQEPVSFGHIGFGTPYYYVGWYECGVSIPGKW >ENSMUSP00000130996.1 pep:known chromosome:GRCm38:17:7782444:7827302:-1 gene:ENSMUSG00000071984.10 transcript:ENSMUST00000167580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc1 description:fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:1915905] MAPEARASPRLLLRAALLLLAALLPVATSAGPPEKEVPNKPLRMRVRASDDRLSVAWKAP RLSGAKSPRRSRGFLLGYGESGRKMNYVPLTRDERSHEIKKLASESVYVVSLQSTNSQGQ SQPVYRAALTKRKHAEEDELDVPEDISVRV >ENSMUSP00000126701.1 pep:known chromosome:GRCm38:17:7800862:7827302:-1 gene:ENSMUSG00000071984.10 transcript:ENSMUST00000169126.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc1 description:fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:1915905] MAPEARASPRLLLRAALLLLAALLPVATSAGPPVDHPLKPRHVKLLSTNMGLKVTWDPPK DASSRPVEYYNIAYGKSLKSLKSIKVNAETHSFLIKDVEPGVVYFVLVTAENHSGVSRPV YRAESPPGGEWIKIDGFPIKGPGPFNETVTGTLCSPGSCLR >ENSMUSP00000130517.1 pep:known chromosome:GRCm38:17:32354055:32388644:-1 gene:ENSMUSG00000024050.15 transcript:ENSMUST00000170617.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wiz description:widely-interspaced zinc finger motifs [Source:MGI Symbol;Acc:MGI:1332638] MEGLLAGGLAAPDHPRGPAPREDIESGAEAAEGEGDIFPSSHYLPITKEGPRDILDGRSG ISDGQPHPGLSEALPRATSATHRISSW >ENSMUSP00000084993.5 pep:known chromosome:GRCm38:17:32354057:32388885:-1 gene:ENSMUSG00000024050.15 transcript:ENSMUST00000087703.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wiz description:widely-interspaced zinc finger motifs [Source:MGI Symbol;Acc:MGI:1332638] MEGLLAGGLAAPDHPRGPAPREDIESGAEAAEGEGDIFPSSHYLPITKEGPRDILDGRSG ISVANFDPGTFSLMRCDFCGAGFDTRAGLSSHARAHLRDFGITNWELTISPINILQELLA TSAAELPPSPLGREPGGPPRSFLTSRRPRLPLTMPFPPTWAEDPGPIYGDAQSLTTCEVC GACFETRKGLSSHARSHLRQLGVAESESSGAPIDLLYELVKQKGLPDAPLGLTPSLTKKS NSPKEFLAGAARPGLLTLAKPMDAPAVNKAIKSPPGFSAKGLTHPSSSPLLKKAPLTLAG SPTPKNPEDKSPQLSLSPRPTSPKAQWPQSEDEGPLNLTSGPEPTRDIRCEFCGEFFENR KGLSSHARSHLRQMGVTEWYVNGSPIDTLREILKRRTQSRPGGHLHPPGPSPKALAKVLS TGGPGSSLEARSPSDLHISPLTKKLPPPPGSPLGHSPTASPPPTARKMFSGLATPSLPKK LKPEHMRVEIKREMLPGTLHGEPHPSEGPWGTPREDMAPLNLSRAEPVRDIRCEFCGEFF ENRKGLSSHARSHLRQMGVTEWSVNGSPIDTLREILKKKSKLCLIKKEPPAGDLAPALTE DGSPTAAPGALHSPLPLSPLASRPGKPGAGPTQVPRELSLSPITGSKPSAASYLGPVATK RPLQEDRFLPAEVKAKTYIQTELPFKAKTLHEKTSHSSTEACCELCGLYFENRKALASHA RAHLRQFGVTEWCVNGSPIETLSEWIKHRPQKVGAYRSYIQGGRPFTKKFRSAGHGRDSD KRPPLGLAPGGLSLVGRSAGGEPGLEAGRAADSGERPLATSPPGTVKSEEHQRQNINKFE RRQARPSDASAARGGEEVNDLQQKLEEVRQPPPRVRPVPSLVPRPPQTSLVKFVGNIYTL KCRFCEVEFQGPLSIQEEWVRHLQRHILEMNFSKADPPPEEPQAPQAQTAAVEAP >ENSMUSP00000127943.1 pep:known chromosome:GRCm38:17:32354061:32389391:-1 gene:ENSMUSG00000024050.15 transcript:ENSMUST00000163107.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wiz description:widely-interspaced zinc finger motifs [Source:MGI Symbol;Acc:MGI:1332638] MEGLLAGGLAAPDHPRGPAPREDIESGAEAAEGEGDIFPSSHYLPITKEGPRDILDGRSG ISDGQPHPGLSEALPRATSATHRISSCG >ENSMUSP00000126253.1 pep:known chromosome:GRCm38:17:32354649:32362963:-1 gene:ENSMUSG00000024050.15 transcript:ENSMUST00000169488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wiz description:widely-interspaced zinc finger motifs [Source:MGI Symbol;Acc:MGI:1332638] XLCSEENTMVAMDLGSPLLPKKSLPVSGTLEQVASRLSSKVAAEVPHGSKQELPDLKAQS LTTCEVCGACFETRKGLSSHARSHLRQLGVAESESSGAPIDLLYELVKQKGLPDAPLGLT PSLTKKSNSPKEFLAGAARPGLLTLAKPMDAPAVNKAIKSPPGFSAKGLTHPSSSPLLKK APLTLAGSPTPKNPEDKSPQLSLSPRPTSPKAQWPQSEDEGPLNLTLDSDGGRELDCQLC GAWFETRKGLSSHARAHLRHLGVSDPDAKGSPIDVLHGLIRRDGIQIRLPPGRGALAQLG RPPSASTALSLLPPPPPAKKAKLKASGMASPWGKQDLSAAGIFWASDVEPSPLNLSSGPE PTRDIRCEFCGEFFENRKGLSSHARSHLRQMGVTEWYVNGSPIDTLREILKRRTQSRPGG HLHPPGPSPKALAKVLSTGGPGSSLEARSPSDLHISPLTKKLPPPPGSPLGHSPTASPPP TARKMFSGLATPSLPKKLKPEHMRVEIKREMLPGTLHGEPHPSEGPWGTPREDMAPLNLS ARAEPVRDIRCEFCGEFFENRKGLSSHARSHLRQMGVTEWSVNGSPIDTLREILKKKSKL CLIKKEPPAGDLAPALTEDGSPTAAPGALHSPLPLSPLASRPGKPGAGPTQVPRELSLSP ITGSKPSAASYLGPVATKRPLQEDRFLPAEVKAKTYIQTELPFKAKTLHEKTSHSSTEAC CELCGLYFENRKALASHARAHLRQFGVTEWCVNGSPIETLSEWIKHRPQKVGAYRSYIQG GRPFTKKFRSAGHGRDSDKRPPLGLAPGGLSLVGRSAGGEPGLEAGRAADSGERPLATSP PGTVKSEEHQRQNINKFERRQARPSDASAARGGEEVNDLQQKLEEVRQPPPRVRPVPSLV PRPPQTSLVKFVGNIYTLKCRFCEVEFQGPLSIQEEWVRHLQRHILEMNFSKADPPPEEP QAPQAQTAAVEAP >ENSMUSP00000069443.7 pep:known chromosome:GRCm38:17:32355024:32387759:-1 gene:ENSMUSG00000024050.15 transcript:ENSMUST00000064694.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wiz description:widely-interspaced zinc finger motifs [Source:MGI Symbol;Acc:MGI:1332638] MEGLLAGGLAAPDHPRGPAPREDIESGAEAAEGEGDIFPSSHYLPITKEGPRDILDGRSG ISVANFDPGTFSLMRCDFCGAGFDTRAGLSSHARAHLRDFGITNWELTISPINILQELLA TSAAELPPSPLGREPGGPPRSFLTSRRPRLPLTMPFPPTWAEDPGPIYGDAQSLTTCEVC GACFETRKGLSSHARSHLRQLGVAESESSGAPIDLLYELVKQKGLPDAPLGLTPSLTKKS NSPKEFLAGAARPGLLTLAKPMDAPAVNKAIKSPPGFSAKGLTHPSSSPLLKKAPLTLAG SPTPKNPEDKSPQLSLSPRPTSPKAQWPQSEDEGPLNLTSGPEPTRDIRCEFCGEFFENR KGLSSHARSHLRQMGVTEWYVNGSPIDTLREILKRRTQSRPGGHLHPPGPSPKALAKVLS TGGPGSSLEARSPSDLHISPLTKKLPPPPGSPLGHSPTASPPPTARKMFSGLATPSLPKK LKPEHMRVEIKREMLPGTLHGEPHPSEGPWGTPREDMAPLNLSARAEPVRDIRCEFCGEF FENRKGLSSHARSHLRQMGVTEWSVNGSPIDTLREILKKKSKLCLIKKEPPAGDLAPALT EDGSPTAAPGALHSPLPLSPLASRPGKPGAGPTQVPRELSLSPITGSKPSAASYLGPVAT KRPLQEDRFLPAEVKAKTYIQTELPFKAKTLHEKTSHSSTEACCELCGLYFENRKALASH ARAHLRQFGVTEWCVNGSPIETLSEWIKHRPQKVGAYRSYIQGGRPFTKKFRSAGHGRDS DKRPPLGLAPGGLSLVGRSAGGEPGLEAGRAADSGERPLATSPPGTVKSEEHQRQNINKF ERRQARPSDASAARGGEEVNDLQQKLEEVRQPPPRVRPVPSLVPRPPQTSLVKFVGNIYT LKCRFCEVEFQGPLSIQEEWVRHLQRHILEMNFSKADPPPEEPQAPQAQTAAVEAP >ENSMUSP00000127651.1 pep:known chromosome:GRCm38:17:32361909:32388586:-1 gene:ENSMUSG00000024050.15 transcript:ENSMUST00000165912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wiz description:widely-interspaced zinc finger motifs [Source:MGI Symbol;Acc:MGI:1332638] MEGLLAGGLAAPDHPRGPAPREDIESGAEAAEGEGDIFPSSHYLPITKEGPRDILDGRSG ISVANFDPGTFSLMRCDFCGAGFDTRAGLSSHARAHLRDFGITNWELTISPINILQELLA TSAAELPPSPLGREPGGPPRSFLTSRRPRLPLTMPFPPTWAEDPGPIYGDAQSLTTCEVC GACFETRKG >ENSMUSP00000129700.1 pep:known chromosome:GRCm38:17:32368071:32388950:-1 gene:ENSMUSG00000024050.15 transcript:ENSMUST00000169280.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wiz description:widely-interspaced zinc finger motifs [Source:MGI Symbol;Acc:MGI:1332638] MEGLLAGGLAAPDHPRGPAPREDIESGAEAAEGEGDIFPSSHYLPITKEGPRDILDGRSG ISDGQPHPGLSEALPRATSATHRISSCG >ENSMUSP00000130054.1 pep:known chromosome:GRCm38:17:32369305:32389376:-1 gene:ENSMUSG00000024050.15 transcript:ENSMUST00000171728.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wiz description:widely-interspaced zinc finger motifs [Source:MGI Symbol;Acc:MGI:1332638] MEGLLAGGLAAPDHPRGPAPREDIESGAEAAEGEGDIFPSSHYLPITKEGPRDILDGRSG ISDGQPHPGLSEALPRATSATHRISSWIGKLRPRELSSSTLISQPYLSPSQEGQGHWNH >ENSMUSP00000128241.1 pep:known chromosome:GRCm38:17:32387573:32389116:-1 gene:ENSMUSG00000024050.15 transcript:ENSMUST00000170603.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wiz description:widely-interspaced zinc finger motifs [Source:MGI Symbol;Acc:MGI:1332638] MEGLLAGGLAAPDHPRGPAPREDIESGAEAAEGEGDIFPSSHYLPITKEGPRDILDGRSG IS >ENSMUSP00000057938.7 pep:known chromosome:GRCm38:3:135212537:135273611:1 gene:ENSMUSG00000045328.11 transcript:ENSMUST00000062893.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpe description:centromere protein E [Source:MGI Symbol;Acc:MGI:1098230] MAEEASVAVCVRVRPLNSREEELGEATHIYWKTDKNAIYQSDGGKSFQFDRVFDSNETTK NVYEEIAVPIISSAIQGYNGTIFAYGQTASGKTHTMMGSEDCLGVIPRAIHDIFQRIKKF PEREFLLRVSYMEIYNETITDLLCNAQKMKPLIIREDTNRTVYVSDLTEEVVYTAEMALK WLATGEKNRHYGITKMNQRSSRSHTIFRMILESREKAEPSNCDGSVKVSHLNLVDLAGSE RAAQTGAEGVRLKEGCFINRNLFILGQVIKKLSDGQVGGFINYRDSKLTRILQNSLGGNA KTRIICTITPASLDETLTTLQFASTAKYMKNTPYVNEVSNDEALLKRYRREIADLRKQLE EVNTKTRAQEMEKDQLAQLLDEKDLLQKVQDEKINNLKRMLVTSSSIALQQELEIKRKRR VTWCYGKMKDSNYEKEFKVPTSITTRKRKTSVTSLRENSLMKFGESAASSEFEMLNNTLE SLAEVEWSSATTLLSEENVESELNSLNAQYNDLVLDYEQLRRENEDLKLKLKEKNELEEF ELLEQKEERDQEMQLMHEVSNLKNLIKHAEEYNQDLENDLSSKVKLLKEKEEQIKNLQEY IDAQKSEKMKIDLSYTSDATEDLKQAMRTLSDLDTVALDAKKESAFLRSENLELKEKINE LSDSRKQMESDIQMYQRQLEAKKKMQTDLDKELQLAFQEISKLSALVDGKGLLSNLELEK RITDLQKELNKEAEEKQTLQEEVNLLSELKSLPSEVETLRRELYEKSEELHIITTEREKL FSEMAHKDSRIQGLLEEIGNTRDDLATSQLSRRGSDGEWQALESLHAELEHRHAGVLEER ERLKQEIGALSKEAESLAFSLDSVKAELSHKTQELEQKTVEGQERLNKMEALREELESRD SSLQSVEKEKVLLTEKLQQALKEVKALTQEKKNLKQLQESLQTERDQLRSDIQDTVNMNI DTQEQLLNALESLKQHQETINMLKMKAAEELSDNLHVKDRGGARDEAQQKMDGIDEQNES AHTLLGGGKDNEVTEEQRKIDSLMQENSGLQQTLESVRAEKEQLKMDLKENIEMSIENQE ELRILRDELKRQQEVAAQEKDHATEKTQELSRTQERLAKTEEKLEEKNQKLQETQQQLLS TQEAMSKLQAKVIDMESLQNEFRNQGLALERVETEKLELAQRLHESYEEVKSITKERNDL KELQESFEIEKKQLKEYAREIEAAGLQTKEELNIAHANLKEYQEIITELRGSISENEAQG ASTQDTAKSAPELQGEVPEQELLPVVKEARHSAEKVNGLEPVGAHSRTVHSMTMEGIEIE NLRLTKKLEESQMEISCLTREREDLRRTQETLQVECTQLKEDARRTLANHLETEEELNLA RCCLKEQENKIDTLITSLSQRETELSSVRGQLALTTAELERKVQELCEKQEELTRKETSE AQGKMSELEQLRELLLAQASALQNAESDRLRLNTQLEESQEEMKTLREEREELRRMQEAL HVESEQQKESMKEISSKLQELQNKEYECLAMKTINETQGSRCEMDHLNQQLEAQKSTLEK VEMENVNLTQRLHETLEEMRSVAKERDELWSMEERLTVERDQLKKSLEETVTKGMEKEEE LRVAHVHLEEHQETINKLRKMVSDYTDEISHTQGDLKHTNAVVEAQNQDLREKEHQLSQV KADLRETVDQMEQLKKKLEAQSSTLESREIEKLELTQQLNENLKKITLVTKENDSLKIMD EALREERDQLRKSLQQTEARDLENQEKLRIAHMNLKEHQETIDRLMETMSEKTEEISNMK MELENVNMKLQEKVQELKTSERQRVKLKADASEAKKELKEQGLTLSKIEMENLNLAQKIH ENLEEMKSVRKERDDLKKLEEILRMERDQLKDNLREAMLKAHQNHEETMKCGKGLLCAGE YCTGRLREKCFRIEKLLKRYSEMANDYECLNKVSLDLERETKTQKELSVTVRTKLSLPHT QTKEMEKLLTANQRCSLEFHRALKRLKYVLSSIARIKEEQHESINKREMAFIQEVEKQNE LQIQIQSLSQTYRIPARDLQIKLSQEMDLHIEEMLKDFSENDFLTIKTEVQQVLNNRKEI TEFLGKWLNTLFDTENLKSTIQKENKSIGLVNNFYHSRITAMINESTEFEERSATRSKDL DQYLKSLKETTEQLSEVYQTLTASQSVVHLHPTVQPSTRDSERPQAASGAEQLTSKNKIA LGAVPYKEEIEDLKMQLVKSDLEKKATAKEFDKKILSLKATVEHQEEMIRLLRENLRGHQ QAQDTSMISEQDSQLLSKPLTCGGGSGIVQSTKALILKSEYKRMGSEISKLKQQNEQLRK QNNQLLSDNSQLSNEVKTWEERTLKRDSYRETTCENSPKSPKVTRTDSKRRQNTTSQCRA QNLQDPVPKDSPKSWFFDNRSKSLPAPHPIRYFDNSSLGLCPEPDDVENVEPKTDLCQAS LEKDVSQCKTQ >ENSMUSP00000143435.1 pep:known chromosome:GRCm38:3:135242210:135246645:1 gene:ENSMUSG00000045328.11 transcript:ENSMUST00000197369.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpe description:centromere protein E [Source:MGI Symbol;Acc:MGI:1098230] LELAQRLHESYEEVKSITKERNDLKELQESFEIEKKQLKEYAREIEAAVPEQELLPVVKE ARHSAEKVNGLEPVGAHSRTVHSMTMEGIEIENLRLTKKLEESQMEISCLTREREDLRRT QETLQVECTQLKEDARRTLANHLETEEELNLARCCLKEQENKIDTLITSLSQRETELSSV RGQLALTTAELERK >ENSMUSP00000051622.8 pep:known chromosome:GRCm38:5:137350109:137374528:1 gene:ENSMUSG00000029710.15 transcript:ENSMUST00000061244.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb4 description:Eph receptor B4 [Source:MGI Symbol;Acc:MGI:104757] MELRALLCWASLATALEETLLNTKLETADLKWVTYPQAEGQWEELSGLDEEQHSVRTYEV CDMKRPGGQAHWLRTGWVPRRGAVHVYATIRFTMMECLSLPRASRSCKETFTVFYYESEA DTATAHTPAWMENPYIKVDTVAAEHLTRKRPGAEATGKVNIKTLRLGPLSKAGFYLAFQD QGACMALLSLHLFYKKCSWLITNLTYFPETVPRELVVPVAGSCVANAVPTANPSPSLYCR EDGQWAEQQVTGCSCAPGYEAAESNKVCRACGQGTFKPQIGDESCLPCPANSHSNNIGSP VCLCRIGYYRARSDPRSSPCTTPPSAPRSVVHHLNGSTLRLEWSAPLESGGREDLTYAVR CRECRPGGSCLPCGGDMTFDPGPRDLVEPWVAIRGLRPDVTYTFEVAALNGVSTLATGPP PFEPVNVTTDREVPPAVSDIRVTRSSPSSLILSWAIPRAPSGAVLDYEVKYHEKGAEGPS SVRFLKTSENRAELRGLKRGASYLVQVRARSEAGYGPFGQEHHSQTQLDESESWREQLAL IAGTAVVGVVLVLVVVIIAVLCLRKQSNGREVEYSDKHGQYLIGHGTKVYIDPFTYEDPN EAVREFAKEIDVSYVKIEEVIGAGEFGEVCRGRLKAPGKKESCVAIKTLKGGYTERQRRE FLSEASIMGQFEHPNIIRLEGVVTNSVPVMILTEFMENGALDSFLRLNDGQFTVIQLVGM LRGIASGMRYLAEMSYVHRDLAARNILVNSNLVCKVSDFGLSRFLEENSSDPTYTSSLGG KIPIRWTAPEAIAFRKFTSASDAWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQDYRLP PPPDCPTSLHQLMLDCWQKDRNARPRFPQVVSALDKMIRNPASLKIVARENGGASHPLLD QRQPHYSAFGSVGEWLRAIKMGRYEESFAAAGFGSFEVVSQISAEDLLRIGVTLAGHQKK ILASVQHMKSQAKPGAPGGTGGPAQQF >ENSMUSP00000115731.1 pep:known chromosome:GRCm38:5:137350141:137378669:1 gene:ENSMUSG00000029710.15 transcript:ENSMUST00000144296.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ephb4 description:Eph receptor B4 [Source:MGI Symbol;Acc:MGI:104757] MELRALLCWASLATALEETLLNTKLETADLKWVTYPQAEGQWEELSGLDEEQHSVRTYEV CDMKRPGGQAHWLRTGWVPRRGAVHVYATIRFTMMECLSLPRASRSCKETFTVFYYESEA DTATAHTPAWMENPYIKVDTVAAEHLTRKRPGAEATGKVNIKTLRLGPLSKAGFYLAFQD QGACMALLSLHLFYKKCSWLITNLTYFPETVPRELVVPVAGSCVANAVPTANPSPSLYCR EDGQWAEQQVTGCSCAPGYEAAESNKVCRACGQGTFKPQIGDESCLPCPANSHSNNIGSP VCLCRIGYYRARSDPRSSPCTTPPSAPRSVVHHLNGSTLRLEWSAPLESGGREDLTYAVR CRECRPGGSCLPCGGDMTFDPGPRDLVEPWVAIRGLRPDVTYTFEVAALNGVSTLATGPP PFEPVNVTTDREVPPAVSDIRVTRSSPSSLILSWAIPRAPSGAVLDYEVKYHEKGAEGPS SVRFLKTSENRAELRGLKRGASYLVQVRARSEAGYGPFGQEHHSQTQLDESESWREQLAL IAGTAVVGVVLVLVVVIIAVLCLRKQSNGREVEYSDKHGQYLIGHGTKVYIDPFTYEDPN EAVREFAKEIDVSYVKIEEVIGAGEFGEVCRGRLKAPGKKESCVAIKTLKGGYTERQRRE FLSEASIMGQFEHPNIIRLEGVVTNSVPVMILTEFMENGALDSFLRLNDGQFTVIQLVGM LRGIASGMRYLAEMSYVHRDLAARNILVNSNLVCKVSDFGLSRFLEENSSDPTYTSSLGG KIPIRWTAPEAIAFRKFTSASDAWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQDYRLP PPPDCPTSLHQLMLDCWQKDRNARPRFPQVVSALDKMIRNPASLKIVARENGGASHPLLD QRQPHYSAFGSVGEWLRAIKMGRYEESFAAAGFGSFEVVSQISAEDLLRIGVTLAGHQKK ILASVQHMKSQAKPGAPGGTGGPAQQF >ENSMUSP00000106684.2 pep:known chromosome:GRCm38:5:137350172:137374520:1 gene:ENSMUSG00000029710.15 transcript:ENSMUST00000111055.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb4 description:Eph receptor B4 [Source:MGI Symbol;Acc:MGI:104757] MELRALLCWASLATALEETLLNTKLETADLKWVTYPQAEGQWEELSGLDEEQHSVRTYEV CDMKRPGGQAHWLRTGWVPRRGAVHVYATIRFTMMECLSLPRASRSCKETFTVFYYESEA DTATAHTPAWMENPYIKVDTVAAEHLTRKRPGAEATGKVNIKTLRLGPLSKAGFYLAFQD QGACMALLSLHLFYKKCSWLITNLTYFPETVPRELVVPVAGSCVANAVPTANPSPSLYCR EDGQWAEQQVTGCSCAPGYEAAESNKVCRACGQGTFKPQIGDESCLPCPANSHSNNIGSP VCLCRIGYYRARSDPRSSPCTTPPSAPRSVVHHLNGSTLRLEWSAPLESGGREDLTYAVR CRECRPGGSCLPCGGDMTFDPGPRDLVEPWVAIRGLRPDVTYTFEVAALNGVSTLATGPP PFEPVNVTTDREGRIGFLSPAVPPAVSDIRVTRSSPSSLILSWAIPRAPSGAVLDYEVKY HEKGAEGPSSVRFLKTSENRAELRGLKRGASYLVQVRARSEAGYGPFGQEHHSQTQLDES ESWREQLALIAGTAVVGVVLVLVVVIIAVLCLRKQSNGREVEYSDKHGQYLIGHGTKVYI DPFTYEDPNEAVREFAKEIDVSYVKIEEVIGAGEFGEVCRGRLKAPGKKESCVAIKTLKG GYTERQRREFLSEASIMGQFEHPNIIRLEGVVTNSVPVMILTEFMENGALDSFLRLNDGQ FTVIQLVGMLRGIASGMRYLAEMSYVHRDLAARNILVNSNLVCKVSDFGLSRFLEENSSD PTYTSSLGGKIPIRWTAPEAIAFRKFTSASDAWSYGIVMWEVMSFGERPYWDMSNQDVIN AIEQDYRLPPPPDCPTSLHQLMLDCWQKDRNARPRFPQVVSALDKMIRNPASLKIVAREN GGASHPLLDQRQPHYSAFGSVGEWLRAIKMGRYEESFAAAGFGSFEVVSQISAEDLLRIG VTLAGHQKKILASVQHMKSQAKPGAPGGTGGPAQQF >ENSMUSP00000106683.1 pep:known chromosome:GRCm38:5:137350513:137374532:1 gene:ENSMUSG00000029710.15 transcript:ENSMUST00000111054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb4 description:Eph receptor B4 [Source:MGI Symbol;Acc:MGI:104757] MELRALLCWASLATALEETLLNTKLETADLKWVTYPQAEGQWEELSGLDEEQHSVRTYEV CDMKRPGGQAHWLRTGWVPRRGAVHVYATIRFTMMECLSLPRASRSCKETFTVFYYESEA DTATAHTPAWMENPYIKVDTVAAEHLTRKRPGAEATGKVNIKTLRLGPLSKAGFYLAFQD QGACMALLSLHLFYKKCSWLITNLTYFPETVPRELVVPVAGSCVANAVPTANPSPSLYCR EDGQWAEQQVTGCSCAPGYEAAESNKVCRACGQGTFKPQIGDESCLPCPANSHSNNIGSP VCLCRIGYYRARSDPRSSPCTTPPSAPRSVVHHLNGSTLRLEWSAPLESGGREDLTYAVR CRECRPGGSCLPCGGDMTFDPGPRDLVEPWVAIRGLRPDVTYTFEVAALNGVSTLATGPP PFEPVNVTTDREVPPAVSDIRVTRSSPSSLILSWAIPRAPSGAVLDYEVKYHEKGAEGPS SVRFLKTSENRAELRGLKRGASYLVQVRARSEAGYGPFGQEHHSQTQLDESESWREQLAL IAGTAVVGVVLVLVVVIIAVLCLRKQSNGREVEYSDKHGQYLIGHGTKVYIDPFTYEDPN EAVREFAKEIDVSYVKIEEVIGAGEFGEVCRGRLKAPGKKESCVAIKTLKGGYTERQRRE FLSEASIMGQFEHPNIIRLEGVVTNSVPVMILTEFMENGALDSFLRLNDGQFTVIQLVGM LRGIASGMRYLAEMSYVHRDLAARNILVNSNLVCKVSDFGLSRFLEENSSDPTYTSSLGG KIPIRWTAPEAIAFRKFTSASDAWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQDYRLP PPPDCPTSLHQLMLDCWQKDRNARPRFPQVVSALDKMIRNPASLKIVARENGGDLLRIGV TLAGHQKKILASVQHMKSQAKPGAPGGTGGPAQQF >ENSMUSP00000130275.1 pep:known chromosome:GRCm38:5:137350430:137374310:1 gene:ENSMUSG00000029710.15 transcript:ENSMUST00000166239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb4 description:Eph receptor B4 [Source:MGI Symbol;Acc:MGI:104757] MELRALLCWASLATALEETLLNTKLETADLKWVTYPQAEGQWEELSGLDEEQHSVRTYEV CDMKRPGGQAHWLRTGWVPRRGAVHVYATIRFTMMECLSLPRASRSCKETFTVFYYESEA DTATAHTPAWMENPYIKVDTVAAEHLTRKRPGAEATGKVNIKTLRLGPLSKAGFYLAFQD QGACMALLSLHLFYKKCSWLITNLTYFPETVPRELVVPVAGSCVANAVPTANPSPSLYCR EDGQWAEQQVTGCSCAPGYEAAESNKVCRACGQGTFKPQIGDESCLPCPANSHSNNIGSP VCLCRIGYYRARSDPRSSPCTTPPSAPRSVVHHLNGSTLRLEWSAPLESGGREDLTYAVR CRECRPGGSCLPCGGDMTFDPGPRDLVEPWVAIRGLRPDVTYTFEVAALNGVSTLATGPP PFEPVNVTTDREVPPAVSDIRVTRSSPSSLILSWAIPRAPSGAVLDYEVKYHEKGAEGPS SVRFLKTSENRAELRGLKRGASYLVQVRARSEAGYGPFGQEHHSQTQLDESESWREQLAL IAGTAVVGVVLVLVVVIIAVLCLRKQSNGREVEYSDKHGQYLIGHGTKVYIDPFTYEDPN EAVREFAKEIDVSYVKIEEVIGAGEFGEVCRGRLKAPGKKESCVAIKTLKGGYTERQRRE FLSEASIMGQFEHPNIIRLEGVVTNSVPVMILTEFMENGALDSFLRLNDGQFTVIQLVGM LRGIASGMRYLAEMSYVHRDLAARNILVNSNLVCKVSDFGLSRFLEENSSDPTYTSSLGG KIPIRWTAPEAIAFRKFTSASDAWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQDYRLP PPPDCPTSLHQLMLDCWQKDRNARPRFPQVVSALDKMIRNPASLKIVARENGGASHPLLD QRQPHYSAFGSVGEWLRAIKMGRYEESFAAAGFGSFEVVSQISAEDLLRIGVTLAGHQKK ILASVQHMKSQAKPGAPGGTGGPAQQF >ENSMUSP00000040900.8 pep:known chromosome:GRCm38:13:36725625:36734477:-1 gene:ENSMUSG00000039114.15 transcript:ENSMUST00000037623.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrn1 description:neuritin 1 [Source:MGI Symbol;Acc:MGI:1915654] MGLKLNGRYISLILAVQIAYLVQAVRAAGKCDAVFKGFSDCLLKLGDSMANYPQGLDDKT NIKTVCTYWEDFHSCTVTALTDCQEGAKDMWDKLRKESKNLNIQGSLFELCGSSNGAAGS LLPALSVLLVSLSAALATWFSF >ENSMUSP00000113721.1 pep:known chromosome:GRCm38:13:36726458:36730668:-1 gene:ENSMUSG00000039114.15 transcript:ENSMUST00000122286.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrn1 description:neuritin 1 [Source:MGI Symbol;Acc:MGI:1915654] MEDCTARRDRVFAGGSVERQGGSEEKKGNKGDEGAYLVQAVRAAGKCDAVFKGFSDCLLK LGDSMANYPQGLDDKTNIKTVCTYWEDFHSCTVTALTDCQEGAKDMWDKLRKESKNLNIQ GSLFELCGSSNGAAGSLLPALSVLLVSLSAALATWFSF >ENSMUSP00000054474.6 pep:known chromosome:GRCm38:12:51348061:51376986:1 gene:ENSMUSG00000035293.13 transcript:ENSMUST00000054308.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G2e3 description:G2/M-phase specific E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2444298] MNENKPDNSQSLACVFCRKNDDCPNKYGEKKTYEKWNFSVHYYCLLMSSGIWQRGKEEEG VYGFLIEDIRKEVQRASKLKCTVCKKNGASIGCVVPTCKRSYHLPCGLQKECIFQFTDNF ASFCWKHRPVQAITSNKYSSSLPCTICLEFVEPIPTYNILQSPCCKNAWFHRDCLQVQAI NAGVFFFRCTLCNNTDIFQKEMLRMGIHIPEKDASWELEENAYQELLQSHDRCDIRRCHC KKGRDYNEPNSKWEVKRCQSCGSSGTHLACSSLQSWEQNWECLDCRRITYTSDFQKAPKH PLANSTNVTVTDCLLEESSSKLPRQSTVAQHKELLRQGSKFRRDISTILIELGFQIKKKT KTLYINKANVWRSALEQFQSQKFNPSCSIDVVYVNGNEVGSQHLGSKQEFLSHLMHHLEN SSVFEGSLAKNLSLNSQAVKENLYYEVGKMLAISLVHGGPSPGFFSETLFNCLAYGPENT LPTLDDVSDIDVAQIIIKIDSATDLNILNSVISQHYNYLEVSGCLRLTTSLSDKFMLVKD ILFYHVINRVKAPFESFKQGLKTLGVLEKIQTYPEAFYKILCHKPENLSAKNLSDLFTIH SVADVQTLRFWNSYLKAIEDGKSATTMEDILIFATGCSSVPPTGFKPSLSVECLHVDFPV ADKYRNHLVLPATNTYEEFQENMDFTIRDTLRLEKEERSHILPRTLNVSSNEEMLI >ENSMUSP00000113270.1 pep:known chromosome:GRCm38:12:51348288:51373267:1 gene:ENSMUSG00000035293.13 transcript:ENSMUST00000119211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G2e3 description:G2/M-phase specific E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2444298] MNENKPDNSQSLACVFCRKNDDCPNKYGEKKTYEKWNFSVHYYCLLMSSGIWQRGKEEEG VYGFLIEDIRKEVQRASKLKCTVCKKNGASIGCVVPTCKRSYHLPCGLQKECIFQFTDNF ASFCWKHRPVQAITSNKYSSSLPCTICLEFVEPIPTYNILQSPCCKNAWFHRDCLQVQAI NAGVFFFRCTLCNNTDIFQKEMLRMGIHIPEKDASWELEENAYQELLQSHDRCDIRRCHC KKGRDYNEPNSKWEVKRCQSCGSSGTHLACSSLQSWEQNWECLDCRRITYTSDFQKAPKH PLANSTNVTVTDCLLEESSSKLPRQSTVAQHKELLRLKQEIQLSTTACIFRRQSCLTQRQ GSKFRRDISTILIELGFQIKKKTKTLYINKANVWRSALEQFQSQKFNPSCSIDVVYVNGN EVGSQHLGSKQEFLSHLMHHLENSSVFEGSLAKNLSLNSQAVKENLYYEVGKMLAISLVH GGPSPGFFSETLFNCLAYGPENTLPTLDDVSDIDVAQIIIKIDSATDLNILNSVISQHYN YLEVSGCLRLTTSLSDKFMLVKDILFYHVINRVKAPFESFKQGLKTLGVLEKIQTYPEAF YKILCHKPENLSAKNLSDLFTIHSVADVQTLRFWNSYLKAIEDGKSATTMEDILIFATGC SSVPPTGFKPSLSVECLHVDFPVADKYRNHLVLPATNTYEEFQENMDFTIRDTLRLEKEE RSHILPRTLNVSSNEEMLI >ENSMUSP00000113191.1 pep:known chromosome:GRCm38:12:51348372:51373370:1 gene:ENSMUSG00000035293.13 transcript:ENSMUST00000121521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G2e3 description:G2/M-phase specific E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2444298] MNENKPDNSQSLACVFCRKNDDCPNKYGEKKTYEKWNFSVHYYCLLMSSGIWQRGKEEEG VYGFLIEDIRKEVQRASKLKCTVCKKNGASIGCVVPTCKRSYHLPCGLQKECIFQFTDNF ASFCWKHRPVQAITSNKYSSSLPCTICLEFVEPIPTYNILQSPCCKNAWFHRDCLQVQAI NAGVFFFRCTLCNNTDIFQKEMLRMGIHIPEKDASWELEENAYQELLQSHDRCDIRRCHC KKGRDYNEPNSKWEVKRCQSCGSSGTHLACSSLQSWEQNWECLDCRRITYTSDFQKAPKH PLANSTNVTVTDCLLEESSSKLPRQSTVAQHKELLSLFLSVFTAVKENLYYEVGKMLAIS LVHGGPSPGFFSETLFNCLAYGPENTLPTLDDVSDIDVAQIIIKIDSATDLNILNSVISQ HYNYLEVSGCLRLTTSLSDKFMLVKDILFYHVINRVKAPFESFKQGLKTLGVLEKIQTYP EAFYKILCHKPENLSAKNLSDLFTIHSVADVQTLRFWNSYLKAIEDGKSATTMEDILIFA TGCSSVPPTGFKPSLSVECLHVDFPVADKYRNHLVLPATNTYEEFQENMDFTIRDTLRLE KEERSHILPRTLNVSSNEEMLI >ENSMUSP00000133939.1 pep:known chromosome:GRCm38:8:79639618:79677724:1 gene:ENSMUSG00000036990.13 transcript:ENSMUST00000173078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud4 description:OTU domain containing 4 [Source:MGI Symbol;Acc:MGI:1098801] MEAAVGAPDGVDQGGVGPLEDETPMDAYLRKLGLYRKLVAKDGSCLFRAVAEQVLHSQSR HVEVRMACIRYLRENREKFEAFIEGSFEEYLKRLENPQEWVGQVEISALSLMYRKDFVIY QEPNVSPSHVTENNFPEKVLLCFSNGNHYDIVYPITYKDSSAMCQSLLYELLYEKVFKTD VSKIMMGLEASEVAEESNSEISDSEDDSCKSKSTAATDVNGFKPSGSENPKNNGNSADLP LSRKVLKSLNPAVYRNVEYEIWLKSKQAQQKRDYSIAAGLQYEVGDKCHQVRLDHNGKLS NADIHGVHSENGLVLSEELGKKHTPKNLKPPPPESWNTVSGKKMKKPNSGQNFHSDTDYR GPKNLNKPIKAPSALPPRLQHPSSGVRQHAFSSHSTGSQSQKSSSEHKNLSRMPSQITRK PDRERAEDFDHVSRESYYFGLSPEERREKQAIEESRLLYEIQNRDEQAFPALSSSSVSQS PSQNSNACVPRKSSHARDRKGSMRRADAEERKDKDSLRGHTHVDKKPEPSTLEISDDKCT RVSSPSKSKKECPSPVEQKPAEHIPLSNPAPLLVSPEVHLTPAVPSLPATVPAWPSEPTT FGPTGVPAQIPILSVTQTTGPDAAVSQAHLTPSPVPVSIQAVNQPLMPLPQTMSLYQDPL YPGFPCSEKGDRAIAPPYSLCQTGEDLPKDKNILRFFFNLGVKAYSCPMWAPHSYLYPLH QAYMAACRMYPKVPVPVYPQNTWFQEAPPAQSESDCPCTDAHYSLHPEASVNGQMPQAEM GPPAFASPLVIPPSQVSEGHGQLSYQPELESENPGQLLHAEYEESLSGKNMYPQQSFGPN PFLGPVPIAPPFFPHVWYGYPFQGFVENPVMRQNIVLPPDDKGELDLPLENLDLSKECDS VSAVDEFPDARVEGAHSLSAASVSSKHEGRVEQSSQTRKADIDLASGSSAVEGKGHPPTQ ILNREREPGSAEPEPKRTIQSLKEKPEKVKDPKTAADVVSPGANSVDRLQRPKEESSEDE NEVSNILRSGRSKQFYNQTYGSRKYKSDWGSSGRGGYQHVRGEESWKGQPNRSRDEGYQY HRHVRGRPYRGDRRRSGMGDGHRGQHT >ENSMUSP00000134097.1 pep:known chromosome:GRCm38:8:79639704:79677672:1 gene:ENSMUSG00000036990.13 transcript:ENSMUST00000173286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud4 description:OTU domain containing 4 [Source:MGI Symbol;Acc:MGI:1098801] MEAAVGAPDGVDQGGVGPLEDETPMDAYLRKLGLYRKLVAKDGSCLFRAVAEQVLHSQSR HVEVRMACIRYLRENREKFEAFIEGSFEEYLKRLENPQEWVGQVEISALSLMYRKDFVIY QEPNVSPSHVTENNFPEKVLLCFSNGNHYDIVYPITYKDSSAMCQSLLYELLYEKVFKTD VSKIMMGLEASEVAEESNSEISDSEDDSCKSKSTAATDVNGFKPSGSENPKNNGNSADLP LSRKVLKSLNPAVYRNVEYEIWLKSKQAQQKRDYSIAAGLQYEVGDKCHVRLDHNGKLSN ADIHGVHSENGLVLSEELGKKHTPKNLKPPPPESWNTVSGKKMKKPNSGQNFHSDTDYRG PKNLNKPIKAPSALPPRLQHPSSGVRQHAFSSHSTGSQSQKSSSEHKNLSRMPSQITRKP DRERAEDFDHVSRESYYFGLSPEERREKQAIEESRLLYEIQNRDEQAFPALSSSSVSQSP SQNSNACVPRKSSHARDRKGSMRRADAEERKDKDSLRGHTHVDKKPEPSTLEISDDKCTR VSSPSKSKKECPSPVEQKPAEHIPLSNPAPLLVSPEVHLTPAVPSLPATVPAWPSEPTTF GPTGVPAQIPILSVTQTTGPDAAVSQAHLTPSPVPVSIQAVNQPLMPLPQTMSLYQDPLY PGFPCSEKGDRAIAPPYSLCQTGEDLPKDKNILRFFFNLGVKAYSCPMWAPHSYLYPLHQ AYMAACRMYPKVPVPVYPQNTWFQEAPPAQSESDCPCTDAHYSLHPEASVNGQMPQAEMG PPAFASPLVIPPSQVSEGHGQLSYQPELESENPGQLLHAEYEESLSGKNMYPQQSFGPNP FLGPVPIAPPFFPHVWYGYPFQGFVENPVMRQNIVLPPDDKGELDLPLENLDLSKECDSV SAVDEFPDARVEGAHSLSAASVSSKHEGRVEQSSQTRKADIDLASGSSAVEGKGHPPTQI LNREREPGSAEPEPKRTIQSLKEKPEKVKDPKTAADVVSPGANSVDRLQRPKEESSEDEN EVSNILRSGRSKQFYNQTYGSRKYKSDWGSSGRGGYQHVRGEESWKGQPNRSRDEGYQYH RHVRGRPYRGDRRRSGMGDGHRGQHT >ENSMUSP00000133746.1 pep:known chromosome:GRCm38:8:79661084:79668489:1 gene:ENSMUSG00000036990.13 transcript:ENSMUST00000174485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud4 description:OTU domain containing 4 [Source:MGI Symbol;Acc:MGI:1098801] XGVHSENGLVLSEELGKKHTPKNLKPPPPESWNTVSGKKMKKPNSGQNFHSDTDYRGPKN LNKPIKAPSALPPRLQHPSSGVRQHAFSSHSTGSQSQKSSSEHKNLSRMPSQITRKPDRE RAEDFDHSSSVSQSPSQNSNACVPRKSSHARDRKGSMRRADAEERKDKDSLRGHTHVDKK PEPSTLEISDDKCTRVSSPSKSKK >ENSMUSP00000133777.1 pep:known chromosome:GRCm38:8:79666823:79671378:1 gene:ENSMUSG00000036990.13 transcript:ENSMUST00000172614.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud4 description:OTU domain containing 4 [Source:MGI Symbol;Acc:MGI:1098801] XERKDKDSLRGHTHVDKKPEPSTLEISDDKCTRVSSPSKSKKECPSPVEQKPAEHIPLSN PAPLLVSPEVHLTPAVPSLPATVPAWPSEPTTFGPTGVPAQIPILSVTQTTGPDAAVSQA HLTPSPVPVSIQAVNQPLMPLPQTMSLYQDPLYPGFPCSEKGDRAIAPPYSLCQTGEDLP KDKNILRFFFNLGVKVFTSYLFIVNLITVNS >ENSMUSP00000048425.6 pep:known chromosome:GRCm38:5:117282654:117287625:-1 gene:ENSMUSG00000032959.12 transcript:ENSMUST00000036951.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pebp1 description:phosphatidylethanolamine binding protein 1 [Source:MGI Symbol;Acc:MGI:1344408] MAADISQWAGPLCLQEVDEPPQHALRVDYAGVTVDELGKVLTPTQVMNRPSSISWDGLDP GKLYTLVLTDPDAPSRKDPKFREWHHFLVVNMKGNDISSGTVLSDYVGSGPPSGTGLHRY VWLVYEQEQPLSCDEPILSNKSGDNRGKFKVETFRKKYNLGAPVAGTCYQAEWDDYVPKL YEQLSGK >ENSMUSP00000107604.1 pep:known chromosome:GRCm38:5:117283139:117287583:-1 gene:ENSMUSG00000032959.12 transcript:ENSMUST00000111973.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pebp1 description:phosphatidylethanolamine binding protein 1 [Source:MGI Symbol;Acc:MGI:1344408] MAADISQWAGPLCLQEVDEPPQHALRVDYAGVTVDELGKVLTPTQVMNRPSSISWDGLDP GKLYTLVLTDPDAPSRKDPKFREWHHFLVVNMKGNDISSGTVLSDYVGSGPPSGTDALGL GIALESAEMAVLYSDSPGLHRYVWLVYEQEQPLSCDEPILSNKSGDNRGKFKVETFRKKY NLGAPVAGTCYQAEWDDYVPKLYEQLSGK >ENSMUSP00000116186.1 pep:known chromosome:GRCm38:5:117283342:117287568:-1 gene:ENSMUSG00000032959.12 transcript:ENSMUST00000142428.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pebp1 description:phosphatidylethanolamine binding protein 1 [Source:MGI Symbol;Acc:MGI:1344408] MAADISQWAGPLCLQEVDEPPQHALRVDYAGVTVDELGKVLTPTQVMNRPSSISWDGLDP GKLYTLVLTDPDAPSRKDPKFREWHHFLVVNMKGNDISSGTVLSDYVGSGPPSGTGRRHW GVEQFSGVGAVLCFEE >ENSMUSP00000141425.1 pep:known chromosome:GRCm38:3:83127948:83357209:1 gene:ENSMUSG00000102692.1 transcript:ENSMUST00000191829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dchs2 description:dachsous 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2685263] MSPAGRRMGEGRQPAGSPRGRPRGAGAQSSLLRLFVHAWLWAASGSSAQVFNLSLSVDEG LPPDTLVGDIRAGLPAAQQQDGNGFFLSEDSDDSPLLDDFHVHPDTGIIRTARRLDRERQ DHYSFVAATLLGEVVQVEIRVNDVNDHSPRFPRDSLQLDVSELSPPGTAFRLPGAQDPDA GLFSIQGYTLLQASDMPQDPTGPFFQLRYGTPGLPASPSLPVSSSPLEPLDLVLLRRLDR EAAAAHELHIEAWDGGSPRRTGLLHVQLRVLDENDNPPVFEQGEYRATVREDAQPGSEVC RVRATDRDLGPNGLVRYSIRERQVPVASAGGGPLGDPGYFSVEELSGVVRVQRPLDREEQ AWHQLVVQARDGGAEPEVATVRVSIDVLDVNDNPPAIHLLFLTEGGAVQVSEGAHPGDYV ARVSVSDADGDPEKEEEAAGVLGARLLGAGSIKLSLESGNGVFALRPGGPPGVFFLCIEG LLDRESQDLYELRLVATDAGSPPLSTEESLLLWVSDLNDQPPVFSQEHYWASVSEAAVPG TSVVWVSALDADQAGTDHAKLRYELVQLSDPCQSEALSPEEECVPSFSINPDNGLISTIR ALDREVQETVELRVVAQDLGEPPLSATCLVTITVDDVNDNEPVFRRQVYNVTLAEHAAVG HCFLQVKASDADAGLYGLVKYSLYDGFQSYEAPPAFQIDPQDGRICVSQDIDRERDPGTF DLLVKAKDGGGLSAQAFVRVEVDDVNDNYPVFTPSTYVTSISGQTPPGTEIINVLASDRD SGIYGTVAYELIPGDQSSLFTIDSTTGIIYLTSTLSHLEATTIFLMVCARDGGGLTAATN ADVTIHIMQTTLAPAEFERPKYTFSVYEDVPEDTLVGTVKARESLNSSEPITYRISSGDP EGKFSIHRWLGSIRTLKPLDHEAQPMVVLTVQAQLGSSPACSSTEVNITVMDVNDNRPEF PTASDEIRISQTTPPGTALYLARAQDRDSGLNGLVRYSIASPQPSEFSMDQGRGVLYLRE SLGSKADFRLILVAKDQGVPPQVSQLVLTVVIESQERIPAVAFENLVYQVEVSESLPLTT QILQVQAYPLYPWRPTSKTFYSLDVSVDSAVFGIHPHTGWIYLRRQLDYEFTQTYKFRVY VHTSEDRLLQNVSTSVIVHVLDENDHSPAFLQNRVFLNVEESPIPLGVIGKMTAIDADSG KNGQLSYFLLTDGKFFKMNPNTGELINWLALDREHQGHHQITVLVTDHGSPPRNATMLVY VTITDINDNWPFFPQCLPGKEFHFKVLEGQPVNTLVTTVFAKDLDEGLSAELTYSISSDY PAHFKIDANNGEIRTTSILSHDYRPSYRMTVIASDHGVPPLQGKAIINIQVIPLSKGRVL MSQNIRHLVIPENTKPSKIMSLMKSPDPLQQDHGGKLHFSIAAEDKDDHFEIDSSTGDLF LTKELDYEMTSHYLIRVISKDHSQSPAWNSTVFLSIDVEDQNEHSPSFQDEFIVISIEEN VPVGTLVYVFNAKDGDGSFLNSRIQYFAESSSVGVNPFLIHPSSGALVTASPLDRENVPT FILTVTASDQAVNVTDRRWRTLVAEVVILDVNDHSPTFVSYPITYVREDAEVGAVVHRIT AQDPDAEMNGEVAYSILSGNEDMVFVLDSSSGLLRIACPLDYEVKTQHILTLVAHDGGMP ARSSSQTLTITVLDVNDETPAFKQLLYETSVKENQSPGVFVTRVEAEDTDSGVNSKHQFE IMPGPAFGLFEINPDTGEVVTAVTFDREAQGIFRLRVLVRDGGVPSLSSTADIICTIEDE NDHAPEFIVLHHDIEILENRDPEVVYTVLAFDMDAGNNGAVTYHIAEGNTDEYFAIHTTS GELSTTRALDRELISNFTLTILCSDLGNPPRSSAMQLHVRVLDDNDHSPAFPMLHYQSSI REDAEVGTVVLVLSAVDRDEGLNGQVEYFLMEEVSGAFTIDRVTGILRTSHALDRESRSQ HTFQAVARDCSTQGAKSSVLSILISVTDANDNDPVWEENPVDAFISPMLALNQTVVHLRA SDPDAGPNGTVTFSFADRQSVFSIDGYTGEVKLQQNLSSEHFPIWLQLLATDQGTPARTT MGLLVVHKEGEGMKLSFSRYLYTGLVTENCEPGTSVVTVKAFAPVSSPDAITYSVVSGNE DGVFSLGSNSGQLIVEEPGLLDFEVRSEVRLIILAESNGHQAFTQVTVAIQDWNDNPPRF AQSVYQASVSEGQFYSVHVIQVSATDLDQGLNSQIEYSIVSGNQAGAFRIDELNGVILTN SILDYESSGSYSLIVQATDRGVPRLSGTALVKIQVTDINDNAPVFLPSEAVEIAENSLPG VIVARVSVHDADLNPAFTFSLVKESSSAAKFAISQDTGVVVLAQTLDFEEVTEYELIVRV SDSVHHTEGSVIIRVLDVNDNPPVFTQDFYQAAVPELTPGGYLVLTLSATDLESSGDISY RILSPPEGFTIDPRNGTIFTTNSVSVLEKIPTLRFLVEANDGGIPSLTALTLVEIEIQDV NNYAPEFPAGCYNLSLSEDTPIGSTLMTFSTIDGDYSFENTHTEYSIISGNLHNYFHIET SLLGSEHPHQQRGALVLLHALDREASASHKLVILASDHGCPPLSSTSVIAIDILDINDNA PTFSSRHYQAHVKESTPVGSHITMVSADDPDKGSHAEIIYGIISGNEKEHFYLEDRTGVL YLVKPLDYEETVAFTLTIQATDEEEKHVSFAAVHISVLDDNDHSPQFLSSTLACITPENL PPLSIICSVHALDFDTGPYGEVTYSIVSPCLVTHGMHPYQDLFAIDPLTGDIHTEQMLDY ESVREYCLLVQAKDRGDASASLEVWVEVEGIDEFEPIFTQDQYFFSLREKGQGQQLIGRV EASDADAGVDGEVLYSLRTPSTVFSVNKTNGNIYWVRAPLLGSSQLVKEDTLEVKIIAHS PKPGSKSTSCSVFVNVSLPAEGHHRTVLVHSFSISLVVSLLVFLSLVCTLIVLILRHKQK DPLHSYEEKKTPSSPDADPKLTGAASELKAGQETAEYRGVTGPGEVMPAEWLNLMSVMEK DIIHLFRHSNYSGHCSVDGETAEDKEIQRINENPYRKDSDYALSDQGSRVPDSGIPRDSD QLSCLSGETDVMTSSEVMEASHMFEEGVGGEGCDVIYVQNNALSLRREATAGVLAESRRE SFTSGSQEGRCVAPSTQMTSSDDVRGSYAWDYFLSWEPKFQHLASVFNDIARLKDEHMQV PGIPKDTSFVFPPPLITAVAQPGIKAVPPRMPAITLGQVLPKFPRSPLPYHGGSLPEVMT PNFSPSLSLLTMQTPARSPMLPDGESRGTHMLGPWHDRKAEDEVQG >ENSMUSP00000002029.6 pep:known chromosome:GRCm38:X:74254687:74257887:1 gene:ENSMUSG00000001964.14 transcript:ENSMUST00000002029.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emd description:emerin [Source:MGI Symbol;Acc:MGI:108117] MDDYAVLSDTELAAVLRQYNIPHGPIVGSTRKLYEKKIFEYETQRRRLLPPNSSSSSFSY QFSDLDSAAVDSDMYDLPKKEDALLYQSKDYNDDYYEESYLTTKTYGEPESVGMSKSFRQ PGTSLVDADTFHHQVRDDIFSSLEEEGKDRERLIYGQDSAYQSIAHYRPISNVSRSSLGL SYYPTSSTSSVSSSSSSPSSWLTRRAIRPEKQAPAAALGQDRQVPLWGQLLLFLVFAAFL LFVYYSIQAEEGNPFWMDP >ENSMUSP00000094158.4 pep:known chromosome:GRCm38:X:74254752:74257361:1 gene:ENSMUSG00000001964.14 transcript:ENSMUST00000096424.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emd description:emerin [Source:MGI Symbol;Acc:MGI:108117] MDDYAVLSDTELAAVLRQYNIPHGPIVDLDSAAVDSDMYDLPKKEDALLYQSKDYNDDYY EESYLTTKTYGEPESVGMSKSFRQPGTSLVDADTFHHQVRDDIFSSLEEEGKDRERLIYG QDSAYQSIAHYRPISNVSRSSLGLSYYPTSSTSSVSSSSSSPSSWLTRRAIRPEKQAPAA ALGQDRQVPLWGQLLLFLVFAAFLLFVYYSIQAEEGNPFWMDP >ENSMUSP00000112639.1 pep:known chromosome:GRCm38:X:74254810:74261005:1 gene:ENSMUSG00000001964.14 transcript:ENSMUST00000119197.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emd description:emerin [Source:MGI Symbol;Acc:MGI:108117] MDDYAVLSDTELAAVLRQYNIPHGPIVGSTRKLYEKKIFEYETQRRRLLPPNSSSSSFSY QFSDLDSAAVDSDMYDLPKKEDALLYQSKDYNDDYYEESYLTTKTYGEPESVGMSKSFRQ PGTSLVDADTFHHQHPCLTAPVLGDLTSCDLHKYLQPCDVHIHFGHTKII >ENSMUSP00000115890.1 pep:known chromosome:GRCm38:X:74254816:74257323:1 gene:ENSMUSG00000001964.14 transcript:ENSMUST00000152288.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Emd description:emerin [Source:MGI Symbol;Acc:MGI:108117] MDDYAVLSDTELAAVLRQFHSQALRKENLRVRDPEKETLTPQLVIFFILLSVLRLGFSRR GLRHV >ENSMUSP00000094133.3 pep:known chromosome:GRCm38:X:74254830:74261548:1 gene:ENSMUSG00000001964.14 transcript:ENSMUST00000088313.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emd description:emerin [Source:MGI Symbol;Acc:MGI:108117] MDDYAVLSDTELAAVLRQYNIPHGPIVGSTRKLYEKKIFEYETQRRRLLPPNSSSSSFSY QFSDLDSAAVDSDMYDLPKKEDALLYQSKDYNDDYYEESYLTTKTYGEPESVGMSKSFRQ PGTSLVDADTFHHQVRDDIFSSLEEEGKDSIHVLQLQY >ENSMUSP00000014118.2 pep:known chromosome:GRCm38:8:3665754:3669259:1 gene:ENSMUSG00000013974.3 transcript:ENSMUST00000014118.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcemp1 description:mast cell expressed membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916439] MHASASQDKNRRKPGHDEGAHNPDYENITLAFRNKDQLKLSQSTPTKQAKFKTSLDPAES PPWLYRTIMMLYVLLALVFLSCIVLSALVLVKNSEMSKELWTLKAELSNVSDTVWNIREL QNQQTRIWEAAQGDIKEVKKTLGTVMSSIQTGNDRLKTVPADITQIKKTLEALEKKAQPQ PST >ENSMUSP00000091474.4 pep:known chromosome:GRCm38:11:97332109:97352016:-1 gene:ENSMUSG00000070337.4 transcript:ENSMUST00000093942.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr179 description:G protein-coupled receptor 179 [Source:MGI Symbol;Acc:MGI:2443409] MGARAVVISSLAWGLLSCCFLCSGALGSQRPLRSLPPLPSQAKPRSEPMWMPPKGAEAAL AFLYSGDVQRLSGANCSEKYEVRGAEGKAGVPPVLQRAAGTLAQAANFLNMLLQANDIRE SSVEEDVEWYQALVRSVAEGDPKAYRALLTFNPAPGASHLQLALQATRMGDETVLQDLSG NKVQEETPGEDLDRPVLQKRVLTNDLRSLDSPKWPRGDGYVGDIQQVKLSPPFLECHEGQ LRPGWLVTVSATFYGLKPDLTPEVRGQVQMDIDLQSVDINQCASGPGWYSNTHLCDLNST QCVPLESQGFVLGRYLCRCRPGFYGASGSGGLEESATQAAGQFGSPQDSLGKLLRCQPCP EGCTSCLDATPCLVEEALALRTAVLACQACCMLAVFLSMLVAYRCRGSKRIRASGIVLLE TILFGSLLLYFPVFILYFKPSVFRCVALRWVRLLGFAVVYGTIILKLYRVLQLFLSRTAQ RVPHPSSGQLLRRLGQLLLLVLGFLVVWTAGALEPGTQHTALVTRGHTPTGRHFYLCHHD HWDYIMVVAEMLLLCWGSFLCYATRAVPSAFHEPRYMSIALHNELLLSTAFHTARFVLVP SLHPDWTLLLFFLHTHSTVTATLALIFIPKFWKPGAPPREEILDEVYEDELDLQRSGSYL NSSIASAWSERSLEPGDIRDELKKLYAQLEIRKTKEMAANNPHLPKKRGSSHQGLGRSFM RYLAEFPEALARQHSRDSGSLGLGSLPGSSRRRLLSSSLQETEKPPALRKTRSTYDHHRE HNTLPFDSTLRRTLSKKASPTDGRESLADGPPALGFRSASAHNLTVGERLPRARPISLQK SLSVAAGSREKALLVASQAYLEETYRQAKEREERKKAEAAMVSPVRRPSTRRLEWPLRAP LSAPPSPGKSSSMDSSQTTARPHEEAGRRLPHPPIRHQVSTPVLALSGICLGEPRMLSPT PASTLAPILLPAPAPAPAPVLAPVSKPPQSPTLLTFICPWENAELPGKKENVVQEDPAGP ERSGHSPASARTKIWRALSVAVEKRGTGESEALTEGGHVQGEADDTDEEKPKVFSKSHSL KTPLQQGSVRSLGLAIKALTRSRSTYKEKDGGEGTPETEKGKPTEVSTGAPLRSPRLGRP KAVSKQVALAPCEDEESLQNQQNAHTSRMLHVCQKEGSREQEDRNKRVAPGPGERKVERT GKITMTTLRQVFGEKNAEQAKESPAGYQEVPNPALQSLGSADHRVAEVCPWEVTEPESGM DPPESVNKAKVYSWERTEGGSLEKKPSRQVLSRSWEEREKVLAESETEGVGAIPRKKPER LVRSQEAVCPWESPDSGGLSPQLVHQESDRTGGRFVVVSKGDAHPEALPSHAAKAELCLW EMSDVGEGTSTQRVQELPEERQKSPKKATFWGERNLGGDLVSLCPWESTDFRGPSAVSLQ APGSSGSLGSGIAEVCPWEAENIANDKKAEVCPWELGEELAGSDGLNPGADGKSLPGKET PSRKGCLAESGEQTVRAKPTVPQGQESVCPWEDEAPERSSPQPDKASSKAGEKLLSHGGS QVLQVCPWEAVKPEEKQATLSTAEICPWEVDGQPETRTSEHPSKGEVHKDEEKMPGRARI KAQEEAEGRIQKQEAICPWESMAPGSTPQRDTEKAQASLQRQGSVAGRAAEICPWEVGTE VGEERTIGAEASEARPNDAGHASTDSGSRQVAASAPKKSERLGSEKEVVCPWDSLSPGDS SQQPDTPNTEKLKDELQEHGSSRPIEVCPWEAEEVPTGEKAKICPWELNEGTVGKGLERE PGCEPERQRRQNLEEAGLPFQEEGTSKGDTKLCREQEGEAICPWKPPAQVPKVSDLPLST VGQGVEGQSLEASDRASEKGELRQDLKMGSLPEYITQVVPVDGGGASSELQPISLQEGMV LAGSSSHPHIQCPDQPRVSSQPLVSTGDGTAEVCPWDAPDSDSDTKVEPCAQKVTGRVTE TEMSRQDEKEKSQEEKERAPETRDHEGVAVQKMPQTSNFGKQEAVCPRESQDFGVQAATD ASDGSKGGSEKVCPWEVEEVPSIKEAEICPWEASPGAVGEGALDLGQDGESQGEGRAERH LLKAAETVCPLEGTMSSGLFTQEDVVDTDLPKVGLHGASSPGKGLAELCVWEVTDPEGNK IKGTMADICPWEETRAQSDESGPLALPVTQAGVPAAPEKSVCLSVHGPLESFLPESKSVR PDISKPPGSSRPEGVREQEPLELETGAKSVPKPSPTETEAPESFTLTDDQGLMASEGEAG ELSPPPDYPWDCE >ENSMUSP00000086657.5 pep:known chromosome:GRCm38:X:19479420:19481319:-1 gene:ENSMUSG00000068149.5 transcript:ENSMUST00000089246.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC049702 description:cDNA sequence BC049702 [Source:MGI Symbol;Acc:MGI:3037654] MALDIDDPKSGFSHCEVVLFINEEVTQNGGGPGFYLTFHSRPWKDIEDGLKSVVVDTQVP RSIKRACAWSALALGVRVAMRQREKQLQRVQQLHDQVEEHEAATWTLATDLQRLREERDE VVSELHNVKEHLKQVKFERDMLQRKIIEFELSQQLLAQSPDADYPGTPPRPLIVKENGEA LDTGLQNGQCAVCPKKDQMASLTVLDTLCGAEEFPASSV >ENSMUSP00000074448.5 pep:known chromosome:GRCm38:X:38401357:38456454:-1 gene:ENSMUSG00000016534.15 transcript:ENSMUST00000074913.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamp2 description:lysosomal-associated membrane protein 2 [Source:MGI Symbol;Acc:MGI:96748] MCLSPVKGAKLILIFLFLGAVQSNALIVNLTDSKGTCLYAEWEMNFTITYETTNQTNKTI TIAVPDKATHDGSSCGDDRNSAKIMIQFGFAVSWAVNFTKEASHYSIHDIVLSYNTSDST VFPGAVAKGVHTVKNPENFKVPLDVIFKCNSVLTYNLTPVVQKYWGIHLQAFVQNGTVSK NEQVCEEDQTPTTVAPIIHTTAPSTTTTLTPTSTPTPTPTPTPTVGNYSIRNGNTTCLLA TMGLQLNITEEKVPFIFNINPATTNFTGSCQPQSAQLRLNNSQIKYLDFIFAVKNEKRFY LKEVNVYMYLANGSAFNISNKNLSFWDAPLGSSYMCNKEQVLSVSRAFQINTFNLKVQPF NVTKGQYSTAEECAADSDLNFLIPVAVGVALGFLIIAVFISYMIGRRKSRTGYQSV >ENSMUSP00000016678.7 pep:known chromosome:GRCm38:X:38405062:38456454:-1 gene:ENSMUSG00000016534.15 transcript:ENSMUST00000016678.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamp2 description:lysosomal-associated membrane protein 2 [Source:MGI Symbol;Acc:MGI:96748] MCLSPVKGAKLILIFLFLGAVQSNALIVNLTDSKGTCLYAEWEMNFTITYETTNQTNKTI TIAVPDKATHDGSSCGDDRNSAKIMIQFGFAVSWAVNFTKEASHYSIHDIVLSYNTSDST VFPGAVAKGVHTVKNPENFKVPLDVIFKCNSVLTYNLTPVVQKYWGIHLQAFVQNGTVSK NEQVCEEDQTPTTVAPIIHTTAPSTTTTLTPTSTPTPTPTPTPTVGNYSIRNGNTTCLLA TMGLQLNITEEKVPFIFNINPATTNFTGSCQPQSAQLRLNNSQIKYLDFIFAVKNEKRFY LKEVNVYMYLANGSAFNISNKNLSFWDAPLGSSYMCNKEQVLSVSRAFQINTFNLKVQPF NVTKGQYSTAQDCSADEDNFLVPIAVGAALGGVLILVLLAYFIGLKRHHTGYEQF >ENSMUSP00000052283.8 pep:known chromosome:GRCm38:X:38419507:38456454:-1 gene:ENSMUSG00000016534.15 transcript:ENSMUST00000061755.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamp2 description:lysosomal-associated membrane protein 2 [Source:MGI Symbol;Acc:MGI:96748] MCLSPVKGAKLILIFLFLGAVQSNALIVNLTDSKGTCLYAEWEMNFTITYETTNQTNKTI TIAVPDKATHDGSSCGDDRNSAKIMIQFGFAVSWAVNFTKEASHYSIHDIVLSYNTSDST VFPGAVAKGVHTVKNPENFKVPLDVIFKCNSVLTYNLTPVVQKYWGIHLQAFVQNGTVSK NEQVCEEDQTPTTVAPIIHTTAPSTTTTLTPTSTPTPTPTPTPTVGNYSIRNGNTTCLLA TMGLQLNITEEKVPFIFNINPATTNFTGSCQPQSAQLRLNNSQIKYLDFIFAVKNEKRFY LKEVNVYMYLANGSAFNISNKNLSFWDAPLGSSYMCNKEQVLSVSRAFQINTFNLKVQPF NVTKGQYSTAQECSLDDDTILIPIIVGAGLSGLIIVIVIAYLIGRRKTYAGYQTL >ENSMUSP00000104490.1 pep:known chromosome:GRCm38:2:180967225:180982458:1 gene:ENSMUSG00000027575.19 transcript:ENSMUST00000108862.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgap1 description:ADP-ribosylation factor GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2183559] MASPRTRKVLKEVRAQDENNVCFECGAFNPQWVSVTYGIWICLECSGRHRGLGVHLSFVR SVTMDKWKDIELEKMKAGGNAKFREFLETQDDYEPSWSLQDKYSSRAAALFRDKVATLAE GKEWSLESSPAQNWTPPQPKTLQFTAHRASGQPQSAAASGDKAFEDWLNDDLGSYQGAQE NRYVGFGNTVPPQKREDDFLNNAMSSLYSGWSSFTTGASKFASAAKEGATKFGSQASQKA SELGHSLNENVLKPAQEKVQGVGSKGWRDVTTFFSGKAEDSSDRPLEGHSYQNSSGDNSQ NSNIDQSFWETFGSAEPPKAKSPSSDSWTCADASTGRRSSDSWDVWGSGSASNNKNSNSD GWESWEGASGEGRAKATKKAAPSTADEGWDNQNW >ENSMUSP00000029092.6 pep:known chromosome:GRCm38:2:180967234:180982526:1 gene:ENSMUSG00000027575.19 transcript:ENSMUST00000029092.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgap1 description:ADP-ribosylation factor GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2183559] MASPRTRKVLKEVRAQDENNVCFECGAFNPQWVSVTYGIWICLECSGRHRGLGVHLSFVR SVTMDKWKDIELEKMKAGGNAKFREFLETQDDYEPSWSLQDKYSSRAAALFRDKVATLAE GKEWSLESSPAQNWTPPQPKTLQFTAHRASGQPQSAAASGDKAFEDWLNDDLGSYQGAQE NRYVGFGNTVPPQKREDDFLNNAMSSLYSGWSSFTTGASKFASAAKEGATKFGSQASQKA SELGHSLNENVLKPAQEKVKEGRIFDDVSSGVSQLASKVQGVGSKGWRDVTTFFSGKAED SSDRPLEGHSYQNSSGDNSQNSNIDQSFWETFGSAEPPKAKSPSSDSWTCADASTGRRSS DSWDVWGSGSASNNKNSNSDGWESWEGASGEGRAKATKKAAPSTADEGWDNQNW >ENSMUSP00000104489.1 pep:known chromosome:GRCm38:2:180967245:180981700:1 gene:ENSMUSG00000027575.19 transcript:ENSMUST00000108861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgap1 description:ADP-ribosylation factor GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2183559] MASPRTRKVLKEVRAQDENNVCFECGAFNPQWVSVTYGIWICLECSGRHRGLGVHLSFVR SVTMDKWKDIELEKMKAGGNAKFREFLETQDDYEPSWSLQDKYSSRAAALFRDKVATLAE GKEWSLESSPAQNWTPPQPKTLQFTAHRASGQPQSAAASGDKAFEDWLNDDLGSYQGAQE NRYVGFGNTVPPQKREDDFLNNAMSSLYSGWSSFTTGASKFASAAKEGATKFGSQASQKA SELGHSLNENVLKPAQEKVQGVGSKGWRDVTTFFSGKAEDSSDRPLEGHSYQNSSGDNSQ NSNIDQSFWETFGSAEPPKAKSPSSDSWTCADASTGRRSSDSWDVWGSGSASNNKNSNSD GWESWEGASGEGRAKATKKAAPSTADEGWDNQNW >ENSMUSP00000104488.1 pep:known chromosome:GRCm38:2:180967277:180982460:1 gene:ENSMUSG00000027575.19 transcript:ENSMUST00000108860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgap1 description:ADP-ribosylation factor GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2183559] MASPRTRKVLKEVRAQDENNVCFECGAFNPQWVSVTYGIWICLECSGRHRGLGVHLSFVR SVTMDKWKDIELEKMKAGGNAKFREFLETQDDYEPSWSLQDKYSSRAAALFRDKVATLAE GKEWSLESSPAQNWTPPQPKTLQFTAHRASGQPQSAAASGDKAFEDWLNDDLGSYQGAQE NRYVGFGNTVPPQKREDDFLNNAMSSLYSGWSSFTTGASKFASAAKEGATKFGSQASQKA SELGHSLNENVLKPAQEKGVGSKGWRDVTTFFSGKAEDSSDRPLEGHSYQNSSGDNSQNS NIDQSFWETFGSAEPPKAKSPSSDSWTCADASTGRRSSDSWDVWGSGSASNNKNSNSDGW ESWEGASGEGRAKATKKAAPSTADEGWDNQNW >ENSMUSP00000104487.1 pep:known chromosome:GRCm38:2:180967300:180982311:1 gene:ENSMUSG00000027575.19 transcript:ENSMUST00000108859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgap1 description:ADP-ribosylation factor GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2183559] MASPRTRKVLKEVRAQDENNVCFECGAFNPQWVSVTYGIWICLECSGRHRGLGVHLSFVR SVTMDKWKDIELEKMKAGGNAKFREFLETQDDYEPSWSLQDKYSSRAAALFRDKVATLAE GKEWSLESSPAQNWTPPQPKTLQFTAHRASGQPQSAAASGDKAFEDWLNDDLGSYQGAQE NRYVGFGNTVPPQKREDDFLNNAMSSLYSGWSSFTTGASKFASAAKEGATKFGSQASQKA SELGHSLNENVLKPAQEKGVGSKGWRDVTTFFSGKAEDSSDRPLEGHSYQNSSGDNSQNS NIDQSFWETFGSAEPPKAKSPSSDSWTCADASTGRRSSDSWDVWGSGSASNNKNSNSDGW ESWEGASGEGRAKATKKAAPSTADEGWDNQNW >ENSMUSP00000139222.1 pep:known chromosome:GRCm38:2:180971040:180981473:1 gene:ENSMUSG00000027575.19 transcript:ENSMUST00000185115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgap1 description:ADP-ribosylation factor GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2183559] MASPRTRKVLKEVRAQDENNVCFECGAFNPQWVSVTYGIWICLECSGRHRGLGVHLSFVR SVTMDKWKDIELEKMKAGGNAKFREFLETQDDYEPSWSLQDKYSSRAAALFRDKVATLAE GKEWSLESSPAQNWTPPQPKTLQFTAHRASGQPQSAAASGDKAFEDWLNDDLGSYQGAQE NRYVGFGNTVPPQKREDDFLNNAMSSLYSGWSSFTTGASKFASAAKEGATKFGSQASQKF WGYKQQSEPASELGHSLNENVLKPAQEKVKEGRIFDDVSSGVSQLASKVQGVGSKGWRDV TTFFSGKAEDSSDRPLEGHSYQNSSGDNSQNSNIDQSFWETFGSAEPPKAKSPSSDSWTC ADASTGRRSSDSWDVWGSGSASNNKNSNSDGWESWEGASGEGRAKATKKAAPSTADEGWD NQNW >ENSMUSP00000138843.1 pep:known chromosome:GRCm38:2:180971055:180981370:1 gene:ENSMUSG00000027575.19 transcript:ENSMUST00000184394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgap1 description:ADP-ribosylation factor GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2183559] MASPRTRKVLKEVRAQDENNVCFECGAFNPQWVSVTYGIWICLECSGRHRGLGVHLSFVR SVTMDKWKDIELEKMKAGGNAKFREFLETQDDYEPSWSLQDKYSSRAAALFRDKVATLAE GKEWSLESSPAQNWTPPQPKTLQFTAHRASGQPQSAAASGDKAFEDWLNDDLGSYQGAQE NRYVGFGNTVPPQKREDDFLNNAMSSLYSGWSSFTTGASKFASAAKEGATKFGSQASQKF WGYKQQSEPASELGHSLNENVLKPAQEKGVGSKGWRDVTTFFSGKAEDSSDRPLEGHSYQ NSSGDNSQNSNIDQSFWETFGSAEPPKAKSPSSDSWTCADASTGRRSSDSWDVWGSGSAS NNKNSNSDGWESWEGASGEGRAKATKKAAPSTADEGWDNQNW >ENSMUSP00000142994.1 pep:known chromosome:GRCm38:5:105270702:105293684:-1 gene:ENSMUSG00000104713.4 transcript:ENSMUST00000200045.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gbp6 description:guanylate binding protein 6 [Source:MGI Symbol;Acc:MGI:2140937] MTQPQMAPICLVENHNEQLSVNQEAIEILDKISQPVVVVAIVGLYRTGKSYLMNCLAGQN HG >ENSMUSP00000142518.1 pep:known chromosome:GRCm38:5:105272411:105293696:-1 gene:ENSMUSG00000104713.4 transcript:ENSMUST00000196520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp6 description:guanylate binding protein 6 [Source:MGI Symbol;Acc:MGI:2140937] MTQPQMAPICLVENHNEQLSVNQEAIEILDKISQPVVVVAIVGLYRTGKSYLMNCLAGQN HGFPLGSTVQSQTKGIWMWCMPHPTKPEHTLVLLDTEGLGDVEKGDPKNDLWIFALSVLL SSTFIYNSMITINHQALEQLHYVTELTELIRAKSSPNPAGIKNSTEFVSFFPDFVWTVRD FMLELKLNGEDITSDDYLENALKLIPGDKPRMQASNSCRECIRLFFPNRKCFVFDRPTHD KELLQKLDSITEDQLDPKFQEVTKAFVSYIFTYAKIKTLKEGIKVTGNRLGILVTTYVNA INSGAVPCLDDAVTTLAQRENSVAVQKAADHYSEQMAQRLRLPTETLQELLDVHAACEKE AMAVFMEHSFKDENQQFLKKLVELIGENKELFLSKNEEASNKYCQEELDRLSKDFMENIS TFFVPCGHKLYMDKREKIEHDYWQVPRKGVKASEVFQSFLQSQAFIESSILQADTALTAG EKAIAEERAQKVAAEKEQELLRQKQKEQQEYMEAQEKSHKENLEQLRRKLEQEREQDIKD HDMMLKKLMKDQKAFLEEGFKKKAEEMNKEIQQLRDVIKDKKRNTDRIKEALLNGFSTVL FHYLVRYLKHL >ENSMUSP00000104012.2 pep:known chromosome:GRCm38:11:77763246:77865980:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000108375.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MFNLMKKDKDKDGGRKEKKEKKEKKERMSAAELRSLEEMSMRRGFFNLNRSSKRESKTRL EISNPIPIKVASGSDLHLTDIDSDSNRGSIILDSGHLSTASSSDDLKGEEGSFRGSVLQR AAKFGSLAKQNSQMIVKRFSFSQRSRDESASETSTPSEHSAAPSPQVEVRTLEGQLMQHP GLGIPRPGPRSRVPELVTKRFPADLRLPALVPPPPPALRELELQRRPTGDFGFSLRRTTM LDRAPEGQAYRRVVHFAEPGAGTKDLALGLVPGDRLVEINGQNVENKSRDEIVEMIRQSG DSVRLKVQPIPELSELSRSWLRTGEGHRREPADAKTEEQIAAEEAWYETEKVWLVHRDGF SLASQLKSEELSLPEGKARVKLDHDGAILDVDEDDIEKANAPSCDRLEDLASLVYLNESS VLHTLRQRYGASLLHTYAGPSLLVLSTRGAPAVYSEKVMHMFKGCRREDMAPHIYAVAQT AYRAMLMSRQDQSIVLLGSSGSGKTTSFQHLVQYLATIAGTSGTKVFSVEKWQALSTLLE AFGNSPTIMNGSATRFSQILSLDFDQAGQVASASIQTMLLEKLRVARRPASEATFNVFYY LLACGDATLRTELHLNHLAENNVFGIVPLSKPEEKQKAAQQFSKLQAAMKVLAISPEEQK TCWLILASIYHLGAAGATKEAAEAGRKQFARHEWAQKAAYLLGCSLEELSSAIFKHQLKG GTLQRSTSFRQGPEESGLGEGTKLSALECLEGMASGLYSELFTLLISLVNRALKSSQHSL CSMMIVDTPGFQNPEWGGSARGASFEELCHNYAQDRLQRLFHERTFLQELERYKEDNIEL AFDDLEPVADDSVAAVDQASHLVRSLAHADEARGLLWLLEEEALVPGATEDALLDRLFSY YGPQEGDKKGQSPLLRSSKPRHFLLGHSHGTNWVEYNVAGWLNYTKQNPATQNAPRLLQD SQKKIISNLFLGRAGSATVLSGSIAGLEGGSQLALRRATSMRKTFTTGMAAVKKKSLCIQ IKLQVDALIDTIKRSKMHFVHCFLPVAEGWPGEPRSASSRRVSSSSELDLPPGDPCEAGL LQLDVSLLRAQLRGSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHLTKKHGRNYIVVD EKRAVEELLESLDLEKSSCCLGLSRVFFRAGTLARLEEQRDEQTSRHLTLFQAACRGYLA RQHFKKRKIQDLAIRCVQKNIKKNKGVKDWPWWKLFTTVRPLIQVQLSEEQIRNKDEEIQ QLRSKLEKVEKERNELRLSSDRLETRISELTSELTDERNTGESASQLLDAETAERLRTEK EMKELQTQYDALKKQMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWRLKYERAVREVDF TKKRLQQELEDKMEVEQQSRRQLERRLGDLQADSDESQRALQQLKKKCQRLTAELQDTKL HLEGQQVRNHELEKKQRRFDSELSQAHEETQREKLQREKLQREKDMLLAEAFSLKQQMEE KDLDIAGFTQKVVSLEAELQDISSQESKDEASLAKVKKQLRDLEAKVKDQEEELDEQAGS IQMLEQAKLRLEMEMERMRQTHSKEMESRDEEVEEARQSCQKKLKQMEVQLEEEYEDKQK ALREKRELESKLSTLSDQVNQRDFESEKRLRKDLKRTKALLADAQIMLDHLKNNAPSKRE IAQLKNQLEESEFTCAAAVKARKAMEVEMEDLHLQIDDIAKAKTALEEQLSRLQREKNEI QNRLEEDQEDMNELMKKHKAAVAQASRDMAQMNDLQAQIEESNKEKQELQEKLQALQSQV EFLEQSMVDKSLVSRQEAKIRELETRLEFEKTQVKRLENLASRLKETMEKLTEERDQRAA AENREKEQNKRLQRQLRDTKEEMSELARKEAEASRKKHELEMDLESLEAANQSLQADLKL AFKRIGDLQAAIEDEMESDENEDLINSLQDMVTKYQKKKNKLEGDSDVDSELEDRVDGVK SWLSKNKGPSKAPSDDGSLKSSSPTSHWKPLAPDPSDDEHDPVDSISRPRFSHSYLSDSD TEAKLTETSA >ENSMUSP00000129084.1 pep:known chromosome:GRCm38:11:77765603:77777406:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000164315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MFNLMKKDKDKDGGRKEKKEKKEKKERMSA >ENSMUSP00000104013.2 pep:known chromosome:GRCm38:11:77766736:77865980:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000108376.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MFNLMKKDKDKDGGRKEKKEKKEKKERMSAAELRSLEEMSMRRGFFNLNRSSKRESKTRL EISNPIPIKVASGSDLHLTDIDSDSNRGSIILDSGHLSTASSSDDLKGEEGSFRGSVLQR AAKFGSLAKQNSQMIVKRFSFSQRSRDESASETSTPSEHSAAPSPQVEVRTLEGQLMQHP GLGIPRPGPRSRVPELVTKRFPADLRLPALVPPPPPALRELELQRRPTGDFGFSLRRTTM LDRAPEGQAYRRVVHFAEPGAGTKDLALGLVPGDRLVEINGQNVENKSRDEIVEMIRQSG DSVRLKVQPIPELSELSRSWLRTGEGHRREPADAKTEEQIAAEEAWYETEKVWLVHRDGF SLASQLKSEELSLPEGKARVKLDHDGAILDVDEDDIEKANAPSCDRLEDLASLVYLNESS VLHTLRQRYGASLLHTYAGPSLLVLSTRGAPAVYSEKVMHMFKGCRREDMAPHIYAVAQT AYRAMLMSRQDQSIVLLGSSGSGKTTSFQHLVQYLATIAGTSGTKVFSVEKWQALSTLLE AFGNSPTIMNGSATRFSQILSLDFDQAGQVASASIQTMLLEKLRVARRPASEATFNVFYY LLACGDATLRTELHLNHLAENNVFGIVPLSKPEEKQKAAQQFSKLQAAMKVLAISPEEQK TCWLILASIYHLGAAGATKEAAEAGRKQFARHEWAQKAAYLLGCSLEELSSAIFKHQLKG GTLQRSTSFRQGPEESGLGEGTKLSALECLEGMASGLYSELFTLLISLVNRALKSSQHSL CSMMIVDTPGFQNPEWGGSARGASFEELCHNYAQDRLQRLFHERTFLQELERYKEDNIEL AFDDLEPVADDSVAAVDQASHLVRSLAHADEARGLLWLLEEEALVPGATEDALLDRLFSY YGPQEGDKKGQSPLLRSSKPRHFLLGHSHGTNWVEYNVAGWLNYTKQNPATQNAPRLLQD SQKKIISNLFLGRAGSATVLSGSIAGLEGGSQLALRRATSMRKTFTTGMAAVKKKSLCIQ IKLQVDALIDTIKRSKMHFVHCFLPVAEGWPGEPRSASSRRVSSSSELDLPPGDPCEAGL LQLDVSLLRAQLRGSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHLTKKHGRNYIVVD EKRAVEELLESLDLEKSSCCLGLSRVFFRAGTLARLEEQRDEQTSRHLTLFQAACRGYLA RQHFKKRKIQDLAIRCVQKNIKKNKGVKDWPWWKLFTTVRPLIQVQLSEEQIRNKDEEIQ QLRSKLEKVEKERNELRLSSDRLETRISELTSELTDERNTGESASQLLDAETAERLRTEK EMKELQTQYDALKKQMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWRLKYERAVREVDF TKKRLQQELEDKMEVEQQSRRQLERRLGDLQADSDESQRALQQLKKKCQRLTAELQDTKL HLEGQQVRNHELEKKQRRFDSELSQAHEETQREKLQREKLQREKDMLLAEAFSLKQQMEE KDLDIAGFTQKVVSLEAELQDISSQESKDEASLAKVKKQLRDLEAKVKDQEEELDEQAGS IQMLEQLKQMEVQLEEEYEDKQKALREKRELESKLSTLSDQVNQRDFESEKRLRKDLKRT KALLADAQIMLDHLKNNAPSKREIAQLKNQLEESEFTCAAAVKARKAMEVEMEDLHLQID DIAKAKTALEEQLSRLQREKNEIQNRLEEDQEDMNELMKKHKAAVAQASRDMAQMNDLQA QIEESNKEKQELQEKLQALQSQVEFLEQSMVDKSLVSRQEAKIRELETRLEFEKTQVKRL ENLASRLKETMEKLTEERDQRAAAENREKEQNKRLQRQLRDTKEEMSELARKEAEASRKK HELEMDLESLEAANQSLQADLKLAFKRIGDLQAAIEDEMESDENEDLINSEGDSDVDSEL EDRVDGVKSWLSKNKGPSKAPSDDGSLKSSSPTSHWKPLAPDPSDDEHDPVDSISRPRFS HSYLSDSDTEAKLTETSA >ENSMUSP00000099546.1 pep:known chromosome:GRCm38:11:77772151:77865980:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000102488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MFNLMKKDKDKDGGRKEKKEKKEKKERMSAAELRSLEEMSMRRGFFNLNRSSKRESKTRL EISNPIPIKVASGSDLHLTDIDSDSNRGSIILDSGHLSTASSSDDLKGEEGSFRGSVLQR AAKFGSLAKQNSQMIVKRFSFSQRSRDESASETSTPSEHSAAPSPQVEVRTLEGQLMQHP GLGIPRPGPRSRVPELVTKRFPADLRLPALVPPPPPALRELELQRRPTGDFGFSLRRTTM LDRAPEGQAYRRVVHFAEPGAGTKDLALGLVPGDRLVEINGQNVENKSRDEIVEMIRQSG DSVRLKVQPIPELSELSRSWLRTGEGHRREPADAKTEEQIAAEEAWYETEKVWLVHRDGF SLASQLKSEELSLPEGKARVKLDHDGAILDVDEDDIEKANAPSCDRLEDLASLVYLNESS VLHTLRQRYGASLLHTYAGPSLLVLSTRGAPAVYSEKVMHMFKGCRREDMAPHIYAVAQT AYRAMLMSRQDQSIVLLGSSGSGKTTSFQHLVQYLATIAGTSGTKVFSVEKWQALSTLLE AFGNSPTIMNGSATRFSQILSLDFDQAGQVASASIQTMLLEKLRVARRPASEATFNVFYY LLACGDATLRTELHLNHLAENNVFGIVPLSKPEEKQKAAQQFSKLQAAMKVLAISPEEQK TCWLILASIYHLGAAGATKEAAEAGRKQFARHEWAQKAAYLLGCSLEELSSAIFKHQLKG GTLQRSTSFRQGPEESGLGEGTKLSALECLEGMASGLYSELFTLLISLVNRALKSSQHSL CSMMIVDTPGFQNPEWGGSARGASFEELCHNYAQDRLQRLFHERTFLQELERYKEDNIEL AFDDLEPVADDSVAAVDQASHLVRSLAHADEARGLLWLLEEEALVPGATEDALLDRLFSY YGPQEGDKKGQSPLLRSSKPRHFLLGHSHGTNWVEYNVAGWLNYTKQNPATQNAPRLLQD SQKKIISNLFLGRAGSATVLSGSIAGLEGGSQLALRRATSMRKTFTTGMAAVKKKSLCIQ IKLQVDALIDTIKRSKMHFVHCFLPVAEGWPGEPRSASSRRVSSSSELDLPPGDPCEAGL LQLDVSLLRAQLRGSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHLTKKHGRNYIVVD EKRAVEELLESLDLEKSSCCLGLSRVFFRAGTLARLEEQRDEQTSRHLTLFQAACRGYLA RQHFKKRKIQDLAIRCVQKNIKKNKGVKDWPWWKLFTTVRPLIQVQLSEEQIRNKDEEIQ QLRSKLEKVEKERNELRLSSDRLETRISELTSELTDERNTGESASQLLDAETAERLRTEK EMKELQTQYDALKKQMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWRLKYERAVREVDF TKKRLQQELEDKMEVEQQSRRQLERRLGDLQADSDESQRALQQLKKKCQRLTAELQDTKL HLEGQQVRNHELEKKQRRFDSELSQAHEETQREKLQREKLQREKDMLLAEAFSLKQQMEE KDLDIAGFTQKVVSLEAELQDISSQESKDEASLAKVKKQLRDLEAKVKDQEEELDEQAGS IQMLEQAKLRLEMEMERMRQTHSKEMESRDEEVEEARQSCQKKLKQMEVQLEEEYEDKQK ALREKRELESKLSTLSDQVNQRDFESEKRLRKDLKRTKALLADAQIMLDHLKNNAPSKRE IAQLKNQLEESEFTCAAAVKARKAMEVEMEDLHLQIDDIAKAKTALEEQLSRLQREKNEI QNRLEEDQEDMNELMKKHKAAVAQASRDMAQMNDLQAQIEESNKEKQELQEKLQALQSQV EFLEQSMVDKSLVSRQEAKIRELETRLEFEKTQVKRLENLASRLKETMEKLTEERDQRAA AENREKEQNKRLQRQLRDTKEEMSELARKEAEASRKKHELEMDLESLEAANQSLQADLKL AFKRIGDLQAAIEDEMESDENEDLINSEGDSDVDSELEDRVDGVKSWLSKNKGPSKAPSD DGSLKSSSPTSHWKPLAPDPSDDEHDPVDSISRPRFSHSYLSDSDTEAKLTETSA >ENSMUSP00000130696.1 pep:known chromosome:GRCm38:11:77777293:77865142:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000168348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MFNLMKKDKDKDGGRKEKKEKKEKKERMSAAELRSLEEMSMRRGFFNLNRSSKRESKTRL EISNPIPIKVASGSDLHLTDIDSDSNRGSIILDSGHLSTASSSDDLKGEEGSFRGSVLQR AAKFGSLAKQNSQMIVKRFSFSQRSRDESASETSTPSEHSAAPSPQVEVRTLEGQLMQHP GLGIPRPGPRSRVPELVTKRFPADLRLPALVPPPPPALRELELQRRPTGDFGFSLRRTTM LDRAPEGQAYRRVVHFAEPGAGTKDLALGLVPGDRLVEINGQNVENKSRDEIVEMIRQSG DSVRLKVQPIPELSELSRSWLRTGEGHRREPADLDPEAASPAYSQVGCSCPTQEPSAFSS DNPPPPPCTWAGVCARASCWSAKTEEQIAAEEAWYETEKVWLVHRDGFSLASQLKSEELS LPEGKARVKLDHDGAILDVDEDDIEKANAPSCDRLEDLASLVYLNESSVLHTLRQRYGAS LLHTYAGPSLLVLSTRGAPAVYSEKVMHMFKGCRREDMAPHIYAVAQTAYRAMLMSRQDQ SIVLLGSSGSGKTTSFQHLVQYLATIAGTSGTKVFSVEKWQALSTLLEAFGNSPTIMNGS ATRFSQILSLDFDQAGQVASASIQTMLLEKLRVARRPASEATFNVFYYLLACGDATLRTE LHLNHLAENNVFGIVPLSKPEEKQKAAQQFSKLQAAMKVLAISPEEQKTCWLILASIYHL GAAGATKEAAEAGRKQFARHEWAQKAAYLLGCSLEELSSAIFKHQLKGGTLQRSTSFRQG PEESGLGEGTKLSALECLEGMASGLYSELFTLLISLVNRALKSSQHSLCSMMIVDTPGFQ NPEWGGSARGASFEELCHNYAQDRLQRLFHERTFLQELERYKEDNIELAFDDLEPVADDS VAAVDQASHLVRSLAHADEARGLLWLLEEEALVPGATEDALLDRLFSYYGPQEGDKKGQS PLLRSSKPRHFLLGHSHGTNWVEYNVAGWLNYTKQNPATQNAPRLLQDSQKKIISNLFLG RAGSATVLSGSIAGLEGGSQLALRRATSMRKTFTTGMAAVKKKSLCIQIKLQVDALIDTI KRSKMHFVHCFLPVAEGWPGEPRSASSRRVSSSSELDLPPGDPCEAGLLQLDVSLLRAQL RGSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHLTKKHGRNYIVVDEKRAVEELLESL DLEKSSCCLGLSRVFFRAGTLARLEEQRDEQTSRHLTLFQAACRGYLARQHFKKRKIQDL AIRCVQKNIKKNKGVKDWPWWKLFTTVRPLIQVQLSEEQIRNKDEEIQQLRSKLEKVEKE RNELRLSSDRLETRISELTSELTDERNTGESASQLLDAETAERLRTEKEMKELQTQYDAL KKQMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWRLKYERAVREVDFTKKRLQQELEDK MEVEQQSRRQLERRLGDLQADSDESQRALQQLKKKCQRLTAELQDTKLHLEGQQVRNHEL EKKQRRFDSELSQAHEETQREKLQREKLQREKDMLLAEAFSLKQQMEEKDLDIAGFTQKV VSLEAELQDISSQESKDEASLAKVKKQLRDLEAKVKDQEEELDEQAGSIQMLEQAKLRLE MEMERMRQTHSKEMESRDEEVEEARQSCQKKLKQMEVQLEEEYEDKQKALREKRELESKL STLSDQVNQRDFESEKRLRKDLKRTKALLADAQIMLDHLKNNAPSKREIAQLKNQLEESE FTCAAAVKARKAMEVEMEDLHLQIDDIAKAKTALEEQLSRLQREKNEIQNRLEEDQEDMN ELMKKHKAAVAQASRDMAQMNDLQAQIEESNKEKQELQEKLQALQSQVEFLEQSMVDKSL VSRQEAKIRELETRLEFEKTQVKRLENLASRLKETMEKLTEERDQRAAAENREKEQNKRL QRQLRDTKEEMSELARKEAEASRKKHELEMDLESLEAANQSLQADLKLAFKRIGDLQAAI EDEMESDENEDLINSEGDSDVDSELEDRVDGVKSWLSKNKGPSKAPSDDGSLKSSSPTSH WKPLAPDPSDDEHDPVDSISRPRFSHSYLSDSDTEAKLTETSA >ENSMUSP00000132149.1 pep:known chromosome:GRCm38:11:77777293:77865143:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000169105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MFNLMKKDKDKDGGRKEKKEKKEKKERMSAAELRSLEEMSMRRGFFNLNRSSKRESKTRL EISNPIPIKVASGSDLHLTDIDSDSNRGSIILDSGHLSTASSSDDLKGEEGSFRGSVLQR AAKFGSLAKQNSQMIVKRFSFSQRSRDESASETSTPSEHSAAPSPQVEVRTLEGQLMQHP GLGIPRPGPRSRVPELVTKRFPADLRLPALVPPPPPALRELELQRRPTGDFGFSLRRTTM LDRAPEGQAYRRVVHFAEPGAGTKDLALGLVPGDRLVEINGQNVENKSRDEIVEMIRQSG DSVRLKVQPIPELSELSRSWLRTGEGHRREPADLDPEAASPAYSQAKTEEQIAAEEAWYE TEKVWLVHRDGFSLASQLKSEELSLPEGKARVKLDHDGAILDVDEDDIEKANAPSCDRLE DLASLVYLNESSVLHTLRQRYGASLLHTYAGPSLLVLSTRGAPAVYSEKVMHMFKGCRRE DMAPHIYAVAQTAYRAMLMSRQDQSIVLLGSSGSGKTTSFQHLVQYLATIAGTSGTKVFS VEKWQALSTLLEAFGNSPTIMNGSATRFSQILSLDFDQAGQVASASIQTMLLEKLRVARR PASEATFNVFYYLLACGDATLRTELHLNHLAENNVFGIVPLSKPEEKQKAAQQFSKLQAA MKVLAISPEEQKTCWLILASIYHLGAAGATKEAAEAGRKQFARHEWAQKAAYLLGCSLEE LSSAIFKHQLKGGTLQRSTSFRQGPEESGLGEGTKLSALECLEGMASGLYSELFTLLISL VNRALKSSQHSLCSMMIVDTPGFQNPEWGGSARGASFEELCHNYAQDRLQRLFHERTFLQ ELERYKEDNIELAFDDLEPVADDSVAAVDQASHLVRSLAHADEARGLLWLLEEEALVPGA TEDALLDRLFSYYGPQEGDKKGQSPLLRSSKPRHFLLGHSHGTNWVEYNVAGWLNYTKQN PATQNAPRLLQDSQKKIISNLFLGRAGSATVLSGSIAGLEGGSQLALRRATSMRKTFTTG MAAVKKKSLCIQIKLQVDALIDTIKRSKMHFVHCFLPVAEGWPGEPRSASSRRVSSSSEL DLPPGDPCEAGLLQLDVSLLRAQLRGSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHL TKKHGRNYIVVDEKRAVEELLESLDLEKSSCCLGLSRVFFRAGTLARLEEQRDEQTSRHL TLFQAACRGYLARQHFKKRKIQDLAIRCVQKNIKKNKGVKDWPWWKLFTTVRPLIQVQLS EEQIRNKDEEIQQLRSKLEKVEKERNELRLSSDRLETRISELTSELTDERNTGESASQLL DAETAERLRTEKEMKELQTQYDALKKQMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWR LKYERAVREVDFTKKRLQQELEDKMEVEQQSRRQLERRLGDLQADSDESQRALQQLKKKC QRLTAELQDTKLHLEGQQVRNHELEKKQRRFDSELSQAHEETQREKLQREKLQREKDMLL AEAFSLKQQMEEKDLDIAGFTQKVVSLEAELQDISSQESKDEASLAKVKKQLRDLEAKVK DQEEELDEQAGSIQMLEQAKLRLEMEMERMRQTHSKEMESRDEEVEEARQSCQKKLKQME VQLEEEYEDKQKALREKRELESKLSTLSDQVNQRDFESEKRLRKDLKRTKALLADAQIML DHLKNNAPSKREIAQLKNQLEESEFTCAAAVKARKAMEVEMEDLHLQIDDIAKAKTALEE QLSRLQREKNEIQNRLEEDQEDMNELMKKHKAAVAQASRDMAQMNDLQAQIEESNKEKQE LQEKLQALQSQVEFLEQSMVDKSLVSRQEAKIRELETRLEFEKTQVKRLENLASRLKETM EKLTEERDQRAAAENREKEQNKRLQRQLRDTKEEMSELARKEAEASRKKHELEMDLESLE AANQSLQADLKLAFKRIGDLQAAIEDEMESDENEDLINSEGDSDVDSELEDRVDGVKSWL SKNKGPSKAPSDDGSLKSSSPTSHWKPLAPDPSDDEHDPVDSISRPRFSHSYLSDSDTEA KLTETSA >ENSMUSP00000119839.2 pep:known chromosome:GRCm38:11:77777316:77864848:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000130627.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MFNLMKKDKDKDGGRKEKKEKKEKKERMSAAELRSLEEMSMRRGFFNLNRSSKRESKTRL EISNPIPIKVASGSDLHLTDIDSDSNRGSIILDSGHLSTASSSDDLKGEEGSFRGSVLQR AAKFGSLAKQNSQMIVKRFSFSQRSRDESASETSTPSEHSAAPSPQVEVRTLEGQLMQHP GLGIPRPGPRSRVPELVTKRFPADLRLPALVPPPPPALRELELQRRPTGDFGFSLRRTTM LDRAPEGQAYRRVVHFAEPGAGTKDLALGLVPGDRLVEINGQNVENKSRDEIVEMIRQSG DSVRLKVQPIPELSELSRSWLRTGEGHRREPADLDPEAASPAYSQAKTEEQIAAEEAWYE TEKVWLVHRDGFSLASQLKSEELSLPEGKARVKLDHDGAILDVDEDDIEKANAPSCDRLE DLASLVYLNESSVLHTLRQRYGASLLHTYAGPSLLVLSTRGAPAVYSEKVMHMFKGCRRE DMAPHIYAVAQTAYRAMLMSRQDQSIVLLGSSGSGKTTSFQHLVQYLATIAGTSGTKVFS VEKWQALSTLLEAFGNSPTIMNGSATRFSQILSLDFDQAGQVASASIQTMLLEKLRVARR PASEATFNVFYYLLACGDATLRTELHLNHLAENNVFGIVPLSKPEEKQKAAQQFSKLQAA MKVLAISPEEQKTCWLILASIYHLGAAGATKEAAEAGRKQFARHEWAQKAAYLLGCSLEE LSSAIFKHQLKGGTLQRSTSFRQGPEESGLGEGTKLSALECLEGMASGLYSELFTLLISL VNRALKSSQHSLCSMMIVDTPGFQNPEWGGSARGASFEELCHNYAQDRLQRLFHERTFLQ ELERYKEDNIELAFDDLEPVADDSVAAVDQASHLVRSLAHADEARGLLWLLEEEALVPGA TEDALLDRLFSYYGPQEGDKKGQSPLLRSSKPRHFLLGHSHGTNWVEYNVAGWLNYTKQN PATQNAPRLLQDSQKKIISNLFLGRAGSATVLSGSIAGLEGGSQLALRRATSMRKTFTTG MAAVKKKSLCIQIKLQVDALIDTIKRSKMHFVHCFLPVAEGWPGEPRSASSRRVSSSSEL DLPPGDPCEAGLLQLDVSLLRAQLRGSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHL TKKHGRNYIVVDEKRAVEELLESLDLEKSSCCLGLSRVFFRAGTLARLEEQRDEQTSRHL TLFQAACRGYLARQHFKKRKIQDLAIRCVQKNIKKNKGVKDWPWWKLFTTVRPLIQVQLS EEQIRNKDEEIQQLRSKLEKVEKERNELRLSSDRLETRISELTSELTDERNTGESASQLL DAETAERLRTEKEMKELQTQYDALKKQMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWR LKYERAVREVDFTKKRLQQELEDKMEVEQQSRRQLERRLGDLQADSDESQRALQQLKKKC QRLTAELQDTKLHLEGQQVRNHELEKKQRRFDSELSQAHEETQREKLQREKLQREKDMLL AEAFSLKQQMEEKDLDIAGFTQKVVSLEAELQDISSQESKDEASLAKVKKQLRDLEAKVK DQEEELDEQAGSIQMLEQAKLRLEMEMERMRQTHSKEMESRDEEVEEARQSCQKKLKQME VQLEEEYEDKQKALREKRELESKLSTLSDQVNQRDFESEKRLRKDLKRTKALLADAQIML DHLKNNAPSKREIAQLKNQLEESEFTCAAAVKARKAMEVEMEDLHLQIDDIAKAKTALEE QLSRLQREKNEIQNRLEEDQEDMNELMKKHKAAVAQASRDMAQMNDLQAQIEESNKEKQE LQEKLQALQSQVEFLEQSMVDKSLVSRQEAKIRELETRLEFEKTQVKRLENLASRLKETM EKLTEERDQRAAAENREKEQNKRLQRQLRDTKEEMSELARKEAEASRKKHELEMDLESLE AANQSLQADLKLAFKRIGDLQAAIEDEMESDENEDLINSLQDMVTKYQKKKNKLEGDSDV DSELEDRVDGVKSWLSKNKGPSKAPSDDGSLKSSSPTSHWKPLAPDPSDDEHDPVDSISR PRFSHSYLSDSDTEAKLTETSA >ENSMUSP00000098358.3 pep:known chromosome:GRCm38:11:77801298:77865837:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000100794.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MLAKTEEQIAAEEAWYETEKVWLVHRDGFSLASQLKSEELSLPEGKARVKLDHDGAILDV DEDDIEKANAPSCDRLEDLASLVYLNESSVLHTLRQRYGASLLHTYAGPSLLVLSTRGAP AVYSEKVMHMFKGCRREDMAPHIYAVAQTAYRAMLMSRQDQSIVLLGSSGSGKTTSFQHL VQYLATIAGTSGTKVFSVEKWQALSTLLEAFGNSPTIMNGSATRFSQILSLDFDQAGQVA SASIQTMLLEKLRVARRPASEATFNVFYYLLACGDATLRTELHLNHLAENNVFGIVPLSK PEEKQKAAQQFSKLQAAMKVLAISPEEQKTCWLILASIYHLGAAGATKAGRKQFARHEWA QKAAYLLGCSLEELSSAIFKHQLKGGTLQRSTSFRQGPEESGLGEGTKLSALECLEGMAS GLYSELFTLLISLVNRALKSSQHSLCSMMIVDTPGFQNPEWGGSARGASFEELCHNYAQD RLQRLFHERTFLQELERYKEDNIELAFDDLEPVADDSVAAVDQASHLVRSLAHADEARGL LWLLEEEALVPGATEDALLDRLFSYYGPQEGDKKGQSPLLRSSKPRHFLLGHSHGTNWVE YNVAGWLNYTKQNPATQNAPRLLQDSQKKIISNLFLGRAGSATVLSGSIAGLEGGSQLAL RRATSMRKTFTTGMAAVKKKSLCIQIKLQVDALIDTIKRSKMHFVHCFLPVAEGWPGEPR SASSRRVSSSSELDLPPGDPCEAGLLQLDVSLLRAQLRGSRLLDAMRMYRQGYPDHMVFS EFRRRFDVLAPHLTKKHGRNYIVVDEKRAVEELLESLDLEKSSCCLGLSRVFFRAGTLAR LEEQRDEQTSRHLTLFQAACRGYLARQHFKKRKIQDLAIRCVQKNIKKNKGVKDWPWWKL FTTVRPLIQVQLSEEQIRNKDEEIQQLRSKLEKVEKERNELRLSSDRLETRISELTSELT DERNTGESASQLLDAETAERLRTEKEMKELQTQYDALKKQMEVMEMEVMEARLIRAAEIN GEVDDDDAGGEWRLKYERAVREVDFTKKRLQQELEDKMEVEQQSRRQLERRLGDLQADSD ESQRALQQLKKKCQRLTAELQDTKLHLEGQQVRNHELEKKQRRFDSELSQAHEETQREKL QREKLQREKDMLLAEAFSLKQQMEEKDLDIAGFTQKVVSLEAELQDISSQESKDEASLAK VKKQLRDLEAKVKDQEEELDEQAGSIQMLEQAKLRLEMEMERMRQTHSKEMESRDEEVEE ARQSCQKKLKQMEVQLEEEYEDKQKALREKRELESKLSTLSDQVNQRDFESEKRLRKDLK RTKALLADAQIMLDHLKNNAPSKREIAQLKNQLEESEFTCAAAVKARKAMEVEMEDLHLQ IDDIAKAKTALEEQLSRLQREKNEIQNRLEEDQEDMNELMKKHKAAVAQASRDMAQMNDL QAQIEESNKEKQELQEKLQALQSQVEFLEQSMVDKSLVSRQEAKIRELETRLEFEKTQVK RLENLASRLKETMEKLTEERDQRAAAENREKEQNKRLQRQLRDTKEEMSELARKEAEASR KKHELEMDLESLEAANQSLQADLKLAFKRIGDLQAAIEDEMESDENEDLINSEGDSDVDS ELEDRVDGVKSWLSKNKGPSKAPSDDGSLKSSSPTSHWKPLAPDPSDDEHDPVDSISRPR FSHSYLSDSDTEAKLTETSA >ENSMUSP00000123256.3 pep:known chromosome:GRCm38:11:77801337:77815891:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000151373.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MAFSTRFAFWEQKEKEDKGVPKPGPPGKEEGAPEASSKDGSAPPRSPQPATSPVPSETSQ TAKSPEPTLTMNGLGAASAEGPNEEAQGLSRKRVANAVRKVVSKVLPGEEPGTAKEPPGR GARSPEHPARGKKGEKAATSPKPPPPPPPPPAPPKPETKKEAAKDELSVGLRSLMSRGRG KDHKSRGKQPPGKGEKAPSQEPASTGKSGSPDMVDSPKKAGSPAKPETPNKRCSPAPAQE LADPNLAGPKLNPSGKQQAKSPASDVQQETGADPEVRLSPAEEAHQRLERIFTASLDPEA ASPAYSQ >ENSMUSP00000119574.2 pep:known chromosome:GRCm38:11:77801350:77865109:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000130305.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MLLDPEAASPAYSQAKTEEQIAAEEAWYETEKVWLVHRDGFSLASQLKSEELSLPEGKAR VKLDHDGAILDVDEDDIEKANAPSCDRLEDLASLVYLNESSVLHTLRQRYGASLLHTYAG PSLLVLSTRGAPAVYSEKVMHMFKGCRREDMAPHIYAVAQTAYRAMLMSRQDQSIVLLGS SGSGKTTSFQHLVQYLATIAGTSGTKVFSVEKWQALSTLLEAFGNSPTIMNGSATRFSQI LSLDFDQAGQVASASIQTMLLEKLRVARRPASEATFNVFYYLLACGDATLRTELHLNHLA ENNVFGIVPLSKPEEKQKAAQQFSKLQAAMKVLAISPEEQKTCWLILASIYHLGAAGATK EAAEAGRKQFARHEWAQKAAYLLGCSLEELSSAIFKHQLKGGTLQRSTSFRQGPEESGLG EGTKLSALECLEGMASGLYSELFTLLISLVNRALKSSQHSLCSMMIVDTPGFQNPEWGGS ARGASFEELCHNYAQDRLQRLFHERTFLQELERYKEDNIELAFDDLEPVADDSVAAVDQA SHLVRSLAHADEARGLLWLLEEEALVPGATEDALLDRLFSYYGPQEGDKKGQSPLLRSSK PRHFLLGHSHGTNWVEYNVAGWLNYTKQNPATQNAPRLLQDSQKKIISNLFLGRAGSATV LSGSIAGLEGGSQLALRRATSMRKTFTTGMAAVKKKSLCIQIKLQVDALIDTIKRSKMHF VHCFLPVAEGWPGEPRSASSRRVSSSSELDLPPGDPCEAGLLQLDVSLLRAQLRGSRLLD AMRMYRQGYPDHMVFSEFRRRFDVLAPHLTKKHGRNYIVVDEKRAVEELLESLDLEKSSC CLGLSRVFFRAGTLARLEEQRDEQTSRHLTLFQAACRGYLARQHFKKRKIQDLAIRCVQK NIKKNKGVKDWPWWKLFTTVRPLIQVQLSEEQIRNKDEEIQQLRSKLEKVEKERNELRLS SDRLETRISELTSELTDERNTGESASQLLDAETAERLRTEKEMKELQTQYDALKKQMEVM EMEVMEARLIRAAEINGEVDDDDAGGEWRLKYERAVREVDFTKKRLQQELEDKMEVEQQS RRQLERRLGDLQADSDESQRALQQLKKKCQRLTAELQDTKLHLEGQQVRNHELEKKQRRF DSELSQAHEETQREKLQREKLQREKDMLLAEAFSLKQQMEEKDLDIAGFTQKVVSLEAEL QDISSQESKDEASLAKVKKQLRDLEAKVKDQEEELDEQAGSIQMLEQAKLRLEMEMERMR QTHSKEMESRDEEVEEARQSCQKKLKQMEVQLEEEYEDKQKALREKRELESKLSTLSDQV NQRDFESEKRLRKDLKRTKALLADAQIMLDHLKNNAPSKREIAQLKNQLEESEFTCAAAV KARKAMEVEMEDLHLQIDDIAKAKTALEEQLSRLQREKNEIQNRLEEDQEDMNELMKKHK AAVAQASRDMAQMNDLQAQIEESNKEKQELQEKLQALQSQVEFLEQSMVDKSLVSRQEAK IRELETRLEFEKTQVKRLENLASRLKETMEKLTEERDQRAAAENREKEQNKRLQRQLRDT KEEMSELARKEAEASRKKHELEMDLESLEAANQSLQADLKLAFKRIGDLQAAIEDEMESD ENEDLINSEGDSDVDSELEDRVDGVKSWLSKNKGPSKAPSDDGSLKSSSPTSHWKPLAPD PSDDEHDPVDSISRPRFSHSYLSDSDTEAKLTETSA >ENSMUSP00000129098.3 pep:known chromosome:GRCm38:11:77801350:77865980:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000172303.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MLLDPEAASPAYSQAKTEEQIAAEEAWYETEKVWLVHRDGFSLASQLKSEELSLPEGKAR VKLDHDGAILDVDEDDIEKANAPSCDRLEDLASLVYLNESSVLHTLRQRYGASLLHTYAG PSLLVLSTRGAPAVYSEKVMHMFKGCRREDMAPHIYAVAQTAYRAMLMSRQDQSIVLLGS SGSGKTTSFQHLVQYLATIAGTSGTKVFSVEKWQALSTLLEAFGNSPTIMNGSATRFSQI LSLDFDQAGQVASASIQTMLLEKLRVARRPASEATFNVFYYLLACGDATLRTELHLNHLA ENNVFGIVPLSKPEEKQKAAQQFSKLQAAMKVLAISPEEQKTCWLILASIYHLGAAGATK EPLEEQDAAEAGRKQFARHEWAQKAAYLLGCSLEELSSAIFKHQLKGGTLQRSTSFRQGP EESGLGEGTKLSALECLEGMASGLYSELFTLLISLVNRALKSSQHSLCSMMIVDTPGFQN PEWGGSARGASFEELCHNYAQDRLQRLFHERTFLQELERYKEDNIELAFDDLEPVADDSV AAVDQASHLVRSLAHADEARGLLWLLEEEALVPGATEDALLDRLFSYYGPQEGDKKGQSP LLRSSKPRHFLLGHSHGTNWVEYNVAGWLNYTKQNPATQNAPRLLQDSQKKIISNLFLGR AGSATVLSGSIAGLEGGSQLALRRATSMRKTFTTGMAAVKKKSLCIQIKLQVDALIDTIK RSKMHFVHCFLPVAEGWPGEPRSASSRRVSSSSELDLPPGDPCEAGLLQLDVSLLRAQLR GSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHLTKKHGRNYIVVDEKRAVEELLESLD LEKSSCCLGLSRVFFRAGTLARLEEQRDEQTSRHLTLFQAACRGYLARQHFKKRKIQDLA IRCVQKNIKKNKGVKDWPWWKLFTTVRPLIQVQLSEEQIRNKDEEIQQLRSKLEKVEKER NELRLSSDRLETRISELTSELTDERNTGESASQLLDAETAERLRTEKEMKELQTQYDALK KQMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWRLKYERAVREVDFTKKRLQQELEDKM EVEQQSRRQLERRLGDLQADSDESQRALQQLKKKCQRLTAELQDTKLHLEGQQVRNHELE KKQRRFDSELSQAHEETQREKLQREKLQREKDMLLAEAFSLKQQMEEKDLDIAGFTQKVV SLEAELQDISSQESKDEASLAKVKKQLRDLEAKVKDQEEELDEQAGSIQMLEQAKLRLEM EMERMRQTHSKEMESRDEEVEEARQSCQKKLKQMEVQLEEEYEDKQKALREKRELESKLS TLSDQVNQRDFESEKRLRKDLKRTKALLADAQIMLDHLKNNAPSKREIAQLKNQLEESEF TCAAAVKARKAMEVEMEDLHLQIDDIAKAKTALEEQLSRLQREKNEIQNRLEEDQEDMNE LMKKHKAAVAQASRDMAQMNDLQAQIEESNKEKQELQEKLQALQSQVEFLEQSMVDKSLV SRQEAKIRELETRLEFEKTQVKRLENLASRLKETMEKLTEERDQRAAAENREKEQNKRLQ RQLRDTKEEMSELARKEAEASRKKHELEMDLESLEAANQSLQADLKLAFKRIGDLQAAIE DEMESDENEDLINSEGDSDVDSELEDRVDGVKSWLSKNKGPSKAPSDDGSLKSSSPTSHW KPLAPDPSDDEHDPVDSISRPRFSHSYLSDSDTEAKLTETSA >ENSMUSP00000090560.4 pep:known chromosome:GRCm38:11:77801375:77864837:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000092884.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MLAKTEEQIAAEEAWYETEKVWLVHRDGFSLASQLKSEELSLPEGKARVKLDHDGAILDV DEDDIEKANAPSCDRLEDLASLVYLNESSVLHTLRQRYGASLLHTYAGPSLLVLSTRGAP AVYSEKVMHMFKGCRREDMAPHIYAVAQTAYRAMLMSRQDQSIVLLGSSGSGKTTSFQHL VQYLATIAGTSGTKVFSVEKWQALSTLLEAFGNSPTIMNGSATRFSQILSLDFDQAGQVA SASIQTMLLEKLRVARRPASEATFNVFYYLLACGDATLRTELHLNHLAENNVFGIVPLSK PEEKQKAAQQFSKLQAAMKVLAISPEEQKTCWLILASIYHLGAAGATKEAAEAGRKQFAR HEWAQKAAYLLGCSLEELSSAIFKHQLKGGTLQRSTSFRQGPEESGLGEGTKLSALECLE GMASGLYSELFTLLISLVNRALKSSQHSLCSMMIVDTPGFQNPEWGGSARGASFEELCHN YAQDRLQRLFHERTFLQELERYKEDNIELAFDDLEPVADDSVAAVDQASHLVRSLAHADE ARGLLWLLEEEALVPGATEDALLDRLFSYYGPQEGDKKGQSPLLRSSKPRHFLLGHSHGT NWVEYNVAGWLNYTKQNPATQNAPRLLQDSQKKIISNLFLGRAGSATVLSGSIAGLEGGS QLALRRATSMRKTFTTGMAAVKKKSLCIQIKLQVDALIDTIKRSKMHFVHCFLPVAEGWP GEPRSASSRRVSSSSELDLPPGDPCEAGLLQLDVSLLRAQLRGSRLLDAMRMYRQGYPDH MVFSEFRRRFDVLAPHLTKKHGRNYIVVDEKRAVEELLESLDLEKSSCCLGLSRVFFRAG TLARLEEQRDEQTSRHLTLFQAACRGYLARQHFKKRKIQDLAIRCVQKNIKKNKGVKDWP WWKLFTTVRPLIQVQLSEEQIRNKDEEIQQLRSKLEKVEKERNELRLSSDRLETRISELT SELTDERNTGESASQLLDAETAERLRTEKEMKELQTQYDALKKQMEVMEMEVMEARLIRA AEINGEVDDDDAGGEWRLKYERAVREVDFTKKRLQQELEDKMEVEQQSRRQLERRLGDLQ ADSDESQRALQQLKKKCQRLTAELQDTKLHLEGQQVRNHELEKKQRRFDSELSQAHEETQ REKLQREKLQREKDMLLAEAFSLKQQMEEKDLDIAGFTQKVVSLEAELQDISSQESKDEA SLAKVKKQLRDLEAKVKDQEEELDEQAGSIQMLEQAKLRLEMEMERMRQTHSKEMESRDE EVEEARQSCQKKLKQMEVQLEEEYEDKQKALREKRELESKLSTLSDQVNQRDFESEKRLR KDLKRTKALLADAQIMLDHLKNNAPSKREIAQLKNQLEESEFTCAAAVKARKAMEVEMED LHLQIDDIAKAKTALEEQLSRLQREKNEIQNRLEEDQEDMNELMKKHKAAVAQASRDMAQ MNDLQAQIEESNKEKQELQEKLQALQSQVEFLEQSMVDKSLVSRQEAKIRELETRLEFEK TQVKRLENLASRLKETMEKLTEERDQRAAAENREKEQNKRLQRQLRDTKEEMSELARKEA EASRKKHELEMDLESLEAANQSLQADLKLAFKRIGDLQAAIEDEMESDENEDLINSEGDS DVDSELEDRVDGVKSWLSKNKGPSKAPSDDGSLKSSSPTSHWKPLAPDPSDDEHDPVDSI SRPRFSHSYLSDSDTEAKLTETSA >ENSMUSP00000131771.1 pep:known chromosome:GRCm38:11:77801375:77865056:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000164334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MLAKTEEQIAAEEAWYETEKVWLVHRDGFSLASQLKSEELSLPEGKARVKLDHDGAILDV DEDDIEKANAPSCDRLEDLASLVYLNESSVLHTLRQRYGASLLHTYAGPSLLVLSTRGAP AVYSEKVMHMFKGCRREDMAPHIYAVAQTAYRAMLMSRQDQSIVLLGSSGSGKTTSFQHL VQYLATIAGTSGTKVFSVEKWQALSTLLEAFGNSPTIMNGSATRFSQILSLDFDQAGQVA SASIQTMLLEKLRVARRPASEATFNVFYYLLACGDATLRTELHLNHLAENNVFGIVPLSK PEEKQKAAQQFSKLQAAMKVLAISPEEQKTCWLILASIYHLGAAGATKEAAEAGRKQFAR HEWAQKAAYLLGCSLEELSSAIFKHQLKGGTLQRSTSFRQGPEESGLGEGTKLSALECLE GMASGLYSELFTLLISLVNRALKSSQHSLCSMMIVDTPGFQNPEWGGSARGASFEELCHN YAQDRLQRLFHERTFLQELERYKEDNIELAFDDLEPVADDSVAAVDQASHLVRSLAHADE ARGLLWLLEEEALVPGATEDALLDRLFSYYGPQEGDKKGQSPLLRSSKPRHFLLGHSHGT NWVEYNVAGWLNYTKQNPATQNAPRLLQDSQKKIISNLFLGRAGSATVLSGSIAGLEGGS QLALRRATSMRKTFTTGMAAVKKKSLCIQIKLQVDALIDTIKRSKMHFVHCFLPVAEGWP GEPRSASSRRVSSSSELDLPPGDPCEAGLLQLDVSLLRAQLRGSRLLDAMRMYRQGYPDH MVFSEFRRRFDVLAPHLTKKHGRNYIVVDEKRAVEELLESLDLEKSSCCLGLSRVFFRAG TLARLEEQRDEQTSRHLTLFQAACRGYLARQHFKKRKIQDLAIRCVQKNIKKNKGVKDWP WWKLFTTVRPLIQVQLSEEQIRNKDEEIQQLRSKLEKVEKERNELRLSSDRLETRISELT SELTDERNTGESASQLLDAETAERLRTEKEMKELQTQYDALKKQMEVMEMEVMEARLIRA AEINGEVDDDDAGGEWRLKYERAVREVDFTKKRLQQELEDKMEVEQQSRRQLERRLGDLQ ADSDESQRALQQLKKKCQRLTAELQDTKLHLEGQQVRNHELEKKQRRFDSELSQAHEETQ REKLQREKLQREKDMLLAEAFSLKQQMEEKDLDIAGFTQKVVSLEAELQDISSQESKDEA SLAKVKKQLRDLEAKVKDQEEELDEQAGSIQMLEQAKLRLEMEMERMRQTHSKEMESRDE EVEEARQSCQKKLKQMEVQLEEEYEDKQKALREKRELESKLSTLSDQVNQRDFESEKRLR KDLKRTKALLADAQIMLDHLKNNAPSKREIAQLKNQLEESEFTCAAAVKARKAMEVEMED LHLQIDDIAKAKTALEEQLSRLQREKNEIQNRLEEDQEDMNELMKKHKAAVAQASRDMAQ MNDLQAQIEESNKEKQELQEKLQALQSQVEFLEQSMVDKSLVSRQEAKIRELETRLEFEK TQVKRLENLASRLKETMEKLTEERDQRAAAENREKEQNKRLQRQLRDTKEEMSELARKEA EASRKKHELEMDLESLEAANQSLQADLKLAFKRIGDLQAAIEDEMESDENEDLINSLQDM VTKYQKKKNKLEGDSDVDSELEDRVDGVKSWLSKNKGPSKAPSDDGSLKSSSPTSHWKPL APDPSDDEHDPVDSISRPRFSHSYLSDSDTEAKLTETSA >ENSMUSP00000128487.1 pep:known chromosome:GRCm38:11:77811515:77865980:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000167856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MAKPEASSKDGSAPPRSPQPATSPVPSETSQTAKSPEPTLTMNGLGAASAEGPNEEAQGL SRKRVMHMFKGCRREDMAPHIYAVAQTAYRAMLMSRQDQSIVLLGSSGSGKTTSFQHLVQ YLATIAGTSGTKVFSVEKWQALSTLLEAFGNSPTIMNGSATRFSQILSLDFDQAGQVASA SIQTMLLEKLRVARRPASEATFNVFYYLLACGDATLRTELHLNHLAENNVFGIVPLSKPE EKQKAAQQFSKLQAAMKVLAISPEEQKTCWLILASIYHLGAAGATKEAAEAGRKQFARHE WAQKAAYLLGCSLEELSSAIFKHQLKGGTLQRSTSFRQGPEESGLGEGTKLSALECLEGM ASGLYSELFTLLISLVNRALKSSQHSLCSMMIVDTPGFQNPEWGGSARGASFEELCHNYA QDRLQRLFHERTFLQELERYKEDNIELAFDDLEPVADDSVAAVDQASHLVRSLAHADEAR GLLWLLEEEALVPGATEDALLDRLFSYYGPQEGDKKGQSPLLRSSKPRHFLLGHSHGTNW VEYNVAGWLNYTKQNPATQNAPRLLQDSQKKIISNLFLGRAGSATVLSGSIAGLEGGSQL ALRRATSMRKTFTTGMAAVKKKSLCIQIKLQVDALIDTIKRSKMHFVHCFLPVAEGWPGE PRSASSRRVSSSSELDLPPGDPCEAGLLQLDVSLLRAQLRGSRLLDAMRMYRQGYPDHMV FSEFRRRFDVLAPHLTKKHGRNYIVVDEKRAVEELLESLDLEKSSCCLGLSRVFFRAGTL ARLEEQRDEQTSRHLTLFQAACRGYLARQHFKKRKIQDLAIRCVQKNIKKNKGVKDWPWW KLFTTVRPLIQVQLSEEQIRNKDEEIQQLRSKLEKVEKERNELRLSSDRLETRISELTSE LTDERNTGESASQLLDAETAERLRTEKEMKELQTQYDALKKQMEVMEMEVMEARLIRAAE INGEVDDDDAGGEWRLKYERAVREVDFTKKRLQQELEDKMEVEQQSRRQLERRLGDLQAD SDESQRALQQLKKKCQRLTAELQDTKLHLEGQQVRNHELEKKQRRFDSELSQAHEETQRE KLQREKLQREKDMLLAEAFSLKQQMEEKDLDIAGFTQKVVSLEAELQDISSQESKDEASL AKVKKQLRDLEAKVKDQEEELDEQAGSIQMLEQAKLRLEMEMERMRQTHSKEMESRDEEV EEARQSCQKKLKQMEVQLEEEYEDKQKALREKRELESKLSTLSDQVNQRDFESEKRLRKD LKRTKALLADAQIMLDHLKNNAPSKREIAQLKNQLEESEFTCAAAVKARKAMEVEMEDLH LQIDDIAKAKTALEEQLSRLQREKNEIQNRLEEDQEDMNELMKKHKAAVAQASRDMAQMN DLQAQIEESNKEKQELQEKLQALQSQVEFLEQSMVDKSLVSRQEAKIRELETRLEFEKTQ VKRLENLASRLKETMEKLTEERDQRAAAENREKEQNKRLQRQLRDTKEEMSELARKEAEA SRKKHELEMDLESLEAANQSLQADLKLAFKRIGDLQAAIEDEMESDENEDLINSEGDSDV DSELEDRVDGVKSWLSKNKGPSKAPSDDGSLKSSSPTSHWKPLAPDPSDDEHDPVDSISR PRFSHSYLSDSDTEAKLTETSA >ENSMUSP00000117044.3 pep:known chromosome:GRCm38:11:77853864:77865195:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000135375.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] XDENEDLINSLQDMVTKYQKKKNKLEGDSDVDSELEDRVDGVKSWLSKNKGPSKAPSDDG SLKSSRTALNSLPKEGKGPEERPSSVLSSLSYRKRLTLKDSIGGTGDQDSLLTTLSERAT SPERPPRKARGGPREEPDQAQGRRCEELDDCSSIFSGVGSRPGRGLEKRWGSDFDRSSTV SAPVSRASSATRGSGEDRARSSLSFSLSGSPSSRRRSTSRLDSLSSAISPSWNRASGLGR ESPDSRLSLGQSCLDEWDDGASVALSEAHSQFSHPSLARSLSVPPQPRGSSSASASVIEG SSVRPVSRHSYLDPDLEAAINEVLSYKPVPFQRSRLEPDSEDDDRKSIQSARSAQLEHPE RGSSIRRSASAADVSSRSGRKSRSKHRSKRSSPSPSSSSSSSSSSSSSEGSSAHKRRRKG RSRKSKKKSKSRRKKTESESESSSSSGSTVSGHSRSSVKKGPTTDVEEAGQTPRRSKKEE KQRKKEVDSLMMRYLYRPESD >ENSMUSP00000090563.4 pep:known chromosome:GRCm38:11:77777265:77865980:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000092887.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MFNLMKKDKDKDGGRKEKKEKKEKKERMSAAELRSLEEMSMRRGFFNLNRSSKRESKTRL EISNPIPIKVASGSDLHLTDIDSDSNRGSIILDSGHLSTASSSDDLKGEEGSFRGSVLQR AAKFGSLAKQNSQMIVKRFSFSQRSRDESASETSTPSEHSAAPSPQVEVRTLEGQLMQHP GLGIPRPGPRSRVPELVTKRFPADLRLPALVPPPPPALRELELQRRPTGDFGFSLRRTTM LDRAPEGQAYRRVVHFAEPGAGTKDLALGLVPGDRLVEINGQNVENKSRDEIVEMIRQSG DSVRLKVQPIPELSELSRSWLRTGEGHRREPADAKTEEQIAAEEAWYETEKVWLVHRDGF SLASQLKSEELSLPEGKARVKLDHDGAILDVDEDDIEKANAPSCDRLEDLASLVYLNESS VLHTLRQRYGASLLHTYAGPSLLVLSTRGAPAVYSEKVMHMFKGCRREDMAPHIYAVAQT AYRAMLMSRQDQSIVLLGSSGSGKTTSFQHLVQYLATIAGTSGTKVFSVEKWQALSTLLE AFGNSPTIMNGSATRFSQILSLDFDQAGQVASASIQTMLLEKLRVARRPASEATFNVFYY LLACGDATLRTELHLNHLAENNVFGIVPLSKPEEKQKAAQQFSKLQAAMKVLAISPEEQK TCWLILASIYHLGAAGATKEAAEAGRKQFARHEWAQKAAYLLGCSLEELSSAIFKHQLKG GTLQRSTSFRQGPEESGLGEGTKLSALECLEGMASGLYSELFTLLISLVNRALKSSQHSL CSMMIVDTPGFQNPEWGGSARGASFEELCHNYAQDRLQRLFHERTFLQELERYKEDNIEL AFDDLEPVADDSVAAVDQASHLVRSLAHADEARGLLWLLEEEALVPGATEDALLDRLFSY YGPQEGDKKGQSPLLRSSKPRHFLLGHSHGTNWVEYNVAGWLNYTKQNPATQNAPRLLQD SQKKIISNLFLGRAGSATVLSGSIAGLEGGSQLALRRATSMRKTFTTGMAAVKKKSLCIQ IKLQVDALIDTIKRSKMHFVHCFLPVAEGWPGEPRSASSRRVSSSSELDLPPGDPCEAGL LQLDVSLLRAQLRGSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHLTKKHGRNYIVVD EKRAVEELLESLDLEKSSCCLGLSRVFFRAGTLARLEEQRDEQTSRHLTLFQAACRGYLA RQHFKKRKIQDLAIRCVQKNIKKNKGVKDWPWWKLFTTVRPLIQVQLSEEQIRNKDEEIQ QLRSKLEKVEKERNELRLSSDRLETRISELTSELTDERNTGESASQLLDAETAERLRTEK EMKELQTQYDALKKQMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWRLKYERAVREVDF TKKRLQQELEDKMEVEQQSRRQLERRLGDLQADSDESQRALQQLKKKCQRLTAELQDTKL HLEGQQVRNHELEKKQRRFDSELSQAHEETQREKLQREKLQREKDMLLAEAFSLKQQMEE KDLDIAGFTQKVVSLEAELQDISSQESKDEASLAKVKKQLRDLEAKVKDQEEELDEQAGS IQMLEQAKLRLEMEMERMRQTHSKEMESRDEEVEEARQSCQKKLKQMEVQLEEEYEDKQK ALREKRELESKLSTLSDQVNQRDFESEKRLRKDLKRTKALLADAQIMLDHLKNNAPSKRE IAQLKNQLEESEFTCAAAVKARKAMEVEMEDLHLQIDDIAKAKTALEEQLSRLQREKNEI QNRLEEDQEDMNELMKKHKAAVAQASRDMAQMNDLQAQIEESNKEKQELQEKLQALQSQV EFLEQSMVDKSLVSRQEAKIRELETRLEFEKTQVKRLENLASRLKETMEKLTEERDQRAA AENREKEQNKRLQRQLRDTKEEMSELARKEAEASRKKHELEMDLESLEAANQSLQADLKL AFKRIGDLQAAIEDEMESDENEDLINSEGDSDVDSELEDRVDGVKSWLSKNKGPSKAPSD DGSLKSSSPTSHWKPLAPDPSDDEHDPVDSISRPRFSHSYLSDSDTEAKLTETSA >ENSMUSP00000000645.6 pep:known chromosome:GRCm38:11:77777265:77865980:1 gene:ENSMUSG00000000631.20 transcript:ENSMUST00000000645.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18a description:myosin XVIIIA [Source:MGI Symbol;Acc:MGI:2667185] MFNLMKKDKDKDGGRKEKKEKKEKKERMSAAELRSLEEMSMRRGFFNLNRSSKRESKTRL EISNPIPIKVASGSDLHLTDIDSDSNRGSIILDSGHLSTASSSDDLKGEEGSFRGSVLQR AAKFGSLAKQNSQMIVKRFSFSQRSRDESASETSTPSEHSAAPSPQVEVRTLEGQLMQHP GLGIPRPGPRSRVPELVTKRFPADLRLPALVPPPPPALRELELQRRPTGDFGFSLRRTTM LDRAPEGQAYRRVVHFAEPGAGTKDLALGLVPGDRLVEINGQNVENKSRDEIVEMIRQSG DSVRLKVQPIPELSELSRSWLRTGEGHRREPADAKTEEQIAAEEAWYETEKVWLVHRDGF SLASQLKSEELSLPEGKARVKLDHDGAILDVDEDDIEKANAPSCDRLEDLASLVYLNESS VLHTLRQRYGASLLHTYAGPSLLVLSTRGAPAVYSEKVMHMFKGCRREDMAPHIYAVAQT AYRAMLMSRQDQSIVLLGSSGSGKTTSFQHLVQYLATIAGTSGTKVFSVEKWQALSTLLE AFGNSPTIMNGSATRFSQILSLDFDQAGQVASASIQTMLLEKLRVARRPASEATFNVFYY LLACGDATLRTELHLNHLAENNVFGIVPLSKPEEKQKAAQQFSKLQAAMKVLAISPEEQK TCWLILASIYHLGAAGATKELAVAAGRKQFARHEWAQKAAYLLGCSLEELSSAIFKHQLK GGTLQRSTSFRQGPEESGLGEGTKLSALECLEGMASGLYSELFTLLISLVNRALKSSQHS LCSMMIVDTPGFQNPEWGGSARGASFEELCHNYAQDRLQRLFHERTFLQELERYKEDNIE LAFDDLEPVADDSVAAVDQASHLVRSLAHADEARGLLWLLEEEALVPGATEDALLDRLFS YYGPQEGDKKGQSPLLRSSKPRHFLLGHSHGTNWVEYNVAGWLNYTKQNPATQNAPRLLQ DSQKKIISNLFLGRAGSATVLSGSIAGLEGGSQLALRRATSMRKTFTTGMAAVKKKSLCI QIKLQVDALIDTIKRSKMHFVHCFLPVAEGWPGEPRSASSRRVSSSSELDLPPGDPCEAG LLQLDVSLLRAQLRGSRLLDAMRMYRQGYPDHMVFSEFRRRFDVLAPHLTKKHGRNYIVV DEKRAVEELLESLDLEKSSCCLGLSRVFFRAGTLARLEEQRDEQTSRHLTLFQAACRGYL ARQHFKKRKIQDLAIRCVQKNIKKNKGVKDWPWWKLFTTVRPLIQVQLSEEQIRNKDEEI QQLRSKLEKVEKERNELRLSSDRLETRISELTSELTDERNTGESASQLLDAETAERLRTE KEMKELQTQYDALKKQMEVMEMEVMEARLIRAAEINGEVDDDDAGGEWRLKYERAVREVD FTKKRLQQELEDKMEVEQQSRRQLERRLGDLQADSDESQRALQQLKKKCQRLTAELQDTK LHLEGQQVRNHELEKKQRRFDSELSQAHEETQREKLQREKLQREKDMLLAEAFSLKQQME EKDLDIAGFTQKVVSLEAELQDISSQESKDEASLAKVKKQLRDLEAKVKDQEEELDEQAG SIQMLEQAKLRLEMEMERMRQTHSKEMESRDEEVEEARQSCQKKLKQMEVQLEEEYEDKQ KALREKRELESKLSTLSDQVNQRDFESEKRLRKDLKRTKALLADAQIMLDHLKNNAPSKR EIAQLKNQLEESEFTCAAAVKARKAMEVEMEDLHLQIDDIAKAKTALEEQLSRLQREKNE IQNRLEEDQEDMNELMKKHKAAVAQASRDMAQMNDLQAQIEESNKEKQELQEKLQALQSQ VEFLEQSMVDKSLVSRQEAKIRELETRLEFEKTQVKRLENLASRLKETMEKLTEERDQRA AAENREKEQNKRLQRQLRDTKEEMSELARKEAEASRKKHELEMDLESLEAANQSLQADLK LAFKRIGDLQAAIEDEMESDENEDLINSEGDSDVDSELEDRVDGVKSWLSKNKGPSKAPS DDGSLKSSSPTSHWKPLAPDPSDDEHDPVDSISRPRFSHSYLSDSDTEAKLTETSA >ENSMUSP00000146483.1 pep:known chromosome:GRCm38:2:112008202:112009210:-1 gene:ENSMUSG00000108827.1 transcript:ENSMUST00000207169.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1310 description:olfactory receptor 1310 [Source:MGI Symbol;Acc:MGI:3031144] MGEANCSVVSEFVFLGLSNSWAIQLFLFFFSCIFYVASLLGNFLIVLTVTSDPQLQSPMY FLLGNLSIIDLIFCSSTTPKMIYDLFRRHKTISFGGCITQIFFIHAVGGTEMVLLIAMAF DRYVAICKPLHYLTIMSPQKCILILVASWIIGFIHSVTQLSFVVDLPFCGPNELDSFFCD LPRFIKLACVDTYTLGFMVTANSGFISVASFLILIISYIFILVTVQKKSLGSLGKALSTL SAHVIVVVLFFGPLIFFYTWPFPTSHLDKFLAIFDAVITPFLNPVIYTLRNKEMKVAMRR LCSQFVNYNKIS >ENSMUSP00000030078.5 pep:known chromosome:GRCm38:4:59581563:59618689:1 gene:ENSMUSG00000028383.17 transcript:ENSMUST00000030078.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsdl2 description:hydroxysteroid dehydrogenase like 2 [Source:MGI Symbol;Acc:MGI:1919729] MLPNTGKLAGCTVFITGASRGIGKAIALKAAKDGANIVIAAKTTQKHPKLLGTIYTAAEE IEAAGGTALPCVVDVRDEQQINSAVEKAVEKFGGIDILVNNASAISLTNTLDTPTKRVDL MMNVNTRGTYLTSKACIPFLKKSKVGHILNLSPPLNLNPLWFKQHCAYTIAKYGMSMCVL GMAEEFRGEIAVNALWPRTAIHTAAMDMLGGSGVENQCRKVDIIADAAYSIFKRPKSFTG NFIIDENILKEEGIKNFDVYAIAPGHPLLPDFFLDEHPDAVMEEKESNDSVPEVKEEKLQ LQEESQLQKQPQLQEQPQLQEKPQLQEKPQLQEQPQLQEKPQLQEQPQQREQPQLQQQPR PRQQPQPFVQSMLPQKPHFGAVEETFRIVKDSLSDEVVRATQAVYQFELSGEDGGTWFLD LKSKGGKVGHGEPSDRADVVMSMATDDFVKMFSGKLKPTMAFMSGKLKIKGNIALAIKLE KLMTQMNSRL >ENSMUSP00000103152.1 pep:known chromosome:GRCm38:4:59581645:59618688:1 gene:ENSMUSG00000028383.17 transcript:ENSMUST00000107528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsdl2 description:hydroxysteroid dehydrogenase like 2 [Source:MGI Symbol;Acc:MGI:1919729] MMNVNTRGTYLTSKACIPFLKKSKVGHILNLSPPLNLNPLWFKQHCAYTIAKYGMSMCVL GMAEEFRGEIAVNALWPRTAIHTAAMDMLGGSGVENQCRKVDIIADAAYSIFKRPKSFTG NFIIDENILKEEGIKNFDVYAIAPGHPLLPDFFLDEHPDAVMEEKESNDSVPEVKEEKLQ LQEESQLQKQPQLQEQPQLQEKPQLQEKPQLQEQPQLQEKPQLQEQPQQREQPQLQQQPR PRQQPQPFVQSMLPQKPHFGAVEETFRIVKDSLSDEVVRATQAVYQFELSGEDGGTWFLD LKSKGGKVGHGEPSDRADVVMSMATDDFVKMFSGKLKPTMAFMSGKLKIKGNIALAIKLE KLMTQMNSRL >ENSMUSP00000119139.1 pep:known chromosome:GRCm38:4:59594310:59601476:1 gene:ENSMUSG00000028383.17 transcript:ENSMUST00000128792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsdl2 description:hydroxysteroid dehydrogenase like 2 [Source:MGI Symbol;Acc:MGI:1919729] MEVFPLETGIDILVNNASAISLTNTLDTPTKRVDLMMNVNTRGTYLTSKACIPFLKKSKV GHILNLSPPLNLNPLWFKQHCAYTIAKYGMSMCVLGMAEEFRGEIAVNALWPRTAIHTAA MDMLGGSGVENQCRKVDIIADAAYSIFKR >ENSMUSP00000019917.5 pep:known chromosome:GRCm38:10:33996555:34019624:-1 gene:ENSMUSG00000019782.9 transcript:ENSMUST00000019917.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd1 description:RWD domain containing 1 [Source:MGI Symbol;Acc:MGI:1913771] MTDYGEEQRNELEALESIYPDSFTVLSESPPSFTITVTSEAGENDETVQTTLKFTYSEKY PDETPLYEIFSQENLEDNDVSDILKLLALQAEENLGMVMIFTLVTAVQEKLNEIVDQIKT RREEEKKQKEKEAEEAEKKLFHGTPVTIENFLSWKAKFDAELLEIKKKRMKEEEQAGKNK LSGKQLFETDHNLDTSDIQFLEDAGNNVEVDESLFQEMDDLELEDGEDDPDYNPVAPGSD SSD >ENSMUSP00000040310.4 pep:known chromosome:GRCm38:1:75507077:75510366:1 gene:ENSMUSG00000032968.4 transcript:ENSMUST00000037330.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inha description:inhibin alpha [Source:MGI Symbol;Acc:MGI:96569] MVSQRSLLLLLLLTLRDVDSCQGPELVRELVLAKVKALFLDALGPPAMDGEGGDPGIRRL PRRHAVGGFMHRTSEPEEEDVSQAILFPATGATCEDQPAARGLAQEAEEGLFTYVFRPSQ HIRSHQVTSAQLWFHTGLGRKSTAAANSSAPLLDLLVLSSGGPMAVPVSLGQGPPRWAVL HLAASAFPLLTHPILVLLLRCPLCSCSGRPETTPFLVAHTRARAPSAGERARRSTPSVPW PWSPAALRLLQRPPEEPAAHAFCHRAALNISFQELGWDRWIVHPPSFIFHYCHGSCGMPT SDLPLPVPGVPPTPVQPLFLVPGAKPCCAALPGSMRSLRVRTTSDGGYSFKYEMVPNLIT QHCACI >ENSMUSP00000120849.1 pep:known chromosome:GRCm38:5:9266118:9479736:1 gene:ENSMUSG00000056004.16 transcript:ENSMUST00000155764.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:9330182L06Rik description:RIKEN cDNA 9330182L06 gene [Source:MGI Symbol;Acc:MGI:2443264] MLLLTLRRAKGRDRGRPAGGPRRALSLPWSPAWICCWALAGCQAVWAGDSSSSGRPLPAC QEKDYHFEYTECDSTGSRWRVAIPNSAVDCSGLPDPVKGKECTFSCASGEYLEMKNQVCS KCVEGTYSLGSGIKFDEWDELPAGFSNVATFMDTVVGPSDSRPDGCNNSSWLPRGNYIES NRDDCTVSLIYAVHLKKSGYVFFEYQYVDNNIFFEFFIQNDQCQEMDATTDKWVKLTDNG EWGSHSVMLKSGTNILYWRTTGILMGSKAVKPVLVKNITIEGVAYTSECFPCKPGTFSNK PGSFNCQMCPRNTYSEKGAKECIRCKEDSQFSEEGASECVDRPPCTTKDYFQIHTPCDEE GKTQIMYKWIEPKICREDLTDAIRLPPSGEKKDCPPCNPGFYNNGSSSCHPCPPGTFSDG TKECKSCPAGTEPALGFEYKWWNVLPANMKTSCFNVGNSKCDGMNGWEVAGDHIRSGAGG SDNDYLILNLHIPGFKPPTSMTGATGSELGRITFVFETLCSADCVLYFMVDINRKSTNVV ESWGGTKEKQAYTHVIFKNATFTFTWAFQRTNQGQDTIHQ >ENSMUSP00000116440.1 pep:known chromosome:GRCm38:5:9266127:9463599:1 gene:ENSMUSG00000056004.16 transcript:ENSMUST00000152095.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:9330182L06Rik description:RIKEN cDNA 9330182L06 gene [Source:MGI Symbol;Acc:MGI:2443264] MLLLTLRRAKGRDRGRPAGGPRRALSLPWSPAWICCWALAGCQAVWAGDSSSSGRPLPAC QELSPVLLESI >ENSMUSP00000121757.1 pep:known chromosome:GRCm38:5:9266132:9463983:1 gene:ENSMUSG00000056004.16 transcript:ENSMUST00000134991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9330182L06Rik description:RIKEN cDNA 9330182L06 gene [Source:MGI Symbol;Acc:MGI:2443264] MLLLTLRRAKGRDRGRPAGGPRRALSLPWSPAWICCWALAGCQAVWAGDSSSSGRPLPAC QEKDYHFEYTECDSTGSRWRVAIPNSAVDCSGLPDPVKGKECTFSCASGEYLEMKNQVCS KCVEGTYSLGSGIKFDEWDELPAGFSNVATFMDTVVGPSDSRPDGCNNSSWLPRGNYIES NRDDCTVSLIYAVHLKKSGYVFFEYQYVDNNIFFEFFIQNDQCQEMDATTDKWVKLTDNG EWGSHSVMLKSGTNILYWRTTGILMGSKAVKPVLVKNITIEGVAYTSECFPCKPGTFSNK PGSFNCQMCPRNTYSEKGAKECIRCKEDSQFSEEGASECVDRPPCTTKDYFQIHTPCDEE GKTQIMYKWIEPKICREDLTDAIRLPPSGEKKDCPPCNPGFYNNGSSSCHPCPPGTFSDG TKECKSCPAGTEPALGFEYKWWNVLPANMKTSCFNVGNSKCDGMNGWEVAGDHIRSGAGG SDNDYLILNLHIPGFKPPTSMTGATGSELGRITFVFETLCSADCVLYFMVDINRKSTNVV ESWGGTKEKQAYTHVIFKNATFTFTWAFQRTNQGQDNRRFINDVVKIYSITATNAVDGVA ASCRACALGSEQSASSCVPCPPGHYIEKETNQCKECPADTYLSIHQVYGKEACIPCGPGS KSTQDHSLCYSDCFFYHEKENQTLHYDFRNLSSVGSLMNGPSFTSKGTKYFHFFNISLCG HEGRKMALCTNNISDFTVKEMVTGSDDYTNLVGAFVCQSTIIPSESKGFRAALSSQSIIL ADMFLGVTVDTALQNVNIKEDMFPVSPSQVPDVHFFYKSSTATTSCINGRSTAVKMRCNP MRPGAGVISVPSKCPAGTCDGCTFYFLWESAEACPLCTEHDFHEIEGACKRGLQEILYVW NEPKWCIKGISLPEKKLSTCETVDFWLKVGAGVGAFTAVLLVALTCYFWKKNQKLEYKYS KLVMTTNSKECELPAADSCAIMEGEDNEEDVVYSNKQSLLGKLKSLATKVRADF >ENSMUSP00000069165.7 pep:known chromosome:GRCm38:5:9266132:9480717:1 gene:ENSMUSG00000056004.16 transcript:ENSMUST00000069538.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9330182L06Rik description:RIKEN cDNA 9330182L06 gene [Source:MGI Symbol;Acc:MGI:2443264] MLLLTLRRAKGRDRGRPAGGPRRALSLPWSPAWICCWALAGCQAVWAGDSSSSGRPLPAC QEKDYHFEYTECDSTGSRWRVAIPNSAVDCSGLPDPVKGKECTFSCASGEYLEMKNQVCS KCVEGTYSLGSGIKFDEWDELPAGFSNVATFMDTVVGPSDSRPDGCNNSSWLPRGNYIES NRDDCTVSLIYAVHLKKSGYVFFEYQYVDNNIFFEFFIQNDQCQEMDATTDKWVKLTDNG EWGSHSVMLKSGTNILYWRTTGILMGSKAVKPVLVKNITIEGVAYTSECFPCKPGTFSNK PGSFNCQMCPRNTYSEKGAKECIRCKEDSQFSEEGASECVDRPPCTTKDYFQIHTPCDEE GKTQIMYKWIEPKICREDLTDAIRLPPSGEKKDCPPCNPGFYNNGSSSCHPCPPGTFSDG TKECKSCPAGTEPALGFEYKWWNVLPANMKTSCFNVGNSKCDGMNGWEVAGDHIRSGAGG SDNDYLILNLHIPGFKPPTSMTGATGSELGRITFVFETLCSADCVLYFMVDINRKSTNVV ESWGGTKEKQAYTHVIFKNATFTFTWAFQRTNQGQDNRRFINDVVKIYSITATNAVDGVA ASCRACALGSEQSASSCVPCPPGHYIEKETNQCKECPADTYLSIHQVYGKEACIPCGPGS KSTQDHSLCYSDCFFYHEKENQTLHYDFRNLSSVGSLMNGPSFTSKGTKYFHFFNISLCG HEGRKMALCTNNISDFTVKEMVTGSDDYTNLVGAFVCQSTIIPSESKGFRAALSSQSIIL ADMFLGVTVDTALQNVNIKEDMFPVSPSQVPDVHFFYKSSTATTSCINGRSTAVKMRCNP MRPGAGVISVPSKCPAGTCDGCTFYFLWESAEACPLCTEHDFHEIEGACKRGLQEILYVW NEPKWCIKGISLPEKKLSTCETVDFWLKVGAGVGAFTAVLLVALTCYFWKKNQKLEYKYS KLVMTTNSKECELPAADSCAIMEGEDNEEDVVYSNKQSLLGKLKSLATKEKDDHFESVQL KSSRCPNI >ENSMUSP00000111005.2 pep:known chromosome:GRCm38:5:9266149:9481825:1 gene:ENSMUSG00000056004.16 transcript:ENSMUST00000115348.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9330182L06Rik description:RIKEN cDNA 9330182L06 gene [Source:MGI Symbol;Acc:MGI:2443264] MLLLTLRRAKGRDRGRPAGGPRRALSLPWSPAWICCWALAGCQAVWAGDSSSSGRPLPAC QEKDYHFEYTECDSTGSRWRVAIPNSAVDCSGLPDPVKGKECTFSCASGEYLEMKNQVCS KCVEGTYSLGSGIKFDEWDELPAGFSNVATFMDTVVGPSDSRPDGCNNSSWLPRGNYIES NRDDCTVSLIYAVHLKKSGYVFFEYQYVDNNIFFEFFEKDDHFESVQLKSSRCPNI >ENSMUSP00000116026.1 pep:known chromosome:GRCm38:5:9266175:9461486:1 gene:ENSMUSG00000056004.16 transcript:ENSMUST00000154662.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:9330182L06Rik description:RIKEN cDNA 9330182L06 gene [Source:MGI Symbol;Acc:MGI:2443264] MLLLTLRRAKGRDRGRPAGGPRRALSLPWSPAWICCWALAGCQAVWAGDSSSSGRPLPAC QEKDYHFEYTECDSTGSRWRVAIPNSAVDCSGLPDPVKGKECTFSCASGEYLEMKNQVCS KCVEGTYSLGSGIKFDEWDELPAGFSNVATFMDTVVGPSDSRPDGCNNSSWLPRGNYIES NRDDCTVSLIYAVHLKKSGYVFFEYQFRMTSARRWMPPQTSG >ENSMUSP00000031650.3 pep:known chromosome:GRCm38:5:147300805:147307270:-1 gene:ENSMUSG00000029646.3 transcript:ENSMUST00000031650.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdx2 description:caudal type homeobox 2 [Source:MGI Symbol;Acc:MGI:88361] MYVSYLLDKDVSMYPSSVRHSGGLNLAPQNFVSPPQYPDYGGYHVAAAAAATANLDSAQS PGPSWPTAYGAPLREDWNGYAPGGAAAANAVAHGLNGGSPAAAMGYSSPAEYHAHHHPHH HPHHPAASPSCASGLLQTLNLGPPGPAATAAAEQLSPSGQRRNLCEWMRKPAQQSLGSQV KTRTKDKYRVVYTDHQRLELEKEFHFSRYITIRRKSELAATLGLSERQVKIWFQNRRAKE RKIKKKQQQQQQQQQQQPPQPPPQPSQPQPGALRSVPEPLSPVTSLQGSVPGSVPGVLGP AGGVLNSTVTQ >ENSMUSP00000111086.2 pep:known chromosome:GRCm38:5:5664829:5694578:-1 gene:ENSMUSG00000015653.13 transcript:ENSMUST00000115426.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap2 description:six transmembrane epithelial antigen of prostate 2 [Source:MGI Symbol;Acc:MGI:1921301] MESISMMGSPKSLETFLPNGINGIKDARQVTVGVIGSGDFAKSLTIRLIRCGYHVVIGSR NPKFASEFFPHVVDVTHHEDALTKTNIIFVAIHREHYTSLWDLRHLLVGKILIDVSNNMR VNQYPESNAEYLASLFPDSLIVKGFNVISAWALQLGPKDASRQVYICSNNIQARQQVIEL ARQLNFIPVDLGSLSSAKEIENLPLRLFTLWRGPVVVAISLATFFFLYSFVRDVIHPYAR NQQSDFYKIPIEIVNKTLPIVAITLLSLVYLAGLLAAAYQLYYGTKYRRFPPWLDTWLQC RKQLGLLSFFFAVVHVAYSLCLPMRRSERYLFLNMAYQQVHANIENAWNEEEVWRIEMYI SFGIMSLGLLSLLAVTSIPSVSNALNWREFSFIQSTLGYVALLITTFHVLIYGWKRAFAE EYYRFYTPPNFVLALVLPSIVILGKMILLLPCISRKLKRIKKGWEKSQFLDEGMGGAVPH LSPERVTVM >ENSMUSP00000111087.1 pep:known chromosome:GRCm38:5:5665954:5694060:-1 gene:ENSMUSG00000015653.13 transcript:ENSMUST00000115427.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap2 description:six transmembrane epithelial antigen of prostate 2 [Source:MGI Symbol;Acc:MGI:1921301] MESISMMGSPKSLETFLPNGINGIKDARQVTVGVIGSGDFAKSLTIRLIRCGYHVVIGSR NPKFASEFFPHVVDVTHHEDALTKTNIIFVAIHREHYTSLWDLRHLLVGKILIDVSNNMR VNQYPESNAEYLASLFPDSLIVKGFNVISAWALQLGPKDASRQVYICSNNIQARQQVIEL ARQLNFIPVDLGSLSSAKEIENLPLRLFTLWRGPVVVAISLATFFFLYSFVRDVIHPYAR NQQSDFYKIPIEIVNKTLPIVAITLLSLVYLAGLLAAAYQLYYGTKYRRFPPWLDTWLQC RKQLGLLSFFFAVVHVAYSLCLPMRRSERYLFLNMAYQQVHANIENAWNEEEVWRIEMYI SFGIMSLGLLSLLAVTSIPSVSNALNWREFSFIQWPRAALSS >ENSMUSP00000111085.2 pep:known chromosome:GRCm38:5:5670938:5694052:-1 gene:ENSMUSG00000015653.13 transcript:ENSMUST00000115425.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap2 description:six transmembrane epithelial antigen of prostate 2 [Source:MGI Symbol;Acc:MGI:1921301] MESISMMGSPKSLETFLPNGINGIKDARQVTVGVIGSGDFAKSLTIRLIRCGYHVVIGSR NPKFASEFFPHVVDVTHHEDALTKTNIIFVAIHREHYTSLWDLRHLLVGKILIDVSNNMR VNQYPESNAEYLASLFPDSLIVKGFNVISAWALQLGPKDASRQVYICSNNIQARQQVIEL ARQLNFIPVDLGSLSSAKEIENLPLRLFTLWRGPVVVAISLATFFFLYSFVRDVIHPYAR NQQSDFYKIPIEIVNKTLPIVAITLLSLVYLAGLLAAAYQLYYGTKYRRFPPWLDTWLQC RKQLGLLSFFFAVVHVAYSLCLPMRRSERYLFLNMAYQQVHANIENAWNEEEVWRIEMYI SFGIMSLGLLSLLAVTSIPSVSNALNWREFSFIQSTLGYVALLITTFHVLIYGWKRAFAE EYYRFYTPPNFVLALVLPSIVILGKMILLLPCISRKLKRIKKGWEKSQFLDEGMGGAVPH LSPERVTVM >ENSMUSP00000015797.4 pep:known chromosome:GRCm38:5:5671491:5694067:-1 gene:ENSMUSG00000015653.13 transcript:ENSMUST00000015797.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap2 description:six transmembrane epithelial antigen of prostate 2 [Source:MGI Symbol;Acc:MGI:1921301] MESISMMGSPKSLETFLPNGINGIKDARQVTVGVIGSGDFAKSLTIRLIRCGYHVVIGSR NPKFASEFFPHVVDVTHHEDALTKTNIIFVAIHREHYTSLWDLRHLLVGKILIDVSNNMR VNQYPESNAEYLASLFPDSLIVKGFNVISAWALQLGPKDASRQVYICSNNIQARQQVIEL ARQLNFIPVDLGSLSSAKEIENLPLRLFTLWRGPVVVAISLATFFFLYSFVRDVIHPYAR NQQSDFYKIPIEIVNKTLPIVAITLLSLVYLAGLLAAAYQLYYGTKYRRFPPWLDTWLQC RKQLGLLSFFFAVVHVAYSLCLPMRRSERYLFLNMAYQQVHANIENAWNEEEVWRIEMYI SFGIMSLGLLSLLAVTSIPSVSNALNWREFSFIQSTLGYVALLITTFHVLIYGWKRAFAE EYYRFYTPPNFVLALVLPSIVILGKMILLLPCISRKLKRIKKGWEKSQFLDEGMGGAVPH LSPERVTVM >ENSMUSP00000111084.2 pep:known chromosome:GRCm38:5:5671730:5694060:-1 gene:ENSMUSG00000015653.13 transcript:ENSMUST00000115424.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap2 description:six transmembrane epithelial antigen of prostate 2 [Source:MGI Symbol;Acc:MGI:1921301] MESISMMGSPKSLETFLPNGINGIKDARQVTVGVIGSGDFAKSLTIRLIRCGYHVVIGSR NPKFASEFFPHVVDVTHHEDALTKTNIIFVAIHREHYTSLWDLRHLLVGKILIDVSNNMR VNQYPESNAEYLASLFPDSLIVKGFNVISAWALQLGPKDASRQVYICSNNIQARQQVIEL ARQLNFIPVDLGSLSSAKEIENLPLRLFTLWRGPVVVAISLATFFFLYSFVRDVIHPYAR NQQSDFYKIPIEIVNKTLPIVAITLLSLVYLAGLLAAAYQLYYGTKYRRFPPWLDTWLQC RKQLGLLSFFFAVVHVAYSLCLPMRRSERYLFLNMAYQQVHANIENAWNEEEVWRIEMYI SFGIMSLGLLSLLAVTSIPSVSNALNWREFSFIQSTLGYVALLITTFHVLIYGWKRAFAE EYYRFYTPPNFVLALVLPSIVILGKMILLLPCISRKLKRIKKGWEKSQFLDEGMGGAVPH LSPERVTVM >ENSMUSP00000116910.1 pep:known chromosome:GRCm38:5:5673505:5694326:-1 gene:ENSMUSG00000015653.13 transcript:ENSMUST00000148333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap2 description:six transmembrane epithelial antigen of prostate 2 [Source:MGI Symbol;Acc:MGI:1921301] MESISMMGSPKSLETFLPNGINGIKDARQVTVGVIGSGDFAKSLTIRLIRCGYHVVIGSR NPKFASEFFPHVVDVTHHEDALTKTNIIFVAIHREHYTSLWDLRHLLVGKILIDVSNNMR VNQYPESNAEYLASLFPDSLIVKGFNVISAWALQLGPKDASRQVYICSNNIQARQQVIEL ARQLNFIPVDLGSLSSAKEIENLPLRLFTLWRGPVVVAISLATFFFLYSFVRDVIHPYAR NQQSDFYKIPIEIVNKTLPIVAITLLSLVYLAGLLAAAYQLYYGTKYRRFPPWLDTWLQC RKQLGLLSFFFAVVHVAYSLCLPMRRSERYLFLNMAYQQVHANIENAWNEEEVWRIEMYI SFGIMSLGLLSLLAVTSIPSVSNALNWREFSFIQSTLGYVALLITTFHVLIYGWKRAFAE EYYRFYTPPNFVLALVLPSIVILGKMILLLPCISRKLK >ENSMUSP00000132501.1 pep:known chromosome:GRCm38:5:5664831:5683063:-1 gene:ENSMUSG00000015653.13 transcript:ENSMUST00000164219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap2 description:six transmembrane epithelial antigen of prostate 2 [Source:MGI Symbol;Acc:MGI:1921301] MESISMMGSPKSLETFLPNGINGIKDARQVTVGVIGSGDFAKSLTIRLIRCGYHVVIGSR NPKFASEFFPHVVDVTHHEDALTKTNIIFVAIHREHYTSLWDLRHLLVGKILIDVSNNMR VNQYPESNAEYLASLFPDSLIVKGFNVISAWALQLGPKDASRQVYICSNNIQARQQVIEL ARQLNFIPVDLGSLSSAKEIENLPLRLFTLWRGPVVVAISLATFFFLYSFVRDVIHPYAR NQQSDFYKIPIEIVNKTLPIVAITLLSLVYLAGLLAAAYQLYYGTKYRRFPPWLDTWLQC RKQLGLLSFFFAVVHVAYSLCLPMRRSERYLFLNMAYQQVHANIENAWNEEEVWRIEMYI SFGIMSLGLLSLLAVTSIPSVSNALNWREFSFIQSTLGYVALLITTFHVLIYGWKRAFAE EYYRFYTPPNFVLALVLPSIVILGKMILLLPCISRKLKRIKKGWEKSQFLDEGMGGAVPH LSPERVTVM >ENSMUSP00000147024.1 pep:known chromosome:GRCm38:2:112020914:112021854:-1 gene:ENSMUSG00000109403.1 transcript:ENSMUST00000208536.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1311 description:olfactory receptor 1311 [Source:MGI Symbol;Acc:MGI:3031145] MDKANHSVVSEFVFLGLSNRWGIQLLLFLFSSMFYIASVMGNLLIVFSVTADSNLHSPMY FLLANLSFLDLGVCSIAAPKMIFDLFRKHKAISFGGCITQIFFIHAIGGTEMVLLIAMAF DRYVAICKPLHYLTIMRPQICILILAVSWVLGLIHSVAQLAFVVDLPFCGPNILDSFYCD LPQLIKLACTETSKLVFMVTANSGLISVGSFFILIISYIFILVTVRKHSSGSIAKALSTL SAHVTVVVLFFGPLIFFYTWPFPSSHLDKFLAIFDAVLTPFLNPVIYTFRNKEMKAAMRK LCSQLVNYRKVS >ENSMUSP00000120276.1 pep:known chromosome:GRCm38:6:52231197:52234939:-1 gene:ENSMUSG00000000938.11 transcript:ENSMUST00000125581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa10 description:homeobox A10 [Source:MGI Symbol;Acc:MGI:96171] MSARKGYLLPSPNYPTTMSCSESPAANSFLVDSLISSGRGEAGVGGGSAGGGGGGYYAHG GVYLPPASDLPYGLQSCGLFPALGSKRNEAPSPGGGGGGGSGGLGPGTHGYAPAPLDLWL DAPRSCRMEPPDGPPPPQPQPQQQQQQPPPPPPQPPQPQPQATSCSFAQNIKEESSYCLY DAADKCPKGSAAADLAPFPRGPPPDGCALGASSGVPVPGYFRLSQAYGTAKGFGSGGGGT QQLASPFPAQPPGRGFDPPPALASGSTEAAGKERVLDSTPPPTLVCTGGGGSQGDEEAHA SSSAAEELSPAPSENSKASPEKDSLGSSKGENAANWLTAKSGRKKRCPYTKHQTLELEKE FLFNMYLTRERRLEISRSVHLTDRQVKIWFQNRRMKLKKMNRENRIRELTANFNFS >ENSMUSP00000112872.1 pep:known chromosome:GRCm38:6:52231922:52240854:-1 gene:ENSMUSG00000000938.11 transcript:ENSMUST00000121043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa10 description:homeobox A10 [Source:MGI Symbol;Acc:MGI:96171] MSQGSSKGENAANWLTAKSGRKKRCPYTKHQTLELEKEFLFNMYLTRERRLEISRSVHLT DRQVKIWFQNRRMKLKKMNRENRIRELTANFNFS >ENSMUSP00000064131.7 pep:known chromosome:GRCm38:X:52272426:52613921:-1 gene:ENSMUSG00000055653.13 transcript:ENSMUST00000069360.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc3 description:glypican 3 [Source:MGI Symbol;Acc:MGI:104903] MAGTVRTACLLVAMLLGLGCLGQAQPPPPPDATCHQVRSFFQRLQPGLKWVPETPVPGSD LQVCLPKGPTCCSRKMEEKYQLTARLNMEQLLQSASMELKFLIIQNAAVFQEAFEIVVRH AKNYTNAMFKNNYPSLTPQAFEFVGEFFTDVSLYILGSDINVDDMVNELFDSLFPVIYTQ MMNPGLPESVLDINECLRGARRDLKVFGSFPKLIMTQVSKSLQVTRIFLQALNLGIEVIN TTDHLKFSKDCGRMLTRMWYCSYCQGLMMVKPCGGYCNVVMQGCMAGVVEIDKYWREYIL SLEELVNGMYRIYDMENVLLGLFSTIHDSIQYVQKNGGKLTTTIGKLCAHSQQRQYRSAY YPEDLFIDKKILKVAHVEHEETLSSRRRELIQKLKSFINFYSALPGYICSHSPVAENDTL CWNGQELVERYSQKAARNGMKNQFNLHELKMKGPEPVVSQIIDKLKHINQLLRTMSVPKG KVLDKSLDEEGLESGDCGDDEDECIGSSGDGMVKVKNQLRFLAELAYDLDVDDAPGNKQH GNQKDNEITTSHSVGNMPSPLKILISVAIYVACFFFLVH >ENSMUSP00000110507.1 pep:known chromosome:GRCm38:X:52387664:52613950:-1 gene:ENSMUSG00000055653.13 transcript:ENSMUST00000114857.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc3 description:glypican 3 [Source:MGI Symbol;Acc:MGI:104903] MAGTVRTACLLVAMLLGLGCLGQAQPPPPPDATCHQVRSFFQRLQPGLKWVPETPVPGSD LQVCLPKGPTCCSRKMEEKYQLTARLNMEQLLQSASMELKFLIIQNAAVFQEAFEIVVRH AKNYTNAMFKNNYPSLTPQAFEFVGEFFTDVSLYILGSDINVDDMVNELFDSLFPVIYTQ MMNPGLPESVLDINECLRGARRDLKVFGSFPKLIMTQVSKSLQVTRIFLQALNLGIEVIN TTDHLKFSKDCGRMLTRMWYCSYCQGLMMVKPCGGYCNVVMQGCMAGVVEIDKYWREYIL SLEELVNGMYRIYDMENVLLGLFSTIHDSIQYVQKNGGKLTTTIGKLCAHSQQRQYRSAY YPEDLFIDKKILKVAHVEHEETLSSRRRELIQKLKSFINFYSALPGYICSHSPVAENDTL CWNGQELVER >ENSMUSP00000034776.6 pep:known chromosome:GRCm38:9:62838785:62852006:1 gene:ENSMUSG00000032245.12 transcript:ENSMUST00000034776.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln6 description:ceroid-lipofuscinosis, neuronal 6 [Source:MGI Symbol;Acc:MGI:2159324] MEAATRRRQLLGAAGGPGVAFVQARHGSVKAEDKDRTAPFHLDLWFYFTLQNWVLDFGRP IAMLVFPIQWFPLNKPSVGDYFHMTYNIITPFLLLKLIERSPRTLPRSIVYVSIITFIMG ASIHLVGDSVNHRLLFSGYQHHLSVRENPIIKNLKPETLIDSFELLYYYDEYLGHCMWYI PFFLILFMYFSGCFTTCKAESHMPGPALLLVVPSGLYYWYLVTEGQIFILFIFTFFAMLA LVLHQKRRRLFLDSNGLFLLYSFALTLSLVALWVAWLWNDPVLRKKYPGVIYVPEPWAFY TLHVSSQQ >ENSMUSP00000114283.1 pep:known chromosome:GRCm38:9:62838792:62846018:1 gene:ENSMUSG00000032245.12 transcript:ENSMUST00000141821.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cln6 description:ceroid-lipofuscinosis, neuronal 6 [Source:MGI Symbol;Acc:MGI:2159324] MEAATRRRQLLGAAGGPGVAFVQARQGLYYIVLAVLELHL >ENSMUSP00000117833.1 pep:known chromosome:GRCm38:9:62846015:62850711:1 gene:ENSMUSG00000032245.12 transcript:ENSMUST00000138276.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cln6 description:ceroid-lipofuscinosis, neuronal 6 [Source:MGI Symbol;Acc:MGI:2159324] XTYNIITPFLLLKGYHTS >ENSMUSP00000115675.1 pep:known chromosome:GRCm38:9:62847033:62851162:1 gene:ENSMUSG00000032245.12 transcript:ENSMUST00000124984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln6 description:ceroid-lipofuscinosis, neuronal 6 [Source:MGI Symbol;Acc:MGI:2159324] XGASIHLVGDSVNHRLLFSGYQHHLSVRENPIIKNLKPETLIDSFELLYYYDEYLGHCMW AEKAGSRAVCMTCQHGDTLGPVGRWWGQPLARRVKLLPLCHRYLVTEGQIFILFIFTFFA MLALVLHQKRRRLFLDSNGLFLLYSFALTLSLVALWVAWLWNDPVLRKKYPGVIYVPEPW AFYTLHVSSQQ >ENSMUSP00000140980.1 pep:known chromosome:GRCm38:9:83109948:83146470:-1 gene:ENSMUSG00000066456.14 transcript:ENSMUST00000187193.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn3 description:high mobility group nucleosomal binding domain 3 [Source:MGI Symbol;Acc:MGI:2138069] MPKRKSPENTEGKDGTKLTKQEPTRRSARLSAKPVPPKPESKPRKTSAKKEPGTKISRGA KGKKEEKQEAGEEGTAPSANGDTKVEEIHVSRSTVNVSACRSPPPSTLSVKGQIETAQRT ESIEKEGE >ENSMUSP00000140356.1 pep:known chromosome:GRCm38:9:83109948:83146530:-1 gene:ENSMUSG00000066456.14 transcript:ENSMUST00000185315.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn3 description:high mobility group nucleosomal binding domain 3 [Source:MGI Symbol;Acc:MGI:2138069] MPKRKSPENTEGKDGTKLTKQEPTRRSARLSAKPVPPKPESKPRKTSAKKEPGTKISRGA KGKKEEKQEAGEEGTAPSANGDTKVEEVLSTNTSH >ENSMUSP00000125616.1 pep:known chromosome:GRCm38:9:83109948:83146605:-1 gene:ENSMUSG00000066456.14 transcript:ENSMUST00000161796.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn3 description:high mobility group nucleosomal binding domain 3 [Source:MGI Symbol;Acc:MGI:2138069] MPKRKSPENTEGKDGTKLTKQEPTRRSARLSAKPVPPKPESKPRKTSAKKEPGTKISRGA KGKKEEKQEAGEEGTEN >ENSMUSP00000124278.1 pep:known chromosome:GRCm38:9:83109948:83146685:-1 gene:ENSMUSG00000066456.14 transcript:ENSMUST00000162246.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn3 description:high mobility group nucleosomal binding domain 3 [Source:MGI Symbol;Acc:MGI:2138069] MPKRKSPENTEGKDGTKLTKQEPTRRSARLSAKPVPPKPESKPRKTSAKKEPGTKISRGA KGKKEEKQEAGEEGTAPSANGDTKVEEAQRTESIEKEGE >ENSMUSP00000140247.1 pep:known chromosome:GRCm38:9:83110378:83146517:-1 gene:ENSMUSG00000066456.14 transcript:ENSMUST00000190154.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hmgn3 description:high mobility group nucleosomal binding domain 3 [Source:MGI Symbol;Acc:MGI:2138069] MPKRKSPENTEGKDGTKLTKQEPTRRSARLSAKPVPPKPESKPRKTSAKKEPGTKISRGA KGKKEEKQEAGEEGTAPSANGDTKVEEVLSTNTSH >ENSMUSP00000113231.1 pep:known chromosome:GRCm38:9:7571396:7581415:1 gene:ENSMUSG00000070323.11 transcript:ENSMUST00000120900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp27 description:matrix metallopeptidase 27 [Source:MGI Symbol;Acc:MGI:3039232] MPYKQKQALTEETGMKCLLSLMVNFITLSAAFPPDRKDKNEENNQLAQAYLNQFYSLEIE GSHFVQSKNRSLFDGKLREMQAFFGLTVTGKLDSDTLAIMKVPRCGVPDVGQYGYTLPGW RKYSLTYRIMNYTPDMTPADVDEAIQKALQVWSKVTPLTFTRISKGVADIMIAFRTGVHG WCPRHFDGPLGVLGHAFPPGLGLGGDTHFDEDETWIAKDGEGFNLFLVAAHEFGHSLGLS HSNDQTALMFPNYISLDPSKYPLSQDDIDGIQSIYGSPPKVTTKPSGNSEPHACDPTLTF DAITTFRREVMFFKGRHLWRVYSDIAGAEFEFIDSFWPSLPADLQAAYESPRDELLVFKD ENFWVIRGYSVLPGYPKSIHTLGFPRRVKKIDAAVCDHDTRKTFFFVGIWCWRYDEMAQA MDRGFPQRIIKCFPGIRLRVDAVFQHNGFLYFFHGSRQFEYDMKAKNITQVIKTNSWFLC NEPLNASFNVSVKGKANSIGTVILHHKRLSLLTFSIVHVLTKTYN >ENSMUSP00000117469.1 pep:known chromosome:GRCm38:9:7571458:7581410:1 gene:ENSMUSG00000070323.11 transcript:ENSMUST00000151853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp27 description:matrix metallopeptidase 27 [Source:MGI Symbol;Acc:MGI:3039232] MPYKQKQALTEETGMKCLLSLMVNFITLSAAFPPDRKDKNEENNQLAQAYLNQFYSLEIE GSHFVQSKNRSLFDGKLREMQAFFGLTVTGKLDSDTLAIMKVPRCGVPDVGQYGYTLPGW RKYSLTYRIMNYTPDMTPADVDEAIQKALQVWSKVTPLTFTRISKGVADIMIAFRTGGKA CSEGSDFFEDHRELTYLPINCLSVHGWCPRHFDGPLGVLGHAFPPGLGLGGDTHFDEDET WIAKDGEGFNLFLVAAHEFGHSLGLSHSNDQTALMFPNYISLDPSKYPLSQDDIDGIQSI YGSPPKVTTKPSGNSEPHACDPTLTFDAITTFRREVMFFKGRHLWRVYSDIAGAEFEFID SFWPSLPADLQAAYESPRDELLVFKDENFWVIRGYSVLPGYPKSIHTLGFPRRVKKIDAA VCDHDTRKTFFFVGIWCWRYDEMAQAMDRGFPQRIIKCFPGIRLRVDAVFQHNGFLYFFH GSRQFEYDMKAKNITQVIKTNSWFLCNEPLNASFNVSVKGKANSIGTVILHHKRLSLLTF SIVHVLTKTYN >ENSMUSP00000116263.1 pep:known chromosome:GRCm38:9:7571463:7581885:1 gene:ENSMUSG00000070323.11 transcript:ENSMUST00000152878.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp27 description:matrix metallopeptidase 27 [Source:MGI Symbol;Acc:MGI:3039232] XYKQKQALTEETGMKCLLSLMVNFITLSAAFPPDRKDKNEENNQLAQAYLNQFYSLEIEG SHFVQSKNRSLFDGKLREMQAFFGLTVTGKLDSDTLAIMKVPRCGVPDVGQYGYTLPGWR KYSLTYRIMNYTPDMTPADVDEAIQKALQVWSKVTPLTFTRISKGVADIMIAFRTGVHGW CPRHFDGPLGVLGHAFPPGLGLGGDTHFDEDETWIAKDGEGSPPKVTTKPSGNSEPHACD PTLTFDAITTFRREVMFFKGRHLWRVYSDIAGAEFEFIDSFWPSLPADLQAAYESPRDEL LVFKDENFWVIRGYSVLPGYPKSIHTLGFPRRVKKIDAAVCDHDTRKTFFFVGIWCWRYD EMAQAMDRGFPQRIIKCFPGIRLRVDAVFQHNGFLYFFHGSRQFEYDMKAKNITQVIKTN SWFLCNEPLNASFNVSVKGKANSIGTVILHHKRLSLLTFSIVHVLTKTYN >ENSMUSP00000113945.1 pep:known chromosome:GRCm38:12:104214544:104221123:1 gene:ENSMUSG00000066363.12 transcript:ENSMUST00000121337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3f description:serine (or cysteine) peptidase inhibitor, clade A, member 3F [Source:MGI Symbol;Acc:MGI:2182838] MAGVSPAVFGCPDVTLGRNTAVREVQENITSVDSLTLASSNTDFAFSLYKELVLKNPDEN VVFSPFSICTALALLSLGAKSNTLKEILEGLKFNLTETPEPDIHQGFRYLLDLLSQPGNQ VQISTGSALFIEKHLQILAEFKEKARALYQAEAFTADFQQPLEATKLINDYVSNHTQGKI KELISDLDKRTLMVLVNYIYFKGKWEMPFDPDDTCKSEFYLDENRSVKVPMMKINNLTTP YFRDEELSCTVVELKYTGNASAMFILPDQGKMQQVEASLQPETLRNWKDSLKPRLINELC LPKFSISTDYSLEHILPELGIRELFSTQADLSAITGTKDLRTSQVVHKAVLDVAETGTEA AAGTGYQNLQCCQGVIYSMKIYFDRPFLMIISDTNTHIALFMAKVSNPESDENFLNVEYA FPQVLEIMPEYRSVCTCCLPCLTRQ >ENSMUSP00000098641.1 pep:known chromosome:GRCm38:12:104214633:104221123:1 gene:ENSMUSG00000066363.12 transcript:ENSMUST00000101080.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3f description:serine (or cysteine) peptidase inhibitor, clade A, member 3F [Source:MGI Symbol;Acc:MGI:2182838] MAGVSPAVFGCPDVTLGRNTAVREVQENITSVDSLTLASSNTDFAFSLYKELVLKNPDEN VVFSPFSICTALALLSLGAKSNTLKEILEGLKFNLTETPEPDIHQGFRYLLDLLSQPGNQ VQISTGSALFIEKHLQILAEFKEKARALYQAEAFTADFQQPLEATKLINDYVSNHTQGKI KELISDLDKRTLMVLVNYIYFKGKWEMPFDPDDTCKSEFYLDENRSVKVPMMKINNLTTP YFRDEELSCTVVELKYTGNASAMFILPDQGKMQQVEASLQPETLRNWKDSLKPRLINELC LPKFSISTDYSLEHILPELGIRELFSTQADLSAITGTKDLRTSQVVHKAVLDVAETGTEA AAGTGYQNLQCCQGVIYSMKIYFDRPFLMIISDTNTHIALFMAKVSNPESDENFLNVEYA FPQVLEIMPEYRSVCTCCLPCLTRQ >ENSMUSP00000126520.1 pep:known chromosome:GRCm38:12:104214544:104221129:1 gene:ENSMUSG00000066363.12 transcript:ENSMUST00000167049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3f description:serine (or cysteine) peptidase inhibitor, clade A, member 3F [Source:MGI Symbol;Acc:MGI:2182838] MAGVSPAVFGCPDVTLGRNTAVREVQENITSVDSLTLASSNTDFAFSLYKELVLKNPDEN VVFSPFSICTALALLSLGAKSNTLKEILEGLKFNLTETPEPDIHQGFRYLLDLLSQPGNQ VQISTGSALFIEKHLQILAEFKEKARALYQAEAFTADFQQPLEATKLINDYVSNHTQGKI KELISDLDKRTLMVLVNYIYFKGKWEMPFDPDDTCKSEFYLDENRSVKVPMMKINNLTTP YFRDEELSCTVVELKYTGNASAMFILPDQGKMQQVEASLQPETLRNWKDSLKPRLINELC LPKFSISTDYSLEHILPELGIRELFSTQADLSAITGTKDLRTSQVVHKAVLDVAETGTEA AAGTGYQNLQCCQGVIYSMKIYFDRPFLMIISDTNTHIALFMAKVSNPESDENFLNVEYA FPQVLEIMPEYRSVCTCCLPCLTRQ >ENSMUSP00000104390.2 pep:known chromosome:GRCm38:8:126298558:126395482:1 gene:ENSMUSG00000057060.7 transcript:ENSMUST00000108759.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f3 description:solute carrier family 35, member F3 [Source:MGI Symbol;Acc:MGI:2444426] MKKHSARVAPLSACNSPVLTLTKVEGEERPREPPGPAEAQAPAGTEAGGRTSRHNWTCSQ ERLKKVFWGVAVVFCVCASWAGSTQLARLTFKTFDAPFTLTWFATNWNFLFFPLYYAGHV CKSTEKQSMKQRYRECCRFFGDNGLTLKVFFTKAAPFGVLWTLTNYLYLHAIKKINATDV SVLFCCNKSFVFLLSWIVLRDRFMGVRIVAAILAIAGIVMMTYADGFHSHSVIGIALVVG SASMSALYKVLFKLLLGSAKFGEAALFLSILGVFNILFITCIPVILYFTRVEYWNSFDDI PWGNLCGFSILLLTFNIVLNFGIAVTYPTLMSLGIVLSVPVNAVVDHYTSQIVFNGVRVI AIIIIGLGFLLLLLPEEWDVWLIKLLTRLKVRKKEETAESSGDLGTGPQSRSRRARPSFA R >ENSMUSP00000102926.1 pep:known chromosome:GRCm38:7:81881251:81934473:-1 gene:ENSMUSG00000025104.13 transcript:ENSMUST00000107305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdgfrp3 description:hepatoma-derived growth factor, related protein 3 [Source:MGI Symbol;Acc:MGI:1352760] MARPRPREYKAGDLVFAKMKGYPHWPARIDELPEGAVKPPANKYPIFFFGTHETAFLGPK DLFPYKEYKDKFGKSNKRKGFNEGLWEIENNPGVKFTGYQTIQQQSSSETEGEGGNTADA SSEEEGDRVEDGKGKRKNEKGGSKRKKSYTSKKSSKQSRKSPGDEDDKDCKEEENKSSSE GGDAGNDTRNTTADLQKAGEGT >ENSMUSP00000026094.5 pep:known chromosome:GRCm38:7:81891974:81934459:-1 gene:ENSMUSG00000025104.13 transcript:ENSMUST00000026094.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdgfrp3 description:hepatoma-derived growth factor, related protein 3 [Source:MGI Symbol;Acc:MGI:1352760] MARPRPREYKAGDLVFAKMKGYPHWPARIDELPEGAVKPPANKYPIFFFGTHETAFLGPK DLFPYKEYKDKFGKSNKRKGFNEGLWEIENNPGVKFTGYQTIQQQSSSETEGEGGNTADA SSEEEGDRVEDGKGKRKNEKGGSKRKKSYTSKKSSKQSRKSPGDEDDKDCKEEENKSSSE GGDAGNDTRNTTADLQKAGEGVRMC >ENSMUSP00000028384.4 pep:known chromosome:GRCm38:2:80617045:80631661:1 gene:ENSMUSG00000027001.10 transcript:ENSMUST00000028384.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp19 description:dual specificity phosphatase 19 [Source:MGI Symbol;Acc:MGI:1915332] MHSLNQEIKAFSRDNLRKQCTRVTTLTGKKLIETWEDATVHVVETEPSGGGGCGYVQDLT LDLQVGVIKPWLLLGSQDAAHDLELLRKHKVTHILNVAYGVENAFLSEFTYKTISILDVP ETNILSYFPECFEFIEQAKLKDGVVLVHCNAGVSRAAAIVIGFLMSSEEATFTTALSLVK EARPSICPNPGFMEQLRTYQVGKESNGGDKVPAEDTTGGL >ENSMUSP00000146366.1 pep:known chromosome:GRCm38:8:3671188:3672362:-1 gene:ENSMUSG00000089665.2 transcript:ENSMUST00000159364.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcor description:Foxo1 corepressor [Source:MGI Symbol;Acc:MGI:1915484] MGGPTRRHQEEGSAECLGGPSTRAAPGPGLRDFHFTTAGPSKADRLGDAAQIHRERMRPV QCGDGSGERVFLQSPGSIGTLYIRLDLNSQRSTCCCLLNAGTKGMC >ENSMUSP00000097419.1 pep:known chromosome:GRCm38:2:88224080:88225030:-1 gene:ENSMUSG00000075133.1 transcript:ENSMUST00000099831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1170 description:olfactory receptor 1170 [Source:MGI Symbol;Acc:MGI:3031004] MAYEVMNQTSATTFILVGFSEYPQLQIPLFLLFLTIYSVTLMGNLGILVVIKGNPKLHTP MYFFLSHLSFLDICYSSVFTPKLLQILIMEDRTISFIGCMIQFFFICTFVITEMFMLAVM AYDRFVAVCNPLLYTVVMPFQFCALLVAGTYMIGGLCAVILIYTLLQLSYCEYGIINHFG CEYSAVISVSCSDSSFSQLACLVISIFSESSSVLITLASYVFIVVTIIKMPSKGGLRKAF STCTSHLTAISIFHGIILLLYCVPNSNSSRLFVKVATALYTVMIPMLNPLIYSLRNKDVK DTVRRLISSKLHSHLT >ENSMUSP00000062528.9 pep:known chromosome:GRCm38:5:105214907:105293695:-1 gene:ENSMUSG00000079362.5 transcript:ENSMUST00000050011.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp6 description:guanylate binding protein 6 [Source:MGI Symbol;Acc:MGI:2140937] MTQPQMAPICLVENHNEQLSVNQEAIEILDKISQPVVVVAIVGLYRTGKSYLMNCLAGQN HGFPLGSTVQSQTKGIWMWCMPHPTKPEHTLVLLDTEGLGDVEKGDPKNDLWIFALSVLL SSTFIYNSMITINHQALEQLHYVTELTELIRAKSSPNPAGIKNSTEFVSFFPDFVWTVRD FMLELKLNGEDITSDDYLENALKLIPGDKPRMQASNSCRECIRLFFPNRKCFVFDRPTHD KELLQKLDSITEDQLDPKFQEVTKAFVSYIFTYAKIKTLKEGIKVTGNRLGILVTTYVNA INSGAVPCLDDAVTTLAQRENSVAVQKAADHYSEQMAQRLRLPTETLQELLDVHAACEKE AMAVFMEHSFKDENQQFLKKLVELIGENKELFLSKNEEASNKYCQEELDRLSKDFMENIS TFFVPCGHKLYMDKREKIEHDYWQVPRKGVKASEVFQSFLQSQAFIESSILQADTALTAG EKAIAEERAQKVAAEKEQELLRQKQKEQQEYMEAQEKSHKENLEQLRRKLEQEREQDIKD HDMMLKKLMKDQKAFLEEGFKKKAEEMNKEIQQLRDVIKDKKRNTDRIKDALLNGFSTVL FHYLVRYLKHL >ENSMUSP00000139301.1 pep:known chromosome:GRCm38:11:6836808:6837125:-1 gene:ENSMUSG00000099102.1 transcript:ENSMUST00000184940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11983 description:predicted gene 11983 [Source:MGI Symbol;Acc:MGI:3650519] MLPSGLSPQTRPDRILIGDGVKEEVEKLLEFIGYPITLYLEKGLGNEISDEEAEEDKVRK RRKIRMIRRSLRLKMWSQMRRMTVAKLKKIRKGRKGRRKTLIRKS >ENSMUSP00000031390.8 pep:known chromosome:GRCm38:5:129584169:129611099:1 gene:ENSMUSG00000029436.9 transcript:ENSMUST00000031390.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp17 description:matrix metallopeptidase 17 [Source:MGI Symbol;Acc:MGI:1346076] MGRRPRGPGSPRGPGPPRPGPGLPPLLLVLALAAHGGCAAPAPRAEDLSLGVEWLSRFGY LPPADPASGQLQTQEELSKAITAMQQFGGLETTGILDEATLALMKTPRCSLPDLPPGAQS RRKRQTPPPTKWSKRNLSWRVRTFPRDSPLGRDTVRALMYYALKVWSDITPLNFHEVAGN AADIQIDFSKADHNDGYPFDGPGGTVAHAFFPGDHHTAGDTHFDDDEPWTFRSSDAHGMD LFAVAVHEFGHAIGLSHVAAPSSIMQPYYQGPVGDPLRYGLPYEDRVRVWQLYGVRESVS PTAQLDTPEPEEPPLLPEPPNNRSSTPPQKDVPHRCTAHFDAVAQIRGEAFFFKGKYFWR LTRDRHLVSLQPAQMHRFWRGLPLHLDSVDAVYERTSDHKIVFFKGDRYWVFKDNNVEEG YPRPVSDFSLPPGGIDAVFSWAHNDRTYFFKDQLYWRYDDHTRRMDPGYPAQGPLWRGVP SMLDDAMRWSDGASYFFRGQEYWKVLDGELEAAPGYPQSTARDWLVCGEPLADAEDVGPG PQGRSGAQDGLAVCSCTSDAHRLALPSLLLLTPLLWGL >ENSMUSP00000103885.2 pep:known chromosome:GRCm38:4:19605451:19651130:1 gene:ENSMUSG00000078772.2 transcript:ENSMUST00000108250.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12353 description:predicted gene 12353 [Source:MGI Symbol;Acc:MGI:3699710] MLQHKVDWYGIQGFAHAMPWKRHCVKYLMVTLQHITLLQLNTLNLVLLGYEVCTFMSQPH >ENSMUSP00000076333.5 pep:known chromosome:GRCm38:11:3415982:3452363:-1 gene:ENSMUSG00000020448.16 transcript:ENSMUST00000077078.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf185 description:ring finger protein 185 [Source:MGI Symbol;Acc:MGI:1922078] MASKGPSASASTENSNAGGPSGSSNGTGESGGQDSTFECNICLDTAKDAVISLCGHLFCW PCLHQWLETRPNRQVCPVCKAGISRDKVIPLYGRGSTGQQDPREKTPPRPQGQRPEPENR GGFQGFGFGDGGFQMSFGIGAFPFGIFATAFNINDGRPPPAVPGTPQYVDEQFLSRLFLF VALVIMFWLLIA >ENSMUSP00000067053.2 pep:known chromosome:GRCm38:11:3415982:3452363:-1 gene:ENSMUSG00000020448.16 transcript:ENSMUST00000064364.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf185 description:ring finger protein 185 [Source:MGI Symbol;Acc:MGI:1922078] MCILLCPHMFCRPINQRQADWDCLENLPWKLCWQAAMASKGPSASASTENSNAGGPSGSS NGTGESGGQDSTFECNICLDTAKDAVISLCGHLFCWPCLHQWLETRPNRQVCPVCKAGIS RDKVIPLYGRGSTGQQDPREKTPPRPQGQRPEPENRGGFQGFGFGDGGFQMSFGIGAFPF GIFATAFNINDGRPPPAVPGTPQYVDEQFLSRLFLFVALVIMFWLLIA >ENSMUSP00000019075.3 pep:known chromosome:GRCm38:11:60902246:60913812:-1 gene:ENSMUSG00000018931.3 transcript:ENSMUST00000019075.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Natd1 description:N-acetyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:1344388] MAHATPPSALEQGGPIRVEHDRQRRQFSVRLNGCHDRAVLLYEYVGKRIVDLQHTEVPDA YRGRGIAKHLAKAALDFVVEEDLKAHLTCWYIQKYVKENPLPQYLERLQP >ENSMUSP00000103888.1 pep:known chromosome:GRCm38:4:19575162:19598654:1 gene:ENSMUSG00000028229.11 transcript:ENSMUST00000108253.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmdn1 description:regulator of microtubule dynamics 1 [Source:MGI Symbol;Acc:MGI:1913552] MALSSRLWRRLPPLRVCQQTRTWGSRGRCGAWGVRACEVIGNTRPFKRGFLFSALSYLGF ETYQIISQAAVVHATAKVEEILAQADYLYESGETEKLYQLLIQYKESEDGELLWRLARAS RDIAQLSKTSEEEKKVLVYEALDYAKRALEKKESSSAAHKWYAICISDVGDYEGIKVKIA NAYVIKEHFEVFSV >ENSMUSP00000029888.3 pep:known chromosome:GRCm38:4:19575207:19606932:1 gene:ENSMUSG00000028229.11 transcript:ENSMUST00000029888.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmdn1 description:regulator of microtubule dynamics 1 [Source:MGI Symbol;Acc:MGI:1913552] MALSSRLWRRLPPLRVCQQTRTWGSRGRCGAWGVRACEVIGNTRPFKRGFLFSALSYLGF ETYQIISQAAVVHATAKVEEILAQADYLYESGETEKLYQLLIQYKESEDGELLWRLARAS RDIAQLSKTSEEEKKVLVYEALDYAKRALEKKESSSAAHKWYAICISDVGDYEGIKVKIA NAYVIKEHFEKAIELNPKDATSIHLMGIWCYTFAEMPWYQRRIAKVLFANPPSSTYEEAL RYFHKAEEVDPNFYSKNLLLLGKTYLKLNNKKLAAFWLVKAKGYPAHTEEDKQIQTEAAQ LLTGL >ENSMUSP00000103118.1 pep:known chromosome:GRCm38:3:88905107:88913931:-1 gene:ENSMUSG00000068922.14 transcript:ENSMUST00000107494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msto1 description:misato homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2385175] MGPRRQWSAQEQRRYGGRGPRGAHAAVGTFRGFRGGALVEPAGCCAGTHGRGRRVARRAV PRRLVQNRPDTAWPGNLYASTHPNGSESLNTLKEEGNLYRDRQLEAAVAWQGKLSTHRDD AQPKNPNLQGLLSAEGVRSSDGAWRAKLIQNIQNGKENSIKVWSDFLRVHLHPRSICVIH KYHHDGETGRLEAFGQGESVLKEPRYLEELEDRLHFYVEECDYLQGFQLLCDLHDGFSGV GAKTAELLQDEYAGRGVLTWGLLPGPYSLGEPQKNIYRLLNTAFGLVHLTGYSSFVCPLS LGGNLGLRPKPPVNFPSLHYDATLPFHCSAILATALDTVTVPYRLRSSMVTMAHLADVLS FSGKKVVTAEAIIPFPLVRGQSLPDILTQLGEATPWTSLSACGDSAGHRCFAQSVVLRGI DRASHTSKLNPGTPLPSALHACASGEEVLAQYLQQQHPRVLSSSHLLLTPCKVAPPYPHF FSSFSQKGLAMDSTPKGAAVQSIPVFGALRSTSSLHRTLGDLAEELSRLDLRRWASFMDA GVEQDDMEEMLHELHSLDSGPPSWQEQEQSLAASGHSVSYNKPRLP >ENSMUSP00000115645.1 pep:known chromosome:GRCm38:3:88909615:88913999:-1 gene:ENSMUSG00000068922.14 transcript:ENSMUST00000126245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msto1 description:misato homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2385175] MAGGAREVLTLQLGHFAGFVGAHWWNQQDAALGRMAEDEESPGELCPDVLYRTGRTLHGQ ETYTPRLILMDLKGSLNTLKEEGNLYRDRQLEAAVAWQGKLSTHRDDAQPKNPNLQGLLS AEGVRSSDGAWRAKLIQNIQNGKENSIKVWSDFLRVHLHPRSICVIHKYHHDGETGRLEA FGQGESVLKEPRYLEELEDRLHFYVEECDYLQGFQLLCDLHDGFSGVGAKTAELLQDEYA GRGVLTWGLLPGPYSLGEPQKNIYRLLNTAFGLVHLTGYSSFVCPLSLGGNLGLRPKPPV NFPSLHYDATLPFHCSAILATALDTVTVPYRLRSSMVTMAHLADVLSFSGKKVVTAEAII PFPLVRGQSLPDILTQLGEATPWTSLSACGDSAGHRCFAQSVVLRGIDRASHTSKLNPGT PLPSALHACASGEEVLAQYLQQQHPRVLSSSHLLLTPCKVAPPYPHFFSSFSQKGLAMDS TPKGAAVQSIPVFGALRSTSSLHRTLGDLAEELSRLDLRRWASFMDAGVEQDDMEEMLHE LHRLAQCYQEGDSLSN >ENSMUSP00000069042.6 pep:known chromosome:GRCm38:5:105215699:105239533:-1 gene:ENSMUSG00000105096.1 transcript:ENSMUST00000065588.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp10 description:guanylate-binding protein 10 [Source:MGI Symbol;Acc:MGI:4359647] MTQPQMAPICLVENHNEQLSVNQEAIEILDKISQPVVVVAIVGWSRTGKSYLMNCLAGQN HGFPLGSTVQSQTKGIWMWCMPHPTKPEHTLVLLDTEGLGDVEKGDPKNDLWIFALSVLL SSTFIYNSMITINHQALEQLHYVTELTELIRAKSSPNPAGIKNSTEFVSFFPDFVWIVRD FMLELKLNGEDITSDDYLENALKLIPGDKPRMQASNSCRECIRLFFPNRKCFVFDRPTHD KELLQKLDSITEDQLDPKFQEVTKAFVSYIFTYAKIKTLKEGIKVTGNKLGILVTTYVDA INSGAVPCLDDAVTTLAQRENSVAVQKAADHYSEQMAQRLRLPTETLQELLDVHAACEKE AMAVFMEHSFKDENQQFLKKLVELIGENKELFLSKNEEASNKYCQEELDRLSKDFMENIS TFFVPCGHKLYMDKREKIEHDYWQVPRKGVKASEVFQSFLQSQAFIESSILQADTALTAG KKAIAEERAQKVAAEKEQDLLRQKQKEQQEYMEAQEKSHKENIEQLRRKLMQEREQLIKD HNMMLKKQLKDQKAFLEEGFKKKAEEMNKEIQQLRDVIKDKKRNTDRIKDALLNGFSTVL FHYLVRYLKHL >ENSMUSP00000069412.6 pep:known chromosome:GRCm38:5:73292784:73313957:1 gene:ENSMUSG00000029152.13 transcript:ENSMUST00000071081.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad1 description:OCIA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915345] MNGRADFREPNAQVSRPIPDIGGGYIPTEEEWRLFAECHEECFWFRSVPLAATSMLITQG LISKGILSSHPKYGSIPKLLFACIVGYFAGKLSYVKTCQEKFKKLENSPLGEALRSGELR RSSPPGHYTQKPKFDSNVSGQSSFGTSPAADNIEKEALPRYEPIPFSASMNESTPTGITD HIAQGRNFS >ENSMUSP00000031038.4 pep:known chromosome:GRCm38:5:73292792:73314069:1 gene:ENSMUSG00000029152.13 transcript:ENSMUST00000031038.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad1 description:OCIA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915345] MNGRADFREPNAQVSRPIPDIGGGYIPTEEEWRLFAECHEECFWFRSVPLAATSMLITQG LISKGILSSHPKYGSIPKLLFACIVGYFAGKLSYVKTCQEKFKKLENSPLGEALRSGELR RSSPPGHYTQKPKFDSNVSGQSSFGTSPAADNIEKEALPRYEPIPFSASMNESTPTGITD HIAQGPEPNLEESPKRKGVTYEELRSKNRESYGVTLPHKTDPSVRPMQERVPKKEVKVNK YGDTWDE >ENSMUSP00000128805.1 pep:known chromosome:GRCm38:5:73292793:73309365:1 gene:ENSMUSG00000029152.13 transcript:ENSMUST00000166823.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad1 description:OCIA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915345] MNGRADFREPNAQVSRPIPDIGGGYIPTEEEWRLFAECHEECFWFRSVPLAATSMLITQG LISKGILSSHPKYGSIPKLLFACIVGYFAGKLSYVKTCQEKFKKLENSPLGEALRSGELR RSSPPGHYTQKPKFDSNVSGQSSFGTSPAADNIEKEALPRYEPIPFSASMNESTPTGITD HIAQGRNFS >ENSMUSP00000143799.1 pep:known chromosome:GRCm38:5:73292807:73306780:1 gene:ENSMUSG00000029152.13 transcript:ENSMUST00000202250.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad1 description:OCIA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915345] MNGRADFREPNAQVSRPIPDIGGGYIPTEEEWRLFAECHEECFWFRSVPLAATSMLITQG LISKGILSSHPKYGSIPKLLFACIVGYFAGKLSYVKTCQEKFKKLENSPLGEALRSGELR RSSPPGHYTQKPKFDSNVSGQSSFGTSPAADNIEKEALPRYEPIPFSASMNESTPTGITD HIAQGRNFS >ENSMUSP00000144227.1 pep:known chromosome:GRCm38:5:73292832:73313914:1 gene:ENSMUSG00000029152.13 transcript:ENSMUST00000201556.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad1 description:OCIA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915345] MNGRADFREPNAQVSRPIPDIGGGYIPTEEEWRLFAECHEECFWFRSVPLAATSMLITQG LISKGILSSHPKYGSIPKLLFACIVGYFAGKLSYVKTCQEKFKKLENSPLGEALRSGELR RSSPPGQSKQIWRYLG >ENSMUSP00000144102.1 pep:known chromosome:GRCm38:5:73292841:73310416:1 gene:ENSMUSG00000029152.13 transcript:ENSMUST00000202237.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad1 description:OCIA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915345] MLITQGLISKGILSSHPKYGSIPKLLFACIVGYFAGKLSYVKTCQEKFKKLENSPLGEAL RSGELRRSSPPGHYTQKPKFDSNVSGQSSFGTSPAADNIEKEALPRYEPIPFSASMNEST PTGITDHIAQGPEPNLEESPKRKGVTYEELRSKNRESYGVTLPHKTDPSVRPMQERVP >ENSMUSP00000144515.1 pep:known chromosome:GRCm38:5:73292895:73313941:1 gene:ENSMUSG00000029152.13 transcript:ENSMUST00000200935.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad1 description:OCIA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915345] MNGRADFREPNAQVSRPIPDIGGGYIPTEEEWRLFAECHEECFWFRSVPLAATSMLITQG LISKGILSSHPKYGSIPKLLFACIVGYFAGKLSYVKTCQEKFKKLENSPLGEALRSGELR RSSPPGHYTQKPKFDSNVSGQSSFGTSPAADNIEKEALPRYEPIPFSASMNESTPTGITD HIAQVKVNKYGDTWDE >ENSMUSP00000143869.1 pep:known chromosome:GRCm38:5:73293053:73294979:1 gene:ENSMUSG00000029152.13 transcript:ENSMUST00000201505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad1 description:OCIA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915345] MNGRADFREPNAQVSRPIPDIGGGYIPTEEEWRLFAE >ENSMUSP00000143867.1 pep:known chromosome:GRCm38:5:73295003:73313941:1 gene:ENSMUSG00000029152.13 transcript:ENSMUST00000201739.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ociad1 description:OCIA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915345] RSVPLAATSMLITQGLISKGGASSL >ENSMUSP00000057573.5 pep:known chromosome:GRCm38:8:121549440:121578806:-1 gene:ENSMUSG00000052934.13 transcript:ENSMUST00000059018.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo31 description:F-box protein 31 [Source:MGI Symbol;Acc:MGI:1354708] MAVCARLCGVGPARGCRRRQQRRGPAETAAADSEADTDPEEERIEAGPARCSLLELPPEL LVEIFASLPGTDLPSLAQVCSRFRRILHTDTIWRRRCREEYGVCENLRKLEITGVSCRDV YAKLLHRYRHILGLWQPDIGPYGGLLNVVVDGLFIIGWMYLPPHDPHVGDPMRFKPLFRI HLMERKSATVECMYGHKGPHNGHIQIVKRDEFSTKCNQTDHHRMSGGRQEEFRTWLREEW GRTLEDIFHEHMQELILMKFIYTSQYDNCLTYRRIYLPPSHPDDLIKPGLFKGTYGSHGL EIVMLSFHGSRARGTKITGDPNIPAGQQTVEIDLQRRIQLPDVENLRNFNELSRIVLEVR EQVRQEQEAGEGAAPPREPSAKAADGPPAKDGKEPGGGAEAAEQSASSGQGQPFVLPVGV SSRNEDYPRTCRLCFYGTGLIAGHGFTSPERTPGVFVLFDEDRFGFLWLELKSFSLYSRV QATFQNAAAPSPQAFDEMLRNIQSLTS >ENSMUSP00000137907.1 pep:known chromosome:GRCm38:8:121564331:121578790:-1 gene:ENSMUSG00000052934.13 transcript:ENSMUST00000181663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo31 description:F-box protein 31 [Source:MGI Symbol;Acc:MGI:1354708] MAVCARLCGVGPARGCRRRQQRRGPAETAAADSEADTDPEEERIEAGPARCSLLELPPEL LVEIFASLPGTDLPSLAQVCSRFRRILHTDTIWRRRCREEYGVCENLRKLEITGVSCRDV YAKRINPRVKSGRFMKILPDYEHMEYRDVYTCRTYLTPCAVAAAAAAAAAWAIAGGWVGG QTRFPPWEMLSRLFLLSSAAAVVGRAEW >ENSMUSP00000023856.8 pep:known chromosome:GRCm38:2:19371636:19394976:1 gene:ENSMUSG00000023094.14 transcript:ENSMUST00000023856.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msrb2 description:methionine sulfoxide reductase B2 [Source:MGI Symbol;Acc:MGI:1923717] MARLLRALRGLPLLQAPGRLARGCAGSGSKDTGSLTKSKRSLSEADWQKKLTPEQFYVTR EKGTEAPFSGMYLNNKETGMYHCVCCDSPLFSSEKKYCSGTGWPSFSEAYGSKGSDESHT GILRRLDTSLGCPRMEVVCKQCEAHLGHVFPDGPKPTGQRFCINSVALKFKPSKP >ENSMUSP00000023405.9 pep:known chromosome:GRCm38:16:59612949:59639167:-1 gene:ENSMUSG00000022722.18 transcript:ENSMUST00000023405.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6 description:ADP-ribosylation factor-like 6 [Source:MGI Symbol;Acc:MGI:1927136] MGLLDRLSGLLGLKKKEVHVLCLGLDNSGKTTIINKLKPSNAQSQDIVPTIGFSIEKFKS SSLSFTVFDMSGQGRYRNLWEHYYKDGQAIIFVIDSSDKLRMVVAKEELDTLLNHPDIKH RRIPILFFANKMDLRDSVTSVKVSQLLCLESIKDKPWHICASDAIKGEGLQEGVDWLQDQ IQAVKT >ENSMUSP00000097238.3 pep:known chromosome:GRCm38:16:59613328:59639391:-1 gene:ENSMUSG00000022722.18 transcript:ENSMUST00000099646.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6 description:ADP-ribosylation factor-like 6 [Source:MGI Symbol;Acc:MGI:1927136] MGLLDRLSGLLGLKKKEVHVLCLGLDNSGKTTIINKLKPSNAQSQDIVPTIGFSIEKFKS SSLSFTVFDMSGQGRYRNLWEHYYKDGQAIIFVIDSSDKLRMVVAKEELDTLLNHPDIKH RRIPILFFANKMDLRDSVTSVKVSQLLCLESIKDKPWHICASDAIKGEGLQEGVDWLQEK TVQSDPSCEDVKR >ENSMUSP00000113127.1 pep:known chromosome:GRCm38:16:59613605:59632520:-1 gene:ENSMUSG00000022722.18 transcript:ENSMUST00000118438.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6 description:ADP-ribosylation factor-like 6 [Source:MGI Symbol;Acc:MGI:1927136] MGLLDRLSGLLGLKKKEVHVLCLGLDNSGKTTIINKLKPSNAQSQDIVPTIGFSIEKFKS SSLSFTVFDMSGQGRYRNLWEHYYKDGQAIIFVIDSSDKLRMVVAKEELDTLLNHPDIKH RRIPILFFANKMDLRDSVTSVKVSQLLCLESIKDKPWHICASDAIKGEGLQEGVDWLQEK TVQSDPSCEDVKR >ENSMUSP00000123287.1 pep:known chromosome:GRCm38:16:59621219:59639129:-1 gene:ENSMUSG00000022722.18 transcript:ENSMUST00000149797.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6 description:ADP-ribosylation factor-like 6 [Source:MGI Symbol;Acc:MGI:1927136] MGLLDRLSGLLGLKKKEVHVLCLGLDNSGKTTIINKLKPSNAQSQDIVPTIGFSIEKFKS SSLSFTVFDMSGQGRYRNLWEHYYKDGQAIIFVIDSSDKLRMVVAKEELDTLLNHPGMQK PTKAIRMCQSFWNWRFGQFGTPDQFIFKCCLDIKHRRIPILFFANKMDLRDSVTSVKVSQ LLCLESIKDKPWHI >ENSMUSP00000062753.6 pep:known chromosome:GRCm38:8:126588296:126593986:-1 gene:ENSMUSG00000051495.7 transcript:ENSMUST00000054960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf2bp2 description:interferon regulatory factor 2 binding protein 2 [Source:MGI Symbol;Acc:MGI:2443921] MAAAVAVAAASRRQSCYLCDLPRMPWAMIWDFTEPVCRGCVNYEGADRVEFVIETARQLK RAHGCFPEGRSPTGAQPAAAKPPPLSAKDLLLQPPPQLGHAGAEAARAQAMERYPLAPDR APRLASDFSTRAGAGLPQSAAQQSAPANGILVPNGFSKLEEPPELNRQSPNPRRAHAVPP TLVPLVNGSAALGLSGRAAATLAAVSGTPGLGAQPAELGTHKRPASVSSAAAEHEAREPS KEKAQPAHRSPADSLSSAAGASELSAEGAGKGRAPGEQDWASRPKTVRDTLLALHQHGHS GPFESKFKKEPALTAAGRLLGFEANGANGSKAVARTARKRKPSPEPEGEVGPPKINGETQ PWLSTSTEGLKIPITPTSSFVSPPPPTASPHSNRTTPPEAAQNGQSPMAALILVADNAGG SHASKDATQVHSTTRRNSSSPPSPSSMNQRRLGPREVGGQATGSTGGLEPVHPASLPDSS LAASAPLCCTLCHERLEDTHFVQCPSVPSHKFCFPCSRQSIKQQGASGEVYCPSGEKCPL VGSNVPWAFMQGEIATILAGDVKVKKERDS >ENSMUSP00000068258.4 pep:known chromosome:GRCm38:12:107910403:108003602:-1 gene:ENSMUSG00000048251.15 transcript:ENSMUST00000066060.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl11b description:B cell leukemia/lymphoma 11B [Source:MGI Symbol;Acc:MGI:1929913] MSRRKQGNPQHLSQRELITPEADHVEATILEEDEGLEIEEPSSLGLMVGGPDPDLLTCGQ CQMNFPLGDILVFIEHKKKQCGGLGPCYDKVLDKSSPPPSSRSELRRVSEPVEIGIQVTP DEDDHLLSPTKGICPKQENIAGPCRPAQLPSMAPIAASSSHPPTSVITSPLRALGVLPPC FPLPCCGARPISGDGTQGEGQMEAPFGCQCELSGKDEPSSYICTTCKQPFNSAWFLLQHA QNTHGFRIYLEPGPASTSLTPRLTIPPPLGPETVAQSPLMNFLGDSNPFNLLRMTGPILR DHPGFGEGRLPGTPPLFSPPPRHHLDPHRLSAEEMGLVAQHPSAFDRVMRLNPMAIDSPA MDFSRRLRELAGNSSTPPPVSPGRGNPMHRLLNPFQPSPKSPFLSTPPLPPMPAGTPPPQ PPAKSKSCEFCGKTFKFQSNLIVHRRSHTGEKPYKCQLCDHACSQASKLKRHMKTHMHKA GSLAGRSDDGLSAASSPEPGTSELPGDLKAADGDFRHHESDPSLGPEPEDDEDEEEEEEE LLLENESRPESSFSMDSELGRGRENGGGVPPGVAGAGAAAAALADEKALALGKVMEDAGL GALPQYGEKRGAFLKRAGDTGDAGAVGCGDAGAPGAVNGRGGAFAPGAEPFPALFPRKPA PLPSPGLGGPALHAAKRIKVEKDLELPPAALIPSENVYSQWLVGYAASRHFMKDPFLGFT DARQSPFATSSEHSSENGSLRFSTPPGDLLDGGLSGRSGTASGGSTPHLGGPGPGRPSSK EGRRSDTCEYCGKVFKNCSNLTVHRRSHTGERPYKCELCNYACAQSSKLTRHMKTHGQIG KEVYRCDICQMPFSVYSTLEKHMKKWHGEHLLTNDVKIEQAERS >ENSMUSP00000105513.1 pep:known chromosome:GRCm38:12:107915222:108003414:-1 gene:ENSMUSG00000048251.15 transcript:ENSMUST00000109887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl11b description:B cell leukemia/lymphoma 11B [Source:MGI Symbol;Acc:MGI:1929913] MSRRKQGNPQHLSQRELITRKDEPSSYICTTCKQPFNSAWFLLQHAQNTHGFRIYLEPGP ASTSLTPRLTIPPPLGPETVAQSPLMNFLGDSNPFNLLRMTGPILRDHPGFGEGRLPGTP PLFSPPPRHHLDPHRLSAEEMGLVAQHPSAFDRVMRLNPMAIDSPAMDFSRRLRELAGNS STPPPVSPGRGNPMHRLLNPFQPSPKSPFLSTPPLPPMPAGTPPPQPPAKSKSCEFCGKT FKFQSNLIVHRRSHTGEKPYKCQLCDHACSQASKLKRHMKTHMHKAGSLAGRSDDGLSAA SSPEPGTSELPGDLKAADGDFRHHESDPSLGPEPEDDEDEEEEEEELLLENESRPESSFS MDSELGRGRENGGGVPPGVAGAGAAAAALADEKALALGKVMEDAGLGALPQYGEKRGAFL KRAGDTGDAGAVGCGDAGAPGAVNGRGGAFAPGAEPFPALFPRKPAPLPSPGLGGPALHA AKRIKVEKDLELPPAALIPSENVYSQWLVGYAASRHFMKDPFLGFTDARQSPFATSSEHS SENGSLRFSTPPGDLLDGGLSGRSGTASGGSTPHLGGPGPGRPSSKEGRRSDTCEYCGKV FKNCSNLTVHRRSHTGERPYKCELCNYACAQSSKLTRHMKTHGQIGKEVYRCDICQMPFS VYSTLEKHMKKWHGEHLLTNDVKIEQAERS >ENSMUSP00000105517.2 pep:known chromosome:GRCm38:12:107915222:108003414:-1 gene:ENSMUSG00000048251.15 transcript:ENSMUST00000109891.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl11b description:B cell leukemia/lymphoma 11B [Source:MGI Symbol;Acc:MGI:1929913] MSRRKQGNPQHLSQRELITPEADHVEATILEEDEGLEIEEPSSLGLMVGGPDPDLLTCGQ CQMNFPLGDILVFIEHKKKQCGGLGPCYDKVLDKSSPPPSSRSELRRVSEPVEIGIQVTP DEDDHLLSPTKGICPKQENIAGKDEPSSYICTTCKQPFNSAWFLLQHAQNTHGFRIYLEP GPASTSLTPRLTIPPPLGPETVAQSPLMNFLGDSNPFNLLRMTGPILRDHPGFGEGRLPG TPPLFSPPPRHHLDPHRLSAEEMGLVAQHPSAFDRVMRLNPMAIDSPAMDFSRRLRELAG NSSTPPPVSPGRGNPMHRLLNPFQPSPKSPFLSTPPLPPMPAGTPPPQPPAKSKSCEFCG KTFKFQSNLIVHRRSHTGEKPYKCQLCDHACSQASKLKRHMKTHMHKAGSLAGRSDDGLS AASSPEPGTSELPGDLKAADGDFRHHESDPSLGPEPEDDEDEEEEEEELLLENESRPESS FSMDSELGRGRENGGGVPPGVAGAGAAAAALADEKALALGKVMEDAGLGALPQYGEKRGA FLKRAGDTGDAGAVGCGDAGAPGAVNGRGGAFAPGAEPFPALFPRKPAPLPSPGLGGPAL HAAKRIKVEKDLELPPAALIPSENVYSQWLVGYAASRHFMKDPFLGFTDARQSPFATSSE HSSENGSLRFSTPPGDLLDGGLSGRSGTASGGSTPHLGGPGPGRPSSKEGRRSDTCEYCG KVFKNCSNLTVHRRSHTGERPYKCELCNYACAQSSKLTRHMKTHGQIGKEVYRCDICQMP FSVYSTLEKHMKKWHGEHLLTNDVKIEQAERS >ENSMUSP00000145055.1 pep:known chromosome:GRCm38:6:42834384:42838274:1 gene:ENSMUSG00000049168.7 transcript:ENSMUST00000204229.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr449 description:olfactory receptor 449 [Source:MGI Symbol;Acc:MGI:3030283] MDVDNQTRVTKFILVGFPGSLSMRAAVFLMFLVAYILTVAENVIIILLVQQNRPLHKPMY FFLANLSFLETWYISVTVPKLLFSFWSMSNSISFTHCMIQLYFFIALMCTECVLLAAMAY DRYVAICRPL >ENSMUSP00000144965.1 pep:known chromosome:GRCm38:6:42834384:42838460:1 gene:ENSMUSG00000049168.7 transcript:ENSMUST00000203135.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr449 description:olfactory receptor 449 [Source:MGI Symbol;Acc:MGI:3030283] MDVDNQTRVTKFILVGFPGSLSMRAAVFLMFLVAYILTVAENVIIILLVQQNRPLHKPMY FFLANLSFLETWYISVTVPKLLFSFWSMSNSISFTHCMIQLYFFIALMCTECVLLAAMAY DRYVAICRPLHYPTIMSHGLCFRLALGSWVIGFGISLAKIYFISRLSFCGPNVINHFFCD ISPVLNLSCTDMS >ENSMUSP00000145322.1 pep:known chromosome:GRCm38:6:42834432:42837940:1 gene:ENSMUSG00000049168.7 transcript:ENSMUST00000204072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr449 description:olfactory receptor 449 [Source:MGI Symbol;Acc:MGI:3030283] MDVDNQTRVTKFILVGFPG >ENSMUSP00000059233.5 pep:known chromosome:GRCm38:6:42837852:42838888:1 gene:ENSMUSG00000049168.7 transcript:ENSMUST00000050729.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr449 description:olfactory receptor 449 [Source:MGI Symbol;Acc:MGI:3030283] MDVDNQTRVTKFILVGFPGSLSMRAAVFLMFLVAYILTVAENVIIILLVQQNRPLHKPMY FFLANLSFLETWYISVTVPKLLFSFWSMSNSISFTHCMIQLYFFIALMCTECVLLAAMAY DRYVAICRPLHYPTIMSHGLCFRLALGSWVIGFGISLAKIYFISRLSFCGPNVINHFFCD ISPVLNLSCTDMSIAELVDFVLALVIFLFPLSITVLSYGCILATVLRMPTGKQKAFSTCA SHLVVVTIFYSATIFMYARPRAIHAFNMNKVISIFYAIVTPALNPFIYCLRNREVKEALK KLIYCQVIRSD >ENSMUSP00000038017.1 pep:known chromosome:GRCm38:7:81859001:81884071:1 gene:ENSMUSG00000038623.9 transcript:ENSMUST00000041890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm6sf1 description:transmembrane 6 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1933209] MSASAATGVFVLSLSAIPVTYIFNHLAAQHDSWTIVGVAALILLLVALLARVLVRRKPPR DPLFYVYAVFGFTSVVNLIIGLEQDGIIDGFMTHYLREGEPYLNTAYGHMICYWDGSVHY LMYLVMVAAIAWEESYRTIGLYWVGSIIMSIVVFVPGNIVGKYGTRICPAFFLSIPYTCL PVWAGFRIYNQPSENYNYPSKVLQEAQAKALLRRPFDLVLVLCLFLATGFCLFRGLIALD CPAELCRLYTQFQEPYLKDPAAYPKIQMLAYMFYSVPYFVIALYGLVVPGCSWMPDITLV HAGGLAQAQFSHIGASLHARTAYVYRVPEEAKIFFLALNIAYGVLPQLLAYRCTYNPEFF LRTKADEKLE >ENSMUSP00000121292.1 pep:known chromosome:GRCm38:7:81859151:81884434:1 gene:ENSMUSG00000038623.9 transcript:ENSMUST00000126334.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tm6sf1 description:transmembrane 6 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1933209] MSASAATGVFVLSLSAIPVTYIFNHLAAQHDSWTIVGVAALILLLVALLARVLVRRKPPR DPLFYVYAVFGFTSVVNLIIGLEQDGIIDGFMTHYLREGEPYLNTAYGHMICYWDGSVHY LMYLVMVAAIAWEKVWDTDLPCIFLKHTIYMSSGLGWLQNL >ENSMUSP00000112400.1 pep:known chromosome:GRCm38:7:81862687:81883419:1 gene:ENSMUSG00000038623.9 transcript:ENSMUST00000119543.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm6sf1 description:transmembrane 6 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1933209] MTHYLREGEPYLNTAYGHMICYWDGSVHYLMYLVMVAAIAWEESYRTIGLYWVGSIIMSI VVFVPGNIVGKYGTRICPAFFLSIPYTCLPVWAGFRIYNQPSENYNYPSKVLQEAQAKAL LRRPFDLVLVLCLFLATGFCLFRGLIALDCPAELCRLYTQFQEPYLKDPAAYPKIQMLAY MFYSVPYFVIALYGLVVPGCSWMPDITLVHAGGLAQAQFSHIGASLHARTAYVYRVPEEA KIFFLALNIAYGVLPQLLAYRCTYNPEFFLRTKADEKLE >ENSMUSP00000028382.6 pep:known chromosome:GRCm38:2:80638816:80658902:1 gene:ENSMUSG00000026999.14 transcript:ENSMUST00000028382.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup35 description:nucleoporin 35 [Source:MGI Symbol;Acc:MGI:1916732] MAAFAVDPQAPTLGSEPMMLGSPTSPKTGANAQFLPGFLMGDLPAPVTPQPRSISGPSVG VMEMRSPLLAGGSPPQPVVPAHKDKSGAPPVRSIYDDISSPGLGSTPLTSRRQANISLLQ SPLVGATTPVPGQSMFSPANIGQPRKTTLSPAQLDPFYTQGDSLTSEDHLDDTWVTVFGF PQASASYILLQFAQYGNILKHVMSNTGNWMHIRYQSKLQARKALSKDGRIFGESIMIGVK PCIDKNVMENSDRGVLSSPSLAFTTPIRTLGTPTQSGSTPRVSTMRPLATAYKASTSDYQ VISDRQTPKKDESLVSRAMEYMFGW >ENSMUSP00000122132.1 pep:known chromosome:GRCm38:2:80638832:80656004:1 gene:ENSMUSG00000026999.14 transcript:ENSMUST00000124377.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup35 description:nucleoporin 35 [Source:MGI Symbol;Acc:MGI:1916732] MAAFAVDPQAPTLGSEPMMLGSPTSPKTGANAQFLPGFLMGDLPAPVTPQPRSISGPSVG VMEMRSPLLAGGSPPQPVVPAHKDKSGAPPVRSIYDDISSPGLGSTPLTSRRQANISLLQ SPLVGATTPVPGQSMFSPANIGQPRKTTLSPAQLDPFYTQGDSLTSEDHLDDTWVTVFGC LTQATGCIFVTNLNCKP >ENSMUSP00000124881.1 pep:known chromosome:GRCm38:19:29608214:29648415:-1 gene:ENSMUSG00000046324.12 transcript:ENSMUST00000159692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ermp1 description:endoplasmic reticulum metallopeptidase 1 [Source:MGI Symbol;Acc:MGI:106250] MEWSSESAAVRRHRGTAERREGEAAASHRQREASAQEDAKGVGRMWGKTENGGGSRVAKT ALSEARTALALALYLLALRALVQLSLQRLVLSRTSGLQGEFDARQARDYLEHITAIGPRT TGSTENEILTVQYLLEQIKLIEAQSNSLHSISVDIQRPTGSFSIDFLGGFTSYYDNITNV VVKLEPRDGAESAILANCHFDSVANSPGASDDAVSCAVMLEVLRVMSASPEPMQHAVVFL FNGAEENVLQASHGFITQHPWASLIRAFINLEAAGVGGKELVFQTGPENPWLVQAYVSAA KHPFASVVAQEVFQSGIIPSDTDFRIYRDFGNIPGIDLAFIENGYIYHTKYDTADRILID SIQRAGDNILAVLKHLATSDTLASSSEYRHGSMVFFDVLGLLVIAYPSRVGSIINYMVVM AVVLYLGKKLLRPKHRNANYMRDFLCGLGITFISWFTSLVTVLIIAVFISLIGQSLSWYN YFYIAVCLYGTATVAKIIFIHTLAKRFYYMNASDLYLGELFFDTSLFVHCAFLVALTYQG FCSAFMSAVWVVFPLLTKLCVYKDFKKHGAQGRFVALYLLGMFIPYLYGLYLIWAVFEMF TPILGRSGSEIPPDVVLASILAVCVMILSSYFITFIYLVNSTKKTILTLILVCAVTFLLV CSGAFFPYSSNPESPKPKRVFLQHVSRTFHNLEGSVVKRDSGIWINGFDYTGMSHVTPHI PEINDTIRAHCEEDAPLCGFPWYLPVHFLIRKNWYLPAPEVSPRNPAHFRLVSKEKMPWD SIKLTFEATGPSHMSFYVRTHKGSTLSQWSLGNGIPVTSRGGDYFVFYSHGLQASAWRFW IEVQVSEEQAEGMVTVAIAAHYLSGENKRSSQLDALKKKFPDWSFPSAWVSTYSLFVF >ENSMUSP00000057069.6 pep:known chromosome:GRCm38:19:29610202:29648187:-1 gene:ENSMUSG00000046324.12 transcript:ENSMUST00000054083.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ermp1 description:endoplasmic reticulum metallopeptidase 1 [Source:MGI Symbol;Acc:MGI:106250] VAKTALSEARTALALALYLLALRALVQLSLQRLVLSRTSGLQGEFDARQARDYLEHITAI GPRTTGSTENEILTVQYLLEQIKLIEAQSNSLHSISVDIQRPTGSFSIDFLGGFTSYYDN ITNVVVKLEPRDGAESAILANCHFDSVANSPGKPWFYYSTSLGQFDSSIY >ENSMUSP00000124992.1 pep:known chromosome:GRCm38:19:29612407:29646027:-1 gene:ENSMUSG00000046324.12 transcript:ENSMUST00000162534.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ermp1 description:endoplasmic reticulum metallopeptidase 1 [Source:MGI Symbol;Acc:MGI:106250] DGAESAILANCHFDSVANSPGASDDAVSCAVMLEVLRVMSASPEPMQHAVVFLFNGAEEN VLQASHGFITQHPWASLIRAFINLEAAGVGGKELVFQTGPENPWLVQAYVSAAKHPFASV VAQEVFQSGIIPSDTDFRIYRDFGNIPGIDLAFIENGYIYHTKYDTADRILIDSIQRAGD NILAVLKHLATSDTLASSSEYRHGSMVFFDVLGLLVIAYPSRVGSIINYMVVMAVVLYLG KKLLRPKHRNANYMRDFLCGLGITFISWFTSLVTVLIIAVFISLIGQSLSWYNYFYIAVC LYGTATVAKIIFIHTLAKRFYYMNASDLYLGELFFDTSLFVHCAFLVALTYQGFCSAFMS AVWVVFPLLTKLCVYKDFKKHDYLHLPCEQHKENHSDSNTGVRGHLPPCLQWSLFPI >ENSMUSP00000097195.1 pep:known chromosome:GRCm38:2:112042077:112043030:-1 gene:ENSMUSG00000074947.1 transcript:ENSMUST00000099600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1312 description:olfactory receptor 1312 [Source:MGI Symbol;Acc:MGI:3031146] MNQSVVSEFVFLGLTNSWNIQLFLFVFSSIFYVASMMGNSLIVFTVVSDSHLHSPMYFLL ANLSFIDLGISSVTSPKMICDLFRKHKVISFRGCVTQIFFIHVIGGVEMVLLIAMAFDRY VAICKPLHYLTIMSPRVCILFSVASWVVGFMHSLVQLAFVVNLPFCGPNVLDSFYCDFPR FIKLACTDTYKLELLVSINSGFMSVGSFFILIISYIVIIFTVQKHSSSGSSKALSTLSAH VTVVVLFFGPVMFFYTWPSSYTHLDKFLAIFDAIVTPFLNPVIYTLRNQEMKIAMMRVFS KLMGCRQIFKHLNFEQT >ENSMUSP00000043222.7 pep:known chromosome:GRCm38:X:20059560:20097521:1 gene:ENSMUSG00000037347.7 transcript:ENSMUST00000044138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst7 description:carbohydrate (N-acetylglucosamino) sulfotransferase 7 [Source:MGI Symbol;Acc:MGI:1891767] MKGRRRRRREYCKFTLLLALYTLLLLLVPSVLDSHSEQDKGRNCPGLQRSLGVWSLEAAA AGEREQGAEVRSLAEGNPDRSPGSPGNLSAVGEAVTQEKQHIYVHATWRTGSSFLGELFN QHPDVFYLYEPMWHLWQALYPGDAESLQGALRDMLRSLFRCDFSVLRLYAQPGDPGERAP DSANLTTAMLFRWRTNKVICSPPLCPAAPRARADVGLVEDKACESTCPPVSLRALEAECR KYPVVVIKDVRLLDLGVLVPLLRDPGLNLKVVQLFRDPRAVHNSRLKSRQGLLRESIQVL RTRQRGDHFHRVLLAHGVDARPGGQARALPSAPRADFFLTSALEVICEAWLRDLLFTRGA PAWLRRRYLRLRYEDLVWQPQAQLRRLLRFSGLRTLAALDAFAFNMTRGSAYGADRPFHL SARDAREAVHAWRERLSQEQVRQVETACAPAMRLLAYPRSGDERDRKTVREGETPLETKA NWAV >ENSMUSP00000041250.7 pep:known chromosome:GRCm38:12:104236252:104241939:1 gene:ENSMUSG00000041481.16 transcript:ENSMUST00000043315.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3g description:serine (or cysteine) peptidase inhibitor, clade A, member 3G [Source:MGI Symbol;Acc:MGI:105046] MVLVNYIYFKGKWKNPFDPNDTFKSEFYLDEKRSVIVSMMKTGYLTTPYFRDEELSCTVV ELKYTGNASAMFILPDQGRMQQVEASLQPETLRKWKNSLKPRMIHELRLPKFSISTDYSL EHILPELGIREVFSTQADLSAITGTKDLRVSQVVHKAVLDVAETGTEAAAATGMAGVGCC AVFDFLEIFFNRPFLMIISDTKAHIALFMAKVTNPERSMNFPNGEGASSQRLESKRLCFG DPLCLIGQ >ENSMUSP00000129633.1 pep:known chromosome:GRCm38:12:104236259:104239305:1 gene:ENSMUSG00000041481.16 transcript:ENSMUST00000171916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3g description:serine (or cysteine) peptidase inhibitor, clade A, member 3G [Source:MGI Symbol;Acc:MGI:105046] MVLVNYIYFKGKWKNPFDPNDTFKSEFYLDEKRSVIVSMMKTGYLTTPYFRDEELSCTVV ELKYTGNASAMFILPDQGRMQQVEASLQPETLRKWKNSLKP >ENSMUSP00000126548.1 pep:known chromosome:GRCm38:12:104236260:104238323:1 gene:ENSMUSG00000041481.16 transcript:ENSMUST00000170628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3g description:serine (or cysteine) peptidase inhibitor, clade A, member 3G [Source:MGI Symbol;Acc:MGI:105046] MVL >ENSMUSP00000128889.1 pep:known chromosome:GRCm38:11:35797382:35798884:-1 gene:ENSMUSG00000051062.6 transcript:ENSMUST00000160726.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbll1 description:fibrillarin-like 1 [Source:MGI Symbol;Acc:MGI:3034689] MKPAGGRGGWGWGGGKGGSKGGDTGSGTKGGFGARTRGSSGGGRGRGRGGGGGGGGGGGD RQRRGGPGKNKNRRKKGITVSVEPHRHEGVFIYRGAEDALVTLNMVPGVSVYGEKRVTVM ENGEKQEYRTWNPFRSKLAAAILGGVDQIHIKPKSKVLYLGAASGTTVSHVSDIIGPDGL VYAVEFSHRAGRDLVNVAKKRTNIIPVLEDARHPLKYRMLIGMVDVIFADVAQPDQSRIV ALNAHTFLRNGGHFLISIKANCIDSTASAEAVFASEVRKLQQENLKPQEQLTLEPYERDH AVVVGVYRPPPKSK >ENSMUSP00000110720.2 pep:known chromosome:GRCm38:9:37229149:37255738:-1 gene:ENSMUSG00000032122.14 transcript:ENSMUST00000115068.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a2 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 2 [Source:MGI Symbol;Acc:MGI:1929693] MRSSLAPGVWFLRAFSRDSWFRGFILLLTFLIYACYHMSRKPISIVKSRLHQNCSEMVRP VNDTHDLNDTTWCSWSPFDKDDYKELLGAVDNAFLVAYAIGMFISGIFGERLPLRYYLSA GMVLSGLFTSLFGLGYFWNIHMLWYFVLIQICNGLVQTTGWPSVVTCVGNWFGKGKRGFI MGIWNSHTSVGNILGSLIAGVWVNQHWGLSFIVPGIITAIMGVITFLFLIEYPEDVDCTP PRHHDDPEKEQDNPEDPVNSPYSSRESNVDIAASSSKEQGPEPEAISFLGALRIPGVIEF SLCLLFAKLVSYTFLYWLPLYIFNVAHFSAKEAGDLSTLFDVGGIIGGIMAGLISDYTNS RATTCCIMLILAAPMMFLYNYIGQNGITSSIVMLIICGVLVNGPYALITTAVSADLGTHE SLKGNAKALSTVTAIIDGTGSIGAALGPLLAGLISPTGWNNVFYMLISADVLACLLLCRL VYKEILAWKTACGRSSGYKQI >ENSMUSP00000124569.1 pep:known chromosome:GRCm38:9:37230316:37255414:-1 gene:ENSMUSG00000032122.14 transcript:ENSMUST00000161114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a2 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 2 [Source:MGI Symbol;Acc:MGI:1929693] MRSSLAPGVWFLRAFSRDSWFRGFILLLTFLIYACYHMSRKPISIVKSRLHQNCSEMVRP VNDTHDLNDTTWCSWSPFDKDDYKELLGAVDNAFLVAYAIGMFISGIFGERLPLRYYLSA GMVLSGLFTSLFGLGYFWNIHMLWYFVLIQICNGLVQTTGWPSVVTCVGNWFGKGKRGFI MGIWNSHTSVGNILGSLIAGVWVNQHWGLSFIVPGIITAIMGVITFLFLIEYPEDVDCTP PRHHDDPEKEQDNPEDPVNSPYSSRESNVDIAASSSKEQGPEPEAISFLGALRIPGVIEF SLCLLFAKLVSYTFLYWLPLYIFNVAHFSAKEAGDLSTLFDVGGIIGGIMAGLISDYTNS RATTCCIMLILAAPMMFLYNYIGQNGITSSIVMLIICGVLVNGPYALITTAVSADLGTHE SLKGNAKALSTVTAIIDGTGSIGAALGPLLAGLISPTGWNNVFYMLISADVLACLLLCRL VYKEILAWKTACGRSSGSSLALTHPR >ENSMUSP00000032761.7 pep:known chromosome:GRCm38:7:79735957:79743080:-1 gene:ENSMUSG00000030545.9 transcript:ENSMUST00000032761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex11a description:peroxisomal biogenesis factor 11 alpha [Source:MGI Symbol;Acc:MGI:1338788] MDAFIRVANQSQGRDRLFRATQHACMLLRYLLESKADKEAVVLKLKRLETSVSTGRKWFR LGNVFHAIQATEQSIQAADLAPRLCLTLANLNRVVYYICDTVLWAKSVGLTSGVNREKWQ RWAARHYYYFLLLSLVRDLYEILLQMGQVARDRAKREKSSRDPPKYSVANEETEWLQSFL LLLFQSLKRHPPLLLDTVKNFCDILIPLNQLGIYKSNLGVVGLGGLISSLAGLLTVVYPQ LKLKAR >ENSMUSP00000084838.5 pep:known chromosome:GRCm38:X:133891068:133898429:-1 gene:ENSMUSG00000067377.12 transcript:ENSMUST00000087557.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan6 description:tetraspanin 6 [Source:MGI Symbol;Acc:MGI:1926264] MASPSRRLQTKPVITCLKSVLLIYTFIFWITGVILLAVGIWGKVSLENYFSLLNEKATNV PFVLIGTGTVIILLGTFGCFATCRASAWMLKLYAMFLTLIFLVELVAAIVGFVFRHEIKN SFKSNYENALKEYNSTGDYRSEAVDKIQSTLHCCGVTNYGDWKGTNYYSETGFPKSCCKL EGCYPQRDADKVNEEGCFIKVMTTIESEMGVVAGISFGVACFQLIGIFLAYCLSRAITNN QYEIV >ENSMUSP00000135005.1 pep:known chromosome:GRCm38:X:133892702:133898294:-1 gene:ENSMUSG00000067377.12 transcript:ENSMUST00000176718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan6 description:tetraspanin 6 [Source:MGI Symbol;Acc:MGI:1926264] MASPSRRLQTKPVITCLKSVLLIYTFIFWITGVILLAVGIWGKVSLENYFSLLNEKATNV PFVLIGTGTVIILLGTFGCFATCRASAWMLKLYAMFLTLIFLVELVAAIVGFVFRHEIKN SFKSNYENALKEYNSTGDYRSEAVDKIQSTGCFIKVMTTIESEMGVVAGISFGVACFQLI GIFLAYCLSRAITNNQYEIV >ENSMUSP00000135626.1 pep:known chromosome:GRCm38:X:133894521:133898294:-1 gene:ENSMUSG00000067377.12 transcript:ENSMUST00000176641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan6 description:tetraspanin 6 [Source:MGI Symbol;Acc:MGI:1926264] MASPSRRLQTKPVITCLKSVLLIYTFIFWITGVILLAVGIWGKYAMFLTLIFLVELVAAI VGFVFRHEIKNSFKSNYENALKEYNSTGDYRSEAVDKIQSTLHCCGVTNYGDWKGTNYYS ETGFPKSCCKLEGCYPQRDADKVNEEGCFIKVMTTIESEMGVVAGIS >ENSMUSP00000040896.3 pep:known chromosome:GRCm38:11:97362551:97398542:1 gene:ENSMUSG00000038485.5 transcript:ENSMUST00000045540.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs7 description:suppressor of cytokine signaling 7 [Source:MGI Symbol;Acc:MGI:2651588] MVFRNVGRPPEEEDAEAAREPGPSELLCPRHRCALDPKALPPGLALERTWGPVAGLEAQL AALGLGQPAGPGIKTAGGGCCPCPCPPQPPPPQPPPPAAAPQAGEDPTETSDALLVLEGL ESEAESLETNSCSEEELSSPGRGGGGVGGRLLLQPPGPELPPVPFPLQDLVPPGRLSRGE QQQQQPPPPPPPPGPLRPLAGPSRKGSFKIRLSRLFRTKSCNGGSGGGDGTGKRPSGDLA ASAASLTDMGGSAVRELDTGRKPRLTRTQSAFSPVSFSPLFTGETVSLVDVDISQRGLTS PHPPTPPPPPRRSLSLLDDISGTLPTSVLVAPMGSSLQSFPLPPPPPPHAPDAFPRIAPI RASESLHSQPPQHLQCPLYRPDSSSFAASLRELEKCGWYWGPMNWEDAEMKLKGKPDGSF LVRDSSDPRYILSLSFRSQGITHHTRMEHYRGTFSLWCHPKFEDRCQSVVEFIKRAIMHS KNGKFLYFLRSRVPGLPPTPVQLLYPVSRFSNVKSLQHLCRFRIRQLVRIDHIPDLPLPK PLISYIRKFYYYDPQEEVYLSLKEAQLISKQKQEVEPST >ENSMUSP00000034276.5 pep:known chromosome:GRCm38:8:120668222:120674207:1 gene:ENSMUSG00000031818.12 transcript:ENSMUST00000034276.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox4i1 description:cytochrome c oxidase subunit IV isoform 1 [Source:MGI Symbol;Acc:MGI:88473] MLASRALSLIGKRAISTSVCLRAHGSVVKSEDYAFPTYADRRDYPLPDVAHVTMLSASQK ALKEKEKADWSSLSRDEKVQLYRIQFNESFAEMNRGTNEWKTVVGMAMFFIGFTALVLIW EKSYVYGPIPHTFDRDWVAMQTKRMLDMKANPIQGFSAKWDYDKNEWKK >ENSMUSP00000138019.1 pep:known chromosome:GRCm38:8:120668222:120674207:1 gene:ENSMUSG00000031818.12 transcript:ENSMUST00000181586.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox4i1 description:cytochrome c oxidase subunit IV isoform 1 [Source:MGI Symbol;Acc:MGI:88473] MLASRALSLIGKRAISTSVCLRAHGSVVKSEDYAFPTYADRRDYPLPDVAHVTMLSASQK ALKEKEKADWSSLSRDEKVQLYRIQFNESFAEMNRGTNEWKTVVGMAMFFIGFTALVLIW EKSYVYGPIPHTFDRDWVAMQTKRMLDMKANPIQGFSAKWDYDKNEWKK >ENSMUSP00000138063.1 pep:known chromosome:GRCm38:8:120668308:120674032:1 gene:ENSMUSG00000031818.12 transcript:ENSMUST00000181795.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox4i1 description:cytochrome c oxidase subunit IV isoform 1 [Source:MGI Symbol;Acc:MGI:88473] MLSASQKALKEKEKADWSSLSRDEKVQLYRIQFNESFAEMNRGTNEWKTVVGMAMFFIGF TALVLIWEKSYVYGPIPHTFDRDWVAMQTKRM >ENSMUSP00000138053.1 pep:known chromosome:GRCm38:8:120668336:120674045:1 gene:ENSMUSG00000031818.12 transcript:ENSMUST00000181847.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cox4i1 description:cytochrome c oxidase subunit IV isoform 1 [Source:MGI Symbol;Acc:MGI:88473] MLASRALSLIGKRAISTSVCLRAHALRPRSRDGCLGLVFMCNVALSSRRLSTYLMLQVGT MPVLA >ENSMUSP00000101916.2 pep:known chromosome:GRCm38:4:119656607:119658781:-1 gene:ENSMUSG00000032978.14 transcript:ENSMUST00000106309.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guca2b description:guanylate cyclase activator 2b (retina) [Source:MGI Symbol;Acc:MGI:1270851] MRVAGLVSSALLGSQHSHSVEGTLEEPRGNSVAPCLCSPTWANHRMDSLYHGFQVQLESV KKLNELEEKEMSNPQPRRSGLLPAVCHNPALPLDLQPVCASQEAASTFKALRTIATDECE LCINVACTGC >ENSMUSP00000043203.7 pep:known chromosome:GRCm38:4:119656607:119658954:-1 gene:ENSMUSG00000032978.14 transcript:ENSMUST00000044426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guca2b description:guanylate cyclase activator 2b (retina) [Source:MGI Symbol;Acc:MGI:1270851] MSRSQLWAAVVLLLLLQSAQGVYIKYHGFQVQLESVKKLNELEEKEMSNPQPRRSGLLPA VCHNPALPLDLQPVCASQEAASTFKALRTIATDECELCINVACTGC >ENSMUSP00000120810.1 pep:known chromosome:GRCm38:12:73286779:73354049:1 gene:ENSMUSG00000044712.15 transcript:ENSMUST00000140523.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a6 description:solute carrier family 38, member 6 [Source:MGI Symbol;Acc:MGI:3648156] MQASRHSIQAEPGWYVSAQQPEEAVAADEWSPLLSNEPHRQGSSGASFGLSVFNVMNAIM GSGILGLAYVMANTGILGFSFLLLFVALLASYSVHLLLAMCIHTAVTSYEDLGLFAFGLP GKVVVAGTIIIQNIGAMSSYLLIIKTELPAAISEFLPSDHSGSWYLDGQMLLIIICVGIV FPLSLLPKIGFLGYTSSLSFFFMVFFALVVVIKKWAVPCPVTLDCINEVFQISNATDDCK PKLFHFSKESVYAIPTMAFSFLCHTSVLPIYCELQSPSKKRMQNVTNTAIALSFLVYFVS ALFGYLTFYDKVESELLQGYSKYLPHDVIVMAVKLCILFAVLLTAPLIHFPARKALMMIL FSNYPFSWIRHSLTTAALNAIIVVLAIYVPDIRNVFGVVGASTSTCLIFVFPGLFYLKLS REDFLSWKKLGALFLLLTGAVVGSFSLVLIIFDWVNK >ENSMUSP00000118374.1 pep:known chromosome:GRCm38:12:73286790:73319016:1 gene:ENSMUSG00000044712.15 transcript:ENSMUST00000126488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a6 description:solute carrier family 38, member 6 [Source:MGI Symbol;Acc:MGI:3648156] MQASRHSIQAEPGWYVSAQQPEEAVAADEWSPLLSNEPHRQGSSGASFGLSVFNVMNAIM GSGILGLAYVMANTGILGFSFLLLFVALLASYSVHLLLAMCIHTACLGPELTSPRLSTHT AALSTPTAQSL >ENSMUSP00000057153.7 pep:known chromosome:GRCm38:12:73286857:73327878:1 gene:ENSMUSG00000044712.15 transcript:ENSMUST00000058139.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc38a6 description:solute carrier family 38, member 6 [Source:MGI Symbol;Acc:MGI:3648156] MQASRHSIQAEPGWYVSAQQPEEAVAADEWSPLLSNEPHRQGSSGASFGLSVFNVMNAIM GSGILGLAYVMANTGILGFSFLLLFVALLASYSVHLLLAMCIHTACLGPELTSPRLSTHT AALSTPTAQSL >ENSMUSP00000114870.1 pep:known chromosome:GRCm38:12:73286895:73314520:1 gene:ENSMUSG00000044712.15 transcript:ENSMUST00000153941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a6 description:solute carrier family 38, member 6 [Source:MGI Symbol;Acc:MGI:3648156] MQASRHSIQAEPGWYVSAQQPEEAVAADEWSPLLSNEPHRQGSSGASFGLSVFNVMNAIM GSGILGLAYVMANTGILGFSFLLLFVALLASYSVHLLLAMCIHTAVTSYEDLGLFAFGLP GKSCY >ENSMUSP00000124386.1 pep:known chromosome:GRCm38:12:73286908:73319005:1 gene:ENSMUSG00000044712.15 transcript:ENSMUST00000122920.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a6 description:solute carrier family 38, member 6 [Source:MGI Symbol;Acc:MGI:3648156] MQASRHSIQAEPGWYVSAQQPEEAVAADEWSPLLSNEPHRQGSSGASFGLSVFNVMNAIM GSGILGLAYVMANTGILGFSFLLLFVALLASYSVHLLLAMCIHTGGGGRHHNNS >ENSMUSP00000098871.3 pep:known chromosome:GRCm38:12:73287060:73312182:1 gene:ENSMUSG00000044712.15 transcript:ENSMUST00000101313.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a6 description:solute carrier family 38, member 6 [Source:MGI Symbol;Acc:MGI:3648156] MNAIMGSGILGLAYVMANTGILGFSFLLLFVALLASYSVHLLLAMCIHTAVTSYEDLGLF AFGLPGKSCY >ENSMUSP00000072155.5 pep:known chromosome:GRCm38:3:32817546:32938071:1 gene:ENSMUSG00000056900.13 transcript:ENSMUST00000072312.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp13 description:ubiquitin specific peptidase 13 (isopeptidase T-3) [Source:MGI Symbol;Acc:MGI:1919857] MQRRGALFSVPGGGGKMAAGDLGELLVPHMPTIRVPRSGDRVYKNECAFSYDSPNSEGGL YVCMNTFLAFGREHVERHFRKTGQSVYMHLKRHMREKVRGASGGALPKRRNSKIFLDLDM DDDLNSDDYEYEDEAKLVIFPDHYEIALPNIEELPALVTIACDAVLSSKSPYRKQDPDTW ENEVPVSKYANNLVQLDNGVRIPPSGWKCARCDLRENLWLNLTDGSVLCGKWFFDSSGGN GHALEHYRDMGYPLAVKLGTITPDGADVYSFQEEGPVSDPHLAKHLAHFGIDMLHTQGTE NGLRDNDIKPRVSEWEVIQESGTKLKPMYGPGYTGLKNLGNSCYLSSVMQAIFSIPEFQR AYVGNLPRIFDYSPLDPTQDFNTQMTKLGHGLLSGQYSKPPVKSELIEQVMKEEHKPQQN GISPRMFKAFVSKSHPEFSSNRQQDAQEFFLHLVNLVERNRIGSENPSDVFRFLVEERIQ CCQTRKVRYTERVDYLMQLPVAMEAATNKDELITYELMRREAEANRRPLPELVRAKIPFS ACLQAFAEPDNVDDFWSSALQAKSAGVKTSRFASFPEYLVVQIKKFTFGLDWVPRKFDVS IDMPDLLDISHLRARGLQPGEEELPDISPPIVIPDDSKDRLMNQLIDPSDIDESSVMQLA EMGFPLEACRKAVYFTGNTGAEVAFNWIIVHMEEPDFAEPLAIPGYGGAGASVFGATGLD NQPPEEIVAIITSMGFQRNQAVQALQATNHNLERALDWIFSHPEFEEDSDFVIEMENNAN ANIVSEAKPEGPRVKDGSGMYELFAFISHMGTSTMSGHYVCHIKKEGRWVIYNDHKVCAS ERPPKDLGYMYFYRRIPS >ENSMUSP00000103863.1 pep:known chromosome:GRCm38:3:32817571:32933286:1 gene:ENSMUSG00000056900.13 transcript:ENSMUST00000108228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp13 description:ubiquitin specific peptidase 13 (isopeptidase T-3) [Source:MGI Symbol;Acc:MGI:1919857] MQRRGALFSVPGGGGKMAAGDLGELLVPHMPTIRVPRSGDRVYKNECAFSYDSPNSEGGL YVCMNTFLAFGREHVERHFRKTGQSVYMHLKRHMREVRGASGGALPKRRNSKIFLDLDMD DDLNSDDYEYEDEAKLVIFPDHYEIALPNIEELPALVTIACDAVLSSKSPYRKQDPDTWE NEVPVSKYANNLVQLDNGVRIPPSGWKCARCDLRENLWLNLTDGSVLCGKWFFDSSGGNG HALEHYRDMGYPLAVKLGTITPDGADVYSFQEEGPVSDPHLAKHLAHFGIDMLHTQGTEN GLRDNDIKPRVSEWEVIQESGTKLKPMYGPGYTGLKNLGNSCYLSSVMQAIFSIPEFQRA YVGNLPRIFDYSPLDPTQDFNTQMTKLGHGLLSGQYSKPPVKSELIEQVMKEEHKPQQNG ISPRMFKAFVSKSHPEFSSNRQQDAQEFFLHLVNLVERNRIGSENPSDVFRFLVEERIQC CQTRKVRYTERVDYLMQLPVAMEAATNKDELITYELMRREAEANRRPLPELVRAKIPFSA CLQAFAEPDNVDDFWSSALQAKSAGVKTSRFASFPEYLVVQIKKFTFGLDWVPRKFDVSI DMPDLLDISHLRARGLQPGEEELPDISPPIVIPDDSKDRLMNQLIDPSDIDESSVMQLAE MGFPLEACRKAVYFTGNTGAEVAFNWIIVHMEEPDFAEPLAIPGYGGAGASVFGATGLDN QPPEEIVAIITSMGFQRNQAVQALQATNHNLERALDWIFSHPEFEEDSDFVIEMENNANA NIVSEAKPEGPRVKDGSGMYELFAFISHMGTSTMSGHYVCHIKKEGRWVIYNDHKVCASE RPPKDLGYMYFYRRIPS >ENSMUSP00000133823.1 pep:known chromosome:GRCm38:3:32817711:32915033:1 gene:ENSMUSG00000056900.13 transcript:ENSMUST00000172481.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp13 description:ubiquitin specific peptidase 13 (isopeptidase T-3) [Source:MGI Symbol;Acc:MGI:1919857] MQRRGALFSVPGGGGKMAAGDLGELLVPHMPTIRVPRSGDRVYKNECAFSYDSPNSEGGL YVCMNTFLAFGREHVERHFRKTGQSVYMHLKRHMREKVRGASGGALPKRRNSKIFLDLDM DDDLNSDDYEYEDEAKLVIFPDHYEIALPNIEELPALVTIACDAVLSSKSPYRKQDPDTW ENEVPVSKYANNLVQLDNGVRIPPSGWKCARCDLRENLWLNLTDGSVLCGKWFFDSSGGN GHALEHYRDMGYPLAVKLGTITPDGADVYSFQEEGPVSDPHLAKHLAHFGIDMLHTQGTE NGLRDNDIKPRVSEWEVIQESGTKLKPMYGPGYTGLKNLGNSCYLSSVMQAIFSIPEFQR AYVGNLPRIFDYSPLDPTQDFNTQMTKLGHGLLSGQYSKPPVKSELIEQVMKEEHKPQQN GISPRMFKAFVSKSHPEFSSNRQQDAQEFFLHLVNLVERNRIGSENPSDVFRFLVEERIQ CCQTRKVRYTERVDYLMQLPVAMEAATNKDELITYELMRREAEANRRPLPELTY >ENSMUSP00000117605.1 pep:known chromosome:GRCm38:3:32915003:32933294:1 gene:ENSMUSG00000056900.13 transcript:ENSMUST00000156769.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp13 description:ubiquitin specific peptidase 13 (isopeptidase T-3) [Source:MGI Symbol;Acc:MGI:1919857] XLIDPSDIDESSVMQLAEMGFPLEACRKAVYFTGNTGAEVAFNWIIVHMEEPDFAEPLAI PGYGGAGASVFGATGLDNQPPEEIVAIITSMGFQRNQAVQALQATNHNLERALDWIFSHP EFEEDSDFVIEMENNANANIVSEAKPEGPRVKDGSGMYELFAFISHMGTSTMSGHYVCHI KKEGRIPS >ENSMUSP00000136362.1 pep:known chromosome:GRCm38:5:94988626:95026536:1 gene:ENSMUSG00000096742.1 transcript:ENSMUST00000178646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6367 description:predicted gene 6367 [Source:MGI Symbol;Acc:MGI:3704106] MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRQTNLLKAMVAAWPFPCLPV GSLMKKPNLETLQALLDGIDMRLTREFHPRRAKLQVLDMRNMYHAFWNIRADANDSDCKS KTLDEKQLVKVLPRYARRQRLKVIVNLSISSHFNKSKAYFLNWAKQRIGSLYFCCIKMKI WDPPDQVIRDIFNVFDPEHITELELNTDWTLLQLAHFAPYFGHMKNLQKVFLAPLHKNTS PIINITSATEAKCVHKIISQFSQFNCLQHIFMKHVHFLRDYMNQVLG >ENSMUSP00000145027.1 pep:known chromosome:GRCm38:6:30723547:30732714:1 gene:ENSMUSG00000051855.15 transcript:ENSMUST00000141130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mest description:mesoderm specific transcript [Source:MGI Symbol;Acc:MGI:96968] MAPQLITIKILLRLAFTFTDGMWQVF >ENSMUSP00000110780.1 pep:known chromosome:GRCm38:6:30723547:30742574:1 gene:ENSMUSG00000051855.15 transcript:ENSMUST00000115127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mest description:mesoderm specific transcript [Source:MGI Symbol;Acc:MGI:96968] MRSLQAFMHGHWLSQPSHRMREWWVQVGLLAVPLLAAYLHIPPPQLSPALHSWKTSGKFF TYKGLRIFYQDSVGVVGSPEIVVLLHGFPTSSYDWYKIWEGLTLRFHRVIAL >ENSMUSP00000115541.1 pep:known chromosome:GRCm38:6:30731968:30742578:1 gene:ENSMUSG00000051855.15 transcript:ENSMUST00000151777.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mest description:mesoderm specific transcript [Source:MGI Symbol;Acc:MGI:96968] MAPQLITIKILLRLAFTFTDGMWQVFRGEPIDLDQSQRMREWWVQVGLLAVPLLAAYLHI PPPQLSPALHSWKTSGKFFTYKGLRIFYQDSVGVVGSPEIVVLLHGFPTSSYDWYKIWEG LTLRFHRVIALDF >ENSMUSP00000120408.1 pep:known chromosome:GRCm38:6:30733454:30742836:1 gene:ENSMUSG00000051855.15 transcript:ENSMUST00000147400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mest description:mesoderm specific transcript [Source:MGI Symbol;Acc:MGI:96968] MMREWWVQVGLLAVPLLAAYLHIPPPQLSPALHSWKTSGKFFTYKGLRIFYQDSVGVVGS PEIVVLLHGFPTSSYDWYKIWEGLTLRFHRVIALDFLGFGFSDKPRPHQYSIFEQASIVE SLLRHLGLQNRRINLLSHDYGDIVA >ENSMUSP00000119038.2 pep:known chromosome:GRCm38:6:30733506:30748462:1 gene:ENSMUSG00000051855.15 transcript:ENSMUST00000157040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mest description:mesoderm specific transcript [Source:MGI Symbol;Acc:MGI:96968] MREWWVQVGLLAVPLLAAYLHIPPPQLSPALHSWKTSGKFFTYKGLRIFYQDSVGVVGSP EIVVLLHGFPTSSYDWYKIWEGLTLRFHRVIALDFLGFGFSDKPRPHQYSIFEQASIVES LLRHLGLQNRRINLLSHDYGDIVAQELLYRYKQNRSGRLTIKSLCLSNGGIFPETHRPLL LQKLLKDGGVLSPILTRLMNFFVFSRGLTPVFGPYTRPTESELWDMWAVIRNNDGNLVID SLLQYINQRKKFRRRWVGALASVSIPIHFIYGPLDPINPYPEFLELYRKTLPRSTVSILD DHISHYPQLEDPMGFLNAYMGFINSF >ENSMUSP00000129639.2 pep:known chromosome:GRCm38:6:30738050:30748462:1 gene:ENSMUSG00000051855.15 transcript:ENSMUST00000163949.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mest description:mesoderm specific transcript [Source:MGI Symbol;Acc:MGI:96968] MVRRDRLRRMREWWVQVGLLAVPLLAAYLHIPPPQLSPALHSWKTSGKFFTYKGLRIFYQ DSVGVVGSPEIVVLLHGFPTSSYDWYKIWEGLTLRFHRVIALDFLGFGFSDKPRPHQYSI FEQASIVESLLRHLGLQNRRINLLSHDYGDIVAQELLYRYKQNRSGRLTIKSLCLSNGGI FPETHRPLLLQKLLKDGGVLSPILTRLMNFFVFSRGLTPVFGPYTRPTESELWDMWAVIR NNDGNLVIDSLLQYINQRKKFRRRWVGALASVSIPIHFIYGPLDPINPYPEFLELYRKTL PRSTVSILDDHISHYPQLEDPMGFLNAYMGFINSF >ENSMUSP00000117713.2 pep:known chromosome:GRCm38:6:30738053:30748465:1 gene:ENSMUSG00000051855.15 transcript:ENSMUST00000124665.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mest description:mesoderm specific transcript [Source:MGI Symbol;Acc:MGI:96968] MYVLEPRSSSLLKAMQMREWWVQVGLLAVPLLAAYLHIPPPQLSPALHSWKTSGKFFTYK GLRIFYQDSVGVVGSPEIVVLLHGFPTSSYDWYKIWEGLTLRFHRVIALDFLGFGFSDKP RPHQYSIFEQASIVESLLRHLGLQNRRINLLSHDYGDIVAQELLYRYKQNRSGRLTIKSL CLSNGGIFPETHRPLLLQKLLKDGGVLSPILTRLMNFFVFSRGLTPVFGPYTRPTESELW DMWAVIRNNDGNLVIDSLLQYINQRKKFRRRWVGALASVSIPIHFIYGPLDPINPYPEFL ELYRKTLPRSTVSILDDHISHYPQLEDPMGFLNAYMGFINSF >ENSMUSP00000128018.1 pep:known chromosome:GRCm38:14:26118855:26128337:1 gene:ENSMUSG00000072675.12 transcript:ENSMUST00000172038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl2 description:double homeobox B-like 2 [Source:MGI Symbol;Acc:MGI:3710620] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFACCSEESQEQEQDKPRVKEARRSRTHFTKFQTDILIEAFEKNRFPG IVTREKLAQQTGIPESRIHVRCASLCLWQPTCPYHKPLFSRAVDGQSQATHGCPCALDTG CKYLVFPATVWRNSHIRPAES >ENSMUSP00000133830.1 pep:known chromosome:GRCm38:14:26119173:26128528:1 gene:ENSMUSG00000072675.12 transcript:ENSMUST00000174564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl2 description:double homeobox B-like 2 [Source:MGI Symbol;Acc:MGI:3710620] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFACCSEESQEQEQDKPRVKEARRSRTHFTKFQTDILIEAFEKNRFPG IVTREKLAQQTGIPESRIHIWFQNRRARHPDPGQNTQKTPHPPQSSQGPTQKTVGKLAPS KTLTSSASV >ENSMUSP00000134235.1 pep:known chromosome:GRCm38:14:26119811:26126397:1 gene:ENSMUSG00000072675.12 transcript:ENSMUST00000173617.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl2 description:double homeobox B-like 2 [Source:MGI Symbol;Acc:MGI:3710620] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFAC >ENSMUSP00000098373.5 pep:known chromosome:GRCm38:14:26122609:26129763:1 gene:ENSMUSG00000072675.12 transcript:ENSMUST00000100810.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl2 description:double homeobox B-like 2 [Source:MGI Symbol;Acc:MGI:3710620] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFACCSEESQEQEQDKPRVKEARRSRTHFTKFQTDILIEAFEKNRFPG IVTREKLAQQTGIPESRIHIWFQNRRARHPDPGQNTQKTPHPPQSSQGPTQKTVGKLAPS KTLTSSASVILPLSPPHTPNGPLDLSKGRQKQLPGTTLLQSSQVVQQRSDDQNPNKGHLS PTTTPGEQGFHSQPPLQLLTQNRGHNPRESGGLAVPRLEDCTQVPAVNQHFRKLDQNDSS FLQHWDEWFGSMLAEWMPDKEYWSEKAELHPWQVQLRQLASVSPQAHQTP >ENSMUSP00000021778.7 pep:known chromosome:GRCm38:13:13182715:13191923:1 gene:ENSMUSG00000055360.13 transcript:ENSMUST00000021778.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2c5 description:prolactin family 2, subfamily c, member 5 [Source:MGI Symbol;Acc:MGI:1858413] MLPSLIQPCSWILLLLLVNSSLLWKNVASLPMCAMRNDRCFMFFEDTFELAGSLSHNISI EVSELFTEFEKHYSNVPGLRDKSPMRCHTSFLPTPENKEQARHIRYEALLKSGDMILDAW ENPLDYLVSELSTIKNVPDIIISKATDIKKKINAVQNGVNALMSTMNGDEENKNPAWFLQ SDNEDARIRSSYGMISCLDNDFKKVDIYLNILKCYMLKIDNC >ENSMUSP00000115024.1 pep:known chromosome:GRCm38:13:13182716:13191923:1 gene:ENSMUSG00000055360.13 transcript:ENSMUST00000126540.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2c5 description:prolactin family 2, subfamily c, member 5 [Source:MGI Symbol;Acc:MGI:1858413] MHSAFMDLCFLKRGILLLLLVNSSLLWKNVASLPMCAMRNDRCFMFFEDTFELAGSLSHN ISIEVSELFTEFEKHYSNVPGLRDKSPMRCHTSFLPTPENKEQARHIRYEALLKSGDMIL DAWENPLDYLVSELSTIKNVPDIIISKATDIKKKINAVQNGVNALMSTMNGDEENKNPAW FLQSDNEDARIRSSYGMISCLDNDFKKVDIYLNILKCYMLKIDNC >ENSMUSP00000117522.1 pep:known chromosome:GRCm38:13:13182739:13190850:1 gene:ENSMUSG00000055360.13 transcript:ENSMUST00000151144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2c5 description:prolactin family 2, subfamily c, member 5 [Source:MGI Symbol;Acc:MGI:1858413] MLPSLIQPCSWILLLLLVNSSLLWKNVASLPMCAMRNDRCFMFFEDTFELAGSLSHNISI EVSELFTEFEKHYSNVPGLRDKSPMRCHTSFLPTPENKEQARHIRYEALLKSGDMILDAW ENPLDYLVSELSTIKNVPDIIISKATDIKKKINAVQNGVNALMSTMVSSPICVFSLFFL >ENSMUSP00000110484.1 pep:known chromosome:GRCm38:X:53273424:53301501:1 gene:ENSMUSG00000036013.12 transcript:ENSMUST00000114835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam122c description:family with sequence similarity 122, member C [Source:MGI Symbol;Acc:MGI:1921116] MSLNEEEPDTLQNFQLGRGFILNTDVIQETMEVDLGLVPASSSVDISYVRRSNSIPSVNG FGDNSQGFQVGPTRMRRNGSPFLNQHGLLFLPSRSRTSANRIFQIKQEEGMDMAIREAMH ERDMHTAMQISRSTEQCLNLNDNNTVKSSTMRNINPNPFSPVASLPKRPRKNPHHDHLTF >ENSMUSP00000127499.1 pep:known chromosome:GRCm38:X:53273424:53331185:1 gene:ENSMUSG00000036013.12 transcript:ENSMUST00000124137.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam122c description:family with sequence similarity 122, member C [Source:MGI Symbol;Acc:MGI:1921116] MSLNEEEPDTLQNFQLGRGFILNTDVIQETMEVDLGLVPASSSVDISYVRRSNSIPSVNG FGDNSQGFQVGPTRMRRNGSPFLNQHGLLFLPSRSRTSANRIFQIKQEEGMDMAIREAMH ERDMHTAMQISRSTEQCLNLNDNNTVKSSTMRNINPNPFSPVASLPKRPRKNPHHDHLTF >ENSMUSP00000139776.1 pep:known chromosome:GRCm38:X:53273433:53331185:1 gene:ENSMUSG00000036013.12 transcript:ENSMUST00000186314.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam122c description:family with sequence similarity 122, member C [Source:MGI Symbol;Acc:MGI:1921116] MSLNEEEPDTLQNFQLGRGFILNTDVIQETMEVDLGLVPASSSVDISYVRRSNSIPSVNG FGDNSQGFQVGPTRMRRNGSPFLNQHGLLFLPSRSRTSANRIFQIKQEEGMDMAIREAMH ERDMHTAMQISRSTEQCLNLNDNNTVKSSTMRNINPNPFSPVASLPKRPRKNPHHDHLTF >ENSMUSP00000093427.3 pep:known chromosome:GRCm38:X:153006469:153009416:1 gene:ENSMUSG00000067215.5 transcript:ENSMUST00000095755.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp51 description:ubiquitin specific protease 51 [Source:MGI Symbol;Acc:MGI:3588217] MRGTQGAQEMKPELWPEPKPTSENLTSRGSGSYEKVLPSIPAACHTSSSSVCPRRKPRPR PQPRSRSRGGRGLKAPPPPPAKPPPPPPAPPPPPLPKQRSVAWRNSRRRSRPGPRPQTRK SYSSDHGSSRDSDGSENSLLEVGSNKGPTGCCHVESFKVAKNWQRNLRMIYQRFIWSGTP ETRKRKAKSCICQICSTHKNRLHSCLSCVFFGCFTDKHIHIHAETTQHNLAVDLCHGVIY CFMCRDYVYDKDIEKIAKETKEKILGLLSSPTGDASYQQLMASEVEENQLTCESKDQETS LVKPKKKRRKKTMYYTVGFRGLINLGNTCFMNCIVQVLTHIPLLKEFFLSNKHKCMMTSP SLCLVCEMSLLFQAMYSGNQSPHIPYKLLHLIWIHAEHLAGYRQQDAQEFLIAILDVLHR HSRDDGIDQEGNSNCCNCIIDHIFTGSLQSDLTCQVCHGVSTTIDPCWDISLDLPGPYTP GRASSSTSSRDGQKPRVISLTDCLKWFTRPEDLGSSAKIKCSQCQSYQESTKQLTMKKLP IVACFHLKRFEHLGKQRRKINSFISFPLELDMTPFLASTKESIMKGQPLTECVPSENKYS LFAVINHHGTLESGHYTSFVRQEKDQWFSCDDAVVTKATMEELLNSEGYLLFYHRQDIEK E >ENSMUSP00000092148.4 pep:known chromosome:GRCm38:1:132564690:132741757:-1 gene:ENSMUSG00000026442.14 transcript:ENSMUST00000094569.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfasc description:neurofascin [Source:MGI Symbol;Acc:MGI:104753] MARQQAPPWVHIALILFLLSLGGAIEIPMDPSIQNELTQPPTITKQSVKDHIVDPRDNIL IECEAKGNPAPSFHWTRNSRFFNIAKDPRVSMRRRSGTLVIDFRSGGRPEEYEGEYQCFA RNKFGTALSNRIRLQVSKSPLWPKENLDPVVVQEGAPLTLQCNPPPGLPSPVIFWMSSSM EPITQDKRVSQGHNGDLYFSNVMLQDMQTDYSCNARFHFTHTIQQKNPFTLKVLTTRGVA ERTPSFMYPQGTSSSQMVLRGMDLLLECIASGVPTPDIAWYKKGGDLPSNKAKFENFNKA LRITNVSEEDSGEYFCLASNKMGSIRHTISVRVKAAPYWLDEPKNLILAPGEDGRLVCRA NGNPKPTVQWMVNGEPLQSAPPNPNREVAGDTIIFRDTQISSRAVYQCNTSNEHGYLLAN AFVSVLDVPPRMLSARNQLIRVILYNRTRLDCPFFGSPIPTLRWFKNGQGSNLDGGNYHV YENGSLEIKMIRKEDQGIYTCVATNILGKAENQVRLEVKDPTRIYRMPEDQVAKRGTTVQ LECRVKHDPSLKLTVSWLKDDEPLYIGNRMKKEDDSLTIFGVAERDQGSYTCMASTELDQ DLAKAYLTVLADQATPTNRLAALPKGRPDRPRDLELTDLAERSVRLTWIPGDDNNSPITD YVVQFEEDQFQPGVWHDHSRFPGSVNSAVLHLSPYVNYQFRVIAVNEVGSSHPSLPSERY RTSGAPPESNPSDVKGEGTRKNNMEITWTPMNATSAFGPNLRYIVKWRRRETRETWNNVT VWGSRYVVGQTPVYVPYEIRVQAENDFGKGPEPDTIIGYSGEDLPSAPRRFRVRQPNLET INLEWDHPEHPNGILIGYILRYVPFNGTKLGKQMVENFSPNQTKFSVQRADPVSRYRFSL SARTQVGSGEAATEESPAPPNEATPTAAPPTLPPTTVGTTGLVSSTDATALAATSEATTV PIIPTVVPTTVATTIATTTTTTAATTTTTTTESPPTTTAGTKIHETAPDEQSIWNVTVLP NSKWANITWKHNFRPGTDFVVEYIDSNHTKKTVPVKAQAQPIQLTDLFPGMTYTLRVYSR DNEGISSTVITFMTSTAYTNNQADIATQGWFIGLMCAIALLVLILLIVCFIKRSRGGKYP VREKKDVPLGPEDPKEEDGSFDYSDEDNKPLQGSQTSLDGTIKQQESDDSLVDYGEGGEG QFNEDGSFIGQYTVKKDKEETEGNESSEATSPVNAIYSLA >ENSMUSP00000035454.7 pep:known chromosome:GRCm38:1:132569249:132707326:-1 gene:ENSMUSG00000026442.14 transcript:ENSMUST00000043189.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfasc description:neurofascin [Source:MGI Symbol;Acc:MGI:104753] MARQQAPPWVHIALILFLLSLGGAIEIPMDLTQPPTITKQSVKDHIVDPRDNILIECEAK GNPAPSFHWTRNSRFFNIAKDPRVSMRRRSGTLVIDFRSGGRPEEYEGEYQCFARNKFGT ALSNRIRLQVSKSPLWPKENLDPVVVQEGAPLTLQCNPPPGLPSPVIFWMSSSMEPITQD KRVSQGHNGDLYFSNVMLQDMQTDYSCNARFHFTHTIQQKNPFTLKVLTTRGVAERTPSF MYPQGTSSSQMVLRGMDLLLECIASGVPTPDIAWYKKGGDLPSNKAKFENFNKALRITNV SEEDSGEYFCLASNKMGSIRHTISVRVKAAPYWLDEPKNLILAPGEDGRLVCRANGNPKP TVQWMVNGEPLQSAPPNPNREVAGDTIIFRDTQISSRAVYQCNTSNEHGYLLANAFVSVL DVPPRMLSARNQLIRVILYNRTRLDCPFFGSPIPTLRWFKNGQGSNLDGGNYHVYENGSL EIKMIRKEDQGIYTCVATNILGKAENQVRLEVKDPTRIYRMPEDQVAKRGTTVQLECRVK HDPSLKLTVSWLKDDEPLYIGNRMKKEDDSLTIFGVAERDQGSYTCMASTELDQDLAKAY LTVLGRPDRPRDLELTDLAERSVRLTWIPGDDNNSPITDYVVQFEEDQFQPGVWHDHSRF PGSVNSAVLHLSPYVNYQFRVIAVNEVGSSHPSLPSERYRTSGAPPESNPSDVKGEGTRK NNMEITWTPMNATSAFGPNLRYIVKWRRRETRETWNNVTVWGSRYVVGQTPVYVPYEIRV QAENDFGKGPEPDTIIGYSGEDYPRAAPTEVKIRVLNSTAISLQWNRVYSDTVQGQLREY RAYYWRESSLLKNLWVSQKRQQASFPGDRPRGVVARLFPYSNYKLEMVVVNGRGDGPRSE TKEFTTPEGVPSAPRRFRVRQPNLETINLEWDHPEHPNGILIGYILRYVPFNGTKLGKQM VENFSPNQTKFSVQRADPVSRYRFSLSARTQVGSGEAATEESPAPPNEATPTAAYTNNQA DIATQGWFIGLMCAIALLVLILLIVCFIKRSRGGKYPVREKKDVPLGPEDPKEEDGSFDY SDEDNKPLQGSQTSLDGTIKQQESDDSLVDYGEGGEGQFNEDGSFIGQYTVKKDKEETEG NESSEATSPVNAIYSLA >ENSMUSP00000139955.1 pep:known chromosome:GRCm38:1:132570044:132707406:-1 gene:ENSMUSG00000026442.14 transcript:ENSMUST00000187861.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfasc description:neurofascin [Source:MGI Symbol;Acc:MGI:104753] MARQQAPPWVHIALILFLLSLGGAIEIPMDPSIQNELTQPPTITKQSVKDHIVDPRDNIL IECEAKGNPAPSFHWTRNSRFFNIAKDPRVSMRRRSGTLVIDFRSGGRPEEYEGEYQCFA RNKFGTALSNRIRLQVSKSPLWPKENLDPVVVQEGAPLTLQCNPPPGLPSPVIFWMSSSM EPITQDKRVSQGHNGDLYFSNVMLQDMQTDYSCNARFHFTHTIQQKNPFTLKVLTTRGVA ERTPSFMYPQGTSSSQMVLRGMDLLLECIASGVPTPDIAWYKKGGDLPSNKAKFENFNKA LRITNVSEEDSGEYFCLASNKMGSIRHTISVRVKAAPYWLDEPKNLILAPGEDGRLVCRA NGNPKPTVQWMVNGEPLQSAPPNPNREVAGDTIIFRDTQISSRAVYQCNTSNEHGYLLAN AFVSVLDVPPRMLSARNQLIRVILYNRTRLDCPFFGSPIPTLRWFKNGQGSNLDGGNYHV YENGSLEIKMIRKEDQGIYTCVATNILGKAENQVRLEVKDPTRIYRMPEDQVAKRGTTVQ LECRVKHDPSLKLTVSWLKDDEPLYIGNRMKKEDDSLTIFGVAERDQGSYTCMASTELDQ DLAKAYLTVLADQATPTNRLAALPKGRPDRPRDLELTDLAERSVRLTWIPGDDNNSPITD YVVQFEEDQFQPGVWHDHSRFPGSVNSAVLHLSPYVNYQFRVIAVNEVGSSHPSLPSERY RTSGAPPESNPSDVKGEGTRKNNMEITWTPMNATSAFGPNLRYIVKWRRRETRETWNNVT VWGSRYVVGQTPVYVPYEIRVQAENDFGKGPEPDTIIGYSGEDYPRAAPTEVKIRVLNST AISLQWNRVYSDTVQGQLREYRAYYWRESSLLKNLWVSQKRQQASFPGDRPRGVVARLFP YSNYKLEMVVVNGRGDGPRSETKEFTTPEGVPSAPRRFRVRQPNLETINLEWDHPEHPNG ILIGYILRYVPFNGTKLGKQMVENFSPNQTKFSVQRADPVSRYRFSLSARTQVGSGEAAT EESPAPPNEATPTAAPPTLPPTTVGTTGLVSSTDATALAATSEATTVPIIPTVVPTTVAT TIATTTTTTAATTTTTTTESPPTTTAGTKIHETAPDEQSIWNVTVLPNSKWANITWKHNF RPGTDFVVEYIDSNHTKKTVPVKAQAQPIQLTDLFPGMTYTLRVYSRDNEGISSTVITFM TSTAYTNNQADIATQGWFIGLMCAIALLVLILLIVCFIKRSRGGKYPVREKKDVPLGPED PKEEDGSFDYSDEDNKPLQGSQTSLDGTIKQQESDDSLVDYGEGGEGQFNEDGSFIGQYT VKKDKEETEGNESSEATSPVNAIYSLA >ENSMUSP00000132979.1 pep:known chromosome:GRCm38:1:132570334:132741763:-1 gene:ENSMUSG00000026442.14 transcript:ENSMUST00000163770.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfasc description:neurofascin [Source:MGI Symbol;Acc:MGI:104753] MARQQAPPWVHIALILFLLSLGGAIEIPMDLTQPPTITKQSVKDHIVDPRDNILIECEAK GNPAPSFHWTRNSRFFNIAKDPRVSMRRRSGTLVIDFRSGGRPEEYEGEYQCFARNKFGT ALSNRIRLQVSKSPLWPKENLDPVVVQEGAPLTLQCNPPPGLPSPVIFWMSSSMEPITQD KRVSQGHNGDLYFSNVMLQDMQTDYSCNARFHFTHTIQQKNPFTLKVLTNNPYNDSSLRN HPDIYSARGVAERTPSFMYPQGTSSSQMVLRGMDLLLECIASGVPTPDIAWYKKGGDLPS NKAKFENFNKALRITNVSEEDSGEYFCLASNKMGSIRHTISVRVKAAPYWLDEPKNLILA PGEDGRLVCRANGNPKPTVQWMVNGEPLQSAPPNPNREVAGDTIIFRDTQISSRAVYQCN TSNEHGYLLANAFVSVLDVPPRMLSARNQLIRVILYNRTRLDCPFFGSPIPTLRWFKNGQ GSNLDGGNYHVYENGSLEIKMIRKEDQGIYTCVATNILGKAENQVRLEVKDPTRIYRMPE DQVAKRGTTVQLECRVKHDPSLKLTVSWLKDDEPLYIGNRMKKEDDSLTIFGVAERDQGS YTCMASTELDQDLAKAYLTVLGRPDRPRDLELTDLAERSVRLTWIPGDDNNSPITDYVVQ FEEDQFQPGVWHDHSRFPGSVNSAVLHLSPYVNYQFRVIAVNEVGSSHPSLPSERYRTSG APPESNPSDVKGEGTRKNNMEITWTPMNATSAFGPNLRYIVKWRRRETRETWNNVTVWGS RYVVGQTPVYVPYEIRVQAENDFGKGPEPDTIIGYSGEDYPRAAPTEVKIRVLNSTAISL QWNRVYSDTVQGQLREYRAYYWRESSLLKNLWVSQKRQQASFPGDRPRGVVARLFPYSNY KLEMVVVNGRGDGPRSETKEFTTPEGVPSAPRRFRVRQPNLETINLEWDHPEHPNGILIG YILRYVPFNGTKLGKQMVENFSPNQTKFSVQRADPVSRYRFSLSARTQVGSGEAATEESP APPNEATPTAAYTNNQADIATQGWFIGLMCAIALLVLILLIVCFIKRSRGGKYPVREKKD VPLGPEDPKEEDGSFDYSDEDNKPLQGSQTSLDGTIKQQESDDSLVDYGEGGEGQFNEDG SFIGQYTVKKDKEETEGNESSEATSPVNAIYSLA >ENSMUSP00000140480.1 pep:known chromosome:GRCm38:1:132570423:132637091:-1 gene:ENSMUSG00000026442.14 transcript:ENSMUST00000186389.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfasc description:neurofascin [Source:MGI Symbol;Acc:MGI:104753] XTQPPTITKQSVKDHIVDPRDNILIECEAKGNPAPSFHWTRNSRFFNIAKDPRVSMRRRS GTLVIDFRSGGRPEEYEGEYQCFARNKFGTALSNRIRLQVSKSPLWPKENLDPVVVQEGA PLTLQCNPPPGLPSPVIFWMSSSMEPITQDKRVSQGHNGDLYFSNVMLQDMQTDYSCNAR FHFTHTIQQKNPFTLKVLTNNPYNDSSLRNHPDIYSARGVAERTPSFMYPQGTSSSQMVL RGMDLLLECIASGVPTPDIAWYKKGGDLPSNKAKFENFNKALRITNVSEEDSGEYFCLAS NKMGSIRHTISVRVKAAPYWLDEPKNLILAPGEDGRLVCRANGNPKPTVQWMVNGEPLQS APPNPNREVAGDTIIFRDTQISSRAVYQCNTSNEHGYLLANAFVSVLDVPPRMLSARNQL IRVILYNRTRLDCPFFGSPIPTLRWFKNGQGSNLDGGNYHVYENGSLEIKMIRKEDQGIY TCVATNILGKAENQVRLEVKDPTRIYRMPEDQVAKRGTTVQLECRVKHDPSLKLTVSWLK DDEPLYIGNRMKKEDDSLTIFGVAERDQGSYTCMASTELDQDLAKAYLTVLADQATPTNR LAALPKGRPDRPRDLELTDLAERSVRLTWIPGDDNNSPITDYVVQFEEDQFQPGVWHDHS RFPGSVNSAVLHLSPYVNYQFRVIAVNEVGSSHPSLPSERYRTSGAPPESNPSDVKGEGT RKNNMEITWTPMNATSAFGPNLRYIVKWRRRETRETWNNVTVWGSRYVVGQTPVYVPYEI RVQAENDFGKGPEPDTIIGYSGEDYPRAAPTEVKIRVLNSTAISLQWNRVYSDTVQGQLR EYRAYYWRESSLLKNLWVSQKRQQASFPGDRPRGVVARLFPYSNYKLEMVVVNGRGDGPR SETKEFTTPEGVPSAPRRFRVRQPNLETINLEWDHPEHPNGILIGYILRYVPFNGTKLGK QMVENFSPNQTKFSVQRADPVSRYRFSLSARTQVGSGEAATEESPAPPNEATPTAAYTNN QADIATQGWFIGLMCAIALLVLILLIVCFIKRSRGGKYPVREKKDVPLGPEDPKEEDGSF DYSDEDNKPLQGSQTSLDGTIKQQESDDSLVDYGEGGEGQFNEDGSFIGQYTVKKDKEET EGNESSEATSPVNAIYSLA >ENSMUSP00000139520.1 pep:known chromosome:GRCm38:1:132599835:132741797:-1 gene:ENSMUSG00000026442.14 transcript:ENSMUST00000188307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfasc description:neurofascin [Source:MGI Symbol;Acc:MGI:104753] MARQQAPPWVHIALILFLLSLGGAIEIPMDLTQPPTITKQSVKDHIVDPRDNILIECEAK GNPAPSFHWTRNSRFFNIAKDPRVSMRRRSGTLVIDFRSGGRPEEYEGEYQCFARNKFGT ALSNRIRLQVSKSPLWPKENLDPVVVQEGAPLTLQCNPPPGLPSPVIFWMSSSMEPITQD KRVSQGHNGDLYFSNVMLQDMQTDYSCNARFHFTHTIQQKNPFTLKVLTTRGVAERTPSF MYPQGTSSSQMVLRGMDLLLECIASGVPTPDIAWYKKGGDLPSNKAKFENFNKALRITNV SEEDSGEYFCLASNKMGSIRHTISVRVKAAPYWLDEPKNLILAPGEDGRLVCRANGNPKP TVQWMVNGEPLQSAPPNPNREVAGDTIIFRDTQISSRAVYQCNTSNEHGYLLANAFVSVL DVPPRMLSARNQLIRVILYNRTRLDCPFFGSPIPTLRWFKNGQGSNLDGGNYHVYENGSL EIKMIRKEDQGIYTCVATNILGKAENQVRLEVKDPTRIYRMPEDQVAKRGTTVQLECRVK HDPSLKLTVSWLKDDEPLYIGNRMKKEDDSLTIFGVAERDQGSYTCMASTELDQDLAKAY LTVLADQATPTNRLAALPKGRPDRPRDLELTDLAERSVRLTWIPGDDNNSPITDYVVQFE EDQFQPGVWHDHSRFPGSVNSAVLHLSPYVNYQFRVIAVNEVGSSHPSLPSERYRTSGAP PESNPSDVKGEGTRKNNMEITWTPMNATSAFGPNLRYIVKWRRRETRETWNNVTVWGSRY VVGQTPVYVPYEIRVQAENDFGKGPEPDTIIGYSGEDYPRAAPTEVKIRVLNSTAISLQW NRVYSDTVQGQLREYRVRRLSTQPHVTTFLLTRERGSSRGVCVCGGGGVSRDPRSTCGI >ENSMUSP00000134962.1 pep:known chromosome:GRCm38:13:69533746:69615468:1 gene:ENSMUSG00000021595.18 transcript:ENSMUST00000143716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun2 description:NOL1/NOP2/Sun domain family member 2 [Source:MGI Symbol;Acc:MGI:107252] MESLREPLPATLRITGYKSHAKEILHCLKNKYFKELEDLEVDGQKVEVPQPLSWYPEELA WHTNLSRKILRKS >ENSMUSP00000105321.4 pep:known chromosome:GRCm38:13:69612016:69634334:1 gene:ENSMUSG00000021595.18 transcript:ENSMUST00000109699.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun2 description:NOL1/NOP2/Sun domain family member 2 [Source:MGI Symbol;Acc:MGI:107252] MGRRARGRRFQQPPQPEGEEDASDGGRKRGQAGWEGGYPEIVKENKLFEHYYQELKIVPE GEWDQFMESLREPLPATLRITGYKSHAKEILHCLKNKYFKELEDLEVDGQKVEVPQPLSW YPEELAWHTNLSRKILRKSPLLAKFHQFLVSETESGNISRQEAVSMIPPLLLNVEPHHKI LDMCAAPGSKTTQLIEMLHADMSVPFPEGFVIANDVDNKRCYLLVHQAKRLSSPCIMVVN HDASSIPRLTVDVDGRKEILFYDRILCDVPCSGDGTMRKNIDVWKKWTTLNSLQLHGLQL RIATRGAEQLAEGGRMVYSTCSLNPVEDEAVIAALLEKSEGALELADVSAELPGLKWMPG VSQWKVMTRDGQWFADWHEVPQGRHTQIRPTMFPPTDLEKLQAMHLERCLRILPHHQNTG GFFVAVLVKKAPMPWNKRQPKVQNKSAEAREPRVSSHVAATEGNPSDQSELESQMITGAG DSETAHNTENTESNEKKDGVCGPPPSKKMKLFGFKEDPFVFIPEDDPLFPPIEKFYALDP SFPRMNLLTRTTEGKKRQLYMVSKELRNVLLNNSEKMKVINTGIKVWCRNNSGEEFDCAF RLAQEGIYTLYPFINSRIITVSMEDVKTLLTQENPFFRKLSSEAYSQVKDLAKGSVVLKY EPDSANPDTLQCPIVLCGWRGKASIRTFVPKNERLHYLRMMGLEVLGEKKKEGVILTNEN AASPEQPGDEDAKQTAQDPCVPDSVPGCDAAAAEPSR >ENSMUSP00000135455.1 pep:known chromosome:GRCm38:13:69612049:69634046:1 gene:ENSMUSG00000021595.18 transcript:ENSMUST00000176485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun2 description:NOL1/NOP2/Sun domain family member 2 [Source:MGI Symbol;Acc:MGI:107252] MGRRARGRRFQQPPQPEGEEDASDGGRKRGQAGWEGGYPEIVKENKLFEHYYQELKIVPE GEWDQFMESLREPLPATLRITGYKRYPEELAWHTNLSRKILRKSPLLAKFHQFLVSETES GNISRQEAVSMIPPLLLNVEPHHKILDMCAAPGSKTTQLIEMLHADMSVPFPEGFVIAND VDNKRCYLLVHQAKRLSSPCIMVVNHDASSIPRLTVDVDGRKEILFYDRILCDVPCSGDG TMRKNIDVWKKWTTLNSLQLHGLQLRIATRGAEQLAEGGRMVYSTCSLNPVEDEAVIAAL LEKSEGALELADVSAELPGLKWMPGVSQWKVMTRDGQWFADWHEVPQGRHTQIRPTMFPP TDLEKLQAMHLERCLRILPHHQNTGGFFVAVLVKKAPMPWNKRQPKVQNKSAEAREPRVS SHVAATEGNPSDQSELESQMITGAGDSETAHNTENTESNEKKDGVCGPPPSKKMKLFGFK EDPFVFIPEDDPLFPPIEKFYALDPSFPRMNLLTRTTEGKKRQLYMVSKELRNVLLNNSE KMKVINTGIKVWCRNNSGEEFDCAFRLAQEGIYTLYPFINSRIITVSMEDVKTLLTQENP FFRKLSSEAYSQVKDLAKGSVVLKYEPDSANPDTLQCPIVLCGWRGKASIRTFVPKNERL HYLRMMGLEVLGEKKKEGVILTNENAASPEQPGDEDAKQTAQDPCVPDSVPGCDAAAAEP SR >ENSMUSP00000022087.6 pep:known chromosome:GRCm38:13:69612244:69635780:1 gene:ENSMUSG00000021595.18 transcript:ENSMUST00000022087.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun2 description:NOL1/NOP2/Sun domain family member 2 [Source:MGI Symbol;Acc:MGI:107252] MESLREPLPATLRITGYKSHAKEILHCLKNKYFKELEDLEVDGQKVEVPQPLSWYPEELA WHTNLSRKILRKSPLLAKFHQFLVSETESGNISRQEAVSMIPPLLLNVEPHHKILDMCAA PGSKTTQLIEMLHADMSVPFPEGFVIANDVDNKRCYLLVHQAKRLSSPCIMVVNHDASSI PRLTVDVDGRKEILFYDRILCDVPCSGDGTMRKNIDVWKKWTTLNSLQLHGLQLRIATRG AEQLAEGGRMVYSTCSLNPVEDEAVIAALLEKSEGALELADVSAELPGLKWMPGVSQWKV MTRDGQWFADWHEVPQGRHTQIRPTMFPPTDLEKLQAMHLERCLRILPHHQNTGGFFVAV LVKKAPMPWNKRQPKVQNKSAEAREPRVSSHVAATEGNPSDQSELESQMITGAGDSETAH NTENTESNEKKDGVCGPPPSKKMKLFGFKEDPFVFIPEDDPLFPPIEKFYALDPSFPRMN LLTRTTEGKKRQLYMVSKELRNVLLNNSEKMKVINTGIKVWCRNNSGEEFDCAFRLAQEG IYTLYPFINSRIITVSMEDVKTLLTQENPFFRKLSSEAYSQVKDLAKGSVVLKYEPDSAN PDTLQCPIVLCGWRGKASIRTFVPKNERLHYLRMMGLEVLGEKKKEGVILTNENAASPEQ PGDEDAKQTAQDPCVPDSVPGCDAAAAEPSR >ENSMUSP00000057157.3 pep:known chromosome:GRCm38:X:153036166:153037575:-1 gene:ENSMUSG00000047238.4 transcript:ENSMUST00000051484.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageh1 description:melanoma antigen, family H, 1 [Source:MGI Symbol;Acc:MGI:1922875] MPRGRKSRRRRNAKAAEENRNNRKSQASEASETPMAASVAPSTPEEYLSGPEEDTSTLEK ASSTPSEASSTALVQKPVTRSNFQGTKKSLLMSILALIFIMGNSAKEALVWKVLGKLGMQ PGRQHSIFGDPKKVVTEEFVRRGYLIYKPVPRSSPVEYEFFWGPRAHVESSKLKVMHFVA RVRNRCSKDWPCNYDWDSDDDAEVEAILNSGARGYSAP >ENSMUSP00000027992.2 pep:known chromosome:GRCm38:2:21180731:21205365:-1 gene:ENSMUSG00000026679.3 transcript:ENSMUST00000027992.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enkur description:enkurin, TRPC channel interacting protein [Source:MGI Symbol;Acc:MGI:1918483] MDSPCTSESIYNLIPSDLKEPPQHPRYTSLFRATIKNDMKKFKTAMKTMGPAKVEIPSPK DFLKKHSKEKTLPPKKKFNRCSPKKPAVPLRTDHPVMGIQSGKNFINTNAADVIMGVAKK PKPIYVDKRTGDKHDLETSGLFPKYINKKDYGITPEYICKRNEDVKKAQEEYDNYIQENL KKAAMKRLSDEEREAVLQGLKKNWEEVHKEFQSLSVFIDSVPKKIRKQKLEKEMKQLEHD ISVIEKHKIIYIANK >ENSMUSP00000019908.8 pep:known chromosome:GRCm38:10:5811887:5823910:-1 gene:ENSMUSG00000019774.8 transcript:ENSMUST00000019908.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtrf1l description:mitochondrial translational release factor 1-like [Source:MGI Symbol;Acc:MGI:1918830] MRSGFLSGARRLWARRAFSRTPPPSEELLARGGPLRAFLERRVGSEAGGLDAGYPQLAAA ARLLSEKERELRDTESLLHDENEDLKKLAESEIALCQKQITELKHQIISLLVPSEEMDGS DLILEVTAGVGGQEAMLFTSEMFDMYQQYAAFKRWHFETLEYFPSELGGLRHASASVGGP EAYRHMKFEGGVHRVQRVPKTEKQGRIHTSTMTVAILPQPTEIKLVINPKDLRIDTKRAS GAGGQHVNTTDSAVRIVHLPTGIISECQQERSQLKNRELAMKKLRARLYSMHLEEETAKR YNARKIQVGTKGRSEKIRTYNFPQNRVTDHRINKSLHDLESFMQGDCLLDDMIQSLKDCS DYEALVEMISRRD >ENSMUSP00000141735.1 pep:known chromosome:GRCm38:3:10321712:10335681:-1 gene:ENSMUSG00000103392.1 transcript:ENSMUST00000192603.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm38303 description:predicted gene, 38303 [Source:MGI Symbol;Acc:MGI:5611531] MSGNFFIFLLLLVTPGEAKKSFLSFLNIQNTEMLSFTRTEENIVVRSSYKDKQPHSSYLL VKLEDPKVLQLGRRRSSQSSPRGSLTPLMERLTSCIGFPL >ENSMUSP00000077808.3 pep:known chromosome:GRCm38:3:10331734:10335656:-1 gene:ENSMUSG00000058921.3 transcript:ENSMUST00000078748.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a5 description:solute carrier family 10 (sodium/bile acid cotransporter family), member 5 [Source:MGI Symbol;Acc:MGI:2685251] MSGNFFIFLLLLVTPGEAKKSFLSFLNIQNTEMLSFTRTEENIVVRSSYKDKQPHSSYLL VKLEDPKVLQVVNVTKTSLAVTDFTVNLKTFPGETNVTLQLWESEGRQTTLIDELKNVRV RVFRQTDDSLLQAPIHVDSSIFLLVLSMILLNKCAFGCKIEFQVLQTVWKRPLPILLGVV IQFFLMPFCGFLLSQILGLPKAQAFGFVMTCTCPGGGGGYLFALLLEGDVTLAILMTCTS TSLALIMMPVNSYFYSRLLGLAGAFHVPVLKIVSTLLFILMPMSTGVIIKHKMPAKAICL ERVVRPLSLTLMFVGIYLAFRMGLVFLRMANLEVFLLGLLVPALGLLFGYSLAKVYLLPL PVCKTVALETGMLNSFLALAIIQLSFSQPKAHEASVAPFTVAMCSSCEMLLLLLVYKAKR RPSLSTEYEKTPLV >ENSMUSP00000121755.2 pep:known chromosome:GRCm38:3:108739658:108782309:1 gene:ENSMUSG00000049565.16 transcript:ENSMUST00000133931.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aknad1 description:AKNA domain containing 1 [Source:MGI Symbol;Acc:MGI:3584453] MDETDFSEDMTYKQQEDLPYDGDFSQMKMCTSYNFTITNDTSPVSAEVVLAGEDPQEVAS NCEVRQDTALLATWDKMTELVGNRHDKDKQCALASPVPADKEDTSKSHVSDILLHHLSGE QFFRGPGAGYETLPETSNADSLEGSDTLINIISCYAKKYYPKEQIPEFTGQPSPQNGSIN SSKPCCSPGTEEENASPLEEAVAAGKSTHQEDPSFLTRTKGPGDKYKSCLRQTPQRQLPD KANSGDWLRYSQAQVHYQFPDFPKVSPKGKLSSKPLTTANEGGHSPRLTNKSTVVQDNLG TTSGSNRVEKQPEQKWKFPEPSQQIQVQPATHTCQEPLTGLEPEKCCLNLTPTPQKDPFS NSYIFQKISHGKQMCQKLKEQTDQLKNKVQEFSKRIKQDSFCHLQDIRLMNKEHAGSLPG PWGSRGSEVTGLPRGGPQEATSKELSELALKMKQKMEKRGHRRTNCGKFSSSIHEKTLPQ DSALGTDPGPDFCPDPGTGLQSNKCEAYGSKTQNSQRVCEEDPPKEFYYRYNTPGQDDLN HGGGYIFVQPHFLYENKISASSWSKAKWIWSWRVNSEPVQDEPDPAAGKYPKTYMISTSD LATPSPHLCCLGIPRIQSLCPSDNMEEMESKILNSPLEHALRTATILKKNTDQMIRSIAE DLAKAQGWRHQLRYY >ENSMUSP00000125068.1 pep:known chromosome:GRCm38:3:108744393:108775297:1 gene:ENSMUSG00000049565.16 transcript:ENSMUST00000123556.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aknad1 description:AKNA domain containing 1 [Source:MGI Symbol;Acc:MGI:3584453] MDETDFSEDMTYKQQEDLPYDGDFSQMKMCTSYNFTITNDTSPVSAEVVLAGEDPQEVAS NCEVRQDTALLATWDKMTELVGNRHDKDKQCALASPVPADKEDTSKSHVSDILLHHLSGE QFFRGPGAGYETLPETSNADSLEGSDTLINIISCYAKKYYPKEQIPEFTGQPSPQNGSIN SSKPCCSPGTEEENASPLEEAVAAGKSTHQEDPSFLTRTKGPGDKYKSCLRQTPQRQLPD KANSGDWLRYSQAQVHYQFPDFPKVSPKGKLSSKPLTTANEGGHSPRLTNKSTVVQDNLG TTSGSNRVEKQPEQKWKFPEPSQQIQVQPATHTCQEPLTGLEPEKCCLNLTPTPQKDPFS NSYIFQKISHGKQMCQKLKEQTDQLKNKVQEFSKRIKQDSFCHLQDIRLQMRLH >ENSMUSP00000062607.7 pep:known chromosome:GRCm38:2:120299966:120314165:-1 gene:ENSMUSG00000046971.7 transcript:ENSMUST00000054651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g4f description:phospholipase A2, group IVF [Source:MGI Symbol;Acc:MGI:2685493] MPWTLQPKWLAGKGLPLLGAILLRKTEKSEPQWKHRRQETHPYYDLQVKVLRARNIQHTD KLSKADCYVRLWLPTASVSPSQTRTVVNSSDPEWNETFPYQIHGAVKNVLELALYDEDVL DSDNVFSILFDTSTLQLGQPCTKNFTRQQDPKELEVEFTLEKSQTPASEVVTNGVLVAHP CLRIQGTVTGDKTASLGELGSRQIQLAVPGAYEKPQPLQPTSEPGLPVNFTFHVNPVLSP KLHIKLQEQLQVFHSGPSDELEAQTSKMDKASILLSSLPLNEELTKLVDLEEGQQVSLRM KADMSSSGDLDLRLGFDLCDGEQEFLDKRKQVASKALQRVMGLSEALHCDQVPVVAVLGS GGGTRAMTSLYGSLAGLQELGLLDAVTYLSGVSGSSWCISTLYRDPSWSQKALQGPIKYA SERVCSSKIGMLSPKQFEYYSREKRAWESRGHSMSFTDLWGLIIEYFLNQEENPAKLSDQ QETVSQGQNPYPIYASINVHKNISGDDFAEWCEFTPYEVGFPKYGAYVPTELFGSEFFMG RLLHFWPEPRICYLQGMWGSAFAASLYEIFLKLGGLSLSFLDWHRGSVSVTDDWPKLRKQ DPTRLPTRLFTPMSSFSQAVLDIFTSRITCAQTFNFTRGLCMYKDYTARKDFVVSEDAWH SHNYGYPDACPNQLTPMKDFLSLVDGGFAINSPFPLVLQPQRAVDLIVSFDYSLEGPFEV LQVTEKYCRDRGIPFPRIEVDPKDSEDPRECYLFAEAEDPCSPIVLHFPLVNRTFRTHLA PGVERQTAEEKAFGDFIINGPDTAYGMMDFTYEPKEFDRLVTLSRYNVLNNKETIRHALQ LALDRRRQAGGRVGG >ENSMUSP00000068174.8 pep:known chromosome:GRCm38:3:10311956:10331439:-1 gene:ENSMUSG00000027531.16 transcript:ENSMUST00000065938.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impa1 description:inositol (myo)-1(or 4)-monophosphatase 1 [Source:MGI Symbol;Acc:MGI:1933158] MADPWQECMDYAVILARQAGEMIREALKNEMDVMIKSSPADLVTVTDQKVEKMLMSSIKE KYPCHSFIGEESVAAGEKTVFTEQPTWVIDPIDGTTNFVHRFPFVAVSIGFLVNKEMEFG IVYSCVEDKMYTGRKGKGAFCNGQKLQVSQQEDITKSLLVTELGSSRKPETLRIVLSNME KLCSIPIHGIRSVGTAAVNMCLVATGGADAYYEMGIHCWDMAGAGIIVTEAGGVLMDVTG GPFDLMSRRIIAANSITLAKRIAKEIEIIPLQRDDES >ENSMUSP00000113860.1 pep:known chromosome:GRCm38:3:10313954:10331439:-1 gene:ENSMUSG00000027531.16 transcript:ENSMUST00000118410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impa1 description:inositol (myo)-1(or 4)-monophosphatase 1 [Source:MGI Symbol;Acc:MGI:1933158] MADPWQECMDYAVILARQAGEMIREALKNEMDVMIKSSPADLVTVTDQKVEKMLMSSIKE KYPCHSFIGEESVAAGEKTVFTEQPTWVIDPIDGTTNFVHRFPFVAVSIGFLVNKEMEFG IVYSCVEDKMYTGRKGKGAFCNGQKLQVSQQEDITKSLLVTELGSSRKPETLRIVLSNME KLCSIPIHGIRSVGTAAVNMCLVATGGADAYYEMGIHCWDMAGAGIIVTEAGGVLMDVTG EIMPPQSMQGSLLDVCESLQNQTLADGDSGVASATT >ENSMUSP00000141345.1 pep:known chromosome:GRCm38:3:10314865:10331372:-1 gene:ENSMUSG00000027531.16 transcript:ENSMUST00000191670.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impa1 description:inositol (myo)-1(or 4)-monophosphatase 1 [Source:MGI Symbol;Acc:MGI:1933158] MADPWQECMDYAVILARQAGEMIREALKNEMDVMIKSSPADLVTVTDQKVEKMLMSSIKE KYPCHSFIGEESVAAGEKTVFTEQPTWVIDPIDGTTNFVHRFPFVAVSIGFLVNKEMEFG IVYSCVEDKMYTGRKGKGAFCNGQKLQVSQQEESGVLEQLLLICALWQREEQMPIMRWES TAGTWRELASLSPRQAECSWMSRVDRSI >ENSMUSP00000116088.1 pep:known chromosome:GRCm38:3:10326182:10331132:-1 gene:ENSMUSG00000027531.16 transcript:ENSMUST00000128912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impa1 description:inositol (myo)-1(or 4)-monophosphatase 1 [Source:MGI Symbol;Acc:MGI:1933158] MSPALPKPSGLYTIMADPWQECMDYAVILARQAGEMIREALKNEMDVMIKSSPADLVTVT DQKVEKMLMSSIKEKYPCHSFIGEESVAAG >ENSMUSP00000074563.3 pep:known chromosome:GRCm38:2:62693414:63184278:-1 gene:ENSMUSG00000059742.10 transcript:ENSMUST00000075052.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh7 description:potassium voltage-gated channel, subfamily H (eag-related), member 7 [Source:MGI Symbol;Acc:MGI:2159566] MPVRRGHVAPQNTFLGTIIRKFEGQNKKFIIANARVQNCAIIYCNDGFCEMTGFSRPDVM QKPCTCDFLHGPETKRHDIAQIAQALLGSEERKVEVTYYHKNGSTFICNTHIIPVKNQEG VAMMFIINFEYVTDEENAATPERVNPILPVKTVNRKLFGFKFPGLRVLTYRKQSLPQEDP DVVVIDSSKHSDDSVAMKHFKSPTKESCSPSEADDTKALIQPSQCSPLVNISGPLDHSSP KRQWDRLYPDMLQSSSQLTHSRSRESLCSIRRASSVHDIEGFSVHPKNIFRDRHASEDNG RNVKGPFNHIKSSLLGSTSDSNLNKYSTINKIPQLTLNFSDVKTEKKNTSPPSSDKTIIA PKVKERTHNVTEKVTQVLSLGADVLPEYKLQTPRINKFTILHYSPFKAVWDWLILLLVIY TAIFTPYSAAFLLNDREEQKRRECGYSCSPLNVVDLIVDIMFIIDILINFRTTYVNQNEE VVSDPAKIAIHYFKGWFLIDMVAAIPFDLLIFGSGSDETTTLIGLLKTARLLRLVRVARK LDRYSEYGAAVLMLLMCIFALIAHWLACIWYAIGNVERPYLTDKIGWLDSLGTQIGKRYN DSDSSSGPSIKDKYVTALYFTFSSLTSVGFGNVSPNTNSEKIFSICVMLIGSLMYASIFG NVSAIIQRLYSGTARYHMQMLRVKEFIRFHQIPNPLRQRLEEYFQHAWTYTNGIDMNMVL KGFPECLQADICLHLNQTLLQNCKAFRGASKGCLRALAMKFKTTHAPPGDTLVHCGDVLT ALYFLSRGSIEILKDDIVVAILGKNDIFGEMVHLYAKPGKSNADVRALTYCDLHKIQRED LLEVLDMYPEFSDHFLTNLELTFNLRHESAKSQSVNDSEGDTGKLRRRRLSFESEGEKDF SKENSANDADDSTDTIRRYQSSKKHFEERKSRSSSFISSIDDEQKPLFLGTVDSTPRMVK ATRLHGEETMPHSGRIHTEKRSHSCRDITDTHSWEREPARAQPEECSPSGLQRAAWGVSE TESDLTYGEVEQRLDLLQEQLNRLESQMTTDIQAILQLLQKQTTVVPPAYSMVTAGAEYQ RPILRLLRTSHPRASIKTDRSFSPSSQCPEFLDLEKSKLQSKESLSSGRRLNTASEDNLT SLLKQDSDASSELDPRQRKTYLHPIRHPSLPDSSLSTVGILGLHRHVSDPGLPGK >ENSMUSP00000108073.1 pep:known chromosome:GRCm38:2:62786281:63184287:-1 gene:ENSMUSG00000059742.10 transcript:ENSMUST00000112454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh7 description:potassium voltage-gated channel, subfamily H (eag-related), member 7 [Source:MGI Symbol;Acc:MGI:2159566] MPVRRGHVAPQNTFLGTIIRKFEGQNKKFIIANARVQNCAIIYCNDGFCEMTGFSRPDVM QKPCTCDFLHGPETKRHDIAQIAQALLGSEERKVEVTYYHKNGSTFICNTHIIPVKNQEG VAMMFIINFEYVTDEENAATPERVNPILPVKTVNRKLFGFKFPGLRVLTYRKQSLPQEDP DVVVIDSSKHSDDSVAMKHFKSPTKESCSPSEADDTKALIQPSQCSPLVNISGPLDHSSP KRQWDRLYPDMLQSSSQLTHSRSRESLCSIRRASSVHDIEGFSVHPKNIFRDRHASEDNG RNVKGPFNHIKSSLLGSTSDSNLNKYSTINKIPQLTLNFSDVKTEKKNTSPPSSDKTIIA PKVKERTHNVTEKVTQVLSLGADVLPEYKLQTPRINKFTILHYSPFKAVWDWLILLLVIY TAIFTPYSAAFLLNDREEQKRRECGYSCSPLNVVDLIVDIMFIIDILINFRTTYVNQNEE VVSDPAKIAIHYFKGWFLIDMVAAIPFDLLIFGSGSDEVRAA >ENSMUSP00000108071.1 pep:known chromosome:GRCm38:2:62786367:63184170:-1 gene:ENSMUSG00000059742.10 transcript:ENSMUST00000112452.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh7 description:potassium voltage-gated channel, subfamily H (eag-related), member 7 [Source:MGI Symbol;Acc:MGI:2159566] MPVRRGHVAPQNTFLGTIIRKFEGQNKKFIIANARVQNCAIIYCNDGFCEMTGFSRPDVM QKPCTCDFLHGPETKRHDIAQIAQALLGSEERKVEVTYYHKNGSTFICNTHIIPVKNQEG VAMMFIINFEYVTDEENAATPERVNPILPVKTVNRKLFGFKFPGLRVLTYRKQSLPQEDP DVVVIDSSKHSDDSVAMKHFKSPTKESCSPSEADDTKALIQPSQCSPLVNISGPLDHSSP KRQWDRLYPDMLQSSSQLTHSRSRESLCSIRRASSVHDIEGFSVHPKNIFRDRHASEGPF NHIKSSLLGSTSDSNLNKYSTINKIPQLTLNFSDVKTEKKNTSPPSSDKTIIAPKVKERT HNVTEKVTQVLSLGADVLPEYKLQTPRINKFTILHYSPFKAVWDWLILLLVIYTAIFTPY SAAFLLNDREEQKRRECGYSCSPLNVVDLIVDIMFIIDILINFRTTYVNQNEEVVSDPAK IAIHYFKGWFLIDMVAAIPFDLLIFGSGSDEVRAA >ENSMUSP00000130311.1 pep:known chromosome:GRCm38:14:26082245:26096127:1 gene:ENSMUSG00000098463.1 transcript:ENSMUST00000168149.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cphx2 description:cytoplasmic polyadenylated homeobox 2 [Source:MGI Symbol;Acc:MGI:3780272] MLSKNFPGAPETKDNRSKARKRYGSRNSKPRHKFSRDELKRLKQEFAYAPYPDFTTKDEL ARQFQCEVSVIDNWFQNKRARLAPELKSKISAMRRMRRCQDYMRTGHQDTQPPKASGEQY SSCDSVVRSIGRQSIGTVEHQGAAGRESSFRPTNFTFPPVYEQYYMGDQLETQETQYFTF SY >ENSMUSP00000113946.1 pep:known chromosome:GRCm38:7:127296260:127335137:1 gene:ENSMUSG00000030830.18 transcript:ENSMUST00000117762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgal description:integrin alpha L [Source:MGI Symbol;Acc:MGI:96606] MSFRIAGPRLLLLGLQLFAKAWSYNLDTRPTQSFLAQAGRHFGYQVLQIEDGVVVGAPGE GDNTGGLYHCRTSSEFCQPVSLHGSNHTSKYLGMTLATDAAKGSLLACDPGLSRTCDQNT YLSGLCYLFPQSLEGPMLQNRPAYQECMKGKVDLVFLFDGSQSLDRKDFEKILEFMKDVM RKLSNTSYQFAAVQFSTDCRTEFTFLDYVKQNKNPDVLLGSVQPMFLLTNTFRAINYVVA HVFKEESGARPDATKVLVIITDGEASDKGNISAAHDITRYIIGIGKHFVSVQKQKTLHIF ASEPVEEFVKILDTFEKLKDLFTDLQRRIYAIEGTNRQDLTSFNMELSSSGISADLSKGH AVVGAVGAKDWAGGFLDLREDLQGATFVGQEPLTSDVRGGYLGYTVAWMTSRSSRPLLAA GAPRYQHVGQVLLFQAPEAGGRWNQTQKIEGTQIGSYFGGELCSVDLDQDGEAELLLIGA PLFFGEQRGGRVFTYQRRQSLFEMVSELQGDPGYPLGRFGAAITALTDINGDRLTDVAVG APLEEQGAVYIFNGKPGGLSPQPSQRIQGAQVFPGIRWFGRSIHGVKDLGGDRLADVVVG AEGRVVVLSSRPVVDVVTELSFSPEEIPVHEVECSYSAREEQKHGVKLKACFRIKPLTPQ FQGRLLANLSYTLQLDGHRMRSRGLFPDGSHELSGNTSITPDKSCLDFHFHFPICIQDLI SPINVSLNFSLLEEEGTPRDQKGRAMQPILRPSIHTVTKEIPFEKNCGEDKKCEANLTLS SPARSGPLRLMSSASLAVEWTLSNSGEDAYWVRLDLDFPRGLSFRKVEMLQPHSRMPVSC EELTEGSSLLTKTLKCNVSSPIFKAGQEVSLQVMFNTLLNSSWEDFVELNGTVHCENENS SLQEDNSAATHIPVLYPVNILTKEQENSTLYISFTPKGPKTQQVQHVYQVRIQPSAYDHN MPTLEALVGVPWPHSEDPITYTWSVQTDPLVTCHSEDLKRPSSEAEQPCLPGVQFRCPIV FRREILIQVTGTVELSKEIKASSTLSLCSSLSVSFNSSKHFHLYGSKASEAQVLVKVDLI HEKEMLHVYVLSGIGGLVLLFLIFLALYKVGFFKRNLKEKMEADGGVPNGSPPEDTDPLA VPGEETKDMGCLEPLRESDKD >ENSMUSP00000101913.2 pep:known chromosome:GRCm38:7:127296291:127335138:1 gene:ENSMUSG00000030830.18 transcript:ENSMUST00000106306.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgal description:integrin alpha L [Source:MGI Symbol;Acc:MGI:96606] MSFRIAGPRLLLLGLQLFAKAWSYNLDTRPTQSFLAQAGRHFGYQVLQIEDGVVVGAPGE GDNTGGLYHCRTSSEFCQPVSLHGSNHTSKYLGMTLATDAAKGSLLACDPGLSRTCDQNT YLSGLCYLFPQSLEGPMLQNRPAYQECMKGKVDLVFLFDGSQSLDRKDFEKILEFMKDVM RKLSNTSYQFAAVQFSTDCRTEFTFLDYVKQNKNPDVLLGSVQPMFLLTNTFRAINYVVA HVFKEESGARPDATKVLVIITDGEASDKGNISAAHDITRYIIGIGKHFVSVQKQKTLHIF ASEPVEEFVKILDTFEKLKDLFTDLQRRIYAIEGTNRQDLTSFNMELSSSGISADLSKGH AVVGAVGAKDWAGGFLDLREDLQGATFVGQEPLTSDVRGGYLGYTVAWMTSRSSRPLLAA GAPRYQHVGQVLLFQAPEAGGRWNQTQKIEGTQIGSYFGGELCSVDLDQDGEAELLLIGA PLFFGEQRGGRVFTYQRRQSLFEMVSELQGDPGYPLGRFGAAITALTDINGDRLTDVAVG APLEEQGAVYIFNGKPGGLSPQPSQRIQGAQVFPGIRWFGRSIHGVKDLGGDRLADVVVG AEGRVVVLSSRPVVDVVTELSFSPEEIPVHEVECSYSAREEQKHGVKLKACFRIKPLTPQ FQGRLLANLSYTLQLDGHRMRSRGLFPDGSHELSGNTSITPDKSCLDFHFHFPICIQDLI SPINVSLNFSLLEEEGTPRDQKVGRAMQPILRPSIHTVTKEIPFEKNCGEDKKCEANLTL SSPARSGPLRLMSSASLAVEWTLSNSGEDAYWVRLDLDFPRGLSFRKVEMLQPHSRMPVS CEELTEGSSLLTKTLKCNVSSPIFKAGQEVSLQVMFNTLLNSSWEDFVELNGTVHCENEN SSLQEDNSAATHIPVLYPVNILTKEQENSTLYISFTPKGPKTQQVQHVYQVRIQPSAYDH NMPTLEALVGVPWPHSEDPITYTWSVQTDPLVTCHSEDLKRPSSEAEQPCLPGVQFRCPI VFRREILIQVTGTVELSKEIKASSTLSLCSSLSVSFNSSKHFHLYGSKASEAQVLVKVDL IHEKEMLHVYVLSGIGGLVLLFLIFLALYKVGFFKRNLKEKMEADGGVPNGSPPEDTDPL AVPGEETKDMGCLEPLRESDKD >ENSMUSP00000113396.1 pep:known chromosome:GRCm38:7:127296315:127331291:1 gene:ENSMUSG00000030830.18 transcript:ENSMUST00000120857.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgal description:integrin alpha L [Source:MGI Symbol;Acc:MGI:96606] MSFRIAGPRLLLLGLQLFAKAWSYNLDTRPTQSFLAQAGRHFGYQVLQIEDGVVVGAPGE GDNTGGLYHCRTSSEFCQPVSLHGSNHTSKYLGMTLATDAAKGSLLACDPGLSRTCDQNT YLSGLCYLFPQSLEGPMLQNRPAYQECMKGKVDLVFLFDGSQSLDRKDFEKILEFMKDVM RKLSNTSYQFAAVQFSTDCRTEFTFLDYVKQNKNPDVLLGSVQPMFLLTNTFRAINYVVA HVFKEESGARPDATKVLVIITDGEASDKGNISAAHDITRYIIGIGKHFVSVQKQKTLHIF ASEPVEEFVKILDTFEKLKDLFTDLQRRIYAIEGTNRQDLTSFNMELSSSGISADLSKGH AVVGAVGAKDWAGGFLDLREDLQGATFVGQEPLTSDVRGGYLGYTVAWMTSRSSRPLLAA GAPRYQHVGQVLLFQAPEAGGRWNQTQKIEGTQIGSYFGGELCSVDLDQDGEAELLLIGA PLFFGEQRGGRVFTYQRRQSLFEMVSELQGDPGYPLGRFGAAITALTDINGDRLTDVAVG APLEEQGAVYIFNGKPGGLSPQPSQRIQGAQVFPGIRWFGRSIHGVKDLGGDRLADVVVG AEGRVVVLSSRPVVDVVTELSFSPEEIPVHEVECSYSAREEQKHGVKLKACFRIKPLTPQ FQGRLLANLSYTLQLDGHRMRSRGLFPDGSHELSGNTSITPDKSCLDFHFHFPICIQDLI SPINVSLNFSLLEEEGTPRDQKGRAMQPILRPSIHTVTKEIPFEKNCGEDKKCEANLTLS SPARSGPLRLMSSASLAVEWTLSNSGEDAYWVRLDLDFPRGLSFRKVEMLQPHSRMPVSC EELTEGSSLLTKTLKCNVSSPIFKAGQEVSLQVMFNTLLNSSWEDFVELNGTVHCENENS SLQEDNSAATHIPVLYPVNILTKEQENSTLYISFTPKGPKTQQVQHVYQVRIQPSAYDHN MPTLEALVGVPWPHSEDPITYTWSVQTDPLVTCHSEDLKRPSSEAEQPCLPGVQFRCPIV FRREILIQVTGTVELSKEIKASSTLSLCSSLSVSFNSSKHFHLYGSKASEAQVLVKVDLI HEKEMLHVYVLSGIGGLVLLFLIFLALYKVRAFRWLSWGQRRDIGMDQEERAGPGRLRAQ TSLSSLHRPQVQVRETSPSLRLTLAQPGLREERKRRRPYTEDLLIAETALGPVKQRCVIY T >ENSMUSP00000112591.1 pep:known chromosome:GRCm38:7:127309518:127335137:1 gene:ENSMUSG00000030830.18 transcript:ENSMUST00000118405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgal description:integrin alpha L [Source:MGI Symbol;Acc:MGI:96606] MVAGVGGYTVAWMTSRSSRPLLAAGAPRYQHVGQVLLFQAPEAGGRWNQTQKIEGTQIGS YFGGELCSVDLDQDGEAELLLIGAPLFFGEQRGGRVFTYQRRQSLFEMVSELQGDPGYPL GRFGAAITALTDINGDRLTDVAVGAPLEEQGAVYIFNGKPGGLSPQPSQRIQGAQVFPGI RWFGRSIHGVKDLGGDRLADVVVGAEGRVVVLSSRPVVDVVTELSFSPEEIPVHEVECSY SAREEQKHGVKLKACFRIKPLTPQFQGRLLANLSYTLQLDGHRMRSRGLFPDGSHELSGN TSITPDKSCLDFHFHFPICIQDLISPINVSLNFSLLEEEGTPRDQKGRAMQPILRPSIHT VTKEIPFEKNCGEDKKCEANLTLSSPARSGPLRLMSSASLAVEWTLSNSGEDAYWVRLDL DFPRGLSFRKVEMLQPHSRMPVSCEELTEGSSLLTKTLKCNVSSPIFKAGQEVSLQVMFN TLLNSSWEDFVELNGTVHCENENSSLQEDNSAATHIPVLYPVNILTKEQENSTLYISFTP KGPKTQQVQHVYQVRIQPSAYDHNMPTLEALVGVPWPHSEDPITYTWSVQTDPLVTCHSE DLKRPSSEAEQPCLPGVQFRCPIVFRREILIQVTGTVELSKEIKASSTLSLCSSLSVSFN SSKHFHLYGSKASEAQVLVKVDLIHEKEMLHVYVLSGIGGLVLLFLIFLALYKVGFFKRN LKEKMEADGGVPNGSPPEDTDPLAVPGEETKDMGCLEPLRESDKD >ENSMUSP00000131847.1 pep:known chromosome:GRCm38:7:127296410:127333728:1 gene:ENSMUSG00000030830.18 transcript:ENSMUST00000170971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgal description:integrin alpha L [Source:MGI Symbol;Acc:MGI:96606] MSFRIAGPRLLLLGLQLFAKAWSYNLDTRPTQSFLAQAGRHFGYQVLQIEDGVVVGAPGE GDNTGGLYHCRTSSEFCQPVSLHGSNHTSKYLGMTLATDAAKGSLLACDPGLSRTCDQNT YLSGLCYLFPQSLEGPMLQNRPAYQECMKGKVDLVFLFDGSQSLDRKDFEKILEFMKDVM RKLSNTSYQFAAVQFSTDCRTEFTFLDYVKQNKNPDVLLGSVQPMFLLTNTFRAINYVVA HVFKEESGARPDATKVLVIITDGEASDKGNISAAHDITRYIIGIGKHFVSVQKQKTLHIF ASEPVEEFVKILDTFEKLKDLFTDLQRRIYAIEGTNRQDLTSFNMELSSSGISADLSKGH AVVGAVGAKDWAGGFLDLREDLQGATFVGQEPLTSDVRGGYLGYTVAWMTSRSSRPLLAA GAPRYQHVGQVLLFQAPEAGGRWNQTQKIEGTQIGSYFGGELCSVDLDQDGEAELLLIGA PLFFGEQRGGRVFTYQRRQSLFEMVSELQGDPGYPLGRFGAAITALTDINGDRLTDVAVG APLEEQGAVYIFNGKPGGLSPQPSQRIQGAQVFPGIRWFGRSIHGVKDLGGDRLADVVVG AEGRVVVLSSRPVVDVVTELSFSPEEIPVHEVECSYSAREEQKHGVKLKACFRIKPLTPQ FQGRLLANLSYTLQLDGHRMRSRGLFPDGSHELSGNTSITPDKSCLDFHFHFPICIQDLI SPINVSLNFSLLEEEGTPRDQKGRAMQPILRPSIHTVTKEIPFEKNCGEDKKCEANLTLS SPARSGPLRLMSSASLAVEWTLSNSGEDAYWVRLDLDFPRGLSFRKVEMLQPHSRMPVSC EELTEGSSLLTKTLKCNVSSPIFKAGQEVSLQVMFNTLLNSSWEDFVELNGTVHCENENS SLQEDNSAATHIPVLYPVNILTKEQENSTLYISFTPKGPKTQQVQHVYQVRIQPSAYDHN MPTLEALVGVPWPHSEDPITYTWSVQTDPLVTCHSEDLKRPSSEAEQPCLPGVQFRCPIV FRREILIQVTGTVELSKEIKASSTLSLCSSLSVSFNSSKHFHLYGSKASEAQVLVKVDLI HEKEMLHVYVLSGIGGLVLLFLIFLALYKVGFFKRNLKEKMEADGGVPNGSPPEDTDPLA VPGEETKDMGCLEPSGRVTRTKA >ENSMUSP00000099139.3 pep:known chromosome:GRCm38:6:29433276:29461883:1 gene:ENSMUSG00000068699.12 transcript:ENSMUST00000101617.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flnc description:filamin C, gamma [Source:MGI Symbol;Acc:MGI:95557] MMNNSNYSDASGLGLVDEADEMPSTEKDLAEDAPWKKIQQNTFTRWCNEHLKCVGKRLTD LQRDLSDGLRLIALLEVLSQKRMYRKFHPRPNFRQMKLENVSVALEFLEREHIKLVSIDS KAIVDGNLKLILGLIWTLILHYSISMPMWEDEDDEDARKQTPKQRLLGWIQNKVPQLPIT NFNRDWQDGKALGALVDNCAPGLCPDWEAWDPNQPVQNAREAMQQADDWLGVPQVIAPEE IVDPNVDEHSVMTYLSQFPKAKLKPGAPVRSKQLNPKKAIAYGPGIEPQGNTVLQPAHFT VQTVDAGVGEVLVYIEDPEGHTEEAKVVPNNDKDRTYAVSYVPKVAGLHKVTVLFAGQNI ERSPFEVNVGMALGDANKVSARGPGLEPVGNVANKPTYFDIYTAGAGTGDVAVVIVDPQG RRDTVEVALEDKGDNTFRCTYRPVMEGPHTVHVAFAGAPITRSPFPVHVAEACNPNACRA SGRGLQPKGVRVKEVADFKVFTKGAGSGELKVTVKGPKGTEEPVKVREAGDGVFECEYYP VVPGKYVVTITWGGYAIPRSPFEVQVSPEAGAQKVRAWGPGLETGQVGKSADFVVEAIGT EVGTLGFSIEGPSQAKIECDDKGDGSCDVRYWPTEPGEYAVHVICDDEDIRDSPFIAHIQ PAPPDCFPDKVKAFGPGLEPTGCIVDRPAEFTIDARAAGKGDLKLYAQDADGCPIDIKVI PNGDGTFRCSYVPTKPIKHTIIVSWGGVNVPKSPFRVNVGEGSHPERVKVYGPGVEKTGL KANEPTYFTVDCSEAGQGDVSIGIKCAPGVVGPVEADIDFDIIKNDNDTFTVKYTPPGAG HYTIMVLFANQEIPASPFHIKVDPSHDASKVKAEGPGLSRTGVEVGKPTHFTVLTKGAGK AKLDVHFAGAAKGEAVRDFEIIDNHDYSYTVKYTAVQQGNMAVTVTYGGDPVPKSPFVVN VAPPLDLSKVKVQGLNSKVAVGQEQAFSVNTRGAGGQGQLDVRMTSPSRRPIPCKLEPGG GAEAQAVRYMPPEEGPYKVDITYDGHPVPGSPFAVEGVLPPDPSKVCAYGPGLKGGLVGT PAPFSIDTKGAGTGGLGLTVEGPCEAKIECQDNGDGSCAVSYLPTEPGEYTINILFAEAH IPGSPFKATIQPVFDPSKVRASGPGLERGKAGEAATFTVDCSEAGEAELTIEILSDAGVK AEVLIQNNADGTYHITYSPAFPGTYTITIKYGGHPIPKFPTRVHVQPAVDTSGIKVSGPG VEPHGVLREVTTEFTVDARSLTATGGNHVTARVLNPSGAKTDTYVTDNGDGTYRVQYTAY EEGVHLVEVLYDEVAVPKSPFRVGVTEGCDPTRVRAFGPGLEGGLVNKANRFTVETRGAG TGGLGLAIEGPSEAKMSCKDNKDGSCTVEYIPFTPGDYDVNITFGGQPIPGSPFRVPVKD VVDPGKVKCSGPGLGTGVRARVPQTFTVDCSQAGRAPLQVAVLGPTGVAEPVEVRDNGDG THTVHYTPATDGPYTVAVKYADQEVPRSPFKIKVLPSHDASKVRASGPGLNASGIPASLP VEFTIDARDAGQGLLTVQILDPEGKPKKANIRDNGDGTYTVSYLPDMSGRYTITIKYGGD EIPYSPFRIHALPTGDASKCLVTVSIGGHGLGACLGPRIQIGEETVITVDAKAAGKGKVT CTVSTPDGAELDVDVVENHDGTFDIYYTAPEPGKYVITIRFGGEHIPNSPFHVLATEEPV VPVEPLESMLRPFNLVIPFTVQKGELTGEVRMPSGKTARPNITDNKDGTITVRYAPTEKG LHQMGIKYDGNHIPGSPLQFYVDAINSRHVSAYGPGLSHGMVNKPATFTIVTKDAGEGGL SLAVEGPSKAEITCKDNKDGTCTVSYLPTAPGDYSIIVRFDDKHIPGSPFTAKITGDDSM RTSQLNVGTSTDVSLKITEGDLSQLTASIRAPSGNEEPCLLKRLPNRHIGISFTPKEVGE HVVSVRKSGKHVTNSPFKILVGPSEIGDASKVRVWGKGLSEGQTFQVAEFIVDTRNAGYG GLGLSIEGPSKVDINCEDMEDGTCKVTYCPTEPGTYIINIKFADKHVPGSPFTVKVTGEG RMKESITRRRQAPSIATIGSTCDLNLKIPGNWFQMVSAQERLTRTFTRSSHTYTRTERTE ISKTRGGETKREVRVEESTQVGGDPFPAVFGDFLGRERLGSFGSITRQQEGEASSQDMTA QVTSPSGKTEAAEIVEGEDSAYSVRFVPQEMGPHTVTVKYRGQHVPGSPFQFTVGPLGEG GAHKVRAGGTGLERGVAGVPAEFSIWTREAGAGGLSIAVEGPSKAEIAFEDRKDGSCGVS YVVQEPGDYEVSIKFNDEHIPDSPFVVPVASLSDDARRLTVTSLQETGLKVNQPASFAVQ LNGARGVIDARVHTPSGAVEECYVSELDSDKHTIRFIPHENGVHSIDVKFNGAHIPGSPF KIRVGEQSQAGDPGLVSAYGPGLEGGTTGVSSEFIVNTQNAGSGALSVTIDGPSKVQLDC RECPEGHVVTYTPMAPGNYLIAIKYGGPQHIVGSPFKAKVTGPRLSGGHSLHETSTVLVE TVTKSSSSRGASYSSIPKFSSDASKVVTRGPGLSQAFVGQKNSFTVDCSKAGTNMMMVGV HGPKTPCEEVYVKHMGNRVYNVTYTVKEKGDYILIVKWGDESVPGSPFKVNVP >ENSMUSP00000064163.6 pep:known chromosome:GRCm38:6:29433276:29461883:1 gene:ENSMUSG00000068699.12 transcript:ENSMUST00000065090.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flnc description:filamin C, gamma [Source:MGI Symbol;Acc:MGI:95557] MMNNSNYSDASGLGLVDEADEMPSTEKDLAEDAPWKKIQQNTFTRWCNEHLKCVGKRLTD LQRDLSDGLRLIALLEVLSQKRMYRKFHPRPNFRQMKLENVSVALEFLEREHIKLVSIDS KAIVDGNLKLILGLIWTLILHYSISMPMWEDEDDEDARKQTPKQRLLGWIQNKVPQLPIT NFNRDWQDGKALGALVDNCAPGLCPDWEAWDPNQPVQNAREAMQQADDWLGVPQVIAPEE IVDPNVDEHSVMTYLSQFPKAKLKPGAPVRSKQLNPKKAIAYGPGIEPQGNTVLQPAHFT VQTVDAGVGEVLVYIEDPEGHTEEAKVVPNNDKDRTYAVSYVPKVAGLHKVTVLFAGQNI ERSPFEVNVGMALGDANKVSARGPGLEPVGNVANKPTYFDIYTAGAGTGDVAVVIVDPQG RRDTVEVALEDKGDNTFRCTYRPVMEGPHTVHVAFAGAPITRSPFPVHVAEACNPNACRA SGRGLQPKGVRVKEVADFKVFTKGAGSGELKVTVKGPKGTEEPVKVREAGDGVFECEYYP VVPGKYVVTITWGGYAIPRSPFEVQVSPEAGAQKVRAWGPGLETGQVGKSADFVVEAIGT EVGTLGFSIEGPSQAKIECDDKGDGSCDVRYWPTEPGEYAVHVICDDEDIRDSPFIAHIQ PAPPDCFPDKVKAFGPGLEPTGCIVDRPAEFTIDARAAGKGDLKLYAQDADGCPIDIKVI PNGDGTFRCSYVPTKPIKHTIIVSWGGVNVPKSPFRVNVGEGSHPERVKVYGPGVEKTGL KANEPTYFTVDCSEAGQGDVSIGIKCAPGVVGPVEADIDFDIIKNDNDTFTVKYTPPGAG HYTIMVLFANQEIPASPFHIKVDPSHDASKVKAEGPGLSRTGVEVGKPTHFTVLTKGAGK AKLDVHFAGAAKGEAVRDFEIIDNHDYSYTVKYTAVQQGNMAVTVTYGGDPVPKSPFVVN VAPPLDLSKVKVQGLNSKVAVGQEQAFSVNTRGAGGQGQLDVRMTSPSRRPIPCKLEPGG GAEAQAVRYMPPEEGPYKVDITYDGHPVPGSPFAVEGVLPPDPSKVCAYGPGLKGGLVGT PAPFSIDTKGAGTGGLGLTVEGPCEAKIECQDNGDGSCAVSYLPTEPGEYTINILFAEAH IPGSPFKATIQPVFDPSKVRASGPGLERGKAGEAATFTVDCSEAGEAELTIEILSDAGVK AEVLIQNNADGTYHITYSPAFPGTYTITIKYGGHPIPKFPTRVHVQPAVDTSGIKVSGPG VEPHGVLREVTTEFTVDARSLTATGGNHVTARVLNPSGAKTDTYVTDNGDGTYRVQYTAY EEGVHLVEVLYDEVAVPKSPFRVGVTEGCDPTRVRAFGPGLEGGLVNKANRFTVETRGAG TGGLGLAIEGPSEAKMSCKDNKDGSCTVEYIPFTPGDYDVNITFGGQPIPGSPFRVPVKD VVDPGKVKCSGPGLGTGVRARVPQTFTVDCSQAGRAPLQVAVLGPTGVAEPVEVRDNGDG THTVHYTPATDGPYTVAVKYADQEVPRSPFKIKVLPSHDASKVRASGPGLNASGIPASLP VEFTIDARDAGQGLLTVQILDPEGKPKKANIRDNGDGTYTVSYLPDMSGRYTITIKYGGD EIPYSPFRIHALPTGDASKCLVTVSIGGHGLGACLGPRIQIGEETVITVDAKAAGKGKVT CTVSTPDGAELDVDVVENHDGTFDIYYTAPEPGKYVITIRFGGEHIPNSPFHVLACDPLP HVEEPAEMLQMRQPYAPLRPGTCPTHWATEEPVVPVEPLESMLRPFNLVIPFTVQKGELT GEVRMPSGKTARPNITDNKDGTITVRYAPTEKGLHQMGIKYDGNHIPGSPLQFYVDAINS RHVSAYGPGLSHGMVNKPATFTIVTKDAGEGGLSLAVEGPSKAEITCKDNKDGTCTVSYL PTAPGDYSIIVRFDDKHIPGSPFTAKITGDDSMRTSQLNVGTSTDVSLKITEGDLSQLTA SIRAPSGNEEPCLLKRLPNRHIGISFTPKEVGEHVVSVRKSGKHVTNSPFKILVGPSEIG DASKVRVWGKGLSEGQTFQVAEFIVDTRNAGYGGLGLSIEGPSKVDINCEDMEDGTCKVT YCPTEPGTYIINIKFADKHVPGSPFTVKVTGEGRMKESITRRRQAPSIATIGSTCDLNLK IPGNWFQMVSAQERLTRTFTRSSHTYTRTERTEISKTRGGETKREVRVEESTQVGGDPFP AVFGDFLGRERLGSFGSITRQQEGEASSQDMTAQVTSPSGKTEAAEIVEGEDSAYSVRFV PQEMGPHTVTVKYRGQHVPGSPFQFTVGPLGEGGAHKVRAGGTGLERGVAGVPAEFSIWT REAGAGGLSIAVEGPSKAEIAFEDRKDGSCGVSYVVQEPGDYEVSIKFNDEHIPDSPFVV PVASLSDDARRLTVTSLQETGLKVNQPASFAVQLNGARGVIDARVHTPSGAVEECYVSEL DSDKHTIRFIPHENGVHSIDVKFNGAHIPGSPFKIRVGEQSQAGDPGLVSAYGPGLEGGT TGVSSEFIVNTQNAGSGALSVTIDGPSKVQLDCRECPEGHVVTYTPMAPGNYLIAIKYGG PQHIVGSPFKAKVTGPRLSGGHSLHETSTVLVETVTKSSSSRGASYSSIPKFSSDASKVV TRGPGLSQAFVGQKNSFTVDCSKAGTNMMMVGVHGPKTPCEEVYVKHMGNRVYNVTYTVK EKGDYILIVKWGDESVPGSPFKVNVP >ENSMUSP00000047431.7 pep:known chromosome:GRCm38:17:7926000:7934897:1 gene:ENSMUSG00000033450.7 transcript:ENSMUST00000036370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tagap description:T cell activation Rho GTPase activating protein [Source:MGI Symbol;Acc:MGI:3615484] MKLISSLDGSKTLNANNMETLIECQSEGDIKVPPLLTSCESEDSICQLTEIKKRKKVLSW PSLMRKLSPSSDFSGSLEPELKVSLFDQPLSIICGENDTLPRPIQDILTILCLKGPSTEG IFRKAASEKARKELKEGLNCGVSVNLKQLPVHLLAVVFKDFLRGIPLKLLSCDLFEDWMG ALEKPTEEDRIEALKQVAGGLPRPNLLLLRHLLYVLHLISKNAEVNKMDSSNLAICIGPN MLTLKNDQSLSFQAQKDLNNKVKILVEFLIDNCFEIFGENIRTRSRITSDDSLEHTDSSD VSTLQNDSAYDSNDPDVEPTSGAASPNRQLEGPTPTMAGLDTRGQRDTCESSSESSVSMV VRLKSSIVQQDRRFSEPNMSPSRECLVGPTSKQKLARSEDSFTLSQDASCSEGDEAEDPF TEEVFPAVDSKPKRPVDLKIKNWTQGLASPQGHITKAFSRSSPGESLGSSPVPSPSCPKR NFFTRHQSFTTKTDKTKPQREIRKHSMSFSFASHKKVLPRTSSIGSEKSKDFSRDQLQKD LRKESQLSGRIVQENESEIQSQTSLGFSLSGTWALSVDNTFQLVDMRKPGSPPSYEEAIY YQTSGLTAYGGQTVGSMRSRMFKPSTAVPPVPSHHGGDLSEGTPGGHRLSSVTEHWTHSQ TVHVSIETQGRSELHQLRTVSESMQKAKLDCLGPQHSHLVFEADQLCCARESYI >ENSMUSP00000139134.1 pep:known chromosome:GRCm38:14:26167405:26182480:-1 gene:ENSMUSG00000072674.10 transcript:ENSMUST00000184142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac9b description:placenta specific 9b [Source:MGI Symbol;Acc:MGI:3711222] MQALLCALAGLALLRAGTGEWGQGPRDTPGRRAAESPSSPGDLAGSPGCDRHAAVQRRLD IMEETVEKTVEHLEAEVTGLLGLLEELASNLPTGPFSPKPDLLGDDGF >ENSMUSP00000098372.4 pep:known chromosome:GRCm38:14:26167788:26170283:-1 gene:ENSMUSG00000072674.10 transcript:ENSMUST00000100809.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac9b description:placenta specific 9b [Source:MGI Symbol;Acc:MGI:3711222] MEETVEKTVEHLEAEVTGLLGLLEELASNLPTGPFSPKPDLLGDDGF >ENSMUSP00000138913.1 pep:known chromosome:GRCm38:14:26167788:26181854:-1 gene:ENSMUSG00000072674.10 transcript:ENSMUST00000184675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac9b description:placenta specific 9b [Source:MGI Symbol;Acc:MGI:3711222] MEETVEKTVEHLEAEVTGLLGLLEELASNLPTGPFSPKPDLLGDDGF >ENSMUSP00000138869.1 pep:known chromosome:GRCm38:14:26167832:26181856:-1 gene:ENSMUSG00000072674.10 transcript:ENSMUST00000183811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac9b description:placenta specific 9b [Source:MGI Symbol;Acc:MGI:3711222] MEETVEKTVEHLEAEVTGLLGLLEELASNLPTGPFSPKPDLLGDDGF >ENSMUSP00000092389.3 pep:known chromosome:GRCm38:7:18519702:18532269:-1 gene:ENSMUSG00000070798.4 transcript:ENSMUST00000094795.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg25 description:pregnancy-specific glycoprotein 25 [Source:MGI Symbol;Acc:MGI:1891357] MVVSFELFSKVCTSWQRVLLTASILTYWLLPTTARVIIHSLPLQVVEGENVLLHVYNLPE NLLGLAWYRGLLNLKLGIALYSLQYNVSVTGPEHSGRETLHRNGSLWIQNVTQEDTGYYT LRTISKNGKLESNTSMFLQVYSSTFICGHPFFPAKLTIESVPPSVAAGGSVLLRVHNLPE HLQSLFWYKGLIVFNKVEIARYRTAKNSSEPGHAHSGRETVYSNGSLLLQDVTWKDTGFY TLRTLNRYRKMKLAHIYLQVDTPLSLCCDTLDFAQLSIDPVPRYAVEGGSVLLQVHNLPE DLQTFSWYKGVHNTHGFKIAEYSIATKSIISGRAHSRREIGYTDGSLLLQDVTEKDSGLY TLIAIDSNVRVVRAHVQVNVHKLVTQPVVRVTDTTVRVQSSVVFTCFSDNTRISIRWLFN NQNLQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVSLAVMNE >ENSMUSP00000023559.5 pep:known chromosome:GRCm38:16:21761287:21787844:-1 gene:ENSMUSG00000022853.6 transcript:ENSMUST00000023559.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehhadh description:enoyl-Coenzyme A, hydratase/3-hydroxyacyl Coenzyme A dehydrogenase [Source:MGI Symbol;Acc:MGI:1277964] MAEYLRLPHSLAMIRLCNPPVNAISPTVITEVRNGLQKASLDHTVRAIVICGANDNFCAG ADIHGFKSPTGLTLGSLVDEIQRYQKPVVAAIQGVALGGGLELALGCHYRIANAKARVGF PEVMLGILPGARGTQLLPRVVGVPVALDLITSGRHISTDEALKLGILDVVVKSDPVEEAI KFAQTVIGKPIEPRRILNKPVPSLPNMDSVFAEAIAKVRKQYPGRLAPETCVRSVQASVK HPYEVAIKEEAKLFMYLRGSGQARALQYAFFAEKSANKWSTPSGASWKTASAQPVSSVGV LGLGTMGRGIAISFARVGIPVVAVESDPKQLDTAKKIITSTLEKEASKSGQASAKPNLRF SSSTKELSSVDLVIEAVFEDMNLKKKVFAELSALCKPGAFLCTNTSALDVDDIASSTDRP QLVIGTHFFSPAHIMRLLEVIPSRYSSPTTIATVMSLSKRIGKIGVVVGNCYGFVGNRML APYYNQGYFLIEEGSKPEDVDGVLEEFGFRMGPFRVSDLAGLDVGWKVRKGQGLTGPSLP PGTPTRKRGNTRYSPIADMLCEAGRFGQKTGKGWYQYDKPLGRIHKPDPWLSEFLSQYRE THHIKQRSISKEEILERCLYSLINEAFRILEEGMAASPEHIDVIYLHGYGWPRHVGGPMY YAASVGLPTVLEKLQKYYRQNPDIPQLEPSDYLRRLVAQGSPPLKEWQSLAGPHSSKL >ENSMUSP00000043439.10 pep:known chromosome:GRCm38:8:121796313:121829569:-1 gene:ENSMUSG00000040263.16 transcript:ENSMUST00000045884.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc4 description:kelch domain containing 4 [Source:MGI Symbol;Acc:MGI:2384569] MGKKGKKEKKGRGAEKTAAKMEKKVSKRSRKEEEDLEALIAHFQTLDAKKTQVTETPCPP PSPRLNASLSAHPEKDELILFGGEYFNGQKTFMYNELYIYSIRKDTWTKVDIPGPPPRRC AHQAVVVPQGGGQLWVFGGEFASPDGEQFYHYKDLWVLHLATKTWEQIRSTGGPSGRSGH RMVAWKRQLILFGGFHESARDYIYYSDVYTFSLDTFQWSKLSPSGPGPTPRSGCLMAVTP QGSIAIYGGYSKQRVKKDVDKGTQHSDMFLLKPAEGGEGKWAWTRINPSGVKPTARSGFS VAVAPNHQILVFGGVCDEEEEESLEGSFFSDLYIYDSAKSRWFAAQLKGPKSEKKKRRRG KAEDPEGTTEQETGGSSAPEPLEVIKEVVSEDGTVVTIKQVLTPSGLGVQPSPKADDSAS EASSTGQEPCPRSNAMLAVKHGLLYVYGGMFEAGDRQVTLSDLYCLDLHKMEEWKTLVEM DPKSQEWLEESDSEEDSSSDEESADGEDEDQEDSAEEGADPQHPEVARGEQFEEYLSRTE QHWLKLARSHVGPEAKEKKVLKVAQAMAKSCFDDAVQDIAQARH >ENSMUSP00000134361.1 pep:known chromosome:GRCm38:8:121796547:121829480:-1 gene:ENSMUSG00000040263.16 transcript:ENSMUST00000174717.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc4 description:kelch domain containing 4 [Source:MGI Symbol;Acc:MGI:2384569] MGKKGKKEKKGRGAEKTAAKMEKKVSKRSRKEEEDLEALIAHFQTLDAKKTQVTETPCPP PSPRLNASLSAHPEKDELILFGGEYFNGQKTFMYNELYIYSIRKDTWTKVDIPGPPPRRC AHQAVVVPQGGGQLWVFGGEFASPDGEQFYHYKDLWVLHLATKTWEQIRDYIYYSDVYTF SLDTFQWSKLSPSGPGPTPRSGCLMAVTPQGSIAIYGGYSKQRVKKDVDKGTQHSDMFLL KPAEGGEGKWAWTRINPSGVKPTARSGFSVAVAPNHQILVFGGVCDEEEEESLEGSFFSD LYIYDSAKSRWFAAQLKGPKSEKKKRRRGKAEDPEGTTEQETGGSSAPEPLEVIKEVVSE DGTVVTIKQVLTPSGLGVQPSPKADDSASEASSTGQEPCPRSNAMLAVKHGLLYVYGGMF EAGDRQVTLSDLYCLDLHKMEEWKTLVEMDPKSQEWLEESDSEEDSSSDEESADGEDEDQ EDSAEEGADPQHPEVARGEQFEEYLSRTEQHWLKLARSHVGPEAKEKKVLKVAQAMAKSC FDDAVQDIAQARH >ENSMUSP00000134474.1 pep:known chromosome:GRCm38:8:121796547:121829480:-1 gene:ENSMUSG00000040263.16 transcript:ENSMUST00000174665.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhdc4 description:kelch domain containing 4 [Source:MGI Symbol;Acc:MGI:2384569] MGKKGKKEKKGRGAEKTAAKMEKKVSKRSRKEEEDLEALIAHFQTLDAKKTQVTETPCPP PSPRPSCIMNSTSTVSERTPGQKLTSLAHLPGAVLIRLWWYPRVVGSCGSSVGSLHLPMA SSSTITRTSGSCTWPPRPGNRFDQQGVLQVEAGIGWWPGSDS >ENSMUSP00000134487.1 pep:known chromosome:GRCm38:8:121796547:121829480:-1 gene:ENSMUSG00000040263.16 transcript:ENSMUST00000174192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc4 description:kelch domain containing 4 [Source:MGI Symbol;Acc:MGI:2384569] MGKKGKKEKKGRGAEKTAAKMEKKVSKRSRKEETFMYNELYIYSIRKDTWTKVDIPGPPP RRCAHQAVVVPQGGGQLWVFGGEFASPDGEQFYHYKDLWVLHLATKTWEQIRSTGGPSGR SGHRMVAWKRQLILFGGFHESARDYIYYSDVYTFSLDTFQWSKLSPSGPGPTPRSGCLMA VTPQGSIAIYGGYSKQRVKKDVDKGTQHSDMFLLKPAEGGEGKWAWTRINPSGVKPTARS GFSVAVAPNHQILVFGGVCDEEEEESLEGSFFSDLYIYDSAKSRWFAAQLKGPKSEKKKR RRGKAEDPEGTTEQETGGSSAPEPLEVIKEVVSEDGTVVTIKQVLTPSGLGVQPSPKADD SASEASSTGQEPCPRSNAMLAVKHGLLYVYGGMFEAGDRQVTLSDLYCLDLHKMEEWKTL VEMDPKSQEWLEESDSEEDSSSDEESADGEDEDQEDSAEEGADPQHPEVARGEQFEEYLS RTEQHWLKLARSHVGPEAKEKKVLKVAQAMAKSCFDDAVQDIAQARH >ENSMUSP00000134439.1 pep:known chromosome:GRCm38:8:121821303:121829504:-1 gene:ENSMUSG00000040263.16 transcript:ENSMUST00000174255.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhdc4 description:kelch domain containing 4 [Source:MGI Symbol;Acc:MGI:2384569] MGKKGKKEKKGRGAEKTAAKMEKKVSKRSRKEEIRVSTRM >ENSMUSP00000066224.5 pep:known chromosome:GRCm38:17:29268788:29302881:1 gene:ENSMUSG00000052712.16 transcript:ENSMUST00000064709.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC004004 description:cDNA sequence BC004004 [Source:MGI Symbol;Acc:MGI:2136782] MDLAANEISIYDKLSETVDLVRQTGHQCGMSEKAIEKFIRQLLEKNEPQRGPPQYPLLIA VYKVLLTLGLILFTAYFVIQPFSSLAPEPVLSGANSWRSLVHHIRLVSLPITKKYMPENK GVPLQGSQEDKPFPDFDPWSSYNCEQNESEPIPANCTGCAQILPLKVTLPEDTPKNFERL RPLVIKTGQPLSSAEIQSFSCQYPEVTEGFTEGFFTKWWRCFPERWFPFPYPWRRPLNRS QILRELFPVFTQLPFPKDSSLNKCFLIQPEPVVGSKMHKVHDLFTLGSGEAMLQLIPPFQ CRTHCQSVAMPIESGDIGYADAAHWKVYIVARGVQPLVICDGTTLSDL >ENSMUSP00000113315.1 pep:known chromosome:GRCm38:17:29268843:29301521:1 gene:ENSMUSG00000052712.16 transcript:ENSMUST00000120346.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC004004 description:cDNA sequence BC004004 [Source:MGI Symbol;Acc:MGI:2136782] MDLAANEISIYDKLSETVDLVRQTGHQCGMSEKAIEKFIRQLLEKNEPQRGPPQYPLLIA VYKVLLTLGLILFTAYFVIQPFSSLAPEPVLSGANSWRSLVHHIRLVSLPITKKYMPENK GVPLQGSQEDKPFPDFDPWSSYNCEQNESEPIPANCTGCAQILPLKVTLPEDTPKNFERL RPLVIKTGQPLSSAEIQSFSCQYPEVTEGFTEGFFTKWWRCFPERWFPFPYPWRRPLNRS QILRELFPVFTQLPFPKDSSLNKCFLIQPEPVVGSKMHKVHDLFTLGSGEAMLQLIPPFQ CRTHCQSVAMPIESGDIGYADAAHWKVYIVARGVQPLVICDGTTLSDL >ENSMUSP00000117309.2 pep:known chromosome:GRCm38:17:29274104:29301525:1 gene:ENSMUSG00000052712.16 transcript:ENSMUST00000149405.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC004004 description:cDNA sequence BC004004 [Source:MGI Symbol;Acc:MGI:2136782] MDLAANEISIYDKLSETVDLVRQTGHQCGMSEKAIEKFIRQLLEKNEPQRGPPQYPLLIA VYKVLLTLGLILFTAYFVIQPFSSLAPEPVLSGANSWRSLVHHIRLVSLPITKKYMPENK GVPLQGSQEDKPFPDFDPWSSYNCEQNESEPIPANCTGCAQILPLKVTLPEDTPKNFERL RPLVIKTGQPLSSAEIQSFSCQYPEVTEGFTEGFFTKWWRCFPERWFPFPYPWRRPLNRS QILRELFPVFTQLPFPKDSSLNKCFLIQPEPVVGSKMHKVHDLFTLGSGEAMLQLIPPFQ CRTHCQSVAMPIESGDIGYADAAHWKVYIVARGVQPLVICDGTTLSDL >ENSMUSP00000103448.2 pep:known chromosome:GRCm38:11:94328001:94341841:1 gene:ENSMUSG00000020864.13 transcript:ENSMUST00000107818.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd40 description:ankyrin repeat domain 40 [Source:MGI Symbol;Acc:MGI:1918702] MSALLEQKEQQERLREAAALGDIREVQKLVESGVDVNSQNEVNGWTCLHWACKRNHGQVV SYLLQSGADREILTTKGEMPVQLTSRREIRKIMGVEEADEEEEIPQLKKESELPFVPNYL ANPAFPFIYTPAAEDSTQLQNGGPSPPPVSPPADSSPPLLPPTETPLLGAFPRDHSSLAL VQNGDISAPSAILRTPESTKPGPVCQPPVSQNRSLFSVPSKPPVSLEPQNGTYAGPAPAF QPFFFTGAFPFNMQELVLKVRIQNPSLRENDFIEIELDRQELTYQELLRVSCCELGVNPD QVEKIRKLPNTLLRKDKDVARLQDFQELELVLMISDNNFLFRNAASTLTERPCYNRRASK LTY >ENSMUSP00000061637.6 pep:known chromosome:GRCm38:11:94328013:94339923:1 gene:ENSMUSG00000020864.13 transcript:ENSMUST00000051221.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd40 description:ankyrin repeat domain 40 [Source:MGI Symbol;Acc:MGI:1918702] MSALLEQKEQQERLREAAALGDIREVQKLVESGVDVNSQNEVNGWTCLHWACKRNHGQVV SYLLQSGADREILTTKGEMPVQLTSRREIRKIMGVEEADEEEEIPQLKKESELPFVPNYL ANPAFPFIYTPAAEDSTQLQNGGPSPPPVSPPADSSPPLLPPTETPLLGAFPRDHSSLAL VQNGDISAPSAILRTPESTKPGPVCQPPVSQNRSLFSVPSKPPVSLEPQNGTYAGPAPAF QPFFFTGAFPFNMQELVLKVRIQNPSLRENDFIEIELDRQELTYQELLRVSCCELGVNPD QVEKIRKLPNTLLRKKRCIHTD >ENSMUSP00000021227.5 pep:known chromosome:GRCm38:11:94328254:94339509:1 gene:ENSMUSG00000020864.13 transcript:ENSMUST00000021227.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd40 description:ankyrin repeat domain 40 [Source:MGI Symbol;Acc:MGI:1918702] MSALLEQKEQQERLREAAALGDIREVQKLVESGVDVNSQNEVNGWTCLHWACKRNHGQVV SYLLQSGADREILTTKGEMPVQLTSRREIRKIMGVEEADEEEEIPQLKKESELPFVPNYL ANPAFPFIYTPAAEDSTQLQNGGPSPPPVSPPADSSPPLLPPTETPLLGAFPRDHSSLAL VQNGDISAPSAILRTPESTKPGPVCQPPVSQNRSLFSVPSKPPVSLEPQNGTYAGPAPAF QPFFFTGAFPFNMQELVLKVRIQNPSLRENDFIEIELDRQELTYQELLRVSCCELGVNPD QVEKIRKLPNTLLRKIKDEPRPWN >ENSMUSP00000120419.1 pep:known chromosome:GRCm38:11:94333453:94334583:1 gene:ENSMUSG00000020864.13 transcript:ENSMUST00000149867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd40 description:ankyrin repeat domain 40 [Source:MGI Symbol;Acc:MGI:1918702] MPVQLTSRREIRKIMGVEEADEEEEIPQLKKESELPFVPNYLANPAFPFIYTPAAEDSTQ LQNGGPSP >ENSMUSP00000005669.7 pep:known chromosome:GRCm38:7:26061497:26096197:1 gene:ENSMUSG00000040583.8 transcript:ENSMUST00000005669.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2b13 description:cytochrome P450, family 2, subfamily b, polypeptide 13 [Source:MGI Symbol;Acc:MGI:88599] MDPSVLLLLAVLLSLFLLLVRGHAKIHGHLPPGPRPLPLLGNLLQMDRGGLLKCFIHLQE KHGDVFTVHLGPRPVVVLCGTQTIREALVNNAEAFSGRGTIAAAQLVMQDYGIFFSSGER WKTLRRFSLATMKEFGMGKRSVEERIKEEAQCLVEELKKYQGAPLDPTFFFQCVTANIIC SIVFGERFDYTDEQFLRLLNLMYQIYSLLRSFSCQMFELFSGLLKYFPGVHRQIAKNQQE ILNFITHRVEKHRATLDPSEPRDFIDTYLLRMEKEKSNHNTEFHHQNLMMSVLSLFFAGT ETTSTTLCCGFLLMLMYPHVAEKVQKEIDQVIGSHRLPTLDDRTKMPYTDAVIHEIQRFS DIVPTGAPHRVTKDTMFRGYLLPKNTEVYPILSSALHDPQYFEQPDSFNPDHFLDANGAL KKSEAFLPFSTGKRICLGESIARNELFLFFTSILQNFSVASPVASKDIDLTPKESGIGKI PPTYQICFLAR >ENSMUSP00000022418.7 pep:known chromosome:GRCm38:14:26203369:26207041:-1 gene:ENSMUSG00000021867.16 transcript:ENSMUST00000022418.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem254b description:transmembrane protein 254b [Source:MGI Symbol;Acc:MGI:3710397] MGTATGAGYFQRGSLFWFTVITVSFGYYTWAVFWPQSIPYQSLGPLGPFTKYLVDHYHTF LRNGYWLAWLIHVGESLYALVLCKRKGITDVQAQLLWFLQTFLFGVASLSILIAYRSKRQ KHN >ENSMUSP00000098369.4 pep:known chromosome:GRCm38:14:26203539:26206619:-1 gene:ENSMUSG00000021867.16 transcript:ENSMUST00000100806.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem254b description:transmembrane protein 254b [Source:MGI Symbol;Acc:MGI:3710397] MVVAKSEARRDPTAYFRVARLWPSLITALGLGYFAWAVFWPQSIPYQSLGPLGPFTKYLV DHYHTFLRNGYWLAWLIHVGESLYALVLCKRKGITDVQAQLLWFLQTFLFGVASLSILIA YRSKRQKHN >ENSMUSP00000138943.1 pep:known chromosome:GRCm38:14:26204119:26207012:-1 gene:ENSMUSG00000021867.16 transcript:ENSMUST00000183976.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem254b description:transmembrane protein 254b [Source:MGI Symbol;Acc:MGI:3710397] MGTATGAGYFQRGSLFWFTVITVSFGYYTWAVFWPQSIPYQSLGPLGPFTKYLVDHYHTF LRNG >ENSMUSP00000087414.6 pep:known chromosome:GRCm38:17:37001163:37010632:1 gene:ENSMUSG00000036036.15 transcript:ENSMUST00000089968.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp57 description:zinc finger protein 57 [Source:MGI Symbol;Acc:MGI:99204] MAARKQPSRTPVSYEDVAVSFTQEEWEYLTSTQKTLYQKVMSETFKNLTFVGSKKKPQEP SSDLQDKNEEQEKSSSCTGVFKGGPFFFCLTCGKCFKKNTFLFNHQFPVRSRRLAVTNPQ SRKGKGYKAQHRGERPFFCNFCGKTYRDASGLSRHRRAHLGYRPRSCPECGKCFRDQSEV NRHLKVHQNKPAASNQAGNQASNQRLKSRVPPTTPRSQAPALKYVKVIQGPVARAKARNS GASTLNVRSNSITVVRSREKISCPYCHITFTMRTCLLTHLKIHFRRQPNQHFCCKESAHS SNTLRMQKIYTCPVCDSSFRGKESLLDHLCCQRPIRFSKCWEILGHLLGYLHEPVVLGNI FKVRDSSGKRMESRRRRRKRACTENPETEGLSGKGRVAPWEMEGATSPESPVTEEDSD >ENSMUSP00000134116.1 pep:known chromosome:GRCm38:17:37002482:37009442:1 gene:ENSMUSG00000036036.15 transcript:ENSMUST00000172527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp57 description:zinc finger protein 57 [Source:MGI Symbol;Acc:MGI:99204] MAARKQSSQPSRTPVSYEDVAVSFTQEEWEYLTSTQKTLYQKVMSETFKNLTFVGSKKKP QE >ENSMUSP00000065811.7 pep:known chromosome:GRCm38:17:37002525:37010632:1 gene:ENSMUSG00000036036.15 transcript:ENSMUST00000069250.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp57 description:zinc finger protein 57 [Source:MGI Symbol;Acc:MGI:99204] MAARKQSSQPSRTPVSYEDVAVSFTQEEWEYLTSTQKTLYQKVMSETFKNLTFVGSKKKP QEPSSDLQDKNEEQEKSSSCTGVFKGGPFFFCLTCGKCFKKNTFLFNHQFPVRSRRLAVT NPQSRKGKGYKAQHRGERPFFCNFCGKTYRDASGLSRHRRAHLGYRPRSCPECGKCFRDQ SEVNRHLKVHQNKPAASNQAGNQASNQRLKSRVPPTTPRSQAPALKYVKVIQGPVARAKA RNSGASTLNVRSNSITVVRSREKISCPYCHITFTMRTCLLTHLKIHFRRQPNQHFCCKES AHSSNTLRMQKIYTCPVCDSSFRGKESLLDHLCCQRPIRFSKCWEILGHLLGYLHEPVVL GNIFKVRDSSGKRMESRRRRRKRACTENPETEGLSGKGRVAPWEMEGATSPESPVTEEDS D >ENSMUSP00000133460.1 pep:known chromosome:GRCm38:17:37002554:37006081:1 gene:ENSMUSG00000036036.15 transcript:ENSMUST00000174747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp57 description:zinc finger protein 57 [Source:MGI Symbol;Acc:MGI:99204] MAARKQSSQPSRTPVS >ENSMUSP00000135655.1 pep:known chromosome:GRCm38:17:37002596:37009823:1 gene:ENSMUSG00000036036.15 transcript:ENSMUST00000173588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp57 description:zinc finger protein 57 [Source:MGI Symbol;Acc:MGI:99204] MSETFKNLTFVGSKKKPQEPSSDLQDKNEEQEKSSSCTGVFKGGPFFFCLTCGKCFKKNT FLFNHQFPVRSRRLAVTNPQSRKGKGYKAQHRGERPFFCNFCGKTYRDASGLSRHRRAHL GYRPRSCPECGKCFRDQSEVNRHLKV >ENSMUSP00000133582.1 pep:known chromosome:GRCm38:17:37002612:37006066:1 gene:ENSMUSG00000036036.15 transcript:ENSMUST00000173921.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp57 description:zinc finger protein 57 [Source:MGI Symbol;Acc:MGI:99204] MAARKQSSQPS >ENSMUSP00000133894.1 pep:known chromosome:GRCm38:17:37002670:37009746:1 gene:ENSMUSG00000036036.15 transcript:ENSMUST00000172580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp57 description:zinc finger protein 57 [Source:MGI Symbol;Acc:MGI:99204] MAARKQPSRTPVSYEDVAVSFTQEEWEYLTSTQKTLYQKVMSETFKNLTFVGSKKKPQEP SSDLQDKNEEQEKSSSCTGVFKGGPFFFCLTCGKCFKKNTFLFNHQFPVRSRRLAVTNPQ SRKGKGYKAQHRGERPFFCNFCGKTYRDASGLSRHRRAHLG >ENSMUSP00000134418.1 pep:known chromosome:GRCm38:17:37003038:37010635:1 gene:ENSMUSG00000036036.15 transcript:ENSMUST00000174524.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp57 description:zinc finger protein 57 [Source:MGI Symbol;Acc:MGI:99204] MAARKQSSQPSRTPVSYEDVAVSFTQEEWEYLTSTQKTLYQKVMSETFKNLTFVGSKKKP QEPSSDLQDKNEEQEKSSSCTGVFKGGPFFFCLTCGKCFKKNTFLFNHQFPVRSRRLAVT NPQSRKGKGYKAQHRGERPFFCNFCGKTYRDASGLSRHRRAHLGYRPRSCPECGKCFRDQ SEVNRHLKVHQNKPAASNQAGNQASNQRLKSRVPPTTPRSQAPALKYVKVIQGPVARAKA RNSGASTLNVRSNSITVVRSREKISCPYCHITFTMRTCLLTHLKIHFRRQPNQHFCCKES AHSSNTLRMQKIYTCPVCDSSFRGKESLLDHLCCQRPIRFSKCWEILGHLLGYLHEPVVL GNIFKVRDSSGKRMESRRRRRKRACTENPETEGLSGKGRVAPWEMEGATSPESPVTEEDS D >ENSMUSP00000133821.1 pep:known chromosome:GRCm38:17:37003666:37010632:1 gene:ENSMUSG00000036036.15 transcript:ENSMUST00000174672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp57 description:zinc finger protein 57 [Source:MGI Symbol;Acc:MGI:99204] MAARKQSSQPSRTPVSYEDVAVSFTQEEWEYLTSTQKTLYQKVMSETFKNLTFVGSKKKP QEPSSDLQDKNEEQEKSSSCTGVFKGGPFFFCLTCGKCFKKNTFLFNHQFPVRSRRLAVT NPQSRKGKGYKAQHRGERPFFCNFCGKTYRDASGLSRHRRAHLGYRPRSCPECGKCFRDQ SEVNRHLKVHQNKPAASNQAGNQASNQRLKSRVPPTTPRSQAPALKYVKVIQGPVARAKA RNSGASTLNVRSNSITVVRSREKISCPYCHITFTMRTCLLTHLKIHFRRQPNQHFCCKES AHSSNTLRMQKIYTCPVCDSSFRGKESLLDHLCCQRPIRFSKCWEILGHLLGYLHEPVVL GNIFKVRDSSGKRMESRRRRRKRACTENPETEGLSGKGRVAPWEMEGATSPESPVTEEDS D >ENSMUSP00000134024.1 pep:known chromosome:GRCm38:17:37004522:37009715:1 gene:ENSMUSG00000036036.15 transcript:ENSMUST00000172540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp57 description:zinc finger protein 57 [Source:MGI Symbol;Acc:MGI:99204] MAARKQPSRTPVSYEDVAVSFTQEEWEYLTSTQKTLYQKVMSETFKNLTFVGSKKKPQEP SSDLQDKNEEQEKSSSCTGVFKGGPFFFCLTCGKCFKKNTFLFNHQFPVRSRRLAVTNPQ SRKGKGYKAQHRGERPFFCNFCGKTYRDAS >ENSMUSP00000130527.1 pep:known chromosome:GRCm38:7:126101715:126200501:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000168189.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] MASEEASLRALESLMTEFFHDCTTNERKREIEELLNNFAQQVGAWRFCLYFLSSTRNDYV MMYSLTVFENLINKMWLGVPSQDKMEIRSCLPKLLLAHHKTLPYFIRNKLCKVIVDIGRQ DWPMFYHDFFTNILQLIQSPVTTPLGLIMLKTTSEELACPREDLSVARKEELRKLLLDQV QTVLGLLTGILETVWDKHSVTAATPPPSPTSGESGDLLSNLLQSPSSAKLLHQPIPILDV ESEYVCSLALECLAHLFSWIPLSASITPSLLTTIFHFARFGCDTRARKMASVNGSSQNCV LGQERGRLGVLAMSCINELMSKNCVPMEFEEYLLRMFQQTFYLLQKITKDNNAHTVKSRL EELDESYIEKFTDFLRLFVSVHLRRIESYSQFPVVEFLTLLFKYTFHQPTHEGYFSCLDI WTLFLDYLTSKIKSRLGDKEAVLNRYEDALVLLLTEVLNRIQFRYNQAQLEELDDETLDD DQQTEWQRYLRQSLEVVAKVMELLPTHAFSTLFPVLQDNLEVYLGLQQFVVTSGSGHRLN ITAENDCRRLHCSLRDLSSLLQAVGRLAEYFIGDVFAARFNDALTVVERLVKVTLYGSQI KLYNIETAVPSVLKPDLIDVHAQSLAALQAYSHWLAQYCGEAHRQNTQQFVTLISTTMDA ITPLISTKVQDKLLLSACHLLVSLATTVRPVFLISIPAVQKVFNSIIDASAQRLTDKAQV LVCRALSNTLLLPWPNLPESEQQWPLRSINHASLISALSRDYHSLKPSATAPQRKVPLGD TKVIIHQTLSVLEDIVENISGESTKSRQICYQSLQESVQVSLALFPAFIHQSDVTDEMLS FFLTLFRGLRVQMGVPFTEQIIQTFLNMFTREQLAESILHEGSTGCRVVEKFLKILQVVV QEPGQVFKPFLPSIIALCMEQVYPIIAERPSPDVKAELFELLFRTLHHNWRYFFKSTVLA SVQRGIAEEQMENEPQFSAIMQAFGQSFLQPDIHLFKQNLFYLETLNTKQKLYHKKIFRT TMLFQFVNVLLQVLVHKSHDLLQEEIGIAIYNMASVDFDGFFAAFLPEFLTSCDGVDANQ KNVLGRNFKMDRDLPSFTQNVHRLVNDLRYYRLCNDSLPPGTVKL >ENSMUSP00000009344.9 pep:known chromosome:GRCm38:7:126101716:126200408:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000009344.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] MASEEASLRALESLMTEFFHDCTTNERKREIEELLNNFAQQVGAWRFCLYFLSSTRNDYV MMYSLTVFENLINKMWLGVPSQDKMEIRSCLPKLLLAHHKTLPYFIRNKLCKVIVDIGRQ DWPMFYHDFFTNILQLIQSPVTTPLGLIMLKTTSEELACPREDLSVARKEELRKLLLDQV QTVLGLLTGILETVWDKHSVTAATPPPSPTSGESGDLLSNLLQSPSSAKLLHQPIPILDV ESEYVCSLALECLAHLFSWIPLSASITPSLLTTIFHFARFGCDTRARKMASVNGSSQNCV LGQERGRLGVLAMSCINELMSKNCVPMEFEEYLLRMFQQTFYLLQKITKDNNAHTVKSRL EELDESYIEKFTDFLRLFVSVHLRRIESYSQFPVVEFLTLLFKYTFHQPTHEGYFSCLDI WTLFLDYLTSKIKSRLGDKEAVLNRYEDALVLLLTEVLNRIQFRYNQAQLEELDDETLDD DQTEWQRYLRQSLEVVAKVMELLPTHAFSTLFPVLQDNLEVYLGLQQFVVTSGSGHRLNI TAENDCRRLHCSLRDLSSLLQAVGRLAEYFIGDVFAARFNDALTVVERLVKVTLYGSQIK LYNIETAVPSVLKPDLIDVHAQSLAALQAYSHWLAQYCGEAHRQNTQQFVTLISTTMDAI TPLISTKVQDKLLLSACHLLVSLATTVRPVFLISIPAVQKVFNSIIDASAQRLTDKAQVL VCRALSNTLLLPWPNLPESEQQWPLRSINHASLISALSRDYHSLKPSATAPQRKVPLGDT KVIIHQTLSVLEDIVENISGESTKSRQICYQSLQESVQVSLALFPAFIHQSDVTDEMLSF FLTLFRGLRVQMGVPFTEQIIQTFLNMFTREQLAESILHEGSTGCRVVEKFLKILQVVVQ EPGQVFKPFLPSIIALCMEQVYPIIAERPSPDVKAELFELLFRTLHHNWRYFFKSTVLAS VQRGIAEEQMENEPQFSAIMQAFGQSFLQPDIHLFKQNLFYLETLNTKQKLYHKKIFRTT MLFQFVNVLLQVLVHKSHDLLQEEIGIAIYNMASVDFDGFFAAFLPEFLTSCDGVDANQK NVLGRNFKMDRDLPSFTQNVHRLVNDLRYYRLCNDSLPPGTVKL >ENSMUSP00000131299.1 pep:known chromosome:GRCm38:7:126101721:126104808:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000166719.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] FDGFFAAFLPEFLTSCDGVDANQKNVLGRNFKMDREAY >ENSMUSP00000126904.1 pep:known chromosome:GRCm38:7:126101734:126107166:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000171861.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] RYFFKSTVLASVQRGIAEEQMENEPQFSAIMQAFGQSFLQPDIHLFKQNLFYLETLNTKQ KLYHKPFFQSS >ENSMUSP00000129881.1 pep:known chromosome:GRCm38:7:126102346:126107129:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000168564.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] XRGIAEEQMENEPQFSAIMQAFGQSFLQPDIHLFKQNLFYLETLNTKQKLYHKKIFRTTM LFQFVNVLLQVLVHKSHDLLQEEIGIAIYNMASVDFDGFFAAFLPEFLTSCDGVDANQKN VLGRNFKMDRMA >ENSMUSP00000128919.1 pep:known chromosome:GRCm38:7:126104757:126112893:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000165608.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] WPNLPESEQQWPLRSINHASLISALSRDYHSLKPSATAPQRKVPLGDTKVIIHQTLSVLE DIVENISGESTKSRQICYQSLQESVQM >ENSMUSP00000132958.1 pep:known chromosome:GRCm38:7:126108521:126112825:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000165660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] XALSRDYHSLKPSATAPQRKVPLGDTKVIIHQTLSVLEDIVENISGESTKSRQICYQSLQ ESVQVSLALFPAFIHQSGLRVQMGVPFTEQIIQTFLNMFTREQLAESILHEGSTGCRVVE KFLKILQVVVQEPGQ >ENSMUSP00000127323.1 pep:known chromosome:GRCm38:7:126112899:126140662:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000166538.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] GYFSCLDIWTLFLDYLTSKIKSRLGDKEAVLNRTQAEHHSGERLPAFALFPARLELPAAG CGPIS >ENSMUSP00000129658.1 pep:known chromosome:GRCm38:7:126128227:126153035:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000166540.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] XQNCVLGQERGRLGVLAMSCINELMSKNCVPMEFEEYLLRMFQQTFYLLQKITKDNNAHT VKSRLEELDESYIEKFTDFLRLFVSVHLRRIESYSQFPVVEFLTLLFKYTFHQVRRCSGP LAYRGVESNPVQIQPGPTRGAG >ENSMUSP00000132205.1 pep:known chromosome:GRCm38:7:126140643:126161012:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000164741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] XSPVTTPLGLIMLKTTSEELACPREDLSVARKEELRKLLLDQVQTVLGLLTGDLLSNLLQ SPSSAKLLHQPIPILDVESEYVCSLALECLAHLFSWIPLSASITPSLLTTIFHFARFGCD TRARKMASVNGSSQNCVLGQERGRLGVLAMSCINELMSKNCVPMEFEEYLLRMFQQTFYL LQKITKDNNAHTVKSRLEELDESYIEKFTDFLRLFVSVHLRRIESYSQFPVVEFLTLLFK YTFHQPTHEGYFSCL >ENSMUSP00000132462.1 pep:known chromosome:GRCm38:7:126161008:126199928:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000167147.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] MASEEASLRALESLMTEFFHDCTTNERKREIESNQ >ENSMUSP00000128058.1 pep:known chromosome:GRCm38:7:126169268:126200413:-1 gene:ENSMUSG00000000131.15 transcript:ENSMUST00000163959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo6 description:exportin 6 [Source:MGI Symbol;Acc:MGI:2429950] MMYSLTVFENLINKMWLGVPSQDKMEIR >ENSMUSP00000101669.2 pep:known chromosome:GRCm38:4:129189760:129219607:1 gene:ENSMUSG00000028811.11 transcript:ENSMUST00000106054.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yars description:tyrosyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2147627] MQPDGTSVTVPGTRRRRRTRKGRGCRLSAGNRDSGAMGDAPSPEEKLHLITRNLQEVLGE EKLKEILKERELKVYWGTATTGKPHVAYFVPMSKIADFLKAGCEVTILFADLHAYLDNMK APWELLELRTSYYENVIKAMLESIGVPLEKLKFIKGTDYQLSKEYTLDVYRLSSVVTQHD AKKAGAEVVKQVEHPLLSGLLYPGLQALDEEYLKVDAQFGGVDQRKIFTFAEKYLPALGY SKRVHLMNPMVPGLTGSKMSSSEEESKIDLLDRKEDVKKKLKKAFCEPGNVENNGVLSFI KHVLFPLKSEFVILRDEKWGGNKTYTVYLELEKDFAAEVVHPGDLKNSVEVALNKLLDPI REKFNTPALKKLASAAYPDPSKQKPPAKGPAKNSEPEEVIPSRLDIRVGKILSVEKHPDA DSLYVEKIDVGEAEPRTVVSGLVQFVPKEELQDRLVVVLCNLKPQKMRGVDSQGMLLCAS VEGVSRQVEPLDPPAGSAPGERVFVQGYEKGQPDEELKPKKKVFEKLQADFKISEECIAQ WKQTNFMTKLGFVSCKSLKGGNIS >ENSMUSP00000118512.1 pep:known chromosome:GRCm38:4:129197115:129210578:1 gene:ENSMUSG00000028811.11 transcript:ENSMUST00000133992.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Yars description:tyrosyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2147627] XTILFADLHAYLDNMKAPWELLELRTSYYENVIKAMLESIGVPLEKLKFIKGTDYQLSKL CAHVCKYRHTAYRHKCQAGKQQQAHDKGSSEDGESAEHTGCCRESTHWMCTDCPLWSHNT TPRKRGLRL >ENSMUSP00000092388.3 pep:known chromosome:GRCm38:7:18556514:18567305:-1 gene:ENSMUSG00000070797.4 transcript:ENSMUST00000094794.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg27 description:pregnancy-specific glycoprotein 27 [Source:MGI Symbol;Acc:MGI:1891359] MEASSELLSNGCTPWQRVLLTASLFTCWLLSTTARVTIHSPLQVVEGENVLLRVDNLPEN LLAFSWYRGLKNWQLAIALHLLDYNTSMTGPDHSDREILYSNGSLWIQNVTKEDTGYYTL RTISKHGELVSNTSTFLQVYSSHFTCGRPSFPAKLTIESVPPRVAEGGSVFLRVHNLPEY LQLFFWYKGVIMTNKVEIVRHRTLKNLSDPGPAHSGRETVFSNGSLLLQNVTWKDTGFYT LQTLNRYRKMELAHIYLQVDTSLSPCCDTLDSAQLRIDPVTRHATEGESVLFQVYNLPKD PQAFSWYKGVDSNPYFKTVEYSKARYSMLIGQSYSRREIGYLNGSLLLQDLTEKDSGLYT LITIDSNVKVETLHVQINVHKLVTQPVVRVTDSTVRVQSPVVFTCFSDNTGVSIRWLFNN QSLQLTERMSLSPSKCQLRIHTVRKEDAGEYQCEAFNPANSKTSLPVNLAVMNE >ENSMUSP00000101607.2 pep:known chromosome:GRCm38:4:131768457:131838288:-1 gene:ENSMUSG00000028909.17 transcript:ENSMUST00000105987.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpru description:protein tyrosine phosphatase, receptor type, U [Source:MGI Symbol;Acc:MGI:1321151] MARAQALVLALTFQFCAPETETPAAGCTFEEASDPVVPCEFSQAQYDDFQWEQVRIHPGT RTPEDLPHGAYLMVNASQHAPGQRAHIIFQTLSENDTHCVQFSYFLYSRDGHSPGTLGVY VRVNGGPLGSAVWNMTGSHGRQWHQAELAVSTFWPNEYQVLFEALISPDHKGYIGLDDIL LFSYPCAKAPHFSRLGDVEVNAGQNASFQCMAAGRAAEAEHFFLQRQSGVLVPAAGVRHI SHRRFLATFPLASVGRSEQDLYRCVSQAPRGAGVSNFAELIVKEPPTPIAPPQLLRAGPT YLIIQLNTNSIIGDGPIVRKEIEYRMARGPWAEVHAVNLQTYKLWHLDPDTEYEISVLLT RPGDGGTGRPGPPLISRTKCAEPTRAPKGLAFAEIQARQLTLQWEPLGYNVTRCHTYAVS LCYRYTLGGSHNQTIRECVKMERGASRYTIKNLLPFRNIHVRLILTNPEGRKEGKEVTFQ TDEDVPGGIAAESLTFTPLEDMIFLKWEEPQEPNGLITQYEISYQSIESSDPAVNVPGPR RTISKLRNETYHVFSNLHPGTTYLFSVRARTSKGFGQAALTEITTNISAPSFDYADMPSP LGESENTITVLLRPAQGRGAPISVYQVVVEEERPRRLRREPGAQDCFSVPLTFETALARG LVHYFGAELAASSLLEAMPFTVGDNQTYRGFWNPPLEPRKAYLIYFQAASHLKGETRLNC IRIARKAACKESKRPLEVSQRSEEMGLILGICAGGLAVLILLLGAIIVIIRKGKPVNMTK ATVNYRQEKTHMMSAVDRSFTDQSTLQEDERLGLSFMDAPGYSPRGDQRSGGVTEASSLL GGSPRRPCGRKGSPYHTGQLHPAVRVADLLQHINQMKTAEGYGFKQEYESFFEGWDATKK KDKLKGGRQEPVSAYDRHHVKLHPMLADPDADYISANYIDGYHRSNHFIATQGPKPEMIY DFWRMVWQEQCASIVMITKLVEVGRVKCSRYWPEDSDMYGDIKITLVKTETLAEYVVRTF ALERRGYSARHEVRQFHFTAWPEHGVPYHATGLLAFIRRVKASTPPDAGPIVIHCSAGTG RTGCYIVLDVMLDMAECEGVVDIYNCVKTLCSRRVNMIQTEEQYIFIHDAILEACLCGET TIPVNEFKATYREMIRIDPQSNSSQLREEFQTLNSVTPPLDVEECSIALLPRNRDKNRSM DVLPPDRCLPFLISSDGDPNNYINAALTDSYTRSAAFIVTLHPLQSTTPDFWRLVYDYGC TSIVMLNQLNQSNSAWPCLQYWPEPGRQQYGLMEVEFVSGTANEDLVSRVFRVQNSSRLQ EGHLLVRHFQFLRWSAYRDTPDSRKAFLHLLAEVDKWQAESGDGRTVVHCLNGGGRSGTF CACATVLEMIRCHSLVDVFFAAKTLRNYKPNMVETMDQYHFCYDVALEYLEALELR >ENSMUSP00000030741.2 pep:known chromosome:GRCm38:4:131769498:131838231:-1 gene:ENSMUSG00000028909.17 transcript:ENSMUST00000030741.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpru description:protein tyrosine phosphatase, receptor type, U [Source:MGI Symbol;Acc:MGI:1321151] MARAQALVLALTFQFCAPETETPAAGCTFEEASDPVVPCEFSQAQYDDFQWEQVRIHPGT RTPEDLPHGAYLMVNASQHAPGQRAHIIFQTLSENDTHCVQFSYFLYSRDGHSPGTLGVY VRVNGGPLGSAVWNMTGSHGRQWHQAELAVSTFWPNEYQVLFEALISPDHKGYIGLDDIL LFSYPCAKAPHFSRLGDVEVNAGQNASFQCMAAGRAAEAEHFFLQRQSGVLVPAAGVRHI SHRRFLATFPLASVGRSEQDLYRCVSQAPRGAGVSNFAELIVKEPPTPIAPPQLLRAGPT YLIIQLNTNSIIGDGPIVRKEIEYRMARGPWAEVHAVNLQTYKLWHLDPDTEYEISVLLT RPGDGGTGRPGPPLISRTKCAEPTRAPKGLAFAEIQARQLTLQWEPLGYNVTRCHTYAVS LCYRYTLGGSHNQTIRECVKMERGASRYTIKNLLPFRNIHVRLILTNPEGRKEGKEVTFQ TDEDVPGGIAAESLTFTPLEDMIFLKWEEPQEPNGLITQYEISYQSIESSDPAVNVPGPR RTISKLRNETYHVFSNLHPGTTYLFSVRARTSKGFGQAALTEITTNISAPSFDYADMPSP LGESENTITVLLRPAQGRGAPISVYQVVVEEERPRRLRREPGAQDCFSVPLTFETALARG LVHYFGAELAASSLLEAMPFTVGDNQTYRGFWNPPLEPRKAYLIYFQAASHLKGETRLNC IRIARKAACKESKRPLEVSQRSEEMGLILGICAGGLAVLILLLGAIIVIIRKGRDRYAYS YYPKPVNMTKATVNYRQEKTHMMSAVDRSFTDQSTLQEDERLGLSFMDAPGYSPRGDQRS GGVTEASSLLGGSPRRPCGRKGSPYHTGQLHPAVRVADLLQHINQMKTAEGYGFKQEYES FFEGWDATKKKDKLKGGRQEPVSAYDRHHVKLHPMLADPDADYISANYIDGYHRSNHFIA TQGPKPEMIYDFWRMVWQEQCASIVMITKLVEVGRVKCSRYWPEDSDMYGDIKITLVKTE TLAEYVVRTFALERRGYSARHEVRQFHFTAWPEHGVPYHATGLLAFIRRVKASTPPDAGP IVIHCSAGTGRTGCYIVLDVMLDMAECEGVVDIYNCVKTLCSRRVNMIQTEEQYIFIHDA ILEACLCGETTIPVNEFKATYREMIRIDPQSNSSQLREEFQTLNSVTPPLDVEECSIALL PRNRDKNRSMDVLPPDRCLPFLISSDGDPNNYINAALTDSYTRSAAFIVTLHPLQSTTPD FWRLVYDYGCTSIVMLNQLNQSNSAWPCLQYWPEPGRQQYGLMEVEFVSGTANEDLVSRV FRVQNSSRLQEGHLLVRHFQFLRWSAYRDTPDSRKAFLHLLAEVDKWQAESGDGRTVVHC LNGGGRSGTFCACATVLEMIRCHSLVDVFFAAKTLRNYKPNMVETMDQYHFCYDVALEYL EALELR >ENSMUSP00000095472.2 pep:known chromosome:GRCm38:4:131783228:131821516:-1 gene:ENSMUSG00000028909.17 transcript:ENSMUST00000097860.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpru description:protein tyrosine phosphatase, receptor type, U [Source:MGI Symbol;Acc:MGI:1321151] MVNASQHAPGQRAHIIFQTLSENDTHCVQFSYFLYSRDGHSPGTLGVYVRVNGGPLGSAV WNMTGSHGRQWHQAELAVSTFWPNEYQVLFEALISPDHKGYIGLDDILLFSYPCAKAPHF SRLGDVEVNAGQNASFQCMAAGRAAEAEHFFLQRQSGVLVPAAGVRHISHRRFLATFPLA SVGRSEQDLYRCVSQAPRGAGVSNFAELIVKEPPTPIAPPQLLRAGPTYLIIQLNTNSII GDGPIVRKEIEYRMARGPWAEVHAVNLQTYKLWHLDPDTEYEISVLLTRPGDGGTGRPGP PLISRTKCAEPTRAPKGLAFAEIQARQLTLQWEPLGYNVTRCHTYAVSLCYRYTLGGSHN QTIRECVKMERGASRYTIKNLLPFRNIHVRLILTNPEGRKEGKEVTFQTDEDVPGGIAAE SLTFTPLEDMIFLKWEEPQEPNGLITQYEISYQSIESSDPAVNVPGPRRTISKLRNETYH VFSNLHPGTTYLFSVRARTSKGFGQAALTEITTNISAPSFDYADMPSPLGESENTITVLL RPAQGRGAPISVYQVVVEEERPRRLRREPGAQDCFSVPLTFETALARGLVHYFGAELAAS SLLEAMPFTVGDNQTYRGFWNPPLEPRKAYLIYFQAASHLKGETRLNCIRIARKAACKES KRPLEVSQRSEEMGLILGICAGGLAVLILLLGAIIVIIRKGRDRYAYSYYPKPVNMTKAT VNYRQEKTHMMSAVDRSFTDQSTLQEDERLGLSFMDAPGYSPRGDQRSGGVTEASSLLGG SPRRPCGRKGSPYHTGQLHPAVRVADLLQHINQMKTAEGYGFKQEYESFFEGWDATKKKD KLKGGRQEPVSAYDRHHVKLHPMLADPDADYISANYIDIRINRQHHPRRLQQGLSSVVLA PAMLLGV >ENSMUSP00000028257.2 pep:known chromosome:GRCm38:2:62664285:62694109:1 gene:ENSMUSG00000026893.4 transcript:ENSMUST00000028257.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gca description:grancalcin [Source:MGI Symbol;Acc:MGI:1918521] MAYPGYGGAFGNFSGQIPGMQMQMGQPMPGAGPNMFSGGYPGYLGYSDSYSPADDSMWTY FTAVAGQDGEVDAEELQRCLTQSGISGTYAPFSLETCRIMIAMLDRDYTGKMGFNEFKEL WAALNAWKQNFMTIDQDQSGTVEHHELSQAIALMGYRLSPQTLAAIVRRYSKNGRIFFDD YVACCVKLRALTDFFRRRDHLQQGIVNFMYEDFLQGTMTI >ENSMUSP00000022853.8 pep:known chromosome:GRCm38:15:10952332:10980150:1 gene:ENSMUSG00000058914.12 transcript:ENSMUST00000022853.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf3 description:C1q and tumor necrosis factor related protein 3 [Source:MGI Symbol;Acc:MGI:1932136] MLGRQRIWWHLLPLLFLPFCLCQDEYMESPQAGGLPPDCSKCCHGDYGFRGYQGPPGPPG PPGIPGNHGNNGNNGATGHEGAKGEKGDKGDLGPRGERGQHGPKGEKGYPGVPPELQIAF MASLATHFSNQNSGIIFSSVETNIGNFFDVMTGRFGAPVSGVYFFTFSMMKHEDVEEVYV YLMHNGNTVFSMYSYETKGKSDTSSNHAVLKLAKGDEVWLRMGNGALHGDHQRFSTFAGF LLFETK >ENSMUSP00000106152.1 pep:known chromosome:GRCm38:15:10952357:10979706:1 gene:ENSMUSG00000058914.12 transcript:ENSMUST00000110523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf3 description:C1q and tumor necrosis factor related protein 3 [Source:MGI Symbol;Acc:MGI:1932136] MLGRQRIWWHLLPLLFLPFCLCQDEYMEVSRRANKAVARIVQSHQQTGRSGSRREKVREQ SQAKTGTVDNNTSTDLKPLRPEALPHPEVEDLAQINPFWGQSPQAGGLPPDCSKCCHGDY GFRGYQGPPGPPGPPGIPGNHGNNGNNGATGHEGAKGEKGDKGDLGPRGERGQHGPKGEK GYPGVPPELQIAFMASLATHFSNQNSGIIFSSVETNIGNFFDVMTGRFGAPVSGVYFFTF SMMKHEDVEEVYVYLMHNGNTVFSMYSYETKGKSDTSSNHAVLKLAKGDEVWLRMGNGAL HGDHQRFSTFAGFLLFETK >ENSMUSP00000003191.7 pep:known chromosome:GRCm38:17:78949405:79013306:-1 gene:ENSMUSG00000024070.15 transcript:ENSMUST00000003191.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkd3 description:protein kinase D3 [Source:MGI Symbol;Acc:MGI:1922542] MSANNSPPSAQKSVFPATVSAVLPAPSPCSSPKTGLSARLSNGSFSAPSLTNSRGSVHTV SFLLQIGLTRESVTIEAQELSLSAVKDLVCSIVYQKFPECGFFGMYDKILLFRHDMNSEN ILQLITSADEIHEGDLVEVVLSALATVEDFQIRPHALYVHSYKAPTFCDYCGEMLWGLVR QGLKCEGCGLNYHKRCAFKIPNNCSGVRKRRLSNVSLPGPGLSVPRPLQPECVPLLSEES HTHQEPSKRIPSWSGRPIWMEKMVMCRVKVPHTFAVHSYGRPTICQYCKRLLKGLFRQGM QCKDCKFNCHKRCASKVPRDCLGEVTFNGEPCSVGTDADMPMDIDSSDVNSDGSRGLDDS EEPSPPEDKMFFLDPTDLDVERDEETVKTISPSTSNNIPLMRVVQSIKHTKRRSSTVVKE GWMVHYTSRDNLRKRHYWRLDSKCLTLFQNESGSKYYKEIPLSEILRVSSPQDFTSISQG SNPHCFEIITDTVVYFVGENNGSSSHNPVLAATGVGLDVAQSWEKAIRQALMPVTPQASV CTSPGQGKDHNLATSISVSNCQVQENVDISSVYQIFADEVLGSGQFGIVYGGKHRKTGRD VAIKVIDKMRFPTKQESQLRNEVAILQNLHHPGIVNLECMFETPERVFVVMEKLHGDMLE MILSSEKSRLPERITKFMVTQILVALRNLHFKNIVHCDLKPENVLLASAEPFPQVKLCDF GFARIIGEKSFRRSVVGTPAYLAPEVLRSKGYNRSLDMWSVGVIVYVSLSGTFPFNEDED INDQIQNAAFMYPPNPWREISSEAIDLINNLLQVKMRKRYSVDKSLSHPWLQDYQTWLDL REFETRIGERYITHESDDARWEIHAYTHNLEYPKHFIMAPNPDDMEEDP >ENSMUSP00000113395.1 pep:known chromosome:GRCm38:17:78950549:79020816:-1 gene:ENSMUSG00000024070.15 transcript:ENSMUST00000119284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkd3 description:protein kinase D3 [Source:MGI Symbol;Acc:MGI:1922542] MSANNSPPSAQKSVFPATVSAVLPAPSPCSSPKTGLSARLSNGSFSAPSLTNSRGSVHTV SFLLQIGLTRESVTIEAQELSLSAVKDLVCSIVYQKFPECGFFGMYDKILLFRHDMNSEN ILQLITSADEIHEGDLVEVVLSALATVEDFQIRPHALYVHSYKAPTFCDYCGEMLWGLVR QGLKCEGCGLNYHKRCAFKIPNNCSGVRKRRLSNVSLPGPGLSVPRPLQPECVPLLSEES HTHQEPSKRIPSWSGRPIWMEKMVMCRVKVPHTFAVHSYGRPTICQYCKRLLKGLFRQGM QCKDCKFNCHKRCASKVPRDCLGEVTFNGEPCSVGTDADMPMDIDSSDVNSDGSRGLDDS EEPSPPEDKMFFLDPTDLDVERDEETVKTISPSTSNNIPLMRVVQSIKHTKRRSSTVVKE GWMVHYTSRDNLRKRHYWRLDSKCLTLFQNESGSKYYKEIPLSEILRVSSPQDFTSISQG SNPHCFEIITDTVVYFVGENNGSSSHNPVLAATGVGLDVAQSWEKAIRQALMPVTPQASV CTSPGQGKDHKDLATSISVSNCQVQENVDISSVYQIFADEVLGSGQFGIVYGGKHRKTGR DVAIKVIDKMRFPTKQESQLRNEVAILQNLHHPGIVNLECMFETPERVFVVMEKLHGDML EMILSSEKSRLPERITKFMVTQILVALRNLHFKNIVHCDLKPENVLLASAEPFPQVKLCD FGFARIIGEKSFRRSVVGTPAYLAPEVLRSKGYNRSLDMWSVGVIVYVSLSGTFPFNEDE DINDQIQNAAFMYPPNPWREISSEAIDLINNLLQVKMRKRYSVDKSLSHPWLQDYQTWLD LREFETRIGERYITHESDDARWEIHAYTHNLEYPKHFIMAPNPDDMEEDP >ENSMUSP00000113232.1 pep:known chromosome:GRCm38:17:78951641:79008505:-1 gene:ENSMUSG00000024070.15 transcript:ENSMUST00000118768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkd3 description:protein kinase D3 [Source:MGI Symbol;Acc:MGI:1922542] MFPECGFFGMYDKILLFRHDMNSENILQLITSADEIHEGDLVEVVLSALATVEDFQIRPH ALYVHSYKAPTFCDYCGEMLWGLVRQGLKCEGCGLNYHKRCAFKIPNNCSGVRKRRLSNV SLPGPGLSVPRPLQPECVPLLSEESHTHQEPSKRIPSWSGRPIWMEKMVMCRVKVPHTFA VHSYGRPTICQYCKRLLKGLFRQGMQCKDCKFNCHKRCASKVPRDCLGEVTFNGEPCSVG TDADMPMDIDSSDVNSDGSRGLDDSEEPSPPEDKMFFLDPTDLDVERDEETVKTISPSTS NNIPLMRVVQSIKHTKRRSSTVVKEGWMVHYTSRDNLRKRHYWRLDSKCLTLFQNESGSK YYKEIPLSEILRVSSPQDFTSISQGSNPHCFEIITDTVVYFVGENNGSSSHNPVLAATGV GLDVAQSWEKAIRQALMPVTPQASVCTSPGQGKDHKDLATSISVSNCQVQENVDISSVYQ IFADEVLGSGQFGIVYGGKHRKTGRDVAIKVIDKMRFPTKQESQLRNEVAILQNLHHPGI VNLECMFETPERVFVVMEKLHGDMLEMILSSEKSRLPERITKFMVTQILVALRNLHFKNI VHCDLKPENVLLASAEPFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLAPEVLRSKGYNR SLDMWSVGVIVYVSLSGTFPFNEDEDINDQIQNAAFMYPPNPWREISSEAIDLINNLLQV KMRKRYSVDKSLSHPWLQDYQTWLDLREFETRIGERYITHESDDARWEIHAYTHNLEYPK HFIMAPNPDDMEEDP >ENSMUSP00000112775.1 pep:known chromosome:GRCm38:17:78971555:78985448:-1 gene:ENSMUSG00000024070.15 transcript:ENSMUST00000118991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkd3 description:protein kinase D3 [Source:MGI Symbol;Acc:MGI:1922542] MYDKILLFRHDMNSENILQLITSADEIHEGDLVEVVLSALATVEDFQIRPHALYVHSYKA PTFCDYCGEMLWGLVRQGLKCEGCGLNYHKRCAFKIPNNCSGVRKRRLSNVSLPGPGLSV PRPLQPECVPLLSEESHTHQEPSKRIPSWSGRPIWMEKMVMCRVKVPHTFAVHSYGRPTI CQYCKRLLKGLFRQGMQCKDCKFNCHKRCASKVPRDCLGEVTFNGEPCSVGTDADMPMDI DSSDVNSDGSRGLDDSEEPSPPEDKMFFLDPTDLDVERDEETVKTIRARKFLNSKLK >ENSMUSP00000132004.1 pep:known chromosome:GRCm38:17:78949405:79020816:-1 gene:ENSMUSG00000024070.15 transcript:ENSMUST00000168887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkd3 description:protein kinase D3 [Source:MGI Symbol;Acc:MGI:1922542] MSANNSPPSAQKSVFPATVSAVLPAPSPCSSPKTGLSARLSNGSFSAPSLTNSRGSVHTV SFLLQIGLTRESVTIEAQELSLSAVKDLVCSIVYQKFPECGFFGMYDKILLFRHDMNSEN ILQLITSADEIHEGDLVEVVLSALATVEDFQIRPHALYVHSYKAPTFCDYCGEMLWGLVR QGLKCEGCGLNYHKRCAFKIPNNCSGVRKRRLSNVSLPGPGLSVPRPLQPECVPLLSEES HTHQEPSKRIPSWSGRPIWMEKMVMCRVKVPHTFAVHSYGRPTICQYCKRLLKGLFRQGM QCKDCKFNCHKRCASKVPRDCLGEVTFNGEPCSVGTDADMPMDIDSSDVNSDGSRGLDDS EEPSPPEDKMFFLDPTDLDVERDEETVKTISPSTSNNIPLMRVVQSIKHTKRRSSTVVKE GWMVHYTSRDNLRKRHYWRLDSKCLTLFQNESGSKYYKEIPLSEILRVSSPQDFTSISQG SNPHCFEIITDTVVYFVGENNGSSSHNPVLAATGVGLDVAQSWEKAIRQALMPVTPQASV CTSPGQGKDHNLATSISVSNCQVQENVDISSVYQIFADEVLGSGQFGIVYGGKHRKTGRD VAIKVIDKMRFPTKQESQLRNEVAILQNLHHPGIVNLECMFETPERVFVVMEKLHGDMLE MILSSEKSRLPERITKFMVTQILVALRNLHFKNIVHCDLKPENVLLASAEPFPQVKLCDF GFARIIGEKSFRRSVVGTPAYLAPEVLRSKGYNRSLDMWSVGVIVYVSLSGTFPFNEDED INDQIQNAAFMYPPNPWREISSEAIDLINNLLQVKMRKRYSVDKSLSHPWLQDYQTWLDL REFETRIGERYITHESDDARWEIHAYTHNLEYPKHFIMAPNPDDMEEDP >ENSMUSP00000028068.2 pep:known chromosome:GRCm38:2:19445663:19447501:1 gene:ENSMUSG00000026735.2 transcript:ENSMUST00000028068.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptf1a description:pancreas specific transcription factor, 1a [Source:MGI Symbol;Acc:MGI:1328312] MDAVLLEHFPGGLDTFPSPYFDEEDFFTDQSSRDPLEDSDELLGDEQAEVEFLSHQLHEY CYRDGACLLLQPAPSAAPHALAPPPLGDPGEPEDNVSYCCDAGAPLAAFPYSPGSPPSCL AYPCAAVLSPGARLGGLNGAAAAAAARRRRRVRSEAELQQLRQAANVRERRRMQSINDAF EGLRSHIPTLPYEKRLSKVDTLRLAIGYINFLSELVQADLPLRGSGAGGCGGPGGSRHLG EDSPGNQAQKVIICHRGTRSPSPSDPDYGLPPLAGHSLSWTDEKQLKEQNIIRTAKVWTP EDPRKLNSKSFDNIENEPPFEFVS >ENSMUSP00000038964.3 pep:known chromosome:GRCm38:X:8138978:8145697:-1 gene:ENSMUSG00000031167.16 transcript:ENSMUST00000040010.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm3 description:RNA binding motif protein 3 [Source:MGI Symbol;Acc:MGI:1099460] MSSEEGKLFVGGLNFNTDEQALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHA SDAMRAMNGESLDGRQIRVDHAGKSARGSRGGAFGGRGRSYSRGGGDQGYGSGRYDSRPG GYGYGYGRSRDYSGRSQGGYDRYSGGNYRDNYDN >ENSMUSP00000111282.1 pep:known chromosome:GRCm38:X:8140784:8145679:-1 gene:ENSMUSG00000031167.16 transcript:ENSMUST00000115619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm3 description:RNA binding motif protein 3 [Source:MGI Symbol;Acc:MGI:1099460] MSSEEGKLFVGGLNFNTDEQALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHA SDAMRAMNGESLDGRQIRVDHAGKSARGSRGGAFGGRGRSYSRGGGDQGYGSGRYDSRPG GYGYGYGRSRDYSGSQGGYDRYSGGNYRDNYDN >ENSMUSP00000111284.2 pep:known chromosome:GRCm38:X:8142359:8145880:-1 gene:ENSMUSG00000031167.16 transcript:ENSMUST00000115621.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm3 description:RNA binding motif protein 3 [Source:MGI Symbol;Acc:MGI:1099460] MSSEEGKLFVGGLNFNTDEQALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHA SDAMRAMNGESLDGRQIRVDHAGKSARGSRGGAFGGRGRSYSRGGGDQGYGSGRYDSRPG GYGYGYGRSRDYSGSQGGYDRYSGGNYRDNYDN >ENSMUSP00000111277.2 pep:known chromosome:GRCm38:X:8142361:8145723:-1 gene:ENSMUSG00000031167.16 transcript:ENSMUST00000115615.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm3 description:RNA binding motif protein 3 [Source:MGI Symbol;Acc:MGI:1099460] MSSEEGKLFVGGLNFNTDEQALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHA SDAMRAMNGESLDGRQIRVDHAGKSARGSRGGAFGGRGRSYSRGGGDQGYGSGRYDSRPG GYGYGYGRSRDYSGSQGGYDRYSGGNYRDNYDN >ENSMUSP00000111280.3 pep:known chromosome:GRCm38:X:8142368:8145696:-1 gene:ENSMUSG00000031167.16 transcript:ENSMUST00000115617.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm3 description:RNA binding motif protein 3 [Source:MGI Symbol;Acc:MGI:1099460] MSSEEGKLFVGGLNFNTDEQALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHA SDAMRAMNGESLDGRQIRVDHAGKSARGSRGGAFGGRGRSYSRGGGDQGYGSGRYDSRPG GYGYGYGRSRDYSGRSQGGYDRYSGGNYRDNYDN >ENSMUSP00000111279.1 pep:known chromosome:GRCm38:X:8142368:8145773:-1 gene:ENSMUSG00000031167.16 transcript:ENSMUST00000115616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm3 description:RNA binding motif protein 3 [Source:MGI Symbol;Acc:MGI:1099460] MSSEEGKLFVGGLNFNTDEQALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHA SDAMRAMNGESLDGRQIRVDHAGKSARGSRGGAFGGRGRSYSRGGGDQGYGSGRYDSRPG GYGYGYGRSRDYSGRSQGGYDRYSGGNYRDNYDN >ENSMUSP00000138456.1 pep:known chromosome:GRCm38:X:8142472:8145696:-1 gene:ENSMUSG00000031167.16 transcript:ENSMUST00000129947.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm3 description:RNA binding motif protein 3 [Source:MGI Symbol;Acc:MGI:1099460] MSSEEGKLFVGGLNFNTDEQALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHA SDAMRAMNGEGVEGSGRQSRAAWEATTGPA >ENSMUSP00000138705.1 pep:known chromosome:GRCm38:X:8143028:8145697:-1 gene:ENSMUSG00000031167.16 transcript:ENSMUST00000141629.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm3 description:RNA binding motif protein 3 [Source:MGI Symbol;Acc:MGI:1099460] MSSEEGKLFVGGLNFNTDEQALEDHFSSFGPISEVVVVKDRETQRSRGFGFITFTNPEHA SDAMRAMNGEGVEGSGRQSRAAWEATTGPA >ENSMUSP00000018767.7 pep:known chromosome:GRCm38:9:7692090:7699585:1 gene:ENSMUSG00000018623.9 transcript:ENSMUST00000018767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp7 description:matrix metallopeptidase 7 [Source:MGI Symbol;Acc:MGI:103189] MAAMQLTLFCFVCLLPGHLALPLSQEAGDVSAHQWEQAQNYLRKFYPHDSKTKKVNSLVD NLKEMQKFFGLPMTGKLSPYIMEIMQKPRCGVPDVAEYSLMPNSPKWHSRIVTYRIVSYT SDLPRIVVDQIVKKALRMWSMQIPLNFKRVSWGTADIIIGFARRDHGDSFPFDGPGNTLG HAFAPGPGLGGDAHFDKDEYWTDGEDAGVNFLFAATHEFGHSLGLSHSSVPGTVMYPTYQ RDYSEDFSLTKDDIAGIQKLYGKRNTL >ENSMUSP00000110498.1 pep:known chromosome:GRCm38:17:27901122:27909242:-1 gene:ENSMUSG00000024218.12 transcript:ENSMUST00000114848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf11 description:TAF11 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1916026] MDNLGESPTDKGGEPGESEETRATPGALVAADTEGIPEETDRDGDADLKEAAAEESELKS QDVSDVTAAERENSSLLTPAAKKLKLDIKDKKEKKQKVDEDEIQKMQILVSSFSEEQLNR YEMYRRSAFPKAAIKRLIQSITGTSVSQNVVIAMSGISKVFVGEVVEEALDVCEKWGETP PLQPKHMREAVRRLKSKGQIPNSKHKKITFF >ENSMUSP00000025057.4 pep:known chromosome:GRCm38:17:27901128:27907724:-1 gene:ENSMUSG00000024218.12 transcript:ENSMUST00000025057.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf11 description:TAF11 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1916026] MDNLGESPTDKGGEPGESEETRATPGALVAADTEGIPEETDRDGDADLKEAAAEESELKS QDVSDVTAAERENSSLLTPAAKKLKLDIKDKKEKKQKVDEDEIQKMQILVSSFSEEQLNR YEMYRRSAFPKAAIKRLIQSITGTSVSQNVVIAMSGISKVFVGEVVEEALDVCEKWGETP PLQPKHMREAVRRLKSKGQIPNSKHKKITFF >ENSMUSP00000139300.1 pep:known chromosome:GRCm38:14:26221856:26235744:1 gene:ENSMUSG00000094817.8 transcript:ENSMUST00000184577.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cphx3 description:cytoplasmic polyadenylated homeobox 3 [Source:MGI Symbol;Acc:MGI:3711264] MLSKNFPGAPETKDNRSKARKRYGSRNSKPRHKFSRDELKRLKQEFAYAPYPDFTTKDEL ARQFQCEVSVIDNWFQNKRARLAPELKSKISAMRRMRRCQDYMRTGHQDTQPPKASGEQY SSCDSVVRSIGRQSIGTVEHQGAAGRESSFRPTNFTFPPVYEQYYMGDQLETQETQYFTF SY >ENSMUSP00000129288.1 pep:known chromosome:GRCm38:14:26082297:26235735:1 gene:ENSMUSG00000094817.8 transcript:ENSMUST00000163305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cphx3 description:cytoplasmic polyadenylated homeobox 3 [Source:MGI Symbol;Acc:MGI:3711264] MLSKNFPGAPETKDNRSKARKRYGSRNSKPRHKFSRDELKRLKQEFAYAPYPDFTTKDEL ARQFQCEVSVIDNWFQNKRARLAPELKSKISAMRRMRRCQDYMRTGHQDTQPPKASGEQY SSCDSVVRSIGRQSIGTVEHQGAAGRESSFRPTNFTFPPVYEQYYMGDQLETQETQYFTF SY >ENSMUSP00000027303.7 pep:known chromosome:GRCm38:1:34439851:34449356:1 gene:ENSMUSG00000026127.13 transcript:ENSMUST00000027303.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Imp4 description:IMP4, U3 small nucleolar ribonucleoprotein [Source:MGI Symbol;Acc:MGI:106572] MLRREARLRREYLYRKAREEAQRSVQEKKERVKRALEENQLIPTELRREALALQGSLEFD DAGGEGVTSHVDDEYRWAGVEDPKVMITTSRDPSSRLKMFAKELKLVFPGAQRMNRGRHE VGALVRACKANGVTDLLVVHEHRGTPVGLIVSHLPFGPTAYFTLCNVVMRHDIPDLGTMS EAKPHLITHGFSSRLGKRVSDILRYLFPVPKDDSHRVITFANQDDYISFRHHVYKKTDHR NVELTEVGPRFELKLYMIRLGTLEQEATADVEWRWHPYTNTARKRVFLSAE >ENSMUSP00000121452.1 pep:known chromosome:GRCm38:1:34439905:34443856:1 gene:ENSMUSG00000026127.13 transcript:ENSMUST00000137794.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Imp4 description:IMP4, U3 small nucleolar ribonucleoprotein [Source:MGI Symbol;Acc:MGI:106572] MLRREARLRREYLYRKAREEAQRSVQEKKERVKRALEENQLIPTELRREALALQGSLEFD DAGGEGNLCSAALFHTEPTSVPTGLQVLFSHTGQFPT >ENSMUSP00000141982.1 pep:known chromosome:GRCm38:1:34439905:34444387:1 gene:ENSMUSG00000026127.13 transcript:ENSMUST00000149962.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Imp4 description:IMP4, U3 small nucleolar ribonucleoprotein [Source:MGI Symbol;Acc:MGI:106572] MLRREARLRREYLYRKAREEAQRSVQEKKERVKRALEENQLIPTELRREALALQGSLEFD DAGGEGNLCSAALFHTEPTSVPTGLQVLFSHTGQFPT >ENSMUSP00000120823.1 pep:known chromosome:GRCm38:1:34440041:34444514:1 gene:ENSMUSG00000026127.13 transcript:ENSMUST00000136770.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Imp4 description:IMP4, U3 small nucleolar ribonucleoprotein [Source:MGI Symbol;Acc:MGI:106572] LRREARLRREYLYRKAREEAQRSVQEKKERVKRALEENQLIPTELRREALALQGSLEFDD AGGEGVTSHVDDEYRWAGVEDPKVMITTSRDPSSRLKMFAKELKLVFPGAQRMNRGRHEV GALVRACKANGVTDLLVVHEHRGTPGVGHPSLPIPRAKRRQPSGHHLCKPG >ENSMUSP00000100679.1 pep:known chromosome:GRCm38:11:99792389:99793467:-1 gene:ENSMUSG00000078261.1 transcript:ENSMUST00000105058.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11596 description:predicted gene 11596 [Source:MGI Symbol;Acc:MGI:3652177] MVSSCCGSVCSEEGCGQGCCQPSCCQPTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCC RPSCCISSCCRPSCCVPSCCRPQCCISSCCRPTCCVSNCCRPSCCSPSCCVSSCCRPQCC QSLCCQPTCCRPCCDSSSCCGSSCCRPTCCISSCCRPSCCRPSCCVSSCCRPQCCISSCC RPTCCQTTCCRTTCCRPACSSGSCC >ENSMUSP00000025966.4 pep:known chromosome:GRCm38:19:39007019:39042693:1 gene:ENSMUSG00000025002.5 transcript:ENSMUST00000025966.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c55 description:cytochrome P450, family 2, subfamily c, polypeptide 55 [Source:MGI Symbol;Acc:MGI:1919332] MDPVLVLVLTLSCLLLLSLWRQNSGRGKLPPGPTPFPIIGNILQIDIKNISKSFNYFSKV YGPVFTLYFGSKPTVVVHGYEAVKEALDDLGEEFSGRGSFQIFERINNDLGVIFSNGTKW KELRRFSIMTLRSFGMGKRSIEDRIQEEASCLVEELRKANGSLCDPTFILSCAPSNVICS VIFHNRFDYKDEKFLNLMERLNENFKILNSPWMQVYNALPTLINYLPGSHNKVIKNFTEI KSYILGRVKEHQETLDMDNPRDFIDCFLIKMEQEKHNPHSEFTIESLMATVTDIFVAGTE TTNITLRYGLLLLLKHTEVTAKVQAEIDHVIGRHRSPCMQDRTRMPYTDAMVHEIQRYID LIPNNVPHAATCNVRFRSYFIPKGTELVTSLTSVLHDDKEFPNPEVFDPGHFLDENGNFK KSDYFMPFSIGKRMCVGEALARTELFLILTTILQNFNLKSLVDTKDIDTTPVANTFGRVP PSYQLYFIPR >ENSMUSP00000124740.1 pep:known chromosome:GRCm38:5:124118690:124249760:-1 gene:ENSMUSG00000029406.15 transcript:ENSMUST00000162812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm2 description:phosphatidylinositol transfer protein, membrane-associated 2 [Source:MGI Symbol;Acc:MGI:1336192] MIIKEYRIPLPMTVDEYRIAQLYMIQKKSRNETHGQGSGVEILENRPYTDGPGGSGQYTH KVYHVGMHIPGWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDTG ENNNVFNLSPVEKSQLITDIIDIVKDPVPPSEYKTEEDPKLFQSVKTCRGPLSENWIQEY KKRLLPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRRVMVRAHRQAWCWQDEWYGLTME KIRELEREVQLMLSRKMAQFSEEGPSELSKDSATKDQASGTTSDPGSKNGEPLGRGLKKQ WSTSSKSSRSSKRGASPSRHSISEWRMQSIARDSDEGSEEEFFDAHENLYCTEEKQAKDM TKWNSNDLMDKMESPEPEESQDEIYQQSGSEFRVASSVEQLNIIEDEVSQPLAAPPSKIH VLLLVLHGGTILDTGAGDPSSKQGDTNTITNVFDTVMRVHYPSALGHLAIRLVPCPPICA DAFALVSNLSPYGHDEGCLSSSQDHIPLAALPLLATSSPQYQEAVATVIQRANLAYGDFI KSQEGVTFNGQVCLIGDCVGGILAFDALCYSGQPVSESQSSSRRGSVVSMQDADLLSPGT LANAAHCSGGSGGGGSGGSSLESSRHLSRSNIDIPRSNGTEDSRRQLPRKRSDSSTYELD TIQQHQAFLSSLHASVLRNEPSSRRSSSSTMLDGAGALGKFDFEIADLFLFGCPLGLVLA LRKTVIPSLDVFQLRPACQQVYNLFHPADPSASRLEPLLERRFHSLPPFSIPRYQRYPLG DGCSTLLADVLQTHNTVFQEHAAPSSPGTAPAGRGFRRASEISIASQVSGMAESYTASSI AQIAAKWWGQKRIDYALYCPDALTAFPTVALPHLFHASYWESTDVVSFLLRQVMRHDSSS ILELDGKEVSVFTPSQPRERWQRKRTHVKLRNVAANHRINDAVANEDGPQVVTGRFMYGP LDMVTLTGEKVDVHIMTQPPSGEWLHLDTLVTNSSGRVSYTIPETHRLGVGVYPIKMVVR GDHTFADSYITVLPRGTEFVVFSIDGSFAASVSIMGSDPKVRAGAVDVVRHWQDLGYLII YVTGRPDMQKQRVVAWLAQHNFPHGVVSFCDGLVHDPLRHKANFLKLLISELHLRAHAAY GSTKDVAVYNSISLSPMHIYIVGRPTKKLQQQCQFITDGYAAHLAQLKYNHRARPARNTA TRMALRKGSFGLPGQSDFLRSRNHLLRTISAQPSGPSHRHDRTQTQMDSEQRGQRSMSVA ASCWGRAMAGRLEPGAATGPK >ENSMUSP00000124292.1 pep:known chromosome:GRCm38:5:124118691:124249451:-1 gene:ENSMUSG00000029406.15 transcript:ENSMUST00000161273.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm2 description:phosphatidylinositol transfer protein, membrane-associated 2 [Source:MGI Symbol;Acc:MGI:1336192] MIIKEYRIPLPMTVDEYRIAQLYMIQKKSRNETHGQGSGVEILENRPYTDGPGGSGQYTH KVYHVGMHIPGWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDTG ENNNVFNLSPVEKSQLITDIIDIVKDPVPPSEYKTEEDPKLFQSVKTCRGPLSENWIQEY KKRLLPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRRVMVRAHRQAWCWQDEWYGLTME KIRELEREVQLMLSRKMAQFSEEGPSELSKDSATKDQASGTTSDPGSKNGEPLGRGLKKQ WSTSSKSSRSSKRGASPSRHSISEWRMQSIARDSDEGSEEEFFDAHENLYCTEEKQAKDM TKWNSNDLMDKMESPEPEESQDEIYQQSGSEFRVASSVEQLNIIEDEVSQPLAAPPSKIH VLLLVLHGGTILDTGAGDPSSKQGDTNTITNVFDTVMRVHYPSALGHLAIRLVPCPPICA DAFALVSNLSPYGHDEGCLSSSQDHIPLAALPLLATSSPQYQEAVATVIQRANLAYGDFI KSQEGVTFNGQVCLIGDCVGGILAFDALCYSGQPVSESQSSSRRGSVVSMQDADLLSPGT LANAAHCSGGSGGGGSGGSSLESSRHLSRSNIDIPRSNGTEDSRRQLPRKRSDSSTYELD TIQQHQAFLSSLHASVLRNEPSSRRSSSSTMLDGAGALGKFDFEIADLFLFGCPLGLVLA LRKTVIPSLDVFQLRPACQQVYNLFHPADPSASRLEPLLERRFHSLPPFSIPRYQRYPLG DGCSTLLVETVQRNPELVLEGGPLAPLPHGDSFLETSIPVPALTWQDGPRQSLGCSESDV LQTHNTVFQEHAAPSSPGTAPAGRGFRRASEISIASQVSGMAESYTASSIAQIAAKWWGQ KRIDYALYCPDALTAFPTVALPHLFHASYWESTDVVSFLLRQVMRHDSSSILELDGKEVS VFTPSQPRERWQRKRTHVKLRNVAANHRINDAVANEDGPQVVTGRFMYGPLDMVTLTGEK VDVHIMTQPPSGEWLHLDTLVTNSSGRVSYTIPETHRLGVGVYPIKMVVRGDHTFADSYI TVLPRGTEFVVFSIDGSFAASVSIMGSDPKVRAGAVDVVRHWQDLGYLIIYVTGRPDMQK QRVVAWLAQHNFPHGVVSFCDGLVHDPLRHKANFLKLLISELHLRAHAAYGSTKDVAVYN SISLSPMHIYIVGRPTKKLQQQCQFITDGYAAHLAQLKYNHRARPARNTATRMALRKGSF GLPGQSDFLRSRNHLLRTISAQPSGPSHRHDRTQTQMDSEQRGQRSMSVAASCWGRAMAG RLEPGAATGPK >ENSMUSP00000124111.1 pep:known chromosome:GRCm38:5:124119747:124187182:-1 gene:ENSMUSG00000029406.15 transcript:ENSMUST00000161938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm2 description:phosphatidylinositol transfer protein, membrane-associated 2 [Source:MGI Symbol;Acc:MGI:1336192] MIIKEYRIPLPMTVDEYRIAQLYMIQKKSRNETHGQGSGVEILENRPYTDGPGGSGQYTH KVYHVGMHIPGWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDTG ENNNVFNLSPVEKSQLITDIIDIVKDPVPPSEYKTEEDPKLFQSVKTCRGPLSENWIQEY KKRLLPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRRVMVRAHRQAWCWQDEWYGLTME KIRELEREVQLMLSRKMAQFSEEGPSELSKDSATKDQASGTTSDPGSKNGEPLGRGLKKQ WSTSSKSSRSSKRGASPSRHSISEWRMQSIARDSDEGSEEEFFDAHENLYCTEEKQAKDM TKWNSNDLMDKMESPEPEESQDEIYQQSGSEFRVASSVEQLNIIEDEVSQPLAAPPSKIH VLLLVLHGGTILDTGAGDPSSKQGDTNTITNVFDTVMRVHYPSALGHLAIRLVPCPPICA DAFALVSNLSPYGHDEGCLSSSQDHIPLAALPLLATSSPQYQEAVATVIQRANLAYGDFI KSQEGVTFNGQVCLIGDCVGGILAFDALCYSGQPVSESQSSSRRGSVVSMQDADLLSPGT LANAAHCSGGSGGGGSGGSSLESSRHLSRSNIDIPRSNGTEDSRRQLPRKRSDSSTYELD TIQQHQAFLSSLHASVLRNEPSSRRSSSSTMLDGAGALGKFDFEIADLFLFGCPLGLVLA LRKTVIPSLDVFQLRPACQQVYNLFHPADPSASRLEPLLERRFHSLPPFSIPRYQRYPLG DGCSTLLADVLQTHNTVFQEHAAPSSPGTAPAGRGFRRASEISIASQVSGMAESYTASSI AQKGPSSLNHTPSIRRLSLLALPPPSPTTQGPRARARQVSPNLERAPCLPDLDIGEVAAK WWGQKRIDYALYCPDALTAFPTVALPHLFHASYWESTDVVSFLLRQVMRHDSSSILELDG KEVSVFTPSQPRERWQRKRTHVKLRNVAANHRINDAVANEDGPQVVTGRFMYGPLDMVTL TGEKVDVHIMTQPPSGEWLHLDTLVTNSSGRVSYTIPETHRLGVGVYPIKMVVRGDHTFA DSYITVLPRGTEFVVFSIDGSFAASVSIMGSDPKVRAGAVDVVRHWQDLGYLIIYVTGRP DMQKQRVVAWLAQHNFPHGVVSFCDGLVHDPLRHKANFLKLLISELHLRAHAAYGSTKDV AVYNSISLSPMHIYIVGRPTKKLQQQCQFITDGYAAHLAQLKYNHRARPARNTATRMALR KGSFGLPGQSDFLRSRNHLLRTISAQPSGPSHRHDRTQTQMDSEQRGQRSMSVAASCWGR AMAGRLEPGAATGPK >ENSMUSP00000143269.1 pep:known chromosome:GRCm38:5:124132805:124189747:-1 gene:ENSMUSG00000029406.15 transcript:ENSMUST00000159677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm2 description:phosphatidylinositol transfer protein, membrane-associated 2 [Source:MGI Symbol;Acc:MGI:1336192] MIIKEYRIPLPMTVDEYRIAQLYMIQKKSRNETHGQGSGVEILENRPYTDGPGGSGQYTH KVYHVGMHIPGWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDTG ENNNVFNLSPVEKSQLITDIIDIVKDPVPPSEYKTEEDPKLFQSVKTCRGPLSENWIQEY KKRLLPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRRVMVRAHRQAWCWQDEWYGLTME KIRELEREVQLMLSRKMAQFSEEGPSELSKDSATKDQASGTTSDPGSKNGEPLGRGLKKQ WSTSSKSSRSSKRGASPSRHSISEWRMQSIARDSDEGSEEEFFDAHENLYCTEEKQAKDM TKWNSNDLMDKMESPEPEESQDEIYQQSGSEFRVASSVEQLNIIEKKTKTYKFPYCLWHK KTKPKKEKYQEPPRQK >ENSMUSP00000125073.1 pep:known chromosome:GRCm38:5:124143606:124185118:-1 gene:ENSMUSG00000029406.15 transcript:ENSMUST00000161644.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm2 description:phosphatidylinositol transfer protein, membrane-associated 2 [Source:MGI Symbol;Acc:MGI:1336192] MIIKEYRIPLPMTVDEYRIAQLYMIQKKSRNETHGQGSGV >ENSMUSP00000083292.4 pep:known chromosome:GRCm38:5:124118690:124216418:-1 gene:ENSMUSG00000029406.15 transcript:ENSMUST00000086123.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm2 description:phosphatidylinositol transfer protein, membrane-associated 2 [Source:MGI Symbol;Acc:MGI:1336192] MIIKEYRIPLPMTVDEYRIAQLYMIQKKSRNETHGQGSGVEILENRPYTDGPGGSGQYTH KVYHVGMHIPGWFRSILPKAALRVVEESWNAYPYTRTRFTCPFVEKFSIDIETFYKTDTG ENNNVFNLSPVEKSQLITDIIDIVKDPVPPSEYKTEEDPKLFQSVKTCRGPLSENWIQEY KKRLLPIMCAYKLCKVEFRYWGMQSKIERFIHDTGLRRVMVRAHRQAWCWQDEWYGLTME KIRELEREVQLMLSRKMAQFSEEGPSELSKDSATKDQASGTTSDPGSKNGEPLGRGLKKQ WSTSSKSSRSSKRGASPSRHSISEWRMQSIARDSDEGSEEEFFDAHENLYCTEEKQAKDM TKWNSNDLMDKMESPEPEESQDEIYQQSGSEFRVASSVEQLNIIEDEVSQPLAAPPSKIH VLLLVLHGGTILDTGAGDPSSKQGDTNTITNVFDTVMRVHYPSALGHLAIRLVPCPPICA DAFALVSNLSPYGHDEGCLSSSQDHIPLAALPLLATSSPQYQEAVATVIQRANLAYGDFI KSQEGVTFNGQVCLIGDCVGGILAFDALCYSGQPVSESQSSSRRGSVVSMQDADLLSPGT LANAAHCSGGSGGGGSGGSSLESSRHLSRSNIDIPRSNGTEDSRRQLPRKRSDSSTYELD TIQQHQAFLSSLHASVLRNEPSSRRSSSSTMLDGAGALGKFDFEIADLFLFGCPLGLVLA LRKTVIPSLDVFQLRPACQQVYNLFHPADPSASRLEPLLERRFHSLPPFSIPRYQRYPLG DGCSTLLADVLQTHNTVFQEHAAPSSPGTAPAGRGFRRASEISIASQVSGMAESYTASSI AQIAAKWWGQKRIDYALYCPDALTAFPTVALPHLFHASYWESTDVVSFLLRQVMRHDSSS ILELDGKEVSVFTPSQPRERWQRKRTHVKLRNVAANHRINDAVANEDGPQVVTGRFMYGP LDMVTLTGEKVDVHIMTQPPSGEWLHLDTLVTNSSGRVSYTIPETHRLGVGVYPIKMVVR GDHTFADSYITVLPRGTEFVVFSIDGSFAASVSIMGSDPKVRAGAVDVVRHWQDLGYLII YVTGRPDMQKQRVVAWLAQHNFPHGVVSFCDGLVHDPLRHKANFLKLLISELHLRAHAAY GSTKDVAVYNSISLSPMHIYIVGRPTKKLQQQCQFITDGYAAHLAQLKYNHRARPARNTA TRMALRKGSFGLPGQSDFLRSRNHLLRTISAQPSGPSHRHDRTQTQMDSEQRGQRSMSVA ASCWGRAMAGRLEPGAATGPK >ENSMUSP00000036541.3 pep:known chromosome:GRCm38:8:3676299:3681255:1 gene:ENSMUSG00000040236.4 transcript:ENSMUST00000044857.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc5 description:trafficking protein particle complex 5 [Source:MGI Symbol;Acc:MGI:1913932] MEARFTRGKSALLERALVRPRTEVSLSAFALLFSELVQHCQSRVFSVAELQARLAALGRQ VGARVLDALVAREKGARRETKVLGALLFVKGAVWKALFGKEADKLEQANDDARTFYIIER EPLINTYISVPKENSTLNCASFTAGIVEAVLTHSGFPAKVTAHWHKGTTLMIKFEEAVIA RDRALEGR >ENSMUSP00000146674.1 pep:known chromosome:GRCm38:8:3676510:3680920:1 gene:ENSMUSG00000040236.4 transcript:ENSMUST00000207787.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc5 description:trafficking protein particle complex 5 [Source:MGI Symbol;Acc:MGI:1913932] MEARFTRGKSALLERALVRPRTEVSLSAFALLFSELVQHCQSRVFSVAELQARLAALGRQ VGARVLDALVAREKGARRETKVLGALLFVKGAVWKALFGKEADKLEQANDDARTFYIIER EPLINTYISVPKENSTLNCASFTAGIVEAVLTHSGFPAKVTAHWHKGTTLMIKFEEAVIA RDRALEGR >ENSMUSP00000078766.7 pep:known chromosome:GRCm38:15:97792664:97831494:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000079838.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] MHSPGAGCPALQPDTPGSQPQPMDLRVGQRPTVEPPPEPALLTLQHPQRLHRHLFLAGLH QQQRSAEPMRLSMDPPMPELQGGQQEQELRQLLNKDKSKRSAVASSVVKQKLAEVILKKQ QAALERTVHPSSPSIPYSLPTEPPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRKES APPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEHGPNPALGSEALLGQRLRLQETSLA PFALPTVSLLPAITLGLPAPARADGDRRTHSTLGPRGPVLGNPHAPLFLHHGLEPEAGGT LPSRLQPILLLDPSVSHAPLWTVPGLGPLPFHFAQPLLTTERLSGSGLHRPLNRTRSEPL PPSATASPLLAPLQPRQDRLKPHVQLIKRPAKPSEKPRLRQIPSAEDLETDGGGVGPMAN DGLEHRESGRGPPEGRGSISLQQHQQVPPWEQQHLAGRLSQGSPGDSVLIPLAQVGHRPL SRTQSSPAAPVSLLSPEPTCQTQVLNSSETPATGLVYDSVMLKHQCSCGDNSKHPEHAGR IQSIWSRLQERGLRSQCECLRGRKASLEELQSVHSERHVLLYGTNPLSRLKLDNGKLTGL LAQRTFVMLPCGGVGVDTDTIWNELHSSNAARWAAGSVTDLAFKVASRELKNGFAVVRPP GHHADHSTAMGFCFFNSVAIACRQLQQHGKASKILIVDWDVHHGNGTQQTFYQDPSVLYI SLHRHDDGNFFPGSGAVDEVGTGSGEGFNVNVAWAGGLDPPMGDPEYLAAFRIVVMPIAR EFAPDLVLVSAGFDAAEGHPAPLGGYHVSAKCFGYMTQQLMNLAGGAVVLALEGGHDLTA ICDASEACVAALLGNKVDPLSEESWKQKPNLSAIRSLEAVVRVHRKYWGCMQRLASCPDS WLPRVPGADAEVEAVTALASLSVGILAEDRPSERLVEEEEPMNL >ENSMUSP00000112109.2 pep:known chromosome:GRCm38:15:97792666:97844502:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000116408.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] MDLRVGQRPTVEPPPEPALLTLQHPQRLHRHLFLAGLHQQQRSAEPMRLSMDPPMPELQG GQQEQELRQLLNKDKSKRSAVASSVVKQKLAEVILKKQQAALERTVHPSSPSIPYRTLEP LDTEGAARSVLSSFLPPVPSLPTEPPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRK ESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEHGPNPALGSEADGDRRTHSTLGP RGPVLGNPHAPLFLHHGLEPEAGGTLPSRLQPILLLDPSVSHAPLWTVPGLGPLPFHFAQ PLLTTERLSGSGLHRPLNRTRSEPLPPSATASPLLAPLQPRQDRLKPHVQLIKPAISPPQ RPAKPSEKPRLRQIPSAEDLETDGGGVGPMANDGLEHRESGRGPPEGRGSISLQQHQQVP PWEQQHLAGRLSQGSPGDSVLIPLAQVGHRPLSRTQSSPAAPVSLLSPEPTCQTQVLNSS ETPATGLVYDSVMLKHQCSCGDNSKHPEHAGRIQSIWSRLQERGLRSQCECLRGRKASLE ELQSVHSERHVLLYGTNPLSRLKLDNGKLTGLLAQRTFVMLPCGGVGVDTDTIWNELHSS NAARWAAGSVTDLAFKVASRELKNGFAVVRPPGHHADHSTAMGFCFFNSVAIACRQLQQH GKASKILIVDWDVHHGNGTQQTFYQDPSVLYISLHRHDDGNFFPGSGAVDEVGTGSGEGF NVNVAWAGGLDPPMGDPEYLAAFRIVVMPIAREFAPDLVLVSAGFDAAEGHPAPLGGYHV SAKCFGYMTQQLMNLAGGAVVLALEGGHDLTAICDASEACVAALLGNKVDPLSEESWKQK PNLSAIRSLEAVVRVHRKYWGCMQRLASCPDSWLPRVPGADAEVEAVTALASLSVGILAE DRPSERLVEEEEPMNL >ENSMUSP00000085744.5 pep:known chromosome:GRCm38:15:97792681:97831767:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000088402.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] MHSPGAGCPALQPDTPGSQPQPMDLRVGQRPTVEPPPEPALLTLQHPQRLHRHLFLAGLH QQQRSAEPMRLSMDPPMPELQGGQQEQELRQLLNKDKSKRSAVASSVVKQKLAEVILKKQ QAALERTVHPSSPSIPYRTLEPLDTEGAARSVLSSFLPPVPSLPTEPPEHFPLRKTVSEP NLKLRYKPKKSLERRKNPLLRKESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEH GPNPALGSEADGDRRTHSTLGPRGPVLGNPHAPLFLHHGLEPEAGGTLPSRLQPILLLDP SVSHAPLWTVPGLGPLPFHFAQPLLTTERLSGSGLHRPLNRTRSEPLPPSATASPLLAPL QPRQDRLKPHVQLIKPAISPPQRPAKPSEKPRLRQIPSAEDLETDGGGVGPMANDGLEHR ESGRGPPEGRGSISLQQHQQVPPWEQQHLAGRLSQGSPGDSVLIPLAQVGHRPLSRTQSS PAAPVSLLSPEPTCQTQVLNSSETPATGLVYDSVMLKHQCSCGDNSKHPEHAGRIQSIWS RLQERGLRSQCECLRGRKASLEELQSVHSERHVLLYGTNPLSRLKLDNGKLTGLLAQRTF VMLPCGGVGVDTDTIWNELHSSNAARWAAGSVTDLAFKVASRELKNGFAVVRPPGHHADH STAMGFCFFNSVAIACRQLQQHGKASKILIVDWDVHHGNGTQQTFYQDPSVLYISLHRHD DGNFFPGSGAVDEVGTGSGEGFNVNVAWAGGLDPPMGDPEYLAAFRIVVMPIAREFAPDL VLVSAGFDAAEGHPAPLGGYHVSAKCFGYMTQQLMNLAGGAVVLALEGGHDLTAICDASE ACVAALLGNKVDPLSEESWKQKPNLSAIRSLEAVVRVHRKYWGCMQRLASCPDSWLPRVP GADAEVEAVTALASLSVGILAEDRPSERLVEEEEPMNL >ENSMUSP00000112641.1 pep:known chromosome:GRCm38:15:97792688:97814863:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000121514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] MDLRVGQRPTVEPPPEPALLTLQHPQRLHRHLFLAGLHQQQRSAEPMRLSMDPPMPELQG GQQEQELRQLLNKDKSKRSAVASSVVKQKLAEVILKKQQAALERTVHPSSPSIPYRTLEP LDTEGAARSVLSSFLPPVPSLPTEPPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRK ESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEHGPNPALGSEADGDRRTHSTLGP RGPVLGNPHAPLFLHHGLEPEAGGTLPSRLQPILLLDPSVSHAPLWTVPGLGPLPFHFAQ PLLTTERLSGSGLHRPLNRTRSEPLPPSATASPLLAPLQPRQDRLKPHVQLIKVPPWEQQ HLAGRLSQGSPGDSVLIPLAQVGHRPLSRTQSSPAAPVSLLSPEPTCQTQVLNSSETPAT GLVYDSVMLKHQCSCGDNSKHPEHAGRIQSIWSRLQERGLRSQCECLRGRKASLEELQSV HSERHVLLYGTNPLSRLKLDNGKLTGLLAQRTFVMLPCGGVGVDTDTIWNELHSSNAARW AAGSVTDLAFKVASRELKNGFAVVRPPGHHADHSTAMGFCFFNSVAIACRQLQQHGKASK ILIVDWDVHHGNGTQQTFYQDPSVLYISLHRHDDGNFFPGSGAVDEVGTGSGEGFNVNVA WAGGLDPPMGDPEYLAAFRIVVMPIAREFAPDLVLVSAGFDAAEGHPAPLGGYHVSAKCF GYMTQQLMNLAGGAVVLALEGGHDLTAICDASEACVAALLGNKVDPLSEESWKQKPNLSA IRSLEAVVRVHRKYWGCMQRLASCPDSWLPRVPGADAEVEAVTALASLSVGILAEDRPSE RLVEEEEPMNL >ENSMUSP00000112459.1 pep:known chromosome:GRCm38:15:97792688:97844269:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000119670.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] MDLRVGQRPTVEPPPEPALLTLQHPQRLHRHLFLAGLHQQQRSAEPMRLSMDPPMPELQG GQQEQELRQLLNKDKSKRSAVASSVVKQKLAEVILKKQQAALERTVHPSSPSIPYSLPTE PPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRKESAPPSLRRRPAETLGDSSPSSSS TPASGCSSPNDSEHGPNPALGSEADGDRRTHSTLGPRGPVLGNPHAPLFLHHGLEPEAGG TLPSRLQPILLLDPSVSHAPLWTVPGLGPLPFHFAQPLLTTERLSGSGLHRPLNRTRSEP LPPSATASPLLAPLQPRQDRLKPHVQLIKPAISPPQRPAKPSEKPRLRQIPSAEDLETDG GGVGPMANDGLEHRESGRGPPEGRGSISLQQHQQVPPWEQQHLAGRLSQGSPGDSVLIPL AQVGHRPLSRTQSSPAAPVSLLSPEPTCQTQVLNSSETPATGLVYDSVMLKHQCSCGDNS KHPEHAGRIQSIWSRLQERGLRSQCECLRGRKASLEELQSVHSERHVLLYGTNPLSRLKL DNGKLTGLLAQRTFVMLPCGGVGVDTDTIWNELHSSNAARWAAGSVTDLAFKVASRELKN GFAVVRPPGHHADHSTAMGFCFFNSVAIACRQLQQHGKASKILIVDWDVHHGNGTQQTFY QDPSVLYISLHRHDDGNFFPGSGAVDEVGTGSGEGFNVNVAWAGGLDPPMGDPEYLAAFR IVVMPIAREFAPDLVLVSAGFDAAEGHPAPLGGYHVSAKCFGYMTQQLMNLAGGAVVLAL EGGHDLTAICDASEACVAALLGNKVDPLSEESWKQKPNLSAIRSLEAVVRVHRKYWGCMQ RLASCPDSWLPRVPGADAEVEAVTALASLSVGILAEDRPSERLVEEEEPMNL >ENSMUSP00000112110.2 pep:known chromosome:GRCm38:15:97792690:97844302:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000116409.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] MDLRVGQRPTVEPPPEPALLTLQHPQRLHRHLFLAGLHQQQRSAEPMRLSMDPPMPELQG GQQEQELRQLLNKDKSKRSAVASSVVKQKLAEVILKKQQAALERTVHPSSPSIPYRTLEP LDTEGAARSVLSSFLPPVPSLPTEPPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRK ESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEHGPNPALGSEALLGQRLRLQETS LAPFALPTVSLLPAITLGLPAPARADGDRRTHSTLGPRGPVLGNPHAPLFLHHGLEPEAG GTLPSRLQPILLLDPSVSHAPLWTVPGLGPLPFHFAQPLLTTERLSGSGLHRPLNRTRSE PLPPSATASPLLAPLQPRQDRLKPHVQLIKPAISPPQRPAKPSEKPRLRQIPSAEDLETD GGGVGPMANDGLEHRESGRGPPEGRGSISLQQHQQVPPWEQQHLAGRLSQGSPGDSVLIP LAQVGHRPLSRTQSSPAAPVSLLSPEPTCQTQVLNSSETPATGLVYDSVMLKHQCSCGDN SKHPEHAGRIQSIWSRLQERGLRSQCECLRGRKASLEELQSVHSERHVLLYGTNPLSRLK LDNGKLTGLLAQRTFVMLPCGGVGVDTDTIWNELHSSNAARWAAGSVTDLAFKVASRELK NGFAVVRPPGHHADHSTAMGFCFFNSVAIACRQLQQHGKASKILIVDWDVHHGNGTQQTF YQDPSVLYISLHRHDDGNFFPGSGAVDEVGTGSGEGFNVNVAWAGGLDPPMGDPEYLAAF RIVVMPIAREFAPDLVLVSAGFDAAEGHPAPLGGYHVSAKCFGYMTQQLMNLAGGAVVLA LEGGHDLTAICDASEACVAALLGNKVDPLSEESWKQKPNLSAIRSLEAVVRVHRKYWGCM QRLASCPDSWLPRVPGADAEVEAVTALASLSVGILAEDRPSERLVEEEEPMNL >ENSMUSP00000113380.1 pep:known chromosome:GRCm38:15:97792709:97831494:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000118294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] MDLRVGQRPTVEPPPEPALLTLQHPQRLHRHLFLAGLHQQQRSAEPMRLSMDPPMPELQG GQQEQELRQLLNKDKSKRSAVASSVVKQKLAEVILKKQQAALERTVHPSSPSIPYRTLEP LDTEGAARSVLSSFLPPVPSLPTEPPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRK ESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEHGPNPALGSEALLGQRLRLQETS LAPFALPTVSLLPAITLGLPAPARADGDRRTHSTLGPRGPVLGNPHAPLFLHHGLEPEAG GTLPSRLQPILLLDPSVSHAPLWTVPGLGPLPFHFAQPLLTTERLSGSGLHRPLNRTRSE PLPPSATASPLLAPLQPRQDRLKPHVQLIKRPAKPSEKPRLRQIPSAEDLETDGGGVGPM ANDGLEHRESGRGPPEGRGSISLQQHQQVPPWEQQHLAGRLSQGSPGDSVLIPLAQVGHR PLSRTQSSPAAPVSLLSPEPTCQTQVLNSSETPATGLVYDSVMLKHQCSCGDNSKHPEHA GRIQSIWSRLQERGLRSQCECLRGRKASLEELQSVHSERHVLLYGTNPLSRLKLDNGKLT GLLAQRTFVMLPCGGVGVDTDTIWNELHSSNAARWAAGSVTDLAFKVASRELKNGFAVVR PPGHHADHSTAMGFCFFNSVAIACRQLQQHGKASKILIVDWDVHHGNGTQQTFYQDPSVL YISLHRHDDGNFFPGSGAVDEVGTGSGEGFNVNVAWAGGLDPPMGDPEYLAAFRIVVMPI AREFAPDLVLVSAGFDAAEGHPAPLGGYHVSAKCFGYMTQQLMNLAGGAVVLALEGGHDL TAICDASEACVAALLGNKVDPLSEESWKQKPNLSAIRSLEAVVRVHRKYWGCMQRLASCP DSWLPRVPGADAEVEAVTALASLSVGILAEDRPSERLVEEEEPMNL >ENSMUSP00000112446.1 pep:known chromosome:GRCm38:15:97792709:97844164:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000120683.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] MDLRVGQRPTVEPPPEPALLTLQHPQRLHRHLFLAGLHQQQRSAEPMRLSMDPPMPELQG GQQEQELRQLLNKDKSKRSAVASSVVKQKLAEVILKKQQAALERTVHPSSPSIPYRTLEP LDTEGAARSVLSSFLPPVPSLPTEPPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRK ESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEHGPNPALGSEADGDRRTHSTLGP RGPVLGNPHAPLFLHHGLEPEAGGTLPSRLQPILLLDPSVSHAPLWTVPGLGPLPFHFAQ PLLTTERLSGSGLHRPLNRTRSEPLPPSATASPLLAPLQPRQDRLKPHVQLIKPAISPPQ RPAKPSEKPRLRQIPSAEDLETDGGGVGPMANDGLEHRESGRGPPEGRGSISLQQHQQVP PWEQQHLAGRLSQGSPGDSVLIPLAQVGHRPLSRTQSSPAAPVSLLSPEPTCQTQVLNSS ETPATGLVYDSVMLKHQCSCGDNSKHPEHAGRIQSIWSRLQERGLRSQCECLRGRKASLE ELQSVHSERHVLLYGTNPLSRLKLDNGKLTGLLAQRTFVMLPCGGVGVDTDTIWNELHSS NAARWAAGSVTDLAFKVASRELKDVHHGNGTQQTFYQDPSVLYISLHRHDDGNFFPGSGA VDEVGTGSGEGFNVNVAWAGGLDPPMGDPEYLAAFRIVVMPIAREFAPDLVLVSAGFDAA EGHPAPLGGYHVSAKCFGYMTQQLMNLAGGAVVLALEGGHDLTAICDASEACVAALLGNK VDPLSEESWKQKPNLSAIRSLEAVVRVHRKYWGCMQRLASCPDSWLPRVPGADAEVEAVT ALASLSVGILAEDRPSERLVEEEEPMNL >ENSMUSP00000120576.1 pep:known chromosome:GRCm38:15:97797799:97831469:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000156045.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] MHSPGAGCPALQPDTPGSQPQPMDLRVGQRPTVEPPPEPALLTLQHPQRLHRHLFLAGLH QQQRSAEPMRLSMDPPMPELQGGQQEQELRQLLNKDKSKRSAVASSVVKQKLAEVILKKQ QAALERTVHPSSPSIPYRTLEPLDTEGAARSVLSSFLPPVPSLPTEPPEHFPLRKTVSEP NLKLRYKPKKSLERRKNPLLRKESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEH GPNPALGSEADGDRRTHSTLGPRGPVLGNPHAPLFLHHGLEPEAGGTLPSRLQPILLLDP SVSHAPLWTVPGLGPLPFHFAQPLLTTERLSGSGLHRPLNRTRSEPLPPSATASPLLAPL QPRQDRLKPHVQLIKPAISPPQRPAKPSEKPRLRQIPSAEDLETDGGGVGPMANDGLEHR ESGRGPPEGRGSISLQQHQQVPPWEQQHLAGRLSQGSPGDSVLIPLAQVGHRPLSRTQSS PAAPVSLLSPEPTCQTQVLNSSETPATGLVYDSVMLKHQCSCGDNSKHPEHAGRIQSIWS RLQERGLRSQCECLRGRKASLEELQSVHSERHVLLYGTNPLSRLKLDNGKLTGLLAQRTF VMLPCGGVGGLLLLQLRGHRLPTATATRQSQQDPHC >ENSMUSP00000119970.1 pep:known chromosome:GRCm38:15:97799609:97807633:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000135651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] XEGRGSISLQQHQQVPPWEQQHLAGRLSQGSPGDSVLIPLAQVGHRPLSRTQSSPAAPVS LLSPEPTCQTQVLNSSETPATGLVYDSVMLKHQCSCGDNSKHPEHAGRIQSIWSRLQERG LRSQCECLRGRKASLEELQSVHSERHVLLYGTNPLSRLKLDNGKLTGLLAQRTFVMLPCG GVGNGFAVVRPPGHHADHSTAMGFCFFNSVAIA >ENSMUSP00000118599.1 pep:known chromosome:GRCm38:15:97801282:97807984:-1 gene:ENSMUSG00000022475.18 transcript:ENSMUST00000134258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac7 description:histone deacetylase 7 [Source:MGI Symbol;Acc:MGI:1891835] XHVQLIKPAISPPQRPAKPSEKPRLRQIPSAEDLETDGGGVGPMANDGLEHRESGRGPPE GRGSISLQQHQQVPPWEQQHLAGRLSQGSPGDSVLIPLAQVGHRPLSRTQSSPAAPVSLL SPEPTCQTQVLNSSETPATGLVYDSVMLKHQCSCGDNSKHPEHAGRIQSIWSRLQERGLR SQCECLRGRKASLEELQSVHSERHVLLYGTNPLSRLKLDNGKLTGLLAQRTFVMLPCGGV GPLATLSAFLASLGPPR >ENSMUSP00000146856.1 pep:known chromosome:GRCm38:2:111489218:111493815:-1 gene:ENSMUSG00000095809.2 transcript:ENSMUST00000207707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1290 description:olfactory receptor 1290 [Source:MGI Symbol;Acc:MGI:3031124] MDEGNHTMVSEFILWGLSSSQRIEVLLFMVFSMLYLLIVSGNIVILVLITTDPHLHSPMY FLLANLSFIDMWLSSVTTPKMITDFLRENKTISFAGCMSQVFFAHCIAAGEMVLLVIMAY DRYVAICKPLHYFTIMNMKRCTGLVFTSWTTGFVHALSHLVVIVELPFCGPKEIDSFFCD MPLVIKLACIDSRDLDVLMNADCGLVAVTCFILLLISYTYILITVCQSSKAGASKAMNTC TAHITVVLIFFVPCIFIYVWPLNITWLDKFLAVFYSVFTPLLNPAIYTLRNKEMKNAMKR FISNYLSHKGNL >ENSMUSP00000146756.1 pep:known chromosome:GRCm38:2:111489832:111493763:-1 gene:ENSMUSG00000095809.2 transcript:ENSMUST00000208881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1290 description:olfactory receptor 1290 [Source:MGI Symbol;Acc:MGI:3031124] MDEGNHTMVSEFILWGLSSSQRIEVLLFMVFSMLYLLIVSGNIVILVLITTDPHLHSPMY FLLANLSFIDMWLSSVTTPKMITDFLRENKTISFAGCMSQVFFAHCIA >ENSMUSP00000146457.1 pep:known chromosome:GRCm38:2:111489907:111493797:-1 gene:ENSMUSG00000095809.2 transcript:ENSMUST00000208695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1290 description:olfactory receptor 1290 [Source:MGI Symbol;Acc:MGI:3031124] MDEGNHTMVSEFILWGLSSSQRIEVLLFMVFSMLYLLIVSGNIVILVLITTDPHLHSPMY FLLANLSFIDMWLSSVTTPKMIT >ENSMUSP00000097211.1 pep:known chromosome:GRCm38:2:111489218:111490156:-1 gene:ENSMUSG00000095809.2 transcript:ENSMUST00000099616.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1290 description:olfactory receptor 1290 [Source:MGI Symbol;Acc:MGI:3031124] MDEGNHTMVSEFILWGLSSSQRIEVLLFMVFSMLYLLIVSGNIVILVLITTDPHLHSPMY FLLANLSFIDMWLSSVTTPKMITDFLRENKTISFAGCMSQVFFAHCIAAGEMVLLVIMAY DRYVAICKPLHYFTIMNMKRCTGLVFTSWTTGFVHALSHLVVIVELPFCGPKEIDSFFCD MPLVIKLACIDSRDLDVLMNADCGLVAVTCFILLLISYTYILITVCQSSKAGASKAMNTC TAHITVVLIFFVPCIFIYVWPLNITWLDKFLAVFYSVFTPLLNPAIYTLRNKEMKNAMKR FISNYLSHKGNL >ENSMUSP00000085440.5 pep:known chromosome:GRCm38:5:30193431:30205722:-1 gene:ENSMUSG00000067642.11 transcript:ENSMUST00000088117.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrf3 description:adhesion G protein-coupled receptor F3 [Source:MGI Symbol;Acc:MGI:2685887] MSSLALSQLLLAVTLPLLELEPTFVPTAQSELSPYGGKSGQQLNQYSGEGESVLVSSYVH LEFSSTAWPQELSKNFTLPTALAVLPPKTLTGLGLTTECRANHSGTSLCTCHPGYQWNAT LCSLYPHCWGRRSERDSCMCRSFHGPVTGYCQLLPPVPANLILDSQLQMHGNTLNLILLK KEEATNLRWFLRHSKNHTPISLWPGTNVLQTSSEGQSGLRVARMSRHWAGEYESIFEAQG FRWRLRQLVKVPLQEEEVVRLPDALSISCTASTGFQLSCCIPLTTDYTATWSPGEDSQAS LQKMSDSQCFVLAVPHCPATNTTYTCTLQSQNLPPLVAPVSITIIQDGDTTCPEDFSVVS WNVTKAGFVAQAPCPVNKKGVVKRLCGTDGIWRPVQNTCTEAKILTLCLKAKLLEGQGKP YEEVPWILSELQEQVGVASTPSDLWEMLHTVTLLAKVVAETSTELTGSALKDLLTTTDKI LDANISALWTLAQAQEPSMGSDFLKAVETLVHSLRPQQHPFSFSSANVLLQSQLLRHSSP PGYQMSFSTWPLLQARIPWHSLAPLVHSGTNVSITSLVLQKLDYRLPSNYTQGLWNTPYT TPGLILVVSITADGQAFTQAEVIMDYEDMNGTLHCVFWDHRVFQGQGGWSDEGCEVHAAN ASITQCICQHLTAFSILMSQHTVPENPTLDLLSQVGTGASVLALLVCLAIYGLVWRVVVR NKVAFFRHTTLFNMVICLLVADTCFLGSPFLPSGYHSLICLVTAFLCHFFYLATFFWMLA QALVLAHQLLFVFHQLSKHVVLSLMVMLGYLCPLGFAGVTLGLYLPQRKYLWEGKCFLNG GGVMLYSFSEPVLAIVGVNGLVLVIAVLKLLRPSLSEGPTVEKRQALVGVLKALLILTPI FGLTWGLGVATLFDGSIVSHYAFSILNSLQGVFILVFGCLTDKKVLEALRKRLRGSRSSN SAISMVTNETYTSEHSKERSEPASYEERMTD >ENSMUSP00000120958.1 pep:known chromosome:GRCm38:5:30193435:30196418:-1 gene:ENSMUSG00000067642.11 transcript:ENSMUST00000125367.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrf3 description:adhesion G protein-coupled receptor F3 [Source:MGI Symbol;Acc:MGI:2685887] LRPSLSEGPTVEKRQALVGVLKALLILTPIFGLTWGLGVATLFDGSIVSHYAFSILNSLQ VLEALRKRLRGSRSSNSAISMVTNETYTSEHSKERSEPASYEERMTD >ENSMUSP00000072274.4 pep:known chromosome:GRCm38:X:20105755:20291807:-1 gene:ENSMUSG00000037341.13 transcript:ENSMUST00000072451.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a7 description:solute carrier family 9 (sodium/hydrogen exchanger), member 7 [Source:MGI Symbol;Acc:MGI:2444530] MEPSDAARPGPGRAFRGLSPRLLLLPLLPVLLGRGLRAGAAASSGAAAEDSSAMEELATE KEAEESHRQDSVSLLTFILLLTLTILTIWLFKHRRVRFLHETGLAMIYGLIVGVILRYGT PATSGHDKSLSCTQEDRAFSTLLVNVSGKFFEYTLKGEISPGKINNVEQNDMLRKVTFDP EVFFNILLPPIIFHAGYSLKKRHFFRNLGSILAYAFLGTAVSCFIIGNLMYGVVKLMKIV GQLSDKFYYTDCLFFGAIISATDPVTVLAIFNELHADVDLYALLFGESVLNDAVAIVLSS SIVAYQPAGLNTHAFDAAAFFKSVGIFLGIFSGSFTMGAVTGVVTALVTKFTKLHCFPLL ETALFFLMSWSTFLLAEACGFTGVVAVLFCGITQAHYTYNNLSVESRSRSKQLFEVLHFL AENFIFSYMGLALFTFQKHVFSPIFIIGAFVAIFLGRAAHIYPLSFFLNLGRRHKIGWNF QHMMMFSGLRGAMAFALAIRDTASYARQMMFTTTLLIVFFTVWVIGGGTTPMLSWLNIRV GVEELSEEDQNENRWQYFRVGVDPDQDPPPNNDSFQVLQGDGMDSVGGSRTKQESAWIFR LWYIFDHNYLKPILTHSGPPLTTTLPAWCGLLARCLTSPQVYDNQEPLREDDSDFILTEG DLTLTYGDSTVTANGSSSSYTASTSLECGRRTKSSSEEVLERDLGMGDQKVSSRGTPLVF PLQENA >ENSMUSP00000111051.2 pep:known chromosome:GRCm38:X:20152452:20291770:-1 gene:ENSMUSG00000037341.13 transcript:ENSMUST00000115393.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a7 description:solute carrier family 9 (sodium/hydrogen exchanger), member 7 [Source:MGI Symbol;Acc:MGI:2444530] MEPSDAARPGPGRAFRGLSPRLLLLPLLPVLLGRGLRAGAAASSGAAAEDSSAMEELATE KEAEESHRQDSVSLLTFILLLTLTILTIWLFKHRRVRFLHETGLAMIYGLIVGVILRYGT PATSGHDKSLSCTQEDRAFSTLLVNVSGKFFEYTLKGEISPGKINNVEQNDMLRKVTFDP EVFFNILLPPIIFHAGYSLKKRHFFRNLGSILAYAFLGTAVSCFIIGNLMYGVVKLMKIV GQLSDKFYYTDCLFFGAIISATDPVTVLAIFNELHADVDLYALLFGESVLNDAVAIVLSS SIVAYQPAGLNTHAFDAAAFFKSVGIFLGIFSGSFTMGAVTGVVTALVTKFTKLHCFPLL ETALFFLMSWSTFLLAEACGFTGVVAVLFCGITQAHYTYNNLSVESRSRSKQLFEVLHFL AENFIFSYMGLALFTFQKHVFSPIFIIGAFVAIFLGRAAHIYPLSFFLNLGRRHKIGWNF QHMMMFSGLRGAMAFALAIRDTASYARQMMFTTTLLIVFFTVWVIGGGTTPMLSWLNIRY RFWNMIDR >ENSMUSP00000029071.8 pep:known chromosome:GRCm38:3:14641727:14663002:1 gene:ENSMUSG00000027555.8 transcript:ENSMUST00000029071.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car13 description:carbonic anhydrase 13 [Source:MGI Symbol;Acc:MGI:1931322] MARLSWGYGEHNGPIHWNELFPIADGDQQSPIEIKTKEVKYDSSLRPLSIKYDPASAKII SNSGHSFNVDFDDTEDKSVLRGGPLTGNYRLRQFHLHWGSADDHGSEHVVDGVRYAAELH VVHWNSDKYPSFVEAAHESDGLAVLGVFLQIGEHNPQLQKITDILDSIKEKGKQTRFTNF DPLCLLPSSWDYWTYPGSLTVPPLLESVTWIVLKQPISISSQQLARFRSLLCTAEGESAA FLLSNHRPPQPLKGRRVRASFY >ENSMUSP00000030398.3 pep:known chromosome:GRCm38:4:119108711:119137983:1 gene:ENSMUSG00000028645.11 transcript:ENSMUST00000030398.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a1 description:solute carrier family 2 (facilitated glucose transporter), member 1 [Source:MGI Symbol;Acc:MGI:95755] MDPSSKKVTGRLMLAVGGAVLGSLQFGYNTGVINAPQKVIEEFYNQTWNHRYGEPIPSTT LTTLWSLSVAIFSVGGMIGSFSVGLFVNRFGRRNSMLMMNLLAFVAAVLMGFSKLGKSFE MLILGRFIIGVYCGLTTGFVPMYVGEVSPTALRGALGTLHQLGIVVGILIAQVFGLDSIM GNADLWPLLLSVIFIPALLQCILLPFCPESPRFLLINRNEENRAKSVLKKLRGTADVTRD LQEMKEEGRQMMREKKVTILELFRSPAYRQPILIAVVLQLSQQLSGINAVFYYSTSIFEK AGVQQPVYATIGSGIVNTAFTVVSLFVVERAGRRTLHLIGLAGMAGCAVLMTIALALLER LPWMSYLSIVAIFGFVAFFEVGPGPIPWFIVAELFSQGPRPAAIAVAGFSNWTSNFIVGM CFQYVEQLCGPYVFIIFTVLLVLFFIFTYFKVPETKGRTFDEIASGFRQGGASQSDKTPE ELFHPLGADSQV >ENSMUSP00000118641.2 pep:known chromosome:GRCm38:4:119108804:119132600:1 gene:ENSMUSG00000028645.11 transcript:ENSMUST00000134105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a1 description:solute carrier family 2 (facilitated glucose transporter), member 1 [Source:MGI Symbol;Acc:MGI:95755] MKKVTGRLMLAVGGAVLGSLQFGYNTGVINAPQKVIEEFYNQTWNHRYGEPIPSTTLTTL WSLSVAIFSVGGMIGSFSVGLFVNRFGRRNSMLMMNLLAFVAAVLMGFSKLGKSFEMLIL GRFIIGVYCGLTTGFVPMYV >ENSMUSP00000134126.1 pep:known chromosome:GRCm38:4:119108859:119132572:1 gene:ENSMUSG00000028645.11 transcript:ENSMUST00000144329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a1 description:solute carrier family 2 (facilitated glucose transporter), member 1 [Source:MGI Symbol;Acc:MGI:95755] MLAVGGAVLGSLQFGYNTGVINAPQKVIEEFYNQTWNHRYGEPIPSTTLTTLWSLSVAIF SVGGMIGSFSVGLFVNRFGRRNSMLMMNLLAFVAAVLMGFSKLGKSFEMLILGRFIIGVY CGL >ENSMUSP00000146958.1 pep:known chromosome:GRCm38:4:119108869:119132611:1 gene:ENSMUSG00000028645.11 transcript:ENSMUST00000208090.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a1 description:solute carrier family 2 (facilitated glucose transporter), member 1 [Source:MGI Symbol;Acc:MGI:95755] MDPSSKVIEEFYNQTWNHRYGEPIPSTTLTTLWSLSVAIFSVGGMIGSFSVGLFVNRFGR RNSMLMMNLLAFVAAVLMGFSKLGKSFEMLILGRFIIGVYCGLTTGFVPMYVGEVS >ENSMUSP00000134714.1 pep:known chromosome:GRCm38:4:119108914:119137330:1 gene:ENSMUSG00000028645.11 transcript:ENSMUST00000174372.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc2a1 description:solute carrier family 2 (facilitated glucose transporter), member 1 [Source:MGI Symbol;Acc:MGI:95755] MDPSSKKVTGRLMLAVGGAVLGSLQFGYNTGVINAPQKVIEEFYNQTWNHRYGEPIPSTT LTTLWSLSVAIFSVGGMIGSFSVGLFVNRFGRRNSMLMMNLLAFVAAVLMGFSKLGKSFE MLILGRFIIGVYCGLTTGFVPMYVGEVSPTALRGALGTLHQLGIVVGILIAQC >ENSMUSP00000100038.3 pep:known chromosome:GRCm38:4:40143081:40198338:1 gene:ENSMUSG00000028405.9 transcript:ENSMUST00000102973.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aco1 description:aconitase 1 [Source:MGI Symbol;Acc:MGI:87879] MKNPFAHLAEPLDAAQPGKRFFNLNKLEDSRYGRLPFSIRVLLEAAVRNCDEFLVKKNDI ENILNWNVMQHKNIEVPFKPARVILQDFTGVPAVVDFAAMRDAVKKLGGNPEKINPVCPA DLVIDHSIQVDFNRRADSLQKNQDLEFERNKERFEFLKWGSQAFCNMRIIPPGSGIIHQV NLEYLARVVFDQDGCYYPDSLVGTDSHTTMIDGLGVLGWGVGGIEAEAVMLGQPISMVLP QVIGYKLMGKPHPLVTSTDIVLTITKHLRQVGVVGKFVEFFGPGVAQLSIADRATIANMC PEYGATAAFFPVDEVSIAYLLQTGREEDKVKHIQKYLQAVGMFRDFNDTSQDPDFTQVVE LDLKTVVPCCSGPKRPQDKVAVSEMKKDFESCLGAKQGFKGFQVAPDRHNDRKTFLYSNS EFTLAHGSVVIAAITSCTNTSNPSVMLGAGLLAKKAVEAGLSVKPYIKTSLSPGSGVVTY YLRESGVMPYLSQLGFDVVGYGCMTCIGNSGPLPEPVVEAITQGDLVAVGVLSGNRNFEG RVHPNTRANYLASPPLVIAYAIAGTVRIDFEKEPLGVNAQGRQVFLKDIWPTRDEIQAVE RQHVIPGMFKEVYQKIETVNKSWNALAAPSEKLYAWNPKSTYIKSPPFFESLTLDLQPPK SIVDAYVLLNLGDSVTTDHISPAGNIARNSPAARYLTNRGLTPREFNSYGSRRGNDAIMA RGTFANIRLLNKFLNKQAPQTVHLPSGETLDVFDAAERYQQAGLPLIVLAGKEYGSGSSR DWAAKGPFLLGIKAVLAESYERIHRSNLVGMGVIPLEYLPGETADSLGLTGRERYTINIP EDLKPRMTVQIKLDTGKTFQAVMRFDTDVELTYFHNGGILNYMIRKMAQ >ENSMUSP00000063051.5 pep:known chromosome:GRCm38:10:34282190:34285275:1 gene:ENSMUSG00000047514.6 transcript:ENSMUST00000061372.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspyl1 description:testis-specific protein, Y-encoded-like 1 [Source:MGI Symbol;Acc:MGI:1298395] MSSPERDEGTPVPDSRGHCDADTVSGTPDRRPLLGEEKAVTGEGRAGIVGSPAPRDVEGL VPQIRVAAARQGESPPSVRGPAAAVFVTPKYVEKAQETRGAESQARDVKTEPGTVAAAAE KSEVATPGSEEVMEVEQKPAGEEMEMLEASGGVREAPEEAGPWHLGIDLRRNPLEAIQLE LDTVNAQADRAFQHLEQKFGRMRRHYLERRNYIIQNIPGFWMTAFRNHPQLSAMIRGRDA EMLRYVTSLEVKELRHPKTGCKFKFFFRRNPYFRNKLIVKEYEVRSSGRVVSLSTPIIWR RGHEPQSFIRRNQDLICSFFTWFSDHSLPESDRIAEIIKEDLWPNPLQYYLCREGIRRPR RRPIREPVEIPRPFGFQSG >ENSMUSP00000076562.8 pep:known chromosome:GRCm38:13:71957921:71963723:-1 gene:ENSMUSG00000060969.8 transcript:ENSMUST00000077337.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx1 description:Iroquois related homeobox 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1197515] MSFPQLGYPQYLSAAGPGAYGGERPGVLAAAAAAAAAASSGRPGTAELGAGAGAAAVTSV LGMYAAAGPYAGAPNYSAFLPYAADLSLFSQMGSQYELKDNPGVHPATFAAHTTPAYYPY GQFQYGDPGRPKNATRESTSTLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFAN ARRRLKKENKVTWGARSKDQEDGALFGSDTEGDPEKAEDDEEIDLESIDIDQIDERDGDQ SNEDEEDKAEAPRARVAPPASARDQSSPLSAAETLKSQDSPLGLVKEVSEPGSTRLLSPG AAAVGLQGAPHSKPKIWSLAETATSPDGAPKASPPPPSSHASAHGPPSGSPLQHPAFLPS HGLYTCHIGKFSNWTNGAFLAQGSLLNMRSFLGVSAPHAAPHGPHLTAPPPPQPPVQVAT GVLHGEKASARSSPALPERDLVTRPDSPPQQLKSPFQPVRDNSLAPQEGTPRILAALPSA >ENSMUSP00000030434.4 pep:known chromosome:GRCm38:4:135920735:135940311:1 gene:ENSMUSG00000028673.10 transcript:ENSMUST00000030434.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuca1 description:fucosidase, alpha-L- 1, tissue [Source:MGI Symbol;Acc:MGI:95593] MLLLLLLLLVAAAQAVALAPRRFTPDWQSLDSRPLPSWFDEAKFGVFVHWGVFSVPAWGS EWFWWHWQGDRMPAYQRFMTENYPPGFSYADFAPQFTARFFHPDQWAELFQAAGAKYVVL TTKHHEGFTNWPSPVSWNWNSKDVGPHRDLVGELGAAVRKRNIRYGLYHSLLEWFHPLYL LDKKNGFKTQHFVRAKTMPELYDLVNSYKPDLIWSDGEWECPDTYWNSTSFLAWLYNDSP VKDEVIVNDRWGQNCSCHHGGYYNCQDKYKPQSLPDHKWEMCTSMDRASWGYRKDMTMST IAKENEIIEELVQTVSLGGNYLLNIGPTKDGLIVPIFQERLLAVGKWLQINGEAIYASKP WRVQSEKNKTVVWYTTKNATVYATFLYWPENGIVNLKSPKTTSATKITMLGLEGDLSWTQ DPLEGVLISLPQLPPTVLPVEFAWTLKLTKVN >ENSMUSP00000000759.8 pep:known chromosome:GRCm38:8:123204264:123212760:-1 gene:ENSMUSG00000000743.8 transcript:ENSMUST00000000759.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp1a description:charged multivesicular body protein 1A [Source:MGI Symbol;Acc:MGI:1920159] MDDTLFQLKFTAKQLEKLAKKAEKDSKAEQAKVKKALQQKNVECARVYAENAIRKKNEGV NWLRMASRVDAVASKVQTAVTMKGVTKNMAQVTKALDKALSAMDLQKVSAVMDRFEQQVQ NLDVHTSVMEDSVSSATTLTTPQEQVDSLIVQIAEENGLEVLDQLSQLPEGASAVGESSV RSQEDQLSRRLAALRN >ENSMUSP00000046017.4 pep:known chromosome:GRCm38:5:3890581:3893933:-1 gene:ENSMUSG00000040429.6 transcript:ENSMUST00000044746.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf1a description:mitochondrial transcription termination factor 1a [Source:MGI Symbol;Acc:MGI:1918240] MASRNIWCVRRNFLFDLRDWMLQYSAEVFLKSISFRPFSAECDSKDKESLEEEREDLLSN LVTMGVDIDMARRRQPGVFNKAVTNEQELKLFLLSKGASDKVIGSIISRYPRAITRTPES LSKRWDLWRKIMASDLEIVNILERSPESFFRSNNNLNLENNIKFLCSVGLTHKCLCRLLT NAPRTFSNSLNLNKQMVEFLQETGMSLGHNDPRDFVRKIISKNPSILIQSTKRVKTNIEF LQSTFNLNKRDLLLLICGPGARILDLSNDCTKKNYTNIRERLLSLGCSEEEVQRFVLSYL NMVFLSEKKFNDKIDCLIEEKISASQIIENPRILDSSINTLKTRIRELSHAGYDLSTSSI ALLSWSQRRYEAKLKRLCG >ENSMUSP00000113306.1 pep:known chromosome:GRCm38:5:3890584:3893907:-1 gene:ENSMUSG00000040429.6 transcript:ENSMUST00000117463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf1a description:mitochondrial transcription termination factor 1a [Source:MGI Symbol;Acc:MGI:1918240] MASRNIWCVRRNFLFDLRDWMLQYSAEVFLKSISFRPFSAECDSKDKESLEEEREDLLSN LVTMGVDIDMARRRQPGVFNKAVTNEQELKLFLLSKGASDKVIGSIISRYPRAITRTPES LSKRWDLWRKIMASDLEIVNILERSPESFFRSNNNLNLENNIKFLCSVGLTHKCLCRLLT NAPRTFSNSLNLNKQMVEFLQETGMSLGHNDPRDFVRKIISKNPSILIQSTKRVKTNIEF LQSTFNLNKRDLLLLICGPGARILDLSNDCTKKNYTNIRERLLSLGCSEEEVQRFVLSYL NMVFLSEKKFNDKIDCLIEEKISASQIIENPRILDSSINTLKTRIRELSHAGYDLSTSSI ALLSWSQRRYEAKLKRLCG >ENSMUSP00000131138.1 pep:known chromosome:GRCm38:9:67759437:67760930:1 gene:ENSMUSG00000091956.2 transcript:ENSMUST00000171652.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd4b description:C2 calcium-dependent domain containing 4B [Source:MGI Symbol;Acc:MGI:1922947] MKLLGRLRSSTPEPAFSNVLTPGRIPEFCIPPRLQVPGALESPLPAAALPWRCAAEPDLW PRIPDDREDSDEDCAGRTDWDPRSQAALSLPHLPRARTVYGFCALLESPHTRRKESLFLG HPGTPRLGLCHRAHTYASPRRASDSELGAPRDLDKTPPAAPVPRMRRLLRAPDGLLSRAL RAPRGRASARPAPRGDEHERAASCAPPVPSSPDPERLQAEASVALGRGGCTLRLAAEYCP RSACLRLRLLRAEGPAAALEPRALGCRLSLVLRPSGQQRASVVRRSRKAALDQDCCFDRL PEEQLRRLAVRIKAESKGRGLERGRPLGQGELLLGSLLLL >ENSMUSP00000040647.7 pep:known chromosome:GRCm38:4:133292459:133339324:-1 gene:ENSMUSG00000037622.14 transcript:ENSMUST00000043305.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdtc1 description:WD and tetratricopeptide repeats 1 [Source:MGI Symbol;Acc:MGI:2685541] MAKVNITRDLIRRQVKERGALSFERRYHVTDPFIRRLGLEAELQGHSGCVNCLEWNEKGD LLASGSDDQHTIVWDPLHHKKLLSMHTGHTANIFSVKFLPHAGDRILITGAADSKVHVHD LTVKETIHMFGDHTNRVKRIATAPMWPNTFWSAAEDGLIRQYDLRENSKHSEVLIDLTEY CGPMVEAKCLTVNPQDNNCLAVGASGPFVRLYDIRMIHNHRKSMRQSPSAGVHTFCDRQK PLPDGAAQYYVAGHLPVKLPDYNSRLRVLVATYVTFSPNGTELLVNMGGEQVYLFDLTYK QRPYTFLLPRKCHSVEVQNGKMSTNGVSNGVSNGLHLHSNGFRLPESKGCISPQVELPPY LERVKQQANEAFACQQWTQAIQLYSQAVQKAPHNAMLYGNRAAAYMKRKWDGDHYDALRD CLKAISLNPCHLKAHFRLARCLFELKYVAEALECLDDFKGKFPEQAHSSACDALGRDITA ALFSKSDGEEKKAAGGGGGPVRLRSTSRKDSISEDEMVLRERSYDYQFRYCGHCNTTTDI KEANFFGSNAQYIVSGSDDGSFFIWEKETTNLVRVLQGDESIVNCLQPHPSYCFLATSGI DPVVRLWNPRPESEDLTGRVVEDMEGASQANQRRMNANPLEAMLLDMGYRITGLSSGGAG ASDDEDSAEGQVQCRPS >ENSMUSP00000101526.1 pep:known chromosome:GRCm38:4:133292482:133339271:-1 gene:ENSMUSG00000037622.14 transcript:ENSMUST00000105906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdtc1 description:WD and tetratricopeptide repeats 1 [Source:MGI Symbol;Acc:MGI:2685541] MAKVNITRDLIRRQVKERGALSFERRYHVTDPFIRRLGLEAELQGHSGCVNCLEWNEKGD LLASGSDDQHTIVWDPLHHKKLLSMHTGHTANIFSVKFLPHAGDRILITGAADSKVHVHD LTVKETIHMFGDHTNRVKRIATAPMWPNTFWSAAEDGLIRQYDLRENSKHSEVLIDLTEY CGPMVEAKCLTVNPQDNNCLAVGASGPFVRLYDIRMIHNHRKSMRQSPSAGVHTFCDRQK PLPDGAAQYYVAGHLPVKLPDYNSRLRVLVATYVTFSPNGTELLVNMGGEQVYLFDLTYK QRPYTFLLPRKCHSVEVQNGKMSTNGVSNGVSNGLHLHSNGFRLPESKGCISPQVELPPY LERVKQQANEAFACQQWTQAIQLYSQAVQKAPHNAMLYGNRAAAYMKRKWDGDHYDALRD CLKAISLNPCHLKAHFRLARCLFELKYVAEALECLDDFKGKFPEQAHSSACDALGRDITA ALFSKSDGEEKKAAGGGGGPVRLRSTSRKDSISEDEMVLRERSYDYQFRYCGHCNTTTDI KEANFFGRRPPTSSECCRGMSPLSTACSPIPATASWPPVASTLLCDCGTHDQRVKTSQAE LWKIWRVHLRPTSGA >ENSMUSP00000055746.2 pep:known chromosome:GRCm38:2:31806166:31810580:-1 gene:ENSMUSG00000043102.2 transcript:ENSMUST00000057407.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrfp description:pyroglutamylated RFamide peptide [Source:MGI Symbol;Acc:MGI:3630329] MRGFRPLLSLLLPLSACFPLLDRRGPTDIGDIGARMNWAQLAEGHPPNSVQNPQPQALLV VAREQQASHREHTGFRLGRQDGSSEAAGFLPADSEKASGPLGTLAEELSSYSRRKGGFSF RFGR >ENSMUSP00000119556.1 pep:known chromosome:GRCm38:15:99295087:99305965:1 gene:ENSMUSG00000023007.15 transcript:ENSMUST00000128352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf40b description:PRP40 pre-mRNA processing factor 40 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1925583] MMPPPFMPPPGLPPPFPPMGLPPMSQRPPAIPPMPPGILPPMLPPMGAPPPLTQIPGMVP PMMPGMLMPAVPVTAATAPGADTASSAVAGTGPPRALWSEHVAPDGRIYYYNADDKQSVW EKPSVLKSKAELLLSQ >ENSMUSP00000121260.1 pep:known chromosome:GRCm38:15:99295244:99307077:1 gene:ENSMUSG00000023007.15 transcript:ENSMUST00000140806.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prpf40b description:PRP40 pre-mRNA processing factor 40 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1925583] MMPPPFMPPPGLPPPFPPMGLPPMSQRPPAIPPMPPGILPPMLPPMGAPPPLTQIPGMVP PMMPGMLMPAVPVTAALLWLEQALRGPYGVST >ENSMUSP00000115869.1 pep:known chromosome:GRCm38:15:99295271:99317018:1 gene:ENSMUSG00000023007.15 transcript:ENSMUST00000145482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf40b description:PRP40 pre-mRNA processing factor 40 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1925583] MMPPPFMPPPGLPPPFPPMGLPPMSQRPPAIPPMPPGILPPMLPPMGAPPPLTQIPGMVP PMMPGMLMPAVPVTAATAPGADTASSAVAGTGPPRALWSEHVAPDGRIYYYNADDKQSVW EKPSVLKSKAELLLSQCPWKEYKSDTGKPYYYNNQSQESRWTRPKDLDDLEALVKQESAG KQQTQQLQTLQPQPPQPQPDPPPIPPGPIPVPMALLEPEPGRSEDCDVLEAAQPLEQGFL QREEGPSSSTGQHRQPQEEEEAKPEPERSGLSWSNREKAKQAFKELLRDKAVPSNASWEQ AMKMVVTDPRYSALPKLSEKKQAFNAYKAQREKEEKEEARLRAKEAKQTLQHFLEQHERM TSTTRYRRAEQTFGDLEVWAVVPERERKEVYDDVLFFLAKKEKEQAKQLRRRNIQALKSI LDGMSSVNFQTTWSQAQQYLMDNPSFAQDQQLQNMDKEDALICFEEHIRALEREEEEERE RARLRERRQQRKNREAFQSFLDELHETGQLHSMSTWMELYPAVSTDVRFANMLGQPGSTP LDLFKFYVEELKARFHDEKKIIKDILKDRGFCVEVNTAFEDFAHVISFDKRAAALDAGNI KLTFNSLLEKAEAREREREKEEARRMRRREAAFRSMLRQAVPALELGTAWEEVRERFVCD SAFEQITLESERIRLFREFLQVLETECQHLHTKGRKHGRKGKKHHRKRSHSPSVSRQGSE SDEEELPPPSLRPPKRRRRNPSESGSEPSSSLDSVESGGAALGGPGSPSSHLLLGSDHGL RKTKKPKKKTKKRRHKSTSPDSETDPEDKAGKESEDREQEQDREPRQAELPNRSPGFGIK KEKTGWDTSESELSEGELERRRRTLLQQLDDHQ >ENSMUSP00000122649.1 pep:known chromosome:GRCm38:15:99295895:99317010:1 gene:ENSMUSG00000023007.15 transcript:ENSMUST00000136980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf40b description:PRP40 pre-mRNA processing factor 40 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1925583] MPPPGLPPPFPPMGLPPMSQRPPAIPPMPPGILPPMLPPMGAPPPLTQIPGMVPPMMPGM LMPAVPVTAATAPGADTASSAVAGTGPPRALWSEHVAPDGRIYYYNADDKQSVWEKPSVL KSKAELLLSQCPWKEYKSDTGKPYYYNNQSQESRWTRPKDLDDLEALVKQESAGKQQTQQ LQTLQPQPPQPQPDPPPIPPGPIPVPMALLEPEPGRSEDCDVLEAAQPLEQGFLQREEGP SSSTGQHRQPQEEEEAKPEPERSGLSWSNREKAKQAFKELLRDKAVPSNASWEQAMKMVV TDPRYSALPKLSEKKQAFNAYKAQREKEEKEEARLRAKEAKQTLQHFLEQHERMTSTTRY RRAEQTFGDLEVWAVVPERERKEVYDDVLFFLAKKEKEQAKQLRRRNIQALKSILDGMSS VNFQTTWSQAQQYLMDNPSFAQDQQLQNMDKEDALICFEEHIRALEREEEEERERARLRE RRQQRKNREAFQSFLDELHETGQLHSMSTWMELYPAVSTDVRFANMLGQPGSTPLDLFKF YVEELKARFHDEKKIIKDILKVNTAFEDFAHVISFDKRAAALDAGNIKLTFNSLLEKAEA REREREKEEARRMRRREAAFRSMLRQAVPALELGTAWEEVRERFVCDSAFEQITLESERI RLFREFLQVLEQTECQHLHTKGRKHGRKGKKHHRKRSHSPSGSESDEEELPPPSLRPPKR RRRNPSESGSEPSSSLDSVESGGAALGGPGSPSSHLLLGSDHGLRKTKKPKKKTKKRRHK STSPDSETDPEDKAGKESEDREQEQDREPRQAELPNRSPGFGIKKEKTGWDTSESELSEG ELERRRRTLLQQLDDHQ >ENSMUSP00000023745.6 pep:known chromosome:GRCm38:15:99303283:99316499:1 gene:ENSMUSG00000023007.15 transcript:ENSMUST00000023745.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf40b description:PRP40 pre-mRNA processing factor 40 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1925583] MMPPPFMPPPGLPPPFPPMGLPPMSQRPPAIPPMPPGILPPMLPPMGAPPPLTQIPGMVP PMMPGMLMPAVPVTAATAPGADTASSAVAGTGPPRALWSEHVAPDGRIYYYNADDKQSVW EKPSVLKSKAELLLSQCPWKEYKSDTGKPYYYNNQSQESRWTRPKDLDDLEALVKQESAG KQQTQQLQTLQPQPPQPQPDPPPIPPGPIPVPMALLEPEPGRSEDCDVLEAAQPLEQGFL QREEGPSSSTGQHRQPQEEEEAKPEPERSGLSWSNREKAKQAFKELLRDKAVPSNASWEQ AMKMVVTDPRYSALPKLSEKKQAFNAYKAQREKEEKEEARLRAKEAKQTLQHFLEQHERM TSTTRYRRAEQTFGDLEVWAVVPERERKEVYDDVLFFLAKKEKEQAKQLRRRNIQALKSI LDGMSSVNFQTTWSQAQQYLMDNPSFAQDQQLQNMDKEDALICFEEHIRALEREEEEERE RARLRERRQQRKNREAFQSFLDELHETGQLHSMSTWMELYPAVSTDVRFANMLGQPGSTP LDLFKFYVEELKARFHDEKKIIKDILKDRGFCVEVNTAFEDFAHVISFDKRAAALDAGNI KLTFNSLLEKAEAREREREKEEARRMRRREAAFRSMLRQAVPALELGTAWEEVRERFVCD SAFEQITLESERIRLFREFLQVLETECQHLHTKGRKHGRKGKKHHRKRSHSPSGSESDEE ELPPPSLRPPKRRRRNPSESGSEPSSSLDSVESGGAALGGPGSPSSHLLLGSDHGLRKTK KPKKKTKKRRHKSTSPDSETDPEDKAGKESEDREQEQDREPRQAELPNRSPGFGIKKEKT GWDTSESELSEG >ENSMUSP00000113282.2 pep:known chromosome:GRCm38:15:99303284:99317007:1 gene:ENSMUSG00000023007.15 transcript:ENSMUST00000118287.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf40b description:PRP40 pre-mRNA processing factor 40 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1925583] MMPPPFMPPPGLPPPFPPMGLPPMSQRPPAIPPMPPGILPPMLPPMGAPPPLTQIPGMVP PMMPGMLMPAVPVTAATAPGADTASSAVAGTGPPRALWSEHVAPDGRIYYYNADDKQSVW EKPSVLKSKAELLLSQCPWKEYKSDTGKPYYYNNQSQESRWTRPKDLDDLEALVKQESAG KQQTQQLQTLQPQPPQPQPDPPPIPPGPIPVPMALLEPEPGRSEDCDVLEAAQPLEQGFL QREEGPSSSTGQHRQPQEEEEAKPEPERSGLSWSNREKAKQAFKELLRDKAVPSNASWEQ AMKMVVTDPRYSALPKLSEKKQAFNAYKAQREKEEKEEARLRAKEAKQTLQHFLEQHERM TSTTRYRRAEQTFGDLEVWAVVPERERKEVYDDVLFFLAKKEKEQAKQLRRRNIQALKSI LDGMSSVNFQTTWSQAQQYLMDNPSFAQDQQLQNMDKEDALICFEEHIRALEREEEEERE RARLRERRQQRKNREAFQSFLDELHETGQLHSMSTWMELYPAVSTDVRFANMLGQPGSTP LDLFKFYVEELKARFHDEKKIIKDILKDRGFCVEVNTAFEDFAHVISFDKRAAALDAGNI KLTFNSLLEKAEAREREREKEEARRMRRREAAFRSMLRQAVPALELGTAWEEVRERFVCD SAFEQITLESERIRLFREFLQVLEQTECQHLHTKGRKHGRKGKKHHRKRSHSPSGSESDE EELPPPSLRPPKRRRRNPSESGSEPSSSLDSVESGGAALGGPGSPSSHLLLGSDHGLRKT KKPKKKTKKRRHKSTSPDSETDPEDKAGKESEDREQEQDREPRQAELPNRSPGFGIKKEK TGWDTSESELSEGELERRRRTLLQQLDDHQ >ENSMUSP00000120030.1 pep:known chromosome:GRCm38:15:99314877:99316671:1 gene:ENSMUSG00000023007.15 transcript:ENSMUST00000134034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf40b description:PRP40 pre-mRNA processing factor 40 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1925583] XEKEEARRMRRREAAFRSMLRQAVPALELGTAWEEVRERFVCDSAFEQITLESERIRLFR EFLQVLEQTECQHLHTKGRKHGRKGKKHHRKRSHSPSVSRQGSESDEEELPPPSLRPPKR RRRNPSESGSEPSSSLDSVESGGAALGGPGSPSSHLLLGSDHGLRKTKKPKKKTKKRRHK STSPDSETDPEDKAGKESEDREQEQDREPRQAELPNRSPGFGIKKEKTGWDTSESELSEG ELERRRRTLLQQLDDHQ >ENSMUSP00000119295.1 pep:known chromosome:GRCm38:15:99314906:99316813:1 gene:ENSMUSG00000023007.15 transcript:ENSMUST00000150636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf40b description:PRP40 pre-mRNA processing factor 40 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1925583] RREAAFRSMLRQAVPALELGTAWEEVRERFVCDSAFEQITLESERIRLFREFLQVLEQTE CQHLHTKGRKHGRKGKKHHRKRSHSPSVSRQLKVGVLLLEDQAPHLPTFFLGQIMVFGKP RNQKRKPRKEDTSRPVLTVRRTPKTKLVRRVKTENRNRTGNPGRQSSLTVPQASESRRRR QAGTRQKAS >ENSMUSP00000123527.1 pep:known chromosome:GRCm38:15:99315540:99317010:1 gene:ENSMUSG00000023007.15 transcript:ENSMUST00000126955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf40b description:PRP40 pre-mRNA processing factor 40 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1925583] HHRKRSHSPSIMVFGKPRNQKRKPRKEDTSRPVLTVRRTPKTKLVRRVKTENRNRTGNPG RQSSLTVPQASESRRRRQAGTRQKAS >ENSMUSP00000146079.1 pep:known chromosome:GRCm38:7:25009849:25072101:-1 gene:ENSMUSG00000003378.9 transcript:ENSMUST00000205328.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Grik5 description:glutamate receptor, ionotropic, kainate 5 (gamma 2) [Source:MGI Symbol;Acc:MGI:95818] MPAELLLLLIVAFANPSCQVLSSLRMAAILDDQTVCGRGERLALALAREQINGIIEVPAK ARVEVDIFELQRDSQYETTDTSERGLRGVGVSDPAQGGCICLGTLLQPSFCLHREPYLWG EGDSPHQGGS >ENSMUSP00000003468.8 pep:known chromosome:GRCm38:7:25009849:25072292:-1 gene:ENSMUSG00000003378.9 transcript:ENSMUST00000003468.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik5 description:glutamate receptor, ionotropic, kainate 5 (gamma 2) [Source:MGI Symbol;Acc:MGI:95818] MPAELLLLLIVAFANPSCQVLSSLRMAAILDDQTVCGRGERLALALAREQINGIIEVPAK ARVEVDIFELQRDSQYETTDTMCQILPKGVVSVLGPSSSPASASTVSHICGEKEIPHIKV GPEETPRLQYLRFASVSLYPSNEDVSLAVSRILKSFNYPSASLICAKAECLLRLEELVRG FLISKETLSVRMLDDSRDPTPLLKEIRDDKVSTIIIDANASISHLVLRKASELGMTSAFY KYILTTMDFPILHLDGIVEDSSNILGFSMFNTSHPFYPEFVRSLNMSWRENCEASTYPGP ALSAALMFDAVHVVVSAVRELNRSQEIGVKPLACTSANIWPHGTSLMNYLRMVEYDGLTG RVEFNSKGQRTNYTLRILEKSRQGHREIGVWYSNRTLAMNATTLDINLSQTLANKTLVVT TILENPYVMRRPNFQALSGNERFEGFCVDMLRELAELLRFRYRLRLVEDGLYGAPEPNGS WTGMVGELINRKADLAVAAFTITAEREKVIDFSKPFMTLGISILYRVHMGRKPGYFSFLD PFSPAVWLFMLLAYLAVSCVLFLAARLSPYEWYNPHPCLRARPHILENQYTLGNSLWFPV GGFMQQGSEIMPRALSTRCVSGVWWAFTLIIISSYTANLAAFLTVQRMEVPVESADDLAD QTNIEYGTIHAGSTMTFFQNSRYQTYQRMWNYMQSKQPSVFVKSTEEGIARVLNSRYAFL LESTMNEYHRRLNCNLTQIGGLLDTKGYGIGMPLGSPFRDEITLAILQLQENNRLEILKR KWWEGGRCPKEEDHRAKGLGMENIGGIFVVLICGLIIAVFVAVMEFIWSTRRSAESEEVS VCQEMLQELRHAVSCRKTSRSRRRRRPGGPSRALLSLRAVREMRLSNGKLYSAGAGGDAG AHGGPQRLLDDPGPPGGPRPQAPTPCTHVRVCQECRRIQALRASGAGAPPRGLGTPAEAT SPPRPRPGPTGPRELTEHE >ENSMUSP00000146227.1 pep:known chromosome:GRCm38:7:25009849:25072346:-1 gene:ENSMUSG00000003378.9 transcript:ENSMUST00000206134.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Grik5 description:glutamate receptor, ionotropic, kainate 5 (gamma 2) [Source:MGI Symbol;Acc:MGI:95818] MPAELLLLLIVAFANPSCQVLSSLRMAAILDDQTVCGRGERLALALAREQINGIIEVPAK ARVEVDIFELQRDSQYETTDTMCQILPKGVVSVLGPSSSPASASTVSHICGEKEIPHIKV GPEETPRLQYLRFASVSLYPSNEDVSLAVSRILKSFNYPSASLICAKAECLLRLEELVRG FLISKETLSVRMLDDSRDPTPLLKEIRDDKVSTIIIDANASISHLVLRKLRALPLSWILS HSTSFEPRSSKVASLALSSLCSPDRFKPFE >ENSMUSP00000114068.1 pep:known chromosome:GRCm38:5:137378637:137477064:-1 gene:ENSMUSG00000079173.11 transcript:ENSMUST00000117564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zan description:zonadhesin [Source:MGI Symbol;Acc:MGI:106656] MALPVWTLMLLVGAAWGQEQVPAWRPNSPDLGPMVHTSREDSILSKCDFEDNSRPFCDWS QMSADDGDWIRTTGPSLTGTSGPPGGYPNGEGYYLHMDPKTFPQGGVARLRSPDIWEQGP LCVHFAFHMFGLSWGAQLRLLLLRGRKHLRPYVLWKHVNTQSPSWMPTTVTVPADHDIPS WLMFEGMRGNTAYLDISLDGLSIQRGTCNQVCMSQMCTFDTLNDLCGWSWVPTATGAKWT QKKGPTGKQGVGPAEDFSNPGNGYYMLLDSTNARPGQKAVLLSPLSHSRGCMTLSFHYIM HGQGHEEGLFVYATFLGNIRKYTLFSGHPGPDWQAVSVNYTGQGQIQFMVVGMFGNIPEP AIAVDAISIAPCGESFPQCDFEDRVHPFCDWNQVYGDMGHWSWGSKSVPTLIAGSPREFP YGGEHYIFFDSVKLSQEGQSARLVSPPFCAPGDICVEFAYHMYGLGKGTTLKLLLGSPAG SFPIPLWNRVGSQSSGWMNSSVTIPKGYQQPMQLFIEATRGTSTAFVVALNFILISHGPC RVLLQTEIPSSPLLPPTGPSESTVPTLPMEQPTSPTKATTVTIEIPTTPTEEATIPTETT TVPTEVINVSPKETSIPPEVTIPTEVITVSPEEIISPTEVTPVPTDVTAAYVEATNASPE ETSVPPEVTILTEVTTVSPEETTVPTEVPIVLIEATAFPTGETTLYTEVPTVPTEVTGVH TEVTNVSPEETSVPTEETISTEVTTVSPEETTLPTEVPTVSTEVTNVSPEETSVPPEETI LTTLYTEVPTVPTEVTGVHTEVTNVSPEETSVPTEETISTEVTTVSPEETTLPTEVPTVS TEVTNVSPEETSVPPEETILTEITTVSPEETVFPIEGTTLPTEVLTVPIEVTTFPTGETT VPTEVPTVSTEMTGVHTEVTTVFPEETSIPTEVATVLPASIPPEETTTPTEVTTTPPEET TIPAEVTTIPPVSIPSEETTTPTEVTTTPPEETTIPAEVTTVPPVSIPSEETTTPTEVTT TPPEETTIPAEVTTVPPVSIPSEETTIPTEVTTVPPEETTIPAEVTTTPPEETTIPTEVT TVPPASIPPEETASLTEVTTTPPEETTTPTEVTTVPPEKTTIPTEVTTVPPASIFPEETT VPPEETTIASEETTVSTQETTLLTEQSAVTQTSIACRPPCPSPPLMPIGPLLSKPPGVSM FSLAPTTGVSTTESCPPNAHIELCACPASCESPKPSCQPPCIPGCVCNPGFLFSNNQCIN ESSCNCPYNNKYYKPGEEWFTPNCTERCRCLPGSLMECQISQCGTHTVCQLKSDQYQCEP YGKATCLVYGDLHFVTFDERHIGFTGTCTYILTQTCSNSTDHFFRITANTEERGVEGVSC LDKVVISLPETTVTMISGRHTLIGDQEVTLPAILSDDTYVGLSGRFVELRTTFGLRVRWD GDQQLFVTVSSTFSGKLCGFCGNYDGDSSNDNLKSDGMMTHDEEELRLSWQVEEDEDKDW VSSRCQKKKNPPSCDAALGSTMSGPKLCGQLVNPSGPFEACLLHLKASSFLDNCVTDMCS FQGLQQKLCAHMSAMTATCQDAGYPVKPWREPQFCPLVCPKNSRYSLCAKPCPETCHPIS TTQHCSDKCVEGCECDPGFILSGSECVPSSQCGCTSFQGRYFKLQEQWFNPDCKEICTCE SHNHILCKPWKCKAQEACSYKNGVLGCHAQGAATCMVSGDPHYLTFDGALHHFMGTCTYV LTQPCWSKSQENNFVVSATNEIHDGNLEVSYVKAVHVQVFDLKISMFKGQKVVLNNQRVV LPVWPSQGRVTIRLSGIFVLLYTNFGLQVRYDGRHLVEVTVPSSYTGSLCGLCGNYNNNS MDDNLRVDMKPAGNSLLLGAAWKILEASDPGCFLVGGKPSRCADSDMDDVWTKKCAILMN PLGPFSNCHEAVPPQASFSSCVYGQCETNGDNLTLCHSLQAYASLCAQAGQVTTWRNSTF CPMRCPPRSSYNPCANSCPATCLTLSTPRDCPTLPCVEGCECQSGHILSGTTCVPLRQCG CSDQDGSYHLLGESWYTEKTCTTLCTCSAHSNITCSPTACKANHVCLRQEGLLRCAAEMG ECRISEDSQIVSFDDHSHPIQDTCTYILVKVCHPNTNMPFFMISAKTDINTNGKNKTFGV YQLYIDIFNFHITLQKDHLVLISLINDSIVTLPTTTHIPGVSVMTEDVYTIVTIKDEIQV KFESNNFLDVKIPASSNGKVCGVCGNFNGEEEDELMTPSGELAEDEQEFMNSWKDKSMDP NCQKIEGQNLQVEQQEIMNGKCRPIDFEKAQANCQTALQGPAWAHCSSRVPIKPFLLKCM NSFCEFRELFRALCDSLQSFEDACQNQGLKPPIWRNSSFCPLECPAHSHYTNCLPSCPPS CLDPDSRCEGSGHKVPATCREGCICQPDYVLLNDKCVLRSHCGCKDAQGVFIPAGKTWIS EDCTQSCTCMKGSMRCWDFQCPPGTYCKNSNDGSSNCVKISLQCPAHSKFTDCLPPCHPS CSDPDGHCEGISTNAHSNCKEGCVCQPGYVLRNDKCVLRIECGCQHTQGGFIPAGKSWTS RGCSQSCDCMEGVIRCQNFQCPSGTYCQDIEDGTSNCANITLQCPAHSSFTNCLPPCQPS CSDPEGHCGGSTTKAPSACQEGCVCEPDYVVLNNKCVPRIECGCKDAQGVLIPADKIWIN KGCTQTCACVTGTIHCRDFQCPSGTYCKDIKDDTSNCTEITLQCPDHSLYTHCLPSCLPS CSDPDGLCRGTSPEAPSTCKEGCVCEPDYVLSNDKCVLRIECGCKDAQGVLIPAGKTWIN RGCTQSCSCMGGAIQCQNFKCPSEAYCQDLEDGNSNCTSIPLQCPAHSHYTNCLPTCQPS CSDPDGHCEGSSTKAPSACKEGCVCEPDYVMLNNKCVPRIECGCKDTQGVLIPADKTWIN RGCTQSCTCKGGAIQCQKYHCSSGTYCKDMEDDSSSCATITLQCPAHSHFTNCLPPCQPS CLDSEGHCEGSTTKAPSACQEGCVCEPDYVVLNNKCVPRIECGCKDAQGVLIPADKTWIN RGCTQSCTCKGGAIQCQKFQCPSETYCKDIEDGNSNCTRISLQCPANSNFTSCLPSCQPS CSNTDVHCEGSSPNALSSCREGCVCQSGYVLHNDKCILRNQCGCKDAQGALIPEGKTWIT SGCTQSCNCTGGAIQCQNFQCPLKTYCKDLKDGSSNCTNIPLQCPAHSHYTNCLPSCPPS CLDPEGLCEGTSPKVPSTCREGCICQPGYLMHKNKCVLRIFCGCKNTQGAFISADKTWIS RGCTQSCTCSAGAIHCRNFKCPSGTYCKNGDNGSSNCTEITLQCPTNSQFTDCLPSCVPS CSNRCEVTSPSVPSSCREGCLCNHGFVFSEDKCVPRTQCGCKDARGAIIPAGKTWTSKGC TQSCACVEGNIQCQNFQCPPETYCKDNSEGSSTCTKITLQCPAHTQYTSCLPSCLPSCLD PEGLCKDISPKVPSTCKEGCVCQSGYVLNSDKCVLRAECDCKDAQGALIPAGKTWTSPGC TQSCACMGGAVQCQSSQCPPGTYCKDNEDGNSNCAKITLQCPAHSLFTNCLPSCLPSCLD PDGLCKGASPKVPSSCKEGCICQSGYVLSNNKCLLRNRCGCKDAHGALIPEDKTWVSRGC TQSCVCTGGSIQCLSFQCPPGAYCKDNEDGSSNCARIPPQCPANSHYTDCFPPCPPSCSD PEGHCEASGPRVPSTCREGCLCNPGFVLDRDKCVPRVECGCKDAQGALIPSGKTWTSPGC TQSCACMGGVVQCQSSQCPPGTYCKDNEDGNSNCAKITLQCPTHSNYTDCLPFCLPSCLD PSALCGGTSPKGPSTCKEGCVCQPGYVLDKDKCILKIECGCRDTQGAVIPAGKTWLSTGC IQSCACVEGTIQCQNFQCPPGTYCNHNNNCAKIPLQCPAHSHFTSCLPSCPPSCANLDGS CEQTSPKVPSTCKEGCLCQPGYFLNNGKCVLQTHCDCKDAEGGLVPAGKTWTSKDCTQSC ACTGGAVQCQNFQCPLGTYCKDSGDGSSNCTKIHKGAMGDGVLMAGGIRALQCPAHSHFT SCLPSCPPSCSNLDGSCVESNFKAPSVCKKGCICQPGYLLNNDKCVLRIQCGCKDTQGGL IPAGRTWISSDCTKSCSCMGGIIQCRDFQCPPGTYCKESNDSSRTCAKIPLQCPAHSHYT NCLPACSRSCTDLDGHCEGTSPKVPSPCKEGCLCQPGYVVHNHKCVLQIHCGCKDAQGGF VPAGKTWISRGCTQSCACVGGAVQCHNFTCPTGTQCQNSSCSKITVQCPAHSHYTTCLPS CLPSCFDPEGLCGDASPRAPPTCREGCVCEADYVLREDKCVLRTQCGCKDAQGDLIPANK TWLTRGCAQKCTCKGGNIHCWNFKCPLGTECKDSVDGGSNCTKIALQCPAHSHHTYCLPS CIPSCSNVNDRCESTSLQRPSTCIEGCLCHSGFVFSKDKCVPRTQCGCKDSQGTLIPAGK NWITTGCSQRCTCTGGLVQCHDFQCPSGAECQDIEDGNSNCVEITVQCPAHSHYSKCLPP CQPSCSDPDGHCEGTSPEAPSTCEEGCVCEPDYVLSNDKCVPSSECGCKDAHGVLIPESK TWVSRGCTKNCTCKGGTVQCHDFSCPTGSRCLDNNEGNSNCVTYALKCPAHSLYTNCLPS CLPSCSDPEGLCGGTSPEVPSTCKEGCFCQSGYVLHKNKCMLRIHCDCKDFQGSLIKTGQ TWISSGCSKICTCKGGFFQCQSYKCPSGTQCEESEDGSSNCVSSTMKCPANSLYTHCLPT CLPSCSNPDGRCEGTSHKAPSTCREGCVCQPGYLLNKDTCVHKNQCGCKDIRGNIIPAGN TWISSDCTQSCACTDGVIQCQNFVCPSGSHCQYNEDGSSDCAANKLERCTIFGDPYYLTF DGFTYHFLGRMNYYLIKTVDKLPRGIEPLIMEGRNKISPKGSSTLHEVTTIVYGYKIQLQ EELVVLVNDEKVAVPYNPNEHLRVMLRAQRLLLVTDFEMVLDFDGKHSAVISLPTTYRGL TRGLCGNYDRDQSNELMLPSGVLTSNVHVYGNSWEVKAQHAFFRFPRALPEEEERDEEPD LLQSECSQEQTALISSTQACRVLVDPQGPFAACHQIIAPEPFEQRCMLDMCTGWKTKEEE ELRCRVLSGYAIICQEAGANMTGWRDHTHCAMTCPANTVYQRCMTPCPASCAKFVTPKVC EGPCVEGCASLPGYIYSDTQSLPVTHCGCTADGIYYKLGDSFVTNDCSQHCTCASQGILL CEPYGCRAGESCMVANFTRGCFQDSPCLQNPCHNDGRCEEQGATFICHCDFGYGGEFCTE PQDITTRKKIEASSLVAILPGVLVMVLVPVLLPRVYVYMATRTTMGRRRMKRKEKKLLRQ SRLRLEDADVPEPTFKATEF >ENSMUSP00000114562.1 pep:known chromosome:GRCm38:5:137409581:137411581:-1 gene:ENSMUSG00000079173.11 transcript:ENSMUST00000150470.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zan description:zonadhesin [Source:MGI Symbol;Acc:MGI:106656] XYTSCLPSCLPSCLDPEGLCKDISPKVPSTCKEGCVCQSGYVLNSDKCVLRAECDCKDAQ GALIPVSGYPWGYC >ENSMUSP00000132895.1 pep:known chromosome:GRCm38:5:137378637:137476595:-1 gene:ENSMUSG00000079173.11 transcript:ENSMUST00000164178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zan description:zonadhesin [Source:MGI Symbol;Acc:MGI:106656] MALPVWTLMLLVGAAWGQEQVPAWRPNSPDLGPMVHTSREDSILSKCDFEDNSRPFCDWS QMSADDGDWIRTTGPSLTGTSGPPGGYPNGEGYYLHMDPKTFPQGGVARLRSPDIWEQGP LCVHFAFHMFGLSWGAQLRLLLLRGRKHLRPYVLWKHVNTQSPSWMPTTVTVPADHDIPS WLMFEGMRGNTAYLDISLDGLSIQRGTCNQVCMSQMCTFDTLNDLCGWSWVPTATGAKWT QKKGPTGKQGVGPAEDFSNPGNGYYMLLDSTNARPGQKAVLLSPLSHSRGCMTLSFHYIM HGQGHEEGLFVYATFLGNIRKYTLFSGHPGPDWQAVSVNYTGQGQIQFMVVGMFGNIPEP AIAVDAISIAPCGESFPQCDFEDRVHPFCDWNQVYGDMGHWSWGSKSVPTLIAGSPREFP YGGEHYIFFDSVKLSQEGQSARLVSPPFCAPGDICVEFAYHMYGLGKGTTLKLLLGSPAG SFPIPLWNRVGSQSSGWMNSSVTIPKGYQQPMQLFIEATRGTSTAFVVALNFILISHGPC RVLLQTEIPSSPLLPPTGPSESTVPTLPMEQPTSPTKATTVTIEIPTTPTEEATIPTETT TVPTEVINVSPKETSIPPEVTIPTEVITVSPEEIISPTEVTPVPTDVTAAYVEATNASPE ETSVPPEVTILTEVTTVSPEETTVPTEVPIVLIEATAFPTGETTLYTEVPTVPTEVTGVH TEVTNVSPEETSVPTEETISTEVTTVSPEETTLPTEVPTVSTEVTNVSPEETSVPPEETI LTTLYTEVPTVPTEVTGVHTEVTNVSPEETSVPTEETISTEVTTVSPEETTLPTEVPTVS TEVTNVSPEETSVPPEETILTEITTVSPEETVFPIEGTTLPTEVLTVPIEVTTFPTGETT VPTEVPTVSTEMTGVHTEVTTVFPEETSIPTEVATVLPASIPPEETTTPTEVTTTPPEET TIPAEVTTIPPVSIPSEETTTPTEVTTTPPEETTIPAEVTTVPPVSIPSEETTTPTEVTT TPPEETTIPAEVTTVPPVSIPSEETTIPTEVTTVPPEETTIPAEVTTTPPEETTIPTEVT TVPPASIPPEETASLTEVTTTPPEETTTPTEVTTVPPEKTTIPTEVTTVPPASIFPEETT VPPEETTIASEETTVSTQETTLLTEQSAVTQTSIACRPPCPSPPLMPIGPLLSKPPGVSM FSLAPTTGVSTTESCPPNAHIELCACPASCESPKPSCQPPCIPGCVCNPGFLFSNNQCIN ESSCNCPYNNKYYKPGEEWFTPNCTERCRCLPGSLMECQISQCGTHTVCQLKSDQYQCEP YGKATCLVYGDLHFVTFDERHIGFTGTCTYILTQTCSNSTDHFFRITANTEERGVEGVSC LDKVVISLPETTVTMISGRHTLIGDQEVTLPAILSDDTYVGLSGRFVELRTTFGLRVRWD GDQQLFVTVSSTFSGKLCGFCGNYDGDSSNDNLKSDGMMTHDEEELRLSWQVEEDEDKDW VSSRCQKKKNPPSCDAALGSTMSGPKLCGQLVNPSGPFEACLLHLKASSFLDNCVTDMCS FQGLQQKLCAHMSAMTATCQDAGYPVKPWREPQFCPLVCPKNSRYSLCAKPCPETCHPIS TTQHCSDKCVEGCECDPGFILSGSECVPSSQCGCTSFQGRYFKLQEQWFNPDCKEICTCE SHNHILCKPWKCKAQEACSYKNGVLGCHAQGAATCMVSGDPHYLTFDGALHHFMGTCTYV LTQPCWSKSQENNFVVSATNEIHDGNLEVSYVKAVHVQVFDLKISMFKGQKVVLNNQRVV LPVWPSQGRVTIRLSGIFVLLYTNFGLQVRYDGRHLVEVTVPSSYTGSLCGLCGNYNNNS MDDNLRVDMKPAGNSLLLGAAWKILEASDPGCFLVGGKPSRCADSDMDDVWTKKCAILMN PLGPFSNCHEAVPPQASFSSCVYGQCETNGDNLTLCHSLQAYASLCAQAGQVTTWRNSTF CPMRCPPRSSYNPCANSCPATCLTLSTPRDCPTLPCVEGCECQSGHILSGTTCVPLRQCG CSDQDGSYHLLGESWYTEKTCTTLCTCSAHSNITCSPTACKANHVCLRQEGLLRCAAEMG ECRISEDSQIVSFDDHSHPIQDTCTYILVKVCHPNTNMPFFMISAKTDINTNGKNKTFGV YQLYIDIFNFHITLQKDHLVLISLINDSIVTLPTTTHIPGVSVMTEDVYTIVTIKDEIQV KFESNNFLDVKIPASSNGKVCGVCGNFNGEEEDELMTPSGELAEDEQEFMNSWKDKSMDP NCQKIEGQNLQVEQQEIMNGKCRPIDFEKAQANCQTALQGPAWAHCSSRVPIKPFLLKCM NSFCEFRELFRALCDSLQSFEDACQNQGLKPPIWRNSSFCPLECPAHSHYTNCLPSCPPS CLDPDSRCEGSGHKVPATCREGCICQPDYVLLNDKCVLRSHCGCKDAQGVFIPAGKTWIS EDCTQSCTCMKGSMRCWDFQCPPGTYCKNSNDGSSNCVKISLQCPAHSKFTDCLPPCHPS CSDPDGHCEGISTNAHSNCKEGCVCQPGYVLRNDKCVLRIECGCQHTQGGFIPAGKSWTS RGCSQSCDCMEGVIRCQNFQCPSGTYCQDIEDGTSNCANITLQCPAHSSFTNCLPPCQPS CSDPEGHCGGSTTKAPSACQEGCVCEPDYVVLNNKCVPRIECGCKDAQGVLIPADKIWIN KGCTQTCACVTGTIHCRDFQCPSGTYCKDIKDDTSNCTEITLQCPDHSLYTHCLPSCLPS CSDPDGLCRGTSPEAPSTCKEGCVCEPDYVLSNDKCVLRIECGCKDAQGVLIPAGKTWIN RGCTQSCSCMGGAIQCQNFKCPSEAYCQDLEDGNSNCTSIPLQCPAHSHYTNCLPTCQPS CSDPDGHCEGSSTKAPSACKEGCVCEPDYVMLNNKCVPRIECGCKDTQGVLIPADKTWIN RGCTQSCTCKGGAIQCQKYHCSSGTYCKDMEDDSSSCATITLQCPAHSHFTNCLPPCQPS CLDSEGHCEGSTTKAPSACQEGCVCEPDYVVLNNKCVPRIECGCKDAQGVLIPADKTWIN RGCTQSCTCKGGAIQCQKFQCPSETYCKDIEDGNSNCTRISLQCPANSNFTSCLPSCQPS CSNTDVHCEGSSPNALSSCREGCVCQSGYVLHNDKCILRNQCGCKDAQGALIPEGKTWIT SGCTQSCNCTGGAIQCQNFQCPLKTYCKDLKDGSSNCTNIPLQCPAHSHYTNCLPSCPPS CLDPEGLCEGTSPKVPSTCREGCICQPGYLMHKNKCVLRIFCGCKNTQGAFISADKTWIS RGCTQSCTCSAGAIHCRNFKCPSGTYCKNGDNGSSNCTEITLQCPTNSQFTDCLPSCVPS CSNRCEVTSPSVPSSCREGCLCNHGFVFSEDKCVPRTQCGCKDARGAIIPAGKTWTSKGC TQSCACVEGNIQCQNFQCPPETYCKDNSEGSSTCTKITLQCPAHTQYTSCLPSCLPSCLD PEGLCKDISPKVPSTCKEGCVCQSGYVLNSDKCVLRAECDCKDAQGALIPAGKTWTSPGC TQSCACMGGAVQCQSSQCPPGTYCKDNEDGNSNCAKITLQCPAHSLFTNCLPSCLPSCLD PDGLCKGASPKVPSSCKEGCICQSGYVLSNNKCLLRNRCGCKDAHGALIPEDKTWVSRGC TQSCVCTGGSIQCLSFQCPPGAYCKDNEDGSSNCARIPPQCPANSHYTDCFPPCPPSCSD PEGHCEASGPRVPSTCREGCLCNPGFVLDRDKCVPRVECGCKDAQGALIPSGKTWTSPGC TQSCACMGGVVQCQSSQCPPGTYCKDNEDGNSNCAKITLQCPTHSNYTDCLPFCLPSCLD PSALCGGTSPKGPSTCKEGCVCQPGYVLDKDKCILKIECGCRDTQGAVIPAGKTWLSTGC IQSCACVEGTIQCQNFQCPPGTYCNHNNNCAKIPLQCPAHSHFTSCLPSCPPSCANLDGS CEQTSPKVPSTCKEGCLCQPGYFLNNGKCVLQTHCDCKDAEGGLVPAGKTWTSKDCTQSC ACTGGAVQCQNFQCPLGTYCKDSGDGSSNCTKIHKGAMGDGVLMAGGIRALQCPAHSHFT SCLPSCPPSCSNLDGSCVESNFKAPSVCKKGCICQPGYLLNNDKCVLRIQCGCKDTQGGL IPAGRTWISSDCTKSCSCMGGIIQCRDFQCPPGTYCKESNDSSRTCAKIPLQCPAHSHYT NCLPACSRSCTDLDGHCEGTSPKVPSPCKEGCLCQPGYVVHNHKCVLQIHCGCKDAQGGF VPAGKTWISRGCTQSCACVGGAVQCHNFTCPTGTQCQNSSCSKITVQCPAHSHYTTCLPS CLPSCFDPEGLCGDASPRAPPTCREGCVCEADYVLREDKCVLRTQCGCKDAQGDLIPANK TWLTRGCAQKCTCKGGNIHCWNFKCPLGTECKDSVDGGSNCTKIALQCPAHSHHTYCLPS CIPSCSNVNDRCESTSLQRPSTCIEGCLCHSGFVFSKDKCVPRTQCGCKDSQGTLIPAGK NWITTGCSQRCTCTGGLVQCHDFQCPSGAECQDIEDGNSNCVEITVQCPAHSHYSKCLPP CQPSCSDPDGHCEGTSPEAPSTCEEGCVCEPDYVLSNDKCVPSSECGCKDAHGVLIPESK TWVSRGCTKNCTCKGGTVQCHDFSCPTGSRCLDNNEGNSNCVTYALKCPAHSLYTNCLPS CLPSCSDPEGLCGGTSPEVPSTCKEGCFCQSGYVLHKNKCMLRIHCDCKDFQGSLIKTGQ TWISSGCSKICTCKGGFFQCQSYKCPSGTQCEESEDGSSNCVSSTMKCPANSLYTHCLPT CLPSCSNPDGRCEGTSHKAPSTCREGCVCQPGYLLNKDTCVHKNQCGCKDIRGNIIPAGN TWISSDCTQSCACTDGVIQCQNFVCPSGSHCQYNEDGSSDCAANKLERCTIFGDPYYLTF DGFTYHFLGRMNYYLIKTVDKLPRGIEPLIMEGRNKISPKGSSTLHEVTTIVYGYKIQLQ EELVVLVNDEKVAVPYNPNEHLRVMLRAQRLLLVTDFEMVLDFDGKHSAVISLPTTYRGL TRGLCGNYDRDQSNELMLPSGVLTSNVHVYGNSWEVKAQHAFFRFPRALPEEEERDEEPD LLQSECSQEQTALISSTQACRVLVDPQGPFAACHQIIAPEPFEQRCMLDMCTGWKTKEEE ELRCRVLSGYAIICQEAGANMTGWRDHTHCAMTCPANTVYQRCMTPCPASCAKFVTPKVC EGPCVEGCASLPGYIYSDTQSLPVTHCGCTADGIYYKLGDSFVTNDCSQHCTCASQGILL CEPYGCRAGESCMVANFTRGCFQDSPCLQNPCHNDGRCEEQGATFICHCDFGYGGEFCTE PQDITTRKKIEASSLVAILPGVLVMVLVPVLLPRVYVYMATRTTMGRRRMKRKEKKLLRQ SRLRLEDADVPEPTFKATEF >ENSMUSP00000100678.1 pep:known chromosome:GRCm38:11:99798064:99798932:-1 gene:ENSMUSG00000078260.1 transcript:ENSMUST00000105057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11569 description:predicted gene 11569 [Source:MGI Symbol;Acc:MGI:3709346] MVSSCCGSVCSEEGCGQSCCQPSCCQTTCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCC ISSCCRPSCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCCRPCCGSSSCCGSSCCRPSCC TSSCCRPICCQATCCRPGCCVSSCCRPQCCISSCCRPICCQTTCCRTTCCRPACSSGSCC >ENSMUSP00000129193.1 pep:known chromosome:GRCm38:7:23504642:23505589:-1 gene:ENSMUSG00000090715.1 transcript:ENSMUST00000164527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r167 description:vomeronasal 1 receptor 167 [Source:MGI Symbol;Acc:MGI:3644421] MIIPLSNEVVSMLSQNKSVKTTEEVALQILLLCQVGVGTAVNIFLIVHNLSPILNGSQQR PIKVILANLAVGNTLILLFAFPNNMTIFVPKDPPTDLKCKLGYFIWLVARSTNMCSTCSL STYQLVTLAPGTWGRVMLLGRAPKFVRYTCYSCWLFSVLNNAHIPMKVSGPQKTHNDTNS KSKWVCSTSGFSIGMRILSFAHDGVFISIIIWSSVSMVILLNRHHQRLQYIQSPNQKLRV HAETRAAHTILMLVVTFVTCYLLDCICTFCHIYFVDSRLWLRRVNQILAVSFPTFSPLLL IFRDPKDPCSLLFCC >ENSMUSP00000014614.3 pep:known chromosome:GRCm38:8:122466147:122476064:-1 gene:ENSMUSG00000014470.4 transcript:ENSMUST00000014614.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf166 description:ring finger protein 166 [Source:MGI Symbol;Acc:MGI:1915968] MAMFRSLVASAQQRQPPAGPAGGDSGLEAQFSCPICLEVYHRPVAIGSCGHTFCGECLQP CLQVPSPLCPLCRLPFDPKKVDKATHVEKQLSSYKAPCRGCNKKVTLAKMRAHISSCLKV QEQMANCPKFVPVVPTSQPIPSNIPNRSTFACPYCGARNLDQQELVKHCVESHRSDPNRV VCPICSAMPWGDPSYKSANFLQHLLHRHKFSYDTFVDYSIDEEAAFQAALALSLSEN >ENSMUSP00000023911.4 pep:known chromosome:GRCm38:16:5195289:5204012:-1 gene:ENSMUSG00000023143.10 transcript:ENSMUST00000023911.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nagpa description:N-acetylglucosamine-1-phosphodiester alpha-N-acetylglucosaminidase [Source:MGI Symbol;Acc:MGI:1351598] MAAPRGPGLFLIPALLGLLGVAWCSLSFGVSRDDDLLLPYPLARRRPSRDCARVRSGSPE QESWPPPPTNPGASHHAAVRTFVSHFEGRAVAGHLTRVADPLRTFSVLEPGGAGGCAQKR RATVEDTAVPAGCRIAQNGGFFRMSTGECLGNVVSDGRLVSSSGGLQNAQFGIRRDGTIV TGYLSEEEVLDPVNPFVQLLSGVVWLIRNGNIYINESQAIECDETQETGSFSKFVNVMSA RTAVGHDREGQLILFHADGQTEQRGLNLWEMAEFLRQQDVVNAINLDGGGSATFVLNGTL ASYPSDHCQDNMWRCPRQVSTVVCVHEPRCQPPDCSGHGTCVDGHCECTSHFWRGEACSE LDCGPSNCSQHGLCTETGCHCDAGWTGSNCSEECPLGWYGPGCQRPCQCEHQCSCDPQTG NCSISQVRQCLQPTEATPRAGELASFTRTTWLALTLTLIFLLLISTGVNVSLFLGSRAER NRHLDGDYVYHPLQEVNGEALTAEKEHMEETSNPFKD >ENSMUSP00000117051.1 pep:known chromosome:GRCm38:16:5195289:5204002:-1 gene:ENSMUSG00000023143.10 transcript:ENSMUST00000147567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nagpa description:N-acetylglucosamine-1-phosphodiester alpha-N-acetylglucosaminidase [Source:MGI Symbol;Acc:MGI:1351598] MSTGECLGNVVSDGRLVSSSGGLQNAQFGIRRDGTIVTGYLSEEEVLDPVNPFVQLLSGV VWLIRNGNIYINESQAIECDETQETGSFSKFVNVMSARTAVGHDREGQLILFHADGQTEQ RGLNLWEMAEFLRQQDVVNAINLDGGGSATFVLNGTLASYPSDHCQDNMWRCPRQVSTVV CVHEPRCQPPDCSGHGTCVDGHCECTSHFWRGEACSELDCGPSNCSQHGLCTETGCHCDA GWTGSNCSEECPLGWYGPGCQRPCQCEHQCSCDPQTGNCSISQVRQCLQPTEATPRAGEL ASFTRTTWLALTLTLIFLLLISTGVNVSLFLGSRAERNRHLDGDYVYHPLQEVNGEALTA EKEHMEETSNPFKD >ENSMUSP00000101666.1 pep:known chromosome:GRCm38:4:129219578:129227911:-1 gene:ENSMUSG00000050390.12 transcript:ENSMUST00000106051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C77080 description:expressed sequence C77080 [Source:MGI Symbol;Acc:MGI:2140651] MVVFLGRHLPALLEVFKKGSAKAESDNRQGAGPSQGPGSVGDELQDNVFFPSGRPPHLEE LHTQAQEGLRSLQHQERQKLSKGGWDHGDTQSIQSSQTGPDEDTISIYSQKSYMTESSTA EDALSVRSEMIQRRGSTFRPHDSFPKSGKSGRRRRERRSTVLGLPQHVQKELGLRNNREA PGTPQPPGSRDAVRIPTVDGRPGLALGTGVRVSLQALEAETEAGTDAEAVIQRHIDRVYH DDTLVGRSTGARPPPLTRPMSLAVPGLTGGAGSPEPLSPAMSISPQATYLSKLIPHAVLP PTVDVVALGRSSLRTLSRCSLLSASPASVRSLGRFSSASSPRPRSRNASSSSDNWSHSQS SETIVSDGSTLSSKGGSEGQPEGSVASNNVAPPPPGGSGRGSPSGGSTAETSDTASIRSS GQLSGRSVSLRKMKRPPPPPRRTYSLHQRGSAVPDGPLGLPPKPERKQQPQLPRPPTAGG SSGVGAVSCPPSSAGTWGSGLSPGGSRRPPRSPERTLSPSSGYSSQSGTPTLPPKGLAVA PASPGKAQPPKPDRVTSLRSPGASVSSSLTSLCSSSSDPTPLDRSGPQMSTPLSDRFVIP PHPKVPAPFSPPPSKSKSSNQAAPVLAAPAVAPGQVSTIDTSPASPSMPQTTLTPAQESP VASKDESPPPSPPPSYHPPPPPTKKPEVLEEAPPPPEAAVEILPDPSWPPPPPPAPEEQD LSMADFPPPEEVFFNAGPELGPLESCSSEAAVPPAASLSQTPPPAPPPSSGSEPLARLPQ KDSVGKHSGAPREDSGTPLVTPSLLQMVRLRSVGASTGIPNPSPGSSAPQKPLRRALSGR ASPVTAPSSGLHAAVRLKASSLAASESPASALPTGIPEAEPRSPQSPASKASFIFSKGTK KLQLERPVSPEAQADLQRNLVAELRSISEHRPPPQAQKKPSKAPPPVARKPSVGVPPPSP SLPRTESLTAPSTNGLPHAEDRTNGELAENGGVQLAATEKMGSPGSDPQKKLV >ENSMUSP00000095483.3 pep:known chromosome:GRCm38:4:129219578:129239174:-1 gene:ENSMUSG00000050390.12 transcript:ENSMUST00000097873.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C77080 description:expressed sequence C77080 [Source:MGI Symbol;Acc:MGI:2140651] MGNSHHKRKAPSGPRTRSFWRFGRSAKRPAGSAKAESDNRQGAGPSQGPGSVGDELQDNV FFPSGRPPHLEELHTQAQEGLRSLQHQERQKLSKGGWDHGDTQSIQSSQTGPDEDTISIY SQKSYMTESSTAEDALSVRSEMIQRRGSTFRPHDSFPKSGKSGRRRRERRSTVLGLPQHV QKELGLRNNREAPGTPQPPGSRDAVRIPTVDGRPGLALGTGVRVSLQALEAETEAGTDAE AVIQRHIDRVYHDDTLVGRSTGARPPPLTRPMSLAVPGLTGGAGSPEPLSPAMSISPQAT YLSKLIPHAVLPPTVDVVALGRSSLRTLSRCSLLSASPASVRSLGRFSSASSPRPRSRNA SSSSDNWSHSQSSETIVSDGSTLSSKGGSEGQPEGSVASNNVAPPPPGGSGRGSPSGGST AETSDTASIRSSGQLSGRSVSLRKMKRPPPPPRRTYSLHQRGSAVPDGPLGLPPKPERKQ QPQLPRPPTAGGSSGVGAVSCPPSSAGTWGSGLSPGGSRRPPRSPERTLSPSSGYSSQSG TPTLPPKGLAVAPASPGKAQPPKPDRVTSLRSPGASVSSSLTSLCSSSSDPTPLDRSGPQ MSTPLSDRFVIPPHPKVPAPFSPPPSKSKSSNQAAPVLAAPAVAPGQVSTIDTSPASPSM PQTTLTPAQESPVASKDESPPPSPPPSYHPPPPPTKKPEVLEEAPPPPEAAVEILPDPSW PPPPPPAPEEQDLSMADFPPPEEVFFNAGPELGPLESCSSEAAVPPAASLSQTPPPAPPP SSGSEPLARLPQKDSVGKHSGAPREDSGTPLVTPSLLQMVRLRSVGASTGIPNPSPGSSA PQKPLRRALSGRASPVTAPSSGLHAAVRLKASSLAASESPASALPTGIPEAEPRSPQSPA SKASFIFSKGTKKLQLERPVSPEAQADLQRNLVAELRSISEHRPPPQAQKKPSKAPPPVA RKPSVGVPPPSPSLPRTESLTAPSTNGLPHAEDRTNGELAENGGVQLAATEKMGSPGSDP QKKLV >ENSMUSP00000062395.5 pep:known chromosome:GRCm38:4:129219578:129248443:-1 gene:ENSMUSG00000050390.12 transcript:ENSMUST00000052602.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C77080 description:expressed sequence C77080 [Source:MGI Symbol;Acc:MGI:2140651] MAARAPPAAPAADEPGSPGGPPRRKKSRSGLRRAFSWLRGKRRKKKAAGAEGAESTASRA KKADDKAKRAKGKSRGSAKAESDNRQGAGPSQGPGSVGDELQDNVFFPSGRPPHLEELHT QAQEGLRSLQHQERQKLSKGGWDHGDTQSIQSSQTGPDEDTISIYSQKSYMTESSTAEDA LSVRSEMIQRRGSTFRPHDSFPKSGKSGRRRRERRSTVLGLPQHVQKELGLRNNREAPGT PQPPGSRDAVRIPTVDGRPGLALGTGVRVSLQALEAETEAGTDAEAVIQRHIDRVYHDDT LVGRSTGARPPPLTRPMSLAVPGLTGGAGSPEPLSPAMSISPQATYLSKLIPHAVLPPTV DVVALGRSSLRTLSRCSLLSASPASVRSLGRFSSASSPRPRSRNASSSSDNWSHSQSSET IVSDGSTLSSKGGSEGQPEGSVASNNVAPPPPGGSGRGSPSGGSTAETSDTASIRSSGQL SGRSVSLRKMKRPPPPPRRTYSLHQRGSAVPDGPLGLPPKPERKQQPQLPRPPTAGGSSG VGAVSCPPSSAGTWGSGLSPGGSRRPPRSPERTLSPSSGYSSQSGTPTLPPKGLAVAPAS PGKAQPPKPDRVTSLRSPGASVSSSLTSLCSSSSDPTPLDRSGPQMSTPLSDRFVIPPHP KVPAPFSPPPSKSKSSNQAAPVLAAPAVAPGQVSTIDTSPASPSMPQTTLTPAQESPVAS KDESPPPSPPPSYHPPPPPTKKPEVLEEAPPPPEAAVEILPDPSWPPPPPPAPEEQDLSM ADFPPPEEVFFNAGPELGPLESCSSEAAVPPAASLSQTPPPAPPPSSGSEPLARLPQKDS VGKHSGAPREDSGTPLVTPSLLQMVRLRSVGASTGIPNPSPGSSAPQKPLRRALSGRASP VTAPSSGLHAAVRLKASSLAASESPASALPTGIPEAEPRSPQSPASKASFIFSKGTKKLQ LERPVSPEAQADLQRNLVAELRSISEHRPPPQAQKKPSKAPPPVARKPSVGVPPPSPSLP RTESLTAPSTNGLPHAEDRTNGELAENGGVQLAATEKMGSPGSDPQKKLV >ENSMUSP00000123245.1 pep:known chromosome:GRCm38:4:129225360:129261404:-1 gene:ENSMUSG00000050390.12 transcript:ENSMUST00000145261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C77080 description:expressed sequence C77080 [Source:MGI Symbol;Acc:MGI:2140651] MTESSTAEDALSVRSEMI >ENSMUSP00000122247.1 pep:known chromosome:GRCm38:4:129225383:129227480:-1 gene:ENSMUSG00000050390.12 transcript:ENSMUST00000146376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C77080 description:expressed sequence C77080 [Source:MGI Symbol;Acc:MGI:2140651] MTESSTAEDAL >ENSMUSP00000018992.3 pep:known chromosome:GRCm38:11:35808381:35834506:-1 gene:ENSMUSG00000018848.4 transcript:ENSMUST00000018992.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rars description:arginyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1914297] MDGLVAQCSARLLQQEREIKALTAEIDRLKNCGCLEASPSLEQLREENLKLKYRLNILRR SLQEERRKPTKNMININSRLQEVFGCAIRAAYPDLENPPLIVTPSQQPKFGDYQCNSAMG ISQMLKAKEQKVSPREIAENITKHLPNNKYIDKVEIAGPGFINVHLRKDFVSEQLTSLLV NGVQLPVLGDKEKVIVDFSSPNIAKEMHVGHLRSTIIGESMSRLFEFAGYDVLRLNHVGD WGTQFGMLIAHLQDKFPDYLTVSPPIGDLQAFYKESKKRFDADEEFKKRAYQCVVLLQSK NPDIMKAWNLICDVSREEFKKIYDALDITLIERGESFYQDRMKDIVKEFEDKGFVQVDDG RKIVFVPGCSVPLTIVKSDGGYTYDTSDLAAIKQRLFEEKANKIIYVVDNGQAIHFQTIF AAAQMIGWYDPKVTLVTHVGFGVVLGEDKKKFKTRSGETVRLMDLLEEGLKRSMDKLKEK ERDKVLTEEELKAAQTSVAYGCIKYADLSHNRLNDYIFSFDKMLDDRGNTAAYLLYAFTR IRSIARLANIDEAMLQRAARETKIILDHEKEWKLGRCILRFPEILQKILDDLFLHTLCDY IYELATTFTEFYDSCYCVEKDRQTGKVLKVNMWRMLLCEAVAAVMAKGFDILGIKPVQRM >ENSMUSP00000100677.1 pep:known chromosome:GRCm38:11:99803557:99804446:-1 gene:ENSMUSG00000078259.3 transcript:ENSMUST00000105056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11554 description:predicted gene 11554 [Source:MGI Symbol;Acc:MGI:3705237] MVSSCCGSVCSEEGCGQSCCQPSCCQTTCCRPSCCVSSCCRPSCCVSSCCRPQCCQSVCC QPTCCRPSCCISSCCRPSCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCCRPCCGSSSCC GSSCCRPSCCTSSCCRPICCQATCSRPGCCVSSCCRPQCCISSCCRPICCQTTCCRTTCC RPACSSGSCC >ENSMUSP00000142516.1 pep:known chromosome:GRCm38:13:69497959:69534617:-1 gene:ENSMUSG00000034575.11 transcript:ENSMUST00000198607.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papd7 description:PAP associated domain containing 7 [Source:MGI Symbol;Acc:MGI:2682295] MDPRVAWIQPEQKGPANALWMQIWETSQGVGRGGSGFASYFCLNSPALDTAAAPGAAGRA APAAGGPGPAPAASSPPPAPGPAALPPALLTALGPAADSARRLHKSPSLSSSSSSSSSNA ESGTESPGCSSSSSSSTSLGRAGSGRTFFSFADGAAHAHPGPRGSTPAGSPPQHQFHPGR RKRENKASTYGLNYLLSGSRAATLSGGGGPGAQAARPGTPWKSRAYSPGIQGLHEEIIDF YNFMSPCPEEAAMRREVVKRIETVVKDLWPTADVQIFGSFSTGLYLPTSDIDLVVFGKWE RPPLQLLEQALRKHNVAEPCSIKVLDKATVPIIKLTDQETEVKVDISFNMETGVRAAEFI KNYMKKYSLLPYLILVLKQFLLQRDLNEVFTGGISSYSLILMAISFLQLHPRIDARRADE NLGMLLVEFFELYGRNFNYLKTGIRIKEGGAYIAKEEIMKAMTSGYRPSMLCIEDPLLPG NDVGRSSYGAMQVKQVFDYAYIVLSHAVSPLARSYPNRDSESTLGRIIKVTQEVIDYRRW IKEKWGSRILPSPDLDNRIKIKERITTCNGEQMQSREPSSPYTQRLTLSLSSPQLLSSGS SASSVSSLSGSDIDSDTPPCTTPSVYQFSLQAPTTLMASLPTALPMPSSKPQPAASRTLI MTTNNQTRVTIPPPTLGVAPVPCRQAGVDGTTSLKAVHSVTSPAIPSASPNPLSSPHLYH KQHNGMKLSMKGSHNHTQGGGYSSVGSGAVRPPVGNRGHHQYNRTGWRRKKHAHTRDSLP VSLSR >ENSMUSP00000040757.7 pep:known chromosome:GRCm38:13:69497962:69533864:-1 gene:ENSMUSG00000034575.11 transcript:ENSMUST00000044081.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papd7 description:PAP associated domain containing 7 [Source:MGI Symbol;Acc:MGI:2682295] MSPCPEEAAMRREVVKRIETVVKDLWPTADVQIFGSFSTGLYLPTSDIDLVVFGKWERPP LQLLEQALRKHNVAEPCSIKVLDKATVPIIKLTDQETEVKVDISFNMETGVRAAEFIKNY MKKYSLLPYLILVLKQFLLQRDLNEVFTGGISSYSLILMAISFLQLHPRIDARRADENLG MLLVEFFELYGRNFNYLKTGIRIKEGGAYIAKEEIMKAMTSGYRPSMLCIEDPLLPGNDV GRSSYGAMQVKQVFDYAYIVLSHAVSPLARSYPNRDSESTLGRIIKVTQEVIDYRRWIKE KWGSRILPSPDLDNRIKIKERITTCNGEQMQSREPSSPYTQRLTLSLSSPQLLSSGSSAS SVSSLSGSDIDSDTPPCTTPSVYQFSLQAPTTLMASLPTALPMPSSKPQPAASRTLIMTT NNQTRVTIPPPTLGVAPVPCRQAGVDGTTSLKAVHSVTSPAIPSASPNPLSSPHLYHKQH NGMKLSMKGSHNHTQGGGYSSVGSGAVRPPVGNRGHHQYNRTGWRRKKHAHTRDSLPVSL SR >ENSMUSP00000135234.1 pep:known chromosome:GRCm38:7:37472135:37479986:-1 gene:ENSMUSG00000043456.16 transcript:ENSMUST00000176129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp536 description:zinc finger protein 536 [Source:MGI Symbol;Acc:MGI:1926102] ALPSAVGVLDSAPEKLAQGPAKETLGDPKSGQWPNHMDPAFCTFPSDFYKQFGVYPAMVG SGAPGSCLNKNTEGKTHPDDDAPILIPETTNKNTTDDLSDIASSEDMDSSKGENNEDEEL DTEPEMTSKPLSALSKDGSSEGGDSLLSPGAPQPIQGLVSPLAQAAEEQWHSPGLLPAQD PSAGLPKPERGPPGLEKPMSMLSVLRAYSADGLAAFNGLASSTANSGCIKRPDLCGSGTA VVSFARQDDRTVVGTPLCLPNGPLVISEQTNGWCLGVGKHW >ENSMUSP00000134778.1 pep:known chromosome:GRCm38:7:37479104:37769624:-1 gene:ENSMUSG00000043456.16 transcript:ENSMUST00000175941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp536 description:zinc finger protein 536 [Source:MGI Symbol;Acc:MGI:1926102] MEEASLCLGVSSTAPEAEPHLSGPVLNGQYAMSQKLHQITSQLSHAFPELHPRPNPEEKT PAALEEKAHVPMSGQSMGSQMALLANQLGRDVDNSLNGRVDLQQFLNGQNLGIMSQMSDI EDDARKNRKYPCPLCGKRFRFNSILSLHMRTHTGEKPFKCPYCDHRAAQKGNLKIHLRTH KLGNLGKGRGRVREENRLLHELEERAILRDKQMKGSLLQPRSDLKPLAHAQQAPLATCNL ALPPNHSVPDVAHPAPSPKPANLQEDSVTPAAGFRCTFCKGKFKKREELDRHIRILHKPY KCTLCDFAASQEEELISHVEKAHITAESAQGQGPNGGGEQSANEFRCEVCGQVFSQAWFL KGHMRKHKDSFEHCCQICGRRFKEPWFLKNHMKVHLNKLSVKNKSPTEPEVAVPMGGLSQ EAHANLYSRYLSCLQSGFMAPDKASLNEPSQLYGKGELPAKEKEVLGKLLSPISSMAHSV PEGDKHSLLGCLNLVPPLKSSCIERLQAAAKAAEMDPVNSYQAWQLMARGMAMEHGFLSK EHQLSRNHEDPLANTGVLFDKEKREYVLVGADGSKQKMPADLVHSTKVGNQRDLPNKLDP LEGSREFLSHGLNQTLDYNLQGPGNMKEKPTECPDCGRVFRTYHQVVVHSRVHKRDRKSD EDALHVGVGLEERRGSGSDQESQSVSRSTTPGSSNVTEESGAGGGLSQTGSAQEDSPHPS SPSSSDIGEEAGRAGGVQQQALLRDRNLGSAMKDCPYCGKTFRTSHHLKVHLRIHTGEKP YKCPHCDYAGTQSASLKYHLERHHRERQNGAGPLSGQPPNQEHKDETSSKAPMFIRPDIL RGAFKGLPGIDFRGGPASQQWTAGMLSSGDHSGQATGMPSELSSDALKGSDLPSKSSHYS EIGRAYQNIVSNGVNFQGSLQAFMDSFVLSSLKKKDTKDKVPSDAHPMKAHTAEGGEEKA SMKPSQRKSEKSQYEPLDLSVRPDAPTLPGSSVTVQDSIAWHGCLFCAFTTSSMELMALH LQANHLGRAKRKDHPTGVTVNCKEQGREASKVSVLPSLQSNKEMALPSAVGVLDSAPEKL AQGPAKETLGDPKSGQWPNHMDPAFCTFPSDFYKQFGVYPAMVGSGAPGSCLNKNTEGKT HPDDDAPILIPETTNKNTTDDLSDIASSEDMDSSKGENNEDEELDTEPEMTSKPLSALSK DGSSEGGDSLLSPGAPQPIQGLVSPLAQAAEEQWHSPGLLPAQDPSAGLPKPERGPPGLE KPMSMLSVLRAYSADGLAAFNGLASSTANSGCIKRPDLCGKF >ENSMUSP00000058468.6 pep:known chromosome:GRCm38:7:37479109:37769962:-1 gene:ENSMUSG00000043456.16 transcript:ENSMUST00000056338.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp536 description:zinc finger protein 536 [Source:MGI Symbol;Acc:MGI:1926102] MEEASLCLGVSSTAPEAEPHLSGPVLNGQYAMSQKLHQITSQLSHAFPELHPRPNPEEKT PAALEEKAHVPMSGQSMGSQMALLANQLGRDVDNSLNGRVDLQQFLNGQNLGIMSQMSDI EDDARKNRKYPCPLCGKRFRFNSILSLHMRTHTGEKPFKCPYCDHRAAQKGNLKIHLRTH KLGNLGKGRGRVREENRLLHELEERAILRDKQMKGSLLQPRSDLKPLAHAQQAPLATCNL ALPPNHSVPDVAHPAPSPKPANLQEDSVTPAAGFRCTFCKGKFKKREELDRHIRILHKPY KCTLCDFAASQEEELISHVEKAHITAESAQGQGPNGGGEQSANEFRCEVCGQVFSQAWFL KGHMRKHKDSFEHCCQICGRRFKEPWFLKNHMKVHLNKLSVKNKSPTEPEVAVPMGGLSQ EAHANLYSRYLSCLQSGFMAPDKASLNEPSQLYGKGELPAKEKEVLGKLLSPISSMAHSV PEGDKHSLLGCLNLVPPLKSSCIERLQAAAKAAEMDPVNSYQAWQLMARGMAMEHGFLSK EHQLSRNHEDPLANTGVLFDKEKREYVLVGADGSKQKMPADLVHSTKVGNQRDLPNKLDP LEGSREFLSHGLNQTLDYNLQGPGNMKEKPTECPDCGRVFRTYHQVVVHSRVHKRDRKSD EDALHVGVGLEERRGSGSDQESQSVSRSTTPGSSNVTEESGAGGGLSQTGSAQEDSPHPS SPSSSDIGEEAGRAGGVQQQALLRDRNLGSAMKDCPYCGKTFRTSHHLKVHLRIHTGEKP YKCPHCDYAGTQSASLKYHLERHHRERQNGAGPLSGQPPNQEHKDETSSKAPMFIRPDIL RGAFKGLPGIDFRGGPASQQWTAGMLSSGDHSGQATGMPSELSSDALKGSDLPSKSSHYS EIGRAYQNIVSNGVNFQGSLQAFMDSFVLSSLKKKDTKDKVPSDAHPMKAHTAEGGEEKA SMKPSQRKSEKSQYEPLDLSVRPDAPTLPGSSVTVQDSIAWHGCLFCAFTTSSMELMALH LQANHLGRAKRKDHPTGVTVNCKEQGREASKVSVLPSLQSNKEMALPSAVGVLDSAPEKL AQGPAKETLGDPKSGQWPNHMDPAFCTFPSDFYKQFGVYPAMVGSGAPGSCLNKNTEGKT HPDDDAPILIPETTNKNTTDDLSDIASSEDMDSSKGENNEDEELDTEPEMTSKPLSALSK DGSSEGGDSLLSPGAPQPIQGLVSPLAQAAEEQWHSPGLLPAQDPSAGLPKPERGPPGLE KPMSMLSVLRAYSADGLAAFNGLASSTANSGCIKRPDLCGKF >ENSMUSP00000135681.1 pep:known chromosome:GRCm38:7:37479122:37770980:-1 gene:ENSMUSG00000043456.16 transcript:ENSMUST00000176114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp536 description:zinc finger protein 536 [Source:MGI Symbol;Acc:MGI:1926102] MEEASLCLGVSSTAPEAEPHLSGPVLNGQYAMSQKLHQITSQLSHAFPELHPRPNPEEKT PAALEEKAHVPMSGQSMGSQMALLANQLGRDVDNSLNGRVDLQQFLNGQNLGIMSQMSDI EDDARKNRKYPCPLCGKRFRFNSILSLHMRTHTGEKPFKCPYCDHRAAQKGNLKIHLRTH KLGNLGKGRGRVREENRLLHELEERAILRDKQMKGSLLQPRSDLKPLAHAQQAPLATCNL ALPPNHSVPDVAHPAPSPKPANLQEDSVTPAAGFRCTFCKGKFKKREELDRHIRILHKPY KCTLCDFAASQEEELISHVEKAHITAESAQGQGPNGGGEQSANEFRCEVCGQVFSQAWFL KGHMRKHKDSFEHCCQICGRRFKEPWFLKNHMKVHLNKLSVKNKSPTEPEVAVPMGGLSQ EAHANLYSRYLSCLQSGFMAPDKASLNEPSQLYGKGELPAKEKEVLGKLLSPISSMAHSV PEGDKHSLLGCLNLVPPLKSSCIERLQAAAKAAEMDPVNSYQAWQLMARGMAMEHGFLSK EHQLSRNHEDPLANTGVLFDKEKREYVLVGADGSKQKMPADLVHSTKVGNQRDLPNKLDP LEGSREFLSHGLNQTLDYNLQGPGNMKEKPTECPDCGRVFRTYHQVVVHSRVHKRDRKSD EDALHVGVGLEERRGSGSDQESQSVSRSTTPGSSNVTEESGAGGGLSQTGSAQEDSPHPS SPSSSDIGEEAGRAGGVQQQALLRDRNLGSAMKDCPYCGKTFRTSHHLKVHLRIHTGEKP YKCPHCDYAGTQSASLKYHLERHHRERQNGAGPLSGQPPNQEHKDETSSKAPMFIRPDIL RGAFKGLPGIDFRGGPASQQWTAGMLSSGDHSGQATGMPSELSSDALKGSDLPSKSSHYS EIGRAYQNIVSNGVNFQGSLQAFMDSFVLSSLKKKDTKDKVPSDAHPMKAHTAEGGEEKA SMKPSQRKSEKSQYEPLDLSVRPDAPTLPGSSVTVQDSIAWHGCLFCAFTTSSMELMALH LQANHLGRAKRKDHPTGVTVNCKEQGREASKVSVLPSLQSNKEMALPSAVGVLDSAPEKL AQGPAKETLGDPKSGQWPNHMDPAFCTFPSDFYKQFGVYPAMVGSGAPGSCLNKNTEGKT HPDDDAPILIPETTNKNTTDDLSDIASSEDMDSSKGENNEDEELDTEPEMTSKPLSALSK DGSSEGGDSLLSPGAPQPIQGLVSPLAQAAEEQWHSPGLLPAQDPSAGLPKPERGPPGLE KPMSMLSVLRAYSADGLAAFNGLASSTANSGCIKRPDLCGKF >ENSMUSP00000135068.1 pep:known chromosome:GRCm38:7:37479177:37772875:-1 gene:ENSMUSG00000043456.16 transcript:ENSMUST00000176205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp536 description:zinc finger protein 536 [Source:MGI Symbol;Acc:MGI:1926102] MEEASLCLGVSSTAPEAEPHLSGPVLNGQYAMSQKLHQITSQLSHAFPELHPRPNPEEKT PAALEEKAHVPMSGQSMGSQMALLANQLGRDVDNSLNGRVDLQQFLNGQNLGIMSQMSDI EDDARKNRKYPCPLCGKRFRFNSILSLHMRTHTGEKPFKCPYCDHRAAQKGNLKIHLRTH KLGNLGKGRGRVREENRLLHELEERAILRDKQMKGSLLQPRSDLKPLAHAQQAPLATCNL ALPPNHSVPDVAHPAPSPKPANLQEDSVTPAAGFRCTFCKGKFKKREELDRHIRILHKPY KCTLCDFAASQEEELISHVEKAHITAESAQGQGPNGGGEQSANEFRCEVCGQVFSQAWFL KGHMRKHKDSFEHCCQICGRRFKEPWFLKNHMKVHLNKLSVKNKSPTEPEVAVPMGGLSQ EAHANLYSRYLSCLQSGFMAPDKASLNEPSQLYGKGELPAKEKEVLGKLLSPISSMAHSV PEGDKHSLLGCLNLVPPLKSSCIERLQAAAKAAEMDPVNSYQAWQLMARGMAMEHGFLSK EHQLSRNHEDPLANTGVLFDKEKREYVLVGADGSKQKMPADLVHSTKVGNQRDLPNKLDP LEGSREFLSHGLNQTLDYNLQGPGNMKEKPTECPDCGRVFRTYHQVVVHSRVHKRDRKSD EDALHVGVGLEERRGSGSDQESQSVSRSTTPGSSNVTEESGAGGGLSQTGSAQEDSPHPS SPSSSDIGEEAGRAGGVQQQALLRDRNLGSAMKDCPYCGKTFRTSHHLKVHLRIHTGEKP YKCPHCDYAGTQSASLKYHLERHHRERQNGAGPLSGQPPNQEHKDETSSKAPMFIRPDIL RGAFKGLPGIDFRGGPASQQWTAGMLSSGDHSGQATGMPSELSSDALKGSDLPSKSSHYS EIGRAYQNIVSNGVNFQGSLQAFMDSFVLSSLKKKDTKDKVPSDAHPMKAHTAEGGEEKA SMKPSQRKSEKSQYEPLDLSVRPDAPTLPGSSVTVQDSIAWHGCLFCAFTTSSMELMALH LQANHLGRAKRKDHPTGVTVNCKEQGREASKVSVLPSLQSNKEMALPSAVGVLDSAPEKL AQGPAKETLGDPKSGQWPNHMDPAFCTFPSDFYKQFGVYPAMVGSGAPGSCLNKNTEGKT HPDDDAPILIPETTNKNTTDDLSDIASSEDMDSSKGENNEDEELDTEPEMTSKPLSALSK DGSSEGGDSLLSPGAPQPIQGLVSPLAQAAEEQWHSPGLLPAQDPSAGLPKPERGPPGLE KPMSMLSVLRAYSADGLAAFNGLASSTANSGCIKRPDLCGKF >ENSMUSP00000135218.1 pep:known chromosome:GRCm38:7:37569499:37770782:-1 gene:ENSMUSG00000043456.16 transcript:ENSMUST00000176680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp536 description:zinc finger protein 536 [Source:MGI Symbol;Acc:MGI:1926102] MEEASLCLGVSSTAPEAEPHLSGPVLNGQYAMSQKLHQITSQLSHAFPELHPRPNPEEKT PAALEEKAHVPMSGQSMGSQMALLANQLGRDVDNSLNGRVDLQQFLNGQNLGIMSQMSDI EDDARKNRKYPCPLCGKRFRFNSILSLHMRTHTGEKPFKCPYC >ENSMUSP00000135454.1 pep:known chromosome:GRCm38:7:37569629:37773641:-1 gene:ENSMUSG00000043456.16 transcript:ENSMUST00000176534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp536 description:zinc finger protein 536 [Source:MGI Symbol;Acc:MGI:1926102] MEEASLCLGVSSTAPEAEPHLSGPVLNGQYAMSQKLHQITSQLSHAFPELHPRPNPEEKT PAALEEKAHVPMSGQSMGSQMALLANQLGRDVDNSLNGRVDLQQFLNGQNLGIMSQMSDI >ENSMUSP00000028017.8 pep:known chromosome:GRCm38:1:162532127:162548551:-1 gene:ENSMUSG00000026694.19 transcript:ENSMUST00000028017.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl13 description:methyltransferase like 13 [Source:MGI Symbol;Acc:MGI:1918699] MNLLPKSSKEFGSADYWEKFFQQRGKTAFEWYGTYLELCEVLHKYIKPKEKVLVIGCGNS ELSEQLYDVGYQDIVNIDISEVVIKQMKERNGSRRPHMSFLKMDMTQLEFPDATFQVVLD KGTLDAVLTDEEEVTLRQVDRMLAEVGRVLQVGGRYLCISLAQAHILKKAVGHFSREGWM VRAHQVASSQDRVSEAEPRFSLPVFAFVMTKFRPVPGSALQIFELCTQEQGKPVRLESAD QLAEAVRERQYYAWLCSQLRRKAGLGSVSLDLCSGDTGEPRYTLHVVDNPAVKPSRDNHF AIFIIPQGRETEWLFGMEEGRKQLAASAGFRRLVTVALHRGQRYAGMESIQAELSARVME LAPAGLPPQQQVPFLSVGGDIGVRTVQHQDHSALSGDYVIEDVQGEDRWYFRRLIFLSNR NVVQSEARLLKDTSHRAQKKRKKDRKKQRPADTSEDFPPAPGQSIDKSYLCCEHHKAMVA GLALLRNPELLLETPLTLLVVGLGGGSLPLFVHDHFPKSRIDAVEIDPTMLEVATQWFGF SQSDRMKVHIADGLDYITSLAGEAPPHYDVIMFDVDSKDPTLGMSCPPPAFVDQVFLQKV KSILCHDGVFILNLVCRDVRLKDSVLAGLKAAFPLLYVRRIEGEVNEILFCQLHPEQKLA TPELLEMAQVLERTLRKPGQGWDDTYVLSDMLKTVKIV >ENSMUSP00000124267.2 pep:known chromosome:GRCm38:1:162533679:162548522:-1 gene:ENSMUSG00000026694.19 transcript:ENSMUST00000159817.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl13 description:methyltransferase like 13 [Source:MGI Symbol;Acc:MGI:1918699] MNLLPKSSKEFGSADYWEKFFQQRGKTAFEWYGTYLELCEVLHKYIKPKEKVLVIGCGNS ELSEQLYDVGYQDIVNIDISEVVIKQMKERNGSRRPHMSFLKMDMTQLEFPDATFQVVLD KGTLDAVLTDEEEVTLRQVDRMLAESPRVARPSGSLAWRRAGSSWQPVRASGGWSQWLST EASGMLAWKAFKQSCQPESWSWPRLGCLPSSRCPSCLWVGTLGSGLCSTKTTVP >ENSMUSP00000135879.1 pep:known chromosome:GRCm38:1:162535842:162544362:-1 gene:ENSMUSG00000026694.19 transcript:ENSMUST00000176220.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl13 description:methyltransferase like 13 [Source:MGI Symbol;Acc:MGI:1918699] WLFGMEEGRKQLAASAGFRRLVTVALHRGQRYAGMESIQAELSARVMELAPAGLPPQQQV PFLSVGGDIGVRTVQHQDHSALSGDYVIEDVQGEDRWYFRRLIFLSNRNVVQSEARLLKD TSHRETPLTLLVVGLGGGSLPLFVHDHFPKSRIDAVEIDPTMLEVATQWFGFSQSDRMKV HIADGLDYITSLAGEAPPHYDVIMFDVDSKDPTLGMSCPPPAFVDQVFLQKVKSILCHD >ENSMUSP00000135822.1 pep:known chromosome:GRCm38:1:162538920:162546527:-1 gene:ENSMUSG00000026694.19 transcript:ENSMUST00000159316.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl13 description:methyltransferase like 13 [Source:MGI Symbol;Acc:MGI:1918699] VLVIGCGNSELSEQLYDVGYQDIVNIDISEVVIKQMKERNGSRRPHMSFLKMDMTQLEFP DATFQVVLDKGTLDAVLTDEEEVTLRQVDRMLAEVGRVLQSPRVARPSGSLAWRRAGSSW QPVRASGGWSQWLSTEASGMLAWKAFKQSCQPESWSWPRLGCLPSSRCPSCLWVGTLGSG LCSTKTTVP >ENSMUSP00000058037.8 pep:known chromosome:GRCm38:8:122282141:122337251:1 gene:ENSMUSG00000049577.14 transcript:ENSMUST00000054052.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfpm1 description:zinc finger protein, multitype 1 [Source:MGI Symbol;Acc:MGI:1095400] MSRRKQSNPRQIKRSLRDMEAGEEAKAMDSSPKEQEAPDPEAPAIEEPPSPPREDVSPPA VPAPPESPEDPEDMEGQELEMRPQDEEKEEKEEEAAMASPWSGPEELELALQDGQRCVRA RLSLTEGLSWGPFYGSIQTRALSPEREEPGPAVTLMVDESCWLRMLPQVLTEEAANSEIY RKDDALWCRVTKVVPSGGLLYVRLVTEPHGAPRHPVQEPVEPGGLAPVHTDIQLLPQQAG MASILATAVINKDVFPCKDCGIWYRSERNLQAHLLYYCASRQRAGSPVSATEEKPKETYP NERVCPFPQCRKSCPSASSLEIHMRSHSGERPFVCLICLSAFTTKANCERHLKVHTDTLS GVCHNCGFISTTRDILYSHLVTNHMVCQPGSKGEIYSPGAGHPAAKLPPDSLAGFQQHSL MHSPLVPADKAPTPSSGLDSKAEVTNGETRVPPQNGGSSESPAAPRTIKVEAAEEPEATR ASGPGEPGPQAPSRTPSPHSPNPVRVKTELSSPTPGSSPGPGELTMAGTLFLPQYVFSPD AGTTTVPTAPQASEILAKMSELVHNRLQQGAGSSGAAGTPTGLFSGTKGATCFECEITFN NINNFYVHKRLYCSGRRAPEDPPTVRRPKAATGPARAPAGAAAEPDPSRSSPGPGPREEE ASGTTTPEAEAAGRGSEGSQSPGSSVDDAEDDPSRTLCEACNIRFSRHETYTVHKRYYCA SRHDPPPRRPPAPTTAPGPAAPALTAPPVRTRRRRKLYELPAAGAPPPAAGPAPVPVVPS PTAELPSSPRPGSASAGPAPALSPSPVPDGPIDLSKRPRRQSPDAPTALPALADYHECTA CRVSFHSLEAYLAHKKYSCPAAPLRTTALCPYCPPNGRVRGDLVEHLRQAHGLQVAKPAA SPGAEPRTPAERAPRDSPDGRAPRSPSPAPENTPSDPADQGARTPSKGPPAPAPAPGGGG GHRYCRLCNIRFSSLSTFIAHKKYYCSSHAAEHVK >ENSMUSP00000135092.1 pep:known chromosome:GRCm38:8:122333786:122335761:1 gene:ENSMUSG00000049577.14 transcript:ENSMUST00000176690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfpm1 description:zinc finger protein, multitype 1 [Source:MGI Symbol;Acc:MGI:1095400] VTEPHGAPRHPVQEPVEPGGLAPVHTDIQLLPQQAGMASILATAVINKDVFPCKDCGIWY RSERNLQAHLLYYCASRQRAGSPVSATEEKPKETYPNERVCPFPQCRKSCPSASSLEIHM RSHSGERPFVCLICLSAFTTKANCERHLKVHTDTLSGVCHNCGFISTTRDILYSHLVTNH MVCQPGSKGEIYSPGAGHPAAKLPPGLDSKAEVTNGETRVPPQNGGSSESPAAPRTIKVE AAEEPEATRASGPGEPGPQAPSRTPSPHSPNPVRVKTELSSPTPGSSP >ENSMUSP00000053810.3 pep:known chromosome:GRCm38:11:99809078:99809892:-1 gene:ENSMUSG00000048294.4 transcript:ENSMUST00000050106.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap4-13 description:keratin associated protein 4-13 [Source:MGI Symbol;Acc:MGI:1916714] MVSSCCGSVCSEEGCGQSCCQPSCCQTTCCRPSCCVSSCCRPSCCRPSCCVSSCCRPQCC QSVCCQPTCCRPSCCISSCCRPSCCRPSCCVSSCCRPQCCQSVCCQPTCCRPSCCRPCCG SSSCCVSSCCRPQCCISSCCRPICCQTTCCRTTCCRPACSSGSCC >ENSMUSP00000005255.2 pep:known chromosome:GRCm38:15:66891320:66923201:1 gene:ENSMUSG00000005124.9 transcript:ENSMUST00000005255.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wisp1 description:WNT1 inducible signaling pathway protein 1 [Source:MGI Symbol;Acc:MGI:1197008] MRWLLPWTLAAVAVLRVGNILATALSPTPTTMTFTPAPLEETTTRPEFCKWPCECPQSPP RCPLGVSLITDGCECCKICAQQLGDNCTEAAICDPHRGLYCDYSGDRPRYAIGVCAQVVG VGCVLDGVRYTNGESFQPNCRYNCTCIDGTVGCTPLCLSPRPPRLWCRQPRHVRVPGQCC EQWVCDDDARRPRQTALLDTRAFAASGAVEQRYENCIAYTSPWSPCSTTCGLGISTRISN VNARCWPEQESRLCNLRPCDVDIQLHIKAGKKCLAVYQPEEATNFTLAGCVSTRTYRPKY CGVCTDNRCCIPYKSKTISVDFQCPEGPGFSRQVLWINACFCNLSCRNPNDIFADLESYP DFEEIAN >ENSMUSP00000117402.1 pep:known chromosome:GRCm38:15:66891387:66920077:1 gene:ENSMUSG00000005124.9 transcript:ENSMUST00000147079.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wisp1 description:WNT1 inducible signaling pathway protein 1 [Source:MGI Symbol;Acc:MGI:1197008] MRWLLPWTLAAVAVLRVGNILATALSPTPTTMTFTPAPLEETTTRPEFCKWP >ENSMUSP00000113144.1 pep:known chromosome:GRCm38:15:66891508:66919455:1 gene:ENSMUSG00000005124.9 transcript:ENSMUST00000118823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wisp1 description:WNT1 inducible signaling pathway protein 1 [Source:MGI Symbol;Acc:MGI:1197008] MRWLLPWTLAAVAVLRVGNILATALSPTPTTMTFTPAPLEETTTRPEFCKWPCECPQSPP RCPLGVSLITDGCECCKICAQQLGDNCTEAAICDPHRGLYCDYSGDRPRYAIGVCARREE MPGCVPARGGHELHSRRLCQHTHLPTQVLRSLY >ENSMUSP00000120955.1 pep:known chromosome:GRCm38:1:87755870:87771398:1 gene:ENSMUSG00000026289.15 transcript:ENSMUST00000144047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg16l1 description:autophagy related 16-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924290] MPNRHEISPGHDGAWNDSQLQEMAQLRIKHQEELTELHKKRGELAQLVIDLNNQMQQKDK EIQMNEAKISEYLQTISDLETNCLDLRTKLQDLEVANQTLKDEYDALQITFTALEEKLRK TTEENQELVTRWMAEKAQEANRLNAENEKDSRRR >ENSMUSP00000027512.6 pep:known chromosome:GRCm38:1:87756013:87792428:1 gene:ENSMUSG00000026289.15 transcript:ENSMUST00000027512.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg16l1 description:autophagy related 16-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924290] MSSGLRAADFPRWKRHIAEELRRRDRLQRQAFEEIILQYTKLLEKSDLHSVLTQKLQAEK HDMPNRHEISPGHDGAWNDSQLQEMAQLRIKHQEELTELHKKRGELAQLVIDLNNQMQQK DKEIQMNEAKISEYLQTISDLETNCLDLRTKLQDLEVANQTLKDEYDALQITFTALEEKL RKTTEENQELVTRWMAEKAQEANRLNAENEKDSRRRQARLQKELAEAAKEPLPVEQDDDI EVIVDETSDHTEETSPVRAVSRAATKRLSQPAGGLLDSITNIFGRRSVSSIPVPQDIMDT HPASGKDVRVPTTASYVFDAHDGEVNAVQFSPGSRLLATGGMDRRVKLWEAFGDKCEFKG SLSGSNAGITSIEFDSAGAYLLAASNDFASRIWTVDDYRLRHTLTGHSGKVLSAKFLLDN ARIVSGSHDRTLKLWDLRSKVCIKTVFAGSSCNDIVCTEQCVMSGHFDKKIRFWDIRSES VVREMELLGKITALDLNPERTELLSCSRDDLLKVIDLRTNAVKQTFSAPGFKCGSDWTRV VFSPDGSYVAAGSAEGSLYVWSVLTGKVEKVLSKQHSSSINAVAWAPSGLHVVSVDKGSR AVLWAQP >ENSMUSP00000108811.1 pep:known chromosome:GRCm38:1:87756076:87792422:1 gene:ENSMUSG00000026289.15 transcript:ENSMUST00000113186.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg16l1 description:autophagy related 16-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924290] MSSGLRAADFPRWKRHIAEELRRRDRLQRQAFEEIILQYTKLLEKSDLHSVLTQKLQAEK HDMPNRHEISPGHDGAWNDSQLQEMAQLRIKHQEELTELHKKRGELAQLVIDLNNQMQQK DKEIQMNEAKISEYLQTISDLETNCLDLRTKLQDLEVANQTLKDEYDALQITFTALEEKL RKTTEENQELVTRWMAEKAQEANRLNAENEKDSRRRQARLQKELAEAAKEPLPVEQDDDI EVIVDETSDHTEETSPVRAVSRAATRRSVSSIPVPQDIMDTHPASGKDVRVPTTASYVFD AHDGEVNAVQFSPGSRLLATGGMDRRVKLWEAFGDKCEFKGSLSGSNAGITSIEFDSAGA YLLAASNDFASRIWTVDDYRLRHTLTGHSGKVLSAKFLLDNARIVSGSHDRTLKLWDLRS KVCIKTVFAGSSCNDIVCTEQCVMSGHFDKKIRFWDIRSESVVREMELLGKITALDLNPE RTELLSCSRDDLLKVIDLRTNAVKQTFSAPGFKCGSDWTRVVFSPDGSYVAAGSAEGSLY VWSVLTGKVEKVLSKQHSSSINAVAWAPSGLHVVSVDKGSRAVLWAQP >ENSMUSP00000108815.2 pep:known chromosome:GRCm38:1:87756086:87792425:1 gene:ENSMUSG00000026289.15 transcript:ENSMUST00000113190.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg16l1 description:autophagy related 16-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924290] MSSGLRAADFPRWKRHIAEELRRRDRLQRQAFEEIILQYTKLLEKSDLHSVLTQKLQAEK HDMPNRHEISPGHDGAWNDSQLQEMAQLRIKHQEELTELHKKRGELAQLVIDLNNQMQQK DKEIQMNEAKISEYLQTISDLETNCLDLRTKLQDLEVANQTLKDEYDALQITFTALEEKL RKTTEENQELVTRWMAEKAQEANRLNAENEKDSRRRQARLQKELAEAAKEPLPVEQDDDI EVIVDETSDHTEETSPVRAVSRAATKRLSQPAGGLLDSITNIFGLSESPLLGHHSSDAAR RRSVSSIPVPQDIMDTHPASGKDVRVPTTASYVFDAHDGEVNAVQFSPGSRLLATGGMDR RVKLWEAFGDKCEFKGSLSGSNAGITSIEFDSAGAYLLAASNDFASRIWTVDDYRLRHTL TGHSGKVLSAKFLLDNARIVSGSHDRTLKLWDLRSKVCIKTVFAGSSCNDIVCTEQCVMS GHFDKKIRFWDIRSESVVREMELLGKITALDLNPERTELLSCSRDDLLKVIDLRTNAVKQ TFSAPGFKCGSDWTRVVFSPDGSYVAAGSAEGSLYVWSVLTGKVEKVLSKQHSSSINAVA WAPSGLHVVSVDKGSRAVLWAQP >ENSMUSP00000089097.5 pep:known chromosome:GRCm38:13:69573449:69611442:-1 gene:ENSMUSG00000021594.10 transcript:ENSMUST00000091514.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srd5a1 description:steroid 5 alpha-reductase 1 [Source:MGI Symbol;Acc:MGI:98400] MELDELRLLDALVYLEGFLAFVAFVGLQMVGSSYGRYSSQWSGRRVPARPAWFLQELPSM AWPLYECIRPAAARLGNLPNRVLLAMFLIHYVQRTLVFPVLIRGGKPTLLFTFVLAFLFC TLNGYLQSRYLSQFAVYAEDWVTHPCFLTGFALWLVGMVINIHSDHILRNLRKPGETGYK IPRGGLFEYVSSANYFGELVEWCGFALASWSLQGVVFALFTLCALFTRARQHHQWYLEKF EDYPKTRKILIPFLL >ENSMUSP00000126726.3 pep:known chromosome:GRCm38:6:30748412:30896794:-1 gene:ENSMUSG00000025607.15 transcript:ENSMUST00000166192.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Copg2 description:coatomer protein complex, subunit gamma 2 [Source:MGI Symbol;Acc:MGI:1858683] MIKKFDKKDEESGSGSNPFQHLEKSAVLQEARIFNETPINPRRCLHILTKILYLLNQGEH FGTMEATEAFFAMTRLFQSNDQTLRRMCYLTIKEMATISEDVIIVTSSLTKDMTGKEDVY RGPAIRALCRITDGTMLQAVERYMKQAIVDKVSSVASSALVSSLHMMKISYDVVKRWINE AQEAASSDNIMVQYHALGVLYHLRKNDRLAVSKMLNKFTKSGLKSQFAYCMLIRIASRLL KESEDGHESPLFDFIESCLRNKHEMVIYEAASAIIHLPNCTARELAPAVSVLQLFCSSPK PALRYAAVRTLNKVAMKHPSAVTACNLDLENLITDSNRSIATLAITTLLKTGSESSVDRL MKQISSFVSEISDEFKVVVVQAISALCHKYPRKHSVMMTFLSNMLRDDGGFEYKKAIVDC IISIVEENPESKEAGLAHLCEFIEDCEHTVLATKILHLLGKEGPRTPVPSKYIRFIFNRV VLENEAVRAAAVSALAKFGAQNESLLPSILVLLQRCMMDTDDEVRDRATFYLNVLQQRQM ALNATYIFNGLTVSIPGMEKALHQYTLEPSEKPFDMKSIPLAMAPVFEQKSEITLVTPKP EKLAPSRQDIFQEQLAAIPEFMNLGPLFKSSEPVQLTEAETEYFVRCVKHMFTDHIVFQF DCTNTLNDQLLEKVTVQMEPSDSYEVLCCIPAPSLPYNQPGICYTLVRLPDEDPTAVAGT FSCTMKFTVRDCDPNTGVPDEDGYDDEYVLEDLEVTVSDHIQKILKPNFAAAWEEVGDAF EKEETFALSSTKTLEAVNNIITFLGMQPCERSDKVPENKNSHSLYLAGVYRGGYDLLVRS RLALADGVTMQVTVRSKERTPVDVILASVG >ENSMUSP00000038368.6 pep:known chromosome:GRCm38:6:30747554:30896739:-1 gene:ENSMUSG00000025607.15 transcript:ENSMUST00000048774.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Copg2 description:coatomer protein complex, subunit gamma 2 [Source:MGI Symbol;Acc:MGI:1858683] MIKKFDKKDEESGSGSNPFQHLEKSAVLQEARIFNETPINPRRCLHILTKILYLLNQGEH FGTMEATEAFFAMTRLFQSNDQTLRRMCYLTIKEMATISEDVIIVTSSLTKDMTGKEDVY RGPAIRALCRITDGTMLQAVERYMKQAIVDKVSSVASSALVSSLHMMKISYDVVKRWINE AQEAASSDNIMVQYHALGVLYHLRKNDRLAVSKMLNKFTKSGLKSQFAYCMLIRIASRLL KESEDGHESPLFDFIESCLRNKHEMVIYEAASAIIHLPNCTARELAPAVSVLQLFCSSPK PALRYAAVRTLNKVAMKHPSAVTACNLDLENLITDSNRSIATLAITTLLKTGSESSVDRL MKQISSFVSEISDEFKVVVVQAISALCHKYPRKHSVMMTFLSNMLRDDGGFEYKKAIVDC IISIVEENPESKEAGLAHLCEFIEDCEHTVLATKILHLLGKEGPRTPVPSKYIRFIFNRV VLENEAVRAAAVSALAKFGAQNESLLPSILVLLQRCMMDTDDEVRDRATFYLNVLQQRQM ALNATYIFNGLTVSIPGMEKALHQYTLEPSEKPFDMKSIPLAMAPVFEQKSEITLVTPKP EKLAPSRQDIFQEQLAAIPEFMNLGPLFKSSEPVQLTEAETEYFVRCVKHMFTDHIVFQF DCTNTLNDQLLEKVTVQMEPSDSYEVLCCIPAPSLPYNQPGICYTLVRLPDEDPTAVAGT FSCTMKFTVRDCDPNTGVPDEDGYDDEYVLEDLEVTVSDHIQKILKPNFAAAWEEVGDAF EKEETFALSSTKTLEEAVNNIITFLGMQPCERSDKVPENKNSHSLYLAGVYRGGYDLLVR SRLALADGVTMQVTVRSKERTPVDVILASVG >ENSMUSP00000100676.1 pep:known chromosome:GRCm38:11:99814976:99815666:-1 gene:ENSMUSG00000078258.1 transcript:ENSMUST00000105055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11564 description:predicted gene 11564 [Source:MGI Symbol;Acc:MGI:3650329] MVSSCCGSVCSEEGCGQGCCQPSCCQTTCCRTTCCRPSCCVSSCCRPQCCQSVCCQPTCC RPSCCISSCCRPSCCRPSCCRPSCCRPSCCQPSCCVSSCCKPSCCVSSCCRPSCCQSVCC QPTCCRPSCCISSCCRPSCCVSSCCRPICSGGSSCCGSSCCRPSCCISSCCRPSCCVSSC CRPTCCQSTCCRPTCSSCSCC >ENSMUSP00000118564.1 pep:known chromosome:GRCm38:8:119910841:124345722:1 gene:ENSMUSG00000092329.1 transcript:ENSMUST00000127664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20388 description:predicted gene 20388 [Source:MGI Symbol;Acc:MGI:5141853] MALHNPQGDWNDIDSIKKKDLHHSRGDEKAQGVETLPPGKVRWPDFNQEAYVGGTMVRSG QDPYARNKFNQVESDKLHMDRGIPDTRHDQCQRKQWRVDLPATSVVITFHNEARSALLRT VVSVLKRSPPHLIKEIILVDDYSNDPEDGALLGKIEKVRVLRNDRREGLMRSRVRGADAA QAKVLTFLDSHCECNERWLEPLLERVAEDRTRVVSPIIDVINMDNFQYVGASADLKGGFD WNLVFKWDYMTPEQRRSRQGNPVAPIKTPMIAGGLFVMDKLYFEELGKYDMMMDVWGGEN LEISFRVWQCGGSLEIIPCSRVGHVFRKQHPYTFPGGSGTVFARNTRRAAEVWMDEYKHF YYAAVPSARNVPYGNIQSRLELRKKLGCKPFKWYLDNVYPELRVPDHQDIAFGALQQGTN CLDTLGHFADGVVGIYECHNAGGNQEWALTKEKSVKHMDLCLTVVDRSPGSLIRLQGCRE NDSRQKWEQIEGNSKLRHVGSNLCLDSRTAKSGGLSVEVCGPALSQQWKFSLNLQQ >ENSMUSP00000043066.7 pep:known chromosome:GRCm38:3:144429706:144570181:-1 gene:ENSMUSG00000040151.9 transcript:ENSMUST00000043325.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs2st1 description:heparan sulfate 2-O-sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1346049] MGLLRIMMPPKLQLLAVVAFAVAMLFLENQIQKLEESRAKLERAIARHEVREIEQRHTMD GPRQDATLDEEEDIIIIYNRVPKTASTSFTNIAYDLCAKNRYHVLHINTTKNNPVMSLQD QVRFVKNITTWNEMKPGFYHGHISYLDFAKFGVKKKPIYINVIRDPIERLVSYYYFLRFG DDYRPGLRRRKQGDKKTFDECVAEGGSDCAPEKLWLQIPFFCGHSSECWNVGSRWAMDQA KSNLINEYFLVGVTEELEDFIMLLEAALPRFFRGATDLYRTGKKSHLRKTTEKKLPTKQT IAKLQQSDIWKMENEFYEFALEQFQFIRAHAVREKDGDLYILAQNFFYEKIYPKSN >ENSMUSP00000123816.1 pep:known chromosome:GRCm38:3:144453963:144569881:-1 gene:ENSMUSG00000040151.9 transcript:ENSMUST00000160690.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hs2st1 description:heparan sulfate 2-O-sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1346049] MGLLRIMMPPKLQLLAVVAFAVAMLFLENQIQKLEESRAKLDGFPGVPAEEMSGTPGIWE DGQEMTCKSEVRLSL >ENSMUSP00000042918.8 pep:known chromosome:GRCm38:1:34436670:34439672:-1 gene:ENSMUSG00000042111.9 transcript:ENSMUST00000042493.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc115 description:coiled-coil domain containing 115 [Source:MGI Symbol;Acc:MGI:1916918] MAVQALREELDSKCLQLLSDLEELEAKRAALNARVEEGWLSLAKARYAMGAKSVGPLQYA SRMEPQVCVRASEAQDGPQTFRVIKADAQTPEEVGPSEASLRRRKGPTKTKELGSAVVPQ DPLNWFGILVPHSLRQAQASFRDGLQLAADIASLQTRINWGQSQLRGLQKKLKELDPGPA >ENSMUSP00000140993.1 pep:known chromosome:GRCm38:13:9093881:9171104:1 gene:ENSMUSG00000033499.13 transcript:ENSMUST00000188211.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp4b description:La ribonucleoprotein domain family, member 4B [Source:MGI Symbol;Acc:MGI:106330] MTSDQDAKVVAEPQAQRVQEGKDSSHLMNGPISQTTSQTRSLPALTQVPTTKVSELNPNA KVWGTHMLHLEASSAAVGVNAAWEEAPGHPTDCDQQVLGLDANGDGDKSRENAALPDAQE AEQTDMSTLALDHSEYEPLPENNDTGGNESQPESQEDPREVLKKTLEFCLSRENLASDMY LISQMDSDQYVPITTVANLDHIKKLSTDVDLIVEVLRSLPLVQVDEKGEKVRPNQNRCIV ILREISESTPVEEVEALFKGDNLPKFINCEFAYNDNWFITFETEADAQQAYKYLREEVRT FQGKPIKARIKAKAIAINTFLPKNGFRPLDMNLYTQQRYATSFYLPPVYSPQQQFPLYSL ITPQTWSTTHSYLDPPLVTPFPSTGFINGFTSPTFKPATSPLTSLRQYPPRSRNPSKSHL RHAIPSTERGPGLLESPSIFNFTADRLINGVRSPQTRQAGQTRTRIQNPSAYAKREIGTG RVEPSSLESSPGLGRGRKNSFGYRKKREEKFTSSQTQSPTPPKPPSPSFELGLSNFPPLP GAAGNLKTEDLFENRLSSLIIGSSKERNLSTDASTNTVPVVGPREPSVPAPCAVSAAFER SPSPVHLPEDPKVAEKQRETQSVDRLPSTPTTTACKSVQVNGAATELRKPSYAEICQRTS KDPSSSSPLQPPKEQKPSTVACGKEEKQLSEPVERHREPPALKSTPGVPKDQRRQPGRRA SPPAAGKRLSKEQNTPPKSPQ >ENSMUSP00000139578.1 pep:known chromosome:GRCm38:13:9093907:9171296:1 gene:ENSMUSG00000033499.13 transcript:ENSMUST00000188939.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp4b description:La ribonucleoprotein domain family, member 4B [Source:MGI Symbol;Acc:MGI:106330] MTSDQDAKVVAEPQAQRVQEGKDSSHLMNGPISQTTSQTRSLPALTQVPTTKVSELNPNA KVWGTHMLHLEASSAAVGVNAAWEEAPGHPTDCDQQVLGLDANGDGDKSRENAALPDAQE AEQTDMSTLALDHSEYEPLPENNDTGGNESQPESQEDPREVLKKTLEFCLSRENLASDMY LISQMDSDQYVPITTVANLDHIKKLSTDVDLIVEVLRSLPLVQVDEKGEKVRPNQNRCIV ILREISESTPVEEVEALFKGDNLPKFINCEFAYNDNWFITFETEADAQQAYKYLREEVRT FQGKPIKARIKAKAIAINTFLPKNGFRPLDMNLYTQQRYATSFYLPPVYSPQQQFPLYSL ITPQTWSTTHSYLDPPLVTPFPSTGFINGFTSPTFKPATSPLTSLRQYPPRSRNPSKSHL RHAIPSTERGPGLLESPSIFNFTADRLINGVRSPQTRQAGQTRTRIQNPSAYAKREIGTG RVEPSSLESSPGLGRGRKNSFGYRKKREEKFTSSQTQSPTPPKPPSPSFELGLSNFPPLP GAAGNLKTEDLFENRLSSLIIGSSKERELRKPSYAEICQRTSKDPSSSSPLQPPKEQKPS TVACGKEEKQLSEPVERHREPPALKSTPGVPKDQRRQPGRRASPPAAGKRLSKEQNTPPK SPQ >ENSMUSP00000139927.1 pep:known chromosome:GRCm38:13:9093912:9123931:1 gene:ENSMUSG00000033499.13 transcript:ENSMUST00000190041.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp4b description:La ribonucleoprotein domain family, member 4B [Source:MGI Symbol;Acc:MGI:106330] MTSDQDAKVVAEPQAQRVQEGKDSSHLMNGPISQTTS >ENSMUSP00000089437.3 pep:known chromosome:GRCm38:13:9093982:9174451:1 gene:ENSMUSG00000033499.13 transcript:ENSMUST00000091829.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp4b description:La ribonucleoprotein domain family, member 4B [Source:MGI Symbol;Acc:MGI:106330] MTSDQDAKVVAEPQAQRVQEGKDSSHLMNGPISQTTSQTRSLPALTQVPTTKVSELNPNA KVWGTHMLHLEASSAAVGVNAAWEEAPGHPTDCDQQVLGLDANGDGDKSRENAALPDAQE AEQTDMSTLALDHSEYEPLPENNDTGGNESQPESQEDPREVLKKTLEFCLSRENLASDMY LISQMDSDQYVPITTVANLDHIKKLSTDVDLIVEVLRSLPLVQVDEKGEKVRPNQNRCIV ILREISESTPVEEVEALFKGDNLPKFINCEFAYNDNWFITFETEADAQQAYKYLREEVRT FQGKPIKARIKAKAIAINTFLPKNGFRPLDMNLYTQQRYATSFYLPPVYSPQQQFPLYSL ITPQTWSTTHSYLDPPLVTPFPSTGFINGFTSPTFKPATSPLTSLRQYPPRSRKNSFGYR KKREEKFTSSQTQSPTPPKPPSPSFELGLSNFPPLPGAAGNLKTEDLFENRLSSLIIGSS KERNLSTDASTNTVPVVGPREPSVPAPCAVSAAFERSPSPVHLPEDPKVAEKQRETQSVD RLPSTPTTTACKSVQVNGAATELRKPSYAEICQRTSKDPSSSSPLQPPKEQKPSTVACGK EEKQLSEPVERHREPPALKSTPGVPKDQRRQPGRRASPPAAGKRLSKEQNTPPKSPQ >ENSMUSP00000112408.2 pep:known chromosome:GRCm38:15:11000721:11029233:1 gene:ENSMUSG00000022243.10 transcript:ENSMUST00000117100.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc45a2 description:solute carrier family 45, member 2 [Source:MGI Symbol;Acc:MGI:2153040] MSGSNGPTDTHTYQSLAEDCPFGSVEQPKRSTGRLVMHSMAMFGREFCYAVEAAYVTPVL LSVGLPKSLYSMVWLLSPILGFLLQPVVGSASDHCRARWGRRRPYILTLAIMMLLGMALY LNGDAVVSALVANPRQKLIWAISITMVGVVLFDFSADFIDGPIKAYLFDVCSHQDKEKGL HYHALFTGFGGALGYILGAIDWVHLDLGRLLGTEFQVMFFFSALVLILCFITHLCSIPEA PLRDAATDPPSQQDPQGSSLSASGMHEYGSIEKVKNGGADTEQPVQEWKNKKPSGQSQRT MSMKSLLRALVNMPSHYRCLCVSHLIGWTAFLSNMLFFTDFMGQIVYHGDPYGAHNSTEF LIYERGVEVGCWGLCINSVFSSVYSYFQKAMVSYIGLKGLYFMGYLLFGLGTGFIGLFPN VYSTLVLCSMFGVMSSTLYTVPFNLIAEYHREEEKEKGQEAPGGPDNQGRGKGVDCAALT CMVQLAQILVGGGLGFLVNMAGSVVVVVITASAVSLIGCCFVALFVRYVD >ENSMUSP00000034280.7 pep:known chromosome:GRCm38:8:120081095:120101482:-1 gene:ENSMUSG00000031823.13 transcript:ENSMUST00000034280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc7 description:zinc finger, DHHC domain containing 7 [Source:MGI Symbol;Acc:MGI:2142662] MQPSGHRLRDIEHHPLLTDNDNYDSASSSSSETDMADRVWFIRDGCGMVCAVMTWLLVVY ADFVVTFVMLLPSKDFWYSVVNGVLFNCLAVLALSSHLRTMLTDPGAVPKGNATKEYMES LQLKPGEVIYKCPKCCCIKPERAHHCSICKRCIRKMDHHCPWVNNCVGEKNQRFFVLFTM YIALSSVHALILCGLQFISCVRGQWTECSDFSPPITVILLVFLCLEGLLFFTFTAVMFGT QIHSICNDETEIERLKSEKPTWERRLRWEGMKSVFGGPPSLLWMNPFVGFRLRRLQMRTR KGGPEFSV >ENSMUSP00000099627.3 pep:known chromosome:GRCm38:4:132458731:132463921:-1 gene:ENSMUSG00000066042.4 transcript:ENSMUST00000102567.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med18 description:mediator complex subunit 18 [Source:MGI Symbol;Acc:MGI:1914469] MEAPPVTMMPVTGGTINMMEYLLQGSVLDHSLESLIHRLRGLCDNMEPETFLDHEMVFLL KGQQASPFVLRARRSMDRAGAPWHLRYLGQPEMGDKNRHALVRNCVDIATSENLTDFLME MGFRMDHEFVAKGHLFRKGIMKVVVYKIFRILVPGNTDSTEALSLSYLVELSVVAPAGQD MVSDDMRNFAEQLKPLVHLEKIDPKRLM >ENSMUSP00000120535.1 pep:known chromosome:GRCm38:4:132459955:132463331:-1 gene:ENSMUSG00000066042.4 transcript:ENSMUST00000123604.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med18 description:mediator complex subunit 18 [Source:MGI Symbol;Acc:MGI:1914469] MSFGFKATAAANRVWTSVTFPGGSCASPVGRSVCSSVVLNLIRGIMEAPPVTMMPVTGGT INMMEYLLQGSVLDHSLESLIHRLRGLCDNMEPETFLDHEMVFLLKGQQASPFVLRARRS MD >ENSMUSP00000021734.7 pep:known chromosome:GRCm38:13:13784329:13827897:1 gene:ENSMUSG00000021303.13 transcript:ENSMUST00000021734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng4 description:guanine nucleotide binding protein (G protein), gamma 4 [Source:MGI Symbol;Acc:MGI:102703] MKEGMSNNSTTSISQARKAVEQLKMEACMDRVKVSQAASDLLAYCEAHVREDPLIIPVPA SENPFREKKFFCTIL >ENSMUSP00000001185.7 pep:known chromosome:GRCm38:6:86691768:86733383:-1 gene:ENSMUSG00000001157.13 transcript:ENSMUST00000001185.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmcl1 description:germ cell-less, spermatogenesis associated 1 [Source:MGI Symbol;Acc:MGI:1345156] MGALSSRVLRPAGRTEQPEPTPGAGGAARRSDAGEDAGHSFCYCPGGRKRKRSSGTFCYC HPDSETDDDEDEGDEQQRLLNTPRRKKLKSTSKYIYQTLFLNGENSDIKICALGEEWSLH KIYLCQSGYFSSMFSGSWKESSMNIIELEIPDQNIDIEALQVAFGSLYRDDVLIKPSRVV AILAAACMLQLDGLIQQCGETMKETISVRTVCGYYTSAGTYGLDSVKKKCLEWLLNNLMT HQSVELFKELSINVMKQLIGSSNLFVMQVEMDVYTALKKWMFLQLVPSWNGSLKQLLTET DVWFSKWKKDFEGTTFLETEQGKPFAPVFRHLRLQYIISDLASARIIEQDSLVPSEWLAA VYKQQWLAMLRAEQDSEVGPQEINKEELEGNSMRCGRKLAKDGEYCWRWTGFNFGFDLLV TYTNRYIIFKRNTLNQPCSGSVSLQPRRSIAFRLRLASFDSSGKLICSRATGYQILTLEK DQEQVVMNLDSRLLIFPLYICCNFLYISPEKRTESNRHPENPGH >ENSMUSP00000109309.1 pep:known chromosome:GRCm38:6:86720210:86733240:-1 gene:ENSMUSG00000001157.13 transcript:ENSMUST00000113679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmcl1 description:germ cell-less, spermatogenesis associated 1 [Source:MGI Symbol;Acc:MGI:1345156] MGALSSRVLRPAGRTEQPEPTPGAGGAARRSDAGEDAGHSFCYCPGGRKRKRSSGTFCYC HPDSETDDDEDEGDEQQRLLNTPRRKKLKSTSKYIYQTLFLNGENSDIKICALGEEWSLH KIYLCQSGYFSSMFSGSWKESSMNIIELEIPDQNIDIEALQVAFGSLYRDDVLIKPSRVV AILAAACMLQLMEFEGCLP >ENSMUSP00000029610.8 pep:known chromosome:GRCm38:3:131233419:131272101:-1 gene:ENSMUSG00000027984.8 transcript:ENSMUST00000029610.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hadh description:hydroxyacyl-Coenzyme A dehydrogenase [Source:MGI Symbol;Acc:MGI:96009] MAFVTRQFLRSMSSSSSASAAAKKILIKHVTVIGGGLMGAGIAQVAAATGHTVVLVDQTE DILAKSKKGIEESLKRMAKKKFTENPKAGDEFVEKTLSCLSTSTDAASVVHSTDLVVEAI VENLKLKNELFQRLDKFAAEHTIFASNTSSLQITNIANATTRQDRFAGLHFFNPVPMMKL VEVIKTPMTSQKTFESLVDFCKTLGKHPVSCKDTPGFIVNRLLVPYLIEAVRLHERGDAS KEDIDTAMKLGAGYPMGPFELLDYVGLDTTKFILDGWHEMEPENPLFQPSPSMNNLVAQK KLGKKTGEGFYKYK >ENSMUSP00000020706.4 pep:known chromosome:GRCm38:11:7063489:7178506:1 gene:ENSMUSG00000020431.5 transcript:ENSMUST00000020706.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy1 description:adenylate cyclase 1 [Source:MGI Symbol;Acc:MGI:99677] MAGAPRGQGGGGGAGEPGGAERAAGPGGRRGFRACGEEFACPELEALFRGYTLRLEQAAT LKALAVLSLLAGALALAELLGAPGPAPGLAKGSHPVHCILFLALFVVTNVRSLQVSQLQQ VGQLALFFSLTFALLCCPFALGGPARSSAGGAMGSTVAEQGVWQLLLVTFVSYALLPVRS LLAIGFGLVVAASHLLVTAALVPAKRPRLWRTLGANALLFFGVNMYGVFVRILTERSQRK AFLQARNCIEDRLRLEDENEKQERLLMSLLPRNVAMEMKEDFLKPPERIFHKIYIQRHDN VSILFADIVGFTGLASQCTAQELVKLLNELFGKFDELATENHCRRIKILGDCYYCVSGLT QPKTDHAHCCVEMGLDMIDTITSVAEATEVDLNMRVGLHTGRVLCGVLGLRKWQYDVWSN DVTLANVMEAAGLPGKVHITKTTLACLNGDYEVEPGHGHERNTFLRTHNIETFFIVPSHR RKIFPGLILSDIKPAKRMKFKTVCYLLVQLMHCRKMFKAEIPFSNVMTCEDDDKRRALRT ASEKLRNRSSFSTNVVYTTPGTRVNRYISRLLEARQTELEMADLNFFTLKYKHVEREQKY HQLQDEYFTSAVVLALILAALFGLIYLLVIPQSVAVLLLLVFSICFLVACTLYLHITRVQ CFPGCLTIQIRTALCVFIVVLIYSVAQGCVVGCLPWAWSSQSNSSLVVLAAGGRRTVLPA LPCESAHHALLCCLVGTLPLAIFLRVSSLPKMILLSGLTTSYILVLELSGYTKVGGGALS GRSYEPIMAILLFSCTLALHARQVDVRLRLDYLWAAQAEEERDDMERVKLDNKRILFNLL PAHVAQHFLMSNPRNMDLYYQSYSQVGVMFASIPNFNDFYIELDGNNMGVECLRLLNEII ADFDELMDKDFYKDLEKIKTIGSTYMAAVGLAPTAGTRAKKSISSHLCTLADFAIDMFDV LDEINYQSYNDFVLRVGINVGPVVAGVIGARRPQYDIWGNTVNVASRMDSTGVQGRIQVT EEVHRLLKRCSYQFVCRGKVSVKGKGEMLTYFLEGRTDGNSSHGRTFRLERRMCPYGRGG GQARRPPLCPAAGPPVRPGLPPAPTSQYLSSTAAGKEA >ENSMUSP00000092387.4 pep:known chromosome:GRCm38:7:18646736:18656722:-1 gene:ENSMUSG00000070796.11 transcript:ENSMUST00000094793.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg21 description:pregnancy-specific glycoprotein 21 [Source:MGI Symbol;Acc:MGI:1891353] MGVTSELFTNVLIPWQRVLFTASLLTCWLLSTTASVTIQSPKHVVEGENILLQVDNLPEN LLAFAWYRGLINWRLTIALHFLDYSTSMTGPEHSDREILYSNGSLWIQNVTQEDTGYYIF QTISNHGELESNTSTFLQVYSSHFTCGRPSFPAKLTIESVPPRVAEGGRVLLRVHNLPEY LQLFFWYKGVIMIHKVEIVRHRTLKNLSDPGPAHSGREIVFSNGSLLLQNVTWKDTGFYT LQTVNGFREMELAHIYLQVETPCCDPLDSAQLRIDPVTPHAAEGESVLLQVHNLPEDLQT FSWYKGVDSTPSFQIVEYSKAMKSIISGSAYSRREIGYTNGSLLLQDVTEKDSGLYTLVT IDSNMRVETVHVQVNIYKLVTQPAMRVTDSTVRVQSSVVFTCFSDNTGVSIRWLFNNQSL QLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVSLAVMNE >ENSMUSP00000138173.1 pep:known chromosome:GRCm38:7:18649947:18656722:-1 gene:ENSMUSG00000070796.11 transcript:ENSMUST00000182128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg21 description:pregnancy-specific glycoprotein 21 [Source:MGI Symbol;Acc:MGI:1891353] MGVTSELFTNVLIPWQRVLFTASLLTCWLLSTTASVTIQSPKHVVEGENILLQVDNLPEN LLAFAWYRGLINWRLTIALHFLDYSTSMTGPEHSDREILYSNGSLWIQNVTQEDTGYYIF QTISNHGELESNTSTFLQVYSSHFTCGRPSFPAKLTIESVPPRVAEGGRVLLRVHNLPEY LQLFFWYKGVIMIHKVEIVRHRTLKNLSDPGPAHSGREIVFSNGSLLLQNVTWKDTGFYT LQTVNGFREMELAHIYLQVETPCCDPLDSAQLRIDPVTPHAAEGESVLLQVHNLPEDLQT FSWYKGVDSTPSFQIVEYSKAMKSIISGSAYSRREIGYTNGSLLLQDVTEKDSGLYTLVT IDSNMRVETVHVQVNIYSK >ENSMUSP00000033427.6 pep:known chromosome:GRCm38:X:48146436:48161565:1 gene:ENSMUSG00000031101.6 transcript:ENSMUST00000033427.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sash3 description:SAM and SH3 domain containing 3 [Source:MGI Symbol;Acc:MGI:1921381] MLRRKPSNASDKEPTQKKKLSLQRSSSFKDFAKSKPSSPVVSEKEFNLDDNIPEDDSGVL TPEDSGKSGKKLGKKWRAVISRTMNRKMGKMMVKALSEEMGDTLEEGSASPTSPDCSLDS PGPEKMALAFTEQEEREPPSLSRQTSTGSELCSPGPGSGSFLEESPAPQYTGPFCGRARV HTDFTPSPYDHDSLKLQKGDVIQIVEKPPVGTWLGLLNGKLGSFKFIYVDVLPEEAVGPV RPSRRQSKGKRPKPKTLHELLERIGLEEHTSTLLLNGYQTLEDFKELRETHLNELNIMDP QHRAKLLTAAELLLDYDTGSEEAEEGAESSQEPVAHTVSEPKVDIPRDSGCFEGSESGRD EAELAGTEEQLQGLSLSGAP >ENSMUSP00000043806.5 pep:known chromosome:GRCm38:4:44300876:44364675:1 gene:ENSMUSG00000035683.13 transcript:ENSMUST00000045607.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Melk description:maternal embryonic leucine zipper kinase [Source:MGI Symbol;Acc:MGI:106924] MKDYDELLKYYELYETIGTGGFAKVKLACHVLTGEMVAIKIMDKNALGSDLPRVKTEIDA LKSLRHQHICQLYHVLETKNKIFMVLEYCPGGELFDYIISQDRLSEEETRVVFRQILSAV AYVHSQGYAHRDLKPENLLFDENHKLKLIDFGLCAKPKGNKDYHLQTCCGSLAYAAPELI QGKSYLGSEADVWSMGILLYVLMCGFLPFDDDNVMALYKKIMRGKYEVPKWLSPSSILLL QQMLQVDPKKRISMRNLLNHPWVMQDYSCPVEWQSKTPLTHLDEDCVTELSVHHRSSRQT MEDLISSWQYDHLTATYLLLLAKKARGKPARLQLLSFSCGTASTTPKSKNLSLEDMSTSD DNCVAGLIDYELCEDKLLAPKTPQVTKHLAESNHAASKSPAPGVRRAVANKLMDKENVCT PKSSVKNEEQFVFSEPKIPVSKNQYKREIPASPTRFPTPAKARAQCLREAPVRTPGNSAG ADTLTTGVISPERRCRSMDVDLNQAHMEDTPKKKGTNVFGSLERGLDKVLTALTRNKKKG SARDGPRKRKLHYNVTTTRLVNPDQLLSEIMAILPKKNVDFVQKGYTLKCQTQSDFGKVT MQFELEVCQLQRPDVVGIRRQRLKGDAWVYKRLVEDILSGCKM >ENSMUSP00000120242.1 pep:known chromosome:GRCm38:4:44302667:44325693:1 gene:ENSMUSG00000035683.13 transcript:ENSMUST00000137703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Melk description:maternal embryonic leucine zipper kinase [Source:MGI Symbol;Acc:MGI:106924] MKDYDELLKYYELYETIGTGGFAKVKLACHVLTGEMVAIKIMDKNALGSDLPRVKTEIDA LKSLRHQHICQLYHVLETKNKIFMVLEENLLFDENHKLKLIDFGLCAKPKGNKDYHLQTC CGSLAYAAPELIQGKSYLGSEADVWSMGILLYVLMCGFLPFDDDNVMALYKKIMRGKYEV PKWLSPSSILLLQQMLQVDPKKRISMRNLLNHPWV >ENSMUSP00000118359.1 pep:known chromosome:GRCm38:4:44303568:44318070:1 gene:ENSMUSG00000035683.13 transcript:ENSMUST00000125708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Melk description:maternal embryonic leucine zipper kinase [Source:MGI Symbol;Acc:MGI:106924] MKDYDELLKYYELYETIGTGGFAKVKLACHVLTGEMVAIKIMDKNALGSDLPRVKTEIDA LKSLRHQHICQLYHVLETKNKIFMVLEGNKDYHLQTCCGSLAYAAPELIQGKSYLGSEAD VWSMGILLYVLMCG >ENSMUSP00000041557.8 pep:known chromosome:GRCm38:8:121881150:121907694:-1 gene:ENSMUSG00000040010.10 transcript:ENSMUST00000045557.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a5 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 5 [Source:MGI Symbol;Acc:MGI:1298205] MAVAGAKRRAVATPAAAAAEEERQAREKMLEARRGDGADPEGEGVTLQRNITLLNGVAII VGTIIGSGIFVTPTGVLKEAGSPGLSLVVWAVCGVFSIVGALCYAELGTTISKSGGDYAY MLEVYGSLPAFLKLWIELLIIRPSSQYIVALVFATYLLKPVFPTCPVPEEAAKLVACLCV LLLTAVNCYSVKAATRVQDAFAAAKLLALALIILLGFIQMGKDMGQGDASNLQQKLSFEG TNLDVGNIVLALYSGLFAYGGWNYLNFVTEEMINPYRNLPLAIIISLPIVTLVYVLTNLA YFTTLSTNQMLTSEAVAVDFGNYHLGVMSWIIPVFVGLSCFGSVNGSLFTSSRLFFVGSR EGHLPSVLSMIHPQLLTPVPSLVFTCIMTLMYAFSRDIFSIINFFSFFNWLCVALAIIGM MWLRFKKPELERPIKVNLALPVFFILACLFLIAVSFWKTPMECGIGFAIILSGLPVYFFG VWWKNKPKWILQAIFSVTVLCQKLMQVVPQET >ENSMUSP00000121056.1 pep:known chromosome:GRCm38:14:65968642:65975646:1 gene:ENSMUSG00000022037.14 transcript:ENSMUST00000138665.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clu description:clusterin [Source:MGI Symbol;Acc:MGI:88423] MKILLLCVALLLIWDNGMVLGEQEVSDNELQGRTVHSRE >ENSMUSP00000022616.6 pep:known chromosome:GRCm38:14:65968483:65981548:1 gene:ENSMUSG00000022037.14 transcript:ENSMUST00000022616.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clu description:clusterin [Source:MGI Symbol;Acc:MGI:88423] MKILLLCVALLLIWDNGMVLGEQEVSDNELQELSTQGSRYINKEIQNAVQGVKHIKTLIE KTNAERKSLLNSLEEAKKKKEDALEDTRDSEMKLKAFPEVCNETMMALWEECKPCLKHTC MKFYARVCRSGSGLVGQQLEEFLNQSSPFYFWMNGDRIDSLLESDRQQSQVLDAMQDSFA RASGIIDTLFQDRFFARELHDPHYFSPIGFPHKRPHFLYPKSRLVRSLMSPSHYGPPSFH NMFQPFFEMIHQAQQAMDVQLHSPAFQFPDVDFLREGEDDRTVCKEIRRNSTGCLKMKGQ CEKCQEILSVDCSTNNPAQANLRQELNDSLQVAERLTEQYKELLQSFQSKMLNTSSLLEQ LNDQFNWVSQLANLTQGEDKYYLRVSTVTTHSSDSEVPSRVTEVVVKLFDSDPITVVLPE EVSKDNPKFMDTVAEKALQEYRRKSRAE >ENSMUSP00000121633.1 pep:known chromosome:GRCm38:14:65969718:65975961:1 gene:ENSMUSG00000022037.14 transcript:ENSMUST00000153460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clu description:clusterin [Source:MGI Symbol;Acc:MGI:88423] MKILLLCVALLLIWDNGMVLGEQEVSDNELQELSTQGSRYINKEIQNAVQGVKHIKTLIE KTNAERKSLLNSLEEAKKKKEDALEDTRDSEMKLKAFPEVCNETMMALWEECKPCLKHTC MKFYARVCRSGSGLVGQQLEEFLNQSSPFYFWMNGDRIDSLLESDRQQSQVLDAMQDSFA RASGIIDTLFQDRFFARELHDPHYFSPIGFPHKRPHFLYPKSRLVRSLMSPSHYGPPSFH NMFQPFFEMIHQAQQAMDVQLHSPA >ENSMUSP00000114720.1 pep:known chromosome:GRCm38:14:65970620:65975865:1 gene:ENSMUSG00000022037.14 transcript:ENSMUST00000127387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clu description:clusterin [Source:MGI Symbol;Acc:MGI:88423] MKILLLCVALLLIWDNGMVLGEQEVSDNELQELSTQGSRYINKEIQNAVQGVKHIKTLIE KTNAERKSLLNSLEEAKKKKEDALEDTRDSEMKLKAFPEVCNETMMALWEECKPCLKHTC MKFYARVCRSGSGLVGQQLEEFLNQSSPFYFWMNGDRIDSLLESDRQQSQVLDAMQDSFA RASGIIDTLFQDRFFARELHDPHYFSPIGFPHKRPHFLYPKSRLVRSLMSPSH >ENSMUSP00000117555.1 pep:known chromosome:GRCm38:14:65970804:65975842:1 gene:ENSMUSG00000022037.14 transcript:ENSMUST00000138191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clu description:clusterin [Source:MGI Symbol;Acc:MGI:88423] MKILLLCVALLLIWDNGMVLGEQEVSDNELQELSTQGSRYINKEIQNAVQGVKHIKTLIE KTNAERKSLLNSLEEAKKKKEDALEDTRDSEMKLKAFPEVCNETMMALWEECKPCLKHTC MKFYARVCRSGSGLVGQQLEEFLNQSSPFYFWMNGDRIDSLLESDRQQSQVLDAMQDSFA RASGIIDTLFQDRFFARELHDPHYFSPIGFPHKRPHFLYPKSRLV >ENSMUSP00000121485.1 pep:known chromosome:GRCm38:14:65971058:65975777:1 gene:ENSMUSG00000022037.14 transcript:ENSMUST00000128539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clu description:clusterin [Source:MGI Symbol;Acc:MGI:88423] MKILLLCVALLLIWDNGMVLGEQEVSDNELQELSTQGSRYINKEIQNAVQGVKHIKTLIE KTNAERKSLLNSLEEAKKKKEDALEDTRDSEMKLKAFPEVCNETMMALWEECKPCLKHTC MKFYARVCRSGSGLVGQQLEEFLNQSSPFYFWMNGDRIDSLLESDRQQSQVLDAMQDSFA RASGIIDTLFQDRFFARELHDPH >ENSMUSP00000117953.1 pep:known chromosome:GRCm38:14:65971303:65974962:1 gene:ENSMUSG00000022037.14 transcript:ENSMUST00000144619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clu description:clusterin [Source:MGI Symbol;Acc:MGI:88423] MEGDRRGMFACFGRGIFSIWHVKYALETQEIHLPCLRLLWEERDSAWSSAPPTPDSLAPL CSRAMVLPRASLSPVSIEGDSRFQGGHAMKILLLCVALLLIWDNGMVLGEQEVSDNELQE LSTQGSRYINKEIQNAVQGVKHIKTLIEKTNAERKSLLNSLEEAKKKKEDALEDTRDSEM KLKAFPEVCNETMMALWEECKPCLKHTCM >ENSMUSP00000139614.1 pep:known chromosome:GRCm38:Y:65205185:65207527:-1 gene:ENSMUSG00000095785.2 transcript:ENSMUST00000186910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20924 description:predicted gene, 20924 [Source:MGI Symbol;Acc:MGI:5434280] MTSLKKKSRRKPSSQALGNIVGCRISHGWKQGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPPKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYILVSNIT >ENSMUSP00000137639.1 pep:known chromosome:GRCm38:Y:65205325:65206008:-1 gene:ENSMUSG00000095785.2 transcript:ENSMUST00000177663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20924 description:predicted gene, 20924 [Source:MGI Symbol;Acc:MGI:5434280] MTSLKKKSRRKPSSQALGNIVGCRISHGWKQGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPPKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYILVSNIT >ENSMUSP00000095958.4 pep:known chromosome:GRCm38:9:110117708:110147045:1 gene:ENSMUSG00000032481.16 transcript:ENSMUST00000098355.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcc1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:1203524] MPLGSMSPTQLSPNYLQNVSWISKLEAPCVTFLGQLTSTKMNRAG >ENSMUSP00000086094.5 pep:known chromosome:GRCm38:9:110131980:110240178:1 gene:ENSMUSG00000032481.16 transcript:ENSMUST00000088716.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcc1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:1203524] MAATAGGGPGAAAGAVGAGGAAAASGLAVYRRKDGGPASKFWESPDTVSQLDSVRVWLGK HYKKYVHADAPTNKTLAGLVVQLLQFQEDAFGKHVTNPAFTKLPAKCFMDFKAGGTLCHI LGAAYKYKNEQGWRRFDLQNPSRMDRNVEMFMNIEKTLVQNNCLTRPNIYLIPDIDLKLA NKLKDIIKRHQGTFTDEKSKASHHIYPYPSSQEDEEWLRPVMRRDKQVLVHWGFYPDSYD TWVHSNDVDAEIEDAPIPEKPWKVHVKWILDTDVFNEWMNEEDYEVDENRKPVSFRQRIS TKNEEPVRSPERRDRKASANSRKRKPSPSPPPPTATESRKKSGKKGQASLYGKRRSQKEE DEQEDLTKDMEDPTPVPNIEEVVLPKNVNPKKDSENTPVKGGTVADLDEQDEEAVTTGGK EDEDPSKGDPSRSVDPGEDNVTEQTNHIIIPSYASWFDYNCIHVIERRALPEFFNGKNKS KTPEIYLAYRNFMIDTYRLNPQEYLTSTACRRNLTGDVCAVMRVHAFLEQWGLVNYQVDP ESRPMAMGPPPTPHFNVLADTPSGLVPLHLRSPQVPAAQQMLNFPEKNKEKPIDLQNFGL RTDIYSKKTLAKSKGASAGREWTEQETLLLLEALEMYKDDWNKVSEHVGSRTQDECILHF LRLPIEDPYLENSDASLGPLAYQPVPFSQSGNPVMSTVAFLASVVDPRVASAAAKAALEE FSRVREEVPLELVEAHVKKVQEAARASGKVDPTYGLESSCIAGTGPDEPEKLEGSEEEKM ETDPDGQQPEKAENKVENESDEGDKIQDRENEKNTEKEQDSDVSEDVKPEEKENEENKEL TDTCKERESDAGKKKVEHEISEGNVATAAAAALASAATKAKHLAAVEERKIKSLVALLVE TQMKKLEIKLRHFEELETIMDREKEALEQQRQQLLTERQNFHMEQLKYAELRARQQMEQQ QQHGQTPQQAHQHTGGPGMAPLGATGHPGMMPHQQPPPYPLMHHQMPPPHPPQPGQIPGP GSMMPGQPMPGRMIPAVAANIHPTGSGPTPPGMPPMPGNILGPRVPLTAPNGMYPPPPQQ QQPPPPADGVPPPPAPGPPASATP >ENSMUSP00000142629.1 pep:known chromosome:GRCm38:9:110132037:110184303:1 gene:ENSMUSG00000032481.16 transcript:ENSMUST00000197480.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcc1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:1203524] MAATAGGGPGAAAGAVGAGGAAAASGLAVYRRKDGGPASKFWESPDTVSQLDSVRVWLGK HYKKYVHADAPTNKTLAGLVVQLLQFQEDAFGKHVTNPAFTKLPAKCFMDFKAGGTLCHI LGAAYKYKNEQGWRRFDLQNPSRMDRNVEMFMNIEKTLVQNNCLTRPNIYLIPDIDLKLA NKLKDIIKRHQGTFTDEKSKASHHIYPYPSSQEDEEWLRPVMRRDKQVLVHWGFYPDSYD TWVHSNDVDAEIEDAPIPEKPWKVHVKWILDTDVFNEWMNEEDYEVDENRKPVSFRQRIS TKNEEPVRSPERRDRKASANSRKRKPSPSPPPPTATESRKKSGKKGQASLYGKRRSQKEE DEQEDLTKDMEDPTPVPNIEEVVLPKNVNPKKDSENTPVKGGTVADLDEQDEEAVTTGGK EDEDPSKGDPSRSVDPGEDNVTEQTNHIIIPSYASWFDYNCIHVIERRALPEFFNGKNKS KTPEM >ENSMUSP00000142611.1 pep:known chromosome:GRCm38:9:110132037:110235291:1 gene:ENSMUSG00000032481.16 transcript:ENSMUST00000197984.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcc1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:1203524] MAATAGGGPGAAAGAVGAGGAAAASGLAVYRRKDGGPASKFWESPDTVSQLDSVRVWLGK HYKKYVHADAPTNKTLAGLVVQLLQFQEDAFGKHVTNPAFTKLPAKCFMDFKAGGTLCHI LGAAYKYKNEQGWRRFDLQNPSRMDRNVEMFMNIEKTLVQNNCLTRPNIYLIPDIDLKLA NKLKDIIKRHQGTFTDEKSKASHHIYPYPSSQEDEEWLRPVMRRDKQVLVHWGFYPDSYD TWVHSNDVDAEIEDAPIPEKPWKVHVKWILDTDVFNEWMNEEDYEVDENRKPVSFRQRIS TKNEEPVRSPERRDRKASANSRKRKPSPSPPPPTATESRKKSGKKGQASLYGKRRSQKEE DEQEDLTKDMEDPTPVPNIEEVVLPKNVNPKKDSENTPVKGGTVADLDEQDEEAVTTGGK EDEDPSKGDPSRSVDPGEDNVTEQTNHIIIPSYASWFDYNCIHVIERRALPEFFNGKNKS KTPEIYLAYRNFMIDTYRLNPQEYLTSTACRRNLTGDVCAVMRVHAFLEQWGLVNYQVDP ESRPMAMGPPPTPHFNVLADTPSGLVPLHLRSPQVPAAQQMLNFPEKNKEKPIDLQNFGL RTDIYSKKTLAKSKGASAGREWTEQETLLLLEALEMYKDDWNKVSEHVGSRTQDECILHF LRLPIEDPYLENSDASLGPLAYQPVPFSQSGNPVMSTVAFLASVVDPRVASAAAKAALEE FSRVREEVPLELVEAHVKKVQEAARASGKVDPTYGLESSCIAGTGPDEPEKLEGSEEEKM ETDPDGQQPEKAENKVENESDEGDKIQDRENEKNTEKEQDSDVSEDVKPEEKENEENKEL TDTCKERESDAGKKKVEHEISEGNVATAAAAALASAATKAKHLAAVEERKIKSLVALLVE TQMKKLEIKLRHFEELETIMDREKEALEQQRQQLLTERQNFHMEQLKYAELRARQQMEQQ QQHGQTPQQAHQHTGGPGMAPLGATGHPGMMPHQQPPPYPLMHHQMPPPHPPQPGQIPGP GSMMPGQPMPGRMIPAVAANIHPTGSGPTPPGMPPMPGNILGPRVPLTAPNGMCK >ENSMUSP00000143550.1 pep:known chromosome:GRCm38:9:110132037:110240178:1 gene:ENSMUSG00000032481.16 transcript:ENSMUST00000199896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcc1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:1203524] MAATAGGGPGAAAGAVGAGGAAAASGLAVYRRKDGGPASKFWESPDTVSQLDSVRVWLGK HYKKYVHADAPTNKTLAGLVVQLLQFQEDAFGKHVTNPAFTKLPAKCFMDFKAGGTLCHI LGAAYKYKNEQGWRRFDLQNPSRMDRNVEMFMNIEKTLVQNNCLTRPNIYLIPDIDLKLA NKLKDIIKRHQGTFTDEKSKASHHIYPYPSSQEDEEWLRPVMRRDKQVLVHWGFYPDSYD TWVHSNDVDAEIEDAPIPEKPWKVHVKWILDTDVFNEWMNEEDYEVDENRKPVSFRQRIS TKNEEPVRSPERRDRKASANSRKRKPSPSPPPPTATESRKKSGKKGQASLYGKRRSQKEE DEQEDLTKDMEDPTPVPNIEEVVLPKNVNPKKDSENTPVKGGTVADLDEQDEEAVTTGGK EDEDPSKGDPSRSVDPGEDNVTEQTNHIIIPSYASWFDYNCIHVIERRALPEFFNGKNKS KTPEIYLAYRNFMIDTYRLNPQEYLTSTACRRNLTGDVCAVMRVHAFLEQWGLVNYQVDP ESRPMAMGPPPTPHFNVLADTPSGLVPLHLRSPQVPAAQQMLNFPEKNKEKPIDLQNFGL RTDIYSKKTLAKSKGASAGREWTEQETLLLLEALEMYKDDWNKVSEHVGSRTQDECILHF LRLPIEDPYLENSDASLGPLAYQPVPFSQSGNPVMSTVAFLASVVDPRVASAAAKAALEE FSRVREEVPLELVEAHVKKVQEAARASGKVDPTYGLESSCIAGTGPDEPEKLEGSEEEKM ETDPDGQQPEKAENKVENESDEGDKIQDRENEKNTEKEQDSDVSEDVKPEEKENEENKEL TDTCKERESDAGKKKVEHEISEGNVATAAAAALASAATKAKHLAAVEERKIKSLVALLVE TQMKKLEIKLRHFEELETIMDREKEALEQQRQQLLTERQNFHMEQLKYAELRARQQMEQQ QQHGQTPQQAHQHTGGPGMAPLGATGHPGMMPHQQPPPYPLMHHQMPPPHPPQPGQIPGP GSMMPGQPMPGRMIPAVAANIHPTGSGPTPPGMPPMPGNILGPRVPLTAPNGMCPQRSPL RIHVESMDQEWSLENLAV >ENSMUSP00000143199.1 pep:known chromosome:GRCm38:9:110234908:110237400:1 gene:ENSMUSG00000032481.16 transcript:ENSMUST00000198211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcc1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:1203524] XGQPMPGRMIPAVAANIHPTGSGPTPPGMPPMPGNILGPRVPLTAPNGM >ENSMUSP00000085471.2 pep:known chromosome:GRCm38:X:89930098:89932852:-1 gene:ENSMUSG00000035387.5 transcript:ENSMUST00000088146.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930415L06Rik description:RIKEN cDNA 4930415L06 gene [Source:MGI Symbol;Acc:MGI:3588286] MTWPSEARPNINMEYRLHTVKVYVMMEDKQWKNVGTGQISSKYSEQLQGVCLLVHSLSDG SPIMECKIHPNVPYQKQQGKIIIWSEAKNHGMAIHFQEPNDCQEIWEDICQIQGKDPHVE ITQELTDDLETFEHMPLIWNWVEMSNCEIHTLKNIAELFPFVFEMPSQKERLALFLENEG YIKKLLQLFHTCEKLKNMEGLYYLHNIIKGILFLNNTRLFNIMFSDEFFMDIVGCLEYDP ALDQPKQYREFLTQNSKFKEVIPIIHSQLRQKIQQTYRMQYVHDIMLPTPSIFQTNLLSD ITTMIFFNKINIITMIQEDENFLLEVFSQLKDNSIGDERRIELLLFLKEFCEFAKTLQSQ KKNELLKTLIKLGIMSVLKVVVHMNDYQIQVGALDIFAYLVEYSPCLVRAYAMEEAQDSE DNDDLLINIMIKQMICDFDPEFSQGIIMTAVLHELLNPENMRTTAKGCERKVFLNFFYKR YMRKLIAPILSIRVKYDSNDNRVYICPDNYQNAQLLGAVLEILTFCVQYHSMYIKHYIFS NNLLRSILVLMSSKHTFLILCAVRFMRKIIGLKDKMYNHYIIKKNLFEPVVNAFMHNGHR YNMLNSAIIELFEFIRKENITSLIVNIVENFFRAFKSIEYVQTFKGLKTKYEEEKKRKSQ RRKNLHSIMHPKMYYRHIEDMEVKVKADICCRGIIEEEERQGGGEEGAILPMGSDFTNNY DIFMKNKDTNESESAIEGQKIKSSEASECCPSHGDASATRMSRSHCSSLVPLVDYLYDTD DENDDDPYGNDKHEDEHEDEDEEPPPKRPNLST >ENSMUSP00000129567.1 pep:known chromosome:GRCm38:X:53344598:53370502:-1 gene:ENSMUSG00000023074.11 transcript:ENSMUST00000152743.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mospd1 description:motile sperm domain containing 1 [Source:MGI Symbol;Acc:MGI:1917630] MHQQKRQPELVEGNLPVFVFPTELIFYADDQSTHKQVLTLYNPYEFALKFKVLCTTPNKY VVVDAAGAVKPQCCVDIVIRHRDVRSCHYGVIDKFRLQVSEQSQRKALGRKEVIATLLPS AKEQQKEEEEKRIKEHLTESVFFEQSCQPENRAVSSGPSLLTVFLGVVCIAALMLPTLGD MESLVPLYLHLSVNQKLVAAYILGLITMAILRT >ENSMUSP00000094182.2 pep:known chromosome:GRCm38:X:53345008:53370502:-1 gene:ENSMUSG00000023074.11 transcript:ENSMUST00000096447.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mospd1 description:motile sperm domain containing 1 [Source:MGI Symbol;Acc:MGI:1917630] MHQQKRQPELVEGNLPVFVFPTELIFYADDQSTHKQVLTLYNPYEFALKFKVLCTTPNKY VVVDAAGAVKPQCCVDIVIRHRDVRSCHYGVIDKFRLQVSEQSQRKALGRKEVIATLLPS AKEQQKEEEEKRIKEHLTESVFFEQSCQPENRAVSSGPSLLTVFLGVVCIAALMLPTLGD MESLVPLYLHLSVNQKLVAAYILGLITMAILRT >ENSMUSP00000023836.3 pep:known chromosome:GRCm38:X:53345021:53370502:-1 gene:ENSMUSG00000023074.11 transcript:ENSMUST00000023836.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mospd1 description:motile sperm domain containing 1 [Source:MGI Symbol;Acc:MGI:1917630] MHQQKRQPELVEGNLPVFVFPTELIFYADDQSTHKQVLTLYNPYEFALKFKVLCTTPNKY VVVDAAGAVKPQCCVDIVIRHRDVRSCHYGVIDKFRLQVSEQSQRKALGRKEVIATLLPS AKEQQKEEEEKRIKEHLTESVFFEQSCQPGKNRAVSSGPSLLTVFLGVVCIAALMLPTLG DMESLVPLYLHLSVNQKLVAAYILGLITMAILRT >ENSMUSP00000113869.1 pep:known chromosome:GRCm38:11:75830999:75937738:-1 gene:ENSMUSG00000020847.15 transcript:ENSMUST00000121287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rph3al description:rabphilin 3A-like (without C2 domains) [Source:MGI Symbol;Acc:MGI:1923492] MADTIFSSGNDQWVCPNDRQLALRAKLQTGWSVHTYQTEKQRRSQCLSPGELEIILQVIQ RAERLDILEQQRIGRLVERLETMQRNVMGNGLSQCLLCGEVLGFLGSSSVFCKDCRKKVC TKCGIEASPGQKRPLWLCKICSEQREVWKRSGAWFYKGLPKYILPLKTPGRADDPHFRPL PVEPTETQPPSAETSRVYTWARGRVVSSDSDSDSDLSSSSLEDRPLPSGVKGTKGDKPRG DSGASMESPRLGPARPPSHLSGSQSSLGSEAGTGATEPQGGTPAQPEPRVPGKRHTWATP RY >ENSMUSP00000021208.4 pep:known chromosome:GRCm38:11:75899726:75925891:-1 gene:ENSMUSG00000020847.15 transcript:ENSMUST00000021208.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rph3al description:rabphilin 3A-like (without C2 domains) [Source:MGI Symbol;Acc:MGI:1923492] MADTIFSSGNDQWVCPNDRQLALRAKLQTGWSVHTYQTEKQRRSQCLSPGELEIILQVIQ RAERLDILEQQRIGRLVERLETMQRNVMGNGLSQCLLCGEVLGFLGSSSVFCKDCRKLGI RWLQHFLESLLILNRDLTCSL >ENSMUSP00000104058.2 pep:known chromosome:GRCm38:11:75900743:75937697:-1 gene:ENSMUSG00000020847.15 transcript:ENSMUST00000108420.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rph3al description:rabphilin 3A-like (without C2 domains) [Source:MGI Symbol;Acc:MGI:1923492] MADTIFSSGNDQWVCPNDRQLALRAKLQTGWSVHTYQTEKQRRSQCLSPGELEIILQVIQ RAERLDILEQQRIGRLVERLETMQRNVMGNGLSQCLLCGEVLGFLGSSSVFCKDCRKLGI RWLQHFLESLLILNRDLTCSL >ENSMUSP00000121304.1 pep:known chromosome:GRCm38:11:75906572:75938429:-1 gene:ENSMUSG00000020847.15 transcript:ENSMUST00000152035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rph3al description:rabphilin 3A-like (without C2 domains) [Source:MGI Symbol;Acc:MGI:1923492] MADTIFSSGNDQWVCPNDRQLALRAKLQTGWSVHTYQTEKQRRSQCLSPGELEIILQVIQ RAERLDILEQQRIGRLVERLETMQRNVMGNGLSQCLLCG >ENSMUSP00000064202.4 pep:known chromosome:GRCm38:11:75832137:75911062:-1 gene:ENSMUSG00000020847.15 transcript:ENSMUST00000066504.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rph3al description:rabphilin 3A-like (without C2 domains) [Source:MGI Symbol;Acc:MGI:1923492] MADTIFSSGNDQWVCPNDRQLALRAKLQTGWSVHTYQTEKQRRSQCLSPGELEIILQVIQ RAERLDILEQQRIGRLVERLETMQRNVMGNGLSQCLLCGEVLGFLGSSSVFCKDCRKKVC TKCGIEASPGQKRPLWLCKICSEQREVWKRSGAWFYKGLPKYILPLKTPGRADDPHFRPL PVEPTETQPPSAETSRVYTWARGRVVSSDSDSDSDLSSSSLEDRPLPSGVKGTKGDKPRG DSGASMESPRLGPARPPSHLSGSQSSLGSEAGTGATEPQGGTPAQPEPRVPGKRHTWATP RY >ENSMUSP00000089312.1 pep:known chromosome:GRCm38:13:23249238:23250323:1 gene:ENSMUSG00000069280.1 transcript:ENSMUST00000091719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r223 description:vomeronasal 1 receptor 223 [Source:MGI Symbol;Acc:MGI:3649245] MSMEQRTEGPRIKAGICKQKNLCIYIIHCSFHGRKQKTHRHELDHAYFLVFLRFFLALAM KIIWSDLIQKIIFISLIGLGVLGNIILFVRHLYTFIMGPENKNIDVILIHLAFVNTIIIY CIGVRDIATIFYIRNFLGDVGCKTIIYLERVARGLSICTTCLLSMVQAVTISPRTTLWRK LKPQTAWHVIAFLLLFWIFNSLISSNLLHYITVGSSMNSSEVGMFTGYCYMLPSRHTVKW LFLSLMTLRDVIFQGLMGWSSGSMALHLYKHHKRVLYLYSSRSANNSRPEIRATQRVLTL MTCFLFFYLADFIFSLYTGSTVTHDSTILNIKAFLVLNYAGLSPFVLITGNVHDAKPCCV P >ENSMUSP00000056586.6 pep:known chromosome:GRCm38:7:18606343:18616501:-1 gene:ENSMUSG00000074359.2 transcript:ENSMUST00000057810.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg23 description:pregnancy-specific glycoprotein 23 [Source:MGI Symbol;Acc:MGI:1891355] MGVTSELFTNVLIPWQRVLFTASLLTCWLLSTTASVTIQSPQHVVEGENILLQVDNLPEN LLAFAWYRGLTNWRLTIAVYLLDYSTSMTGPEHSDREILYSNGSLWIQNVTQEDTGYYTL QTISNHGELESNTSTFLQVYSSHFTCGRPSFPAKLTIESVPPSVAEGGSVLLRVHNLPEY LQLFFWYKGVIMIHKVEIVRYRTLKNLSDPGPAHSGREIVYSNGSLLLQNVTWKDTGFYT LQTVNRYWKMELAHIYLQVDTPCCDPLDSAQLRIDPVTPHAAEGESVLLQVHNLPEDLQT FSWYKGVDSTPSFQIVEYSKAMKSIISGSAYSRREIGYTNGSLLLQDVTEKDSGLYTLVT IDSNMRVETVHVQVNIYKLVTQPVMRVSETTVRVQSSVVFTCFSDNTGVSIRWLFNKQSL QLTERMSLSPSKCQLRIHTVRKEDGGEYQCEAFNPANSKTSLPVSLAVMNE >ENSMUSP00000035948.7 pep:known chromosome:GRCm38:12:59200655:59219725:-1 gene:ENSMUSG00000035329.7 transcript:ENSMUST00000043204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo33 description:F-box protein 33 [Source:MGI Symbol;Acc:MGI:1917861] MLLFLSVPQPRPPGARTRAGAARLVRWRRRQRLRLLQLRRLRGLLRGLRRRPGTGGRRPS RMALCGQAAGAASLPSELIVHIFSFLPAPDRLRASASCSHWRECLFYPALWPQLRICLRV SPAEQPRLEFLMRKCGWFVRELRVEFAAENYLSGGGGPGDGGSGGGTDTGTGGEDGEALQ LSSRWLEVLRIYLELVLCVLLSIRNNRNLQKFSLFGDISVVHQQGSLSSTYLSRVDPDGK KIKQIQQLFEEILSNSRQLKWLSCGFMLEIVTPTSLSSLSNPIANTMEHLSLLDNNIPGN STLITAVELERFVNLRSLALDFCDFTAEMARVLTDSNHVPLQRLSLLVHNASVMLKSLDN MPNDEHWKALSRKSSSLRVYLMVFDIKSEDMLKILKPSIPLERVHFDSYVTCVSGAIVDL ISRQYDKFLTHFILMNDMIDTSGFPDLSDNRNEDPLVLLAWRCTKLTLLAIHGYTVWAHN LIAIARLRGSDLKVLQVTEESIDFDQGELADQDVDPVQNLIEQVSLGLGQSWHAVLDIES LSVFTEPNRHFYREMQSFSEDI >ENSMUSP00000125231.1 pep:known chromosome:GRCm38:12:59200657:59204436:-1 gene:ENSMUSG00000035329.7 transcript:ENSMUST00000162855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo33 description:F-box protein 33 [Source:MGI Symbol;Acc:MGI:1917861] XFILMNDMIDTSGFPDLSDNRNEDPLVLLAWRCTKLTLLAIHGYTVWAHNLIAIARLRGS DLKVLQVTEESIDFDQGELADQDVDPVQNLIEQVCTVLSPVSTYHADFICTSVV >ENSMUSP00000125666.1 pep:known chromosome:GRCm38:12:59204552:59218801:-1 gene:ENSMUSG00000035329.7 transcript:ENSMUST00000161351.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo33 description:F-box protein 33 [Source:MGI Symbol;Acc:MGI:1917861] MLEIVTPTSLSSLSNPIANTMEHLSLLDNNIPGNSTLITAVELERFVNLRSLALDFCDFT AEMARVLTDSNHVPLQRLSLLVHNASVMLKSLDNMPNDEHWKALSRKSSSLRVYLMVFDI KSEDML >ENSMUSP00000022314.3 pep:known chromosome:GRCm38:14:41131782:41134476:1 gene:ENSMUSG00000021789.14 transcript:ENSMUST00000022314.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sftpa1 description:surfactant associated protein A1 [Source:MGI Symbol;Acc:MGI:109518] MSLGSLAFTLFLTVVAGIKCNGTEVCAGSPGIPGTPGNHGLPGRDGRDGIKGDPGPPGPM GPPGGMPGLPGRDGLPGAPGAPGEHGDKGEPGERGLPGFPAYLDEELQTALYEIKHQILQ TMGVLSLQGSMLSVGDKVFSTNGQSVNFDTIREMCTRAGGHIAAPRNPEENEAIASITKK YNTYPYLGVIEGQTPGDFHYLDGASVNYTNWYPGEPRGRGKEKCVEMYTDGKWNDKGCLQ YRLAICEF >ENSMUSP00000129696.1 pep:known chromosome:GRCm38:14:41131788:41136452:1 gene:ENSMUSG00000021789.14 transcript:ENSMUST00000170719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sftpa1 description:surfactant associated protein A1 [Source:MGI Symbol;Acc:MGI:109518] MSLGSLAFTLFLTVVAGIKCNGTEVCAGSPGIPGTPGNHGLPGRDGRDGIKGDPGPPGPM GPPGGMPGLPGRDGLPGAPGAPGEHGDKGEPGERGLPGFPAYLDEELQTALYEIKHQILQ TMGVLSLQGSMLSVGDKVFSTNGQSVNFDTIREMCTRAGGHIAAPRNPEENEAIASITKK YNTYPYLGVIEGQTPGDFHYLDGASVNYTNWYPGEPRGRGKEKCVEMYTDGKWNDKGCLQ YRLAICEF >ENSMUSP00000099878.4 pep:known chromosome:GRCm38:4:86854660:86857412:-1 gene:ENSMUSG00000028495.14 transcript:ENSMUST00000102814.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6 description:ribosomal protein S6 [Source:MGI Symbol;Acc:MGI:98159] MKLNISFPATGCQKLIEVDDERKLRTFYEKRMATEVAADALGEEWKGYVVRISGGNDKQG FPMKQGVLTHGRVRLLLSKGHSCYRPRRTGERKRKSVRGCIVDANLSVLNLVIVKKGEKD IPGLTDTTVPRRLGPKRASRIRKLFNLSKEDDVRQYVVRKPLNKEGKKPRTKAPKIQRLV TPRVLQHKRRRIALKKQRTKKNKEEAAEYAKLLAKRMKEAKEKRQEQIAKRRRLSSLRAS TSKSESSQK >ENSMUSP00000122073.1 pep:known chromosome:GRCm38:12:4133104:4213525:1 gene:ENSMUSG00000020654.15 transcript:ENSMUST00000124505.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy3 description:adenylate cyclase 3 [Source:MGI Symbol;Acc:MGI:99675] MPRNQGFSDPEYSAEYSAEYSVSLPSDPDRGVGRTHEISVRNSGSCLCLPRFMRLTFVPE SLENLYQTYFKRQRHETLLVLVVFAALFDCYVVVMCAVVFSSDKLAPLMVAGFGLVLDII LFVLCKKGLLPDRVSRKVVPYLLWLLISAQIFSYLGLNFSRAHAASDTVGWQAFFVFSFF ITLPLSLSPIVIISVVSCVVHTLVLGVTVAQQQQDELEGMQLLREILANVFLYLCAIIVG IMSYYMADRKHRKAFLEARQSLEVKMNLEEQSQQQENLMLSILPKHVADEMLKDMKKDES QKDQQQFNTMYMYRHENVSILFADIVGFTQLSSACSAQELVKLLNELFARFDKLAAKYHQ LRIKILGDCYYCICGLPDYREDHAVCSILMGLAMVEAISYVREKTKTGVDMRVGVHTGTV LGGVLGQKRWQYDVWSTDVTVANKMEAGGIPGRVHISQSTMDCLKGEFDVEPGDGGSRCD YLDEKGIETYLIIASKPEVKKTAQNGLNGSAVPNGAPASSKPSSPALIETKEPNGSAHAS GSTSEEAEEQEAQADNPSFPNPRRRLRLQDLADRVVDASEDEHELNQLLNEALLERESAQ VVKKRNTFLLTMRFMDPEMETRYSVEKEKQSGAAFSCSCVVLFCTAMVEILIDPWLMTNY VTFVVGEVLLLILTICSMAAIFPRSFPKKLVAFSSWIDRTRWARNTWAMLAIFILVMANV VDMLSCLQYYMGPYNMTAGMELDGGCMENPKYYNYVAVLSLIATIMLVQVSHMVKLTLML LVTGAVTALNLYAWCPVFDEYDHKRFQEKDSPMVALEKMQVLATPGLNGTDRLPLVPSKY SMTVMMFVMMLSFYYFSRHVEKLARTLFLWKIEVHDQKERVYEMRRWNEALVTNMLPEHV ARHFLGSKKRDEELYSQSYDEIGVMFASLPNFADFYTEESINNGGIECLRFLNEIISDFD SLLDNPKFRVITKIKTIGSTYMAASGVTPDVNTNGFTSSSKEEKSDKERWQHLADLADFA LAMKDTLTNINNQSFNNFMLRIGMNKGGVLAGVIGARKPHYDIWGNTVNVASRMESTGVM GNIQVVEETQVILREYGFRFVRRGPIFVKGKGELLTFFLKGRDRPAAFPNGSSVTLPHQV VDNP >ENSMUSP00000115644.1 pep:known chromosome:GRCm38:12:4133397:4213525:1 gene:ENSMUSG00000020654.15 transcript:ENSMUST00000152065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy3 description:adenylate cyclase 3 [Source:MGI Symbol;Acc:MGI:99675] MPRNQGFSDPEYSAEYSAEYSVSLPSDPDRGVGRTHEISVRNSGSCLCLPRFMRLTFVPE SLENLYQTYFKRQRHETLLVLVVFAALFDCYVVVMCAVVFSSDKLAPLMVAGFGLVLDII LFVLCKKGLLPDRVSRKVVPYLLWLLISAQIFSYLGLNFSRAHAASDTVGWQAFFVFSFF ITLPLSLSPIVIISVVSCVVHTLVLGVTVAQQQQDELEGMQLLREILANVFLYLCAIIVG IMSYYMADRKHRKAFLEARQSLEVKMNLEEQSQQQENLMLSILPKHVADEMLKDMKKDES QKDQQQFNTMYMYRHENVSILFADIVGFTQLSSACSAQELVKLLNELFARFDKLAAKYHQ LRIKILGDCYYCICGLPDYREDHAVCSILMGLAMVEAISYVREKTKTGVDMRVGVHTGTV LGGVLGQKRWQYDVWSTDVTVANKMEAGGIPGRVHISQSTMDCLKGEFDVEPGDGGSRCD YLDEKGIETYLIIASKPEVKKTAQNGLNGSAVPNGAPASSKPSSPALIETKEPNGSAHAS GSTSEEAEEQEAQADNPSFPNPRRRLRLQDLADRVVDASEDEHELNQLLNEALLERESAQ VVKKRNTFLLTMRFMDPEMETRYSVEKEKQSGAAFSCSCVVLFCTAMVEILIDPWLMTNY VTFVVGEVLLLILTICSMAAIFPRSFPKKLVAFSSWIDRTRWARNTWAMLAIFILVMANV VDMLSCLQYYMGPYNMTAGMELDGGCMENPKYYNYVAVLSLIATIMLVQVSHMVKLTLML LVTGAVTALNLYAWCPVFDEYDHKRFQEKDSPMVALEKMQVLATPGLNGTDRLPLVPSKY SMTVMMFVMMLSFYYFSRHVEKLARTLFLWKIEVHDQKERVYEMRRWNEALVTNMLPEHV ARHFLGSKKRDEELYSQSYDEIGVMFASLPNFADFYTEESINNGGIECLRFLNEIISDFD SLLDNPKFRVITKIKTIGSTYMAASGVTPDVNTNGFTSSSKEEKSDKERWQHLADLADFA LAMKDTLTNINNQSFNNFMLRIGMNKGGVLAGVIGARKPHYDIWGNTVNVASRMESTGVM GNIQVVEETQVILREYGFRFVRRGPIFVKGKGELLTFFLKGRDRPAAFPNGSSVTLPHQV VDNP >ENSMUSP00000115406.1 pep:known chromosome:GRCm38:12:4133402:4213524:1 gene:ENSMUSG00000020654.15 transcript:ENSMUST00000127756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy3 description:adenylate cyclase 3 [Source:MGI Symbol;Acc:MGI:99675] MPRNQGFSDPEYSAEYSAEYSVSLPSDPDRGVGRTHEISVRNSGSCLCLPRFMRLTFVPE SLENLYQTYFKRQRHETLLVLVVFAALFDCYVVVMCAVVFSSDKLAPLMVAGFGLVLDII LFVLCKKGLLPDRVSRKVVPYLLWLLISAQIFSYLGLNFSRAHAASDTVGWQAFFVFSFF ITLPLSLSPIVIISVVSCVVHTLVLGVTVAQQQQDELEGMQLLREILANVFLYLCAIIVG IMSYYMADRKHRKAFLEARQSLEVKMNLEEQSQQQENLMLSILPKHVADEMLKDMKKDES QKDQQQFNTMYMYRHENVSILFADIVGFTQLSSACSAQELVKLLNELFARFDKLAAKYHQ LRIKILGDCYYCICGLPDYREDHAVCSILMGLAMVEAISYVREKTKTGVDMRVGVHTGTV LGGVLGQKRWQYDVWSTDVTVANKMEAGGIPGRVHISQSTMDCLKGEFDVEPGDGGSRCD YLDEKGIETYLIIASKPEVKKTAQNGLNGSAVPNGAPASSKPSSPALIETKEPNGSAHAS GSTSEEAEEQEAQADNPSFPNPRRRLRLQDLADRVVDASEDEHELNQLLNEALLERESAQ VVKKRNTFLLTMRFMDPEMETRYSVEKEKQSGAAFSCSCVVLFCTAMVEILIDPWLMTNY VTFVVGEVLLLILTICSMAAIFPRSFPKKLVAFSSWIDRTRWARNTWAMLAIFILVMANV VDMLSCLQYYMGPYNMTAGMELDGGCMENPKYYNYVAVLSLIATIMLVQVSHMVKLTLML LVTGAVTALNLYAWCPVFDEYDHKRFQEKDSPMVALEKMQVLATPGLNGTDSRLPLVPSK YSMTVMMFVMMLSFYYFSRHVEKLARTLFLWKIEVHDQKERVYEMRRWNEALVTNMLPEH VARHFLGSKKRDEELYSQSYDEIGVMFASLPNFADFYTEESINNGGIECLRFLNEIISDF DSLLDNPKFRVITKIKTIGSTYMAASGVTPDVNTNGFTSSSKEEKSDKERWQHLADLADF ALAMKDTLTNINNQSFNNFMLRIGMNKGGVLAGVIGARKPHYDIWGNTVNVASRMESTGV MGNIQVVEETQVILREYGFRFVRRGPIFVKGKGELLTFFLKGRDRPAAFPNGSSVTLPHQ VVDNP >ENSMUSP00000020984.7 pep:known chromosome:GRCm38:12:4134153:4213524:1 gene:ENSMUSG00000020654.15 transcript:ENSMUST00000020984.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy3 description:adenylate cyclase 3 [Source:MGI Symbol;Acc:MGI:99675] MPRNQGFSDPEYSAEYSAEYSVSLPSDPDRGVGRTHEISVRNSGSCLCLPRFMRLTFVPE SLENLYQTYFKRQRHETLLVLVVFAALFDCYVVVMCAVVFSSDKLAPLMVAGFGLVLDII LFVLCKKGLLPDRVSRKVVPYLLWLLISAQIFSYLGLNFSRAHAASDTVGWQAFFVFSFF ITLPLSLSPIVIISVVSCVVHTLVLGVTVAQQQQDELEGMQLLREILANVFLYLCAIIVG IMSYYMADRKHRKAFLEARQSLEVKMNLEEQSQQQENLMLSILPKHVADEMLKDMKKDES QKDQQQFNTMYMYRHENVSILFADIVGFTQLSSACSAQELVKLLNELFARFDKLAAKYHQ LRIKILGDCYYCICGLPDYREDHAVCSILMGLAMVEAISYVREKTKTGVDMRVGVHTGTV LGGVLGQKRWQYDVWSTDVTVANKMEAGGIPGRVHISQSTMDCLKGEFDVEPGDGGSRCD YLDEKGIETYLIIASKPEVKKTAQNGLNGSAVPNGAPASSKPSSPALIETKEPNGSAHAS GSTSEEAEEQEAQADNPSFPNPRRRLRLQDLADRVVDASEDEHELNQLLNEALLERESAQ VVKKRNTFLLTMRFMDPEMETRYSVEKEKQSGAAFSCSCVVLFCTAMVEILIDPWLMTNY VTFVVGEVLLLILTICSMAAIFPRSFPKKLVAFSSWIDRTRWARNTWAMLAIFILVMANV VDMLSCLQYYMGPYNMTAGMELDGGCMENPKYYNYVAVLSLIATIMLVQVSHMVKLTLML LVTGAVTALNLYAWCPVFDEYDHKRFQEKDSPMVALEKMQVLATPGLNGTDSRLPLVPSK YSMTVMMFVMMLSFYYFSRHVEKLARTLFLWKIEVHDQKERVYEMRRWNEALVTNMLPEH VARHFLGSKKRDEELYSQSYDEIGVMFASLPNFADFYTEESINNGGIECLRFLNEIISDF DSLLDNPKFRVITKIKTIGSTYMAASGVTPDVNTNGFTSSSKEEKSDKERWQHLADLADF ALAMKDTLTNINNQSFNNFMLRIGMNKGGVLAGVIGARKPHYDIWGNTVNVASRMESTGV MGNIQVVEETQVILREYGFRFVRRGPIFVKGKGELLTFFLKGRDRPAAFPNGSSVTLPHQ VVDNP >ENSMUSP00000101591.1 pep:known chromosome:GRCm38:7:142441808:142444410:1 gene:ENSMUSG00000031097.14 transcript:ENSMUST00000105971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni2 description:troponin I, skeletal, fast 2 [Source:MGI Symbol;Acc:MGI:105070] MGDEEKRNRAITARRQHLKSVMLQIAATELEKEESRRESEKENYLSEHCPPLHIPGSMSE VQELCKQLHAKIDVAEEEKYDMEVKVQKSSKELEDMNQKLFDLRGKFKRPPLRRVRMSAD AMLKALLGSKHKVCMDLRANLKQVKKEDTEKERDLRDVGDWRKNIEEKSGMEGRKKMFES ES >ENSMUSP00000121819.1 pep:known chromosome:GRCm38:7:142441816:142444036:1 gene:ENSMUSG00000031097.14 transcript:ENSMUST00000145287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni2 description:troponin I, skeletal, fast 2 [Source:MGI Symbol;Acc:MGI:105070] MGDEEKRNRAITARRQHLKSVMLQIAATELEKEESRRESEKENYLSEHCPPLHIPGSMSE VQELCKQLHAKIDVAEEEKYDMEVKVQKSSKELEDMNQKLFDLRGKFKRPPLRRVRMSAD AMLKALLGSKHKVCM >ENSMUSP00000122733.1 pep:known chromosome:GRCm38:7:142442330:142444368:1 gene:ENSMUSG00000031097.14 transcript:ENSMUST00000149529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni2 description:troponin I, skeletal, fast 2 [Source:MGI Symbol;Acc:MGI:105070] MGDEEKRNRAITARRQHLKSVMLQIAATELEKEESRRESEKENYLSEHCPPLHIPGSMSE VQELCKQLHAKIDVAEEEKYDMEVKVQKSSKELEDMNQKLFDLRGKFKRPPLRRVRMSAD AMLKALLGSKHKVCMDLRANLKQVKKEDTEKERDLRDVGDWRKNIEEKSGMEGRKKMFES ES >ENSMUSP00000101593.2 pep:known chromosome:GRCm38:7:142443171:142444405:1 gene:ENSMUSG00000031097.14 transcript:ENSMUST00000105973.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni2 description:troponin I, skeletal, fast 2 [Source:MGI Symbol;Acc:MGI:105070] KRNRAITARRQHLKSVMLQIAATELEKEESRRESEKENYLSEHCPPLHIPGSMSEVQVSG WPPTHCLPTQELCKQLHAKIDVAEEEKYDMEVKVQKSSKELEDMNQKLFDLRGKFKRPPL RRVRMSADAMLKALLGSKHKVCMDLRANLKQVKKEDTEKERDLRDVGDWRKNIEEKSGME GRKKMFESES >ENSMUSP00000034458.8 pep:known chromosome:GRCm38:8:124231391:124345722:1 gene:ENSMUSG00000089704.9 transcript:ENSMUST00000034458.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt2 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 2 [Source:MGI Symbol;Acc:MGI:894694] MRRRSRMLLCFALLWVLGIAYYMYSGGGSALAAGGGGAGRKGDWNDIDSIKKKDLHHSRG DEKAQGVETLPPGKVRWPDFNQEAYVGGTMVRSGQDPYARNKFNQVESDKLHMDRGIPDT RHDQCQRKQWRVDLPATSVVITFHNEARSALLRTVVSVLKRSPPHLIKEIILVDDYSNDP EDGALLGKIEKVRVLRNDRREGLMRSRVRGADAAQAKVLTFLDSHCECNERWLEPLLERV AEDRTRVVSPIIDVINMDNFQYVGASADLKGGFDWNLVFKWDYMTPEQRRSRQGNPVAPI KTPMIAGGLFVMDKLYFEELGKYDMMMDVWGGENLEISFRVWQCGGSLEIIPCSRVGHVF RKQHPYTFPGGSGTVFARNTRRAAEVWMDEYKHFYYAAVPSARNVPYGNIQSRLELRKKL GCKPFKWYLDNVYPELRVPDHQDIAFGALQQGTNCLDTLGHFADGVVGIYECHNAGGNQE WALTKEKSVKHMDLCLTVVDRSPGSLIRLQGCRENDSRQKWEQIEGNSKLRHVGSNLCLD SRTAKSGGLSVEVCGPALSQQWKFSLNLQQ >ENSMUSP00000100015.3 pep:known chromosome:GRCm38:11:3703731:3722216:-1 gene:ENSMUSG00000020435.17 transcript:ENSMUST00000102950.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbp2 description:oxysterol binding protein 2 [Source:MGI Symbol;Acc:MGI:1921559] MEDSTSFITVVTEAKEDRKPESGPGTTTVDWTSADNVLDGASFMPKNSCKVKRRVRIPDK PNYSLNLWSIMKNCIGRELSRIPMPVNFNEPLSMLQRLTEDLEYHHLLDKAVNCTSSVEQ MCLVAAFSVSSYSTTVHRIAKPFNPMLGETFELDRMEDMGLRSLCEQVSHHPPSAAHHVF SKHGWSLWQEITIASKFRGKYISIMPLGAIHLEFQASGNHYVWRKSTSTVHNIIVGKLWI DQSGDIEIVNHKTKDRCQLKFVPYSYFSKEAARKVTGVVSDSQGKAHYVLSGSWDDQMEC SKIVHSSPSSDGRQKTVYQTLPAKLLWRKYPLPENAENMYYFSELALTLNEQEDGVAPTD SRLRPDQRLMERGRWDEANTEKQRLEEKQRLSRRRRLESCTAGCGGEEEKESDGYVPLWF EKRLDPLTGEMACMYKGGYWEAKEKKDWHMCPNIF >ENSMUSP00000068652.7 pep:known chromosome:GRCm38:11:3703731:3863903:-1 gene:ENSMUSG00000020435.17 transcript:ENSMUST00000070552.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbp2 description:oxysterol binding protein 2 [Source:MGI Symbol;Acc:MGI:1921559] MGKAAALSRGGGCAGRSRGLSSLFTVVPCLSCHTAAPGMNSSAFGSGPASKPQLQPVQAP ERELLSKQVCQPISEPASRSEPGSQTTSVPRPSGVGQESELQGLWPGSENGTRSVSIIKA SPELAMPSPLQSTVGSLPVTKPESKLVPKTQSFLRQGQAKISVGTPVSGIGVQMVSPPLD SYKGWLLKWTNYLKGYQRRWFVLGNGLLSYYRNQGEMAHTCRATINLASTHFETEDSCGI LLCNGARTYHLKASSEVDRQHWITALELAKAKAIRVMKTQSDDSGDDDEEPAAPADNSEL HHTLKTLSLKLNDLSTCNDLIAKHGAALQRSLNELDSLKIPSECGEKLKVVNERATLFRI TSNAMINACRDFLELAETHSRKWQRALNYEQEQRVHLEETIEQLAKQHNSLERAFCNTPG GPASSSKSFSEGSFLTSKGENSEEDEDTEYFDAMEDSTSFITVVTEAKEDRKPESGPGTT TVDWTSADNVLDGASFMPKNSCKVKRRVRIPDKPNYSLNLWSIMKNCIGRELSRIPMPVN FNEPLSMLQRLTEDLEYHHLLDKAVNCTSSVEQMCLVAAFSVSSYSTTVHRIAKPFNPML GETFELDRMEDMGLRSLCEQVSHHPPSAAHHVFSKHGWSLWQEITIASKFRGKYISIMPL GAIHLEFQASGNHYVWRKSTSTVHNIIVGKLWIDQSGDIEIVNHKTKDRCQLKFVPYSYF SKEAARKVTGVVSDSQGKAHYVLSGSWDDQMECSKIVHSSPSSDGRQKTVYQTLPAKLLW RKYPLPENAENMYYFSELALTLNEQEDGVAPTDSRLRPDQRLMERGRWDEANTEKQRLEE KQRLSRRRRLESCTAGCGGEEEKESDGYVPLWFEKRLDPLTGEMACMYKGGYWEAKEKKD WHMCPNIF >ENSMUSP00000099156.3 pep:known chromosome:GRCm38:11:3703918:3722216:-1 gene:ENSMUSG00000020435.17 transcript:ENSMUST00000101632.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbp2 description:oxysterol binding protein 2 [Source:MGI Symbol;Acc:MGI:1921559] MRPGEGQRQPRRPWELGWKPGSFLTSKGENSEEDEDTEYFDAMEDSTSFITVVTEAKEDR KPESGPGTTTVDWTSADNVLDGASFMPKNSCKVKRRVRIPDKPNYSLNLWSIMKNCIGRE LSRIPMPVNFNEPLSMLQRLTEDLEYHHLLDKAVNCTSSVEQMCLVAAFSVSSYSTTVHR IAKPFNPMLGETFELDRMEDMGLRSLCEQVSHHPPSAAHHVFSKHGWSLWQEITIASKFR GKYISIMPLGAIHLEFQASGNHYVWRKSTSTVHNIIVGKLWIDQSGDIEIVNHKTKDRCQ LKFVPYSYFSKEAARKVTGVVSDSQGKAHYVLSGSWDDQMECSKIVHSSPSSDGRQKTVY QTLPAKLLWRKYPLPENAENMYYFSELALTLNEQEDGVAPTDSRLRPDQRLMERGRWDEA NTEKQRLEEKQRLSRRRRLESCTAGCGGEEEKESDGYVPLWFEKRLDPLTGEMACMYKGG YWEAKEKKDWHMCPNIF >ENSMUSP00000116361.1 pep:known chromosome:GRCm38:11:3717852:3773789:-1 gene:ENSMUSG00000020435.17 transcript:ENSMUST00000127371.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbp2 description:oxysterol binding protein 2 [Source:MGI Symbol;Acc:MGI:1921559] MGHLCLRSYQQSVPISITWQGQTADDSGDDDEEPAAPADNSELHHTLKTLSLKLNDLSTC NDLIAKHGAALQRSLNELDSLKIPSECGEKLKVVNERATLFRITSNAMINACRDFLELAE THSRKWQRALNYEQEQRVHLEETIEQLAKQHNSLE >ENSMUSP00000116490.1 pep:known chromosome:GRCm38:11:3717912:3774732:-1 gene:ENSMUSG00000020435.17 transcript:ENSMUST00000155197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbp2 description:oxysterol binding protein 2 [Source:MGI Symbol;Acc:MGI:1921559] MINACRDFLELAETHSRKWQRALNYEQE >ENSMUSP00000036932.4 pep:known chromosome:GRCm38:11:11800288:11808959:-1 gene:ENSMUSG00000035455.12 transcript:ENSMUST00000047689.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fignl1 description:fidgetin-like 1 [Source:MGI Symbol;Acc:MGI:1890648] METSSSMSVETTRSVQVDEWQKNYCVVTSSICTPKQKADAYRALLLHIQYAYANSEISQV FATNLFKRYTEKYSAIIDSDNVVTGLNNYAESIFALAGSRQADSNKWQSGLSIDNVFKMS CVQEMMQAGKKFEESLLEPADASVVLCKEPTAFEVPQLSVCGGSEDADILSSSGHDTDKT QAIPGSSLRCSPFQSARLPKETNTTKTCLTSSTSLGESATAAFHMTPLFGNTEKDTQSFP KTSTGLNMFLSNLSCVPSGCENPQERKAFNDSDIIDILSNPTLNKAPSKTEDRGRREDNS LPTFKTAKEQLWVDQKKKGHQSQHTSKSSNGVMKKSLGAGRSRGIFGKFVPPVSNKQDGS EQHAKKHKSSRAGSAEPAHLTDDCLKNVEPRMVELIMNEIMDHGPPVHWDDIAGVEFAKA TIKEIVVWPMMRPDIFTGLRGPPKGILLFGPPGTGKTLIGKCIASQSGATFFSISASSLT SKWVGEGEKMVRALFAVARCQQPAVIFIDEIDSLLSQRGDGEHESSRRIKTEFLVQLDGA TTSSEDRILVVGATNRPQEIDEAARRRLVKRLYIPLPEASARKQIVGNLMSKEQCCLSDE ETDLVVQQSDGFSGADMTQLCREASLGPIRSLHAADIATISPDQVRPIAYIDFENAFKTV RPTVSPKDLELYENWNETFGCGK >ENSMUSP00000105290.1 pep:known chromosome:GRCm38:11:11800552:11808932:-1 gene:ENSMUSG00000035455.12 transcript:ENSMUST00000109664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fignl1 description:fidgetin-like 1 [Source:MGI Symbol;Acc:MGI:1890648] METSSSMSVETTRSVQVDEWQKNYCVVTSSICTPKQKADAYRALLLHIQYAYANSEISQV FATNLFKRYTEKYSAIIDSDNVVTGLNNYAESIFALAGSRQADSNKWQSGLSIDNVFKMS CVQEMMQAGKKFEESLLEPADASVVLCKEPTAFEVPQLSVCGGSEDADILSSSGHDTDKT QAIPGSSLRCSPFQSARLPKETNTTKTCLTSSTSLGESATAAFHMTPLFGNTEKDTQSFP KTSTGLNMFLSNLSCVPSGCENPQERKAFNDSDIIDILSNPTLNKAPSKTEDRGRREDNS LPTFKTAKEQLWVDQKKKGHQSQHTSKSSNGVMKKSLGAGRSRGIFGKFVPPVSNKQDGS EQHAKKHKSSRAGSAEPAHLTDDCLKNVEPRMVELIMNEIMDHGPPVHWDDIAGVEFAKA TIKEIVVWPMMRPDIFTGLRGPPKGILLFGPPGTGKTLIGKCIASQSGATFFSISASSLT SKWVGEGEKMVRALFAVARCQQPAVIFIDEIDSLLSQRGDGEHESSRRIKTEFLVQLDGA TTSSEDRILVVGATNRPQEIDEAARRRLVKRLYIPLPEASARKQIVGNLMSKEQCCLSDE ETDLVVQQSDGFSGADMTQLCREASLGPIRSLHAADIATISPDQVRPIAYIDFENAFKTV RPTVSPKDLELYENWNETFGCGK >ENSMUSP00000119528.1 pep:known chromosome:GRCm38:11:11802697:11808948:-1 gene:ENSMUSG00000035455.12 transcript:ENSMUST00000150714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fignl1 description:fidgetin-like 1 [Source:MGI Symbol;Acc:MGI:1890648] METSSSMSVETTRSVQVDEWQKNYCVVTSSICTPKQKADAYRALLLHIQYAYANSEISQV FATNLFKRYTEKYSAIIDSDNVVTGLNNYAESIFALAGSRQADSNKWQSGLSIDNVFKM >ENSMUSP00000127489.1 pep:known chromosome:GRCm38:11:11800288:11808962:-1 gene:ENSMUSG00000035455.12 transcript:ENSMUST00000171080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fignl1 description:fidgetin-like 1 [Source:MGI Symbol;Acc:MGI:1890648] METSSSMSVETTRSVQVDEWQKNYCVVTSSICTPKQKADAYRALLLHIQYAYANSEISQV FATNLFKRYTEKYSAIIDSDNVVTGLNNYAESIFALAGSRQADSNKWQSGLSIDNVFKMS CVQEMMQAGKKFEESLLEPADASVVLCKEPTAFEVPQLSVCGGSEDADILSSSGHDTDKT QAIPGSSLRCSPFQSARLPKETNTTKTCLTSSTSLGESATAAFHMTPLFGNTEKDTQSFP KTSTGLNMFLSNLSCVPSGCENPQERKAFNDSDIIDILSNPTLNKAPSKTEDRGRREDNS LPTFKTAKEQLWVDQKKKGHQSQHTSKSSNGVMKKSLGAGRSRGIFGKFVPPVSNKQDGS EQHAKKHKSSRAGSAEPAHLTDDCLKNVEPRMVELIMNEIMDHGPPVHWDDIAGVEFAKA TIKEIVVWPMMRPDIFTGLRGPPKGILLFGPPGTGKTLIGKCIASQSGATFFSISASSLT SKWVGEGEKMVRALFAVARCQQPAVIFIDEIDSLLSQRGDGEHESSRRIKTEFLVQLDGA TTSSEDRILVVGATNRPQEIDEAARRRLVKRLYIPLPEASARKQIVGNLMSKEQCCLSDE ETDLVVQQSDGFSGADMTQLCREASLGPIRSLHAADIATISPDQVRPIAYIDFENAFKTV RPTVSPKDLELYENWNETFGCGK >ENSMUSP00000126340.1 pep:known chromosome:GRCm38:11:11800288:11808962:-1 gene:ENSMUSG00000035455.12 transcript:ENSMUST00000171938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fignl1 description:fidgetin-like 1 [Source:MGI Symbol;Acc:MGI:1890648] METSSSMSVETTRSVQVDEWQKNYCVVTSSICTPKQKADAYRALLLHIQYAYANSEISQV FATNLFKRYTEKYSAIIDSDNVVTGLNNYAESIFALAGSRQADSNKWQSGLSIDNVFKMS CVQEMMQAGKKFEESLLEPADASVVLCKEPTAFEVPQLSVCGGSEDADILSSSGHDTDKT QAIPGSSLRCSPFQSARLPKETNTTKTCLTSSTSLGESATAAFHMTPLFGNTEKDTQSFP KTSTGLNMFLSNLSCVPSGCENPQERKAFNDSDIIDILSNPTLNKAPSKTEDRGRREDNS LPTFKTAKEQLWVDQKKKGHQSQHTSKSSNGVMKKSLGAGRSRGIFGKFVPPVSNKQDGS EQHAKKHKSSRAGSAEPAHLTDDCLKNVEPRMVELIMNEIMDHGPPVHWDDIAGVEFAKA TIKEIVVWPMMRPDIFTGLRGPPKGILLFGPPGTGKTLIGKCIASQSGATFFSISASSLT SKWVGEGEKMVRALFAVARCQQPAVIFIDEIDSLLSQRGDGEHESSRRIKTEFLVQLDGA TTSSEDRILVVGATNRPQEIDEAARRRLVKRLYIPLPEASARKQIVGNLMSKEQCCLSDE ETDLVVQQSDGFSGADMTQLCREASLGPIRSLHAADIATISPDQVRPIAYIDFENAFKTV RPTVSPKDLELYENWNETFGCGK >ENSMUSP00000031902.6 pep:known chromosome:GRCm38:6:41620624:41636405:-1 gene:ENSMUSG00000029868.12 transcript:ENSMUST00000031902.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv6 description:transient receptor potential cation channel, subfamily V, member 6 [Source:MGI Symbol;Acc:MGI:1927259] TGPLQREDRPALGGANVAPGSSPVGVWHQPQPPKEPAFHPMGWSLPKEKGLILCLWNKFC RWFHRQESWAQSRDEQNLLQQKRIWESPLLLAAKENDVQALSKLLKFEGCEVHQRGAMGE TALHIAALYDNLEAAMVLMEAAPELVFEPMTSELYEGQTALHIAVINQNVNLVRALLARG ASVSARATGSVFHYRPHNLIYYGEHPLSFAACVGSEEIVRLLIEHGADIRAQDSLGNTVL HILILQPNKTFACQMYNLLLSYDGGDHLKSLELVPNNQGLTPFKLAGVEGNIVMFQHLMQ KRKHIQWTYGPLTSTLYDLTEIDSSGDDQSLLELIVTTKKREARQILDQTPVKELVSLKW KRYGRPYFCVLGAIYVLYIICFTMCCVYRPLKPRITNRTNPRDNTLMQQKLLQEAYVTPK DDLRLVGELVSIVGAVIILLVEIPDIFRLGVTRFFGQTILGGPFHVIIITYAFMVLVTMV MRLTNVDGEVVPMSFALVLGWCNVMYFARGFQMLGPFTIMIQKMIFGDLMRFCWLMAVVI LGFASAFYIIFQTEDPDELGHFYDYPMALFSTFELFLTIIDGPANYDVDLPFMYSVTYAA FAIIATLLMLNLLIAMMGDTHWRVAHERDELWRAQVVATTVMLERKLPRCLWPRSGICGR EYGLGDRWFLRVEDRQDLNRQRIRRYAQAFQQQDGLYSEDLEKDSGEKLETARPFGAYLS FPTPSVSRSTSRSSTNWERLRQGALRKDLRGIINRGLEDGEGWEYQI >ENSMUSP00000143854.1 pep:known chromosome:GRCm38:6:41620621:41636405:-1 gene:ENSMUSG00000029868.12 transcript:ENSMUST00000201471.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv6 description:transient receptor potential cation channel, subfamily V, member 6 [Source:MGI Symbol;Acc:MGI:1927259] MGWSLPKEKGLILCLWNKFCRWFHRQESWAQSRDEQNLLQQKRIWESPLLLAAKENDVQA LSKLLKFEGCEVHQRGAMGETALHIAALYDNLEAAMVLMEAAPELVFEPMTSELYEGQTA LHIAVINQNVNLVRALLARGASVSARATGSVFHYRPHNLIYYGEHPLSFAACVGSEEIVR LLIEHGADIRAQDSLGNTVLHILILQPNKTFACQMYNLLLSYDGGDHLKSLELVPNNQGL TPFKLAGVEGNIVMFQHLMQKRKHIQWTYGPLTSTLYDLTEIDSSGDDQSLLELIVTTKK REARQILDQTPVKELVSLKWKRYGRPYFCVLGAIYVLYIICFTMCCVYRPLKPRITNRTN PRDNTLMQQKLLQEAYVTPKDDLRLVGELVSIVGAVIILLVEIPDIFRLGVTRFFGQTIL GGPFHVIIITYAFMVLVTMVMRLTNVDGEVVPMSFALVLGWCNVMYFARGFQMLGPFTIM IQKMIFGDLMRFCWLMAVVILGFASAFYIIFQTEDPDELGHFYDYPMALFSTFELFLTII DGPANYDVDLPFMYSVTYAAFAIIATLLMLNLLIAMMGDTHWRVAHERDELWRAQVVATT VMLERKLPRCLWPRSGICGREYGLGDRWFLRVEDRQDLNRQRIRRYAQAFQQQDGLYSED LEKDSGEKLETARPFGAYLSFPTPSVSRSTSRSSTNWERLRQGALRKDLRGIINRGLEDG EGWEYQI >ENSMUSP00000028188.7 pep:known chromosome:GRCm38:2:31813290:31846005:-1 gene:ENSMUSG00000026841.7 transcript:ENSMUST00000028188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fibcd1 description:fibrinogen C domain containing 1 [Source:MGI Symbol;Acc:MGI:2138953] MVHERWKTVGSASQLEDRPRDKPQRASCSYVLCTVLLSLAVLLAVAVTGVVLFLNHTHTP GTAPPPIVSTGTAGANSALVTVERADSSHLSLLIDPRCPDLTDSFARLEGIQASILRALS EHQAQPRLDGAPELLDALADQLPRLLTRASELQAECAGLRKGHSLLGQGLSTLQSEQGRL IQLLSESQGHMAHLVNSVSDVLEALQRERGLGRPRVKADLQRAPSRGARPRGCANGSRPR DCLDVLLSGQQDDGVYSIFPTHYPAGFQVYCDMRTDGGGWTVFQRREDGSVNFFRGWEAY REGFGKLTGEHWLGLKRIHALTTQAAYELHVDLEDFDNGTAYAHYGSFGVGLFSVDPEED GYPLTVADYSGTAGDSLLKHSGMRFTTKDRDSDHSENNCAAFYRGAWWYRNCHTSNLNGQ YLRGAHASYADGVEWSSWTGWQYSLKFSEMKIRPVREDR >ENSMUSP00000020449.5 pep:known chromosome:GRCm38:10:120083608:120112987:-1 gene:ENSMUSG00000020228.11 transcript:ENSMUST00000020449.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helb description:helicase (DNA) B [Source:MGI Symbol;Acc:MGI:2152895] MARQDRLRELLGPLHPYKSDDEEEDCAQEEEGEQEEEFVDAEELCSGGIKAGSLPGRARV SIPDEYTKEKCTVYGRFPLKGPWWRVKVQVLKPQRSRSYQVQGFPAYFLQVDMSPPDQKQ ICSLFLKECNLASERIQEFLKWVEKVSSFENLHFENLWETLRLFYRETEKKDKKLSTPRE QQGEEMRVEKSFAFISAMVALQFPKVMEFLPSLFPRHFKRLISSSSDWVLGCIEDVLGTQ PWKLGFRRITYREMKLVRCEASWTAFSQCPSLLQLMTPLQKNALVIYSKLRQTCREDGHT YIEVKDLTSGLSEHMSFEEACQSLAFLKDIDVVIYEKDYVFLSELYEAEQDIASSICELM SRPPWHLKVDVKNVLASIRGAKPNDPGSAEAVEGSKPEEVGSEQGDSVLDAQDGDDHVRS NGEHVANAEINDVPLDQDQVVALETICANAVTVLSGKGGCGKTTIVSRLFKHMEHLEETE VQQACEDFEQDQEASEEWLDCPKQSPAGVDKAVEVLLTAPTGKAAGLLRQRTDLPAYTLC QVNYSFYMWKTKNEVDKPWKFSTVRVLVVDEGSLVSVGIFKSVLQLLCKHSKLSKLIILG DVRQLPSIEPGNMLQDVFETLKSRQCAIELKTNHRTESQLIVDNATRISRRQFPKFDAEL NICGNPTLPLSIQDKTFIFVRLPEEDSRSQSSKGEHRSNLYTAVKTLLQGKDFCSFESSK TSQFIAFRRQDCDLINDCCCKHYTGHLIKDHEKKLIFAVGDKICCTRNAYLSDLLPDKDQ EAEGKGYGDAPDDDAKIKQDFESSTRLCNGEIFFITRDVTDVTFKRKRLLTINNEAGLEV TVDFSKLMANCQIKHAWARTIHTFQGSEENTVVYVVGKAGRQHWQHVYTAVTRGRSRVYI IAQESELRSATRKRGFPRQTRLKHFLQKKLSGSCAPSTGFASQPSSPRVGGRPDTQPPAS HLCRTPDNKATADSARGDERWLSASVNDDVDTDEESAQLRGSKRIGDGFPFDEESPSKFR MVEAPSPQVSSVFQNMRLNTLTPRQLFKPTDNQDTGTAGVADDANDPSNQEMEM >ENSMUSP00000116954.1 pep:known chromosome:GRCm38:10:120084770:120112865:-1 gene:ENSMUSG00000020228.11 transcript:ENSMUST00000154501.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Helb description:helicase (DNA) B [Source:MGI Symbol;Acc:MGI:2152895] MARQDRLRELLGPLHPYKSDDEEEDCAQEEEGEQEEEFVDAEELCSGGIKAGSLPGRARV SIPDEYTKEKCTVYGRFPLKGPWWRVKVQVLKPQRSRSYQVQGFPAYFLQVDMSPPDQKQ ICSLFLKECNLASERIQEFLKWVEKVSSFENLHFENLWETLRLFYRETEKKDKKLSTPRE QQGEEMRVEKSFAFISAMVALQFPKVMEFLPSLFPRHFKRLISSSSDWVLGCIEDVLGTQ PWKLGFRRITYREMKLVRCEASWTAFSQCPSLLQLMTPLQKNALVIYSKLRQTCREDGHT YIEVKDLTSGLSEHMSFEEACQSLAFLKDIDVVIYEKDYVFLSELYEAEQDIASSICELM SRPPWHLKVDVKNVLASIRGAKPNDPGSAEAVEGSKPEEVGSEQGDSVLDAQDGDDHVRS NGEHVANAEINDVPLDQDQVVALETICANAVTVLSGKGGCGKTTIVSRLFKHMEHLEETE VQQACEDFEQDQEASEEWLDCPKQSPAGVDKAVEVLLTAPTGKAAGLLRQRTDLPAYTLC QVMLDSCPVLNLVTCCRMSLRLLSQDSVPSN >ENSMUSP00000127577.1 pep:known chromosome:GRCm38:7:35186385:35195449:1 gene:ENSMUSG00000030495.12 transcript:ENSMUST00000135452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a10 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 10 [Source:MGI Symbol;Acc:MGI:1858261] MRRDSDMASHIQQPGGHGNPGPAPSPSPGPGPGPGASERVALKKEIGLVSACTIIIGNII GSGIFISPKGVLEHSGSVGLALFVWVLGGGVTALGSLCYAELGVAIPKSGGDYAYVTEIF GGLAG >ENSMUSP00000001854.5 pep:known chromosome:GRCm38:7:35186385:35201114:1 gene:ENSMUSG00000030495.12 transcript:ENSMUST00000001854.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a10 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 10 [Source:MGI Symbol;Acc:MGI:1858261] MRRDSDMASHIQQPGGHGNPGPAPSPSPGPGPGPGASERVALKKEIGLVSACTIIIGNII GSGIFISPKGVLEHSGSVGLALFVWVLGGGVTALGSLCYAELGVAIPKSGGDYAYVTEIF GGLAGFLLLWSAVLIMYPTSLAVISMTFSNYVLQPVFPNCIPPATASRVLSMACLMLLTW VNSSSVRWATRIQVIFTGGKLLALSLIITVGFVQIFQGHFEELRPTNAFAFWMTPSVGHL ALAFLQGSFAFSGWNFLNYVTEELVDPRKNLPRAIFISIPLVTFVYTFTNVAYFTAMSPQ ELLSSNAVAVTFGEKLLGYFSWVMPVSVALSTFGGINGYLFTSSRLCFSGAREGHLPSFL AMIHVRRCTPIPALLVCCGATAVIMLVGDTYTLINYVSFINYLCYGVTILGLLVLRWRRP ALHRPIKVNLLVPVVYLVFWAFLLVFSFISEPMVCGVGIIIILTGVPIFFLGVFWRSKPK CVHRFTESMTRWGQELCFVVYPQGSLEEEENGPMGQPSPLPITDKPLKTQ >ENSMUSP00000118331.1 pep:known chromosome:GRCm38:7:35186421:35200914:1 gene:ENSMUSG00000030495.12 transcript:ENSMUST00000131048.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc7a10 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 10 [Source:MGI Symbol;Acc:MGI:1858261] MRRDSDMASHIQQPGGHGNPGPAPSPSPGPGPGPGASERVALKKEIGLVSACTIIIGNII GSGIFISPKGVLEHSGSVGLALFVWVLGGGVTALGSLCYAELGVAIPKSGGDYAYVTEIF GGLAGFLLLWSAVLIMYPTSLAVISMTFSNYVLQPVFPNCIPPATASRVLSMACLMLLTW VNSSSVRWATRIQVIFTGGKLLALSLIITVGFVQIFQGHFEELRPTNAFAFWMTPSVGHL ALAFLQGSFAFSGWNFLNYVTEELVDPRKNLPRAIFISIPLVTFVYTFTNVAYFTAMSPQ ELLSSNAVAVTFGEKLLGYFSWVMPVSVALSTFGGINGYLFTSSSAGPQRSSCSWVTHTH SSTMCPSSTTSATESLSWACLCCAGDGRHSTGPLR >ENSMUSP00000129954.1 pep:known chromosome:GRCm38:7:35186482:35197951:1 gene:ENSMUSG00000030495.12 transcript:ENSMUST00000167441.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc7a10 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 10 [Source:MGI Symbol;Acc:MGI:1858261] MRRDSDMASHIQQPGGHGNPGPAPSPSPGPGPGPGASERVALKKEIGLVSACTIIIDSYC SGVLSSSCTPPAWLSSP >ENSMUSP00000127311.2 pep:known chromosome:GRCm38:7:35198915:35200895:1 gene:ENSMUSG00000030495.12 transcript:ENSMUST00000146959.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a10 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 10 [Source:MGI Symbol;Acc:MGI:1858261] XWLPVHLIQAMLLWSPRGTLTQLPGHDSCQTLHPNPCPPCLSSSRSPWSVGSASSLSSLG FPSSSWECSGEANQSVYTDSQSP >ENSMUSP00000048603.4 pep:known chromosome:GRCm38:3:52981875:53017237:-1 gene:ENSMUSG00000027742.13 transcript:ENSMUST00000036665.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog6 description:component of oligomeric golgi complex 6 [Source:MGI Symbol;Acc:MGI:1914792] MADASGEVAAVPASGAANGFSNGAGATPAQPNNPLSRKLHKILETRLENDKEMLEALKAL SAFFVENSLRTRRNLRGDIERRSLAINEEFVNIFKDVKEELESINEDVQAMSSCCQDMTS RLQAAKEQTQDLIVKTTKLQAENQRLEIRAQVVDAFLAKFQLTSDEMSLLRGTRGGPVTE DFFKALGRVKQIHNDVKVLLRTNQQTAGLEIMEQMALLQETAYERLYRWAQSECRALTQE SCDVSAVLSQAMEALQDRPVLYKYTLDEFGTARRSTVVRGFIDALTRGGPGGTPRPIEMH SHDPLRYVGDMLAWLHQATASEKEHLEALLKHVTAQGVKENIQEVVGHITEGVCRPLKVR IEQVILAEPGAVLLYKISNLLKFYHHTISGIVGNSAATLLTTIEEMHLLSKKIFFTSLSL HANKLMDKVELPPPDLGPSSALSQTLTLLRDILASHDSSVIPLDARQADFVQVLSCALDP LLQMCTVSASNLGTADMATFMVNSLYMMKTTLALFEFTDRRLEMLQFQIEAHLDTLINEQ ASYVLTRVGLSYIYNTIQQHRPDQGSLANMPNLDAVALKAAMAQFDRYLSAPDHLLMPQL NSLLSATVKEQIIKQSTELVCRAYAEVHAAVMNPDNAYKDPESILHRSPEQVKTLLS >ENSMUSP00000141339.1 pep:known chromosome:GRCm38:3:52984727:53017216:-1 gene:ENSMUSG00000027742.13 transcript:ENSMUST00000193432.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog6 description:component of oligomeric golgi complex 6 [Source:MGI Symbol;Acc:MGI:1914792] MADASGEVAAVPASGAANGFSNGAGATPAQPNNPLSRKLHKILETRLENDKEMLEALKAL SAFFVENSLRTRRNLRGDIERRSLAINEEFVNIFKDVKEELESINEDVQAMSSCCQDMTS RLQAAKEQTQDLIVKTTKLQAENQRLEIRAQVVDAFLAKFQLTSDEMSLLRGTRGGPVTE DFFKALGRVKQIHNDVKVLLRTNQQTAGLEIMEQMALLQETAYERLYRWAQSECRALTQE SCDVSAVLSQAMEALQDRPVLYKYTLDEFGTARRSTVVRGFIDALTRGGPGGTPRPIEMH SHDPLRYVGDMLAWLHQATASEKEHLEALLKHVTAQGVKENIQEVVGHITEGVCRPLKVR IEQVILAEPGAVLLYKISNLLKFYHHTISGIVGNSAATLLTTIEEMHLLSKKIFFTSLSL HANKLMDKVELPPPDLGPSSALSQTLTLLRDILASHDSSVIPLDARQADFVQVLSCALDP LLQMCTVSASNLGTADMATFMVNSLYMMKTTLALFEFTDRRLEMLQFQIEAHLDTLINEQ ASYVLTRVGLSYIYNTIQQHRPDQGSLANMPNLDAVALKAAMAQFDRYLSAPDHLLMPQL NSLLSATVKKATIAWTVLRRPQEVG >ENSMUSP00000141733.1 pep:known chromosome:GRCm38:3:52993517:53017218:-1 gene:ENSMUSG00000027742.13 transcript:ENSMUST00000195183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog6 description:component of oligomeric golgi complex 6 [Source:MGI Symbol;Acc:MGI:1914792] MADASGEVAAVPASGAANGFSNGAGATPAQPNNPLSRKLHKILETRLENDKEHLEALLKH VTAQGVKENIQEVVGHITEGVCRPLKVRIEQVILAEPGAVLLYKISNLLKFYHHTISGIV GNSAATLLTTIEEMHLLSKKIFFTSLSLHANKLMDKVELPPPDLGPSSALSQTL >ENSMUSP00000135400.1 pep:known chromosome:GRCm38:2:92365046:92370986:-1 gene:ENSMUSG00000040434.16 transcript:ENSMUST00000176774.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gyltl1b description:glycosyltransferase-like 1B [Source:MGI Symbol;Acc:MGI:2443769] MLPRGRPRAMGAAVLLLLLLLVVGFFLFGRDPDYGLGTTATLDEDPYRSRNLSASSPQLL LPPKCEVMLHVAIVCAGYNSSREIITLTKSLLFYRKNPLHLHLITDAVARNILETLFRTW MVPAVVVSFYDAEELKPLVSWIPNKHYSGLYGLMKLVLPSILPPSLARVIVLDTDVTFSS DIVELWALFDHFSDKQVVGLVENQSDWYLGNLWKNHRPWPALGRGFNTGVILLWLDRLQQ TGWEQMWKVTAKRELLTLMATSLADQDIFNAVIKEHPHLVHPLPCVWNVQLSDHTRAERC YLEAADLKVIHWNSPKKLRVKNKHAEFFRNLHLTFLGYDGKLLRRELFGCPNQFPPGAEQ LQQALTQLDEEEPCFEFRQQQLTVHRVHITFLPHQPPPPQPHDVTLVAQLSMDRLQMLEA LCRHWPGPMSLALYLTDEEAQQFLHFVETSPVLSMRKDVAYHVVYRDGPLYPVNQLRNVA LAQALTPYVFLSDIDFLPAYSLYDYLRASIEQLELDSRRKTALVVPAFETLHYRFSFPNS KAELLTLLDAGSLHTFRYHEWPQGHSSTDYSRWREAQAPYSVQWSADYEPYVVVPRDCPR YDPRFVGFGWNKVAHIIELDAQEYEFLVLPEAFSIHLPHAPSLDISRFRSSPTYRNCLQA LKEEFHQDLSRRYGSAALKYLTALQQARSRA >ENSMUSP00000064128.6 pep:known chromosome:GRCm38:2:92365046:92371057:-1 gene:ENSMUSG00000040434.16 transcript:ENSMUST00000068586.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gyltl1b description:glycosyltransferase-like 1B [Source:MGI Symbol;Acc:MGI:2443769] MLPRGRPRAMGAAVLLLLLLLVVGFFLFGRDPDYGLGTTATLDEDPYRSRNLSASSPQLL LPPKCEMLHVAIVCAGYNSSREIITLTKSLLFYRKNPLHLHLITDAVARNILETLFRTWM VPAVVVSFYDAEELKPLVSWIPNKHYSGLYGLMKLVLPSILPPSLARVIVLDTDVTFSSD IVELWALFDHFSDKQVVGLVENQSDWYLGNLWKNHRPWPALGRGFNTGVILLWLDRLQQT GWEQMWKVTAKRELLTLMATSLADQDIFNAVIKEHPHLVHPLPCVWNVQLSDHTRAERCY LEAADLKVIHWNSPKKLRVKNKHAEFFRNLHLTFLGYDGKLLRRELFGCPNQFPPGAEQL QQALTQLDEEEPCFEFRQQQLTVHRVHITFLPHQPPPPQPHDVTLVAQLSMDRLQMLEAL CRHWPGPMSLALYLTDEEAQQFLHFVETSPVLSMRKDVAYHVVYRDGPLYPVNQLRNVAL AQALTPYVFLSDIDFLPAYSLYDYLRASIEQLELDSRRKTALVVPAFETLHYRFSFPNSK AELLTLLDAGSLHTFRYHEWPQGHSSTDYSRWREAQAPYSVQWSADYEPYVVVPRDCPRY DPRFVGFGWNKVAHIIELDAQEYEFLVLPEAFSIHLPHAPSLDISRFRSSPTYRNCLQAL KEEFHQDLSRRYGSAALKYLTALQQARSRA >ENSMUSP00000135451.1 pep:known chromosome:GRCm38:2:92365051:92371018:-1 gene:ENSMUSG00000040434.16 transcript:ENSMUST00000148352.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gyltl1b description:glycosyltransferase-like 1B [Source:MGI Symbol;Acc:MGI:2443769] MLPRGRPRAMGAAVLLLLLLLVVGFFLFGRDPDYGLGTTATLDEDPYRSRNLSASSPQLL LPPKCEVVVPSAAIPEVPGQSNHLGICGNHST >ENSMUSP00000135118.1 pep:known chromosome:GRCm38:2:92365052:92371011:-1 gene:ENSMUSG00000040434.16 transcript:ENSMUST00000176289.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gyltl1b description:glycosyltransferase-like 1B [Source:MGI Symbol;Acc:MGI:2443769] MLPRGRPRAMGAAVLLLLLLLVVGFFLFGRDPDYGLGTTATLDEDPYRSRNLSASSPQLL LPPKCEEKSAAPPPDN >ENSMUSP00000135024.1 pep:known chromosome:GRCm38:2:92365060:92371028:-1 gene:ENSMUSG00000040434.16 transcript:ENSMUST00000176810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gyltl1b description:glycosyltransferase-like 1B [Source:MGI Symbol;Acc:MGI:2443769] MLPRGRPRAMGAAVLLLLLLLVVGFFLFGRDPDCKRRSWAGEEGTLCDRCLLTPRVFSDG LGTTATLDEDPYRSRNLSASSPQLLLPPKCEMLHVAIVCAGYNSSREIITLTKSLLFYRK NPLHLHLITDAVARNILETLFRTWMVPAVVVSFYDAEELKPLVSWIPNKHYSGLYGLMKL VLPSILPPSLARVIVLDTDVTFSSDIVELWALFDHFSDKQVVGLVENQSDWYLGNLWKNH RPWPALGRGFNTGVILLWLDRLQQTGWEQMWKVTAKRELLTLMATSLADQDIFNAVIKEH PHLVHPLPCVWNVQLSDHTRAERCYLEAADLKVIHWNSPKKLRVKNKHAEFFRNLHLTFL GYDGKLLRRELFGCPNQFPPGAEQLQQALTQLDEEEPCFEFRQQQLTVHRVHITFLPHQP PPPQPHDVTLVAQLSMDRLQMLEALCRHWPGPMSLALYLTDEEAQQFLHFVETSPVLSMR KDVAYHVVYRDGPLYPVNQLRNVALAQALTPYVFLSDIDFLPAYSLYDYLRASIEQLELD SRRKTALVVPAFETLHYRFSFPNSKAELLTLLDAGSLHTFRYHEWPQGHSSTDYSRWREA QAPYSVQWSADYEPYVVVPRDCPRYDPRFVGFGWNKVAHIIELDAQEYEFLVLPEAFSIH LPHAPSLDISRFRSSPTYRNCLQALKEEFHQDLSRRYGSAALKYLTALQQARSRA >ENSMUSP00000106915.2 pep:known chromosome:GRCm38:2:92365060:92371967:-1 gene:ENSMUSG00000040434.16 transcript:ENSMUST00000111284.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gyltl1b description:glycosyltransferase-like 1B [Source:MGI Symbol;Acc:MGI:2443769] MLPRGRPRAMGAAVLLLLLLLVVGFFLFGRDPDCKRRSWAGEEGTLCDRCLLTPRVFSDG LGTTATLDEDPYRSRNLSASSPQLLLPPKCEMLHVAIVCAGYNSSREIITLTKSLLFYRK NPLHLHLITDAVARNILETLFRTWMVPAVVVSFYDAEELKPLVSWIPNKHYSGLYGLMKL VLPSILPPSLARVIVLDTDVTFSSDIVELWALFDHFSDKQVVGLVENQSDWYLGNLWKNH RPWPALGRGFNTGVILLWLDRLQQTGWEQMWKVTAKRELLTLMATSLADQDIFNAVIKEH PHLVHPLPCVWNVQLSDHTRAERCYLEAADLKVIHWNSPKKLRVKNKHAEFFRNLHLTFL GYDGKLLRRELFGCPNQFPPGAEQLQQALTQLDEEEPCFEFRQQQLTVHRVHITFLPHQP PPPQPHDVTLVAQLSMDRLQMLEALCRHWPGPMSLALYLTDEEAQQFLHFVETSPVLSMR KDVAYHVVYRDGPLYPVNQLRNVALAQALTPYVFLSDIDFLPAYSLYDYLRASIEQLELD SRRKTALVVPAFETLHYRFSFPNSKAELLTLLDAGSLHTFRYHEWPQGHSSTDYSRWREA QAPYSVQWSADYEPYVVVPRDCPRYDPRFVGFGWNKVAHIIELDAQEYEFLVLPEAFSIH LPHAPSLDISRFRSSPTYRNCLQALKEEFHQDLSRRYGSAALKYLTALQQARSRA >ENSMUSP00000088070.4 pep:known chromosome:GRCm38:2:92365071:92371033:-1 gene:ENSMUSG00000040434.16 transcript:ENSMUST00000090582.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gyltl1b description:glycosyltransferase-like 1B [Source:MGI Symbol;Acc:MGI:2443769] MLPRGRPRAMGAAVLLLLLLLVVGFFLFGRDPDYGLGTTATLDEDPYRSRNLSASSPQLL LPPKCEMLHVAIVCAGYNSSREIITLTKSLLFYRKNPLHLHLITDAVARNILETLFRTWM VPAVVVSFYDAEELKPLVSWIPNKHYSGLYGLMKLVLPSILPPSLARVIVLDTDVTFSSD IVELWALFDHFSGVILLWLDRLQQTGWEQMWKVTAKRELLTLMATSLADQDIFNAVIKEH PHLVHPLPCVWNVQLSDHTRAERCYLEAADLKVIHWNSPKKLRVKNKHAEFFRNLHLTFL GYDGKLLRRELFGCPNQFPPGAEQLQQALTQLDEEEPCFEFRQQQLTVHRVHITFLPHQP PPPQPHDVTLVAQLSMDRLQMLEALCRHWPGPMSLALYLTDEEAQQFLHFVETSPVLSMR KDVAYHVVYRDGPLYPVNQLRNVALAQALTPYVFLSDIDFLPAYSLYDYLRASIEQLELD SRRKTALVVPAFETLHYRFSFPNSKAELLTLLDAGSLHTFRYHEWPQGHSSTDYSRWREA QAPYSVQWSADYEPYVVVPRDCPRYDPRFVGFGWNKVAHIIELDAQEYEFLVLPEAFSIH LPHAPSLDISRFRSSPTYRNCLQALKEEFHQDLSRRYGSAALKYLTALQQARSRA >ENSMUSP00000135619.1 pep:known chromosome:GRCm38:2:92369935:92374869:-1 gene:ENSMUSG00000040434.16 transcript:ENSMUST00000176339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gyltl1b description:glycosyltransferase-like 1B [Source:MGI Symbol;Acc:MGI:2443769] MLSRVGDGARSPGSRPALSPTVMLPRGRPRAMGAAVLLLLLLLVVGFFLFGRDPDYGLGT TATLDEDPYRSRNLSASSPQLLLPPKCEVMLHVAIVCAGYNSSREIITLTKSLLFYRKNP LHLHLITDAVARN >ENSMUSP00000106761.2 pep:known chromosome:GRCm38:2:104069849:104091160:1 gene:ENSMUSG00000068686.12 transcript:ENSMUST00000111131.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd59b description:CD59b antigen [Source:MGI Symbol;Acc:MGI:1888996] MRAQRGLILLLLLLAVFCSTAVSLKCYNCLDPVSSCKINTTCSPNLDSCLYAVAGAAQHS ELCILVCDRTNSVWASLGAESTAGEGPA >ENSMUSP00000106762.1 pep:known chromosome:GRCm38:2:104069849:104091187:1 gene:ENSMUSG00000068686.12 transcript:ENSMUST00000111132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd59b description:CD59b antigen [Source:MGI Symbol;Acc:MGI:1888996] MRAQRGLILLLLLLAVFCSTAVSLKCYNCLDPVSSCKINTTCSPNLDSCLYAVAA >ENSMUSP00000117553.1 pep:known chromosome:GRCm38:2:104069891:104084645:1 gene:ENSMUSG00000068686.12 transcript:ENSMUST00000129749.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd59b description:CD59b antigen [Source:MGI Symbol;Acc:MGI:1888996] MRAQRGLILLLLLLAVFCSTAVSLKCYNCLDPVSSCKINTTCSPNLDSCLYAVAGRQVYQ QCWKLSDCNSNYIMSRLDVAGIQSKCCQWDLCNKNLDGLEEPNNAETSSLRKTALLGTSV LVAILKFCF >ENSMUSP00000087912.1 pep:known chromosome:GRCm38:2:104071010:104084957:1 gene:ENSMUSG00000068686.12 transcript:ENSMUST00000090429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd59b description:CD59b antigen [Source:MGI Symbol;Acc:MGI:1888996] MRAQRGLILLLLLLAVFCSTAVSLKCYNCLDPVSSCKINTTCSPNLDSCLYAVAGRQVYQ QCWKLSDCNSNYIMSRLDVAGIQSKCCQWDLCNKNLDGLEEPNNAETSSLRKTALLGTSV LVAILKFCF >ENSMUSP00000106760.2 pep:known chromosome:GRCm38:2:104071066:104084820:1 gene:ENSMUSG00000068686.12 transcript:ENSMUST00000111130.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd59b description:CD59b antigen [Source:MGI Symbol;Acc:MGI:1888996] MRAQRGLILLLLLLAVFCSTAVSLKCYNCLDPVSSCKINTTCSPNLDSCLYAVAGRQVYQ QCWKLSDCNSNYIMSRLDVAGIQSKCCQWDLCNKNLDGLEEPNNAETSSLRKTALLGTSV LVAILKFCF >ENSMUSP00000084489.4 pep:known chromosome:GRCm38:19:39061015:39093944:1 gene:ENSMUSG00000067231.4 transcript:ENSMUST00000087236.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c65 description:cytochrome P450, family 2, subfamily c, polypeptide 65 [Source:MGI Symbol;Acc:MGI:1919553] MVLGVFLGLLLTCLLLLSLWRQNSQRRNLPPGPTPLPIIGNILQLDLKDISKSLRNFSKV YGPVFTLYLGRNPAVVLHGYEAVKEAFTDHGEEFAGRGVFPVFDKFKKNCGVVFSSGRTW KEMRRFSLMTLRNFGMGRRSIEDRIQEEARCLVDELRKTKGEPCDPTFILGCAPCNVICS IVFQNRFDYKDQEFLTFLDILNENVEILSSPWIQICNNFPAVIDYLPGRHRKLHKNFAFA EHYFLSKVKQHQESLDINNPRDFIDCFLIKMEQEKHNPKTEFTCENLVFTASDLFAAGTE TTSTTLRYSLLLLLKYPEVTAKVQEEIDCVIGRHRSPCMQDRHSMPYTDAVLHEIQRYID LLPTSLPHAVTRDVKFREYLIPKGTTVIASLTSVLYDDKEFPNPEKFDPSHFLDERGKFK KSDYFFPFSTGKRICVGEGLARAELFLFLTTILQNFNLKSPVDLKDLDTTPVANGFASVP PKFQICFIPI >ENSMUSP00000100675.1 pep:known chromosome:GRCm38:11:99836802:99838066:-1 gene:ENSMUSG00000078257.2 transcript:ENSMUST00000105054.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2300003K06Rik description:RIKEN cDNA 2300003K06 gene [Source:MGI Symbol;Acc:MGI:1916701] MVSSCCGSVCSEEGCGQSCCQTTCCRPSCCVSSCCRPQCCQSVCCQPTCCHPSCCISSCR VSSCCCPSCVNSSCCGSSSCRPTCCVSSCCRPQCCPSVCYQPSCCRSSCRSSRCRLCCGS SSCCGSSYYRPSCCISSYRRPTCCISSYCRPSCCQSTCYHSYCSRPSCCASSCYRPSCCS PTCCVPSCCRPSCFQSVCCKPTCYVSSCCRPNCCRPTSCHPTSSASSYCRSNCLPYYFHP TCCVVSCCRPSCFQSVCCKPTC >ENSMUSP00000015236.3 pep:known chromosome:GRCm38:2:25557847:25562082:1 gene:ENSMUSG00000015092.9 transcript:ENSMUST00000015236.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edf1 description:endothelial differentiation-related factor 1 [Source:MGI Symbol;Acc:MGI:1891227] MAESDWDTVTVLRKKGPTAAQAKSKQAILAAQRRGEDVETSKKWAAGQNKQHSITKNTAK LDRETEELHHDRVTLEVGKVIQRGRQSKGLTQKDLATKINEKPQVIADYESGRAIPNNQV LGKIERAIGLKLRGKDIGKPIEKGPKAK >ENSMUSP00000115699.1 pep:known chromosome:GRCm38:3:96104527:96141518:1 gene:ENSMUSG00000038495.14 transcript:ENSMUST00000132980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud7b description:OTU domain containing 7B [Source:MGI Symbol;Acc:MGI:2654703] MTLDMDAVLSDFVRSTGAEPGLARDLLEGKNWDVSAALSDFEQLRQVHAGNLSPPFSGGS TCPKTPEKGGSDREPTRPSRPILQRQDDVIQEKRLSRGISHA >ENSMUSP00000121847.1 pep:known chromosome:GRCm38:3:96104527:96144325:1 gene:ENSMUSG00000038495.14 transcript:ENSMUST00000138206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud7b description:OTU domain containing 7B [Source:MGI Symbol;Acc:MGI:2654703] MTLDMDAVLSDFVRSTGAEPGLARDLLEGKNWDVSAALSDFEQLRQVHAGNLSPPFSGGS TCPKTPEKGGSDREPTRPSRPILQRQDDVIQEKRLSRGISHASSSIVSLARSHVSSNGGG GGSSEHPLEMPICAFQLPDLTVYKEDFRSFIERDLIEQSMLVALEQAGRLNWWVSMDSTC QRLLPLATTGDGNCLL >ENSMUSP00000088291.2 pep:known chromosome:GRCm38:3:96104527:96161129:1 gene:ENSMUSG00000038495.14 transcript:ENSMUST00000090785.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud7b description:OTU domain containing 7B [Source:MGI Symbol;Acc:MGI:2654703] MTLDMDAVLSDFVRSTGAEPGLARDLLEGKNWDVSAALSDFEQLRQVHAGNLSPPFSGGS TCPKTPEKGGSDREPTRPSRPILQRQDDVIQEKRLSRGISHASSSIVSLARSHVSSNGGG GGSSEHPLEMPICAFQLPDLTVYKEDFRSFIERDLIEQSMLVALEQAGRLNWWVSMDSTC QRLLPLATTGDGNCLLHAASLGMWGFHDRDLVLRKALYALMEKGVEKEALRRRWRWQQTQ QNKESGLVYTEDEWQKEWNELIKLASSEPRMHLGSNGASGGGVESSEEPVYESLEEFHVF VLAHVLKRPIVVVADTMLRDSGGEAFAPIPFGGIYLPLEVPASQCHRSPLVLAYDQAHFS ALVSMEQKESAKEQAVIPLTDSEHKLLPLHFAVDPGKGWEWGKDDNDNVRLASIILSLEV KLHLLHSYMNVKWIPLSSDSQAPLAQPESPTASAGDEPRSTPESGESDKESVGSSSLGNE GSRRKEKSKRDREKDKKRADSVANKLGSFGKTLGSKLKKNMGGLMHSKGPKPGGLGSGSG ISSGTETLEKKKKNNTLKSWKGGKEEAAGDGPVSEKPPSESVGNGGSKYSQEVMQSLSTM RIAMQGEGKYIFVGTLKMGHRHQYQEEMIQRYLADAEERFLAEQKQKEVERKIMNGGLVS GPPPAKKPEPDGGEDQPSDSPAEPKAMAFSTAYPGGFTIPRPSGGGVHCQEPRRQLAGGP CVGGLPSYATFPRQYPGRPYPHQDNIPALEPGKDGVHRGALLPPQFRVADSYSNGYREPP EPDGWAGAPRGLPPTQTKCKQPNCSFYGHPETNNLCSCCYREELRRREREPGGELLAHRF >ENSMUSP00000096449.2 pep:known chromosome:GRCm38:3:96107087:96161129:1 gene:ENSMUSG00000038495.14 transcript:ENSMUST00000098849.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud7b description:OTU domain containing 7B [Source:MGI Symbol;Acc:MGI:2654703] MTLDMDAVLSDFVRSTGAEPGLARDLLEGKNWDVSAALSDFEQLRQVHAGNLSPPFSGGS TCPKTPEKGGSDREPTRPSRPILQRQDDVIQEKRLSRGISHASSSIVSLARSHVSSNGGG GGSSEHPLEMPICAFQLPDLTVYKEDFRSFIERDLIEQSMLVALEQAGRLNWWVSMDSTC QRLLPLATTGDGNCLLHAASLGMWGFHDRDLVLRKALYALMEKGVEKEALRRRWRWQQTQ QNKESGLVYTEDEWQKEWNELIKLASSEPRMHLGSNGASGGGVESSEEPVYESLEEFHVF VLAHVLKRPIVVVADTMLRDSGGEAFAPIPFGGIYLPLEVPASQCHRSPLVLAYDQAHFS ALVSMEQKESAKEQAVIPLTDSEHKLLPLHFAVDPGKGWEWGKDDNDNVRLASIILSLEV KLHLLHSYMNVKWIPLSSDSQAPLAQPESPTASAGDEPRSTPESGESDKESVGSSSLGNE GSRRKEKSKRDREKDKKRADSVANKLGSFGKTLGSKLKKNMGGLMHSKGPKPGGLGSGSG ISSGTETLEKKKKNNTLKSWKGGKEEAAGDGPVSEKPPSESVGNGGSKYSQEVMQSLSTM RIAMQGEGKYIFVGTLKMGHRHQYQEEMIQRYLADAEERFLAEQKQKEVERKIMNGGLVS GPPPAKKPEPDGGEDQPSDSPAEPKAMAFSTAYPGGFTIPRPSGGGVHCQEPRRQLAGGP CVGGLPSYATFPRQYPGRPYPHQDNIPALEPGKDGVHRGALLPPQFRVADSYSNGYREPP EPDGWAGAPRGLPPTQTKCKQPNCSFYGHPETNNLCSCCYREELRRREREPGGELLAHRF >ENSMUSP00000046413.5 pep:known chromosome:GRCm38:3:96104527:96161129:1 gene:ENSMUSG00000038495.14 transcript:ENSMUST00000035519.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud7b description:OTU domain containing 7B [Source:MGI Symbol;Acc:MGI:2654703] MTLDMDAVLSDFVRSTGAEPGLARDLLEGKNWDVSAALSDFEQLRQVHAGNLSPPFSGGS TCPKTPEKGGSDREPTRPSRPILQRQDDVIQEKRLSRGISHASSSIVSLARSHVSSNGGG GGSSEHPLEMPICAFQLPDLTVYKEDFRSFIERDLIEQSMLVALEQAGRLNWWVSMDSTC QRLLPLATTGDGNCLLHAASLGMWGFHDRDLVLRKALYALMEKGVEKEALRRRWRWQQTQ QNKESGLVYTEDEWQKEWNELIKLASSEPRMHLGSNGASGGGVESSEEPVYESLEEFHVF VLAHVLKRPIVVVADTMLRDSGGEAFAPIPFGGIYLPLEVPASQCHRSPLVLAYDQAHFS ALVSMEQKESAKEQAVIPLTDSEHKLLPLHFAVDPGKGWEWGKDDNDNVRLASIILSLEV KLHLLHSYMNVKWIPLSSDSQAPLAQPESPTASAGDEPRSTPESGESDKESVGSSSLGNE GSRRKEKSKRDREKDKKRADSVANKLGSFGKTLGSKLKKNMGGLMHSKGPKPGGLGSGSG ISSGTETLEKKKKNNTLKSWKGGKEEAAGDGPVSEKPPSESVGNGGSKYSQEVMQSLSTM RIAMQGEGKYIFVGTLKMGHRHQYQEEMIQRYLADAEERFLAEQKQKEVERKIMNGGLVS GPPPAKKPEPDGGEDQPSDSPAEPKAMAFSTAYPGGFTIPRPSGGGVHCQEPRRQLAGGP CVGGLPSYATFPRQYPGRPYPHQDNIPALEPGKDGVHRGALLPPQFRVADSYSNGYREPP EPDGWAGAPRGLPPTQTKCKQPNCSFYGHPETNNLCSCCYREELRRREREPGGELLAHRF >ENSMUSP00000105114.1 pep:known chromosome:GRCm38:2:158375638:158386145:1 gene:ENSMUSG00000044349.15 transcript:ENSMUST00000109488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snhg11 description:small nucleolar RNA host gene 11 [Source:MGI Symbol;Acc:MGI:2441845] MSLCRKALLTPRMTTQNLRQPTPLSPLSALTGGCHHPGSKYPELTAWCRGDMRGVSVQLG SSWTTALPRNVLPNISVERPESMTISQ >ENSMUSP00000116261.1 pep:known chromosome:GRCm38:2:158375698:158381590:1 gene:ENSMUSG00000044349.15 transcript:ENSMUST00000149303.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snhg11 description:small nucleolar RNA host gene 11 [Source:MGI Symbol;Acc:MGI:2441845] MSLCRKALLTPRMTTQNLRQPTPLSPLSALTGGCHHPGSKYPGDGIKNLLESLPFIRAWR NDLKSAL >ENSMUSP00000119043.1 pep:known chromosome:GRCm38:2:158375725:158381062:1 gene:ENSMUSG00000044349.15 transcript:ENSMUST00000138307.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snhg11 description:small nucleolar RNA host gene 11 [Source:MGI Symbol;Acc:MGI:2441845] MSLCRKALLTPRMTTQNLRQPTPLSPLSALTGGCHHPGSKYPGSLAGDGIKNLLESLPFI RAWRNDLKSAL >ENSMUSP00000077303.4 pep:known chromosome:GRCm38:7:84853553:84857318:1 gene:ENSMUSG00000070460.4 transcript:ENSMUST00000078172.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr291 description:olfactory receptor 291 [Source:MGI Symbol;Acc:MGI:3030125] MEMDVYNLTTVTQFILIGLSDLPEVRYPLFVAFVIIYQITLLGNGLILLAIVTEKKLQTP MYYLLANLSLLDIFCPSATVPKMLKNLLTEDHSISFVGCALQLYFLVALAGTEVFLLAVM AYDRYVAICFPLRYSLIMTKVRCVQLLFGTWAAGFLNSFVHTMSTFSLSFCKSNRVNQYY CDIPPVVALSCSSTYMAEVLLLVIASIFGVGAFLITLISYIYIVSTILKIRSAEGKRKAF STCASHLLVVFLFYGTTIFTYMRPTSSQHSPGRDRLISMLYGVITPMLNPIIYSLRNTEV KGALRKVLHLRICSQTA >ENSMUSP00000054462.4 pep:known chromosome:GRCm38:12:16988648:17000118:-1 gene:ENSMUSG00000045679.13 transcript:ENSMUST00000054536.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc3 description:PQ loop repeat containing [Source:MGI Symbol;Acc:MGI:2444067] MEAGLLWFCNWSTLGVCAALKLPQIYAQLAARSARGISLPSLLLELAGFLVFLRYQHYYG NPLLTYLEYPILIAQDIVLLLFVFHFNGNVKQALPYMAVFVSSWFILSLQKWIIDLAMNL CTVISAASKFAQLQYLWKVQDSGAVSALTWGLSAYTCATRIITTLMTTNDLTILIRFVIM LALNIWVTATVLHYRKSATKAE >ENSMUSP00000065014.6 pep:known chromosome:GRCm38:12:16992961:17000025:-1 gene:ENSMUSG00000045679.13 transcript:ENSMUST00000067572.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc3 description:PQ loop repeat containing [Source:MGI Symbol;Acc:MGI:2444067] MEAGLLWFCNWSTLGVCAALKLPQIYAQLAARSARGISLPSLLLELAGFLVFLRYQHYYG NPLLTYLEYPILIAQDIVLLLFVFHFNGNVKQALPYMAVFVSSWFILSLQKWIIDLAMNL CTVISAASKFAQLQYLWKVQDSGAVSALTWGLSAYTCAKPAKGTFVYKRV >ENSMUSP00000115895.1 pep:known chromosome:GRCm38:12:16993655:17000116:-1 gene:ENSMUSG00000045679.13 transcript:ENSMUST00000156360.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pqlc3 description:PQ loop repeat containing [Source:MGI Symbol;Acc:MGI:2444067] MEAGLLWFCNWSTLGVCAALKLPQIYAQLAARSARGISLPSLLLELAGFLVFLRYQHYYG NPLLTYLEYPILIAQDIVLLLFVFHFNGNVKQALPYMAVYPSCI >ENSMUSP00000097908.4 pep:known chromosome:GRCm38:2:25054355:25062881:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000100334.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADHLLADAYSGHDGSPE MQPAPQNKRRLSLVSNGRYEGSISDEAVSGKPAIEGPQPHVYTISREPALLPGSEAEAIE LAVVKGRRQRERHPHHHSQPLRASPGSSREDISRPCQSWAGSRQGSKECPGCAQLVPGPS SRAFGLEQPPLPEAPGRHKKLERMYSVDGVSDDVPIRTWFPKENLFSFQTATTTMQAISV FRGYAERKRRKRENDSASVIQRNFRKHLRMVGSRRVKAQTFAERRERSFSRSWSDPTPMK ADTSHDSRDSSDLQSSHCTLDEACEDLDWDTEKGLEAMACNTEGFLPPKVMLISSKVPKA EYIPTIIRRDDPSIIPILYDHEHATFEDILEEIEKKLNIYHKGAKIWKMLIFCQGGPGHL YLLKNKVATFAKVEKEEDMIHFWKRLSRLMSKVNPEPNVIHIMGCYILGNPNGEKLFQNL RTLMTPYKVTFESPLELSAQGKQMIETYFDFRLYRLWKSRQHSKLLDFDDVL >ENSMUSP00000121580.1 pep:known chromosome:GRCm38:2:25054373:25060562:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000152122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADDVPIRTWFPKENLFS FQTATTTMQAVFRGYAERKRRKRENDSASVIQRNFRKHLRMVGSRRVKAQTFAERRERSF SRSWSDPTPMKADTSHDSRDSSDLQSSHCTLDEACEDLDWDTEKGLEAMACNTEGFLPPK VMLISSKVPKAEYIPTIIRRDDPSIIPILY >ENSMUSP00000006646.8 pep:known chromosome:GRCm38:2:25054384:25062881:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000006646.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADHLLADAYSGHDGSPE MQPAPQNKRRLSLVSNGRYEGSISDEAVSGKPAIEGPQPHVYTISREPALLPGSEAEAIE LAVVKGRRQRERHPHHHSQPLRASPGSSREDISRPCQSWAGSRQGSKECPGCAQLVPGPS SRAFGLEQPPLPEAPGRHKKLERMYSVDGVSDDVPIRTWFPKENLFSFQTATTTMQAVFR GYAERKRRKRENDSASVIQRNFRKHLRMVGSRRVKAQTFAERRERSFSRSWSDPTPMKAD TSHDSRDSSDLQSSHCTLDEACEDLDWDTEKGLEAMACNTEGFLPPKVMLISSKVPKAEY IPTIIRRDDPSIIPILYDHEHATFEDILEEIEKKLNIYHKGAKIWKMLIFCQGGPGHLYL LKNKVATFAKVEKEEDMIHFWKRLSRLMSKVNPEPNVIHIMGCYILGNPNGEKLFQNLRT LMTPYKVTFESPLELSAQGKQMIETYFDFRLYRLWKSRQHSKLLDFDDVL >ENSMUSP00000099995.4 pep:known chromosome:GRCm38:2:25054413:25062881:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000102931.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADHLLADAYSGHDGSPE MQPAPQNKRRLSLVSNGRYEGSISDEAVSGKPAIEGPQPHVYTISREPALLPGSEAEAIE LAVVKGRRQRERHPHHHSQPLRASPGSSREDISRPCQSWAGSRQGSKECPGCAQLVPGPS SRAFGLEQPPLPEAPGRHKKLERMYSVDGVSDDVPIRTWFPKENLFSFQTATTTMQAISN FRKHLRMVGSRRVKAQTFAERRERSFSRSWSDPTPMKADTSHDSRDSSDLQSSHCTLDEA CEDLDWDTEKGLEAMACNTEGFLPPKVMLISSKVPKAEYIPTIIRRDDPSIIPILYDHEH ATFEDILEEIEKKLNIYHKGAKIWKMLIFCQGGPGHLYLLKNKVATFAKVEKEEDMIHFW KRLSRLMSKVNPEPNVIHIMGCYILGNPNGEKLFQNLRTLMTPYKVTFESPLELSAQGKQ MIETYFDFRLYRLWKSRQHSKLLDFDDVL >ENSMUSP00000074022.7 pep:known chromosome:GRCm38:2:25054413:25062881:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000074422.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADHLLADAYSGHDGSPE MQPAPQNKRRLSLVSNGRYEGSISDEAVSGKPAIEGPQPHVYTISREPALLPGSEAEAIE LAVVKGRRQRERHPHHHSQPLRASPGSSREDISRPCQSWAGSRQGSKECPGCAQLVPGPS SRAFGLEQPPLPEAPGRHKKLERMYSVDGVSDDVPIRTWFPKENLFSFQTATTTMQANFR KHLRMVGSRRVKAQTFAERRERSFSRSWSDPTPMKADTSHDSRDSSDLQSSHCTLDEACE DLDWDTEKGLEAMACNTEGFLPPKVMLISSKVPKAEYIPTIIRRDDPSIIPILYDHEHAT FEDILEEIEKKLNIYHKGAKIWKMLIFCQGGPGHLYLLKNKVATFAKVEKEEDMIHFWKR LSRLMSKVNPEPNVIHIMGCYILGNPNGEKLFQNLRTLMTPYKVTFESPLELSAQGKQMI ETYFDFRLYRLWKSRQHSKLLDFDDVL >ENSMUSP00000116106.1 pep:known chromosome:GRCm38:2:25054425:25059056:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000132172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADDVPIRTWFPKENLFS FQTATTTMQAISNFRKHLRMVGSR >ENSMUSP00000110030.1 pep:known chromosome:GRCm38:2:25054435:25062881:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000114388.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADHLLADAYSGHDGSPE MQPAPQNKRRLSLVSNGRYEGSISDEAVSGKPAIEGPQPHVYTISREPALLPGSEAEAIE LAVVKGRRQRERHPHHHSQPLRASPGSSREDISRPCQSWAGSRQGSKECPGCAQLVPGPS SRAFGLEQPPLPEAPGRHKKLERMYSVDGVSDDVPIRTWFPKENLFSFQTATTTMQAVFR GYAERKRRKRENDSASVIQRNFRKHLRMVGSRRVKAQSSDLQSSHCTLDEACEDLDWDTE KGLEAMACNTEGFLPPKVMLISSKVPKAEYIPTIIRRDDPSIIPILYDHEHATFEDILEE IEKKLNIYHKGAKIWKMLIFCQGGPGHLYLLKNKVATFAKVEKEEDMIHFWKRLSRLMSK VNPEPNVIHIMGCYILGNPNGEKLFQNLRTLMTPYKVTFESPLELSAQGKQMIETYFDFR LYRLWKSRQHSKLLDFDDVL >ENSMUSP00000141410.1 pep:known chromosome:GRCm38:2:25054456:25062881:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000140737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADDVPIRTWFPKENLFS FQTATTTMQAISVFRGYAERKRRKRENDSASVIQRNFRKHLRMPTHFPLLLLNSAFSSLG LEATSQHSGLGVWWGQPGLGLGRQEPHQHCGGGPRSVTPGWRVK >ENSMUSP00000141583.1 pep:known chromosome:GRCm38:2:25054468:25062628:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000144520.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADHLLADAYSGHDGSPE MQPAPQNKRRLSLVSNGRYEGSISDEAVSGKPAIEGPQPHVYTISREPALLPGSEAEAIE LAVVKGRRQRERHPHHHSQPLRASPGSSREDISRPCQSWAGSRQGSKECPGCAQLVPGPS SRAFGLEQPPLPEAPGRHKKLERMYSVDGVSDDVPIRTWFPKENLFSFQTATTTMQAISV FRGYAERKRRKRENDSASVIQRNFRKHLRMVGSRRVKAQTFAERRERSFSRSWSDPTPMK ADTSHDSRDTHLLQGAKGRVYPYYHPQR >ENSMUSP00000110028.1 pep:known chromosome:GRCm38:2:25054468:25062881:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000114386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADHLLADAYSGHDGSPE MQPAPQNKRRLSLVSNGRYEGSISDEAVSGKPAIEGPQPHVYTISREPALLPGSEAEAIE LAVVKGRRQRERHPHHHSQPLRASPGSSREDISRPCQSWAGSRQGSKECPGCAQLVPGPS SRAFGLEQPPLPEAPGRHKKLERMYSVDGVSDDVPIRTWFPKENLFSFQTATTTMQAISN FRKHLRMVGSRRVKAQSSDLQSSHCTLDEACEDLDWDTEKGLEAMACNTEGFLPPKVMLI SSKVPKAEYIPTIIRRDDPSIIPILYDHEHATFEDILEEIEKKLNIYHKGAKIWKMLIFC QGGPGHLYLLKNKVATFAKVEKEEDMIHFWKRLSRLMSKVNPEPNVIHIMGCYILGNPNG EKLFQNLRTLMTPYKVTFESPLELSAQGKQMIETYFDFRLYRLWKSRQHSKLLDFDDVL >ENSMUSP00000120376.1 pep:known chromosome:GRCm38:2:25057147:25062881:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000134744.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] XFQTATTTMQAADLRRFLRVFRGYAERKRRKRENDSASVIQRNFRKHLRMVGSRRVKAQS SDLQSSHCTLDEACEDLDWDTEKGLEAMACNTEGFLPPKVMLISSKVPKAEYIPTIIRRD DPSIIPILYDHEHATFEDILEEIEKKLNIYHKGAKIWKMLIFCQGGPGHLYLLKNKVATF AKVEKEEDMIHFWKRLSRLMSKVNPEPNVIHIMGCYILGNPNGEKLFQNLRTLMTPYKVT FESPLELSAQGKQMIETYFDFRLYRLWKSRQHSKLLDFDDVL >ENSMUSP00000112273.3 pep:known chromosome:GRCm38:2:25054379:25062880:1 gene:ENSMUSG00000006476.19 transcript:ENSMUST00000116574.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmf description:NMDA receptor synaptonuclear signaling and neuronal migration factor [Source:MGI Symbol;Acc:MGI:1861755] MGAAASRRRALRSEAMSSVAAKVRAARAFGEYLSQSHPENRNGADHLLADAYSGHDGSPE MQPAPQNKRRLSLVSNGRYEGSISDEAVSGKPAIEGPQPHVYTISREPALLPGSEAEAIE LAVVKGRRQRERHPHHHSQPLRASPGSSREDISRPCQSWAGSRQGSKECPGCAQLVPGPS SRAFGLEQPPLPEAPGRHKKLERMYSVDGVSDDVPIRTWFPKENLFSFQTATTTMQALGN FRKHLRMVGSRRVKAQTFAERRERSFSRSWSDPTPMKADTSHDSRDSSDLQSSHCTLDEA CEDLDWDTEKGLEAMACNTEGFLPPKVMLISSKVPKAEYIPTIIRRDDPSIIPILYDHEH ATFEDILEEIEKKLNIYHKGAKIWKMLIFCQGGPGHLYLLKNKVATFAKVEKEEDMIHFW KRLSRLMSKVNPEPNVIHIMGCYILGNPNGEKLFQNLRTLMTPYKVTFESPLELSAQGKQ MIETYFDFRLYRLWKSRQHSKLLDFDDVL >ENSMUSP00000104305.1 pep:known chromosome:GRCm38:11:69218120:69237022:-1 gene:ENSMUSG00000020890.11 transcript:ENSMUST00000108665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy2e description:guanylate cyclase 2e [Source:MGI Symbol;Acc:MGI:105123] MSAWLLPAGGLPGAGFCVPARQSPSSFSRVLRWPRPGLPGLLLLLLLPSPSALSAVFKVG VLGPWACDPIFARARPDLAARLAANRLNRDFALDGGPRFEVALLPEPCLTPGSLGAVSSA LSRVSGLVGPVNPAACRPAELLAQEAGVALVPWGCPGTRAAGTTAPAVTPAADALYVLLR AFRWARVALITAPQDLWVEAGRALSTALRARGLPVALVTSMETSDRSGAREALGRIRDGP RVRVVIMVMHSVLLGGEEQRYLLEAAEELALTDGSLVFLPFDTLHYALSPGPEALAAFVN SSQLRRAHDAVLTLTRRCPPGGSVQDSLRRAQEHQELPLDLNLKQVSPLFGTIYDAVFLL AGGVKRARTAVGGGWVSGASVARQVREAQVSGFCGVLGRTEEPSFVLLDTDASGEQLFAT HLLDPVLGSLRSAGTPMHFPRGGPAPGPDPSCWFDPDVICNGGVEPGLVFVGFLLVIGMG LTGAFLAHYLRHRLLHMQMASGPNKIILTLEDVTFLHPPGGSSRKVVQGSRSSLATRSAS DIRSVPSQPQESTNVGLYEGDWVWLKKFPGEHHMAIRPATKTAFSKLRELRHENVALYLG LFLAGTADSPATPGEGILAVVSEHCARGSLHDLLAQREIKLDWMFKSSLLLDLIKGMRYL HHRGVAHGRLKSRNCVVDGRFVLKVTDHGHGRLLEAQRVLPEPPSAEDQLWTAPELLRDP SLERRGTLAGDVFSLAIIMQEVVCRSTPYAMLELTPEEVIQRVRSPPPLCRPLVSMDQAP MECIQLMTQCWAEHPELRPSMDLTFDLFKSINKGRKTNIIDSMLRMLEQYSSNLEDLIRE RTEELEQEKQKTDRLLTQMLPPSVAEALKMGTSVEPEYFEEVTLYFSDIVGFTTISAMSE PIEVVDLLNDLYTLFDAIIGAHDVYKVETIGDAYMVASGLPQRNGQRHAAEIANMSLDIL SAVGSFRMRHMPEVPVRIRIGLHSGPCVAGVVGLTMPRYCLFGDTVNTASRMESTGLPYR IHVNMSTVRILRALDQGFQMECRGRTELKGKGIEDTYWLVGRLGFNKPIPKPPDLQPGAS NHGISLQEIPPERRKKLEKARPGQFTGK >ENSMUSP00000104304.1 pep:known chromosome:GRCm38:11:69221158:69237036:-1 gene:ENSMUSG00000020890.11 transcript:ENSMUST00000108664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy2e description:guanylate cyclase 2e [Source:MGI Symbol;Acc:MGI:105123] MSAWLLPAGGLPGAGFCVPARQSPSSFSRVLRWPRPGLPGLLLLLLLPSPSALSAVFKVG VLGPWACDPIFARARPDLAARLAANRLNRDFALDGGPRFEVALLPEPCLTPGSLGAVSSA LSRVSGLVGPVNPAACRPAELLAQEAGVALVPWGCPGTRAAGTTAPAVTPAADALYVLLR AFRWARVALITAPQDLWVEAGRALSTALRARGLPVALVTSMETSDRSGAREALGRIRDGP RVRVVIMVMHSVLLGGEEQRYLLEAAEELALTDGSLVFLPFDTLHYALSPGPEALAAFVN SSQLRRAHDAVLTLTRRCPPGGSVQDSLRRAQEHQELPLDLNLKQVSPLFGTIYDAVFLL AGGVKRARTAVGGGWVSGASVARQVREAQVSGFCGVLGRTEEPSFVLLDTDASGEQLFAT HLLDPVLGSLRSAGTPMHFPRGGPAPGPDPSCWFDPDVICNGGVEPGLVFVGFLLVIGMG LTGAFLAHYLRHRLLHMQMASGPNKIILTLEDVTFLHPPGGSSRKVVQGSRSSLATRSAS DIRSVPSQPQESTNVGLYEGDWVWLKKFPGEHHMAIRPATKTAFSKLRELRHENVALYLG LFLAGTADSPATPGEGILAVVSEHCARGSLHDLLAQREIKLDWMFKSSLLLDLIKGMRYL HHRGVAHGRLKSRNCVVDGRFVLKVTDHGHGRLLEAQRVLPEPPSAEDQLWTAPELLRDP SLERRGTLAGDVFSLAIIMQEVVCRSTPYAMLELTPEEVIQRVRSPPPLCRPLVSMDQAP MECIQLMTQCWAEHPELRPSMDLTFDLFKSINKGRKTNIIDSMLRMLEQYSSNLEDLIRE RTEELEQEKQKTDRLLTQMLPPSVAEALKMGTSVEPEYFEEVTLYFSDIVGFTTISAMSE PIEVVDLLNDLYTLFDAIIGAHDVYKVETIGDAYMVASGLPQRNGQRHAAEIANMSLDIL SAVGSFRMRHMPEVPVRIRIGLHSGPCVAGVVGLTMPRYCLFGDTVNTASRMESTGLPYR IHVNMSTVRILRALDQGFQMECRGRTELKGKGIEDTYWLVGRLGFNKPIPKPPDLQPGAS NHGISLQEIPPERRKKLEKARPGQFTGK >ENSMUSP00000021259.2 pep:known chromosome:GRCm38:11:69218117:69237022:-1 gene:ENSMUSG00000020890.11 transcript:ENSMUST00000021259.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy2e description:guanylate cyclase 2e [Source:MGI Symbol;Acc:MGI:105123] MSAWLLPAGGLPGAGFCVPARQSPSSFSRVLRWPRPGLPGLLLLLLLPSPSALSAVFKVG VLGPWACDPIFARARPDLAARLAANRLNRDFALDGGPRFEVALLPEPCLTPGSLGAVSSA LSRVSGLVGPVNPAACRPAELLAQEAGVALVPWGCPGTRAAGTTAPAVTPAADALYVLLR AFRWARVALITAPQDLWVEAGRALSTALRARGLPVALVTSMETSDRSGAREALGRIRDGP RVRVVIMVMHSVLLGGEEQRYLLEAAEELALTDGSLVFLPFDTLHYALSPGPEALAAFVN SSQLRRAHDAVLTLTRRCPPGGSVQDSLRRAQEHQELPLDLNLKQVSPLFGTIYDAVFLL AGGVKRARTAVGGGWVSGASVARQVREAQVSGFCGVLGRTEEPSFVLLDTDASGEQLFAT HLLDPVLGSLRSAGTPMHFPRGGPAPGPDPSCWFDPDVICNGGVEPGLVFVGFLLVIGMG LTGAFLAHYLRHRLLHMQMASGPNKIILTLEDVTFLHPPGGSSRKVVQGSRSSLATRSAS DIRSVPSQPQESTNVGLYEGDWVWLKKFPGEHHMAIRPATKTAFSKLRELRHENVALYLG LFLAGTADSPATPGEGILAVVSEHCARGSLHDLLAQREIKLDWMFKSSLLLDLIKGMRYL HHRGVAHGRLKSRNCVVDGRFVLKVTDHGHGRLLEAQRVLPEPPSAEDQLWTAPELLRDP SLERRGTLAGDVFSLAIIMQEVVCRSTPYAMLELTPEEVIQRVRSPPPLCRPLVSMDQAP MECIQLMTQCWAEHPELRPSMDLTFDLFKSINKGRKTNIIDSMLRMLEQYSSNLEDLIRE RTEELEQEKQKTDRLLTQMLPPSVAEALKMGTSVEPEYFEEVTLYFSDIVGFTTISAMSE PIEVVDLLNDLYTLFDAIIGAHDVYKVETIGDAYMVASGLPQRNGQRHAAEIANMSLDIL SAVGSFRMRHMPEVPVRIRIGLHSGPCVAGVVGLTMPRYCLFGDTVNTASRMESTGLPYR IHVNMSTVRILRALDQGFQMECRGRTELKGKGIEDTYWLVGRLGFNKPIPKPPDLQPGAS NHGISLQEIPPERRKKLEKARPGQFTGK >ENSMUSP00000019734.4 pep:known chromosome:GRCm38:11:105933702:105944412:-1 gene:ENSMUSG00000019590.16 transcript:ENSMUST00000019734.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561 description:cytochrome b-561 [Source:MGI Symbol;Acc:MGI:103253] MEHSSASVPAALPYYVAFSQLLGLTVVAVTGAWLGLYRGGIAWESSLQFNVHPLCMVIGM IFLQGDALLVYRVFRREAKRTTKILHGLLHVFAFIIALVGLVAVFDYHKKKGYADLYSLH SWCGILVFVLYFVQWLVGFSFFLFPGASFSLRSRYRPQHIFFGATIFLFSVGTALLGLKE ALLFKLGSKYSTFEPEGVLANVLGLLLVCFGVVVLYILAQADWKRPSQAEEQALSMDFKT LTEGDSPSPQ >ENSMUSP00000139125.1 pep:known chromosome:GRCm38:11:105934951:105937798:-1 gene:ENSMUSG00000019590.16 transcript:ENSMUST00000183493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561 description:cytochrome b-561 [Source:MGI Symbol;Acc:MGI:103253] XALPYYVAFSQLLGLTVVAVTGAWLGLYRGGIAWESSLQFNVHPLCMVIGMIFLQGDALL VYRVFRREAKRTTKILHGLLHVFAFIIALVGPSTARLNLRGCWPTC >ENSMUSP00000138931.1 pep:known chromosome:GRCm38:11:105935084:105944139:-1 gene:ENSMUSG00000019590.16 transcript:ENSMUST00000184086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561 description:cytochrome b-561 [Source:MGI Symbol;Acc:MGI:103253] MEHSSASVPAALPYYVAFSQLLGLTVVAVTGAWLGLYRGGIAWESSLQFNVHPLCMVIGM IFLQGDALLVYRVFRREAKRTTKILHGLLHVFAFIIALVGLVAVFDYHKKKGYADLYSLH SWCGILVFVLYFVQVPASSWLSRALSPFSGSWVSASSCSLELHSLCGAATALSTFSLVPP SSSSLWAQPYWA >ENSMUSP00000121990.1 pep:known chromosome:GRCm38:11:105935799:105953336:-1 gene:ENSMUSG00000019590.16 transcript:ENSMUST00000143251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561 description:cytochrome b-561 [Source:MGI Symbol;Acc:MGI:103253] MSSRLFRGWLSRQSTLKGGRGMPLTEGTRSNRLSWGLRMEHSSASVPAALPYYVAFSQLL GLTVVAVTGAWLGLYRGGIAWESSLQFNVHPLCMVIGMIFLQGDALLVYRVFRREAKRTT KILHGLLHVFAFIIALVGLVAVFDYHKKKGYADLYSLHSWCGILVFVLYFVQWLVGFSFF LFPGASFSLRSRYRPQHIFFGATIFLFSV >ENSMUSP00000138889.1 pep:known chromosome:GRCm38:11:105936210:105944429:-1 gene:ENSMUSG00000019590.16 transcript:ENSMUST00000184269.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561 description:cytochrome b-561 [Source:MGI Symbol;Acc:MGI:103253] MATPSRRGSWNLPSVRGAARGRAQAWRRRDKEQGRRHFPREHRGTNLEQNPRGWGLRMEH SSASVPAALPYYVAFSQLLGLTVVAVTGAWLGLYRGGIAWESSLQFNVHPLCMVIGMIFL QGDALLVYRVFRREAKRTTKILHGLLHVFAFIIALVGLVAVFDYHKKKGYADLYSLHSWC G >ENSMUSP00000138838.1 pep:known chromosome:GRCm38:11:105936555:105944487:-1 gene:ENSMUSG00000019590.16 transcript:ENSMUST00000150563.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561 description:cytochrome b-561 [Source:MGI Symbol;Acc:MGI:103253] MEHSSASVPAALPYYVAFSQLLGLTVVAVTGAWLGLYRGGIAWESSLQFNVHPLCMVIGM IFLQGDALLVYRVFRREAKRTTKILHGLLHVFAFIIALV >ENSMUSP00000000756.5 pep:known chromosome:GRCm38:8:123102350:123105244:1 gene:ENSMUSG00000000740.11 transcript:ENSMUST00000000756.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl13 description:ribosomal protein L13 [Source:MGI Symbol;Acc:MGI:105922] MAPSRNGMILKPHFHKDWQQRVDTWFNQPARKIRRRKARQAKARRIAPRPASGPIRPIVR CPTVRYHTKVRAGRGFSLEELRVAGIHKKVARTIGISVDPRRRNKSTESLQANVQRLKEY RSKLILFPRKPSAPKKGDSSAEELKLATQLTGPVMPIRNVYKKEKARVITEEEKNFKAFA SLRMARANARLFGIRAKRAKEAAEQDVEKKK >ENSMUSP00000142184.1 pep:known chromosome:GRCm38:3:22076652:22216594:1 gene:ENSMUSG00000027630.13 transcript:ENSMUST00000193734.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl1xr1 description:transducin (beta)-like 1X-linked receptor 1 [Source:MGI Symbol;Acc:MGI:2441730] MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQKGLQYV EAEVSINEDGTLFDGRPIESLSLIDAVMPDVVQTRQQAYRDKLAQQHAAAAAAAAAATNQ QGSAKNGENTANGEENGAHTIANNHTDMMEVDGDVEIPSNKAVVLRGHESEVFICAWNPV SDLLASGSGDSTARIWNLSENSTSGPTQLVLRHCIREGGQDVPSNKDVTSLDWNSEGTLL ATGSYDGFARIWTKDGNLASTLGQHKGPIFALKWNKKGNFILSAGVDKTTIIWDAHTGEA KQQFPFHSAPALDVDWQSNNTFASCSTDMCIHVCKLGQDRPIKTFQGHTNEVNAIKWDPT GNLLASCSDDMTLKIWSMKQDNCVHDLQAHNKEIYTIKWSPTGPGTNNPNANLMLASASF DSTVRLWDVDRGICIHTLTKHQEPVYSVAFSPDGRYLASGSFDKCVHIWNTQTGALVHSY RGTGGIFEVCWNAAGDKVGASASDGSVCVLDLRK >ENSMUSP00000144436.1 pep:known chromosome:GRCm38:3:22076727:22212751:1 gene:ENSMUSG00000027630.13 transcript:ENSMUST00000202747.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl1xr1 description:transducin (beta)-like 1X-linked receptor 1 [Source:MGI Symbol;Acc:MGI:2441730] MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQKGLQYV EAEVSINEDGTLFDGRPIESLSLIDAVMPDVVQTRQQAYRDKLAQQHAAAAAAAAAATNQ QGSAKNGENTANGEENGAHTIANNHTDMMEVDGDVEIPSNKAVVLRGHESEVFICAWNPV SDLLASGSGDSTARIWNLSENSTSGPTQLVLRHCIREGGQDVPSNKDVTSLDWNSEGTLL ATGSYDGFARIWTKDGNLASTLGQHKGPIFALKWNKKGNFILSAGVDKTTIIWDAHTGEA KQQFPFHSAPALDVDWQSNNTFASCSTDMCIHVCKLGQDRPIKTFQGHTNEVNAIKWDPT GNLLASCSDDMTLKIWSMKQDNCVHDLQAHNKEIYTIKWSPTGPGTNNPNANLMLASASF DSTVRLWDVDRGICIHTLTKHQEPVYSVAFSPDGRYLASGSFDKCVHIWNTQTGALVHSY RGTGGIFEVCWNAAGDKVGASASDGSVCVLDLRK >ENSMUSP00000144138.1 pep:known chromosome:GRCm38:3:22076832:22190921:1 gene:ENSMUSG00000027630.13 transcript:ENSMUST00000200793.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl1xr1 description:transducin (beta)-like 1X-linked receptor 1 [Source:MGI Symbol;Acc:MGI:2441730] MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQKGLQYV EAEVSINEDGTLFDGRPIESLSLIDAVMPDVVQTRQQAYRDKLAQQHAAAAAAAAAATNQ QGSAKNGENTANGEENGAHTIANN >ENSMUSP00000144602.1 pep:known chromosome:GRCm38:3:22076936:22188471:1 gene:ENSMUSG00000027630.13 transcript:ENSMUST00000200943.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl1xr1 description:transducin (beta)-like 1X-linked receptor 1 [Source:MGI Symbol;Acc:MGI:2441730] MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQ >ENSMUSP00000067164.8 pep:known chromosome:GRCm38:3:22077284:22215293:1 gene:ENSMUSG00000027630.13 transcript:ENSMUST00000063988.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl1xr1 description:transducin (beta)-like 1X-linked receptor 1 [Source:MGI Symbol;Acc:MGI:2441730] MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQKGLQYV EAEVSINEDGTLFDGRPIESLSLIDAVMPDVVQTRQQAYRDKLAQQHAAAAAAAAAATNQ QGSAKNGENTANGEENGAHTIANNHTDMMEVDGDVEIPSNKAVVLRGHESEVFICAWNPV SDLLASGSGDSTARIWNLSENSTSGPTQLVLRHCIREGGQDVPSNKDVTSLDWNSEGTLL ATGSYDGFARIWTKDGNLASTLGQHKGPIFALKWNKKGNFILSAGVDKTTIIWDAHTGEA KQQFPFHSAPALDVDWQSNNTFASCSTDMCIHVCKLGQDRPIKTFQGHTNEVNAIKWDPT GNLLASCSDDMTLKIWSMKQDNCVHDLQAHNKEIYTIKWSPTGPGTNNPNANLMLASASF DSTVRLWDVDRGICIHTLTKHQEPVYSVAFSPDGRYLASGSFDKCVHIWNTQTGALVHSY RGTGGIFEVCWNAAGDKVGASASDGSVCVLDLRK >ENSMUSP00000141363.1 pep:known chromosome:GRCm38:3:22114489:22211968:1 gene:ENSMUSG00000027630.13 transcript:ENSMUST00000192328.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl1xr1 description:transducin (beta)-like 1X-linked receptor 1 [Source:MGI Symbol;Acc:MGI:2441730] MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQKGLQYV EAEVSINEDGTLFDGRPIESLSLIDAVMPDVVQTRQQAYRDKLAQQHAAAAAAAAAATNQ QGSAKNGENTANGEENGAHTIANNHTDMMEVDGDVEIPSNKAVVLRGHESEVFICAWNPV SDLLASGSGDSTARIWNLSENSTSGPTQLVLRHCIREGGQDVPSNKDVTSLDWNSEGTLL ATGSYDGFARIWTKDGNLASTLGQHKGPIFALKWNKKGNFILSAGVDKTTIIWDAHTGEA KQQFPFHSAPALDVDWQSNNTFASCSTDMCIHVCKLGQDRPIKTFQGHTNEVNAIKWDPT GNLLASCSDDMTLKIWSMKQDNCVHDLQAHNKEIYTIKWSPTGPGTNNPNANLMLASASF DSTVRLWDVDRGICIHTLTKHQEPVYSVAFSPDGRYLASGSFDKCVHIWNTQTGALVHSY RGTGGIFEVCWNAAGDKVGASASDGSVCVLDLRK >ENSMUSP00000144301.1 pep:known chromosome:GRCm38:3:22139274:22189786:1 gene:ENSMUSG00000027630.13 transcript:ENSMUST00000202356.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl1xr1 description:transducin (beta)-like 1X-linked receptor 1 [Source:MGI Symbol;Acc:MGI:2441730] MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQKGLQYV EAEVSINEDGTLFD >ENSMUSP00000144547.1 pep:known chromosome:GRCm38:3:22148942:22213075:1 gene:ENSMUSG00000027630.13 transcript:ENSMUST00000201509.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbl1xr1 description:transducin (beta)-like 1X-linked receptor 1 [Source:MGI Symbol;Acc:MGI:2441730] MSISSDEVNFLVYRYLQESGFSHSAFTFGIESHISQSNINGALVPPAALISIIQKGLQYV EAEVSINEDGTLFDGRPIESLSLIDAVMPDVVQTRQQAYRDKLAQQHAAAAAAAAAATNQ QGSAKNGENTANGEENGAHTIANNHTDMMEVDGDVEIPSNKAVVLRGHESEVFICAWNPV SDLLASGSGDSTARIWNLSENSTSGPTQLVLRHCIREGGQDVPSNKDVTSLDWNSEGTLL ATGSYDGFARIWTKDGNLASTLGQHKGPIFALKWNKKGNFILSAGVDKTTIIWDAHTGEA KQQFPFHSAPALDVDWQSNNTFASCSTDMCIHVCKLGQDRPIKTFQGHTNEVNAIKWDPT GNLLASCSDDMTLKIWSMKQDNCVHDLQAHNKEIYTIKWSPTGPGTNNPNANLMLASASF DSTVRLWDVDRGICIHTLTKHQEPVYSVAFSPDGRYLASGSFDKCVHIWNTQVL >ENSMUSP00000144340.1 pep:known chromosome:GRCm38:3:22203192:22210579:1 gene:ENSMUSG00000027630.13 transcript:ENSMUST00000201467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl1xr1 description:transducin (beta)-like 1X-linked receptor 1 [Source:MGI Symbol;Acc:MGI:2441730] XNNPNANLMLASGSFDKCVHIWNTQTGALVHSYRGTGGIFEVCWNAAGDKVGASASDGSV CVLDLRK >ENSMUSP00000097194.2 pep:known chromosome:GRCm38:2:112071646:112072583:-1 gene:ENSMUSG00000074946.4 transcript:ENSMUST00000099599.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1313 description:olfactory receptor 1313 [Source:MGI Symbol;Acc:MGI:3031147] MKRVNHSVTSEFVFLGLTNSWNIQLLLFLLSSVLYVASMMGNCLIIFTVASDPQLHSPMY FLLSNLSFIDIGISSATSPKMIYDLFKKNKVISFRGCIIQIFFIHAIGGVEMVLLIAMAF DRYVAICKPLHYLTMMSPQMCIFFLITAWVVGLMHSVIQLVFIVNLPLCGQLLDSFYCDL PQFIKLACMDTYRLELMVSISSGFMSVAFFFILIISYVVIIFTVLKHSSSGSYKALSTLS AHVTVVVLFFGPAIFFYTWPSSSTHLDKFLALFDAVVTPFLNPVIYTLRNQEMKMAMRRV FRHLMGYRQIS >ENSMUSP00000052452.3 pep:known chromosome:GRCm38:2:21205724:21215009:1 gene:ENSMUSG00000048550.17 transcript:ENSMUST00000054591.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thnsl1 description:threonine synthase-like 1 (bacterial) [Source:MGI Symbol;Acc:MGI:2139347] MLTLTRCHHLKQIAQECLSSLLVKVQSRTQLLLPRASARAESGKSWHSTHSLVGDKNIVL MGPPGSGKTTVGRILGDKLGCCVIDVDSDVLEKAWNMSASEKLQDVGNERFLEEEGKTVL NLSASGSVISLSGSNPMHDASMWHLKKNGIVVYLDVPLTDIISRLKSMRIDRIVGQNTGA SLRDSLKHVRLYYKKWYDARVFCESGASAEEVADKVLDVVKRYQDVDSETFISTRHVCLK DHDKKFPPKYFSEAVVEGLASDGGLFVPEKEFPKLSPGEWNNLIGATYIERAQVLLERCI HPADIPAAKLGEMIETAYGENFACSKVAPVRHLSGNQFILELFYGPTGSFKDLSLQLMPH IFAYCIPPGCNYVILVATSGDTGSAVLNGFSHLNKNDKERIAVVTFFPENGVSDFQKAEI IGSQRENGWAIGVRSDFDFCQTAIRKIFNDSDFTGFLAVEYGTILSSANSINWARLLPQI VYHASAYLELVNQRFISFGSPVDVCVPTGNFGNVLAAVYAKMMGIPIRKFICASNQNHVL TDFIKTGHYDLRNRKLAQTFSPSIDILKSSNLERHLYLMANKDGQLMANLYHQLESQLHF RIEKMLVEKLQQEFVADWCSEGECLAAISTTYNASGYILDPHTAVAKVVADKMQDKSCPV LIASTAHYSKFAPAIMQALGIKELNQTSSSQLYLLSSYNALPPPHEALLERMKQKEKMDY QVCVADVDVLKSHAEKLIQNWFVRKSE >ENSMUSP00000100017.1 pep:known chromosome:GRCm38:2:21205739:21214376:1 gene:ENSMUSG00000048550.17 transcript:ENSMUST00000102952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thnsl1 description:threonine synthase-like 1 (bacterial) [Source:MGI Symbol;Acc:MGI:2139347] MMGIPIRKFICASNQNHVLTDFIKTGHYDLRNRKLAQTFSPSIDILKSSNLERHLYLMAN KDGQLMANLYHQLESQLHFRIEKMLVEKLQQEFVADWCSEGECLAAISTTYNASGYILDP HTAVAKVVADKMQDKSCPVLIASTAHYSKFAPAIMQALGIKELNQTSSSQLYLLSSYNAL PPPHEALLERMKQKEKMDYQVCVADVDVLKSHAEKLIQNWFVRKSE >ENSMUSP00000100016.1 pep:known chromosome:GRCm38:2:21205787:21214308:1 gene:ENSMUSG00000048550.17 transcript:ENSMUST00000102951.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thnsl1 description:threonine synthase-like 1 (bacterial) [Source:MGI Symbol;Acc:MGI:2139347] MMGIPIRKFICASNQNHVLTDFIKTGHYDLRNRKLAQTFSPSIDILKSSNLERHLYLMAN KDGQLMANLYHQLESQLHFRIEKMLVEKLQQEFVADWCSEGECLAAISTTYNASGYILDP HTAVAKVVADKMQDKSCPVLIASTAHYSKFAPAIMQALGIKELNQTSSSQLYLLSSYNAL PPPHEALLERMKQKEKMDYQVCVADVDVLKSHAEKLIQNWFVRKSE >ENSMUSP00000115186.1 pep:known chromosome:GRCm38:2:21205759:21213279:1 gene:ENSMUSG00000048550.17 transcript:ENSMUST00000138965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thnsl1 description:threonine synthase-like 1 (bacterial) [Source:MGI Symbol;Acc:MGI:2139347] MMGIPIRKFICASNQNHVLTDFIKTGHYDLRNRKLAQTFSPSIDILKSSNLERHLYLMAN KDGQLMANLYHQLESQLHFRIEKMLVEKLQQEF >ENSMUSP00000119940.1 pep:known chromosome:GRCm38:2:21205773:21211542:1 gene:ENSMUSG00000048550.17 transcript:ENSMUST00000138914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thnsl1 description:threonine synthase-like 1 (bacterial) [Source:MGI Symbol;Acc:MGI:2139347] MLTLTRCHHLKQIAQECLSSLLVKVQSRTQLLLPR >ENSMUSP00000101150.1 pep:known chromosome:GRCm38:10:34389981:34397085:1 gene:ENSMUSG00000039462.4 transcript:ENSMUST00000105511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col10a1 description:collagen, type X, alpha 1 [Source:MGI Symbol;Acc:MGI:88445] MLPQIPFLLLMFLTLVHGMFYAERYQTPTGIKGPLASPKTQYFIPYAIKSKGIPVRGEQG IPGPPGPTGPRGHPGPSGPPGKPGYGSPGLQGEPGLPGPPGISATGKPGLPGPPGKPGER GPYGHKGDIGPAGLPGPRGPPGPPGIPGPAGISVPGKPGQQGLTGAPGPRGFPGEKGAQG APGVNGRKGETGYGSPGRPGERGLPGPQGPIGPPGPSGVGRRGENGFPGQPGIKGDRGFP GEMGPSGPPGPQGPPGKQGREGIGKPGAIGSPGQPGIPGEKGHPGAPGIAGPPGAPGFGK QGLPGLRGQRGPAGLPGAPGAKGERGPAGHPGEPGLPGSPGNMGPQGPKGIPGNHGIPGA KGEIGLVGPAGPPGARGARGPPGLDGKTGYPGEPGLNGPKGNPGLPGQKGDPGVGGTPGL RGPVGPVGAKGVPGHNGEAGPRGEPGIPGTRGPTGPPGVPGFPGSKGDPGNPGAPGPAGI ATKGLNGPTGPPGPPGPRGHSGEPGLPGPPGPPGPPGQAVMPDGFIKAGQRPRLSGMPLV SANHGVTGMPVSAFTVILSKAYPAVGAPIPFDEILYNRQQHYDPRSGIFTCKIPGIYYFS YHVHVKGTHVWVGLYKNGTPTMYTYDEYSKGYLDQASGSAIMELTENDQVWLQLPNAESN GLYSSEYVHSSFSGFLVAPM >ENSMUSP00000114026.1 pep:known chromosome:GRCm38:7:35200878:35215498:-1 gene:ENSMUSG00000001802.16 transcript:ENSMUST00000122409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp3 description:low density lipoprotein receptor-related protein 3 [Source:MGI Symbol;Acc:MGI:3584516] MEKRAAAGPEGAPGARAPLAVVCLVNLFLTGRLSSAVPALGKAGATWGFGFQAATEPTGT QAACSGKLEQHTERRGVIYSPAWPLNYPPGTNCSWYIQGDRGDMITISFRNFDVEESHQC SLDWLLLGPAAPPRQEAFRLCGSAIPPAFISARDHVWIFFHSDASSSGQAQGFRLSYIRG KLGQTSCQTDEFRCDNGKCLPGPWQCNMVDECGDGSDEGNCSAPASEPPGSLCPGGTFPC SGARSTRCLPVERRCDGTQDCGDGSDEAGCPDLACGRRLGSFYGSFASPDLFGAARGPSD LHCTWLVDTQDPRRVLLQLELRLGYDDYVQVYEGLGERGDRLLQTLSYRSNHRPVSLEAA QGRLTVAYHARARSAGHGFNATYQVKGYCLPWEQPCGSSEGDDDSTGEQGCFSEPQRCDG WWHCASGRDEQGCPACPPDQYPCEGGSGLCYAPADRCNNQKSCPDGADEKNCFSCQPGTF HCGTNLCIFETWRCDGQEDCQDGSDEHGCLAAVPRKVITAALIGSLVCGLLLVIALGCAF KLYSLRTQEYRAFETQMTRLEAEFVRREAPPSYGQLIAQGLIPPVEDFPVYSASQASVLQ NLRTAMRRQMRRHASRRGPSRRRLGRLWNRLFHRPRAPRGQIPLLTAARTSQTVLGDGLL QAAPGPVPEPPAPNTDTGSPREAGDGPPSGSGHAPEVGPSVPPPPLNLRDPEYRPEDKER KACVEPLEDSPAPVDTPPEPCLAQDPHPQTPTASGTQDPHSAEPLGVCRSPPPPCSPILE ASDDEALLVC >ENSMUSP00000113406.1 pep:known chromosome:GRCm38:7:35200879:35215292:-1 gene:ENSMUSG00000001802.16 transcript:ENSMUST00000118444.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp3 description:low density lipoprotein receptor-related protein 3 [Source:MGI Symbol;Acc:MGI:3584516] MEKRAAAGPEGAPGARAPLAVVCLVNLFLTGRLSSAVPALAACSGKLEQHTERRGVIYSP AWPLNYPPGTNCSWYIQGDRGDMITISFRNFDVEESHQCSLDWLLLGPAAPPRQEAFRLC GSAIPPAFISARDHVWIFFHSDASSSGQAQGFRLSYIRGKLGQTSCQTDEFRCDNGKCLP GPWQCNMVDECGDGSDEGNCSAPASEPPGSLCPGGTFPCSGARSTRCLPVERRCDGTQDC GDGSDEAGCPDLACGRRLGSFYGSFASPDLFGAARGPSDLHCTWLVDTQDPRRVLLQLEL RLGYDDYVQVYEGLGERGDRLLQTLSYRSNHRPVSLEAAQGRLTVAYHARARSAGHGFNA TYQVKGYCLPWEQPCGSSEGDDDSTGEQGCFSEPQRCDGWWHCASGRDEQGCPACPPDQY PCEGGSGLCYAPADRCNNQKSCPDGADEKNCFSCQPGTFHCGTNLCIFETWRCDGQEDCQ DGSDEHGCLAAVPRKVITAALIGSLVCGLLLVIALGCAFKLYSLRTQEYRAFETQMTRLE AEFVRREAPPSYGQLIAQGLIPPVEDFPVYSASQASVLQNLRTAMRRQMRRHASRRGPSR RRLGRLWNRLFHRPRAPRGQIPLLTAARTSQTVLGDGLLQAAPGPVPEPPAPNTDTGSPR EAGDGPPSGSGHAPEVGPSVPPPPLNLRDPEYRPEDKERKACVEPLEDSPAPVDTPPEPC LAQDPHPQTPTASGTQDPHSAEPLGVCRSPPPPCSPILEASDDEALLVC >ENSMUSP00000099416.3 pep:known chromosome:GRCm38:11:100092193:100096225:-1 gene:ENSMUSG00000048013.9 transcript:ENSMUST00000103127.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt35 description:keratin 35 [Source:MGI Symbol;Acc:MGI:1858899] MASKCLKASFSSGSLKSPGKAGGGSTRVSNMYSSSSCKLPSPSRGARSFSVCSAGLGRGN YRVSSCLPALCLPTGGFATSYGTGGGWFGEGILTGNEKETMQSLNDRLASYLEKVRQLEQ ENASLESRIREWCEQQVPYMCPDYQSYFRTMEELQKKTLCSKAENARLVVQIDNAKLAAD DFRTKYETEVSLRQLVEADINGLRRILDDLTLCKADLEAQVESLKEELLCLKKNHEEEVN SLRCQLGDRLNVEVDAAPPVDLNRVLDEMRCQYETLVENNRRDAEDWYDTQTEELNQQVV SSSEQLQSCQSDIIELRRTVNSLEIELQAQQSMRDALDSTLAETEGRYSSQLAQMQCMIG NVESQLGEIRADLERQNQEYQVLLDVRARLECEINTYRGLLESEDSKLPCNPCAPDYSSS KSCLPCLPAVSCSTGAARTTCSPRPVCVPCPGGRF >ENSMUSP00000093984.3 pep:known chromosome:GRCm38:X:153118786:153132861:1 gene:ENSMUSG00000071665.10 transcript:ENSMUST00000096265.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxr2 description:forkhead box R2 [Source:MGI Symbol;Acc:MGI:3511682] MDVKVKNRDFWYSLHGQVPGMLDWDMGNEFFLPCTMDQCSFAEQSLAKYKIQLTKPPALP QKKKSNFDDDGPPAEPSLWMWVNPNIVCPINSKEAPNTIHKILPSAPFPQTGESDYLGTQ RMVQSLSVLHTEHHQQQKLLIYSTAPDFIEEETKEQECTSSKKYSKKHTVCHGPHREKES WPRPPLNYSHLVALALKSSPSCGLNVQQIYNFTRQHFPYFRTAPEGWKNTIRHNLCSLTC FEKVPVDLEDEPDGKPRSFLWKLTDEGNRFFQEDTRVLAYARRESIKQSMRQPELIDLLF HL >ENSMUSP00000128452.1 pep:known chromosome:GRCm38:X:153126897:153132861:1 gene:ENSMUSG00000071665.10 transcript:ENSMUST00000163801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxr2 description:forkhead box R2 [Source:MGI Symbol;Acc:MGI:3511682] MDVKVKNRDFWYSLHGQVPGMLDWDMGNEFFLPCTMDQCSFAEQSLAKYKIQLTKPPALP QKKKSNFDDDGPPAEPSLWMWVNPNIVCPINSKEAPNTIHKILPSAPFPQTGESDYLGTQ RMVQSLSVLHTEHHQQQKLLIYSTAPDFIEEETKEQECTSSKKYSKKHTVCHGPHREKES WPRPPLNYSHLVALALKSSPSCGLNVQQIYNFTRQHFPYFRTAPEGWKNTIRHNLCSLTC FEKVPVDLEDEPDGKPRSFLWKLTDEGNRFFQEDTRVLAYARRESIKQSMRQPELIDLLF HL >ENSMUSP00000124802.1 pep:known chromosome:GRCm38:13:37947016:37949206:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000162849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] XEEEEKETEENPEPEEECRVEESTGAADAPEEDTASNQSLDLDFATKLMDFKLAESEAGS VDSQGPAQQEPKHACDTCGKNFKFLGTLSRHKKAHSCQEPKEEEAAAPSLENEGVGRAVE GPSPSPEPEEKPAESLAIDPTPGTREASVAKQNEETEGPTDGEGERPYKCQTCERTFTLK HSLVRHQRIHQKARHSKHHGKDSDKDERAEEDSEDESTHSATNPASENEAESAPSTSNHV AVTRSRKESLSTSGKECSPEERAAAEQAAEPSAPKEQASPGETDPQSPAAIVQDLLELCG KRPAPILAATDGASQLLGME >ENSMUSP00000105867.2 pep:known chromosome:GRCm38:13:37826039:37935545:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000110238.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] MTSNSPIGLEGSDLSSINTMMSAVMSVASVTENGGSPQGIKSPMKPPGPNRIGRRNQETK EEKSSYNCPLCEKICTTQHQLTMHIRQHNTDTGGADHACSICGKSLSSASSLDRHMLVHS GERPYKCTVCGQSFTTNGNMHRHMKIHEKDTNSTTAAAPPSPLKRRRLSSKRKLSHDAES EDPGPAKKMVEDGQSGDLDKMSDEIFHCPVCFKEFVCKYELETHMETHSDNPLRCDICCV TFRTHRGLLRHNALVHKQLPRDAMGRPFIQNNPSIPAGFHDLGFTDFSCRKFPRISQAWC ETNLRRCISEQHRFVCDTCDKAFPMLSSLILHRQSHIPADQGREKLQTKTLAAESLEQKA FLALLGLQHTKDVKPAPAEELLPDDNQAIQLQTLKYQLPQEPGCPTVLSVSPLDAASLGG SLTVLPATKENMKHLSLQPFQKGFIIQPDSSIVVKPISGESAIELADIQQILKMAASAPP QISLPPLSKAPATPLQAIFKHMPPLKPKPLVTPRTVVAASTPPPLINAQQASPGCISPSL PPQSLKFLKGSVEAVSNVHLLQSKSGIQPSTTTQLFLQQAGVELPGQPEMKTQLEQESII EALLPLNMEAKIKQEITEGDLKAIMTGPSGKKTPAMRKVLYPCRFCNQVFAFSGVLRAHV RSHLGISPYQCNICDYIAADKAALIRHIRTHSGERPYICKICHYPFTVKANCERHLRKKH LKATRKDIEKNIEYVSSPTAELVDAFCAPETVCRLCGEDLKHYRALRIHMRTHCSRGLGG CHKGRKPFECKECNAPFVAKRNCIHHILKQHLHVPEKDIESYVLATNSGLGPADTPTDAA SRGEEGSCVTFAECKPLATFLEPQNGFLHSSPTQPLPSHISVKLEPASSFAMDFNEPLDF SQKGLALVQVKQENVSSLLTSSSSSALYDCSMEPIDLSIPKSVKKGDKDTVVPSDAKKPE PEAGQAEPLSPRPPPCPTLSVTVEPKGSLETPTGTVVAVTTAAKLEPHTQPLQGSVQLAV PIYSPALVSNTPLLGNSAALLNNPALLRPLRPKPPLLLPKPSMTEELPPLASIAQIISSV SSAPTLLKTKVADPGPSITSSNTVATDSPGSSIPKAAATPTDTTSSKESSEPPPAASSPE EALPTEQGPAATSSSRKRGRKRGLRNRPLPNSSAVDLDSSGEFASIEKMLATTDTNKFSP FLQTAEDDTQEEVAGAPADQHGPADEEQGSPAEDRLLRAKRNSYANCLQKINCPHCPRVF PWASSLQRHMLTHTGKKALTAHQAVSLERKE >ENSMUSP00000105866.2 pep:known chromosome:GRCm38:13:37827393:37932693:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000110237.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] MTSNSPIGLEGSDLSSINTMMSAVMSVASVTENGGSPQGIKSPMKPPGPNRIGRRNQETK EEKSSYNCPLCEKICTTQHQLTMHIRQHNTDTGGADHACSICGKSLSSASSLDRHMLVHS GERPYKCTVCGQSFTTNGNMHRHMKIHEKDTNSTTAAAPPSPLKRRRLSSKRKLSHDAES EDPGPAKKMVEDGQSGDLDKMSDEIFHCPVCFKEFVCKYELETHMETHSDNPLRCDICCV TFRTHRGLLRHNALVHKQLPRDAMGRPFIQNNPSIPAGFHDLGFTDFSCRKFPRISQAWC ETNLRRCISEQHRFVCDTCDKAFPMLSSLILHRQSHIPADQGREKLQTKTLAAESLEQKA FLALLGLQHTKDVKPAPAEELLPDDNQAIQLQTLKYQLPQEPGCPTVLSVSPLDAASLGG SLTVLPATKENMKHLSLQPFQKGFIIQPDSSIVVKPISGESAIELADIQQILKMAASAPP QISLPPLSKAPATPLQAIFKHMPPLKPKPLVTPRTVVAASTPPPLINAQQASPGCISPSL PPQSLKFLKGSVEAVSNVHLLQSKSGIQPSTTTQLFLQQAGVELPGQPEMKTQLEQESII EALLPLNMEAKIKQEITEGDLKAIMTGPSGKKTPAMRKVLYPCRFCNQVFAFSGVLRAHV RSHLGISPYQCNICDYIAADKAALIRHIRTHSGERPYICKICHYPFTVKANCERHLRKKH LKATRKDIEKNIEYVSSPTAELVDAFCAPETVCRLCGEDLKHYRALRIHMRTHCSRGLGG CHKGRKPFECKECNAPFVAKRNCIHHILKQHLHVPEKDIESYVLATNSGLGPADTPTDAA SRGEEGSCVTFAECKPLATFLEPQNGFLHSSPTQPLPSHISVKLEPASSFAMDFNEPLDF SQKGLALVQVKQENVSSLLTSSSSSALYDCSMEPIDLSIPKSVKKGDKDTVVPSDAKKPE PEAGQAEPLSPRPPPCPTLSVTVEPKGSLETPTGTVVAVTTAAKLEPHTQPLQGSVQLAV PIYSPALVSNTPLLGNSAALLNNPALLRPLRPKPPLLLPKPSMTEELPPLASIAQIISSV SSAPTLLKTKVADPGPSITSSNTVATDSPGSSIPKAAATPTDTTSSKESSEPPPAASSPE EALPTEQGPAATSSSRKRGRKRGLRNRPLPNSSAVDLDSSGEFASIEKMLATTDTNKFSP FLQTAEDDTQEEVAGAPADQHGPADEEQGSPAEDRLLRAKRNSYANCLQKINCPHCPRVF PWASSLQRHMLTHTGKKALTAHQAVSLERKE >ENSMUSP00000121211.1 pep:known chromosome:GRCm38:13:37826932:37932691:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000149745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] MTSNSPIGLEGSDLSSINTMMSAVMSVASVTENGGSPQGIKSPMKPPGPNRIGRRNQETK EEKSSYNCPLCEKICTTQHQLTMHIRQHNTDTGGADHACSICGKSLSSASSLDRHMLVHS GERPYKCTVCGQSFTTNGNMHRHMKIHEKDTNSTTAAAPPSPLKRRRLSSKRKLSHDAES EDPGPAKKMVEDGQSGDLDKMSDEIFHCPVCFKEFVCKYELETHMETHSDNPLRCDICCV TFRTHRGLLRHNALVHKQLPRDAMGRPFIQNNPSIPAGFHDLGFTDFSCRKFPRISQAWC ETNLRRCISEQHRFVCDTCDKAFPMLSSLILHRQSHIPADQGREKLQTKTLAAESLEQKA FLALLGLQHTKDVKPAPAEELLPDDNQAIQLQTLKYQLPQEPGCPTVLSVSPLDAASLGG SLTVLPATKENMKHLSLQPFQKGFIIQPDSSIVVKPISGESAIELADIQQILKMAASAPP QISLPPLSKAPATPLQAIFKHMPPLKPKPLVTPRTVVAASTPPPLINAQQASPGCISPSL PPQSLKFLKGSVEAVSNVHLLQSKSGIQPSTTTQLFLQQAGVELPGQPEMKTQLEQESII EALLPLNMEAKIKQEITEGDLKAIMTGPSGKKTPAMRKVLYPCRFCNQVFAFSGVLRAHV RSHLGISPYQCNICDYIAADKAALIRHIRTHSGERPYICKICHYPFTVKANCERHLRKKH LKATRKDIEKNIEYVSSPTAELVDAFCAPETVCRLCGEDLKHYRALRIHMRTHCSRGLGG CHKGRKPFECKECNAPFVAKRNCIHHILKQHLHVPEKDIESYVLATNSGLGPADTPTDAA SRGEEGSCVTFAECKPLATFLEPQNGFLHSSPTQPLPSHISVKLEPASSFAMDFNEPLDF SQKGLALVQVKQENVSSLLTSSSSSALYDCSMEPIDLSIPKSVKKGDKDTVVPSDAKKPE PEAGQAEPLSPRPPPCPTLSVTVEPKGSLETPTGTVVAVTTAAKLEPHTQPLQGSVQLAV PIYSPALVSNTPLLGNSAALLNNPALLRPLRPKPPLLLPKPSMTEELPPLASIAQIISSV SSAPTLLKTKVADPGPSITSSNTVATDSPGSSIPKAAATPTDTTSSKESSEPPPAASSPE EALPTEQGPAATSSSRKRGRKRGLRNRPLPNSSAVDLDSSGEFASIEKMLATTDTNKFSP FLQTAEDDTQEEVAGAPADQHGPADEEQGSPAEDRLLRAKRNSYANCLQKINCPHCPRVF PWASSLQRHMLTHTGKKALTAHQAVSLERKE >ENSMUSP00000118262.1 pep:known chromosome:GRCm38:13:37888434:37952002:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000124268.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] MTSNSPIGLEGSDLSSINTMMSAVMSVASVTENGGSPQGIKSPMKPPGPNRIGRRNQVRV CGFGGSS >ENSMUSP00000115599.1 pep:known chromosome:GRCm38:13:37826315:37952000:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000128570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] MTSNSPIGLEGSDLSSINTMMSAVMSVASVTENGGSPQGIKSPMKPPGPNRIGRRNQETK EEKSSYNCPLCEKICTTQHQLTMHIRQHNTDTGGADHACSICGKSLSSASSLDRHMLVHS GERPYKCTVCGQSFTTNGNMHRHMKIHEKDTNSTTAAAPPSPLKRRRLSSKRKLSHDAES EDPGPAKKMVEDGQSGDLDKMSDEIFHCPVCFKEFVCKYELETHMETHSDNPLRCDICCV TFRTHRGLLRHNALVHKQLPRDAMGRPFIQNNPSIPAGFHDLGFTDFSCRKFPRISQAWC ETNLRRCISEQHRFVCDTCDKAFPMLSSLILHRQSHIPADQGREKLQTKTLAAESLEQKA FLALLGLQHTKDVKPAPAEELLPDDNQAIQLQTLKYQLPQEPGCPTVLSVSPLDAASLGG SLTVLPATKENMKHLSLQPFQKGFIIQPDSSIVVKPISGESAIELADIQQILKMAASAPP QISLPPLSKAPATPLQAIFKHMPPLKPKPLVTPRTVVAASTPPPLINAQQASPGCISPSL PPQSLKFLKGSVEAVSNVHLLQSKSGIQPSTTTQLFLQQAGVELPGQPEMKTQLEQESII EALLPLNMEAKIKQEITEGDLKAIMTGPSGKKTPAMRKVLYPCRFCNQVFAFSGVLRAHV RSHLGISPYQCNICDYIAADKAALIRHIRTHSGERPYICKICHYPFTVKANCERHLRKKH LKATRKDIEKNIEYVSSPTAELVDAFCAPETVCRLCGEDLKHYRALRIHMRTHCSRGLGG CHKGRKPFECKECNAPFVAKRNCIHHILKQHLHVPEKDIESYVLATNSGLGPADTPTDAA SRGEEGSCVTFAECKPLATFLEPQNGFLHSSPTQPLPSHISVKLEPASSFAMDFNEPLDF SQKGLALVQVKQENVSSLLTSSSSSALYDCSMEPIDLSIPKSVKKGDKDTVVPSDAKKPE PEAGQAEPLSPRPPPCPTLSVTVEPKGSLETPTGTVVAVTTAAKLEPHTQPLQGSVQLAV PIYSPALVSNTPLLGNSAALLNNPALLRPLRPKPPLLLPKPSMTEELPPLASIAQIISSV SSAPTLLKTKVADPGPSITSSNTVATDSPGSSIPKAAATPTDTTSSKESSEPPPAASSPE EALPTEQGPAATSSSRKRGRKRGLRNRPLPNSSAVDLDSSGEFASIEKMLATTDTNKFSP FLQTAEDDTQEEVAGAPADQHGPADEEQGSPAEDRLLRAKRNSYANCLQKINCPHCPRVF PWASSLQRHMLTHTGQKPFPCQKCDAFFSTKSNCERHQLRKHGVTTCSLRRNGLIPPKES DVGSHDSTDSQSDTDTLTTPGEVLDLTAQAKEQPPAEGASEISPASQDLAIKEAKAAAAP SEEEEEKETEENPEPEEECRVEESTGAADAPEEDTASNQSLDLDFATKLMDFKLAESEAG SVDSQGPAQQEPKHACDTCGKNFKFLGTLSRHKKAHSCQEPKEEEAAAPSLENEGVGRAV EGPSPSPEPEEKPAESLAIDPTPGTREASVAKQNEETEGPTDGEGTAEKRGDGDKRPKTD SPKSMASKADKRKKVCSVCNKRFWSLQDLTRHMRSHTGERPYKCQTCERTFTLKHSLVRH QRIHQKARHSKHHGKDSDKDERAEEDSEDESTHSATNPASENEAESAPSTSNHVAVTRSR KESLSTSGKECSPEERAAAEQAAEPSAPKEQASPGETDPQSPAAIVQDLLELCGKRPAPI LAATDGASQLLGME >ENSMUSP00000118420.1 pep:known chromosome:GRCm38:13:37826932:37947024:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000124373.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] MTSNSPIGLEGSDLSSINTMMSAVMSVASVTENGGSPQGIKSPMKPPGPNRIGRRNQVRV CGFGGSS >ENSMUSP00000124745.1 pep:known chromosome:GRCm38:13:37825975:37893826:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000138043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] MTSN >ENSMUSP00000116557.1 pep:known chromosome:GRCm38:13:37857934:37893914:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000138110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] MTSNSPIGLEGSDLSSINTMMSAVMSVASVTENG >ENSMUSP00000117048.1 pep:known chromosome:GRCm38:13:37778400:37893849:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000122842.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] MTSNSPIGLEGS >ENSMUSP00000049265.7 pep:known chromosome:GRCm38:13:37888871:37951994:1 gene:ENSMUSG00000039087.16 transcript:ENSMUST00000037232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rreb1 description:ras responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:2443664] MTSNSPIGLEGSDLSSINTMMSAVMSVASVTENGGSPQGIKSPMKPPGPNRIGRRNQETK EEKSSYNCPLCEKICTTQHQLTMHIRQHNTDTGGADHACSICGKSLSSASSLDRHMLVHS GERPYKCTVCGQSFTTNGNMHRHMKIHEKDTNSTTAAAPPSPLKRRRLSSKRKLSHDAES EDPGPAKKMVEDGQSGDLDKMSDEIFHCPVCFKEFVCKYELETHMETHSDNPLRCDICCV TFRTHRGLLRHNALVHKQLPRDAMGRPFIQNNPSIPAGFHDLGFTDFSCRKFPRISQAWC ETNLRRCISEQHRFVCDTCDKAFPMLSSLILHRQSHIPADQGREKLQTKTLAAESLEQKA FLALLGLQHTKDVKPAPAEELLPDDNQAIQLQTLKYQLPQEPGCPTVLSVSPLDAASLGG SLTVLPATKENMKHLSLQPFQKGFIIQPDSSIVVKPISGESAIELADIQQILKMAASAPP QISLPPLSKAPATPLQAIFKHMPPLKPKPLVTPRTVVAASTPPPLINAQQASPGCISPSL PPQSLKFLKGSVEAVSNVHLLQSKSGIQPSTTTQLFLQQAGVELPGQPEMKTQLEQESII EALLPLNMEAKIKQEITEGDLKAIMTGPSGKKTPAMRKVLYPCRFCNQVFAFSGVLRAHV RSHLGISPYQCNICDYIAADKAALIRHIRTHSGERPYICKICHYPFTVKANCERHLRKKH LKATRKDIEKNIEYVSSPTAELVDAFCAPETVCRLCGEDLKHYRALRIHMRTHCSRGLGG CHKGRKPFECKECNAPFVAKRNCIHHILKQHLHVPEKDIESYVLATNSGLGPADTPTDAA SRGEEGSCVTFAECKPLATFLEPQNGFLHSSPTQPLPSHISVKLEPASSFAMDFNEPLDF SQKGLALVQVKQENVSSLLTSSSSSALYDCSMEPIDLSIPKSVKKGDKDTVVPSDAKKPE PEAGQAEPLSPRPPPCPTLSVTVEPKGSLETPTGTVVAVTTAAKLEPHTQPLQGSVQLAV PIYSPALVSNTPLLGNSAALLNNPALLRPLRPKPPLLLPKPSMTEELPPLASIAQIISSV SSAPTLLKTKVADPGPSITSSNTVATDSPGSSIPKAAATPTDTTSSKESSEPPPAASSPE EALPTEQGPAATSSSRKRGRKRGLRNRPLPNSSAVDLDSSGEFASIEKMLATTDTNKFSP FLQTAEDDTQEEVAGAPADQHGPADEEQGSPAEDRLLRAKRNSYANCLQKINCPHCPRVF PWASSLQRHMLTHTGQKPFPCQKCDAFFSTKSNCERHQLRKHGVTTCSLRRNGLIPPKES DVGSHDSTDSQSDTDTLTTPGEVLDLTAQAKEQPPAEGASEISPASQDLAIKEAKAAAAP SEEEEEKETEENPEPEEECRVEESTGAADAPEEDTASNQSLDLDFATKLMDFKLAESEAG SVDSQGPAQQEPKHACDTCGKNFKFLGTLSRHKKAHSCQEPKEEEAAAPSLENEGVGRAV EGPSPSPEPEEKPAESLAIDPTPGTREASVAKQNEETEGPTDGEGTAEKRGDGDKRPKTD SPKSMASKADKRKKVCSVCNKRFWSLQDLTRHMRSHTGERPYKCQTCERTFTLKHSLVRH QRIHQKARHSKHHGKDSDKDERAEEDSEDESTHSATNPASENEAESAPSTSNHVAVTRSR KESLSTSGKECSPEERAAAEQAAEPSAPKEQASPGETDPQSPAAIVQDLLELCGKRPAPI LAATDGASQLLGME >ENSMUSP00000047126.7 pep:known chromosome:GRCm38:10:34303609:34418552:-1 gene:ENSMUSG00000039480.13 transcript:ENSMUST00000047885.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5dc1 description:5'-nucleotidase domain containing 1 [Source:MGI Symbol;Acc:MGI:2442446] MAQHFSLGACDVVGFDLDHTLCRYNLPESARLIYNSFAQFLVKEKGYDEGLLTLTPEDWD FCCKGLALDLEDGTFIKLAADGTVLRASHGTKMMTPEALTEAFGKKEWRHCVSDKRCTSD KPGVSDIPCCSGKCYFYDNYFDLPGALLCARVVDSLTKQNRGQKTFDFWKDVVAGIQHNF KMSAFKENCGFFFPEIKRNLGKYVHRCPESVRKWLRQLKDAGKITMLITSSHSDYCKLLG SYILGEDFADLFDIVITNALKPGFFSHFPSQRPFYTLENDEEKDELPSLDKPGWYSQGNA AHLYELLKKMTSKPEPKVVYFGDSMHSDIFPAHHYTNWETVLILEELQGPEMEKPEEAEP LEKRGKYEAPMVKPLNTLSNKWGSYFIDSVSGRGRAEDSVVYTWSSKRISTYSTIAIPNI ESIAELPLDYKFTRFSTNNSKMAGYYPLVHHTLGSQDTDSKIISTEK >ENSMUSP00000097553.3 pep:known chromosome:GRCm38:10:34397068:34418481:-1 gene:ENSMUSG00000039480.13 transcript:ENSMUST00000099973.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5dc1 description:5'-nucleotidase domain containing 1 [Source:MGI Symbol;Acc:MGI:2442446] MAQHFSLGACDVVGFDLDHTLCRYNLPESARLIYNSFAQFLVKEKGYDEGLLTLTPEDWD FCCKGLALDLEDGTFIKLAADGTVLRASHGTKMMTPEALTEAFGKKEWRHCVSDKRCTSD KPGVSDIPCCSGKCYFYDNYFDLPGALLCARVVDSLTKQNRGQKTFDFWKDVVAGIQHNF KMSAFKEPGMCPSHHDRETSP >ENSMUSP00000101151.1 pep:known chromosome:GRCm38:10:34303609:34418539:-1 gene:ENSMUSG00000039480.13 transcript:ENSMUST00000105512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5dc1 description:5'-nucleotidase domain containing 1 [Source:MGI Symbol;Acc:MGI:2442446] MAQHFSLGACDVVGFDLDHTLCRYNLPESARLIYNSFAQFLVKEKGYDEGLLTLTPEDWD FCCKGLALDLEDGTFIKLAADGTVLRASHGTKMMTPEALTEAFGKKEWRHCVSDKRCTSD KPGVSDIPCCSGKCYFYDNYFDLPGALLCARVVDSLTKNRGQKTFDFWKDVVAGIQHNFK MSAFKENCGFFFPEIKRNLGKYVHRCPESVRKWLRQLKDAGKITMLITSSHSDYCKLLGS YILGEDFADLFDIVITNALKPGFFSHFPSQRPFYTLENDEEKDELPSLDKPGWYSQGNAA HLYELLKKMTSKPEPKVVYFGDSMHSDIFPAHHYTNWETVLILEELQGPEMEKPEEAEPL EKRGKYEAPMVKPLNTLSNKWGSYFIDSVSGRGRAEDSVVYTWSSKRISTYSTIAIPNIE SIAELPLDYKFTRFSTNNSKMAGYYPLVHHTLGSQDTDSKIISTEK >ENSMUSP00000039951.4 pep:known chromosome:GRCm38:10:81264713:81266934:-1 gene:ENSMUSG00000034932.4 transcript:ENSMUST00000046114.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl54 description:mitochondrial ribosomal protein L54 [Source:MGI Symbol;Acc:MGI:1913297] MAAAHLLRASRVWARWHPRALPVLRRPGGFSIREYAKKPVGKGGKGGVAAEALKDPEVCT DPTQLTTHAMGVNIYKEGQDVALKADSEYPTWLFQVNLGPPKKLEELEPESREYWRLLRK QNIWRHNRLSKNKKL >ENSMUSP00000034452.5 pep:known chromosome:GRCm38:8:123840831:123859530:-1 gene:ENSMUSG00000031971.15 transcript:ENSMUST00000034452.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccsap description:centriole, cilia and spindle associated protein [Source:MGI Symbol;Acc:MGI:1920670] MSPGSGVKSEYMKRYREPRWDEYAPCYRELLRYRLGRRLLEQAHAPWLWDAWGPDSPSDS SASPSPAPRGALGEPSAPSAREEEQPVGERGAELRDAEEQDTVLPAPPKKDTEEKPEEHK TKETDGAPSGPGPRQQPSALCARGSKKATRSPQRSTSKIKENKHPFALYGWGERQMDMGS QKTHNVCASASVHEIHESALRAKNRRQVEKRKLAAQRQRAHSVDVEKNQRVKPASAENPW LTEYMRCYSARA >ENSMUSP00000113319.1 pep:known chromosome:GRCm38:8:123840844:123860209:-1 gene:ENSMUSG00000031971.15 transcript:ENSMUST00000122421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccsap description:centriole, cilia and spindle associated protein [Source:MGI Symbol;Acc:MGI:1920670] MSPGSGVKSEYMKRYREPRWDEYAPCYRELLRYRLGRRLLEQAHAPWLWDAWGPDSPSDS SASPSPAPRGALGEPSAPSAREEEQPVGERGAELRDAEEQDTVLPAPPKKDTEEKPEEHK TKETDGAPSGPGPRQQPSALCARGSKKATRSPQRSTSKIKENKHPFALYGWGERQMDMGS QKTHNVCASASVHEIHESALRAKNRRQVEKRKLAAQRQRAHSVDVEKNQRVKPASAENPW LTEYMRCYSARA >ENSMUSP00000113924.1 pep:known chromosome:GRCm38:9:63138170:63147011:-1 gene:ENSMUSG00000022245.15 transcript:ENSMUST00000119146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skor1 description:SKI family transcriptional corepressor 1 [Source:MGI Symbol;Acc:MGI:2443473] MALLCGLGSGGMEALTTQLGPGREGSSSPNSKQELQPYSGSSALKPNQVGETSLYGVPIV SLVIDGQERLCLAQISNTLLKNYSYNEIHNRRVALGITCVQCTPVQLEILRRAGAMPISS RRCGMITKREAERLCKSFLGEHKPPKLPENFAFDVVHECAWGSRGSFIPARYNSSRAKCI KCGYCSMYFSPNKFIFHSHRTPDAKYTQPDAANFNSWRRHLKLSDKSATDELSHAWEDVK AMFNGGTRKRTFSLQGGGGGGANSGSGGAGKGGAGGGGGPGCGSEMAPGPPPHKSLRCGE DEAAGPPGPPPPHPQRALGLAAAASGPAGPGGPGGSAGVRSYPVIPVPSKGFGLLQKLPP PLFPHPYGFPTAFGLCPKKDDPVLVAGEPKGGPGTGSSGGAGTAAGAGGPGAGHLPPGAG PGPGGGTMFWGHQPSGAAKDAAAVAAAAAAATVYPTFPMFWPAAGSLPVPPYPAAQSQAK AVAAAVAAAAAAAAAAAGGGGPESLDGAEPAKEGSLGTEERCPSALSRGPLDEDGADEAL PPSLGPLPPPPPPPARKSSYVSAFRPVVKDAESIAKLYGSAREAYGSGPARGPVPGTGTG GGYVSPDFLSEGSSSYHSASPDVDTADEPEVDVESNRFPDEEGAQDDTEPRAPSTGGGPD GDQPAGPPSVTSSGADGPTDSADGDSPRPRRRLGPPPAIRSAFGDLVADDVVRRTERSPP SGGYELREPCGPLGGPGAAKVYAPERDEHVKSTAVAAALGPAASYLCTPETHEPDKEDNH STTADDLETRKSFSDQRSVSQPSPANTDRGEDGLTLDVTGTQLVEKDIENLAREELQKLL LEQMELRKKLEREFQSLKDNFQDQMKRELAYREEMVQQLQIVRDTLCNELDQERKARYAI QQKLKEAHDALHHFSCKMLTPRHCTGNCSFKPPLLP >ENSMUSP00000112312.2 pep:known chromosome:GRCm38:9:63138170:63148961:-1 gene:ENSMUSG00000022245.15 transcript:ENSMUST00000116613.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skor1 description:SKI family transcriptional corepressor 1 [Source:MGI Symbol;Acc:MGI:2443473] MEALTTQLGPGREGSSSPNSKQELQPYSGSSALKPNQVGETSLYGVPIVSLVIDGQERLC LAQISNTLLKNYSYNEIHNRRVALGITCVQCTPVQLEILRRAGAMPISSRRCGMITKREA ERLCKSFLGEHKPPKLPENFAFDVVHECAWGSRGSFIPARYNSSRAKCIKCGYCSMYFSP NKFIFHSHRTPDAKYTQPDAANFNSWRRHLKLSDKSATDELSHAWEDVKAMFNGGTRKRT FSLQGGGGGGANSGSGGAGKGGAGGGGGPGCGSEMAPGPPPHKSLRCGEDEAAGPPGPPP PHPQRALGLAAAASGPAGPGGPGGSAGVRSYPVIPVPSKGFGLLQKLPPPLFPHPYGFPT AFGLCPKKDDPVLVAGEPKGGPGTGSSGGAGTAAGAGGPGAGHLPPGAGPGPGGGTMFWG HQPSGAAKDAAAVAAAAAAATVYPTFPMFWPAAGSLPVPPYPAAQSQAKAVAAAVAAAAA AAAAAAGGGGPESLDGAEPAKEGSLGTEERCPSALSRGPLDEDGADEALPPSLGPLPPPP PPPARKSSYVSAFRPVVKDAESIAKLYGSAREAYGSGPARGPVPGTGTGGGYVSPDFLSE GSSSYHSASPDVDTADEPEVDVESNRFPDEEGAQDDTEPRAPSTGGGPDGDQPAGPPSVT SSGADGPTDSADGDSPRPRRRLGPPPAIRSAFGDLVADDVVRRTERSPPSGGYELREPCG PLGGPGAAKVYAPERDEHVKSTAVAAALGPAASYLCTPETHEPDKEDNHSTTADDLETRK SFSDQRSVSQPSPANTDRGEDGLTLDVTGTQLVEKDIENLAREELQKLLLEQMELRKKLE REFQSLKDNFQDQMKRELAYREEMVQQLQIVRDTLCNELDQERKARYAIQQKLKEAHDAL HHFSCKMLTPRHCTGNCSFKPPLLP >ENSMUSP00000055037.7 pep:known chromosome:GRCm38:9:63138176:63146980:-1 gene:ENSMUSG00000022245.15 transcript:ENSMUST00000055281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skor1 description:SKI family transcriptional corepressor 1 [Source:MGI Symbol;Acc:MGI:2443473] MALLCGLGQVTLRLWVPLPFQSENRIGFLAAGAFLRSGGMEALTTQLGPGREGSSSPNSK QELQPYSGSSALKPNQVGETSLYGVPIVSLVIDGQERLCLAQISNTLLKNYSYNEIHNRR VALGITCVQCTPVQLEILRRAGAMPISSRRCGMITKREAERLCKSFLGEHKPPKLPENFA FDVVHECAWGSRGSFIPARYNSSRAKCIKCGYCSMYFSPNKFIFHSHRTPDAKYTQPDAA NFNSWRRHLKLSDKSATDELSHAWEDVKAMFNGGTRKRTFSLQGGGGGGANSGSGGAGKG GAGGGGGPGCGSEMAPGPPPHKSLRCGEDEAAGPPGPPPPHPQRALGLAAAASGPAGPGG PGGSAGVRSYPVIPVPSKGFGLLQKLPPPLFPHPYGFPTAFGLCPKKDDPVLVAGEPKGG PGTGSSGGAGTAAGAGGPGAGHLPPGAGPGPGGGTMFWGHQPSGAAKDAAAVAAAAAAAT VYPTFPMFWPAAGSLPVPPYPAAQSQAKAVAAAVAAAAAAAAAAAGGGGPESLDGAEPAK EGSLGTEERCPSALSRGPLDEDGADEALPPSLGPLPPPPPPPARKSSYVSAFRPVVKDAE SIAKLYGSAREAYGSGPARGPVPGTGTGGGYVSPDFLSEGSSSYHSASPDVDTADEPEVD VESNRFPDEEGAQDDTEPRAPSTGGGPDGDQPAGPPSVTSSGADGPTDSADGDSPRPRRR LGPPPAIRSAFGDLVADDVVRRTERSPPSGGYELREPCGPLGGPGAAKVYAPERDEHVKS TAVAAALGPAASYLCTPETHEPDKEDNHSTTADDLETRKSFSDQRSVSQPSPANTDRGED GLTLDVTGTQLVEKDIENLAREELQKLLLEQMELRKKLEREFQSLKDNFQDQMKRELAYR EEMVQQLQIVRDTLCNELDQERKARYAIQQKLKEAHDALHHFSCKMLTPRHCTGNCSFKP PLLP >ENSMUSP00000115478.1 pep:known chromosome:GRCm38:10:119454034:120087260:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000147356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MPGWKKNIPICLQAEEQERDESPYTKSASQTKPPDGALAVRRQSIPEEFKGSTVVELMKK EGTTLGLTVSGGIDKDGKPRVSNLRQGGIAARSDQLDVGDYIKAVNGINLAKFRHDEIIS LLKNVGERVVLEVEYELPPVSVQGSSVMFRTVEVTLHKEGNTFGFVIRGGAHDDRNKSRP VVITCVRPGGPADREGTIKPGDRLLSVDGIRLLGTTHAEAMSILKQCGQEATLLIEYDVS VMDSVATASGPLLVEVAKTPGASLGVALTTSVCCNKQVIVIDKIKSASIADRCGALHVGD HILSIDGTSMEYCTLAEATQFLANTTDQVKLEILPHHQTRLALKGPDHVKIQRSDRQHPW DAWASNQCGVHTNHHHNTYHPDHCRVPALTFPKALPPNSPPAMVPSSSPTSMSAYSLSSL NMGTLPRSLYSTSPRGTMMRRRLKKKDFKSSLSLASSTVGLAGQVVHTETTEVVLTADPV TGFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTEDSTFEEA NQLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPSSRKPGDPL VISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRKDEDN SDEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHIGDRI LAINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPIPGHSGDLGDGEE DPSPIQKPGKLSDAYPSTVPSVDSAVDSWDGSGIDASYGSQGSTFQTSGYNYNTYDWRSP KQRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWSQALEDLET CGQSGILRELEATIMSGSTMSLNHEAPMARSQLGRQASFQERSSSRPHYSQTTRSNTLPS DVGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGMEDFGFSVADGLLEKGVYVKNIR PAGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLVISRNPLASQKSIEQ PALPSDWSEQNSAFFQQPSHGIPGDTVYFWQSL >ENSMUSP00000077033.6 pep:known chromosome:GRCm38:10:119454314:120075597:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000077871.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MPGWKKNIPICLQAEEQEREEFKGSTVVELMKKEGTTLGLTVSGGIDKDGKPRVSNLRQG GIAARSDQLDVGDYIKAVNGINLAKFRHDEIISLLKNVGERVVLEVEYELPPVSVQGSSV MFRTVEVTLHKEGNTFGFVIRGGAHDDRNKSRPVVITCVRPGGPADREGTIKPGDRLLSV DGIRLLGTTHAEAMSILKQCGQEATLLIEYDVSVMDSVATASGPLLVEVAKTPGASLGVA LTTSVCCNKQVIVIDKIKSASIADRCGALHVGDHILSIDGTSMEYCTLAEATQFLANTTD QVKLEILPHHQTRLALKGPDHAAMVPSSSPTSMSAYSLSSLNMGTLPRSLYSTSPRGTMM RRRLKKKDFKSSLSLASSTVGLAGQVVHTETTEVVLTADPVTGFGIQLQGSVFATETLSS PPLISYIEADSPAERCGVLQIGDRVMAINGIPTEDSTFEEANQLLRDSSITSKVTLEIEF DVAESVIPSSGTFHVKLPKKHSVELGITISSPSSRKPGDPLVISDIKKGSVAHRTGTLEL GDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRKDEDNSDEQESSGAIIYTVELKRY GGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHIGDRILAINSSSLKGKPLSEAIHL LQMAGETVTLKIKKQTDAQSASSPKKFPIPGHSGDLGDGEEDPSPIQKPGKLSDAYPSTV PSVDSAVDSWDGSGIDASYGSQGSTFQTSGYNYNTYDWRSPKQRTSLSPVPKPRSQTYPD VGLSNEDWDRSTASGFVGASDSADAEQEENFWSQALEDLETCGQSGILRELEATIMSGST MSLNHEAPMARSQLGRQASFQERSSSRPHYSQTTRSNTLPSDVGRKSVTLRKMKQEIKEI MSPTPVELHKVTLYKDSGMEDFGFSVADGLLEKGVYVKNIRPAGPGDVGGLKPYDRLLQV NHVRTRDFDCCLVVPLIAESGNKLDLVISRNPLASQKSIEQPALPSDWSEQNSAFFQQPS HGGNLETREPTNTL >ENSMUSP00000042436.8 pep:known chromosome:GRCm38:10:119454320:120077139:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000041962.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MPGWKKNIPICLQAEEQERDESPYTKSASQTKPPDGALAVRRQSIPEEFKGSTVVELMKK EGTTLGLTVSGGIDKDGKPRVSNLRQGGIAARSDQLDVGDYIKAVNGINLAKFRHDEIIS LLKNVGERVVLEVEYELPPVSVQGSSVMFRTVEVTLHKEGNTFGFVIRGGAHDDRNKSRP VVITCVRPGGPADREGTIKPGDRLLSVDGIRLLGTTHAEAMSILKQCGQEATLLIEYDVS VMDSVATASGPLLVEVAKTPGASLGVALTTSVCCNKQVIVIDKIKSASIADRCGALHVGD HILSIDGTSMEYCTLAEATQFLANTTDQVKLEILPHHQTRLALKGPDHAAMVPSSSPTSM SAYSLSSLNMGTLPRSLYSTSPRGTMMRRRLKKKDFKSSLSLASSTVGLAGQVVHTETTE VVLTADPVTGFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPT EDSTFEEANQLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPS SRKPGDPLVISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKL KIRKDEDNSDEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTG AIHIGDRILAINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPIPGHS GDLGDGEEDPSPIQKPGKLSDAYPSTVPSVDSAVDSWDGSGIDASYGSQGSTFQTSGYNY NTYDWRSPKQRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWS QALEDLETCGQSGILRELEATIMSGSTMSLNHEAPMARSQLGRQASFQERSSSRPHYSQT TRSNTLPSDVGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGMEDFGFSVADGLLEK GVYVKNIRPAGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLVISRNPL ASQKSIEQPALPSDWSEQNSAFFQQPSHGGNLETREPTNTL >ENSMUSP00000100897.2 pep:known chromosome:GRCm38:10:119819389:120077139:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000105262.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MIAVSFKCRCQILRRLTKDESPYTKSASQTKPPDGALAVRRQSIPEEFKGSTVVELMKKE GTTLGLTVSGGIDKDGKPRVSNLRQGGIAARSDQLDVGDYIKAVNGINLAKFRHDEIISL LKNVGERVVLEVEYELPPVSVQGSSVMFRTVEVTLHKEGNTFGFVIRGGAHDDRNKSRPV VITCVRPGGPADREGTIKPGDRLLSVDGIRLLGTTHAEAMSILKQCGQEATLLIEYDVSV MDSVATASGPLLVEVAKTPGASLGVALTTSVCCNKQVIVIDKIKSASIADRCGALHVGDH ILSIDGTSMEYCTLAEATQFLANTTDQVKLEILPHHQTRLALKGPDHAAMVPSSSPTSMS AYSLSSLNMGTLPRSLYSTSPRGTMMRRRLKKKDFKSSLSLASSTVGLAGQVVHTETTEV VLTADPVTGFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTE DSTFEEANQLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPSS RKPGDPLVISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLK IRKDEDNSDEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGA IHIGDRILAINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPIPGHSG DLGDGEEDPSPIQKPGKLSDAYPSTVPSVDSAVDSWDGSGIDASYGSQGSTFQTSGYNYN TYDWRSPKQRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWSQ ALEDLETCGQSGILRELEATIMSGSTMSLNHEAPMARSQLGRQASFQERSSSRPHYSQTT RSNTLPSDVGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGMEDFGFSVADGLLEKG VYVKNIRPAGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLVISRNPLA SQKSIEQPALPSDWSEQNSAFFQQPSHGGNLETREPTNTL >ENSMUSP00000123234.1 pep:known chromosome:GRCm38:10:119819512:120075597:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000138410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MIAVSFKCRCQILRRLTKDESPYTKSASQTKPPDGALAVRRQSIPEEFKGSTVVELMKKE GTTLGLTVSGGIDKDGKPRVSNLRQGGIAARSDQLDVGDYIKAVNGINLAKFRHDEIISL LKNVGERVVLEVEYELPPVSVQGSSVMFRTVEVTLHKEGNTFGFVIRGGAHDDRNKSRPV VITCVRPGGPADREGTIKPGDRLLSVDGIRLLGTTHAEAMSILKQCGQEATLLIEYDVSV MDSVATASGPLLVEVAKTPGASLGVALTTSVCCNKQVIVIDKIKSASIADRCGALHVGDH ILSIDGTSMEYCTLAEATQFLANTTDQVKLEILPHHQTRLALKGPDHVKIQRSDRQHPWD AWASNQCGVHTNHHHNTYHPDHCRVPALTFPKALPPNSPPAMVPSSSPTSMSAYSLSSLN MGTLPRSLYSTSPRGTMMRRRLKKKDFKSSLSLASSTVGLAGQVVHTETTEVVLTADPVT GFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTEDSTFEEAN QLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPSSRKPGDPLV ISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRKDEDNS DEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHIGDRIL AINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPIPGHSGDLGDGEED PSPIQKPGKLSDAYPSTVPSVDSAVDSWDGSGIDASYGSQGSTFQTSGYNYNTYDWRSPK QRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWSQALEDLETC GQSGILRELEEKADRRVSLRNMTLLATIMSGSTMSLNHEAPMARSQLGRQASFQERSSSR PHYSQTTRSNTLPSDVGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGMEDFGFSVA DGLLEKGVYVKNIRPAGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLV ISRNPLASQKSIEQPALPSDWSEQNSAFFQQPSHGGNLETREPTNTL >ENSMUSP00000121670.1 pep:known chromosome:GRCm38:10:119819512:120075597:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000144825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MIAVSFKCRCQILRRLTKEEFKGSTVVELMKKEGTTLGLTVSGGIDKDGKPRVSNLRQGG IAARSDQLDVGDYIKAVNGINLAKFRHDEIISLLKNVGERVVLEVEYELPPVSVQGSSVM FRTVEVTLHKEGNTFGFVIRGGAHDDRNKSRPVVITCVRPGGPADREGTIKPGDRLLSVD GIRLLGTTHAEAMSILKQCGQEATLLIEYDVSVMDSVATASGPLLVEVAKTPGASLGVAL TTSVCCNKQVIVIDKIKSASIADRCGALHVGDHILSIDGTSMEYCTLAEATQFLANTTDQ VKLEILPHHQTRLALKGPDHAAMVPSSSPTSMSAYSLSSLNMGTLPRSLYSTSPRGTMMR RRLKKKDFKSSLSLASSTVGLAGQVVHTETTEVVLTADPVTGFGIQLQGSVFATETLSSP PLISYIEADSPAERCGVLQIGDRVMAINGIPTEDSTFEEANQLLRDSSITSKVTLEIEFD VAESVIPSSGTFHVKLPKKHSVELGITISSPSSRKPGDPLVISDIKKGSVAHRTGTLELG DKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRKDEDNSDEQESSGAIIYTVELKRYG GPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHIGDRILAINSSSLKGKPLSEAIHLL QMAGETVTLKIKKQTDAQSASSPKKFPIPGHSGDLGDGEEDPSPIQKPGKLSDAYPSTVP SVDSAVDSWDGSGIDASYGSQGSTFQTSGYNYNTYDWRSPKQRTSLSPVPKPRSQTYPDV GLSNEDWDRSTASGFVGASDSADAEQEENFWSQALEDLETCGQSGILRELEATIMSGSTM SLNHEAPMARSQLGRQASFQERSSSRPHYSQTTRSNTLPSDVGRKSVTLRKMKQEIKEIM SPTPVELHKVTLYKDSGMEDFGFSVADGLLEKGVYVKNIRPAGPGDVGGLKPYDRLLQVN HVRTRDFDCCLVVPLIAESGNKLDLVISRNPLASQKSIEQPALPSDWSEQNSAFFQQPSH GGNLETREPTNTL >ENSMUSP00000118073.1 pep:known chromosome:GRCm38:10:119819398:120076516:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000147454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MIAVSFKCRCQILRRLTKDESPYTKSASQTKPPDGALAVRRQSIPEEFKGSTVVELMKKE GTTLGLTVSGGIDKDGKPRVSNLRQGGIAARSDQLDVGDYIKAVNGINLAKFRHDEIISL LKNVGERVVLEVEYELPPVSVQGSSVMFRTVEVTLHKEGNTFGFVIRGGAHDDRNKSRPV VITCVRPGGPADREGTIKPGDRLLSVDGIRLLGTTHAEAMSILKQCGQEATLLIEYDVSV MDSVATASGPLLVEVAKTPGASLGVALTTSVCCNKQVIVIDKIKSASIADRCGALHVGDH ILSIDGTSMEYCTLAEATQFLANTTDQVKLEILPHHQTRLALKGPDHVKIQRSDRQHPWD AWASNQCGVHTNHHHNTYHPDHCRVPALTFPKALPPNSPPAMVPSSSPTSMSAYSLSSLN MGTLPRSLYSTSPRGTMMRRRLKKKDFKSSLSLASSTVGLAGQVVHTETTEVVLTADPVT GFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTEDSTFEEAN QLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPSSRKPGDPLV ISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRKDEDNS DEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHIGDRIL AINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPIPGHSGDLGDGEED PSPIQKPGKLSDAYPSTVPSVDSAVDSWDGSGIDASYGSQGSTFQTSGYNYNTYDWRSPK QRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWSQALEDLETC GQSGILRELEATIMSGSTMSLNHEAPMARSQLGRQASFQERSSSRPHYSQTTRSNTLPSD VGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGMEDFGFSVADGLLEKGVYVKNIRP AGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLVISRNPLASQKSIEQP ALPSDWSEQNSAFFQQPSHGGNLETREPTNTL >ENSMUSP00000118397.1 pep:known chromosome:GRCm38:10:119819515:120075517:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000148954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MIAVSFKCRCQILRRLTKDESPYTKSASQTKPPDGALAVRRQSIPEEFKGSTVVELMKKE GTTLGLTVSGGIDKDGKPRVSNLRQGGIAARSDQLDVGDYIKAVNGINLAKFRHDEIISL LKNVGERVVLEVEYELPPVSVQGSSVMFRTVEVTLHKEGNTFGFVIRGGAHDDRNKSRPV VITCVRPGGPADREGTIKPGDRLLSVDGIRLLGTTHAEAMSILKQCGQEATLLIEYDVSV MDSVATASGPLLVEVAKTPGASLGVALTTSVCCNKQVIVIDKIKSASIADRCGALHVGDH ILSIDGTSMEYCTLAEATQFLANTTDQVKLEILPHHQTRLALKGPDHAAMVPSSSPTSMS AYSLSSLNMGTLPRSLYSTSPRGTMMRRRLKKKDFKSSLSLASSTVGLAGQVVHTETTEV VLTADPVTGFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTE DSTFEEANQLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPSS RKPGDPLVISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLK IRKDEDNSDEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGA IHIGDRILAINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPIPGHSG DLGDGEEDPSPIQKPGKLSDAYPSTVPSVDSAVDSWDGSGIDASYGSQGSTFQTSGYNYN TYDWRSPKQRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWSQ ALEDLETCGQSGILRELEEKADRRVSLRNMTLLATIMSGSTMSLNHEAPMARSQLGRQAS FQERSSSRPHYSQTTRSNTLPSDVGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGM EDFGFSVADGLLEKGVYVKNIRPAGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAE SGNKLDLVISRNPLASQKSIEQPALPSDWSEQNSAFFQQPSHGGNLETREPTNTL >ENSMUSP00000122323.1 pep:known chromosome:GRCm38:10:119819525:120087060:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000144959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MIAVSFKCRCQILRRLTKDESPYTKSASQTKPPDGALAVRRQSIPEEFKGSTVVELMKKE GTTLGLTVSGGIDKDGKPRVSNLRQGGIAARSDQLDVGDYIKAVNGINLAKFRHDEIISL LKNVGERVVLEVEYELPPVSVQGSSVMFRTVEVTLHKEGNTFGFVIRGGAHDDRNKSRPV VITCVRPGGPADREGTIKPGDRLLSVDGIRLLGTTHAEAMSILKQCGQEATLLIEYDVSV MDSVATASGPLLVEVAKTPGASLGVALTTSVCCNKQVIVIDKIKSASIADRCGALHVGDH ILSIDGTSMEYCTLAEATQFLANTTDQVKLEILPHHQTRLALKGPDHVKIQRSDRQHPWD AWASNQCGVHTNHHHNTYHPDHCRVPALTFPKALPPNSPPAMVPSSSPTSMSAYSLSSLN MGTLPRSLYSTSPRGTMMRRRLKKKDFKSSLSLASSTVGLAGQVVHTETTEVVLTADPVT GFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTEDSTFEEAN QLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPSSRKPGDPLV ISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRKDEDNS DEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHIGDRIL AINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPIPGHSGDLGDGEED PSPIQKPGKLSDAYPSTVPSVDSAVDSWDGSGIDASYGSQGSTFQTSGYNYNTYDWRSPK QRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWSQALEDLETC GQSGILRELEATIMSGSTMSLNHEAPMARSQLGRQASFQERSSSRPHYSQTTRSNTLPSD VGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGMEDFGFSVADGLLEKGVYVKNIRP AGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLVISRNPLASQKSIEQP ALPSDWSEQNSAFFQQPSHGIPGDTVYFWQSL >ENSMUSP00000123288.1 pep:known chromosome:GRCm38:10:119992720:120087261:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000130387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MTAKRAERKEMKRPNSFHLPFRPSLRKGQKKNAAHVSLASSTVGLAGQVVHTETTEVVLT ADPVTGFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTEDST FEEANQLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPSSRKP GDPLVISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRK DEDNSDEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHI GDRILAINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPIPGHSGDLG DGEEDPSPIQKPGKLSDAYPSTVPSVDSAVDSWDGSGIDASYGSQGSTFQTSGYNYNTYD WRSPKQRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWSQALE DLETCGQSGILRELEATIMSGSTMSLNHEAPMARSQLGRQASFQERSSSRPHYSQTTRSN TLPSDVGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGMEDFGFSVADGLLEKGVYV KNIRPAGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLVISRNPLASQK SIEQPALPSDWSEQNSAFFQQPSHGIPGDTVYFWQSL >ENSMUSP00000100896.2 pep:known chromosome:GRCm38:10:119992922:120077011:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000105261.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MTAKRAERKEMKRPNSFHLPFRPSLRKGQKKNAAHVSLASSTVGLAGQVVHTETTEVVLT ADPVTGFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTEDST FEEANQLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPSSRKP GDPLVISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRK DEDNSDEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHI GDRILAINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDGSTFQTSGYNYNTYDWRSPK QRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWSQALEDLETC GQSGILRELEATIMSGSTMSLNHEAPMARSQLGRQASFQERSSSRPHYSQTTRSNTLPSD VGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGMEDFGFSVADGLLEKGVYVKNIRP AGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLVISRNPLASQKSIEQP ALPSDWSEQNSAFFQQPSHGGNLETREPTNTL >ENSMUSP00000122349.1 pep:known chromosome:GRCm38:10:119993070:120075793:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000154238.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MTAKRAERKEMKRPNSFHLPFRPSLRKGQKKNAAHVSLASSTVGLAGQVVHTETTEVVLT ADPVTGFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTEDST FEEANQLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPSSRKP GDPLVISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRK DEDNSDEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHI GDRILAINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDAQSASSPKKFPIPGHSGDLG DGEEDPSPIQKPGKLSDAYPSTVPSVDSAVDSWDGSGIDASYGSQGSTFQTSGYNYNTYD WRSPKQRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWSQALE DLETCGQSGILRELEEKADRRVSLRNMTLLATIMSGSTMSLNHEAPMARSQLGRQASFQE RSSSRPHYSQTTRSNTLPSDVGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGMEDF GFSVADGLLEKGVYVKNIRPAGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGN KLDLVISRNPLASQKSIEQPALPSDWSEQNSAFFQQPSHGGNLETREPTNTL >ENSMUSP00000080016.2 pep:known chromosome:GRCm38:10:119993106:120087260:1 gene:ENSMUSG00000034813.16 transcript:ENSMUST00000081260.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Grip1 description:glutamate receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921303] MTAKRAERKEMKRPNSFHLPFRPSLRKGQKKNAAHVSLASSTVGLAGQVVHTETTEVVLT ADPVTGFGIQLQGSVFATETLSSPPLISYIEADSPAERCGVLQIGDRVMAINGIPTEDST FEEANQLLRDSSITSKVTLEIEFDVAESVIPSSGTFHVKLPKKHSVELGITISSPSSRKP GDPLVISDIKKGSVAHRTGTLELGDKLLAIDNIRLDNCSMEDAVQILQQCEDLVKLKIRK DEDNSDEQESSGAIIYTVELKRYGGPLGITISGTEEPFDPIIISSLTKGGLAERTGAIHI GDRILAINSSSLKGKPLSEAIHLLQMAGETVTLKIKKQTDGSTFQTSGYNYNTYDWRSPK QRTSLSPVPKPRSQTYPDVGLSNEDWDRSTASGFVGASDSADAEQEENFWSQALEDLETC GQSGILRELEATIMSGSTMSLNHEAPMARSQLGRQASFQERSSSRPHYSQTTRSNTLPSD VGRKSVTLRKMKQEIKEIMSPTPVELHKVTLYKDSGMEDFGFSVADGLLEKGVYVKNIRP AGPGDVGGLKPYDRLLQVNHVRTRDFDCCLVVPLIAESGNKLDLVISRNPLASQKSIEQP ALPSDWSEQNSAFFQQPSHGASQRKTAPRPT >ENSMUSP00000000755.7 pep:known chromosome:GRCm38:8:123142847:123158270:-1 gene:ENSMUSG00000000739.13 transcript:ENSMUST00000000755.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult5a1 description:sulfotransferase family 5A, member 1 [Source:MGI Symbol;Acc:MGI:1931463] MTERMNTTEIFDGVRFPGFIHTPESLKAACSFQFQDTDILLVTFPKSGTTWMQQVLSLIF CEGHLWPIHNLPTWARVPWIEQISFDSLHSKRNTSWPRLFTSHLNAKGLSPALMKSKAKV VYMARNPKDVLVSFFHFHRIAGFLPNPSSFEDFVDEFLEGTGFFGSWFDHVKGWLSLQKD LTLFFVTYEELHQEPRSTIRKLSEFLGRPLGPKEEDIILEHSSFSFMSQSNIVNYSLLSK EIIDQSEGKFFRKGVVGNWREYFTPELNEKFNAVYQSKMGDSGLCLPWTMD >ENSMUSP00000123501.1 pep:known chromosome:GRCm38:8:123142849:123158270:-1 gene:ENSMUSG00000000739.13 transcript:ENSMUST00000122819.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sult5a1 description:sulfotransferase family 5A, member 1 [Source:MGI Symbol;Acc:MGI:1931463] MTERMNTTEIFDGVRFPGFIHTPESLKAACSFQFQDTDILLVTFPKSGTTWMQQVLSLIF CEGHLWPIHNLPTWARVPWIEQISFDSLHSKRNTSWPRLFTSHLNAKGLSPALMKSKAKV VYMARNPKDVLVSFFHFHRIAGFLPNPSSFEDFVDEFLEGTGTSLYYPQVK >ENSMUSP00000122415.1 pep:known chromosome:GRCm38:8:123145446:123158257:-1 gene:ENSMUSG00000000739.13 transcript:ENSMUST00000137998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult5a1 description:sulfotransferase family 5A, member 1 [Source:MGI Symbol;Acc:MGI:1931463] MTERMNTTEIFDGVRFPGFIHTPESLKAACSFQFQDTDILLVTFPKSGTTWMQQVLSLIF CEGHLWPIHNLPTWARVPWIEQISFDSLHSKRNTSWPRLFTSHLNAKGLSPALMKSKAKV VYMARNPKDVLVSFFHFHRIAGFLPNPSSFEDFVDEFLEGTGFFGSWFDHVKGWLSLQKD LTLFFVTYEELHQEPRSTIRKLSEFLGRPLGPKEEDIIL >ENSMUSP00000116815.1 pep:known chromosome:GRCm38:8:123148120:123158228:-1 gene:ENSMUSG00000000739.13 transcript:ENSMUST00000146007.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sult5a1 description:sulfotransferase family 5A, member 1 [Source:MGI Symbol;Acc:MGI:1931463] MTERMNTTEIFDGVRFPGFIHTPESLKAACSFQFQDTDILLVTFPKSGCQGLWGKSNL >ENSMUSP00000048752.7 pep:known chromosome:GRCm38:18:65265529:65393887:-1 gene:ENSMUSG00000032845.15 transcript:ENSMUST00000035548.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpk2 description:alpha-kinase 2 [Source:MGI Symbol;Acc:MGI:2449492] MTDPGCPERRTLCFLSTLLSQKVPEKSDVVLRCMIAGQPKPEVTWYKNGQAIDLGGTVSS YEFFENQYIHLLHLSCCTQSDAAVYQVSARNCVGMICCSASLEVQCLQDPQVSPDPGGGR DAAGECKTEIREEDSINHTDEKWNPCKKGESTADSFLDKFNHLSSPQIVARGDSGASNSE NPQYIKETRQRMGQYNSNNMQENSFNSNNTAEKQDVSQLWTVNATVPGLVSDGLGYEESN ESVSPSHQTPKVQKYISFSLPLPETTLGPYPEDSNSINMQPGPQVSSEDSDSDYELCPEI TLTYTEEFSDDDLEYLECSDVMTDYSNAVWQRSLQGTDRVFLLESDDEEMEFNECGLGGC EHFFTEMGCGPQVSGGMWSMNVATGFCSYHSQPQEVRVRSSGTSGHSPLPLHSEMTLTLG PHQDETAKMTEPGRAPLPTAPEAVENDCSGIRGETRDNPEAGEEFSGDNLQTMDKVETEA SVKPLSGGSDKTEVKQGLESLARERTDEKYPGSKKAALRPTRARRPGMKANTKKQLLRDS APKGTLDLLPKEPTRQPLPGSYGQEPTHTEAGAPGWDSHFHAEVCIPLPAEQDSKILRPP ADPLSKEEDSSFEGGGALLNKLFEASQIPDRTDHLQMQIQETIGESSSLDQMLAFSVPAE ESSTFAGATTHSVSNLSEINRENLSLAQYPGLESCPQSLQQEGRPNRDRDLPGALWAESA CELSLLEDNEEEESQPPASVALPQGDGVPCREPEGLSDSFPQPTAPSLPLENVGSGSRVR EAAGGVGCFEAGDQETCYATMDLLVGAPVDKYLPQEICPEDLELTEGQSEVCDLCSPDKI LAVLQTQGSEPPRSTDKRSQDGKSAEGLLFNSTFTWDTAKEASEDAVGETAADVENPPST FSSTLPYSERGFGETQPLCSETISFVKDSEGSYRSSSLSIPAAIDTLASYSSDRECSKEQ SAESTANVDCHQVTREMEGISTNAAEVHEIKCHSVSVPQDNDFDVGADQVSCEARDEDNS QSLPDDDSQSGRSLSSSTGEATGETLVPAPSSAGDHGHFSMPEGQGLCSRALQMDNQPVC QSQAMEGAHSRGLEEHFQEKGSGMKHGIRPQSTSHQVSLSANDFQEILPSIPTMQQETNV EPLEHSLADSREEIECSSDPRTSDLVVAEKTVGEDSHLVVSVPALPDILLGEKDDVGLGS WAVGGKVKIITLEAPVFEIWPPELVRHPGYKEAEAGLTMPGRSWALSDILRAGATRSEPG ALGGAAWVPSPQADALMALGANRDTWLGAAPDRQANCNCLSSQCLSQPRFLESSVDPVED KELEVTDSPSEVSKTGEMEMPETLNEEQEETQQILRHPAVVNQSVNFPRILESSVDPIDD RGELEGVWPEKPEPSDSSVEGNEFIVGNTCQRVDIQPASLQLPHPQDSGEIIPYEHTTNQ NRVDGERAEAKTSLPDKAKAEAEAVVWQAQGPGEEGQGIPSVCSMSQTQDGGDRSLGEAG QRGTDETEVISPLSPLSSCLTGVTHTCVKAETNNSTGHIYGGSEPRTRQSVIPMKTEKGT IESKCGNHVRSSDDLTNTPCTSSPKGNVTRLSISHGLEELKSEKLQIAETKPLNSSDSPT MTLALISGECESEKDPKSLLRRDPCPKGSTLDSGKKSRDQQQKPVAAQVSKAPGDQSAMA GSEEGKKKQEASGSGHLTAGIKKKILSRVAALRLRLEEKENSRKNSIVKKTPKFERSLSR TDEKRDPKRAPCKAEGKAPVLLKRIQAEMAPEHSGNIKLSCQFSEIHEDSTVCWTKDSKS IAQAKKSAGDNSSVSLAIVQAGQKDQGLYYCCLKNSYGKVTAEFNLTAEVLKQLSSHTEY RGCEEIEFSQLIFKEDVFNDSYFGDHLRGQISTEELHFGEGVHRKAFRSKVMQGLMPVFQ PGHACVLKVHNAVAHGTRNNDELVQRNYKLAAQECYVQNTARYYAKIYAAEAQPLEGFGE VPEIIPIFLIHRPENNIPYATVEEELIGEFVKYSIRDGKEINFLRRDSEAGQKCCTFQHW VYQKTSGCLLVTDMQGVGMKLTDVGIATLARGYKGFKGNCSMTFIDQFRALHQCNKYCKM LGLKSLQNNSQKPKKPIVGKGRVPTNATQVKTPESETPPAERKT >ENSMUSP00000114658.1 pep:known chromosome:GRCm38:18:65274743:65349534:-1 gene:ENSMUSG00000032845.15 transcript:ENSMUST00000141250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpk2 description:alpha-kinase 2 [Source:MGI Symbol;Acc:MGI:2449492] DNLQTMDKVETEASVKPLSGGSDKTEVKQGLESLARERTDEKYPGSKKAALRPTRARRPG MKANTKKQLLRDSAPKGTLDLLPKEPTRQPLPGSYGQEPTHTEAGAPGWDSHFHAEVCIP LPAEQDSKILRPPADPLSKEEDSSFEGGGALLNKLFEASQIPDRTDHLQMQIQETIGESS SLDQMLAFSVPAEESSTFAGATTHSVSNLSEINRENLSLAQYPGLESCPQSLQQEGRPNR DRDLPGALWAESACELSLLEDNEEEESQPPASVALPQGDGVPCREPEGLSDSFPQPTAPS LPLENVGSGSRVREAAGGVGCFEAGDQETCYATMDLLVGAPVDKYLPQEICPEDLELTEG QSEVCDLCSPDKILAVLQTQGSEPPRSTDKRSQDGKSAEGLLFNSTFTWDTAKEASEDAV GETAADVENPPSTFSSTLPYSERGFGETQPLCSETISFVKDSEGSYRSSSLSIPAAIDTL ASYSSDRECSKEQSAESTANVDCHQVTREMEGISTNAAEVHEIKCHSVSVPQDNDFDVGA DQVSCEARDEDNSQSLPDDDSQSGRSLSSSTGEATGETLVPAPSSAGDHGHFSMPEGQGL CSRALQMDNQPVCQSQAMEGAHSRGLEEHFQEKGSGMKHGIRPQSTSHQVSLSANDFQEI LPSIPTMQQETNVEPLEHSLADSREEIECSSDPRTSDLVVAEKTVGEDSHLVVSVPALPD ILLGEKDDVGLGSWAVGGKVKIITLEAPVFEIWPPELVRHPGYKEAEAGLTMPGRSWALS DILRAGATRSEPGALGGAAWVPSPQADALMALGANRDTWLGAAPDRQANCNCLSSQCLSQ PRFLESSVDPVEDKELEVTDSPSEVSKTGEMEMPETLNEEQEETQQILRHPAVVNQSVNF PRILESSVDPIDDRGELEGVWPEKPEPSDSSVEGNEFIVGNTCQRVDIQPASLQLPHPQD SGEIIPYEHTTNQNRVDGERAEAKTSLPDKAKAEAEAVVWQAQGPGEEGQGIPSVCSMSQ TQDGGDRSLGEAGQRGTDETEVISPLSPLSSCLTGVTHTCVKAETNNSTGHIYGGSEPRT RQSVIPMKTEKGTIESKCGNHVRSSDDLTNTPCTSSPKGNVTRLSISHGLEELKSEKLQI AETKPLNSSDSPTMTLALISGECESEKDPKSLLRRDPCPKGSTLDSGKKSRDQQQKPVAA QVSKAPGDQSAMAGSEEGKKKQEASGSGHLTAGIKKKILSRVAALRLRLEEKENSRKNSI VKKTPKFERSLSRTDEKRDPKRAPCKAEGKAPVLLKRIQAEMAPEHSGNIKLSCQFSEIH EDSTVCWTKDSKSIAQAKKSAGDNSSVSLAIVQAGQKDQGLYYCCLKNSYGKVTAEFNLT AEVLKQLSSHTEYRGCEEIEFSQLIFKEDVFNDSYFGDHLRGQISTEELHFGEGVHRKAF RSKVMQGLMPVFQPGHACVLKVHNAVAHGTRNNDELVQRNYKLAAQECYVQNTARYYAKI YAAEAQPLEGFGEVPEIIPIFLIHRPENNIPYATVEEELIGEFVKYSIRDGKEINFLRRD SEAGQKCCTFQHWVYQKTSGCLLVTDMQGVGMKLTDVGIATLARGNSP >ENSMUSP00000108083.1 pep:known chromosome:GRCm38:X:159532668:159593081:1 gene:ENSMUSG00000044150.12 transcript:ENSMUST00000112464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830080D01Rik description:RIKEN cDNA A830080D01 gene [Source:MGI Symbol;Acc:MGI:2685992] MARSRSRSPRWKQRSLSPQSRNFEYHEERHFHGHYDPEYRHDQQRPFTWRMDDEKHGQNK PRIPPRVNSYHRSYVNRSPSPNVKPVEKFDTYKPHQEYFPGRGDDDRRSQYMPTYTESAA TYMEHERDCYIPTVQGRYTPDDHRGRGRGSGRGEKPPQMSLGKPPKMSLGKPPQMSLADS LRFKEKWHEDELRHQRVQEESYPQSPRRGSEDFGTRNPFQKRYPEDHDFRKYGYTSKRPT DAARYENRDPARIPKWKPEHSFLPFQEKKEEWSFGAQGHRYTEREYPERSSTTRVSYDYR HKHHKLSESEQDFPDGRFHKHLKEEDRKYSSIKAPANRELDCFSTTRGREIENEQINGPF YLYNKNSVSYNHTNIKDADLEPCNDKWKKKISKEDCRKENASFSKQFDTSPKPEEKCYSL IKKKPLSVKVDRNKTDTFRSTSRYSAERQISHDLVAIGKTSDNFHPVFQHLDSTQNPENK PTEEFAQEIITLIHKVKADSFVTPDITLNERFSRIKNRQDADFNQTKSNSDPEFHRRIDM SLDDFQNKYTMVYEPDKTLVKVIEPNDLRHDIERRRKERLQNEDENIFHMASPTERNHQS PSFSKVKTIRADGFQKPPHFIKSNFRKFIQKPYINYTMQRKDAIDQKIFRVEENHQNRRG SKGSFKNFLGGRFQPHYKSHLVQKSMYIQAKYQRLRFAGPRGFITNKFRNRFLRKKKEYP VLPRTNNLELLQVEPTLYEDLTEHLIFVRNWN >ENSMUSP00000051031.6 pep:known chromosome:GRCm38:X:159532719:159592394:1 gene:ENSMUSG00000044150.12 transcript:ENSMUST00000057180.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830080D01Rik description:RIKEN cDNA A830080D01 gene [Source:MGI Symbol;Acc:MGI:2685992] MARSRSRSPRWKQRSLSPQSRNFEYHEERHFHGHYDPEYRHDQQRPFTWRMDDEKHGQNK PRIPPRVNSYHRSYVNRSPSPNVKPVEKFDTYKPHQEYFPGRGDDDRRSQYMPTYTESAA TYMEHERDCYIPTVQGRYTPDDHRGRGRGSGRGEKPPQMSLGKPPKMSLGKPPQMSLADS LRFKEKWHEDELRHQRVQEESYPQSPRRGSEDFGTRNPFQKRYPEDHDFRKYGYTSKRPT DAARYENRDPARIPKWKPEHSFLPFQEKKEEWSFGAQGHRYTEREYPERSSTTRVSYDYR HKHHKLSESEQDFPDGRFHKHLKEEDRKYSSIKAPANRELDCFSTTRGREIENEQINGPF YLYNKNSVSYNHTNIKDADLEPCNDKWKKKISKEDCRKENASFSKQFDTSPKPEEKCYSL IKKKPLSVKVDRNKTDTFRSTSRYSAERQISHDLVAIGKTSDNFHPVFQHLDSTQNPENK PTEEFAQEIITLIHKVKADSFVTPDITLNERFSRIKNRQDADFNQTKSNSDPEFHRRIDM SLDDFQNKYTMVYEPDKTLVKVIEPNDLRHDIERRRKERLQNEDENIFHMASPTERTILC REKMPLIRRYLELRKIIRTEEALKDLLRTFWVADSSLIINHTWYRRACIFRLNTSAYGLL DQEDLLPINSETDF >ENSMUSP00000121868.1 pep:known chromosome:GRCm38:X:159526688:159551839:1 gene:ENSMUSG00000044150.12 transcript:ENSMUST00000144598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830080D01Rik description:RIKEN cDNA A830080D01 gene [Source:MGI Symbol;Acc:MGI:2685992] MARSRSRSPRWKQRSLSPQSRNFEYHEERHFHGHYDPEYRHDQ >ENSMUSP00000116320.1 pep:known chromosome:GRCm38:X:159541755:159551889:1 gene:ENSMUSG00000044150.12 transcript:ENSMUST00000131623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830080D01Rik description:RIKEN cDNA A830080D01 gene [Source:MGI Symbol;Acc:MGI:2685992] MARSRSRSPRWKQRSLSPQSRNFEYHEERHFHGHYDPEYRHDQQRPFTWRMDDEKHGQNK >ENSMUSP00000101921.1 pep:known chromosome:GRCm38:7:127214462:127218498:-1 gene:ENSMUSG00000000486.13 transcript:ENSMUST00000106314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept1 description:septin 1 [Source:MGI Symbol;Acc:MGI:1858916] MDKEYVGFAALPNQLHRKSVKKGFDFTLMVAGESGLGKSTLINSLFLTNLYEDRQVPDAS ARTAQTLTIERRGVEIEEGGIKVKLTLVDTPGFGDSVDCSDCWLPVVRFIEEQFEQYLRD ESGLNRKNIQDSRVHCCLYFISPFGRGLRPLDVAFLRAVHEKVNIIPVIGKADALMPRET QALKQKIRDQLKEEEINIYQFPECDSDEDEEFKKQNEEMKENIPFAVVGSCEVVRDGTRP VRGRRYSWGTVEVENPHHCDFLNLRRMLVQTHLQDLKEVTHDLLYEGYRARCLQSLARPG ARDRASRSKLSRQSATEIPLPMLPLADTEKLIREKDEELRRMQEMLEKMQAQMQQSQAQG EQSDVL >ENSMUSP00000101920.1 pep:known chromosome:GRCm38:7:127214643:127218303:-1 gene:ENSMUSG00000000486.13 transcript:ENSMUST00000106313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept1 description:septin 1 [Source:MGI Symbol;Acc:MGI:1858916] MVAGESGLGKSTLINSLFLTNLYEDRQVPDASARTAQTLTIERRGVEIEEGGIKVKLTLV DTPGFGDSVDCSDCWLPVVRFIEEQFEQYLRDESGLNRKNIQDSRVHCCLYFISPFGRGL RPLDVAFLRAVHEKVNIIPVIGKADALMPRETQALKQKIRDQLKEEEINIYQFPECDSDE DEEFKKQNEEMKENIPFAVVGSCEVVRDGTRPVRGRRYSWGTVEVENPHHCDFLNLRRML VQTHLQDLKEVTHDLLYEGYRARCLQSLARPGARDRASRSKLSRQSATEIPLPMLPLADT EKLIREKDEELRRMQEMLEKMQAQMQQSQAQGEQSDVL >ENSMUSP00000146307.1 pep:known chromosome:GRCm38:7:127214771:127218471:-1 gene:ENSMUSG00000000486.13 transcript:ENSMUST00000152267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept1 description:septin 1 [Source:MGI Symbol;Acc:MGI:1858916] MDKEYVGFAALPNQLHRKSVKKGFDFTLMVAGESGLGKSTLINSLFLTNLYEDRQVPDAS ARTAQTLTIERRGVEIEEGGIKVKLTLVDTPGFGDSVDCSDCWLPVVRFIEEQFEQYLRD ESGLNRKNIQDSRVHCCLYFISPFGRGLRPLDVAFLRAVHEKVNIIPVIGKADALMPRET QALKQKENIPFAVVGSCEVVRDGTRPVRGRRYSWGTVEVENPHHCDFLNLRRMLVQTHLQ DLKEVTHDLLYEGYRARCLQSLARPGARDRASRSKLSRQSATEIPLPMLPLADTEKLIRE KDEELRRMQEMLEKMQAQMQQSQAQGEQS >ENSMUSP00000114468.1 pep:known chromosome:GRCm38:7:127215885:127218390:-1 gene:ENSMUSG00000000486.13 transcript:ENSMUST00000142356.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept1 description:septin 1 [Source:MGI Symbol;Acc:MGI:1858916] MVAGESGLGKSTLINSLFLTNLYEDRQVPDASARTAQTLTIERRGVEIEEGGIKVKLTLV DTPGFGDSVDCSDCWLPVVRFIEEQFEQYLRDESGLNRKNIQDSRVHCCLYFISPFGRGL RPLDVAFLRAVHEKVNIIPVIGKADALMPRETQALKQKIRDQLKEEEINIYQFPECDSDE DEEFKK >ENSMUSP00000145906.1 pep:known chromosome:GRCm38:7:127216728:127232646:-1 gene:ENSMUSG00000000486.13 transcript:ENSMUST00000133913.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept1 description:septin 1 [Source:MGI Symbol;Acc:MGI:1858916] MVAGESGLGKSTLINSLFLTNLYEDRQVPDASARTAQTLTIERRGVEIEEGGIKVKLTLV DTPGFGDSVDCSDCWLPVVRFIEEQFEQYLRDESGLNRKNIQDSRVHCCLYFISPFGRGL RPLDVAFLRAVHEKVNIIPVIGKAD >ENSMUSP00000062741.5 pep:known chromosome:GRCm38:15:11033717:11037991:-1 gene:ENSMUSG00000060735.4 transcript:ENSMUST00000058007.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxfp3 description:relaxin family peptide receptor 3 [Source:MGI Symbol;Acc:MGI:2441827] MQVASATPAATVRKAAAGDELSEFFALTPDLLEVANASGNASLQLQDLWWELGLELPDGA APGHPPGGGGAESTDTEARVRILISAVYWVVCALGLAGNLLVLYLMKSKQGWRKSSINLF VTNLALTDFQFVLTLPFWAVENALDFKWPFGKAMCKIVSMVTSMNMYASVFFLTAMSVAR YHSVASALKSHRTRGRGRGDCCGQSLRESCCFSAKVLCGLIWASAALASLPNAIFSTTIR VLGEELCLMHFPDKLLGWDRQFWLGLYHLQKVLLGFLLPLSIISLCYLLLVRFISDRRVV GTTDAVGAAAAPGGGLSTASARRRSKVTKSVTIVVLSFFLCWLPNQALTTWSILIKFNAV PFSQEYFQCQVYAFPVSVCLAHSNSCLNPILYCLVRREFRKALKNLLWRIASPSLTNMRP FTATTKPEPEDHGLQALAPLNAAAEPDLIYYPPGVVVYSGGRYDLLPSSSAY >ENSMUSP00000001834.3 pep:known chromosome:GRCm38:10:85938637:85957823:-1 gene:ENSMUSG00000001783.3 transcript:ENSMUST00000001834.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtcb description:RNA 2',3'-cyclic phosphate and 5'-OH ligase [Source:MGI Symbol;Acc:MGI:106379] MSRNYNDELQFLDKINKNCWRIKKGFVPNMQVEGVFYVNDALEKLMFEELRNACRGGGVG GFLPAMKQIGNVAALPGIVHRSIGLPDVHSGYGFAIGNMAAFDMNDPEAVVSPGGVGFDI NCGVRLLRTNLDESDVQPVKEQLAQAMFDHIPVGVGSKGVIPMNAKDLEEALEMGVDWSL REGYAWAEDKEHCEEYGRMLQADPNKVSPRAKKRGLPQLGTLGAGNHYAEIQVVDEIFNE YAAKKMGIDHKGQVCVMIHSGSRGLGHQVATDALVAMEKAMKRDKIIVNDRQLACARIAS PEGQDYLKGMAAAGNYAWVNRSSMTFLTRQAFAKVFNTTPDDLDLHVIYDVSHNIAKVEQ HVVDGKERTLLVHRKGSTRAFPPHHPLIAVDYQLTGQPVLIGGTMGTCSYVLTGTEQGMT ETFGTTCHGAGRALSRAKSRRNLDFQDVLDKLADMGIAIRVASPKLVMEEAPESYKNVTD VVNTCHDAGISKKAIKLRPIAVIKG >ENSMUSP00000059871.7 pep:known chromosome:GRCm38:1:31176401:31204725:1 gene:ENSMUSG00000050217.13 transcript:ENSMUST00000062560.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgsn description:lengsin, lens protein with glutamine synthetase domain [Source:MGI Symbol;Acc:MGI:2672844] MTDEGDLAQEDTAKDEGNVTEGSRMSKLRRARRKVTKPHLCSMDGEEIAKANSSEMSRNQ IADLSKPGSAESWSSHSAKDAYHPTPVVKPSLPSALAGAPDAEFSPNTDPTRYNAQSFNP PQLSARMKHIKQEMAKNHLQFVRFEATDLHGVSRSKSIPAQFFQEKVIHGVFMPRGYLEL MPNPKDNEVNHIRATCFNSDIVLMPELSTFRVLPWAERTARVICDTFTVTGEPLLTSPRY IAKRQLRQLQDAGFCLLSAFIYDFCIFGVPEVINSKTISFPASTLLSNHDQPFMQELVEG LYQTGANVESFSSSTRPGQMEICFLPEFGISSADNAFTLRTGLQEVARRYNYIASLVIET GFCNSGILSHSIWDVGGKTNMFCSGSGVERLTLTGKKWLAGLLKHSAALSCLMAPAVNCR KRYCKDSRDLKDSVPTTWGYNDNSCALNIKCHGEKGTQIENKLGSATANPYLVLAATVAA GLDGLQSSDGAAAGSDESQDLYQPEPSEIPLKMEDALAALEQDECLKQALGETFIRYFVA MKKYELENEETDAEGNKFLEYFI >ENSMUSP00000140063.1 pep:known chromosome:GRCm38:1:31189470:31204725:1 gene:ENSMUSG00000050217.13 transcript:ENSMUST00000161773.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgsn description:lengsin, lens protein with glutamine synthetase domain [Source:MGI Symbol;Acc:MGI:2672844] XEEIAKANSSEMSRNQIADLSKPGSAESWSSHSAKDAYHPTPVVKPSLPSALAGAPDAEF SPNTGKSDPRSFHAPWVSGIDAEP >ENSMUSP00000020190.7 pep:known chromosome:GRCm38:10:23851462:23869843:1 gene:ENSMUSG00000020010.7 transcript:ENSMUST00000020190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vnn3 description:vanin 3 [Source:MGI Symbol;Acc:MGI:1347055] MASLHFPQWAVSFVFFAQAVGSMDTFIAAVYEHAVILPNKTESPVSTEEALLLINKNIDI LESAIKLAARQGAHIIVTPEDGIYGWIFTRETIYPYLEDIPDPEVNWIPCRDPRRFGYTP VQERLSCLAKENSIYIMANIGDKKPCNATDPHCPPDGRYQYNTNVVFDSKGRLTARYHKY NLFEPEIQFDFPKDSELVTFDTPFGKFGIFTCFDIFSYDPAVVVVKDTQVDSVLLPTAWY NTLPLLSAVPFHSVWARAMGVNVLAANTHNTSMHMTGSGIYSPEAVRVYHYDMETESGQL LLSELRSRPRQHATPAEVNWSAYARTVKPFSSGQADFPGKIYFDEFSFTKLTGSAGNYTV CQKDLCCHLTYKMSESRMDEVYVLGAFDGLHTVEGQYYLQICTLLKCQTTNSRTCGEPVG SAFTKFEEFSLSGTFRTKYVFPQIVLSGSQLALERYYEVSRDGRLRSRGGAPLPILVMAL YGRVFERDPPRLGQGPGKLQ >ENSMUSP00000134099.1 pep:known chromosome:GRCm38:10:115569986:115582448:1 gene:ENSMUSG00000092210.1 transcript:ENSMUST00000173620.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930009A15Rik description:RIKEN cDNA A930009A15 gene [Source:MGI Symbol;Acc:MGI:1925048] MEPTIPATFLKNRPTSRNNQYSLRTSNQLYLFTQRVPENNSCSHKGGGRCDVTLGRVMPE ASPSRKASGGGHHRLLRNSQHLCLSSKRVVQSLPCPVKVPMYHFCQASRTKGSASYCY >ENSMUSP00000121977.1 pep:known chromosome:GRCm38:1:162570515:162599084:1 gene:ENSMUSG00000026696.15 transcript:ENSMUST00000132158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp4 description:vesicle-associated membrane protein 4 [Source:MGI Symbol;Acc:MGI:1858730] MPPKFKRHLNDDDVTGSVKSERRNLLEDDSDEEEDFFLRGPSGPRFGPRNDKIKHVQNQV DEVIDVMQENITKVIERGERLDELQDKSESLSDNATAFSNRSKQLRRQMWWRGCKIKAIM ALAAAILLLMIITQIILHLKK >ENSMUSP00000116376.1 pep:known chromosome:GRCm38:1:162570590:162595365:1 gene:ENSMUSG00000026696.15 transcript:ENSMUST00000135241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp4 description:vesicle-associated membrane protein 4 [Source:MGI Symbol;Acc:MGI:1858730] MPPKFKRHLNDDDVTGSVKSERRNLLEDDSDEEEDFFLRGPSGPRFGPRNDKIKHVQNQV DEVIDVMQENITKVIERGERLDELQDKSESLSDNATAFSNRSKQLRRQMWWRGCKIKAIM ALAAAILLLMIIILIVVKFRT >ENSMUSP00000142298.1 pep:known chromosome:GRCm38:1:162570769:162594729:1 gene:ENSMUSG00000026696.15 transcript:ENSMUST00000194810.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp4 description:vesicle-associated membrane protein 4 [Source:MGI Symbol;Acc:MGI:1858730] MPPKFKRHLNDDDVTGSVKSERRNLLEDDSDEEEDFFLRGPSGPRFGPRNDKIKHVQNQV DEVIDVMQENITKKAYRIMPPLSATDPNSFEGKCGGVDAK >ENSMUSP00000051544.4 pep:known chromosome:GRCm38:1:162570828:162599078:1 gene:ENSMUSG00000026696.15 transcript:ENSMUST00000050010.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp4 description:vesicle-associated membrane protein 4 [Source:MGI Symbol;Acc:MGI:1858730] MPPKFKRHLNDDDVTGSVKSERRNLLEDDSDEEEDFFLRGPSGPRFGPRNDKIKHVQNQV DEVIDVMQENITKVIERGERLDELQDKSESLSDNATAFSNRSKQLRRQMWWRGCKIKAIM ALAAAILLLMIITQIILHLKK >ENSMUSP00000115133.1 pep:known chromosome:GRCm38:1:162570837:162594772:1 gene:ENSMUSG00000026696.15 transcript:ENSMUST00000150040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp4 description:vesicle-associated membrane protein 4 [Source:MGI Symbol;Acc:MGI:1858730] MPPKFKRHLNDDDVTGSVKSERRNLLEDDSDEEEDFFLRGPSGPRFGPRNDKIKHVQNQV DEVIDVMQENITKVIERGERLDELQDKSESLSDNATAFSNRSKQLRRQMWWRGCKIKAIM ALAAAILLLMIIILIVVKFRT >ENSMUSP00000114172.1 pep:known chromosome:GRCm38:1:162571226:162595365:1 gene:ENSMUSG00000026696.15 transcript:ENSMUST00000155003.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp4 description:vesicle-associated membrane protein 4 [Source:MGI Symbol;Acc:MGI:1858730] MPPKFKRHLNDDDVTGSVKSERRNLLEDDSDEEEDFFLRGPSGPRFGPRNDKIKHVQNQV DEVIDVMQENITKVIERGERLDELQDKSESLSDNATAFSNRSKQLRRQMWWRGCKIKAIM ALAAAILLLMIIILIVVKFRT >ENSMUSP00000110779.1 pep:known chromosome:GRCm38:16:32644643:32683493:1 gene:ENSMUSG00000022791.16 transcript:ENSMUST00000115126.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk2 description:tyrosine kinase, non-receptor, 2 [Source:MGI Symbol;Acc:MGI:1858308] MQPEEGTGWLLELLSEVQLQQYFLRLRDDLNITRLSHFEYVKNEDLEKIGMGRPGQRRLW EAVKRRKAMCKRKSWMSKVFSGKRLEAEFPSQHSQSTFRKPSPTPGSLPGEGTLQSLTCL IGEKDLRLLEKLGDGSFGVVRRGEWDAPAGKTVSVAVKCLKPDVLSQPEAMDDFIREVNA MHSLDHRNLIRLYGVVLTLPMKMVTELAPLGSLLDRLRKHQGHFLLGTLSRYAVQVAEGM AYLESKRFIHRDLAARNLLLATRDLVKIGDFGLMRALPQNDDHYVMQEHRKVPFAWCAPE SLKTRTFSHASDTWMFGVTLWEMFTYGQEPWIGLNGSQILHKIDKEGERLPRPEDCPQDI YNVMVQCWAHKPEDRPTFVALRDFLLEAQPTDMRALQDFEEPDKLHIQMNDVITVIEGRA ENYWWRGQNTRTLCVGPFPRNVVTSVAGLSAQDISQPLQNSFIHTGHGDSDPRHCWGFPD RIDELYLGNPMDPPDLLSVELSTSRPTQHLGRVKREPPPRPPQPAIFTQKPTYDPVSEDP DPLSSDFKRLGLRKPALPRGLWLAKPSARVPGTKADRSSGGEVTLIDFGEEPVVPTPRPC APSLAQLAMDACSLLDKTPPQSPTRALPRPLHPTPVVDWDARPLPPPPAYDDVAQDEDDF EVCSINSTLVGAGLPAGPSQGETNYAFVPEQAQMPPALEDNLFLPPQGGGKPPSSVQTAE IFQALQQECMRQLQVPTGQLTPSPTPGGDDKPQVPPRVPIPPRPTRPRVELSPAPSGEEE TSRWPGPASPPRVPPREPLSPQGSRTPSPLVPPGSSPLPHRLSSSPGKTMPTTQSFASDP KYATPQVIQAPGPRAGPCILPIVRDGRKVSSTHYYLLPERPPYLERYQRFLREAQSPEEP AALPVPPLLPPPSTPAPAAPTATVRPMPQAAPDPKANFSTNNSNPGARPPSLRATARLPQ RGCPGDGQEAARPADKVQMVEQLFGLGLRPRVECHKVLEMFDWNLEQAGCHLLGSCGPAH HKR >ENSMUSP00000110778.1 pep:known chromosome:GRCm38:16:32644643:32683493:1 gene:ENSMUSG00000022791.16 transcript:ENSMUST00000115125.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk2 description:tyrosine kinase, non-receptor, 2 [Source:MGI Symbol;Acc:MGI:1858308] MQPEEGTGWLLELLSEVQLQQYFLRLRDDLNITRLSHFEYVKNEDLEKIGMGRPGQRRLW EAVKRRKAMCKRKSWMSKVFSGKRLEAEFPSQHSQSTFRKPSPTPGSLPGEGTLQSLTCL IGEKDLRLLEKLGDGSFGVVRRGEWDAPAGKTVSVAVKCLKPDVLSQPEAMDDFIREVNA MHSLDHRNLIRLYGVVLTLPMKMVTELAPLGSLLDRLRKHQGHFLLGTLSRYAVQVAEGM AYLESKRFIHRDLAARNLLLATRDLVKIGDFGLMRALPQNDDHYVMQEHRKVPFAWCAPE SLKTRTFSHASDTWMFGVTLWEMFTYGQEPWIGLNGSQILHKIDKEGERLPRPEDCPQDI YNVMVQCWAHKPEDRPTFVALRDFLLEAQPTDMRALQDFEEPDKLHIQMNDVITVIEGRA ENYWWRGQNTRTLCVGPFPRNVVTSVAGLSAQDISQPLQNSFIHTGHGDSDPRHCWGFPD RIDELYLGNPMDPPDLLSVELSTSRPTQHLGRVKKPTYDPVSEDPDPLSSDFKRLGLRKP ALPRGLWLAKPSARVPGTKADRSSGGEVTLIDFGEEPVVPTPRPCAPSLAQLAMDACSLL DKTPPQSPTRALPRPLHPTPVVDWDARPLPPPPAYDDVAQDEDDFEVCSINSTLVGAGLP AGPSQGETNYAFVPEQAQMPPALEDNLFLPPQGGGKPPSSVQTAEIFQALQQECMRQLQV PTGQLTPSPTPGGDDKPQVPPRVPIPPRPTRPRVELSPAPSGEEETSRWPGPASPPRVPP REPLSPQGSRTPSPLVPPGSSPLPHRLSSSPGKTMPTTQSFASDPKYATPQVIQAPGPRA GPCILPIVRDGRKVSSTHYYLLPERPPYLERYQRFLREAQSPEEPAALPVPPLLPPPSTP APAAPTATVRPMPQAAPDPKANFSTNNSNPGARPPSLRATARLPQRGCPGDGQEAARPAD KVQMVEQLFGLGLRPRVECHKVLEMFDWNLEQAGCHLLGSCGPAHHKR >ENSMUSP00000110777.1 pep:known chromosome:GRCm38:16:32644673:32683493:1 gene:ENSMUSG00000022791.16 transcript:ENSMUST00000115124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk2 description:tyrosine kinase, non-receptor, 2 [Source:MGI Symbol;Acc:MGI:1858308] MQPEEGTGWLLELLSEVQLQQYFLRLRDDLNITRLSHFEYVKNEDLEKIGMGRPGQRRLW EAVKRRKAMCKRKSWMSKVFSGKRLEAEFPSQHSQSTFRKPSPTPGSLPGEGTLQSLTCL IGEKDLRLLEKLGDGSFGVVRRGEWDAPAGKTVSVAVKCLKPDVLSQPEAMDDFIREVNA MHSLDHRNLIRLYGVVLTLPMKMVTELAPLGSLLDRLRKHQGHFLLGTLSRYAVQVAEGM AYLESKRFIHRDLAARNLLLATRDLVKIGDFGLMRALPQNDDHYVMQEHRKVPFAWCAPE SLKTRTFSHASDTWMFGVTLWEMFTYGQEPWIGLNGSQILHKIDKEGERLPRPEDCPQDI YNVMVQCWAHKPEDRPTFVALRDFLLEAQPTDMRALQDFEEPDKLHIQMNDVITVIEGRA ENYWWRGQNTRTLCVGPFPRNVVTSVAGLSAQDISQPLQNSFIHTGHGDSDPRHCWGFPD RIDELYLGNPMDPPDLLSVELSTSRPTQHLGRVKREPPPRPPQPAIFTQKPTYDPVSEDP DPLSSDFKRLGLRKPALPRGLWLAKPSARVPGTKADRSSGGEVTLIDFGEEPVVPTPRPC APSLAQLAMDACSLLDKTPPQSPTRALPRPLHPTPVVDWDARPLPPPPAYDDVAQDEDDF EVCSINSTLVGAGLPAGPSQGETNYAFVPEQAQMPPALEDNLFLPPQGGGKPPSSVQTAE IFQALQQECMRQLQVPTGQLTPSPTPGGDDKPQVPPRVPIPPRPTRPRVELSPAPSGEEE TSRWPGPASPPRVPPREPLSPQGSRTPSPLVPPGSSPLPHRLSSSPGKTMPTTQSFASDP KYATPQVIQAPGPRAGPCILPIVRDGRKVSSTHYYLLPERPPYLERYQRFLREAQSPEEP AALPVPPLLPPPSTPAPAAPTATVRPMPQAAPDPKANFSTNNSNPGARPPSLRATARLPQ RGCPGDGQEAARPADKVQMLQAMVHGVTTEECQAALQSHSWSVQRAAQYLKVEQLFGLGL RPRVECHKVLEMFDWNLEQAGCHLLGSCGPAHHKR >ENSMUSP00000110776.1 pep:known chromosome:GRCm38:16:32644826:32683492:1 gene:ENSMUSG00000022791.16 transcript:ENSMUST00000115123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk2 description:tyrosine kinase, non-receptor, 2 [Source:MGI Symbol;Acc:MGI:1858308] MQPEEGTGWLLELLSEVQLQQYFLRLRDDLNITRLSHFEYVKNEDLEKIGMGRPGQRRLW EAVKRRKAMCKRKSWMSKVFSGKRLEAEFPSQHSQSTFRKPSPTPGSLPGEGTLQSLTCL IGEKDLRLLEKLGDGSFGVVRRGEWDAPAGKTVSVAVKCLKPDVLSQPEAMDDFIREVNA MHSLDHRNLIRLYGVVLTLPMKMVTELAPLGSLLDRLRKHQGHFLLGTLSRYAVQVAEGM AYLESKRFIHRDLAARNLLLATRDLVKIGDFGLMRALPQNDDHYVMQEHRKVPFAWCAPE SLKTRTFSHASDTWMFGVTLWEMFTYGQEPWIGLNGSQILHKIDKEGERLPRPEDCPQDI YNVMVQCWAHKPEDRPTFVALRDFLLEAQPTDMRALQDFEEPDKLHIQMNDVITVIEGRA ENYWWRGQNTRTLCVGPFPRNVVTSVAGLSAQDISQPLQNSFIHTGHGDSDPRHCWGFPD RIDELYLGNPMDPPDLLSVELSTSRPTQHLGRVKKPTYDPVSEDPDPLSSDFKRLGLRKP ALPRGLWLAKPSARVPGTKADRSSGGEVTLIDFGEEPVVPTPRPCAPSLAQLAMDACSLL DKTPPQSPTRALPRPLHPTPVVDWDARPLPPPPAYDDVAQDEDDFEVCSINSTLVGAGLP AGPSQGETNYAFVPEQAQMPPALEDNLFLPPQGGGKPPSSVQTAEIFQALQQECMRQLQV PTGQLTPSPTPGGDDKPQVPPRVPIPPRPTRPRVELSPAPSGEEETSRWPGPASPPRVPP REPLSPQGSRTPSPLVPPGSSPLPHRLSSSPGKTMPTTQSFASDPKYATPQVIQAPGPRA GPCILPIVRDGRKVSSTHYYLLPERPPYLERYQRFLREAQSPEEPAALPVPPLLPPPSTP APAAPTATVRPMPQAAPDPKANFSTNNSNPGARPPSLRATARLPQRGCPGDGQEAARPAD KVQMLQAMVHGVTTEECQAALQSHSWSVQRAAQYLKVEQLFGLGLRPRVECHKVLEMFDW NLEQAGCHLLGSCGPAHHKR >ENSMUSP00000110775.2 pep:known chromosome:GRCm38:16:32673154:32679466:1 gene:ENSMUSG00000022791.16 transcript:ENSMUST00000115122.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk2 description:tyrosine kinase, non-receptor, 2 [Source:MGI Symbol;Acc:MGI:1858308] MDPPDLLSVELSTSRPTQHLGRVKKPT >ENSMUSP00000110773.1 pep:known chromosome:GRCm38:16:32673156:32683493:1 gene:ENSMUSG00000022791.16 transcript:ENSMUST00000115120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk2 description:tyrosine kinase, non-receptor, 2 [Source:MGI Symbol;Acc:MGI:1858308] MDPPDLLSVELSTSRPTQHLGRVKKPTYDPVSEDPDPLSSDFKRLGLRKPALPRGLWLAK PSARVPGTKADRSSGGEVTLIDFGEEPVVPTPRPCAPSLAQLAMDACSLLDKTPPQSPTR ALPRPLHPTPVVDWDARPLPPPPAYDDVAQDEDDFEVCSINSTLVGAGLPAGPSQGETNY AFVPEQAQMPPALEDNLFLPPQGGGKPPSSVQTAEIFQALQQECMRQLQVPTGQLTPSPT PGGDDKPQVPPRVPIPPRPTRPRVELSPAPSGEEETSRWPGPASPPRVPPREPLSPQGSR TPSPLVPPGSSPLPHRLSSSPGKTMPTTQSFASDPKYATPQVIQAPGPRAGPCILPIVRD GRKVSSTHYYLLPERPPYLERYQRFLREAQSPEEPAALPVPPLLPPPSTPAPAAPTATVR PMPQAAPDPKANFSTNNSNPGARPPSLRATARLPQRGCPGDGQEAARPADKVQMLQAMVH GVTTEECQAALQSHSWSVQRAAQYLKVEQLFGLGLRPRVECHKVLEMFDWNLEQAGCHLL GSCGPAHHKR >ENSMUSP00000129382.1 pep:known chromosome:GRCm38:16:32673178:32679680:1 gene:ENSMUSG00000022791.16 transcript:ENSMUST00000131238.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk2 description:tyrosine kinase, non-receptor, 2 [Source:MGI Symbol;Acc:MGI:1858308] MDPPDLLSVELSTSRPTQHLGRVKKPTYDPVSEDPDPLSSDFKRLGLRKPALPRGLWLAK PSARVPGTKADRSSGGEVTLIDFGEEPVVPTPRPCAPS >ENSMUSP00000110774.2 pep:known chromosome:GRCm38:16:32673192:32680133:1 gene:ENSMUSG00000022791.16 transcript:ENSMUST00000115121.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk2 description:tyrosine kinase, non-receptor, 2 [Source:MGI Symbol;Acc:MGI:1858308] MDPPDLLSVELSTSRPTQHLGRVKREPPPRPPQPAIFTQKPTYDPVSEDPDPLSSDFKRL GLRKPALPRGLWLAKPSARVPGTKADRSSGGEVTLIDFGEEPVVPTPRPCAPSLAQLAMD ACSLLDKTPPQSPTRALPRPLHPTPVVDWDARPLPPPPAYDDVAQDEDDFEVCSINSTLV GAGLPAGPSQGETNYAFVPEQAQMPPALEDNLFLPPQGGGKPPSSVQTAEIFQALQQECM RQLQVPTGQLTPSPTPGGDDKPQV >ENSMUSP00000125905.1 pep:known chromosome:GRCm38:16:32673199:32679882:1 gene:ENSMUSG00000022791.16 transcript:ENSMUST00000152361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk2 description:tyrosine kinase, non-receptor, 2 [Source:MGI Symbol;Acc:MGI:1858308] MGQLLDCLLLRAENYWWRGQNTRTLCVGPFPRNVVTSVAGLSAQDISQPLQNSFIHTGHG DSDPRHCWGFPDRIDELYLGNPMDPPDLLSVELSTSRPTQHLGRVKKPTYDPVSEDPDPL SSDFKRLGLRKPALPRGLWLAKPSARVPGTKADRSSGGEVTLIDFGEEPVVPTPRPCAPS LAQLAMDACSLLDKTPPQSPTRALPRPLHPTPVVDWDARPLPPPPAYDDVAQDEDDFEVC SINSTLVG >ENSMUSP00000132072.1 pep:known chromosome:GRCm38:7:110308013:110614922:-1 gene:ENSMUSG00000038371.14 transcript:ENSMUST00000164759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf2 description:SET binding factor 2 [Source:MGI Symbol;Acc:MGI:1921831] MARLADYFIVVGYDHEKPAGPGEGLGKIIQRFPQQDWDDTPFPQGIELFCQPGGWHLSRE RKQPTFFVVVLTDIDSDRHYCSCLTFYEAEINLQGTKKEEIEGEEVSGLIQPAEVFAPKS LVLVSRLDYPEIFRACLGLIYTVYVDSMSVSLESLIANLCACLVPAAGGSQKLFSLGAGD RQLIQTPLHDSLPVTGTSVALLFQQLGIQNVLNLFCAVLTENKVLFHSASFQRLSDACRA LESLMFPLKYSYPYIPILPAQLLEVLSSPTPFIIGVHSIFKTDVHELLDVIIADLDGGTI KIPECIHLSSLPEPLLHQTQSALSLILHPDLEVADHAFPPPRTALSHSKMLDKEVRAVFL RLFAQLFQGYRSCLQLIRIHAEPVIHFHKTAFLGQRGLVENDFLTKVLNGMAFAGFVSER GPPYRACDLFDELVAFEVERIKVEEKNPLKMIKHIRELAEQLFKNENPNPHMAFQKVPRP TEGSHLRVHILPFPKINEARVQELIQENLAKNQNAPPATRIEKKCVVPAGPPVVSIMEKV ITVFNSAQRLEVVRNCISFIFENKTLETEKTLPAALRALKGKAARQCLTDELGLHVQQNR AILDHQQFDYIIRMMNCTLQDCSSLEEYNIAAALLPLTSAFYRKLAPGVSQFAYTCVQDH PIWTNQQFWETTFYNAVQEQVRSLYLSAKDDNHIPHLKQKLPDGQHQEKTAMDLAAEQLR LWPTLSKSTQQELVQHEESTVFSQAIHFANLMVNLLVPLDTSKNKLLRASAPGDWESGSN SIVTNSIAGSVAESYDTESGFEDSENSDVANSVVRFIARFIDKVCTESGVTQDHIRSLHC MIPGIVAMHIETLEAVHRESRRLPPIQKPKILRPALLPGEEIVCEGLRVLLDPDGREEAT GGLLGGPQLLPAEGALFLTTYRILFRGTPHDQLVGEQTVVRSFPIASITKEKKITMQNQL QQSVQEGLQITSASFQLIKVAFDEEVSPEVVDIFKKQLMKFRYPQSIFSTFAFAAGQTTP QIILPKQKEKNTSFRTFSKTIVKGAKKAGKMTIGRQYLLKKRTGTIVEERVNRPGWNEED DISVSDDSELPTSTTLKASEKSTMEQLVEKACFRDYQRLGLGTISGNSSRSKPEYFRVTA SNRLYSLCRSYPGLLVIPQAVQDSSLPRVARCYRHNRLPVVCWKNSRSGTLLLRSGGFHG KGVVGLFKSQNSPQAVSTSSLESSSSIEQEKYLQALLTAVIVHQKLRGSSTLTVRPALAL SPGVWASLRSSTRLISSPTSFIDVGARLAGKDHSASFSNSTYLQNQLLKRQAALYIFGEK SQLRSSKVEFAFNCEFVPVEFHEIRQVKASFKKLMRACIPSTIPTDSEVTFLKALGDSEW FPQLHRIMQLAVVVSEVLENGSSVWVCLEEGWDITTQVTSLAQLLSDPFYRTIAGFRTLV EKEWLSFGHKFSQRSSLALNSQGGGFAPIFLQFLDCVHQVHNQYPTEFEFNLYYLKFLAF HYVSNRFKTFLLDSDYERLEHGTLFDDKGDKHAKKGVCIWECIDKMHTRSPIFFNYLYSP VEVEALKPNVNVSSLKKWDYYTEETLSAGPSYDWMMLTPKHFPYEESDVAGGAGPQSQRK TVWPCYDDVTCSQPDALTRLFSEIEKLEHKLNQTPERWHQLWEKVTTDLKEEPRTAHSLR HSAGSPGIASTNVPSYQKRPALHPLHRGLGEDQSTTTAPSNGVEHRAATLYSQYTSKNDE NRSFEGTLYKRGALLKGWKPRWFVLDVTKHQLRYYDSGEDTSCKGHIDLAEVEMVIPAGP SMGAPKYTSDKAFFDLKTSKRVYNFCAQDGQSAQQWMDRIQSCISDA >ENSMUSP00000126217.1 pep:known chromosome:GRCm38:7:110309398:110614761:-1 gene:ENSMUSG00000038371.14 transcript:ENSMUST00000166020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf2 description:SET binding factor 2 [Source:MGI Symbol;Acc:MGI:1921831] MARLADYFIVVGYDHEKPAGPGEGLGKIIQRFPQQDWDDTPFPQGIELGTKKEEIEGEEV SGLIQPAEVFAPKSLVLVSRLDYPEIFRACLGLIYTVYVDSMSVSLESLIANLCACLVPA AGGSQKLFSLGAGDRQLIQTPLHDSLPVTGTSVALLFQQLGIQNVLNLFCAVLTENKVLF HSASFQRLSDACRALESLMFPLKYSYPYIPILPAQLLEVLSSPTPFIIGVHSIFKTDVHE LLDVIIADLDGGTIKIPECIHLSSLPEPLLHQTQSALSLILHPDLEVADHAFPPPRTALS HSKMLDKEVRAVFLRLFAQLFQGYRSCLQLIRIHAEPVIHFHKTAFLGQRGLVENDFLTK VLNGMAFAGFVSERGPPYRACDLFDELVAFEVERIKVEEKNPLKMIKHIRELAEQLFKNE NPNPHMAFQKVPRPTEGSHLRVHILPFPKINEARVQELIQENLAKNQNAPPATRIEKKCV VPAGPPVVSIMEKVITVFNSAQRLEVVRNCISFIFENKTLETEKTLPAALRALKGKAARQ CLTDELGLHVQQNRAILDHQQFDYIIRMMNCTLQDCSSLEEYNIAAALLPLTSAFYRKLA PGVSQFAYTCVQDHPIWTNQQFWETTFYNAVQEQVRSLYLSAKDDNHIPHLKQKLPDGQH QEKTAMDLAAEQLRLWPTLSKSTQQELVQHEESTVFSQAIHFANLMVNLLVPLDTSKNKL LRASAPGDWESGSNSIVTNSIAGSVAESYDTESGFEDSENSDVANSVVRFIARFIDKVCT ESGVTQDHIRSLHCMIPGIVAMHIETLEAVHRESRRLPPIQKPKILRPALLPGEEIVCEG LRVLLDPDGREEATGGLLGGPQLLPAEGALFLTTYRILFRGTPHDQLVGEQTVVRSFPIA SITKEKKITMQNQLQQSVQEGLQITSASFQLIKVAFDEEVSPEVVDIFKKQLMKFRYPQS IFSTFAFAAGQTTPQIILPKQKEKNTSFRTFSKTIVKGAKKAGKMTIGRQYLLKKRTGTI VEERVNRPGWNEEDDISVSDDSELPTSTTLKASEKSTMEQLVEKACFRDYQRLGLGTISG NSSRSKPEYFRVTASNRLYSLCRSYPGLLVIPQAVQDSSLPRVARCYRHNRLPVVCWKNS RSGTLLLRSGGFHGKGVVGLFKSQNSPQAVSTSSLESSSSIEQEKYLQALLTAVIVHQKL RGSSTLTVRPALALSPVHGYRDKSFTQSNPKSSAKEPVHNQGVWASLRSSTRLISSPTSF IDVGARLAGKDHSASFSNSTYLQNQLLKRQAALYIFGEKSQLRSSKVEFAFNCEFVPVEF HEIRQVKASFKKLMRACIPSTIPTDSEVTFLKALGDSEWFPQLHRIMQLAVVVSEVLENG SSVWVCLEEGWDITTQVTSLAQLLSDPFYRTIAGFRTLVEKEWLSFGHKFSQRSSLALNS QGGGFAPIFLQFLDCVHQVHNQYPTEFEFNLYYLKFLAFHYVSNRFKTFLLDSDYERLEH GTLFDDKGDKHAKKGVCIWECIDKMHTRSPIFFNYLYSPVEVEALKPNVNVSSLKKWDYY TEETLSAGPSYDWMMLTPKHFPYEESDVAGGAGPQSQRKTVWPCYDDVTCSQPDALTRLF SEIEKLEHKLNQTPERWHQLWEKVTTDLKEEPRTAHSLRHSAGSPGIASTNVPSYQKRPA LHPLHRGLGEDQSTTTAPSNGVEHRAATLYSQYTSKNDENRSFEGTLYKRGALLKGWKPR WFVLDVTKHQLRYYDSGEDTSCKGHIDLAEVEMVIPAGPSMGAPKYTSDKAFFDLKTSKR VYNFCAQDGQSAQQWMDRIQSCISDA >ENSMUSP00000131927.1 pep:known chromosome:GRCm38:7:110329933:110362145:-1 gene:ENSMUSG00000038371.14 transcript:ENSMUST00000164599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf2 description:SET binding factor 2 [Source:MGI Symbol;Acc:MGI:1921831] XYQRLGLGTISGNSSRSKPEYFRVTASNRLYSLCRSYPGLLVIPQAVQDSSLPRVARCYR HNRLPVVCWKNSRSGTLLLRSGGFHGKGVVGLFKSQNSPQAVSTSSLESSSSIEQEKYLQ ALLTAVIVHQKLRGSSTLTVRPALALSPGTERRSSRMSTVLKQVVPGHLDVNPSNSFARG GVWASLRSSTRLISSPTSFIDVGARLAGKDHSASFSNSTYLQNQLLKRQAALYIFGEKSQ LRSSKVEFAFNCEFVPVEFHEIRQVKASFKKLMRACIPSTIPTDSEVTFLKALGDSEWFP QLHRIMQLAVVVSEVLENGSSVWVCLEEGWDITTQVTSLA >ENSMUSP00000128340.1 pep:known chromosome:GRCm38:7:110341327:110364586:-1 gene:ENSMUSG00000038371.14 transcript:ENSMUST00000164525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf2 description:SET binding factor 2 [Source:MGI Symbol;Acc:MGI:1921831] XGTIVEERVNRPGWNEEDDISVSDDSELPTSTTLKASEKSTMEQLVEKACFRDYQRLGLG TISGNSSRSKPEYFRVTASNRLYSLCRSYPGLLVIPQAVQDSSLPRVARCYRHNRLPVVC WKNSRSGTLLLRSGGFHGKGVVGLFKSQNSPQAVSTSSLESSSSIEQEKYLQALLTAVIV HQKLRGSSTLTVRPALALSPGTERRSSRMSTVLKQVVPGHLDVNPSNSFARGVHGYRDKS FTQSN >ENSMUSP00000128265.1 pep:known chromosome:GRCm38:7:110422447:110442293:-1 gene:ENSMUSG00000038371.14 transcript:ENSMUST00000164559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf2 description:SET binding factor 2 [Source:MGI Symbol;Acc:MGI:1921831] XLDKEVRAVFLRLFAQLFQGYRSCLQLIRIHAEPVIHFHKLVAFEVERIKVEEKNPLKMI KHIRELAEQLFKNENPNPHMAFQKVPRPTEGSHLRVHILPFPKINEARVQELIQENLAKN QNAPPATRIEKKCVVPAGPPVVSIMEKVITVFNSAQRLEVVRNCISFIFENKTLETE >ENSMUSP00000129805.1 pep:known chromosome:GRCm38:7:110441287:110614905:-1 gene:ENSMUSG00000038371.14 transcript:ENSMUST00000171218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf2 description:SET binding factor 2 [Source:MGI Symbol;Acc:MGI:1921831] MARLADYFIVVGYDHEKPAGPGEGLGKIIQRFPQQDWDDTPFPQGIELFCQPGGWHLSRE RKQPTFFVVVLTDIDSDRHYCSCLTFYEAEINLQGTKKEEIEGEEVSGLIQPAEVFAPKS LVLVSRLDYPEIFRACLGLIYTVYVDSMSVSLESLIANLCACLVPAAGGSQKLFSLGAGD RQLIQTPLHDSLPVTGTSVALLFQQLGIQNVLNLFCAVLTENKVLFHSASFQRLSDACRA LESLMFPLKYSYPYIPILPAQLLEVLSSPTPFIIGVHSIFKTDVHELLDVIIADLDGGTI KIPECIHLSSLPEPLLHQTQSALSLILHPDLEVADHAFPPPRTALSHSKMLDKEVRAVFL RLFAQLFQGYRSCLQLIRIHAEPVIHFHKVRHRARLSVKWTHFIGPHY >ENSMUSP00000130476.1 pep:known chromosome:GRCm38:7:110441474:110462932:-1 gene:ENSMUSG00000038371.14 transcript:ENSMUST00000166885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf2 description:SET binding factor 2 [Source:MGI Symbol;Acc:MGI:1921831] XSMSVSLESLIANLCACLVPAAGGSQKLFSLGAGDRQLIQTPLHDSLPVTGTSVALLFQQ LGIQNVLNLFCAVLTENKVLFHSASFQRLSDACRALESLMFPLKYSYPYIPILPAQLLEV LSSPTPFIIGVHSIFKTDVHELILHPDLEVADHAFPPPRTALSHSKMLDKEVRAVFLRLF AQLFQGYRSCLQLIRIHA >ENSMUSP00000127105.1 pep:known chromosome:GRCm38:7:110462876:110614761:-1 gene:ENSMUSG00000038371.14 transcript:ENSMUST00000167652.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sbf2 description:SET binding factor 2 [Source:MGI Symbol;Acc:MGI:1921831] MARLADYFIVVGYDHEKPVLSTWWMAPVQRAETANILCGGPNRY >ENSMUSP00000033058.7 pep:known chromosome:GRCm38:7:110308022:110614920:-1 gene:ENSMUSG00000038371.14 transcript:ENSMUST00000033058.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf2 description:SET binding factor 2 [Source:MGI Symbol;Acc:MGI:1921831] MARLADYFIVVGYDHEKPAGPGEGLGKIIQRFPQQDWDDTPFPQGIELFCQPGGWHLSRE RKQPTFFVVVLTDIDSDRHYCSCLTFYEAEINLQGTKKEEIEGEEVSGLIQPAEVFAPKS LVLVSRLDYPEIFRACLGLIYTVYVDSMSVSLESLIANLCACLVPAAGGSQKLFSLGAGD RQLIQTPLHDSLPVTGTSVALLFQQLGIQNVLNLFCAVLTENKVLFHSASFQRLSDACRA LESLMFPLKYSYPYIPILPAQLLEVLSSPTPFIIGVHSIFKTDVHELLDVIIADLDGGTI KIPECIHLSSLPEPLLHQTQSALSLILHPDLEVADHAFPPPRTALSHSKMLDKEVRAVFL RLFAQLFQGYRSCLQLIRIHAEPVIHFHKTAFLGQRGLVENDFLTKVLNGMAFAGFVSER GPPYRACDLFDELVAFEVERIKVEEKNPLKMIKHIRELAEQLFKNENPNPHMAFQKVPRP TEGSHLRVHILPFPKINEARVQELIQENLAKNQNAPPATRIEKKCVVPAGPPVVSIMEKV ITVFNSAQRLEVVRNCISFIFENKTLETEKTLPAALRALKGKAARQCLTDELGLHVQQNR AILDHQQFDYIIRMMNCTLQDCSSLEEYNIAAALLPLTSAFYRKLAPGVSQFAYTCVQDH PIWTNQQFWETTFYNAVQEQVRSLYLSAKDDNHIPHLKQKLPDGQHQEKTAMDLAAEQLR LWPTLSKSTQQELVQHEESTVFSQAIHFANLMVNLLVPLDTSKNKLLRASAPGDWESGSN SIVTNSIAGSVAESYDTESGFEDSENSDVANSVVRFIARFIDKVCTESGVTQDHIRSLHC MIPGIVAMHIETLEAVHRESRRLPPIQKPKILRPALLPGEEIVCEGLRVLLDPDGREEAT GGLLGGPQLLPAEGALFLTTYRILFRGTPHDQLVGEQTVVRSFPIASITKEKKITMQNQL QQSVQEGLQITSASFQLIKVAFDEEVSPEVVDIFKKQLMKFRYPQSIFSTFAFAAGQTTP QIILPKQKEKNTSFRTFSKTIVKGAKKAGKMTIGRQYLLKKRTGTIVEERVNRPGWNEED DISVSDDSELPTSTTLKASEKSTMEQLVEKACFRDYQRLGLGTISGNSSRSKPEYFRVTA SNRLYSLCRSYPGLLVIPQAVQDSSLPRVARCYRHNRLPVVCWKNSRSGTLLLRSGGFHG KGVVGLFKSQNSPQAVSTSSLESSSSIEQEKYLQALLTAVIVHQKLRGSSTLTVRPALAL SPVHGYRDKSFTQSNPKSSAKEPVHNQGVWASLRSSTRLISSPTSFIDVGARLAGKDHSA SFSNSTYLQNQLLKRQAALYIFGEKSQLRSSKVEFAFNCEFVPVEFHEIRQVKASFKKLM RACIPSTIPTDSEVTFLKALGDSEWFPQLHRIMQLAVVVSEVLENGSSVWVCLEEGWDIT TQVTSLAQLLSDPFYRTIAGFRTLVEKEWLSFGHKFSQRSSLALNSQGGGFAPIFLQFLD CVHQVHNQYPTEFEFNLYYLKFLAFHYVSNRFKTFLLDSDYERLEHGTLFDDKGDKHAKK GVCIWECIDKMHTRSPIFFNYLYSPVEVEALKPNVNVSSLKKWDYYTEETLSAGPSYDWM MLTPKHFPYEESDVAGGAGPQSQRKTVWPCYDDVTCSQPDALTRLFSEIEKLEHKLNQTP ERWHQLWEKVTTDLKEEPRTAHSLRHSAGSPGIASTNVPSYQKRPALHPLHRGLGEDQST TTAPSNGVEHRAATLYSQYTSKNDENRSFEGTLYKRGALLKGWKPRWFVLDVTKHQLRYY DSGEDTSCKGHIDLAEVEMVIPAGPSMGAPKYTSDKAFFDLKTSKRVYNFCAQDGQSAQQ WMDRIQSCISDA >ENSMUSP00000137926.1 pep:known chromosome:GRCm38:3:14766216:14808365:-1 gene:ENSMUSG00000027556.15 transcript:ENSMUST00000181860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car1 description:carbonic anhydrase 1 [Source:MGI Symbol;Acc:MGI:88268] MASADWGYGSENGPDQWSKLYPIANGNNQSPIDIKTSEANHDSSLKPLSISYNPATAKEI VNVGHSFHVIFDDSSNQSVLKGGPLADSYRLTQFHFHWGNSNDHGSEHTVDGTRYSGELH LVHWNSAKYSSASEAISKADGLAILGVLMKVGPANPSLQKVLDALNSVKTKGKRAPFTNF DPSSLLPSSLDYWTYFGSLTHPPLHESVTWVICKDSISLSPEQLAQLRGLLSSAEGEPAV PVLSNHRPPQPLKGRTVRASF >ENSMUSP00000091925.4 pep:known chromosome:GRCm38:3:14766217:14778459:-1 gene:ENSMUSG00000027556.15 transcript:ENSMUST00000094365.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car1 description:carbonic anhydrase 1 [Source:MGI Symbol;Acc:MGI:88268] MASADWGYGSENGPDQWSKLYPIANGNNQSPIDIKTSEANHDSSLKPLSISYNPATAKEI VNVGHSFHVIFDDSSNQSVLKGGPLADSYRLTQFHFHWGNSNDHGSEHTVDGTRYSGELH LVHWNSAKYSSASEAISKADGLAILGVLMKVGPANPSLQKVLDALNSVKTKGKRAPFTNF DPSSLLPSSLDYWTYFGSLTHPPLHESVTWVICKDSISLSPEQLAQLRGLLSSAEGEPAV PVLSNHRPPQPLKGRTVRASF >ENSMUSP00000120495.1 pep:known chromosome:GRCm38:3:14770210:14808368:-1 gene:ENSMUSG00000027556.15 transcript:ENSMUST00000144327.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car1 description:carbonic anhydrase 1 [Source:MGI Symbol;Acc:MGI:88268] MASADWGYGSENVLKGGPLADSYRLTQFHFHWGNSNDHGSEHTVDGTRYSGELHLVHWNS AKYSSASEAISKADGLAILGVLMKVGPANPSL >ENSMUSP00000020350.8 pep:known chromosome:GRCm38:10:115450311:115587780:-1 gene:ENSMUSG00000020140.15 transcript:ENSMUST00000020350.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgr5 description:leucine rich repeat containing G protein coupled receptor 5 [Source:MGI Symbol;Acc:MGI:1341817] MDTSCVHMLLSLLALLQLVAAGSSPGPDAIPRGCPSHCHCELDGRMLLRVDCSDLGLSEL PSNLSVFTSYLDLSMNNISQLPASLLHRLCFLEELRLAGNALTHIPKGAFTGLHSLKVLM LQNNQLRQVPEEALQNLRSLQSLRLDANHISYVPPSCFSGLHSLRHLWLDDNALTDVPVQ AFRSLSALQAMTLALNKIHHIADYAFGNLSSLVVLHLHNNRIHSLGKKCFDGLHSLETLD LNYNNLDEFPTAIKTLSNLKELGFHSNNIRSIPERAFVGNPSLITIHFYDNPIQFVGVSA FQHLPELRTLTLNGASHITEFPHLTGTATLESLTLTGAKISSLPQAVCDQLPNLQVLDLS YNLLEDLPSLSGCQKLQKIDLRHNEIYEIKGSTFQQLFNLRSLNLAWNKIAIIHPNAFST LPSLIKLDLSSNLLSSFPVTGLHGLTHLKLTGNRALQSLIPSANFPELKIIEMPSAYQCC AFGGCENVYKISNQWNKDDGNSVDDLHKKDAGLFQVQDERDLEDFLLDFEEDLKALHSVQ CSPSPGPFKPCEHLFGSWLIRIGVWTTAVLALSCNALVALTVFRTPLYISSIKLLIGVIA VVDILMGVSSAVLAAVDAFTFGRFAQHGAWWEDGIGCQIVGFLSIFASESSIFLLTLAAL ERGFSVKCSSKFEVKAPLFSLRAIVLLCVLLALTIATIPLLGGSKYNASPLCLPLPFGEP STTGYMVALVLLNSLCFLIMTIAYTKLYCSLEKGELENLWDCSMVKHIALLLFANCILYC PVAFLSFSSLLNLTFISPDVIKFILLVIVPLPSCLNPLLYIVFNPHFKEDMGSLGKHTRF WMRSKHASLLSINSDDVEKRSCESTQALVSFTHASIAYDLPSTSGASPAYPMTESCHLSS VAFVPCL >ENSMUSP00000133860.1 pep:known chromosome:GRCm38:10:115452014:115587493:-1 gene:ENSMUSG00000020140.15 transcript:ENSMUST00000172806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgr5 description:leucine rich repeat containing G protein coupled receptor 5 [Source:MGI Symbol;Acc:MGI:1341817] MDTSCVHMLLSLLALLQLVAAGSSPGPDAIPRGCPSHCHCELDGRMLLRVDCSDLGLSEL PSNLSVFTSYLDLSMNNISQLPASLLHRLCFLEELRLAGNALTHIPKGAFTGLHSLKVLM LQNNQLRQVPEEALQNLRSLQSLRLDANHISYVPPSCFSGLHSLRHLWLDDNALTDVPVQ AFRSLSALQAMTLALNKIHHIADYAFGNLSSLVVLHLHNNRIHSLGKKCFDGLHSLETLD LNYNNLDEFPTAIKTLSNLKELHFYDNPIQFVGVSAFQHLPELRTLTLNGASHITEFPHL TGTATLESLTLTGAKISSLPQAVCDQLPNLQVLDLSYNLLEDLPSLSGCQKLQKIDLRHN EIYEIKGSTFQQLFNLRSLNLAWNKIAIIHPNAFSTLPSLIKLDLSSNLLSSFPVTGLHG LTHLKLTGNRALQSLIPSANFPELKIIEMPSAYQCCAFGGCENVYKISNQWNKDDGNSVD DLHKKDAGLFQVQDERDLEDFLLDFEEDLKALHSVQCSPSPGPFKPCEHLFGSWLIRIGV WTTAVLALSCNALVALTVFRTPLYISSIKLLIGVIAVVDILMGVSSAVLAAVDAFTFGRF AQHGAWWEDGIGCQIVGFLSIFASESSIFLLTLAALERGFSVKCSSKFEVKAPLFSLRAI VLLCVLLALTIATIPLLGGSKYNASPLCLPLPFGEPSTTGYMVALVLLNSLCFLIMTIAY TKLYCSLEKGELENLWDCSMVKHIALLLFANCILYCPVAFLSFSSLLNLTFISPDVIKFI LLVIVPLPSCLNPLLYIVFNPHFKEDMGSLGKHTRFWMRSKHASLLSINSDDVEKRSCES TQALVSFTHASIAYDLPSTSGASPAYPMTESCHLSSVAFVPCL >ENSMUSP00000133707.1 pep:known chromosome:GRCm38:10:115452014:115587493:-1 gene:ENSMUSG00000020140.15 transcript:ENSMUST00000173740.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgr5 description:leucine rich repeat containing G protein coupled receptor 5 [Source:MGI Symbol;Acc:MGI:1341817] MDTSCVHMLLSLLALLQLVAAGSSPGPDAIPRGCPSHCHCELDGRMLLRVDCSDLGLSEL PSNLSVFTSYLDLSMNNISQLPASLLHRLCFLEELRLAGNALTHIPKGAFTGLHSLKVLM LQNNQLRQVPEEALQNLRSLQSLHLHNNRIHSLGKKCFDGLHSLETLDLNYNNLDEFPTA IKTLSNLKELGFHSNNIRSIPERAFVGNPSLITIHFYDNPIQFVGVSAFQHLPELRTLTL NGASHITEFPHLTGTATLESLTLTGAKISSLPQAVCDQLPNLQVLDLSYNLLEDLPSLSG CQKLQKIDLRHNEIYEIKGSTFQQLFNLRSLNLAWNKIAIIHPNAFSTLPSLIKLDLSSN LLSSFPVTGLHGLTHLKLTGNRALQSLIPSANFPELKIIEMPSAYQCCAFGGCENVYKIS NQWNKDDGNSVDDLHKKDAGLFQVQDERDLEDFLLDFEEDLKALHSVQCSPSPGPFKPCE HLFGSWLIRIGVWTTAVLALSCNALVALTVFRTPLYISSIKLLIGVIAVVDILMGVSSAV LAAVDAFTFGRFAQHGAWWEDGIGCQIVGFLSIFASESSIFLLTLAALERGFSVKCSSKF EVKAPLFSLRAIVLLCVLLALTIATIPLLGGSKYNASPLCLPLPFGEPSTTGYMVALVLL NSLCFLIMTIAYTKLYCSLEKGELENLWDCSMVKHIALLLFANCILYCPVAFLSFSSLLN LTFISPDVIKFILLVIVPLPSCLNPLLYIVFNPHFKEDMGSLGKHTRFWMRSKHASLLSI NSDDVEKRSCESTQALVSFTHASIAYDLPSTSGASPAYPMTESCHLSSVAFVPCL >ENSMUSP00000139433.1 pep:known chromosome:GRCm38:1:31135226:31222597:-1 gene:ENSMUSG00000086727.7 transcript:ENSMUST00000187892.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931428L18Rik description:RIKEN cDNA 4931428L18 gene [Source:MGI Symbol;Acc:MGI:1918238] XAPESSQLLCGSPGPPASLRLPLPTSAFTLKTRYPAGSATEFILLHGNKVADESLSQSLL QTLILLQGGKGIFHLQRDLGRFWLIEILTLIGTSRSTITALKTIQACCHSGRQHQAFCTM LR >ENSMUSP00000120381.1 pep:known chromosome:GRCm38:1:31141164:31222657:-1 gene:ENSMUSG00000086727.7 transcript:ENSMUST00000127775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931428L18Rik description:RIKEN cDNA 4931428L18 gene [Source:MGI Symbol;Acc:MGI:1918238] MLAPESSQLLCGSPGPPASLRLPLPTSAFTLKTRYPAGSATEFILLHGNKVADESLSQSL LQTLILLQGGKGIFHLQRDLGRFWLIEILTLIGTSRSTITALKTIQACCHSGRQHQVERT I >ENSMUSP00000139710.1 pep:known chromosome:GRCm38:1:31141717:31222628:-1 gene:ENSMUSG00000086727.7 transcript:ENSMUST00000187659.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931428L18Rik description:RIKEN cDNA 4931428L18 gene [Source:MGI Symbol;Acc:MGI:1918238] MLAPESSQLLCGSPGPPASLRLPLPTSAFTLKTRYPAGSATEFILLHGNKVADESLSQSL LQTLILLQGGKGIFHLQRDLGRFWLIEILTLIGTSRSTITALKTIQACCHSGRQHQREQY ESA >ENSMUSP00000120289.1 pep:known chromosome:GRCm38:1:31202931:31222684:-1 gene:ENSMUSG00000086727.7 transcript:ENSMUST00000135245.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931428L18Rik description:RIKEN cDNA 4931428L18 gene [Source:MGI Symbol;Acc:MGI:1918238] MLAPESSQLLCGSPGPPASLRLPLPTSAFTLKTRYPAGSATEFILLHGNKVADESLSQSL LQTLILLQGGKGIFHLQRDLGRFWLIEILTLIGTSRSTITALKTIQACCHSGRQHQGSAS IPDTHGA >ENSMUSP00000093955.4 pep:known chromosome:GRCm38:19:8967041:8978479:1 gene:ENSMUSG00000071644.10 transcript:ENSMUST00000052248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1g description:eukaryotic translation elongation factor 1 gamma [Source:MGI Symbol;Acc:MGI:1914410] MAAGTLYTYPENWRAFKALIAAQYSGAQVRVLSAPPHFHFGQTNRTPEFLRKFPAGKVPA FEGDDGFCVFESNAIAYYVSNEELRGSTPEAAAQVVQWVSFADSDIVPPASTWVFPTLGI MHHNKQATENAKEEVKRILGLLDTHLKTRTFLVGERVTLADITVVCTLLWLYKQVLEPSF RQAFPNTNRWFLTCINQPQFRAILGEVKLCEKMAQFDAKKFAESQPKKDTPRKEKGSREE KQKPQAERKEEKKAAAPAPEEEMDECEQALAAEPKAKDPFAHLPKSTFVLDEFKRKYSNE DTLSVALPYFWEHFDKDGWSLWYAEYRFPEELTQTFMSCNLITGMFQRLDKLRKNAFASV ILFGTNNSSSISGVWVFRGQELAFPLSPDWQVDYESYTWRKLDPGSEETQTLVREYFSWE GTFQHVGKAVNQGKIFK >ENSMUSP00000137650.1 pep:known chromosome:GRCm38:10:85927681:85929696:1 gene:ENSMUSG00000085111.3 transcript:ENSMUST00000170396.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascl4 description:achaete-scute family bHLH transcription factor 4 [Source:MGI Symbol;Acc:MGI:1914591] MEKRRSAGLLALPSALRTAPLGALPGREPCRVSVRQDAADCARRRPYSSLPLGGVAEPAF LRQRNERERQRVRCVNEGYARLRQHLPRELAGQRLSKVETLRAAISYIKQLQELLERHRP DCNSDGESKASSGASPCSESEERS >ENSMUSP00000137794.1 pep:known chromosome:GRCm38:10:85928774:85946206:1 gene:ENSMUSG00000085111.3 transcript:ENSMUST00000156605.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ascl4 description:achaete-scute family bHLH transcription factor 4 [Source:MGI Symbol;Acc:MGI:1914591] XSKVETLRAAISYIKQLQELLERHRPDCNSDGESKASSGASPCSESEERS >ENSMUSP00000134757.1 pep:known chromosome:GRCm38:8:123504718:123515455:-1 gene:ENSMUSG00000031970.16 transcript:ENSMUST00000176286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbndd1 description:dysbindin (dystrobrevin binding protein 1) domain containing 1 [Source:MGI Symbol;Acc:MGI:1919435] MRCARSPDSVVTRAVGAPGLSPPLPTPPADPIGRMESPEGAGPGEIVKDVKVPQAALNVS AHETGDMCRTPVAEEEEEVGIPIPAPGFLQVTERRR >ENSMUSP00000135216.1 pep:known chromosome:GRCm38:8:123505687:123515390:-1 gene:ENSMUSG00000031970.16 transcript:ENSMUST00000177240.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbndd1 description:dysbindin (dystrobrevin binding protein 1) domain containing 1 [Source:MGI Symbol;Acc:MGI:1919435] MRCARSPDSVVTRAVGAPGLSPPLPTPPADPIGRMESPEGAGPGEIVKDVKVPQAALNVS AHETGDMCRTPVAEEEEEVGIPIPAPGFLQVTERRRLHPLSRASCLRSPSWTKTRAEQNR EKQPPSDPERQGTIVDTFLTVEEPKED >ENSMUSP00000135524.1 pep:known chromosome:GRCm38:8:123505687:123515463:-1 gene:ENSMUSG00000031970.16 transcript:ENSMUST00000176155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbndd1 description:dysbindin (dystrobrevin binding protein 1) domain containing 1 [Source:MGI Symbol;Acc:MGI:1919435] MRCARSPDSVVTRAVGAPGLSPPLPTPPADPIGRMESPEGAGPGEIVKDVKVPQAALNVS AHETGDMCRTPVAEEEEEVGIPIPAPGFLQVTERRQPLSSVSSLEVHFDLLDLTELTDMS DQELAEVFADSDDENLATESPAGLHPLSRASCLRSPSWTKTRAEQNREKQPPSDPERQGT IVDTFLTVEEPKED >ENSMUSP00000108203.1 pep:known chromosome:GRCm38:X:152233020:152273768:1 gene:ENSMUSG00000025332.14 transcript:ENSMUST00000112584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5c description:lysine (K)-specific demethylase 5C [Source:MGI Symbol;Acc:MGI:99781] MELGSDDFLPPPECPVFEPSWAEFRDPLGYIAKIRPIAEKSGICKIRPPADWQPPFAVEV DNFRFTPRIQRLNELEAQTRVKLNYLDQIAKFWEIQGSSLKIPNVERRILDLYSLSKIVV EEGGYETICKDRRWARVAQRLNYPPGKNIGSLLRSHYERIVYPYEMYQSGANLVQCNTRP FDNEEKDKEYKPHSIPLRQSVQPSKFNSYGRRAKRLQPDPEPTEEDIEKNPELKKLQIYG AGPKMMGLGLMAKDKTLRKKDKEGPECPPTVVVKEELGGDVKMESTSPKTFLEGKEELSH SPEPCTKMTMRLRRNHSNAQFIESYVCRMCSRGDEDDKLLLCDGCDDNYHIFCLLPPLPE IPKGVWRCPKCVMAECKRPPEAFGFEQATREYTLQSFGEMADSFKADYFNMPVHMVPTEL VEKEFWRLVNSIEEDVTVEYGADIHSKEFGSGFPVSDSKRHLTPEEEEYATSGWNLNVMP VLEQSVLCHINADISGMKVPWLYVGMVFSAFCWHIEDHWSYSINYLHWGEPKTWYGVPSL AAEHLEEVMKKLTPELFDSQPDLLHQLVTLMNPNTLMSHGVPVVRTNQCAGEFVITFPRA YHSGFNQGYNFAEAVNFCTADWLPAGRQCIEHYRRLRRYCVFSHEELICKMAACPEKLDL NLAAAVHKEMFIMVQEERRLRKALLEKGITEAEREAFELLPDDERQCIKCKTTCFLSALA CYDCPDGLVCLSHINDLCKCSSSRQYLRYRYTLDELPAMLHKLKVRAESFDTWANKVRVA LEVEDGRKRSLEELRALESEARERRFPNSELLQRLKNCLSEAEACVSRALGLVSGQEAGP DRVAGLQMTLAELRDFLGQMNNLPCAMHQIGDVKGILEQVEAYQTEAREALVSQPSSPGL LQSLLERGQQLGVEVPEAQQLQRQVEQARWLDEVKRTLAPSARRGTLAIMRGLLVAGASV APSPAVDKAQAELQELLTIAERWEEKAHLCLEARQKHPPATLEAIIHEAENIPVHLPNIQ SLKEALAKARAWIADVDEIQNGDHYPCLDDLEGLVAVGRDLPVGLEELRQLELQVLTAHS WREKASKTFLKKNSCYTLLEVLCPCADAGSDSTKRSRWMEKELGLYKSDTELLGLSAQDL RDPGSVIVAFKEGEQKEKEGILQLRRTNSAKPSPLALLTTASSTASICVCGQVPAGVGAL QCDLCQDWFHGRCVTVPRLLSSQRSSLPSSPLLAWWEWDTKFLCPLCMRSRRPRLETILA LLVALQRLPVRLPEGEALQCLTERAISWQGRARQVLASEEVTALLGRLAELRQRLQAESK PEESLAYPSDGGEGTGNMPKVQGLLENGDSVTSPEKVATEEGSGKRDLELLSSILPQLSG PVLELPEATRAPLEELMMEGDLLEVTLDENHSIWQLLQAGQPPDLKRVQTLLELEKAERH GSRTRGRALERRRRRKVDRGGEPDDPAREELEPKRVRSSGPEAEEVQEEEELEEETGGEV PPVPFPNSGSPSIQEDQDGLEPVLEAGSDTSAPFSTLTSRLLMSCPQQPSLQQL >ENSMUSP00000108207.2 pep:known chromosome:GRCm38:X:152233229:152274535:1 gene:ENSMUSG00000025332.14 transcript:ENSMUST00000112588.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5c description:lysine (K)-specific demethylase 5C [Source:MGI Symbol;Acc:MGI:99781] MELGSDDFLPPPECPVFEPSWAEFRDPLGYIAKIRPIAEKSGICKIRPPADWQPPFAVEV DNFRFTPRIQRLNELEAQTRVKLNYLDQIAKFWEIQGSSLKIPNVERRILDLYSLSKIVV EEGGYETICKDRRWARVAQRLNYPPGKNIGSLLRSHYERIVYPYEMYQSGANLVQCNTRP FDNEEKDKEYKPHSIPLRQSVQPSKFNSYGRRAKRLQPDPEPTEEDIEKNPELKKLQIYG AGPKMMGLGLMAKDKTLRKKDKEGPECPPTVVVKEELGGDVKMESTSPKTFLEGKEELSH SPEPCTKMTMRLRRNHSNAQFIESYVCRMCSRGDEDDKLLLCDGCDDNYHIFCLLPPLPE IPKGVWRCPKCVMAECKRPPEAFGFEQATREYTLQSFGEMADSFKADYFNMPVHMVPTEL VEKEFWRLVNSIEEDVTVEYGADIHSKEFGSGFPVSDSKRHLTPEEEEYATSGWNLNVMP VLEQSVLCHINADISGMKVPWLYVGMVFSAFCWHIEDHWSYSINYLHWGEPKTWYGVPSL AAEHLEEVMKKLTPELFDSQPDLLHQLVTLMNPNTLMSHGVPVVRTNQCAGEFVITFPRA YHSGFNQGYNFAEAVNFCTADWLPAGRQCIEHYRRLRRYCVFSHEELICKMAACPEKLDL NLAAAVHKEMFIMVQEERRLRKALLEKGITEAEREAFELLPDDERQCIKCKTTCFLSALA CYDCPDGLVCLSHINDLCKCSSSRQYLRYRYTLDELPAMLHKLKVRAESFDTWANKVRVA LEVEDGRKRSLEELRALESEARERRFPNSELLQRLKNCLSEAEACVSRALGLVSGQEAGP DRVAGLQMTLAELRDFLGQMNNLPCAMHQIGDVKGILEQVEAYQTEAREALVSQPSSPGL LQSLLERGQQLGVEVPEAQQLQRQVEQARWLDEVKRTLAPSARRGTLAIMRGLLVAGASV APSPAVDKAQAELQELLTIAERWEEKAHLCLEARQKHPPATLEAIIHEAENIPVHLPNIQ SLKEALAKARAWIADVDEIQNGDHYPCLDDLEGLVAVGRDLPVGLEELRQLELQVLTAHS WREKASKTFLKKNSCYTLLEVLCPCADAGSDSTKRSRWMEKELGLYKSDTELLGLSAQDL RDPGSVIVAFKEGEQKEKEGILQLRRTNSAKPSPLALLTTASSTASICVCGQVPAGVGAL QCDLCQDWFHGRCVTVPRLLSSQRSSLPSSPLLAWWEWDTKFLCPLCMRSRRPRLETILA LLVALQRLPVRLPEGEALQCLTERAISWQGRARQVLASEEVTALLGRLAELRQRLQAESK PEESLAYPSDGGEGTGNMPKVQGLLENGDSVTSPEKVATEEGSDLELLSSILPQLSGPVL ELPEATRAPLEELMMEGDLLEVTLDENHSIWQLLQAGQPPDLKRVQTLLELEKAERHGSR TRGRALERRRRRKVDRGGEPDDPAREELEPKRVRSSGPEAEEVQEEEELEEETGGEVPPV PFPNSGSPSIQEDQDGLEPVLEAGSDTSAPFSTLTSRLLMSCPQQPSLQQL >ENSMUSP00000080814.6 pep:known chromosome:GRCm38:X:152233272:152273772:1 gene:ENSMUSG00000025332.14 transcript:ENSMUST00000082177.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5c description:lysine (K)-specific demethylase 5C [Source:MGI Symbol;Acc:MGI:99781] MELGSDDFLPPPECPVFEPSWAEFRDPLGYIAKIRPIAEKSGICKIRPPADWQPPFAVEV DNFRFTPRIQRLNELEIVVEEGGYETICKDRRWARVAQRLNYPPGKNIGSLLRSHYERIV YPYEMYQSGANLVQCNTRPFDNEEKDKEYKPHSIPLRQSVQPSKFNSYGRRAKRLQPDPE PTEEDIEKNPELKKLQIYGAGPKMMGLGLMAKDKTLRKKDKEGPECPPTVVVKEELGGDV KMESTSPKTFLEGKEELSHSPEPCTKMTMRLRRNHSNAQFIESYVCRMCSRGDEDDKLLL CDGCDDNYHIFCLLPPLPEIPKGVWRCPKCVMAECKRPPEAFGFEQATREYTLQSFGEMA DSFKADYFNMPVHMVPTELVEKEFWRLVNSIEEDVTVEYGADIHSKEFGSGFPVSDSKRH LTPEEEEYATSGWNLNVMPVLEQSVLCHINADISGMKVPWLYVGMVFSAFCWHIEDHWSY SINYLHWGEPKTWYGVPSLAAEHLEEVMKKLTPELFDSQPDLLHQLVTLMNPNTLMSHGV PVVRTNQCAGEFVITFPRAYHSGFNQGYNFAEAVNFCTADWLPAGRQCIEHYRRLRRYCV FSHEELICKMAACPEKLDLNLAAAVHKEMFIMVQEERRLRKALLEKGITEAEREAFELLP DDERQCIKCKTTCFLSALACYDCPDGLVCLSHINDLCKCSSSRQYLRYRYTLDELPAMLH KLKVRAESFDTWANKVRVALEVEDGRKRSLEELRALESEARERRFPNSELLQRLKNCLSE AEACVSRALGLVSGQEAGPDRVAGLQMTLAELRDFLGQMNNLPCAMHQIGDVKGILEQVE AYQTEAREALVSQPSSPGLLQSLLERGQQLGVEVPEAQQLQRQVEQARWLDEVKRTLAPS ARRGTLAIMRGLLVAGASVAPSPAVDKAQAELQELLTIAERWEEKAHLCLEARQKHPPAT LEAIIHEAENIPVHLPNIQSLKEALAKARAWIADVDEIQNGDHYPCLDDLEGLVAVGRDL PVGLEELRQLELQVLTAHSWREKASKTFLKKNSCYTLLEVLCPCADAGSDSTKRSRWMEK ELGLYKSDTELLGLSAQDLRDPGSVIVAFKEGEQKEKEGILQLRRTNSAKPSPLALLTTA SSTASICVCGQVPAGVGALQCDLCQDWFHGRCVTVPRLLSSQRSSLPSSPLLAWWEWDTK FLCPLCMRSRRPRLETILALLVALQRLPVRLPEGEALQCLTERAISWQGRARQVLASEEV TALLGRLAELRQRLQAESKPEESLAYPSDGGEGTGNMPKVQGLLENGDSVTSPEKVATEE GSDLELLSSILPQLSGPVLELPEATRAPLEELMMEGDLLEVTLDENHSIWQLLQAGQPPD LKRVQTLLELEKAERHGSRTRGRALERRRRRKVDRGGEPDDPAREELEPKRVRSSGPEAE EVQEEEELEEETGGEVPPVPFPNSGSPSIQEDQDGLEPVLEAGSDTSAPFSTLTSRLLMS CPQQPSLQQL >ENSMUSP00000122750.1 pep:known chromosome:GRCm38:X:152269806:152271556:1 gene:ENSMUSG00000025332.14 transcript:ENSMUST00000156114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5c description:lysine (K)-specific demethylase 5C [Source:MGI Symbol;Acc:MGI:99781] ALAKARAWIADVDEIQNGDHYPCLDDLEGLVAVGRDLPVGLEELRQLELQVLTAHSWREK ASKTFLKKNSCYTLLEIVAFKEGEQKEKEGILQLRRTNSAKPSPLALLTTASSTASICVC GQVPAGVGALQCDLCQDWFHGRCVTVPRLLSSQRSSLPSSPLLAWWEWDTKFLCPLCMRS RRPRLETILALLVALQRLPVRLPEGEALQCLTERAISWQGRARQVLASEEVTALLGRLAE LRQRLQAESKPEESLAYP >ENSMUSP00000123364.1 pep:known chromosome:GRCm38:X:152271913:152273396:1 gene:ENSMUSG00000025332.14 transcript:ENSMUST00000155793.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5c description:lysine (K)-specific demethylase 5C [Source:MGI Symbol;Acc:MGI:99781] XTEEGSDLELLSSILPQLSGPVLELPEATRAPLEELMMEGDLLEVTLDENHSIWQLLQAG QPPDLKRVQTLLELEKAERHGSRTRGRALERRRRRKVDRGGEPDDPAREELEPKRVRSSG PEAEEVQEEEELEEETGAAISATIVTVAEPSTDPNKDPSLASRILSDHQACFLEVGG >ENSMUSP00000097193.1 pep:known chromosome:GRCm38:2:112091761:112092699:-1 gene:ENSMUSG00000074945.1 transcript:ENSMUST00000099598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1314 description:olfactory receptor 1314 [Source:MGI Symbol;Acc:MGI:3031148] MEGTNRSVVSEFMFVGLTNSWKMQVLLFVFASVFYMASMMGNSLIIFTVASDPHLHSLMY FLLANLSFIDLGVSCVTCPKMIYDLFRKHKVISFRGCITQIFFIHVIGGVEVVLLIGMAY DRYVAICKPLHYLTIMNAKMCIFILVSAWVVGLMHSLVQFVYIVNLPFCGPNILDSFYCD LPRFIRLACVDTNQLELMVSANSGFISVGSFFILVISYIVIIVTVQKHSSSGSSKALSTL SAHISVVVLFFGPLIFVYTWPSPSTHLDKYLAIFDAVGTPFLNPVIYTLRNQDMKTAMKR VCRQLLKYGKIS >ENSMUSP00000023165.6 pep:known chromosome:GRCm38:16:4081328:4213997:-1 gene:ENSMUSG00000022521.10 transcript:ENSMUST00000023165.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebbp description:CREB binding protein [Source:MGI Symbol;Acc:MGI:1098280] MAENLLDGPPNPKRAKLSSPGFSANDNTDFGSLFDLENDLPDELIPNGELSLLNSGNLVP DAASKHKQLSELLRGGSGSSINPGIGNVSASSPVQQGLGGQAQGQPNSTNMASLGAMGKS PLNQGDSSTPNLPKQAASTSGPTPPASQALNPQAQKQVGLVTSSPATSQTGPGICMNANF NQTHPGLLNSNSGHSLMNQAQQGQAQVMNGSLGAAGRGRGAGMPYPAPAMQGATSSVLAE TLTQVSPQMAGHAGLNTAQAGGMTKMGMTGTTSPFGQPFSQTGGQQMGATGVNPQLASKQ SMVNSLPAFPTDIKNTSVTTVPNMSQLQTSVGIVPTQAIATGPTADPEKRKLIQQQLVLL LHAHKCQRREQANGEVRACSLPHCRTMKNVLNHMTHCQAGKACQVAHCASSRQIISHWKN CTRHDCPVCLPLKNASDKRNQQTILGSPASGIQNTIGSVGAGQQNATSLSNPNPIDPSSM QRAYAALGLPYMNQPQTQLQPQVPGQQPAQPPAHQQMRTLNALGNNPMSIPAGGITTDQQ PPNLISESALPTSLGATNPLMNDGSNSGNIGSLSTIPTAAPPSSTGVRKGWHEHVTQDLR SHLVHKLVQAIFPTPDPAALKDRRMENLVAYAKKVEGDMYESANSRDEYYHLLAEKIYKI QKELEEKRRSRLHKQGILGNQPALPASGAQPPVIPPAQSVRPPNGPLPLPVNRMQVSQGM NSFNPMSLGNVQLPQAPMGPRAASPMNHSVQMNSMASVPGMAISPSRMPQPPNMMGTHAN NIMAQAPTQNQFLPQNQFPSSSGAMSVNSVGMGQPAAQAGVSQGQVPGAALPNPLNMLAP QASQLPCPPVTQSPLHPTPPPASTAAGMPSLQHPTAPGMTPPQPAAPTQPSTPVSSGQTP TPTPGSVPSAAQTQSTPTVQAAAQAQVTPQPQTPVQPPSVATPQSSQQQPTPVHTQPPGT PLSQAAASIDNRVPTPSSVTSAETSSQQPGPDVPMLEMKTEVQTDDAEPEPTESKGEPRS EMMEEDLQGSSQVKEETDTTEQKSEPMEVEEKKPEVKVEAKEEEENSSNDTASQSTSPSQ PRKKIFKPEELRQALMPTLEALYRQDPESLPFRQPVDPQLLGIPDYFDIVKNPMDLSTIK RKLDTGQYQEPWQYVDDVWLMFNNAWLYNRKTSRVYKFCSKLAEVFEQEIDPVMQSLGYC CGRKYEFSPQTLCCYGKQLCTIPRDAAYYSYQNRYHFCEKCFTEIQGENVTLGDDPSQPQ TTISKDQFEKKKNDTLDPEPFVDCKECGRKMHQICVLHYDIIWPSGFVCDNCLKKTGRPR KENKFSAKRLQTTRLGNHLEDRVNKFLRRQNHPEAGEVFVRVVASSDKTVEVKPGMKSRF VDSGEMSESFPYRTKALFAFEEIDGVDVCFFGMHVQEYGSDCPPPNTRRVYISYLDSIHF FRPRCLRTAVYHEILIGYLEYVKKLGYVTGHIWACPPSEGDDYIFHCHPPDQKIPKPKRL QEWYKKMLDKAFAERIINDYKDIFKQANEDRLTSAKELPYFEGDFWPNVLEESIKELEQE EEERKKEESTAASETPEGSQGDSKNAKKKNNKKTNKNKSSISRANKKKPSMPNVSNDLSQ KLYATMEKHKEVFFVIHLHAGPVISTQPPIVDPDPLLSCDLMDGRDAFLTLARDKHWEFS SLRRSKWSTLCMLVELHTQGQDRFVYTCNECKHHVETRWHCTVCEDYDLCINCYNTKSHT HKMVKWGLGLDDEGSSQGEPQSKSPQESRRLSIQRCIQSLVHACQCRNANCSLPSCQKMK RVVQHTKGCKRKTNGGCPVCKQLIALCCYHAKHCQENKCPVPFCLNIKHKLRQQQIQHRL QQAQLMRRRMATMNTRNVPQQSLPSPTSAPPGTPTQQPSTPQTPQPPAQPQPSPVNMSPA GFPNVARTQPPTIVSAGKPTNQVPAPPPPAQPPPAAVEAARQIEREAQQQQHLYRANINN GMPPGRAGMGTPGSQMTPVGLNVPRPNQVSGPVMSSMPPGQWQQAPIPQQQPMPGMPRPV MSMQAQAAVAGPRMPNVQPPRSISPSALQDLLRTLKSPSSPQQQQQVLNILKSNPQLMAA FIKQRTAKYVANQPGMQPQPGLQSQPGMQPQPGMHQQPSLQNLNAMQAGVPRPGVPPPQP AMGGLNPQGQALNIMNPGHNPNMTNMNPQYREMVRRQLLQHQQQQQQQQQQQQQQQNSAS LAGGMAGHSQFQQPQGPGGYAPAMQQQRMQQHLPIQGSSMGQMAAPMGQLGQMGQPGLGA DSTPNIQQALQQRILQQQQMKQQIGSPGQPNPMSPQQHMLSGQPQASHLPGQQIATSLSN QVRSPAPVQSPRPQSQPPHSSPSPRIQPQPSPHHVSPQTGSPHPGLAVTMASSMDQGHLG NPEQSAMLPQLNTPNRSALSSELSLVGDTTGDTLEKFVEGL >ENSMUSP00000146330.1 pep:known chromosome:GRCm38:16:4083701:4213413:-1 gene:ENSMUSG00000022521.10 transcript:ENSMUST00000205765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebbp description:CREB binding protein [Source:MGI Symbol;Acc:MGI:1098280] MAENLLDGPPNPKRAKLSSPGFSANDNTDFGSLFDLENDLPDELIPNGELSLLNSGNLVP DAASKHKQLSELLRGGSGSSINPGIGNVSASSPVQQGLGGQAQGQPNSTNMASLGAMGKS PLNQGDSSTPNLPKQAASTSGPTPPASQALNPQAQKQVGLVTSSPATSQTGPGICMNANF NQTHPGLLNSNSGHSLMNQAQQGQAQVMNGSLGAAGRGRGAGMPYPAPAMQGATSSVLAE TLTQVSPQMAGHAGLNTAQAGGMTKMGMTGTTSPFGQPFSQTGGQQMGATGVNPQLASKQ SMVNSLPAFPTDIKNTSVTTVPNMSQLQTSVGIVPTQAIATGPTADPEKRKLIQQQLVLL LHAHKCQRREQANGEVRACSLPHCRTMKNVLNHMTHCQAGKACQAILGSPASGIQNTIGS VGAGQQNATSLSNPNPIDPSSMQRAYAALGLPYMNQPQTQLQPQVPGQQPAQPPAHQQMR TLNALGNNPMSIPAGGITTDQQPPNLISESALPTSLGATNPLMNDGSNSGNIGSLSTIPT AAPPSSTGVRKGWHEHVTQDLRSHLVHKLVQAIFPTPDPAALKDRRMENLVAYAKKVEGD MYESANSRDEYYHLLAEKIYKIQKELEEKRRSRLHKQGILGNQPALPASGAQPPVIPPAQ SVRPPNGPLPLPVNRMQVSQGMNSFNPMSLGNVQLPQAPMGPRAASPMNHSVQMNSMASV PGMAISPSRMPQPPNMMGTHANNIMAQAPTQNQFLPQNQFPSSSGAMSVNSVGMGQPAAQ AGVSQGQVPGAALPNPLNMLAPQASQLPCPPVTQSPLHPTPPPASTAAGMPSLQHPTAPG MTPPQPAAPTQPSTPVSSGQTPTPTPGSVPSAAQTQSTPTVQAAAQAQVTPQPQTPVQPP SVATPQSSQQQPTPVHTQPPGTPLSQAAASIDNRVPTPSSVTSAETSSQQPGPDVPMLEM KTEVQTDDAEPEPTESKGEPRSEMMEEDLQGSSQVKEETDTTEQKSEPMEVEEKKPEVKV EAKEEEENSSNDTASQSTSPSQPRKKIFKPEELRQALMPTLEALYRQDPESLPFRQPVDP QLLGIPDYFDIVKNPMDLSTIKRKLDTGQYQEPWQYVDDVWLMFNNAWLYNRKTSRVYKF CSKLAEVFEQEIDPVMQSLGYCCGRKYEFSPQTLCCYGKQLCTIPRDAAYYSYQNRYHFC EKCFTEIQGENVTLGDDPSQPQTTISKDQFEKKKNDTLDPEPFVDCKECGRKMHQICVLH YDIIWPSGFVCDNCLKKTGRPRKENKFSAKRLQTTRLGNHLEDRVNKFLRRQNHPEAGEV FVRVVASSDKTVEVKPGMKSRFVDSGEMSESFPYRTKALFAFEEIDGVDVCFFGMHVQEY GSDCPPPNTRRVYISYLDSIHFFRPRCLRTAVYHEILIGYLEYVKKLGYVTGHIWACPPS EGDDYIFHCHPPDQKIPKPKRLQEWYKKMLDKAFAERIINDYKDIFKQANEDRLTSAKEL PYFEGDFWPNVLEESIKELEQEEEERKKEESTAASETPEGSQGDSKNAKKKNNKKTNKNK SSISRANKKKPSMPNVSNDLSQKLYATMEKHKEVFFVIHLHAGPVISTQPPIVDPDPLLS CDLMDGRDAFLTLARDKHWEFSSLRRSKWSTLCMLVELHTQGQDRFVYTCNECKHHVETR WHCTVCEDYDLCINCYNTKSHTHKMVKWGLGLDDEGSSQGEPQSKSPQESRRLSIQRCIQ SLVHACQCRNANCSLPSCQKMKRVVQHTKGCKRKTNGGCPVCKQLIALCCYHAKHCQENK CPVPFCLNIKHKLRQQQIQHRLQQAQLMRRRMATMNTRNVPQQSLPSPTSAPPGTPTQQP STPQTPQPPAQPQPSPVNMSPAGFPNVARTQPPTIVSAGKPTNQVPAPPPPAQPPPAAVE AARQIEREAQQQQHLYRANINNGMPPGRAGMGTPGSQMTPVGLNVPRPNQVSGPVMSSMP PGQWQQAPIPQQQPMPGMPRPVMSMQAQAAVAGPRMPNVQPPRSISPSALQDLLRTLKSP SSPQQQQQVLNILKSNPQLMAAFIKQRTAKYVANQPGMQPQPGLQSQPGMQPQPGMHQQP SLQNLNAMQAGVPRPGVPPPQPAMGGLNPQGQALNIMNPGHNPNMTNMNPQYREMVRRQL LQHQQQQQQQQQQQQQQQNSASLAGGMAGHSQFQQPQGPGGYAPAMQQQRMQQHLPIQGS SMGQMAAPMGQLGQMGQPGLGADSTPNIQQALQQRILQQQQMKQQIGSPGQPNPMSPQQH MLSGQPQASHLPGQQIATSLSNQVRSPAPVQSPRPQSQPPHSSPSPRIQPQPSPHHVSPQ TGSPHPGLAVTMASSMDQGHLGNPEQSAMLPQLNTPNRSALSSELSLVGDTTGDTLEKFV EGL >ENSMUSP00000145798.1 pep:known chromosome:GRCm38:16:4088380:4094644:-1 gene:ENSMUSG00000022521.10 transcript:ENSMUST00000206464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebbp description:CREB binding protein [Source:MGI Symbol;Acc:MGI:1098280] XKSRFVDSGEMSESFPYRTKALFAFEEIDGVDVCFFGMHVQEYGSDCPPPNTRYVTGHIW ACPPSEGDDYIFHCHPPDQKIPKPKRLQEWYKKMLDKAFAERIINDYKDIFKQANEDRLT SAKELPYFEGDFWPNVLEESIKELEQEEEERKKEESTAASETPEGSQGDSKNA >ENSMUSP00000146029.1 pep:known chromosome:GRCm38:16:4091839:4128621:-1 gene:ENSMUSG00000022521.10 transcript:ENSMUST00000205344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebbp description:CREB binding protein [Source:MGI Symbol;Acc:MGI:1098280] NATSLSNPNPIDPSSMQRAYAALGLPYMNQPQTQLQPQVPGQQPAQPPAHQQMRTLNALG NNPMSIPAGGITTDQQPPNLISESALPTSLGATNPLMNDGSNSGNIGSLSTIPTAAPPSS TGVRKGWHEHVTQDLRSHLVHKLVQAIFPTPDPAALKDRRMENLVAYAKKVEGDMYESAN SRDEYYHLLAEKIYKIQKELEEKRRSRLHKQGILGNQPALPASGAQPPVIPPAQSVRPPN GPLPLPVNRMQVSQGMNSFNPMSLGNVQLPQAPMGPRAASPMNHSVQMNSMASVPGMAIS PSRMPQPPNMMGTHANNIMAQAPTQNQFLPQNQFPSSSGAMSVNSVGMGQPAAQAGVSQG QVPGAALPNPLNMLAPQASQLPCPPVTQSPLHPTPPPASTAAGMPSLQHPTAPGMTPPQP AAPTQPSTPVSSGQTPTPTPGSVPSAAQTQSTPTVQAAAQAQVTPQPQTPVQPPSVATPQ SSQQQPTPVHTQPPGTPLSQAAASIDNRVPTPSSVTSAETSSQQPGPDVPMLEMKTEVQT DDAEPEPTESKGEPRSEMMEEDLQGSSQVKEETDTTEQKSEPMEVEEKKPEVKVEAKEEE ENSSNDTASQSTSPSQPRKKIFKPEELRQALMPTLEALYRQDPESLPFRQPVDPQLLGIP DYFDIVKNPMDLSTIKRKLDTGQYQEPWQYVDDVWLMFNNAWLYNRKTSRVYKFCSKLAE VFEQEIDPVMQSLGYCCGRKYEFSPQTLCCYGKQLCTIPRDAAYYSYQNSSPKYGLLADR YHFCEKCFTEIQGENVTLGDDPSQPQTTISKDQFEKKKNDTLDPEPFVDCKECGRKMHQI CVLHYDIIWPSGFVCDNCLKKTGRPRKENKFSAKRLQTTRLGNHLEDRVNKFLRRQNHPE AGEVFVRVVASSDKTVEVKPGMKSRFVDSGEMSESFPYRTKALFAFEEIDGVDVCFFGMH VQEYGSDCPPPNTRRVYISYLDSIHFFRPRCLRTAVYHEILIGYLEYVKKLGYVTGHIWA CPPSEGDDYIFHCHPPDQKIPKPKRLQEWYKKMLDKAFAERIINDYKDIFKQANEDRLTS AKELPYFEGDFWPNVLEESIKELEQEE >ENSMUSP00000145825.1 pep:known chromosome:GRCm38:16:4092190:4096126:-1 gene:ENSMUSG00000022521.10 transcript:ENSMUST00000206098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebbp description:CREB binding protein [Source:MGI Symbol;Acc:MGI:1098280] VCDNCLKKTGRPRKENKFSAKRLQTTRLGNHLEDRVNKFLRRQNHPEAGEVFVRVVASSD KTVEVKPGMKSRYVTGHIWACPPSEGDDYIFHCHPPDQKIPKPKRLQEWYKKMLDKAFAE RIINDYK >ENSMUSP00000146076.1 pep:known chromosome:GRCm38:16:4119033:4126602:-1 gene:ENSMUSG00000022521.10 transcript:ENSMUST00000205685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebbp description:CREB binding protein [Source:MGI Symbol;Acc:MGI:1098280] XDGSNSGNIGSLSTIPTAAPPSSTGVRKGWHEHVTQDLRSHLVHKLVQAIFPTPDPAALK DRRMENLVAYAKKVEGDMYESANSRDEYYHLLAEKIYKIQKELEEKRRSRLHKQGILGNQ PALPASGAQPPVIPPAQSVRPPRMNSFNPMSLGNVQLPQAPMGPRAASPMNHSVQMNSMA SVPGMAISPSRMPQPPNMMGTHANNIMAQAPTQNQFLPQNQFPSSSGAMSVNSVGMGQPA AQAGVSQ >ENSMUSP00000146586.1 pep:known chromosome:GRCm38:2:112110312:112111250:-1 gene:ENSMUSG00000109033.1 transcript:ENSMUST00000207542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1315-ps1 description:olfactory receptor 1315, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031149] MGGANLSVVSEFVFLGLTNSWDIQLLLFVFSSVFYVASMMGNSLIIFTVASDPHLHTPMY FLLANLSFIDLGVSSVTSPKMIYDLFRKHKVISFTGCVIQIFSIHVIGGVEMVLLIAMAF DRYVAICKPLHYLTILSPRMCLFFVVIAWIVGLIHSLAQLVFVINLPFCGPNVLDSFYCD LPRFIKLACVDTHKLEFMVTANSGFISVGSFFILIVSYIVIIISVQKHSSGGFSKALSTL SAHISVVVLFFGPLIFVYTWTSPSVHLDKFLAIFDTVITPFLNPVIYTFRNQEMKMAMKR VFKHLMSYGKIS >ENSMUSP00000146692.1 pep:known chromosome:GRCm38:2:112110312:112111256:-1 gene:ENSMUSG00000109033.1 transcript:ENSMUST00000208654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1315-ps1 description:olfactory receptor 1315, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031149] MLMGGANLSVVSEFVFLGLTNSWDIQLLLFVFSSVFYVASMMGNSLIIFTVASDPHLHTP MYFLLANLSFIDLGVSSVTSPKMIYDLFRKHKVISFTGCVIQIFSIHVIGGVEMVLLIAM AFDRYVAICKPLHYLTILSPRMCLFFVVIAWIVGLIHSLAQLVFVINLPFCGPNVLDSFY CDLPRFIKLACVDTHKLEFMVTANSGFISVGSFFILIVSYIVIIISVQKHSSGGFSKALS TLSAHISVVVLFFGPLIFVYTWTSPSVHLDKFLAIFDTVITPFLNPVIYTFRNQEMKMAM KRVFKHLMSYGKIS >ENSMUSP00000103296.2 pep:known chromosome:GRCm38:7:46647130:46667377:-1 gene:ENSMUSG00000040046.13 transcript:ENSMUST00000107669.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tph1 description:tryptophan hydroxylase 1 [Source:MGI Symbol;Acc:MGI:98796] MIEDNKENKENKDHSSERGRVTLIFSLENEVGGLIKVLKIFQENHVSLLHIESRKSKQRN SEFEIFVDCDISREQLNDIFPLLKSHATVLSVDSPDQLTAKEDVMETVPWFPKKISDLDF CANRVLLYGSELDADHPGFKDNVYRRRRKYFAELAMNYKHGDPIPKIEFTEEEIKTWGTI FRELNKLYPTHACREYLRNLPLLSKYCGYREDNIPQLEDVSNFLKERTGFSIRPVAGYLS PRDFLSGLAFRVFHCTQYVRHSSDPLYTPEPDTCHELLGHVPLLAEPSFAQFSQEIGLAS LGASEETVQKLATCYFFTVEFGLCKQDGQLRVFGAGLLSSISELKHALSGHAKVKPFDPK IACKQECLITSFQDVYFVSESFEDAKEKMREFAKTVKRPFGLKYNPYTQSVQVLRDTKSI TSAMNELRYDLDVISDALARVTRWPSV >ENSMUSP00000037752.7 pep:known chromosome:GRCm38:7:46647161:46672537:-1 gene:ENSMUSG00000040046.13 transcript:ENSMUST00000049298.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tph1 description:tryptophan hydroxylase 1 [Source:MGI Symbol;Acc:MGI:98796] MIEDNKENKENKDHSSERGRVTLIFSLENEVGGLIKVLKIFQENHVSLLHIESRKSKQRN SEFEIFVDCDISREQLNDIFPLLKSHATVLSVDSPDQLTAKEDVMETVPWFPKKISDLDF CANRVLLYGSELDADHPGFKDNVYRRRRKYFAELAMNYKHGDPIPKIEFTEEEIKTWGTI FRELNKLYPTHACREYLRNLPLLSKYCGYREDNIPQLEDVSNFLKERTGFSIRPVAGYLS PRDFLSGLAFRVFHCTQYVRHSSDPLYTPEPDTCHELLGHVPLLAEPSFAQFSQEIGLAS LGASEETVQKLATCYFFTVEFGLCKQDGQLRVFGAGLLSSISELKHALSGHAKVKPFDPK IACKQECLITSFQDVYFVSESFEDAKEKMREFAKTVKRPFGLKYNPYTQSVQVLRDTKSI TSAMNELRYDLDVISDALARVTRWPSV >ENSMUSP00000128727.1 pep:known chromosome:GRCm38:7:46647426:46665288:-1 gene:ENSMUSG00000040046.13 transcript:ENSMUST00000172386.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tph1 description:tryptophan hydroxylase 1 [Source:MGI Symbol;Acc:MGI:98796] XKENKENKDHSSERGRVTLIFSLENEVGGLIKVLKIFQENHVSLLHIESRKSKQRNSEFE IFVDCDISREQLNDIFPLLKSHATVLSVDSPDQLTAKEDVMETVPWFPKKISDLDFCANR VLLYGSELDADHPGFKDNVYRRRRKYFAELAMNYKQTHWVFHPSCGWLPLTERFSVGVSL SSLSLHSVCETQFRSPLHSRARHLP >ENSMUSP00000132489.1 pep:known chromosome:GRCm38:7:46647426:46665301:-1 gene:ENSMUSG00000040046.13 transcript:ENSMUST00000170251.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tph1 description:tryptophan hydroxylase 1 [Source:MGI Symbol;Acc:MGI:98796] MIEDNKENKENKDHSSERGRVTLIFSLENEVGGLIKVLKIFQENHVSLLHIESRKSKQRN SEFEIFVDCDISREQLNDIFPLLKSHATVLSVDSPDQLTAKEDVMETVPWFPKKISDLDF CANRVLLYGSELDADHPGFKDNVYRRRRKYFAELAMNYKHGDPIPKIEFTEEEIKTWGTI FRELNKLYPTHACREYLRNLPLLSKYCGYREDNIPQLEDVSNFLKERTGFSIRPVAGYLS PRDFLSGLAFRVFHCTQYVRHSSDPLYTPEPATFSLWSLGCANKMDS >ENSMUSP00000128107.1 pep:known chromosome:GRCm38:7:46660338:46667343:-1 gene:ENSMUSG00000040046.13 transcript:ENSMUST00000168335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tph1 description:tryptophan hydroxylase 1 [Source:MGI Symbol;Acc:MGI:98796] MIEDNKENKENKDHSSERGRVTLIFSLENEVGGLIKVLKIFQENHVSLLHIESRKSKQRN SEFEIFVDCDISREQLNDIFPLLKSHATVLSVDSPDQLTAKEDVMETVPWFPKKISDLDF CANRVLLYGSELDADHPVNMFTKSRSANNLSQTED >ENSMUSP00000092119.2 pep:known chromosome:GRCm38:5:107437997:107491628:1 gene:ENSMUSG00000070632.4 transcript:ENSMUST00000094541.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd8 description:BTB (POZ) domain containing 8 [Source:MGI Symbol;Acc:MGI:3646208] MAHCGAGGAAPVALLSSPGHGRKGLPRKGPGERRRLKAAVSEQLSRDVLRLLREEIHTDT ILSVSGSLFKVHKAVLLARAPGFHSHIIEHTSSDLTNELVPVDGVEASEFKAFLQIVYSS NKNIKNYEEEIVKKLKVGSLMPEKGPDVSFPRYRTSSDCFLGKGEIPEDITGGGDCFISK ADSDLEPASELGGDLLKLYLSQHYPDIDICVDGRSFRAHRAILSARSSYFAAMLSGCWAE SSQECITLQGITHVEMNVMMHFIYGGTLDFPEKANVGQILNVADMYGLEGLREVAIYVLR RDYCNFFQKPVPRTWASILECLIIAHSVGVESLFADCMNCIISHFARFWSERSFANVPPE IQKTCLSMQIQSLVSIV >ENSMUSP00000045282.7 pep:known chromosome:GRCm38:6:29694222:29718559:1 gene:ENSMUSG00000001763.14 transcript:ENSMUST00000046750.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan33 description:tetraspanin 33 [Source:MGI Symbol;Acc:MGI:1919012] MARRPGVPAAYGDEFSFVSPLVKYLLFFFNMLFWVISMVMVAVGVYARLMKHAEAALACL AVDPAILLIVVGVLMFLLTFCGCIGSLRENICLLQTFSLCLTIVFLLQLAAGILGFVFSD KARGKVSEIINNAIVHYRDDLDLQNLIDFGQKKFSCCGGISYRDWSQNMYFNCSEDNPSR ERCSVPYSCCLPTPNQAVINTMCGQGMQALDYLEASKVIYTNGCIDKLVNWIHSNLFLLG GVALGLAIPQLVGILLSQVLVNQIKDQIKLQLYNQQHRADPWY >ENSMUSP00000110905.3 pep:known chromosome:GRCm38:6:29694234:29718557:1 gene:ENSMUSG00000001763.14 transcript:ENSMUST00000115250.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan33 description:tetraspanin 33 [Source:MGI Symbol;Acc:MGI:1919012] MARRPGVPAAYGDEFSFVSPLVKYLLFFFNMLFWVISMVMVAVGVYARLMKHAAALACLA VDPAILLIVVGVLMFLLTFCGCIGSLRENICLLQTFSLCLTIVFLLQLAAGILGFVFSDK ARGKVSEIINNAIVHYRDDLDLQNLIDFGQKKFSCCGGISYRDWSQNMYFNCSEDNPSRE RCSVPYSCCLPTPNQAVINTMCGQGMQALDYLEASKVIYTNGCIDKLVNWIHSNLFLLGG VALGLAIPQLVGILLSQVLVNQIKDQIKLQLYNQQHRADPWY >ENSMUSP00000137732.1 pep:known chromosome:GRCm38:8:121127940:121130644:1 gene:ENSMUSG00000097084.1 transcript:ENSMUST00000181609.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxl1 description:forkhead box L1 [Source:MGI Symbol;Acc:MGI:1347469] MSHLFSPPLAALAASPLLYVYSPERPGLPLAFAPAAALAGPGRVEPPQKPPYSYIALIAM AIQDAPEQRVTLNGIYQFIMDRFPFYHDNRQGWQNSIRHNLSLNECFVKVPREKGRPGKG SYWTLDPRCLDMFENGNYRRRKRKPKPAAGSPEAKRTRVEPPESEVGCDVGSPDLATALP TRAPDRSQSPAVGTARPALLPWPGPEPRDPDADLTVQGAGAVASGQLQRPAHHLGSPLCP APSGSPKGSKSKSFSIDSILAVRPTPASGAEAPGIPKPVPGALGSSLLAASSGLAPPFNA SLVFDAHVQGGFSQLGIPFLSYFPLQVPEATVLRFH >ENSMUSP00000110349.2 pep:known chromosome:GRCm38:17:29318882:29329413:1 gene:ENSMUSG00000024011.16 transcript:ENSMUST00000114701.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi16 description:peptidase inhibitor 16 [Source:MGI Symbol;Acc:MGI:1921366] MHGSCSPWVMLPPPLLLLLLLIATGPTTALTEDEKQTMVDLHNQYRAQVSPPASDMLQMR WDDELAAFAKAYAQKCVWGHNKERGRRGENLFAITDEGMDVPLAVGNWHEEHEYYNFSTA TCDPNQMCGHYTQVVWSKTERIGCGSHFCETLQGVEEANIHLLVCNYEPPGNVKGRKPYQ EGTPCSQCPLGYSCENSLCEPMRNPEKAQDSPPRVTEVPSTRATEAPSSRETGTPSLATS ETLHFSVTKVSDSLATESSPAVETKAPSSLATEGPSSMATEAQAFVTEVPLVSARHMQPS VDEGPVNFLTSTHIPVPKSMDEEASKSSATSVSPKKSLYPKMSLTESGESVPQIQEEAEP KDELSEPEAILPEAEAAPTEAEVELREPEAESPKAESPEAEAESPLSSEALVPVLPAQER GGQKASLEHSGHPASPSLPTFPSASGNATGGRTLALQSSWTGAENPEKADWDLKNSAHVW GPFLGLLLPSLLLLAGMV >ENSMUSP00000110347.1 pep:known chromosome:GRCm38:17:29319197:29328902:1 gene:ENSMUSG00000024011.16 transcript:ENSMUST00000114699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi16 description:peptidase inhibitor 16 [Source:MGI Symbol;Acc:MGI:1921366] MHGSCSPWVMLPPPLLLLLLLIATGPTTALTEDEKQTMVDLHNQYRAQVSPPASDMLQMR WDDELAAFAKAYAQKCVWGHNKERGRRGENLFAITDEGMDVPLAVGNWHEEHEYYNFSTA TCDPNQMCGHYTQVVWSKTERIGCGSHFCETLQGVEEANIHLLVCNYEPPGNVKGRKPYQ EGTPCSQCPLGYSCENSLCEPMRNPEKAQDSPPRVTEVPSTRATEAPSSRETGAENPEKA DWDLKNSAHVWGPFLGLLLPSLLLLAGMV >ENSMUSP00000116183.1 pep:known chromosome:GRCm38:17:29319234:29329099:1 gene:ENSMUSG00000024011.16 transcript:ENSMUST00000155348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi16 description:peptidase inhibitor 16 [Source:MGI Symbol;Acc:MGI:1921366] XWVMLPPPLLLLLLLIATGPTTALTEDEKQTMVDLHNQYRAQVSPPASDMLQMRWDDELA AFAKAYAQKCVWGHNKERGRRGENLFAITDEGMDVPLAVGNWHEEHEYYNFSTATCDPNQ MCGHYTQVVWSKTERIGCGSHFCETLQGVEEANIHLLVCNYEPPGNVKGRKPYQEGTPCS QCPLGYSCENSLCGAENPEKADWDLKNSAHVWGPFLGLLLPSLLLLAGMV >ENSMUSP00000099887.3 pep:known chromosome:GRCm38:4:83220300:83324255:-1 gene:ENSMUSG00000038172.14 transcript:ENSMUST00000102823.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39b description:tetratricopeptide repeat domain 39B [Source:MGI Symbol;Acc:MGI:1917113] MALVGSRVELDADEDIFEDALETISRSPSDMATSGFHFVPCETKRTSRQLGASAMGRPEG SSAKVDLKSGLEECAEALNLFLSNKFKDALELLRPWAKESMYHALGYSTIVVLQAVMTFE QQDIQNGISAMKDALQTCQKYRKKCTVVESFSSLLSRGSLEQLSEEEMHAEICYAECLLQ KAALTFVQDENMINFIKGGLKIRTSYQIYKECLSILHVIQKNKQEQHFFYEFEGGVKLGT GAFNLMLSLLPARIIRLLEFIGFSGNRDLGLLQLREGASGSSMRSPLCCLTILAFHTYIS LILGTGEVNVVEAESLLAPFLQQFPNGSLILFYHARIELLKGNTEKAQETFRKCISVQEE WKQFHHLCYWELMWIHIYQQNWMQAYYYSDLLCKESKWSKATYVFLKAAILSMLPEEEVA ATKENVVSLFRQVDGLKQRIAGKSLPTEKFAVRKARRYSPPSGVPGKLVMPALEMMYVWN GFSIVGKRKDLSENLLVTVEKAEEALQNQDFTDYSVDDECLVKLLKGCCLKNLERPLQAE LCFNHVVESEKLLKYDHYLVPFTLFELAFLYKSQGEIDKAIKVLETARNNYKDYSLESRL HFRIQAALHLWKKPSTD >ENSMUSP00000040590.4 pep:known chromosome:GRCm38:4:83239810:83324239:-1 gene:ENSMUSG00000038172.14 transcript:ENSMUST00000048274.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39b description:tetratricopeptide repeat domain 39B [Source:MGI Symbol;Acc:MGI:1917113] MALVGSRVELDADEDIFEDALETISRSPSDMATSGFHFVPCETKRTSRQLGASAMGRPEG SSAKVDLKSGLEECAEALNLFLSNKFKDALELLRPWAKESMYHALGYSTIVVLQAVMTFE QQDIQNGISAMKDALQTCQKYRKKCTVVESFSSLLSRGSLEQLSEEEMHAEICYAECLLQ KAALTFVQDENMINFIKGGLKIRTSYQIYKECLSILHVIQKNKQEQHFFYEFEGGVKLGT GAFNLMLSLLPARIIRLLEFIGFSGNRDLGLLQLREGASGSSMRSPLCCLTILAFHTYIS LILGTGEVNVVEAESLLAPFLQQFPNGSLILFYHARIELLKGNTEKAQETFRKCISVQEE WKQFHHLCYWELMWIHIYQQNWMQAYYYSDLLCKESKWSKATYVFLKAAILSMLPEEEVA ATKENVVSLFRQVDGLKQRIAGKSLPTEKFAVRKARRYSPPSGVPGKLVMPALVLLLLTV YLPEIRSLLGASRDALTFACEVYSSVENYYVFLYLYVN >ENSMUSP00000124955.1 pep:known chromosome:GRCm38:4:83252986:83285169:-1 gene:ENSMUSG00000038172.14 transcript:ENSMUST00000150522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39b description:tetratricopeptide repeat domain 39B [Source:MGI Symbol;Acc:MGI:1917113] MATSGFHFVPCETKRTSRQLGASAMGRPEGSSAKVDLKSGLEECAEALNLFLSNKFKDAL ELLRPWAKESMYHALGYSTIVVLQAVMTFEQQDIQNGISAMKDALQTCQKYRKKCTVVES FSSLLSRGSLEQLSEEEMHAEICYAECLLQKAALTFVQDENMINFIKGGLKIRTSYQIY >ENSMUSP00000030205.7 pep:known chromosome:GRCm38:4:83259198:83324189:-1 gene:ENSMUSG00000038172.14 transcript:ENSMUST00000030205.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39b description:tetratricopeptide repeat domain 39B [Source:MGI Symbol;Acc:MGI:1917113] MALVGSRVELDADEDIFEDALETISRSPSDMATSGFHFVPCETKRTSRQLGASAMGRPEG SSAKVDLKSGLEECAEALNLFLSNKFKDALELLRPWAKESMYHALGYSTIVVLQAVMTFE QQDIQNGISAMKDALQTCQKYRKKCTVVESFSSLLSRGSLEQLSEETDLRASLRTRAGTG RPKVFPRQSMRLNWPQYDLHCLFLPGNPM >ENSMUSP00000124490.1 pep:known chromosome:GRCm38:4:83259329:83279407:-1 gene:ENSMUSG00000038172.14 transcript:ENSMUST00000148811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39b description:tetratricopeptide repeat domain 39B [Source:MGI Symbol;Acc:MGI:1917113] MATSGFHFVPCETKRTSRQLGASAMGRPEGSSAKVDLKSGLEECAEALNLFLSNKFKDAL ELLRPWAKESMYHALGYSTIVVLQAVMTFEQQDIQNGISAMKDALQTCQKYRKKCTVVES FSSLLSRGSLEQLSEETDLRASLRTRAGTGRPKVFPRQSMRLNWPQYDLHCLFLPGNPM >ENSMUSP00000036360.6 pep:known chromosome:GRCm38:10:34297442:34301320:1 gene:ENSMUSG00000039485.7 transcript:ENSMUST00000047935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspyl4 description:TSPY-like 4 [Source:MGI Symbol;Acc:MGI:106393] MNGVEGNNELSLANTTTPSHASEDLDLKQDQGLQEETDTVREMEAAGEAGADGGASPDSE HCGPELCFRVAENSCAAAARGLEDAPSPSKGGDAPSAPVAADDSSKNGCQLEGPHSPAKP KALEACGAVGLGSQQMPGPKKTKEMTTTKCAISVATGKEGEAGAAMQEKKGLQKEKKVAG GGKEETRPRAPKINCMDSLEAIDQELSNVNAQADRAFLQLERKFGRMRRLHMQRRSFIIQ NIPGFWVTAFRNHPQLSPMISGQDEDMMRYMINLEVEELKQPRVGCKFKFIFQSNPYFRN EGLVKEYERRSSGRVVSLSTPIRWHRGQEPQAHIHRNREGNTIPSFFNWFSDHSLLEFDR IAEIIKGELWSNPLQYYLMGDGPRRGVRVPPRQPVESPRSFRFQSG >ENSMUSP00000108498.1 pep:known chromosome:GRCm38:2:38723370:38927688:-1 gene:ENSMUSG00000063972.13 transcript:ENSMUST00000112877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr6a1 description:nuclear receptor subfamily 6, group A, member 1 [Source:MGI Symbol;Acc:MGI:1352459] MERDERPPSGGGGGGGSAGFLEPPAALPPPPRNGFCQDELAELDPGTNGETDSLTLGQGH IPVSVPDDRAEQRTCLICGDRATGLHYGIISCEGCKGFFKRSICNKRVYRCSRDKNCVMS RKQRNRCQYCRLLKCLQMGMNRKAIREDGMPGGRNKSIGPVQISEEEIERIMSGQEFEEE ANHWSNHGDSDHSSPGNRASESNQPSPGSTLSSSRSVELNGFMAFRDQYMGMSVPPHYQY IPHLFSYSGHSPLLPPQARSLDPQSYSLIHQLMSAEDLEPLGTPMLIEDGYAVTQAELFA LLCRLADELLFRQIAWIKKLPFFCELSIKDYTCLLSSTWQELILLSSLTVYSKQIFGELA DVTAKYSPSDEELHRFSDEGMEVIERLIYLYHKFHQLKVSNEEYACMKAINFLNQDIRGL TSASQLEQLNKRYWYICQDFTEYKYTHQPNRFPDLMMCLPEIRYIAGKMVNVPLEQLPLL FKVVLHSCKTSTVKE >ENSMUSP00000121234.1 pep:known chromosome:GRCm38:2:38739135:38784520:-1 gene:ENSMUSG00000063972.13 transcript:ENSMUST00000142113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr6a1 description:nuclear receptor subfamily 6, group A, member 1 [Source:MGI Symbol;Acc:MGI:1352459] METWEVSVPDDRAEQRTCLICGDRATGLHYGIISCEGCKGFFKRSICNKRVYRCSRDKNC VMSRKQRNRCQYCRLLKCLQMGMNRKAIREDGMPGGRNKSIGPVQISEEEIERIMSGQEF EEEANHWSNHGDSDHSSPGNRASESNQPSPGSTLSSSRSVELNGFMAFRDQYMGMSVPPH YQYIPHLFSYSGHSPLLPPQARSLDPQSYSLIHQLMSAEDLEPLGTPMLIEDGYA >ENSMUSP00000115164.1 pep:known chromosome:GRCm38:2:38740543:38926275:-1 gene:ENSMUSG00000063972.13 transcript:ENSMUST00000142130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr6a1 description:nuclear receptor subfamily 6, group A, member 1 [Source:MGI Symbol;Acc:MGI:1352459] MERDERPPSGGGGGGGSAGFLEPPAALPPPPRNGFCQDELAELDPGTISVPDDRAEQRTC LICGDRATGLHYGIISCEGCKGFFKRSICNKRVYRCSRDKNCVMSRKQRNRCQYCRLLKC LQMGMNRKAIREDGMPGGRNKSIGPVQISEEEIERIMSGQEFEEEANHWSNHGDSDHSSP GNRASESNQPSPGSTLSSSRSVELNGFMAFRDQYMGMSVP >ENSMUSP00000126009.1 pep:known chromosome:GRCm38:2:38723374:38784515:-1 gene:ENSMUSG00000063972.13 transcript:ENSMUST00000168098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr6a1 description:nuclear receptor subfamily 6, group A, member 1 [Source:MGI Symbol;Acc:MGI:1352459] METWEVSVPDDRAEQRTCLICGDRATGLHYGIISCEGCKGFFKRSICNKRVYRCSRDKNC VMSRKQRNRCQYCRLLKCLQMGMNRKAIREDGMPGGRNKSIGPVQISEEEIERIMSGQEF EEEANHWSNHGDSDHSSPGNRASESNQPSPGSTLSSSRSVELNGFMAFRDQYMGMSVPPH YQYIPHLFSYSGHSPLLPPQARSLDPQSYSLIHQLMSAEDLEPLGTPMLIEDGYAVTQAE LFALLCRLADELLFRQIAWIKKLPFFCELSIKDYTCLLSSTWQELILLSSLTVYSKQIFG ELADVTAKYSPSDEELHRFSDEGMEVIERLIYLYHKFHQLKVSNEEYACMKAINFLNQDI RGLTSASQLEQLNKRYWYICQDFTEYKYTHQPNRFPDLMMCLPEIRYIAGKMVNVPLEQL PLLFKVVLHSCKTSTVKE >ENSMUSP00000075624.4 pep:known chromosome:GRCm38:2:38723374:38926217:-1 gene:ENSMUSG00000063972.13 transcript:ENSMUST00000076275.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr6a1 description:nuclear receptor subfamily 6, group A, member 1 [Source:MGI Symbol;Acc:MGI:1352459] MERDERPPSGGGGGGGSAGFLEPPAALPPPPRNGFCQDELAELDPGTNGETDSLTLGQGH IPVSVPDDRAEQRTCLICGDRATGLHYGIISCEGCKGFFKRSICNKRVYRCSRDKNCVMS RKQRNRCQYCRLLKCLQMGMNRKAIREDGMPGGRNKSIGPVQISEEEIERIMSGQEFEEE ANHWSNHGDSDHSSPGNRASESNQPSPGSTLSSSRSVELNGFMAFRDQYMGMSVPPHYQY IPHLFSYSGHSPLLPPQARSLDPQSYSLIHQLMSAEDLEPLGTPMLIEDGYAVTQAELFA LLCRLADELLFRQIAWIKKLPFFCELSIKDYTCLLSSTWQELILLSSLTVYSKQIFGELA DVTAKYSPSDEELHRFSDEGMEVIERLIYLYHKFHQLKVSNEEYACMKAINFLNQDIRGL TSASQLEQLNKRYWYICQDFTEYKYTHQPNRFPDLMMCLPEIRYIAGKMVNVPLEQLPLL FKVVLHSCKTSTVKE >ENSMUSP00000104122.1 pep:known chromosome:GRCm38:7:18674107:18686185:-1 gene:ENSMUSG00000063305.10 transcript:ENSMUST00000108482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg20 description:pregnancy-specific glycoprotein 20 [Source:MGI Symbol;Acc:MGI:1891352] MEVSSELLLSNGCTSRQRVLLTASLFTCWLLSTTAKVTIHSPLQVVEGQNVFLRVDNLPE DLLAFAWYRGLRNWRVAIALHLVEYNASMTGPEHSDREILHSNGSLWIQNVTQEDTGYYT LQTISKHGKLVSNTSTFLQVYSALFTCGRPTSPAKLTIESVPPRVAEGGSVLLLVHNLPE YSQLFSWYKGLTLFNEVEIAEYKIAKNLSDPGPAHSGREIVFSNGSLLLQNVTWKDTGFY TLQTVNGFREMELAHIYLRVDTPCCDPPDSARLRIDPVTCHAAEGGSVLLQVHNLPEDVQ TFSWYKGVDSTPYFRIVEYSKAMKSIFSGYAHSRRETGYTNGSLLLQDVTEKDTGFYTLL TIDSHVKVETVHAQVNVHKLVTQPVVRVTDSTVRVQSSVVFTCFSDNTGVSIRWLFNNQS LQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVSLAVMNE >ENSMUSP00000075973.3 pep:known chromosome:GRCm38:7:18674366:18685992:-1 gene:ENSMUSG00000063305.10 transcript:ENSMUST00000076677.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg20 description:pregnancy-specific glycoprotein 20 [Source:MGI Symbol;Acc:MGI:1891352] MEVSSELLLSNGCTSRQRVLLTASLFTCWLLSTTAKVTIHSPLQVVEGQNVFLRVDNLPE DLLAFAWYRGLRNWRVAIALHLVEYNASMTGPEHSDREILHSNGSLWIQNVTQEDTGYYT LQTISKHGKLVSNTSTFLQVYSALFTCGRPTSPAKLTIESVPPRVAEGGSVLLLVHNLPE YSQLFSWYKGLTLFNEVEIAEYKIAKNLSDPGPAHSGREIVFSNGSLLLQNVTWKDTGFY TLQTVNGFREMELAHIYLRVDSKLSPCCDPPDSARLRIDPVTCHAAEGGSVLLQVHNLPE DVQTFSWYKGVDSTPYFRIVEYSKAMKSIFSGYAHSRRETGYTNGSLLLQDVTEKDTGFY TLLTIDSHVKVETVHAQVNVHKLVTQPVVRVTDSTVRVQSSVVFTCFSDNTGVSIRWLFN NQSLQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVSLAVMNE >ENSMUSP00000023489.4 pep:known chromosome:GRCm38:16:32877781:32908875:1 gene:ENSMUSG00000022800.13 transcript:ENSMUST00000023489.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyttd1 description:forty-two-three domain containing 1 [Source:MGI Symbol;Acc:MGI:1917955] MNRFGTRLVGATATPPPPPKARSNENLDKIDMSLDDIIKLNRKEGKKQNFPRLNRRLQQS GTRQFRMRVRWGIQQNSGFGKTSLSRRGRVLPGKRRPYGVITGLAARKATGIRKGISPMN RPPLSDKNIERYFPALKRKTSLLRQNEVQRKQVAVLKRPNQLNRKNNIPANFTRNGNKLS HQKDTRQATFLFRRGLKVQTQLNTEQLIDDVVAKRTRQWRTSTTNGGILTVSIDNPGAVQ CPVTQKPRLTRTAVPSFLTKREQSDVKKVPKGVPLQFDINSVGKQTGMTLNERFGILKEQ RANLTFSKGGSRFVTVG >ENSMUSP00000113541.1 pep:known chromosome:GRCm38:16:32882982:32905630:1 gene:ENSMUSG00000022800.13 transcript:ENSMUST00000120345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyttd1 description:forty-two-three domain containing 1 [Source:MGI Symbol;Acc:MGI:1917955] MNRPPLSDKVQTQLNTEQLIDDVVAKRTRQWRTSTTNGGILTVSIDNPGAVQCPVTQKPR LTRTAVPSFLTKREQSDVKKVPKGVPLQFDINSVGKQTGMTLNERFGILKEQRANLTFSK GGSRFVTVG >ENSMUSP00000131446.1 pep:known chromosome:GRCm38:16:32877784:32908963:1 gene:ENSMUSG00000022800.13 transcript:ENSMUST00000171325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyttd1 description:forty-two-three domain containing 1 [Source:MGI Symbol;Acc:MGI:1917955] MNRFGTRLVGATATPPPPPKARSNENLDKIDMSLDDIIKLNRKEGKKQNFPRLNRRLQQS GTRQFRMRVRWGIQQNSGFGKTSLSRRGRVLPGKRRPYGVITGLAARKATGIRKGISPMN RPPLSDKNIERYFPALKRKTSLLRQNEVQRKQVAVLKRPNQLNRKNGNKLSHQKDTRQAT FLFRRGLKVQTQLNTEQLIDDVVAKRTRQTQKPRLTRTAVPSFLTKREQSDVKKVPKGVP LQFDINSVGKQTGMTLNERFGILKEQRANLTFSKGGSRFVTVG >ENSMUSP00000096173.2 pep:known chromosome:GRCm38:3:138925902:139075201:-1 gene:ENSMUSG00000028149.12 transcript:ENSMUST00000098574.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gds1 description:RAP1, GTP-GDP dissociation stimulator 1 [Source:MGI Symbol;Acc:MGI:2385189] MDNLSDTLKKLKITAADRTEGSLEGCLDCLLQALAQNNAETSEKIQGSGILQLFANLLTP QASCTAKVADIIAEVAKNEFMRIPCVDAGLISPLVQLLNSKDQEVLLQTGRALGNICYDS HEGRSAVDQAGGAQIVIDHLRSLCGRTDPASEKLMTVFCGMLMNYSNENDSLQAQLISMG VIPTLVKLLGIHCHNAALTEMCLVAFGNLAELESSKEQFASTNIAEELVKLFKKQIEHDK REMIFEVLAPLAENDAIKLQLVEAGLVECLLEIVQQKVDSNKEDDVAELKTASDLMVLLL LGDESMQKLFEGGKGSVFQRVLSWIPSNNHQLQLAGALAIANFARNDGNCIHMVDNGIVE KLMDLLDRHVEDGNVTVQHAALSALRNLAIPVVNKAKMLSAGVTETVLKFLKSEMPPVQF KLLGTLRMLIDAQAEAAEQLGKNAKLVERLVEWCEAKDHAGVMGESNRLLSALIRHSKSK DVIKTIVQSGGIKHLVTMATSEHVIMQNEALVALALIAALELGPAEKDLASAQLVQILHR LLADERSAPEIKYNSMVLICALMGSESLYKEVQDLAFLDVVSKLRSHENKSVAQQASLTE QRLTVES >ENSMUSP00000143181.1 pep:known chromosome:GRCm38:3:138925906:139075137:-1 gene:ENSMUSG00000028149.12 transcript:ENSMUST00000196280.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gds1 description:RAP1, GTP-GDP dissociation stimulator 1 [Source:MGI Symbol;Acc:MGI:2385189] MDNLSDTLKKLKITAADRTEGSLEGCLDCLLQALAQNNAETSEKIQGSGILQLFANLLTP QASCTAKVADIIAEVAKNEFMRIPCVDAGLISPLVQLLNSKDQEVLLQTGRALGNICYDS HEGRSAVDQAGGAQIVIDHLRSLCGRTDPASEKLMTVFCGMLMNYSNENDSLQAQLISMG VIPTLVKLLGIHCHNAALTEMCLVAFGNLAELESSKEQFASTNIAEELVKLFKKQIEHDK REMIFEVLAPLAENDAIKLQLVEAGLVECLLEIVQQKVDSNKEDDVAELKTASDLMVLLL LGDESMQKLFEGGKGSVFQRVLSWIPSNNHQLQLAGALAIANFARNDGNCIHMVDNGIVE KLMDLLDRHVEDGNVTVQHAALSALRNLAIPVVNKAKMLSAGVTETVLKFLKSEMPPVQF KLLGTLRMLIDAQEAAEQLGKNAKLVERLVEWCEAKDHAGVMGESNRLLSALIRHSKSKD VIKTIVQSGGIKHLVTMATSEHVIMQNEALVALALIAALELGPAEKDLASAQLVQILHRL LADERSAPEIKYNSMVLICALMGSESLYKEVQDLAFLDVVSKLRSHENKSVAQQASLTEQ RLTVES >ENSMUSP00000029796.6 pep:known chromosome:GRCm38:3:138925910:139075070:-1 gene:ENSMUSG00000028149.12 transcript:ENSMUST00000029796.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gds1 description:RAP1, GTP-GDP dissociation stimulator 1 [Source:MGI Symbol;Acc:MGI:2385189] MDNLSDTLKKLKITAADRTEGSLEGCLDCLLQALAQNNAETSEKIQGSGILQLFANLLTP QASCTAKVADIIAEVAKNEFMRIPCVDAGLISPLVQLLNSKDQEVLLQTGRALGNICYDS HSLQAQLISMGVIPTLVKLLGIHCHNAALTEMCLVAFGNLAELESSKEQFASTNIAEELV KLFKKQIEHDKREMIFEVLAPLAENDAIKLQLVEAGLVECLLEIVQQKVDSNKEDDVAEL KTASDLMVLLLLGDESMQKLFEGGKGSVFQRVLSWIPSNNHQLQLAGALAIANFARNDGN CIHMVDNGIVEKLMDLLDRHVEDGNVTVQHAALSALRNLAIPVVNKAKMLSAGVTETVLK FLKSEMPPVQFKLLGTLRMLIDAQAEAAEQLGKNAKLVERLVEWCEAKDHAGVMGESNRL LSALIRHSKSKDVIKTIVQSGGIKHLVTMATSEHVIMQNEALVALALIAALELGPAEKDL ASAQLVQILHRLLADERSAPEIKYNSMVLICALMGSESLYKEVQDLAFLDVVSKLRSHEN KSVAQQASLTEQRLTVES >ENSMUSP00000143046.1 pep:known chromosome:GRCm38:3:138940763:139075140:-1 gene:ENSMUSG00000028149.12 transcript:ENSMUST00000196106.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rap1gds1 description:RAP1, GTP-GDP dissociation stimulator 1 [Source:MGI Symbol;Acc:MGI:2385189] MDNLSDTLKKLKITAADRTEGSLEGCLDCLLQALAQNNAETSEKIQGSGILQLFANLLTP QASCTAKVADIIAEVAKNGEVSARNSFSFTPYLSNLCESHV >ENSMUSP00000143517.1 pep:known chromosome:GRCm38:3:138940766:139075148:-1 gene:ENSMUSG00000028149.12 transcript:ENSMUST00000200396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gds1 description:RAP1, GTP-GDP dissociation stimulator 1 [Source:MGI Symbol;Acc:MGI:2385189] MDNLSDTLKKLKITAADRTEGSLEGCLDCLLQALAQNNAETSEKIQGSGILQLFANLLTP QASCTAKVADIIAEVAKNEFMRIPCVDAGLISPLVQLLNSKDQEVLLQTGRALGNICYDS HSLQAQLISMGVIPTLVKLLGIHCHNAALTEMCLVAFGNLAELESSKEQFASTNIAEELV KLFKKQIEHDKREMIFEVLAPLAENDAIKLQLVEAGLVECLLEIVQQKVDSNKEDDVAEL KTASDLMVLLLLGDESMQKLFEGGKGSVFQRVLSWIPSNNHQLQLAGALAIANFARNDGN CIHMVDNGIVEKLMDLLDRHVEDGNVTVQHAALSALRNLAIPVVNKAKMLSAGVTETVLK FLKSEMPPVQFKLLGTLRMLIDAQAEAAEQLGKNAKLVERLVEWCEAKDHAGVMGESNRL LSALIRHSKSKDVIKTIVQSGGIKHLVTMATSEHVIMQNEALVALALIAALELGPAEKDL ASAQLVQILHRLLADERSAPEIKYNSMVLICALMGSGKASSCRSVSMWLSSDVKRMVIIN DINK >ENSMUSP00000034754.5 pep:known chromosome:GRCm38:9:69989466:70008317:1 gene:ENSMUSG00000011958.17 transcript:ENSMUST00000034754.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip2 description:BCL2/adenovirus E1B interacting protein 2 [Source:MGI Symbol;Acc:MGI:109327] MEGVELKEEWQDEDFPIPLPEDDSIEADTLDGTDPDRQPGSLEVNGNKVRKKLMAPDISL TLDPGEDSLWSDDLDEAGEVDLEGLDTPSENSDEFEWEDDLPKPKTTEVIRKGSITEYTA TEEKGDGRRWRMFRIGEQDHRVDMKAIEPYKKVISHGGYYGDGLNAIVVFAVCFMPESGQ PNYRYLMDNLFKYVIGTLELLVAENYMIIYLNGATTRRKMPSLGWLRRCYQQIDRRLRKN LKSLIIVHPSWFIRTLLAVTRPFISSKFSQKIRYVFNLAELAELVPMEYVGIPECIKQYE EEKFKKRQKRVDQELNGKQEPPKSEQ >ENSMUSP00000082513.6 pep:known chromosome:GRCm38:9:69989504:70008255:1 gene:ENSMUSG00000011958.17 transcript:ENSMUST00000085393.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip2 description:BCL2/adenovirus E1B interacting protein 2 [Source:MGI Symbol;Acc:MGI:109327] MEGVELKEEWQDEDFPIPLPEDDSIEADTLDGTDPDRQPGSLEVNGNKVRKKLMAPDISL TLDPGEDSLWSDDLDEAGEVDLEGLDTPSENSDEFEWEDDLPKPKTTEVIRKGSITEYTA TEEKGDGRRWRMFRIGEQDHRVDMKAIEPYKKVISHGGYYGDGLNAIVVFAVCFMPESGQ PNYRYLMDNLFKYVIGTLELLVAENYMIIYLNGATTRRKMPSLGWLRRCYQQIDRRLRKN LKSLIIVHPSWFIRTLLAVTRPFISSKFSQKIRYVFNLAELAELVPMEYVGIPECIKQVD QELNGKQEPPKSEQ >ENSMUSP00000113466.1 pep:known chromosome:GRCm38:9:69989515:70004007:1 gene:ENSMUSG00000011958.17 transcript:ENSMUST00000117450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip2 description:BCL2/adenovirus E1B interacting protein 2 [Source:MGI Symbol;Acc:MGI:109327] MEGVELKEEWQDEDFPIPLPEDDSIEADTLDGTDPDRQPGSLEVNGNKVRKKLMAPDISL TLDPGEDSLWSDDLDEAGEVDLEGLDTPSENSDEFEWEDDLPKPKTTEVIRKGSITEYTA TEEKGDGRRWRMFRIGEQDHRVDMKAIEPYKKVISHGGYYGDGLNAIVVFAVCFMPESGQ PNYRYLMDNLFKYVIGTLELLVAENYMIIYLNGATTRRKMPSLGWLRRCYQQIDRRLRKN LKSLIIVHPSWFIRTLLAVTRPFISSKFSQKIRYVFNLAELAELVPMEYVGIPECIKQYV CPAFLPFLVVGKGNAFPSHGIPEHRVCKEPHSFPSQHHVYRTGGDGHS >ENSMUSP00000117957.1 pep:known chromosome:GRCm38:9:69989517:69999158:1 gene:ENSMUSG00000011958.17 transcript:ENSMUST00000154772.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bnip2 description:BCL2/adenovirus E1B interacting protein 2 [Source:MGI Symbol;Acc:MGI:109327] MEGVELKEEWQDEDFPIPLPEDDSIEADTLDGTDPDRQPGSLEVNGNKVRKKLMAPDISL TLDPGEDSLWSDDLDEAGEVDLEGLDTPSENSDEFEWEVISWKDIFR >ENSMUSP00000115106.1 pep:known chromosome:GRCm38:9:70003379:70004763:1 gene:ENSMUSG00000011958.17 transcript:ENSMUST00000137472.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip2 description:BCL2/adenovirus E1B interacting protein 2 [Source:MGI Symbol;Acc:MGI:109327] XLRKNLKSLIIVHPSWFIRTLLAVTRPFISSKFSQKIRYVFNLAELAELVPMEYVGIPEC IKQYEEEKFKKRQKRYMHTLTGLHLD >ENSMUSP00000133200.1 pep:known chromosome:GRCm38:9:69995478:70007115:1 gene:ENSMUSG00000011958.17 transcript:ENSMUST00000165389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip2 description:BCL2/adenovirus E1B interacting protein 2 [Source:MGI Symbol;Acc:MGI:109327] MEGVELKEEWQDEDFPIPLPEDDSIEADTLDGTDPDRQPGSLEVNGNKVRKKLMAPDISL TLDPGEDSLWSDDLDEAGEVDLEGLDTPSENSDEFEWEDDLPKPKTTEVIRKGSITEYTA TEEKGDGRRWRMFRIGEQDHRVDMKAIEPYKKVISHGGYYGDGLNAIVVFAVCFMPESGQ PNYRYLMDNLFKYVIGTLELLVAENYMIIYLNGATTRRKMPSLGWLRRCYQQIDRRLRKN LKSLIIVHPSWFIRTLLAVTRPFISSKFSQKIRYVFNLAELAELVPMEYVGIPECIKQVD QELNGKQEPPKSEQ >ENSMUSP00000073172.2 pep:known chromosome:GRCm38:7:84915777:84916728:1 gene:ENSMUSG00000070459.3 transcript:ENSMUST00000073468.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr290 description:olfactory receptor 290 [Source:MGI Symbol;Acc:MGI:3030124] MDVYNLTTVTQFILIGLSDLPEVRYPLFVAFVIIYQITLLGNGLILLAIVTEKKLQTPMY YLLANLSLLDIFCPSATVPKMLKNLLTEDHSISFVGCALQLYFLVALAGTEVFLLAVMAY DRYVAICFPLRYSLIMTKVRCVQLLFGTWAAGFLNSFVHTMSTFSLSFCNSNRVNQYYCD IPPVVALSCSSTYMAEMLVLVIGGICGVGAFLITLISYIYIVSTILKIRSAEGKRKAFST CASHLLVVFLFYGTTIFTYIRPTSSQHSPGRDRLISMLYGVITPMLNPIIYSLRNTEVKG ALRKVLHLRICSQRE >ENSMUSP00000101241.3 pep:known chromosome:GRCm38:4:155491364:155559269:1 gene:ENSMUSG00000029064.15 transcript:ENSMUST00000105616.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1 description:guanine nucleotide binding protein (G protein), beta 1 [Source:MGI Symbol;Acc:MGI:95781] MSELDQLRQEAEQLKNQIRDARKACADATLSQITNNIDPVGRIQMRTRRTLRGHLAKIYA MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNYVACGGLDNI CSIYNLKTREGNVRVSRELAGHTGYLSCCRFLDDNQIVTSSGDTTCALWDIETGQQTTTF TGHTGDVMSLSLAPDTRLFVSGACDASAKLWDVREGMCRQTFTGHESDINAICFFPNGNA FATGSDDATCRLFDLRADQELMTYSHDNIICGITSVSFSKSGRLLLAGYDDFNCNVWDAL KADRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000030940.7 pep:known chromosome:GRCm38:4:155491370:155559269:1 gene:ENSMUSG00000029064.15 transcript:ENSMUST00000030940.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1 description:guanine nucleotide binding protein (G protein), beta 1 [Source:MGI Symbol;Acc:MGI:95781] MSELDQLRQEAEQLKNQIRDARKACADATLSQITNNIDPVGRIQMRTRRTLRGHLAKIYA MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNYVACGGLDNI CSIYNLKTREGNVRVSRELAGHTGYLSCCRFLDDNQIVTSSGDTTCALWDIETGQQTTTF TGHTGDVMSLSLAPDTRLFVSGACDASAKLWDVREGMCRQTFTGHESDINAICFFPNGNA FATGSDDATCRLFDLRADQELMTYSHDNIICGITSVSFSKSGRLLLAGYDDFNCNVWDAL KADRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000135769.1 pep:known chromosome:GRCm38:4:155492909:155543087:1 gene:ENSMUSG00000029064.15 transcript:ENSMUST00000176411.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1 description:guanine nucleotide binding protein (G protein), beta 1 [Source:MGI Symbol;Acc:MGI:95781] MSELDQLRQEAEQLKNQIRDARKACADATLSQITNNIDPVGRIQMRTRRTLRGHLAKIYA MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPS >ENSMUSP00000135492.1 pep:known chromosome:GRCm38:4:155522462:155555136:1 gene:ENSMUSG00000029064.15 transcript:ENSMUST00000177094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1 description:guanine nucleotide binding protein (G protein), beta 1 [Source:MGI Symbol;Acc:MGI:95781] MSELDQLRQEAEQLKNQIRDARKACADATLSQITNNIDPVGRIQMRTRRTLRGHLAKIYA MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNYVACGGLDNI CSIYNLKTREGNVRVSRELAGHTGYLSCCRFLDDNQIVTSSGDTTCALWDIETGQQTTTF TGHTGDVMSLSLAPDTRLFVSGACDASAKLWDVREGMCRQTFTGHESDINAICFFPNGNA FATGSDDATCRLFDLRADQELMTYSHDNIICGIT >ENSMUSP00000135091.1 pep:known chromosome:GRCm38:4:155527453:155559267:1 gene:ENSMUSG00000029064.15 transcript:ENSMUST00000176637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1 description:guanine nucleotide binding protein (G protein), beta 1 [Source:MGI Symbol;Acc:MGI:95781] MSELDQLRQEAEQLKNQIRDARKACADATLSQITNNIDPVGRIQMRTRRTLRGHLAKIYA MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNYVACGGLDNI CSIYNLKTREGNVRVSRELAGHTGYLSCCRFLDDNQIVTSSGDTTCALWDIETGQQTTTF TGHTGDVMSLSLAPDTRLFVSGACDASAKLWDVREGMCRQTFTGHESDINAICFFPNGNA FATGSDDATCRLFDLRADQELMTYSHDNIICGITSVSFSKSGRLLLAGYDDFNCNVWDAL KADRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000130123.1 pep:known chromosome:GRCm38:4:155491361:155559269:1 gene:ENSMUSG00000029064.15 transcript:ENSMUST00000165335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1 description:guanine nucleotide binding protein (G protein), beta 1 [Source:MGI Symbol;Acc:MGI:95781] MSELDQLRQEAEQLKNQIRDARKACADATLSQITNNIDPVGRIQMRTRRTLRGHLAKIYA MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNYVACGGLDNI CSIYNLKTREGNVRVSRELAGHTGYLSCCRFLDDNQIVTSSGDTTCALWDIETGQQTTTF TGHTGDVMSLSLAPDTRLFVSGACDASAKLWDVREGMCRQTFTGHESDINAICFFPNGNA FATGSDDATCRLFDLRADQELMTYSHDNIICGITSVSFSKSGRLLLAGYDDFNCNVWDAL KADRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000058021.4 pep:known chromosome:GRCm38:10:128232065:128252941:1 gene:ENSMUSG00000039994.15 transcript:ENSMUST00000055539.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timeless description:timeless circadian clock 1 [Source:MGI Symbol;Acc:MGI:1321393] MDLYMMNCELLATCSALGYLEGGTYHKEPDCLESVKDLIRYLRHEDETRDVRQQLGAAQI LQSDLLPILTQHRQDKPLFDAVIRLMVNLTQPALLCFGSVPKDSSVRHHFLQVLTYLQAY KEAFASEKAFGVLSETLYELLQLGWEDRQEEDNLLIERILLLVRNILHVPANLEQEKSID DDASIHDRLLWAIHLSGMDDLLLFLSSSSAEQQWSLHVLEIISLMFRDQTPEQLAGVGQG RLAQERSTDVAELEVLRQREMAEKRARALQRGNRHSRFGGSYIVQGLKSIGEKDVVFHKG LHNLQNYSSDLGKQPRRVPKRRQAAQELSVHRRSVLNVRLFLRDFCSEFLENCYNPLMGA VKDHLLRERAQQHDETYYMWAMAFFMAFNRAATFRPGLVSETLSIRTFHFVEQNLTNYYE MMLTDRKEAASWARRMHLALKAYQELLATVNEMDMCPDEAVRESSRIIKNNIFYMMEYRE LFLALFRKFDERYHPRSFLRDLVETTHLFLKMLERFCRSRGNLMVQNKRKKRKKKKKVQD QGVAFSQSPGELEAMWPALAEQLLQCAQDPELSVDPVVPFDAASEVPVEEQRVEAMVRIQ DCLTAGQAPQALALLRSAREVWPEGNAFGSPVISPGEEMQLLKQILSTPLPRQQEPEEGD AEEEEEEEEEEELQVVQVSEKEFNFLEYLKRFASSTIVRAYVLLLRSYRQNSAHTNHCIA KMLHRLAHGLGMEALLFQLSLFCLFNRLLSDPAAAAYKELVTFAKYIIGKFFALAAVNQK AFVELLFWKNTAVVREMTQGYGSLDSGSSSHRAPLWSPEEEAQLQELYLAHKDVEGQDVV ETILAHLKVVPRTRKQVIHHLVRMGLADSVKEFQKRKGTQIVLWTEDQELELQRLFEEFR DSDDVLGQIMKNITAKRSRARVVDKLLALGLVSERRQLYKKRRKKLAPSCMQNGEKSPRD PWQEDPEEEDEHLPEDESEDEESEEGLPSGQGQGSSSLSAENLGESLRQEGLSAPLLWLQ SSLIRAANDREEDGCSQAIPLVPLTEENEEAMENEQFQHLLRKLGIRPPSSGQETFWRIP AKLSSTQLRRVAASLSQQENEEEREEEPEPGVPGEQGPSEEHRTEALRALLSARKRKAGL GPTEEEATGEEEWNSAPKKRQLLDSDEEEDDEGRRQAVSGTPRVHRKKRFQIEDEDD >ENSMUSP00000100878.1 pep:known chromosome:GRCm38:10:128232089:128252852:1 gene:ENSMUSG00000039994.15 transcript:ENSMUST00000105244.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timeless description:timeless circadian clock 1 [Source:MGI Symbol;Acc:MGI:1321393] MDLYMMNCELLATCSALGYLEGGTYHKEPDCLESVKDLIRYLRHEDETRDVRQQLGAAQI LQSDLLPILTQHRQDKPLFDAVIRLMVNLTQPALLCFGSVPKDSSVRHHFLQVLTYLQAY KEAFASEKAFGVLSETLYELLQLGWEDRQEEDNLLIERILLLVRNILHVPANLEQEKSID DDASIHDRLLWAIHLSGMDDLLLFLSSSSAEQQWSLHVLEIISLMFRDQTPEQLAGVGQG RLAQERSTDVAELEVLRQREMAEKRARALQRGNRHSRFGGSYIVQGLKSIGEKDVVFHKG LHNLQNYSSDLGKQPRRVPKRRQAAQELSVHRRSVLNVRLFLRDFCSEFLENCYNPLMGA VKDHLLRERAQQHDETYYMWAMAFFMAFNRAATFRPGLVSETLSIRTFHFVEQNLTNYYE MMLTDRKEAASWARRMHLALKAYQELLATVNEMDMCPDEAVRESSRIIKNNIFYMMEYRE LFLALFRKFDERYHPRSFLRDLVETTHLFLKMLERFCRSRGNLMVQNKRKKRKKKKKVQD QGVAFSQSPGELEAMWPALAEQLLQCAQDPELSVDPVVPFDAASEVPVEEQRVEAMVRIQ DCLTAGQAPQALALLRSAREVWPEGNAFGSPVISPGEEMQLLKQILSTPLPRQQEPEEGD AEEEEEEEEEEELQVVQVSEKEFNFLEYLKRFASSTIVRAYVLLLRSYRQNSAHTNHCIA KMLHRLAHGLGMEALLFQLSLFCLFNRLLSDPAAAAYKELVTFAKYIIGKFFALAAVNQK AFVELLFWKNTAVVREMTQGYGSLDSGSSSHRAPLWSPEEEAQLQELYLAHKDVEGQDVV ETILAHLKVVPRTRKQVIHHLVRMGLADSVKEFQKRKGTQIVLWTEDQELELQRLFEEFR DSDDVLGQIMKNITAKRSRARVVDKLLALGLVSERRQLYKKRRKKLAPSCMQNGEKSPRD PWQEDPEEEDEHLPEDESEDEESEEGLPSGQGQGSSSLSAENLGESLRQEGLSAPLLWLQ SSLIRAANDREEDGCSQAIPLVPLTEENEEAMENEQFQHLLRKLGIRPPSSGQETFWRIP AKLSSTQLRRVAASLSQQENEEEREEEPEPGVPGEQGPSEEHRTEALRALLSARKRKAGL GPTEEEATGEEEWNSAPKKRQLLDSDEEEDDEGRRQVSGTPRVHRKKRFQIEDEDD >ENSMUSP00000100877.2 pep:known chromosome:GRCm38:10:128232091:128246109:1 gene:ENSMUSG00000039994.15 transcript:ENSMUST00000105243.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timeless description:timeless circadian clock 1 [Source:MGI Symbol;Acc:MGI:1321393] MDLYMMNCELLATCSALGYLEGGTYHKEPDCLESVKDLIRYLRHEDETRDVRQQLGAAQI LQSDLLPILTQHRQDKPLFDAVIRLMVNLTQPALLCFGSVPKDSSVRHHFLQVLTYLQAY KEAFASEKAFGVLSETLYELLQLGWEDRQEEDNLLIERILLLVRNILHVPANLEQEKSID DDASIHDRLLWAIHLSGMDDLLLFLSSSSAEQQWSLHVLEIISLMFRDQTPEQLAGVGQG RLAQERSTDVAELEVLRQREMAEKRARALQRGNRHSRFGGSYIVQGLKSIGEKDVVFHKG LHNLQNYSSDLGKQPRRVPKRRQAAQELSVHRRSVLNVRLFLRDFCSEFLENCYNPLMGA VKDHLLRERAQQHDETYYMWAMAFFMAFNRAATFRPGLVSETLSIRTFHFVEQNLTNYYE MMLTDRKEAASWARRMHLALKAYQELLATVNEMDMCPDEAVRESSRIIKNNIFYMMEYRE LFLALFRKFDERYHPRSFLRDLVETTHLFLKMLERFCRSRGNLMVQNKRKKRKK >ENSMUSP00000132079.2 pep:known chromosome:GRCm38:10:128232115:128241675:1 gene:ENSMUSG00000039994.15 transcript:ENSMUST00000125289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timeless description:timeless circadian clock 1 [Source:MGI Symbol;Acc:MGI:1321393] MVNLTQPALLCFGSVPKDSSVRHHFLQVLTYLQAYKEAFASEKAFGVLSETLYELLQLGW EDRQEEDNLLIERILLLVRNILHVPANLEQEKSIDDDASIHDRLLWAIHLSGMDDLLLFL SSS >ENSMUSP00000100876.1 pep:known chromosome:GRCm38:10:128232134:128252941:1 gene:ENSMUSG00000039994.15 transcript:ENSMUST00000105242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timeless description:timeless circadian clock 1 [Source:MGI Symbol;Acc:MGI:1321393] MDLYMMNCELLATCSALGYLEGGTYHKEPDCLESVKDLIRYLRHEDETRDVRQQLGAAQI LQSDLLPILTQHRQDKPLFDAVIRLMVNLTQPALLCFGSVPKDSSVRHHFLQVLTYLQAY KEAFASEKAFGVLSETLYELLQLGWEDRQEEDNLLIERILLLVRNILHVPANLEQEKSID DDASIHDRLLWAIHLSGMDDLLLFLSSSSAEQQWSLHVLEIISLMFRDQTPEQLAGVGQG RLAQERSTDVAELEVLRQREMAEKRARALQRGNRHSRFGGSYIVQGLKSIGEKDVVFHKG LHNLQNYSSDLGKQPRRVPKRRQAAQELSVHRRSVLNVRLFLRDFCSEFLENCYNPLMGA VKDHLLRERAQQHDETYYMWAMAFFMAFNRAATFRPGLVSETLSIRTFHFVEQNLTNYYE MMLTDRKEAASWARRMHLALKAYQELLATVNEMDMCPDEAVRESSRIIKNNIFYMMEYRE LFLALFRKFDERYHPRSFLRDLVETTHLFLKMLERFCRSRGNLMVQNKRKKRKKKKKVQD QGVAFSQSPGELEAMWPALAEQLLQCAQDPELSVDPVVPFDAASEVPVEEQRVEAMVRIQ DCLTAGQAPQALALLRSAREVWPEGNAFGSPVISPGEEMQLLKQILSTPLPRQQEPEEGD AEEEEEEEEEEELQVVQVSEKEFNFLEYLKRFASSTIVRAYVLLLRSYRQNSAHTNHCIA KMLHRLAHGLGMEALLFQLSLFCLFNRLLSDPAAAAYKELVTFAKYIIGKFFALAAVNQK AFVELLFWKNTAVVREMTQGYGSLDSGSSSHRAPLWSPEEEAQLQELYLAHKDVEGQDVV ETILAHLKVVPRTRKQVIHHLVRMGLADSVKEFQKRKGTQIVLWTEDQELELQRLFEEFR DSDDVLGQIMKNITAKRSRARVVDKLLALGLVSERRQLYKKRRKKLAPSCMNGEKSPRDP WQEDPEEEDEHLPEDESEDEESEEGLPSGQGQGSSSLSAENLGESLRQEGLSAPLLWLQS SLIRAANDREEDGCSQAIPLVPLTEENEEAMENEQFQHLLRKLGIRPPSSGQETFWRIPA KLSSTQLRRVAASLSQQENEEEREEEPEPGVPGEQGPSEEHRTEALRALLSARKRKAGLG PTEEEATGEEEWNSAPKKRQLLDSDEEEDDEGRRQAVSGTPRVHRKKRFQIEDEDD >ENSMUSP00000100879.2 pep:known chromosome:GRCm38:10:128238066:128252941:1 gene:ENSMUSG00000039994.15 transcript:ENSMUST00000105245.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timeless description:timeless circadian clock 1 [Source:MGI Symbol;Acc:MGI:1321393] MDLYMMNCELLATCSALGYLEGGTYHKEPDCLESVKDLIRYLRHEDETRDVRQQLGAAQI LQSDLLPILTQHRQDKPLFDAVIRLMVNLTQPALLCFGSVPKDSSVRHHFLQVLTYLQAY KEAFASEKAFGVLSETLYELLQLGWEDRQEEDNLLIERILLLVRNILHVPANLEQEKSID DDASIHDRLLWAIHLSGMDDLLLFLSSSSAEQQWSLHVLEIISLMFRDQTPEQLAGVGQG RLAQERSTDVAELEVLRQREMAEKRARALQRGNRHSRFGGSYIVQGLKSIGEKDVVFHKG LHNLQNYSSDLGKQPRRVPKRRQAAQELSVHRRSVLNVRLFLRDFCSEFLENCYNPLMGA VKDHLLRERAQQHDETYYMWAMAFFMAFNRAATFRPGLVSETLSIRTFHFVEQNLTNYYE MMLTDRKEAASWARRMHLALKAYQELLATVNEMDMCPDEAVRESSRIIKNNIFYMMEYRE LFLALFRKFDERYHPRSFLRDLVETTHLFLKMLERFCRSRGNLMVQNKRKKRKKKKKVQD QGVAFSQSPGELEAMWPALAEQLLQCAQDPELSVDPVVPFDAASEVPVEEQRVEAMVRIQ DCLTAGQAPQALALLRSAREVWPEGNAFGSPVISPGEEMQLLKQILSTPLPRQQEPEEGD AEEEEEEEEEEELQVVQVSEKEFNFLEYLKRFASSTIVRAYVLLLRSYRQNSAHTNHCIA KMLHRLAHGLGMEALLFQLSLFCLFNRLLSDPAAAAYKELVTFAKYIIGKFFALAAVNQK AFVELLFWKNTAVVREMTQGYGSLDSGSSSHRAPLWSPEEEAQLQELYLAHKDVEGQDVV ETILAHLKVVPRTRKQVIHHLVRMGLADSVKEFQKRKGTQIVLWTEDQELELQRLFEEFR DSDDVLGQIMKNITAKRSRARVVDKLLALGLVSERRQLYKKRRKKLAPSCMQNGEKSPRD PWQEDPEEEDEHLPEDESEDEESEEGLPSGQGQGSSSLSAENLGESLRQEGLSAPLLWLQ SSLIRAANDREEDGCSQAIPLVPLTEENEEAMENEQFQHLLRKLGIRPPSSGQETFWRIP AKLSSTQLRRVAASLSQQENEEEREEEPEPGVPGEQGPSEEHRTEALRALLSARKRKAGL GPTEEEATGEEEWNSAPKKRQLLDSDEEEDDEGRRQAVSGTPRVHRKKRFQIEDEDD >ENSMUSP00000146184.1 pep:known chromosome:GRCm38:7:123369784:123401477:1 gene:ENSMUSG00000030763.7 transcript:ENSMUST00000206721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcmt1 description:leucine carboxyl methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1353593] MRLNCFFMAAAAVAARQAGGGELGTVWRVLPTSLPGPGGGDGGYNSAPPLAASLPASPPA PTTSWAEPSRKRPKPERWNRFAVSIGYWHDPYIEHLVRQSKERKAPEINRGYFARVHGVS QL >ENSMUSP00000145539.1 pep:known chromosome:GRCm38:7:123378056:123430349:1 gene:ENSMUSG00000030763.7 transcript:ENSMUST00000206574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcmt1 description:leucine carboxyl methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1353593] MASSSRESSFSSCSSSCDLDDEGVRGTCEDASLCKRFAVSIGYWHDPYIEHLVRQSKERK APEINRGYFARVHGVSQLIKAFLRKTECHCQILNLGAGMDTTFWKLKDEGLLPNKYFEVD FPMIVTRKLLTIKSKPLLFRPIMELHPEDTLQMGNHMLDSKRYAIIGADLRDLSELEEKL KKCNMNTQLPTLLITECVLVYMTPEQSANLLKWAASSFETAMFINYEQVNMDDRFGQIMI ENLRRRSCDLAGVETCKSLESQKERLLLNGWETASAVNMMELYSGLPRAEVNRIESLEFL DEMELLEQLMRHYCLCWATRGGQELGLKEITY >ENSMUSP00000033025.5 pep:known chromosome:GRCm38:7:123377982:123430358:1 gene:ENSMUSG00000030763.7 transcript:ENSMUST00000033025.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcmt1 description:leucine carboxyl methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1353593] MASSSRESSFSSCSSSCDLDDEGVRGTCEDASLCKRFAVSIGYWHDPYIEHLVRQSKERK APEINRGYFARVHGVSQLIKAFLRKTECHCQILNLGAGMDTTFWKLKDEGLLPNKYFEVD FPMIVTRKLLTIKSKPLLFRPIMELHPEDTLQMDSHMLDSKRYAIIGADLRDLSELEEKL KKCNMNTQLPTLLITECVLVYMTPEQSANLLKWAASSFETAMFINYEQVNMDDRFGQIMI ENLRRRSCDLAGVETCKSLESQKERLLLNGWETASAVNMMELYSGLPRAEVNRIESLEFL DEMELLEQLMRHYCLCWATRGGQELGLKEITY >ENSMUSP00000052953.4 pep:known chromosome:GRCm38:5:124439930:124462308:1 gene:ENSMUSG00000049327.17 transcript:ENSMUST00000059580.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd8 description:SET domain containing (lysine methyltransferase) 8 [Source:MGI Symbol;Acc:MGI:1915206] MARGRKMCKPRAVEAAAAAVAATAPGPEMVEQRGPGRPRSDGENVFAGQSKIYAYMSPNK CSAMRSPLQEENSVAHHEVKCPGKPLAGIYRKREEKRNTGNVIRSAVKSDEQKSKDTRRG PLAPFPNQKSEAAEPPKTPPPSCDSTNVAVAKQALKKSLKGKQAPRKKSQGKTQQNRKLT DFYPVRRSSRKSKAELQSEERKKIDELIESGKEEGMKIDLIDGKGRGVIATKQFSRGDFV VEYHGDLIEITDAKKREALYAQDPSTGCYMYYFQYLSKTYCVDATQETNRLGRLINHSKC GNCQTKLHDIDGVPHLILIASRDIAAGEELLYDYGDRSKASIEAYPWLKH >ENSMUSP00000143765.1 pep:known chromosome:GRCm38:5:124439967:124461511:1 gene:ENSMUSG00000049327.17 transcript:ENSMUST00000199798.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Setd8 description:SET domain containing (lysine methyltransferase) 8 [Source:MGI Symbol;Acc:MGI:1915206] MARGERVCWAVKDLCLHESEQVLCNALSTSGRELGCPS >ENSMUSP00000098275.2 pep:known chromosome:GRCm38:5:124445493:124460960:1 gene:ENSMUSG00000049327.17 transcript:ENSMUST00000100709.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd8 description:SET domain containing (lysine methyltransferase) 8 [Source:MGI Symbol;Acc:MGI:1915206] MGEAGAVGRRRPFPGAPRRRWWRRQQQQQRRRQRWWPGRGEGEGAGRAAMGLAGLQENVF AGQSKIYAYMSPNKCSAMRSPLQEENSVAHHEVKCPGKPLAGIYRKREEKRNTGNVIRSA VKSDEQKSKDTRRGPLAPFPNQKSEAAEPPKTPPPSCDSTNVAVAKQALKKSLKGKQAPR KKSQGKTQQNRKLTDFYPVRRSSRKSKAELQSEERKKIDELIESGKEEGMKIDLIDGKGR GVIATKQFSRGDFVVEYHGDLIEITDAKKREALYAQDPSTGCYMYYFQYLSKTYCVDATQ ETNRLGRLINHSKCGNCQTKLHDIDGVPHLILIASRDIAAGEELLYDYGDRSKASIEAYP WLKH >ENSMUSP00000143207.1 pep:known chromosome:GRCm38:5:124447199:124460788:1 gene:ENSMUSG00000049327.17 transcript:ENSMUST00000198451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd8 description:SET domain containing (lysine methyltransferase) 8 [Source:MGI Symbol;Acc:MGI:1915206] MSPNKCSAMRSPLQEENSVAHHEVKCPGKPLAGIYRKREEKRNTGNVIRSAVKSDEQKSK DTRRGPLAPFPNQKSEAAEPPKTPPPSCDSTNVAVAKQALKKSLKGKQAPRKKSQGKTQQ NRKLTDFYPVRRSSRKSKAELQSEERKKIDELIESGKEEGMKIDLIDGKGRGVIATKQFS RGDFVVEYHGDLIEITDAKKREALYAQDPSTGCYMYYFQYLSKTYCVDATQETNRLGRLI NHSKCGNCQTKLHDIDGVPHLILIASRDIAAGEELLYDYGDRSKASIEAYPWLKH >ENSMUSP00000020504.5 pep:known chromosome:GRCm38:11:54866383:54870501:1 gene:ENSMUSG00000020267.6 transcript:ENSMUST00000020504.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hint1 description:histidine triad nucleotide binding protein 1 [Source:MGI Symbol;Acc:MGI:1321133] MADEIAKAQVAQPGGDTIFGKIIRKEIPAKIIFEDDRCLAFHDISPQAPTHFLVIPKKHI SQISVADDDDESLLGHLMIVGKKCAADLGLKRGYRMVVNEGADGGQSVYHIHLHVLGGRQ MNWPPG >ENSMUSP00000114037.1 pep:known chromosome:GRCm38:11:54866481:54870307:1 gene:ENSMUSG00000020267.6 transcript:ENSMUST00000117710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hint1 description:histidine triad nucleotide binding protein 1 [Source:MGI Symbol;Acc:MGI:1321133] MADEIAKAQVAQPGGDTIFGKIIRKEIPAKIIFEDDRCLAFHDISPQAPTHFLVIPKKHI SQISVADDDDESVSKFLTLHFLFVCFLYVSLAVLEFPMWTRLAWNSMETGLSPSPECWD >ENSMUSP00000039914.6 pep:known chromosome:GRCm38:5:24413392:24417834:1 gene:ENSMUSG00000038276.12 transcript:ENSMUST00000049346.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asic3 description:acid-sensing (proton-gated) ion channel 3 [Source:MGI Symbol;Acc:MGI:2159339] MKPPSGLEEAQRRQASDIRVFANSCTMHGLGHIFGPGGLTLRRGLWATAVLLSLAAFLYQ VAERVRYYGEFHHKTTLDERESHQLTFPAVTLCNINPLRRSRLTPNDLHWAGTALLGLDP AEHAAYLRALGQPPAPPGFMPSPTFDMAQLYARAGHSLEDMLLDCRYRGQPCGPENFTVI FTRMGQCYTFNSGAQGAELLTTPKGGAGNGLEIMLDVQQEEYLPIWKDMEETPFEVGIRV QIHGQEEPPAIDQLGFGAAPGHQTFVSCQQQQLSFLPPPWGDCNTASVDPDFDPEPSDPL GSPSSSPPYSLIGCRLACESRYVARKCGCRMMHMPGNSPVCSPQQYKDCASPALGRMYWF WISSLKPSTMRPWNKRQLMKCRSCWETLGDRWDCLSEPACLPSSRSSTTSVRFFKTESWG TSGTEGALKGALATLCSRKS >ENSMUSP00000143083.1 pep:known chromosome:GRCm38:5:24413712:24417746:1 gene:ENSMUSG00000038276.12 transcript:ENSMUST00000196296.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asic3 description:acid-sensing (proton-gated) ion channel 3 [Source:MGI Symbol;Acc:MGI:2159339] MKPPSGLEEAQRRQASDIRVFANSCTMHGLGHIFGPGGLTLRRGLWATAVLLSLAAFLYQ VAERVRYYGEFHHKTTLDERESHQLTFPAVTLCNINPLRRSRLTPNDLHWAGTALLGLDP AEHAAYLRALGQPPAPPGFMPSPTFDMAQLYARAGHSLEDMLLDCRYRGQPCGPENFTVI FTRMGQCYTFNSGAQGAELLTTPKGGAGNGLEIMLDVQQEEYLPIWKDMEETPFEVGIRV QIHGQEEPPAIDQLGFGAAPGHQTFVSCQQQQLSFLPPPWGDCNTASVDPDFDPEPSDPL GSPSSSPPYSLIGCRLACESRYVARKCGCRMMHMPGNSPVCSPQQYKDCASPALDAMLRK DTCVCPNPCATTRYAKELSMVRIPSRASARYLARKYNRSETYITENVLVLDIFFEALNYE AVEQKAAYEVSELLGDIGGQMGLFIGASLLTILEILDYLCEVFQDRVLGYFWNRRSSQRR SGNTLLQEELNGHRTHVPHLSLGPRPPTAPSAVTKTLAASHRTCYLVTRL >ENSMUSP00000142593.1 pep:known chromosome:GRCm38:5:24416577:24417835:1 gene:ENSMUSG00000038276.12 transcript:ENSMUST00000198442.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asic3 description:acid-sensing (proton-gated) ion channel 3 [Source:MGI Symbol;Acc:MGI:2159339] XCATTRYAKELSMVRIPSRASARYLARKYNRSETYITENVLVLDIFFEALNYEAVEQKAA YEVSELLGVY >ENSMUSP00000020322.5 pep:known chromosome:GRCm38:10:121780991:122047308:-1 gene:ENSMUSG00000020121.15 transcript:ENSMUST00000020322.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap1 description:SLIT-ROBO Rho GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2152936] MSTPSRFKKDKEIIAEYESQVKEIRAQLVEQQKCLEQQTEMRVQLLQDLQDFFRKKAEIE TEYSRNLEKLAERFMAKTRSTKDHQQFKKDQNLLSPVNCWYLLLNQVRRESKDHATLSDI YLNNVIMRFMQISEDSTRMFKKSKEIAFQLHEDLMKVLNELYTVMKTYHMYHSESISAES KLKEAEKQEEKQIGRSGDPVFHIRLEERHQRRSSVKKIEKMKEKRQAKYSENKLKSIKAR NEYLLTLEATNASVFKYYIHDLSDLIDCCDLGYHASLNRALRTYLSAEYNLETSRHEGLD IIENAVDNLEPRSDKQRFMEMYPAAFCPPMKFEFQSHMGDEVCQVSAQQPVQAELMLRNQ QLQSRLATLKIESEEVKKTTEATLQTIQDMVTIEDYDVSECFQHSRSTESVKSTVSETYL SKPSIAKRRANQQETEQFYFMKLREFLEGSNLITKLQAKHDLLQRTLGEGHRAEYMTTSR GRRNSHARHQDSGQVIPLIVESCIRFINLYGLQHQGIFRVSGSQVEVNDIKNSFERGENP LSDEQSNHDINSVAGVLKLYFRGLENPLFPKERFTDLISCIRIDNLYERALHIRKLLLTL PRSVLIVMRYLFAFLNHLSQYSDENMMDPYNLAICFGPTLMPVPEIQDQVSCQAHVNEIV KTIIIHHETIFPDAKELDGPVYEKCMAGGDYCDSPYSEHGTLEEVDQDAGTEPHTSEDEC EPIEAIAKFDYVGRSARELSFKKGASLLLYHRASEDWWEGRHNGIDGLVPHQYIVVQDMD DTFSDTLSQKADSEASSGPVTEDKSSSKDMNSPTDRHSDSYLARQRKRGEPPPPGRRPGR TSDGHCPLHPPHALSNSSIDLGSPNLASHPRGLLQNRGLNNDSPERRRRPGHGSLTNISR HDSLKKIDSPPIRRSTSSGQYTGFNDHKPLDPETIAQDIEETMNTALNELRELERQSTVK HAPDVVLDTLEQVKNSPTPATSTESLSPLHNVALRGSEPQIRRSTSSSSETMSTFKPMVA PRMGVQLKPPALRPKPAVLPKTNPTMGPAAPSQGPTDKSCTM >ENSMUSP00000125109.1 pep:known chromosome:GRCm38:10:121806640:121823133:-1 gene:ENSMUSG00000020121.15 transcript:ENSMUST00000161156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap1 description:SLIT-ROBO Rho GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2152936] INSVAGVLKLYFRGLENPLFPKERFTDLISCIRIDNLYERALHIRKLLLTLPRSVLIVMR YLFAFLNH >ENSMUSP00000080389.6 pep:known chromosome:GRCm38:10:121780991:122047315:-1 gene:ENSMUSG00000020121.15 transcript:ENSMUST00000081688.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap1 description:SLIT-ROBO Rho GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2152936] MSTPSRFKKDKEIIAEYESQVKEIRAQLVEQQKCLEQQTEMRVQLLQDLQDFFRKKAEIE TEYSRNLEKLAERFMAKTRSTKDHQQFKKDQNLLSPVNCWYLLLNQVRRESKDHATLSDI YLNNVIMRFMQISEDSTRMFKKSKEIAFQLHEDLMKVLNELYTVMKTYHMYHSESISAES KLKEAEKQEEKQIGRSGDPVFHIRLEERHQRRSSVKKIEKMKEKRQAKYSENKLKSIKAR NEYLLTLEATNASVFKYYIHDLSDLIDCCDLGYHASLNRALRTYLSAEYNLETSRHEGLD IIENAVDNLEPRSDKQRFMEMYPAAFCPPMKFEFQSHMGDEVCQVSAQQPVQAELMLRNQ QLQSRLATLKIESEEVKKTTEATLQTIQDMVTIEDYDVSECFQHSRSTESVKSTVSETYL SKPSIAKRRANQQETEQFYFMKLREFLEGSNLITKLQAKHDLLQRTLGEGHRAEYMTTRP PNVPPKPQKHRKSRPRSQYNAKLFNGDLETFVKDSGQVIPLIVESCIRFINLYGLQHQGI FRVSGSQVEVNDIKNSFERGENPLSDEQSNHDINSVAGVLKLYFRGLENPLFPKERFTDL ISCIRIDNLYERALHIRKLLLTLPRSVLIVMRYLFAFLNHLSQYSDENMMDPYNLAICFG PTLMPVPEIQDQVSCQAHVNEIVKTIIIHHETIFPDAKELDGPVYEKCMAGGDYCDSPYS EHGTLEEVDQDAGTEPHTSEDECEPIEAIAKFDYVGRSARELSFKKGASLLLYHRASEDW WEGRHNGIDGLVPHQYIVVQDMDDTFSDTLSQKADSEASSGPVTEDKSSSKDMNSPTDRH SDSYLARQRKRGEPPPPGRRPGRTSDGHCPLHPPHALSNSSIDLGSPNLASHPRGLLQNR GLNNDSPERRRRPGHGSLTNISRHDSLKKIDSPPIRRSTSSGQYTGFNDHKPLDPETIAQ DIEETMNTALNELRELERQSTVKHAPDVVLDTLEQVKNSPTPATSTESLSPLHNVALRGS EPQIRRSTSSSSETMSTFKPMVAPRMGVQLKPPALRPKPAVLPKTNPTMGPAAPSQGPTD KSCTM >ENSMUSP00000101919.3 pep:known chromosome:GRCm38:7:127233061:127238179:1 gene:ENSMUSG00000045598.10 transcript:ENSMUST00000106312.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp553 description:zinc finger protein 553 [Source:MGI Symbol;Acc:MGI:2384725] MEASPGDEFEHSPQERDGPEIKEEEQLAPTLQVGNTSLKPDGIQCWDDLWDRREGLGKRQ PRDPVPRILGEPRWGQGSNDRAAVCGECGKSFRQMSDLVKHQRTHTGEKPYKCGVCGKGF GDSSARIKHQRTHTGEKAYRVRPPAPGPPKMPRSRIPAGERPTICGECGKSFRQSSDLVK HQRTHTGEKPYKCGICGKGFGDSSARIKHQRTHRGDQLPRPVVPRRQPSPAAPAAPHRPK AQDKPYICTDCGKRFVLSCSLLSHQRSHLGPKPFGCDVCGKEFARGSDLVKHLRVHTGEK PYLCPECGKGFADSSARVKHLRTHSGQRPHACPECNRSFSLSSTLLRHRLTHVEPQDFSL AAYPVVPLIPSPPPPPLGTSPSLTPRSPSHSSDGPFGLPGLEPEPGGPQAGEPPPPLAGD KPHKCPECGKGFRRSSDLVKHHRVHTGEKPYLCPECGKGFADSSARVKHLRTHQGERTRP PPPPSTLLRPHNPPGSVPIVPQSRVQGRPSGPSQLHVCGFCGKEFPRSSDLVKHRRTHTG EKPYKCAECGKGFGDSSARIKHQRGHLALKPFGVGDGPPRPLKEESPAGLE >ENSMUSP00000060967.6 pep:known chromosome:GRCm38:7:127233255:127238043:1 gene:ENSMUSG00000045598.10 transcript:ENSMUST00000056232.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp553 description:zinc finger protein 553 [Source:MGI Symbol;Acc:MGI:2384725] MEASPGDEFEHSPQERDGPEIKEEEQLAPTLQVGNTSLKPDGIQCWDDLWDRREGLGKRQ PRDPVPRILGEPRWGQGSNDRAAVCGECGKSFRQMSDLVKHQRTHTGEKPYKCGVCGKGF GDSSARIKHQRTHTGEKAYRVRPPAPGPPKMPRSRIPAGERPTICGECGKSFRQSSDLVK HQRTHTGEKPYKCGICGKGFGDSSARIKHQRTHRGDQLPRPVVPRRQPSPAAPAAPHRPK AQDKPYICTDCGKRFVLSCSLLSHQRSHLGPKPFGCDVCGKEFARGSDLVKHLRVHTGEK PYLCPECGKGFADSSARVKHLRTHSGQRPHACPECNRSFSLSSTLLRHRLTHVEPQDFSL AAYPVVPLIPSPPPPPLGTSPSLTPRSPSHSSDGPFGLPGLEPEPGGPQAGEPPPPLAGD KPHKCPECGKGFRRSSDLVKHHRVHTGEKPYLCPECGKGFADSSARVKHLRTHQGERTRP PPPPSTLLRPHNPPGSVPIVPQSRVQGRPSGPSQLHVCGFCGKEFPRSSDLVKHRRTHTG EKPYKCAECGKGFGDSSARIKHQRGHLALKPFGVGDGPPRPLKEESPAGLE >ENSMUSP00000118745.1 pep:known chromosome:GRCm38:2:31887291:31945246:1 gene:ENSMUSG00000026840.14 transcript:ENSMUST00000138325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamc3 description:laminin gamma 3 [Source:MGI Symbol;Acc:MGI:1344394] MAVSRVLSLLATVASMALVIQETHFAAGADMGSCYDGVGRAQRCLPEFENAAFGRRAEAS HTCGRPPEDFCPHVGAPGAGLQCQRCDDADPGRRHDASYLTDFHSPDDSTWWQSPSMAFG VQYPTSVNLTLSLGKAYEITYVRLKFHTSRPESFAIYKRTYASGPWEPYQYYSASCQKTY GRPEGHYLRPGEDERVAFCTSEFSDISPLNGGNVAFSTLEGRPSAYNFEESPVLQEWVTS TDILISLDRLNTFGDDIFKDPRVLQSYYYAVSDFSVGGRCKCNGHASECEPNAAGQLACR CQHNTTGVDCERCLPFFQDRPWARGTAEDANECLPCNCSGHSEECTFDRELYRSTGHGGH CQRCRDHTTGPHCERCEKNYYRWSPKTPCQPCDCHPAGSLSLQCDNSGVCPCKPTVTGWK CDRCLPGFHSLSEGGCRPCACNVAGSLGTCDPRSGNCPCKENVEGSLCDRCRPGTFNLQP HNPVGCSSCFCYGHSKVCSPAAGFQEHHIRSDFRHGAGGWQIRSMGVSKRPLQWSQSGLL LGLRGGEELSAPKKFLGDQRLSYGQPVILTLQVPPGGSPPPIQLRLEGAGLALSLRPSSL PSPQDTRQPRRVQLQFLLQETSEEAESPLPTFHFQRLLSNLTALSIWTSGQGPGHSGQVL LCEVQLTSAWPQRELAPPASWVETCLCPQGYTGQFCEFCALGYKREIPHGGPYANCIPCT CNQHGTCDPNTGICLCGHHTEGPSCERCMPGFYGNAFSGRADDCQPCPCPGQSACATIPE SGDVVCTHCPPGQRGRRCESCEDGFFGDPLGLSGAPQPCRRCQCSGNVDLNAVGNCDPHS GHCLRCLYNTTGAHCEHCREGFYGSAVATRPVDKCAPCSCDLRGSVSEKTCNPVTGQCVC LPYVSGRDCSRCSPGFYDLQSGRGCQSCKCHPLGSLENKCHPKTGQCPCRPGVTGQACDR CQLGFFGFSIKGCRDCRCSPLGAASSQCHENSTCVCRPGFVGYKCDRCQDNFFLADGDTG CQECPTCYALVKEEAAKLKARLMLMEGWLQRSDCGSPWGPLDILQGEAPLGDVYQGHHLL QETRGTFLQQMVGLEDSVKATWEQLQVLRGHVHCAQAGAQKTCIQLAELEETLQSSEEEV LRAASALSFLDEPQLFSLLLKASLQKGSSTPTNWSHLASEAQILARSHRDTATKIEATSE RALLASNASYELLKLMEGRVASEAQQELEDRYQEVQAAQTALGIAVAEALPKAEKALATV KQVIGDAAPHLGLLVTPEAMNFQARGLSWKVKALEQKLEQKEPEVGQSVGALQVEAGRAL EKMEPFMQLRNKTTAAFTRASSAVQAAKVTVIGAETLLADLEGMKLRSPLPKEQAALKKK AGSIRTRLLEDTKRKTKQAERMLGNAASLSSSTKKKSKEAELMSKDNAKLSRALLREGKQ GYRHASRLASQTQATLRRASRLLLTSEAHKQELEEAKQVTSGLSTVERQIRESRISLEKD TKVLSELLVKLGSLGVHQAPAQTLNETQRALESLRLQ >ENSMUSP00000028187.6 pep:known chromosome:GRCm38:2:31887344:31946539:1 gene:ENSMUSG00000026840.14 transcript:ENSMUST00000028187.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamc3 description:laminin gamma 3 [Source:MGI Symbol;Acc:MGI:1344394] MAVSRVLSLLATVASMALVIQETHFAAGADMGSCYDGVGRAQRCLPEFENAAFGRRAEAS HTCGRPPEDFCPHVGAPGAGLQCQRCDDADPGRRHDASYLTDFHSPDDSTWWQSPSMAFG VQYPTSVNLTLSLGKAYEITYVRLKFHTSRPESFAIYKRTYASGPWEPYQYYSASCQKTY GRPEGHYLRPGEDERVAFCTSEFSDISPLNGGNVAFSTLEGRPSAYNFEESPVLQEWVTS TDILISLDRLNTFGDDIFKDPRVLQSYYYAVSDFSVGGRCKCNGHASECEPNAAGQLACR CQHNTTGVDCERCLPFFQDRPWARGTAEDANECLPCNCSGHSEECTFDRELYRSTGHGGH CQRCRDHTTGPHCERCEKNYYRWSPKTPCQPCDCHPAGSLSLQCDNSGVCPCKPTVTGWK CDRCLPGFHSLSEGGCRPCACNVAGSLGTCDPRSGNCPCKENVEGSLCDRCRPGTFNLQP HNPVGCSSCFCYGHSKVCSPAAGFQEHHIRSDFRHGAGGWQIRSMGVSKRPLQWSQSGLL LGLRGGEELSAPKKFLGDQRLSYGQPVILTLQVPPGGSPPPIQLRLEGAGLALSLRPSSL PSPQDTRQPRRVQLQFLLQETSEEAESPLPTFHFQRLLSNLTALSIWTSGQGPGHSGQVL LCEVQLTSAWPQRELAPPASWVETCLCPQGYTGQFCEFCALGYKREIPHGGPYANCIPCT CNQHGTCDPNTGICLCGHHTEGPSCERCMPGFYGNAFSGRADDCQPCPCPGQSACATIPE SGDVVCTHCPPGQRGRRCESCEDGFFGDPLGLSGAPQPCRRCQCSGNVDLNAVGNCDPHS GHCLRCLYNTTGAHCEHCREGFYGSAVATRPVDKCAPCSCDLRGSVSEKTCNPVTGQCVC LPYVSGRDCSRCSPGFYDLQSGRGCQSCKCHPLGSLENKCHPKTGQCPCRPGVTGQACDR CQLGFFGFSIKGCRDCRCSPLGAASSQCHENSTCVCRPGFVGYKCDRCQDNFFLADGDTG CQECPTCYALVKEEAAKLKARLMLMEGWLQRSDCGSPWGPLDILQGEAPLGDVYQGHHLL QETRGTFLQQMVGLEDSVKATWEQLQVLRGHVHCAQAGAQKTCIQLAELEETLQSSEEEV LRAASALSFLASLQKGSSTPTNWSHLASEAQILARSHRDTATKIEATSERALLASNASYE LLKLMEGRVASEAQQELEDRYQEVQAAQTALGIAVAEALPKAEKALATVKQVIGDAAPHL GLLVTPEAMNFQARGLSWKVKALEQKLEQKEPEVGQSVGALQVEAGRALEKMEPFMQLRN KTTAAFTRASSAVQAAKVTVIGAETLLADLEGMKLRSPLPKEQAALKKKAGSIRTRLLED TKRKTKQAERMLGNAASLSSSTKKKSKEAELMSKDNAKLSRALLREGKQGYRHASRLASQ TQATLRRASRLLLTSEAHKQELEEAKQVTSGLSTVERQIRESRISLEKDTKVLSELLVKL GSLGVHQAPAQTLNETQRALESLRLQLDSHGALHHKLRQLEEESARQELQIQSFEDDLAE IRADKHNLETILSSLPENCAS >ENSMUSP00000108397.2 pep:known chromosome:GRCm38:6:119175253:119221616:1 gene:ENSMUSG00000041477.14 transcript:ENSMUST00000112777.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcp1b description:decapping mRNA 1B [Source:MGI Symbol;Acc:MGI:2442404] MAAAAAGGLPGKGHDISLAALRRHDPYISRIVDVASQVALYTFGHRANEWEKTGVEGTLF VYTRSASPKHGFTIMNRLSMENRTEPITKDLDFQLQNPFLLYRNGTLSIYGIWFYDKEEC QRIAKLMKNLTQSEQLKACHGAGSSPVTLSSGEGQEVDILQMLTKAKDEYTKCKTCSEPK QMTNSSAICDNPKLIKPVPVRPSSSQRLQGPAPSKTSDPEPQHLSLTALFGKQDKAPCQE TVKPSRTFAHHHHHHHQQQQETRPVHHGVACSLSCEEPRKLSLPVEKQLCPAIQKLMLGS PGLHPLPQHPEQWSCKSGSPSPAGGILPGPVQLGSPWNGRVAHCTQSTCRGHKLLEQLQG APGAVHKYNPCAPTGPAVATQVAPGQSVAQSQLVYFSGPLQPPAPGHQALRKEQGALPAQ AVSLSGSQESSPTVLPTQELLRKLQVVHQEQQAAPRPALAARFPVSAQGSGTEKPLEAWV SKTASMEKQAPLLQSTCAPLRETDNGLMALGGQELPAASSSLLLPLQNWESSTVASRPLT RLQLQEALLNLIQNDDNFLSIIYEAYLFSVTQAAMRTT >ENSMUSP00000073568.5 pep:known chromosome:GRCm38:6:119175288:119220185:1 gene:ENSMUSG00000041477.14 transcript:ENSMUST00000073909.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcp1b description:decapping mRNA 1B [Source:MGI Symbol;Acc:MGI:2442404] MAAAAAGGLPGKGHDISLAALRRHDPYISRIVDVASQVALYTFGHRANEWEKTGVEGTLF VYTRSASPKHGFTIMNRLSMENRTEPITKDLDFQLQNPFLLYRNGTLSIYGIWFYDKEEC QRIAKLMKNLTQSEQLKACHGAGSSPVTLSSGEGQEVDILQMLTKAKDEYTKCKTCSEPK QMTNSSAICDNPKLIKPVPVRPSSSQRLQGPAPSKTSDPEPQHLSLTALFGKQDKAPCQE TVKPSRTFAHHHHHHHQQQQETRPVHHGVACSLSCEEPRKLSLPVEKQLCPAIQKLMLGS PGLHPLPQHPEQWSCKSGSPSPAGGILPGPVQLGSPWNGRVAHCTQSTCRGHKLLEQLQG APGAVHKYNPCAPTGPAVATQVAPGQSVAQSQLVYFSGPLQPPAPGHQALRKEQGALPAQ AVSLSGSQESSPTVLPTQELLRKLQVVHQEQQAAPRPALAARFPVSAQGSGTEKPLEAWV SKTASMEKQAPLLQNWESSTVASRPLTRLQLQEALLNLIQNDDNFLSIIYEAYLFSVTQA AMRTT >ENSMUSP00000092845.3 pep:known chromosome:GRCm38:8:85060055:85067121:1 gene:ENSMUSG00000008167.14 transcript:ENSMUST00000095220.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw9 description:F-box and WD-40 domain protein 9 [Source:MGI Symbol;Acc:MGI:1915878] MDLSSGRSGDPRSCEEESDPEPDPDPDTQAEAYVARVLTPPKLGLTPRRSSLQSMFSASL GVPERKAASKVPAVRLPGLLSLPPELLLEICAYLDARVVLQVLPCVCQALHDLVRDRVTW RLRAQRRVRAPYPVVEEENFDWPAACIELEQHLARWAEDGQRTEYFCLADGHFASIDAVL LLQGGALCLSGSRDRNVNLWDLRHLGKDPSRVLVKALGTQGNSTHKGWVWSLAAQDHRVC SGSWDSTVKLWDMAADGQQFGEIKGKAAVLCLSYQPDILVTGTYDKKVTIYDPRAGLALV KSRRLHSSAVLAVLADDRHVISGSEDHSLVVFDRRANSVLQRLQLDSYLLCMSYQEPQLW AGDNQGLLHVFANRDGCFQLVRSFDVGHQSQITGIKHSLGTLYTTSTDKTIRVHVPTDPP RTICTRSHHNVLNGICAEGNVVVAASGGLSLEVWRLLA >ENSMUSP00000003947.8 pep:known chromosome:GRCm38:8:107388225:107403206:-1 gene:ENSMUSG00000003849.8 transcript:ENSMUST00000003947.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nqo1 description:NAD(P)H dehydrogenase, quinone 1 [Source:MGI Symbol;Acc:MGI:103187] MAARRALIVLAHSEKTSFNYAMKEAAVEALKKRGWEVLESDLYAMNFNPIISRNDITGEL KDSKNFQYPSESSLAYKEGRLSPDIVAEHKKLEAADLVIFQFPLQWFGVPAILKGWFERV LVAGFAYTYAAMYDNGPFQNKKTLLSITTGGSGSMYSLQGVHGDMNVILWPIQSGILRFC GFQVLEPQLVYSIGHTPPDARMQILEGWKKRLETVWEETPLYFAPSSLFDLNFQAGFLMK KEVQEEQKKNKFGLSVGHHLGKSIPADNQIKARK >ENSMUSP00000036996.4 pep:known chromosome:GRCm38:2:25332729:25352212:1 gene:ENSMUSG00000036646.13 transcript:ENSMUST00000042390.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man1b1 description:mannosidase, alpha, class 1B, member 1 [Source:MGI Symbol;Acc:MGI:2684954] MYPPPAPPPAPHRDFISVTLSLGESYDNSKSRRRRSCWRKWKQLSRLQRNVILFVLGFLI LCGFLYSLHTADQWKALSGRPAEVEKMKQEVLPVLPAPQKESAEQEGFADILSQKRQRHF RRGPPHLQIRPPNTVSKDGMQDDAKEREAALGKAQQEENTQRTVISWRGAVIEPEQATEL PYKRAEASIKPLVLASKIWKEPAPPNERQKGVIEAFLHAWKGYQKFAWGHDELKPVSKTF SEWFGLGLTLIDALDTMWILGLKQEFKQARKWVSENLDFQKNVDVNLFESTIRILGGLLS TYHLSGDSLFLTKAEDFGKRLMPAFTTPSKIPYSDVNIGTGFAHSPQWTSDSTVAEVTSI QLEFRELSRLTGIKKFQEAVEEVTKHIHSLSGKKDGLVPMFINTNSGLFTHPGVFTLGAR ADSYYEYLLKQWIQGGKKETQLLEDYVKAIEGIKAHLLRQSQPRKLTFVGELAHGRFSAK MDHLVCFLPGTLALGVHHGLPADHMDLARALMETCYQMNQQMETGLSPEIAHFNMYPRAD HKDVEVKPADRHNLLRPETVESLFYLYRVTRDRKYQDWGWEILQSFNKYTRVPSGGYSSI NNVQNSHKPEPRDKMESFFVGETLKYLYLLFSDDLELLSLDSCVFNTEAHPLPIWAPA >ENSMUSP00000114989.1 pep:known chromosome:GRCm38:2:25332338:25343280:1 gene:ENSMUSG00000036646.13 transcript:ENSMUST00000136245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man1b1 description:mannosidase, alpha, class 1B, member 1 [Source:MGI Symbol;Acc:MGI:2684954] MPAPRDRAQDSGKGDSPQLKPDVTATKNTSPNRSQSAPNRESAGRLQWSGCARFSDKWKQ LSRLQRNVILFVLGFLILCGFLYSLHTADQWKALSGRPAEVEKMKQEVLPVLPAPQKESA EQEGFADILSQKRQRHFRRGPPHLQIRPPNTVSKDGMQDDAKEREAALGKAQQEENTQRT VISWRGAVIEPEQATELPYKRAEASIKPLVLASKIWKEPAPPNERQKGVIEAFLHAWK >ENSMUSP00000060157.9 pep:known chromosome:GRCm38:X:106837082:106840644:-1 gene:ENSMUSG00000047686.9 transcript:ENSMUST00000062010.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc5 description:zinc finger, CCHC domain containing 5 [Source:MGI Symbol;Acc:MGI:2685221] MVEDLAASYVTLKLENEILQAQVKRLMEENAALQAQIPELQKSGAVKEHEPLRKPSEAQE PPESPEFPAARESQNPWEPPATTEPGEPTKIREPREPSAISELREPPEIKEPQEPPETNE SGESSAITEFRGSPEIKEPHLPPKSKEYWGPQELPMVKESWEPPEALDSTAWKPPAVKES QEIQKALESPATQRPQASPRGYDLPAVCEAEPTDNQGTPALKELQSPQLHNPTNDEESQT VPEYQETSSQLEPLEHPPPQETLEPRVPQEPLDPSDAEEFLELSVPEESLEGLIVARTGT EQAQCELEATTLPLEYPLAFSEDFQKLSEFLVQLTSYLRSRGYPTEAALVSFVGSFFSGE AGRMFQPLLDSQPPLVEQFERLLRALQDTFDNPESLEVANQGLPQLRQGEGLAPRYSTRF HLIAQELDLGESTLCIQFQEELASSIQNELSCTSPATNLSDVIIECVTLEEKASGGVDSS SSSSEEENGSEGPPTENQPVQATSNRPHLSEAERARRREGHLCLYCGHPGHFARDCPVKP HRVQQAGNMEARR >ENSMUSP00000145628.1 pep:known chromosome:GRCm38:7:123279218:123369851:-1 gene:ENSMUSG00000030766.15 transcript:ENSMUST00000207010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap17 description:Rho GTPase activating protein 17 [Source:MGI Symbol;Acc:MGI:1917747] MKKQFNRMKQLANQTVGRAEKTEVLSEDLLQIERRLDTVRSMCHHSHKRLIACFQGQHGT DAERRHKKLPLTALAQNMQEASAQLEESLLGKMLETCGDAENQLALELSQHEVFVEKEIM DPLYGIAEVEIPNIQKQRKQLARLVLDWDSVRARWNQAHKSSGTNFQGLPSKIDTLKEEM DEAGNKVEQCKDQLAADMYNFMAKEGEYGKFFVTLLEAQADYHRKALAVLEKALPEMRAH QDKWAEKPAFGTPLEEHLKRSGREIALPIEACVMLLLETGMKEEGLFRIGAGASKLKKLK AALDCSTSHLDEFYSDPHAVAGALKSYLRELPEPLMTFSLYEEWTQVASVQDQDKKLQYL WTTCQKLPPQNFVNFRYLIKFLAKLAQTSDVNKMTPSNIAIVLGPNLLWAKQEGTLAEIA AATSVHVVAVIEPIIQHADWFFPGEVEFNVSEAFVPLATPNSNHSSHTGNDSDSGTLERK RPASMAVMEGDLVKKESPPKPKDSVSAAVPAAGRNSNQMTTVPNQAQTGGNSHQLSVSTP HSAAGPSPHTLRRAVKKPAPAPPKPGNLPPGHPGGQSSPGTGTSPKPSARSPSPPQQQQQ QQQQQQQQQQQQTPGMRRCSSSLPPIQAPSHPPPQPPTQPRLGEQGPEPGPTPPQTPTPP STPPLAKQNPSQSETTQLHGTLPRPRPVPKPRNRPSVPPPPHPPGTHTVDGGLTSSVPTA SRIVTDALPGALTGGEGFQN >ENSMUSP00000102050.2 pep:known chromosome:GRCm38:7:123279271:123369886:-1 gene:ENSMUSG00000030766.15 transcript:ENSMUST00000106442.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap17 description:Rho GTPase activating protein 17 [Source:MGI Symbol;Acc:MGI:1917747] MKKQFNRMKQLANQTVGRAEKTEVLSEDLLQIERRLDTVRSMCHHSHKRLIACFQGQHGT DAERRHKKLPLTALAQNMQEASAQLEESLLGKMLETCGDAENQLALELSQHEVFVEKEIM DPLYGIAEVEIPNIQKQRKQLARLVLDWDSVRARWNQAHKSSGTNFQGLPSKIDTLKEEM DEAGNKVEQCKDQLAADMYNFMAKEGEYGKFFVTLLEAQADYHRKALAVLEKALPEMRAH QDKWAEKPAFGTPLEEHLKRSGREIALPIEACVMLLLETGMKEEGLFRIGAGASKLKKLK AALDCSTSHLDEFYSDPHAVAGALKSYLRELPEPLMTFSLYEEWTQVASVQDQDKKLQYL WTTCQKLPPQNFVNFRYLIKFLAKLAQTSDVNKMTPSNIAIVLGPNLLWAKQEGTLAEIA AATSVHVVAVIEPIIQHADWFFPGEVEFNVSEAFVPLATPNSNHSSHTGNDSDSGTLERK RPASMAVMEGDLVKKESFGVKLMDFQAHRRGGTLNRKHIAPAFQPPLPPTDGNALAPAGP EPPSQSSRADSSSGGGPVFSSTGILEQGLSPGDSSPPKPKDSVSAAVPAAGRNSNQMTTV PNQAQTGGNSHQLSVSTPHSAAGPSPHTLRRAVKKPAPAPPKPGNLPPGHPGGQSSPGTG TSPKPSARSPSPPQQQQQQQQQQQQQQQQQTPGMRRCSSSLPPIQAPSHPPPQPPTQPRL GEQGPEPGPTPPQTPTPPSTPPLAKQNPSQSETTQLHGTLPRPRPVPKPRNRPSVPPPPH PPGTHTVDGGLTSSVPTASRIVTDTNSRVSESLRSIFPEIHSDLASKEVPGHILLDIDND TESTAL >ENSMUSP00000095668.4 pep:known chromosome:GRCm38:7:123279271:123369886:-1 gene:ENSMUSG00000030766.15 transcript:ENSMUST00000098060.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap17 description:Rho GTPase activating protein 17 [Source:MGI Symbol;Acc:MGI:1917747] MKKQFNRMKQLANQTVGRAEKTEVLSEDLLQIERRLDTVRSMCHHSHKRLIACFQGQHGT DAERRHKKLPLTALAQNMQEASAQLEESLLGKMLETCGDAENQLALELSQHEVFVEKEIM DPLYGIAEVEIPNIQKQRKQLARLVLDWDSVRARWNQAHKSSGTNFQGLPSKIDTLKEEM DEAGNKVEQCKDQLAADMYNFMAKEGEYGKFFVTLLEAQADYHRKALAVLEKALPEMRAH QDKWAEKPAFGTPLEEHLKRSGREIALPIEACVMLLLETGMKEEGLFRIGAGASKLKKLK AALDCSTSHLDEFYSDPHAVAGALKSYLRELPEPLMTFSLYEEWTQVASVQDQDKKLQYL WTTCQKLPPQNFVNFRYLIKFLAKLAQTSDVNKMTPSNIAIVLGPNLLWAKQEGTLAEIA AATSVHVVAVIEPIIQHADWFFPGEVEFNVSEAFVPLATPNSNHSSHTGNDSDSGTLERK RPASMAVMEGDLVKKESPPKPKDSVSAAVPAAGRNSNQMTTVPNQAQTGGNSHQLSVSTP HSAAGPSPHTLRRAVKKPAPAPPKPGNLPPGHPGGQSSPGTGTSPKPSARSPSPPQQQQQ QQQQQQQQQQQQTPGMRRCSSSLPPIQAPSHPPPQPPTQPRLGEQGPEPGPTPPQTPTPP STPPLAKQNPSQSETTQLHGTLPRPRPVPKPRNRPSVPPPPHPPGTHTVDGGLTSSVPTA SRIVTDTNSRVSESLRSIFPEIHSDLASKEVPGHILLDIDNDTESTAL >ENSMUSP00000128447.1 pep:known chromosome:GRCm38:7:123279275:123369878:-1 gene:ENSMUSG00000030766.15 transcript:ENSMUST00000167309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap17 description:Rho GTPase activating protein 17 [Source:MGI Symbol;Acc:MGI:1917747] MKKQFNRMKQLANQTVGRAEKTEVLSEDLLQIERRLDTVRSMCHHSHKRLIACFQGQHGT DAERRHKKLPLTALAQNMQEASAQLEESLLGKMLETCGDAENQLALELSQHEVFVEKEIM DPLYGIAEVEIPNIQKQRKQLARLVLDWDSVRARWNQAHKSSGTNFQGLPSKIDTLKEEM DEAGNKVEQCKDQLAADMYNFMAKEGEYGKFFVTLLEAQADYHRKALAVLEKALPEMRAH QDKWAEKPAFGTPLEEHLKRSGREIALPIEACVMLLLETGMKEEGLFRIGAGASKLKKLK AALDCSTSHLDEFYSDPHAVAGALKSYLRELPEPLMTFSLYEEWTQVASVQDQDKKLQYL WTTCQKLPPQNFVNFRYLIKFLAKLAQTSDVNKMTPSNIAIVLGPNLLWAKQEGTLAEIA AATSVHVVAVIEPIIQHADWFFPGEVEFNVSEAFVPLATPNSNHSSHTGNDSDSGTLERK RPASMAVMEGDLVKKESFGVKLMDFQAHRRGGTLNRKHIAPAFQPPLPPTDGNALAPAGP EPPSQSSRADSSSGGGPVFSSTGILEQGLSPGDSSPPKPKDSVSAAVPAAGRNSNQMTTV PNQAQTGGNSHQLSVSTPHSAAGPSPHTLRRAVKKPAPAPPKPGNLPPGHPGGQSSPGTG TSPKPSARSPSPPQQQQQQQQQQQQQQQQQTPGMRRCSSSLPPIQAPSHPPPQPPTQPRL GEQGPEPGPTPPQTPTPPSTPPLAKQNPSQSETTQLHGTLPRPRPVPKPRNRPSVPPPPH PPGTHTVDGGLTSSVPTASRIVTDALPGALTGGEGFQN >ENSMUSP00000145627.1 pep:known chromosome:GRCm38:7:123279280:123369903:-1 gene:ENSMUSG00000030766.15 transcript:ENSMUST00000206117.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap17 description:Rho GTPase activating protein 17 [Source:MGI Symbol;Acc:MGI:1917747] MKKQFNRMKQLANQTVGRAEKTEVLSEDLLQIERRLDTVRSMCHHSHKRLIACFQGQHGT DAERRHKKLPLTALAQNMQEASAQLEESLLGKMLETCGDAENQLALELSQHEVFVEKEIM DPLYGIAEVEIPNIQKQRKQLARLVLDWDSVRARWNQAHKSSGTNFQGLPSKIDTLKEEM DEAGNKVEQCKDQLAADMYNFMAKEGEYGKFFVTISGQRSQPSAHLWRNT >ENSMUSP00000146035.1 pep:known chromosome:GRCm38:7:123279385:123369885:-1 gene:ENSMUSG00000030766.15 transcript:ENSMUST00000205262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap17 description:Rho GTPase activating protein 17 [Source:MGI Symbol;Acc:MGI:1917747] MKKQFNRMKQLANQTVGRAEKTEVLSEDLLQIERRLDTVRSMCHHSHKRLIACFQGQHGT DAERRHKKLPLTALAQNMQEASAQLEESLLGKMLETCGDAENQLALELSQHEVFVEKEIM DPLYGIAEVEIPNIQKQRKQLARLVLDWDSVRARWNQAHKSSGTNFQGLPSKIDTLKEEM DEAGNKVEQCKDQLAADMYNFMAKEGEYGKFFVTLLEAQADYHRKALAVLEKALPEMRAH QDKWAEKPAFGTPLEEHLKRSGREIALPIEACVMLLLETGMKEEGLFRIGAGASKLKKLK AALDCSTSHLDEFYSDPHAVAGALKSYLRELPEPLMTFSLYEEWTQVASVQDQDKKLQYL WTTCQKLPPQNFVNFRYLIKFLAKLAQTSDVNKMTPSNIAIVLGPNLLWAKQEGTLAEIA AATSVHVVAVIEPIIQHADWFFPGEVEFNVSEAFVPLATPNSNHSSHTGNDSDSGTLERK RPASMAVMEGDLVKKESPPKPKDSVSAAVPAAGRNSNQMTTVPNQAQTGGNSHQLSVSTP HSAAGPSPHTLRRAVKKPAPAPPKPGNLPPGHPGGQSSPGTGTSPKPSARSPSPPQQQQQ QQQQQQQQQQQQTPGMRRCSSSLPPIQAPSHPPPQPPTQPRLGEQGPEPGPTPPQTPTPP STPPLAKQNPSQSETTQLHGTLPRPRPVPKPRNRPSVPPPPHPPGTHTVDGGLTSSVPTA SRIVTDV >ENSMUSP00000146019.1 pep:known chromosome:GRCm38:7:123321649:123369915:-1 gene:ENSMUSG00000030766.15 transcript:ENSMUST00000205936.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap17 description:Rho GTPase activating protein 17 [Source:MGI Symbol;Acc:MGI:1917747] MKKQFNRMKQLANQTVGRLNVAWTLCVQCATIHISV >ENSMUSP00000039013.4 pep:known chromosome:GRCm38:X:153139981:153171943:1 gene:ENSMUSG00000041658.12 transcript:ENSMUST00000039720.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rragb description:Ras-related GTP binding B [Source:MGI Symbol;Acc:MGI:3038613] MEESDSEKKTEKENVGPKVEPPLGEPEGSLGWAMPNAAMKKKVLLMGKSGSGKTSMRSII FANYIARDTRRLGATILDRIHSLQINSSLSTYSLVDSVGNTKTFDVEHSHVRFLGNLVLN LWDCGGQDTFMENYFTSQRDNIFRNVEVLIYVFDVESRELEKDMHYYQSCLEAILQNSPE AKIFCLVHKMDLVQEDQRDLIFKEREEDLRRLSRPLECSCFRTSIWDETLYKAWSSIVYQ LIPNVQQLEMNLRNFAEIIEADEVLLFERATFLVISHYQCKEQRDAHRFEKISNIIKQFK LSCSKLAASFQSMEVRNSNFAAFIDIFTSNTYVMVVMSDPSIPSAATLINIRNARKHFEK LERVDGPKQCLLMR >ENSMUSP00000134162.1 pep:known chromosome:GRCm38:X:153139987:153153187:1 gene:ENSMUSG00000041658.12 transcript:ENSMUST00000144175.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rragb description:Ras-related GTP binding B [Source:MGI Symbol;Acc:MGI:3038613] MEESDSEKKTEKENVGPKVEPPLGEPEGSLGWAMPNAAMKKKVLLMGKSGSGKTSMRSII FANYIARDTRRLGATIDVEHSHVRFLGNLVLNLWDCGGQDTFMENYFTSQRDNIFR >ENSMUSP00000103060.1 pep:known chromosome:GRCm38:11:99850655:99851608:-1 gene:ENSMUSG00000046474.9 transcript:ENSMUST00000107437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap4-16 description:keratin associated protein 4-16 [Source:MGI Symbol;Acc:MGI:3651030] MVNSCCGSVCSEQGCDQSPCQESCGQPSCSQPSCSQPSCCQTTCCRTTCCRPSCCVSSCC RPTCQTTCCRPVCCQTTCRPSCGVSSCCRPVCCQTTCRPSCGVPSCCRPVCCQTTCRPSC GVSSCCRPVCCQTTCRPSCGVSSCCRPVCCQTTCRPSCGVSSCCRPVCCQTTCRPSCGVS SCCRPVCCQTTCCRTTCCGPSC >ENSMUSP00000041831.5 pep:known chromosome:GRCm38:2:11585437:11603262:-1 gene:ENSMUSG00000037197.11 transcript:ENSMUST00000040314.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm17 description:RNA binding motif protein 17 [Source:MGI Symbol;Acc:MGI:1924188] MSLYDDLGVETSDSKTEGWSKNFKLLQSQLQVKKAALTQAKSQRTKQSTVLAPVIDLKRG GSSDDRQIADTPPHVAAGLKDPVPSGFSAGEVLIPLADEYDPMFPNDYEKVVKRQREERQ RQRELERQKEIEEREKRRKDRHEASGFSRRPDPDSDEDEDYERERRKRSMGGAAIAPPTS LVEKDKELPRDFPYEEDSRPRSQSSKAAIPPPVYEEPDRPRSPTGPSNSFLANMGGTVAH KIMQKYGFREGQGLGKHEQGLSTALSVEKTSKRGGKIIVGDATEKGEAQDASKKSDSNPL TEILKCPTKVVLLRNMVGAGEVDEDLEVETKEECEKYGKVGKCVIFEIPGAPDDEAVRIF LEFERVESAIKAVVDLNGRYFGGRVVKACFYNLDKFRVLDLAEQV >ENSMUSP00000117133.1 pep:known chromosome:GRCm38:2:11596682:11604153:-1 gene:ENSMUSG00000037197.11 transcript:ENSMUST00000156469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm17 description:RNA binding motif protein 17 [Source:MGI Symbol;Acc:MGI:1924188] MSLYDDLGVETSDSKTEGWSKNFKLLQSQLQVKKAALTQAKSQRTKQSTVLAPVIDLKRG GSSDDRQIADTPPHVAAGLKDPVPSGFSAGEVLIPLADEYDPMFPNDYEKVVKRQREE >ENSMUSP00000131087.1 pep:known chromosome:GRCm38:14:41278805:41288815:1 gene:ENSMUSG00000091110.1 transcript:ENSMUST00000172412.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2832 description:predicted gene 2832 [Source:MGI Symbol;Acc:MGI:3781004] XCLLRSQSGRFSWLRRLFHRENGNQGETRPRQKESAIPSCKNRRMKSFWGRHMSAGKTSF QNCNITNHMKNMNKLDDMKFYIRKINAERLELFRILDIDLNTDLNYRMNTEFTIIKSQHE KTMLDMEKMTQSISDTIEKYKEFIEDKDSYSFTHTYLLKECNQLKEKVRMLLNENRKLLV EQADQETSYGEENRFCDETS >ENSMUSP00000146438.1 pep:known chromosome:GRCm38:2:111537349:111540170:-1 gene:ENSMUSG00000109547.1 transcript:ENSMUST00000208675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1294 description:olfactory receptor 1294 [Source:MGI Symbol;Acc:MGI:3031128] MDGDNQTVVSEFILWGLAHSKNIQVLLFVIFLMLYLLIMSGNIVILTLITTDTHLHSPMY FLLANLSFVDMWLSTNTTPKMITDFLREIKIISFAGCMSQVFFSHCIAAGEMVLLVAMAY DRYVAICKPLHYFTIMNLKRCSSLVLTSWTIGFIHGIIYIVVIVHLPFCGPNEIDSFFCD MPLVIKLACMDYHYLNTLMNADCGLVAITCFILLLTSYTYILMTVCKSSKAGASKAMNTC TAHITVVLIFFVPCIFIYVWPLNITWLDKFFAVFYSVFTPLLNPAIYTLRNKEMKNAMKR FIGNFLGPKVNL >ENSMUSP00000146492.1 pep:known chromosome:GRCm38:2:111537349:111538290:-1 gene:ENSMUSG00000109547.1 transcript:ENSMUST00000208334.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1294 description:olfactory receptor 1294 [Source:MGI Symbol;Acc:MGI:3031128] MDGDNQTVVSEFILWGLAHSKNIQVLLFVIFLMLYLLIMSGNIVILTLITTDTHLHSPMY FLLANLSFVDMWLSTNTTPKMITDFLREIKIISFAGCMSQVFFSHCIAAGEMVLLVAMAY DRYVAICKPLHYFTIMNLKRCSSLVLTSWTIGFIHGIIYIVVIVHLPFCGPNEIDSFFCD MPLVIKLACMDYHYLNTLMNADCGLVAITCFILLLTSYTYILMTVCKSSKAGASKAMNTC TAHITVVLIFFVPCIFIYVWPLNITWLDKFFAVFYSVFTPLLNPAIYTLRNKEMKNAMKR FIGNFLGPKVNL >ENSMUSP00000116603.1 pep:known chromosome:GRCm38:10:81628540:81638300:1 gene:ENSMUSG00000054708.17 transcript:ENSMUST00000123896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd24 description:ankyrin repeat domain 24 [Source:MGI Symbol;Acc:MGI:1890394] MKTLRARFKKTEASCVVDIEDSSGWTALHHAAAGGCLSCSKLLCSFKAHMNPRDRSGATP LIIAA >ENSMUSP00000118811.1 pep:known chromosome:GRCm38:10:81628579:81629747:1 gene:ENSMUSG00000054708.17 transcript:ENSMUST00000153573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd24 description:ankyrin repeat domain 24 [Source:MGI Symbol;Acc:MGI:1890394] MKTLRARFKKT >ENSMUSP00000112932.1 pep:known chromosome:GRCm38:10:81628635:81647610:1 gene:ENSMUSG00000054708.17 transcript:ENSMUST00000119336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd24 description:ankyrin repeat domain 24 [Source:MGI Symbol;Acc:MGI:1890394] MKTLRARFKKTEGQDWGKSDQRLLQAVENNDVARVASLIAHKGLVPTKLDPEGKSAFHLA AMRGAAGCLEVMLAQGADVMSTDGAGYNALHLAAKYGHPECLKQLLEASCVVDIEDSSGW TALHHAAAGGCLSCSKLLCSFKAHMNPRDRSGATPLIIAAQMCHTDLCRLLLQQGAATND QDLQGRTALMLACEGGSPETVEVLLQGGAQLSITDALGQDATHYGALTGDKLILQLLHES ARRSSPPSASLEEDSGEASSQNSVSSHEKQGAPKKRKAPQPPASTPVPDDRDAYEEIVRL RQERGRLLQKIRGLEQHKERRRKEPLEAEASSVHSLERQVQELQQMLAEKQEEKESLGRE VESLQSRLSLLENERENTSYDVATLQDEEGEMPDFPGADALMPKNQSPSAEEIVASLQEQ VAQLTRQNQELLEKVQILEEFEKDEAQMAEESQAEVVPLVLYESLRAELEQLRRQYTEAM HSQQQQQEGEPPRAQEGEETAYQEIKDKGITIQNGPSVPDLNGTTYAETKANGMELQAGG SKGVWNTEAGVSEAAPIEPEAAGSEATGKDRLAAKEMDTSATMAEALNVKALGDNAESEP VAAEDTGGKENPGMKADEVDVLAQAGLTGTVIRNMEAIGVRDTGIQATGLEAKAVKTTGV QATVAEVIGVKVTGVQTTAIEAIGVKDTTQVATGAQADCWQATEADCTGAQDTAMEPTGA QATVTETTEAETSGTEDPCAAILHPGAAAAALQAELETRIRGLEEALRRREREAAAELEA ARGRFAEAEEAARGRSRELEALRELLATATATGERARTEAAELRQALAASEARVAELSST VDAAREELERMRGASVPADEHEHALSALRDHVTRLQAQLADLARRHEKTSAEVFQITDLS KEVFTLKEALKVQQSTPASSKEEEALRGQVTALQQQIQEEAREHGAVVALYRTHLLYAIQ GQMDEDVQCILSQILQMQRLQAQGR >ENSMUSP00000122451.1 pep:known chromosome:GRCm38:10:81628706:81629742:1 gene:ENSMUSG00000054708.17 transcript:ENSMUST00000129622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd24 description:ankyrin repeat domain 24 [Source:MGI Symbol;Acc:MGI:1890394] MKTLRARFKK >ENSMUSP00000117975.1 pep:known chromosome:GRCm38:10:81633699:81643023:1 gene:ENSMUSG00000054708.17 transcript:ENSMUST00000123993.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd24 description:ankyrin repeat domain 24 [Source:MGI Symbol;Acc:MGI:1890394] MKQLCLCAAASFALRLSPTDLSSCPPCGRCPIPKPAARGRRQGQDWGKSDQRLLQAVENN DVARVASLIAHKGLVPTKLDPEGST >ENSMUSP00000123618.1 pep:known chromosome:GRCm38:10:81633703:81636421:1 gene:ENSMUSG00000054708.17 transcript:ENSMUST00000140345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd24 description:ankyrin repeat domain 24 [Source:MGI Symbol;Acc:MGI:1890394] MKQLCLCAAASFALRLSPTDLSSCPPCGRCPIPKPAARGRRQGQDWGKSDQRLLQAVENN DVARVASLIAHKGLVPTKLDPEGKSAFHLAAMRGAAGCLEVMLAQGADVMSTDGAGFLRG GHRGQQWVDSPSP >ENSMUSP00000118286.1 pep:known chromosome:GRCm38:10:81633709:81639899:1 gene:ENSMUSG00000054708.17 transcript:ENSMUST00000126323.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd24 description:ankyrin repeat domain 24 [Source:MGI Symbol;Acc:MGI:1890394] MNPRDRSGATPLIIAAQMCHTDLCRLLLQQGAATNDQDLQGRTALMLACEGGSPETVEVL LQGGAQLSITDALGQDATHYGALTGDKLILQLLHESARRSSPPSASLEEDSGEASSQNSV SSHEKQGAPKK >ENSMUSP00000123676.1 pep:known chromosome:GRCm38:10:81634539:81638082:1 gene:ENSMUSG00000054708.17 transcript:ENSMUST00000152892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd24 description:ankyrin repeat domain 24 [Source:MGI Symbol;Acc:MGI:1890394] MRGAAGCLEVMLAQGADVMSTDGAGYNALHLAAKYGHPECLKQLLEASCVVDIEDSSGWT ALHHAAAGGC >ENSMUSP00000118418.1 pep:known chromosome:GRCm38:10:81640932:81642963:1 gene:ENSMUSG00000054708.17 transcript:ENSMUST00000123305.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd24 description:ankyrin repeat domain 24 [Source:MGI Symbol;Acc:MGI:1890394] XKESLGREVESLQSRLSLLEELMH >ENSMUSP00000121709.1 pep:known chromosome:GRCm38:10:81643803:81647474:1 gene:ENSMUSG00000054708.17 transcript:ENSMUST00000132458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd24 description:ankyrin repeat domain 24 [Source:MGI Symbol;Acc:MGI:1890394] XSALRDHVTRLQAQLADLARRHEKTSAEVFQVQREALFMKSERHAAEAQLATAEQQLRGL RTEAERARQAQSRAQEALDKAKEKDKKITDLSKEVFTLKEALKVQQSTPASSKEEEALRG QVTALQQQIQEEAREHGAVVALYRTHLLYAIQGQMDEDVQCILSQILQMQRLQAQGR >ENSMUSP00000138561.1 pep:known chromosome:GRCm38:7:30751471:30756134:1 gene:ENSMUSG00000046056.15 transcript:ENSMUST00000182229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbsn description:suprabasin [Source:MGI Symbol;Acc:MGI:2446326] MYLVSLLSSCCLLVLLGTLPARAAHEDPVEKVIEGFSRGLSNAEREVGKALEGINNGITQ AGREVEKIFGELSNMGSQAGKNVEHGLDKVAHDINSGIGHAGKEAEKFAHGVNHAAGQGS HQGQGGYGGQHGGAATTTVVSGASVNKPFINFPALWRSIAATMP >ENSMUSP00000079362.5 pep:known chromosome:GRCm38:7:30751533:30756131:1 gene:ENSMUSG00000046056.15 transcript:ENSMUST00000080518.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbsn description:suprabasin [Source:MGI Symbol;Acc:MGI:2446326] MYLVSLLSSCCLLVLLGTLPARAAHEDPVEKVIEGFSRGLSNAEREVGKALEGINNGITQ AGREVEKIFGELSNMGSQAGKNVEHGLDKVAHDINSGIGHAGKEAEKFAHGVNHAAGQVG KETNKIIHHGVSQGGSEAGKFGQGSHHAFGQGGNVADKLGHETHHAFGQGGNVADKLGHE THHAFGQGGNVADKLGHGGNVADKLGHGTHHAFGQGGNVADKLGHETHHAFGQGGNVADK LGHGTHHAFGQGGNVADKLGHETHHAFGQGGNVAEKLGHETHHAFGQGGNMADKFGQGAH HAFGQGGNMADKFGQGAHHAFGQGGNMADKFGQGAHHAFGQGGNMADKFGQGAHHAFGQG GNMADKFGQGAHHAFGQGRDMAETFDQGAHHAFGQGGREGGRLVQGAGQGLSHAAKEAQQ FGHGHGHGYYAAGQTWQEGDKVIRPGVSQAGEEMEQFGQGVRHTIKQAEKEAEKVAHGVQ NGVNQAQKEAEKVAHGVQNGVNQAQKEAEKVAHGVQNGVNQAQKEAEKVAHGVQTGVNQA GKETQRVGQGVQTGFNQGQKEAEKVAHGVQTGVNQAGKETQKAGQGVNYAAGQAEKEAEK LGQGVHHAAGQEMNRLQQDVHNGVNQPSKEANQLLNGSHQGQGGYGGQHGGAATTTVVSG ASVNKPFINFPALWRSIAATMP >ENSMUSP00000138427.1 pep:known chromosome:GRCm38:7:30751555:30756134:1 gene:ENSMUSG00000046056.15 transcript:ENSMUST00000182227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbsn description:suprabasin [Source:MGI Symbol;Acc:MGI:2446326] MYLVSLLSSCCLLVLLGTLPARAAHEDPVEKVIEGFSRGLSNAEREGSHQGQGGYGGQHG GAATTTVVSGASVNKPFINFPALWRSIAATMP >ENSMUSP00000138654.1 pep:known chromosome:GRCm38:7:30751562:30756134:1 gene:ENSMUSG00000046056.15 transcript:ENSMUST00000182721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbsn description:suprabasin [Source:MGI Symbol;Acc:MGI:2446326] MYLVSLLSSCCLLVLLGTLPARAAHEDPVEKVIEGFSRGLSNAEREVGKALEGINNGITQ AGREVEKIFGELSNMGSQAGKNVEHGLDKVAHDINSGIGHAGKEAEKFAHGVNHAAGQVG KETNKIIHHGGSHQGQGGYGGQHGGAATTTVVSGASVNKPFINFPALWRSIAATMP >ENSMUSP00000031901.5 pep:known chromosome:GRCm38:6:41652173:41680769:-1 gene:ENSMUSG00000036899.10 transcript:ENSMUST00000031901.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv5 description:transient receptor potential cation channel, subfamily V, member 5 [Source:MGI Symbol;Acc:MGI:2429764] MGAKTPWIQLQKLLNWWVRDQDWNQHVDQLHMLQQKSIWESPLLRAAKENDMCTLKKLQH DQNCDFRQRGALGETALHVAALYDNLDAAIMLMEAAPYLVTESTLCEPFVGQTALHIAVM NQNVNLVRALLARGASASARATGSAFHRSSHNLIYYGEHPLSFAACVGSEEIVRLLIEHG ADIRAQDSLGNTVLHILVLQPNKTFACQMYNLLLSYDGGDHLKSLELVPNNQGLTPFKLA GVEGNTVMFQHLMQKRKRIQWSFGPLTSSLYDLTEIDSWGEELSFLELVVSSKKKEARQI LEQTPVKELVSLKWKKYGQPYFCLLGALYIFYMVCFTTCCVYRPLKFRDANRTHVRDNTI MEQKSLQEAYVTYQDKIRLVGELVTVIGAVIILLLEIPDIFRVGASRYFGQTVLGGPFHV IIITYASLVLLTMAMRLTNVNGEVVPMSMALVLGWCSVMYFARGFQMLGPFTIMIQKMIF GDLLRFCWLMAMVILGFASAFYIIFQTEDPDNLGEFSDYPTAMFSTFELFLTIIDGPANY RVDLPFMYSVTYATFAIIATLLMLNLFIAMMGDTHWRVAQERDELWRAQVVATTVMLERK MPRFLWPRSGICGCEYGLGDRWFLRVEHHQEQNPYRVLRYVEAFKSSDKEEVQEQLSEKQ PSGTETGTLARGSVVLQTPPLSRTTSLSSNSHRGWEILRRNTLGHLNLGLDPGEGDGEEI YQF >ENSMUSP00000141421.1 pep:known chromosome:GRCm38:6:41653130:41680655:-1 gene:ENSMUSG00000036899.10 transcript:ENSMUST00000193503.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv5 description:transient receptor potential cation channel, subfamily V, member 5 [Source:MGI Symbol;Acc:MGI:2429764] MGAKTPWIQLQKLLNWWVRDQDWNQHVDQLHMLQQKSIWESPLLRAAKENDMCTLKKLQH DQNCDFRQRGALGETALHVAALYDNLDAAIMLMEAAPYLVTESTLCEPFVGQTALHIAVM NQNVNLVRALLARGASASARATGSAFHRSSHNLIYYGEHPLSFAACVGSEEIVRLLIEHG ADIRAQDSLGNTVLHILVLQPNKTFACQMYNLLLSYDGGDHLKSLELVPNNQGLTPFKLA GVEGNTVARQILEQTPVKELVSLKWKKYGQPYFCLLGALYIFYMVCFTTCCVYRPLKFRD ANRTHVRDNTIMEQKSLQEAYVTYQDKIRLVGELVTVIGAVIILLLEIPDIFRVGASRYF GQTVLGGPFHVIIITYASLVLLTMAMRLTNVNGEVVPMSMALVLGWCSVMYFARGFQMLG PFTIMIQKMIFGDLLRFCWLMAMVILGFASAFYIIFQTEDPDNLGEFSDYPTAMFSTFEL FLTIIDGPANYRVDLPFMYSVTYATFAIIATLLMLNLFIAMMGDTHWRVAQERDELWRAQ VVATTVMLERKMPRFLWPRSGICGCEYGLGDRWFLRVEHHQEQNPYRVLRYVEAFKSSDK EEVQEQLSEKQPSGTETGTLARGSVVLQTPPLSRTTSLSSNSHRGWEILRRNTLGHLNLG LDPGEGDGEEIYQF >ENSMUSP00000053986.7 pep:known chromosome:GRCm38:X:106920625:106933900:1 gene:ENSMUSG00000049929.7 transcript:ENSMUST00000060576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar4 description:lysophosphatidic acid receptor 4 [Source:MGI Symbol;Acc:MGI:1925384] MGDRRFIDFQFQDLNSSLRPRLGNATANNTCIVDDSFKYNLNGAVYSVVFILGLITNSAS LFVFCFRMKMRSETAIFITNLALSDLLFVCTLPFKIFYNFNRHWPFGDTLCKISGTAFLT NIYGSMLFLTCISVDRFLAIVYPFRSRTIRTRRNSAIVCAGVWILVLSGGISASLFSTTN VNNATTTCFEGFSKRVWKTYLSKITIFIEVVGFIIPLILNVSCSSVVLRTLRKPATLSQI GTNKKKVLKMITVHMAVFVVCFVPYNSVLFLYALVRSQAITNCLLERFAKIMYPITLCLA TLNCCFDPFIYYFTLESFQKSFYINTHIRMESLFKTETPLTPKPSLPAIQEEVSDQTTNN GGELMLESTF >ENSMUSP00000103057.1 pep:known chromosome:GRCm38:11:99857917:99859061:1 gene:ENSMUSG00000069717.7 transcript:ENSMUST00000107434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11568 description:predicted gene 11568 [Source:MGI Symbol;Acc:MGI:3650331] MTNSCCSPCCQPTCCRTTCCRTTCWRPSCVTSCCQPCCQPSCCGSSCCQPCCQTTCCRTC FQPCCVSSCCRTPCCQPCCCVSSCCQPCCQPSCCQSSCCQPSCCQPSCCQSSCCQPSCCQ SSCCQPRCCISSCCQPRCCISSCCQPCCRPSCCQSSCCKPCCQPFCLNLCCQPACSGPVT CTRTCYQPTCVCVPGCLSQGCGSSCCEPCGC >ENSMUSP00000071704.6 pep:known chromosome:GRCm38:7:4504570:4515975:-1 gene:ENSMUSG00000064179.13 transcript:ENSMUST00000071798.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt1 description:troponin T1, skeletal, slow [Source:MGI Symbol;Acc:MGI:1333868] MSDTEEQEYEEEQAEDEEAVEEEEAPEEPEPVAEREERPKPSRPVVPPLIPPKIPEGERV DFDDIHRKRMEKDLLELQTLIDVHFEQRKKEEEELIALKDRIERRRAERAEQQRFRTEKE RERQAKLAEEKMRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQTGREM KLRILSERKKPLNIDYMGEDQLREKAQELSEWIHQLESEKFDLMEKLKQQKYEINVLYNR ISHAQKFRKGAGKGRVGGRWK >ENSMUSP00000104228.2 pep:known chromosome:GRCm38:7:4504570:4516004:-1 gene:ENSMUSG00000064179.13 transcript:ENSMUST00000108587.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt1 description:troponin T1, skeletal, slow [Source:MGI Symbol;Acc:MGI:1333868] MSDTEEQEYEEEQAEDEEAVEEEEAPEEPEPVAEREEERPKPSRPVVPPLIPPKIPEGER VDFDDIHRKRMEKDLLELQTLIDVHFEQRKKEEEELIALKDRIERRRAERAEQQRFRTEK ERERQAKLAEEKMRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQTGRE MKLRILSERKKPLNIDYMGEDQLREKAQELSEWIHQLESEKFDLMEKLKQQKYEINVLYN RISHAQKFRKGAGKGRVGGRWK >ENSMUSP00000129626.1 pep:known chromosome:GRCm38:7:4504663:4515959:-1 gene:ENSMUSG00000064179.13 transcript:ENSMUST00000163710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt1 description:troponin T1, skeletal, slow [Source:MGI Symbol;Acc:MGI:1333868] MSDTEEQEYEEEQAEDEEAVEEEEEERPKPSRPVVPPLIPPKIPEGERVDFDDIHRKRME KDLLELQTLIDVHFEQRKKEEEELIALKDRIERRRAERAEQQRFRTEKERERQAKLAEEK MRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQTGREMKLRILSERKKP LNIDYMGEDQLREKAQELSEWIHQLESEKFDLMEKLKQQKYEINVLYNRISHAQKFRKGA GKGRVGGRWK >ENSMUSP00000125795.1 pep:known chromosome:GRCm38:7:4504755:4514368:-1 gene:ENSMUSG00000064179.13 transcript:ENSMUST00000166161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt1 description:troponin T1, skeletal, slow [Source:MGI Symbol;Acc:MGI:1333868] MSDTEEQEYEEEQAEDEEAVEEEEERPKPSRPVVPPLIPPKIPEGERVDFDDIHRKRMEK DLLELQTLIDVHFEQRKKEEEELIALKDRIERRRAERAEQQRFRTEKERERQAKLAEEKM RKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQTGREMKLRILSERKKPL NIDYMGEDQLREKAQELSEWIHQLESEKFDLMEKLKQQKYEINVLYNRISHAQKFRKGAG KGRVGGRWK >ENSMUSP00000128476.1 pep:known chromosome:GRCm38:7:4507568:4515961:-1 gene:ENSMUSG00000064179.13 transcript:ENSMUST00000166268.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt1 description:troponin T1, skeletal, slow [Source:MGI Symbol;Acc:MGI:1333868] MSDTEEQEYEEEQAEDEEAVEEEEEEERPKPSRPVVPPLIPPKIPEGERVDFDDIHRKRM EKDLLELQTLIDVHFEQRKKEEEELIALKDRIERRRAERAEQQRFRTEKERERQAKLAEE KMRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQTGREMKLRILSERKK PLNIDYMGEDQLREKAQELSEWIHQLES >ENSMUSP00000129109.1 pep:known chromosome:GRCm38:7:4508510:4514615:-1 gene:ENSMUSG00000064179.13 transcript:ENSMUST00000166959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt1 description:troponin T1, skeletal, slow [Source:MGI Symbol;Acc:MGI:1333868] MSDTEEQEYEEEQAEDEEAVEEEEAPEEPEPVAEREEERPKPSRPVVPPLIPPKIPEGER VDFDDIHRKRMEKDLLELQTLIDVHFEQRKKEEEELIALKDRIERRRAERAEQQRFRTEK ERERQAKLAEEKMRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQTGRE MKLRILSERKKP >ENSMUSP00000127964.1 pep:known chromosome:GRCm38:7:4508578:4514574:-1 gene:ENSMUSG00000064179.13 transcript:ENSMUST00000163538.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt1 description:troponin T1, skeletal, slow [Source:MGI Symbol;Acc:MGI:1333868] MSDTEEQEYEEEQAEDEEAVEEEEAPEEPEPVAEREERPKPSRPVVPPLIPPKIPEGERV DFDDIHRKRMEKDLLELQTLIDVHFEQRKKEEEELIALKDRIERRRAERAEQQRFRTEKE RERQAKLAEEKMRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAE >ENSMUSP00000129409.1 pep:known chromosome:GRCm38:7:4509610:4516382:-1 gene:ENSMUSG00000064179.13 transcript:ENSMUST00000163722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt1 description:troponin T1, skeletal, slow [Source:MGI Symbol;Acc:MGI:1333868] MEARDRGHERSLREATQREQAEDEEAVEEEEAPEEPEPVAEREEERPKPSRPVVPPLIPP KIPEGERVDFDDIHRKRMEKDLLELQTLIDVHFEQRKKEEEELIALKDRIERRRAERA >ENSMUSP00000132012.1 pep:known chromosome:GRCm38:7:4513829:4515107:-1 gene:ENSMUSG00000064179.13 transcript:ENSMUST00000163560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt1 description:troponin T1, skeletal, slow [Source:MGI Symbol;Acc:MGI:1333868] MSDTEEQEYEEEQAEDEE >ENSMUSP00000137198.1 pep:known chromosome:GRCm38:7:4504663:4515975:-1 gene:ENSMUSG00000064179.13 transcript:ENSMUST00000178163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt1 description:troponin T1, skeletal, slow [Source:MGI Symbol;Acc:MGI:1333868] MSDTEEQEYEEEQAEDEEAVEEEEAPEEPEPVAEREQRVRHSRPVVPPLIPPKIPEGERV DFDDIHRKRMEKDLLELQTLIDVHFEQRKKEEEELIALKDRIERRRAERAEQQRFRTEKE RERQAKLAEEKMRKEEEEAKKRAEDDAKKKKVLSNMGAHFGGYLVKAEQKRGKRQTGREM KLRILSERKKPLNIDYMGEDQLREKAQELSEWIHQLESEKFDLMEKLKQQKYEINVLYNR ISHAQKFRKGAGKGRVGGRWK >ENSMUSP00000128907.1 pep:known chromosome:GRCm38:14:41348609:41356839:1 gene:ENSMUSG00000094925.7 transcript:ENSMUST00000164613.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5798 description:predicted gene 5798 [Source:MGI Symbol;Acc:MGI:3779524] MFSWLCRLFHRENGDQGETRPRQKESGIPSCKNRRMKSFWGRHMSAGKTSSQNCNITNHM KNMNKLDDMKFYIRKINAERLELFRILDIDMNTDLNYRMNTEFTIIKSQHEKTMLDMEKM TQSISDTIEKYKEFIEDKDSYSFIHTYLLKECNQLKEKVRMLLNENRKLLVEQADQETSY REEKMFCDEASKNIHPKC >ENSMUSP00000137056.1 pep:known chromosome:GRCm38:14:41348635:41351596:1 gene:ENSMUSG00000094925.7 transcript:ENSMUST00000179987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5798 description:predicted gene 5798 [Source:MGI Symbol;Acc:MGI:3779524] MFSWLCRLFHRENGDQGETRPRQKESGIPSCKNRRMKSFWGRHMSAGKTSSQNCNITNHM KNMNKLDDMKFYIRKINAERLELFRILDIDMNTDLNYRMNTEFTIIKSQHEKTMLDMEKM TQSISDTIEKYKEFIEDKDSYSFIHTYLLKECNQLKEKVRMLLNENRKLLVEQADQETSY REEKMFCDEASKNI >ENSMUSP00000044502.3 pep:known chromosome:GRCm38:4:141858142:141874920:-1 gene:ENSMUSG00000040659.3 transcript:ENSMUST00000036854.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efhd2 description:EF hand domain containing 2 [Source:MGI Symbol;Acc:MGI:106504] MATDELASKLSRRLQMEGEGGEATEQPGLNGAAAAAAAEAPDETAQALGSADDELSAKLL RRADLNQGIGEPQSPSRRVFNPYTEFKEFSRKQIKDMEKMFKQYDAGRDGFIDLMELKLM MEKLGAPQTHLGLKSMIQEVDEDFDSKLSFREFLLIFRKAAAGELQEDSGLHVLARLSEI DVSTEGVKGAKNFFEAKVQAINVSSRFEEEIKAEQEERKKQAEEVKQRKAAFKELQSTFK >ENSMUSP00000119136.1 pep:known chromosome:GRCm38:12:4211667:4234294:-1 gene:ENSMUSG00000020652.17 transcript:ENSMUST00000140975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpo description:centromere protein O [Source:MGI Symbol;Acc:MGI:1923800] MESANTLCPGRKCKGGVLAHLERLEAQTNISNRKSEEPAVRKKESSLRTKIRELRQQRDK LRAEVKQWGARVKEPPAKEDPSRTVISEQEVLEREWRNVDAILEAYRFTGLSGKLTSRGV CMCISTAFEGNLLDSYFVDLVIEKPLRIHHHSVPVFIPLEKIAAAHLQTDVQRFLFRLWE YLNAYAGRKYQADQLESDFCDVLTGPLQRNALCNLLSFTYKVEQRCQTFSFSARLLYEDP TAALPTNVTVTRPGVEASSPPWEEHRASHQMLFRTKPLHKVFASFSKETEKLHLNLVS >ENSMUSP00000020981.5 pep:known chromosome:GRCm38:12:4213482:4233965:-1 gene:ENSMUSG00000020652.17 transcript:ENSMUST00000020981.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpo description:centromere protein O [Source:MGI Symbol;Acc:MGI:1923800] MCISTAFEGNLLDSYFVDLVIEKPLRIHHHSVPVFIPLEKIAAAHLQTDVQRFLFRLWEY LNAYAGRKYQADQLESDFCDVLTGPLQRNALCNLLSFTYKVEQRCQTFSFSARLLYEDPT AALPTNVTVTRPGVEASSPPWEEHRASHQMLFRTKPLHKVFASFSKETEKLHLNLVS >ENSMUSP00000106799.3 pep:known chromosome:GRCm38:12:4197822:4233965:-1 gene:ENSMUSG00000020652.17 transcript:ENSMUST00000111169.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpo description:centromere protein O [Source:MGI Symbol;Acc:MGI:1923800] MESANTLCPGRKCKGGVLAHLERLEAQTNISNRKSEEPAVRKKESSLRTKIRELRQQRDK LRAEVKQWGARVKEPPAKEDPSRTVISEQEVLEREWRNVDAILEAYRFTGLSGKLTSRGV CMCISTAFEGNLLDSYFVDLVIEKPLRIHHHSVPVFIPLEKIAAAHLQTDVQRFLFRLWE YLNAYAGRKYQADQLENWTADSPSR >ENSMUSP00000116539.1 pep:known chromosome:GRCm38:12:4196004:4216546:-1 gene:ENSMUSG00000020652.17 transcript:ENSMUST00000146261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpo description:centromere protein O [Source:MGI Symbol;Acc:MGI:1923800] XRKYQADQLENWTADSPSR >ENSMUSP00000121258.1 pep:known chromosome:GRCm38:12:4215358:4232003:-1 gene:ENSMUSG00000020652.17 transcript:ENSMUST00000128466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpo description:centromere protein O [Source:MGI Symbol;Acc:MGI:1923800] MVSASVPALSSCHPWAGVLAHLERLEAQTNISNRKSEEPAVRKKESSLRTKIRELRQQRD KLRAEVKQWGARVKEPPAKEDPSRTVISEQEVLEREWRNVDAILEAYRFTGLSGKLTSRG VCMCISTAFEGNLLDSYFVDLVIEKPLRIHHHSVPVFIPLEKIAAAHLQTDVQRFLFRLW EYLNAYAGRKYQADQLESDFCDVLTGPLQRNALCNLLSFTY >ENSMUSP00000146418.1 pep:known chromosome:GRCm38:2:112129871:112130815:-1 gene:ENSMUSG00000109531.1 transcript:ENSMUST00000207976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1316 MGGANLSVVSEFVFLGLTNSWDIQLLLFVFSSVFYVASMMGNSLIIFTVASDPHLHTPMY FLLANLSFIDLGVSSVTSPKMIYDLFRKHKVISFTGCVIQIFSIHVIGGVEMVLLIAMAF DRYVAICKPLHYLTILSPRMCLFFVVIAWIVGLIHSLAQLVFVINLPFCGPNVLDSFYCD LPRFIKLACVDTHKLEFMVTANSGFISVGSFFILIVSYIVIIISVQKHSSGGFSKALSTL SAHISVVVLFFGPLIFVYTWPTPSVHLDKFLAIFDAVITPFLNPVIYTFRNQEMKMAMKR VFKQLLSYRKIS >ENSMUSP00000130156.1 pep:known chromosome:GRCm38:14:41381628:41386379:-1 gene:ENSMUSG00000091131.1 transcript:ENSMUST00000164948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7945 description:predicted gene 7945 [Source:MGI Symbol;Acc:MGI:3646596] XQLVGYSFRSSWVPKQACCPCSSGYFGERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEG SAGEASLQAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETF MLEMQHDQVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRK ENRQLLREQIALEECNIKTKTLCNEGSQKIKDHYTKQQQVLNILQKLEQIRDQDKI >ENSMUSP00000083463.5 pep:known chromosome:GRCm38:7:8183265:8200320:-1 gene:ENSMUSG00000070844.10 transcript:ENSMUST00000086282.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r42 description:vomeronasal 2, receptor 42 [Source:MGI Symbol;Acc:MGI:1316666] MFIFMGVFFLLNITLLMANFIDPRCFWRINLDEITDEYLGLSCAFILAAVQTPIEKDYFN TTLNFLKTTKNHKYALALVFAMDEINRYPDLLPNMSLIIRYSLGHCDGKTVTPTPYLFHR KKQSPIPNYFCNEESMCSFLLSGPNWDESLSFWKYLDSFLSPRILQLSYGSFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYLKWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDEVSFPQKTEINYKQIVKSLTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTSKTDISHDTFYGSLTFLPHHGEISGFKNFVQTWFHLRNTDLCLVMPEWKYINS EDSASNCKILKNSSSDASFDWLMEEKLDMAFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIDNGKGASSHCLKVNSFLRRTYFTNPLGDKVFMKQRVIMQDEYDIVHFANLSQHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNKVICVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTVPGRRLRYFLVSGTLNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHSQHGHIIIVCNKGSVTAFYCVLGYLACLALGSFTLAFLAKNLPDAFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGMLGCIFVPKIYIILMRPER NSTQKIREKSYF >ENSMUSP00000119761.1 pep:known chromosome:GRCm38:7:8183651:8200319:-1 gene:ENSMUSG00000070844.10 transcript:ENSMUST00000146278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r42 description:vomeronasal 2, receptor 42 [Source:MGI Symbol;Acc:MGI:1316666] MFIFMGVFFLLNITLLMANFIDPRCFWRINLDEITDEYLGLSCAFILAAVQTPIEKDYFN TTLNFLKTTKNHKYALALVFAMDEINRYPDLLPNMSLIIRYSLGHCDGKTVTPTPYLFHR KKQSPIPNYFCNEESMCSFLLSGPNWDESLSFWKYLDSFLSPRILQLSYGSFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYLKWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDEVSFPQKTEINYKQIVKSLTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTSKTDISHDTFYGSLTFLPHHGEISGFKNFVQTWFHLRNTDLCLVMPEWKYINS EDSASNCKILKNSSSDASFDWLMEEKLDMAFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIDNGKGASSHCLKVNSFLRRTYFTNPLGDKVFMKQRVIMQDEYDIVHFANLSQHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETTVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNKVI CVLQQITFGIVFTVAVSTVLAKTVTVVLAFKVTVPGRRLRYFLVSGTLNYIIPICSLLQC VLCAIWLAVSPPFVDIDEHSQHGHIIIVCNKGSVTAFYCVLGYLACLALGSFTLAFLAKN LPDAFNEAKFLTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGMLGCIFVPK IYIILMRPERNSTQKIREKSYF >ENSMUSP00000123451.1 pep:known chromosome:GRCm38:7:8183656:8200242:-1 gene:ENSMUSG00000070844.10 transcript:ENSMUST00000142934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r42 description:vomeronasal 2, receptor 42 [Source:MGI Symbol;Acc:MGI:1316666] MFIFMGVFFLLNITLLMANFIDPRCFWRINLDEITDEYLGLSCAFILAAVQTPIEKDYFN TTLNFLKTTKNHKYALALVFAMDEINRYPDLLPNMSLIIRYSLGHCDGKTVTPTPYLFHR KKQSPIPNYFCNEESMCSFLLSGPNWDESLSFWKYLDSFLSPRILQLSYGSFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYLKWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDEVSFPQKTEINYKQIVKSLTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTSKTDISHDTFYGSLTFLPHHGEISGFKNFVQTWFHLRNTDLCLVMPEWKYINS EDSASNCKILKNSSSDASFDWLMEEKLDMAFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIDNGKGASSHCLKVNSFLRRTYFTNPLGDKVFMKQRVIMQDEYDIVHFANLSQHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETSSSPFHPCIKTGTFMG >ENSMUSP00000090367.3 pep:known chromosome:GRCm38:11:99864476:99865571:1 gene:ENSMUSG00000090225.1 transcript:ENSMUST00000092694.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11559 description:predicted gene 11559 [Source:MGI Symbol;Acc:MGI:3652067] MTNSCCSPCCQPTCCRTTCCRTTCWRPSCVTSCCQPCCQPSCCGSSCCQPCCQTTCCRTC FQPCCVSSCCRTPCCQPCCCVSSCCQPCCQPSCCQSSCCQPSCCQPSCCQPSCCQPRCCI SSCCQPCCRPSCCQSSCCKPCCQPFCLNLCCQPACSGPVTCTRTCYQPTCVCVPGCLSQG CGSSCCEPCGC >ENSMUSP00000044110.5 pep:known chromosome:GRCm38:6:48537615:48541801:1 gene:ENSMUSG00000039347.7 transcript:ENSMUST00000040361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0e2 description:ATPase, H+ transporting, lysosomal V0 subunit E2 [Source:MGI Symbol;Acc:MGI:1923502] MTAHSFALPVIIFTTFWGLIGIAGPWFVPKGPNRGVIITMLVATAVCCYLFWLIAILAQL NPLFGPQLKNETIWYVRFLWE >ENSMUSP00000145198.1 pep:known chromosome:GRCm38:6:48537665:48541801:1 gene:ENSMUSG00000039347.7 transcript:ENSMUST00000203011.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0e2 description:ATPase, H+ transporting, lysosomal V0 subunit E2 [Source:MGI Symbol;Acc:MGI:1923502] MTAHSFALPVIIFTTFWGLIGIAGPWFVPKGPNRGVIITMLVATAVCCYLLCPAVKGEWP SLDVPGDPAFPLAPPICMTILCSPCHHAVESITPRANLVSVSGLSFPCVPSY >ENSMUSP00000049708.7 pep:known chromosome:GRCm38:2:21367542:21830547:1 gene:ENSMUSG00000045967.11 transcript:ENSMUST00000055946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr158 description:G protein-coupled receptor 158 [Source:MGI Symbol;Acc:MGI:2441697] MGAMAYSLLFCLLLAHLGLGEVGASLDPPGRPDSPRERTPRGKQHGQQLPRASAPDPSIP WSRSTDGTILAQKLAEEVPVDVASYLYTGDFHQLKRANCSGRYELAGLPGKSPSLASSHP SLHGALDTLTHATNFLNMMLQSNKSREQTVQDDLQWYQALVRSLLEGEPSISRAAITFST ESLSTPAPQVFLQATREESRILLQDLSSSAHHLANATLETEWFHGLRRKWRPHLHRRGSN QGPRGLGHSWRRRDGLGGDRSHVKWSPPYLECENGSYKPGWLVTLSAAFYGLQPNLVPEF RGVMKVDISLQKVDIDQCSSDGWFSGTHKCHLNNSECMPIKGLGFVLGAYQCICKAGFYH PRVFSVNNFQRRGPDHHFSGSTKDVSEETHVCLPCREGCPFCADDRPCFVQEDKYLRLAI ISFQALCMLLDFVSMLVVYHFRKAKSIRASGLILLETILFGSLLLYFPVVILYFEPSTFR CILLRWARLLGFATVYGTVTLKLHRVLKVFLSRTAQRIPYMTGGRVMRMLAVIVLVVFWF LVGWTSSMCQNLERDILLVGQGQTSDHLTFNMCLIDRWDYMTAVAEFLFLLWGIYLCYAV RTVPSAFHEPRYMAVAVHNELIITAIFHTIRFVLASRLQPDWMLMLYFAHAHLTVTVTIG LLLIPKFSHSSNNPRDDIATEAYEDELDMGRSGSYLNSSINSAWSEHSLDPEDIRDELKK LYAQLEIYKRKKMITNNPHLQKKRCSKKGLGRSIMRRITEIPETVSRQCSKEDKEGTDHS AAKGTGLVRKNPTESSGNTGRPKEESLKNRVFSLKKSHSTYDHVRDQTVESSSLPMESQE EEATENSTLESLSSKKLTQKLKEDSEAESTESVPLVCKSASAHNLSSEKKPGHPRTSMLQ KSLSVIASAKEKTLGLAGKTQTLVMEDRAKSQKPKDRETIRKYSNSDNVETIPNSGHMEE PRKPQKSGIMKQQRVSLPTANPDVSSGITQIKDNFDIGEVCPWEVYDLTPGPMPSEPKAQ KHVSIAASEVEQNPASFLKEKSYHKSKATEGLYQANHKSIDKTEVCPWEIHSQSLLEDEN RLISKTPVLPGRAREENGSQLYTTNMCAGQYEELPHKVVAPKVENENLNQMGDQEKQTSS SVDIIPGSCNSSNNSHQPLTSRAEVCPWEFEPLEQPNAERSVTLPASSALSANKIPGPQK >ENSMUSP00000103039.2 pep:known chromosome:GRCm38:11:100102007:100105626:-1 gene:ENSMUSG00000020916.8 transcript:ENSMUST00000107416.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt36 description:keratin 36 [Source:MGI Symbol;Acc:MGI:109364] MATQICTPTFSAGSAKGLCGTSGSFSRISSIHSMGSCRAPSLVGTVGSVSSFRTGFSGPG SCLPGSYLSSGCHSSGFAGSGGWFCEGAFNGNEKATMQILNDRLANYLEKVRQLEQENTQ LECRIREWYECQIPYICPDYQSYFKTAEELQQKILLTKSENARLILQIDNAKLAADDFRT KYETELSLRQLVEADINGLRRILDELTLCKADLEAQVESLKEELLCLKRNHEEEVNALRS QLGDRLNVEVDAAPPVDLNKILDDMRCQYETLVENNRRDVEAWFNTQTEELNQQVVSSSE QLQCCQTEIIELRRTVNSLEIELQAQQSMRNSLESTLAETEARYSSQLGQMQCLITNVES QLAEIRCDLERQNHEYQVLLDVKARLESEIATYRRLLDGEDCKLPAHPCSTECKPAVRVP YIPSTTCTPAGPCTPAGPCTPAPQVSTQIRTITEEIRDGRVISSREHVVPRAM >ENSMUSP00000146456.1 pep:known chromosome:GRCm38:8:31814551:32009109:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000207470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MSERKEGRGKGKGKKKDRGSRGKPAPAEGDPSPALPPRLKEMKSQESAAGSKLVLRCETS SEYSSLRFKWFKNGNELNRRNKPQNVKIQKKPGKSELRINKASLADSGEYMCKVISKLGN DSASANITIVESNDLTTGMSASTERPYVSSESPIRISVSTEGANTSSSTSTSTTGTSHLI KCAEKEKTFCVNGGECFMVKDLSNPSRYLCKCPNEFTGDRCQNYVMASFYKHLGIEFMEA EELYQKRVLTITGICIALLVVGIMCVVAYCKTKKQRQKLHDRLRQSLRSERNNMVNIANG PHHPNPPPENVQLVNQYVSKNVISSEHIVEREVETSFSTSHYTSTAHHSTTVTQTPSHSW SNGHTESIISESHSVIMMSSVENSRHSSPAGGPRGRLHGLGGPRECNSFLRHARETPDSY RDSPHSERYVSAMTTPARMSPVDFHTPSSPKSPPSEMSPPVSSMTVSMPSVAVSPFVEEE RPLLLVTPPRLREKKYDHHPQQLNSFHHNPAHQSTSLPPSPLRIVEDEEYETTQEYEPIQ EPIKKVTNSRRAKRTKPNGHIANRLEMDSNPSSVSSNSESETEDERVGEDTPFLGIQNPL AASLEVAPAFRLAESRTNPAGRFSTQEELQARLSSVIANQDPIAV >ENSMUSP00000146905.1 pep:known chromosome:GRCm38:8:31817143:32009448:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000207417.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MSERKEGRGKGKGKKKDRGSRGKPAPAEGDPSPALPPRLKEMKSQESAAGSKLVLRCETS SEYSSLRFKWFKNGNELNRRNKPQNVKIQKKPGKSELRINKASLADSGEYMCKVISKLGN DSASANITIVESNDLTTGMSASTERPYVSSESPIRISVSTEGANTSSSTSTSTTGTSHLI KCAEKEKTFCVNGGECFMVKDLSNPSRYLCKCPNEFTGDRCQNYVMASFYKAEELYQKRV LTITGICIALLVVGIMCVVAYCKTKKQRQKLHDRLRQSLRSERNNMVNIANGPHHPNPPP ENVQLVNQYVSKNVISSEHIVEREVETSFSTSHYTSTAHHSTTVTQTPSHSWSNGHTESI ISESHSVIMMSSVENSRHSSPAGGPRGRLHGLGGPRECNSFLRHARETPDSYRDSPHSER YVSAMTTPARMSPVDFHTPSSPKSPPSEMSPPVSSMTVSMPSVAVSPFVEEERPLLLVTP PRLREKKYDHHPQQLNSFHHNPAHQSTSLPPSPLRIVEDEEYETTQEYEPIQEPIKKVTN SRRAKRTKPNGHIANRLEMDSNPSSVSSNSESETEDERVGEDTPFLGIQNPLAASLEVAP AFRLAESRTNPAGRFSTQEELQARLSSVIANQDPIAV >ENSMUSP00000146617.1 pep:known chromosome:GRCm38:8:31817408:32884029:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000209107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MEPDANSSGRAPPAFRASFPPLETGRNLKKEVSRVLCKRCALPPRLKEMKSQESAAGSKL VLRCETSSEYSSLRFKWFKNGNELNRRNKPQNVKIQKKPGKSELRINKASLADSGEYMCK VISKLGNDSASANITIVESNDLTTGMSASTERPYVSSESPIRISVSTEGANTSSSTSTST TGTSHLIKCAEKEKTFCVNGGECFMVKDLSNPSRYLCKCPNEFTGDRCQNYVMASFYKHL GIEFMEAEELYQKRVLTITGICIALLVVGIMCVVAYCKTKKQRQKLHDRLRQSLRSERNN MVNIANGPHHPNPPPENVQLVNQYVSKNVISSEHIVEREVETSFSTSHYTSTAHHSTTVT QTPSHSWSNGHTESIISESHSVIMMSSVENSRHSSPAGGPRGRLHGLGGPRECNSFLRHA RETPDSYRDSPHSERYVSAMTTPARMSPVDFHTPSSPKSPPSEMSPPVSSMTVSMPSVAV SPFVEEERPLLLVTPPRLREKKYDHHPQQLNSFHHNPAHQSTSLPPSPLRIVEDEEYETT QEYEPIQEPIKKVTNSRRAKRTKPNGHIANRLEMDSNPSSVSSNSESETEDERVGEDTPF LGIQNPLAASLEVAPAFRLAESRTNPAGRFSTQEELQARLSSVIANQDPIAV >ENSMUSP00000146857.1 pep:known chromosome:GRCm38:8:31817648:32009451:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000208617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MSERKEGRGKGKGKKKDRGSRGKPAPAEGDPSPALPPRLKEMKSQESAAGSKLVLRCETS SEYSSLRFKWFKNGNELNRRNKPQNVKIQKKPGKSELRINKASLADSGEYMCKVISKLGN DSASANITIVESNDLTTGMSASTERPYVSSESPIRISVSTEGANTSSSTSTSTTGTSHLI KCAEKEKTFCVNGGECFMVKDLSNPSRYLCKCQPGFTGARCTENVPMKVQTQEKAEELYQ KRVLTITGICIALLVVGIMCVVAYCKTKKQRQKLHDRLRQSLRSERNNMVNIANGPHHPN PPPENVQLVNQYVSKNVISSEHIVEREVETSFSTSHYTSTAHHSTTVTQTPSHSWSNGHT ESIISESHSVIMMSSVENSRHSSPAGGPRGRLHGLGGPRECNSFLRHARETPDSYRDSPH SERHNLIAELRRNKAYRSKCMQIELSATHLRSSSIPHLGFIL >ENSMUSP00000147156.1 pep:known chromosome:GRCm38:8:31817648:32009451:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000208205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MSERKEGRGKGKGKKKDRGSRGKPAPAEGDPSPALPPRLKEMKSQESAAGSKLVLRCETS SEYSSLRFKWFKNGNELNRRNKPQNVKIQKKPGKSELRINKASLADSGEYMCKVISKLGN DSASANITIVESNDLTTGMSASTERPYVSSESPIRISVSTEGANTSSSTSTSTTGTSHLI KCAEKEKTFCVNGGECFMVKDLSNPSRYLCKCQPGFTGARCTENVPMKVQTQEKAEELYQ KRVLTITGICIALLVVGIMCVVAYCKTKKQRQKLHDRLRQSLRSERNNMVNIANGPHHPN PPPENVQLVNQYVSKNVISSEHIVEREVETSFSTSHYTSTAHHSTTVTQTPSHSWSNGHT ESIISESHSVIMMSSVENSRHSSPAGGPRGRLHGLGGPRECNSFLRHARETPDSYRDSPH SERYVSAMTTPARMSPVDFHTPSSPKSPPSEMSPPVSSMTVSMPSVAVSPFVEEERPLLL VTPPRLREKKYDHHPQQLNSFHHNPAHQSTSLPPSPLRIVEDEEYETTQEYEPIQEPIKK VTNSRRAKRTKPNGHIANRLEMDSNPSSVSSNSESETEDERVGEDTPFLGIQNPLAASLE VAPAFRLAESRTNPAGRFSTQEELQARLSSVIANQDPIAV >ENSMUSP00000073546.4 pep:known chromosome:GRCm38:8:31817756:31918280:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000073884.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MEIYPPDMSEGAGGRSSSPSTQLSADPSLDGLPAAEHMPDTHTEDGRSPGLLGLAVPCCV CLEAERLRGCLNSEKICIVPILACLVSLCLCIAGLKWVFVDKIFEYDSPTHLDPGGLGQD PVISLDPTAASAVLVSSEAYTSPVSKAQSEAEAHVTGQGDHVAVASEPSAVPTRKNRLSA FPPLHSTPPPFPSPARTPEVRTPKSGTQPQTTETNLQTAPKLSTSTSTTGTSHLIKCAEK EKTFCVNGGECFMVKDLSNPSRYLCKCPNEFTGDRCQNYVMASFYKHLGIEFMEAEELYQ KRVLTITGICIALLVVGIMCVVAYCKTKKQRQKLHDRLRQSLRSERNNMVNIANGPHHPN PPPENVQLVNQYVSKNVISSEHIVEREVETSFSTSHYTSTAHHSTTVTQTPSHSWSNGHT ESIISESHSVIMMSSVENSRHSSPAGGPRGRLHGLGGPRECNSFLRHARETPDSYRDSPH SERYVSAMTTPARMSPVDFHTPSSPKSPPSEMSPPVSSMTVSMPSVAVSPFVEEERPLLL VTPPRLREKKYDHHPQQLNSFHHNPAHQSTSLPPSPLRIVEDEEYETTQEYEPIQEPIKK VTNSRRAKRTKPNGHIANRLEMDSNPSSVSSNSESETEDERVGEDTPFLGIQNPLAASLE VAPAFRLAESRTNPAGRFSTQEELQARLSSVIANQDPIAV >ENSMUSP00000147121.1 pep:known chromosome:GRCm38:8:31817959:32009451:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000208488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MSERKEGRGKGKGKKKDRGSRGKPAPAEGDPSPALPPRLKEMKSQESAAGSKLVLRCETS SEYSSLRFKWFKNGNELNRRNKPQNVKIQKKPGKSELRINKASLADSGEYMCKVISKLGN DSASANITIVESNDLTTGMSASTERPYVSSESPIRISVSTEGANTSSSTSTSTTGTSHLI KCAEKEKTFCVNGGECFMVKDLSNPSRYLCKCPNEFTGDRCQNYVMASFYMTSRRKRQET EKPLERKLDHSLVKETKAEELYQKRVLTITGICIALLVVGIMCVVAYCKTKKQRQKLHDR LRQSLRSERNNMVNIANGPHHPNPPPENVQLVNQYVSKNVISSEHIVEREVETSFSTSHY TSTAHHSTTVTQTPSHSWSNGHTESIISESHSVIMMSSVENSRHSSPAGGPRGRLHGLGG PRECNSFLRHARETPDSYRDSPHSERYVSAMTTPARMSPVDFHTPSSPKSPPSEMSPPVS SMTVSMPSVAVSPFVEEERPLLLVTPPRLREKKYDHHPQQLNSFHHNPAHQSTSLPPSPL RIVEDEEYETTQEYEPIQEPIKKVTNSRRAKRTKPNGHIANRLEMDSNPSSVSSNSESET EDERVGEDTPFLGIQNPLAASLEVAPAFRLAESRTNPAGRFSTQEELQARLSSVIANQDP IAV >ENSMUSP00000146403.1 pep:known chromosome:GRCm38:8:31817964:32009451:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000208819.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MSERKEGRGKGKGKKKDRGSRGKPAPAEGDPSPALPPRLKEMKSQESAAGSKLVLRCETS SEYSSLRFKWFKNGNELNRRNKPQNVKIQKKPGKSELRINKASLADSGEYMCKVISKLGN DSASANITIVESNDLTTGMSASTERPYVSSESPIRISVSTEGANTSSSTSTSTTGTSHLI KCAEKEKTFCVNGGECFMVKDLSNPSRYLCKCQPGFTGARCTENVPMKVQTQEKAEELYQ KRVLTITGICIALLVVGIMCVVAYCKTNGRSFMIGSGRAFGQNETTW >ENSMUSP00000146375.1 pep:known chromosome:GRCm38:8:31819175:31917650:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000208335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] XSTPPPFPSPARTPEVRTPKSGTQPQTTETNLQTAPKLSTSTSTTGTSHLIKCAEKEKTF CVNGGECFMVKDLSNPSRYLCKCQPGFTGARCTENVPMKVQTQEKAEELYQKRVLTITGI CIALLVVGIMCVVAYCKTKKQRQKLHDRLRQSLRSERNNMVNIANGPHHPNPPPENVQLV NQYVSKNVISSEHIVEREVETSFSTSHYTSTAHHSTTVTQTPSHSWSNGHTESIISESHS VIMMSSVENSRHSSPAGGPRGRLHGLGGPRECNSFLRHARETPDSYRDSPHSERHNLIAE >ENSMUSP00000146478.1 pep:known chromosome:GRCm38:8:31820776:32009153:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000208598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MSERKEGRGKGKGKKKDRGSRGKPAPAEGDPSPALPPRLKEMKSQESAAGSKLVLRCETS SEYSSLRFKWFKNGNELNRRNKPQNVKIQKKPGKSELRINKASLADSGEYMCKVISKLGN DSASANITIVESNDLTTGMSASTERPYVSSESPIRISVSTEGANTSSSTSTSTTGTSHLI KCAEKEKTFCVNGGECFMVKDLSNPSRYLCKCQPGFTGARCTENVPMKVQTQEKAEELYQ KRVLTITGICIALLVVGIMCVVAYCKTKKQRQKLHDRLRQSLRSERNNMVNIANGPHHPN PPPENVQLVNQYVSKNVISSEHIVEREVETSFSTSHYTSTAHHSTTVTQTPSHSWSNGHT ESIISESHSVIMMSSVENSRHSSPAGGPRGRLHGLGGPRECNSFLRHARETPDSYRDSPH SER >ENSMUSP00000146816.1 pep:known chromosome:GRCm38:8:31820782:31918391:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000208497.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MEIYPPDMSEGAGGRSSSPSTQLSADPSLDGLPAAEHMPDTHTEDGRSPGLLGLAVPCCV CLEAERLRGCLNSEKICIVPILACLVSLCLCIAGLKWVFVDKIFEYDSPTHLDPGGLGQD PVISLDPTAASAVLVSSEAYTSPVSKAQSEAEAHVTGQGDHVAVASEPSAVPTRKNRLSA FPPLHSTPPPFPSPARTPEVRTPKSGTQPQTTETNLQTAPKLSTSTSTTGTSHLIKCAEK EKTFCVNGGECFMVKDLSNPSRYLCKCPNEFTGDRCQNYVMASFYKHLGIEFMEAEELYQ KRVLTITGICIALLVVGIMCVVAYCKTKKQRQKLHDRLRQSLRSERNNMVNIANGPHHPN PPPENVQLVNQYVSKNVISSEHIVEREVETSFSTSHYTSTAHHSTTVTQTPSHSWSNGHT ESIISESHSVIMMSSVENSRHSSPAGGPRGRLHGLGGPRECNSFLRHARETPDSYRDSPH SER >ENSMUSP00000146394.1 pep:known chromosome:GRCm38:8:31826288:31849375:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000208355.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] XCVNGGECFMVKDLSNPSRYLCKCQPGFTGARCTENVPMKVQTQESAQMSLLVIVAKTT >ENSMUSP00000146507.1 pep:known chromosome:GRCm38:8:31826346:31917666:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000208931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] AFPPLHSTPPPFPSPARTPEVRTPKSGTQPQTTETNLQTAPKLSTSTSTTGTSHLIKCAE KEKTFCVNGGECFMVKDLSNPSRYLCKCPNEFTGDRCQNYVMASFYMTSRRKRQETEKPL ERKLDHSLVKETKAE >ENSMUSP00000146842.1 pep:known chromosome:GRCm38:8:31826346:32883862:-1 gene:ENSMUSG00000062991.7 transcript:ENSMUST00000209022.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg1 description:neuregulin 1 [Source:MGI Symbol;Acc:MGI:96083] MEPDANSSGRAPPAFRASFPPLETGRNLKKEVSRVLCKRCALPPRLKEMKSQESAAGSKL VLRCETSSEYSSLRFKWFKNGNELNRRNKPQNVKIQKKPGKSELRINKASLADSGEYMCK VISKLGNDSASANITIVESNDLTTGMSASTERPYVSSESPIRISVSTEGANTSSSTSTST TGTSHLIKCAEKEKTFCVNGGECFMVKDLSNPSRYLCKCPNEFTGDRCQNYVMASFYMTS RRKRQETEKPLERKLDHSLVKETKAE >ENSMUSP00000099681.4 pep:known chromosome:GRCm38:3:108793176:108840511:-1 gene:ENSMUSG00000027882.18 transcript:ENSMUST00000102621.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp3 description:syntaxin binding protein 3 [Source:MGI Symbol;Acc:MGI:107362] MAPPVSERGLKSVVWRKIKTAVFDDCRKEGEWKIMLLDEFTTKLLSSCCKMTDLLEEGIT VIENIYKNREPVRQMKALYFISPTPKSVDCFLRDFGSKSEKKYKAAYIYFTDFCPDSLFN KIKASCSKSIRRCKEINISFIPQESQVYTLDVPDAFYYCYSPDPSNASRKEVVMEAMAEQ IVTVCATLDENPGVRYKSKPLDNASKLAQLVEKKLEDYYKIDEKGLIKGKTQSQLLIIDR GFDPVSTVLHELTFQAMAYDLLPIENDTYKYKTDGKEKEAVLEEDDDLWVRVRHRHIAVV LEEIPKLMKEISSTKKATEGKTSLSALTQLMKKMPHFRKQISKQVVHLNLAEDCMNKFKL NIEKLCKTEQDLALGTDAEGQRVKDSMLVLLPVLLNKNHDNCDKIRAVLLYIFGINGTTE ENLDRLIHNVKIEDDSDMIRNWSHLGVPIVPPSQQAKPLRKDRSAEETFQLSRWTPFIKD IMEDAIDNRLDSKEWPYCSRCPAVWNGSGAVSARQKPRTNYLELDRKNGSRLIIFVIGGI TYSEMRCAYEVSQAHKSCEVIIGSTHILTPRKLLDDIKMLNKSKDKVSFKDE >ENSMUSP00000142785.1 pep:known chromosome:GRCm38:3:108809956:108840451:-1 gene:ENSMUSG00000027882.18 transcript:ENSMUST00000196679.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp3 description:syntaxin binding protein 3 [Source:MGI Symbol;Acc:MGI:107362] XERGLKSVVWRKIKTAVFDDCRKEGEWKIMLLDEFTTKLLSSCCKMTDLLEEGITENIYK NREPVRQMKALYFISPTPKSVDCFLRDFGSKSEKKYKAAYIYFTDFCPDSLFNKIKASCS KSIRRCKEINISFIPQESQVYTLDVPDAFYYCYSPDPSNASRKEVVMEAMAEQIVTVCAT LDENPGVRYKSKPLDNASKLAQLVEKKLEDYYKIDEKGLIKGKTQSQLLIIDRGFD >ENSMUSP00000142860.1 pep:known chromosome:GRCm38:3:108824533:108840526:-1 gene:ENSMUSG00000027882.18 transcript:ENSMUST00000138552.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp3 description:syntaxin binding protein 3 [Source:MGI Symbol;Acc:MGI:107362] MAPPVSERGLKSVVWRKIKTAVFDDCRKEGEWKIMLLDEFTTKLLSSCCKMTDLLEEGIT VIENIYKNREPVRQMKALYFISPTPKVSIPRLEREFLSCGRVRAFHVQDSLNACNFPALF FFFPYVHTCVQVPMEALRRGYWIPCH >ENSMUSP00000102206.3 pep:known chromosome:GRCm38:3:108825618:108840510:-1 gene:ENSMUSG00000027882.18 transcript:ENSMUST00000106596.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp3 description:syntaxin binding protein 3 [Source:MGI Symbol;Acc:MGI:107362] MAPPVSERGLKSVVWRKIKTAVFDDCRKEGEWKIMLLDEFTTKLLSSCCKMTDLLEEGIT VIENIYKNREPVRQMKALYFISPTPKVPMEALRRGYWIPCH >ENSMUSP00000113404.1 pep:known chromosome:GRCm38:3:116968267:116984406:1 gene:ENSMUSG00000080907.1 transcript:ENSMUST00000117592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930455H04Rik description:RIKEN cDNA 4930455H04 gene [Source:MGI Symbol;Acc:MGI:1921904] MAWSLPFTSSASSILEVKDRQTLKEVQSYHKSSHRGHTLSQSPEEQDYRFQESEITKA >ENSMUSP00000145132.1 pep:known chromosome:GRCm38:6:97807052:98018593:1 gene:ENSMUSG00000035158.15 transcript:ENSMUST00000203884.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mitf description:microphthalmia-associated transcription factor [Source:MGI Symbol;Acc:MGI:104554] MQSESGIVADFEVGEEFHEEPKTYYELKSQPLKSSSSAEHSGASKPPLSSSTMTSRILLR QQLMREQMQEQERREQQQKLQAAQFMQQRVAVSQTPAINVSVPTTLPSATQVPMEVLKVQ THLENPTKYHIQQAQRHQVKQYLSTTLANKHASQVLSSPCPNQPGDHAMPPVPGSSAPNS PMAMLTLNSNCEKEAFYKFEEQSRAESECPGMNTHSRASCMQMDDVIDDIISLESSYNEE ILGLMDPALQMANTLPVSGNLIDLYSNQGLPPPGLTISNSCPANLPNIKRELTESEARAL AKERQKKDNHNLIERRRRFNINDRIKELGTLIPKSNDPDMRWNKGTILKASVDYIRKLQR EQQRAKDLENRQKKLEHANRHLLLRVQELEMQARAHGLSLIPSTGLCSPDLVNRIIKQEP VLENCSQELVQHQADLTCTTTLDLTDGTITFTNNLGTMPESSPAYSIPRKMGSNLEDILM DDALSPVGVTDPLLSSVSPGASKTSSRRSSMSAEETEHAC >ENSMUSP00000044938.7 pep:known chromosome:GRCm38:6:97807058:98021349:1 gene:ENSMUSG00000035158.15 transcript:ENSMUST00000043637.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mitf description:microphthalmia-associated transcription factor [Source:MGI Symbol;Acc:MGI:104554] MQSESGIVADFEVGEEFHEEPKTYYELKSQPLKSSSSAEHSGASKPPLSSSTMTSRILLR QQLMREQMQEQERREQQQKLQAAQFMQQRVAVSQTPAINVSVPTTLPSATQVPMEVLKVQ THLENPTKYHIQQAQRHQVKQYLSTTLANKHASQVLSSPCPNQPGDHAMPPVPGSSAPNS PMAMLTLNSNCEKEAFYKFEEQSRAESECPGMNTHSRASCMQMDDVIDDIISLESSYNEE ILGLMDPALQMANTLPVSGNLIDLYSNQGLPPPGLTISNSCPANLPNIKRELTACIFPTE SEARALAKERQKKDNHNLIERRRRFNINDRIKELGTLIPKSNDPDMRWNKGTILKASVDY IRKLQREQQRAKDLENRQKKLEHANRHLLLRVQELEMQARAHGLSLIPSTGLCSPDLVNR IIKQEPVLENCSQELVQHQADLTCTTTLDLTDGTITFTNNLGTMPESSPAYSIPRKMGSN LEDILMDDALSPVGVTDPLLSSVSPGASKTSSRRSSMSAEETEHAC >ENSMUSP00000098683.3 pep:known chromosome:GRCm38:6:97929799:98018707:1 gene:ENSMUSG00000035158.15 transcript:ENSMUST00000101123.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mitf description:microphthalmia-associated transcription factor [Source:MGI Symbol;Acc:MGI:104554] MEALRFEMLIPCSFESLCLSSAEHSGASKPPLSSSTMTSRILLRQQLMREQMQEQERREQ QQKLQAAQFMQQRVAVSQTPAINVSVPTTLPSATQVPMEVLKVQTHLENPTKYHIQQAQR HQVKQYLSTTLANKHASQVLSSPCPNQPGDHAMPPVPGSSAPNSPMAMLTLNSNCEKEAF YKFEEQSRAESECPGMNTHSRASCMQMDDVIDDIISLESSYNEEILGLMDPALQMANTLP VSGNLIDLYSNQGLPPPGLTISNSCPANLPNIKRELTACIFPTESEARALAKERQKKDNH NLIERRRRFNINDRIKELGTLIPKSNDPDMRWNKGTILKASVDYIRKLQREQQRAKDLEN RQKKLEHANRHLLLRVQELEMQARAHGLSLIPSTGLCSPDLVNRIIKQEPVLENCSQELV QHQADLTCTTTLDLTDGTITFTNNLGTMPESSPAYSIPRKMGSNLEDILMDDALSPVGVT DPLLSSVSPGASKTSSRRSSMSAEETEHAC >ENSMUSP00000108965.1 pep:known chromosome:GRCm38:6:97940918:98018707:1 gene:ENSMUSG00000035158.15 transcript:ENSMUST00000113339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mitf description:microphthalmia-associated transcription factor [Source:MGI Symbol;Acc:MGI:104554] MLCAFWFPHSSSAEHSGASKPPLSSSTMTSRILLRQQLMREQMQEQERREQQQKLQAAQF MQQRVAVSQTPAINVSVPTTLPSATQVPMEVLKVQTHLENPTKYHIQQAQRHQVKQYLST TLANKHASQVLSSPCPNQPGDHAMPPVPGSSAPNSPMAMLTLNSNCEKEAFYKFEEQSRA ESECPGMNTHSRASCMQMDDVIDDIISLESSYNEEILGLMDPALQMANTLPVSGNLIDLY SNQGLPPPGLTISNSCPANLPNIKRELTACIFPTESEARALAKERQKKDNHNLIERRRRF NINDRIKELGTLIPKSNDPDMRWNKGTILKASVDYIRKLQREQQRAKDLENRQKKLEHAN RHLLLRVQELEMQARAHGLSLIPSTGLCSPDLVNRIIKQEPVLENCSQELVQHQADLTCT TTLDLTDGTITFTNNLGTMPESSPAYSIPRKMGSNLEDILMDDALSPVGVTDPLLSSVSP GASKTSSRRSSMSAEETEHAC >ENSMUSP00000044459.6 pep:known chromosome:GRCm38:6:97991792:98018707:1 gene:ENSMUSG00000035158.15 transcript:ENSMUST00000043628.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mitf description:microphthalmia-associated transcription factor [Source:MGI Symbol;Acc:MGI:104554] MLEMLEYSHYQVQTHLENPTKYHIQQAQRHQVKQYLSTTLANKHASQVLSSPCPNQPGDH AMPPVPGSSAPNSPMAMLTLNSNCEKEAFYKFEEQSRAESECPGMNTHSRASCMQMDDVI DDIISLESSYNEEILGLMDPALQMANTLPVSGNLIDLYSNQGLPPPGLTISNSCPANLPN IKRELTACIFPTESEARALAKERQKKDNHNLIERRRRFNINDRIKELGTLIPKSNDPDMR WNKGTILKASVDYIRKLQREQQRAKDLENRQKKLEHANRHLLLRVQELEMQARAHGLSLI PSTGLCSPDLVNRIIKQEPVLENCSQELVQHQADLTCTTTLDLTDGTITFTNNLGTMPES SPAYSIPRKMGSNLEDILMDDALSPVGVTDPLLSSVSPGASKTSSRRSSMSAEETEHAC >ENSMUSP00000123433.1 pep:known chromosome:GRCm38:6:97991819:98018497:1 gene:ENSMUSG00000035158.15 transcript:ENSMUST00000139462.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mitf description:microphthalmia-associated transcription factor [Source:MGI Symbol;Acc:MGI:104554] MLEMLEYSHYQVQTHLENPTKYHIQQAQRHQVKQYLSTTLANKHASQVLSSPCPNQPGDH AMPPVPGSSAPNSPMAMLTLNSNCEKEAFYKFEEQSRAESECPDG >ENSMUSP00000144988.1 pep:known chromosome:GRCm38:6:97991921:98018175:1 gene:ENSMUSG00000035158.15 transcript:ENSMUST00000203938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mitf description:microphthalmia-associated transcription factor [Source:MGI Symbol;Acc:MGI:104554] MLEMLEYSHYQVQTHLENPTKYHIQQAQRHQAFYKFEEQSRAESECPGMNTHSRASCMQM DDVIDDIISLESSYNEEILGLMDPALQMANTLPVSGNLIDLYSNQGLPPPGLTISNSCPA NLPNIKRELTESEARALAKERQKKDNHNLIERRRRFNINDRIKELGTLIPKSNDPDMRWN KGTILKASVDYIRKLQREQQRAKDLENRQKKLEHANRHLLLRVQELEMQARAHGLSLIPS TGLCSPDLVNRIIKQEPVLENCSQELVQHQADLTCTTTLDLTDGTITFTNNLGTMPESSP AYSIPRKMGSNLEDILMDDALSPVGVTDPLLSSVSPGASKTSSRRSSMSAEETEHAC >ENSMUSP00000044693.7 pep:known chromosome:GRCm38:3:116918258:116968987:-1 gene:ENSMUSG00000033377.14 transcript:ENSMUST00000040097.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palmd description:palmdelphin [Source:MGI Symbol;Acc:MGI:2148896] MEEAELVKGRLQAITDKRKIQEEISQKRLKIEEEKLKHQHLKKKALREKWLLDGIGSGKE HEEMKKQNQQDQHQTQVLEQSILRLEKEIQDLEKAELQISANEEAILKKLKSIEKTTEDI IRSVKVEKEENPEESIEDIYANIPDLPSSYIPSRLRKERNEGPDDEQNRKALYAMEIKVE KDLKTGESVVLSSIPLPSDDFKSTGIKVYEDRQKSVYAVSSNQNTTYNGTDGLAPVEVED LLRQASERNSKSPTEYHEPVYANPFCRPVTPQRERVISPGPNFQERIMMKTNGLGNHANE SAHNMTDGLSERRSNGPTHTSPTRPTPQPRSMVQQVEEMVHTQQKRMASPWEESSNRQNE HEVSPRMELSPSRASPGKSGPQCSSPTCQEETEDVRYNIVHSLPSDVDDTEPVTMIFMGY QQADDNEEEKKLLTGYDGVIHAELVVIDDEAEDNEGQTERPSYHPVAPYSQVYQPPKPTP LPRKRAEVRPYENTNHKSPHKNSISLKEQEERLGSPARHSPLDVPVAGDGTEDPSLTALR IRMAKLGKKVI >ENSMUSP00000113107.1 pep:known chromosome:GRCm38:3:116921858:116968858:-1 gene:ENSMUSG00000033377.14 transcript:ENSMUST00000119557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palmd description:palmdelphin [Source:MGI Symbol;Acc:MGI:2148896] MEEAELVKGRLQAITDKRKIQEEISQKRLKIEEEKLKHQHLKKKALREKWLLDGIGSGKE HEEMKKQNQQDQHQTQVLEQSILRLEKEIQDLEKAELQISANEEAILKKLKSIEKTTEDI IRSVKVEKEENPEESIEDIYANIPDLPSSYIPSRLRKERNEGPDDEQNRKALYAMEIKVE KDLKTGESVVLSSIPLPSDDFKSTGIKVYEDRQKSVYAVSSNQNTTYNGTDGLAPVEVED LLRQASERNSKSPTEYHEPVYANPFCRPVTPQRERVISPGPNFQERIMMKTNGLGNHANE SAHNMTDGLSERRSNGPTHTSPTRPTPQPRSMVQQVEEMVHTQQKRMASPWEESSNRQNE HEVSPRMELSPSRASPGKSGPQCSSPTCQEETEDVRYNIVHSLPSDVDDTEPVTMIFMGY QQADDNEEEKKLLTGYDGVIHAELVVIDDEAEDNEGQTERPSYHPVAPYSQVYQPPKPTP LPRKRAEVRPYENTNHKSPHKNSISLKEQEERLGSPARHSPLDVPVAGDGTEDPSLTGNK NDKACRCCLLM >ENSMUSP00000122725.1 pep:known chromosome:GRCm38:3:116927280:116968986:-1 gene:ENSMUSG00000033377.14 transcript:ENSMUST00000143611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palmd description:palmdelphin [Source:MGI Symbol;Acc:MGI:2148896] MTWDKRKIQEEISQKRLKIEEEKLKHQHLKKKALREKWLLDGIGSGKEHEEMKKQNQQDQ HQTQVLEQSILRLEKEIQDLEKAELQISANEEAILKKLKSIEKTTEDIIRSVKVEKEENP EES >ENSMUSP00000087114.3 pep:known chromosome:GRCm38:16:32821707:32868339:-1 gene:ENSMUSG00000035629.17 transcript:ENSMUST00000089684.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rubcn description:RUN domain and cysteine-rich domain containing, Beclin 1-interacting protein [Source:MGI Symbol;Acc:MGI:1915160] MRPEGAGMDLGGGDGERLLEKSRREHWQLLGNLKTTVEGLVSANCPNVWSKYGGLERLCR DMQNILYHGLIHDQVCCRQADYWQFVKDIRWLSPHSALHVEKFISLHESDQSDTDSVSER AVAELWLQHSLQCHCLSAQLRPLLGDRQYIRKFYTETAFLLSDAHVTAMLQCLEAVEQNN PRLLAQIDASMFARKQESPLLVTKSQSLTALPGSTYTPPASYAQHSYFGSSSSLQSMPQS SHSSERRSTSFSLSGPSWQPQEDRECLSPAETQTTPAPLPSDSTLAQDSPLTAQEMSDST LTSPLEASWVSSQNDSPSDVSEGPEYLAIGNPAPHGRTASCESHSSNGESSSSHLFSSSS SQKLESAASSLGDQEEGRQSQAGSVLRRSSFSEGQTAPVASGTKKSHIRSHSDTNIASRG AAGGPRNITIIVEDPIAEGGQYLCSGEGMFRRPSEGQSLISYLSEQDFGSCADLEKENAH FSISESLIAAIELMKCNMMSQCLEEEEVEEEDSDREIQELKQKIRLRRQQIRTKNLLPAY RETENGSFRVTSSSSQFSSRDSTQLSESGSAEDADDLEIQDADIRRSAVSNGKSSFSQNL SHCFLHSTSAEAVAMGLLKQFEGMQLPAASELEWLVPEHDAPQKLLPIPDSLPISPDDGQ HADIYKLRIRVRGNLEWAPPRPQIIFNVHPAPTRKIAVAKQNYRCAGCGIRTDPDYIKRL RYCEYLGKYFCQCCHENAQMVVPSRILRKWDFSKYYVSNFSKDLLLKIWNDPLFNVQDIN SALYRKVKLLNQVRLLRVQLYHMKNMFKTCRLAKELLDSFDVVPGHLTEDLHLYSLSDLT ATKKGELGPRLAELTRAGAAHVERCMLCQAKGFICEFCQNEEDVIFPFELHKCRTCEECK ACYHKTCFKSGRCPRCERLQARRELLAKQSLESYLSDYEEEPTEALALEATVLETT >ENSMUSP00000048811.8 pep:known chromosome:GRCm38:16:32821728:32868351:-1 gene:ENSMUSG00000035629.17 transcript:ENSMUST00000040986.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rubcn description:RUN domain and cysteine-rich domain containing, Beclin 1-interacting protein [Source:MGI Symbol;Acc:MGI:1915160] MRPEGAGMDLGGGDGERLLEKSRREHWQLLGNLKTTVEGLVSANCPNVWSKYGGLERLCR DMQNILYHGLIHDQVCCRQADYWQFVKDIRWLSPHSALHVEKFISLHESDQSDTDSVSER AVAELWLQHSLQCHCLSAQLRPLLGDRQYIRKFYTETAFLLSDAHVTAMLQCLEAVEQNN PRLLAQIDASMFARKQESPLLVTKSQSLTALPGSTYTPPASYAQHSYFGSSSSLQSMPQS SHSSERRSTSFSLSGPSWQPQEDRECLSPAETQTTPAPLPSDSTLAQDSPLTAQEMSDST LTSPLEASWVSSQNDSPSDVSEGPEYLAIGNPAPHGRTASCESHSSNGESSSSHLFSSSS SQKLESAASSLGDQEEGRQSQAGSVLRRSSFSEGQTAPVASGTKKSHIRSHSDTNIASRG AAEGGQYLCSGEGMFRRPSEGQSLISYLSEQDFGSCADLEKENAHFSISESLIAAIELMK CNMMSQCLEEEEVEEEDSDREIQELKQKIRLRRQQIRTKNLLPAYRETENGSFRVTSSSS QFSSRDSTQLSESGSAEDADDLEIQDADIRRSAVSNGKSSFSQNLSHCFLHSTSAEAVAM GLLKQFEGMQLPAASELEWLVPEHDAPQKLLPIPDSLPISPDDGQHADIYKLRIRVRGNL EWAPPRPQIIFNVHPAPTRKIAVAKQNYRCAGCGIRTDPDYIKRLRYCEYLGKYFCQCCH ENAQMVVPSRILRKWDFSKYYVSNFSKDLLLKIWNDPLFNVQDINSALYRKVKLLNQVRL LRVQLYHMKNMFKTCRLAKELLDSFDVVPGHLTEDLHLYSLSDLTATKKGELGPRLAELT RAGAAHVERCMLCQAKGFICEFCQNEEDVIFPFELHKCRTCEECKACYHKTCFKSGRCPR CERLQARRELLAKQSLESYLSDYEEEPTEALALEATVLETT >ENSMUSP00000113087.1 pep:known chromosome:GRCm38:16:32823859:32877766:-1 gene:ENSMUSG00000035629.17 transcript:ENSMUST00000119810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rubcn description:RUN domain and cysteine-rich domain containing, Beclin 1-interacting protein [Source:MGI Symbol;Acc:MGI:1915160] MQNILYHGLIHDQVCCRQADYWQFVKDIRWLSPHSALHVEKFISLHESDQSDTDSVSERA VAELWLQHSLQCHCLSAQLRPLLGDRQYIRKFYTETAFLLSDAHVTAMLQCLEAVEQNNP RLLAQIDASMFARKQESPLLVTKSQSLTALPGSTYTPPASYAQHSYFGSSSSLQSMPQSS HSSERRSTSFSLSGPSWQPQEDRECLSPAETQTTPAPLPSDSTLAQDSPLTAQEMSDSTL TSPLEASWVSSQNDSPSDVSEGPEYLAIGNPAPHGRTASCESHSSNGESSSSHLFSSSSS QKLESAASSLGDQEEGRQSQAGSVLRRSSFSEGQTAPVASGTKKSHIRSHSDTNIASRGA AEGGQYLCSGEGMFRRPSEGQSLISYLSEQDFGSCADLEKENAHFSISESLIAAIELMKC NMMSQCLEEEEVEEEDSDREIQELKQKIRLRRQQIRTKNLLPAYRETENGSFRVTSSSSQ FSSRDSTQLSESGSAEDADDLEIQDADIRRSAVSNGKSSFSQNLSHCFLHSTSAEAVAMG LLKQFEGMQLPAASELEWLVPEHDAPQKLLPIPDSLPISPDDGQHADIYKLRIRVRGNLE WAPPRPQIIFNVHPAPTRKIAVAKQNYRCAGCGIRTDPDYIKRLRYCEYLGKYFCQCCHE NAQMVVPSRILRKWDFSKYYVSNFSKDLLLKIWNDPLFNVQDINSALYRKVKLLNQVRLL RVQLYHMKNMFKTCRLAKELLDSFDVVPGHLTEDLHLYSLSDLTATKKGELGPRLAELTR AGAAHVERCMLCQAKGFICEFCQNEEDVIFPFELHKCRTCEECKACYHKTCFKSGRCPRC ERLQARRELLAKQSLESYLSDYEEEPTEALALEATVLETT >ENSMUSP00000110757.2 pep:known chromosome:GRCm38:16:32821703:32868366:-1 gene:ENSMUSG00000035629.17 transcript:ENSMUST00000115105.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rubcn description:RUN domain and cysteine-rich domain containing, Beclin 1-interacting protein [Source:MGI Symbol;Acc:MGI:1915160] MRPEGAGMDLGGGDGERLLEKSRREHWQLLGNLKTTVEGLVSANCPNVWSKYGGLERLCR DMQNILYHGLIHDQVCCRQADYWQFVKDIRWLSPHSALHVEKFISLHESDQSDTDSVSER AVAELWLQHSLQCHCLSAQLRPLLGDRQYIRKFYTETAFLLSDAHVTAMLQCLEAVEQNN PRLLAQIDASMFARKQESPLLVTKSQSLTALPGSTYTPPASYAQHSYFGSSSSLQSMPQS SHSSERRSTSFSLSGPSWQPQEDRECLSPAETQTTPAPLPSDSTLAQDSPLTAQEMSDST LTSPLEASWVSSQNDSPSDVSEGPEYLAIGNPAPHGRTASCESHSSSQKLESAASSLGDQ EEGRQSQAGSVLRRSSFSEGQTAPVASGTKKSHIRSHSDTNIASRGAAEGGQYLCSGEGM FRRPSEGQSLISYLSEQDFGSCADLEKENAHFSISESLIAAIELMKCNMMSQCLEEEEVE EEDSDREIQELKQKIRLRRQQIRTKNLLPAYRETENGSFRVTSSSSQFSSRDSTQLSESG SAEDADDLEIQDADIRRSAVSNGKSSFSQNLSHCFLHSTSAEAVAMGLLKQFEGMQLPAA SELEWLVPEHDAPQKLLPIPDSLPISPDDGQHADIYKLRIRVRGNLEWAPPRPQIIFNVH PAPTRKIAVAKQNYRCAGCGIRTDPDYIKRLRYCEYLGKYFCQCCHENAQMVVPSRILRK WDFSKYYVSNFSKDLLLKIWNDPLFNVQDINSALYRKVKLLNQVRLLRVQLYHMKNMFKT CRLAKELLDSFDVVPGHLTEDLHLYSLSDLTATKKGELGPRLAELTRAGAAHVERCMLCQ AKGFICEFCQNEEDVIFPFELHKCRTCEECKACYHKTCFKSGRCPRCERLQARRELLAKQ SLESYLSDYEEEPTEALALEATVLETT >ENSMUSP00000102416.1 pep:known chromosome:GRCm38:4:104913524:105016863:1 gene:ENSMUSG00000078612.9 transcript:ENSMUST00000106804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700024P16Rik description:RIKEN cDNA 1700024P16 gene [Source:MGI Symbol;Acc:MGI:2685466] MEEEGIRNFKELRAKFQKFNAAPLPGPMRFPAGVSRKHDRGSTQPAQDLANRKCLSSHHH QTPSHSSTGVSQPLKNQTLKSAQGDELQKTSSSSGTPEKSTVCPERDFQKAAVPLDVTKS RAKTSNEEKGMTLSSFRYKLWNWEKVSSQNGEMSPAPLLTNGGIKTFHFEGQKTMGLAQD KPEKSLKATGAQTLPPQSHSMAQRKSPVTSKASSVSLPPHSRKSTKSPATEGSHRSSQCQ PVYECELDSLVPEKPQSRHCRLPKTKPLPSIETLGPPPPKPSKPPFVNLYAFHRLPATVT KTPKEETMKEGPLSPDSAELEEAHNYDTTISYLRHSGNSINLCAEGESTEATYEIEIEEL QKPWRSFFLPELSPRPKEEENTMEEKESWESEPLEPRKELHPSRPPKVVVYKETPGKTQM AGVHEDRRSVPAGNQEAMTDIMQNRLFPEDVTLTRHSQDKSGYVEALEVTKETPSPSTIR SSSSSEKTYDAVECSREDVRKWDFSSSFTSDSEENCEEMYEDIYKAKNDDPKTEVAGRTA LRKLQQIFRKENVVFRMKKTKSKEIVSNGFSVSLPDLGPRSQDDSQDGIIYDDVDTREKE SNDEDKVKTWKTKFLIPKGKKWGKGSQGSKSFSPRHFFRTKKQKLEKNRMEKEEKLFRER FQYGKEILVINRAVACASNSRNGMFDLPIIPGEQLEVIDTTEQNLVICRNSKGKYGYVLV EHLDFKHQG >ENSMUSP00000102415.2 pep:known chromosome:GRCm38:4:104913456:105016863:1 gene:ENSMUSG00000078612.9 transcript:ENSMUST00000106803.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700024P16Rik description:RIKEN cDNA 1700024P16 gene [Source:MGI Symbol;Acc:MGI:2685466] MEARPPERGRSHSPGGAGEEPRLQLLRDRRSDCKLAGGGESIVGPRIQLWEAGIVSQSLP RRTTMEEEGIRNFKELRAKFQKFNAAPLPGPMRFPAGVSRKHDRGSTQPAQDLANRKCLS SHHHQTPSHSSTGVSQPLKNQTLKSAQGDELQKTSSSSGTPEKSTVCPERDFQKAAVPLD VTKSRAKTSNEEKGMTLSSFRYKLWNWEKVSSQNGEMSPAPLLTNGGIKTFHFEGQKTMG LAQDKPEKSLKATGAQTLPPQSHSMAQRKSPVTSKASSVSLPPHSRKSTKSPATEGSHRS SQCQPVYECELDSLVPEKPQSRHCRLPKTKPLPSIETLGPPPPKPSKPPFVNLYAFHRLP ATVTKTPKEETMKEGPLSPDSAELEEAHNYDTTISYLRHSGNSINLCAEGESTEATYEIE IEELQKPWRSFFLPELSPRPKEEENTMEEKESWESEPLEPRKELHPSRPPKVVVYKETPG KTQMAGVHEDRRSVPAGNQEAMTDIMQNRLFPEDVTLTRHSQDKSGYVEALEVTKETPSP STIRSSSSSEKTYDAVECSREDVRKWDFSSSFTSDSEENCEEMYEDIYKAKNDDPKTEVA GRTALRKLQQIFRKENVVFRMKKTKSKEIVSNGFSVSLPDLGPRSQDDSQDGIIYDDVDT REKESNDEDKVKTWKTKFLIPKGKKWGKGSQGSKSFSPRHFFRTKKQKLEKNRMEKEEKL FRERFQYGKEILVINRAVACASNSRNGMFDLPIIPGEQLEVIDTTEQNLVICRNSKGKYG YVLVEHLDFKHQG >ENSMUSP00000138697.1 pep:known chromosome:GRCm38:7:30729775:30733068:-1 gene:ENSMUSG00000061099.12 transcript:ENSMUST00000182067.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gapdhs description:glyceraldehyde-3-phosphate dehydrogenase, spermatogenic [Source:MGI Symbol;Acc:MGI:95653] SMTIVSNASCTTNCLAPLAKVIHENFGIVEGLMPLRRQWMGHQRRTGEVAAALTKTSSHR PLGLPRL >ENSMUSP00000138634.1 pep:known chromosome:GRCm38:7:30729780:30743681:-1 gene:ENSMUSG00000061099.12 transcript:ENSMUST00000182634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapdhs description:glyceraldehyde-3-phosphate dehydrogenase, spermatogenic [Source:MGI Symbol;Acc:MGI:95653] MSRRDVVLTNVTVVQLRRDRCPCPCPCPCPCPCPVIRPPPPKVEDPPPTVEEQPPPPPPP PPPPPPPPPPPPPQIEPDKFEEAPPPPPPPPPPPPPPPPPLQKPARELTVGINGFGRIGR LVLRVCMEKGIRVVAVNDPFIDPEYMVYMFKYDSTHGRYKGNVEHKNGQLVVDNLEINTY QCKDPKEIPWSSIGNPYVVECTGVYLSIEAASAHISSGARRVVVTAPSPDAPMFVMGVNE KDYNPGSMTIVSNASCTTNCLAPLAKVIHENFGIVEGLMTTVHSYTATQKTVDGPSKKDW RGGRGAHQNIIPSSTGAAKAVGKVIPELKGKLTGMAFRVPTPNVSVVDLTCRLAKPASYS AITEAVKAAAKGPLAGILAYTEDQVVSTDFNGNPHSSIFDAKAGIALNDNFVKLVAWYDN EYGYSNRVVDLLRYMFSREK >ENSMUSP00000074317.4 pep:known chromosome:GRCm38:7:30729887:30739407:-1 gene:ENSMUSG00000061099.12 transcript:ENSMUST00000074758.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapdhs description:glyceraldehyde-3-phosphate dehydrogenase, spermatogenic [Source:MGI Symbol;Acc:MGI:95653] MSRRDVVLTNVTVVQLRRDRCPCPCPCPCPCPVIRPPPPKVEDPPPTVEEQPPPPPPPPP PPPPPPPPPPPQIEPDKFEEAPPPPPPPPPPPPPPPPPLQKPARELTVGINGFGRIGRLV LRVCMEKGIRVVAVNDPFIDPEYMVYMFKYDSTHGRYKGNVEHKNGQLVVDNLEINTYQC KDPKEIPWSSIGNPYVVECTGVYLSIEAASAHISSGARRVVVTAPSPDAPMFVMGVNEKD YNPGSMTIVSNASCTTNCLAPLAKVIHENFGIVEGLMTTVHSYTATQKTVDGPSKKDWRG GRGAHQNIIPSSTGAAKAVGKVIPELKGKLTGMAFRVPTPNVSVVDLTCRLAKPASYSAI TEAVKAAAKGPLAGILAYTEDQVVSTDFNGNPHSSIFDAKAGIALNDNFVKLVAWYDNEY GYSNRVVDLLRYMFSREK >ENSMUSP00000141822.1 pep:known chromosome:GRCm38:1:162647193:162658106:-1 gene:ENSMUSG00000091060.2 transcript:ENSMUST00000193898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:7420461P10Rik description:RIKEN cDNA 7420461P10 gene [Source:MGI Symbol;Acc:MGI:3642786] MLPSMGMDHESPSKAHLMVPPAPPPSPADAADINGFSFKIWEAGTSVNSDFNSWKANVSK FH >ENSMUSP00000127102.1 pep:known chromosome:GRCm38:1:162647203:162658219:-1 gene:ENSMUSG00000091060.2 transcript:ENSMUST00000169439.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:7420461P10Rik description:RIKEN cDNA 7420461P10 gene [Source:MGI Symbol;Acc:MGI:3642786] MVAFFSKATKCGNGHLPADLRFGGAVYLKPSIGPSEAVVQRNSMDKLDFQNIDLVSEINK RRKAMATRDETITKKSGEGEEMLPSMGMDHESPSKAHLMVPPAPPPSPADAADINGFSFK IWEAGTSVNSDFNSWKANVSKFH >ENSMUSP00000040599.7 pep:known chromosome:GRCm38:10:23894688:23905343:1 gene:ENSMUSG00000037440.7 transcript:ENSMUST00000041416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vnn1 description:vanin 1 [Source:MGI Symbol;Acc:MGI:108395] MGMSWWLACAAAFSALCVLKASSLDTFLAAVYEHAVILPKDTLLPVSHSEALALMNQNLD LLEGAIVSAAKQGAHIIVTPEDGIYGVRFTRDTIYPYLEEIPDPQVNWIPCDNPKRFGST PVQERLSCLAKNNSIYVVANMGDKKPCNTSDSHCPPDGRFQYNTDVVFDSQGKLVARYHK QNIFMGEDQFNVPMEPEFVTFDTPFGKFGVFTCFDILFHDPAVTLVTEFQVDTILFPTAW MDVLPHLAAIEFHSAWAMGMGVNFLAANLHNPSRRMTGSGIYAPDSPRVFHYDRKTQEGK LLFAQLKSHPIHSPVNWTSYASSVESTPTKTQEFQSIVFFDEFTFVELKGIKGNYTVCQN DLCCHLSYQMSEKRADEVYAFGAFDGLHTVEGQYYLQICILLKCKTTNLRTCGSSVDTAF TRFEMFSLSGTFGTRYVFPEVLLSEVKLAPGEFQVSSDGRLVSLKPTSGPVLTIGLFGRL YGKDWASNASSDFIAHSLIIMLIVTPIIHYLC >ENSMUSP00000043587.4 pep:known chromosome:GRCm38:12:37108540:37179534:1 gene:ENSMUSG00000036144.6 transcript:ENSMUST00000041183.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meox2 description:mesenchyme homeobox 2 [Source:MGI Symbol;Acc:MGI:103219] MEHPLFGCLRSPHATAQGLHPFSQSSLALHGRSDHMSYPELSTSSSSCIIAGYPNEEGMF ASQHHRGHHHHHHHHHHHHQQQQHQALQSNWHLPQMSSPPSAARHSLCLQPDSGGPPELG SSPPVLCSNSSSLGSSTPTGAACAPGDYGRQALSPADVEKRSGSKRKSDSSDSQEGNYKS EVNSKPRKERTAFTKEQIRELEAEFAHHNYLTRLRRYEIAVNLDLTERQVKVWFQNRRMK WKRVKGGQQGAAAREKELVNVKKGTLLPSELSGIGAATLQQTGDSLANEDSRDSDHSSEH AHL >ENSMUSP00000058587.1 pep:known chromosome:GRCm38:2:112141947:112142897:1 gene:ENSMUSG00000050776.1 transcript:ENSMUST00000060098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1317 description:olfactory receptor 1317 [Source:MGI Symbol;Acc:MGI:3031151] MDGGNHSVVSEFLLLGLTNSWRIQILLFLFFTVFYVASMLGNLLIVLTIISDHHLHSPMY FLLANLSFIDTGVSSIATPKMIYDLFRKHKVISLNGCITQMFFIHTVGGTEMVLLIVMAY DRYIAICKPLHYLTIMSLRMCIVLLALAWIIGLIHSVAQLAFVVNLPFCGANKMDSFYCD FPRFIKLACTDTYRLEFLVTANSGFISMATFFILIVSYIFILVTVRKHSSGASSKALSTL SAHITVVVFFFGPCIIVYVWPFPTLPIDKFLAIFDAIITPSMNPVIYTLRNKEMKVAMRR LFARALSFIDSLRDSN >ENSMUSP00000101944.2 pep:known chromosome:GRCm38:3:131288441:131303224:-1 gene:ENSMUSG00000027983.13 transcript:ENSMUST00000106337.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2u1 description:cytochrome P450, family 2, subfamily u, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1918769] MSSLGDQRPAAGEQPGARLHVRATGGALLLCLLAVLLGWVWLRRQRACGIPPGPKPRPLV GNFGHLLVPRFLRPQFWLGSGSQTDTVGQHVYLARMARVYGNIFSFFIGHRLVVVLSDFH SVREALVQQAEVFSDRPRMPLISIMTKEKGIVFAHYGPIWKQQRRFSHSTLRHFGLGKLS LEPRIIEEFAYVKEAMQKHGEAPFSPFPIISNAVSNIICSLCFGQRFDYTNKEFKKVLDF MSRGLEICLHSQLFLINICPWFYYLPFGPFKELRQIERDISCFLKNIIREHQESLDASNP QDFIDMYLLHMEEEQGASRRSSFDEDYLFYIIGDLFIAGTDTTTNSLLWCLLYMSLNPDV QKKVHEEIERVIGCDRAPSLTDKAQMPYTEATIMEVQRLSMVVPLAIPHMTSEKTVLQGF TIPKGTVVLINLWSVHRDPAIWEKPDDFCPHRFLDDQGQLLKRETFIPFGIGKRVCMGEQ LAKMELFLMFVSLMQTFTFALPEGSEKPVMTGRFGLTLAPHPFNVTISKR >ENSMUSP00000142519.1 pep:known chromosome:GRCm38:3:131293288:131303200:-1 gene:ENSMUSG00000027983.13 transcript:ENSMUST00000200236.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2u1 description:cytochrome P450, family 2, subfamily u, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1918769] MSSLGDQRPAAGEQPGARLHVRATGGALLLCLLAVLLGWVWLRRQRACGIPPGPKPRPLV GNFGHLLVPRFLRPQFWLGSGSQTDTVGQHVYLARMARVYGNIFSFFIGHRLVVVLSDFH SVREALVQQAEVFSDRPRMPLISIMTKEKGIVFAHYGPIWKQQRRFSHSTLRHFGLGKLS LEPRIIEEFAYVKEAMQKHGEAPFSPFPIISNAVSNIICSLCFGQRFDYTNKEFKKVLDF MSRGLEICLHSQLFLINICPWFYYLPFGPFKELRQIERDISCFLKNIIREHQESLDASNP QDFIDMYLLHMEEEQGASRRSSFDEDYLFYIIGDLFIAGTDTTTNSLLWCLLYMSLNPDV QKKVHEEIERVIGCDRAPSLTDKAQMPYTEATIMEVQRLSMVVPLAIPHMTSEKTVLQGF TIPKGTVVLINLWSVHRDPAIWEKPDDFCPHRFLDDQGQLLKRETFIPFGIGQLKLGFNL FFTLSLVCVCVCVCVCVYRHV >ENSMUSP00000140056.1 pep:known chromosome:GRCm38:6:118587240:119196231:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000186889.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MIRAFVQPSTPPYQPLSSHSSEETERKFKGKVVHEAQLNCFYISPGGSNYGSPRPAHANM NANAAAGLAPEHIPTPGAALSWQAAIDAARQAKLMGSAGNATISTVSSTQRKRQQYGKPK KQGGTTATRPPRALLCLTLKNPIRRACISIVEWKPFEIIILLTIFANCVALAIYIPFPED DSNATNSNLERVEYLFLIIFTVEAFLKVIAYGLLFHPNAYLRNGWNLLDFIIVVVGLFSA ILEQATKADGANALGGKGAGFDVKALRAFRVLRPLRLVSGVPSLQVVLNSIIKAMVPLLH IALLVLFVIIIYAIIGLELFMGKMHKTCYNQEGIIDVPAEEDPSPCALETGHGRQCQNGT VCKPGWDGPKHGITNFDNFAFAMLTVFQCITMEGWTDVLYWMQDAMGYELPWVYFVSLVI FGSFFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQLEEDLKGYLDWITQAEDIDPE NEDEGMDEDKPRNMSMPTSETESVNTENVAGGDIEGENCGARLAHRISKSKFSRYWRRWN RFCRRKCRAAVKSNVFYWLVIFLVFLNTLTIASEHYNQPHWLTEVQDTANKALLALFTAE MLLKMYSLGLQAYFVSLFNRFDCFIVCGGILETILVETKIMSPLGISVLRCVRLLRIFKI TRYWNSLSNLVASLLNSVRSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDEMQTRRSTFD NFPQSLLTVFQILTGEDWNSVMYDGIMAYGGPSFPGMLVCIYFIILFICGNYILLNVFLA IAVDNLADAESLTSAQKEEEEEKERKKLARTASPEKKQEVMEKPAVEESKEEKIELKSIT ADGESPPTTKINMDDLQPSENEDKSPHSNPDTAGEEDEEEPEMPVGPRPRPLSELHLKEK AVPMPEASAFFIFSPNNRFRLQCHRIVNDTIFTNLILFFILLSSISLAAEDPVQHTSFRN HILGNADYVFTSIFTLEIILKMTAYGAFLHKGSFCRNYFNILDLLVVSVSLISFGIQSSA INVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVIVTTLLQFMFACIGVQL FKGKLYTCSDSSKQTEAECKGNYITYKDGEVDHPIIQPRSWENSKFDFDNVLAAMMALFT VSTFEGWPELLYRSIDSHTEDKGPIYNYRVEISIFFIIYIIIIAFFMMNIFVGFVIVTFQ EQGEQEYKNCELDKNQRQCVEYALKARPLRRYIPKNQHQYKVWYVVNSTYFEYLMFVLIL LNTICLAMQHYGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKGYFSDPWNVFDFLI VIGSIIDVILSETNPAEHTQCSPSMSAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLL WTFIKSFQALPYVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLF RCATGEAWQDIMLACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINL FVAVIMDNFDYLTRDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGF GKLCPHRVACKRLVSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIK KIWKRTSMKLLDQVVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALS LQAGLRTLHDIGPEIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVTYY QSDSRGNFPQTFATQRPLHINKTGNNQADTESPSHEKLVDSTFTPSSYSSTGSNANINNA NNTALGRFPHPAGYSSTVSTVEGHGPPLSPAVRVQEAAWKLSSKRCHSRESQGATVNQEI FPDETRSVRMSEEAEYCSEPSLLSTDMFSYQEDEHRQLTCPEEDKREIQPSPKRSFLRSA SLGRRASFHLECLKRQKDQGGDISQKTALPLHLVHHQALAVAGLSPLLQRSHSPTTFPRP CPTPPVTPGSRGRPLRPIPTLRLEGAESSEKLNSSFPSIHCSSWSEETTACSGSSSMARR ARPVSLTVPSQAGAPGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMT IEEMENAADNILSGGAQQSPNGTLLPFVNCRDPGQDRAVAPEDESCAYALGRGRSEEALA DSRSYVSNL >ENSMUSP00000077433.8 pep:known chromosome:GRCm38:6:118592464:119108189:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000078320.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWMQDAMGYELPWVYFVSLVIFGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNMSMPTSETESVNTENVA GGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLT IASEHYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGI LETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFL FIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYG GPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLAR TASPEKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNP DTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDT IFTNLILFFILLSSISLAAEDPVQHTSFRNHILGNADYVFTSIFTLEIILKMTAYGAFLH KGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQ CVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGE VDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRV EISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLR RYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTG LFTVEMILKLIAFKPKGYFSDPWNVFDFLIVIGSIIDVILSETNPAEHTQCSPSMSAEEN SRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQ VFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDIMLACMPGKKCAPESEPSNS TEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRI WAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSMNMPLNSDGTVMFNA TLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVPPAGDDEVTVGKFYA TFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDIGPEIRRAISGDLTAEEELD KAMKEAVSAASEDDIFRRAGGLFGNHVTYYQSDSRGNFPQTFATQRPLHINKTGNNQADT ESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGRFPHPAGYSSTVSTVEGHGPPLSP AVRVQEAAWKLSSKRCHSRESQGATVNQEIFPDETRSVRMSEEAEYCSEPSLLSTDMFSY QEDEHRQLTCPEEDKREIQPSPKRSFLRSASLGRRASFHLECLKRQKDQGGDISQKTALP LHLVHHQALAVAGLSPLLQRSHSPTTFPRPCPTPPVTPGSRGRPLRPIPTLRLEGAESSE KLNSSFPSIHCSSWSEETTACSGSSSMARRARPVSLTVPSQAGAPGRQFHGSASSLVEAV LISEGLGQFAQDPKFIEVTTQELADACDMTIEEMENAADNILSGGAQQSPNGTLLPFVNC RDPGQDRAVAPEDESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000075021.7 pep:known chromosome:GRCm38:6:118592942:119108189:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000075591.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWMQDAMGYELPWVYFVSLVIFGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNMSMPTSETESVNTENVA GGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLT IASEHYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGI LETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFL FIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYG GPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLAR TASPEKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNP DTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDT IFTNLILFFILLSSISLAAEDPVQHTSFRNHILGNADYVFTSIFTLEIILKMTAYGAFLH KGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQ CVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGE VDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRV EISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLR RYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTG LFTVEMILKLIAFKPKGYFSDPWNVFDFLIVIGSIIDVILSETNPAEHTQCSPSMSAEEN SRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQ VFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDIMLACMPGKKCAPESEPSNS TEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRI WAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSMNMPLNSDGTVMFNA TLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVPPAGDDEVTVGKFYA TFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDIGPEIRRAISGDLTAEEELD KAMKEAVSAASEDDIFRRAGGLFGNHVTYYQSDSRGNFPQTFATQRPLHINKTGNNQADT ESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGRFPHPAGYSSTVSTVEGHGPPLSP AVRVQEAAWKLSSKRCHSRESQGATVNQEIFPDETRSVRMSEEAEYCSEPSLLSTDMFSY QEDEHRQLTCPEEDKREIQPSPKRSFLRSASLGRRASFHLECLKRQKDQGGDISQKTALP LHLVHHQALAVAGLSPLLQRSHSPTTFPRPCPTPPVTPGSRGRPLRPIPTLRLEGAESSE KLNSSFPSIHCSSWSEETTACSGSSSMARRARPVSLTVPSQAGAPGRQFHGSASSLVEAV LISEGLGQFAQDPKFIEVTTQELADACDMTIEEMENAADNILSGGAQQSPNGTLLPFVNC RDPGQDRAVAPEDESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000108410.3 pep:known chromosome:GRCm38:6:118592942:119108236:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000112790.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWMQDAMGYELPWVYFVSLVIFGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNMSMPTSETESVNTENVA GGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLT IASEHYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGI LETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFL FIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYG GPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLAR TASPEKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNP DTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDT IFTNLILFFILLSSISLAAEDPVQHTSFRNHILGNADYVFTSIFTLEIILKMTAYGAFLH KGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQ CVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGE VDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRV EISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLR RYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTG LFTVEMILKLIAFKPKHYFCDAWNTFDALIVVGSIVDIAITEVHPAEHTQCSPSMSAEEN SRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQ VFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDIMLACMPGKKCAPESEPSNS TEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRI WAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSMNMPLNSDGTVMFNA TLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVPPAGDDEVTVGKFYA TFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDIGPEIRRAISGDLTAEEELD KAMKEAVSAASEDDIFRRAGGLFGNHVTYYQSDSRGNFPQTFATQRPLHINKTGNNQADT ESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGRFPHPAGYSSTVSTVEGHGPPLSP AVRVQEAAWKLSSKRCHSRESQGATVNQEIFPDETRSVRMSEEAEYCSEPSLLSTDMFSY QEDEHRQLTCPEEDKREIQPSPKRSFLRSASLGRRASFHLECLKRQKDQGGDISQKTALP LHLVHHQALAVAGLSPLLQRSHSPTTFPRPCPTPPVTPGSRGRPLRPIPTLRLEGAESSE KLNSSFPSIHCSSWSEETTACSGSSSMARRARPVSLTVPSQAGAPGRQFHGSASSLVEAV LISEGLGQFAQDPKFIEVTTQELADACDMTIEEMENAADNILSGGAQQSPNGTLLPFVNC RDPGQDRAVAPEDESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000141033.1 pep:known chromosome:GRCm38:6:118593082:119196418:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000187940.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MIRAFVQPSTPPYQPLSSHSSEETERKFKGKVVHEAQLNCFYISPGGSNYGSPRPAHANM NANAAAGLAPEHIPTPGAALSWQAAIDAARQAKLMGSAGNATISTVSSTQRKRQQYGKPK KQGGTTATRPPRALLCLTLKNPIRRACISIVEWKPFEIIILLTIFANCVALAIYIPFPED DSNATNSNLERVEYLFLIIFTVEAFLKVIAYGLLFHPNAYLRNGWNLLDFIIVVVGLFSA ILEQATKADGANALGGKGAGFDVKALRAFRVLRPLRLVSGVPSLQVVLNSIIKAMVPLLH IALLVLFVIIIYAIIGLELFMGKMHKTCYNQEGIIDVPAEEDPSPCALETGHGRQCQNGT VCKPGWDGPKHGITNFDNFAFAMLTVFQCITMEGWTDVLYWMQDAMGYELPWVYFVSLVI FGSFFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQLEEDLKGYLDWITQAEDIDPE NEDEGMDEDKPRNMSMPTSETESVNTENVAGGDIEGENCGARLAHRISKSKFSRYWRRWN RFCRRKCRAAVKSNVFYWLVIFLVFLNTLTIASEHYNQPHWLTEVQDTANKALLALFTAE MLLKMYSLGLQAYFVSLFNRFDCFIVCGGILETILVETKIMSPLGISVLRCVRLLRIFKI TRYWNSLSNLVASLLNSVRSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDEMQTRRSTFD NFPQSLLTVFQILTGEDWNSVMYDGIMAYGGPSFPGMLVCIYFIILFICGNYILLNVFLA IAVDNLADAESLTSAQKEEEEEKERKKLARTASPEKKQEVMEKPAVEESKEEKIELKSIT ADGESPPTTKINMDDLQPSENEDKSPHSNPDTAGEEDEEEPEMPVGPRPRPLSELHLKEK AVPMPEASAFFIFSPNNRFRLQCHRIVNDTIFTNLILFFILLSSISLAAEDPVQHTSFRN HILFYFDIVFTTIFTIEIALKMTAYGAFLHKGSFCRNYFNILDLLVVSVSLISFGIQSSA INVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVIVTTLLQFMFACIGVQL FKGKLYTCSDSSKQTEAECKGNYITYKDGEVDHPIIQPRSWENSKFDFDNVLAAMMALFT VSTFEGWPELLYRSIDSHTEDKGPIYNYRVEISIFFIIYIIIIAFFMMNIFVGFVIVTFQ EQGEQEYKNCELDKNQRQCVEYALKARPLRRYIPKNQHQYKVWYVVNSTYFEYLMFVLIL LNTICLAMQHYGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKHYFCDAWNTFDALI VVGSIVDIAITEVHPAEHTQCSPSMSAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLL WTFIKSFQALPYVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLF RCATGEAWQDIMLACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINL FVAVIMDNFDYLTRDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGF GKLCPHRVACKRLVSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIK KIWKRTSMKLLDQVVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALS LQAGLRTLHDIGPEIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVTYY QSDSRGNFPQTFATQRPLHINKTGNNQADTESPSHEKLVDSTFTPSSYSSTGSNANINNA NNTALGRFPHPAGYSSTVSTVEGHGPPLSPAVRVQEAAWKLSSKRCHSRESQGATVNQEI FPDETRSVRMSEEAEYCSEPSLLSTDMFSYQEDEHRQLTCPEEDKREIQPSPKRSFLRSA SLGRRASFHLECLKRQKDQGGDISQKTALPLHLVHHQALAVAGLSPLLQRSHSPTTFPRP CPTPPVTPGSRGRPLRPIPTLRLEGAESSEKLNSSFPSIHCSSWSEETTACSGSSSMARR ARPVSLTVPSQAGAPGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMT IEEMENAADNILSGGAQQSPNGTLLPFVNCRDPGQDRAVAPEDESCAYALGRGRSEEALA DSRSYVSNL >ENSMUSP00000140920.1 pep:known chromosome:GRCm38:6:118593647:119108573:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000188522.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWVNDAVGRDWPWIYFVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNRGAPAGLHDQKKGKFAW FSHSTETHVSMPTSETESVNTENVAGGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRR KCRAAVKSNVFYWLVIFLVFLNTLTIASEHYNQPHWLTEVQDTANKALLALFTAEMLLKM YSLGLQAYFVSLFNRFDCFIVCGGILETILVETKIMSPLGISVLRCVRLLRIFKITRYWN SLSNLVASLLNSVRSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQS LLTVFQILTGEDWNSVMYDGIMAYGGPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDN LADAESLTSAQKEEEEEKERKKLARTASPEKKQEVMEKPAVEESKEEKIELKSITADGES PPTTKINMDDLQPSENEDKSPHSNPDTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMP EASAFFIFSPNNRFRLQCHRIVNDTIFTNLILFFILLSSISLAAEDPVQHTSFRNHILFY FDIVFTTIFTIEIALKMTAYGAFLHKGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVK ILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKL YTCSDSSKQTEAECKGNYITYKDGEVDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFE GWPELLYRSIDSHTEDKGPIYNYRVEISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQ EYKNCELDKNQRQCVEYALKARPLRRYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTIC LAMQHYGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKHYFCDAWNTFDALIVVGSI VDIAITEVHPAEHTQCSPSMSAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIK SFQALPYVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATG EAWQDIMLACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVI MDNFDYLTRDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCP HRVACKRLVSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIKKIWKR TSMKLLDQVVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGL RTLHDIGPEIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVTYYQSDSR GNFPQTFATQRPLHINKTGNNQADTESPSHEKLVDSTFTPSSYSSTGSNANINNANNTAL GRFPHPAGYSSTVSTVEGHGPPLSPAVRVQEAAWKLSSKRCHSRESQGATVNQEIFPDET RSVRMSEEAEYCSEPSLLSTDMFSYQEDEHRQLTCPEEDKREIQPSPKRSFLRSASLGRR ASFHLECLKRQKDQGGDISQKTALPLHLVHHQALAVAGLSPLLQRSHSPTTFPRPCPTPP VTPGSRGRPLRPIPTLRLEGAESSEKLNSSFPSIHCSSWSEETTACSGSSSMARRARPVS LTVPSQAGAPGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMTIEEME NAADNILSGGAQQSPNGTLLPFVNCRDPGQDRAVAPEDESCAYALGRGRSEEALADSRSY VSNL >ENSMUSP00000140961.1 pep:known chromosome:GRCm38:6:118593647:119196387:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000187474.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MIRAFVQPSTPPYQPLSSHSSEETERKFKGKVVHEAQLNCFYISPGGSNYGSPRPAHANM NANAAAGLAPEHIPTPGAALSWQAAIDAARQAKLMGSAGNATISTVSSTQRKRQQYGKPK KQGGTTATRPPRALLCLTLKNPIRRACISIVEWKPFEIIILLTIFANCVALAIYIPFPED DSNATNSNLERVEYLFLIIFTVEAFLKVIAYGLLFHPNAYLRNGWNLLDFIIVVVGLFSA ILEQATKADGANALGGKGAGFDVKALRAFRVLRPLRLVSGVPSLQVVLNSIIKAMVPLLH IALLVLFVIIIYAIIGLELFMGKMHKTCYNQEGIIDVPAEEDPSPCALETGHGRQCQNGT VCKPGWDGPKHGITNFDNFAFAMLTVFQCITMEGWTDVLYWMQDAMGYELPWVYFVSLVI FGSFFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQLEEDLKGYLDWITQAEDIDPE NEDEGMDEDKPRNMSMPTSETESVNTENVAGGDIEGENCGARLAHRISKSKFSRYWRRWN RFCRRKCRAAVKSNVFYWLVIFLVFLNTLTIASEHYNQPHWLTEVQDTANKALLALFTAE MLLKMYSLGLQAYFVSLFNRFDCFIVCGGILETILVETKIMSPLGISVLRCVRLLRIFKI TRYWNSLSNLVASLLNSVRSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDEMQTRRSTFD NFPQSLLTVFQILTGEDWNSVMYDGIMAYGGPSFPGMLVCIYFIILFICGNYILLNVFLA IAVDNLADAESLTSAQKEEEEEKERKKLARTASPEKKQEVMEKPAVEESKEEKIELKSIT ADGESPPTTKINMDDLQPSENEDKSPHSNPDTAGEEDEEEPEMPVGPRPRPLSELHLKEK AVPMPEASAFFIFSPNNRFRLQCHRIVNDTIFTNLILFFILLSSISLAAEDPVQHTSFRN HILFYFDIVFTTIFTIEIALKMTAYGAFLHKGSFCRNYFNILDLLVVSVSLISFGIQSSA INVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVIVTTLLQFMFACIGVQL FKGKLYTCSDSSKQTEAECKGNYITYKDGEVDHPIIQPRSWENSKFDFDNVLAAMMALFT VSTFEGWPELLYRSIDSHTEDKGPIYNYRVEISIFFIIYIIIIAFFMMNIFVGFVIVTFQ EQGEQEYKNCELDKNQRQCVEYALKARPLRRYIPKNQHQYKVWYVVNSTYFEYLMFVLIL LNTICLAMQHYGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKGYFSDPWNVFDFLI VIGSIIDVILSETNPAEHTQCSPSMSAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLL WTFIKSFQALPYVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLF RCATGEAWQDIMLACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINL FVAVIMDNFDYLTRDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGF GKLCPHRVACKRLVSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIK KIWKRTSMKLLDQVVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALS LQAGLRTLHDIGPEIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVTYY QSDSRGNFPQTFATQRPLHINKTGNNQADTESPSHEKLVDSTFTPSSYSSTGSNANINNA NNTALGRFPHPAGYSSTVSTVEGHGPPLSPAVRVQEAAWKLSSKRCHSRESQGATVNQEI FPDETRSVRMSEEAEYCSEPSLLSTDMFSYQEDEHRQLTCPEEDKREIQPSPKRSFLRSA SLGRRASFHLECLKRQKDQGGDISQKTALPLHLVHHQALAVAGLSPLLQRSHSPTTFPRP CPTPPVTPGSRGRPLRPIPTLRLEGAESSEKLNSSFPSIHCSSWSEETTACSGSSSMARR ARPVSLTVPSQAGAPGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMT IEEMENAADNILSGGAQQSPNGTLLPFVNCRDPGQDRAVAPEDESCAYALGRGRSEEALA DSRSYVSNL >ENSMUSP00000140415.1 pep:known chromosome:GRCm38:6:118593793:119107975:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000188078.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWVNDAVGRDWPWIYFVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNMSMPTSETESVNTENVA GGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLT IASEHYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGI LETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFL FIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYG GPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLAR TASPEKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNP DTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDT IFTNLILFFILLSSISLAAEDPVQHTSFRNHILGNADYVFTSIFTLEIILKMTAYGAFLH KGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQ CVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGE VDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRV EISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLR RYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTG LFTVEMILKLIAFKPKGYFSDPWNVFDFLIVIGSIIDVILSETNPAEHTQCSPSMSAEEN SRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQ VFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDIMLACMPGKKCAPESEPSNS TEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRI WAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSMNMPLNSDGTVMFNA TLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVPPAGDDEVTVGKFYA TFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDIGPEIRRAISGDLTAEEELD KAMKEAVSAASEDDIFRRAGGLFGNHVTYYQSDSRGNFPQTFATQRPLHINKTGNNQADT ESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGRFPHPAGYSSTVSTVEGHGPPLSP AVRVQEAAWKLSSKRCHSRESQGATVNQEIFPDETRSVRMSEEAEYCSEPSLLSTDMFSY QEDEHRQLTCPEEDKREIQPSPKRSFLRSASLGRRASFHLECLKRQKDQGGDISQKTALP LHLVHHQALAVAGLSPLLQRSHSPTTFPRPCPTPPVTPGSRGRPLRPIPTLRLEGAESSE KLNSSFPSIHCSSWSEETTACSGSSSMARRARPVSLTVPSQAGAPGRQFHGSASSLVEAV LISEGLGQFAQDPKFIEVTTQELADACDMTIEEMENAADNILSGGAQQSPNGTLLPFVNC RDPGQDRAVAPEDESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000139855.1 pep:known chromosome:GRCm38:6:118593793:119107975:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000189389.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWVNDAVGRDWPWIYFVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNMSMPTSETESVNTENVA GGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLT IASEHYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGI LETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFL FIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYG GPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLAR TASPEKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNP DTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDT IFTNLILFFILLSSISLAAEDPVQHTSFRNHILFYFDIVFTTIFTIEIALKMTAYGAFLH KGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQ CVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGE VDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRV EISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLR RYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTG LFTVEMILKLIAFKPKGYFSDPWNVFDFLIVIGSIIDVILSETNHYFCDAWNTFDALIVV GSIVDIAITEVHPAEHTQCSPSMSAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLLWT FIKSFQALPYVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLFRC ATGEAWQDIMLACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINLFV AVIMDNFDYLTRDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGK LCPHRVACKRLVSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIKKI WKRTSMKLLDQVVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQ AGLRTLHDIGPEIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVTYYQS DSRGNFPQTFATQRPLHINKTGNNQADTESPSHEKLVDSTFTPSSYSSTGSNANINNANN TALGRFPHPAGYSSTVSTVEGHGPPLSPAVRVQEAAWKLSSKRCHSRESQGATVNQEIFP DETRSVRMSEEAEYCSEPSLLSTDMFSYQEDEHRQLTCPEEDKREIQPSPKRSFLRSASL GRRASFHLECLKRQKDQGGDISQKTALPLHLVHHQALAVAGLSPLLQRSHSPTTFPRPCP TPPVTPGSRGRPLRPIPTLRLEGAESSEKLNSSFPSIHCSSWSEETTACSGSSSMARRAR PVSLTVPSQAGAPGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMTIE EMENAADNILSGGAQQSPNGTLLPFVNCRDPGQDRAVAPEDESCAYALGRGRSEEALADS RSYVSNL >ENSMUSP00000140220.1 pep:known chromosome:GRCm38:6:118593793:119107975:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000189520.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWVNDAVGRDWPWIYFVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNMSMPTSETESVNTENVA GGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLT IASEHYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGI LETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFL FIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYG GPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLAR TASPEKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNP DTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDT IFTNLILFFILLSSISLAAEDPVQHTSFRNHILGNADYVFTSIFTLEIILKMTAYGAFLH KGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQ CVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGE VDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRV EISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLR RYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTG LFTVEMILKLIAFKPKGYFSDPWNVFDFLIVIGSIIDVILSETNHYFCDAWNTFDALIVV GSIVDIAITEVHSAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYV ALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDIML ACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDYLT RDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRL VSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQ VVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDIGP EIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVTYYQSDSRGNFPQTFA TQRPLHINKTGNNQADTESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGRFPHPAG YSSTVSTVEGHGPPLSPAVRVQEAAWKLSSKRCHSRESQGATVNQEIFPDETRSVRMSEE AEYCSEPSLLSTDMFSYQEDEHRQLTCPEEDKREIQPSPKRSFLRSASLGRRASFHLECL KRQKDQGGDISQKTALPLHLVHHQALAVAGLSPLLQRSHSPTTFPRPCPTPPVTPGSRGR PLRPIPTLRLEGAESSEKLNSSFPSIHCSSWSEETTACSGSSSMARRARPVSLTVPSQAG APGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMTIEEMENAADNILS GGAQQSPNGTLLPFVNCRDPGQDRAVAPEDESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000140693.1 pep:known chromosome:GRCm38:6:118593793:119107975:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000187317.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWVNDAVGRDWPWIYFVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNMSMPTSETESVNTENVA GGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLT IASEHYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGI LETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFL FIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYG GPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLAR TASPEKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNP DTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDT IFTNLILFFILLSSISLAAEDPVQHTSFRNHILFYFDIVFTTIFTIEIALKILGNADYVF TSIFTLEIILKMTAYGAFLHKGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVL RVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSD SSKQTEAECKGNYITYKDGEVDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPEL LYRSIDSHTEDKGPIYNYRVEISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNC ELDKNQRQCVEYALKARPLRRYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQH YGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKGYFSDPWNVFDFLIVIGSIIDVIL SETNHYFCDAWNTFDALIVVGSIVDIAITEVHPAEHTQCSPSMSAEENSRISITFFRLFR VMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQVFGKIALNDTTE INRNNNFQTFPQAVLLLFRCATGEAWQDIMLACMPGKKCAPESEPSNSTEGETPCGSSFA VFYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRIWAEYDPEAKGRI KHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSMNMPLNSDGTVMFNATLFALVRTALRI KTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVPPAGDDEVTVGKFYATFLIQEYFRKFK KRKEQGLVGKPSQRNALSLQAGLRTLHDIGPEIRRAISGDLTAEEELDKAMKEAVSAASE DDIFRRAGGLFGNHVTYYQSDSRGNFPQTFATQRPLHINKTGNNQADTESPSHEKLVDST FTPSSYSSTGSNANINNANNTALGRFPHPAGYSSTVSTVEGHGPPLSPAVRVQEAAWKLS SKRCHSRESQGATVNQEIFPDETRSVRMSEEAEYCSEPSLLSTDMFSYQEDEHRQLTCPE EDKREIQPSPKRSFLRSASLGRRASFHLECLKRQKDQGGDISQKTALPLHLVHHQALAVA GLSPLLQRSHSPTTFPRPCPTPPVTPGSRGRPLRPIPTLRLEGAESSEKLNSSFPSIHCS SWSEETTACSGSSSMARRARPVSLTVPSQAGAPGRQFHGSASSLVEAVLISEGLGQFAQD PKFIEVTTQELADACDMTIEEMENAADNILSGGAQQSPNGTLLPFVNCRDPGQDRAVAPE DESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000140833.1 pep:known chromosome:GRCm38:6:118593793:119107975:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000185345.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWVNDAVGRDWPWIYFVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNMSMPTSETESVNTENVA GGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLT IASEHYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGI LETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFL FIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYG GPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLAR TASPEKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNP DTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDT IFTNLILFFILLSSISLAAEDPVQHTSFRNHILFYFDIVFTTIFTIEIALKILGNADYVF TSIFTLEIILKMTAYGAFLHKGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVL RVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSD SSKQTEAECKGNYITYKDGEVDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPEL LYRSIDSHTEDKGPIYNYRVEISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNC ELDKNQRQCVEYALKARPLRRYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQH YGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKHYFCDAWNTFDALIVVGSIVDIAI TEVHPAEHTQCSPSMSAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQAL PYVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQD IMLACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFD YLTRDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVAC KRLVSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKL LDQVVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHD IGPEIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVTYYQSDSRGNFPQ TFATQRPLHINKTGNNQADTESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGRFPH PAGYSSTVSTVEGHGPPLSPAVRVQEAAWKLSSKRCHSRESQGATVNQEIFPDETRSVRM SEEAEYCSEPSLLSTDMFSYQEDEHRQLTCPEEDKREIQPSPKRSFLRSASLGRRASFHL ECLKRQKDQGGDISQKTALPLHLVHHQALAVAGLSPLLQRSHSPTTFPRPCPTPPVTPGS RGRPLRPIPTLRLEGAESSEKLNSSFPSIHCSSWSEETTACSGSSSMARRARPVSLTVPS QAGAPGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMTIEEMENAADN ILSGGAQQSPNGTLLPFVNCRDPGQDRAVAPEDESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000139981.1 pep:known chromosome:GRCm38:6:118593793:119107975:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000188865.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWVNDAVGRDWPWIYFVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNMSMPTSETESVNTENVA GGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLT IASEHYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGI LETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFL FIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYG GPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLAR TASPEKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNP DTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDT IFTNLILFFILLSSISLAAEDPVQHTSFRNHILGNADYVFTSIFTLEIILKMTAYGAFLH KGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQ CVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGE VDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRV EISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLR RYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTG LFTVEMILKLIAFKPKHYFCDAWNTFDALIVVGSIVDIAITEVHPAEHTQCSPSMSAEEN SRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQ VFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDIMLACMPGKKCAPESEPSNS TEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRI WAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSMNMPLNSDGTVMFNA TLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVPPAGDDEVTVGKFYA TFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDIGPEIRRAISGDLTAEEELD KAMKEAVSAASEDDIFRRAGGLFGNHVTYYQSDSRGNFPQTFATQRPLHINKTGNNQADT ESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGRFPHPAGYSSTVSTVEGHGPPLSP AVRVQEAAWKLSSKRCHSRESQGATVNQEIFPDETRSVRMSEEAEYCSEPSLLSTDMFSY QEDEHRQLTCPEEDKREIQPSPKRSFLRSASLGRRASFHLECLKRQKDQGGDISQKTALP LHLVHHQALAVAGLSPLLQRSHSPTTFPRPCPTPPVTPGSRGRPLRPIPTLRLEGAESSE KLNSSFPSIHCSSWSEETTACSGSSSMARRARPVSLTVPSQAGAPGRQFHGSASSLVEAV LISEGLGQFAQDPKFIEVTTQELADACDMTIEEMENAADNILSGGAQQSPNGTLLPFVNC RDPGQDRAVAPEDESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000140341.1 pep:known chromosome:GRCm38:6:118593799:119080007:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000187386.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MNANAAAGLAPEHIPTPGAALSWQAAIDAARQAKLMGSAGNATISTVSSTQRKRQQYGKP KKQGGTTATRPPRALLCLTLKNPIRRACISIVEWKPFEIIILLTIFANCVALAIYIPFPE DDSNATNSNLERVEYLFLIIFTVEAFLKVIAYGLLFHPNAYLRNGWNLLDFIIVVVGLFS AILEQATKADGANALGGKGAGFDVKALRAFRVLRPLRLVSGVPSLQVVLNSIIKAMVPLL HIALLVLFVIIIYAIIGLELFMGKMHKTCYNQEGIIDVPAEEDPSPCALETGHGRQCQNG TVCKPGWDGPKHGITNFDNFAFAMLTVFQCITMEGWTDVLYWVNDAVGRDWPWIYFVTLI IIGSFFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQLEEDLKGYLDWITQAEDIDP ENEDEGMDEDKPRNRGAPAGLHDQKKGKFAWFSHSTETHVSMPTSETESVNTENVAGGDI EGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLTIASE HYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGILETI LVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFLFIII FSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYGGPSF PGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLARTASP EKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNPDTAG EEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDTIFTN LILFFILLSSISLAAEDPVQHTSFRNHILFYFDIVFTTIFTIEIALKMTAYGAFLHKGSF CRNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQCVFV AIRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGEVDHP IIQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRVEISI FFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLRRYIP KNQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTGLFTV EMILKLIAFKPKHYFCDAWNTFDALIVVGSIVDIAITEVHPAEHTQCSPSMSAEENSRIS ITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQVFGK IALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDIMLACMPGKKCAPESEPSNSTEGE TPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRIWAEY DPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSMNMPLNSDGTVMFNATLFA LVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVPPAGDDEVTVGKFYATFLI QEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDIGPEIRRAISGDLTAEEELDKAMK EAVSAASEDDIFRRAGGLFGNHVTYYQSDSRGNFPQTFATQRPLHINKTGNNQADTESPS HEKLVDSTFTPSSYSSTGSNANINNANNTALGRFPHPAGYSSTVSTVEGHGPPLSPAVRV QEAAWKLSSKRCHSRESQGATVNQEIFPDETRSVRMSEEAEYCSEPSLLSTDMFSYQEDE HRQLTCPEEDKREIQPSPKRSFLRSASLGRRASFHLECLKRQKDQGGDISQKTALPLHLV HHQALAVAGLSPLLQRSHSPTTFPRPCPTPPVTPGSRGRPLRPIPTLRLEGAESSEKLNS SFPSIHCSSWSEETTACSGSSSMARRARPVSLTVPSQAGAPGRQFHGSASSLVEAVLISE GLGQFAQDPKFIEVTTQELADACDMTIEEMENAADNILSGGAQQSPNGTLLPFVNCRDPG QDRAVAPEDESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000108413.4 pep:known chromosome:GRCm38:6:118593892:119107975:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000112793.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWVNDAVGRDWPWIYFVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNRGAPAGLHDQKKGKFAW FSHSTETHVSMPTSETESVNTENVAGGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRR KCRAAVKSNVFYWLVIFLVFLNTLTIASEHYNQPHWLTEVQDTANKALLALFTAEMLLKM YSLGLQAYFVSLFNRFDCFIVCGGILETILVETKIMSPLGISVLRCVRLLRIFKITRYWN SLSNLVASLLNSVRSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQS LLTVFQILTGEDWNSVMYDGIMAYGGPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDN LADAESLTSAQKEEEEEKERKKLARTASPEKKQEVMEKPAVEESKEEKIELKSITADGES PPTTKINMDDLQPSENEDKSPHSNPDTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMP EASAFFIFSPNNRFRLQCHRIVNDTIFTNLILFFILLSSISLAAEDPVQHTSFRNHILGN ADYVFTSIFTLEIILKMTAYGAFLHKGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVK ILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKL YTCSDSSKQTEAECKGNYITYKDGEVDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFE GWPELLYRSIDSHTEDKGPIYNYRVEISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQ EYKNCELDKNQRQCVEYALKARPLRRYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTIC LAMQHYGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKHYFCDAWNTFDALIVVGSI VDIAITEVHPAEHTQCSPSMVRTTLQTTFPASVAPPLATHVLSTLISRALGTQPSSHCMW PPCPLCALTACAHVSCARSAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSF QALPYVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEA WQDIMLACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMD NFDYLTRDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHR VACKRLVSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTS MKLLDQVVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRT LHDIGPEIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVTYYQSDSRGN FPQTFATQRPLHINKTGNNQADTESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGR FPHPAGYSSTVSTVEGHGPPLSPAVRVQEAAWKLSSKRCHSRESQGATVNQEIFPDETRS VRMSEEAEYCSEPSLLSTDMFSYQEDEHRQLTCPEEDKREIQPSPKRSFLRSASLGRRAS FHLECLKRQKDQGGDISQKTALPLHLVHHQALAVAGLSPLLQRSHSPTTFPRPCPTPPVT PGSRGRPLRPIPTLRLEGAESSEKLNSSFPSIHCSSWSEETTACSGSSSMARRARPVSLT VPSQAGAPGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMTIEEMENA ADNILSGGAQQSPNGTLLPFVNCRDPGQDRAVAPEDESCAYALGRGRSEEALADSRSYVS NL >ENSMUSP00000140886.1 pep:known chromosome:GRCm38:6:118593892:119107975:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000188106.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVNENTRMYVPEENHQGSNYGSPRPAHANMNANAAAGLAPEHIPTPGAALSWQAAIDAAR QAKLMGSAGNATISTVSSTQRKRQQYGKPKKQGGTTATRPPRALLCLTLKNPIRRACISI VEWKPFEIIILLTIFANCVALAIYIPFPEDDSNATNSNLERVEYLFLIIFTVEAFLKVIA YGLLFHPNAYLRNGWNLLDFIIVVVGLFSAILEQATKADGANALGGKGAGFDVKALRAFR VLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYN QEGIIDVPAEEDPSPCALETGHGRQCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCI TMEGWTDVLYWVNDAVGRDWPWIYFVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGD FQKLREKQQLEEDLKGYLDWITQAEDIDPENEDEGMDEDKPRNRGAPAGLHDQKKGKFAW FSHSTETHVSMPTSETESVNTENVAGGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRR KCRAAVKSNVFYWLVIFLVFLNTLTIASEHYNQPHWLTEVQDTANKALLALFTAEMLLKM YSLGLQAYFVSLFNRFDCFIVCGGILETILVETKIMSPLGISVLRCVRLLRIFKITRYWN SLSNLVASLLNSVRSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQS LLTVFQILTGEDWNSVMYDGIMAYGGPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDN LADAESLTSAQKEEEEEKERKKLARTASPEKKQEVMEKPAVEESKEEKIELKSITADGES PPTTKINMDDLQPSENEDKSPHSNPDTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMP EASAFFIFSPNNRFRLQCHRIVNDTIFTNLILFFILLSSISLAAEDPVQHTSFRNHILFY FDIVFTTIFTIEIALKMTAYGAFLHKGSFCRNYFNILDLLVVSVSLISFGIQSSAINVVK ILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVIVTTLLQFMFACIGVQLFKGKL YTCSDSSKQTEAECKGNYITYKDGEVDHPIIQPRSWENSKFDFDNVLAAMMALFTVSTFE GWPELLYRSIDSHTEDKGPIYNYRVEISIFFIIYIIIIAFFMMNIFVGFVIVTFQEQGEQ EYKNCELDKNQRQCVEYALKARPLRRYIPKNQHQYKVWYVVNSTYFEYLMFVLILLNTIC LAMQHYGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKGYFSDPWNVFDFLIVIGSI IDVILSETNSAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALL IVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDIMLACM PGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDYLTRDW SILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSM NMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVP PAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDIGPEIR RAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVTYYQSDSRGNFPQTFATQR PLHINKTGNNQADTESPSHEKLVDSTFTPSSYSSTGSNANINNANNTALGRFPHPAGYSS TVSTVEGHGPPLSPAVRVQEAAWKLSSKRCHSRESQGATVNQEIFPDETRSVRMSEEAEY CSEPSLLSTDMFSYQEDEHRQLTCPEEDKREIQPSPKRSFLRSASLGRRASFHLECLKRQ KDQGGDISQKTALPLHLVHHQALAVAGLSPLLQRSHSPTTFPRPCPTPPVTPGSRGRPLR PIPTLRLEGAESSEKLNSSFPSIHCSSWSEETTACSGSSSMARRARPVSLTVPSQAGAPG RQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMTIEEMENAADNILSGGA QQSPNGTLLPFVNCRDPGQDRAVAPEDESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000141015.1 pep:known chromosome:GRCm38:6:118593892:119196231:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000190285.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MIRAFVQPSTPPYQPLSSHSSEETERKFKGKVVHEAQLNCFYISPGGSNYGSPRPAHANM NANAAAGLAPEHIPTPGAALSWQAAIDAARQAKLMGSAGNATISTVSSTQRKRQQYGKPK KQGGTTATRPPRALLCLTLKNPIRRACISIVEWKPFEIIILLTIFANCVALAIYIPFPED DSNATNSNLERVEYLFLIIFTVEAFLKVIAYGLLFHPNAYLRNGWNLLDFIIVVVGLFSA ILEQATKADGANALGGKGAGFDVKALRAFRVLRPLRLVSGVPSLQVVLNSIIKAMVPLLH IALLVLFVIIIYAIIGLELFMGKMHKTCYNQEGIIDVPAEEDPSPCALETGHGRQCQNGT VCKPGWDGPKHGITNFDNFAFAMLTVFQCITMEGWTDVLYWMQDAMGYELPWVYFVSLVI FGSFFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQLEEDLKGYLDWITQAEDIDPE NEDEGMDEDKPRNRGAPAGLHDQKKGKFAWFSHSTETHVSMPTSETESVNTENVAGGDIE GENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTLTIASEH YNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGGILETIL VETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLFLFIIIF SLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAYGGPSFP GMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLARTASPE KKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSNPDTAGE EDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVNDTIFTNL ILFFILLSSISLAAEDPVQHTSFRNHILFYFDIVFTTIFTIEIALKMTAYGAFLHKGSFC RNYFNILDLLVVSVSLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVA IRTIGNIVIVTTLLQFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGEVDHPI IQPRSWENSKFDFDNVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRVEISIF FIIYIIIIAFFMMNIFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLRRYIPK NQHQYKVWYVVNSTYFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTGLFTVE MILKLIAFKPKGYFSDPWNVFDFLIVIGSIIDVILSETNPAEHTQCSPSMSAEENSRISI TFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGMQVFGKI ALNDTTEINRNNNFQTFPQAVLLLFRCATGEAWQDIMLACMPGKKCAPESEPSNSTEGET PCGSSFAVFYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRIWAEYD PEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVSMNMPLNSDGTVMFNATLFAL VRTALRIKTEGNLEQANEELRAIIKKIWKRTSMKLLDQVVPPAGDDEVTVGKFYATFLIQ EYFRKFKKRKEQGLVGKPSQRNALSLQAGLRTLHDIGPEIRRAISGDLTAEEELDKAMKE AVSAASEDDIFRRAGGLFGNHVTYYQSDSRGNFPQTFATQRPLHINKTGNNQADTESPSH EKLVDSTFTPSSYSSTGSNANINNANNTALGRFPHPAGYSSTVSTVEGHGPPLSPAVRVQ EAAWKLSSKRCHSRESQGATVNQEIFPDETRSVRMSEEAEYCSEPSLLSTDMFSYQEDEH RQLTCPEEDKREIQPSPKRSFLRSASLGRRASFHLECLKRQKDQGGDISQKTALPLHLVH HQALAVAGLSPLLQRSHSPTTFPRPCPTPPVTPGSRGRPLRPIPTLRLEGAESSEKLNSS FPSIHCSSWSEETTACSGSSSMARRARPVSLTVPSQAGAPGRQFHGSASSLVEAVLISEG LGQFAQDPKFIEVTTQELADACDMTIEEMENAADNILSGGAQQSPNGTLLPFVNCRDPGQ DRAVAPEDESCAYALGRGRSEEALADSRSYVSNL >ENSMUSP00000108444.2 pep:known chromosome:GRCm38:6:118592319:118757974:-1 gene:ENSMUSG00000051331.14 transcript:ENSMUST00000112825.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1c description:calcium channel, voltage-dependent, L type, alpha 1C subunit [Source:MGI Symbol;Acc:MGI:103013] MVPLLHIALLVLFVIIIYAIIGLELFMGKMHKTCYNQEGIIDVPAEEDPSPCALETGHGR QCQNGTVCKPGWDGPKHGITNFDNFAFAMLTVFQCITMEGWTDVLYWVNDAVGRDWPWIY FVTLIIIGSFFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQLEEDLKGYLDWITQA EDIDPENEDEGMDEDKPRNRGAPAGLHDQKKGKFAWFSHSTETHVSMPTSETESVNTENV AGGDIEGENCGARLAHRISKSKFSRYWRRWNRFCRRKCRAAVKSNVFYWLVIFLVFLNTL TIASEHYNQPHWLTEVQDTANKALLALFTAEMLLKMYSLGLQAYFVSLFNRFDCFIVCGG ILETILVETKIMSPLGISVLRCVRLLRIFKITRYWNSLSNLVASLLNSVRSIASLLLLLF LFIIIFSLLGMQLFGGKFNFDEMQTRRSTFDNFPQSLLTVFQILTGEDWNSVMYDGIMAY GGPSFPGMLVCIYFIILFICGNYILLNVFLAIAVDNLADAESLTSAQKEEEEEKERKKLA RTASPEKKQEVMEKPAVEESKEEKIELKSITADGESPPTTKINMDDLQPSENEDKSPHSN PDTAGEEDEEEPEMPVGPRPRPLSELHLKEKAVPMPEASAFFIFSPNNRFRLQCHRIVND TIFTNLILFFILLSSISLAAEDPVQHTSFRNHMTAYGAFLHKGSFCRNYFNILDLLVVSV SLISFGIQSSAINVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVIVTTLL QFMFACIGVQLFKGKLYTCSDSSKQTEAECKGNYITYKDGEVDHPIIQPRSWENSKFDFD NVLAAMMALFTVSTFEGWPELLYRSIDSHTEDKGPIYNYRVEISIFFIIYIIIIAFFMMN IFVGFVIVTFQEQGEQEYKNCELDKNQRQCVEYALKARPLRRYIPKNQHQYKVWYVVNST YFEYLMFVLILLNTICLAMQHYGQSCLFKIAMNILNMLFTGLFTVEMILKLIAFKPKGYF SDPWNVFDFLIVIGSIIDVILSETNSAEENSRISITFFRLFRVMRLVKLLSRGEGIRTLL WTFIKSFQALPYVALLIVMLFFIYAVIGMQVFGKIALNDTTEINRNNNFQTFPQAVLLLF RCATGEAWQDIMLACMPGKKCAPESEPSNSTEGETPCGSSFAVFYFISFYMLCAFLIINL FVAVIMDNFDYLTRDWSILGPHHLDEFKRIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGF GKLCPHRVACKRLVSMNMPLNSDGTVMFNATLFALVRTALRIKTEGNLEQANEELRAIIK KIWKRTSMKLLDQVVPPAGDDEVTVGKFYATFLIQEYFRKFKKRKEQGLVGKPSQRNALS LQAGLRTLHDIGPEIRRAISGDLTAEEELDKAMKEAVSAASEDDIFRRAGGLFGNHVTYY QSDSRGNFPQTFATQRPLHINKTGNNQADTESPSHEKLVDSTFTPSSYSSTGSNANINNA NNTALGRFPHPAGYSSTVSTVEGHGPPLSPAVRVQEAAWKLSSKRCHSRESQGATVNQEI FPDETRSVRMSEEAEYCSEPSLLSTDMFSYQEDEHRQLTCPEEDKREIQPSPKRSFLRSA SLGRRASFHLECLKRQKDQGGDISQKTALPLHLVHHQALAVAGLSPLLQRSHSPTTFPRP CPTPPVTPGSRGRPLRPIPTLRLEGAESSEKLNSSFPSIHCSSWSEETTACSGSSSMARR ARPVSLTVPSQAGAPGRQFHGSASSLVEAVLISEGLGQFAQDPKFIEVTTQELADACDMT IEEMENAADNILSGGAQQSPNGTLLPFVNCRDPGQDRAVAPEDESCAYALGRGRSEEALA DSRSYVSNL >ENSMUSP00000040048.7 pep:known chromosome:GRCm38:4:86874396:86920875:1 gene:ENSMUSG00000038007.14 transcript:ENSMUST00000045224.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acer2 description:alkaline ceramidase 2 [Source:MGI Symbol;Acc:MGI:1920932] MGAPHWWDHLRAGSSEVDWCEDNYTIVPAIAEFYNTISNVLFFILPPICMCLFRQYATCF NSGIYLIWTLLVVVGIGSVYFHATLSFLGQMLDELAILWVLMCALAMWFPRRYLPKIFRN DRGRFKAVVCVLSAITTCLAFIKPAINNISLMILGLPCTALLVAELKRCDNVRVFKLGLF SGLWWTLALFCWISDQAFCELLSSFHFPYLHCVWHILICLASYLGCVCFAYFDAASEIPE QGPVIRFWPSEKWAFIGVPYVSLLCAHKKSPVKIT >ENSMUSP00000081473.4 pep:known chromosome:GRCm38:4:86874414:86920875:1 gene:ENSMUSG00000038007.14 transcript:ENSMUST00000084433.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acer2 description:alkaline ceramidase 2 [Source:MGI Symbol;Acc:MGI:1920932] MGAPHWWDHLRAGSSEVDWCEDNYTIVPAIAEFYNTISNVLFFILPPICMCLFRQYATCF NSGIYLIWTLLVVVGIGSVYFHATLSFLGQMLDELAILWVLMCALAMWFPRRYLPKIFRN DRCDNVRVFKLGLFSGLWWTLALFCWISDQAFCELLSSFHFPYLHCVWHILICLASYLGC VCFAYFDAASEIPEQGPVIRFWPSEKWAFIGVPYVSLLCAHKKSPVKIT >ENSMUSP00000135095.1 pep:known chromosome:GRCm38:17:18582406:18598157:1 gene:ENSMUSG00000091679.8 transcript:ENSMUST00000177244.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r96 description:vomeronasal 2, receptor 96 [Source:MGI Symbol;Acc:MGI:3644514] MAPKDTSLSLAIVSLMVHFRWTWVGLILPNDHKGNKILSDFREEMERNGICLAFVKMTPE TWTSYVAKFWENMDETNVTIIYGEIDSLEGIMRNIEQRLLTWNVWIMNIEHHVIDRADYF MLDSFHGSLIFKHNYRENIEFTKFIQTVNPNKYPEDIYLPKLWYLFFKCSFSDTNCHVLE NCQTNATLDIFPRHIFDMVMNAESTIIYNGVYAVAYSLHEMRLQLLQMQPYENHHGMVFF PWQLNTFLKNIVVKDKRSLDWRQTIDAEYDILNIWNLPKGLGLKVKIGSFSANAPLGQQL SLSEQMIQWPAMFSEIPQSVCSESCGPGFRKVTLEGKAICCYKCTPCADNEISNETDVDT CVKCPERHYANAEKSNCFQKSVSFLAYEDTLGMALASIALCLSVLTAFVIGIFVKHRDTP IVKANNRALSYILLITLTFCFLCSLNFIGKPTTVSCILQQTTFAVAFTMALATVLAKAIT VVLAFKVSFPGRMIRWLMISRGPNYIIPICTLIQLLICGIWMATSPPFIDQDAHTEYGHI IILCNKGSSVAFHSVLGYLCFMALGSYAMAFLSRNLPDTFNESKFLSFSMLVFFCVWVTF LPVYHSTKGKVMVAMEVFSILASSTALLAFIFGPKCYIILLRPDKNSFNYIRKKTPSRKN FPKI >ENSMUSP00000131564.1 pep:known chromosome:GRCm38:17:18581727:18598157:1 gene:ENSMUSG00000091679.8 transcript:ENSMUST00000165692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r96 description:vomeronasal 2, receptor 96 [Source:MGI Symbol;Acc:MGI:3644514] MAPKDTSLSLAIVSLMVHFRWTWVGLILPNDHKGNKILSDFREEMERNGICLAFVKMTPE TWTSYVAKFWENMDETNVTIIYGEIDSLEGIMRNIEQRLLTWNVWIMNIEHHVIDRADYF MLDSFHGSLIFKHNYRENIEFTKFIQTVNPNKYPEDIYLPKLWYLFFKCSFSDTNCHVLE NCQTNATLDIFPRHIFDMVMNAESTIIYNGVYAVAYSLHEMRLQLLQMQPYENHHGMVFF PWQLNTFLKNIVVKDKRSLDWRQTIDAEYDILNIWNLPKGLGLKVKIGSFSANAPLGQQL SLSEQMIQWPAMFSEIPQSVCSESCGPGFRKVTLEGKAICCYKCTPCADNEISNETDVDT CVKCPERHYANAEKSNCFQKSVSFLAYEDTLGMALASIALCLSVLTAFVIGIFVKHRDTP IVKANNRALSYILLITLTFCFLCSLNFIGKPTTVSCILQQTTFAVAFTMALATVLAKAIT VVLAFKVSFPGRMIRWLMISRGPNYIIPICTLIQLLICGIWMATSPPFIDQDAHTEYGHI IILCNKGSSVAFHSVLGYLCFMALGSYAMAFLSRNLPDTFNESKFLSFSMLVFFCVWVTF LPVYHSTKGKVMVAMEVFSILASSTALLAFIFGPKCYIILLRPDKNSFNYIRKKTPSRKN FPKI >ENSMUSP00000028020.9 pep:known chromosome:GRCm38:1:162639155:162649693:1 gene:ENSMUSG00000026697.10 transcript:ENSMUST00000028020.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myoc description:myocilin [Source:MGI Symbol;Acc:MGI:1202864] MPALHLLFLACLVWGMGARTAQFRKANDRSGRCQYTFTVASPNESSCPREDQAMSAIQDL QRDSSIQHADLESTKARVRSLESLLHQMTLGRVTGTQEAQEGLQGQLGALRRERDQLETQ TRDLEAAYNNLLRDKSALEEEKRQLEQENEDLARRLESSSEEVTRLRRGQCPSTQYPSQD MLPGSREVSQWNLDTLAFQELKSELTEVPASQILKENPSGRPRSKEGDKGCGALVWVGEP VTLRTAETIAGKYGVWMRDPKPTHPYTQESTWRIDTVGTEIRQVFEYSQISQFEQGYPSK VHVLPRALESTGAVVYAGSLYFQGAESRTVVRYELDTETVKAEKEIPGAGYHGHFPYAWG GYTDIDLAVDESGLWVIYSTEEAKGAIVLSKLNPANLELERTWETNIRKQSVANAFVICG ILYTVSSYSSAHATVNFAYDTKTGTSKTLTIPFTNRYKYSSMIDYNPLERKLFAWDNFNM VTYDIKLLEM >ENSMUSP00000034766.6 pep:known chromosome:GRCm38:9:68653786:69388246:1 gene:ENSMUSG00000032238.17 transcript:ENSMUST00000034766.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rora description:RAR-related orphan receptor alpha [Source:MGI Symbol;Acc:MGI:104661] MESAPAAPDPAASEPGSSGSEAAAGSRETPLTQDTGRKSEAPGAGRRQSYASSSRGISVT KKTHTSQIEIIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQSNATYSCPRQKNCLIDRT SRNRCQHCRLQKCLAVGMSRDAVKFGRMSKKQRDSLYAEVQKHRMQQQQRDHQQQPGEAE PLTPTYNISANGLTELHDDLSTYMDGHTPEGSKADSAVSSFYLDIQPSPDQSGLDINGIK PEPICDYTPASGFFPYCSFTNGETSPTVSMAELEHLAQNISKSHLETCQYLREELQQITW QTFLQEEIENYQNKQREVMWQLCAIKITEAIQYVVEFAKRIDGFMELCQNDQIVLLKAGS LEVVFIRMCRAFDSQNNTVYFDGKYASPDVFKSLGCEDFISFVFEFGKSLCSMHLTEDEI ALFSAFVLMSADRSWLQEKVKIEKLQQKIQLALQHVLQKNHREDGILTKLICKVSTLRAL CGRHTEKLMAFKAIYPDIVRLHFPPLYKELFTSEFEPAMQIDG >ENSMUSP00000134291.1 pep:known chromosome:GRCm38:9:68653891:69364494:1 gene:ENSMUSG00000032238.17 transcript:ENSMUST00000174296.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rora description:RAR-related orphan receptor alpha [Source:MGI Symbol;Acc:MGI:104661] TPLTQDTGRKSEAPGAGRRQSYASSSRGISVTKKTHTWQAWYRELPCTALPMSSSLAWRD PL >ENSMUSP00000109254.2 pep:known chromosome:GRCm38:9:69289682:69379809:1 gene:ENSMUSG00000032238.17 transcript:ENSMUST00000113624.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rora description:RAR-related orphan receptor alpha [Source:MGI Symbol;Acc:MGI:104661] MYFVIAAMKAQIEIIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQSNATYSCPRQKNCL IDRTSRNRCQHCRLQKCLAVGMSRDAVKFGRMSKKQRDSLYAEVQKHRMQQQQRDHQQQP GEAEPLTPTYNISANGLTELHDDLSTYMDGHTPEGSKADSAVSSFYLDIQPSPDQSGLDI NGIKPEPICDYTPASGFFPYCSFTNGETSPTVSMAELEHLAQNISKSHLETCQYLREELQ QITWQTFLQEEIENYQNKQREVMWQLCAIKITEAIQYVVEFAKRIDGFMELCQNDQIVLL KAGSLEVVFIRMCRAFDSQNNTVYFDGKYASPDVFKSLGCEDFISFVFEFGKSLCSMHLT EDEIALFSAFVLMSADRSWLQEKVKIEKLQQKIQLALQHVLQKNHREDGILTKLICKVST LRALCGRHTEKLMAFKAIYPDIVRLHFPPLYKELFTSEFEPAMQIDG >ENSMUSP00000144620.1 pep:known chromosome:GRCm38:X:91331690:91333360:1 gene:ENSMUSG00000073069.2 transcript:ENSMUST00000201779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb2 description:melanoma antigen, family B, 2 [Source:MGI Symbol;Acc:MGI:105117] MPRGQKSKTRSRAKRQQSRREVPVVQPTAEEAGSSPVDQSAGSSFPGGSAPQGVKTPGSF GAGVSCTGSGIGGRNAAVLPDTKSSDGTQAGTSIQHTLKDPIMRKASVLIEFLLDKFKMK EAVTRSEMLAVVNKKYKEQFPEILRRTSARLELVFGLELKEIDPSTHSYLLVGKLGLSTE GSLSSNWGLPRTGLLMSVLGVIFMKGNRATEQEVWQFLHGVGVYAGKKHLIFGEPEEFIR DVVRENYLEYRQVPGSDPPSYEFLWGPRAHAETTKMKVLEVLAKVNGTVPSAFPNLYQLA LRDQAGGVPRRRVQGKGVHSKAPSQKSSNM >ENSMUSP00000098945.1 pep:known chromosome:GRCm38:X:91331755:91332897:1 gene:ENSMUSG00000073069.2 transcript:ENSMUST00000101397.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb2 description:melanoma antigen, family B, 2 [Source:MGI Symbol;Acc:MGI:105117] MFSWKASKARSPLSPRYSLPGSTEVLTGCHSYLSRFLSASSFTSALSTVNMPRGQKSKTR SRAKRQQSRREVPVVQPTAEEAGSSPVDQSAGSSFPGGSAPQGVKTPGSFGAGVSCTGSG IGGRNAAVLPDTKSSDGTQAGTSIQHTLKDPIMRKASVLIEFLLDKFKMKEAVTRSEMLA VVNKKYKEQFPEILRRTSARLELVFGLELKEIDPSTHSYLLVGKLGLSTEGSLSSNWGLP RTGLLMSVLGVIFMKGNRATEQEVWQFLHGVGVYAGKKHLIFGEPEEFIRDVVRENYLEY RQVPGSDPPSYEFLWGPRAHAETTKMKVLEVLAKVNGTVPSAFPNLYQLALRDQAGGVPR RRVQGKGVHSKAPSQKSSNM >ENSMUSP00000032326.4 pep:known chromosome:GRCm38:6:135011612:135023776:1 gene:ENSMUSG00000030204.10 transcript:ENSMUST00000032326.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx47 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 47 [Source:MGI Symbol;Acc:MGI:1915005] MAADEEPDSPSGALQTAAEEEETKTFKDLGVTDVLCEACDQLGWAKPTKIQIEAIPLALQ GRDIIGLAETGSGKTGAFALPILNALLETPQRLFALVLTPTRELAFQISEQFEALGSSIG VQCAVIVGGIDSMSQSLALAKKPHIVIATPGRLIDHLENTKGFNLRALKYLVMDEADRIL NMDFETEVDKILKVIPRDRKTFLFSATMTKKVQKLQRAALKNPVKCAVSSKYQTVEKLQQ YYLFIPSKFKDTYLVYILNELAGNSFMIFCSTCNNTQRTALLLRNLGFTAIPLHGQMSQS KRLGSLNKFKAKARSILLATDVASRGLDIPHVDVVVNFDIPTHSKDYIHRVGRTARAGRS GKAITFVTQYDVELFQRIEHLIGKKLPVFPTQDEEVMMLTERVNEAQRFARMELREHGEK KKRKREDAGDDDDKEGAIGVRNKVAGGKMKKRKGR >ENSMUSP00000115183.1 pep:known chromosome:GRCm38:6:135011622:135019037:1 gene:ENSMUSG00000030204.10 transcript:ENSMUST00000130851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx47 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 47 [Source:MGI Symbol;Acc:MGI:1915005] MAADEEPDSPSGALQTAAEEEETKTFKDLVQKLQRAALKNPVKCAVSSKYQTVEKLQQYY LFIPSKFKDTYLVYILNELAGNSFMIFCSTCNNTQRTALLLRNLGFTAIPLHGQMSQSKR LGSLNKFKAKARSILLAT >ENSMUSP00000145139.1 pep:known chromosome:GRCm38:6:135011624:135019001:1 gene:ENSMUSG00000030204.10 transcript:ENSMUST00000205244.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx47 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 47 [Source:MGI Symbol;Acc:MGI:1915005] MAADEEPDSPSGALQTAAEEEETKTFKDLGVTDVLCEACDQLGWAKPTKIQIEAIPLALQ AVIVGGIDSMSQSLALAKKPHIVIATPGRLIDHLENTKGFNLRALKYLVMDEADRILNMD FETEVDKILKVIPRDRKTFLFSATMTKKVQKLQRAALKNPVKCAVSSKYQTVEKLQQYYL FIPSKFKSKRLGSLNK >ENSMUSP00000145463.1 pep:known chromosome:GRCm38:6:135011633:135018115:1 gene:ENSMUSG00000030204.10 transcript:ENSMUST00000205055.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx47 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 47 [Source:MGI Symbol;Acc:MGI:1915005] MAADEEPDSPSGALQTAAEEEETKTFKDLGVTDVLCEACDQLGWAKPTKIQIEAIPLALQ GRDIIGLAETGSGKTGAFALPILNALLETPQRLFALVLTPTRELAFQISEQFEALGSSIG VQKLQRAALKNPVKCAVSSKYQTVEKLQQYYLFIPSKFKDTYLVYILNELAGNSFMIFCS T >ENSMUSP00000145232.1 pep:known chromosome:GRCm38:6:135011644:135021555:1 gene:ENSMUSG00000030204.10 transcript:ENSMUST00000204646.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx47 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 47 [Source:MGI Symbol;Acc:MGI:1915005] XAADEEPDSPSGALQTAAEEEETKTFKDLGVTDVLCEACDQLGWAKPTKIQIEAIPLALQ GRDIIGLAETGSGKTGAFALPILNALLETPQRLFALVLTPTRELAFQISEQFEALGSSIG VQCATPGRLIDHLENTKGFNLRALKYLVMDEADRILNMDFETEVDKILKVIPRDRKTFLF SATMTKKVQKLQRAALKNPVKCAVSSKYQTVEKLQQYYLFIPSKFKDTYLVYILNELAGN SFMIFCSTCNNTQRTALLLRNLGFTAIPLHGQMSQSKRLGSLNKFKAKARSILLATDVAS RGLDIPHVDVVVNFDIPTHSKDYIHRVGRTARAGRSGKAITFVTQYDVELFQRIEHLIGK KLPVFPTQDEEVMMLTERVN >ENSMUSP00000119231.1 pep:known chromosome:GRCm38:6:135011653:135021578:1 gene:ENSMUSG00000030204.10 transcript:ENSMUST00000154558.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx47 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 47 [Source:MGI Symbol;Acc:MGI:1915005] XEEPDSPSGALQTAAEEEETKTFKDLGVTDVLCEACDQLGWAKPTKIQIEAIPLALQGRD IIGLAETGSGKTGAFALPILNALLETPQRLFALVLTPTRELAFQISEQFEALGSSIGVQC AVIVGGIDSMSQSLALAKKPHIVIATPGRLIDHLENTKGFNLRALKYLVMDEADRILNMD FETEVDKILKVIPRDRKTFLFSATMTKKVQKLQRAALKNPVKCAVSSKYQTVEKLQQYYL FIPSKFKSKRLGSLNKFKAKARSILLATDVASRGLDIPHVDVVVNFDIPTHSKDYIHRVG RTARAGRSGKAITFVTQYDVELFQRIEHLIGKKLPVFPTQDEEVMMLTERVNEAQRFARM >ENSMUSP00000144959.1 pep:known chromosome:GRCm38:6:135019000:135023772:1 gene:ENSMUSG00000030204.10 transcript:ENSMUST00000155022.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx47 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 47 [Source:MGI Symbol;Acc:MGI:1915005] XFKAKARSILLATDVASRGLDIPHVDVVVNFDIPTHSKDYIHRVGRTARAGRSGKAITFV TQ >ENSMUSP00000066413.7 pep:known chromosome:GRCm38:12:108106431:108179314:-1 gene:ENSMUSG00000056770.15 transcript:ENSMUST00000071095.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd3 description:SET domain containing 3 [Source:MGI Symbol;Acc:MGI:1289184] MGKKSRVKTQKSGTGATATVSPKEILNLTSELLQKCSSPAPSPGKEWEEYTQIRALVEKI RKKQKGLSVTFDGKREDYFPDLMKWASENGASVEGFEMVNFKEEGFGLRATRDIKAEELF LWVPRKLLMTVESAKNSVLGPLYSQDRILQAMGNIALAFHLLCERASPNSFWQPYIQTLP SEYDTPLYFEEEEVRCLQSTQAIHDVFSQYKNTARQYAYFYKVIQTHPHANKLPLKESFT YEDYRWAVSSVMTRQNQIPTEDGSRVTLALIPLWDMCNHTNGLITTGYNLEDDRCECVAL QDFQAGDQIYIFYGTRSNAEFVIHSGFFFDNNSHDRVKIKLGVSKSDRLYAMKAEVLARA GIPTSSVFALHSTEPPISAQLLAFLRVFCMTEEELKEHLLGDSAIDRIFTLGNAEFPVSW DNEVKLWTFLEDRASLLLKTYKTTIEEDKIVLKNPDLSVRATMAIKLRLGEKEILEKAVK SAAVNREYYRKHMEERAPLPRYEESDLGLLEGGVGDSRLPLVLRKLEEEAGVQESLSLTE TVSKVKAAENGLVNGENLIPNGTRSENESLSPEESENVTGEESSGSMAKVKERL >ENSMUSP00000123159.1 pep:known chromosome:GRCm38:12:108107038:108179227:-1 gene:ENSMUSG00000056770.15 transcript:ENSMUST00000132682.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Setd3 description:SET domain containing 3 [Source:MGI Symbol;Acc:MGI:1289184] MGKKSRVKTQKSGTGATATVSPKEILNLTSELLQKCSSPAPSPGKEWEEYTQIRALVEKI RKKQKGRRIIFMGSTKVTNDC >ENSMUSP00000105505.1 pep:known chromosome:GRCm38:12:108116775:108179216:-1 gene:ENSMUSG00000056770.15 transcript:ENSMUST00000109879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd3 description:SET domain containing 3 [Source:MGI Symbol;Acc:MGI:1289184] MGKKSRVKTQKSGTGATATVSPKEILNLTSELLQKCSSPAPSPGKEWEEYTQIRALVEKI RKKQKGLSVTFDGKREDYFPDLMKWASENGASVEGFEMVNFKEEGFGLRATRDIKAEELF LWVPRKLLMTVESAKNSVLGPLYSQDRILQAMGNIALAFHLLCERASPNSFWQPYIQTLP SEYDTPLYFEEEEVRCLQSTQAIHDVFSQYKNTARQYAYFYKVIQTHPHANKLPLKESFT YEDYRWAVSSVMTRQNQIPTEDGSRVTLALIPLWDMCNHTNGLVKISSWG >ENSMUSP00000121406.1 pep:known chromosome:GRCm38:12:108117034:108179263:-1 gene:ENSMUSG00000056770.15 transcript:ENSMUST00000147466.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Setd3 description:SET domain containing 3 [Source:MGI Symbol;Acc:MGI:1289184] MGKKSRVKTQKSGTGATATVSPKEILNLTSELLQKCSSPAPSPGKEWEEYTQIRALVEKI RKKQKGRRIIFMGSTKVTNDC >ENSMUSP00000122520.2 pep:known chromosome:GRCm38:12:108157984:108179213:-1 gene:ENSMUSG00000056770.15 transcript:ENSMUST00000125916.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd3 description:SET domain containing 3 [Source:MGI Symbol;Acc:MGI:1289184] MNPCSQKMGKKSRVKTQKSGTGATATVSPKEILNLTSELLQKCSSPAPSPGKEWEEYTQI RALVEKIRKKQKGLSVTFDGKREDYFPDLMKWASENGASVEGFEMVNFKEEGFGLRATRD IKAEELFLWVPRKLLMTVESAKNSVLGPLYSQDRI >ENSMUSP00000022038.7 pep:known chromosome:GRCm38:13:59585295:59634798:1 gene:ENSMUSG00000021555.14 transcript:ENSMUST00000022038.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa35 description:N(alpha)-acetyltransferase 35, NatC auxiliary subunit [Source:MGI Symbol;Acc:MGI:1925939] MVMKATVDDDASGWELGVPEKMEKSSTSWVDITQDFEDACRELKLGELLHDKLFGLFEAM SAIEMMDPKMDAGMIGNQVNRKVLNFEQAIKDGTIKIKDLSLPELIGIMDTCFCCLITWL EGHSLAQTVFTCLYIHNPDFIEDPAMKAFALGILKICDIAREKVNKAAVFEEEDFQSMTY GFKMANSVTDLRVTGMLKDVEDDLQRRVKSTRSRQGEERDPEVELEHQQCLAAFSRVKFT RVLLTVLIAFTKKETSAVAEAQKLMVQAADLLSAIHTSLHHGIQAQNGTTKGDHPIMMGF EPLVNQRLLPPTFPRYAKIIKREEMVNYFSRLIDRIKTVCEVVNLPNLHCILDFFCEFSE QSPCVLSRSLLQTTFLVDNKKVFGTHLMQDMVKDALRSFVSPPVLSPKCCLYNNHQAKDC IDSFVTHCVRPFCSLVQIHGHNRARQRDKLGHILEEFATLQDEAEKVDAALHTMLLKQEP QRQHLACLGTWVLYHNLRIMIQYLLSGFELELYSMHEYYYIYWYLSEFLYAWLMSTLSRA DGSQMAEERIMEEQQKGRSSKKTKKKKKVRPLSREITMSQAYQNMCAGMFKTMVAFDMDG KVRKPKFELDSEQVRYEHRFAPFNSVMTPPPVHYLQFKEMSDLNKYSPPPQSPELYVAAS KHFQQAKMILENIPNPDREVSRILKVAKPNFVVMKLLAGGHKKESKVPPEFDFSVHKYFP VVKLV >ENSMUSP00000127466.1 pep:known chromosome:GRCm38:13:59585259:59599790:1 gene:ENSMUSG00000021555.14 transcript:ENSMUST00000168367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa35 description:N(alpha)-acetyltransferase 35, NatC auxiliary subunit [Source:MGI Symbol;Acc:MGI:1925939] MVMKATVDDDASGWELGVPEKMEKSSTSWVDITQDFEDACRELKLGELLHDKLFGLFEAM SAIEMMDPKMDAGMIGNQVNRKVLNFEQAIKDGTIKIKDLSLPELIGIMDT >ENSMUSP00000126079.1 pep:known chromosome:GRCm38:13:59586170:59624517:1 gene:ENSMUSG00000021555.14 transcript:ENSMUST00000172419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa35 description:N(alpha)-acetyltransferase 35, NatC auxiliary subunit [Source:MGI Symbol;Acc:MGI:1925939] ATVDDDASGWELGVPEKMEKSSTSWVDITQDFEDACRELKLGELLHDKLFGLFEAMSAIE MMDPKMDAGMIGNQVNRKVLNFEQAIKDGTIKIKDLSLPELIGIMDTCFCCLITWLEGHS LAQTVFTCLYIHNPDFIEDPAMKAFALGILKICDIAREKVNKAAVFEEEDFQSMTYGFKM ANSVTDLRVTGMLKDVEDDLQRRVKSTRSRQGEERDPEVELETSAVAEAQKLMVQAADLL SAIHTSLHHGIQAQNGTTKGDHPIMMGFEPLVNQRLLPPTFPRYAKIIKREEMVNYFSRL IDRIKTVCEVVNLPNLHCILDFFCEFSEQSPCVLSRSLLQTTFLVDNKKVFGTHLMQDMV KDALRSFVSPPVLSPKCCLYNNHQAKDCIDSFVTHCVRPFCS >ENSMUSP00000127270.1 pep:known chromosome:GRCm38:13:59598116:59617944:1 gene:ENSMUSG00000021555.14 transcript:ENSMUST00000164011.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa35 description:N(alpha)-acetyltransferase 35, NatC auxiliary subunit [Source:MGI Symbol;Acc:MGI:1925939] VNRKVLNFEQAIKDGTIKIKDLSLPELIGIMDTCFCCLITWLEGHSLAQTVFTCLYIHNP DFIEDPAMKAFALGILKICDIAREKVNKAAVFEEEDFQSMTYGFKMANSVTDLRVTGMLK DVEDDLQRRVKSTRSRQGEERDPEVELETIQL >ENSMUSP00000128097.1 pep:known chromosome:GRCm38:13:59585394:59608811:1 gene:ENSMUSG00000021555.14 transcript:ENSMUST00000166923.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa35 description:N(alpha)-acetyltransferase 35, NatC auxiliary subunit [Source:MGI Symbol;Acc:MGI:1925939] MVMKATVDDDASGWELGVPEKMEKSSTSWVDITQDFEDACRELKLGELLHDKLFGLFEAM SAIEMMDPKMDAGMIGNQVNRKVLNFEQAIKDGTIKIKDLSLPELIGIMDTCFCCLITWL EGHSLAQTVFTCLYIHNPDFIEDPAMKAFALGILKICDIAREKEDFQSMTYGFKMANSVT DLRVTGMLKDVEDDLQRRVKSTRSRQGEERDPEVELEHQQCLAAFSRVKFTRVL >ENSMUSP00000132674.1 pep:known chromosome:GRCm38:13:59609502:59624589:1 gene:ENSMUSG00000021555.14 transcript:ENSMUST00000172166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa35 description:N(alpha)-acetyltransferase 35, NatC auxiliary subunit [Source:MGI Symbol;Acc:MGI:1925939] XKLMVQAADLLSAIHTSLHHGIQAQNGTTKGDHPIMMGFEPLVNQRLLPPTFPRYAKIIK REEMVNYFSRLIDRIKTVCEVVNLPNLHCILDFFCEFSEQSPCVLSRSLLQTTFLVDNKK VFGTHLMQDMVKDALRSFVSPPVLSPKEESTESSYREPSICKACCLYNNHQAKDCIDSFV THCVRPFCSLVQIHGHNRARQRDKLGHILEEFA >ENSMUSP00000129049.1 pep:known chromosome:GRCm38:13:59617962:59627955:1 gene:ENSMUSG00000021555.14 transcript:ENSMUST00000165253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa35 description:N(alpha)-acetyltransferase 35, NatC auxiliary subunit [Source:MGI Symbol;Acc:MGI:1925939] LMQDMVKDALRSFVSPPVLSPKCCLYNNHQAKDCIDSFVTHCVRPFCSLVQIHGHNRARQ RDKLGHILEEFATLQDEEPQRQHLACLGTWVLYHNLRIMIQYLLSGFELELYSMHEYYYI YWYLSEFLYAWLMSTLSRADGSQMAEE >ENSMUSP00000078137.4 pep:known chromosome:GRCm38:10:23938572:23941583:1 gene:ENSMUSG00000059763.4 transcript:ENSMUST00000079134.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar2 description:trace amine-associated receptor 2 [Source:MGI Symbol;Acc:MGI:2685071] MASFEAQQETFDCSEYGNGSCPENERSLGVRAAMYSLMACAIFITIFGNLAMIISISYFK QLHTPTNLLILSMAVTDFLLGFTIMPYSMVRSVENCWYFGLTFCKIHYSFDLMLSITSIF HLCSVAVDRFYAICHPLHYCTKMTIPVVRRLLLVCWSVPGAFAFGVVFSEAYADGIEGYD ILVACSSSCPVMFNKLWGTTLFVAGFFTPSSMMVGIYGKIFAVSKKHARVIDNLPENQNN QMRKDKKAAKTLGIVMGVFLLCWFPCFFTILLDPFLNFSTPAVLFDALTWFGYFNSTCNP LIYGFFYPWFRRALKYILLGKIFSSHFHNTNLFTQKETE >ENSMUSP00000129770.1 pep:known chromosome:GRCm38:14:41402885:41408281:-1 gene:ENSMUSG00000092142.1 transcript:ENSMUST00000168128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6482 description:predicted gene 6482 [Source:MGI Symbol;Acc:MGI:3644578] XRSSWVPKQACCPCSSGYFGERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSAGAASP QAPTINDQEKRHERLEKLKRELQNVKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEEGNTETKILCKEGSQKIKDHYTKQKQV >ENSMUSP00000116291.1 pep:known chromosome:GRCm38:10:5825663:5922386:-1 gene:ENSMUSG00000019775.17 transcript:ENSMUST00000131996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs17 description:regulator of G-protein signaling 17 [Source:MGI Symbol;Acc:MGI:1927469] MRKRQQSQNEGTQAVSQAPGNQRPNNTCCFCWCCCCSCSCLTVRNEERGDSSGRSPHTTK MESIQVLEECQNPTADEVLSWSQNFDKMMKTPAGRNLFREFLRTEYSEENLLFWLACEDL KKEQNKKAVEEKARMIYEDYISILSPKEVSLDSRVREVINRSLLDPSPHMYEDAQLQIYT LMHRDSFPRFLNSQIYKAFVESTTSCTSES >ENSMUSP00000113519.1 pep:known chromosome:GRCm38:10:5832169:5922366:-1 gene:ENSMUSG00000019775.17 transcript:ENSMUST00000117676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs17 description:regulator of G-protein signaling 17 [Source:MGI Symbol;Acc:MGI:1927469] MRKRQQSQNEGTQAVSQAPGNQRPNNTCCFCWCCCCSCSCLTVRNEERGDSSGRSPHTTK MESIQVLEECQNPTADEVLSWSQNFDKMMKTPAGRNLFREFLRTEYSEENLLFWLACEDL KKEQNKKAVEEKARMIYEDYISILSPKEVSLDSRVREVINRSLLDPSPHMYEDAQLQIYT LMHRDSFPRFLNSQIYKAFVESTTSCTSES >ENSMUSP00000019909.6 pep:known chromosome:GRCm38:10:5833096:5922366:-1 gene:ENSMUSG00000019775.17 transcript:ENSMUST00000019909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs17 description:regulator of G-protein signaling 17 [Source:MGI Symbol;Acc:MGI:1927469] MRKRQQSQNEGTQAVSQAPGNQRPNNTCCFCWCCCCSCSCLTVRNEERGDSSGRSPHTTK MESIQVLEECQNPTADEVLSWSQNFDKMMKTPAGRNLFREFLRTEYSEENLLFWLACEDL KKEQNKKAVEEKARMIYEDYISILSPKEVSLDSRVREVINRSL >ENSMUSP00000065825.7 pep:known chromosome:GRCm38:10:5825663:5922400:-1 gene:ENSMUSG00000019775.17 transcript:ENSMUST00000064225.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs17 description:regulator of G-protein signaling 17 [Source:MGI Symbol;Acc:MGI:1927469] MGFFSKKLLLRWQLGSEAADMRKRQQSQNEGTQAVSQAPGNQRPNNTCCFCWCCCCSCSC LTVRNEERGDSSGRSPHTTKMESIQVLEECQNPTADEVLSWSQNFDKMMKTPAGRNLFRE FLRTEYSEENLLFWLACEDLKKEQNKKAVEEKARMIYEDYISILSPKEVSLDSRVREVIN RSLLDPSPHMYEDAQLQIYTLMHRDSFPRFLNSQIYKAFVESTTSCTSES >ENSMUSP00000064613.4 pep:known chromosome:GRCm38:X:91392500:91393534:1 gene:ENSMUSG00000094861.1 transcript:ENSMUST00000063726.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5072 description:predicted gene 5072 [Source:MGI Symbol;Acc:MGI:3643982] MPRGNKSKSRSRAKRQQMRGEKPKLQGAQPTGKEEGKAASPALDQGDGPSSSDRGTAQES QEAASHISPELNVSHPVHDVGAEGSFAGVDERRANVSIIADAIQCARRDPLTRKASKVLH YLLEKYQKDEQPVEGEMLKLIGRKYKVHFPQILEKATYQLELVYGLELKVDNPATHSYVL VSKLPILPGADVGGRRELPKTGLILTILGMIFMKGNRATEEEVWQFLHMQGIYPGRRHLI FGEPRNFITKVLVEQNYVEYRQVPGSDPPTHEFLWGPRAHEESTYRKVMDILAKIKSAIP SYYPQQYEETLSNQAERAARRDEAGGFHAARIPSHAQASSSSHI >ENSMUSP00000025554.2 pep:known chromosome:GRCm38:19:9083636:9087958:-1 gene:ENSMUSG00000024653.2 transcript:ENSMUST00000025554.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1a1 description:secretoglobin, family 1A, member 1 (uteroglobin) [Source:MGI Symbol;Acc:MGI:98919] MKIAITITVVMLSICCSSASSDICPGFLQVLEALLMESESGYVASLKPFNPGSDLQNAGT QLKRLVDTLPQETRINIMKLTEKILTSPLCKQDLRF >ENSMUSP00000093503.2 pep:known chromosome:GRCm38:12:17003319:17011727:-1 gene:ENSMUSG00000071398.8 transcript:ENSMUST00000095823.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410004P03Rik description:RIKEN cDNA 2410004P03 gene [Source:MGI Symbol;Acc:MGI:1920917] MGSQHRGLPRTTSAGYKPPPTRQLASISAALGDGPAASRALAGGCQGTPALGVQQDQLWR ELVEAEARGQRRWAENWGFLKDYDPLGNKKEPQELPASVPFFSDTFPCSTNREVGSRVDT PLGKALGHMDFFFVEGTRKRKPDDELQPV >ENSMUSP00000140390.1 pep:known chromosome:GRCm38:12:17005590:17011554:-1 gene:ENSMUSG00000071398.8 transcript:ENSMUST00000190691.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410004P03Rik description:RIKEN cDNA 2410004P03 gene [Source:MGI Symbol;Acc:MGI:1920917] MGSQHRGLPRTTSAGYKPPPTRQLASISAALGDGPAASRALAGGCQGTPALGVQQDQLWR ELVEAEARGQRRWEIRRSLRSCLPVCPSSQIPSPALPTGRWAAGWTHPWGKPSATWTSSL WKALGRGSRMMSCSQCSLGAGHSRQFLGPTGSRLGSTRECQGQLNQESLQETTAYSVCFT LEATASPTYPLPPPHLQTLQEGACF >ENSMUSP00000140028.1 pep:known chromosome:GRCm38:12:17007140:17011503:-1 gene:ENSMUSG00000071398.8 transcript:ENSMUST00000189479.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410004P03Rik description:RIKEN cDNA 2410004P03 gene [Source:MGI Symbol;Acc:MGI:1920917] MGSQHRGLPRTTSAGYKPPPTRQLASISAALGDGPAASRALAGGCQGTPALGVQQDQLWR ELVEAEARGQRRWAENWGFLKDYDPLGNKKEPQELPASVPFFSDTFPCSTNREVGSRV >ENSMUSP00000119806.1 pep:known chromosome:GRCm38:7:89917529:89941204:-1 gene:ENSMUSG00000062797.12 transcript:ENSMUST00000153470.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:l7Rn6 description:lethal, Chr 7, Rinchik 6 [Source:MGI Symbol;Acc:MGI:96738] MFGCLVAGRLVQTAAQQVAEDKFVFDLPDYENINHVVVFMLGTIPFPEGMGGSVYFSYPD SNGVPVWQLLGFVTNGKPSAIFKISGLKSGEGSQHPFGAMNIVRTPSVAQIGISVELLDS LAQQTPVGSAAVSSVDSFTQFTQKMLDNFYNFASSFALSQAQMTPNPSEMFIPANVVLKW YENFQRRLAQNPLFWKT >ENSMUSP00000147050.1 pep:known chromosome:GRCm38:7:89918688:89941126:-1 gene:ENSMUSG00000062797.12 transcript:ENSMUST00000207309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:l7Rn6 description:lethal, Chr 7, Rinchik 6 [Source:MGI Symbol;Acc:MGI:96738] MFGCLVAGRLVQTAAQQVAEDKFVFDLPDYENINHVVVFMLGTIPFPEGMGGSVYFSYPD SNGVPVWQLLGFVTNGKPSAIFKISGLKSVHTEDVGQLLQFCFIICSLSGPDDTKSIRNV HPSKCGSEMV >ENSMUSP00000077951.3 pep:known chromosome:GRCm38:7:89918703:89941066:-1 gene:ENSMUSG00000062797.12 transcript:ENSMUST00000078918.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:l7Rn6 description:lethal, Chr 7, Rinchik 6 [Source:MGI Symbol;Acc:MGI:96738] MFGCLVAGRLVQTAAQQVAEDKFVFDLPDYENINHVVVFMLGTIPFPEGMGGSVYFSYPD SNGVPVWQLLGFVTNGKPSAIFKISGLKSG >ENSMUSP00000102856.1 pep:known chromosome:GRCm38:7:89918920:89941022:-1 gene:ENSMUSG00000062797.12 transcript:ENSMUST00000075010.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:l7Rn6 description:lethal, Chr 7, Rinchik 6 [Source:MGI Symbol;Acc:MGI:96738] MLGTIPFPEGMGGSVYFSYPDSNGVPVWQLLGFVTNGKPSAIFKISGLKSGEGSQHPFGA MNIVRTPSVAQIGISVELLDSLAQQTPVGSAAVSSVDSFTQFTQKMLDNFYNFASSFALS QAQMTPNPSEMFIPANVVLKWYENFQRRLAQNPLFWKT >ENSMUSP00000146855.1 pep:known chromosome:GRCm38:7:89919572:89923715:-1 gene:ENSMUSG00000062797.12 transcript:ENSMUST00000150740.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:l7Rn6 description:lethal, Chr 7, Rinchik 6 [Source:MGI Symbol;Acc:MGI:96738] XEGSQHPFGAMNIVRTPSVAQIGISVELLDSLAQQTPVGSAAVSSVDSFTQFTQKMLDNF YNFASSFALSQAQMTPNPSEMFIPANVVLKWYEPIPISSI >ENSMUSP00000147023.1 pep:known chromosome:GRCm38:7:89923690:89941165:-1 gene:ENSMUSG00000062797.12 transcript:ENSMUST00000130609.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:l7Rn6 description:lethal, Chr 7, Rinchik 6 [Source:MGI Symbol;Acc:MGI:96738] MENGKQKRPRLEEQGKEASTHL >ENSMUSP00000116071.1 pep:known chromosome:GRCm38:4:142102390:142210206:-1 gene:ENSMUSG00000040606.13 transcript:ENSMUST00000155023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kazn description:kazrin, periplakin interacting protein [Source:MGI Symbol;Acc:MGI:1918779] XLLREEVVQLQEEVHLLRQMKEMLAKDLEESQGGKCSEVLSATELRVQLVQKEQELARAK EALQAMKADRKRLKGEKTDLVSQMQQLYATLESREEQLRDFIRNYEQHRKESEDAVKALA KEKDLLEREKWELRRQAKEATDHAAALRSQLDLKDNRMKELEAELAMAKQSLATLTKDVP KRHSLAMPGETVLNGNQEWVVQADLPLTAAIRQSQQTLYHSHPPHPADRQVRVSPCHSRQ PSVISDASAAEGDRSSTPSDINSPRHRTHSLCNGDSPGPVQKSLHNPIVQSLEDLEDQKR KKKKEKMGFGSISRVFARGKQRKSLDPGLFDDSDSQCSPTRHSLSLSEGEEQMDRLQHVE LVRTTPMSHWKAGTVQAWLEVVMAMPMYVKACAENVKSGKVLLSLSDEDLELGLGVCSSL HRRKLRLAIEDYRDAEAGRSLSKAADLDHHWVAKAWLNDIGLSQYSQAFQNHLVDGRMLN SLMKRDLEKHLNVSKKFHQVSILLGIELLYQVNFSREALQERRARCETQNTDPVVWTNQR VLKWVRDIDLKEYADNLTNSGVHGAVLVLEPTFNAEAMATALGIPSGKHILRRHLAEEMS TIFHPSNSTGIRESERFGTPPGRASSITRAGREDSGGNSKHRAGRLPLGKIGRGFSSKEP DFHDDYGSLENEDCGDEDLQGRPEQCRLEGYGSLEVTNV >ENSMUSP00000134498.1 pep:known chromosome:GRCm38:4:142118233:142159054:-1 gene:ENSMUSG00000040606.13 transcript:ENSMUST00000173068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kazn description:kazrin, periplakin interacting protein [Source:MGI Symbol;Acc:MGI:1918779] XHRKESEDAVKALAKEKDLLEREKWELRRQAKEATDHAAALRSQLDLKDNRMKELEAELA MAKQSLATLTKDVPKRHSLAMPGETVLNGNQEWVVQADLPLTAAIRQSQQTLYHSHPPHP ADRQAVRVSPCHSRQPSVISDASAAEGDRSSTPSDINSPRHRTHSLCNGDSPGPVQKSLH NPIVQSLEDLEDQKRKKKKEKMGFGSISRVFARGKQRKSLDPGLFDDSDSQCSPTRHSLS LS >ENSMUSP00000038835.3 pep:known chromosome:GRCm38:4:142139240:142239401:-1 gene:ENSMUSG00000040606.13 transcript:ENSMUST00000036476.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kazn description:kazrin, periplakin interacting protein [Source:MGI Symbol;Acc:MGI:1918779] MRAADSGSWERVRQLAAYGQPTPSCGRDTGSARVPEPGACKLCADTTGLREQQGAGAVPD AADGFGIQPVLLREEVVQLQEEVHLLRQMKEMLAKDLEESQGGKCSEVLSATELRVQLVQ KEQELARAKEALQAMKADRKRLKGEKTDLVSQMQQLYATLESREEQLRDFIRNYEQHRKE SEDAVKALAKEKDLLEREKWELRRQAKEATDHAAALRSQLDLKDNRMKELEAELAMAKQS LATLTKDVPKRHSLAMPGETVLNGNQEWVVQADLPLTAAIRQSQQTLYHSHPPHPADRQV RVSPCHSRQPSVISDASAAEGDRSSTPSDINSPRHRTHSLCNGDSPGPVQKSLHNPIVQS LEDLEDQKRKKKKEKMGFGSISRVFARGKQRKSLDPGLFDGTAPDYYIEEDADW >ENSMUSP00000115897.1 pep:known chromosome:GRCm38:4:142139243:142210108:-1 gene:ENSMUSG00000040606.13 transcript:ENSMUST00000129032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kazn description:kazrin, periplakin interacting protein [Source:MGI Symbol;Acc:MGI:1918779] GKCSEVLSATELRVQLVQKEQELARAKEALQAMKADRKRLKGEKTDLVSQMQQLYATLES REEQLRDFIRNYEQHRKESEDAVKALAKEKDLLEREKWELRRQAKEATDHAAALRSQLDL KDNRMKELEAELAMAKQSLATLTKDVPKRHSLAMPGETVLNGNQEWVVQADLPLTAAIRQ SQQTLYHSHPPHPADRQAVRVSPCHSRQPSVISDASAAEGDRSSTPSDINSPRHRTHSLW RQSRPSSEEPTQPYCTVTRGS >ENSMUSP00000133972.1 pep:known chromosome:GRCm38:4:142140808:142210206:-1 gene:ENSMUSG00000040606.13 transcript:ENSMUST00000172864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kazn description:kazrin, periplakin interacting protein [Source:MGI Symbol;Acc:MGI:1918779] XLLREEVVQLQEEVHLLRQMKEMLAKDLEESQGGKCSEVLSATELRVQLVQKEQELARAK EALQAMKADRKRLKGEKTDLVSQMQQLYATLESREEQLRDFIRNYEQHRKESEDAVKALA KEKDLLEREKWELRRQAKEATDHAAALRSQLDLKDNRMKELEAELAMAKQSLATLTKDVP KRHSLAMPGETVLNGNQEWVVQADLPLTAAIRQSQQTLYHSHPPHPADRQVRVSPCHSRQ PSVISDASAAEGDRSSTPSDINSPRHRTHSLCNGDSPGPVQKSLHNPIVQSLEDLEDQKR KKKKEKMGFGSISRVFARGKQRKSLDPGLFDGTAPDYYIEEDADW >ENSMUSP00000134631.1 pep:known chromosome:GRCm38:4:142141541:142210206:-1 gene:ENSMUSG00000040606.13 transcript:ENSMUST00000174432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kazn description:kazrin, periplakin interacting protein [Source:MGI Symbol;Acc:MGI:1918779] XLLREEVVQLQEEVHLLRQMKEMLAKDLEESQGGKCSEVLSATELRVQLVQKEQELARAK EALQAMKADRKRLKGEKTDLVSQMQQLYATLESREEQLRDFIRNYEQHRKESEDAVKALA KEKDLLEREKWELRRQAKEATDHAAALRSQLDLKDNRMKELEAELAMAKQSLATLTKDVP KRHSLAMPGETVLNGNQEWVVQADLPLTAAIRQSQQTLYHSHPPHPADRQAVRVSPCHSR QPSVISDASAAEGDRSSTPSDINSPRHRTHSLCNGDSPGPVQKSLHNPIVQSLEDLEDQK RKKKKEKMGFGSISRVFARGKQRKSLDPGLFDGTAPDYYIEEDADW >ENSMUSP00000110902.1 pep:known chromosome:GRCm38:9:27299228:27330135:1 gene:ENSMUSG00000034275.17 transcript:ENSMUST00000115247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf9b description:immunoglobulin superfamily, member 9B [Source:MGI Symbol;Acc:MGI:2685354] MIWYVATLIASVISTRGLVAQGAHGLREEPEFVTARAGEGVVLRCDVIHPVTGQPPPYVV EWFKFGVPIPIFIKFGYYPPHVDPEYAGRASLHDKASLRLEQVRSEDQGWYECKVLMLDQ QYDTFHNGSWVHLTINAPPTFTETPPQYIEAKEGGSITMTCTAFGNPKPIVTWLKEGTLL GASAKYQVSDGSLTVTSVSREDRGAYTCRAYSIQGEAVHTTHLLVQGPPFIVSPPENITV NISQDALLTCRAEAYPGNLTYTWYWQDENVYFQNDLKLRVRILIDGTLIIFRVKPEDAGK YTCVPSNSLGRSPSASAYLTVQYPARVLNMPPVIYVPVGIHGYIRCPVDAEPPATVVKWN KDGRPLQVEKNLGWTLMEDGSIRIEEATEEALGTYTCVPYNTLGTMGQSAPARLVLKDPP YFTVLPGWEYRQEAGRELLIPCAAAGDPFPVITWRKVGKPSRSKHNALPSGSLQFRALSK EDHGEWECVATNVVTSITASTHLTVIGTSPHAPGSVRVHVSMTTANVSWEPGYDGGYEQT FSVWMKRAQFGPHDWLSLSVPPGPSWLLVDSLEPETAYQFSVLAQNRLGTSAFSEVVTVN TLAFPVTTPEPLVLVTPPRCLTANRTQQGVLLSWLPPANHSFPIDRYIMEFRVGERWEML DDAIPGTDGDFFAKDLSQDTWYEFRVLAVMQDLISEPSNIAGVSSTGTLGSKIVKQQPES KH >ENSMUSP00000117017.1 pep:known chromosome:GRCm38:9:27299230:27334763:1 gene:ENSMUSG00000034275.17 transcript:ENSMUST00000133213.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf9b description:immunoglobulin superfamily, member 9B [Source:MGI Symbol;Acc:MGI:2685354] MIWYVATLIASVISTRGLVAQGAHGLREEPEFVTARAGEGVVLRCDVIHPVTGQPPPYVV EWFKFGVPIPIFIKFGYYPPHVDPEYAGRASLHDKASLRLEQVRSEDQGWYECKVLMLDQ QYDTFHNGSWVHLTINAPPTFTETPPQYIEAKEGGSITMTCTAFGNPKPIVTWLKEGTLL GASAKYQVSDGSLTVTSVSREDRGAYTCRAYSIQGEAVHTTHLLVQGPPFIVSPPENITV NISQDALLTCRAEAYPGNLTYTWYWQDENVYFQNDLKLRVRILIDGTLIIFRVKPEDAGK YTCVPSNSLGRSPSASAYLTVQYPARVLNMPPVIYVPVGIHGYIRCPVDAEPPATVVKWN KDGRPLQVEKNLGWTLMEDGSIRIEEATEEALGTYTCVPYNTLGTMGQSAPARLVLKDPP YFTVLPGWEYRQEAGRELLIPCAAAGDPFPVITWRKVGKPSRSKHNALPSGSLQFRALSK EDHGEWECVATNVVTSITASTHLTVIGTSPHAPGSVRVHVSMTTANVSWEPGYDGGYEQT FSVWMKRAQFGPHDWLSLSVPPGPSWLLVDSLEPETAYQFSVLAQNRLGTSAFSEVVTVN TLAFPVTTPEPLVLVTPPRCLTANRTQQGVLLSWLPPANHSFPIDRYIMEFRVGERWEML DDAIPGTDGDFFAKDLSQDTWYEFRVLAVMQDLISEPSNIAGVSSTDIFPQPDLTDDGLA RPVLAGIVATICFLAAAILFSTLAACFVNKQRKRKLKRKKDPPLSITHCRKSLESPLSSG KVSPESIRTLRAPSESSDDQGQPAAKRMLSPTREKELSLYKKTKRAISSRKYSVAKAEAE AEATTPIELISRGPDGRFVMGPSEMEPSVKGRRIEGFPFAEETDMYPEFRQSDEENEDPL VPTSVAALKPQLTPMSSSQDSYLPPPAYSPRFQPRGLEGPSGLGGRLQATGQARPPAPRP FQHGQYYGYLSSSSPGEVEPPPFYMPEVGSPLSSVMSSPPLHTEGPFGHPTIPEENGENA SNSTLPLTQTPTGGRSPEPWGRPEFPFGGLETPAMMFPHQLHPCDVAESLQPKACLPRGL PPAPLQVPAAYPGMLSLEAPKGWVGKSPGRGPIPAPPATKWQERPMQPLVSQGQLRHTSQ GMGIPVLPYPEPAEPGGHGGPSTFGLDTRWYEPQPRPRPSPRQARRAEPSLHQVVLQPSR LSPLTQSPLSSRTGSPELAARARPRPGLLQQAEMSEITLQPPAAVSFSRKSTPSSTGSPS QSSRSGSPSYRPTMGFTTLATGYPSPPPGPAPPAPGDTLDVFGQTPSPRRMGEEPLRPEP PTTLPTSG >ENSMUSP00000036817.4 pep:known chromosome:GRCm38:10:23949558:23950589:1 gene:ENSMUSG00000069708.3 transcript:ENSMUST00000045152.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar3 description:trace amine-associated receptor 3 [Source:MGI Symbol;Acc:MGI:3527427] MDLIYIPEDLSSCPKFGNKSCPPTNRSFRVRMIMYLFMTGAMVITIFGNLVIIISISHFK QLHSPTNFLILSMATTDFLLGFVIMPYSMVRSVESCWYFGDSFCKFHASFDMMLSLTSIF HLCSIAIDRFYAVCDPLHYTTTMTVSMIKRLLAFCWAAPALFSFGLVLSEANVSGMQSYE ILVACFNFCALTFNKFWGTILFTTCFFTPGSIMVGIYGKIFIVSRRHARALSDMPANTKG AVGKNLSKKKDRKAAKTLGIVMGVFLACWLPCFLAVLIDPYLDYSTPIIVLDLLVWLGYF NSTCNPLIHGFFYPWFRKALQFIVSGKIFRSNSDTANLFPEAH >ENSMUSP00000125789.1 pep:known chromosome:GRCm38:7:98835112:98846587:1 gene:ENSMUSG00000015957.12 transcript:ENSMUST00000165122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt11 description:wingless-type MMTV integration site family, member 11 [Source:MGI Symbol;Acc:MGI:101948] MRARPQVCEALLFALALHTGVCYGIKWLALSKTPAALALNQTQHCKQLEGLVSAQVQLCR SNLELMRTIVHAARGAMKACRRAFADMRWNCSSIELAPN >ENSMUSP00000126428.1 pep:known chromosome:GRCm38:7:98835112:98853811:1 gene:ENSMUSG00000015957.12 transcript:ENSMUST00000163913.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wnt11 description:wingless-type MMTV integration site family, member 11 [Source:MGI Symbol;Acc:MGI:101948] MRARPQVCEALLFALALHTGVCYGIKWLCSSAAATWSSCAPSCTPPGGP >ENSMUSP00000064333.2 pep:known chromosome:GRCm38:7:98835131:98855195:1 gene:ENSMUSG00000015957.12 transcript:ENSMUST00000067495.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt11 description:wingless-type MMTV integration site family, member 11 [Source:MGI Symbol;Acc:MGI:101948] MRARPQVCEALLFALALHTGVCYGIKWLALSKTPAALALNQTQHCKQLEGLVSAQVQLCR SNLELMRTIVHAARGAMKACRRAFADMRWNCSSIELAPNYLLDLERGTRESAFVYALSAA TISHTIARACTSGDLPGCSCGPVPGEPPGPGNRWGGCADNLSYGLLMGAKFSDAPMKVKK TGSQANKLMRLHNSEVGRQALRASLETKCKCHGVSGSCSIRTCWKGLQELQDVAADLKTR YLSATKVVHRPMGTRKHLVPKDLDIRPVKDSELVYLQSSPDFCMKNEKVGSHGTQDRQCN KTSNGSDSCDLMCCGRGYNPYTDRVVERCHCKYHWCCYVTCRRCERTVERYVCK >ENSMUSP00000132166.1 pep:known chromosome:GRCm38:7:98838845:98853751:1 gene:ENSMUSG00000015957.12 transcript:ENSMUST00000167303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt11 description:wingless-type MMTV integration site family, member 11 [Source:MGI Symbol;Acc:MGI:101948] MRARPQVCEALLFALALHTGVCYGIKWLALSKTPAALALNQTQHCKQLEGLVSAQVQLCR SNLELMRTIVHAARGAMKACRRAFADMRWNCSSIELAPNYLLDLERGTRESAFVYALSAA TISHTIARACTSGDLPGCSCGPVPGEPPGPGNRWGGCADNLSYGLLMGAKFSDAPMKVKK TGSQANKLMRLHNSEVGRQALRASLETKCKCHGVSGSCSIRTCWKGLQELQDVAADLKTR YLSATKVVHRPMGTRKHLVPKDLDIRPVKDSELVYLQSSPDFCMKNEKVGSHGTQDRQCN KTSNGSDSCDLMCCGRGYNPYTDRVVERCHCKYHWCCYVTCRRCERTVERYVCK >ENSMUSP00000131770.1 pep:known chromosome:GRCm38:7:98838898:98853571:1 gene:ENSMUSG00000015957.12 transcript:ENSMUST00000165240.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wnt11 description:wingless-type MMTV integration site family, member 11 [Source:MGI Symbol;Acc:MGI:101948] MRARPQVCEALLFALALHTGVCYGIKWLALSKTPAALALNQTQHCKQLEGLVSAQVQLCR SNLELMRTIVHAARGAMKACRRAFADMRWNCSSIELAPNYLLDLERGTRESAFVYALSAA TISHTIARACTSGDLPGCSCGPVPGSTCLPGNEV >ENSMUSP00000098944.2 pep:known chromosome:GRCm38:X:91480126:91481160:1 gene:ENSMUSG00000096072.1 transcript:ENSMUST00000101396.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8914 description:predicted gene 8914 [Source:MGI Symbol;Acc:MGI:3646464] MPRGNKSKSRSRAKRQQMRGEKPKLQGAQPTGKEEGKAASPALDQGDGPSSSDRGTAQES QEAASHISPELNVSHPVHDVGAEGSFAGVDERRANVSIIADAIQCARRDPLTRKASKVLH YLLEKYQKDEQPVEGEMLKLIGRKYKVHFPQILEKATYQLELVYGLELKVDNPATHSYVL VSKLPILPGADVGGRRELPKTGLILTILGMIFMKGNRATEEEVWQFLHMQGIYPGRRHLI FGEPRNFITKVLVEQNYVEYRQVPGSDPPTHEFLWGPRAHEESTYRKVMDILAKIKSAIP SYYPQQYEETLSNQAERAARRDEAGGFHAARIPSHAQASSSSHI >ENSMUSP00000090330.1 pep:known chromosome:GRCm38:10:23960494:23961537:1 gene:ENSMUSG00000069707.1 transcript:ENSMUST00000092660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar4 description:trace amine-associated receptor 4 [Source:MGI Symbol;Acc:MGI:2685072] MNTPDPWSSPEVQFCFAAANSSCPRKARPALVVCAMYLIMIGAIVMTMLGNMAVIISIAH FKQLHSPTNFLILSMATTDFLLSCVVMPFSMIRSIESCWYFGDLFCKVHSCCDIMLCTTS IFHLCFISVDRHYAVCDPLHYVTQITTRVVGVFLLISWSVPIFFAFGLVFSELNLIGAED FVAAIDCTGLCVLIFNKLWGVLASFIAFFLPGTVMVGIYIHIFTVAQKHARQIGTGPRTK QALSESKMKATSKKESKATKTLSIVMGVFVLCWLPFFVLTITDPFIDFTTPEDLYNVFLW LGYFNSTFNPIIYGMFYPWFRKALRMIVTGTIFRSDSSTSSLHPAHP >ENSMUSP00000136334.1 pep:known chromosome:GRCm38:19:3958645:3972103:1 gene:ENSMUSG00000037263.13 transcript:ENSMUST00000179433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3b3 description:aldehyde dehydrogenase 3 family, member B3 [Source:MGI Symbol;Acc:MGI:1920708] MSTKGKHPRADQGTDPFEEKLQRLKEAFNTGKTKTAKFRAEQLQSLGRFLQDNSKQLHDA LDGDLGKSGFESDMSEIILCENEVDLALKNLQTWMKDEPVSTNLLTKLSTAFIRKEPFGL VLIIAPWNYPVNLMIIPLVGAIAAGNCVVLKPSEISKNTEKVLAELLPQYLDQSCFAVML GGPEETGQLLEHKFDYIFFTGSPRVGKIVMTAAAKHLTPITLELGGKNPCYVDDNCDPQT VANRVAWFRYFNAGQTCVAPDYILCSQEMQEQLVPALQNAITRFYGDNPQTSPNLGRIIN QKHFKRLQGLLGCGRVAIGGQSDEGERYIAPTVLVDVQETEPVMQEEIFGPILPLVTVRS LDEAIEFMNQREKPLALYAYSNNAEVIKQVLARTSSGGFCGNDGFMYMTLSSLPFGGVGS SGMGRYHGKFSFDTFSNQRACLLSCPGMEKLNGLRYPPYSPRRQQLLRWAIGSESCTLL >ENSMUSP00000140893.1 pep:known chromosome:GRCm38:19:3958806:3972102:1 gene:ENSMUSG00000037263.13 transcript:ENSMUST00000189124.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aldh3b3 description:aldehyde dehydrogenase 3 family, member B3 [Source:MGI Symbol;Acc:MGI:1920708] MSTKGKHPRADQGTDPFEEKLQRLKEAFNTGKTKTAKFRAEQLQSLGRFLQDNSKQLHDA LDGDLGKASSQT >ENSMUSP00000025794.5 pep:known chromosome:GRCm38:19:3965900:3970438:1 gene:ENSMUSG00000037263.13 transcript:ENSMUST00000025794.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3b3 description:aldehyde dehydrogenase 3 family, member B3 [Source:MGI Symbol;Acc:MGI:1920708] XTSPNLGRIINQKHFKRLQGLLGCGRVAIGGQSDEGERYIGHQTGVGPDQQRGLLWERWL HVHDPVQPSFWRSGIEWDGQVPRQVLL >ENSMUSP00000141331.1 pep:known chromosome:GRCm38:1:180641150:180690687:1 gene:ENSMUSG00000058729.13 transcript:ENSMUST00000192561.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin9 description:lin-9 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1919818] MHRGGQPLKKRRGSFKMAELDQLPDESSSAKALVSLKEGSLSNTWNEKYSSLQKTPVWKG RNAGPAVEMPFRNSKRSRLFSDEDDRQINTKSPKRNQRVAMIPQKFTATMSTPDKKASQK IGFRLRNLLKLPKAHKWCIYEWFYSNIDKPLFEGDNDFCVCLKESFPNLKTRKLTRVEWG KIRRLMGKPRRCSSAFFEEERSALKQKRQKIRLLQQRKVADVSQFKDLPDEIPLPLVIGT KVTARLRGIHDGLFTGQIDAVDTLNATYRVTFDRTGLGTHTIPDYEVLSNEPHETMPISA FGQKQRPSRFFMTPPRLHYTPPLQSPITDGDPLLGQSPWRSKVSGSDTETLGGFPVEFLI QVTKLSKILMIKKEHIKKLREMNTEAEKLKSYSMPIGIEFQRRYATIVLELEQLNKDLNK VLHKVQQYCYELAPDQGLQPADQPTDMRRRCEEEAQEIVRQANSASGQPCVENENLTDLI SRLTAILLQIKCLAEGGDLNSFEFKSLTDSLNDIKNTIDASNISCFQNNVEIHVAHIQSG LSQMGNLHAFAANNTNRD >ENSMUSP00000141503.1 pep:known chromosome:GRCm38:1:180641334:180690694:1 gene:ENSMUSG00000058729.13 transcript:ENSMUST00000192725.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin9 description:lin-9 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1919818] QPLKKRRGSFKMAELDQLPDESSSAKALVSLKEGSLSNTWNEKYSSLQKTPVWKGRNAGP AVEMKFTATMSTPDKKASQKIGFRLRNLLKLPKAHKWCIYEWFYSNIDKPLFEGDNDFCV CLKESFPNLKTRKLTRVEWGKIRRLMGKPRRCSSAFFEEERSALKQKRQKIRLLQQRKVA DVSQFKDLPDEIPLPLVIGTKVTARLRGIHDGLFTGQIDAVDTLNATYRVTFDRTGLGTH TIPDYEVLSNEPHETMPISAFGQKQRPSRFFMTPPRLHYTPPLQSPITDGDPLLGQSPWR SKVSGSDTETLGGFPVEFLIQVTKLSKILMIKKEHIKKLREMNTEAEKLKSYSMPIGIEF QRRYATIVLELEQLNKDLNKVLHKVQQYCYELAPDQGLQPADQPTDMRRRCEEEAQEIVR QANSASGQPCVENENLTDLISRLTAILLQIKCLAEGGDLNSFEFKSLTDSLNDIKNTIDA SNISCFQNNVEIHVAHIQSGLSQMGNLHAFAANNTNRD >ENSMUSP00000141530.1 pep:known chromosome:GRCm38:1:180641360:180690687:1 gene:ENSMUSG00000058729.13 transcript:ENSMUST00000193892.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lin9 description:lin-9 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1919818] MAELDQLPDESSSAKALVSLKEGSLSNTWNEKYSSLQKTPVWKGRNAGPAVEMPFRNSKR SRLFSDEDDRQINTKSPKRNQRVAMIPQKFTATMSTPDKKASQKIGFRLRNLLKLPKAHK WCIYEWFYSNIDKPLFEGDNDFCVCLKESFPNLKTRKLTRVEWGKIRRLMGKPRRCSSAF FEEERSALKQKRQKIRLLQQRKVADVSQFKDLPDEIPLPLVIGTKVTARLRGIHDGLFTG QIDAVDTLNATYRVTFDRTGLGTHTIPDYEVL >ENSMUSP00000142096.1 pep:known chromosome:GRCm38:1:180661477:180674093:1 gene:ENSMUSG00000058729.13 transcript:ENSMUST00000194638.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lin9 description:lin-9 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1919818] XPLFEGDNDFCVCLKESFPNLKTRKLTRVEWGKIRRLMGKPRREISLKTEAAENQAVTTK ESCRCFTVQRSPR >ENSMUSP00000090329.2 pep:known chromosome:GRCm38:10:23970706:23971719:1 gene:ENSMUSG00000069706.3 transcript:ENSMUST00000092659.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar5 description:trace amine-associated receptor 5 [Source:MGI Symbol;Acc:MGI:2685073] MRAVLLPGSGEQPTAFCYQVNGSCPRTVHPLAIQVVIYLACAVGVLITVLGNLFVVFAVS YFKVLHTPTNFLLLSLALADMLLGLLVLPLSTVRSVESCWFFGDFLCRLHTYLDTLFCLT SIFHLCFISIDRHCAICDPLLYPSKFTVRTALRYIVAGWGIPAAYTAFFLYTDVVERALS QWLEEMPCVGSCQLLFNKFWGWLNFPAFFVPCLIMISLYLKIFVVATRQAQQIRTLSQSL AGAVKRERKAAKTLGIAVGIYLVCWLPFTVDTLVDSLLNFITPPLVFDIFIWFAYFNSAC NPIIYVFSYRWFRKALKLLLSREIFSPRTPTVDLYHD >ENSMUSP00000146795.1 pep:known chromosome:GRCm38:7:18718090:18727248:1 gene:ENSMUSG00000044903.15 transcript:ENSMUST00000208221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg22 description:pregnancy-specific glycoprotein 22 [Source:MGI Symbol;Acc:MGI:1891354] MEVSSELLSNGWTSWQRVLLTASLLTCWLLPITAGVTIESVPPKLVEGENVLLRVDNLPE NLRVFVWYRGVTDMSLGIALYSLDYSTSVTGPKHSGRETLYRNGSLWIQNVTREDTGYYT LQTISKNGKVVSNTSIFLQVNSSLFICGRPSPPALLTIESVPASVAEGGSVLLLVHSLPD NLQSLLWYKGLTVFNKVEIARHRTVKNSSEMGPAYSGREIVYSNGSLLLQNVTWEDTGFY TLQIVNRYWKMELAHIYLQVDTSLSSCCDDFNSVQLRINPVPPHAAEGERVLLQVHNLPE DVQTFLWYKGVYSTQSFKITEYSIVTESLINGYAHSGREILFINGSLLLQDVTEKDSGFY TLVTIDSNVKVETAHVQVNVNKLVTQPVMRVTDSTVRIQGSVVFTCFSDNTGVSIRWLFN NQNLQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVRLTVMNE >ENSMUSP00000104121.1 pep:known chromosome:GRCm38:7:18718090:18727248:1 gene:ENSMUSG00000044903.15 transcript:ENSMUST00000108481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg22 description:pregnancy-specific glycoprotein 22 [Source:MGI Symbol;Acc:MGI:1891354] MCSCLRENSAQKEEGQQSPGALQEQSSSPLRKASTVRRDMEVSSELLSNGWTSWQRVLLT ASLLTCWLLPITAGVTIESVPPKLVEGENVLLRVDNLPENLRVFVWYRGVTDMSLGIALY SLDYSTSVTGPKHSGRETLYRNGSLWIQNVTREDTGYYTLQTISKNGKVVSNTSIFLQVN SSLFICGRPSPPALLTIESVPASVAEGGSVLLLVHSLPDNLQSLLWYKGLTVFNKVEIAR HRTVKNSSEMGPAYSGREIVYSNGSLLLQNVTWEDTGFYTLQIVNRYWKMELAHIYLQVD TSLSSCCDDFNSVQLRINPVPPHAAEGERVLLQVHNLPEDVQTFLWYKGVYSTQSFKITE YSIVTESLINGYAHSGREILFINGSLLLQDVTEKDSGFYTLVTIDSNVKVETAHVQVNVN KLVTQPVMRVTDSTVRIQGSVVFTCFSDNTGVSIRWLFNNQNLQLTERMTLSPSKCQLRI HTVRKEDAGEYQCEAFNPVSSKTSLPVRLTVMNE >ENSMUSP00000050633.8 pep:known chromosome:GRCm38:7:18718090:18727246:1 gene:ENSMUSG00000044903.15 transcript:ENSMUST00000051973.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg22 description:pregnancy-specific glycoprotein 22 [Source:MGI Symbol;Acc:MGI:1891354] MEVSSELLSNGWTSWQRVLLTASLLTCWLLPITAGVTIESVPPKLVEGENVLLRVDNLPE NLRVFVWYRGVTDMSLGIALYSLDYSTSVTGPKHSGRETLYRNGSLWIQNVTREDTGYYT LQTISKNGKVVSNTSIFLQVNSSLFICGRPSPPALLTIESVPASVAEGGSVLLLVHSLPD NLQSLLWYKGLTVFNKVEIARHRTVKNSSEMGPAYSGREIVYSNGSLLLQNVTWEDTGFY TLQIVNRYWKMELAHIYLQVDTSLSSCCDDFNSVQLRINPVPPHAAEGERVLLQVHNLPE DVQTFLWYKGVYSTQSFKITEYSIVTESLINGYAHSGREILFINGSLLLQDVTEKDSGFY TLVTIDSNVKVETAHVQVNVNKLVTQPVMRVTDSTVRIQGSVVFTCFSDNTGVSIRWLFN NQNLQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVRLTVMNE >ENSMUSP00000095329.2 pep:known chromosome:GRCm38:1:58711551:58753976:1 gene:ENSMUSG00000026031.15 transcript:ENSMUST00000097722.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cflar description:CASP8 and FADD-like apoptosis regulator [Source:MGI Symbol;Acc:MGI:1336166] MAQSPVSAEVIHQVEECLDEDEKEMMLFLCRDVTENLAAPNVRDLLDSLSERGQLSFATL AELLYRVRRFDLLKRILKTDKATVEDHLRRNPHLVSDYRVLLMEIGESLDQNDVSSLVFL TRDYTGRGKIAKDKSFLDLVIELEKLNLIASDQLNLLEKCLKNIHRIDLNTKIQKYTQSS QGARSNMNTLQASLPKLSIKPSVLYLKLQNGRSKEPRFVEYRDSQRTLVKTSIQESGAFL PPHIREETYRMQSKPLGICLIIDCIGNDTKYLQETFTSLGYHIQLFLFPKSHDITQIVRR YASMAQHQDYDSFACVLVSLGGSQSMMGRDQVHSGFSLDHVKNMFTGDTCPSLRGKPKLF FIQNYESLGSQLEDSSLEVDGPSIKNVDSKPLQPRHCTTHPEADIFWSLCTADVSHLEKP SSSSSVYLQKLSQQLKQGRRRPLVDLHVELMDKVYAWNSGVSSKEKYSLSLQHTLRKKLI LAPT >ENSMUSP00000109952.1 pep:known chromosome:GRCm38:1:58711560:58758884:1 gene:ENSMUSG00000026031.15 transcript:ENSMUST00000114313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cflar description:CASP8 and FADD-like apoptosis regulator [Source:MGI Symbol;Acc:MGI:1336166] MAQSPVSAEVIHQVEECLDEDEKEMMLFLCRDVTENLAAPNVRDLLDSLSERGQLSFATL AELLYRVRRFDLLKRILKTDKATVEDHLRRNPHLVSDYRVLLMEIGESLDQNDVSSLVFL TRDYTGRGKIAKDKSFLDLVIELEKLNLIASDQLNLLEKCLKNIHRIDLNTKIQKYTQSS QGARSNMNTLQASLPKLSIKYNSRLQNGRSKEPRFVEYRDSQRTLVKTSIQESGAFLPPH IREETYRMQSKPLGICLIIDCIGNDTKYLQETFTSLGYHIQLFLFPKSHDITQIVRRYAS MAQHQDYDSFACVLVSLGGSQSMMGRDQVHSGFSLDHVKNMFTGDTCPSLRGKPKLFFIQ NYESLGSQLEDSSLEVDGPSIKNVDSKPLQPRHCTTHPEADIFWSLCTADVSHLEKPSSS SSVYLQKLSQQLKQGRRRPLVDLHVELMDKVYAWNSGVSSKEKYSLSLQHTLRKKLILAP T >ENSMUSP00000109948.1 pep:known chromosome:GRCm38:1:58713294:58733227:1 gene:ENSMUSG00000026031.15 transcript:ENSMUST00000114309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cflar description:CASP8 and FADD-like apoptosis regulator [Source:MGI Symbol;Acc:MGI:1336166] MAQSPVSAEVIHQVEECLDEDEKEMMLFLCRDVTENLAAPNVRDLLDSLSERGQLSFATL AELLYRVRRFDLLKRILKTDKATVEDHLRRNPHLVSDYRVLLMEIGESLDQNDVSSLVFL TRDYTGRGKIAKDKSFLDLVIELEKLNLIASDQLNLLEKCLKNIHRIDLNTKIQKYTQSS QGARSNMNTLQASLPKLSIKYNSRVSLEPVYGVPA >ENSMUSP00000065107.7 pep:known chromosome:GRCm38:1:58713317:58755165:1 gene:ENSMUSG00000026031.15 transcript:ENSMUST00000069333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cflar description:CASP8 and FADD-like apoptosis regulator [Source:MGI Symbol;Acc:MGI:1336166] MAQSPVSAEVIHQVEECLDEDEKEMMLFLCRDVTENLAAPNVRDLLDSLSERGQLSFATL AELLYRVRRFDLLKRILKTDKATVEDHLRRNPHLVSDYRVLLMEIGESLDQNDVSSLVFL TRDYTGRGKIAKDKSFLDLVIELEKLNLIASDQLNLLEKCLKNIHRIDLNTKIQKYTQSS QGARSNMNTLQASLPKLSIKYNSRLQNGRSKEPRFVEYRDSQRTLVKTSIQESGAFLPPH IREETYRMQSKPLGICLIIDCIGNDTKYLQETFTSLGYHIQLFLFPKSHDITQIVRRYAS MAQHQDYDSFACVLVSLGGSQSMMGRDQVHSGFSLDHVKNMFTGDTCPSLRGKPKLFFIQ NYESLGSQLEDSSLEVDGPSIKNVDSKPLQPRHCTTHPEADIFWSLCTADVSHLEKPSSS SSVYLQKLSQQLKQGRRRPLVDLHVELMDKVYAWNSGVSSKEKYSLSLQHTLRKKLILAP T >ENSMUSP00000097603.1 pep:known chromosome:GRCm38:10:23984609:23985646:-1 gene:ENSMUSG00000045111.5 transcript:ENSMUST00000057080.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar6 description:trace amine-associated receptor 6 [Source:MGI Symbol;Acc:MGI:2685074] MGSNSSPPTVLQLCYENVTGSCVKTPYSPGSRVILYAVFGFGAVLAVFGNLMVMISILHF KQLHSPTNFLIASLACADFGVGISVMPFSMVRSIESCWYFGRSFCTFHTCCDVAFCYSSL FHLSFISIDRYIAVTDPLVYPTKFTVSVSGICIGVSWILPLVYSGAVFYTGVYDDGLEEL SSALNCVGGCQVVVNQNWVLIDFLSFLIPTLVMIILYGNIFLVARQQAKKIENIGSKTES SSESYKARVARRERKAAKTLGITVVAFMISWLPYSIDSLVDAFMGFITPAYIYEICVWCA YYNSAMNPLIYALFYPWFKKAIKVIMSGQVFKNSSATMNLFSEQI >ENSMUSP00000019076.3 pep:known chromosome:GRCm38:11:60932033:60952811:1 gene:ENSMUSG00000018932.9 transcript:ENSMUST00000019076.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k3 description:mitogen-activated protein kinase kinase 3 [Source:MGI Symbol;Acc:MGI:1346868] MESPAASPPASLPQTKGKSKRKKDLRISCVSKPPVSNPTPPRNLDSRTFITIGDRNFEVE ADDLVTISELGRGAYGVVEKVRHAQSGTIMAVKRIRATVNTQEQKRLLMDLDINMRTVDC FYTVTFYGALFREGDVWICMELMDTSLDKFYRKVLEKNMKIPEDILGEIAVSIVRALEHL HSKLSVIHRDVKPSNVLINKEGHVKMCDFGISGYLVDSVAKTMDAGCKPYMAPERINPEL NQKGYNVKSDVWSLGITMIEMAILRFPYESWGTPFQQLKQVVEEPSPQLPADQFSPEFVD FTSQCLRKNPAERMSYLELMEHPFFTLHKTKKTDIAAFVKEILGEDS >ENSMUSP00000114430.1 pep:known chromosome:GRCm38:11:60932066:60952794:1 gene:ENSMUSG00000018932.9 transcript:ENSMUST00000130269.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map2k3 description:mitogen-activated protein kinase kinase 3 [Source:MGI Symbol;Acc:MGI:1346868] MESPAASPPASLPQTKGKSKRKKDLRISCVSKPPVSNPTPPRNLDSRTFITIGDRNFEVE ADDLVTISELGRGAYGVVEKVRHAQSGTIMAVKRIRATVNTQEQKRLLMDLDINMRTVDC FYTVTFYGALFREGDVWICMELMDTSLDKFYRKVLEKNMKIPEDILGEIAVSM >ENSMUSP00000126868.1 pep:known chromosome:GRCm38:8:107412486:107425041:-1 gene:ENSMUSG00000003848.14 transcript:ENSMUST00000169311.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nob1 description:NIN1/RPN12 binding protein 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914869] MAPVEHVVADAGAFLRDAPLQDIGKNIYTIREVVREIRDKATRRRLAVLPYQLRFKEPLP EYVRLVTEFSKKTGDYPSLSATDIQVLALTYQLEAEFVGVSHLKKEPEKAKVSSSIQHPE TALHISGFHLPSKDDIGHEPSVLWTLWEQDPEESVCDYQ >ENSMUSP00000003946.8 pep:known chromosome:GRCm38:8:107412489:107425049:-1 gene:ENSMUSG00000003848.14 transcript:ENSMUST00000003946.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nob1 description:NIN1/RPN12 binding protein 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914869] MAPVEHVVADAGAFLRDAPLQDIGKNIYTIREVVREIRDKATRRRLAVLPYQLRFKEPLP EYVRLVTEFSKKTGDYPSLSATDIQVLALTYQLEAEFVGVSHLKKEPEKAKVSSSIQHPE TALHISGFHLPSKSKPLQEAVDRGHAADGPENLEFSSFMFWRTPLPNIDRELQELLIDGR EEEEEEEECEDSDDDGGGWITPSNIKQIQQELEQCDTPEDVQVGCVTTDFAMQNVLLQMG LHVLAVNGMLVREARSYILRCHGCFKTTSDMNRVFCGHCGNKTLKKVSVTINDDGTLHMH FSRNPKVLNPRGLRYSLPTPKGGKYAINPHLTEDQRFPQLRLSQKARQKTDVFAPDYIAG VSPFAENDISSRSAILQVRDGMLGAGRRRLNPNASRKKFVKKR >ENSMUSP00000129298.1 pep:known chromosome:GRCm38:8:107418350:107425026:-1 gene:ENSMUSG00000003848.14 transcript:ENSMUST00000165266.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nob1 description:NIN1/RPN12 binding protein 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914869] XAPVEHVVADAGAFLRDAPLQDIGKNIYTIREVVREIRDKATRRRLAVLPYQLRFKEPLP DN >ENSMUSP00000105112.2 pep:known chromosome:GRCm38:2:158409848:158499253:1 gene:ENSMUSG00000027652.15 transcript:ENSMUST00000109486.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgapb description:Ral GTPase activating protein, beta subunit (non-catalytic) [Source:MGI Symbol;Acc:MGI:2444531] MYSEWRSLHLVIQSDQGHTSVLHSYPESVGREVANAVVRPLGQALGTSSVAGSESLLKTD KEVKWTMEVICYGLTLPLDGETVKYCVDVYTDWIMALVLPKDSIPLPIIKEPNLYVQSIL KHLQNLFVPRQEQGSSQIRLCLQVLRAIQKLARESSIMARETWEVLLLFLLQINDILLAP PTVQGGIAENLAEKLIGVLFEVWLLACTRCFPTPPYWKTAKEMVANWRHHPAVVEQWSKV ICALTSRLLRFTYGPSFPPFKVPDEDANLIPPEMDNECIAQTWFRFLHMLSNPVDLSNPA VISSTPKFQEQFLNVSGMPQELSQYPCLKHLPQIFFRAMRGISCLVDAFLGISRPRSDSA PPTPVNRLSMPQSAAVNTTPPHNRRHRAVTVNKATMKTSTVTTAHTSKVQHQASSTSPLS SPNQTSSEPRPLPAPRRPKVNSILNLFGSWLFDAAFVHCKLHNGINRDNSMTAIATQASM EFRRKGSQMSTDTMVSNPVFDASEFPDNYEAGRAEACGTLCRIFCSKKTGEEILPAYLSR FYMLLIQGLQINDYVCHPVLASVILNSPPLFCCDLKGIDVVVPYFISALETILPDRELSK FKSYVNPTELRRSSINILLSLLPLPHHFGTVRSEVVLEGKFSNDDSSSYDKPITFLSLKL RLVNILIGALQTETDPNNTQMILGAMLNIVQDSALLEAIGCQMEMGGGENNLKSHSRTNS GISSASGGSTEPTTPDSERPAQALLRDYALNTDSAAGLLIRSIHLVTQRLNSQWRQDMSI SLAALELLSGLAKVKVMVDSGDRKRAISSVCSYIVYQCSRPAPLHSRDLHSMIVAAFQCL CVWLTEHPDMLDEKDCLKEVLEIVELGISGSKSKNSEQEVKYKGDKEPNPASMRVKDAAE ATLTCIMQLLGAFPSPSGPASPCSLVNETTLIKYSRLPTINKHSFRYFVLDNSVILAMLE QPLGNEQNDFFPSVTVLVRGMSGRLAWAQQLCLLPRGAKANQKLFVPEPRPVPKNDVGFK YSVKHRPFPEEVDKIPFVKADLSIPDLHEIVTEELEERHEKLRSGMAQQIAYEMHLEQQS EGELQKRSFPDPVTDCKPPPPAQEFQTARLFLSHFGFLSLEALKEPANSRLPPHLIALDS TIPGFFDDIGYLDLLPCRPFDTVFIFYMKPGQKTNQEILKNVESSRNVQPHFLEFLLSLG WSVDVGKHPGWTGHVSTSWSINSCDDGEGSEPDEITSSEDVGASIFNGQKKVLYYADALT EIAFVVPSPVESLTDSLESNISDQDSDSNMDLMPGILKQPPLTLELVPNHTDSLNSSQRL SPSSRMKKLPQGRPVPPLGPETRVSVVWVERYDDIENFPLSDLMTEISTGVETTANSSTS LRSTTLEKEVPVIFIHPLNTGLFRIKIQGATGKFNMVIPLVDGMIVSRRALGFLVRQTVI NICRRKRLESDSYSPPHVRRKQKITDIVNKYRNKQLEPEFYTALFQEVGLKNCSS >ENSMUSP00000105111.2 pep:known chromosome:GRCm38:2:158409951:158497646:1 gene:ENSMUSG00000027652.15 transcript:ENSMUST00000109485.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgapb description:Ral GTPase activating protein, beta subunit (non-catalytic) [Source:MGI Symbol;Acc:MGI:2444531] MYSEWRSLHLVIQSDQGHTSVLHSYPESVGREVANAVVRPLGQALGTSSVAGSESLLKTD KEVKWTMEVICYGLTLPLDGETVKYCVDVYTDWIMALVLPKDSIPLPIIKEPNLYVQSIL KHLQNLFVPRQEQGSSQIRLCLQVLRAIQKLARESSIMARETWEVLLLFLLQINDILLAP PTVQGGIAENLAEKLIGVLFEVWLLACTRCFPTPPYWKTAKEMVANWRHHPAVVEQWSKV ICALTSRLLRFTYGPSFPPFKVPDEDANLIPPEMDNECIAQTWFRFLHMLSNPVDLSNPA VISSTPKFQEQFLNVSGMPQELSQYPCLKHLPQIFFRAMRGISCLVDAFLGISRPRSDSA PPTPVNRLSMPQSAAVNTTPPHNRRHRAVTVNKATMKTSTVTTAHTSKVQHQASSTSPLS SPNQTSSEPRPLPAPRRPKVNSILNLFGSWLFDAAFVHCKLHNGINRDNSMTASFIQILL SYKSSIATQASMEFRRKGSQMSTDTMVSNPVFDASEFPDNYEAGRAEACGTLCRIFCSKK TGEEILPAYLSRFYMLLIQGLQINDYVCHPVLASVILNSPPLFCCDLKGIDVVVPYFISA LETILPDRELSKFKSYVNPTELRRSSINILLSLLPLPHHFGTVRSEVVLEGKFSNDDSSS YDKPITFLSLKLRLVNILIGALQTETDPNNTQMILGAMLNIVQDSALLEAIGCQMEMGGG ENNLKSHSRTNSGISSASGGSTEPTTPDSERPAQALLRDYALNTDSAAGLLIRSIHLVTQ RLNSQWRQDMSISLAALELLSGLAKVKVMVDSGDRKRAISSVCSYIVYQCSRPAPLHSRD LHSMIVAAFQCLCVWLTEHPDMLDEKDCLKEVLEIVELGISGSKSKNSEQEVKYKGDKEP NPASMRVKDAAEATLTCIMQLLGAFPSPSGPASPCSLVNETTLIKYSRLPTINKHSFRYF VLDNSVILAMLEQPLGNEQNDFFPSVTVLVRGMSGRLAWAQQLCLLPRGAKANQKLFVPE PRPVPKNDVGFKYSVKHRPFPEEVDKIPFVKADLSIPDLHEIVTEELEERHEKLRSGMAQ QIAYEMHLEQQSEGELQKRSFPDPVTDCKPPPPAQEFQTARLFLSHFGFLSLEALKEPAN SRLPPHLIALDSTIPGFFDDIGYLDLLPCRPFDTVFIFYMKPGQKTNQEILKNVESSRNV QPHFLEFLLSLGWSVDVGKHPGWTGHVSTSWSINSCDDGEGSEPDEITSSEDVGASIFNG QKKVLYYADALTEIAFVVPSPVESLTDSLESNISDQDSDSNMDLMPGILKQPPLTLELVP NHTDSLNSSQRLSPSSRMKKLPQGRPVPPLGPETRVSVVWVERYDDIENFPLSDLMTEIS TGVETTANSSTSLRSTTLEKEVPVIFIHPLNTGLFRIKIQGATGKFNMVIPLVDGMIVSR RALGFLVRQTVINICRRKRLESDSYSPPHVRRKQKITDIVNKYRNKQLEPEFYTALFQEV GLKNCSS >ENSMUSP00000119595.1 pep:known chromosome:GRCm38:2:158410102:158420900:1 gene:ENSMUSG00000027652.15 transcript:ENSMUST00000156281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgapb description:Ral GTPase activating protein, beta subunit (non-catalytic) [Source:MGI Symbol;Acc:MGI:2444531] MYSEWRSLHLVIQSDQGHT >ENSMUSP00000116481.1 pep:known chromosome:GRCm38:2:158436554:158499253:1 gene:ENSMUSG00000027652.15 transcript:ENSMUST00000141497.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgapb description:Ral GTPase activating protein, beta subunit (non-catalytic) [Source:MGI Symbol;Acc:MGI:2444531] LNVSGMPQELSQYPCLKHLPQIFFRAMRGISCLVDAFLGISRPRSDSAPPTPVNRLSMPQ SAAVNTTPPHNRRHRAVTVNKATMKTSTVTTAHTSKVQHQASSTSPLSSPNQTSSEPRPL PAPRRPKVNSILNLFGSWLFDAAFVHCKLHNGINRDNSMTASFIQILLSYKSSIATQASM EFRRKGSQMSTDTMVSNPVFDASEFPDNYEAGRAEACGTLCRIFCSKKTGEEILPAYLSS VILNSPPLFCCDLKGIDVVVPYFISALETILPDRELSKFKSYVNPTELRRSSINILLSLL PLPHHFGTVRSEVVLEGKFSNDDSSSYDKPITFLSLKLRLVNILIGALQTETDPNNTQMI LGAMLNIVQDSALLEAIGCQMEMGGGENNLKSHSRTNSGISSASGGSTEPTTPDSERPAQ ALLRDYALNTDSAAGLLIRSIHLVTQRLNSQWRQDMSISLAALELLSGLAKVKVMVDSGD RKRAISSVCSYIVYQCSRPAPLHSRDLHSMIVAAFQCLCVWLTEHPDMLDEKDCLKEVLE IVELGISGSKSKNSEQEVKYKGDKEPNPASMRVKDAAEATLTCIMQLLGAFPSPSGPASP CSLVNETTLIKYSRLPTINKHSFRYFVLDNSVILAMLEQPLGNEQNDFFPSVTVLVRGMS GRLAWAQQLCLLPRGAKANQKLFVPEPRPVPKNDVGFKYSVKHRPFPEEVDKIPFVKADL SIPDLHEIVTEELEERHEKLRSGMAQQIAYEMHLEQQSEGELQKRSFPDPVTDCKPPPPA QEFQTARLFLSHFGFLSLEALKEPANSRLPPHLIALDSTIPGFFDDIGYLDLLPCRPFDT VFIFYMKPGQKTNQEILKNVESSRNVQPHFLEFLLSLGWSVDVGKHPGWTGHVSTSWSIN SCDDGEGSEPDEITSSEDVGASIFNGQKKVLYYADALTEIAFVVPSPVESLTDSLESNIS DQDSDSNMDLMPGILKQPPLTLELVPNHTDSLNSSQRLSPSSRMKKLPQGRPVPPLGPET RVSVVWVERYDDIENFPLSDLMTEISTGVETTANSSTSLRSTTLEKEVPVIFIHPLNTGL FRIKIQGATGKFNMVIPLVDGMIVSRRALGFLVRQTVINICRRKRLESDSYSPPHVRRKQ KITDIVNKYRNKQLEPEFYTALFQEVGLKNCSS >ENSMUSP00000048430.5 pep:known chromosome:GRCm38:2:158409853:158499253:1 gene:ENSMUSG00000027652.15 transcript:ENSMUST00000046274.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgapb description:Ral GTPase activating protein, beta subunit (non-catalytic) [Source:MGI Symbol;Acc:MGI:2444531] MYSEWRSLHLVIQSDQGHTSVLHSYPESVGREVANAVVRPLGQALGTSSVAGSESLLKTD KEVKWTMEVICYGLTLPLDGETVKYCVDVYTDWIMALVLPKDSIPLPIIKEPNLYVQSIL KHLQNLFVPRQEQGSSQIRLCLQVLRAIQKLARESSIMARETWEVLLLFLLQINDILLAP PTVQGGIAENLAEKLIGVLFEVWLLACTRCFPTPPYWKTAKEMVANWRHHPAVVEQWSKV ICALTSRLLRFTYGPSFPPFKVPDEDANLIPPEMDNECIAQTWFRFLHMLSNPVDLSNPA VISSTPKFQEQFLNVSGMPQELSQYPCLKHLPQIFFRAMRGISCLVDAFLGISRPRSDSA PPTPVNRLSMPQSAAVNTTPPHNRRHRAVTVNKATMKTSTVTTAHTSKVQHQASSTSPLS SPNQTSSEPRPLPAPRRPKVNSILNLFGSWLFDAAFVHCKLHNGINRDNSMTAIATQASM EFRRKGSQMSTDTMVSNPVFDASEFPDNYEAGRAEACGTLCRIFCSKKTGEEILPAYLSR FYMLLIQGLQINDYVCHPVLASVILNSPPLFCCDLKGIDVVVPYFISALETILPDRELSK FKSYVNPTELRRSSINILLSLLPLPHHFGTVRSEVVLEGKFSNDDSSSYDKPITFLSLKL RLVNILIGALQTETDPNNTQMILGAMLNIVQDSALLEAIGCQMEMGGGENNLKSHSRTNS GISSASGGSTEPTTPDSERPAQALLRDYDSAAGLLIRSIHLVTQRLNSQWRQDMSISLAA LELLSGLAKVKVMVDSGDRKRAISSVCSYIVYQCSRPAPLHSRDLHSMIVAAFQCLCVWL TEHPDMLDEKDCLKEVLEIVELGISGSKSKNSEQEVKYKGDKEPNPASMRVKDAAEATLT CIMQLLGAFPSPSGPASPCSLVNETTLIKYSRLPTINKHSFRYFVLDNSVILAMLEQPLG NEQNDFFPSVTVLVRGMSGRLAWAQQLCLLPRGAKANQKLFVPEPRPVPKNDVGFKYSVK HRPFPEEVDKIPFVKADLSIPDLHEIVTEELEERHEKLRSGMAQQIAYEMHLEQQSEGEL QKRSFPDPVTDCKPPPPAQEFQTARLFLSHFGFLSLEALKEPANSRLPPHLIALDSTIPG FFDDIGYLDLLPCRPFDTVFIFYMKPGQKTNQEILKNVESSRNVQPHFLEFLLSLGWSVD VGKHPGWTGHVSTSWSINSCDDGEGSEPDEITSSEDVGASIFNGQKKVLYYADALTEIAF VVPSPVESLTDSLESNISDQDSDSNMDLMPGILKQPPLTLELVPNHTDSLNSSQRLSPSS RMKKLPQGRPVPPLGPETRVSVVWVERYDDIENFPLSDLMTEISTGVETTANSSTSLRST TLEKEVPVIFIHPLNTGLFRIKIQGATGKFNMVIPLVDGMIVSRRALGFLVRQTVINICR RKRLESDSYSPPHVRRKQKITDIVNKYRNKQLEPEFYTALFQEVGLKNCSS >ENSMUSP00000077616.2 pep:known chromosome:GRCm38:10:23992405:23993481:-1 gene:ENSMUSG00000095647.1 transcript:ENSMUST00000078532.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar7a description:trace amine-associated receptor 7A [Source:MGI Symbol;Acc:MGI:2685075] MDKLVDHFLSDQSRTMNEDLFSATSTELCYENLNRSCVRSPYSPGPRLILYAVFGFGAAL AVCGNLLVMTSILHFRQLHSPANFLVASLACADFLVGLTVMPFSTVRSVEGCWYFGESYC KFHSCFEGSFCYSSIFHLCFISVDRYIAVSDPLTYPTRFTASVSGKCITFSWLLSIIYSF SLLYTGANEAGLEDLVSVLTCVGGCQIAVNQSWVFINFLLFLIPTLVMMTVYSKIFLIAK QQAQNIEKMSKQTARASESYKDRVAKRERKAAKTLGIAVAAFLLSWLPYFIDSIIDAFLG FITPTYVYEILVWIAYYNSAMNPLIYAFFYPWFRKAIKLIVTGKILRENSSTTNLFPE >ENSMUSP00000135624.1 pep:known chromosome:GRCm38:19:8741413:8755586:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000177322.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAISSSSDSTPLPTPVALVPSPPDTMSCRDR TQEFQSACKSLQSRQAHWERSQQYICQAGEANNLGKAQVPL >ENSMUSP00000134854.1 pep:known chromosome:GRCm38:19:8741413:8756069:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000176381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAISSSSDSTPLPTPVALVPSPPDTMSCRDR TQEFQSACKSLQSRQNGIQTSKPALHAARQCSEFTLMARRIGKDLSNTFAKLEKLTILAK RKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDFVRAKGSQSGRHLQTHSNTIVVSLQ SKLASMSNDFKSVLEVRTENLKQQRNRREQFSRAPVSALPLAPNNLGGGPIILGAESRAS RDVAIDMMDPRTSQQLQLIDEQDSYIQSRADTMQNIESTIVELGSIFQQLAHMVKEQEET IQRIDENVLGAQLDVEAAHSEILKYFQSVTSNRWLMVKIFLILIVFFIIFVVFLA >ENSMUSP00000134794.1 pep:known chromosome:GRCm38:19:8741479:8755123:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000177373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MARRIGKDLSNTFAKLEKLTILAKRKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDF VRAKGSQSGRHLQTHSNTIVVSLQSKLASMSNDFKSVLEVRTENLKQQRNRREQFSRAPV SALPLAPNNLGGGPIILGAESRASRDVAIDMMDPRTSQQLQLIDEQDSYIQSRADTMQNI ESTIVELGSIFQQLAHMVKEQEETIQRIDENVLGAQLDVEAAHSEILKYFQSVTSNRWLM VKIFLILIVFFIIFVVFLA >ENSMUSP00000135416.1 pep:known chromosome:GRCm38:19:8741488:8755602:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000175872.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAISSSSDSTPLPTPVALVPSPPDTMSCRDR TQEFQSACKSLQSRQNGIQTSKPALHAARQCSEFTLMARRIGKDLSNTFAKLEKLTILAK RKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDFVRAKGSQSGRHLQTHSNTIVVSLQ SKLASMSNDFKSVLEVRTENLKQQRNRREQFSRAPVSALPLAPNNLGKSVEVVP >ENSMUSP00000010254.9 pep:known chromosome:GRCm38:19:8741489:8755642:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000010254.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAISSSSDSTPLPTPVALVPSPPDTMSCRDR TQEFQSACKSLQSRQNGIQTSKPALHAARQCSEFTLMARRIGKDLSNTFAKLEKLTILAK RKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDFVRAKGSQSGRHLQTHSNTIVVSLQ SKLASMSNDFKSVLEVRTENLKQQRNRREQFSRAPVSALPLAPNNLGGGPIILGAESRAS RDVAIDMMDPRTSQQLQLIDEQDSYIQSRADTMQNIESTIVELGSIFQQLAHMVKEQEET IQSVLLFISWLSPGSTRMCLEPSWMWRQPIQRSSSTSSQLPPIGGSWSKSSSSSLSSSSS LWSSLPEPSSLILSHSMEGLGSSWEDRWLLLPLSLCKVVGRKAISLELLRMASVPDSPPL SLATLSYPQAHETHWFWIWTLL >ENSMUSP00000135348.1 pep:known chromosome:GRCm38:19:8741669:8742860:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000176314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAISSSSDSTPLPTPVALVPSPPDTMSCRDR TQEFQSACKSLQSRQNG >ENSMUSP00000073136.7 pep:known chromosome:GRCm38:19:8741803:8755642:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000073430.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAISSSSDSTPLPTPVALVPSPPDTMSCRDR TQEFQSACKSLQSRQNGIQTSKPALHAARQCSEFTLMARRIGKDLSNTFAKLEKLTILAK RKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDFVRAKGSQSGRHLQTHSNTIVVSLQ SKLASMSNDFKSVLEVRTENLKQQRNRREQFSRAPVSALPLAPNNLGGGPIILGAESRAS RDVAIDMMDPRTSQQLQLIDEQDSYIQSRADTMQNIESTIVELGSIFQQLAHMVKEQEET IQRIDENVLGAQLDVEAAHSEILKYFQSVTSNRWLMVKIFLILIVFFIIFVVFLA >ENSMUSP00000134951.1 pep:known chromosome:GRCm38:19:8741807:8749772:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000175901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAISSSSDSTPLPTPVALVPSPPDTMSCRDR TQEFQSACKSLQSRQNGIQTSKPALHAARQCSEFTLMARRIGKDLSNTFAKLEKLTILAK RKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDFVRAKGSQSGRHLQTHSNTIVVSLQ SKLASMSNDFKSVLEVRTENLKQQRNRREQFSRAPVSALPLAPNNLGGGPIILGAESRAS RDVAIDMMDPRTSQQLQLIDEQ >ENSMUSP00000135465.1 pep:known chromosome:GRCm38:19:8741888:8755642:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000176013.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAISSSSDSTPLPTPVALVPSPPDTMSCRDR TQEFQSACKSLQSRQNGIQTSKPALHAARQCSEFTLMARRIGKDLSNTFAKLEKLTILAK RKSLFDDKAVEIEELTYIIKQVSC >ENSMUSP00000135468.1 pep:known chromosome:GRCm38:19:8749003:8755065:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000176968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MMDPRTSQQLQLIDEQDSYIQSRADTMQNIESTIVELGSIFQQLAHMVKEQEETIQRIDE NVLGAQLDVEAAHSEILKYFQSVTSNRWLMVKIFLILIVFFIIFVVFLA >ENSMUSP00000134892.1 pep:known chromosome:GRCm38:19:8749399:8750094:1 gene:ENSMUSG00000010110.17 transcript:ENSMUST00000176570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx5a description:syntaxin 5A [Source:MGI Symbol;Acc:MGI:1928483] MMDPRTSQQLQLIDEQDSYIQSRADTMQNIESTIVELGSIFQQLAHMVKEQEETIQR >ENSMUSP00000112915.1 pep:known chromosome:GRCm38:3:87525407:87540156:1 gene:ENSMUSG00000003382.18 transcript:ENSMUST00000119109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv3 description:ets variant 3 [Source:MGI Symbol;Acc:MGI:1350926] MKAGCSIVEKPEGGGGYQFPDWAYKAESSPGSRQIQLWHFILELLQKEEFRHVIAWQQGE YGEFVIKDPDEVARLWGRRKCKPQMNYDKLSRALRYYYNKRILHKTKGKRFTYKFNFNKL VMPNYPFINIRSSGVVPQSAPPVPTASSRFHFPPLDSHSPTGDVQPGRFSASSLSASGPE SGVTTDRKVEPSDLEDGSASDWHRGMDFMPSRNALGGGAVGHQKRKPDILLPLFTRPAMY PDPHSPFAISPVPGRGGVLNVPISPALSLTPTMFSYSPSPGLSPFTSSSCFSFNPEEMKH YLHSQACSVFNYHLSPRTFPRYPGLMVPPLQCQMHPEEPSQFSIKLQPPPAGRKNRERVE SREEAVRGSVPASAPVPSRIKVEPATEKDPDSLRQSTQGKEEQTQEVDSMRSRTIEEGKG TGFAHPSPTWPSVSISTPSDEPLEGTEDSEDRSVREPGVPEKKEDALMPPKLRLKRRWND DPEARELNKTGKFLWNGAGPQGLATTATAAADA >ENSMUSP00000114047.1 pep:known chromosome:GRCm38:3:87525614:87531031:1 gene:ENSMUSG00000003382.18 transcript:ENSMUST00000117293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv3 description:ets variant 3 [Source:MGI Symbol;Acc:MGI:1350926] MKAGCSIVEKPEGGGGYQFPDWAYKAESSPGSRQIQLWHFILELLQKEEFRHVIAWQQGE YGEFVIKDPDEVARLWGRRKCKPQMNYDKLSRALRYYYNKRILHKTKGKRFTYKFNFNKL VMPNYPFINIRSSGKMQASTCDGEWV >ENSMUSP00000127419.1 pep:known chromosome:GRCm38:3:87525578:87540142:1 gene:ENSMUSG00000003382.18 transcript:ENSMUST00000170036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv3 description:ets variant 3 [Source:MGI Symbol;Acc:MGI:1350926] MKAGCSIVEKPEGGGGYQFPDWAYKAESSPGSRQIQLWHFILELLQKEEFRHVIAWQQGE YGEFVIKDPDEVARLWGRRKCKPQMNYDKLSRALRYYYNKRILHKTKGKRFTYKFNFNKL VMPNYPFINIRSSGVVPQSAPPVPTASSRFHFPPLDSHSPTGDVQPGRFSASSLSASGPE SGVTTDRKVEPSDLEDGSASDWHRGMDFMPSRNALGGGAVGHQKRKPDILLPLFTRPAMY PDPHSPFAISPVPGRGGVLNVPISPALSLTPTMFSYSPSPGLSPFTSSSCFSFNPEEMKH YLHSQACSVFNYHLSPRTFPRYPGLMVPPLQCQMHPEEPSQFSIKLQPPPAGRKNRERVE SREEAVRGSVPASAPVPSRIKVEPATEKDPDSLRQSTQGKEEQTQEVDSMRSRTIEEGKG TGFAHPSPTWPSVSISTPSDEPLEGTEDSEDRSVREPGVPEKKEDALMPPKLRLKRRWND DPEARELNKTGKFLWNGAGPQGLATTATAAADA >ENSMUSP00000050897.2 pep:known chromosome:GRCm38:3:115710433:115715072:-1 gene:ENSMUSG00000045092.8 transcript:ENSMUST00000055676.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S1pr1 description:sphingosine-1-phosphate receptor 1 [Source:MGI Symbol;Acc:MGI:1096355] MVSTSIPEVKALRSSVSDYGNYDIIVRHYNYTGKLNIGAEKDHGIKLTSVVFILICCFII LENIFVLLTIWKTKKFHRPMYYFIGNLALSDLLAGVAYTANLLLSGATTYKLTPAQWFLR EGSMFVALSASVFSLLAIAIERYITMLKMKLHNGSNSSRSFLLISACWVISLILGGLPIM GWNCISSLSSCSTVLPLYHKHYILFCTTVFTLLLLSIVILYCRIYSLVRTRSRRLTFRKN ISKASRSSEKSLALLKTVIIVLSVFIACWAPLFILLLLDVGCKAKTCDILYKAEYFLVLA VLNSGTNPIIYTLTNKEMRRAFIRIVSCCKCPNGDSAGKFKRPIIPGMEFSRSKSDNSSH PQKDDGDNPETIMSSGNVNSSS >ENSMUSP00000044814.6 pep:known chromosome:GRCm38:15:103895859:103899309:-1 gene:ENSMUSG00000036925.7 transcript:ENSMUST00000037685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mucl2 description:mucin-like 2 [Source:MGI Symbol;Acc:MGI:98392] MKFLALLVLLGVSTILVSCQDPETNSTETSGTADSAGENTGSETQADSTDQNQEVDSSDS VEEENVNTDDTNTANEASEEDNKEELNDNSTGDNTSDQNSGVDNTETEDESNAKTKLENM KTVIKSGVEKLKNFLQRG >ENSMUSP00000147073.1 pep:known chromosome:GRCm38:2:111564461:111565511:-1 gene:ENSMUSG00000108919.1 transcript:ENSMUST00000207786.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1295 description:olfactory receptor 1295 [Source:MGI Symbol;Acc:MGI:3031129] MDGGNRSVVSEFILQGLSHSKNIQVLLFVIFLMLYLFIVSGNIVILTLITTDPHLHSPMY FLLANLSFVDMCLSSNITPKMITDFLRENKTISFAGCMSQVFFTHCIAGGEMILLVVMAY DRYVAICKPLHYFTIMNLKRCTGLVLTSWTIGFIHGISYLVVFVHLPFCGPKEIDSFFCD MPLIIKLACMDSHNLNTLMNAECGVVVVTCFSLLLISYTYILVTVSKSSKAGASKALSTC SAHITVVMIFFVPCIFIYVWPLSITWFDKFLAVFYSVITPLLNPTIYTLRNKEIKNAMKR FIGKFLGPKRNS >ENSMUSP00000146980.1 pep:known chromosome:GRCm38:2:111564948:111569597:-1 gene:ENSMUSG00000108919.1 transcript:ENSMUST00000209152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1295 description:olfactory receptor 1295 [Source:MGI Symbol;Acc:MGI:3031129] MDGGNRSVVSEFILQGLSHSKNIQVLLFVIFLMLYLFIVSGNIVILTLITTDPHLHSPMY FLLANLSFVDMCLSSNITPKMITDFLRENKTISFAGCMSQVFFTHCIAGGEMILLVVMAY DRYVAICKPLHYFTIMNLKRCTGLVLTSWTIGFIHGISYLVVFVH >ENSMUSP00000115356.1 pep:known chromosome:GRCm38:19:3972328:3981645:1 gene:ENSMUSG00000075296.4 transcript:ENSMUST00000143380.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3b2 description:aldehyde dehydrogenase 3 family, member B2 [Source:MGI Symbol;Acc:MGI:2147613] MSAAETGSEPSQGAGPSEATLHSLREAFNAGRTRPTEFRTAQLRSLGRFLQENKELLQDA LAKDVGKSGFESDMSEIILCENEVDLALKNLQTWMKDEPVSTNLLTKLSSAFIRKEPFGL VLIIAPWNYPVNLMIIPLVGAIAAGNCVVLKPSEISKNTEKVLAELLPQYLDQSCFAVML GGPEETRQLLEHKFDYIFFTGSPRVGKIVMTAAAKHLTPITLELGGKNPCYVDDNCDPQT VANRVAWFRYFNAGQTCVAPDYILCSQEMQERLVPALQNSITRFYGDNPQTSPNLGRIIN QKHFKRLQGLLGCGRVAIGGQSDEGERYIAPTVLVDVQETEPVMQEEIFGPILPLVTVRS LDEAIEFINRREKPLALYAFSNNNQVVNQMLERTSSGGFGGNDGFLYLTLPALPLGGVGN SGMGRYHGKFSFDTFSHHRACLLRSPGMEKLNDLRYPPYGPWNQQLISWAIGSRSCTLL >ENSMUSP00000072449.5 pep:known chromosome:GRCm38:7:6222278:6239423:1 gene:ENSMUSG00000058028.14 transcript:ENSMUST00000072662.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan5b description:zinc finger and SCAN domain containing 5B [Source:MGI Symbol;Acc:MGI:2159640] MATNVPPDSPVRSGQSVSLISQVKHGERQNYNPEFWHVKFRGFSPSEGSNWIQDLRSISE LCYQWLRPDLNSKEEILDQLVLEQFLICMPPEQQALVKESGVKSCKDLEKLLRDRKRHNW SIIYSQGQAHLLRHPSVGKAEAAEDKWGHTDFSQEHLSNESEESLNRGQASRELQNLSET EEPSTSQEEGILLGVIPERRQPDYLRPEMSPGSDSVPDLEEAEASVFVGQDPLPALGPAG SLGVKGAVQPQEDTVVDAVPSFTHILERDLALNRDLQSLSGFNLPTSQGVASYMGNTEDG LEAANPEPANPQPEKQVDSLAGQARFQCTECKKSFLYKSRFDLHQRSHTGERPFKCILCN KAFVQSSDLRVHQRVHTGEKPYMCEVCGMEFAHGSTLQGHSRVHTKEKPFVCKDCGQRFC HKGNLNVHFRIHCNLRPYVCKKCNKTFRQQGTWKRHMKTHLRKRKVSE >ENSMUSP00000118508.1 pep:known chromosome:GRCm38:7:6222295:6231404:1 gene:ENSMUSG00000058028.14 transcript:ENSMUST00000155314.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan5b description:zinc finger and SCAN domain containing 5B [Source:MGI Symbol;Acc:MGI:2159640] MATNVPPDSPVRSGQSVSLISQVKHGERQNYNPEFWHVKFRGFSPSEGSNWIQDLRSISE LCYQWLRPDLNSKEEILDQLVLEQFLICMPPEQQALVKESGVKSCKDLEKLLRDRKRHNW SIIYSQGQAHLLRHPSVGKAEAA >ENSMUSP00000126044.2 pep:known chromosome:GRCm38:7:6230179:6239190:1 gene:ENSMUSG00000058028.14 transcript:ENSMUST00000165445.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan5b description:zinc finger and SCAN domain containing 5B [Source:MGI Symbol;Acc:MGI:2159640] MATNVPPDSPVRSGQSVSLISQVKHGERQNYNPEFWHVKFRGFSPSEGSNWIQDLRSISE LCYQWLRPDLNSKEEILDQLVLEQFLICMPPEQQALVKESGVKSCKDLEKLLRDRKRHNW SIIYSQGQAHLLRHPSVGKAEAAEDKWGHTDFSQEHLSNESEESLNRGQASRELQNLSET EEPSTSQEEGILLGVIPERRQPDYLRPEMSPGSDSVPDLEEAEASVFVGQDPLPALGPAG SLGVKGAVQPQEDTVVDAVPSFTHILERDLALNRDLQSLSGFNLPTSQGVASYMGNTEDG LEAANPEPANPQPEKQVDSLAGQARFQCTECKKSFLYKSRFDLHQRSHTGERPFKCILCN KAFVQSSDLRVHQRVHTGEKPYMCEVCGMEFAHGSTLQGHSRVHTKEKPFVCKDCGQRFC HKGNLNVHFRIHCNLRPYVCKKCNKTFRQQGTWKRHMKTHLRKRKVSE >ENSMUSP00000061045.5 pep:known chromosome:GRCm38:13:24376083:24470335:1 gene:ENSMUSG00000016756.16 transcript:ENSMUST00000050859.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmah description:cytidine monophospho-N-acetylneuraminic acid hydroxylase [Source:MGI Symbol;Acc:MGI:103227] MMDRKQTAETLLTLSPAEVANLKEGINFFRNKTTGKEYILYKEKDHLKACKNLCKHQGGL FMKDIEDLDGRSVKCTKHNWKLDVSTMKYINPPGSFCQDELVIEMDENNGLSLVELNPPN PWDSDPRSPEELAFGEVQITYLTHACMDLKLGDKRMVFDPWLIGPAFARGWWLLHEPPSD WLERLCKADLIYISHMHSDHLSYPTLKQLSQRRPDIPIYVGDTERPVFWNLDQSGVGLTN INVVPFGIWQQVDKSLRFMILMDGVHPEMDTCIIVEYKGHKILNTVDCTRPNGGRLPEKV ALMMSDFAGGASGFPMTFSGGKFTEEWKAQFIKAERRKLLNYKAQLVKDLQPRIYCPFAG YFVESHPSDKYIKETNTKNDPNQLNNLIRKNSDVVTWTPRPGAVLDLGRMLKDPTDSKGI VEPPEGTKIYKDSWDFGPYLEILNSAVRDEIFCHSSWIKEYFTWAGFKNYNLVVRMIETD EDFSPFPGGYDYLVDFLDLSFPKERPSREHPYEEIHSRVDVIRYVVKNGLLWDDLYIGFQ TRLLRDPDIYHHLFWNHFQIKLPLTPPNWKSFLMHCD >ENSMUSP00000106021.2 pep:known chromosome:GRCm38:13:24415123:24477285:1 gene:ENSMUSG00000016756.16 transcript:ENSMUST00000110391.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmah description:cytidine monophospho-N-acetylneuraminic acid hydroxylase [Source:MGI Symbol;Acc:MGI:103227] MMDRKQTAETLLTLSPAEVANLKEGINFFRNKTTGKEYILYKEKDHLKACKNLCKHQGGL FMKDIEDLDGRSVKCTKHNWKLDVSTMKYINPPGSFCQDELVIEMDENNGLSLVELNPPN PWDSDPRSPEELAFGEVQITYLTHACMDLKLGDKRMVFDPWLIGPAFARGWWLLHEPPSD WLERLCKADLIYISHMHSDHLSYPTLKQLSQRRPDIPIYVGDTERPVFWNLDQSGVGLTN INVVPFGIWQQVDKSLRFMILMDGVHPEMDTCIIVEYKGHKILNTVDCTRPNGGRLPEKV ALMMSDFAGGASGFPMTFSGGKFTEEWKAQFIKAERRKLLNYKAQLVKDLQPRIYCPFAG YFVESHPSDKYIKETNTKNDPNQLNNLIRKNSDVVTWTPRPGAVLDLGRMLKDPTDSKGI VEPPEGTKIYKDSWDFGPYLEILNSAVRDEIFCHSSWIKEYFTWAGFKNYNLVVRMIETD EDFSPFPGGYDYLVDFLDLSFPKERPSREHPYEEIHSRVDVIRYVVKNGLLWDDLYIGFQ TRLLRDPDIYHHLFWNHFQIKLPLTPPNWKSFLMHCD >ENSMUSP00000129007.1 pep:known chromosome:GRCm38:13:24327420:24477285:1 gene:ENSMUSG00000016756.16 transcript:ENSMUST00000167746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmah description:cytidine monophospho-N-acetylneuraminic acid hydroxylase [Source:MGI Symbol;Acc:MGI:103227] MMDRKQTAETLLTLSPAEVANLKEGINFFRNKTTGKEYILYKEKDHLKACKNLCKHQGGL FMKDIEDLDGRSVKCTKHNWKLDVSTMKYINPPGSFCQDELVIEMDENNGLSLVELNPPN PWDSDPRSPEELAFGEVQITYLTHACMDLKLGDKRMVFDPWLIGPAFARGWWLLHEPPSD WLERLCKADLIYISHMHSDHLSYPTLKQLSQRRPDIPIYVGDTERPVFWNLDQSGVGLTN INVVPFGIWQQVDKSLRFMILMDGVHPEMDTCIIVEYKGHKILNTVDCTRPNGGRLPEKV ALMMSDFAGGASGFPMTFSGGKFTEEWKAQFIKAERRKLLNYKAQLVKDLQPRIYCPFAG YFVESHPSDKYIKETNTKNDPNQLNNLIRKNSDVVTWTPRPGAVLDLGRMLKDPTDSKGI VEPPEGTKIYKDSWDFGPYLEILNSAVRDEIFCHSSWIKEYFTWAGFKNYNLVVRMIETD EDFSPFPGGYDYLVDFLDLSFPKERPSREHPYEEIHSRVDVIRYVVKNGLLWDDLYIGFQ TRLLRDPDIYHHLFWNHFQIKLPLTPPNWKSFLMHCD >ENSMUSP00000090328.1 pep:known chromosome:GRCm38:10:23999939:24001015:1 gene:ENSMUSG00000095171.1 transcript:ENSMUST00000092658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar7b description:trace amine-associated receptor 7B [Source:MGI Symbol;Acc:MGI:3527438] MATDNDSFPWDQDSILSSDMFSATSTELCYENLNRSCVRSPYSPGPRLILYAVFGFGAAL AVCGNLLVMTSILHFRQLHSPANFLVVSLACADFLVGLTVMPFSTVRSVEGCWYFGESYC KLHTCFDVSFCYCSIFHLCFISVDRYIAVSDPLTYPTRFTAFVSGKCITFSWLLSTIYGF SLLYTGANEAGLEDLVSALTCVGGCQLAVNQSWVFINFLLFLIPTLVMITVYSKIFLIAK QQAQNIEKMSKQTARASDSYKDRVAKRERKAAKTLGIAVAAFLLSWLPYFIDSIIDAFLG FITPTYVYEILVWIAYYNSAMNPLIYAFFYPWFRKAIKLIVSGKVLRENSSTTNLFPE >ENSMUSP00000036551.8 pep:known chromosome:GRCm38:7:84940169:84964009:-1 gene:ENSMUSG00000066372.5 transcript:ENSMUST00000044583.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r65 description:vomeronasal 2, receptor 65 [Source:MGI Symbol;Acc:MGI:3642776] MLSFMSFFLILEYFLLLSVAHPKCFWRIKQNENKVGDVEDNCFFYIYTRQGPLLNDPFNG NPDILLTTRNIHLILALLFAIKQINRNIHILPNISLIVNVNCAKKEDLQMTNMYSNRDAT IPNYNCKHGRRILTVLTGPRWFSSAILGPFLHIFAIPQLYYGPFHPLLSDREHYPYLYQM SPKNTFLTLAMTALVVYFSWNWVGLVISDDELGIEFLFKLRGEMHKHKVCIAFVNMIIQN IQLYQKRAEKYYNQILTSSAKVIIIYGDSDILTVHFRLWQHLGIKRLWITTSHWDENTSK GDLLLSSFNGIFIFSHPHSKIPGFKKFIQTVHPSNYSKDTSLARLWWLYFNCSLPSHCKT LKNCSTKILLEWLSRHQFEVSMSEPSYNLYNAVYAVAYALHEMLIQHTEHWQKDIGKELE FYSWKVAPFLENNKFIIPSEYQYRRGKLDTEYDILYAMDLLPALGLNVKIGKFSQHFLHF QQLYIAEDMIDWTIDIRQTLQSVCSVPCSVGFRKFIQKGKAVCCFDCTPCPENEISNMTD MDQCVKCPNDQYANIKQTHCLKKVVTFLAYEDPLGMTLTCLALLFSALTTVILSILLKHQ DNPIVKANNRLLSYILLISLIFCFLCSLLYIGHPHMATCILQQTTFAVVFTVAVSTILAK TITVVMAFKITDPRKKIRQMLVTRAPNYIIPICTMIQLILCGTWIGTSPPFVDSDPHFEH GHIIIVCNKGSVIAFYCVLGYLGLLALGSFTVAFLARNLPDRFNEAKFLTFSMLVFCSVW ITFLPVYHSTKGKAMVVVEIFSILTSSAGLLVCIFFPKCFTILLKQKVNFTQKFSDTHSK IEYNH >ENSMUSP00000091468.3 pep:known chromosome:GRCm38:11:99873389:99874432:1 gene:ENSMUSG00000070335.4 transcript:ENSMUST00000093936.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap9-1 description:keratin associated protein 9-1 [Source:MGI Symbol;Acc:MGI:1309997] MTNSCCSPCCQPTCCRTTCCRTTCWRPSCVTSCCQPCCQPSCCGSSCCQPCCQTTCCRTC FQPCCVSSCCRTPCCQPCCCVSSCCQPCCQPSCCQSSCCQPRCCESSCCQPRCCISSCCQ PCCRPSCCQSSCCRPCCQPFCLNLCCQPACSGPVTCTRTCYQPTCVCVPGCLSQGCGSSC CEPCGC >ENSMUSP00000077877.4 pep:known chromosome:GRCm38:X:91575210:91575911:-1 gene:ENSMUSG00000057805.4 transcript:ENSMUST00000078832.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700084M14Rik description:RIKEN cDNA 1700084M14 gene [Source:MGI Symbol;Acc:MGI:1920737] MVKATKKTHYLTQDVVPSTSASKPKTVKICHRQPCSKCSKKIRNPKVQKPKKKSKKTLPS MPKRKTSKKTTPPKTKDQ >ENSMUSP00000028650.8 pep:known chromosome:GRCm38:2:92375306:92381217:1 gene:ENSMUSG00000027222.14 transcript:ENSMUST00000028650.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex16 description:peroxisomal biogenesis factor 16 [Source:MGI Symbol;Acc:MGI:1338829] MEKLRLLSLRYQEYVTRHPAATAQLETAVRGLSYLLAGRFSDSHELSELVYSASNLLVLL NDGILRKELRKKLPVSLSQQKLLTWLSVLECVEVFMEMGAAKVWGEVGRWLVIALIQLAK AVLRMLLLIWFKAGIQTSPPIVPLDRETQAQPLDGDHNPGSQEPSYVGKRSHRVVRTLQN SPSLHSRYWGAPQQREIRQKQQQEELSTPPTPLGLQETIAESLYIARPLLHLLSLGLWGQ RSWTPWLLSGVVDMTSLSLLSDRKNLTRRERLELRRRTILLLYYLLRSPFYDRFSEAKIL FLLQLLTDHIPGVGLVARPLMDYLPSWQKIYFYSWG >ENSMUSP00000090327.1 pep:known chromosome:GRCm38:10:24027222:24028298:1 gene:ENSMUSG00000095569.1 transcript:ENSMUST00000092657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar7d description:trace amine-associated receptor 7D [Source:MGI Symbol;Acc:MGI:3527443] MATGDDSFPWDQDSILSRDLFSATSTELCYENLNRSCVRSPYSPGPRLILYAVFGFGAVL AVCGNLLVMTSILHFRQLHSPANFLVASLACADFLVGVMVMPFSMVRSVEGCWYFGESYC KFHSCFEGSFCYSSLFHLCFISVDRYIAVSDPLTYPTRFTASVSGKCITFSWLLSIIYSF SLLYTGANDAGLEDLVSALTCVGGCQIAVNQTWVFINFLLFLIPTLVMITVYSKIFLIAK QQAQNIEKMSKQTARASESYKDRVTKRERKAAKTLGIAVAAFLLSWLPYFIDSIIDAFLG FITPTYVYEILVWIVYYNSAMNPLIYAFFYSWFRKAIKLIVSGKILRENSSTTNLFPE >ENSMUSP00000104076.1 pep:known chromosome:GRCm38:11:75431732:75438900:-1 gene:ENSMUSG00000038224.12 transcript:ENSMUST00000108437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinf2 description:serine (or cysteine) peptidase inhibitor, clade F, member 2 [Source:MGI Symbol;Acc:MGI:107173] MALLRGLLVLSLSCLQGPCFTFSPVSAVDLPGQQPVSEQAQQKLPLPALFKLDNQDFGDH ATLKRSPGHCKSVPTAEETRRLAQAMMAFTTDLFSLVAQTSTSSNLVLSPLSVALALSHL ALGAQNQTLHSLHRVLHMNTGSCLPHLLSHFYQNLGPGTIRLAARIYLQKGFPIKDDFLE QSERLFGAKPVKLTGKQEEDLANINQWVKEATEGKIEDFLSELPDSTVLLLLNAIHFHGF WRTKFDPSLTQKDFFHLDERFTVSVDMMHAVSYPLRWFLLEQPEIQVAHFPFKNNMSFVV VMPTYFEWNVSEVLANLTWDTLYHPSLQERPTKVWLPKLHLQQQLDLVATLSQLGLQELF QGPDLRGISEQNLVVSSVQHQSTMELSEAGVEAAAATSVAMNRMSLSSFTVNRPFLFFIM EDTIGVPLFVGSVRNPNPSALPQLQEQRDSPDNRLIGQNDKADFHGGKTFGPDLKLAPRM EEDYPQFSSPK >ENSMUSP00000114450.1 pep:known chromosome:GRCm38:11:75435914:75439591:-1 gene:ENSMUSG00000038224.12 transcript:ENSMUST00000128330.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinf2 description:serine (or cysteine) peptidase inhibitor, clade F, member 2 [Source:MGI Symbol;Acc:MGI:107173] MALLRGLLVLSLSCLQGPCFTFSPVSAVDLPGQQPVSEQAQQKLPLPALFKLDNQDFGDH ATLKRSPGHCKSVPTAEETRRLAQAMMAFTTDLFSLVAQTSTSSNLVLSPLSVALALSHL ALGAQNQTLHSLHRVLHMNTGSCLPHLLSHFYQNLGPGTIRLAARIYLQKGFPIKDDFLE QSERLFGAKPVKLTGKQEEDLANINQWVKEATEGKIEDFLSELPDSTVLLLLNAIHFHGF WRTKFDPSLTQKDFFHLDERFTVSVDMMHAVSYPLRWFLL >ENSMUSP00000120812.1 pep:known chromosome:GRCm38:11:75436433:75439578:-1 gene:ENSMUSG00000038224.12 transcript:ENSMUST00000142094.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serpinf2 description:serine (or cysteine) peptidase inhibitor, clade F, member 2 [Source:MGI Symbol;Acc:MGI:107173] MALLRGLLVLSLSCLQGPCFTFSPVSAVDLPGQQPVSEQAQQKLPLPALFKLDNQVQSGF W >ENSMUSP00000048704.2 pep:known chromosome:GRCm38:11:75431736:75439501:-1 gene:ENSMUSG00000038224.12 transcript:ENSMUST00000043696.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinf2 description:serine (or cysteine) peptidase inhibitor, clade F, member 2 [Source:MGI Symbol;Acc:MGI:107173] MALLRGLLVLSLSCLQGPCFTFSPVSAVDLPGQQPVSEQAQQKLPLPALFKLDNQDFGDH ATLKRSPGHCKSVPTAEETRRLAQAMMAFTTDLFSLVAQTSTSSNLVLSPLSVALALSHL ALGAQNQTLHSLHRVLHMNTGSCLPHLLSHFYQNLGPGTIRLAARIYLQKGFPIKDDFLE QSERLFGAKPVKLTGKQEEDLANINQWVKEATEGKIEDFLSELPDSTVLLLLNAIHFHGF WRTKFDPSLTQKDFFHLDERFTVSVDMMHAVSYPLRWFLLEQPEIQVAHFPFKNNMSFVV VMPTYFEWNVSEVLANLTWDTLYHPSLQERPTKVWLPKLHLQQQLDLVATLSQLGLQELF QGPDLRGISEQNLVVSSVQHQSTMELSEAGVEAAAATSVAMNRMSLSSFTVNRPFLFFIM EDTIGVPLFVGSVRNPNPSALPQLQEQRDSPDNRLIGQNDKADFHGGKTFGPDLKLAPRM EEDYPQFSSPK >ENSMUSP00000098043.1 pep:known chromosome:GRCm38:11:99879187:99880229:1 gene:ENSMUSG00000059845.5 transcript:ENSMUST00000078442.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11567 description:predicted gene 11567 [Source:MGI Symbol;Acc:MGI:3649436] MTNSCCSPCCQPTCCRTTCCRTTCWRPSCVTSCCQPCCQPSCCGSSCCQPCCQTTCCRTC FQPCCVSSCCRTPCCQPCCCVSSCCQPCCQPSCCQSSCCQPSCCQSSCCQPSCCQSSCCQ PRCCISSCCQPCCRPSCCQSSCCKPCCQPFCLNLCCQPACSGPVTCTRTCYQPTCVCVPG CLSQGCGSNCSQSCC >ENSMUSP00000122645.1 pep:known chromosome:GRCm38:7:84994645:85012020:-1 gene:ENSMUSG00000094950.1 transcript:ENSMUST00000124773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r66 description:vomeronasal 2, receptor 66 [Source:MGI Symbol;Acc:MGI:3588220] MFTLISVFWFLKISSIFCHLSDPRCFWRIKDTKNHLGDKENNCFFSIYTKRGYVKNDYFS CNLDKQVTPKTIHLIFSVYLAIEEINKDYHILPNISLLVNIECDQRIYDKKSGLGLKSKE IIPNYYCRNQRRYLIVLTIPEWTVSTSLGPFLYISRIPELYCGNFHLLLNDNEQFPHLYQ ISPKDTSLPLAMVSLVVHFRWNWIGAIVTNDDHGIQFLSELRGEMQKHIVCLSVAIIIQT EKIMALKEFHTHYNQIIMSSAKVVIVYGDKDSPIHFALIVWKSKGIWRIWVSVSQFDMIT IIGDFLLYSSIGTFIFSHQQPEIPGFEQFIQTVHPSNYSSEHSLAKLWWTYFRCSLPPSN CKKLKNCPTTTVFKWLFMTPLGMAMSNTCYNLYNGVYAVALSLHEMILQQVDTWSMNAGK ELEFDSWKLFYFLKTLQFVNPAGELVIMNQNLKQDTEYDIFFIMDFKKYYGLKIKIGRFS GHLPSGQQLLMSTEMIKWATGIDQILPSVCSMPCRPGLRKSPQEGKDICCFVCYPCPENE ISNMTNMDQCVKCPEDQYANEDQTLCLQKVVAVLDYRDPLGKALAGFALCFSVLTSVVIC VFLKHRETPIVKANNQTLSYVLLISLIFCFMSSLLYIGHPTMVICILQQTTFAIVFTVAA STILAKTITVVLAFKATVPGRRMRWLLVSGAPKYIILICTMVQLILCGIWLRISPPFVDA DIHMLHGQIIIVCNKGSVVAFYCVLGYMGSIALASFTVAFLARNLPHTFNEAKLLTFSML VFCSVWITFIPVYHSTKGKTTVAVEVFCILASSAGLLLCIFAPKCYLILLRPQTNSFYKF RRPHAKAENIS >ENSMUSP00000116938.1 pep:known chromosome:GRCm38:10:62981428:62989170:1 gene:ENSMUSG00000020070.18 transcript:ENSMUST00000156302.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy2 description:RUN and FYVE domain-containing 2 [Source:MGI Symbol;Acc:MGI:1917682] MAKLSIKGLIESALSFGRTLDSDYPPLQQFFVVMEHCLKHGLKGRKSFLSYNKTIWGPL >ENSMUSP00000113429.1 pep:known chromosome:GRCm38:10:62980242:63013955:1 gene:ENSMUSG00000020070.18 transcript:ENSMUST00000119567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy2 description:RUN and FYVE domain-containing 2 [Source:MGI Symbol;Acc:MGI:1917682] MATKDPTAVERANLLNMAKLSIKGLIESALSFGRTLDSDYPPLQQFFVVMEHCLKHGLKG RKSFLSYNKTIWGPLELVEKLYPEAEEIGASVRDLPGLKTPLGRARAWLRLALMQKKMAD YLRCLIIQRELLSEFYEYHALMMEEEGAVIVGLLVGLNVIDANLCVKGEDLDSQVGVIDF SMYLKNEEEIGNKERNVQIAAILDQKNYVEELNRQLNSTVSSLHSRVDSLEKSNTKLIEE LAIAKNNIIKLQEENHQLRSENELILMRTRQHLEVTKVDVETELQTYKHSRQGLDEMYND ARRQLRDESQLRQDVENELSVQVGMKHEMELAMKLLEKDIHEKQDTLIGLRQQLEEVKAI NIEMYQRLQGSEDGLKEKNEIIARLEEKTNKITTAMRQLEQRLQQAEKAQKEAEAEDEKY AQECLSQSDSLQRQISQKEQQLVQLETDLKIEKEWRQTLQEDLQKEKDVLSHLRHETQKV ISLKKEFLNLQDENQQLKRIYQEQEQALQELGSKLCESKLKIDDIKEANKALQGLVWLKD KDATHCKLCEKEFSLSKRKHHCRNCGEIFCNACSDNELPLPSSPKPVRVCDSCHAMLIQR CSSNMP >ENSMUSP00000115339.1 pep:known chromosome:GRCm38:10:62980252:63017210:1 gene:ENSMUSG00000020070.18 transcript:ENSMUST00000143594.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rufy2 description:RUN and FYVE domain-containing 2 [Source:MGI Symbol;Acc:MGI:1917682] MATKDPTAVERANLLNMAKLSIKGLIESALSFGRTLDSDYPPLQQFFVVMEHCLKHGLKG RKSFLSYNKTIWGPLELVEKLYPEAEEIGASVRDLPGLKTPLGRARAWLRLALMQKKMAD YLRCLIIQRELLSEFYEYHALMMEEEGAVIVGLLVGLNVIDANLCVKGEDLDSQVGVIDF SMYLKNEEEIGNKERNVQIAAILDQKNYVEELNRQLNSTVSSLHSRVDSLEKSNTKLIEE LAIAKNNIIKLQEENHQLRSENELILMRTRQHLEVTKVDVETELQTYKHSRQGLDEMYND ARRQLRDESQLRQDVENELSVQVGMKHEMELAMKLLEKDIHEKQDTLIGLRQQLEEVKAI NIEMYQRLQGSEDGLKEKNEIIARLEEKTNKITTAMRQLEQSDNDLLTQTRTIAVSLVKS ASSDPQDQYKLVKDISF >ENSMUSP00000121419.1 pep:known chromosome:GRCm38:10:62980239:63004466:1 gene:ENSMUSG00000020070.18 transcript:ENSMUST00000131718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy2 description:RUN and FYVE domain-containing 2 [Source:MGI Symbol;Acc:MGI:1917682] MATKDPTAVERANLLNMAKLSIKGLIESALSFGRTLDSDYPPLQQFFVVMEHCLKHGLKG RKSFLSYNKTIWGPLELVEKLYPEAEEIGASVRDLPGLKTPLGRARAWLRLALMQKKMAD YLRCLIIQRELLSEFYEYHALMMEEEGAVIVGLLVGLNVIDANLCVKGEDLDSQVGVIDF SMYLKNEEEIGNKERNVQIAAILDQKNYVEELNRQLNSTVSSLHSRVDSLEKSNTKLIEE LAIAKNNIIKLQEENHQLRSENELILMRTRQHLEVTKVDVETELQTYKHSRQGLDEMYND ARRQLRDESQLRQDVENELSVQVGMKHEMELAMKLLEKDIHEKQDTLIGLRQQLEEVKAI NIEMYQRLQGSEDGLKEKNEIIARLEEKTNKITTAMRQLEQSDNDLLTQTRTIAVSLVKS ASSDPQDQYKLVKDISF >ENSMUSP00000113754.1 pep:known chromosome:GRCm38:10:62980262:63004439:1 gene:ENSMUSG00000020070.18 transcript:ENSMUST00000122231.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy2 description:RUN and FYVE domain-containing 2 [Source:MGI Symbol;Acc:MGI:1917682] MATKDPTAVERANLLNMAKLSIKGLIESALSFGRTLDSDYPPLQQFFVVMEHCLKHGLKG RKSFLSYNKTIWGPLELVEKLYPEAEEIGASVRDLPGLNEFYEYHALMMEEEGAVIVGLL VGLNVIDANLCVKGEDLDSQVGVIDFSMYLKNEEEIGNKERNVQIAAILDQKNYVEELNR QLNSTVSSLHSRVDSLEKSNTKLIEELAIAKNNIIKLQEENHQLRSENELILMRTRQHLE VTKVDVETELQTYKHSRQGLDEMYNDARRQLRDESQLRQDVENELSVQVGMKHEMELAMK LLEKDIHEKQDTLIGLRQQLEEVKAINIEMYQRLQGSEDGLKEKNEIIARLEEKTNKITT AMRQLEQSDNDLLTQTRTIAVSLVKSASSDPQDQYKLVKDISF >ENSMUSP00000059982.7 pep:known chromosome:GRCm38:10:62980293:63002219:1 gene:ENSMUSG00000020070.18 transcript:ENSMUST00000062600.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rufy2 description:RUN and FYVE domain-containing 2 [Source:MGI Symbol;Acc:MGI:1917682] MATKDPTAVERANLLNMAKLSIKGLIESALSFGRTLDSDYPPLQQFFVVMEHCLKHGLKG RKSFLSYNKTIWGPLELVEKLYPEAEEIGASVRDLPGLKTPLGRARAWLRLALMQKKMAD YLRCLIIQRELLSEFYEYHALMMEEEGAVIVGLLVGLNVIDANLCVKGEDLDSQVGVIDF SMYLKNEEEIGNKERNVQIAAILDQKNYVEELNRQLN >ENSMUSP00000031900.5 pep:known chromosome:GRCm38:6:41684431:41685717:1 gene:ENSMUSG00000029867.5 transcript:ENSMUST00000031900.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700034O15Rik description:RIKEN cDNA 1700034O15 gene [Source:MGI Symbol;Acc:MGI:1923856] MTSLGSQLHRATFLTALLLLLLLQVKGVKTLIVSASLDGDKSQKDKVSSEDQGEEEYEEH FEASSEGEQWQEIDMVQQEDTISQAITLQDHLLDLAFCFNLASIMFFL >ENSMUSP00000090326.2 pep:known chromosome:GRCm38:10:24037614:24038690:1 gene:ENSMUSG00000100689.1 transcript:ENSMUST00000092656.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar7e description:trace amine-associated receptor 7E [Source:MGI Symbol;Acc:MGI:3527445] MATGDDSFLWDQDSILSRDLFSATSAELCYENLNRSCVRSPYSPGPRLILYAVFGFGAVL AVCGNLLVMTSILHFRQLHSPANFLVASLACADFLVGLTVMPFSTVRSVEGCWYFGEIYC KLHTCFDVSFCSSSIFHLCFISVDRYIAVSDPLIYPTRFTASVSNKCITFSWLLSISYGF SLIYTGASEAGLEDLVSALTCVGGCQLAVNQSWVFINFLLFLIPTLVMITVYSKIFLIAK QQAQNIEKMSKQTARASDSYKDRVAKRERKAAKTLGIAVAAFLLSWLPYFIDSFIDAFLG FITPTYVYEILVWIAYYNSAMNPLIYAFFYPWFRKAIKLTVTGKILRENSSTTNLFPE >ENSMUSP00000060323.1 pep:known chromosome:GRCm38:11:97490783:97500340:-1 gene:ENSMUSG00000047988.1 transcript:ENSMUST00000056955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933428G20Rik description:RIKEN cDNA 4933428G20 gene [Source:MGI Symbol;Acc:MGI:1918475] MTLKHWRENASFGCSPQKPQWGDTAHHRYSEEMETTQCRRRCVPHSHPTRRKRAPLSYQG SASRGRRQSPRSLAPPGLSRSGSLMTGLMSSMSGALSVGMP >ENSMUSP00000131480.1 pep:known chromosome:GRCm38:12:17172100:17176888:-1 gene:ENSMUSG00000051726.6 transcript:ENSMUST00000170580.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnf1 description:potassium voltage-gated channel, subfamily F, member 1 [Source:MGI Symbol;Acc:MGI:2687399] MEPGLASEPAGSMDASAEQSLPEPGSQDSVAGEDIEIVVNVGGVRQVLYGDLLSQYPETR LAELINCLAGGYDTIFSLCDDYDPGKREFYFDRDPDAFKCVIEVYYFGEVHMKKGICPIC FKNEMDFWKVDLKFLDDCCKSHLSEKREELEEIARRVQLILDDLGVDAAEGRWRRCQKCV WKFLEKPESSCPARVVAVLSFLLILVSSVVMCMGTIPELQVVDSEGNRVEHPTLENVETA CIGWFTLEYLLRLFSSPNKLHFALSFMNIVDVLAILPFYVSLTLTHLGARMMELTNVQQA VQALRIMRIARIFKLARHSSGLQTLTYALKRSFKELGLLLMYLAVGIFVFSALGYTMEQS HPETLFKSIPQSFWWAIITMTTVGYGDIYPKTTLGKLNAAISFLCGVIAIALPIHPIINN FVRYYNKQRVLETAAKHELELMELNSSSAEGKPGGSRSDLDTLPPEPAAREGPSWGSRLK LSHSDTFIPLLTEEKHHRTRLQSCK >ENSMUSP00000112999.1 pep:known chromosome:GRCm38:11:97415533:97502402:1 gene:ENSMUSG00000049807.16 transcript:ENSMUST00000121799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap23 description:Rho GTPase activating protein 23 [Source:MGI Symbol;Acc:MGI:3697726] MNGVAFCLVGIPPRPEPRPPQLPLGPRDGCSSGRPLPWPGPRTLLLRKSLQDGFGFTLRH FIVYPPESAVHCILKEEENGGRGGRGGGGGPSPRHRLEPMDTIFVKNVKDGGPAHRAGLR TGDRLVKVNGESIIGKTYSQVIGLIQNSDDTLELSIMPKDEDILQLAYSQDAYLKGNEPY SGEARSIPEPPPLCYPRKTYAPPTRAPAWATMVPEPISALPPDPRSPAAWSDPGSRVPSA TRAHLDNSSLGMSQPRPSPGAFPHLPSESRTPRAFPEPGSRVLPSRLECQQALSHWLSNQ IPRRAGERRCPAMPPRARSASQDRLEDVTTHHPWPCSTSQDALSQLGQEGWHRARSDDYL SRATRSAEALGPGALVSPRLERCGWASQRPSARTSACPSRDLTQAPPPSGLQGLDDIGYI GYRSYSPSFQRRTGLLHALSFRDSPFGGLPTFSLAQSPASFPPEASEPPRVVRPDPSTRA LEPPAEDHRDEVVLRQKPPTGRKVQLTPARQMNLGFGDESPEPEARGERLGRKVAPLATT EDSLASIPFIDEPTSPSIDLQAKHVPASAVVSSAMNSAPVLGTSPSSPTFTFALSRHYSQ DCSSIKAGRRSSYLLAITTERSKSCDDGLNTFRDEGRVLRRLPSRVPSLRVLRSFFTDGS LDSWGTSEDADAPSKRHSTSDLSDATFSDIRREGWLYYKQILTKKGKKAGGGLRQWKRVY AVLRARSLSLSKERREPGPAAAGAAAAGAGEDEAAPVCIGSCLVDISYSETKRRHVFRLT TADFCEYLFQAEDRDDMLGWIRAIRENSRAEGEDPGCANQALISKKLNDYRKVSHSSGPK ADSSPKGSRGLGGLKSEFLKQTAVRGLRTQEQPPGSKEDSVAAPKTPWGINIIKKNKKAA PRAFGIRLEECQPATENQRVPLIVAACCRIVEARGLESTGIYRVPGNNAVVSSLQEQLNR GPSDINLQDERWQDLNVISSLLKAFFRKLPEPLFTDDKYNDFIEANRIEDSRERMKTLRK LIRDLPGHYYETLKFLVGHLKTIADHSEKNKMEPRNLALVFGPTLVRTSEDNMTDMVTHM PDRYKIVETLIQHSDWFFSDDEDKGERTPVDDKEPQSVPNIEYLLPNIGRTVPPSDPGSD STTCSSAKSKGSWVPKKEPYAREMLAISFISAVNRKRKKRREARGLGSSTDDDSEQEAHK AAVGTQEPPEGQLPGPAAEEAPGRLSPPTAPDERPAADTRSIVSGYSTLSTMDRSVCSGT GGRRAGAGDEADDERSELSHVETDTEGGAGPGGRLSRRPSFSSHHLMPCDTLARRRLSRS RAEAEGPGAGTARACPRGPEPPGSASSSSQESLRPPAAAPALGSRPSRVEALRLRLRGTA DDMLAVRLRRPLSPETRRRRSSWRRHTVVVQSPLTDLNFNEWKELGGGGPQESVGVRPHS DNKDSGLSSLESTKARASSAASLPSGDLGALQGLPQRRSAAARLHQCL >ENSMUSP00000123191.1 pep:known chromosome:GRCm38:11:97452130:97502402:1 gene:ENSMUSG00000049807.16 transcript:ENSMUST00000142465.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap23 description:Rho GTPase activating protein 23 [Source:MGI Symbol;Acc:MGI:3697726] MNLGFGDESPEPEARGERLGRKVAPLATTEDSLASIPFIDEPTSPSIDLQAKHVPASAVV SSAMNSAPVLGTSPSSPTFTFALSRHYSQDCSSIKAGRRSSYLLAITTERSKSCDDGLNT FRDEGRVLRRLPSRVPSLRVLRSFFTDGSLDSWGTSEDADAPSKRHSTSDLSDATFSDIR REGWLYYKQILTKKGKKAGGGLRQWKRVYAVLRARSLSLSKERREPGPAAAGAAAAGAGE DEAAPVCIGSCLVDISYSETKRRHVFRLTTADFCEYLFQAEDRDDMLGWIRAIRENSRAE GEDPGCANQALISKKLNDYRKVSHSSGPKADSSPKGSRGLGGLKSEFLKQTAVRGLRTQE QPPGSKEDSVAAPKTPWGINIIKKNKKAAPRAFGIRLEECQPATENQRVPLIVAACCRIV EARGLESTGIYRVPGNNAVVSSLQEQLNRGPSDINLQDERWQDLNVISSLLKAFFRKLPE PLFTDDKYNDFIEANRIEDSRERMKTLRKLIRDLPGHYYETLKFLVGHLKTIADHSEKNK MEPRNLALVFGPTLVRTSEDNMTDMVTHMPDRYKIVETLIQHSDWFFSDDEDKGERTPVD DKEPQSVPNIEYLLPNIGRTVPPSDPGSADLLEI >ENSMUSP00000091472.3 pep:known chromosome:GRCm38:11:97491144:97502398:1 gene:ENSMUSG00000049807.16 transcript:ENSMUST00000093940.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap23 description:Rho GTPase activating protein 23 [Source:MGI Symbol;Acc:MGI:3697726] MLAISFISAVNRKRKKRREARGLGSSTDDDSEQEAHKAAVGTQEPPEGQLPGPAAEEAPG RLSPPTAPDERPAADTRSIVSGYSTLSTMDRSVCSGTGGRRAGAGDEADDERSELSHVET DTEGGAGPGGRLSRRPSFSSHHLMPCDTLARRRLSRSRAEAEGPGAGTARACPRGPEPPG SASSSSQESLRPPAAAPALGSRPSRVEALRLRLRGTADDMLAVRLRRPLSPETRRRRSSW RRHTVVVQSPLTDLNFNEWKELGGGGPQESVGVRPHSDNKDSGLSSLESTKARASSAASL PSGDLGALQGLPQRRSAAARLHQCL >ENSMUSP00000103227.1 pep:known chromosome:GRCm38:11:97450160:97502400:1 gene:ENSMUSG00000049807.16 transcript:ENSMUST00000107601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap23 description:Rho GTPase activating protein 23 [Source:MGI Symbol;Acc:MGI:3697726] MVPEPISALPPDPRSPAAWSDPGSRVPSATRAHLDNSSLGMSQPRPSPGAFPHLPSESRT PRAFPEPGSRVLPSRLECQQALSHWLSNQIPRRAGERRCPAMPPRARSASQDRLEDVTTH HPWPCSTSQDALSQLGQEGWHRARSDDYLSRATRSAEALGPGALVSPRLERCGWASQRPS ARTSACPSRDLTQAPPPSGLQGLDDIGYIGYRSYSPSFQRRTGLLHALSFRDSPFGGLPT FSLAQSPASFPPEASEPPRVVRPDPSTRALEPPAEDHRDEVVLRQKPPTGRKVQLTPARQ MNLGFGDESPEPEARGERLGRKVAPLATTEDSLASIPFIDEPTSPSIDLQAKHVPASAVV SSAMNSAPVLGTSPSSPTFTFALSRHYSQDCSSIKAGRRSSYLLAITTERSKSCDDGLNT FRDEGRVLRRLPSRVPSLRVLRSFFTDGSLDSWGTSEDADAPSKRHSTSDLSDATFSDIR REGWLYYKQILTKKGKKAGGGLRQWKRVYAVLRARSLSLSKERREPGPAAAGAAAAGAGE DEAAPVCIGSCLVDISYSETKRRHVFRLTTADFCEYLFQAEDRDDMLGWIRAIRENSRAE GEDPGCANQALISKKLNDYRKVSHSSGPKADSSPKGSRGLGGLKSEFLKQTAVRGLRTQE QPPGSKEDSVAAPKTPWGINIIKKNKKAAPRAFGIRLEECQPATENQRVPLIVAACCRIV EARGLESTGIYRVPGNNAVVSSLQEQLNRGPSDINLQDERWQDLNVISSLLKAFFRKLPE PLFTDDKYNDFIEANRIEDSRERMKTLRKLIRDLPGHYYETLKFLVGHLKTIADHSEKNK MEPRNLALVFGPTLVRTSEDNMTDMVTHMPDRYKIVETLIQHSDWFFSDDEDKGERTPVD DKEPQSVPNIEYLLPNIGRTVPPSDPGSDSTTCSSAKSKGSWVPKKEPYAREMLAISFIS AVNRKRKKRREARGLGSSTDDDSEQEAHKAAVGTQEPPEGQLPGPAAEEAPGRLSPPTAP DERPAADTRSIVSGYSTLSTMDRSVCSGTGGRRAGAGDEADDERSELSHVETDTEGGAGP GGRLSRRPSFSSHHLMPCDTLARRRLSRSRAEAEGPGAGTARACPRGPEPPGSASSSSQE SLRPPAAAPALGSRPSRVEALRLRLRGTADDMLAVRLRRPLSPETRRRRSSWRRHTVVVQ SPLTDLNFNEWKELGGGGPQESVGVRPHSDNKDSGLSSLESTKARASSAASLPSGDLGAL QGLPQRRSAAARLHQCL >ENSMUSP00000063358.5 pep:known chromosome:GRCm38:11:75999912:76003569:1 gene:ENSMUSG00000053783.5 transcript:ENSMUST00000066408.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700016K19Rik description:RIKEN cDNA 1700016K19 gene [Source:MGI Symbol;Acc:MGI:1921480] MAGRGGTGAAEYGEEGEEEEEEEAREGGAEGSPGSKLPPIVGTASELAKRKVKKKKKKKK TKGSGKGDADKHHSRGRKNQPLSSSFHDILNPHKDHGLRAEPRDKEENRQTLPYSYSINH PCFAEIEDTLSSQINESLRWDGILTDPEAEKERIRIYKLNRRKRYRLMALKCFHSDPCVE ESVENLPYLSDKDCSPCSKQPSSKGDHAHSYFEASKLLHPELATTVAE >ENSMUSP00000025802.3 pep:known chromosome:GRCm38:19:4000580:4002103:1 gene:ENSMUSG00000024869.9 transcript:ENSMUST00000025802.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt8 description:nudix (nucleoside diphosphate linked moiety X)-type motif 8 [Source:MGI Symbol;Acc:MGI:1913637] MLPDGLSAEDEQRCRQLLARTTARLRSRPAAAAVLVPLCLVRGVPALLYTLRSSRLVGRH KGEVSFPGGKCDPDDQDVIHTALRETQEELGLEVPKEHVWGVLQPVYDREKATIVPVLAN VGPLDLQSLRPNLEEVDEVFEMSLAHLLQTQNQGYTHFCQGGHFSYTLPVFLHGPHRVWG LTAVITELTLKLLAPGFYQPSLAVPELPRG >ENSMUSP00000119218.1 pep:known chromosome:GRCm38:19:4000626:4005816:1 gene:ENSMUSG00000024869.9 transcript:ENSMUST00000155405.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nudt8 description:nudix (nucleoside diphosphate linked moiety X)-type motif 8 [Source:MGI Symbol;Acc:MGI:1913637] MLPDGLSAEDEQRCRQLLARTTARLRSRPAAAAVLVPLCLVRGVPALLYTLRSSRLVGRH KGEVSFPGGKCDPDDQDVIHTALRETQEELGLEVPKEHVWGVLQPVYDREKATIVPVLAN VGPLDLQSLRPNLEEVDEVFEMSLAHLLQTQNQGYTHFCQGGHFSYTLPVFLHGPHRVWG LTAVITELTLKLLAPGFYQPSLAVPELPRG >ENSMUSP00000122531.1 pep:known chromosome:GRCm38:19:4000631:4002101:1 gene:ENSMUSG00000024869.9 transcript:ENSMUST00000122924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt8 description:nudix (nucleoside diphosphate linked moiety X)-type motif 8 [Source:MGI Symbol;Acc:MGI:1913637] MAQRAKLPPDDLWSQDSLCPFSFPGGKCDPDDQDVIHTALRETQEELGLEVPKEHVWGVL QPVYDREKATIVPVLANVGPLDLQSLRPNLEEVDEVFEMSLAHLLQTQNQGYTHFCQGGH FSYTLPVFLHGPHRVWGLTAVITELTLKLLAPGFYQPSLAVPELPRG >ENSMUSP00000068525.3 pep:known chromosome:GRCm38:11:11814101:11898044:-1 gene:ENSMUSG00000020182.16 transcript:ENSMUST00000066237.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddc description:dopa decarboxylase [Source:MGI Symbol;Acc:MGI:94876] MDSREFRRRGKEMVDYIADYLDGIEGRPVYPDVEPGYLRPLIPATAPQEPETYEDIIKDI EKIIMPGVTHWHSPYFFAYFPTASSYPAMLADMLCGAIGCIGFSWAASPACTELETVMMD WLGKMLELPEAFLAGRAGEGGGVIQGSASEATLVALLAARTKVIRQLQAASPEFTQAAIM EKLVAYTSDQAHSSVERAGLIGGIKLKAVPSDGNFSMRASALREALERDKAAGLIPFFVV ATLGTTSCCSFDNLLEVGPICNQEGVWLHIDAAYAGSAFICPEFRYLLNGVEFADSFNFN PHKWLLVNFDCSAMWVKRRTDLTGAFNMDPVYLKHSHQDSGFITDYRHWQIPLGRRFRSL KMWFVFRMYGVKGLQAYIRKHVELSHEFESLVRQDPRFEICTEVILGLVCFRLKGSNELN ETLLQRINSAKKIHLVPCRLRDKFVLRFAVCARTVESAHVQLAWEHISDLASSVLRAEKE >ENSMUSP00000121096.1 pep:known chromosome:GRCm38:11:11846658:11890369:-1 gene:ENSMUSG00000020182.16 transcript:ENSMUST00000155690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddc description:dopa decarboxylase [Source:MGI Symbol;Acc:MGI:94876] MDSREFRRRGKEMVDYIADYLDGIEGRPVYPDVEPGYLRPLIPATAPQEPETYEDIIKDI EKIIMPGVTHWHSPYFFAYFPTASSYPAMLADMLCGAIGCIGFSWAASPACTELETVMMD WLGKMLELPEAFLAGRAGEGGGVIQGSASEATLVALLAARTKVIRQLQAASPEFTQAAIM EKLVAYTSDQAHSSVERAGLIGGIKLKAVPSDGNFSMRASALREALERDKAAGLIPFFVV ATLGTTSCCSFDN >ENSMUSP00000105286.2 pep:known chromosome:GRCm38:11:11814103:11890408:-1 gene:ENSMUSG00000020182.16 transcript:ENSMUST00000109659.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddc description:dopa decarboxylase [Source:MGI Symbol;Acc:MGI:94876] MDSREFRRRGKEMVDYIADYLDGIEGRPVYPDVEPGYLRPLIPATAPQEPETYEDIIKDI EKIIMPGVTHWHSPYFFAYFPTASSYPAMLADMLCGAIGCIGFSWAASPACTELETVMMD WLGKMLELPEAFLAGRAGEGGGVIQGSASEATLVALLAARTKVIRQLQAASPEFTQAAIM EKLVAYTSDQAHSSVERAGLIGGIKLKAVPSDGNFSMRASALREALERDKAAGLIPFFVV ATLGTTSCCSFDNLLEVGPICNQEGVWLHIDAAYAGSAFICPEFRYLLNGVEFADSFNFN PHKWLLVNFDCSAMWVKRRTDLTGAFNMDPVYLKHSHQDSGFITDYRHWQIPLGRRFRSL KMWFVFRMYGVKGLQAYIRKHVELSHEFESLVRQDPRFEICTEVILGLVCFRLKGSNELN ETLLQRINSAKKIHLVPCRLRDKFVLRFAVCARTVESAHVQLAWEHISDLASSVLRAEKE >ENSMUSP00000136467.1 pep:known chromosome:GRCm38:11:11814101:11898144:-1 gene:ENSMUSG00000020182.16 transcript:ENSMUST00000178704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddc description:dopa decarboxylase [Source:MGI Symbol;Acc:MGI:94876] MDSREFRRRGKEMVDYIADYLDGIEGRPVYPDVEPGYLRPLIPATAPQEPETYEDIIKDI EKIIMPGVTHWHSPYFFAYFPTASSYPAMLADMLCGAIGCIGFSWAASPACTELETVMMD WLGKMLELPEAFLAGRAGEGGGVIQGSASEATLVALLAARTKVIRQLQAASPEFTQAAIM EKLVAYTSDQAHSSVERAGLIGGIKLKAVPSDGNFSMRASALREALERDKAAGLIPFFVV ATLGTTSCCSFDNLLEVGPICNQEGVWLHIDAAYAGSAFICPEFRYLLNGVEFADSFNFN PHKWLLVNFDCSAMWVKRRTDLTGAFNMDPVYLKHSHQDSGFITDYRHWQIPLGRRFRSL KMWFVFRMYGVKGLQAYIRKHVELSHEFESLVRQDPRFEICTEVILGLVCFRLKGSNELN ETLLQRINSAKKIHLVPCRLRDKFVLRFAVCARTVESAHVQLAWEHISDLASSVLRAEKE >ENSMUSP00000087713.4 pep:known chromosome:GRCm38:3:131318985:131344933:-1 gene:ENSMUSG00000050931.7 transcript:ENSMUST00000090246.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgms2 description:sphingomyelin synthase 2 [Source:MGI Symbol;Acc:MGI:1921692] MDIIETAKLEGHLESQTNDSTNTYTSPTEAVEEEGKNGKGKPKTLSNGLRKGAKKYPDYI QISMPNDSKNKFPLEWWKTGIAFVYALFNLILTTVMITVVHERVPPKELSPPLPDKFFDY FDRVKWAFSVSEINGMVLVGLWITQWLFLRYKSIVGRRFFFIMGTLYLYRCITMYVTTLP VPGMHFQCAPKLNGDSQAKIQRILRLISGGGLSITGSHILCGDFLFSGHTVVLTLTYLFI KEYSPRHFWWYHLVCWLLSAAGIICILVAHEHYTVDVIIAYYITTRLFWWYHSMANEKNL KVSSQTNFLSRAWWFPIFYFFEKNVQGSIPCCFSWPLSWPPGCFKSSCRKYSRVQKIGED NEKST >ENSMUSP00000114192.1 pep:known chromosome:GRCm38:3:131342110:131344940:-1 gene:ENSMUSG00000050931.7 transcript:ENSMUST00000126569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgms2 description:sphingomyelin synthase 2 [Source:MGI Symbol;Acc:MGI:1921692] MDIIETAKLEGHLESQTNDSTNTYTSPTEAVEEEGKNG >ENSMUSP00000143170.1 pep:known chromosome:GRCm38:3:131342169:131490479:-1 gene:ENSMUSG00000050931.7 transcript:ENSMUST00000197057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgms2 description:sphingomyelin synthase 2 [Source:MGI Symbol;Acc:MGI:1921692] MDIIETAKLEGHLESQTN >ENSMUSP00000009425.5 pep:known chromosome:GRCm38:6:48569696:48572688:-1 gene:ENSMUSG00000009281.6 transcript:ENSMUST00000009425.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rarres2 description:retinoic acid receptor responder (tazarotene induced) 2 [Source:MGI Symbol;Acc:MGI:1918910] MKCLLISLALWLGTVGTRGTEPELSETQRRSLQVALEEFHKHPPVQLAFQEIGVDRAEEV LFSAGTFVRLEFKLQQTNCPKKDWKKPECTIKPNGRRRKCLACIKMDPKGKILGRIVHCP ILKQGPQQDPQELQCIKIAQAGEDPHGYFLPGQFAFSRALRTK >ENSMUSP00000144793.1 pep:known chromosome:GRCm38:6:48569696:48572755:-1 gene:ENSMUSG00000009281.6 transcript:ENSMUST00000204267.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rarres2 description:retinoic acid receptor responder (tazarotene induced) 2 [Source:MGI Symbol;Acc:MGI:1918910] MKCLLISLALWLGTVGTRGTEPELSETQRRSLQVALEEFHKHPPVQLAFQEIGVDRAEEV LFSAGTFVRLEFKLQQTNCPKKDWKKPECTIKPNGRRRKCLACIKMDPKGKILGRIVHCP ILKQGPQDPQELQCIKIAQAGEDPHGYFLPGQFAFSRALRTK >ENSMUSP00000144799.1 pep:known chromosome:GRCm38:6:48569696:48572787:-1 gene:ENSMUSG00000009281.6 transcript:ENSMUST00000204930.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rarres2 description:retinoic acid receptor responder (tazarotene induced) 2 [Source:MGI Symbol;Acc:MGI:1918910] MKCLLISLALWLGTVGTRGTEPELSETQRRSLQVALEEFHKHPPVQLAFQEIGVDRAEEV LFSAGTFVRLEFKLQQTNCPKKDWKKPECTIKPNGRRRKCLACIKMDPKGKILGRIVHCP ILKQGPQDPQELQCIKIAQAGEDPHGYFLPGQFAFSRALRTK >ENSMUSP00000145257.1 pep:known chromosome:GRCm38:6:48569710:48572789:-1 gene:ENSMUSG00000009281.6 transcript:ENSMUST00000204182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rarres2 description:retinoic acid receptor responder (tazarotene induced) 2 [Source:MGI Symbol;Acc:MGI:1918910] MKCLLISLALWLGTVGTRGTEPELSETQRRSLQVALEEFHKHPPVQLAFQEIGVDRAEEV LFSAGTFVRLEFKLQQTNCPKKDWKKPECTIKPNGRRRKCLACIKMDPKGKILGRIVHCP ILKQGPQQDPQELQCIKIAQAGEDPHGYFLPGQFAFSRALRTK >ENSMUSP00000099121.1 pep:known chromosome:GRCm38:X:52791200:52799468:1 gene:ENSMUSG00000073207.1 transcript:ENSMUST00000101588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc160 description:coiled-coil domain containing 160 [Source:MGI Symbol;Acc:MGI:3588225] MDARRKHWKDNTFTPFLNEHDFQEAAAPPQPLSEQSSADNHKRMGRVSNFSVRNTQEGNK FKRKDYSSQIAEGEQDSNLRERRMNVSKNDANTNSAFWDSLHLDDATKESSHRRESASAW NKKKLPAATQVTRKKWTEAMPPKLRLHLLNEELGELSLKCREIERDFENAEKELLNFRKE ASVKAVNFQEPGTGASKKDRELQALKNDLSEKATNVKNLTEELQQAKEVMYRLSLENRNL KDAVRKLKHQTELNTALLREEMKLFYELEMEKIRLELGAIKNELRVEKTLRVKNSRALEV LGRHVASVVRSSNPADHFTGNIF >ENSMUSP00000137651.1 pep:known chromosome:GRCm38:13:113035111:113038324:1 gene:ENSMUSG00000078926.8 transcript:ENSMUST00000180543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc20b description:cell division cycle 20B [Source:MGI Symbol;Acc:MGI:3644472] DTPDLTSSRRWPVAGPTEGGSRFDCRPAGFLKENIMRVLANGMKQQRNQGSPKAV >ENSMUSP00000137849.1 pep:known chromosome:GRCm38:13:113035379:113091195:1 gene:ENSMUSG00000078926.8 transcript:ENSMUST00000181568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc20b description:cell division cycle 20B [Source:MGI Symbol;Acc:MGI:3644472] MEWKLQRTARRKIRTEEEMLWENIMRVLANGMKQQRNQGSPKELDSVAVTYSSFKSNFVK RLSAEIPVASSPITTRWQLSPARDPESSSSVEEGPPSHTPESLASGLKITPAADTLTLRS HNKNSPKTLSKGSSEVNNSTLRFCKTPLAGDRGWKENLATKGQRCLNQPFSTQKGAQQID GKMHLCEESRCVRTGCRFGARDEFYLRRFSGVYHSTCQPEVKIHLTGLRNDYYLNTLDWS SQNLVAVALGTSVYIWNGQNHSWIENIDLSVCCHYVSSVTWMREGSCLAVGTSEGEVQLW DAITKKQLRNLHGHLSVVGALSWNHCTLSSGSRLGRVHHHDVRVAQHRVGTLYHKEAVCS LKWSPDGRLLSSGCNDGLLTIWPHDPGAGVQGLPLKVIPQSTAVKICSLIWLPKTKEIAT GQGAPKNDVALWTCPTLFRSGGFFGHRDRVLHLSLSPDQTRLFSAAADGTACVWKCC >ENSMUSP00000104867.1 pep:known chromosome:GRCm38:13:113035379:113091195:1 gene:ENSMUSG00000078926.8 transcript:ENSMUST00000109244.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc20b description:cell division cycle 20B [Source:MGI Symbol;Acc:MGI:3644472] MEWKLQRTARRKIRTEEEMLWENIMRVLANGMKQQRNQGSPKELDSVAVTYSSFKSNFVK RLSAEIPVASSPITTRWQLSPARDPESSSSVEEGPPSHTPESLASGLKITPAADTLTLRS HNKNSPKTLSKGSSEVNNSTLRFCKTPLAGDRGWKENLATKGQRCLNQPFSTQKGAQQID GKMHLCEESRCVRTGCRFGARDEFYLRRFSGVYHSTCQPEVKIHLTGLRNDYYLNTLDWS SQNLVAVALGTSVYIWNGQNHSWIENIDLSVCCHYVSSVTWMREGSCLAVGTSEGEVQLW DAITKKQLRNLHGHLSVVGALSWNHCTLSSGSRLGRVHHHDVRVAQHRVGTLYHKEAVCS LKWSPDGRLLSSGCNDGLLTIWPHDPGAGVQGLPLKVIPQSTAVKAMEWCPWQSEVLAVG GGVKDGCLHVLDINTGKNIQTPSTQSQICSLIWLPKTKEIATGQGAPKNDVALWTCPTLF RSGGFFGHRDRVLHLSLSPDQTRLFSAAADGTACVWKCC >ENSMUSP00000137915.1 pep:known chromosome:GRCm38:13:113035379:113091195:1 gene:ENSMUSG00000078926.8 transcript:ENSMUST00000181117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc20b description:cell division cycle 20B [Source:MGI Symbol;Acc:MGI:3644472] MEWKLQRTARRKIRTEEEMLWENIMRVLANGMKQQRNQGSPKELDSVAVTYSSFKSNFVK RLSAEIPVASSPITTRWQLSPARDPESSSSVEEGPPSHTPESLASGLKITPAADTLTLRS HNKNSPKTLSKGSSEVNNSTLRFCKTPLAGDRGWKENLATKGQRCLNQPFSTQKGAQQID GKMHLCEESRCVRTGCRFGARDEFYLRRFSGVYHSTCQPEVKIHLTGLRNDYYLNTLDWS SQNLVAVALGTSVYIWNGQNHSWIENIDLSVCCHYVSSVTWMREGSCLAVGTSEGEVQLW DAITKKQLRNLHGHLSVVGALSWNHCTLSSGSRLGRVHHHDVRVAQHRVGTLYHKEAVCS LKWSPDGRLLSSGCNDGLLTIWPHDPGAGVQGLPLKVIPQSTAVKAMEWCPWQSEVLAVG GGVKDGCLHVLDINTGKNIQTPSTQSQICSLIWLPKTKEIATGQGAPKNDVALWTCPTLF RSGHRDRVLHLSLSPDQTRLFSAAADGTACVWKCC >ENSMUSP00000137759.1 pep:known chromosome:GRCm38:13:113035387:113038324:1 gene:ENSMUSG00000078926.8 transcript:ENSMUST00000181741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc20b description:cell division cycle 20B [Source:MGI Symbol;Acc:MGI:3644472] XKLQRTARRKIRTEEEMLWENIMRVLANGMKQQRNQGSPKAV >ENSMUSP00000105516.1 pep:known chromosome:GRCm38:2:151086786:151092350:-1 gene:ENSMUSG00000079006.3 transcript:ENSMUST00000109890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14151 description:predicted gene 14151 [Source:MGI Symbol;Acc:MGI:3651016] MKRWQVCQDLRSNTFEEAALTEHYEILTTLGQGTFGDVKLANHLVTQTKVAIKILPQNRK NPLVQPEIEIMKSLDHPHIIKLLHIIDTTRNIFIVLEHAVGGELMNRIEEFGYLAEVECH RLFKQLVYALQYCHEKGIVHRDLKPENILLDHRGNVKLTDFGLGTKIIMGQKLVTFCGTL PYCAPELFEDRGYDGRATDVWSLGVVLYFMATGCLPFNGYSYEAIKQKIIAGKYPRSFSL SPELWEVIAKLLTVNPGERPTVHDIARFKWLKPDNEASPASLGENIESHPDPSIMVLMGV MGYNPGEIRESLREKKFDQVMATYLMLKQQSAWENKTTKKPDPRLCDRMLRSTEPTIKNQ TAVRRASSVPTHSTFSLPNESESLEKGKRTTMSHSMPPTRNCFNEETTPLHSICPQLVQK AHYRRSIWGETEISDTSEESSTGESLEHPSLKIHTLQTSMGVSKAGSTYSKSKGSSQCVS SHHTSVEEDQCEGTNISREINPPLSPVSPQENLMGQLHIVTTAGSMDIMNTQVTSPPFSR KEAKGEGPAIQQRESRPSSPNTLQGHLHGRHQTAPQAPFQRRVWRTLRNGLIRGLRTLCC CLPIEKRVHPTNNRDLAVSQKSQGGSHGIRAFRGTVLPEK >ENSMUSP00000035562.7 pep:known chromosome:GRCm38:11:83065112:83070678:1 gene:ENSMUSG00000072620.3 transcript:ENSMUST00000038038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn2 description:schlafen 2 [Source:MGI Symbol;Acc:MGI:1313258] MGTRLEATEQSNHRTQRNDIQLENAKAAGKMGISVDLEAKYAKLGLNLGAITFGEKDRKK MKNSHLRKQENANISLAVCALLNSGGGAIKVKIENENYSLTRDGLGLDLEASLCKCLPFV QWHLDFTESEGYIYIYVKSWSQEIFGLPIGTLRTNLYVRSMSSSVQVSAAAALEFLQDLE ETGGRPCVRPELPASIAFPEVEGEWHLEDLAAALFNRTEFQYEETFPFTRSRYVEVTLLS AKRLRKRIKELLPQTVSAFANTDGGFLFIGLDGKTQQIIGFEAEKSDLVLLESEIEKHIR QLPVTHFCEEKEKIKYTCKFIEVHKSGAVCAYVCALRVERFCCAVFAAEPESWHVEGGCV KRFTTEEWVKLQMNAPSG >ENSMUSP00000025806.3 pep:known chromosome:GRCm38:19:4003336:4007005:1 gene:ENSMUSG00000024871.10 transcript:ENSMUST00000025806.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Doc2g description:double C2, gamma [Source:MGI Symbol;Acc:MGI:1926250] MACAGPASGRQRVSMQEHMAIDVSPGPIRPIRLISNYFPHFYPFLEPVLRAPDRQAMLAP AIPSAPQLQPNPEPEGDSDDSTALGTLEFTLLFDEDNSALHCTAHRAKGLKPPAAGSVDT YVKANLLPGASKASQLRTRTVRGTREPVWEETLTYHGFTCQDAGRKTLRLCVCEDSRLRR RRRGPPLGELRVPLRKLVPNRARSFDICLEKRKLTKRPKSLDTARGMSLYEEEEMEAEVF GEERGRILLSLCYSSERGGLLVGVLRCVHLAPMDANGYSDPFVRLFLHPSSGKKSKYKTS VRRKTLNPEFNEEFFYAGHREELAQKALLVSVWDYDLGTADDFIGGVQLSGRASGERLRH WRECLGHCDHRLELWHLLDSVPPQLGD >ENSMUSP00000105514.1 pep:known chromosome:GRCm38:2:151098245:151103818:1 gene:ENSMUSG00000079005.3 transcript:ENSMUST00000109888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14147 description:predicted gene 14147 [Source:MGI Symbol;Acc:MGI:3651555] MKRWQVCQDLRSNTFEEAALTEHYEILTTLGQGTFGDVKLANHLVTQTKVAIKILPQNRK NPLVQPEIEIMKSLDHPHIIKLLHIIDTTRNIFIVLEHAVGGELMNRIEEFGYLAEVECH RLFKQLVYALQYCHEKGIVHRDLKPENILLDHRGNVKLTDFGLGTKIIMGQKLVTFCGTL PYCAPELFEDRGYDGRATDVWSLGVVLYFMATGCLPFNGYSYEAIKQKIIAGKYPRSFSL SPELWEVIAKLLTVNPGERPTVHDIARFKWLKPDNEASPASLGENIESHPDPSIMVLMGV MGYNPGEIRESLREKKFDQVMATYLMLKQQSAWENKTTKKPDPRLCDRMLRSTEPTIKNQ TAVRRASSVPTHSTFSLPNESESLEKGKRTTMSHSMPPTRNCFNEETTPLHSICPQLVQK AHYRRSIWGETEISDTSEESSTGESLEHPSLKIHTLQTSMGVSKAGSTYSKSKGSSQCVS SHHTSVEEDQCEGTNISREINPPLSPVSPQENLMGQLHIVTTAGSMDIMNTQVTSPPFSR KEAKGEGPAIQQRESRPSSPNTLQGHLHGRHQTAPQAPFQRRVWRTLRNGLIRGLRTLCC CLPIEKRVHPTNNRDLAVSQKSQGGSHGIRAFRGTVLPEK >ENSMUSP00000137552.1 pep:known chromosome:GRCm38:2:151100608:151103041:1 gene:ENSMUSG00000079005.3 transcript:ENSMUST00000178211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14147 description:predicted gene 14147 [Source:MGI Symbol;Acc:MGI:3651555] MKRWQVCQDLRSNTFEEAALTEHYEILTTLGQGTFGDVKLANHLVTQTKVAIKILPQNRK NPLVQPEIEIMKSLDHPHIIKLLHIIDTTRNIFIVLEHAVGGELMNRIEEFGYLAEVECH RLFKQLVYALQYCHEKGIVHRDLKPENILLDHRGNVKLTDFGLGTKIIMGQKLVTFCGTL PYCAPELFEDRGYDGRATDVWSLGVVLYFMATGCLPFNGYSYEAIKQKIIAGKYPRSFSL SPELWEVIAKLLTVNPGERPTVHDIARFKWLKPDNEASPASLGENIESHPDPSIMVLMGV MGYNPGEIRESLREKKFDQVMATYLMLKQQSAWENKTTKKPDPRLCDRMLRSTEPTIKNQ TAVRRASSVPTHSTFSLPNESESLEKGKRTTMSHSMPPTRNCFNEETTPLHSICPQLVQK AHYRRSIWGETEISDTSEESSTGESLEHPSLKIHTLQTSMGVSKAGSTYSKSKGSSQCVS SHHTSVEEDQCEGTNISREINPPLSPVSPQENLMGQLHIVTTAGSMDIMNTQVTSPPFSR KEAKGEGPAIQQRESRPSSPNTLQGHLHGRHQTAPQAPFQRRVWRTLRNGLIRGLRTLCC CLPIEKRVHPTNNRDLAVSQKSQGGSHGIRAFRGTVLPEK >ENSMUSP00000051861.6 pep:known chromosome:GRCm38:5:129654593:129670099:-1 gene:ENSMUSG00000051034.7 transcript:ENSMUST00000049778.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp11 description:zinc finger protein 11 [Source:MGI Symbol;Acc:MGI:99156] MSPENLSDCNNSVKDFDQHPELTIRQCVHREKPYKQEECDDSACDQHLRVHKGGMPYECK DCGKAFKYRSVLYQHRIIHTAARPYKCKECGKAFKRSRNLAQHQVTHKREKPHKCEECGR AFSALSVLTQHRITHTGEKPFKCKECGRAFKYNSTLTQHEVIHTEAKPYRCQECGKAFKR SHTLSQHQVIHKGEKPHKCDECGRAFSKHSSLTQHQVIHTGEKPYQCRECGKAFRYQSTL TRHHIVHTGAKPYKCPECDKAFNNSSTLSRHQIIHTGEKPYKCQECGRAFYCSSFLIQHM KIHFEEMPYRCRECGKPFRLSSQLIRHQRIHTGEEPYICRECGKTFKYQSNLTRHQILHT GAKPYKCPECGKAFNNSSTLTRHQIIHTGEKPYKCQECSKAFYCSSYLIQHMKIHFEEIP YRCKECGKPFKCSSQLIRHQRIHSGEKPYICKECGKAFNCTSYLTKHQRIHTGEKPYVCQ ECGKAFNCSSYLSKHQRIHIGDRLYKCKECGKAYYFSSQLNRHQRIHTGEKPYVCQECGK AFNCSSYLTKHQRIHIVEKPYVCKECSKAFSCSSYLTKHQRIHAGDRLYKCTECGKAYCF SSQLNRHQRIHTRERPYRCKECGKAFITSSCLKRHQETHTLQTPNSV >ENSMUSP00000113450.2 pep:known chromosome:GRCm38:14:69697307:69707584:-1 gene:ENSMUSG00000034194.16 transcript:ENSMUST00000118374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hcc1 description:R3H domain and coiled-coil containing 1 [Source:MGI Symbol;Acc:MGI:1919093] LALLCLDGVFLSSAENDFVHRVQEELDRFLLQKQLSKVLLFPPVSSRLRYLIHRTAENFD LLSSFSVGEGWKRRTVICHLDIRVPSSDGPSGPCRPPASHPSKYRGPRYTSHQGAAAGPR GAPAGRWHRGRKPDQPLYVPRVLRRQGGPVAASIPGIKGEDPAGAVSEEEPREAGAGDAE ADQGIAMLVTQELLKSPDPGHANEPQMGLGDTEPSENPEKEQGAETAVQQGSGAQLAMEE ENRSHGMRSLVDQEEEEIEGEEEEKVDEKEEDTGKQKERVDEEEEKTDAQEGKVDSEGER MDEGEDKVDAEEEDEDEADADHGDFSELLQEITANLTEKEIKIEKIHLDTSAFTEELPGE RDLTHLVEIYDFKPTLKTEDLLATFSEFQEKGFRIQWVDDTHAIGIFPCPASALEALAKD FSVLKIRPLTQGTKQSKLKALQRPKFLRLSKERPQTDSAVARRLVARALGLQHNRKKELP TPPSVLPS >ENSMUSP00000113898.2 pep:known chromosome:GRCm38:14:69697308:69707493:-1 gene:ENSMUSG00000034194.16 transcript:ENSMUST00000121142.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hcc1 description:R3H domain and coiled-coil containing 1 [Source:MGI Symbol;Acc:MGI:1919093] LALLCLDGVFLSSAENDFVHRVQEELDRFLLQKQLSKVLLFPPVSSRLRYLIHRTAENFD LLSSFSVGEGWKRRTVICHLDIRVPSSDGPSGPCRPPASHPSKYRGPRYTSHQGAAAGPR GAPAGRWHRGRKPDQPLYVPRVLRRQGGPVAASIPGIKGEDPAGAVSEEEPREAGAGDAE ADQGIAMLVTQELLKSPDPGHANEPQMGLGDTEPSENPEKEQGAETAVQQGSGAQLAMEE ENRSHGMRSLVDQEEEEIEGEEEEKVDEKEEDTGKQKERVDEEEEKTDAQEGKVDSEGER MDEGEDKVDAEEEDEDEADADHGDFSELLQEITANLTEKEIKIEKIHLDTSAFTEELPGE RDLTHLVEIYDFKPTLKTEDLLATFSEFQEKGFRIQWVDDTHAIGIFPCPASALEALAKD FSVLKIRPLTQGTKQSKLKALQRPKFLRLSKERPQTDSAVARRLVARALGLQHNRKKELP TPPSVLPS >ENSMUSP00000090882.2 pep:known chromosome:GRCm38:11:34809190:34833641:-1 gene:ENSMUSG00000069910.2 transcript:ENSMUST00000093191.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdl1 description:spindle apparatus coiled-coil protein 1 [Source:MGI Symbol;Acc:MGI:1917635] MEADITNLRNKLKECEDERLKAAHYGLQLLERQTELQSQLDKCHEEMMITAEKYNQEKHA LQREVELKSRMLDSLSCECEALKQQQKAQLEQLEVQLHRSHRQEVSDLKNKLENLKVELD EARLGEKQLKQKLDLQGELLAHKSEELRLLSEQRVLSSMSSELLALETELTAAEGVKNAL KEEVNELQYKQEQLECLNTSLLHQVDRLKEEKEEREREAVSYYNALEKARVENQDLQVQL GHALQQAADPNSKGNSLFAEVEDRRVAMERQLNLMKDKYQSLKKQNAFTRDQMNKMKLQI STLLRMRGSQTEFEQQERLFAMIEQKNGEIKHLLGEINKLEKFKNLYESMESRPSTSDTA CVLEDSTYYSDLLQLKLDKLNKENESTKDELSIQRMKALFESQRALDIERKLFTNERHLQ LSESENMKLRAKLDELKLKYEPEERIEVPVLKRRREVLPLNITTPEETEETAAASATEDG VSRLPPHREEESCLNSLKDNTVQWKQPASSCVQPASLSPHKNLHLDTQPKKEKKCVKLVD SPANIEVLHEQSGNTPNSPRLTAESKLPTEVKERIETTSKLGKGACKKSHNIIYVSSKSA PETQCSQQ >ENSMUSP00000138746.1 pep:known chromosome:GRCm38:14:21481434:21517111:1 gene:ENSMUSG00000021767.16 transcript:ENSMUST00000182996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat6b description:K(lysine) acetyltransferase 6B [Source:MGI Symbol;Acc:MGI:1858746] MVKLANPLYTEWILEAVQKIKKQKQRPSEERICHAVSTSHGLDKKTVSEQLELSVQDGSV LKVTNKGLASYKDPDNPGR >ENSMUSP00000138511.1 pep:known chromosome:GRCm38:14:21499770:21672071:1 gene:ENSMUSG00000021767.16 transcript:ENSMUST00000182855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat6b description:K(lysine) acetyltransferase 6B [Source:MGI Symbol;Acc:MGI:1858746] MVKLANPLYTEWILEAVQKIKKQKQRPSEERICHAVSTSHGLDKKTVSEQLELSVQDGSV LKVTNKGLASYKDPDNPGRFSSVKPGTFPKPTKGSKGPPCNDLRNVDWNKLLKRAIEGLE EPNGSSLKNIEKYLRSQSDLTGTTNHPAFQQRLRLGAKRAVNNGRLLKEGPQYRVNSGSS DGKGAPQYPSAFPSSLPPVSLLPHEKDQPRADPIPICSFCLGTKESNREKKPEELLSCAD CGSSGHPSCLKFCPELTANVKALRWQCIECKTCSACRVQGKNADNMLFCDSCDRGFHMEC CDPPLSRMPKGMWICQVCRPKKKGRKLLHEKAAQIKRRYAKPIGRPKNKLKQRLLSVTSD EGSMSAFTGRGSPDTDIKISIKQESADVSLVGNKELVTEEDLDVFKQAQELSWEKIECES GVEDCGRYPSVIEFGKYEIQTWYSSPYPQEYARLPKLYLCEFCLKYMKSKNILLRHSKKC GWFHPPANEIYRRKDLSVFEVDGNMSKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVLTK NDEKGCHLVGYFSKEKLCQQKYNVSCIMIMPQHQRQGFGRFLIDFSYLLSRREGQAGSPE KPLSDLGRLSYLAYWKSVILEYLYRHHERHISIKAISRATGMCPHDIATTLQHLHMIDRR DGRFVIIRREKLILGHMEKLKNCSRPNELDPESLRWTPMLISNAVVSEEEREAEKEAERL MEQASCWEKEEQEILSSRVSSRQSSAKVQSKNKYLHSPERRPVAGERGQLLELSKESSEE EEEEEEEDDEEEEEEEEEESIQTSPPRLTKPQSVSIKRKRPFVVKKKRGRKRRRINSSVT TETISETTEVLNEPFDNSDEERPMPQLEPTCEIPVEEGGRKPVLRKAFPHQPGKKRQTEE EEGEDNHFFKTAALCRKDVDDDAEHLKEGSKDNPEPLKCRQVWPKGAKRGLSKWKQSKER KTGFKLNLYTPPETPMEPEDQVTIEEQKELSEDKGSPVGMEREVTETVDALLPQEGSRRE ETGIPVSPHKSPGGKVDEEDLIRGEEEGEEEGEEEGEREEQEEEEEVTTEKDLDGAKSKE NPEPEISMEKEDPVHLGDHEEDEDEEEEPSHNEDHDADDEDDGHMEAANMERGDLPRETF KDALEGQEAFLDLSIQPSHSNPEVLMNCGVDLTMSCNSEPKELAGDTGTAPESDAEPPEE QTQKQDQKNSDGVDAELEEGGPAAVEIDSETAQAVQSLTQENREHDDTFPDCAETQEACR SLQNYTHTDQSPQIATTLDECQQSDHSSPVSSVHSHPGQSVRSVNSPSVPALENSYAQIS PDQTAITVPPLQNMETSPMMDVPSVSDHSQQVVDSGFSDLGSIESTTENYENPSSYDSTM GGSICGNGSSQNSCSYSSLTSSNLTQNSCAVTQQMSNISGSCSMLQQTSISSPPTCSVKS PQGCVVERPPSSSQQLAQCSMAANFTPPMQLADIPETSNANIGLYERMGQSDFGAGHYPQ PSATFSLAKLQQLTNTLIDHSLPYSHSAAVTSYANSASLSTPLSNTGLVQLSQSPHSVPG GPQAQATMTPPPNLTPPPMNLPPPLLQRNMAASNIGISHSQRLQTQIASKGHVSMRTKAA SLSPAAATHQSQIYGRSQTVAMQGPARTLTMQRGMNMSVNLMPAPAYNVNSVNMNMNTLN AMNGYSMSQPMMNSGYHSNHGYMNQTPQYPMQMQMGMMGSQPYAQQPMQTPPHANMMYTA PGHHGYMNTGMSKQSLNGSYMRR >ENSMUSP00000138377.1 pep:known chromosome:GRCm38:14:21499868:21672133:1 gene:ENSMUSG00000021767.16 transcript:ENSMUST00000182405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat6b description:K(lysine) acetyltransferase 6B [Source:MGI Symbol;Acc:MGI:1858746] MVKLANPLYTEWILEAVQKIKKQKQRPSEERICHAVSTSHGLDKKTVSEQLELSVQDGSV LKVTNKGLASYKDPDNPGRFSSVKPGTFPKPTKGSKGPPCNDLRNVDWNKLLKRAIEGLE EPNGSSLKNIEKYLRSQSDLTGTTNHPAFQQRLRLGAKRAVNNGRLLKEGPQYRVNSGSS DGKGAPQYPSAFPSSLPPVSLLPHEKDQPRADPIPICSFCLGTKESNREKKPEELLSCAD CGSSGHPSCLKFCPELTANVKALRWQCIECKTCSACRVQGKNADNMLFCDSCDRGFHMEC CDPPLSRMPKGMWICQVCRPKKKGRKLLHEKAAQIKRRYAKPIGRPKNKLKQRLLSVTSD EGSMSAFTGRGSPDTDIKISIKQESADVSLVGNKELVTEEDLDVFKQAQELSWEKIECES GVEDCGRYPSVIEFGKYEIQTWYSSPYPQEYARLPKLYLCEFCLKYMKSKNILLRHSKKC GWFHPPANEIYRRKDLSVFEVDGNMSKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVLTK NDEKGCHLVGYFSKEKLCQQKYNVSCIMIMPQHQRQGFGRFLIDFSYLLSRREGQAGSPE KPLSDLGRLSYLAYWKSVILEYLYRHHERHISIKAISRATGMCPHDIATTLQHLHMIDRR DGRFVIIRREKLILGHMEKLKNCSRPNELDPESLRWTPMLISNAVVSEEEREAEKEAERL MEQASCWEKEEQEILSSRVSSRQSSAKVQSKNKYLHSPERRPVAGERGQLLELSKESSEE EEEEEEEDDEEEEEEEEEESIQTSPPRLTKPQSVSIKRKRPFVVKKKRGRKRRRINSSVT TETISETTEVLNEPFDNSDEERPMPQLEPTCEIPVEEGGRKPVLRKAFPHQPGKKRQTEE EEGEDNHFFKTAALCRKDVDDDAEHLKEGSKDNPEPLKCRQVWPKGAKRGLSKWKQSKER KTGFKLNLYTPPETPMEPEDQVTIEEQKELSEDKGSPVGMEREVTETVDALLPQEGSRRE ETGIPVSPHKSPGGKVDEEDLIRGEEEGEEEGEEEGEREEQEEEEEVTTEKDLDGAKSKE NPEPEISMEKEDPVHLGDHEEDEDEEEEPSHNEDHDADDEDDGHMEAANMERGDLPRETF KDALEGQEAFLDLSIQPSHSNPEVLMNCGVDLTMSCNSEPKELAGDTGTAPESDAEPPEE QTQKQDQKNSDGVDAELEEGGPAAVEIDSETAQAVQSLTQENREHDDTFPDCAETQEACR SLQNYTHTDQSPQIATTLDECQQSDHSSPVSSVHSHPGQSVRSVNSPSVPALENSYAQIS PDQTAITVPPLQNMETSPMMDVPSVSDHSQQVVDSGFSDLGSIESTTENYENPSSYDSTM GGSICGNGSSQNSCSYSSLTSSNLTQNSCAVTQQMSNISGSCSMLQQTSISSPPTCSVKS PQGCVVERPPSSSQQLAQCSMAANFTPPMQLADIPETSNANIGLYERMGQSDFGAGHYPQ PSATFSLAKLQQLTNTLIDHSLPYSHSAAVTSYANSASLSTPLSNTGLVQLSQSPHSVPG GPQAQATMTPPPNLTPPPMNLPPPLLQRNMAASNIGISHSQRLQTQIASKGHVSMRTKAA SLSPAAATHQSQIYGRSQTVAMQGPARTLTMQRGMNMSVNLMPAPAYNVNSVNMNMNTLN AMNGYSMSQPMMNSGYHSNHGYMNQTPQYPMQMQMGMMGSQPYAQQPMQTPPHANMMYTA PGHHGYMNTGMSKQSLNGSYMRR >ENSMUSP00000138421.1 pep:known chromosome:GRCm38:14:21500892:21672478:1 gene:ENSMUSG00000021767.16 transcript:ENSMUST00000182964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat6b description:K(lysine) acetyltransferase 6B [Source:MGI Symbol;Acc:MGI:1858746] MVKLANPLYTEWILEAVQKIKKQKQRPSEERICHAVSTSHGLDKKTVSEQLELSVQDGSV LKVTNKGLASYKDPDNPGRFSSVKPGTFPKPTKGSKGPPCNDLRNVDWNKLLKRAIEGLE EPNGSSLKNIEKYLRSQSDLTGTTNHPAFQQRLRLGAKRAVNNGRLLKEGPQYRVNSGSS DGKGAPQYPSAFPSSLPPVSLLPHEKDQPRADPIPICSFCLGTKESNREKKPEELLSCAD CGSSGHPSCLKFCPELTANVKALRWQCIECKTCSACRVQGKNADNMLFCDSCDRGFHMEC CDPPLSRMPKGMWICQVCRPKKKGRKLLHEKAAQIKRRYAKPIGRPKNKLKQRLLSVTSD EGSMSAFTGRGSPGRGQKTKVSTTPSSGHAASGKHSSSRLAVTDPTRPGATTKTTTSSTY ISASTLKVNKKTKGLIDGLTKFFTPSPDGRRSRGEIIDFSKHYRPRKKVSQKQSCTSHVL ATDTDIKISIKQESADVSLVGNKELVTEEDLDVFKQAQELSWEKIECESGVEDCGRYPSV IEFGKYEIQTWYSSPYPQEYARLPKLYLCEFCLKYMKSKNILLRHSKKCGWFHPPANEIY RRKDLSVFEVDGNMSKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVLTKNDEKGCHLVGY FSKEKLCQQKYNVSCIMIMPQHQRQGFGRFLIDFSYLLSRREGQAGSPEKPLSDLGRLSY LAYWKSVILEYLYRHHERHISIKAISRATGMCPHDIATTLQHLHMIDRRDGRFVIIRREK LILGHMEKLKNCSRPNELDPESLRWTPMLISNAVVSEEEREAEKEAERLMEQASCWEKEE QEILSSRVSSRQSSAKVQSKNKYLHSPERRPVAGERGQLLELSKESSEEEEEEEEEDDEE EEEEEEEESIQTSPPRLTKPQSVSIKRKRPFVVKKKRGRKRRRINSSVTTETISETTEVL NEPFDNSDEERPMPQLEPTCEIPVEEGGRKPVLRKAFPHQPGKKRQTEEEEGEDNHFFKT AALCRKDVDDDAEHLKEGSKDNPEPLKCRQVWPKGAKRGLSKWKQSKERKTGFKLNLYTP PETPMEPEDQVTIEEQKELSEDKGSPVGMEREVTETVDALLPQEGSRREETGIPVSPHKS PGGKVDEEDLIRGEEEGEEEGEEEGEREEQEEEEEVTTEKDLDGAKSKENPEPEISMEKE DPVHLGDHEEDEDEEEEPSHNEDHDADDEDDGHMEAANMERGDLPRETFKDALEGQEAFL DLSIQPSHSNPEVLMNCGVDLTMSCNSEPKELAGDTGTAPESDAEPPEEQTQKQDQKNSD GVDAELEEGGPAAVEIDSETAQAVQSLTQENREHDDTFPDCAETQEACRSLQNYTHTDQS PQIATTLDECQQSDHSSPVSSVHSHPGQSVRSVNSPSVPALENSYAQISPDQTAITVPPL QNMETSPMMDVPSVSDHSQQVVDSGFSDLGSIESTTENYENPSSYDSTMGGSICGNGSSQ NSCSYSSLTSSNLTQNSCAVTQQMSNISGSCSMLQQTSISSPPTCSVKSPQGCVVERPPS SSQQLAQCSMAANFTPPMQLADIPETSNANIGLYERMGQSDFGAGHYPQPSATFSLAKLQ QLTNTLIDHSLPYSHSAAVTSYANSASLSTPLSNTGLVQLSQSPHSVPGGPQAQATMTPP PNLTPPPMNLPPPLLQRNMAASNIGISHSQRLQTQIASKGHVSMRTKAASLSPAAATHQS QIYGRSQTVAMQGPARTLTMQRGMNMSVNLMPAPAYNVNSVNMNMNTLNAMNGYSMSQPM MNSGYHSNHGYMNQTPQYPMQMQMGMMGSQPYAQQPMQTPPHANMMYTAPGHHGYMNTGM SKQSLNGSYMRR >ENSMUSP00000066693.7 pep:known chromosome:GRCm38:14:21499785:21672478:1 gene:ENSMUSG00000021767.16 transcript:ENSMUST00000069648.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat6b description:K(lysine) acetyltransferase 6B [Source:MGI Symbol;Acc:MGI:1858746] MVKLANPLYTEWILEAVQKIKKQKQRPSEERICHAVSTSHGLDKKTVSEQLELSVQDGSV LKVTNKGLASYKDPDNPGRFSSVKPGTFPKPTKGSKGPPCNDLRNVDWNKLLKRAIEGLE EPNGSSLKNIEKYLRSQSDLTGTTNHPAFQQRLRLGAKRAVNNGRLLKEGPQYRVNSGSS DGKGAPQYPSAFPSSLPPVSLLPHEKDQPRADPIPICSFCLGTKESNREKKPEELLSCAD CGSSGHPSCLKFCPELTANVKALRWQCIECKTCSACRVQGKNADNMLFCDSCDRGFHMEC CDPPLSRMPKGMWICQVCRPKKKGRKLLHEKAAQIKRRYAKPIGRPKNKLKQRLLSVTSD EGSMSAFTGRGSPGRGQKTKVSTTPSSGHAASGKHSSSRLAVTDPTRPGATTKTTTSSTY ISASTLKVNKKTKGLIDGLTKFFTPSPDGRRSRGEIIDFSKHYRPRKKVSQKQSCTSHVL ATDTDIKISIKQESADVSLVGNKELVTEEDLDVFKQAQELSWEKIECESGVEDCGRYPSV IEFGKYEIQTWYSSPYPQEYARLPKLYLCEFCLKYMKSKNILLRHSKKCGWFHPPANEIY RRKDLSVFEVDGNMSKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVLTKNDEKGCHLVGY FSKEKLCQQKYNVSCIMIMPQHQRQGFGRFLIDFSYLLSRREGQAGSPEKPLSDLGRLSY LAYWKSVILEYLYRHHERHISIKAISRATGMCPHDIATTLQHLHMIDRRDGRFVIIRREK LILGHMEKLKNCSRPNELDPESLRWTPMLISNAVVSEEEREAEKEAERLMEQASCWEKEE QEILSSRVSSRQSSAKVQSKNKYLHSPERRPVAGERGQLLELSKESSEEEEEEEEEDDEE EEEEEEEESIQTSPPRLTKPQSVSIKRKRPFVVKKKRGRKRRRINSSVTTETISETTEVL NEPFDNSDEERPMPQLEPTCEIPVEEGGRKPVLRKAFPHQPGKKRQTEEEEGEDNHFFKT AALCRKDVDDDAEHLKEGSKDNPEPLKCRQVWPKGAKRGLSKWKQSKERKTGFKLNLYTP PETPMEPEDQVTIEEQKELSEDKGSPVGMEREVTETVDALLPQEGSRREETGIPVSPHKS PGGKVDEEDLIRGEEEGEEEGEEEGEREEQEEEEEVTTEKDLDGAKSKENPEPEISMEKE DPVHLGDHEEDEDEEEEPSHNEDHDADDEDDGHMEAANMERGDLPRETFKDALEGQEAFL DLSIQPSHSNPEVLMNCGVDLTMSCNSEPKELAGDTGTAPESDAEPPEEQTQKQDQKNSD GVDAELEEGGPAAVEIDSETAQAVQSLTQENREHDDTFPDCAETQEACRSLQNYTHTDQS PQIATTLDECQQSDHSSPVSSVHSHPGQSVRSVNSPSVPALENSYAQISPDQTAITVPPL QNMETSPMMDVPSVSDHSQQVVDSGFSDLGSIESTTENYENPSSYDSTMGGSICGNGSSQ NSCSYSSLTSSNLTQNSCAVTQQMSNISGSCSMLQQTSISSPPTCSVKSPQGCVVERPPS SSQQLAQCSMAANFTPPMQLADIPETSNANIGLYERMGQSDFGAGHYPQPSATFSLAKLQ QLTNTLIDHSLPYSHSAAVTSYANSASLSTPLSNTGLVQLSQSPHSVPGGPQAQATMTPP PNLTPPPMNLPPPLLQRNMAASNIGISHSQRLQTQIASKGHVSMRTKAASLSPAAATHQS QIYGRSQTVAMQGPARTLTMQRGMNMSVNLMPAPAYNVNSVNMNMNTLNAMNGYSMSQPM MNSGYHSNHGYMNQTPQYPMQMQMGMMGSQPYAQQPMQTPPHANMMYTAPGHHGYMNTGM SKQSLNGSYMRR >ENSMUSP00000108077.2 pep:known chromosome:GRCm38:14:21516751:21671206:1 gene:ENSMUSG00000021767.16 transcript:ENSMUST00000112458.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat6b description:K(lysine) acetyltransferase 6B [Source:MGI Symbol;Acc:MGI:1858746] MVKLANPLYTEWILEAVQKIKKQKQRPSEERICHAVSTSHGLDKKTVSEQLELSVQDGSV LKVTNKGLASYKDPDNPGRFSSVKPGTFPKPTKGSKGPPCNDLRNVDWNKLLKRAIEGLE EPNGSSLKNIEKYLRSQPYAQQPMQTPPHANMMYTAPGHHGYMNTGMSKQSLNGSYMRR >ENSMUSP00000045286.3 pep:known chromosome:GRCm38:11:78301529:78322457:1 gene:ENSMUSG00000002055.9 transcript:ENSMUST00000045026.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag5 description:sperm associated antigen 5 [Source:MGI Symbol;Acc:MGI:1927470] MWRVKTLNLGLSPSPQKGKPAMSTPLRELKLQPEALADSGKGPSMISALTPYLCRLELKE RCNNSSPVDFINTENNFLSEQFSHPSTHIEACQRESDPTPESNSLFHTLEEAIETVDDFV VDPRDDSIVESMVLLPFSLGQQQDLMLQAHLDTTAERTKSSLNESLGLEDLVGKEVAPCV EDSLTEIVAIRPEQPTFQDPPLGPSDTEDAPVDLVPSENVLNFSLARLSPSAVLAQDFSV DHVDPGEETVENRVLQEMETSFPTFPEEAELGDQAPAANAEAVSPLYLTSSLVEMGPREA PGPTVEDASRIPGLESETWMSPLAWLEKGVNTSVMLQNLRQSLSFSSVLQDAAVGNTPLA TCSVGTSFTPPAPLEVGTKDSTSETERLLLGCRPPDLATLSRHDLEENLLNSLVLLEVLS HQLQAWKSQLTVPHREARDSSTQTDSSPCGVTKTPKHLQDSKEIRQALLQARNVMQSWGL VSGDLLSLLHLSLTHVQEGRVTVSQESQRSKTLVSSCSRVLKKLKAKLQSLKTECEEARH SKEMALKGKAAAEAVLEAFRAHASQRISQLEQGLTSMQEFRGLLQEAQTQLIGLHTEQKE LAQQTVSLSSALQQDWTSVQLNYGIWAALLSWSRELTKKLTAKSRQALQERDAAIEEKKQ VVKEVEQVSAHLEDCKGQIEQLKLENSRLTADLSAQLQILTSTESQLKEVRSQHSRCVQD LAVKDELLCQLTQSNKEQATQWQKEEMELKHIQAELLQQQAVLAKEVQDLRETVEFIDEE SQVAHRELGQIESQLKVTLELLRERSLQCETLRDTVDSLRAELASTEAKHEKQALEKTHQ HSQELRLLAEQLQSLTLFLQAKLKENKAESEIILPSTGSAPAQEHPLSNDSSISEQTPTA AVDEVPEPAPVPLLGSVKSAFTRVASMASFQPTETPDLEKSLAEMSTVLQELKSLCSLLQ ESKEEATGVLQREICELHSRLQAQEEEHQEALKAKEADMEKLNQALCLLRKNEKELLEVI QKQNEKILGQIDKSGQLINLREEVTQLTQSLRRAETETKVLQEALEGQLDPSCQLMATNW IQEKVFLSQEVSKLRVMFLEMKTEKEQLMDKYLSHRHILEENLRRSDTELKKLDDTIQHV YETLLSIPETMKSCKELQGLLEFLS >ENSMUSP00000051938.4 pep:known chromosome:GRCm38:2:112155318:112157007:1 gene:ENSMUSG00000049758.6 transcript:ENSMUST00000058176.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1318 description:olfactory receptor 1318 [Source:MGI Symbol;Acc:MGI:3031152] MDGGNHSMVSEFLLLGLTNSWRIQILLFLFFTVFYVASMLGNLLIVLTIISDHHLHSPMY FLLANLSFIDTGVSSIATPKMIYDLFRKHKVISLNGCITQMFFIHTVGGTEMVLLIVMAY DRYIAICKPLHYLTIMSLRMCIVLLALAWIIGLIHSVAQLAFVVNLPFCGANKMDSFYCD FPRFIKLACTDTYRLEFLVAANSGFISMATFFILIVSYIFILVTVRKHSSGASSKALSTL SAHITVVVFFFGPCIIVYVWPFPTLPIDKFLAIFDVIITPFMNPLIYTLRNNEMKVAMRR LFIRALHFKNFFISSLRDLS >ENSMUSP00000031607.6 pep:known chromosome:GRCm38:5:120680202:120711927:-1 gene:ENSMUSG00000029603.15 transcript:ENSMUST00000031607.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx1 description:deltex 1, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:1352744] MSRPGQGVMVPVNGLGFPPQNVARVVVWEWLNEHSRWRPYTATVCHHIENVLKEDARGSV VLGQVDAQLVPYIIDLQSMHQFRQDTGTMRPVRRNFYDPSSAPGKGIVWEWENDGGAWTA YDMDICITIQNAYEKQHPWLDLSSLGFCYLIYFNSMSQMNRQTRRRRRLRRRLDLAYPLT VGSIPKSQSWPVGASSGQPCSCQQCLLVNSTRAASNAILASQRRKAPIAPAAPPAPPPPP PPLPPGGPPGALVVRPSATFAGAALWAAPATGPTEPAPPPGVPPRSPSAPNGAPTPGQNN LSRPGPQRSTSVSARASIPPGVPALPVKNLNGTGPVHPALAGMTGILLCAAGLPVCLTRA PKPILHPPPVSKSDVKPVPGVPGVCRKTKKKHLKKSKNPEDVVRRYMQKVKNPPDEDCTI CMERLVTASGYEGVLRNKSVRPELVGRLGRCGHMYHLLCLVAMYSNGNKDGSLQCPTCKA IYGEKTGTQPPGKMEFHLIPHSLPGFADTQTIRIVYDIPTGIQGPEHPNPGKKFTARGFP RHCYLPNNEKGRKVLRLLITAWERRLIFTIGTSNTTGESDTVVWNEIHHKTEFGSNLTGH GYPDASYLDNVLAELTAQGVSEAMAKA >ENSMUSP00000025383.8 pep:known chromosome:GRCm38:18:49696814:49755601:-1 gene:ENSMUSG00000024505.15 transcript:ENSMUST00000025383.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtwd2 description:DTW domain containing 2 [Source:MGI Symbol;Acc:MGI:1916107] MEPQAEERTLGEPAPPPSGALASPTPDEEERTEGGAPPTATPAGASGDSTSADGLWGLPV EHAERRPECGRCSRPQKVCLCPYLPVRPLQISTHLYIIQHPAEESRVLRTVPLLAACLPP DRCTVKIGRRFSEERDVELATVCRDSGTLILYPGAEATNLEEFILDSPVYPSTIILIDGT WSQAKDIFYKNSLFRLPKQVQLKTSVCSQYVIRMQPTNRCLSTLECAAVALSILEKNNCI QETLLRPLQALCSFQLQHGAQIRLSKEYLLRNGLYPKPMPKNKRKLRKMELLMNSVKI >ENSMUSP00000128219.1 pep:known chromosome:GRCm38:18:49696145:49755601:-1 gene:ENSMUSG00000024505.15 transcript:ENSMUST00000163590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtwd2 description:DTW domain containing 2 [Source:MGI Symbol;Acc:MGI:1916107] MEPQAEERTLGEPAPPPSGALASPTPDEEERTEGGAPPTATPAGASGDSTSADGLWGLPV EHAERRPECGRCSRPQKVCLCPYLPVRPLQISTHLYIIQHPAEVQLKTSVCSQYVIRMQP TNRCLSTLECAAVALSILEKNNCIQETLLRPLQALCSFQLQHGAQIRLSKEYLLRNGLYP KPMPKNKRKLRKMELLMNSVKI >ENSMUSP00000008826.7 pep:known chromosome:GRCm38:X:74270812:74273135:1 gene:ENSMUSG00000008682.13 transcript:ENSMUST00000008826.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl10 description:ribosomal protein L10 [Source:MGI Symbol;Acc:MGI:105943] MGRRPARCYRYCKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLCGHMVSDEYEQL SSEALEAARICANKYMVKSCGKDGFHIRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFG KPQGTVARVHIGQVIMSIRTKLQNKEHVIEALRRAKFKFPGRQKIHISKKWGFTKFNADE FEDMVAEKRLIPDGCGVKYIPNRGPLDKWRALHS >ENSMUSP00000115919.1 pep:known chromosome:GRCm38:X:74270857:74273025:1 gene:ENSMUSG00000008682.13 transcript:ENSMUST00000151702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl10 description:ribosomal protein L10 [Source:MGI Symbol;Acc:MGI:105943] MGRRPARCYRYCKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLCGHMVSDEYEQL SSEALEAARICANKYMVKSCGKDGFHIRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFG KPQGTVARVHIGQVIMSIRTKLQNKEHVIEALRRAKFKFPGRQKIHISKKWGFTKFNADE FEDMVAEKRLIPDGCGVKYIP >ENSMUSP00000082055.4 pep:known chromosome:GRCm38:X:74270860:74273135:1 gene:ENSMUSG00000008682.13 transcript:ENSMUST00000074085.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl10 description:ribosomal protein L10 [Source:MGI Symbol;Acc:MGI:105943] MGRRPARCYRYCKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLCGHMVSDEYEQL SSEALEAARICANKYMVKSCGKDGFHIRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFG KPQGTVARVHIGQVIMSIRTKLQNKEHVIEALRRAKFKFPGRQKIHISKKWGFTKFNADE FEDMVAEKRLIPDGCGVKYIPNRGPLDKWRALHS >ENSMUSP00000119500.1 pep:known chromosome:GRCm38:X:74270979:74273085:1 gene:ENSMUSG00000008682.13 transcript:ENSMUST00000135690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl10 description:ribosomal protein L10 [Source:MGI Symbol;Acc:MGI:105943] MGRRPARCYRYCKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLCGHMVSDEYEQL SSEALEAARICANKYMVKSCGKDGFHIRVRLHPFHVIRINKMLSCAGADRSTSQRSGASP SLMQMNLKTWLLRSGSFLMAVVSNISPIVVLWTSGEPCIPEGFNSSP >ENSMUSP00000101406.1 pep:known chromosome:GRCm38:4:141890438:142015067:-1 gene:ENSMUSG00000051435.11 transcript:ENSMUST00000105780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhad1 description:forkhead-associated (FHA) phosphopeptide binding domain 1 [Source:MGI Symbol;Acc:MGI:1920323] MKAYLKSADGFFVLNKSTTIGKHADSDLVLQSADIDNHHALIEFNEAEGTFVLQDFNSRN GTFVNECHIQNVAVKLIPGDILRFGSAGMTYELVIENPSPVSCPWVRGPAPWPSPQPHLS SSPPDMPFHHGIQPATVQRSWSQGCPRPTMVPPAPHQRPMSASGKMFSFVMDPKSPVINQ VWANAMDMSEQCMMDGLSGTIPPTEIFMDHDLSQQDKDEIILLLGREVNRLSDFEMESKY KDALIMNLQAEVADLSQRLSETAAVAAARQSNRCDPKLQGVDEGDDLRQKEIESMKSQIN ALQKGYSQVLSQTLAERNTEIESLKNEGENLKRDHAITSGMVTSLQKDMSARNEQVQQLQ EEVNRLRIENREKEYQLEALSSRCSVMKEELRKEEAQKDRREAQEKELKLCRSQMQDMEK EVRKLREELKKNYMGQNIISKTLREKNKVEEKLQEDSRRKLLQLQEMGNRENLIKINLER AVGQLENFRNQVIKATFGKTKPFRDKPITDQQLIEKIIQVTEDNLSFQQRKWTLQRETHL HPKQEETMHSVEKLRVLLDKCQACMRDSCSSIDLKKEVELLQHLPLSPLVSGLQKTVVNI LRVSLSWLEETEQLLGDLDIELSDSDKGFSLCLIYLLEHYKKIMSQSQDLQAQMNASRET QKSLRQEHLAEKEKLAEKLEQEEKLKAKIQQLTEEKAALEESIGQEKSRSEEALEKAQAR VRELENHLASQKEALENSVAQEKRKMREMLEAERRKAQDLENQLTQQKEISENNTYEKLK MRDTLEKEKRKIQDLENRLTKQKEEIELKEQKENVLNNKLKDALVMVEDAQQMKTTESQR AETLALKLKETLAELETTKTKMILTDDRLKLQQQSMKALQDERESQKHGFEEEISEYKEQ IKQHSQTIVSLEERLCQVTQYYQKIEGEITTLKNNDTGPKEEASQDLTAGPPLDSGDKEI ACDHLIDDLLMAQKEILSQQEIIMKLRTDLGEAHSRMSDLRGELSEKQKMELERQVALVR QQSGELSMLKAKVAQTTGLMEKKDRELKVLREALRASQEKPRPHLSTEQKPRTLSQKCDI SLQIEPAHPDSFSSFQEEQSFSDLGVKCKGSRHEETIQRQRKALSELRTRVRELEKANSC NHKDHVNESFLELRTLRMEKNVQKILLDAKPDLTTLARVEIRPPQNSPFNSGSTLVMEKS VKTDAGEALELSEKLYTDMIKTLGSLMNIKDMSSHTSLKHLSPKEREKVNHLRQKDLDLV FDKITQLKTRLQRKEELLKGYEQELEQLRHSKVSVQMYQTQVAKLEDDVHKEAEEKALLK EALERTEQQLSQERRFNRVFKQQKDRGEDPEQRNMSYSPFKDNEKQRRLFVEMVKSKMQN SSVQAGAKKATLKTGQERETKKEAYKSTQSLSFVKPGGKN >ENSMUSP00000036224.7 pep:known chromosome:GRCm38:4:141916289:141933089:-1 gene:ENSMUSG00000051435.11 transcript:ENSMUST00000036701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhad1 description:forkhead-associated (FHA) phosphopeptide binding domain 1 [Source:MGI Symbol;Acc:MGI:1920323] MLEVEKQTTQGPGRVHSLPWQQADSTAAVGLQALEESIGQEKSRSEEALEKAQARVRELE NHLASQKEALENSVAQEKRKMREMLEAERRKAQDLENQLTQQKEISENNTYEKLKMRDTL EKEKRKIQDLENRLTKQKEEIELKEQKENVLNNKLKDALVMVEDAQQMKTTESQRAETLA LKLKETLAELETTKTKMILTDDRLKLQQQSMKALQDERESQKHGFEEEISEYKEQIKQHS QTIVSLEERLCQVTQYYQKIEGEITTLKNNDTGPKEEASQDLTAGPPLDSGDKEIACDHL IDDLLMAQKEILSQQEIIMKLRTDLGEAHSRMSDLRGELSEKQKMELERQVALVRQQSGE LSMLKAKVAQTTGLMEKKDRELKVLREALRCGKGSV >ENSMUSP00000101405.2 pep:known chromosome:GRCm38:4:141890851:142011637:-1 gene:ENSMUSG00000051435.11 transcript:ENSMUST00000105779.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhad1 description:forkhead-associated (FHA) phosphopeptide binding domain 1 [Source:MGI Symbol;Acc:MGI:1920323] MKAYLKSADGFFVLNKSTTIGKHADSDLVLQSADIDNHHALIEFNEAEGTFVLQDFNSRN GTFVNECHIQNVAVKLIPGDILRFGSAGMTYELVIENPSPVSCPWVRGPAPWPSPQPHLS SSPPDMPFHHGIQPATVQRSWSQGCPRPTMVPPAPHQRPMSASGKMFSFVMDPKSPVINQ VWANAMDMSEQCMMDGLSGTIPPTEIFMDHDLSQQDKDEIILLLGREVNRLSDFEMESKY KDALIMNLQAEVADLSQRLSETAAVAAARQSNRCDPKLQGVDEGDDLRQKEIESMKSQIN ALQKGYSQVLSQTLAERNTEIESLKNEGENLKRDHAITSGMVTSLQKDMSARNEQVQQLQ EEVNRLRIENREKEYQLEALSSRCSVMKEELRKEEAQKDRREAQEKELKLCRSQMQDMEK EVRKLREELKKNYMGQNIISKTLREKNKVEEKLQEDSRRKLLQLQEMGNRENLIKINLER AVGQLENFRNQVIKATFGKTKPFRDKPITDQQLIEKIIQVTEDNLSFQQRKWTLQRETHL HPKQEETMHSVEKLRVLLDKCQACMRDSCSSIDLKKEVELLQHLPLSPLVSGLQKTVVNI LRVSLSWLEETEQLLGDLDIELSDSDKGFSLCLIYLLEHYKKIMSQSQDLQAQMNASRET QKSLRQEHLAEKEKLAEKLEQEEKLKAKIQQLTEEKAALEESIGQEKSRSEEALEKAQAR VRELENHLASQKEALENSVAQEKRKMREMLEAERRKAQDLENQLTQQKEISENNTYEKLK MRDTLEKEKRKIQDLENRLTKQKEEIELKEQKENVLNNKLKDALVMVEDAQQMKTTESQR AETLALKLKETLAELETTKTKMILTDDRLKLQQQSMKALQDERESQKHGFEEEISEYKEQ IKQHSQTIVSLEERLCQVTQYYQKIEGEITTLKNNDTGPKEEASQDLTAGPPLDSGDKEI ACDHLIDDLLMAQKEILSQQEIIMKLRTDLGEAHSRMSDLRGELSEKQKMELERQVALVR QQSGELSMLKAKVAQTTGLMEKKDRELKVLREALRASQEKPRPHLSTEQKPRTLSQKCDI SLQIEPAHPDSFSSFQEEQSFSDLGVKCKGSRHEETIQRQRKALSELRTRVRELEKANSC NHKDHVNESFLELRTLRMEKNVQKILLDAKPDLTTLARVEIRPPQNSPFNSGSTLVMEKS VKTDAGEALELSEKLYTDMIKTLGSLMNIKDMSSHTSLKHLSPKEREKVNHLRQKDLDLV FDKITQLKTRLQRKEELLKGYEQELEQLRHSKVSVQMYQTQVAKLEDDVHKEAEEKALLK EALERTEQQLSQERRFNRVFKQQKDRGEDPEQRNMSYSPFKDNEKQRRLFVEMVKSKMQN SSVQAGAKKATLKTGQERETKKEAYKSTQSLSFVKPGGKN >ENSMUSP00000122941.2 pep:known chromosome:GRCm38:6:49073795:49086751:1 gene:ENSMUSG00000029815.12 transcript:ENSMUST00000128616.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Malsu1 description:mitochondrial assembly of ribosomal large subunit 1 [Source:MGI Symbol;Acc:MGI:1922843] MGPGWSPARRLWPLLWRRAVFQRAGPAMASVPWLPRLAERWLPARPATCLTPSLTRGLHH GPQPEERTAGDARLQPGPADHIGAKFDIDMLVSLLRQENARDICVIQVPPEMRYTDYFVI GSGTSTRHLHAMVHYLVKMYKHLKCRSDPYVKIEGKDADDWLCVDFGSMVIHLMLPETRE TYELEKLWTLRSFDDQLAQIAAETLPEDFILGLEDDTSSLTPVEFKCK >ENSMUSP00000110144.2 pep:known chromosome:GRCm38:6:49073848:49086751:1 gene:ENSMUSG00000029815.12 transcript:ENSMUST00000114500.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Malsu1 description:mitochondrial assembly of ribosomal large subunit 1 [Source:MGI Symbol;Acc:MGI:1922843] MGPGWSPARRLWPLLWRRAVFQRAGPAMASVPWLPRLAERWLPARPATCLTPSLTRGLHH GPQPEERTAGDARLQPGPAV >ENSMUSP00000031899.8 pep:known chromosome:GRCm38:6:41686330:41704339:-1 gene:ENSMUSG00000029866.13 transcript:ENSMUST00000031899.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kel description:Kell blood group [Source:MGI Symbol;Acc:MGI:1346053] MGPPWSQESSPEERLPTEWSRQLTRARWVLLAVLLCGLLLGSSMLWFYIFRNCGPCPCET PVCMELLDHYLASGNRSVAPCTDFFSFACEKANGTSDSFQALTEENKSRLWRLLEAPGSW HLGSGEEKAFQFYNSCMDTDAIEASGSGPLIQIIEELGGWNITGNWTSLDFNQNLRLLMS QYGHFPFFRAYLRPHPAPPHTPIIQIDQPEFDILLQQEQEQKVYAQILREYVTYLNRLGT LLGSNPQEAQQHASWSIVFTSRLFQFLRPQQQQQAQDKLFHVVTIDELQEMAPAIDWLSC LQAIFTPMSLNSSQTLVVHDLDYLRNMSQLVEEGLLNHRESIQSYMILGLVDTLSPALDT KFQEARRELIQELRKLKERPPLPAYPRWMKCVEQTGAFFEPTLAALFVREAFGPSIQSAA MELFAEIKDAVIIRLKKLSWISEETQKEALNKLAQLQVEMGAPKRAVKPDIATQEYNDIQ LGPSFLQSFLSCVRSLRARNVQSFLQPFPYHRWQKSPWEVNAYYSISDHMVVFPAGLLQP PFFHPGYPRAVNFGAAGSIMAHELLHIFYQLLLPGGCPACDTHVLQEALLCLERHYAAFP LPSISSFNGSHTLLENAADIGGVAIAFQAYSKRIVEHTGELTLPNLDLSPYQLFFRSYAQ VMCRGLSSQDPQDPHSPPSLRVHGPLSNTPDFAKHFHCPRGTLLNPSARCKLW >ENSMUSP00000142058.1 pep:known chromosome:GRCm38:6:41686335:41687992:-1 gene:ENSMUSG00000029866.13 transcript:ENSMUST00000194597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kel description:Kell blood group [Source:MGI Symbol;Acc:MGI:1346053] LLENAADIGGVAIAFQVMCRGLSSQDPQDPHSPPSLRVHGPLSNTPDFAKHFHCPRGTLL NPSARCKLW >ENSMUSP00000141251.1 pep:known chromosome:GRCm38:6:41688019:41699168:-1 gene:ENSMUSG00000029866.13 transcript:ENSMUST00000192118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kel description:Kell blood group [Source:MGI Symbol;Acc:MGI:1346053] XQILREYVTYLNRLGTLLGSNPQEAQQHASWSIVFTSRLFQFLRPQQQQQAQDKLFHVVT IDELQPAYPRWMKCVEQTGAFFEPTLAALFVREAFGPSIQSAAMELFAEIKDAVIIRLKK LSWISEETQKEALNKLAQLQVEMGAPKRAVKPDIATQEYNDIQLGPSFLQSFLSCVRSLR ARNVQSFLQPFPYHRWQKSPWEVNAYYSISDHMVVFPAGLLQPPFFHPGYPRAVNFGAAG SIMAHELLHIFYQLLLPGGCPACDTHVLQEALLCLERHYAAFPLPS >ENSMUSP00000041963.3 pep:known chromosome:GRCm38:3:89229057:89233381:1 gene:ENSMUSG00000042784.9 transcript:ENSMUST00000041142.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc1 description:mucin 1, transmembrane [Source:MGI Symbol;Acc:MGI:97231] MTPGIRAPFFLLLLLASLKGFLALPSEENSVTSSQDTSSSLASTTTPVHSSNSDPATRPP GDSTSSPVQSSTSSPATRAPEDSTSTAVLSGTSSPATTAPVNSASSPVAHGDTSSPATSL SKDSNSSPVVHSGTSSAPATTAPVDSTSSPVVHGGTSSPATSPPGDSTSSPDHSSTSSPA TRAPEDSTSTAVLSGTSSPATTAPVDSTSSPVAHDDTSSPATSLSEDSASSPVAHGGTSS PATSPLRDSTSSPVHSSASIQNIKTTSDLASTPDHNGTSVTTTSSALGSATSPDHSGTST TTNSSESVLATTPVYSSMPFSTTKVTSGSAIIPDHNGSSVLPTSSVLGSATSLVYNTSAI ATTPVSNGTQPSVPSQYPVSPTMATTSSHSTIASSSYYSTVPFSTFSSNSSPQLSVGVSF FFLSFYIQNHPFNSSLEDPSSNYYQELKRNISGLFLQIFNGDFLGISSIKFRSGSVVVES TVVFREGTFSASDVKSQLIQHKKEADDYNLTISEVKVNEMQFPPSAQSRPGVPGWGIALL VLVCILVALAIVYFLALAVCQCRRKSYGQLDIFPTQDTYHPMSEYPTYHTHGRYVPPGST KRSPYEEVSAGNGSSSLSYTNPAVVTTSANL >ENSMUSP00000110192.1 pep:known chromosome:GRCm38:6:48554796:48570722:1 gene:ENSMUSG00000073096.11 transcript:ENSMUST00000114545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc61 description:leucine rich repeat containing 61 [Source:MGI Symbol;Acc:MGI:2652848] MEPPGEKPGEAEALSITPQLLKSHSGEFALDSILLLKLRGLGVVDLGCLGECLNLEWLDL SGNALTHLGPLASLRQLAVLNVSNNRLTGLEPLAACENLQSLNAAGNLLTTPGQLQCLAG LQALEHLRLRDPLARLSNPLCANASYWAVVQELLPGLKVIDGERVSGRGSELYQLCRDLD SSLRSGSSPGPRAIEAQPWVEPGYWESWPIRSSSILEEACRQFQDTLQECLDLDRQASDS LAQAQQALSPAETTSSFVF >ENSMUSP00000118573.1 pep:known chromosome:GRCm38:6:48554799:48568326:1 gene:ENSMUSG00000073096.11 transcript:ENSMUST00000153222.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc61 description:leucine rich repeat containing 61 [Source:MGI Symbol;Acc:MGI:2652848] MEPPGEKPGEAEALSITPQLLKSHSGE >ENSMUSP00000145164.1 pep:known chromosome:GRCm38:6:48554799:48568346:1 gene:ENSMUSG00000073096.11 transcript:ENSMUST00000204071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc61 description:leucine rich repeat containing 61 [Source:MGI Symbol;Acc:MGI:2652848] MEPPGEKPGEAEALSITPQLLKSHSGEFALDSIL >ENSMUSP00000098979.2 pep:known chromosome:GRCm38:6:48554805:48570721:1 gene:ENSMUSG00000073096.11 transcript:ENSMUST00000101436.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc61 description:leucine rich repeat containing 61 [Source:MGI Symbol;Acc:MGI:2652848] MEPPGEKPGEAEALSITPQLLKSHSGEFALDSILLLKLRGLGVVDLGCLGECLNLEWLDL SGNALTHLGPLASLRQLAVLNVSNNRLTGLEPLAACENLQSLNAAGNLLTTPGQLQCLAG LQALEHLRLRDPLARLSNPLCANASYWAVVQELLPGLKVIDGERVSGRGSELYQLCRDLD SSLRSGSSPGPRAIEAQPWVEPGYWESWPIRSSSILEEACRQFQDTLQECLDLDRQASDS LAQAQQALSPAETTSSFVF >ENSMUSP00000145141.1 pep:known chromosome:GRCm38:6:48554829:48568529:1 gene:ENSMUSG00000073096.11 transcript:ENSMUST00000203627.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc61 description:leucine rich repeat containing 61 [Source:MGI Symbol;Acc:MGI:2652848] MEPPGEKPGEAEALSITPQLLKSHSGEFALDSILLLKLRGLGVVDLGCLGECLNLEWLDL SGNALTHLGPLASLRQLAVLNVSNNRLTGLEPLAA >ENSMUSP00000031838.7 pep:known chromosome:GRCm38:6:49085223:49214957:-1 gene:ENSMUSG00000029814.10 transcript:ENSMUST00000031838.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2bp3 description:insulin-like growth factor 2 mRNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1890359] MNKLYIGNLSDHAGPADLESVFKDAKIPVAGPFLVKTGYAFVDCPDEGWALKAIEALSGK MELHGKPMEVEHSVPKRQRIRKLQIRNIPPHLQWEVLDSLLVQYGVVESCEQVNTDSETA VVNVTYSSKDQARQALDKLNGFQLENFTLKVAYIPDETAAQQNPSPQLRGRRGPGQRGSS RQASPGSVSKQKPCDLPLRLLVPTQFVGAIIGKEGATIRNITKQTQSKIDVHRKENTGAA EKSITILSTPEGTSAACKSILEIMHKEAQDIKFTEEIPLKILAHNNFVGRLIGKEGRNLK KIEQDTDTKITISPLQELTLYNPERTITVKGSVETCAKAEEEIMKKIRESYENDIASMNL QAHLIPGLNLNALGLFPPTSGMPPPTSGPPSTLTPPYPQFEQSETETVHLFIPALSVGAI IGKQGQHIKQLSRFAGASIKIAPAEAPDAKVRMVIITGPPEAQFKAQGRIYGKIKEENFV SPKEEVKLEAHIRVPSFAAGRVIGKGGKTVNELQSLSSAEVVVPRDQTPDENDQVVVKIT GHFYACQVAQRKIQEILTQVKQHQQQKALQSGPPQSRRK >ENSMUSP00000007275.2 pep:known chromosome:GRCm38:11:100117327:100121566:-1 gene:ENSMUSG00000044041.4 transcript:ENSMUST00000007275.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt13 description:keratin 13 [Source:MGI Symbol;Acc:MGI:101925] MSCRFQSSSMSYGGGFGAGSCQLGGGRNISSCSSRFVTGGSAGGYGGGMSCGFGGGAGGG FGGGFGGGFGGSYGGGFGGGFGDFGGVDGGLLSGNEKITMQNLNDRLASYLDKVRALEAA NADLEVKIRDWHLKQSPASPERDYSAYYKTIEELRIKILEATTDNNRIILEIDNARLAAD DFRLKYENELTLRQSVEADINGLRRVLDELTLAKTDLEMQIESLNEELAYLKKNHEEEMK EFSNQVVGQVNVEMDATPGIDLTRVLAEMREQYEALAEKNRRDAEEWFQTKSAELNKEVS SNAEMIQTSKTEITELRRTLQGLEIELQSQLSMKAGLESTLAETECRYALQLQQIQGLIS SIEAQLSELRSEMECQNQEYKMLLDIKTRLEQEIATYRSLLEGQDAKMTGFNSGGNNTTT SNGSPSSNSGRPDFRKY >ENSMUSP00000019503.7 pep:known chromosome:GRCm38:X:100729942:100738894:1 gene:ENSMUSG00000019359.14 transcript:ENSMUST00000019503.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpd2 description:glycerophosphodiester phosphodiesterase domain containing 2 [Source:MGI Symbol;Acc:MGI:1918834] MADSPGCCSIWARCLHCLYSCHWRKYPKQKMQTSKCDCIWFGLLFLTFLLSLGWLYIGLI LLNDLHNFNEFLFRHWGHWMDWSLIVLLVVSLLVTYASLLLLLGLLLQLCGQPLHLHSLH KVLLLLIVLLVAAGLVGLDIQWRQEWHSLRLSLQATAPFLHIGAVAGITLLAWPVADTFY RIHPRGPKVLLLLLFFGVTLVIYLMPLLFISSPCIMKLRDLPPKPGLVGHRGAPMLAPEN TLMSLRKTAECGAAVFETDVMVSSDGVPFLMHDERLSRTTNVASVFPERISAHSSDFSWA ELQRLNAGTWFLERQPFWGAKKLSGSDRKEAENQTIPALEELLKEAAALNLSIMFDLRRP PRNHTYYDTFVNQTLEAVLSANVSQAMVLWLPDEDRANVQQRAPRMRQIYGHQGGNWTER PQFLNLPYQDLPALDIKALHQDNISVNLFVVNKPWLFSLLWCAGVDSVTTNACQLLQQMQ NPLWLLPPQKYLMIWVITDCASILLLLSIFLLRGGCAKRNRTGLETAVLLTKINNFASE >ENSMUSP00000109373.1 pep:known chromosome:GRCm38:X:100730186:100738894:1 gene:ENSMUSG00000019359.14 transcript:ENSMUST00000113744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpd2 description:glycerophosphodiester phosphodiesterase domain containing 2 [Source:MGI Symbol;Acc:MGI:1918834] MADSPGCCSIWARCLHCLYSCHWRKYPKQKMQTSKCDCIWFGLLFLTFLLSLGWLYIGLI LLNDLHNFNEFLFRHWGHWMDWSLIVLLVVSLLVTYASLLLLLGLLLQLCGQPLHLHSLH KVLLLLIVLLVAAGLVGLDIQWRQEWHSLRLSLQATAPFLHIGAVAGITLLAWPVADTFY RIHPRGPKVLLLLLFFGVTLVIYLMPLLFISSPCIMKLRDLPPKPGLVGHRGAPMLAPEN TLMSLRKTAECGAAVFETDVMVSSDGVPFLMHDERLSRTTNVASVFPERISAHSSDFSWA ELQRLNAGTWFLERQPFWGAKKLSGSDRKEAENQTIPALEELLKEAAALNLSIMFDLRRP PRNHTYYDTFVNQTLEAVLSANVSQAMVLWLPDEDRANVQQRAPRMRQIYGHQGGNWTER PQFLNLPYQDLPALDIKALHQDNISVNLFVVNKPWLFSLLWCAGVDSVTTNACQLLQQMQ NPLWLLPPQKYLMIWVITDCASILLLLSIFLLRGGCAKRNRTGLETAVLLTKINNFASE >ENSMUSP00000051732.3 pep:known chromosome:GRCm38:2:158502612:158508198:1 gene:ENSMUSG00000044405.4 transcript:ENSMUST00000059889.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adig description:adipogenin [Source:MGI Symbol;Acc:MGI:2675492] MKYPLVPLVSDLTLSFLVFWLCLPVALLLFLTIVWLHFLLSQESKEDDSDLCFNWEPWSK RPSECGCEETFPGEEDGLHW >ENSMUSP00000105110.1 pep:known chromosome:GRCm38:2:158502653:158508198:1 gene:ENSMUSG00000044405.4 transcript:ENSMUST00000109484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adig description:adipogenin [Source:MGI Symbol;Acc:MGI:2675492] MKYPLVPLSQRKMIQICASTGSPGAKDHLSVAVRRHFLVRRTDSTGDPALPGTIWA >ENSMUSP00000048041.5 pep:known chromosome:GRCm38:2:104095801:104115354:1 gene:ENSMUSG00000032679.12 transcript:ENSMUST00000040423.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd59a description:CD59a antigen [Source:MGI Symbol;Acc:MGI:109177] MRAQRGLILLLLLLAVFCSTAVSLTCYHCFQPVVSSCNMNSTCSPDQDSCLYAVAGMQVY QRCWKQSDCHGEIIMDQLEETKLKFRCCQFNLCNKSDGSLGKTPLLGTSVLVAILNLCFL SHL >ENSMUSP00000132774.1 pep:known chromosome:GRCm38:2:104095801:104115349:1 gene:ENSMUSG00000032679.12 transcript:ENSMUST00000168176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd59a description:CD59a antigen [Source:MGI Symbol;Acc:MGI:109177] MRAQRGLILLLLLLAVFCSTAVSLTCYHCFQPVVSSCNMNSTCSPDQDSCLYAVAGMQVY QRCWKQSDCHGEIIMDQLEETKLKFRCCQFNLCNKSDGSLGKTPLLGTSVLVAILNLCFL SHL >ENSMUSP00000034145.4 pep:known chromosome:GRCm38:8:83165352:83272422:1 gene:ENSMUSG00000031709.15 transcript:ENSMUST00000034145.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d9 description:TBC1 domain family, member 9 [Source:MGI Symbol;Acc:MGI:1918560] MWVNPEEVLLANALWITERANPYFILQRRKGHGGDGGGGGGLAGLLVGTLDVVLDSSARV APYRILYQTPDSLVYWTIACGGSRKEVTEHWEWLEQNLLQTLSIFENENDVTTFVRGKIQ VTIVEKADSCSVLPSPLSISTRNRMTFLFANLKDRDFLVQRISDFLQQTTSRIYSDKEFS GSCNSSDDEVYSRPSSLVSSSPQRSTSSDADGERPFNLNGNSVPTATQTLMTMYRRRSPE EFNPKLAKEFLKEQAWKIHFAEYGQGICMYRTEKTRELVLKGIPESMRGELWLLLSGAIN EKATHPGYYEGLVEKSMGKYNLATEEIERDLHRSLPEHPAFQNEMGIAALRRVLTAYAFR NPNIGYCQAMNIVTSVLLLYAKEEEAFWLLVALCERMLPDYYNTRVVGALVDQGVFEELA RDYVPQLYDCMQDLGVISTISLSWFLTLFLSVMPFESAVVVVDCFFYEGIKVIFQLALAV LDANVDKLLNCKDDGEAMTVLGRYLDSVTNKDSTLPPIPHLHSLLSDDVGPYPAVDIFRL IGTSYEKFGTIRADLIEQMRFKQRLKVIQTLEDTTKRNVVRTIVTETSFTIDELEELYAL FKAEHLTSCYWGGSSNALDRHDPSLPYLEQYRIDFEQFKGMFVLLFPWACGTHSDVLASR LFQLLDENGDSLINFREFVSGLSAACHGDLTEKLKLLYKMHVLPEPSCDQDEPDSAFEAT QYFFEDITPECTHVVGLDSRGKQSADDGFVTVSLKQDRGKRANSQENRNYLKLWTAENKS KSKTAKDLPKLNQGQFIELCKTMYNMFSEDPNEQELYHATAAVTSLLLEIGEVGKFFITQ PAKEDAVPGPPCGQAIPGMLFPKKGSSQSYVVESTEPLTASLAVDSEEHSLGGQMEDIKL EDSSPRDNGACSSMLISDDDTKDDSSMSSYSVLSAGSHEEDKLHCEDIGEDTVLVRSSQG RATLPRSSSLDRDWAITFEQFLASLLTEPALVRYFDKPVCMMARVTSAKNIRMMGKPLTS ASDYEISALSG >ENSMUSP00000091093.4 pep:known chromosome:GRCm38:8:83165860:83272934:1 gene:ENSMUSG00000031709.15 transcript:ENSMUST00000093393.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d9 description:TBC1 domain family, member 9 [Source:MGI Symbol;Acc:MGI:1918560] MWVNPEEVLLANALWITERANPYFILQRRKGHGGDGGGGGGLAGLLVGTLDVVLDSSARV APYRILYQTPDSLVYWTIACGGSRKEVTEHWEWLEQNLLQTLSIFENENDVTTFVRGKIQ GIIAEYNKINDVKEDEDTEKFKEAIVKFHRLFGMPEEEKLVNYYSCSYWKGRVPRQGWMY LSINHLCFSSFLMGREAKLVIRWVDITQLEKNATLLLPDMIKVSTRSSEHFFSVFLNINE TFKLMEQLANIAMRQLLDNEGFEQDRSLPKLKKKSPKKVSALKRDLDARAKSERYRALFR LPKDEKLDGHTDCTLWTPFNKMHILGQMFVSTNYICFTSKEENLCSLIIPLREVTIVEKA DSCSVLPSPLSISTRNRMTFLFANLKDRDFLVQRISDFLQQTTSRIYSDKEFSGSCNSSD DEVYSRPSSLVSSSPQRSTSSDADGERPFNLNGNSVPTATQTLMTMYRRRSPEEFNPKLA KEFLKEQAWKIHFAEYGQGICMYRTEKTRELVLKGIPESMRGELWLLLSGAINEKATHPG YYEGLVEKSMGKYNLATEEIERDLHRSLPEHPAFQNEMGIAALRRVLTAYAFRNPNIGYC QAMNIVTSVLLLYAKEEEAFWLLVALCERMLPDYYNTRVVGALVDQGVFEELARDYVPQL YDCMQDLGVISTISLSWFLTLFLSVMPFESAVVVVDCFFYEGIKVIFQLALAVLDANVDK LLNCKDDGEAMTVLGRYLDSVTNKDSTLPPIPHLHSLLSDDVGPYPAVDIFRLIGTSYEK FGTIRADLIEQMRFKQRLKVIQTLEDTTKRNVVRTIVTETSFTIDELEELYALFKAEHLT SCYWGGSSNALDRHDPSLPYLEQYRIDFEQFKGMFVLLFPWACGTHSDVLASRLFQLLDE NGDSLINFREFVSGLSAACHGDLTEKLKLLYKMHVLPEPSCDQDEPDSAFEATQYFFEDI TPECTHVVGLDSRGKQSADDGFVTVSLKQDRGKRANSQENRNYLKLWTAENKSKSKTAKD LPKLNQGQFIELCKTMYNMFSEDPNEQELYHATAAVTSLLLEIGEVGKFFITQPAKEDAV PGPPCGQAIPGMLFPKKGSSQSYVVESTEPLTASLAVDSEEHSLGGQMEDIKLEDSSPRD NGACSSMLISDDDTKDDSSMSSYSVLSAGSHEEDKLHCEDIGEDTVLVRSSQGRATLPRS SSLDRDWAITFEQFLASLLTEPALVRYFDKPVCMMARVTSAKNIRMMGKPLTSASDYEIS ALSG >ENSMUSP00000002677.4 pep:known chromosome:GRCm38:7:25757273:25788687:-1 gene:ENSMUSG00000002602.16 transcript:ENSMUST00000002677.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axl description:AXL receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:1347244] MGRVPLAWWLALCCWGCAAHKDTQTEAGSPFVGNPGNITGARGLTGTLRCELQVQGEPPE VVWLRDGQILELADNTQTQVPLGEDWQDEWKVVSQLRISALQLSDAGEYQCMVHLEGRTF VSQPGFVGLEGLPYFLEEPEDKAVPANTPFNLSCQAQGPPEPVTLLWLQDAVPLAPVTGH SSQHSLQTPGLNKTSSFSCEAHNAKGVTTSRTATITVLPQRPHHLHVVSRQPTELEVAWT PGLSGIYPLTHCNLQAVLSDDGVGIWLGKSDPPEDPLTLQVSVPPHQLRLEKLLPHTPYH IRISCSSSQGPSPWTHWLPVETTEGVPLGPPENVSAMRNGSQVLVRWQEPRVPLQGTLLG YRLAYRGQDTPEVLMDIGLTREVTLELRGDRPVANLTVSVTAYTSAGDGPWSLPVPLEPW RPGQGQPLHHLVSEPPPRAFSWPWWYVLLGALVAAACVLILALFLVHRRKKETRYGEVFE PTVERGELVVRYRVRKSYSRRTTEATLNSLGISEELKEKLRDVMVDRHKVALGKTLGEGE FGAVMEGQLNQDDSILKVAVKTMKIAICTRSELEDFLSEAVCMKEFDHPNVMRLIGVCFQ GSDREGFPEPVVILPFMKHGDLHSFLLYSRLGDQPVFLPTQMLVKFMADIASGMEYLSTK RFIHRDLAARNCMLNENMSVCVADFGLSKKIYNGDYYRQGRIAKMPVKWIAIESLADRVY TSKSDVWSFGVTMWEIATRGQTPYPGVENSEIYDYLRQGNRLKQPVDCLDGLYALMSRCW ELNPRDRPSFAELREDLENTLKALPPAQEPDEILYVNMDEGGSHLEPRGAAGGADPPTQP DPKDSCSCLTAADVHSAGRYVLCPSTAPGPTLSADRGCPAPPGQEDGA >ENSMUSP00000083110.4 pep:known chromosome:GRCm38:7:25757630:25788705:-1 gene:ENSMUSG00000002602.16 transcript:ENSMUST00000085948.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axl description:AXL receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:1347244] MGRVPLAWWLALCCWGCAAHKDTQTEAGSPFVGNPGNITGARGLTGTLRCELQVQGEPPE VVWLRDGQILELADNTQTQVPLGEDWQDEWKVVSQLRISALQLSDAGEYQCMVHLEGRTF VSQPGFVGLEGLPYFLEEPEDKAVPANTPFNLSCQAQGPPEPVTLLWLQDAVPLAPVTGH SSQHSLQTPGLNKTSSFSCEAHNAKGVTTSRTATITVLPQRPHHLHVVSRQPTELEVAWT PGLSGIYPLTHCNLQAVLSDDGVGIWLGKSDPPEDPLTLQVSVPPHQLRLEKLLPHTPYH IRISCSSSQGPSPWTHWLPVETTEGVPLGPPENVSAMRNGSQVLVRWQEPRVPLQGTLLG YRLAYRGQDTPEVLMDIGLTREVTLELRGDRPVANLTVSVTAYTSAGDGPWSLPVPLEPW RPVSEPPPRAFSWPWWYVLLGALVAAACVLILALFLVHRRKKETRYGEVFEPTVERGELV VRYRVRKSYSRRTTEATLNSLGISEELKEKLRDVMVDRHKVALGKTLGEGEFGAVMEGQL NQDDSILKVAVKTMKIAICTRSELEDFLSEAVCMKEFDHPNVMRLIGVCFQGSDREGFPE PVVILPFMKHGDLHSFLLYSRLGDQPVFLPTQMLVKFMADIASGMEYLSTKRFIHRDLAA RNCMLNENMSVCVADFGLSKKIYNGDYYRQGRIAKMPVKWIAIESLADRVYTSKSDVWSF GVTMWEIATRGQTPYPGVENSEIYDYLRQGNRLKQPVDCLDGLYALMSRCWELNPRDRPS FAELREDLENTLKALPPAQEPDEILYVNMDEGGSHLEPRGAAGGADPPTQPDPKDSCSCL TAADVHSAGRYVLCPSTAPGPTLSADRGCPAPPGQEDGA >ENSMUSP00000114907.1 pep:known chromosome:GRCm38:7:25766518:25774678:-1 gene:ENSMUSG00000002602.16 transcript:ENSMUST00000132038.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axl description:AXL receptor tyrosine kinase [Source:MGI Symbol;Acc:MGI:1347244] XPPEDPLTLQVSVPPHQLRLEKLLPHTPYHIRISCSSSQGPSPWTHWLPVETTEGVSEPP PRAFSWPWWYVLLGALVAAACVLILALFLVHRRKKETRYGEVFEPTVERGELVVRYRVRK SYSRRTTEATLNSLGISEELKEKLRDVMVDRHKVALGKTLGEGEFGAVMEGQLNQDDSIL KVAVKTMK >ENSMUSP00000096736.3 pep:known chromosome:GRCm38:2:158512796:158550762:1 gene:ENSMUSG00000074625.10 transcript:ENSMUST00000099133.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap40 description:Rho GTPase activating protein 40 [Source:MGI Symbol;Acc:MGI:3649852] CLSMTEPSLLPAAKMEGLALLPLTSPCPRIPRARISRQPGRWAHLGCSPGLSTGPTNLQQ HPQKPRPADCSHSRLSWAESLSMDGFWMEVERIQQRAEVKKEDCGEGRSQLLEGDTESQW LQDTGLSGLAGGLGLDGDHQGLLSTLTQTQVAAVCRRLDIYARSARRRQKAPVRDVRDIF GVFTSRELAPENGVSGMKWTKINSEDSASPLRSAEPGGPQELAGMEEVFNMDSAYSEQAA VLLQRAWPSPGGTTAWGKGPLPRFRIPKGRLGVTRIGDLSSRDMKKIPPLALIELTALYD ILGLDLKRCKGGKWKGPDSGLFGVPLHSLLEADHRVFPSTQVPLLLQALLSCLEKRGLDT EGILRVPGSQARVKGLEQKLERDFYAGLVSWDKVHPNDASDLLKRFLRKLPVPLLTAEYL PAFASVPDIPDLKQRLQALHLLVLLLPEPNRNTLKALLEFLRKVAAQEQHNKMTLWNVST VMVPSLFLPRGRPPKLTKGGKQLAEGAAEVVCMMVQYQDLLWTVASFLVAQVRKLNDSNG RRSQLCDGGLKTWLWRTHVDRDKAGEGLEATPKVAKIQVQATWPSMDLLQVPLNPSTRVT HVLKLFTEHLNPGSQPEEGSENPNSLLSHNTKPVTFLVYEVGGNIGERRLDPDAYLLDLY RANPHGEWVIRQSPT >ENSMUSP00000130349.1 pep:known chromosome:GRCm38:2:158512796:158550628:1 gene:ENSMUSG00000074625.10 transcript:ENSMUST00000165398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap40 description:Rho GTPase activating protein 40 [Source:MGI Symbol;Acc:MGI:3649852] MTEPSLLPAAKMEGLALLPLTSPCPRIPRARISRQPGRWAHLGCSPGLSTGPTNLQQHPQ KPRPADCSHSRLSWAESLSMDGFWMEVERIQQRAEVKKEDCGEGRSQLLEGDTESQWLQD TGLSGLAGGLGLDGDHQGLLSTLTQTQVAAVCRRLDIYARSARRRQKAPVRDVRDIFGVF TSRELAPENGVSGMKWTKINSEDSASPLRSAEPGGPQELAGMEEVFNMDSAYSEQAAVLL QRAWPSPGGTTAWGKGPLPRFRIPKGRLGVTRIGDLSSRDMKKIPPLALIELTALYDILG LDLKRCKGGKWKGPDSGLFGVPLHSLLEADHRVFPSTQVPLLLQALLSCLEKRGLDTEGI LRVPGSQARVKGLEQKLERDFYAGLVSWDKVHPNDASDLLKRFLRKLPVPLLTAEYLPAF ASVPDIPDLKQRLQALHLLVLLLPEPNRNTLKALLEFLRKVAAQEQHNKMTLWNVSTVMV PSLFLPRGRPPKLTKGGKQLAEGAAEVVCMMVQYQDLLWTVASFLVAQVRKLNDSNGRRS QLCDGGLKTWLWRTHVDRDKAGEGLEATPKVAKIQVQATWPSMDLLQVPLNPSTRVTHVL KLFTEHLNPGSQPEEGSENPNSLLSHNTKPVTFLVYEVGGNIGERRLDPDAYLLDLYRAN PHGEWVIRQSPT >ENSMUSP00000058373.7 pep:known chromosome:GRCm38:5:107534709:107548377:1 gene:ENSMUSG00000089798.9 transcript:ENSMUST00000058921.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700028K03Rik description:RIKEN cDNA 1700028K03 gene [Source:MGI Symbol;Acc:MGI:1923671] MAMDERRGKERVQWTTTIIISSSLKSYEIATALENRSHKVRYSDTLESGSIVFSLSGVAF LLMDAKECMTSAEEIFVTKIEKFINIHQNSFLVLFAPLHGPEEWSLMFRIHQRFLGSNLR ILPVHNTVNALDLMCTIAKTTSKPHIDSICYRMITTKAYIIEQSPVWRTLQKIKLSSDSV SADSGE >ENSMUSP00000124574.1 pep:known chromosome:GRCm38:5:107534737:107549214:1 gene:ENSMUSG00000089798.9 transcript:ENSMUST00000159902.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700028K03Rik description:RIKEN cDNA 1700028K03 gene [Source:MGI Symbol;Acc:MGI:1923671] MAMDERRGKERVQWTTTIIISSSLKSYEIATALENRSHKVRYSDTLESGSIVFSLSGVAF LLMDAKECMTSAEEIFVTKIEKFINIHQNSFLVLFAPLHGPEEWSLMFRIHQRFLGSNLR ILPVHNTVNALDLMCTIAKTTSKPHIDSICYRMITTKAYIIEQSPVWRTLQKIKGRL >ENSMUSP00000135696.1 pep:known chromosome:GRCm38:19:29714402:29805507:-1 gene:ENSMUSG00000046138.14 transcript:ENSMUST00000175726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930021J03Rik description:RIKEN cDNA 9930021J03 gene [Source:MGI Symbol;Acc:MGI:2444398] XRGSRVPPQQPSQGMCLLKMEEKFSSGQYRGITEFVADFRLMLETCYRLHGVDHWISKQG QKLEMLLEQKLALLSRHLREKTTIAVTSRGYYGLEEEKGTACPSTRRRSTPRSLAGLTSG VFESIMVQVLRQEEQLRAKEEKRLREQERKEAEEACQKEVEEWERKLLAQAAPACMENMW EIPAIGHFLCLAQQILNLPEIVFYELERCLLMPQCNAFLSKIMTSLLSPPHRRPTLHRRP TLPYRTWEAVLRQKVQQWYTAVGQTENPDNCAEKLGLCPQFFKVLGEVNPLEERPFHELP FYQKVWLLKGLCDFVYETQKEVQDAVLGQPIHECREVILGYDYLENAYVHFPQFCGADVR IYKQRPFQAPEFPVPPIKVKRVPRIKLEKFKCDYANTSNGEHRCTKEGLPLAFKKEQEID FDPACCPTKMNFDNHDITLEMEVKSNCDIKVHRPCEIEKTDCCKENLQKPRSPGEVTGFG EPLSPGEIRFIENQEKYGEASKIKTESNPLKENALKSCQIHINGSHIDHPDINCHKVVRD ILLEHSLQSHKKLKLTKMRAKKKKKKKKKLKDVLNENLQRKREGLHSLPFKSYKPEIQNK LLIIKKKGKHKKHKSGKKSISKKAITKKRKTVTKSPAVPEFQLICTNLDELRELITKIEN ELKDLENSRKKSGKWYHRRQAVKELHSTLIRLLNELLPWEPKLMKAFQRNRSRLKKDYDD FRRQPDHGQFTRELWATDECEGNPEREAPKAEVSKSVDAAEPLDTLEKEQEGSDDMKLSE IGFPMARSKLLKKELPSKDIVKTLPKTLKRQSKQSSYLDDSTKELSPRKKAKLSTNETSV ENLEVNMQIECLKESKPPELPTPESFASKASVPVSTLQKGTKPIQALLAKNIGNKVTLTN QLPPSTGRSVPAVEKPALSPEDTSPLKPALTCLTSTKGPLQMVYKMPCGQWLPVDLHSSS VKIQMQPMVDSKTGEKIMQQVLILPKNFVIQHKEGKAVEKEIAAPQQKGPEHCSPGPQTS ASCSLVSVPVTSVSTQLPNTVLSKTSTPSSNVSARSQPLSPVASVSNALTSPVKTSQSEA GKVKSTASSTTLPQPHTSPTISSTVQPLLPATTLNESTDPGSSIPCFSQQTVDSSEAKQE LKTVCIRDSQSILVRTRGGNTGVVKVQTNPEQNSPNSLSSSSVFTFTPQFQAFLVPKSTS CSASSQVAGVTTTSSLPSFSQASTSVSISCGFHPPMGKNLKSTQGQTLSSGYVGPMIEKT SYMPSSPLKPSVSSSSLLPSTTNSSVSVISISTGNFGQTNTNVIHTSTKPQQVDCITKSY PVTRSEATTAVNGDVLGETPGQKLMLVSAPSGLPSGSVPSVNTAPEPTSAGVSTQKVVFI NAPVPGGASSSAIVAESLRQSLPSPLNKTYIKNPEQPQIVLIPSTVGAPIKINSSPTVSQ IKDVKIGLNIGQAIINPPGNLPAMSSINILQSVMPKGEDKSSKSCISPISPNSNSAPASS NIVNHSLPAASESARTANTFSGIGASVPLSSLSVASSPASAGTRPPVLVSGNDTSSRIMP VLSNRLCPSNLGNTVAISTVKTGHLASSVLISTTQPTVSPKCLTPALQIPVTVALPTPVT TSPKIINTVPQSATIPGATRPVSLSKRQSQTSLQFQSPGTTTIVPTNANTNKPPAELSPS ASPGKIVNISNVTSMPNQHMSPSLVKSTLGNNSIAGGSAIHTCSPPSNITSLAGAPFSEP CIQQKIVINTSTPLAPGTQIMMNGARFIVPPQGLGAGSHVLLISTNPKYGPPLVLNSGQS ILATPVDNPVQKIIQTSNSSLSGQPLKPSVRNSPKTVNSLGSPSSLSAVHTPPHIINTPA KVCVPPAPPAALTSVIKSSPATLLAKTSLVSAISSSNPPLPSSTSVLHLDTSVKKLLVSP EGAILNTINTAAAKVSSLPSPLPPVVSASQNPASVFPAFPSSALEKPDTAAS >ENSMUSP00000135031.1 pep:known chromosome:GRCm38:19:29715313:29753600:-1 gene:ENSMUSG00000046138.14 transcript:ENSMUST00000175764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930021J03Rik description:RIKEN cDNA 9930021J03 gene [Source:MGI Symbol;Acc:MGI:2444398] GKKSISKKAITKKRKTVTKSPAVPEFQGKWYHRRQAVKELHSTLIRLLNELLPWEPKLMK AFQRNRSRLKKDYDDFRRQPDHGQFTRELWATDECEGNPEREAPKAEVSKSVDAAEPLDT LEKEQEGSDDMKLSEIGFPMARSKLLKKELPSKDIVKTLPKTLKRQSKQSSYLDDSTKEL SPRKKAKLSTNETSVENLEVNMQIECLKESKPPELPTPESFASKASVPVSTLQKGTKPIQ ALLAKNIGNKVTLTNQLPPSTGRSVPAVEKPALSPEDTSPLKPALTCLTSTKGPLQMVYK MPCGQWLPVDLHSSSVKIQMQPMVDSKTGEKIMQQVLILPKNFVIQHKEGKAVEKEIAAP QQKGPEHCSPGPQTSASCSLVSVPVTSVSTQLPNTVLSKTSTPSSNVSARSQPLSPVASV SNALTSPVKTSQSEAGKVKSTASSTTLPQPHTSPTISSTVQPLLPATTLNESTDPGSSIP CFSQQTVDSSEAKQELKTVCIRDSQSILVRTRGGNTGVVKVQTNPEQNSPNSLSSSSVFT FTPQFQAFLVPKSTSCSASSQVAGVTTTSSLPSFSQASTSVSISCGFHPPMGKNLKSTQG QTLSSGYVGPMIEKTSYMPSSPLKPSVSSSSLLPSTTNSSVSVISISTGNFGQTNTNVIH TSTKPQQVDCITKSYPVTRSEATTAVNGDVLGETPGQKLMLVSAPSGLPSGSVPSVNTAP EPTSAGVSTQKVVFINAPVPGGASSSAIVAESLRQSLPSPLNKTYIKNPEQPQIVLIPST VGAPIKINSSPTVSQIKDVKIGLNIGQAIINPPGNLPAMSSINILQSVMPKGEDKSSKSC ISPISPNSNSAPASSNIVNHSLPAASESARTANTFSGIGASVPLSSLSVASSPASAGTRP PVLVSGNDTSSRIMPVLSNRLCPSNLGNTVAISTVKTGHLASSVLISTTQPTVSPKCLTP ALQIPVTVALPTPVTTSPKIINTVPQSATIPGATRPVSLSKRQSQTSLQFQSPGTTTIVP TNANTNKPPAELSPSASPGKIVNISNVTSMPNQHMSPSLVKSTLGNNSIAGGSAIHTCSP PSNITSLAGAPFSEPCIQQKIVINTSTPLAPGTQIMMNGARFIVPPQGLGAGSHVLLIST NPKYGPPLVLNSGQSILATPVDNPVQKIIQTSNSSLSGQPLKPSVRNSPKTVNSLGSPSS LSAVHTPPHIINTPAKVCVPPAPPAALTSVIKSSPATLLAKTSLVSAISSSNPPLPSSTS VLHLDTSVKKLLVSPEGAILNTINTAAAKVSSLPSPLPPVVSASQNPASVFPAFPSSALE KPDTAAS >ENSMUSP00000135473.1 pep:known chromosome:GRCm38:19:29715575:29805989:-1 gene:ENSMUSG00000046138.14 transcript:ENSMUST00000177155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930021J03Rik description:RIKEN cDNA 9930021J03 gene [Source:MGI Symbol;Acc:MGI:2444398] MSVPEPPGEMERAAEEERPPPPTGEGNEEEVVVAAAARASGLVRRRSASSVDEEEEAAAS ETTVVAGGGCKEQELTYELQQGYRILGEFLQEKHRGLTAPFLQPLGGVAAGEEEVAEGRR SGGRGSRVPPQQPSQGMCLLKMEEKFSSGQYRGITEFVADFRLMLETCYRLHGVDHWISK QGQKLEMLLEQKLALLSRLREQERKEAEEACQKEVEEWERKLLAQAAPACMENMWEIPAI GHFLCLAQQILNLPEIVFYELERCLLMPQCNAFLSKIMTSLLSPPHRRPTLHRRPTLPYR TWEAVLRQKVQQWYTAVGQTENPDNCAEKLGLCPQFFKVLGEVNPLEERPFHELPFYQKV WLLKGLCDFVYETQKEVQDAVLGQPIHECREVILGYDYLENAYVHFPQFCGADVRIYKQR PFQAPEFPVPPIKVKRVPRIKLEKFKCDYANTSNGEHRCTKEGLPLAFKKEQEIDFDPAC CPTKMNFDNHDITLEMEVKSNCDIKVHRPCEIEKTDCCKENLQKPRSPGEVTGFGEPLSP GEIRFIENQEKYGEASKIKTESNPLKENALKSCQIHINGSHIDHPDINCHKVVRDILLEH SLQSHKKLKLTKMRAKKKKKKKKKLKDVLNENLQRKREGLHSLPFKSYKPEIQNKLLIIK KKGKHKKHKSGKKSISKKAITKKRKTVTKSPAVPEFQLICTNLDELRELITKIENELKDL ENSRKKSGKWYHRRQAVKELHSTLIRLLNELLPWEPKLMKAFQRNRSRLKKDYDDFRRQP DHGQFTRELWATDECEGNPEREAPKAEVSKSVDAAEPLDTLEKEQEGSDDMKLSEIGFPM ARSKLLKKELPSKDIVKTLPKTLKRQSKQSSYLDDSTKELSPRKKAKLSTNETSVENLEV NMQIECLKESKPPELPTPESFASKASVPVSTLQKGTKPIQALLAKNIGNKVTLTNQLPPS TGRSVPAVEKPALSPEDTSPLKPALTCLTSTKGPLQMVYKMPCGQWLPVDLHSSSVKIQM QPMVDSKTGEKIMQQVLILPKNFVIQHKEGKAVEKEIAAPQQKGPEHCSPGPQTSASCSL VSVPVTSVSTQLPNTVLSKTSTPSSNVSARSQPLSPVASVSNALTSPVKTSQSEAGKVKS TASSTTLPQPHTSPTISSTVQPLLPATTLNESTDPGSSIPCFSQQTVDSSEAKQELKTVC IRDSQSILVRTRGGNTGVVKVQTNPEQNSPNSLSSSSVFTFTPQFQAFLVPKSTSCSASS QVAGVTTTSSLPSFSQASTSVSISCGFHPPMGKNLKSTQGQTLSSGYVGPMIEKTSYMPS SPLKPSVSSSSLLPSTTNSSVSVISISTGNFGQTNTNVIHTSTKPQQVDCITKSYPVTRS EATTAVNGDVLGETPGQKLMLVSAPSGLPSGSVPSVNTAPEPTSAGVSTQKVVFINAPVP GGASSSAIVAESLRQSLPSPLNKTYIKNPEQPQIVLIPSTVGAPIKINSSPTVSQIKDVK IGLNIGQAIINPPGNLPAMSSINILQSVMPKGEDKSSKSCISPISPNSNSAPASSNIVNH SLPAASESARTANTFSGIGASVPLSSLSVASSPASAGTRPPVLVSGNDTSSRIMPVLSNR LCPSNLGNTVAISTVKTGHLASSVLISTTQPTVSPKCLTPALQIPVTVALPTPVTTSPKI INTVPQSATIPGATRPVSLSKRQSQTSLQFQSPGTTTIVPTNANTNKPPAELSPSASPGK IVNISNVTSMPNQHMSPSLVKSTLGNNSIAGGSAIHTCSPPSNITSLAGAPFSEPCIQQK IVINTSTPLAPGTQIMMNGARFIVPPQGLGAGSHVLLISTNPKYGPPLVLNSGQSILATP VDNPVQKIIQTSNSSLSGQPLKPSVRNSPKTVNSLGSPSSLSAVHTPPHIINTPAKVCVP PAPPAALTSVIKSSPATLLAKTSLVSAISSSNPPLPSSTSVLHLDTSVKKLLVSPEGAIL NTINTAAAKVSSLPSPLPPVVSASQNPASVFPAFPSSALEKPDTAAS >ENSMUSP00000135025.1 pep:known chromosome:GRCm38:19:29720722:29753662:-1 gene:ENSMUSG00000046138.14 transcript:ENSMUST00000176773.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:9930021J03Rik description:RIKEN cDNA 9930021J03 gene [Source:MGI Symbol;Acc:MGI:2444398] XEIQNKLLIIKKKGKHKKHKSGKKSISKKAITKKRKTVTKSPAVPEFQLICTNLDELREL ITKIENELKDLENSRKKSVPMSPALVDCQLPLCSTLASVKLALSNPSQTSTAGWSCSLVT RANGTIGGKL >ENSMUSP00000027778.7 pep:known chromosome:GRCm38:1:180693043:180697034:-1 gene:ENSMUSG00000026497.7 transcript:ENSMUST00000027778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mixl1 description:Mix1 homeobox-like 1 (Xenopus laevis) [Source:MGI Symbol;Acc:MGI:1351322] MAAAGSQQLQFAEGAAFPIFPAAHPGGQLLPAMRPASGLPAAPHDSRAPAATQCFPNRDS SPTAQTPAGLDPPGPSKGSAAPSAPQRRKRTSFSSEQLQLLELVFRQTMYPDIHLRERLA ALTLLPESRIQVWFQNRRAKSRRQSGKSFQPLSSRRGVFLHCPAPGTEARCLKPQLPLEA DVNHVPDPSMTGGGVCTSGSQSFETYSSLSEDIGSKLDSWEEHIFSALGNF >ENSMUSP00000022861.8 pep:known chromosome:GRCm38:15:9279829:9315032:1 gene:ENSMUSG00000072664.10 transcript:ENSMUST00000022861.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt3a1 description:UDP glycosyltransferases 3 family, polypeptide A1 [Source:MGI Symbol;Acc:MGI:2146055] MAAHRSWLLVSFFLLEVLLLEAAKILTISTLSASHYILMNRVSQILQGGGHDVIKLLYEG GDIPDFRKENSSYQVINWRLPEDQQKTFENRWHRLIDEYAYGRSKYHTLLKIHQYFADLC SHLLSRKDIMELLQKENFDLVLLDSMDLCSFLIVEKLGKRFVSFLPFQFSYMDFGLPNAP LSYAPVYGSGLTDQMDFWGRVKNILMFFHFTKKRRDIFSQYGNTVQEHFAEGSQPVLSDL LLKAELWFVNSDFALDFARPLFPNTVYVGGLLDKPVQPIPQDLEDFISQFGDSGFVLVAL GSVVSMIQSKEIIKEMNSAFAHLPQGVLWTCKSSHWPKDVSLAPNVKIMDWLPQIDLLAH PSIRLFVTHGGMNSVMEAVHHGVPMVGIPFFGDQPENMVRVEAKNLGVSIQLQTLKAESF LLTMKEVIEDQRYKTAAMASKVIRQSHPLTPAQRLVGWIDHILQTGGAAHLKPYAFQQPW HEQYMLDVFLFLLGLTLGTLWLSVKVLVAVTRYLSISRKVKQA >ENSMUSP00000135760.1 pep:known chromosome:GRCm38:15:9283501:9292027:1 gene:ENSMUSG00000072664.10 transcript:ENSMUST00000176878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt3a1 description:UDP glycosyltransferases 3 family, polypeptide A1 [Source:MGI Symbol;Acc:MGI:2146055] MNRVSQILQGGGHDVIKLLYEGGDIPDFRKENSSYQVINWRLP >ENSMUSP00000048376.5 pep:known chromosome:GRCm38:18:65430963:65478823:1 gene:ENSMUSG00000032688.7 transcript:ENSMUST00000049248.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Malt1 description:MALT1 paracaspase [Source:MGI Symbol;Acc:MGI:2445027] MSLWGQPLQASPPLAVRQPPTASSGPSTSPPAGATLNRLPEPLLRRLSESLDRAPEGRGW RQLAELAGSRGRLRLSGLDLEQCSLKVLEPEGSPSLCLLKLMGEKGCTVTELSDFLQALE HTEVLPLLNPPGLKITVNPESKAVLAGQFVKLCCRATGHPFVQYQWFKMNKEIPYGNSSE LVFNTVHVKDAGFYVCRVNNSSTFEFSQWSQLDVCDVAEVTDSFQGSMDGISESRLQICV EPRSQRLVPGSMLLLQCVAIGSPMPHYQWFKDESPLTHETKKHYTVPYVDIEHEGTYWCH VYNDRDSQDSKKAEVTIDELNNLGHPDNKEQTGQPLAKDKVALLIGNMSYWEHPKLKAPL VDVYELTNLLRQLDFKVVSLLDLTEYEMCNAVDEFLLLLDKGVYGLLYYAGHGYENFGNS FMVPVDAPNPYRSENCLCVQNILKLMQEKETGLNVFLLDMCRKRNDYDDTIPILDALKVT ANIVFGYATCQGAEAFEIQHSGLANGIFMKFLKDRLLEDKKITVLLDEVAEDMGKCHLTK GRQALEIRSSLSEKRALTDPVQGAPCSAEALVRNLQWAKAHELPESMCLKFQCGVHIQLG FAAEFSNVMIIYTSIVHKPPEIIMCDAYVTDFPLDLDIDPKHANKGTPEETGSYLVSKDL PKHCLYTRLSSLQKLKEHLIFTVCLSYQYSGLEDTVEEKQEVNVGKPLIAKLDMHRGLGR KTCFQACRMPDEPYHSSTSTSAGAGHFHSSQDSFHDVYHSHLGNADSGMPPDRCHCSRTP HTFISNYPPHHYCQFGRSNVPVETTDEMPFSFSDRLMISEN >ENSMUSP00000047782.7 pep:known chromosome:GRCm38:11:83116849:83122670:1 gene:ENSMUSG00000078763.2 transcript:ENSMUST00000037994.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn1 description:schlafen 1 [Source:MGI Symbol;Acc:MGI:1313259] MNITDEGTPVLILNAGGITLGTESRKTMENHDRVEENRNITKALCALINSGEGKVKAHIK NPDYILSKHGIGEDLETSFKNILPSRPLDFKQYQSYFFICVEKSQSPDVSVGKPATIATN LYMRNGASSVEMNLDAAQKFLDNIKVAGGRSPSARPSDRPGDDTQEEGHIQELAAAFFKQ SKLTKKENFLFSESKNVEYKSFETKKLLQRVKEILPRTVSAFANTDGGYLFIGLDEKKQE IVGFEAKNCQPKCLESEIEKCIQQLPVTHFCEEREKIKYKCKFIEVHDSGVVCKYVCALR VERFCCAVFAAEPESWHMKDGGVKRFTIEEWIKLLMS >ENSMUSP00000112644.1 pep:known chromosome:GRCm38:15:97784355:97792692:1 gene:ENSMUSG00000081534.2 transcript:ENSMUST00000117892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc48a1 description:solute carrier family 48 (heme transporter), member 1 [Source:MGI Symbol;Acc:MGI:1914989] MAPSRLQLGLRAAYSGFSSVAGFSIFFVWTVVYRQPGTAAMGGLAGVLALWVLVTHVMYM QDYWRTWLRGLRGFFFVGALFSAVSVSAFCTFLALAITQHQSLKDPNSYYLSCVWSFISF KWAFLLSLYAHRYRADFADISILSDF >ENSMUSP00000122485.1 pep:known chromosome:GRCm38:15:97784428:97789963:1 gene:ENSMUSG00000081534.2 transcript:ENSMUST00000135073.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc48a1 description:solute carrier family 48 (heme transporter), member 1 [Source:MGI Symbol;Acc:MGI:1914989] MAPSRLQLGLRAAYSGFSSVAGFSIFFVWTVVYRQPGTAAMGGLAGWNVSRFSDLQALHF >ENSMUSP00000021231.7 pep:known chromosome:GRCm38:11:94343298:94392976:-1 gene:ENSMUSG00000020865.16 transcript:ENSMUST00000021231.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc3 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 3 [Source:MGI Symbol;Acc:MGI:1923658] MDRLCGSGELGSKFWDSNLSIYTNTPDLTPCFQNSLLAWVPCIYLWAALPCYLFYLRHHQ LGYIVLSWLSRLKTALGVLLWCVSWVDLFYSFHGLIHGSSPAPVFFVTPLVVGITMLLAT LLIQYERLRGVQSSGVLIIFWLLCVICAIIPFRSKILSALAEGKILDPFRFTTFYIYFAL VFCALILSCFKEKPPLFSPENLDTNPCPEASAGFFSRLSFWWFTRLAILGYRRPLEDRDL WSLSEEDCSHKVVQRLLEAWQKQQNQASRSQTATAEPKIPGEDAVLLKPRPKSKQPSFLR ALVRTFTSSLLMSACFNLIQNLLGFVNPQLLSILIRFISDPTAPTWWGFLLAGLMFLSST MQTLILHQYYHCIFVMALRLRTAIIGVIYRKALVITNSVKRESTVGEMVNLMSVDAQRFM DVSPFINLLWSAPLQVILAIYFLWQILGPSALAGVAVIVLLIPLNGAVSMKMKTYQVKQM KFKDSRIKLMSEILNGIKVLKLYAWEPSFLEQVKGIRQSELQLLRKGAYLQAISTFIWIC TPFLVTLITLGVYVYVDESNVLDAEKAFVSLSLFNILKIPLNMLPQLISGLTQASVSLKR IQDFLNQNELDPQCVERKTISPGYAITIHNGTFTWAQDLPPTLHSLNIQIPKGALVAVVG PVGCGKSSLVSALLGEMEKLEGVVSVKGSVAYVPQQAWIQNCTLQENVLFGQPMNPKRYQ QALETCALLADLDVLPGGDQTEIGEKGINLSGGQRQRVSLARAVYSDANIFLLDDPLSAV DSHVAKHIFDQVIGPEGVLAGKTRVLVTHGISFLPQTDFIIVLAGGQVSEMGHYSALLQH DGSFANFLRNYAPDEDQEDHEALQNANEEVLLLEDTLSTHTDLTDNEPAIYEVRKQFMRE MSSLSSEGEVQNRTMPKKHTNSLEKEALVTKTKETGALIKEEIAETGNVKLSVYWDYAKS MGLCTTLSICLLYGGQSAAAIGANVWLSAWSNDAEEHGQQNKTSVRLGVYAALGILQGLL VMLSAFTMVVGAIQAARLLHEALLHNKIRSPQSFFDTTPSGRILNRFSKDIYVIDEVLAP TILMLLNSFFTSISTIMVIVASTPLFMVVVLPLAVLYGFVQRFYVATSRQLKRLESISRS PIFSHFSETVTGTSVIRAYGRIQDFKVLSDTKVDNNQKSSYPYIASNRWLGVHVEFVGNC VVLFAALFAVIGRNSLNPGLVGLSVSYALQVTMALNWMIRMISDLESNIIAVERVKEYSK TKTEAPWVVESNRAPEGWPTRGMVEFRNYSVRYRPGLELVLKNVTVHVQGGEKVGIVGRT GAGKSSMTLCLFRILEAAEGEIVIDGLNVAHIGLHDLRSQLTIIPQDPILFSGTLRMNLD PFGRYSEEDIWRALELSHLNTFVSSQPAGLDFQCAEGGDNLSVGQRQLVCLARALLRKSR VLVLDEATAAIDLETDDLIQGTIRTQFEDCTVLTIAHRLNTIMDYNRVLVLDKGVVAEFD SPVNLIAAGGIFYGMAKDAGLA >ENSMUSP00000136343.1 pep:known chromosome:GRCm38:11:94343295:94392976:-1 gene:ENSMUSG00000020865.16 transcript:ENSMUST00000178136.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc3 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 3 [Source:MGI Symbol;Acc:MGI:1923658] MDRLCGSGELGSKFWDSNLSIYTNTPDLTPCFQNSLLAWVPCIYLWAALPCYLFYLRHHQ LGYIVLSWLSRLKTALGVLLWCVSWVDLFYSFHGLIHGSSPAPVFFVTPLVVGITMLLAT LLIQYERLRGVQSSGVLIIFWLLCVICAIIPFRSKILSALAEGKILDPFRFTTFYIYFAL VFCALILSCFKEKPPLFSPENLDTNPCPEASAGFFSRLSFWWFTRLAILGYRRPLEDRDL WSLSEEDCSHKVVQRLLEAWQKQQNQASRSQTATAEPKIPGEDAVLLKPRPKSKQPSFLR ALVRTFTSSLLMSACFNLIQNLLGFVNPQLLSILIRFISDPTAPTWWGFLLAGLMFLSST MQTLILHQYYHCIFVMALRLRTAIIGVIYRKALVITNSVKRESTVGEMVNLMSVDAQRFM DVSPFINLLWSAPLQVILAIYFLWQILGPSALAGVAVIVLLIPLNGAVSMKMKTYQVKQM KFKDSRIKLMSEILNGIKVLKLYAWEPSFLEQVKGIRQSELQLLRKGAYLQAISTFIWIC TPFLVTLITLGVYVYVDESNVLDAEKAFVSLSLFNILKIPLNMLPQLISGLTQASVSLKR IQDFLNQNELDPQCVERKTISPGYAITIHNGTFTWAQDLPPTLHSLNIQIPKGALVAVVG PVGCGKSSLVSALLGEMEKLEGVVSVKGSVAYVPQQAWIQNCTLQENVLFGQPMNPKRYQ QALETCALLADLDVLPGGDQTEIGEKGINLSGGQRQRVSLARAVYSDANIFLLDDPLSAV DSHVAKHIFDQVIGPEGVLAGKTRVLVTHGISFLPQTDFIIVLAGGQVSEMGHYSALLQH DGSFANFLRNYAPDEDQEDHEAALQNANEEVLLLEDTLSTHTDLTDNEPAIYEVRKQFMR EMSSLSSEGEVQNRTMPKKHTNSLEKEALVTKTKETGALIKEEIAETGNVKLSVYWDYAK SMGLCTTLSICLLYGGQSAAAIGANVWLSAWSNDAEEHGQQNKTSVRLGVYAALGILQGL LVMLSAFTMVVGAIQAARLLHEALLHNKIRSPQSFFDTTPSGRILNRFSKDIYVIDEVLA PTILMLLNSFFTSISTIMVIVASTPLFMVVVLPLAVLYGFVQRFYVATSRQLKRLESISR SPIFSHFSETVTGTSVIRAYGRIQDFKVLSDTKVDNNQKSSYPYIASNRWLGVHVEFVGN CVVLFAALFAVIGRNSLNPGLVGLSVSYALQVTMALNWMIRMISDLESNIIAVERVKEYS KTKTEAPWVVESNRAPEGWPTRGMVEFRNYSVRYRPGLELVLKNVTVHVQGGEKVGIVGR TGAGKSSMTLCLFRILEAAEGEIVIDGLNVAHIGLHDLRSQLTIIPQDPILFSGTLRMNL DPFGRYSEEDIWRALELSHLNTFVSSQPAGLDFQCAEGGDNLSVGQRQLVCLARALLRKS RVLVLDEATAAIDLETDDLIQGTIRTQFEDCTVLTIAHRLNTIMDYNRVLVLDKGVVAEF DSPVNLIAAGGIFYGMAKDAGLA >ENSMUSP00000119165.1 pep:known chromosome:GRCm38:11:54902453:54909576:1 gene:ENSMUSG00000018339.11 transcript:ENSMUST00000125094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx3 description:glutathione peroxidase 3 [Source:MGI Symbol;Acc:MGI:105102] MARILRASCLLSLLLAGFVPPGRGQEKSKTDCHGGMSGTIYEYGALTIDGEEYIPFKQYA GKYILFVNVASYUGLTDQYLELNALQEELGPFGLVILGFPSNQFGKQEPGENSEILPSLK YVRPGGGFVPNFQLFEKGDVNGEKEQKFYTFLKNSCPPTAELLGSPGRLFWEPMKIHDIR WNFEKFLVGPDGIPVMRWY >ENSMUSP00000081011.3 pep:known chromosome:GRCm38:11:54902772:54910377:1 gene:ENSMUSG00000018339.11 transcript:ENSMUST00000082430.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx3 description:glutathione peroxidase 3 [Source:MGI Symbol;Acc:MGI:105102] MARILRASCLLSLLLAGFVPPGRGQEKSKTDCHGGMSGTIYEYGALTIDGEEYIPFKQYA GKYILFVNVASYUGLTDQYLELNALQEELGPFGLVILGFPSNQFGKQEPGENSEILPSLK YVRPGGGFVPNFQLFEKGDVNGEKEQKFYTFLKNSCPPTAELLGSPGRLFWEPMKIHDIR WNFEKFLVGPDGIPVMRWYHRTTVSNVKMDILSYMRRQAALSARGK >ENSMUSP00000119882.1 pep:known chromosome:GRCm38:11:54902926:54909534:1 gene:ENSMUSG00000018339.11 transcript:ENSMUST00000149324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx3 description:glutathione peroxidase 3 [Source:MGI Symbol;Acc:MGI:105102] MARILRASCLLSLLLAGFVPPGRGQEKSKTDCHGGMSGTIYEYGALTIDGEEYIPFKQYA GKYILFVNVASYUGLTDQYLGFLGSPGCPQTCSVDQDGLKLRSACLLNAEIKELNALQEE LGPFGLVILGFPSNQFGKQEPGENSEILPSLKYVRPGGGFVPNFQLFEKGDVNGEKEQKF YTFLKNSCPPTAELLGSPGRLFWEPMKIHDIRWNFEK >ENSMUSP00000000208.5 pep:known chromosome:GRCm38:11:83175186:83190221:1 gene:ENSMUSG00000000204.15 transcript:ENSMUST00000000208.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn4 description:schlafen 4 [Source:MGI Symbol;Acc:MGI:1329010] MAGNTPQLEKDVNPQTSIEPSVQVKCPGDILQGGRHAKRGRWMASWKGFHLGRRDSDCKM NITVDQDTDYAELVLSVGEITLGEKTRDSMKDSQLRRKEAKSILQAVCTLLNSGGGVVKA HIKNQNYSFTRDGMGLDLVNPLPGILHLPHDYLDFMQYNDYFFVFVKPWKPNQRGPGIAT WKTNLYKRIFSFSVELKAADAVQFLKSRPSSHGKTVCNETLNECLSLFNRDWLAYEETFC FTKSTHAEVKLTPKEKISPKEKILELLPQTVSAFANTDGGYLFIGLDGKTQQIIGFEAEK SDLVLLESEIEKCIQQLPVTHFCEEKEKIKYTCKFIEVHKSGAVCAYVCAIRVEKFCCAV FAAEPESWHVEGSCVKRFTTEEWVKRQMDATAVMPGKVICSPEALCMKPFSQHEGYEQLV RTELGSLRKGTLVVSKSWALDLGLQEKQEVIWDVLHISQGSLLTLHVFVQGDENLEGNSS LLGKLGAELKGYYKQIALTLKQTLVNHCGYTAKIGIIVKITYLGHKTMCLYDSSAKICYP QIYYLTTKAVKDLEKALAEILGSYESFYSLPRRNWDSFMSAFLNVGSYIVYFSRNVLTHI RI >ENSMUSP00000132595.1 pep:known chromosome:GRCm38:11:83175194:83190049:1 gene:ENSMUSG00000000204.15 transcript:ENSMUST00000167596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn4 description:schlafen 4 [Source:MGI Symbol;Acc:MGI:1329010] MAGNTPQLEKDVNPQTSIEPSVQVKCPGDILQGGRHAKRGRWMASWKGFHLGRRDSDCKM NITVDQDTDYAELVLSVGEITLGEKTRDSMKDSQLRRKEAKSILQAVCTLLNSGGGVVKA HIKNQNYSFTRDGMGLDLVNPLPGILHLPHDYLDFMQYNDYFFVFVKPWKPNQRGPGIAT WKTNLYKRIFSFSVELKAADAVQFLKSRPSSHGKTVCNETLNECLSLFNRDWLAYEETFC FTKSTHAEVKLTPKEKISPKEKILELLPQTVSAFANTDGGYLFIGLDGKTQQIIGFEAEK SDLVLLESEIEKCIQQLPVTHFCEEKEKIKYTCKFIEVHKSGAVCAYVCAIRVEKFCCAV FAAEPESWHVEGSCVKRFTTEEWVKRQMDATAVMPGKVICSPEALCMKPFSQHEGYEQLV RTELGSLRKGTLVVSKSWALDLGLQEKQEVIWDVLHISQGSLLTLHVFVQGDENLEGNSS LLGKLGAELKGYYKQIALTLKQTLVNHCGYTAKIGIIVKITYLGHKTMCLYDSSAKICYP QIYYLTTKAVKDLEKALAEILGSYESFYSLPRRNWDSFMSAFLNVGSYIVYFSRNVLTHI RI >ENSMUSP00000124312.1 pep:known chromosome:GRCm38:5:113937094:113993894:-1 gene:ENSMUSG00000042121.16 transcript:ENSMUST00000159592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssh1 description:slingshot homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2686240] MALVTLQRSPTPSAASSSASNSELEAGSDEERKLNLSLSESFFMVKGAALFLQQGNSPQG QRSLQHPHKHAGDLPQHLQVMINLLRCEDRIKLAVRLESVWTDRVRYMVVVYTSGRQDTE ENILLGVDFSSKESKSCTIGMVLRLWSDTKIHLDGDGGFSVSTAGRMHIFKPVSVQAMWS ALQVLHKACEVARRHNYFPGGVALIWATYYESCISSEQSCINEWNAMQDLESTRPDSPAL FVDKPTEGERTERLIKAKLRSIMMSQDLENVTSKEIRNELEKQMNCNLKEFKEFIDNEML LILGQMDKPSLIFDHLYLGSEWNASNLEELQGSGVDYILNVTREIDNFFPGLFAYHNIRV YDEETTDLLAHWNEAYHFINKAKRNHSKCLVHCKMGVSRSASTVIAYAMKEFGWPLEKAY NYVKQKRSITRPNAGFMRQLSEYEGILDASKQRHNKLWRQQPTDDTIAEPSEFLPETLDG ALDAQLPCLDDTTHPGLPRSLAPGGPALPCCFRRLSDPLLLPHHDETGGLVHLEDLEKDA LLEEEESQPVEVHKLVQHPQEGARLCEKDVKRKLEFGNSKPRSDSLPQVEELEKDGSPRT GRWRRASTQLDRSLLDQENLNNNNSKRSCPDDLERDAMFGILSKVKPPYTSCADCMYPTA GGTPEAYMERHEDPSSSAICTQPTFLPHVTSSPMAHASSRSRAPERPASGPANTSPFLLP AGSRKPDVSGSGAGAAPEPPASLLEPSRETSKALPKSLQLKNPHCDKNAANMEVSAKEEP SPKKDPKPAKDLRLLFSNEAEKPTTNSYLMQHQESIIQLQKAGLVRKHTKELERLKSLPS DSPAACRDSATCRLEASIPEEGSQEPAHPALCSQAGSEEQPVGGTLQKSPTSTLPRLDHT SNFSKDFLKTVCYTPTSSSISSNLTRSSSSDSIHSVRGKPGLVKQRAQEIETRLRLAGLT VSSPLKRSHSLAKLGSLNFSTEDLSSEADTSTIADSQDAKCGLSSSFLPEPQSAPRDPAA TSKSSGKSAPEHLKSPSRVNKS >ENSMUSP00000107917.3 pep:known chromosome:GRCm38:5:113939765:113993779:-1 gene:ENSMUSG00000042121.16 transcript:ENSMUST00000112298.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssh1 description:slingshot homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2686240] MALVTLQRSPTPSAASSSASNSELEAGSDEERKLNLSLSESFFMVKGAALFLQQGNSPQG QRSLQHPHKHAGDLPQHLQVMINLLRCEDRIKLAVRLESVWTDRVRYMVVVYTSGRQDTE ENILLGVDFSSKESKSCTIGMVLRLWSDTKIHLDGDGSALQVLHKACEVARRHNYFPGGV ALIWATYYESCISSEQSCINEWNAMQDLESTRPDSPALFVDKPTEGERTERLIKAKLRSI MMSQDLENVTSKEIRNELEKQMNCNLKEFKEFIDNEMLLILGQMDKPSLIFDHLYLGSEW NASNLEELQGSGVDYILNVTREIDNFFPGLFAYHNIRVYDEETTDLLAHWNEAYHFINKA KRNHSKCLVHCKMGVSRSASTVIAYAMKEFGWPLEKAYNYVKQKRSITRPNAGFMRQLSE YEGILDASKQRHNKLWRQQPTDDTIAEPSEFLPETLDGALDAQLPCLDDTTHPGLPRSLA PGGPALPCCFRRLSDPLLLPHHDETGGLVHLEDLEKDALLEEEESQPVEVHKLVQHPQEG ARLCEKDVKRKLEFGNSKPRSDSLPQVEELEKDGSPRTGRWRRASTQLDRSLLDQENLNN NNSKRSCPDDLERDAMFGILSKVKPPYTSCADCMYPTAGGTPEAYMERHEDPSSSAICTQ PTFLPHVTSSPMAHASSRSRAPERPASGPANTSPFLLPAGSRKPDVSGSGAGAAPEPPAS LLEPSRETSKALPKSLQLKNPHCDKNAANMEVSAKEEPSPKKDPKPAKDLRLLFSNEAEK PTTNSYLMQHQESIIQLQKAGLVRKHTKELERLKSLPSDSPAACRDSATCRLEASIPEEG SQEPAHPALCSQAGSEEQPVGGTLQKSPTSTLPRLDHTSNFSKDFLKTVCYTPTSSSISS NLTRSSSSDSIHSVRGKPGLVKQRAQEIETRLRLAGLTVSSPLKRSHSLAKLGSLNFSTE DLSSEADTSTIADSQDAKCGLSSSFLPEPQSAPRDPAATSKSSGKSAPEHLKSPSRVNKS >ENSMUSP00000076873.7 pep:known chromosome:GRCm38:5:113942192:113989743:-1 gene:ENSMUSG00000042121.16 transcript:ENSMUST00000077689.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssh1 description:slingshot homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2686240] MVKGAALFLQQGNSPQGQRSLQHPHKHAGDLPQHLQVMINLLRCEDRIKLAVRLESVWTD RVRYMVVVYTSGRQDTEENILLGVDFSSKESKSCTIGMVLRLWSDTKIHLDGDGGFSVST AGRMHIFKPVSVQAMWSALQVLHKACEVARRHNYFPGGVALIWATYYESCISSEQSCINE WNAMQDLESTRPDSPALFVDKPTEGERTERLIKAKLRSIMMSQDLENVTSKEIRNELEKQ MNCNLKEFKEFIDNEMLLILGQMDKPSLIFDHLYLGSEWNASNLEELQGSGVDYILNVTR EIDNFFPGLFAYHNIRVYDEETTDLLAHWNEAYHFINKAKRNHSKCLVHCKMGVSRSAST VIAYAMKEFGWPLEKAYNYVKQKRSITRPNAGFMRQLSEYEGILDASKQRHNKLWRQQPT DDTIAEPSEFLPETLDGALDAQLPCLDDTTHPGLPRSLAPGGPALPCCFRRLSDPLLLPH HDETGGLVHLEDLEKDALLEEEESQPVEVHKLVQHPQEGARLCEKDVKRKLEFGNSKPRS DSLPQVEELEKDGSPRTGRWRRASTQLDRSLLDQENLNNNNSKRSCPDDLERDAMFGILS KVKPPYTSCADCMYPTAGGTPEAYMERHEDPSSSAICTQPTFLPHVTSSPMAHASSRSRA PERPASGPANTSPFLLPAGSRKPDVSGSGAGAAPEPPASLLEPSRETSKALPKSLQLKNP HCDKNAANMEVSAKEEPSPKKDPKPAKDLRLLFSNEAEKPTTNSYLMQHQESIIQLQKAG LVRKHTKELERLKSLPSDSPAACRDSATCRLEASIPEEGSQEPAHPALCSQAGSEEQPVG GTLQKSPTSTLPRLDHTSNFSKDFLKTVCYTPTSSSISSNLTRSSSSDSIHSVRGKPGLV KQRAQEIETRLRLAGLTVSSPLKRSHSLAKLGSLNFSTEDLSSEADTSTIADSQDAKCGL SSSFLPEPQSAPRDPAATSKSSGKSAPEHLKSPSRVNKS >ENSMUSP00000125025.1 pep:known chromosome:GRCm38:5:113961393:113993827:-1 gene:ENSMUSG00000042121.16 transcript:ENSMUST00000159510.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ssh1 description:slingshot homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2686240] MALVTLQRSPTPSAASSSASNSELEAGSDEERKLNLR >ENSMUSP00000125388.1 pep:known chromosome:GRCm38:5:113965602:113966756:-1 gene:ENSMUSG00000042121.16 transcript:ENSMUST00000162396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssh1 description:slingshot homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2686240] LQVMINLLRCEDRIKLAVRLESVWTDRVRYMVVVYTSGRQDTEENILLGVDFSSKERSQL INIPPPQTAQIWGPSF >ENSMUSP00000139279.1 pep:known chromosome:GRCm38:4:118471191:118489755:-1 gene:ENSMUSG00000033191.14 transcript:ENSMUST00000184261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tie1 description:tyrosine kinase with immunoglobulin-like and EGF-like domains 1 [Source:MGI Symbol;Acc:MGI:99906] MVWWGSSLLLPTLFLASHVGASVDLTLLANLRITDPQRFFLTCVSGEAGAGRSSDPPLLL EKDDRIVRTFPPGQPLYLARNGSHQVTLRGFSKPSDLVGVFSCVGGAGARRTRVLYVHNS PGAHLFPDKVTHTVNKGDTAVLSAHVHKEKQTDVIWKNNGSYFNTLDWQEADDGRFQLQL QNVQPPSSGIYSATYLEASPLGSAFFRLIVRGCGAGRWGPGCVKDCPGCLHGGVCHDHDG ECVCPPGFTGTRCEQACREGRFGQSCQEQCPGTAGCRGLTFCLPDPYGCSCGSGWRGSQC QEACAPGHFGADCRLQCQCQNGGTCDRFSGCVCPSGWHGVHCEKSDRIPQILSMATEVEF NIGTMPRINCAAAGNPFPVRGSMKLRKPDGTMLLSTKVIVEPDRTTAEFEVPSLTLGDSG FWECRVSTSGGQDSRRFKVNVKVPPVPLTAPRLLAKQSRQLVVSPLVSFSGDGPISSVRL HYRPQDSTIAWSAIVVDPSENVTLMNLKPKTGYNVRVQLSRPGEGGEGGWGPSALMTTDC PEPLLQPWLESWHVEGPDRLRVSWSLPSVPLSGDGFLLRLWDGARGQERRENISFPQART ALLTGLTPGTHYQLDVRLYHCTLLGPASPPAHVHLPPSGRPTLGRMG >ENSMUSP00000037129.5 pep:known chromosome:GRCm38:4:118471191:118490061:-1 gene:ENSMUSG00000033191.14 transcript:ENSMUST00000047421.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tie1 description:tyrosine kinase with immunoglobulin-like and EGF-like domains 1 [Source:MGI Symbol;Acc:MGI:99906] MVWWGSSLLLPTLFLASHVGASVDLTLLANLRITDPQRFFLTCVSGEAGAGRSSDPPLLL EKDDRIVRTFPPGQPLYLARNGSHQVTLRGFSKPSDLVGVFSCVGGAGARRTRVLYVHNS PGAHLFPDKVTHTVNKGDTAVLSAHVHKEKQTDVIWKNNGSYFNTLDWQEADDGRFQLQL QNVQPPSSGIYSATYLEASPLGSAFFRLIVRGCGAGRWGPGCVKDCPGCLHGGVCHDHDG ECVCPPGFTGTRCEQACREGRFGQSCQEQCPGTAGCRGLTFCLPDPYGCSCGSGWRGSQC QEACAPGHFGADCRLQCQCQNGGTCDRFSGCVCPSGWHGVHCEKSDRIPQILSMATEVEF NIGTMPRINCAAAGNPFPVRGSMKLRKPDGTMLLSTKVIVEPDRTTAEFEVPSLTLGDSG FWECRVSTSGGQDSRRFKVNVKVPPVPLTAPRLLAKQSRQLVVSPLVSFSGDGPISSVRL HYRPQDSTIAWSAIVVDPSENVTLMNLKPKTGYNVRVQLSRPGEGGEGGWGPSALMTTDC PEPLLQPWLESWHVEGPDRLRVSWSLPSVPLSGDGFLLRLWDGARGQERRENISFPQART ALLTGLTPGTHYQLDVRLYHCTLLGPASPPAHVHLPPSGPPAPRHLHAQALSDSEIQLMW QHPEAPSGPISKYIVEIQVAGGSGDPQWMDVDRPEETSIIVRGLNASTRYLFRVRASVQG LGDWSNTVEEATLGNGLQSEGPVRESRAAEEGLDQQLVLAVVGSVSATCLTILAALLALV CIRRSCLHRRRTFTYQSGSGEETILQFSSGTLTLTRRPKPQPEPLSYPVLEWEDITFEDL IGEGNFGQVIRAMIKKDGLKMNAAIKMLKEYASENDHRDFAGELEVLCKLGHHPNIINLL GACENRGYLYIAIEYAPYGNLLDFLRKSRVLETDPAFAREHGTASTLSSRQLLRFASDAA NGMQYLSEKQFIHRDLAARNVLVGENLASKIADFGLSRGEEVYVKKTMGRLPVRWMAIES LNYSVYTTKSDVWSFGVLLWEIVSLGGTPYCGMTCAELYEKLPQGYRMEQPRNCDDEVYE LMRQCWRDRPYERPPFAQIALQLGRMLEARKAYVNMSLFENFTYAGIDATAEEA >ENSMUSP00000114708.1 pep:known chromosome:GRCm38:15:39782705:39793251:-1 gene:ENSMUSG00000022304.12 transcript:ENSMUST00000155859.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpys description:dihydropyrimidinase [Source:MGI Symbol;Acc:MGI:1928679] XKRIKQRDQTCTPVPVKRAPYKGEVTTLKARETKEDDTAGTRMQGHS >ENSMUSP00000022915.3 pep:known chromosome:GRCm38:15:39768485:39857467:-1 gene:ENSMUSG00000022304.12 transcript:ENSMUST00000022915.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpys description:dihydropyrimidinase [Source:MGI Symbol;Acc:MGI:1928679] MAPQGRLLIRGGRIVNDDFSQVADVLVEDGVVRALGRDLLPPEDASRGLRILDAAGKLVL PGGIDTHTHMQFPFMGSQSVDDFYQGTKAALAGGTTMIIDFAIPQKGSSLIEAFETWRNW ADPKVCCDYSLHVAVTWWSDKVKEEMKTLARDKGVNSFKMFMAYKGLYMVQDEQLYAAFS QCKEIGAIAQVHAENGDLIAEGAKKMLALGITGPEGHELCRPEAVEAEATLRAITIASAV NCPLYVVHVMSKSAAKVVADARRAGNVVYGEPIAAGLGTDGRQYWSEEWSHAAHHVMGPP LRPDPLTPGFLMDLLANGDLTTTGSDNCTFNTCQKALGKDDFTKIPNGVNGVEDRMSVIW EKGVHSGKMDENRFVAVTSTNAAKIFNLYPKKGRIAVGSDADIVIWDPEATRRISAKTHH QAVNFNIFEGMVCHGVPLVTISRGRVVYEAGVFNVTAGHGKFIPRQPFAEYIYKRIKQRD QTCTPVPVKRAPYKGEVTTLKARETKEDDTAGTRMQGHS >ENSMUSP00000105935.1 pep:known chromosome:GRCm38:15:39782698:39857470:-1 gene:ENSMUSG00000022304.12 transcript:ENSMUST00000110306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpys description:dihydropyrimidinase [Source:MGI Symbol;Acc:MGI:1928679] MAPQGRLLIRGGRIVNDDFSQVADVLVEDGVVRALGRDLLPPEDASRGLRILDAAGKLVL PGGIDTHTHMQFPFMGSQSVDDFYQGTKAALAGGTTMIIDFAIPQKGSSLIEAFETWRNW ADPKVCCDYSLHVAVTWWSDKVKEEMKTLARDKGVNSFKMFMAYKGLYMVQDEQLYAAFS QCKEIGAIAQVHAENGDLIAEGAKKMLALGITGPEGHELCRPEAVEAEATLRAITIASAV NCPLYVVHVMSKSAAKVVADARRAGNVVYGEPIAAGLGTDGRQYWSEEWSHAAHHVMGPP LRPDPLTPGFLMDLLANGDLTTTGSDNCTFNTCQKALGKDDFTKIPNGVNGVEDRMSVIW EKGVHSGKMDENRFVAVTSTNAAKIFNLYPKKGRIAVGSDADIVIWDPEATRRISAKTHH QAVNFNIFEGMVCHGVPLVTISRGRVVYEAGVFNVTAGHGKFIPRQPFAEYIYKRIKQRD QTCTPVPVKRAPYKGEVTTLKARETKEDDTAGTRMQGHS >ENSMUSP00000041644.5 pep:known chromosome:GRCm38:6:113604772:113616951:1 gene:ENSMUSG00000033940.8 transcript:ENSMUST00000035725.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brk1 description:BRICK1, SCAR/WAVE actin-nucleating complex subunit [Source:MGI Symbol;Acc:MGI:1915406] MAGQEDPVQREIHQDWANREYIEIITSSIKKISDFLNSFDMSCRSRLATLNEKLTALERR IEYIEARVTKGETLT >ENSMUSP00000027780.4 pep:known chromosome:GRCm38:1:180726043:180754204:1 gene:ENSMUSG00000026499.5 transcript:ENSMUST00000027780.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd3 description:acyl-Coenzyme A binding domain containing 3 [Source:MGI Symbol;Acc:MGI:2181074] MAAQLNVEQLEVSLDGLTLSPDSEERPGAEGAPPQTPPSSAPGNGLGSGASGQQREPGEA AAEGAAEEARRMEQHWGFGLEELYGLALRFYKIKDGKAFHPTYEEKLKFVALHKQVLLGP YNPDTSPEVGFFDVLGNDRRREWAALGNMSKEDAMVEFVKLLNKCCPLLSAYVASHRIEK EEEEKRRKAEEERRQREEEERERLQKEEEKRKREEEDRLRREEEERRRIEEERLRLEQQK QQIMAALNSQTAVQFQQYAAQQYPGNYEQQQILIRQLQEQHYQQYMQQLYQVQLAQQQAA LQKQQEVVMAGASLPASSKVNTAGASDTLSVNGQAKTHTENSEKVLEPEAAEEALENGPK DSLPVIAAPSMWTRPQIKDFKEKIRQDADSVITVRRGEVVTVRVPTHEEGSYLFWEFATD SYDIGFGVYFEWTDSPNAAVSVHVSESSDEEEEEEENVTCEEKAKKNANKPLLDEIVPVY RRDCHEEVYAGSHQYPGRGVYLLKFDNSYSLWRSKSVYYRVYYTR >ENSMUSP00000101754.3 pep:known chromosome:GRCm38:11:120725399:120727243:-1 gene:ENSMUSG00000039450.11 transcript:ENSMUST00000106148.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcxr description:dicarbonyl L-xylulose reductase [Source:MGI Symbol;Acc:MGI:1915130] MDLGLAGRRALVTGAGKGIGRSTVLALKAAGAQVVAVSRTREDLDDLVRECPGVEPVCVD LADWEATEQALSNVGPVDLLVNNAAVALLQPFLEVTKEACDTSFNVNLRAVIQVSQIVAK GMIARGVPGAIVNVSSQASQRALTNHTVYWCPPMLFTLLASLQIRVNAVNPTVVMTPMGR TNWSDPHKAKAMLDRIPLGKFAEVENVVDTILFLLSNRSGMTTGSTLPVDGGFLAT >ENSMUSP00000026144.4 pep:known chromosome:GRCm38:11:120725399:120727281:-1 gene:ENSMUSG00000039450.11 transcript:ENSMUST00000026144.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcxr description:dicarbonyl L-xylulose reductase [Source:MGI Symbol;Acc:MGI:1915130] MDLGLAGRRALVTGAGKGIGRSTVLALKAAGAQVVAVSRTREDLDDLVRECPGVEPVCVD LADWEATEQALSNVGPVDLLVNNAAVALLQPFLEVTKEACDTSFNVNLRAVIQVSQIVAK GMIARGVPGAIVNVSSQASQRALTNHTVYCSTKGALDMLTKMMALELGPHKIRVNAVNPT VVMTPMGRTNWSDPHKAKAMLDRIPLGKFAEVENVVDTILFLLSNRSGMTTGSTLPVDGG FLAT >ENSMUSP00000036299.4 pep:known chromosome:GRCm38:2:158610767:158615748:1 gene:ENSMUSG00000037771.11 transcript:ENSMUST00000045738.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc32a1 description:solute carrier family 32 (GABA vesicular transporter), member 1 [Source:MGI Symbol;Acc:MGI:1194488] MATLLRSKLTNVATSVSNKSQAKVSGMFARMGFQAATDEEAVGFAHCDDLDFEHRQGLQM DILKSEGEPCGDEGAEAPVEGDIHYQRGGAPLPPSGSKDQAVGAGGEFGGHDKPKITAWE AGWNVTNAIQGMFVLGLPYAILHGGYLGLFLIIFAAVVCCYTGKILIACLYEENEDGEVV RVRDSYVAIANACCAPRFPTLGGRVVNVAQIIELVMTCILYVVVSGNLMYNSFPGLPVSQ KSWSIIATAVLLPCAFLKNLKAVSKFSLLCTLAHFVINILVIAYCLSRARDWAWEKVKFY IDVKKFPISIGIIVFSYTSQIFLPSLEGNMQQPSEFHCMMNWTHIAACVLKGLFALVAYL TWADETKEVITDNLPGSIRAVVNLFLVAKALLSYPLPFFAAVEVLEKSLFQEGSRAFFPA CYGGDGRLKSWGLTLRCALVVFTLLMAIYVPHFALLMGLTGSLTGAGLCFLLPSLFHLRL LWRKLLWHQVFFDVAIFVIGGICSVSGFVHSLEGLIEAYRTNAED >ENSMUSP00000051709.4 pep:known chromosome:GRCm38:19:9109868:9135636:-1 gene:ENSMUSG00000024654.8 transcript:ENSMUST00000049948.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asrgl1 description:asparaginase like 1 [Source:MGI Symbol;Acc:MGI:1913764] MACARGTVAPPVRASIDVSLVVVVHGGGASNISANRKELVREGIARAATEGYKILKAGGS AVDAVEGAVTVLENDPEFNAGYGSVLNVNGDIEMDASIMDGKDLSAGAVSAVRCIANPVK LARLVMEKTPHCFLTGHGAEKFAEDMGIPQVPVEKLITERTKKHLEKEKLEKGAQNADCP KNSGTVGAVALDCRGNLAYATSTGGIVNKMVGRVGDSPCIGAGGYADNNLGAVSTTGHGE SILKVNLARLALFHVEQGKTVEEAAQLALDYMKSKLKGLGGLILVNKTGDWVAKWTSASM PWAAVKNGKLQAGIDLCETRTRDLPC >ENSMUSP00000126565.2 pep:known chromosome:GRCm38:6:56701063:56704692:-1 gene:ENSMUSG00000091625.8 transcript:ENSMUST00000170382.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm5 description:LSM5 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913623] MAANATTNPSQLLPLELVDKCIGSRIHIVMKSDKEIVGTLLGFDDFVNMVLEDVTEFEIT PEGRRITKLDQILLNGNNITMLVPGGEGPEV >ENSMUSP00000144811.1 pep:known chromosome:GRCm38:6:56701063:56704710:-1 gene:ENSMUSG00000091625.8 transcript:ENSMUST00000203958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm5 description:LSM5 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913623] MAANATTNPSQLLPLDMVLEDVTEFEITPEGRRITKLDQILLNGNNITMLVPGGEGPEV >ENSMUSP00000135337.1 pep:known chromosome:GRCm38:6:56701123:56704656:-1 gene:ENSMUSG00000091625.8 transcript:ENSMUST00000177144.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lsm5 description:LSM5 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913623] ATTNPSQLLPLELVDKCIGSRIHIVMKSDKEIVGTLLGFDDF >ENSMUSP00000145140.1 pep:known chromosome:GRCm38:6:56701246:56704683:-1 gene:ENSMUSG00000091625.8 transcript:ENSMUST00000176595.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm5 description:LSM5 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913623] MAANATTNPSQLLPLELVDKCIGSRIHIVMKSDKEIVGTLLGFDDFVMKLHQKEEELQN >ENSMUSP00000121601.1 pep:known chromosome:GRCm38:2:78868124:78870607:1 gene:ENSMUSG00000027011.14 transcript:ENSMUST00000124120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e3 description:ubiquitin-conjugating enzyme E2E 3 [Source:MGI Symbol;Acc:MGI:107412] MSSDRQRSDDESPSTSSGSSDADQRDPAAPEPEEQEERKPS >ENSMUSP00000116644.1 pep:known chromosome:GRCm38:2:78868238:78913739:1 gene:ENSMUSG00000027011.14 transcript:ENSMUST00000130914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e3 description:ubiquitin-conjugating enzyme E2E 3 [Source:MGI Symbol;Acc:MGI:107412] MSSDRQRSDDESPSTSSGSSDADQRDPAAPEPEEQEERKPSATQQKKNTKLSSKTTAKLS TSAKRIQKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSV >ENSMUSP00000028398.7 pep:known chromosome:GRCm38:2:78869047:78921293:1 gene:ENSMUSG00000027011.14 transcript:ENSMUST00000028398.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e3 description:ubiquitin-conjugating enzyme E2E 3 [Source:MGI Symbol;Acc:MGI:107412] MSSDRQRSDDESPSTSSGSSDADQRDPAAPEPEEQEERKPSATQQKKNTKLSSKTTAKLS TSAKRIQKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSSDY PFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLV GSIATQYLTNRAEHDRIARQWTKRYAT >ENSMUSP00000113463.1 pep:known chromosome:GRCm38:2:78869678:78920297:1 gene:ENSMUSG00000027011.14 transcript:ENSMUST00000121433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e3 description:ubiquitin-conjugating enzyme E2E 3 [Source:MGI Symbol;Acc:MGI:107412] MSSDRQRSDDESPSTSSGSSDADQRDPAAPEPEEQEERKPSATQQKKNTKLSSKTTAKLS TSAKRIQKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSSDY PFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLV GSIATQYLTNRAEHDRIARQWTKRYAT >ENSMUSP00000122032.1 pep:known chromosome:GRCm38:5:107507621:107580596:1 gene:ENSMUSG00000106631.1 transcript:ENSMUST00000143074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm42669 description:predicted gene 42669 [Source:MGI Symbol;Acc:MGI:5662806] XTDTEPSMSCINGKAFLCVPEGTSSTLNPTQSDRRLTFHGAAQSQLPDDCATEDSKCATV TSAVSSKCLLGQPSEKNCKNMEMSETPESHGTPEAPFVGPWDLNTGATHQRESPESDTGS ATTSSDDIKPRSEDYDAGGSQDDEGSHDRGISKCSTALCHDFLGRSSSDTSTPEELKVHE GDLRTEVRVRKQGGGDHQIHSASDDEIPRKKPEPWSRSTIGYPREKGSTPRGSIPSAQEG DQVSSSADETEDERSEAENVGENSSPCSSGTQQVQGIINLAFDDGAEHESREFSATKKFR RSVLLSVDECEEVGSDEGEGHTPFQPSLDSLSPSDVFDGVSYEHHGRTGYSRFFKENEAT TAEHNHNKGNGGYKNESFLLNSRSKDFEKQDKQCVSTDQKTRLDVPPKGSQQLFPENEEV ISKREAAHSFQQHSTFMDGDTKSQERPCHLELHQRELSSNIPKISSVKSLDSCPSQGLPQ EGQVKESRPTPPKGANNAVFSDWAYPAAWQWMSVEEKKEYSGQPPLLSAHLLRRHRTTGP TRFHPIDLMMVCNQERAKQMLSSREPTMPLT >ENSMUSP00000124398.1 pep:known chromosome:GRCm38:5:107508944:107551549:1 gene:ENSMUSG00000106631.1 transcript:ENSMUST00000160160.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm42669 description:predicted gene 42669 [Source:MGI Symbol;Acc:MGI:5662806] MAMDERRGKERVQWTTTIIISSSLKSYEIATALENRSHKVRYSDTLESGSIVFSLSGVAF LLMDAKECMTSAEEIFVTKIEKFINIHQNSFLVLFAPLHGPEEWSLMFRIHQRFLGSNLR ILPVHNTVNALDLMCTIAKR >ENSMUSP00000005678.4 pep:known chromosome:GRCm38:8:3681737:3694175:-1 gene:ENSMUSG00000005540.10 transcript:ENSMUST00000005678.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer2a description:Fc receptor, IgE, low affinity II, alpha polypeptide [Source:MGI Symbol;Acc:MGI:95497] MEENEYSGYWEPPRKRCCCARRGTQLMLVGLLSTAMWAGLLALLLLWHWETEKNLKQLGD TAIQNVSHVTKDLQKFQSNQLAQKSQVVQMSQNLQELQAEQKQMKAQDSRLSQNLTGLQE DLRNAQSQNSKLSQNLNRLQDDLVNIKSLGLNEKRTASDSLEKLQEEVAKLWIEILISKG TACNICPKNWLHFQQKCYYFGKGSKQWIQARFACSDLQGRLVSIHSQKEQDFLMQHINKK DSWIGLQDLNMEGEFVWSDGSPVGYSNWNPGEPNNGGQGEDCVMMRGSGQWNDAFCRSYL DAWVCEQLATCEISAPLASVTPTRPTPKSEP >ENSMUSP00000146647.1 pep:known chromosome:GRCm38:8:3682784:3690390:-1 gene:ENSMUSG00000005540.10 transcript:ENSMUST00000208145.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer2a description:Fc receptor, IgE, low affinity II, alpha polypeptide [Source:MGI Symbol;Acc:MGI:95497] MDTHHTGYWEPPRKRCCCARRGTQLMLVGLLSTAMWAGLLALLLLWHWETEKNLKQLGDT AIQNVSHVTKDLQKFQSNQLAQKSQVVQMSQNLQELQAEQKQMKAQDSRLSQNLTGLQED LRNAQSQNSKLSQNLNRLQDDLVNIKSLGLNEKRTASDSLEKLQEEVAKLWIEILISKGT ACNICPKNWLHFQQKCYYFGKGSKQWIQARFACSDLQGRLVSIHSQKEQDFLMQHINKKD SWIGLQDLNMEGEFVWSDGSPVGYSNWNPGEPNNGGQGEDCVMMRGSGQWNDAFCRSYLD AWVCEQLATCEISAPLASVTPTRPTPKSEP >ENSMUSP00000146568.1 pep:known chromosome:GRCm38:8:3682784:3690861:-1 gene:ENSMUSG00000005540.10 transcript:ENSMUST00000208492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer2a description:Fc receptor, IgE, low affinity II, alpha polypeptide [Source:MGI Symbol;Acc:MGI:95497] MNSQNQGYWEPPRKRCCCARRGTQLMLVGLLSTAMWAGLLALLLLWHWETEKNLKQLGDT AIQNVSHVTKDLQKFQSNQLAQKSQVVQMSQNLQELQAEQKQMKAQDSRLSQNLTGLQED LRNAQSQNSKLSQNLNRLQDDLVNIKSLGLNEKRTASDSLEKLQEEVAKLWIEILISKGT ACNICPKNWLHFQQKCYYFGKGSKQWIQARFACSDLQGRLVSIHSQKEQDFLMQHINKKD SWIGLQDLNMEGEFVWSDGSPVGYSNWNPGEPNNGGQGEDCVMMRGSGQWNDAFCRSYLD AWVCEQLATCEISAPLASVTPTRPTPKSEP >ENSMUSP00000146822.1 pep:known chromosome:GRCm38:8:3682810:3690827:-1 gene:ENSMUSG00000005540.10 transcript:ENSMUST00000208438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer2a description:Fc receptor, IgE, low affinity II, alpha polypeptide [Source:MGI Symbol;Acc:MGI:95497] MNSQNQGYWEPPRKRCCCARRGTQLMLVGLLSTAMWAGLLALLLLWHWETEKNLKQLGDT AIQNVSHVTKDLQKFQSNQLAQKSQDSRLSQNLTGLQEDLRNAQSQNSKLSQNLNRLQDD LVNIKSLGLNEKRTASDSLEKLQEEVAKLWIEILISKGTACNICPKNWLHFQQKCYYFGK GSKQWIQARFACSDLQGRLVSIHSQKEQDFLMQHINKKDSWIGLQDLNMEGEFVWSDGSP VGYSNWNPGEPNNGGQGEDCVMMRGSGQWNDAFCRSYLDAWVCEQLATCEISAPLASVTP TRPTPKSEP >ENSMUSP00000146380.1 pep:known chromosome:GRCm38:8:3682810:3690827:-1 gene:ENSMUSG00000005540.10 transcript:ENSMUST00000208603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer2a description:Fc receptor, IgE, low affinity II, alpha polypeptide [Source:MGI Symbol;Acc:MGI:95497] MNSQNQGYWEPPRKRCCCARRGTQLMLVGLLSTAMWAGLLALLLLWHWETEKNLKQLGDT AIQNVVQMSQNLQELQAEQKQMKAQDSRLSQNLTGLQEDLRNAQSQNSKLSQNLNRLQDD LVNIKSLGLNEKRTASDSLEKLQEEVAKLWIEILISKGTACNICPKNWLHFQQKCYYFGK GSKQWIQARFACSDLQGRLVSIHSQKEQDFLMQHINKKDSWIGLQDLNMEGEFVWSDGSP VGYSNWNPGEPNNGGQGEDCVMMRGSGQWNDAFCRSYLDAWVCEQLATCEISAPLASVTP TRPTPKSEP >ENSMUSP00000146689.1 pep:known chromosome:GRCm38:8:3682824:3691161:-1 gene:ENSMUSG00000005540.10 transcript:ENSMUST00000207635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer2a description:Fc receptor, IgE, low affinity II, alpha polypeptide [Source:MGI Symbol;Acc:MGI:95497] MEENEYSAMWAGLLALLLLWHWETEKNLKQLGDTAIQNVSHVTKDLQKFQSNQLAQKSQV VQMSQNLQELQAEQKQMKAQDSRLSQNLTGLQEDLRNAQSQNSKLSQNLNRLQDDLVNIK SLGLNEKRTASDSLEKLQEEVAKLWIEILISKGTACNICPKNWLHFQQKCYYFGKGSKQW IQARFACSDLQGRLVSIHSQKEQDFLMQHINKKDSWIGLQDLNMEGEFVWSDGSPVGYSN WNPGEPNNGGQGEDCVMMRGSGQWNDAFCRSYLDAWVCEQLATCEISAPLASVTPTRPTP >ENSMUSP00000147052.1 pep:known chromosome:GRCm38:8:3682824:3691163:-1 gene:ENSMUSG00000005540.10 transcript:ENSMUST00000207463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer2a description:Fc receptor, IgE, low affinity II, alpha polypeptide [Source:MGI Symbol;Acc:MGI:95497] MEENEYSDWETEKNLKQLGDTAIQNVSHVTKDLQKFQSNQLAQKSQVVQMSQNLQELQAE QKQMKAQDSRLSQNLTGLQEDLRNAQSQNSKLSQNLNRLQDDLVNIKSLGLNEKRTASDS LEKLQEEVAKLWIEILISKGTACNICPKNWLHFQQKCYYFGKGSKQWIQARFACSDLQGR LVSIHSQKEQDFLMQHINKKDSWIGLQDLNMEGEFVWSDGSPVGYSNWNPGEPNNGGQGE DCVMMRGSGQWNDAFCRSYLDAWVCEQLATCEISAPLASVTPTRPTP >ENSMUSP00000044734.4 pep:known chromosome:GRCm38:X:48171969:48208687:-1 gene:ENSMUSG00000036985.12 transcript:ENSMUST00000037960.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc9 description:zinc finger, DHHC domain containing 9 [Source:MGI Symbol;Acc:MGI:2444393] MSVMVVRKKVTRKWEKLPGRNTFCCDGRVMMARQKGIFYLTLFLILGTCTLFFAFECRYL AVQLSPAIPVFAAMLFLFSMATLLRTSFSDPGVIPRALPDEAAFIEMEIEATNGAVPQGQ RPPPRIKNFQINNQIVKLKYCYTCKIFRPPRASHCSICDNCVERFDHHCPWVGNCVGKRN YRYFYLFILSLSLLTIYVFAFNIVYVALKSLKIGFLETLKETPGTVLEVLICFFTLWSVV GLTGFHTFLVALNQTTNEDIKGSWTGKNRVQNPYSHGNIVKNCCEVLCGPLPPSVLDRRG ILPLEESGSRPPSTQETSSSLLPQSPASTEHMNSNEMAEDTSIPEEMPPPEPPEPPQEAS EAEK >ENSMUSP00000086325.3 pep:known chromosome:GRCm38:X:48171969:48208878:-1 gene:ENSMUSG00000036985.12 transcript:ENSMUST00000088935.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc9 description:zinc finger, DHHC domain containing 9 [Source:MGI Symbol;Acc:MGI:2444393] MSVMVVRKKVTRKWEKLPGRNTFCCDGRVMMARQKGIFYLTLFLILGTCTLFFAFECRYL AVQLSPAIPVFAAMLFLFSMATLLRTSFSDPGVIPRALPDEAAFIEMEIEATNGAVPQGQ RPPPRIKNFQINNQIVKLKYCYTCKIFRPPRASHCSICDNCVERFDHHCPWVGNCVGKRN YRYFYLFILSLSLLTIYVFAFNIVYVALKSLKIGFLETLKETPGTVLEVLICFFTLWSVV GLTGFHTFLVALNQTTNEDIKGSWTGKNRVQNPYSHGNIVKNCCEVLCGPLPPSVLDRRG ILPLEESGSRPPSTQETSSSLLPQSPASTEHMNSNEMAEDTSIPEEMPPPEPPEPPQEAS EAEK >ENSMUSP00000046645.4 pep:known chromosome:GRCm38:16:49699233:49765126:1 gene:ENSMUSG00000032965.10 transcript:ENSMUST00000046777.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift57 description:intraflagellar transport 57 [Source:MGI Symbol;Acc:MGI:1921166] MAAAAAVIPPSGLDDGVSRARGEGAGEAVVERGPGAAYHMFVVMEDLVEKLKLLRYEEEL LRKSNLKPPSRHYFALPTNPGEQFYMFCTLAAWLINKTGRAFEQPQEYDDPNATISNILS ELRSFGRTADFPPSKLKSGYGEQVCYVLDCLAEEALKYIGFTWKRPSYPVEELEEETVPE DDAELTLSKVDEEFVEEETDNEENFIDLNVLKAQTYRLDTNESAKQEDILESTTDAAEWS LEVERVLPQLKVTIRTDNKDWRIHVDQMHQHKSGIESALKETKGFLDKLHNEISRTLEKI GSREKYINNQLEHLVQEYRGAQAQLSEARERYQQGNGGVTERTRLLSEVTEELEKVKQEM EEKGSSMTDGTPLVKIKQSLTKLKQETVQMDIRIGVVEHTLLQSKLKEKCNMTRDMHAAV TPESAIGFY >ENSMUSP00000116412.1 pep:known chromosome:GRCm38:16:49710922:49764116:1 gene:ENSMUSG00000032965.10 transcript:ENSMUST00000140914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift57 description:intraflagellar transport 57 [Source:MGI Symbol;Acc:MGI:1921166] XPSFVGSIMMSSVLMIEIFLLDFFTYYVTTMALFCMLTDAPAYFLCIEQIESQRVTSYPH PTTSCTQEEETDNEENFIDLNVLKAQTYRLDTNESAKQEDILESTTDAAEWSLEVERVLP QLKVTIRTDNKDWRIHVDQMHQHKSGIESALKETKGFLDKLHNEISRTLEKIGSREKYIN NQLEHLVQEYRGAQAQLSEARERYQQGNGGVTERTRLLSEVTEELEKVKQEMEEKGSSMT DGTPLVKIKQSLTKLKQETVQMDIRIGVVEHTLLQSKLKEKCNMTRDMHAAVTPESAIGF Y >ENSMUSP00000117882.1 pep:known chromosome:GRCm38:16:49699304:49762628:1 gene:ENSMUSG00000032965.10 transcript:ENSMUST00000142682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift57 description:intraflagellar transport 57 [Source:MGI Symbol;Acc:MGI:1921166] MFCTLAAWLINKTGRAFEQPQEYDDPNATISNILSELRSFGRTADFPPSKLKSGYGEQVC YVLDCLAEEALKYIGFTWKRPSYPVEELEEETVPEDDAELTLSKVDEEFVEEETDNEENF IDLNVLKAQTYRLDTNESAKQEDILESTTDAAEWSLEVERVLPQLKVTIRTDNKDWRIHV DQMHQHKSGIESALKETKGFLDKLHNEISRTLEKIGSREKYINNQLEHLVQEYRGAQAQL SEARERYQQGNGGVTERTRLLSEVTEELEKVK >ENSMUSP00000098511.2 pep:known chromosome:GRCm38:5:107497378:107511207:1 gene:ENSMUSG00000044060.17 transcript:ENSMUST00000100951.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830010M20Rik description:RIKEN cDNA A830010M20 gene [Source:MGI Symbol;Acc:MGI:2445097] MHLDDQQKIQVAALDKGDDRRLGRKPVLTSSQQRRQGSDVDVLKIKPWTENNKKPCQTSL STNQKMRSDGLGASGHASSTNRNSINKVSKHGDSTKMSKVVKEMKTGGKYVSGKSKTMVK PQTENSDHTKIEGLSSTVVGRPSRVTAAGRKDPVHGKGVQNQEVETTGARPKVLTANLNV QARAKPLQTLRGKDSTCPASVGPSSRSTHSSTELLASMGSVDETKENGSVEDKCRDGKPY VSDSPGQMVSNGVMSTAAVKSRAVARITNGTASNKSFTHEQDSHGNSSVIKRGDGKGLSA SAPQTAAKKRGSSNGCTAAQPRTKSAPPTLAQAQGSQGESPHSVKSSVSSRQSDENVTRL RHGTDKQIPKRKVVKQGHTTLQKVNAKLVPMPKIPSQPKKGGTVNSKDSKQKVLPGQIML QSHASQRPSKPEVAEKSVLHGVSDSRNHVSKQRPHESPCTLACDTSGPEVSQIPCRPQPQ SPLGSQEKKRLELACQDRSTLGDSVKRELRSELAGIEQSHTSAYKDSSQCNGNPNCGSIA ALKSVISNPNENLINSNPVHNSDSANTEQAYLSDRERETGRENTDTEPSMSCINGKAFLC VPEGTSSTLNPTQSDRRLTFHGAAQSQLPDDCATEDSKCATVTSAVSSKCLLGQPSEKNC KNMEMSETPESHGTPEAPFVGPWDLNTGATHQRESPESDTGSATTSSDDIKPRSEDYDAG GSQDDEGSHDRGISKCSTALCHDFLGRSSSDTSTPEELKVHEGDLRTEVRVRKQGGGDHQ IHSASDDEIPRKKPEPWSRSTIGYPREKGSTPRGSIPSAQEGDQVSSSADETEDERSEAE NVGENSSPCSSGTQQVQGIINLAFDDGAEHESREFSATKKFRRSVLLSVDECEEVGSDEG EGHTPFQPSLDSLSPSDVFDGVSYEHHGRTGYSRFFKENEATTAEHNHNKGNGGYKNESF LLNSRSKDFEKQDKQCVSTDQKTRLDVPPKGSQQLFPENEEVISKREAAHSFQQHSTFMD GDTKSQERPCHLELHQRELSSNIPKISSVKSLDSCPSQGLPQEGQVKESRPTPPKGANNA VFSGDIDDCDTMAQTSMYDHRPSKTLSPIYEMSLTAACEQEVESETHVADRGSEDEQHFA KQDWTLLRQLLSEQDANLNITSSLPEDLSLAQYLINQTLLLARDSSKPQGSAHADTWNRW SELSSPLDDSATSATTASVSSTDCSPQGEWTILELETQH >ENSMUSP00000114881.1 pep:known chromosome:GRCm38:5:107497776:107507090:1 gene:ENSMUSG00000044060.17 transcript:ENSMUST00000152474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830010M20Rik description:RIKEN cDNA A830010M20 gene [Source:MGI Symbol;Acc:MGI:2445097] MHLDDQQKIQVAALDKGDDRRLGRKPVLTSSQQRRQGSDVDVLKIKPWTENNKKPCQTSL STNQKMRSDGLGASGHASSTNRNSINKVSKHGDSTKMSKVVKEMKTGGKYVSGKSKTMVK PQTENSDHTKIEGLSSTVVGRPSRVTAAGRKDPVHGKGVQNQEVETTGARPKVLTANLNV QARAKPLQTLRGKDSTCPASVGPSSRSTHSSTELLASMGSVDETKENGSVEDKCRDGKPY VSDSPGQMVSNGVMSTAVKSRAVARITNGTASNKSFTHEQDSHGNSSVIKRGDGKGLSAS APQTAAKKRGSSNGCTAAQPRTKSAPPTLAQAQGSQGESPHSVKSSVSSRQSDENVTRLR HGTDKQIPKRKVVKQGHTTLQKVNAKLVPMPKIPSQPKKGGTVNS >ENSMUSP00000056529.7 pep:known chromosome:GRCm38:5:107497779:107510208:1 gene:ENSMUSG00000044060.17 transcript:ENSMUST00000060553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830010M20Rik description:RIKEN cDNA A830010M20 gene [Source:MGI Symbol;Acc:MGI:2445097] MHLDDQQKIQVAALDKGDDRRLGRKPVLTSSQQRRQGSDVDVLKIKPWTENNKKPCQTSL STNQKMRSDGLGASGHASSTNRNSINKVSKHGDSTKMSKVVKEMKTGGKYVSGKSKTMVK PQTENSDHTKIEGLSSTVVGRPSRVTAAGRKDPVHGKGVQNQEVETTGARPKVLTANLNV QARAKPLQTLRGKDSTCPASVGPSSRSTHSSTELLASMGSVDETKENGSVEDKCRDGKPY VSDSPGQMVSNGVMSTAAVKSRAVARITNGTASNKSFTHEQDSHGNSSVIKRGDGKGLSA SAPQTAAKKRGSSNGCTAAQPRTKSAPPTLAQAQDQKTRLDVPPKGSQQLFPENEEVISK REAAHSFQQHSTFMDGDTKSQERPCHLELHQRELSSNIPKISSVKSLDSCPSQGLPQEGQ VKESRPTPPKGANNAVFSGNVQK >ENSMUSP00000108290.2 pep:known chromosome:GRCm38:5:107497745:107512556:1 gene:ENSMUSG00000044060.17 transcript:ENSMUST00000112671.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830010M20Rik description:RIKEN cDNA A830010M20 gene [Source:MGI Symbol;Acc:MGI:2445097] MHLDDQQKIQVAALDKGDDRRLGRKPVLTSSQQRRQGSDVDVLKIKPWTENNKKPCQTSL STNQKMRSDGLGASGHASSTNRNSINKVSKHGDSTKMSKVVKEMKTGGKYVSGKSKTMVK PQTENSDHTKIEGLSSTVVGRPSRVTAAGRKDPVHGKGVQNQEVETTGARPKVLTANLNV QARAKPLQTLRGKDSTCPASVGPSSRSTHSSTELLASMGSVDETKENGSVEDKCRDGKPY VSDSPGQMVSNGVMSTAAVKSRAVARITNGTASNKSFTHEQDSHGNSSVIKRGDGKGLSA SAPQTAAKKRGSSNGCTAAQPRTKSAPPTLAQAQGSQGESPHSVKSSVSSRQSDENVTRL RHGTDKQIPKRKVVKQGHTTLQKVNAKLVPMPKIPSQPKKGGTVNSKDSKQKVLPGQIML QSHASQRPSKPEVAEKSVLHGVSDSRNHVSKQRPHESPCTLACDTSGPEVSQIPCRPQPQ SPLGSQEKKRLELACQDRSTLGDSVKRELRSELAGIEQSHTSAYKDSSQCNGNPNCGSIA ALKSVISNPNENLINSNPVHNSDSANTEQAYLSDRERETGRENTDTEPSMSCINGKAFLC VPEGTSSTLNPTQSDRRLTFHGAAQSQLPDDCATEDSKCATVTSAVSSKCLLGQPSEKNC KNMEMSETPESHGTPEAPFVGPWDLNTGATHQRESPESDTGSATTSSDDIKPRSEDYDAG GSQDDEGSHDRGISKCSTALCHDFLGRSSSDTSTPEELKVHEGDLRTEVRVRKQGGGDHQ IHSASDDEIPRKKPEPWSRSTIGYPREKGSTPRGSIPSAQEGDQVSSSADETEDERSEAE NVGENSSPCSSGTQQVQGIINLAFDDGAEHESREFSATKKFRRSVLLSVDECEEVGSDEG EGHTPFQPSLDSLSPSDVFDGVSYEHHGRTGYSRFFKENEATTAEHNHNKGNGGYKNESF LLNSRSKDFEKQDKQCVSTDQKTRLDVPPKGSQQLFPENEEVISKREAAHSFQQHSTFMD GDTKSQERPCHLELHQRELSSNIPKISSVKSLDSCPSQGLPQEGQVKESRPTPPKGANNA VFSGNVQK >ENSMUSP00000077562.2 pep:known chromosome:GRCm38:X:91632194:91635671:1 gene:ENSMUSG00000064129.4 transcript:ENSMUST00000078469.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14781 description:predicted gene 14781 [Source:MGI Symbol;Acc:MGI:3712084] MPRGQKNKHHRNRLKNKKHNQEKVHPQKDESQEKGHHKRDDFQDHKEARDNAAVEEKSSC SSPSVPGETLEKPPASKTSSDAGWLSCVSSPATVCGDELEFDDGTYFHCGGNSLYSEYRT CQENPCKHCLDMYVNLVEQYVLYKFKMKKLIDRNKLIDLIEPKYRYNFSDIFKRAFENIE IVFAASVIEIDSTNHVYDLVSKLKLPNKGRVCPGRGLPKTGLLMTILAMIFMNGTSASEE DIWKFLNYMQVYPGRKHFIYREPRKLITQDFVKLKYLEYKQISLSDPPCYRFQWGPKAYT ETTKMKVLEFMAKGSGVEPSTFSVQYTEALREENQKTKIRKWYPILAQKHCPGHSHQHVQ KVMKPQ >ENSMUSP00000091467.1 pep:known chromosome:GRCm38:11:99907920:99908892:1 gene:ENSMUSG00000070334.4 transcript:ENSMUST00000093935.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap31-1 description:keratin associated protein 31-1 [Source:MGI Symbol;Acc:MGI:1918081] MTHTCQPCCCKTASCRTSSSSESSSESSCPVFICCAPSWCSTPCCCKSICCHSTKTVNSC SQLCCPPTCCDPASCDSNCCKPTCVTICCSTPCCQPSCCVPTCCQPSLFQLCCQPTCCET SCCKTTSFKPSCVIIGCSTPCCQPCCVCPSAADNQLPKEQSHAPARAGMPCVHEATS >ENSMUSP00000071611.2 pep:known chromosome:GRCm38:10:24049510:24050586:1 gene:ENSMUSG00000100950.1 transcript:ENSMUST00000071691.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar7f description:trace amine-associated receptor 7F [Source:MGI Symbol;Acc:MGI:3527447] MSIADETVSWNQDSILSRDLFSATSAELCYENLNRSCVRSPYSPGPRLILYAVFGFGAVL AVCGNLLVMTSILHFRQLHSPANFLVASLACADFLVGVMVMPFSMVRSVEGCWYFGDSYC KLHTCFDVSFCYCSLFHLCFISVDRYIAVSDPLAYPTRFTASVSGKCITFSWLLSISYGF SLIYTGASEAGLEDLVSSLTCVGGCQIAVNQTWVFINFSVFLIPTLVMITVYSKIFLIAK QQAQNIEKMSKQTARASDSYKDRVAKRERKAAKTLGIAVAAFLLSWLPYFIDSFIDAFLG FITPTYVYEILVWIVYYNSAMNPLIYAFFYPWFRKAIKLTVTGKILRENSSTTNLFSE >ENSMUSP00000055229.5 pep:known chromosome:GRCm38:7:104140623:104143279:-1 gene:ENSMUSG00000051618.5 transcript:ENSMUST00000057254.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubqln3 description:ubiquilin 3 [Source:MGI Symbol;Acc:MGI:3045291] MAKSGEALPQGSLAPAQDSQLIRVTVKTPKDKEDFSVVDTCTIRQLKEKISHRFKAHPNQ LVLIFAGKILKDPDSLAQCGVRDGLTVHLVIKMQRRTIGTECPSPPVSIPGPNPGEIPQS SSVYSVDGSPSFSLGVLTGLSGLGLTSGSFSDQPGSLMWQHISVPELVAQLVDDPFIQGL LSNTGLVRQLVLDNPHMQHLIQQNPEIGHILNNPEIMRQTMEFLRNPSMMQEMMRSQDRA LSNLESIPGGYNVLRTMYTDIMDPMLNAVQEQFGGNPFVTATTASTTTTSSQPSRTENCD PLPNPWTSTYGVSGGRQGRGGRQSGDQDASENRNRLPSFLGNIGLFDYLQQLHETSQSLE SYLQGTVPTSNPSQESPLSGNRVPPTLPSSPKSGSGQSLPKESVAIKGKSSCPAFLRHST ENSTGQGGSLHDAGKGSTGPSTSLPNLTSQIGDSANRSSFVSTPSSLMSATPGVPESPWL PPTGYSRSLRSAGTNQVPRIQNEIHQQLPLLLHLQTAMANPRVMQALLQIEQGLQILATE APRLLLWFMPCLTGLNGVTGGTEAREGVVMSEDPRPTPTPQISLAQGSTELGIHSSPFLQ VLQALASTNPQQLQLEAHFRVQLEQLRAMGFLNLEANLQALIATEGDVDAAVEKLRKS >ENSMUSP00000138729.1 pep:known chromosome:GRCm38:5:129683439:129708512:-1 gene:ENSMUSG00000034219.15 transcript:ENSMUST00000182386.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept14 description:septin 14 [Source:MGI Symbol;Acc:MGI:1921472] MAEKPTNTSVPIPGSEDPQKENIRCLSTLGHFGFECLPTQLVNKSIQKGFSFNILCVGET GIGKTTLINTLFNTNLKETKSSHFYSKVGLTVKTYELLERNIPLRLTVVKTVGYGDQINK EASYQPVVDYLDAQFEAYLQEELKIKRSLADYHDSRIHVCLYFITPTGHSLKSLDLLTMK SIDRRVNIIPLIAKADSLSKNDLQRFKNNIMSELNSNGIQIYQFQVDDEASAQVNSSGLL PFAVVGSMEEVKVGKRMVRGRHYPWGVLQVENENHCDFVKLRDLLLSTNMEDLKDQTHTQ HYECYRSNRLQKLGFSDTGPDNRPVSFQEMYEAKRREFHNQCQKEEEELKQTFMQRVKEK ELTFKDAEKELQDKFEHLKRIQQEEILKLEEERRKLEEQIIDFYKMKAASESAQAQVCTN IKKDKDRKK >ENSMUSP00000044272.6 pep:known chromosome:GRCm38:5:129683402:129704259:-1 gene:ENSMUSG00000034219.15 transcript:ENSMUST00000042266.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept14 description:septin 14 [Source:MGI Symbol;Acc:MGI:1921472] MAEKPTNTSVPIPGSEDPQKENIRCLSTLGHFGFECLPTQLVNKSIQKGFSFNILCVGET GIGKTTLINTLFNTNLKETKSSHFYSKVGLTVKTYELLERNIPLRLTVVKTVGYGDQINK EASYQPVVDYLDAQFEAYLQEELKIKRSLADYHDSRIHVCLYFITPTGHSLKSLDLLTMK SIDRRVNIIPLIAKADSLSKNDLQRFKNNIMSELNSNGIQIYQFQVDDEASAQVNSSGLL PFAVVGSMEEVKVGKRMVRGRHYPWGVLQEVENENHCDFVKLRDLLLSTNMEDLKDQTHT QHYECYRSNRLQKLGFSDTGPDNRPVSFQEMYEAKRREFHNQCQKEEEELKQTFMQRVKE KELTFKDAEKELQDKFEHLKRIQQEEILKLEEERRKLEEQIIDFYKMKAASESAQAQVCT NIKKDKDRKK >ENSMUSP00000062719.5 pep:known chromosome:GRCm38:10:24076500:24077534:1 gene:ENSMUSG00000096442.1 transcript:ENSMUST00000051133.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar8a description:trace amine-associated receptor 8A [Source:MGI Symbol;Acc:MGI:2685076] MTSNFSQPALQLCYENTNGSCIKTPYSPGPRVILYMVYGFGAVLAVCGNLLVVISVLHFK QLHSPANFLIASLASADFLVGISVMPFSMVRSIESCWYFGDAFCSLHSCCDVAFCYSSVL HLCFISVDRYIAVTDPLVYPTKFTVSVSGICISISWILPLVYSSAVFYTGISAKGIESLV SALNCVGGCQIVINQDFVLISFLLFFIPTLVMIILYSKIFLVAKQQAVKIETSVSGNRGE SSSESHKARVAKRERKAAKTLGVTVVAFMVSWLPYTIDALVDAFMGFITPAYVYEICCWG TYYNSAMNPLIYAFFFPWFKKAIKLILSGEILKGHSSTANLFSE >ENSMUSP00000113241.1 pep:known chromosome:GRCm38:16:97997323:98010000:1 gene:ENSMUSG00000080717.9 transcript:ENSMUST00000122450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230307C23Rik description:RIKEN cDNA B230307C23 gene [Source:MGI Symbol;Acc:MGI:3643396] MDVLTHDDVHVNFTREEWALLNPSQKSLYKDVMLETYRNLNAIGYNWEDNNIEEQCQSSR RNGR >ENSMUSP00000109358.2 pep:known chromosome:GRCm38:16:98008629:98009008:1 gene:ENSMUSG00000080717.9 transcript:ENSMUST00000113729.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230307C23Rik description:RIKEN cDNA B230307C23 gene [Source:MGI Symbol;Acc:MGI:3643396] DVLTHDDVHVNFTREEWALLNPSQKSLYKDVMLETYRNLNAIGYNWEDNNIEEQCQSSRR NGR >ENSMUSP00000102563.1 pep:known chromosome:GRCm38:7:102065511:102083762:1 gene:ENSMUSG00000099481.6 transcript:ENSMUST00000106950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xndc1 description:Xrcc1 N-terminal domain containing 1 [Source:MGI Symbol;Acc:MGI:5546359] MAPVKISHVVSFSSQDPKYPVENLLNPDSHRGPWLSCPQDKTGQLKVEFQLERAVPISYI DVGNCGCAFLQIDVGRSSWPLDRPFVTLLPATMLMSRTDSKSGKNRSGVRMFKDGDFLTP ASGESWDRLRLTCSQPFTRHQSFGLAFLRVRSSLGSLADPVVDPSAPGSSGLNQNSTDVL ESDPRPWLTNPSIRRTFFPDPQTSTKEISELKGMLKQLQPGPLGRAARMVLSAARKAPPA SVVSPNNSHGEPGPSRAESAEPRAEEPNRKTAVGRRKRRKVQEPRRSLSNSSSQPNRRTG RTRQRQHRPQTKSDDGGVQAAGQCPICAGFFSIETLPQHAATCGESPPPQPASPASLSSS ESVLWVSSPESSPPPSWVQCPICELQFSAREIEEHASVCGEVLPA >ENSMUSP00000117300.1 pep:known chromosome:GRCm38:7:102065593:102077880:1 gene:ENSMUSG00000099481.6 transcript:ENSMUST00000146450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xndc1 description:Xrcc1 N-terminal domain containing 1 [Source:MGI Symbol;Acc:MGI:5546359] MAPVKISHVVSFSSQDPKYPVENLLNPDSHRGPWLSCPQDKTGQLKVEFQLERAVPISYI DVGNCGCAFLQIDVGRSSWPLDRPFVTLLPATMLMSRTDSKSGKNRSGVRMFKDGDFLTP ASGESWDRLRLTCSQPFTRHQSFGLAFLRVRSSLGSLA >ENSMUSP00000091680.2 pep:known chromosome:GRCm38:7:102065758:102083081:1 gene:ENSMUSG00000099481.6 transcript:ENSMUST00000094130.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xndc1 description:Xrcc1 N-terminal domain containing 1 [Source:MGI Symbol;Acc:MGI:5546359] MAPVKISHVVSFSSQDPKYPVENLLNPDSHRGPWLSCPQDKTGQLKVEFQLERAVPISYI DVGNCGCAFLQIDVGRSSWPLDRPFVTLLPATMLMSRTDSKSGKNRSGVRMFKDGDFLTP ASGESWDRLRLTCSQPFTRHQSFGLAFLRVRSSLGSLADPVVDPSAPGSSGLNQNSTDVL ESDPRPWLTNPSIRRTFFPDPQTSTKEISELKGMLKQLQPGPLGRAARMVLSAARKAPPA SVVSPNNSHGEPGPSRAESAEPRAEEPNRKTAVGRRKRRKVQEPRRSLSNSSSQPNRRTG RTRQRQHRPQTKSDDGGVQAAGQCPICAGFFSIETLPQHAATCGESPPPQPASPASLSSS ESVLWVSSPESSPPPSWVQCPICELQFSAREIEEHASVCGEVLPA >ENSMUSP00000121475.1 pep:known chromosome:GRCm38:7:102078784:102083765:1 gene:ENSMUSG00000099481.6 transcript:ENSMUST00000142629.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xndc1 description:Xrcc1 N-terminal domain containing 1 [Source:MGI Symbol;Acc:MGI:5546359] SIRRTFFPDPQTYVPAVDISQGQGHIAHGHKNPSRGPLEHQGNFRAQGYVEAVAARASGA GSPHGAFCCP >ENSMUSP00000056365.4 pep:known chromosome:GRCm38:7:104148259:104150556:-1 gene:ENSMUSG00000051437.4 transcript:ENSMUST00000059121.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubqlnl description:ubiquilin-like [Source:MGI Symbol;Acc:MGI:2685336] MPRIISRSPKMPQSRYTAGVPADGKISPGVIRVIVKTPGNQIIFTVADDTLVRQFKEILS AHFKCQMEQLVLVFMGRLLKDHDTLSQRGITDGHIIHVVIKSKHGPRSLAHSFRNLVTNN PCHQDRNPKGNSSMVCQSAGMNETKVESSLLMEPEAPKVGTESPEVGSLEHIAQVLENLC VQSLLSNMDFVHQMPPEQPYMEELIQQNPEVSHLLDNSEILCQTLELVRHLAIIQEIMQI QQPAQNPEYPPNSQPFLGLETVPNGNNHLGQSYVNNNDHMLNGVPDLLEGNCFTALLDEQ VLEQVQTPSLSQPLPQEQWDQLSSSQVIYANSCGLSSITPTNATPNHTNNVSRENPAIVA TQGQSNVCAVQQPAEIPVLPTISINQKPQVDKDTTITLGISDQWLEEDLQQSDDQTSSQI TGGMIQLLRNHPQMAAQMLLLMNTSQLNEQWRQETPTPLQSSQLHDLLLALANPKTSQAL LQIEHGLQLLATEAPALLPYIEPYLWGLGWLIPSICSYPDIVPWTWNVQDMAEPQCPESC HKSETVLQKVQPPSGDPSHSLQAPEVRFSKEMECLQAMGFVNYNANLQALIATDGDTNAA IYKLKSSQGF >ENSMUSP00000080706.2 pep:known chromosome:GRCm38:X:91779544:91783018:-1 gene:ENSMUSG00000061392.2 transcript:ENSMUST00000082048.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb5 description:melanoma antigen, family B, 5 [Source:MGI Symbol;Acc:MGI:2148169] MPRGQKNKHHRNRLKNKKHNQEKVHPQKDESQEKGHHKRDDFQDHKEARDNAAVEEKSSC SSPSVPGETLEKPPASKTSSDAGWLSCVSSPATVCGDELEFDDGTYFHCGGNSLYSEYRT CQENPCKHCLDMYVNLVEQYVLYKFKMKKLIDRNELIDLIEPKYRYNFSDIFKRAFENIE IVFAASVIEIDSTNHVYDLVSKLKLPNKGRVCPGRGLPKTGLLMTILAMIFMNGNSASEE DIWKFLNYMQVYPGRKHFIYREPRKLITQDFVKLKYLEYKQISLSDPPCYRFQWGPKAYT ETTKMKVLEFMAKGSGVEPSTFSVQYTEALREENQKTKIRKWYPILAQKHCPGHSHQHVQ KVMKPQ >ENSMUSP00000146618.1 pep:known chromosome:GRCm38:2:111621134:111626418:-1 gene:ENSMUSG00000094858.2 transcript:ENSMUST00000207283.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1297 description:olfactory receptor 1297 [Source:MGI Symbol;Acc:MGI:3031131] MDGDNQTVVSEFVLLGLSNSKNLQVLLFLIFLLLYLLIMSGNIVIQILITTDPHLHSPMY FLLANLSFVDMLLSSNTTPRMIIDFFREKKTISFAGCMSQIFFSHCIASGEVVLLALMAY DRYVAICKPLHYFTIMNLKRCTGLVLTSWTIGFLHGISHVVVLLQLPFCGPNKIDSFFCD MPLVIKLACMDSQDLNTLMNGECGILAVTCFILLLISYTYILITVHQNSKTGASKALSTC TAHITVVMIFFLPCFFIYVFPLNITWLDKFLAVFYSVITPLLNPVIYTLRNKEMKNAMKR FIGKFLRAKGNS >ENSMUSP00000097207.1 pep:known chromosome:GRCm38:2:111621134:111622072:-1 gene:ENSMUSG00000094858.2 transcript:ENSMUST00000099612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1297 description:olfactory receptor 1297 [Source:MGI Symbol;Acc:MGI:3031131] MDGDNQTVVSEFVLLGLSNSKNLQVLLFLIFLLLYLLIMSGNIVIQILITTDPHLHSPMY FLLANLSFVDMLLSSNTTPRMIIDFFREKKTISFAGCMSQIFFSHCIASGEVVLLALMAY DRYVAICKPLHYFTIMNLKRCTGLVLTSWTIGFLHGISHVVVLLQLPFCGPNKIDSFFCD MPLVIKLACMDSQDLNTLMNGECGILAVTCFILLLISYTYILITVHQNSKTGASKALSTC TAHITVVMIFFLPCFFIYVFPLNITWLDKFLAVFYSVITPLLNPVIYTLRNKEMKNAMKR FIGKFLRAKGNS >ENSMUSP00000140958.1 pep:known chromosome:GRCm38:3:115887837:115929088:1 gene:ENSMUSG00000033554.17 transcript:ENSMUST00000189799.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph5 description:diphthamide biosynthesis 5 [Source:MGI Symbol;Acc:MGI:1916990] MLYLIGLGLGDAKDITVKGLEVVRRCSRVYLEAYTSVLTVGKEALEEFYGRKLILADREE VEQEADNIFKDADVSDVAFLVVGDPFGATTHSDLILRATKLGIPYQVIHNASIMNAVGCC GLQLYRFGETVSIVFWTDTWRPESFFDKVKRNRANGMHTLCLLDIKVKEQSLENLIRGRK IYEPPRYMSVNQAAQQLLEIVQNHRARGEEPAITEETLCVGLARVGAEDQKIAAGTLQQM CTVSLGEPLHSLVITGGNLHPLEMEMLSLFSIPESQSTDGL >ENSMUSP00000143049.1 pep:known chromosome:GRCm38:3:115887852:115888479:1 gene:ENSMUSG00000033554.17 transcript:ENSMUST00000200258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph5 description:diphthamide biosynthesis 5 [Source:MGI Symbol;Acc:MGI:1916990] MLYLIG >ENSMUSP00000142654.1 pep:known chromosome:GRCm38:3:115888147:115899777:1 gene:ENSMUSG00000033554.17 transcript:ENSMUST00000196804.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph5 description:diphthamide biosynthesis 5 [Source:MGI Symbol;Acc:MGI:1916990] MLYLIGLGLGDAKDITVKGLEVVRRCSRVYLEAYTSVLTVGKEALEEFYGRKLILADREE VEQEADNIFKDADVSDVAFLVVGDPFGATTHSDLILRATKLGIPYQVIHNASIM >ENSMUSP00000139249.1 pep:known chromosome:GRCm38:3:115888165:115934361:1 gene:ENSMUSG00000033554.17 transcript:ENSMUST00000185098.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dph5 description:diphthamide biosynthesis 5 [Source:MGI Symbol;Acc:MGI:1916990] MLYLIGLGLGDAKDITVKGLEVVRRCSRVYLEAYTSVLTVGKEALEEFYGRKLILADREE VEQEADNIFKDADVSDVAFLVVGDPFGATTHSDLILRATKLGIPYQVIHNASIMNAVGCC GLQLYRFGETVSIVFWTDTWRPESFFDKVKRNRANGMHTLCLLDIKVKEQSLENLIR >ENSMUSP00000102114.1 pep:known chromosome:GRCm38:3:115888173:115901142:1 gene:ENSMUSG00000033554.17 transcript:ENSMUST00000106505.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph5 description:diphthamide biosynthesis 5 [Source:MGI Symbol;Acc:MGI:1916990] MLYLIGLGLGDAKDITVKGLEVVRRCSRVYLEAYTSVLTVGKEALEEFYGRKLILADREE VEQEADNIFKDADVSDVAFLVVGDPFGATTHSDLILRATKLGIPYQVIHNASIMNAVGCC GLQVMPQRKCVLFPGSYKLILFHEL >ENSMUSP00000043730.9 pep:known chromosome:GRCm38:3:115888183:115929332:1 gene:ENSMUSG00000033554.17 transcript:ENSMUST00000043342.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph5 description:diphthamide biosynthesis 5 [Source:MGI Symbol;Acc:MGI:1916990] MLYLIGLGLGDAKDITVKGLEVVRRCSRVYLEAYTSVLTVGKEALEEFYGRKLILADREE VEQEADNIFKDADVSDVAFLVVGDPFGATTHSDLILRATKLGIPYQVIHNASIMNAVGCC GLQLYRFGETVSIVFWTDTWRPESFFDKVKRNRANGMHTLCLLDIKVKEQSLENLIRGRK IYEPPRYMSVNQAAQQLLEIVQNHRARGEEPAITEETLCVGLARVGAEDQKIAAGTLQQM CTVSLGEPLHSLVITGGNLHPLEMEMLSLFSIPESQSTDGL >ENSMUSP00000021527.8 pep:known chromosome:GRCm38:12:73584797:73778185:1 gene:ENSMUSG00000021108.17 transcript:ENSMUST00000021527.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkch description:protein kinase C, eta [Source:MGI Symbol;Acc:MGI:97600] MSSGTMKFNGYLRVRIGEAVGLQPTRWSLRHSLFKKGHQLLDPYLTVSVDQVRVGQTSTK QKTNKPTYNEEFCANVTDGGHLELAVFHETPLGYDHFVANCTLQFQELLRTAGTSDTFEG WVDLEPEGKVFVVITLTGSFTEATLQRDRIFKHFTRKRQRAMRRRVHQVNGHKFMATYLR QPTYCSHCREFIWGVFGKQGYQCQVCTCVVHKRCHHLIVTACTCQNNINKVDAKIAEQRF GINIPHKFNVHNYKVPTFCDHCGSLLWGIMRQGLQCKICKMNVHIRCQANVAPNCGVNAV ELAKTLAGMGLQPGNISPTSKLISRSTLRRQGKEGSKEGNGIGVNSSSRFGIDNFEFIRV LGKGSFGKVMLARIKETGELYAVKVLKKDVILQDDDVECTMTEKRILSLARNHPFLTQLF CCFQTPDRLFFVMEFVNGGDLMFHIQKSRRFDEARARFYAAEIISALMFLHEKGIIYRDL KLDNVLLDHEGHCKLADFGMCKEGICNGVTTATFCGTPDYIAPEILQEMLYGPAVDWWAM GVLLYEMLCGHAPFEAENEDDLFEAILNDEVVYPTWLHEDATGILKSFMTKNPTMRLGSL TQGGEHEILRHPFFKEIDWAQLNHRQLEPPFRPRIKSREDVSNFDPDFIKEEPVLTPIDE GHLPMINQDEFRNFSYVSPELQL >ENSMUSP00000112499.1 pep:known chromosome:GRCm38:12:73584843:73761542:1 gene:ENSMUSG00000021108.17 transcript:ENSMUST00000119092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkch description:protein kinase C, eta [Source:MGI Symbol;Acc:MGI:97600] MSSGTMKFNGYLRVRIGEAVGLQPTRWSLRHSLFKKGHQLLDPYLTVSVDQVRVGQTSTK QKTNKPTYNEEFCANVTDGGHLELAVFHETPLGYDHFVANCTLQFQELLRTAGTSDTFEG WVDLEPEGKVFVVITLTGSFTEATLQRDRIFKHFTRKRQRAMRRRVHQVNGHKFMATYLR QPTYCSHCREFIWGVFGKQGYQCQVCTCVVHKRCHHLIVTACTCQNNINKVDAKIAEQRF GINIPHKFNVHNYKVPTFCDHCGSLLWGIMRQGLQCKICKMNVHIRCQANVAPNCGVNAV ELAKTLAGMGLQPGNISPTSKLISRSTLRRQGKEGSKEGNGIGVNSSSRFGIDNFEFIRV LGKGSFGKVMLARIKETGELYAVKVLKKDVILQDDDVECTMTEKRILSLARNHPFLTQLF CCFQTPDRLFFVMEFVNGGDLMFHIQKSRRFDEARARFYAAEIISALMFLHEKGIIYRDL KLDNVLLDHEGHCKLADFGMCKEGICNGVTTATFCGTPDYIAPEILQEMLYGPAVDWWAM GVLLYEMLCGHAPFEAENEDDLFEAILNDEVVYPTWLHEDATGILKSGSTTLFSPLLSAH L >ENSMUSP00000020263.7 pep:known chromosome:GRCm38:10:63014664:63023894:-1 gene:ENSMUSG00000020069.16 transcript:ENSMUST00000020263.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph3 description:heterogeneous nuclear ribonucleoprotein H3 [Source:MGI Symbol;Acc:MGI:1926462] MDWVMKHNGPNDASDGTVRLRGLPFGCSKEEIVQFFQGLEIVPNGITLTMDYQGRSTGEA FVQFASKEIAENALGKHKERIGHRYIEIFRSSRSEIKGFYDPPRRLLGQRPGPYDRPIGG RGGYYGAGRGSMYDRMRRGGDGYDGGYGGFDDYGGYNNYGYGNDGFDDRMRDGRGMGGHG YGGAGDASSGFHGGHFVHMRGLPFRATENDIANFFSPLNPIRVHIDIGADGRATGEADVE FVTHEDAVAAMSKDKNNMQHRYIELFLNSTPGGGSGMGGSGMGGYGRDGMDNQGGYGSVG RMGMGNSYSGGYGAPDGLGGYGRGGGGSGGYYGQGGMSGGGWRGMY >ENSMUSP00000112424.1 pep:known chromosome:GRCm38:10:63014664:63023894:-1 gene:ENSMUSG00000020069.16 transcript:ENSMUST00000118898.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph3 description:heterogeneous nuclear ribonucleoprotein H3 [Source:MGI Symbol;Acc:MGI:1926462] MDWVMKHNGPNDASDGTVRLRGLPFGCSKEEIVQFFQGLEIVPNGITLTMDYQGRSTGEA FVQFASKEIAENALGKHKERIGHRYIEIFRSSRSEIKGFYDPPRRLLGQRPGPYDRPIGG RGGYYGAGRGSYGGFDDYGGYNNYGYGNDGFDDRMRDGRGMGGHGYGGAGDASSGFHGGH FVHMRGLPFRATENDIANFFSPLNPIRVHIDIGADGRATGEADVEFVTHEDAVAAMSKDK NNMQHRYIELFLNSTPGGGSGMGGSGMGGYGRDGMDNQGGYGSVGRMGMGNSYSGGYGAP DGLGGYGRGGGGSGGYYGQGGMSGGGWRGMY >ENSMUSP00000118444.1 pep:known chromosome:GRCm38:10:63015428:63024217:-1 gene:ENSMUSG00000020069.16 transcript:ENSMUST00000140743.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnph3 description:heterogeneous nuclear ribonucleoprotein H3 [Source:MGI Symbol;Acc:MGI:1926462] MDWVMKHNGPNDASDGTVRLRGLPFGCSKEEIVQFFQGILRSSGVAGVKSKDFMIHQEDC WDSDRDHMIDQ >ENSMUSP00000113134.2 pep:known chromosome:GRCm38:10:63017807:63023847:-1 gene:ENSMUSG00000020069.16 transcript:ENSMUST00000119814.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph3 description:heterogeneous nuclear ribonucleoprotein H3 [Source:MGI Symbol;Acc:MGI:1926462] MDWVMKHNGPNDASDGTVRLRGLPFGCSKEEIVQFFQGILRSSGVAGVKSKDFMIHQEDC WDSDRDHMIDQ >ENSMUSP00000090324.2 pep:known chromosome:GRCm38:10:24091260:24092294:-1 gene:ENSMUSG00000100186.1 transcript:ENSMUST00000092654.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar8b description:trace amine-associated receptor 8B [Source:MGI Symbol;Acc:MGI:2685995] MTSNFSQPALQLCYENTNGSCIKTPYSPGPRVILYMVFGFGAVLAVCGNLLVVISVLHFK QLHSPANFLIASLASADFLVGISVMPFSMVRSIESCWYFGDAFCSLHSCCDVAFCYSSAL HLCFISVDRYIAVTDPLVYPTKFTVSVSGICISISWILPLVYSSAVFYTGISAKGIESLV SALNCVGGCQIVVNQDWVLIDFLLFFIPTLVMIILYSKIFLVAKQQAVKIETSVSDNRGE SSSESHKARVAKRERKAAKTLGVTVVAFMVSWLPYTIDSLVDAFVGFITPAYVYEICCWS AYYNSAMNPLIYAFFYPWFRKAIKLILSGEILKSHSSTMSLFSE >ENSMUSP00000100674.1 pep:known chromosome:GRCm38:11:99914751:99915671:1 gene:ENSMUSG00000078256.3 transcript:ENSMUST00000105053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11565 description:predicted gene 11565 [Source:MGI Symbol;Acc:MGI:3650327] MTHTCQPCCCKITSCKTTSSSESNSESSCPVFICCAPSWCSIPCCCKSTCCHSTKTVNSS PQLCCPPTCCDTSSCDSNCCKPTCVTICCVPSCCQPRLFQLCCQPTCCETSCCKTTSFKP TCVIIGCSTPCCQPCCVCPPAADDQLPKKACKAMLL >ENSMUSP00000036770.8 pep:known chromosome:GRCm38:7:43672016:43678298:1 gene:ENSMUSG00000038888.8 transcript:ENSMUST00000038332.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctu1 description:cytosolic thiouridylase subunit 1 [Source:MGI Symbol;Acc:MGI:2385277] MPAPTCFSCHKTRAALRRPRSGQALCGSCFCAAFEAEVLHTVLAGHLLPPGAVVAVGASG GKDSTVLAHVLRELAPRLGITLHLVAVDEGIGGYRDAALEAVRSQAARWELPLTIVAYED LFGGWTMDAVARSTAGSGRSRSCCTFCGVLRRRALEEGARLVGATHIVTGHNADDMAETV LMNFLRGDAGRLARGGVLGSTGEGCALPRCRPLQFASQKEVVLYAHFRHLRYFSEECVYA PEAFRGHARDLLKLLEAARPSAVLDLVHSAERLALAPAAKPPPPGTCSRCGALASHKLCQ ACALLDGLNRGLPRLAIGKGRRVLQVEPPQPGNPSLVTSDPVAPAGPCTCKQPKDKANPC GNGGDRAGATCVSQCDLSPGNGEDRAGATCVSQRDLSLGNGGDRAGATCVSQCDLSPVAE >ENSMUSP00000026096.7 pep:known chromosome:GRCm38:7:81966672:81992292:-1 gene:ENSMUSG00000025105.9 transcript:ENSMUST00000026096.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc1 description:basonuclin 1 [Source:MGI Symbol;Acc:MGI:1097164] MRRSPSRGGRGAARAGDARREGRLRSGCRMAEAIGCTLNCSCQCFKPGKINHRQCEQCRH GWVAHALSKLRIPPVYPTSQVEIVQSNVVFDISSLMLYGTQAIPVRLKILLDRLFSVLKQ DEVLQILHALDWTLQDYIRGYVLQDASGKVLDHWSIMTSEEEVATLQQFLRFGETKSIVE LMAIQEKEEQSVIVPPTTANVDIRAFIESCGHRSASLPTPVDKGSPGGMHPFENLISNMT FMLPFQFFNPLPPALIGSLPEQYMLEQGQDQSQEPKQELHGPFSDSSFLTSTPFQVEKEQ CLNCPETVPQKEDSAHLSDSSSYSIASKLERTQLSPEAKVKPERNSLSAKKGRVFCTACE KTFYDKGTLKIHYNAVHLKIKHKCTIEGCNMVFSSLRSRNRHSANPNPRLHMPMNRNNRD KDLRNSLNLASSETYKRPGFTVVSPDCGPLPGYTGSVEDSKGQPAFSSIGQNGVLFPNLK TVQPVLPFYRSPATPAELANTPGMLPSLPLLSSSIPEQLVSTDMPFDALPKKKSRKSSMP IKIEKEAVEIAEEKRHSLSSDDEVPLQVVSEDEPEDSSPRSDRVPEEQHTQLSLEEPLPQ GERACHLESVIESHGALSRTLEQTTLTEREAEQKVALSSVMPREVEDGGHERHFTAGLVP QIPFPDYMELQQRLLAGGLFGALSNRGMAFPFLEESKELEHLGEHALVRQKEEACFQCDI CKKTFKNACSMKTHEKNTHARETHACTVEGCGAAFPSRRSRDRHSSNLSLHQKVLNEEAL ETSEDHFRAAYLLQDVAKEAYQDVAFTPQASQTSVIFKGTSGMGSLVYPISQVHSASLES YNSGPPSEGTILDLSTTSSMKSESSSHSSWDSDGVSEEGTALMEDSDGNCEGQSLVSGED EYPLCVLMEKADQSLASLPSGLPITCHLCQKIYSNKGTFRAHYKTVHLRQLHKCKVPGCN TMFSSVRSRNRHSQNPNLHKSLASSPSHLQ >ENSMUSP00000031986.4 pep:known chromosome:GRCm38:6:39381175:39390380:1 gene:ENSMUSG00000029923.4 transcript:ENSMUST00000031986.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab19 description:RAB19, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:103292] MQFSSSSRTSDENVDYLFKVILIGDSNVGKTCVVQHFKSGVYSESQQNTIGVDFTVRSLE IDGKKVKMQVWDTAGQERFRTITQSYYRSAHAAIIAYDLTRRSTFESVPHWIHEIEKYGA ANLVIMLIGNKSDLWEKRHVLFEDACTLAEKHGLLAVLETSAKESRNIDEVFVLMAKELI ARNSLHLYGESAQQGLSQDSSPVLVAQVPNESTRCTC >ENSMUSP00000099726.4 pep:known chromosome:GRCm38:17:37010743:37023398:-1 gene:ENSMUSG00000076439.12 transcript:ENSMUST00000102665.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mog description:myelin oligodendrocyte glycoprotein [Source:MGI Symbol;Acc:MGI:97435] MACLWSFSWPSCFLSLLLLLLLQLSCSYAGQFRVIGPGYPIRALVGDEAELPCRISPGKN ATGMEVGWYRSPFSRVVHLYRNGKDQDAEQAPEYRGRTELLKETISEGKVTLRIQNVRFS DEGGYTCFFRDHSYQEEAAMELKVEDPFYWVNPGVLTLIALVPTILLQVSVGLVFLFLQH RLRGKLRAEVENLHRTFDPHFLRVPCWKITLFVIVPVLGPLVALIICYNWLHRRLAGQFL EELRNPF >ENSMUSP00000129489.2 pep:known chromosome:GRCm38:17:37011504:37023199:-1 gene:ENSMUSG00000076439.12 transcript:ENSMUST00000167275.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mog description:myelin oligodendrocyte glycoprotein [Source:MGI Symbol;Acc:MGI:97435] MACLWSFSWPSCFLSLLLLLLLQLSCSYAGQFRVIGPGYPIRALVGDEAELPCRISPGKN ATGMEVGWYRSPFSRVVHLYRNGKDQDAEQAPEYRGRTELLKETISEGKVTLRIQNVRFS DEGGYTCFFRDHSYQEEAAMELKVEDPFYWVNPGVLTLIALVPTILLQVSVGLVFLFLQH RLRGKLRAEVENLHRTFGQFLEELRNPF >ENSMUSP00000033606.9 pep:known chromosome:GRCm38:X:133908426:133931814:1 gene:ENSMUSG00000031253.15 transcript:ENSMUST00000033606.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpx2 description:sushi-repeat-containing protein, X-linked 2 [Source:MGI Symbol;Acc:MGI:1916042] MMTSPLTQRGALSLLLLLMPAVTPTWYAGSGYSPDESYNEVYAEEVPAARARALDYRVPR WCYTLNIQDGEATCYSPRGGNYHSSLGTRCELSCDRGFRLIGRKSVQCLPSRRWSGTAYC RQIRCHTLPFITSGTYTCTNGMLLDSRCDYSCSSGYHLEGDRSRICMEDGRWSGGEPVCV DIDPPKIRCPHSREKMAEPEKLTARVYWDPPLVKDSADGTITRVTLRGPEPGSHFPEGEH VIRYTAYDRAYNRASCKFIVKVQVRRCPILKPPQHGYLTCSSAGDNYGAICEYHCDGGYE RQGTPSRVCQSSRQWSGTPPVCTPMKINVNVNSAAGLLDQFYEKQRLLIVSAPDPSNRYY KMQISMLQQSTCGLDLRHVTIIELVGQPPQEVGRIREQQLSAGIIEELRQFQRLTRSYFN MVLIDKQGIDRERYMEPVTPEEIFTFIDDYLLSNEELARRVEQRDLCE >ENSMUSP00000108929.2 pep:known chromosome:GRCm38:X:133908448:133932446:1 gene:ENSMUSG00000031253.15 transcript:ENSMUST00000113304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpx2 description:sushi-repeat-containing protein, X-linked 2 [Source:MGI Symbol;Acc:MGI:1916042] MMTSPLTQRGALSLLLLLMPAVTPTWYAGSGYSPDESYNEVYAEEVPAARARALDYRVPR WCYTLNIQDGEATCYSPRGGNYHSSLGTRCELSCDRGFRLIGRKSVQCLPSRRWSGTAYC RQIRCHTLPFITSGTYTCTNGMLLDSRCDYSCSSGYHLEGDRSRICMEDGRWSGGEPVCV DIDPPKIRCPHSREKMAEPEKLTARVYWDPPLVKDSADGTITRVTLRGPEPGSHFPEGEH VIRYTAYDRAYNRASCKFIVKVQVRRCPILKPPQHGYLTCSSAGDNYGAICEYHCDGGYE RQGTPSRVCQSSRQWSGTPPVCTPMKINVNVNSAAGLLDQFYEKQRLLIVSAPDPSNRYY KMQISMLQQSTCGLDLRHVTIIELVGQPPQEVGRIREQQLSAGIIEELRQFQRLTRSYFN MVLIDKQGIDRERYMEPVTPEEIFTFIDDYLLSNEELARRVEQRDLCE >ENSMUSP00000118269.1 pep:known chromosome:GRCm38:2:121545529:121586433:-1 gene:ENSMUSG00000027238.16 transcript:ENSMUST00000133898.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd5 description:FERM domain containing 5 [Source:MGI Symbol;Acc:MGI:2442557] XRSQPPFTMCFRVKFYPADPAALKEEITRYLVFLQIKRDLYHGRLLCKTSDAALLAAYIL QAEIGDYDPGKHPEGYSSKFQFFPKHSEKLEKKIAEIHKTELSGQTPATSELNFLRKAQT LETYGVDPHPCKDVSGNAAFLAFTPFGFVVLQGNKRVHFIKWNEVTKLKFEGKTFYLYVS QKEEKKIILTYFAPTPEACKHLWKCGIENQAFYKLEKSSQVRTVSSSNLFFKGSRFRYSG RVAKEVMESSAKIKREPPEIHRAGMVPSRSCPSITHGPRLSSVPRTRRRAVHISIMEGLE SLRDSAHSTPVRSSSHGDTFLPHVRSSRADSNERVAVIADEAYSPADSVLPTPVAEHSLE LMLLSRQINGATCSIEEEKESEASTPTATEAEALGGELRALCQGHGGSEQEQMQERDRSE EGIEKEQRPWKGPGSTKQDL >ENSMUSP00000106223.2 pep:known chromosome:GRCm38:2:121545529:121765108:-1 gene:ENSMUSG00000027238.16 transcript:ENSMUST00000110593.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd5 description:FERM domain containing 5 [Source:MGI Symbol;Acc:MGI:2442557] KPRRGRASTFHGRFGGLKESHRQNAERDAKGQYLFDLLCHHLNLLEKDYFGIRFVDPDKQ RHWLEFTKSVVKQLRSQPPFTMCFRVKFYPADPAALKEEITRYLVFLQIKRDLYHGRLLC KTSDAALLAAYILQAEIGDYDPGKHPEGYSSKFQFFPKHSEKLEKKIAEIHKTELSGQTP ATSELNFLRKAQTLETYGVDPHPCKDVSGNAAFLAFTPFGFVVLQGNKRVHFIKWNEVTK LKFEGKTFYLYVSQKEEKKIILTYFAPTPEACKHLWKCGIENQAFYKLEKSSQVRTVSSS NLFFKGSRFRYSGRVAKEVMESSAKIKREPPEIHRAGMVPSRSCPSITHGPRLSSVPRTR RRAVHISIMEGLESLRDSAHSTPVRSSSHGDTFLPHVRSSRADSNERVAVIADEAYSPAD SVLPTPVAEHSLELMLLSRQINGATCSIEEEKESEASTPTATEAEALGGELRALCQGHGG SEQEQRVHLKGPQLQQQQWKGWGKSVPLD >ENSMUSP00000115136.1 pep:known chromosome:GRCm38:2:121545529:121807087:-1 gene:ENSMUSG00000027238.16 transcript:ENSMUST00000138157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd5 description:FERM domain containing 5 [Source:MGI Symbol;Acc:MGI:2442557] MLSRLMSGSSRSLEREYSCTVRLLDDSEYTCTIQRDAKGQYLFDLLCHHLNLLEKDYFGI RFVDPDKQRHWLEFTKSVVKQLRSQPPFTMCFRVKFYPADPAALKEEITRYLVFLQIKRD LYHGRLLCKTSDAALLAAYILQAEIGDYDPGKHPEGYSSKFQFFPKHSEKLEKKIAEIHK TELSGQTPATSELNFLRKAQTLETYGVDPHPCKDVSGNAAFLAFTPFGFVVLQGNKRVHF IKWNEVTKLKFEGKTFYLYVSQKEEKKIILTYFAPTPEACKHLWKCGIENQAFYKLEKSS QVRTVSSSNLFFKGSRFRYSGRVAKEVMESSAKIKREPPEIHRAGMVPSRSCPSITHGPR LSSVPRTRRRAVHISIMEGLESLRDSAHSTPVRSSSHGDTFLPHVRSSRADSNERVAVIA DEAYSPADSVLPTPVAEHSLELMLLSRQINGATCSIEEEKESEASTPTATEAEALGGELR ALCQGHGGSEQEQRVHLKGPQLQQQQWKGWGKSVPLD >ENSMUSP00000118272.1 pep:known chromosome:GRCm38:2:121547745:121555599:-1 gene:ENSMUSG00000027238.16 transcript:ENSMUST00000131092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd5 description:FERM domain containing 5 [Source:MGI Symbol;Acc:MGI:2442557] XFFKGSRFRYSGRVAKEVMESSAKIKREPPEIHRAGMVPSRSCPSITHGPRLSSVPRTRR RAVHISIMEGLESLRDSAHSTPVRSSSHGDTFLPHVRSSRADSNERVAVIADEAYSPADS VLPTPVAEHSLELMLLSRQINGATCSIEEEKESEASTPTATEAEALGGELRALCQGHGGS EQEQRVHLKGPQLQQQQWKGWEPQDF >ENSMUSP00000113568.1 pep:known chromosome:GRCm38:2:121548087:121787344:-1 gene:ENSMUSG00000027238.16 transcript:ENSMUST00000121219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd5 description:FERM domain containing 5 [Source:MGI Symbol;Acc:MGI:2442557] MCFRVKFYPADPAALKEEITRYLVFLQIKRDLYHGRLLCKTSDAALLAAYILQAEIGDYD PGKHPEGYSSKFQFFPKHSEKLEKKIAEIHKTELSGQTPATSELNFLRKAQTLETYGVDP HPCKDVSGNAAFLAFTPFGFVVLQGNKRVHFIKWNEVTKLKFEGKTFYLYVSQKEEKKII LTYFAPTPEACKHLWKCGIENQAFYKLEKSSQVRTVSSSNLFFKGSRFRYSGRVAKEVME SSAKIKREPPEIHRAGMVPSRSCPSITHGPRLSSVPRTRRRAVHISIMEGLESLRDSAHS TPVRSSSHGDTFLPHVRSSRADSNERVAVIADEAYSPADSVLPTPVAEHSLELMLLSRQI NGATCSIEEEKESEASTPTATEAEALGGELRALCQGHGGSEQEQVNKFVLSVLRLLLVTM GLLFVLLLLLIILTESDLDVAFFRDIRQTPEFEQFHYQYFCPLRRWFACKIRSVVSLLID T >ENSMUSP00000120176.1 pep:known chromosome:GRCm38:2:121548304:121765108:-1 gene:ENSMUSG00000027238.16 transcript:ENSMUST00000155570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd5 description:FERM domain containing 5 [Source:MGI Symbol;Acc:MGI:2442557] KPRRGRASTFHGRFGGLKESHRQNAERDAKGQYLFDLLCHHLNLLEKDYFGIRFVDPDKQ RHWLEFTKSVVKQLRSQPPFTMCFRVKFYPADPAALKEEITRYLVFLQIKRDLYHGRLLC KTSDAALLAAYILQAEIGDYDPGKHPEGYSSKFQFFPKHSEKLEKKIAEIHKTELSGQTP ATSELNFLRKAQTLETYGVDPHPCKDVSGNAAFLAFTPFGFVVLQGNKRVHFIKWNEVTK LKFEGKTFYLYVSQKEEKKIILTYFAPTPEACKHLWKCGIENQAFYKLEKSSQVRTVSSS NLFFKGSRFRYSGRVAKEVMESSAKIKREPPEIHRAGMVPSRSCPSITHGPRLSSVPRTR RRAVHISIMEGLESLRDSAHSTPVRSSSHGDTFLPHVRSSRADSNERVAVIADEAYSPAD SVLPTPVAEHSLELMLLSRQINGATCSIEEEKESEASTPTATEAEALGGELRALCQGHGG SEQEQAMVCLQNPLSGEPAH >ENSMUSP00000116468.1 pep:known chromosome:GRCm38:2:121548306:121807023:-1 gene:ENSMUSG00000027238.16 transcript:ENSMUST00000128428.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Frmd5 description:FERM domain containing 5 [Source:MGI Symbol;Acc:MGI:2442557] MLSRLMSGSSRSLEREYSCTVRLLDDSEYTCTIQRDAKGQYLFDLLCHHLNLLEKDYFGI RFVDPDKQRHWLEFTKSVVKQLRSQPPFTMCFRVKFYPADPAALKEEITRYLVFLQIKRD LYHGRLLCKTSDAALLAAYILQAEIGDYDPGKHPEGYSSKFQFFPKHSEKLEKKIAEIHK TELRMCREMLRFWPSLLSGLLFFKETRGSTSLNGMR >ENSMUSP00000106222.1 pep:known chromosome:GRCm38:2:121548307:121806992:-1 gene:ENSMUSG00000027238.16 transcript:ENSMUST00000110592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd5 description:FERM domain containing 5 [Source:MGI Symbol;Acc:MGI:2442557] MLSRLMSGSSRSLEREYSCTVRLLDDSEYTCTIQRDAKGQYLFDLLCHHLNLLEKDYFGI RFVDPDKQRHWLEFTKSVVKQLRSQPPFTMCFRVKFYPADPAALKEEITRYLVFLQIKRD LYHGRLLCKTSDAALLAAYILQAEIGDYDPGKHPEGYSSKFQFFPKHSEKLEKKIAEIHK TELSGQTPATSELNFLRKAQTLETYGVDPHPCKDVSGNAAFLAFTPFGFVVLQGNKRVHF IKWNEVTKLKFEGKTFYLYVSQKEEKKIILTYFAPTPEACKHLWKCGIENQAFYKLEKSS QVRTVSSSNLFFKGSRFRYSGRVAKEVMESSAKIKREPPEIHRAGMVPSRSCPSITHGPR LSSVPRTRRRAVHISIMEGLESLRDSAHSTPVRSSSHGDTFLPHVRSSRADSNERVAVIA DEAYSPADSVLPTPVAEHSLELMLLSRQINGATCSIEEEKESEASTPTATEAEALGGELR ALCQGHGGSEQEQVNKFVLSVLRLLLVTMGLLFVLLLLLIILTESDLDVAFFRDIRQTPE FEQFHYQYFCPLRRWFACKIRSVVSLLIDT >ENSMUSP00000122195.1 pep:known chromosome:GRCm38:2:121568895:121806872:-1 gene:ENSMUSG00000027238.16 transcript:ENSMUST00000145075.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Frmd5 description:FERM domain containing 5 [Source:MGI Symbol;Acc:MGI:2442557] MLSRLMSGSSRSLEREYSCTVRLLDDSEYTCTIQVSAAPPARPPAGSRDPAPQTRPSWRG PPPGVAPALTPARRFVRPAAAPPGARHPSPSSAGHWYHAPRAPAGEMPKASTCLTSFATT >ENSMUSP00000066734.6 pep:known chromosome:GRCm38:16:59653483:60605248:-1 gene:ENSMUSG00000055540.15 transcript:ENSMUST00000068860.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha6 description:Eph receptor A6 [Source:MGI Symbol;Acc:MGI:108034] MQFPSPPAARSSPAAQAASSPQAAAPAPGQPGPSCPAHRASRGGRPGTSPADRVEEEEEE EEEEESLVQDPHATRNTWLRCCHFFLRRRREPTRAMGGCEVREFLLQFGFFLPLLTAWTG DCSHVSNQVVLLDTTTVMGELGWKTYPLNGWDAITEMDEHNRPIHTYQVCNVMEPNQNNW LRTNWISRDAAQKIYVEMKFTLRDCNSIPWVLGTCKETFNLYYIESDESHGTKFKPSQYI KIDTIAADESFTQMDLGDRILKLNTEIREVGPIERKGFYLAFQDIGACIALVSVRVFYKK CPFTVRNLAMFPDTIPRVDSSSLVEVRGSCVKSAEERDTPKLYCGADGDWLVPLGRCICS TGYEEIEGSCHACRPGFYKAFAGNTKCSKCPPHSSTYVEATSVCHCEKGYFRAEKDPPSM ACTRPPSAPRNVAFNINETALILEWSPPSDTGGRKDLTYSVICKKCGLDTTQCEDCGGGL RFIPRHTGLINNSVVVLDFVSHVNYTFEIEAMNGVSELSISPKPFTAITVTTDHDAPSLI GMMRKDWASQNSLALSWQAPAFSNGAILDYEIKYYEKEHEQLTYSSTRSKAPSVIVTGLK PATTYIFHIRVRTATGYSGYSQKFEFETGDETSDMAAEQGQILVIATAAVGGFTLLVILT LFFLITGRCQWYIKAKMKSEEKRRTHLQNGHLRFPGIKTYIDPDTYEDPSLAVHEFAKEI DPSRIRIERVIGAGEFGEVCSGRLKTPGKREIPVAIKTLKGGHMDRQRRDFLREASIMGQ FDHPNIIRLEGVVTKRSFPAIGVEAFCPSFLRAGFLNGIQAPHPVTAGGSLPPRIPAGRP VMIVVEYMENGSLDSFLRKHDGHFTVIQLVGMLRGIASGMKYLSDMGYVHRDLAARNILV NSNLVCKVSDFGLSRVLEDDPEAAYTTTGGKIPIRWTAPEAIAYRKFSSASDAWSYGIVM WEVMSYGERPYWEMSNQDVILSIEEGYRLPAPMGCPPSLHQLMLHCWQKERNHRPKFTDI VSFLDKLIRNPSALHTLVEDILVMPESPGDVPEYPLFVTVGDWLDSIKMGQYKSNFMAAG FTTFDLISRMSIDDIRRIGVILIGHQRRIVSSIQTLRLHMMHIQEKGFHV >ENSMUSP00000124340.1 pep:known chromosome:GRCm38:16:59760161:60005721:-1 gene:ENSMUSG00000055540.15 transcript:ENSMUST00000161358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha6 description:Eph receptor A6 [Source:MGI Symbol;Acc:MGI:108034] MAAEQGQILVIATAAVGGFTLLVILTLFFLITGRCQWYIKAKMKSEEKRRTHLQNGHLRF PGIKTYIDPDTYEDPSLAVHEFAKEIDPSRIRIERVIGAGEFGEVCSGRLKTPGKREIPV AIKTLKGGHMDRQRRDFLREASIMGQFDHPNIIRLEGVVTKRSFPAIGVEAFCPSFLRAG FLNGIQAPHPVTAGGSLPPRIPAGRPVMIVVEYMENGSLDSFLRKHDGHFTVIQLVGMLR GIASGMKYLSDMGYVHRDLAARNILVNSNLVCKVSDFGLSRVLEDDPEAAYTTTVS >ENSMUSP00000085016.3 pep:known chromosome:GRCm38:17:32120820:32166880:-1 gene:ENSMUSG00000038146.7 transcript:ENSMUST00000087723.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Notch3 description:notch 3 [Source:MGI Symbol;Acc:MGI:99460] MGLGARGRRRRRRLMALPPPPPPMRALPLLLLLAGLGAAAPPCLDGSPCANGGRCTHQQP SLEAACLCLPGWVGERCQLEDPCHSGPCAGRGVCQSSVVAGTARFSCRCLRGFQGPDCSQ PDPCVSRPCVHGAPCSVGPDGRFACACPPGYQGQSCQSDIDECRSGTTCRHGGTCLNTPG SFRCQCPLGYTGLLCENPVVPCAPSPCRNGGTCRQSSDVTYDCACLPGFEGQNCEVNVDD CPGHRCLNGGTCVDGVNTYNCQCPPEWTGQFCTEDVDECQLQPNACHNGGTCFNLLGGHS CVCVNGWTGESCSQNIDDCATAVCFHGATCHDRVASFYCACPMGKTGLLCHLDDACVSNP CHEDAICDTNPVSGRAICTCPPGFTGGACDQDVDECSIGANPCEHLGRCVNTQGSFLCQC GRGYTGPRCETDVNECLSGPCRNQATCLDRIGQFTCICMAGFTGTYCEVDIDECQSSPCV NGGVCKDRVNGFSCTCPSGFSGSMCQLDVDECASTPCRNGAKCVDQPDGYECRCAEGFEG TLCERNVDDCSPDPCHHGRCVDGIASFSCACAPGYTGIRCESQVDECRSQPCRYGGKCLD LVDKYLCRCPPGTTGVNCEVNIDDCASNPCTFGVCRDGINRYDCVCQPGFTGPLCNVEIN ECASSPCGEGGSCVDGENGFHCLCPPGSLPPLCLPANHPCAHKPCSHGVCHDAPGGFRCV CEPGWSGPRCSQSLAPDACESQPCQAGGTCTSDGIGFRCTCAPGFQGHQCEVLSPCTPSL CEHGGHCESDPDRLTVCSCPPGWQGPRCQQDVDECAGASPCGPHGTCTNLPGNFRCICHR GYTGPFCDQDIDDCDPNPCLHGGSCQDGVGSFSCSCLDGFAGPRCARDVDECLSSPCGPG TCTDHVASFTCACPPGYGGFHCEIDLPDCSPSSCFNGGTCVDGVSSFSCLCRPGYTGTHC QYEADPCFSRPCLHGGICNPTHPGFECTCREGFTGSQCQNPVDWCSQAPCQNGGRCVQTG AYCICPPGWSGRLCDIQSLPCTEAAAQMGVRLEQLCQEGGKCIDKGRSHYCVCPEGRTGS HCEHEVDPCTAQPCQHGGTCRGYMGGYVCECPAGYAGDSCEDNIDECASQPCQNGGSCID LVARYLCSCPPGTLGVLCEINEDDCDLGPSLDSGVQCLHNGTCVDLVGGFRCNCPPGYTG LHCEADINECRPGACHAAHTRDCLQDPGGHFRCVCHPGFTGPRCQIALSPCESQPCQHGG QCRHSLGRGGGLTFTCHCVPPFWGLRCERVARSCRELQCPVGIPCQQTARGPRCACPPGL SGPSCRVSRASPSGATNASCASAPCLHGGSCLPVQSVPFFRCVCAPGWGGPRCETPSAAP EVPEEPRCPRAACQAKRGDQNCDRECNTPGCGWDGGDCSLNVDDPWRQCEALQCWRLFNN SRCDPACSSPACLYDNFDCYSGGRDRTCNPVYEKYCADHFADGRCDQGCNTEECGWDGLD CASEVPALLARGVLVLTVLLPPEELLRSSADFLQRLSAILRTSLRFRLDARGQAMVFPYH RPSPGSESRVRRELGPEVIGSVVMLEIDNRLCLQSAENDHCFPDAQSAADYLGALSAVER LDFPYPLRDVRGEPLEAPEQSVPLLPLLVAGAVFLLIIFILGVMVARRKREHSTLWFPEG FALHKDIAAGHKGRREPVGQDALGMKNMAKGESLMGEVVTDLNDSECPEAKRLKVEEPGM GAEEPEDCRQWTQHHLVAADIRVAPATALTPPQGDADADGVDVNVRGPDGFTPLMLASFC GGALEPMPAEEDEADDTSASIISDLICQGAQLGARTDRTGETALHLAARYARADAAKRLL DAGADTNAQDHSGRTPLHTAVTADAQGVFQILIRNRSTDLDARMADGSTALILAARLAVE GMVEELIASHADVNAVDELGKSALHWAAAVNNVEATLALLKNGANKDMQDSKEETPLFLA AREGSYEAAKLLLDHLANREITDHLDRLPRDVAQERLHQDIVRLLDQPSGPRSPSGPHGL GPLLCPPGAFLPGLKAVQSGTKKSRRPPGKTGLGPQGTRGRGKKLTLACPGPLADSSVTL SPVDSLDSPRPFSGPPASPGGFPLEGPYATTATAVSLAQLGASRAGPLGRQPPGGCVLSF GLLNPVAVPLDWARLPPPAPPGPSFLLPLAPGPQLLNPGAPVSPQERPPPYLAAPGHGEE YPAAGTRSSPTKARFLRVPSEHPYLTPSPESPEHWASPSPPSLSDWSDSTPSPATATNAT ASGALPAQPHPISVPSLPQSQTQLGPQPEVTPKRQVMA >ENSMUSP00000127596.1 pep:known chromosome:GRCm38:9:63394447:63399241:-1 gene:ENSMUSG00000032403.8 transcript:ENSMUST00000168665.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2300009A05Rik description:RIKEN cDNA 2300009A05 gene [Source:MGI Symbol;Acc:MGI:1916728] MEALRRAHEATLRLLLCRPWASGAASRPKPRASEVLTQHLLQRRLPHWTSFCVPYSAVHN DQFGLSHFNWPVLGANYHVLRTGCFPFIKYHCSKAPWQDLAPQDRFFTALKVINLGIPTL LYGLGSWLFARVTETVHTSYGPITIYFLNKEDEGAMY >ENSMUSP00000027267.7 pep:known chromosome:GRCm38:1:53189187:53297018:-1 gene:ENSMUSG00000026098.13 transcript:ENSMUST00000027267.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pms1 description:postmeiotic segregation increased 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1202302] MKQLPAATVRLLSSSQTITSVVSVVKELIENSLDAGATSIEVKLENYGFDKIEIRDNGEG IKAVDVPVMAVKYYTSKISSHEDLGNLTTYGFRGEALGSICNVAEVVVTTRTSADDFSTQ YVLDGSGHILSQKPSHLGQGTTVTALKLFKNLPVRKQFYSTAKKCKDELKNVQDLLISYG VLKPDVRITFVHNKAVIWQKSRVPDHRMALMSVLGTAVMGNMESVEQHCEQSQIYLSGFF PKHDADHNSTSLSTPERSFIFINSRPVHQKDILKLIRRYYNLKCLKESTRLYPIFFLKID VPSAEVDINLTPDKSQVLLQNKESVLIALENLMMTCYGPLPTTESSENNRVDISSANMVV SQTAETDVLFNKMESFGNNYPNADTSAIHFQKDESRKNIDHHLNEQINIGNYFDGHFSGD INKDTFQNIPMNNLSSETNQSNHSETHSVGSMEHIQGENGRNGTGGSGGDGRETLPEKAL EICADDWSKGNLCNSMGENIEPVKILVPQKSLSCKVTSSHPRLEPETLSDGPCSRTSDVI HNRSGRLTAYDLISSRAVKKPMSASALFIQDHRTQFLTENPKTGLEDATEQIKARWETLS EEEKHKYEEKAKKDLERYNNQMKKAIEQETQVSLKDGRKKRATSSWGWTQKHKVKDSSNQ PKLDELFQSQNEKKKSENIKITEIPFSMENLKANLKKQKEVDLEEKDEIYLIHSLKFPDS WLVTSRADVMLLNPYRVEEALLFKRLLENHKLLAEPLEKPIILTESLLNGSHYLEVLYKM STVEERGSGSAYLCDPRLTANGFKIRLTPGVSSTENYLEIEGMAQCLPFYGIMDLKEILN AIVNKNAKEIYECRPRKVINYLEGEAVRLSRQLPMYLPREDVQDLIYRMKHQFGKEIKGC VHGRPFFHHLTHLPGTS >ENSMUSP00000119632.1 pep:known chromosome:GRCm38:1:53197112:53297017:-1 gene:ENSMUSG00000026098.13 transcript:ENSMUST00000135246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pms1 description:postmeiotic segregation increased 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1202302] MKQLPAATVRLLSSSQTITSVVSVVKELIENSLDAGATSIEVKLENYGFDKIEIRDNGEG IKAVDVPVMAVKYYTSKISSHEDLGNLTTYGFRGEALGSICNVAEVVVTTRTSADDFSTQ YVLDGSGHILSQKPSHLGQGTTVTALKLFKNLPVRKQFYSTAKKCKDELKNVQDLLISYG VLKPDVRITFVHNKAVIWQKSRVPDHRMALMSVLGTAVMGNMESVEQHCEQSQIYLSGFF PKHDADHNSTSLSTPERSFIFINSRPVHQKDILKLIRRYYNLKCLKESTRLYPIFFLKID VPSAEVDINLTPDKSQVLLQNKESVLIALENLMMTCYGPLPTTESSENNRVDISSANMVV SQTAETDVLFNKMESFGNNYPNADTSAIHFQKDESRKNIDHHLNEQINIGNYFDGHFSGD INKDTFQNIPMNNLSSETNQSNHSETHSVGSMEHIQGENGRNGTGGSGGDGRETLPEKAL EICADDWSKGNLCNSMGENIEPVKILVPQKSLSCKVTSSHPRLEPETLSDGPCSRTSDVI HNRSGRLTAYDLISSRAVKKPMSASALFIQDHRTQFLTENPKTGLEDATEQIKARWETLS EEEKHKYEEKAKKDLERYNNQMKKAIEQETQVSLKDGRKKRATSSWGWTQKHKVKDSSNQ PKLDELFQS >ENSMUSP00000115352.1 pep:known chromosome:GRCm38:1:53267863:53296975:-1 gene:ENSMUSG00000026098.13 transcript:ENSMUST00000128337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pms1 description:postmeiotic segregation increased 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1202302] MKQLPAATVRLLSSSQTITSVVSVVKELIENSLDAGATSIEVKLENYGFDKIEIRDNGEG IKAVDVPVMAVKYYTSKISSHEDLGNLTTYGFRGEALGSICNVAEVVVTTRTSADDFSTQ YVLDGSG >ENSMUSP00000122418.1 pep:known chromosome:GRCm38:1:53275121:53282408:-1 gene:ENSMUSG00000026098.13 transcript:ENSMUST00000133358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pms1 description:postmeiotic segregation increased 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1202302] MKQLPAATVRLLSSSQTITSVVSVVKELIENSLDAGATSIEVKLENYGFDKIEIRDNGEG IKAVDVPVMAVKYYTSKISSHEDLGNLT >ENSMUSP00000120670.1 pep:known chromosome:GRCm38:1:53275143:53297015:-1 gene:ENSMUSG00000026098.13 transcript:ENSMUST00000126590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pms1 description:postmeiotic segregation increased 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1202302] MKQLPAATVRLLSSSQTITSVVSVVKELIENSLDAGATSIEVKLENYGFDKIEIRDNGEG IKAVDVPVMAVKYYTSKISS >ENSMUSP00000120340.1 pep:known chromosome:GRCm38:1:53281947:53297015:-1 gene:ENSMUSG00000026098.13 transcript:ENSMUST00000126412.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pms1 description:postmeiotic segregation increased 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1202302] MKQLPAATVRLLSSSQTITSVVSVVKELIENSLDAGATSIEV >ENSMUSP00000124509.1 pep:known chromosome:GRCm38:1:180800832:180813632:-1 gene:ENSMUSG00000060743.12 transcript:ENSMUST00000161308.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H3f3a description:H3 histone, family 3A [Source:MGI Symbol;Acc:MGI:1097686] MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000079816.4 pep:known chromosome:GRCm38:1:180802697:180813547:-1 gene:ENSMUSG00000060743.12 transcript:ENSMUST00000081026.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H3f3a description:H3 histone, family 3A [Source:MGI Symbol;Acc:MGI:1097686] MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQASEAYLVGLFEDTNLCAIHAKRVTIM PKDIQLARRIRGERA >ENSMUSP00000125104.1 pep:known chromosome:GRCm38:1:180803024:180813943:-1 gene:ENSMUSG00000060743.12 transcript:ENSMUST00000162814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H3f3a description:H3 histone, family 3A [Source:MGI Symbol;Acc:MGI:1097686] MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000125754.1 pep:known chromosome:GRCm38:1:180803131:180813534:-1 gene:ENSMUSG00000060743.12 transcript:ENSMUST00000159789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H3f3a description:H3 histone, family 3A [Source:MGI Symbol;Acc:MGI:1097686] MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVT >ENSMUSP00000123946.1 pep:known chromosome:GRCm38:1:180810233:180813603:-1 gene:ENSMUSG00000060743.12 transcript:ENSMUST00000162118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H3f3a description:H3 histone, family 3A [Source:MGI Symbol;Acc:MGI:1097686] MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRY >ENSMUSP00000124040.1 pep:known chromosome:GRCm38:1:180810241:180813603:-1 gene:ENSMUSG00000060743.12 transcript:ENSMUST00000159685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H3f3a description:H3 histone, family 3A [Source:MGI Symbol;Acc:MGI:1097686] MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREI >ENSMUSP00000108269.1 pep:known chromosome:GRCm38:5:107597373:107642922:1 gene:ENSMUSG00000033773.14 transcript:ENSMUST00000112650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap2 description:RNA polymerase II associated protein 2 [Source:MGI Symbol;Acc:MGI:2141142] MFITPAHYSDVVDERSIIKLCGYPLCQKKLGVIPKQKYRISTKTNKVYDITERKSFCSNF CYRASKFFETQIPKTPVWVREEERPPDFQLLKKGQSGSSGEVVQFFRDAVTAADVDGSGA LEAQCDPASSSSWSERASDEEEQGFVSSLLPGNRPKAVDTRPQPHTKSSIMRKKAAQNVD SKEGEQTVSEVTEQLDNCRLDSQEKVATCKRPLKKESTQISSPGPLCDRFNTSAISEHKH GVSQVTLVGISKKSAEHFRSKFAKSNPGSGSASGLVHVRPEVAKANLLRVLSDTLTEWKT EETLKFLYGQNHDSVCLKPSSASEPDEELDEDDISCDPGSCGPALSQAQNTLDATLPFRG SDTAIKPLPSYESLKKETEMLNLRVREFYRGRCVLNEDTTKSQDSKESVLQRDPSFPLID SSSQNQIRRRIVLEKLSKVLPGLLGPLQITMGDIYTELKNLIQTFRLSNRNIIHKPVEWT LIAVVLLLL >ENSMUSP00000108270.1 pep:known chromosome:GRCm38:5:107597696:107661838:1 gene:ENSMUSG00000033773.14 transcript:ENSMUST00000112651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap2 description:RNA polymerase II associated protein 2 [Source:MGI Symbol;Acc:MGI:2141142] MGMFITPAHYSDVVDERSIIKLCGYPLCQKKLGVIPKQKYRISTKTNKVYDITERKSFCS NFCYRASKFFETQIPKTPVWVREEERPPDFQLLKKGQSGSSGEVVQFFRDAVTAADVDGS GALEAQCDPASSSSWSERASDEEEQGFVSSLLPGNRPKAVDTRPQPHTKSSIMRKKAAQN VDSKEGEQTVSEVTEQLDNCRLDSQEKVATCKRPLKKESTQISSPGPLCDRFNTSAISEH KHGVSQVTLVGISKKSAEHFRSKFAKSNPGSGSASGLVHVRPEVAKANLLRVLSDTLTEW KTEETLKFLYGQNHDSVCLKPSSASEPDEELDEDDISCDPGSCGPALSQAQNTLDATLPF RGSDTAIKPLPSYESLKKETEMLNLRVREFYRGRCVLNEDTTKSQDSKESVLQRDPSFPL IDSSSQNQIRRRIVLEKLSKVLPGLLGPLQITMGDIYTELKNLIQTFRLSNRNIIHKPVE WTLIAVVLLLLLTPILGIQKHSPKNVVFTQFIATLLTELHLKFEDLEKLTMIFRTSC >ENSMUSP00000108273.1 pep:known chromosome:GRCm38:5:107597717:107642922:1 gene:ENSMUSG00000033773.14 transcript:ENSMUST00000112654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap2 description:RNA polymerase II associated protein 2 [Source:MGI Symbol;Acc:MGI:2141142] MADSAVPCSLGPSTRASSTHRDATGTKQTRALKRGDASKRQAELEAAIQRKVEFERKAVR IVEQLLEENITEEFLKECGMFITPAHYSDVVDERSIIKLCGYPLCQKKLGVIPKQKYRIS TKTNKVYDITERKSFCSNFCYRASKFFETQIPKTPVWVREEERPPDFQLLKKGQSGSSGE VVQFFRDAVTAADVDGSGALEAQCDPASSSSWSERASDEEEQGFVSSLLPGNRPKAVDTR PQPHTKSSIMRKKAAQNVDSKEGEQTVSEVTEQLDNCRLDSQEKVATCKRPLKKESTQIS SPGPLCDRFNTSAISEHKHGVSQVTLVGISKKSAEHFRSKFAKSNPGSGSASGLVHVRPE VAKANLLRVLSDTLTEWKTEETLKFLYGQNHDSVCLKPSSASEPDEELDEDDISCDPGSC GPALSQAQNTLDATLPFRGSDTAIKPLPSYESLKKETEMLNLRVREFYRGRCVLNEDTTK SQDSKESVLQRDPSFPLIDSSSQNQIRRRIVLEKLSKVLPGLLGPLQITMGDIYTELKNL IQTFRLSNRNIIHKPVEWTLIAVVLLLL >ENSMUSP00000070209.5 pep:known chromosome:GRCm38:5:107597735:107661838:1 gene:ENSMUSG00000033773.14 transcript:ENSMUST00000065422.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap2 description:RNA polymerase II associated protein 2 [Source:MGI Symbol;Acc:MGI:2141142] MADSAVPCSLGPSTRASSTHRDATGTKQTRALKRGDASKRQAELEAAIQRKVEFERKAVR IVEQLLEENITEEFLKECGMFITPAHYSDVVDERSIIKLCGYPLCQKKLGVIPKQKYRIS TKTNKVYDITERKSFCSNFCYRASKFFETQIPKTPVWVREEERPPDFQLLKKGQSGSSGE VVQFFRDAVTAADVDGSGALEAQCDPASSSSWSERASDEEEQGFVSSLLPGNRPKAVDTR PQPHTKSSIMRKKAAQNVDSKEGEQTVSEVTEQLDNCRLDSQEKVATCKRPLKKESTQIS SPGPLCDRFNTSAISEHKHGVSQVTLVGISKKSAEHFRSKFAKSNPGSGSASGLVHVRPE VAKANLLRVLSDTLTEWKTEETLKFLYGQNHDSVCLKPSSASEPDEELDEDDISCDPGSC GPALSQAQNTLDATLPFRGSDTAIKPLPSYESLKKETEMLNLRVREFYRGRCVLNEDTTK SQDSKESVLQRDPSFPLIDSSSQNQIRRRIVLEKLSKVLPGLLGPLQITMGDIYTELKNL IQTFRLSNRNIIHKPVEWTLIAVVLLLLLTPILGIQKHSPKNVVFTQFIATLLTELHLKF EDLEKLTMIFRTSC >ENSMUSP00000108274.1 pep:known chromosome:GRCm38:5:107597774:107642922:1 gene:ENSMUSG00000033773.14 transcript:ENSMUST00000112655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap2 description:RNA polymerase II associated protein 2 [Source:MGI Symbol;Acc:MGI:2141142] MADSAVPCSLGPSTRASSTHRDATGTKQTRALKRGDASKRQAELEAAIQRKVEFERKAVR IVEQLLEENITEEFLKECGMFITPAHYSDVVDERSIIKLCGYPLCQKKLGVIPKQKYRIS TKTNKVYDITERKSFCSNFCYRASKFFETQIPKTPVWVREEERPPDFQLLKKGQSGSSGE VVQFFRDAVTAADVDGSGALEAQCDPASSSSWSERASDEEEQGFVSSLLPGNRPKAVDTR PQPHTKSSIMRKKAAQNVDSKEGEQTVSEVTEQLDNCRLDSQEKVATCKRPLKKESTQIS SPGPLCDRFNTSAISEHKHGVSQVTLVGISKKSAEHFRSKFAKSNPGSGSASGLVHVRPE VAKANLLRVLSDTLTEWKTEETLKFLYGQNHDSVCLKPSSASEPDEELDEDDISCDPGSC GPALSQAQNTLDATLPFRGSDTAIKPLPSYESLKKETEMLNLRVREFYRGRCVLNEDTTK SQDSKESVLQRDPSFPLIDSSSQNQIRRRIVLEKLSKVLPGLLGPLQITMGDIYTELKNL IQTFRLSNRNIIHKPVEWTLIAVVLLLLIL >ENSMUSP00000121306.1 pep:known chromosome:GRCm38:5:107597961:107601797:1 gene:ENSMUSG00000033773.14 transcript:ENSMUST00000150074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap2 description:RNA polymerase II associated protein 2 [Source:MGI Symbol;Acc:MGI:2141142] MRKSPRRDCRHENVKCTKQTRALKRGDASKRQAELEAAIQRKVEFERKAVRIV >ENSMUSP00000142510.1 pep:known chromosome:GRCm38:5:107597982:107606988:1 gene:ENSMUSG00000033773.14 transcript:ENSMUST00000129483.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap2 description:RNA polymerase II associated protein 2 [Source:MGI Symbol;Acc:MGI:2141142] MFITPAHYSDVVDERSIIKLCGYPLCQKKLGVIPKQKYRISTKTNKVYDITERKSFCSNF CYRASKFFETQIPKTPVWVREE >ENSMUSP00000127665.1 pep:known chromosome:GRCm38:1:31222838:31224288:1 gene:ENSMUSG00000026063.4 transcript:ENSMUST00000027230.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pih1d3 description:PIH1 domain containing 3 [Source:MGI Symbol;Acc:MGI:1921958] MESENAEAENVVTGNLEAKSKEGKNMQFVTLSSAWSLQALSSLLNPEEEDGFDFEQGQCS FTIGAMGPGNIGPPKAKESKAIPEPRSDESENIWNPEEVPEGAEHDDIWDVREIPDYEIV FQQTVGTEDVYLGLTRKDPSTACCQELVVKIKLPNTNPSEIQIDIQEMLLDLRTPRKKLL VNFPQPVERNSARASYIWEAETLEVRMTVQRDLDFNIS >ENSMUSP00000080846.4 pep:known chromosome:GRCm38:7:26173411:26210661:1 gene:ENSMUSG00000040660.6 transcript:ENSMUST00000082214.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2b9 description:cytochrome P450, family 2, subfamily b, polypeptide 9 [Source:MGI Symbol;Acc:MGI:88600] MDPSVLLLLAVLLSLFLLLVRGHAKIHGHLPPGPHPLPLLGNLLQMDRGGLLKCFIQLQE KHGDVFTVHLGPRPVVVLCGTQTIREALVDHAEAFSGRGTIAAAQLVMQDYGIFFASGQR WKTLRRFSLATMKEFGMGKRSVEERIKEEAQCLVEELKKYQGVPLDPTFLFQCITANIIC SIVFGERFDYTDDQFLHLLNLMYKIFSLLSSFSGQMFELFSGFLKYFPGVHRQIVKKQQE LLDYIAHSVEKHKATLDPSAPRDYIDTYLLRMEKEKSNHNTEFHHQNLMMSVLSLFFAGT ETTSATLHYGVLLMLKYPHVTEKVQKEIDQVIGSHRLPTLDDRTKMPYTDAVIHEIQRFS DLVPIGLPHKVIKDTLFRGYLLPKNTEVYPVLSSALHDPQYFEQPDKFNPEHFLDANGAL KKCEAFLPFSTGKRICLGESIARNELFIFFTTILQNFSVASPVAPKDIDLTPKESGIGKI PPAHQIYFLAR >ENSMUSP00000146343.1 pep:known chromosome:GRCm38:2:111645056:111645995:-1 gene:ENSMUSG00000109487.1 transcript:ENSMUST00000208284.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1298 description:olfactory receptor 1298 [Source:MGI Symbol;Acc:MGI:3031132] MDRDNQTVLSEFVLLGLSNSKNLQVLLFLIFLLLYLLIMSGNIIIQILITTDPHLHSPMY FLLANLSFVDMWLSSNTTPKMIIDFLSENKTISFAGCMSQVFLSHCIAGGEMVLLVVMAY DRYVAICKPLHYFTIMNLKRCTGLVLTSWTIGFIHGISYFLVVVQLPFCGPNKIDSFFCD MPLVIKLACMDSHDLNTLMNAECGVVAVTCFMLLLFSYTYILITVRQTSKNGASKALSTC TAHITVVMIFFLPCMFIYVWPLSITWLDKFLAVFYSVFTPLLNPAIYTLRNKEMKNAMKR FIGKFLCPKGNS >ENSMUSP00000121068.1 pep:known chromosome:GRCm38:7:102065713:102096864:1 gene:ENSMUSG00000070425.12 transcript:ENSMUST00000123372.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xntrpc description:Xndc1-transient receptor potential cation channel, subfamily C, member 2 readthrough [Source:MGI Symbol;Acc:MGI:5546370] MAPVKISHVVSFSSQDPKYPVENLLNPDSHRGPWLSCPQDKTGQLKVEFQLERAVPISYI DVGNCGCAFLQIDVGRSSWPLDRPFVTLLPATMLMSRTDSKSGKNRSGVRMFKDGDFLTP ASGESWDRLRLTCSQPFTRHQSFGLAFLRVRSSLGSLADPVVDPSAPGSSGLNQNSTDVL ESDPRPWLTNPSIRRTFFPDPQTSTKEISELKGMLKQLQPGPLGRAARMVLSAARKAPPA SVVSPNNSHGEPGPSRAESAEPRAEEPNRKTAVGRRKRRKVQEPRRSLSNSSSQPNRRTG RTRQRQHRPQTKSDDGGVQAAGQCPICAGFFSIETLPQHAATCGESPPPQPASPASLSSS ESVLWVSSPESSPPPSWVQCPICELQFSAREIEEHASVCGEVLPA >ENSMUSP00000081903.3 pep:known chromosome:GRCm38:7:102065778:102096334:1 gene:ENSMUSG00000070425.12 transcript:ENSMUST00000084843.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xntrpc description:Xndc1-transient receptor potential cation channel, subfamily C, member 2 readthrough [Source:MGI Symbol;Acc:MGI:5546370] MAPVKISHVVSFSSQDPKYPVENLLNPDSHRGPWLSCPQDKTGQLKVEFQLERAVPISYI DVGNCGCAFLQIDVGRSSWPLDRPFVTLLPATMLMSRTDSKSGKNRSGVRMFKDGDFLTP ASGESWDRLRLTCSQPFTRHQSFGLAFLRVRSSLGSLADPVVDPSAPGSSGLNQNSTDVL ESDPRPWLTNPSIRRTFFPDPQTSTKEISELKGMLKQLQPGPLGRAARMVLSAARKAPPA SVVSPNNSHGEPGPSRAESAEPRAEEPNRKTAVGRRKRRKVQEPRRSLSNSSSQPNRRTG RTRQRQHRPQTKSDDGGVQAAGQCPICAGFFSIETLPQHAATCGESPPPQPASPASLSSS ESVLRRHHVALTPVPLVPKPQPNWTEIVNKKLKFPPTLLRAIQEGQLGLVQQLLESSSDA SGAGPGGPLRNVEESEDRSWREALNLAIRLGHEVITDVLLANVKFDFRQIHEALLVAVDT NQPAVVRRLLARLEREKGRKVDTKSFSLAFFDSSIDGSRFAPGVTPLTLACQKDLYEIAQ LLMDQGHTIARPHPVSCACLECSNARRYDLLKFSLSRINTYRGIASRAHLSLASEDAMLA AFQLSRELRRLARKEPEFKPQYIALESLCQDYGFELLGMCRNQSEVTAVLNDLGEDSETE PEAEGLGQAFEEGIPNLARLRLAVNYNQKQFVAHPICQQVLSSIWCGNLAGWRGSTTIWK LFVAFLIFLTMPFLCIGYWLAPKSQLGRLLKIPVLKFLLHSASYLWFLIFLLGESLVMET QLSTFKGRSQSVWETSLHMIWVTGFLWFECKEVWIEGLRSYLLDWWNFLDVVILSLYLAS FALRLLLAGLAYMHCRDASDSTTCRYFTTAERSEWRTEDPQFLAEVLFAVTSMLSFTRLA YILPAHESLGTLQISIGKMIDDMIRFMFILMIILTAFLCGLNNIYVPYQESEKLGNFNET FQFLFWTMFGMEEHTVVDMPQFLVPEFVGRAMYGIFTIVMVIVLLNMLIAMITNSFQKIE DDADVEWKFARSKLYLSYFREGLTLPVPFNILPSPKAAFYLVRRIFRFLCCGSSCCKAKK SDYPPIGTFTNPGARAGSAGEGERVSYRLRVIKALVQRYIETARREFEETRRKDLGNRLT ELTKTVSRLQSEVASVQKNLAAGGAPRPPDGASILSRYITRVRNSFQNLGPPTSDTPAEL TMPGIVETEVSLGDGLDGTGEAGAPAPGEPGSSSSAHVLVHREQEAEGSGDLLLEGDLET KGES >ENSMUSP00000123466.1 pep:known chromosome:GRCm38:7:102075876:102096334:1 gene:ENSMUSG00000070425.12 transcript:ENSMUST00000155078.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xntrpc description:Xndc1-transient receptor potential cation channel, subfamily C, member 2 readthrough [Source:MGI Symbol;Acc:MGI:5546370] XPATMLMSRTDSKSGKNRSGVRMFKDGDFLTPASGESWDRLRLTCSQPFTRHQSFGLAFL RVRSSLGSLADPVVDPSAPGSSGLNQNSTDVLESDPRPWLTNPSIRRTFFPDPQTYVPAV DISQGQGHIAHGHKNPSRGPLEHQGNFRAQGYVEAVAARASGAGSPHGAFCCP >ENSMUSP00000122430.1 pep:known chromosome:GRCm38:7:102075880:102096326:1 gene:ENSMUSG00000070425.12 transcript:ENSMUST00000139104.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xntrpc description:Xndc1-transient receptor potential cation channel, subfamily C, member 2 readthrough [Source:MGI Symbol;Acc:MGI:5546370] ATMLMSRTDSKSGKNRSGVRMFKDGDFLTPASGESWDRLRLTCSQPFTRHQSFGLAFLRV RSSLGSLADPVVDPSAPGSSGLNQNSTDVLESDPRPWLTNPSIRRTFFPDPQTYVPAVDI SQGQGHIAHGHKNPSRGPLEHQGNFRAQGYVEAVAARASGAGSPHGAFCCP >ENSMUSP00000091679.2 pep:known chromosome:GRCm38:7:102065713:102096416:1 gene:ENSMUSG00000070425.12 transcript:ENSMUST00000094129.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xntrpc description:Xndc1-transient receptor potential cation channel, subfamily C, member 2 readthrough [Source:MGI Symbol;Acc:MGI:5546370] MAPVKISHVVSFSSQDPKYPVENLLNPDSHRGPWLSCPQDKTGQLKVEFQLERAVPISYI DVGNCGCAFLQIDVGRSSWPLDRPFVTLLPATMLMSRTDSKSGKNRSGVRMFKDGDFLTP ASGESWDRLRLTCSQPFTRHQSFGLAFLRVRSSLGSLADPVVDPSAPGSSGLNQNSTDVL ESDPRPWLTNPSIRRTFFPDPQTSTKEISELKGMLKQLQPGPLGRAARMVLSAARKAPPA SVVSPNNSHGEPGPSRAESAEPRAEEPNRKTAVGRRKRRKVQEPRRSLSNSSSQPNRRTG RTRQRQHRPQTKSDDGGVQAAGQCPICAGFFSIETLPQHAATCGESPPPQPASPASLSSS ESVLRRHHVALTPVPLVPKPQPNWTEIVNKKLKFPPTLLRAIQEGQLGLVQQLLESSSDA SGAGPGGPLRNVEESEDRSWREALNLAIRLGHEVITDVLLANVKFDFRQIHEALLVAVDT NQPAVVRRLLARLEREKGRKVDTKSFSLAFFDSSIDGSRFAPGVTPLTLACQKDLYEIAQ LLMDQGHTIARPHPVSCACLECSNARRYDLLKFSLSRINTYRGIASRAHLSLASEDAMLA AFQLSRELRRLARKEPEFKPQYIALESLCQDYGFELLGMCRNQSEVTAVLNDLGEDSETE PEAEGLGQAFEEGIPNLARLRLAVNYNQKQFVAHPICQQVLSSIWCGNLAGWRGSTTIWK LFVAFLIFLTMPFLCIGYWLAPKSQLGRLLKIPVLKFLLHSASYLWFLIFLLGESLVMET QLSTFKGRSQSVWETSLHMIWVTGFLWFECKEVWIEGLRSYLLDWWNFLDVVILSLYLAS FALRLLLAGLAYMHCRDASDSTTCRYFTTAERSEWRTEDPQFLAEVLFAVTSMLSFTRLA YILPAHESLGTLQISIGKMIDDMIRFMFILMIILTAFLCGLNNIYVPYQESEKLGNFNET FQFLFWTMFGMEEHTVVDMPQFLVPEFVGRAMYGIFTIVMVIVLLNMLIAMITNSFQKIE DDADVEWKFARSKLYLSYFREGLTLPVPFNILPSPKAAFYLVRRIFRFLCCGSSCCKAKK SDYPPIGTFTNPGARAGSAGEGERVSYRLRVIKALVQRYIETARREFEETRRKDLGNRLT ELTKTVSRLQSEVASVQKNLAAGGAPRPPDGASILSRYITRVRNSFQNLGPPTSDTPAEL TMPGIVETEVSLGDGLDGTGEAGAPAPGEPGSSSSAHVLVHREQEAEGSGDLLLEGDLET KGES >ENSMUSP00000027414.9 pep:known chromosome:GRCm38:1:75521529:75537335:1 gene:ENSMUSG00000026213.15 transcript:ENSMUST00000027414.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk11ip description:serine/threonine kinase 11 interacting protein [Source:MGI Symbol;Acc:MGI:1918978] MTTAPRDSVVWKLAGLLRESGDAVLSGCSTLSLLTATLQQLNRVFELYLGPWGPGQTGFV ALPSHPADSPVILQLQFLFDVLQKTLSLKLVHIPGVGLPGPIKIFPFKSLRQLELRGVPI HSLCGLRGIYSQLESLVCNRSIQALEELLSACGGDLCSALPWLALLSADFSYNALRSLDS SLRLLSALRFLNLSHNHLQDCKGFLMDLCELYHLDISYNHLRLVPRVGPSGAALGTLILR ANELRSLQGLEQLKNLRHLDVAYNLLEGHTELAPLWLLAELRKLYLEGNPLWFHPAHRAA TAQYLSPRARDAAHGFLLDGKVLSLKDLQQTSDSSGLGPVIQPLSWPVGSTTETSGGPEL SDSLSSGGIVAQAPLRKVKSRVRVRRASISEPSDTDPELRTLDPSPAGWFVQQHRELELL ASFRERFGCDWLQYRSHLETMGSSPLSTTKTPALSTPPLDVQNLETVCSPPAIEDDTKES PEKVSEEGRVEPEPQEEEREEQDKEEGSREDLEEEEEQEQKAVEAELCRPMLVCPLQGLG GVQGKECFLRVTSAHLFEVELQAARTLERLELQSLVAAELESETETQGEPVSEGSGPFPG APVLVLRFSYICPDRQLRRYAVLEPEAREAVQELLAVLTPFTSVKEQQPGANKDPQGARF QCLRCSCEFKPEESRLGLESDEGWKPLFQNTESPVVCPNCGSDHVVLLAVSGEVPNREQN QEEQSADSACDLADHGGCPSRPDGIPPQTSISHDRSSWSLSPSPGCSGFRSVDHRLRLFL DVEVFSDSEEEFQCCIKVPVVLAGHTEEFPCLVVVSNHMLYLLKVLGAICGPPASWLQPT LAIALQDLSGMELGLAGQSLRLEWAAGTGSCVLLPRDARQCRAFLEELTGVLQSLPRTQR NCISATEEEVTPQHRLWPLLGKDPSAEVPQFFYLRAFLAEGSSTCPVSLLLTLSTLYLLD EDPGGSHAESPLPVVSDETSEQPASLGPGPSLQVREQQPLSCLSSVQLYRTSPLDLRLIF YDEVSRLESFWALHVVCGEQLTALLAWIREPWEELFSIGLRTVTQEALDLDR >ENSMUSP00000109182.1 pep:known chromosome:GRCm38:1:75521552:75536932:1 gene:ENSMUSG00000026213.15 transcript:ENSMUST00000113553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk11ip description:serine/threonine kinase 11 interacting protein [Source:MGI Symbol;Acc:MGI:1918978] MTTAPRDSVVWKLAGLLRESGDAVLSGCSTLSLLTATLQQLNRVFELYLGPWGPGQTGFV ALPSHPADSPVILQLQFLFDVLQKTLSLKLVHIPGVGLPGPIKIFPFKSLRQLELRGVPI HSLCGLRGIYSQLESLVCNRSIQALEELLSACGGDLCSALPWLALLSADFSYNALRSLDS SLRLLSALRFLNLSHNHLQDCKGFLMDLCELYHLDISYNHLRLVPRVGPSGAALGTLILR ANELRSLQGLEQLKNLRHLDVAYNLLEGHTELAPLWLLAELRKLYLEGNPLWFHPAHRAA TAQYLSPRARDAAHGFLLDGKVLSLKDLQQTSDSSGLGPVIQPLSWPVGSTTETSGGPEL SDSLSSGGIVAQAPLRKVKSRVRVRRASISEPSDTDPELRTLDPSPAGWFVQQHRELELL ASFRERFGCDWLQYRSHLETMGSSPLSTTKTPALSTPPLDVQNLETVCSPPAIEDDTKES PEKVSEEGRVEPEPQEEEREEQDKEEGSREDLEEEEEQEQKAVEAELCRPMLVCPLQGLG GVQGKECFLRVTSAHLFEVELQAARTLERLELQSLVAAELESETETQGEPVSEGSGPFPG APVLVLRFSYICPDRQLRRYAVLEPEAREAVQELLAVLTPFTSVKEQQPGANKDPQGARF QCLRCSCEFKPEESRLGLESDEGWKPLFQNTESPVVCPNCGSDHVVLLAVSGEVPNREQN QEEQSADSACDLADHGGCPSRPDGIPPQTSISHDRSSWSLSPSPGCSGFRSVDHRLRLFL DVEVFSDSEEEFQCCIKVPVVLAGHTEEFPCLVVVSNHMLYLLKVLGAICGPPASWLQPT LAIALQDLSGMELGLAGQSLRLEWAAGTGSCVLLPRDARQCRAFLEELTGVLQSLPRTQR NCISATEEEVTPQHRLWPLLGKDPSAEVPQFFYLRAFLAEGSSTCPVSLLLTLSTLYLLD EDPGGSHAESPLPVVSDETSEQPASLGPGPSLQVREQQPLSCLSSVQLYRTSPLDLRLIF YDEVSRLESFWALHVVCGEQLTALLAWIREPWEELFSIGLRTVTQEALDLDR >ENSMUSP00000138548.1 pep:known chromosome:GRCm38:1:162670725:162740525:-1 gene:ENSMUSG00000040225.15 transcript:ENSMUST00000182149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2c description:proline-rich coiled-coil 2C [Source:MGI Symbol;Acc:MGI:1913754] MSEKSGQSTKAKDGKKYATLSLFNTYKGKSLETQKTTVAARHGLQSLGKVGISRRMPPPA NLPSLKAENKGNDPNVNIVPKDGTGWASKQEQHEEEKAPEVSPAQPKPGVAAPPEVAPAP KSWASNKQGGQGDGIQVNSQFQQEFPSLQAAGDQEKKEKEANDENYGPGPSLRPPNVACW RDGGKSAGSPSSDQDEKQLGQDESTAITSEQNDILKVVEKRIACGPPQAKLNGQQPALAS QYRAMMPPYMFQQYPRMAYPPLHGPMRFPPSLSEANKSLRGRGPPPSWASEPERPSILSA SELKELDKFDNLDAEADEGWAGAQMEVDYTEQLNFSDDDEQGSTSPKESSSEDQTAKTPE STENRKEVDEASSTKSSSQIPAQPPVTKSPYGKGPPFNQERGPSSHLPPPPKLLAQQHPP PPDRQIPGRQGPFPSKPPVPDNDEIWKQRRKQQSEISAAVERARKRREEEERRMEEQRKA ACAEKLKQLDEKLGIIEKQPSPEELREREREKERERELEKEKERELEKEQEKQREMERAR QQEKELEQQREKEQELQRLREQEKEGEPKEQEKEEKVEPQEPVVEPATENQESENNCKKE EEPIFTRQDSNRSEKETTQVVQEAEPESGAQPRPGYFKQFQKSLPPRFQRQQEQMKQQQW QQQQQQQQQGVLPQTVPSQPSNGSVPPPPHRPLYQPMQPHPQHLASMGFDPRWLMMQSYM DPRMISGRPAMDIPPIHPGMIPPKPLIRRDQMEGSPNSSESFEHIARSARDHGISLSEPR MMWGSDPYHAEPQQAATPKSAEETGDARPETAMDHEHMTAAYPVEHSQLETHSKTDVARD STETEEQKFLSRSLEDVKPRHVDTNTQSACFDVIDQKSLPTSAEERISALESQPARKRSV SHGSNHAQNAEEQRNEPSVSIPKVINRCMDSKETVEKPEEKPRKDGFLRSSEGPKPEKVY KSKSETRWGPRPSSNRREEGNDRPVRRSGPIKKPVLRDMKEEREQRKEKEGEKLEKVTEK VVKAEKPEKKDLPLPLPPPAPAQPQPQPLVSPPVQPEPEKPPSTETSTLTQKPSQDEKPL EPVGSVQVEPVVKTVNQQSVAAPTVKEEKPPEKVINKDVGIERSRPDSRLAVKKDSSLPT RTYWKEARDRDWFPDQGYRGRGRGEYYSRGRSYRGSYGGRGRGGRGHTREYPQYRDNKPR TEHVPSGPLRQREESETRSESSDFEVVPKRRRQRGSETDTDSEVHESASDKDSVSKGKLP KREERPENKKPVKPQSSFKPENHVRIDNRPLEKPYIREEDKSKPGFLPKGEPTRRGRGGT FRRGGRDPGGRPSRPATLRRPAYRDNQWNTRQAEPPKPEDGEPPRRHEQFMPIPADKRPP KFERKFDPARERPRRQRPTRPPRQDKPPRFRRLREREAASKTSEVLVPSNGTANNVVQEP VNPPADISGNKTPDLSNQNSSDQANEEWETASESSDFNERRERDEKKNADKSSQAVVKAG ESVLPPKREIAKRSFSSQRPGVDRQNRRGNNGPPKSGRNFSGPRNERRNGPPSKGGKRGP FDDQASGTAGADPVSGNSAHHQEGVPNGAGQKNSKDAAGKKREDTKPGPKKPKEKVDALS QFDLNNYASVVIIDDHPEVTVIEDPQSNLNDDGFTEVVSKKQQKRLQDEERRKKEEQVVQ VWSKKNIGEKGRSQTSKLPPRFAKKQATGTQQIQAPPSAPVPVSSSAPGLTAPAAAAPAS TPAPVPILASATALVPVSTPAPVLTSCPAPVPTSASAPVPASTSSPVTASSSSQPSVPAP TPVLASASTTVSVPILTSASIPILASALAPATVSSPTPVVSATAVPSISTPAVPASAPTA SVPLAPASAASTVPPPASTVQTQTQTQTHKPVQSPLPPSAPSSKQPPPSIRLPSAQTSNG TDFVAAGKSMPTSQSHGSLTAELWDSKVAAPAVLNDISKKLGPISPPQPPSVSAWNKPLT SFGSATSSEGTRNGQESGVEIGIDTIQFGAPASNGNENEVVPVLSEKATDKVPEPKEQRQ KQPRAGPIKAQKLPDLSLVENKEHKPGPIGKERSLKNRKVKDAQQVEPEGQEKPSPAVVR STDPETAKETKAVSEMSAEIGAMISVSSAEYGSDAKESVTDYTTPSSSLPNTVATNNAKM EDTLVNNVPLPNTLPLPKRETIQQSSSLTSVPPTTFSLTFKMESARKAWENSPNLREKGS PVTSTAPPIVSGVSSSASGPSTANYSSFSSASMPQIPVASVTPTASLSGAGTYTTSSLST KSTTTSDPPNICKVKPQQLQTSSLPSASHFSQLSCMPSLIAQQQQSPQVYVSQSAAAQIP AFYMDTSHLFNTQHARLAPPSLAQQQGFQPGLSQPTSVQQIPIPIYAPLQGQHQAQLSLG AGPAVSQAQELFSSSIQPYRSQPAFMQSSLSQPSVVLSGTAIHNFPAVQHQELAKAQSGL AFQQTSNPQPIPILYDHQLGQASGLGSSQLIDTHLLQARANLTQASNLYSGQVQQPGQTN FYNTAQSPSALQQVTVPLPASQLSLTNFGSTGQPLIALPQTLQPQLQHTTPQAQAQSLSR PAQVSQPFRGLIPAGTQHSMMATTGKMSEMELKAFGSGIDIKPGTPPIGGRSTTPTSSPF RATSTSPNSQSSKMNSVVYQKQFQSAPATVRMAQPFPAQFAPQKRAVGRGLSHKTFGPRC WQSREQRFFSPRSGSSLNSNRTNR >ENSMUSP00000138433.1 pep:known chromosome:GRCm38:1:162670727:162740556:-1 gene:ENSMUSG00000040225.15 transcript:ENSMUST00000182660.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2c description:proline-rich coiled-coil 2C [Source:MGI Symbol;Acc:MGI:1913754] MSEKSGQSTKAKDGKKYATLSLFNTYKGKSLETQKTTVAARHGLQSLGKVGISRRMPPPA NLPSLKAENKGNDPNVNIVPKDGTGWASKQEQHEEEKAPEVSPAQPKPGVAAPPEVAPAP KSWASNKQGGQGDGIQVNSQFQQEFPSLQAAGDQEKKEKEANDENYGPGPSLRPPNVACW RDGGKSAGSPSSDQDEKQLGQDESTAITSEQNDILKVVEKRIACGPPQAKLNGQQPALAS QYRAMMPPYMFQQYPRMAYPPLHGPMRFPPSLSEANKSLRGRGPPPSWASEPERPSILSA SELKELDKFDNLDAEADEGWAGAQMEVDYTEQLNFSDDDEQGSTSPKESSSEDQTAKTPE STENRKEVDEASSTKSSSQIPAQPPVTKSPYGKGPPFNQERGPSSHLPPPPKLLAQQHPP PPDRQIPGRQGPFPSKPPVPDNDEIWKQRRKQQSEISAAVERARKRREEEERRMEEQRKA ACAEKLKQLDEKLGIIEKQPSPEELREREREKERERELEKEKERELEKEQEKQREMERAR QQEKELEQQREKEQELQRLREQEKEGEPKEQEKEEKVEPQEPVVEPATENQESENNCKKE EEPIFTRQDSNRSEKETTQVVQEAEPESGAQPRPGYFKQFQKSLPPRFQRQQEQMKQQQW QQQQQQQQQGVLPQTVPSQPSNGSVPPPPHRPLYQPMQPHPQHLASMGFDPRWLMMQSYM DPRMISGRPAMDIPPIHPGMIPPKPLIRRDQMEGSPNSSESFEHIARSARDHGISLSEPR MMWGSDPYHAEPQQAATPKSAEETGDARPETAMDHEHMTAAYPVEHSQLETHSKTDVARD STETEEQKFLSRSLEDVKPRHVDTNTQSACFDVIDQKSLPTSAEERISALESQPARKRSV SHGSNHAQNAEEQRNEPSVSIPKVINRCMDSKETVEKPEEKPRKDGFLRSSEGPKPEKVY KSKSETRWGPRPSSNRREEGNDRPVRRSGPIKKPVLRDMKEEREQRKEKEGEKLEKVTEK VVKAEKPEKKDLPLPLPPPAPAQPQPQPLVSPPVQPEPEKPPSTETSTLTQKPSQDEKPL EPVGSVQVEPVVKTVNQQSVAAPTVKEEKPPEKVINKDVGIERSRPDSRLAVKKDSSLPT RTYWKEARDRDWFPDQGYRGRGRGEYYSRGRSYRGSYGGRGRGGRGHTREYPQYRDNKPR TEHVPSGPLRQREESETRSESSDFEVVPKRRRQRGSETDTDSEVHESASDKDSVSKGKLP KREERPENKKPVKPQSSFKPENHVRIDNRPLEKPYIREEDKSKPGFLPKGEPTRRGRGGT FRRGGRDPGGRPSRPATLRRPAYRDNQWNTRQAEPPKPEDGEPPRRHEQFMPIPADKRPP KFERKFDPARERPRRQRPTRPPRQDKPPRFRRLREREAASKTSEVLVPSNGTANNVVQEP VNPPADISGNKTPDLSNQNSSDQANEEWETASESSDFNERRERDEKKNADKSSQAVVKAG ESVLPPKREIAKRSFSSQRPGVDRQNRRGNNGPPKSGRNFSGPRNERRNGPPSKGGKRGP FDDQASGTAGADPVSGNSAHHQEGVPNGAGQKNSKDAAGKKREDTKPGPKKPKEKVDALS QFDLNNYASVVIIDDHPEVTVIEDPQSNLNDDGFTEVVSKKQQKRLQDEERRKKEEQVVQ VWSKKNIGEKGRSQTSKLPPRFAKKQATGTQQIQAPPSAPVPVSSSAPGLTAPAAAAPAS TPAPVPILASATALVPVSTPAPVLTSCPAPVPTSASAPVPASTSSPVTASSSSQPSVPAP TPVLASASTTVSVPILTSASIPILASALAPATVSSPTPVVSATAVPSISTPAVPASAPTA SVPLAPASAASTVPPPASTVQTQTQTQTHKPVQSPLPPSAPSSKQPPPSIRLPSAQTSNG TDFVAAGKSMPTSQSHGSLTAELWDSKVAAPAVLNDISKKLGPISPPQPPSVSAWNKPLT SFGSATSSEGTRNGQESGVEIGIDTIQFGAPASNGNENEVVPVLSEKATDKVPEPKEQRQ KQPRAGPIKAQKLPDLSLVENKEHKPGPIGKERSLKNRKVKDAQQVEPEGQEKPSPAVVR STDPETAKETKAVSEMSAEIGAMISVSSAEYGSDAKESVTDYTTPSSSLPNTVATNNAKM EDTLVNNVPLPNTLPLPKRETIQQSSSLTSVPPTTFSLTFKMESARKAWENSPNLREKGS PVTSTAPPIVSGVSSSASGPSTANYSSFSSASMPQIPVASVTPTASLSGAGTYTTSSLST KSTTTSDPPNICKVKPQQLQTSSLPSASHFSQLSCMPSLIAQQQQSPQVYVSQSAAAQIP AFYMDTSHLFNTQHARLAPPSLAQQQGFQPGLSQPTSVQQIPIPIYAPLQGQHQAQLSLG AGPAVSQAQELFSSSIQPYRSQPAFMQSSLSQPSVVLSGTAIHNFPAVQHQELAKAQSGL AFQQTSNPQPIPILYDHQLGQASGLGSSQLIDTHLLQARANLTQASNLYSGQVQQPGQTN FYNTAQSPSALQQVTVPLPASQLSLTNFGSTGQPLIALPQTLQPQLQHTTPQAQAQSLSR PAQVSQPFRGLIPAGTQHSMMATTGKMSEMELKAFGSGIDIKPGTPPIGGRSTTPTSSPF RATSTSPNSQSSKMNSVVYQKQFQSAPATVRMAQPFPAQFAPQILSQPNLVPPLVRAPHT NTFPAPVQRPPMALASQMPPPLTTGLMSHARLPHVARGPCGSLSGVRGNQAQAALKAEQD LKAKQRAEVLQSTQRFFSEQQQNKQIGGKTQRVDSDTSNPETLSDPPGTCPEKVEEKPPP APTITTKPVRTGPIKPQAIKTEETKS >ENSMUSP00000138698.1 pep:known chromosome:GRCm38:1:162671785:162704766:-1 gene:ENSMUSG00000040225.15 transcript:ENSMUST00000183223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2c description:proline-rich coiled-coil 2C [Source:MGI Symbol;Acc:MGI:1913754] VPSNGTANNVVQEPVNPPADISGNKTPDLSNQNSSDQANEEWETASESSDFNERRERDEK KNADKSSQAVVKAGESVLPPKREIAKRSFSSQRPGVDRQNRRGNNGPPKSGRNFSGPRNE RRNGPPSKGGKRGPFDDQASGTAGADPVSGNSAHHQEGVPNGAGQKNSKDAAGKKREDTK PGPKKPKEKVDALSQFDLNNYASVVIIDDHPEVTVIEDPQSNLNDDGFTEVVSKKQQKRL QDEERRKKEEQVVQVWSKKNIGEKGRSQTSKLPPRFAKKQATGTQQIQAPPSAPVPVSSS APGLTAPAAAAPASTPAPVPILASATALVPVSTPAPVLTSCPAPVPTSASAPVPASTSSP VTASSSSQPSVPAPTPVLASASTTVSVPILTSASIPILASALAPATVSSPTPVVSATAVP SISTPAVPASAPTASVPLAPASAASTVPPPASTVQTQTQTQTHKPVQSPLPPSAPSSKQP PPSIRLPSAQTSNGTDFVAAGKSMPTSQSHGSLTAELWDSKVAAPAVLNDISKKLGPISP PQPPSVSAWNKPLTSFGSATSSEGTRNGQESGVEIGIDTIQFGAPASNGNENEVVPVLSE KATDKVPEPKEQRQKQPRAGPIKAQKLPDLSLVENKEHKPGPIGKERSLKNRKVKDAQQV EPEGQEKPSPAVVRSTDPETAKETKAVSEMSAEIGAMISVSSAEYGSDAKMESARKAWEN SPNLREKGSPVTSTAPPIVSGVSSSASGPSTANYSSFSSASMPQIPVASVTPTASLSGAG TYTTSSLSTKSTTTSDPPNICKVKPQQLQTSSLPSASHFSQLSCMPSLIAQQQQSPQVYV SQSAAAQIPAFYMDTSHLFNTQHARLAPPSLAQQQGFQPGLSQPTSVQQIPIPIYAPLQG QHQAQLSLGAGPAVSQAQELFSSSIQPYRSQPAFMQSSLSQPSVVLSGTAIHNFPAVQHQ ELAKAQSGLAFQQTSNPQPIPILYDHQLGQASGLGSSQLIDTHLLQARANLTQASNLYSG QVQQPGQTNFYNTAQSPSALQQVTVPLPASQLSLTNFGSTGQPLIALPQTLQPQLQHTTP QAQAQSLSRPAQVSQPFRGLIPAGTQHSMMATTGKMSEMELKAFGSGIDIKPGTPPIGGR STTPTSSPFRATSTSPNSQSSKMNSVVYQKQFQSAPATVRMAQPFPAQFAPQAKQRAEVL QSTQRFFSEQQQNKQIGGKTQRVDSDTSNPETLSDPPGTCPEKVEEKPPPAPTITTKPVR TGPIKPQAIKTEETKS >ENSMUSP00000138451.1 pep:known chromosome:GRCm38:1:162671788:162705192:-1 gene:ENSMUSG00000040225.15 transcript:ENSMUST00000182393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2c description:proline-rich coiled-coil 2C [Source:MGI Symbol;Acc:MGI:1913754] RIDNRPLEKPYIREEDKSKPGFLPKGEPTRRGRGGTFRRGGRDPGGRPSRPATLRRPAYR DNQWNTRQAEPPKPEDGEPPRRHEQFMPIPADKRPPKFERKFDPARERPRRQRPTRPPRQ DKPPRFRRLREREAASKTSEVLVPSNGTANNVVQEPVNPPADISGNKTPDLSNQNSSDQA NEEWETASESSDFNERRERDEKKNADKSSQAVVKAGESVLPPKREIAKRSFSSQRPGVDR QNRRGNNGPPKSGRNFSGPRNERRNGPPSKGGKRGPFDDQASGTAGADPVSGNSAHHQEG VPNGAGQKNSKDAAGKKREDTKPGPKKPKEKVDALSQFDLNNYASVVIIDDHPEVTVIED PQSNLNDDGFTEVVSKKQQKRLQDEERRKKEEQVVQVWSKKNIGEKGRSQTSKLPPRFAK KQATGTQQIQAPPSAPVPVSSSAPGLTAPAAAAPASTPAPVPILASATALVPVSTPAPVL TSCPAPVPTSASAPVPASTSSPVTASSSSQPSVPAPTPVLASASTTVSVPILTSASIPIL ASALAPATVSSPTPVVSATAVPSISTPAVPASAPTASVPLAPASAASTVPPPASTVQTQT QTQTHKPVQSPLPPSAPSSKQPPPSIRLPSAQTSNGTDFVAAGKSMPTSQSHGSLTAELW DSKVAAPAVLNDISKKLGPISPPQPPSVSAWNKPLTSFGSATSSEGTRNGQESGVEIGID TIQFGAPASNGNENEVVPVLSEKATDKVPEPKEQRQKQPRAGPIKAQKLPDLSLVENKEH KPGPIGKERSLKNRKVKDAQQVEPEGQEKPSPAVVRSTDPETAKETKAVSEMSAEIGAMI SVSSAEYGSDAKESVTDYTTPSSSLPNTVATNNAKMEDTLVNNVPLPNTLPLPKRETIQQ SSSLTSVPPTTFSLTFKMESARKAWENSPNLREKGSPVTSTAPPIVSGVSSSASGPSTAN YSSFSSASMPQIPVASVTPTASLSGAGTYTTSSLSTKSTTTSDPPNICKVKPQQLQTSSL PSASHFSQLSCMPSLIAQQQQSPQVYVSQSAAAQIPAFYMDTSHLFNTQHARLAPPSLAQ QQGFQPGLSQPTSVQQIPIPIYAPLQGQHQAQLSLGAGPAVSQAQELFSSSIQPYRSQPA FMQSSLSQPSVVLSGTAIHNFPAVQHQELAKAQSGLAFQQTSNPQPIPILYDHQLGQASG LGSSQLIDTHLLQARANLTQASNLYSGQVQQPGQTNFYNTAQSPSALQQVNYGMVTVPLP ASQLSLTNFGSTGQPLIALPQTLQPQLQHTTPQAQAQSLSRPAQVSQPFRGLIPAGTQHS MMATTGKMSEMELKAFGSGIDIKPGTPPIGGRSTTPTSSPFRATSTSPNSQSSKMNSVVY QKQFQSAPATVRMAQPFPAQFAPQILSQPNLVPPLVRAPHTNTFPAPVQRPPMALASQMP PPLTTGLMSHARLPHVARGPCGSLSGVRGNQAQAALKAEQDLKKRAVGRGLSHKTFGPRC KAESRGSSVHAAVLL >ENSMUSP00000138674.1 pep:known chromosome:GRCm38:1:162672889:162740481:-1 gene:ENSMUSG00000040225.15 transcript:ENSMUST00000182593.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2c description:proline-rich coiled-coil 2C [Source:MGI Symbol;Acc:MGI:1913754] MSEKSGQSTKAKDGKKYATLSLFNTYKGKSLETQKTTARHGLQSLGKVGISRRMPPPANL PSLKAENKGNDPNVNIVPKDGTGWASKQEQHEEEKAPEVSPAQPKPGVAAPPEVAPAPKS WASNKQGGQGDGIQVNSQFQQEFPSLQAAGDQEKKEKEANDENYGPGPSLRPPNVACWRD GGKSAGSPSSDQDEKQLGQDESTAITSEQNDILKVVEKRIACGPPQAKLNGQQPALASQY RAMMPPYMFQQYPRMAYPPLHGPMRFPPSLSEANKSLRGRGPPPSWASEPERPSILSASE LKELDKFDNLDAEADEGWAGAQMEVDYTEQLNFSDDDEQGSTSPKESSSEDQTAKTPEST ENRKEVDEASSTKSSSQIPAQPPVTKSPYGKGPPFNQERGPSSHLPPPPKLLAQQHPPPP DRQIPGRQGPFPSKPPVPDNDEIWKQRRKQQSEISAAVERARKRREEEERRMEEQRKAAC AEKLKQLDEKLGIIEKQPSPEELREREREKERERELEKEKERELEKEQEKQREMERARQQ EKELEQQREKEQELQRLREQEKEGEPKEQEKEEKVEPQEPVVEPATENQESENNCKKEEE PIFTRQDSNRSEKETTQVVQEAEPESGAQPRPGYFKQFQKSLPPRFQRQQEQMKQQQWQQ QQQQQQQGVLPQTVPSQPSNGSVPPPPHRPLYQPMQPHPQHLASMGFDPRWLMMQSYMDP RMISGRPAMDIPPIHPGMIPPKPLIRRDQMEGSPNSSESFEHIARSARDHGISLSEPRMM WGSDPYHAEPQQAATPKSAEETGDARPETAMDHEHMTAAYPVEHSQLETHSKTDVARDST ETEEQKFLSRSLEDVKPRHVDTNTQSACFDVIDQKSLPTSAEERISALESQPARKRSVSH GSNHAQNAEEQRNEPSVSIPKVINRCMDSKETVEKPEEKPRKDGFLRSSEGPKPEKVYKS KSETRWGPRPSSNRREEGNDRPVRRSGPIKKPVLRDMKEEREQRKEKEGEKLEKVTEKVV KAEKPEKKDLPLPLPPPAPAQPQPQPLVSPPVQPEPEKPPSTETSTLTQKPSQDEKPLEP VGSVQVEPVVKTVNQQSVAAPTVKEEKPPEKVINKDVGIERSRPDSRLAVKKDSSLPTRT YWKEARDRDWFPDQGYRGRGRGEYYSRGRSYRGSYGGRGRGGRGHTREYPQYRDNKPRTE HVPSGPLRQREESETRSESSDFEVVPKRRRQRGSETDTDSEVHESASDKDSVSKGKLPKR EERPENKKPVKPQSSFKPENHVRIDNRPLEKPYIREEDKSKPGFLPKGEPTRRGRGGTFR RGGRDPGGRPSRPATLRRPAYRDNQWNTRQAEPPKPEDGEPPRRHEQFMPIPADKRPPKF ERKFDPARERPRRQRPTRPPRQDKPPRFRRLREREAASKTSEVLVPSNGTANNVVQEPVN PPADISGNKTPDLSNQNSSDQANEEWETASESSDFNERRERDEKKNADKSSQAVVKAGES VLPPKREIAKRSFSSQRPGVDRQNRRGNNGPPKSGRNFSGPRNERRNGPPSKGGKRGPFD DQASGTAGADPVSGNSAHHQEGVPNGAGQKNSKDAAGKKREDTKPGPKKPKEKVDALSQF DLNNYASVVIIDDHPEVTVIEDPQSNLNDDGFTEVVSKKQQKRLQDEERRKKEEQVVQVW SKKNIGEKGRSQTSKLPPRFAKKQATGTQQIQAPPSAPVPVSSSAPGLTAPAAAAPASTP APVPILASATALVPVSTPAPVLTSCPAPVPTSASAPVPASTSSPVTASSSSQPSVPAPTP VLASASTTVSVPILTSASIPILASALAPATVSSPTPVVSATAVPSISTPAVPASAPTASV PLAPASAASTVPPPASTVQTQTQTQTHKPVQSPLPPSAPSSKQPPPSIRLPSAQTSNGTD FVAAGKSMPTSQSHGSLTAELWDSKVAAPAVLNDISKKLGPISPPQPPSVSAWNKPLTSF GSATSSEGTRNGQESGVEIGIDTIQFGAPASNGNENEVVPVLSEKATDKVPEPKEQRQKQ PRAGPIKAQKLPDLSLVENKEHKPGPIGKERSLKNRKVKDAQQVEPEGQEKPSPAVVRST DPETAKETKAVSEMSAEIGAMISVSSAEYGSDAKESVTDYTTPSSSLPNTVATNNAKMED TLVNNVPLPNTLPLPKRETIQQSSSLTSVPPTTFSLTFKMESARKAWENSPNLREKGSPV TSTAPPIVSGVSSSASGPSTANYSSFSSASMPQIPVASVTPTASLSGAGTYTTSSLSTKS TTTSDPPNICKVKPQQLQTSSLPSASHFSQLSCMPSLIAQQQQSPQVYVSQSAAAQIPAF YMDTSHLFNTQHARLAPPSLAQQQGFQPGLSQPTSVQQIPIPIYAPLQGQHQAQLSLGAG PAVSQAQELFSSSIQPYRSQPAFMQSSLSQPSVVLSGTAIHNFPAVQHQELAKAQSGLAF QQTSNPQPIPILYDHQLGQASGLGSSQLIDTHLLQARANLTQASNLYSGQVQQPGQTNFY NTAQSPSALQQVTVPLPASQLSLTNFGSTGQPLIALPQTLQPQLQHTTPQAQAQSLSRPA QVSQPFRGLIPAGTQHSMMATTGKMSEMELKAFGSGIDIKPGTPPIGGRSTTPTSSPFRA TSTSPNSQSSKMNSVVYQKQFQSAPATVRMAQPFPAQFAPQAKQRAEVLQSTQRFFSEQQ QNKQIGGKTQRVDSDTSNPETLSDPPGTCPEKVEEKPPPAPTITTKPVRTGPIKPQAIKT EETKS >ENSMUSP00000028016.9 pep:known chromosome:GRCm38:1:162673402:162740550:-1 gene:ENSMUSG00000040225.15 transcript:ENSMUST00000028016.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2c description:proline-rich coiled-coil 2C [Source:MGI Symbol;Acc:MGI:1913754] MSEKSGQSTKAKDGKKYATLSLFNTYKGKSLETQKTTARHGLQSLGKVGISRRMPPPANL PSLKAENKGNDPNVNIVPKDGTGWASKQEQHEEEKAPEVSPAQPKPGVAAPPEVAPAPKS WASNKQGGQGDGIQVNSQFQQEFPSLQAAGDQEKKEKEANDENYGPGPSLRPPNVACWRD GGKSAGSPSSDQDEKQLGQDESTAITSEQNDILKVVEKRIACGPPQAKLNGQQPALASQY RAMMPPYMFQQYPRMAYPPLHGPMRFPPSLSEANKSLRGRGPPPSWASEPERPSILSASE LKELDKFDNLDAEADEGWAGAQMEVDYTEQLNFSDDDEQGSTSPKESSSEDQTAKTPEST ENRKEVDEASSTKSSSQIPAQPPVTKSPYGKGPPFNQERGPSSHLPPPPKLLAQQHPPPP DRQIPGRQGPFPSKPPVPDNDEIWKQRRKQQSEISAAVERARKRREEEERRMEEQRKAAC AEKLKQLDEKLGIIEKQPSPEELREREREKERERELEKEKERELEKEQEKQREMERARQQ EKELEQQREKEQELQRLREQEKEGEPKEQEKEEKVEPQEPVVEPATENQESENNCKKEEE PIFTRQDSNRSEKETTQVVQEAEPESGAQPRPGYFKQFQKSLPPRFQRQQEQMKQQQWQQ QQQQQQQGVLPQTVPSQPSNGSVPPPPHRPLYQPMQPHPQHLASMGFDPRWLMMQSYMDP RMISGRPAMDIPPIHPGMIPPKPLIRRDQMEGSPNSSESFEHIARSARDHGISLSEPRMM WGSDPYHAEPQQAATPKSAEETGDARPETAMDHEHMTAAYPVEHSQLETHSKTDVARDST ETEEQKFLSRSLEDVKPRHVDTNTQSACFDVIDQKSLPTSAEERISALESQPARKRSVSH GSNHAQNAEEQRNEPSVSIPKVINRCMDSKETVEKPEEKPRKDGFLRSSEGPKPEKVYKS KSETRWGPRPSSNRREEGNDRPVRRSGPIKKPVLRDMKEEREQRKEKEGEKLEKVTEKVV KAEKPEKKDLPLPLPPPAPAQPQPQPLVSPPVQPEPEKPPSTETSTLTQKPSQDEKPLEP VGSVQVEPVVKTVNQQSVAAPTVKEEKPPEKVINKDVGIERSRPDSRLAVKKDSSLPTRT YWKEARDRDWFPDQGYRGRGRGEYYSRGRSYRGSYGGRGRGGRGHTREYPQYRDNKPRTE HVPSGPLRQREESETRSESSDFEVVPKRRRQRGSETDTDSEVHESASDKDSVSKGKLPKR EERPENKKPVKPQSSFKPENHVRIDNRPLEKPYIREEDKSKPGFLPKGEPTRRGRGGTFR RGGRDPGGRPSRPATLRRPAYRDNQWNTRQAEPPKPEDGEPPRRHEQFMPIPADKRPPKF ERKFDPARERPRRQRPTRPPRQDKPPRFRRLREREAASKTSEVLVPSNGTANNVVQEPVN PPADISGNKTPDLSNQNSSDQANEEWETASESSDFNERRERDEKKNADKSSQAVVKAGES VLPPKREIAKRSFSSQRPGVDRQNRRGNNGPPKSGRNFSGPRNERRNGPPSKGGKRGPFD DQASGTAGADPVSGNSAHHQEGVPNGAGQKNSKDAAGKKREDTKPGPKKPKEKVDALSQF DLNNYASVVIIDDHPEVTVIEDPQSNLNDDGFTEVVSKKQQKRLQDEERRKKEEQVVQVW SKKNIGEKGRSQTSKLPPRFAKKQATGTQQIQAPPSAPVPVSSSAPGLTAPAAAAPASTP APVPILASATALVPVSTPAPVLTSCPAPVPTSASAPVPASTSSPVTASSSSQPSVPAPTP VLASASTTVSVPILTSASIPILASALAPATVSSPTPVVSATAVPSISTPAVPASAPTASV PLAPASAASTVPPPASTVQTQTQTQTHKPVQSPLPPSAPSSKQPPPSIRLPSAQTSNGTD FVAAGKSMPTSQSHGSLTAELWDSKVAAPAVLNDISKKLGPISPPQPPSVSAWNKPLTSF GSATSSEGTRNGQESGVEIGIDTIQFGAPASNGNENEVVPVLSEKATDKVPEPKEQRQKQ PRAGPIKAQKLPDLSLVENKEHKPGPIGKERSLKNRKVKDAQQVEPEGQEKPSPAVVRST DPETAKETKAVSEMSAEIGAMISVSSAEYGSDAKESVTDYTTPSSSLPNTVATNNAKMED TLVNNVPLPNTLPLPKRETIQQSSSLTSVPPTTFSLTFKMESARKAWENSPNLREKGSPV TSTAPPIVSGVSSSASGPSTANYSSFSSASMPQIPVASVTPTASLSGAGTYTTSSLSTKS TTTSDPPNICKVKPQQLQTSSLPSASHFSQLSCMPSLIAQQQQSPQVYVSQSAAAQIPAF YMDTSHLFNTQHARLAPPSLAQQQGFQPGLSQPTSVQQIPIPIYAPLQGQHQAQLSLGAG PAVSQAQELFSSSIQPYRSQPAFMQSSLSQPSVVLSGTAIHNFPAVQHQELAKAQSGLAF QQTSNPQPIPILYDHQLGQASGLGSSQLIDTHLLQARANLTQASNLYSGQVQQPGQTNFY NTAQSPSALQQVTVPLPASQLSLTNFGSTGQPLIALPQTLQPQLQHTTPQAQAQSLSRPA QVSQPFRGLIPAGTQHSMMATTGKMSEMELKAFGSGIDIKPGTPPIGGRSTTPTSSPFRA TSTSPNSQSSKMNSVVYQKQFQSAPATVRMAQPFPAQFAPQILSQPNLVPPLVRAPHTNT FPAPVQRPPMALASQMPPPLTTGLMSHARLPHVARGPCGSLSGVRGNQAQAALKAEQDLK AKQRAEVLQSTQRFFSEQQQNKQIGGKTQRVDSDTSNPETLSDPPGTCPEKVEEKPPPAP TITTKPVRTGPIKPQAIKTEETKS >ENSMUSP00000138609.2 pep:known chromosome:GRCm38:1:162710367:162740404:-1 gene:ENSMUSG00000040225.15 transcript:ENSMUST00000183011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2c description:proline-rich coiled-coil 2C [Source:MGI Symbol;Acc:MGI:1913754] MSEKSGQSTKAKDGKKYATLSLFNTYKGKSLETQKTTARHGLQSLGKVGISRRMPPPANL PSLKAENKGNDPNVNIVPKDGTGWASKQEQHEEEKAPEVSPAQPKPGVAAPPEVAPAPKS WASNKQGIQVNSQFQQEFPSLQAAGDQEKKEKEANDENYGPGPSLRPPNVACWRDGGKSA GSPSSDQDEKQLGQDESTAITSEQNDILKVVEKRIACGPPQAKLNGQQPALASQYRAMMP PYMFQQYPRMAYPPLHGPMRFPPSLSEANKSLRGRGPPPSWASEPERPSILSASELKELD KFDNLDAEADEGWAGAQMEVDYTEQLNFSDDDEQGSTSPKESSSEDQTAKTPESTENRKE VDEASSTKSSSQIPAQPPVTKSPYGKGPPFNQERGPSSHLPPPPKLLAQQHPPPPDRQIP GRQGPFPSKPPVPDNDEIWKQRRKQQSEISAAVERARKRREEEERRMEEQRKAACAEKLK QLDEKLGIIEKQPSPEELREREREKERERELEKEKERELEKEQEKQREMERARQQEKELE QQREKEQELQRLREQEKEGEPKEQ >ENSMUSP00000138556.1 pep:known chromosome:GRCm38:1:162720545:162740350:-1 gene:ENSMUSG00000040225.15 transcript:ENSMUST00000182331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2c description:proline-rich coiled-coil 2C [Source:MGI Symbol;Acc:MGI:1913754] MSEKSGQSTKAKDGKKYATLSLFNTYKGKSLETQKTTVAARHGLQSLGKVGISRRMPPPA NLPSLKAENKGNDPNVNIVPKDGTGWASKQEQHEEEKAPEVSPAQPKPGVAAPPEVAPAP KSWASNKQGIQVNSQFQQEFPSLQAAGDQ >ENSMUSP00000047953.4 pep:known chromosome:GRCm38:9:63421455:63602493:-1 gene:ENSMUSG00000037801.13 transcript:ENSMUST00000042322.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqch description:IQ motif containing H [Source:MGI Symbol;Acc:MGI:1925500] MAQAQEDSDPIGSILIQVHEDLHQLKEKLVKFSAEETRSTLDIQNLETAIQRTEMGLKIH IDKYLGVVNQEVLMAPVKKSLESPVTSKWSIPTVIDQKSFIFPMDSDFWRPQKHRGSLLH GLRRAKPKIQLTTKVMQDPENKHHRAAVTASYGISLPHINQRKAQDKVQRLIKGSTISNL TVLPPSHRTDPHFIPIPVLQKDASKGVLSMIERGLIPPTARITFQNPPIKPQALPLHSFD EHRKVPMEASFPLALKPRPVPEEVEEPSHQIEIPKGRAKRSRGPLRRKGMKIRTPSKTRK SPWDYIFSVYNGCVDRTAPDFLAFKSRFKLIWGSIFSFLEQIEKFLKDYAISEAKIKGKS LVSLLPEFELKNKLTRNDVLAVLENPVHIQMLLNLPGQRYKGQDGKAEAATKIQATWKSY KARSSFISYRQKKWASGVIALAWLLHCHRTRLKRIVKESRQRHLENFRIRAQHLAANWSR IRTSRRTIIHIPSLGYSQYVRKHIFDLDVEQNMQLGRLCDIIDANVNVIYICSHPMTDEL KLYYRKLLSLQAAVKTGYYGDRTDLQNRFRIITPEAVNVFTKHHMCLATHLMYSPKAIKR IKNLIRGEEAYIVGGILHRDDLAVADMLNVPILGSEPELVHLYSAKSGSKRIFDNANVPM PPGIYDIYTYQQMIEQLSQLVTDNLGIRRWVFKMNTEFGGNGTAFCDIPSHLQCYNWVLK ERNRFGHEDWKKKWAQESALVKISEELAGILAQHVQLVNEKRFPTWRKFLHMFLTQGGVI EAYPPADSVTNLTVDMLIEPDGEVRVLSMGDQLHADGPFISSGTTMPQTSVDPQVLNSLC LQIGNICKEKDVVGYFSIDLVTFIDPSTLEQQVWTTGLNLSYSDQLAMTQLTLYLTNGHL NCSLSTLEVPRFTEDSERERKRLSIQAELAPETSRYAVMSTQLKHDNLSLIFYYVFLQMC KAHGIGYDLEDRQGTVFILYESLKRYKLGMLTIGVDLQGVLMTFARNLFIIHQEISAPDM QGETNFKTTIDDIETILGVTEENKMIFVREKQSKEEEDNLYEPVEIHSEIV >ENSMUSP00000126546.1 pep:known chromosome:GRCm38:9:63421620:63602448:-1 gene:ENSMUSG00000037801.13 transcript:ENSMUST00000163982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqch description:IQ motif containing H [Source:MGI Symbol;Acc:MGI:1925500] MAQAQEDSDPIGSILIQVHEDLHQLKEKLVKFSAEETRSTLDIQNLETAIQRTEMGLKIH IDKYLGVVNQEVLMAPVKKSLESPVTSKWSIPTVIDQKSFIFPMDSDFWRPQKHRGSLLH GLRRAKPKIQLTTKVMQDPENKHHRAAVTASYGISLPHINQRKAQDKVQRLIKGSTISNL TVLPPSHRTDPHFIPIPVLQKDASKGVLSMIERGLIPPTARITFQNPPIKPQALPLHSFD EHRKVPMEASFPLALKPRPVPEEVEEPSHQIEIPKGRAKRSRGPLRRKGMKIRTPSKTRK SPWDYIFSVYNGCVDRTAPDFLAFKSRFKLIWGSIFSFLEQIEKFLKDYAISEAKIKGKS LVSLLPEFELKNKLTRNDVLAVLENPVHIQMLLNLPGQRYKGQDGKAEAATKIQATWKSY KARSSFISYRQKKWASGVIALAWLLHCHRTRLKRIVKESRQRHLENFRIRAQHLAANWSR IRTSRRTIIHIPSLGYSQYVRKHIFDLDVEQNMQLGRLCDIIDANVNVIYICSHPMTDEL KLYYRKLLSLQAAVKTGYYGDRTDLQNRFRIITPEAVNVFTKHHMCLATHLMYSPKAIKR IKNLIRGEEAYIVGGILHRDDLAVADMLNVPILGSEPELVHLYSAKSGSKRIFDNANVPM PPGIYDIYTYQQMIEQLSQLVTDNLGIRRWVFKMNTEFGGNGTAFCDIPSHLQCYNWVLK ERNRFGHEDWKKKWAQESALVKISEELAGILAQHVQLVNEKRFPTWRKFLHMFLTQGGVI EAYPPADSVTNLTVDMLIEPDGEVRVLSMGDQLHADGPFISSGTTMPQTSVDPQVLNSLC LQIGNICKEKDVVGYFSIDLVTFIDPSTLEQQVWTTGLNLSYSDQLAMTQLTLYLTNGHL NCSLSTLEVPRFTEDSERERKRLSIQAELMCKAHGIGYDLEDRQGTVFILYESLKRYKLG MLTIGVDLQGVLMTFARNLFIIHQEISAPDMQGETNFKTTIDDIETILGVTEENKMIFVR EKQSKEEEDNLYEPVEIHSEIV >ENSMUSP00000128482.1 pep:known chromosome:GRCm38:9:63421620:63602448:-1 gene:ENSMUSG00000037801.13 transcript:ENSMUST00000163624.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqch description:IQ motif containing H [Source:MGI Symbol;Acc:MGI:1925500] MAQAQEDSDPIGSILIQVHEDLHQLKEKLVKFSAEETRSTLDIQNLETAIQRTEMGLKIH IDKYLGVVNQEVLMAPVKKSLESPVTSKWSIPTVIDQKSFIFPMDSDFWRPQKHRGSLLH GLRRAKPKIQLTTKVMQDPENKHHRAAVTASYGISLPHINQRKAQDKVQRLIKGSTISNL TVLPPSHRTDPHFIPIPVLQKDASKGVLSMIERGLIPPTARITFQNPPIKPQALPLHSFD EHRKVPMEASFPLALKPRPVPEEVEEPSHQIEIPKGRAKRSRGPLRRKGMKIRTPSKTRK SPWDYIFSVYNGCVDRTAPDFLAFKSRFKLIWGSIFSFLEQIEKFLKDYAISEAKIKGKS LVSLLPEFELKNKLTRNDVLAVLENPVHIQMLLNLPGQRYKGQDGKAEAATKIQATWKSY KARSSFISYRQKKWASGVIALAWLLHCHRTRLKRIVKESRQRHLENFRIRAQHLAANWSR IRTSRRTIIHIPSLGYSQYVRKHIFDLDVEQNMQLGRLCDIIDANVNVIYICSHPMTDEL KLYYRKLLSLQAAVKTGYYGDRTDLQNRFRIITPEAVNVFTKHHMCLATHLMYSPKAIKR IKNLIRGEEAYIVGGILHRDDLAVADMLNVPILGSEPELVHLYSAKSGSKRIFDNANVPM PPGIYDIYTYQQMIEQLSQLVTDNLGIRRWVFKMNTEFGGNGTAFCDIPSHLQCYNWVLK ERNRFGHEDWKKKWAQESALVKISEELAGILAQHVQLVNEKRFPTWRKFLHMFLTQGGVI EAYPPADSVTNLTVDMLIEPDGEVRVLSMGDQLHADGPFISSGTTMPQTSVDPQVLNSLC LQIGNICKEKDVVGYFSIDLVTFIDPSTLEQQVWTTGLNLSYSDQLAMTQLTLYLTNGHL NCSLSTLEVPRFTEDSERERKRLSIQAELDRQGTVFILYESLKRYKLGMLTIGVDLQGVL MTFARNLFIIHQEISAPDMQGETNFKTTIDDIETILGVTEENKMIFVREKQSKEEEDNLY EPVEIHSEIV >ENSMUSP00000079370.5 pep:known chromosome:GRCm38:9:63421662:63602483:-1 gene:ENSMUSG00000037801.13 transcript:ENSMUST00000080527.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqch description:IQ motif containing H [Source:MGI Symbol;Acc:MGI:1925500] MAQAQEDSDPIGSILIQVHEDLHQLKEKLVKFSAEETRSTLDIQNLETAIQRTEMGLKIH IDKYLGVVNQEVLMAPVKKSLESPVTSKWSIPTVIDQKSFIFPMDSDFWRPQKHRGSLLH GLRRAKPKIQLTTKVMQDPENKHHRAAVTASYGISLPHINQRKAQDKVQRLIKGSTISNL TVLPPSHRTDPHFIPIPVLQKDASKGVLSMIERGLIPPTARITFQNPPIKPQALPLHSFD EHRKVPMEASFPLALKPRPVPEEVEEPSHQIEIPKGRAKRSRGPLRRKGMKIRTPSKTRK SPWDYIFSVYNGCVDRTAPDFLAFKSRFKLIWGSIFSFLEQIEKFLKDYAISEAKIKGKS LVSLLPEFELKNKLTRNDVLAVLENPVHIQMLLNLPGQRYKGQDGKAEAATKIQATWKSY KARSSFISYRQKKWASGVIALAWLLHCHRTRLKRIVKESRQRHLENFRIRAQHLAANWSR IRTSRRTIIHIPSLGYSQYVRKHIFDLDVEQNMQLGRLCDIIDANVNVIYICSHPMTDEL KLYYRKLLSLQAAVKTGYYGDRTDLQNRFRIITPEAVNVFTKHHMCLATHLMYSPKAIKR IKNLIRGEEAYIVGGILHRDDLAVADMLNVPILGSEPELVHLYSAKSGSKRIFDNANVPM PPGIYDIYTYQQMIEQLSQLVTDNLGIRRWVFKMNTEFGGNGTAFCDIPSHLQCYNWVLK ERNRFGHEDWKKKWAQDRQGTVFILYESLKRYKLGMLTIGVDLQGVLMTFARNLFIIHQE ISAPDMQGETNFKTTIDDIETILGVTEENKMIFVREKQSKEEEDNLYEPVEIHSEIV >ENSMUSP00000131828.1 pep:known chromosome:GRCm38:9:63421835:63602417:-1 gene:ENSMUSG00000037801.13 transcript:ENSMUST00000171243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqch description:IQ motif containing H [Source:MGI Symbol;Acc:MGI:1925500] MAQAQEDSDPIGSILIQVHEDLHQLKEKLVKFSAEETRSTLDIQNLETAIQRTEMGLKIH IDKYLGVVNQEVLMAPVKKSLESPVTSKWSIPTVIDQKSFIFPMDSDFWRPQKHRGSLLH GLRRAKDKVQRLIKGSTISNLTVLPPSHRTDPHFIPIPVLQKDASKGVLSMIERGLIPPT ARITFQNPPIKPQALPLHSFDEHRKVPMEASFPLALKPRPVPEEVEEPSHQIEIPKGRAK RSRGPLRRKGMKIRTPSKTRKSPWDYIFSVYNGCVDRTAPDFLAFKSRFKLIWGSIFSFL EQIEKFLKDYAISEAKIKGKSLVSLLPEFELKNKLTRNDVLAVLENPVHIQMLLNLPGQR YKGQDGKAEAATKIQATWKSYKARSSFISYRQKKWASGVIALAWLLHCHRTRLKRIVKES RQRHLENFRIRAQHLAANWSRIRTSRRTIIHIPSLGYSQYVRKHIFDLDVEQNMQLGRLC DIIDANVNVIYICSHPMTDELKLYYRKLLSLQAAVKTGYYGDRTDLQNRFRIITPEAVNV FTKHHMCLATHLMYSPKAIKRIKNLIRGEEAYIVGGILHRDDLAVADMLNVPILGSEPEL VHLYSAKSGSKRIFDNANVPMPPGIYDIYTYQQMIEQLSQLVTDNLGIRRWVFKMNTEFG GNGTAFCDIPSHLQCYNWVLKERNRFGHEDWKKKWAQESALVKISEELAGILAQHVQLVN EKRFPTWRKFLHMFLTQGGVIEAYPPADSVTNLTVDMLIEPDGEVRVLSMGDQLHADGPF ISSGTTMPQTSVDPQVLNSLCLQIGNICKEKDVVGYFSIDLVTFIDPSTLEQQVWTTGLN LSYSDQLAMTQLTLYLTNGHLNCSLSTLEVPRFTEDSERERKRLSIQAELAPETSRYAVM STQLKHDNLSLIFYYVFLQMCKAHGIGYDLEDRQGTVFILYESLKRYKLGMLTIGVDLQG VLMTFARNLFIIHQEISAPDMQGETNFKTTIDDIETILGVTEENKMIFVREKQSKEEEDN LYEPVEIHSEIV >ENSMUSP00000133193.1 pep:known chromosome:GRCm38:10:24100878:24101912:-1 gene:ENSMUSG00000100004.1 transcript:ENSMUST00000170267.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar8c description:trace amine-associated receptor 8C [Source:MGI Symbol;Acc:MGI:3527452] MTSNFSQPALQLCYENTNGSCIKTPYSPGPRVILYMVYGFGAVLAVCGNLLVVISVLHFK QLHSPANFLIASLASADFLVGISVMPFSMVRSIESCWYFGDAFCSLHSCCDVAFCYSSAL HLCFISVDRYIAVTDPLVYPTKFTVSVSGICISISWILPLVYSSAVFYTGISAKGIESLV SALNCVGGCQVVVNQDWVLISFLLFFIPTVVMIILYSKIFLVAKQQAVKIETSVSGNRGE SSSESHKARVAKRERKAAKTLGVTVVAFMVSWLPYTIDALVDAFMGFITPAYVYEICCWS AYYNSAMNPLIYAFFYPWFRKAIKLILSGKILKGHSSTTNLFSE >ENSMUSP00000025702.7 pep:known chromosome:GRCm38:19:6119399:6128304:-1 gene:ENSMUSG00000024787.13 transcript:ENSMUST00000025702.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx15 description:sorting nexin 15 [Source:MGI Symbol;Acc:MGI:1916274] MSRQAKDDFLRHYTVSDPRTHPKGYTEYKVTAQFISKKDPEDIKEVVVWKRYSDFRKLHG DLAYTHRNLFRRLEEFPAFPRAQVFGRFEASVIEERRKGAEDLLRFTVPIPALNNSPQLK EFFRGGEVTRPSEVSRDLRILPPPLIPTPPPDEARLLQPLPAERRGQEELEVPVDPLPSS PAQEALDLLFSCDSTEEASSSLARGPLSEAELALFDPYSKEESTGPSPTHTGELAAIEVE SKRLDQEPWEPGGQEEEEAEDGEPAPAYLGQATELITQALRNEKAGAYAAALQGYQDGVH ILLQGVSGDPSPARREGVKKKAAEYLKRAEMLHTHLP >ENSMUSP00000122740.1 pep:known chromosome:GRCm38:19:6119852:6128147:-1 gene:ENSMUSG00000024787.13 transcript:ENSMUST00000154601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx15 description:sorting nexin 15 [Source:MGI Symbol;Acc:MGI:1916274] MSRQAKDDFLRHYTVSDPRTHPKGYTEYKVTAQFISKKDPEDIKEVVVWKRYSDFRKLHG DLAYTHRNLFRRLEEFPAFPRAQVFGRFEASVIEERRKGAEDLLRFTVPIPALNNSPQLK EFFRGGEVTRPSEVSRDLRILPPPLIPTPPPDEARLLQPLPAERRGQEELEVPVDPLPSS PAQEALDLLFSCDSTEEASSSLARGPLSEAELALFDPYSKEGDPSPARREGVKKKAAEYL KRAEMLHTHLP >ENSMUSP00000114189.1 pep:known chromosome:GRCm38:19:6121927:6128165:-1 gene:ENSMUSG00000024787.13 transcript:ENSMUST00000138931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx15 description:sorting nexin 15 [Source:MGI Symbol;Acc:MGI:1916274] MSRQAKDDFLRHYTVSDPRTHPKGYTEYKVTAQVVVWKRYSDFRKLHGDLAYTHRNLFRR LEEFPAFPRAQVFGRFEASVIEERRKGAEDLLRFTVPIPALNNSPQLKEFFRG >ENSMUSP00000058720.4 pep:known chromosome:GRCm38:2:19462837:19553914:-1 gene:ENSMUSG00000026734.9 transcript:ENSMUST00000062060.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921504E06Rik description:RIKEN cDNA 4921504E06 gene [Source:MGI Symbol;Acc:MGI:1918087] MAEAETETEEWTKTRGCRKILQTLEGAIEQFKFHSRLAISDDLKVGFFTSDHATQTDCSE VFPLKDLTQSTEKLMRIITSLHVDFGFLKDLVQLKFEERLKEESWKIVGMLCDKMLEMKR HYQQGEDIMRKSFQQQLCDAIAIIKGMYQKYFEIEDEKAALQDADDVKLNVLRRKLKEKE EIIKNLKNELELYEEFGFKKVDSFAKESSSPKPISEKELLDCRAENERLIQIIAELEEES RTAINENAMLEDEVMYLKEISDQDQRTIQKLMDSRERLRYELDCEKLAIQDMISRQKDDI EIKRKFASISTYHGGKRGTMSKGREVSIYATHQTREGTHFLRPPLASFSVSPKMKRKFSS KKYINTAAAPATTATETTVTVPATAEIFTHLLEKPSEKHVTFLLPSMVPEELFLKYQLVK EEEKKVLENQVEILKNALEDEGKRLERFKKDADQANKNWEKKFLILKNSFHVLKNEMFTR QTLYRQYAMTGDTSFNYIKVKPLYVHSTVNMAEPSSPPNVPHPPMVDPSNENTRSDQVFP GVTSDSRDNTDSWNREDHFR >ENSMUSP00000043552.5 pep:known chromosome:GRCm38:10:24108488:24109534:-1 gene:ENSMUSG00000037424.6 transcript:ENSMUST00000041180.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar9 description:trace amine-associated receptor 9 [Source:MGI Symbol;Acc:MGI:3527454] MTSDFSPEPPMELCYENVNGSCIKSSYAPWPRAILYGVLGLGALLAVFGNLLVIIAILHF KQLHTPTNFLVASLACADFLVGVTVMPFSTVRSVESCWYFGESYCKFHTCFDTSFCFASL FHLCCISIDRYIAVTDPLTYPTKFTVSVSGLCIALSWFFSVTYSFSIFYTGANEEGIEEL VVALTCVGGCQAPLNQNWVLLCFLLFFLPTVVMVFLYGRIFLVAKYQARKIEGTANQAQA SSESYKERVAKRERKAAKTLGIAMAAFLVSWLPYIIDAVIDAYMNFITPAYVYEILVWCV YYNSAMNPLIYAFFYPWFRKAIKLIVSGKVFRADSSTTNLFSEEAGAG >ENSMUSP00000055035.5 pep:known chromosome:GRCm38:11:99936291:99937225:1 gene:ENSMUSG00000051481.5 transcript:ENSMUST00000058761.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap31-2 description:keratin associated protein 31-2 [Source:MGI Symbol;Acc:MGI:3650789] MTHTCQPCCCKTTSCRTSSSSESSSESSCPVFICCAPSWCSTPCCCKSICCHSTKTVNSC SQLCCPPTCCDPASCDSNCCKPTCVTICCSTPCCQPSCCVPTCCQPSLFQLCCQPTCCET SCCKTISFKPSCVIIGCSTPCCQPCCVCPSPTDDQLPKEQSHAPARAGMPCVHEATS >ENSMUSP00000117209.1 pep:known chromosome:GRCm38:5:3928178:3960989:1 gene:ENSMUSG00000040407.17 transcript:ENSMUST00000143365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap9 description:A kinase (PRKA) anchor protein (yotiao) 9 [Source:MGI Symbol;Acc:MGI:2178217] MEDEERQRKLAAGKAKLARFRQRKAQYDGDIPKKQKKKRTSSSKHDSSLHTDQQSGELCS ESSQRVDLAGNPDCSGPERKHGQVFSAEPESEISTTADECSSEINGCNSVMKPRKPTDPL REEEFSLDDSSSEQGAQSSQTCLQMVEKELAEKQHDIEELTQELEEMRASFGTEGLKQLQ EFEAAIKQRDGIITQLTANLQQARREKDDTMVEFLELTEQSQKLQIQFQHLQANETLQNS TLSRTATDLLQAKRQIFTQQQQLQDYQKKEEDLQAQISFLQEKLRAFEMEKDRKIENLNA KEIQEKQALIDELNTRVVEEEKKTVELKNKVTTADELLGGLHEQLTQRNQEIQSLKLELG NSQQNERKCSEEIKELMRTVEELQKRNLKDSWLETSAVRRVEQETQRKLSHLQAELDEMY GKQIVQMKQELINQHMSQIEELKSQHKREMENTLKSDTNAAISKEQVNLMNAAINELNVR LQETHAQKEELKGELGVVLGEKSALQSQSNDLLEEVRFLREQVQKARQTIAEQENRLSEA RKSLSTVEDLKAEIVAASESRKELELKHEAEITNYKIKLEML >ENSMUSP00000046129.8 pep:known chromosome:GRCm38:5:3928274:4081310:1 gene:ENSMUSG00000040407.17 transcript:ENSMUST00000044492.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap9 description:A kinase (PRKA) anchor protein (yotiao) 9 [Source:MGI Symbol;Acc:MGI:2178217] MEDEERQRKLAAGKAKLARFRQRKAQYDGDIPKKQKKKRTSSSKHDSSLHTDQQSGELCS ESSQRVDLAGNPDCSGPERKHGQVFSAEPESEISTTADECSSEEEEFSLDDSSSEQGAQS SQTCLQMVEKELAEKQHDIEELTQELEEMRASFGTEGLKQLQEFEAAIKQRDGIITQLTA NLQQARREKDDTMVEFLELTEQSQKLQIQFQHLQANETLQNSTLSRTATDLLQAKRQIFT QQQQLQDYQKKEEDLQAQISFLQEKLRAFEMEKDRKIENLNAKEIQEKQALIDELNTRVV EEEKKTVELKNKVTTADELLGGLHEQLTQRNQEIQSLKLELGNSQQNERKCSEEIKELMR TVEELQKRNLKDSWLETSAVRRVEQETQRKLSHLQAELDEMYGKQIVQMKQELINQHMSQ IEELKSQHKREMENTLKSDTNAAISKEQVNLMNAAINELNVRLQETHAQKEELKGELGVV LGEKSALQSQSNDLLEEVRFLREQVQKARQTIAEQENRLSEARKSLSTVEDLKAEIVAAS ESRKELELKHEAEITNYKIKLEMLEKEKNAVLDRMAESQEAELERLRTQLLFSHEEELSK LKEDLEVEHRINIEKLKDNLGIHYKQQIDGLQNEMNRKMESMQCETDNLITQQNQLILEN SKLRDLQECLVNSKSEEMNLQINELQKEIEILKQEEKEKGTLEQEVQELQLKTEQLEKQL KEKEDDLQEKCAQLDAENNILKEEKRVLEDKLKMYSPSEQEERSIAVDPSTSKSADSRWQ KEVAMLRKETEDLQQQCLYLNEEIEKQRNTFAFAEKNFEVNYQELQREYTCLLKIRDDLE ATQTKQALEYESKLRALEEELLSKRGNPAAPKGKSSGIFPSETLEIGEVVEKDTTELMEK LEVTKREKLELSEKVSGLSEQLKQTHCTINSLSAEVRALKQEKEQLLLRCGELELLANPS GTENAAVCPVQMSSYQAGLVMGKVGDSGGSISKISKDLAEESKPMIEDKIPFKESGREQL LLPTRAQKPSHATVEPCESEKLQQELHALKAEQDDLRLQMEAQRICLFVVYSTHADQVRA HMEKEREEALCSLKDELISAQQKKIDELHKMHQCQLQNFKIQETGDEPLQVLIERLQQAV SEKCFHISKTLNNVFDECYTPLKCEMNIEEKENSGVYTSQNQSPELQEYRYEVQDFQESM QVLLGKVTEECRKLSGLQTRLGKIHEQQTDGVALEFAEQNAAEEEAGLLSGCSQSALQST DVSLESKVSSLPASEKSRECERQVQELQSPVAAGQLQLTETEANHRAETESLQQRLEAVS EAPVQPSLSIDSVVFKGSGAQKPVYCGSCLRECVDGTAKFSDRFEVRQETNMVNLMEKQY QERLEEEIAKVIVSMSIAFAQQTELSRLSEGKENTIQSEQAHTLCSQNKHQLNDITSQSQ VGLQTFEATDKNFKEEFKPLSKELGEYRKAVPLSSHDDLDDILKSEEHGLAISEEIFSKD ETFIVRKSMHDEVLVSSMDTSRQLILNEQLEDMRQELVRQYEEHQQATEMLRQAHMQQME RQREDQEQLQEEIKRLNEQLAQKSSIDTEHVVSERERVLLEELEALKQLPLAGRKELCCE LRHSSTQTQDGHDDQEVEEQTLKDKTLERSPEDALLDRNLSNERYALKKANNRLLKILLE VVKTTSAAEETIGRHVLGILDRSSKGQTASSLLWRSEADASATTCAPEDCARAMDESIPS YPGTAIATHDSIWSKVTEEGAELSQRLVRSGFAGPVIDPENEELMLNISSRLQAAVEKLL EAISETNTQLEHAKVTQTELMRESFRQKQEATESLHCLEELRERLQEESRAREQLAEELN KAESVIDGYSDEKTLFERQIQEKTDIIEHLEQEVLCMNNRLQELESDQRRVEEERQLLCR QREAMRAEAGPVEQQFLQETEKLMKEKLEVQCQAEKVRGDLQKQVKALEIDVEEQVSRFI ELEQEKNAELTDLRQQSQALEKQLEKMRKFLDEQAIDREHERDVFQQEIQKLEHQLKAVP RIQPVSEHQAREVEQLTNHLKEKTDRCSELLLSKEQLQRDIQERNEEIEKLECRVRELEQ ALLASAEPFPKVEDQKRSGAVEADPELSLEVQLQVERDATDRKQKEITNLEEQLEQFREE LENKNDEVQELLMQLEIQRKESTTRLQELQQENRLFKDEIEKLGFAMKESDSVSTRDQPM LFGKFAQLIQEKEIEIDRLNEQFIKLQQQLKLTTDNKVIEEQKEQIQDLETQIERLMSER EHEKKQREEEVEQLTGVVEKLQQEVVSTEQQREGARTLPEDEESFKHQLDKVTAEKLVLE QQVETTNQVMTHMNNVLKEINFKMDQITQSLCNLNKECASNEELPSLPKESVHMTVHELG SDNLQPEDAPAQDVTKPLEKQTSLTRLQKSPEASRTQEIESLASSVGAKDVELTQCREQT ETIQEQAQSETDRLQKKLTDLQRSLEKFAAALVSQVQMEAAQEYVPFHQEKQPVSSAPGS TDIQNANGLTGASTESLIPTVTLRLAEVESRVAEVHSGTMSEKLVGIVGGNASETEKRVI ELQKLLEEAEERPEEGGEQSSRDGEVRESYMTSLQKDLGQVKDPLTEAKEKLSYSLEKEK RTGEQESREAPIPEPPSVEVGGCSGLTERTDKVSSSGNQTLQILLRDAAIQTDLQSESSQ EEVRDTINQLTKKMEHIQELHAAEILDMESRHILETESLKKEHYVAIQLLTKECETLKEM TQCLRCKEGSSIPELADSVAYQSREVYSSDSESDWGQSQGFDTAIEGREEGETSADLFPK KIKGLVKAVHSEGMQVLSLSSPLCDDGEDRSIQQLSESWLKERQAYLNTISSLKDLISKM QVRRETEVYDRCHLSDWRGELLLACQRVFIKERSVLLATFQTELTSLSTRDVDGLLNSLE QRIQEQGIEYHTAMDCLQKADRRSLLAEIEDLRAQINGGKMTLEREQGTEKSSQELLDCS MQQKQSLEMQLELSSLRDRAAELQEQLSSEKMVVAELKSELAQAKLELGTTLKAQHKRLK ELEAFRSEVKEKTDEIHFLSDTLAREQKNSLELQWALEKEKARSGHHEGREKEELEDLKF SLEDQKRRNTQLNLLLEQQKQLLNESQQKIESQKMLHDAQLSEEQGRNLGLQALLESEQV RIQEMKSTLDKERELYAQLQSREDGGQPPPALPSEDLLKELQKQLEEKHSRIVELLSETE KYKLDSLQTRQQMEKDRQVHQKTLQTEQEANTQGQKKMQELQSKVEELQRQLQEKRQQVY KLDLEGKRLQGLMQEFQKQELEPEEKPGSRGLVDQNLNEPATWNFTDDRTRNWVLQQKMG EAKDRNFTKLIEINGGELDHNHDLEMIRQTLQHVASKLQHVAQKACSRLQFETAGDDAFI WIQENIDGIILQLQKLTGQPGDEHSLGPPSSSCGSLTESLMRQNTELTRLINQLTEEKNT LRSIVIKLEELNRCYWHTGASRDCCSRFSFIDPADIEAIIASEKEVWNREKLSLQKALKR AEAKVYKLKAELRNDALLRNLGPDTDHAALQKIYNKYLRASSFRKALIYQKKYLLLLLGG FQECEDVTLGVLARMGGHLALKDSKTITNHPKAFSRFRSAVRVSIAISRMKFLVRRWQQV TSTSSININRDGFGLSPGIEKTDPFYHSPGGLQLYGEPRHTMYRSRFDLDYPRSLLPLQN RYPGTPGDLNSISMASSQLHQYNPDKSLTDYVTRLEALRRRLGAIQSGSTTQFHFGMRR >ENSMUSP00000143327.1 pep:known chromosome:GRCm38:5:3975616:3976531:1 gene:ENSMUSG00000040407.17 transcript:ENSMUST00000133952.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap9 description:A kinase (PRKA) anchor protein (yotiao) 9 [Source:MGI Symbol;Acc:MGI:2178217] XDGVALEFAEQNAAEEEAGLLSGCSQSALQSTDVSLESKVSSLPASEKSRECERQVQELQ SPVAAGQLQLTETEANHRAETESLQQRLEAVSEAPVQPRYAHAAVGQHPEGLF >ENSMUSP00000135836.1 pep:known chromosome:GRCm38:5:4064374:4065376:1 gene:ENSMUSG00000040407.17 transcript:ENSMUST00000176863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap9 description:A kinase (PRKA) anchor protein (yotiao) 9 [Source:MGI Symbol;Acc:MGI:2178217] LKELQKQLEEKHSRIVELLSETEKYKLDSLQTRQQMEKDRQVHQKTLQTEQEANTQGQKK MQELQSKVEELQRQLQEKRQQVYKLDLEGKRLQGLMQEFQKQELEPEEKPGSRGLVDQNL NEVIFCCFYSIYEPATWNFTDDRTRNWVLQQKMGEAKDRNFTKLIEINGGELDHNHDLEM IRQTLQHVASKLQHVAQK >ENSMUSP00000133522.1 pep:known chromosome:GRCm38:5:4065296:4070442:1 gene:ENSMUSG00000040407.17 transcript:ENSMUST00000147629.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Akap9 description:A kinase (PRKA) anchor protein (yotiao) 9 [Source:MGI Symbol;Acc:MGI:2178217] XDHNHDLEMIRQTLQHVASKLQHVAQKACSSLKQPVMMHLFGFRRILMELFCNYRN >ENSMUSP00000073976.4 pep:known chromosome:GRCm38:13:72628820:72634198:1 gene:ENSMUSG00000001504.10 transcript:ENSMUST00000074372.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx2 description:Iroquois related homeobox 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1197526] MSYPQGYLYQAPGSLALYSCPAYGASALAAPRSEELARSASGSAFSPYPGSAAFTAQAAT GFGSPLQYSADAAAAAAAGFPSYVGSPYDTHTTGMTGAISYHPYGSAAYPYQLNDPAYRK NATRDATATLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKMT WAPRNKSEDEDEDEGDASRSKEESSDKAQDGTETSAEDEGISLHVDSLTDHSCSAESDGE KLPCRAGDALCESGSECKDKFEDLEDEEDEEDECERDLAPPKPVTSSPLTGVEAPLLSPA PEAAPRGGSGGKTPLGSRTSPGAPPPASKPKLWSLAEIATSDLKQPSLGPGCGPPGLPAA AAPASTGAPPGGSPYSASPLLGRHLYYTSPFYGNYTNYGNLNAALQGQGLLRYNTAASSP GETLHAMPKAASDTGKAGSHSLESHYRPPGGGYEPKKDTSEGCAVVGAGVQTYL >ENSMUSP00000127963.1 pep:known chromosome:GRCm38:13:72629825:72630880:1 gene:ENSMUSG00000001504.10 transcript:ENSMUST00000167067.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx2 description:Iroquois related homeobox 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1197526] MTGAISYHPYGSAAYPYQLNDPAYRKNATRDATATLKAWLNEHRKNPYPTKGEKIMLAII TKMTLTQVSTWFANARRRLKKENKMTWAPRNKS >ENSMUSP00000126691.1 pep:known chromosome:GRCm38:13:72632597:72633770:1 gene:ENSMUSG00000001504.10 transcript:ENSMUST00000172353.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx2 description:Iroquois related homeobox 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1197526] GCAVVGAGVQTYL >ENSMUSP00000029625.7 pep:known chromosome:GRCm38:3:83766321:83774316:1 gene:ENSMUSG00000027996.13 transcript:ENSMUST00000029625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfrp2 description:secreted frizzled-related protein 2 [Source:MGI Symbol;Acc:MGI:108078] MPRGPASLLLLVLASHCCLGSARGLFLFGQPDFSYKRSNCKPIPANLQLCHGIEYQNMRL PNLLGHETMKEVLEQAGAWIPLVMKQCHPDTKKFLCSLFAPVCLDDLDETIQPCHSLCVQ VKDRCAPVMSAFGFPWPDMLECDRFPQDNDLCIPLASSDHLLPATEEAPKVCEACKTKNE DDNDIMETLCKNDFALKIKVKEITYINRDTKIILETKSKTIYKLNGVSERDLKKSVLWLK DSLQCTCEEMNDINAPYLVMGQKQGGELVITSVKRWQKGQREFKRISRSIRKLQC >ENSMUSP00000119870.1 pep:known chromosome:GRCm38:5:112337392:112343020:-1 gene:ENSMUSG00000029346.12 transcript:ENSMUST00000146510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrd description:SRR1 domain containing [Source:MGI Symbol;Acc:MGI:1917368] AAAALEPWSAVAPRRRKRAAGRRPRPGEGPRAEPEADGEAVLRRLREAEEDLRISDFCSS ALETITECLRKQLEQLQPLTEALGRLHLGSSLPSASQEPLASSASHVKCVCYGLGTFASC PTARIQLAFMLLFLEKCQVPRSHCWVYDPLFSQTEVSVLTSLGVTVLSENEEGKRSVQGQ PTVFYMPHCGTALYNNLLWSNWSADALSRVLIIGNSFRGLEERLLARILQENYPYIAKIL KGLEEVPLPQTPQYTDTFNDTSVHWFPLLKLEGLPGDLWASQEEPDYQDCEDLEIIRKAT DRSAGVTGQFSPPGPGATVHTTPDPLPGQEYRPTGDTESHPALVAWSPK >ENSMUSP00000031289.6 pep:known chromosome:GRCm38:5:112337392:112343040:-1 gene:ENSMUSG00000029346.12 transcript:ENSMUST00000031289.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrd description:SRR1 domain containing [Source:MGI Symbol;Acc:MGI:1917368] MAAAALEPWSAVAPRRRKRAAGRRPRPGEGPRAEPEADGEAVLRRLREAEEDLRISDFCS SALETITECLRKQLEQLQPLTEALGRLHLGSSLPSASQEPLASSASHVKCVCYGLGTFAS CPTARIQLAFMLLFLEKCQVPRSHCWVYDPLFSQTEVSVLTSLGVTVLSENEEGKRSVQG QPTVFYMPHCGTALYNNLLWSNWSADALSRVLIIGNSFRGLEERLLARILQENYPYIAKV SDRIAGPGF >ENSMUSP00000066111.5 pep:known chromosome:GRCm38:14:64086234:64097670:1 gene:ENSMUSG00000052099.13 transcript:ENSMUST00000063785.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss51 description:protease, serine 51 [Source:MGI Symbol;Acc:MGI:1921465] MGIKTFSDTNLERKQVQKIIAHRDYKPPDLDSDLCLLLLATPIQFNKDKMPICLPQRENS WDRCWMSEWAYTHGHGSAKGSNMHLKKLRVVQISWRTCAKRVTQLSRNMLCAWKEVGTNG KCQGDSGAPMVCANWETRRLFQVGVFSWGITSGSRGRPGIFVSVAQFIPWILEETQREGR ALALSKASKSLLAGSPRYHPILLSMGSQILLAAIFSDDKSNC >ENSMUSP00000132814.1 pep:known chromosome:GRCm38:14:64093696:64097666:1 gene:ENSMUSG00000052099.13 transcript:ENSMUST00000165710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss51 description:protease, serine 51 [Source:MGI Symbol;Acc:MGI:1921465] MVSSHGKLELVAVNVTVVMGIKTFSDTNLERKQVQKIIAHRDYKPPDLDSDLCLLLLATP IQFNKDKMPICLPQRENSWDRCWMSEWAYTHGHGSAKGSNMHLKKLRVVQISWRTCAKRV TQLSRNMLCAWKEVGTNGKCQGDSGAPMVCANWETRRLFQVGVFSWGITSGSRGRPGIFV SVAQFIPWILEETQREGRALALSKASKSLLAGSPRYHPILLSMGSQILLAAIFSDDKSNC >ENSMUSP00000126778.1 pep:known chromosome:GRCm38:14:64093726:64097109:1 gene:ENSMUSG00000052099.13 transcript:ENSMUST00000170709.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss51 description:protease, serine 51 [Source:MGI Symbol;Acc:MGI:1921465] MGIKTFSDTNLERKQVQKIIAHRDYKPPDLDSDLCLLLLATPIQFNKDKMPICLPQRENS WDRCWMSEWAYTHGHGSAKGSNMHLKKLRVVQIS >ENSMUSP00000130368.1 pep:known chromosome:GRCm38:2:91082390:91114366:1 gene:ENSMUSG00000002111.8 transcript:ENSMUST00000169852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spi1 description:spleen focus forming virus (SFFV) proviral integration oncogene [Source:MGI Symbol;Acc:MGI:98282] MGTRNESHRMTWLLTIQSYTNVQCMTTTPSWAAMEKAIAITTGISPHTMSTTTSLRTSLR TTSQSCRVCSPRSYSSSIATWSWNRCTSSILPWCHPTPASVTRFPTCPGCASLIKPCPQP TSRAQMRRRVRGRALPWRCLMEK >ENSMUSP00000002180.7 pep:known chromosome:GRCm38:2:91096096:91115756:1 gene:ENSMUSG00000002111.8 transcript:ENSMUST00000002180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spi1 description:spleen focus forming virus (SFFV) proviral integration oncogene [Source:MGI Symbol;Acc:MGI:98282] MLQACKMEGFSLTAPPSDDLVTYDSELYQRPMHDYYSFVGSDGESHSDHYWDFSAHHVHN NEFENFPENHFTELQSVQPPQLQQLYRHMELEQMHVLDTPMVPPHTGLSHQVSYMPRMCF PYQTLSPAHQQSSDEEEGERQSPPLEVSDGEADGLEPGPGLLHGETGSKKKIRLYQFLLD LLRSGDMKDSIWWVDKDKGTFQFSSKHKEALAHRWGIQKGNRKKMTYQKMARALRNYGKT GEVKKVKKKLTYQFSGEVLGRGGLAERRLPPH >ENSMUSP00000128457.1 pep:known chromosome:GRCm38:2:91096780:91113315:1 gene:ENSMUSG00000002111.8 transcript:ENSMUST00000132741.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spi1 description:spleen focus forming virus (SFFV) proviral integration oncogene [Source:MGI Symbol;Acc:MGI:98282] MLQACKMEGFSLTAPLRLDTFLSRQPSDDLVTYDSELYQRPMHDYYSFVGSDGESHSDHY WDFSAHHVHNNEFENFP >ENSMUSP00000107860.1 pep:known chromosome:GRCm38:2:70096298:70183267:1 gene:ENSMUSG00000042064.13 transcript:ENSMUST00000112241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo3b description:myosin IIIB [Source:MGI Symbol;Acc:MGI:2448580] MDEEIEAEYNILQFLPSHPNVVKFYGMFYKADRCVGGQLWLVLELCNGGSVTELVKGLLR CGKRLDEAVISYILYGALLGLQHLHCHRIIHRDVKGNNILLTTEGGVKLVDFGVSAQLTS TRLRRNTSVGTPFWMAPEVIACEQQYDSSYDARCDVWSLGITAIELGDGDPPLFEMHPVK MLFKIPSI >ENSMUSP00000107862.1 pep:known chromosome:GRCm38:2:70083074:70429198:1 gene:ENSMUSG00000042064.13 transcript:ENSMUST00000112243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo3b description:myosin IIIB [Source:MGI Symbol;Acc:MGI:2448580] MMLGLESLPDPMETWEIIETIGKGTYGKVYKVANKRDGSLAAVKVLDPVSDMDEEIEAEY NILQFLPSHPNVVKFYGMFYKADRCVGGQLWLVLELCNGGSVTELVKGLLRCGKRLDEAV ISYILYGALLGLQHLHCHRIIHRDVKGNNILLTTEGGVKLVDFGVSAQLTSTRLRRNTSV GTPFWMAPEVIACEQQYDSSYDARCDVWSLGITAIELGDGDPPLFEMHPVKMLFKIPRNP PPTLLHPDSWCEEFNHFISQCLIKDFEKRPSVTHLLDHPFIKGTQGKVLCLQKQLAKVLQ DQKHRNPVAKTRHERMHTGRPHRVEDAGKCCLEDDLVNLEVLDEDTIIYWLQKRYADALI YTYVGDILIALNPFQNLSIYSPQFSRLYHGVKRSSNPPHIFASADNAYQCLVTFSKDQCI VISGESGSGKTESAHLIVQHLTFLGKADNQTLRQKILQVNSLVEAFGNARTAINDNSSRF GKYLEMMFTPTGAVMGARISEYLLEKSRVIQQAAGEKNFHIFYYIYAGLYHQKKLAEFRL PEEKPPRYIAGETERVMQDITSKESYRTQFEAIQHCFKIIGFADKEVHSVYRILAGILNI GSIEFAAISSQHQTDKSEVPNPEALENAACVLCISSEELQEALTSHCVVTRGETIVRANT VDRAEDVRDAMSKALYGRLFSWIVNRINTLLQPDKNICSAEDRMNVGILDIFGFEDFQRN SFEQLCINIANEQIQYYFNQHVFALEQMEYKNEGVDAVLVQYEDNRPLLDMFLQKPLGLL ALLDEESRFPQGTDQTLVDKFEDNLRCKFFWRPKGVELCFGIQHYAGPVLYDASGVLEKN RDTLPADVVVVLRTSENKLLQQLFSIPLTKTGNLAQTRAKITASSRSLPPHFSAGRAKVD TLEVIRHPEETTNMKRQTMASYFRYSLMDLLSKMVVGQPHFIRCIKPNDDRKALQFSQDR VLAQLRSTGILETVSIRRQGYSHRIFFEEFVKRYYYLAFRAHQTPPANKESCVAILEKSR LDHWVLGKTKVFLKYYHVEQLNLLLREVMGRVVMLQAYTKGWLGARRYKRAKEKREKGAI TIQSAWRGYDARRKLKQRSRRRSESEAHIHTVLQTTPDQKYCPDSGGESNRGHEETSRNC PAEADTDGHPQAQSPPTGCDVTSGHADTAAGYTVAELSVAGTDVSPSLVYHTASAHQRLS PCEDSLKPGSEEGLSQKQRAPRRRCQQPKMLSSPEDTMYYNQLNGTLEYQGSQRKPRKLG QIKVLDGEDQYYKCLSPGACAPEETHSVHPFFFSSSPREDPFAQH >ENSMUSP00000055362.3 pep:known chromosome:GRCm38:2:70039126:70429195:1 gene:ENSMUSG00000042064.13 transcript:ENSMUST00000060208.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo3b description:myosin IIIB [Source:MGI Symbol;Acc:MGI:2448580] MAAASEVSGEHTGDKTGKHLYGLFHYNPMMLGLESLPDPMETWEIIETIGKGTYGKVYKV ANKRDGSLAAVKVLDPVSDMDEEIEAEYNILQFLPSHPNVVKFYGMFYKADRCVGGQLWL VLELCNGGSVTELVKGLLRCGKRLDEAVISYILYGALLGLQHLHCHRIIHRDVKGNNILL TTEGGVKLVDFGVSAQLTSTRLRRNTSVGTPFWMAPEVIACEQQYDSSYDARCDVWSLGI TAIELGDGDPPLFEMHPVKMLFKIPRNPPPTLLHPDSWCEEFNHFISQCLIKDFEKRPSV THLLDHPFIKGTQGKVLCLQKQLAKVLQDQKHRNPVAKTRHERMHTGRPHRVEDAGKCCL EDDLVNLEVLDEDTIIYWLQKRYADALIYTYVGDILIALNPFQNLSIYSPQFSRLYHGVK RSSNPPHIFASADNAYQCLVTFSKDQCIVISGESGSGKTESAHLIVQHLTFLGKADNQTL RQKILQVNSLVEAFGNARTAINDNSSRFGKYLEMMFTPTGAVMGARISEYLLEKSRVIQQ AAGEKNFHIFYYIYAGLYHQKKLAEFRLPEEKPPRYIAGETERVMQDITSKESYRTQFEA IQHCFKIIGFADKEVHSVYRILAGILNIGSIEFAAISSQHQTDKSEVPNPEALENAACVL CISSEELQEALTSHCVVTRGETIVRANTVDRAEDVRDAMSKALYGRLFSWIVNRINTLLQ PDKNICSAEDRMNVGILDIFGFEDFQRNSFEQLCINIANEQIQYYFNQHVFALEQMEYKN EGVDAVLVQYEDNRPLLDMFLQKPLGLLALLDEESRFPQGTDQTLVDKFEDNLRCKFFWR PKGVELCFGIQHYAGPVLYDASGVLEKNRDTLPADVVVVLRTSENKLLQQLFSIPLTKTG NLAQTRAKITASSRSLPPHFSAGRAKVDTLEVIRHPEETTNMKRQTMASYFRYSLMDLLS KMVVGQPHFIRCIKPNDDRKALQFSQDRVLAQLRSTGILETVSIRRQGYSHRIFFEEFVK RYYYLAFRAHQTPPANKESCVAILEKSRLDHWVLGKTKVFLKYYHVEQLNLLLREVMGRV VMLQAYTKGWLGARRYKRAKEKREKGAITIQSAWRGYDARRKLKQRSRRRSESEAHIHTV LQTTPDQKYCPDSGGESNRGHEETSRNCPAEADTDGHPQAQSPPTGCDVTSGHADTAAGY TVAELSVAGTDVSPSLVYHTASAHQRLSPCEDSLKPGSEEGLSQKQRAPRRRCQQPKMLS SPEDTMYYNQLNGTLEYQGSQRKPRKLGQIKVLDGEDQYYKCLSPGACAPEETHSVHPFF FSSSPREDPFAQH >ENSMUSP00000055130.8 pep:known chromosome:GRCm38:5:37338499:37425055:1 gene:ENSMUSG00000050248.11 transcript:ENSMUST00000056365.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evc2 description:Ellis van Creveld syndrome 2 [Source:MGI Symbol;Acc:MGI:1915775] MGATGPTGAGGRATWVLAGNILAAALVLGSGPRALPPSFPALGPGSPSRPGPAGPWASSQ YSDISREARGPFENGVIFQKCSLVSGQSESQTMHVQLSVNNTRTPTSVNLSNLLVLDEIT GLAVKESPGNNTQDGIQTFRKSFLQVGECYSVSYTASLDPTALGTGESLDLPARLIFQSP SQNRTQLKAPFTITVEEKIMVLPNHGLHAAGFIAAFLISLLLTVAALFFLARGRCLQGGM LSRCRIQHPENKLEPSPFTSANGVSQDLSLNDQVVAILTSEEPGSMLQALEELEIATLNQ ADADLEACRNQISKDIIALLMKNLVSGGHLSPQTERKMAAAFKKQFLLLENEIQEEYERK MLALTAECDLEMRKKTENQYQREMVAMEEAEEVLKRVSERSAAECSSLLRTLHGLEQEDM QRSLTLDQAEDFAQAHRQLAVFQRNELHSIVYTQIQSAVSKGELRPEVAKMMLQDYSKTQ ESVEELMDFFQATKRYHLSKRFGHREYLVQRLQAMETRVQGLLNTAATQLTSLIHKHERA GYLDEDQMETLLERAQTETFSIKQKLDNDLKQEKKRLHQRLITRRRRELLQKHKEQQKEQ VSLGEASSTAEDAVQYLHQWRSVMAEHTAALEELQERLDQAALDDLRVLTVSLSEKATEE LRRLQSTAMTQELLKRSAPWLFLQQILEEHSRESAARTTQLEAEERERGQELVQGVRQRL QQDALEAYTEEQAELRHWEHLVFMKLCCAAISLSEEDLLRVRQEAQGCFSQLDRSLALPR VRARVLQQQAQMAWREAEFRKLDQALAAPELQSKARKLRSKGRGKADLLKKNLEDKIRLF EERAPVELADQVRGELLQERVQRLEAQEAHFAESLVALQFQKVARAAETLSVYTALLSIQ DLLLGELSESETLTKSACVQILESHRPELQELQELERKLEDQLVQQEEAEQQRVLESWQR WAADGPGLSEPEEMDPERQVSAILRQALNKGQKLLEQHQQRVREEWQNGAVLEDSLESIE ADTMASLCSQGLRLVSYLSRMTMVPGSTLLRLLSVVLPAASQPQLLALLDAVSEKHSDHT AENESSGEQAQAEQSKRRKHQVWWKVLDSRFRADLVSQGLERMLWARQKKERILKKIYVP VQERVMFPGKGSWPHLSLEPIGELAPIPITGADAMDILNTGEKIFVFRSPREPEISLRVP PRRKKNFLNAKKANRALGLD >ENSMUSP00000061296.3 pep:known chromosome:GRCm38:X:107089278:107104974:1 gene:ENSMUSG00000050921.12 transcript:ENSMUST00000053375.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry10 description:purinergic receptor P2Y, G-protein coupled 10 [Source:MGI Symbol;Acc:MGI:1926076] MGSNSTSSAESNCNATYLPFQYSLYATTYIFIFIPGLLANSAALWVLCRFISKKNKAIIF MINLSVADLAHILSLPLRIYYYINRHWPFQRALCLLCFYLKYLNMYASIFFLTCISLQRC LFLLKPFRARNWKRRYDVGISAVIWIVVGTACLPFPILRNAGLANSTDSCFADLGYKQMD AVVLVTMVVIAELAGFVIPVITIACCTWKTTVSLKHPPIAFQGISERKKALRMVFMCAAV FVICFTPYHINFIFYTMVKESIITSCPTVKSTLYFHPFSLCLASLCCLLDPILYYFMASE FRDQLSRHGSSVTRSRLMSRESGSSMVN >ENSMUSP00000115201.1 pep:known chromosome:GRCm38:X:107088492:107104970:1 gene:ENSMUSG00000050921.12 transcript:ENSMUST00000150494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry10 description:purinergic receptor P2Y, G-protein coupled 10 [Source:MGI Symbol;Acc:MGI:1926076] XSLSVVLSHACRGLYYFLSAGYVLAAQSCTFLLSSLCKYTRLYPSSASGSHNSTVFLAKH TEIFKMGSNSTSSAESNCNATYLPFQYSLYATTYIFIFIPGLLANSAALWVLCRFISKKN KAIIFMINLSVADLAHILSLPLRIYYYINRHWPFQRALCLLCFYLKYLNMYASIFFLTCI SLQRCLFLLKPFRARNWKRRYDVGISAVIWIVVGTACLPFPILRNAGLANSTDSCFADLG YKQMDAVVLVTMVVIAELAGFVIPVITIACCTWKTTVSLKHPPIAFQGISERKKALRMVF MCAAVFVICFTPYHINFIFYTMVKESIITSCPTVKSTLYFHPFSLCLASLCCLLDPILYY FMASEFRDQLSRHGSSVTRSRLMSRESGSSMVN >ENSMUSP00000113507.1 pep:known chromosome:GRCm38:X:107089234:107104974:1 gene:ENSMUSG00000050921.12 transcript:ENSMUST00000118666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry10 description:purinergic receptor P2Y, G-protein coupled 10 [Source:MGI Symbol;Acc:MGI:1926076] MGSNSTSSAESNCNATYLPFQYSLYATTYIFIFIPGLLANSAALWVLCRFISKKNKAIIF MINLSVADLAHILSLPLRIYYYINRHWPFQRALCLLCFYLKYLNMYASIFFLTCISLQRC LFLLKPFRARNWKRRYDVGISAVIWIVVGTACLPFPILRNAGLANSTDSCFADLGYKQMD AVVLVTMVVIAELAGFVIPVITIACCTWKTTVSLKHPPIAFQGISERKKALRMVFMCAAV FVICFTPYHINFIFYTMVKESIITSCPTVKSTLYFHPFSLCLASLCCLLDPILYYFMASE FRDQLSRHGSSVTRSRLMSRESGSSMVN >ENSMUSP00000124223.1 pep:known chromosome:GRCm38:16:29579334:29654884:1 gene:ENSMUSG00000038084.16 transcript:ENSMUST00000160597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opa1 description:optic atrophy 1 [Source:MGI Symbol;Acc:MGI:1921393] MWRAGRAAVACEVCQSLVKHSSGIQRNVPLQKLHLVSRSIYRSHHPALKLQRPQLRTPFQ QFSSLTHLSLHKLKLSPIKYGYQPRRNFWPARLAARLLKLRYIILGSAVGGGYTAKKTFD EWKDMIPDLSDYKWIVPDFIWEIDEYIDLEKIRKALPSSEDLASLAPDLDKITESLSLLK DFFTAGSPGETAFRATDHGSESDKHYRKVSDKEKIDQLQEELLHTQLKYQRILERLEKEN KELRKLVLQKDDKGIHHRKLKKSLIDMYSEVLDVLSDYDASYNTQDHLPRVVVVGDQSAG KTSVLEMIAQARIFPRGSGEMMTRSPVKVTLSEGPHHVALFKDSSREFDLTKEEDLAALR HEIELRMRKNVKEGCTVSPETISLNVKGPGLQRMVLVDLPGVINTVTSGMAPDTKETIFS ISKAYMQNPNAIILCIQDGSVDAERSIVTDLVSQMDPHGRRTIFVLTKVDLAEKNVASPS RIQQIIEGKLFPMKALGYFAVVTGKGNSSESIEAIREYEEEFFQNSKLLKTSMLKAHQVT TRNLSLAVSDCFWKMVRESVEQQADSFKATRFNLETEWKNNYPRLRELDRNELFEKAKNE ILDEVISLSQVTPKHWEEILQQSLWERVSTHVIENIYLPAAQTMNSGTFNTTVDIKLKQW TDKQLPNKAVEVAWETLQEEFSRFMTEPKGKEHDDIFDKLKEAVKEESIKRHKWNDFAED SLRVIQHNALEDRSISDKQQWDAAIYFMEEALQGRLKDTENAIENMIGPDWKKRWMYWKN RTQEQCVHNETKNELEKMLKVNDEHPAYLASDEITTVRKNLESRGVEVDPSLIKDTWHQV YRRHFLKTALNHCNLCRRGFYYYQRHFIDSELECNDVVLFWRIQRMLAITANTLRQQLTN TEVRRLEKNVKEVLEDFAEDGEKKVKLLTGKRVQLAEDLKKVREIQEKLDAFIEALHQEK >ENSMUSP00000124739.1 pep:known chromosome:GRCm38:16:29579380:29630404:1 gene:ENSMUSG00000038084.16 transcript:ENSMUST00000160475.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Opa1 description:optic atrophy 1 [Source:MGI Symbol;Acc:MGI:1921393] MWRAGRAAVACEVCQSLVKHSSGIQRNVPLQKLHLVSRSIYRSHHPALKLQRPQLRTPFQ QFSSLTHLSLHKLKLSPIKYGYQPRRNFWPARLAARLLKLRYIILGSAVGGGYTAKKTFD EWKDMIPDLSDYKWIVPDFIWEIDEYIDLEKIRKALPSSEDLASLAPDLDKITESLSLLK DFFTAGPKLVSEVLEVSEALLLLGSPGETAFRATDHGSESDKHYRKVSDKEKIDQLQEEL LHTQLKYQRILERLEKENKELRKLVLQKDDKGIHHRKLKKSLIDMYSEVLDVLSDYDASY NTQDHLPRVVVVGDQSAGKTSVLEMIAQARIFPRGSGEMMTRSPVKVTLSEGPHHVALFK DSSREFDLTKEEDLAALRHEIELRMRKNVKEGCTVSPETISLNVKGPGLQRMVLVDLPGV INTVTSGMAPDTKETIFSISKAYMQNPNAIILCIQDGSVDAERSIVTDLVSQMDPHGRRT IFVLTKVDLAEKNVASPSRIQQIIEGKLFPMKALGYFAVVTGKGNSSESIEAIREYEEEF FQNSKLLKTSMLKAHQVTTRNLSLAVSDCFWKMVRESVEQQADSFKATRFNLETEWKNNY PRLRELDRNELFEKAKNEILDEVISLSQVTPKHWEEILQQSLWERVSTHVIENIYLPAAQ TMNSGTFNTTVDIKLKQWTDKQLPNKAVEVRI >ENSMUSP00000123880.1 pep:known chromosome:GRCm38:16:29579380:29649000:1 gene:ENSMUSG00000038084.16 transcript:ENSMUST00000161186.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opa1 description:optic atrophy 1 [Source:MGI Symbol;Acc:MGI:1921393] MWRAGRAAVACEVCQSLVKHSSGIQRNVPLQKLHLVSRSIYRSHHPALKLQRPQLRTPFQ QFSSLTHLSLHKLKLSPIKYGYQPRRNFWPARLAARLLKLRYIILGSAVGGGYTAKKTFD EWKDMIPDLSDYKWIVPDFIWEIDEYIDLEKIRKALPSSEDLASLAPDLDKITESLSLLK DFFTAGSPGETAFRATDHGSESDKHYRKGLLGELILLQQQIQEHEEEARRAAGQYSTSYA QQKRKVSDKEKIDQLQEELLHTQLKYQRILERLEKENKELRKLVLQKDDKGIHHRKLKKS LIDMYSEVLDVLSDYDASYNTQDHLPRVVVVGDQSAGKTSVLEMIAQARIFPRGSGEMMT RSPVKVTLSEGPHHVALFKDSSREFDLTKEEDLAALRHEIELRMRKNVKEGCTVSPETIS LNVKGPGLQRMVLVDLPGVINTVTSGMAPDTKETIFSISKAYMQNPNAIILCIQDGSVDA ERSIVTDLVSQMDPHGRRTIFVLTKVDLAEKNVASPSRIQQIIEGKLFPMKALGYFAVVT GKGNSSESIEAIREYEEEFFQNSKLLKTSMLKAHQVTTRNLSLAVSDCFWKMVRESVEQQ ADSFKATRFNLETEWKNNYPRLRELDRNELFEKAKNEILDEVISLSQVTPKHWEEILQQS LWERVSTHVIENIYLPAAQTMNSGTFNTTVDIKLKQWTDKQLPNKAVEVAWETLQEEFSR FMTEPKGKEHDDIFDKLKEAVKEESIKRHKWNDFAEDSLRVIQHNALEDRSISDKQQWDA AIYFMEEALQGRLKDTENAIENMIGPDWKKRWMYWKNRTQEQCVHNETKNELEKMLKVND EHPAYLASDEITTVRKNLESRGVEVDPSLIKDTWHQVYRRHFLKTALNHCNLCRRGFYYY QRHFIDSELECNDVVLFWRIQRMLAITANTLRQQLTNTEVRRLEKNVKEVLEDFAEDGEK KVKLLTGKRVQLAEDLKKVREIQEKLDAFIEALHQEK >ENSMUSP00000036993.6 pep:known chromosome:GRCm38:16:29579380:29651876:1 gene:ENSMUSG00000038084.16 transcript:ENSMUST00000038867.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opa1 description:optic atrophy 1 [Source:MGI Symbol;Acc:MGI:1921393] MWRAGRAAVACEVCQSLVKHSSGIQRNVPLQKLHLVSRSIYRSHHPALKLQRPQLRTPFQ QFSSLTHLSLHKLKLSPIKYGYQPRRNFWPARLAARLLKLRYIILGSAVGGGYTAKKTFD EWKDMIPDLSDYKWIVPDFIWEIDEYIDLEKIRKALPSSEDLASLAPDLDKITESLSLLK DFFTAGPKLVSEVLEVSEALLLLGSPGETAFRATDHGSESDKHYRKVSDKEKIDQLQEEL LHTQLKYQRILERLEKENKELRKLVLQKDDKGIHHRKLKKSLIDMYSEVLDVLSDYDASY NTQDHLPRVVVVGDQSAGKTSVLEMIAQARIFPRGSGEMMTRSPVKVTLSEGPHHVALFK DSSREFDLTKEEDLAALRHEIELRMRKNVKEGCTVSPETISLNVKGPGLQRMVLVDLPGV INTVTSGMAPDTKETIFSISKAYMQNPNAIILCIQDGSVDAERSIVTDLVSQMDPHGRRT IFVLTKVDLAEKNVASPSRIQQIIEGKLFPMKALGYFAVVTGKGNSSESIEAIREYEEEF FQNSKLLKTSMLKAHQVTTRNLSLAVSDCFWKMVRESVEQQADSFKATRFNLETEWKNNY PRLRELDRNELFEKAKNEILDEVISLSQVTPKHWEEILQQSLWERVSTHVIENIYLPAAQ TMNSGTFNTTVDIKLKQWTDKQLPNKAVEVAWETLQEEFSRFMTEPKGKEHDDIFDKLKE AVKEESIKRHKWNDFAEDSLRVIQHNALEDRSISDKQQWDAAIYFMEEALQGRLKDTENA IENMIGPDWKKRWMYWKNRTQEQCVHNETKNELEKMLKVNDEHPAYLASDEITTVRKNLE SRGVEVDPSLIKDTWHQVYRRHFLKTALNHCNLCRRGFYYYQRHFIDSELECNDVVLFWR IQRMLAITANTLRQQLTNTEVRRLEKNVKEVLEDFAEDGEKKVKLLTGKRVQLAEDLKKV REIQEKLDAFIEALHQEK >ENSMUSP00000124029.1 pep:known chromosome:GRCm38:16:29587014:29610906:1 gene:ENSMUSG00000038084.16 transcript:ENSMUST00000162240.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opa1 description:optic atrophy 1 [Source:MGI Symbol;Acc:MGI:1921393] XKWIVPDFIWEIDEYIDLEKIRKALPSSEDLASLAPDLDKITESLSLLKDFFTAGPKLVS EVLEVSEALLLLGSPGETAFRATDHGSESDKHYRKGLLGELILLQQQIQEHEEEARRAAG QYSTSYAQQKRKVSDKEKIDQLQEELLHTQLKYQRILERLEKENKELRKLVLQKDDKGIH HRKLKKSLIDMYSEVLDVLSDYDASYNTQDHLPRVVVVGDQSAGKTSVLEMIAQARIFPR GSGEMMTRSPVKVTLSEGPHHVALFKDSSREFDLTKEEDLAALRHEIELRMRKNVK >ENSMUSP00000128485.1 pep:known chromosome:GRCm38:14:64075438:64085451:-1 gene:ENSMUSG00000034623.14 transcript:ENSMUST00000171503.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss55 description:protease, serine 55 [Source:MGI Symbol;Acc:MGI:1918287] MILPSILLLVAHTLEANVECGVRPLYDSRIQYSRIIEGQEAELGEFPWQVSIQESDHHFC GGSILSEWWILTVAHCFYAQELSPTDLRVRVGTNDLTTSPVELEVTTIIRHKGFKRLNMD NDIALLLLAKPLTFNELTVPICLPLWPAPPSWHECWVAGWGVTNSTDKESMSTDLMKVPM RIIEWEECLQMFPSLTTNMLCASYGNESYDACQWGTACLHHRSWQ >ENSMUSP00000086752.5 pep:known chromosome:GRCm38:14:64075445:64085408:-1 gene:ENSMUSG00000034623.14 transcript:ENSMUST00000089338.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss55 description:protease, serine 55 [Source:MGI Symbol;Acc:MGI:1918287] MILPSILLLVAHTLEANVECGVRPLYDSRIQYSRIIEGQEAELGEFPWQVSIQESDHHFC GGSILSEWWILTVAHCFYAQELSPTDLRVRVGTNDLTTSPVELEVTTIIRHKGFKRLNMD NDIALLLLAKPLTFNELTVPICLPLWPAPPSWHECWVAGWGVTNSTDKESMSTDLMKVPM RIIEWEECLQMFPSLTTNMLCASYGNESYDACQGDSGGPLVCTTDPGSRWYQVGIISWGK SCGKKGFPGIYTVLAKYTLWIEKIAQTEGKPLDFRGQSSSNKKKNRQNNQLSKSPALNCP QSWLLPCLLSFALLRALSNWK >ENSMUSP00000147072.1 pep:known chromosome:GRCm38:8:10153911:10426702:1 gene:ENSMUSG00000039057.10 transcript:ENSMUST00000208309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo16 description:myosin XVI [Source:MGI Symbol;Acc:MGI:2685951] MEIDQCLLESLPLGQRQRLVKRMRCEQIKAYYEREKVFQKQEGPLKRSKPGKRQKVRFGL ADMIQDAVIHHHDKEVLQLLKEGADPHTLVSSGGSLLHLCARYDNVFIAEVLIDRGVNVN HQDEDFWTPMHIACACDNPDIVLLLILAGANVFLQDVNGNIPLDYAVEGTESSAILLAYL DEKGVDLSSLRQIKLQRPLSMLTDVRHFLSSGGDVNEKNDDGVTLLHMACASGYKEVVLL LLEHGGDLNGTDDRYWTPLHLAAKYGQTTLVKLLLAHQANPHLVNCNGEKPSDIAASESI EEMLLKAEIAWEEKMKESPSAPSLAQEELYEILHDLPDLSSKLSPLVLPIAKQDSLLEKD IMFKDTTKGLCKQESQDGPPETSMTSNCGKPEQVQVMPPAPSDDLATLSELNDSSLLYEI QKRFGNDQIHTFIGDIFLLVNPFKELPIYSTMVSQMYLSPTGQRSPSLPPHLFSCAERAF HRLFQERKPQNIILSGERGSGKTQASKQIMKYLTSRASSSCTMFDSRLRHAIYIVEAFGH AKTTLNNVSSCLIQYWELQCCQRRKHITGARISTYMLEKSRVVAQPPGQGTFLIFSWLMD GLSSEEKCGLHLNNFCAHRNMSSRCGQTP >ENSMUSP00000146677.1 pep:known chromosome:GRCm38:8:10153968:10634742:1 gene:ENSMUSG00000039057.10 transcript:ENSMUST00000207477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo16 description:myosin XVI [Source:MGI Symbol;Acc:MGI:2685951] MEIDQCLLESLPLGQRQRLVKRMRCEQIKAYYEREKVFQKQEGPLKRSKPGKRQKVRFGL ADMIQDAVIHHHDKEVLQLLKEGADPHTLVSSGGSLLHLCARYDNVFIAEVLIDRGVNVN HQDEDFWTPMHIACACDNPDIVLLLILAGANVFLQDVNGNIPLDYAVEGTESSAILLAYL DEKGVDLSSLRQIKLQRPLSMLTDVRHFLSSGGDVNEKNDDGVTLLHMACASGYKEVVLL LLEHGGDLNGTDDRYWTPLHLAAKYGQTTLVKLLLAHQANPHLVNCNGEKPSDIAASESI EEMLLKAEIAWEEKMKESPSAPSLAQEELYEILHDLPDLSSKLSPLVLPIAKQDSLLEKD IMFKDTTKGLCKQESQDGPPETSMTSNCGKPEQVQVMPPAPSDDLATLSELNDSSLLYEI QKRFGNDQIHTFIGDIFLLVNPFKELPIYSTMVSQMYLSPTGQRSPSLPPHLFSCAERAF HRLFQERKPQNIILSGERGSGKTQASKQIMKYLTSRASSSCTMFDSRLRHAIYIVEAFGH AKTTLNNVSSCLIQYWELQCCQRRKHITGARISTYMLEKSRVVAQPPGQGTFLIFSWLMD GLSSEEKCGLHLNNFCAHRYVSQGMREDVSTAEHSLNKERLAALKHALNVIGFSTLEVEN LFVILSAILHIGDIQFTALTEADSAFVSDLQLLEQVAGMLQVSTDELASALTTDIQYFKG DVIIRRHTIQMAAFYRDLLAKSLYSRLFGFLINTVNCCLQNQDEYKSLQTLDIGILDIFG FEEFQKNEFEQLCVNLTNEKMHHYIQEVLFLQEQTECVQEGVAMETACSPGNQAGVLDFF FQKPSGFFSLLDEESQVIWSGEPNLPRKLQGLLESSNTNAVYSPVKDGNGNVAFKGQGAA FTVMHYAGRVMYEMGGAVERNKDSLSQNLLFVMKTSENVVISHLFQSKLSQTGSLISSYP SFKFGGHKSTLLSKRTASSMVGVNKNYLELSKLLKKKGTSTFLQRLERGEPATAASQLTK SLADITAKLQRGSPHFILCIKPNTSQLPGVFDHFYVSAQLQYLGVLGLVRLFRSGYPVRP SFEDFLSRYEPLASVLLGETKGQAAEERCRLVLQRCKLQGWQIGVHKVFLKYWHVDQLSD LWLQLQRKIVTCQKVIRGFLARQHLLQRMSIKQQEVTSIKSFLQSTEDMALKTYDALVIQ NASDIAREHDRLRKEVHTAYHRNRQEEGTKRAEDQGGCRHVHSNSVPVPMVVDSLAQALT GPSTRPPSLHSVFSMDDSTGLPSPRKQPPPKPKRDPNTRLSASYEAVSACLSAAKDAAGE ALTRPRPHSDDYSTMKKIPPRKPKRSPHTKLSGSYEEIWGPPRPSGTMGQGGRHQAPGTL SVQWARPDSVPQCTPQLPLHLPLPQGDYDDDAEPVYIEMVGNAARAGGSETDSPDQGESV YEEMKYILPEEGCGLGMLTFLPASPPLFLETRKAIILEAAEGNCQPSKDTCDIPPPFPNL LPHRPPLLVFPPTPVTRSPASDESPLTPLEVKKLPVLETNLKYPVQSEGSSPLSPQYSKA QKGDNDQLASPGFPVFNGPSRISPPATPPPPPGPPPAPCGPPPAPCGPPPAPCGPPPAPC GPPPAPCGPPPAPCGAASASCGVAPAPCRPPTHFAFPPESVLVTAAKALTNSDLPRTQPK PSSAPVPGPCSSFVKAPYSPGKTARADLRKTSSTFSPPSPYSPPNSRPLSSPLDELASLF NSGRSVLRRSAVGRRIREAEGFETNMNLSSRDEPSSSEMASETQDRNANNHGTQLSSSLS SDVTAENGNPVTNGLAEDDGCSRLCLSGMGTSSFQRNRESHTTQVIHQLRLSENESVALQ ELLDWRRKLCEAREGWQEALQHPEPRAPPPPPCKKPTLLKKPEGGSCTRLPSQLWDSSM >ENSMUSP00000146796.1 pep:known chromosome:GRCm38:8:10272536:10634741:1 gene:ENSMUSG00000039057.10 transcript:ENSMUST00000207204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo16 description:myosin XVI [Source:MGI Symbol;Acc:MGI:2685951] MEIDQCLLESLPLGQRQRLVKRMRCEQIKAYYEREKVFQKQEGPLKRSKPGKRQKVRFGL ADMIQDAVIHHHDKEVLQLLKEGADPHTLVSSGGSLLHLCARYDNVFIAEVLIDRGVNVN HQDEDFWTPMHIACACDNPDIVLLLILAGANVFLQDVNGNIPLDYAVEGTESSAILLAYL DEKGVDLSSLRQIKLQRPLSMLTDVRHFLSSGGDVNEKNDDGVTLLHMACASGYKEVVLL LLEHGGDLNGTDDRYWTPLHLAAKYGQTTLVKLLLAHQANPHLVNCNGEKPSDIAASESI EEMLLKAEIAWEEKMKESPSAPSLAQEELYEILHDLPDLSSKLSPLVLPIAKQDSLLEKD IMFKDTTKGLCKQESQDGPPETSMTSNCGKPEQVQVMPPAPSDDLATLSELNDSSLLYEI QKRFGNDQIHVSQMYLSPTGQRSPSLPPHLFSCAERAFHRLFQERKPQNIILSGERGSGK TQASKQIMKYLTSRASSSCTMFDSRLRHAIYIVEAFGHAKTTLNNVSSCLIQYWELQCCQ RRKHITGARISTYMLEKSRVVAQPPGQGTFLIFSWLMDGLSSEEKCGLHLNNFCAHRYVS QGMREDVSTAEHSLNKERLAALKHALNVIGFSTLLCLISVAGMLQVSTDELASALTTDIQ YFKGDVIIRRHTIQMAAFYRDLLAKSLYSRLFGFLINTVNCCLQNQDEYKSLQTLDIGIL DIFGFEEFQKNEFEQLCVNLTNEKMHHYIQEVLFLQEQTECVQEGVAMETACSPGNQAGV LDFFFQKPSGFFSLLDEESQVIWSGEPNLPRKLQGLLESSNTNAVYSPVKDGNGNVAFKG QGAAFTVMHYAGRVMYEMGGAVERNKDSLSQNLLFVMKTSENVVISHLFQSKLSQTGSLI SSYPSFKFGGHKSTLLSKRTASSMVGVNKNYLELSKLLKKKGTSTFLQRLERGEPATAAS QLTKSLADITAKLQRGSPHFILCIKPNTSQLPGVFDHFYVSAQLQYLGVLGLVRLFRSGY PVRPSFEDFLSRYEPLASVLLGETKGQAAEERCRLVLQRCKLQGWQIGVHKVFLKYWHVD QLSDLWLQLQRKIVTCQKVIRGFLARQHLLQRMSIKQQEVTSIKSFLQSTEDMALKTYDA LVIQNASDIAREHDRLRKEVHTAYHRNRQEEGTKRAEDQGGCRHVHSNSVPVPMVVDSLA QALTGPSTRPPSLHSVFSMDDSTGLPSPRKQPPPKPKRDPNTRLSASYEAVSACLSAAKD AAGEALTRPRPHSDDYSTMKKIPPRKPKRSPHTKLSGSYEEIWGPPRPSGTMGQGGRHQA PGTLSVQWARPDSVPQCTPQLPLHLPLPQGDYDDDAEPVYIEMVGNAARAGGSETDSPDQ GESVYEEMKYILPEEGCGLGMLTFLPASPPLFLETRKAIILEAAEGNCQPSKDTCDIPPP FPNLLPHRPPLLVFPPTPVTRSPASDESPLTPLEVKKLPVLETNLKYPVQSEGSSPLSPQ YSKAQKGDNDQLASPGFPVFNGPSRISPPATPPPPPGPPPAPCGPPPAPCGPPPAPCGPP PAPCGPPPAPCGPPPAPCGAASASCGVAPAPCRPPTHFAFPPESVLVTAAKALTNSDLPR TQPKPSSAPVPGPCSSFVKAPYSPGKTARADLRKTSSTFSPPSPYSPPNSRPLSSPLDEL ASLFNSGRSVLRRSAVGRRIREAEGFETNMNLSSRDEPSSSEMASETQDRNANNHGTQLS SSLSSDVTAENGNPVTNGLAEDDGCSRLCLSGMGTSSFQRNRESHTTQVIHQLRLSENES VALQELLDWRRKLCEAREGWQEALQHPEPRAPPPPPCKKPTLLKKPEGGSCTRLPSQLWD SSM >ENSMUSP00000049345.8 pep:known chromosome:GRCm38:8:10272572:10634741:1 gene:ENSMUSG00000039057.10 transcript:ENSMUST00000042103.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo16 description:myosin XVI [Source:MGI Symbol;Acc:MGI:2685951] MEIDQCLLESLPLGQRQRLVKRMRCEQIKAYYEREKVFQKQEGPLKRSKPGKRQKVRFGL ADMIQDAVIHHHDKEVLQLLKEGADPHTLVSSGGSLLHLCARYDNVFIAEVLIDRGVNVN HQDEDFWTPMHIACACDNPDIVLLLILAGANVFLQDVNGNIPLDYAVEGTESSAILLAYL DEKGVDLSSLRQIKLQRPLSMLTDVRHFLSSGGDVNEKNDDGVTLLHMACASGYKEVVLL LLEHGGDLNGTDDRYWTPLHLAAKYGQTTLVKLLLAHQANPHLVNCNGEKPSDIAASESI EEMLLKAEIAWEEKMKESPSAPSLAQEELYEILHDLPDLSSKLSPLVLPIAKQDSLLEKD IMFKDTTKGLCKQESQDGPPETSMTSNCGKPEQVQVMPPAPSDDLATLSELNDSSLLYEI QKRFGNDQIHTFIGDIFLLVNPFKELPIYSTMVSQMYLSPTGQRSPSLPPHLFSCAERAF HRLFQERKPQNIILSGERGSGKTQASKQIMKYLTSRASSSCTMFDSRLRHAIYIVEAFGH AKTTLNNVSSCLIQYWELQCCQRRKHITGARISTYMLEKSRVVAQPPGQGTFLIFSWLMD GLSSEEKCGLHLNNFCAHRYVSQGMREDVSTAEHSLNKERLAALKHALNVIGFSTLEVEN LFVILSAILHIGDIQFTALTEADSAFVSDLQLLEQVAGMLQVSTDELASALTTDIQYFKG DVIIRRHTIQMAAFYRDLLAKSLYSRLFGFLINTVNCCLQNQDEYKSLQTLDIGILDIFG FEEFQKNEFEQLCVNLTNEKMHHYIQEVLFLQEQTECVQEGVAMETACSPGNQAGVLDFF FQKPSGFFSLLDEESQVIWSGEPNLPRKLQGLLESSNTNAVYSPVKDGNGNVAFKGQGAA FTVMHYAGRVMYEMGGAVERNKDSLSQNLLFVMKTSENVVISHLFQSKLSQTGSLISSYP SFKFGGHKSTLLSKRTASSMVGVNKNYLELSKLLKKKGTSTFLQRLERGEPATAASQLTK SLADITAKLQRGSPHFILCIKPNTSQLPGVFDHFYVSAQLQYLGVLGLVRLFRSGYPVRP SFEDFLSRYEPLASVLLGETKGQAAEERCRLVLQRCKLQGWQIGVHKVFLKYWHVDQLSD LWLQLQRKIVTCQKVIRGFLARQHLLQRMSIKQQEVTSIKSFLQSTEDMALKTYDALVIQ NASDIAREHDRLRKEVHTAYHRNRQEEGTKRAEDQGGCRHVHSNSVPVPMVVDSLAQALT GPSTRPPSLHSVFSMDDSTGLPSPRKQPPPKPKRDPNTRLSASYEAVSACLSAAKDAAGE ALTRPRPHSDDYSTMKKIPPRKPKRSPHTKLSGSYEEIWGPPRPSGTMGQGGRHQAPGTL SVQWARPDSVPQCTPQLPLHLPLPQGDYDDDAEPVYIEMVGNAARAGGSETDSPDQGESV YEEMKYILPEEGCGLGMLTFLPASPPLFLETRKAIILEAAEGNCQPSKDTCDIPPPFPNL LPHRPPLLVFPPTPVTRSPASDESPLTPLEVKKLPVLETNLKYPVQSEGSSPLSPQYSKA QKGDNDQLASPGFPVFNGPSRISPPATPPPPPGPPPAPCGPPPAPCGPPTHFAFPPESVL VTAAKALTNSDLPRTQPKPSSAPVPGPCSSFVKAPYSPGKTARADLRKTSSTFSPPSPYS PPNSRPLSSPLDELASLFNSGRSVLRRSAVGRRIREAEGFETNMNLSSRDEPSSSEMASE TQDRNANNHGTQLSSSLSSDVTAENGNPVTNGLAEDDGCSRLCLSGMGTSSFQRNRESHT TQVIHQLRLSENESVALQELLDWRRKLCEAREGWQEALQHPEPRAPPPPPCKKPTLLKKP EGGSCTRLPSQLWDSSM >ENSMUSP00000077971.6 pep:known chromosome:GRCm38:X:52912266:52956943:1 gene:ENSMUSG00000025626.16 transcript:ENSMUST00000078944.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf6 description:PHD finger protein 6 [Source:MGI Symbol;Acc:MGI:1918248] MSSSIEQKKGSTRQRKCGFCKSNRDKECGQLLISENQKVAAHHKCMLFSSALVSSHSDNE SLGGFSIEDVQKEIKRGTKLMCSLCHCPGATIGCDVKTCHRTYHYHCALHDKAQIREKPS QGIYMVYCRKHKKTAHNSEADLEESFNEHELEPSSPKTKKKSRKGRPRKTNLKGLPEDSR STSSHGTDEMESSSYRDRSPHRSSPNDTRPKCGFCHVGEEENEARGKLHIFNAKKAAAHY KCMLFSSGTVQLTTTSRAEFGDFDIKTVLQEIKRGKRMKCTLCSQPGATIGCEIKACVKT YHYHCGVQDKAKYIENMSRGIYKLYCKNHSGNDERDEEDEERESKSRGRVAIDQQLTQQQ LNGN >ENSMUSP00000110497.2 pep:known chromosome:GRCm38:X:52912295:52948500:1 gene:ENSMUSG00000025626.16 transcript:ENSMUST00000101587.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf6 description:PHD finger protein 6 [Source:MGI Symbol;Acc:MGI:1918248] MSSSIEQKKGSTRQRKCGFCKSNRDKECGQLLISENQKVAAHHKCMLFSSALVSSHSDNE SLGGFSIEDVQKEIKRGTKLMCSLCHCPGATIGCDVKTCHRTYHYHCALHDKAQIREKPS QGIYMVYCRKHKKTAHNSEADLEESFNEHELEPSSPKTKKKSRKGRPRKTNLKGLPEDSR STSSHGTDEMESSSYRDRSPHRSSPNDTRPKCGFCHVGEEENEARGKLHIFNAKKAAAHY KCMLFSSGTVQLTTTSRAEFGDFDIKTVLQEIKRGKRMKCTLCSQPGATIGCEIKACVKT YHYHCGVQDKAKYIENMSRGIYK >ENSMUSP00000130358.1 pep:known chromosome:GRCm38:X:52912295:52955965:1 gene:ENSMUSG00000025626.16 transcript:ENSMUST00000154864.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf6 description:PHD finger protein 6 [Source:MGI Symbol;Acc:MGI:1918248] MCSLCHCPGATIGCDVKTCHRTYHYHCALHDKAQIREKPSQGIYMVYCRKHKKTAHNSEA DLEESFNEHELEPSSPKTKKKSRKGRPRKTNLKGLPEDSRSTSSHGTDEMESSSYRDRSP HRSSPNDTRPKCGFCHVGEEENEARGKLHIFNAKKAAAHYKCMLFSSGTVQLTTTSRAEF GDFDIKTVLQEIKRGKRMKCTLCSQPGATIGCEIKACVKTYHYHCGVQDKAKYIENMSRG IYKLYCKNHSGNDERDEEDEERESKSRGRVAIDQQLTQQQLNGN >ENSMUSP00000096755.2 pep:known chromosome:GRCm38:3:34650405:34652461:1 gene:ENSMUSG00000074637.7 transcript:ENSMUST00000099151.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox2 description:SRY (sex determining region Y)-box 2 [Source:MGI Symbol;Acc:MGI:98364] MYNMMETELKPPGPQQASGGGGGGGNATAAATGGNQKNSPDRVKRPMNAFMVWSRGQRRK MAQENPKMHNSEISKRLGAEWKLLSETEKRPFIDEAKRLRALHMKEHPDYKYRPRRKTKT LMKKDKYTLPGGLLAPGGNSMASGVGVGAGLGAGVNQRMDSYAHMNGWSNGSYSMMQEQL GYPQHPGLNAHGAAQMQPMHRYDVSALQYNSMTSSQTYMNGSPTYSMSYSQQGTPGMALG SMGSVVKSEASSSPPVVTSSSHSRAPCQAGDLRDMISMYLPGAEVPEPAAPSRLHMAQHY QSGPVPGTAINGTLPLSHM >ENSMUSP00000128216.1 pep:known chromosome:GRCm38:14:41448203:41453518:-1 gene:ENSMUSG00000093863.1 transcript:ENSMUST00000164967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7954 description:predicted gene 7954 [Source:MGI Symbol;Acc:MGI:3648778] XRYSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPTAHHGGKGQETTGRGEGCAGEASI QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCQLLIESNLIQHKVRMLRKENRQLLR EHIALEECNIETKTLCNEGSQKIKDHYTKQQQV >ENSMUSP00000065525.6 pep:known chromosome:GRCm38:11:60353329:60372004:1 gene:ENSMUSG00000056598.16 transcript:ENSMUST00000070805.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc48 description:leucine rich repeat containing 48 [Source:MGI Symbol;Acc:MGI:1921915] MSRPYDSMEPKVMDDDMLKAAVGEQGPQEEAGQLAKQEGILFKDVLSLQLDFQNILRIDN LWQFENLKKLQLNNNIIERIEGLTNLIHLVWLDLSFNNIEAIEGLDTLVNLEDLSLSNNR ISKVDSLDALVKLQVLSLGNNQISNMMNIIYLRRFPCLRTLSLAGNPVSEAEEYKMFIYA YLSDLVYLDFRRVDEQMASAASTQQPTR >ENSMUSP00000091691.2 pep:known chromosome:GRCm38:11:60353329:60377278:1 gene:ENSMUSG00000056598.16 transcript:ENSMUST00000094140.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc48 description:leucine rich repeat containing 48 [Source:MGI Symbol;Acc:MGI:1921915] MSRPYDSMEPKVMDDDMLKAAVGEQGPQEEAGQLAKQEGILFKDVLSLQLDFQNILRIDN LWQFENLKKLQLNNNIIERIEGLTNLIHLVWLDLSFNNIEAIEGLDTLVNLEDLSLSNNR ISKVDSLDALVKLQVLSLGNNQISNMMNIIYLRRFPCLRTLSLAGNPVSEAEEYKMFIYA YLSDLVYLDFRRVDEQMREMAKMKHQYSIDELKHREAQLQMKLEEEQAKQEKLEEHKMAF VEHLNGPFLFDSMYSEDVEGNKLSYLPGVRELLEAYPF >ENSMUSP00000104363.2 pep:known chromosome:GRCm38:11:60353329:60394341:1 gene:ENSMUSG00000056598.16 transcript:ENSMUST00000108723.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc48 description:leucine rich repeat containing 48 [Source:MGI Symbol;Acc:MGI:1921915] MSRPYDSMEPKVMDDDMLKAAVGEQGPQEEAGQLAKQEGILFKDVLSLQLDFQNILRIDN LWQFENLKKLQLNNNIIERIEGLTNLIHLVWLDLSFNNIEAIEGLDTLVNLEDLSLSNNR ISKVDSLDALVKLQVLSLGNNQISNMMNIIYLRRFPCLRTLSLAGNPVSEAEEYKMFIYA YLSDLVYLDFRRVDEQMREMAKMKHQYSIDELKHREAQLQMKLEEEQAKQEKLEEHKMAF VEHLNGPFLFDSMYSEDVEGNKLSYLPGVRELLEAYKDKFVIICLNIFEYGLNQQEKRKV ELDTFNECIQEAILENQDQGKLKVAKFEEKHLLNLNAIREETDLSNIEKKLTECTESIGE LFNTLMILEMQLVEQLEETINIFERNITDLVGLFIENVQSLIAQCRDLENHHHEKLLEIA INTLEKILKGEMDEDLPDDVRALFVDKDTIVNAVGASHDIHLLKIDNREDELVTGINSWC AHLVDKIHKDEIMRNRKRVKEINQFVDHMQSELDNLECGDIID >ENSMUSP00000104362.4 pep:known chromosome:GRCm38:11:60353380:60394333:1 gene:ENSMUSG00000056598.16 transcript:ENSMUST00000108722.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc48 description:leucine rich repeat containing 48 [Source:MGI Symbol;Acc:MGI:1921915] MSRPYDSMEPKVMDDDMLKAAVGEQGPQEEAGQLAKQEGILFKDVLSLQLDFQNILRIDN LWQFENLKKLQLNNNIIERIEGLTNLIHLVWLDLSFNNIEAIEGLDTLVNLEDLSLSNNR ISKVDSLDALVKLQVLSLGNNQISNMMNIIYLRRFPCLRTLSLAGNPVSEAEEYKMFIYA YLSDLVYLDFRRVDEQMREMAKMKHQYSIDELKHREAQLQMKLEEEQAKQEKLEEHKMAF VEHLNGPFLFDSMYSEDVEGNKLSYLPGVRELLETYKDKFVIICLNIFEYGLNQQEKRKV ELDTFNECIQEAILENQDQGKLKVAKFEEKHLLNLNAIREETDLSNIEKKLTECTESIGE LFNTLMILEMQLVEQLEETINIFERNITDLVGLFIENVQSLIAQCRDLENHHHEKLLEIA INTLEKILKGEMDEDLPDDVRALFVDKDTIVNAVGASHDIHLLKIDNREDELVTGINSWC AHLVDKIHKDEIMRNRKRVKEINQFVDHMQSELDNLECGDIID >ENSMUSP00000047912.6 pep:known chromosome:GRCm38:10:70245100:70285968:1 gene:ENSMUSG00000037762.6 transcript:ENSMUST00000046807.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a9 description:solute carrier family 16 (monocarboxylic acid transporters), member 9 [Source:MGI Symbol;Acc:MGI:1914109] MEFQKSPDGGWGWVIVVVSFFTQFLSYGSPLAVGVLYVEWLDAFGEGKGKTAWVGSLASG VGLLASPVCSLFVSSFGARPVTIFSGFLVAGGLMLSSLAPNIYFLFFSYGIVVGLGCGLL YTATVTITCQYFDSRRGLALGLISTGSSVGLFIYAALQRMLIEFYGLDGCLLIVGALALN ILACGSLMRPLQTSDCPFPEKTAPENVPDRYSMYNEKEKNPEETMNFQDKGYSSEDKCLP NGDWGRETSLPKSLAIAAHTKEPETYKKKVVEQTNFCKQLAKRKWQLYRNYCGETASLFK NKVFSALFIAILLFDIGGFPPSLLMEDVARSYHVREEDLTMPLISIFGIMTAVGKLLLGI LADFKWINTLYLYVATLIITGLALCAIPFAKSYVTLAILSGILGFLTGNWSIFPYVTTKT VGIDKLAHAYGILMFFAGLGNSLGPPIVGWFYDWTQTYDIAFYFSGFCVLLGGFILLLAI LPCWDMCNKKLPKPAVPTTFFYKVASNV >ENSMUSP00000029968.7 pep:known chromosome:GRCm38:4:34614957:34660167:1 gene:ENSMUSG00000028292.14 transcript:ENSMUST00000029968.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rars2 description:arginyl-tRNA synthetase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1923596] MACGFRRSIACQLSRVLALPPESLIKSISAVPVSKKEEVADFQLSVDSLLEDNNHKSQVD TQDQARRLAEKLKCDTVVTAISAGPRTLNFKINRELLTKAVLQQVTEDGCKYGLKSELFS DLPKKRIVVEFSSPNIAKKFHVGHLRSTIIGNFIANLKEALGHQVTRINYIGDWGMQFGL LGTGFQLFGYEEKLQTNPLQHLFDVYVQVNKEATDDKNVTKLAHEFFHRLEMGDTQALSL WQRFRDLSIEEYTQIYKRLGIYFDEYSGESFYREKSQDVLKLLDSKGLLQKTAEGNVVVD LSGTGDLSSVCTVMRSDGTSLYATRDLAAAIHRMDKYNFDTMIYVADKGQRRHFQQVFQM LKIMGYDWAERCQHVPFGIVKGMKTRRGGVTFLEDVLNEVQSRMLQNMASIKTTKQLENP QETAERVGLAAVIIQDFRGTLLSDYQFSWDRVFQSRGDTGVFLQYTHARLCSLEETFGCG YLNDSNVACLQEPQSVSILQHLLRFDEVLYLSSQDLQPKHIVSYLLTLSHLAAVAHKTLQ VKDSPPDVAGARLHLFKAVRSVLANGMKLLGITPVCRM >ENSMUSP00000116173.1 pep:known chromosome:GRCm38:4:34614976:34630570:1 gene:ENSMUSG00000028292.14 transcript:ENSMUST00000148519.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rars2 description:arginyl-tRNA synthetase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1923596] MACGFRRSIACQLSRVLALPPESLIKSISAVPVSKKEEVADFQLSVDSLLEDNNHKSQVD TQDQARRLAEKAVLQQVTEDGCKYGLKSELFSDLPKKRIVVEF >ENSMUSP00000101914.2 pep:known chromosome:GRCm38:4:119814495:120138045:1 gene:ENSMUSG00000028634.16 transcript:ENSMUST00000106307.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hivep3 description:human immunodeficiency virus type I enhancer binding protein 3 [Source:MGI Symbol;Acc:MGI:106589] MDPDQSIKGTKKADGSPRKRLTKGEAIQTSVSSSAPYPGSGTTAPSESATQELLATQPFS GPSQEKTGQQQKPARRPSIEASVHISQLPQHPLTPAFMSPGKPEHLLEGSTWQLVDPMRP GPSGSFVAPGLHPQSQLLPSHASILPPEELPGIPKVFVPRPSQVSLKPAEEAHKKERKPQ KPGKYICQYCSRPCAKPSVLQKHIRSHTGERPYPCGPCGFSFKTKSNLYKHRKSHAHRIK AGLASGSSSEMYPPGLEMERIPGEEFEEPTEGESTDSEEETGAASGPSTDVLPKPKHPLL SSSLYSSGSHGSSQERCSLSQSSTGPSLEDPAPFAEASSEHPLSHKPEDTHTIKQKLALR LSERKKLIEEQTFLSPGSKGSTESGYFSRSESAEQQVSPPNTNAKSYAEIIFGKCGRIGQ RTSMLASTSTQPLLPLSSEDKPSLVPLSVPRTQVIEHITKLITINEAVVDTSEIDSVKPR RSSLTRRSSVESPKSSLYRDSLSSHGEKTKQEQSLLSLQHPPSSTHPVPLLRSHSMPSAA CTISTHHHTFRGSYSFDDHVADPEVPSRNTPVFTSHPRMLKRQPAIELPLGGEYSSEEPG PSSKDPTSKPSDEPEPKESDLTKKTKKGFKTKGANYECTICGARYKKRDNYEAHKKYYCS ELQITKAHSVGAHEVEKTQAEPEPWSQMMHYKLGATLELTPLRKRRKEKSLGDEEEPPAF GSPGPSETAHNRPLGSTKSPAEASKSAPSLEGPTSFQPRTPKPGAGSEPGKERRTMSKEI SVIQHTSSFEKSDPPEQPSGLEEDKPPAQFSSPPPAPHGRSAHSLQPRLVRQPNIQVPEI LVTEEPDRPDTEPEPPPKEPEKTEEFQWPQRSQTLAQLPAEKLPPKKKRLRLAEMAQSSG ESSFESSVPLSRSPSQESSISLSGSSRSASFDREDHGKAEAPGPFSDTRSKTLGSHMLTV PSHHPHAREMRRSASEQSPNVPHSSHMTETRSKSFDYGSLSPTGPSLAVPAAPPPPAAPP ERRKCFLVRQASLNRPPEAELEAVPKGKQESSEEPAASKPSTKSSVPQISVGTTQGGPSG GKSQMQDRPPLGSSPPYTEALQVFQPLGTQLPPPASLFSLQQLLPQEQEQSSEFFPTQAM AGLLSSPYSMPPLPPSLFQAPPLPLQPTVLHPSQLHLPQLLPHAADIPFQQPPSFLPMPC PAPSTLSGYFLPLQSQFALQLPGEIESHLPPVKTSLPPLATGPPGPSSSTEYSSDIQLPP VTPQATSPAPTSAPPLALPACPDAMVSLVVPVRIQTHMPSYGSAMYTTLSQILVTQSPGS PASTALTKYEEPSSKSMTVCEADVYEAEPGPSSISKEQNRGYQTPYLRVPERKGTSLSSE GILSLEGCSSTASGSKRVLSPAGSLELTMETQQQKRVKEEEASKADEKLELVSTCSVVLT STEDRKKTEKPHVGGQGRSRREAETLSSLSSDVSDPKELSPLSHSTLSHGTAPGSEALKE YAQPSSKAHRRGLPPMSVKKEDPKEQTDLPPLAPPSSLPLSDTSPKPAKLQEGTDSKKVL QFPSLHTTTNVSWCYLNYIKPNHIQHADRRSSVYAGWCISLYNPNLPGVSTKAALSLLRS KQKVSKETYTMATAPHPEAGRLVPSNSRKPRMTEVHLPSLVSPESQKDPARVEKEEKQGK AEEGTPTSKRGEPARVKIFEGGYKSNEEYIYVRGRGRGRYVCEECGIRCKKPSMLKKHIR THTDVRPYVCKHCHFAFKTKGNLTKHMKSKAHSKKCQETGVLEELEAEEGTSDDLHQDSE GQEGAEAVEEHQFSDLEDSDSDSDLDEDEEEEEEEEESQDELSGPCSEAAPPCLPPTLQE NSSPVEGPQAPDSTSDEVPQGSSISEATHLTASSCSTPSRGTQGLPRLGLAPLEKDMSSA PSPKATSPRRPWSPSKEAGSRPSLTRKHSLTKNDSSPQQCSPAREAQASVTSTPGPQMGP GRDLGPHLCGSPRLELSCLTPYPIGREAPAGLERATDTGTPRYSPTRRWSLGQAESPPQT VLPGKWALAGPCSPSADKSGLGLGPVPRALLQPVPLPHTLLSRSPETCTSAWRKTESRSP SAGPAPLFPRPFSAPHDFHGHLPSRSEENLFSHLPLHSQLLSRAPCPLIPIGGIQMVQAR PGAQPTVLPGPCAAWVSGFSGGGSDLTGAREAQERSRWSPTESPSASVSPVAKVSKFTLS SELEEERTGRGPGRPPDWEPHRAEAPPGPMGTHSPCSPQLPQGHQVAPSWRGLLGSPHTL ANLKASSFPPLDRSSSMDCLAETSTYSPPRSRNLSGEPRTRQGSPELLGRGELRTPLFLP KGSGPPSI >ENSMUSP00000130249.2 pep:known chromosome:GRCm38:4:120094489:120134557:1 gene:ENSMUSG00000028634.16 transcript:ENSMUST00000166542.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hivep3 description:human immunodeficiency virus type I enhancer binding protein 3 [Source:MGI Symbol;Acc:MGI:106589] MDPDQSIKGTKKADGSPRKRLTKGEAIQTSVSSSAPYPGSGTTAPSESATQELLATQPFS GPSQEKTGQQQKPARRPSIEASVHISQLPQHPLTPAFMSPGKPEHLLEGSTWQLVDPMRP GPSGSFVAPGLHPQSQLLPSHASILPPEELPGIPKVFVPRPSQVSLKPAEEAHKKERKPQ KPGKYICQYCSRPCAKPSVLQKHIRSHTGERPYPCGPCGFSFKTKSNLYKHRKSHAHRIK AGLASGSSSEMYPPGLEMERIPGEEFEEPTEGESTDSEEETGAASGPSTDVLPKPKHPLL SSSLYSSGSHGSSQERCSLSQSSTGPSLEDPAPFAEASSEHPLSHKPEDTHTIKQKLALR LSERKKLIEEQTFLSPGSKGSTESGYFSRSESAEQQVSPPNTNAKSYAEIIFGKCGRIGQ RTSMLASTSTQPLLPLSSEDKPSLVPLSVPRTQVIEHITKLITINEAVVDTSEIDSVKPR RSSLTRRSSVESPKSSLYRDSLSSHGEKTKQEQSLLSLQHPPSSTHPVPLLRSHSMPSAA CTISTHHHTFRGSYSFDDHVADPEVPSRNTPVFTSHPRMLKRQPAIELPLGGEYSSEEPG PSSKDPTSKPSDEPEPKESDLTKKTKKGFKTKGANYECTICGARYKKRDNYEAHKKYYCS ELQITKAHSVGAHEVEKTQAEPEPWSQMMHYKLGATLELTPLRKRRKEKSLGDEEEPPAF GSPGPSETAHNRPLGSTKSPAEASKSAPSLEGPTSFQPRTPKPGAGSEPGKERRTMSKEI SVIQHTSSFEKSDPPEQPSGLEEDKPPAQFSSPPPAPHGRSAHSLQPRLVRQPNIQVPEI LVTEEPDRPDTEPEPPPKEPEKTEEFQWPQRSQTLAQLPAEKLPPKKKRLRLAEMAQSSG ESSFESSVPLSRSPSQESSISLSGSSRSASFDREDHGKAEAPGPFSDTRSKTLGSHMLTV PSHHPHAREMRRSASEQSPNVPHSSHMTETRSKSFDYGSLSPTGPSLAVPAAPPPPAAPP ERRKCFLVRQASLNRPPEAELEAVPKGKQESSEEPAASKPSTKSSVPQISVGTTQGGPSG GKSQMQDRPPLGSSPPYTEALQVFQPLGTQLPPPASLFSLQQLLPQEQEQSSEFFPTQAM AGLLSSPYSMPPLPPSLFQAPPLPLQPTVLHPSQLHLPQLLPHAADIPFQQPPSFLPMPC PAPSTLSGYFLPLQSQFALQLPGEIESHLPPVKTSLPPLATGPPGPSSSTEYSSDIQLPP VTPQATSPAPTSAPPLALPACPDAMVSLVVPVRIQTHMPSYGSAMYTTLSQILVTQSPGS PASTALTKYEEPSSKSMTVCEADVYEAEPGPSSISKEQNRGYQTPYLRVPERKGTSLSSE GILSLEGCSSTASGSKRVLSPAGSLELTMETQQQKRVKEEEASKADEKLELVSTCSVVLT STEDRKKTEKPHVGGQGRSRREAETLSSLSSDVSDPKELSPLSHSTLSHGTAPGSEALKE YAQPSSKAHRRGLPPMSVKKEDPKEQTDLPPLAPPSSLPLSDTSPKPAKLQEGTDSKKVL QFPSLHTTTNVSWCYLNYIKPNHIQHADRRSSVYAGWCISLYNPNLPGVSTKAALSLLRS KQKVSKETYTMATAPHPEAGRLVPSNSRKPRMTEVHLPSLVSPESQKDPARVEKEEKQGK AEEGTPTSKRGEPARVKIFEGGYKSNEEYIYVRGRGRGRYVCEECGIRCKKPSMLKKHIR THTDVRPYVCKHCHFAFKTKGNLTKHMKSKAHSKKCQETGVLEELEAEEGTSDDLHQDSE GQEGAEAVEEHQFSDLEDSDSDSDLDEDEEEEEEEEESQDELSGPCSEAAPPCLPPTLQE NSSPVEGPQAPDSTSDEVPQGSSISEATHLTASSCSTPSRGTQGLPRLGLAPLEKDMSSA PSPKATSPRRPWSPSKEAGSRPSLTRKHSLTKNDSSPQQCSPAREAQASVTSTPGPQMGP GRDLGPHLCGSPRLELSCLTPYPIGREAPAGLERATDTGTPRYSPTRRWSLGQAESPPQT VLPGKWALAGPCSPSADKSGLGLGPVPRALLQPVPLPHTLLSRSPETCTSAWRKTESRSP SAGPAPLFPRPFSAPHDFHGHLPSRSEENLFSHLPLHSQLLSRAPCPLIPIGGIQMVQAR PGAQPTVLPGPCAAWVSGFSGGGSDLTGAREAQERSRWSPTESPSASVSPVAKVSKFTLS SELEEERTGRGPGRPPDWEPHRAEAPPGPMGTHSPCSPQLPQGHQVAPSWRGLLGSPHTL ANLKASSFPPLDRSSSMDCLAETSTYSPPRSRNLSGEPRTRQGSPELLGRGELRTPLFLP KGSGPPSI >ENSMUSP00000081330.4 pep:known chromosome:GRCm38:4:119733796:119736768:1 gene:ENSMUSG00000028634.16 transcript:ENSMUST00000084306.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hivep3 description:human immunodeficiency virus type I enhancer binding protein 3 [Source:MGI Symbol;Acc:MGI:106589] VGARRRGCSHAGPLEQPQRSAQVRPPRRSANASLGTSCPRPRAVSAPHRPGGGPVAYPPP RRPADPDCPPIRRDAGLGARVPHLSPCCTRGQISETRRNPGRGKFVDIGAPRGAGS >ENSMUSP00000115376.1 pep:known chromosome:GRCm38:5:35697180:35721603:-1 gene:ENSMUSG00000036553.16 transcript:ENSMUST00000127825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3tc1 description:SH3 domain and tetratricopeptide repeats 1 [Source:MGI Symbol;Acc:MGI:2678949] XVAPPRIVVGLAAEPPPSQMTVYPTDLTLQLLAVRRKSGLRSPSLQQALRSRLRLLENDS REVARVLGELSARLLSIHSDQDRIVVTFKTFEEIWKFSTYHALGFTHHCLENLLVDQTFW LLSPSEEEETAILMYVNKDALKQTHKNLLTQEGSIFVLCPDHRVRRTIGPKGAGKGPQTL RRASGVPLGVAILETDSSSPTPNMSSNVEAAEAEPEPLTPFHQWTLRVPWDPIDESMSGP GTPDAQLMRFGLASAIADFQGSGPEEMSFSVGDVIEILGAQVPGLPWCVGRHMASGQVGF VRTGLVSMQGPASDLESAIFLSEEERSFFRSEGHFSDEDARRLLSRTSGTDVCTTCSLDW LEEAEGEQLEQREMSLPHLNPEPHGTLQMVKNILEKCKACPDHPEAPVSWSLGGVSRRAS SLDLEEPRFCLDPEDDWTDPEPLDSLLQVLNAPGYGAHFRSLYDISLPWLSTALYGFDDE EELAERLAQARGVAKKVDLSMALARLCLLLGRLCARKLKLSQARVYFEEALGALEGSFGD LSLVAAVYSSLTTVYLKQKNAEKCVQVAPKAAALLLGTPGHSCNADAELLKYALRRVICG LSPQAEARACFLLARHYTHLKQPEEALPYLERLLRLNRDAGSPQASWPEDCYLLLADIYG RKCLPHLALSCLRVSSLWTRCSLPGSLRSVDLVLQNVPGPNSQRRAGHSLPSQIAYYLRQ ALASLAPGTGQALRGPLYASLAQLYSHHQQYSQAIAFMSQAAEADTAAGVHPVVDRLVAL AWLHMLCGNSLVAMDILKCISDAAVANKDQECVMMNMVAMALKRMGRTRQAAEGYFRALH MAYAQGHLQSQAVVLANFGALCLQAGARSLAQHYLREAVGLFSQVPSGVCGRDFTQVLLW LGQLCTRRALPQQAKCYYEWAFLVAVETDHLESQLQAVQKLCLFYSKVMPNEVRCVIYHE FQLALARKTANKVLEGQLLEAISQLYLSLGTERAYKSALDYTKRSLGIFIDLQQKEKEAR AWLQAGKIYYILRQNELVDLYIQVAQNAALYTGDPKLGLELFEAAGDIFFNGTWEREKAV SFYRDRALPLAVTVGDQEVELRLCNKLVALLSALEAPQEGLEFAHEALALSITLARPWNS MRRPCTM >ENSMUSP00000070610.7 pep:known chromosome:GRCm38:5:35697180:35729276:-1 gene:ENSMUSG00000036553.16 transcript:ENSMUST00000070203.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3tc1 description:SH3 domain and tetratricopeptide repeats 1 [Source:MGI Symbol;Acc:MGI:2678949] MRSFREEEEEATSEELFTMGRGPEEPRGSCSPEDQIQSVSMGLPTGQERTGSAEAEATVR GDVAPPRIVVGLAAEPPPSQMTVYPTDLTLQLLAVRRKSGLRSPSLQQALRSRLRLLEND SREVARVLGELSARLLSIHSDQDRIVVTFKTFEEIWKFSTYHALGFTHHCLENLLVDQTF WLLSPSEEEETAILMYVNKDALKQTHKNLLTQEGSIFVLCPDHRVRRTIGPKGAGKGPQT LRRASGVPLGVAILETDSSSPTPNMSSNVEAAEAEPEPLTPFHQWTLRVPWDPIDESMSG PGTPDAQLMRFGLASAIADFQGSGPEEMSFSVGDVIEILGAQVPGLPWCVGRHMASGQVG FVRTGLVSMQGPASDLESAIFLSEEERSFFRSEGHFSDEDARRLLSRTSGTDVCTTCSLD WLEEAEGEQLEQREMSLPHLNPEPHGTLQMVKNILEKCKACPDHPEAPVSWSLGGVSRRA SSLDLEEPRFCLDPEDDWTDPEPLDSLLQVLNAPGYGAHFRSLYDISLPWLSTALYGFDD EEELAERLAQARGVAKKVDLSMALARLCLLLGRLCARKLKLSQARVYFEEALGALEGSFG DLSLVAAVYSSLTTVYLKQKNAEKCVQVAPKAAALLLGTPGHSCNADAELLKYALRRVIC GLSPQAEARACFLLARHYTHLKQPEEALPYLERLLRLNRDAGSPQASWPEDCYLLLADIY GRKCLPHLALSCLRVSSLWTRCSLPGSLRSVDLVLQNVPGPNSQRRAGHSLPSQIAYYLR QALASLAPGTGQALRGPLYASLAQLYSHHQQYSQAIAFMSQAAEADTAAGVHPVVDRLVA LAWLHMLCGNSLVAMDILKCISDAAVANKDQECVMMNMVAMALKRMGRTRQAAEGYFRAL HMAYAQGHLQSQAVVLANFGALCLQAGARSLAQHYLREAVGLFSQVPSGVCGRDFTQVLL WLGQLCTRRALPQQAKCYYEWAFLVAVETDHLESQLQAVQKLCLFYSKVMPNEVRCVIYH EFQLALARKTANKVLEGQLLEAISQLYLSLGTERAYKSALDYTKRSLGIFIDLQQKEKEA RAWLQAGKIYYILRQNELVDLYIQVAQNAALYTGDPKLGLELFEAAGDIFFNGTWEREKA VSFYRDRALPLAVTVGDQEVELRLCNKLVALLSALEAPQEGLEFAHEALALSITLGDRLN ERVAYHRLATLHHRLGHGELAEHFFLKALSLCSSPLEFDEETLYYVKVYLVLGDIIFYDL KDPFDAAGYYQLALAAAVDLGHKKAQLKIYTRLATIYHHFLVDREMSLFFYQKARTFASE LNLRRTNLVPQRFCGRAPWLAPGHPS >ENSMUSP00000123034.1 pep:known chromosome:GRCm38:5:35697181:35723946:-1 gene:ENSMUSG00000036553.16 transcript:ENSMUST00000127288.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh3tc1 description:SH3 domain and tetratricopeptide repeats 1 [Source:MGI Symbol;Acc:MGI:2678949] XSAEAEATVRGDVAPPRIVVGLAAEPPPSQMTVYPTGTVSQAAVYPQ >ENSMUSP00000119811.1 pep:known chromosome:GRCm38:5:35697183:35716251:-1 gene:ENSMUSG00000036553.16 transcript:ENSMUST00000129664.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh3tc1 description:SH3 domain and tetratricopeptide repeats 1 [Source:MGI Symbol;Acc:MGI:2678949] GPQTLRRASGVPLGVAILETDSSSPTPNMSSNVEAAEAEPEPLTPFHQWTLRVPWDPIDE SMSGPGTPDAQLMRFGLASAIADFQGSGPEEMSFSVGDVIEILGAQVPGLPWCVGRHMAS GQPGKCHFPQ >ENSMUSP00000144175.1 pep:known chromosome:GRCm38:5:35697183:35739987:-1 gene:ENSMUSG00000036553.16 transcript:ENSMUST00000201511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3tc1 description:SH3 domain and tetratricopeptide repeats 1 [Source:MGI Symbol;Acc:MGI:2678949] MRSFREEEEEATSEELFTMGRGPEEPRGSCSPEDQIQSVSMGLPTGQERTGSAEAEATVR GDVAPPRIVVGLAAEPPPSQMTVYPTDLTLQLLAVRRKSGLRSPSLQQALRSRLRLLEND SREVARVLGELSARLLSIHSDQDRIVVTFKTFEEIWKFSTYHALGFTHHCLENLLVDQTF WLLSPSEEEETAILMYVNKDALKQTHKNLLTQEGSIFVLCPDHRVRRTIGPKGAGKGPQT LRRASGVPLGVAILETDSSSPTPNMSSNVEAAEAEPEPLTPFHQWTLRVPWDPIDESMSG PGTPDAQLMRFGLASAIADFQGSGPEEMSFSVGDVIEILGAQVPGLPWCVGRHMASGQVG FVRTGLVSMQGPASDLESAIFLSEEERSFFRSEGHFSDEDARRLLSRTSGTDVCTTCSLD WLEEAEGEQLEQREMSLPHLNPEPHGTLQMVKNILEKCKACPDHPEAPVSWSLGGVSRRA SSLDLEEPRFCLDPEDDWTDPEPLDSLLQVLNAPGYGAHFRSLYDISLPWLSTALYGFDD EEELAERLAQARGVAKKVDLSMALARLCLLLGRLCARKLKLSQARVYFEEALGALEGSFG DLSLVAAVYSSLTTVYLKQKNAEKCVQVAPKAAALLLGTPGHSCNADAELLKYALRRVIC GLSPQAEARACFLLARHYTHLKQPEEALPYLERLLRLNRDAGSPQASWPEDCYLLLADIY GRKCLPHLALSCLRVSSLWTRCSLPGSLRSVDLVLQNVPGPNSQRRAGHSLPSQIAYYLR QALASLAPGTGQALRGPLYASLAQLYSHHQQYSQAIAFMSQAAEADTAAGVHPVVDRLVA LAWLHMLCGNSLVAMDILKCISDAAVANKDQECVMMNMVAMALKRMGRTRQAAEGYFRAL HMAYAQGHLQSQAVVLANFGALCLQAGARSLAQHYLREAVGLFSQVPSGVCGRDFTQVLL WLGQLCTRRALPQQAKCYYEWAFLVAVETDHLESQLQAVQKLCLFYSKVMPNEVRCVIYH EFQLALARKTANKVLEGQLLEAISQLYLSLGTERAYKSALDYTKRSLGIFIDLQQKEKEA RAWLQAGKIYYILRQNELVDLYIQVAQNAALYTGDPKLGLELFEAAGDIFFNGTWEREKA VSFYRDRALPLAVTVGDQEVELRLCNKLVALLSALEAPQEGLEFAHEALALSITLGDRLN ERVAYHRLATLHHRLGHGELAEHFFLKALSLCSSPLEFDEETLYYVKVYLVLGDIIFYDL KDPFDAAGYYQLALAAAVDLGHKKAQLKIYTRLATIYHHFLVDREMSLFFYQKARTFASE LNLRRTNLVPQRFCGRAPWLAPGHPS >ENSMUSP00000031288.7 pep:known chromosome:GRCm38:5:112326358:112338073:1 gene:ENSMUSG00000029345.13 transcript:ENSMUST00000031288.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfip11 description:tuftelin interacting protein 11 [Source:MGI Symbol;Acc:MGI:1930075] MSLSHLYRDGEGHLDDDDDDERENFEITDWDLQNEFNPNRQRHWQTKEEATYGVWAERDS DEERPSFGGKRARDYSAPVNFISAGLKKGAAEEADSEDSDAEEKPVKQEDFPKDLGPKKL KTGGNFKPSQKGFSGGTKSFMDFGSWERHTKGIGQKLLQKMGYVPGRGLGKNAQGIINPI EAKQRKGKGAVGAYGSERTTQSLQDFPVADSEEEAEEEFQKELSQWRKDPSGSKKKPKYS YKTVEELKAKGRVSKKLTAPQKELSQVKVIDMTGREQKVYYSYSQISHKHSVPDEGVPLL AQLPPTAGKEARMPGFALPELEHNLQLLIERTEQEIIQSDRQLQYERDMVVSLSHELEKT AEVLAHEERVISNLSKVLALVEECERRMQPHGADPLTLDECARIFETLQDKYYEEYRLAD RADLAVAIVYPLVKDYFKDWHPLEDGSYGTQIISKWKSLLENDQLLSHSSQDLSSDAFHR LMWEVWMPFVRNVVAQWQPRNCEPMVDFLDSWAHIIPVWILDNILDQLIFPKLQKEVDNW NPLTDTVPIHSWIHPWLPLMQARLEPLYSPVRSKLSSALQKWHPSDASAKLILQPWKEVL TPGSWEAFMLRNIVPKLGMCLGELVINPHQQHMDAFYWVMDWEGMISVSSLVGLLEKHFF PKWLQVLCSWLSNSPNYEEITKWYLGWKSMFSDQVLAHPSVKDKFNEALDIMNRAVSSNV GAYMQPGARENIAYLTHTERRKDFQYEAMQERREAENMAQRGIGVAASSVPMNFKDLIET KAEEHNIVFMPVIGKRHEGKQLYTFGRIVIYIDRGVVFVQGEKTWVPTSLQSLIDMAK >ENSMUSP00000142844.1 pep:known chromosome:GRCm38:5:112326421:112331915:1 gene:ENSMUSG00000029345.13 transcript:ENSMUST00000198238.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfip11 description:tuftelin interacting protein 11 [Source:MGI Symbol;Acc:MGI:1930075] MDFGSWERHTKGIGQKLLQKMGYVPGRGLGKNAQGIINPIEAKQRKGKGAVGAYGSERTT QSLQDFPVADSEEEAEEEFQKELSQWRKDPSGS >ENSMUSP00000115225.1 pep:known chromosome:GRCm38:5:112326492:112328182:1 gene:ENSMUSG00000029345.13 transcript:ENSMUST00000129528.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfip11 description:tuftelin interacting protein 11 [Source:MGI Symbol;Acc:MGI:1930075] MSLSHLYRDGEGHLDDDDDDERENFEITDWDLQNEFNPNRQRHWQTKEEATYGVWAERDS DEERPSFGGK >ENSMUSP00000097145.2 pep:known chromosome:GRCm38:16:90826719:90904695:1 gene:ENSMUSG00000039903.16 transcript:ENSMUST00000099548.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eva1c description:eva-1 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1918217] MCSSQEPISQREDNLTCVASTTLQKVLDECQNQRACHLLVNSRVFGPDLCPGSSKYLLVS FKCQPNELKNKTVCENQELKLHCHESKFLNIYSAAYGRRTQQRDICSSGAELLPPFDCLS YTALQVLSRRCYGKQRCKVLVDNYHFGSPCLPGVKKYLTVAYACVPKNILTAVDPAVANL NPSLKKDDEHGITFNPSGSRVVRKDGVIVSNSLAAFAYIRAHPERAALLFMSSVCIGLLL TLCALVIRVSCTKDFRELRQGREHLVLGSDKAEEDSEEDLEEEDSSDSQFPEELSRFCRT SYPAYSSIEAAELAERIERREQVIQEIWMNSGLDSSLPRNVGHFY >ENSMUSP00000121430.1 pep:known chromosome:GRCm38:16:90830808:90905109:1 gene:ENSMUSG00000039903.16 transcript:ENSMUST00000130868.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eva1c description:eva-1 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1918217] MLLPGHPRPPPAPQSAQNQGLRRQVEPPGQLLRLFYCTVLVCSKETSALTDFSEGAGRVP EPAGLPPPGQ >ENSMUSP00000119510.1 pep:known chromosome:GRCm38:16:90830867:90904653:1 gene:ENSMUSG00000039903.16 transcript:ENSMUST00000152223.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eva1c description:eva-1 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1918217] MLLPGHPRPPPAPQSAQNQGLRRQVEPPGQLLRLFYCTVLVCSKETSALTDFSEGAGRVP EPAGLPPPGQ >ENSMUSP00000036695.7 pep:known chromosome:GRCm38:16:90831113:90904695:1 gene:ENSMUSG00000039903.16 transcript:ENSMUST00000037539.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eva1c description:eva-1 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1918217] MLLPGHPRPPPAPQSAQNQGLRRQVEPPGQLLRLFYCTVLVCSKETSALTDFSGYLTKLL QNHTAYACDGDYLNLQCPRHSTISVQSAFYGQDYQMCSSQEPISQREDNLTCVASTTLQK VLDECQNQRACHLLVNSRVFGPDLCPGSSKYLLVSFKCQPNELKNKTVCENQELKLHCHE SKFLNIYSAAYGRRTQQRDICSSGAELLPPFDCLSYTALQVLSRRCYGKQRCKVLVDNYH FGSPCLPGVKKYLTVAYACVPKNILTAVDPAVANLNPSLKKDDEHGITFNPSGSRVVRKD GVIVSNSLAAFAYIRAHPERAALLFMSSVCIGLLLTLCALVIRVSCTKDFRELRQGREHL VLGSDKAEEDSEEDLEEEDSSDSQFPEELSRFCRTSYPAYSSIEAAELAERIERREQVIQ EIWMNSGLDSSLPRNVGHFY >ENSMUSP00000097141.3 pep:known chromosome:GRCm38:16:90831113:90904695:1 gene:ENSMUSG00000039903.16 transcript:ENSMUST00000099543.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eva1c description:eva-1 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1918217] MLLPGHPRPPPAPQSAQNQGLRRQVEPPGQLLRLFYCTVLVCSKETSALTDFSGYLTKLL QNHTAYACDGDYLNLQCPRHSTISVQSAFYGQDYQMCSSQEPISQREDNLTCVASTTLQK VLDECQNQRACHLLVNSRVFGPDLCPGSSKYLLVSFKCQPNELKNKTVCENQELKLHCHE SKFLNIYSAAYGRRTQQRDICSSGAELLPPFVPKNILTAVDPAVANLNPSLKKDDEHGIT FNPSGSRVVRKDGVIVSNSLAAFAYIRAHPERAALLFMSSVCIGLLLTLCALVIRVSCTK DFRELRQGREHLVLGSDKAEEDSEEDLEEEDSSDSQFPEELSRFCRTSYPAYSSIEAAEL AERIERREQVIQEIWMNSGLDSSLPRNVGHFY >ENSMUSP00000119830.1 pep:known chromosome:GRCm38:16:90846398:90890615:1 gene:ENSMUSG00000039903.16 transcript:ENSMUST00000154180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eva1c description:eva-1 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1918217] MCSSQEPISQREDNLTCVASTTLQKVLDECQNQRACHLLVNSRVFGPDLCPGSSKYLLVS FKCQPNELKNKTVCENQELKLHCHESKFLNIYSAAYGRRTQQRDICSSGAELLPPFDCLS YTALQVLSRRCYGKQRCKVLVDNYH >ENSMUSP00000131821.1 pep:known chromosome:GRCm38:4:44755877:44761971:1 gene:ENSMUSG00000035649.17 transcript:ENSMUST00000154121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc7 description:zinc finger, CCHC domain containing 7 [Source:MGI Symbol;Acc:MGI:2442912] MFGGYETIEAFEDDLYRDDSSSELSVDSEVEF >ENSMUSP00000103454.2 pep:known chromosome:GRCm38:4:44756568:44932203:1 gene:ENSMUSG00000035649.17 transcript:ENSMUST00000107824.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc7 description:zinc finger, CCHC domain containing 7 [Source:MGI Symbol;Acc:MGI:2442912] MFGGYETIEAFEDDLYRDDSSSELSVDSEVEFQLYSQVHYAQSIHNANKEEGYEEKNCEN SETVSSQPNQKNLIVLSDSEVIQLSDTSEVITLSDEDSIYRCKRKNIEVQAEEKTQSPAT SHSNKVAQKCKRNNKKPKPEERPGVIREVMIIEVSSNEEEESTTSENENVESWMLLGSEE DGKDNDILLNLVGCETAGAEDDVNWFISDKDIEAKIDNNRSSGRWNNRYYSVNKNVTCRN CDKRGHLSKNCPLPQKVRACCLCSERGHLQYGCPARYCLDCSLPMSSNHRCFERLSWRKR CDRCDMIGHHADACPEIWRQYHLTTKPGPPKKPKTPSGQSALVYCYNCAQKGHYGHECTE RRMFNQTFPTSPFIYCYDGKYDIQQRDRRIKRKVKDLKKNGDFPRQFKRPHVEETDKRRH HDMRKSRSPRKYRRWPRENKETQKEKTRSREGKTHRRGHQPRGEDEDFPRGSKPNASGCA NNQKPSKSLHHASHYHRLREERLLRESKRSKPKKRKSTEDGSHDDLFLIKQKKKKPKPSG L >ENSMUSP00000131178.1 pep:known chromosome:GRCm38:4:44756639:44762228:1 gene:ENSMUSG00000035649.17 transcript:ENSMUST00000143385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc7 description:zinc finger, CCHC domain containing 7 [Source:MGI Symbol;Acc:MGI:2442912] MFGGYETIEAFEDDLYRDDSSSELSVDSEVEFQLYSQVHYAQSIHNANKEEGYEEKNCEN SETVSSQPNQKNLIVLSDSEVIQLSDTSEVITLSDEDSIYRCKRKNIEVQAEEKTQSP >ENSMUSP00000124542.1 pep:known chromosome:GRCm38:4:44756647:44909816:1 gene:ENSMUSG00000035649.17 transcript:ENSMUST00000142714.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zcchc7 description:zinc finger, CCHC domain containing 7 [Source:MGI Symbol;Acc:MGI:2442912] MFGGYETIEAFEDDLYRDDSSSELSVDSEVEFQLYSQVHYAQSIHNANKEEGYEEKNCEN SETVSSQPNQKNLIVLSDSEVIQLSDTSEVITLSDEDSIYRCKRKNIEVQAEEKTQSPAT SHSNKVAQKCKRNNKKPKPEERPGVIREVMIIEVSSNEEEESTTSENENVESWMLLGSEE DGKDNDILLNLVGCETAGAEGLSQASSLVFFYLPCTLVVFRCFVTPGTLLVFQNLIASLL FSEEMMALNINHSWISKGMMM >ENSMUSP00000126678.1 pep:known chromosome:GRCm38:4:44923118:44932215:1 gene:ENSMUSG00000035649.17 transcript:ENSMUST00000147272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc7 description:zinc finger, CCHC domain containing 7 [Source:MGI Symbol;Acc:MGI:2442912] MLQTKPGPPKKPKTPSGQSALVYCYNCAQKGHYGHECTERRMFNQTFPTSPFIYCYDGKY DIQQRDRRIKRKVKDLKKNGDFPRQFKRPHVEETDKRRHHDMRKSRSPRKYRRWPRENKE TQKEKTRSREGKTHRRGHQPRGEDEDFPRGSKPNASGCANNQKPSKSLHHASHYHRLREE RLLRESKRSKPKKRKSTEDGSHDDLFLIKQKKKKPKPSGL >ENSMUSP00000125979.1 pep:known chromosome:GRCm38:4:44925995:44931362:1 gene:ENSMUSG00000035649.17 transcript:ENSMUST00000126968.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zcchc7 description:zinc finger, CCHC domain containing 7 [Source:MGI Symbol;Acc:MGI:2442912] XKPKTPSGQSALVYCYNCAQKGHYGHECTERRMFNQTFPTSPFIYCYDGKYDIQQRDRRI KRKVKVDIFLRESISET >ENSMUSP00000037890.6 pep:known chromosome:GRCm38:1:180851127:180868113:1 gene:ENSMUSG00000038806.7 transcript:ENSMUST00000038091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sde2 description:SDE2 telomere maintenance homolog (S. pombe) [Source:MGI Symbol;Acc:MGI:2384788] MAEAAVVAWVRGPGTVWKALPCASVGCSVRDVIYRHCQEQEVPVECFFVTCNGVLVNAGD KVQHGAVYSLEPRLRGGKGGFGSMLRALGAQIEKTTNREACRDLSGRRLRDVNHEKAMAE WVKQQAEREAEKEQRRLERLQRKLAEPAHCFTSPDYQRQCHEMAERLEDSVLKGMQAASS KMVSAEITETRKRPNKSKTDQETSAKKRKRKCFWLGMDGLEAAEGSSTGSSEDSSEDDSE DAPGTSEQSCCAREDGIDAVEVAADRPGSPRSSASGTHSESPEKLQCPVTEPGQGILENT GTEPGETSDKECNERKTVTDPEETPARKETESHEATEKDQKTGMSGGDRAAMVLSGEDRK SVPAANLEGNNSGDTALGLEAVDLSAFSSAAELESLGLERLKCELMVLGLKCGGTLQERA ARLFSVRGLTKELIDPALFAKPSKGKKK >ENSMUSP00000060082.6 pep:known chromosome:GRCm38:5:120730333:120749853:-1 gene:ENSMUSG00000032690.16 transcript:ENSMUST00000053909.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas2 description:2'-5' oligoadenylate synthetase 2 [Source:MGI Symbol;Acc:MGI:2180852] MGNWLTGNWSSDRSSGYSSGWSPGGSSGVPSGPVHKLEKSIQANLTPNENCLKQIAVSSV PSQKLEGYIQENLKPNRESLKQIDQAVDAIWDLLRSQIPVKEVAKGGSYGRETALRGCSD GTLVLFMDCFQQFQDQIKYQDAYLDVIELWLKIHEKKSVKHEHALVVQVSVPGQRILLQL LPVFNPLRSNENPSSCVYVDLKKSMDQVRASPGEFSDCFTTLQQRFFKKYPQRLKDLILL VKHWYEQCQEKWKTPPPQPLLYALELLTVYAWEQGCQAEDFDMAQGVRTVLRLIQRPTEL CVYWTVNYNFEDETVRNILLHQLRSQRPVILDPTDPTNNVGKDDGFWELLTEEAMAWLYS PSLNTESPAPYWDVLPMPLFVTPSHLLNKFIKDFLQPNKLFLKQIKEAVDIICSFLKNVC FLNSDTKVLKTVKGGSTAKGTALKRGSDADIVVFLSSLESYDSLKTNRSQFVQEIQKQLE EFVQAQEWEVTFEISKWKAPRVLSFTLKSKTLNESVEFDVLPAYDALGQLRSDFTLRPEA YKDLIELCASQDIKEGEFSICFTELQRNFIQTRPTKLKSLLRLIKHWYKQYERKMKPKAS LPPKYALELLTVYAWEQGSGTDDFDIAEGFRTVLDLVIKYRQLCIFWTVNYNFEEEYMRK FLLTQIQKKRPVILDPADPTGDVGGGDRWCWHLLAEEAKEWLSSPCFQVEQKGLVQPWKV PVMQTPGSCGGQIYPTVGGVTK >ENSMUSP00000080209.6 pep:known chromosome:GRCm38:5:120730334:120749853:-1 gene:ENSMUSG00000032690.16 transcript:ENSMUST00000081491.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas2 description:2'-5' oligoadenylate synthetase 2 [Source:MGI Symbol;Acc:MGI:2180852] MGNWLTGNWSSDRSSGYSSGWSPGGSSGVPSGPVHKLEKSIQANLTPNENCLKQIAVSSV PSQKLEGYIQENLKPNRESLKQIDQAVDAIWDLLRSQIPVKEVAKGGSYGRETALRGCSD GTLVLFMDCFQQFQDQIKYQDAYLDVIELWLKIHEKKSVKHEHALVVQVSVPGQRILLQL LPVFNPLRSNENPSSCVYVDLKKSMDQVRASPGEFSDCFTTLQQRFFKKYPQRLKDLILL VKHWYEQCQEKWKTPPPQPLLYALELLTVYAWEQGCQAEDFDMAQGVRTVLRLIQRPTEL CVYWTVNYNFEDETVRNILLHQLRSQRPVILDPTDPTNNVGKDDGFWELLTEEAMAWLYS PSLNTESPAPYWDVLPMPLFVTPSHLLNKFIKDFLQPNKLFLKQIKEAVDIICSFLKNVC FLNSDTKVLKTVKGGSTAKGTALKRGSDADIVVFLSSLESYDSLKTNRSQFVQEIQKQLE EFVQAQEWEVTFEISKWKAPRVLSFTLKSKTLNESVEFDVLPAYDALGQLRSDFTLRPEA YKDLIELCASQDIKEGEFSICFTELQRNFIQTRPTKLKSLLRLIKHWYKQYERKMKPKAS LPPKYALELLTVYAWEQGSGTDDFDIAEGFRTVLDLVIKYRQLCIFWTVNYNFEEEYMRK FLLTQIQKKRPVILDPADPTGDVGGGDRWCWHLLAEEAKEWLSSPCFQVEQKGLVQPWKV PVPRDLKTSDMVGVFTTGGILWQDQGFLSFV >ENSMUSP00000122053.1 pep:known chromosome:GRCm38:5:120733282:120738408:-1 gene:ENSMUSG00000032690.16 transcript:ENSMUST00000146101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas2 description:2'-5' oligoadenylate synthetase 2 [Source:MGI Symbol;Acc:MGI:2180852] XTFEISKWKAPRVLSFTLKSKTLNESVEFDVLPAYDALGQLRSDFTLRPEAYKDLIELCA SQDIKEGEFSICFTELQRNFIQTRPTKLKSLLRLIKHWYKQYERKMKPKASLPPKYALEL LTVYAWEQGSGTDDFDIAEGFRTVLDLVIKYRQLCIFWTVNYNFEEEYMRKFLLTQIQKK RPVILDPADPTGDVGGGDRWCWHLLAEEAKEWLSSPCFQVEQKGLVQPWKVPVRAL >ENSMUSP00000109305.1 pep:known chromosome:GRCm38:6:86736840:86765910:-1 gene:ENSMUSG00000029994.17 transcript:ENSMUST00000113675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa4 description:annexin A4 [Source:MGI Symbol;Acc:MGI:88030] MEAKGGTVKAASGFNATEDAQTLRKAMKGLGTDEDAIIGILAYRNTAQRQEIRSAYKSTI GRDLIEDLKSELSSNFEQVILGLMTPTVLYDVQELRRAMKGAGTDEGCLIEILASRTPEE IRRINQTYQQQYGRSLEEDICSDTSFMFQRVLVSLSAAGRDEGNYLDDALMKQDAQELYE AGEKRWGTDEVKFLSILCSRNRNHLLHVFDEYKRISQKDIEQSIKSETSGSFEDALLAIV KCMRSKPSYFAERLYKSMKGLGTDDNTLIRVMVSRAEIDMLDIRASFKRLYGKSLYSFIK GDTSGDYRKVLLVLCGGDD >ENSMUSP00000001187.8 pep:known chromosome:GRCm38:6:86736841:86793584:-1 gene:ENSMUSG00000029994.17 transcript:ENSMUST00000001187.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa4 description:annexin A4 [Source:MGI Symbol;Acc:MGI:88030] MEAKGGTVKAASGFNATEDAQTLRKAMKGLGTDEDAIIGILAYRNTAQRQEIRSAYKSTI GRDLIEDLKSELSSNFEQVILGLMTPTVLYDVQELRRAMKGAGTDEGCLIEILASRTPEE IRRINQTYQQQYGRSLEEDICSDTSFMFQRVLVSLSAAGRDEGNYLDDALMKQDAQELYE AGEKRWGTDEVKFLSILCSRNRNHLLHVFDEYKRISQKDIEQSIKSETSGSFEDALLAIV KCMRSKPSYFAERLYKSMKGLGTDDNTLIRVMVSRAEIDMLDIRASFKRLYGKSLYSFIK GDTSGDYRKVLLVLCGGDD >ENSMUSP00000138194.1 pep:known chromosome:GRCm38:6:86736847:86765824:-1 gene:ENSMUSG00000029994.17 transcript:ENSMUST00000127152.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Anxa4 description:annexin A4 [Source:MGI Symbol;Acc:MGI:88030] MEAKGGTVKAASGFNATEDAQTLRKAMKGLGTDEDAIIGILAYRNTAQRQEIRSAYKSTI GRDLIEDLKSELSSNFEQVILGLMTPTVLYDVQELRRAMKGAGTDEGCLIEILASRTPEE IRRINQTYQQQYGRSLEEDICSDTSFMFQRVLVSLSAAGRDEGNYLDDALMKQDAQVFQH SWIPDLFLTLRTQQGS >ENSMUSP00000117378.1 pep:known chromosome:GRCm38:6:86737728:86760737:-1 gene:ENSMUSG00000029994.17 transcript:ENSMUST00000155456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa4 description:annexin A4 [Source:MGI Symbol;Acc:MGI:88030] AASGFNATEDAQTLRKAMKGLGTDEDAIIGILAYRNTAQRQEIRSAYKSTIGRAGRDEGN YLDDALMKQDAQELYEAGEKRWGTDEVKFLSILCSRNRNHLLHVFDEYKRISQKDIEQSI KSETSGSFEDALLAIVKCMRSKPSYFAERLYKSMKGLGTDDNTLIRVMVSRAEIDMLDIR ASFKRLYGKSLYSFIKGDTSGDYRKVLLVLCGGDD >ENSMUSP00000115346.1 pep:known chromosome:GRCm38:6:86741908:86765807:-1 gene:ENSMUSG00000029994.17 transcript:ENSMUST00000123732.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa4 description:annexin A4 [Source:MGI Symbol;Acc:MGI:88030] MEAKGGTVKAASGFNATEDAQTLRKAMKGLGTDEDAIIGILAYRNTAQRQEIRSAYKSTI GRDLIEDLKSELSSNFEQGAGTDEGCLIEILASRTPEEIRRINQTYQQQYGRSLEEDICS DTSFMFQRVLVSLSAAGRDEGNYLDDALMKQDAQELYEAGEKRWGTDEVKFLSILCSRNR NHLLHVFDEYKRISQKDIEQSIKSETSGSFEDALLAIVKCMRSKPSYFAERLYKSMKGLG TDDNTLIRVMVSRA >ENSMUSP00000145421.1 pep:known chromosome:GRCm38:6:86741915:86793564:-1 gene:ENSMUSG00000029994.17 transcript:ENSMUST00000204441.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa4 description:annexin A4 [Source:MGI Symbol;Acc:MGI:88030] MEAKGGTVKAASGFNATEDAQTLRKAMKGLGTDEDAIIGILAYRNTAQRQEIRSAYKSTI GRDLIEDLKSELSSNFEQVILGLMTPTVLYDVQELRRAMKGAGTDEGCLIEILASRTPEE IRRINQTYQQQYGRSLEEDICSDTSFMFQRVLVSLSAAGRDEGNYLDDALMKQDAQELYE AGEKRWGTDEVKFLSILCSRNRNHLLHVFDEYKRISQKDIEQSIKSETSGSFEDALLAIV KCMRSKPSYFAERLYKSMKGLGTDDNTLIRVMVS >ENSMUSP00000144961.1 pep:known chromosome:GRCm38:6:86743120:86793564:-1 gene:ENSMUSG00000029994.17 transcript:ENSMUST00000204398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa4 description:annexin A4 [Source:MGI Symbol;Acc:MGI:88030] MEAKGGTVKAASGFNATEDAQTLRKAMKGLGTDEDAIIGILAYRNTAQRQEIRSAYKSTI GRDLIEDLKSELSSNFEQVILGLMTPTVLYDVQELRRAMKGAGTDEGCLIEILASRTPEE IRRINQTYQQQYGRSLEEDICSDTSFMFQRVLVSLSAAGRDEGNYLDDALMKQDAQELYE AGEKRWGTDEVKFLSILCSRNRNHLLHVFDEYKRISQKDIEQSIKSETSGSFEDALLAIV KCMRSKPSYFAERLYKSM >ENSMUSP00000030814.6 pep:known chromosome:GRCm38:5:24418241:24423530:-1 gene:ENSMUSG00000028969.10 transcript:ENSMUST00000030814.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5 description:cyclin-dependent kinase 5 [Source:MGI Symbol;Acc:MGI:101765] MQKYEKLEKIGEGTYGTVFKAKNRETHEIVALKRVRLDDDDEGVPSSALREICLLKELKH KNIVRLHDVLHSDKKLTLVFEFCDQDLKKYFDSCNGDLDPEIVKSFLFQLLKGLGFCHSR NVLHRDLKPQNLLINRNGELKLADFGLARAFGIPVRCYSAEVVTLWYRPPDVLFGAKLYS TSIDMWSAGCIFAELANAGRPLFPGNDVDDQLKRIFRLLGTPTEEQWPAMTKLPDYKPYP MYPATTSLVNVVPKLNATGRDLLQNLLKCNPVQRISAEEALQHPYFSDFCPP >ENSMUSP00000142413.1 pep:known chromosome:GRCm38:5:24419376:24423485:-1 gene:ENSMUSG00000028969.10 transcript:ENSMUST00000198990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5 description:cyclin-dependent kinase 5 [Source:MGI Symbol;Acc:MGI:101765] MQKYEKLEKIGEGTYGTVFKAKNRETHEIVALKRVRLDDDDEGVPSSALREICLLKELKH KNIVRLHDVLHSDKKLTLVFEFCDQDLKKYFDSCNGDLDPEIVKNGELKLADFGLARAFG IPVRCYSAEVVTLWYRPPDVLFGAKLYSTSIDMWSAGCIFAELANAGRPLFPGNDVDDQL KRIFRLLGTPTEEQWPAMTKLPDYKPYPMYPATTSLVNVVPKLNATGRDLLQNLLKCNPV QRISAEEALQHPYFSDFCPP >ENSMUSP00000116156.1 pep:known chromosome:GRCm38:2:132529082:132578178:-1 gene:ENSMUSG00000027346.15 transcript:ENSMUST00000148833.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpcpd1 description:glycerophosphocholine phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:104898] MTPSQVTFEIRGTLLPGEVFAICGSCDALGNWNPQNAVALINENETGDSVLWKAVIALNR GVSVKYRYFRGCFLEPKKVKSLLTMDSLASTGKAHTRGSGGR >ENSMUSP00000105763.1 pep:known chromosome:GRCm38:2:132529082:132578187:-1 gene:ENSMUSG00000027346.15 transcript:ENSMUST00000110136.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpcpd1 description:glycerophosphocholine phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:104898] MSNSLEISLISDNEFKCRHSQPECGYGLQPDRWTEYSIQTMEPDNLELIFDFFEEDLSEH VVQGDVLPGHVGTACLLSSTIAESGRSAGILTLPIMSRNSRKTIGKVRVDFIIIKPLPGY SCSMQSSFSKYWKPRIPLDVGHRGAGNSTTTAKLAKVQENTIASLRNAASHGAAFVEFDV HLSKDFVPVVYHDLTCCLTMKRKYEADPVELFEIPVKELTFDQLQLLKLSHVTALKTKDR KQSLYEEENFFSENQPFPSLKMVLESLPENVGFNIEIKWICQHRDGVWDGNLSTYFDMNV FLDIILKTVLENSGKRRIVFSSFDADICTMVRQKQNKYPILFLTQGKSDIYPELMDLRSR TTPIAMSFAQFENILGINAHTEDLLRNPSYVQEAKAKGLVIFCWGDDTNDPENRRKLKEF GVNGLIYDRIYDWMPEQPNIFQVEQLERLKQELPELKNCLCPTVSHFIPSSFCVEPDIHV DANGIDSVENA >ENSMUSP00000062221.5 pep:known chromosome:GRCm38:2:132529082:132578233:-1 gene:ENSMUSG00000027346.15 transcript:ENSMUST00000060955.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpcpd1 description:glycerophosphocholine phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:104898] MTPSQVTFEIRGTLLPGEVFAICGSCDALGNWNPQNAVALINENETGDSVLWKAVIALNR GVSVKYRYFRGCFLEPKTIGGPCQVIVHKWETHLQPRSITPLESEIIIDDGQFGIHNGVE TLDSGWLTCQTEIRLRLHFSEKPPVSISKKKFKKSRFRVKLTLEGLEEDEDDDDDKVSPT VLHKMSNSLEISLISDNEFKCRHSQPECGYGLQPDRWTEYSIQTMEPDNLELIFDFFEED LSEHVVQGDVLPGHVGTACLLSSTIAESGRSAGILTLPIMSRNSRKTIGKVRVDFIIIKP LPGYSCSMQSSFSKYWKPRIPLDVGHRGAGNSTTTAKLAKVQENTIASLRNAASHGAAFV EFDVHLSKDFVPVVYHDLTCCLTMKRKYEADPVELFEIPVKELTFDQLQLLKLSHVTALK TKDRKQSLYEEENFFSENQPFPSLKMVLESLPENVGFNIEIKWICQHRDGVWDGNLSTYF DMNVFLDIILKTVLENSGKRRIVFSSFDADICTMVRQKQNKYPILFLTQGKSDIYPELMD LRSRTTPIAMSFAQFENILGINAHTEDLLRNPSYVQEAKAKGLVIFCWGDDTNDPENRRK LKEFGVNGLIYDRIYDWMPEQPNIFQVEQLERLKQELPELKNCLCPTVSHFIPSSFCVEP DIHVDANGIDSVENA >ENSMUSP00000116949.1 pep:known chromosome:GRCm38:2:132529082:132578235:-1 gene:ENSMUSG00000027346.15 transcript:ENSMUST00000149854.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpcpd1 description:glycerophosphocholine phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:104898] MTPSQVTFEIRGTLLPGEVFAICGSCDALGNWNPQNAVALINENETGDSVLWKAVIALNR GVSVKYRYFRGCFLEPKKVKSLLTMDSLASTMVLKHWILDGLHVRLK >ENSMUSP00000116457.1 pep:known chromosome:GRCm38:2:132529082:132578248:-1 gene:ENSMUSG00000027346.15 transcript:ENSMUST00000145694.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpcpd1 description:glycerophosphocholine phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:104898] MTPSQVTFEIRGTLLPGEVFAICGSCDALGNWNPQNAVALINENETGDSVLWKAVIALNR GVSVKYRYFRGCFLEPKTIGGPCQVIVHKWETHLQPRSITPLESEIIIDDGQFGIHR >ENSMUSP00000028822.7 pep:known chromosome:GRCm38:2:132532726:132578133:-1 gene:ENSMUSG00000027346.15 transcript:ENSMUST00000028822.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpcpd1 description:glycerophosphocholine phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:104898] MSNSLEISLISDNEFKCRHSQPECGYGLQPDRWTEYSIQTMEPDNLELIFDFFEEDLSEH VVQGDVLPGHVGTACLLSSTIAESGRSAGILTLPIMSRNSRKTIGKVRVDFIIIKPLPGY SCSMQSSFSKYWKPRIPLDVGHRGAGNSTTTAKLAKVQENTIASLRNAASHGAAFVEFDV HLSKDFVPVVYHDLTCCLTMKRKYEADPVELFEIPVKELTFDQLQLLKLSHVTALKTKDR KQSLYEEENFFSENQPFPSLKMVLESLPENVGFNIEIKWICQHRDGVWDGNLSTYFDMNV FLDIILKTVLENSGKRRIVFSSFDADICTMVRQKQNKYPILFLTQGKSDIYPELMDLRSR TTPIAMSFAQFENILGINAHTEDLLRNPSYVQEAKAKGLVIFCWGDDTNDPENRRKLKEF GVNGLIYDRYLFFIKNFHGIFQKV >ENSMUSP00000117217.1 pep:known chromosome:GRCm38:2:132534152:132538127:-1 gene:ENSMUSG00000027346.15 transcript:ENSMUST00000124632.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpcpd1 description:glycerophosphocholine phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:104898] XENFFSENQPFPSLKMITGIVGVVYYP >ENSMUSP00000122751.1 pep:known chromosome:GRCm38:2:132550462:132578187:-1 gene:ENSMUSG00000027346.15 transcript:ENSMUST00000124107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpcpd1 description:glycerophosphocholine phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:104898] MTPSQVTFEIRGTLLPGEVFAICGSCDALGNWNPQNAVALINENETGDSVLWKAVIALNR GVSVKYRYFRGCFLEPKTIGGPCQVIVHKWETHLQPRSITPLESEIIIDDGQFGIHNGVE TLDSGWLTCQTEIRLRLHFSEKPPVSISKKKFKKSRFRVKLTLEGLEEDEDDDDDKVSPT VLHKMSNSL >ENSMUSP00000105762.1 pep:known chromosome:GRCm38:2:132553957:132587729:-1 gene:ENSMUSG00000027346.15 transcript:ENSMUST00000110135.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpcpd1 description:glycerophosphocholine phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:104898] MTPSQVTFEIRGTLLPGEVFAICGSCDALGNWNPQNAVALINENETGDSVLWKAVIALNR GVSVKYRYFRGCFLEPKTIGGPCQVIVHKWETHLQPRSITPLESEIIIDDGQFGIHNGVE TLDSGWLTCQTEIRLRLHFSEKPPVSISKKKFKKSRF >ENSMUSP00000105769.1 pep:known chromosome:GRCm38:2:132529083:132578248:-1 gene:ENSMUSG00000027346.15 transcript:ENSMUST00000110142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpcpd1 description:glycerophosphocholine phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:104898] MTPSQVTFEIRGTLLPGEVFAICGSCDALGNWNPQNAVALINENETGDSVLWKAVIALNR GVSVKYRYFRGCFLEPKTIGGPCQVIVHKWETHLQPRSITPLESEIIIDDGQFGIHNGVE TLDSGWLTCQTEIRLRLHFSEKPPVSISKKKFKKSRFRVKLTLEGLEEDEDDDDDKVSPT VLHKMSNSLEISLISDNEFKCRHSQPECGYGLQPDRWTEYSIQTMEPDNLELIFDFFEED LSEHVVQGDVLPGHVGTACLLSSTIAESGRSAGILTLPIMSRNSRKTIGKVRVDFIIIKP LPGYSCSMQSSFSKYWKPRIPLDVGHRGAGNSTTTAKLAKVQENTIASLRNAASHGAAFV EFDVHLSKDFVPVVYHDLTCCLTMKRKYEADPVELFEIPVKELTFDQLQLLKLSHVTALK TKDRKQSLYEEENFFSENQPFPSLKMVLESLPENVGFNIEIKWICQHRDGVWDGNLSTYF DMNVFLDIILKTVLENSGKRRIVFSSFDADICTMVRQKQNKYPILFLTQGKSDIYPELMD LRSRTTPIAMSFAQFENILGINAHTEDLLRNPSYVQEAKAKGLVIFCWGDDTNDPENRRK LKEFGVNGLIYDRIYDWMPEQPNIFQVEQLERLKQELPELKNCLCPTVSHFIPSSFCVEP DIHVDANGIDSVENA >ENSMUSP00000053013.4 pep:known chromosome:GRCm38:4:119169513:119173856:-1 gene:ENSMUSG00000045268.13 transcript:ENSMUST00000052715.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp691 description:zinc finger protein 691 [Source:MGI Symbol;Acc:MGI:3041163] MGSEKEQRPEAHLPEEGEGAKPWRVDGSKDSQITPREDHGQESLLAGLHGTHPPKTRQKV TAQAGGPRDPMLFSSPETDEKLFICAQCGKTFNNTSNLRTHQRIHTGEKPYKCSECGKSF SRSSNRIRHERIHLEEKHYQCAKCQESFRRRSDLTTHQQDHLGQRPYRCDICGKSFTQSS TLAVHHRTHLEPAPYICCECGKSFSNSSSFGVHHRTHTGERPYECTECGRTFSDISNFGA HQRTHRGEKPYRCTLCGKHFSRSSNLIRHQKTHLGEQDEKDFS >ENSMUSP00000101962.3 pep:known chromosome:GRCm38:4:119169513:119174195:-1 gene:ENSMUSG00000045268.13 transcript:ENSMUST00000106355.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp691 description:zinc finger protein 691 [Source:MGI Symbol;Acc:MGI:3041163] MGSEKEQRPEAHLPEEGEGAKPWRVDGSKDSQITPREDHGQESLLAGLHGTHPPKTRQKV TAQAGGPRDPMLFSSPETDEKLFICAQCGKTFNNTSNLRTHQRIHTGEKPYKCSECGKSF SRSSNRIRHERIHLEEKHYQCAKCQESFRRRSDLTTHQQDHLGQRPYRCDICGKSFTQSS TLAVHHRTHLEPAPYICCECGKSFSNSSSFGVHHRTHTGERPYECTECGRTFSDISNFGA HQRTHRGEKPYRCTLCGKHFSRSSNLIRHQKTHLGEQDEKDFS >ENSMUSP00000115832.1 pep:known chromosome:GRCm38:4:119170996:119173856:-1 gene:ENSMUSG00000045268.13 transcript:ENSMUST00000154226.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp691 description:zinc finger protein 691 [Source:MGI Symbol;Acc:MGI:3041163] MGSEKEQRPEAHL >ENSMUSP00000136119.1 pep:known chromosome:GRCm38:4:119169516:119173856:-1 gene:ENSMUSG00000045268.13 transcript:ENSMUST00000179290.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp691 description:zinc finger protein 691 [Source:MGI Symbol;Acc:MGI:3041163] MGSEKEQRPEAHLPEEGEGAKPWRVDGSKDSQITPREDHGQESLLAGLHGTHPPKTRQKV TAQAGGPRDPMLFSSPETDEKLFICAQCGKTFNNTSNLRTHQRIHTGEKPYKCSECGKSF SRSSNRIRHERIHLEEKHYQCAKCQESFRRRSDLTTHQQDHLGQRPYRCDICGKSFTQSS TLAVHHRTHLEPAPYICCECGKSFSNSSSFGVHHRTHTGERPYECTECGRTFSDISNFGA HQRTHRGEKPYRCTLCGKHFSRSSNLIRHQKTHLGEQDEKDFS >ENSMUSP00000124076.1 pep:known chromosome:GRCm38:5:100845713:100846810:1 gene:ENSMUSG00000029314.14 transcript:ENSMUST00000144623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat9 description:1-acylglycerol-3-phosphate O-acyltransferase 9 [Source:MGI Symbol;Acc:MGI:3603816] M >ENSMUSP00000108508.1 pep:known chromosome:GRCm38:5:100846130:100899099:1 gene:ENSMUSG00000029314.14 transcript:ENSMUST00000112887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat9 description:1-acylglycerol-3-phosphate O-acyltransferase 9 [Source:MGI Symbol;Acc:MGI:3603816] MEGADLAVKLLSTWLTLVGGLILLPSAFGLSLGISEIYMKILVKTLEWATLRIQKGAPKE SALKNSASVGIIQRDESPMEKGLSGLRGRDFELSDVFYFSKKGLEAIVEDEVTQRFSSEE LVSWNLLTRTNVNFQYISPRLTMVWVLGVLVRYCFLLPLRVTLAFIGISLLIIGTTLVGQ LPDSSLKNWLSELVHLTCCRICVRSLSGTIHYHNKQYRPQKGGICVANHTSPIDVLILAT DGCYAMVGQVHGGLMGIIQRAMVKACPHVWFERSEIKDRHLVTKRLKEHIADKKKLPILI FPEGTCINNTSVMMFKKGSFEIGGTIYPVAIKYNPQFGDAFWNSSKYNLVSYLLRIMTSW AIVCDVWYMPPMTREEGEDAVQFANRVKSAIAVQGGLTELPWDGGLKRAKVKDTFKEEQQ KNYSKMIVGNGSPNLARD >ENSMUSP00000031255.8 pep:known chromosome:GRCm38:5:100846219:100899099:1 gene:ENSMUSG00000029314.14 transcript:ENSMUST00000031255.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat9 description:1-acylglycerol-3-phosphate O-acyltransferase 9 [Source:MGI Symbol;Acc:MGI:3603816] MEGADLAVKLLSTWLTLVGGLILLPSAFGLSLGISEIYMKILVKTLEWATLRIQKGAPKE SALKNSASVGIIQRDESPMEKGLSGLRGRDFELSDVFYFSKKGLEAIVEDEVTQRFSSEE LVSWNLLTRTNVNFQYISPRLTMVWVLGVLVRYCFLLPLRVTLAFIGISLLIIGTTLVGQ LPDSSLKNWLSELVHLTCCRICVRSLSGTIHYHNKQYRPQKGGICVANHTSPIDVLILAT DGCYAMVGQVHGGLMGIIQRAMVKACPHVWFERSEIKDRHLVTKRLKEHIADKKKLPILI FPEGTCINNTSVMMFKKGSFEIGGTIYPVAIKYNPQFGDAFWNSSKYNLVSYLLRIMTSW AIVCDVWYMPPMTREEGEDAVQFANRVKSAIAVQGGLTELPWDGGLKRAKVKDTFKEEQQ KNYSKMIVGNGSPNLARD >ENSMUSP00000090667.3 pep:known chromosome:GRCm38:5:100846364:100899102:1 gene:ENSMUSG00000029314.14 transcript:ENSMUST00000092990.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat9 description:1-acylglycerol-3-phosphate O-acyltransferase 9 [Source:MGI Symbol;Acc:MGI:3603816] MEGADLAVKLLSTWLTLVGGLILLPSAFGLSLGISEIYMKILVKTLEWATLRIQKGAPKE SALKNSASVGIIQRDESPMEKGLSGLRGRDFELSDVFYFSKKGLEAIVEDEVTQRFSSEE LVSWNLLTRTNVNFQYISPRLTMVWVLGVLVRYCFLLPLRVTLAFIGISLLIIGTTLVGQ LPDSSLKNWLSELVHLTCCRICVRSLSGTIHYHNKQYRPQKGGICVANHTSPIDVLILAT DGCYAMVGQVHGGLMGIIQRAMVKACPHVWFERSEIKDRHLVTKRLKEHIADKKKLPILI FPEGTCINNTSVMMFKKGSFEIGGTIYPVAIKYNPQFGDAFWNSSKYNLVSYLLRIMTSW AIVCDVWYMPPMTREEGEDAVQFANRVKSAIAVQGGLTELPWDGGLKRAKVKDTFKEEQQ KNYSKMIVGNGSPNLARD >ENSMUSP00000114813.1 pep:known chromosome:GRCm38:5:100846417:100846850:1 gene:ENSMUSG00000029314.14 transcript:ENSMUST00000145612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat9 description:1-acylglycerol-3-phosphate O-acyltransferase 9 [Source:MGI Symbol;Acc:MGI:3603816] MEGADLAVKLLSTW >ENSMUSP00000144561.1 pep:known chromosome:GRCm38:2:35256380:35307890:1 gene:ENSMUSG00000026879.14 transcript:ENSMUST00000201185.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsn description:gelsolin [Source:MGI Symbol;Acc:MGI:95851] MVVEHPEFLKAGKEPGLQIWRVEKFDLVPVPPNLYGDFFTGDAYVILKTVQLRNGNLQYD LHYWLGNECSQDESGAAAIFTVQLDDYLNGRAVQHREVQGFESSTFSGYFKSGLKYKKGG VASGFKHVVPNEVVVQRLFQVKGRRVVRATEVPVSWDSFNNGDCFILDLGNNIYQWCGSG SNKFERLKATQVSKGIRDNERSGRAQVHVSEEGGEPEAMLQVLGPKPALPEGTEDTAKED AANRRLAKLYKVSNGAGSMSVSLVADENPFAQGALRSEDCFILDHGRDGKIFVWKGKQAN MEERKAALKTASDFISKMQYPRQTQVSVLPEGGETPLFKQFFKNWRDPDQTDGPGLGYLS SHIANVERVPFDAATLHTSTAMAAQHGMDDDGTGQKQIWRIEGSNKVPVDPATYGQFYGG DSYIILYNYRHGGRQGQIIYNWQGAQSTQDEVAASAILTAQLDEELGGTPVQSRVVQGKE PAHLMSLFGGKPMIIYKGGTSRDGGQTAPASIRLFQVRASSSGATRAVEVMPKSGALNSN DAFVLKTPSAAYLWVGAGASEAEKTGAQELLKVLRSQHVQVEEGSEPDAFWEALGGKTAY RTSPRLKDKKMDAHPPRLFACSNRIGRFVIEEVPGELMQEDLATDDVMLLDTWDQVFVWV GKDSQEEEKTEALTSAKRYIETDPANRDRRTPITVVRQGFEPPSFVGWFLGWDDNYWSVD PLDRALAELAA >ENSMUSP00000144296.1 pep:known chromosome:GRCm38:2:35256384:35303251:1 gene:ENSMUSG00000026879.14 transcript:ENSMUST00000202990.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsn description:gelsolin [Source:MGI Symbol;Acc:MGI:95851] MEKLFCCFPSTMVVEHPEFLKAGKEPGLQIWRVEKFDLVPVPPNLYGDFFTGDAYVILKT VQLRNGNLQYDLHYWLGNECSQDESGAAAIFTVQLDDYLNGRAVQHREVQGFESSTFSGY FKSGLKYKKGGVASGFKHVVPNEVVVQRLFQVKGRRVVRATEVPVSWDSFNNGDCFILDL GNNIYQWCGSGSNKFERLKATQVSKGIRDNERSGRAQVHVSEEGGEPEAMLQVLGPKPAL PEGTEDTAKEDAANRRLAKLYKVSNGAGSMSVSLVADENPFAQGALRSEDCFILDHGRDG KIFVWKGKQANMEERKAALKTASDFISKMQYPRQTQVSVLPEGGETPLFKQFFKNWRDPD QTDGPGLGYLSSHIANVERVPFDAATLHTSTAMAAQHGMDDDGTGQKQIWRIEGSNKVPV DPATYGQFYGGDSYIILYNYRHGGRQGQIIYNWQGAQSTQDEVAASAILTAQLDEELGGT PVQSRVVQGKEPAHLMSLFGGKPMIIYKGGTSRDGGQTAPASIRLFQVRASSSGATRAVE VMPKSGALNSNDAFVLKTPSAAYLWVGA >ENSMUSP00000144470.1 pep:known chromosome:GRCm38:2:35256396:35293569:1 gene:ENSMUSG00000026879.14 transcript:ENSMUST00000202899.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsn description:gelsolin [Source:MGI Symbol;Acc:MGI:95851] MVVEHPEFLKAGKEPGLQIWRVEKFDLVPVPPNLYGDFFTGDAYVILKTVQLRNGNLQYD LHYWLGNECSQDESGAAAIFTVQLDDYLNGRAVQHREVQGFESSTFSGYFKSGLKYKKGG VASGFKHVVPNEVVVQRLFQVKGRRVVRATEVPVSWDSFNNGDCFILDLGNNIYQWCGSG SNKFERLKATQVSKGIRDNERSGRAQVHVSEEGGEPEAMLQVLGPKPALPEGTEDTAKED AANRRLAKLYKV >ENSMUSP00000118120.1 pep:known chromosome:GRCm38:2:35256409:35293567:1 gene:ENSMUSG00000026879.14 transcript:ENSMUST00000142324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsn description:gelsolin [Source:MGI Symbol;Acc:MGI:95851] MVVEHPEFLKAGKEPGLQIWRVEKFDLVPVPPNLYGDFFTGDAYVILKTVQLRNGNLQYD LHYWLGNECSQDESGAAAIFTVQLDDYLNGRAVQHREVQGFESSTFSGYFKSGLKYKKGG VASGFKHVVPNEVVVQRLFQVKGRRVVRATEVPVSWDSFNNGDCFILDLGNNIYQWCGSG SNKFERLKATQVSKGIRDNERSGRAQVHVSEEGGEPEAMLQVLGPKPALPEGTEDTAKED AANRRLAKLYK >ENSMUSP00000144217.1 pep:known chromosome:GRCm38:2:35256449:35290447:1 gene:ENSMUSG00000026879.14 transcript:ENSMUST00000139867.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsn description:gelsolin [Source:MGI Symbol;Acc:MGI:95851] MEKLFCCFPSTMVVEHPEFLKAGKEPGLQIWRVEKFDLVPVPPNLYGDFFTGDAYVILKT VQLRNGNLQYDLHYWLGNECSQDESGAAAIFTVQLDDYLNGRAVQHREVQGFESSTFSGY FKSGLKYKKGGVASGFKHVVPNEVVVQRLFQVKGRRVVRATEVPVS >ENSMUSP00000028239.6 pep:known chromosome:GRCm38:2:35282380:35307892:1 gene:ENSMUSG00000026879.14 transcript:ENSMUST00000028239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsn description:gelsolin [Source:MGI Symbol;Acc:MGI:95851] MAPYRSSLLCALLLLALCALSPSHAATTSRGRAQERAPQSRVSEARPSTMVVEHPEFLKA GKEPGLQIWRVEKFDLVPVPPNLYGDFFTGDAYVILKTVQLRNGNLQYDLHYWLGNECSQ DESGAAAIFTVQLDDYLNGRAVQHREVQGFESSTFSGYFKSGLKYKKGGVASGFKHVVPN EVVVQRLFQVKGRRVVRATEVPVSWDSFNNGDCFILDLGNNIYQWCGSGSNKFERLKATQ VSKGIRDNERSGRAQVHVSEEGGEPEAMLQVLGPKPALPEGTEDTAKEDAANRRLAKLYK VSNGAGSMSVSLVADENPFAQGALRSEDCFILDHGRDGKIFVWKGKQANMEERKAALKTA SDFISKMQYPRQTQVSVLPEGGETPLFKQFFKNWRDPDQTDGPGLGYLSSHIANVERVPF DAATLHTSTAMAAQHGMDDDGTGQKQIWRIEGSNKVPVDPATYGQFYGGDSYIILYNYRH GGRQGQIIYNWQGAQSTQDEVAASAILTAQLDEELGGTPVQSRVVQGKEPAHLMSLFGGK PMIIYKGGTSRDGGQTAPASIRLFQVRASSSGATRAVEVMPKSGALNSNDAFVLKTPSAA YLWVGAGASEAEKTGAQELLKVLRSQHVQVEEGSEPDAFWEALGGKTAYRTSPRLKDKKM DAHPPRLFACSNRIGRFVIEEVPGELMQEDLATDDVMLLDTWDQVFVWVGKDSQEEEKTE ALTSAKRYIETDPANRDRRTPITVVRQGFEPPSFVGWFLGWDDNYWSVDPLDRALAELAA >ENSMUSP00000052912.5 pep:known chromosome:GRCm38:12:17266545:17284770:1 gene:ENSMUSG00000020571.12 transcript:ENSMUST00000057288.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdia6 description:protein disulfide isomerase associated 6 [Source:MGI Symbol;Acc:MGI:1919103] MRVIGMARLVLGLVSCTFFLAVSGLYSSSDDVIELTPSNFNREVIQSDGLWLVEFYAPWC GHCQRLTPEWKKAATALKDVVKVGAVNADKHQSLGGQYGVQGFPTIKIFGANKNKPEDYQ GGRTGEAIVDAALSALRQLVKDRLGGRSGGYSSGKQGRGDSSSKKDVVELTDDTFDKNVL DSEDVWMVEFYAPWCGHCKNLEPEWAAAATEVKEQTKGKVKLAAVDATMNQVLASRYGIK GFPTIKIFQKGESPVDYDGGRTRSDIVSRALDLFSDNAPPPELLEIINEDIAKKTCEEHQ LCVVAVLPHILDTGAAGRNSYLEVLLKLADKYKKKMWGWLWTEAGAQYELENALGIGGFG YPAMAAINARKMKFALLKGSFSEQGINEFLRELSFGRGSTAPVGGGSFPTITPREPWDGK DGELPVEDDIDLSDVELDDLEKDEL >ENSMUSP00000112642.1 pep:known chromosome:GRCm38:2:84838850:84863578:1 gene:ENSMUSG00000027075.16 transcript:ENSMUST00000121114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a1 description:solute carrier family 43, member 1 [Source:MGI Symbol;Acc:MGI:1931352] MAPTLKQAYRRRWWMACTAVVENLFFSAVLLGWASLLIMLKKEGFYSSLCPAENRTNTTQ DEQHQWTSCDQQEKMLNLGFTIGSFLLSATTLPLGILMDRFGPRPLRLVGSACFAASCTL MALASRDTEVLSPLIFLALSLNGFAGICLTFTSLTLPNMFGNLRSTFMALMIGSYASSAI TFPGIKLIYDAGVPFTVIMFTWSGLACLIFLNCALNWPAEAFPAPEEVDYTKKIKLIGLA LDHKVTGDRFYTHVTIVGQRLSQKSPSLEEGADAFISSPDIPGTSEETPEKSVPFRKSLC SPIFLWSLVTMGMTQLRVIFYMGAMNKILEFIVTGGKERETNEQRQKVEETVEFYSSIFG VMQLLCLLTCPLIGYIMDWRIKDCVDAPTEGTLNENASFGDARDGASTKFTRPRYRKVQK LTNAINAFTLTNILLVGFGIACLIKNLHLQLLAFVLHTIVRGFFHSACGGLYAAVFPSNH FGTLTGLQSLISAVFALLQQLLFMAMVGPLHGDPFWVNLGLLLLSFLGFLLPSYLYYYRS RLQREYATNLVDPQKVLNTSKVAT >ENSMUSP00000121368.1 pep:known chromosome:GRCm38:2:84839395:84850142:1 gene:ENSMUSG00000027075.16 transcript:ENSMUST00000146816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a1 description:solute carrier family 43, member 1 [Source:MGI Symbol;Acc:MGI:1931352] MAPTLKQAYRRRWWMACTAVVENLFFSAVLLGWASLLIMLKKEGFYSSLCPAENRTNTTQ DEQHQWTSCDQQEKMLNLGFTIGSFLLSATTLPLGILMDRFGPRPLRLVGSACFAASCTL MALASRDTEVLSPLIFLA >ENSMUSP00000107251.1 pep:known chromosome:GRCm38:2:84840199:84863581:1 gene:ENSMUSG00000027075.16 transcript:ENSMUST00000111624.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a1 description:solute carrier family 43, member 1 [Source:MGI Symbol;Acc:MGI:1931352] MAPTLKQAYRRRWWMACTAVVENLFFSAVLLGWASLLIMLKKEGFYSSLCPAENRTNTTQ DEQHQWTSCDQQEKMLNLGFTIGSFLLSATTLPLGILMDRFGPRPLRLVGSACFAASCTL MALASRDTEVLSPLIFLALSLNGFAGICLTFTSLTLPNMFGNLRSTFMALMIGSYASSAI TFPGIKLIYDAGVPFTVIMFTWSGLACLIFLNCALNWPAEAFPAPEEVDYTKKIKLIGLA LDHKVTGDRFYTHVTIVGQRLSQKSPSLEEGADAFISSPDIPGTSEETPEKSVPFRKSLC SPIFLWSLVTMGMTQLRVIFYMGAMNKILEFIVTGGKERETNEQRQKVEETVEFYSSIFG VMQLLCLLTCPLIGYIMDWRIKDCVDAPTEGTLNENASFGDARDGASTKFTRPRYRKVQK LTNAINAFTLTNILLVGFGIACLIKNLHLQLLAFVLHTIVRGFFHSACGGLYAAVFPSNH FGTLTGLQSLISAVFALLQQLLFMAMVGPLHGDPFWVNLGLLLLSFLGFLLPSYLYYYRS RLQREYATNLVDPQKVLNTSKVAT >ENSMUSP00000107252.1 pep:known chromosome:GRCm38:2:84840625:84863594:1 gene:ENSMUSG00000027075.16 transcript:ENSMUST00000111625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a1 description:solute carrier family 43, member 1 [Source:MGI Symbol;Acc:MGI:1931352] MMEYPSQGPLGSPSLSALFLCRVSATMAPTLKQAYRRRWWMACTAVVENLFFSAVLLGWA SLLIMLKKEGFYSSLCPAENRTNTTQDEQHQWTSCDQQEKMLNLGFTIGSFLLSATTLPL GILMDRFGPRPLRLVGSACFAASCTLMALASRDTEVLSPLIFLALSLNGFAGICLTFTSL TLPNMFGNLRSTFMALMIGSYASSAITFPGIKLIYDAGVPFTVIMFTWSGLACLIFLNCA LNWPAEAFPAPEEVDYTKKIKLIGLALDHKVTGDRFYTHVTIVGQRLSQKSPSLEEGADA FISSPDIPGTSEETPEKSVPFRKSLCSPIFLWSLVTMGMTQLRVIFYMGAMNKILEFIVT GGKERETNEQRQKVEETVEFYSSIFGVMQLLCLLTCPLIGYIMDWRIKDCVDAPTEGTLN ENASFGDARDGASTKFTRPRYRKVQKLTNAINAFTLTNILLVGFGIACLIKNLHLQLLAF VLHTIVRGFFHSACGGLYAAVFPSNHFGTLTGLQSLISAVFALLQQLLFMAMVGPLHGDP FWVNLGLLLLSFLGFLLPSYLYYYRSRLQREYATNLVDPQKVLNTSKVAT >ENSMUSP00000028469.7 pep:known chromosome:GRCm38:2:84839408:84863586:1 gene:ENSMUSG00000027075.16 transcript:ENSMUST00000028469.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a1 description:solute carrier family 43, member 1 [Source:MGI Symbol;Acc:MGI:1931352] MRFGIGILGLRRSGVWKRRGDSVLLRVSTAAGGKFVGDRVSATMAPTLKQAYRRRWWMAC TAVVENLFFSAVLLGWASLLIMLKKEGFYSSLCPAENRTNTTQDEQHQWTSCDQQEKMLN LGFTIGSFLLSATTLPLGILMDRFGPRPLRLVGSACFAASCTLMALASRDTEVLSPLIFL ALSLNGFAGICLTFTSLTLPNMFGNLRSTFMALMIGSYASSAITFPGIKLIYDAGVPFTV IMFTWSGLACLIFLNCALNWPAEAFPAPEEVDYTKKIKLIGLALDHKVTGDRFYTHVTIV GQRLSQKSPSLEEGADAFISSPDIPGTSEETPEKSVPFRKSLCSPIFLWSLVTMGMTQLR VIFYMGAMNKILEFIVTGGKERETNEQRQKVEETVEFYSSIFGVMQLLCLLTCPLIGYIM DWRIKDCVDAPTEGTLNENASFGDARDGASTKFTRPRYRKVQKLTNAINAFTLTNILLVG FGIACLIKNLHLQLLAFVLHTIVRGFFHSACGGLYAAVFPSNHFGTLTGLQSLISAVFAL LQQLLFMAMVGPLHGDPFWVNLGLLLLSFLGFLLPSYLYYYRSRLQREYATNLVDPQKVL NTSKVAT >ENSMUSP00000040187.8 pep:known chromosome:GRCm38:13:113209659:113218098:1 gene:ENSMUSG00000042379.8 transcript:ENSMUST00000038144.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esm1 description:endothelial cell-specific molecule 1 [Source:MGI Symbol;Acc:MGI:1918940] MKSLLLLTTLLVPLHLGMAWSAKYAVDCPEHCDKTECRSSLRCKRTVLDDCGCCQVCAAG PGETCYRTVSGMDGVKCGPGLKCHFYSEEDDFGDEFGICKDCPYGTFGMECKETCNCQSG ICDRVTGRCLDFPFFQYAAAKSPSRTSASHTERDSASGDGNAVREEIGEGNAARPSVMKW LNPR >ENSMUSP00000044512.7 pep:known chromosome:GRCm38:13:113171638:113180897:-1 gene:ENSMUSG00000042385.14 transcript:ENSMUST00000038212.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzmk description:granzyme K [Source:MGI Symbol;Acc:MGI:1298232] MRFSSWALVSLVAGVYMSSECFHTEIIGGREVQPHSRPFMASIQYRSKHICGGVLIHPQW VLTAAHCYSWFPRGHSPTVVLGAHSLSKNEPMKQTFEIKKFIPFSRLQSGSASHDIMLIK LRTAAELNKNVQLLHLGSKNYLRDGTKCQVTGWGTTKPDLLTASDTLREVTVTIISRKRC NSQSYYNHKPVITKDMICAGDARGQKDSCKGDSGGPLICKGIFHALVSQGYKCGIAKKPG IYTLLTKKYQTWIKSKLAPSRAH >ENSMUSP00000113530.1 pep:known chromosome:GRCm38:13:113171608:113225908:-1 gene:ENSMUSG00000042385.14 transcript:ENSMUST00000122399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzmk description:granzyme K [Source:MGI Symbol;Acc:MGI:1298232] MASIQYRSKHICGGVLIHPQWVLTAAHCYSWFPRGHSPTVVLGAHSLSKNEPMKQTFEIK KFIPFSRLQSGSASHDIMLIKLRTAAELNKNVQLLHLGSKNYLRDGTKCQVTGWGTTKPD LLTASDTLREVTVTIISRKRCNSQSYYNHKPVITKDMICAGDARGQKDSCKGDSGGPLIC KGIFHALVSQGYKCGIAKKPGIYTLLTKKYQTWIKSKLAPSRAH >ENSMUSP00000114250.1 pep:known chromosome:GRCm38:13:113171792:113180921:-1 gene:ENSMUSG00000042385.14 transcript:ENSMUST00000140324.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gzmk description:granzyme K [Source:MGI Symbol;Acc:MGI:1298232] MRFSSWALVSLVAGVYMSSECFHTEIIGGREVQPHSRPFMASIQYRSKHICGGVLIHPQW VLTAAHCYS >ENSMUSP00000116934.2 pep:known chromosome:GRCm38:7:102083116:102096396:1 gene:ENSMUSG00000100254.1 transcript:ENSMUST00000124189.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc2 description:transient receptor potential cation channel, subfamily C, member 2 [Source:MGI Symbol;Acc:MGI:109527] MDPLSPQPNWTEIVNKKLKFPPTLLRAIQEGQLGLVQQLLESSSDASGAGPGGPLRNVEE SEDRSWREALNLAIRLGHEVITDVLLANVKFDFRQIHEALLVAVDTNQPAVVRRLLARLE REKGRKVDTKSFSLAFFDSSIDGSRFAPGVTPLTLACQKDLYEIAQLLMDQGHTIARPHP VSCACLECSNARRYDLLKFSLSRINTYRGIASRAHLSLASEDAMLAAFQLSRELRRLARK EPEFKPQYIALESLCQDYGFELLGMCRNQSEVTAVLNDLGEDSETEPEAEGLGQAFEEGI PNLARLRLAVNYNQKQFVAHPICQQVLSSIWCGNLAGWRGSTTIWKLFVAFLIFLTMPFL CIGYWLAPKSQLGRLLKIPVLKFLLHSASYLWFLIFLLGESLVMETQLSTFKGRSQSVWE TSLHMIWVTGFLWFECKEVWIEGLRSYLLDWWNFLDVVILSLYLASFALRLLLAGLAYMH CRDASDSTTCRYFTTAERSEWRTEDPQFLAEVLFAVTSMLSFTRLAYILPAHESLGTLQI SIGKMIDDMIRFMFILMIILTAFLCGLNNIYVPYQESEKLGNFNETFQFLFWTMFGMEEH TVVDMPQFLVPEFVGRAMYGIFTIVMVIVLLNMLIAMITNSFQKIEDDADVEWKFARSKL YLSYFREGLTLPVPFNILPSPKAAFYLVRRIFRFLCCGSSCCKAKKSDYPPIGTFTNPGA RAGSAGEGERVSYRLRVIKALVQRYIETARREFEETRRKDLGNRLTELTKTVSRLQSEVA SVQKNLAAGGAPRPPDGASILSRYITRVRNSFQNLGPPTSDTPAELTMPGIVETEVSLGD GLDGTGEAGAPAPGEPGSSSSAHVLVHREQEAEGSGDLLLEGDLETKGES >ENSMUSP00000117653.1 pep:known chromosome:GRCm38:X:153237466:153370903:1 gene:ENSMUSG00000041649.13 transcript:ENSMUST00000143880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf8 description:Kruppel-like factor 8 [Source:MGI Symbol;Acc:MGI:2442430] MDKFIDNMDVRIKSESGSM >ENSMUSP00000108193.2 pep:known chromosome:GRCm38:X:153237748:153396132:1 gene:ENSMUSG00000041649.13 transcript:ENSMUST00000112574.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf8 description:Kruppel-like factor 8 [Source:MGI Symbol;Acc:MGI:2442430] MDKFIDNMDVRIKSESGSMQVFKQVTGPVPTRDPSARADRRNMTSPSFLAASPMENPALF NDIKIEPPEELLESDFNMPQVEPVDLSFHKPKAPLQPASMLQAPIRPPKPPTAPQAIMVP TSADTVTSAAIPTVLTPGSILASSQGTGGQPILHVIHTIPSVSLPNKMSGLKTIPLVVQS LPMVYTSLPTDGSPAAITVPLIGGDGKSAGSVKVDPASMCPLEFPSDSDESAIESGSSAL QSLQGFHHEPATMVHMQGEESLDLKRRRIHQCDFAGCSKVYTKSSHLKAHRRIHTGEKPY KCTWDGCSWKFARSDELTRHFRKHTGIKPFRCTDCNRSFSRSDHLSLHRRRHDTM >ENSMUSP00000044317.4 pep:known chromosome:GRCm38:X:153359613:153395797:1 gene:ENSMUSG00000041649.13 transcript:ENSMUST00000039545.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf8 description:Kruppel-like factor 8 [Source:MGI Symbol;Acc:MGI:2442430] MDKFIDNMDVRIKSESGSMQVFKQVTGPVPTRDPSARADRRNMTSPSFLAASPMENPALF NDIKIEPPEELLESDFNMPQVEPVDLSFHKPKAPLQPASMLQAPIRPPKPPTAPQAIMVP TSADTVTSAAIPTVLTPGSILASSQGTGGQPILHVIHTIPSVSLPNKMSGLKTIPLVVQS LPMVYTSLPTDGSPAAITVPLIGGDGKSAGSVKVDPASMCPLEFPSDSDESAIESGSSAL QSLQGFHHEPATMVHMQGEESLDLKRRRIHQCDFAGCSKVYTKSSHLKAHRRIHTGEKPY KCTWDGCSWKFARSDELTRHFRKHTGIKPFRCTDCNRSFSRSDHLSLHRRRHDTM >ENSMUSP00000042026.7 pep:known chromosome:GRCm38:6:83794982:83802556:1 gene:ENSMUSG00000034744.13 transcript:ENSMUST00000037376.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nagk description:N-acetylglucosamine kinase [Source:MGI Symbol;Acc:MGI:1860418] MAALYGGVEGGGTRSKVLLLSEDGQILAEADGLSTNHWLIGTDQCVERINEMVDRAKQKA GVDPLVPLRSLGLSLSGGEQEDAVRLLIEELRHRFPNLSENYLITTDAAGSIATATPDGG IVLISGTGSNCRLINPDGSESGCGGWGHMMGDEGSAYWIAHQAVKIVFDSIDNLEAAPHD IGHVKQAMFDYFQVPDRLGILTHLYRDFDKCKFAGFCQKIAEGAHQGDPLSRYIFRKAGE MLGRHVVAVLPEIDPVLFQGELGLPILCVGSVWKSWELLKEGFLLALTLGREQQAQNSFS SFTLMKLRHSSALGGASLGARHIGYHLPMDYSINAIAFYSYTF >ENSMUSP00000109482.1 pep:known chromosome:GRCm38:6:83795028:83802554:1 gene:ENSMUSG00000034744.13 transcript:ENSMUST00000113851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nagk description:N-acetylglucosamine kinase [Source:MGI Symbol;Acc:MGI:1860418] MTRGGARVGGSEVTARVEVATADQRWQRGGTRSKVLLLSEDGQILAEADGLSTNHWLIGT DQCVERINEMVDRAKQKAGVDPLVPLRSLGLSLSGGEQEDAVRLLIEELRHRFPNLSENY LITTDAAGSIATATPDGGIVLISGTGSNCRLINPDGSESGCGGWGHMMGDEGSAYWIAHQ AVKIVFDSIDNLEAAPHDIGHVKQAMFDYFQVPDRLGILTHLYRDFDKCKFAGFCQKIAE GAHQGDPLSRYIFRKAGEMLGRHVVAVLPEIDPVLFQGELGLPILCVGSVWKSWELLKEG FLLALTLGREQQAQNSFSSFTLMKLRHSSALGGASLGARHIGYHLPMDYSINAIAFYSYT F >ENSMUSP00000109481.1 pep:known chromosome:GRCm38:6:83795235:83803420:1 gene:ENSMUSG00000034744.13 transcript:ENSMUST00000113850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nagk description:N-acetylglucosamine kinase [Source:MGI Symbol;Acc:MGI:1860418] MAALYGGVEGGGTRSKVLLLSEDGQILAEADGLSTNHWLIGTDQCVERINEMVDRAKQKA GVDPLVPLRSLGLSLSGGEQEDAVRLLIEELRHRFPNLSENYLITTDAAGSIATATPDGG IVLISGTGSNCRLINPDGSESGCGGWGHMMGDEGSAYWIAHQAVKIVFDSIDNLEAAPHD IGHVKQAMFDYFQVPDRLGILTHLYRDFDKCKFAGFCQKIAEGFVPRGAWPPHSVCGLSV EELGAPEGRLSPGADAGPRAAGTELLLQLHPDEVAAFFCIGGCQPGGQAHWIPPSHGLQY QCHCLLFLYLLESVPLPNQPTPRAGRLSFLKNTYGRK >ENSMUSP00000116438.1 pep:known chromosome:GRCm38:5:76913249:76951572:-1 gene:ENSMUSG00000029246.14 transcript:ENSMUST00000155272.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppat description:phosphoribosyl pyrophosphate amidotransferase [Source:MGI Symbol;Acc:MGI:2387203] MELEELGIREECGVFGCIASGDWPTQLDVPHVITLGLVGLQHRQFLCDWLSWN >ENSMUSP00000120632.1 pep:known chromosome:GRCm38:5:76913276:76951578:-1 gene:ENSMUSG00000029246.14 transcript:ENSMUST00000140076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppat description:phosphoribosyl pyrophosphate amidotransferase [Source:MGI Symbol;Acc:MGI:2387203] MELEELGIREECGVFGCIASGDWPTQLDVPHVITLGLVGLQHRGQESAGIVTSDGSAVPK FRVHKGMGLVNHVFTEDNLKKLYDSNLGIGHTRYATTGKCELENCQPFVVETLHGKIAVA HNGELVNAARLRKKLLRQGIGLSTSSDSEMITQLLAYTPPQEKDDAPDWVARIKNLMKEA PAAYSLVIMHRDFIYAVRDPYGNRPLCIGRLMPVSDVNDKEKKSSETEGWVVSSESCSFL SIGARYCHEVKPGEIVEISRHGIRTLDIIPRSNGDPVAFCIFEYVYFARPDSMFEDQMVY TVRYRCGQQLAIEAPVEADLVSTVPESATPAALGYATKCGLPYVEVLCKNRYVGRTFIQP NMRLRQLGVAKKFGVLSDNFKGKRIVLIDDSIVRGNTISPIIKLLKESGAKEVHIRVASP PIKYPCFMGINIPTKEELIANKPEFDCLAEYLGANSVVYLSVEGLVSSVQQEIKFKKQKV KKHDIAIQENGNGLEYFEKTGHCTACLTGQYPVELEW >ENSMUSP00000084487.2 pep:known chromosome:GRCm38:19:39113898:39186756:1 gene:ENSMUSG00000067229.3 transcript:ENSMUST00000087234.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c66 description:cytochrome P450, family 2, subfamily c, polypeptide 66 [Source:MGI Symbol;Acc:MGI:1917138] MVLGVFLGLLLTCLLLLSLWKQNSQRRNLPPGPTPLPIIGNILQLDLKDISKSLRNFSKV YGPVFTLYLGKKPAVVLHGYKAVKEALIDHGEEFAGRGTFPVADKFIRRCGVVFSSGRTW KEMRRFSLMTLRNFGMGKRSIEDRVQEEARCLVDELRKTKGVPCDPTFILGCAPCNVICS IVFQNRFDYKDQEFLTFIDILNENVEILSSPWIQVCNNFPAIIDYLPGRHRKLLKNFDFA KHYFLAKVIQHKESLDINNPRDFIDCFLIKMEQEKHNPKTEFTCENLIFTASDLFAAGTE TTSTTLRYSLLLLLKYPEVTAKVQAEIDCVIGRHRSPCMQDRHSMPYTDAVLHEIQRYID LLPTSLPHAVTRDVKFREYLIPKGTTVIASLTSVLYDDKEFLNPERFDPSHFLDESGKFK KSDYFFPFSTGKRICVGEGLARTELFLFLTTILQNFNLKSPVDLKDLDTTPVANGFVSVP PKFQICFISI >ENSMUSP00000120397.1 pep:known chromosome:GRCm38:19:39113910:39187072:1 gene:ENSMUSG00000067229.3 transcript:ENSMUST00000146494.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp2c66 description:cytochrome P450, family 2, subfamily c, polypeptide 66 [Source:MGI Symbol;Acc:MGI:1917138] MVLGVFLGLLLTCLLLLSLWKQNSQRRNLPPGPTPLPIIGNILQLDLKDISKSLRNGLFS AVGGPGKR >ENSMUSP00000138419.1 pep:known chromosome:GRCm38:5:14514918:14795993:1 gene:ENSMUSG00000061601.15 transcript:ENSMUST00000182407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pclo description:piccolo (presynaptic cytomatrix protein) [Source:MGI Symbol;Acc:MGI:1349390] MGNEASLEGEGLPEGLAAAAGGAGGSGSALHPGIPAGMEADLSQLSEEERRQIAAVMSRA QGLPKGSVPAAAAESPSMHRKQELDSSQAPQQPGKPPDPGRPPQHGLSKSRTTDTFRSEQ KLPGRSPSTISLKESKSRTDFKEEYKSSMMPGFFSDVNPLSAVSSVVNKFNPFDLISDSE AVQEETTKKQKVAQKDQGKSEGITKPSLQQPSPKLIPKQQGPGKEVIPQDIPSKSVSSQQ AEKTKPQAPGTAKPSQQSPAQTPAQQAKPVAQQPGPAKATVQQPGPAKSPAQPAGTGKSP AQPPVTAKPPAQQAGLEKTSLQQPGPKSLAQTPGQGKVPPGPAKSPAQQPGTAKLPAQQP GPQTAAKVPGPTKTPAQLSGPGKTPAQQPGPTKPSPQQPIPAKPQPQQPVATKPQPQQPA PAKPQPQHPTPAKPQPQHPTPAKPQPQQPTPAKPQPQQPTPAKPQPQQPTPAKPQPQHPT PAKPQPQQPGLGKPSAQQPSKSISQTVTGRPLQAPPTSAAQAPAQGLSKTICPLCNTTEL LLHTPEKANFNTCTECQSTVCSLCGFNPNPHLTEIKEWLCLNCQMQRALGGELAAIPSSP QPTPKAASVQPATASKSPVPSQQASPKKELPSKQDSPKAPESKKPPPLVKQPTLHGPTPA TAPQPPVAEALPKPAPPKKPSAALPEQAKAPVADVEPKQPKTTETLTDSPSSAAATSKPA ILSSQVQAQAQVTTAPPLKTDSAKTSQSFPPTGDTITPLDSKAMPRPASDSKIVSHPGPT SESKDPVQKKEEPKKAQTKVTPKPDTKPVPKGSPTPSGTRPTTGQATPQSQQPPKPPEQS RRFSLNLGGIADAPKSQPTTPQETVTGKLFGFGASIFSQASNLISTAGQQAPHPQTGPAA PSKQAPPPSQTLAAQGPPKSTGPHPSAPAKTTAVKKETKGPAAENLEAKPVQAPTVKKAE KDKKPPPGKVSKPPPTEPEKAVLAQKPDKTTKPKPACPLCRTELNVGSQDPPNFNTCTEC KNQVCNLCGFNPTPHLTEIQEWLCLNCQTQRAISGQLGDMDKMPPASSGPKASPVPAPAE PPPQKTPTAAHAKGKKKETEVKAETEKQIPEKETPSIEKTPPAVATDQKLEESEVTKSLV SVLPEKKPSEEEKALPADKKEKKPPAAEAPPLEEKKPIPDDQKLPPDAKPSASEGEEKRD LLKAHVQIPEEGPIGKVASLACEGEQQPDTRPEDLPGATPQTLPKDRQKESRDVTQPQAE GTAKEGRGEPSKDRTEKEEDKSDTSSSQQPKSPQGLSDTGYSSDGISGSLGEIPSLIPSD EKDLLKGLKKDSFSQESSPSSPSDLAKLESTVLSILEAQASTLVGEKAEKKTQPQKVSPE QPQDQQKTQTPSETRDISISEEEIKESQEKKVTSKKDSAQGFPSRKEHKENPELVDDLSP RRASYDSVEDSSESENSPVARRKRRTSIGSSSSEEYKQEDSQGSGEDEDFIRKQIIEMSA DEDASGSEDEEFIRSQLKEIGGVTESQKREETKGKGKSPAGKHRRLTRKSSTSFDDDAGR RHSWHDEDDETFDESPELKFRETKSQESEELVVAGGGGLRRFKTIELNSTVTDKYSAESS QKKTTLYFDEEPELEMESLTDSPEDRSRGEGSSSLHASSFTPGTSPTSVSSLDEDSDSSP SHKKGESKQQRKARHRSHGPLLPTIEDSSEEEELREEEELLKEQEKQRELEQQQRKSSSK KSKKDKDELRAQRRRERPKTPPSNLSPIEDASPTEELRQAAEMEELHRSSCSEYSPSIES DPEGFEISPEKIIEVQKVYKLPTAVSLYSPTDEQSVMQKEGAQKALKSAEEMYEEMMHKP HKYKAFPAANERDEVFEKEPLYGGMLIEDYIYESLVEDTYNGSVDGSLLTRQDEQNGFMQ QRGREQKIRLQEQIYDDPMQKITDLQKEFYELESLHSIVPQEDIVSSSYIIPESHEIVDL GSMVTSTSEEKKLLDADAAYEELMKRQQMQVTDGSSLIQTTMGDDMAESTLDFDRVQDAS LTSSILSGASLTDSTSSATLSIPDVKITQHFSTEEFEDEYVTDYTREIQEIIAHESLILT YSEPSESATSVPPSDTPSLTSSISSVCTTDSSSPVTTLDSLTTVYTEPADVITKFKDSEE ISSTYFPGSVIDYPEDIGVSLDRTITPESRTNADQIMISFPGIAPSITESVATKPERPQA DTISTDLPISEKELIKGKKETGDGIILEVLDAYKDKREESEAELTKISLPETGLAPTPSS QTKEQPGSPHSVSGEILGQEKPTYRSPSGGLPVSTHPSKSHPFFRSSSLDISAQPPPPPP PPPPPPPPPPPPPPPPLPPATSPKPPTYPKRKLAAAAPVAPTAIVTAHADAIPTVEATAA RRSNGLPATKICAAAPPPVPPKPSSIPTGLVFTHRPEASKPPIAPKPAVPEIPVTTQKTT DTCPKPTGLPLTSNMSLNLVTSADYKLPSPTSPLSPHSNKSSPRYSKSLMETYVVITLPS EPGTPTDSSAAQAITSWPLGSPPKDLVSLETVFSVVPPMTSTEIPSASQPTLYTSGALGT FSVTPAVTASLFQTVPTSLTQFLPAEASKPEVSAVSSAVPSVAPRSVSIPIPPEPLALDR HQYKENGKLPLIGDAIDLRTIPKSEVKVTEKCMDLSASAMDVKRQTTANEVYRRQISAVQ PSIINLSAASSLGTPVTMDSKTVAVVTCTDTTIYTTGTESQVGIEHAVTSPLQLTTSKHT ELQYRKPSSQAFPMIRDEAPINLSLGPSTQAVTLAVTKPVTVPPVGVTNGWTDSTISQGI TDGEVVDLSTSKSHRTVVTMDESTSNVVTKIIEDEEKPVDLTAGRRAVCCDMVYKLPFGR SCTAQQPATTLPEDRFGYRDDHYQYDRSGPYGYRGIGGMKPSMSDTNLAEAGHFFYKSKN AFDYSGGTEAAVDLTSGRVSTGEVMDYSSKTTGPYPETRQVISGVGISTPQYSTARMTPP PGPQYGVGSVLRSSNGVVYSSVATPIPSTFAITTQPGSIFSTTVRDLSGIHTTDAITSLS ALHQSQPMPRSYFITTGASETDISVTSIDINASLQTITMETLPAETMDSVPTLTTASEVF SEVVGEESTLLIVPDEDKQQQQLDLERELLELEKIKQQRFAEELEWERQEIQRFREQEKI MVQKKLEELQSMKQHLLYQQEEERQAQFMMRQETLAQQQLQLEQIQQLQQQLHQQLEEQK LRQIYQYNYEPSGTASPQTTTEQAILEGQYVATEGSQFWATEDATTTASTVVAIEIPQSQ GWYTVQSDGVTQYIAPPGILSTVSEIPLTDVVVKEEKQPKKRSSGAKVRGQYDEMGESMA DDPRNLKKIVDSGVQTDDEETADRTYASRRRRTKKSVDTSVQTDDEDQDEWDMPSRSRRK ARTGKYGDSTAEGDKTKPPSKVSSVAVQTVAEISVQTEPLGTIRTPSIRARVDAKVEIIK HISAPEKTYKGGSLGCQTETDPDTQSPPYMGATSPPKDKKRPTPLEIGYSSSHLRADPTV QLAPSPPKSPKVLYSPISPLSPGHALEPAFVPYEKPLPDDISPQKVLHPDMAKVPPASPK TAKMMQRSMSDPKPLSPTADESSRAPFQYSEGFTAKGSQTTSGTQKKVKRTLPNPPPEEA STGTQSTYSTMGTASRRRMCRTNTMARAKILQDIDRELDLVERESAKLRKKQAELDEEEK EIDAKLRYLEMGINRRKEALLKEREKRERAYLQGVAEDRDYMSDSEVSSTRPSRVESQHG IERPRTAPQTEFSQFIPPQTQTEAQLVPPTSPYTQYQYSSPALPTQAPTPYTQQSHFQQQ TLYHQQVSPYQTQPTFQAVATMSFTPQAQPTPTPQPSYQLPSQMMVIQQKPRQTTLYLEP KITSTYEVIRNQPLMIAPVSTDNTYAVSHLGSKYNSLDLRIGLEERSSMASSPISSISAD SFYADIDHHTSRNYVLIDDIGDITKGTAALSSAFSLHEKDLSKTDRLLRTTETRRSQEVT DFLAPLQTSSRLHSYVKAEEDSMEDPYELKLLKHQIKQEFRRGTESLDHLAGLSHYYHAD TSYRHFPKSEKYSISRLTLEKQAAKQLPAAILYQKQSKHKKALIDPKMSKFSPIQESRDL EPDYPTYLSSSTSSIGGISSRARLLQDDITFGLRKNITDQQKFMGSSLGSGLGTLGNTIR SALQDEADKPYSSGSRSRPSSRPSSVYGLDLSIKRDSSSSSLRLKAQEAEALDVSFGHSS SSARTKPTSLPISQSRGRIPIVAQNSEEESPLSPVGQPMGMARAAAGPLPPISADTRDQF GSSHSLPEVQQHMREESRTRGYDRDIAFIMDDFQHAMSDSEAYHLRREETDWFDKPRESR LENGHGLDRKLPERLVHSRPLSQHQEQILQMNGKTMHYIFPHARIKITRDSKDHTVSGNG LGIRIVGGKEIPGHSGEIGAYIAKILPGGSAEHSGKLIEGMQVLEWNGIPLTSKTYEEVQ SIINQQSGEAEICVRLDLNMLSDSENPQHLELHEPPKVVDKAKSPGVDPKQLAAELQKVS LQQSPLVMSSVVEKGAHAHSGPTSAGSSSVPSPGQPGSPSVSKKKHGGSKPTDVSKTASH PITGEIQLQINYDLGNLIIHILQARNLVPRDNNGYSDPFVKVYLLPGRGQVMVVQNASVE YKRRTKYVQKSLNPEWNQTVIYKSISMEQLMKKTLEVTVWDYDRFSSNDFLGEVLIDLSS TSHLDNTPRWYPLKEQTESIEHGKSHSSQNSQQSPKPSVIKSRSHGIFPDPSKDMQVPTI EKSHSSPGSSKSSSEGHLRSHGPSRSQSKTSVAQTHLEDAGAAIAAAEAAVQQLRIQPSK RRK >ENSMUSP00000030691.9 pep:known chromosome:GRCm38:5:14514918:14863459:1 gene:ENSMUSG00000061601.15 transcript:ENSMUST00000030691.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pclo description:piccolo (presynaptic cytomatrix protein) [Source:MGI Symbol;Acc:MGI:1349390] MGNEASLEGEGLPEGLAAAAGGAGGSGSALHPGIPAGMEADLSQLSEEERRQIAAVMSRA QGLPKGSVPAAAAESPSMHRKQELDSSQAPQQPGKPPDPGRPPQHGLSKSRTTDTFRSEQ KLPGRSPSTISLKESKSRTDFKEEYKSSMMPGFFSDVNPLSAVSSVVNKFNPFDLISDSE AVQEETTKKQKVAQKDQGKSEGITKPSLQQPSPKLIPKQQGPGKEVIPQDIPSKSVSSQQ AEKTKPQAPGTAKPSQQSPAQTPAQQAKPVAQQPGPAKATVQQPGPAKSPAQPAGTGKSP AQPPVTAKPPAQQAGLEKTSLQQPGPKSLAQTPGQGKVPPGPAKSPAQQPGTAKLPAQQP GPQTAAKVPGPTKTPAQLSGPGKTPAQQPGPTKPSPQQPIPAKPQPQQPVATKPQPQQPA PAKPQPQHPTPAKPQPQHPTPAKPQPQQPTPAKPQPQQPTPAKPQPQQPTPAKPQPQHPT PAKPQPQQPGLGKPSAQQPSKSISQTVTGRPLQAPPTSAAQAPAQGLSKTICPLCNTTEL LLHTPEKANFNTCTECQSTVCSLCGFNPNPHLTEIKEWLCLNCQMQRALGGELAAIPSSP QPTPKAASVQPATASKSPVPSQQASPKKELPSKQDSPKAPESKKPPPLVKQPTLHGPTPA TAPQPPVAEALPKPAPPKKPSAALPEQAKAPVADVEPKQPKTTETLTDSPSSAAATSKPA ILSSQVQAQAQVTTAPPLKTDSAKTSQSFPPTGDTITPLDSKAMPRPASDSKIVSHPGPT SESKDPVQKKEEPKKAQTKVTPKPDTKPVPKGSPTPSGTRPTTGQATPQSQQPPKPPEQS RRFSLNLGGIADAPKSQPTTPQETVTGKLFGFGASIFSQASNLISTAGQQAPHPQTGPAA PSKQAPPPSQTLAAQGPPKSTGPHPSAPAKTTAVKKETKGPAAENLEAKPVQAPTVKKAE KDKKPPPGKVSKPPPTEPEKAVLAQKPDKTTKPKPACPLCRTELNVGSQDPPNFNTCTEC KNQVCNLCGFNPTPHLTEIQEWLCLNCQTQRAISGQLGDMDKMPPASSGPKASPVPAPAE PPPQKTPTAAHAKGKKKETEVKAETEKQIPEKETPSIEKTPPAVATDQKLEESEVTKSLV SVLPEKKPSEEEKALPADKKEKKPPAAEAPPLEEKKPIPDDQKLPPDAKPSASEGEEKRD LLKAHVQIPEEGPIGKVASLACEGEQQPDTRPEDLPGATPQTLPKDRQKESRDVTQPQAE GTAKEGRGEPSKDRTEKEEDKSDTSSSQQPKSPQGLSDTGYSSDGISGSLGEIPSLIPSD EKDLLKGLKKDSFSQESSPSSPSDLAKLESTVLSILEAQASTLVGEKAEKKTQPQKVSPE QPQDQQKTQTPSETRDISISEEEIKESQEKKVTSKKDSAQGFPSRKEHKENPELVDDLSP RRASYDSVEDSSESENSPVARRKRRTSIGSSSSEEYKQEDSQGSGEDEDFIRKQIIEMSA DEDASGSEDEEFIRSQLKEIGGVTESQKREETKGKGKSPAGKHRRLTRKSSTSFDDDAGR RHSWHDEDDETFDESPELKFRETKSQESEELVVAGGGGLRRFKTIELNSTVTDKYSAESS QKKTTLYFDEEPELEMESLTDSPEDRSRGEGSSSLHASSFTPGTSPTSVSSLDEDSDSSP SHKKGESKQQRKARHRSHGPLLPTIEDSSEEEELREEEELLKEQEKQRELEQQQRKSSSK KSKKDKDELRAQRRRERPKTPPSNLSPIEDASPTEELRQAAEMEELHRSSCSEYSPSIES DPEGFEISPEKIIEVQKVYKLPTAVSLYSPTDEQSVMQKEGAQKALKSAEEMYEEMMHKP HKYKAFPAANERDEVFEKEPLYGGMLIEDYIYESLVEDTYNGSVDGSLLTRQDEQNGFMQ QRGREQKIRLQEQIYDDPMQKITDLQKEFYELESLHSIVPQEDIVSSSYIIPESHEIVDL GSMVTSTSEEKKLLDADAAYEELMKRQQMQVTDGSSLIQTTMGDDMAESTLDFDRVQDAS LTSSILSGASLTDSTSSATLSIPDVKITQHFSTEEFEDEYVTDYTREIQEIIAHESLILT YSEPSESATSVPPSDTPSLTSSISSVCTTDSSSPVTTLDSLTTVYTEPADVITKFKDSEE ISSTYFPGSVIDYPEDIGVSLDRTITPESRTNADQIMISFPGIAPSITESVATKPERPQA DTISTDLPISEKELIKGKKETGDGIILEVLDAYKDKREESEAELTKISLPETGLAPTPSS QTKEQPGSPHSVSGEILGQEKPTYRSPSGGLPVSTHPSKSHPFFRSSSLDISAQPPPPPP PPPPPPPPPPPPPPPPLPPATSPKPPTYPKRKLAAAAPVAPTAIVTAHADAIPTVEATAA RRSNGLPATKICAAAPPPVPPKPSSIPTGLVFTHRPEASKPPIAPKPAVPEIPVTTQKTT DTCPKPTGLPLTSNMSLNLVTSADYKLPSPTSPLSPHSNKSSPRYSKSLMETYVVITLPS EPGTPTDSSAAQAITSWPLGSPPKDLVSLETVFSVVPPMTSTEIPSASQPTLYTSGALGT FSVTPAVTASLFQTVPTSLTQFLPAEASKPEVSAVSSAVPSVAPRSVSIPIPPEPLALDR HQYKENGKLPLIGDAIDLRTIPKSEVKVTEKCMDLSASAMDVKRQTTANEVYRRQISAVQ PSIINLSAASSLGTPVTMDSKTVAVVTCTDTTIYTTGTESQVGIEHAVTSPLQLTTSKHT ELQYRKPSSQAFPMIRDEAPINLSLGPSTQAVTLAVTKPVTVPPVGVTNGWTDSTISQGI TDGEVVDLSTSKSHRTVVTMDESTSNVVTKIIEDEEKPVDLTAGRRAVCCDMVYKLPFGR SCTAQQPATTLPEDRFGYRDDHYQYDRSGPYGYRGIGGMKPSMSDTNLAEAGHFFYKSKN AFDYSGGTEAAVDLTSGRVSTGEVMDYSSKTTGPYPETRQVISGVGISTPQYSTARMTPP PGPQYGVGSVLRSSNGVVYSSVATPIPSTFAITTQPGSIFSTTVRDLSGIHTTDAITSLS ALHQSQPMPRSYFITTGASETDISVTSIDINASLQTITMETLPAETMDSVPTLTTASEVF SEVVGEESTLLIVPDEDKQQQQLDLERELLELEKIKQQRFAEELEWERQEIQRFREQEKI MVQKKLEELQSMKQHLLYQQEEERQAQFMMRQETLAQQQLQLEQIQQLQQQLHQQLEEQK LRQIYQYNYEPSGTASPQTTTEQAILEGQYVATEGSQFWATEDATTTASTVVAIEIPQSQ GWYTVQSDGVTQYIAPPGILSTVSEIPLTDVVVKEEKQPKKRSSGAKVRGQYDEMGESMA DDPRNLKKIVDSGVQTDDEETADRTYASRRRRTKKSVDTSVQTDDEDQDEWDMPSRSRRK ARTGKYGDSTAEGDKTKPPSKVSSVAVQTVAEISVQTEPLGTIRTPSIRARVDAKVEIIK HISAPEKTYKGGSLGCQTETDPDTQSPPYMGATSPPKDKKRPTPLEIGYSSSHLRADPTV QLAPSPPKSPKVLYSPISPLSPGHALEPAFVPYEKPLPDDISPQKVLHPDMAKVPPASPK TAKMMQRSMSDPKPLSPTADESSRAPFQYSEGFTAKGSQTTSGTQKKVKRTLPNPPPEEA STGTQSTYSTMGTASRRRMCRTNTMARAKILQDIDRELDLVERESAKLRKKQAELDEEEK EIDAKLRYLEMGINRRKEALLKEREKRERAYLQGVAEDRDYMSDSEVSSTRPSRVESQHG IERPRTAPQTEFSQFIPPQTQTEAQLVPPTSPYTQYQYSSPALPTQAPTPYTQQSHFQQQ TLYHQQVSPYQTQPTFQAVATMSFTPQAQPTPTPQPSYQLPSQMMVIQQKPRQTTLYLEP KITSTYEVIRNQPLMIAPVSTDNTYAVSHLGSKYNSLDLRIGLEERSSMASSPISSISAD SFYADIDHHTSRNYVLIDDIGDITKGTAALSSAFSLHEKDLSKTDRLLRTTETRRSQEVT DFLAPLQTSSRLHSYVKAEEDSMEDPYELKLLKHQIKQEFRRGTESLDHLAGLSHYYHAD TSYRHFPKSEKYSISRLTLEKQAAKQLPAAILYQKQSKHKKALIDPKMSKFSPIQESRDL EPDYPTYLSSSTSSIGGISSRARLLQDDITFGLRKNITDQQKFMGSSLGSGLGTLGNTIR SALQDEADKPYSSGSRSRPSSRPSSVYGLDLSIKRDSSSSSLRLKAQEAEALDVSFGHSS SSARTKPTSLPISQSRGRIPIVAQNSEEESPLSPVGQPMGMARAAAGPLPPISADTRDQF GSSHSLPEVQQHMREESRTRGYDRDIAFIMDDFQHAMSDSEAYHLRREETDWFDKPRESR LENGHGLDRKLPERLVHSRPLSQHQEQILQMNGKTMHYIFPHARIKITRDSKDHTVSGNG LGIRIVGGKEIPGHSGEIGAYIAKILPGGSAEHSGKLIEGMQVLEWNGIPLTSKTYEEVQ SIINQQSGEAEICVRLDLNMLSDSENPQHLELHEPPKVVDKAKSPGVDPKQLAAELQKVS LQQSPLVMSSVVEKGAHAHSGPTSAGSSSVPSPGQPGSPSVSKKKHGGSKPTDVSKTASH PITGEIQLQINYDLGNLIIHILQARNLVPRDNNGYSDPFVKVYLLPGRGQVMVVQNASVE YKRRTKYVQKSLNPEWNQTVIYKSISMEQLMKKTLEVTVWDYDRFSSNDFLGEVLIDLSS TSHLDNTPRWYPLKEQTESIEHGKSHSSQNSQQSPKPSVIKSRSHGIFPDPSKDMQVPTI EKSHSSPGSSKSSSEGHLRSHGPSRSQSKTSVAQTHLEDAGAAIAAAEAAVQQLRIQPTK PTNHRPAETSVSTGSSGSSVGSGYSVDSEGSSCVAGEPNLLPIPRIGKMGQNGQDPVKQP GMGAADTEAKTQVMGEIKLALKKEMKTDGEQLIVEILQCRNITYKFKSPDHLPDLYVKIY VINIATQKKVIKKKTRVCRHDREPSFNETFRFSLSPAGHSLQILLFSNGGKFMKKTLIGE ACIWLDKVDLRKRIVNWHKLLMSPTQTH >ENSMUSP00000138607.1 pep:known chromosome:GRCm38:5:14680863:14796004:1 gene:ENSMUSG00000061601.15 transcript:ENSMUST00000182915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pclo description:piccolo (presynaptic cytomatrix protein) [Source:MGI Symbol;Acc:MGI:1349390] XESTLLIVPDEDKQQQQLDLERELLELEKIKQQRFAEELEWERQEIQRFREQEKIMVQKK LEELQSMKQHLLYQQEEERQAQFMMRQETLAQQQLQLEQIQQLQQQLHQQLEEQKLRQIY QYNYEPSGTASPQTTTEQAILEGQYVATEGSQFWATEDATTTASTVVAIEIPQSQGWYTV QSDGVTQYIAPPGILSTVSEIPLTDVVVKEEKQPKKRSSGAKVRGQYDEMGESMADDPRN LKKIVDSGVQTDDEETADRTYASRRRRTKKSVDTSVQTDDEDQDEWDMPSRSRRKARTGK YGDSTAEGDKTKPPSKVSSVAVQTVAEISVQTEPLGTIRTPSIRARVDAKAKGSQTTSGT QKKVKRTLPNPPPEEASTGTQSTYSTMGTASRRRMCRTNTMARAKILQDIDRELDLVERE SAKLRKKQAELDEEEKEIDAKLRYLEMGINRRKEALLKEREKRERAYLQGVAEDRDYMSD SEVSSTRPSRVESQHGIERPRTAPQTEFSQFIPPQTQTEAQLVPPTSPYTQYQYSSPALP TQAPTPYTQQSHFQQQTLYHQQVSPYQTQPTFQAVATMSFTPQAQPTPTPQPSYQLPSQM MVIQQKPRQTTLYLEPKITSTYEVIRNQPLMIAPVSTDNTYAVSHLGSKYNSLDLRIGLE ERSSMASSPISSISADSFYADIDHHTSRNYVLIDDIGDITKGTAALSSAFSLHEKDLSKT DRLLRTTETRRSQEVTDFLAPLQTSSRLHSYVKAEEDSMEDPYELKLLKHQIKQEFRRGT ESLDHLAGLSHYYHADTSYRHFPKSEKYSISRLTLEKQAAKQLPAAILYQKQSKHKKALI DPKMSKFSPIQESRDLEPDYPTYLSSSTSSIGGISSRARLLQDDITFGLRKNITDQQKFM GSSLGSGLGTLGNTIRSALQDEADKPYSSGSRSRPSSRPSSVYGLDLSIKRDSSSSSLRL KAQEAEALDVSFGHSSSSARTKPTSLPISQSRGRIPIVAQNSEEESPLSPVGQPMGMARA AAGPLPPISADTRDQFGSSHSLPEVQQHMREESRTRGYDRDIAFIMDDFQHAMSDSEVAV AMYDVSLVPKAYHLRREETDWFDKPRESRLENGHGLDRKLPERLVHSRPLSQHQEQILQM NGKTMHYIFPHARIKITRDSKDHTVSGNGLGIRIVGGKEIPGHSGEIGAYIAKILPGGSA EHSGKLIEGMQVLEWNGIPLTSKTYEEVQSIINQQSGEAEICVRLDLNMLSDSENPQHLE LHEPPKVVDKAKSPGVDPKQLAAELQKVSLQQSPLVMSSVVEKGAHAHSGPTSAGSSSVP SPGQPGSPSVSKKKHGGSKPTDVSKTASHPITGEIQLQINYDLGNLIIHILQARNLVPRD NNGYSDPFVKVYLLPGRGQVMVVQNASVEYKRRTKYVQKSLNPEWNQTVIYKSISMEQLM KKTLEVTVWDYDRFSSNDFLGEVLIDLSSTSHLDNTPRWYPLKEQTESIEHGKSHSSQNS QQSPKPSVIKSRSHGIFPDPSKDMQVPTIEKSHSSPGSSKSSSEGHLRSHGPSRSQSKTS VAQTHLEDAGAAIAAAEAAVQQLRIQPSKRRK >ENSMUSP00000028554.3 pep:known chromosome:GRCm38:2:112239468:112247111:1 gene:ENSMUSG00000027134.4 transcript:ENSMUST00000028554.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpcat4 description:lysophosphatidylcholine acyltransferase 4 [Source:MGI Symbol;Acc:MGI:2138993] MSQGSPGAWAPLDPTSGSSASPNPFVHELHLSGLQRVKFCLLGVLLAPIRVLLAFIVLFL LWPFAWLQVAGLTEEQLQEPITGWRKTVCHNGVLGLSRLLFFLLGFLRIRVRGQRASRLE APVLVAAPHSTFFDPIVLLPCDLPKVVSRAENLSVPVIGALLRFNQAILVSRHDPASRRR VVEEVRRRATSGGKWPQVLFFPEGTCSNKKALLKFKPGAFIAGVPVQPVLIRYPNSLDTT SWAWRGPGVLKVLWLTASQPCSIVDVEFLPVYQPSLEESKDPTLYANNVQRVMAQALGIP ATECEFVGSLPVIVVGQLKVALEPQLWELAKVLQKAGLSPGFVDMGAEPGRSRMISQEAF AQQLQLSDPQTVAGAFSYFQQDAKGLVDFRNVALALAALDGGRSLEELTRLAFELFAEEQ AEGSDRLLYKDGFSTILHLLLGSPRPAATTLHAELCQPGCSQGLSLCQFQNFSLHDPLYG KLFSAYLRPPHKPRSTSQIPNASSPSSPTALANGTVQAPKQKGD >ENSMUSP00000004657.6 pep:known chromosome:GRCm38:7:18789567:18798510:-1 gene:ENSMUSG00000004542.15 transcript:ENSMUST00000004657.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg19 description:pregnancy specific glycoprotein 19 [Source:MGI Symbol;Acc:MGI:1347252] MEVSSELLSNGWTSWQRVLLTASLLTCWFLPITARVTIESVPPKLVEGENVLLRVDNLPE NLRVFAWYRGVIKFKLGIALYSLDYNTSVTGPEHSGRETLHSNGSLWIQSATREDTGYYT FQTISKNGKVVSNTSMFLQVYSSLFICGRPSPPALLTIESVPASVAEGGSVLLRVHNLPE HLQSLFWYKGLTMFNKVEIARHRTAKNSIEMGPAHSGREIVYSNGSLLLQNVTWKDIGFY TLRTLNRYSRIELAHIYLQVDTSLSSCCDAFNSVQLRIDPVPPHAVEGESVLLQVHNLPE DVQTFLWYKGVYSTQDFKIAEYSIVTESIISGRAHSGREIGYTNGSLLLQDVTEKDSGFY TLVTIDSNAKVETAHVQVNVNKLVTQPAMRVTDSTVRVQSSVVFTCFSDNTGVSIRWLFN NQRLQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVSLAVMNE >ENSMUSP00000138621.1 pep:known chromosome:GRCm38:7:18789794:18798510:-1 gene:ENSMUSG00000004542.15 transcript:ENSMUST00000182853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg19 description:pregnancy specific glycoprotein 19 [Source:MGI Symbol;Acc:MGI:1347252] MEVSSELLSNGWTSWQRVLLTASLLTCWFLPITARVTIESVPPKLVEGENVLLRVDNLPE NLRVFAWYRGVIKFKLGIALYSLDYNTSVTGPEHSGRETLHSNGSLWIQSATREDTGYYT FQTISKNGKVVSNTSMFLQVYSSLSSCCDAFNSVQLRIDPVPPHAVEGESVLLQVHNLPE DVQTFLWYKGVYSTQDFKIAEYSIVTESIISGRAHSGREIGYTNGSLLLQDVTEKDSGFY TLVTIDSNAKVETAHVQVNVNKLVTQPAMRVTDSTVRVQSSVVFTCFSDNTGVSIRWLFN NQRLQLTERMTLSPSKCQLRIHTVRKEDAGEYQCEAFNPVSSKTSLPVSLAVMNE >ENSMUSP00000115291.2 pep:known chromosome:GRCm38:2:180986535:181016328:1 gene:ENSMUSG00000016356.17 transcript:ENSMUST00000149179.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col20a1 description:collagen, type XX, alpha 1 [Source:MGI Symbol;Acc:MGI:1920618] MSLQGSYQHFCLWMFLGTTLALGQGQVSSRLRLAVLPEDQLQMKWREAEGSGLGFLVQVT PMAGDLEQELILTTKTPKATVGGLNPSKSYTLQIFELTDSGPILLARREFVIEDLKSQSL GRGSRRLAGATLEPTSLPLRGPDSEKTSEPSIAFTLSRDLPILDHPQFQCTPPTPADIIF LVDGSWSIGHNHFQQVKDFLASIITQFAIGPDKVQVGLTQYSGDPQTEWDLNSFQTKEQV LAAVHHLRYKGGNTFTGLALTHVLEQNLKPAAGVRPEAAKVLILVTDGKSQDDVRTAARI LKDQDIDVFVVGVKNVDEAELKLLASQPLDITVHNVLDFPQLDTLAPLLSRLICQKIQGR GPVKPAAGTRVLDPLPTPTRLILTHATSSSIHLSWTPALYPPLKYLIVWQPSRGGAPKEV VVEGPVSSMELGNLTSSTEYLVSVLPVYESGVGKSLQGRATTAPLPPPGPLTLAAVTPRT LHVTWPPSAGVTQYLVQYLLATSTGEEQKREVHVGQPEVLLDGLEPGQDYDVSVQSLRGP EASEVQSIRARTSALGPPRHLTFSDVRYNSTCVSWEAQRPVRLVKVSYISSDGSHSGQTQ VPGNLTSATLGPLSSSTMYTVRVTCFYLGGGSSVLTGHVTTQKAPSPGQLSVMELPGDAV KLSWLATALSGVLVYQIKWMPLGEGKAREISVPGTLGTATLPGLMKHVEYEITILAYYRD GTRSDPVSLRYTPSAASRSPPSSLALSSETPNSLQVNWTPPSGHVLHYRLNYTLASGSGP EKSISVPGTRSHAVLRDLMSATKYRVLVSAVYRAGESMAVSATYRTAACPALHPDSSLSG FDLMVAFGLVAKEYASIRGVAMEPSALGVVPTFTLFKDAQLMRRVSDIYPATLPPEHTIV FLVRLLPETPREAFALWQMMAEDFQPILGVLLDAGRKSLTYFNHDSRAALQEVTFDLQDA KKIFFGSFHKVHIAVGHSKVRLYVDCRKVAERPIGDAGSPPTGGFITLGRLAKARGPRSS SATFQLQMLQIVCSDTWADKDRCCEIPALRDGETCPAFPSACAYSSETPGPPGPQGPPGL PGRNGPPGQQGHPGPKGPPGAKGEKGDQGLSGLQGLSGQQGIPGKTGLQGPKGMRGLEGP AGLPGPPGPRGFQGLAGARGTNGERGAPGAVGPTGLPGSKGERGEKGEPQSLATIFQLVS QACESAIRTHVLKLNSFLHENARPPMPFMAETAKLGRPRSIDPGLHNEALLPGDWGHILR PEDQGEPVTISHTSNPRLQEVQTPESLE >ENSMUSP00000104484.1 pep:known chromosome:GRCm38:2:180986535:181017540:1 gene:ENSMUSG00000016356.17 transcript:ENSMUST00000108856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col20a1 description:collagen, type XX, alpha 1 [Source:MGI Symbol;Acc:MGI:1920618] MSLQGSYQHFCLWMFLGTTLALGQGQVSSRLRLAVLPEDQLQMKWREAEGSGLGFLVQVT PMAGDLEQELILTTKTPKATVGGLNPSKSYTLQIFELTDSGPILLARREFVIEDLKSQSL GRGSRRLAGATLEPTSLPLRGPDSEKTSEPSIAFTLSRDLPILDHPQFQCTPPTPADIIF LVDGSWSIGHNHFQQVKDFLASIITQFAIGPDKVQVGLTQYSGDPQTEWDLNSFQTKEQV LAAVHHLRYKGGNTFTGLALTHVLEQNLKPAAGVRPEAAKVLILVTDGKSQDDVRTAARI LKDQDIDVFVVGVKNVDEAELKLLASQPLDITVHNVLDFPQLDTLAPLLSRLICQKIQGR GPVKPAAGTRVLDPLPTPTRLILTHATSSSIHLSWTPALYPPLKYLIVWQPSRGGAPKEV VVEGPVSSMELGNLTSSTEYLVSVLPVYESGVGKSLQGRATTAPLPPPGPLTLAAVTPRT LHVTWPPSAGVTQYLVQYLLATSTGEEQKREVHVGQPEVLLDGLEPGQDYDVSVQSLRGP EASEVQSIRARTSALGPPRHLTFSDVRYNSTCVSWEAQRPVRLVKVSYISSDGSHSGQTQ VPGNLTSATLGPLSSSTMYTVRVTCFYLGGGSSVLTGHVTTQKAPSPGQLSVMELPGDAV KLSWLATALSGVLVYQIKWMPLGEGKAREISVPGTLGTATLPGLMKHVEYEITILAYYRD GTRSDPVSLRYTPSAASRSPPSSLALSSETPNSLQVNWTPPSGHVLHYRLNYTLASGSGP EKSISVPGTRSHAVLRDLMSATKYRVLVSAVYRAGESMAVSATYRTAACPALHPDSSLSG FDLMVAFGLVAKEYASIRGVAMEPSALGVVPTFTLFKDAQLMRRVSDIYPATLPPEHTIV FLVRLLPETPREAFALWQMMAEDFQPILGVLLDAGRKSLTYFNHDSRAALQEVTFDLQDA KKIFFGSFHKVHIAVGHSKVRLYVDCRKVAERPIGDAGSPPTGGFITLGRLAKARGPRSS SATFQLQMLQIVCSDTWADKDRCCEIPALRDGETCPAFPSACAYSSETPGPPGPQGPPGL PGRNGPPGQQGHPGPKGEPGPPGQTGPEGPGGQQGSPGTQGRAVQGPMGPPGAKGEKGDQ GLSGLQGLSGQQGIPGKTGLQGPKGMRGLEGPAGLPGPPGPRGFQGLAGARGTNGERGAP GAVGPTGLPGSKGERGEKGEPQSLATIFQLVSQACESAIRTHVLKLNSFLHENARPPMPF MAETAKLGRPRSIDPGLHNEALLPGDWGHILRPEDQGEPVTISHTSNPRLQEVQTPESLE >ENSMUSP00000117514.1 pep:known chromosome:GRCm38:2:181000454:181003458:1 gene:ENSMUSG00000016356.17 transcript:ENSMUST00000152473.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Col20a1 description:collagen, type XX, alpha 1 [Source:MGI Symbol;Acc:MGI:1920618] XDGTRSDPVSLRYTPCSCSKQKPPIQPGLVLGDPQQPAGQLDTTKWPCPPLPAQLHTGLR LWTREIDLCTRHQEPCRAS >ENSMUSP00000114654.1 pep:known chromosome:GRCm38:2:181013240:181017540:1 gene:ENSMUSG00000016356.17 transcript:ENSMUST00000155425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col20a1 description:collagen, type XX, alpha 1 [Source:MGI Symbol;Acc:MGI:1920618] XPGAKGEKGDQGLSGLQGLSGQQGIPGKTGLQGPKGMRGLEGPAGLPGPPGPRGFQGLAG ARGTNGERGAPGAVGPTGLPGSKGERGEKGEPQSLATIFQLVSQACESAIRSEPVTISHT SNPRLQEVQTPESLE >ENSMUSP00000031805.8 pep:known chromosome:GRCm38:6:56714927:56761912:1 gene:ENSMUSG00000029787.10 transcript:ENSMUST00000031805.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avl9 description:AVL9 homolog (S. cerevisiase) [Source:MGI Symbol;Acc:MGI:1926187] MEKSGRESDGAPCGPVLHIVVVGFHHKKGCQVEFSYPPLIPGDGHDSHTLPEEWKYLPFL ALPDGAHNYQEDTVFFHLPPRNGNGATVYGISCYRQIEAKALKVRQADITRETVQKSVCV LSKLPLYGLLQAKLQLITHAYFEEKDFSQISILKELYEHMNSSLGGASLEGSQVYLGLSP RDLVLHFRHKVLILFKLILLEKKVLFYISPVNRLVGALMTVLSLFPGMIEHGLSDCSQYR PRKSMSEDAGPQESNPSADDFTSESTSDVLNTSLETVTRVMAVNHGEDAVPKTEKPYFQV EGNNNKGQEPSDSGRYLELPPRPSPESSESDWETLDPSVLEDASLKEREQMGSDQTHLFQ KDSLPSDSPPITVQPQANNRQVVLIPGLISGLEEDQYGMPLAIFTKGYLCLPYMALQQHH LLSDVTVRGFVAGATNILFRQQKHLSDAIVEVEEALIQIHDPELRKLLNPTTADLRFADY LVRHVTENRDDVFLDGTGWEGGDEWIRAQFAVYIHALLAATLQLDNEKMLSDYGTTFVAA WKNTHNYRVWNSNKHPALSEINPNHPFQGQYSVSDMKLRFSHSVQNSERGKKIGSVMVTT SRNVVQTGKAVGQSVGGAFSSAKTAMSSWLSTFTTSTPQSLPEPPNGKP >ENSMUSP00000144696.1 pep:known chromosome:GRCm38:6:56714938:56737157:1 gene:ENSMUSG00000029787.10 transcript:ENSMUST00000177249.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avl9 description:AVL9 homolog (S. cerevisiase) [Source:MGI Symbol;Acc:MGI:1926187] MEKSGRESDGAPCGPVLHIVVVGFHHKKGCQVEFSYPPLIPGDGHDSHTLPEEWKYLPFL ALPDGAHNYQEDTVFFHLPPRNGNGATVYGISCYRQIEAKALKVRQADITRETVQKSVCV LSKLPLYGLLQAKLQLITHAYFEEKDFSQISILKELYEHMNSSLGGASLEGSQVYLGLSP RDLVLHFRHKVLILFKLILLEKKVRYRRIKGRTGSVLHFSSE >ENSMUSP00000145053.1 pep:known chromosome:GRCm38:6:56714942:56728251:1 gene:ENSMUSG00000029787.10 transcript:ENSMUST00000204193.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Avl9 description:AVL9 homolog (S. cerevisiase) [Source:MGI Symbol;Acc:MGI:1926187] MEKSGRESDGAPCGPVLHIVVVGFHHKKGCQEMDMIATLYLKNGSTCLSLPYQMVHITIR KILCFFTCHPEMEMEPQYMVSLVIDKLKPRH >ENSMUSP00000093500.5 pep:known chromosome:GRCm38:12:17284721:17324712:-1 gene:ENSMUSG00000020566.18 transcript:ENSMUST00000095820.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1c2 description:ATPase, H+ transporting, lysosomal V1 subunit C2 [Source:MGI Symbol;Acc:MGI:1916025] MSEFWLISAPGDKENLQALERMNNVTSKSNLSHNTKFAIPDFKVGTLDSLVGLSDELGKL DTFAESLIKRMAQSVVEVMEDSKGKAHETLLANGVDLTSFVTHFEWDMAKYPAKQPLVSV VDTLAKQLAQIETDLKSRTAAYSVLKANLENLEKRSTGNLFTRTLSDIVSKEDFVLDSEY LITLLVIVPKSSFAQWQKTYESLSDMVVPRSTKLIAEDNEGGLFTVTLFRKVIEDFKVKA KENKFIVREFYYDEKEIKREREEMTRLLSDKKQQYPTSCVALKKGSATYRDHKVKVAPLG NPARPAAGQTDRDRESEGEGEGPLLRWLKVNFSEAFIAWIHIKALRVFVESVLRYGLPVN FQAVLLQPHKKSATKRLREVLNSVFRHLDEVAAASILDASVEIPGLQLSNQDYFPYVYFH IDLSLLD >ENSMUSP00000123415.1 pep:known chromosome:GRCm38:12:17284722:17324665:-1 gene:ENSMUSG00000020566.18 transcript:ENSMUST00000140751.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp6v1c2 description:ATPase, H+ transporting, lysosomal V1 subunit C2 [Source:MGI Symbol;Acc:MGI:1916025] MSEFWLISAPGDKENLQALERMNNVTSKSNLSHNTKFAIPDFKVGTLDSLVGLSDELGKL DTFAESLIKRMAQSVVEVMEDSKGKAHETLLANGVDLTSFVTHFEWDMAKYPAKQPLVSV VDTLAKVTRRVSPPSLPATGTNRDRPEVPDSRLQRSEGQPGELGEEIHGEPLHSDTERYC QQGRLRT >ENSMUSP00000020884.9 pep:known chromosome:GRCm38:12:17284722:17324703:-1 gene:ENSMUSG00000020566.18 transcript:ENSMUST00000020884.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1c2 description:ATPase, H+ transporting, lysosomal V1 subunit C2 [Source:MGI Symbol;Acc:MGI:1916025] MSEFWLISAPGDKENLQALERMNNVTSKSNLSHNTKFAIPDFKVGTLDSLVGLSDELGKL DTFAESLIKRMAQSVVEVMEDSKGKAHETLLANGGLKEKMKCLKIDLTSFVTHFEWDMAK YPAKQPLVSVVDTLAKQLAQIETDLKSRTAAYSVLKANLENLEKRSTGNLFTRTLSDIVS KEDFVLDSEYLITLLVIVPKSSFAQWQKTYESLSDMVVPRSTKLIAEDNEGGLFTVTLFR KVIEDFKVKAKENKFIVREFYYDEKEIKREREEMTRLLSDKKQQYPTSCVALKKGSATYR DHKVKVAPLGNPARPAAGQTDRDRESEGEGEGPLLRWLKVNFSEAFIAWIHIKALRVFVE SVLRYGLPVNFQAVLLQPHKKSATKRLREVLNSVFRHLDEVAAASILDASVEIPGLQLSN QDYFPYVYFHIDLSLLD >ENSMUSP00000117139.1 pep:known chromosome:GRCm38:12:17284723:17308413:-1 gene:ENSMUSG00000020566.18 transcript:ENSMUST00000156727.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1c2 description:ATPase, H+ transporting, lysosomal V1 subunit C2 [Source:MGI Symbol;Acc:MGI:1916025] MAQSVVEVMEDSKGKAHETLLANGVDLTSFVTHFEWDMAKYPAKQPLVSVVDTLAKQLAQ IETDLKSRTAAYSVLKANLENLEKRSTGNLFTRTLSDIVSKEDFVLDSEYLITLLVIVPK SSFAQWQKTYESLSDMVVPRSTKLIAEDNEGGLFTVTLFRKVIEDFKVKAKENKFIVREF YYDEKEIKREREEMTRLLSDKKQQYPTSCVALKKGSATYRDHKVKVAPLGNPARPAAGQT DRDRESEGEGEGPLLRWLKVNFSEAFIAWIHIKALRVFVESVLRYGLPVNFQAVLLQPHK KSATKRLREVLNSVFRHLDEVAAASILDASVEIPGLQLSNQDYFPYVYFHIDLSLLD >ENSMUSP00000119686.1 pep:known chromosome:GRCm38:12:17297796:17329348:-1 gene:ENSMUSG00000020566.18 transcript:ENSMUST00000153090.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1c2 description:ATPase, H+ transporting, lysosomal V1 subunit C2 [Source:MGI Symbol;Acc:MGI:1916025] MSEFWLISAPGDKENLQALERMNNVTSKSNLSHNTKFAIPDFKVGTLDSLVGLSDELGKL DTFAESLIKRMAQSVVEVMEDSKGKAHETLLANGVDLTSFVTHFEWDMAKYPAKQPLVSV VDTLAKQLAQIETD >ENSMUSP00000118635.1 pep:known chromosome:GRCm38:12:17308301:17324730:-1 gene:ENSMUSG00000020566.18 transcript:ENSMUST00000127185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1c2 description:ATPase, H+ transporting, lysosomal V1 subunit C2 [Source:MGI Symbol;Acc:MGI:1916025] MNNVTSKSNLSHNTKFAIPDFKVGTLDSLVGLSDELGKLDTFAESLIKRMAQSVVEVMED SKG >ENSMUSP00000117993.1 pep:known chromosome:GRCm38:12:17308345:17329359:-1 gene:ENSMUSG00000020566.18 transcript:ENSMUST00000143131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1c2 description:ATPase, H+ transporting, lysosomal V1 subunit C2 [Source:MGI Symbol;Acc:MGI:1916025] MSEFWLISAPGDKENLQALERMNNVTSKSNLSHNTKFAIPDFKVGTLDSLVGLSDELGKL DTFAESLIKR >ENSMUSP00000145896.1 pep:known chromosome:GRCm38:14:66135039:66151167:1 gene:ENSMUSG00000022041.10 transcript:ENSMUST00000206455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna2 description:cholinergic receptor, nicotinic, alpha polypeptide 2 (neuronal) [Source:MGI Symbol;Acc:MGI:87886] MAPSHPAFQFWIHLYLWCLLLMPAVLAQQGSHTHAEDRLFKHLFGGYNRWARPVPNTSDV VIVRFGLSIAQLIDVDEKNQMMTTNVWLKQEWNDYKLRWDPAEFGNITSLRVPSEMIWIP DIVLYNNADGEFAVTHMTKAHLFFTGTVHWVPPAIYKSSCSIDVTFFPFDQQNCKMKFGS WTYDKAKIDLEQMERTVDLKDYWESGEWAIINATGTYNSKKYDCCAEIYPDVTYYFVIRR LPLFYTINLIIPCLLISCLTVLVFYLPSECGEKITLCISVLLSLTVFLLLITEIIPSTSL VIPLIGEYLLFTMIFVTLSIVITVFVLNVHHRSPSTHNMPNWVRVALLGRVPRWLMMNRP LPPMELHGSPGLKLSPTYHWLETNMDAEEREETEEEEEEEEDENICMCAGLPDSSMGVLY GHGSLHLRAMGPEAKTPSQASEILLSPQIQKALEGVHYIADHLRSEDADSSVKEDWKYVA MVVDRIFLWLFIIVCFLGTIGLFLPPFLAGMI >ENSMUSP00000022620.9 pep:known chromosome:GRCm38:14:66140933:66152948:1 gene:ENSMUSG00000022041.10 transcript:ENSMUST00000022620.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna2 description:cholinergic receptor, nicotinic, alpha polypeptide 2 (neuronal) [Source:MGI Symbol;Acc:MGI:87886] MAPSHPAFQFWIHLYLWCLLLMPAVLAQQGSHTHAEDRLFKHLFGGYNRWARPVPNTSDV VIVRFGLSIAQLIDVDEKNQMMTTNVWLKQEWNDYKLRWDPAEFGNITSLRVPSEMIWIP DIVLYNNADGEFAVTHMTKAHLFFTGTVHWVPPAIYKSSCSIDVTFFPFDQQNCKMKFGS WTYDKAKIDLEQMERTVDLKDYWESGEWAIINATGTYNSKKYDCCAEIYPDVTYYFVIRR LPLFYTINLIIPCLLISCLTVLVFYLPSECGEKITLCISVLLSLTVFLLLITEIIPSTSL VIPLIGEYLLFTMIFVTLSIVITVFVLNVHHRSPSTHNMPNWVRVALLGRVPRWLMMNRP LPPMELHGSPGLKLSPTYHWLETNMDAEEREETEEEEEEEEDENICMCAGLPDSSMGVLY GHGSLHLRAMGPEAKTPSQASEILLSPQIQKALEGVHYIADHLRSEDADSSVKEDWKYVA MVVDRIFLWLFIIVCFLGTIGLFLPPFLAGMI >ENSMUSP00000134214.1 pep:known chromosome:GRCm38:17:35170991:35172819:-1 gene:ENSMUSG00000024397.14 transcript:ENSMUST00000172693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aif1 description:allograft inflammatory factor 1 [Source:MGI Symbol;Acc:MGI:1343098] MSQSRDLQGGKAFGLLKAQQEERLEGINKQFLDDPKYSNDEDLPSKLEAFKVKYMEFDLN GNGDIDIMSLKRMLEKLGVPKTHLELKRLIREVSSGSEETFSYSDFLRMMLGKRSAILRM ILMYEEKNKEHKRPTGPPAKKAISELP >ENSMUSP00000133709.1 pep:known chromosome:GRCm38:17:35170992:35176001:-1 gene:ENSMUSG00000024397.14 transcript:ENSMUST00000173324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aif1 description:allograft inflammatory factor 1 [Source:MGI Symbol;Acc:MGI:1343098] MSQSRDLQGGKAFGLLKAQQEERLEGINKQFLDDPKYSNDEDLPSKLEAFKVKYMEFDLN GNGDIDIMSLKRMLEKLGVPKTHLELKRLIREVSSGSEETFSYSDFLRMMLGKRSAILRM ILMYEEKNKEHKRPTGPPAKKAISELP >ENSMUSP00000025257.5 pep:known chromosome:GRCm38:17:35170994:35173278:-1 gene:ENSMUSG00000024397.14 transcript:ENSMUST00000025257.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aif1 description:allograft inflammatory factor 1 [Source:MGI Symbol;Acc:MGI:1343098] MSQSRDLQGGKAFGLLKAQQEERLEGINKQFLDDPKYSNDEDLPSKLEAFKVKYMEFDLN GNGDIDIMSLKRMLEKLGVPKTHLELKRLIREVSSGSEETFSYSDFLRMMLGKRSAILRM ILMYEEKNKEHKRPTGPPAKKAISELP >ENSMUSP00000134107.1 pep:known chromosome:GRCm38:17:35171523:35172631:-1 gene:ENSMUSG00000024397.14 transcript:ENSMUST00000173106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aif1 description:allograft inflammatory factor 1 [Source:MGI Symbol;Acc:MGI:1343098] MSQSRDLQGGKAFGLLKAQQEERLEGINKQFLDDPKYSNDEDLPSKLEAFKAPPTGSLRS PYPYTLLPYLLPWHCSATNSSNPHNSNYSLCSLSRPVKYMEFDLNGNGDIDIMSLKRMLE KLGVPKTH >ENSMUSP00000109861.1 pep:known chromosome:GRCm38:2:25563137:25571358:-1 gene:ENSMUSG00000026941.16 transcript:ENSMUST00000114223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mamdc4 description:MAM domain containing 4 [Source:MGI Symbol;Acc:MGI:2685841] MCLPSHLLSTWVLFMAAQSLGKTWLPNHCRSPIKAVCNFVCDCGDCSDETQCGFHGASTI PSTSFTCNFEQDSCGWQDISTSGYRWLRDRAGAVLHGPGPHSDHTHGTDLGWYMAVGTHS GKEPSTATLRSPVMREAAPTCELRLWYHIASRDVAELRLDLTHGVETLTLWQTSGPWGPG WQELAVNTGRIQGDFKVTFSATRNATHRGAVALDDVEFRDCGLPIPQARCPLGHHHCQNK ACVEPHQLCDGEDNCGDRSDEDPLICSHHMATDFETGLGPWNQLEGWTRNHSAGSMVSPA WPHRDHSRNSAYGFFLISVAKPGTTAVLYSPEFQGSVSNNCSFTFYYYLHGSEASHFQLF LQAQGLNTPQVPVLLRSRHGELGTAWVRDRVDIQSAHPFRILLAGETGPGGVVGLDDLIM SSHCMLVPAMSTLQSSLSGPVPLALYPQTSIKLPQQTCEPGHLSCGDLCVPPEQLCDFQK HCAEGEDEHKCGTTDFESASAGGWEDISVGKLQWQWVEAQEKSKPAGDANRDAPGHFLSL QKAWGQLRSEARALTPALGPSGPHCELHMAYYFQSHPQGFLALVVVENGFRELLWQAPGG GSGSWTEEKIILGARRRPFQLEFVSLVDLDGPGQQGAGVDNVTLRDCNPMVTTESDQELS CNFERDSCSWHTGHLTDAHWHRIKSHGSQLDHTTGQGFFMFLDPTDPPARGQGALLLTRP QVPVVPKECLSFWYRLYGPQIGTLCLAMRREREEDILLWSRSGTHGNRWHQAWVTLHHQP EASTKYQLLFEGLRNGYHGTMALDDIAVRPGPCWAPKSCSFEDSDCGFSPGGWGLWTHQS NASGLASWGPWIDHTTGTAQGHYMVVDTSPNVLPKGHVAALTSEEHQPLSQPACLTFWYH MSVPNPGTLRVHVEESTRRQELSISAHGRSAWRLGSVNVQAEQAWKVVFEAVAAGVEYSY MALDDISLQDGPCPQPGSCDFETGLCGWSHLPWPSLGGYSWDWSSGATPSRYPQPSVDHT LGTEAGHFAFFETSVLGPGGQAAWLRSEPLPATTVSCLRFWYYMGFPEHFYKGELRVLLS SARGQLAVWYQGGHLRDQWLQVQIELSNSEEFQIVFEATLGGQPALGPIAIDDVQYLAGQ QCKQPSPSQGEVAAPVSVPVAVGGALLFFMFLVLMGLGGWHWLQKQHCPGQRSTDAAASG FANILFNADHVTLPESITSNPQSPPDLA >ENSMUSP00000119789.1 pep:known chromosome:GRCm38:2:25563310:25570254:-1 gene:ENSMUSG00000026941.16 transcript:ENSMUST00000152237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mamdc4 description:MAM domain containing 4 [Source:MGI Symbol;Acc:MGI:2685841] XGKTWLPNHCRSPIKAVCNFVCDCGDCSDETQCGFHGASTIPSTSFTCNFEQDSCGWQDI STSGYRWLRDRAGAVLHGPGPHSDHTHGTDLGWYMAVGTHSGKEPSTATLRSPVMREAAP TCELRLWYHIASRDVAELRLDLTHGVETLTLWQTSGPWGPGWQELAVNTGRIQGDFKVTF SATRNATHRGAVALDDVEFRDCGLPIPQARCPLGHHHCQNKACVEPHQLCDGEDNCGDRS DEDPLICSHHMATDFETGLGPWNQLEGWTRNHSAGSMVSPAWPHRDHSRNSAYGFFLISV AKPGTTAVLYSPEFQGSVSNNCSFTFYYYLHGSEASHFQLFLQAQGLNTPQVPVLLRSRH GELGTAWVRDRVDIQSAHPFRILLAGETGPGGVVGLDDLIMSSHCMLVPAMSTLQSSLSG PVPLALYPQTSIKLPQQTCEPGHLSCGDLCVPPEQLCDFQKHCAEGEDEHKCGTTDFESA SAGGWEDISVGKLQWQWVEAQEKSKPAGDANRDAPGHFLSLQKAWGQLRSEARALTPALG PSGPHCELHMAYYFQSHPQELSCNFERDSCSWHTGHLTDAHWHRIKSHGSQLDHTTGQGF FMFLDPTDPPARGQGALLLTRPQVPVVPKECLSFWYRLYGPQIGTLCLAMRREREEDILL WSRSGTHGNRWHQAWVTLHHQPEASTKYQLLFEGLRNGYHGTMALDDIAVRPGPCWAPKS CSFEDSDCGFSPGGWGLWTHQSNASGLASWGPWIDHTTGTAQGHYMVVDTSPNVLPKGHV AALTSEEHQPLSQPACLTFWYHMSVPNPGTLRVHVEESTRRQELSISAHGRSAWRLGSVN VQAEQAWKVVFEAVAAGVEYSYMALDDISLQDGPCPQPGSCDFETGLCGWSHLPWPSLGG YSWDWSSGATPSRYPQPSVDHTLGTEAGHFAFFETSVLGPGGQAAWLRSEPLPATTVSCL RFWYYMGFPEHFYKGELRVLLSSARGQLAVWYQGGHLRDQWLQVQIELSNSEEFQIVFEA TLGGQPALGPIAIDDVQYLAGQQCKQPSPSQGEVAAPVSVPVAVGGALLFFMFLVLMGLG GWHWLQKQHCPGQRSTDAAASGFANILFNADHVTLPESITSNPQSPPDLA >ENSMUSP00000092735.3 pep:known chromosome:GRCm38:2:25563115:25571316:-1 gene:ENSMUSG00000026941.16 transcript:ENSMUST00000095117.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mamdc4 description:MAM domain containing 4 [Source:MGI Symbol;Acc:MGI:2685841] MCLPSHLLSTWVLFMVTLPAAQSLGKTWLPNHCRSPIKAVCNFVCDCGDCSDETQCGFHG ASTIPSTSFTCNFEQDSCGWQDISTSGYRWLRDRAGAVLHGPGPHSDHTHGTDLGWYMAV GTHSGKEPSTATLRSPVMREAAPTCELRLWYHIASRDVAELRLDLTHGVETLTLWQTSGP WGPGWQELAVNTGRIQGDFKVTFSATRNATHRGAVALDDVEFRDCGLPIPQARCPLGHHH CQNKACVEPHQLCDGEDNCGDRSDEDPLICSHHMATDFETGLGPWNQLEGWTRNHSAGSM VSPAWPHRDHSRNSAYGFFLISVAKPGTTAVLYSPEFQGSVSNNCSFTFYYYLHGSEASH FQLFLQAQGLNTPQVPVLLRSRHGELGTAWVRDRVDIQSAHPFRILLAGETGPGGVVGLD DLIMSSHCMLVPAMSTLQSSLSGPVPLALYPQTSIKLPQQTCEPGHLSCGDLCVPPEQLC DFQKHCAEGEDEHKCGTTDFESASAGGWEDISVGKLQWQWVEAQEKSKPAGDANRDAPGH FLSLQKAWGQLRSEARALTPALGPSGPHCELHMAYYFQSHPQGFLALVVVENGFRELLWQ APGGGSGSWTEEKIILGARRRPFQLEFVSLVDLDGPGQQGAGVDNVTLRDCNPMVTTESD QELSCNFERDSCSWHTGHLTDAHWHRIKSHGSQLDHTTGQGFFMFLDPTDPPARGQGALL LTRPQVPVVPKECLSFWYRLYGPQIGTLCLAMRREREEDILLWSRSGTHGNRWHQAWVTL HHQPEASTKYQLLFEGLRNGYHGTMALDDIAVRPGPCWAPKSCSFEDSDCGFSPGGWGLW THQSNASGLASWGPWIDHTTGTAQGHYMVVDTSPNVLPKGHVAALTSEEHQPLSQPACLT FWYHMSVPNPGTLRVHVEESTRRQELSISAHGRSAWRLGSVNVQAEQAWKVVFEAVAAGV EYSYMALDDISLQDGPCPQPGSCDFETGLCGWSHLPWPSLGGYSWDWSSGATPSRYPQPS VDHTLGTEAGHFAFFETSVLGPGGQAAWLRSEPLPATTVSCLRFWYYMGFPEHFYKGELR VLLSSARGQLAVWYQGGHLRDQWLQVQIELSNSEEFQIVFEATLGGQPALGPIAIDDVQY LAGQQCKQPSPSQGEVAAPVSVPVAVGGALLFFMFLVLMGLGGWHWLQKQHCPGQRSTDA AASGFANILFNADHVTLPESITSNPQSPPDLA >ENSMUSP00000031160.9 pep:known chromosome:GRCm38:5:76951307:76967505:1 gene:ENSMUSG00000029247.17 transcript:ENSMUST00000031160.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paics description:phosphoribosylaminoimidazole carboxylase, phosphoribosylaminoribosylaminoimidazole, succinocarboxamide synthetase [Source:MGI Symbol;Acc:MGI:1914304] MATAVVVNIGKKLYEGKTKEVYELLDTPGRVLLQSKDQITAGNAARKNHLEGKAAISNKI TSCIFQLLQEAGIKTAFTKKCGETAFIAPQCEMIPIEWVCRRIATGSFLKRNPGVQEGYK FYPPKVEMFFKDDANNDPQWSEEQLIAAKFCFAGLVIGQTEVDIMSHATQAIFEILEKSW LPQDCTLVDMKIEFGVDVTTKEIVLADVIDNDSWRLWPSGDRSQQKDKQSYRDLKEVTPE GLQMVKKNFEWVADRVELLLKSDSQCRVVVLMGSTSDLGHCEKIKKACGNFGIPCELRVT SAHKGPDETLRIKAEYEGDGIPTVFVSVAGRSNGLGPVLSGNTAYPVISCPPITPDWGAQ DVWSSLRLPSGIGCSTILSPEGSAQFAAQIFGLNNHLVWAKLRASILNTWISLKQADKKV RQCNL >ENSMUSP00000113483.1 pep:known chromosome:GRCm38:5:76951348:76967509:1 gene:ENSMUSG00000029247.17 transcript:ENSMUST00000120912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paics description:phosphoribosylaminoimidazole carboxylase, phosphoribosylaminoribosylaminoimidazole, succinocarboxamide synthetase [Source:MGI Symbol;Acc:MGI:1914304] MATAVVVNIGKKLYEGKTKEVYELLDTPGRVLLQSKDQITAGNAARKNHLEGKAAISNKI TSCIFQLLQEAGIKTAFTKKCGETAFIAPQCEMIPIEWVCRRIATGSFLKRNPGVQEGYK FYPPKVEMFFKDDANNDPQWSEEQLIAAKFCFAGLVIGQTEVDIMSHATQAIFEILEKSW LPQDCTLVDMKIEFGVDVTTKEIVLADVIDNDSWRLWPSGDRSQQKDKQSYRDLKEVTPE GLQMVKKNFEWVADRVELLLKSDSQCRVVVLMGSTSDLGHCEKIKKACGNFGIPCELRVT SAHKGPDETLRIKAEYEGDGIPTVFVSVAGRSNGLGPVLSGNTAYPVISCPPITPDWGAQ DVWSSLRLPSGIGCSTILSPEGSAQFAAQIFGLNNHLVWAKLRASILNTWISLKQADKKV RQCNL >ENSMUSP00000112879.1 pep:known chromosome:GRCm38:5:76951368:76967313:1 gene:ENSMUSG00000029247.17 transcript:ENSMUST00000117536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paics description:phosphoribosylaminoimidazole carboxylase, phosphoribosylaminoribosylaminoimidazole, succinocarboxamide synthetase [Source:MGI Symbol;Acc:MGI:1914304] MATAVVVNIGKKLYEGKTKEVYELLDTPGRVLLQSKDQITAGNAARKNHLEGKAAISNKI TSCIFQLLQEAGIKTAFTKKCGETAFIAPQCEMIPIEWVCRRIATGSFLKRNPGVQEGYK FYPPKVEMFFKDDANNDPQWSEEQLIAAKFCFAGLVIGQTEVDIMSHATQAIFEILEKSW LPQDCTLVDMKIEFGVDVTTKEIVLADVIDNDSWRLWPSGDRSQQKDKQSYRDLKEVTPE GLQMVKKNFEWVADRVELLLKSDSQCRVVVLMGSTSDLGHCEKIKKACGNFGIPCELRVT SAHKGPDETLRIKAEYEGDGIPTVFVSVAGRSNGLGPVLSGNTAYPVISCPPITPDWGAQ DVWSSLRLPSGIGCSTILSPEGSAQFAAQIFGLNNHLVWAKLRASILNTWISLKQADKKV RQCNL >ENSMUSP00000117027.1 pep:known chromosome:GRCm38:5:76951382:76959350:1 gene:ENSMUSG00000029247.17 transcript:ENSMUST00000141687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paics description:phosphoribosylaminoimidazole carboxylase, phosphoribosylaminoribosylaminoimidazole, succinocarboxamide synthetase [Source:MGI Symbol;Acc:MGI:1914304] MATAVVVNIGKKLYEGKTKEVYELLDTPGRVLLQSKDQITAGNAARKNHLEGKAAISNKI TSCIFQLLQEAGIKTAFTKKCGE >ENSMUSP00000123558.1 pep:known chromosome:GRCm38:5:76951777:76962479:1 gene:ENSMUSG00000029247.17 transcript:ENSMUST00000153648.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Paics description:phosphoribosylaminoimidazole carboxylase, phosphoribosylaminoribosylaminoimidazole, succinocarboxamide synthetase [Source:MGI Symbol;Acc:MGI:1914304] MATAVVVNIGKKLYEGKTKEVYELLDTPGRVLLQSKDQITAGNAARKNHLEGKAAISNKI TSCIFQLLQEAGECFPLPFTGVIFQGRSCFDQECSIHLMCYYI >ENSMUSP00000051966.9 pep:known chromosome:GRCm38:9:7764041:7794333:1 gene:ENSMUSG00000050912.15 transcript:ENSMUST00000052865.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem123 description:transmembrane protein 123 [Source:MGI Symbol;Acc:MGI:1919179] MALCARAALLLGVLQVLALLGAAQDPTDAQGSASGNHSVLTSNINITENTNQTMSVVSNQ TSEMQSTAKPSVLPKTTTLITVKPATIVKISTPGVLPHVTPTASKSTPNASASPNSTHTS ASMTTPAHSSLLTTVTVSATTHPTKGKGSKFDAGSFVGGIVLTLGVLSILYIGCKMYYSR RGIRYRSIDEHDAII >ENSMUSP00000117742.1 pep:known chromosome:GRCm38:9:7764149:7791048:1 gene:ENSMUSG00000050912.15 transcript:ENSMUST00000136904.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem123 description:transmembrane protein 123 [Source:MGI Symbol;Acc:MGI:1919179] MALCARAALLLGVLQVLALLGAAQDPTDAQGSASGNHSVLTSNINITAVSLYGV >ENSMUSP00000120727.1 pep:known chromosome:GRCm38:9:7764165:7794328:1 gene:ENSMUSG00000050912.15 transcript:ENSMUST00000154371.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem123 description:transmembrane protein 123 [Source:MGI Symbol;Acc:MGI:1919179] MALCARAALLLGVLQVLALLGAAQDPTDAQGSASGNHSVLTSNINITATASRRHGAAAAS CNMASW >ENSMUSP00000021207.6 pep:known chromosome:GRCm38:11:76019194:76027782:-1 gene:ENSMUSG00000020846.6 transcript:ENSMUST00000021207.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam101b description:family with sequence similarity 101, member B [Source:MGI Symbol;Acc:MGI:1923816] MVGRLSLQDVPELVDTKKKGDGVLDSPDSGLPPSPSPSHWGLAATAGGGGERAPVAGTLE PDAAVTPIVPNPASLTHSLAAICSPRLCPLSFGEGVEFDPLPPKEIKYTSSVKYDSERHF IDDVQMPLGLVVASCSQTVTCIPNCTWRNYKAEVRFEPRPKPARFLSTTIVYPKYPKTVY TTTLDYNCHKKLRRFLSSVELEATEFLGSDGLADEC >ENSMUSP00000135964.1 pep:known chromosome:GRCm38:19:9847562:9852808:1 gene:ENSMUSG00000096872.2 transcript:ENSMUST00000179814.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2a2 description:secretoglobin, family 2A, member 2 [Source:MGI Symbol;Acc:MGI:3780828] MKLVVLFMLVTIPICCYANGTSGCGILDNIINGTISSSVSEDKYLEMVKPYILLPSTRSA VKEFKQCFLSQTEDTLSNVGLMMEIIFNSEECQQSS >ENSMUSP00000001507.4 pep:known chromosome:GRCm38:5:4081145:4104746:-1 gene:ENSMUSG00000001467.5 transcript:ENSMUST00000001507.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp51 description:cytochrome P450, family 51 [Source:MGI Symbol;Acc:MGI:106040] MVLLGLLQSGGWVLGQAMEQVTGGNLLSTLLIACAFTLSLVYLFRLAVGHMVQLPAGAKS PPHIYSPIPFLGHAIAFGKSPIEFLENAYEKYGPVFSFTMVGKTFTYLLGSDAAALLFNS KNEDLNAEEVYGRLTTPVFGKGVAYDVPNAIFLEQKKIIKSGLNIAHFKQYVPIIEKEAK EYFQSWGESGERNVFEALSELIILTASHCLHGKEIRSQLNEKVAQLYADLDGGFTHAAWL LPAWLPLPSFRRRDRAHREIKNIFYKAIQKRRLSKEPAEDILQTLLDSTYKDGRPLTDEE ISGMLIGLLLAGQHTSSTTSAWMGFFLAKDKPLQEKCYLEQKAVCGEDLPPLTYDQLKDL NLLDRCIKETLRLRPPIMTMMRMAKTPQTVAGYTIPPGHQVCVSPTVNQRLKDSWAERLD FNPDRYLQDNPASGEKFAYVPFGAGRHRCVGENFAYVQIKTIWSTMLRLYEFDLINGYFP TVNYTTMIHTPENPVIRYKRRSK >ENSMUSP00000093460.2 pep:known chromosome:GRCm38:10:24223517:24302790:1 gene:ENSMUSG00000020000.7 transcript:ENSMUST00000095784.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Moxd1 description:monooxygenase, DBH-like 1 [Source:MGI Symbol;Acc:MGI:1921582] MCGWPLLVLWALLPATAAGSPGRSYPHRVVLDPEGKYWLHWGRQGERLAFRLEVRTNGYV GFGFSPTGSMAAADIVVGGVAHGRPYLQDYFTNADRELEKDAQQDYHLDYAMENSTHTVI EFSRELHTCDVNDKSLTDSTVRVIWAYHHDDPGESGPKYHDLNRGTRSLRLLNPEKANVV STVLPYFDLVNQNVPIPNKGTTYWCQMFKIPTFQEKHHVIKVEPIIERGHENLVHHILVY QCSSNFNDSVLDFGHECYHPNMPDAFLTCETVILAWGIGGEGFTYPPHVGLSLGMPLDPR YVLLEVHYDNPARRKGLIDSSGLRVFHTTDIRRYDAGVIEAGLWVSLFHTIPPGMPEFHS EGHCTLECLEEALGAEKPSGIHVFAVLLHAHLAGKGIRLRHFRKGEEMKLLAYDDDYDFN FQEFQYLREEQTILPGDNLITECRYNTKDRAVMTWGGLSTRNEMCLSYLLYYPRVNLTRC SSIPDIMEQLQFIGVKEIYRPVTTWPFIIKSPKQYRNLSFMDAMNKFKWTKKEGLSFNKL VLSLPVNVRCSKTDNAEWSIQGMTAIPPDIKRPYEAEPLVCEKAASPPLHGIFSLRLLTC ALLLGSMLSSQGL >ENSMUSP00000019938.4 pep:known chromosome:GRCm38:10:42561963:42583632:-1 gene:ENSMUSG00000019803.11 transcript:ENSMUST00000019938.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2e1 description:nuclear receptor subfamily 2, group E, member 1 [Source:MGI Symbol;Acc:MGI:1100526] MSKPAGSTSRILDIPCKVCGDRSSGKHYGVYACDGCSGFFKRSIRRNRTYVCKSGNQGGC PVDKTHRNQCRACRLKKCLEVNMNKDAVQHERGPRTSTIRKQVALYFRGHKEDNGAAAHF PSTALPAPAFFTAVTQLEPHGLELAAVSATPERQTLVSLAQPTPKYPHEVNGTPMYLYEV ATESVCESAARLLFMSIKWAKSVPAFSTLSLQDQLMLLEDAWRELFVLGIAQWAIPVDAN TLLAVSGMNTDNTDSQKLNKIISEIQALQEVVARFRQLRLDATEFACLKCIVTFKAVPTH SGSELRSFRNAAAIAALQDEAQLTLNSYIHTRYPTQPCRFGKLLLLLPALRSISPSTIEE VFFKKTIGNVPITRLLSDMYKSSDI >ENSMUSP00000101137.2 pep:known chromosome:GRCm38:10:42563272:42568828:-1 gene:ENSMUSG00000019803.11 transcript:ENSMUST00000105498.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2e1 description:nuclear receptor subfamily 2, group E, member 1 [Source:MGI Symbol;Acc:MGI:1100526] MPLMLLEDAWRELFVLGIAQWAIPVDANTLLAVSGMNTDNTDSQKLNKIISEIQALQEVV ARFRQLRLDATEFACLKCIVTFKAVPTHSGSELRSFRNAAAIAALQDEAQLTLNSYIHTR YPTQPCRFGKLLLLLPALRSISPSTIEEVFFKKTIGNVPITRLLSDMYKSSDI >ENSMUSP00000116439.1 pep:known chromosome:GRCm38:10:42571484:42580345:-1 gene:ENSMUSG00000019803.11 transcript:ENSMUST00000126848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2e1 description:nuclear receptor subfamily 2, group E, member 1 [Source:MGI Symbol;Acc:MGI:1100526] MGSLGRILDIPCKVCGDRSSGKHYGVYACDGCSGFFKRSIRRNRTYVCKSGNQGGCPVDK THRNQCRACRLKKCLEVNMNKDAVQHERGPRTSTIRKQVALYFRGHKEDNGAAAHFPSTA LPAPAFFTAVTQLEPHGLELAAVSATPERQTLVSLAQPTPKYPHEVNGTPMYL >ENSMUSP00000118724.1 pep:known chromosome:GRCm38:10:42572858:42580774:-1 gene:ENSMUSG00000019803.11 transcript:ENSMUST00000143891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2e1 description:nuclear receptor subfamily 2, group E, member 1 [Source:MGI Symbol;Acc:MGI:1100526] MNKDAVQHERGPRTSTIRKQVALYFRGHKEDNGAAAHFPSTALPAP >ENSMUSP00000103034.2 pep:known chromosome:GRCm38:11:100131758:100135928:-1 gene:ENSMUSG00000054146.8 transcript:ENSMUST00000107411.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt15 description:keratin 15 [Source:MGI Symbol;Acc:MGI:96689] MATTFLQTSSTFGGSSTRGASLRAGGGSFGGGSLYGGGGSRSISASSARFVSSGAGGGFG GGMSCGFGGGFGGGFGGGFGGGFGDFGGGDGGLLSGNEKVTMQNLNDRLASYLDKVRALE QANTELEVKIRDWYQKQSPASPDRDYSHYFKTMEEIRDKILAATIDNSRVVLEIDNARLA ADDFRLKYENELTLRQGVEADINGLRRVLDELTLARTDLEMQIEQLNEELAYLKKNHEEE MKEFSSQLAGQVNVEMDAAPGVDLTRMLAEMREQYEAIAEKNRRDVEAWFFSKTEELNKE VASNTEMIQTSKTEITDLRRTLQGLEIELQSQLSMKAGLENSLAEVECRYATQLQQIQGV ITGLETQLSELRCEMEAQNQEYNMLLDIKTRLEQEIATYRNLLEGQDAKMAGIGVREVSL GGSSGGGGSSSSSSNFHISVEESVDGKVVSSRKREI >ENSMUSP00000029806.6 pep:known chromosome:GRCm38:3:137931007:137981545:-1 gene:ENSMUSG00000028159.14 transcript:ENSMUST00000029806.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dapp1 description:dual adaptor for phosphotyrosine and 3-phosphoinositides 1 [Source:MGI Symbol;Acc:MGI:1347063] MGRAELLGGNMSTQDPSELWGRADGGTDLLQDLGWYHGNLTRHAAEALLLSNGRDGSYLL RDSNEQTGLYSLSVRAKDSVKHFHVEYTGYSFKFGFNEYSSLKDFVKHFANQPLIGSETG TLMVLKHPYPREVEEPCIYESVRVHTAMQTGRTENDLVPTAPSLGTKEGYLTKQGGLVKT WKTRWFTLQRNELKYFKDQMSPEPIRILDLTECSAVQFDYSQERVNCFCLVFPFRTFYLC AKTGVEADEWIKILRWKLSKIRKQLDQGEDTVRSRSFIFK >ENSMUSP00000119634.1 pep:known chromosome:GRCm38:3:137931008:137981536:-1 gene:ENSMUSG00000028159.14 transcript:ENSMUST00000136613.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dapp1 description:dual adaptor for phosphotyrosine and 3-phosphoinositides 1 [Source:MGI Symbol;Acc:MGI:1347063] MGRAELLGGNMSTQDPSELWGRADGGTDLLQDLGAKDSVKHFHVEYTGYSFKFGFNEYSS LKDFVKHFANQPLIGSETGTLMVLKHPYPREVEEPCIYESVRVHTAMQTGRTENDLVPTA PSLGTKEGYLTKQGGLVKTWKTRWFTLQRNELKYFKDQMSPEPIRILDLTECSAVQFDYS QERVNCFCLVFPFRTFYLCAKTGVEADEWIKILRWKLSKIRKQLDQGEDTVRSRSFIFK >ENSMUSP00000126007.1 pep:known chromosome:GRCm38:7:85136240:85155902:-1 gene:ENSMUSG00000095664.1 transcript:ENSMUST00000168730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r67 description:vomeronasal 2, receptor 67 [Source:MGI Symbol;Acc:MGI:3643129] MFSLISVFWFLKISFMFCHLSDPKCFWRIKDTKKNLGDTETYCSFSISTKRGYVKNDYFS WNLDKQVTPKTNHLIFSVYLALEEINMNFHILPNISLVVNIECLRKKYDEKTGLALQTEE FIPNYYCTDERRYLIIFTAPIWAVTTRLGTFMFMYSIPELYCGHFHLSLSDNEQFPHLYQ ISPKDTSLPLAMVSLMVHFRWNWIGAIVTTDDHGIQFLSELRGEMQKHIVCLSFEITILT EHSMARKEFQRYFNRIVMSSAKVVIVYGDYTSPIDLVLHLCKSKGIFRIWVSVSQFDMIT KLGDFMLYSSSGTFIFSHQKPELSGFQQFIQTVHPSNYSSEFSFAKLWWTYFRCSLPPSN CKKLKNCPTKIVFKWLFMTPLGMAMSDTCYNLYNAIYAVAHSLHEMLLQQIDTWSNNAGK ELEFDTWKMFSILKTLQFVNPAGDLVNMNQNLKQDTDYDIFYIMDFQKDYGFKMKIGRFS GHLPSHQQLYMSKEMMEWATDVDQILPSICSMPCRPGLRKSPEEGKDICCFVCNPCHENE ISNMTNMDQCVKCQEDQYANEDHTLCLQKVVSILDYRDPLGKALAGIALCFSVLTSGILC IFLKHRETPIVKANNQTLSYVLLISLVFCFICSLLYIGHPTTVICILQPITFAIAFTVAT STILAKTITVLLAFKITIPGRRMRWLLLSGVPKYIVLICTMIQLILCGIWLGTSPPFVDA DVYMVHGHIIIVCNKGSVIAFYCVLGYMGSVALASFTVAFLARNLPDTFNEAKLLTFSML VFCSVWITFIPVYHSTKGKTMVAVEVFSILASSAGLLLCIFAPKCYIILLRPQTNSFHKF RKTHSKAENIS >ENSMUSP00000095588.2 pep:known chromosome:GRCm38:7:139579376:139582797:-1 gene:ENSMUSG00000041309.17 transcript:ENSMUST00000097974.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nkx6-2 description:NK6 homeobox 2 [Source:MGI Symbol;Acc:MGI:1352738] MDANRPGAFVLSSAPLAALHNMAEMKTSLFPYALQGPAGFKTPALGSLGAQLPLGTPHGI SDILGRPVGAAGGGLLGSLPRLNGLASSAGVYFGPAAAVARGYPKPLAELPGRPPIFWPG VVQGSPWRDPRLAGSAQAGGVLDKDGKKKHSRPTFSGQQIFALEKTFEQTKYLAGPERAR LAYSLGMTESQVKVWFQNRRTKWRKRHAAEMASAKKKQDSDAEKLKVGGSDAEDDDEYNR PLDPNSDDEKITRLLKKHKPSNLALVSPCGGSAGDAL >ENSMUSP00000101701.2 pep:known chromosome:GRCm38:7:139581220:139582790:-1 gene:ENSMUSG00000041309.17 transcript:ENSMUST00000106095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx6-2 description:NK6 homeobox 2 [Source:MGI Symbol;Acc:MGI:1352738] MDANRPGAFVLSSAPLAALHNMAEMKTSLFPYALQGPAGFKTPALGSLGAQLPLGTPHGI SDILGRPVGAAGGGLLGSLPRLNGLASSAGVYFGPAAAVARGYPKPLAELPGRPPIFWPG VVQGSPWRDPRLAGSAQAGGVLDKDGKKKHSRPTFSGQQIFALEKTFEQTKYLAGPERAR LAYSLGMTESQVKVWFQNRRTKWRKRHAAEMASAKKKQDSDAEKLKVGGSDAEDDDEYNR PLDPNSDDEKITRLLKKHKPSNLALVSPCGGSAGDAL >ENSMUSP00000102775.2 pep:known chromosome:GRCm38:4:86983124:87230477:-1 gene:ENSMUSG00000037996.17 transcript:ENSMUST00000107157.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a2 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 2 [Source:MGI Symbol;Acc:MGI:1923626] MDLHQSPTARLLQKWCSHESPFGCRRHYNSRKKLKLIRVIGLVMGLVAVSTVPFSISAFT ETDSQSNRGEASDMSGPRVAQGHRQRTLLDLNDKIRDYTPQPPASQEDQAENSTEHTQGD YPKDIFSLEERRKGAIILHVIGMIYMFIALAIVCDEFFVPSLTVITEKLGISDDVAGATF MAAGGSAPELFTSLIGVFIAHSNVGIGTIVGSAVFNILFVIGMCALFSREILNLTWWPLF RDVSFYIVDLLMLITFFLDNVIMWWESLLLLTAYFAYVVFMKFNVQVERWVKQMISRNNV IKVTVPEAQAKSPTAGDKDGPTLPSKPRLQRGGSSASLHNSLMRNSIFQLMIHTLDPLAE ELGSYGKLKYYDTMTEEGRFREKASILHKIAKKKCQVDENERQNGAANHVEKIELPNSTS TEVEMTPSSEASEPVQNGNLSHNIEAADAPKATETAEEEDDQPLSLSWPTNTRKQATFLI VFPIVFPLWITLPDVRKPASRKFFPITFFGSITWIAVFSYLMVWWAHQVGETIGISEEIM GLTILAAGTSIPDLITSVIVARKGLGDMAVSSSVGSNIFDITVGLPLPWLLYTIIHRFSP VTVSSNGLFCAIVLLFIMLLFVILSIALCKWRMNKILGFIMFGLYFVFLVVSVLLEDKVL VCPVSI >ENSMUSP00000043937.4 pep:known chromosome:GRCm38:4:86991173:87227873:-1 gene:ENSMUSG00000037996.17 transcript:ENSMUST00000044990.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a2 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 2 [Source:MGI Symbol;Acc:MGI:1923626] MDLHQSPTARLLQKWCSHESPFGCRRHYNSRKKLKLIRVIGLVMGLVAVSTVPFSISAFT ETDSQSNRGEASDMSGPRVAQGHRQRTLLDLNDKIRDYTPQPPASQEDQAENSTEHTQGD YPKDIFSLEERRKGAIILHVIGMIYMFIALAIVCDEFFVPSLTVITEKLGISDDVAGATF MAAGGSAPELFTSLIGVFIAHSNVGIGTIVGSAVFNILFVIGMCALFSREILNLTWWPLF RDVSFYIVDLLMLITFFLDNVIMWWESLLLLTAYFAYVVFMKFNVQVERWVKQMISRNNV IKVTVPEAQAKSPTAGDKDGPTLPSKPRLQRGGSSASLHNSLMRNSIFQLMIHTLDPLAE ELGSYGKLKYYDTMTEEGRFREKASILHKIAKKKCQVDENERQNGAANHVEKIELPNSTS TEVEMTPSSEASEPVQNGNLSHNIEAADAPTAEEEDDQPLSLSWPTNTRKQATFLIVFPI VFPLWITLPDVRKPASRKFFPITFFGSITWIAVFSYLMVWWAHQVGETIGISEEIMGLTI LAAGTSIPDLITSVIVARKGLGDMAVSSSVGSNIFDITVGLPLPWLLYTIIHRFSPVTVS SNGLFCAIVLLFIMLLFVILSIALCKWRMNKILGFIMFGLYFVFLVVSVLLEDKVLVCPV SI >ENSMUSP00000102773.1 pep:known chromosome:GRCm38:4:86991173:87227877:-1 gene:ENSMUSG00000037996.17 transcript:ENSMUST00000107155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a2 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 2 [Source:MGI Symbol;Acc:MGI:1923626] MDLHQSPTARLLQKWCSHESPFGCRRHYNSRKKLKLIRVIGLVMGLVAVSTVPFSISAFT ETDSQSNRGEASDMSGPRVAQGHRQRTLLDLNDKIRDYTPQPPASQEDQAENSTEHTQGD YPKDIFSLEERRKGAIILHVIGMIYMFIALAIVCDEFFVPSLTVITEKLGISDDVAGATF MAAGGSAPELFTSLIGVFIAHSNVGIGTIVGSAVFNILFVIGMCALFSREILNLTWWPLF RDVSFYIVDLLMLITFFLDNVIMWWESLLLLTAYFAYVVFMKFNVQVERWVKQMISRNNV IKVTVPEAQAKSPTAGDKDGPTLPSKPRLQRGGSSASLHNSLMRNSIFQLMIHTLDPLAE GRFREKASILHKIAKKKCQVDENERQNGAANHVEKIELPNSTSTEVEMTPSSEASEPVQN GNLSHNIEAADAPTAEEEDDQPLSLSWPTNTRKQATFLIVFPIVFPLWITLPDVRKPASR KFFPITFFGSITWIAVFSYLMVWWAHQVGETIGISEEIMGLTILAAGTSIPDLITSVIVA RKGLGDMAVSSSVGSNIFDITVGLPLPWLLYTIIHRFSPVTVSSNGLFCAIVLLFIMLLF VILSIALCKWRMNKILGFIMFGLYFVFLVVSVLLEDKVLVCPVSI >ENSMUSP00000119537.1 pep:known chromosome:GRCm38:4:87028283:87176285:-1 gene:ENSMUSG00000037996.17 transcript:ENSMUST00000146815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a2 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 2 [Source:MGI Symbol;Acc:MGI:1923626] XDPDCQSLCFEVYPETARHGSCITNVNGEPLKEKTQNSCMEESPTAGDKDGPTLPSKPRL QRGGSSASLHNSLMRNSIFQLMIHTLDPLAEELGSYGKLKYYDTMTEEGRFREKASILHK IAKKKCQVDENERQNGAANHVEKIELPNSTSTEVEMTPSSEASEPVQNG >ENSMUSP00000102776.2 pep:known chromosome:GRCm38:4:86983129:87227963:-1 gene:ENSMUSG00000037996.17 transcript:ENSMUST00000107158.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a2 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 2 [Source:MGI Symbol;Acc:MGI:1923626] MDLHQSPTARLLQKWCSHESPFGCRRHYNSRKKLKLIRVIGLVMGLVAVSTVPFSISAFT ETDSQSNRGEASDMSGPRVAQGHRQRTLLDLNDKIRDYTPQPPASQEDQAENSTEHTQGD YPKDIFSLEERRKGAIILHVIGMIYMFIALAIVCDEFFVPSLTVITEKLGISDDVAGATF MAAGGSAPELFTSLIGVFIAHSNVGIGTIVGSAVFNILFVIGMCALFSREILNLTWWPLF RDVSFYIVDLLMLITFFLDNVIMWWESLLLLTAYFAYVVFMKFNVQVERWVKQMISRNNV IKVTVPEAQAKLSEFPPASQADGRTACPTITNDPDCQSLCFEVYPETARHGSCITNVNGE PLKEKTQNSCMEESPTAGDKDGPTLPSKPRLQRGGSSASLHNSLMRNSIFQLMIHTLDPL AEGRFREKASILHKIAKKKCQVDENERQNGAANHVEKIELPNSTSTEVEMTPSSEASEPV QNGNLSHNIEAADAPKATETAEEEDDQPLSLSWPTNTRKQATFLIVFPIVFPLWITLPDV RKPASRKFFPITFFGSITWIAVFSYLMVWWAHQVGETIGISEEIMGLTILAAGTSIPDLI TSVIVARKGLGDMAVSSSVGSNIFDITVGLPLPWLLYTIIHRFSPVTVSSNGLFCAIVLL FIMLLFVILSIALCKWRMNKILGFIMFGLYFVFLVVSVLLEDKVLVCPVSI >ENSMUSP00000065702.4 pep:known chromosome:GRCm38:4:59626211:59761439:1 gene:ENSMUSG00000045071.13 transcript:ENSMUST00000070150.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130308A19Rik description:RIKEN cDNA E130308A19 gene [Source:MGI Symbol;Acc:MGI:2442164] MEDCLHTSSENLSKLVSWAHSHGTICSLIPNLKHLLSEGSHGNLTAMWGCSAGHAYHWPL TATCRAGSQERVCFQDNRSFNSDSPSIIGVPSETQTSPVERYPGRPVKAKLDCNRTRDSC DFSYCSEPSELDEAVEEYEDENTLFDMVCESSVTDEDSDFEPQTQRPQSIARKRPGIVPS SIHSSSQGQMVDECSNDVIIKKIKQEIPEDYYIVANAELTGGVDGPALSLTQMAKPKPQT HAGPSCVGSAKLIPHVTSAINTELDPHILSASPSVISRPIIPKTARVSLASPNRGPPGAH GTAHQVTMQMPVSTSHPNKQISIPLSALQLPGQDEQVASEEFLPHLPSQVSSCEVALSPS VNTEPEVSSSQQQPPAAPTITTEATAQCIPAYSTKLNKFPVFNINDDLNGLCTSAVSPNT TKATRYALNVWRYWCMTNGLKDHTDITKIPAVKLNELLENFYVTVKKSDGSDFLATSLHA IRRGLDRILKNAGVGFSITSSTFSSSTKKLKEKLWVLSKAGMSGARSRNIVYFSLSDEEE MWQAGCLGDDSPITLLSTVVKYNSQYLNMRTLQEHADLMYGDIELLKDPQNQPYFARTDS VKRESRSGSTRVCHGKIYHEHSRGHKQCPYCLLYKYMYIHRPPTQMEAKSPFYLTARKEA TDMGSVWYEEQRMGLRSLRGIVPNLARKVKLENCENFTFVSFTQVSRRLGSHSCCQ >ENSMUSP00000062493.6 pep:known chromosome:GRCm38:4:59626243:59721401:1 gene:ENSMUSG00000045071.13 transcript:ENSMUST00000052420.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130308A19Rik description:RIKEN cDNA E130308A19 gene [Source:MGI Symbol;Acc:MGI:2442164] MEDCLHTSSENLSKLVSWAHSHGTICSLIPNLKHLLSEGSHGNLTAMWGCSAGHAYHWPL TATCRAGSQERVCFQDNRSFNSDSPSIIGVPSETQTSPVERYPGRPVKAKLDCNRTRDSC DFSYCSEPSELDEAVEEYEDENTLFDMVCESSVTDEDSDFEPQTQRPQSIARKRPGIVPS SIHSSSQGQMVDECSNDVIIKKIKQEIPEDYYIVANAELTGGVDGPALSLTQMAKPKPQT HAGPSCVGSAKLIPHVTSAINTELDPHILSASPSVISRPIIPKTARVSLASPNRGPPGAH GTAHQVTMQMPVSTSHPNKQISIPLSALQLPGQDEQVASEEFLPHLPSQVSSCEVALSPS VNTEPEVSSSQQQPPAAPTITTEATAQCIPDQDERAAELSREQNEKTIRSTQTALRNFRE FLISKYPSETREIYVIPCKELDAYLASFFVDARQKDGSEYEPNSLANYQCGLERYLKEHR YGYSITRDKEFKRSQEALKQKQIELRCKGKGNKPHKSMKLTFADELILRKRGLLSRYNPE GLLNLVWLNNTKAFGHCTGFHGSTLKWGDIRLRVTETGLEYLEWIGQDTGDLNAKTKRGG TDSRVYATQHAPQTCPVQDYKEYAQRRPPAMRYEDAPFYLSIKPVVNLAALHWYNCQALG KNKLAKMVKTMCEKGNIPGRKTNFSVYQSCSTLSEAQSNQLVLICNNLSQQAAQSVAGHS SSGNFIVSSYDSSSDTA >ENSMUSP00000027189.8 pep:known chromosome:GRCm38:1:58795374:58847503:1 gene:ENSMUSG00000026029.14 transcript:ENSMUST00000027189.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp8 description:caspase 8 [Source:MGI Symbol;Acc:MGI:1261423] MDFQSCLYAIAEELGSEDLAALKFLCLDYIPHKKQETIEDAQKLFLRLREKGMLEEGNLS FLKELLFHISRWDLLVNFLDCNREEMVRELRDPDNAQISPYRVMLFKLSEEVSELELRSF KFLLNNEIPKCKLEDDLSLLEIFVEMEKRTMLAENNLETLKSICDQVNKSLLGKIEDYER SSTERRMSLEGREELPPSVLDEMSLKMAELCDSPREQDSESRTSDKVYQMKNKPRGYCLI INNHDFSKAREDITQLRKMKDRKGTDCDKEALSKTFKELHFEIVSYDDCTANEIHEILEG YQSADHKNKDCFICCILSHGDKGVVYGTDGKEASIYDLTSYFTGSKCPSLSGKPKIFFIQ ACQGSNFQKGVPDEAGFEQQNHTLEVDSSSHKNYIPDEADFLLGMATVKNCVSYRDPVNG TWYIQSLCQSLRERCPQGDDILSILTGVNYDVSNKDDRRNKGKQMPQPTFTLRKKLFFPP >ENSMUSP00000140546.1 pep:known chromosome:GRCm38:1:58795477:58846754:1 gene:ENSMUSG00000026029.14 transcript:ENSMUST00000191201.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp8 description:caspase 8 [Source:MGI Symbol;Acc:MGI:1261423] MCVLLELLSDWLQLFYLLIRMDFQSCLYAIAEELGSEDLAALKFLCLDYIPHKKQETIED AQKLFLRLREKGMLEEGNLSFLKELLFHISRWDLLVNFLDCNREEMVRELRDPDNAQISP YRVMLFKLSEEVSELELRSFKFLLNNEIPKCKLEDDLSLLEIFVEMEKRTMLAENNLETL KSICDQVNKSLLGKIEDYERSSTERRMSLEGREELPPSVLDEMSLKMAELCDSPREQDSE SRTSDKVYQMKNKPRGYCLIINNHDFSKAREDITQLRKMKDRKGTDCDKEALSKTFKELH FEIVSYDDCTANEIHEILEGYQSADHKNKDCFICCILSHGDKGVVYGTDGKEASIYDLTS YFTGSKCPSLSGKPKIFFIQACQGSNFQKGVPDEAGFEQQNHTLEVDSSSHKNYIPDEAD FLLGMATVKNCVSYRDPVNGTWYIQSLCQSLRERCPQGDDILSILTGVNYDVSNKDDRRN KGKQMPQPTFTLRKKLFFPP >ENSMUSP00000127375.1 pep:known chromosome:GRCm38:1:58802502:58847008:1 gene:ENSMUSG00000026029.14 transcript:ENSMUST00000165549.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp8 description:caspase 8 [Source:MGI Symbol;Acc:MGI:1261423] MDFQSCLYAIAEELGSEDLAALKFLCLDYIPHKKQETIEDAQKLFLRLREKGMLEEGNLS FLKELLFHISRWDLLVNFLDCNREEMVRELRDPDNAQISPYRVMLFKLSEEVSELELRSF KFLLNNEIPKCKLEDDLSLLEIFVEMEKRTMLAENNLETLKSICDQVNKSLLGKIEDYER SSTERRMSLEGREELPPSVLDEMSLKMAELCDSPREQDSESRTSDKVYQMKNKPRGYCLI INNHDFSKAREDITQLRKMKDRKGTDCDKEALSKTFKELHFEIVSYDDCTANEIHEILEG YQSADHKNKDCFICCILSHGDKGVVYGTDGKEASIYDLTSYFTGSKCPSLSGKPKIFFIQ ACQGSNFQKGVPDEAGFEQQNHTLEVDSSSHKNYIPDEADFLLGMATVKNCVSYRDPVNG TWYIQSLCQSLRERCPQGDDILSILTGVNYDVSNKDDRRNKGKQMPQPTFTLRKKLFFPP >ENSMUSP00000140335.1 pep:known chromosome:GRCm38:1:58802653:58846754:1 gene:ENSMUSG00000026029.14 transcript:ENSMUST00000190213.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp8 description:caspase 8 [Source:MGI Symbol;Acc:MGI:1261423] MCVLLELLSDWLQLFYLLIRMDFQSCLYAIAEELGSEDLAALKFLCLDYIPHKKQETIED AQKLFLRLREKGMLEEGNLSFLKELLFHISRWDLLVNFLDCNREEMVRELRDPDNAQISP YRVMLFKLSEEVSELELRSFKFLLNNEIPKCKLEDDLSLLEIFVEMEKRTMLAENNLETL KSICDQVNKSLLGKIEDYERSSTERRMSLEGREELPPSVLDEMSLKMAELCDSPREQDSE SRTSDKVYQMKNKPRGYCLIINNHDFSKAREDITQLRKMKDRKGTDCDKEALSKTFKELH FEIVSYDDCTANEIHEILEGYQSADHKNKDCFICCILSHGDKGVVYGTDGKEASIYDLTS YFTGSKCPSLSGKPKIFFIQACQGSNFQKGVPDEAGFEQQNHTLEVDSSSHKNYIPDEAD FLLGMATVKNCVSYRDPVNGTWYIQSLCQSLRERCPQGDDILSILTGVNYDVSNKDDRRN KGKQMPQPTFTLRKKLFFPP >ENSMUSP00000137621.1 pep:known chromosome:GRCm38:7:82173840:82307087:1 gene:ENSMUSG00000030638.13 transcript:ENSMUST00000179318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3gl3 description:SH3-domain GRB2-like 3 [Source:MGI Symbol;Acc:MGI:700011] MEKKIDITSKAVAEILSKATEYLQPNPAYRAKLGMLNTVSKLRGQVKATGYPQTEGLLGD CMLKYGKELGEDSAFGNSLVDVGEALKLMAEVKDSLDINVKQTFIDPLQLLQDKDLKEIG HHLRKLEGRRLDYDYKKRRVGKIPEEEIRQAVEKFEESKELAERSMFNFLENDVEQVSQL AVFVEAALDYHRQSTEILQELQSKLELRISLASKVPKREFMPKPVNMSSTDANGVGPSSS SKTPGTDTPSDQPCCRGLYDFEPENEGELGFKEGDIITLTNQIDENWYEGMLRGESGFFP INYVEVIVPLPP >ENSMUSP00000032874.7 pep:known chromosome:GRCm38:7:82174796:82307419:1 gene:ENSMUSG00000030638.13 transcript:ENSMUST00000032874.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3gl3 description:SH3-domain GRB2-like 3 [Source:MGI Symbol;Acc:MGI:700011] MSVAGLKKQFHKASQLFSEKISGAEGTKLDEEFLNMEKKIDITSKAVAEILSKATEYLQP NPAYRAKLGMLNTVSKLRGQVKATGYPQTEGLLGDCMLKYGKELGEDSAFGNSLVDVGEA LKLMAEVKDSLDINVKQTFIDPLQLLQDKDLKEIGHHLRKLEGRRLDYDYKKRRVGKIPE EEIRQAVEKFEESKELAERSMFNFLENDVEQVSQLAVFVEAALDYHRQSTEILQELQSKL ELRISLASKVPKREFMPKPVNMSSTDANGVGPSSSSKTPGTDTPSDQPCCRGLYDFEPEN EGELGFKEGDIITLTNQIDENWYEGMLRGESGFFPINYVEVIVPLPP >ENSMUSP00000137207.1 pep:known chromosome:GRCm38:7:82174856:82307419:1 gene:ENSMUSG00000030638.13 transcript:ENSMUST00000177883.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh3gl3 description:SH3-domain GRB2-like 3 [Source:MGI Symbol;Acc:MGI:700011] MSVAGLKKQFHKASQLFSEKISGAEGTKLDEEFLNMEKKIDITSKAVAEILSKATEYLQP NPELS >ENSMUSP00000137570.1 pep:known chromosome:GRCm38:7:82174950:82307419:1 gene:ENSMUSG00000030638.13 transcript:ENSMUST00000177895.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh3gl3 description:SH3-domain GRB2-like 3 [Source:MGI Symbol;Acc:MGI:700011] MSVAGLKKQFHKASQLFSEKISGAEGTKLDEEFLNMEKKIDITSKAVAEILSKATEYLQP NPELS >ENSMUSP00000137482.1 pep:known chromosome:GRCm38:7:82270886:82307228:1 gene:ENSMUSG00000030638.13 transcript:ENSMUST00000178526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3gl3 description:SH3-domain GRB2-like 3 [Source:MGI Symbol;Acc:MGI:700011] GKELGEDSAFGNSLVDVGEALKLMAEVKDSLDINVKQTFIDPLQLLQDKDLKEIGHHLRK LEGRRLDYDYKKRRVGKIPEEEIRQAVEKFEESKELAERSMFNFLENDVLTLPRTSPAVV VSMTLSQKMKEN >ENSMUSP00000077168.6 pep:known chromosome:GRCm38:5:107548967:107597639:-1 gene:ENSMUSG00000029276.13 transcript:ENSMUST00000078021.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glmn description:glomulin, FKBP associated protein [Source:MGI Symbol;Acc:MGI:2141180] MAVEELQSIIKRCQILEEHDFKEEDFGLFQLAGQRCIEDGYINQLLEIIQDEKNKTIIKS MGWNLVGPVVRCLLRGREEDKREECFLIFDLLVKLCNPKELLLGLLELIEEPSGKQISQI ILLLLQPLQTVIQKLPNNKAYSVGLALSTLWSQLSLLPVPHSEEQIQADDYGLCQCCKAL IEFTKPFVEEVISDKENKENAKLKDELLKFCFKGLKCPLLTAQFLEQSEDVGNDPFRCFA SEIIGFLSKIGHPVPQIILNHGRKKRTWDYLEFEEEEDKQLAESVASLTYLVFVQGIGID QLPMVLSPSYLLQLNMEHIEVFLQRTEQSIYSKGLELLETSLLRLEDNSLCYQYLEIKSF LAVPQGLVKVMTLCPIETLRKKGLSMLQLFIDKLDSQGKYTLFRCLLNTSNHSGVEAFVI QNIKNQIDLSFKKTYNKWFAGAQLISLLDLVLSLPEGAETDLLQNSDRIMASLNLLRYLV IKDNEDDNQTGLWTELGKIENNFLKPLHIGLNMSKAHYEAEIKNSQQNNQVASMCKGVCS VTVGGEEIPSMPPEMQLKVLHSALFTFDLIESVLARVEELIEIKSKSTSEENVGIK >ENSMUSP00000098509.3 pep:known chromosome:GRCm38:5:107548969:107597577:-1 gene:ENSMUSG00000029276.13 transcript:ENSMUST00000100949.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glmn description:glomulin, FKBP associated protein [Source:MGI Symbol;Acc:MGI:2141180] MAVEELQSIIKRCQILEEHDFKEEDFGLFQLAGQRCIEDGYINQLLEIIQDEKNKTIIKS MGWNLVGPVVRCLLRGREEDKREECFLIFDLLVKLCNPKELLLGLLELIEEPSGKQISQI ILLLLQPLQTVIQKLPNNKAYSVGLALSTLWSQLSLLPVPHSEEQIQADDYGLCQCCKAL IEFTKPFVEEVISDKENKENAKLKDELLKFCFKGLKCPLLTAQFLEQSEDVGNDPFRCFA SEIIGFLSKIGHPVPQIILNHGRKKRTWDYLEFEEEEDKQLAESVASLTYLVFVQGIGID QLPMVLSPSYLLQLNMEHIEVFLQRTEQSIYSKGLELLETSLLRLEDNSLCYQYLEIKSF LAVPQGLVKVMTLCPIETLRKKGLSMLQLFIDKLDSQGKYTLFRIMASLNLLRYLVIKDN EDDNQTGLWTELGKIENNFLKPLHIGLNMSKAHYEAEIKNSQQNNQVASMCKGVCSVTVG GEEIPSMPPEMQLKVLHSALFTFDLIESVLARVEELIEIKSKSTSEENVGIK >ENSMUSP00000080766.2 pep:known chromosome:GRCm38:5:107549023:107597888:-1 gene:ENSMUSG00000029276.13 transcript:ENSMUST00000082121.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glmn description:glomulin, FKBP associated protein [Source:MGI Symbol;Acc:MGI:2141180] MAVEELQSIIKRCQILEEHDFKEEDFGLFQLAGQRCIEDGYINQLLEIIQDEKNKTIIKS MGWNLVGPVVRCLLRGREEDKREECFLIFDLLVKLCNPKELLLGLLELIEEPSGKQISQI ILLLLQPLQTVIQKLPNNKAYSVGLALSTLWSQLSLLPVPHSEEQIQADDYGLCQCCKAL IEFTKPFVEEVISDKENKENAKLKDELLKFCFKGLKCPLLTAQFLEQSEDVGNDPFRCFA SEIIGFLSKIGHPVPQIILNHGRKKRTWDYLEFEEEEDKQLAESVASLTYLVFVQGIGID QLPMVLSPSYLLQLNMEHIEVFLQRTEQSIYSKGLELLETSLLRLEDNSLCYQYLEIKSF LAVPQGLVKVMTLCPIETLRKKGLSMLQLFIDKLDSQGKYTLFRCLLNTSNHSGVEAFVI QNIKNQIDLSFKKTYNKWFAGAQLISLLDLVLSLPEGAETDLLQNSDRIMASLNLLRYLV IKDNEDDNQTGLWTELGKIENNFLKPLHIGLNMSKAHYEAEIKNSQQNNQVASMCKGVCS VTVGGEEIPSMPPEMQLKVLHSALFTFDLIESVLARVEELIEIKSKSTSEENVGIK >ENSMUSP00000122129.1 pep:known chromosome:GRCm38:5:107549024:107597544:-1 gene:ENSMUSG00000029276.13 transcript:ENSMUST00000124546.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glmn description:glomulin, FKBP associated protein [Source:MGI Symbol;Acc:MGI:2141180] MAVEELQSIIKRCQILEEHDFKEEDFGLFQLAGQRCIEDGYINQLLEIIQDEKNKTIIKS MGWNLVGPVVRCLLRGREEDKREECFLIFDLLVKVQL >ENSMUSP00000123224.1 pep:known chromosome:GRCm38:5:107575321:107597546:-1 gene:ENSMUSG00000029276.13 transcript:ENSMUST00000124140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glmn description:glomulin, FKBP associated protein [Source:MGI Symbol;Acc:MGI:2141180] MAVEELQSIIKRCQILEEHDFKEEDFGLFQLAGQRCIEDGYINQLLEIIQDEKNKTIIKS MGWNLVGPVVRCLLRGREEDKREECFLIFDLLVKLCNPKE >ENSMUSP00000101704.1 pep:known chromosome:GRCm38:7:139389109:139579652:1 gene:ENSMUSG00000025477.13 transcript:ENSMUST00000106098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5a description:inositol polyphosphate-5-phosphatase A [Source:MGI Symbol;Acc:MGI:2686961] MAGKAAAPGTAVLLVTANVGSLFDDPENLQKNWLREFYQVLHTHKPHFMALHCQEFGGKN YEASMSHVDKFVKELLSSDAMKEYNRARVYLDENYKSQEHFTALGSFYFLHESLKNIYQF DFKAKKYKKVTGKEIYSDTLESTPMLEKEKFPQDYFPECKWSRKGFIRTRWCIADCAFDL VNIHLFHDASNLVAWETSPSVYSGVRHKALGYVLDRIIDQRFEKVSYFVFGDFNFRLDSK SVVETLCTKATMQTVRAADTNEVVKLIFRESDNDRKVVLQLEKKLFDYFNQDVFRDNNGT ALLEFDKELSVFKDRLYELDISFPPSYPYSEDSSQGEQYMNTRCPAWCDRILMSLSAKEL VLKSESEEKVATYDHIGPNVCMGDHKPVFLAFRIAPGAGKPHAHVHKCCVVQ >ENSMUSP00000026550.7 pep:known chromosome:GRCm38:7:139389110:139579652:1 gene:ENSMUSG00000025477.13 transcript:ENSMUST00000026550.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5a description:inositol polyphosphate-5-phosphatase A [Source:MGI Symbol;Acc:MGI:2686961] MAGKAAAPGTAVLLVTANVGSLFDDPENLQKNWLREFYQVLHTHKPHFMALHCQEFGGKN YEASMSHVDKFVKELLSSDAMKEYNRARVYLDENYKSQEHFTALGSFYFLHESLKNIYQF DFKAKKYKKVTGKEIYSDTLESTPMLEKEKFPQDYFPECKWSRKGFIRTRWCIADCAFDL VNIHLFHDASNLVAWETSPSVYSGVRHKALGYVLDRIIDQRFEKVSYFVFGDFNFRLDSK SVVETLCTKATMQTVRAADTNEVVKLIFRESDNDRKVVLQLEKKLFDYFNQDVFRDNNGT ALLEFDKELSVFKDRLYELDISFPPSYPYSEDSSQGEQYMNTRCPAWCDRILMSLSAKEL VLKSESEEKVATYDHIGPNVCMGDHKPVFLAFRIAPGAGKRCQRRERILERPPCSSVSNS SS >ENSMUSP00000095589.2 pep:known chromosome:GRCm38:7:139400489:139579225:1 gene:ENSMUSG00000025477.13 transcript:ENSMUST00000097975.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5a description:inositol polyphosphate-5-phosphatase A [Source:MGI Symbol;Acc:MGI:2686961] MQGLWGLPDQLGVTFHVLLLCLSRKTWPGESMLPENLQKNWLREFYQVLHTHKPHFMALH CQEFGGKNYEASMSHVDKFVKELLSSDAMKEYNRARVYLDENYKSQEHFTALGSFYFLHE SLKNIYQFDFKAKKYKKVTGKEIYSDTLESTPMLEKEKFPQDYFPECKWSRKGFIRTRWC IADCAFDLVNIHLFHDASNLVAWETSPSVYSGVRHKALGYVLDRIIDQRFEKVSYFVFGD FNFRLDSKSVVETLCTKATMQTVRAADTNEVVKLIFRESDNDRKVVLQLEKKLFDYFNQD VFRDNNGTALLEFDKELSVFKDRLYELDISFPPSYPYSEDSSQGEQYMNTRCPAWCDRIL MSLSAKELVLKSESEEKVATYDHIGPNVCMGDHKPVFLAFRIAPGAGKPHAHVHKCCVVQ >ENSMUSP00000129411.1 pep:known chromosome:GRCm38:7:85221518:85237704:-1 gene:ENSMUSG00000096861.1 transcript:ENSMUST00000061074.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r68 description:vomeronasal 2, receptor 68 [Source:MGI Symbol;Acc:MGI:3648297] MFSLISVIWFLKVKLMFCHLSDPKCFWRIKDTENNLGDTETYCSFSISTRHGYVKNDYFS WNLDKKVTPKTNHLIFSVYLALDEINMNIHILPNISMVANIECFLQKDGEKTGLALQRKE FIPNYYCTDERRYLIIFTAPIWAVTTRLGPLMFMYSIPELYCGHFHLSLNDNEQFPHLYQ ISPKDTSLPLAMVSLMVHFRWNWIGAMITNDDHGIQFLSKLRGEMQKHIVCLSFVITILT EHSMAHKEFQRYFNRIVMSSAKVVIVYGDYTSPIDLVLHLCKSKGIFRIWVSVSQFDMIT YLGDFMLYSSSGTFIFSHQKPELSGFQQFIQTVHPSNYSSEFSFAKLWWTYFRCSLPPFN CKKLKNCPTKIVFKWLFMTPLGMAMSDTCYNLYNAIHAVAHSLHEMLLQQVDTWSNNAGK ELEFDTWKMFSILKTLQFVNPAGDLVNMNQNLKQDTEYEIFYIMDFQKDYGLKMKIGRFS GHLPSRQQLYMSKEMMEWAIDVDQILPSICSMPCRPGLRKSPQEEKDICCFVCNPCLENE ISNMTNMDQCVKCREDQYANEHHTLCLQKVVSILDYRDPLGKALAGIALCFSFLTSVVLC IFLKHRETPIVKANNQTLSYVLLISLVFCFICSLLYIGHPTAVICILQQTTFVIAFTVAT STILAKTITVLLAFKITIPGRRMRWLLVSGAPKYIILICTMIQLILCGIWLGTSPPFVDA DAHMVHGHIIIVCNKGSVIAFYCVLGYMGSVALASFTVAFLARNLPDTFNEAKLLTFSML VFCSVWITFIPVYHSTKGKTMVAVEVFCILASSAGLLLCIFAPKCYIILLRPDINSFQKF RKPHANAENIS >ENSMUSP00000143872.1 pep:known chromosome:GRCm38:5:31240864:31251560:1 gene:ENSMUSG00000029148.15 transcript:ENSMUST00000202576.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrbp1 description:nuclear receptor binding protein 1 [Source:MGI Symbol;Acc:MGI:2183436] MSEGESQTVVSSGSDPKVESSSLAPGLTSVSPPVTSTTSAASPEEEEESEDESEILEESP CGRWQKRREEVNQRNVPGIDSAYLAMDTEEGVEVVWNEVQFSERKNYKLQEEKVRAVFDN LIQLEHLNIVKFHKYWADVKENKARVIFITEYMSSGSLKQFLKKTKKNHKTMNEKAWKRW CTQILSALSYLHSCDPPIIHGNLTCDTIFIQHNGLIKIGSVFHRIFANVAPDTINNHVKT CREEQKNLHFFAPEYGEVTNVTTAVDIYSFGMCALEMAVLEIQGNGESSYVPQEAISSAI QLLEDSLQREFIQKCLQSEPARRPTARELLFHPALFEVPSLKLLAAHCIVGHQHMIPENA LEEITKNMDTSAVLAEIPAGPGREPVQTLYSQSPALELDKFLEDVRNGIYPLTAFGLPRP QQPQQEEVTSPVVPPSVKTPTPEPAEVETRKVVLMQCNIESVEEGVKHHLTLLLKLEDKL NRHLSCDLMPNESIPDLAAELVQLGFISEADQSRLTSLLEETLNKFNFTRNSTLNTATVT VSS >ENSMUSP00000031034.5 pep:known chromosome:GRCm38:5:31240864:31251566:1 gene:ENSMUSG00000029148.15 transcript:ENSMUST00000031034.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrbp1 description:nuclear receptor binding protein 1 [Source:MGI Symbol;Acc:MGI:2183436] MSEGESQTVVSSGSDPKVESSSLAPGLTSVSPPVTSTTSAASPEEEEESEDESEILEESP CGRWQKRREEVNQRNVPGIDSAYLAMDTEEGVEVVWNEVQFSERKNYKLQEEKVRAVFDN LIQLEHLNIVKFHKYWADVKENKARVIFITEYMSSGSLKQFLKKTKKNHKTMNEKAWKRW CTQILSALSYLHSCDPPIIHGNLTCDTIFIQHNGLIKIGSVAPDTINNHVKTCREEQKNL HFFAPEYGEVTNVTTAVDIYSFGMCALEMAVLEIQGNGESSYVPQEAISSAIQLLEDSLQ REFIQKCLQSEPARRPTARELLFHPALFEVPSLKLLAAHCIVGHQHMIPENALEEITKNM DTSAVLAEIPAGPGREPVQTLYSQSPALELDKFLEDVRNGIYPLTAFGLPRPQQPQQEEV TSPVVPPSVKTPTPEPAEVETRKVVLMQCNIESVEEGVKHHLTLLLKLEDKLNRHLSCDL MPNESIPDLAAELVQLGFISEADQSRLTSLLEETLNKFNFTRNSTLNTATVTVSS >ENSMUSP00000143899.1 pep:known chromosome:GRCm38:5:31240877:31244961:1 gene:ENSMUSG00000029148.15 transcript:ENSMUST00000202842.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrbp1 description:nuclear receptor binding protein 1 [Source:MGI Symbol;Acc:MGI:2183436] MDTEEGVEVVWNEVQFSERKNYKLQEEKVRAVFDNLIQLEHLNIVKFHKYWADVKENKAR VIFITEYMSSGSLKQFLKKTKKNHKTMN >ENSMUSP00000144415.1 pep:known chromosome:GRCm38:5:31240903:31244882:1 gene:ENSMUSG00000029148.15 transcript:ENSMUST00000201259.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrbp1 description:nuclear receptor binding protein 1 [Source:MGI Symbol;Acc:MGI:2183436] MDTEEGVEVVWNEVQFSERKNYKLQEEKVRAVFDNLIQLEHLNIVKFHKYWADVKENKAR VI >ENSMUSP00000144292.1 pep:known chromosome:GRCm38:5:31240932:31247914:1 gene:ENSMUSG00000029148.15 transcript:ENSMUST00000202505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrbp1 description:nuclear receptor binding protein 1 [Source:MGI Symbol;Acc:MGI:2183436] MDTEEGVEVVWNEVQFSERKNYKLQEEKVRAVFDNLIQLEHLNIVKFHKYWADVKENKAR VIFITEYMSSGSLKQFLKKTKKNHKTMNEKAWKRWCTQILSALSYLHSCDPPIIHGNLTC DTIFIQHNGLIKIGSVAPDTINNHVKTCREEQKNLHFFAPEYGEVTNVTTAVDIYSFGMC ALEMAVLE >ENSMUSP00000055374.5 pep:known chromosome:GRCm38:7:127342795:127345423:-1 gene:ENSMUSG00000047371.7 transcript:ENSMUST00000060783.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp768 description:zinc finger protein 768 [Source:MGI Symbol;Acc:MGI:2384582] MEREASSWGLESRDVHSPNAVGSPEGSLKDPAGNTSENEEGEISQREGNGDYEVEEIPFG LEPQSPEFEPQSPEFESQSPRFEPESPGFESRSPGFVPPSPEFAPRSPESDPQSPEFESQ SPKYEPRSPGCHPRSPGCEPGSPRYEPKSPGYGSKSPEFESQSPGYESQSPGYEPQNSGD GVQNSEFKTHSPEFETQSSKFQEGAEMPLSPEEKNPLSISLGVHPLDSFTQGFGEQPTGA LPPFDMPSGALLAAPQFEMLQNPLNLTGTLRGPGRRGGRARGGQGPRPNICGICGKSFGR GSTLIQHQRIHTGEKPYKCEVCSKAFSQSSDLIKHQRTHTGERPYKCPRCGKAFADSSYL LRHQRTHSGQKPYKCPHCGKAFGDSSYLLRHQRTHSHERPYSCPECGKCYSQNSSLRSHQ RVHTGQRPFSCGICGKSFSQRSALIPHARSHAREKPFKCPECGKRFGQSSVLAIHARTHL PGRTYSCPDCGKTFNRSSTLIQHQRSHTGERPYRCAVCGKGFCRSSTLLQHHRVHSGERP YKCDDCGKAFSQSSDLIRHQRTHAAGRR >ENSMUSP00000145704.1 pep:known chromosome:GRCm38:7:127342795:127345589:-1 gene:ENSMUSG00000047371.7 transcript:ENSMUST00000205266.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp768 description:zinc finger protein 768 [Source:MGI Symbol;Acc:MGI:2384582] MEREASSWGLESRDVHSPNAVGSPEGSLKGNTSENEEGEISQREGNGDYEVEEIPFGLEP QSPEFEPQSPEFESQSPRFEPESPGFESRSPGFVPPSPEFAPRSPESDPQSPEFESQSPK YEPRSPGCHPRSPGCEPGSPRYEPKSPGYGSKSPEFESQSPGYESQSPGYEPQNSGDGVQ NSEFKTHSPEFETQSSKFQEGAEMPLSPEEKNPLSISLGVHPLDSFTQGFGEQPTGALPP FDMPSGALLAAPQFEMLQNPLNLTGTLRGPGRRGGRARGGQGPRPNICGICGKSFGRGST LIQHQRIHTGEKPYKCEVCSKAFSQSSDLIKHQRTHTGERPYKCPRCGKAFADSSYLLRH QRTHSGQKPYKCPHCGKAFGDSSYLLRHQRTHSHERPYSCPECGKCYSQNSSLRSHQRVH TGQRPFSCGICGKSFSQRSALIPHARSHAREKPFKCPECGKRFGQSSVLAIHARTHLPGR TYSCPDCGKTFNRSSTLIQHQRSHTGERPYRCAVCGKGFCRSSTLLQHHRVHSGERPYKC DDCGKAFSQSSDLIRHQRTHAAGRR >ENSMUSP00000114470.1 pep:known chromosome:GRCm38:10:81135220:81144496:1 gene:ENSMUSG00000035011.15 transcript:ENSMUST00000125261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7a description:zinc finger and BTB domain containing 7a [Source:MGI Symbol;Acc:MGI:1335091] MAGGVDGPIGIPFPDHSSDILSGLNEQRTQGLLCDVVILVEGREFPTHRSVLAACSQYFK KLFTSGAVVDQQNVYEIDFVSAEALTALMDFAYTATLTVSTANVGDILSAARLLEIPAVS HVCADLLERQILAADDVGDASQPDGAGPTDQRNLLRAKEYLEFFRSNPMNSLPP >ENSMUSP00000047333.8 pep:known chromosome:GRCm38:10:81136271:81152222:1 gene:ENSMUSG00000035011.15 transcript:ENSMUST00000048128.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7a description:zinc finger and BTB domain containing 7a [Source:MGI Symbol;Acc:MGI:1335091] MAGGVDGPIGIPFPDHSSDILSGLNEQRTQGLLCDVVILVEGREFPTHRSVLAACSQYFK KLFTSGAVVDQQNVYEIDFVSAEALTALMDFAYTATLTVSTANVGDILSAARLLEIPAVS HVCADLLERQILAADDVGDASQPDGAGPTDQRNLLRAKEYLEFFRSNPMNSLPPTAFPWS GFGAPDDDLDATKEAVAAAVAAVAAGDCNGLDFYGPGPPADRPPAGDGDEGDSTPGLWPE RDEDAPPGGLFPPPTAPPATTQNGHYGRAGAGTGEEEAAALSEAAPEPGDSPGFLSGAAE GEDGDAADVDGLAASTLLQQMMSSVGRAGDSDEESRTDDKGVMDYYLKYFSGAHEGDVYP AWSQKGEKKIRAKAFQKCPICEKVIQGAGKLPRHIRTHTGEKPYECNICKVRFTRQDKLK VHMRKHTGEKPYLCQQCGAAFAHNYDLKNHMRVHTGLRPYQCDSCCKTFVRSDHLHRHLK KDGCNGVPSRRGRKPRVRGVPPDVPAGAGAPPGLPDAPRNGQEKHFKDEEEDEEEASPDG SGRLNVAGSGGDDGAGGPAVATAEGNFAT >ENSMUSP00000113612.1 pep:known chromosome:GRCm38:10:81136549:81148443:1 gene:ENSMUSG00000035011.15 transcript:ENSMUST00000119606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7a description:zinc finger and BTB domain containing 7a [Source:MGI Symbol;Acc:MGI:1335091] MAGGVDGPIGIPFPDHSSDILSGLNEQRTQGLLCDVVILVEGREFPTHRSVLAACSQYFK KLFTSGAVVDQQNVYEIDFVSAEALTALMDFAYTATLTVSTANVGDILSAARLLEIPAVS HVCADLLERQILAADDVGDASQPDGAGPTDQRNLLRAKEYLEFFRSNPMNSLPPTAFPWS GFGAPDDDLDATKEAVAAAVAAVAAGDCNGLDFYGPGPPADRPPAGDGDEGDSTPGLWPE RDEDAPPGGLFPPPTAPPATTQNGHYGRAGAGTGEEEAAALSEAAPEPGDSPGFLSGAAE GEDGDAADVDGLAASTLLQQMMSSVGRAGDSDEESRTDDKGVMDYYLKYFSGAHEGDVYP AWSQKGEKKIRAKAFQKCPICEKVIQGAGKLPRHIRTHTGEKPYECNICKVRFTRQDKLK VHMRKHTGEKPYLCQQCGAAFAHNYDLKNHMRVHTGLRPYQCDSCCKTFVRSDHLHRHLK KDGCNGVPSRRGRKPRVRGVPPDVPAGAGAPPGLPDAPRNGQEKHFKDEEEDEEEASPDG SGRLNVAGSGGDDGAGGPAVATAEGNFAT >ENSMUSP00000120987.1 pep:known chromosome:GRCm38:10:81136566:81144107:1 gene:ENSMUSG00000035011.15 transcript:ENSMUST00000146895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7a description:zinc finger and BTB domain containing 7a [Source:MGI Symbol;Acc:MGI:1335091] MAGGVDGPIGIPFPDHSSDILSGLNEQRTQGLLCDVVILVEGRE >ENSMUSP00000113787.1 pep:known chromosome:GRCm38:10:81136578:81152995:1 gene:ENSMUSG00000035011.15 transcript:ENSMUST00000121840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7a description:zinc finger and BTB domain containing 7a [Source:MGI Symbol;Acc:MGI:1335091] MAGGVDGPIGIPFPDHSSDILSGLNEQRTQGLLCDVVILVEGREFPTHRSVLAACSQYFK KLFTSGAVVDQQNVYEIDFVSAEALTALMDFAYTATLTVSTANVGDILSAARLLEIPAVS HVCADLLERQILAADDVGDASQPDGAGPTDQRNLLRAKEYLEFFRSNPMNSLPPTAFPWS GFGAPDDDLDATKEAVAAAVAAVAAGDCNGLDFYGPGPPADRPPAGDGDEGDSTPGLWPE RDEDAPPGGLFPPPTAPPATTQNGHYGRAGAGTGEEEAAALSEAAPEPGDSPGFLSGAAE GEDGDAADVDGLAASTLLQQMMSSVGRAGDSDEESRTDDKGVMDYYLKYFSGAHEGDVYP AWSQKGEKKIRAKAFQKCPICEKVIQGAGKLPRHIRTHTGEKPYECNICKVRFTR >ENSMUSP00000113428.1 pep:known chromosome:GRCm38:10:81137953:81148458:1 gene:ENSMUSG00000035011.15 transcript:ENSMUST00000117956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7a description:zinc finger and BTB domain containing 7a [Source:MGI Symbol;Acc:MGI:1335091] MAGGVDGPIGIPFPDHSSDILSGLNEQRTQGLLCDVVILVEGREFPTHRSVLAACSQYFK KLFTSGAVVDQQNVYEIDFVSAEALTALMDFAYTATLTVSTANVGDILSAARLLEIPAVS HVCADLLERQILAADDVGDASQPDGAGPTDQRNLLRAKEYLEFFRSNPMNSLPPTAFPWS GFGAPDDDLDATKEAVAAAVAAVAAGDCNGLDFYGPGPPADRPPAGDGDEGDSTPGLWPE RDEDAPPGGLFPPPTAPPATTQNGHYGRAGAGTGEEEAAALSEAAPEPGDSPGFLSGAAE GEDGDAADVDGLAASTLLQQMMSSVGRAGDSDEESRTDDKGVMDYYLKYFSGAHEGDVYP AWSQKGEKKIRAKAFQKCPICEKVIQGAGKLPRHIRTHTGEKPYECNICKVRFTRQDKLK VHMRKHTGEKPYLCQQCGAAFAHNYDLKNHMRVHTGLRPYQCDSCCKTFVRSDHLHRHLK KDGCNGVPSRRGRKPRVRGVPPDVPAGAGAPPGLPDAPRNGQEKHFKDEEEDEEEASPDG SGRLNVAGSGGDDGAGGPAVATAEGNFAT >ENSMUSP00000147061.1 pep:known chromosome:GRCm38:X:7722245:7730530:1 gene:ENSMUSG00000109493.1 transcript:ENSMUST00000208156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-109E24.10 MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLGLCDLCPSLEKQLLVFP GHKCGSLQLVDLASTKPGTSSAPFTINAHQSDVACVSLNQPGTVVASASQKGTLIRLFDT QSKEKLVELRRGTDPATLYCINFSHDSSFLCASSDKGTVHIFALKDTRLNRRSAYIRPLH TLLSALVVVVALGVLVWAAETRAAVRRCRRSHPAACLAAVLAISLFILWAVGGAFTFLLS ITAPVFLILLHASLRLRNLKNKIENKIESIGLKRTPMGLLLEALGQEQEAGS >ENSMUSP00000146594.1 pep:known chromosome:GRCm38:X:7726983:7730441:1 gene:ENSMUSG00000109493.1 transcript:ENSMUST00000207461.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:RP23-109E24.10 ASASQKGTLIRLFDTQSKEKLVELRRGTDPATLYCINFSHDSSFLCASSDKGTVHIFALK DTRLNRRSALARVGKVGPMIGQYVDSQWSLASFTVPAESACICAFGRNTSKNVNSVIGTF VRCTPS >ENSMUSP00000042433.5 pep:known chromosome:GRCm38:4:40204849:40239828:-1 gene:ENSMUSG00000040296.15 transcript:ENSMUST00000037907.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx58 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 58 [Source:MGI Symbol;Acc:MGI:2442858] MTAEQRQNLQAFRDYIKKILDPTYILSYMSSWLEDEEVQYIQAEKNNKGPMEAASLFLQY LLKLQSEGWFQAFLDALYHAGYCGLCEAIESWDFQKIEKLEEHRLLLRRLEPEFKATVDP NDILSELSECLINQECEEIRQIRDTKGRMAGAEKMAECLIRSDKENWPKVLQLALEKDNS KFSELWIVDKGFKRAESKADEDDGAEASSIQIFIQEEPECQNLSQNPGPPSEASSNNLHS PLKPRNYQLELALPAKKGKNTIICAPTGCGKTFVSLLICEHHLKKFPCGQKGKVVFFANQ IPVYEQQATVFSRYFERLGYNIASISGATSDSVSVQHIIEDNDIIILTPQILVNNLNNGA IPSLSVFTLMIFDECHNTSKNHPYNQIMFRYLDHKLGESRDPLPQVVGLTASVGVGDAKT AEEAMQHICKLCAALDASVIATVRDNVAELEQVVYKPQKISRKVASRTSNTFKCIISQLM KETEKLAKDVSEELGKLFQIQNREFGTQKYEQWIVGVHKACSVFQMADKEEESRVCKALF LYTSHLRKYNDALIISEDAQMTDALNYLKAFFHDVREAAFDETERELTRRFEEKLEELEK VSRDPSNENPKLRDLYLVLQEEYHLKPETKTILFVKTRALVDALKKWIEENPALSFLKPG ILTGRGRTNRATGMTLPAQKCVLEAFRASGDNNILIATSVADEGIDIAECNLVILYEYVG NVIKMIQTRGRGRARDSKCFLLTSSADVIEKEKANMIKEKIMNESILRLQTWDEMKFGKT VHRIQVNEKLLRDSQHKPQPVPDKENKKLLCGKCKNFACYTADIRVVETSHYTVLGDAFK ERFVCKPHPKPKIYDNFEKKAKIFCAKQNCSHDWGIFVRYKTFEIPVIKIESFVVEDIVS GVQNRHSKWKDFHFERIQFDPAEMSV >ENSMUSP00000115052.1 pep:known chromosome:GRCm38:4:40208853:40239700:-1 gene:ENSMUSG00000040296.15 transcript:ENSMUST00000142055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx58 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 58 [Source:MGI Symbol;Acc:MGI:2442858] MTAEQRQNLQAFRDYIKKILDPTYILSYMSSWLEDGYCGLCEAIESWDFQKIEKLEEHRL LLRRLEPEFKATVDPNDILSELSECLINQECEEIRQIRDTKGRMAGAEKMAECLIRSDKE NWPKVLQLALEKDNSKFSELWIVDKGFKRAESKADEDDGAEASSIQIFIQEEPECQNLSQ NPGPPSEASSNNLHSPLKPRNYQLELALPAKKGKNTIICAPTGCGKTFVSLLICEHHLKK FPCGQKGKVVFFANQIPVYEQQATVFSRYFERLGYNIASISGATSDSVSVQHIIEDNDII ILTPQILVNNLNNGAIPSLSVFTLMIFDECHNTSKNHPYNQIMFRYLDHKLGESRDPLPQ VVGLTASVGVGDAKTAEEAMQHICKLCAALDASVIATVRDNVAELEQVVYKPQKISRKVA SRTSNTFKCIISQLMKETEKLAKDVSEELGKLFQIQNREFGTQKYEQWIVGVHKACSVFQ MADKEEESRVCKALFLYTSHLRKYNDALIISEDAQMTDALNYLKAFFHDVREAAFDETER ELTRRFEEKLEELEKVSRDPSNENPKLRDLYLVLQEEYHLKPETKTILFVKTRALVDALK KWIEENPALSFLKPGILTGRGRTNRATGMTLPAQKCVLEAFRASGDNNILIATSVADEGI DIAECNLVILYEYVGNVIKMIQTRGRGRARDSKCFLLTSSADVIEKE >ENSMUSP00000097070.2 pep:known chromosome:GRCm38:X:92015191:92016183:-1 gene:ENSMUSG00000062162.2 transcript:ENSMUST00000099471.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb1 description:melanoma antigen, family B, 1 [Source:MGI Symbol;Acc:MGI:105118] MPRGQKSKTRSRAKRQQSRREVPVVQPTAEEAGSSPVDQSAGSSFPGGSAPQGVKTPGSF GAGVSCTGSGIGGRNAAVLPDTKSSDGTQAGTSIQHTLKDPIMRKASVLIEFLLDKFKMK EAVTRSEMLAVVNKKYKEQFPEILRRTSARLELVFGLELKEIDPSTHSYLLVGKLGLSTE GSLSSNWGLPRTGLLMSVLGVIFMKGNRATEQEVWQFLHGVGVYAGKKHLIFGEPEEFIR DVVRENYLEYRQVPGSDPPSYEFLWGPRAHAETTKMKVLEVLAKVNGTVPSAFPNLYQLA LRDQAGGVPRRRVQGKGVHSKAPSQKSSNM >ENSMUSP00000072119.1 pep:known chromosome:GRCm38:X:92015191:92016333:-1 gene:ENSMUSG00000062162.2 transcript:ENSMUST00000072269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb1 description:melanoma antigen, family B, 1 [Source:MGI Symbol;Acc:MGI:105118] MFSWKASKARSPLSPRYSLPGSTEVLTGCHSYPSRFLSASSFTSALSTVNMPRGQKSKTR SRAKRQQSRREVPVVQPTAEEAGSSPVDQSAGSSFPGGSAPQGVKTPGSFGAGVSCTGSG IGGRNAAVLPDTKSSDGTQAGTSIQHTLKDPIMRKASVLIEFLLDKFKMKEAVTRSEMLA VVNKKYKEQFPEILRRTSARLELVFGLELKEIDPSTHSYLLVGKLGLSTEGSLSSNWGLP RTGLLMSVLGVIFMKGNRATEQEVWQFLHGVGVYAGKKHLIFGEPEEFIRDVVRENYLEY RQVPGSDPPSYEFLWGPRAHAETTKMKVLEVLAKVNGTVPSAFPNLYQLALRDQAGGVPR RRVQGKGVHSKAPSQKSSNM >ENSMUSP00000072236.6 pep:known chromosome:GRCm38:15:9335550:9370955:1 gene:ENSMUSG00000049152.11 transcript:ENSMUST00000072403.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt3a2 description:UDP glycosyltransferases 3 family, polypeptide A2 [Source:MGI Symbol;Acc:MGI:2145969] MAAHRRWLLMSFLFLEVILLEAAKILTISTLSASHYIVISRVSQVLHEGGHNVTKLLYES ANIPDFRKEKPSYQVINWRPPEDQEKKFADLRHRLTEEITYGRSKHHTLLKIHQYFGDLC SQLLSRKDIMDFLKNENFDLVLLDSMDLCSLLIVEKLGKRFVSFLPFQFSYMDFGLPSAP LSYAPVYGSGLTDQMDFWGRVKNFLMFLDFSMKQREILSQYDSTIQEHFVEGSQPVLSDL LLKAELWFVNSDFALDFARPLFPNTVYVGGLLDKPVQPIPQDLENFISQFGDSGFVLVAL GSIVSMIQSKEIIKEMNSAFAHLPQGVLWTCKTSHWPKDVSLAPNVKIMDWLPQTDLLAH PSIRLFVTHGGMNSVMEAVHHGVPMVGIPFFFDQPENMVRVEAKNLGVSIQLQTLKAESF ALTMKKIIEDKRYKSAAMASKIIRHSHPLTPAQRLLGWIDHILQTGGAAHLKPYAFQQPW HEQYMLDVFLFLLGLMLGTLWLSVKVLVAVTRYLSIATKVKEA >ENSMUSP00000096185.3 pep:known chromosome:GRCm38:7:30321406:30323895:-1 gene:ENSMUSG00000074211.4 transcript:ENSMUST00000098586.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdhaf1 description:succinate dehydrogenase complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:1915582] MSRPSRLQRQVLSLYRELLRAGRGTPGAEARVRAEFRQHASLPRTDVLRIEYLYRRGRRQ LQLLRSGHATAMGTFVRPRGPAEEPGDATAPGTRLDDGGAPKNSCEDTGARETRSDGR >ENSMUSP00000113490.1 pep:known chromosome:GRCm38:9:53614582:53670014:-1 gene:ENSMUSG00000032030.16 transcript:ENSMUST00000120122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul5 description:cullin 5 [Source:MGI Symbol;Acc:MGI:1922967] MEDSIVRKLMLDTWNESIFSNIKNRLQDSAMKLVHAERLGEAFDSQLVIGVRESYVNLCS NPEDKLQIYRDNFEKAYLDSTERFYRTQAPSYLQQNGVQNYMKYADAKLKEEEKRALRYL ETRRECNSVEALMECCVNALVTSFKETILAECQGMIKRNETEKLHLMFSLMDKVPNGIEP MLKDLEEHIISAGLADMVAAAETITTDSEKYVEQLLTLFNRFSKLVKEAFQDDPRFLTAR DKAYKAVVNDATIFKLELPLKQKGVGLKTQPESKCPELLANYCDMLLRKTPLSKKLTSEE IEAKLKEVLLVLKYVQNKDVFMRYHKAHLTRRLILDISADSEIEENMVEWLREVGMPADY VNKLARMFQDIKVSEDLNQAFKEMHKNNKLALPADSVNIKILNAGAWSRSSEKVFVSLPT ELEDLIPEVEEFYKKNHSGRKLHWHHLMSNGIITFKNEVGQYDLEVTTFQLAVLFAWNQR PREKISFENLKLATELPDAELRRTLWSLVAFPKLKRQVLLYDPQVNSPKDFTEGTLFSVN QDFSLIKNAKVQKRGKINLIGRLQLTTERMREEENEGIVQLRILRTQEAIIQIMKMRKKI SNAQLQTELVEILKNMFLPQKKMIKEQMEWLIEHRYIRRDEADINTFIYMA >ENSMUSP00000034529.7 pep:known chromosome:GRCm38:9:53617024:53667511:-1 gene:ENSMUSG00000032030.16 transcript:ENSMUST00000034529.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul5 description:cullin 5 [Source:MGI Symbol;Acc:MGI:1922967] MRSLAWAGRGDHVAGKPEEARLPGTSSALTARRSGVPRIPRRGLRGPAGNPGLSRRPGPE RRQVSPRLARVQVENMATSNLLKNKGSLQFEDKWDFMHPIVLKLLRQESVTKQQWFDLFS DVHAVCLWDDKGSSKIHQALKEDILEFIKQAQARVLSHQDDTALLKAYIVEWRKFFTQCD ILPKPFCQLEVTLLGKQSSNKKSNMEDSIVRKLMLDTWNESIFSNIKNRLQDSAMKLVHA ERLGEAFDSQLVIGVRESYVNLCSNPEDKLQIYRDNFEKAYLDSTERFYRTQAPSYLQQN GVQNYMKYADAKLKEEEKRALRYLETRRECNSVEALMECCVNALVTSFKETILAECQGMI KRNETEKLHLMFSLMDKVPNGIEPMLKDLEEHIISAGLADMVAAAETITTDSEKYVEQLL TLFNRFSKLVKEAFQDDPRFLTARDKAYKAVVNDATIFKLELPLKQKGVGLKTQPESKCP ELLANYCDMLLRKTPLSKKLTSEEIEAKLKEVLLVLKYVQNKDVFMRYHKAHLTRRLILD ISADSEIEENMVEWLREVGMPADYVNKLARMFQDIKVSEDLNQAFKEMHKNNKLALPADS VNIKILNAGAWSRSSEKVFVSLPTELEDLIPEVEEFYKKNHSGRKLHWHHLMSNGIITFK NEVGQYDLEVTTFQLAVLFAWNQRPREKISFENLKLATELPDAELRRTLWSLVAFPKLKR QVLLYDPQVNSPKDFTEGTLFSVNQDFSLIKNAKVQKRGKINLIGRLQLTTERMREEENE GIVQLRILRTQEAIIQIMKMRKKISNAQLQTELVEILKNMFLPQKKMIKEQMEWLIEHRY IRRDEADINTFIYMA >ENSMUSP00000121734.1 pep:known chromosome:GRCm38:9:53655353:53667072:-1 gene:ENSMUSG00000032030.16 transcript:ENSMUST00000133872.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cul5 description:cullin 5 [Source:MGI Symbol;Acc:MGI:1922967] XVENMATSNLLKNKGSLQFEDKWDFMHPIVLKLLRQESVTKQQWFDLFSRKCWIPWKWS >ENSMUSP00000133144.1 pep:known chromosome:GRCm38:9:53614582:53667507:-1 gene:ENSMUSG00000032030.16 transcript:ENSMUST00000166367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul5 description:cullin 5 [Source:MGI Symbol;Acc:MGI:1922967] MRSLAWAGRGDHVAGKPEEARLPGTSSALTARRSGVPRIPRRGLRGPAGNPGLSRRPGPE RRQVSPRLARVQVENMATSNLLKNKGSLQFEDKWDFMHPIVLKLLRQESVTKQQWFDLFS DVHAVCLWDDKGSSKIHQALKEDILEFIKQAQARVLSHQDDTALLKAYIVEWRKFFTQCD ILPKPFCQLEVTLLGKQSSNKKSNMEDSIVRKLMLDTWNESIFSNIKNRLQDSAMKLVHA ERLGEAFDSQLVIGVRESYVNLCSNPEDKLQIYRDNFEKAYLDSTERFYRTQAPSYLQQN GVQNYMKYLMECCVNALVTSFKETILAECQGMIKRNETEKLHLMFSLMDKVPNGIEPMLK DLEEHIISAGLADMVAAAETITTDSEKYVEQLLTLFNRFSKLVKEAFQDDPRFLTARDKA YKAVVNDATIFKLELPLKQKGVGLKTQPESKCPELLANYCDMLLRKTPLSKKLTSEEIEA KLKEVLLVLKYVQNKDVFMRYHKAHLTRRLILDISADSEIEENMVEWLREVGMPADYVNK LARMFQDIKVSEDLNQAFKEMHKNNKLALPADSVNIKILNAGAWSRSSEKVFVSLPTELE DLIPEVEEFYKKNHSGRKLHWHHLMSNGIITFKNEVGQYDLEVTTFQLAVLFAWNQRPRE KISFENLKLATELPDAELRRTLWSLVAFPKLKRQVLLYDPQVNSPKDFTEGTLFSVNQDF SLIKNAKVQKRGKINLIGRLQLTTERMREEENEGIVQLRILRTQEAIIQIMKMRKKISNA QLQTELVEILKNMFLPQKKMIKEQMEWLIEHRYIRRDEADINTFIYMA >ENSMUSP00000037417.6 pep:known chromosome:GRCm38:2:25573430:25575224:-1 gene:ENSMUSG00000036504.6 transcript:ENSMUST00000039156.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phpt1 description:phosphohistidine phosphatase 1 [Source:MGI Symbol;Acc:MGI:1922704] MAADLGQIPDVDIDSDGVFKYVLIRVHLAEPSGDPAKECKEIVRGYKWAEYHADIYDKVS GELQRNGYDCECLGGGRISHQSQDRKIHVYGYSMGYGRAQHSVSTEKIKAKYPDYEVTWA DDGY >ENSMUSP00000133756.1 pep:known chromosome:GRCm38:3:129199878:129219590:1 gene:ENSMUSG00000028023.16 transcript:ENSMUST00000174661.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx2 description:paired-like homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:109340] METNCRKLVSACVQLGVQPAAVECLFSKDSEIKKVEFTDSPKSRKESASSKLFPRQHPGA NEKDKGQQGKNEDVGAEDPSKKKRQRRQRTHFTSQQLQELEATFQRNRYPDMSTREEIAV WTNLTEARVRVWFKNRRAKWRKRERNQQAELCKNGFGPQFNGLMQPYDDMYPGYSYNNWA AKGLTSASLSTKSFPFFNSMNVNPLSSQSMFSPPNSISSMSMSSSMVPSAVTGVPGSSLN SLNNLNNLSSPSLNSAVPTPACPYAPPTPPYVYRDTCNSSLASLRLKAKQHSSFGYASVQ NPASNLSACQYAVDRPV >ENSMUSP00000029657.9 pep:known chromosome:GRCm38:3:129199922:129219578:1 gene:ENSMUSG00000028023.16 transcript:ENSMUST00000029657.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx2 description:paired-like homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:109340] METNCRKLVSACVQLGVQPAAVECLFSKDSEIKKVEFTDSPKSRKESASSKLFPRQHPGA NGLVQESPGQMEKAGTQPAGRAVQEWLWAAVQRAHAALR >ENSMUSP00000101990.4 pep:known chromosome:GRCm38:3:129199926:129219591:1 gene:ENSMUSG00000028023.16 transcript:ENSMUST00000106382.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx2 description:paired-like homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:109340] METNCRKLVSACVQLEKDKGQQGKNEDVGAEDPSKKKRQRRQRTHFTSQQLQELEATFQR NRYPDMSTREEIAVWTNLTEARVRVWFKNRRAKWRKRERNQQAELCKNGFGPQFNGLMQP YDDMYPGYSYNNWAAKGLTSASLSTKSFPFFNSMNVNPLSSQSMFSPPNSISSMSMSSSM VPSAVTGVPGSSLNSLNNLNNLSSPSLNSAVPTPACPYAPPTPPYVYRDTCNSSLASLRL KAKQHSSFGYASVQNPASNLSACQYAVDRPV >ENSMUSP00000134301.2 pep:known chromosome:GRCm38:3:129199969:129204577:1 gene:ENSMUSG00000028023.16 transcript:ENSMUST00000173645.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx2 description:paired-like homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:109340] METNCRKLVSACVQLGVQPAAVECLFSKDSEIKKVEFTDSPKSRKESASSKLFPRQHPGA N >ENSMUSP00000134692.1 pep:known chromosome:GRCm38:3:129203947:129218897:1 gene:ENSMUSG00000028023.16 transcript:ENSMUST00000172645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx2 description:paired-like homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:109340] METNCRKLVSACVQLDSEIKKVEFTDSPKSRKESASSKLFPRQHPGANEKDKGQQGKNED VGAEDPSKKKRQRRQRTHFTSQQLQELEATFQRNRYPDMSTREEIAVWTNLTEARVRVWF KNRRAKWRKRERNQQAELCKNGFGPQFNGLMQPYDDMYPGYSYNNWAAKGLTSASLSTKS FPFFNSMNVNPLSSQSMFSPPNSISSMSMSSSMVPSAVTGVPGSSLNSLNNLNNLSSPSL NSAVPTPACPYAPPTPPYVYRDTCNSSLASLRLKAKQHSSFGYASVQNPASNLSACQYAV DRPV >ENSMUSP00000047359.9 pep:known chromosome:GRCm38:3:129213972:129219588:1 gene:ENSMUSG00000028023.16 transcript:ENSMUST00000042587.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx2 description:paired-like homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:109340] MNCMKGPLPLEHRAAGTKLSAASSPFCHHPQALAMASVLAPGQPRSLDSSKHRLEVHTIS DTSSPEVAEKDKGQQGKNEDVGAEDPSKKKRQRRQRTHFTSQQLQELEATFQRNRYPDMS TREEIAVWTNLTEARVRVWFKNRRAKWRKRERNQQAELCKNGFGPQFNGLMQPYDDMYPG YSYNNWAAKGLTSASLSTKSFPFFNSMNVNPLSSQSMFSPPNSISSMSMSSSMVPSAVTG VPGSSLNSLNNLNNLSSPSLNSAVPTPACPYAPPTPPYVYRDTCNSSLASLRLKAKQHSS FGYASVQNPASNLSACQYAVDRPV >ENSMUSP00000139328.1 pep:known chromosome:GRCm38:3:129214275:129216624:1 gene:ENSMUSG00000028023.16 transcript:ENSMUST00000174623.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx2 description:paired-like homeodomain transcription factor 2 [Source:MGI Symbol;Acc:MGI:109340] MNCMKGPLPLEHRAAGTKLSAASSPFCHHPQALAMASVLAPGQPRSLDSSKHRLEVHTIS DTSSPEVAEKDKGQQGKNEDVGAEDPSKKKRQRRQRTHFTSQQLQELEATFQRNRYPDMS TREEIAVWTNLTEARVRVGASTQSGKTRGPRPCQESEPADHGWR >ENSMUSP00000070685.4 pep:known chromosome:GRCm38:7:127372537:127376050:-1 gene:ENSMUSG00000054381.5 transcript:ENSMUST00000067425.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp747 description:zinc finger protein 747 [Source:MGI Symbol;Acc:MGI:2443581] MAQVMAPGRASVPPVFCKPGTVSFADVAVYFSPEEWRYLRPAQRALYREVMRETYGLLTY LDVGCAKPALISWVEEESEVWGPGAQDPEVAMCRTEVHSDCRHEKERKRPREETEAMQKT FSPEPGQKEPQLSFAVSASGIQATVLRADKRHGCHLCGKSFARRSTLEAHMYTHTGEKPF QCPDCNKRFGRYSSLSTHRAIHRGERPHQCPDCGKGFTQRCTLVAHMYSHTGEKPFQCPD CNKCFGRYSSLSMHRAIHRGERPHQCPDCGKGFTQRCALVEHMYSHTGEKSFNCPDCCKS FGRPSSLSRHRAIHLEERPHRCSHCGKTFTQRSSLTSHLRVHTGEKPYCCADCGRCFSQR SRLHEHQRVVHSGVTPFSCTHCGSAFADSKYLRRHMRIHTGEKPYSCPDWSLLSPGHRNS SP >ENSMUSP00000145805.1 pep:known chromosome:GRCm38:7:127374492:127376013:-1 gene:ENSMUSG00000054381.5 transcript:ENSMUST00000205832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp747 description:zinc finger protein 747 [Source:MGI Symbol;Acc:MGI:2443581] MAQVMAPGRASVPPVFCKPGTVSFADVAVYFSPEEWRRRMRQTSAHQLGGGRVRSVGTRC PGSRGGHVQDRSPLRLQAREGKEETKRRD >ENSMUSP00000121634.1 pep:known chromosome:GRCm38:X:7721973:7726292:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000156000.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLR NRIYVYSFPDSPRKLFEFDTRDNPK >ENSMUSP00000146660.1 pep:known chromosome:GRCm38:X:7721993:7726891:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000208553.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISGCLSP >ENSMUSP00000041456.2 pep:known chromosome:GRCm38:X:7722217:7728206:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000043045.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLR NRIYVYSFPDSPRKLFEFDTRDNPKGLCDLCPSLEKQLLVFPGHKCGSLQLVDLASTKPG TSSAPFTINAHQSDVACVSLNQPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATL YCINFSHDSSFLCASSDKGTVHIFALKDTRLNRRSALARVGKVGPMIGQYVDSQWSLASF TVPAESACICAFGRNTSKNVNSVIAICVDGTFHKYVFTPDGNCNREAFDVYLDICDDEDF >ENSMUSP00000146707.1 pep:known chromosome:GRCm38:X:7722222:7727393:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000207386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLGLCDLCPSLEKQLLVFP GHKCGSLQLVDLASTKPGTSSAPFTINAHQSDVACVSLNQPGTVVASASQKGTLIRLFDT QSKEKLVELRRGTDPATLYCINFSHDSSFLCASSDKGTVHIFALKDTRLNRRSALARVGK VGPMIGQYV >ENSMUSP00000112333.1 pep:known chromosome:GRCm38:X:7722227:7727962:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000116634.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLVLIWDDAREGKDSKDKL VLEFTFTKPVLAVRMRHDKIVIVLRNRIYVYSFPDSPRKLFEFDTRDNPKGLCDLCPSLE KQLLVFPGHKCGSLQLVDLASTKPGTSSAPFTINAHQSDVACVSLNQPGTVVASASQKGT LIRLFDTQSKEKLVELRRGTDPATLYCINFSHDSSFLCASSDKGTVHIFALKDTRLNRRS ALARVGKVGPMIGQYVDSQWSLASFTVPAESACICAFGRNTSKNVNSVIAICVDGTFHKY VFTPDGNCNREAFDVYLDICDDEDF >ENSMUSP00000146607.1 pep:known chromosome:GRCm38:X:7722229:7726264:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000208072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLR NRIYVYSFPDSPRKLF >ENSMUSP00000147232.1 pep:known chromosome:GRCm38:X:7722229:7727398:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000207589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLR NRIYVYSFPDSPRKLFEFDTRDNPKGLCDLCPSLEKQLLVFPGHKCGSLQLVDLASTKPG TSSAPFTINAHQSDVACVSLNQPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATL YCINFSHDSSFLCASSDKGTVHIFALKDTRLNRRSALARVGKVGPMIGQYVDS >ENSMUSP00000146911.1 pep:known chromosome:GRCm38:X:7722230:7726930:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000208524.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLGS >ENSMUSP00000146354.1 pep:known chromosome:GRCm38:X:7722230:7727849:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000208618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLVLIWDDAREGKDSKDKL VLEFTFTKPVLAVRMRHDKIVIVLRNRIYVYSFPDSPRKLFEFDTRDNPKGLCDLCPSLE KQLLVFPGHKCGSLQLVDLASTKPGTSSAPFTINAHQSDVACVSLNQPGTVVASASQKGT LIRLFDTQSKEKLVELRRGTDPATLYCHDSSFLCASSDKGTVHIFALKDTRLNRRSALAR VGKVGPMIGQYVDSQWSLASFTVPAESACICAFGRNTSKNVNSVIAICVDGTFHKYVFTP DGNCNREAFDVYLDICDD >ENSMUSP00000146886.1 pep:known chromosome:GRCm38:X:7722240:7728005:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000208443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISGLCDLCPSLEKQLLVFPGHKCGSLQLVDLASTKPGTSSAPFT INAHQSDVACVSLNQPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATLYCHDSSF LCASSDKGTVHIFALKDTRLNRRSALARVGKVGPMIGQYVDSQWSLASFTVPAESACICA FGRNTSKNVNSVIAICVDGTFHKYVFTPDGNCNREAFDVYLDICDDEDF >ENSMUSP00000146471.1 pep:known chromosome:GRCm38:X:7722243:7726730:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000207541.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] METGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRSNLLALVGGGSSPKFSEISVLIWDD AREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLRNRIYVYSFPDSPRKLFEFDTRDNP KGLCDLCPSLEKQLLV >ENSMUSP00000146453.1 pep:known chromosome:GRCm38:X:7722243:7726746:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000208528.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLVLIWDDAREGKDSKDKL VLEFTFTKPVLAVRMRHDKIVIVLRNRIYVYSFPDSPRKLFEFDTRDNPKGLCDLCPSLE KQLLVFPGHK >ENSMUSP00000147208.1 pep:known chromosome:GRCm38:X:7722248:7727271:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000209144.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] METGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRSNLLALVGGGSSPKFSEISGS >ENSMUSP00000111353.3 pep:known chromosome:GRCm38:X:7722249:7728201:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000115689.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLR NRIYVYSFPDSPRKLFEFDTRDNPKGLCDLCPSLEKQLLVFPGHKCGSLQLVDLASTKPG TSSAPFTINAHQSDVACVSLNQPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATL YCINFSHDSSFLCASSDKGTVHIFALKDTRLNRRSALARVGKVGPMIGQYVDSQWSLASF TVPAESACICAFGRNTSKNVNSVIAICVDGTFHKYVFTPDGNCNREAFDVYLDICDDEDF >ENSMUSP00000117784.2 pep:known chromosome:GRCm38:X:7722250:7726689:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000131077.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLR NRIYVYSFPDSPRKLFEFDTRDNPKGL >ENSMUSP00000111352.1 pep:known chromosome:GRCm38:X:7722250:7728182:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000115688.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLR NRIYVYSFPDSPRKLFEFDTRDNPKGLCDLCPSLEKQLLVFPGHKCGSLQLVDLASTKPG TSSAPFTINAHQSDVACVSLNQPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATL YCDKGTVHIFALKDTRLNRRSALARVGKVGPMIGQYVDSQWSLASFTVPAESACICAFGR NTSKNVNSVIAICVDGTFHKYVFTPDGNCNREAFDVYLDICDDEDF >ENSMUSP00000147163.1 pep:known chromosome:GRCm38:X:7722266:7728182:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000207675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISGLCDLCPSLEKQLLVFPGHKCGSLQLVDLASTKPGTSSAPFT INAHQSDVACVSLNQPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATLYCINFSH DSSFLCASSDKGTVHIFALKDTRLNRRSALARVGKVGPMIGQYVDSQWSLASFTVPAESA CICAFGRNTSKNVNSVIAICVDGTFHKYVFTPDGNCNREAFDVYLDICDDEDF >ENSMUSP00000112332.2 pep:known chromosome:GRCm38:X:7722268:7727086:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000116633.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDNPRKLFE FDTRDNPKGLCDLCPSLEKQLLVFPGHKCGSLQLVDLASTKPGTSSAPFTINAHQSDVAC VSLNQPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATLY >ENSMUSP00000147040.1 pep:known chromosome:GRCm38:X:7722270:7726963:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000208996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLR NRIYVYSFPDSPRKLFEFDTRDNPKGEKTQICRWLCDLCPSLEKQLLVFPGHKCGSLQLV DLASTKPGTSSAPFTINAHQSDVACVSL >ENSMUSP00000147106.1 pep:known chromosome:GRCm38:X:7722273:7726927:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000209143.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISGAGVGTQAACHPKLWSCQPTHLPGSADLGRCPRRQGLQGQTG AGVHLHQASAGCAHAP >ENSMUSP00000146506.1 pep:known chromosome:GRCm38:X:7722276:7726928:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000207337.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISGS >ENSMUSP00000116483.1 pep:known chromosome:GRCm38:X:7722335:7726027:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000144148.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMR >ENSMUSP00000119766.2 pep:known chromosome:GRCm38:X:7722355:7726022:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000125991.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVR >ENSMUSP00000138122.1 pep:known chromosome:GRCm38:X:7722357:7726276:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000154253.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVIGLL >ENSMUSP00000122086.1 pep:known chromosome:GRCm38:X:7722362:7726291:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000148624.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLR NRIYVYSFPDSPRKLFEFDTRDNPK >ENSMUSP00000111351.1 pep:known chromosome:GRCm38:X:7722927:7728003:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000115687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] MTQQPLRGVTSLHFNQDQSCFCCAMETGVRIYNVEPLMEKGHLDHEQVGSVGLVEMLHRS NLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTKPVLAVRMRHDKIVIVLR NRIYVYSFPDSPRKLFEFDTRDNPKGLCDLCPSLEKQLLVFPGHKCGSLQLVDLASTKPG TSSAPFTINAHQSDVACVSLNQPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATL YCINFSHDSSFLCASSDKGTVHIFALKDTRLNRRSALARVGKVGPMIGQYVDSQWSLASF TVPAESACICAFGRNTSKNVNSVIAICVDGTFHKYVFTPDGNCNREAFDVYLDICDDEDF >ENSMUSP00000147110.1 pep:known chromosome:GRCm38:X:7724188:7727952:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000207109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] XHEQVGSVGLVEMLHRSNLLALVGGGSSPKFSEISVLIWDDAREGKDSKDKLVLEFTFTK PVLAVRMRHDKIVIVLRNRIYVYSFPDSPRKLFEFDTRDNPKGLCDLCPSLEKQLLVFPG HKCGSLQLVPGTVVASASQKGTLIRLFDTQSKEKLVELRRGTDPATLYCINFSHDSSFLC ASSDKGTVHIFALKDTRLNRRSALARVGKVGPMIGQYVDSQWSLASFTVPAESACICAFG RNTSKNVNSVIAICVDGTFHKYVFTPDGNCNREAFDVYLDICDDEDF >ENSMUSP00000147254.1 pep:known chromosome:GRCm38:X:7726754:7728182:1 gene:ENSMUSG00000039382.12 transcript:ENSMUST00000208719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45 description:WD repeat domain 45 [Source:MGI Symbol;Acc:MGI:1859606] LQLVDLASTKPGTSSAPFTINAHQSDVACVSLNQPGTVVASASQKGTLIRLFDTQSKEKL VELRRGTDPATLYCINFSHDSSFLCASSDKGTVHIFALKDTRLNRRSAHLCRWDLPQICL HS >ENSMUSP00000142196.1 pep:known chromosome:GRCm38:3:32949408:33083060:-1 gene:ENSMUSG00000027674.16 transcript:ENSMUST00000194016.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5l description:peroxisomal biogenesis factor 5-like [Source:MGI Symbol;Acc:MGI:1916672] MSDSEMDGRTHIPSLLNALLSRNRVMQMSYLKSKEQGYGKLSSDEDLEIIVDQKQGKGSR AADKAVAMVMKEIPREESAEEKPLLTVTSQLVNEQQESRPLLSPSIDDFLCETKSEAIAK PVTSNTAVLTTGLDLLDLSEPVSQTQTKAKKSEPSSKSSSLKKKADGSDLISADAEQRAQ ALRGPETSSLDLDIQTQLEKWDDVKFHGDRTSKGHLMAERKSCSSRTGSKELLWSAEHRS QPELSTGKSALNSESASELELVAPAQARLTKEHRWGSALLSRNHSLEEEFERAKAAVESD TEFWDKMQAEWEEMARRNWISENQEAQNQVTVSASEKGYYFHTENPFKDWPGAFEEGLKR LKEGDLPVTILFMEAAILQDPGDAEAWQFLGITQAENENEQAAIVALQRCLELQPNNLKA LMALAVSYTNTSHQQDACEALKNWIKQNPKYKYLVKNKKGSPGLTRRMSKSPVDSSVLEG VKELYLEAAHQNGDMIDPDLQTGLGVLFHLSGEFNRAIDAFNAALTVRPEDYSLWNRLGA TLANGDRSEEAVEAYTRALEIQPGFIRSRYNLGISCINLGAYREAVSNFLTALSLQRKSR NQQQVPHPAISGNIWAALRIALSLMDQPELFQAANLGDLDVLLRAFNLDP >ENSMUSP00000141454.1 pep:known chromosome:GRCm38:3:32949408:33083060:-1 gene:ENSMUSG00000027674.16 transcript:ENSMUST00000193681.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5l description:peroxisomal biogenesis factor 5-like [Source:MGI Symbol;Acc:MGI:1916672] MSDSEMDGRTHIPSLLNALLSRNRVMQMSYLKSKEQGYGKLSSDEDLEIIVDQKQGKGSR AADKAVAMVMKEIPREESAEEKPLLTVTSQLVNEQQESRPLLSPSIDDFLCETKSEAIAK PVTSNTAVLTTGLDLLDLSEPVSQTQTKAKKSEPSSKSSSLKKKADGSDLISADAEQRAQ ALRGPETSSLDLDIQTQLEKWDDVKFHGDRTSKGHLMAERKSCSSRTGSKELLWSAEHRS QPELSTGKSALNSESASELELVAPAQARLTKEHRWGSALLSRNHSLEEEFERAKAAVESD TEFWDKMQAEWEEMARRNWISENQEAQNQVTVSASEKGYYFHTENPFKDWPGAFEEGLKR LKEGDLPVTILFMEAAILQDPGDAEAWQFLGITQAENENEQAAIVALQRCLELQPNNLKA LMALAVSYTNTSHQQDACEALKNWIKQNPKYKYLVKNKKGSPGLTRRMSKSPVDSSVLEG VKELYLEAAHQNGDMIDPDLQTGLGVLFHLSGEFNRAIDAFNAALTVRPEDYSLWNRLGA TLANGDRSEEAVEAYTRALEIQPGFIRSRYNLGISCINLGAYREAVSNFLTALSLQRKSR NQQQVPHPAISGNIWAALRIALSLMDQPELFQAANLGDLDVLLRAFNLDP >ENSMUSP00000141387.1 pep:known chromosome:GRCm38:3:32949408:33083060:-1 gene:ENSMUSG00000027674.16 transcript:ENSMUST00000192093.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5l description:peroxisomal biogenesis factor 5-like [Source:MGI Symbol;Acc:MGI:1916672] MSDSEMDGRTHIPSLLNALLSRNRVMQMSYLKSKEQGYGKLSSDEDLEIIVDQKQLVNEQ QESRPLLSPSIDDFLCETKSEAIAKPVTSNTAVLTTGLDLLDLSEPVSQTQTKAKKSEPS SKSSSLKKKADGSDLISADAEQRAQALRGPETSSLDLDIQTQLEKWDDVKFHGDRTSKGH LMAERKSCSSRTGSKELLWSAEHRSQPELSTGKSALNSESASELELVAPAQARLTKEHRW GSALLSRNHSLEEEFERAKAAVESDTEFWDKMQAEWEEMARRNWISENQEAQNQVTVSAS EKGYYFHTENPFKDWPGAFEEGLKRLKEGDLPVTILFMEAAILQDPGDAEAWQFLGITQA ENENEQAAIVALQRCLELQPNNLKALMALAVSYTNTSHQQDACEALKNWIKQNPKYKYLV KNKKGSPGLTRRMSKSPVDSSVLEGVKELYLEAAHQNGDMIDPDLQTGLGVLFHLSGEFN RAIDAFNAALTVRPEDYSLWNRLGATLANGDRSEEAVEAYTRALEIQPGFIRSRYNLGIS CINLGAYREAVSNFLTALSLQRKSRNQQQVPHPAISGNIWAALRIALSLMDQPELFQAAN LGDLDVLLRAFNLDP >ENSMUSP00000142008.1 pep:known chromosome:GRCm38:3:32949408:33083060:-1 gene:ENSMUSG00000027674.16 transcript:ENSMUST00000193289.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5l description:peroxisomal biogenesis factor 5-like [Source:MGI Symbol;Acc:MGI:1916672] MSDSEMDGRTHIPSLLNALLSRNRVMQMSYLKSKEQGYGKLSSDEDLEIIVDQKQGKGSR AADKAVAMVMKEIPREESAEEKPLLTVTSQLVNEQQESRPLLSPSIDDFLCETKSEAIAK PVTSNTAVLTTGLDLLDLSEPVSQTQTKAKKSEPSSKSSSLKKKADGSDLISADAEQRAQ ALRGPETSSLDLDIQTQLEKWDDVKFHGDRTSKGHLMAERKSCSSRTGSKELLWSAEHRS QPELSTGKSALNSESASELELVAPAQARLTKEHRWGSALLSRNHSLEEEFERAKAAVESD TEFWDKMQAEWEEMARRNWISENQEAQNQVTVSASEKGYYFHTENPFKDWPGAFEEGLKR LKEGDLPVTILFMEAAILQDPGDAEAWQFLGITQAENENEQAAIVALQRCLELQPNNLKA LMALAVSYTNTSHQQDACEALKNWIKQNPKYKYLVKNKKGSPGLTRRMSKSPVDSSVLEG VKELYLEAAHQNGDMIDPDLQTGLGVLFHLSGEFNRAIDAFNAALTVRPEDYSLWNRLGA TLANGDRSEEAVEAYTRALEIQPGFIRSRYNLGISCINLGAYREAVSNFLTALSLQRKSR NQQQVPHPAISGNIWAALRIALSLMDQPELFQAANLGDLDVLLRAFNLDP >ENSMUSP00000077353.3 pep:known chromosome:GRCm38:3:32949634:33083029:-1 gene:ENSMUSG00000027674.16 transcript:ENSMUST00000078226.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5l description:peroxisomal biogenesis factor 5-like [Source:MGI Symbol;Acc:MGI:1916672] MSDSEMDGRTHIPSLLNALLSRNRVMQMSYLKSKEQGYGKLSSDEDLEIIVDQKQLVNEQ QESRPLLSPSIDDFLCETKSEAIAKPVTSNTAVLTTGLDLLDLSEPVSQTQTKAKKSEPS SKSSSLKKKADGSDLISADAEQRAQALRGPETSSLDLDIQTQLEKWDDVKFHGDRTSKGH LMAERKSCSSRTGSKELLWSAEHRSQPELSTGKSALNSESASELELVAPAQARLTKEHRW GSALLSRNHSLEEEFERAKAAVESDTEFWDKMQAEWEEMARRNWISENQEAQNQVTVSAS EKGYYFHTENPFKDWPGAFEEGLKRLKEGDLPVTILFMEAAILQDPGDAEAWQFLGITQA ENENEQAAIVALQRCLELQPNNLKALMALAVSYTNTSHQQDACEALKNWIKQNPKYKYLV KNKKGSPGLTRRMSKSPVDSSVLEGVKELYLEAAHQNGDMIDPDLQTGLGVLFHLSGEFN RAIDAFNAALTVRPEDYSLWNRLGATLANGDRSEEAVEAYTRALEIQPGFIRSRYNLGIS CINLGAYREAVSNFLTALSLQRKSRNQQQVPHPAISGNIWAALRIALSLMDQPELFQAAN LGDLDVLLRAFNLDP >ENSMUSP00000103859.1 pep:known chromosome:GRCm38:3:32949954:33083035:-1 gene:ENSMUSG00000027674.16 transcript:ENSMUST00000108224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5l description:peroxisomal biogenesis factor 5-like [Source:MGI Symbol;Acc:MGI:1916672] MQMSYLKSKEQGYGKLSSDEDLEIIVDQKQLVNEQQESRPLLSPSIDDFLCETKSEAIAK PVTSNTAVLTTGLDLLDLSEPVSQTQTKAKKSEPSSKSSSLKKKADGSDLISADAEQRAQ ALRGPETSSLDLVDIQTQLEKWDDVKFHGDRTSKGHLMAERKSCSSRTGSKELLWSAEHR SQPELSTGKSALNSESASELELVAPAQARLTKEHRWGSALLSRNHSLEEEFERAKAAVES DTEFWDKMQAEWEEMARRNWISENQEAQNQVTVSASEKGYYFHTENPFKDWPGAFEEGLK RLKEGDLPVTILFMEAAILQDPGDAEAWQFLGITQAENENEQAAIVALQRCLELQPNNLK ALMALAVSYTNTSHQQDACEALKNWIKQNPKYKYLVKNKKGSPGLTRRMSKSPVDSSVLE GVKELYLEAAHQNGDMIDPDLQTGLGVLFHLSGEFNRAIDAFNAALTVRPEDYSLWNRLG ATLANGDRSEEAVEAYTRALEIQPGFIRSRYNLGISCINLGAYREAVSNFLTALSLQRKS RNQQQVPHPAISGNIWAALRIALSLMDQPELFQAANLGDLDVLLRAFNLDP >ENSMUSP00000103861.1 pep:known chromosome:GRCm38:3:32949954:33143082:-1 gene:ENSMUSG00000027674.16 transcript:ENSMUST00000108226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5l description:peroxisomal biogenesis factor 5-like [Source:MGI Symbol;Acc:MGI:1916672] MYQGHMQLVNEQQESRPLLSPSIDDFLCETKSEAIAKPVTSNTAVLTTGLDLLDLSEPVS QTQTKAKKSEPSSKSSSLKKKADGSDLISADAEQRAQALRGPETSSLDLDIQTQLEKWDD VKFHGDRTSKGHLMAERKSCSSRTGSKELLWSAEHRSQPELSTGKSALNSESASELELVA PAQARLTKEHRWGSALLSRNHSLEEEFERAKAAVESDTEFWDKMQAEWEEMARRNWISEN QEAQNQVTVSASEKGYYFHTENPFKDWPGAFEEGLKRLKEGDLPVTILFMEAAILQDPGD AEAWQFLGITQAENENEQAAIVALQRCLELQPNNLKALMALAVSYTNTSHQQDACEALKN WIKQNPKYKYLVKNKKGSPGLTRRMSKSPVDSSVLEGVKELYLEAAHQNGDMIDPDLQTG LGVLFHLSGEFNRAIDAFNAALTVRPEDYSLWNRLGATLANGDRSEEAVEAYTRALEIQP GFIRSRYNLGISCINLGAYREAVSNFLTALSLQRKSRNQQQVPHPAISGNIWAALRIALS LMDQPELFQAANLGDLDVLLRAFNLDP >ENSMUSP00000103856.1 pep:known chromosome:GRCm38:3:32950630:32985079:-1 gene:ENSMUSG00000027674.16 transcript:ENSMUST00000108221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5l description:peroxisomal biogenesis factor 5-like [Source:MGI Symbol;Acc:MGI:1916672] MQAEWEEMARRNWISENQEAQNQVTVSASEKGYYFHTENPFKDWPGAFEEGLKRLKEGDL PVTILFMEAAILQDPGDAEAWQFLGITQAENENEQAAIVALQRCLELQPNNLKALMALAV SYTNTSHQQDACEALKNWIKQNPKYKYLVKNKKGSPGLTRRMSKSPVDSSVLEGVKELYL EAAHQNGDMIDPDLQTGLGVLFHLSGEFNRAIDAFNAALTVRPEDYSLWNRLGATLANGD RSEEAVEAYTRALEIQPGFIRSRYNLGISCINLGAYREAVSNFLTALSLQRKSRNQQQVP HPAISGNIWAALRIALSLMDQPELFQAANLGDLDVLLRAFNLDP >ENSMUSP00000103860.3 pep:known chromosome:GRCm38:3:32949408:33082068:-1 gene:ENSMUSG00000027674.16 transcript:ENSMUST00000108225.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex5l description:peroxisomal biogenesis factor 5-like [Source:MGI Symbol;Acc:MGI:1916672] MSDSEMDGRTHIPSLLNALLSRNRVMQMSYLKSKEQGYGKLSSDEDLEIIVDQKQLVNEQ QESRPLLSPSIDDFLCETKSEAIAKPVTSNTAVLTTGLDLLDLSEPVSQTQTKAKKSEPS SKSSSLKKKADGSDLISADAEQRAQALRGPETSSLDLDIQTQLEKWDDVKFHGDRTSKGH LMAERKSCSSRTGSKELLWSAEHRSQPELSTGKSALNSESASELELVAPAQARLTKEHRW GSALLSRNHSLEEEFERAKAAVESDTEFWDKMQAEWEEMARRNWISENQEAQNQVTVSAS EKGYYFHTENPFKDWPGAFEEGLKRLKEGDLPVTILFMEAAILQDPGDAEAWQFLGITQA ENENEQAAIVALQRCLELQPNNLKALMALAVSYTNTSHQQDACEALKNWIKQNPKYKYLV KNKKGSPGLTRRMSKSPVDSSVLEGVKELYLEAAHQNGDMIDPDLQTGLGVLFHLSGEFN RAIDAFNAALTVRPEDYSLWNRLGATLANGDRSEEAVEAYTRALEIQPGFIRSRYNLGIS CINLGAYREAVSNFLTALSLQRKSRNQQQVPHPAISGNIWAALRIALSLMDQPELFQAAN LGDLDVLLRAFNLDP >ENSMUSP00000119993.2 pep:known chromosome:GRCm38:13:113317084:113370515:1 gene:ENSMUSG00000021763.16 transcript:ENSMUST00000136755.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC067074 description:cDNA sequence BC067074 [Source:MGI Symbol;Acc:MGI:3040697] XSFYGESYVGLSTTEVFPELSLQLRFQTSKPQGLLFLAAGKTDYCLIELLSGILQVKINM GTGQEILLSEQRLRVDDLAWHSLELRYGKDAVLLVIDKHFETTVRLAGGLRNFTFHHGIY IGGRGGLSVPYLDRKIPNFRGCMEDVAFNQREILTSLRSYPGFKKVSEVSLGCNDEFFAG KDEAISFFSSRSYVTFPEWKVHGEGFLRFAVQTGTLQALLLFQSGRGRDFVALEIHEGLL KAHVGRGGTKTQLSSFSLVSDNKWHVVQLKVTGRHVDIMVDEHRERAGLPLQSQAFVSEG PLFMGGLDDRMWETVRGLEVVSMPGKSVRGMSLKGCLRALEANLEKRALRDALVSRDISA GCTSEGLRGTDPSVAGEDLFPPEPSSPTTIPSSPLKIQSGSFLVLNKLEVQEGGRALLGQ RHIEVDVDFMDLGINSSQILFKIQEMPAHGFLQIDAWPAQEMERTFTLSDLRHGKVWYVH DGSEEPTDSFTFWAFSSSKQALLSQLQAPVPHVFNITVLPVNDPPYLKLPEGHLLLFENT KQRLTPRVIQVLDPDTDSRRLRFSVLNNFNSEAGFLENAREPGRAITGFTDMDLQDGNIF YVHRGPRNSRVALRATDGELVSNTVVLRVMAVPWDFEVADRTGVVVPQGGTILITRNNLS VKVNGGQHELDTLYDITHPPQFGQIQHRGSNGEWKPVRTFSQRSIDQSQIRYYSSFKGLQ QENVTDHFKFRVNIEGRVGRELLFPVTVQWLQLTLLKNIPLEISNANKKVLDSGHLQAAA GSVAAPERGLHFKLLIPPKRGRLLLGNKVLKSGSMFSQKNIADSKVSYEPQGMPGQDSQD SFSFSIVVKQVESKDHTFRIDLKADKTHVTITNTGLYVKEGERTIITKSELFVQTLDNHV FQYKVTKSPQHGELKLVSSSASLGSDNRATGFTDEDIVSGRLVYVHDDSEAQWDEFILLA SATGLGQQGGVRSLDSEHTSTEIKVSVSVELKNDEKPVRVVDKIFHVVRDSQRLLTLADL CYHDPDIDFDDGQLLYTRHGIPNGDLVKAADPIRKLYQFRQEDLREGRVLFRHHGPDFAR FLIFVTDGVHYTSSLFEVSVSEAYVRIVNNTGLLVHRGRDSFLTTANLSVTTNQDVRTDH EFEFHIVQPPKHGRLLVNNSTFHSFSQHDLKQGRVIYRYDGDGNLDIFNLTVKVKDAYLD VSIRVQVSSEGRQRSTPILHSRSLIVEEGKPVKLSRGKLQAGNEEDIPSEAVFTVRTPPV HGYLQISTAEDGIAGADVMPTLNFTQQDVDDGHVLYVQTAPGQQKDQFTLDVTKDSRVIR RVEVLLEPIPKWIPLKVQNLTVQEGGSKVLLQDHLQIASKYLEGLDCEFILLEPPKHGYV ESSKFPRVKLMKFSRREVAQELIYYVHDGSEEFLDSLTILANSSELGKQSPPQTLFVTVE SVNDEAPVVTANNILQVWVNSVTEVTRGDLCAGDGDSSPQDLVYWVTPPSNGHLALKSTP GRRIQNFTQAQIDEGQLVFVHTGAMSGGFNFQVTDGLNFAPRQIFSITARALIISLEVNR GLSIFPGSTKPLSSHDLRAVTNDDKAGNRTVTFTVVRSPKLGRLLKMNPDNRTEDVSTFT QCLVSEGLILYQHVDLESTGWASEDSFTFTASSPPAELGPEAFRITISYEVNESGRQSRL RANTGASVKEGDKVLIDQSKLDASNLLLQLPQPQRSSHEIWFQVTALPHHGTIMVGERNI TTGRPYFSQHTVNVFGAAYLHDDSESLADNFTFAVWPNPKNKSTSKPEAGFLEEMFNITI TPVNDQPPELKTKGLRLTVLQGDRLVVGPEILNVEDIDSPPNEIQYEIIRHPNNGFLAMA HDPDATAHHFTQADINNAQVWFIQDRSPSSGVFYFSVTDGKHRPLYKLFHLDVTPISITL VNLTDLLLPQGQNTVPITNTHLSAVTNGRSLQIIYRMTQPLQSGHLLIENQVVNSFGQED LDSGRLSYHMTNLTASEDQLRVSLFTTECNLTEQTLNIRVQPLLWVIANLKVTNRVAHQL RTEDLDATELANRTNSDPMFEVIQPLVHGRLVRRAVDSPVMEEITQFTQTDINQGQLVLE PHANLTGTHTLNDSFTFLLSADHVQPAAGYLAFTIVPPDPLPLQTFTPDVPLFVTGETLV ASVSSQKKLRASIKKQTETPGNLTQGRWQGTDSWGQLSGKEPNIDAKVSPTRVIWPYAAT KVGESLSGGAMQPEMSRHPLVVIIPLAAVFLLLLVTVVALCVWLLSRKEDKPKPLTQPKT NLKSPSTGSRAERSGAIPTVTVTPLIRSSLAPSLFQTQSYDRPAPLGAEPVEKCAPWDAW VNLDPDMAKLCRQTNPALKHNQYWV >ENSMUSP00000131959.1 pep:known chromosome:GRCm38:13:113351603:113379711:1 gene:ENSMUSG00000021763.16 transcript:ENSMUST00000135096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC067074 description:cDNA sequence BC067074 [Source:MGI Symbol;Acc:MGI:3040697] XKPLSSHDLRAVTNDDKAGNRTVTFTVVRSPKLGRLLKMNPDNRTEDVSTFTQCLVSEGL ILYQHVDLESTGWASEDSFTFTASSPPAELGPEAFRITISYEVNESGRQSRLRANTVQPS HTVLPRAAPRLLECHRQEEQMMVIETVRGPFGPAFHIV >ENSMUSP00000077297.7 pep:known chromosome:GRCm38:13:113342548:113370512:1 gene:ENSMUSG00000021763.16 transcript:ENSMUST00000078163.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC067074 description:cDNA sequence BC067074 [Source:MGI Symbol;Acc:MGI:3040697] LVFVHTGAMSGGFNFQVTDGLNFAPRQIFSITARALIISLEVNRGLSIFPGSTKPLSSHD LRAVTNDDKAGNRTVTFTVVRSPKLGRLLKMNPDNRTEDVSTFTQCLVSEGLILYQHVDL ESTGWASEDSFTFTASSPPAELGPEAFRITISYEVNESGRQSRLRANTGASVKEGDKVLI DQSKLDASNLLLQLPQPQRSSHEIWFQVTALPHHGTIMVGERNITTGRPYFSQHTVNVFG AAYLHDDSESLADNFTFAVWPNPKNKSTSKPEAGFLEEMFNITITPVNDQPPELKTKGLR LTVLQGDRLVVGPEILNVEDIDSPPNEIQYEIIRHPNNGFLAMAHDPDATAHHFTQADIN NAQVWFIQDRSPSSGVFYFSVTDGKHRPLYKLFHLDVTPISITLVNLTDLLLPQGQNTVP ITNTHLSAVTNGRSLQIIYRMTQPLQSGHLLIENQVVNSFGQEDLDSGRLSYHMTNLTAS EDQLRVSLFTTECNLTEQTLNIRVQPLLWVIANLKVTNRVAHQLRTEDLDATELANRTNS DPMFEVIQPLVHGRLVRRAVDSPVMEEITQFTQTDINQGQLVLEPHANLTGTHTLNDSFT FLLSADHVQPAAGYLAFTIVPPDPLPLQTFTPDVPLFVTGETLVASVSSQKKLRASIKKQ TETPGNLTQGRWQGTDSWGQLSGKEPNIDAKVSPTRVIWPYAATKVGESLSGGAMQPEMS RHPLVVIIPLAAVFLLLLVTVVALCVWLLSRKEDKPKPLTQPKTNLKSPSTGSRAERSGA IPTVTVTPLIRSSLAPSLFQTQSYDRPLWGRSLWRNVLLGTHG >ENSMUSP00000097629.4 pep:known chromosome:GRCm38:16:22059009:22163299:-1 gene:ENSMUSG00000033581.16 transcript:ENSMUST00000100052.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2bp2 description:insulin-like growth factor 2 mRNA binding protein 2 [Source:MGI Symbol;Acc:MGI:1890358] MMNKLYIGNLSPAVTADDLRQLFGDRKLPLAGQVLLKSGYAFVDYPDQNWAIRAIETLSG KVELHGKIMEVDYSVSKKLRSRRIQIRNIPPHLQWEVLDGLLAEYGTVENVEQVNTDTET AVVNVTYMTREEAKLAIEKLSGHQFEDYSFKISYIPDEEVSSPSPPHRAREQGHGPGSSS QARQIDFPLRILVPTQFVGAIIGKEGLTIKNITKQTQSRVDIHRKENSGAAEKPVTIHAT PEGTSEACRMILEIMQKEADETKLAEEVPLKILAHNGFVGRLIGKEGRNLKKIEHETGTK ITISSLQDLSIYNPERTITVRGTIEACANAEIEIMKKLREAFENDMLAVNQQANLIPGLN LSALGIFSTGLSVLPPPAGPRGVPPSPPYHPFATHSGYFSSLYPHHHFGPFPHHHSYPEQ ETVSLFIPTQAVGAIIGKKGAHIKQLARFAGASIKIAPAEGPDVSERMVIITGPPEAQFK AQGRIFGKLKEENFFNPKEEVKLEAHIRVPSSTAGRVIGKGGKTVNELQNLTSAEVIVPR DQTPDENEEVIVRIIGHFFASQTAQRKIREIVQQVKQQEQRYPQGVAPQRSK >ENSMUSP00000111037.1 pep:known chromosome:GRCm38:16:22059460:22161450:-1 gene:ENSMUSG00000033581.16 transcript:ENSMUST00000115379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2bp2 description:insulin-like growth factor 2 mRNA binding protein 2 [Source:MGI Symbol;Acc:MGI:1890358] MEVDYSVSKKLRSRRIQIRNIPPHLQWEVLDGLLAEYGTVENVEQVNTDTETAVVNVTYM TREEAKLAIEKLSGHQFEDYSFKISYIPDEEVSSPSPPHRAREQGHGPGSSSQARQIDFP LRILVPTQFVGAIIGKEGLTIKNITKQTQSRVDIHRKENSGAAEKPVTIHATPEGTSEAC RMILEIMQKEADETKLAEEVPLKILAHNGFVGRLIGKEGRNLKKIEHETGTKITISSLQD LSIYNPERTITVRGTIEACANAEIEIMKKLREAFENDMLAVNQQANLIPGLNLSALGIFS TGLSVLPPPAGPRGVPPSPPYHPFATHSGYFSSLYPHHHFGPFPHHHSYPEQETVSLFIP TQAVGAIIGKKGAHIKQLARFAGASIKIAPAEGPDVSERMVIITGPPEAQFKAQGRIFGK LKEENFFNPKEEVKLEAHIRVPSSTAGRVIGKGGKTVNELQNLTSAEVIVPRDQTPDENE EVIVRIIGHFFASQTAQRKIREIVQQVKQQEQRYPQGVAPQRSK >ENSMUSP00000007249.8 pep:known chromosome:GRCm38:17:34914470:34930356:1 gene:ENSMUSG00000007034.15 transcript:ENSMUST00000007249.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc44a4 description:solute carrier family 44, member 4 [Source:MGI Symbol;Acc:MGI:1917379] MGRKQNENEAHGNSAKYDPSFRGPIKNRGCTDIICCVLFLIFILGYIIVGLVAWVYGDPR QVLYPRNSTGAYCGVGDNKDKPYVLYFDILSCAAAINIISIAENGLQCPTPQVCVSSCPL APWAVEVFQFSKTVGEVYGERRNFCLPAVSPDMIVEESLQKGLCPRFLLPSTPALGRCFP LPNINFTLPEDLRINNTTVSNGISGLLDSINARDVSVKIFEDFAQSWYWILVALGVALAL SLLFILLLRLVAAPLVLLLIVGVLAVLAYGIYHCWQQYQVFRDKGASITQLGFTTNFSAY QSVKETWLAALIVLAVLEGILLLMLIFLRQRIRIAIALLKEASRAVGQMMSTMFYPLVTF VLLVICIGYWAVTALYLATSGQPQYIYWASNTSTPGCENVPVNMTCDPMAPLNSSCPNLK CVFKGYSTTGLAQRSLFNLQIYGVLGLFWTVNWVLALGQCVLAGAFASFYWAFHKPRDIP TFPLSSAFIRTLRYHTGSLAFGALILSLVQIARVILEYIDHKLRGSQNPVARCIICCFKC CLWCLEKFIKFLNRNAYIMIAIYGKNFCVSAKNAFMLLMRNVLRVVVLDKVTDLLLFFGK LLVVGGVGVLSFFFFSGRIKGLGKDFENPNLNYYWLPIMTSIMGAYVIASGFFSVFGMCV DTLFLCFLEDLERNDGSQERPYYMPKALLKILGKKNEAPTGGKTRKK >ENSMUSP00000132965.1 pep:known chromosome:GRCm38:17:34917719:34930436:1 gene:ENSMUSG00000007034.15 transcript:ENSMUST00000169230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc44a4 description:solute carrier family 44, member 4 [Source:MGI Symbol;Acc:MGI:1917379] MIVEESLQKGLCPRFLLPSTPALGRCFPLPNINFTLPEDLRINNTTVSNGISGLLDSINA RDVSVKIFEDFAQSWYWILVALGVALALSLLFILLLRLVAAPLVLLLIVGVLAVLAYGIY HCWQQYQVFRDKGASITQLGFTTNFSAYQSVKETWLAALIVLAVLEGILLLMLIFLRQRI RIAIALLKEASRAVGQMMSTMFYPLVTFVLLVICIGYWAVTALYLATSGQPQYIYWASNT STPGCENVPVNMTCDPMAPLNSSCPNLKCVFKGYSTTGLAQRSLFNLQIYGVLGLFWTVN WVLALGQCVLAGAFASFYWAFHKPRDIPTFPLSSAFIRTLRYHTGSLAFGALILSLVQIA RVILEYIDHKLRGSQNPVARCIICCFKCCLWCLEKFIKFLNRNAYIMIAIYGKNFCVSAK NAFMLLMRNVLRVVVLDKVTDLLLFFGKLLVVGGVGVLSFFFFSGRIKGLGKDFENPNLN YYWLPIMTSIMGAYVIASGFFSVFGMCVDTLFLCFLEDLERNDGSQERPYYMPKALLKIL GKKNEAPTGGKTRKK >ENSMUSP00000007317.7 pep:known chromosome:GRCm38:11:100140810:100146120:-1 gene:ENSMUSG00000020911.14 transcript:ENSMUST00000007317.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt19 description:keratin 19 [Source:MGI Symbol;Acc:MGI:96693] MTSYSYRQTSAMSSFGGTGGGSVRIGSGGVFRAPSIHGGSGGRGVSVSSTRFVTSSSGSY GGVRGGSFSGTLAVSDGLLSGNEKITMQNLNDRLASYLDKVRALEQANGELEVKIRDWYQ KQGPGPSRDYNHYFKTIEDLRDKILGATIDNSKIVLQIDNARLAADDFRTKFETEHALRL SVEADINGLRRVLDELTLARTDLEMQIESLKEELAYLKKNHEEEITALRSQVGGQVSVEV DSTPGVDLAKILSEMRSQYEIMAEKNRKDAEATYLARIEELNTQVAVHSEQIQISKTEVT DLRRTLQGLEIELQSQLSMKAALEGTLAETEARYGVQLSQIQSVISGFEAQLSDVRADIE RQNQEYKQLMDIKSRLEQEIATYRSLLEGQEAHYNNLPTPKAI >ENSMUSP00000129313.1 pep:known chromosome:GRCm38:17:18914322:18948071:1 gene:ENSMUSG00000091491.1 transcript:ENSMUST00000168710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r97 description:vomeronasal 2, receptor 97 [Source:MGI Symbol;Acc:MGI:3645271] MFSRIFIFRLLQISNFFSAFSYNISTCYYKISEEFHREGDVVIGAFFPLHTFYSLKKMQH STIPYYYLDNVIQYNFKNYQYILALQFAIEEINGNPNLLPNISLGFDFYNVRFTGKYIHS NTFNWLTALGDGNYIPNYNCKKRNFTAALTGTSWITSAQIGTLLQLFKFPQISFGPYDLL LSDRGQYPYLYQMAPKDTSLPLAIVSLIVHFRWSWVGLILPDDHKGNKILSDFREEMERN GICLAFVKMTSETWTSYVAKFWENMHETNVTIIYGENDSLEGIIRNIEQRLLTWNVWIMN IEHHVIDRADYFMLDSFHGSLIFKHNYRENFEFTKFIQTVNPNKYPEDIYLPKLWYLFFK CSFSDTNCHVLENCQTNASLDVFPRHIFDVAMNAESTIIYNGVYAVAHSLHEMRLQQLQM QTYENHHGIVLFPWQVITFLLYFIVSTLVKDKRSLDWRQIRDTEYDILNIWNLPKGLGLK VKIGSFSANAPQGQQLSLSEQMIQWPTIFSEIPQSVCSESCGPGFRKVTLEGKAICCYKC TPCADNEISNETDVDKCVKCQERHYANREKSNCFQKSVSFLAYEDPLGMVLASIAICLSA LTVFVIGIFMKHRDTPIVKANNRALSYILLITLTFCFLCSLNFIGKPTTASCILQQTTFA VAFTMALATVLAKAITVVLAFKVSFPGRMVRWLMISRGPNYIIPICTLIQLLICGIWMAT SPPFIDQDAHTEYGHIIILCNKGSAVAFHSVLGYLCLMALGSYAMAFLSRNLPDTFNESK FLSFSMLVFFCVWVTFLPVYHSTKGKVMVAMEVFSILASSTALLIFIFGPKCYIILLRPD KNSFNHIRKKTPTRKKNFPKI >ENSMUSP00000017946.5 pep:known chromosome:GRCm38:11:101096513:101119893:-1 gene:ENSMUSG00000017802.14 transcript:ENSMUST00000017946.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam134c description:family with sequence similarity 134, member C [Source:MGI Symbol;Acc:MGI:1915248] MEEAEGVAAAPGPASGLAFRGRRAMSGSWERDQQVEAAQRTLVEVLGPYEPLLSRVQAAL VWERPARSALWCLGLNAAFWFFALTSLRFVFLLAFSLMIIVCIDQWKNKIWPEINVPRPD ALDNESWGFVHPRLLSVPELCHHVAEVWVSGTIFIRNLLLFKKQNPGKFCLLSCGVLTFL AMLGRYIPGLLLSYLMLVIIMMWPLAVYHRLWDRAYVRLKPVLQRLDFSVRGYMMSKQRE RQLRRRALHSERATDSHSDSEEELAAFCPQLDDSTVARELAITDSEHSDAEVSCTENGTF NLSRGQTPLTEGSEDLDGHSDPEESFARDLPDFPSINVDPAGLDDEDDTSIGMPSLMYRS PPGAGDTQVLPASRNEAALPELLLSSLPGGSNLTSNLASLVSQGMIQLALSEASQTDPSG PPPRRATRGFLRAPSSDLDTDAEGDDFELLDQSELNQLDPASSRSH >ENSMUSP00000102916.3 pep:known chromosome:GRCm38:11:101096322:101119843:-1 gene:ENSMUSG00000017802.14 transcript:ENSMUST00000107295.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam134c description:family with sequence similarity 134, member C [Source:MGI Symbol;Acc:MGI:1915248] MLGRYIPGLLLSYLMLVIIMMWPLAVYHRLWDRAYVRLKPVLQRLDFSVRGYMMSKQRER QLRRRALHSERATDSHSDSEEELAAFCPQLDDSTVARELAITDSEHSDAEVSCTENGTFN LSRGQTPLTEGSEDLDGHSDPEESFARDLPDFPSINVDPAGLDDEDDTSIGMPSLMYRSP PGAGDTQVLPASRNEAALPELLLSSLPGGSNLTSNLASLVSQGMIQLALSEASQTDPSGP PPRRATRGFLRAPSSDLDTDAEGDDFELLDQSELNQLDPASSRSH >ENSMUSP00000039418.7 pep:known chromosome:GRCm38:6:113623959:113631633:1 gene:ENSMUSG00000033933.13 transcript:ENSMUST00000035673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vhl description:von Hippel-Lindau tumor suppressor [Source:MGI Symbol;Acc:MGI:103223] MPRKAASPEEAAGEPGPEEMEAGRPRPVLRSVNSREPSQVIFCNRSPRVVLPLWLNFDGE PQPYPILPPGTGRRIHSYRGHLWLFRDAGTHDGLLVNQTELFVPSLNVDGQPIFANITLP VYTLKERCLQVVRSLVKPENYRRLDIVRSLYEDLEDYPSVRKDIQRLSQEHLESQHLEEE P >ENSMUSP00000145326.1 pep:known chromosome:GRCm38:6:113629507:113631627:1 gene:ENSMUSG00000033933.13 transcript:ENSMUST00000204915.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vhl description:von Hippel-Lindau tumor suppressor [Source:MGI Symbol;Acc:MGI:103223] KDIQRLSQEHLESQHLEEEP >ENSMUSP00000037459.5 pep:known chromosome:GRCm38:3:10339953:10351317:-1 gene:ENSMUSG00000039795.14 transcript:ENSMUST00000037839.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand1 description:zinc finger, AN1-type domain 1 [Source:MGI Symbol;Acc:MGI:1913611] MAELDIGQHCQVQHCRQRDFLPFVCDGCSGIFCLEHRSKDSHGCSEVNVVKERPKTDEHK SYSCSFKGCTDVELVAVICPYCEKNFCLRHRHQSDHDCEKLEVAKPRMAATQKLVRDIVD AKTGGAASKGRKGAKSSGTAAKVALMKLKMHADGDKSLPQTERTYFQVYLPKGSKEKSKA MFFCLRWSIGKVVDFAASLANLRNENNKLTAKKLRLCHVPSGEALPLDHTLERWITKEEC PLYNGGNVILEYLNDEEQFLKNVDSYLE >ENSMUSP00000104014.1 pep:known chromosome:GRCm38:3:10340619:10351313:-1 gene:ENSMUSG00000039795.14 transcript:ENSMUST00000108377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand1 description:zinc finger, AN1-type domain 1 [Source:MGI Symbol;Acc:MGI:1913611] MAELDIGQHCQVQHCRQRDFLPFVCDGCSGIFCLEHRSKDSHGCSEVNVVKERPKTDEHK SYSCSFKGCTDVELVAVICPYCEKNFCLRHRHQSDHDCEKLEVAKPRMAATQKLVRDIVD AKTGGAASKGRKGAKSSGTAAKVALMKLKMHADGDKSLPQKEHTSRSTCQRGARKKAKPC SFACGGASGRLWTLQLLSLISGMKTTS >ENSMUSP00000139317.1 pep:known chromosome:GRCm38:3:10340682:10351317:-1 gene:ENSMUSG00000039795.14 transcript:ENSMUST00000184644.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfand1 description:zinc finger, AN1-type domain 1 [Source:MGI Symbol;Acc:MGI:1913611] MAELDIGQHCQVQHCRQRGDAGSPIFIRGRPGPGSDGRGGGGGFSSICV >ENSMUSP00000122048.1 pep:known chromosome:GRCm38:3:10340817:10348625:-1 gene:ENSMUSG00000039795.14 transcript:ENSMUST00000140634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand1 description:zinc finger, AN1-type domain 1 [Source:MGI Symbol;Acc:MGI:1913611] NKQTNKTTSKSDTNQDLLYFPLILDFLPFVCDGCSGIFCLEHRSKDSHGCSEVNVVKERP KTDEHKSYSCSFKGCTDVELVAVICPYCEKNFCLRHRHQSDHDCEKLEVAKPRMAATQKL VRDIVDAKTGGAASKGRKGAKSSGTAAKVALMKLKMHADGDKSLPQTERTYFQVYLPKGS KEKSKAMFFCLRWSIGKVVDFAASLANLRNENNKLTAKKLRLCHVPSGEALPLDHTLERW ITKEECP >ENSMUSP00000051441.7 pep:known chromosome:GRCm38:12:37241641:37582202:1 gene:ENSMUSG00000050103.17 transcript:ENSMUST00000049874.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agmo description:alkylglycerol monooxygenase [Source:MGI Symbol;Acc:MGI:2442495] MRSPGAQDNVSVSQGMRAMFYMMEPSETAFQTVEEVPDYVKKATPFFIFLILLELVISWI LKGKPSGRLDDALTSISAGVVSRLPSLFFRSLEVTSYIYIWENYRLLELPWDSTWTWYFT FLGVDFGYYWFHRMAHEINIFWAAHQAHHSSEDYNLSTALRQSVLQQYSSWVFYCPLALF IPPSVFAVHIQFNLLYQFWIHTEIIRTLGPLEVILNTPSHHRVHHGRNRYCIDKNYAGTL IIWDRIFGTFEAENEQVIYGLTHPIGTFEPFNVQFHHLLYIWTTFWTTPGFCHKFSVLFK GPGWGPGKPRLGLSEEIPEVTGQEVPFSSSASQLLKIYTVLQFAVMLAFYEETFANTAVL SQVTLLLRIFFFILTLTSIGFLLDQRSKAATMETFRCLLFLTLHRFGHLKPLIPSLSFAF EIFFSVCIAFWGVRSITQLTSGSWKKP >ENSMUSP00000125639.1 pep:known chromosome:GRCm38:12:37242042:37565393:1 gene:ENSMUSG00000050103.17 transcript:ENSMUST00000160390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agmo description:alkylglycerol monooxygenase [Source:MGI Symbol;Acc:MGI:2442495] MRSPGAQDNVSVSQGMRAMFYMMEPSETAFQTVEEVPDYVKKATPFFIFLILLELVISWI LKGKPSGRLDDALTSISAGVVSRLPSLFFRSLEVTSYIYIWENYRLLELPWDSTWTWYFT FLGVDFGYYWFHRMAHEINIFWAAHQAHHSSEDYNLSTALRQSVLQQYSSWVFYCPLALF IPPSVFAVHIQFNLLYQFWIHTEIIRTLGPLEVILNTPSHHRVHHGRNRYCIDKNYAGTL IIWDRIFGTFEAENEQVIYGLTHPIGTFEPFNVQFHHLLYIWTTFWTTPGFCHKFSVLFK GPGWGPGKPRLGLSEEIPEVTGQEVPFSSSASQLLKIYTVLQFAVMLAFYEETFANTAVL SQVTLLLRIFFFILTLTSIGFLLDQRSKAATMETFRCLLFLTLHRFGHLKPLIPSLSFAF EGWCTEVWSSALPPS >ENSMUSP00000123801.1 pep:known chromosome:GRCm38:12:37241860:37421747:1 gene:ENSMUSG00000050103.17 transcript:ENSMUST00000159998.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agmo description:alkylglycerol monooxygenase [Source:MGI Symbol;Acc:MGI:2442495] MRSPGAQDNVSVSQGMRAMFYMMEPSETAFQTVEEVPDYVKKATPFFIFLILLELVISWI LKGKPSGRLDDALTSISAGVVSRLPSLFFRSLEVTSYIYIWENYRLLELPWDSTWTWYFT FLGVDFGYYWFHRMAHEINIFWAAHQAHHSSEDYNLSTALRQSVLQQYSSWVFYCPLALF IPPSVFAVHIQFNLLYQFWIHTEIIRTLGPLEVILNTPSHHRVHHGRNRYCIDKNYAGTL IIWDRIFGTFEAENEQVIYGLTHPIGTFEPFNVQFHHLLYIWTTFWTTPGFCHKFSVLFK GPGWGPGKPRLGLSEEIPEVTGQEVPFSSSASQLLKIYTVLQFAVMLAFYEETFANTAVL SQVTLLLRIFFFILTLTSIGFLLDQRSKAATMETFRCLLFLTLHRFGHLKPLIPSLSFAF EIPQLSVLTFVVT >ENSMUSP00000124044.1 pep:known chromosome:GRCm38:12:37241750:37244503:1 gene:ENSMUSG00000050103.17 transcript:ENSMUST00000160768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agmo description:alkylglycerol monooxygenase [Source:MGI Symbol;Acc:MGI:2442495] MRSPGAQDNVSVSQGMRAMFYMMEPSETAFQTVEEVPDYVKKATPFFIFLILLELVISWI LKGKPSGRLDDALTSISAGVVSRLPRFLLEIKLCSRQISTNISLGIRYFSMYNI >ENSMUSP00000061834.7 pep:known chromosome:GRCm38:14:70154405:70159502:-1 gene:ENSMUSG00000044551.13 transcript:ENSMUST00000058240.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930012K11Rik description:RIKEN cDNA 9930012K11 gene [Source:MGI Symbol;Acc:MGI:2145726] MLSRRRVFAVERLGGRDGAFEDLAQGCVVPGVTCTYRRIPDNTHECSLDFREGQNELRGL ERQMPLLKLASQDSGMEMVVGDSSLATLSGLSQDSLNLEPMGSPELPPAQLDRLLARQKL EEVLERSREFPSLSAQRGPLQLLNKPVDGVPIFAGEQESTEADTELEAGLEEAKEVGNME SAACTCLPGQGLRYLEHLCLVLEQMVRLQQLYLQLQTQRPSRDPEEEVLAPALSSSHIPD NRVQEHREELSQTKDPEGAEAASLPEVGVLVTSPSRLPEALLEPTHILPPSQEPKQDLSH WDKVKVLLNRLRWRSPRLPEPPVPPDGSGSRMEFRNLSDRTPCHSQRKTFIPALVVKKPR AKNLSV >ENSMUSP00000122309.1 pep:known chromosome:GRCm38:14:70154405:70159502:-1 gene:ENSMUSG00000044551.13 transcript:ENSMUST00000153871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930012K11Rik description:RIKEN cDNA 9930012K11 gene [Source:MGI Symbol;Acc:MGI:2145726] MLSRRRVFAVERLGGRDGAFEDLAQGCVVPGVTCTYRRIPDNTHECSLDFREGQNELRGL ERQMPLLKLASQDSGMEMVVGDSSLATLSGLSQDSLNLEPMGSPELPPAQLDRLLARQKL EEVLERSREFPSLSAQRGPLQLLNKPVDGVPIFAGEQESTEADTELEAGLEEAKEVGNME SAACTCLPGQGLRYLEHLCLVLEQMVRLQQLYLQLQTQRPSRDPEEEVLAPALSSSHIPD NRVQEHREELSQTKDPEGAEAASLPEVGVLVTSPSRLPEALLEPTHILPPSQEPKDLSHW DKVKVLLNRLRWRSPRLPEPPVPPDGSGSRMEFRNLSDRTPCHSQRKTFIPALVVKKPRA KNLSV >ENSMUSP00000121604.1 pep:known chromosome:GRCm38:14:70154898:70156467:-1 gene:ENSMUSG00000044551.13 transcript:ENSMUST00000129767.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:9930012K11Rik description:RIKEN cDNA 9930012K11 gene [Source:MGI Symbol;Acc:MGI:2145726] DNRVQEHREELSQTKDPAGSLPLG >ENSMUSP00000016309.9 pep:known chromosome:GRCm38:1:74288247:74304405:-1 gene:ENSMUSG00000006301.17 transcript:ENSMUST00000016309.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim1 description:transmembrane BAX inhibitor motif containing 1 [Source:MGI Symbol;Acc:MGI:1916910] MSNPSAPPPYEDHNPLYPGSPPPGGYGQPSVLPGGYPAYPAYPQPGYGHPAGYPQPVPPV HPMPMNYGHDYNEEERAGSDSFRPGEWDDRKVRHSFIQKVYCIISVQLLITVAIIAIFTF VEPVGKYVRNNVAVYYVSYAVFLVTYLTLACCQGPRRRFPWNIILLTIFTLALGFVTGTI SSMYETKAVIIAMIITAVVSISVTIFCFQTKVDFTSCTGLFCVLGIVLMVTGIVTSIVLI FKYIYWLHMVYAALGAICFTLFLAYDTQLVLGNRKHTISPEDYITGALQIYTDIVYIFTF VLQLVGSRD >ENSMUSP00000109427.1 pep:known chromosome:GRCm38:1:74288253:74304361:-1 gene:ENSMUSG00000006301.17 transcript:ENSMUST00000113796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim1 description:transmembrane BAX inhibitor motif containing 1 [Source:MGI Symbol;Acc:MGI:1916910] MSNPSAPPPYEDHNPLYPGSPPPGGYGQPSVLPGGYPAYPAYPQPGYGHPAGYPQPVPPV HPMPMNYGHDYNEEERAGSDSFRPGEWDDRKVRHSFIQKVYCIISVQLLITVAIIAIFTF VEPVGKYVRNNVAVYYVSYAVFLVTYLTLACCQGPRRRFPWNIILLTIFTLALGFVTGTI SSMYETKAVIIAMIITAVVSISVTIFCFQTKVDFTSCTGLFCVLGIVLMVTGIVTSIVLI FKYIYWLHMVYAALGAICFTLFLAYDTQLVLGNRKHTISPEDYITGALQIYTDIVYIFTF VLQLVGSRD >ENSMUSP00000122874.1 pep:known chromosome:GRCm38:1:74289300:74291228:-1 gene:ENSMUSG00000006301.17 transcript:ENSMUST00000128505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim1 description:transmembrane BAX inhibitor motif containing 1 [Source:MGI Symbol;Acc:MGI:1916910] XVTIFCFQTKVDFTSCTGLFCVLGIVLMVTGIVTSIVLIFKYDLEARWGSWRGSFPTCLS SWSPRVSWVSKACFGYALRGSLMPYCAILQIYWLHMVYAALGAICFTLFLAYDTQLVLGN RKHTISPEDYITGALQIYTDIVYIFTFVLQLVGSRD >ENSMUSP00000121814.1 pep:known chromosome:GRCm38:1:74293910:74305622:-1 gene:ENSMUSG00000006301.17 transcript:ENSMUST00000130763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim1 description:transmembrane BAX inhibitor motif containing 1 [Source:MGI Symbol;Acc:MGI:1916910] MSNPSAPPPYEDHNPLYPGSPPPGGYGQPSVLPGGYPAYPAYPQPGYGHPAGYPQPVPPV HPMPMNYGHDYNEEERAGSDSFRPGEWDDRKVRHSFIQ >ENSMUSP00000115444.1 pep:known chromosome:GRCm38:1:74293927:74304120:-1 gene:ENSMUSG00000006301.17 transcript:ENSMUST00000141560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim1 description:transmembrane BAX inhibitor motif containing 1 [Source:MGI Symbol;Acc:MGI:1916910] MSNPSAPPPYEDHNPLYPGSPPPGGYGQPSVLPGGYPAYPAYPQPGYGHPAGYPQPVPPV HPMPMNYGHDYNEEERAGSDSFRPGEWDDRKVR >ENSMUSP00000069647.4 pep:known chromosome:GRCm38:7:8244348:8260599:-1 gene:ENSMUSG00000053720.4 transcript:ENSMUST00000066317.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r43 description:vomeronasal 2, receptor 43 [Source:MGI Symbol;Acc:MGI:3037819] MLTLMGVFFFLNIPLFMANFIDPRCFWRINLNEIQDEYLGLTCAFILAAVQKPIEKDYFN KTLNFLKTTKNHKYALALVFSMDEINRNPDLLPNMSLIIRYTLGRCDGKTGIPTPYLLHK KKQSPIPNYFCNEESMCSFLLTGPNWEILQLTYGPFHSTFSDDEQYPYLYQMAPKDTSLA LAMVSFIHYFNWNWIGLLIPDDDQGNQFLLELKKQSGNKKICFAFVKMISVDDILLEQKT EMYYQQIVMSSSNVIIIYEETINFIDLIFRMWEPPVLRRIWITTKQWNFPTSKRDITHGT FYGSLTFLPHRGGISGFKNFVQTWFHLRSKDLYLVMPEWKYFKYESLASNCKMLKSNSSN ASFDWLMEQKFDMAFSESSHNIYNAVYVVAHALHEMNLQQVDNQAVDNGKGASSHCLKVN SFLRKIHFTNPVGDKVIMKQRVIMQEDYDIFHFENLSQHLGIKVKLGKFSPYFSHGRNFH LYVDMLEVATGSRKMPSSVCSAECSPGFRRLWKEGMAACCFVCRPCPENEISNETNMDQC VNCPEYQYANTEQNKCIKKGVTFLSYEDPLGMVLALMAFCFSAFTAVVLCVFVKHHDTPI VKANNRILSYILLLSLMSCFLCSFFFIGHPNRATCVLQQITFGIVFTVAVSTVLAKTVTV VLAFKVTDPGRRLRHFLVSGTPNYIIPICSLFQCVLCAIWLAVSPPFVDIDEHSQHGHII IVCNKGSVTAFYCVLGYLACLALGSFIVAFLAKNLPDTFNEAKFLTFSMLVFFSVWVTFL PVYHSTKGKVMVAVEIFSILASSAGMLGCIFAPKIYIILMRPERNSTQNIKESNSTQKIK EKSNS >ENSMUSP00000088041.5 pep:known chromosome:GRCm38:3:108424865:108445198:-1 gene:ENSMUSG00000068739.13 transcript:ENSMUST00000090553.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sars description:seryl-aminoacyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:102809] MVLDLDLFRVDKGGDPALIRETQEKRFKDPGLVDQLVKADSEWRRCRFRADNLNKLKNLC SKTIGEKMKKKEAVGDDESVPENVLNFDDLTADALAALKVSQIKKVRLLIDEAIQKCDGE RVKLEAERFENLREIGNLLHPSVPISNDEDADNKVERIWGDCTVRKKYSHVDLVVMVDGF EGEKGAVVAGSRGYFLKGPLVFLEQALIQYALRTLGSRGYTPIYTPFFMRKEVMQEVAQL SQFDEELYKVIGKGSEKSDDNSYDEKYLIATSEQPIAALHRDEWLRPEDLPIKYAGLSTC FRQEVGSHGRDTRGIFRVHQFEKIEQFVYSSPHDNKSWEMFDEMIATAEEFYQSLGIPYH IVNIVSGSLNHAASKKLDLEAWFPGSGAFRELVSCSNCTDYQARRLRIRYGQTKKMMDKV EFVHMLNATMCATTRTICAILENYQAEKGIAVPEKLREFMPPGLQELIPFVKPAPIDQEP SKKQKKQHEGSKKKAKEVPLENQLQSMEVTEA >ENSMUSP00000099685.4 pep:known chromosome:GRCm38:3:108426612:108445169:-1 gene:ENSMUSG00000068739.13 transcript:ENSMUST00000102625.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sars description:seryl-aminoacyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:102809] MVLDLDLFRVDKGGDPALIRETQEKRFKDPGLVDQLVKADSEWRRCRFRADNLNKLKNLC SKTIGEKMKKKEAVGDDESVPENVLNFDDLTADALAALKVSQIKKVRLLIDEAIQKCDGE RVKLEAERFENLREIGNLLHPSVPISNDEDADNKVERIWGDCTVRKKYSHVDLVVMVDGF EGEKGAVVAGSRGYFLKGPLVFLEQALIQYALRTLGSRGYTPIYTPFFMRKEVMQEVAQL SQFDEELYKVIGKGSEKSDDNSYDEKYLIATSEQPIAALHRDEWLRPEDLPIKYAGLSTC FRQEVGSHGRDTRGIFRVHQFEKIEQFVYSSPHDNKSWEMFDEMIATAEEFYQSLGIPYH IVNIVSGSLNHAASKKLDLEAWFPGSGAFRELVSCSNCTDYQARRLRIRYGQTKKMMDKK KNNLRFSTQNKLKAMSSLFSPKKVEFVHMLNATMCATTRTICAILENYQAEKGIAVPEKL REFMPPGLQELIPFVKPAPIDQEPSKKQKKQHEGSKKKAKEVPLENQLQSMEVTEA >ENSMUSP00000120879.1 pep:known chromosome:GRCm38:3:108428231:108445143:-1 gene:ENSMUSG00000068739.13 transcript:ENSMUST00000132467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sars description:seryl-aminoacyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:102809] MVLDLDLFRVDKGGDPALIRETQEKRFKDPGLVDQLVKADSEWRRCRFRADNLNKLKNLC SKTIGEKMKKKEAVGDDESVPENVLNFDDLTADALAALKVSQIKKVRLLIDEAIQKCDGE RVKLEAERFENLREIGNLLHPSVPISNDEGPLVFLEQALIQYALRTLGSRGYTPIYTPFF MRKEVMQEVAQLSQFDEELYKVIGKGSEKSDDNSYDEKYLIATSEQPIAALHRDEWLRPE DLPIKYAGLSTCFRQEVGSHGRDTRGIFRVHQFEKIEQFVYSSPHDNKSWEMFDEMIATA EEFYQSLGIPYHIVNIVSGSLNHAASKKLDLEAWFPGSGAFRELVSCSNCTD >ENSMUSP00000118676.1 pep:known chromosome:GRCm38:3:108429350:108445209:-1 gene:ENSMUSG00000068739.13 transcript:ENSMUST00000153499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sars description:seryl-aminoacyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:102809] MVLDLDLFRVDKGGDPALIRETQEKRFKDPGLVDQLVKADSEWRRCRFRADNLNKLKNLC SKTIGEKMKKKEAVGDDESVPENVLNFDDLTADALAALKVSQIKKVRLLIDEAIQKCDGE RVKLEAERFENLREIGNLLHPSVPISNDEVIGKGSEKSDDNSYDEKYLIATSEQPIAALH RDEWLRP >ENSMUSP00000030510.7 pep:known chromosome:GRCm38:4:139653538:139670280:1 gene:ENSMUSG00000028738.14 transcript:ENSMUST00000030510.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas1r2 description:taste receptor, type 1, member 2 [Source:MGI Symbol;Acc:MGI:1933546] MGPQARTLHLLFLLLHALPKPVMLVGNSDFHLAGDYLLGGLFTLHANVKSVSHLSYLQVP KCNEYNMKVLGYNLMQAMRFAVEEINNCSSLLPGVLLGYEMVDVCYLSNNIQPGLYFLSQ IDDFLPILKDYSQYRPQVVAVIGPDNSESAITVSNILSYFLVPQVTYSAITDKLRDKRRF PAMLRTVPSATHHIEAMVQLMVHFQWNWIVVLVSDDDYGRENSHLLSQRLTNTGDICIAF QEVLPVPEPNQAVRPEEQDQLDNILDKLRRTSARVVVIFSPELSLHNFFREVLRWNFTGF VWIASESWAIDPVLHNLTELRHTGTFLGVTIQRVSIPGFSQFRVRHDKPEYPMPNETSLR TTCNQDCDACMNITESFNNVLMLSGERVVYSVYSAVYAVAHTLHRLLHCNQVRCTKQIVY PWQLLREIWHVNFTLLGNQLFFDEQGDMPMLLDIIQWQWGLSQNPFQSIASYSPTETRLT YISNVSWYTPNNTVPISMCSKSCQPGQMKKPIGLHPCCFECVDCPPGTYLNRSVDEFNCL SCPGSMWSYKNNIACFKRRLAFLEWHEVPTIVVTILAALGFISTLAILLIFWRHFQTPMV RSAGGPMCFLMLVPLLLAFGMVPVYVGPPTVFSCFCRQAFFTVCFSVCLSCITVRSFQIV CVFKMARRLPSAYGFWMRYHGPYVFVAFITAVKVALVAGNMLATTINPIGRTDPDDPNII ILSCHPNYRNGLLFNTSMDLLLSVLGFSFAYVGKELPTNYNEAKFITLSMTFSFTSSISL CTFMSVHDGVLVTIMDLLVTVLNFLAIGLGYFGPKCYMILFYPERNTSAYFNSMIQGYTM RKS >ENSMUSP00000127737.1 pep:known chromosome:GRCm38:4:139653549:139669855:1 gene:ENSMUSG00000028738.14 transcript:ENSMUST00000166773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas1r2 description:taste receptor, type 1, member 2 [Source:MGI Symbol;Acc:MGI:1933546] MGPQARTLHLLFLLLHALPKPVMLVGNSDFHLAGDYLLGGLFTLHANVKSVSHLSYLQVP KCNEYNMKVLGYNLMQAMRFAVEEINNCSSLLPGVLLGYEMVDVCYLSNNIQPGLYFLSQ IDDFLPILKDYSQYRPQVVAVIGPDNSESAITVSNILSYFLVPQVTYSAITDKLRDKRRF PAMLRTVPSATHHIEAMVQLMVHFQWNWIVVLEVLPVPEPNQAVRPEEQDQLDNILDKLR RTSARVVVIFSPELSLHNFFREVLRWNFTGFVWIASESWAIDPVLHNLTELRHTGTFLGV TIQRVSIPGFSQFRVRHDKPEYPMPNETSLRTTCNQDCDACMNITESFNNVLMLSGERVV YSVYSAVYAVAHTLHRLLHCNQVRCTKQIVYPWQLLREIWHVNFTLLGNQLFFDEQGDMP MLLDIIQWQWGLSQNPFQSIASYSPTETRLTYISNVSWYTPNNTVPISMCSKSCQPGQMK KPIGLHPCCFECVDCPPGTYLNRSVDEFNCLSCPGSMWSYKNNIACFKRRLAFLEWHEVP TIVVTILAALGFISTLAILLIFWRHFQTPMVRSAGGPMCFLMLVPLLLAFGMVPVYVGPP TVFSCFCRQAFFTVCFSVCLSCITVRSFQIVCVFKMARRLPSAYGFWMRYHGPYVFVAFI TAVKVALVAGNMLATTINPIGRTDPDDPNIIILSCHPNYRNGLLFNTSMDLLLSVLGFSF AYVGKELPTNYNEAKFITLSMTFSFTSSISLCTFMSVHDGVLVTIMDLLVTVLNFLAIGL GYFGPKCYMILFYPERNTSAYFNSMIQGYTMRKS >ENSMUSP00000092432.4 pep:known chromosome:GRCm38:5:37446825:37717171:-1 gene:ENSMUSG00000029123.8 transcript:ENSMUST00000094836.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk32b description:serine/threonine kinase 32B [Source:MGI Symbol;Acc:MGI:1927552] MGGNHSHKPPVFDENEEVNFDHFQILRAIGKGSFGKVCIVQKRDTKKMYAMKYMNKQKCV ERDEVRNVFRELQIMQGLEHPFLVNLWYSFQDEEDMFMVVDLLLGGDLRYHLQQNVHFTE GTVKLYICELALALEYLQRYHIIHRDIKPDNILLDEHGHVHITDFNIATVLKGSEKASSM AGTKPYMAPEVFQVYVDGGPGYSYPVDWWSLGVTAYELLRGWRPYEIHSATPIDEILNMF KVERVHYSSTWCEGMVSLLKKLLTKDPESRLSSLRDIQSMTYLADMNWDAVFEKALMPGF VPNKGRLNCDPTFELEEMILESKPLHKKKKRLAKHRSRDSTKDSCPLNGHLQQCLETVRK EFIIFNREKLRRQQGHNGQLSDLDGRIGSQTSSKLQDGRNNNILTHTCPRGCSS >ENSMUSP00000112779.1 pep:known chromosome:GRCm38:5:129715497:129722556:1 gene:ENSMUSG00000034211.14 transcript:ENSMUST00000119576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps17 description:mitochondrial ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1913508] MSIVRSSVHAKWVVGKVIGTAMIKTAKVRATRLVLDPYLLKYFNKRKTYFAHDALQQCSV GDIVLLRALPVPRSKHVKHELAEIIFKVGRVIDPVTGKPCAGTAYLESPLSEPREELKVS >ENSMUSP00000040281.5 pep:known chromosome:GRCm38:5:129715503:129718480:1 gene:ENSMUSG00000034211.14 transcript:ENSMUST00000042191.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps17 description:mitochondrial ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1913508] MSIVRSSVHAKWVVGKVIGTAMIKTAKVRATRLVLDPYLLKYFNKRKTYFAHDALQQCSV GDIVLLRALPVPRSKHVKHELAEIIFKVGRVIDPVTGKPCAGTAYLESPLSEPREELKVS >ENSMUSP00000112993.1 pep:known chromosome:GRCm38:5:129715504:129718397:1 gene:ENSMUSG00000034211.14 transcript:ENSMUST00000118420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps17 description:mitochondrial ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1913508] MSIVRSSVHAKWVVGKVIGTAMIKTAKVRATRLVLDPYLLKYFNKRKTYFAHDALQQCSV GDIVLLRALPVPRSKHVKHELAEIIFKVGRVIDPVTGKPCAGTAYLESPLSEPREELKVS >ENSMUSP00000115189.2 pep:known chromosome:GRCm38:5:129715509:129718019:1 gene:ENSMUSG00000034211.14 transcript:ENSMUST00000154358.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps17 description:mitochondrial ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1913508] MSIVRSSVHAKWVVGKVIGTAMIKTAKVRATRLVLDPYLLKYFNKRKTYFAHDALQQCSV GDIVLLRALPVPRSK >ENSMUSP00000114125.1 pep:known chromosome:GRCm38:5:129715511:129718875:1 gene:ENSMUSG00000034211.14 transcript:ENSMUST00000121339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps17 description:mitochondrial ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1913508] MSIVRSSVHAKWVVGKVIGTAMIKTAKVRATRLVLDPYLLKYFNKRKTYFAHDALQQCSV GDIVLLRALPVPRSKHVKHELAEIIFKVGRVIDPVTGKPCAGTAYLESPLSEPREELKVS >ENSMUSP00000114012.1 pep:known chromosome:GRCm38:5:129715516:129718691:1 gene:ENSMUSG00000034211.14 transcript:ENSMUST00000119604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps17 description:mitochondrial ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1913508] MSIVRSSVHAKWVVGKVIGTAMIKTAKVRATRLVLDPYLLKYFNKRKTYFAHDALQQCSV GDIVLLRALPVPRSKHVKHELAEIIFKVGRVIDPVTGKPCAGTAYLESPLSEPREELKVS >ENSMUSP00000122093.1 pep:known chromosome:GRCm38:5:129715534:129718054:1 gene:ENSMUSG00000034211.14 transcript:ENSMUST00000136108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps17 description:mitochondrial ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1913508] MSIVRSSVHAKWVVGKVIGTAMIKTAKVRATRLVLDPYLLKYFNKRKTYFAHDALQQCSV GDIVLLRALPVPRSKHVKHELAEIIFK >ENSMUSP00000114059.1 pep:known chromosome:GRCm38:5:129715558:129718290:1 gene:ENSMUSG00000034211.14 transcript:ENSMUST00000121813.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps17 description:mitochondrial ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1913508] MSIVRSSVHAKWVVGKVIGTAMIKTAKVRATRLVLDPYLLKYFNKRKTYFAHDALQQCSV GDIVLLRALPVPRSKHVKHELAEIIFKVGRVIDPVTGKPCAGTAYLESPLSEPREELKVS >ENSMUSP00000112762.1 pep:known chromosome:GRCm38:5:129715577:129718447:1 gene:ENSMUSG00000034211.14 transcript:ENSMUST00000119985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps17 description:mitochondrial ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1913508] MSIVRSSVHAKWVVGKVIGTAMIKTAKVRATRLVLDPYLLKYFNKRKTYFAHDALQQCSV GDIVLLRALPVPRSKHVKHELAEIIFKVGRVIDPVTGKPCAGTAYLESPLSEPREELKVS >ENSMUSP00000115097.1 pep:known chromosome:GRCm38:5:129715697:129716833:1 gene:ENSMUSG00000034211.14 transcript:ENSMUST00000138812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps17 description:mitochondrial ribosomal protein S17 [Source:MGI Symbol;Acc:MGI:1913508] MSIVRSSVHAKWVVGKVIGTAMIKTAKVRA >ENSMUSP00000043821.9 pep:known chromosome:GRCm38:4:139622866:139649690:1 gene:ENSMUSG00000028737.15 transcript:ENSMUST00000039818.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh4a1 description:aldehyde dehydrogenase 4 family, member A1 [Source:MGI Symbol;Acc:MGI:2443883] MLPLPSLRRSLLSHAWRGAGLRWKHTSSLKVTNEPILAFSQGSPERDALQKALKDLKGQM EAIPCVVGDEEVWTSDIQYQLSPFNHAHKVAKFCYADKALLNRAIDAALAARKEWDLKPM ADRAQVFLKAADMLSGPRRAEVLAKTMVGQGKTVIQAEIDAAAELIDFFRFNAKFAVELE GEQPISVPPSTNHTVYRGLEGFVAAISPFNFTAIGGNLAGAPALMGNVVLWKPSDTAMLA SYAVYRILREAGLPPNIIQFVPADGPTFGDTVTSSEHLCGINFTGSVPTFKHLWRQVAQN LDRFRTFPRLAGECGGKNFHFVHSSADVDSVVSGTLRSAFEYGGQKCSACSRLYVPKSLW PQIKGRLLEEHSRIKVGDPAEDFGTFFSAVIDAKAFARIKKWLEHARSSPSLSILAGGQC NESVGYYVEPCIIESKDPQEPIMKEEIFGPVLTVYVYPDDKYRETLQLVDSTTSYGLTGA VFAQDKAIVQEATRMLRNAAGNFYINDKSTGSVVGQQPFGGARASGTNDKPGGPHYILRW TSPQVIKETHKPLGDWRYSYMQ >ENSMUSP00000136547.1 pep:known chromosome:GRCm38:7:25077205:25083492:1 gene:ENSMUSG00000045252.11 transcript:ENSMUST00000179556.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp574 description:zinc finger protein 574 [Source:MGI Symbol;Acc:MGI:2442951] MTEESEETVLYIEHRYVCSECNQLYGSLEEVLVHQNSHVPQQHFELVGVADPGVTVATEA ASGTGLYQTLIQESQYQCLECGQLLLSPSQLLEHQELHLKMMAPQEAVPAKPPPKVPPLG SSAIHYECVDCKALFASQEMWLSHRQTHLRATPNKAPAPVVLGSPVVLGPPVGQARVAVE HSYRKAEEGGEGAAVPSVAATTEMVTEVELLLYKCSECSQLFQMPADFLEHQATHFPAPV PEAAEPATQQETQVPSPTEAAVSQPEPLPASDHSYELRNELRNGEAIGRDRRGRKPRRNN SGESGGAATQELFCSACDQLFLSPHQLQQHLRSHREGVFKCPLCSRVFPSPSSLDQHLGD HSSESHFLCVDCGLAFGTEALLLAHRRAHTPNPLHSCPCGKTFVNLTKFLYHRRTHGAGG VPLPTTPVPPEEPAISFPEPAPAETGELEAPELPVCEESSAEPAAPGSYRCLLCSREFGK ALQLTRHQRFVHRLERRHKCSICGKMFKKKSHVRNHLRTHTGERPFPCPDCSKPFNSPAN LARHRLTHTGERPYRCGDCGKAFTQSSTLRQHRLVHAQHFPYRCQECGVRFHRPYRLLMH RYHHTGEYPYKCRECPRSFLLRRLLEVHQLVIHAGRQPYRCSSCGAAFPSSLRLREHRCA AAAAQAPRRFECGTCGKKVGSAARLQAHEAAHAAAGPGEVLAKEPPAPRASRATRTPVAP SPTALSGTTSAAPAAPARRRGPECSECKKLFSTETSLQVHRRIHTGERPYPCPDCGKAFR QSTHLKDHRRLHTGERPFACEVCGKAFAISMRLAEHRRIHTGERPYSCPDCGKSYRSFSN LWKHRKTHQQQHQAAVRQQLAEAEAAVGLAVMETAVEALPLVEAIEIYPLAEADGVQISG >ENSMUSP00000057817.9 pep:known chromosome:GRCm38:7:25077284:25082480:1 gene:ENSMUSG00000045252.11 transcript:ENSMUST00000053410.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp574 description:zinc finger protein 574 [Source:MGI Symbol;Acc:MGI:2442951] MTEESEETVLYIEHRYVCSECNQLYGSLEEVLVHQNSHVPQQHFELVGVADPGVTVATEA ASGTGLYQTLIQESQYQCLECGQLLLSPSQLLEHQELHLKMMAPQEAVPAKPPPKVPPLG SSAIHYECVDCKALFASQEMWLSHRQTHLRATPNKAPAPVVLGSPVVLGPPVGQARVAVE HSYRKAEEGGEGAAVPSVAATTEMVTEVELLLYKCSECSQLFQMPADFLEHQATHFPAPV PEAAEPATQQETQVPSPTEAAVSQPEPLPASDHSYELRNELRNGEAIGRDRRGRKPRRNN SGESGGAATQELFCSACDQLFLSPHQLQQHLRSHREGVFKCPLCSRVFPSPSSLDQHLGD HSSESHFLCVDCGLAFGTEALLLAHRRAHTPNPLHSCPCGKTFVNLTKFLYHRRTHGAGG VPLPTTPVPPEEPAISFPEPAPAETGELEAPELPVCEESSAEPAAPGSYRCLLCSREFGK ALQLTRHQRFVHRLERRHKCSICGKMFKKKSHVRNHLRTHTGERPFPCPDCSKPFNSPAN LARHRLTHTGERPYRCGDCGKAFTQSSTLRQHRLVHAQHFPYRCQECGVRFHRPYRLLMH RYHHTGEYPYKCRECPRSFLLRRLLEVHQLVIHAGRQPYRCSSCGAAFPSSLRLREHRCA AAAAQAPRRFECGTCGKKVGSAARLQAHEAAHAAAGPGEVLAKEPPAPRASRATRTPVAP SPTALSGTTSAAPAAPARRRGPECSECKKLFSTETSLQVHRRIHTGERPYPCPDCGKAFR QSTHLKDHRRLHTGERPFACEVCGKAFAISMRLAEHRRIHTGERPYSCPDCGKSYRSFSN LWKHRKTHQQQHQAAVRQQLAEAEAAVGLAVMETAVEALPLVEAIEIYPLAEADGVQISG >ENSMUSP00000045864.8 pep:known chromosome:GRCm38:3:27182965:27244958:1 gene:ENSMUSG00000027698.14 transcript:ENSMUST00000046515.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nceh1 description:neutral cholesterol ester hydrolase 1 [Source:MGI Symbol;Acc:MGI:2443191] MRSSCVLLAALLALAAYYVYIPLPSAVSDPWKLMLLDATFRGAQQVSNLIHSLGLNHHLI ALNFIITSFGKQSARSSPKVKVTDTDFDGVEVRVFEGSPKPEEPLRRSVIYIHGGGWALA SAKISYYDQLCTTMAEELNAVIVSIEYRLVPQVYFPEQIHDVIRATKYFLQPEVLDKYKV DPGRVGISGDSAGGNLAAALGQQFTYVASLKNKLKLQALVYPVLQALDFNTPSYQQSMNT PILPRHVMVRYWLDYFKGNYDFVEAMIVNNHTSLDVERAAALRARLDWTSLLPSSIKKNY KPIMQTTGNARIVQEIPQLLDAAASPLIAEQEVLEALPKTYILTCEHDVLRDDGIMYAKR LESAGVNVTLDHFEDGFHGCMIFTSWPTNFSVGIRTRNSYIKWLDQNL >ENSMUSP00000115209.1 pep:known chromosome:GRCm38:3:27183004:27222723:1 gene:ENSMUSG00000027698.14 transcript:ENSMUST00000138947.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nceh1 description:neutral cholesterol ester hydrolase 1 [Source:MGI Symbol;Acc:MGI:2443191] MRSSCVLLAALLALAAYYVYIPLPSAVSDPWKLMLLDATFRGAQQVDFQCL >ENSMUSP00000088829.4 pep:known chromosome:GRCm38:3:27183004:27284608:1 gene:ENSMUSG00000027698.14 transcript:ENSMUST00000091284.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nceh1 description:neutral cholesterol ester hydrolase 1 [Source:MGI Symbol;Acc:MGI:2443191] MRSSCVLLAALLALAAYYVYIPLPSAVSDPWKLMLLDATFRGAQQVSNLIHSLGLNHHLI ALNFIITSFGKQSARSSPKVKVTDTDFDGVEVRVFEGSPKPEEPLRRSVIYIHGGGWALA SAKISYYDQLCTTMAEELNAVIVSIEISQALKSLCLGGCFWTPDIPTAPSQVVQITGMST YECRSTVRPEEGARSLELL >ENSMUSP00000056888.8 pep:known chromosome:GRCm38:X:153498227:153501570:1 gene:ENSMUSG00000050148.9 transcript:ENSMUST00000060714.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubqln2 description:ubiquilin 2 [Source:MGI Symbol;Acc:MGI:1860283] MAENGESSGPPRPSRGPAAAPGAASPPAEPKIIKVTVKTPKEKEEFAVPENSTVQQFKEA ISKRFKSQTDQLVLIFAGKILKDQDTLMQHGIHDGLTVHLVIKSQNRPQGQATTQPSTTA GTSTTTTTTTTAAAPAATTSSAPRSSSTPTTTNSSSFGLGSLSSLSNLGLNSPNFTELQN QMQQQLLASPEMMIQIMENPFVQSMLSNPDLMRQLIMANPQMQQLIQRNPEISHLLNNPD IMRQTLEIARNPAMMQEMMRNQDLALSNLESIPGGYNALRRMYTDIQEPMLNAAQEQFGG NPFATVGSSSTSGEGTQPSRTENRDPLPNPWAPPPTTQTAATTTTTTTTSSGSGSGSSSS STTAGNTMAAANYVASIFSTPGMQSLLQQITENPQLIQNMLSAPYMRSMMQSLSQNPDMA AQMMLSSPLFTSNPQLQEQMRPQLPNFLQQMQNPETIAAMSNPRAMQALMQIQQGLQTLA TEAPGLIPSFAPGVGMGVLGTAITPVGPVTPIGPIGPIVPFTPIGPIGPIGPTGPASSPG STGTGIPPATTVSSSAPTETISPTSESGPNQQFIQQMVQALTGGSPPQPPNPEVRFQQQL EQLNAMGFLNREANLQALIATGGDINAAIERLLGSQPS >ENSMUSP00000109962.1 pep:known chromosome:GRCm38:6:56777524:56797736:-1 gene:ENSMUSG00000059486.11 transcript:ENSMUST00000114323.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd2 description:kelch repeat and BTB (POZ) domain containing 2 [Source:MGI Symbol;Acc:MGI:2384811] MSTQEERQINTEYAVSLLEQLKLFYEQQLFTDIVLIVEGTEFPCHKMVLATCSSYFRAMF MSGLSESKQTHVHLRNVDAAALQMIIAYAYTGNLAVNDSTVEQLYETACFLQVEDVLQRC REYLIKKINAENCVRLLSFADLFSCEELKQSAKRMVEHKFTAVYRQEAFMQLSHDLLIDI LSSDNLNVEKEETVREAAMLWLEYNTESRSQYLSSVLSQIRIDALSEVTQRAWFQGLPPN DKSVVVQGLYKSMPKFFKPRLGMTKEEMMIFIEASSENPCSLYSSVCYSPQAEKVYKLCS PPADLHKVGTVVTPDNDIYIAGGQVPLKNTKTNHSKTSKLQTAFRTVNCFYWFDAQQNTW FPKTPMLFVRVKPSLVCCEGYIYAIGGDSVGGELNRRTVERYDTEKDEWTMVSPLPCAWQ WSAAVVVHDCIYVMTLNLMYCYFPRSDSWVEMAMRQTSRSFASAAAFGDKIFYIGGLHIA TNSGIRLPSGTVDGSSVTVEIYDVNKNEWKMAANIPAKRYSDPCVRAVVISNSLCVFMRE THLNERAKYVTYQYDLELDRWSLRQHISERVLWDLGRDFRCTVGKLYPSCLEESPWKPPT YLFSPDGTEEFELDGEMVALPPV >ENSMUSP00000109960.1 pep:known chromosome:GRCm38:6:56777525:56797813:-1 gene:ENSMUSG00000059486.11 transcript:ENSMUST00000114321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd2 description:kelch repeat and BTB (POZ) domain containing 2 [Source:MGI Symbol;Acc:MGI:2384811] MSTQEERQINTEYAVSLLEQLKLFYEQQLFTDIVLIVEGTEFPCHKMVLATCSSYFRAMF MSGLSESKQTHVHLRNVDAAALQMIIAYAYTGNLAVNDSTVEQLYETACFLQVEDVLQRC REYLIKKINAENCVRLLSFADLFSCEELKQSAKRMVEHKFTAVYRQEAFMQLSHDLLIDI LSSDNLNVEKEETVREAAMLWLEYNTESRSQYLSSVLSQIRIDALSEVTQRAWFQGLPPN DKSVVVQGLYKSMPKFFKPRLGMTKEEMMIFIEASSENPCSLYSSVCYSPQAEKVYKLCS PPADLHKVGTVVTPDNDIYIAGGQVPLKNTKTNHSKTSKLQTAFRTVNCFYWFDAQQNTW FPKTPMLFVRVKPSLVCCEGYIYAIGGDSVGGELNRRTVERYDTEKDEWTMVSPLPCAWQ WSAAVVVHDCIYVMTLNLMYCYFPRSDSWVEMAMRQTSRSFASAAAFGDKIFYIGGLHIA TNSGIRLPSGTVDGSSVTVEIYDVNKNEWKMAANIPAKRYSDPCVRAVVISNSLCVFMRE THLNERAKYVTYQYDLELDRWSLRQHISERVLWDLGRDFRCTVGKLYPSCLEESPWKPPT YLFSPDGTEEFELDGEMVALPPV >ENSMUSP00000122266.1 pep:known chromosome:GRCm38:6:56789378:56797279:-1 gene:ENSMUSG00000059486.11 transcript:ENSMUST00000151308.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd2 description:kelch repeat and BTB (POZ) domain containing 2 [Source:MGI Symbol;Acc:MGI:2384811] MST >ENSMUSP00000082952.5 pep:known chromosome:GRCm38:1:180893108:180899103:1 gene:ENSMUSG00000066652.5 transcript:ENSMUST00000085797.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lefty2 description:left-right determination factor 2 [Source:MGI Symbol;Acc:MGI:2443573] MKSLWLCWALWVLPLAGPGAAMTEEQVLSSLLQQLQLSQAPTLDSADVEEMAIPTHVRSQ YVALLQGSHADRSRGKRFSQNFREVAGRFLMSETSTHLLVFGMEQRLPPNSELVQAVLRL FQEPVPRTALRRFERLSPHSARARVTIEWLRVREDGSNRTALIDSRLVSIHESGWKAFDV TEAVNFWQQLSRPRQPLLLQVSVQREHLGPGTWSAHKLVRFAAQGTPDGKGQGEPQLELH TLDLKDYGAQGNCDPEVPVTEGTRCCRQEMYLDLQGMKWAENWILEPPGFLTYECVGSCL QLPESLTIGWPFLGPRQCVASEMTSLPMIVSVKEGGRTRPQVVSLPNMRVQTCSCASDGA LIPRGIDL >ENSMUSP00000088740.6 pep:known chromosome:GRCm38:13:113794505:114157461:1 gene:ENSMUSG00000042348.9 transcript:ENSMUST00000091201.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl15 description:ADP-ribosylation factor-like 15 [Source:MGI Symbol;Acc:MGI:2442308] MSDLRITEAFLYMDYLCFRALCCKGPPPARPEYDLVCIGLTGSGKTSLLSELCSESPENV VSTTGFSIKAVPFQNAVLNVKELGGADNIRKYWSRYYQGSQGVIFVLDSASSEDDLETAR NELHSALQHPQLCTLPFLILANHQDKPAARSVQEIKKYFELEPLARGKRWILQPCSLDDV DTLKDSFSQLINLLEEKDHEAVRM >ENSMUSP00000100503.2 pep:known chromosome:GRCm38:19:29808400:29812974:-1 gene:ENSMUSG00000074909.4 transcript:ENSMUST00000099525.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp6 description:RAN binding protein 6 [Source:MGI Symbol;Acc:MGI:2683212] MAAAGSAGLPATVSEKQEFYQLLKNLINPSCMVRRQAEEVYENIPGLCKTTFLLDAVRNR RAGYEVRQMAAALLRRLLSSGFEEVYPNLPPEVQRDVKIELILAVKLETHASMRKKLCDI FAVLARNLIDESGTNHWPEGLKFLIDSIHSKNVVLWEVALHVFWHFPGIFGNQDRHDLDI IKRLLDQCIQDQEHPAIRTLSARAAATFVLANENNIALFKDFADLLPGILQAVNDSCYQD DDSVLESLVEIADTVPKYLGPYLEDTLQLSLKLCGDSRLSNLQRQLALEVIVTLSETATP MLKKHTNIIAQAVPHILAMMVDLQDDDDWVNADEMEEDDFDSNAVAAESALDRLACGLGG KVVLPMTKEHIMQMLQSPDWKCRHAGLMALSAIGEGCHQQMEPILDETVNSVLLFLQDPH PRVRAAACTTLGQMATDFAPSFQKKFHEIVITALLRTMENQGNQRVQSHAASALVIFIED CPKSLLILYLENMVKSLHSILVIKLQELIRNGTKLALEQLVTTIASVADAIEESFIPYYD IFMPSLKHVVELAVQKELKLLRGKTIECISHVGLAVGKEKFMQDASNVMQLLLKTQSDLN NMEDDDPQTSYMVSAWARMCKILGKDFEQYLPLVIEPLIKTASAKPDVALLDTQDVENMS DDDGWQFVNLGDQQSFGIKTSGLEAKATACQMLVYYAKELREGFVEYTEQVVKMMVPLLK FYFHDNVRVAAAEAMPFLLECARIRGSEYLSQMWQFICDPLIKAIGTEPDTDVLSEIMNS FAKSIEVMGDGCLNDEHLEELGGILKAKLEGHFKNQELRQVKRQEENYDQQVEMSLQDED ECDVYILTKVSDILHSLFSTYKEKILPWFEQLLPLIVNLICSSRPWPDRQWGLCIFDDII EHCSPTSFKYVEYFRWPMLLNMRDNNPEVRQAAAYGLGVMAQFGGDDYRSLCSEAVPLLV KVIKCANSKTKKNVIATENCISAIGKILKFKPNCVNVDEVLPHWLSWLPLHEDKEEAIQT LNFLCDLIESNHPVVIGPNNSNLPKIISIIAEGKINETISHEDPCAKRLANVVRQIQTSE ELWLECTSQLDDEQQEALHELLSFA >ENSMUSP00000001963.7 pep:known chromosome:GRCm38:11:105967945:105989964:1 gene:ENSMUSG00000020681.14 transcript:ENSMUST00000001963.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ace description:angiotensin I converting enzyme (peptidyl-dipeptidase A) 1 [Source:MGI Symbol;Acc:MGI:87874] MGAASGQRGRWPLSPPLLMLSLLVLLLQPSPAPALDPGLQPGNFSPDEAGAQLFAESYNS SAEVVMFQSTVASWAHDTNITEENARRQEEAALVSQEFAEVWGKKAKELYESIWQNFTDS KLRRIIGSIRTLGPANLPLAQRQQYNSLLSNMSRIYSTGKVCFPNKTATCWSLDPELTNI LASSRSYAKLLFAWEGWHDAVGIPLKPLYQDFTAISNEAYRQDDFSDTGAFWRSWYESPS FEESLEHIYHQLEPLYLNLHAYVRRALHRRYGDKYVNLRGPIPAHLLGDMWAQSWENIYD MVVPFPDKPNLDVTSTMVQKGWNATHMFRVSEEFFTSLGLSPMPPEFWAESMLEKPTDGR EVVCHASAWDFYNRKDFRIKQCTRVTMEQLATVHHEMGHVQYYLQYKDLHVSLRRGANPG FHEAIGDVLALSVSTPAHLHKIGLLDHVTNDIESDINYLLKMALEKIAFLPFGYLVDQWR WGVFSGRTPPSRYNFDWWYLRTKYQGICPPVARNETHFDAGAKFHIPNVTPYIRYFVSFV LQFQFHQALCKEAGHQGPLHQCDIYQSAQAGAKLKQVLQAGCSRPWQEVLKDLVGSDALD AKALLEYFQPVSQWLEEQNQRNGEVLGWPENQWRPPLPDNYPEGIDLETDEAKADRFVEE YDRTAQVLLNEYAEANWQYNTNITIEGSKILLEKSTEVSNHTLKYGTRAKTFDVSNFQNS SIKRIIKKLQNLDRAVLPPKELEEYNQILLDMETTYSLSNICYTNGTCMPLEPDLTNMMA TSRKYEELLWAWKSWRDKVGRAILPFFPKYVEFSNKIAKLNGYTDAGDSWRSLYESDNLE QDLEKLYQELQPLYLNLHAYVRRSLHRHYGSEYINLDGPIPAHLLGNMWAQTWSNIYDLV APFPSAPNIDATEAMIKQGWTPRRIFKEADNFFTSLGLLPVPPEFWNKSMLEKPTDGREV VCHPSAWDFYNGKDFRIKQCTSVNMEDLVIAHHEMGHIQYFMQYKDLPVTFREGANPGFH EAIGDIMALSVSTPKHLYSLNLLSTEGSGYEYDINFLMKMALDKIAFIPFSYLIDQWRWR VFDGSITKENYNQEWWSLRLKYQGLCPPVPRSQGDFDPGSKFHVPANVPYVRYFVSFIIQ FQFHEALCRAAGHTGPLHKCDIYQSKEAGKLLADAMKLGYSKPWPEAMKLITGQPNMSAS AMMNYFKPLTEWLVTENRRHGETLGWPEYNWAPNTARAEGSTAESNRVNFLGLYLEPQQA RVGQWVLLFLGVALLVATVGLAHRLYNIRNHHSLRRPHRGPQFGSEVELRHS >ENSMUSP00000119826.1 pep:known chromosome:GRCm38:11:105971323:105989260:1 gene:ENSMUSG00000020681.14 transcript:ENSMUST00000132280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ace description:angiotensin I converting enzyme (peptidyl-dipeptidase A) 1 [Source:MGI Symbol;Acc:MGI:87874] XWYESPSFEESLEHIYHQLEPLYLNLHAYVRRALHRRYGDKYVNLRGPIPAHLLGDMWAQ SWENIYDMVVPFPDKPNLDVTSTMVQKGWNATHMFRVSEEFFTSLGLSPMPPEFWAESML EKPTDGREVVCHASAWDFYNRKDFRIKQCTRVTMEQLATVHHEMGHVQYYLQYKDLHVSL RRGANPGFHEAIGDVLALSVSTPAHLHKIGLLDHVTNDIESDINYLLKMALEKIAFLPFG YLVDQWRWGVFSGRTPPSRYNFDWWYLRTKYQGICPPVARNETHFDAGAKFHIPNVTPYI RYFVSFVLQFQFHQALCKEAGHQGPLHQCDIYQSAQAGAKLKQVLQAGCSRPWQEVLKDL VGSDALDAKALLEYFQPVSQWLEEQNQRNGEVLGWPENQWRPPLPDNYPEGIDLETDEAK ADRFVEEYDRTAQVLLNEYAEANWQYNTNITIEGSKILLEKSTEVSNHTLKYGTRAKTFD VSNFQNSSIKRIIKKLQNLDRAVLPPKELEEYNQILLDMETTYSLSNICYTNGTCMPLEP DLTNMMATSRKYEELLWAWKSWRDKVGRAILPFFPKYVEFSNKIAKLNGYTDAGDSWRSL YESDNLEQDLEKLYQELQPLYLNLHAYVRRSLHRHYGSEYINLDGPIPAHLLGNMWAQTW SNIYDLVAPFPSAPNIDATEAMIKQGWTPRRIFKEADNFFTSLGLLPVPPEFWNKSMLEK PTDGREVVCHPSAWDFYNGKDFRIKQCTSVNMEDLVIAHHEMGHIQYFMQYKDLPVTFRE GANPGFHEAIGDIMALSVSTPKHLYSLNLLSTEGSGYEYDINFLMKMALDKIAFIPFSYL IDQWRWRVFDGSITKENYNQEWWSLRLKYQGLCPPVPRSQGDFDPGSKFHVPANVPYVRY FVSFIIQFQFHEALCRAAGHTGPLHKCDIYQSKEAGKLLADAMKLGYSKPWPEAMKLITG QPNMSASAMMNYFKPLTEWLVTENRRHGETLGWPEYNWAPNTGTTPTLPPAPGPSS >ENSMUSP00000001964.7 pep:known chromosome:GRCm38:11:105975208:105989253:1 gene:ENSMUSG00000020681.14 transcript:ENSMUST00000001964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ace description:angiotensin I converting enzyme (peptidyl-dipeptidase A) 1 [Source:MGI Symbol;Acc:MGI:87874] MGQGWATPGLPSFLFLLLCCGHHLLVLSQVATDHVTANQGITNQATTRSQTTTHQATIDQ TTQIPNLETDEAKADRFVEEYDRTAQVLLNEYAEANWQYNTNITIEGSKILLEKSTEVSN HTLKYGTRAKTFDVSNFQNSSIKRIIKKLQNLDRAVLPPKELEEYNQILLDMETTYSLSN ICYTNGTCMPLEPDLTNMMATSRKYEELLWAWKSWRDKVGRAILPFFPKYVEFSNKIAKL NGYTDAGDSWRSLYESDNLEQDLEKLYQELQPLYLNLHAYVRRSLHRHYGSEYINLDGPI PAHLLGNMWAQTWSNIYDLVAPFPSAPNIDATEAMIKQGWTPRRIFKEADNFFTSLGLLP VPPEFWNKSMLEKPTDGREVVCHPSAWDFYNGKDFRIKQCTSVNMEDLVIAHHEMGHIQY FMQYKDLPVTFREGANPGFHEAIGDIMALSVSTPKHLYSLNLLSTEGSGYEYDINFLMKM ALDKIAFIPFSYLIDQWRWRVFDGSITKENYNQEWWSLRLKYQGLCPPVPRSQGDFDPGS KFHVPANVPYVRYFVSFIIQFQFHEALCRAAGHTGPLHKCDIYQSKEAGKLLADAMKLGY SKPWPEAMKLITGQPNMSASAMMNYFKPLTEWLVTENRRHGETLGWPEYNWAPNTARAEG STAESNRVNFLGLYLEPQQARVGQWVLLFLGVALLVATVGLAHRLYNIRNHHSLRRPHRG PQFGSEVELRHS >ENSMUSP00000136776.1 pep:known chromosome:GRCm38:4:139603186:139662691:1 gene:ENSMUSG00000094439.1 transcript:ENSMUST00000178644.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21969 description:predicted gene 21969 [Source:MGI Symbol;Acc:MGI:5439438] MNLQTMVDTLQEAAQEAEAIQEEMNEKIERLKAELVVFKGLMSDPMTDLDTKIQEKAMKV DMDICRRIDITAKLCDVAQQRNSEDVSKIFQVVPKKKDRKVASDEDISEQDGEVNRFSDE EVGSMNITDEMKRMFNQLRETFDFDDDCDSLTWEENEDTLLLWEDFTNCNPTIDLQGEQE ENLGNLIHETESFFKTRDKEYQETIGQIELELATAKSDMNRHLHEYMEMCSMKRGLDVQM ETCRRLIKGSADRNSPSPSSVASSDSGSTDEIQEDLEREADVEPMLGCG >ENSMUSP00000019130.7 pep:known chromosome:GRCm38:11:83191330:83215154:1 gene:ENSMUSG00000018986.9 transcript:ENSMUST00000019130.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn3 description:schlafen 3 [Source:MGI Symbol;Acc:MGI:1329005] MKDIQLRRKEAKSILQAVCTLLNSGGGVVKAHIKNQNYSFTRDGMGLDLVNSLPGIMHLP HDYLDFMQHKDYFFVFVKPLKPNQKGPGITTLKTNLYRRINSISDEVKVANAVQLLKSRT DPEEKAESRPSSPGKIVCNETLNECLSLFNRDWLAYEETFCFTKSIHAEVKLTPKEKIFP KEKILELLPQTVSAFANTDGGFLFIGLDGKTQQIIGFEAEKSNLVLLESEIEKCIQQLPV THFCGEKEKIKYTCKFIEVRKSGAVCAYVCALRVERFCCAVFAAEPESWHVEGGCVKRFT TEEWVKRQMDATAVMPGKVICCPEALYMKPFSQHEGYEHLVRTELGSLLKGTLVISKSWA LDLGLQEKQEVIWDVLHISQGSLLTLYVFVQGDENLEGNSSLLGELGAELKGYYKQIALT LKQMLLNHCGYTAEIGIIVKITYLGHKTMCLYDTSTKIRYPQKYYLSAKAVKDLEKALVE ILGSYESFYSLPRRNWDSFMSAFLNVGSYIVPVLRNV >ENSMUSP00000144617.1 pep:known chromosome:GRCm38:5:53466152:53649390:1 gene:ENSMUSG00000039191.12 transcript:ENSMUST00000201991.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpj description:recombination signal binding protein for immunoglobulin kappa J region [Source:MGI Symbol;Acc:MGI:96522] MESSCAAGSNFKEIRKFGERPPPKRLTREAMRNYLKERGDQTVLILHAKVAQKSYGNEKR FFCPPPCVYLMGSGWKKKKEQMERDGCSEQESQPCAFIGIGNSDQEMQQLNLEGKNYCTA KTLYISDSDKRKHFMLSVKMFYGNSDDIGVFLSKRIKVISKPSKKKQSLKNADLCIASGT >ENSMUSP00000084618.2 pep:known chromosome:GRCm38:5:53555834:53657358:1 gene:ENSMUSG00000039191.12 transcript:ENSMUST00000087360.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpj description:recombination signal binding protein for immunoglobulin kappa J region [Source:MGI Symbol;Acc:MGI:96522] MLAYRKFGERPPPKRLTREAMRNYLKERGDQTVLILHAKVAQKSYGNEKRFFCPPPCVYL MGSGWKKKKEQMERDGCSEQESQPCAFIGIGNSDQEMQQLNLEGKNYCTAKTLYISDSDK RKHFMLSVKMFYGNSDDIGVFLSKRIKVISKPSKKKQSLKNADLCIASGTKVALFNRLRS QTVSTRYLHVEGGNFHASSQQWGAFYIHLLDDDESEGEEFTVRDGYIHYGQTVKLVCSVT GMALPRLIIRKVDKQTALLDADDPVSQLHKCAFYLKDTERMYLCLSQERIIQFQATPCPK EQNKEMINDGASWTIISTDKAEYTFYEGMGPVLAPVTPVPVVESLQLNGGGDVAMLELTG QNFTPNLRVWFGDVEAETMYRCGESMLCVVPDISAFREGWRWVRQPVQVPVTLVRNDGVI YSTSLTFTYTPEPGPRPHCSAAGAILRANSSQVPSNESNTNSEGNYTNASTNSTSVTSST ATVVS >ENSMUSP00000040694.6 pep:known chromosome:GRCm38:5:53590214:53657362:1 gene:ENSMUSG00000039191.12 transcript:ENSMUST00000037618.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpj description:recombination signal binding protein for immunoglobulin kappa J region [Source:MGI Symbol;Acc:MGI:96522] MPSGFPQSPRTSPRARPKTRITGALPMDYSEGLSAEERPAHAPSAGKFGERPPPKRLTRE AMRNYLKERGDQTVLILHAKVAQKSYGNEKRFFCPPPCVYLMGSGWKKKKEQMERDGCSE QESQPCAFIGIGNSDQEMQQLNLEGKNYCTAKTLYISDSDKRKHFMLSVKMFYGNSDDIG VFLSKRIKVISKPSKKKQSLKNADLCIASGTKVALFNRLRSQTVSTRYLHVEGGNFHASS QQWGAFYIHLLDDDESEGEEFTVRDGYIHYGQTVKLVCSVTGMALPRLIIRKVDKQTALL DADDPVSQLHKCAFYLKDTERMYLCLSQERIIQFQATPCPKEQNKEMINDGASWTIISTD KAEYTFYEGMGPVLAPVTPVPVVESLQLNGGGDVAMLELTGQNFTPNLRVWFGDVEAETM YRCGESMLCVVPDISAFREGWRWVRQPVQVPVTLVRNDGVIYSTSLTFTYTPEPGPRPHC SAAGAILRANSSQVPSNESNTNSEGNYTNASTNSTSVTSSTATVVS >ENSMUSP00000143866.1 pep:known chromosome:GRCm38:5:53590368:53657362:1 gene:ENSMUSG00000039191.12 transcript:ENSMUST00000201912.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpj description:recombination signal binding protein for immunoglobulin kappa J region [Source:MGI Symbol;Acc:MGI:96522] MWLLGFELLTFRRAVGCSYPLSHLTSPKFGERPPPKRLTREAMRNYLKERGDQTVLILHA KVAQKSYGNEKRFFCPPPCVYLMGSGWKKKKEQMERDGCSEQESQPCAFIGIGNSDQEMQ QLNLEGKNYCTAKTLYISDSDKRKHFMLSVKMFYGNSDDIGVFLSKRIKVISKPSKKKQS LKNADLCIASGTKVALFNRLRSQTVSTRYLHVEGGNFHASSQQWGAFYIHLLDDDESEGE EFTVRDGYIHYGQTVKLVCSVTGMALPRLIIRKVDKQTALLDADDPVSQLHKCAFYLKDT ERMYLCLSQERIIQFQATPCPKEQNKEMINDGASWTIISTDKAEYTFYEGMGPVLAPVTP VPVVESLQLNGGGDVAMLELTGQNFTPNLRVWFGDVEAETMYRCGESMLCVVPDISAFRE GWRWVRQPVQVPVTLVRNDGVIYSTSLTFTYTPEPGPRPHCSAAGAILRANSSQVPSNES NTNSEGNYTNASTNSTSVTSSTATVVS >ENSMUSP00000109496.1 pep:known chromosome:GRCm38:5:53590471:53657362:1 gene:ENSMUSG00000039191.12 transcript:ENSMUST00000113865.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpj description:recombination signal binding protein for immunoglobulin kappa J region [Source:MGI Symbol;Acc:MGI:96522] MAPVVTGKFGERPPPKRLTREAMRNYLKERGDQTVLILHAKVAQKSYGNEKRFFCPPPCV YLMGSGWKKKKEQMERDGCSEQESQPCAFIGIGNSDQEMQQLNLEGKNYCTAKTLYISDS DKRKHFMLSVKMFYGNSDDIGVFLSKRIKVISKPSKKKQSLKNADLCIASGTKVALFNRL RSQTVSTRYLHVEGGNFHASSQQWGAFYIHLLDDDESEGEEFTVRDGYIHYGQTVKLVCS VTGMALPRLIIRKVDKQTALLDADDPVSQLHKCAFYLKDTERMYLCLSQERIIQFQATPC PKEQNKEMINDGASWTIISTDKAEYTFYEGMGPVLAPVTPVPVVESLQLNGGGDVAMLEL TGQNFTPNLRVWFGDVEAETMYRCGESMLCVVPDISAFREGWRWVRQPVQVPVTLVRNDG VIYSTSLTFTYTPEPGPRPHCSAAGAILRANSSQVPSNESNTNSEGNYTNASTNSTSVTS STATVVS >ENSMUSP00000143846.1 pep:known chromosome:GRCm38:5:53590981:53656137:1 gene:ENSMUSG00000039191.12 transcript:ENSMUST00000201883.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpj description:recombination signal binding protein for immunoglobulin kappa J region [Source:MGI Symbol;Acc:MGI:96522] MRNYLKERGDQTVLILHAKVAQKSYGNEKRFFCPPPCVYLMGSGWKKKKEQMERDGCSEQ ESQPCAFIGIGNSDQEMQQLNLEGKNYCTAKTLYISDSDKRKHFMLSVKMFYGNSDDIGV FLSKRIKVISKPSKKKQSLKNADLCIASGTKVALFNRLRSQTVSTRYLHVEGGNFHASSQ QWGAFYIHLLDDDESEGEEFTVRDGYIHYGQTVKLVCSVTGMALPRLIIRKVDKQTALLD ADDPVSQLHKCAFYLKDTERMYLCLSQERIIQFQATPCPKEQNKEMINDGASWTIISTDK AEYTFYEGMGPVLAPVTPVPVVESLQLNGGGDVAMLELTGQNFTPNLRVWFGDVEAETMY RCGESMLCVVPDISAFREGWRWVRQPVQVPVTLVRNDGVIYSTSLTFTYTPEPGPRPHCS AAGAILRANSSQVPSNESNTNSEGNYTNASTNSTSVTSSTATVVS >ENSMUSP00000132726.1 pep:known chromosome:GRCm38:7:85406376:85415676:-1 gene:ENSMUSG00000091006.2 transcript:ENSMUST00000171213.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r69 description:vomeronasal 2, receptor 69 [Source:MGI Symbol;Acc:MGI:3761311] MFSLISVIWFLKIKLMFCHLSDPSCFWRIKETENDLGDTETYCLFSISTKQGYVKNDYFS WNIDKKVTPKTNHLIFSVYLALEEVNKNCHILPNISLLVNIECNGRKYDEKTGLALKIEN IIPNYSCTNERTYLIVLTAPIWAVSTKLGPFLFMSRIPELYCGHFHPLLSDNEEFPHLYQ ISPKDTSLPLAMVSLVVHFRWNWIGVIVTSDDQGIQFLSELKGEMQKNIVCLSVAIIIQT EMSMALKELSMNYKHISISSAKVVIVYGDKFSPINYALTLWISQGILRIWVSVSQFDMIT ILGDFLLYSSTGTFIFSHQQPEIPGFEKFIQTVYPSNYSSEFSFAKLWWTYFRCSLPPSN CKKLKNCPTKTIFTWLFRTPFGMAMSDTCYNSYNAIYAVAHSLHEMLMQEVDTWSNNAGK ELEFDSWKMFSILKTLKFVNPAGDLVNMNQNLKQATQYNIFYVMDFQKDYGLKMKIGRFS EHLPSGQQLYMSKEMIEWATDIDQILPSICSMPCTPGLRKSPQEGKDICCFVCIPCPENE ISNMTNMDQCVKCLEDQYANEDHTLCLQKVVAILDYRDPLGKALAGFALCFSLLTSVVLF VFLKHRDTPIVKANNQTLSIVLLISLIFCFICSLLYIGHPTMVICILQQTTFAIAFTVAT STILAKTITVVLAFKITVPGRRMRWLLVSGAPKYIILICTMIQLILCGIWLGTSPPFVDA DVQMVHGHIIIVCNKGSVIAFYCVLGYMGSVALAGFTVAFLARNLPDTFNEAKLLTFSML VFCSVWMTFIPVYHSTKGKTMVAVEVFCILASSAGLLLCIFAPKCYFILRPQTNSFHKFR KPHAKTENKS >ENSMUSP00000133384.1 pep:known chromosome:GRCm38:4:139530548:139617082:1 gene:ENSMUSG00000041025.15 transcript:ENSMUST00000123827.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iffo2 description:intermediate filament family orphan 2 [Source:MGI Symbol;Acc:MGI:2140675] MNLQTMVDTLQEAAQEAEAIQEEMNEKIERLKAELVVFKGLMSDPMTDLDTKIQEKAMKV DMDICRRIDITAKLCDVAQQRNSEDVSKIFQVVPKKKDRKVASDEDISEQDGEVNRFSDE EVGSMNITDEMKRMFNQLRETFDFDDDCDSLTWEENEDTLLLWEDFTNCNPTIDLQGEQE ENLGNLIHETESFFKTRDKEYQETIGQIELELATAKSDMNRHLHEYMEMCSMKRGLDVQM ETCRRLIKGSADRNSPSPSSVASSDSGSTDEIQEDLEREADVEPMVS >ENSMUSP00000134062.1 pep:known chromosome:GRCm38:4:139574720:139620382:1 gene:ENSMUSG00000041025.15 transcript:ENSMUST00000174078.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iffo2 description:intermediate filament family orphan 2 [Source:MGI Symbol;Acc:MGI:2140675] MVNSLLFGEMALAFGCPPGGGGCAGGGGGGGAGPGPSPVTAALRDDLGSNIHLLKGLNVR FRCFLAKVHELERRNRLLEKQLEQQQSERDRRLRYKTFSREQAVQTGPELLRPSAAGSGQ ALGAATGVNANAVALGGLPPGGGSHPQHYGRLPGTIWSYTQVRRTGGGGVETVQGPGVSW VHPDGVGVQIDTITPEIRALYNVLAKVKRERDEYKRRWEEELAKRMNLQTMVDTLQEAAQ EAEAIQEEMNEKIERLKAELVVFKGLMSDPMTDLDTKIQEKAMKVDMDICRRIDITAKLC DVAQQRNSEDVSKIFQVVPKKKDRKVASDEDISEQDGEVNRFSDEEVGSMNITDEMKRMF NQLRETFDFDDDCDSLTWEENEDTLLLWEDFTNCNPTIDLQGEQEENLGNLIHETESFFK TRDKEYQETIGQIELELATAKSDMNRHLHEYMEMCSMKRGLDVQMETCRRLIKGSADRNS PSPSSVASSDSGSTDEIQEDLEREADVEPMVS >ENSMUSP00000039533.9 pep:known chromosome:GRCm38:4:139531689:139620379:1 gene:ENSMUSG00000041025.15 transcript:ENSMUST00000040023.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iffo2 description:intermediate filament family orphan 2 [Source:MGI Symbol;Acc:MGI:2140675] MNLQTMVDTLQEAAQEAEAIQEEMNEKIERLKAELVVFKGLMSDPMTDLDTKIQEKAMKV DMDICRRIDITAKLCDVAQQRNSEDVSKIFQVVPKKKDRKVASDEDISEQDGEVNRFSDE EVGSMNITDEMKRMFNQLRETFDFDDDCDSLTWEENEDTLLLWEDFTNCNPTIDLQGEQE ENLGNLIHETESFFKTRDKEYQETIGQIELELATAKSDMNRHLHEYMEMCSMKRGLDVQM ETCRRLIKGSADRNSPSPSSVASSDSGSTDEIQEDLEREADVEPMVS >ENSMUSP00000027802.7 pep:known chromosome:GRCm38:1:180904293:180908088:1 gene:ENSMUSG00000026520.8 transcript:ENSMUST00000027802.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pycr2 description:pyrroline-5-carboxylate reductase family, member 2 [Source:MGI Symbol;Acc:MGI:1277956] MSVGFIGAGQLACALARGFTAAGVLSAHKIIASSPDMDLPTVSALRRMGVNLTRSNKDTV RHSDVLFLAVKPHIIPFILDEIGADVQERHIVVSCAAGVTISSVEKKLMAFQPAPKVIRC MTNTPVVVREGATVYATGTHALVEDGKLLEQLMSSVGFCTEVEEDLIDAITGLSGSGPAY AFMALDALADGGVKMGVPRRLAVRLGAQALLGAAKMLLDSEDHPGQLKDNVCSPGGATIH ALHFLESGGFRSLLINAVEASCIRTRELQSMADQEKVSPAALKKTLLDRVKLESPTVSTL APPSSGKLLTRNPAQGSKKE >ENSMUSP00000001812.4 pep:known chromosome:GRCm38:6:29735694:29761365:1 gene:ENSMUSG00000001761.7 transcript:ENSMUST00000001812.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smo description:smoothened, frizzled class receptor [Source:MGI Symbol;Acc:MGI:108075] MAAGRPVRGPELAPRRLLQLLLLVLLGGPGRGAALSGNVTGPGPHSASGSSRRDVPVTSP PPPLLSHCGRAAHCEPLRYNVCLGSALPYGATTTLLAGDSDSQEEAHGKLVLWSGLRNAP RCWAVIQPLLCAVYMPKCENDRVELPSRTLCQATRGPCAIVERERGWPDFLRCTPDHFPE GCPNEVQNIKFNSSGQCEAPLVRTDNPKSWYEDVEGCGIQCQNPLFTEAEHQDMHSYIAA FGAVTGLCTLFTLATFVADWRNSNRYPAVILFYVNACFFVGSIGWLAQFMDGARREIVCR ADGTMRFGEPTSSETLSCVIIFVIVYYALMAGVVWFVVLTYAWHTSFKALGTTYQPLSGK TSYFHLLTWSLPFVLTVAILAVAQVDGDSVSGICFVGYKNYRYRAGFVLAPIGLVLIVGG YFLIRGVMTLFSIKSNHPGLLSEKAASKINETMLRLGIFGFLAFGFVLITFSCHFYDFFN QAEWERSFRDYVLCQANVTIGLPTKKPIPDCEIKNRPSLLVEKINLFAMFGTGIAMSTWV WTKATLLIWRRTWCRLTGHSDDEPKRIKKSKMIAKAFSKRRELLQNPGQELSFSMHTVSH DGPVAGLAFDLNEPSADVSSAWAQHVTKMVARRGAILPQDVSVTPVATPVPPEEQANMWL VEAEISPELEKRLGRKKKRRKRKKEVCPLRPAPELHHSAPVPATSAVPRLPQLPRQKCLV AANAWGTGESCRQGAWTLVSNPFCPEPSPHQDPFLPGASAPRVWAQGRLQGLGSIHSRTN LMEAEILDADSDF >ENSMUSP00000052174.8 pep:known chromosome:GRCm38:7:104153013:104164831:-1 gene:ENSMUSG00000044265.16 transcript:ENSMUST00000051137.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm5 description:olfactomedin 5 [Source:MGI Symbol;Acc:MGI:2443346] MQSDPALLASFLLLLPLVLPGQPQLVRNVLNSMDENGTFQCVVHLPNNSIFLQQLDQLQS TLQELISKYEQELSRAKEYVHVIEDQDSQTLELSHMLESRNLGVTVSQYDNPSFNLLRLE LEGAQELAAQLKANGGVSGATDLLHQLQNQVTNASLTLKLLVDSARQSFHALREEVDILE GQLSECEREKEQEQSSRHPGLPLAPGSCAHGGLQKVSRPLVVKLNWRGLSSKAGAWGRDS APSPASSLYWVAPLRADGRYFDYYRLHKSYGDLVLLKHYEQWKMGYGDGSGNTVYKNFMY FNYYGTRDMAKVDLSSNTLVLRRPLPGATYNNRFSYAFAPWTDIDFTGDEKGLWVLYATE ESKGNLVVSLLNDSTLEVEQTWYTSQYKPALSGAFMVCGVLYALRSLSTRQEEIFYAYDT TTGQEHHLSILLDKMLETLHGINYCPWDHRLYVYNDGFLINYDLTFLTLKQKVPSSPMKR SSGALAPSKPIKLNKP >ENSMUSP00000117893.1 pep:known chromosome:GRCm38:7:104154117:104164812:-1 gene:ENSMUSG00000044265.16 transcript:ENSMUST00000154555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm5 description:olfactomedin 5 [Source:MGI Symbol;Acc:MGI:2443346] MQSDPALLASFLLLLPLVLPGQPQLVRNVLNSMDENGTFQCVVHLPNNSIFLQQLDQLQS TLQELISKYEQELSRVTNASLTLKLLVDSARQSFHALREEVDILEGQLSECEREKEQEQS SRHPGLPLAPGSCAHGGLQKVSRPLVVKLNWRGLSSKAGAWGRDSAPSPASSLYWVAPLR ADGRYFDYYRLHKSYGDLVLLKHYEQWKMGYGDGSGNTVYKNFMYFNYYGTRDMAKVDLS SNTLVLRRPLPGATYNNRFSYAFAPWTDIDFTGDEKGLWVLYATEESKGNLVVSLLNDST LEVE >ENSMUSP00000140025.1 pep:known chromosome:GRCm38:8:108703100:108800270:1 gene:ENSMUSG00000038872.9 transcript:ENSMUST00000188994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfhx3 description:zinc finger homeobox 3 [Source:MGI Symbol;Acc:MGI:99948] MRLGGGQLVSEELMNLG >ENSMUSP00000044612.8 pep:known chromosome:GRCm38:8:108714644:108961630:1 gene:ENSMUSG00000038872.9 transcript:ENSMUST00000043896.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfhx3 description:zinc finger homeobox 3 [Source:MGI Symbol;Acc:MGI:99948] MEGCDSPVVSGKDNGCGIPQHRQWTELNSAHLPDKPSSMEQPTGESHGPLDSLRAPFNER LADSSTSAGPPAEPASKEVSCNECSASFSSLQTYMEHHCPGTHPPPALREESASDTSEEG EEESDVENLAGEIVYQPDGSAYIVESLSQLAQSGAACGSSSGSGAVPSLFLNSLPGVGGK QGDPSCAAPVYPQIINTFHIASSFGKWFEGSDPAFPNTSALAGLSPVLHSFRVFDVRHKS NKDYLNSDGSAKSSCVSKDVPNNVDLSKFDGFVLYGKRKPILMCFLCKLSFGYVRSFVTH AVHDHRMTLSEEERKLLSNKNISAIIQGIGKDKEPLVSFLEPKNKNFQHPLVSTANLIGP GHSFYGKFSGIRMEGEEALPAVAAAGPEQPQAGLLTPSTLLNLGGLTSSVLKTPITSVPL GPLASSPTKSSEGKDSGAAEGDKQESGGHQDCFSEKVEPAEEEEAEEEEEEEEEAEEEEE EEEEEEEEEEEGCKGLFPNDLEEELEDRPSEESGAPAGGSSKKDLALSNQSISNSPLMPN VLQTLSRGPASTTSNSASNFVVFDGANRRSRLSFNSEGVRANVAEGRRLDFADESANKDS ATAPEPNESTEGDDGGFVPHHQHAGSLCELGVGECPSGSGVECPKCDTVLGSSRSLGGHM TMMHSRNSCKTLKCPKCNWHYKYQQTLEAHMKEKHPEPGGSCVYCKSGQPHPRLARGESY TCGYKPFRCEVCNYSTTTKGNLSIHMQSDKHLNNMQNLQNGGGEQVFSHSAGAAAAAAAA AAAAANIGSSCGAPSPTKPKTKPTWRCEVCDYETNVARNLRIHMTSEKHMHNMMLLQQNM TQIQHNRHLGLGSLPSPAEAELYQYYLAQNMNLPNLKMDSTASDAQFMMSGFQLDPTGPM AAMTPALVGGEIPLDMRLGGGQLVSEELMNLGESFIQTNDPSLKLFQCAVCNKFTTDNLD MLGLHMNVERSLSEDEWKAVMGDSYQCKLCRYNTQLKANFQLHCKTDKHVQKYQLVAHIK EGGKANEWRLKCVAIGNPVHLKCNACDYYTNSLEKLRLHTVNSRHEASLKLYKHLQQHES GVEGESCYYHCVLCNYSTKAKLNLIQHVRSMKHQRSESLRKLQRLQKGLPEEDEDLGQIF TIRRCPSTDPEEAVEDAEGPSEASADPEELAKDQGSGSEEGQSKRAASSSQAEKELTDSP ATTKRTSFPGSSETPLSSKRPKASEEIKPEQMYQCPYCKYSNADVNRLRVHAMTQHSVQP LLRCPLCQDMLNNKIHLQLHLTHLHSVAPDCVEKLIMTVTAPEMVMPSSMFLPAAAADRD GNSTLEEAGKQPEASEDPGKNILPPASMEHGGDLKPTSADPSCGREDSGFLCWKKGCNQV FKTSATLQTHFNEVHAKRPQLPVSDRHVYKYRCNQCSLAFKTIEKLQLHSQYHVIRAATM CCLCQRSFRTFQALKKHLETSHLELSEADIQQLYGGLLANGDLLAMGDPTLAEDHTIIVE EDKEEESDLEDKQSPTGSDSGSVQEDSGSEPKRALPFRKGPNFTMEKFLDPSRPYKCTVC KESFTQKNILLVHYNSVSHLHKLKRALQESATGQPEPTSSPDNKPFKCNTCNVAYSQSST LEIHMRSVLHQTKARAAKLEAASGNSNGTGNSGGVSLSSSTPSPVGSSGANNTFTATNPS SAAMAPSVNALSQVPPESVVMPPLGNPISANIASPSEPKEANRKKLADMIASRQQQQQQQ QQQQQQAQTLAQAQAQVQAHLQQELQQQAALIQSQLFNPTLLPHFPMTTETLLQLQQQQH LLFPFYIPSAEFQLNPEVSLPVTSGALTLTGSGPGLLEDLKAQVQIPQQSHQQILQQQQQ QSQLSLSQSHSALLQPSQHPEKKNKVVIKEKDKESQREREGPEGAEGNTGPKESLPDASK AKEKKDLAPGGGSEGTMLPPRIASDARGNATKALLENFGFELVIQYNENKQKAQKKNGKA EQGGESLEKLECDSCGKLFSNILILKSHQEHVHQNYFPFKQLERFAKQYREHYDKLYPLR PQTPEPPPPPPPPPPPPLPTAPPQPASAPAIPASAPPITSPTIAPAQPSVPLTQLSMPME LPIFSPLMMQTMPLQTLPAQLPPQLGPVEPLPADLAQLYQHQLNPTLLQQQNKRPRTRIT DDQLRVLRQYFDINNSPSEEQIKEMADKSGLPQKVIKHWFRNTLFKERQRNKDSPYNFSN PPITSLEELKIDSRPPSPEPQKQEYWGSKRSSRTRFTDYQLRVLQDFFDANAYPKDDEFE QLSNLLNLPTRVIVVWFQNARQKARKNYENQGEGKDGERRELTNDRYIRTSNLNYQCKKC SLVFQRIFDLIKHQKKLCYKDEDEEGQDDSQNEDSMDAMEILTPTSSSCSTPMPSQAYST PAPSAAAANTAPSAFLQLTAETDELATFNSKAEASDEKPKQADPPSAQPNQTQEKQGQPK PEMQQQLEQLEQKTNAPQPKLPQPAAPSLPQPPPQAPPPQCPLPQSSPSPSQLSHLPLKP LHTSTPQQLANLPPQLIPYQCDQCKLAFPSFEHWQEHQQLHFLSAQNQFIHPQFLDRSLD MPFMLFDPSNPLLASQLLSGAIPQIPASSATSPSTPTSTMNTLKRKLEEKASASPGENDS GTGGEEPQRDKRLRTTITPEQLEILYQKYLLDSNPTRKMLDHIAHEVGLKKRVVQVWFQN TRARERKGQFRAVGPAQAHRRCPFCRALFKAKTALEAHIRSRHWHEAKRAGYNLTLSAML LDCDGGLQMKGDIFDGTSFSHLPPSSSDGQGVPLSPVSKTMELSPRTLLSPSSIKVEGIE DFESPSMSSVNLNFDQTKLDNDDCSSVNTAITDTTTGDEGNADNDSATGIATETKSSAPN EGLTKAAMMAMSEYEDRLSSGLVSPAPSFYSKEYDNEGTVDYSETSSLADPCSPSPGASG SAGKSGDGGDRPGQKRFRTQMTNLQLKVLKSCFNDYRTPTMLECEVLGNDIGLPKRVVQV WFQNARAKEKKSKLSMAKHFGINQTSYEGPKTECTLCGIKYSARLSVRDHIFSQQHISKV KDTIGSQLDKEKEYFDPATVRQLMAQQELDRIKKANEVLGLAAQQQGMFDNAPLQALNLP TTYPALQGIPPVLLPGLNSPSLPGFTPANTALTSPKPNLMGLPSTTVPSPGLPTSGLPNK PSSASLSSPTPAQATMAMAPQPPPQPQQPQPPVQQPPPPPAAQQIPAPQLTPQQQRKDKD GEKGKEKEKAHKGKGEPLPVPKKEKGEAPPAATATISAPLPAMEYAVDPAQLQALQAALT SDPTALLTSQFLPYFVPGFSPYYAPQIPGALQSGYLQPMYGMEGLFPYSPALSQALMGLS PGSLLQQYQQYQQSLQEAIQQQQQQQQQQQQQQQRQLQQQQQQQQQKVQQQQQQQQQPKA SQTPVPQGPASPDKDPAKESPKPEEQKNVPREVSPLLPKPPEEPEAESKSASADSLCDPF IVPKVQYKLVCRKCQAGFGDEEAARSHLKSLCCFGQSVVNLQEMVLHVPTGSGGSGGGGG GSGGGGGSYHCLACESALCGEEALSQHLESALHKHRTITRAARNAKEHPSLLPHSACFPD PSTASTSQSAAHSNDSPPPPSAAPSSSASPHASRKSWPPVGSRASAAKPPSFPPLSSSST VTSSSCSTSGVQPSMPTDDYSEESDTDLSQKSDGPASPVEGPKDPSCPKDSGLTSVGTDT FRL >ENSMUSP00000131261.1 pep:known chromosome:GRCm38:17:19053493:19081311:1 gene:ENSMUSG00000096717.1 transcript:ENSMUST00000170424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r98 description:vomeronasal 2, receptor 98 [Source:MGI Symbol;Acc:MGI:3647977] MISWIFIFRLLQISNFFSAFSYNISTCYYKISEEFHREGDVVIGAFFPLHTFYSLKKMQH STIPYYYLDNVIQYNFKNYQYILALQFAIEEINGNPNLLPNISLGFDFYNVRFTGKYILD ITFNWLTALGERNYIPNYNCKKRNFTAALTGTSWITSAQIGTLLQLFKFPQINFGPYDLL LSDRGQYSSLYQISPKDTSLSLAIVSLMVHFRWSWIGLILPNDHKGNKILSDFREEMERN GICLAFVKMTSDTWTSYVAKFWENMDETNVTIIYGDIDSLEGIMRNIEQRLLTWNIWIMN IEHHVIDRADYFILDSFHGSLIFKHSYRENFEFTKFIQTVNPNKYPEDVYLPKLWYLFFK CSFSDTNCHVLGNCQTNASLEVFPSHIFDVAMNAESTSIYNGVYAVAHSLHEMRLQQLQM QPYENPHGMVFFPWQLNSFLKDIEVKDKRSLDWRQTIDTEYDILNIWNMPKGLGLKVKIG SFSANAPQGQQLSLSEQMIQWPAIFSEIPQSVCSESCGPGFRKVTLEGKAICCYKCTPCA DNEISNETDVDKCVKCPERHYANKEKSNCFQKSVSFLAYEDPLGMAVASIALCLSALTAF VIGIFVKHRDTPIVKANNRALSYILLITLTFCFLCSLNFIGKPTTASCILQQTTFAVAFT MALGTVLAKAITVVLAFKISFPGRMVRWLMISRGPNYIIPISTLIQLIICGIWMATSPPF IDQDAHTEYGHILILCNKGSAVAFHSVLGYLCFMALGSYAMAFLSRNLPDTFNESKFLSF SMLVFFCVWVTFLPVYHSTKGKVMVAMEVFSILASSTALLVFIFGPKCYIILLRPDKNSF NHIRKKTPSRKKKFPKI >ENSMUSP00000114594.1 pep:known chromosome:GRCm38:1:65282056:65345341:1 gene:ENSMUSG00000025946.13 transcript:ENSMUST00000140190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pth2r description:parathyroid hormone 2 receptor [Source:MGI Symbol;Acc:MGI:2180917] MKLFTRGSPLSLNLYLWNCELDSDGTITIEEQIVLVMKAKMQCELNITAQLQEGEGNCFP EWDGIICWPRGTVGKMSAVPCPPYVYDFNHKGVAFRHCTPNGTWDSIHGSNKTWANYSDC FLQPDINIGKQEFFESLYILYTVGYSISFGSLAVAILIIGYFRRLHCTRNYIHL >ENSMUSP00000027083.6 pep:known chromosome:GRCm38:1:65311257:65389244:1 gene:ENSMUSG00000025946.13 transcript:ENSMUST00000027083.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pth2r description:parathyroid hormone 2 receptor [Source:MGI Symbol;Acc:MGI:2180917] MAWLETFTYICGWLILSSCLLVRAQLDSDGTITIEEQIVLVMKAKMQCELNITAQLQEGE GNCFPEWDGIICWPRGTVGKMSAVPCPPYVYDFNHKGVAFRHCTPNGTWDSIHGSNKTWA NYSDCFLQPDINIGKQEFFESLYILYTVGYSISFGSLAVAILIIGYFRRLHCTRNYIHLH LFVSFMLRAMSIFVKDRVAQAHLGVEALQSLVMQGDLQNFIGGPSVDKSQYVGCKIAVVM FIYFLATNYYWILVEGLYLHNLIFVSFFSDTKYLWGFISIGWGFPAVFVVAWAVARATLA DTRCWELSAGDRWIYQAPILAAIGLNFILFLNTVRVLATKIWETNAVGHDMRKQYRKLAK STLVLVLVFGVHYIVFVCQPHSFSGLWWEIRMHCELFFNSFQGFFVSIVYCYCNGEVQAE VKKMWTRWNLSIDWKRAPPCGGQRYGSVLTTVTHSTSSQSQMGASTRLVLISGKPTKNAC RQIDSHVTLPGYVWSSSEQDCQTHSPPEETKEGHRRQGDDSPVMESSRPVAFTLDTEGCK GETHPI >ENSMUSP00000122543.1 pep:known chromosome:GRCm38:15:80977765:81012289:1 gene:ENSMUSG00000042303.16 transcript:ENSMUST00000139517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm3 description:small G protein signaling modulator 3 [Source:MGI Symbol;Acc:MGI:1916329] MSGNHTPSASGPFSALTPSIWPQEILAKYSQKEESSEQPELCYDEFGFRVDKEGSEPGCS QMTGSPLVEDPPQRLRWQAHLEFTHNHDVGDLTWDKIAVSLPRSEKLRSLVLAGIPHGMR PQLWMRLSGALQKKKNSELSYREIIKNSSNDETIAAKQIEKDLLRTMPSNACFANVNSIG VPRLRRVLRALAWLYPEIGYCQGTGMVAACLLLFLEEEDAFWMMCAIIEDLLPASYFSTT LLGVQTDQRVLRHLIVQYLPRLDKLLQEHDIELSLITLHWFLTAFASVVHIRLLLRIWDL FFYEGSLVLFQTTLGMLRLKEEELIQSENSASIFNTLSDIPAQMDDAELLLGEAMRLAGS LTDVAVETQRRKHLAYLIADQGQTLGTGTTTNLSQVVRRRTQRRKSGITSLLFGEDDLEA LKAKNIKQTELVADLREAILRVARHFQCTDPKNCSVELTPDYSMESHQRDHENYVACLRS HRRRAKALLDFERHDDDELGFRKNDIITIISQKDEHCWVGELNGLRGWFPAKFVEVLDER SKEYSIAGDDSVTEGVTDLVRGTLCPALKALFEHGLKKPSLLGGACHPWLFIEEAAGREV ERDFDSVYSRLVLCKTYRLDEDGKVLTPEELLYRAVQSVNVTHDAAHAQMDVKLRSLICV GLNEQVLHLWLEVLCSSLPTVEKWYQPWSFLRSPGWVQIKCELRVLCCFAFSLSQDWELP ARREEEKQPLKEGVQDMLVKHHLFSWDIDG >ENSMUSP00000115920.1 pep:known chromosome:GRCm38:15:80977779:81006515:1 gene:ENSMUSG00000042303.16 transcript:ENSMUST00000143147.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgsm3 description:small G protein signaling modulator 3 [Source:MGI Symbol;Acc:MGI:1916329] MSGNHTPSASGPFSALTPSIWPQEILAKYSQVQQLA >ENSMUSP00000122110.1 pep:known chromosome:GRCm38:15:80977820:81006497:1 gene:ENSMUSG00000042303.16 transcript:ENSMUST00000137255.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm3 description:small G protein signaling modulator 3 [Source:MGI Symbol;Acc:MGI:1916329] MSGNHTPSASGPFSALTPSIWPQEILAKYSQKEESSEQPELCYDEFGFRVDKEGSEPGCS QMTGSPLVEDPPQRLRWQAHLEFT >ENSMUSP00000118050.1 pep:known chromosome:GRCm38:15:81008359:81010536:1 gene:ENSMUSG00000042303.16 transcript:ENSMUST00000154904.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm3 description:small G protein signaling modulator 3 [Source:MGI Symbol;Acc:MGI:1916329] XRIWDLFFYEGSLVLFQTTLGMLRLKVVRRRTQRRKSGITSLLFGEDDLEALKAKNIKQT ELVADLREAILRVARHFQCTDPKNCSVELTPDYSMESHQRDHENYVACLRSHRRRAKALL DFERHDDDELGFRKNDIITIISQKDEHCWVGELNGLRGWFPAKFVEVLDERSKEYSIAGD DSVTEGVTDLVRGTLCPALKALFEHGLKKPSLLGGA >ENSMUSP00000103665.2 pep:known chromosome:GRCm38:11:86544991:86567360:1 gene:ENSMUSG00000020513.15 transcript:ENSMUST00000108030.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubd1 description:tubulin, delta 1 [Source:MGI Symbol;Acc:MGI:1891826] MSIVTVQLGQCGNQIGFEVFDALFRDSHCSQGLCSKRDNEAYQASCRERFFREEENGVPV ARAVLVDMEPKVINQTLSKAAQSGRWNYGQHTSFCQKQGSGNNWAYGYSVHGPKHEESIM NLIQTEVEKCDSLSGFFIIMSMAGGTGSGLGAFVTQKLQDQYSSSLKMNQIIWPYGTGEV IVQNYNSILTLSHLYRSSDALLIHENDAVHKICAKRMNIKQISFRDLNQVLAHQLGSVFQ PTYSEDSSFHYRRNPLDSGTVGPQACLMPRIFVWSLSATNLSFYPQTGDLMEHLVPHPEF KMLGVRNIPQMSAASLAYSAFTWAGLLKHLRQMLISSAKMEEGINWQVRPPLTGLPPIGK ASAHKEHHFNTSLANLVVLRGREVHSADVEGFKDPALYTSWLEPVDAFSVWKTQRAFDKY EKSAALVSNSQLLVKPLDMIVGKAWNMFSSKAFIHQYTKFGMEEEDFLDSFALLEQVVAS YGSLGP >ENSMUSP00000020821.3 pep:known chromosome:GRCm38:11:86544991:86567360:1 gene:ENSMUSG00000020513.15 transcript:ENSMUST00000020821.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubd1 description:tubulin, delta 1 [Source:MGI Symbol;Acc:MGI:1891826] MSIVTVQLGQCGNQIGFEVFDALFRDSHCSQGLCSKRDNEAYQASCRERFFREEENGVPV ARAVLVDMEPKVINQTLSKAAQSGRWNYGQHTSFCQKQGSGNNWAYGYSVHGPKHEESIM NLIQTEVEKCDSLSGFFIIMSMAGGTGSGLGAFVTQKLQDQYSSSLKMNQIIWPYGTGEV IVQNYNSILTLSHLYRSSDALLIHENDAVHKICAKRMNIKQISFRDLNQVLAHQLGSVFQ PTYSEDSSFHYRRNPLDSGTVGPQACLMPRIFVWSLSATNLSFYPQTGDLMEHLVPHPEF KMLGVRNIPQMSAASLAYSAFTWAGLLKHLRQMLISSAKMEEGINWQVRPPLTGLPPIGK ASAHKEHHFNTSLANLVVLRGREVHSADVEGFKDPALYTSWLEPVDAFSVWKTQRAFDKY EKSAALVSNSQLLVKPLDMIVGKAWNMFSSKAFIHQYTKFGMEEEDFLDSFALLEQVVAS YGSLGP >ENSMUSP00000064383.6 pep:known chromosome:GRCm38:11:86544991:86567360:1 gene:ENSMUSG00000020513.15 transcript:ENSMUST00000069503.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubd1 description:tubulin, delta 1 [Source:MGI Symbol;Acc:MGI:1891826] MSIVTVQLGQCGNQIGFEVFDALFRDSHCSQGLCSKRDNEAYQASCRERFFREEENGVPV ARAVLVDMEPKVINQTLSKAAQSGRWNYGQHTSFCQKQGSGNNWAYGYSVHGPKHEESIM NLIQTEVEKCDSLSGFFIIMSMAGGTGSGLGAFVTQKLQDQYSSSLKMNQIIWPYGTGEV IVQNYNSILTLSHLYRSSDALLIHENDAVHKICAKRMNIKQISFRDLNQVLAHQLGSVFQ PTYSEDSSFHYRRNPLGDLMEHLVPHPEFKMLGVRNIPQMSAASLAYSAFTWAGLLKHLR QMLISSAKMEEGINWQVRPPLTGLPPIGKASAHKEHHFNTSLANLVVLRGREVHSADVEG FKDPALYTSWLEPVDAFSVWKTQRAFDKYEKSAALVSNSQLLVKPLDMIVGKAWNMFSSK AFIHQYTKFGMEEEDFLDSFALLEQVVASYGSLGP >ENSMUSP00000130621.1 pep:known chromosome:GRCm38:11:86555195:86567360:1 gene:ENSMUSG00000020513.15 transcript:ENSMUST00000164931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubd1 description:tubulin, delta 1 [Source:MGI Symbol;Acc:MGI:1891826] XQISFRDLNQVLAHQLGSVFQPTYSEDSSFHYRRNPLGDLMEHLVPHPEFKMLGVRNIPQ MSAASLAYSAFTWAGLLKHLRQMLISSAKMEEEGFKDPALYTSWLEPVDAFSVWKTQRAF DKYEKSAALVSNSQLLVKPLDMIVGKAWNMFSSKAFIHQYTKFGMEEEDFLDSFALLEQV VASYGSLGP >ENSMUSP00000130909.2 pep:known chromosome:GRCm38:11:86544991:86567359:1 gene:ENSMUSG00000020513.15 transcript:ENSMUST00000167178.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubd1 description:tubulin, delta 1 [Source:MGI Symbol;Acc:MGI:1891826] MSIVTVQLGQCGNQIGFEVFDALFRDSHCSQGLCSKRDNEAYQASCRERFFREEENGVPV ARAVLVDMEPKVINQTLSKAAQSGRWNYGQHTSFCQKQGSGNNWAYGYSVHGPKHEESIM NLIQTEVEKCDSLSGFFIIMSMAGGTGSGLGAFVTQKLQDQYSSSLKMNQIIWPYGTGEV IVQNYNSILTLSHLYRSSDALLIHENDAVHKICAKRMNIKQISFRDLNQVLAHQLGSVFQ PTYSEDSSFHYRRNPLGDLMEHLVPHPEFKMLGVRNIPQMSAASLAYSAFTWAGLLKHLR QMLISSAKMEEGINWQVRPPLTGLPPIGKASAHKEHHFNTSLANLVVLRGREVHSADVEG FKDPALYTSWLEPVDAFSVWKTQRAFDKYEKSAALVSNSQLLVKPLDMIVGKAWNMFSSK AFIHQYTKFGMEEEDFLDSFALLEQVVASYGSLGP >ENSMUSP00000051643.4 pep:known chromosome:GRCm38:4:151933691:151982137:1 gene:ENSMUSG00000039768.16 transcript:ENSMUST00000062904.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc11 description:DnaJ heat shock protein family (Hsp40) member C11 [Source:MGI Symbol;Acc:MGI:2443386] MATALSEEELDNEDYYSLLNVRREASSEELKAAYRRLCMLYHPDKHRDPELKSQAERLFN LVHQAYEVLSDPQTRAIYDIYGKRGLEMEGWEVVERKRTPAEIREEFERLQREREERRLQ QRTNPKGTISVGVDATDLFDRYDEEYEDVSGSGFPQIEINKMHISQSIEAPLTATDTAIL SGSLSTQNGNGGGSVNFALRRVTSAKGWGELEFGAGDLQGPLFGLKLFRNLTPRCFVTTN CALQFSSRGIRPGLTTVLARNLDKNTVGYLQWRWGIQSAMNTSIVRDTKTCHFTVALQLG IPHSFALISYQHKFQDDDQTRVKGSLKAGFFGTIVEYGAERKISRHSVLGAAVSIGVPQG VSLKVKLNRASQTYFFPIHLTDQLLPSAVFYATVGPLVVYLAVHRLIIRPYLRAQKEKEL EKQRENTASDILQKKQEAEAAVRLMQESVRRIIEAEESRMGLIIVNAWYGKFVNDKSRKN EKVKVIDVTVPLQCLVKDSKLILTEASKAGLPGFYDPCVGEEKSLRVLYQFRGVLHQVMV PDSEALRIPKQSHRIDTDG >ENSMUSP00000119604.1 pep:known chromosome:GRCm38:4:151933744:151981222:1 gene:ENSMUSG00000039768.16 transcript:ENSMUST00000139069.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc11 description:DnaJ heat shock protein family (Hsp40) member C11 [Source:MGI Symbol;Acc:MGI:2443386] MATALSEEELDNEDYYSLLNVRREASSEELKAAYRRLCMLYHPDKHRDPELKSQAERLFN LVHQAYEVLSDPQTRAIYDIYGKRGLEMEGWEVVERKRTPAEIREEFERLQREREERRLQ QRTNPKGTISVGVDATDLFDRYDEEYEDVSGSGFPQIEINKMHISQSIELEFGAGDLQGP LFGLKLFRNLTPRCFVTTNCALQFSSRGIRPGLTTVLARNLDKNTVGYLQWRWGIQSAMN TSIVRDTKTCHFTVALQLGIPHSFALISYQHKFQDDDQTRVKGSLKAGFFGTIVEYGAER KISRHSVLGAAVSIGVPQGVSLKVKELEKQRENTASDILQKKQEAEAAVSVPLLICRAQG KPPHRAHPTEAGAVTPDSPGALLHRQPRSLLNCCVWSLQSVP >ENSMUSP00000122140.1 pep:known chromosome:GRCm38:4:151946332:151968616:1 gene:ENSMUSG00000039768.16 transcript:ENSMUST00000147625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc11 description:DnaJ heat shock protein family (Hsp40) member C11 [Source:MGI Symbol;Acc:MGI:2443386] MLYHPDKHRDPELKSQAERLFNLVHQAYEVLSDPQTRAIYDIYGKRGLEMEGWEVVERKR TPAEIREEFERLQREREERRLQQRTNPKGTISVGVDATDLFDRYDEEYEDVSGSGFPQIE INKMHIS >ENSMUSP00000037854.8 pep:known chromosome:GRCm38:1:55405921:55754285:1 gene:ENSMUSG00000038349.10 transcript:ENSMUST00000042986.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcl1 description:phospholipase C-like 1 [Source:MGI Symbol;Acc:MGI:3036262] MAEGAASREAPAPLDVAGGEDDPRAGADAASGDAPPPALGGRMRDRRSGVALPGAAGVPA DSEAGLLEAARATPRRSSIIKDPSNQKCGGRKKTVSFSSMPSEKKISSAHDCISFMQAGC ELKKVRPNSRIYNRFFTLDTDLQALRWEPSKKDLEKAKLDISAIKEIRLGKNTETFRNNG LADQICEDCAFSILHGENYESLDLVANSADVANIWVSGLRYLVSRSKQPLDFIEGNQNTP RFMWLKTVFEAADVDGNGIMLEDTSVELIKQLNPTLKESKIRLKFKEIQKSKEKLTTRVT EEEFCEAFCELCTRPEVYFLLVQISKNKEYLDANDLMLFLEAEQGVTHITEDMCLDIIRR YELSEDGRQKGFLAIDGFTQYLLSPECDIFDPEQKKVAQDMTQPLSHYYINASHNTYLIE DQFRGPADINGYVRALKMGCRSIELDVSDGPDNEPILCNRNNMAMHLSFRSVLEVINKFA FVASEYPLILCLGNHCSLPQQKVMAQQMKKVFGEKLYTEAPLSSESYLPSPEKLKNMIIV KGKKLPSESDLLEGEVTDEDEEAEMSRRMSGDYNGEQKHIWLCRELSDLVSICKSVQHRD FELSMKTQNYWEMCSFSETEASRIANEYPEDFVNYNKKFLSRVYPSAMRIDSSNLNPQDF WNCGCQIVAMNFQTPGPMMDLHTGWFLQNGGCGYVLRPSIMRDEVSYFSANTKGIVPGVS PLVLHIKIISGQNFPKPKGACAKGDVIDPYVCVEIHGIPADCCEQRTKTVQQNSDNPIFD ETFEFQVNLPELTMVRFVILDDDYIGDEFIGQYTIPFECLQPGYRHVPLRSFVGDIMEHV TLFVHIAITNRSGGGKPQKRSLSVRMGKKVREYTMLRNIGLKTIDDIFKIAVHPLREAID MRENMQNAIVSVKELCGLPPIASLKQCLLTLSSRLITSDSTPSVSLVMKDCFPYLEPLGA IPDVQKRMLAAYDLMIQESRVLIEMADTVQEKIVQCQKAGMEFHEELHNLGAKEGLKGRK LNKAIESFAWNITVLKGQGDLLKNAKNEAVENIKQIQLACLSCGLSKGPGGGSEAKGKRS LEAIEEKESSEENGKL >ENSMUSP00000110898.2 pep:known chromosome:GRCm38:9:27790947:28925410:1 gene:ENSMUSG00000062257.12 transcript:ENSMUST00000115243.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opcml description:opioid binding protein/cell adhesion molecule-like [Source:MGI Symbol;Acc:MGI:97397] MYHPAYWIVFSATTALLFIPGVPVRSGDATFPKAMDNVTVRQGESATLRCTIDDRVTRVA WLNRSTILYAGNDKWSIDPRVIILVNTPTQYSIMIQNVDVYDEGPYTCSVQTDNHPKTSR VHLIVQVPPQIMNISSDITVNEGSSVTLLCLAIGRPEPTVTWRHLSVKGQGFVSEDEYLE ISDIKRDQSGEYECSALNDVAAPDVRKVKITVNYPPYISKAKNTGVSVGQKGILSCEASA VPMAEFQWFKEDTRLATGLDGVRIENKGRISTLTFFNVSEKDYGNYTCVATNKLGNTNAS ITLYGPGAVIDGVNSASRALACLWLSGTFFAHFFIKF >ENSMUSP00000073493.5 pep:known chromosome:GRCm38:9:28403797:28921078:1 gene:ENSMUSG00000062257.12 transcript:ENSMUST00000073822.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opcml description:opioid binding protein/cell adhesion molecule-like [Source:MGI Symbol;Acc:MGI:97397] MGVCGYLFLPWKCLVVVSLRLLFLVPTGVPVRSGDATFPKAMDNVTVRQGESATLRCTID DRVTRVAWLNRSTILYAGNDKWSIDPRVIILVNTPTQYSIMIQNVDVYDEGPYTCSVQTD NHPKTSRVHLIVQVPPQIMNISSDITVNEGSSVTLLCLAIGRPEPTVTWRHLSVKEGQGF VSEDEYLEISDIKRDQSGEYECSALNDVAAPDVRKVKITVNYPPYISKAKNTGVSVGQKG ILSCEASAVPMAEFQWFKEDTRLATGLDGVRIENKGRISTLTFFNVSEKDYGNYTCVATN KLGNTNASITLYGPGAVIDGVNSASRALACLWLSGTFFAHFFIKF >ENSMUSP00000110491.1 pep:known chromosome:GRCm38:17:27909340:28062600:1 gene:ENSMUSG00000024219.15 transcript:ENSMUST00000114842.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1 description:ankyrin repeat and SAM domain containing 1 [Source:MGI Symbol;Acc:MGI:2446180] MGKEQELLEAARTGHLPAVEKLLSGKRLSSGFGGGGGGSGSGGGSGGGGLGSSSHPLSSL LSMWRGPNVNCVDSTGYTPLHHAALNGHRDVVEVLLRNDALTNVADSKGCYPLHLAAWKG DAQIVRLLIQQGPSHTRVNEQNALEIRELKKYGPFDPYINAKNNDNETALHCAAQYGHTE VVKALLEELTDPTMRNNKFETPLDLAALYGRLEVVKLLLGAHPNLLSCSTRKHTPLHLAA RNGHKAVVQVLLDAGMDSNYQTEMGSALHEAALFGKTDVVQILLAAGIDVNIKDNRGLTA LDTVRDLPSQKSQQIAALIEDHMTGKRSVKEVDRTSTAQLPLLSNTDAIAPMSQGSMEKT VTELILHFDTHADEEGPYEALYNAVSCHSLDSTASGRSSDRDSMNKEAEATGTRAAGVRP RERPPPPAKPPPDEEEEERVDKKYFPLAASEGLAVRPRIQSSAPQEEEEHPYELLLTAET KKLGTTDGRTEDHRQSGSGRSQDSVEGQDGQVPEQFSGLLHGSSPVCEVGQDPFQLLTAP SQSHPESSQQDACHEASMQLEEPGVQGTEPPQPGVPDQSKRVGLPAGLTALASRTYLDAL THTVPLRPAGAEEEDQSGPRSRAPPTSKPKAELKLSRSLSKSDSDLLTCSPTEDATMGSR SESLSNCSIGKKRLEKSPSFASEWDEIEKIMSSIGEGIDFSQEQQKISGSRTLEQSVGEW LESIGLQQYESKLLLNGFDDVRFLGSNVMEEQDLREIGISDPQHRRKLLQAARSLPKVKA LGYDGVSPTSVPSWLDSLGLQDYVHSFLSSGYSSIDTVKNLWELELVNVLKVHLLGHRKR IIASLADRPYEEPPQKPPRFSQLRCQDLISQTSSPLSQNDSCTGRSADLLLPSADTSRRR HDSLPDPGTASRADRFRVQEEPSETKLTLRPPSLAAPYAPVQSWQHQPEKLIFESCGYEA NYLGSMLIKDLRGTESTQDACAKMRKSTEHMKKIPTIILSITYKGVKFIDASNKNVIAEH EIRNISCAAQDPEDLCTFAYITKDLQTSHHYCHVFSTVDVNLTYEIILTLGQAFEVAYQL ALQAQKSRTMAASAASMIETKSSKPVPKPRVGMRKSALEPPDSDQEAPSHASVSWIVDPK PDSKRSLSTN >ENSMUSP00000025058.7 pep:known chromosome:GRCm38:17:27909372:28062597:1 gene:ENSMUSG00000024219.15 transcript:ENSMUST00000025058.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1 description:ankyrin repeat and SAM domain containing 1 [Source:MGI Symbol;Acc:MGI:2446180] MGKEQELLEAARTGHLPAVEKLLSGKRLSSGFGGGGGGSGSGGGSGGGGLGSSSHPLSSL LSMWRGPNVNCVDSTGYTPLHHAALNGHRDVVEVLLRNDALTNVADSKGCYPLHLAAWKG DAQIVRLLIQQGPSHTRVNEQNALEIRELKKYGPFDPYINAKNNDNETALHCAAQYGHTE VVKALLEELTDPTMRNNKFETPLDLAALYGRLEVVKLLLGAHPNLLSCSTRKHTPLHLAA RNGHKAVVQVLLDAGMDSNYQTEMGSALHEAALFGKTDVVQILLAAGIDVNIKDNRGLTA LDTVRDLPSQKSQQIAALIEDHMTGKRSVKEVDRTSTAQLPLLSNTDAIAPMSQGSMEKT VTELILHFDTHADEEGPYEALYNAVSCHSLDSTASGRSSDRDSMNKEAEATGTRAAGVRP RERPPPPAKPPPDEEEEERVDKKYFPLAASEGLAVRPRIQSSAPQEEEEHPYELLLTAET KKLGTTDGRTEDHRQSGSGRSQDSVEGQDGQVPEQFSGLLHGSSPVCEVGQDPFQLLTAP SQSHPESSQQDACHEASMQLEEPGVQGTEPPQPGVPDQSKRVGLPAGLTALASRTYLDAL THTVPLRPAGAEEEDQSGPRSRAPPTSKPKAELKLSRSLSKSDSDLLTCSPTEDATMGSR SESLSNCSIGKKRLEKSPSFASEWDEIEKIMSSIGEGIDFSQEQQKISGSRTLEQSVGEW LESIGLQQYESKLLLNGFDDVRFLGSNVMEEQDLREIGISDPQHRRKLLQAARSLPKVKA LGYDGVSPTSVPSWLDSLGLQDYVHSFLSSGYSSIDTVKNLWELELVNVLKVHLLGHRKR IIASLADRPYEEPPQKPPRFSQLRCQDLISQTSSPLSQNDSCTGRSADLLLPSADTSRRR HDSLPDPGTASRADRFRVQEEPSETKLTLRPPSLAAPYAPVQSWQHQPEKLIFESCGYEA NYLGSMLIKDLRGTESTQDACAKMRKSTEHMKKIPTIILSITYKGVKFIDASNKNVIAEH EIRNISCAAQDPEDLCTFAYITKDLQTSHHYCHVFSTVDVNLTYEIILTLGQAFEVAYQL ALQAQKSRTMAASAASMIETKSSKPVPKPRVGMRKSAVPVPPDSRCCHCHTCTTHRPSYL PLPSVSPGVKLEPPDSDQEAPSHASVSWIVDPKPDSKRSLSTKYETTIF >ENSMUSP00000085344.5 pep:known chromosome:GRCm38:17:27909372:28062597:1 gene:ENSMUSG00000024219.15 transcript:ENSMUST00000088027.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1 description:ankyrin repeat and SAM domain containing 1 [Source:MGI Symbol;Acc:MGI:2446180] MGKEQELLEAARTGHLPAVEKLLSGKRLSSGFGGGGGGSGSGGGSGGGGLGSSSHPLSSL LSMWRGPNVNCVDSTGYTPLHHAALNGHRDVVEVLLRNDALTNVADSKGCYPLHLAAWKG DAQIVRLLIQQGPSHTRVNEQNNDNETALHCAAQYGHTEVVKALLEELTDPTMRNNKFET PLDLAALYGRLEVVKLLLGAHPNLLSCSTRKHTPLHLAARNGHKAVVQVLLDAGMDSNYQ TEMGSALHEAALFGKTDVVQILLAAGIDVNIKDNRGLTALDTVRDLPSQKSQQIAALIED HMTGKRSVKEVDRTSTAQLPLLSNTDAIAPMSQGSMEKTVTELILHFDTHADEEGPYEAL YNAVSCHSLDSTASGRSSDRDSMNKEAEATGTRAAGVRPRERPPPPAKPPPDEEEEERVD KKYFPLAASEGLAVRPRIQSSAPQEEEEHPYELLLTAETKKLGTTDGRTEDHRQSGSGRS QDSVEGQDGQVPEQFSGLLHGSSPVCEVGQDPFQLLTAPSQSHPESSQQDACHEASMQLE EPGVQGTEPPQPGVPDQSKRVGLPAGLTALASRTYLDALTHTVPLRPAGAEEEDQSGPRS RAPPTSKPKAELKLSRSLSKSDSDLLTCSPTEDATMGSRSESLSNCSIGKKRLEKSPSFA SEWDEIEKIMSSIGEGIDFSQEQQKISGSRTLEQSVGEWLESIGLQQYESKLLLNGFDDV RFLGSNVMEEQDLREIGISDPQHRRKLLQAARSLPKVKALGYDGVSPTSVPSWLDSLGLQ DYVHSFLSSGYSSIDTVKNLWELELVNVLKVHLLGHRKRIIASLADRPYEEPPQKPPRFS QLRCQDLISQTSSPLSQNDSCTGRSADLLLPSADTSRRRHDSLPDPGTASRADRFRVQEE PSETKLTLRPPSLAAPYAPVQSWQHQPEKLIFESCGYEANYLGSMLIKDLRGTESTQDAC AKMRKSTEHMKKIPTIILSITYKGVKFIDASNKNVIAEHEIRNISCAAQDPEDLCTFAYI TKDLQTSHHYCHVFSTVDVNLTYEIILTLGQAFEVAYQLALQAQKSRTMAASAASMIETK SSKPVPKPRVGMRKSAVPVPPDSRCCHCHTCTTHRPSYLPLPSVSPGVKLEPPDSDQEAP SHASVSWIVDPKPDSKRSLSTKYETTIF >ENSMUSP00000065308.6 pep:known chromosome:GRCm38:7:43712567:43727534:1 gene:ENSMUSG00000054046.7 transcript:ENSMUST00000066834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk13 description:kallikrein related-peptidase 13 [Source:MGI Symbol;Acc:MGI:3615275] MWPLVATIACLTLALSEGISRDYPKILNGTNGTSGFLPGGYTCLPHSQPWQAALLIRGRL LCGGVLVHPKWVLTAAHCRKDGYTVHLGKHALGRVENGEQAMEVVRSIPHPEYQVTPTHL NHDHDIMLLELKSPVQLSSHVRTLKLSADDCLPTGTCCRVSGWGTTTSPQVNYPKTLQCA NIELRSDEECRQVYPGKITANMLCAGTKEGGKDSCEGDSGGPLICNGKLYGIISWGDFPC GQPNRPGVYTRVSKYLRWIREIIRNTPEQRWTKGTQ >ENSMUSP00000145746.1 pep:known chromosome:GRCm38:7:43713399:43721311:1 gene:ENSMUSG00000054046.7 transcript:ENSMUST00000206554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk13 description:kallikrein related-peptidase 13 [Source:MGI Symbol;Acc:MGI:3615275] MWPLVATIACLTLALSEGISRDYPKILNGTNGTSGFLPGGYTCLPHSQPWQAALLIRGRL LCGGVLVHPKWVLTAAHCRKDGYTVHLGKHALGRV >ENSMUSP00000145875.1 pep:known chromosome:GRCm38:7:43714959:43723867:1 gene:ENSMUSG00000054046.7 transcript:ENSMUST00000205457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk13 description:kallikrein related-peptidase 13 [Source:MGI Symbol;Acc:MGI:3615275] MEVVRSIPHPEYQVTPTHLNHDHDIMLLELKSPVQLSSHVRTLKLSADDCLPTGTCCRVS GWGTTTSPQVNYPKTLQCANIELRSDEECRQVYPGKITANMLCAGTK >ENSMUSP00000038195.3 pep:known chromosome:GRCm38:7:127382260:127387166:-1 gene:ENSMUSG00000030823.6 transcript:ENSMUST00000049052.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130019O22Rik description:RIKEN cDNA 9130019O22 gene [Source:MGI Symbol;Acc:MGI:1926171] MAQRIALDEASVPPVFCKPWAVNFADVAVYFSPEEWRYLRPAQRALYREVMRETYGLLAY LDVGCAKPALICWVEEESEVWGPCAQDPEVAMCRTEVHSDCRHEKERKRPREETEAMQKT FSPEPGQKEPQLSFAVSPSGVQATVLRADKCHDCNLCGKSFARRSTLEAHMYTHTGEKPF QCPDCNKRFGRYSSLSTHRAIHRGERPHQCPDCGKSFTQRSKLVAHMYTHTGEKPFQCPD CNKRFSRPSSLSTHRAIHRGERLHQCPDCGKSFTQRCTLVAHMYSHTGEKPFQCPDCNKR FGRYSSLSSHRAIHRGERPHQCPDCGKGFTQRCTLVDHMYSHTGEKSFNCPDCCKSFGRP SSLSRHRAIHRMEQPHRCSHCGRTFTRRSSLTSHLRVHTGEKPYCCADCGRCFSQRSAFR EHQRVVHSGVTPFSCTHCGSAFADSKYLRRHMRIHTGEKPYSCPDCGRCFRQSSEIPAHR RTHTGERPYPCPQCGRQFRTKSAMTSHQWVHRPGAKGHKDKKANQLSISLDPRQEDPDPP VGF >ENSMUSP00000131610.1 pep:known chromosome:GRCm38:7:127383276:127387113:-1 gene:ENSMUSG00000030823.6 transcript:ENSMUST00000164345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130019O22Rik description:RIKEN cDNA 9130019O22 gene [Source:MGI Symbol;Acc:MGI:1926171] MAQRIALDEASVPPVFCKPWAVNFADVAVYFSPEEWRRRMRQTSTHLLGGGRVRSVGTLC PGSRGGHVQDRSSLRLQAREGKEETKGRD >ENSMUSP00000021339.7 pep:known chromosome:GRCm38:12:51997507:52006501:-1 gene:ENSMUSG00000020956.14 transcript:ENSMUST00000021339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtd2 description:D-tyrosyl-tRNA deacylase 2 [Source:MGI Symbol;Acc:MGI:1923485] MADGGRVAQARALLQQCLHARLQVRPADGDAAAQWVEIRRGLVIYVCFFKGADTDLLPKM VNTLLNVKLSETETGKHVSILDLPGDVLIIPQATLGGRVKGRSMQYHSNSGKEEGSELYS QFVSLCEKAVANNTKSVEAGVAVAHGTYGNRQVLKLDTNGPYTHLIEF >ENSMUSP00000082525.3 pep:known chromosome:GRCm38:12:51988312:52006501:-1 gene:ENSMUSG00000020956.14 transcript:ENSMUST00000085404.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtd2 description:D-tyrosyl-tRNA deacylase 2 [Source:MGI Symbol;Acc:MGI:1923485] MADGGRVAQARALLQQCLHARLQVRPADGDAAAQWVEIRRGLVIYVCFFKGADTDLLPKM VNTLLNVKLSETETGKHVSILDLPGDVLIIPQATLGGRVKGRSMQYHSNSGKEEGSELYS QFVSLCEKAVANNTKSVEAGVAVAHGTYGNRWSHTQKELQTLLTAF >ENSMUSP00000146528.1 pep:known chromosome:GRCm38:2:111661453:111665166:1 gene:ENSMUSG00000109219.1 transcript:ENSMUST00000207228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1299 description:olfactory receptor 1299 [Source:MGI Symbol;Acc:MGI:3031133] MNGVNESTVSEFVLLGLSRSQNLQVLLFVIFLILYLLIISGNIVIMILITIDRHLHSPMY FLLANLSFVDIWLSSVTTPKMVIDFLREHKTISFEGCMSQVFFAHCIAAGEMVLLLVMAY DRYVAICKPLHYFTIMNLKRCTGLVLTSWTVGFVHALSQLVAVLQLPLCGPLEIDSFFCD MPLVIKLACTDSHDLDILMNADCGIVVVSCFIMLLISYTYILITVRRSSKAGASKALSTC TAHITVVMLLFLPCIFIYVWPLNITWLDKFLAVFYSVVTPLLNPAIYTLRNKEIKNALKR FKSYVMNHKVNT >ENSMUSP00000037616.5 pep:known chromosome:GRCm38:7:30355489:30362772:-1 gene:ENSMUSG00000036957.8 transcript:ENSMUST00000046351.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrfn3 description:leucine rich repeat and fibronectin type III domain containing 3 [Source:MGI Symbol;Acc:MGI:2442512] MAVLPLLLCLLPLAPASSPPQPAISSPCPRRCRCQTQSMPLSVLCPGAGLLFVPPSLDRR AAELRLADNFIAAVRRRDLANMTGLLHLSLSRNTIRHVAAGAFADLRALRALHLDGNRLT SLGEGQLRGLVNLRHLILSNNQLAALAAGALDDCAETLEDLDLSYNNLEQLPWEALGRLG NVNTLGLDHNLLASVPAGAFSRLHKLARLDMTSNRLTTIPPDPLFSRLPLLARPRGSPAS ALVLAFGGNPLHCNCELVWLRRLAREDDLEACASPPALGGRYFWAVGEEEFVCEPPVVTH RSPPLAVPAGRPAALRCRAVGDPEPRVRWVSPQGRLLGNSSRARAFPNGTLELLVTEPED GGTFTCIAANAAGEATAAVELTVGPPPPPQLANSTSCDPPRDGEPDALTPPSAASASAKV ADTVAPTDRGVQVTEHGATAALVQWPDQRPVPGIRMYQIQYNSSADDILVYRMIPADSRS FLLTDLASGRTYDLCVLAVYEDSATGLTATRPVGCARFSTEPALRPCAAPHAPFLGGTMI IALGGVIVASVLVFIFVLLLRYKVHGGQPPGKAKATAPVSSVCSQTNGALGPVPSAPAPE PAAPRAHTVVQLDCEPWGPSHEPAGP >ENSMUSP00000044861.5 pep:known chromosome:GRCm38:2:104122769:104124749:1 gene:ENSMUSG00000032671.5 transcript:ENSMUST00000040374.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930018P22Rik description:RIKEN cDNA A930018P22 gene [Source:MGI Symbol;Acc:MGI:1915493] MPKGRRGSQNPKMSQRPAPPLYFPSLYDRGISSSPLSDFNIWKKLFVPLKAGGTPAAGVA GVAGVRSLPLAPPATVPPPPPGLGPPSERPCPPPWPSGLASIPYEPLRFFYSPPPGPEMA TSALVPGSTTPWLASASHPEELCELEIRIKELELLTITGDGFDSQRYKFLKALKDEKLQG LKMSRQPGKSASCS >ENSMUSP00000040417.5 pep:known chromosome:GRCm38:6:88842565:88874045:-1 gene:ENSMUSG00000033152.13 transcript:ENSMUST00000038409.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podxl2 description:podocalyxin-like 2 [Source:MGI Symbol;Acc:MGI:2442488] MGLDAGLAPGSGFPSEDSEESRLLQPPQYFWEEEELNGSSLDLGPTADYVFPDLTEKVAS MEDPGQAPDLPNLPSILPKMDLAEPPWHMPLQEEEEEEEEEEEEREEEEREKEAEEEEEE EELLPVSGSPGATAQAHAPSPSTSSSTSSQSPGATRHRQEDSGDQATSGMEVESSVKPTL SVPSVTPSTVAPGVQNYSQESGGTEWPTGGLGVQSEVPQGAGEGATVGAADFDGQQGALP SSSLPQTVPPSGTEVPSEGPLYPRIPDSLPPGPQDTESTPSSATWGQEGLSEQPLEGQAA EAHSLTPWDSTQVICKDWSNLAGKSYIILNMTENIDCEVFRRHRGLRLLALVEEVLPRHR SGHRGDWHISLSKPSEKEQHLLMTLVGEQGVVPTQDVLSMLSGIRRSLEEIGIQNYSTTS SCQARATQVRSDYGTLFVVLVIIGVICFIIIVLGLLYNCWQRRMPKLKHVSHGEELRFVE NGCHDNPTLDVASDSQSEMQEKQPSLNGGAINGPSSWSALMGSKRDPEDSDVFEEDTHL >ENSMUSP00000058985.4 pep:known chromosome:GRCm38:6:88842565:88874597:-1 gene:ENSMUSG00000033152.13 transcript:ENSMUST00000061262.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podxl2 description:podocalyxin-like 2 [Source:MGI Symbol;Acc:MGI:2442488] MGLDAGLAPGSGFPSEDSEESRLLQPPQYFWEEEELNGSSLDLGPTADYVFPDLTEKVAS MEDPGQAPDLPNLPSILPKMDLAEPPWHMPLQEEEEEEEEEEEEREEEEREKEAEEEEEE EELLPVSGSPGATAQAHAPSPSTSSSTSSQSPGATRHRQEDSGDQATSGMEVESSVKPTL SVPSVTPSTVAPGVQNYSQESGGTEWPTGGLGVQSEVPQGAGEGATVGAADFDGQQGALP SSSLPQTVPPSGTEVPSEGPLYPRIPDSLPPGPQDTESTPSSATWGQEGLSEQPLEGQAA EAHSLTPWDSTQVICKDWSNLAGKSYIILNMTENIDCEVFRRHRGLRLLALVEEVLPRHR SGHRGDWHISLSKPSEKEQHLLMTLVGEQGVVPTQDVLSMLSGIRRSLEEIGIQNYSTTS SCQARATQVRSDYGTLFVVLVIIGVICFIIIVLGLLYNCWQRRMPKLKHVSHGEELRFVE NGCHDNPTLDVASDSQSEMQEKQPSLNGGAINGPSSWSALMGSKRDPEDSDVFEEDTHL >ENSMUSP00000117954.2 pep:known chromosome:GRCm38:6:88842579:88875044:-1 gene:ENSMUSG00000033152.13 transcript:ENSMUST00000145944.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podxl2 description:podocalyxin-like 2 [Source:MGI Symbol;Acc:MGI:2442488] MARPLRAARLPPPLLLLLAAGASLGAYAVGVDEPGPEGLTSTSLLDLLLPTDFEPLDSEE PSEAMGLDAGLAPGSGFPSEDSEESRLLQPPQYFWEEEELNGSSLDLGPTADYVFPDLTE KVASMEDPGQAPDLPNLPSILPKMDLAEPPWHMPLQEEEEEEEEEEEEREEEEREKEAEE EEEEEELLPVSGSPGATAQAHAPSPSTSSSTSSQSPGATRHRQEDSGDQATSGMEVESSV KPTLSVPSVTPSTVAPGVQNYSQESGGTEWPTGGLGVQSEVPQGAGEGATVGAADFDGQQ GALPSSSLPQTVPPSGTEVPSEGPLYPRIPDSLPPGPQDTESTPSSATWGQEGLSEQPLE GQAAEAHSLTPWDSTQVICKDWSNLAGKSYIILNMTENIDCEVFRRHRGLRLLALVEEVL PRHRSGHRGDWHISLSKPSEKEQHLLMTLVGEQGVVPTQDVLSMLSGIRRSLEEIGIQNY STTSSCQARATQVRSDYGTLFVVLVIIGVICFIIIVLGLLYNCWQRRMPKLKHVSHGEEL RFVENGCHDNPTLDVASDSQSEMQEKQPSLNGGAINGPSSWSALMGSKRDPEDSDVFEED THL >ENSMUSP00000120870.1 pep:known chromosome:GRCm38:6:88849679:88874738:-1 gene:ENSMUSG00000033152.13 transcript:ENSMUST00000140455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podxl2 description:podocalyxin-like 2 [Source:MGI Symbol;Acc:MGI:2442488] MGLDAGLAPGSGFPSEDSEESRLLQPPQYFWEEEELNGSSLDLGPTADYVFPDLTEKVAS MEDPGQAPDLPNLPSILPKMDLAEPPWHMPLQEEEEEEEEEEEEREEEEREKEAEEEEEE EELLPVSGSPGATAQAHAPSPSTSSSTSSQ >ENSMUSP00000120460.1 pep:known chromosome:GRCm38:6:88849679:88874796:-1 gene:ENSMUSG00000033152.13 transcript:ENSMUST00000145780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podxl2 description:podocalyxin-like 2 [Source:MGI Symbol;Acc:MGI:2442488] MGLDAGLAPGSGFPSEDSEESRLLQPPQYFWEEEELNGSSLDLGPTADYVFPDLTEKVAS MEDPGQAPDLPNLPSILPKMDLAEPPWHMPLQEEEEEEEEEEEEREEEEREKEAEEEEEE EELLPVSGSPGATAQAHAPSPSTSSSTSSQ >ENSMUSP00000041427.8 pep:known chromosome:GRCm38:1:180935022:180938400:1 gene:ENSMUSG00000038793.8 transcript:ENSMUST00000037361.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lefty1 description:left right determination factor 1 [Source:MGI Symbol;Acc:MGI:107405] MPFLWLCWALWALSLVSLREALTGEQILGSLLQQLQLDQPPVLDKADVEGMVIPSHVRTQ YVALLQHSHASRSRGKRFSQNLREVAGRFLVSETSTHLLVFGMEQRLPPNSELVQAVLRL FQEPVPRTALRRQKRLSPHSARARVTIEWLRFRDDGSNRTALIDSRLVSIHESGWKAFDV TEAVNFWQQLSRPRQPLLLQVSVQREHLGPGTWSSHKLVRFAAQGTPDGKGQGEPQLELH TLDLKDYGAQGNCDPEAPVTEGTRCCRQEMYLDLQGMKWAENWILEPPGFLTYECVGSCL QLPESLTSRWPFLGPRQCVASEMTSLPMIVSVKEGGRTRPQVVSLPNMRVQTCSCASDGA LIPRRLQP >ENSMUSP00000109436.1 pep:known chromosome:GRCm38:1:74284930:74287454:1 gene:ENSMUSG00000026179.14 transcript:ENSMUST00000113805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnkd description:paroxysmal nonkinesiogenic dyskinesia [Source:MGI Symbol;Acc:MGI:1930773] MAAVVAATALKGRGARNARVLRGILSGATANKASQNRTRALQSHSSPECKEEPEPLSPEL EYIPRKRGKNPMKAVGLAWAIGFPCGILFFVLTKQEVDKDRLKQMKARQNMRVSNTGEYE SQRFRASPQQAQFPEVGSGAQT >ENSMUSP00000027370.6 pep:known chromosome:GRCm38:1:74285045:74353684:1 gene:ENSMUSG00000026179.14 transcript:ENSMUST00000027370.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnkd description:paroxysmal nonkinesiogenic dyskinesia [Source:MGI Symbol;Acc:MGI:1930773] MAAVVAATALKGRGARNARVLRGILSGATANKASQNRTRALQSHSSPECKEEPEPLSPEL EYIPRKRGKNPMKAVGLAWYSLYTRTWLGYLFYRQQLRRARNRYPKGHSKTQPRLFNGVK VLPIPVLSDNYSYLIIDTQAGLAVAVDPSDPRAVQASIEKERVNLVAILCTHKHWDHSGG NRDLSRRHRDCRVYGSPQDGIPYLTHPLCHQDVVSVGRLQIRALATPGHTQGHLVYLLDG EPYKGPSCLFSGDLLFLSGCGRTFEGTAETMLSSLDTVLDLGDDTLLWPGHEYAEENLGF AGVVEPENLARERKMQWVQRQRMERKSTCPSTLGEERAYNPFLRTHCLELQEALGPGPGP TSDDGCSRAQLLEELRRLKDMHKSK >ENSMUSP00000084478.4 pep:known chromosome:GRCm38:1:74285055:74353694:1 gene:ENSMUSG00000026179.14 transcript:ENSMUST00000087226.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnkd description:paroxysmal nonkinesiogenic dyskinesia [Source:MGI Symbol;Acc:MGI:1930773] MAAVVAATALKGRGARNARVLRGILSGATANKASQNRTRALQSHSSPECKEEPEPLSPEL EYIPRKRGKNPMKAVGLAWAIGFPCGILFFVLTKQEVDKDRLKQMKARQNMRVSNTGEYS LYTRTWLGYLFYRQQLRRARNRYPKGHSKTQPRLFNGVKVLPIPVLSDNYSYLIIDTQAG LAVAVDPSDPRAVQASIEKERVNLVAILCTHKHWDHSGGNRDLSRRHRDCRVYGSPQDGI PYLTHPLCHQDVVSVGRLQIRALATPGHTQGHLVYLLDGEPYKGPSCLFSGDLLFLSGCG RTFEGTAETMLSSLDTVLDLGDDTLLWPGHEYAEENLGFAGVVEPENLARERKMQWVQRQ RMERKSTCPSTLGEERAYNPFLRTHCLELQEALGPGPGPTSDDGCSRAQLLEELRRLKDM HKSK >ENSMUSP00000084477.5 pep:known chromosome:GRCm38:1:74332610:74353686:1 gene:ENSMUSG00000026179.14 transcript:ENSMUST00000087225.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnkd description:paroxysmal nonkinesiogenic dyskinesia [Source:MGI Symbol;Acc:MGI:1930773] MAWQGWLAPWLWVSGCWLLFFAFVLLLSPRSCQEQRGFRGLLMTRSQRLLFRIGYSLYTR TWLGYLFYRQQLRRARNRYPKGHSKTQPRLFNGVKVLPIPVLSDNYSYLIIDTQAGLAVA VDPSDPRAVQASIEKERVNLVAILCTHKHWDHSGGNRDLSRRHRDCRVYGSPQDGIPYLT HPLCHQDVVSVGRLQIRALATPGHTQGHLVYLLDGEPYKGPSCLFSGDLLFLSGCGRTFE GTAETMLSSLDTVLDLGDDTLLWPGHEYAEENLGFAGVVEPENLARERKMQWVQRQRMER KSTCPSTLGEERAYNPFLRTHCLELQEALGPGPGPTSDDGCSRAQLLEELRRLKDMHKSK >ENSMUSP00000111255.1 pep:known chromosome:GRCm38:9:15559864:15626731:-1 gene:ENSMUSG00000039977.16 transcript:ENSMUST00000115592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc67 description:coiled-coil domain containing 67 [Source:MGI Symbol;Acc:MGI:2443026] MENQAHTTAGASPCEAELQELMEQIDIMVSNKKLDWERKMRALETRLDLRDQELANAQTC LDQKGQEVGLLRQKLDSLEKCNLVMTQNYEGQLQTLKAQFSKLTSNFEKLRLHQMKQNQI HRKESSSKEELPFELSSLNQKLEEFRAKSREWDKQEVLYQTHLVSLDAQQKLLSEKCSQF QKQAQNYQTQLNGKKQCAEDSSSEIPRLVCESDPGCEATQRDEFIIEKLKSAVSEIALSR NKLQDENQKLLQELKMYQRQCQAMEAGLSEVKSELQSRDDLLRIIEMERLHLHRELLRMG EVQTAQDNRKRVESSYSPSPKEAERKRKELFPMVSDQPNHEKELSKAVDLSNKKHSQCTS INKLEYENERLRSDLAKLHGNGKAAWPNQSSYGEAGAYVFQSQLKTETSGDRISQDCELN RSPTPLSPLPFQTKEMASPLVGDNEVLALSPPDISFRASLAAQHFLMEEERRAKELEKLL NTHIDELQRHTEFTLNKYTKLKQSRHI >ENSMUSP00000039912.6 pep:known chromosome:GRCm38:9:15560558:15627903:-1 gene:ENSMUSG00000039977.16 transcript:ENSMUST00000045513.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc67 description:coiled-coil domain containing 67 [Source:MGI Symbol;Acc:MGI:2443026] MENQAHTTAGASPCEAELQELMEQIDIMVSNKKLDWERKMRALETRLDLRDQELANAQTC LDQKGQEVGLLRQKLDSLEKCNLVMTQNYEGQLQTLKAQFSKLTSNFEKLRLHQMKQNQI HRKESSSKEELPFELSSLNQKLEEFRAKSREWDKQEVLYQTHLVSLDAQQKLLSEKCSQF QKQAQNYQTQLNGKKQCAEDSSSEIPRLVCESDPGCEATQRDEFIIEKLKSAVSEIALSR NKLQDENQKLLQELKMYQRQCQAMEAGLSEVKSELQSRDDLLRIIEMERLHLHRELLRMG EVQTAQDNRKRVESSYSPSPKEAERKRKELFPMVSDQPNHEKELSKMRSQLYQEEGLCSE QERLRSEISELTQELHQKEVTIATVMKKAALLERQLKIELEIKERMLAKQQVSDRRYKAV RTENTHLKGMMGDLDPARYLAVDLSNKKHSQCTSINKLEYENERLRSDLAKLHGNGKAAW PNQSSYGEAGAYVFQSQLKTETSGDRISQDCELNRSPTPLSPLPFQTKEMASPLVGDNEV LALSPPDISFRASLAAQHFLMEEERRAKELEKLLNTHIDELQRHTEFTLNKYTKLKQSRH I >ENSMUSP00000121526.1 pep:known chromosome:GRCm38:9:15584177:15627914:-1 gene:ENSMUSG00000039977.16 transcript:ENSMUST00000152377.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc67 description:coiled-coil domain containing 67 [Source:MGI Symbol;Acc:MGI:2443026] MENQAHTTAGASPCEAELQELMEQIDIMVSNKKLDWERKMRALETRLDLRDQELANAQTC LDQKGQEVGLLRQKLDSLEKCNLVMTQNYEGQLQTLKAQFSKLTSNFEKLRLHQMKQNQI HRKESSSKEELPFELSSLNQKLEEFRAKSREWDKQEVLYQTHLVSLDAQQKLLSEKCSQF QKQAQNYQTQLNGKKQCAEDSSSEIPRLVCESDPGCEATQRDEFIIEKLKSAVSEIALSR NKLQDENQKLLQELKMYQRQCQAMEAGLSEVKSELQSRDDLLRIIEMERLHLHRELLRMG EVQTAQDNRKRVESSYSPSPKEAERKRKELFPMVSDQPNHEKELSKMRSQLYQEEGLCSE QERLRSEISELTQELHQKEVTIATVMKKAALLERQLK >ENSMUSP00000111256.3 pep:known chromosome:GRCm38:9:15559870:15627933:-1 gene:ENSMUSG00000039977.16 transcript:ENSMUST00000115593.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc67 description:coiled-coil domain containing 67 [Source:MGI Symbol;Acc:MGI:2443026] MENQAHTTAGASPCEAELQELMEQIDIMVSNKKLDWERKMRALETRLDLRDQELANAQTC LDQKGQEVGLLRQKLDSLEKCNLVMTQNYEGQLQTLKAQFSKLTSNFEKLRLHQMKQNQI HRKESSSKEELPFELSSLNQKLEEFRAKSREWDKQEVLYQTHLVSLDAQQKLLSEKCSQF QKQAQNYQTQLNGKKQCAEDSSSEIPRLVCESDPGCEATQRDEFIIEKLKSAVSEIALSR NKLQDENQKLLQELKMYQRQCQAMEAGLSEVKSELQSRDDLLRIIEMERLHLHRELLRMG EVQTAQDNRKRVESSYSPSPKEAERKRKELFPMVSDQPNHEKELSKAVDLSNKKHSQCTS INKLEYENERLRSDLAKLHGNGKAAWPNQSSYGEAGAYVFQSQLKTETSGDRISQDCELN RSPTPLSPLPFQTKEMASPLVGDNEVLALSPPDISFRASLAAQHFLMEEERRAKELEKLL NTHIDELQRHTEFTLNKYTKLKQSRHI >ENSMUSP00000068699.6 pep:known chromosome:GRCm38:11:3488284:3494166:1 gene:ENSMUSG00000034579.17 transcript:ENSMUST00000064265.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g3 description:phospholipase A2, group III [Source:MGI Symbol;Acc:MGI:2444945] MGVLGVLLGVLAFLEGSHTRHWDSTSCHLVQPIPGNPLGSLSFLGKDAQGLALFQAFWDT HHRLQVCIRQDESELITAFRALCAHEPLQHSFIQTPGPALQRALATLQSQWEACQRSQDS PTGAREKRAIEQSGAPDREHRRRRRGWTIPGTLWCGVGNSAENASELGVFHGPDLCCREH DQCPQTISPLQYNYGIRNFRFHTISHCDCDARFQQCLRSQGDSISDIMGVAFFNVLEIPC FVLKEQEACVAWNWWGGCRAYGSTPLAHLRPRTYYNASWKAEATSYTPSPQSPAPSKHPQ KRGPQQTQARRHSTTTTTPFQTPAISSRPDMIPRGQPGVPHLGFQDGPKHQSAHRVCRSL RHLDQCEHQIKPQETKFHLLNSAQMPLFHCDCTRRLARFLRLHSPPAGTDKVWDLLGTTC FKLAPQLDCAEGKGCSRDHRAIKVSARHLQRLHKSRLHFRDKGTGGALAQPVEPPGSTMS FYSQCLQVTQAIWRRRGQKKFWSS >ENSMUSP00000041571.6 pep:known chromosome:GRCm38:11:3488299:3499350:1 gene:ENSMUSG00000034579.17 transcript:ENSMUST00000044682.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pla2g3 description:phospholipase A2, group III [Source:MGI Symbol;Acc:MGI:2444945] MGVLGVLLGVLAFLEGSHTRHWDSTSCHLVQPIPGNPLGSLSFLGKDAQGLALFQAFWDT HHRLQVCIRQDESELITAFRALCAHEPLQHSFIQTPGPALQRALATLQSQWEACQRSQDS PTGAREKRAIEQSGAPDREHRRRRRGWTIPGTLWCGVGNSAENASELGVFHGPDLCCREH DQCPQTISPLQYNYGIRNFRFHTISHCDCDARFQQCLRSQGDSISDIMGVAFFNVLEIPC FVLKEQEACVAWNWWGGCRAYGSTPLAHLRPRTYYNASWKAEATSYTPSPQSPAPSKHPQ KRGPQQTQARRHSTTTTTPFQTPAISSRPDMIPRGQPGVPHLGFQDGPKHQSAHRVCRSL RHLDQCEHQIKPQETKFHLLNSAQMPLFHCDCTRRLARFLRLHSPPAGTDKVWDLLGTTC FKLAPQLDCAEGKGVSVCSREACNSL >ENSMUSP00000028111.4 pep:known chromosome:GRCm38:2:11642807:11693193:1 gene:ENSMUSG00000026770.5 transcript:ENSMUST00000028111.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il2ra description:interleukin 2 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:96549] MEPRLLMLGFLSLTIVPSCRAELCLYDPPEVPNATFKALSYKNGTILNCECKRGFRRLKE LVYMRCLGNSWSSNCQCTSNSHDKSRKQVTAQLEHQKEQQTTTDMQKPTQSMHQENLTGH CREPPPWKHEDSKRIYHFVEGQSVHYECIPGYKALQRGPAISICKMKCGKTGWTQPQLTC VDEREHHRFLASEESQGSRNSSPESETSCPITTTDFPQPTETTAMTETFVLTMEYKVAVA SCLFLLISILLLSGLTWQHRWRKSRRTI >ENSMUSP00000141881.1 pep:known chromosome:GRCm38:2:11642849:11648768:1 gene:ENSMUSG00000026770.5 transcript:ENSMUST00000150890.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il2ra description:interleukin 2 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:96549] MEPRLLMLGFLSLTIVPSCRAASHSCIRIIEVDKGTVLVLQHED >ENSMUSP00000063107.5 pep:known chromosome:GRCm38:10:85959340:86011895:-1 gene:ENSMUSG00000050108.12 transcript:ENSMUST00000061699.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifc description:BPI fold containing family C [Source:MGI Symbol;Acc:MGI:3026884] MRTKQVPVLWACFLLWSLYIASSQTVYPGITARITQRALDYGLQVGMKVLEQLAKEIVIP DLNGSESLKFLKIDYVKYNFSNIKINAFSFPNTSLAFVPGVGIRALSNHGTANISTNWSV KAPLFRDSGAANLFLSGIYFTGIVAFTRNDFGYPALELQDCHVQVSHARVSFFGSLSALY NSFAEPMEKPILKNLNEMLCPIAISQVEQFNVNISALEVLTKIDNYTVLDCSLISPPEIT ENHLDFNLKGAFYPLESLVDPPFTPAPFHLPESRDSMLYIGISEYFFKSASFAHYVSGAL GTTLSTREISNYFSQNVQGFGSVLSKIAEIYVLSQPFILQMMATGPPMVNLQRNNFSLEF PAAVIMLTQLDNSTIQPIVSMDFVASTSVGLAILGQKLICSLSLNRFRLSLPENSQRDAK VVRFENILSSILHFGVLPLANTKLQQGFPLPNPYNISFINSDIEVLEGYLLVSSDLAYDT SSKPQPNLNSWGDLNLVHRPWREQPTH >ENSMUSP00000100941.1 pep:known chromosome:GRCm38:10:85995901:86011856:-1 gene:ENSMUSG00000050108.12 transcript:ENSMUST00000105304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifc description:BPI fold containing family C [Source:MGI Symbol;Acc:MGI:3026884] MRTKQVPVLWACFLLWSLYIASSQTVYPGITARITQRALDYGLQVGMKVLEQLAKEIVIP DLNGSESLKFLKIDYVKYNFSK >ENSMUSP00000080739.7 pep:known chromosome:GRCm38:7:81460401:81493925:-1 gene:ENSMUSG00000062444.14 transcript:ENSMUST00000082090.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3b2 description:adaptor-related protein complex 3, beta 2 subunit [Source:MGI Symbol;Acc:MGI:1100869] MSAAPAYSEDKGGSAGPGEPEYGHDPASGGIFSSDYKRHDDLKEMLDTNKDSLKLEAMKR IVAMIARGKNASDLFPAVVKNVACKNIEVKKLVYVYLVRYAEEQQDLALLSISTFQRGLK DPNQLIRASALRVLSSIRVPIIVPIMMLAIKEAASDMSPYVRKTAAHAIPKLYSLDSDQK DQLIEVIEKLLADKTTLVAGSVVMAFEEVCPERIDLIHKNYRKLCNLLIDVEEWGQVVII SMLTRYARTQFLSPTQNESLLEENPEKAFYGSEEDEAKGPGSEEAATAALPARKPYVMDP DHRLLLRNTKPLLQSRSAAVVMAVAQLYFHLAPKAEVGVIAKALVRLLRSHSEVQYVVLQ NVATMSIKRRGMFEPYLKSFYIRSTDPTQIKILKLEVLTNLANETNIPTVLREFQTYIRS MDKDFVAATIQAIGRCATNIGRVRDTCLNGLVQLLSNRDELVVAESVVVIKKLLQMQPAQ HGEIIKHLAKLTDNIQVPMARASILWLIGEYCEHVPKIAPDVLRKMAKSFTAEEDIVKLQ VINLAAKLYLTNSKQTKLLTQYVLSLAKYDQNYDIRDRARFTRQLIVPSEQGGALSRHAK KLFLAPKPAPILESSFKDRDHFQLGSLSHLLNAKATGYQELPDWPEEAPDPSVRNVEVPE WTKCSNREKRKEKEKPFYSDSEGESGPTESADSEPESESESESKSSSGSGSGESSSESDN EEEDEEKGGGSESEQSEEEDEKKKKTKKKKASEGHREGSSSEEGSDSSSSSESEVTSESE EEQVEPASWRKKTPPGSKSAPVAKEISLLDLEDFTPPSVQPVSPPMVVSTSLAADLEGLT LTDSSLVPSLLSPVSSIGRQELLHRVAGEGLSVDYAFSRQPFSGDPHMVSLHIYFSNNSE TPIKGLHVGTPKLPAGISIQEFPEIESLAPGESTTTVMGINFCDSTQAANFQLCTQTRQF YVSIQPPVGELMAPVFMSENEFKKEQGKLTGMNEITEKLTLPDTCRSDHMVVQKVTATAN LGRVPCGTSDEYRFAGRTLTSGSLVLLTLDARAAGAAQLTVNSEKMVIGTMLVKDVIQAL TQ >ENSMUSP00000146497.1 pep:known chromosome:GRCm38:7:81474380:81493706:-1 gene:ENSMUSG00000062444.14 transcript:ENSMUST00000152355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3b2 description:adaptor-related protein complex 3, beta 2 subunit [Source:MGI Symbol;Acc:MGI:1100869] MSAAPAYSEDKGGSAGPGEPEYGHDPASGGIFSSDYKRHDDLKEMLDTNKDSLKLEAMKR IVAMIARGKNASDLFPAVVKNVACKNIEVKKLVYVYLVRYAEEQQDLALLSISTFQRGLK DPNQLIRASALRVLSSIRVPIIVPIMMLAIKEAASDMSPYVRKTAAHAIPKLYSLDSDQK DQLIEVIEKLLADKTTLVAGSVVMAFEEVCPERIDLIHKNYRKLCNLLIDVEEWGQVVII SMLTRYARTQFLSPTQNESLLEENPEKAFYGSEEDEAKGPGSEEAATAALPARKPYVMDP DHRLLLRNTKPLLQSRSAAVVMAVAQLYFHLAPKAEVGVIAKALVRLLRSHSEVQYVVLQ NVATMSIKRRGMFEPYLKSFYIRSTDPTQIKILKVSARPLIPANLLEYQPG >ENSMUSP00000014058.8 pep:known chromosome:GRCm38:7:43781035:43785410:1 gene:ENSMUSG00000030693.10 transcript:ENSMUST00000014058.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk10 description:kallikrein related-peptidase 10 [Source:MGI Symbol;Acc:MGI:1916790] MRVPLLHLSTASGSWSLVKLLLPLLMVQLWAAQALLLPGNATRVDLEASGAQCERDYHPW QVSLFHNLQFQCAGVLVDQNWVLTAAHCWRNKPLRARVGDDHLLLFQKEQLRSTSSPVFH PKYQACSGPILPHRSDEHDLMMLKLSSPVMLTSNVHPVQLPFRCSQPGQECQVSGWGTSA SRRVKYNRSLSCSKVTLLSQKQCETFYPGVITNSMICAEADGNQDSCQSDSGGPLVCDDT LHGVLSWGIYPCGAAQHPSVYSEICKYTPWIRRVIRFK >ENSMUSP00000073854.6 pep:known chromosome:GRCm38:X:53434918:53443576:1 gene:ENSMUSG00000060967.6 transcript:ENSMUST00000074232.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etd description:embryonic testis differentiation [Source:MGI Symbol;Acc:MGI:1916751] MDEKNPEAVPRPPEQNTELVPPKKSKSKKPANILIYLIDRHLGRPRNDMDLFEWVWTLK >ENSMUSP00000136636.1 pep:known chromosome:GRCm38:Y:37957811:37960145:1 gene:ENSMUSG00000094575.2 transcript:ENSMUST00000177666.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20738 description:predicted gene, 20738 [Source:MGI Symbol;Acc:MGI:5434094] MTSLKKKSRRKPSSQALGNIVGCRISHGWKQGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPPKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYILVSNIT >ENSMUSP00000144900.1 pep:known chromosome:GRCm38:11:30885416:31101333:1 gene:ENSMUSG00000020305.13 transcript:ENSMUST00000203878.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb3 description:ankyrin repeat and SOCS box-containing 3 [Source:MGI Symbol;Acc:MGI:1929749] MAMMTLARQLPGHRAQIGSLLRHGGGRAALRPAGRLIKRMDFTEAYSDTCSTVGLAAREG NVKILRKLLKKGRSVDVADNRGWMPIHEAAYHNAVECLQMLIHTDSSENYIKAKTFEGFC ALHLAVSQGHWKITQILLEAGADPNETTLEETTPLFLAVESGRIDVLKLLLQHGANVNGS HSMSGWNSLHQASFQGNAETIRLLLKQGADRECQDDFGITPLFVAAQYGKLESMSILISS GANVNCQALDKATPLFIAAQEGHTKCVELLLSSGADPDLYCNEDNWQLPIHAAAQMGHTE TLDLLIPRTNRACDTGPDKVSPVYSAVFGGREECLEMLLQNGYSPDAQMCLVFGFSSPLC MAFQKDCDFSGIVNILLKYGAQLNELHLAYCLKYEKFSMFCSFLKKGSLMVPWNHTSEFI SYAVKAQTKYKAWLPHLLLAGFDPLILLCSSSWVDSASDDILIFTLEFSNWKRLPSAVEK MLSVRANSSWALQQHLASVPSLTHLCRLEIRASLKAEHLHSDIFIHQLPLPRSLQNYLLY EEVLRMNEILEPAANQDGETSKAT >ENSMUSP00000020551.6 pep:known chromosome:GRCm38:11:30954395:31102704:1 gene:ENSMUSG00000020305.13 transcript:ENSMUST00000020551.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb3 description:ankyrin repeat and SOCS box-containing 3 [Source:MGI Symbol;Acc:MGI:1929749] MDFTEAYSDTCSTVGLAAREGNVKILRKLLKKGRSVDVADNRGWMPIHEAAYHNAVECLQ MLIHTDSSENYIKAKTFEGFCALHLAVSQGHWKITQILLEAGADPNETTLEETTPLFLAV ESGRIDVLKLLLQHGANVNGSHSMSGWNSLHQASFQGNAETIRLLLKQGADRECQDDFGI TPLFVAAQYGKLESMSILISSGANVNCQALDKATPLFIAAQEGHTKCVELLLSSGADPDL YCNEDNWQLPIHAAAQMGHTETLDLLIPRTNRACDTGPDKVSPVYSAVFGGREECLEMLL QNGYSPDAQMCLVFGFSSPLCMAFQKDCDFSGIVNILLKYGAQLNELHLAYCLKYEKFSM FCSFLKKGSLMVPWNHTSEFISYAVKAQTKYKAWLPHLLLAGFDPLILLCSSSWVDSASD DILIFTLEFSNWKRLPSAVEKMLSVRANSSWALQQHLASVPSLTHLCRLEIRASLKAEHL HSDIFIHQLPLPRSLQNYLLYEEVLRMNEILEPAANQDGETSKAT >ENSMUSP00000114692.1 pep:known chromosome:GRCm38:11:30954406:31102704:1 gene:ENSMUSG00000020305.13 transcript:ENSMUST00000137306.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asb3 description:ankyrin repeat and SOCS box-containing 3 [Source:MGI Symbol;Acc:MGI:1929749] MDFTEAYSDTCSTVGLAAREGNVKILRKLLKKGRSVDVADNRGWMPIHEAAYHNAVECLQ MLIHTGPMASRVVTVLEHSFCGKNTKGLFTLESFLIQLSLDSLIHLKTTLRQRRLRASVH CILL >ENSMUSP00000113072.1 pep:known chromosome:GRCm38:11:30954419:31086104:1 gene:ENSMUSG00000020305.13 transcript:ENSMUST00000117883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb3 description:ankyrin repeat and SOCS box-containing 3 [Source:MGI Symbol;Acc:MGI:1929749] MDFTEAYSDTCSTVGLAAREGNVKILRKLLKKGRSVDVADNRGWMPIHEAAYHNAVECLQ MLIHTDSSENYIKAKTFEGFCALHLAVSQGHWKITQILLEAGADPNETTLEETTPLFLAV ESGRIDVLKLLLQHGANVNGSHSMSGWNSLHQASFQGNAETIRLLLKQGADRECQDDFGI TPLFVAAQYGKLESMSILISSGANVNCQALDKATPLFIAAQEGHTKCVELLLSSGADPDL YCNEDNWQLPIHAAAQMGHTETLDLLIPRTNRACDTGPDKVSPVYSAVFGGREECLEMLL QNGYSPDAQMCLVFGFSSPLCMAFQKDCDFSGIVNILLKYGAQLNELHLAYCLKYEKFSM FCSFLKKGSLMVPWNHTSEFISYAVKAQTKYKAWLPHLLLAGFDPLILLCSSSWVDSASD DILIFTLEFSNWKRLPSAVEKMLSVRANSSWALQQHLGKKAQL >ENSMUSP00000100673.1 pep:known chromosome:GRCm38:11:99948475:99949551:1 gene:ENSMUSG00000078255.1 transcript:ENSMUST00000105052.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap9-5 description:keratin associated protein 9-5 [Source:MGI Symbol;Acc:MGI:3650333] MTNSCCSPCSQLGCCRTTCCRPTYVTSCSPSRYQPSCCETTCCRTTCCKPTSINSCCQPS MCETTCYKPICITSCCSPPCCQPSCCETTCYRTTCCCYKPTCATNCCQPSCYETTCCKPA CVTSCCSPPCCQPSSCETTCYRTTCCCKPTCVTSCCQPSCCETTCCKPACVASCCSPPCC QPSCCETTCCRTTCYKPPCVTSCCHSSNCETTCYKPTCITSCCQPSCCETSCCRTTCCKP TCVISCCQPSCCETTCCRTTCCKPTCVISCCQPSCCETTCCKPVCVTSCYSPPCCQPSCC ETTCCRTTCCKPTCVTSCCQPSCCETTCCRSTCCKPACVTSCCSPPCCCESSSCKPCY >ENSMUSP00000040920.8 pep:known chromosome:GRCm38:6:52242106:52245810:-1 gene:ENSMUSG00000038210.10 transcript:ENSMUST00000048026.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa11 description:homeobox A11 [Source:MGI Symbol;Acc:MGI:96172] MMDFDERGPCSSNMYLPSCTYYVSGPDFSSLPSFLPQTPSSRPMTYSYSSNLPQVQPVRE VTFREYAIEPATKWHPRGNLAHCYSAEELVHRDCLQAPSAAGVPGDVLAKSSANVYHHPT PAVSSNFYSTVGRNGVLPQAFDQFFETAYGTPENLASSDYPGDKNAEKGPQAAAATSAAA VAAAATGAPATSSSDGGGGGGCQEAAAEEKERRRRPESSSSPESSSGHTEDKAGGSGGQR TRKKRCPYTKYQIRELEREFFFSVYINKEKRLQLSRMLNLTDRQVKIWFQNRRMKEKKIN RDRLQYYSANPLL >ENSMUSP00000048059.4 pep:known chromosome:GRCm38:12:52023003:52028063:-1 gene:ENSMUSG00000035148.4 transcript:ENSMUST00000040161.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr33 description:G protein-coupled receptor 33 [Source:MGI Symbol;Acc:MGI:1277106] MDLINSSTHVINVSTSLTNSTGVPTPAPKTIIAASLFMAFIIGVISNGLYLWMLQFKMQR TVNTLLFFHLILSYFISTLILPFMATSFLQDNHWVFGSVLCKAFNSTLSVSMFASVFFLS AISVARYYLILHPVWSQQHRTPHWASRIALQIWISATILSIPYLVFRTTHDDHKGRIKCQ NNYIVSTDWESKEHQTLGQWIHAACFVGRFLLGFLLPFLVIIFCYKRVATKMKEKGLFKS SKPFKVMVTAVISFFVCWMPYHVHSGLVLTKSQPLPLHLTLGLAVVTISFNTVVSPVLYL FTGENFKVFKKSILALFNSTFSDISSTERTQTLNSETEI >ENSMUSP00000061885.6 pep:known chromosome:GRCm38:7:26266831:26267775:1 gene:ENSMUSG00000046130.6 transcript:ENSMUST00000057123.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r184 description:vomeronasal 1 receptor, 184 [Source:MGI Symbol;Acc:MGI:3852451] MKHRIAFWNLAARITLLSQTTVGILVNFFLMFYYLVLYYRKCRLKPTDFILLHLLAANSL FILSSGVPHIIGLWGLKQFLNDIVCELLFYIQGFGRSVSIWTTCLLSVFQAMTISPRKSC WKDHKVKAVKHISSSISLMWILHMLIHFFILVYPFIKMNSKNVTKLQDFGYCSIVKKENI TEFLYAAFVMFPEFFFSVLIAWSSGSMIVILFKHKQRVLHIHSTHVSRRNSPESRATQNI LALVSTFLAFYTLSSILRGYIILLYNYNWWLMNINHIISLCFPSFGPCVFIKSYSLMSRC NLAHLRKNNNKPIS >ENSMUSP00000112638.1 pep:known chromosome:GRCm38:4:130102558:130128135:1 gene:ENSMUSG00000028779.16 transcript:ENSMUST00000118199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pef1 description:penta-EF hand domain containing 1 [Source:MGI Symbol;Acc:MGI:1915148] MASYPNGQSCPGAAGQVPGVPPGGYYPGPPHGGGQYGSGLPPGGGYGAPAPGGPYGYPSA GGVPSGTPSGPYGGIPPGGPYGQLPPGGPYGTQPGHYGQGGVPPNVDPEAYSWFQSVDAD HSGYISLKELKQALVNSNWSSFNDETCLMMINMFDKTKSGRIDVAGFSALWKFLQQWRNL FQQYDRDRSGSISSTELQQALSQMGYNLSPQFTQLLVSRYCARSAIPAMQLDCFIKVCTQ LQVLTEAFREKDTAVQGNIRLSFEDFVTMTASRML >ENSMUSP00000030563.5 pep:known chromosome:GRCm38:4:130107556:130128134:1 gene:ENSMUSG00000028779.16 transcript:ENSMUST00000030563.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pef1 description:penta-EF hand domain containing 1 [Source:MGI Symbol;Acc:MGI:1915148] MASYPNGQSCPGAAGQVPGVPPGGYYPGPPHGGGQYGSGLPPGGGYGAPAPGGPYGYPSA GGVPSGTPSGPYGGIPPGGPYGQLPPGGPYGTQPGHYGQGGVPPNVDPEAYSWFQSVDAD HSGYISLKELKQALVNSNWSSFNDETCLMMINMFDKTKSGRIDVAGFSALWKFLQQWRNL FQQYDRDRSGSISSTELQQALSQMGYNLSPQFTQLLVSRYCARSAIPAMQLDCFIKVCTQ LQVLTEAFREKDTAVQGNIRLSFEDFVTMTASRML >ENSMUSP00000131656.1 pep:known chromosome:GRCm38:14:41484232:41489485:-1 gene:ENSMUSG00000090505.2 transcript:ENSMUST00000169361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3486 description:predicted gene 3486 [Source:MGI Symbol;Acc:MGI:3781663] VVVTRVACEGFHQILMGSQAGSFRKASPQTPNIDENEKRIKRLEKLKRDLQNIKNERDIL QGILAKYKDLNDRTNFETFMLEIQHNQMMTDLKRMSQDISEALYKCQHLTKENQLYCCRN CHLLIESNLIQHKVRMLWKENRQLLRKQIALEECNIKTKILCKEGSQKIKDEYSRQAAGK QIIVVPGSKEEAAMSNPCA >ENSMUSP00000030432.7 pep:known chromosome:GRCm38:4:135946448:135962617:1 gene:ENSMUSG00000028672.13 transcript:ENSMUST00000030432.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcl description:3-hydroxy-3-methylglutaryl-Coenzyme A lyase [Source:MGI Symbol;Acc:MGI:96158] MASVRKAFPRRLVGLTSLRAVSTSSMGTLPKQVKIVEVGPRDGLQNEKSIVPTPVKIRLI DMLSEAGLPVIEATSFVSPKWVPQMADHSDVLKGIQKFPGINYPVLTPNMKGFEEAVAAG AKEVSVFGAVSELFTRKNANCSIEESFQRFAGVMQAAQAASISVRGYVSCALGCPYEGKV SPAKVAEVAKKLYSMGCYEISLGDTIGVGTPGLMKDMLTAVMHEVPVTALAVHCHDTYGQ ALANTLVALQMGVSVVDSSVAGLGGCPYAKGASGNLATEDLVYMLNGLGIHTGVNLQKLL EAGDFICQALNRKTSSKVAQATCKL >ENSMUSP00000014922.4 pep:known chromosome:GRCm38:8:105329163:105347953:-1 gene:ENSMUSG00000014778.10 transcript:ENSMUST00000014922.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhod1 description:formin homology 2 domain containing 1 [Source:MGI Symbol;Acc:MGI:2679008] MAGEEERGDGDPVSVVTVRVQYLEDTDPFACANFPEPRRAPTCSLDGALPLSAQIPALHR LLGAPLKLEDCALQVSPSGYYLDPELSLEEQREMLEGFYEEISKGRKPTLILRTQLSVRV NAILEKLYGSSGPELRRSLFSLKQIFQEDKDLVPEFVHSEGLSCLIRVGAAADHNYQSYI LRALGQLMLFVDGMLGVVAHSETVQWLYTLCASLSRLVVKTALKLLLVFVEYSENNAPLF IQAVNAVASATGTLPWANLVSILEEKNGADAELLVYTVTLINKTLAALPDQDSFYDVTDA LEQQGMEALVQRFLGTAGTDVDLRTQLTLYESALRLEDGDMEEAAAAAAAGGRRERRKPS SEEGKRSRRSLEGGGCPVRAPEPGSTGSASPVGSTPSTGSAPPTNPAFSSTGPASGLLRT SVNLFPTISVGPSVDSSCERSVYKARFLENVAAAETEKQAALAQGRAETLAGATVDDTDG SSGTRELWDSPEPASAPRTPQSPVSRILLRTQRSLEPEPKKPVSPPSPKAEPIQEPPTCV PKLCIGDLDFSDLGEDEDQDTLNVESVEAGKASPFLSSLSPSLSGGPPPPPPPPPPITGS CPPPPPPPLPPPATGSCPPPPPPPPPPIIGSCPPPPPLAAPFTHSALDGPRHPTKRKTVK LFWRELKLTGGPGCSRSRFGPCPTLWASLEPVSVDTARLEHLFESRAKDVLPTKKAGEGR RTMTVVLDPKRSNAINIGLTTLPPVHVIKAALLNFDEFAVSKDGIEKLLTMMPTEEERQK IEEAQLANPDVPLGPAENFLMTLASIGGLAARLQLWAFKLDYESMEREIAEPLFDLKVGM EQLVHNATFRCILATLLAVGNFLNGSQSSGFELSYLEKVSEVKDTVRRQSLLYHLCSLVL QTRPDSSDLYSEIPALTRCAKVDFEQLTENLGQLECRSQAAEDSLRSLAKHELSPALRAR LTHFLAQCTRRVAMLRVVHRRVCNRFHAFLLYLGYTPQAARDVRIMQFCHTLREFALEYR TCRERVLQQQQKRATYRERNKTRGRMITETEKFSGVAGEAPNNLSVPVAVGSGPGQGDTD NHASMKSLLTSRPEDATHSRRSRGMVQSSSPVSHTAVGPSAASPEETAASGLPTDTSDEI MDLLVQSVTKSGPRALAARERKRSRGNRKSLRRTLKSGLGDDLVQALGLSKAPGLEV >ENSMUSP00000139132.1 pep:known chromosome:GRCm38:11:83275110:83286726:-1 gene:ENSMUSG00000082101.3 transcript:ENSMUST00000163961.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn14 description:schlafen 14 [Source:MGI Symbol;Acc:MGI:2684866] MPYAEITVNLGKVTLGEENRKKMTNSCLKRHENSSLVQAVCALLNSGGGVIKAEINDKSY SYRCHGLGQDLETSFQKLLPSGSQKHLDYMQQNHDLLIFVKSWSPDASSLPLRICSLRSN LYQRDVTSAINLCANGALELLREKESRAQRGTPRLHSQDHILNRTIQEEEDIKMCALEFL KKDKLNFKEKLSFTESTHVEFKRFTTKKIVPRIKETLAHYVSAFANTQGGYIIIGVDDKS KEVFGCKKEKVNPDSLKTEIKNCIEKLPTYHFCREKPKVNFTTKILKVYQKEALYGFVCV VQVEPFCCVVFAEDPDSWIMENNIVTRLKVQQWVEMMLDIQSDPSSGFPTINDSAHLMTP ALSAPRRPAYLTKVLEHKETLQRHFFSVTQENLQFQPESLCKKLFSDHEGLEDLLKAQTH PCSHGIVIFSRSWAGDIGLMREEKVLCDALLVAVGSPLVLYTILTDPSSTGRADYTQNTA LQLKRQLQTLGGYPGKICVIPRVIYLASRGSRPDQPPVYYPRPYTLSSKAEVEDLLQGLV LVSLCSRSVLSDQLGCEFFKQCLEEQANTLSRNLQESRELFIHCLPGTRKTALAIKMVEK IKDVFHCKPKEILFVCENDSLRDFVMQQVTCRAVTRRTFMREEFPKIKHIVMDETENFCS RHGDWYVKAKSTTHPKANGAANEHPHHGILWLFLDPFQVRHADGSGLPVPSAQFPRKMIT SEIHCAVEIAKVMKDEMKRMQENPPSMGLPDTLATFQEAPYEEAMRAGALPGVCEIKANL TPEQIANYVAEKCHSLFHEGYLPQDIAILYRRREDRGQYKDVLLKAMARGTTEVAFNSAA DVCADGIILDSVEQFSGMVRNIVFGLCPESVHSEGVHKLCFASKAIKHLYLLYGGRTAF >ENSMUSP00000028139.4 pep:known chromosome:GRCm38:2:29346819:29524793:1 gene:ENSMUSG00000026799.15 transcript:ENSMUST00000028139.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med27 description:mediator complex subunit 27 [Source:MGI Symbol;Acc:MGI:1916225] MADVLSVGVNLEAFSQAISAIQALRSSVSRVFDCLKDGMRNKETLEGREKAFIANFQDNL HSVNRDLNELERLSNLVGKPSENHPLHNSGLLSLDPVQDKTPLYSQLLQAYKWSNKLQYH AGLASGLLNQQSLKRSANQMGVSAKRRPKAQPTTLVLPPQYVDDVISRIDRMFPEMSIHL SRPNGTSAMLLVTLGKVLKVIVVMRSLFIDRTIVKGYNESVYTEDGKLDIWSKSSYQVFQ KVTDHATTALLHYQLPQMPDVVVRSFMTWLRSYIKLFQAPCQRCGKFLQDGLPPTWRDFR TLEAFHDTCRQ >ENSMUSP00000109461.4 pep:known chromosome:GRCm38:2:29346833:29478433:1 gene:ENSMUSG00000026799.15 transcript:ENSMUST00000113830.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med27 description:mediator complex subunit 27 [Source:MGI Symbol;Acc:MGI:1916225] MADVLSVGVNLEAFSQAISAIQALRSSVSRVFDCLKDGMRNKETLEGREKAFIANFQDNL HSVNRDLNELERLSNLVGKPSENHPLHNSGLLSLDPVQDKTPLYSQLLQAYKWSNKLQYH AGLASGLLNQQSLKRSANQMGVSAKRRPKAQPTTLVLPPQYVDDVISRIDRMFPEMSIHL SRPNGTSAMLLILINSSAQSITGPWFWS >ENSMUSP00000125008.1 pep:known chromosome:GRCm38:2:29377840:29500326:1 gene:ENSMUSG00000026799.15 transcript:ENSMUST00000162603.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med27 description:mediator complex subunit 27 [Source:MGI Symbol;Acc:MGI:1916225] XPRPGPDIPSLLIVLSAGAHPGLCYMLDLHPLLVPETRGISTQPSFWLSSHAAPSACREF MLQYHAGLASGLLNQQSLKRSANQMGVSAKRRPKAQPTTLVLPPQYVDDVISRIDRMFPE MSIHLSRPNGTSAMLLVTLGKVLKVIVVMRSLFIDRTIVKGYNESVYTEDGK >ENSMUSP00000125360.1 pep:known chromosome:GRCm38:2:29377840:29509458:1 gene:ENSMUSG00000026799.15 transcript:ENSMUST00000162597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med27 description:mediator complex subunit 27 [Source:MGI Symbol;Acc:MGI:1916225] MGVSAKRRPKAQPTTLVLPPQYVDDVISRIDRMFPEMSIHLSRPNGTSAMLLVTLGKVLK VIVVMRSLFIDRTIVKGYNESVYTEDGKLDIWSKSSYQVF >ENSMUSP00000125042.1 pep:known chromosome:GRCm38:2:29378514:29500223:1 gene:ENSMUSG00000026799.15 transcript:ENSMUST00000162623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med27 description:mediator complex subunit 27 [Source:MGI Symbol;Acc:MGI:1916225] MGVSAKRRPKAQPTTLVLPPQYVDDVISRIDRMFPEMSIHLSRPNGTSAMLLVT >ENSMUSP00000125390.1 pep:known chromosome:GRCm38:2:29378533:29524555:1 gene:ENSMUSG00000026799.15 transcript:ENSMUST00000159280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med27 description:mediator complex subunit 27 [Source:MGI Symbol;Acc:MGI:1916225] MGVSAKRRPKAQPTTLVLPPQYVDDVISRIDRMFPEMSIHLSRPNGTSAMLLVTLGKVLK VIVVMRSLFIDRTIVKGYNESVYTEDGKLDIWSKSSYQVFQKVTDHATTALLHYQLPQMP DVVVRSFMTWLRSYIKLFQAPCQRCGKFLQDGLPPTWRDFRTLEAFHDTCRQ >ENSMUSP00000124233.1 pep:known chromosome:GRCm38:2:29378605:29477619:1 gene:ENSMUSG00000026799.15 transcript:ENSMUST00000159034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med27 description:mediator complex subunit 27 [Source:MGI Symbol;Acc:MGI:1916225] MGVSAKRRPKAQPTTLVLPPQYVDDVISRIDRMFPEMSIHLSRPNGTSAMLLILINSSAQ SITGPWFWS >ENSMUSP00000079101.5 pep:known chromosome:GRCm38:7:43774604:43779263:1 gene:ENSMUSG00000067616.11 transcript:ENSMUST00000080211.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk11 description:kallikrein related-peptidase 11 [Source:MGI Symbol;Acc:MGI:1929977] MRRLKSDWKLSTETREPGARPALLQARMILRLIALALVTGHVGGETRIIKGYECRPHSQP WQVALFQKTRLLCGATLIAPKWLLTAAHCRKPHYVILLGEHNLEKTDGCEQRRMATESFP HPDFNNSLPNKDHRNDIMLVKMSSPVFFTRAVQPLTLSPHCVAAGTSCLISGWGTTSSPQ LRLPHSLRCANVSIIEHKECEKAYPGNITDTMLCASVRKEGKDSCQGDSGGPLVCNGSLQ GIISWGQDPCAVTRKPGVYTKVCKYFNWIHEVMRNN >ENSMUSP00000132721.1 pep:known chromosome:GRCm38:7:43776329:43779258:1 gene:ENSMUSG00000067616.11 transcript:ENSMUST00000171458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk11 description:kallikrein related-peptidase 11 [Source:MGI Symbol;Acc:MGI:1929977] MILRLIALALVTGHVGGETRIIKGYECRPHSQPWQVALFQKTRLLCGATLIAPKWLLTAA HCRKPHYVILLGEHNLEKTDGCEQRRMATESFPHPDFNNSLPNKDHRNDIMLVKMSSPVF FTRAVQPLTLSPHCVAAGTSCLISGWGTTSSPQLRLPHSLRCANVSIIEHKECEKAYPGN ITDTMLCASVRKEGKDSCQGDSGGPLVCNGSLQGIISWGQDPCAVTRKPGVYTKVCKYFN WIHEVMRNN >ENSMUSP00000137871.1 pep:known chromosome:GRCm38:18:49832670:49964254:1 gene:ENSMUSG00000037416.12 transcript:ENSMUST00000180611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmxl1 description:Dmx-like 1 [Source:MGI Symbol;Acc:MGI:2443926] MNLHQVLTGAVNPGDHCFAVGSVGEQRFTAYASGCDIVILGSNFERLQIIPGAKHGNIQV GCVDCSMQQGKIAASYGNVISVFEPVSLPKKRKNLEFYSQWQKSGQFFLDSIAHNITWDP AGNRLLTGSSCLQLWCNSRKQTEDENPDKTDLNFGNWMCIWHCKTASQVHLMKFSPDGEF FATAGKDDCLLKVWYNVENWRPAVTSPDKNSEKQSQGEIDFSFVYLAHPRAVNGFSWRKT SKYMPRASVCNVLLTCCKDNVCRLWVETFLPNDCFLYGSDCNHWCEPVSLTNNLKRNASS KDRVQSALEVNLRPFRRGRSRSLALVAHTGYLPHQQDPHHAHRNTPLHANALCHFHIAAS INPATDIPLLPSITSLSLNENEEKCGPFVVHWLNNKELHFTLSMEVFLQQLRKSFEQPSS EASVEDSIQADLKSDEELDDGVDDLKINHEKKELDEDKMLPSSSFTPLSSAAVDHQIEVL LSEWSKNADMLFSIHPMDGSLLVWHVDWLDEYQPGMFRQVQVSFVSRIPVAFPTGDANSL CKSIVMYACTKNVDLAIQQGKQRPTGLTRSTSMLISSAHSKSSNNLKLSIFTPNVMMISK HADGSLNQWLVSFAEESAFSTVLSISHKSRYCGHRFHLNDLACHSVLPLLLTTSHHNALR TPNVGNQKQAHDAVNTEECSLAQQNKSNVDMAFQDPNAIYSELILWRVDPVGPLSFSGGV SELARINSLHVSAFSNVAWLPTLIPSYCLGAYCNSPSACFVASDGQYLRLYEAVIDAKKL LYELSNPEISKYVGEVFNIVSQQSTARPGCIIALDSITKLHGRKTQLLHVFQEDFILNNL EKKRLGVDNILLDSDSSCNGFSEKFYLVVIECTEDNRSLLRMWDLHLRSTPVSLDERIDT KISEASWLPEEHYSSSPEKILSPFSQKFQACRANLQSTSKLSLFSEMVYSKELDLPEGVE IISVKPSAGHLSSSSIYPVCSAPYLLATSCSDDKVRFWRCRVTNGESATSKNGKLDVVYV WEEWPLLIEDGLENNSSVTVPGRPVEVSCAHTSRLAVAYKQPTGNSRSQEFVMHVSIFEC ESTGGSCWILEQTIHLDELSTVLDSGISIDSNLVAYNKQETYLVSKESITSNTKHLVHLD WMSREDGSHILTVGIGSKLFMYGPMAGKVQDQTGKENQAFPLWDSTKIVPLSKFVLLRSV DLVSSVEGAPPFPVSLSWVRDGILVVGMDCEMHVYSQWQPSNKQEPVISESYNGSTPSIL SLIKQSNSSSSGLHPPKKTLTRSMTSLAQKICGKKSIFDPSVDMEDSGLFEAAHVLSPTL PQYHPLQLLELMDLGKVRRAKAILSHLVKCIAGEVVALNEAESNHERRLRSLTISASGST TRDPQAFNKADSRDYTEIDSVPPLPLYALLAADDDSYCSSLEKTGSESSLKKSKQLSKES YDELFQTSVLMSDNHMLETDEENTQPRVIDLSQYSPTYFGPEHAQVLSGHLLHSSLPGLT RMEQMSLMALADTIATTSTDIGESRDRNQGGETLDECGLKFLLAVRLHTFLTTSLPAYRA QLLHQGLSTGHFAWAFHSVAEEELLNMLPAMQKDDPTWSELRAMGVGWWVRNARILRRCI EKVAKAAFHRNNDPLDAAIFYLAMKKKAVIWGLYRSQKDTKMTQFFGHNFEEERWRKAAL KNAFSLLGKQRFEHSAAFFLLGGCLKDAIEVCLEKLNDIQLALVIARLFESEFDKSATYK SILRKKVLGIGSPASELSSSSINAHHDPFLRSMAHWILEDYSAALETLIKQPVTEDEDQV MMSACNPIVFNFYNYLRTHPLLLRRHFGSSSETFSTHMTLAGKSGLAGTINLSERRLFFT TASAHLKAGCPMLALEVLSKMPKVSKKAKPCCRGSSFLTSKDSSLKLDVREDKCCAADWS PSLTNGLESSSEGSSERHSHSTLSFDWSQPSVVFQDDSLELKWDSDNDEENEDPPISMKE IRPLQRKTVKEIDELSSYTDSLSTLDENDILNPSEDIIAVQLKFRACLKILTVELRTLST GYEIDGGKLRYQLYHWLEKEVVALQRTCDFCSDADQLQTTFSQSADESGSTEDADDLHHQ TKVKQLRESFQEKRQWLLKYQSLLRMFLSYCVLHGSHGGGLASVRMELILLLQESQQETA EPIFSNPLSEQTSVPLLFACTASAKTVVANPLLHLSNLTHDILHAIINFDSPPHPDSQTN KVYVMHTLAASLSACIYQCLCGSHNYSSFQTNQFTGMVYQTVLLAHRHSLRTGSLDESVT PNTSPAQWPGINFLIQLLNSSGEEAQSGLTVLLCEILTAVYLSLFIHGLATHSSNELFRI VAHPLNEKMWSAVFGGGAHVPSKGQANSKALSVEGEKQNRHISPSKVSARESPVSSSSGN QEPPAVKEKFVPPELSIWDYFIAKPFLPPSQSRAEYDSEESLESDDEEEEDDDDALPSGL QLHEHSNSNSFSWSLMRLAMVQLVLNNLKTFYPFAGHDLAELPVSSPLCHAVLKTLQCWE QVLLRRLEIHGGPPQNYISSHTSEENVSAGPAILRHKALLEPTNTPFKSKNHLALSVKRL WQYLVKQEEIQETFIRNIFTKKRCLNEIEADLGYPGGKARIIHKESDIITAFAVNRANRN CIAIASSHDVQELDVSAILATQIYTWVDDDTETETKGSEDFLVIHARDDLSAVQGSTPYT HSNPGTPINMPWLGSTQTGRGASVMLKKAINNVRRMTSHPTLPYYLTGAQDGSVRMFEWG HSQQITCFRSGGNSRITRMRFNYQGNKFGIVDADGYLSLYQTNWKCCPVTGSMPKPYLAW QCHNKTANDFVFVSSSSLIATAGLSSDNRNICLWDTLVAPANSLVHAFTCHDSGATVLAY APKHQLLISGGRKGFTCIFDLRQRQQRQLFQSHDSPVKAIAIDPTEEYFVTGSAEGNIKI WSLSSFSLLHTFINEHARQSIFRNIGTGVMQIETGPANHIFSCGADGTMKMRILPDQFSP LNEVLKNDVKFML >ENSMUSP00000045559.5 pep:known chromosome:GRCm38:18:49832997:49965473:1 gene:ENSMUSG00000037416.12 transcript:ENSMUST00000041772.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmxl1 description:Dmx-like 1 [Source:MGI Symbol;Acc:MGI:2443926] MNLHQVLTGAVNPGDHCFAVGSVGEQRFTAYASGCDIVILGSNFERLQIIPGAKHGNIQV GCVDCSMQQGKIAASYGNVISVFEPVSLPKKRKNLEFYSQWQKSGQFFLDSIAHNITWDP AGNRLLTGSSCLQLWCNSRKQTEDENPDKTDLNFGNWMCIWHCKTASQVHLMKFSPDGEF FATAGKDDCLLKVWYNVENWRPAVTSPDKNSEKQSQGEIDFSFVYLAHPRAVNGFSWRKT SKYMPRASVCNVLLTCCKDNVCRLWVETFLPNDCFLYGSDCNHWCEPVSLTNNLKRNASS KDRVQSALEVNLRPFRRGRSRSLALVAHTGYLPHQQDPHHAHRNTPLHANALCHFHIAAS INPATDIPLLPSITSLSLNENEEKCGPFVVHWLNNKELHFTLSMEVFLQQLRKSFEQPSS EASVEDSIQADLKSDEELDDGVDDLKINHEKKELDEDKMLPSSSFTPLSSAAVDHQIEVL LSEWSKNADMLFSIHPMDGSLLVWHVDWLDEYQPGMFRQVQVSFVSRIPVAFPTGDANSL CKSIVMYACTKNVDLAIQQGKQRPTGLTRSTSMLISSAHSKSSNNLKLSIFTPNVMMISK HADGSLNQWLVSFAEESAFSTVLSISHKSRYCGHRFHLNDLACHSVLPLLLTTSHHNALR TPNVGNQKQAHDAVNTEECSLAQQNKSNVDMAFQDPNAIYSELILWRVDPVGPLSFSGGV SELARINSLHVSAFSNVAWLPTLIPSYCLGAYCNSPSACFVASDGQYLRLYEAVIDAKKL LYELSNPEISKYVGEVFNIVSQQSTARPGCIIALDSITKLHGRKTQLLHVFQEDFILNNL EKKRLGVDNILLDSDSSCNGFSEKFYLVVIECTEDNRSLLRMWDLHLRSTPVSLDERIDT KISEASWLPEEHYSSSPEKILSPFSQKFQACRANLQSTSKLSLFSEMVYSKELDLPEGVE IISVKPSAGHLSSSSIYPVCSAPYLLATSCSDDKVRFWRCRVTNGESATSKNGKLDVVYV WEEWPLLIEDGLENNSSVTVPGRPVEVSCAHTSRLAVAYKQPTGNSRSQEFVMHVSIFEC ESTGGSCWILEQTIHLDELSTVLDSGISIDSNLVAYNKQETYLVSKESITSNTKHLVHLD WMSREDGSHILTVGIGSKLFMYGPMAGKVQDQTGKENQAFPLWDSTKIVPLSKFVLLRSV DLVSSVEGAPPFPVSLSWVRDGILVVGMDCEMHVYSQWQPSNKQEPVISESYNGSTPSIL SLIKQSNSSSSGLHPPKKTLTRSMTSLAQKICGKKSIFDPSVDMEDSGLFEAAHVLSPTL PQYHPLQLLELMDLGKVRRAKAILSHLVKCIAGEVVALNEAESNHERRLRSLTISASGST TRDPQAFNKADSRDYTEIDSVPPLPLYALLAADDDSYCSSLEKTGSESSLKKSKQLSKES YDELFQTSVLMSDNHMLETDEENTQPRVIDLSQYSPTYFGPEHAQVLSGHLLHSSLPGLT RMEQMSLMALADTIATTSTDIGESRDRNQGGETLDECGLKFLLAVRLHTFLTTSLPAYRA QLLHQGLSTGHFAWAFHSVAEEELLNMLPAMQKDDPTWSELRAMGVGWWVRNARILRRCI EKVAKAAFHRNNDPLDAAIFYLAMKKKAVIWGLYRSQKDTKMTQFFGHNFEEERWRKAAL KNAFSLLGKQRFEHSAAFFLLGGCLKDAIEVCLEKLNDIQLALVIARLFESEFDKSATYK SILRKKVLGIGSPASELSSSSINAHHDPFLRSMAHWILEDYSAALETLIKQPVTEDEDQV MMSACNPIVFNFYNYLRTHPLLLRRHFGSSSETFSTHMTLAGKSGLAGTINLSERRLFFT TASAHLKAGCPMLALEVLSKMPKVSKKAKPCCRGSSFLTSKDSSLKLDVREDKCCAADWS PSLTNGLESSSEGSSERHSHSTLSFDWSQPSVVFQDDSLELKWDSDNDEENEDPPISMKE IRPLQRKTVKEIDELSSYTDSLSTLDENDILNPSEDIIAVQLKFRACLKILTVELRTLST GYEIDGGKLRYQLYHWLEKEVVALQRTCDFCSDADQLQTTFSQSADESGSTEDADDLHHQ TKVKQLRESFQEKRQWLLKYQSLLRMFLSYCVLHGSHGGGLASVRMELILLLQESQQETA EPIFSNPLSEQTSVPLLFACTASAKTVVANPLLHLSNLTHDILHAIINFDSPPHPDSQTN KVYVMHTLAASLSACIYQCLCGSHNYSSFQTNQFTGMVYQTVLLAHRHSLRTGSLDESVT PNTSPAQWPGINFLIQLLNSSGEEAQSGLTVLLCEILTAVYLSLFIHGLATHSSNELFRI VAHPLNEKMWSAVFGGGAHVPSKGQANSKALSVLLIIIFFISLLVEGEKQNRHISPSKVS ARESPVSSSSGNQEPPAVKEKFVPPELSIWDYFIAKPFLPPSQSRAEYDSEESLESDDEE EEDDDDALPSGLQLHEHSNSNSFSWSLMRLAMVQLVLNNLKTFYPFAGHDLAELPVSSPL CHAVLKTLQCWEQVLLRRLEIHGGPPQNYISSHTSEENVSAGPAILRHKALLEPTNTPFK SKNHLALSVKRLWQYLVKQEEIQETFIRNIFTKKRCLNEIEADLGYPGGKARIIHKESDI ITAFAVNRANRNCIAIASSHDVQELDVSAILATQIYTWVDDDTETETKGSEDFLVIHARD DLSAVQGSTPYTHSNPGTPINMPWLGSTQTGRGASVMLKKAINNVRRMTSHPTLPYYLTG AQDGSVRMFEWGHSQQITCFRSGGNSRITRMRFNYQGNKFGIVDADGYLSLYQTNWKCCP VTGSMPKPYLAWQCHNKTANDFVFVSSSSLIATAGLSSDNRNICLWDTLVAPANSLVHAF TCHDSGATVLAYAPKHQLLISGGRKGFTCIFDLRQRQQRQLFQSHDSPVKAIAIDPTEEY FVTGSAEGNIKIWSLSSFSLLHTFINEHARQSIFRNIGTGVMQIETGPANHIFSCGADGT MKMRILPDQFSPLNEVLKNDVKFML >ENSMUSP00000079627.7 pep:known chromosome:GRCm38:6:30366380:30391023:-1 gene:ENSMUSG00000039130.18 transcript:ENSMUST00000080812.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3hc1 description:zinc finger, C3HC type 1 [Source:MGI Symbol;Acc:MGI:1916023] MAATSEGPLFAASIEKTWGSVVRSPEGTPQKVRELIDEGIVPEEGGTEPKDTAATFQSVD GSPQAEQSPLESTSKEAFFHRVETFSSLKWAGKPPELSPLICAKYGWVTVECDMLKCSSC QAFLCASLQPTFDFGRYKERCAELKKSLCSAHEKFCFWPDSPSPDRFGMLPLGEPAVLIS EFLDRFQSLCHLDLQLPSLRPEDLKTMCLTEDAVSALLHLLEDELDFHADDRKTTSKLGS DVQVQATACVLSLCGWACSSLEPTQLSLITCYQCMRKVGLWGFQQIESSMTDLEASFGLT SSPIPGVEGRPEHFPLVPESPRRMMTRSQDATVSPGSEQSEKSPGPIVSRTRSWESSSPV DRPELEAASPTTRSRPVTRSMGTGDSAGVEVPSSPLRRTKRARLCSSSSSDTSPRSFFDP TSQHRDWCPWVNITLVKETKENGETEVDACTPAEPGWKAVLTILLAHKRSNQPAETDSMS LSEKSRKVFRIFRQWESSSSS >ENSMUSP00000135447.1 pep:known chromosome:GRCm38:6:30366384:30391002:-1 gene:ENSMUSG00000039130.18 transcript:ENSMUST00000152391.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3hc1 description:zinc finger, C3HC type 1 [Source:MGI Symbol;Acc:MGI:1916023] MAATSEGPLFAASIEKTWGSVVRSPEGTPQKVRELIDEGIVPEEGGTEPKDTAATFQSVD GSPQAEQSPLESTSKEAFFHRVETFSSLKWAGKPPELSPLICAKYGWVTVECDMLKCSSC QAFLCASLQPTFDFGRYKERCAELKKSLCSAHEKFCFWPDSPSPDRFGMLPLGEPAVLIS EFLDRFQSLCHLDLQLPSLRPEDLKTMCLTEDAVSALLHLLEDELDFHADDRKTTSKLGS DVQVQATACVLSLCGWACSSPIPGVEGRPEHFPLVPESPRRMMTRSQDATVSPGSEQSEK SPGPIVSRTRSWESSSPVDRPELEAASPTTRSRPVTRSMGTGDSAGVEVPSSPLRRTKRA RLCSSSSSDTSPRSFFDPTSQHRDWCPWVNITLVKETKENGETEVDACTPAEPGWKAVLT ILLAHKRSNQPAETDSMSLSEKSRKVFRIFRQWESSSSS >ENSMUSP00000100057.3 pep:known chromosome:GRCm38:6:30366388:30391028:-1 gene:ENSMUSG00000039130.18 transcript:ENSMUST00000102992.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3hc1 description:zinc finger, C3HC type 1 [Source:MGI Symbol;Acc:MGI:1916023] MAATSEGPLFAASIEKTWGSVVRSPEGTPQKVRELIDEGIVPEEGGTEPKDTAATFQSVD GSPQAEQSPLESTSKEAFFHRVETFSSLKWAGKPPELSPLICAKYGWVTVECDMLKCSSC QAFLCASLQPTFDFGRYKERCAELKKSLCSAHEKFCFWPDSPSPDRFGMLPLGEPAVLIS EFLDRFQSLCHLDLQLPSLRPEDLKTMCLTEDAVSALLHLLEDELDFHADDRKTTSKLGS DVQVQATACVLSLCGWACSSLEPTQLSLITCYQCMRKVGLWGFQQIESSMTDLEASFGLT SSPIPGVEGRPEHFPLVPESPRRMMTRSQDATVSPGSEQSEKSPGPIVSRTRSWESSSPV DRPELEAASPTTRSRPVTRSMGTGDSAGVEVPSSPLRRTKRARLCSSSSSDTSPRSFFDP TSQHRDWCPWVNITLVKETKENGETEVDACTPAEPGWKAVLTILLAHKRSNQPAETDSML K >ENSMUSP00000110838.1 pep:known chromosome:GRCm38:6:30366474:30391010:-1 gene:ENSMUSG00000039130.18 transcript:ENSMUST00000115184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3hc1 description:zinc finger, C3HC type 1 [Source:MGI Symbol;Acc:MGI:1916023] MAATSEGPLFAASIEKTWGSVVRSPEGTPQKVRELIDEGIVPEEGGTEPKDTAATFQSVD GSPQAEQSPLESTSKEAFFHRVETFSSLKWAGKPPELSPLICAKYGWVTVECDMLKCSSC QAFLCASLQPTFDFGRYKERCAELKKSLCSAHEKFCFWPDSPSPDRFGMLPLGEPAVLIS EFLDRFQSLCHLDLQLPSLRPEDLKTMCLTEDAVSALLHLLEDELDFHADDRKTTSKLGS DVQVQATACVLSLCGWACSSLEPTQLSLITCYQCMRKVGLWGFQQIESSMTDLEASFGLT SSPIPGVEGRPEHFPLVPESPRRMMTRSQDATVSPGSEQDTSPRSFFDPTSQHRDWCPWV NITLVKETKENGETEVDACTPAEPGWKAVLTILLAHKRSNQPAETDSMSLSEKSRKVFRI FRQWESSSSS >ENSMUSP00000115433.1 pep:known chromosome:GRCm38:6:30372922:30391006:-1 gene:ENSMUSG00000039130.18 transcript:ENSMUST00000136255.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zc3hc1 description:zinc finger, C3HC type 1 [Source:MGI Symbol;Acc:MGI:1916023] MAATSEGPLFAASIEKTWGSVVRSPEGTPQKVRELIDEGIVPEEGGTEP >ENSMUSP00000116484.1 pep:known chromosome:GRCm38:6:30376003:30390989:-1 gene:ENSMUSG00000039130.18 transcript:ENSMUST00000147990.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zc3hc1 description:zinc finger, C3HC type 1 [Source:MGI Symbol;Acc:MGI:1916023] XEGPLFAASIEKTWGSVVRSPEGTPQKVRELIDEGIVPEEGGTEP >ENSMUSP00000104479.1 pep:known chromosome:GRCm38:2:181018380:181039075:-1 gene:ENSMUSG00000027577.14 transcript:ENSMUST00000108851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna4 description:cholinergic receptor, nicotinic, alpha polypeptide 4 [Source:MGI Symbol;Acc:MGI:87888] MEIGGSGAPPPLLLLPLLLLLGTGLLPASSHIETRAHAEERLLKRLFSGYNKWSRPVANI SDVVLVRFGLSIAQLIDVDEKNQMMTTNVWVKQEWHDYKLRWDPGDYENVTSIRIPSELI WRPDIVLYNNADGDFAVTHLTKAHLFYDGRVQWTPPAIYKSSCSIDVTFFPFDQQNCTMK FGSWTYDKAKIDLVSMHSRVDQLDFWESGEWVIVDAVGTYNTRKYECCAEIYPDITYAFI IRRLPLFYTINLIIPCLLISCLTVLVFYLPSECGEKVTLCISVLLSLTVFLLLITEIIPS TSLVIPLIGEYLLFTMIFVTLSIVITVFVLNVHHRSPRTHTMPAWVRRVFLDIVPRLLFM KRPSVVKDNCRRLIESMHKMANAPRFWPEPESEPGILGDICNQGLSPAPTFCNRMDTAVE TQPTCRSPSHKVPDLKTSEVEKASPCPSPGSCHPPNSSGAPVLIKARSLSVQHVPSSQEA AEGSIRCRSRSIQYCVSQDGAASLTESKPTGSPASLKTRPSQLPVSDQTSPCKCTCKEPS PVSPITVLKAGGTKAPPQHLPLSPALTRAVEGVQYIADHLKAEDTDFSVKEDWKYVAMVI DRIFLWMFIIVCLLGTVGLFLPPWLAACRWLRQCSQAHISC >ENSMUSP00000143253.1 pep:known chromosome:GRCm38:2:181018381:181028328:-1 gene:ENSMUSG00000027577.14 transcript:ENSMUST00000198922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna4 description:cholinergic receptor, nicotinic, alpha polypeptide 4 [Source:MGI Symbol;Acc:MGI:87888] XTVLKAGGTKAPPQHLPLSPALTRAVEGVQYIADHLKAEDTDFSVKEDWKYVAMVIDRIF LWMFIIVCLLGTVGLFLPPWLAGLIMRLLSTSSPHLSRLRLLDTLSSDPAACRWLRQCSQ AHISC >ENSMUSP00000066338.7 pep:known chromosome:GRCm38:2:181022311:181039305:-1 gene:ENSMUSG00000027577.14 transcript:ENSMUST00000067120.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna4 description:cholinergic receptor, nicotinic, alpha polypeptide 4 [Source:MGI Symbol;Acc:MGI:87888] MEIGGSGAPPPLLLLPLLLLLGTGLLPASSHIETRAHAEERLLKRLFSGYNKWSRPVANI SDVVLVRFGLSIAQLIDVDEKNQMMTTNVWVKQEWHDYKLRWDPGDYENVTSIRIPSELI WRPDIVLYNNADGDFAVTHLTKAHLFYDGRVQWTPPAIYKSSCSIDVTFFPFDQQNCTMK FGSWTYDKAKIDLVSMHSRVDQLDFWESGEWVIVDAVGTYNTRKYECCAEIYPDITYAFI IRRLPLFYTINLIIPCLLISCLTVLVFYLPSECGEKVTLCISVLLSLTVFLLLITEIIPS TSLVIPLIGEYLLFTMIFVTLSIVITVFVLNVHHRSPRTHTMPAWVRRVFLDIVPRLLFM KRPSVVKDNCRRLIESMHKMANAPRFWPEPESEPGILGDICNQGLSPAPTFCNRMDTAVE TQPTCRSPSHKVPDLKTSEVEKASPCPSPGSCHPPNSSGAPVLIKARSLSVQHVPSSQEA AEGSIRCRSRSIQYCVSQDGAASLTESKPTGSPASLKTRPSQLPVSDQTSPCKCTCKEPS PVSPITVLKAGGTKAPPQHLPLSPALTRAVEGVQYIADHLKAEDTDFSVKEDWKYVAMVI DRIFLWMFIIVCLLGTVGLFLPPWLAGMI >ENSMUSP00000123043.1 pep:known chromosome:GRCm38:2:181034024:181043546:-1 gene:ENSMUSG00000027577.14 transcript:ENSMUST00000124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna4 description:cholinergic receptor, nicotinic, alpha polypeptide 4 [Source:MGI Symbol;Acc:MGI:87888] MAKRSTHRKSASSHIETRAHAEERLLKRLFSGYNKWSRPVANISDVVLVRFGLSIAQLID VDEKNQMMTTNVWVKQEW >ENSMUSP00000069901.3 pep:known chromosome:GRCm38:X:53608032:53608432:1 gene:ENSMUSG00000051851.5 transcript:ENSMUST00000063384.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxx1c description:CAAX box 1C [Source:MGI Symbol;Acc:MGI:1920115] MDRRIKLIMALMAWPELRKGRWQNPIPFPELFDGEMEKLPEFIVQTLSYMLVDEKTFDTD KRKVMFLITRLKGRALQWAMRYLQTDSPMLNNYSGFLNEMKEEFGWEEDEDF >ENSMUSP00000062529.1 pep:known chromosome:GRCm38:7:104179456:104180406:-1 gene:ENSMUSG00000042909.1 transcript:ENSMUST00000052659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr648 description:olfactory receptor 648 [Source:MGI Symbol;Acc:MGI:3030482] MITSNVSSYNPGPFLLVGIPGLEHFHVWIGIPFCVIYIIAVVGNCILLYLITVERSLHEP MFFFLSMLAMTDLILSTDGVPKTLSIFWMGAREITFPGCLTQMFFLHYSFVLDSAILMAM AFDRYVAICSPLRYATILTPKTIVKIAVGISFRSFCIILPVVFLLTRLPFCRTRIIPHTY CEHIGVARLACADISINIWYGFCVPIMTVISDVVLIAVSYTLILCAVFRLPSRDARQKAL GTCGSHVCVILMFYTPAFFSILAHRFGHNVSLTFHIMFANLYIVIPPAMNPIVYGVKTKQ IREKVILLFSVKSIDG >ENSMUSP00000100672.1 pep:known chromosome:GRCm38:11:99978025:99979053:-1 gene:ENSMUSG00000078254.1 transcript:ENSMUST00000105051.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap29-1 description:keratin associated protein 29-1 [Source:MGI Symbol;Acc:MGI:3652056] MADSCCPENPTAVPTVPTISTCSNGGSIRNAIRLPSSCRCRTWQLVTHQENRQGPDSVPV SSEPVSCPSTCFPETPCVGFICQPIGSHMACCASDTGGSPHPAASCQPSCLESAGCHTMC YENSSCHQSSGQGSACTSGSCQTACGPSASCDDRSCQPSCSEATSYAETPCLPAGCEAGS CQPTSCQGGSHQPTRGEGQLCQSVYYQPICYVLKSCQSTPCMSVSCQPLTCMCFCSQTCC VPPTCQPLHCQTTPIISFICQPVAPCQSPCFLKSSSKSASCVMISGQQICGGPTPDQSGC QSPSCHPPCCVTGLGQPSSSGPGCCPPTSPDICQAGTYGPTS >ENSMUSP00000055714.9 pep:known chromosome:GRCm38:17:25261916:25274310:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000049911.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKL RMLFKDDYPSSPPKCKFEPPLFHPNVYPSGTVCLSILEEDKDWRPAITIKQILLGIQELL NEPNIQDPAQAEAYTIYCQNRVEYEKRVRAQAKKFAPS >ENSMUSP00000134261.1 pep:known chromosome:GRCm38:17:25261921:25273383:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000173084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKL RMLFKDDYPSSPPKCKFEPPLFHPNVYPSGTVCLSILEEDKDWRPAITIKQILLGIQELL NEPNIQDPAQAEAYTIYCQNRVEYEKRVRAQAKKFAPS >ENSMUSP00000134491.1 pep:known chromosome:GRCm38:17:25262175:25274310:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000173713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKL RMLFKDDYPSSPPKCKFEPPLFHPNVYPSGTVCLSILEEDKDWRPAITIKQILLGIQELL NEPNIQDPAQAEAYTIYCQNRVEYEKRVRAQAKKFAPS >ENSMUSP00000134546.1 pep:known chromosome:GRCm38:17:25262179:25265869:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000174216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MGTASASKSIPSPPCPPGKFEPPLFHPNVYPSGTVCLSILEEDKDWRPAITIKQILLGIQ ELLNEPNIQDPAQAEAYTIYCQNRVEYEKRVRAQAKKFAPS >ENSMUSP00000134169.1 pep:known chromosome:GRCm38:17:25262179:25273914:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000172618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKL RMLFKDDYPSSPPKCKFEPPLFHPNVYPSGTVCLSILEEDKDWRPAITIKQILLGIQELL NEPNIQDPAQAEAYTIYCQNRVEYEKRVRAQAKKFAPS >ENSMUSP00000134350.1 pep:known chromosome:GRCm38:17:25262306:25273534:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000174031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKL RMLFKDDYPSSPPKCKFEPPLFHPNVYPSGTVCLSILEEDKDWRPAITIKQILLGIQELL NEPNIQDPAQAEAYTIYCQNRVEYEKRVRAQAKKFAPS >ENSMUSP00000134450.1 pep:known chromosome:GRCm38:17:25262393:25274622:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000174001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKL RMLFKDDYPSSPPKCKFEPPLFHPNVYPSGTVCLSILEEDKDWRPAITIKQILLGIQELL NEPNIQDPAQAEAYTIYCQNRVEYEKRVRAQAKKFAPS >ENSMUSP00000134161.1 pep:known chromosome:GRCm38:17:25265143:25273590:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000173621.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKL RMLFKDDYPSSPPKCKFEPPLFHPNVYPSGTVCLSILEEDKDW >ENSMUSP00000134540.1 pep:known chromosome:GRCm38:17:25266567:25273867:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000172868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKL RMLFKDDYPSSPPKCKLCKEPAVHFPALPPPFGSHVCECLA >ENSMUSP00000133590.1 pep:known chromosome:GRCm38:17:25268408:25274302:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000172587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPFGFVAVPTKNPDGTMNLMNWECAIPGKKGTPWEGGLFKL RMLFKDDYPSSPPKCKLCKEPAVHFPALPPPFGSHVCECLA >ENSMUSP00000133311.1 pep:known chromosome:GRCm38:17:25269253:25273600:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000172520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPFGFVAVPT >ENSMUSP00000133338.1 pep:known chromosome:GRCm38:17:25269402:25273155:-1 gene:ENSMUSG00000015120.15 transcript:ENSMUST00000173231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2i description:ubiquitin-conjugating enzyme E2I [Source:MGI Symbol;Acc:MGI:107365] MSGIALSRLAQERKAWRKDHPF >ENSMUSP00000036582.4 pep:known chromosome:GRCm38:18:65580230:65689443:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000049016.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIVKNVRNIDSSEGVEKDGHNPTGNGLHNGFLTASSLDSYGKDGAKSLKGDTPASEVT LKDPAFSQFSPISSAEEFEDDEKIEVDDPPDKEEARAGFRSNVLTGSAPQQDFDKLKALG GENSSKTGVSTSGHTDKNKVKREAESNSITLSVYEPFKVRKAEDKLKENSEKMLESRVLD GKPSSEKSDSGIAAAASSKTKPSSKLSSCIAAIAALSAKKAASDSCKEPVANSREASPLP KEVNDSPKAADKSPESQNLIDGTKKASLKPSDSPRSVSSENSSKGSPSSPVGSTPAIPKV RIKTIKTSSGEIKRTVTRVLPEVDLDSGKKPSEQAASVMASVTSLLSSSASATVLSSPPR APLQTAMVTSAVSSAELTPKQVTIKPVATAFLPVSAVKTAGSQVINLKLANNTTVKATVI SAASVQSASSAIIKAANAIQQQTVVVPASSLANAKLVPKTVHLANLNLLPQGAQATSELR QVLTKPQQQIKQAIINAAASQPPKKVSRVQVVSSLQSSVVEAFNKVLSSVNPVPVYTPNL SPPANAGITLPMRGYKCLECGDAFALEKSLSQHYDRRSVRIEVTCNHCTKNLVFYNKCSL LSHARGHKEKGVVMQCSHLILKPVPADQMIVPPSSNTAASTLQSSVGAATHTVPKVQPGI AGAVISAPASTPMSPAMPLDEDPSKLCRHSLKCLECNEVFQDEPSLATHFQHAADTSGQQ MKKHPCRQCDKSFSSSHSLCRHNRIKHKGIRKVYACSHCPDSRRTFTKRLMLERHIQLMH GIKDPDVKELSDDAGDVTNDEEEEAEIKEDAKVPSPKRKLEEPVLEFRPPRGAITQPLKK LKINVFKVHKCAVCGFTTENLLQFHEHIPQHRSDGSSHQCRECGLCYTSHGSLARHLFIV HKLKEPQPVSKQNGAGEDSQQENKPSPEDEAAEGAASDRKCKVCAKTFETEAALNTHMRT HGMAFIKSKRMSSAEK >ENSMUSP00000138783.1 pep:known chromosome:GRCm38:18:65580382:65623519:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000183236.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIVKNVRNIDSSEGVEKDGHNPTGNGLHNGFLTASSLDSYGKDGAKSLKGDTPASEVT LKDPAFSQFSPISSAEEFEDDEKIEVDDPPDKEEARAGFRSNVLTGSAPQQDFD >ENSMUSP00000138704.1 pep:known chromosome:GRCm38:18:65581087:65623508:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000182140.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIVKNVRNIDSSEGVEKDGHNPTGNGLHNGFLTASSLDSYGKDGAKSLKGDTPASEVT LKDPAFSQFSPISSAEEFEDDEKIEVDDPPDKEEARAGFRSNVLTGSAPQ >ENSMUSP00000138371.1 pep:known chromosome:GRCm38:18:65581093:65623076:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000182319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDP >ENSMUSP00000138225.1 pep:known chromosome:GRCm38:18:65581742:65625334:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000182979.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIVKNVRNIDSSEGVEKDGHNPTGNGLHNGFLTASSLDSYGKDGAKSLKGDTPASEVT LKDPAFSQFSPISSAEEFEDDEKIEVDDPPDKEEARAGFRSNVLTGSAPQQDFDKLKALG GENSSKTGVSTSGHTDKNKVKREAESNSITLSVYEPFKVRKAEDKLKENSEKMLESRVLD GKPSSEKSDSGIAAAASSKTKPSSKLSSCIAAIAALSAKKAASDSCKEPVANSREASPLP KEVNDSPKAADKSPESQNLIDGTKKASLKPSDSPRSVSSENSSKGSPSSPVGSTPAIPKV RIKTIKTSSGEIKRTVTRVLPEVDLDSGKKPSEQAASVMASVTSLLSSSASATVLSSPPR APLQTAMVTSAVSSAELTPKQVTIKPVATAFLPVSAVKTAGSQVINLKLANNTTVKATVI SAASVQSASSAIIKAANAIQQQTVVVPASSLANAKLVPKTVHLANLNLLPQGAQATSELR QVLTKPQQQIKQAIINAAASQPPKKVSRVQVVSSLQSSVVEAFNKVLSSVNPVPVYTPNL SPPANAGITLPMRGYKCLECGDAFALEKSLSQHYDRRSVRIEVTCNHCTKNLVFYNKCSL LSHARGHKEKGVVMQCSHLILKPVPADQMIVPPSSNTAASTLQSSVGAATHTVPKVQPGI AGAVISAPASTPMSPAMPLDEDPSKLCRHSLKCLECNEVFQDEPSLATHFQHAADTSGQ >ENSMUSP00000138604.1 pep:known chromosome:GRCm38:18:65582239:65623655:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000182684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIVKNVRNIDSSEGVEKDGHNPTGNGLHNGFLTASSLDSYGKDGAKSLKGDTPASEVT LKDPAFSQFSPISSAEEFEDDEKIEVDDPPDKEEARAGFRSNVLTGSAPQQDFDKLKALG GENSSKTGVSTSGHTDKNKVKREAESNSITLSVYEPFKV >ENSMUSP00000138199.1 pep:known chromosome:GRCm38:18:65582294:65623083:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000183319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAA >ENSMUSP00000138315.1 pep:known chromosome:GRCm38:18:65582418:65688941:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000182478.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIVKNVRNIDSSEGVEKDGHNPTGNGLHNGFLTASSLDSYGKDGAKSLKGDTPASEVT LKDPAFSQFSPISSAEEFEDDEKIEVDDPPDKEEARAGFRSNVLTGSAPQQDFDKLKALG GENSSKTGVSTSGHTDKNKVKREAESNSITLSVYEPFKVRKAEDKLKENSEKMLESRVLD GKPSSEKSDSGIAAAASSKTKPSSKLSSCIAAIAALSAKKAASDSCKEPVANSREASPLP KEVNDSPKAADKSPESQNLIDGTKKASLKPSDSPRSVSSENSSKGSPSSPVGSTPAIPKV RIKTIKTSSGEIKRTVTRVLPEVDLDSGKKPSEQAASVMASVTSLLSSSASATVLSSPPR APLQTAMVTSAVSSAELTPKQVTIKPVATAFLPVSAVKTAGSQVINLKLANNTTVKATVI SAASVQSASSAIIKAANAIQQQTVVVPASSLANAKLVPKTVHLANLNLLPQGAQATSELR QVLTKPQQQIKQAIINAAASQPPKKVSRVQVVSSLQSSVVEAFNKVLSSVNPVPVYTPNL SPPANAGITLPMRGYKCLECGDAFALEKSLSQHYDRRSVRIEVTCNHCTKNLVFYNKCSL LSHARGHKEKGVVMQCSHLILKPVPADQMIVPPSSNTAASTLQSSVGAATHTVPKVQPGI AGAVISAPASTPMSPAMPLDEDPSKLCRHSLKCLECNEVFQDEPSLATHFQHAADTSGQK TCTVCQMLLPNQCSYASHQRIHQHKSPYTCPECGAICRSVHFQNHITKNCLHYTRRVGFR CVHCNVVYSDVAALKSHIQGSHCEVFYKCPICPMAFKSAPSTHSHAYTQHPGVKIGEPNK >ENSMUSP00000138155.1 pep:known chromosome:GRCm38:18:65582613:65623282:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000183326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIVKNVRNIDSSEGVEKDGHNPTGNGLHNGFLT >ENSMUSP00000138663.1 pep:known chromosome:GRCm38:18:65582938:65688934:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000182852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIVKNVRNIDSSEGVEKDGHNPTGNGLHNGFLTASSLDSYGKDGAKSLKGDTPASEVT LKDPAFSQFSPISSAEEFEDDEKIEVDDPPDKEEARAGFRSNVLTGSAPQQDFDKLKALG GENSSKTGVSTSGHTDKNKVKREAESNSITLSVYEPFKVRKAEDKLKENSEKMLESRVLD GKPSSEKSDSGIAAAASSKTKPSSKLSSCIAAIAALSAKKAASDSCKEPVANSREASPLP KEVNDSPKAADKSPESQNLIDGTKKASLKPSDSPRSVSSENSSKGSPSSPVGSTPAIPKV RIKTIKTSSGEIKRTVTRVLPEVDLDSGKKPSEQAASVMASVTSLLSSSASATVLSSPPR APLQTAMVTSAVSSAELTPKQVTIKPVATAFLPVSAVKTAGSQVINLKLANNTTVKATVI SAASVQSASSAIIKAANAIQQQTVVVPASSLANAKLVPKTVHLANLNLLPQGAQATSELR QVLTKPQQQIKQAIINAAASQPPKKVSRVQVVSSLQSSVVEAFNKVLSSVNPVPVYTPNL SPPANAGITLPMRGYKCLECGDAFALEKSLSQHYDRRSVRIEVTCNHCTKNLVFYNKCSL LSHARGHKEKGVVMQCSHLILKPVPADQMIVPPSSNTAASTLQSSVGAATHTVPKVQPGI AGAVISAPASTPMSPAMPLDEDPSKLCRHSLKCLECNEVFQDEPSLATHFQHAADTSGQK TCTVCQMLLPNQCSYASHQRIHQHKSPYTCPECGAICRSVHFQNHITKNCLHYTRRVGFR CVHCNVVYSDVAALKSHIQGSHCEVFYKCPICPMAFKSAPSTHSHAYTQHPGVKIGEPKI IYKCSMCDTVFTLQTLLYRHFDQHTDNQKVSVFKCPDCSLLYAQKQLMMDHIKSMHGTLK SIEGPPNLGINLPLSVKPATQNSANHSREDAKSVNGKEKLEKKSPSPAKKSTEPKKMASL GWTCWECDRLFTQRDVYLSHMRKEHGKQMKKHPCRQCDKSFSSSHSLCRHNRIKHKGIRK VYACSHCPDSRRTFTKRLMLERHIQLMHGIKDPDVKELSDDAGDVTNDEEEEAEIKEDAK VPSPKRKLEEPVLEFRPPRGAITQPLKKLKINVFKVHKCAVCGFTTENLLQFHEHIPQHR SDGSSHQCRECGLCYTSHGSLARHLFIVHKLKEPQPVSKQNGAGEDSQQENKPSPEDEAA EGAASDRKCKVCAKTFETEAALNTHMRTHGMAFIKSKRMSSAEK >ENSMUSP00000138196.1 pep:known chromosome:GRCm38:18:65583415:65623248:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000182655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIVKNVRNIDSSEGVEKDGHNP >ENSMUSP00000138303.1 pep:known chromosome:GRCm38:18:65583937:65623193:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000182973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIV >ENSMUSP00000129390.1 pep:known chromosome:GRCm38:18:65582526:65688940:1 gene:ENSMUSG00000042439.12 transcript:ENSMUST00000169679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp532 description:zinc finger protein 532 [Source:MGI Symbol;Acc:MGI:3036282] MTMGDMKTPDFDDLLAAFDIPDMVDPKAAIESGHDDHESHIKQNAHVDDDSHTPSSSDVG VSVIVKNVRNIDSSEGVEKDGHNPTGNGLHNGFLTASSLDSYGKDGAKSLKGDTPASEVT LKDPAFSQFSPISSAEEFEDDEKIEVDDPPDKEEARAGFRSNVLTGSAPQQDFDKLKALG GENSSKTGVSTSGHTDKNKVKREAESNSITLSVYEPFKVRKAEDKLKENSEKMLESRVLD GKPSSEKSDSGIAAAASSKTKPSSKLSSCIAAIAALSAKKAASDSCKEPVANSREASPLP KEVNDSPKAADKSPESQNLIDGTKKASLKPSDSPRSVSSENSSKGSPSSPVGSTPAIPKV RIKTIKTSSGEIKRTVTRVLPEVDLDSGKKPSEQAASVMASVTSLLSSSASATVLSSPPR APLQTAMVTSAVSSAELTPKQVTIKPVATAFLPVSAVKTAGSQVINLKLANNTTVKATVI SAASVQSASSAIIKAANAIQQQTVVVPASSLANAKLVPKTVHLANLNLLPQGAQATSELR QVLTKPQQQIKQAIINAAASQPPKKVSRVQVVSSLQSSVVEAFNKVLSSVNPVPVYTPNL SPPANAGITLPMRGYKCLECGDAFALEKSLSQHYDRRSVRIEVTCNHCTKNLVFYNKCSL LSHARGHKEKGVVMQCSHLILKPVPADQMIVPPSSNTAASTLQSSVGAATHTVPKVQPGI AGAVISAPASTPMSPAMPLDEDPSKLCRHSLKCLECNEVFQDEPSLATHFQHAADTSGQQ MKKHPCRQCDKSFSSSHSLCRHNRIKHKGIRKVYACSHCPDSRRTFTKRLMLERHIQLMH GIKDPDVKELSDDAGDVTNDEEEEAEIKEDAKVPSPKRKLEEPVLEFRPPRGAITQPLKK LKINVFKVHKCAVCGFTTENLLQFHEHIPQHRSDGSSHQCRECGLCYTSHGSLARHLFIV HKLKEPQPVSKQNGAGEDSQQENKPSPEDEAAEGAASDRKCKVCAKTFETEAALNTHMRT HGMAFIKSKRMSSAEK >ENSMUSP00000123472.1 pep:known chromosome:GRCm38:X:53577087:53579970:-1 gene:ENSMUSG00000079593.9 transcript:ENSMUST00000129769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14597 description:predicted gene 14597 [Source:MGI Symbol;Acc:MGI:3711766] MGVTTSRPSCSCCCCFFNCVGRCLLRLILGVVYYFQWDVPSVERGQIHQEGELNTKIVMG TVTSFGNDYGWIEDCIFFSSDAIIGSIPLRTGDKVLAFVEEDPLSHELKATEVCVSSEEG VSKDADSKVKDLSVCVSQVKKNFIYIGDEYYFYLDSISKAILGFTPREGDWLDIEYSVEQ GSPKITVHSVKATQRRQLEEV >ENSMUSP00000121594.1 pep:known chromosome:GRCm38:X:53577087:53608979:-1 gene:ENSMUSG00000079593.9 transcript:ENSMUST00000123034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14597 description:predicted gene 14597 [Source:MGI Symbol;Acc:MGI:3711766] MSMGRGQPRYLPGELNTKIVMGTVTSFGNDYGWIEDCIFFSSDAIIGSIPLRTGDKVLAF VEEDPLSHELKATEVCVSSEEGVSKDADSKVKDLSVCVSQVKKNFIYIGDEYYFYLDSIS KAILGFTPREGDWLDIEYSVEQGSPKITVHSVKATQRRQLEEV >ENSMUSP00000046625.5 pep:known chromosome:GRCm38:11:3494375:3504821:-1 gene:ENSMUSG00000034570.12 transcript:ENSMUST00000044507.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5j description:inositol polyphosphate 5-phosphatase J [Source:MGI Symbol;Acc:MGI:2158663] MEGQTRSGSARPGTRTGLGPLPGTHGVLQAEIPSKKVNSSFQLPAKNSGPASSEPRLTLA PVGPRAAVSPPSERPRLVLSSPRPVLAPLSIAGEQKRPPPPHSSNRAAKSVGQLVVSAAA ASKPPPVASVSILAPKSLGQLVISASAMPRPSPAPLGSVLTPTSRDQKQLSPTSVGPKPA LATSGLSLALASQEQPPQSPSSPSPVPSPVLSPSQEGHLAAASVTSTPASERQLPARQKD TAVPRPTPPADKCLYTPERAAGPATSPPRAQAFSDPRLSPSFRARPEAPRHSPEDPVLPP PPQTLPLDVSPGLPESGTRSPGLLSPTFRPGIPSSQTVPPPLPKPPRSPSRSPSRSPNRS PCLPPAPEVALPKPVTQAAGSGRCPSPNLQAQESPAAATTTTSPTSSWSAQPTCKSDPGF RITVVTWNVGTAMPPDDVTSLLHLGSGHDNDGADMIAIGLQEVNSMINKRLKDALFTDQW SELFMDALGPFNFVLVSTVRMQGVILLLFAKYYHLPFLRDVQTDCTRTGLGGYWGNKGGV SVRLAAFGHMLCFLNCHLPAHMDKAEQRKDNFQTILSLQQFQGPGAHGILDHDLVFWFGD LNFRIESYDLHFVKFAIDSNQLHQLWEKDQLNMAKNTWPILKGFQEGPLNFAPTFKFDVG TNKYDTSAKKRKPAWTDRILWKVKAPSGGPSPSGRESHRLQVTQHSYRSHMEYTVSDHKP VAAQFILQFAFRDDVPLVRLEVADEWARPEQAVVRYRVETVFARSSWDWIGLYRVGFRHC KDYVAYVWAKHEEVDGNIYQVTFSEESLPKGHGDFILGYYSHHHSILIGVTEPFQISLPT SESASSSTDSSGTSSEGEDDSTLELLAPKSRSPSPGKSKRHRSRSPGLARFPSLALHPSS RERRGGSRSPSPQSRQLPRVAPDRGHSSSSRGSSEEGPSGLPGPWAFPPSVPRSLGLLPA LRLETVDPGGGGSWGADQEAPDPNSLSPSPQGRLGLEEGGLGP >ENSMUSP00000139214.1 pep:known chromosome:GRCm38:11:3494382:3502134:-1 gene:ENSMUSG00000034570.12 transcript:ENSMUST00000148939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5j description:inositol polyphosphate 5-phosphatase J [Source:MGI Symbol;Acc:MGI:2158663] XKPVTQAAGSGRCPSPNLQAQESPAAATTTTSPTSSWSAQPTCKSDPGFRITVVTWNVGT AMPPDDVTSLLHLGSGHDNDGADMIAIGLQEVNSMINKRLKDALFTDQWSELFMDALGPF NFVLVSTVRMQGVILLLFAKYYHLPFLRDVQTDCTRTGLGGYWGNKGGVSVRLAAFGHML CFLNCHLPAHMDKAEQRKDNFQTILSLQQFQGPGAHGILDHDLVFWFGDLNFRIESYDLH FVKFAIDSNQLHQLWEKDQLNMAKNTWPILKGFQEGPLNFAPTFKFDVGTNKYDTSAKKR KPAWTDRILWKVKAPSGGPSPSGRESHRLQVTQHSYRSHMEYTVSDHKPVAAQFILQFAF RDDVPLVRLEVADEWARPEQAVVRYRVETVFARSSWDWIGLYRVGFRHCKDYVAYVWAKH EEVDGNIYQVTFSEESLPKGHGDFILGYYSHHHSILIGVTEPFQTSSPTSLGLPTGKEGV GRLKSGVAPAASVLSRSRCLPQNLPAAAQTARAPARRGRMTALWSCWHPSPAAPALASPR DTVVAALA >ENSMUSP00000105645.2 pep:known chromosome:GRCm38:11:3494382:3504771:-1 gene:ENSMUSG00000034570.12 transcript:ENSMUST00000110018.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Inpp5j description:inositol polyphosphate 5-phosphatase J [Source:MGI Symbol;Acc:MGI:2158663] MEGQTRSGSARPGTRTGLGPLPGTHGVLQAEIPSKKVNSSFQLPAKNSGPASSEPSP >ENSMUSP00000139302.1 pep:known chromosome:GRCm38:11:3494382:3504774:-1 gene:ENSMUSG00000034570.12 transcript:ENSMUST00000154756.1 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Inpp5j description:inositol polyphosphate 5-phosphatase J [Source:MGI Symbol;Acc:MGI:2158663] MEGQTRSGSARPGTRTGLGPLPGTHGVLQAEIPSKKVNSSFQLPAKNSGPASSEPRLTLA PVGPRAAVSPPSERPRLVLSSPRPVLAPLSIAGEQKRPPPPHSSNRAAKSVGQLVVSAAA ASKPPPVASVSILAPKSLGQLVISASAMPRPSPAPLGSVLTPTSRDQKQLSPTSVGPKPA LATSGLSLALASQEQPPQSPSSPSPVPSPVLSPSQEGHLAAASVTSTPASERQLPARQKD TAVPRPTPPADKCLYTPERAAGPATSPPRAQAFSDPRLSPSFRARPEAPRHSPEDPVLPP PPQTLPLDVSPGLPESGTRSPGLLSPTFRPGIPSSQTVPPPLPKPPRSPSRSPSRSPNRS PCLPPAPEVALPKPVTQAAGSGRCPSPNLQAQESPAAATTTTSPTSSWSAQPTCKSDPGF RITVVTWNVGTAMPPDDVTSLLHLGSGHDNDGADMIAIGLQEVNSMINKRLKDALFTDQW SELFMDALGPFNFVLVSTVRMQGVILLLFAKYYHLPFLRDVQTDCTRTGLGGYWGNKGGV SVRLAAFGHMLCFLNCHLPAHMDKAEQRKDNFQTILSLQQFQGPGAHGILDHDLVFWFGD LNFRIESYDLHFVKFAIDSNQLHQLWEKDQLNMAKNTWPILKGFQEGPLNFAPTFKFDVG TNKYDTSAKKRKPAWTDRILWKVKAPSGGPSPSGRESHRLQVTQHSYRSHMEYTVSDHKP VAAQFILQFAFRDDVPLVRLEVADEWARPEQAVVRYRVETVFARSSWDWIGLYRVGFRHC KDYVAYVWAKHEEVDGNIYQVTFSEESLPKGHGDFILGYYSHHHSILIGVTEPFQPLTTS ATLSWPGAAYWGVPKLSPGTTSTVTISKASPGPSSGMMGGTDRYSHLGGHPLGIKFSSF >ENSMUSP00000105646.2 pep:known chromosome:GRCm38:11:3494547:3504786:-1 gene:ENSMUSG00000034570.12 transcript:ENSMUST00000110019.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Inpp5j description:inositol polyphosphate 5-phosphatase J [Source:MGI Symbol;Acc:MGI:2158663] MEGQTRSGSARPGTRTGLGPLPGTHGVLQAEIPSKLPRRSSVKEKGRAGKWYPAVNPPMP LLSGSLWLHGMWGPPCPLMMSHLFFTWAVATTMMVQI >ENSMUSP00000139000.1 pep:known chromosome:GRCm38:11:3498950:3504799:-1 gene:ENSMUSG00000034570.12 transcript:ENSMUST00000183684.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Inpp5j description:inositol polyphosphate 5-phosphatase J [Source:MGI Symbol;Acc:MGI:2158663] MEGQTRSGSARPGTRTGLGPLPGTHGVLQAEIPSKVRAPKP >ENSMUSP00000109188.1 pep:known chromosome:GRCm38:2:31026206:31141802:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000073879.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSK EEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDG RKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRQ QMAEDSKADYSLILQRFNQEQWEYYHTHIPNIFQKIQEMEERRIVRIGESMKTYAEVDRQ VIPIIGKCLDGIVKAAESIDQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSL SSSKEGKPELRFGGKSRGKLWPFIKKNKGVTPEDFSNFPPEQRRKKLQQKVDDLNREIQK ETDQRDAITKMKDVYLKNPQMGDPASLDQKLTEVTQNIEKLRLEAQKFEAWLAEVEGRLP ARSEQARRQSGLYDGQTHQTVTNCAQDRESPDGSYTEEQSQESEHKVLAPDFDDEFDDEE PLPAIGTCKALYTFEGQNEGTISVVEGETLSVIEEDKGDGWTRIRRNEDEEGYVPTSYVE VYLDKNAKGAKTYI >ENSMUSP00000109190.1 pep:known chromosome:GRCm38:2:31026207:31142008:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000113560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSK EEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDG RKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRQ QMAEDSKADYSLILQRFNQEQWEYYHTHIPNIFQKIQEMEERRIVRIGESMKTYAEVDRQ VIPIIGKCLDGIVKAAESIDQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSL SSSKEGKPELRFGGKSRGKLWPFIKKNKLMSLLTSPHQPPPPPPASASPSAVPNGPQSPK QPKEPLSHRFNEFMTSKPKIHCFRSLKRGLSLKLGVTPEDFSNFPPEQRRKKLQQKVDDL NREIQKETDQRDAITKMKDVYLKNPQMGDPASLDQKLTEVTQNIEKLRLEAQKFEAWLAE VEGRLPARSEQARRQSGLYDGQTHQTVTNCAQDRESPDGSYTEEQSQESEHKVLAPDFDD EFDDEEPLPAIGTCKALYTFEGQNEGTISVVEGETLSVIEEDKGDGWTRIRRNEDEEGYV PTSYVEVYLDKNAKGS >ENSMUSP00000109192.2 pep:known chromosome:GRCm38:2:31026208:31141996:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000113562.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSK EEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDG RKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRQ QMAEDSKADYSLILQRFNQEQWEYYHTHIPNIFQKIQEMEERRIVRIGESMKTYAEVDRQ VIPIIGKCLDGIVKAAESIDQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSL SSSKEGKPELRFGGKSRGKLWPFIKKNKGVTPEDFSNFPPEQRRKKLQQKVDDLNREIQK ETDQRDAITKMKDVYLKNPQMGDPASLDQKLTEVTQNIEKLRLEAQKFEAWLAEVEGRLP ARSEQARRQSGLYDGQTHQTVTNCAQDRESPDGSYTEEQSQESEHKVLAPDFDDEFDDEE PLPAIGTCKALYTFEGQNEGTISVVEGETLSVIEEDKGDGWTRIRRNEDEEGYVPTSYVE VYLDKNAKGS >ENSMUSP00000109194.2 pep:known chromosome:GRCm38:2:31026795:31141957:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000113564.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSK EEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDG RKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRQ QMAEDSKADYSLILQRFNQEQWEYYHTHIPNIFQKIQEMEERRIVRIGESMKTYAEVDRQ VIPIIGKCLDGIVKAAESIDQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSL SSSKEGKPELRFGGKSRGKLWPFIKKNKGVTPEDFSNFPPEQRRKKLQQKVDDLNREIQK ETDQRDAITKMKDVYLKNPQMGDPASLDQKLTEVTQNIEKLRLEAQKFEAWLAEVEGRLP ARSEQARRQSGLYDGQTHQTVTNCAQDRESSPDGSYTEEQSQESEHKVLAPDFDDEFDDE EPLPAIGTCKALYTFEGQNEGTISVVEGETLSVIEEDKGDGWTRIRRNEDEEGYVPTSYV EVYLDKNAKGS >ENSMUSP00000115013.1 pep:known chromosome:GRCm38:2:31032694:31105352:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000128500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] XFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSKEEEEYKYTA CKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDGRKAQQHIET CWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKGVTPEDFSNFPPEQRRKK LQQKVDDLNREIQKETDQRDAITKMKDVYLKNPQMGDPASLDQKLTEVTQNIEKLRLEAQ KFEAWLAEVEGRLPARSEQARRQSGLYDGQTHQTVTNCAQDRESPDGSYTEEQSQESEHK VLAPDFDDEFDDEEPLPAIGTCKALYTFEGQNEGTISVVEGETLSVIEEDKGDGWTRIRR NEDEEGYVPTSYVEVYLDKNAKGAKTYI >ENSMUSP00000097782.2 pep:known chromosome:GRCm38:2:31032999:31141802:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000100208.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSK EEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDG RKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRQ QMAEDSKADYSLILQRFNQEQWEYYHTHIPNIFQKIQEMEERRIVRIGESMKTYAEVDRQ VIPIIGKCLDGIVKAAESIDQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSL SSSKEGKPELRFGGKSRGKLWPFIKKNKLMSLLTSPHQPPPPPPASASPSAVPNGPQSPK QPKEPLSHRFNEFMTSKPKIHCFRSLKRGGVTPEDFSNFPPEQRRKKLQQKVDDLNREIQ KETDQRDAITKMKDVYLKNPQMGDPASLDQKLTEVTQNIEKLRLEAQKFEAWLAEVEGRL PARSEQARRQSGLYDGQTHQTVTNCAQDRESPDGSYTEEQSQESEHKVLAPDFDDEFDDE EPLPAIGTCKALYTFEGQNEGTISVVEGETLSVIEEDKGDGWTRIRRNEDEEGYVPTSYV EVYLDKNAKGAKTYI >ENSMUSP00000097781.2 pep:known chromosome:GRCm38:2:31033010:31141802:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000100207.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSK EEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDG RKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRQ QMAEDSKADYSLILQRFNQEQWEYYHTHIPNIFQKIQEMEERRIVRIGESMKTYAEVDRQ VIPIIGKCLDGIVKAAESIDQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSL SSSKEGKPELRFGGKSRGKLWPFIKKNKLSLKLGVTPEDFSNFPPEQRRKKLQQKVDDLN REIQKETDQRDAITKMKDVYLKNPQMGDPASLDQKLTEVTQNIEKLRLEAQKFEAWLAEV EGRLPARSEQARRQSGLYDGQTHQTVTNCAQDRESPDGSYTEEQSQESEHKVLAPDFDDE FDDEEPLPAIGTCKALYTFEGQNEGTISVVEGETLSVIEEDKGDGWTRIRRNEDEEGYVP TSYVEVYLDKNAKGAKTYI >ENSMUSP00000109184.1 pep:known chromosome:GRCm38:2:31033010:31141802:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000113555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSK EEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDG RKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRQ QMAEDSKADYSLILQRFNQEQWEYYHTHIPNIFQKIQEMEERRIVRIGESMKTYAEVDRQ VIPIIGKCLDGIVKAAESIDQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSL SSSKEGKPELRFGGKSRGKLWPFIKKNKSPKQPKEPLSHRFNEFMTSKPKIHCFRSLKRG LSLKLGVTPEDFSNFPPEQRRKKLQQKVDDLNREIQKETDQRDAITKMKDVYLKNPQMGD PASLDQKLTEVTQNIEKLRLEAQKFEAWLAEVEGRLPARSEQARRQSGLYDGQTHQTVTN CAQDRESPDGSYTEEQSQESEHKVLAPDFDDEFDDEEPLPAIGTCKALYTFEGQNEGTIS VVEGETLSVIEEDKGDGWTRIRRNEDEEGYVPTSYVEVYLDKNAKGAKTYI >ENSMUSP00000074796.4 pep:known chromosome:GRCm38:2:31033010:31141802:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000075326.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSK EEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDG RKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRQ QMAEDSKADYSLILQRFNQEQWEYYHTHIPNIFQKIQEMEERRIVRIGESMKTYAEVDRQ VIPIIGKCLDGIVKAAESIDQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSL SSSKEGKPELRFGGKSRGKLWPFIKKNKSPKQPKEPLSHRFNEFMTSKPKIHCFRSLKRG GVTPEDFSNFPPEQRRKKLQQKVDDLNREIQKETDQRDAITKMKDVYLKNPQMGDPASLD QKLTEVTQNIEKLRLEAQKFEAWLAEVEGRLPARSEQARRQSGLYDGQTHQTVTNCAQDR ESPDGSYTEEQSQESEHKVLAPDFDDEFDDEEPLPAIGTCKALYTFEGQNEGTISVVEGE TLSVIEEDKGDGWTRIRRNEDEEGYVPTSYVEVYLDKNAKGAKTYI >ENSMUSP00000141681.1 pep:known chromosome:GRCm38:2:31033091:31055444:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000128431.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] XIDQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSLSSSKEGKPELRFGGKSR GKLWPFIKKNKPPPPPPASASPSAVPNGPQSPKQPKEPLSHRFNEFMTSKPKIHCFRSLK RGTQSLCYHKELMKRTLGKPTHALEARDYVLSLKLGVTPEDFSNFPPEQRRKKLQQKVDD LNREIQKETDQRDAITKMKDVYLKNPQMGDPASLDQKLTEVTQNIEKLRLEAQKFEAWLA EVEGRLPARSEQARRQSGLYDGQTHQTVTNCAQDRESPDGSYTEEQSQESEHKVLAPDFD DEFDDEEPLPAIGTCKALYTFEGQNEGTISVVEGETLSVIEEDKGDGWTRIRRNE >ENSMUSP00000109181.2 pep:known chromosome:GRCm38:2:31053235:31141939:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000113552.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSK EEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDG RKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRQ QMAEDSKADYSLILQRFNQEQWEYYHTHIPNIFQKIQEMEERRIVRIGESMKTYAEVDRQ VIPIIGKCLDGIVKAAESIDQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSL SSSKEGKPELRFGGKSRGKLWPFIKKNKVLAIWTLRGL >ENSMUSP00000120580.1 pep:known chromosome:GRCm38:2:31055567:31141955:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000136181.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MSWGTELWDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLRNLSKKYQPKKNSK EEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSARQQA QIRQQMAEDSKADYSLILQRFNQEQWEYYHTHIPNIFQKI >ENSMUSP00000121282.1 pep:known chromosome:GRCm38:2:31058944:31116312:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000149196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MEDGLMKGEMLLAVGWTCKKMDQFDNLEKHTQWGIDILEKYIKFVKERTEIELSYAKQLR NLSKKYQPKKNSKEEEEYKYTACKAFLSTLNEMNDYAGQHEVISENMTSQITVDLMRYVQ ELKQERKSNFHDGRKAQQHIETCWKQLESSKRRFERDCKEADRAQQYFEKMDADINVTKA DVEKARQQAQIRQQMAEDSKADYSLILQ >ENSMUSP00000109189.3 pep:known chromosome:GRCm38:2:31026207:31096500:-1 gene:ENSMUSG00000075415.13 transcript:ENSMUST00000113559.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnbp1 description:formin binding protein 1 [Source:MGI Symbol;Acc:MGI:109606] MNDYAGQHEVISENMTSQITVDLMRYVQELKQERKSNFHDGRKAQQHIETCWKQLESSKR RFERDCKEADRAQQYFEKMDADINVTKADVEKARQQAQIRQQMAEDSKADYSLILQRFNQ EQWEYYHTHIPNIFQKIQEMEERRIVRIGESMKTYAEVDRQVIPIIGKCLDGIVKAAESI DQKNDSQLVVEAYKSGFEPPGDIEFEDYTQPMKRTVSDNSLSSSKEGKPELRFGGKSRGK LWPFIKKNKPPPPPPASASPSAVPNGPQSPKQPKEPLSHRFNEFMTSKPKIHCFRSLKRG GVTPEDFSNFPPEQRRKKLQQKVDDLNREIQKETDQRDAITKMKDVYLKNPQMGDPASLD QKLTEVTQNIEKLRLEAQKFEAWLAEVEGRLPARSEQARRQSGLYDGQTHQTVTNCAQDR ESPDGSYTEEQSQESEHKVLAPDFDDEFDDEEPLPAIGTCKALYTFEGQNEGTISVVEGE TLSVIEEDKGDGWTRIRRNEDEEGYVPTSYVEVYLDKNAKGS >ENSMUSP00000145036.1 pep:known chromosome:GRCm38:6:41771258:41775177:-1 gene:ENSMUSG00000045479.5 transcript:ENSMUST00000203430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr459 description:olfactory receptor 459 [Source:MGI Symbol;Acc:MGI:3030293] MMDNLSSATEFCLLGFPGSQELHYILFAIFFFFYSVTLLGNMVIIIIVCVDKRLQSPMYF FLGNLSLLEILVTTTIVPLMLWGLLLPGKQTISLNGCIAQLFLYLALGTTEFAVLGAMAV DRYVAVCNPLRYSVIMNSRTCIWVVMVSWMFGFLSEIWPVYATFQFTFCKSNLLDHFYCD RGQLLKLSCNETFLTEFILFIMAIFIIVGSLIPTIVSYTYIISTILKIPSASGRKKAFST CASHFTFVVIGYGTCLFLYVKPKQTQAAEYNRVASLLVSVVTPFLNPFIFTLRNDKVKEA LRDGVKRCCLLLRD >ENSMUSP00000061622.4 pep:known chromosome:GRCm38:6:41771258:41772366:-1 gene:ENSMUSG00000045479.5 transcript:ENSMUST00000050412.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr459 description:olfactory receptor 459 [Source:MGI Symbol;Acc:MGI:3030293] MMDNLSSATEFCLLGFPGSQELHYILFAIFFFFYSVTLLGNMVIIIIVCVDKRLQSPMYF FLGNLSLLEILVTTTIVPLMLWGLLLPGKQTISLNGCIAQLFLYLALGTTEFAVLGAMAV DRYVAVCNPLRYSVIMNSRTCIWVVMVSWMFGFLSEIWPVYATFQFTFCKSNLLDHFYCD RGQLLKLSCNETFLTEFILFIMAIFIIVGSLIPTIVSYTYIISTILKIPSASGRKKAFST CASHFTFVVIGYGTCLFLYVKPKQTQAAEYNRVASLLVSVVTPFLNPFIFTLRNDKVKEA LRDGVKRCCLLLRD >ENSMUSP00000102910.2 pep:known chromosome:GRCm38:4:75941238:76449980:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000107289.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] MVPVARPLSLLLTFFLCACAETPPRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKK GKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRLTVLREDQ IPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRS ESIGGTPIRGALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRELREVRRVPPRFSI PPTNHEIMPGGSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANY TCVAMSTLGVIEAIAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKP KNSEEPYKEIDGIATTRYSVAGLSPYSDYEFRVVAVNNIGRGPASEPVLTQTSEQAPSSA PRDVQARMLSSTTILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIG NLVPQKTYSVKVLAFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPR SDTIASYELVYRDGDQGEEQRITIEPGTSYRLQGLKPNSLYYFRLSARSPQGLGASTAEI SARTMQSKPSAPPQDISCTSPSSTSILVSWQPPPVEKQNGIITEYSLKYAAVDGEDFKPH EILGIPSDTTKYLLEQLEKWTEYRITVTAHTDVGPGPESLSVLIRTDEDVPSGPPRKVEV EAVNATAVKVSWRSPVPNKQHGQIRGYQVHYVKMENGEPKGQPMLKDVMLADAQWEFDDT TEHDMIISGLQPETSYSLTVTAYTTKGDGARSKPKLVSTTGAVPGKPRLVINHTQMNTAL IQWHPPVDTFGPLQGYRLKFGRKDMEPLTTLEFSEKEDHFTATDIHKGASYVFRLSARNK VGFGEEMVKEISVPEEIPTGFPQNLHSEGTTSTSVQLSWQPPVLAERNGVITKYTLLYRD INVPLLPMEHLIVPADTSMTLTGLKSDTTYDVKVRAHTSKGPGPYSPSVQFRTLPVDQVF AKNFHVKAVMKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVDGRATQKLIVNLKPEKS YSFVLTNRGNSAGGLQHRVTAKTAPDVLRTKPAFIGKTNLDGMITVQLPDVPANENIKGY YIIIVPLKKSRGKFIKPWESPDEMELDELLKEISRKRRSIRYGREVELKPYIAAHFDVLP TEFTLGDDKHYGGFTNKQLQSGQEYVFFVLAVMDHAESKMYATSPYSDPVVSMDLDPQPI TDEEEGLIWVVGPVLAVVFIICIVIAILLYKRKRAESESRKSSLPNSKEVPSHHPTDPVE LRRLNFQTPGMASHPPIPILELADHIERLKANDNLKFSQEYESIDPGQQFTWEHSNLEVN KPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYIATQGSLPETFGDFW RMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLDTVELATYCVRTFAL YKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDAGPMVVHCSAGVGRT GCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIHDALLEAVTCGNTEV PARNLYAYIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISANLPCNKFKNRLVNIMP YESTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAETTEDFWRMLWEHNSTIV VMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSRTV RQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGVGRTGVFITLSIV LERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFCYRAALEYLGSFDHYAT >ENSMUSP00000099898.1 pep:known chromosome:GRCm38:4:75941239:76133882:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000102834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] MPGGSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANYTCVAMST LGVIEAIAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKPKNSEEPY KEIDGIATTRYSVAGLSPYSDYEFRVVAVNNIGRGPASEPVLTQTSEQAPSSAPRDVQAR MLSSTTILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIGNLVPQKT YSVKVLAFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPRSDTIASY ELVYRDGDQGEEQRITIEPGTSYRLQGLKPNSLYYFRLSARSPQGLGASTAEISARTMQS MFAKNFHVKAVMKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVDGRATQKLIVNLKPE KSYSFVLTNRGNSAGGLQHRVTAKTAPDVLRTKPAFIGKTNLDGMITVQLPDVPANENIK GYYIIIVPLKKSRGKFIKPWESPDEMELDELLKEISRKRRSIRYGREVELKPYIAAHFDV LPTEFTLGDDKHYGGFTNKQLQSGQEYVFFVLAVMDHAESKMYATSPYSDPVVSMDLDPQ PITDEEEGLIWVVGPVLAVVFIICIVIAILLYKRKRAESESRKSSLPNSKEVPSHHPTDP VELRRLNFQTPGMASHPPIPILELADHIERLKANDNLKFSQEYESIDPGQQFTWEHSNLE VNKPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYIATQGSLPETFGD FWRMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLDTVELATYCVRTF ALYKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDAGPMVVHCSAGVG RTGCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIHDALLEAVTCGNT EVPARNLYAYIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISANLPCNKFKNRLVNI MPYESTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAETTEDFWRMLWEHNST IVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSR TVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGVGRTGVFITLS IVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFCYRAALEYLGSFDHYAT >ENSMUSP00000133468.1 pep:known chromosome:GRCm38:4:75944806:76594299:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000173376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] MPLTNCRMVPVARPLSLLLTFFLCACAETPPRFTRTPVDQTGVSGGVASFICQATGDPRP KIVWNKKGKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRL TVLREDQIPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNG RIKQLRSESIGGTPIRGALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRELREVRR VPPRFSIPPTNHEIMPGGSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELND VRQSANYTCVAMSTLGVIEAIAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSY YIIQHKPKNSEEPYKEIDGIATTRYSVAGLSPYSDYEFRVVAVNNIGRGPASEPVLTQTS EQAPSSAPRDVQARMLSSTTILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVAD SQITTIGNLVPQKTYSVKVLAFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSIL LSWTPPRSDTIASYELVYRDGDQGEEQRITIEPGTSYRLQGLKPNSLYYFRLSARSPQGL GASTAEISARTMQSMFAKNFHVKAVMKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVD GRATQKLIVNLKPEKSYSFVLTNRGNSAGGLQHRVTAKTAPDVLRTKPAFIGKTNLDGMI TVQLPDVPANENIKGYYIIIVPLKKSRGKFIKPWESPDEMELDELLKEISRKRRSIRYGR EVELKPYIAAHFDVLPTEFTLGDDKHYGGFTNKQLQSGQEYVFFVLAVMDHAESKMYATS PYSDPVVSMDLDPQPITDEEEGLIWVVGPVLAVVFIICIVIAILLYKRKRAESESRKSSL PNSKEVPSHHPTDPVELRRLNFQTPGMASHPPIPILELADHIERLKANDNLKFSQEYESI DPGQQFTWEHSNLEVNKPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNA YIATQGSLPETFGDFWRMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTL LDTVELATYCVRTFALYKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPP DAGPMVVHCSAGVGRTGCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIF IHDALLEAVTCGNTEVPARNLYAYIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISA NLPCNKFKNRLVNIMPYESTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAET TEDFWRMLWEHNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYIL REFKVTDARDGQSRTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHC SAGVGRTGVFITLSIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFCYRAALEYL GSFDHYAT >ENSMUSP00000133328.1 pep:known chromosome:GRCm38:4:75944958:76325243:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000174831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] MVPVARPLSLLLTFFLCACAETPPRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKK GKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRLTVLREDQ IPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRS ESIGGTPIRGALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRELREVRRVPPRFSI PPTNHEIMPGGSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANY TCVAMSTLGVIEAIAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKP KNSEEPYKEIDGIATTRYSVAGLSPYSDYEFRVVAVNNIGRGPASEPVLTQTSEQAPSSA PRDVQARMLSSTTILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIG NLVPQKTYSVKVLAFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPR SDTIASYELVYRDGDQGEEQRITIEPGTSYRLQGLKPNSLYYFRLSARSPQGLGASTAEI SARTMQSMFAKNFHVKAVMKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVDGRATQKL IVNLKPEKSYSFVLTNRGNSAGGLQHRVTAKTAPDVLRTKPAFIGKTNLDGMITVQLPDV PANENIKGYYIIIVPLKKSRGKFIKPWESPDEMELDELLKEISRKRRSIRYGREVELKPY IAAHFDVLPTEFTLGDDKHYGGFTNKQLQSGQEYVFFVLAVMDHAESKMYATSPYSDPVV SMDLDPQPITDEEEGLIWVVGPVLAVVFIICIVIAILLYKSKPDRKRAESESRKSSLPNS KEVPSHHPTDPVELRRLNFQTPGMASHPPIPILELADHIERLKANDNLKFSQEYESIDPG QQFTWEHSNLEVNKPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYIA TQGSLPETFGDFWRMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLDT VELATYCVRTFALYKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDAG PMVVHCSAGVGRTGCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIHD ALLEAVTCGNTEVPARNLYAYIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISANLP CNKFKNRLVNIMPYESTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAETTED FWRMLWEHNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREF KVTDARDGQSRTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAG VGRTGVFITLSIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFCYRAALEYLGSF DHYAT >ENSMUSP00000095614.3 pep:known chromosome:GRCm38:4:75944958:76325264:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000098005.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] MVPVARPLSLLLTFFLCACAETPPRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKK GKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRLTVLREDQ IPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRS ESIGGTPIRGALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRELREVRRVPPRFSI PPTNHEIMPGGSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANY TCVAMSTLGVIEAIAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKP KNSEEPYKEIDGIATTRYSVAGLSPYSDYEFRVVAVNNIGRGPASEPVLTQTSEQAPSSA PRDVQARMLSSTTILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIG NLVPQKTYSVKVLAFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPR SDTIASYELVYRDGDQGEEQRITIEPGTSYRLQGLKPNSLYYFRLSARSPQGLGASTAEI SARTMQSMFAKNFHVKAVMKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVDGRATQKL IVNLKPEKSYSFVLTNRGNSAGGLQHRVTAKTAPDVLRTKPAFIGKTNLDGMITVQLPDV PANENIKGYYIIIVPLKKSRGKFIKPWESPDEMELDELLKEISRKRRSIRYGREVELKPY IAAHFDVLPTEFTLGDDKHYGGFTNKQLQSGQEYVFFVLAVMDHAESKMYATSPYSDPVV SMDLDPQPITDEEEGLIWVVGPVLAVVFIICIVIAILLYKSSKPDRKRAESESRKSSLPN SKEVPSHHPTDPVELRRLNFQTPGMASHPPIPILELADHIERLKANDNLKFSQEYESIDP GQQFTWEHSNLEVNKPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYI ATQGSLPETFGDFWRMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLD TVELATYCVRTFALYKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDA GPMVVHCSAGVGRTGCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIH DALLEAVTCGNTEVPARNLYAYIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISANL PCNKFKNRLVNIMPYESTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAETTE DFWRMLWEHNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILRE FKVTDARDGQSRTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSA GVGRTGVFITLSIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFCYRAALEYLGS FDHYAT >ENSMUSP00000133562.1 pep:known chromosome:GRCm38:4:75944958:76325264:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000174023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] MVPVARPLSLLLTFFLCACAETPPRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKK GKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRLTVLREDQ IPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRS GGTPIRGALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRELREVRRVPPRFSIPPT NHEIMPGGSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANYTCV AMSTLGVIEAIAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKPKNS EEPYKEIDGIATTRYSVAGLSPYSDYEFRVVAVNNIGRGPASEPVLTQTSEQAPSSAPRD VQARMLSSTTILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIGNLV PQKTYSVKVLAFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPRSDT IASYELVYRDGDQGEEQRITIEPGTSYRLQGLKPNSLYYFRLSARSPQGLGASTAEISAR TMQSMFAKNFHVKAVMKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVDGRATQKLIVN LKPEKSYSFVLTNRGNSAGGLQHRVTAKTAPDVLRTKPAFIGKTNLDGMITVQLPDVPAN ENIKGYYIIIVPLKKSRGKFIKPWESPDEMELDELLKEISRKRRSIRYGREVELKPYIAA HFDVLPTEFTLGDDKHYGGFTNKQLQSGQEYVFFVLAVMDHAESKMYATSPYSDPVVSMD LDPQPITDEEEGLIWVVGPVLAVVFIICIVIAILLYKSKPDRKRAESESRKSSLPNSKEV PSHHPTDPVELRRLNFQTPGMASHPPIPILELADHIERLKANDNLKFSQEYESIDPGQQF TWEHSNLEVNKPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYIATQG SLPETFGDFWRMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLDTVEL ATYCVRTFALYKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDAGPMV VHCSAGVGRTGCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIHDALL EAVTCGNTEVPARNLYAYIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISANLPCNK FKNRLVNIMPYESTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAETTEDFWR MLWEHNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVT DARDGQSRTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGVGR TGVFITLSIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFCYRAALEYLGSFDHY AT >ENSMUSP00000134229.1 pep:known chromosome:GRCm38:4:75944958:76325264:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000174531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] MVPVARPLSLLLTFFLCACAETPPRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKK GKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRLTVLREDQ IPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRS ESIGALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRELREVRRVPPRFSIPPTNHE IMPGGSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANYTCVAMS TLGVIEAIAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKPKNSEEP YKEIDGIATTRYSVAGLSPYSDYEFRVVAVNNIGRGPASEPVLTQTSEQAPSSAPRDVQA RMLSSTTILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIGNLVPQK TYSVKVLAFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPRSDTIAS YELVYRDGDQGEEQRITIEPGTSYRLQGLKPNSLYYFRLSARSPQGLGASTAEISARTMQ SMFAKNFHVKAVMKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVDGRATQKLIVNLKP EKSYSFVLTNRGNSAGGLQHRVTAKTAPDVLRTKPAFIGKTNLDGMITVQLPDVPANENI KGYYIIIVPLKKSRGKFIKPWESPDEMELDELLKEISRKRRSIRYGREVELKPYIAAHFD VLPTEFTLGDDKHYGGFTNKQLQSGQEYVFFVLAVMDHAESKMYATSPYSDPVVSMDLDP QPITDEEEGLIWVVGPVLAVVFIICIVIAILLYKRKRAESESRKSSLPNSKEVPSHHPTD PVELRRLNFQTPGMASHPPIPILELADHIERLKANDNLKFSQEYESIDPGQQFTWEHSNL EVNKPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYIATQGSLPETFG DFWRMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLDTVELATYCVRT FALYKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDAGPMVVHCSAGV GRTGCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIHDALLEAVTCGN TEVPARNLYAYIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISANLPCNKFKNRLVN IMPYESTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAETTEDFWRMLWEHNS TIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQS RTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGVGRTGVFITL SIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFCYRAALEYLGSFDHYAT >ENSMUSP00000133973.1 pep:known chromosome:GRCm38:4:75944958:76325264:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000174180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] MVPVARPLSLLLTFFLCACAETPPRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKK GKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRLTVLREDQ IPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRS GALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRVRRVPPRFSIPPTNHEIMPGGSV NITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANYTCVAMSTLGVIEA IAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKPKNSEEPYKEIDGI ATTRYSVAGLSPYSDYEFRVVAVNNIGRGPASEPVLTQTSEQAPSSAPRDVQARMLSSTT ILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIGNLVPQKTYSVKVL AFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPRSDTIASYELVYRD GDQGEEQRITIEPGTSYRLQGLKPNSLYYFRLSARSPQGLGASTAEISARTMQSKPSAPP QDISCTSPSSTSILVSWQPPPVEKQNGIITEYSLKYAAVDGEDFKPHEILGIPSDTTKYL LEQLEKWTEYRITVTAHTDVGPGPESLSVLIRTDEDVPSGPPRKVEVEAVNATAVKVSWR SPVPNKQHGQIRGYQVHYVKMENGEPKGQPMLKDVMLADAQDMIISGLQPETSYSLTVTA YTTKGDGARSKPKLVSTTGAVPGKPRLVINHTQMNTALIQWHPPVDTFGPLQGYRLKFGR KDMEPLTTLEFSEKEDHFTATDIHKGASYVFRLSARNKVGFGEEMVKEISVPEEIPTGFP QNLHSEGTTSTSVQLSWQPPVLAERNGVITKYTLLYRDINVPLLPMEHLIVPADTSMTLT GLKSDTTYDVKVRAHTSKGPGPYSPSVQFRTLPVDQVFAKNFHVKAVMKTSVLLSWEIPE NYNSAMPFKILYDDGKMVEEVDGRATQKLIVNLKPEKSYSFVLTNRGNSAGGLQHRVTAK TAPDVLRTKPAFIGKTNLDGMITVQLPDVPANENIKGYYIIIVPLKKSRGKFIKPWESPD EMELDELLKEISRKRRSIRYGREVELKPYIAAHFDVLPTEFTLGDDKHYGGFTNKQLQSG QEYVFFVLAVMDHAESKMYATSPYSDPVVSMDLDPQPITDEEEGLIWVVGPVLAVVFIIC IVIAILLYKRKRAESESRKSSLPNSKEVPSHHPTDPVELRRLNFQTPGMASHPPIPILEL ADHIERLKANDNLKFSQEYESIDPGQQFTWEHSNLEVNKPKNRYANVIAYDHSRVLLSAI EGIPGSDYVNANYIDGYRKQNAYIATQGSLPETFGDFWRMIWEQRSATVVMMTKLEERSR VKCDQYWPSRGTETHGLVQVTLLDTVELATYCVRTFALYKNGSSEKREVRQFQFTAWPDH GVPEHPTPFLAFLRRVKTCNPPDAGPMVVHCSAGVGRTGCFIVIDAMLERIKHEKTVDIY GHVTLMRAQRNYMVQTEDQYIFIHDALLEAVTCGNTEVPARNLYAYIQKLTQIETGENVT GMELEFKRLASSKAHTSRFISANLPCNKFKNRLVNIMPYESTRVCLQPIRGVEGSDYINA SFLDGYRQQKAYIATQGPLAETTEDFWRMLWEHNSTIVVMLTKLREMGREKCHQYWPAER SARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSRTVRQFQFTDWPEQGVPKSGEGFID FIGQVHKTKEQFGQDGPISVHCSAGVGRTGVFITLSIVLERMRYEGVVDIFQTVKMLRTQ RPAMVQTEDQYQFCYRAALEYLGSFDHYAT >ENSMUSP00000058466.9 pep:known chromosome:GRCm38:4:75944958:76344243:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000050757.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] MVPVARPLSLLLTFFLCACAETPPRFTRTPVDQTGVSGGVASFICQATGDPRPKIVWNKK GKKVSNQRFEVIEFDDGSGSVLRIQPLRTPRDEAIYECVASNNVGEISVSTRLTVLREDQ IPRGFPTIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDTSNNNGRIKQLRS ESIGALQIEQSEESDQGKYECVATNSAGTRYSAPANLYVRVRRVPPRFSIPPTNHEIMPG GSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVLELNDVRQSANYTCVAMSTLGV IEAIAQITVKALPKPPGTPVVTESTATSITLTWDSGNPEPVSYYIIQHKPKNSEEPYKEI DGIATTRYSVAGLSPYSDYEFRVVAVNNIGRGPASEPVLTQTSEQAPSSAPRDVQARMLS STTILVQWKEPEEPNGQIQGYRVYYTMDPTQHVNNWMKHNVADSQITTIGNLVPQKTYSV KVLAFTSIGDGPLSSDIQVITQTGVPGQPLNFKAEPESETSILLSWTPPRSDTIASYELV YRDGDQGEEQRITIEPGTSYRLQGLKPNSLYYFRLSARSPQGLGASTAEISARTMQSMFA KNFHVKAVMKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVDGRATQKLIVNLKPEKSY SFVLTNRGNSAGGLQHRVTAKTAPDVLRTKPAFIGKTNLDGMITVQLPDVPANENIKGYY IIIVPLKKSRGKFIKPWESPDEMELDELLKEISRKRRSIRYGREVELKPYIAAHFDVLPT EFTLGDDKHYGGFTNKQLQSGQEYVFFVLAVMDHAESKMYATSPYSDPVVSMDLDPQPIT DEEEGLIWVVGPVLAVVFIICIVIAILLYKRKRAESESRKSSLPNSKEVPSHHPTDPVEL RRLNFQTPGSDDSGYPGNLHFSSMASHPPIPILELADHIERLKANDNLKFSQEYESIDPG QQFTWEHSNLEVNKPKNRYANVIAYDHSRVLLSAIEGIPGSDYVNANYIDGYRKQNAYIA TQGSLPETFGDFWRMIWEQRSATVVMMTKLEERSRVKCDQYWPSRGTETHGLVQVTLLDT VELATYCVRTFALYKNGSSEKREVRQFQFTAWPDHGVPEHPTPFLAFLRRVKTCNPPDAG PMVVHCSAGVGRTGCFIVIDAMLERIKHEKTVDIYGHVTLMRAQRNYMVQTEDQYIFIHD ALLEAVTCGNTEVPARNLYAYIQKLTQIETGENVTGMELEFKRLASSKAHTSRFISANLP CNKFKNRLVNIMPYESTRVCLQPIRGVEGSDYINASFLDGYRQQKAYIATQGPLAETTED FWRMLWEHNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREF KVTDARDGQSRTVRQFQFTDWPEQGVPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAG VGRTGVFITLSIVLERMRYEGVVDIFQTVKMLRTQRPAMVQTEDQYQFCYRAALEYLGSF DHYAT >ENSMUSP00000133832.1 pep:known chromosome:GRCm38:4:76084371:76086516:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000173662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] GPLQGYRLKFGRKDMEPLTTLEFSEKEDHFTATDIHKGASYVFRLSARNKVGFGEEMVKE ISVPEEIPTGFPQNLHSEGTTSTSVQLSWQPPVLAERNGVITKYTLLYRDINVPLLPMEH LIVPADTSMTLTGLKSDTTYDVKVRAHTSKGPGPYSPSVQFRTLPVDQAVFAKNFHVKAV MKTSVLLSWEIPENYNSAMPFKILYDDGKMVEEVDGRATQKLIVNLKPEKSYSFVLTNRG NSAGGLQHRVTAKTAPDVLRTKPAFIGKTNL >ENSMUSP00000134190.1 pep:known chromosome:GRCm38:4:76099412:76107395:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000172811.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] XKAEPESETSILLSWTPPRSDTIASYELVYRDGDQGEEQRITIEPGTSYRLQGLKPNSLY YFRLSARSPQGLGASTAEISARTMQSICCSGWGRLQAS >ENSMUSP00000133580.1 pep:known chromosome:GRCm38:4:76133158:76134143:-1 gene:ENSMUSG00000028399.17 transcript:ENSMUST00000174832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprd description:protein tyrosine phosphatase, receptor type, D [Source:MGI Symbol;Acc:MGI:97812] MPGGSVNITCVAVGSPMPYVKWMLGAEDLTPEDDMPIGRNVL >ENSMUSP00000119308.1 pep:known chromosome:GRCm38:10:122078114:122097371:-1 gene:ENSMUSG00000034620.17 transcript:ENSMUST00000140299.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem5 description:transmembrane protein 5 [Source:MGI Symbol;Acc:MGI:2384919] MRLTRTRLCSLLVALYCLFSIYAAYHVFFGRRRRPLGTTSRNSRKAAAAQAKERRGREQS ALESEEWNPWEGDEKNEQRHRVKTNLQILNKSTKEKIEHRVQIWGKAAIGLYLWEHIFEG TLDPADVTAQWREGQSVVGRTHYSFITGPAVVPGYFSIDVDNVVLVLNGREKAKIFHATQ WLIYAQNLMKTQKLQHLAVVLLGNEHCENDWIMQFLKRNGGFVDLLFITYDSPWINGADI LQWPLGVATYRQFPVVEASWTMLHDERPYICNFLGTAYENSSRQALMNILKQDGNDKLCW VSAREQWQPQETNESLKNYQDALLHSDLTLCPVGVNTECYRIYEACSFGSIPVVEDVMTA GHCGNTTSQHSAPLQLLKAMGAPFIFIKNWKELPAILEKEKTISLQEKIQRRKVLLHWYQ HFKTELKWKFTKILESSFFINNKV >ENSMUSP00000045258.7 pep:known chromosome:GRCm38:10:122081260:122097156:-1 gene:ENSMUSG00000034620.17 transcript:ENSMUST00000038772.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem5 description:transmembrane protein 5 [Source:MGI Symbol;Acc:MGI:2384919] MRLTRTRLCSLLVALYCLFSIYAAYHVFFGRRRRPLGTTSRNSRKAAAAQAKERRGRVEY EIGEDSLLKIYLIGHLYKTRKQLQN >ENSMUSP00000132467.1 pep:known chromosome:GRCm38:7:8367460:8383238:-1 gene:ENSMUSG00000094098.1 transcript:ENSMUST00000166499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r44 description:vomeronasal 2, receptor 44 [Source:MGI Symbol;Acc:MGI:3643088] MFTLMGVFFFLNIPLFMANFIDPRCFWRINLNEIQDEYLGLTCAFILAAVQKPIEKDYFN KTLNFLKTTKNHKYALALAFAMDEINRNPDLLPNMSLIIRYTLGRCDGKTVIPTPYLFHK KKQSPIPNYFCNEETMCSFLLTGPNWGVSISFWKYLDSFLSPRILQLTYGPFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYFNWNWIGLVIPDDDQGNQFLLELKKQRENKEICFA FVKMISVDDISFEHKTEMYYKQIVMSSSNVIIIYEETIDFIDLIFRMWEPPVLQRIWITT KQWNFPTSKRDITHGTFYGSLTFLPHHGGISGFKDFVQTWFHLKSKDLYLVMPEWKYFKY ESSASKCKILKNNSSDASFDWLMEQKFDMAFSESSHNIYNAVHAIAHALHEMNLQQVDNQ AIDNGKGASSHCLKVNSFLRKIHFTNPLGDKVIMKQRVIMQEEYDIFHFGNLSQHLGIKV KLGKFSPYFSHGRNFHLYVDMLEVATGSRKMPSSVCSAECSPGFRRLWKDGMAACCFVCS PCPENEISNETNMDKCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRILSYLLLISLMSCFLCSFFYIGHPNRATCVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLFQCVLCAIWLEVS PPFVDIDEHSQHGHIIIVCNKGSVTAFYCVLGYLACLALGSFTLAFLAKNLPDAFNEAKF LTFSMLVFFSVWVTFLPVYHSTKGKVMVAVEIFSILASSAGMLGCIFAPKIYIILMRPER NSTQKIKVRNSTQKIKEKSYF >ENSMUSP00000125177.1 pep:known chromosome:GRCm38:12:52132384:52302721:1 gene:ENSMUSG00000035142.18 transcript:ENSMUST00000159567.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nubpl description:nucleotide binding protein-like [Source:MGI Symbol;Acc:MGI:1924076] DGRHTGLIQQDERLSQAAFREKSMRLSPALSTLSGRNFAQCTVKLSKAVGLLDVDVYGPS IPKMMNLRGNPELSPNNLMRPLLNYGIACMSMGFLVEETAPLVWRGLMVMSAIEKLLRQV LSLLLLTQLCQTGLLVHS >ENSMUSP00000044292.9 pep:known chromosome:GRCm38:12:52097737:52312744:1 gene:ENSMUSG00000035142.18 transcript:ENSMUST00000040090.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nubpl description:nucleotide binding protein-like [Source:MGI Symbol;Acc:MGI:1924076] MGTWRRLLLFGGVSLRGGGAATVPPRGCRALGCGRQLLGAESEALKQRRTQIMSRGLPKQ KPIEGVREVIVVASGKGGVGKSTTAVNLALALAANDSSKAVGLLDVDVYGPSIPKMMNLR GNPELSPNNLMRPLLNYGIACMSMGFLVEETAPLVWRGLMVMSAIEKLLRQVDWGQLDYL VVDMPPGTGDVQLSVSQNIPISGAVIVSTPQDIALMDAHKGAEMFRKVNVPVLGLVQNMS VFQCPKCKHKTHIFGADGARKLAQTLDLDVLGDVPLHLSIREASDMGQPVVFSQPGSDEA KAYLHIASEVVRRLKSSPE >ENSMUSP00000074818.7 pep:known chromosome:GRCm38:6:41847535:41852065:1 gene:ENSMUSG00000058499.9 transcript:ENSMUST00000075351.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip description:prolactin induced protein [Source:MGI Symbol;Acc:MGI:102696] MQGLSFTFSAVTLFLVLCLQLGIIESQDDENVRKPLLIEIDVPSTAQENQEITVQVTVET QYRECMVIKAYLVSNEPMEGAFNYVQTRCLCNDHPIRFFWDIIITRTVTFATVIDIVREK NICPNDMAVVPITANRYYTYNTVRMN >ENSMUSP00000144995.1 pep:known chromosome:GRCm38:6:41847568:41851957:1 gene:ENSMUSG00000058499.9 transcript:ENSMUST00000203244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip description:prolactin induced protein [Source:MGI Symbol;Acc:MGI:102696] MQGLSFTFSAVTLFLVLCLQLGIIESQDDENVRKPLLIEIDVPSTAQENQEITVQVTVET QYRECMVIKAYLVSNEPMEGAFNYVQTRCLCNDHPIRFFWDIIITSK >ENSMUSP00000144926.1 pep:known chromosome:GRCm38:6:41847585:41851584:1 gene:ENSMUSG00000058499.9 transcript:ENSMUST00000204575.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip description:prolactin induced protein [Source:MGI Symbol;Acc:MGI:102696] XGLSFTFSAVTLFLVLCLQLGIIESQDDENVRKPLLIEIDVPSTAQENQEITVQVTVETQ YRECMVVSR >ENSMUSP00000097418.1 pep:known chromosome:GRCm38:2:88274109:88275047:-1 gene:ENSMUSG00000075132.1 transcript:ENSMUST00000099830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1173 description:olfactory receptor 1173 [Source:MGI Symbol;Acc:MGI:3031007] MINQSSVTTFILVGFSEYPHLQPPLFLMVMTIYTVTLVGNVGIILVRRINPKLHTPMYFF LSHLSFLDLCYSSVVPPKLLEILIVEHRTISLKGCMTQFFFGCACVIIEMFMLAVMAYDR FVAVCKPLLYTVAMSHKFCALLVAGSYMWGGLGAAIITYTLVQLSYCEPGIIDHFSCEYS AIVSVSCSDPSFSQMVCLVISMLNEVSSLLITMTSYVFLIVTIIKMPSKGGLRKAFSTCT SHLTAISIFHGTILLLYCIPNAKSSKLVVKVATVLYTVLIPMLNPLIYSLRNKDVKETVK RLISSKLHSQTI >ENSMUSP00000096254.3 pep:known chromosome:GRCm38:7:26307169:26315088:1 gene:ENSMUSG00000074254.4 transcript:ENSMUST00000098657.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2a4 description:cytochrome P450, family 2, subfamily a, polypeptide 4 [Source:MGI Symbol;Acc:MGI:88596] MLTSGLLLVAAVAFLSVLVLMSVWKQRKLSGKLPPGPTPLPFVGNFLQLNTEQMYNSLMK ISQRYGPVFTIYLGSRRIVVLCGQETVKEALVDQAEEFSGRGEQATFDWLFKGYGIAFSS GERAKQLRRFSITTLRDFGVGKRGIEERIQEEAGFLIDSFRKTNGAFIDPTFYLSRTVSN VISSIVFGDRFDYEDKEFLSLLRMMLGSLQFTATSMGQVYEMFSSVMKHLPGPQQQAFKE LQGLEDFITKKVEHNQRTLDPNSPRDFIDSFLIRMLEEKKNPNTEFYMKNLVLTTLNLFF AGTETVSTTLRYGFLLLMKYPDIEAKVHEEIDRVIGRNRQPKYEDRMKMPYTEAVIHEIQ RFADLIPMGLARRVTKDTKFRDFLLPKGTEVFPMLGSVLKDPKFFSNPKDFNPKHFLDDK GQFKKSDAFVPFSIGKRYCFGEGLARMELFLFLTNIMQNFHFKSTQEPQDIDVSPRLVGF VTIPPTYTMSFLSR >ENSMUSP00000135236.1 pep:known chromosome:GRCm38:17:19362135:19394590:1 gene:ENSMUSG00000090304.3 transcript:ENSMUST00000176107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r99 description:vomeronasal 2, receptor 99 [Source:MGI Symbol;Acc:MGI:3644540] MVAWIFIFWFMQINKCVSAFTYISSCYYRISEEFHHEGDVVIGAFFPLHTFHTKKEKPHS TIPYYYLDNKIQYNFKNYQYILALNFAIEEINGNPSLLPNISLGFDFYNVRFTGKYIHSN TFNWLTALGLRNYLPNYNCKKRNFTAALTGTSWITSALTGTLLQLFKFPQITFGPYDFHL SDRGQYPSLYQMAPKDISLSLAIVSLVVYFNWSWVGLILPDDHKGNIILSDFSEEMERKG ICLAFVKMTPATWTSYFAKFWENMDETNVTIIYGDIDSLEGVMRNIEQRLLTWNVWIMNI EHHIIDIADYFMLDPFHGSLIFKHNYRENFEFTKFIQTVNPNKYPEDIYLPKLWHLFFKC SFSDINCHVLENCQTNASLDVFPRHIFDGSMSDESRSIYNGVYTVAHSLHEMRIQQLQMQ PYENGEGMVFFPWQLNTFLKDIELKDKRSLDWRQTIDTEYDILNIWNLPKGLGLKVKIGS FSANAPQDQQLCLSEQMIQWPEIFSEIPQSVCSESCGPGFRKVTQEGKSICCYRCTPCAD NEISNDTDVDKCVKCPERHYANAEKNNCFQKSVSFLAYEDPLGMALASIALCLSALTAFV IGIFVKHRDTPIVKANNRALSYILLITLTFCFLCSLNFIGQPNTAACILQQTTFAVAFTI ALATVLAKAITVVLAFKVSFPGRMLRWLMISRGPNYIIPVCTLIQLLICGIWMATSPPFI DQDPQTEYGHIIILCNKGSAVAFHSVLGYLCFMALGSYAMAFLSRNLPDTFNESKFLSFS MLVFFCVWVTFLPVYHSTKGKVMVAMEVFSILASSTALLVFIFGPKCYIILLRPDKNSFN HIRKKTLSRRKNFSKI >ENSMUSP00000105057.1 pep:known chromosome:GRCm38:11:30885358:30893729:1 gene:ENSMUSG00000043999.6 transcript:ENSMUST00000109430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr75 description:G protein-coupled receptor 75 [Source:MGI Symbol;Acc:MGI:2441843] MNTSAPLQNVPNATLLNMPPLHGGNSTSLQEGLRDFIHTATLVTCTFLLAIIFCLGSYGN FIVFLSFFDPSFRKFRTNFDFMILNLSFCDLFICGVTAPMFTFVLFFSSASSIPDSFCFT FHLTSSGFVIMSLKMVAVIALHRLRMVMGKQPNCTASFSCILLLTLLLWATSFTLATLAT LRTNKSHLCLPMSSLMDGEGKAILSLYVVDFTFCVAVVSVSYIMIAQTLRKNAQVKKCPP VITVDASRPQPFMGASVKGNGDPIQCTMPALYRNQNYNKLQHSQTHGYTKNINQMPIPSA SRLQLVSAINFSTAKDSKAVVTCVVIVLSVLVCCLPLGISLVQMVLSDNGSFILYQFELF GFTLIFFKSGLNPFIYSRNSAGLRRKVLWCLRYTGLGFLCCKQKTRLRAMGKGNLEINRN KSSHHETNSAYMLSPKPQRKFVDQACGPSHSKESAASPKVSAGHQPCGQSSSTPINTRIE PYYSIYNSSPSQQESGPANLPPVNSFGFASSYIAMHYYTTNDLMQEYDSTSAKQIPIPSV >ENSMUSP00000015000.4 pep:known chromosome:GRCm38:8:105326354:105329057:1 gene:ENSMUSG00000014856.12 transcript:ENSMUST00000015000.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem208 description:transmembrane protein 208 [Source:MGI Symbol;Acc:MGI:1913570] MAPKGKVGTRGKKQIFEENKETLKFYLRIILGANAIYCLVTLVFFYSSASFWAWMALGFS LAVYGASYHSMSSMARASFSEDGSLMDGGMDLNMEQGMAEHLKDVILLTAIVQVLSCFSL YIWSFWLLAPGRALYLLWVNVLGPWFTADSGAPAPELNEKRQRRQERRQMKRL >ENSMUSP00000138470.1 pep:known chromosome:GRCm38:8:105326362:105329055:1 gene:ENSMUSG00000014856.12 transcript:ENSMUST00000153146.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem208 description:transmembrane protein 208 [Source:MGI Symbol;Acc:MGI:1913570] MAPKGKVGTRGKKQIFEENKETLKFYLRIILGANAIYCLVTLVFFYSSASFWAWMALGFS LAVYGASYHSMSSMARASFSEDGSLMDGGMDLNMEQGMAE >ENSMUSP00000138226.1 pep:known chromosome:GRCm38:8:105326388:105329052:1 gene:ENSMUSG00000014856.12 transcript:ENSMUST00000126705.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem208 description:transmembrane protein 208 [Source:MGI Symbol;Acc:MGI:1913570] MAPKGKVGTRGKKQIFEENKETLKFYLRIILGANAIYCLVTLVFFYSSASFWAWMALGFS LAVYGASYHSMSSMARASFSEDGSLMDGGMDLNMEQGMAE >ENSMUSP00000096052.2 pep:known chromosome:GRCm38:8:105326395:105335219:1 gene:ENSMUSG00000014856.12 transcript:ENSMUST00000098453.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem208 description:transmembrane protein 208 [Source:MGI Symbol;Acc:MGI:1913570] MAPKGKVGTRGKKQIFEENKETLKFYLRIILGANAIYCLVTLVFFYSSASFWAWMALGFS LAVYGASYHSMSSMARASFSEDGSLMDGGMDLNMEQGMAEVGFFSGWILPEHHPPDDPSV SSTVAPASVSARPWARAACFSVSAAATFSRNRALKREACQRWCLTQVQPRVAHMPC >ENSMUSP00000104997.1 pep:known chromosome:GRCm38:8:105326455:105334801:1 gene:ENSMUSG00000014856.12 transcript:ENSMUST00000109372.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem208 description:transmembrane protein 208 [Source:MGI Symbol;Acc:MGI:1913570] MAPKGKVGTRGKKQIFEENKETLKFYLRIILGANAIYCLVTLVFFYSSASFWAWMALGFS LAVYGASYHSMSSMARASFSEDGSLMDGGMDLNMEQGMAELQAGPFTFCG >ENSMUSP00000120840.1 pep:known chromosome:GRCm38:10:86021972:86051873:1 gene:ENSMUSG00000001786.14 transcript:ENSMUST00000130320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo7 description:F-box protein 7 [Source:MGI Symbol;Acc:MGI:1917004] MKLRVRLQKRTQPLEVPESEPTLGQLRAHLSQVLLPTLGFSSDTRFAITLNNKDALTGDE ETLASYGIVSGDLICLVLEDDMPAPNLPSSTDTEHSSLQDNDQPSLAATPSQTNIPDEQG TDSSQGQATPFDAWTDDSMEGPSQNVEAESIQDAMSMEEVSGFHPLEPMLCNETEDGQVP HSLETLYQSAGCSNISDALIVLVHLLMLESGYIPQGTETKAVTMPEKWKSSGVYKLQYTH PLCEGGFAVLTCVPLGNLIIINATIKVNGGIKNVKSVQLQPGSYVAAGVEPGESAAKVYK DLKKLSRLFKDQLVYPLLAFTRQVLNLPDVFGLVVLPLELKLRIFRLLDVHSVLALSAVC HDLLIASNDPLLWRCLYLRDFRDGTVRGPDTDWKELYRKKHIQRKEAQRMRHAMFLPSAH PIPFCPIPVYPRAYLPTSLLPPGIIGGEYDERPILPSVGDPVTSLIPRPGELPGQFRPLR PRFDPVDPLPGPHSLLPGRAIPNNRFPFRPGRGRSADSRLPFL >ENSMUSP00000001837.7 pep:known chromosome:GRCm38:10:86021987:86048293:1 gene:ENSMUSG00000001786.14 transcript:ENSMUST00000001837.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo7 description:F-box protein 7 [Source:MGI Symbol;Acc:MGI:1917004] MKLRVRLQKRTQPLEVPESEPTLGQLRAHLSQVLLPTLGFRKGLVKMLKLSQFRMP >ENSMUSP00000119334.1 pep:known chromosome:GRCm38:10:86022019:86029124:1 gene:ENSMUSG00000001786.14 transcript:ENSMUST00000147168.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo7 description:F-box protein 7 [Source:MGI Symbol;Acc:MGI:1917004] MKLRVRLQKRTQPLEVPESEPTLGQLRAHLSQVLLPTLGFRYRAFLTPG >ENSMUSP00000113222.1 pep:known chromosome:GRCm38:10:86022189:86048323:1 gene:ENSMUSG00000001786.14 transcript:ENSMUST00000120344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo7 description:F-box protein 7 [Source:MGI Symbol;Acc:MGI:1917004] MAQPPPGAAVLPDTEHSSLQDNDQPSLAATPSQTNIPDEQGTDSSQGQATPFDAWTDDSM EGPSQNVEAESIQDAMSMEEVSGFHPLEPMLCNETEDGQVPHSLETLYQSAGCSNISDAL IVLVHLLMLESGYIPQGTETKAVTMPEKWKSSGVYKLQYTHPLCEGGFAVLTCVPLGNLI IINATIKVNGGIKNVKSVQLQPGSYVAAGVEPGESAAKVYKDLKKLSRLFKDQLVYPLLA FTRQVLNLPDVFGLVVLPLELKLRIFRLLDVHSVLALSAVCHDLLIASNDPLLWRCLYLR DFRDGTVRGPDTDWKELYRKKHIQRKEAQRMRHAMFLPSAHPIPFCPIPVYPRAYLPTSL LPPGIIGGEYDERPILPSVGDPVTSLIPRPGELPGQFRPLRPRFDPVDPLPGPHSLLPGR AIPNNRFPFRPGRGRSADSRLPFL >ENSMUSP00000113263.1 pep:known chromosome:GRCm38:10:86022197:86048323:1 gene:ENSMUSG00000001786.14 transcript:ENSMUST00000117597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo7 description:F-box protein 7 [Source:MGI Symbol;Acc:MGI:1917004] MPAPNLPSSTDTEHSSLQDNDQPSLAATPSQTNIPDEQGTDSSQGQATPFDAWTDDSMEG PSQNVEAESIQDAMSMEEVSGFHPLEPMLCNETEDGQVPHSLETLYQSAGCSNISDALIV LVHLLMLESGYIPQGTETKAVTMPEKWKSSGVYKLQYTHPLCEGGFAVLTCVPLGNLIII NATIKVNGGIKNVKSVQLQPGSYVAAGVEPGESAAKVYKDLKKLSRLFKDQLVYPLLAFT RQVLNLPDVFGLVVLPLELKLRIFRLLDVHSVLALSAVCHDLLIASNDPLLWRCLYLRDF RDGTVRGPDTDWKELYRKKHIQRKEAQRMRHAMFLPSAHPIPFCPIPVYPRAYLPTSLLP PGIIGGEYDERPILPSVGDPVTSLIPRPGELPGQFRPLRPRFDPVDPLPGPHSLLPGRAI PNNRFPFRPGRGRSADSRLPFL >ENSMUSP00000139110.1 pep:known chromosome:GRCm38:2:158624888:158639198:1 gene:ENSMUSG00000037761.16 transcript:ENSMUST00000183731.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Actr5 description:ARP5 actin-related protein 5 [Source:MGI Symbol;Acc:MGI:1924748] MEANVFRFRDARSAPDPVLEAGPVAFGSQPVPLVLDNGSFQARAGWACPGPDPGPEPRLQ FRAVCARGRGGARGGPGPQVGNALGSLEPLRWMLRSPFDRNVPVNLELQELLLDYSFQHL GVSSQGCVDHPIVLTEAVCNPLYSRQMMSELLFECYRIPKVAYGIDSLFSFYHNVPKNAL SSGLIISSGYQCTHILPVLEGRLDAKNCKRINLGGSQAAGYLQRLLQLKYPGHLAAITLS RMEEILQEHSYIAEDYGAELQKWQCPDYYENNVHKMQLPFSSKLLGSTLTAEEKQERRQQ QLRRLQELNARRREEKLQLDQERLERLLYVQELLEEGQMDQFHKALIELNMDSPEELQSY IQKLTLAVEQAKQKILQAEASLEVDVVDSKPEYCHNVIQLG >ENSMUSP00000046658.8 pep:known chromosome:GRCm38:2:158624888:158639211:1 gene:ENSMUSG00000037761.16 transcript:ENSMUST00000045644.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr5 description:ARP5 actin-related protein 5 [Source:MGI Symbol;Acc:MGI:1924748] MEANVFRFRDARSAPDPVLEAGPVAFGSQPVPLVLDNGSFQARAGWACPGPDPGPEPRLQ FRAVCARGRGGARGGPGPQVGNALGSLEPLRWMLRSPFDRNVPVNLELQELLLDYSFQHL GVSSQGCVDHPIVLTEAVCNPLYSRQMMSELLFECYRIPKVAYGIDSLFSFYHNVPKNAL SSGLIISSGYQCTHILPVLEGRLDAKNCKRINLGGSQAAGYLQRLLQLKYPGHLAAITLS RMEEILQEHSYIAEDYGAELQKWQCPDYYENNVHKMQLPFSSKLLGSTLTAEEKQERRQQ QLRRLQELNARRREEKLQLDQERLERLLYVQELLEEGQMDQFHKALIELNMDSPEELQSY IQKLTLAVEQAKQKILQAEASLEVDVVDSKPETPDLEPLEPTMEDVENISDFEPLFSEET PEVEKPQVTTVQPVFNLAAYHQLSVGTERIRAPEIIFQPSLIGEEQAGIAETLHFVLDRY PKAIQDTLVQNVFLTGGNVMYPGMKARVEKELLEMRPFQSSFQVQLASNPVLDAWYGARD WALDHLEDSGAWVTRKDYEEKGGEYLKEHCASNTYVPIRLPKQASRASETQTSGRGSSAS GSGAGDQA >ENSMUSP00000096301.2 pep:known chromosome:GRCm38:7:23540720:23541649:1 gene:ENSMUSG00000074291.2 transcript:ENSMUST00000098704.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r168 description:vomeronasal 1 receptor 168 [Source:MGI Symbol;Acc:MGI:3704286] MSSQNGAMKTTEEVALQIVLLCQFGIGTMANILLFVHNFSPLLTGCQLRPKEVICANMAV SSILILFMTVFPNNVFVAQRNLLNDLQCKLEYFVYMVARSTNLCSTCVLSTYQFVTLVPG NFSELMVRGRSPKVISYSCCSCWLFGILYNVYIPMKVSGPQNRHNKTSTKSKWVCFTSGF SVGIVFLSFAHDAIFISIMVWASVSMVRLLYRHYQRLQYIFPPHQGNRGYAEIRAAHSIV LLVVTFVSFYLLHCICFILHIVFVDSNLWLRHIGEVLTSSFPTISPLLLIFRDPSYPCSL IFNYKKPVI >ENSMUSP00000098942.4 pep:known chromosome:GRCm38:11:30976707:30986350:-1 gene:ENSMUSG00000020309.6 transcript:ENSMUST00000101394.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chac2 description:ChaC, cation transport regulator 2 [Source:MGI Symbol;Acc:MGI:1915294] MWVFGYGSLIWKVDFPYQDKLVGYITNYSRRFWQGSTDHRGVPGKPGRVVTLVEDPGGSV WGVAYKLPVGKEEEVKTYLDFREKGGYRTTTVIFYPKDSTTKPFSVLLYIGTCDNPNYLG PAPLEDIAEQIFNAAGPSGRNTEYLFELADSVRKLVPEDADEHLFSLEKLVKERLEGK >ENSMUSP00000020553.4 pep:known chromosome:GRCm38:11:30976952:30986334:-1 gene:ENSMUSG00000020309.6 transcript:ENSMUST00000020553.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chac2 description:ChaC, cation transport regulator 2 [Source:MGI Symbol;Acc:MGI:1915294] MWVFGYGSLIWKVDFPYQDKLVGYITNYSRRFWQGSTDHRGVPGKGSVWGVAYKLPVGKE EEVKTYLDFREKGGYRTTTVIFYPKDSTTKPFSVLLYIGTCDNPNYLGPAPLEDIAEQIF NAAGPSGRNTEYLFELADSVRKLVPEDADEHLFSLEKLVKERLEGK >ENSMUSP00000102855.2 pep:known chromosome:GRCm38:3:95058530:95106875:-1 gene:ENSMUSG00000028126.16 transcript:ENSMUST00000107236.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5k1a description:phosphatidylinositol-4-phosphate 5-kinase, type 1 alpha [Source:MGI Symbol;Acc:MGI:107929] MASASSGPAAAGFSSLDAGAPAGTAAASGIKRATVSEGPSASVMPVKKIGHRSVDSSGET TYKKTTSSALKGAIQLGITHTVGSLSTKPERDVLMQDFYVVESIFFPSEGSNLTPAHHYN DFRFKTYAPVAFRYFRELFGIRPDDYLYSLCSEPLIELSNSGASGSLFYVSSDDEFIIKT VQHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCVQAGGKNIRIVVMNNLLPRSVKMH MKYDLKGSTYKRRASQKEREKTLPTFKDLDFLQDIPDGLFLDADMYSALCKTLQRDCLVL QSFKIMDYSLLMSIHNMDHAQREPTSNDTQYSADTRRPAPQKALYSTAMESIQGEARRGG TVETEDHMGGIPARNNKGERLLLYIGIIDILQSYRFVKKLEHSWKALVHDGDTVSVHRPG FYAERFQRFMCNTVFKKIPLKPSPTKKFRSGPSFSRRSGPSGNSCTSQLMASGEHRAQVT TKAEVEPDVHLGRPDVLPQTPPLEEISEGSPVPGPSFSPVVGQPLQILNLSSTLEKLDVA ESEFTH >ENSMUSP00000102852.2 pep:known chromosome:GRCm38:3:95058543:95106930:-1 gene:ENSMUSG00000028126.16 transcript:ENSMUST00000107233.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5k1a description:phosphatidylinositol-4-phosphate 5-kinase, type 1 alpha [Source:MGI Symbol;Acc:MGI:107929] MASASSGPAAAGFSSLDAGAPAGTAAAASGIKRATVSEGPSASVMPVKKIGHRSVDSSGE TTYKKTTSSALKGAIQLGITHTVGSLSTKPERDVLMQDFYVVESIFFPSEGSNLTPAHHY NDFRFKTYAPVAFRYFRELFGIRPDDYLYSLCSEPLIELSNSGASGSLFYVSSDDEFIIK TVQHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCVQAGGKNIRIVVMNNLLPRSVKM HMKYDLKGSTYKRRASQKEREKTLPTFKDLDFLQDIPDGLFLDADMYSALCKTLQRDCLV LQSFKIMDYSLLMSIHNMDHAQREPTSNDTQYSADTRRPAPQKALYSTAMESIQGEARRG GTVETEDHMGGIPARNNKGERLLLYIGIIDILQSYRFVKKLEHSWKALVHDGDTVSVHRP GFYAERFQRFMCNTVFKKIPLKPSPTKKFRSGPSFSRRSGPSGNSCTSQLMASGEHRAQV TTKAEVEPDVHLGRPDVLPQTPPLEEISEGSPVPGPSFSPVVGQPLQILNLSSTLEKLDV AESEFTH >ENSMUSP00000102851.2 pep:known chromosome:GRCm38:3:95058545:95106856:-1 gene:ENSMUSG00000028126.16 transcript:ENSMUST00000107232.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5k1a description:phosphatidylinositol-4-phosphate 5-kinase, type 1 alpha [Source:MGI Symbol;Acc:MGI:107929] MASASSGPAAAGFSSLDAGAPAGTAASGIKRATVSEGPSASVMPVKKIGHRSVDSSGETT YKKTTSSALKGAIQLGITHTVGSLSTKPERDVLMQDFYVVESIFFPSEGSNLTPAHHYND FRFKTYAPVAFRYFRELFGIRPDDYLYSLCSEPLIELSNSGASGSLFYVSSDDEFIIKTV QHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCVQAGGKNIRIVVMNNLLPRSVKMHM KYDLKGSTYKRRASQKEREKTLPTFKDLDFLQDIPDGLFLDADMYSALCKTLQRDCLVLQ SFKIMDYSLLMSIHNMDHAQREPTSNDTQYSADTRRPAPQKALYSTAMESIQGEARRGGT VETEDHMGGIPARNNKGERLLLYIGIIDILQSYRFVKKLEHSWKALVHDGDTVSVHRPGF YAERFQRFMCNTVFKKIPYVHLGRPDVLPQTPPLEEISEGSPVPGPSFSPVVGQPLQILN LSSTLEKLDVAESEFTH >ENSMUSP00000005768.7 pep:known chromosome:GRCm38:3:95058569:95106779:-1 gene:ENSMUSG00000028126.16 transcript:ENSMUST00000005768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5k1a description:phosphatidylinositol-4-phosphate 5-kinase, type 1 alpha [Source:MGI Symbol;Acc:MGI:107929] MASASSGPAAAGFSSLDAGAPAGTAASGIKRATVSEGPSASVMPVKKIGHRSVDSSGETT YKKTTSSALKGAIQLGITHTVGSLSTKPERDVLMQDFYVVESIFFPSEGSNLTPAHHYND FRFKTYAPVAFRYFRELFGIRPDDYLYSLCSEPLIELSNSGASGSLFYVSSDDEFIIKTV QHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCVQAGGKNIRIVVMNNLLPRSVKMHM KYDLKGSTYKRRASQKEREKTLPTFKDLDFLQDIPDGLFLDADMYSALCKTLQRDCLVLQ SFKIMDYSLLMSIHNMDHAQREPTSNDTQYSADTRRPAPQKALYSTAMESIQGEARRGGT VETEDHMGGIPARNNKGERLLLYIGIIDILQSYRFVKKLEHSWKALVHDGDTVSVHRPGF YAERFQRFMCNTVFKKIPLKPSPTKKFRSGPSFSRRSGPSGNSCTSQLMASGEHRAQVTT KAEVEPDVHLGRPDVLPQTPPLEEISEGSPVPGPSFSPVVGQPLQILNLSSTLEKLDVAE SEFTH >ENSMUSP00000129466.2 pep:known chromosome:GRCm38:7:8470525:8489075:-1 gene:ENSMUSG00000090662.2 transcript:ENSMUST00000164845.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r45 description:vomeronasal 2, receptor 45 [Source:MGI Symbol;Acc:MGI:3757883] MFIFMEVFFLLNITLLMANFIDPRCFWRINLDEIMDEYLGLSCAFILAAVQTPIENDYFN KTLNVLKTTKNHKYALALVFAMDEINRNPDLLPNMSLIIRYSLGHCDGKTVIPTPYLFRK KKESPIPNYFCNEETMCSYLLTGPHWEVSLGFWKHVNSFLSPRILQLTYGPFHSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYFSWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDVSFPQNIEMYYNQIVMSSTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTRKTDISHGTFYGSLTFLPHHGVISGFKNFVQTWFHLRNTDLYLVMQEWKYFNY EDSASTCKIKKNNSSNASFDWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIDNGKGASSHCLKVNSFLRRIYFTNPPGDKVFMKQRVIMQDEYDIVHFVNLSQHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCMNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNRAICVLQQITFGI VFTVALSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSVTAFYCILGYLACLALGSFSVAFLAKNLPDAFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGILGCIFVPKIYIILTRPER NSTQKIREKSYF >ENSMUSP00000117745.1 pep:known chromosome:GRCm38:15:81012281:81190757:-1 gene:ENSMUSG00000042292.16 transcript:ENSMUST00000149582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkl1 description:MKL (megakaryoblastic leukemia)/myocardin-like 1 [Source:MGI Symbol;Acc:MGI:2384495] MPPLKSPAAFHEQRRSLERARTEDYLKRKIRSRPERAELVRMHILEETSAEPSLQAKQLK LKRARLADDLNEKIAQRPGPMELVEKNILPVESSLKEAIIVGQVNYPKVADSSSFDEDSS DALSPEQPASHESQGSVPSPLESRVSDPLPSATSISPTQVLSQLPMAPDPGETLFLAEQP PLPPAPLLPPSLANGSIVPTAKPAPTLIKQSQPKSASEKSQRSKKAKELKPKVKKLKYHQ YIPPDQKQDKGAPAMDSSYAKILQQQQLFLQLQILNQQQQQQQQQHYNYQAILPAPPKPS AETPGSSAPTPSRSLSTSSSPSSGTPGPSGLARQSSTALAAKPGALPANLDDMKVAELKQ ELKLRSLPVSGTKTELIERLRAYQDQVSPAPGAPKAPATTSVLSKAGEVVVAFPAALLST GSALVTAGLAPAEMVVATVTSNGMVKFGSTGSTPPVSPTPSERSLLSTGDENSTPGDAFG EMVTSPLTQLTLQASPLQIVKEEGARAASCCLSPGARAELEGLDKDQMLQEKDKQIEELT RMLQQKQQLVELLRLQLEQQKRAQQPAPASSPVKRESGFSSCQLSCQPQGSAHAFGSGLV VPTTNHGDTQAPAPESPPVVVKQEAGPPEPDLAPSSQLLLGSQGTSFLKRVSPPTLVTDS TGTHLILTVTNKSADGPGLPAGSPQQPLSQPGSPAPGPPAQMDLEHPPQPPFATPTSLLK KEPPGYEETVTQQPKQQENGSSSQHMDDLFDILIQSGEISADFKEPPSLPGKEKSPPAAA AYGPPLTPQPSPLSELPQAAPPPGSPTLPGRLEDFLESSTGLPLLTSGHEGPEPLSLIDD LHSQMLSSSAILDHPPSPMDTSELHFAPEPSSGMGLDLAVGHLDSMDWLELSSGGPVLSL APLSTAAPSLFSMDFLDGHDLQLHWDSCL >ENSMUSP00000105207.2 pep:known chromosome:GRCm38:15:81012291:81105083:-1 gene:ENSMUSG00000042292.16 transcript:ENSMUST00000109579.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkl1 description:MKL (megakaryoblastic leukemia)/myocardin-like 1 [Source:MGI Symbol;Acc:MGI:2384495] MTLLEPEMLMMAVQSVLQLKLQQRRTREELVSQGIMPPLKSPAAFHEQRRSLERARTEDY LKRKIRSRPERAELVRMHILEETSAEPSLQAKQLKLKRARLADDLNEKIAQRPGPMELVE KNILPVESSLKEAIIVGQVNYPKVADSSSFDEDSSDALSPEQPASHESQGSVPSPLESRV SDPLPSATSISPTQVLSQLPMAPDPGETLFLAEQPPLPPAPLLPPSLANGSIVPTAKPAP TLIKQSQPKSASEKSQRSKKAKELKPKVKKLKYHQYIPPDQKQDKGAPAMDSSYAKILQQ QQLFLQLQILNQQQQQQQQQHYNYQAILPAPPKPSAETPGSSAPTPSRSLSTSSSPSSGT PGPSGLARQSSTALAAKPGALPANLDDMKVAELKQELKLRSLPVSGTKTELIERLRAYQD QVSPAPGAPKAPATTSVLSKAGEVVVAFPAALLSTGSALVTAGLAPAEMVVATVTSNGMV KFGSTGSTPPVSPTPSERSLLSTGDENSTPGDAFGEMVTSPLTQLTLQASPLQIVKEEGA RAASCCLSPGARAELEGLDKDQMLQEKDKQIEELTRMLQQKQQLVELLRLQLEQQKRAQQ PAPASSPVKRESGFSSCQLSCQPQGSAHAFGSGLVVPTTNHGDTQAPAPESPPVVVKQEA GPPEPDLAPSSQLLLGSQGTSFLKRVSPPTLVTDSTGTHLILTVTNKSADGPGLPAGSPQ QPLSQPGSPAPGPPAQMDLEHPPQPPFATPTSLLKKEPPGYEETVTQQPKQQENGSSSQH MDDLFDILIQSGEISADFKEPPSLPGKEKSPPAAAAYGPPLTPQPSPLSELPQAAPPPGS PTLPGRLEDFLESSTGLPLLTSGHEGPEPLSLIDDLHSQMLSSSAILDHPPSPMDTSELH FAPEPSSGMGLDLAVGHLDSMDWLELSSGGPVLSLAPLSTAAPSLFSMDFLDGHDLQLHW DSCL >ENSMUSP00000118451.1 pep:known chromosome:GRCm38:15:81015854:81045546:-1 gene:ENSMUSG00000042292.16 transcript:ENSMUST00000135047.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkl1 description:MKL (megakaryoblastic leukemia)/myocardin-like 1 [Source:MGI Symbol;Acc:MGI:2384495] MPPLKSPAAFHEQRRSLERARTEDYLKRKIRSRPERAELVRMHILEETSAEPSLQAKQLK LKRARLADDLNEKIAQRPGPMELVEKNILPVESSLKEAIIVGQVNYPKVADSSSFDEDSS DALSPEQPASHESQGSVPSPLESRVSDPLPSATSISPTQVLSQLPMAPDPGETLFLAEQP PLPPAPLLPPSLANGSIVPTAKPAPTLIKQSQPKSASEKSQRSKKAKELKPKVKKLKYHQ YIPPDQKQDKGAPAMDSSYAKILQQQQLFLQLQILNQQQQQQQQQHYNYQAILPAPPKPS AETPGSSAPTPSRSLSTSSSPSSGTPGPSGLARQSSTALAAKPGALPANLDDMKVAELKQ ELKLRSLPVSGTKTELIERLRAYQDQVSPAPGAPKAPATTSVLSKAGEVVVAFPAALLST GSALVTAGLAPAEMVVATVTSNGMVKFGSTGSTPPVSPTPSERSLLSTGDENSTPGDAFG EMVTSPLTQLTLQASPLQIVKEEGARAASCCLSPGARAELEGLDKDQMLQEKDKQIEELT RMLQQKQQLVELLRLQLEQQKRAQQPAPASSPVKRESGFSSCQLSCQPQGSAHAFGSGLV VPTTNHGDTQAPAPESPPVVVKQEAGPPEPDLAPSSQLLLGSQGTSFLKRVSPPTLVTDS TGTHLILTVTNKSADGPGLPAGSPQQPLSQPGSPAPGPPAQMDLE >ENSMUSP00000119530.1 pep:known chromosome:GRCm38:15:81012281:81190733:-1 gene:ENSMUSG00000042292.16 transcript:ENSMUST00000134469.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkl1 description:MKL (megakaryoblastic leukemia)/myocardin-like 1 [Source:MGI Symbol;Acc:MGI:2384495] MPPLKSPAAFHEQRRSLERARTEDYLKRKIRSRPERAELVRMHILEETSAEPSLQAKQLK LKRARLADDLNEKIAQRPGPMELVEKNILPVESSLKEAIIVGQVNYPKVADSSSFDEDSS DALSPEQPASHESQGSVPSPLESRVSDPLPSATSISPTQVLSQLPMAPDPGETLFLAEQP PLPPAPLLPPSLANGSIVPTAKPAPTLIKQSQPKSASEKSQRSKKAKELKPKVKKLKYHQ YIPPDQKQDKGAPAMDSSYAKILQQQQLFLQLQILNQQQQQQQQQHYNYQAILPAPPKPS AETPGSSAPTPSRSLSTSSSPSSGTPGPSGLARQSSTALAAKPGALPANLDDMKVAELKQ ELKLRSLPVSGTKTELIERLRAYQDQVSPAPGAPKAPATTSVLSKAGEVVVAFPAALLST GSALVTAGLAPAEMVVATVTSNGMVKFGSTGSTPPVSPTPSERSLLSTGDENSTPGDAFG EMVTSPLTQLTLQASPLQIVKEEGARAASCCLSPGARAELEGLDKDQMLQEKDKQIEELT RMLQQKQQLVELLRLQLEQQKRAQQPAPASSPVKRESGFSSCQLSCQPQGSAHAFGSGLV VPTTNHGDTQAPAPESPPVVVKQEAGPPEPDLAPSSQLLLGSQGTSFLKRVSPPTLVTDS TGTHLILTVTNKSADGPGLPAGSPQQPLSQPGSPAPGPPAQMDLEHPPQPPFATPTSLLK KEPPGYEETVTQQPKQQENGSSSQHMDDLFDILIQSGEISADFKEPPSLPGKEKSPPAAA AYGPPLTPQPSPLSELPQAAPPPGSPTLPGRLEDFLESSTGLPLLTSGHEGPEPLSLIDD LHSQMLSSSAILDHPPSPMDTSELHFAPEPSSGMGLDLAVGHLDSMDWLELSSGGPVLSL APLSTAAPSLFSMDFLDGHDLQLHWDSCL >ENSMUSP00000120116.1 pep:known chromosome:GRCm38:15:81012291:81190723:-1 gene:ENSMUSG00000042292.16 transcript:ENSMUST00000131235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkl1 description:MKL (megakaryoblastic leukemia)/myocardin-like 1 [Source:MGI Symbol;Acc:MGI:2384495] MPPLKSPAAFHEQRRSLERARTEDYLKRKIRSRPERAELVRMHILEETSAEPSLQAKQLK LKRARLADDLNEKIAQRPGPMELVEKNILPVESSLKEAIIVGQVNYPKVADSSSFDEDSS DALSPEQPASHESQGSVPSPLESRVSDPLPSATSISPTQQSQPKSASEKSQRSKKAKELK PKVKKLKYHQYIPPDQKQDKGAPAMDSSYAKILQQQQLFLQLQILNQQQQQQQQQHYNYQ AILPAPPKPSAETPGSSAPTPSRSLSTSSSPSSGTPGPSGLARQSSTALAAKPGALPANL DDMKVAELKQELKLRSLPVSGTKTELIERLRAYQDQVSPAPGAPKAPATTSVLSKAGEVV VAFPAALLSTGSALVTAGLAPAEMVVATVTSNGMVKFGSTGSTPPVSPTPSERSLLSTGD ENSTPGDAFGEMVTSPLTQLTLQASPLQIVKEEGARAASCCLSPGARAELEGLDKDQMLQ EKDKQIEELTRMLQQKQQLVELLRLQLEQQKRAQQPAPASSPVKRESGFSSCQLSCQPQG SAHAFGSGLVVPTTNHGDTQAPAPESPPVVVKQEAGPPEPDLAPSSQLLLGSQGTSFLKR VSPPTLVTDSTGTHLILTVTNKSADGPGLPAGSPQQPLSQPGSPAPGPPAQMDLEHPPQP PFATPTSLLKKEPPGYEETVTQQPKQQENGSSSQHMDDLFDILIQSGEISADFKEPPSLP GKEKSPPAAAAYGPPLTPQPSPLSELPQAAPPPGSPTLPGRLEDFLESSTGLPLLTSGHE GPEPLSLIDDLHSQMLSSSAILDHPPSPMDTSELHFAPEPSSGMGLDLAVGHLDSMDWLE LSSGGPVLSLAPLSTAAPSLFSMDFLDGHDLQLHWDSCL >ENSMUSP00000102472.1 pep:known chromosome:GRCm38:7:104189266:104195960:-1 gene:ENSMUSG00000044899.2 transcript:ENSMUST00000106859.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr649 description:olfactory receptor 649 [Source:MGI Symbol;Acc:MGI:3030483] MVMHNVSSYNTGPFTLSGIPGLEQYHVWISIPFCFIYLVAILGNSILLYLIAVEHSLHSP MFFFLSMLAMTDLILSTTCVPKTLSIFWFGPQEISFPGCLTQLFFLHYSFVLDSAILLAM AFDRYVAICSPLRYTTILTPKTIVKIAVGISFRSFCVFVPCVFLVNRLPFCRTHIIAHTY CEHIGVARLACADISINIWYGFCVPIMTVIIDVILIAISYTLILCAVFRLPSRDARQKAL STCGSHVCVILMFYIPAFFSILAHRFGRNVPRTFHIMFANLYVIIPPALNPIVYGVKTKQ IRDKAILLLFPK >ENSMUSP00000050903.1 pep:known chromosome:GRCm38:7:104189267:104190205:-1 gene:ENSMUSG00000044899.2 transcript:ENSMUST00000060440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr649 description:olfactory receptor 649 [Source:MGI Symbol;Acc:MGI:3030483] MVMHNVSSYNTGPFTLSGIPGLEQYHVWISIPFCFIYLVAILGNSILLYLIAVEHSLHSP MFFFLSMLAMTDLILSTTCVPKTLSIFWFGPQEISFPGCLTQLFFLHYSFVLDSAILLAM AFDRYVAICSPLRYTTILTPKTIVKIAVGISFRSFCVFVPCVFLVNRLPFCRTHIIAHTY CEHIGVARLACADISINIWYGFCVPIMTVIIDVILIAISYTLILCAVFRLPSRDARQKAL STCGSHVCVILMFYIPAFFSILAHRFGRNVPRTFHIMFANLYVIIPPALNPIVYGVKTKQ IRDKAILLLFPK >ENSMUSP00000034159.1 pep:known chromosome:GRCm38:8:109565892:109574051:1 gene:ENSMUSG00000031723.8 transcript:ENSMUST00000034159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnl4b description:thioredoxin-like 4B [Source:MGI Symbol;Acc:MGI:2443724] MSFLLPKLTSKKEVDQAIKSTAEKVLVLRFGRDEDPVCLQLDDILSKTSADLSKMAAIYL VDVDHTPVYTQYFDISYIPSTVFFFNGQHMKVDYGSPDHTKFVGSFKTKQDFIDLIEVIY RGAMRGKLIVQSPIDPKNVPKYDLLYQDI >ENSMUSP00000137524.1 pep:known chromosome:GRCm38:8:109568888:109573523:1 gene:ENSMUSG00000031723.8 transcript:ENSMUST00000178445.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnl4b description:thioredoxin-like 4B [Source:MGI Symbol;Acc:MGI:2443724] MSFLLPKLTSKKEVDQAIKSTAEKVLVLRFGRDEDPVCLQLDDILSKTSADLSKMAAIYL VDVDHTPVYTQYFDISYIPSTVFFFNGQHMKVDYGSPDHTKFVGSFKTKQDFIDLIEVIY RGAMRGKLIVQSPIDPKNVPKYDLLYQDI >ENSMUSP00000124155.1 pep:known chromosome:GRCm38:10:81292963:81319972:1 gene:ENSMUSG00000034902.17 transcript:ENSMUST00000163075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5k1c description:phosphatidylinositol-4-phosphate 5-kinase, type 1 gamma [Source:MGI Symbol;Acc:MGI:1298224] MELEVPDEAESAEAGAVTAEAAWSAESGAAAGMTQKKAGLAEAPLVTGQPGPGHGKKLGH RGVDASGETTYKKTTSSTLKGAIQLGIGYTVGNLSSKPERDVLMQDFYVVESIFFPSEGS NLTPAHHFQDFRFKTYAPVAFRYFRELFGIRPDDYLYSLCNEPLIELSNPGASGSVFYVT SDDEFIIKTVMHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCVQSGGKNIRVVVMNN VLPRVVKMHLKFDLKGSTYKRRASKKEKEKSLPTYKDLDFMQDMPEGLLLDSDTFGALVK TLQRDCLVLESFKIMDYSLLLGVHNIDQQERERQAEGAQSKADEKRPVAQKALYSTAMES IQGGAARGEAIETDDTMGGIPAVNGRGERLLLHIGIIDILQSYRFIKKLEHTWKALVHDG DTVSVHRPSFYAERFFKFMSSTVFRKSSSLKSSPSKKGRGALLAVKPLGPTAAFSASQIP SEREDVQYDLRGARSYPTLEDEGRPDLLPCTPPSFEEATTASIATTLSSTSLSIPERSPS DTSEQPRYRRRTQSSGQDGRPQEEPHAEDLQKITVQVEPVCGVGVVPKEEGAGVEVPPCG ASAAASVEIDAASQASEPASQASDEEDAPSTDIYF >ENSMUSP00000100964.3 pep:known chromosome:GRCm38:10:81292980:81318254:1 gene:ENSMUSG00000034902.17 transcript:ENSMUST00000105327.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5k1c description:phosphatidylinositol-4-phosphate 5-kinase, type 1 gamma [Source:MGI Symbol;Acc:MGI:1298224] MELEVPDEAESAEAGAVTAEAAWSAESGAAAGMTQKKAGLAEAPLVTGQPGPGHGKKLGH RGVDASGETTYKKTTSSTLKGAIQLGIGYTVGNLSSKPERDVLMQDFYVVESIFFPSEGS NLTPAHHFQDFRFKTYAPVAFRYFRELFGIRPDDYLYSLCNEPLIELSNPGASGSVFYVT SDDEFIIKTVMHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCVQSGGKNIRVVVMNN VLPRVVKMHLKFDLKGSTYKRRASKKEKEKSLPTYKDLDFMQDMPEGLLLDSDTFGALVK TLQRDCLVLESFKIMDYSLLLGVHNIDQQERERQAEGAQSKADEKRPVAQKALYSTAMES IQGGAARGEAIETDDTMGGIPAVNGRGERLLLHIGIIDILQSYRFIKKLEHTWKALVHDG DTVSVHRPSFYAERFFKFMSSTVFRKSSSLKSSPSKKGRGALLAVKPLGPTAAFSASQIP SEREDVQYDLRGARSYPTLEDEGRPDLLPCTPPSFEEATTASIATTLSSTSLSIPERSPS DTSEQPRYRRRTQSSGQDGRPQEEPHAEDLQKITVQVEPVCGVGVVPKEEGAGVEVPPCG ASAAASVEIDAASQASEPASQASDEEDAPSTDIYFPTDERSWVYSPLHYSARPASDGESD T >ENSMUSP00000125645.1 pep:known chromosome:GRCm38:10:81292988:81309130:1 gene:ENSMUSG00000034902.17 transcript:ENSMUST00000160291.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pip5k1c description:phosphatidylinositol-4-phosphate 5-kinase, type 1 gamma [Source:MGI Symbol;Acc:MGI:1298224] MELEVPDEAESAEAGAVTAEAAWSAESGAAAGMTQKKAGLAENLLATFPNCFWSLFPRPP W >ENSMUSP00000124004.1 pep:known chromosome:GRCm38:10:81293004:81319972:1 gene:ENSMUSG00000034902.17 transcript:ENSMUST00000161854.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pip5k1c description:phosphatidylinositol-4-phosphate 5-kinase, type 1 gamma [Source:MGI Symbol;Acc:MGI:1298224] MELEVPDEAESAEAGAVTAEAAWSAESGAAAGMTQKKAGLAEAPLVTGQPGPGHGKKLGH RGVDASGETTYKKVAKTDHLVHPEGRHPAGDRVHGGQPEL >ENSMUSP00000038225.8 pep:known chromosome:GRCm38:10:81293005:81319973:1 gene:ENSMUSG00000034902.17 transcript:ENSMUST00000045469.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5k1c description:phosphatidylinositol-4-phosphate 5-kinase, type 1 gamma [Source:MGI Symbol;Acc:MGI:1298224] MELEVPDEAESAEAGAVTAEAAWSAESGAAAGMTQKKAGLAEAPLVTGQPGPGHGKKLGH RGVDASGETTYKKTTSSTLKGAIQLGIGYTVGNLSSKPERDVLMQDFYVVESIFFPSEGS NLTPAHHFQDFRFKTYAPVAFRYFRELFGIRPDDYLYSLCNEPLIELSNPGASGSVFYVT SDDEFIIKTVMHKEAEFLQKLLPGYYMNLNQNPRTLLPKFYGLYCVQSGGKNIRVVVMNN VLPRVVKMHLKFDLKGSTYKRRASKKEKEKSLPTYKDLDFMQDMPEGLLLDSDTFGALVK TLQRDCLVLESFKIMDYSLLLGVHNIDQQERERQAEGAQSKADEKRPVAQKALYSTAMES IQGGAARGEAIETDDTMGGIPAVNGRGERLLLHIGIIDILQSYRFIKKLEHTWKALVHDG DTVSVHRPSFYAERFFKFMSSTVFRKSSSLKSSPSKKGRGALLAVKPLGPTAAFSASQIP SEREDVQYDLRGARSYPTLEDEGRPDLLPCTPPSFEEATTASIATTLSSTSLSIPERSPS DTSEQPRYRRRTQSSGQDGRPQEEPHAEDLQKITVQVEPVCGVGVVPKEEGAGVEVPPCG ASAAASVEIDAASQASEPASQASDEEDAPSTDIYFFAHGRYWLFSPRRRQLRAVTPNHTG TPTDERSWVYSPLHYSARPASDGESDT >ENSMUSP00000125461.1 pep:known chromosome:GRCm38:10:81310688:81313440:1 gene:ENSMUSG00000034902.17 transcript:ENSMUST00000161719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5k1c description:phosphatidylinositol-4-phosphate 5-kinase, type 1 gamma [Source:MGI Symbol;Acc:MGI:1298224] SFKIMDYSLLLGVHNIDQQERERQAEGAQSKADEKRPVAQKALYSTAMESIQGGAARGEA IETDDTMGGIPAVNGRGERLLLHIGIIDILQSYRFIKKLEHTWKALVHDGDTVSVHRPSF YAERFFKFMSSTVFRKTLKSSPSKKGRGALLAVKPLGPTAAFSASQIPSEREDVQYDLRG ARSYPTLEDEGRPDLLPCTPPSFEEATTASIATTLS >ENSMUSP00000124235.1 pep:known chromosome:GRCm38:10:81313363:81318145:1 gene:ENSMUSG00000034902.17 transcript:ENSMUST00000161869.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pip5k1c description:phosphatidylinositol-4-phosphate 5-kinase, type 1 gamma [Source:MGI Symbol;Acc:MGI:1298224] AGLTSCPAPHRPLRKPPQPPSPPPCRPPPSPSQSGPLQIHRSSPGTGGARSLQARMAEWR SPHVGHRLQPLWK >ENSMUSP00000124612.1 pep:known chromosome:GRCm38:10:81313498:81318020:1 gene:ENSMUSG00000034902.17 transcript:ENSMUST00000161586.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pip5k1c description:phosphatidylinositol-4-phosphate 5-kinase, type 1 gamma [Source:MGI Symbol;Acc:MGI:1298224] XRRRTQSSGQDGRPQEEPHAEDLQKITVQVEPVCGVGVVPKEEGAGVEVPPCGASAAASV EIDAASQASEPASQASDEEDAPSTDIYFHHQPCCLRPFCAPLLAVLLDTGKPCRRQDDSP GLALSGFGPGRAR >ENSMUSP00000107598.1 pep:known chromosome:GRCm38:5:117319083:117355005:1 gene:ENSMUSG00000066894.14 transcript:ENSMUST00000111967.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsig10 description:V-set and immunoglobulin domain containing 10 [Source:MGI Symbol;Acc:MGI:2448533] MAGLRVLLCLGALLARQGSAGLQLLLNPSRANLSVRPNSEVLPGIHPDLEAVAIGEVHDN VTLRCGSASGSRGLVTWYRNDSEPAFLVSFNSSLPPAAPRFSLEDAGALRIEALRLEDDG NYTCQEVLNETHWFPVRLRVASGPAYVEVNISATGTLPNGTLYAARGSQVDFNCCSAAQP PPEVEWWIQTHSIPEFLGKNLSANSFTLMLMSQNLQGNYTCSATNVLSGRQRKVTTELLV YWPPPSAPQCSVEVSSESTTLELACNWDGGYPDPTFLWTEEPGGTIMGNSKLQTLSPAQL LEGKKFKCVGNHILGPESGASCVVKLSSPLLPSQPMRTCFVGGNVTLTCEVSGANPPARI QWLRNLTQPAIQPSSHYIITQQGQSSSLTIHNCSQDLDEGFYYCQAENLVGVRATNIWLS VKEPLNIGGIVGTVVSLLLLGLAVVSGLTLYYSPAFWWKGGSTFRGQDMGDVMVLVDSEE EEEEEEEEEEKEDVAEEVEQETNETEELPKGISKHGHIHRVTALVNGNLDRMGNGFQEFQ DDSDGQQSGIVQEDGKPV >ENSMUSP00000083655.4 pep:known chromosome:GRCm38:5:117319292:117353111:1 gene:ENSMUSG00000066894.14 transcript:ENSMUST00000086464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsig10 description:V-set and immunoglobulin domain containing 10 [Source:MGI Symbol;Acc:MGI:2448533] MAGLRVLLCLGALLARQGSADLEAVAIGEVHDNVTLRCGSASGSRGLVTWYRNDSEPAFL VSFNSSLPPAAPRFSLEDAGALRIEALRLEDDGNYTCQEVLNETHWFPVRLRVASGPAYV EVNISATGTLPNGTLYAARGSQVDFNCCSAAQPPPEVEWWIQTHSIPEFLGKNLSANSFT LMLMSQNLQGNYTCSATNVLSGRQRKVTTELLVYWPPPSAPQCSVEVSSESTTLELACNW DGGYPDPTFLWTEEPGGTIMGNSKLQTLSPAQLLEGKKFKCVGNHILGPESGASCVVKLS SPLLPSQPMRTCFVGGNVTLTCEVSGANPPARIQWLRNLTQPAIQPSSHYIITQQGQSSS LTIHNCSQDLDEGFYYCQAENLVGVRATNIWLSVKEPLNIGGIVGTVVSLLLLGLAVVSG LTLYYSPAFWWKGGSTFRGQDMGDVMVLVDSEEEEEEEEEEEEKEDVAEEVEQETNETEE LPKGISKHGHIHRVTALVNGNLDRMGNGFQEFQDDSDGQQSGIVQEDGKPV >ENSMUSP00000062413.7 pep:known chromosome:GRCm38:5:129501221:129571384:1 gene:ENSMUSG00000029439.14 transcript:ENSMUST00000053737.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfswap description:splicing factor, suppressor of white-apricot homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:101760] MYGAGGGRAKPERKGGVKEEAGPGGTGTGGNRVELLVFGYACKLFRDDERALAQEQGQHL IPWMGDPKILIDRYDGRGHLHDLSAYDAEYATWNRDYQLSEEEARVEALCDEERYLALHT DLLEEEARQEEEYKRLSEALAEDGNYSAVGFTYGSDYYDPSEPTEEEEPSKQREKNEAEN LEENEEPFIAPLGLSVPSDVELPPTAKMHAIIERTANFVCKQGAQFEIMLKAKQARNSQF DFLRFDHYLNPYYKFIQKAMKEGRYTVLAESKNEEKKKSGPTSDNEEEDDEEDGSYLHPS LFASKKSSRLEELMKPLKVVDPDHPLAALVRKAQADSSAPAPPTADGTPAQPSQVEYTAD STVAAMYYSYYMLPDGTYCLAPPPPGIDVATYYSTLPAGVTVSSSPGVTTTVPPPPGTTP PPPPTTAEPSSGVTSTTTTTSALAPVAIIPPPPDIQPVIDKLAEYVARNGLKFETSVRAK NDQRFEFLQPWHQYNAYYEFKKQFFLQKEGGGSTQAASTAEEAPTETAVEESGEAGEDGA PEGMAETGGRGSGKKEAGSSKSTVDGKLVKASFAPISFAIKAKENDLLPLEKNRVKLDDD SEEDEESRECQESTSSVANPSPAAAPPSVAVEEKKPQLTQEELEAKQAKQKLEDRLAAAA REKLAQASKESKEKQLQAERKRKAALFLQTLKNPLPEAEVGKLEESTFGVEDTGVMPCPL LVGGRTLPILEGKPPERPSNRCRDPPREEEREKKKKKHKKRSRTRSRSPKYHSSSKPRSR SHSKAKHSLPSAYRTVRRSRSRSRSPRRRAHSPERRREERSVPTAYRMSGSPGVSRKRTR SRSPHEKKKKRRSRSRTKAKARSQSTSPSKQAAQRPSAHSAHSASISPVESRGSSQERSR GVSQEKDGQISSAIVSSVQSKITQDLMAKVRAMLAASKNLQTSAS >ENSMUSP00000142464.1 pep:known chromosome:GRCm38:5:129501240:129569648:1 gene:ENSMUSG00000029439.14 transcript:ENSMUST00000196698.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sfswap description:splicing factor, suppressor of white-apricot homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:101760] MYGAGGGRAKPERKGGVKEEAGPGGTGTGGNRVELLVFGYACKLFRDDERALAQEQGQHL IPWMGDPKILIDRYDGRGHLHDLSAYDAEYATWNRDYQLSEEEARVEALCDEERGRIQKI E >ENSMUSP00000143351.1 pep:known chromosome:GRCm38:5:129501449:129504381:1 gene:ENSMUSG00000029439.14 transcript:ENSMUST00000200500.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sfswap description:splicing factor, suppressor of white-apricot homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:101760] AAPALGATGWSFWFSAMPASCSATTSGLWPRNRDSTSSPGWGTPRSSSTDTTGVVTCMTS LRTMLSTPRGTETTSCLKRRLG >ENSMUSP00000143640.1 pep:known chromosome:GRCm38:5:129541416:129550862:1 gene:ENSMUSG00000029439.14 transcript:ENSMUST00000199215.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sfswap description:splicing factor, suppressor of white-apricot homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:101760] XKFETSVRAKNDQRFEFLQPWHQYNAYYEFKKQFFLQKEGGWVQQEHRGREAGKSFFCSN KLCHQSQRK >ENSMUSP00000123851.1 pep:known chromosome:GRCm38:3:138065052:138081506:1 gene:ENSMUSG00000090066.2 transcript:ENSMUST00000163080.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110002E22Rik description:RIKEN cDNA 1110002E22 gene [Source:MGI Symbol;Acc:MGI:1915066] MLSVHFWQTPVRPTDAASSLANGIQTPTHRRCQTSNWTGQLSSRTLATIAARAAAPWLQT TSAASFGSPPTSLWLAEAPPQGLKNWAVVATVAVVPTALGPAQARGNLFQATLWPLRDQR GTQDSPSAHHCLILSLKPGQGLRMEGGTSDMNSQTSLTPAEDPVSRPQDSPEPRQQLRPL PEPSASTLPEAKYVETCASAGLRRESPQTLKLPPEQQASRSPKTKAQDEPSGHAPEAPAP GESPASSQCLPSQACENDFSSSSSSSSSLVDSAEDNGLSKMDDPTKLLGVLATSSSSLGF ESDPETSCRQHREEAGDRAGAGEDKRGGVDDGIASCKDIIAKSHSKRGPLRNEDAHYITT HEIQLTEVEQGMDFDVGLASRWDFEDNNVIYSFVDYASFGGSDETPGDITTEEDDDNSCY VSTTPSTNTTRTPSPISSDLARPGAGSSGRDTSSTEVGSGPSDSDTVPPPTGPGTATPPE PLLELREAALGASATAASSCGSAASQILLSIKPTSRAINEPSNVRAKQNIIYAAKHEGDM SLRVSSAAEHNSSSLKQNSAAAVAQDHAKKFIAVPARLQTRCGAIRAKELVDYASGASSA VSELDDADKEVRNLTSRAFRSLAYPYFEALNISSRESSTTLSEVGFGRWSTFLDLKCGGV GARVEQSLLRSSAASVAAGLRKGGGTRATADQLYLQTKKSQTKALEFVVSKVEGEIKHVE TPLCFQKQVQTGSRVVTLLEPLNLRSESKASSATGPCRITKGSSKGPGSVYTDDGSETSE SSKPASRSDGPQKKSKFASSLLKNVISKKMQREHEFKMERGEVTDTSHRNPPSTKETEGP PGAEKPWERGLQRQSSRHSEASSEYTVVSVSDAGGEGSVVGSKSPIFKASTPRESHTGSG QNVSDGHTEVCEIKKSASETVKGIFLRSQNSAFRTWKEKEAEKLEEKAPVGRLKLRKGGD WRADLGEISASKSTIMSRLFVPNIQQTPKDKQPEKQATKYPAAAAQATSVAVIRPKAPEI KIRLGSVQQPSSDFNIAKLLTPKLASGSSSKLFKTIEDNSRTQQKLFRGGDNLEKVPQFQ VRDVRDKSKASGPLHQVRDVRKLIKGSGDSSDKGSVTPEQGLTGPKPRQLTPASGGSRSL SPMVIMCQAVANPREEGVDREPREGVSQVSNGGRLLNSSPEGTVLVHRASGRLPVATIAP NKSEQGSYLPVLKIVSKASAQRTPEKPKEEEAKEEGKAPKPARNALEKLTAAVRSMEELY SFNRNEWKRKSDPLPMMADSHVLSLIASEEKEGVAGPEGGDPDKLAKQLGQVEERDTGHK GGVVLRAAPIERLQRRNSNPSTESVSARAAAFENMARERPRSLYIPPVHKDVERTQPLQP LPPLPGNRNVFTVSSSSTQKTGGVAGKFPQGPSPEGLSTAKGIKAQGLRSLKISPATRAP PEDASNRKTAVSLEKSNSDCENYLTIPLKGSAASAELLGRPGASRDGPPSSSAATLCSLP PLSARSQVPSNPKGSQVSGTSRPAWRTKPDNHRETVVAAPTGPQSPEHTPTAVYHQQALP FTLQGAQPQVLCFSPPGMPAPAPAGPAAVPTDPFQQPQPQQTQRKMLLDVTTGQYYLVDT PVQPMTRRLFDPETGQYVDVPMTSQQQPVAPMSLPVPPLALSPGAYGPTYMIYPGFLPTV LPPNALQPTPMAHTPGGSELSVATEPPSKETAAAFTEAPYFMASSQSPASSSSSAPAATP QLVGAKGFAQLHGKPVISITSQPLGPRIIAPPSFDGTTMSFVVEHR >ENSMUSP00000026892.8 pep:known chromosome:GRCm38:9:123076832:123113180:-1 gene:ENSMUSG00000025786.15 transcript:ENSMUST00000026892.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc3 description:zinc finger, DHHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1926134] MMLIPTHHFRDIERKPEYLQPEKCAPPPFPGPAGAMWFIRDGCGIACAIVTWFLVLYAEF VVLFVMLVPSRDYAYSIINGIVFNLLAFLALASHCRAMLTDPLTVQYRLSHQDASVGKTG GSAQRKCH >ENSMUSP00000116222.1 pep:known chromosome:GRCm38:9:123080358:123113121:-1 gene:ENSMUSG00000025786.15 transcript:ENSMUST00000152396.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc3 description:zinc finger, DHHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1926134] MMLIPTHHFRDIERKPEYLQPEKCAPPPFPGPAGAMWFIRDGCGIACAIVTWFLVLYAEF VVLFVMLVPSRDYAYSIINGIVFNLLAFLALASHCRAMLTDPLTVQYRLSHQDASVGKTV FVSGAFARWITTVLGSTTVSARTTRSTLSYSQCT >ENSMUSP00000119360.1 pep:known chromosome:GRCm38:9:123078300:123100349:-1 gene:ENSMUSG00000025786.15 transcript:ENSMUST00000129862.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc3 description:zinc finger, DHHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1926134] AYSIINGIVFNLLAFLALASHCRAMLTDPVTHSSVPALPSRCLCWKDRGQCPKEMPLKSS SRAFS >ENSMUSP00000114613.1 pep:known chromosome:GRCm38:9:123089033:123113178:-1 gene:ENSMUSG00000025786.15 transcript:ENSMUST00000123937.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc3 description:zinc finger, DHHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1926134] MMLIPTHHFRDIERKPEYLQPEKCAPPPFPGPAGAMWFIRDGCGIACAIVTWFLVLYAEF VVLFVMLVPSRDYAYSIINGIVFNLLAFLALASHCRAMLTDPGRRTVIWPVSEVTLAGVC SL >ENSMUSP00000122604.1 pep:known chromosome:GRCm38:9:123066160:123113147:-1 gene:ENSMUSG00000025786.15 transcript:ENSMUST00000140497.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc3 description:zinc finger, DHHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1926134] MMLIPTHHFRDIERKPEYLQPEKCAPPPFPGPAGAMWFIRDGCGIACAIVTWFLVLYAEF VVLFVMLVPSRDYAYSIINGIVFNLLAFLALASHCRAMLTDPVRGSAQRKCH >ENSMUSP00000117392.1 pep:known chromosome:GRCm38:9:123074146:123113205:-1 gene:ENSMUSG00000025786.15 transcript:ENSMUST00000147563.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc3 description:zinc finger, DHHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1926134] MMLIPTHHFRDIERKPEYLQPEKCAPPPFPGPAGAMWFIRDGCGIACAIVTWFLVLYAEF VVLFVMLVPSRDYAYSIINGIVFNLLAFLALASHCRAMLTDPGAVPKGNATKEFIESLQL KPGQVVYKCPKCCSIKPDRAHHCSVCKRCIRKMDHHCPWVNNCVGENNQKYFVLFTMYIA LISLHALIMVGFHFLHCFEEDWTKCSSFSPPTTVILLILLCFEALLFLIFTSVMFGTQVH SICTDETGIEQLKKEERRWAKKTKWMNMKAVFGHPFSLGWASPFATPDQGKADPYQYVV >ENSMUSP00000119750.1 pep:known chromosome:GRCm38:9:123078040:123113178:-1 gene:ENSMUSG00000025786.15 transcript:ENSMUST00000138622.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc3 description:zinc finger, DHHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1926134] MMLIPTHHFRDIERKPEYLQPEKCAPPPFPGPAGAMWFIRDGCGIACAIVTWFLVLYAEF VVLFVMLVPSRDYAYSIINGIVFNLLAFLALASHCRAMLTDPGRRTVIWPVSEVTLAGVC SL >ENSMUSP00000119416.1 pep:known chromosome:GRCm38:9:123077500:123113166:-1 gene:ENSMUSG00000025786.15 transcript:ENSMUST00000155778.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc3 description:zinc finger, DHHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1926134] MMLIPTHHFRDIERKPEYLQPEKCAPPPFPGPAGAMWFIRDGCGIACAIVTWFLVLYAEF VVLFVMLVPSRDYAYSIINGIVFNLLAFLALASHCRAMLTDPCL >ENSMUSP00000116526.1 pep:known chromosome:GRCm38:9:123084296:123113124:-1 gene:ENSMUSG00000025786.15 transcript:ENSMUST00000150679.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc3 description:zinc finger, DHHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1926134] MMLIPTHHFRDIERKPEYLQPEKCAPPPFPGPAGAMWFIRDGCGIACAIVTWFLVLYAEF VVLFVMLVPSRDYAYSIINGIVFNLLAFLALASHCRAMLTDPLTVQYRLSHQDASVGKTG GSAQRKCH >ENSMUSP00000121712.1 pep:known chromosome:GRCm38:9:123100320:123112832:-1 gene:ENSMUSG00000025786.15 transcript:ENSMUST00000130717.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc3 description:zinc finger, DHHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1926134] MMLIPTHHFRDIERKPEYLQPEKCAPPPFPGPAGAMWFIRDGCGIACAIVTWFLVLYAEF VVLFVMLVPSRDYAYSIINGIVF >ENSMUSP00000126490.1 pep:known chromosome:GRCm38:11:30935001:30948085:-1 gene:ENSMUSG00000020311.17 transcript:ENSMUST00000143126.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Erlec1 description:endoplasmic reticulum lectin 1 [Source:MGI Symbol;Acc:MGI:1914003] XKEEDLPSAKEERFPAIHKPIAVGSQPVLTVGTTHISKLTDDQLIKEFLSGSYCFHGGVG WWKYEFCYGKHVHQYHEKSV >ENSMUSP00000072929.7 pep:known chromosome:GRCm38:11:30930774:30954152:-1 gene:ENSMUSG00000020311.17 transcript:ENSMUST00000073192.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erlec1 description:endoplasmic reticulum lectin 1 [Source:MGI Symbol;Acc:MGI:1914003] MEEGDGGLRSLVPGGPLLLVLYGLLEASGGGRALPQLSDDIPFRVNWPGTEFSLPTTGVL YKEDNYIIMTTAHKEKYKCILPLVTSGDEEEEKDYKGPNPRELLEPLFKQSSCSYRIESY WTYEVCHGKHIRQYHEEKETGQKVNIHEYYLGNMLAKNLLYEKEREAKENEKSNEIPTKN IEGQMTPYYPVGMGNGTPCSLKQNRPRSSTVMYICHPESKHEILSVAEVTTCEYEVVILT PLLCSHPKYKFRASPVNDIFCQSLPGSPFKPLTLRQLEQQEEILRVPFRRNKEEDLPSAK EERFPAIHKPIAVGSQPVLTVGTTHISKLTDDQLIKEFLSGSYCFHGGVGWWKYEFCYGK HVHQYHEDKDNGKTSVVVGTWNQEEHVEWAKKNTARAYHLQDDGTQTVRMVSHFYGNGDI CDITDKPRQVTVKLKCKESDSPHAVTVYMLEPHSCQYILGVESPVICKILDTADENGLLS LPN >ENSMUSP00000129078.1 pep:known chromosome:GRCm38:11:30931751:30948469:-1 gene:ENSMUSG00000020311.17 transcript:ENSMUST00000129593.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Erlec1 description:endoplasmic reticulum lectin 1 [Source:MGI Symbol;Acc:MGI:1914003] GNGTPCSLKQNRPRSSTVMYICHPESKHEILSVAEVTTCEYEVVILTPLLCSHPKYKFRA SPVNDIFCQSLPGSPFKPLTLRQLEQQEEILRVPFRRNKEEDLPSAKEERFPAIHKPIAV GSQPVLTVGTTHISKLTDDQLIKEFLSGSYCFHGGVGWWKYEFCYGKHVHQYHEDKDNGK TSVVVGTWNQEEHVEWAKKNTARAYHLQDDGTQTVRKVCNGITLMENRKEELFHQTIC >ENSMUSP00000022916.5 pep:known chromosome:GRCm38:15:39870603:39943788:-1 gene:ENSMUSG00000022305.12 transcript:ENSMUST00000022916.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp12 description:low density lipoprotein-related protein 12 [Source:MGI Symbol;Acc:MGI:2443132] MARRWSTKESQRRGSAWLLLFLAGVYGNGALAELSENVHISGVSTACGESPEQIRAPSGI ITSPGWPSDYPAQVNCSWLIRANPGEIITISFQDFDIQGSRRCTLDWLTIETYKNIESYR ACGSTIPPPYISSQDHVWIRFHSDDSVSRKGFRLAYFSGKSEQPDCACDQFRCGNGKCIP EAWKCNSMDECGDSSDEEVCASDAHPPTTTAFQPCAYNQFQCLSRFTKVYTCLPESLKCD GNIDCLDLGDEIDCDMPTCGQWLKYFYGTFNSPNYPDFYPPGSNCTWLIDTGDHRKVILR FTDFKLDGTGYGDYVKIYDGLEENPRKLLRVLTAFDSHAPLTVVSSSGQIRVHFCADKVN AARGFNATYQVDGFCLPWEIPCGGNWGCYTEQQRCDGYWHCPNGRDEINCTMCQKEEFPC SRNGVCYPRSDRCNYQNHCPNGSDEKNCFFCQPGNFHCKNNRCVFESWVCDSQDDCGDGS DEENCPVIVPTRVITAAVIGSLICGLLLVIALGCTCKLYSLRMFERRSFETQLSRVEAEL LRREAPPSYGQLIAQGLIPPVEDFPVCSPNQASVLENLRLAVRSQLGFTSIRLPMTGRSS NIWNRIFNFARSRHSGSLALVSGDGDEVVPSQSSSRETERSRPHRSLFSVESDDTDTENE RRDTAGASGGVAAPLPQKVPPTTAVEATVGSGGNSSAQSTRGGHADGREVSSVEAPSVSP ARHQLTSALSRMTQGLRWVRFTLGRSSSTTQNRSPLRQLDTAVSGREDDDDVEMLIPVSD GASDIDANDCSRPLLDLASDQVQGFRQPHSAGNPGVRTSNRDGPCERCGIVHTAQIPDTC LEATVKTETSDDEALLLC >ENSMUSP00000105934.2 pep:known chromosome:GRCm38:15:39870603:39943994:-1 gene:ENSMUSG00000022305.12 transcript:ENSMUST00000110305.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp12 description:low density lipoprotein-related protein 12 [Source:MGI Symbol;Acc:MGI:2443132] MARRWSTKESQRRGSAWLLLFLAGVYACGESPEQIRAPSGIITSPGWPSDYPAQVNCSWL IRANPGEIITISFQDFDIQGSRRCTLDWLTIETYKNIESYRACGSTIPPPYISSQDHVWI RFHSDDSVSRKGFRLAYFSGKSEQPDCACDQFRCGNGKCIPEAWKCNSMDECGDSSDEEV CASDAHPPTTTAFQPCAYNQFQCLSRFTKVYTCLPESLKCDGNIDCLDLGDEIDCDMPTC GQWLKYFYGTFNSPNYPDFYPPGSNCTWLIDTGDHRKVILRFTDFKLDGTGYGDYVKIYD GLEENPRKLLRVLTAFDSHAPLTVVSSSGQIRVHFCADKVNAARGFNATYQVDGFCLPWE IPCGGNWGCYTEQQRCDGYWHCPNGRDEINCTMCQKEEFPCSRNGVCYPRSDRCNYQNHC PNGSDEKNCFFCQPGNFHCKNNRCVFESWVCDSQDDCGDGSDEENCPVIVPTRVITAAVI GSLICGLLLVIALGCTCKLYSLRMFERRSFETQLSRVEAELLRREAPPSYGQLIAQGLIP PVEDFPVCSPNQASVLENLRLAVRSQLGFTSIRLPMTGRSSNIWNRIFNFARSRHSGSLA LVSGDGDEVVPSQSSSRETERSRPHRSLFSVESDDTDTENERRDTAGASGGVAAPLPQKV PPTTAVEATVGSGGNSSAQSTRGGHADGREVSSVEAPSVSPARHQLTSALSRMTQGLRWV RFTLGRSSSTTQNRSPLRQLDTAVSGREDDDDVEMLIPVSDGASDIDANDCSRPLLDLAS DQVQGFRQPHSAGNPGVRTSNRDGPCERCGIVHTAQIPDTCLEATVKTETSDDEALLLC >ENSMUSP00000052388.3 pep:known chromosome:GRCm38:3:138048761:138067388:-1 gene:ENSMUSG00000049349.3 transcript:ENSMUST00000053318.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5105 description:predicted gene 5105 [Source:MGI Symbol;Acc:MGI:3645344] MDVFGADAAIHRAHVLSISAFSRDFYLPFVKMLRNAGCCRTCAETAVWSWKKVGGDEGRW GCGVSGVPWASPAGPCAFCARELCSARPTGQRWTDSAFNCHRSPNDLSCFPG >ENSMUSP00000117025.1 pep:known chromosome:GRCm38:8:18846277:18891361:1 gene:ENSMUSG00000031467.10 transcript:ENSMUST00000149565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat5 description:1-acylglycerol-3-phosphate O-acyltransferase 5 (lysophosphatidic acid acyltransferase, epsilon) [Source:MGI Symbol;Acc:MGI:1196345] MLLSLVLHTYSMRYLLPSVLLLGSAPTYLLAWTLWRVLSALMPARLYQRVDDRLYCVYQN MVLFFFENYTGVQILLYGDLPKNKENVIYLANHQSTVDWIVADMLAARQDALGHVRYVLK DKLKWLPLYGFYFAQHGGIYVKRSAKFNDKEMRSKLQSYVNAGTPMYLVIFPEGTRYNAT YTKLLSASQAFAAQRGLAVLKHVLTPRIKATHVAFDSMKSHLDAIYDVTVVYEGNEKGSG KYSNPPSMTEFLCKQCPKLHIHFDRIDRNEVPEEQEHMKKWLHERFEIKDRLLIEFYDSP DPERRNKFPGKSVHSRLSVKKTLPSVLILGSLTAVMLMTESGRKLYMGTWLYGTLLGCLW FVIKA >ENSMUSP00000033847.4 pep:known chromosome:GRCm38:8:18846279:18883421:1 gene:ENSMUSG00000031467.10 transcript:ENSMUST00000033847.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat5 description:1-acylglycerol-3-phosphate O-acyltransferase 5 (lysophosphatidic acid acyltransferase, epsilon) [Source:MGI Symbol;Acc:MGI:1196345] MLLSLVLHTYSMRYLLPSVLLLGSAPTYLLAWTLWRVLSALMPARLYQRVDDRLYCVYQN MVLFFFENYTGVQILLYGDLPKNKENVIYLANHQSTVDWIVADMLAARQDALGHVRYVLK DKLKWLPLYGFYFAQHGGIYVKRSAKFNDKEMRSKLQSYVNAGTPMYLVIFPEGTRYNAT YTKLLSASQAFAAQRGLAVLKHVLTPRIKATHVAFDSMKSHLDAIYDVTVVYEGNEKGSG KYSNPPSMTEFLCKQCPKLHIHFDRIDRNEVPEEQEHMKKWLHERFEIKDR >ENSMUSP00000029623.9 pep:known chromosome:GRCm38:3:83836272:83841767:-1 gene:ENSMUSG00000027995.10 transcript:ENSMUST00000029623.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr2 description:toll-like receptor 2 [Source:MGI Symbol;Acc:MGI:1346060] MLRALWLFWILVAITVLFSKRCSAQESLSCDASGVCDGRSRSFTSIPSGLTAAMKSLDLS FNKITYIGHGDLRACANLQVLMLKSSRINTIEGDAFYSLGSLEHLDLSDNHLSSLSSSWF GPLSSLKYLNLMGNPYQTLGVTSLFPNLTNLQTLRIGNVETFSEIRRIDFAGLTSLNELE IKALSLRNYQSQSLKSIRDIHHLTLHLSESAFLLEIFADILSSVRYLELRDTNLARFQFS PLPVDEVSSPMKKLAFRGSVLTDESFNELLKLLRYILELSEVEFDDCTLNGLGDFNPSES DVVSELGKVETVTIRRLHIPQFYLFYDLSTVYSLLEKVKRITVENSKVFLVPCSFSQHLK SLEFLDLSENLMVEEYLKNSACKGAWPSLQTLVLSQNHLRSMQKTGEILLTLKNLTSLDI SRNTFHPMPDSCQWPEKMRFLNLSSTGIRVVKTCIPQTLEVLDVSNNNLDSFSLFLPRLQ ELYISRNKLKTLPDASLFPVLLVMKIRENAVSTFSKDQLGSFPKLETLEAGDNHFVCSCE LLSFTMETPALAQILVDWPDSYLCDSPPRLHGHRLQDARPSVLECHQAALVSGVCCALLL LILLVGALCHHFHGLWYLRMMWAWLQAKRKPKKAPCRDVCYDAFVSYSEQDSHWVENLMV QQLENSDPPFKLCLHKRDFVPGKWIIDNIIDSIEKSHKTVFVLSENFVRSEWCKYELDFS HFRLFDENNDAAILVLLEPIERKAIPQRFCKLRKIMNTKTYLEWPLDEGQQEVFWVNLRT AIKS >ENSMUSP00000055815.7 pep:known chromosome:GRCm38:9:72806874:72917291:1 gene:ENSMUSG00000036030.9 transcript:ENSMUST00000055535.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prtg description:protogenin homolog (Gallus gallus) [Source:MGI Symbol;Acc:MGI:2444710] MAPPVRPGMLPLLLLLLLPPLGSVPGVWSFSELFFMKEPQDATVTRKDPVVLDCQAHGEG PIKVTWLKNGAKLSENKRIQVLSNGSLYISEVEGRRGEQSDEGFYQCLAVNKYGAILSQK AHLTLSTISAFEVHPVSTEVHEGGVARFSCKISSTPPAVITWEFNRTALPTTMDRVTALP SGVLQIYDVGPEDAGNYRCVAATIAHKRKSMEASLTIVAANETRSFYMPTIIASPQNVTA SLHQTVVLECMATGYPRPIISWSRLDHKSIDVFNTRVLGNGNLIISDVKLQHAGVYVCRA TTPGTRNFTVAMATLTVLAPPSFVEWPESLTRPRAGTARFVCQAEGIPSPKMSWLKNGRR IHSNGRIKMYNSKLVINQIIPEDDAIYQCMAENSQGSVLSRARLTVVMSEDRPSAPYNVH AETMSSSAILLAWERPLYNSDKVIAYSVHYMKAEGLNNEEYQVVLGNDTTHYIIDDLEPD SNYTFYIVAYMPLGASQMSDHVTQNTLEDVPLRPPEISLTSRSPTDILVSWLPIPAKYRR GQVVLYRLSFRLSTENAIQVVELPGTVHEYLLEGLKPDSVYLVRITAATRVGLGESSVWT SHRTPKATSVKAPKSPELHLEPLNCTTISVRWLQDTEDPAAIRGYKLFYKEEGQQEHGPI FLDTGDLLYTLSGLDPRRKYHVRLLAYNNMEEGYQADQTVSTPGCVSVRDRMVPPPPPPH HLYAKANTSSSIFLHWRRPAFTTAQVINYTIRCNPVGLQNASLVLYLQTSETHMLVQGLE PNTKYEFAVRLHVDQLSSPWSPVVYHSTLPEAPTGPPVGVKVTLIEDDTALVSWKPPDGP ETVVTRYTILYASRKAWIAGEWQVLHREGAITMALLENLVAGNVYIVKISASNEVGEGPF SNSVELAVLPKDASESNQRPKRLDSSNAKVYSGYYHLDQKSMTGIAVGVGIALTCILICV LILIYRSKARKSSASKTAQSGTQPLSQASASVAAGSDMGKNLERATETAESLVPMMPSSF IDAKGGTDLIINSYGPIIKNNTKKKWLFFQDTKKIKVEQTQRRFTQAVCFYQPGTTVLIS DEDSPGSPGQTASFPRPFGATALDTEHSANSEGSHETGDSGRFSHESNDEIHLSSVISST PPTSNPLAGGDSDGDAAPKKHGDPAQPLPAEQTSAPQTSAGLRYAAEGFPV >ENSMUSP00000031347.7 pep:known chromosome:GRCm38:5:124463265:124478366:-1 gene:ENSMUSG00000029401.8 transcript:ENSMUST00000031347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rilpl2 description:Rab interacting lysosomal protein-like 2 [Source:MGI Symbol;Acc:MGI:1933112] MEDHPVREEEDGEEDEGALAKSPLQLTTDDVYDISYVVGRELMALGSDPRVTRLQFKIVR VMEMLETLVNEGSLAVEELRMERDNLKQEVEGLRKAGVSGAQVNLGPDKMVVDLTDPNRP RFTLQELREVLQERNKLKSQLLLVQEELQCYRSGLLPPRETPGGRREKDAVVAMGNGEKE ERTIMKKLFSFRSGKHT >ENSMUSP00000056935.6 pep:known chromosome:GRCm38:7:43690418:43695536:1 gene:ENSMUSG00000044737.6 transcript:ENSMUST00000056329.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk14 description:kallikrein related-peptidase 14 [Source:MGI Symbol;Acc:MGI:2447564] MFLLLIILQALAVAIAQSQGDHKIIGGYRCVRNSQPWQVALQAGPGHRFLCGGVLLSDQW VITAAHCARPILHVALGKHNIRRWEATQQVVRVARQVPHPQYQPQAHDNDLMLLKLQKKV RLGRAVKTISVASSCASPGTPCRVSGWGTIASPIARYPTALQCVNVNIMSEQACHRAYPG IITSGMVCAGVPEGGKDSCQGDSGGPLVCGGQLQGLVSWGMERCAMPGYPGVYANLCNYH SWIQRTMQSN >ENSMUSP00000135863.1 pep:known chromosome:GRCm38:19:39269405:39330648:1 gene:ENSMUSG00000003053.17 transcript:ENSMUST00000176624.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c29 description:cytochrome P450, family 2, subfamily c, polypeptide 29 [Source:MGI Symbol;Acc:MGI:103238] MEMETLRKVTTSCLSQPFSKAYGPVFTLYLGSKPTVILHGYEAVKEALIDRGEEFAGRGS FPMAEKIIKGFGVVFSNGNRWKEMRRFTLMTLRNLGMGKRNIEDRVQEEAQCLVEELRKT KGSPCDPTFILSCAPCNVICSIIFQNRFDYKDKEFLILMDKINENVKILSSPWLQVCNSF PSLIDYCPGSHHKIVKNFNYLKSYLLEKIKEHKESLDVTNPRDFIDYYLIKQKQVNHIEQ SEFSLENLASTINDLFGAGTETTSTTLRYALLLLLKYPDVTAKVQEEIDRVVGRHRSPCM QDRSHMPYTDAMIHEVQRFIDLLPTSLPHAVTCDIKFRKYLIPKGTTVITSLSSVLHDSK EFPNPEMFDPGHFLNGNGNFKKSDYFMPFSTGKRICAGEGLARMELFLILTTILQNFKLK SLVHPKEIDITPVMNGFASLPPPYQLCFIPL >ENSMUSP00000003137.8 pep:known chromosome:GRCm38:19:39287074:39330699:1 gene:ENSMUSG00000003053.17 transcript:ENSMUST00000003137.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c29 description:cytochrome P450, family 2, subfamily c, polypeptide 29 [Source:MGI Symbol;Acc:MGI:103238] MDLVVFLALTLSCLILLSLWRQSSGRGKLPPGPTPLPIIGNFLQIDVKNISQSFTNFSKA YGPVFTLYLGSKPTVILHGYEAVKEALIDRGEEFAGRGSFPMAEKIIKGFGVVFSNGNRW KEMRRFTLMTLRNLGMGKRNIEDRVQEEAQCLVEELRKTKGSPCDPTFILSCAPCNVICS IIFQNRFDYKDKEFLILMDKINENVKILSSPWLQVCNSFPSLIDYCPGSHHKIVKNFNYL KSYLLEKIKEHKESLDVTNPRDFIDYYLIKQKQVNHIEQSEFSLENLASTINDLFGAGTE TTSTTLRYALLLLLKYPDVTAKVQEEIDRVVGRHRSPCMQDRSHMPYTDAMIHEVQRFID LLPTSLPHAVTCDIKFRKYLIPKGTTVITSLSSVLHDSKEFPNPEMFDPGHFLNGNGNFK KSDYFMPFSTGKRICAGEGLARMELFLILTTILQNFKLKSLVHPKEIDITPVMNGFASLP PPYQLCFIPL >ENSMUSP00000135839.1 pep:known chromosome:GRCm38:19:39287074:39330713:1 gene:ENSMUSG00000003053.17 transcript:ENSMUST00000177087.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp2c29 description:cytochrome P450, family 2, subfamily c, polypeptide 29 [Source:MGI Symbol;Acc:MGI:103238] MDLVVFLALTLSCLILLSLWRQSSGRGKLPPGPTPLPIIGNFLQIDVKNISQSFTNFSKA YGPVFTLYLGSKPTVILHGYEAVKEALIDRGEEFAGRGSFPMAEKIIKGFVPSHFFRRCF >ENSMUSP00000071956.3 pep:known chromosome:GRCm38:3:158036662:158068487:1 gene:ENSMUSG00000063052.9 transcript:ENSMUST00000072080.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc40 description:leucine rich repeat containing 40 [Source:MGI Symbol;Acc:MGI:1914394] MSRQLRAPRFDPRAGFHAEGKDRGPSVPQGLLKAARSSGQLNLAGRNLGEVPQCVWRINV DIPEEANQNLSFSSTERWWDQTDLTKLIISSNKLQSLSDDLRLLPALTVLDIHDNQLTSL PSAIRELDNLQKLNVSHNKLKILPEEITSLKNLRTLHLQHNELTCIPEGFEHLSCLEDLD LSSNRLATVPADFALLSSLLRLNLSSNQLKNLPAEISRMKRLKHLDCDANLLETVPPDVG SMESLELLYLRRNKLRVLPEFPSCRQLKELHLAENQIEKLGAEHLQHLQAILVLDLRGNK LRSVPEEMALLQSLERLDLSNNDISSLPCSLGNLHLKFLALEGNPLRTIRREIIAKGTQE VLKYLRSKIKDDRTNQNDSVPETAMTLPSEARVNIHAIATLKLLDYSDKQATLIPDDLFD ATKTTLITSINFSKNQLCEIPQRIVELKEMVLDINLSFNKLSFISHELCLLQKLTFLDLR NNFLSSLPEEMSSLTKLQTINLSFNRFKVFPEVLYRISTLEAVLISNNQVGSVDPQKMKL MENLNTLDLQNNDLLQIPPELGNCVQLRTLLLDGNPFRVPRAAILMKGTAAVLEYLRDRI PA >ENSMUSP00000117093.1 pep:known chromosome:GRCm38:3:158036700:158067089:1 gene:ENSMUSG00000063052.9 transcript:ENSMUST00000156597.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc40 description:leucine rich repeat containing 40 [Source:MGI Symbol;Acc:MGI:1914394] MSRQLRAPRFDPRAGFHAEGKDRGPSVPQGLLKAARSSGQLNLAGRNLGEAITN >ENSMUSP00000116475.1 pep:known chromosome:GRCm38:3:158036702:158067089:1 gene:ENSMUSG00000063052.9 transcript:ENSMUST00000150525.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc40 description:leucine rich repeat containing 40 [Source:MGI Symbol;Acc:MGI:1914394] MSRQLRAPRFDPRAGFHAEGKDRGPSVPQGLLKAARSSGQLNLAGRNLGEVPQCVWRINV DIPEEANQNLSFSSTERWWDQTDLTKLIISSNKLQSLSDDLRLLPALTVLDWNLAVWPSV KPQCCALGLVS >ENSMUSP00000121417.2 pep:known chromosome:GRCm38:3:158036722:158051837:1 gene:ENSMUSG00000063052.9 transcript:ENSMUST00000131518.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc40 description:leucine rich repeat containing 40 [Source:MGI Symbol;Acc:MGI:1914394] XNMSRQLRAPRFDPRAGFHAEGKDRGPSVPQGLLKAARSSGQLNLAGRNLGEVPQCVWRI NVDIPEEANQNLSFSSTERWWDQTDLTKLIISSNKLQSLSDDLRLLPALTVLDP >ENSMUSP00000143518.1 pep:known chromosome:GRCm38:3:158036750:158051826:1 gene:ENSMUSG00000063052.9 transcript:ENSMUST00000200540.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc40 description:leucine rich repeat containing 40 [Source:MGI Symbol;Acc:MGI:1914394] RFDPRAGFHAEGKDRGPSVPQGLLKAARSSGQLNLAGRNLGEGSFKQSSCNCAC >ENSMUSP00000121905.1 pep:known chromosome:GRCm38:3:158036860:158051772:1 gene:ENSMUSG00000063052.9 transcript:ENSMUST00000123028.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc40 description:leucine rich repeat containing 40 [Source:MGI Symbol;Acc:MGI:1914394] XRNLGEVPQCVWRINVDIPEEANQNLSFSSTERWWDQTDLTKLIISSNKLQSLSDDLRLL PALTVLDL >ENSMUSP00000113198.2 pep:known chromosome:GRCm38:4:130130217:130138551:-1 gene:ENSMUSG00000028778.15 transcript:ENSMUST00000120154.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcrtr1 description:hypocretin (orexin) receptor 1 [Source:MGI Symbol;Acc:MGI:2385650] MEPSATPGAQPGVPTSSGEPFHLPPDYEDEFLRYLWRDYLYPKQYEWVLIAAYVAVFLIA LVGNTLVCLAVWRNHHMRTVTNYFIVNLSLADVLVTAICLPASLLVDITESWLFGQALCK VIPYLQAVSVSVAVLTLSFIALDRWYAICHPLLFKSTARRARGSILGIWAVSLAVMVPQA AVMECSSVLPELANRTRLFSVCDEHWADELYPKIYHSCFFIVTYLAPLGLMAMAYFQIFR KLWGRQIPGTTSALVRNWKRPSEQLEAQHQGLCTEPQPRARAFLAEVKQMRARRKTAKML MVVLLVFALCYLPISVLNVLKRVFGMFRQASDREAVYACFTFSHWLVYANSAANPIIYNF LSGKFREQFKAAFSCCLPGLGPGSSARHKSLSLQSRCSVSKVSEHVVLTTVTTVLS >ENSMUSP00000030562.6 pep:known chromosome:GRCm38:4:130130217:130139162:-1 gene:ENSMUSG00000028778.15 transcript:ENSMUST00000030562.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcrtr1 description:hypocretin (orexin) receptor 1 [Source:MGI Symbol;Acc:MGI:2385650] MEPSATPGAQPGVPTSSGEPFHLPPDYEDEFLRYLWRDYLYPKQYEWVLIAAYVAVFLIA LVGNTLVCLAVWRNHHMRTVTNYFIVNLSLADVLVTAICLPASLLVDITESWLFGQALCK VIPYLQAVSVSVAVLTLSFIALDRWYAICHPLLFKSTARRARGSILGIWAVSLAVMVPQA AVMECSSVLPELANRTRLFSVCDEHWADELYPKIYHSCFFIVTYLAPLGLMAMAYFQIFR KLWGRQIPGTTSALVRNWKRPSEQLEAQHQGLCTEPQPRARAFLAEVKQMRARRKTAKML MVVLLVFALCYLPISVLNVLKRVFGMFRQASDREAVYACFTFSHWLVYANSAANPIIYNF LSGKFREQFKAAFSCCLPGLGPGSSARHKSLSLQSRCSVSKVSEHVVLTTVTTVLS >ENSMUSP00000112630.1 pep:known chromosome:GRCm38:4:130130217:130139359:-1 gene:ENSMUSG00000028778.15 transcript:ENSMUST00000119423.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcrtr1 description:hypocretin (orexin) receptor 1 [Source:MGI Symbol;Acc:MGI:2385650] MEPSATPGAQPGVPTSSGEPFHLPPDYEDEFLRYLWRDYLYPKQYEWVLIAAYVAVFLIA LVGNTLVCLAVWRNHHMRTVTNYFIVNLSLADVLVTAICLPASLLVDITESWLFGQALCK VIPYLQAVSVSVAVLTLSFIALDRWYAICHPLLFKSTARRARGSILGIWAVSLAVMVPQA AVMECSSVLPELANRTRLFSVCDEHWADELYPKIYHSCFFIVTYLAPLGLMAMAYFQIFR KLWGRQIPGTTSALVRNWKRPSEQLEAQHQGLCTEPQPRARAFLAEVKQMRARRKTAKML MVVLLVFALCYLPISVLNVLKRVFGMFRQASDREAVYACFTFSHWLVYANSAANPIIYNF LSGKFREQFKAAFSCCLPGLGPGSSARHKSLSLQSRCSVSKVSEHVVLTTVTTVLS >ENSMUSP00000127290.2 pep:known chromosome:GRCm38:4:130130841:130137628:-1 gene:ENSMUSG00000028778.15 transcript:ENSMUST00000164887.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcrtr1 description:hypocretin (orexin) receptor 1 [Source:MGI Symbol;Acc:MGI:2385650] MEPSATPGAQPGVPTSSGEPFHLPPDYEDEFLRYLWRDYLYPKQYEWVLIAAYVAVFLIA LVGNTLVCLAVWRNHHMRTVTNYFIVNLSLADVLVTAICLPASLLVDITESWLFGQALCK VIPYLQAVSVSVAVLTLSFIALDRWYAICHPLLFKSTARRARGSILGIWAVSLAVMVPQA AVMECSSVLPELANRTRLFSVCDEHWADELYPKIYHSCFFIVTYLAPLGLMAMAYFQIFR KLWGRQIPGTTSALVRNWKRPSEQLEAQHQGLCTEPQPRARAFLAEVKQMRARRKTAKML MVVLLVFALCYLPISVLNVLKRVFGMFRQASDREAVYACFTFSHWLVYANSAANPIIYNF LSGKFREQFKAAFSCCLPGLGPGSSARHKSLSLQSRCSVSKVSEHVVLTTVTTVLS >ENSMUSP00000092673.4 pep:known chromosome:GRCm38:4:59769637:59783866:-1 gene:ENSMUSG00000038544.14 transcript:ENSMUST00000095063.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inip description:INTS3 and NABP interacting protein [Source:MGI Symbol;Acc:MGI:1913459] MAANPSGQGFQNKNRVAILAELDKEKRKLLMQNQSSTSHPGASISLSRPSLTKDFRDHAE QQHIAAQQKAALQHAHAHSSGYFITQDSAFGNLILPVLPRLDPE >ENSMUSP00000103150.1 pep:known chromosome:GRCm38:4:59771297:59783808:-1 gene:ENSMUSG00000038544.14 transcript:ENSMUST00000107526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inip description:INTS3 and NABP interacting protein [Source:MGI Symbol;Acc:MGI:1913459] MQNQSSTSHPGASISLSRPSLTKDFRDHAEQQHIAAQQKAALQHAHAHSSGYFITQDSAF GNLILPVLPRLDPE >ENSMUSP00000115701.1 pep:known chromosome:GRCm38:4:59772372:59801589:-1 gene:ENSMUSG00000038544.14 transcript:ENSMUST00000143756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inip description:INTS3 and NABP interacting protein [Source:MGI Symbol;Acc:MGI:1913459] MQNQSSTSHPGASISLSRPSLTKDFRDHAEQQHIAAQQKAALQHAHAHSSGYFITQDSAF GNLILPVLPRLD >ENSMUSP00000140753.1 pep:known chromosome:GRCm38:9:83390293:83426961:-1 gene:ENSMUSG00000032258.15 transcript:ENSMUST00000190514.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lca5 description:Leber congenital amaurosis 5 (human) [Source:MGI Symbol;Acc:MGI:1923032] MGERARSPDIEQGKKGGKHPYSHYSSDFGSSPQSSGPSSPVNTTPCASTREKNPKRHLSD NQVHHPTVRKVSPKAVPSKKGIRVGFRSQSLNREPLRKDPDIVTKRVLSARLLKINELQN EVSELQVKLAQLLKENKALKSLQYRQEKALNKFEDAENEISQLIHRHNNEITALKERLRK SQEKERATEKRVKETEGELFRTKFSLQKLKKISEARHLPERDDLAKKLVSAELKLDDTER KIKELSKNLELSTNSFQRQLLAERKRAFEAYDENKVLQKELQRLHHKLKEKEKELDIKNI YANRLPKSSPKKEKEIERKHVSCQSDFTDQCTKGVQTAEDFELEDFPFTAQTVLCYENRW DEPEYLSSYLEYQDLNKHGSEMLSSVLGQEGKYDEDEDPCSAKQEARKPESEVTWTLFIS SLGTETAESGSLAMKRKTFSSGKEEVLIQIDTG >ENSMUSP00000034793.7 pep:known chromosome:GRCm38:9:83391364:83441127:-1 gene:ENSMUSG00000032258.15 transcript:ENSMUST00000034793.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lca5 description:Leber congenital amaurosis 5 (human) [Source:MGI Symbol;Acc:MGI:1923032] MGERARSPDIEQGKKGGKHPYSHYSSDFGSSPQSSGPSSPVNTTPCASTREKNPKRHLSD NQVHHPTVRKVSPKAVPSKKGIRVGFRSQSLNREPLRKDPDIVTKRVLSARLLKINELQN EVSELQVKLAQLLKENKALKSLQYRQEKALNKFEDAENEISQLIHRHNNEITALKERLRK SQEKERATEKRVKETEGELFRTKFSLQKLKKISEARHLPERDDLAKKLVSAELKLDDTER KIKELSKNLELSTNSFQRQLLAERKRAFEAYDENKVLQKELQRLHHKLKEKEKELDIKNI YANRLPKSSPKKEKEIERKHVSCQSDFTDQCTKGVQTAEDFELEDFPFTAQTVLCYENRW DEPEYLSSYLEYQDLNKHGSEMLSSVLGQEGKYDEDEDPCSAKQEARKPESGNLLVRIS >ENSMUSP00000034791.7 pep:known chromosome:GRCm38:9:83393419:83441027:-1 gene:ENSMUSG00000032258.15 transcript:ENSMUST00000034791.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lca5 description:Leber congenital amaurosis 5 (human) [Source:MGI Symbol;Acc:MGI:1923032] MGERARSPDIEQGKKGGKHPYSHYSSDFGSSPQSSGPSSPVNTTPCASTREKNPKRHLSD NQVHHPTVRKVSPKAVPSKKGIRVGFRSQSLNREPLRKDPDIVTKRVLSARLLKINELQN EVSELQVKLAQLLKENKALKSLQYRQEKALNKFEDAENEISQLIHRHNNEITALKERLRK SQEKERATEKRVKETEGELFRTKFSLQKLKKISEARHLPERDDLAKKLVSAELKLDDTER KIKELSKNLELSTNSFQRQLLAERKRAFEAYDENKVLQKELQRLHHKLKEKEKELDIKNI YANRLPKSSPKKEKEIERKHVSCQSDFTDQCTKGVQTAEDFELEDFPFTAQTVLCYENRW DEPEYLSSYLEYQDLNKHGSEMLSSVLGQEGKYDEDEDPCSAKQEARKPESEWAREELDK VKGKSALLGRAEKLALEAGRFPTENYQAQSVDKFEDEAERLKTEMLLAKLNEINKELQDP QNLGRAPLPLLPNFESKLHSPDRSTRPYSFPESLDRSFNGQHLQDLSFLTPRGEGGSPGP IRSPGQIRSPAPLDEFSFGSYVPSFGKTLGKSNPPSQKSSLLDFQSNSSESPSKDSLDLM SRKEKKATLMEQLFGPSASNTSVSSKSTDPHFPAASRGDMDPLHFLSGDRNSRVREPGDE EEDLFLREGRSFNPNRHRLKHASNKPTVTAVDSVDEDIEEVTLR >ENSMUSP00000139529.1 pep:known chromosome:GRCm38:9:83423223:83434723:-1 gene:ENSMUSG00000032258.15 transcript:ENSMUST00000186802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lca5 description:Leber congenital amaurosis 5 (human) [Source:MGI Symbol;Acc:MGI:1923032] MGERARSPDIEQGKKGGKHPYSHYSSDFGSSPQSSGPSSPVNTTPCASTREKNPKRHLSD NQVHHPTVRKVSPKAVPSKKGIRVGFRSQSLNREPLRKDPDIVTKRVLSARLLKINELQN EVSELQVKLAQLLKENKALKSLQYRQEKALNKFEDAENEISQLIHRHNNEITALKE >ENSMUSP00000140334.1 pep:known chromosome:GRCm38:9:83426749:83441116:-1 gene:ENSMUSG00000032258.15 transcript:ENSMUST00000188548.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lca5 description:Leber congenital amaurosis 5 (human) [Source:MGI Symbol;Acc:MGI:1923032] MGERARSPDIEQGK >ENSMUSP00000005891.5 pep:known chromosome:GRCm38:7:43791891:43796757:1 gene:ENSMUSG00000047884.6 transcript:ENSMUST00000005891.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk9 description:kallikrein related-peptidase 9 [Source:MGI Symbol;Acc:MGI:1921082] MKLGLTLVLFSLLAGHCGADTRAVGARECVRNSQPWQAGLFYLTRQLCGATLINDQWLLT AAHCRKPYLWVRLGEHHLWRWEGPEQLLLVTDFFPHPGFNPDLSANDHNDDIMLIRLPRK VRLTPAVQPLNLTESRPPVGTQCLISGWGSVSSSKLQYPMTLQCANISILDNKLCRWAYP GHISEKMLCAGLWEGGRGSCQGDSGGPLVCEGTLAGIVSGGSEPCSRPRRPAVYTNVFDY LEWIESTMEKN >ENSMUSP00000055255.2 pep:known chromosome:GRCm38:11:100186781:100193246:-1 gene:ENSMUSG00000051617.3 transcript:ENSMUST00000059707.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt9 description:keratin 9 [Source:MGI Symbol;Acc:MGI:96696] MSCRQSSSSFWSSSSSCGGGGGRGGSGGSMRSSFSRSSRAGGGGGGRFNSSSGFSGGGFS ACGGGGGGSFGSSYGGGYGGGFSTGSYSGMFGGGSGGGFGGGSGGGFGGGSGGGFGGGSG GGEGGILNTNEKIVMQNLNSRLASYMEKVLELEESNTAMEKQIQDWYSKRGPKVFQKDNT HYYDTIEDLKDRIVDLTVRNNKTLVDIDNTRMTMDDFRVKLEMEQSLRQGVEGDINGLKK VLDDLVMAKSDLEILLDSLEDEKNALTKNHKEEMSQLTGQNDGDVNVEINVAPSTDLTRV LNDMREEYEQLISKNRQDIEQHYESKMTQIEQQMTNSGQEMESNMKQVSQLQHTIQELNV ELQTQLTTKSALEKALEDTKNRYCGQLQQIQEQISELEAQLAEIRAETECQSQEYSILLS IKTRLEKEIETYRELLEGGQQDFESSGAGQIGFGSGKGRQRGSGGSYGGGSGGSYGGGSG GSYGGGSGGSYGGGSGGSYGGGSGGSHGGKSGGSHGGGSGGSYGGESGGSHGGGSGGSYG GGSGGSHGGKSGGGYGGGSSSGGGSGGSYGGGSGGSHGGGSGGSYGGGSGGSHGGKSGGG YGGGSSSGGGSGGSYGGGSGGSHGGKSGGSYGGGSGGSYGGGSGGSHGGKSGGGYGGGSS SGGGSGGSYGGGSGGSHGGKSGGSYGGGSSSGGGSGGSYGGGSGSGGGSGGSYGGGNRRP SQSQSSSKSADCDDDSQEHKMRY >ENSMUSP00000029666.9 pep:known chromosome:GRCm38:3:131564768:131643671:1 gene:ENSMUSG00000028032.13 transcript:ENSMUST00000029666.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papss1 description:3'-phosphoadenosine 5'-phosphosulfate synthase 1 [Source:MGI Symbol;Acc:MGI:1330587] MEIPGSLCKKVKLSNNAQNWGMQRATNVTYQAHHVSRNKRGQVVGTRGGFRGCTVWLTGL SGAGKTTVSMALEEYLVCHGIPCYTLDGDNIRQGLNKNLGFSPEDREENVRRIAEVAKLF ADAGLVCITSFISPYTQDRNNARQIHEGASLPFFEVFVDAPLHVCEQRDVKGLYKKARAG EIKGFTGIDSEYEKPEAPELVLKTDSCDVNDCVQQVVELLQERDIVPVDASYEVKELYVP ENKLHLAKTDAEALPALKINKVDMQWVQVLAEGWATPLNGFMREREYLQCLHFDCLLDGG VINLSVPIVLTATHEDKERLDGCTAFALVYEGRRVAILRNPEFFEHRKEERCARQWGTTC KNHPYIKMVLEQGDWLIGGDLQVLDRIYWNDGLDQYRLTPTELKQKFKDMNADAVFAFQL RNPVHNGHALLMQDTHKQLLERGYRRPVLLLHPLGGWTKDDDVPLMWRMKQHAAVLEEGI LDPETTVVAIFPSPMMYAGPTEVQWHCRARMVAGANFYIVGRDPAGMPHPETGKDLYEPT HGAKVLTMAPGLITLEIVPFRVAAYNKKKKRMDYYDSEHHEDFEFISGTRMRKLAREGQK PPEGFMAPKAWTVLVEYYKSLEKA >ENSMUSP00000143526.1 pep:known chromosome:GRCm38:3:131564865:131643670:1 gene:ENSMUSG00000028032.13 transcript:ENSMUST00000197402.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Papss1 description:3'-phosphoadenosine 5'-phosphosulfate synthase 1 [Source:MGI Symbol;Acc:MGI:1330587] MQRATNVTYQAHHVSRNKRGQVVGTRGGFRGCTVWLTVMRAE >ENSMUSP00000142533.1 pep:known chromosome:GRCm38:3:131564896:131643670:1 gene:ENSMUSG00000028032.13 transcript:ENSMUST00000199878.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papss1 description:3'-phosphoadenosine 5'-phosphosulfate synthase 1 [Source:MGI Symbol;Acc:MGI:1330587] MQRATNVTYQAHHVSRNKRGQVVGTRGGFRGCTVWLTGLSGAGKTTVSMALEEYLVCHGI PCYTLDGDNIRQGLNKNLGFSPEDREENVRRIAEVAKLFADAGLVCITSFISPYTQDRNN ARQIHEGASLPFFEVFVDAPLHVCEQRDVKGLYKKARAGEIKGFTGIDSEYEKPEAPELV LKTDSCDVNDCVQQVVELLQERDIVPVDASYEVKELYVPENKLHLAKTDAEALPALKINK VDMQWVQVLAEGWATPLNGFMREREYLQCLHFDCLLDGGVINLSVPIVLTATHEDKERLD GCTAFALVYEGRRVAILRNPEFFEHRKEERCARQWGTTCKNHPYIKMVLEQGDWLIGGDL QVLDRIYWNDGLDQYRLTPTELKQKFKDMNADAVFAFQLRNPVHNGHALLMQDTHKQLLE RGYRRPVLLLHPLGGWTKDDDVPLMWRMKQHAAVLEEGILDPETTVVAIFPSPMMYAGPT EVQWHCRARMVAGANFYIVGRDPAGMPHPETGKDLYEPTHGAKVLTMAPGLITLEIVPFR VAAYNKKKKRMDYYDSEHHEDFEFISGTRMRKLAREGQKPPEGFMAPKAWTVLVEYYKSL EKA >ENSMUSP00000143225.1 pep:known chromosome:GRCm38:3:131564897:131579425:1 gene:ENSMUSG00000028032.13 transcript:ENSMUST00000196408.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papss1 description:3'-phosphoadenosine 5'-phosphosulfate synthase 1 [Source:MGI Symbol;Acc:MGI:1330587] MQRATNVTYQAHHVSRNKRGQVVGTRGGFRGCTVWLT >ENSMUSP00000142616.1 pep:known chromosome:GRCm38:3:131564899:131643671:1 gene:ENSMUSG00000028032.13 transcript:ENSMUST00000200527.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papss1 description:3'-phosphoadenosine 5'-phosphosulfate synthase 1 [Source:MGI Symbol;Acc:MGI:1330587] MQRATNVTYQAHHVSRNKRGQVVGTRGGFRGCTVWLTGLSGAGKTTVSMALEEYLVCHGI PCYTLDGDNIRQGLNKNLGFSPEDREENVRRIAEVAKLFADAGLVCITSFISPYTQDRNN ARQIHEGASLPFFEVFVDAPLHVCEQRDVKGLYKKARAGEIKGFTGIDSEYEKPEAPELV LKTDSCDVNDCVQQVVELLQERDIVPVDASYEVKELYVPENKLHLAKTDAEALPALKINK VDMQWVQVLAEGWATPLNGFMREREYLQCLHFDCLLDGGVINLSVPIVLTATHEDKERLD GCTAFALVYEGRRVAILRNPEFFEHRKEERCARQWGTTCKNHPYIKMVLEQGDWLIGGDL QVLDRIYWNDGLDQYRLTPTELKQKFKDMNADAVFAFQLRNPVHNGHALLMQDTHKQLLE RGYRRPVLLLHPLGGWTKDDDVPLMWRMKQHAAVLEEGILDPETTVVAIFPSPMMYAGPT EVQWHCRARMVAGANFYIVGRDPAGMPHPETGKDLYEPTHGAKVLTMAPGLITLEIVPFR VAAYNKKKKRMDYYDSEHHEDFEFISGTRMRKLAREGQKPPEGFMAPKAWTVLVEYYKSL EKA >ENSMUSP00000143211.1 pep:known chromosome:GRCm38:3:131564935:131583200:1 gene:ENSMUSG00000028032.13 transcript:ENSMUST00000196638.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Papss1 description:3'-phosphoadenosine 5'-phosphosulfate synthase 1 [Source:MGI Symbol;Acc:MGI:1330587] MQRATNVTYQAHHVSRNKRGQVVGTRGGFRGCTVWLTVMRAE >ENSMUSP00000125482.1 pep:known chromosome:GRCm38:15:76576359:76595808:1 gene:ENSMUSG00000022550.17 transcript:ENSMUST00000161732.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adck5 description:aarF domain containing kinase 5 [Source:MGI Symbol;Acc:MGI:2679274] MWRPMRLCHFHSTLLQSRQKPWPCPAIFFRRNFKSPPARTSRARLLWRRALSATVVGTPF LLGAYYFMAEASERRKLRLAVDGIGRFGRSVKIGLFISTDYWWCTNVVLRGVEEPEVCGD HVCLSPACG >ENSMUSP00000124666.1 pep:known chromosome:GRCm38:15:76576389:76595816:1 gene:ENSMUSG00000022550.17 transcript:ENSMUST00000160784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck5 description:aarF domain containing kinase 5 [Source:MGI Symbol;Acc:MGI:2679274] MWRPMRLCHFHSTLLQSRQKPWPCPAIFFRRNFKSPPARTSRARLLWRRALSATVVGTPF LLGAYYFMAEASERRKLRLAVDGIGRFGRSVKIGLFISTDYWWCTNVVLRGVEENSPKYV EIMSACHQRAADTLVAGAIRNGGLYVKLGQGLCSFNHLLPTEYIQTLRVLEDKALTRGFR EVDELFLEDFQALPNELFQEFDYEPMAAASLAQVHRAKLHDGTDVAVKVQYIDLRDRFDG DVQTLELLLRLVELMHPSFGFSWVLQDLKGTLVQELDFENEGRNAERCAQELKHFHYVVI PRVHWDRSSKRVLTADFCNGCKVNDMEGIKSQGLAVQDVAKKLIQTFAEQIFHTGFIHSD PHPGNVLVRKGPDGKAELVLLDHGLYQFLDEKDRSSLCQLWRAIILRDNAAMKKHAAALG VQDYMLFSEVLMQRPVRLGQLWGSHLISREEAAYMQDMAREHFDGIMEVLKALPRPMLLV LRNINTVRAINSNLGTPVDRYFLMAKSAVWGWSRLVGAAYQGIYGSSLLRHIKVLWEALK FEAGNPSHAAHRPHAACSGPPGLCPQG >ENSMUSP00000125055.1 pep:known chromosome:GRCm38:15:76576358:76595816:1 gene:ENSMUSG00000022550.17 transcript:ENSMUST00000162503.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck5 description:aarF domain containing kinase 5 [Source:MGI Symbol;Acc:MGI:2679274] MWRPMRLCHFHSTLLQSRQKPWPCPAIFFRRNFKSPPARTSRARLLWRRALSATVVGTPF LLGAYYFMAEASERRKLRLAVDGIGRFGRSVKIGLFISTDYWWCTNVVLRGVEENSPKYV EIMSACHQRAADTLVAGAIRNGGLYVKLGQGLCSFNHLLPTEYIQTLRVLEDKALTRGFR EVDELFLEDFQALPNELFQEFDYEPMAAASLAQVHRAKLHDGTDVAVKVQYIDLRDRFDG DVQTLELLLRLVELMHPSFGFSWVLQDLKGTLVQELDFENEGRNAERCAQELKHFHYVVI PRVHWDRSSKRVLTADFCNGCKVNDMEGIKSQGLAVQDVAKKLIQTFAEQIFHTGFIHSD PHPGNVLVRKGPDGKAELVLLDHGLYQFLDEKDRSSLCQLWRAIILRDNAAMKKHAAALG VQDYMLFSEVLMQRPVRLGQLWGSHLISREEAAYMQDMAREHFDGIMEVLKALPRPMLLV LRNINTVRAINSNLGTPVDRYFLMAKSAVWGWSRLVGAAYQGIYGSSLLRHIKVLWEALK FEMALRLEILAMRLTALMLRVLVRLGFAPKAEAEEVYQYLEM >ENSMUSP00000124701.1 pep:known chromosome:GRCm38:15:76576474:76595650:1 gene:ENSMUSG00000022550.17 transcript:ENSMUST00000161612.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adck5 description:aarF domain containing kinase 5 [Source:MGI Symbol;Acc:MGI:2679274] MWRPMRLCHFHSTLLQSRQKPWPCPAIFFRRNFKSPPARTSRARLLWRRALSATVVGTPF LLGAYYFMAEASERRKLRLAVDGIGRFGRSVKIGLFISTDYWWCTNVVLRGVEEPEVCGD HVCLSPACG >ENSMUSP00000124937.1 pep:known chromosome:GRCm38:1:180942344:180952172:1 gene:ENSMUSG00000026519.16 transcript:ENSMUST00000161847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63a description:transmembrane protein 63a [Source:MGI Symbol;Acc:MGI:2384789] MTSSPFLDPWPSKAVFVRERLGLGERPNDSYCYNSAKNSTVLQGVTFGGIPTVLLLDVSC FLFLILVFSIIRRRFWDYGRIALVSEAGSEARFQRLSSSSSG >ENSMUSP00000125287.1 pep:known chromosome:GRCm38:1:180942458:180954872:1 gene:ENSMUSG00000026519.16 transcript:ENSMUST00000162283.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem63a description:transmembrane protein 63a [Source:MGI Symbol;Acc:MGI:2384789] MTSSPFLDPWPSKAVFVRERLGLGERPNDSYCYNSAKNSTVLQGVTFGGIPTVLLLDVSC FL >ENSMUSP00000027800.8 pep:known chromosome:GRCm38:1:180942459:180975112:1 gene:ENSMUSG00000026519.16 transcript:ENSMUST00000027800.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63a description:transmembrane protein 63a [Source:MGI Symbol;Acc:MGI:2384789] MTSSPFLDPWPSKAVFVRERLGLGERPNDSYCYNSAKNSTVLQGVTFGGIPTVLLLDVSC FLFLILVFSIIRRRFWDYGRIALVSEAGSEARFQRLSSSSSGQQDFENELGCCPWLTAIF RLHDDQILEWCGEDAIHYLSFQRHIIFLLVVISFLSLCVILPVNLSGDLLGKDPYSFGRT TIANLQTDNDLLWLHTVFSVIYLFLTVGFMWHHTRSIRYKEESLVRQTLFITGLPREARK ETVESHFRDAYPTCEVVDVQLCYSVAKLIYLCKERKKTEKSLTYYTNLQAKTGRRTLINP KPCGQFCCCEVQGCEREDAISYYTRMNDSLLERITAEESRVQDQPLGMAFVTFREKSMAT YILKDFNACKCQGLRCKGEPQPSSYSRELCVSKWTVTFASYPEDICWKNLSIQGVRWWLQ WLGINFSLFVVLFFLTTPSIIMSTMDKFNVTKPIHALNNPVISQFFPTLLLWSFSALLPS IVYYSTLLESHWTRSGENRIMVSKVYIFLIFMVLILPSLGLTSLDFFFRWLFDKTSSETS IRLECVFLPDQGAFFVNYVIASAFIGSGMELLRLPGLILYTFRMIMAKTAADRRNVKQNQ AFEYEFGAMYAWMLCVFTVIMAYSITCPIIVPFGLIYILLKHMVDRHNLYFAYLPAKLEK RIHFAAVNQALAAPILCLFWLFFFSFLRLGLTAPATLFTFLVVLLTILACLLYTCFGCFK HLSPWNYKTEESASDKGSEAEAHVPPPFTPYVPRILNGLASERTALSPQQQQTYGAIRNI SGTLPGQPVAQDPSGTAAYAYQES >ENSMUSP00000125192.1 pep:known chromosome:GRCm38:1:180942574:180956005:1 gene:ENSMUSG00000026519.16 transcript:ENSMUST00000159436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63a description:transmembrane protein 63a [Source:MGI Symbol;Acc:MGI:2384789] MTSSPFLDPWPSKAVFVRERLGLGERPNDSYCYNSAKNSTVLQGVTFGGIPTVLLLDVSC FLFLILVFSIIRRRFWDYGRIALVSEAGSEARFQRLSSSSSGQQDFENELGCCPWLTAIF RLHDDQILEWCGEDAIHYLSFQRHIIFLLVVISFLSLCVILPVNLSGDLLGKDP >ENSMUSP00000124021.1 pep:known chromosome:GRCm38:1:180944035:180975103:1 gene:ENSMUSG00000026519.16 transcript:ENSMUST00000161523.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63a description:transmembrane protein 63a [Source:MGI Symbol;Acc:MGI:2384789] MTSSPFLDPWPSKAVFVRERLGLGERPNDSYCYNSAKNSTVLQGVTFGGIPTVLLLDVSC FLFLILVFSIIRRRFWDYGRIALVSEAGSEARFQRLSSSSSGQQDFENELGCCPWLTAIF RLHDDQILEWCGEDAIHYLSFQRHIIFLLVVISFLSLCVILPVNLSGDLLGKDPYSFGRT TIANLQTDNDLLWLHTVFSVIYLFLTVGFMWHHTRSIRYKEESLVRQTLFITGLPREARK ETVESHFRDAYPTCEVVDVQLCYSVAKLIYLCKERKKTEKSLTYYTNLQAKTGRRTLINP KPCGQFCCCEVQGCEREDAISYYTRMNDSLLERITAEESRVQDQPLGMAFVTFREKSMAT YILKDFNACKCQGLRCKGEPQPSSYSRELCVSKWTVTFASYPEDICWKNLSIQGVRWWLQ WLGINFSLFVVLFFLTTPSIIMSTMDKFNVTKPIHALNNPVISQFFPTLLLWSFSALLPS IVYYSTLLESHWTRSGENRIMVSKVYIFLIFMVLILPSLGLTSLDFFFRWLFDKTSSETS IRLECVFLPDQGAFFVNYVIASAFIGSGMELLRLPGLILYTFRMIMAKTAADRRNVKQNQ AFEYEFGAMYAWMLCVFTVIMAYSITCPIIVPFGLIYILLKHMVDRHNLYFAYLPAKLEK RIHFAAVNQALAAPILCLFWLFFFSFLRLGLTAPATLFTFLVVLLTILACLLYTCFGCFK HLSPWNYKTEESASDKGSEAEAHVPPPFTPYVPRILNGLASERTALSPQQQQTYGAIRNI SGTLPGQPVAQDPSGTAAYAYQES >ENSMUSP00000124973.1 pep:known chromosome:GRCm38:1:180945906:180946714:1 gene:ENSMUSG00000026519.16 transcript:ENSMUST00000160508.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63a description:transmembrane protein 63a [Source:MGI Symbol;Acc:MGI:2384789] MTSSPFLDPWPSKAVFVRERLGLGERPNDSYCYNSAKNSTVLQGV >ENSMUSP00000124860.1 pep:known chromosome:GRCm38:1:180945962:180948838:1 gene:ENSMUSG00000026519.16 transcript:ENSMUST00000160536.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63a description:transmembrane protein 63a [Source:MGI Symbol;Acc:MGI:2384789] MTSSPFLDPWPSKAVFVRERLGLGERPNDSYCYNSAKNSTVLQGVTFGGIPTVLLLDVSC FLFLILVFSI >ENSMUSP00000030724.8 pep:known chromosome:GRCm38:4:132492032:132510501:-1 gene:ENSMUSG00000028893.8 transcript:ENSMUST00000030724.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sesn2 description:sestrin 2 [Source:MGI Symbol;Acc:MGI:2651874] MIVADSECHSEIKGYLPFTRGGVAGPETREEHREGQARRGSRGPSAFIPVEEILREGAES LEQHLGLEALMSSGRVDNLAVVMGLHPDYLSSFWRLHYLLLHTDGPLASSWRHYIAIMAA ARHQCSYLVGSHMTEFLQTGGDPEWLLGLHRAPEKLRKLSEVNKLLAHRPWLITKEHIQA LLKTGEHSWSLAELIQALVLLTHCHSLASFVFGCGILPEGDAEGSPASQAPSPPSEQGTP PSGDPLNNSGGFEAARDVEALMERMRQLQESLLRDEGASQEEMENRFELEKSESLLVTPS ADILEPSPHPDILCFVEDPAFGYEDFTRRGTQAPPTFRAQDYTWEDHGYSLIQRLYPEGG QLLDEKFQVACSLTYNTIAMHSGVDTSMLRRAIWNYIHCVFGIRYDDYDYGEVNQLLERN LKIYIKTVACYPEKTTRRMYNLFWRHFRHSEKVHVNLLLLEARMQAALLYALRAITRYMT >ENSMUSP00000030669.7 pep:known chromosome:GRCm38:4:133369706:133423702:1 gene:ENSMUSG00000028854.9 transcript:ENSMUST00000030669.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a1 description:solute carrier family 9 (sodium/hydrogen exchanger), member 1 [Source:MGI Symbol;Acc:MGI:102462] MMLRWSGVWGFHPPRIFPSLLVVVALVGLLPVLRSHGLQHSPTASTIRGSEPPRERSIGD VTTAPSEPLHRPDDHNLTNLIIEHGGKPSRKAFPVLDIDYPHVRTPFEISLWILLACLMK IGFHVIPTISSIVPESCLLIVVGLLVGGLIKGVGETPPFLQSDVFFLFLLPPIILDAGYF LPLRQFTENLGTILIFAVVGTLWNAFFLGGLLYAVCLVGGEQINNIGLLDTLLFGSIISA VDPVAVLAVFEEIHINELLHILVFGESLLNDAVTVVLYHLFEEFASYDSVGISDIFLGFL SFFVVALGGVFVGVVYGVIAAFTSRFTSHIRVIEPLFVFLYSYMAYLSAELFHLSGIMAL IASGVVMRPYVEANISHKSHTTIKYFLKMWSSVSETLIFIFLGVSTVAGSHQWNWTFVIS TLLFCLIARVLGVLVLTWFINKFRIVKLTPKDQFIIAYGGLRGAIAFSLGYLLDKKHFPM CDLFLTAIITVIFFTVFVQGMTIRPLVDLLAVKKKQETKRSINEEIHTQFLDHLLTGIED ICGHYGHHHWKDKLNRFNKKYVKKCLIAGERSKEPQLIAFYHKMEMKQAIELVESGGMGK IPSAVSTVSMQNIHPKAVTSDRILPALSKDKEEEIRKILRSNLQKTRQRLRSYNRHTLVA DPYEEAWNQMLLRRQKARQLEQKITNYLTVPAHKLDSPTLSRARIGSDPLAYEPKADLPV ITIDPASPQSPESVDLVNEELKGKVLGLNRGPRVTPEEEEEDEDGIIMIRSKEPSSPGTD DVFTPGSSDSPSSQRIQRCLSDPGPHPEPGEGEPFIPKGQ >ENSMUSP00000048263.1 pep:known chromosome:GRCm38:2:112247948:112259291:-1 gene:ENSMUSG00000041358.1 transcript:ENSMUST00000043970.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nutm1 description:NUT midline carcinoma, family member 1 [Source:MGI Symbol;Acc:MGI:2661384] MASDGTSPLPGPDMSMKPGTGLSPFSALPFAPPPPVPPDQPLWEPSPQPPIPPVFSPSNP LLLSAFPSPLLVTGEGGPGPSVAGTGQVIVKVKTDVGPAESSQTQNLIVTQTALNWIPSG APCGSQEGPPPPRYVTASNVKTILPAVAVGVSQEGLAGLPLQVLPPAAQLAPIVPLEKPW PGTQATTMEGGPVAARKPSQGDLAYASKGVYENYRRWQRYKVLARTHLSQSPDVEALSCF LIPVLRSLARLKPTMTLEEGLPRALQEWERTSNFDRMIFYEMAEKFMEFEAEEETQIQNA QLMNGSPGLSPIAPLKLDPPGSMVPEACQQPVYIPKKAASKTRAPRRRQRKPQRPPVPEA PKEIPPEAVQEYVDIMDGLMGSYVDTGKTEEEEEGQQEGAGMYPDPSLLSYIDELCSQEV FVSKVEAVIHPQFLADLLSPEEQRDPLSLLEELEQEEGLNLAQLVQKRLLALEEEDAQTP PSCSGAQSDSSPSVSDEDEDGGQRRRPSPGLQGAAGIVRIGKSASPGKQAREIHGGQEQT LGGPAGIHKDGNNLPSPSSWDVQLELTASQGMPVLLGMERKMSGKAIKQLSATQDGHLGR TGSPGYYPVADRNPEVLPCCWQEDPQHMRAPNFDVGLTEPVPLQGLGLEKQALTLQIGKR IGGAGMLTRGREPPSVVSQKGSSRAVRGDDRGPGMLQSYSQNHSPGAAGNLDRVSLSPGL WLSSDMGAVGLELPLQIETVIDSIQDEACRREDQALNSRNSASLGPRKNTVPKDVGNSVI PSGGPDTTAVPEKRNPCSLPGSLMASGPGLRSKEKISKENQALSPKTIQNPSDLWAEACP PLLPTLVSSTLGSSKDTLIPTCQGNLLIIGTQDASSLAQTSQKAESRGNLLFPLLENIDQ VTILDVKDDSCPQPGVSKDSCLSNFNSYNLQGEGREDTVSSKPTDLVPLQDNQESYTHET TKLTNGQGQGSTSPRWATRDAYILRETPIKEKCTSADRAKRRETEKEEEDEELSNFSYLL ASKLSLSSGGLPLSTRQASGGQGIVKTSRHSTEVDDLGQPSPPPKSGKQALVGSPATVVE RDQQGAQFNGSGQKPLALGMAQLPQPRKRRRDGFVTSKRKKRRRSQ >ENSMUSP00000082588.2 pep:known chromosome:GRCm38:7:43797577:43803822:1 gene:ENSMUSG00000064023.4 transcript:ENSMUST00000085461.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk8 description:kallikrein related-peptidase 8 [Source:MGI Symbol;Acc:MGI:1343327] MGRPPPCAIQPWILLLLFMGAWAGLTRAQGSKILEGRECIPHSQPWQAALFQGERLICGG VLVGDRWVLTAAHCKKQKYSVRLGDHSLQSRDQPEQEIQVAQSIQHPCYNNSNPEDHSHD IMLIRLQNSANLGDKVKPVQLANLCPKVGQKCIISGWGTVTSPQENFPNTLNCAEVKIYS QNKCERAYPGKITEGMVCAGSSNGADTCQGDSGGPLVCDGMLQGITSWGSDPCGKPEKPG VYTKICRYTTWIKKTMDNRD >ENSMUSP00000145580.1 pep:known chromosome:GRCm38:7:43797596:43803671:1 gene:ENSMUSG00000064023.4 transcript:ENSMUST00000205537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk8 description:kallikrein related-peptidase 8 [Source:MGI Symbol;Acc:MGI:1343327] MGRPPPCAIQPWILLLLFMGAWAGLTRAQGSKILEGRECIPHSQPWQAALFQGERLICGG VLVGDRWVLTAAHCKKQKYSVRLGDHSLQSRDQPEQEIQVAQSIQHPCYNNSNPEDHSHD IMLIRLQNSANLGDKVKPVQLANLCPKVGQKCIISGWGTVTSPQENFPNTLNCAEVKIYS QNKCERAYPGKITEGMVCAGSSNGADTCQGDSGGPLVCDGMLQGITSW >ENSMUSP00000052306.8 pep:known chromosome:GRCm38:3:117319139:117360876:-1 gene:ENSMUSG00000044667.12 transcript:ENSMUST00000061071.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr4 description:phospholipid phosphatase related 4 [Source:MGI Symbol;Acc:MGI:106530] MQRAGSSGARGECDISGAGRLRLEQAARLGGRTVHTSPGGGLGARQAAGMSAKERPKGKV IKDSVTLLPCFYFVELPILASSVVSLYFLELTDVFKPVHSGFSCYDRSLSMPYIEPTQEA IPFLMLLSLAFAGPAITIMVGEGILYCCLSKRRNGAGLEPNINAGGCNFNSFLRRAVRFV GVHVFGLCSTALITDIIQLSTGYQAPYFLTVCKPNYTSLNVSCKENSYIVEDICSGSDLT VINSGRKSFPSQHATLAAFAAVYVSMYFNSTLTDSSKLLKPLLVFTFIICGIICGLTRIT QYKNHPVDVYCGFLIGGGIALYLGLYAVGNFLPSEDSMLQHRDALRSLTDLNQDPSRVLS AKNGSSGDGIAHTEGILNRNHRDASSLTNLKRANADVEIITPRSPMGKESMVTFSNTLPR ANTPSVEDPVRRNASIHASMDSARSKQLLTQWKSKNESRKMSLQVMDTEPEGQSPPRSIE MRSSSEPSRVGVNGDHHVPGNQYLKIQPGTVPGCNNSMPGGPRVSIQSRPGSSQLVHIPE ETQENISTSPKSSSARAKWLKAAEKTVACNRSNNQPRIMQVIAMSKQQGVLQSSPKNAEG STVTCTGSIRYKTLTDHEPSGIVRVEAHPENNRPIIQIPSSTEGEGSGSWKWKAPEKSSL RQTYELNDLNRDSESCESLKDSFGSGDRKRSNIDSNEHHHHGITTIRVTPVEGSEIGSET LSVSSSRDSTLRRKGNIILIPERSNSPENTRNIFYKGTSPTRAYKD >ENSMUSP00000143753.1 pep:known chromosome:GRCm38:3:117321911:117360248:-1 gene:ENSMUSG00000044667.12 transcript:ENSMUST00000197743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr4 description:phospholipid phosphatase related 4 [Source:MGI Symbol;Acc:MGI:106530] MQRAGSSGARGECDISGAGRLRLEQAARLGGRTVHTSPGGGLGARQAAGMSAKERPKGKV IKDSVTLLPCFYFVELPILASSVVSLYFLELTDVFKPVHSGFSCYDRSLSMPYIEPTQEA IPFLMLLSLAFAGPAITIMVGEGILYCCLSKRRNGAGLEPNINAGGCNFNSFLRRAVRFV GVHVFGLCSTALITDIIQLSTGYQAPYFLTVCKPNYTSLNVSCKENSYIVEDICSGSDLT VINSGRKSFPSQHATLAAFAAVYVSGLYAVGNFLPSEDSMLQHRDALRSLTDLNQDPSRV LSAKNGSSGDGIAHTEGILNRNHRDASSLTNLKRANADVEIITPRSPMGKESMVTFSNTL PRANTPSVEDPVRRNASIHASMDSARSKQLLTQWKSKNESRKMSLQVMDTEPEGQSPPRS IEMRSSSEPSRVGVNGDHHVPGNQYLKIQPGTVPGCNNSMPGGPRVSIQSRPGSSQLVHI PEETQENISTSPKSSSARAKWLKAAEKTVACNRSNNQPRIMQVIAMSKQQGVLQSSPKNA EGSTVTCTGSIRYKTLTDHEPSGIVRVEAHPENNRPIIQIPSSTEGEGSGSWKWKAPEKS SLRQTYELNDLNRDSESCESLKDSFGSGDRKRSNIDSNEHHHHGITTIRVTPVEGSEIGS ETLSVSSSRDSTLRRKGNIILIPERSNSPENTRNIFYKGTSPTRAYK >ENSMUSP00000062615.4 pep:known chromosome:GRCm38:2:158665398:158766334:1 gene:ENSMUSG00000037754.13 transcript:ENSMUST00000052927.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r16b description:protein phosphatase 1, regulatory (inhibitor) subunit 16B [Source:MGI Symbol;Acc:MGI:2151841] MASHVDLLTELQLLEKVPTLERLRAAQKRRAQQLKKWAQYEQDLLHRKRKHERKRSTGGR RKKVSFEASVALLEASLRNDAEEVRYFLKNKVSPDLCNEDGLTALHQCCIDNFEEIVKLL LSHGANVNAKDNELWTPLHAAATCGHINLVKILVQYGADLLAVNSDGNMPYDLCEDEPTL DVIETCMAYQGITQEKINEMRAAPEQKMISDIHCMIAAGQDLDWIDGQGATLLHIAGANG YLRAAELLLDHGVRVDVKDWDGWEPLHAAAFWGQMPMAELLVSHGASLSARTSMDEMPID LCEEEEFKVLLLELKHKHDVIMKSQLRHKSSLSRRTSSAGSRGKVVRRASLSDRTNLYRK EYEGEAILWQQRSAAEDQRTSTYNGDIRETRTDQENKDPNPRLEKPVLLSEFSTKISRGE LDGPVENGLRAPVSTYQYALANGDIWKMHEMPDYSMAYGNPGVADVPPPWSGFKEQSPQT LLELKRQRAAAKLLSHPFLSTHLGSSVARSGESSSEGKAPLIGGRTSPYSSNGTSVYYTV TSGDPPLLKFKAPMEEMEEKVHGCCRIS >ENSMUSP00000039540.4 pep:known chromosome:GRCm38:2:158667119:158766334:1 gene:ENSMUSG00000037754.13 transcript:ENSMUST00000045503.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r16b description:protein phosphatase 1, regulatory (inhibitor) subunit 16B [Source:MGI Symbol;Acc:MGI:2151841] MASHVDLLTELQLLEKVPTLERLRAAQKRRAQQLKKWAQYEQDLLHRKRKHERKRSTGGR RKKVSFEASVALLEASLRNDAEEVRYFLKNKVSPDLCNEDGLTALHQCCIDNFEEIVKLL LSHGANVNAKDNELWTPLHAAATCGHINLVKILVQYGADLLAVNSDGNMPYDLCEDEPTL DVIETCMAYQGITQEKINEMRAAPEQKMISDIHCMIAAGQDLDWIDGQGATLLHIAGANG YLRAAELLLDHGVRVDVKDWDGWEPLHAAAFWGQMPMAELLVSHGASLSARTSMDEMPID LCEEEEFKVLLLELKHKHDVIMKSQLRHKSSLSRRTSSAGSRGKVVRRASLSDRTNLYRK EYEGEAILWQQRSAAEDQRTSTYNGDIRETRTDQENKDPNPRLEKPVLLSEFSTKISRGE LDGPVENGLRAPVSTYQYALANGDIWKMHEMPDYSMAYGNPGVADVPPPWSGFKEQSPQT LLELKRQRAAAKLLSHPFLSTHLGSSVARSGESSSEGKAPLIGGRTSPYSSNGTSVYYTV TSGDPPLLKFKAPMEEMEEKVHGCCRIS >ENSMUSP00000117310.1 pep:known chromosome:GRCm38:2:158696135:158766334:1 gene:ENSMUSG00000037754.13 transcript:ENSMUST00000145073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r16b description:protein phosphatase 1, regulatory (inhibitor) subunit 16B [Source:MGI Symbol;Acc:MGI:2151841] GRRKKVSFEASVALLEASLRNDAEEVRYFLKNKVSPDLCNEDGLTALHQCCIDNFEEIVK LLLSHGANVNAKDNELWTPLHAAATCGHINLVKILVQYGADLLAVNSDGNMPYDLCEDEP TLDVIETCMAYQGITQEKINEMRAAPEQKMISDIHCMIAAGQDLDWIDGQGATLMPMAEL LVSHGASLSARTSMDEMPIDLCEEEEFKVLLLELKHKHDVIMKSQLRHKSSLSRRTSSAG SRGKVVRRASLSDRTNLYRKEYEGEAILWQQRSAAEDQRTSTYNGDIRETRTDQENKDPN PRLEKPVLLSEFSTKISRGELDGPVENGLRAPVSTYQYALANGDIWKMHEMPDYSMAYGN PGVADVPPPWSGFKEQSPQTLLELKRQRAAAKLLSHPFLSTHLGSSVARSGESSSEGKAP LIGGRTSPYSSNGTSVYYTVTSGDPPLLKFKAPMEEMEEKVHGCCRIS >ENSMUSP00000099405.3 pep:known chromosome:GRCm38:2:158666733:158766334:1 gene:ENSMUSG00000037754.13 transcript:ENSMUST00000103116.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r16b description:protein phosphatase 1, regulatory (inhibitor) subunit 16B [Source:MGI Symbol;Acc:MGI:2151841] MASHVDLLTELQLLEKVPTLERLRAAQKRRAQQLKKWAQYEQDLLHRKRKHERKRSTGGR RKKVSFEASVALLEASLRNDAEEVRYFLKNKVSPDLCNEDGLTALHQCCIDNFEEIVKLL LSHGANVNAKDNELWTPLHAAATCGHINLVKILVQYGADLLAVNSDGNMPYDLCEDEPTL DVIETCMAYQGITQEKINEMRAAPEQKMISDIHCMIAAGQDLDWIDGQGATLLHIAGANG YLRAAELLLDHGVRVDVKDWDGWEPLHAAAFWGQMPMAELLVSHGASLSARTSMDEMPID LCEEEEFKVLLLELKHKHDVIMKSQLRHKSSLSRRTSSAGSRGKVVRRASLSDRTNLYRK EYEGEAILWQQRSAAEDQRTSTYNGDIRETRTDQENKDPNPRLEKPVLLSEFSTKISRGE LDGPVENGLRAPVSTYQYALANGDIWKMHEMPDYSMAYGNPGVADVPPPWSGFKEQSPQT LLELKRQRAAAKLLSHPFLSTHLGSSVARSGESSSEGKAPLIGGRTSPYSSNGTSVYYTV TSGDPPLLKFKAPMEEMEEKVHGCCRIS >ENSMUSP00000146494.1 pep:known chromosome:GRCm38:7:30413760:30417582:1 gene:ENSMUSG00000030579.10 transcript:ENSMUST00000207982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyrobp description:TYRO protein tyrosine kinase binding protein [Source:MGI Symbol;Acc:MGI:1277211] XSSFTWLDVTPGPTSPWTVVSSAYLATMGALEPSWCLLFLPVLLTVGGLSPVQAQSDTFP RCDCSSVSPGVLAGIVLGDLVLTLLIALAVYSLGRLVSRGQGTAEGTRKQHIAETESPYQ ELQGQRPEVYSDLNTQRQYYR >ENSMUSP00000146653.1 pep:known chromosome:GRCm38:7:30413852:30417585:1 gene:ENSMUSG00000030579.10 transcript:ENSMUST00000208125.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tyrobp description:TYRO protein tyrosine kinase binding protein [Source:MGI Symbol;Acc:MGI:1277211] XPSWCLLFLPVLLTVGGLSPVQAQSDTFPRCDCSSVSPGVLAGIVLGDLVLTLLIALAVY SLGRLVSRGQGTAEVSTKTRRWHQMPWNWSYK >ENSMUSP00000032800.9 pep:known chromosome:GRCm38:7:30413788:30417577:1 gene:ENSMUSG00000030579.10 transcript:ENSMUST00000032800.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyrobp description:TYRO protein tyrosine kinase binding protein [Source:MGI Symbol;Acc:MGI:1277211] MGALEPSWCLLFLPVLLTVGGLSPVQAQSDTFPRCDCSSVSPGVLAGIVLGDLVLTLLIA LAVYSLGRLVSRGQGTAEGTRKQHIAETESPYQELQGQRPEVYSDLNTQRQYYR >ENSMUSP00000037629.5 pep:known chromosome:GRCm38:X:103697414:103821983:-1 gene:ENSMUSG00000033965.10 transcript:ENSMUST00000042664.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a2 description:solute carrier family 16 (monocarboxylic acid transporters), member 2 [Source:MGI Symbol;Acc:MGI:1203732] MALPSPASEEAEGPCQEANQEYQEPVCSPVPEPEPEPEPEPEPDPEPVPVPPPEPQPEPE PQPLPDPAPLPELGFEAEPVQEPEPTPTVETRGTARGFQPPEGGFGWIVVFAATWCNGSI FGIHNSVGILYSMLLEEEKEKNRQVEFQAAWVGALAMGMIFFCSPIVSIFTDRLGCRITA TTGAAVAFIGLHTSSFTSSLSLRYFTYGILFGCGCSFAFQPSLVILGHYFQRRLGLANGV VSAGSSIFSMSFPFLIKMLGDKIKLAQTFQVLSTFMFVLTLLSLTYRPLLPSSQDTPSKR GAHTLRQRFLVQFRKYFNMRVFRQRTYRIWAFGIAAAALGYFVPYVHLMKYVEDKFKEIK ETWVLLVCIGATSGLGRLVSGHISDSIPGLKKIYLQVLSFLLLGLMSMMIPLCRDFGGLI VVCLFLGLCDGFFITIMAPIAFELVGPMQASQAIGYLLGMMALPMIAGPPIAGLLRNCFG DYHVAFYFAGVPPIIGAVILFFVPLMHQRMFKKEQRDSSKDKMLSHDPDPNGELLPGSPT PEEPI >ENSMUSP00000126288.1 pep:known chromosome:GRCm38:7:127389673:127393619:-1 gene:ENSMUSG00000078580.3 transcript:ENSMUST00000165495.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E430018J23Rik description:RIKEN cDNA E430018J23 gene [Source:MGI Symbol;Acc:MGI:2141981] MAPRMAPERASAWPAFLKPGTVSFADVAVYFSPEEWRCLRPAQRTLYREVMRETYGLLAS LEVGGDKPALICWVEEESEVWGPGAQEPEVAMCRTEVHSDCRHEKEGKRPREETKATKKI LSPEAGPMEPRPSFASPSCNLELLFKNSQQGHPTVAVHNPILKADQRHGCHVCGKSFAHQ SKLVEHLYTHTGEKPFQCPDCDKYFGRASSLSMHRAIHRGERPHQCPDCGKSFTQRSTLV AHMYTHTGEKPFHCPDCNKSFSRPSSLSSHRAIHRGERPHCCSDCGRAFTHRSGLIAHLR VHTGEKPYCCADCGRCFSQSSGLREHQRVVHSGVTPFTCTHCGRAFARAAYLQCHMRTHT GEKPYSCPDCGRCFRQSSDMAAHRRTHSGERPYPCPQCGRRFPTKSAVTKHQWVHRPGAK GHKDKKFSQLSISLDPSQEDPDPPVGFQHYPEIFQECGGWS >ENSMUSP00000073867.6 pep:known chromosome:GRCm38:7:127390907:127393629:-1 gene:ENSMUSG00000078580.3 transcript:ENSMUST00000074249.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E430018J23Rik description:RIKEN cDNA E430018J23 gene [Source:MGI Symbol;Acc:MGI:2141981] MAPRMAPERASAWPAFLKPGTVSFADVAVYFSPEEWRCLRPAQRTLYREKSGATNQRSSA GWRKSPKCGDPVPRSQRWPCAGQKSTQIAGTRRKGRDQGKRPRQPRRYFLQKLGLWSHAP PLLPLLATWSCFSRTLSRGTPLLRCTTLS >ENSMUSP00000101910.2 pep:known chromosome:GRCm38:7:127392419:127393620:-1 gene:ENSMUSG00000078580.3 transcript:ENSMUST00000106303.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E430018J23Rik description:RIKEN cDNA E430018J23 gene [Source:MGI Symbol;Acc:MGI:2141981] MAPRMAPERASAWPAFLKPGTVSFADVAVYFSPEEWRCLRPAQRTLYREVMRETYGLLAS LVGGDKPALICWVEEESEVWGPGAQEPEVAMCRTEVHSDCRHEKEGKRPREETKATKKIL SPEAGPMEPRP >ENSMUSP00000069432.7 pep:known chromosome:GRCm38:5:115565254:115622654:1 gene:ENSMUSG00000041638.18 transcript:ENSMUST00000064454.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcn1l1 description:GCN1 general control of amino-acid synthesis 1-like 1 (yeast) [Source:MGI Symbol;Acc:MGI:2444248] MAADTQVSETLKRFAVKVTTASVKERREILSELGRCIAGKDLPEGAVKGLCKLFCLTLHR YRDAASRRALQAAIQQLAEAQPEATAKNLLHSLQSSGVGSKACVPSKSSGSAALLALTWT CLLVRIVFPLKAKRQGDIWNKLVEVQCLLLLEVLGGSHKHAVDGAVKKLTKLWKENPGLV EQYFSAILSLEPSQNYAAMLGLLVQFCTNHKEMDAVSQHKSTLLEFYVKNILMSKAKPPK YLLDNCAPLLRFMSHSEFKDLILPTIQKSLLRSPENVIETISSLLASVTLDLSQYALDIV KGLANQLKSNSPRLMDEAVLALRNLARQCSDSSATEALTKHLFAILGGSEGKLTIIAQKM SVLSGIGSLSHHVVSGPSGQVLNGCVAELFIPFLQQEVHEGTLVHAVSILALWCNRFTTE VPKKLTDWFKKVFSLKTSTSAVRHAYLQCMLASFRGDTLLQALDFLPLLMQTVEKAASQG TQVPTVTEGVAAALLLSKLSVADAQAEAKLSGFWQLVVDEKRQTFTSEKFLLLASEDALC TVLRLTERLFLDHPHRLTNSKVQQYYRVLVAVLLSRTWHVRRQAQQTVRKLLSSLGGVKL ANGLLDELKTVLNSHKVLPLEALVTDAGEVTEMGKTYVPPRVLQEALCVISGVPGLKGDI PSTEQLAQEMLIISHHPSLVAVQSGLWPALLTRMKIDPDAFITRHLDQIIPRITTQSPLN QSSMNAMGSLSVLSPDRVLPQLISTITASVQNPALCLVTREEFSIMQTPAGELFDKSIIQ SAQQDSIKKANMKRENKAYSFKEQIIEMELKEEIKKKKGIKEEVQLTSKQKEMLQAQMDK EAQIRRRLQELDGELEAALGLLDAIMARNPCGLIQYIPVLVDAFLPLLKSPLAAPRVKGP FLSLAACVMPPRLKTLGTLVSHVTLRLLKPECALDKSWCQEELPVAVRRAVSLLHTHTIP SRVGKGEPDAAPLSAPAFSLVFPMLKMVLTEMPYHSEEEEEQMAQILQILTVHAQLRASP DTPPERVDENGPELLPRVAMLRLLTWVIGTGSPRLQVLASDTLTALCASSSGEDGCAFAE QEEVDVLLAALQSPCASVRETALRGLMELRLVLPSPDTDEKSGLSLLRRLWVIKFDKEDE IRKLAERLWSTMGLDLQSDLCSLLIDDVIYHEAAVRQAGAEALSQAVARYQRQAAEVMGR LMEIYQEKLYRPPPVLDALGRVISESPPDQWEARCGLALALNKLSQYLDSSQVKPLFQFF VPDALNDRNPDVRKCMLDAALATLNAHGKENVNSLLPVFEEFLKDAPNDASYDAVRQSVV VLMGSLAKHLDKSDPKVKPIVAKLIAALSTPSQQVQESVASCLPPLVPAVKEDAGGMIQR LMQQLLESDKYAERKGAAYGLAGLVKGLGILSLKQQEMMAALTDAIQDKKNFRRREGALF AFEMLCTMLGKLFEPYVVHVLPHLLLCFGDGNQYVREAADDCAKAVMSNLSAHGVKLVLP SLLAALEEESWRTKAGSVELLGAMAYCAPKQLSSCLPNIVPKLTEVLTDSHVKVQKAGQQ ALRQIGSVIRNPEILAIAPVLLDALTDPSRKTQKCLQTLLDTKFVHFIDAPSLALIMPIV QRAFQDRSTDTRKMAAQIIGNMYSLTDQKDLAPYLPSVTPGLKASLLDPVPEVRTVSAKA LGAMVKGMGESCFEDLLPWLMETLTYEQSSVDRSGAAQGLAEVMAGLGVEKLEKLMPEIV ATASKVDIAPHVRDGYIMMFNYLPITFGDKFTPYVGPIIPCILKALADENEFVRDTALRA GQRVISMYAETAIALLLPQLEQGLFDDLWRIRFSSVQLLGDLLFHISGVTGKMTTETASE DDNFGTAQSNKAIITALGVDRRNRVLAGLYMGRSDTQLVVRQASLHVWKIVVSNTPRTLR EILPTLFGLLLGFLASTCADKRTIAARTLGDLVRKLGEKILPEIIPILEEGLRSQKSDER QGVCIGLSEIMKSTSRDAVLFFSESLVPTARKALCDPLEEVREAAAKTFEQLHSTIGHQA LEDILPFLLKQLDDEEVSEFALDGLKQVMAVKSRVVLPYLVPKLTTPPVNTRVLAFLSSV AGDALTRHLGVILPAVMLALKEKLGTPDEQLEMANCQAVILSVEDDTGHRIIIEDLLEAT RSPEVGMRQAAAIILNMYCSRSKADYSSHLRSLVSGLIRLFNDSSPVVLEESWDALNAIT KKLDAGNQLALIEELHKEIRFIGNECKGEHVPGFCLPKRGVTSILPVLREGVLTGSPEQK EEAAKGLGLVIRLTSADALRPSVVSITGPLIRILGDRFNWTVKAALLETLSLLLGKVGIA LKPFLPQLQTTFTKALQDSNRGVRLKAADALGKLISIHVKVDPLFTELLNGIRAVEDPGI RDTMLQALRFVIQGAGSKVDAAIRKNLVSLLLSMLGHDEDNTRISTAGCLGELCAFLTDE ELNTVLQQCLLADVSGIDWMVRHGRSLALSVAVNVAPSRLCAGRYSNEVQDMILSNAVAD RIPIAMSGIRGMGFLMKYHIETGSGQLPPRLSSLLIKCLQNPCSDIRLVAEKMIWWANKE PRPPLEPQTIKPILKALLDNTKDKNTVVRAYSDQAIVNLLKMRRGEELLQSLSKILDVAS LEALNECSRRSLRKLACQADSVEQVDDTILT >ENSMUSP00000041683.8 pep:known chromosome:GRCm38:1:83012523:83038448:-1 gene:ENSMUSG00000038496.16 transcript:ENSMUST00000045560.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a3 description:solute carrier family 19, member 3 [Source:MGI Symbol;Acc:MGI:1931307] MDSSCRTPPSNSWVYPTVILCLFGFFSMFRPSEAFLIPFLSEPSKNLTSPEMTNEILPVW TYSYLATLPPVFVLTDYLRYKPVIMLHVVAFATSYLFLLFGQGVMLMQTAEFFFGVVSAT EIAYFAYIYSMVSPEHYQKVSSYCRSITLVAYTAGSVLAQLLVSLTNLPYSSLFYISLAC VSVAFFFSLFLPMPKKSMFFHAKSDRDDCPKPLEQCTVPKEAQSNRTHSELFANSKNLED REMSNPDPENSALRHFAHWFQDLKECYSSKHLVYWSLWWAFATAGYNQILNYVQVLWEHK APSQDSSIYNGAVEAIATFGGALASFSVGYLKVNWDLLGELGLAVFSAVIAGSLFLMNYS RSIWVCYAGYLLVKSSYSFLITIAVFQIAVNLSLERYALVFGIDTFIALVIQTIMTMIVV DQRGLQLPVTTQFLVYGSYFAVIAGVFLMRSIYILCSAKCRKEVQNLATTRSPNEPHPQE PSNVSTKF >ENSMUSP00000126646.1 pep:known chromosome:GRCm38:1:83013800:83038431:-1 gene:ENSMUSG00000038496.16 transcript:ENSMUST00000164473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a3 description:solute carrier family 19, member 3 [Source:MGI Symbol;Acc:MGI:1931307] MDSSCRTPPSNSWVYPTVILCLFGFFSMFRPSEAFLIPFLSEPSKNLTSPEMTNEILPVW TYSYLATLPPVFVLTDYLRYKPVIMLHVVAFATSYLFLLFGQGVMLMQTAEFFFGVVSAT EIAYFAYIYSMVSPEHYQKVSSYCRSITLVAYTAGSVLAQLLVSLTNLPYSSLFYISLAC VSVAFFFSLFLPMPKKSMFFHAKSDRDDCPKPLEQCTVPKEAQSNRTHSELFANSKNLED REMSNPDPENSALRHFAHWFQDLKECYSSKHLVYWSLWWAFATAGYNQILNYVQVLWEHK APSQDSSIYNGAVEAIATFGGALASFSVGYLKVNWDLLGELGLAVFSAVIAGSLFLMNYS RSIWVCYAGYLLVKSSYSFLITIAVFQIAVNLSLERYALVFGIDTFIALVIQTIMTMIVV DQRGLQLPVTTQFLVYGSYFAVIAGVFLMRSIYILCSAKCRKEVQNLATTRSPNEPHPQE PSNVSTKF >ENSMUSP00000103080.2 pep:known chromosome:GRCm38:7:73740302:73776919:1 gene:ENSMUSG00000078670.3 transcript:ENSMUST00000107456.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam174b description:family with sequence similarity 174, member B [Source:MGI Symbol;Acc:MGI:3698178] MSALPPQPPPPLLLLLLALLAAPAALARRAESASASQPEAEHQPPPGPGNATQLGSGMAG GGSSNSSVDAVVTRISSLLRDLPTLKATVIVACAFSALLIACLLLRVFRLGKRLKKTRKY DIITTPAERVEMAPLNEEDDEDEDSTVFDIKYR >ENSMUSP00000145753.1 pep:known chromosome:GRCm38:7:73765872:73775157:1 gene:ENSMUSG00000078670.3 transcript:ENSMUST00000205946.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam174b description:family with sequence similarity 174, member B [Source:MGI Symbol;Acc:MGI:3698178] XSCGLWVSHQLGKRLKKTRKYDIITTPAERVEMAPLNEEDDEDEDSTVFDIKYR >ENSMUSP00000099853.4 pep:known chromosome:GRCm38:16:49855618:49915010:1 gene:ENSMUSG00000055447.18 transcript:ENSMUST00000084838.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd47 description:CD47 antigen (Rh-related antigen, integrin-associated signal transducer) [Source:MGI Symbol;Acc:MGI:96617] MWPLAAALLLGSCCCGSAQLLFSNVNSIEFTSCNETVVIPCIVRNVEAQSTEEMFVKWKL NKSYIFIYDGNKNSTTTDQNFTSAKISVSDLINGIASLKMDKRDAMVGNYTCEVTELSRE GKTVIELKNRTAFNTDQGSACSYEEEKGGCKLVSWFSPNEKILIVIFPILAILLFWGKFG ILTLKYKSSHTNKRIILLLVAGLVLTVIVVVGAILLIPGEKPVKNASGLGLIVISTGILI LLQYNVFMTAFGMTSFTIAILITQVLGYVLALVGLCLCIMACEPVHGPLLISGLGIIALA ELLGLVYMKFVASNQRTIQPPRNR >ENSMUSP00000110140.1 pep:known chromosome:GRCm38:16:49867968:49911081:1 gene:ENSMUSG00000055447.18 transcript:ENSMUST00000114496.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd47 description:CD47 antigen (Rh-related antigen, integrin-associated signal transducer) [Source:MGI Symbol;Acc:MGI:96617] MDKRDAMVGNYTCEVTELSREGKTVIELKNRTVSWFSPNEKILIVIFPILAILLFWGKFG ILTLKYKSSHTNKRIILLLVAGLVLTVIVVVGAILLIPGEKPVKNASGLGLIVISTGILI LLQYNVFMTAFGMTSFTIAILITQVLGYVLALVGLCLCIMACEPVHGPLLISGLGIIALA ELLGLVYMKFVASNQRTIQPPRNR >ENSMUSP00000103604.1 pep:known chromosome:GRCm38:7:43768897:43773585:1 gene:ENSMUSG00000044430.11 transcript:ENSMUST00000107970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk12 description:kallikrein related-peptidase 12 [Source:MGI Symbol;Acc:MGI:1916761] MRFSILLLLCAVGLSQADREKIYNGVECVKNSQPWQVGLFHGKYLRCGGVLVDRKWVLTA AHCRDKYVVRLGEHSLTKLDWTEQLRHTTFSITHPSYQGAYQNHEHDLRLLRLNRPIHLT RAVRPVALPSSCVTTGAMCHVSGWGTTNKPWDPFPDRLQCLNLSTVSNETCRAVFPGRVT ENMLCAGGEAGKDACQGDSGGPLVCGGVLQGLVSWGSVGPCGQKGIPGVYTKVCKYTDWI RIVIRNN >ENSMUSP00000014063.4 pep:known chromosome:GRCm38:7:43769100:43773475:1 gene:ENSMUSG00000044430.11 transcript:ENSMUST00000014063.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk12 description:kallikrein related-peptidase 12 [Source:MGI Symbol;Acc:MGI:1916761] MRFSILLLLCAVGLSQADREKIYNGVECVKNSQPWQVGLFHGKYLRCGGVLVDRKWVLTA AHCRDKYVVRLGEHSLTKLDWTEQLRHTTFSITHPSYQGAYQNHEHDLRLLRLNRPIHLT RAVRPVALPSSCVTTGAMCHVSGWGTTNKPWDPFPDRLQCLNLSTVSNETCRAVFPGRVT ENMLCAGGEAGKDACQGDSGGPLVCGGVLQGLVSWGSVGPCGQKGIPGVYTKVCKYTDWI RIVIRNN >ENSMUSP00000026893.4 pep:known chromosome:GRCm38:9:123034741:123067561:1 gene:ENSMUSG00000025787.5 transcript:ENSMUST00000026893.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgm4 description:transglutaminase 4 (prostate) [Source:MGI Symbol;Acc:MGI:3027002] MDSRNVLIIYAVNVERKLNAAAHHTSEYQTKKLVLRRGQIFTLKVILNRPLQPQDELKVT FTSGQRDPPYMVELDPVTSYRSKGWQVKIAKQSGVEVILNVISAADAVVGRYKMRVNEYK AGVFYLLFNPWCSDDSVFMASEEERAEYILNDTGYMYMGFAKQIKEKPWTFGQFEKHILS CCFNLLFQLENNEMQNPVLVSRAICTMMCAANGGVLMGNWTGDYADGTAPYVWTSSVPIL QQHYVTRMPVRYGQCWVFSGILTTALRAVGIPARSVTNFESAHDTEKNLTVDIYLDESGK TIPHLTKDSVWNFHVWTDAWMKRQDLPHGYDGWQVLDSTPQEISDGGFRTGPSPLTAIRQ GLIQMKYDTTFVFTEVNGDKFIWLVKQNQEREKNILIAVETASIGKKISTKMVGENRRED ITLQYKFPEGSPEERKVMAKASGKPSDDKLNSRTLNNSLQISVLQNSLELGAPIYLTITL KRKTATPQNVNISCSLNLQTYTGNKKTNLGVIQKTVQIHGQESRVFLTMDASYYIYKLGM VDDEMVIGGFIIAEIVDSGERVATDTTLCFLYSAFSVEMPSTGKVKQPLVITSKFTNTLP IPLTNIKFSVESLGLANMKSWEQETVPPGKTITFQMECTPVKAGPQKFIVKFISRQVKEV HAEKVVLISK >ENSMUSP00000046910.5 pep:known chromosome:GRCm38:3:144570426:144597680:1 gene:ENSMUSG00000037072.15 transcript:ENSMUST00000082437.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sep15 description:selenoprotein 15 [Source:MGI Symbol;Acc:MGI:1927947] MAAGQGGWLRPALGLRLLLATAFQAASALGAEFASEACRELGFSSNLLCSSCDLLGQFNL LPLDPVCRGCCQEEAQFETKKLYAGAILEVCGUKLGRFPQVQAFVRSDKPKLFRGLQIKY VRGSDPVLKLLDDNGNIAEELSILKWNTDSVEEFLSEKLERI >ENSMUSP00000101817.1 pep:known chromosome:GRCm38:3:144570693:144597661:1 gene:ENSMUSG00000037072.15 transcript:ENSMUST00000106211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sep15 description:selenoprotein 15 [Source:MGI Symbol;Acc:MGI:1927947] MAAGQGGWLRPALGLRLLLATAFQAASALGAEFASEACRELGFSSNLLCSSCDLLGQFNL LPLDPVCRGCCQEEAQFETKKLYAGAILEVCGUKLGRFPQVQVCSRLRPCTKAFGRQREH C >ENSMUSP00000142750.1 pep:known chromosome:GRCm38:3:144577652:144595432:1 gene:ENSMUSG00000037072.15 transcript:ENSMUST00000151086.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sep15 description:selenoprotein 15 [Source:MGI Symbol;Acc:MGI:1927947] LCSSCDLLGQFNLLPLDPVCRGCCQEEAQFETKKLYAGAILEVCG >ENSMUSP00000093077.4 pep:known chromosome:GRCm38:17:29332072:29347904:-1 gene:ENSMUSG00000024012.17 transcript:ENSMUST00000095427.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtch1 description:mitochondrial carrier homolog 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1929261] MGASDPEVAPWAPGGAAGMAGAGAGAGARGGAPAGVEARARDPPPAHRAHPRHPRPAAQP SARRMDGGPGAPGSGDNAPTTEALFVALGAGVTALSHPLLYVKLLIQVGHEPMPPTLGTN VLGRKVLYLPSFFTYAKYIVQVDGKIGLFRGLSPRLMSNALSTVTRGSMKKVFPPDEMEQ VSNKDDMKTSLKKVVKETSYEMMMQCVSRMLAHPLHVISMRCMVQFVGREAKYSGVLSSI GKIFKEEGLLGFFVGLIPHLLGDVVFLWGCNLLAHFINAYLVDDSVSDTPGGLGNDQNPG SQFSQALAIRSYTKFVMGIAVSMLTYPFLLVGDLMAVNNCGLRAGLPPYSPVFKSWIHCW KYLSVQGQLFRGSSLLFRRVSSGSCFALE >ENSMUSP00000113021.1 pep:known chromosome:GRCm38:17:29332076:29347904:-1 gene:ENSMUSG00000024012.17 transcript:ENSMUST00000118366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtch1 description:mitochondrial carrier homolog 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1929261] MGASDPEVAPWAPGGAAGMAGAGAGAGARGGAPAGVEARARDPPPAHRAHPRHPRPAAQP SARRMDGGPGAPGSGDNAPTTEALFVALGAGVTALSHPLLYVKLLIQVGHEPMPPTLGTN VLGRKVLYLPSFFTYAKYIVQVDGKIGLFRGLSPRLMSNALSTVTRGSMKKVFPPDEMEQ VSNKDDMKTSLKKVVKETSYEMMMQCVSRMLAHPLHVISMRCMVQFVGREAKYSGVLSSI GKIFKEEGLLGFFVGLIPHLLGDVVFLWGCNLLAHFINAYLVDDSFSQALAIRSYTKFVM GIAVSMLTYPFLLVGDLMAVNNCGLRAGLPPYSPVFKSWIHCWKYLSVQGQLFRGSSLLF RRVSSGSCFALE >ENSMUSP00000115027.1 pep:known chromosome:GRCm38:17:29339832:29347172:-1 gene:ENSMUSG00000024012.17 transcript:ENSMUST00000153658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtch1 description:mitochondrial carrier homolog 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1929261] MPPTLGTNVLGRKVLYLPSFFTYAKYIVQVDGKIGLFRGLSPRLMSNALSTVTRGSMKKV FPPDEMEQVSNKDDMKTSLKKVVKETSYEMMMQCVSRMLAHPLHVISM >ENSMUSP00000052088.2 pep:known chromosome:GRCm38:1:42695768:42703176:1 gene:ENSMUSG00000045515.3 transcript:ENSMUST00000054883.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou3f3 description:POU domain, class 3, transcription factor 3 [Source:MGI Symbol;Acc:MGI:102564] MATAASNPYLPGNSLLTAGSIVHSDAAGAGGGGGGGGGGGGGAGGGGGGMQPGSAAVTSG AYRGDPSSVKMVQSDFMQGAMAASNGGHMLSHAHQWVTALPHAAAAAAAAAAAAVEASSP WSGSAVGMAGSPQQPPQPPPPPPQGPDVKGGAGREDLHAGTALHHRGPPHLGPPPPPPHQ GHPGGWGAAAAAAAAAAAAAAAAHLPSMAGGQQPPPQSLLYSQPGGFTVNGMLSAPPGPG GGGGGAGGGAQSLVHPGLVRGDTPELAEHHHHHHHHAHPHPPHPHHAQGPPHHGGGGAGP GLNSHDPHSDEDTPTSDDLEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRF EALQLSFKNMCKLKPLLNKWLEEADSSTGSPTSIDKIAAQGRKRKKRTSIEVSVKGALES HFLKCPKPSAQEITNLADSLQLEKEVVRVWFCNRRQKEKRMTPPGIQQQTPDDVYSQVGT VSADTPPPHHGLQTSVQ >ENSMUSP00000018993.6 pep:known chromosome:GRCm38:11:35838400:35980527:-1 gene:ENSMUSG00000018849.6 transcript:ENSMUST00000018993.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwc1 description:WW, C2 and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:2388637] MPRPELPLPEGWEEARDFDGKVYYIDHRNRTTSWIDPRDRYTKPLTFADCISDELPLGWE EAYDPQVGDYFIDHNTKTTQIEDPRVQWRREQEHMLKDYLVVAQEALSAQKEIYQVKQQR LELAQQEYQQLHAVWEHKLGSQVSLVSGSSSSSKYDPEILKAEIATAKSRVNKLKREMVH LQHELQFKERGFQTLKKIDERMSDAQGGYKLDEAQAVLRETKAIKKAITCGEKEKQDLIK SLAMLKDGFRTDRGSHSDLWSSSSSLESSSFPMPKQFLDVSSQTDISGSFSTSSNNQLAE KVRLRLRYEEAKRRIANLKIQLAKLDSEAWPGVLDSERDRLILINEKEELLKEMRFISPR KWTQGEVEQLEMARRRLEKDLQAARDTQSKALTERLKLNSKRNQLVRELEEATRQVATLH SQLKSLSSSMQSLSSGSSPGSLTSSRGSLAASSLDSSTSASFTDLYYDPFEQLDSELQSK VELLFLEGATGFRPSGCITTIHEDEVAKTQKAEGGSRLQALRSLSGTPRSMTSLSPRSSL SSPSPPCSPLITDPLLTGDAFLAPLEFEDTELSTTLCELNLGGSGTQERYRLEEPGPEGK PLGQAASVAPGCGLKVACVSAAVSDESVAGDSGVYEASAQRPGTSEAAAFDSDESEAVGA TRVQIALKYDEKNKQFAILIIQLSHLSALSLQQDQKVNIRVAILPCSESSTCLFRTRPLD SANTLVFNEAFWVSISYPALHQKTLRVDVCTTDRSHTEECLGGAQISLAEVCRSGERSTR WYNLLSYKYLKKQCREPQPTEAPGPDHVDAVSALLEQTAVELEKRQEGRSSSQTLEGSWT YEEEASENEAVAEEEEEGEEDVFTEKVSPEAEECPALKVDRETNTDSVAPSPTVVRPKDR RVGAPSTGPFLRGNTIIRSKTFSPGPQSQYVCRLNRSDSDSSTLSKKPPFVRNSLERRSV RMKRPSSVKSLRTERLIRTSLDLELDLQATRTWHSQLTQEISVLKELKEHLEQAKNHGEK ELPQWLREDERFRLLLRMLEKKVDRGEHKSELQADKMMRAAAKDVHRLRGQSCKEPPEVQ SFREKMAFFTRPRMNIPALSADDV >ENSMUSP00000113501.1 pep:known chromosome:GRCm38:9:70012550:70022866:1 gene:ENSMUSG00000033543.17 transcript:ENSMUST00000118198.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2a2 description:general transcription factor II A, 2 [Source:MGI Symbol;Acc:MGI:1933289] MAYQLYRNTTLGNSLQESLDELIQSQQITPQLALQVLLQFDKAINSALAQRVRNRVNFRG SLNTYRFCDNVWTFVLNDVEFREVTELIKVDKVKIVACDGKNTGSNTTE >ENSMUSP00000113697.1 pep:known chromosome:GRCm38:9:70012571:70022737:1 gene:ENSMUSG00000033543.17 transcript:ENSMUST00000119413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2a2 description:general transcription factor II A, 2 [Source:MGI Symbol;Acc:MGI:1933289] MAYQLYRNTTLGNSLQESLDELIQSQQITPQLALQVLLQFDKAINSALAQRVRNRVNFRG SLNTYRFCDNVWTFVLNDVEFREVTELIKVDKVKIVACDGKNTGSNTTE >ENSMUSP00000112251.1 pep:known chromosome:GRCm38:9:70012566:70022738:1 gene:ENSMUSG00000033543.17 transcript:ENSMUST00000116552.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2a2 description:general transcription factor II A, 2 [Source:MGI Symbol;Acc:MGI:1933289] MAYQLYRNTTLGNSLQESLDELIQSQQITPQLALQVLLQFDKAINSALAQRVRNRVNFRK IWVWFPAPMSGGHNYP >ENSMUSP00000121840.1 pep:known chromosome:GRCm38:9:70012643:70022738:1 gene:ENSMUSG00000033543.17 transcript:ENSMUST00000140265.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2a2 description:general transcription factor II A, 2 [Source:MGI Symbol;Acc:MGI:1933289] MAYQLYRNTTLGNSLQESLDELIQSQQITPQLALQVLLQFDKAINSALAQRVRNRVNFRN CF >ENSMUSP00000116962.1 pep:known chromosome:GRCm38:9:70012574:70019474:1 gene:ENSMUSG00000033543.17 transcript:ENSMUST00000140305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2a2 description:general transcription factor II A, 2 [Source:MGI Symbol;Acc:MGI:1933289] MAYQLYRNTTLGNSLQESLDELIQSQQITPQLALQVLLQFDKAINSALAQRVRNRVNFRG SLNTYRFCDNVWTFVLNDVEFREVTEL >ENSMUSP00000113109.1 pep:known chromosome:GRCm38:9:70012595:70019486:1 gene:ENSMUSG00000033543.17 transcript:ENSMUST00000122087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2a2 description:general transcription factor II A, 2 [Source:MGI Symbol;Acc:MGI:1933289] MAYQLYRNTTLGNSLQESLDELIQSQQITPQLALQVLLQFDKAINSALAQRVRNRVNFRG SLNTYRFCDNVWTFVLNDVEFREVTELIKVD >ENSMUSP00000113061.1 pep:known chromosome:GRCm38:9:70012565:70022737:1 gene:ENSMUSG00000033543.17 transcript:ENSMUST00000119905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2a2 description:general transcription factor II A, 2 [Source:MGI Symbol;Acc:MGI:1933289] MAYQLYRNTTLGNSLQESLDELIQSQQITPQLALQVLLQFDKAINSALAQRVRNRVNFRI LARILRNE >ENSMUSP00000030384.4 pep:known chromosome:GRCm38:4:120161206:120167360:1 gene:ENSMUSG00000028635.7 transcript:ENSMUST00000030384.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edn2 description:endothelin 2 [Source:MGI Symbol;Acc:MGI:95284] MVSAWCSIALALLLALHEGKGQAAATLEQPASAPKGRGPHLRFRRCSCNSWLDKECVYFC HLDIIWVNTAGQTAPYGLGNPPRRRRRSLPKRCECSTAGDSACATFCHRRHWPEAVVAPS SQAPAAVLKTGKMWTAEGDLLRKLRDISATKLRFARLQPEVTRKAIPAYSRWRKR >ENSMUSP00000048036.8 pep:known chromosome:GRCm38:11:101119938:101126419:1 gene:ENSMUSG00000035198.9 transcript:ENSMUST00000043680.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubg1 description:tubulin, gamma 1 [Source:MGI Symbol;Acc:MGI:101834] MPREIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHY IPRAVLLDLEPRVIHSILNSSYAKLYNPENIYLSEHGGGAGNNWASGFSQGEKIHEDIFD IIDREADGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPNQDEMSD VVVQPYNSLLTLKRLTQNADCVVVLDNTALNLIATDRLHIQNPSFSQINQLVSTIMSAST TTLRYPGYMNNDLIGLIASLIPTPRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQP KNVMVSTGRDRQTNHCYIAILNIIQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVAL SRKSPYLPSAHRVSGLMMANHTSISSLFERTCRQFDKLRKREAFMEQFRKEDIFKDNFDE MDTSREIVQQLIDEYHAATRPDYISWGTQEQ >ENSMUSP00000100671.2 pep:known chromosome:GRCm38:11:99984710:99986597:-1 gene:ENSMUSG00000078253.3 transcript:ENSMUST00000105050.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap16-1 description:keratin associated protein 16-1 [Source:MGI Symbol;Acc:MGI:3650326] MSGCCCSRKCPSLPAISLCSTEVSCGGPVCLPSSCRSQTWQLVTCEDSCGSSGCGSQCCQ PSCSVSSCCQPVCCEATICEPSCSVSSCAQPVCCEATICEPSCSMGSCCQPVCCEATICE PSCSVSTCAQPVCCEATMCQPSCSVSSCQPVCCETSSCQPVLCLPATCQPVICKPCCCQP VICEPSCCSAVCAVPASCQPMICEPVVCEPACCQPVCPTPSCCPSVCSAASSCQPVGCET SPCEPPCSEASACQPSACMALVCEPVCLRPVCCVQGPCEPPCVSSSCQDSSCCVSSICQP VCPEPSPCLPSVCVPTPCQPSCYIVKRCRSVSCEPISCPSPSCQPACCRPGSSASAICQP ACPPRTFYIPSSCKPPCSPVSCRPICRPICSGPITFRQPYVTSITYRPACYRSCYSILRR PTCLASYSYRPVCSRQPCTDSDNDKCDSKKPTSSQPDCADSTPVKTEVSDETPCQPSEIK PASPITREAAAPQPAASKPADR >ENSMUSP00000030480.3 pep:known chromosome:GRCm38:4:115563698:115579013:1 gene:ENSMUSG00000028712.12 transcript:ENSMUST00000030480.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a31 description:cytochrome P450, family 4, subfamily a, polypeptide 31 [Source:MGI Symbol;Acc:MGI:3028580] MSVSALSLTRFAGSLSGFLQVASVFCLLLLLVKAVQVYLHRKWLLKALQQFPSPPFHWFF GHEQFKGDQELQEIVSCIENFPSAFPRWFWGSKAYLTVYDPDYMKVILGRSGYGLLLLNG QSWFQHRKMLTPAFHYDILKTYVKNMADSIRLMLDKWERLAGQDSSIEIFQHISLMTLDT VMKCAFSHKGSVQVDGNYKTYLQAIGDLNNLVHSRVRNMFHQNDTIYKLSSNGRLSNQAC QLAHDHTDGVIKMRKDQLQDEGELENIKKKRRLDFLDILLFARMENEDSMSDKDLRAEVD TFMIEGHDTTASGVSWIFYALATHPEHQQRCREEVQSLLGDGSSITWDHLDQIPYTTMCI KEALRLYPPVPSIGRELSTSVTFPDGCSLPKGVQVTLSIYGLHHNPKVWPNPEVCTRLSP TQPLIPALLRRSQELHWETICYE >ENSMUSP00000117129.1 pep:known chromosome:GRCm38:4:115563684:115571088:1 gene:ENSMUSG00000028712.12 transcript:ENSMUST00000126645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a31 description:cytochrome P450, family 4, subfamily a, polypeptide 31 [Source:MGI Symbol;Acc:MGI:3028580] MSVSALSLTRFAGSLSGFLQVASVFCLLLLLVKAVQVYLHRKWLLKALQQFPSPPFHWFF GHEFKGDQELQEIVSCIENFPSAFPRWFWGSKAYLTVYDPDYMKVILGRSDPKANGAYRL LAPWIGYGLLLLNGQSWFQHRKMLTPAFHYDILKTYVKNMADSIRLMLDKWERLAGQDSS IEIFQHISLMTLDTVMKCAFSHKGSVQVDGNYKTYLQAIGDLNNLVHSRVRNMFHQNDTI YKLSSNGRLSNQACQLAHDHTDGVIKMRKDQLQDEGELENIKKKRRLDFLDILLFARMEN EDSMSDKDLRAEVDTFMIEGHDTTASGVSWIFYALATHPE >ENSMUSP00000115628.1 pep:known chromosome:GRCm38:4:115563649:115566565:1 gene:ENSMUSG00000028712.12 transcript:ENSMUST00000141033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a31 description:cytochrome P450, family 4, subfamily a, polypeptide 31 [Source:MGI Symbol;Acc:MGI:3028580] MSVSALSLTRFAGSLSGFLQVASVFCLLLLLVKAVQVYLHRKWLLKALQQFPSPPFHWFF GHEQFKGDQELQEIVSCIENFPSAFPRWFWGSKAYLTVYDPDYMKVILGRSDPKANGAYR LLAPWIGYGLLLLNGQSWFQHRKMLTPAFHYDILK >ENSMUSP00000030486.8 pep:known chromosome:GRCm38:4:115563649:115579015:1 gene:ENSMUSG00000028712.12 transcript:ENSMUST00000030486.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a31 description:cytochrome P450, family 4, subfamily a, polypeptide 31 [Source:MGI Symbol;Acc:MGI:3028580] MSVSALSLTRFAGSLSGFLQVASVFCLLLLLVKAVQVYLHRKWLLKALQQFPSPPFHWFF GHEQFKGDQELQEIVSCIENFPSAFPRWFWGSKAYLTVYDPDYMKVILGRSDPKANGAYR LLAPWIGYGLLLLNGQSWFQHRKMLTPAFHYDILKTYVKNMADSIRLMLDKWERLAGQDS SIEIFQHISLMTLDTVMKCAFSHKGSVQVDGNYKTYLQAIGDLNNLVHSRVRNMFHQNDT IYKLSSNGRLSNQACQLAHDHTDGVIKMRKDQLQDEGELENIKKKRRLDFLDILLFARME NEDSMSDKDLRAEVDTFMIEGHDTTASGVSWIFYALATHPEHQQRCREEVQSLLGDGSSI TWDHLDQIPYTTMCIKEALRLYPPVPSIGRELSTSVTFPDGCSLPKGVQVTLSIYGLHHN PKVWPNPEVFDPSRFAPDSPRHSHSFLPFSGGARNCIGKQFAMSELKVIVALTLLRFELL PDPTRVPMSLARFVLKSKNGIYLHLKKVH >ENSMUSP00000113417.1 pep:known chromosome:GRCm38:6:41852989:41864413:1 gene:ENSMUSG00000014104.12 transcript:ENSMUST00000120605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sval2 description:seminal vesicle antigen-like 2 [Source:MGI Symbol;Acc:MGI:1934254] MIVSPMKGKNEFTVTLQVKNNVGQCMVVKVSTVMNPSIKYLSAHAIYTSCLCSANKYFWD IQVSDNTALQGKAEVVPAKSICPDDEKIFPVTSYVETATQKIIVS >ENSMUSP00000014248.4 pep:known chromosome:GRCm38:6:41860239:41864372:1 gene:ENSMUSG00000014104.12 transcript:ENSMUST00000014248.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sval2 description:seminal vesicle antigen-like 2 [Source:MGI Symbol;Acc:MGI:1934254] MASLQMFYQPGALMHLLILCLFLETPYGQENWNNPLSLDMIVSPMKGKNEFTVTLQVKNN VGQCMVVKVSTVMNPSIKYLSAHAIYTSCLCSANKYFWDIQVSDNTALQGKAEVVPAKSI CPDDEKIFPVTSYVETATQKIIVS >ENSMUSP00000113701.1 pep:known chromosome:GRCm38:6:41861792:41864372:1 gene:ENSMUSG00000014104.12 transcript:ENSMUST00000119995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sval2 description:seminal vesicle antigen-like 2 [Source:MGI Symbol;Acc:MGI:1934254] MIWCFYLFYSYRNNPLSLDMIVSPMKGKNEFTVTLQVKNNVGQCMVVKVSTVMNPSIKYL SAHAIYTSCLCSANKYFWDIQVSDNTALQGKAEVVPAKSICPDDEKIFPVTSYVETATQK IIVS >ENSMUSP00000141407.1 pep:known chromosome:GRCm38:2:34406771:34497716:1 gene:ENSMUSG00000038696.14 transcript:ENSMUST00000149383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkap1 description:mitogen-activated protein kinase associated protein 1 [Source:MGI Symbol;Acc:MGI:2444554] MAFLDNPTIILAHIRQSHVTSDDTGMCEMVLIDHDVDLEKTHPPSVPGDSGSEVQGSSGE TQGYIYAQSVDITSSWDFGIRRRSNTAQRLERLRKERQNQIKCKNIQWKERNSKQSAQEL KSLFEKKSLKEKPPSSGKQSILSVRLEQCPLQLNNPFNEYSKFDGKGHVGT >ENSMUSP00000123301.1 pep:known chromosome:GRCm38:2:34406862:34623475:1 gene:ENSMUSG00000038696.14 transcript:ENSMUST00000124443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkap1 description:mitogen-activated protein kinase associated protein 1 [Source:MGI Symbol;Acc:MGI:2444554] MTVVTMASARVQDLIGLICWQYTSEGREPKLNDNVSAYCLHIAEDDGEVDTDFPPLDSNE PIHKFGFSTLALVEKYSSPGLTSKESLFVRINAAHGFSLIQVDNTKVTMKEILLKAVKRR KGSQKISGPQYRLEKQSEPNIAVDLESTLESQNAWEFCLVRENSSRADGVFEEDSQIDIA TVQDMLSSHHYKSFKVSMIHRLRFTTDVQLGISGDKVEIDPVTNQKASTKFWIKQKPISI DCDLLCACDLAEEKSPSHAVFKLTYLSSHDYKHLYFESDAATVSEIVLKVNYILESRAST ARADYLA >ENSMUSP00000141308.1 pep:known chromosome:GRCm38:2:34406869:34432132:1 gene:ENSMUSG00000038696.14 transcript:ENSMUST00000141253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkap1 description:mitogen-activated protein kinase associated protein 1 [Source:MGI Symbol;Acc:MGI:2444554] MAFLDNPTIILAHIRQSHVT >ENSMUSP00000108749.1 pep:known chromosome:GRCm38:2:34406878:34623564:1 gene:ENSMUSG00000038696.14 transcript:ENSMUST00000113124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkap1 description:mitogen-activated protein kinase associated protein 1 [Source:MGI Symbol;Acc:MGI:2444554] MAFLDNPTIILAHIRQSHVTSDDTGMCEMVLIDHDVDLEKTHPPSVPGDSGSEVQGSSGE TQGYIYAQSVDITSSWDFGIRRRSNTAQRLERLRKERQNQIKCKNIQWKERNSKQSAQEL KSLFEKKSLKEKPPSSGKQSILSVRLEQCPLQLNNPFNEYSKFDGKGHVGTTATKKIDVY LPLHSSQDRLLPMTVVTMASARVQDLIGLICWQYTSEGREPKLNDNVSAYCLHIAEDDGE VDTDFPPLDSNEPIHKFGFSTLALVEKYSSPGLTSKESLFVRINAAHGFSLIQVDNTKVT MKEILLKAVKRRKGSQKISGSRADGVFEEDSQIDIATVQDMLSSHHYKSFKVSMIHRLRF TTDVQLGISGDKVEIDPVTNQKASTKFWIKQKPISIDCDLLCACDLAEEKSPSHAVFKLT YLSSHDYKHLYFESDAATVSEIVLKVNYILESRASTARADYLAQKQRKLNRRTSFSFQKE KKSGQQ >ENSMUSP00000108748.1 pep:known chromosome:GRCm38:2:34406886:34623564:1 gene:ENSMUSG00000038696.14 transcript:ENSMUST00000113123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkap1 description:mitogen-activated protein kinase associated protein 1 [Source:MGI Symbol;Acc:MGI:2444554] MTVVTMASARVQDLIGLICWQYTSEGREPKLNDNVSAYCLHIAEDDGEVDTDFPPLDSNE PIHKFGFSTLALVEKYSSPGLTSKESLFVRINAAHGFSLIQVDNTKVTMKEILLKAVKRR KGSQKISGPQYRLEKQSEPNIAVDLESTLESQNAWEFCLVRENSSRADGVFEEDSQIDIA TVQDMLSSHHYKSFKVSMIHRLRFTTDVQLGISGDKVEIDPVTNQKASTKFWIKQKPISI DCDLLCACDLAEEKSPSHAVFKLTYLSSHDYKHLYFESDAATVSEIVLKVNYILESRAST ARADYLAQKQRKLNRRTSFSFQKEKKSGQQ >ENSMUSP00000116494.1 pep:known chromosome:GRCm38:2:34407183:34624949:1 gene:ENSMUSG00000038696.14 transcript:ENSMUST00000147337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkap1 description:mitogen-activated protein kinase associated protein 1 [Source:MGI Symbol;Acc:MGI:2444554] MAFLDNPTIILAHIRQSHVTSDDTGMCEMVLIDHDVDLEKTHPPSVPGDSGSEVQGSSGE TQGYIYAQSVDITSSWDFGIRRRSNTAQRLERLRKERQNQIKCKNIQWKERNSKQSAQEL KSLFEKKSLKEKPPSSGKQSILSVRLEQCPLQLNNPFNEYSKFDGKGHVGTTATKKIDVY LPLHSSQDRLLPMTVVTMASARVQDLIGLICWQYTSEGREPKLNDNVSAYCLHIAEDDGE VDTDFPPLDSNEPIHKFGFSTLALVEKYSSPGLTSKESLFVRINAAHGFSLIQVDNTKVT MKEILLKAVKRRKGSQKISGPQYRLEKQSEPNIAVDLESTLESQNAWEFCLVRENSSRAD GVFEEDSQIDIATVQDMLSSHHYKSFKVSMIHRLRFTTDVQLGISGDKVEIDPVTNQKAS TKFWIKQKPISIDCDLLCACDLAEEKSPSHAVFKLTYLSSHDYKHLYFESDAATVSEIVL KVNYILESRASTARADYLAQKQRKLNRRTSFSFQKEKKSGQQ >ENSMUSP00000142280.1 pep:known chromosome:GRCm38:2:34444347:34497873:1 gene:ENSMUSG00000038696.14 transcript:ENSMUST00000137021.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mapkap1 description:mitogen-activated protein kinase associated protein 1 [Source:MGI Symbol;Acc:MGI:2444554] EQCPLQLNNPFNEYSKFDGKHCSSGCLGNYEQMFPIIIGI >ENSMUSP00000108751.1 pep:known chromosome:GRCm38:2:34432025:34624950:1 gene:ENSMUSG00000038696.14 transcript:ENSMUST00000113126.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkap1 description:mitogen-activated protein kinase associated protein 1 [Source:MGI Symbol;Acc:MGI:2444554] MAFLDNPTIILAHIRQSHVTSDDTGMCEMVLIDHDVDLEKTHPPSVPGDSGSEVQGSSGE TQGYIYAQSVDITSSWDFGIRRRSNTAQRLERLRKERQNQIKCKNIQWKERNSKQSAQEL KSLFEKKSLKEKPPSSGKQSILSVRLEQCPLQLNNPFNEYSKFDGKGHVGTTATKKIDVY LPLHSSQDRLLPMTVVTMASARVQDLIGLICWQYTSEGREPKLNDNVSAYCLHIAEDDGE VDTDFPPLDSNEPIHKFGFSTLALVEKYSSPGLTSKESLFVRINAAHGFSLIQVDNTKVT MKEILLKAVKRRKGSQKISGPQYRLEKQSEPNIAVDLESTLESQNAWEFCLVRENSSRAD GVFEEDSQIDIATVQDMLSSHHYKSFKVSMIHRLRFTTDVQLGISGDKVEIDPVTNQKAS TKFWIKQKPISIDCDLLCACDLAEEKSPSHAVFKLTYLSSHDYKHLYFESDAATVSEIVL KVNYILESRASTARADYLAQKQRKLNRRTSFSFQKEKKSGQQ >ENSMUSP00000098000.3 pep:known chromosome:GRCm38:5:147314984:147322440:-1 gene:ENSMUSG00000075543.4 transcript:ENSMUST00000100433.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urad description:ureidoimidazoline (2-oxo-4-hydroxy-4-carboxy-5) decarboxylase [Source:MGI Symbol;Acc:MGI:3647519] MDMVKVNSMDFGEFVDVFGNIVEKCPLIAAAVWSQRPFSGLEDLENHFFAFIDALPRSGQ EGILRCHPDLAGRDLQQGTLTAESQREQSQAGLTSLDTDDRLRLQQLNAQYRERFGFPFV LAARLSDRATVPRELARRLQCQPESELRTALGEVKKISHLRLTDLLGAHSHSARVELP >ENSMUSP00000102853.1 pep:known chromosome:GRCm38:7:89954654:89980983:-1 gene:ENSMUSG00000030619.9 transcript:ENSMUST00000107234.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eed description:embryonic ectoderm development [Source:MGI Symbol;Acc:MGI:95286] MSEREVSTAPAGTDMPAAKKQKLSSDENSNPDLSGDENDDAVSIESGTNTERPDTPTNTP NAPGRKSWGKGKWKSKKCKYSFKCVNSLKEDHNQPLFGVQFNWHSKEGDPLVFATVGSNR VTLYECHSQGEIRLLQSYVDADADENFYTCAWTYDSNTSHPLLAVAGSRGIIRIINPITM QCIKHYVGHGNAINELKFHPRDPNLLLSVSKDHALRLWNIQTDTLVAIFGGVEGHRDEVL SADYDLLGEKIMSCGMDHSLKLWRINSKRMMNAIKESYDYNPNKTNRPFISQKIHFPDFS TRDIHRNYVDCVRWLGDLILSKSCENAIVCWKPGKMEDDIDKIKPSESNVTILGRFDYSQ CDIWYMRFSMDFWQKMLALGNQVGKLYVWDLEVEDPHKAKCTTLTHHKCGAAIRQTSFSR DSSILIAVCDDASIWRWDRLR >ENSMUSP00000146892.1 pep:known chromosome:GRCm38:7:89954660:89964841:-1 gene:ENSMUSG00000030619.9 transcript:ENSMUST00000207980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eed description:embryonic ectoderm development [Source:MGI Symbol;Acc:MGI:95286] KIMSCGMDHSLKLWRINSKRMMNAIKESYDYNPNKTNRPFISQKIHFPDFSTRDIHRNYV DCVRWLGDLILSKSCENAIVCWKPGKMEDDIDKIKPNACIGQSGWQTVCLGFRSRRSS >ENSMUSP00000146812.1 pep:known chromosome:GRCm38:7:89964776:89980976:-1 gene:ENSMUSG00000030619.9 transcript:ENSMUST00000208977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eed description:embryonic ectoderm development [Source:MGI Symbol;Acc:MGI:95286] MSEREVSTAPAGTDMPAAKKQKLSSDENSNPDLSGDENEDHNQPLFGVQFNWHSKEGDPL VFATVGSNRVTLYECHSQGEIRLLQSYVDADADENFYTCAWTYDSNTSHPLLAVAGSRGI IRIINPITMQCIKHYVGHGNAINELKFHPRDPNLLLSVSKDHALRLWNIQTDTLVAIFGG VEGHRDEVLSADYDLLGEKIMSCGMDHSLKLWRINSKRMM >ENSMUSP00000129703.1 pep:known chromosome:GRCm38:7:85558703:85569088:-1 gene:ENSMUSG00000090806.1 transcript:ENSMUST00000168230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r70 description:vomeronasal 2, receptor 70 [Source:MGI Symbol;Acc:MGI:3761314] MFSLNSVFWFLKISFIFCHLSDPRCFWRIKDTENNIGDTETYCFFSISTKHGYVKNDYFS WNLDKQVTPKTRHLIFSVYLALEEINDSFHILPNISLVVNIECVLQKYGEKTGLLLRSEK LIPNYYCINERRYLIVLTAPTWAISTKLGPFLFMSRIPEVNQLYCGHFHLPLSNNEQFPH LYQISKKDTSLPLAMVSLVVHFRWNWIGVIITNDDHGIQFLSELRGEMQNNIVCLSVVIT IKTQKLVALKELHMNYKQILMSSAKVVIVYGYKDSPIIYALISWKSHGIFRIWVSVSQFD MITIRGDFLLYSSTGTFIFSHQKPEISGFEQFIQTVHPSNYSSEFSFAKLWWTYFRCSLP PSDCKKLKNCPTKTVFKWLFMTPLGMAMSDTCYNLYNAVYGVAHSLHEMLLQQVDAWSKN AGKELEFDPWKMFSVLKTIQFINPAGDLVNMNQNLRQDVEYDVFYIMDFQKVYGLKMKIG RFSGQLSSGQQLYMSKEIIEWATDIDQILPSICSMPCRPGLRKSPQEEKDICCFGCNPCP ENEISNMTNMDQCVKCPENQYANEDHTLCLEKVVAILDYKDPLGKALAGFALCFSVLTSV VLGIFLKNRDTPIVKANNQSLSFVLLISLIFCFICSLLYIGHPTMVICILQQTTFAIVFT VATSTILAKTVIVVLAFKITVPGRRMRWLLEIGAPKYIILICTIIQLILCGIWLGTSPPF VDADVHMVHGHIIIVCNKGSVIAFYCVLGYMGSVALASFTVAFLARNLPDTFNEAKLLTF SMLVFCSVWITFIPVYHSTKGKTMVAVEVFSILASSAGLLLCIFAPKCYIILLKPQKNSF QKFRKPHAIADNIS >ENSMUSP00000100670.1 pep:known chromosome:GRCm38:11:99993234:99993994:-1 gene:ENSMUSG00000078252.1 transcript:ENSMUST00000105049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap17-1 description:keratin associated protein 17-1 [Source:MGI Symbol;Acc:MGI:1925164] MGCCPGDCLNCCSQEQDCCEECCCQQGCCGCCGCCGSCCGCGGSSCGGGCCGSGCGGCGG CGSSCCGSGCGGGCGGCGGGCCGGSGCCGGGGGCCGPVCCQPTPVCETK >ENSMUSP00000051546.7 pep:known chromosome:GRCm38:12:61523948:61845258:1 gene:ENSMUSG00000035653.16 transcript:ENSMUST00000055815.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrfn5 description:leucine rich repeat and fibronectin type III domain containing 5 [Source:MGI Symbol;Acc:MGI:2144814] MEKFLFYLFLIGIAVRAQICPKRCVCQILSPNLATLCAKKGLLFVPPNIDRRTVELRLAD NFVTNIKRKDFANMTSLVDLTLSRNTISFITPHAFADLRNLRALHLNSNRLTKITNDMFS GLSNLHHLILNNNQLTLISSTAFDDVFALEELDLSYNNLETIPWDAVEKMVSLHTLSLDH NMIDNIPKGTFSHLHKMTRLDVTSNKLQKLPPDPLFQRAQVLATSGIISPSTFALSFGGN PLHCNCELLWLRRLSREDDLETCASPALLTGRYFWSIPEEEFLCEPPLITRHTHEMRVLE GQRATLRCKARGDPEPAIHWISPEGKLISNATRSLVYDNGTLDILITTVKDTGAFTCIAS NPAGEATQTVDLHIIKLPHLLNSTNHIHEPDPGSSDISTSTKSGSNASSSNGDTKMSQDK IVVAEATSSTALLKFNFQRNIPGIRMFQIQYNGTYDDTLVYRMIPPTSKTFLVNNLASGT MYDLCVLAIYDDGITSLTATRVVGCIQFTTEQDYVRCHFMQSQFLGGTMIIIIGGIIVAS VLVFIIILMIRYKVCNNNGQHKVTKVSNVYSQTNGAQMQGCSVTLPQSMSKQAMGHEENA QCCKVASDNAIQSSETCSSQDSSTTTSALPPTWTSSAPVSQKQKRKTGTKPSAEPQSEAV TNVESQNTNRNNSTALQLASCPPDSVTEGPTSQRAHTKPSKFLTVPAEGSRARHRASLSG GLKDSFHYGNSQLSLKRSMSMNAMWT >ENSMUSP00000113123.1 pep:known chromosome:GRCm38:12:61523948:61857928:1 gene:ENSMUSG00000035653.16 transcript:ENSMUST00000119481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrfn5 description:leucine rich repeat and fibronectin type III domain containing 5 [Source:MGI Symbol;Acc:MGI:2144814] MEKFLFYLFLIGIAVRAQICPKRCVCQILSPNLATLCAKKGLLFVPPNIDRRTVELRLAD NFVTNIKRKDFANMTSLVDLTLSRNTISFITPHAFADLRNLRALHLNSNRLTKITNDMFS GLSNLHHLILNNNQLTLISSTAFDDVFALEELDLSYNNLETIPWDAVEKMVSLHTLSLDH NMIDNIPKGTFSHLHKMTRLDVTSNKLQKLPPDPLFQRAQVLATSGIISPSTFALSFGGN PLHCNCELLWLRRLSREDDLETCASPALLTGRYFWSIPEEEFLCEPPLITRHTHEMRVLE GQRATLRCKARGDPEPAIHWISPEGKLISNATRSLVYDNGTLDILITTVKDTGAFTCIAS NPAGEATQTVDLHIIKLPHLLNSTNHIHEPDPGSSDISTSTKSGSNASSSNGDTKMSQDK IVVAEATSSTALLKFNFQRNIPGIRMFQIQYNGTYDDTLVYRMIPPTSKTFLVNNLASGT MYDLCVLAIYDDGITSLTATRVVGCIQFTTEQDYVRCHFMQSQFLGGTMIIIIGGIIVAS VLVFIIILMIRYKVCNNNGQHKVTKVSNVYSQTNGAQMQGCSVTLPQSMSKQAMGHEENA QCCKVASDNAIQSSETCSSQDSSTTTSALPPTWTSSAPVSQKQKRKTGTKPSAEPQSEAV TNVESQNTNRNNSTALQLASCPPDSVTEGPTSQRAHTKPNALLTNVDQNVQETQRLESI >ENSMUSP00000146793.1 pep:known chromosome:GRCm38:7:30417712:30419802:-1 gene:ENSMUSG00000064109.8 transcript:ENSMUST00000208740.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcst description:hematopoietic cell signal transducer [Source:MGI Symbol;Acc:MGI:1344360] MDPPGYLLFLLLLPGSCSGCGTLSLPLLAGLVAADAVMSLLIVGVVFVCMRPHGRPAQED GRVYINMPGRG >ENSMUSP00000074573.3 pep:known chromosome:GRCm38:7:30417712:30419854:-1 gene:ENSMUSG00000064109.8 transcript:ENSMUST00000075062.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcst description:hematopoietic cell signal transducer [Source:MGI Symbol;Acc:MGI:1344360] MDPPGYLLFLLLLPVAASQTSAGSCSGCGTLSLPLLAGLVAADAVMSLLIVGVVFVCMRP HGRPAQEDGRVYINMPGRG >ENSMUSP00000120383.1 pep:known chromosome:GRCm38:5:135052957:135056927:-1 gene:ENSMUSG00000005378.17 transcript:ENSMUST00000129691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr22 description:Williams Beuren syndrome chromosome region 22 [Source:MGI Symbol;Acc:MGI:1913388] LELITTQATRAGFTGGVVVDFPNSAKAKKFYLCLFSGPSTSLPKGLTESQDADQASESMF TSERVEITEGKREPQGCPCAHLSHPLALSLARAPHKKARRDLVKKSREWVLEKKERRRRQ GKEVRPDTQYTGRKRKPRF >ENSMUSP00000083146.4 pep:known chromosome:GRCm38:5:135052957:135064666:-1 gene:ENSMUSG00000005378.17 transcript:ENSMUST00000085984.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr22 description:Williams Beuren syndrome chromosome region 22 [Source:MGI Symbol;Acc:MGI:1913388] MASRSRRPEHSGPPELFYDQNEARKYVRNSRMIDIQTKMTERALELLCLPEGQPSYLLDI GCGSGLSGDYISEEGHYWVGIDISPAMLDAALDRDTEGDLLLGDMGQGVPFRPGSFDGCI SISAVQWLCNANKKSDVPARRLYCFFSSLYSALVRGARAVLQLYPENSEQLELITTQATR AGFTGGVVVDFPNSAKAKKFYLCLFSGPSTSLPKGLTESQDADQASESMFTSERAPHKKA RRDLVKKSREWVLEKKERRRRQGKEVRPDTQYTGRKRKPRF >ENSMUSP00000106836.1 pep:known chromosome:GRCm38:5:135052959:135064078:-1 gene:ENSMUSG00000005378.17 transcript:ENSMUST00000111205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr22 description:Williams Beuren syndrome chromosome region 22 [Source:MGI Symbol;Acc:MGI:1913388] MASRSRRPEHSGPPELFYDQNEARKYVRNSRMIDIQTKMTERALELLCLPEGQPSYLLDI GCGSGLSGDYISEEGHYWVGIDISPAMLDAALDRDTEGDLLLGDMGQGVPFRPGSFDGCI SISAVQWLCNANKKSDVPARRLYCFFSSLYSALVRGARAVLQLYPENSEQLELITTQATR AGFTGGVVVDFPNSAKAKKAPHKKARRDLVKKSREWVLEKKERRRRQGKEVRPDTQYTGR KRKPRF >ENSMUSP00000071600.3 pep:known chromosome:GRCm38:5:135052959:135064959:-1 gene:ENSMUSG00000005378.17 transcript:ENSMUST00000071677.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr22 description:Williams Beuren syndrome chromosome region 22 [Source:MGI Symbol;Acc:MGI:1913388] MVLPKTSSRMIDIQTKMTERALELLCLPEGQPSYLLDIGCGSGLSGDYISEEGHYWVGID ISPAMLDAALDRDTEGDLLLGDMGQGVPFRPGSFDGCISISAVQWLCNANKKSDVPARRL YCFFSSLYSALVRGARAVLQLYPENSEQLELITTQATRAGFTGGVVVDFPNSAKAKKFYL CLFSGPSTSLPKGLTESQDADQASESMFTSERAPHKKARRDLVKKSREWVLEKKERRRRQ GKEVRPDTQYTGRKRKPRF >ENSMUSP00000144612.1 pep:known chromosome:GRCm38:5:135053285:135064039:-1 gene:ENSMUSG00000005378.17 transcript:ENSMUST00000202478.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wbscr22 description:Williams Beuren syndrome chromosome region 22 [Source:MGI Symbol;Acc:MGI:1913388] XEHSGPPELFYDQNEARKYVRNSRMIDIQTKMTERALELLCLPEGQPSYLLDIGCRLGPR YRGGPAARGHGPGRPFQTGLF >ENSMUSP00000118370.1 pep:known chromosome:GRCm38:5:135059195:135063764:-1 gene:ENSMUSG00000005378.17 transcript:ENSMUST00000148549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr22 description:Williams Beuren syndrome chromosome region 22 [Source:MGI Symbol;Acc:MGI:1913388] MIDIQTKMTERALELLCLPEGQPSYLLDIGCGSGLSGDYISEEGHYWVGIDISPAMLDAA LDRDTEGDLLLGDMGQGVPFRPGSFDGCISISA >ENSMUSP00000119659.1 pep:known chromosome:GRCm38:5:135061261:135064090:-1 gene:ENSMUSG00000005378.17 transcript:ENSMUST00000141309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr22 description:Williams Beuren syndrome chromosome region 22 [Source:MGI Symbol;Acc:MGI:1913388] MIDIQTKMTERALELLCLPEGQPSYL >ENSMUSP00000144677.1 pep:known chromosome:GRCm38:5:135064157:135064649:-1 gene:ENSMUSG00000005378.17 transcript:ENSMUST00000201554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbscr22 description:Williams Beuren syndrome chromosome region 22 [Source:MGI Symbol;Acc:MGI:1913388] MVLPKTSQSNKRK >ENSMUSP00000140288.1 pep:known chromosome:GRCm38:1:83060930:83061921:1 gene:ENSMUSG00000101315.1 transcript:ENSMUST00000188005.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap28-13 description:keratin associated protein 28-13 [Source:MGI Symbol;Acc:MGI:1918636] MGCCGCGGCGGCGGCGCGGCGCGGCGCGGCGCGGCGCGGCGCGGCGCGGCGGCGGCGGCG CGCGGCGCGGCGGCGCCGGCCGCCGCCKPTVVCCCRRSCCRSCGCGSCGCGCGCGCGKGC CQQKCCCQQKCGCKKCCC >ENSMUSP00000110471.1 pep:known chromosome:GRCm38:6:39397804:39419776:-1 gene:ENSMUSG00000029922.15 transcript:ENSMUST00000114823.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkrn1 description:makorin, ring finger protein, 1 [Source:MGI Symbol;Acc:MGI:1859353] MHGVCKEGDNCRYSHDLSDSPYGVVCKYFQRGYCVYGDRCRYEHSKPLKQEEVTATDLSA KPSLAASSSLSSGVGSLAEMNSGEAESRNPSFPTVGAGSEDWVNAIEFVPGQPYCGRTAP SCTEVPPQGSVTKEESEKEPTTVETKKQLCPYAAVGECRYGENCVYLHGDSCDMCGLQVL HPVDAAQRSQHIKSCIEAHEKDMELSFAVQRSKDMVCGICMEVVYEKANPSERRFGILSN CNHTYCLKCIRKWRSAKQFESKIIKSCPECRITSNFVIPSENWVEEKEEKQKLIQKYKEA MSNKACRYFDEGRGSCPFGGNCFYKHAYPDGRREEPQRQKVGTSSRYRAQRRSHFWELIE ERENNPFDNDEEEVVTFELGEMLLMLLAAGGDDELTDSEDEWDLFHDELEDFYDLDL >ENSMUSP00000031985.6 pep:known chromosome:GRCm38:6:39397804:39420462:-1 gene:ENSMUSG00000029922.15 transcript:ENSMUST00000031985.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkrn1 description:makorin, ring finger protein, 1 [Source:MGI Symbol;Acc:MGI:1859353] MAEAAAPGTTATTSGAGAAAAAVAAASLTSIPTVAAPSPGAGGGGGGSDGSGGGWTKQVT CRYFMHGVCKEGDNCRYSHDLSDSPYGVVCKYFQRGYCVYGDRCRYEHSKPLKQEEVTAT DLSAKPSLAASSSLSSGVGSLAEMNSGEAESRNPSFPTVGAGSEDWVNAIEFVPGQPYCG RTAPSCTEVPPQGSVTKEESEKEPTTVETKKQLCPYAAVGECRYGENCVYLHGDSCDMCG LQVLHPVDAAQRSQHIKSCIEAHEKDMELSFAVQRSKDMVCGICMEVVYEKANPSERRFG ILSNCNHTYCLKCIRKWRSAKQFESKIIKSCPECRITSNFVIPSENWVEEKEEKQKLIQK YKEAMSNKACRYFDEGRGSCPFGGNCFYKHAYPDGRREEPQRQKVGTSSRYRAQRRSHFW ELIEERENNPFDNDEEEVVTFELGEMLLMLLAAGGDDELTDSEDEWDLFHDELEDFYDLD L >ENSMUSP00000121563.1 pep:known chromosome:GRCm38:6:39399143:39404730:-1 gene:ENSMUSG00000029922.15 transcript:ENSMUST00000150575.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkrn1 description:makorin, ring finger protein, 1 [Source:MGI Symbol;Acc:MGI:1859353] XLHGDSCDMCGLQVLHPVDAAQRSQHIKSCIEAHEKDMELSFAVQRSKDMVCGICMEVVY EKANPSERRFGILSNCNHTYCLKCIRKWRSAKQFESKIIKSCPECRITSNFVIPTTRRAG ILMKDVGAAHLEGTVFTSMRTLMAVERSHRDRKWEHQADTGPNEGATSGSSLRRERTTPL TTTKRRLSPLSWARCCLCFWLQVGTTS >ENSMUSP00000115231.1 pep:known chromosome:GRCm38:6:39400754:39420067:-1 gene:ENSMUSG00000029922.15 transcript:ENSMUST00000122996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkrn1 description:makorin, ring finger protein, 1 [Source:MGI Symbol;Acc:MGI:1859353] XGCGAEQEAEAGVAGSPLRLSVQHRCVSLRRGWPRHRCGFQTAGSDCRAVPSPRLAQRRP GACGEDRCPRPGLHRYRYFMHGVCKEGDNCRYSHDLSDSPYGVVCKYFQRGYCVYGDRCR YEHSKPLKQEEVTATDLSAKPSLAASSSLSSGVGSLAEMNSGEAESRNPSFPTVGAGSED WVNAIEFVPGQPYCGRTAPSCTEVPPQGSVTKEESEKEPTTVETKKQLCPYAAVGECRYG ENCVYLHGDSCDMCGLQVLHPVDAAQRSQHIKSCIEAHEKDMELSFAVQRSKDMVCGICM EVVYEKANPSERRFGILSNCNHTYCLKCIRKWRSAKQFESKIIK >ENSMUSP00000084244.2 pep:known chromosome:GRCm38:6:39400760:39420358:-1 gene:ENSMUSG00000029922.15 transcript:ENSMUST00000051671.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkrn1 description:makorin, ring finger protein, 1 [Source:MGI Symbol;Acc:MGI:1859353] MAEAAAPGTTATTSGAGAAAAAVAAASLTSIPTVAAPSPGAGGGGGGSDGSGGGWTKQVT CRYFMHGVCKEGDNCRYSHDLSDSPYGVVCKYFQRGYCVYGDRCRYEHSKPLKQEEVTAT DLSAKPSLAASSSLSSGVGSLAEMNSGEAESRNPSFPTVGAGSEDWVNAIEFVPGQPYCG RTAPSCTEVPPQGSVTKEESEKEPTTVETKKQLCPYAAVGECRYGENCVYLHGDSCDMCG LQVLHPVDAAQRSQHIKSCIEAHEKDMELSFAVQRSKDMVCGICMEVVYEKANPSERRFG ILSNCNHTYCLKCIRKWRSAKQFESKIIK >ENSMUSP00000123440.1 pep:known chromosome:GRCm38:6:39404811:39419757:-1 gene:ENSMUSG00000029922.15 transcript:ENSMUST00000146785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkrn1 description:makorin, ring finger protein, 1 [Source:MGI Symbol;Acc:MGI:1859353] GELGRPRGSEPGYPFVTSFLLSAPLTPAPPGAAAFVCLCIYFMHGVCKEGDNCRYSHDLS DSPYGVVCKYFQRGYCVYGDRCRYEHSKPLKQEEVTATDLSAKPSLAASSSLSSGVGSLA EMNSGEAESRNPSFPTVGAGSEDWVNAIEFVPGQPYCGRTAPSCTEVPPQGSVTKEESEK >ENSMUSP00000110470.1 pep:known chromosome:GRCm38:6:39405539:39420298:-1 gene:ENSMUSG00000029922.15 transcript:ENSMUST00000114822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkrn1 description:makorin, ring finger protein, 1 [Source:MGI Symbol;Acc:MGI:1859353] MAEAAAPGTTATTSGAGAAAAAVAAASLTSIPTVAAPSPGAGGGGGGSDGSGGGWTKQVT CRYFMHGVCKEGDNCRYSHDLSDSPYGVVCKYFQRGYCVYGDRCRYEHSKPLKQEEVTAT DLSAKPSLAASSSLSSGVGSLAEMNSGEAESRNPSFPTVGAGSEDWVNAIEFVPGQPYCG RSKYCEDPVNCNQRAK >ENSMUSP00000116849.1 pep:known chromosome:GRCm38:6:124829547:124832664:1 gene:ENSMUSG00000023505.13 transcript:ENSMUST00000150120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca3 description:cell division cycle associated 3 [Source:MGI Symbol;Acc:MGI:1315198] MGSTQSVSGTPARPLPRNKQVARVADPRSPSAGIQRTPIQVESSPQPSLPAEQLNGLKQA QDPDPRSPTLGIARTPMKISGPDPQCSLVKELSEVLETEASESISSPELALPRETPLFYD LDLSSDPQLSPEDQLLPWSQAELDPKQVFTKEEAKQSAETIAASQNSDKPSRDPETPQSS GSKRSRRKANSKVLGRSPLTILQDDNSPGTLTL >ENSMUSP00000024270.7 pep:known chromosome:GRCm38:6:124829688:124833701:1 gene:ENSMUSG00000023505.13 transcript:ENSMUST00000024270.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca3 description:cell division cycle associated 3 [Source:MGI Symbol;Acc:MGI:1315198] MGSTQSVSGTPARPLPRNKQVARVADPRSPSAGIQRTPIQVESSPQPSLPAEQLNGLKQA QDPDPRSPTLGIARTPMKISGPDPQCSLVKELSEVLETEASESISSPELALPRETPLFYD LDLSSDPQLSPEDQLLPWSQAELDPKQVFTKEEAKQSAETIAASQNSDKPSRDPETPQSS GSKRSRRKANSKVLGRSPLTILQDDNSPGTLTLRQGKRPSALSENVKDLKEGVVLGTGRF LKAGGGAREPNQDHDKENQHFALLES >ENSMUSP00000120410.1 pep:known chromosome:GRCm38:6:124830217:124832585:1 gene:ENSMUSG00000023505.13 transcript:ENSMUST00000131847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca3 description:cell division cycle associated 3 [Source:MGI Symbol;Acc:MGI:1315198] MGSTQSVSGTPARPLPRNKQVARVADPRSPSAGIQRTPIQVESSPQPSLPAEQLNGLKQA QDPDPRSPTLGIARTPMKISGPDPQCSLVKELSEVLETEASESISSPELALPRETPLFYD LDLSSDPQLSPEDQLLPWSQAELDPKQVFTKEEAKQSAETIAASQNSDKPSRDPETPQSS GSKRSRR >ENSMUSP00000120626.1 pep:known chromosome:GRCm38:6:124830375:124832439:1 gene:ENSMUSG00000023505.13 transcript:ENSMUST00000151674.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca3 description:cell division cycle associated 3 [Source:MGI Symbol;Acc:MGI:1315198] MGSTQSVSGTPARPLPRNKQVARVADPRSPSAGIQRTPIQVESSPQPSLPAEQLNGLKQA QDPDPRSPTLGIARTPMKISGPDPQCSLVKELSEVLETEASESISSPELALPRETPLFYD LDLSSDPQLSPEDQLLPWSQAELDPKQVFTKEEAKQSAETIAASQNSDKPSRDPETPQSS >ENSMUSP00000113829.2 pep:known chromosome:GRCm38:19:29925114:29960718:1 gene:ENSMUSG00000024810.16 transcript:ENSMUST00000120388.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il33 description:interleukin 33 [Source:MGI Symbol;Acc:MGI:1924375] MRPRMKYSNSKISPAKFSSTAGEALVPPCKIRRSQQKTKEFCHVYCMRLRSGLTIRKETS YFRKEPTKRYSLKSGTKHEENFSAYPRDSRKRSLLGSIQAFAASVDTLSIQGTSLLTQSP ASLSTYNDQSVSFVLENGCYVINVDDSGKDQEQDQVLLRYYESPCPASQSGDGVDGKKLM VNMSPIKDTDIWLHANDKDYSVELQRGDVSPPEQAFFVLHKKSSDFVSFECKNLPGTYIG VKDNQLALVEEKDESCNNIMFKLSKI >ENSMUSP00000122319.1 pep:known chromosome:GRCm38:19:29925115:29952077:1 gene:ENSMUSG00000024810.16 transcript:ENSMUST00000144528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il33 description:interleukin 33 [Source:MGI Symbol;Acc:MGI:1924375] MRPRMKYSNSKISPAKFSSTAGEALVPPCKIRRSQQKTKEFCHVYCMRLRSGLTIRKETS YFRKEP >ENSMUSP00000135854.1 pep:known chromosome:GRCm38:19:29925132:29958975:1 gene:ENSMUSG00000024810.16 transcript:ENSMUST00000177518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il33 description:interleukin 33 [Source:MGI Symbol;Acc:MGI:1924375] MRPRMKYSNSKISPAKFSSTAGEALVPPCKIRRSQQKTKEFCHVYCMRLRSGLTIRKETS YFRKEPTKRYSLKSGTKHEENFSAYPRDSRKRSLLGSIQAFAASVDTLSIQGTSLLTQSP ASLSTYNDQSVSFVLENGCYVINVDDSGKDQEQGDGVDGKKLMVNMSPIKDTDIWLHAND KDYSVELQRGDVSPPEQAFFVLHKKSSDFVSFECKNLPGTYIGVKDNQ >ENSMUSP00000025724.8 pep:known chromosome:GRCm38:19:29945790:29960704:1 gene:ENSMUSG00000024810.16 transcript:ENSMUST00000025724.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il33 description:interleukin 33 [Source:MGI Symbol;Acc:MGI:1924375] MRPRMKYSNSKISPAKFSSTAGEALVPPCKIRRSQQKTKEFCHVYCMRLRSGLTIRKETS YFRKEPTKRYSLKSGTKHEENFSAYPRDSRKRSLLGSIQAFAASVDTLSIQGTSLLTQSP ASLSTYNDQSVSFVLENGCYVINVDDSGKDQEQDQVLLRYYESPCPASQSGDGVDGKKLM VNMSPIKDTDIWLHANDKDYSVELQRGDVSPPEQAFFVLHKKSSDFVSFECKNLPGTYIG VKDNQLALVEEKDESCNNIMFKLSKI >ENSMUSP00000135324.1 pep:known chromosome:GRCm38:19:29951816:29952813:1 gene:ENSMUSG00000024810.16 transcript:ENSMUST00000136850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il33 description:interleukin 33 [Source:MGI Symbol;Acc:MGI:1924375] MTYINLPLFFLLLGSQQKTKEFCHVYCMRLRSGLTIRKETSYFRKEPTKRYSLKSGTKHE ENFSAYPRDSRKRSLLGSIQAFA >ENSMUSP00000090559.2 pep:known chromosome:GRCm38:11:77785453:77787747:-1 gene:ENSMUSG00000069804.2 transcript:ENSMUST00000092883.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10277 description:predicted gene 10277 [Source:MGI Symbol;Acc:MGI:3641921] MRSGDKGHRSCKGHQERNQALEMLSRQIWLTNSGESPPAVRGGSGTENLVLVGRPHLRME SEATPLPVSCQGWTRQGLVGKGQPPLSSGFLFPLLTSSVQVPRDLAKGRRDLQIGLSGLK VYQPEIQEYSSSHRPGAPVALSGGLRTHVGAVPRPQLEFVAETGRGPYQEPSQAADIPAR RQPRENSRREEEACSPGRIPTPQRPADSQIGTFH >ENSMUSP00000114323.1 pep:known chromosome:GRCm38:18:30974315:31317133:-1 gene:ENSMUSG00000057455.12 transcript:ENSMUST00000153060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rit2 description:Ras-like without CAAX 2 [Source:MGI Symbol;Acc:MGI:108054] MEVENEAHCCPGSSSGGSREYKVVMLGAGGVGKSAVTMQFISHQFPDYHDPTIEDAYKTQ VRIDNEPAYLDILDTAGQAEFTAMREQYMRGGEGFIICYSVTDRQSFQEAAKFKELIFQV RHTYEIPLVLVGNKIDLEQFRQVSTEEGMNLARDYNCAFFETSAALRFGIDDAFQGLVRE IRRKESMLSLVERKLKRKDSLWKKIKASLKKKRENML >ENSMUSP00000122938.1 pep:known chromosome:GRCm38:18:31206066:31317111:-1 gene:ENSMUSG00000057455.12 transcript:ENSMUST00000139924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rit2 description:Ras-like without CAAX 2 [Source:MGI Symbol;Acc:MGI:108054] MEVENEAHCCPGSSSGGSREYKVVMLGAGGVGKSAVTMQFISHQFPDYHDPTIEDAYKTQ VRIDNEPAYLDILDTAGQLCNLRRPPANVNLIYSSVK >ENSMUSP00000080724.6 pep:known chromosome:GRCm38:18:30973489:31317290:-1 gene:ENSMUSG00000057455.12 transcript:ENSMUST00000082070.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rit2 description:Ras-like without CAAX 2 [Source:MGI Symbol;Acc:MGI:108054] MEVENEAHCCPGSSSGGSREYKVVMLGAGGVGKSAVTMQFISHQFPDYHDPTIGRVHGHA GAVHAWGRGLHHLLFCH >ENSMUSP00000030243.7 pep:known chromosome:GRCm38:4:105029874:105109890:-1 gene:ENSMUSG00000028518.8 transcript:ENSMUST00000030243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkaa2 description:protein kinase, AMP-activated, alpha 2 catalytic subunit [Source:MGI Symbol;Acc:MGI:1336173] MAEKQKHDGRVKIGHYVLGDTLGVGTFGKVKIGEHQLTGHKVAVKILNRQKIRSLDVVGK IKREIQNLKLFRHPHIIKLYQVISTPTDFFMVMEYVSGGELFDYICKHGRVEEVEARRLF QQILSAVDYCHRHMVVHRDLKPENVLLDAQMNAKIADFGLSNMMSDGEFLRTSCGSPNYA APEVISGRLYAGPEVDIWSCGVILYALLCGTLPFDDEHVPTLFKKIRGGVFYIPDYLNRS VATLLMHMLQVDPLKRATIKDIREHEWFKQDLPSYLFPEDPSYDANVIDDEAVKEVCEKF ECTESEVMNSLYSGDPQDQLAVAYHLIIDNRRIMNQASEFYLASSPPSGSFMDDSAMHIP PGLKPHPERMPPLIADSPKARCPLDALNTTKPKSLAVKKAKWHLGIRSQSKACDIMAEVY RAMKQLGFEWKVVNAYHLRVRRKNPVTGNYVKMSLQLYLVDSRSYLLDFKSIDDEVVEQR SGSSTPQRSCSAAGLHRARSSFDSSTAENHSLSGSLTGSLTGSTLSSASPRLGSHTMDFF EMCASLITALAR >ENSMUSP00000044368.5 pep:known chromosome:GRCm38:9:72925645:72952181:1 gene:ENSMUSG00000034910.5 transcript:ENSMUST00000038489.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pygo1 description:pygopus 1 [Source:MGI Symbol;Acc:MGI:1919385] MSAEQDKEPIALKRVRGGDSGLDGLGGPNIQLGSPDKKKRKANTQGSSFPPLSEYAPPPN PNSDHLVAANPFDDSYNTISYKPLPSSNPYLGPGYPGFGGYSTFRMPPHVPPRMSSPYCG PYSLRNQPHPFPQNPLGMGFNRPHAFNFGPHDNSNFGNPPYNNVLTQDINMPGQHFRQGS AENFSQIPPQNVGQVSNPDLASNFAPGNNSNFTSPLETNHSFIPPPNAFGQAKAPLPKQD FTQGATKTPNQNSSTHPPHLNMEDPVNQSNVELKNVNRNNVVQENSRSGSAEATNNHANG TQNKPRQPRGAADLCTPDKSRKFSLLPSRHGHSSSDPVYPCGICTNEVNDDQDAILCEAS CQKWFHRICTGMTETAYGLLTAEASAVWGCDTCMADKDVQLMRTREAFGPPAVGGDA >ENSMUSP00000117011.1 pep:known chromosome:GRCm38:15:74606029:74634849:-1 gene:ENSMUSG00000022603.9 transcript:ENSMUST00000137963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh4 description:maestro heat-like repeat family member 4 [Source:MGI Symbol;Acc:MGI:1916689] MILRECPSVEKVQCHLASLLDLSHQHSRQREGIALAVGLASTKHLEEVWALLEHLGRTKF LQSVAATEDSQDEILKSSFLSATILLTRSLQAEYSSQRYKFTQTPELIQCLLGILQKEPN SLTNFLRQKIILVIIGLSNLRPRFKPLLKSQILKTCLQTVYMLPPAKDSRNDLFSVEQAS DTTVLYKKTVRALDLLLQNFISENPSMDEVCFLLQHIEYWLMSDKIHERSRAVQSIYLLL QYVVDSLKLAKEAVPSLLGHQIGILILLWRDKDKITQQHSHFCVYLLMELVFQQKGKLVE SAQWSKMKHFESNVFREWEMKLYHLVKVFKEDLTVAQHTQLILTLVHSLGSYNHLHSDLA AKLLLLICVEPGFRKEQIAELLQGLFQELPNIQSKSVQQAISQATLALGTQHIQEVVEVI LSLCQPSERWILPLWKALAANYQVARDVITLLYIKLKLRPPRRLLQSTYRARLVSLMALG TIYELLYTKEYRNTVCWAFSGILLGLLTELYYLLEVGLVQGLFDYQEDDLGSKPLGPCRI CLEALKGLFWTTEYWEVFADVKLIQGWELFGQLETFPKGVTLLARAMAHYNCEIKAVLGQ ALISLKSSEERDNIVGICIITEFLNSPDVSQHMSWKTMYNSLNLGLSSRNQMVRAMSLKG LGSTLMHPKKATLLRSKLMELLDNFLQPEFKDPAGLMKIMGHLLHCLSAQGIGIVSLKIA QHLLSLFTDERAAVREGAIFLFGDVIHYGGKKLRQSLKDVASQATVPLLFNMADPCQEVA MKARFTFLRCAILLKWEFRKELFGKLAWGQGLGAANDIFIYMLESNVGNYQQFLSQALTY LDSSHMTVKLAAMKFIGALLQDYFNDLCIYLRKKDVIFLKNCLEALRHDTDSRTRRFYLN YWNDAVELSYYVQD >ENSMUSP00000023271.1 pep:known chromosome:GRCm38:15:74606029:74636353:-1 gene:ENSMUSG00000022603.9 transcript:ENSMUST00000023271.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh4 description:maestro heat-like repeat family member 4 [Source:MGI Symbol;Acc:MGI:1916689] MVVNKIKNMMVMEQGQVLDTICHFLEKENQLWEKPEVSFWNPSVEKLLEFLNLDPRKATD KAFFFHLYGMILRECPSVEKVQCHLASLLDLSHQHSRQREGIALAVGLASTKHLEEVWAL LEHLGRTKFLQSVAATEDSQDEILKSSFLSATILLTRSLQAEYSSQRYKFTQTPELIQCL LGILQKEPNSLTNFLRQKIILVIIGLSNLRPRFKPLLKSQILKTCLQTVYMLPPAKDSRN DLFSVEQASDTTVLYKKTVRALDLLLQNFISENPSMDEVCFLLQHIEYWLMSDKIHERSR AVQSIYLLLQYVVDSLKLAKEAVPSLLGHQIGILILLWRDKDKITQQHSHFCVYLLMELV FQQKGKLVESAQWSKMKHFESNVFREWEMKLYHLVKVFKEDLTVAQHTQLILTLVHSLGS YNHLHSDLAAKLLLLICVEPGFRKEQIAELLQGLFQELPNIQSKSVQQAISQATLALGTQ HIQEVVEVILSLCQPSERWILPLWKALAANYQVARDVITLLYIKLKLRPPRRLLQSTYRA RLVSLMALGTIYELLYTKEYRNTVCWAFSGILLGLLTELYYLLEVGLVQGLFDYQEDDLG SKPLGPCRICLEALKGLFWTTEYWEVFADVKLIQGWELFGQLETFPKGVTLLARAMAHYN CEIKAVLGQALISLKSSEERDNIVGICIITEFLNSPDVSQHMSWKTMYNSLNLGLSSRNQ MVRAMSLKGLGSTLMHPKKATLLRSKLMELLDNFLQPEFKDPAGLMKIMGHLLHCLSAQG IGIVSLKIAQHLLSLFTDERAAVREGAIFLFGDVIHYGGKKLRQSLKDVASQATVPLLFN MADPCQEVAMKARFTFLRCAILLKWEFRKELFGKLAWGQGLGAANDIFIYMLESNVGNYQ QFLSQALTYLDSSHMTVKLAAMKFIGALLQDYFNDLCIYLRKKDVIFLKNCLEALRHDTD SRTRRFYLNYWNDAVELSYYVQD >ENSMUSP00000135715.1 pep:known chromosome:GRCm38:15:74606403:74612012:-1 gene:ENSMUSG00000022603.9 transcript:ENSMUST00000176592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh4 description:maestro heat-like repeat family member 4 [Source:MGI Symbol;Acc:MGI:1916689] XNTVCWAFSGILLGLLTELYYLLEVGLVQGLFDYQEDDLGSKPLGPCRICLEALKGLFWT TEYWEVFADVKLIQGWELFGQLETFPKGVTLLARAMAHYNCEIKIAQHLLSLFTDERAAV REGAIFLFGDVIHYGGKKLRQSLKDVASQATVPLLFNMADPCQEVAMKARFTFLRCAILL KWEFRKELFGKLAWGQGLGAANDIFIYMLESNVGNYQQFLSQALTYLDSSHMTVKLAAMK FIGALLQDYFNDLCIYLRKKDVIFLK >ENSMUSP00000135754.1 pep:known chromosome:GRCm38:15:74616138:74628323:-1 gene:ENSMUSG00000022603.9 transcript:ENSMUST00000176767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh4 description:maestro heat-like repeat family member 4 [Source:MGI Symbol;Acc:MGI:1916689] XKLLEFLNLDPRKATDKAFFFHLYGMILRECPSVEKVQCHLASLLDLSHQHSRQREGIAL AVGLASTKHLEEVWALLEHLGRTKFLQSVAATEDSQPSDNLRWKWVSSTSLLCYGQIAKH TKEQILPWVDNIASRMVYYFSCGPCDEILKSSFLSATILLTRSLQAEYSSQRYKFTQTPE LIQCLLGILQKEPNSLTNFLRQKIILVIIGLSNLRPRFKPLLKSQILKTCLQTVYMLPPA KDSRNDLFSVEQASDTTVLYKKTVRALDLLLQNFISENPSMDEVCFLLQHIEYWLMSDKI HERSRAVQSIYLLLQYVVDSLKLAKEAVPSLLGHQIGILILLWRDKDKITQQHSHFCVYL LMELVFQQKGKLVESAQWSKMKHFE >ENSMUSP00000108327.1 pep:known chromosome:GRCm38:5:105415775:105490074:1 gene:ENSMUSG00000070639.5 transcript:ENSMUST00000112707.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8b description:leucine rich repeat containing 8 family, member B [Source:MGI Symbol;Acc:MGI:2141353] MITLTELKCLADAQSSYHILKPWWDVFWYYITLIMLLVAVLAGALQLTQSRVLCCLPCKV EFDNQCAVPWDLLKGSENASSNSGLLLPLPLRIQNDLHRQQYSYIDAVCYEKQLHWFAKF FPYLVLLHTLIFAACSNFWLHYPSTSSRLEHFVSILHKCFDSPWTTRALSETVAEQSVRP LKLSKSKTLLSTSGGSADIDASKQSLPYPQPGLESPGIESPTSSVLDKKEGEQAKAIFEK VKRFRLHVEQRDIIYRVYLKQIIVKVILFVLIITYVPYFLSYITLEIDCSIDVQAFTGYK RYQCVYSLAEIFKVLASFYVILVMLYGLTSSYSLWWMLRSSLKQYSFEALREKSNYSDIP DVKNDFAFILHLADQYDPLYSKRFSIFLSEVSENKLKQINLNNEWTVERLKSKLVKNSQD KVELHLFMLNGLPDNVFELTEMEVLSLELIPEVKLPAAVAQLVNLRELHVYHSSLVVDHP ALAFLEENLRILRLKFTEMGKIPRWVFHLKNLKELYLSGCVLPEQLSSLHLEGFQDLKNL RTLYLKSSLSRIPQVVTDLLPSLQKLSLDNEGSKLVVLNNLKKMVNLKSLELLSCDLERI PHSIFSLNNLHELDLKENNLKTVEEIISFQHLPSLSCLKLWHNNIAYIPAQIGALSNLEQ LFLGHNNIESLPLQLFLCTKLHYLDLSYNHLTFIPEEIQYLTNLQYFAVTNNNIEMLPDG LFQCKKLQCLLLGRNSLTDLSPLVGELSNLTHLELTGNYLETLPVELEGCQSLKRSCLIV EDSLLNSLPLPVAERLQTCLDKC >ENSMUSP00000043369.2 pep:known chromosome:GRCm38:11:53720794:53725106:1 gene:ENSMUSG00000036117.2 transcript:ENSMUST00000048605.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il5 description:interleukin 5 [Source:MGI Symbol;Acc:MGI:96557] MRRMLLHLSVLTLSCVWATAMEIPMSTVVKETLTQLSAHRALLTSNETMRLPVPTHKNHQ LCIGEIFQGLDILKNQTVRGGTVEMLFQNLSLIKKYIDRQKEKCGEERRRTRQFLDYLQE FLGVMSTEWAMEG >ENSMUSP00000146530.1 pep:known chromosome:GRCm38:2:111751110:111755189:1 gene:ENSMUSG00000057149.2 transcript:ENSMUST00000207590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1301 description:olfactory receptor 1301 [Source:MGI Symbol;Acc:MGI:3031135] MDGSNRSLVSEFVLLGLARSQNLQVLLFIIFLVVYLLILSGNTIVMFLIITDKNLHSPMY FFLANLSFVDMWLSSATTPKMITDFLKEPKIISFAGCMSQVFFDHCVGAVEMLLLVVMAY DRYVAICKPLHYFTIMSLKRCAGLVLTSWAIAFVHAMSQLLAVVQLPLCGHMEIDSFFCD IPLIIKLACMDSHILDIYMNVDCGFVVVTCFILLLISYTYILLTVRQSSKAGASKALSTC TAHITVVMIFFVPCIFIYVWPLSITWLDKFLAVFYTVFAPLLNPAIYTLRNKEMRNAVKK LKNHFMDYKGNT >ENSMUSP00000078993.1 pep:known chromosome:GRCm38:2:111754251:111755189:1 gene:ENSMUSG00000057149.2 transcript:ENSMUST00000080094.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1301 description:olfactory receptor 1301 [Source:MGI Symbol;Acc:MGI:3031135] MDGSNRSLVSEFVLLGLARSQNLQVLLFIIFLVVYLLILSGNTIVMFLIITDKNLHSPMY FFLANLSFVDMWLSSATTPKMITDFLKEPKIISFAGCMSQVFFDHCVGAVEMLLLVVMAY DRYVAICKPLHYFTIMSLKRCAGLVLTSWAIAFVHAMSQLLAVVQLPLCGHMEIDSFFCD IPLIIKLACMDSHILDIYMNVDCGFVVVTCFILLLISYTYILLTVRQSSKAGASKALSTC TAHITVVMIFFVPCIFIYVWPLSITWLDKFLAVFYTVFAPLLNPAIYTLRNKEMRNAVKK LKNHFMDYKGNT >ENSMUSP00000039900.8 pep:known chromosome:GRCm38:3:87617575:87738033:1 gene:ENSMUSG00000041977.17 transcript:ENSMUST00000039476.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef11 description:Rho guanine nucleotide exchange factor (GEF) 11 [Source:MGI Symbol;Acc:MGI:2441869] MSIRLPHSIDRLSSLSSLGDSTPERTSPSHHRQPSDTSETTGLVQRCVIIQKDQHGFGFT VSGDRIVLVQSVRPGGAAMRAGVKEGDRIIKVNGTMVTNSSHLEVVKLIKSGAYVALTLL GSSPSSIGVSGLQQNPSLSGGPRVNPMIPPPPPPPPLPPPQHITGPKPLQDPEVQKHATQ ILRNMLRQEEKELQRICEVYSRNPASLLEEQIEGARRRVTQLQLKIQQETGGLVDVLPLC GETSQRTCEGRLSVDSQEADSGLDSGTERFPSISESLVNRNSVLSDPGLDSPQTSPVILA RVGQHHRRQGSDAAVHPLNHQGIDQSPKPLIIGPEEDYDPGYFNNESDIIFQDLEKLKSH PAYLVVFLRYIFSQADPGPLLFYLCSEVYQQTNPKDSRNLGKDIWNIFLEKNAPLRVKIP EMLQAEIDLRLRNSEDPRSALYEAQEAVMPEIQEQINDYRSKRTLGLGSLYGENDLLDLD GDPLRERQMAEKQLAALGDILSKYEEDRSAPMDFAVNTYMSHTGIRLRESRPSSTAEKTQ SAPDKDKWLPFFPKTKKSSNSKKEKDALEDKKRNPILRYIGKPKSSSQSIKPGNVRNIIQ HFENSHQYDVPEPGTQRLSTGSFPEDLLESDSSRSEIRLGRSESLKGREEMKRSRKAENV PRSRSDVDMDAAAEATRLHQSASSSASSLSTRSLENPTPPFTPKMGRRSIESPNLGFCTD VILPHLLEDDLGQLSDLEPEPEVQNWQHTVGKDVVANLTQREIDRQEVINELFVTEASHL RTLRVLDLIFYQRMKKENLMPREELSRLFPNLPELIEIHNSWCEAMKKLREEGPIIRDIS DLMLARFDGPAREELQQVAAQFCSYQSVALELIRTKQRKESRFQLFMQEAESHPQCRRLQ LRDLIISEMQRLTKYPLLLENIIKHTAGGTSEYEKLCRARDQCREILKFVNEAVKQTENR HRLEGYQKRLDATALERASNPLAAEFKSLDLTTRKMIHEGPLTWRISKDKTLDLQVLLLE DLVVLLQRQEEKLLLKCHSKTAVGSSDSKQTFSPVLKLNAVLIRSVATDKRAFFIICTSE LGPPQIYELVALTSSDKNIWMELLEEAVQNATKHPGDAPVLNHPSPPGSQEPAYQGSTSS RVEVNDSEVYPTEREPKKPSEGPGPEQRGQDKQLLAQEGPEQEEDAEELRALPCPPPSLD GENRGIRTRDPVLLALTGPLLMEGLADAALEDVENLRHLILWSLLPGHTVKTQAAGEPED DLTPTPSVVSITSHPWDPGSPGQAPAISDNTQFPRPEGSQPEGEDVALCSLAHLPPRTRN SGIWDSPELDRNPAEEASSSEPAGSYKVVRKVSLLPGGGVGAAKVAGSNVTPALPESGQS ESELSEVEGGAQATGNCFYVSMPAEPLDSSTEPPGTPPSLSQCHSLPAWPTEPPQHRGVT GGQRSSLVLRDMGVIFHTIEQLTVKLHRLKDMELAHRELLNSLGGESSGGTTPVGSFHTE AARWTDYSLSPPAKEALTSDPQNNQEQGSYPEEGSDTPLEDSATDTASSPGP >ENSMUSP00000118123.1 pep:known chromosome:GRCm38:3:87617585:87735909:1 gene:ENSMUSG00000041977.17 transcript:ENSMUST00000129113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef11 description:Rho guanine nucleotide exchange factor (GEF) 11 [Source:MGI Symbol;Acc:MGI:2441869] MSIRLPHSIDRLSSLSSLGDSTPERTSPSHHRQPSDTSETTGLVQRCVIIQKDQHGFGFT VSGDRIVLVQSVRPGGAAMRAGVKEGDRIIKVNGTMVTNSSHLEVVKLIKSGAYVALTLL GSSPSSIGVSGLQQNPSLSGGPRVNPMIPPPPPPPPLPPPQHITGPKPLQDPEVQKHATQ ILRNMLRQEEKELQDVLPLCGETSQRTCEGRLSVDSQEADSGLDSGTERFPSISESLVNR NSVLSDPGLDSPQTSPVILARVGQHHRRQGSDAAVHPLNHQGIDQSPKPLIIGPEEDYDP GYFNNESDIIFQDLEKLKSHPAYLVVFLRYIFSQADPGPLLFYLCSEVYQQTNPKDSRNL GKDIWNIFLEKNAPLRVKIPEMLQAEIDLRLRNSEDPRSALYEAQEAVMPEIQEQINDYR SKRTLGLGSLYGENDLLDLDGDPLRERQMAEKQLAALGDILSKYEEDRSAPMDFAVNTYM SHTGIRLRESRPSSTAEKTQSAPDKDKWLPFFPKTKKQSSNSKKEKDALEDKKRNPILRY IGKPKSSSQSTFHIPLSPVEVKPGNVRNIIQHFENSHQYDVPEPGTQRLSTGSFPEDLLE SDSSRSEIRLGRSESLKGREEMKRSRKAENVPRSRSDVDMDAAAEATRLHQSASSSASSL STRSLENPTPPFTPKMGRRSIESPNLGFCTDVILPHLLEDDLGQLSDLEPEPEVQNWQHT VGKDVVANLTQREIDRQEVINELFVTEASHLRTLRVLDLIFYQRMKKENLMPREELSRLF PNLPELIEIHNSWCEAMKKLREEGPIIRDISDLMLARFDGPAREELQQVAAQFCSYQSVA LELIRTKQRKESRFQLFMQEAESHPQCRRLQLRDLIISEMQRLTKYPLLLENIIKHTAGG TSEYEKLCRARDQCREILKFVNEAVKQTENRHRLEGYQKRLDATALERASNPLAAEFKSL DLTTRKMIHEGPLTWRISKDKTLDLQVLLLEDLVVLLQRQEEKLLLKCHSKTAVGSSDSK QTFSPVLKLNAVLIRSVATDKRAFFIICTSELGPPQIYELVALTSSDKNIWMELLEEAVQ NATKHPGDAPVLNHPSPPGSQEPAYQGSTSSRVEVNDSEVYPTEREPKKPSEGPGPEQRG QDKQLLAQEGPEQEEDAEELRALPCPPPSLDGENRGIRTRDPVLLALTGPLLMEGLADAA LEDVENLRHLILWSLLPGHTVKTQAAGEPEDDLTPTPSVVSITSHPWDPGSPGQAPAISD NTQFPRPEGSQPEGEDVALCSLAHLPPRTRNSGIWDSPELDRNPAEEASSSEPAGSYKVV RKVSLLPGGGVGAAKVAGSNVTPALPESGQSESELSEVEGGAQATGNCFYVSMPAEPLDS STEPPGTPPSLSQCHSLPAWPTEPPQHRGVTGGQRSSLVLRDMGVIFHTIEQLTVKLHRL KDMELAHRELLNSLGGESSGGTTPVGSFHTEAARWT >ENSMUSP00000122166.1 pep:known chromosome:GRCm38:3:87618101:87738034:1 gene:ENSMUSG00000041977.17 transcript:ENSMUST00000152006.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgef11 description:Rho guanine nucleotide exchange factor (GEF) 11 [Source:MGI Symbol;Acc:MGI:2441869] MSIRLPHSIDRLSSLSSLGDSTPERTSPSHHRQPSDTSETTGLVQRCVIIQKDQHGFGFT VSGDRIVLVQSVRPGGAAMRAGVKEGDRIIKVNGTMVTNSSHLEVVKLIKSGAYVALTLL GSSPSSIGVSGLQQNPSLSGGPRVNPMIPPPPPPPPLPPPQHITGPKPLQDPEVQKHATQ ILRNMLRQEEKELQRICEVYSRNPASLLEEQIEGARRRVTQLQLKIQQETGGLVDVLPLC GETSQRTCEGRLSVDSQEADSGLDSGTERFPSISESLVNRNSVLSDPGLDSPQTSPVILA RVGQHHRRQGSDAAVHPLNHQGIDQSPKPLIIGPEEDYDPGYFNNESDIIFQDLEKLKSH PAYLVVFLRYIFSQADPGPLLFYLCSEVYQQTNPKDSRNLGKDIWNIFLEKNAPLRVKIP EMLQAEIDLRLRNSEDPRSALYEAQEAVMPEIQEQINDYRSKRTLGLGSLYGENDLLDLD GDPLRERQMAEKQLAALGDILSKYEEDRSAPMDFAVNTYMSHTGIRLRESRPSSTAEKTQ SAPDKDKWLPFFPKTKKSSNSKKEKDALEDKKRNPILRYIGKPKSSSQSIHAQRFALAAL KASRAGRR >ENSMUSP00000056353.8 pep:known chromosome:GRCm38:6:148232430:148444389:-1 gene:ENSMUSG00000030306.14 transcript:ENSMUST00000060095.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc1 description:transmembrane and tetratricopeptide repeat containing 1 [Source:MGI Symbol;Acc:MGI:3039590] MLVTRGDRGGGERAPSRRPRCGLVPAGAAALLAGASCLCYGRSLRGEFVHDDVWAIVNNP DVRPGTPLRWAIFANDFWGKGLADSTSHKSYRPLCVLSFRLNIFLTGMNPFYFHAVNVIL HCLVTLVLMYTCDKTVFKNRGLAFVTALLFAVHPVHTEAVAGIVGRADVLACLLFLLAFL SYQRSLDQGCAGQCFPTTASPFFLLLSLFLGTCAMLVKETGITVFGVCLVYDLFSPSHKQ DKLSNGAVCQHSSGQPGSPQPSSQQAHPHRESRKQRFPHKDSWGGCHSPLPPEPKSSGFP MSPRAMWSLMRCLTGSTNRNFLLTLRPFLKRAILVISYVTVILYFRLWIMGGTMPLFSEQ DNPASFSPYILTRFLTYSYLLAFNVWLLLAPITLCYDWQVGSIPLVETIWDVRNLATILL AVVMALLSLHCVAAFKRLEHKEVLAGLLFLVFPFIPASNLFFRVGFVVAERVLYMPSMGY CILFVHGLSKLCAGLSRCGATSLMASTVLLLLLFSWKTVKQNEIWLSRESLFRSGVQTLP HNAKVHYNYANFLKDQGRNKEAIYHYRTALKLYPRHASALNNLGTLTKDMAEAKMYYQKA LQLHPQHNRALFNLGNLLKSQEKTEEAIMLLKESIKYGPDFADAYSSLASLLAEQERFKE AEDIYQAGIKNCPDSSDLHNNYAVFLVDSGFPEKAVAHYQQAIQLSPSHHVAVVNLGRLY RSLGENSKAEEWYRRALKVARTAEVLSPLGALYYNTGRHKEALEVYREAVSLQPSQRELR LALAQVLAVMGQTKEAEKITSHIVSEEPRCLECYRLLSAIHSKQEHHGKALEAIEKALQL KPKDPKVISELFFTKGNQLREQNLLDKAFESYEAAVTLDPDQAQAWMNMGGIRHIQGSYV SARAYYERALKLVPDSKLLKENLAKLDRLERRLQEVRERDQT >ENSMUSP00000098335.3 pep:known chromosome:GRCm38:6:148232430:148444389:-1 gene:ENSMUSG00000030306.14 transcript:ENSMUST00000100772.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc1 description:transmembrane and tetratricopeptide repeat containing 1 [Source:MGI Symbol;Acc:MGI:3039590] MLVTRGDRGGGERAPSRRPRCGLVPAGAAALLAGASCLCYGRSLRGEFVHDDVWAIVNNP DVRPGTPLRWAIFANDFWGKGLADSTSHKSYRPLCVLSFRLNIFLTGMNPFYFHAVNVIL HCLVTLVLMYTCDKTVFKNRGLAFVTALLFAVHPVHTEAVAGIVGRADVLACLLFLLAFL SYQRSLDQGCAGQCFPTTASPFFLLLSLFLGTCAMLVKETGITVFGVCLVYDLFSPSHKQ DKLSNGAVCQHSSGQPGSPQPSSQQAHPHRESRKQRFPHKDSWGGCHSPLPPEPKSSGFP MSPRAMWSLMRCLTGSTNRNFLLTLRPFLKRAILVISYVTVILYFRLWIMGGTMPLFSEQ DNPASFSPYILTRFLTYSYLLAFNVWLLLAPITLCYDWQVGSIPLVETIWDVRNLATILL AVVMALLSLHCVAAFKRLEHKEVLAGLLFLVFPFIPASNLFFRVGFVVAERVLYMPSMGY CILFVHGLSKLCAGLSRCGATSLMASTVLLLLLFSWKTVKQNEIWLSRESLFRLYPRHAS ALNNLGTLTKDMAEAKMYYQKALQLHPQHNRALFNLGNLLKSQEKTEEAIMLLKESIKYG PDFADAYSSLASLLAEQERFKEAEDIYQAGIKNCPDSSDLHNNYAVFLVDSGFPEKAVAH YQQAIQLSPSHHVAVVNLGRLYRSLGENSKAEEWYRRALKVARTAEVLSPLGALYYNTGR HKEALEVYREAVSLQPSQRELRLALAQVLAVMGQTKEAEKITSHIVSEEPRCLECYRLLS AIHSKQEHHGKALEAIEKALQLKPKDPKVISELFFTKGNQLREQNLLDKAFESYEAAVTL DPDQAQAWMNMGGIRHIQGSYVSARAYYERALKLVPDSKLLKENLAKLDRLERRLQEVRE RDQT >ENSMUSP00000115543.1 pep:known chromosome:GRCm38:6:148246734:148441635:-1 gene:ENSMUSG00000030306.14 transcript:ENSMUST00000140797.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmtc1 description:transmembrane and tetratricopeptide repeat containing 1 [Source:MGI Symbol;Acc:MGI:3039590] MMGLWCRPVRLNIFLTGMNPFYFHAVNVILHCLVTLVLMYTCDKTVFKNRGLAFVTALLF AVHPVHTEAVAGIVGRADVLACLLFLLAFLSYQRSLDQGCAGQCFPTTASPFFLLLSLFL GTCAMLVKETGITVFGVCLVYDLFSPSHKQDKLSNGAVCQHSSGQPGSPQPSSQQAHPHR ESRKQRFPHKDSWGGCHSPLPPEPKSSGFPMSPRAMWSLMRCLTGSTNRNFLLTLRPFLK RAILVISYVTVILYFRLWIMGGTMPLFSEQDNPASFSPYILTRFLTYSYLLAFNVWLLLA PITLCYDWQVGSIPLVETIWDVRNLATILLAVVMALLSLHCVAAFKRLEHKEVLAGLLFL VFPFIPASNLFFRVGFVVAERVLYMPSMGYCILFVHGLSKLCAGLSRCGATSLMASTVLL LLLFSWKTVKQNEIWLSRESLFRSGVQTLPHNAKVHYNYANFLKDQGRNKEAIYHYRTAL KLYPRHASALNNLGTLTKDMAEAKMYYQKALQLHPQHNRALFNLGNLLKEEGLGILKLLQ REKEPCLSVSLRIEWMKSCPRRRQKKPSCC >ENSMUSP00000144991.1 pep:known chromosome:GRCm38:6:148411074:148441635:-1 gene:ENSMUSG00000030306.14 transcript:ENSMUST00000203991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc1 description:transmembrane and tetratricopeptide repeat containing 1 [Source:MGI Symbol;Acc:MGI:3039590] MMGLWCRPVRLNIFLTGMNPFYFHAVNVILHCLVTLVLMYTCDKTVFKNRGLAFVTALLF AVHPVHTEAVAGIVGRADVLACLLFLLAFLSYQRSLDQGCAGQCFPTTASPFFLLLSLFL GTCAMLVKETGITVFGVCLVYDLFSPSHKQDKLSNGAVCQHSSGQPGSPQPSSQQAHPHR ESRKQRFPHKDSWGGCHSPLPPEPKSSG >ENSMUSP00000024840.5 pep:known chromosome:GRCm38:17:67842713:68004120:-1 gene:ENSMUSG00000024043.13 transcript:ENSMUST00000024840.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap28 description:Rho GTPase activating protein 28 [Source:MGI Symbol;Acc:MGI:2147003] MEVEDSGGVVLTAYHSHARSQPQGAEPRCASRASHPLSRKSIPRCRRINRMLSNESLHPP SFSRSNSQASVDSSASMEEFLREIESIKESSVGASQEQPPTAAAAAAEVKPVDEGELEAE WLQDVGLSTLISGNEEEDGKALLSTLTRTQAAAVKKRYNTYTQTLRKKNKQPVRDVRDIF GVSESPPSDSCEHATQLDGTKEEKDLPGVTKTSRPLPDDASLSSTTLSNGAQDEEGGFVA LQSGSVSILEAIPDIAVHTNGSADAEQSVQSTLSDDDYHGKNVPAEAEELSFEVSYSEMV TEMPDRNKWKKSDIKKEDYVLTKFIIQKTRFGLTETGDLSVEDMKKIRHLSLIELTAFFD AFGIQLKRNKTERVRGRDNGIFGVPLTVLLDNDRKKDPAVKVPLVLQKFFQKVEESGLES EGIFRLSGCTAKVKQYREELDARFNADKFKWDKMCHREAAVMLKAFFRELPTSLFPVEYI PAFISLMERGPDIKVQFQALHLMVMALPDANRDTAQALMAFFNKVIANESKNRMNLWNIS TVMAPNLFFSRSKHSDCEELLLANTATHIIRLMLKYQKILWKVPSFLITQVRRMNEATML LKKQLPSMKKLLRRKTLDREVSILKTSKVPQKSPSSRRMSDVPEGVIRVHAPLLSKVSMA IQLNSQTKAKDILAKFQYENSHGSSEHIKMQNQRLYEVGGNIGQHCLDPDAYILDVYHIN PHAEWVIKP >ENSMUSP00000128194.1 pep:known chromosome:GRCm38:17:67845110:67950940:-1 gene:ENSMUSG00000024043.13 transcript:ENSMUST00000164647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap28 description:Rho GTPase activating protein 28 [Source:MGI Symbol;Acc:MGI:2147003] MLSNESLHPPSFSRSNSQASVDSSASMEEFLREIESIKESSVGASQEQPPTAAAAAAEVK PVDEGELEAEWLQDVGLSTLISGNEEEDGKALLSTLTRTQAAAVKKRYNTYTQTLRKKNK QPVRDVRDIFGVSESPPSDSCEHATQLDGTKEEKDLPGVTKTSRPLPDDASLSSTTLSNG AQDEEGGFVALQSGSVSILEAIPDIAVHTNGSADAEQSVQSTLSDDDYHGKNVPAEAEEL SFEVSYSEMVTEMPDRNKWKKSDIKKEDYVLTKFIIQKTRFGLTETGDLSVEDMKKIRHL SLIELTAFFDAFGIQLKRNKTERVRGRDNGIFGVPLTVLLDNDRKKDPAVKVPLVLQKFF QKVEESGLESEGIFRLSGCTAKVKQYREELDARFNADKFKWDKMCHREAAVMLKAFFREL PTSLFPVEYIPAFISLMERGPDIKVQFQALHLMVMALPDANRDTAQALMAFFNKVIANES KNRMNLWNISTVMAPNLFFSRSKHSDCEELLLANTATHIIRLMLKYQKILWKVPSFLITQ VRRMNEATMLLKKQLPSMKKLLRRKTLDREVSILKTSKVPQKSPSSRRMSDVPEGVIRVH APLLSKVSMAIQLNSQTKAKDILAKFQYENSHGSSEHIKMQNQRLYEVGGNIGQHCLDPD AYILDVYHINPHAEWVIKP >ENSMUSP00000130960.1 pep:known chromosome:GRCm38:17:67845735:67901471:-1 gene:ENSMUSG00000024043.13 transcript:ENSMUST00000163865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap28 description:Rho GTPase activating protein 28 [Source:MGI Symbol;Acc:MGI:2147003] MLSNESLHPPSFSRSNSQASVDSSASMEEFLREIESIKESSVGASQEQPPTAAAAAAEVK PVDEGELEAEWLQDVGLSTLISGNEEEDGKALLSTLTRTQAAAVKKRYNTYTQTLRKKNK QPVRDVRDIFGVSESPPSDSCEHATQLDGTKEEKDLPGVTKTSRPLPDDASLSSTTLSNG AQDEEGGFVALQSGSVSILEAIPDIAVHTNGSADAEQSVQSTLSDDDYHGKNVPAEAEEL SFEVSYSEMVTEMPDRNKWKKSDIKKEDYVLTKFIIQKTRFGLTETGDLSVEDMKKIRHL SLIELTAFFDAFGIQLKRNKTERVRGRDNGIFGVPLTVLLDNDRKKDPAVKVPLVLQKFF QKVEESGLESEGIFRLSGCTAKVKYREELDARFNADKFKWDKMCHREAAVMLKAFFRELP TSLFPVEYIPAFISLMERGPDIKVQFQALHLMVMALPDANRDTAQALMAFFNKVIANESK NRMNLWNISTVMAPNLFFSRSKHSDCEELLLANTATHIIRLMLKYQKILWKVPSFLITQV RRMNEATMLLKKQLPSMKKLLRRKTLDREVSILKTSKVPQKSPSSRRMSDVPEGVIRVHA PLLSKVSMAIQLNSQTKAKDILAKFQYENSHGSSEHIKMQNQRLYEVGGNIGQHCLDPDA YILDVYHINPHAEWVIKP >ENSMUSP00000132087.1 pep:known chromosome:GRCm38:17:67857417:67873048:-1 gene:ENSMUSG00000024043.13 transcript:ENSMUST00000170813.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap28 description:Rho GTPase activating protein 28 [Source:MGI Symbol;Acc:MGI:2147003] XKKEDYVLTKFIIQKTRFGLTETGDLSVEDMKKIRHLSLIELTAFFDAFGIQLKRNKTER VRGRDNGIFGVPLTVLLDNDRKKDPAVKVPLVLQKFFQKVEESGLESEGIFRLSGCTAKV KQYREELDARFNADKFKWDKMCHREAAVMLKAFFRELPTSLFPVEYIPAFISLMERGPDI KVQFQALHLMVMALPDANRDTAQQKQAL >ENSMUSP00000131903.1 pep:known chromosome:GRCm38:17:67868963:67896110:-1 gene:ENSMUSG00000024043.13 transcript:ENSMUST00000170581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap28 description:Rho GTPase activating protein 28 [Source:MGI Symbol;Acc:MGI:2147003] XKKNKQPVRDVRDIFGVSESPPSDSCEHATQLDGTKEEKDLPGVTKTSRPLSILEAIPDI AVHTNGSADAEQSVQSTLSDDDYHGKNVPAEAEELSFEVSYSEMVTEMPDRNKWKKSDIK KEDYVLTKFIIQKTRFGLTETGDLSVEDMKKIRHLSLIELTAFFDAFGIQLKRNKTERVR GRDNGIFGVPLTVLLDNDRKKDPAVKVPLVLQKFFQKVEESGLESEGIFRLSGCT >ENSMUSP00000126133.1 pep:known chromosome:GRCm38:7:23577185:23578099:1 gene:ENSMUSG00000094602.1 transcript:ENSMUST00000170290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r169 description:vomeronasal 1 receptor 169 [Source:MGI Symbol;Acc:MGI:3782408] MLSQNKSVKTTVEVALQILLLCQVGVGTVVNIFLFVHNLSPFLNGSQQRPIKVILANLAL GNTLILLFAFPNNIRVFIPREFPTDLKCKLGYFIWLVARSTNMCSTCSLSTYQLVTLAPG TWGRVMLHGRAPKFVRYTCYSCWLFSVLNNAHIPMKVSGPQKTHNDTNTKSKWVCSTTGF SIGMRILSFAHDAVFISIIIWSSVSMVILLNRHHQRLQHIQSPNQKLRFHAETRAAHTIL MLVVTFVTCYLLDCICTSLHISFVDSRVWLRRVKEILAVSFPTFSPLLLIFRDPKDPCSL PFSC >ENSMUSP00000125221.3 pep:known chromosome:GRCm38:6:52257694:52260803:-1 gene:ENSMUSG00000038203.18 transcript:ENSMUST00000147595.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa13 description:homeobox A13 [Source:MGI Symbol;Acc:MGI:96173] MAHPAPLAPGAAAAYSSAPGEAPPSAAAAAAAAAAAAAAAAAASSSGGPGPAGPAGAEAA KQCSPCSAAAQSSSGPAALPYGYFGSGYYPCARMGPHPNAIKSCAQPASAAAAFADKYMD TAGPAAEEFSSRAKEFAFYHQGYAAGPYHHHQPVPGYLDMPVVPGLGGPGESRHEPLGLP MESYQPWALPNGWNGQMYCPKEQTQPPHLWKSTLPDVVSHPSDASSYRRGRKKRVPYTKV QLKELEREYATNKFITKDKRRRISATTNLSERQVTIWFQNRRVKEKKVINKLKTTS >ENSMUSP00000039170.9 pep:known chromosome:GRCm38:6:52258853:52260880:-1 gene:ENSMUSG00000038203.18 transcript:ENSMUST00000047993.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa13 description:homeobox A13 [Source:MGI Symbol;Acc:MGI:96173] MTASVLLHPRWIEPTVMFLYDNGGGLVADELNKNMEGAAAAARAAAAAAAGAGGGGFPHP AAAAAGGNFSVAAAAAAAAAANQCRNLMAHPAPLAPGAAAAYSSAPGEAPPSAAAAAAAA AAAAAAAAAASSSGGPGPAGPAGAEAAKQCSPCSAAAQSSSGPAALPYGYFGSGYYPCAR MGPHPNAIKSCAQPASAAAAFADKYMDTAGPAAEEFSSRAKEFAFYHQGYAAGPYHHHQP VPGYLDMPVVPGLGGPGESRHEPLGLPMESYQPWALPNGWNGQMYCPKEQTQPPHLWKST LPDVVSHPSDASSYRRGRKKRVPYTKVQLKELEREYATNKFITKDKRRRISATTNLSERQ VTIWFQNRRVKEKKVINKLKTTS >ENSMUSP00000110059.1 pep:known chromosome:GRCm38:6:52258871:52260802:-1 gene:ENSMUSG00000038203.18 transcript:ENSMUST00000114416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxa13 description:homeobox A13 [Source:MGI Symbol;Acc:MGI:96173] MFLYGYFGSGYYPCARMGPHPNAIKSCAQPASAAAAFADKYMDTAGPAAEEFSSRAKEFA FYHQGYAAGPYHHHQPVPGYLDMPVVPGLGGPGESRHEPLGLPMESYQPWALPNGWNGQM YCPKEQTQPPHLWKSTLPDVVSHPSDASSYRRGRKKRVPYTKVQLKELEREYATNKFITK DKRRRISATTNLSERQVTIWFQNRRVKEKKVINKLKTTS >ENSMUSP00000127655.1 pep:known chromosome:GRCm38:7:23606175:23607089:1 gene:ENSMUSG00000094187.1 transcript:ENSMUST00000170166.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r170 description:vomeronasal 1 receptor 170 [Source:MGI Symbol;Acc:MGI:3644326] MLLQNKSVKTTEEVALQVLLLCQVGVGTAVNIFLIVHNLSPNLNGSQQRPIKVILANLAV GNTLILLFAFPNNMTLFVPKDPPTDLKCKLGYFIWLVARSTNMCSTCSLSTYQLVTLAPG TWGRVMLRGRAPKFVRYICYSCWLFSVLNNAHIPMKVSGPQKTHNDTNTKNKWVCSTTGF SIGMRILSFAHDAVFISIIIWSSVSMVILLNRHHHRLQHIQSTNQKLRVHAESRASHTIL MLVVTFVTCYHLDCICTFCNISFLDSQLWLRRVKQILDASFPTFSPLLLIFRDPKDPCSL LFCC >ENSMUSP00000029183.2 pep:known chromosome:GRCm38:2:158768093:158786637:1 gene:ENSMUSG00000027654.2 transcript:ENSMUST00000029183.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83d description:family with sequence similarity 83, member D [Source:MGI Symbol;Acc:MGI:1919128] MAARFELLDDLPAACLSPCGPPNPTELFSEARRLALEQLLAGGPDAWAAFLRRERLGRFL NADEVREVLGAAERPGEDGAAVAEDSFGSSHECSSGTYFPEQSDLEPPALELGWPSFYQG AYRGATRVEAHFQPRGAGAGGPYGCKDALRQQLRSAREVIAVVMDVFSDIDIFRDLQESC RKRGVAVYILLDQTLLPHFLDMCMDLRVHPEQEKLMTVRTITGNIYYARSGTKVVGKVHE KFTLIDGIRVATGSYSFTWTDGKLNSSNLVILSGQVVEHFDLEFRILYAQSEPISSKLLS NFQINSKFDHLADRKPQSKEPTLGNLLRMRLARLSSTPRKSNLGPEEPPKDRAKPKRPDS EASTISDEDYFHSHKDQLEDSKVADAATQTEPREEMAAVSLSEVGTQTSSSMMCVGTQTT VVTRAASSQATVWSKSTTTQTEADESFLPQGAQSKEGSPASKMSVSRSSSVRSSSSVSSQ GSLASSVSSHVSLTAADLHTPAYPKYLGLGTPHLDLCLRDSFRNLSKERQVHFTGIRSRL TQMLTVLSRRTLFTEHYLSYSPGSFTRASTNLVSVRDIALYPPYQ >ENSMUSP00000045901.9 pep:known chromosome:GRCm38:11:101155907:101161787:1 gene:ENSMUSG00000045007.9 transcript:ENSMUST00000043654.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubg2 description:tubulin, gamma 2 [Source:MGI Symbol;Acc:MGI:2144208] MPREIITLQLGQCGNQIGFEFWKQLCAEHGISPEGIVEEFATEGTDRKDVFFYQADDEHY IPRAVLLDLEPRVIHSILNSSYAKLYNPENIYLSEHGGGAGNNWGRGFSQGEKIHEDIFD IIDREADGSDSLEGFVLCHSIAGGTGSGLGSYLLERLNDRYPKKLVQTYSVFPNQDEMSD VVVQPYNSLLTLKRLTQNADCVVVLDNTALNRIATDRLHIQNPSFSQINQLVSTIMSAST TTLRYPGYMNNDLIGLIASLIPTPRLHFLMTGYTPLTTDQSVASVRKTTVLDVMRRLLQP KNVMVSTGRDRQTNHCYIAILNIIQGEVDPTQVHKSLQRIRERKLANFIPWGPASIQVAL SRKSPYLPSAHRVSGLMMANHTSISSLFESSCQQYDKLWKRGAFLEQFRKEDIFKDNFEE MHRSREVVQELIDEYHAATRPDYISWGTQEQ >ENSMUSP00000134738.1 pep:known chromosome:GRCm38:13:73260479:73269608:1 gene:ENSMUSG00000021604.15 transcript:ENSMUST00000176684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx4 description:Iroquois related homeobox 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1355275] MSYPQFGYPYSSAPQFLMTTNSLSTCCESGGRTLADSGPAASAQAPVYCPVYESRLLATA RHELNSAAALGVYGSPYGSSQGYGNYVTYGSEASAFYSLNSFESKDGTGSSHAGLPPTAA AAYYPYEPALSQYPYDRYGTVDSGTRRKNATRETTSTLKAWLQEHRKNPYPTKGEKIMLA IITKMTLTQVSTWFANARRRLKKENKMTWPPRNKCADEKRPYGEGEEEEAGEEESREEPL KSAKSEGHAGKDDKELELSDLEDFDPLDAETSECELKTPFQSLDSGPERIPASSDGPGTG KEASTTLRMPLGTAGGAVMDGDLERARNCLRSTVVVPDSGAEGGPPACEAKLTFAQAGAP PNLETKPRIWSLAHTATAAAATALSQTEFPSCMLKRQGPTGVSATTPASSPAVTAPSGAL DRHQDSPVTSLRNWVDGVFHDPILRHSTLNQAWATAKGALLDPGPLGRNLGAGTNVLTTP LACSFPPTVPQDVPPAGASRELLATPKAGGKPFCT >ENSMUSP00000022095.7 pep:known chromosome:GRCm38:13:73260497:73269608:1 gene:ENSMUSG00000021604.15 transcript:ENSMUST00000022095.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irx4 description:Iroquois related homeobox 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1355275] MSYPQFGYPYSSAPQFLMTTNSLSTCCESGGRTLADSGPAASAQAPVYCPVYESRLLATA RHELNSAAALGVYGSPYGSSQGYGNYVTYGSEASAFYSLNSFESKDGTGSSHAGLPPTAA AAYYPYEPALSQYPYDRYGTVDSGTRRKNATRETTSTLKAWLQEHRKNPYPTKGEKIMLA IITKMTLTQVSTWFANARRRLKKENKMTWPPRNKCADEKRPYGEGEEEEAGEEESREEPL KSAKSEGHAGKDDKELELSDLEDFDPLDAETSECELKTPFQSLDSGPERIPASSDGPGTG KEASTTLRMPLGTAGGAVMDGDLERARNCLRSTVVVPDSGAEGGPPACEAKLTFAQAGAP PNLETKPRIWSLAHTATAAAATALSQTEFPSCMLKRQGPTGVSATTPASSPAVTAPSGAL DRHQDSPVTSLRNWVDGVFHDPILRHSTLNQAWATAKGALLDPGPLGRNLGAGTNVLTTP LACSFPPTVPQDVPPAGASRELLATPKAGGKPFCT >ENSMUSP00000113717.1 pep:known chromosome:GRCm38:7:92643543:92669934:-1 gene:ENSMUSG00000041328.16 transcript:ENSMUST00000119954.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcf11 description:cleavage and polyadenylation factor subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919579] MSEQTPAEAGAAGAREDACRDYQSSLEDLTFNSKPHINMLTILAEENLPFAKEIVSLIEA QTAKAPSSEKLPVMYLMDSIVKNVGREYLTAFTKNLVATFICVFEKVDENTRKSLFKLRS TWDEIFPLKKLYALDVRVNSLDPAWPIKPLPPNVNTSSIHVNPKFLNKSPDEPSTPGTVV SSPSISTPPIVPDIQKNLTQEQLIRQQLLAKQKQLLELQQKKLELELEQAKAQLAVSLSV QQETANLGPGSVPSKLHVPQIPTMAVKTPHQVPVQPDKSRAGPSLQMQDLKGTNRDPRLN RMSQHSSHGKEQSHRKEFVMNTINQSDIKTSKNVPSEKLNSSKQEKSKSGERITKKELDQ LDSKSKSKSKSPSPLKNKLSHTKDLKNQDSESMRLSDMSKRDPRLKKHLQDKAEGKDEDV KEKRKTAEKKEKDEHMKSSEHRVIGSRSKIINGIVQKQDMVTEELEKQGTKPGRSSTRKR SRSRSPKSRSPIIHSPKRRDRRSPKRRQRSMSPNLAPKAGKMRQSGLKQSHMEEFPPPSR EERNIKRSAKQDVRDPRRLKKMDEDRPQETAGQHSMKSGGDPKENIENWQSSKSAKRWKS GWEENKSLQQGDEHSKPPHLRHRESWSSTKGILSPRAPKQQHRLSVDANLQIPKELTLAS KRELLQKTSERLASGEITQDEFLVVVHQIRQLFQYQEGVREEQRSPFNDRFPLKRPRYED SDKPFVDGPASRFAGLDTNQRLTALAEDRPLFDGPGRPSVTRDGPAKMIFEGPNKLSPRI DGPPTPGSLRFDGSPGQMGGGGPMRFEGPQGQLGGGCPLRFEGPPGPVGTPLRFEGPIGQ GGGGGFRFEGSPSLRFEGSTGGLRFEGPGGQPVGGLRFEGHRGQPVGGLRFEGPHGQPVG SLRFDNPRGQPVGGLRFEGGHGPSGAAIRFDGPHGQPGGGGGIRFEGPLLQQGVGMRFEG PHGQSVAGLRFEGHNQLGGNLRFEGPHGQPGVGIRFEGPIVQQGGGMRFEGPVPGGGLRI EGPLGQGGPRFEGCHSLRFDGQPGQPSLLPRFDGLHGQPGPRFERTGQPGPQRFDGPPGQ QVQPRFDGVPQRFDGPQHQQASRFDIPLGLQGTRFDNHPSQRIESFNHSGPYNDPPGNTF NVPSQGLQFQRHEQIFDTPQGPNFNGPHGPGNQNFPNPINRASGHYFDEKNLQSSQFGNF GNLPTPISVGNIQASQQVLTGVAQPVAFGQGQQFLPVHPQNPGAFIQNPSGGLPKAYPDN HLSQVDVNELFSKLLKTGILKLSQPDSATAQVTEAVAQPPPEEDEDQNEDQDVPDLTNFT IEELKQRYDSVINRLYTGIQCYSCGMRFTTSQTDVYADHLDWHYRQNRTEKDVSRKVTHR RWYYSLTDWIEFEEIADLEERAKSQFFEKVHEEVVLKTQEAAKEKEFQSVPAGPAGAVES CEICQEQFEQYWDEEEEEWHLKNAIRVDGKIYHPSCYEDYQNTSSFDCTPSPSKTPVENP LNIMLNIVKNELQEPCESPKVKEEQIDAPPACSEESVATPTEIKTESDTVESV >ENSMUSP00000115278.1 pep:known chromosome:GRCm38:7:92644260:92663537:-1 gene:ENSMUSG00000041328.16 transcript:ENSMUST00000151177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcf11 description:cleavage and polyadenylation factor subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919579] XAKQKQLLELQQKKLELELEQAKAQLAVSLSVQQETANLGPGSVPSKLHVPQIPTMAVKT PHQVPVQPDKSRAGPSLQMQDLKGTNRDPRLNRMSQHSSHGKEQSHRKEFVMNTINQSDI KTSKNVPSEKLNSSKQEKSKSGERITKKELDQLDSKSKSKSKSPSPLKNKLSHTKDLKNQ DSESMRLSDMSKRDPRLKKHLQDKAEGKDEDVKEKRKTAEKKEKDEHMKSSEHRVIGSRS KIINGIVQKQDMVTEELEKQGTKPGRSSTRKRSRSRSPKSRSPIIHSPKRRDRRSPKRRQ RSMSPNLAPKAGKMRQSGLKQSHMEEFPPPSREERNIKRSAKQDVRDPRRLKKMDEDRPQ ETAGQHSMKSGGDPKENIENWQSSKSAKRWKSGWEENKSLQQGDEHSKPPHLRHRESWSS TKGILSPRAPKQQHRLSVDANLQIPKELTLASKRELLQKTSERLASGEITQDEFLVVVHQ IRQLFQYQEGKHRCNVRDSPKEENKGGLKKKPLLSDAELTYYEHKAKLKRTQVQHSFPRL DLLDPDIFDYPLTDALLSGIECEPSKSKHASRNSGAQFDRKEQFSERARRPSPISGSRTY AENLSPHEGRRRHDEQVSAKGVREEQRSPFNDRFPLKRPRYEDSDKPFVDGPASRFAGLD TNQRLTALAEDRPLFDGPGRPSVTRDGPAKMIFEGPNKLSPRIDGPPTPGSLRFDGSPGQ MGGGGPMRFEGPQGQLGGGCPLRFEGPPGPVGTPLRFEGPIGQGGGGGFRFEGSPSLRFE GSTGGLRFEGPGGQPVGGLRFEGHRGQPVGGLRFEGPHGQPVGSLRFDNPRGQPVGGLRF EGGHGPSGAAIRFDGPHGQPGGGGGIRFEGPLLQQGVGMRFEGPHGQSVAGLRFEGHNQL GGNLRFEGPHGQPGVGIRFEGPIVQQGGGMRFEGPVPGGGLRIEGPLGQGGPRFEGCHSL RFDGQPGQPSLLPRFDGLHGQPGPRFERTGQPGPQRFDGPPGQQVQPRFDGVPQRFDGPQ HQQASRFDIPLGLQGTRFDNHPSQRIESFNHSGPYNDPPGNTFNVPSQGLQFQRHEQIFD TPQGPNFNGPHGPGNQNFPNPINRASGHYFDEKNLQSSQFGNFGNLPTPISVGNIQASQQ VLTGVAQPVAFGQGQQFLPVHPQNPGAFIQNPSGGLPKAYPDNHLSQVDVNELFSKLLKT GILKLSQPDSATAQVTEAVAQPPPEEDEDQNEDQDVPDLTNFTIEELKQRYDSVINRLYT GIQCYSCGMRFTTSQTDVYADHLDWHYRQNRTEKDVSRKVTHRRWYYSLTDWIEFEEIAD LEERAKSQFFEKVHEEVVLKTQEAAKEKEFQSVPAGPAGAVESCEICQEQFEQYWDEEEE EWHLKNAIRVDGKIYHPSCYEDYQNTSSFDCTPSPSKTPVENPLNIMLNIVKNELQEPCE SPKVKEEQIDAPPACSEESVATPTEIKTESDTVESV >ENSMUSP00000146885.1 pep:known chromosome:GRCm38:7:92668184:92669903:-1 gene:ENSMUSG00000041328.16 transcript:ENSMUST00000208255.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcf11 description:cleavage and polyadenylation factor subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919579] MSEQTPAEAGAAGAREDACRDYQSSLEDLTFNSKPHINMLTILAEENLPFAKEIVSLIEA QTAKVFIHPAASYSSNTP >ENSMUSP00000146556.1 pep:known chromosome:GRCm38:7:92669221:92669903:-1 gene:ENSMUSG00000041328.16 transcript:ENSMUST00000208058.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcf11 description:cleavage and polyadenylation factor subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919579] MSEQTPAEAGAAGAREDACRDYQSSLEDLTFNSKPHINMLTILAEENLPFAKEIVSLIEA QTAKVFIHPAASYSSNTP >ENSMUSP00000138116.1 pep:known chromosome:GRCm38:X:7607083:7635193:1 gene:ENSMUSG00000031142.17 transcript:ENSMUST00000155090.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cacna1f description:calcium channel, voltage-dependent, alpha 1F subunit [Source:MGI Symbol;Acc:MGI:1859639] MSESEVGKDTTPEPSPANGTGPGPEWGLCPGPPTVGTDTSGASGLGTPRRRTQHNKHKTV AVASAQRSPRALFCLTLTNPIRRSCISIVEWKPFDILILLTIFANCVALGVYIPFPEDDS NTANHNLEQVEYVFLVIFTVETVLKIVAYGLVLHPSAYIRNGWNLLDFIIVVVGLFSVLL EQGPGRPGDAPHTGGKPGGFDVKALRAFRVLRPLRLVSGVPSLHIVLNSIMKALVPLLHI ALLVLFVIIIYAIIGLELFLGRMHKTCYFLGSDMEAEEDPSPCASSGSGRSCTLNHTECR GRWPGPNGGITNFDNFFFAMLTVFQCITMEGWTDVLYWMQDAMGYELPWVYFVSLVIFGS FFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQMEEDLRGYLDWITQAEELDLHDPS VDGNLGHNCQS >ENSMUSP00000111391.2 pep:known chromosome:GRCm38:X:7607099:7635196:1 gene:ENSMUSG00000031142.17 transcript:ENSMUST00000115726.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1f description:calcium channel, voltage-dependent, alpha 1F subunit [Source:MGI Symbol;Acc:MGI:1859639] MSESEVGKDTTPEPSPANGTGPGPEWGLCPGPPTVGTDTSGASGLGTPRRRTQHNKHKTV AVASAQRSPRALFCLTLTNPIRRSCISIVEWKPFDILILLTIFANCVALGVYIPFPEDDS NTANHNLEQVEYVFLVIFTVETVLKIVAYGLVLHPSAYIRNGWNLLDFIIVVVGLFSVLL EQGPGRPGDAPHTGGKPGGFDVKALRAFRVLRPLRLVSGVPSLHIVLNSIMKALVPLLHI ALLVLFVIIIYAIIGLELFLGRMHKTCYFLGSDMEAEEDPSPCASSGSGRSCTLNHTECR GRWPGPNGGITNFDNFFFAMLTVFQCITMEGWTDVLYWMQDAMGYELPWVYFVSLVIFGS FFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQMEEDLRGYLDWITQAEELDLHDPS VDGNLASLAEEGRAGHRPQLSELTNRRRGRLRWFSHSTRSTHSTSSHASLPASDTGSMTD TPGDEDEEEGTMASCTRCLNKIMKTRICRHFRRANRGLRARCRRAVKSNACYWAVLLLVF LNTLTIASEHHGQPLWLTQTQEYANKVLLCLFTVEMLLKLYGLGPSVYVASFFNRFDCFV VCGGILETTLVEVGAMQPLGISVLRCVRLLRIFKVTRHWASLSNLVASLLNSMKSIASLL LLLFLFIIIFSLLGMQLFGGKFNFDQTHTKRSTFDTFPQALLTVFQILTGEDWNVVMYDG IMAYGGPFFPGMLVCVYFIILFICGNYILLNVFLAIAVDNLASGDAGTAKDKGREKSSEG NPPKENKVLVPGGENEDAKGARSEGAAPGMEEEEEEEEEEEEEEEEENGAGHVELLQEVV PKEKVVPIPEGSAFFCLSQTNPLRKACHTLIHHHIFTSLILVFIILSSVSLAAEDPIRAH SFRNHILGYFDYAFTSIFTVEILLKMTVFGAFLHRGSFCRSWFNLLDLLVVSVSLISFGI HSSAISVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIMIVTTLLQFMFACI GVQLFKGKFYSCTDEAKHTLKECKGSFLIYPDGDVSRPLVRERLWVNSDFNFDNVLSAMM ALFTVSTFEGWPALLYKAIDANAEDEGPIYNYHVEISVFFIVYIIIIAFFMMNIFVGFVI ITFRAQGEQEYQNCELDKNQRQCVEYALKAQPLRRYIPKNPHQYRVWATVNSAAFEYLMF LLILLNTVALAMQHYEQTAPFNYAMDILNMVFTGLFTIEMVLKIIAFKPKHYFADAWNTF DALIVVGSVVDIAVTEVNNGGHLGESSEDSSRISITFFRLFRVMRLVKLLSKGEGIRTLL WTFIKSFQALPYVALLIAMIFFIYAVIGMQMFGKVALQDGTQINRNNNFQTFPQAVLLLF RCATGEAWQEIMLASLPGNRCDPESDFGPGEEFTCGSSFAIVYFISFFMLCAFLIINLFV AVIMDNFDYLTRDWSILGPHHLDEFKRIWSEYDPGAKGRIKHLDVVALLRRIQPPLGFGK LCPHRVACKRLVAMNVPLNSDGTVTFNATLFALVRTSLKIKTEGNLDQANQELRMVIKKI WKRIKQKLLDEVIPPPDEEEVTVGKFYATFLIQDYFRKFRRRKEKGLLGREAPTSTSSAL QAGLRSLQDLGPEIRQALTYDTEEEEEEEEAVGQEAEEEEAENNPEPYKDSIDSQPQSRW NSRISVSLPVKEKLPDSLSTGPSDDDGLAPNSRQPSVIQAGSQPHRRSSGVFMFTIPEEG SIQLKGTQGQDNQNEEQEVPDWTPDLDEQAGTPSNPVLLPPHWSQQHVNGHHVPRRRLLP PTPAGRKPSFTIQCLQRQGSCEDLPIPGTYHRGRTSGPSRAQGSWAAPPQKGRLLYAPLL LVEESTVGEGYLGKLGGPLRTFTCLQVPGAHPNPSHRKRGSADSLVEAVLISEGLGLFAQ DPRFVALAKQEIADACHLTLDEMDSAASDLLAQRTTSLYSDEESILSRFDEEDLGDEMAC VHAL >ENSMUSP00000116051.1 pep:known chromosome:GRCm38:X:7607120:7619133:1 gene:ENSMUSG00000031142.17 transcript:ENSMUST00000133637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1f description:calcium channel, voltage-dependent, alpha 1F subunit [Source:MGI Symbol;Acc:MGI:1859639] MSESEVGKDTTPEPSPANGTGPGPEWGLCPGPPTVGTDTSGASGLGTPRRRTQHNKHKTV AVASAQRSPRALFCLTLTNPIRRSCISIVEWKPFDILILLTIFANCVALGVYIPFPEDDS NTANHNLEQVEYVFLVIFTVETVLKIVAYGLVLHPSAYIRNGWNLLDFIIVVVGLFSVLL EQGPGRPGDAPHTGGKPGGFDVKALRAFRVLRPLRLVSGVPSLHIVLNSIMKALVPLLHI ALLVLFVIIIYAIIGLELFLGRMHKTCYFLGSDMEAEEDPSPCASSGSGRSCTLNHTECR GRWPGPNGGITNFDNFFFAMLTVFQCITMEGWTDVLYWMQDAMGYELPWVYFVSLVIFGS FFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQMEEDLRGYLDWITQAEELDLHDPS VDGNLASLAEEGRAGHRPQLSELTNRRRGRLRWFSHSTRSTHSTSSHASLPASDTGSMTD TPGDEDEEEGTMASCTRCLNKIMKTRICRHFRRANRGLRARCRRAVKSNACYWAVLLLVF LNTLTIASEHHGQPLWLTQTQEYANKVLLCLFTVEMLLKLYGLGPSVYVASFFNRFDCFV VCGGILETTLVEVGAMQPLGISVLRCVRLLRIFKVTRHWASLSNLVASLLNSMKSIASLL LLLFLFIIIFSLLGMQLFGGKFNFDQTHTKRSTFDTFPQALLTVFQILTGEDWNVVMYDG IMAYGGPFFPGMLVCVYFIILFICGNYILLNVFLAIAVDNLASGDAGTAKDKGREKSSEG NPPKENKVLDLNWFPDPCQVDHNYLQLQVQEIQCLWPPDLKSHLHSHTHTHTWILVIENN KAKS >ENSMUSP00000111390.2 pep:known chromosome:GRCm38:X:7607129:7635196:1 gene:ENSMUSG00000031142.17 transcript:ENSMUST00000115725.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1f description:calcium channel, voltage-dependent, alpha 1F subunit [Source:MGI Symbol;Acc:MGI:1859639] MSESEVGKDTTPEPSPANGTGPGPEWGLCPGPPTVGTDTSGASGLGTPRRRTQHNKHKTV AVASAQRSPRALFCLTLTNPIRRSCISIVEWKPFDILILLTIFANCVALGVYIPFPEDDS NTANHNLEQVEYVFLVIFTVETVLKIVAYGLVLHPSAYIRNGWNLLDFIIVVVGLFSVLL EQGPGRPGDAPHTGGKPGGFDVKALRAFRVLRPLRLVSGVPSLHIVLNSIMKALVPLLHI ALLVLFVIIIYAIIGLELFLGRMHKTCYFLGSDMEAEEDPSPCASSGSGRSCTLNHTECR GRWPGPNGGITNFDNFFFAMLTVFQCITMEGWTDVLYWMQDAMGYELPWVYFVSLVIFGS FFVLNLVLGVLSGEFSKEREKAKARGDFQKLREKQQMEEDLRGYLDWITQAEELDLHDPS VDGNLASLAEEGRAGHRPQLSELTNRRRGRLRWFSHSTRSTHSTSSHASLPASDTGSMTD TPGDEDEEEGTMASCTRCLNKIMKTRICRHFRRANRGLRARCRRAVKSNACYWAVLLLVF LNTLTIASEHHGQPLWLTQTQEYANKVLLCLFTVEMLLKLYGLGPSVYVASFFNRFDCFV VCGGILETTLVEVGAMQPLGISVLRCVRLLRIFKVTRHWASLSNLVASLLNSMKSIASLL LLLFLFIIIFSLLGMQLFGGKFNFDQTHTKRSTFDTFPQALLTVFQILTGEDWNVVMYDG IMAYGGPFFPGMLVCVYFIILFICGNYILLNVFLAIAVDNLASGDAGTAKDKGREKSSEG NPPKENKVLVPGGENEDAKGARSEGAAPGMEEEEEEEEEEEEEEEEENGAGHVELLQEVV PKEKVVPIPEGSAFFCLSQTNPLRKACHTLIHHHIFTSLILVFIILSSVSLAAEDPIRAH SFRNHILGYFDYAFTSIFTVEILLKMTVFGAFLHRGSFCRSWFNLLDLLVVSVSLISFGI HSSAISVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIMIVTTLLQFMFACI GVQLFKGKFYSCTDEAKHTLKECKGSFLIYPDGDVSRPLVRERLWVNSDFNFDNVLSAMM ALFTVSTFEGWPALLYKAIDANAEDEGPIYNYHVEISVFFIVYIIIIAFFMMNIFVGFVI ITFRAQGEQEYQNCELDKNQRQCVEYALKAQPLRRYIPKNPHQYRVWATVNSAAFEYLMF LLILLNTVALAMQHYEQTAPFNYAMDILNMVFTGLFTIEMVLKIIAFKPKHYFADAWNTF DALIVVGSVVDIAVTEVNSSEDSSRISITFFRLFRVMRLVKLLSKGEGIRTLLWTFIKSF QALPYVALLIAMIFFIYAVIGMQMFGKVALQDGTQINRNNNFQTFPQAVLLLFRCATGEA WQEIMLASLPGNRCDPESDFGPGEEFTCGSSFAIVYFISFFMLCAFLIINLFVAVIMDNF DYLTRDWSILGPHHLDEFKRIWSEYDPGAKGRIKHLDVVALLRRIQPPLGFGKLCPHRVA CKRLVAMNVPLNSDGTVTFNATLFALVRTSLKIKTEGNLDQANQELRMVIKKIWKRIKQK LLDEVIPPPDEEEVTVGKFYATFLIQDYFRKFRRRKEKGLLGREAPTSTSSALQAGLRSL QDLGPEIRQALTYDTEEEEEEEEAVGQEAEEEEAENNPEPYKDSIDSQPQSRWNSRISVS LPVKEKLPDSLSTGPSDDDGLAPNSRQPSVIQAGSQPHRRSSGVFMFTIPEEGSIQLKGT QGQDNQNEEQEVPDWTPDLDEQAGTPSNPVLLPPHWSQQHVNGHHVPRRRLLPPTPAGRK PSFTIQCLQRQGSCEDLPIPGTYHRGRTSGPSRAQGSWAAPPQKGRLLYAPLLLVEESTV GEGYLGKLGGPLRTFTCLQVPGAHPNPSHRKRGSADSLVEAVLISEGLGLFAQDPRFVAL AKQEIADACHLTLDEMDSAASDLLAQRTTSLYSDEESILSRFDEEDLGDEMACVHAL >ENSMUSP00000119207.1 pep:known chromosome:GRCm38:X:7627194:7630246:1 gene:ENSMUSG00000031142.17 transcript:ENSMUST00000128628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1f description:calcium channel, voltage-dependent, alpha 1F subunit [Source:MGI Symbol;Acc:MGI:1859639] MNGGHLGESSEDSSRISITFFRLFRVMRLVKLLSKGEGIRTLLWTFIKSFQALPYVALLI AMIFFIYAVIGMQMFGKVALQDGTQINRNNNFQTFPQAVLLLFRCATGEAWQEIMLASLP GNRCDPESDFGPGEEFTCGSSFAIVYFISFFMLCAFLIINLFVAVIMDNFDYLTRDWSIL GPHHLDEFKRIWSEYDPGAKGRIKHLDVVALLRRIQPPLGFGKLCPHRVA >ENSMUSP00000115012.1 pep:known chromosome:GRCm38:X:7627194:7630499:1 gene:ENSMUSG00000031142.17 transcript:ENSMUST00000156047.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1f description:calcium channel, voltage-dependent, alpha 1F subunit [Source:MGI Symbol;Acc:MGI:1859639] MSSEDSSRISITFFRLFRVMRLVKLLSKGEGIRTLLWTFIKSFQALPYVALLIAMIFFIY AVIGMQMFGKVALQDGTQINRNNNFQTFPQAVLLLFRCATGEAWQEIMLASLPGNRCDPE SDFGPGEEFTCGSSFAIVYFISFFMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDE FKRIWSEYDPGAKGRIKHLDVVALLRRIQPPLGFGKLCPHRVACKRLVAMNVPLNSDGTV TFNATLF >ENSMUSP00000030080.6 pep:known chromosome:GRCm38:4:59805840:59904737:1 gene:ENSMUSG00000028385.14 transcript:ENSMUST00000030080.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx30 description:sorting nexin family member 30 [Source:MGI Symbol;Acc:MGI:2443882] MAGGPPKALPSTGPQSLRDMPHPLAGSSSEEAVGGDSTPSPDLLMARSFGDKDLILPNGG TPAGTASPASSSSLLNRLQLDDDIDGEARDLFVTVDDPKKHVCTMETYITYRITTKSTRV EFDLPEYSVRRRYQDFDWLRNKLEESQPTHLIPPLPEKFVVKGVVDRFSEEFVETRRKAL DKFLKRITDHPVLSFNEHFNVFLTAKDLNAYKKQGIALLSRVGESVKHVTGGYKLRSRPL EFAAISDYLDTFALKLGTIDRIAQRIIKEEIEYLVELREYGPVYSTWSALEGELAEPLEG VSACIGNCSTALEELTDDITEEFLPVLREYVLYSDSMKGVLKKRDQVQAEYEAKLEAVAL RKEERPKVPADVEKCQDRMECFNADLKADMERWQSNKRHDFRQLLVGLADKNIQYYEKCL MAWESIIPLLQEKQETK >ENSMUSP00000028553.3 pep:known chromosome:GRCm38:2:112261926:112263269:1 gene:ENSMUSG00000027133.3 transcript:ENSMUST00000028553.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop10 description:NOP10 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1913431] MFLQYYLNEQGDRVYTLKKFDPMGQQTCSAHPARFSPDDKYSRHRITIKKRFKVLMTQQP RPVL >ENSMUSP00000065588.2 pep:known chromosome:GRCm38:X:107149615:107173657:1 gene:ENSMUSG00000054293.10 transcript:ENSMUST00000067249.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630033H20Rik description:RIKEN cDNA A630033H20 gene [Source:MGI Symbol;Acc:MGI:2441814] MGSNSTSSAESNCNGTYLTFQYSLYATTYIFIFIPGLLANSVALWVLCRFISKKNKAIIF MINLSVADLAHVLSLPLRIYYYINRHWPFQRALCLLCFYLKYLNMYASIFFLTCISLQRC LFLLKPFRARNWKRRYDVAISAAVWIIVGTACLPLPILRSAGLANNSESCFADLGLQDIT MASSIGMVTAAELGGFVLPVVIITYCTWKTRKSLQEFQDPPQNIKERKKALRMVLMCAVV FIVCFTPYHLNFPFFMMVKQRVFSNCSFIRNTLCFHIISLCLANLNCCLDPVVYYFMTSE FRDQFSEHGNLVIQSCLHCKDSTLEIRQRKENLQTISLECLDVPTQCDEMVI >ENSMUSP00000118187.1 pep:known chromosome:GRCm38:X:107148927:107171401:1 gene:ENSMUSG00000054293.10 transcript:ENSMUST00000147521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630033H20Rik description:RIKEN cDNA A630033H20 gene [Source:MGI Symbol;Acc:MGI:2441814] MGSNSTSSAESNCNGTYLTFQYSLYATTYIFIFIPGLLANSVALWVLCRFISKKNKAIIF MINLSVADLAHVLSLPLRIYYYINRHWPFQRALCLLCFYLKYLNMYASIFFLTCISLQRC LF >ENSMUSP00000122347.1 pep:known chromosome:GRCm38:X:107149454:107171427:1 gene:ENSMUSG00000054293.10 transcript:ENSMUST00000125676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630033H20Rik description:RIKEN cDNA A630033H20 gene [Source:MGI Symbol;Acc:MGI:2441814] MGSNSTSSAESNCNGTYLTFQYSLYATTYIFIFIPGLLANSVALWVLCRFISKKNKAIIF MINLSVADLAHVLSLPLRIYYYINRHWPFQRALCLLCFYLKYLNMYASIFFLTCISLQRC LFLLKPFRAR >ENSMUSP00000122348.1 pep:known chromosome:GRCm38:X:107149598:107171147:1 gene:ENSMUSG00000054293.10 transcript:ENSMUST00000137107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630033H20Rik description:RIKEN cDNA A630033H20 gene [Source:MGI Symbol;Acc:MGI:2441814] MGSNSTSSAESNCNGTYLTFQYSLYATTYIFIFIPGL >ENSMUSP00000133122.1 pep:known chromosome:GRCm38:X:107148927:107173661:1 gene:ENSMUSG00000054293.10 transcript:ENSMUST00000167673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630033H20Rik description:RIKEN cDNA A630033H20 gene [Source:MGI Symbol;Acc:MGI:2441814] MGSNSTSSAESNCNGTYLTFQYSLYATTYIFIFIPGLLANSVALWVLCRFISKKNKAIIF MINLSVADLAHVLSLPLRIYYYINRHWPFQRALCLLCFYLKYLNMYASIFFLTCISLQRC LFLLKPFRARNWKRRYDVAISAAVWIIVGTACLPLPILRSAGLANNSESCFADLGLQDIT MASSIGMVTAAELGGFVLPVVIITYCTWKTRKSLQEFQDPPQNIKERKKALRMVLMCAVV FIVCFTPYHLNFPFFMMVKQRVFSNCSFIRNTLCFHIISLCLANLNCCLDPVVYYFMTSE FRDQFSEHGNLVIQSCLHCKDSTLEIRQRKENLQTISLECLDVPTQCDEMVI >ENSMUSP00000136348.1 pep:known chromosome:GRCm38:X:107149454:107173661:1 gene:ENSMUSG00000054293.10 transcript:ENSMUST00000180182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630033H20Rik description:RIKEN cDNA A630033H20 gene [Source:MGI Symbol;Acc:MGI:2441814] MGSNSTSSAESNCNGTYLTFQYSLYATTYIFIFIPGLLANSVALWVLCRFISKKNKAIIF MINLSVADLAHVLSLPLRIYYYINRHWPFQRALCLLCFYLKYLNMYASIFFLTCISLQRC LFLLKPFRARNWKRRYDVAISAAVWIIVGTACLPLPILRSAGLANNSESCFADLGLQDIT MASSIGMVTAAELGGFVLPVVIITYCTWKTRKSLQEFQDPPQNIKERKKALRMVLMCAVV FIVCFTPYHLNFPFFMMVKQRVFSNCSFIRNTLCFHIISLCLANLNCCLDPVVYYFMTSE FRDQFSEHGNLVIQSCLHCKDSTLEIRQRKENLQTISLECLDVPTQCDEMVI >ENSMUSP00000053353.2 pep:known chromosome:GRCm38:2:88339567:88340514:1 gene:ENSMUSG00000050023.3 transcript:ENSMUST00000057439.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1176 description:olfactory receptor 1176 [Source:MGI Symbol;Acc:MGI:3031010] MQHQVNQSTGVVFVFVGFSEYPNLQVPLFLIFLIIYTITVLENLGMILVIRINAKLHTPM YFFLSHLSIVDLCYTTVIAPKLLDLLITEDRSMSLKGCIIQFYFGCACVVTQNFMLAVMA YDRFVAICNPLLYTVAMSQKLCALLVTGTYLWGGLCATTLTYFLLALSYCRSSIINHFCC EYSAIISAACSDSSISQIACLLICMFNEICSLLIIIVSYVVIFTTVIKIPTKGALQKALS TCAPHLTAISFCHGIILLLYCVLKSKSSLLLVKIVTVFYSMVIPMLNPLIYSLRNKDVKE TVRKLIHMKILSQSL >ENSMUSP00000118368.1 pep:known chromosome:GRCm38:5:30232581:30272427:1 gene:ENSMUSG00000075703.15 transcript:ENSMUST00000145167.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ept1 description:ethanolaminephosphotransferase 1 (CDP-ethanolamine-specific) [Source:MGI Symbol;Acc:MGI:107898] MAGYEYVSPEQLSGFDKYKYSALDTNPLSLYIMHPFWNTIVKVFPTWLAPNLITFSGFML LVFNFLLLTYFDPDFYASAPGHKHVPDWVWIVVGILNFAAYTLDGVDGKQARRTNSSTPL GELFDHGLDSWSCVYFVVTVYSIFGRGPTGVSVFVLYLLLWVVLFSFILSHWEKYNTGVL FLPWGYDISQVTISFVYIVTAVVGVEAWYEPFLFNFLYRDLFTAMIIGCALCVTLPMSLL NFFRSYKSNTLKHKSVYEAMVPFFSPCLLFTLCTVWILWSPSDILEIHPRIFYFMVGTAF ANITCQLIVCQMSSTRCPTLNWLLLPLLLVVAAVIVGAATSRLESALLYTLTAAFTLAHI HYGVQVVKQLSRHFQIYPFSLRKPNSDULGMEEQNIGL >ENSMUSP00000114389.1 pep:known chromosome:GRCm38:5:30232650:30257776:1 gene:ENSMUSG00000075703.15 transcript:ENSMUST00000145858.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ept1 description:ethanolaminephosphotransferase 1 (CDP-ethanolamine-specific) [Source:MGI Symbol;Acc:MGI:107898] MAGYEYVSPEQLSGFDKYKYSALDTNPLSLYIMHPFWNTIVKPLVISMCLTGFGLSWASS TLLPTL >ENSMUSP00000117343.1 pep:known chromosome:GRCm38:5:30232674:30268973:1 gene:ENSMUSG00000075703.15 transcript:ENSMUST00000132404.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ept1 description:ethanolaminephosphotransferase 1 (CDP-ethanolamine-specific) [Source:MGI Symbol;Acc:MGI:107898] MAGYEYVSPEQLSGFDKYKYSALDTNPLSLYIMHPFWNTIVKVFPTWLAPNLITFSGFML LVFNFLLLTYFDPDFYASDGVDGKQARRTNSSTPLGELFDHGLDSWSCVYFVVTVYSIFG RGPTGVSVFVLYLLLWVVLFSFILSHWEKYNTGVLFLPWGYDISQVTISFVYIVTAVVGV EAWVCIMCDSSNEFIKLF >ENSMUSP00000085192.6 pep:known chromosome:GRCm38:1:45311538:45349706:1 gene:ENSMUSG00000026043.18 transcript:ENSMUST00000087883.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col3a1 description:collagen, type III, alpha 1 [Source:MGI Symbol;Acc:MGI:88453] MMSFVQSGTWFLLTLLHPTLILAQQSNVDELGCSHLGQSYESRDVWKPEPCQICVCDSGS VLCDDIICDEEPLDCPNPEIPFGECCAICPQPSTPAPVLPDGHGPQGPKGDPGPPGIPGR NGDPGLPGQPGLPGPPGSPGICESCPTGGQNYSPQFDSYDVKSGVGGMGGYPGPAGPPGP PGPPGSSGHPGSPGSPGYQGPPGEPGQAGPAGPPGPPGALGPAGPAGKDGESGRPGRPGE RGLPGPPGIKGPAGMPGFPGMKGHRGFDGRNGEKGETGAPGLKGENGLPGDNGAPGPMGP RGAPGERGRPGLPGAAGARGNDGARGSDGQPGPPGPPGTAGFPGSPGAKGEVGPAGSPGS NGSPGQRGEPGPQGHAGAQGPPGPPGNNGSPGGKGEMGPAGIPGAPGLIGARGPPGPAGT NGIPGTRGPSGEPGKNGAKGEPGARGERGEAGSPGIPGPKGEDGKDGSPGEPGANGLPGA AGERGPSGFRGPAGPNGIPGEKGPPGERGGPGPAGPRGVAGEPGRDGTPGGPGIRGMPGS PGGPGNDGKPGPPGSQGESGRPGPPGPSGPRGQPGVMGFPGPKGNDGAPGKNGERGGPGG PGLPGPAGKNGETGPQGPPGPTGPAGDKGDSGPPGPQGLQGIPGTGGPPGENGKPGEPGP KGEVGAPGAPGGKGDSGAPGERGPPGTAGIPGARGGAGPPGPEGGKGPAGPPGPPGASGS PGLQGMPGERGGPGSPGPKGEKGEPGGAGADGVPGKDGPRGPAGPIGPPGPAGQPGDKGE GGSPGLPGIAGPRGGPGERGEHGPPGPAGFPGAPGQNGEPGAKGERGAPGEKGEGGPPGP AGPTGSSGPAGPPGPQGVKGERGSPGGPGTAGFPGGRGLPGPPGNNGNPGPPGPSGAPGK DGPPGPAGNSGSPGNPGIAGPKGDAGQPGEKGPPGAQGPPGSPGPLGIAGLTGARGLAGP PGMPGPRGSPGPQGIKGESGKPGASGHNGERGPPGPQGLPGQPGTAGEPGRDGNPGSDGQ PGRDGSPGGKGDRGENGSPGAPGAPGHPGPPGPVGPSGKSGDRGETGPAGPSGAPGPAGA RGAPGPQGPRGDKGETGERGSNGIKGHRGFPGNPGPPGSPGAAGHQGAIGSPGPAGPRGP VGPHGPPGKDGTSGHPGPIGPPGPRGNRGERGSEGSPGHPGQPGPPGPPGAPGPCCGGGA AAIAGVGGEKSGGFSPYYGDDPMDFKINTEEIMSSLKSVNGQIESLISPDGSRKNPARNC RDLKFCHPELKSGEYWVDPNQGCKMDAIKVFCNMETGETCINASPMTVPRKHWWTDSGAE KKHVWFGESMNGGFQFSYGPPDLPEDVVDVQLAFLRLLSSRASQNITYHCKNSIAYMDQA SGNVKKSLKLMGSNEGEFKAEGNSKFTYTVLEDGCTKHTGEWSKTVFEYQTRKAMRLPII DIAPYDIGGPDQEFGVDIGPVCFL >ENSMUSP00000139894.1 pep:known chromosome:GRCm38:1:45335766:45340674:1 gene:ENSMUSG00000026043.18 transcript:ENSMUST00000185687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col3a1 description:collagen, type III, alpha 1 [Source:MGI Symbol;Acc:MGI:88453] XKPGEPGPKGEVGAPGAPGGKGDSGAPGERGPPGTAGIPGARGGAGPPGPEGGKGEPGGA GADGVPGKDGPRGPAGPIGPPGPAGQPGDKGEGGSPGLPGIAGPRGGPGERGEHGPPGPA GFPGAPGQNGEPGAKGERGAPGEKGEGGPPGPAGPTGSSGPAGPPGPQGVKGERGSPGGP GTAGFPGGRGLPGPP >ENSMUSP00000139802.1 pep:known chromosome:GRCm38:1:45339958:45347766:1 gene:ENSMUSG00000026043.18 transcript:ENSMUST00000186021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col3a1 description:collagen, type III, alpha 1 [Source:MGI Symbol;Acc:MGI:88453] PTGSSGPAGPPGPQGVKGERGSPGPIGPPGPRGNRGERGSEGSPGHPGQPGPPGPPGAPG PCCGGGAAAIAGVGGEKSGGFSPYYGDDPMDFKINTEEIMSSLKSVNGQIESLISPDGSR KNPARNCRDLKFCHPELKSGEYWVDPNQGCKMDAIKVFCNMETGETCINASPMTVPRKHW WTDSGAEKKHVWFGESMNGGFQFSYGPPDLPEDVVDVQLAFLRLLSSRASQNITYHCKNS IAYMD >ENSMUSP00000034761.8 pep:known chromosome:GRCm38:9:69397906:69433122:1 gene:ENSMUSG00000032235.15 transcript:ENSMUST00000034761.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ice2 description:interactor of little elongation complex ELL subunit 2 [Source:MGI Symbol;Acc:MGI:2135947] MSSSITMSEPRLNWDVTPKNGLKAFFSPENYKDHSMAPSLKELYILSNRRIGENLSVSAS SVENEPAVSSATQAKEKVGMILLPKPRVPYPRFSRFSQREQRTYVDLLAKYAKLPSSSKT VGTNTNEYLQYLDMKKHVNEEVNEFLKFLQNSAKKCAQDYNMLSDEARLFTEQLLRACIE QVKKYPEFYTLHEVTSLMGFFPFKTEMGLKLEKTLLVLGSAKFVKTAFPSMPVKLQLSKE DMSSIETPQQKAEVMHCDISKDPNAEKLVSRYHPQIALTSQALFTLLNNHGPSYKEQWEI PVCVEMIAVEGSKPVKVIYINSPLPRKQMTMRERNQIFHEVPLKHIISKNTSVPVSAVFM DKPEEYTSEVDMPTEAGECRKIETLENLDMDFDGDVTELETFGVTTTSPPRSPSSESDSS APLMTDVHAVPKIAAVPLAPATPVAPTMPVAPATPVTPTMPMAPATPEASATPNITDDSR SLCQILMKQLQKEKQLFSGVEGGPEGCKNKDDQGLEPCGEEVPSANAKSLTQDNEVHRTE GISKESDVGVLCTNDERQGGQGNANNPNNTATASEAAESEKGIPCGSDTDEDCLIIDTES RSCDGKTADLGSRPNSSAQASAGNQATTTVSEESCVLKKPIKRVYKKFDPVGEILKMQDE LLKPVSRKVPELPLTNSEESKQPPASEQPSAALDAAPWPKSSWPSAFQKPKGRLPYELQD YVEDTSEYIAPQEGNFVYKLFSLQDLLLLVRCSIQRVETRPRSKKRKKIRRQFPVYVLPK VEYQGCYGVEALTESELCRFWTESLLHSNCSFYVGHIDAFTSKLFMLEEIASEELKEKLA ALKISSLFNILQHILKKLCSLQEGSYLLSHAAEDSSLLIYKTSDGKVTRTAYNLHKAHCD LPGVPSSLSVPWVPLDPSYLLPYHIHHGRVPCTFPPKPLRPAAQAKVGGTRMPTRNHRNP VSMETKSSCLPVQQVENEGVARNKRKIM >ENSMUSP00000117768.1 pep:known chromosome:GRCm38:9:69397927:69407322:1 gene:ENSMUSG00000032235.15 transcript:ENSMUST00000125938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ice2 description:interactor of little elongation complex ELL subunit 2 [Source:MGI Symbol;Acc:MGI:2135947] MAPSLKELYILSNRRIGENLSVSASSVENEPAVSSATQAKEKVGMILLPKPRVPYPRFSR FSQREQRTYVDLLAKYAKLPSS >ENSMUSP00000112455.1 pep:known chromosome:GRCm38:9:69397933:69407696:1 gene:ENSMUSG00000032235.15 transcript:ENSMUST00000117610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ice2 description:interactor of little elongation complex ELL subunit 2 [Source:MGI Symbol;Acc:MGI:2135947] MSSSITMSEPRLNWDVTPKNGLKAFFSPENYKDHSMAPSLKELYILSNRGSRELMWICWL NMQSSPQVPRLSEQIPMNICSIWYVCILFTSFSKNIKN >ENSMUSP00000122566.1 pep:known chromosome:GRCm38:9:69397935:69407334:1 gene:ENSMUSG00000032235.15 transcript:ENSMUST00000145538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ice2 description:interactor of little elongation complex ELL subunit 2 [Source:MGI Symbol;Acc:MGI:2135947] MILLPKPRVPYPRFSRFSQREQRTYVDLLAKYAKLPSSSKTV >ENSMUSP00000112700.1 pep:known chromosome:GRCm38:9:69397937:69407953:1 gene:ENSMUSG00000032235.15 transcript:ENSMUST00000117246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ice2 description:interactor of little elongation complex ELL subunit 2 [Source:MGI Symbol;Acc:MGI:2135947] MSSSITMSEPRLNWDVTPKNGLKAFFSPENYKDHSMAPSLKELYILSNRRIGENLSVSAS SVENEPAVSSATQAKEKVGMILLPKPRVPYPRFSRFSQREQRTYVDLLAKYAKLPSSSKT VGTNTNEYLQYLVCVYSVHQL >ENSMUSP00000118978.1 pep:known chromosome:GRCm38:9:69397957:69410178:1 gene:ENSMUSG00000032235.15 transcript:ENSMUST00000131233.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ice2 description:interactor of little elongation complex ELL subunit 2 [Source:MGI Symbol;Acc:MGI:2135947] MSSSITMSEPRLNWDVTPKNGLKAFFSPENYKDHSMAPSLKELYILSNSNS >ENSMUSP00000085013.3 pep:known chromosome:GRCm38:17:32183770:32189463:-1 gene:ENSMUSG00000037577.12 transcript:ENSMUST00000087721.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx3 description:epoxide hydrolase 3 [Source:MGI Symbol;Acc:MGI:1919182] MRGGSICPSRASVSSTGPVDSDSTVESQNKGGGLLAPAPLAQSPDHGGSVVVPERKDMPE FVVTALLAPSRLSLKLLRALVMSLVYLAALVAAFVYSCIALTHVMCRPRRGCCGRQRLSP PECLRDPTLGEHCFLTLRVSVPPVKSSGLRLHYVSAGHGNGPLMLFLHGFPENWFSWRYQ LREFQSHFHVVAVDMRGYSPSDAPKEVDCYTIDLLLDDIKDTILGLGYSKCILVSHDWGA SLAWEFSIYYPSLVERMVVANGPPMSVIQEYSIHHIGQIFRSNYMFLFQLPWLPEKLLSM SDFQILKDTFTHRKNGIPGLTPSELEAFLYHFSQPGCLTGPINYYRNVFRNFPLEPKKLS TPTLLLWGEKDFAFQQGLVEAIGRHFVPGRLESHILPGSGHWIPQSHPQEMHQYMWAFLQ DLLG >ENSMUSP00000124600.1 pep:known chromosome:GRCm38:17:32184661:32189549:-1 gene:ENSMUSG00000037577.12 transcript:ENSMUST00000162117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx3 description:epoxide hydrolase 3 [Source:MGI Symbol;Acc:MGI:1919182] MRGGSICPSRASVSSTGPVDSDSTVESQNKGGGLLAPAPLAQSPDHGGSVVVPERKDMPE FVVTALLAPSRLSLKLLRALVMSLVYLAALVAAFVYSCIALTHVMCRPRRGCCGRQRLSP PECLRDPTLGEHCFLTLRSSGLRLHYVSAGHGNGPLMLFLHGFPENWFSWRYQLREFQSH FHVVAVDMRGYSPSDAPKEVDCYTIDLLLDDIKDTILGLGYSKCILVSHDWGASLAWEFS IYYPSLVERMVVANGPPMSVIQEYSIHHIGQIFRSNYMFLFQLPWLPEKLLSMSDFQILK DTFTHRKNGIPGLTPSELEAFLYHFSQPGCLTGPINYYRNVFRNFPLEPKKLSTPTLLLW GEKDFAFQQGLVEAIGRHFVPGRLESHILPGSGHWIPQSHPQEMHQYMWAFLQDLLG >ENSMUSP00000132337.1 pep:known chromosome:GRCm38:7:85615462:85624547:1 gene:ENSMUSG00000091205.2 transcript:ENSMUST00000172338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r71 description:vomeronasal 2, receptor 71 [Source:MGI Symbol;Acc:MGI:3646472] MFSLISVFWFLKVNFIFCHLSDPRCFWRIKETENKLGDKETFCLFSISTKQGYVKNDYFS WNLDKQVTPKINHLIFSVYLALEEINKNCHILPNISLLVNTECNGRKYDEKTGLALKSEK IVPNYSCTNERRYLIVLTAPIWAVSTKLGPFLSYCCLCPVFFQLYCGHFHLLLSDKGQFP HLYQISPKDTSLPLAMVSLFVHFRWNWIGVIITNDDHGIQFLSELKGDMQKSIVCLSVAI IIQTEVYMALKELHMNYKKILMSSAKVVIVYGDKDSPIKYVLTAWKSQGIFRIWVSASQF DMITILGDFLLYSYTGTFIFSHQQPEIPGFEKFIQTVHPSNYSSEFSFAKLWWTYFRCSL PPSNCKKLKNCPTKSVFAWLFRTPLGMAMSDTCYNSYNAIYAVAHSLHEMLLQEVDTWSK NAGKELEFDSWKMFSILKTLKFVNPSGDLVNMNQNLKQDTEYDIFYIMDFQKDYGLKMKI GRFSGHLLSGQQLYMAKEMMEWATDIDQILPSICSVPCSPGLRKSPQEGKDICCFVCNPC PENEISNMTNMDQCVKCLEDQYANEDHTLCLQKVVVIFDYRDPLGKALAGFALCFSVLTS VVLFVFFKHRDTPIVKANNQTLSFVLLISLIFCFICSLLYIGHPTMVTCIVQQITFAIGF TVATSTILAKTVIVVLAFKITVPGRRMRWMPESGAPKYIILICTMIQLILCGIWLGTSPP FVDADVQMVHGHIIIICNKGSVIAFYCVLGYMGSLALASFTVAFLARNLPDTFNEAKLLT FSMLVFCSVWITFIPVYHSTKGKIMAVVEVFCILASSAGLLLCIFAPKCYIILLRPQTNY FHKFWKSHANSENKS >ENSMUSP00000125877.1 pep:known chromosome:GRCm38:14:41550120:41555583:-1 gene:ENSMUSG00000093833.1 transcript:ENSMUST00000167615.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7970 description:predicted gene 7970 [Source:MGI Symbol;Acc:MGI:3644387] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPAAHRGGKGQETTGHGEGSAGEASP QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETIMLEMQHD QVMTDLKRMPQDISEALFKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKILCKEGSQKIKDHYTKQQQV >ENSMUSP00000139829.1 pep:known chromosome:GRCm38:14:32462438:32464850:-1 gene:ENSMUSG00000100241.1 transcript:ENSMUST00000191501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc18a3 description:solute carrier family 18 (vesicular monoamine), member 3 [Source:MGI Symbol;Acc:MGI:1101061] MEPTAPTGQARAAATKLSEAVGAALQEPQRQRRLVLVIVCVALLLDNMLYMVIVPIVPDY IAHMRGGSESPTLISEVWEPTLPPPTLANASAYLANTSASPTAAGSARSILRPRYPTESE DVKIGVLFASKAILQLLVNPLSGPFIDRMSYDVPLLIGLGVMFASTVMFAFAEDYATLFA ARSLQGLGSAFADTSGIAMIADKYPEEPERSRALGVALAFISFGSLVAPPFGGILYEFAG KRVPFLVLAAVSLFDALLLLAVAKPFSAAARARANLPVGTPIHRLMLDPYIAVVAGALTT CNIPLAFLEPTIATWMKHTMAASEWEMGMVWLPAFVPHVLGVYLTVRLAARYPHLQWLYG ALGLAVIGVSSCVVPACRSFAPLVVSLCGLCFGIALVDTALLPTLAFLVDVRHVSVYGSV YAIADISYSVAYALGPIVAGHIVHSLGFEQLSLGMGLANLLYAPVLLLLRNVGLLTRSRS ERDVLLDEPPQGLYDAMRLREVQGKDGGEPCSPPGPFDGCEDDYNYYSRS >ENSMUSP00000007272.7 pep:known chromosome:GRCm38:11:100203162:100207548:-1 gene:ENSMUSG00000045545.8 transcript:ENSMUST00000007272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt14 description:keratin 14 [Source:MGI Symbol;Acc:MGI:96688] MATCSRQFTSSSSMKGSCGIGGGSSRMSSILAGGSCRAPSTYGGMSVTSSRFSSGGACGI GGGYGGSFSSSSFGGGLGSGFGGRFDGFGGGFGGGLGGGFGGGLGGGLGGGIGDGLLVGS EKVTMQNLNDRLATYLDKVRALEEANTELEVKIRDWYQRQRPTEIKDYSPYFKTIEDLKS KILAATVDNANVLLQIDNARLAADDFRTKFETEQSLRMSVEADINGLRRVLDELTLARAD LEMQIESLKEELAYLKKNHEEEMASMRGQVGGDVNVEMDAAPGVDLSRILNEMRDQYEKM AEKNRKDAEEWFFSKTEELNREVATNSELVQSGKSEISELRRTMQNLEIELQSQLSMKAS LENNLEETKGRYCMQLAQIQEMIGSVEEQLAQLRCEMEQQNQEYKILLDVKTRLEQEIAT YRRLLEGEDAHLSSSQFSSSSQFSSGSQSSRDVTSTNRQIRTKVMDVHDGKVVSTHEQVL RTKN >ENSMUSP00000114363.2 pep:known chromosome:GRCm38:1:180976210:180996170:-1 gene:ENSMUSG00000038776.13 transcript:ENSMUST00000154133.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx1 description:epoxide hydrolase 1, microsomal [Source:MGI Symbol;Acc:MGI:95405] XIHFIHVKPPQLPSGRTPKPLLMVHGWPGSFYEFYKIIPLLTDPKTHGLSDEHVFEVICP SIPGYGFSEASSKKGLNSVATARIFYKLMSRLGFQKFYIQGGDWGSLICTNIAQMVPNHV KGLHLNMSFISRNIYSLTPLLGQRFGRFLGYTEKDLELLYPFKEKVFYNIMRESGYLHIQ ATKPDTVGCALNDSPVGLAAYILEKFSTWTKSEYRELEDGGLERKFSLEDLLTNIMIYWT TGTIVSS >ENSMUSP00000047551.5 pep:known chromosome:GRCm38:1:180989554:181017569:-1 gene:ENSMUSG00000038776.13 transcript:ENSMUST00000036928.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx1 description:epoxide hydrolase 1, microsomal [Source:MGI Symbol;Acc:MGI:95405] MWLELILASVLGFVIYWFVSRDKEETLPLEDGWWGPGSKPSAKEDESIRPFKVETSDEEI KDLHQRIDRFRASPPLEGSRFHYGFNSSYLKKVVSFWRNEFDWRKQVEILNQYPHFKTKI EGLDIHFIHVKPPQLPSGRTPKPLLMVHGWPGSFYEFYKIIPLLTDPKTHGLSDEHVFEV ICPSIPGYGFSEASSKKGLNSVATARIFYKLMSRLGFQKFYIQGGDWGSLICTNIAQMVP NHVKGLHLNMSFISRNIYSLTPLLGQRFGRFLGYTEKDLELLYPFKEKVFYNIMRESGYL HIQATKPDTVGCALNDSPVGLAAYILEKFSTWTKSEYRELEDGGLERKFSLEDLLTNIMI YWTTGTIVSSQRFYKENLGQGVMVHRHEGMKVFVPTGYSAFPSEILHAPEKWVKVKYPKL ISYSYMERGGHFAAFEEPKLLAQDIRKFVSLAELQ >ENSMUSP00000106697.1 pep:known chromosome:GRCm38:1:180989554:181017569:-1 gene:ENSMUSG00000038776.13 transcript:ENSMUST00000111068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx1 description:epoxide hydrolase 1, microsomal [Source:MGI Symbol;Acc:MGI:95405] MWLELILASVLGFVIYWFVSRDKEETLPLEDGWWGPGSKPSAKEDESIRPFKVETSDEEI KDLHQRIDRFRASPPLEGSRFHYGFNSSYLKKVVSFWRNEFDWRKQVEILNQYPHFKTKI EGLDIHFIHVKPPQLPSGRTPKPLLMVHGWPGSFYEFYKIIPLLTDPKTHGLSDEHVFEV ICPSIPGYGFSEASSKKGLNSVATARIFYKLMSRLGFQKFYIQGGDWGSLICTNIAQMVP KNIYSLTPLLGQRFGRFLGYTEKDLELLYPFKEKVFYNIMRESGYLHIQATKPDTVGCAL NDSPVGLAAYILEKFSTWTKSEYRELEDGGLERKFSLEDLLTNIMIYWTTGTIVSSQRFY KENLGQGVMVHRHEGMKVFVPTGYSAFPSEILHAPEKWVKVKYPKLISYSYMERGGHFAA FEEPKLLAQDIRKFVSLAELQ >ENSMUSP00000122671.1 pep:known chromosome:GRCm38:1:180996149:181020904:-1 gene:ENSMUSG00000038776.13 transcript:ENSMUST00000147585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx1 description:epoxide hydrolase 1, microsomal [Source:MGI Symbol;Acc:MGI:95405] MWLELILASVLGFVIYWFVSRDKEETLPLEDGWWGPGSKPSAKEDESIRPFKVETSDEEI KDLHQRIDRFRASPPLEGSRFHYGFNSSYLKKVVSFWRNEFDWRKQVEILNQYPHFKTKI EGLDIHFIHV >ENSMUSP00000027351.7 pep:known chromosome:GRCm38:1:83116766:83119166:1 gene:ENSMUSG00000026166.14 transcript:ENSMUST00000027351.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl20 description:chemokine (C-C motif) ligand 20 [Source:MGI Symbol;Acc:MGI:1329031] MACGGKRLLFLALAWVLLAHLCSQAEASNYDCCLSYIQTPLPSRAIVGFTRQMADEACDI NAIIFHTKKRKSVCADPKQNWVKRAVNLLRYGSLKDKQSLSK >ENSMUSP00000109064.2 pep:known chromosome:GRCm38:1:83116774:83119167:1 gene:ENSMUSG00000026166.14 transcript:ENSMUST00000113437.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl20 description:chemokine (C-C motif) ligand 20 [Source:MGI Symbol;Acc:MGI:1329031] MACGGKRLLFLALAWVLLAHLCSQAEAASNYDCCLSYIQTPLPSRAIVGFTRQMADEACD INAIIFHTKKRKSVCADPKQNWVKRAVNLLSLRVKKM >ENSMUSP00000139923.1 pep:known chromosome:GRCm38:1:83116793:83119167:1 gene:ENSMUSG00000026166.14 transcript:ENSMUST00000186832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl20 description:chemokine (C-C motif) ligand 20 [Source:MGI Symbol;Acc:MGI:1329031] MACGGKRLLFLALAWVLLAHLCSQAEASNYDCCLSYIQTPLPSRAIVGFTRQMADEACDI NAIIFHTKKRKSVCADPKQNWVKRAVNLLSLRVKKM >ENSMUSP00000127816.1 pep:known chromosome:GRCm38:15:79258195:79285537:-1 gene:ENSMUSG00000018126.15 transcript:ENSMUST00000165408.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap2l2 description:BAI1-associated protein 2-like 2 [Source:MGI Symbol;Acc:MGI:2652819] MAPEMDQFYRSTMAIYKSIMEQFNPALENLVYLGNNYLRAFHALSEAAEVYFSAIQKIGE QALQSSTSQILGEILVQMSDTQRHLNSDLEVVVQTFHGDLLQHMEKNTKLDMQFIKDSCQ HYEIEYRHRAANLEKCMSELWRMERKRDKNAREMKESVNRLHAQMQAFVSESKRAAELEE KRRYRFLAEKHLLLSNTFLQFLGRARGMLQNRVLLWKEQSEASRSPSRAHSPGLLGPALG PPYPSGRLTPTRLDMPPRPLGEYGSPRSRHGSGSYGPEPAEARSASQLEPDRRSLPRTPS ASSLYASSTQRSRSNSFGERLGGGGARRVRALVSHSEGANHTLLRFSAGDVVEVLVPEAQ NGWLYGKLEGSSASGWFPEAYVKPVEEIPVNPMNPVAPMNSMAPMSPMNELPSRSYPLRG SHSLDDLLDRPGNPTASSEYWDSQSRSRTPSRVPSRAPSPAPPPLPSSRRSSVGSMGAAT DVKKLMSWEQNPPELFPRGTNPFATVKLRPTVTNDRSAPLIR >ENSMUSP00000130698.1 pep:known chromosome:GRCm38:15:79258196:79285442:-1 gene:ENSMUSG00000018126.15 transcript:ENSMUST00000169462.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Baiap2l2 description:BAI1-associated protein 2-like 2 [Source:MGI Symbol;Acc:MGI:2652819] MAPEMDQFYRSTMAIYKSIMEQFNPALENLVYLGNNYLRAFHALSEAAEVYFSAIQKIGE QALQSSTSQILGEILVQMSDTQRHLNSDLEVVVQTFHGDLLQHMEKNTKLDMQFIKDSCQ HYEIEYRHRAANLEKCMSELWRMERKRDKNAREMKESVNRLHAQMQAFVSESKRAAELEE KRRYRFLAEKHLLLSNTFLQFLGRARGMLQNRVLLWKEQSEASRSPSRAHSPGLLGPALG PPYPSGRLTPTRLDMVRPWPPPPPFAPPPPFSGPWAPPPCAWSPPPPAPPLYAAPLAPWR RGVASAPLWWPHRVPNPYADIWDWGWGRG >ENSMUSP00000125946.1 pep:known chromosome:GRCm38:15:79270165:79285493:-1 gene:ENSMUSG00000018126.15 transcript:ENSMUST00000170955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap2l2 description:BAI1-associated protein 2-like 2 [Source:MGI Symbol;Acc:MGI:2652819] MAPEMDQFYRSTMAIYKSIMEQFNPALENLVYLGNNYLRAFHALSEAAEVYFSAIQKIGE QALQSSTSQILGEILVQMSDTQRHLNSDLEVVVQTFHGDLLQHMEKNTKLDMQFIKDSCQ HYEIEYRHRAANLEKCMSELWRMERKRDKNAREMKESVNRLHAQMQAFVSESKRAAELEE KRRYRFLAEKHLLLSNTFLQFLGRVSRAGESKPRPPGAVVRLRRTQEQERSFLPLCFL >ENSMUSP00000099716.3 pep:known chromosome:GRCm38:4:120267079:120287349:-1 gene:ENSMUSG00000052135.8 transcript:ENSMUST00000102656.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxo6 description:forkhead box O6 [Source:MGI Symbol;Acc:MGI:2676586] MAAKLRAHQVDVDPDFAPQSRPRSCTWPLPQPDLAGDEDGALGAGVAEGSEDCGPERRAT APAMAPAPPLGAEVGPLRKAKSSRRNAWGNLSYADLITKAIESAPDKRLTLSQIYDWMVR YVPYFKDKGDSNSSAGWKNSIRHNLSLHTRFIRVQNEGTGKSSWWMLNPEGGKTGKTPRR RAVSMDNGAKFLRIKGKASKKKQLHLPERSPDDSPPGAPVPGPLSASAKWAASPASHASD DYEAWADFRGSRRPLLGEAAELEDDEALEALAPSSPLMYPSPASALSPALGARCPGELPR LAELGGPLGLHGGGVAGLPDALLDGAQDAYGPRARAGTPSYFGSCKASAYGGGGGFGPPA LGSLRRLPMQTIQENKQASFVQAAAPFRPGALPALLPPPPPAPRPGPLLGAPGELALAGA AAAYPGKGAAPYAPPAPSRSALAHPISLMTLPGEAGAAGLAPPAHAAAFGGPPGGLLLDA LPGPYAAAAAGPLGAGPDRFPADLDLDMFSGSLECDVESIILNDFMDSDEMDFNFDSALP PPPPGLAGAPPPNQSWVPG >ENSMUSP00000098266.4 pep:known chromosome:GRCm38:14:41570696:41576319:1 gene:ENSMUSG00000072613.12 transcript:ENSMUST00000100701.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700049E17Rik2 description:tandem duplication of RIKEN cDNA 1700049E17 gene, gene 2 [Source:MGI Symbol;Acc:MGI:3796510] MEQEESQAEGIHFQHPQHLFTGLDTSGLQSCTRRELESSVMSTVLWLQQLLVGYCFSAFC ILNQACFPGCAGYFTGRMAIKERQDQVSVGKTSSQNCNITNHMKNMNKLDDMKFYIRKIN AERLELFRILDIDMNTDLNYRMNTEFTIIKSQHEKTMLDMEKMTQSISDTIEKYKEFIED KDSYSFTHTYLLKECNQLKEKVRMLLNENRKLLVEQANQETSYGEEKRFCDETSKNIHPK S >ENSMUSP00000107505.2 pep:known chromosome:GRCm38:14:41573342:41576186:1 gene:ENSMUSG00000072613.12 transcript:ENSMUST00000111874.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700049E17Rik2 description:tandem duplication of RIKEN cDNA 1700049E17 gene, gene 2 [Source:MGI Symbol;Acc:MGI:3796510] MKSFWGRHMSVGKTSSQNCNITNHMKNMNKLDDMKFYIRKINAERLELFRILDIDMNTDL NYRMNTEFTIIKSQHEKTMLDMEKMTQSISDTIEKYKEFIEDKDSYSFTHTYLLKECNQL KEKVRMLLNENRKLLVEQANQETSYGEEKRFCDETSKNIHPKS >ENSMUSP00000145039.1 pep:known chromosome:GRCm38:6:49243924:49264010:-1 gene:ENSMUSG00000029817.11 transcript:ENSMUST00000204189.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tra2a description:transformer 2 alpha homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1933972] MSDVEENNFEGRESRSQSKSPTGTPARVKSESRSGSRSPSRVSKHSESHSRSRSKSRSRS RRHSHRRYTRSRSHSHRRRSRSRSYTPEYRRRRSRSHSPMSNRRRHTGSRANPDPNTCLG VFGLSLYTTERDLREVFSRYGPLSGVNVVYDQRTGRSRGFAFVYFERIDDSKEAMERANG MELDGRRIRVDYSITKRAHTPTPGIYMGRPTHSGGGGGGGGGGGGGGGGGGRRRDSYYDR GYDRGYDRYEDYDYRRRSPSPYYSRYRSRSRSRSYSPRRY >ENSMUSP00000144908.1 pep:known chromosome:GRCm38:6:49243924:49264011:-1 gene:ENSMUSG00000029817.11 transcript:ENSMUST00000203820.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tra2a description:transformer 2 alpha homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1933972] MSDVEENNFEGRVNVREEIEEFFPRMWKINQDKRRLMKSIKDQKIKIEWGKKLNRRLVR >ENSMUSP00000031841.7 pep:known chromosome:GRCm38:6:49243928:49264030:-1 gene:ENSMUSG00000029817.11 transcript:ENSMUST00000031841.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tra2a description:transformer 2 alpha homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1933972] MSDVEENNFEGRESRSQSKSPTGTPARVKSESRSGSRSPSRVSKHSESHSRSRSKSRSRS RRHSHRRYTRSRSHSHRRRSRSRSYTPEYRRRRSRSHSPMSNRRRHTGSRANPDPNTCLG VFGLSLYTTERDLREVFSRYGPLSGVNVVYDQRTGRSRGFAFVYFERIDDSKEAMERANG MELDGRRIRVDYSITKRAHTPTPGIYMGRPTHSGGGGGGGGGGGGGGGGGGRRRDSYYDR GYDRGYDRYEDYDYRYRRRSPSPYYSRYRSRSRSRSYSPRRY >ENSMUSP00000029186.7 pep:known chromosome:GRCm38:2:158794807:158858214:1 gene:ENSMUSG00000027655.14 transcript:ENSMUST00000029186.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx35 description:DEAH (Asp-Glu-Ala-His) box polypeptide 35 [Source:MGI Symbol;Acc:MGI:1918965] MAAPLGPVKFWKPGTEGPGVSISEERQSVTENSGTTIIYNPYAALSIEQQRQKLPVFKLR NHILYLVENYQTVVIVGETGCGKSTQIPQYLAEAGWTAEGRVVGVTQPRRVAAVTVAGRV ADERGAVLGHEVGYCIRFDDCTDPLATRIKFLTDGMLVREMMVDPLLTKYSVIMLDEAHE RTLYTDIAIGLLKKIQKKRGDLRLIVASATLDAEKFRDFFNQNETSDPARDTSVTLTVEG RTFPVDIFYLQSPVPDYIKATVDTVVKIHQTEGDGDILAFLTGQEEVETVVSMLIEQARA LARTGMKKHLRVLPMYAGLPSFEQMKVFERVSHSVRKVIVATNVAETSITISGIVYVIDC GFMKLRAYNPRTAIECLVVVPVSQASANQRAGRGGRNRSGKCYRLYTEEAFDQLPQSTVP EMQRSNLAPVILQLKALGIDNVLRFHFMSPPPAQSMVQALELLYALGGLDKDCRLTEPLG MRIAEFPLNPMFAKMLLESGNFGCSQEVLSIAAMMQIQNVFVFPSNQKSQATRVHRKFAV EEGDHLTMLNVYEAFIKHNKSSQWCQEHFLNYKGLVRAATVRDQLKKLLVKFQVPKVSSE GDPDPVLRCIVSGFFANAARFHSSGAYRVIYNEVIQTSKYYMRDVTAIESAWLLELAPHF YQQGTHLSLKAKRAKVQDQ >ENSMUSP00000105104.2 pep:known chromosome:GRCm38:2:158794807:158858214:1 gene:ENSMUSG00000027655.14 transcript:ENSMUST00000109478.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx35 description:DEAH (Asp-Glu-Ala-His) box polypeptide 35 [Source:MGI Symbol;Acc:MGI:1918965] MAAPLGPVKFWKPGTEGPGVSISEERQSVTENSGTTIIYNPYAALSIEQQRQKLPVFKLR NHILYLVENYQTVVIVGETGCGKSTQIPQYLAEAGWTAEGRVVGVTQPRRVAAVTVAGRV ADERGAVLGHEVGYCIRFDDCTDPLATRIKFLTDGMLVREMMVDPLLTKYSVIMLDEAHE RTLYTDIAIGLLKKIQKKRGDLRLIVASATLDAEKFRDFFNQNETSDPARDTSVTLTVEG RTFPVDIFYLQSPVPDYIKATVDTVVKIHQTEGDGDILAFLTGQEEVETVVSMLIEQARA LARTGMKKHLRVLPMYAGLPSFEQMKVFERVSHSVRKVIVATNVAETSITISGIVYVIDC GFMKLRAYNPRTAIECLVVVPVSQASANQRAGRGGRNRSGKCYRLYTEEAFDQLPQSTVP EMQRSNLAPVILQLKALGIDNVLRFHFMSPPPAQSMVQALELLYALGGLDKDCRLTEPLG MRIAEFPLNPMFAKMLLESGNFGCSQEVLSIAAMMQIQNVFVFPSNQKSQATRVHRKFAV EEGDHLTMLNVYEAFIKHNKSSQWCQEHFLNYKGLVRAATVRDQLKKLLVKFQVPKVSSE GDPDPVLRCIVSGFFANAARFHSSGAYRTIRDDHELHIHPASVLYAEKPPRWVIYNEVIQ TSKYYMRDVTAIESAWLLELAPHFYQQGTHLSLKAKRAKVQDQ >ENSMUSP00000119497.1 pep:known chromosome:GRCm38:2:158794885:158829586:1 gene:ENSMUSG00000027655.14 transcript:ENSMUST00000156893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx35 description:DEAH (Asp-Glu-Ala-His) box polypeptide 35 [Source:MGI Symbol;Acc:MGI:1918965] MAAPLGPVKFWKPGTEGPGVSISEERQSVTENSGTTIIYNPYAALSIEQQRQKLPVFKLR NHILYLVENYQTVVIVGETGCGKSTQIPQYLAEAGWTAEGRVVGVTQPRRVAAVTKFRDF FNQNETSDPARDTSVTLTVEGRTFPVDIFYLQSPVPDYIKATVDTVVKIHQTEGDGDILA FLTGQEEVETVVSMLIEQARALARTGMKKHLRV >ENSMUSP00000080743.2 pep:known chromosome:GRCm38:6:71880640:71908750:-1 gene:ENSMUSG00000063884.6 transcript:ENSMUST00000082094.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptcd3 description:pentatricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1917206] MAAAAVAARRLSFRSGLVLLQTTRGTGVCEPKVCCRFYAGTESLPKVEGSDITGIEEIVI PKKKTWDKVAVLQALASTVNRDPTAAPYVFHDDPYLIPTSALESRSFLLAKKSGETAAKF IINSYPKYFQKDIAEPHIPCLMPEYFEPQIEDVSEAALEERIRLRKVRASVDMFDQLLQA GTTVSLETTNSLLDLLCYYGDQEPPADYPFQQTEHLENLEEAAEENNQTSKMESGPWKAQ NNAERIFALMPEKNARSYCTMIRGMVKHRAYAQALNVYTELLNNRLSADVYTFNALIEAK TFILNEKFEEKWNDILDLLKHMVAQKVKPNLQTFNTILKGLRKCYSLGRIPALQILREMK HIGIEPSLATYHHIIHLFYPRDLSAIKMPSLIIYDIMNELEGRTFSPQDLDDGRFFQLAM SVCSSLRDLELAYQVHRLLNTGDNRKLVGHDPLRKVYYSKFFSLICSLEQIDVTLKWYKD LIPSVFLPHYQIFIGLLQALDVANRLELVPQIWKDSKEYSHTFRDALREEVLMLMARDKH PPELQVAFADCAADIKSTYEDQSARQPAFDWPANPLQYIAVLFLRGGRSQEAWKMLELFK KHKKIPRNELLEEFMDTAKASGSTALAIEVVKLASAFSLPIGESLAQRVVMDFTVDPEQK EALGNLTELNSSDGESSSDSDSDDK >ENSMUSP00000146260.1 pep:known chromosome:GRCm38:6:71880641:71908750:-1 gene:ENSMUSG00000063884.6 transcript:ENSMUST00000206879.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptcd3 description:pentatricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1917206] MAAAAVAARRLSFRSGLVLLQTTRGTGVCEPKVCCRFYAGTESLPKVEGSDITGIEEIVI PKKKTWDKVAVLQALASTVNRDPTAAPYVFHDDPYLIPTSALESRSFLLAKKSGETAAKF IINSYPKYFQKDIAEPHIPCLMPEYFEPQIEDVSEAALEERIRLRKVRASVDMFDQLLQA GTTVSLETTNSLLDLLCYYGDQEPPADYPFQQTEHLENLEEAAEENNQTSKMESGPWKAQ NNAERIFALMPEKNARSYCTMIRGMVKGLCVTLTGWMSIQGLNS >ENSMUSP00000145813.1 pep:known chromosome:GRCm38:6:71888608:71897152:-1 gene:ENSMUSG00000063884.6 transcript:ENSMUST00000205556.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptcd3 description:pentatricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1917206] XNNQTSKMESGPWKAQNNAERIFALMPEKNARSYCTMIRGMVKLMYIPLMH >ENSMUSP00000145566.1 pep:known chromosome:GRCm38:6:71898393:71908718:-1 gene:ENSMUSG00000063884.6 transcript:ENSMUST00000206284.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptcd3 description:pentatricopeptide repeat domain 3 [Source:MGI Symbol;Acc:MGI:1917206] XRRLSFRSGLVLLQTTRGTGVCEPKVCCRFYAGTESLPKVEGSDITGIKWLFFRHLHPQ >ENSMUSP00000140049.1 pep:known chromosome:GRCm38:9:7818227:7837057:-1 gene:ENSMUSG00000057367.12 transcript:ENSMUST00000190341.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc2 description:baculoviral IAP repeat-containing 2 [Source:MGI Symbol;Acc:MGI:1197009] MDKTVSQRLGQGTLHQKLKRIMEKSTILSNWTKESEEKMKFDFSCELYRMSTYSAFPRGV PVSERSLARAGFYYTGVNDKVKCFCCGLMLDNWKQGDSPVEKHRQFYPSCSFVQTLLSAS LQSPSKNMSPVKSRFAHSSPLERGGIHSNLCSSPLNSRAVEDFSSRMDPCSYAMSTEEAR FLTYSMWPLSFLSPAELARAGFYYIGPGDRVACFACGGKLSNWEPKDDAMSEHRRHFPHC PFLENTSETQRFSISNLSMQTHSARLRTFLYWPPSVPVQPEQLASAGFYYVDRNDDVKCF CCDGGLRCWEPGDDPWIEHAKWFPRCEFLIRMKGQEFVDEIQARYPHLLEQLLSTSDTPG EENADPTETVVHFGPGESSEDVVMMSTPVVKAALEMGFSRSLVRQTVQRQILATGENYRT VNDIVSVLLNAEDERREEEKERQTEEMASGDLSLIRKNRMALFQQLTHVLPILDNLLEAS VITKQEHDIIRQKTQIPLQARELIDTVLVKGNAAANIFKNSLKEIDSTLYENLFVEKNMK YIPTEDVSGLSLEEQLRRLQEERTCKVCMDREVSIVFIPCGHLVVCQECAPSLRKCPICR GTIKGTVRTFLS >ENSMUSP00000091422.4 pep:known chromosome:GRCm38:9:7818236:7835255:-1 gene:ENSMUSG00000057367.12 transcript:ENSMUST00000074246.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc2 description:baculoviral IAP repeat-containing 2 [Source:MGI Symbol;Acc:MGI:1197009] MDKTVSQRLGQGTLHQKLKRIMEKSTILSNWTKESEEKMKFDFSCELYRMSTYSAFPRGV PVSERSLARAGFYYTGVNDKVKCFCCGLMLDNWKQGDSPVEKHRQFYPSCSFVQTLLSAS LQSPSKNMSPVKSRFAHSSPLERGGIHSNLCSSPLNSRAVEDFSSRMDPCSYAMSTEEAR FLTYSMWPLSFLSPAELARAGFYYIGPGDRVACFACGGKLSNWEPKDDAMSEHRRHFPHC PFLENTSETQRFSISNLSMQTHSARLRTFLYWPPSVPVQPEQLASAGFYYVDRNDDVKCF CCDGGLRCWEPGDDPWIEHAKWFPRCEFLIRMKGQEFVDEIQARYPHLLEQLLSTSDTPG EENADPTETVVHFGPGESSEDVVMMSTPVVKAALEMGFSRSLVRQTVQRQILATGENYRT VNDIVSVLLNAEDERREEEKERQTEEMASGDLSLIRKNRMALFQQLTHVLPILDNLLEAS VITKQEHDIIRQKTQIPLQARELIDTVLVKGNAAANIFKNSLKEIDSTLYENLFVEKNMK YIPTEDVSGLSLEEQLRRLQEERTCKVCMDREVSIVFIPCGHLVVCQECAPSLRKCPICR GTIKGTVRTFLS >ENSMUSP00000062610.5 pep:known chromosome:GRCm38:9:7836687:7837064:-1 gene:ENSMUSG00000057367.12 transcript:ENSMUST00000054878.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc2 description:baculoviral IAP repeat-containing 2 [Source:MGI Symbol;Acc:MGI:1197009] QGLLGRLRINNQAPLLPGRRGPDSVVSAAAFVRTGERGSRRLGGLADSSFGPEGSSQGRP TPAPCLSPWAWTCITVLLSFPFGLFFQSTQASLEFAAILLPPIVLGAGITGVQHLGCLFR FSAGF >ENSMUSP00000103811.1 pep:known chromosome:GRCm38:7:30421732:30428746:1 gene:ENSMUSG00000036931.14 transcript:ENSMUST00000108176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbid description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, delta [Source:MGI Symbol;Acc:MGI:3041243] MEDSLDTRLYPEPSLSQVGSWRVSSLPSGSPQLPSPTGPSLETARAHILALGPQQLLAQD EEGDTLLHLFAARGLRWAAYAAAEVLQMYRQLDIREHKGKTPLLVAAAANQPLIVEDLLS LGAEPNATDHQGRSVLHVAATYGLPGVLSAVFKSGIQVDLEARDFEGLTPLHTAVLALNA AMLPASVCPRMQNSQARDRLTCVQMLLQMGASHTSQEIKSNKTILHLAVQAANPTLVQLL LGLPRGDLRAFVNMKAHGNTALHMAAALPPGPPQEAIVRHLLAAGADPTLRNLENEQPVH LLRPGPGPEGLRQLLKRSRTAPPGLSS >ENSMUSP00000103810.1 pep:known chromosome:GRCm38:7:30422406:30428745:1 gene:ENSMUSG00000036931.14 transcript:ENSMUST00000108175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbid description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, delta [Source:MGI Symbol;Acc:MGI:3041243] MEDSLDTRLYPEPSLSQVGSWRVSSLPSGSPQLPSPTGPSLETARAHILALGPQQLLAQD EEGDTLLHLFAARGLRWAAYAAAEVLQMYRQLDIREHKGKTPLLVAAAANQPLIVEDLLS LGAEPNATDHQGRSVLHVAATYGLPGVLSAVFKSGIQVDLEARDFEGLTPLHTAVLALNA AMLPASVCPRMQNSQARDRLTCVQMLLQMGASHTSQEIKSNKTILHLAVQAANPTLVQLL LGLPRGDLRAFVNMKAHGNTALHMAAALPPGPPQEAIVRHLLAAGADPTLRNLENEQPVH LLRPGPGPEGLRQLLKRSRTAPPGLSS >ENSMUSP00000042317.8 pep:known chromosome:GRCm38:7:30423275:30428746:1 gene:ENSMUSG00000036931.14 transcript:ENSMUST00000046177.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbid description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, delta [Source:MGI Symbol;Acc:MGI:3041243] MEDSLDTRLYPEPSLSQVGSWRVSSLPSGSPQLPSPTGPSLETARAHILALGPQQLLAQD EEGDTLLHLFAARGLRWAAYAAAEVLQMYRQLDIREHKGKTPLLVAAAANQPLIVEDLLS LGAEPNATDHQGRSVLHVAATYGLPGVLSAVFKSGIQVDLEARDFEGLTPLHTAVLALNA AMLPASVCPRMQNSQARDRLTCVQMLLQMGASHTSQEIKSNKTILHLAVQAANPTLVQLL LGLPRGDLRAFVNMKAHGNTALHMAAALPPGPPQEAIVRHLLAAGADPTLRNLENEQPVH LLRPGPGPEGLRQLLKRSRTAPPGLSS >ENSMUSP00000117971.1 pep:known chromosome:GRCm38:7:105806658:105811099:-1 gene:ENSMUSG00000030879.9 transcript:ENSMUST00000124482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl17 description:mitochondrial ribosomal protein L17 [Source:MGI Symbol;Acc:MGI:1351608] MRLSLAAAISHGRVYRRLGLGPESRIHLLRNLLTGLVRHERIEATWARADEMRGYAEKLI DYGKLGDTNERAMRMADFWLTEKDLIPKLFKVLAPRFQGQNGNYTRMLQIPNRKEQDRAK MAVIEYKGNYLPPLPLPHRDSNLTLLNQLLLGLQQDLHHNQDASLHSSCTVQTPKT >ENSMUSP00000135121.1 pep:known chromosome:GRCm38:7:105809657:105810958:-1 gene:ENSMUSG00000030879.9 transcript:ENSMUST00000176158.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl17 description:mitochondrial ribosomal protein L17 [Source:MGI Symbol;Acc:MGI:1351608] XISHGRVYRRLGLGPESRIHLLRNLLTGLVRHERIEATWARADEMRGYAEKLIDYGKLGD TNERAMRMADFWLTVSAPYLLVRKESLENRVPGRLKAGHSFMYQTCELP >ENSMUSP00000134784.1 pep:known chromosome:GRCm38:7:105810121:105811028:-1 gene:ENSMUSG00000030879.9 transcript:ENSMUST00000176887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl17 description:mitochondrial ribosomal protein L17 [Source:MGI Symbol;Acc:MGI:1351608] MRLSLAAAISHGRVYRRLGLGPESRIHLLRNLLTGLVRHERIEATWARADEMRGYAEKLI DYGKLGDTNERAMRMADFWLTVSAPYLLVRKESLENRVPGRLKEKDLIPKL >ENSMUSP00000077552.3 pep:known chromosome:GRCm38:7:23631988:23633568:1 gene:ENSMUSG00000062483.3 transcript:ENSMUST00000078458.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r171 description:vomeronasal 1 receptor 171 [Source:MGI Symbol;Acc:MGI:3033476] MITIPLTNEVVSMLSQNKSVKTTEEVALQILLLCQVGVGTAVNIFLIVHNLSPILNGSQQ RPIKVILANLAVGNTLILLFAFPNNMTLFVPKDPPTDLKCKLGYFIWLVARSTNMCSTCS LSTYQLVTLAPGTWGRVMLRGRAPKFVRYICYSCWLSSVLNNAHIPMKVSGPQKTHNDTN TKNKWVCSTTGFSIGMRILSFAHDAVFISIIIWSSVSMVILLNRHHQRLQHIQSPNQKLR VHAETRAAHTILMLVVTFVTCYLLDCICTFLHISFVDSRLWLRRVKEILAVSFPTFSPLL LIFRDPNDPCSLLFSC >ENSMUSP00000127174.1 pep:known chromosome:GRCm38:14:41619286:41624612:-1 gene:ENSMUSG00000091657.1 transcript:ENSMUST00000167712.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3072 description:predicted gene 3072 [Source:MGI Symbol;Acc:MGI:3781249] XRYSWVPKQACCPCSSGYFRERIEYTQTPDQGRRTQAAHHGGKGQETTGHGEGSAGEASI QAPNINEQEKRRERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIKTKTLCNEGSQKIKDHYTK >ENSMUSP00000006703.6 pep:known chromosome:GRCm38:14:30886476:30901981:1 gene:ENSMUSG00000021922.15 transcript:ENSMUST00000006703.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih4 description:inter alpha-trypsin inhibitor, heavy chain 4 [Source:MGI Symbol;Acc:MGI:109536] MKSPAPAHMWNLVLFLPSLLAVLPTTTAEKNGIDIYSLTVDSRVSSRFAHTVVTSRVVNR ADAVQEATFQVELPRKAFITNFSMIIDGVTYPGVVKEKAEAQKQYSAAVGRGESAGIVKT TGRQTEKFEVSVNVAPGSKITFELIYQELLQRRLGMYELLLKVRPQQLVKHLQMDIYIFE PQGISILETESTFMTPELANALTTSQNKTKAHIRFKPTLSQQQKSQSEQDTVLNGDFIVR YDVNRSDSGGSIQIEEGYFVHHFAPENLPTMSKNVIFVIDKSGSMSGKKIQQTREALVKI LKDLSPQDQFNLIEFSGEANQWKQSLVQATEENLNKAVNYASRIRAHGGTNINNAVLLAV ELLDRSNQAELLPSKSVSLIILLTDGDPTVGETNPTIIQNNVREAINGQYSLFCLGFGFD VNYPFLEKMALDNGGLARRIYEDSDSALQLQDFYHEVANPLLSSVAFEYPSDAVEEVTRY KFQHHFKGSEMVVAGKLQDQGPDVLLAKVSGQMHMQNITFQTEASVAQQEKEFKSPKYIF HNFMERLWALLTIQQQLEQRISASGAELEALEAQVLNLSLKYNFVTPLTHMVVTKPEGQE QFQVAEKPVEVGDGMQRLPLAAQAHPFRPPVRGSKLMTVLKGSRSQIPRLGDAVRASRQY IPPGFPGPPGPPGFPAPPGPPGFPAPPGPPLASGSDFSLQPSYERMLSLPSVAAQYPADP HLVVTEKSKESTIPEESPNPDHPQVPTITLPLPGSSVDQLCVDILHSEKPMKLFVDPSQG LEVTGKYENTGFSWLEVTIQKPHLQVHATPERLVVTRGRKNTEYKWKKTLFSVLPGLKMT MNMMGLLQLSGPDKVTIGLLSLDDPQRGLMLLLNDTQHFSNNVKGELGQFYRDIVWEPPV EPDNTKRTVKVQGVDYLATRELKLSYQEGFPGAEISCWTVEI >ENSMUSP00000077580.5 pep:known chromosome:GRCm38:14:30886502:30901844:1 gene:ENSMUSG00000021922.15 transcript:ENSMUST00000078490.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih4 description:inter alpha-trypsin inhibitor, heavy chain 4 [Source:MGI Symbol;Acc:MGI:109536] MKSPAPAHMWNLVLFLPSLLAVLPTTTAEKNGIDIYSLTVDSRVSSRFAHTVVTSRVVNR ADAVQEATFQVELPRKAFITNFSMIIDGVTYPGVVKEKAEAQKQYSAAVGRGESAGIVKT TGRQTEKFEVSVNVAPGSKITFELIYQELLQRRLGMYELLLKVRPQQLVKHLQMDIYIFE PQGISILETESTFMTPELANALTTSQNKTKAHIRFKPTLSQQQKSQSEQDTVLNGDFIVR YDVNRSDSGGSIQIEEGYFVHHFAPENLPTMSKNVIFVIDKSGSMSGKKIQQTREALVKI LKDLSPQDQFNLIEFSGEANQWKQSLVQATEENLNKAVNYASRIRAHGGTNINNAVLLAV ELLDRSNQAELLPSKSVSLIILLTDGDPTVGETNPTIIQNNVREAINGQYSLFCLGFGFD VNYPFLEKMALDNGGLARRIYEDSDSALQLQDFYHEVANPLLSSVAFEYPSDAVEEVTRY KFQHHFKGSEMVVAGKLQDQGPDVLLAKVSGQMHMQNITFQTEASVAQQEKEFKSPKYIF HNFMERLWALLTIQQQLEQRISASGAELEALEAQVLNLSLKYNFVTPLTHMVVTKPEGQE QFQVAEKPVEVGDGMQRLPLAAQAHPFRPPVRGSKLMTVLKGSRSQIPRLGDAVRASRQY IPPGFPGPPGPPGFPAPPGPPGFPAPPGPPLASGSDFSLQPSYERMLSLPSVAQYPADPH LVVTEKSKESTIPEESPNPDHPQVPTITLPLPGSSVDQLCVDILHSEKPMKLFVDPSQGL EVTGKYENTGFSWLEVTIQKPHLQVHATPERLVVTRGRKNTEYKWKKTLFSVLPGLKMTM NMMGLLQLSGPDKVTIGLLSLDDPQRGLMLLLNDTQHFSNNVKGELGQFYRDIVWEPPVE PDNTKRTVKVQGVDYLATRELKLSYQEGFPGAEISCWTVEI >ENSMUSP00000112798.2 pep:known chromosome:GRCm38:14:30886502:30901948:1 gene:ENSMUSG00000021922.15 transcript:ENSMUST00000120269.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih4 description:inter alpha-trypsin inhibitor, heavy chain 4 [Source:MGI Symbol;Acc:MGI:109536] MKSPAPAHMWNLVLFLPSLLAVLPTTTAEKNGIDIYSLTVDSRVSSRFAHTVVTSRVVNR ADAVQEATFQVELPRKAFITNFSMIIDGVTYPGVVKEKAEAQKQYSAAVGRGESAGIVKT TGRQTEKFEVSVNVAPGSKITFELIYQELLQRRLGMYELLLKVRPQQLVKHLQMDIYIFE PQGISILETESTFMTPELANALTTSQNKTKAHIRFKPTLSQQQKSQSEQDTVLNGDFIVR YDVNRSDSGGSIQIEEGYFVHHFAPENLPTMSKNVIFVIDKSGSMSGKKIQQTREALVKI LKDLSPQDQFNLIEFSGEANQWKQSLVQATEENLNKAVNYASRIRAHGGTNINNAVLLAV ELLDRSNQAELLPSKSVSLIILLTDGDPTVGETNPTIIQNNVREAINGQYSLFCLGFGFD VNYPFLEKMALDNGGLARRIYEDSDSALQLQDFYHEVANPLLSSVAFEYPSDAVEEVTRY KFQHHFKGSEMVVAGKLQDQGPDVLLAKVSGQMHMQNITFQTEASVAQQEKEFKSPKYIF HNFMERLWALLTIQQQLEQRISASGAELEALEAQVLNLSLKYNFVTPLTHMVVTKPEGQE QFQVAEKPVEVGDGMQRLPLAAQAHPFRPPVRGSKLMTVLKGSRSQIPRLGDAVRASRQY IPPGFPGPPGPPGFPAPPGPPGFPAPPGPPLASGSDFSLQPSYERMLSLPSVAQYPADPH LVVTEKSKESTIPEESPNPGLEVTGKYENTGFSWLEVTIQKPHLQVHATPERLVVTRGRK NTEYKWKKTLFSVLPGLKMTMNMMGLLQLSGPDKVTIGLLSLDDPQRGLMLLLNDTQHFS NNVKGELGQFYRDIVWEPPVEPDNTKRTVKVQGVDYLATRELKLSYQEGFPGAEISCWTV EI >ENSMUSP00000125920.1 pep:known chromosome:GRCm38:14:30886521:30901846:1 gene:ENSMUSG00000021922.15 transcript:ENSMUST00000168782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih4 description:inter alpha-trypsin inhibitor, heavy chain 4 [Source:MGI Symbol;Acc:MGI:109536] MKSPAPAHMWNLVLFLPSLLAVLPTTTAEKNGIDIYSLTVDSRVSSRFAHTVVTSRVVNR ADAVQEATFQVELPRKAFITNFSMIIDGVTYPGVVKEKAEAQKQYSAAVGRGESAGIVKT TGRQTEKFEVSVNVAPGSKITFELIYQELLQRRLGMYELLLKVRPQQLVKHLQMDIYIFE PQGISILETESTFMTPELANALTTSQNKTKAHIRFKPTLSQQQKSQSEQDTVLNGDFIVR YDVNRSDSGGSIQIEEGYFVHHFAPENLPTMSKNVIFVIDKSGSMSGKKIQQTREALVKI LKDLSPQDQFNLIEFSGEANQWKQSLVQATEENLNKAVNYASRIRAHGGTNINNAVLLAV ELLDRSNQAELLPSKSVSLIILLTDGDPTVGETNPTIIQNNVREAINGQYSLFCLGFGFD VNYPFLEKMALDNGGLARRIYEDSDSALQLQDFYHEVANPLLSSVAFEYPSDAVEEVTRY KFQHHFKGSEMVVAGKLQDQGPDVLLAKVSGQMHMQNITFQTEASVAQQEKEFKSPKYIF HNFMERLWALLTIQQQLEQRISASGAELEALEAQVLNLSLKYNFVTPLTHMVVTKPEGQE QFQVAEKPVEVGDGMQRLPLAAQAHPFRPPVRGSKLMTVLKGSRSQIPRLGDAVRASRQY IPPGFPGPPGPPGFPAPPGPPGFPAPPGPPLASGSDFSLQPSYERMLSLPSVESTIPEES PNPDHPQVPTITLPLPGSSVDQLCVDILHSEKPMKLFVDPSQGLEVTGKYENTGFSWLEV TIQKPHLQVHATPERLVVTRGRKNTEYKWKKTLFSVLPGLKMTMNMMGLLQLSGPDKVTI GLLSLDDPQRGLMLLLNDTQHFSNNVKGELGQFYRDIVWEPPVEPDNTKRTVKVQGVDYL ATRELKLSYQEGFPGAEISCWTVEI >ENSMUSP00000140398.1 pep:known chromosome:GRCm38:10:81649059:81667361:1 gene:ENSMUSG00000096856.7 transcript:ENSMUST00000189672.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10778 description:predicted gene 10778 [Source:MGI Symbol;Acc:MGI:3809656] MDAVTYEDVYVKFTHEEWALLDPSQKSLYKDVMLETCRNLTAIGYKLQDDNIEEHCPSSR GCGRYNIYGYKPCEHKGYGKKQCTFAQKRFLQTYERICSEEKPYECNQCSKAFANFRSLQ KHEKNHTREKPYECNHCGKAFPSRSALQIHQRTHTGEKPFDCSECGKAFARHSNLDIHKR THTGEKPYDCNECGKAFSTRSHLQIHEIIHTGEKPYVCNQCGKAFAYRSNLEAHEKTHTG EKPYECNQCGNVYASRSSLRNHEKHHTGEKLYGCNQCGKAFARRSSLEIHERTHTGEKPY VCNQCCKAFARRSSLQIHERSHTGEKPYECNQCGKAFASRTSLQNHEKHHTGEKPYGCNQ CDKAFELKCDLQIHERIHTGEKPYVCNQCGKAFVSRRYLRNHEKHHTLEKLYDCSQCGKS FAIFRYLQKHKKTHTGEKPYKCTHCGKAFAYRSNLYRHERSHTGEKLYECNECGKAFAYH TSLHNHKKCHIGENPYECN >ENSMUSP00000100952.2 pep:known chromosome:GRCm38:10:81661998:81664231:1 gene:ENSMUSG00000096856.7 transcript:ENSMUST00000105315.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10778 description:predicted gene 10778 [Source:MGI Symbol;Acc:MGI:3809656] DAVTYEDVYVKFTHEEWALLDPSQKSLYKDVMLETCRNLTAIGYKLQDDNIEEHCPSSRG CGRYNIYGYKPCEHKGYGKKQCTFAQKRFLQTYERICSEEKPYECNQCSKAFANFRSLQK HEKNHTREKPYECNHCGKAFPSRSALQIHQRTHTGEKPFDCSECGKAFARHSNLDIHKRT HTGEKPYDCNECGKAFSTRSHLQIHEIIHTGEKPYVCNQCGKAFAYRSNLEAHEKTHTGE KPYECNQCGNVYASRSSLRNHEKHHTGEKLYGCNQCGKAFARRSSLEIHERTHTGEKPYV CNQCCKAFARRSSLQIHERSHTGEKPYECNQCGKAFASRTSLQNHEKHHTGEKPYGCNQC DKAFELKCDLQIHERIHTGEKPYVCNQCGKAFVSRRYLRNHEKHHTLEKLYDCSQCGKSF AIFRYLQKHKKTHTGEKPYKCTHCGKAFAYRSNLYRHERSHTGEKLYECNECGKAFAYHT SLHNHKKCHIGENPYECN >ENSMUSP00000086158.3 pep:known chromosome:GRCm38:X:53642488:53643682:-1 gene:ENSMUSG00000067925.4 transcript:ENSMUST00000088779.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxx1a description:CAAX box 1A [Source:MGI Symbol;Acc:MGI:1913408] MEGQGKVKRPKAYMLRHNRRRRRRNPIPFPELFDGEMDKLPEFIVQTGSYMLVDDRTFDT DELKVTFLITRLKGRALQWVIPYIKIDSPLLNDYNGFLNEMKRVFGWEEDEDF >ENSMUSP00000041653.7 pep:known chromosome:GRCm38:7:23658316:23660663:1 gene:ENSMUSG00000035523.7 transcript:ENSMUST00000038694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r172 description:vomeronasal 1 receptor 172 [Source:MGI Symbol;Acc:MGI:3033478] MSALNKALKTTEEVALQIILLCQVGIAAVANILLLVHNLSPNFAGPQLRPKHVILAHIAV GNLLIILLTGFPSNMTVFVPRNPLNNLNCKLEYFIRLVARSANMCTTCVLSTYQFIVLVP GKWSRVILRGNTSTFVHYSCNSCWIISVLNNVYIPIKISGPWNTDNDTDPNSKWVCSNSG FELVMVFLRFVHDAIFISIMVWTSVSMMLLLYRHHQSLKHIHRSNGSLGLQPEARAAYTI LMLVITFVCFYLLDCICIFFHISFVHFRVWLRHVSGIWAASFPTISPLMLIFRGPKKISA KGINTENAFINSH >ENSMUSP00000133887.1 pep:known chromosome:GRCm38:7:23658421:23660661:1 gene:ENSMUSG00000035523.7 transcript:ENSMUST00000173101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r172 description:vomeronasal 1 receptor 172 [Source:MGI Symbol;Acc:MGI:3033478] MSALNKALKTTEEVALQIILLCQVGIAAVANILLLVHNLSPNFAGPQLRPKHVILAHIAV GNLLIILLTGFPSNMTVFVPRNPLNNLNCKLEYFIRLVARSANMCTTCVLSTYQFIVLVP GKWSRVILRGNTSTFVHYSCNSCWIISVLNNVYIPIKISGPWNTDNDTDPNSKWVCSNSG FELVMVFLRFVHDAIFISIMVWTSVSMMLLLYRHHQSLKHIHRSNGSLGLQPEARAAYTI LMLVITFVCFYLLDCICIFFHISFVHFRVWLRHVSGIWAASFPTISPLMLIFRGPKKISA KGINTENAFINSH >ENSMUSP00000113053.1 pep:known chromosome:GRCm38:19:9985174:10001633:-1 gene:ENSMUSG00000037418.5 transcript:ENSMUST00000117346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Best1 description:bestrophin 1 [Source:MGI Symbol;Acc:MGI:1346332] MTITYTNKVANARLGSFSSLLLCWRGSIYKLLYGEFLVFIFLYYSIRGLYRMVLSSDQQL LFEKLALYCDSYIQLIPISFVLGFYVTLVVSRWWSQYENLPWPDRLMIQVSSFVEGKDEE GRLLRRTLIRYAILGQVLILRSISTSVYKRFPTLHHLVLAGFMTHGEHKQLQKLGLPHNT FWVPWVWFANLSMKAYLGGRIRDTVLLQSLMNEVCTLRTQCGQLYAYDWISIPLVYTQVV TVAVYSFFLACLIGRQFLNPNKDYPGHEMDLVVPVFTILQFLFYMGWLKVAEQLINPFGE DDDDFETNWIIDRNLQVSLLSVDGMHQNLPPMERDMYWNEAAPQPPYTAASARSRRHSFM GSTFNISLKKEDLELWSKEEADTDKKESGYSSTIGCFLGLQPKNYHLPLKDLKTKLLCSK NPLLEGQCKDANQKNQKDVWKFKGLDFLKCVPRFKRRGSHCGPQAPSSHPTEQSAPSSSD TGDGPSTDYQEICHMKKKTVEFNLNIPESPTEHLQQRRLDQMSTNIQALMKEHAESYPYR DEAGTKPVLYE >ENSMUSP00000101404.1 pep:known chromosome:GRCm38:4:143107391:143212705:-1 gene:ENSMUSG00000057637.13 transcript:ENSMUST00000105778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm2 description:PR domain containing 2, with ZNF domain [Source:MGI Symbol;Acc:MGI:107628] MHQNTESVAVTETLAEVPEHVLRGLPEEVRLLPSAVDKTRIGVWATKPILKGKKFGPFVG DKKKRSQVRNNVYMWEVYYPNLGWMCIDATDPEKGNWLRYVNWACSGEEQNLFPLEINRA IYYKTLKPIAPGEELLVWYNGEDNPEIAAAIEEERASARSKRSSPKSRRGKKKSQENKNK GVRTQAAARKASELDSTSANMRGSAEGPKEEDERPLASAPEQPALLPEVVSQDAVPQVAI PLPACESQPGADGKQEVTDCEVNNMKEEEEEEEEELEEEEEEEELGEDGEEEADMPNESS VKEPEIRCEEKPEDLLEEPRSVPTETSEGSPGATPPPHAPRAREEANGEGLETFMFPCQH CERKFATKQGLERHMHIHISTINHAFKCKYCGKPFGTQINRRRHERRHETGLKRRPSMAL QSSEDPDDGKGENVTSKDESSPPQLGQDCLILNSEKTSQEILNSSFVEENGEVKELHPCK YCKKVFGTHTNMRRHQRRVHERHLIPKGVRRKGGLLEEPQPPAEQAPPSQNVYVPSTEPE EDGDADDVYIMDISSNISENLNYYIDGKIQTNNSTSDCDVIEMESNSAHLYGIDCLLTPV TVEITQNIKSTQGSVTDDLLKESPSSTNCESKKRRTASPPVLPKIKAETDSDSTAPSCSL SLPLSISTTEVVSFHKEKGVYLSSKLKQLLQTQDKLTPPAGISTAEIPKLGPVCVSAPAS MLAVTSSRFKRRTSSPPSSPQHSPALRDFGKQSDGKAAWTDTALTSKKPKLESRSDSPAW SLSGRDERETGSPPCFDEYKISKEWAASSTFSSVCNQQPLDLSSGVKQKSEGTGKTPVPW ESVLDLSVHKKPCDSEGKEFKENHLAQPAAKKKKPTTCMLQKVLLNEYNGVSLPTESTPE ATRSPSPCKSPDTQPDPELATDSSCSAPTAESPPEVVGPSSPPLQAASLSSGQLPPLLIP TEPSSPPPCPPVLTVATPPPPLLPTVPLPNPSCDASPQQCPSPFSNATAQSPLPILSPTV SPSPSPIPPVEPLMSAASPGPPTLSSSSSSSSSSSFSSSSCSSSSPSPPPLSAVSSVVSS GDNLEASLPAITLKQEESESEGLKRKEEAPAAGGQSAVQETFSRNFVCNVCASPFLSIKD LTKHLSVHAEEWPFKCEFCVQLFKGKTDLSEHRFLLHGVGNIFVCSVCKKEFAFLCNLQQ HQRDLHPDELCTHHEFESGTLRPQNFTDPSKAHVEHMPSLPEEPAEASREEELNDSSEEL YTTIKIMASGIKTKEPDVRLGLNQHYPSFKPPPFQYHHRNPMGIGVTATNFTTHNIPQTF TTAIRCTKCGKSVDNMPELHKHILACASASDKKRYTPKKNPVPLRQTVQPKNGVLVLDNS GKNAFRRMGQPKRLSFNVELSKMSPNKLKLNALKKKNQLVQKAILQKNRSAKQKADLRDT SEASSHICPYCDREFTYIGSLNKHAAFSCPKKPLSPSKRKVSHSSKKGGHTSSASSDRNS SSNPRRRTADTEIKMQSMQAPLGKTRARSTGPAQASLPSSSFRSRQNVKFAASVKSKKAS SSSLRNSSPVRMAKITHVEGKKSKAVAKSHSTQLSSKASRSLHVKVQKSKAVLQSKTALA SKKRTDRFIVKSRERSGGPITRSLQLAAAADLSESRREDSSARHELKDLSYSLRLASRCA SSTAPYITRQCRKVKAAAATQFQGPFFKE >ENSMUSP00000117741.1 pep:known chromosome:GRCm38:4:143162056:143194735:-1 gene:ENSMUSG00000057637.13 transcript:ENSMUST00000154280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm2 description:PR domain containing 2, with ZNF domain [Source:MGI Symbol;Acc:MGI:107628] MHQNTESVAVTETLAEVPEHVLRGLPEEVRLLPSAVDKTRIGVWATKPILKGKKFGPFVG DKKKRSQVRNNVYMWEVYYPNLGWMCIDATDPEKGNWLRYVNWACSGEEQNLFPLEINRA IYYKTLKPIAPGEELLVWYNGEDNPEIAAAIEEERASARSKRSSPKSRR >ENSMUSP00000116458.1 pep:known chromosome:GRCm38:4:143162080:143212709:-1 gene:ENSMUSG00000057637.13 transcript:ENSMUST00000134791.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm2 description:PR domain containing 2, with ZNF domain [Source:MGI Symbol;Acc:MGI:107628] MHQNTESVAVTETLAEVPEHVLRGLPEEVRLLPSAVDKTRIGVWATKPILKGKKFGPFVG DKKKRSQVYYPNLGWMCIDATDPEKGNWLRYVNWACSGEEQNLFPLEINRAIYYKTLKPI APGEELLVWYNGEDNPEIAAAIEEERASARSK >ENSMUSP00000121071.1 pep:known chromosome:GRCm38:7:105752990:105787654:-1 gene:ENSMUSG00000036862.14 transcript:ENSMUST00000144189.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dchs1 description:dachsous 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2685011] XRGGREGRGLGPRRRILHPPRGGCAGGGAPAAVADRRTDRPTVERAQTDGGPRRGHCEPE PVKADDARPQAPHWRALPRGLTAAGLRPNTGRGSPHRIEVREPELQLKPKLDPIQTLKSS WAKSLVWSWSFSWTGPAMQKELSVALSCPGMKSLRTLLPLLVLLGATVPGSWGQAGSLDL QIDEEQPAGTLIGDISAGLPPGTAPPPMYFISAQEGSGVGTDLAIDEHSGVVRTARVLDR ERRDRYRFTAVTPDGATVEVTVRVADINDHAPAFPQARAALQIPEHTALGTRYPLEPARD ADAGRLGTQGYALSGDGAGETFRLETRPGPGGAPVPELVIAGELDRENRSHYMLQLEAYD GGSPPRRAQALLDVTLLDINDHAPAFNQSRYHAVVSESLAPGSPVLQVFASDADAGANGA VTYEINRRQSEGDGPFSIDAHTGFLRLERPLDFEQRRVHELVVQARDGGAHPELGSAFVT VHVRDANDNQPSMTVIFLSADGSPRVSEAAPPGQLVARISVSDPDDGDFAHVNVSLEGGE GHFALSTQDSVIYLVCVARRLDREERDVYNLRVTATDSGSPPLRAEAAFVLHVTDVNDNA PAFDRQLYRPEPLPEVALPGSFVVRVTARDPDQGTNGQITYSLAPGTHTHWFSIDPTSGI ITTAATLDYELEPQPQLIVVATDGGLPPLVSSATVSVALQDVNDNEPQFQRTFYNASLPE GTQPGTCFLQVTATDADSGPFGLLSYSLGAGLGASGSPPFRIDAHSGDVCTTRTLDRDQG PSSFDFTVTAIDGGGLKSMVYVKVFVADENDNPPQFYPREYAASLSAQSTPGTAVLRVHA HDPDQGPHGRLSYHILAGNSPPLFALDAHSGLLTVAWPLGRRANSVVQLEIGAQDGGGLQ AEPIARVNISIVPGTPTPPIFEQLQYVFSVPEDVAPGTSVGIIQAHNPPGRLGPVTLTLS GGDPRGLFSLDSPSGLLKTLRPLDRELLGPVLELEVRAGSGTPPVFAVARIRVLLDDVND NSPAFPAPEDTVLLPQNTAPGTPIYTLRALDPDSGANSRITFNLLAGGDGLFTVDPTTGH VRLMGPLGPPGGPAHELEVEARDGGSPPRTSHFRLRVVIQDLGIHGLAPRFDSPTYRVDL PSGTTTGTQILQVQAQAPDGSPVTYHLAADGASSPFGLESQSGWLWVRTALDRESQELYT LKVMAVSGSKAELGQQTGTATVRVIILNQNDHSPRLSEEPTFLAVAENQPPGTSVGRVFA TDRDSGPNGRLTYSLQQLSEDSKAFRIHPQTGEVTTLQTLDREQQSSFQLLVQVQDGGSP PRSATGTVHVAVLDLNDNSPTFLQASGAAGGGLPIQVPDRVPPGTLVTTLQAKDPDEGEN GTILYTLTGPGSELFSLHPHTGELHTAASLVRAERPHYVLTLSAHDQGSPPRSASLQLLV QVLPSTRVVESPDLIEADSAATVPVVLTVTAAEGLRPGSLLGSVAPQEPASVGVLTYTLV GGADPEGTFALDSASGRLYLARPLDFEAGPAWRALTVRAEGPGGAGARLLRVQVRVQDEN EHAPTFARDPLALALPENPDPGATLYTFRASDADGPGPNSEVRYRLLRQEPPVPALRLDA RTGALSAPRGLDRETTPALLLLVEATDRPANASRRRAARVSARVFVTDENDNAPVFASPS RVRLPEDQPPGPAALHVVARDPDLGEAARVSYRLAAGGDGHFRLHATTGALSVVRPLDRE QRAEHVLTVVALDHGSPPRSSTQLLTVSVVDVNDEAPAFPQQEYNVILRENSPPGTSLLT LKATDPDLGANGQVTYGGVSGESFSLDPNTGVLTTLRALDREEQEEIYLTVYARDRGLPP LLTHITVRVTVEDENDHTPTFGNTHLSLEVPEGQDPQTLTTLRASDPDGGLNGQLQYRIL DGDSSGAFALDLTSGEFGTMRPLDREVEPAFQLQIEARDGGQPALSATLLVTVTVLDAND HAPVFPVPSYSVEVPEDAPVGTLLLQLQAHDPDDGDNGRVMYYLGAGTAGAFLLEPTSGE LSTATALDREHCASYAFSVTAVDGAAAGPLSTTVPITITVRDVNDHAPAFPTSPLRLRLP RPGPSLNKPTLALATLRAEDRDAGANASILYRLAGTPPPGTTVDSYTGEIRVARSPVALG PQDRVLFIVATDLGRPARSATGVVVVGIQGEPERGPRFPRTSSEAVLRENAPPGTPVISP KAVHSGGSNGPITYSILSGNERGIFSIQPSTGAITVRSAEGLDFETSPRLRLVLQAESGG AFAFSVLTLTLQDANDNAPRFLRPHYVAFLPESRPLEGPLLQVEADDLDQGSGGQISYSL AASQPARGLFHVDPATGTITTTAILDREIWAETRLVLMATDRGSPALVGSATLTVMVIDT NDNRPTIPQPWELRVSEDALLGSEIAQVTGNDVDSGPVLWYVLSPSGPQDPFSIGRYGGR VSLTGPLDFEQCDHYHLQLLAHDGPHEGHANLTVLVEDVNDNVPTFSQSLYQVMMLEHTP PGSAILSVSATDRDSGANGHISYHLASPAEGFRVDPNNGMSSP >ENSMUSP00000121735.1 pep:known chromosome:GRCm38:7:105763645:105787552:-1 gene:ENSMUSG00000036862.14 transcript:ENSMUST00000154659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dchs1 description:dachsous 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2685011] XRRTDRPTVERAQTDGGPRRGHCEPEPVKADDARPQAPHWRALPRGLTAAGLRPNTGRGS PHRIEVREPELQLKPKLDPIQTLKSSWAKSLVWSWSFSWTGPAMQKELSVALSCPGMKSL RTLLPLLVLLGATVPGSWGQAGSLDLQIDEEQPAGTLIGDISAGLPPGTAPPPMYFISAQ EGSGVGTDLAIDEHSGVVRTARVLDRERRDRYRFTAVTPDGATVEVTVRVADINDHAPAF PQARAALQIPEHTALGTRYPLEPARDADAGRLGTQGYALSGDGAGETFRLETRPGPGGAP VPELVIAGELDRENRSHYMLQLEAYDGGSPPRRAQALLDVTLLDINDHAPAFNQSRYHAV VSESLAPGSPVLQVFASDADAGANGAVTYEINRRQSEGDGPFSIDAHTGFLRLERPLDFE QRRVHELVVQARDGGAHPELGSAFVTVHVRDANDNQPSMTVIFLSADGSPRVSEAAPPGQ LVARISVSDPDDGDFAHVNVSLEGGEGHFALSTQDSVIYLVCVARRLDREERDVYNLRVT ATDSGSPPLRAEAAFVLHVTDVNDNAPAFDRQLYRPEPLPEVALPGSFVVRVTARDPDQG TNGQITYSLAPGTHTHWFSIDPTSGIITTAATLDYELEPQPQLIVVATDGGLPPLVSSAT VSVALQDVNDNEPQFQRTFYNASLPEGTQPGTCFLQVTATDADSGPFGLLSYSLGAGLGA SGSPPFRIDAHSGDVCTTRTLDRDQGPSSFDFTVTAIDGGGLKSMVYVKVFVADENDNPP QFYPREYAASLSAQSTPGTAVLRVHAHDPDQGPHGRLSYHILAGNSPPLFALDAHSGLLT VAWPLGRRANSVVQLEIGAQDGGGLQAEPIARVNISIVPGTPTPPIFEQLQYVFSVPEDV APGTSVGIIQAHNPPGRLGPVTLTLSGGDPRGLFSLDSPSGLLKTLRPLDRELLGPVLEL EVRAGSGTPPVFAVARIRVLLDDVNDNSPAFPAPEDTVLLPQNTAPGTPIYTLRALDPDS GANSRITFNLLAGGDGLFTVDPTTGHVRLMGPLGPPGGPAHELEVEARDGGSPPRTSHFR LRVVIQDLGIHGLAPRFDSPTYRVDLPSGTTTGTQILQVQAQAPDGSPVTYHLAADGASS PFGLESQSGWLWVRTALDRESQELYTLKVMAVSGSKAELGQQTGTATVRVIILNQNDHSP RLSEEPTFLAVAENQPPGTSVGRVFATDRDSGPNGRLTYSLQQLSEDSKAFRIHPQTGEV TTLQTLDREQQSSFQLLVQVQDGGSPPRSATGTVHVAVLDLNDNSPTFLQASGAAGGGLP IQVCKAPGPCSEVV >ENSMUSP00000077574.5 pep:known chromosome:GRCm38:7:105752989:105787550:-1 gene:ENSMUSG00000036862.14 transcript:ENSMUST00000078482.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dchs1 description:dachsous 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2685011] MQKELSVALSCPGMKSLRTLLPLLVLLGATVPGSWGQAGSLDLQIDEEQPAGTLIGDISA GLPPGTAPPPMYFISAQEGSGVGTDLAIDEHSGVVRTARVLDRERRDRYRFTAVTPDGAT VEVTVRVADINDHAPAFPQARAALQIPEHTALGTRYPLEPARDADAGRLGTQGYALSGDG AGETFRLETRPGPGGAPVPELVIAGELDRENRSHYMLQLEAYDGGSPPRRAQALLDVTLL DINDHAPAFNQSRYHAVVSESLAPGSPVLQVFASDADAGANGAVTYEINRRQSEGDGPFS IDAHTGFLRLERPLDFEQRRVHELVVQARDGGAHPELGSAFVTVHVRDANDNQPSMTVIF LSADGSPRVSEAAPPGQLVARISVSDPDDGDFAHVNVSLEGGEGHFALSTQDSVIYLVCV ARRLDREERDVYNLRVTATDSGSPPLRAEAAFVLHVTDVNDNAPAFDRQLYRPEPLPEVA LPGSFVVRVTARDPDQGTNGQITYSLAPGTHTHWFSIDPTSGIITTAATLDYELEPQPQL IVVATDGGLPPLVSSATVSVALQDVNDNEPQFQRTFYNASLPEGTQPGTCFLQVTATDAD SGPFGLLSYSLGAGLGASGSPPFRIDAHSGDVCTTRTLDRDQGPSSFDFTVTAIDGGGLK SMVYVKVFVADENDNPPQFYPREYAASLSAQSTPGTAVLRVHAHDPDQGPHGRLSYHILA GNSPPLFALDAHSGLLTVAWPLGRRANSVVQLEIGAQDGGGLQAEPIARVNISIVPGTPT PPIFEQLQYVFSVPEDVAPGTSVGIIQAHNPPGRLGPVTLTLSGGDPRGLFSLDSPSGLL KTLRPLDRELLGPVLELEVRAGSGTPPVFAVARIRVLLDDVNDNSPAFPAPEDTVLLPQN TAPGTPIYTLRALDPDSGANSRITFNLLAGGDGLFTVDPTTGHVRLMGPLGPPGGPAHEL EVEARDGGSPPRTSHFRLRVVIQDLGIHGLAPRFDSPTYRVDLPSGTTTGTQILQVQAQA PDGSPVTYHLAADGASSPFGLESQSGWLWVRTALDRESQELYTLKVMAVSGSKAELGQQT GTATVRVIILNQNDHSPRLSEEPTFLAVAENQPPGTSVGRVFATDRDSGPNGRLTYSLQQ LSEDSKAFRIHPQTGEVTTLQTLDREQQSSFQLLVQVQDGGSPPRSATGTVHVAVLDLND NSPTFLQASGAAGGGLPIQVPDRVPPGTLVTTLQAKDPDEGENGTILYTLTGPGSELFSL HPHTGELHTAASLVRAERPHYVLTLSAHDQGSPPRSASLQLLVQVLPSTRVVESPDLIEA DSAATVPVVLTVTAAEGLRPGSLLGSVAPQEPASVGVLTYTLVGGADPEGTFALDSASGR LYLARPLDFEAGPAWRALTVRAEGPGGAGARLLRVQVRVQDENEHAPTFARDPLALALPE NPDPGATLYTFRASDADGPGPNSEVRYRLLRQEPPVPALRLDARTGALSAPRGLDRETTP ALLLLVEATDRPANASRRRAARVSARVFVTDENDNAPVFASPSRVRLPEDQPPGPAALHV VARDPDLGEAARVSYRLAAGGDGHFRLHATTGALSVVRPLDREQRAEHVLTVVALDHGSP PRSSTQLLTVSVVDVNDEAPAFPQQEYNVILRENSPPGTSLLTLKATDPDLGANGQVTYG GVSGESFSLDPNTGVLTTLRALDREEQEEIYLTVYARDRGLPPLLTHITVRVTVEDENDH TPTFGNTHLSLEVPEGQDPQTLTTLRASDPDGGLNGQLQYRILDGDSSGAFALDLTSGEF GTMRPLDREVEPAFQLQIEARDGGQPALSATLLVTVTVLDANDHAPVFPVPSYSVEVPED APVGTLLLQLQAHDPDDGDNGRVMYYLGAGTAGAFLLEPTSGELSTATALDREHCASYAF SVTAVDGAAAGPLSTTVPITITVRDVNDHAPAFPTSPLRLRLPRPGPSLNKPTLALATLR AEDRDAGANASILYRLAGTPPPGTTVDSYTGEIRVARSPVALGPQDRVLFIVATDLGRPA RSATGVVVVGIQGEPERGPRFPRTSSEAVLRENAPPGTPVISPKAVHSGGSNGPITYSIL SGNERGIFSIQPSTGAITVRSAEGLDFETSPRLRLVLQAESGGAFAFSVLTLTLQDANDN APRFLRPHYVAFLPESRPLEGPLLQVEADDLDQGSGGQISYSLAASQPARGLFHVDPATG TITTTAILDREIWAETRLVLMATDRGSPALVGSATLTVMVIDTNDNRPTIPQPWELRVSE DALLGSEIAQVTGNDVDSGPVLWYVLSPSGPQDPFSIGRYGGRVSLTGPLDFEQCDHYHL QLLAHDGPHEGHANLTVLVEDVNDNVPTFSQSLYQVMMLEHTPPGSAILSVSATDRDSGA NGHISYHLASPAEGFRVDPNNGTLFTTVGAMALGHEGPGVVDVVLEARDHGAPGRTAQAT VHVQLKDQNDHAPSFTLPHYRVAVSEDLPPGSTLLTLEATDADGSRTHATVDYSIISGNR GRVFQLEPRLAEVGDGVGPGPQALGCLVLLEPLDFESLTQYNLTVAAADRGQPPRSSAVP VTVTVLDVNDNPPVFTRASYRVTVPEDMPVGAELLHVEASDADPGPHGLVHFTLSSGDPL GLFELDENSGALRLSRPLDCETQAQHQLVVQAADPAGTHFSLVPVTVEVQDVNDHGPAFP LSLLSTSLAENQPPGTLVTTLHAIDGDAGTFGRLRYSLLEAVPGPEGREAFSLNSSTGEL RARVPFDYEHTGSFRLLVGAADAGNLSASVTVSVLITGEDEYDPVFLAPSFHFQVPEGAQ RGHSLGHVQATDEDGGADGLVLYSLATSSPYFGINQTTGALYLRVDSRAPGSGTTTSGGG GRTRREAPRELRLEVVARGPLPGSRSATVPVTVDITHTALGLAPDLNLLLVGAVAASLGV VVVLALAALVLGLVRARSRKAEAAPGPMSQTAPIASSSLQKLGREPPSPPPSEHLYHQTL PSYGGPGAGGPYPRGGSLDPSHSSGRGSAEAAEDDEIRMINEFPRVASVASSLAARGPDS GIQQDADGLSDTSCEPPAPDTWYKGRKAGLLLPGAGATLYREEGPPATATAFLGGCGLSP APAGDYGFPADGKPCVAGALTAIVAGEEELRGSYNWDYLLSWCPQFQPLASVFTEIARLK DEARPCPPAPRIDPPPLITAVAHPGAKSVPPKPASTAVARAIFPPASHRSPISHEGSLSS AAMSPSFSPSLSPLAARSPVVSPFGVAQGPSASALSTESGLEPPDDTELRI >ENSMUSP00000039041.8 pep:known chromosome:GRCm38:5:147330741:147400489:-1 gene:ENSMUSG00000042817.15 transcript:ENSMUST00000049324.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flt3 description:FMS-like tyrosine kinase 3 [Source:MGI Symbol;Acc:MGI:95559] MRALAQRSDRRLLLLVVLSVMILETVTNQDLPVIKCVLISHENNGSSAGKPSSYRMVRGS PEDLQCAPRRQSEGTVYEAATVEVAESGSITLQVQLATPGDLSCLWVFKHSSLGCQPHFD LQNRGIVSMAILNVTETQAGEYLLHIQSEAANYTVLFTVNVRDTQLYVLRRPYFRKMENQ DALLCISEGVPEPTVEWVLCSSHRESCKEEGPAVVRKEEKVLHELFGTDIRCCARNALGR ECTKLFTIDLNQAPQSTLPQLFLKVGEPLWIRCKAIHVNHGFGLTWELEDKALEEGSYFE MSTYSTNRTMIRILLAFVSSVGRNDTGYYTCSSSKHPSQSALVTILEKGFINATSSQEEY EIDPYEKFCFSVRFKAYPRIRCTWIFSQASFPCEQRGLEDGYSISKFCDHKNKPGEYIFY AENDDAQFTKMFTLNIRKKPQVLANASASQASCSSDGYPLPSWTWKKCSDKSPNCTEEIP EGVWNKKANRKVFGQWVSSSTLNMSEAGKGLLVKCCAYNSMGTSCETIFLNSPGPFPFIQ DNISFYATIGLCLPFIVVLIVLICHKYKKQFRYESQLQMIQVTGPLDNEYFYVDFRDYEY DLKWEFPRENLEFGKVLGSGAFGRVMNATAYGISKTGVSIQVAVKMLKEKADSCEKEALM SELKMMTHLGHHDNIVNLLGACTLSGPVYLIFEYCCYGDLLNYLRSKREKFHRTWTEIFK EHNFSFYPTFQAHSNSSMPGSREVQLHPPLDQLSGFNGNLIHSEDEIEYENQKRLAEEEE EDLNVLTFEDLLCFAYQVAKGMEFLEFKSCVHRDLAARNVLVTHGKVVKICDFGLARDIL SDSSYVVRGNARLPVKWMAPESLFEGIYTIKSDVWSYGILLWEIFSLGVNPYPGIPVDAN FYKLIQSGFKMEQPFYATEGIYFVMQSCWAFDSRKRPSFPNLTSFLGCQLAEAEEAMYQN MGGNVPEHPSIYQNRRPLSREAGSEPPSPQAQVKIHGERS >ENSMUSP00000054715.5 pep:known chromosome:GRCm38:1:9908638:9922525:1 gene:ENSMUSG00000046101.16 transcript:ENSMUST00000052843.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcmdc2 description:minichromosome maintenance domain containing 2 [Source:MGI Symbol;Acc:MGI:3045334] METLQMKEAALVYLDRSGGLQKFIDDCKSYNDSKQSYAVYRFSILIDPCDVVELDADLGN HILHHPLKAARVFQSLQTETQINIVLKLTHLPALPSYTLDLCEFPLNYASQRFYMMQGIV IAMTTITKYTQGARFLCSDGVCPLSKGFQYVRVHVPGATESATVRNDFLCSLCSSSLQED RKFRVLGDKQIVEIITTKMFHAFQGDSKNQPFRFQSLGIFLRDELVNKMKIGNEYKIIGI PVCVKTSQTALCVEANNITPHTAKVPLGISDNFRRLLSLTSSSCWKFTAMLANVFASQIV APGTYNLLKLCLLMSLVQTRDCNREREDCLDILVITSDTLLVDRVSL >ENSMUSP00000120858.2 pep:known chromosome:GRCm38:1:9908668:9940452:1 gene:ENSMUSG00000046101.16 transcript:ENSMUST00000125294.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcmdc2 description:minichromosome maintenance domain containing 2 [Source:MGI Symbol;Acc:MGI:3045334] METLQMKEAALVYLDRSGGLQKFIDDCKSYNDSKQSYAVYRFSILIDPCDVVELDADLGN HILHHPLKAARVFQSVCFVAVKTLSLIGQLQTETQINIVLKLTHLPALPSYTLDLCEFPL NYASQRFYMMQGIVIAMTTITKYTQGARFLCSDGVCPLSKGFQYVRVHVPGATESATVRN DFLCSLCSSSLQEDRKFRVLGDKQIVEIITTKMFHAFQGDSKNQPFRFQSLGIFLRDELV NKMKIGNEYKIIGIPVCVKTSQTALCVEANNITPHTAKVPLGISDNFRRLLSLTSSSCWK FTAMLANVFASQIVAPGTYNLLKLCLLMSLVQTRDCNREREDCLDILVITSDTLLVDRLL NFSMNLVSRGIRHPVCTEVFPTVSRNKYGTGAVSIQAGSALLAKGGICFIGDLTSHKKDK LEQLQSALESRSVTVFIPGKKFGDDFDQQMTFPIQCSFWSFVDMDSSSRRNVQKTSTLIG QMDCSLIPANLAEAFGLLINCSEASPCHPLLPTVQHTLKKAVEPEGLLYLASKQFTTEDF EKLLAFAKSLNMEFSLEAERMIHGYYLASRRIRTDSIHGSKLSASALKYLGHSILCCSQC IISI >ENSMUSP00000120577.2 pep:known chromosome:GRCm38:1:9908670:9942085:1 gene:ENSMUSG00000046101.16 transcript:ENSMUST00000140948.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcmdc2 description:minichromosome maintenance domain containing 2 [Source:MGI Symbol;Acc:MGI:3045334] METLQMKEAALVYLDRSGGLQKFIDDCKSYNDSKQSYAVYRFSILIDPCDVVELDADLGN HILHHPLKAARVFQSVCFVAVKTLSLIGQLQTETQINIVLKLTHLPALPSYTLDLCEFPL NYASQRFYMMQGIVIAMTTITKYTQGARFLCSDGVCPLSKGFQYVRVHVPGATESATVRN DFLCSLCSSSLQEDRKFRVLGDKQIVEIITTKMFHAFQGDSKNQPFRFQSLGIFLRDELV NKMKIGNEYKIIGIPVCVKTSQTALCVEANNITPHTAKVPLGISDNFRRLLSLTSSSCWK FTAMLANVFASQIVAPGTYNLLKLCLLMSLVQTRDCNREREDCLDILVITSDTLLVDRLL NFSMNLVSRGIRHPVCTEVFPTVSRNKYGTGAVSIQAGSALLAKGGICFIGDLTSHKKDK LEQLQSALESRSVTVFIPGKKFGDDFDQQMTFPIQCSFWSFVDMDSSSRRNVQKTSTLIG QMDCSLIPANLAEAFGLLINCSEASPCHPLLPTVQHTLKKAVEPEGLLYLASKQFTTEDF EKLLAFAKSLNMEFSLEAERMIHGYYLASRRIRTDSIHGSKLSASALKYLVSLSEAHARL SLRTTVLREDALIAALLLEISLTLRYGATPFCVAPNALFPFELYNEEYLEQRDLYLTQCQ QQLQQFIATCGPGTTVFSIPNKKKGKITFHAP >ENSMUSP00000112851.1 pep:known chromosome:GRCm38:1:9911314:9921266:1 gene:ENSMUSG00000046101.16 transcript:ENSMUST00000118098.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcmdc2 description:minichromosome maintenance domain containing 2 [Source:MGI Symbol;Acc:MGI:3045334] METLQMKEAALVYLDRSGGLQKFIDDCKSYNDSKQSYAVYRFSILIDPCDVVELDADLGN HILHHPLKAARVFQSVCFVAVKTLSLIGQLQTETQINIVLKLTHLPALPSYTLDLCEFPL NYASQRFYMMQGIVIAMTTITKYTQGARFLCSDGVCPLSKGFQYVRVHVPGATESATVRN DFLCSLCSSSLQEDRKFRVLGDKQIVEIITTKMFHAFQGDSKNQPFRFQSLGIFLRDELV NKMKIGNEYKIIGIPVCVKTSQTALCVEANNITPHTAKVPLGISDNFRRLLSLTSSSCWK FTAMLANVFASQIVAPGTYNLLKLCLLMSLVQTRDCNREREDCLDILVITSDTLLVDR >ENSMUSP00000138182.1 pep:known chromosome:GRCm38:1:9932120:9940954:1 gene:ENSMUSG00000046101.16 transcript:ENSMUST00000183059.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mcmdc2 description:minichromosome maintenance domain containing 2 [Source:MGI Symbol;Acc:MGI:3045334] XEPEGLLYLASKQFTTEDFEKSVFI >ENSMUSP00000128620.1 pep:known chromosome:GRCm38:1:9908638:9940954:1 gene:ENSMUSG00000046101.16 transcript:ENSMUST00000171802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcmdc2 description:minichromosome maintenance domain containing 2 [Source:MGI Symbol;Acc:MGI:3045334] METLQMKEAALVYLDRSGGLQKFIDDCKSYNDSKQSYAVYRFSILIDPCDVVELDADLGN HILHHPLKAARVFQSVCFVAVKTLSLIGQLQTETQINIVLKLTHLPALPSYTLDLCEFPL NYASQRFYMMQGIVIAMTTITKYTQGARFLCSDGVCPLSKGFQYVRVHVPGATESATVRN DFLCSLCSSSLQEDRKFRVLGDKQIVEIITTKMFHAFQGDSKNQPFRFQSLGIFLRDELV NKMKIGNEYKIIGIPVCVKTSQTALCVEANNITPHTAKVPLGISDNFRRLLSLTSSSCWK FTAMLANVFASQIVAPGTYNLLKLCLLMSLVQTRDCNREREDCLDILVITSDTLLVDRLL NFSMNLVSRGIRHPVCTEVFPTVSRNKYGTGAVSIQAGSALLAKGGICFIGDLTSHKKDK LEQLQSALESRSVTVFIPGKKFGDDFDQQMTFPIQCSFWSFVDMDSSSRRNVQKTSTLIG QMDCSLIPANLAEAFGLLINCSEASPCHPLLPTVQHTLKKAVEPEGLLYLASKQFTTEDF EKLLAFAKSLNMEFSLEAERMIHGYYLASRRIRTDSIHGSKLSASALKYLVSLSEAHARL SLRTTVLREDALIAALLLEISLTLRYGATPFCVAPNALFPFELYNEEYLEQRDLYLTQCQ QQLQQFIATCGPGTTVFSSDE >ENSMUSP00000090525.5 pep:known chromosome:GRCm38:11:82781108:82802862:1 gene:ENSMUSG00000020697.16 transcript:ENSMUST00000092849.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig3 description:ligase III, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:109152] MTLAFKILFPRNLCALGRKELCLFPEQNRWAAISQFSQWSETNLLGGCCLLQRRKPVLAL QRGHLRPRATHLTFWPGSHVGLCTGPCAMAEQRFCVDYAKRGTAGCKKCKEKIVKGVCRI GKVVPNPFSESGGDMKEWYHIKCMFEKLERARATTKKIEDLTELEGWEELEDNEKEQISQ HIADLSSKAAATPKKKAAVQAKLTTTGQVTSPVKGASFITSTNPRKFSGFSAAKPNNSEQ APSSPAPGTSLSASKCDPKHKDCLLREFRKLCAMVAENPSYNTKTQIIHDFLQKGSTGDG FHGDVYLTVKLLLPGVIKSVYNLNDKQIVKLFSRIFNCNPDDMARDLEQGDVSETIRIFF EQSKSFPPAAKSLLTIQEVDAFLLHLSKLTKEDEQQQALQDIASRCTANDLKCIIRLIKH DLKMNSGAKHVLDALDPNAYEAFKASRNLQDVVERVLHNEQEVEKDPGRRRALRVQASLM TPVQPMLAEACKSIEYAMKKCPNGMFSEIKYDGERVQVHKKGDHFSYFSRSLKPVLPHKV AHFKDYIPKAFPGGQSMILDSEVLLIDNNTGKPLPFGTLGVHKKAAFQDANVCLFVFDCI YFNDVSLMDRPLCERRKFLHDNMVEIRNRIMFSEMKQVTKASDLADMINRVIREGLEGLV LKDVKGTYEPGKRHWLKVKKDYLNEGAMADTADLVVLGAFYGQGSKGGMMSIFLMGCYDP DSQKWCTVTKCAGGHDDATLARLQKELVMVKISKDPSKIPSWLKINKIYYPDFIVPDPKK AAVWEITGAEFSRSEAHTADGISIRFPRCTRIRDDKDWKSATNLPQLKELYQLSKDKADF AVVAGDEASPTTGGSSGENEGTAGSAGPCKGPPSKSSASAKTTEQKLNSPSSRGGIKPIP KHSPMKPGEKLAVKSSPVKVGMKRKATDETPCLKKVLLDVFTGVRLYLPPSTPDFKRLKR YFVAFDGDLVQEFDMGSATHVLGNREKNTDAQLVSSEWIWACIRKRRLIAPC >ENSMUSP00000079317.5 pep:known chromosome:GRCm38:11:82781185:82800653:1 gene:ENSMUSG00000020697.16 transcript:ENSMUST00000080461.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig3 description:ligase III, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:109152] MTLAFKILFPRNLCALGRKELCLFPEQNRWAAISQFSQWSETNLLGGCCLLQRRKPVLAL QRGHLRPRATHLTFWPGSHVGLCTGPCAMAEQRFCVDYAKRGTAGCKKCKEKIVKGVCRI GKVVPNPFSESGGDMKEWYHIKCMFEKLERARATTKKIEDLTELEGWEELEDNEKEQISQ HIADLSSKAAATPKKKAAVQAKLTTTGQVTSPVKGASFITSTNPRKFSGFSAAKPNNSEQ APSSPAPGTSLSASKCDPKHKDCLLREFRKLCAMVAENPSYNTKTQIIHDFLQKGSTGDG FHGDVYLTVKLLLPGVIKSVYNLNDKQIVKLFSRIFNCNPDDMARDLEQGDVSETIRIFF EQSKSFPPAAKSLLTIQEVDAFLLHLSKLTKEDEQQQALQDIASRCTANDLKCIIRLIKH DLKMNSGAKHVLDALDPNAYEAFKASRNLQDVVERVLHNEQEVEKDPGRRRALRVQASLM TPVQPMLAEACKSIEYAMKKCPNGMFSEIKYDGERVQVHKKGDHFSYFSRSLKPVLPHKV AHFKDYIPKAFPGGQSMILDSEVLLIDNNTGKPLPFGTLGVHKKAAFQDANVCLFVFDCI YFNDVSLMDRPLCERRKFLHDNMVEIRNRIMFSEMKQVTKASDLADMINRVIREGLEGLV LKDVKGTYEPGKRHWLKVKKDYLNEGAMADTADLVVLGAFYGQGSKGGMMSIFLMGCYDP DSQKWCTVTKCAGGHDDATLARLQKELVMVKISKDPSKIPSWLKINKIYYPDFIVPDPKK AAVWEITGAEFSRSEAHTADGISIRFPRCTRIRDDKDWKSATNLPQLKELYQLSKDKADF AVVAGDEASPTTGGSSGENEGTAGSAGPCKGPPSKSSASAKTTEQKLNSPSSRGGIKPIP KHSPMKPGEKLAVKSSPVKVGMKRKATDETPCLKKRRRASRQRGRKAMQTGRR >ENSMUSP00000134300.1 pep:known chromosome:GRCm38:11:82781193:82802025:1 gene:ENSMUSG00000020697.16 transcript:ENSMUST00000173347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig3 description:ligase III, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:109152] MTLAFKILFPRNLCALGRKELCLFPEQNRWAAISQFSQWSETNLLGGCCLLQRRKPVLAL QRGHLRPRATHLTFWPGSHVGLCTGPCAMAEQRFCVDYAKRGTAGCKKCKEKIVKGVCRI GKVVPNPFSESGGDMKEWYHIKCMFEKLERARATTKKIEDLTELEGWEELEDNEKEQISQ HIADLSSKAAATPKKKAAVQAKLTTTGQVTSPVKGASFITSTNPRKFSGFSAKPNNSEQA PSSPAPGTSLSASKCDPKHKDCLLREFRKLCAMVAENPSYNTKTQIIHDFLQKGSTGDGF HGDVYLTVKLLLPGVIKSVYNLNDKQIVKLFSRIFNCNPDDMARDLEQGDVSETIRIFFE QSKSFPPAAKSLLTIQEVDAFLLHLSKLTKEDEQQQALQDIASRCTANDLKCIIRLIKHD LKMNSGAKHVLDALDPNAYEAFKASRNLQDVVERVLHNEQEVEKDPGRRRALRVQASLMT PVQPMLAEACKSIEYAMKKCPNGMFSEIKYDGERVQVHKKGDHFSYFSRSLKPVLPHKVA HFKDYIPKAFPGGQSMILDSEVLLIDNNTGKPLPFGTLGVHKKAAFQDANVCLFVFDCIY FNDVSLMDRPLCERRKFLHDNMVEIRNRIMFSEMKQVTKASDLADMINRVIREGLEGLVL KDVKGTYEPGKRHWLKVKKDYLNEGAMADTADLVVLGAFYGQGSKGGMMSIFLMGCYDPD SQKWCTVTKCAGGHDDATLARLQKELVMVKISKDPSKIPSWLKINKIYYPDFIVPDPKKA AVWEITGAEFSRSEAHTADGISIRFPRCTRIRDDKDWKSATNLPQLKELYQLSKDKADFA VVAGDEASPTTGGSSGENEGTAGSAGPCKGPPSKSSASAKTTEQKLNSPSSRGGIKPIPK HSPMKPGEKLAVKSSPVKVGMKRKATDETPCLKKVLLDVFTGVRLYLPPSTPDFKRLKRY FVAFDGDLVQEFDMGSATHVLGNREKNTDAQLVSSEWIWACIRKRRLIAPC >ENSMUSP00000133849.1 pep:known chromosome:GRCm38:11:82781193:82802026:1 gene:ENSMUSG00000020697.16 transcript:ENSMUST00000173727.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig3 description:ligase III, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:109152] MTLAFKILFPRNLCALGRKELCLFPEQNRWAAISQFSQWSETNLLGGCCLLQRRKPVLAL QRGHLRPRATHLTFWPGSHVGLCTGPCAMAEQRFCVDYAKRGTAGCKKCKEKIVKGVCRI GKVVPNPFSESGGDMKEWYHIKCMFEKLERARATTKKIEDLTELEGWEELEDNEKEQISQ HIADLSSKAAATPKKKAAVQAKLTTTGQVTSPVKGASFITSTNPRKFSGFSAKPNNSEQA PSSPAPGTSLSASKCDPKHKDCLLREFRKLCAMVAENPSYNTKTQIIHDFLQKGSTGDGF HGDVYLTVKLLLPGVIKSVYNLNDKQIVKLFSRIFNCNPDDMARDLEQGDVSETIRIFFE QSKSFPPAAKSLLTIQEVDAFLLHLSKLTKEDEQQQALQDIASRCTANDLKCIIRLIKHD LKMNSGAKHVLDALDPNAYEAFKASRNLQDVVERVLHNEQEVEKDPGRRRALRVQASLMT PVQPMLAEACKSIEYAMKKCPNGMFSEIKYDGERVQVHKKGDHFSYFSRSLKPVLPHKVA HFKDYIPKAFPGGQSMILDSEVLLIDNNTGKPLPFGTLGVHKKAAFQDANVCLFVFDCIY FNDVSLMDRPLCERRKFLHDNMVEIRNRIMFSEMKQVTKASDLADMINRVIREGLEGLVL KDVKGTYEPGKRHWLKVKKDYLNEGAMADTADLVVLGAFYGQGSKGGMMSIFLMGCYDPD SQKWCTVTKCAGGHDDATLARLQKELVMVKISKDPSKIPSWLKINKIYYPDFIVPDPKKA AVWEITGAEFSRSEAHTADGISIRFPRCTRIRDDKDWKSATNLPQLKELYQLSKDKADFA VVAGDEASPTTGGSSGENEGTAGSAGPCKGPPSKSSASAKTTEQKLNSPSSRGGIKPIPK HSPMKPGEKLAVKSSPVKVGMKRKATDETPCLKKVLLDVFTGVRLYLPPSTPDFKRLKRY FVAFDGDLVQEFDMGSATHVLGNREKNTDAQLVSSEWIWACIRKRRLIAPC >ENSMUSP00000133348.1 pep:known chromosome:GRCm38:11:82781198:82790304:1 gene:ENSMUSG00000020697.16 transcript:ENSMUST00000173009.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig3 description:ligase III, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:109152] MTLAFKILFPRNLCALGRKELCLFPEQNRWAAISQFSQWSETNLLGGCCLLQRRKPVLAL QRGHLRPRATHLTFWPGSHVGLCTGPCAMAEQRFCVDYAKRGTAGCKKCKEKIVKGVCRI GKVVPNPFSESGGDMKEWYHIKCMFEKLERARATTKKIEDLTELEGWEELEDNEKEQISQ HIADLSSKAAATPKKKAAVQAKLTTTGQVTSPVKGASFITSTNPRKFSGFSAAKPNNSEQ APSSPAPGTSLSASKCDPKHKDCLLREFRKLCAMVAENPSYNTKTQIIHDFLQKGSTGDG FHGDVYLTVKLLLPGVIKSVYNLNDKQIVKLFSRIFNCNPDDMARDLEQGDVSETIRIFF EQSKSFPPAAKSLLTIQEVDAFLLHLSKLTKEDEQQQALQDIASRCTANDLKCIIRLIKH DLKMNSGAKHV >ENSMUSP00000133672.1 pep:known chromosome:GRCm38:11:82781198:82790305:1 gene:ENSMUSG00000020697.16 transcript:ENSMUST00000131537.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig3 description:ligase III, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:109152] MTLAFKILFPRNLCALGRKELCLFPEQNRWAAISQFSQWSETNLLGGCCLLQRRKPVLAL QRGHLRPRATHLTFWPGSHVGLCTGPCAMAEQRFCVDYAKRGTAGCKKCKEKIVKGVCRI GKVVPNPFSESGGDMKEWYHIKCMFEKLERARATTKKIEDLTELEGWEELEDNEKEQISQ HIADLSSKAAATPKKKAAVQAKLTTTGQVTSPVKGASFITSTNPRKFSGFSAAKPNNSEQ APSSPAPGTSLSASKCDPKHKDCLLREFRKLCAMVAENPSYNTKTQIIHDFLQKGSTGDG FHGDVYLTVKLLLPGVIKSVYNLNDKQIVKLFSRIFNCNPDDMARDLEQGDVSETIRIFF EQSKSFPPAAKSLLTIQEVDAFLLHLSKLTKEDEQQQALQDIASRCTANDLKCIIRLIKH DLKMNSGAKHV >ENSMUSP00000133805.1 pep:known chromosome:GRCm38:11:82781203:82804198:1 gene:ENSMUSG00000020697.16 transcript:ENSMUST00000173722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig3 description:ligase III, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:109152] MTLAFKILFPRNLCALGRKELCLFPEQNRWAAISQFSQWSETNLLGGCCLLQRRKPVLAL QRGHLRPRATHLTFWPGSHVGLCTGPCAMAEQRFCVDYAKRGTAGCKKCKEKIVKGVCRI GKVVPNPFSESGGDMKEWYHIKCMFEKLERARATTKKIEDLTELEGWEELEDNEKEQISQ HIADLSSKAAATPKKKAAVQAKLTTTGQVTSPVKGASFITSTNPRKFSGFSAAKPNNSEQ APSSPAPGTSLSASKCDPKHKDCLLREFRKLCAMVAENPSYNTKTQIIHDFLQKGSTGDG FHGDVYLTVKLLLPGVIKSVYNLNDKQIVKLFSRIFNCNPDDMARDLEQGDVSETIRIFF EQSKSFPPAAKSLLTIQEVDAFLLHLSKLTKEDEQQQALQDIASRCTANDLKCIIRLIKH DLKMNSGAKHVLDALDPNAYEAFKASRNLQDVVERVLHNEQEVEKDPGRRRALRVQASLM TPVQPMLAEACKSIEYAMKKCPNGMFSEIKYDGERVQVHKKGDHFSYFSRSLKPVLPHKV AHFKDYIPKAFPGGQSMILDSEVLLIDNNTGKPLPFGTLGVHKKAAFQDANVCLFVFDCI YFNDVSLMDRPLCERRKFLHDNMVEIRNRIMFSEMKQVTKASDLADMINRVIREGLEGLV LKDVKGTYEPGKRHWLKVKKDYLNEGAMADTADLVVLGAFYGQGSKGGMMSIFLMGCYDP DSQKWCTVTKCAGGHDDATLARLQKELVMVKISKDPSKIPSWLKINKIYYPDFIVPDPKK AAVWEITGAEFSRSEAHTADGISIRFPRCTRIRDDKDWKSATNLPQLKELYQLSKDKADF AVVAGDEASPTTGGSSGENEGTAGSAGPCKGPPSKSSASAKTTEQKLNSPSSRGGIKPIP KHSPMKPGEKLAVKSSPVKVGMKRKATDETPCLKKVLLDVFTGVRLYLPPSTPDFKRLKR YFVAFDGDLVQEFDMGSATHVLGNREKNTDAQLVSSEWIWACIRKRRLIAPC >ENSMUSP00000134101.1 pep:known chromosome:GRCm38:11:82798349:82804274:1 gene:ENSMUSG00000020697.16 transcript:ENSMUST00000172837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig3 description:ligase III, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:109152] RIRDDKDWKSATNLPQLKELYQLSKDKADFAVVAGDEASPTTGGSSGENEGTAGSAGPCK GPPSKSSASAKTTEQKLNSPSSRGGIKPIPKHSPMKPGEKLAVKSSPVKVGMKRKATDET PCLKKVLLDVFTGVRLYLPPSTPDFKRLKRYFVAFDGDLVQEFDMGSATHVLAY >ENSMUSP00000021039.5 pep:known chromosome:GRCm38:11:82781135:82804274:1 gene:ENSMUSG00000020697.16 transcript:ENSMUST00000021039.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig3 description:ligase III, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:109152] MTLAFKILFPRNLCALGRKELCLFPEQNRWAAISQFSQWSETNLLGGCCLLQRRKPVLAL QRGHLRPRATHLTFWPGSHVGLCTGPCAMAEQRFCVDYAKRGTAGCKKCKEKIVKGVCRI GKVVPNPFSESGGDMKEWYHIKCMFEKLERARATTKKIEDLTELEGWEELEDNEKEQISQ HIADLSSKAAATPKKKAAVQAKLTTTGQVTSPVKGASFITSTNPRKFSGFSAAKPNNSEQ APSSPAPGTSLSASKCDPKHKDCLLREFRKLCAMVAENPSYNTKTQIIHDFLQKGSTGGS FSDGFHGDVYLTVKLLLPGVIKSVYNLNDKQIVKLFSRIFNCNPDDMARDLEQGDVSETI RIFFEQSKSFPPAAKSLLTIQEVDAFLLHLSKLTKEDEQQQALQDIASRCTANDLKCIIR LIKHDLKMNSGAKHVLDALDPNAYEAFKASRNLQDVVERVLHNEQEVEKDPGRRRALRVQ ASLMTPVQPMLAEACKSIEYAMKKCPNGMFSEIKYDGERVQVHKKGDHFSYFSRSLKPVL PHKVAHFKDYIPKAFPGGQSMILDSEVLLIDNNTGKPLPFGTLGVHKKAAFQDANVCLFV FDCIYFNDVSLMDRPLCERRKFLHDNMVEIRNRIMFSEMKQVTKASDLADMINRVIREGL EGLVLKDVKGTYEPGKRHWLKVKKDYLNEGAMADTADLVVLGAFYGQGSKGGMMSIFLMG CYDPDSQKWCTVTKCAGGHDDATLARLQKELVMVKISKDPSKIPSWLKINKIYYPDFIVP DPKKAAVWEITGAEFSRSEAHTADGISIRFPRCTRIRDDKDWKSATNLPQLKELYQLSKD KADFAVVAGDEASPTTGGSSGENEGTAGSAGPCKGPPSKSSASAKTTEQKLNSPSSRGGI KPIPKHSPMKPGEKLAVKSSPVKVGMKRKATDETPCLKKVLLDVFTGVRLYLPPSTPDFK RLKRYFVAFDGDLVQEFDMGSATHVLGNREKNTDAQLVSSEWIWACIRKRRLIAPC >ENSMUSP00000134645.1 pep:known chromosome:GRCm38:7:23702342:23703313:1 gene:ENSMUSG00000074289.5 transcript:ENSMUST00000174055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r173 description:vomeronasal 1 receptor 173 [Source:MGI Symbol;Acc:MGI:3645760] MSALNKALKTTEEVALQIILLCQVGIAAVANILLLVHNLSPNFAGPQLRPKHVILAHITV GNLLIILLTGFPSNIIVFVPRNPLNDLNCKLEYFIRLVARSANMCTTCVLSTYQFIVLVP GKWSRMILRGNTSTFVHYSCNSCWIISVLNNVYIPIKISGPWNTDNDTDLNSKWVCSMSG FELVMVFLRFVHDTMFISIMVWTSVSMMLLLYRHHQSLKHIHRSNGSLGLQPEARAAYTI LMLVVTFVCFYLLDCMCIFFHISFVHFRVWLRHVNEILAASFPTLSPLMLIFRGPKKISA KGINTENAFINSH >ENSMUSP00000044637.4 pep:known chromosome:GRCm38:X:164436994:164459170:1 gene:ENSMUSG00000031382.14 transcript:ENSMUST00000036858.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb11 description:ankyrin repeat and SOCS box-containing 11 [Source:MGI Symbol;Acc:MGI:1916104] MEDAPAFYGFKNIFLTMFATFFFFKLLIKVFLALLTHFYIVKGNRKEAARIAEEIYGGLS DCWADRSPLHEAAAQGRLLALKTLIAQGINVNLVTINRVSSLHEACLGGHVACAKALLEN GAHVNAQTVHGATPLFNACCSGSAACVNVLLEFGAKAQLEIYLASPIHEAVKRGHRECME ILLTKDVNIEQEVPQLGTPLYVACTYQRVDCVKKLLELGASVDHGQWLDTPLHAAVRQSS VEVINLLTVYGANLNLRNAQGKSALDLAVPKSSVRQALLLHEGPPALSQLCRLCVRKCLG RTCHHAIYALGLPESLEKFLLYQ >ENSMUSP00000033755.5 pep:known chromosome:GRCm38:X:164438058:164459164:1 gene:ENSMUSG00000031382.14 transcript:ENSMUST00000033755.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb11 description:ankyrin repeat and SOCS box-containing 11 [Source:MGI Symbol;Acc:MGI:1916104] MLQLAGEEGVRRPGPWKEISFGDYICHTFQGDCWADRSPLHEAAAQGRLLALKTLIAQGI NVNLVTINRVSSLHEACLGGHVACAKALLENGAHVNAQTVHGATPLFNACCSGSAACVNV LLEFGAKAQLEIYLASPIHEAVKRGHRECMEILLTKDVNIEQEVPQLGTPLYVACTYQRV DCVKKLLELGASVDHGQWLDTPLHAAVRQSSVEVINLLTVYGANLNLRNAQGKSALDLAV PKSSVRQALLLHEGPPALSQLCRLCVRKCLGRTCHHAIYALGLPESLEKFLLYQ >ENSMUSP00000066857.3 pep:known chromosome:GRCm38:11:35121224:35708507:1 gene:ENSMUSG00000056427.10 transcript:ENSMUST00000069837.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slit3 description:slit homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315202] MALGRTGAGAAVRARLALGLALASILSGPPAAACPTKCTCSAASVDCHGLGLRAVPRGIP RNAERLDLDRNNITRITKMDFAGLKNLRVLHLEDNQVSIIERGAFQDLKQLERLRLNKNK LQVLPELLFQSTPKLTRLDLSENQIQGIPRKAFRGVTGVKNLQLDNNHISCIEDGAFRAL RDLEILTLNNNNISRILVTSFNHMPKIRTLRLHSNHLYCDCHLAWLSDWLRQRRTIGQFT LCMAPVHLRGFSVADVQKKEYVCPGPHSEAPACNANSLSCPSACSCSNNIVDCRGKGLTE IPANLPEGIVEIRLEQNSIKSIPAGAFTQYKKLKRIDISKNQISDIAPDAFQGLKSLTSL VLYGNKITEIPKGLFDGLVSLQLLLLNANKINCLRVNTFQDLQNLNLLSLYDNKLQTISK GLFVPLQSIQTLHLAQNPFVCDCHLKWLADYLQDNPIETSGARCSSPRRLANKRISQIKS KKFRCSGSEDYRNRFSSECFMDLVCPEKCRCEGTIVDCSNQKLARIPSHLPEYTTDLRLN DNDISVLEATGIFKKLPNLRKINLSNNRIKEVREGAFDGAAGVQELMLTGNQLETMHGRM FRGLSSLKTLMLRSNLISCVSNDTFAGLSSVRLLSLYDNRITTITPGAFTTLVSLSTINL LSNPFNCNCHMAWLGRWLRKRRIVSGNPRCQKPFFLKEIPIQDVAIQDFTCDGNEESSCQ LSPRCPEQCTCVETVVRCSNRGLHALPKGMPKDVTELYLEGNHLTAVPKELSAFRQLTLI DLSNNSISMLTNHTFSNMSHLSTLILSYNRLRCIPVHAFNGLRSLRVLTLHGNDISSVPE GSFNDLTSLSHLALGTNPLHCDCSLRWLSEWVKAGYKEPGIARCSSPESMADRLLLTTPT HRFQCKGPVDINIVAKCNACLSSPCKNNGTCSQDPVEQYRCTCPYSYKGKDCTVPINTCV QNPCEHGGTCHLSENLRDGFSCSCPLGFEGQRCEINPDDCEDNDCENSATCVDGINNYAC LCPPNYTGELCDEVIDYCVPEMNLCQHEAKCISLDKGFRCECVPGYSGKLCETNNDDCVA HKCRHGAQCVDEVNGYTCICPQGFSGLFCEHPPPMVLLQTSPCDQYECQNGAQCIVVQQE PTCRCPPGFAGPRCEKLITVNFVGKDSYVELASAKVRPQANISLQVATDKDNGILLYKGD NDPLALELYQGHVRLVYDSLSSPPTTVYSVETVNDGQFHSVELVMLNQTLNLVVDKGAPK SLGKLQKQPAVGSNSPLYLGGIPTSTGLSALRQGADRPLGGFHGCIHEVRINNELQDFKA LPPQSLGVSPGCKSCTVCRHGLCRSVEKDSVVCECHPGWTGPLCDQEARDPCLGHSCRHG TCMATGDSYVCKCAEGYGGALCDQKNDSASACSAFKCHHGQCHISDRGEPYCLCQPGFSG HHCEQENPCMGEIVREAIRRQKDYASCATASKVPIMECRGGCGSQCCQPIRSKRRKYVFQ CTDGSSFVEEVERHLECGCRACS >ENSMUSP00000036041.7 pep:known chromosome:GRCm38:7:26322473:26394238:-1 gene:ENSMUSG00000040614.14 transcript:ENSMUST00000041845.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9c description:NLR family, pyrin domain containing 9C [Source:MGI Symbol;Acc:MGI:3028627] MVDSSSYGLLQYFQKLSDEEFQRFKELLQKEQEKFKLKPLSWTKIKNTSKEDLVTQLYTH YPRQVWDMVLNLFLQVNRKDLSTMAQIERRDKQNKYKEFMKNLFQYIWTSETNTYMPDRS YNTIIDRQYKALLDIFDSESDPATAVVLGTRGKGKTVFLRKAMLDWASGVLLQNRFQYVF FFSVFSLNNTTELSLAELISSKLPECSETLDDILSNPKRILFVLDGFDYLKFDLELRTNL CNDWRKRLPTQNVLSSLLQKIMLPECSLLLELGESSCSKIIPLLQNPREIIMSGLSEQSI YFYCVSFFKIQLGVEVFKDLKKNEPLFTLCSNPSMLWMICSSLMWGHYSREEVISSSEST SAIHTIFIMSAFKSIFGLGSSKYKRFKLKTLCTLAVEGMWKQVYVFDSEDLRRNKISESD KTVWLKMKFLQIQGNNIMFYHSTLQWYFATLFYFLKQDKDTYHPVIGSLPQLLGEIYAHK QNQWTHAQTFFFGIATKHVITLLKPCFGNISFKTIRQEIIRYLKSLSQPECNEKLVHPKK LFFCLIENQEERFVSQVMNLFEEITVDISDSDDLGAAEYSLLRASKLKNLHLHIQKKVFS EIHDPEYGSLENFKLDQKCSFMTNFGDGSLFCTFLQIPQLKYLNLYGTDLSNDVVEMLCS ALKCSTCRVEELLLGKCDISSEACGIMATFLINSKVKHLSLVENPLKNKGVMFLCKMLKD PSCVLESLMLSYCCLTFIACGHLYEALLSNKHLSLLDLGSNFLEDIGVNLLCEALKYPNC TLKELWLDMCELSNDCCEDLALALITCNTLKSLNLDWNALHHSGLVMLCEALNHKKCKLN MLGQVTFIRTRINAVRLLVLRQQYHALKDQDPVIEE >ENSMUSP00000083106.5 pep:known chromosome:GRCm38:7:26364691:26394243:-1 gene:ENSMUSG00000040614.14 transcript:ENSMUST00000085944.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9c description:NLR family, pyrin domain containing 9C [Source:MGI Symbol;Acc:MGI:3028627] MVDSSSYGLLQYFQKLSDEEFQRFKELLQKEQEKFKLKPLSWTKIKNTSKEDLVTQLYTH YPRQVWDMVLNLFLQVNRKDLSTMAQIERRDKQNKYKEFMKNLFQYIWTSETNTYMPDRS YNTIIDRQYKALLDIFDSESDPATAVVLGTRGKGKTVFLRKAMLDWASGVLLQNRFQYVF FFSVFSLNNTTELSLAELISSKLPECSETLDDILSNPKRILFVLDGFDYLKFDLELRTNL CNDWRKRLPTQNVLSSLLQKIMLPECSLLLELGESSCSKIIPLLQNPREIIMSGLSEQSI YFYCVSFFKIQLGVEVFKDLKKNEPLFTLCSNPSMLWMICSSLMWGHYSREEVISSSEST SAIHTIFIMSAFKSIFGLGSSKYKRFKLKTLCTLAVEGMWKQVYVFDSEDLRRNKISESD KTVWLKMKFLQIQGNNIMFYHSTLQWYFATLFYFLKQDKDTYHPVIGSLPQLLGEIYAHK QNQWTHAQTFFFGIATKHVITLLKPCFGNISFKTIRQEIIRYLKSLSQPECNEKLVHPKK LFFCLIENQEERFVSQVMNLFEEITVDISDSDDLGAAEYSLLRASKLKNLHLHIQKKVFS EIHDPEYGSLENFKLDQKFSAINWTMLSILFCNLHVLDLGSCHFNKKVIEVLCNSLSPTP NMPLTVFKLQRLLCSFMTNFGDGSLFCTFLQIPQLKYLNLYGTDLSNDVVEMLCSALKCS TCRVEELLLGKCDISSEACGIMATFLINSKVKHLSLVENPLKNKGVMFLCKMLKDPSCVL ESLMLSYCCLTFIACGHLYEALLSNKHLSLLDLGSNFLEDIGVNLLCEALKYPNCTLKEL WLPGCYLTSECCEEISAVLTCNKNLKTLKLGNNNIQDTGVKRLCEALCHPKCKVQCLGLD MCELSNDCCEDLALALITCNTLKSLNLDWNALHHSGLVMLCEALNHKKCKLNMLGLDKSS FSEESQTFLQAVEKKNNNLNVLHFPWVEDELKKRGVRLVWNSKN >ENSMUSP00000099928.3 pep:known chromosome:GRCm38:11:23736847:23770970:-1 gene:ENSMUSG00000020275.9 transcript:ENSMUST00000102864.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rel description:reticuloendotheliosis oncogene [Source:MGI Symbol;Acc:MGI:97897] MASSGYNPYVEIIEQPRQRGMRFRYKCEGRSAGSIPGERSTDNNRTYPSVQIMNYYGKGK IRITLVTKNDPYKPHPHDLVGKDCRDGYYEAEFGPERRPLFFQNLGIRCVKKKEVKEAII LRISAGINPFNVPEQQLLDIEDCDLNVVRLCFQVFLPDEHGNFTTALPPIVSNPIYDNRA PNTAELRICRVNKNCGSVRGGDEIFLLCDKVQKDDIEVRFVLNDWEARGVFSQADVHRQV AIVFKTPPYCKAILEPVTVKMQLRRPSDQEVSESMDFRYLPDEKDAYGNKSKKQKTTLIF QKLLQDCGHFTEKPRTAPLGSTGEGRFIKKESNLFSHGTVLPEMPRSSGVPGQAEPYYSS CGSISSGLPHHPPAIPSVAHQPTSWPPVTHPTSHPVSTNTLSTFSAGTLSSNSQGILPFL EGPGVSDLSASNSCLYNPDDLARMETPSMSPTDLYSISDVNMLSTRPLSVMAPSTDGMGD TDNPRLVSINLENPSCNARLGPRDLRQLHQMSPASLSAGTSSSSVFVSQSDAFDRSNFSC VDNGLMNEPGLSDDANNPTFVQSSHYSSVNTLQSEQLSDPFTYGFFKI >ENSMUSP00000095782.3 pep:known chromosome:GRCm38:7:104218795:104235152:1 gene:ENSMUSG00000072244.9 transcript:ENSMUST00000098180.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim6 description:tripartite motif-containing 6 [Source:MGI Symbol;Acc:MGI:2137352] MTSTVLVDIRDEVTCPICLELLTEPLSIDCGHSFCQVCIIGNSNNSVFGQGGRSSCPVCR TSYQPGNLRPNRHLAAIVKRLREVALCPGKQLEVIFCALHGEKLQLFCKEDGKLICWLCE RSQEHRGHHTFLMEEVAQEYQDMFQESLKKLRREQQEAEKLKALIQEKRESWKSQVEPEK RRIQTEFKQLRSILDREEQRELKKLEVEERKGLSIIEKAEGDLIHQSQSLKDLISDLEHR CQGSTVELLQDVGDVTKRSEFWTLRKPQALPTKLKSLFRAPDLRKMLKVFRELTDVQSYW VDVTLNPQTANLNLVLSKNRRQVRFVGAQLSEPSSLEEHYDCSVLGSQHFSSGKYYWEVD VSKKTAWILGVCSTPVDPMFSFSQYSSKQGAYSRYQPQCGYWVIGLQCKHEYRAYEDSSP SLLLSMTVPPRRIGIFLDCEAGTVSFYNVTNHGLPIYTFSKYYFPSALCPYFNPCSCIVP MTLRRPTS >ENSMUSP00000116233.1 pep:known chromosome:GRCm38:7:104218837:104225896:1 gene:ENSMUSG00000072244.9 transcript:ENSMUST00000144455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim6 description:tripartite motif-containing 6 [Source:MGI Symbol;Acc:MGI:2137352] MTSTVLVDIRDEVTCPICLELLTEPLSIDCGHSFCQVCIIGNSNNSVFGQGGRSSCPVCR TSYQPGNLRPNRHLAAIVKRLREVALCPGKQLEVIFCALHGEKLQLFCKEDGK >ENSMUSP00000118859.1 pep:known chromosome:GRCm38:7:104219429:104225933:1 gene:ENSMUSG00000072244.9 transcript:ENSMUST00000128493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim6 description:tripartite motif-containing 6 [Source:MGI Symbol;Acc:MGI:2137352] MTSTVLVDIRDEVTCPICLELLTEPLSIDCGHSFCQVCIIGNSNNSVFGQGGRSSCPVCR TSYQPGNLRPNRHLAAIVKRLREVALCPGKQLEVIFCALHGEKLQLFCKEDGKLICWLCE RSQEH >ENSMUSP00000119932.1 pep:known chromosome:GRCm38:7:104221172:104226829:1 gene:ENSMUSG00000072244.9 transcript:ENSMUST00000153324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim6 description:tripartite motif-containing 6 [Source:MGI Symbol;Acc:MGI:2137352] MTSTVLVDIRDEVTCPICLELLTEPLSIDCGHSFCQVCIIGNSNNSVFGQGGRSSCPVCR TSYQPGNLRPNRHLAAIVKRLREVALCPGKQLEVIFCALHGEKLQLFCKEDGKLICWLCE RSQEHRGHHTFLMEEVAQEYQDMFQESL >ENSMUSP00000094787.2 pep:known chromosome:GRCm38:7:104225507:104232998:1 gene:ENSMUSG00000072244.9 transcript:ENSMUST00000097023.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim6 description:tripartite motif-containing 6 [Source:MGI Symbol;Acc:MGI:2137352] MTSTVLVDIRDEVTCPICLELLTEPLSIDCGHSFCQVCIIGNSNNSVFGQGGRSSCPVCR TSYQPGNLRPNRHLAAIVKRLREEDGKLICWLCERSQEHRGHHTFLMEEVAQEYQDMFQE SLKKLRREQQEAEKLKALIQEKRESWKSQVEPEKRRIQTEFKQLRSILDREEQRELKKLE VEERKGLSIIEKAEGDLIHQSQSLKDLISDLEHRCQGSTVELLQDVGDVTKRSEFWTLRK PQALPTKLKSLFRAPDLRKMLKVFRELTDVQSYWVDVTLNPQTANLNLVLSKNRRQVRFV GAQLSEPSSLEEHYDCSVLGSQHFSSGKYYWEVDVSKKTAWILGVCSTPVDPMFSFSQYS SKQGAYSRYQPQCGYWVIGLQCKHEYRAYEDSSPSLLLSMTVPPRRIGIFLDCEAGTVSF YNVTNHGLPIYTFSKYYFPSALCPYFNPCSCIVPMTLRRPTS >ENSMUSP00000004655.7 pep:known chromosome:GRCm38:7:18813937:18821607:-1 gene:ENSMUSG00000004540.7 transcript:ENSMUST00000004655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psg17 description:pregnancy specific glycoprotein 17 [Source:MGI Symbol;Acc:MGI:1347250] MEVSSELLSNGCTPWQRVLLTASLLSCCLLPTTARVTVEFLPPQVVEGENVLLRVDNLPE NLLGFVWYKGVASMKLGIALYSLQYNVSVTGLKHSGRETLHRNGSLWIQNVTSEDTGYYT LRTVSQRGELVSDTSIFLQVYSSLFICERPTTLVPPTIELVPASVAEGGSVLFLVHNLPE YLISLTWYKGAVVFNKLEIARYRTAKNSSVLGPAHSGRETVFSNGSLLLQNVTWKDTGFY TLRTLNRYPRIELAHIYLQVDTSLSSCCHPLDSPQLSIDPLPPHAAEGGRVLLQVHNLPE DVQTFSWYKGVYSTILFQIAKYSIATKSIIMGYARSRRETVYTNGSLLLQDVTEKDSGVY TLITTDSNMGVETAHVQVNVHKLATQPVIKATDSTVRVQGSVIFTCFSDNTGVSIRWLFN NQRLQLTERMTLSPSKCQLWIRTVRKEDAGEYQCEAFNPVSSKTSLPVILAVMIE >ENSMUSP00000109452.1 pep:known chromosome:GRCm38:6:84008590:84211060:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000113821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLRVFILFAENVHTPDSDISDAYCSAVFAGVKKRTKVIKNSVNPVWNEGFEWDLKGIPLD QSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVLQ VSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPDTGGEEDTEDQGLTGDEAEPFLDQSAAV GPGGPTTPRKPPSHPPPHYPGAKRKRSSAPPRKLLSDKPQDFQIRVQVIEGRQLPGVNIK PVVKVTAAGQTKRTRIQKGNSPLFNETLFFNVFDSPLELFDEPIFITVVDSRSLRTDALL GEFRMDVGTVYREPRHAYLRKWLLLSDPDDFSAGARGYLKASLCVLGPGDEAPLDKKDPS EDKEDIEGNLLRPTGVALRGAHFCLKLFRAEDLPQMDDAVMDNVKQIFGFDSNKKNLVDP FVEVSFAGKMLCSKILEKTANPQWNQNITLPAMFPSMCEKMRIRVMDWDRLTHNDTVATT YLGMSKISATGGEIEEEPAGVLKSPQATDLDDNLGFLPTFGPCYVNLYGSPREFTGFPDP YAELNTGKGEGVAYRGRVLLSLETKLVEHSEQKVEDLPADDILRVEKYLRRRKYSLFAAF YSATMLQDVDDAIQFEVSIGNYGNKFDTTCLPLASTTQYSRAVFDGCHYYYLPWGNVKPV VVLSSYWEDISHRIEIQNQLLRVADRLEANLEQVHLALKAQCSSEDVDALVAQLTDELLA DCSQPLCDIHEIPSATHLDQYLLRLRTRHLSQIKEAALALKLGHSELSTALEQAEDWLLH LRALAEEPQNSLPDIIIWMLQGDKRVAYQRVPAHEVLFSRRGPSYCGRNCGKLQTIFLKY PMEGMPGARMPVQIRIKLWFGLSVDEKEFNQFAEGKLSVFAETYENQTKLALVGNWGTTG LTYPKFSDVTGKIKLPKDSFRPSAGWAWAGDWFVCPEKTLLHDADAGHLSFVEEVFENQT RLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWSTDLNRAVDEQGWEYSITI PPDRKPKHWVPVEKMYYTHRRRRWVRLRRRDLSQMEALKRHRQAEAEGEGWEYASLFGWK FHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGMVDDKSEDSMSVSTLSFGVN RPTISCIFDYGNRYHLRCYLYQARDLPAMDKDSFSDPYAIVSFLHQSQKTVVEKNTLNPT WDQTLIFYEIEIFGEPASIAEHPPCIVVELYDHDTYGADEFMGRCICQPSLERMPRLAWF PLTRGSQPAGELLAAFELIQREKPAIHHIPGFEMHETSRILDETEDTDLPYPPPQREANI YMVPQNIKPALQRTAIEILAWGLRNMKSYQMASISSPSLVVECGGQTVQSCVIRNLRKNP NFDVCTLFMEVMLPREDLYCPPIVVKVIDNRQFGRRPVVGQCTIRSLENFLCDPYSAESP SPQGGPDDVSLLSPGEDVLIDIDDKEPLIPVQEEEFIDWWSKFFASVGEREKCGSYLEKD FDTLKVYDTQLENVEAFGGLSDFCNTFKLYRGRTQEETDDPSVIGEFKGLFKIYPLPEDP AIPMPPRQFHQLAAQGPQECLVRIYIVRAFGLQPKDPNGKCDPYIKISIGKKSVSDQDNY IPCTLEPVFGKMFELTCTLPLEKDLKITLYDYDLLSKDEKIGETVIDLENRLLSKFGARC GLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRIKAPVYRTDRVTFQDKDYTIEEIEAGRL PNPHLGPVEERLALHVLQQQGLVPEHVESRPLYSPLQPDIEQGKLQMWIDIFPKVLGRPG PPFNITPRKARRFFLRCIIWNTKDVILDDLSLTGEKMSDIYVKGWMVGFEEHKQKTDVHY RSLGGEGNFNWRFVFPFDYLPAEQVCAVAKKDAFWRLDKTESKIPARVVFQIWDNDKFSF DDFLGSLQLDLNRMPKPAKTAEKCSLDQLDDTFHPEWFVSLFEQKTVKGWWPCVTEEGEK KMLAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLEDPRRPDTSFLWFTSPYKTMKFI LWRRFRCAIILFIILFILLLFLGVFVYAFPNYAAMKLVKPFR >ENSMUSP00000145511.1 pep:known chromosome:GRCm38:6:84008702:84210896:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000203803.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLRVFILFAENVHTPDSDISDAYCSAVFAGVKKRTKVIKNSVNPVWNEGFEWDLKGIPLD QSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVLQ VSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPGGGQSRAETWSLLSDSTMDTRYSGKKWP VPTDTGGEEDTEDQGLTGDEAEPFLDQSAAVGPGGPTTPRKPPSHPPPHYPGAKRKRSSA PPRKLLSDKPQDFQIRVQVIEGRQLPGVNIKPVVKVTAAGQTKRTRIQKGNSPLFNETLF FNVFDSPLELFDEPIFITVVDSRSLRTDALLGEFRMDVGTVYREPRHAYLRKWLLLSDPD DFSAGARGYLKASLCVLGPGDEAPLDKKDPSEDKEDIEGNLLRPTGVALRGAHFCLKLFR AEDLPQMDDAVMDNVKQIFGFDSNKKNLVDPFVEVSFAGKMLCSKILEKTANPQWNQNIT LPAMFPSMCEKMRIRVMDWDRLTHNDTVATTYLGMSKISATGGEIEEEPAGVLKSPQATD LDDNLGFLPTFGPCYVNLYGSPREFTGFPDPYAELNTGKGEGVAYRGRVLLSLETKLVEH SEQKVEDLPADDILRVEKYLRRRKYSLFAAFYSATMLQDVDDAIQFEVSIGNYGNKFDTT CLPLASTTQYSRAVFDGCHYYYLPWGNVKPVVVLSSYWEDISHRIEIQNQLLRVADRLEA NLEQVHLALKAQCSSEDVDALVAQLTDELLADCSQPLCDIHEIPSATHLDQYLLRLRTRH LSQIKEAALALKLGHSELSTALEQAEDWLLHLRALAEEPQNSLPDIIIWMLQGDKRVAYQ RVPAHEVLFSRRGPSYCGRNCGKLQTIFLKYPMEGMPGARMPVQIRIKLWFGLSVDEKEF NQFAEGKLSVFAETYENQTKLALVGNWGTTGLTYPKFSDVTGKIKLPKDSFRPSAGWAWA GDWFVCPEKTLLHDADAGHLSFVEEVFENQTRLPGGQWIYMSDNYTDVNGEKVLPKDDIE CPLGWKWEDEEWSTDLNRAVDEQGWEYSITIPPDRKPKHWVPVEKMYYTHRRRRWVRLRR RDLSQMEALKRHRQAEAEGEGWEYASLFGWKFHLEYRKTDAFRRRRWRRRMEPLEKTGPA AVFALEGALGGMVDDKSEDSMSVSTLSFGVNRPTISCIFDYGNRYHLRCYLYQARDLPAM DKDSFSDPYAIVSFLHQSQKTVVEKNTLNPTWDQTLIFYEIEIFGEPASIAEHPPCIVVE LYDHDTYGADEFMGRCICQPSLERMPRLAWFPLTRGSQPAGELLAAFELIQREKPAIHHI PGFETEDTDLPYPPPQREANIYMVPQNIKPALQRTAIEILAWGLRNMKSYQMASISSPSL VVECGGQTVQSCVIRNLRKNPNFDVCTLFMEVMLPREDLYCPPIVVKVIDNRQFGRRPVV GQCTIRSLENFLCDPYSAESPSPQGGPDDVSLLSPGEDVLIDIDDKEPLIPVQEEEFIDW WSKFFASVGEREKCGSYLEKDFDTLKVYDTQLENVEAFGGLSDFCNTFKLYRGRTQEETD DPSVIGEFKGLFKIYPLPEDPAIPMPPRQFHQLAAQGPQECLVRIYIVRAFGLQPKDPNG KCDPYIKISIGKKSVSDQDNYIPCTLEPVFGKMFELTCTLPLEKDLKITLYDYDLLSKDE KIGETVIDLENRLLSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRIKAPVYR TDRVTFQDKDYTIEEIEAGRLPNPHLGPVEERLALHVLQQQGLVPEHVESRPLYSPLQPD IEQGKLQMWIDIFPKVLGRPGPPFNITPRKARRFFLRCIIWNTKDVILDDLSLTGEKMSD IYVKGWMVGFEEHKQKTDVHYRSLGGEGNFNWRFVFPFDYLPAEQVCAVAKKDAFWRLDK TESKIPARVVFQIWDNDKFSFDDFLGSLQLDLNRMPKPAKTAEKCSLDQLDDTFHPEWFV SLFEQKTVKGWWPCVTEEGEKKMLAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLED PRRPDTSFLWFTSPYKTMKFILWRRFRCAIILFIILFILLLFLGVFVYAFPNYAAMKLVK PFR >ENSMUSP00000144970.1 pep:known chromosome:GRCm38:6:84008702:84210896:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000204591.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLRVFILFAENVHTPDSDISDAYCSAVFAGVKKRTKVIKNSVNPVWNEGFEWDLKGIPLD QSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVLQ VSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPGGGQSRAETWSLLSDSTMDTRYSGKKWP VPTDTGGEEDTEDQGLTGDEAEPFLDQSAAVGPGGPTTPRKPPSHPPPHYPGAKRKRSSA PPRKLLSDKPQDFQIRVQVIEGRQLPGVNIKPVVKVTAAGQTKRTRIQKGNSPLFNETLF FNVFDSPLELFDEPIFITVVDSRSLRTDALLGEFRMDVGTVYREPRHAYLRKWLLLSDPD DFSAGARGYLKASLCVLGPGDEAPLDKKDPSEDKEDIEGNLLRPTGVALRGAHFCLKLFR AEDLPQMDDAVMDNVKQIFGFDSNKKNLVDPFVEVSFAGKMLCSKILEKTANPQWNQNIT LPAMFPSMCEKMRIRVMDWDRLTHNDTVATTYLGMSKISATGGEIEVDDNLGFLPTFGPC YVNLYGSPREFTGFPDPYAELNTGKGEGVAYRGRVLLSLETKLVEHSEQKVEDLPADDIL RVEKYLRRRKYSLFAAFYSATMLQDVDDAIQFEVSIGNYGNKFDTTCLPLASTTQYSRAV FDGCHYYYLPWGNVKPVVVLSSYWEDISHRIEIQNQLLRVADRLEANLEQVHLALKAQCS SEDVDALVAQLTDELLADCSQPLCDIHEIPSATHLDQYLLRLRTRHLSQIKEAALALKLG HSELSTALEQAEDWLLHLRALAEEPQNSLPDIIIWMLQGDKRVAYQRVPAHEVLFSRRGP SYCGRNCGKLQTIFLKYPMEGMPGARMPVQIRIKLWFGLSVDEKEFNQFAEGKLSVFAET YENQTKLALVGNWGTTGLTYPKFSDVTGKIKLPKDSFRPSAGWAWAGDWFVCPEKTLLHD ADAGHLSFVEEVFENQTRLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWST DLNRAVDEQGWEYSITIPPDRKPKHWVPVEKMYYTHRRRRWVRLRRRDLSQMEALKRHRQ AEAEGEGWEYASLFGWKFHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGMVD DKSEDSMSVSTLSFGVNRPTISCIFDYGNRYHLRCYLYQARDLPAMDKDSFSDPYAIVSF LHQSQKTVVEKNTLNPTWDQTLIFYEIEIFGEPASIAEHPPCIVVELYDHDTYGADEFMG RCICQPSLERMPRLAWFPLTRGSQPAGELLAAFELIQREKPAIHHIPGFEMHETSRILDE TEDTDLPYPPPQREANIYMVPQNIKPALQRTAIEILAWGLRNMKSYQMASISSPSLVVEC GGQTVQSCVIRNLRKNPNFDVCTLFMEVMLPREDLYCPPIVVKVIDNRQFGRRPVVGQCT IRSLENFLCDPYSAESPSPQGGPDDVSLLSPGEDVLIDIDDKEPLIPVQLTDGLSSLGPT NLTPSPSSPHEEEFIDWWSKFFASVGEREKCGSYLEKDFDTLKVYDTQLENVEAFGGLSD FCNTFKLYRGRTQEETDDPSVIGEFKGLFKIYPLPEDPAIPMPPRQFHQLAAQGPQECLV RIYIVRAFGLQPKDPNGKCDPYIKISIGKKSVSDQDNYIPCTLEPVFGKMFELTCTLPLE KDLKITLYDYDLLSKDEKIGETVIDLENRLLSKFGARCGLPQTYCVSGPNQWRDQLRPSQ LLHLFCQQHRIKAPVYRTDRVTFQDKDYTIEEIEAGRLPNPHLGPVEERLALHVLQQQGL VPEHVESRPLYSPLQPDIEQGKLQMWIDIFPKVLGRPGPPFNITPRKARRFFLRCIIWNT KDVILDDLSLTGEKMSDIYVKGWMVGFEEHKQKTDVHYRSLGGEGNFNWRFVFPFDYLPA EQVCAVAKKDAFWRLDKTESKIPARVVFQIWDNDKFSFDDFLGSLQLDLNRMPKPAKTAE KCSLDQLDDTFHPEWFVSLFEQKTVKGWWPCVTEEGEKKMLAGKLEMTLEIVAESEHEER PAGQGRDEPNMNPKLEDPRRPDTSFLWFTSPYKTMKFILWRRFRCAIILFIILFILLLFL GVFVYAFPNYAAMKLVKPFR >ENSMUSP00000109454.1 pep:known chromosome:GRCm38:6:84008702:84210896:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000113823.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLRVFILFAENVHTPDSDISDAYCSAVFAGVKKRTKVIKNSVNPVWNEGFEWDLKGIPLD QSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVLQ VSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPGGGQSRAETWSLLSDSTMDTRYSGKKWP VPTDTGGEEDTEDQGLTGDEAEPFLDQSAAVGPGGPTTPRKPPSHPPPHYPGAKRKRSSA PPRKLLSDKPQDFQIRVQVIEGRQLPGVNIKPVVKVTAAGQTKRTRIQKGNSPLFNETLF FNVFDSPLELFDEPIFITVVDSRSLRTDALLGEFRMDVGTVYREPRHAYLRKWLLLSDPD DFSAGARGYLKASLCVLGPGDEAPLDKKDPSEDKEDIEGNLLRPTGVALRGAHFCLKLFR AEDLPQMDDAVMDNVKQIFGFDSNKKNLVDPFVEVSFAGKMLCSKILEKTANPQWNQNIT LPAMFPSMCEKMRIRVMDWDRLTHNDTVATTYLGMSKISATGGEIEVDDNLGFLPTFGPC YVNLYGSPREFTGFPDPYAELNTGKGEGVAYRGRVLLSLETKLVEHSEQKVEDLPADDIL RVEKYLRRRKYSLFAAFYSATMLQDVDDAIQFEVSIGNYGNKFDTTCLPLASTTQYSRAV FDGCHYYYLPWGNVKPVVVLSSYWEDISHRIEIQNQLLRVADRLEANLEQVHLALKAQCS SEDVDALVAQLTDELLADCSQPLCDIHEIPSATHLDQYLLRLRTRHLSQIKEAALALKLG HSELSTALEQAEDWLLHLRALAEEPQNSLPDIIIWMLQGDKRVAYQRVPAHEVLFSRRGP SYCGRNCGKLQTIFLKYPMEGMPGARMPVQIRIKLWFGLSVDEKEFNQFAEGKLSVFAET YENQTKLALVGNWGTTGLTYPKFSDVTGKIKLPKDSFRPSAGWAWAGDWFVCPEKTLLHD ADAGHLSFVEEVFENQTRLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWST DLNRAVDEQGWEYSITIPPDRKPKHWVPVEKMYYTHRRRRWVRLRRRDLSQMEALKRHRQ AEAEGEGWEYASLFGWKFHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGMVD DKSEDSMSVSTLSFGVNRPTISCIFDYGNRYHLRCYLYQARDLPAMDKDSFSDPYAIVSF LHQSQKTVVEKNTLNPTWDQTLIFYEIEIFGEPASIAEHPPCIVVELYDHDTYGADEFMG RCICQPSLERMPRLAWFPLTRGSQPAGELLAAFELIQREKPAIHHIPGFEMHETSRILDE TEDTDLPYPPPQREANIYMVPQNIKPALQRTAIEILAWGLRNMKSYQMASISSPSLVVEC GGQTVQSCVIRNLRKNPNFDVCTLFMEVMLPREDLYCPPIVVKVIDNRQFGRRPVVGQCT IRSLENFLCDPYSAESPSPQGGPDDVSLLSPGEDVLIDIDDKEPLIPVQEEEFIDWWSKF FASVGEREKCGSYLEKDFDTLKVYDTQLENVEAFGGLSDFCNTFKLYRGRTQEETDDPSV IGEFKGLFKIYPLPEDPAIPMPPRQFHQLAAQGPQECLVRIYIVRAFGLQPKDPNGKCDP YIKISIGKKSVSDQDNYIPCTLEPVFGKMFELTCTLPLEKDLKITLYDYDLLSKDEKIGE TVIDLENRLLSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRIKAPVYRTDRV TFQDKDYTIEEIEAGRLPNPHLGPVEERLALHVLQQQGLVPEHVESRPLYSPLQPDIEQG KLQMWIDIFPKVLGRPGPPFNITPRKARRFFLRCIIWNTKDVILDDLSLTGEKMSDIYVK GWMVGFEEHKQKTDVHYRSLGGEGNFNWRFVFPFDYLPAEQVCAVAKKDAFWRLDKTESK IPARVVFQIWDNDKFSFDDFLGSLQLDLNRMPKPAKTAEKCSLDQLDDTFHPEWFVSLFE QKTVKGWWPCVTEEGEKKMLAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLEDPRRP DTSFLWFTSPYKTMKFILWRRFRCAIILFIILFILLLFLGVFVYAFPNYAAMKLVKPFR >ENSMUSP00000145518.1 pep:known chromosome:GRCm38:6:84008767:84210896:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000153860.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLRVFILFAENVHTPDSDISDAYCSAVFAGVKKRTKVIKNSVNPVWNEGFEWDLKGIPLD QSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVLQ VSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPDTGGEEDTEDQGLTGDEAEPFLDQSAAV GPGGPTTPRKPPSHPPPHYPGAKRKRSSAPPRKLLSDKPQDFQIRVQVIEGRQLPGVNIK PVVKVTAAGQTKRTRIQKGNSPLFNETLFFNVFDSPLELFDEPIFITVVDSRSLRTDALL GEFRMDVGTVYREPRHAYLRKWLLLSDPDDFSAGARGYLKASLCVLGPGDEAPLDKKDPS EDKEDIEGNLLRPTGVALRGAHFCLKLFRAEDLPQMDDAVMDNVKQIFGFDSNKKNLVDP FVEVSFAGKMLCSKILEKTANPQWNQNITLPAMFPSMCEKMRIRVMDWDRLTHNDTVATT YLGMSKISATGGEIEEEPAGVLKSPQATDLDDNLGFLPTFGPCYVNLYGSPREFTGFPDP YAELNTGKGEGVAYRGRVLLSLETKLVEHSEQKVEDLPADDILRVEKYLRRRKYSLFAAF YSATMLQDVDDAIQFEVSIGNYGNKFDTTCLPLASTTQYSRAVFDGCHYYYLPWGNVKPV VVLSSYWEDISHRIEIQNQLLRVADRLEANLEQVHLALKAQCSSEDVDALVAQLTDELLA DCSQPLCDIHEIPSATHLDQYLLRLRTRHLSQIKEAALALKLGHSELSTALEQAEDWLLH LRALAEEPQNSLPDIIIWMLQGDKRVAYQRVPAHEVLFSRRGPSYCGRNCGKLQTIFLKY PMEGMPGARMPVQIRIKLWFGLSVDEKEFNQFAEGKLSVFAETYENQTKLALVGNWGTTG LTYPKFSDVTGKIKLPKDSFRPSAGWAWAGDWFVCPEKTLLHDADAGHLSFVEEVFENQT RLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWSTDLNRAVDEQGWEYSITI PPDRKPKHWVPVEKMYYTHRRRRWVRLRRRDLSQMEALKRHRQAEAEGEGWEYASLFGWK FHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGMVDDKSEDSMSVSTLSFGVN RPTISCIFDYGNRYHLRCYLYQARDLPAMDKDSFSDPYAIVSFLHQSQKTVVEKNTLNPT WDQTLIFYEIEIFGEPASIAEHPPCIVVELYDHDTYGADEFMGRCICQPSLERMPRLAWF PLTRGSQPAGELLAAFELIQREKPAIHHIPGFEMHETSRILDETEDTDLPYPPPQREANI YMVPQNIKPALQRTAIEILAWGLRNMKSYQMASISSPSLVVECGGQTVQSCVIRNLRKNP NFDVCTLFMEVMLPREDLYCPPIVVKVIDNRQFGRRPVVGQCTIRSLENFLCDPYSAESP SPQGGPDDVSLLSPGEDVLIDIDDKEPLIPVQLTDGLSSLGPTNLTPSPSSPHEEEFIDW WSKFFASVGEREKCGSYLEKDFDTLKVYDTQLENVEAFGGLSDFCNTFKLYRGRTQEETD DPSVIGEFKGLFKIYPLPEDPAIPMPPRQFHQLAAQGPQECLVRIYIVRAFGLQPKDPNG KCDPYIKISIGKKSVSDQDNYIPCTLEPVFGKMFELTCTLPLEKDLKITLYDYDLLSKDE KIGETVIDLENRLLSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRIKAPVYR TDRVTFQDKDYTIEEIEAGRLPNPHLGPVEERLALHVLQQQGLVPEHVESRPLYSPLQPD IEQGKLQMWIDIFPKVLGRPGPPFNITPRKARRFFLRCIIWNTKDVILDDLSLTGEKMSD IYVKGWMVGFEEHKQKTDVHYRSLGGEGNFNWRFVFPFDYLPAEQVCAVAKKDAFWRLDK TESKIPARVVFQIWDNDKFSFDDFLGSLQLDLNRMPKPAKTAEKCSLDQLDDTFHPEWFV SLFEQKTVKGWWPCVTEEGEKKMLAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLED PRRPDTSFLWFTSPYKTMKFILWRRFRCAIILFIILFILLLFLGVFVYAFPNYAAMKLVK PFR >ENSMUSP00000144748.1 pep:known chromosome:GRCm38:6:84019385:84210857:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000204987.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLCCLLARASNLPNVKKDRRSDPVASLIFRGVKKRTKVIKNSVNPVWNEGFEWDLKGIPL DQSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVL QVSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPDTGGEEDTEDQGLTGDEAEPFLDQSAA VGPGGPTTPRKPPSHPPPHYPGAKRKRSSAPPRKLLSDKPQDFQIRVQVIEGRQLPGVNI KPVVKVTAAGQTKRTRIQKGNSPLFNETLFFNVFDSPLELFDEPIFITVVDSRSLRTDAL LGEFRMDVGTVYREPRHAYLRKWLLLSDPDDFSAGARGYLKASLCVLGPGDEAPLDKKDP SEDKEDIEGNLLRPTGVALRGAHFCLKLFRAEDLPQMDDAVMDNVKQIFGFDSNKKNLVD PFVEVSFAGKMLCSKILEKTANPQWNQNITLPAMFPSMCEKMRIRVMDWDRLTHNDTVAT TYLGMSKISATGGEIEEEPAGVLKSPQATDLDDNLGFLPTFGPCYVNLYGSPREFTGFPD PYAELNTGKGEGVAYRGRVLLSLETKLVEHSEQKVEDLPADDILRVEKYLRRRKYSLFAA FYSATMLQDVDDAIQFEVSIGNYGNKFDTTCLPLASTTQYSRAVFDGCHYYYLPWGNVKP VVVLSSYWEDISHRIEIQNQLLRVADRLEANLEQVHLALKAQCSSEDVDALVAQLTDELL ADCSQPLCDIHEIPSATHLDQYLLRLRTRHLSQIKEAALALKLGHSELSTALEQAEDWLL HLRALAEEPQNSLPDIIIWMLQGDKRVAYQRVPAHEVLFSRRGPSYCGRNCGKLQTIFLK YPMEGMPGARMPVQIRIKLWFGLSVDEKEFNQFAEGKLSVFAETYENQTKLALVGNWGTT GLTYPKFSDVTGKIKLPKDSFRPSAGWAWAGDWFVCPEKTLLHDADAGHLSFVEEVFENQ TRLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWSTDLNRAVDEQGWEYSIT IPPDRKPKHWVPVEKMYYTHRRRRWVRLRRRDLSQMEALKRHRQAEAEGEGWEYASLFGW KFHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGMVDDKSEDSMSVSTLSFGV NRPTISCIFDYGNRYHLRCYLYQARDLPAMDKDSFSDPYAIVSFLHQSQKTVVEKNTLNP TWDQTLIFYEIEIFGEPASIAEHPPCIVVELYDHDTYGADEFMGRCICQPSLERMPRLAW FPLTRGSQPAGELLAAFELIQREKPAIHHIPGFEMHETSRILDETEDTDLPYPPPQREAN IYMVPQNIKPALQRTAIEILAWGLRNMKSYQMASISSPSLVVECGGQTVQSCVIRNLRKN PNFDVCTLFMEVMLPREDLYCPPIVVKVIDNRQFGRRPVVGQCTIRSLENFLCDPYSAES PSPQGGPDDVSLLSPGEDVLIDIDDKEPLIPVQLTDGLSSLGPTNLTPSPSSPHEEEFID WWSKFFASVGEREKCGSYLEKDFDTLKVYDTQLENVEAFGGLSDFCNTFKLYRGRTQEET DDPSVIGEFKGLFKIYPLPEDPAIPMPPRQFHQLAAQGPQECLVRIYIVRAFGLQPKDPN GKCDPYIKISIGKKSVSDQDNYIPCTLEPVFGKMFELTCTLPLEKDLKITLYDYDLLSKD EKIGETVIDLENRLLSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRIKAPVY RTDRVTFQDKDYTIEEIEAGRLPNPHLGPVEERLALHVLQQQGLVPEHVESRPLYSPLQP DIEQGKLQMWIDIFPKVLGRPGPPFNITPRKARRFFLRCIIWNTKDVILDDLSLTGEKMS DIYVKGWMVGFEEHKQKTDVHYRSLGGEGNFNWRFVFPFDYLPAEQVCAVAKKDAFWRLD KTESKIPARVVFQIWDNDKFSFDDFLGSLQLDLNRMPKPAKTAEKCSLDQLDDTFHPEWF VSLFEQKTVKGWWPCVTEEGEKKMLAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLE DPRRPDTSFLWFTSPYKTMKFILWRRFRCAIILFIILFILLLFLGVFVYAFPNYAAMKLV KPFR >ENSMUSP00000145292.1 pep:known chromosome:GRCm38:6:84019385:84210857:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000203695.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLCCLLARASNLPNVKKDRRSDPVASLIFRGVKKRTKVIKNSVNPVWNEGFEWDLKGIPL DQSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVL QVSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPGGGQSRAETWSLLSDSTMDTRYSGKKW PVPTDTGGEEDTEDQGLTGDEAEPFLDQSAAVGPGGPTTPRKPPSHPPPHYPGAKRKRSS APPRKLLSDKPQDFQIRVQVIEGRQLPGVNIKPVVKVTAAGQTKRTRIQKGNSPLFNETL FFNVFDSPLELFDEPIFITVVDSRSLRTDALLGEFRMDVGTVYREPRHAYLRKWLLLSDP DDFSAGARGYLKASLCVLGPGDEAPLDKKDPSEDKEDIEGNLLRPTGVALRGAHFCLKLF RAEDLPQMDDAVMDNVKQIFGFDSNKKNLVDPFVEVSFAGKMLCSKILEKTANPQWNQNI TLPAMFPSMCEKMRIRVMDWDRLTHNDTVATTYLGMSKISATGGEIEEEPAGVLKSPQAT DLDDNLGFLPTFGPCYVNLYGSPREFTGFPDPYAELNTGKGEGVAYRGRVLLSLETKLVE HSEQKVEDLPADDILRVEKYLRRRKYSLFAAFYSATMLQDVDDAIQFEVSIGNYGNKFDT TCLPLASTTQYSRAVFDGCHYYYLPWGNVKPVVVLSSYWEDISHRIEIQNQLLRVADRLE ANLEQVHLALKAQCSSEDVDALVAQLTDELLADCSQPLCDIHEIPSATHLDQYLLRLRTR HLSQIKEAALALKLGHSELSTALEQAEDWLLHLRALAEEPQNSLPDIIIWMLQGDKRVAY QRVPAHEVLFSRRGPSYCGRNCGKLQTIFLKYPMEGMPGARMPVQIRIKLWFGLSVDEKE FNQFAEGKLSVFAETYENQTKLALVGNWGTTGLTYPKFSDVTGKIKLPKDSFRPSAGWAW AGDWFVCPEKTLLHDADAGHLSFVEEVFENQTRLPGGQWIYMSDNYTDVNGEKVLPKDDI ECPLGWKWEDEEWSTDLNRAVDEQGWEYSITIPPDRKPKHWVPVEKMYYTHRRRRWVRLR RRDLSQMEALKRHRQAEAEGEGWEYASLFGWKFHLEYRKTDAFRRRRWRRRMEPLEKTGP AAVFALEGALGGMVDDKSEDSMSVSTLSFGVNRPTISCIFDYGNRYHLRCYLYQARDLPA MDKDSFSDPYAIVSFLHQSQKTVVEKNTLNPTWDQTLIFYEIEIFGEPASIAEHPPCIVV ELYDHDTYGADEFMGRCICQPSLERMPRLAWFPLTRGSQPAGELLAAFELIQREKPAIHH IPGFEMHETSRILDETEDTDLPYPPPQREANIYMVPQNIKPALQRTAIEILAWGLRNMKS YQMASISSPSLVVECGGQTVQSCVIRNLRKNPNFDVCTLFMEVMLPREDLYCPPIVVKVI DNRQFGRRPVVGQCTIRSLENFLCDPYSAESPSPQGGPDDVSLLSPGEDVLIDIDDKEPL IPVQEEEFIDWWSKFFASVGEREKCGSYLEKDFDTLKVYDTQLENVEAFGGLSDFCNTFK LYRGRTQEETDDPSVIGEFKGLFKIYPLPEDPAIPMPPRQFHQLAAQGPQECLVRIYIVR AFGLQPKDPNGKCDPYIKISIGKKSVSDQDNYIPCTLEPVFGKMFELTCTLPLEKDLKIT LYDYDLLSKDEKIGETVIDLENRLLSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFC QQHRIKAPVYRTDRVTFQDKDYTIEEIEAGRLPNPHLGPVEERLALHVLQQQGLVPEHVE SRPLYSPLQPDIEQGKLQMWIDIFPKVLGRPGPPFNITPRKARRFFLRCIIWNTKDVILD DLSLTGEKMSDIYVKGWMVGFEEHKQKTDVHYRSLGGEGNFNWRFVFPFDYLPAEQVCAV AKKDAFWRLDKTESKIPARVVFQIWDNDKFSFDDFLGSLQLDLNRMPKPAKTAEKCSLDQ LDDTFHPEWFVSLFEQKTVKGWWPCVTEEGEKKMLAGKLEMTLEIVAESEHEERPAGQGR DEPNMNPKLEDPRRPDTSFLWFTSPYKTMKFILWRRFRCAIILFIILFILLLFLGVFVYA FPNYAAMKLVKPFR >ENSMUSP00000144705.1 pep:known chromosome:GRCm38:6:84019385:84210857:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000204354.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLCCLLARASNLPNVKKDRRSDPVASLIFRGVKKRTKVIKNSVNPVWNEGFEWDLKGIPL DQSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVL QVSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPDTGGEEDTEDQGLTGDEAEPFLDQSAA VGPGGPTTPRKPPSHPPPHYPGAKRKRSSAPPRKLLSDKPQDFQIRVQVIEGRQLPGVNI KPVVKVTAAGQTKRTRIQKGNSPLFNETLFFNVFDSPLELFDEPIFITVVDSRSLRTDAL LGEFRMDVGTVYREPRHAYLRKWLLLSDPDDFSAGARGYLKASLCVLGPGDEAPLDKKDP SEDKEDIEGNLLRPTGVALRGAHFCLKLFRAEDLPQMDDAVMDNVKQIFGFDSNKKNLVD PFVEVSFAGKMLCSKILEKTANPQWNQNITLPAMFPSMCEKMRIRVMDWDRLTHNDTVAT TYLGMSKISATGGEIEVDDNLGFLPTFGPCYVNLYGSPREFTGFPDPYAELNTGKGEGVA YRGRVLLSLETKLVEHSEQKVEDLPADDILRVEKYLRRRKYSLFAAFYSATMLQDVDDAI QFEVSIGNYGNKFDTTCLPLASTTQYSRAVFDGCHYYYLPWGNVKPVVVLSSYWEDISHR IEIQNQLLRVADRLEANLEQVHLALKAQCSSEDVDALVAQLTDELLADCSQPLCDIHEIP SATHLDQYLLRLRTRHLSQIKEAALALKLGHSELSTALEQAEDWLLHLRALAEEPQNSLP DIIIWMLQGDKRVAYQRVPAHEVLFSRRGPSYCGRNCGKLQTIFLKYPMEGMPGARMPVQ IRIKLWFGLSVDEKEFNQFAEGKLSVFAETYENQTKLALVGNWGTTGLTYPKFSDVTGKI KLPKDSFRPSAGWAWAGDWFVCPEKTLLHDADAGHLSFVEEVFENQTRLPGGQWIYMSDN YTDVNGEKVLPKDDIECPLGWKWEDEEWSTDLNRAVDEQGWEYSITIPPDRKPKHWVPVE KMYYTHRRRRWVRLRRRDLSQMEALKRHRQAEAEGEGWEYASLFGWKFHLEYRKTDAFRR RRWRRRMEPLEKTGPAAVFALEGALGGMVDDKSEDSMSVSTLSFGVNRPTISCIFDYGNR YHLRCYLYQARDLPAMDKDSFSDPYAIVSFLHQSQKTVVEKNTLNPTWDQTLIFYEIEIF GEPASIAEHPPCIVVELYDHDTYGADEFMGRCICQPSLERMPRLAWFPLTRGSQPAGELL AAFELIQREKPAIHHIPGFEMHETSRILDETEDTDLPYPPPQREANIYMVPQNIKPALQR TAIEILAWGLRNMKSYQMASISSPSLVVECGGQTVQSCVIRNLRKNPNFDVCTLFMEVML PREDLYCPPIVVKVIDNRQFGRRPVVGQCTIRSLENFLCDPYSAESPSPQGGPDDVSLLS PGEDVLIDIDDKEPLIPVQLTDGLSSLGPTNLTPSPSSPHEEEFIDWWSKFFASVGEREK CGSYLEKDFDTLKVYDTQLENVEAFGGLSDFCNTFKLYRGRTQEETDDPSVIGEFKGLFK IYPLPEDPAIPMPPRQFHQLAAQGPQECLVRIYIVRAFGLQPKDPNGKCDPYIKISIGKK SVSDQDNYIPCTLEPVFGKMFELTCTLPLEKDLKITLYDYDLLSKDEKIGETVIDLENRL LSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRIKAPVYRTDRVTFQDKDYTI EEIEAGRLPNPHLGPVEERLALHVLQQQGLVPEHVESRPLYSPLQPDIEQGKLQMWIDIF PKVLGRPGPPFNITPRKARRFFLRCIIWNTKDVILDDLSLTGEKMSDIYVKGWMVGFEEH KQKTDVHYRSLGGEGNFNWRFVFPFDYLPAEQVCAVAKKDAFWRLDKTESKIPARVVFQI WDNDKFSFDDFLGSLQLDLNRMPKPAKTAEKCSLDQLDDTFHPEWFVSLFEQKTVKGWWP CVTEEGEKKMLAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLEDPRRPDTSFLWFTS PYKTMKFILWRRFRCAIILFIILFILLLFLGVFVYAFPNYAAMKLVKPFR >ENSMUSP00000087022.5 pep:known chromosome:GRCm38:6:84019385:84211059:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000089595.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLCCLLARASNLPNVKKDRRSDPVASLIFRGVKKRTKVIKNSVNPVWNEGFEWDLKGIPL DQSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVL QVSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPDTGGEEDTEDQGLTGDEAEPFLDQSAA VGPGGPTTPRKPPSHPPPHYPGAKRKRSSAPPRKLLSDKPQDFQIRVQVIEGRQLPGVNI KPVVKVTAAGQTKRTRIQKGNSPLFNETLFFNVFDSPLELFDEPIFITVVDSRSLRTDAL LGEFRMDVGTVYREPRHAYLRKWLLLSDPDDFSAGARGYLKASLCVLGPGDEAPLDKKDP SEDKEDIEGNLLRPTGVALRGAHFCLKLFRAEDLPQMDDAVMDNVKQIFGFDSNKKNLVD PFVEVSFAGKMLCSKILEKTANPQWNQNITLPAMFPSMCEKMRIRVMDWDRLTHNDTVAT TYLGMSKISATGGEIEEEPAGVLKSPQATDLDDNLGFLPTFGPCYVNLYGSPREFTGFPD PYAELNTGKGEGVAYRGRVLLSLETKLVEHSEQKVEDLPADDILRVEKYLRRRKYSLFAA FYSATMLQDVDDAIQFEVSIGNYGNKFDTTCLPLASTTQYSRAVFDGCHYYYLPWGNVKP VVVLSSYWEDISHRIEIQNQLLRVADRLEANLEQVHLALKAQCSSEDVDALVAQLTDELL ADCSQPLCDIHEIPSATHLDQYLLRLRTRHLSQIKEAALALKLGHSELSTALEQAEDWLL HLRALAEEPQNSLPDIIIWMLQGDKRVAYQRVPAHEVLFSRRGPSYCGRNCGKLQTIFLK YPMEGMPGARMPVQIRIKLWFGLSVDEKEFNQFAEGKLSVFAETYENQTKLALVGNWGTT GLTYPKFSDVTGKIKLPKDSFRPSAGWAWAGDWFVCPEKTLLHDADAGHLSFVEEVFENQ TRLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWSTDLNRAVDEQGWEYSIT IPPDRKPKHWVPVEKMYYTHRRRRWVRLRRRDLSQMEALKRHRQAEAEGEGWEYASLFGW KFHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGMVDDKSEDSMSVSTLSFGV NRPTISCIFDYGNRYHLRCYLYQARDLPAMDKDSFSDPYAIVSFLHQSQKTVVEKNTLNP TWDQTLIFYEIEIFGEPASIAEHPPCIVVELYDHDTYGADEFMGRCICQPSLERMPRLAW FPLTRGSQPAGELLAAFELIQREKPAIHHIPGFEMHETSRILDETEDTDLPYPPPQREAN IYMVPQNIKPALQRTAIEILAWGLRNMKSYQMASISSPSLVVECGGQTVQSCVIRNLRKN PNFDVCTLFMEVMLPREDLYCPPIVVKVIDNRQFGRRPVVGQCTIRSLENFLCDPYSAES PSPQGGPDDVSLLSPGEDVLIDIDDKEPLIPVQEEEFIDWWSKFFASVGEREKCGSYLEK DFDTLKVYDTQLENVEAFGGLSDFCNTFKLYRGRTQEETDDPSVIGEFKGLFKIYPLPED PAIPMPPRQFHQLAAQGPQECLVRIYIVRAFGLQPKDPNGKCDPYIKISIGKKSVSDQDN YIPCTLEPVFGKMFELTCTLPLEKDLKITLYDYDLLSKDEKIGETVIDLENRLLSKFGAR CGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRIKAPVYRTDRVTFQDKDYTIEEIEAGR LPNPHLGPVEERLALHVLQQQGLVPEHVESRPLYSPLQPDIEQGKLQMWIDIFPKVLGRP GPPFNITPRKARRFFLRCIIWNTKDVILDDLSLTGEKMSDIYVKGWMVGFEEHKQKTDVH YRSLGGEGNFNWRFVFPFDYLPAEQVCAVAKKDAFWRLDKTESKIPARVVFQIWDNDKFS FDDFLGSLQLDLNRMPKPAKTAEKCSLDQLDDTFHPEWFVSLFEQKTVKGWWPCVTEEGE KKMLAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLEDPRRPDTSFLWFTSPYKTMKF ILWRRFRCAIILFIILFILLLFLGVFVYAFPNYAAMKLVKPFR >ENSMUSP00000109449.1 pep:known chromosome:GRCm38:6:84019386:84211060:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000113818.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLCCLLARASNLPNVKKDRRSDPVASLIFRGVKKRTKVIKNSVNPVWNEGFEWDLKGIPL DQSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVL QVSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPDTGGEEDTEDQGLTGDEAEPFLDQSAA VGPGGPTTPRKPPSHPPPHYPGAKRKRSSAPPRKLLSDKPQDFQIRVQVIEGRQLPGVNI KPVVKVTAAGQTKRTRIQKGNSPLFNETLFFNVFDSPLELFDEPIFITVVDSRSLRTDAL LGEFRMDVGTVYREPRHAYLRKWLLLSDPDDFSAGARGYLKASLCVLGPGDEAPLDKKDP SEDKEDIEGNLLRPTGVALRGAHFCLKLFRAEDLPQMDDAVMDNVKQIFGFDSNKKNLVD PFVEVSFAGKMLCSKILEKTANPQWNQNITLPAMFPSMCEKMRIRVMDWDRLTHNDTVAT TYLGMSKISATGGEIEVDDNLGFLPTFGPCYVNLYGSPREFTGFPDPYAELNTGKGEGVA YRGRVLLSLETKLVEHSEQKVEDLPADDILRVEKYLRRRKYSLFAAFYSATMLQDVDDAI QFEVSIGNYGNKFDTTCLPLASTTQYSRAVFDGCHYYYLPWGNVKPVVVLSSYWEDISHR IEIQNQLLRVADRLEANLEQVHLALKAQCSSEDVDALVAQLTDELLADCSQPLCDIHEIP SATHLDQYLLRLRTRHLSQIKEAALALKLGHSELSTALEQAEDWLLHLRALAEEPQNSLP DIIIWMLQGDKRVAYQRVPAHEVLFSRRGPSYCGRNCGKLQTIFLKYPMEGMPGARMPVQ IRIKLWFGLSVDEKEFNQFAEGKLSVFAETYENQTKLALVGNWGTTGLTYPKFSDVTGKI KLPKDSFRPSAGWAWAGDWFVCPEKTLLHDADAGHLSFVEEVFENQTRLPGGQWIYMSDN YTDVNGEKVLPKDDIECPLGWKWEDEEWSTDLNRAVDEQGWEYSITIPPDRKPKHWVPVE KMYYTHRRRRWVRLRRRDLSQMEALKRHRQAEAEGEGWEYASLFGWKFHLEYRKTDAFRR RRWRRRMEPLEKTGPAAVFALEGALGGMVDDKSEDSMSVSTLSFGVNRPTISCIFDYGNR YHLRCYLYQARDLPAMDKDSFSDPYAIVSFLHQSQKTVVEKNTLNPTWDQTLIFYEIEIF GEPASIAEHPPCIVVELYDHDTYGADEFMGRCICQPSLERMPRLAWFPLTRGSQPAGELL AAFELIQREKPAIHHIPGFEMHETSRILDETEDTDLPYPPPQREANIYMVPQNIKPALQR TAIEILAWGLRNMKSYQMASISSPSLVVECGGQTVQSCVIRNLRKNPNFDVCTLFMEVML PREDLYCPPIVVKVIDNRQFGRRPVVGQCTIRSLENFLCDPYSAESPSPQGGPDDVSLLS PGEDVLIDIDDKEPLIPVQEEEFIDWWSKFFASVGEREKCGSYLEKDFDTLKVYDTQLEN VEAFGGLSDFCNTFKLYRGRTQEETDDPSVIGEFKGLFKIYPLPEDPAIPMPPRQFHQLA AQGPQECLVRIYIVRAFGLQPKDPNGKCDPYIKISIGKKSVSDQDNYIPCTLEPVFGKMF ELTCTLPLEKDLKITLYDYDLLSKDEKIGETVIDLENRLLSKFGARCGLPQTYCVSGPNQ WRDQLRPSQLLHLFCQQHRIKAPVYRTDRVTFQDKDYTIEEIEAGRLPNPHLGPVEERLA LHVLQQQGLVPEHVESRPLYSPLQPDIEQGKLQMWIDIFPKVLGRPGPPFNITPRKARRF FLRCIIWNTKDVILDDLSLTGEKMSDIYVKGWMVGFEEHKQKTDVHYRSLGGEGNFNWRF VFPFDYLPAEQVCAVAKKDAFWRLDKTESKIPARVVFQIWDNDKFSFDDFLGSLQLDLNR MPKPAKTAEKCSLDQLDDTFHPEWFVSLFEQKTVKGWWPCVTEEGEKKMLAGKLEMTLEI VAESEHEERPAGQGRDEPNMNPKLEDPRRPDTSFLWFTSPYKTMKFILWRRFRCAIILFI ILFILLLFLGVFVYAFPNYAAMKLVKPFR >ENSMUSP00000080579.6 pep:known chromosome:GRCm38:6:84019389:84211059:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000081904.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLCCLLARASNLPNVKKDRRSDPVASLIFRGVKKRTKVIKNSVNPVWNEGFEWDLKGIPL DQSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVL QVSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPGGGQSRAETWSLLSDSTMDTRYSGKKW PVPTDTGGEEDTEDQGLTGDEAEPFLDQSAAVGPGGPTTPRKPPSHPPPHYPGAKRKRSS APPRKLLSDKPQDFQIRVQVIEGRQLPGVNIKPVVKVTAAGQTKRTRIQKGNSPLFNETL FFNVFDSPLELFDEPIFITVVDSRSLRTDALLGEFRMDVGTVYREPRHAYLRKWLLLSDP DDFSAGARGYLKASLCVLGPGDEAPLDKKDPSEDKEDIEGNLLRPTGVALRGAHFCLKLF RAEDLPQMDDAVMDNVKQIFGFDSNKKNLVDPFVEVSFAGKMLCSKILEKTANPQWNQNI TLPAMFPSMCEKMRIRVMDWDRLTHNDTVATTYLGMSKISATGGEIEVDDNLGFLPTFGP CYVNLYGSPREFTGFPDPYAELNTGKGEGVAYRGRVLLSLETKLVEHSEQKVEDLPADDI LRVEKYLRRRKYSLFAAFYSATMLQDVDDAIQFEVSIGNYGNKFDTTCLPLASTTQYSRA VFDGCHYYYLPWGNVKPVVVLSSYWEDISHRIEIQNQLLRVADRLEANLEQVHLALKAQC SSEDVDALVAQLTDELLADCSQPLCDIHEIPSATHLDQYLLRLRTRHLSQIKEAALALKL GHSELSTALEQAEDWLLHLRALAEEPQNSLPDIIIWMLQGDKRVAYQRVPAHEVLFSRRG PSYCGRNCGKLQTIFLKYPMEGMPGARMPVQIRIKLWFGLSVDEKEFNQFAEGKLSVFAE TYENQTKLALVGNWGTTGLTYPKFSDVTGKIKLPKDSFRPSAGWAWAGDWFVCPEKTLLH DADAGHLSFVEEVFENQTRLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWS TDLNRAVDEQGWEYSITIPPDRKPKHWVPVEKMYYTHRRRRWVRLRRRDLSQMEALKRHR QAEAEGEGWEYASLFGWKFHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGMV DDKSEDSMSVSTLSFGVNRPTISCIFDYGNRYHLRCYLYQARDLPAMDKDSFSDPYAIVS FLHQSQKTVVEKNTLNPTWDQTLIFYEIEIFGEPASIAEHPPCIVVELYDHDTYGADEFM GRCICQPSLERMPRLAWFPLTRGSQPAGELLAAFELIQREKPAIHHIPGFEMHETSRILD ETEDTDLPYPPPQREANIYMVPQNIKPALQRTAIEILAWGLRNMKSYQMASISSPSLVVE CGGQTVQSCVIRNLRKNPNFDVCTLFMEVMLPREDLYCPPIVVKVIDNRQFGRRPVVGQC TIRSLENFLCDPYSAESPSPQGGPDDVSLLSPGEDVLIDIDDKEPLIPVQEEEFIDWWSK FFASVGEREKCGSYLEKDFDTLKVYDTQLENVEAFGGLSDFCNTFKLYRGRTQEETDDPS VIGEFKGLFKIYPLPEDPAIPMPPRQFHQLAAQGPQECLVRIYIVRAFGLQPKDPNGKCD PYIKISIGKKSVSDQDNYIPCTLEPVFGKMFELTCTLPLEKDLKITLYDYDLLSKDEKIG ETVIDLENRLLSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRIKAPVYRTDR VTFQDKDYTIEEIEAGRLPNPHLGPVEERLALHVLQQQGLVPEHVESRPLYSPLQPDIEQ GKLQMWIDIFPKVLGRPGPPFNITPRKARRFFLRCIIWNTKDVILDDLSLTGEKMSDIYV KGWMVGFEEHKQKTDVHYRSLGGEGNFNWRFVFPFDYLPAEQVCAVAKKDAFWRLDKTES KIPARVVFQIWDNDKFSFDDFLGSLQLDLNRMPKPAKTAEKCSLDQLDDTFHPEWFVSLF EQKTVKGWWPCVTEEGEKKMLAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLEDPRR PDTSFLWFTSPYKTMKFILWRRFRCAIILFIILFILLLFLGVFVYAFPNYAAMKLVKPFR >ENSMUSP00000132297.1 pep:known chromosome:GRCm38:6:84008915:84211059:1 gene:ENSMUSG00000033788.15 transcript:ENSMUST00000168387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dysf description:dysferlin [Source:MGI Symbol;Acc:MGI:1349385] MLRVFILFAENVHTPDSDISDAYCSAVFAGVKKRTKVIKNSVNPVWNEGFEWDLKGIPLD QSSELLVVVKDHETMGRNRFLGEAKIPLQEVLATPSLSASFNAPLLDAKQQPTGASLVLQ VSYTPPPGAVPLFPPPASLAPSPTLPDMDLVPDTGGEEDTEDQGLTGDEAEPFLDQSAAV GPGGPTTPRKPPSHPPPHYPGAKRKRSSAPPRKLLSDKPQDFQIRVQVIEGRQLPGVNIK PVVKVTAAGQTKRTRIQKGNSPLFNETLFFNVFDSPLELFDEPIFITVVDSRSLRTDALL GEFRMDVGTVYREPRHAYLRKWLLLSDPDDFSAGARGYLKASLCVLGPGDEAPLDKKDPS EDKEDIEGNLLRPTGVALRGAHFCLKLFRAEDLPQMDDAVMDNVKQIFGFDSNKKNLVDP FVEVSFAGKMLCSKILEKTANPQWNQNITLPAMFPSMCEKMRIRVMDWDRLTHNDTVATT YLGMSKISATGGEIEEEPAGVLKSPQATDLDDNLGFLPTFGPCYVNLYGSPREFTGFPDP YAELNTGKGEGVAYRGRVLLSLETKLVEHSEQKVEDLPADDILRVEKYLRRRKYSLFAAF YSATMLQDVDDAIQFEVSIGNYGNKFDTTCLPLASTTQYSRAVFDGGSLPLPVGCHYYYL PWGNVKPVVVLSSYWEDISHRIEIQNQLLRVADRLEANLEQVHLALKAQCSSEDVDALVA QLTDELLADCSQPLCDIHEIPSATHLDQYLLRLRTRHLSQIKEAALALKLGHSELSTALE QAEDWLLHLRALAEEPQNSLPDIIIWMLQGDKRVAYQRVPAHEVLFSRRGPSYCGRNCGK LQTIFLKYPMEGMPGARMPVQIRIKLWFGLSVDEKEFNQFAEGKLSVFAETYENQTKLAL VGNWGTTGLTYPKFSDVTGKIKLPKDSFRPSAGWAWAGDWFVCPEKTLLHDADAGHLSFV EEVFENQTRLPGGQWIYMSDNYTDVNGEKVLPKDDIECPLGWKWEDEEWSTDLNRAVDEQ GWEYSITIPPDRKPKHWVPVEKMYYTHRRRRWVRLRRRDLSQMEALKRHRQAEAEGEGWE YASLFGWKFHLEYRKTDAFRRRRWRRRMEPLEKTGPAAVFALEGALGGMVDDKSEDSMSV STLSFGVNRPTISCIFDYGNRYHLRCYLYQARDLPAMDKDSFSDPYAIVSFLHQSQKTVV EKNTLNPTWDQTLIFYEIEIFGEPASIAEHPPCIVVELYDHDTYGADEFMGRCICQPSLE RMPRLAWFPLTRGSQPAGELLAAFELIQREKPAIHHIPGFEMHETSRILDETEDTDLPYP PPQREANIYMVPQNIKPALQRTAIEILAWGLRNMKSYQMASISSPSLVVECGGQTVQSCV IRNLRKNPNFDVCTLFMEVMLPREDLYCPPIVVKVIDNRQFGRRPVVGQCTIRSLENFLC DPYSAESPSPQGGPDDVSLLSPGEDVLIDIDDKEPLIPVQEEEFIDWWSKFFASVGEREK CGSYLEKDFDTLKVYDTQLENVEAFGGLSDFCNTFKLYRGRTQEETDDPSVIGEFKGLFK IYPLPEDPAIPMPPRQFHQLAAQGPQECLVRIYIVRAFGLQPKDPNGKCDPYIKISIGKK SVSDQDNYIPCTLEPVFGKMFELTCTLPLEKDLKITLYDYDLLSKDEKIGETVIDLENRL LSKFGARCGLPQTYCVSGPNQWRDQLRPSQLLHLFCQQHRIKAPVYRTDRVTFQDKDYTI EEIEAGRLPNPHLGPVEERLALHVLQQQGLVPEHVESRPLYSPLQPDIEQGKLQMWIDIF PKVLGRPGPPFNITPRKARRFFLRCIIWNTKDVILDDLSLTGEKMSDIYVKGWMVGFEEH KQKTDVHYRSLGGEGNFNWRFVFPFDYLPAEQVCAVAKKDAFWRLDKTESKIPARVVFQI WDNDKFSFDDFLGSLQLDLNRMPKPAKTAEKCSLDQLDDTFHPEWFVSLFEQKTVKGWWP CVTEEGEKKMLAGKLEMTLEIVAESEHEERPAGQGRDEPNMNPKLEDPRRPDTSFLWFTS PYKTMKFILWRRFRCAIILFIILFILLLFLGVFVYAFPNYAAMKLVKPFR >ENSMUSP00000052944.6 pep:known chromosome:GRCm38:7:127403668:127406822:-1 gene:ENSMUSG00000045757.6 transcript:ENSMUST00000059199.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp764 description:zinc finger protein 764 [Source:MGI Symbol;Acc:MGI:2443580] MAQRMARGRAWAPPASCKPEAVSFADVAVYFSPEEWRCLRPAQRALYREVMRETYGLLAS LGLGGAKPALICWVEEESEVWGPCAQDPEVAMCRTEVHSDCRHEKERKRPREETEAMQKT FSPEPGQKEPQLSFAGSASGIQATVLRADKRHGCHLCGKSFAQRPTLVEHLYTHTGEKPF QCPDCNKCFGRASSLTMHRAIHRGERPHQCPDCGKSFTQRSTLVAHMYTHTGEKPFCCPD CNKSFSRSSSLSSHRAIHRGERPHCCPDCGRAFTRRSGLIAHLRIHTGEKPYCCADCGRC FSQRSAFREHQRVVHSGVTPFTCTHCGRAFADSKYLRRHMRIHTGEKPYSCPDCGRCFRQ SSEIPAHRRTHTGERPYPCPQCGRQFRTKSAMTSHQWVHRPGAKGHKDKKARQLSISLDP RQEDPDPPVGF >ENSMUSP00000079538.4 pep:known chromosome:GRCm38:X:48256862:48282453:1 gene:ENSMUSG00000063785.12 transcript:ENSMUST00000080713.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp14a description:UTP14, U3 small nucleolar ribonucleoprotein, homolog A (yeast) [Source:MGI Symbol;Acc:MGI:1919804] MNSIKATKGLLALSQQDDLMDLTSNYPLSASEDEGDSDGERKHQKLLEAIGSLSGKNRWK LPERSEAGLKVSEFNVSSEGSGEKLALSDLLGPLKPSSSLAAVKKQLSRVKSKKTLELPL HKREVEQIHREVAFSKTSQTLSKWDSVVQKNREAEQLVFPLEKEPSSFAPMEHVFREWKA RTPLEQEVFNLLHKNKQPVTDPLLTPVEKASLKAMSLEEAKIRRAELQRARALQSYYEAR ARRMKKIKSKKYHKIVKKGKAKKALKDFEQLRKVDPDAALEELEKMEKARMMERMSLKHQ NSGKWAKSKAIMAKYDLEARQAMQEQLAKNKELTQKLQVVSESEEEGGADEEEALVPDIV NEVQKTADGPNPWMLRSCSRDAKENEIQADSEQLPESAAHEFPENEENDKPVAEEDELLK ELEKRRSLRKRSELNQAAEPLGNQETKDSTSQEVLSELRALSKKLSKENHLSKKQKKSPA KAVDLVWEEEPAPEEDEPLLLQRPERMRTLEELEELGKEDSLPNKERPRPSVEGEQVRRN PQNHSKGKNKKEQMISLQNLLTTRTPSVTSLALPTTVEELEDEGARDQKQMIKEAFAGDD VIKEFLKEKREAIQANKPKAVDLTLPGWGEWGGMNLKPSARKRRRFLIKAPEGPPRKDKN LPNVIISEKRNIHAAAHQVRVLPYPFTHHQQFERTIQNPIGSTWNTQRAFQKLTAPKVVT KPGHIIKPITAEDVDCRSSPRSDVPVMQSNPKQHSKHQKQRKKSSIG >ENSMUSP00000091048.5 pep:known chromosome:GRCm38:8:85075035:85080746:-1 gene:ENSMUSG00000005150.16 transcript:ENSMUST00000093357.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr83 description:WD repeat domain containing 83 [Source:MGI Symbol;Acc:MGI:1915086] MAFPEPKPRAPELPQKRMKTLDCSQGAVRAVRFNVDGNYCLTCGSDKTLKLWNPLRGTLL RTYSGHGYEVLDAAGSFDNSHLCSGGGDKTVVLWDVATGQVVRKFRGHAGKVNTVQFNEE ATVILSGSIDSSVRCWDCRSRKPEPVQTLDEARDGISSVKVSDHEILAGSVDGRVRRYDL RMGQVSSDYVGSPITCTCFSRDGQCTLISSLDSTLRLLDKDTGELLGEYVGHKNQQYKLD CCLSERDTHVVSCSEDGKVFFWDLVEGALALALPVGSNVVQSLAYHPTEPCLLTAMGGSI QYWREETYEAEGGAG >ENSMUSP00000122737.1 pep:known chromosome:GRCm38:8:85075037:85076107:-1 gene:ENSMUSG00000005150.16 transcript:ENSMUST00000142201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr83 description:WD repeat domain containing 83 [Source:MGI Symbol;Acc:MGI:1915086] XRDGQCTLISSLDSTLRLLDKDTGELLGEVPWHWPCLWVLMWYSHWLTIPRNPAY >ENSMUSP00000122127.1 pep:known chromosome:GRCm38:8:85075828:85080673:-1 gene:ENSMUSG00000005150.16 transcript:ENSMUST00000152785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr83 description:WD repeat domain containing 83 [Source:MGI Symbol;Acc:MGI:1915086] MAFPEPKPRAPELPQKRMKTLDCSQGAVRAVRFNVDGNYCLTCGSDKTLKLWNPLRGTLL RTYSGHGYEVLDAAGSFDNSHLCSGGGDKTVVLWDVATGQVVRKFRGHAGVSTSEKTLVN VCIVEIHISPVRCWDCRSRKPEPVQTLDEARDGISSVKVSDHEILAGSVDGRVRRYDLRM GQVSSDYVGSPITCTCFSRDGQCTLISSLDSTLRLLDKDTGELLGEYVGHKNQQYKLDCC LSERDTHVVSCSEDGKVFFWDL >ENSMUSP00000121568.1 pep:known chromosome:GRCm38:8:85076124:85080674:-1 gene:ENSMUSG00000005150.16 transcript:ENSMUST00000149050.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr83 description:WD repeat domain containing 83 [Source:MGI Symbol;Acc:MGI:1915086] MAFPEPKPRAPELPQKRMKTLDCSQGAVRAVRFNVDGNYCLTCGSDKTLKLWNPLRGTLL RTYSGHGYEVLDAAGSFDNSHLCSGGGDKTVVLWDVATGQVVRKFRGHAGVSTSEKTLVN VCIVEIHISP >ENSMUSP00000056959.3 pep:known chromosome:GRCm38:7:127244274:127254803:1 gene:ENSMUSG00000054716.4 transcript:ENSMUST00000052509.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp771 description:zinc finger protein 771 [Source:MGI Symbol;Acc:MGI:2442050] MPGEQQAEEEEEEEMQEEMVLLVKGEEEEGEEKYEVVKLKIPVDNKEVASQMPAPSADPA RPHACPDCGRAFARRSTLAKHARTHTGERPFACTECGRCFSQKSALTKHGRTHTGERPYQ CPECDKRFSAASNLRQHRRRHTGEKPYACAHCGRRFAQSSNYAQHLRVHTGEKPYACPDC GRAFGGSSCLARHRRTHTGERPYACADCGTRFAQSSALAKHRRVHTGEKPHRCAVCGRRF GHRSNLAEHARTHTGERPYPCTECGRRFRLSSHFIRHRRAHMRRRLYICAGCGRDFKLPA SATAATPTERCPECEGS >ENSMUSP00000145541.1 pep:known chromosome:GRCm38:7:127245187:127253872:1 gene:ENSMUSG00000054716.4 transcript:ENSMUST00000205583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp771 description:zinc finger protein 771 [Source:MGI Symbol;Acc:MGI:2442050] MPAPSADPARPHACPDCGR >ENSMUSP00000101331.1 pep:known chromosome:GRCm38:4:148145716:148151908:-1 gene:ENSMUSG00000055401.14 transcript:ENSMUST00000105706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo6 description:F-box protein 6 [Source:MGI Symbol;Acc:MGI:1354743] MVHINELPENILLELFIHIPAPQLLRNCRLVCRLWRDLIDVVSLWKRKSLREGFFTKDRC EPVEDWKVFYILCSLQRNLLRNPCAEENLSSWRIDSNGGDRWKVETLPGSCGTSFPDNKV KKYFVTSFEMCLKSQMVDLKAEGYCEELMDTFRPDIVVKDWVAPRADCGCTYQLRVQLAS ADYIVLASFEPPPVTFQQWNDAKWQEISHTFSDYPPGVRHILFQHGGQDTQFWKGWYGPR VTNSSIIISHRTAKNPPPARTLPEETVVIGRRRRASDSNTHEGFFWQGLWQRLRR >ENSMUSP00000030858.7 pep:known chromosome:GRCm38:4:148145787:148151913:-1 gene:ENSMUSG00000055401.14 transcript:ENSMUST00000030858.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo6 description:F-box protein 6 [Source:MGI Symbol;Acc:MGI:1354743] MVHINELPENILLELFIHIPAPQLLRNCRLVCRLWRDLIDVVSLWKRKSLREGFFTKDRC EPVEDWKVFYILCSLQRNLLRNPCAEENLSSWRIDSNGGDRWKVETLPGSCGTSFPDNKV KKYFVTSFEMCLKSQMVDLKAEGYCEELMDTFRPDIVVKDWVAPRADCGCTYQLRVQLAS ADYIVLASFEPPPVTFQQWNDAKWQEISHTFSDYPPGVRHILFQHGGQDTQFWKGWYGPR VTNSSIIISHRTAKNPPPARTLPEETVVIGRRRRASDSNTHEGFFWQGLWQRLRR >ENSMUSP00000062348.5 pep:known chromosome:GRCm38:4:148145788:148152059:-1 gene:ENSMUSG00000055401.14 transcript:ENSMUST00000056965.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo6 description:F-box protein 6 [Source:MGI Symbol;Acc:MGI:1354743] MVHINELPENILLELFIHIPAPQLLRNCRLVCRLWRDLIDVVSLWKRKSLREGFFTKDRC EPVEDWKVFYILCSLQRNLLRNPCAEENLSSWRIDSNGGDRWKVETLPGSCGTSFPDNKV KKYFVTSFEMCLKSQMVDLKAEGYCEELMDTFRPDIVVKDWVAPRADCGCTYQLRVQLAS ADYIVLASFEPPPVTFQQWNDAKWQEISHTFSDYPPGVRHILFQHGGQDTQFWKGWYGPR VTNSSIIISHRTAKNPPPARTLPEETVVIGRRRRASDSNTHEGFFWQGLWQRLRR >ENSMUSP00000121379.1 pep:known chromosome:GRCm38:4:148146009:148152140:-1 gene:ENSMUSG00000055401.14 transcript:ENSMUST00000152098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo6 description:F-box protein 6 [Source:MGI Symbol;Acc:MGI:1354743] MVHINELPENILLELFIHIPAPQLLRNCRLVCRLWRDLIDVVSLWKRKSLREGFFTKDRC EPVEDWKVFYILCSLQRNLLRNPCAEENLSSWRIDSNGGDRWKVETLPGSCGTSFPDNKV KKYFVTSFEMCLKSQMVDLKAEGYCEELMDTFRPDIVVKDWVAPRADCGCTYQLRVQLAS ADYIVLASFEPPPVTFQQWNDAKWQEISHTFSDYPPGVRHILFQHGGQDTQFWKGWYGPR VTNSSIIISHRTAKNPPPARTLPEE >ENSMUSP00000120801.1 pep:known chromosome:GRCm38:4:148146092:148149719:-1 gene:ENSMUSG00000055401.14 transcript:ENSMUST00000126615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo6 description:F-box protein 6 [Source:MGI Symbol;Acc:MGI:1354743] MVHINELPENILLELFIHIPAPQLLRNCRLVCRLWRDLIDVVSLWKRKSLREGFFTKDRC EPVEDWKVFYILCSLQRNLLRNPCAEENLSSWRIDSNGGDRWKVETLPGSCGTSFPDNKV KKYFVTSFEMCLKSQMVDLKAEGYCEELMDTFRPDIVVKDWVAPRADCGCTYQLRVQLAS ADYIVLASFEPPPVTFQQWNDAKWQEISHTFSDYPPGVRHILFQHGGQDTQFWKGWY >ENSMUSP00000123132.1 pep:known chromosome:GRCm38:4:148146118:148151925:-1 gene:ENSMUSG00000055401.14 transcript:ENSMUST00000134261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo6 description:F-box protein 6 [Source:MGI Symbol;Acc:MGI:1354743] MVHINELPENILLELFIHIPAPQLLRNCRLVCRLWRDLIDVVSLWKRKSLREGFFTKDRC EPVEDWKVFYILCSLQRNLLRNPCAEENLSSWRIDSNGGDRWKVETLPGSCGTSFPDNKV KKYFVTSFEMCLKSQMVDLKAEGYCEELMDTFRPDIVVKDWVAPRADCGCTYQLRVQLAS ADYIVLASFEPPPVTFQQWNDAKWQEISHTFSDYPPGVRHILFQHGGQ >ENSMUSP00000120431.1 pep:known chromosome:GRCm38:4:148149444:148151646:-1 gene:ENSMUSG00000055401.14 transcript:ENSMUST00000132083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo6 description:F-box protein 6 [Source:MGI Symbol;Acc:MGI:1354743] MVHINELPENILLELFIHIPAPQLLRNCRLVCRLWRDLIDVVSLWKRKSLREGFFTKDRC EPVE >ENSMUSP00000130188.1 pep:known chromosome:GRCm38:4:148145716:148152135:-1 gene:ENSMUSG00000055401.14 transcript:ENSMUST00000168503.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo6 description:F-box protein 6 [Source:MGI Symbol;Acc:MGI:1354743] MVHINELPENILLELFIHIPAPQLLRNCRLVCRLWRDLIDVVSLWKRKSLREGFFTKDRC EPVEDWKVFYILCSLQRNLLRNPCAEENLSSWRIDSNGGDRWKVETLPGSCGTSFPDNKV KKYFVTSFEMCLKSQMVDLKAEGYCEELMDTFRPDIVVKDWVAPRADCGCTYQLRVQLAS ADYIVLASFEPPPVTFQQWNDAKWQEISHTFSDYPPGVRHILFQHGGQDTQFWKGWYGPR VTNSSIIISHRTAKNPPPARTLPEETVVIGRRRRASDSNTHEGFFWQGLWQRLRR >ENSMUSP00000133626.1 pep:known chromosome:GRCm38:3:87747890:87768948:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000174267.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPTW >ENSMUSP00000133474.1 pep:known chromosome:GRCm38:3:87749098:87768943:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000172621.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPTVVYRTVYRQVVKMDSRPRLQCCRGYYESRGACVPLCAQECVHGRCVAPNQCQC APGWRGGDCSSECAPGMWGPQCDKFCHCGNNSSCDPKSGTCFCPSGLQPPNCLQPCPAGH YGPACQFDCQCYGASCDPQDGACFCPPGRAGPSCNVPCSQGTDGFFCPRTYPCQNGGVPQ GSQGSCSCPPGWMGVICSLPCPEGFHGPNCTQECRCHNGGLCDRFTGQCHCAPGYIGDRC QEECPVGRFGQDCAETCDCAPGARCFPANGACLCEHGFTGDRCTERLCPDGRYGLSCQEP CTCDPEHSLSCHPMHGECSCQPGWAGLHCNESCPQDTHGPGCQEHCLCLHGGLCLADSGL CRCAPGYTGPHCANLCPPDTYGINCSSRCSCENAIACSPIDGTCICKEGWQRGNCSVPCP LGTWGFNCNASCQCAHDGVCSPQTGACTCTPGWHGAHCQLPCPKGQFGEGCASVCDCDHS DGCDPVHGQCRCQAGWMGTRCHLPCPEGFWGANCSNTCTCKNGGTCVSENGNCVCAPGFR GPSCQRPCPPGRYGKRCVQCKCNNNHSSCHPSDGTCSCLAGWTGPDCSEACPPGHWGLKC SQLCQCHHGGTCHPQDGSCICTPGWTGPNCLEGCPPRMFGVNCSQLCQCDLGEMCHPETG ACVCPPGHSGADCKMGSQESFTIMPTSPVTHNSLGAVIGIAVLGTLVVALIALFIGYRQW QKGKEHEHLAVAYSTGRLDGSDYVMPDVSPSYSHYYSNPSYHTLSQCSPNPPPPNKVPGS QLFVSSQAPERPSRAHGRENHVTLPADWKHRREPHERGASHLDRSYSCSYSHRNGPGPFC HKGPISEEGLGASVMSLSSENPYATIRDLPSLPGEPRESGYVEMKGPPSVSPPRQSLHLR DRQQRQLQPQRDSGTYEQPSPLSHNEESLGSTPPLPPGLPPGHYDSPKNSHIPGHYDLPP VRHPPSPPSRRQDR >ENSMUSP00000134215.1 pep:known chromosome:GRCm38:3:87749098:87768949:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000174713.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPT >ENSMUSP00000133323.1 pep:known chromosome:GRCm38:3:87749900:87768943:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000174759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPTVVYRTVYRQVVKMDSRPRLQCCRGYYESRGACVPLCAQECVHGRCVAPNQCQC APGWRGGDCSSECAPGMWGPQCDKFCHCGNNSSCDPKSGTCFCPSGLQPPNCLQPCPAGH YGPACQFDCQCYGASCDPQDGACFCPPGRAGPSCNVPCSQGTDGFFCPRTYPCQNGGVPQ GSQGSCSCPPGWMGVICSLPCPEGFHGPNCTQECRCHNGGLCDRFTGQCHCAPGYIGDRC QEECPVGRFGQDCAETCDCAPGARCFPANGACLCEHGFTGDRCTERLCPDGRYGLSCQEP CTCDPEHSLSCHPMHGECSCQPGWAGLHCNESCPQDTHGPGCQEHCLCLHGGLCLADSGL CRCAPGYTGPHCANLCPPDTYGINCSSRCSCENAIACSPIDGTCICKEGWQRGNCSVPCP LGTWGFNCNASCQCAHDGVCSPQTGACTCTPGWHGAHCQLPCPKGQFGEGCASVCDCDHS DGCDPVHGQCRCQAGWMGTRCHLPCPEGFWGANCSNTCTCKNGGTCVSENGNCVCAPGFR GPSCQRPCPPGRYGKRCVQCKCNNNHSSCHPSDGTCSCLAGWTGPDCSEACPPGHWGLKC SQLCQCHHGGTCHPQDGSCICTPGWTGPNCLEGCPPRMFGVNCSQLCQCDLGEMCHPETG ACVCPPGHSGADCKMGSQESFTIMPTSPVTHNSLGAVIGIAVLGTLVVALIALFIGYRQW QKGKEHEHLAVAYSTGRLDGSDYVMPDVSPSYSHYYSNPSYHTLSQCSPNPPPPNKVPGS QLFVSSQAPERPSRAHGRENHVTLPADWKHRREPHERGASHLDRSYSCSYSHRNGPGPFC HKGPISEEGLGASVMSLSSENPYATIRDLPSLPGEPRESGYVEMKGPPSVSPPRQSLHLR DRQQRQLQPQRDSGTYEQPSPLSHNEESLGSTPPLPPGLPPGHYDSPKNSHIPGHYDLPP VRHPPSPPSRRQDR >ENSMUSP00000134451.1 pep:known chromosome:GRCm38:3:87751336:87753834:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000172669.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] XSCHPSDGTCSCLAGWTGPDCSEACPPGHWGLKCSQLCQCHHGGTCHPQDGSCICTPGWT GPNCLEGCPPRMFGVNCSQLCQCDLGEMCHPETGACVCPPGHSGADCKMGSQESFTIMPT SPVTHNSLGAVIGIAVLGTLVVALIALFIGYRQWQKGKEHEHLAVAYSTGRLDGSDYVMP GPRQSALCQLPGP >ENSMUSP00000133565.1 pep:known chromosome:GRCm38:3:87752317:87756853:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000174219.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] DRCQEECPVGRFGQDCAETCDCAPGARCFPANGACLCEHGFTGDRCTERLCPDGRYGLSC QEPCTCDPEHSLSCHPMHGECLPAWSLWQTLCAMQV >ENSMUSP00000133988.1 pep:known chromosome:GRCm38:3:87756552:87768943:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000173468.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPTVVYRTVYRQVVKMDSRPRLQCCRGYYESRGACVPLCAQECVHGRCVAPNQCQC APGWRGGDCSSECAPGMWGPQCDKFCHCGNNSSCDPKSGTCFCPSGLQPPNCLQPCPAGH YGPACQFDCQCYGASCDPQDGACFCPPGRAGPRCVMRP >ENSMUSP00000133480.1 pep:known chromosome:GRCm38:3:87758331:87768943:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000172590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MDSRPRLQCCRGYYESRGACVPLCAQECVHGRCVAPNQCQCAPGWRGGDC >ENSMUSP00000134387.1 pep:known chromosome:GRCm38:3:87758344:87768943:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000173225.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPT >ENSMUSP00000133749.1 pep:known chromosome:GRCm38:3:87758358:87768966:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000174776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPTVVYRTVYRQVVKMDSRPRLQCCRGYYESRGACVPLCAQECVHGRCVAPNQCQC >ENSMUSP00000134100.1 pep:known chromosome:GRCm38:3:87759110:87768935:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000173184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPTVVYRTVYRQVVKMDSRPRLQCCRGYYES >ENSMUSP00000078090.5 pep:known chromosome:GRCm38:3:87749097:87768953:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000079083.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPTVVYRTVYRQVVKMDSRPRLQCCRGYYESRGACVPLCAQECVHGRCVAPNQCQC APGWRGGDCSSECAPGMWGPQCDKFCHCGNNSSCDPKSGTCFCPSGLQPPNCLQPCPAGH YGPACQFDCQCYGASCDPQDGACFCPPGRAGPSCNVPCSQGTDGFFCPRTYPCQNGGVPQ GSQGSCSCPPGWMGVICSLPCPEGFHGPNCTQECRCHNGGLCDRFTGQCHCAPGYIGDRC QEECPVGRFGQDCAETCDCAPGARCFPANGACLCEHGFTGDRCTERLCPDGRYGLSCQEP CTCDPEHSLSCHPMHGECSCQPGWAGLHCNESCPQDTHGPGCQEHCLCLHGGLCLADSGL CRCAPGYTGPHCANLCPPDTYGINCSSRCSCENAIACSPIDGTCICKEGWQRGNCSVPCP LGTWGFNCNASCQCAHDGVCSPQTGACTCTPGWHGAHCQLPCPKGQFGEGCASVCDCDHS DGCDPVHGQCRCQAGWMGTRCHLPCPEGFWGANCSNTCTCKNGGTCVSENGNCVCAPGFR GPSCQRPCPPGRYGKRCVQCKCNNNHSSCHPSDGTCSCLAGWTGPDCSEACPPGHWGLKC SQLCQCHHGGTCHPQDGSCICTPGWTGPNCLEGCPPRMFGVNCSQLCQCDLGEMCHPETG ACVCPPGHSGADCKMGSQESFTIMPTSPVTHNSLGAVIGIAVLGTLVVALIALFIGYRQW QKGKEHEHLAVAYSTGRLDGSDYVMPDVSPSYSHYYSNPSYHTLSQCSPNPPPPNKVPGS QLFVSSQAPERPSRAHGRENHVTLPADWKHRREPHERGASHLDRSYSCSYSHRNGPGPFC HKGPISEEGLGASVMSLSSENPYATIRDLPSLPGEPRESGYVEMKGPPSVSPPRQSLHLR DRQQRQLQPQRDSGTYEQPSPLSHNEESLGSTPPLPPGLPPGHYDSPKNSHIPGHYDLPP VRHPPSPPSRRQDR >ENSMUSP00000029714.8 pep:known chromosome:GRCm38:3:87749097:87824255:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000029714.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPTVVYRTVYRQVVKMDSRPRLQCCRGYYESRGACVPLCAQECVHGRCVAPNQCQC APGWRGGDCSSECAPGMWGPQCDKFCHCGNNSSCDPKSGTCFCPSGLQPPNCLQPCPAGH YGPACQFDCQCYGASCDPQDGACFCPPGRAGPSCNVPCSQGTDGFFCPRTYPCQNGGVPQ GSQGSCSCPPGWMGVICSLPCPEGFHGPNCTQECRCHNGGLCDRFTGQCHCAPGYIGDRC QEECPVGRFGQDCAETCDCAPGARCFPANGACLCEHGFTGDRCTERLCPDGRYGLSCQEP CTCDPEHSLSCHPMHGECSCQPGWAGLHCNESCPQDTHGPGCQEHCLCLHGGLCLADSGL CRCAPGYTGPHCANLCPPDTYGINCSSRCSCENAIACSPIDGTCICKEGWQRGNCSVPCP LGTWGFNCNASCQCAHDGVCSPQTGACTCTPGWHGAHCQLPCPKGQFGEGCASVCDCDHS DGCDPVHGQCRCQAGWMGTRCHLPCPEGFWGANCSNTCTCKNGGTCVSENGNCVCAPGFR GPSCQRPCPPGRYGKRCVQCKCNNNHSSCHPSDGTCSCLAGWTGPDCSEACPPGHWGLKC SQLCQCHHGGTCHPQDGSCICTPGWTGPNCLEGCPPRMFGVNCSQLCQCDLGEMCHPETG ACVCPPGHSGADCKMGSQESFTIMPTSPVTHNSLGAVIGIAVLGTLVVALIALFIGYRQW QKGKEHEHLAVAYSTGRLDGSDYVMPDVSPSYSHYYSNPSYHTLSQCSPNPPPPNKVPGS QLFVSSQAPERPSRAHGRENHVTLPADWKHRREPHERGASHLDRSYSCSYSHRNGPGPFC HKGPISEEGLGASVMSLSSENPYATIRDLPSLPGEPRESGYVEMKGPPSVSPPRQSLHLR DRQQRQLQPQRDSGTYEQPSPLSHNEESLGSTPPLPPGLPPGHYDSPKNSHIPGHYDLPP VRHPPSPPSRRQDR >ENSMUSP00000088503.6 pep:known chromosome:GRCm38:3:87749097:87824306:-1 gene:ENSMUSG00000028073.15 transcript:ENSMUST00000090981.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pear1 description:platelet endothelial aggregation receptor 1 [Source:MGI Symbol;Acc:MGI:1920432] MPLCPLLLLALGLRLTGTLNSNDPNVCTFWESFTTTTKESHLRPFSLLPAESCHRPWEDP HTCAQPTVVYRTVYRQVVKMDSRPRLQCCRGYYESRGACVPLCAQECVHGRCVAPNQCQC APGWRGGDCSSECAPGMWGPQCDKFCHCGNNSSCDPKSGTCFCPSGLQPPNCLQPCPAGH YGPACQFDCQCYGASCDPQDGACFCPPGRAGPSCNVPCSQGTDGFFCPRTYPCQNGGVPQ GSQGSCSCPPGWMGVICSLPCPEGFHGPNCTQECRCHNGGLCDRFTGQCHCAPGYIGDRC QEECPVGRFGQDCAETCDCAPGARCFPANGACLCEHGFTGDRCTERLCPDGRYGLSCQEP CTCDPEHSLSCHPMHGECSCQPGWAGLHCNESCPQDTHGPGCQEHCLCLHGGLCLADSGL CRCAPGYTGPHCANLCPPDTYGINCSSRCSCENAIACSPIDGTCICKEGWQRGNCSVPCP LGTWGFNCNASCQCAHDGVCSPQTGACTCTPGWHGAHCQLPCPKGQFGEGCASVCDCDHS DGCDPVHGQCRCQAGWMGTRCHLPCPEGFWGANCSNTCTCKNGGTCVSENGNCVCAPGFR GPSCQRPCPPGRYGKRCVQCKCNNNHSSCHPSDGTCSCLAGWTGPDCSEACPPGHWGLKC SQLCQCHHGGTCHPQDGSCICTPGWTGPNCLEGCPPRMFGVNCSQLCQCDLGEMCHPETG ACVCPPGHSGADCKMGSQESFTIMPTSPVTHNSLGAVIGIAVLGTLVVALIALFIGYRQW QKGKEHEHLAVAYSTGRLDGSDYVMPDVSPSYSHYYSNPSYHTLSQCSPNPPPPNKVPGS QLFVSSQAPERPSRAHGRENHVTLPADWKHRREPHERGASHLDRSYSCSYSHRNGPGPFC HKGPISEEGLGASVMSLSSENPYATIRDLPSLPGEPRESGYVEMKGPPSVSPPRQSLHLR DRQQRQLQPQRDSGTYEQPSPLSHNEESLGSTPPLPPGLPPGHYDSPKNSHIPGHYDLPP VRHPPSPPSRRQDR >ENSMUSP00000126478.1 pep:known chromosome:GRCm38:7:23753911:23754852:1 gene:ENSMUSG00000090411.1 transcript:ENSMUST00000167551.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r174 description:vomeronasal 1 receptor 174 [Source:MGI Symbol;Acc:MGI:3033491] MSALNKALKTTEEVALQIILLCQVGIAAVANILLLVHNLSPNFAGPQLRPKHVILAHITV GNLLIILLTGFPSNMTVFVPRNPLNDLNCKLEYFIRLVARSANMCTTCILSSYQFIVLVP GKWSRVILRGNTSTFVHYSCNSCWIISVLNNVYIPIKISGPWNTDNNTDPNSKWVCSNSG FELVMVFLRFVHDAIFISIMVWTSVSMMLLLYRHHQSLKHIHRSNGSLGLQPEARAANTI LMLMITFVCFYLLDCICIFFHISFVHFRVWLRHVSEILAASFPTISPLMLIVRGPRKISA KGINTENAFINSH >ENSMUSP00000007253.5 pep:known chromosome:GRCm38:17:34931253:34935921:1 gene:ENSMUSG00000007038.5 transcript:ENSMUST00000007253.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu1 description:neuraminidase 1 [Source:MGI Symbol;Acc:MGI:97305] MVGADPTRPRGPLSYWAGRRGQGLAAIFLLLVSAAESEARAEDDFSLVQPLVTMEQLLWV SGKQIGSVDTFRIPLITATPRGTLLAFAEARKKSASDEGAKFIAMRRSTDQGSTWSSTAF IVDDGEASDGLNLGAVVNDVDTGIVFLIYTLCAHKVNCQVASTMLVWSKDDGISWSPPRN LSVDIGTEMFAPGPGSGIQKQREPGKGRLIVCGHGTLERDGVFCLLSDDHGASWHYGTGV SGIPFGQPKHDHDFNPDECQPYELPDGSVIINARNQNNYHCRCRIVLRSYDACDTLRPRD VTFDPELVDPVVAAGALATSSGIVFFSNPAHPEFRVNLTLRWSFSNGTSWQKERVQVWPG PSGYSSLTALENSTDGKKQPPQLFVLYEKGLNRYTESISMVKISVYGTL >ENSMUSP00000039724.7 pep:known chromosome:GRCm38:4:127169204:127237022:1 gene:ENSMUSG00000042388.14 transcript:ENSMUST00000046659.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap3 description:discs, large (Drosophila) homolog-associated protein 3 [Source:MGI Symbol;Acc:MGI:3039563] MRGYHGDRGSHPRPARFADQQHMDVGPAARAPYLLGSREAFSTEPRFCAPRAGLGHLSPE GPLSLSEGPSSVGPEGGPGGVGAGGGSSTFPRMYPGQGPFDTCEDCVGHPQGKGATRLPP TLLDQFEKQLPVQQDGFHTLPYQRGPAGPGPGPGSGAAPEARSESPSRIRHLVHSVQKLF AKSHSLEAPGKRDYNGPKADGRGSSGGDSYSGPGSGGTPTSHHHHHHHHHHHHQSRHGKR SKSKDRKGDGRHQTKATGWWSSDDNLDSDSGFLGGRPPGEPGGPFCLDAPDGSYRDLSFK GRSGGSEGRCLACTGMSMSLDGQSVKRSAWHTMMVSQGRDGYPGAGPGKGLLGPETKAKA RTYHYLQVPQDDWGGYPTGGKDGEIPCRRMRSGSYIKAMGDEESGDSDGSPKTSPKALAR RFASRRSSSVDTARINCCVPPRIHPRSSIPGYSRSLTTGQLSEEFNQQLEAVCGSVFGEL ESQAVDALDLPGCFRMRSHSYLRAIQAGCSQDDDCLPLLAAPASVSGRPGSSFNFRKAPP PIPPGSQAPPRISITAQSSTDSAHESFTAAEGPARRCSSADGLDGPTMGARTLELAPVPP RASPKPPTLIIKTIPGREELRSLARQRKWRPSIGVQVETISDSDTENRSRREFHSIGVQV EEDKRRARFKRSNSVTAGVQADLELEGLAGLATVATEDKALQFGRSFQRHASEPQPGPRA PTYSVFRTVHTQGQWAYREGYPLPYEPPATDGSPGPTPVPAPGPGSGRRDSWMERGSRSL PDSGRTSPCPRDGEWFIKMLRAEVEKLEHWCQQMEREAEDYELPEEILEKIRSAVGSTQL LLSQKVQQFFRLCQQSLDPTAFPVPTFQDLAGFWDLLQLSIEDVTLKFLELQQLKANSWK LLEPKEEKKVPPPIPKKPSRGRGVPVKERSLDSVDRQRQEARKRLLAAKRAASFRHSSAT ESADSIEIYIPEAQTRL >ENSMUSP00000101698.1 pep:known chromosome:GRCm38:4:127194613:127236368:1 gene:ENSMUSG00000042388.14 transcript:ENSMUST00000106092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap3 description:discs, large (Drosophila) homolog-associated protein 3 [Source:MGI Symbol;Acc:MGI:3039563] MRGYHGDRGSHPRPARFADQQHMDVGPAARAPYLLGSREAFSTEPRFCAPRAGLGHLSPE GPLSLSEGPSSVGPEGGPGGVGAGGGSSTFPRMYPGQGPFDTCEDCVGHPQGKGATRLPP TLLDQFEKQLPVQQDGFHTLPYQRGPAGPGPGPGSGAAPEARSESPSRIRHLVHSVQKLF AKSHSLEAPGKRDYNGPKADGRGSSGGDSYSGPGSGGTPTSHHHHHHHHHHHHQSRHGKR SKSKDRKGDGRHQTKATGWWSSDDNLDSDSGFLGGRPPGEPGGPFCLDAPDGSYRDLSFK GRSGGSEGRCLACTGMSMSLDGQSVKRSAWHTMMVSQGRDGYPGAGPGKGLLGPETKAKA RTYHYLQVPQDDWGGYPTGGKDGEIPCRRMRSGSYIKAMGDEESGDSDGSPKTSPKALAR RFASRRSSSVDTARINCCVPPRIHPRSSIPGYSRSLTTGQLSEEFNQQLEAVCGSVFGEL ESQAVDALDLPGCFRMRSHSYLRAIQAGCSQDDDCLPLLAAPASVSGRPGSSFNFRKAPP PIPPGSQAPPRISITAQSSTDSAHESFTAAEGPARRCSSADGLDGPTMGARTLELAPVPP RASPKPPTLIIKTIPGREELRSLARQRKWRPSIGVQVETISDSDTENRSRREFHSIGVQV EEDKRRARFKRSNSVTAGVQADLELEGLAGLATVATEDKALQFGRSFQRHASEPQPGPRA PTYSVFRTVHTQGQWAYREGYPLPYEPPATDGSPGPTPVPAPGPGSGRRDSWMERGSRSL PDSGRTSPCPRDGEWFIKMLRAEVEKLEHWCQQMEREAEDYELPEEILEKIRSAVGSTQL LLSQKVQQFFRLCQQSLDLAGFWDLLQLSIEDVTLKFLELQQLKANSWKLLEPKEEKKVP PPIPKKPSRGRGVPVKERSLDSVDRQRQEARKRLLAAKRAASFRHSSATESADSIEIYIP EAQTRL >ENSMUSP00000101700.2 pep:known chromosome:GRCm38:4:127172880:127237022:1 gene:ENSMUSG00000042388.14 transcript:ENSMUST00000106094.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap3 description:discs, large (Drosophila) homolog-associated protein 3 [Source:MGI Symbol;Acc:MGI:3039563] MRGYHGDRGSHPRPARFADQQHMDVGPAARAPYLLGSREAFSTEPRFCAPRAGLGHLSPE GPLSLSEGPSSVGPEGGPGGVGAGGGSSTFPRMYPGQGPFDTCEDCVGHPQGKGATRLPP TLLDQFEKQLPVQQDGFHTLPYQRGPAGPGPGPGSGAAPEARSESPSRIRHLVHSVQKLF AKSHSLEAPGKRDYNGPKADGRGSSGGDSYSGPGSGGTPTSHHHHHHHHHHHHQSRHGKR SKSKDRKGDGRHQTKATGWWSSDDNLDSDSGFLGGRPPGEPGGPFCLDAPDGSYRDLSFK GRSGGSEGRCLACTGMSMSLDGQSVKRSAWHTMMVSQGRDGYPGAGPGKGLLGPETKAKA RTYHYLQVPQDDWGGYPTGGKDGEIPCRRMRSGSYIKAMGDEESGDSDGSPKTSPKALAR RFASRRSSSVDTARINCCVPPRIHPRSSIPGYSRSLTTGQLSEEFNQQLEAVCGSVFGEL ESQAVDALDLPGCFRMRSHSYLRAIQAGCSQDDDCLPLLAAPASVSGRPGSSFNFRKAPP PIPPGSQAPPRISITAQSSTDSAHESFTAAEGPARRCSSADGLDGPTMGARTLELAPVPP RASPKPPTLIIKTIPGREELRSLARQRKWRPSIGVQVETISDSDTENRSRREFHSIGVQV EEDKRRARFKRSNSVTAGVQADLELEGLAGLATVATEDKALQFGRSFQRHASEPQPGPRA PTYSVFRTVHTQGQWAYREGYPLPYEPPATDGSPGPTPVPAPGPGSGRRDSWMERGSRSL PDSGRTSPCPRDGEWFIKMLRAEVEKLEHWCQQMEREAEDYELPEEILEKIRSAVGSTQL LLSQKVQQFFRLCQQSLDPTAFPVPTFQDLAGFWDLLQLSIEDVTLKFLELQQLKANSWK LLEPKEEKKVPPPIPKKPSRGRGVPVKERSLDSVDRQRQEARKRLLAAKRAASFRHSSAT ESADSIEIYIPEAQTRL >ENSMUSP00000042889.6 pep:known chromosome:GRCm38:1:181051237:181060667:1 gene:ENSMUSG00000038768.8 transcript:ENSMUST00000036819.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130409I23Rik description:RIKEN cDNA 9130409I23 gene [Source:MGI Symbol;Acc:MGI:3588271] MRKLASLEDAEWVYNDRLHNDRRREILAKYPEIKSLMKPDPNLIWIITIIILIQLALLYL VKDLEWKWVIFCAYVFGGSINHSLIIASHEISHNFPFGHRKALWNRWFGIFANLPIGIPC SISFKKYHTDHHRFLGVDGVDVGVPHYLEGWFFCTAYRKLVWIAFQPLFITFRLLFINRK PISYLEIINTVVQITFDIIVYYVFGVKSLVYMLVASMLGLGLHPISGNLIGDHYMFLKDQ ETFSYYGPLNLLTFNVGYHNEHHDFPNIPGKDLPLVRKIAAEYYDKLPHHISWIKVLYDF VMDNTISSYSRMKKRLPKRK >ENSMUSP00000001258.8 pep:known chromosome:GRCm38:17:56303321:56323486:1 gene:ENSMUSG00000001228.14 transcript:ENSMUST00000001258.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhrf1 description:ubiquitin-like, containing PHD and RING finger domains, 1 [Source:MGI Symbol;Acc:MGI:1338889] MWIQVRTMDGKETHTVNSLSRLTKVQELRKKIEEVFHVEPQLQRLFYRGKQMEDGHTLFD YDVRLNDTIQLLVRQSLALPLSTKERDSELSDSDSGYGVGHSESDKSSTHGEGAAEADDK TVWEDTDLGLYKVNEYVDVRDNIFGAWFEAQVVQVQKRALSEDEPCSSSAVKTSEDDIMY HVKYDDYPEHGVDIVKAKNVRARARTVIPWENLEVGQVVMANYNVDYPRKRGFWYDVEIC RKRQTRTARELYGNIRLLNDSQLNNCRIMFVDEVLMIELPKERRPLIASPSQPPPALRNT GKSGPSCRFCKDDENKPCRKCACHVCGGREAPEKQLLCDECDMAFHLYCLKPPLTSVPPE PEWYCPSCRTDSSEVVQAGEKLKESKKKAKMASATSSSRRDWGKGMACVGRTTECTIVPA NHFGPIPGVPVGTMWRFRVQVSESGVHRPHVAGIHGRSNDGAYSLVLAGGYEDDVDNGNY FTYTGSGGRDLSGNKRTAGQSSDQKLTNNNRALALNCHSPINEKGAEAEDWRQGKPVRVV RNMKGGKHSKYAPAEGNRYDGIYKVVKYWPERGKSGFLVWRYLLRRDDTEPEPWTREGKD RTRQLGLTMQYPEGYLEALANKEKSRKRPAKALEQGPSSSKTGKSKQKSTGPTLSSPRAS KKSKLEPYTLSEQQANLIKEDKGNAKLWDDVLTSLQDGPYQIFLSKVKEAFQCICCQELV FRPVTTVCQHNVCKDCLDRSFRAQVFSCPACRFELDHSSPTRVNQPLQTILNQLFPGYGS GR >ENSMUSP00000108661.1 pep:known chromosome:GRCm38:17:56303400:56323486:1 gene:ENSMUSG00000001228.14 transcript:ENSMUST00000113038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhrf1 description:ubiquitin-like, containing PHD and RING finger domains, 1 [Source:MGI Symbol;Acc:MGI:1338889] MWIQVRTMDGKETHTVNSLSRLTKVQELRKKIEEVFHVEPQLQRLFYRGKQMEDGHTLFD YDVRLNDTIQLLVRQSLALPLSTKERDSELSDSDSGYGVGHSESDKSSTHGEGAAEADDK TVWEDTDLGLYKVNEYVDVRDNIFGAWFEAQVVQVQKRALSEDEPCSSSAVKTSEDDIMY HVKYDDYPEHGVDIVKAKNVRARARTVIPWENLEVGQVVMANYNVDYPRKRGFWYDVEIC RKRQTRTARELYGNIRLLNDSQLNNCRIMFVDEVLMIELPKERRPLIASPSQRKSGPSCR FCKDDENKPCRKCACHVCGGREAPEKQLLCDECDMAFHLYCLKPPLTSVPPEPEWYCPSC RTDSSEVVQAGEKLKESKKKAKMASATSSSRRDWGKGMACVGRTTECTIVPANHFGPIPG VPVGTMWRFRVQVSESGVHRPHVAGIHGRSNDGAYSLVLAGGYEDDVDNGNYFTYTGSGG RDLSGNKRTAGQSSDQKLTNNNRALALNCHSPINEKGAEAEDWRQGKPVRVVRNMKGGKH SKYAPAEGNRYDGIYKVVKYWPERGKSGFLVWRYLLRRDDTEPEPWTREGKDRTRQLGLT MQYPEGYLEALANKEKSRKRPAKALEQGPSSSKTGKSKQKSTGPTLSSPRASKKSKLEPY TLSEQQANLIKEDKGNAKLWDDVLTSLQDGPYQIFLSKVKEAFQCICCQELVFRPVTTVC QHNVCKDCLDRSFRAQVFSCPACRFELDHSSPTRVNQPLQTILNQLFPGYGSGR >ENSMUSP00000108658.1 pep:known chromosome:GRCm38:17:56304313:56323486:1 gene:ENSMUSG00000001228.14 transcript:ENSMUST00000113035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhrf1 description:ubiquitin-like, containing PHD and RING finger domains, 1 [Source:MGI Symbol;Acc:MGI:1338889] MWIQVRTMDGKETHTVNSLSRLTKVQELRKKIEEVFHVEPQLQRLFYRGKQMEDGHTLFD YDVRLNDTIQLLVRQSLALPLSTKERDSELSDSDSGYGVGHSESDKSSTHGEGAAEADDK TVWEDTDLGLYKVNEYVDVRDNIFGAWFEAQVVQVQKRALSEDEPCSSSAVKTSEDDIMY HVKYDDYPEHGVDIVKAKNVRARARTVIPWENLEVGQVVMANYNVDYPRKRGFWYDVEIC RKRQTRTARELYGNIRLLNDSQLNNCRIMFVDEVLMIELPKERRPLIASPSQRKSGPSCR FCKDDENKPCRKCACHVCGGREAPEKQLLCDECDMAFHLYCLKPPLTSVPPEPEWYCPSC RTDSSEVVQAGEKLKESKKKAKMASATSSSRRDWGKGMACVGRTTECTIVPANHFGPIPG VPVGTMWRFRVQVSESGVHRPHVAGIHGRSNDGAYSLVLAGGYEDDVDNGNYFTYTGSGG RDLSGNKRTAGQSSDQKLTNNNRALALNCHSPINEKGAEAEDWRQGKPVRVVRNMKGGKH SKYAPAEGNRYDGIYKVVKYWPERGKSGFLVWRYLLRRDDTEPEPWTREGKDRTRQLGLT MQYPEGYLEALANKEKSRKRPAKALEQGPSSSKTGKSKQKSTGPTLSSPRASKKSKLEPY TLSEQQANLIKEDKGNAKLWDDVLTSLQDGPYQIFLSKVKEAFQCICCQELVFRPVTTVC QHNVCKDCLDRSFRAQVFSCPACRFELDHSSPTRVNQPLQTILNQLFPGYGSGR >ENSMUSP00000108662.2 pep:known chromosome:GRCm38:17:56304348:56323486:1 gene:ENSMUSG00000001228.14 transcript:ENSMUST00000113039.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhrf1 description:ubiquitin-like, containing PHD and RING finger domains, 1 [Source:MGI Symbol;Acc:MGI:1338889] MWIQVRTMDGKETHTVNSLSRLTKVQELRKKIEEVFHVEPQLQRLFYRGKQMEDGHTLFD YDVRLNDTIQLLVRQSLALPLSTKERDSELSDSDSGYGVGHSESDKSSTHGEGAAEADDK TVWEDTDLGLYKVNEYVDVRDNIFGAWFEAQVVQVQKRALSEDEPCSSSAVKTSEDDIMY HVKYDDYPEHGVDIVKAKNVRARARTVIPWENLEVGQVVMANYNVDYPRKRGFWYDVEIC RKRQTRTARELYGNIRLLNDSQLNNCRIMFVDEVLMIELPKERRPLIASPSQPPPALRNT GKSGPSCRFCKDDENKPCRKCACHVCGGREAPEKQLLCDECDMAFHLYCLKPPLTSVPPE PEWYCPSCRTDSSEVVQAGEKLKESKKKAKMASATSSSRRDWGKGMACVGRTTECTIVPA NHFGPIPGVPVGTMWRFRVQVSESGVHRPHVAGIHGRSNDGAYSLVLAGGYEDDVDNGNY FTYTGSGGRDLSGNKRTAGQSSDQKLTNNNRALALNCHSPINEKGAEAEDWRQGKPVRVV RNMKGGKHSKYAPAEGNRYDGIYKVVKYWPERGKSGFLVWRYLLRRDDTEPEPWTREGKD RTRQLGLTMQYPEGYLEALANKEKSRKRPAKALEQGPSSSKTGKSKQKSTGPTLSSPRAS KKSKLEPYTLSEQQANLIKEDKGNAKLWDDVLTSLQDGPYQIFLSKVKEAFQCICCQELV FRPVTTVCQHNVCKDCLDRSFRAQVFSCPACRFELDHSSPTRVNQPLQTILNQLFPGYGS GR >ENSMUSP00000125830.1 pep:known chromosome:GRCm38:17:56304407:56310719:1 gene:ENSMUSG00000001228.14 transcript:ENSMUST00000142387.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhrf1 description:ubiquitin-like, containing PHD and RING finger domains, 1 [Source:MGI Symbol;Acc:MGI:1338889] MWIQVRTMDGKETHTVNSLSRLTKVQELRKKIEEVFHVEPQLQRLFYRGKQMEDGHTLFD YDVRLNDTIQLLVRQSLALPLSTKERDSELSDSDSGYGVGHSESDKSSTHGEGAAEADDK TVWEDTDLGLYKVNEYVDVRDNIFGA >ENSMUSP00000086157.3 pep:known chromosome:GRCm38:X:53669177:53670408:-1 gene:ENSMUSG00000067924.4 transcript:ENSMUST00000088778.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxx1b description:CAAX box 1B [Source:MGI Symbol;Acc:MGI:3576504] MEGQGKVKRPKAYMLRHNRRRRRRNPIPFPELFDGEMDKLPEFIVQTGSYMLVDDRTFDT DELKVTFLITRLKGRALQWVIPYIKIDSPLLNDYNGFLNEMKRVFGWEEDEDF >ENSMUSP00000126357.1 pep:known chromosome:GRCm38:7:23808286:23809200:-1 gene:ENSMUSG00000095632.1 transcript:ENSMUST00000166141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r175 description:vomeronasal 1 receptor 175 [Source:MGI Symbol;Acc:MGI:3648367] MLLQNKSVKTTEEVALQVLLLCQVGFGTAVNIFLIVHNLSPILNGSQQRPIKVILANLAV GNTLILLFAFPNNMTLFVPKDPPTDLKCKLGYFIWLVARSTNMCSTCFLSTYQLVTLAPG TWGRVMLRGRAPKFVRYICYSCWLFSVLNNAHIPMKVNGPQKTHNDTNTKNKWVCSTTGF SIGMRILSFAHDAVFISIIIWSSVSMVILLNRHHQRLQHIQSTNQKLRVHAETRASHTIL LLVVTFVTCYLLDCICTVCNISFLDSRVWLRRVKQILDVSFPTFSPLLLIFRDPKDPCSL LFCC >ENSMUSP00000124956.1 pep:known chromosome:GRCm38:17:65782573:65803912:1 gene:ENSMUSG00000061950.17 transcript:ENSMUST00000161324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r1 description:protein phosphatase 4, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1917601] MLTPLGRLDKYAASENVFNRQMVARSLLDTLREVCDD >ENSMUSP00000123862.1 pep:known chromosome:GRCm38:17:65782780:65813465:1 gene:ENSMUSG00000061950.17 transcript:ENSMUST00000161127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r1 description:protein phosphatase 4, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1917601] MLTPLGRLDKYAASENVFNRQMVARSLLDTLREVCDDERDCIAVLERISRLADDSEPTVR AELMEQVPHIALFCQENRPSIPYAFSKYLLPIVVRYLADQNNQVRKTSQAALLALLEQEL IERFDVETKVCPVLIDLTAPDSNDDVKTEAVAIM >ENSMUSP00000072848.4 pep:known chromosome:GRCm38:17:65783355:65841926:1 gene:ENSMUSG00000061950.17 transcript:ENSMUST00000073104.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r1 description:protein phosphatase 4, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1917601] MADLSLLQEDLPEDADGLDVDDYSSESDVIIIPSALDFVSQDEMLTPLGRLDKYAASENV FNRQMVARSLLDTLREVCDDERDCIAVLERISRLADDSEPTVRAELMEQVPHIALFCQEN RPSIPYAFSKYLLPIVVRYLADQNNQVRKTSQAALLALLEQELIERFDVETKVCPVLIDL TAPDSNDDVKTEAVAIMCKMAPMVGKDITERLILPRFCEMCCDCRMFHVRKVCAANFGDI CSVVGQQATEEMLLPRFFQLCSDNVWGVRKACAECFMAVSCATCQEIRRTKLSALFINLI SDPSRWVRQAAFQSLGPFISTFANPSSSGQCFKDESKNSEDSSAEDKDRMRDNDVVEEEH RRPEDAPSDLSAPHSSARLESLEGCAAKTPGHSAGDVPAPVDSSLLCTLSSESPQEAASN DENGRKPDTNSKSASRPDAGTSSPEATPLDQDMFNSFHFWRTPLPKIDLDKELQQDPEER LSPERTGDVPAAPLPGPPNITMATRKELEEMIENLEPHMDDPDVKAQVDVLSAALRASSL DAHEETGGVEQRSELQDEVCVSELPDCNISHDTCVPLISAAEENAEATPDYVHGGADVSP GDGFSPDEDRRPKVQDVVPQALLDQYLSMTDPSRAQTVDTEIAKHCAYSLPGVALTLGRQ NWHCLRETYETLASDMQWKVRRTLAFSIHELAVILGDQLTAADLVPIFNGFLKDLDEVRI GVLKHLHDFLKLLHIDKRREYLYQLQEFLVTDNSRNWRFRAELAEQLILLLELYSPRDVY DYLRPIALNLCADKVSSVRWISYKLVSEMVKKLHTATPPTFGVDLINELVENFGRCPKWS GRQAFVFVCQTVIEDDCLPMDQFAVHLMPHLLTLANDRVPNVRVLLAKTLRQTLLEKEYF LASASCHQEAVEQTIMALQMDRDSDVKYFASIHPSSTKLSEDAMSTASSTY >ENSMUSP00000124690.1 pep:known chromosome:GRCm38:17:65783366:65841922:1 gene:ENSMUSG00000061950.17 transcript:ENSMUST00000160664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r1 description:protein phosphatase 4, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1917601] MADLSLLQEDLPEDADGPLDFVSQDEMLTPLGRLDKYAASENVFNRQMVARSLLDTLREV CDDERDCIAVLERISRLADDSEPTVRAELMEQVPHIALFCQENRPSIPYAFSKYLLPIVV RYLADQNNQVRKTSQAALLALLEQELIERFDVETKVCPVLIDLTAPDSNDDVKTEAVAIM CKMAPMVGKDITERLILPRFCEMCCDCRMFHVRKVCAANFGDICSVVGQQATEEMLLPRF FQLCSDNVWGVRKACAECFMAVSCATCQEIRRTKLSALFINLISDPSRWVRQAAFQSLGP FISTFANPSSSGQCFKDESKNSEDSSAEDKDRMRDNDVVEEEHRRPEDAPSDLSAPHSSA RLESLEGCAAKTPGHSAGDVPAPVDSSLLCTLSSESPQEAASNDENGRKPDTNSKSASRP DAGTSSPEATPLDQDMFNSFHFWRTPLPKIDLDKELQQDPEERLSPERTGDVPAAPLPGP PNITMATRKELEEMIENLEPHMDDPDVKAQVDVLSAALRASSLDAHEETGGVEQRSELQD EVCVSELPDCNISHDTCVPLISAAEENAEATPDYVHGGADVSPGDGFSPDEDRRPKVQDV VPQALLDQYLSMTDPSRAQTVDTEIAKHCAYSLPGVALTLGRQNWHCLRETYETLASDMQ WKVRRTLAFSIHELAVILGDQLTAADLVPIFNGFLKDLDEVRIGVLKHLHDFLKLLHIDK RREYLYQLQEFLVTDNSRNWRFRAELAEQLILLLELYSPRDVYDYLRPIALNLCADKVSS VRWISYKLVSEMVKKLHTATPPTFGVDLINELVENFGRCPKWSGRQAFVFVCQTVIEDDC LPMDQFAVHLMPHLLTLANDRVPNVRVLLAKTLRQTLLEKEYFLASASCHQEAVEQTIMA LQMDRDSDVKYFASIHPSSTKLSEDAMSTASSTY >ENSMUSP00000125220.1 pep:known chromosome:GRCm38:17:65783416:65841921:1 gene:ENSMUSG00000061950.17 transcript:ENSMUST00000162109.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp4r1 description:protein phosphatase 4, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1917601] MADLSLLQEDLPEDADGLDVDDYSSESDVIIIPSALDFVSQDEMLTPLGRLDKYAASENV FNRQMVARSLLDTLREVCDDERDCIAVLERISRLADDSEPTVRAELMEQVPHIALFCQEN RPSIPYAFSKYLLPIVVRYLADQNNQVRKTSQAALLALLEQELIERFDVETKVCPVLIDL TAPDSNDDVKTEAVAIMCKMAPMVGKDITERLILPRFCEMCCDCRMFHVRKVCAANFGDI CSVVGQQATEEMLLPRFFQLCSDNVWGVRKACAECFMAVSCATCQEIRRTKLSALFINLI SDPSRWVRQAAFQSLGPFISTFANPSSSGQCFKDESKNSEDSSAEDKDSTGGRAVGCPAS FQPGCSRRDWWCRAAE >ENSMUSP00000124227.2 pep:known chromosome:GRCm38:17:65783599:65813691:1 gene:ENSMUSG00000061950.17 transcript:ENSMUST00000162272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r1 description:protein phosphatase 4, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1917601] MLTPLGRLDKYAASENVFNRQMVARSLLDTLREVCDDERDCIAVLERISRLADDSEPTVR AELMEQVPHIALFCQENRPSIPYAFSKYLLPIVVRYLADQNNQVRKTSQAALLALLEQEL IERFDVETKVCPVLIDLTAPDSNDDVKTEAVAIMCKMAPMVGKDITERLILPRFCEMCCD CRMFHVRKV >ENSMUSP00000123796.1 pep:known chromosome:GRCm38:17:65824533:65833139:1 gene:ENSMUSG00000061950.17 transcript:ENSMUST00000159646.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp4r1 description:protein phosphatase 4, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1917601] XTSSPEATPLDQDMFNSFHFWRTPLPKIDLDKELQQDPEERLSPERTGDVPAAPLPGPPN ITMATRKELEEMIENLEPHMDDPDVKAQVDVLSAALRASSLDAHEETGGVEQRSELQDEV CVSELPDCNISHDTCVPLISAAEEVLCF >ENSMUSP00000124884.1 pep:known chromosome:GRCm38:17:65837890:65838981:1 gene:ENSMUSG00000061950.17 transcript:ENSMUST00000162703.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r1 description:protein phosphatase 4, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1917601] VSEMVKKLHTATPPTFGVDLINELVENFGRCPKWSGRQAFVFVCQTVIEDDCLPMDQFAV HLMPHLLTLANDRVPNVRVLLAKTLRQTLLEKGGWCW >ENSMUSP00000094318.3 pep:known chromosome:GRCm38:5:135064202:135065862:1 gene:ENSMUSG00000061118.8 transcript:ENSMUST00000071263.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc30 description:DnaJ heat shock protein family (Hsp40) member C30 [Source:MGI Symbol;Acc:MGI:1913364] MAAARCLGWTLSPLWRWWQVRGLPPSSATGLCSRGRTYSRTALYELLGVPSTATQAQIKA AYYRQSFLYHPDRNPGSAEAAERFTRVSEAYLVLGSTILRRKYDRGLLSDQDLRGPGVKP SKTPVADPAPPRPPPYTPRAPGGSRASPGDGRTMFDFDAFYQAHYGEQLERERRLRARRE ALRKKQENQANKGTSWDDTRDATFFVVLFLIFVFVGFRI >ENSMUSP00000018399.2 pep:known chromosome:GRCm38:11:100011195:100016212:-1 gene:ENSMUSG00000035592.2 transcript:ENSMUST00000018399.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt33a description:keratin 33A [Source:MGI Symbol;Acc:MGI:1919138] MPYNCCLPAMSCRTSCSSRPCVPPSCHGCTLPGACNIPANVGNCNWFCEGSFNGNEKETM QFLNDRLASYMEKVRQLERENAELECRIQERNQQQDPLVCPAYQAYFRTIEELQQKILCG KSENARLVVQIDNAKLASDDFRTKYETELSLRQLVEADINSLRRILDELTLCKSDLEAQV ESLKEELLCLKQNHEQEVNTLRCQLGDRLNVEVDAAPTVDLNRVLNETRCQYEALVETNR REVEEWYTTQTEELNKQVVSSSEQLQSCQAEIIELRRTVNALEIELQAQHELRNSLENTL TESEARYSSQLSQVQCLITNVESQLGEIRADLERQNQEYQVLLDIRSRLECEINTYRGLL ESEDCKLPCNPCATTNACDKPIGPCVPNPCVTRPRCGPCNTFVR >ENSMUSP00000040935.4 pep:known chromosome:GRCm38:6:6956004:7042979:1 gene:ENSMUSG00000042505.6 transcript:ENSMUST00000040826.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdhaf3 description:succinate dehydrogenase complex assembly factor 3 [Source:MGI Symbol;Acc:MGI:1913288] MPGKHVSRVRALYRRILLLHRALPPDLKALGDQYVKDEFRRHKTVGPGEAQRFLKEWETY AAVLWQQAEDSRQSSTGKACFGTSLPEEKLNDFRDEQIGQLQELMQEATKPNRQFSITES TKPQL >ENSMUSP00000133099.1 pep:known chromosome:GRCm38:4:132530555:132533488:-1 gene:ENSMUSG00000054428.12 transcript:ENSMUST00000152993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atpif1 description:ATPase inhibitory factor 1 [Source:MGI Symbol;Acc:MGI:1196457] MDTGAGSIREAGGAFGKREKAEEDRYFREKTKEQLAALRKHHEDEIDHHSKEIERLQKQI ERHKKKIQQLKNNH >ENSMUSP00000064282.6 pep:known chromosome:GRCm38:4:132530555:132533659:-1 gene:ENSMUSG00000054428.12 transcript:ENSMUST00000067496.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atpif1 description:ATPase inhibitory factor 1 [Source:MGI Symbol;Acc:MGI:1196457] MAGSALAVRARFGVWGMKVLQTRGFVSDSSDSMDTGAGSIREAGGAFGKREKAEEDRYFR EKTKEQLAALRKHHEDEIDHHSKEIERLQKQIERHKKKIQQLKNNH >ENSMUSP00000022097.5 pep:known chromosome:GRCm38:13:73319874:73328542:-1 gene:ENSMUSG00000021606.7 transcript:ENSMUST00000022097.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs6 description:NADH dehydrogenase (ubiquinone) Fe-S protein 6 [Source:MGI Symbol;Acc:MGI:107932] MAAVLTFRRLLTLPRAARGFGVQVSPSGEKITHTGQVYDEKDYRRVRFVDRQKEVNENFA IDLIAQQPVNEVEHRIIACDGGGGALGHPKVYINLDKETKTGTCGYCGLQFKQHHH >ENSMUSP00000119457.1 pep:known chromosome:GRCm38:13:73319879:73328452:-1 gene:ENSMUSG00000021606.7 transcript:ENSMUST00000147407.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufs6 description:NADH dehydrogenase (ubiquinone) Fe-S protein 6 [Source:MGI Symbol;Acc:MGI:107932] MAAVLTFRRLLTLPRAARGFGVQVSPSGEKITHTGQVTAAPAMPGTQD >ENSMUSP00000120897.1 pep:known chromosome:GRCm38:16:18498823:18552388:1 gene:ENSMUSG00000000884.16 transcript:ENSMUST00000139625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1l description:guanine nucleotide binding protein (G protein), beta polypeptide 1-like [Source:MGI Symbol;Acc:MGI:1338057] MDSVQLDSVGFCRGSILVRGQQCWMLAVPGKGSDEVQILEMPSKTSVCTLKPEADARPGM PMCLGLWQTNSSLRP >ENSMUSP00000114875.1 pep:known chromosome:GRCm38:16:18498886:18552438:1 gene:ENSMUSG00000000884.16 transcript:ENSMUST00000149035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1l description:guanine nucleotide binding protein (G protein), beta polypeptide 1-like [Source:MGI Symbol;Acc:MGI:1338057] MDSVQLDSVGFCRGSILVRGQQCWMLAVPGKGSDEVQILEMPSKTSVCTLKPEADARPGM PMCLGLWQDGSVTLWDIS >ENSMUSP00000000904.7 pep:known chromosome:GRCm38:16:18498886:18566627:1 gene:ENSMUSG00000000884.16 transcript:ENSMUST00000000904.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gnb1l description:guanine nucleotide binding protein (G protein), beta polypeptide 1-like [Source:MGI Symbol;Acc:MGI:1338057] MAALFPPPPGPRFVLRGTQSAVNTLHFCPPSQAAGNPLLFSGSQNGLVHIWSLQTRRIVT TLNGHGGQGVIWLKTLPQGHQLLSQGRDLRLCLWDLEEGRNTIMDSVQLDSVGFCRGSIL VRGQQCWMLAVPGKGSDENQPSPCWQSTGTRRGQ >ENSMUSP00000087544.4 pep:known chromosome:GRCm38:16:18498888:18566679:1 gene:ENSMUSG00000000884.16 transcript:ENSMUST00000090086.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1l description:guanine nucleotide binding protein (G protein), beta polypeptide 1-like [Source:MGI Symbol;Acc:MGI:1338057] MAALFPPPPGPRFVLRGTQSAVNTLHFCPPSQAAGNPLLFSGSQNGLVHIWSLQTRRIVT TLNGHGGQGVIWLKTLPQGHQLLSQGRDLRLCLWDLEEGRNTIMDSVQLDSVGFCRGSIL VRGQQCWMLAVPGKGSDEVQILEMPSKTSVCTLKPEADARPGMPMCLGLWQTNSSLRPLL LAGYEDGSVTLWDISERKVCSQITCHEEPVMGLDFDSQKAKGISGSAGKVLAVWSLDDQQ SLQVKKTHELTNPGIAEVTIRPDHKILATAGWDHRIRVFHWRTMKPLAVLAFHSAPVYCV AFAADGLLAAGSKDQRISIWSLYPCP >ENSMUSP00000111264.1 pep:known chromosome:GRCm38:16:18498888:18566679:1 gene:ENSMUSG00000000884.16 transcript:ENSMUST00000115601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1l description:guanine nucleotide binding protein (G protein), beta polypeptide 1-like [Source:MGI Symbol;Acc:MGI:1338057] MAALFPPPPGPRFVLRGTQSAVNTLHFCPPSQAAGNPLLFSGSQNGLVHIWSLQTRRIVT TLNGHGGQGVIWLKTLPQGHQLLSQGRDLRLCLWDLEEGRNTIMDSVQLDSVGFCRGSIL VRGQQCWMLAVPGKGSDEVQILEMPSKTSVCTLKPEADARPGMPMCLGLWQDGSVTLWDI SERKVCSQITCHEEPVMGLDFDSQKAKGISGSAGKVLAVWSLDDQQSLQVKKTHELTNPG IAEVTIRPDHKILATAGWDHRIRVFHWRTMKPLAVLAFHSAPVYCVAFAADGLLAAGSKD QRISIWSLYPCP >ENSMUSP00000123200.1 pep:known chromosome:GRCm38:16:18498897:18544225:1 gene:ENSMUSG00000000884.16 transcript:ENSMUST00000147739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1l description:guanine nucleotide binding protein (G protein), beta polypeptide 1-like [Source:MGI Symbol;Acc:MGI:1338057] MAALFPPPPGPRFVLRGTQSAVNTLHFCPPSQAAGNPLLFSGSQNGLVHIWSLQTRRIVT TLNGHGGQGVIWLKTLPQGHQLLSQGRDLRLCLWDLEEGRNTIMDSVQLDSVGFCRGSIL VR >ENSMUSP00000111263.3 pep:known chromosome:GRCm38:16:18498902:18564538:1 gene:ENSMUSG00000000884.16 transcript:ENSMUST00000115600.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gnb1l description:guanine nucleotide binding protein (G protein), beta polypeptide 1-like [Source:MGI Symbol;Acc:MGI:1338057] MAALFPPPPGPRFVLRGTQSAVNTLHFCPPSQAAGNPLLFSGSQNGLVHIWSLQTRRIVT TLNGHGGQGVIWLKTLPQGHQLLSQGRDLRLCLWDLEEGRNTIMDSVQLDSVGFCRGSIL VRGQQCWMLAVPGKGSDEVQILEMPSKTSVCTLKPEADARPGMPMCLGLWQESQKPQCPC LNWYRRGGGKVITGYCLF >ENSMUSP00000130371.1 pep:known chromosome:GRCm38:16:18498768:18566679:1 gene:ENSMUSG00000000884.16 transcript:ENSMUST00000167778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb1l description:guanine nucleotide binding protein (G protein), beta polypeptide 1-like [Source:MGI Symbol;Acc:MGI:1338057] MAALFPPPPGPRFVLRGTQSAVNTLHFCPPSQAAGNPLLFSGSQNGLVHIWSLQTRRIVT TLNGHGGQGVIWLKTLPQGHQLLSQGRDLRLCLWDLEEGRNTIMDSVQLDSVGFCRGSIL VRGQQCWMLAVPGKGSDEVQILEMPSKTSVCTLKPEADARPGMPMCLGLWQTNSSLRPLL LAGYEDGSVTLWDISERKVCSQITCHEEPVMGLDFDSQKAKGISGSAGKVLAVWSLDDQQ SLQVKKTHELTNPGIAEVTIRPDHKILATAGWDHRIRVFHWRTMKPLAVLAFHSAPVYCV AFAADGLLAAGSKDQRISIWSLYPCP >ENSMUSP00000026723.8 pep:known chromosome:GRCm38:X:52988137:53021659:1 gene:ENSMUSG00000025630.8 transcript:ENSMUST00000026723.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hprt description:hypoxanthine guanine phosphoribosyl transferase [Source:MGI Symbol;Acc:MGI:96217] MPTRSPSVVISDDEPGYDLDLFCIPNHYAEDLEKVFIPHGLIMDRTERLARDVMKEMGGH HIVALCVLKGGYKFFADLLDYIKALNRNSDRSIPMTVDFIRLKSYCNDQSTGDIKVIGGD DLSTLTGKNVLIVEDIIDTGKTMQTLLSLVKQYSPKMVKVASLLVKRTSRSVGYRPDFVG FEIPDKFVVGYALDYNEYFRDLNHVCVISETGKAKYKA >ENSMUSP00000127120.1 pep:known chromosome:GRCm38:6:98235708:98342754:-1 gene:ENSMUSG00000090667.2 transcript:ENSMUST00000164491.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm765 description:predicted gene 765 [Source:MGI Symbol;Acc:MGI:2685611] MSEIELEKIKVRTAEHFENGKNNLPWLKEDTQLTNEKHADEKPINAIVINSVSEFSITDG PAQETPNEKNLSGSSTSLSSLEECQTKFPYLQTNTSVHRRDADEECASLVLTCLFCQFLD CLFMLPDTCETVCINLCCPSHRYYSASDENHPHNDCNLTCDVDCSLFESCHETSECLELA MEISEICYR >ENSMUSP00000030081.1 pep:known chromosome:GRCm38:4:59905126:59915056:-1 gene:ENSMUSG00000028386.1 transcript:ENSMUST00000030081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc46a2 description:solute carrier family 46, member 2 [Source:MGI Symbol;Acc:MGI:1353616] MGPGGTCPWSSRLSGFRVRTWIEPVVASTQVAGSLYDAGLLLVVKESFKSEAGGSSNYSA NQSLVEYQEDQQQKAISNFNIIYNLVLGLTPLLSAYGLGWLSDRYHRKISICTAMLGFLL SRIGLLLKVMLDWPVEVMYGAAALNGLCGSFSAYWSGVMALGSLGCSEGRRSVRLILIDL VLGLAGFSGSMASGHLFKQIVGHSAQGLLLTACSVGCAAFALFYSLFVLKVPESKPNKVH PTVDTVSGMMGTYRTLDPDQQDKQNVPRNPRTPGKGKSSQREVVALLFVGAIIYDLAAVG TVDVMALFVLKEPLHWNQVQLGYGMASGYIIFITSFLGVLVFSRCFRDTTMIIIGMLSFG SGALLLAFVKETYMFYIARAIMLFALIPITTIRSAMSKLIKDSSYGKIFVILQLCLTLTG VVTSTIYNKIYQLTLDKFIGTCFVLSSFLSFLAIVPIGVVAYKQVPRSQQGECAEKQRS >ENSMUSP00000143511.1 pep:known chromosome:GRCm38:3:148815583:148828548:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000198139.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] XITLCKMVKHSNTLKPDSSRLENINNYRVCDGYYNTDLPGSWVLGAFALLCLLGLTWSFG LLFVNEETVVMAYLFTAFNAFQGLFIFIFHCALQKKVRKEYGKCFRHWYCCGGLPTESPH SSVKASTTRTSARYSSGTQSRIRRMWNDTVRKQSESSFISGDINSTSTLNQGMTGNYLLT NPLLRPHGTNNPYNTLLAETVVCNAPSAPAFNSPATYRETRHSLNNARDTSAMDTLPLNG NFNNSYSLRKADYHDGVQVVDCGLSLNDTAFEKMIISELVHNNLRGGNKTHNLELKLPVK PVIGGSSSEDDAIVADASSLMHGDNPGLEFRHKELEAPLIPQRTHSLLYQPQKKVKPEAT DSYVSQLTAEADDHLQSPNRDSLYTSMPNLRDSPYPESSPDMAEDLSPSRRSENEDIYYK SMPNLGAGRHLHMCYQISRGNSDGYIIPINKEGCIPEGDVREGQMQLVTSL >ENSMUSP00000142505.1 pep:known chromosome:GRCm38:3:148815855:148823771:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000200216.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] XPTESPHSSVKASTTRTSARYSSGTQSRIRRMWNDTVRKQSESSFISGDINSTSTLNQGL TSHGPRAHLQDLYHLEDIH >ENSMUSP00000143626.1 pep:known chromosome:GRCm38:3:148816076:148954658:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000197567.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MVSSGCRMRSLWFIIIISFSPSTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY KYLEVQYECVPYMEQKVFVCPGTLKAIVDSPCIYEAEQKSGAWCKDPLQAADKIYFMPWT PYRTDTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRT RIKSGEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTL RFEATWETAYDKRAASNAFMICGVLYVVRSVYQDNESEAGKNTIDYIYNTRLSRGEYVDV PFPNQYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAELFKT TISTTSSASQRGPVSSTAAGPQDGSRGTKPPPAVSTTKIPPVTNIFPLPERFCEALDWKG IKWPQTQRGMMVERPCPKGTRGTASYLCMASTGTWNPKGPDLSNCTSHWVNQLAQKIRSG ENAASLANELAKHTKGHVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKLQ KREKTCRAYLKAIVDTVDNLLRAEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLL EPTRVSMPTENIVLEVAVLSTEGQVQDFKFPLGLKGLGSSIQLSANTVKQNSRNGLAKLV FIIYRSLGQFLSTENATIKLGADLMGRNSTIAVNSPVISVSINKESSRVYLTDPVLFTLP HIDPDNYFNANCSFWNYSERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREI AYKDGVHHLLLTVITWVGIVVSLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFI FLIGIDKTKYTIACPVFAGLLHFFFLAAFSWMCLEGVQLYLMLVEVFESEYSRKKYYYVA GYLFPATVVGVSAAIDYKSYGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKM VKHSNTLKPDSSRLENINNYRVCDGYYNTDLPGYEDNKPFIKSWVLGAFALLCLLGLTWS FGLLFVNEETVVMAYLFTAFNAFQGLFIFIFHCALQKKVRKEYGKCFRHWYCCGGLPTES PHSSVKASTTRTSARYSSGTQSRIRRMWNDTVRKQSESSFISGDINSTSTLNQGMTGNYL LTNPLLRPHGTNNPYNTLLAETVVCNAPSAPAFNSPGHSLNNARDTSAMDTLPLNGNFNN SYSLRKADYHDGVQVVDCGLSLNDTAFEKMIISELVHNNLRGGNKTHNLELKLPVKPVIG GSSSEDDAIVADASSLMHGDNPGLEFRHKELEAPLIPQRTHSLLYQPQKKVKPEATDSYV SQLTAEADDHLQSPNRDSLYTSMPNLRDSPYPESSPDMAEDLSPSRRSENEDIYYKSMPN LGAGRHLHMCYQISRGNSDGYIIPINKEGCIPEGDVREGQMQLVTSL >ENSMUSP00000143788.1 pep:known chromosome:GRCm38:3:148816093:148990555:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000196526.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MVSSGCRMRSLWFIIIISFSPSTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY KYLEVQYECVPYIFVCPGTLKAIVDSPCIYEAEQKSGAWCKDPLQAADKIYFMPWTPYRT DTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRTRIKS GEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTLRFEA TWETAYDKRAASNAFMICGVLYVVRSVYQDNESEAGKNTIDYIYNTRLSRGEYVDVPFPN QYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAELFKTTIST TSSASQRGPVSSTAAGPQDGSRGTKPPPAVSTTKIPPVTNIFPLPERFCEALDWKGIKWP QTQRGMMVERPCPKGTRGTASYLCMASTGTWNPKGPDLSNCTSHWVNQLAQKIRSGENAA SLANELAKHTKGHVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKAIVDTV DNLLRAEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLLEPTRVSMPTENIVLEVA VLSTEGQVQDFKFPLGLKGLGSSIQLSANTVKQNSRNGLAKLVFIIYRSLGQFLSTENAT IKLGADLMGRNSTIAVNSPVISVSINKESSRVYLTDPVLFTLPHIDPDNYFNANCSFWNY SERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREIAYKDGVHHLLLTVITWV GIVVSLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFIFLIGIDKTKYTIACPVF AGLLHFFFLAAFSWMCLEGVQLYLMLVEVFESEYSRKKYYYVAGYLFPATVVGVSAAIDY KSYGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKMVKHSNTLKPDSSRLENI KSWVLGAFALLCLLGLTWSFGLLFVNEETVVMAYLFTAFNAFQGLFIFIFHCALQKKVRK EYGKCFRHWYCCGGLPTESPHSSVKASTTRTSARYSSGTQSRIRRMWNDTVRKQSESSFI SGDINSTSTLNQGLTSHGPRAHLQDLYHLELLLGQIA >ENSMUSP00000142336.1 pep:known chromosome:GRCm38:3:148816093:148990555:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000200543.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MVSSGCRMRSLWFIIIISFSPSTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY KYLEVQYECVPYIFVCPGTLKAIVDSPCIYEAEQKSGAWCKDPLQAADKIYFMPWTPYRT DTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRTRIKS GEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTLRFEA TWETAYDKRAASNAFMICGVLYVVRSVYQDNESEAGKNTIDYIYNTRLSRGEYVDVPFPN QYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAELFKTTIST TSSASQRGPVSSTAAGPQDGSRGTKPPPAVSTTKIPPVTNIFPLPERFCEALDWKGIKWP QTQRGMMVERPCPKGTRGTASYLCMASTGTWNPKGPDLSNCTSHWVNQLAQKIRSGENAA SLANELAKHTKGHVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKAIVDTV DNLLRAEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLLEPTRVSMPTENIVLEVA VLSTEGQVQDFKFPLGLKGLGSSIQLSANTVKQNSRNGLAKLVFIIYRSLGQFLSTENAT IKLGADLMGRNSTIAVNSPVISVSINKESSRVYLTDPVLFTLPHIDPDNYFNANCSFWNY SERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREIAYKDGVHHLLLTVITWV GIVVSLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFIFLIGIDKTKYTIACPVF AGLLHFFFLAAFSWMCLEGVQLYLMLVEVFESEYSRKKYYYVAGYLFPATVVGVSAAIDY KSYGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKMVKHSNTLKPDSSRLENI KSWVLGAFALLCLLGLTWSFGLLFVNEETVVMAYLFTAFNAFQGLFIFIFHCALQKKVRK EYGKCFRHWYCCGGLPTESPHSSVKASTTRTSARYSSGTQSRIRRMWNDTVRKQSESSFI SGDINSTSTLNQGHSLNNARDTSAMDTLPLNGNFNNSYSLRKADYHDGVQVVDCGLSLND TAFEKMIISELVHNNLRGGNKTHNLELKLPVKPVIGGSSSEDDAIVADASSLMHGDNPGL EFRHKELEAPLIPQRTHSLLYQPQKKVKPEATDSYVSQLTAEADDHLQSPNRDSLYTSMP NLRDSPYPESSPDMAEDLSPSRRSENEDIYYKSMPNLGAGRHLHMCYQISRGNSDGYIIP INKEGCIPEGDVREGQMQLVTSL >ENSMUSP00000142865.1 pep:known chromosome:GRCm38:3:148816093:148990555:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000200154.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MVSSGCRMRSLWFIIIISFSPSTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY KYLEVQYECVPYIFVCPGTLKAIVDSPCIYEAEQKSGAWCKDPLQAADKIYFMPWTPYRT DTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRTRIKS GEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTLRFEA TWETAYDKRAASNAFMICGVLYVVRSVYQDNESEAGKNTIDYIYNTRLSRGEYVDVPFPN QYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAELFKTTIST TSSASQRGPVSSTAAGPQDGSRGTKPPPAVSTTKIPPVTNIFPLPERFCEALDWKGIKWP QTQRGMMVERPCPKGTRGTASYLCMASTGTWNPKGPDLSNCTSHWVNQLAQKIRSGENAA SLANELAKHTKGHVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKAIVDTV DNLLRAEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLLEPTRVSMPTENIVLEVA VLSTEGQVQDFKFPLGLKGLGSSIQLSANTVKQNSRNGLAKLVFIIYRSLGQFLSTENAT IKLGADLMGRNSTIAVNSPVISVSINKESSRVYLTDPVLFTLPHIDPDNYFNANCSFWNY SERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREIAYKDGVHHLLLTVITWV GIVVSLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFIFLIGIDKTKYTIACPVF AGLLHFFFLAAFSWMCLEGVQLYLMLVEVFESEYSRKKYYYVAGYLFPATVVGVSAAIDY KSYGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKMVKHSNTLKPDSSRLENI KSWVLGAFALLCLLGLTWSFGLLFVNEETVVMAYLFTAFNAFQGLFIFIFHCALQKKVRK EYGKCFRHWYCCGGLPTESPHSSVKASTTRTSARYSSGTQDIH >ENSMUSP00000143320.1 pep:known chromosome:GRCm38:3:148816940:148954774:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000199750.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MVSSGCRMRSLWFIIIISFSPSTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY KYLEVQYECVPYMEQKVFVCPGTLKAIVDSPCIYEAEQKSGAWCKDPLQAADKIYFMPWT PYRTDTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRT RIKSGEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTL RFEATWETAYDKRAASNAFMICGVLYVVRSVYQDNESEAGKNTIDYIYNTRLSRGEYVDV PFPNQYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQERFCEALDWKGIKWPQT QRGMMVERPCPKGTRGTASYLCMASTGTWNPKGPDLSNCTSHWVNQLAQKIRSGENAASL ANELAKHTKGHVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKAIVDTVDN LLRAEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLLEPTRVSMPTENIVLEVAVL STEGQVQDFKFPLGLKGLGSSIQLSANTVKQNSRNGLAKLVFIIYRSLGQFLSTENATIK LGADLMGRNSTIAVNSPVISVSINKESSRVYLTDPVLFTLPHIDPDNYFNANCSFWNYSE RTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREIAYKDGVHHLLLTVITWVGI VVSLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFIFLIGIDKTKYTIACPVFAG LLHFFFLAAFSWMCLEGVQLYLMLVEVFESEYSRKKYYYVAGYLFPATVVGVSAAIDYKS YGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKMVKHSNTLKPDSSRLENIKS WVLGAFALLCLLGLTWSFGLLFVNEETVVMAYLFTAFNAFQGLFIFIFHCALQKKVRKEY GKCFRHWYCCGGLPTESPHSSVKASTTRTSARYSSGTQSRIRRMWNDTVRKQSESSFISG DINSTSTLNQGHSLNNARDTSAMDTLPLNGNFNNSYSLRKADYHDGVQVVDCGLSLNDTA FEKMIISELVHNNLRGGNKTHNLELKLPVKPVIGGSSSEDDAIVADASSLMHGDNPGLEF RHKELEAPLIPQRTHSLLYQPQKKVKPEATDSYVSQLTAEADDHLQSPNRDSLYTSMPNL RDSPYPESSPDMAEDLSPSRRSENEDIYYKSMPNLGAGRHLHMCYQISRGNSDGYIIPIN KEGCIPEGDVREGQMQLVTSL >ENSMUSP00000143150.1 pep:known chromosome:GRCm38:3:148817093:148954787:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000199059.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MVSSGCRMRSLWFIIIISFSPSTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY KYLEVQYECVPYMEQKVFVCPGTLKAIVDSPCIYEAEQKSGAWCKDPLQAADKIYFMPWT PYRTDTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRT RIKSGEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTL RFEATWETAYDKRAASNAFMICGVLYVVRSVYQDNESEAGKNTIDYIYNTRLSRGEYVDV PFPNQYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAELFKT TISTTSSASQRGPVSSTAAGPQDGSRGTKPPPAVSTTKIPPVTNIFPLPERFCEALDWKG IKWPQTQRGMMVERPCPKGTRGTASYLCMASTGTWNPKGPDLSNCTSHWVNQLAQKIRSG ENAASLANELAKHTKGHVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKLQ KREKTCRAYLKAIVDTVDNLLRAEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLL EPTRVSMPTENIVLEVAVLSTEGQVQDFKFPLGLKGLGSSIQLSANTVKQNSRNGLAKLV FIIYRSLGQFLSTENATIKLGADLMGRNSTIAVNSPVISVSINKESSRVYLTDPVLFTLP HIDPDNYFNANCSFWNYSERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREI AYKDGVHHLLLTVITWVGIVVSLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFI FLIGIDKTKYTIACPVFAGLLHFFFLAAFSWMCLEGVQLYLMLVEVFESEYSRKKYYYVA GYLFPATVVGVSAAIDYKSYGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKM VKHSNTLKPDSSRLENINNYRVCDGYYNTDLPGSWVLGAFALLCLLGLTWSFGLLFVNEE TVVMAYLFTAFNAFQGLFIFIFHCALQKKVRKEYGKCFRHWYCCGGLPTESPHSSVKAST TRTSARYSSGTQSRIRRMWNDTVRKQSESSFISGMTGNYLLTNPLLRPHGTNNPYNTLLA ETVVCNAPSAPAFNSPGHSLNNARDTSAMDTLPLNGNFNNSYSLRKADYHDGVQVVDCGL SLNDTAFEKMIISELVHNNLRGGNKTHNLELKLPVKPVIGGSSSEDDAIVADASSLMHGD NPGLEFRHKELEAPLIPQRTHSLLYQPQKKVKPEATDSYVSQLTAEADDHLQSPNRDSLY TSMPNLRDSPYPESSPDMAEDLSPSRRSENEDIYYKSMPNLGAGRHLHMCYQISRGNSDG YIIPINKEGCIPEGDVREGQMQLVTSL >ENSMUSP00000142347.1 pep:known chromosome:GRCm38:3:148817093:148954787:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000198779.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MVSSGCRMRSLWFIIIISFSPSTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY KYLEVQYECVPYMEQKVFVCPGTLKAIVDSPCIYEAEQKSGAWCKDPLQAADKIYFMPWT PYRTDTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRT RIKSGEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTL RFEATWETAYDKRAASNAFMICGVLYVVRSVYQDNESEAGKNTIDYIYNTRLSRGEYVDV PFPNQYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAELFKT TISTTSSASQRGPVSSTAAGPQDGSRGTKPPPAVSTTKIPPVTNIFPLPERFCEALDWKG IKWPQTQRGMMVERPCPKGTRGTASYLCMASTGTWNPKGPDLSNCTSHWVNQLAQKIRSG ENAASLANELAKHTKGHVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKLQ KREKTCRAYLKAIVDTVDNLLRAEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLL EPTRVSMPTENIVLEVAVLSTEGQVQDFKFPLGLKGLGSSIQLSANTVKQNSRNGLAKLV FIIYRSLGQFLSTENATIKLGADLMGRNSTIAVNSPVISVSINKESSRVYLTDPVLFTLP HIDPDNYFNANCSFWNYSERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREI AYKDGVHHLLLTVITWVGIVVSLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFI FLIGIDKTKYTIACPVFAGLLHFFFLAAFSWMCLEGVQLYLMLVEVFESEYSRKKYYYVA GYLFPATVVGVSAAIDYKSYGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKM VKHSNTLKPDSSRLENIKSWVLGAFALLCLLGLTWSFGLLFVNEETVVMAYLFTAFNAFQ GLFIFIFHCALQKKVRKEYGKCFRHWYCCGGLPTESPHSSVKASTTRTSARYSSGTQSRI RRMWNDTVRKQSESSFISGMTGNYLLTNPLLRPHGTNNPYNTLLAETVVCNAPSAPAFNS PGHSLNNARDTSAMDTLPLNGNFNNSYSLRKADYHDGVQVVDCGLSLNDTAFEKMIISEL VHNNLRGGNKTHNLELKLPVKPVIGGSSSEDDAIVADASSLMHGDNPGLEFRHKELEAPL IPQRTHSLLYQPQKKVKPEATDSYVSQLTAEADDHLQSPNRDSLYTSMPNLRDSPYPESS PDMAEDLSPSRRSENEDIYYKSMPNLGAGRHLHMCYQISRGNSDGYIIPINKEGCIPEGD VREGQMQLVTSL >ENSMUSP00000143444.1 pep:known chromosome:GRCm38:3:148817093:148954787:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000195988.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MVSSGCRMRSLWFIIIISFSPSTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY KYLEVQYECVPYMEQKVFVCPGTLKAIVDSPCIYEAEQKSGAWCKDPLQAADKIYFMPWT PYRTDTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRT RIKSGEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTL RFEATWETAYDKRAASNAFMICGVLYVVRSVYQDNESEAGKNTIDYIYNTRLSRGEYVDV PFPNQYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAELFKT TISTTSSASQRGPVSSTAAGPQDGSRGTKPPPAVSTTKIPPVTNIFPLPERFCEALDWKG IKWPQTQRGMMVERPCPKGTRGTASYLCMASTGTWNPKGPDLSNCTSHWVNQLAQKIRSG ENAASLANELAKHTKGHVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKLQ KREKTCRAYLKAIVDTVDNLLRAEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLL EPTRVSMPTENIVLEVAVLSTEGQVQDFKFPLGLKGLGSSIQLSANTVKQNSRNGLAKLV FIIYRSLGQFLSTENATIKLGADLMGRNSTIAVNSPVISVSINKESSRVYLTDPVLFTLP HIDPDNYFNANCSFWNYSERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREI AYKDGVHHLLLTVITWVGIVVSLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFI FLIGIDKTKYTIACPVFAGLLHFFFLAAFSWMCLEGVQLYLMLVEVFESEYSRKKYYYVA GYLFPATVVGVSAAIDYKSYGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKM VKHSNTLKPDSSRLENINNYRVCDGYYNTDLPGSWVLGAFALLCLLGLTWSFGLLFVNEE TVVMAYLFTAFNAFQGLFIFIFHCALQKKVRKEYGKCFRHWYCCGGLPTESPHSSVKAST TRTSARYSSGTQSRIRRMWNDTVRKQSESSFISGDINSTSTLNQGHSLNNARDTSAMDTL PLNGNFNNSYSLRKADYHDGVQVVDCGLSLNDTAFEKMIISELVHNNLRGGNKTHNLELK LPVKPVIGGSSSEDDAIVADASSLMHGDNPGLEFRHKELEAPLIPQRTHSLLYQPQKKVK PEATDSYVSQLTAEADDHLQSPNRDSLYTSMPNLRDSPYPESSPDMAEDLSPSRRSENED IYYKSMPNLGAGRHLHMCYQISRGNSDGYIIPINKEGCIPEGDVREGQMQLVTSL >ENSMUSP00000142405.1 pep:known chromosome:GRCm38:3:148817093:148954787:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000199238.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MVSSGCRMRSLWFIIIISFSPSTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY KYLEVQYECVPYMEQKVFVCPGTLKAIVDSPCIYEAEQKSGAWCKDPLQAADKIYFMPWT PYRTDTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRT RIKSGEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTL RFEATWETAYDKRAASNAFMICGVLYVVRSVYQDNESEAGKNTIDYIYNTRLSRGEYVDV PFPNQYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAELFKT TISTTSSASQRGPVSSTAAGPQDGSRGTKPPPAVSTTKIPPVTNIFPLPERFCEALDWKG IKWPQTQRGMMVERPCPKGTRGTASYLCMASTGTWNPKGPDLSNCTSHWVNQLAQKIRSG ENAASLANELAKHTKGHVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKLQ KREKTCRAYLKAIVDTVDNLLRAEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLL EPTRVSMPTENIVLEVAVLSTEGQVQDFKFPLGLKGLGSSIQLSANTVKQNSRNGLAKLV FIIYRSLGQFLSTENATIKLGADLMGRNSTIAVNSPVISVSINKESSRVYLTDPVLFTLP HIDPDNYFNANCSFWNYSERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREI AYKDGVHHLLLTVITWVGIVVSLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFI FLIGIDKTKYTIACPVFAGLLHFFFLAAFSWMCLEGVQLYLMLVEVFESEYSRKKYYYVA GYLFPATVVGVSAAIDYKSYGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKM VKHSNTLKPDSSRLENINNYRVCDGYYNTDLPGSWVLGAFALLCLLGLTWSFGLLFVNEE TVVMAYLFTAFNAFQGLFIFIFHCALQKKVRKEYGKCFRHWYCCGGLPTESPHSSVKAST TRTSARYSSGTQSRIRRMWNDTVRKQSESSFISGDINSTSTLNQGMTGNYLLTNPLLRPH GTNNPYNTLLAETVVCNAPSAPAFNSPGHSLNNARDTSAMDTLPLNGNFNNSYSLRKADY HDGVQVVDCGLSLNDTAFEKMIISELVHNNLRGGNKTHNLELKLPVKPVIGGSSSEDDAI VADASSLMHGDNPGLEFRHKELEAPLIPQRTHSLLYQPQKKVKPEATDSYVSQLTAEADD HLQSPNRDSLYTSMPNLRDSPYPESSPDMAEDLSPSRRSENEDIYYKSMPNLGAGRHLHM CYQISRGNSDGYIIPINKEGCIPEGDVREGQMQLVTSL >ENSMUSP00000142618.1 pep:known chromosome:GRCm38:3:148817722:148833928:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000197348.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] XCWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKMVKHSNTLKPDSSRLENIKSWVLGA FALLCLLGLTWSFGLLFVNEETVVMAYLFTAFNAFQGLFIFIFHCALQKKVRKEYGKCFR HWYCCGGLPTESPHSSVKASTTRTSARYSSGTQSRIRRMWNDTVRKQSESSFISGDINST STLNQGDINLNILLQD >ENSMUSP00000143760.1 pep:known chromosome:GRCm38:3:148817745:148835391:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000197925.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] XYYVAGYLFPATVVGVSAAIDYKSYGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVI TLCKMVKHSNTLKPDSSRLENIKSWVLGAFALLCLLGLTWSFGLLFVNEETVVMAYLFTA FNAFQGLFIFIFHCALQKKVRKEYGKCFRHWYCCGGLPTESPHSSVKASTTRTSARYSSG TQSRIRRMWNDTVRKQSESSFISGHSLNNARDTSAMDTLPLNGNFNNSYSLRKADYHDGV QVVDCGLSLNDTAFEKMIISELVH >ENSMUSP00000142371.1 pep:known chromosome:GRCm38:3:148817764:148826396:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000200456.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] XFIFHCALQKKVRKEYGKCFRHWYCCGGLPTESPHSSVKASTTRTSARYSSGTQSRIRRM WNDTVRKQSESSFISGMTGNYLLTNPLLRPHGTNNPYNTLLAETVVCNAPSAPAFNSPAT YRETSMGVKLNFAYQIYS >ENSMUSP00000132116.1 pep:known chromosome:GRCm38:3:148815856:148822979:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000168352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MDTLPLNGNFNNSYSLRKADYHDGVQVVDCGLSLNDTAFEKMIISELVHNNLRGGNKTHN LELKLPVKPVIGGSSSEDDAIVADASSLMHGDNPGLEFRHKELEAPLIPQRTHSLLYQPQ KKVKPEATDSYVSQLTAEADDHLQSPNRDSLYTSMPNLRDSPYPESSPDMAEDLSPSRRS ENEDIYYKSMPNLGAGRHLHMCYQISRGNSDGYIIPINKEGCIPEGDVREGQMQLVTSL >ENSMUSP00000101734.2 pep:known chromosome:GRCm38:3:148815586:148954635:-1 gene:ENSMUSG00000028184.12 transcript:ENSMUST00000106128.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl2 description:adhesion G protein-coupled receptor L2 [Source:MGI Symbol;Acc:MGI:2139714] MVSSGCRMRSLWFIIIISFSPSTEGFSRAALPFGLVRRELSCEGYSIDLRCPGSDVIMIE SANYGRTDDKICDADPFQMENTDCYLPDAFKIMTQRCNNRTQCIVVTGSDVFPDPCPGTY KYLEVQYECVPYSKMLVFVCPGTLKAIVDSPCIYEAEQKSGAWCKDPLQAADKIYFMPWT PYRTDTLIEYASLEDFQNSRQTTTYKLPNRVDGTGFVVYDGAVFFNKERTRNIVKFDLRT RIKSGEAIINYANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEQNNGMIVISQLNPYTL RFEATWETAYDKRAASNAFMICGVLYVVRSVYQDNESEAGKNTIDYIYNTRLSRGEYVDV PFPNQYQYIAAVDYNPRDNQLYVWNNNFILRYSLEFGPPDPAQVPTTAVTITSSAELFKT TISTTSSASQRGPVSSTAAGPQDGSRGTKPPPAVSTTKIPPVTNIFPLPERFCEALDWKG IKWPQTQRGMMVERPCPKGTRGTASYLCMASTGTWNPKGPDLSNCTSHWVNQLAQKIRSG ENAASLANELAKHTKGHVFAGDVSSSVRLMEQLVDILDAQLQELKPSEKDSAGRSYNKLQ KREKTCRAYLKAIVDTVDNLLRAEALESWKHMNSSEQAHTATMLLDTLEEGAFVLADNLL EPTRVSMPTENIVLEVAVLSTEGQVQDFKFPLGLKGLGSSIQLSANTVKQNSRNGLAKLV FIIYRSLGQFLSTENATIKLGADLMGRNSTIAVNSPVISVSINKESSRVYLTDPVLFTLP HIDPDNYFNANCSFWNYSERTMMGYWSTQGCKLVDTNKTRTTCACSHLTNFAILMAHREI AYKDGVHHLLLTVITWVGIVVSLVCLAICIFTFCFFRGLQSDRNTIHKNLCINLFIAEFI FLIGIDKTKYTIACPVFAGLLHFFFLAAFSWMCLEGVQLYLMLVEVFESEYSRKKYYYVA GYLFPATVVGVSAAIDYKSYGTVQACWLHVDNYFIWSFIGPVTFIILLNIIFLVITLCKM VKHSNTLKPDSSRLENINNYRVCDGYYNTDLPGYEDNKPFIKSWVLGAFALLCLLGLTWS FGLLFVNEETVVMAYLFTAFNAFQGLFIFIFHCALQKKVRKEYGKCFRHWYCCGGLPTES PHSSVKASTTRTSARYSSGTQSRIRRMWNDTVRKQSESSFISGDINSTSTLNQGMTGNYL LTNPLLRPHGTNNPYNTLLAETVVCNAPSAPAFNSPGHSLNNARDTSAMDTLPLNGNFNN SYSLRKADYHDGVQVVDCGLSLNDTAFEKMIISELVHNNLRGGNKTHNLELKLPVKPVIG GSSSEDDAIVADASSLMHGDNPGLEFRHKELEAPLIPQRTHSLLYQPQKKVKPEATDSYV SQLTAEADDHLQSPNRDSLYTSMPNLRDSPYPESSPDMAEDLSPSRRSENEDIYYKSMPN LGAGRHLHMCYQISRGNSDGYIIPINKEGCIPEGDVREGQMQLVTSL >ENSMUSP00000024206.5 pep:known chromosome:GRCm38:6:124834240:124840275:-1 gene:ENSMUSG00000023439.11 transcript:ENSMUST00000024206.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb3 description:guanine nucleotide binding protein (G protein), beta 3 [Source:MGI Symbol;Acc:MGI:95785] MGEMEQLRQEAEQLKKQIADARKACADITLAELVSGLEVVGRVQMRTRRTLRGHLAKIYA MHWATDSKLLVSASQDGKLIVWDTYTTNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNM CSIYNLKSREGNVKVSRELSAHTGYLSCCRFLDDNNIVTSSGDTTCALWDIETGQQKTVF VGHTGDCMSLAVSPDYKLFISGACDASAKLWDVREGTCRQTFTGHESDINAICFFPNGEA ICTGSDDASCRLFDLRADQELTAYSQESIICGITSVAFSLSGRLLFAGYDDFNCNVWDSL KCERVGILSGHDNRVSCLGVTADGMAVATGSWDSFLKIWN >ENSMUSP00000114175.1 pep:known chromosome:GRCm38:1:37439340:37541016:-1 gene:ENSMUSG00000026110.15 transcript:ENSMUST00000151952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4a description:mannoside acetylglucosaminyltransferase 4, isoenzyme A [Source:MGI Symbol;Acc:MGI:2662992] MRLRNGTVATALVFVTSFLTLSWYTTWQNGKEKLIAYQREFLALKERLRVAEHRISQRSS ELNTIVQQFRRAGAETNGSKTALSTISDNTIKLLKELTSKKSLRVPSIYYHLPHLLQNER SLQPAVQIGSGRTGVSIVMGIPTVKREVKSYLVETLHSLIDNLYPEEKLDCVIVVFIGET DLDYVHSVVANLEKEFSREISSGLLEIISPPESYYPDLTNLKETFGDSKERVRWRTKQNL DYCFLMMYAQEKGIYYIQLEDDIIVKQNYFNTIKNFALQLSSEEWMILEFSQLGFIGKMF QAPDLALVVEFILMFYKEKPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIRFRPSLFQ HVGLHSSLSGKIQKLTDKDYMKPLLLKVHVNPPAEVSTSLKVYQGHTLEKTYMGEDFFWA ITPTAGDYILFKFDKPVNVESYLFHSGNQEHPGDILLNTTVDVLPLKSDSLEISKETKDK RLEDGYFRIGKFEYGVAEGIVDPGLNPISAFRLSVIQNSAVWAILNEIHIKKVTS >ENSMUSP00000121181.1 pep:known chromosome:GRCm38:1:37443716:37536404:-1 gene:ENSMUSG00000026110.15 transcript:ENSMUST00000154819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4a description:mannoside acetylglucosaminyltransferase 4, isoenzyme A [Source:MGI Symbol;Acc:MGI:2662992] MRLRNGTVATALVFVTSFLTLSWYTTWQNGKEKLIAYQREFLALKERLRVAEHRISQRSS ELNTIVQQFRRAGAETNGNNTIKLLKELTSKKSLRVPSIYYHLPHLLQNERSLQPAVQIG SGRTGVSIVMGIPTVKREVKSYLVETLHSLIDNLYPEEKLDCVIVVFIGETDLDYVHSVV ANLEKEFSREISSGLLEIISPPESYYPDLTNLKETFGDSKERVRWRTKQNLDYCFLMMYA QEKGIYYIQLEDDIIVKQNYFNTIKNFALQLSSEEWMILEFSQLGFIGKMFQAPDLALVV EFILMFYKEKPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIRFRPSLFQHVGLHSSLS GKIQKLTDKDYMKPLLLKVHVNPPAEVSTSLKVYQGHTLEKTYMGEDFFWAITPTAGDYI LFKFDKPVNVESYLFHSGNQEHPGDILLNTTVDVLPLKSDSLEISKETKDKRLEDGYFRI GKFEYGVAEGIVDPGLNPISAFRLSVIQNSAVWAILNEIHIKKVTS >ENSMUSP00000122909.1 pep:known chromosome:GRCm38:1:37444320:37496130:-1 gene:ENSMUSG00000026110.15 transcript:ENSMUST00000143636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4a description:mannoside acetylglucosaminyltransferase 4, isoenzyme A [Source:MGI Symbol;Acc:MGI:2662992] MGIPTVKREVKSYLVETLHSLIDNLYPEEKLDCVIVVFIGETDLDYVHSVVANLEKEFSR EISSGLLEIISPPESYYPDLTNLKETFGDSKERVRWRTKQNLDYCFLMMYAQEKGIYYIQ LEDDIIVKQNYFNTIKNFALQLSSEEWMILEFSQLGFIGKMFQAPDLALVVEFILMFYKE KPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIRFRPSLFQHVGLHSSLSGKIQKLTDK DYMKPLLLKVHVNPPAEVSTSLKVYQGHTLEKTYMGEDFFWAITPTAGDYILFKFDKPVN VESYLFHSGNQEHPGDILLNTTVDVLPLKSDSLEISKETKDKRLEDGYFRIGKFEYGVAE GIVDPGLNPISAFRLSVIQNSAVWAILNEIHIKKVTS >ENSMUSP00000115778.1 pep:known chromosome:GRCm38:1:37462913:37496133:-1 gene:ENSMUSG00000026110.15 transcript:ENSMUST00000149791.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mgat4a description:mannoside acetylglucosaminyltransferase 4, isoenzyme A [Source:MGI Symbol;Acc:MGI:2662992] MGIPTVKREVKSYLVETLHSLIDNLYPEEKLDCVIVVFIGEILIMFTALLPTWRKNFLEK LVLACWK >ENSMUSP00000118692.1 pep:known chromosome:GRCm38:1:37462916:37496095:-1 gene:ENSMUSG00000026110.15 transcript:ENSMUST00000148047.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4a description:mannoside acetylglucosaminyltransferase 4, isoenzyme A [Source:MGI Symbol;Acc:MGI:2662992] MGIPTVKREVKSYLVETLHSLIDNLYPEEKLDCVIVVFIGETDLDYVHSVVANLEKEFSR EISSGLLEIISPPESYYPDLTNLKETFGDSKERVRWRTKQNLDYCFLMMYAQ >ENSMUSP00000038894.8 pep:known chromosome:GRCm38:1:37439340:37536235:-1 gene:ENSMUSG00000026110.15 transcript:ENSMUST00000042161.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4a description:mannoside acetylglucosaminyltransferase 4, isoenzyme A [Source:MGI Symbol;Acc:MGI:2662992] MRLRNGTVATALVFVTSFLTLSWYTTWQNGKEKLIAYQREFLALKERLRVAEHRISQRSS ELNTIVQQFRRAGAETNGSKTALSTISDNTIKLLKELTSKKSLRVPSIYYHLPHLLQNER SLQPAVQIGSGRTGVSIVMGIPTVKREVKSYLVETLHSLIDNLYPEEKLDCVIVVFIGET DLDYVHSVVANLEKEFSREISSGLLEIISPPESYYPDLTNLKETFGDSKERVRWRTKQNL DYCFLMMYAQEKGIYYIQLEDDIIVKQNYFNTIKNFALQLSSEEWMILEFSQLGFIGKMF QAPDLALVVEFILMFYKEKPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIRFRPSLFQ HVGLHSSLSGKIQKLTDKDYMKPLLLKVHVNPPAEVSTSLKVYQGHTLEKTYMGEDFFWA ITPTAGDYILFKFDKPVNVESYLFHSGNQEHPGDILLNTTVDVLPLKSDSLEISKETKDK RLEDGYFRIGKFEYGVAEGIVDPGLNPISAFRLSVIQNSAVWAILNEIHIKKVTS >ENSMUSP00000044521.3 pep:known chromosome:GRCm38:X:142081160:142196931:-1 gene:ENSMUSG00000042282.4 transcript:ENSMUST00000042530.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy2f description:guanylate cyclase 2f [Source:MGI Symbol;Acc:MGI:105119] MFLGPWPFSRLLSWFAISSRLSGQHGLPSSKFLRCLCLLALLPLLRWGQALPYKIGVIGP WTCDPFFSKALPEVAAALAIERISRDKTFDRSYSFEYVILNEDCQTSKALASFISHQQMA SGFVGPANPGFCEAASLLGTSWDKGIFSWACVNHELDNKHSFPTFSRTLPSPIRVLVTVM KYFQWAHAGVISSDEDIWMHTANRVSSALRSQGLPVGVVLTSGRDSQSIQKALQQIRQAD RIRIIIMCMHSALIGGETQTHFLELAHDLKMTDGTYVFVPYDVLLYSLPYKHSPYQVLRN NPKLREAYDAVLTITVESHEKTFYEAYAEAAARGEIPEKPDSNQVSPLFGTIYNSIYFIA QAMNNAMKKNGRASAASLVQHSRNMQFYGFNQLIKTDSNGNGISEYVILDTNGKEWELRG TYTVDMETELLRFRGTPIHFPGGRPTSADAKCWFAERKICQGGIDPALAMMVCFALLIAL LSINGFAYFIRRRINKIQLIKGPNRILLTLEDVTFINPHFGSKRGSRASVSFQIISEVQS GRSPRLSFSSGSLTPATYENSNIAIYEGDWVWLKKFPPGDFGDIKSIKSSASDVFEMMKD LRHENVNPLLGFFYDSGMFAIVSEFCSRRSLEDILTNDDVKLDWMFKSSLLLDLIKGMKY LHHREFIHGRLKSRNCVVDGRFVLKVTDYGFNDILEMLRLSEEEPSEEELLWTAPELLRA PGGIRLGSFAGDVYSFAIIMQEVMVRGAPFCMMDLPAKEIIDRLKMPPPVYRPVVSPEYA PAECLQLMKQCWAEASEQRPTFDEIFNQFKTFNKGKKTNIIDSMLRMLEQYSSNLEDLIR ERTEELEIEKQKTEKLLTQMLPLSVAESLKKGCTVEPEGFDLVTLYFSDIVGFTTISAMS EPIEVVDLLNDLYTLFDAIIGSHDVYKVETIGDAYMVASGLPKRNGSRHAAEIANMSLDI LSSVGTFKMRHMPEVPVRIRIGLHSGPVVAGVVGLTMPRYCLFGDTVNTASRMESTGLPY RIHVSLSTVTILQTLSEGYEVELRGRTELKGKGTEETFWLVGKKGFTKPLPVPPPVGKDG QVGHGLQPAEIAAFQRRKAERQLVRNKP >ENSMUSP00000147197.1 pep:known chromosome:GRCm38:2:111780322:111781260:1 gene:ENSMUSG00000044560.2 transcript:ENSMUST00000119566.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1302 description:olfactory receptor 1302 [Source:MGI Symbol;Acc:MGI:3031136] MDGGNHSLVSEFVLLGLAHSQNIQALLFMIFLMLYLLIVSGNIVIMVLITTDPHLHSPMY FLLANLSFVDMWLSSVTTPKMITDFFRENKTISFSGCMSQVFFAHCIAAGEMVLLVVMAY DRYVAICKPLHYFTIMNLKRCTGLVLTSWTIGFVHALSHLVVIVELPFCSAKEIDSFFCD MPLVIKLACMDSHNLDILMYADCGVVGVTCFILLLISYTYILITVRRSSKAGASKALSTC TAHITVVMIFFVPCIFIYVWPLNITWLDKFLAVFYSVFTPLLNPAIYTLRNKEMKNAMRR FINNYMDSQGKS >ENSMUSP00000061674.1 pep:known chromosome:GRCm38:2:111780313:111781260:1 gene:ENSMUSG00000044560.2 transcript:ENSMUST00000054004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1302 description:olfactory receptor 1302 [Source:MGI Symbol;Acc:MGI:3031136] MSKMDGGNHSLVSEFVLLGLAHSQNIQALLFMIFLMLYLLIVSGNIVIMVLITTDPHLHS PMYFLLANLSFVDMWLSSVTTPKMITDFFRENKTISFSGCMSQVFFAHCIAAGEMVLLVV MAYDRYVAICKPLHYFTIMNLKRCTGLVLTSWTIGFVHALSHLVVIVELPFCSAKEIDSF FCDMPLVIKLACMDSHNLDILMYADCGVVGVTCFILLLISYTYILITVRRSSKAGASKAL STCTAHITVVMIFFVPCIFIYVWPLNITWLDKFLAVFYSVFTPLLNPAIYTLRNKEMKNA MRRFINNYMDSQGKS >ENSMUSP00000077733.6 pep:known chromosome:GRCm38:8:85071757:85075162:1 gene:ENSMUSG00000060038.14 transcript:ENSMUST00000078665.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhps description:deoxyhypusine synthase [Source:MGI Symbol;Acc:MGI:2683592] MEGTPPGAAPSSALAAVLKHSSALPPESAQVQGYDFNRGVDYHALLDAYRTTGFQATNFG RAVQQVNAMIEKKLEPLAVDEDHHADLTQSRRPLTGCTIFLGYTSNLISSGIRETIRYLV QHNMVDVLVTTAGGVEEDLIKCLAPTYLGEFSLRGKELRESGINRIGNLLVPNDNYCKFE DWLMPILDQMVLEQNTEGVKWTPSKMISRLGKEINNPDSVYYWAHKNHIPVLSPALTDGS LGDMIFFHSYKNPGLVLDIVEDLRLINTQAIFAKRSGMIILGGGVVKHHIANANLMRNGA DYAVYINTAQEFDGSDSGARPDEAVSWGKIRMDAQPVKVYADASLVFPLLVAETFAQKAD AFRAEKNED >ENSMUSP00000120308.1 pep:known chromosome:GRCm38:8:85071796:85075156:1 gene:ENSMUSG00000060038.14 transcript:ENSMUST00000152871.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhps description:deoxyhypusine synthase [Source:MGI Symbol;Acc:MGI:2683592] AGLRAPRLLRPESGREHMEGTPPGAAPSSALAAVLKHSSALPPESAQVQGYDFNRGVDYH ALLDAYRTTGFQATNFGRAVQQVNAMIEKKLEPLAVDEDHHADLTQSRRPLTGCTIFLGY TSNLISSGIRETIRYLVQHNMDWKPAGAE >ENSMUSP00000103874.1 pep:known chromosome:GRCm38:11:80089400:80135794:1 gene:ENSMUSG00000017550.14 transcript:ENSMUST00000108239.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atad5 description:ATPase family, AAA domain containing 5 [Source:MGI Symbol;Acc:MGI:2442925] MVGVLSMAAAAAPLPVKDYEIEPCKKRRKDDDNSSCETITKYLSPIGKTGDKVFSPPKPS NILHYFRKTSLTTEKPQSTKAYKIKPSPPLLVGNSKDCKTPLEVFSNREFKRKRKRVSLS SQLNDIKIQDECPVEISNNDSKEDGGLSDCVESSASVSLYKEHVEVLAESIEDSRNQPNT KSSKKKVNPKQCTTKSDDRILRKRKRSKVTGQSESVPLADELSLPEDGGKDSKLTKPSLA EENDSRTHATKRADLKESTITVSYEEFVKSHKAAKVEEIPDPAVPACVPSGPGEAVKSGS EGELSGSCEPSPQLHLKTVTVLAQVHPTPPKKKGKIPPIFLKQKQPELENSLSDPENEQP VQKRKSNVVIQEGQLELAVLEAWNSEASVPKCSMEERQQFMRAFRQPPPDTLKNGFKKPL EKQKDPSEKSVHEGDSSSEKIIENPNIQRVSSQGCLQSHADRGSFPKEKSKKPNKKGKKT RTTAGGNREENIQKEKTAFSLKDEQDQNSLRRSVRQKSEVLKSNALLNSENLVCEDTAHD SVQMSLCNRNKSRSSSTPTRDMVTHHRAEPGSSLEYVSTRQPIRRSLRSCSTPATNALGG TESEDAQDTIPVKASTPKSARTSEKHNLYTAELIIVSSDSESPIRMKFTRISTPKKSKKS SKKSETTEEELTSQKKKANSTSKNISKAKELIEEAKAFQIGGSKTEETVVPLRRSSRHQA RSAKEKSPEIDDSVIVIDSSPTSIREPEKSQKKLQNLNDVLGKKLNKSSKNVPGKMKIAP LFLAKRTKRAAIPVFDLDESSQDSSEQTQDCDVQFKAKRDFLMSGLPDLLKRQIAKKAAA LDVYNAVSTSFQRVVHVQQKDDEYWLWHLKPPSCPLLTEFKELNTKVTDLSEYVVAFGEF STLNPNPRSNPAAVMMRTRKDFTKEVRNLLLEEIKCSNPEFSLEKYFPLLLKKRIEHQVL CEGHGKQASPQLQPDVSQKETKRKQVATGNQKSKRKRQNEYSVSPEEMKGRSKDLDERIS SSCTNLDPSRDSGTEDMLWTEKYQPQNSNELIGNELAVKKLHSWLKDWKRRAELEERHNL KGKRDEKEEDLSDSTDFKGSSDDEENRLCNTVLITGPTGVGKTAAVYACAQELGFKIFEV NASSQRSGRQILSQLKEATQSHQVDKQGVNSQKPCFFNNYNIGKSPKKLNSPGKVVTSPR KLPPSSPKTSGQKRALLPKTLANYFKVSSKSKSNDDVGALMGDDKGVKNSSLEQRQLIQT KSTNANNSHIKDVGAEESNRKKATSLILFEEVDVIFDEDAGFLNAVKTFMATTKRPVILT TSDPTFSLVFDGCFEEINFSIPSLLNVASYLQVICLVENFRTDFKDFVTLLTANACDIRK SILYLQFWIRSGGGILEERPLSHCRENSRNTLVCSEDGSDANINSKKPKRNRVALPRCDT GCAEALFGLKNIASPSQDLLSLLKHKITTKEEWQKLIQVLTEFHVQNIDLLHSNLEVILP LPVHVVPDVRGAYGFPVTTQASAPASMGHLTRKQSKDQPLRKSQKRKQKKMVILDDSDLF DTGLDFSGELPSLSPAPSLSVEDNIRRDSNPEIKTQNSGFKPHSVPQPPKTLAEKKCCML VSHCLNSLSEFMENMSFIDALLTDPGEQNELGRSAFHWTNGRVKSGLCDEFSLENRDRWA PQSAGELKATAEALSFTECSSTISKALESLNSCKQLERDPTNELTVCVSQRRHDACFRQS AANLDNADKRMAVIKSVFSSRSFLTLGNKQASIIDYLPTLRNICRTEKLKEQEKNKRRFL HYFEGIHLEIPEETITTLAADFP >ENSMUSP00000017694.5 pep:known chromosome:GRCm38:11:80089400:80135791:1 gene:ENSMUSG00000017550.14 transcript:ENSMUST00000017694.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atad5 description:ATPase family, AAA domain containing 5 [Source:MGI Symbol;Acc:MGI:2442925] MVGVLSMAAAAAPLPVKDYEIEPCKKRRKDDDNSSCETITKYLSPIGKTGDKVFSPPKPS NILHYFRKTSLTTEKPQSTKAYKIKPSPPLLVGNSKDCKTPLEVFSNREFKRKRKRVSLS SQLNDIKIQDECPVEISNNDSKEDGGLSDCVESSASVSLYKEHVEVLAESIEDSRNQPNT KSSKKKVNPKQCTTKSDDRILRKRKRSKVTGQSESVPLADELSLPEDGGKDSKLTKPSLA EENDSRTHATKRADLKESTITVSYEEFVKSHKAAKVEEIPDPAVPACVPSGPGEAVKSGS EGELSGSCEPSPQLHLKTVTVLAQVHPTPPKKKGKIPPIFLKQKQPELENSLSDPENEQP VQKRKSNVVIQEGQLELAVLEAWNSEASVPKCSMEERQQFMRAFRQPPPDTLKNGFKKPL EKQKDPSEKSVHEGDSSSEKIIENPNIQRVSSQGCLQSHADRGSFPKEKSKKPNKKGKKT RTTAGGNREENIQKEKTAFSLKDEQDQNSLRRSVRQKSEVLKSNALLNSENLVCEDTAHD SVQMSLCNRNKSRSSSTPTRDMVTHHRAEPGSSLEYVSTRQPIRRSLRSCSTPATNALGG TESEDAQDTIPVKASTPKSARTSEKHNLYTAELIIVSSDSESPIRMKFTRISTPKKSKKS SKKSETTEEELTSQKKKANSTSKNISKAKELIEEAKAFQIGGSKTEETVVPLRRSSRHQA RSAKEKSPEIDDSVIVIDSSPTSIREPEKSQKKLQNLNDVLGKKLNKSSKNVPGKMKIAP LFLAKRTKRAAIPVFDLDESSQDSSEQTQDCDVQFKAKRDFLMSGLPDLLKRQIAKKAAA LDVYNAVSTSFQRVVHVQQKDDEYWLWHLKPPSCPLLTEFKELNTKVTDLSEYVVAFGEF STLNPNPRSNPAAVMMRTRKDFTKEVRNLLLEEIKCSNPEFSLEKYFPLLLKKRIEHQVL CEGHGKQASPQLQPDVSQKETKRKQVATGNQKSKRKRQNEYSVSPEEMKGRSKDLDERIS SSCTNLDPSRDSGTEDMLWTEKYQPQNSNELIGNELAVKKLHSWLKDWKRRAELEERHNL KGKRDEKEEGILDLSDSTDFKGSSDDEENRLCNTVLITGPTGVGKTAAVYACAQELGFKI FEVNASSQRSGRQILSQLKEATQSHQVDKQGVNSQKPCFFNNYNIGKSPKKLNSPGKVVT SPRKLPPSSPKTSGQKRALLPKTLANYFKVSSKSKSNDDVGALMGDDKGVKNSSLEQRQL IQTKSTNANNSHIKDVGAEESNRKKATSLILFEEVDVIFDEDAGFLNAVKTFMATTKRPV ILTTSDPTFSLVFDGCFEEINFSIPSLLNVASYLQVICLVENFRTDFKDFVTLLTANACD IRKSILYLQFWIRSGGGILEERPLSHCRENSRNTLVCSEDGSDANINSKKPKRNRVALPR CDTGCAEALFGLKNIASPSQDLLSLLKHKITTKEEWQKLIQVLTEFHVQNIDLLHSNLEV ILPLPVHVVPDVRGAYGFPVTTQASAPASMGHLTRKQSKDQPLRKSQKRKQKKMVILDDS DLFDTGLDFSGELPSLSPAPSLSVEDNIRRDSNPEIKTQNSGFKPHSVPQPPKTLAEKKC CMLVSHCLNSLSEFMENMSFIDALLTDPGEQNELGRSAFHWTNGRVKSGLCDEFSLENRD RWAPQSAGELKATAEALSFTECSSTISKALESLNSCKQLERDPTNELTVCVSQRRHDACF RQSAANLDNADKRMAVIKSVFSSRSFLTLGNKQASIIDYLPTLRNICRTEKLKEQEKNKR RFLHYFEGIHLEIPEETITTLAADFP >ENSMUSP00000086948.6 pep:known chromosome:GRCm38:6:86849517:87003223:1 gene:ENSMUSG00000057230.13 transcript:ENSMUST00000089519.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aak1 description:AP2 associated kinase 1 [Source:MGI Symbol;Acc:MGI:1098687] MKKFFDSRREQGSSGLGSGSSGGGGSSSGLGSGYIGRVFGIGRQQVTVDEVLAEGGFALV FLVRTSNGVKCALKRMFVNNEHDLQVCKREIQIMRDLSGHKNIVGYIDSSINNVSSGDVW EVLILMDFCRGGQVVNLMNQRLQTGFTENEVLQIFCDTCEAVARLHQCKTPIIHRDLKVE NILLHDRGHYVLCDFGSATNKFQNPQAEGVNAVEDEIKKYTTLSYRAPEMVNLYSGKIIT TKADIWALGCLLYKLCYFTLPFGESQVAICDGSFTIPDNSRYSQDMHCLIRYMLEPDPDK RPDIYQVSYFSFKLLKKECPVPNVQNSPIPAKLPEPVKASEAAVKKTQPKARLTDPIPTT ETSIAPRQRPKAGQTQPNPGILPIQPALTPRKRATVQPLPQAAGPSNQPGLLPSVSQPKA QATPSQPLQSSQPKQPQAPPTPQQTPATQTQGLPTQAQATPQHQQQHLLKQQQQQQQQPQ QPTAPPQPAGTFYQQQQQQQQQQAQTQQFQAVHPAAQQPVTAQFPVGSQGGAQQQLMQNF YHQQQQQQQQQQQLMAQQAALQQKTAVVVPQSQAQPATAPQAAAAQEPGQIQAPVRQQPK VQTTPPPTIQGQKVGSLTPPSSPKTQRAGHRRILSDVTHSAVFGVPASKSTQLLQAAAAE ASLNKSKSATTTPSGSPRTSQQNVSNASEGSTWNPFDDDNFSKLTAEELLNKDFAKLGEG KLPEKLGGSAESLIPGFQPTQGDAFTTPSFSAGTAEKRKGGQAVDSGIPLLSVSDPFIPL QVPDAPEKLIEGLKSPDTSLLLPDLLPMTDPFGSTSDAVIDKADVAVESLIPGLEPPVAQ RLPSQTESVTSNRTDSLTGEDSLLDCSLLSNPTAGLLEEFAPIALSAPTHKAAEDSNLIS GFGVAEGSEKVAEDEFDPIPVLITKNTQGGHSRNSSGSSESSLPNLARSLLLVDQLIDL >ENSMUSP00000145013.1 pep:known chromosome:GRCm38:6:86849532:86935512:1 gene:ENSMUSG00000057230.13 transcript:ENSMUST00000204414.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aak1 description:AP2 associated kinase 1 [Source:MGI Symbol;Acc:MGI:1098687] MKKFFDSRREQGSSGLGSGSSGGGGSSSGLGSGYIGRVFGIGRQQVTVDEVLAEGGFALV FLVRTSNGVKCALKRMFVNNEHDLQVCKREIQIMRDLSGHKNIVGYIDSSINNVSSGDVW EV >ENSMUSP00000003710.9 pep:known chromosome:GRCm38:6:86850053:86991864:1 gene:ENSMUSG00000057230.13 transcript:ENSMUST00000003710.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aak1 description:AP2 associated kinase 1 [Source:MGI Symbol;Acc:MGI:1098687] MKKFFDSRREQGSSGLGSGSSGGGGSSSGLGSGYIGRVFGIGRQQVTVDEVLAEGGFALV FLVRTSNGVKCALKRMFVNNEHDLQVCKREIQIMRDLSGHKNIVGYIDSSINNVSSGDVW EVLILMDFCRGGQVVNLMNQRLQTGFTENEVLQIFCDTCEAVARLHQCKTPIIHRDLKVE NILLHDRGHYVLCDFGSATNKFQNPQAEGVNAVEDEIKKYTTLSYRAPEMVNLYSGKIIT TKADIWALGCLLYKLCYFTLPFGESQVAICDGSFTIPDNSRYSQDMHCLIRYMLEPDPDK RPDIYQVSYFSFKLLKKECPVPNVQNSPIPAKLPEPVKASEAAVKKTQPKARLTDPIPTT ETSIAPRQRPKAGQTQPNPGILPIQPALTPRKRATVQPLPQAAGPSNQPGLLPSVSQPKA QATPSQPLQSSQPKQPQAPPTPQQTPATQTQGLPTQAQATPQHQQQHLLKQQQQQQQQPQ QPTAPPQPAGTFYQQQQQQQQQQAQTQQQIQAPVRQQPKVQTTPPPTIQGQKVGSLTPPS SPKTQRAGHRRILSDVTHSAVFGVPASKSTQLLQAAAAEASLNKSKSATTTPSGSPRTSQ QNVSNASEGSTWNPFDDDNFSKLTAEELLNKDFAKLGEGKLPEKLGGSAESLIPGFQPTQ GDAFTTPSFSAGTAEKRKGGQAVDSGIPLLSVSDPFIPLQVPDAPEKLIEGLKSPDTSLL LPDLLPMTDPFGSTSDAVIDKADVAVESLIPGLEPPVAQRLPSQTESVTSNRTDSLTGED SLLDCSLLSNPTAGLLEEFAPIALSAPTHKAAEDSNLISGFGVAEGSEKVAEDEFDPIPV LITKNTQGGHSRNSSGSSESSLPNLARSLLLVDQLIDL >ENSMUSP00000144731.1 pep:known chromosome:GRCm38:6:86959113:86995895:1 gene:ENSMUSG00000057230.13 transcript:ENSMUST00000204613.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aak1 description:AP2 associated kinase 1 [Source:MGI Symbol;Acc:MGI:1098687] XDAELLPPAATAAAAAAAADGSAGCPAAEDCCGSTTVSGTARHSPTGSCCPGAWDSSPSE TTAKSSDHSTSNHPGTESWISHSSIITQNPTCWAQTDSQ >ENSMUSP00000027797.7 pep:known chromosome:GRCm38:1:181087138:181144204:-1 gene:ENSMUSG00000026516.8 transcript:ENSMUST00000027797.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nvl description:nuclear VCP-like [Source:MGI Symbol;Acc:MGI:1914709] MKPRPGVFVDRKLKQRVIQYLSSNRCGKYVDTGILASDLQRLYSVDYGRRKRNAFRIQVE KVFSIISSEKELKNLKELEDGHLAKRARQDEEDEYTESYSDDDSNMEDYPDPQSANPMNS SLLSLYRRGNSESVSTTPKWGQREATTSTPLLTSKTGSVPLKTPARESEGGWFIDKTPGG KKESLPLDLSDDQSNSKKQDSEIQILKDSSLLESDKKRKGRAKGKGNKRKTENLQEVDGE IEALLQKKAKARSTELQISNVKFEDVGGNDATLKEVCKMLIHMRHPEVYQHLGVVPPRGV LLHGPPGCGKTLLAHAIAGELDLPILKVAAPEIVSGVSGESEQKLRELFDQAVSNAPCIV FIDEIDAITPKREVASKDMERRIVAQLLTCMDDLNNVAATARVLVIGATNRPDSLDPALR RAGRFDREVCLGIPDEAARERILQTLCRKLRLPETFNFCHLAHLTPGFVGADLMALCREA AMCAVNRVLMKQQAQQKKKPEIEGLPSEGDQEERLGAEPTSETQDELQRLLGLLRDQDPL SEEQMQGLCIELNDFIVALAEVQPSAKREGFVTVPNVTWADIGALEDIRDELIMAILAPV RNPDQFRTLGLGTPAGILLAGPPGCGKTLLAKAVANESGLNFISVKGPELLNMYVGESER AVRQVFQRAKNSAPCVIFFDEVDALCPRRSDRETGASVRVVNQLLTEMDGLETRQQVFIL AATNRPDIIDPAILRPGRLDKTLFVGLPPPADRVAILKTITKNGTKPPLDEDVNLETIAN DLRCNCYTGADLTALVREASLCALRQEITAQKNGVGAGELKVSHKHFEDAFKKVKPSISI KDQVMYEALQRSLSQ >ENSMUSP00000041175.3 pep:known chromosome:GRCm38:7:25802475:25816653:-1 gene:ENSMUSG00000040703.11 transcript:ENSMUST00000043314.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2s1 description:cytochrome P450, family 2, subfamily s, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1921384] MEAASTWALLLALLLLLLLLSLTLFRTPARGYLPPGPTPLPLLGNLLQLRPGALYSGLLR LSKKYGPVFTVYLGPWRRVVVLVGHDAVREALGGQAEEFSGRGTLATLDKTFDGHGVFFA NGERWKQLRKFTLLALRDLGMGKREGEELIQAEVQSLVEAFQKTEGRPFNPSMLLAQATS NVVCSLVFGIRLPYDDKEFQAVIQAASGTLLGISSPWGQAYEMFSWLLQPLPGPHTQLQH HLGTLAAFTIQQVQKHQGRFQTSGPARDVVDAFLLKMAQEKQDPGTEFTEKNLLMTVTYL LFAGTMTIGATIRYALLLLLRYPQVQQRVREELIQELGPGRAPSLSDRVRLPYTDAVLHE AQRLLALVPMGMPHTITRTTCFRGYTLPKGTEVFPLIGSILHDPAVFQNPGEFHPGRFLD EDGRLRKHEAFLPYSLGKRVCLGEGLARAELWLFFTSILQAFSLETPCPPGDLSLKPAIS GLFNIPPDFQLRVWPTGDQSR >ENSMUSP00000104032.1 pep:known chromosome:GRCm38:7:25802475:25816913:-1 gene:ENSMUSG00000040703.11 transcript:ENSMUST00000108395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2s1 description:cytochrome P450, family 2, subfamily s, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1921384] MEAASTWALLLALLLLLLLLSLTLFRTPARGYLPPGPTPLPLLGNLLQLRPGALYSGLLR LSKKYGPVFTVYLGPWRRVVVLVGHDAVREALGGQAEEFSGRGTLATLDKTFDGHGVFFA NGERWKQLRKFTLLALRDLGMGKREGEELIQAEVQSLVEAFQKTEGRPFNPSMLLAQATS NVVCSLVFGIRLPYDDKEFQAVIQAASGTLLGISSPWGQAYEMFSWLLQPLPGPHTQLQH HLGTLAAFTIQQVQKHQGRFQTSGPARDVVDAFLLKMAQEKQDPGTEFTEKNLLMTVTYL LFAGTMTIGATIRYALLLLLRYPQVQQRVREELIQELGPGRAPSLSDRVRLPYTDAVLHE AQRLLALVPMGMPHTITRTTCFRGYTLPKGTEVFPLIGSILHDPAVFQNPGEFHPGRFLD EDGRLRKHEAFLPYSLGIYRVLGIIGRC >ENSMUSP00000122264.1 pep:known chromosome:GRCm38:7:25809324:25815392:-1 gene:ENSMUSG00000040703.11 transcript:ENSMUST00000156714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2s1 description:cytochrome P450, family 2, subfamily s, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1921384] MGKREGEELIQAEVQSLVEAFQKTEGRPFNPSMLLAQATSNVVCSLVFGIRLPYDDKEFQ AVIQAASGTLLGISSPWGQAYEMFSWLLQPL >ENSMUSP00000027266.3 pep:known chromosome:GRCm38:1:53297095:53310309:1 gene:ENSMUSG00000026097.9 transcript:ENSMUST00000027266.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ormdl1 description:ORM1-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2181669] MNVGVAHSEVNPNTRVMNSRGMWLTYALGVGLLHIVLLSIPFCSVPVAWTLTNIIHNLGM YVFLHAVKGTPFETPDQGRARLLTHWEQLDYGVQFTSSRKFFTISPIILYFLASFYTKYD PTHFILNTASLLSVLIPKMPQLHGVRIFGINKY >ENSMUSP00000140951.1 pep:known chromosome:GRCm38:9:83548327:83638801:1 gene:ENSMUSG00000032261.16 transcript:ENSMUST00000188241.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgrl2 description:SH3 domain binding glutamic acid-rich protein like 2 [Source:MGI Symbol;Acc:MGI:1915350] MVVRVFVASCSGFVAIKKKQQDVVRFLEANKIEFEEVDITMSEEQRQWMYKNIPPEKKPA QGNPLPPQIFNGDRYCGDYDSFFESKESNTVFSFLGLKPRPASTGLHLKGQLTQSKAAGP >ENSMUSP00000108841.3 pep:known chromosome:GRCm38:9:83548328:83601346:1 gene:ENSMUSG00000032261.16 transcript:ENSMUST00000113215.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgrl2 description:SH3 domain binding glutamic acid-rich protein like 2 [Source:MGI Symbol;Acc:MGI:1915350] MVVRVFVASCSGFVAIKKKQQDVVRFLEANKIEFEEVDITMSEEQRQWMYKNIPPEKKPA QGNPLPPQIFNGDRYCGDYDSFFESKESNTVFSFLGLKPRPASTAEP >ENSMUSP00000140348.1 pep:known chromosome:GRCm38:9:83548350:83638299:1 gene:ENSMUSG00000032261.16 transcript:ENSMUST00000188030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgrl2 description:SH3 domain binding glutamic acid-rich protein like 2 [Source:MGI Symbol;Acc:MGI:1915350] MVVRVFVASCSGFVAIKKKQQDVVRFLEANKIEFEEVDITMSEEQRQWMYKNIPPEKKPA QGNPLPPQIFNGDRYCGGLHLKGQLTQSKAAGP >ENSMUSP00000140380.1 pep:known chromosome:GRCm38:9:83576831:83597524:1 gene:ENSMUSG00000032261.16 transcript:ENSMUST00000185664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgrl2 description:SH3 domain binding glutamic acid-rich protein like 2 [Source:MGI Symbol;Acc:MGI:1915350] MSEEQRQWMYKNIPPEKKPAQGNPLPPQIFNGDRYCGDYDSFFESKESNTVFSFLGLKPR PASTAEP >ENSMUSP00000097204.1 pep:known chromosome:GRCm38:2:111813786:111814724:-1 gene:ENSMUSG00000093804.1 transcript:ENSMUST00000099609.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1303 description:olfactory receptor 1303 [Source:MGI Symbol;Acc:MGI:3031137] MDGENHTVVSEFVFLGLTHSWEIQLLLLVLSSVLYILSMAGNILIVFSVTIDPHLHSPMY FLLACLSFIDLVACSVTSPKMVYDLFRKHKVISFGGCITQIFFIHLVGGVEMVLLVAMAF DRYIAICKPLHYLTIMSPRVCVLFLGAAWGLGISHSLFQLAFLIDLPFCGPNILDSFYCD LPKLLRLACKDTYKLQFMVTINSGFICVGSFLLLLISYIFILFSVWKHSSGGSSKALSTL SAHITVVFLFFGPTLFIYTWPHPNSQIDKFLALFDAVLTPFLNPVIYTFRNKEMKVVIRR VFKTLLTFRGIS >ENSMUSP00000120465.1 pep:known chromosome:GRCm38:13:55495795:55513438:-1 gene:ENSMUSG00000021493.15 transcript:ENSMUST00000155098.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdlim7 description:PDZ and LIM domain 7 [Source:MGI Symbol;Acc:MGI:1914649] MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLNIDGENAGS LTHIEAQNKIRACGERLSLGLSRAQPVQSKPQKALTPPADPPRYTFAPSASLNKTARPFG APPPTDSTLRQNGQLLRQPVPDASKQRLMEDTEDWRPRPGTGQSRSFRILAHLTGTEFMQ DPDEEFMKKSSQVPRTEAPAPASTIPQESWPGPTTPSPTSRPPWAVDPAFAERYAPDKTS TVLTRHSQPATPTPLQNRTSIVQAAAGGGTGGGSNNGKTPVCHQCHKIIRGRYLVALGHA YHPEEFVCSQCGKVLEEGGFFEEKGAIFCPSCYDVRYAPNCAKCKKKITGEIMHALKMTW HVHCFTCAACKTPIRNRAFYMEEGAPYCERDYEKMFGTKCRGCDFKIDAGDRFLEALGFS WHDTCFVCAICQINLEGKTFYSKKDKPLCKSHAFSHV >ENSMUSP00000047173.6 pep:known chromosome:GRCm38:13:55498416:55513446:-1 gene:ENSMUSG00000021493.15 transcript:ENSMUST00000046246.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim7 description:PDZ and LIM domain 7 [Source:MGI Symbol;Acc:MGI:1914649] MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLNIDGENAGS LTHIEAQNKIRACGERLSLGLSRAQPVQSKPQKALTPPADPPRYTFAPSASLNKTARPFG APPPTDSTLRQNGQLLRQPVPDASKQRLMEDTEDWRPRPGTGQSRSFRILAHLTGTEFMQ DPDEEFMKKSSQVPRTEAPAPASTIPQESWPGPTTPSPTSRPPWAVDPAFAERYAPDKTS TVLTRHSQPATPTPLQNRTSIVQAAAGGGTGGGSNNGKTPVCHQCHKIIRGRYLVALGHA YHPEEFVCSQCGKVLEEGGFFEEKGAIFCPSCYDVRYAPNCAKCKKKITGEIMHALKMTW HVHCFTCAACKTPIRNRAFYMEEGAPYCERDYEKMFGTKCRGCDFKIDAGDRFLEALGFS WHDTCFVCAICQINLEGKTFYSKKDKPLCKSHAFSHV >ENSMUSP00000070153.6 pep:known chromosome:GRCm38:13:55506773:55513438:-1 gene:ENSMUSG00000021493.15 transcript:ENSMUST00000069968.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim7 description:PDZ and LIM domain 7 [Source:MGI Symbol;Acc:MGI:1914649] MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLNIDGENAGS LTHIEAQNKIRACGERLSLGLSRAQPVQSKPQKALTPPADPPRYTFAPSASLNKTARPFG APPPTDSTLRQNGQLLRQPVPDASKQRLMEDTEDWRPRPGTGQSRSFRILAHLTGTEFMQ DPDEEFMKKSREKYVLELQSPRYTRLRDWHHQRSAHVLNVQS >ENSMUSP00000064219.6 pep:known chromosome:GRCm38:13:55506774:55513434:-1 gene:ENSMUSG00000021493.15 transcript:ENSMUST00000069929.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim7 description:PDZ and LIM domain 7 [Source:MGI Symbol;Acc:MGI:1914649] MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLNIDGENAGS LTHIEAQNKIRACGERLSLGLSRAQPVQSKPQKVQTSDKQLLRQPVPDASKQRLMEDTED WRPRPGTGQSRSFRILAHLTGTEFMQDPDEEFMKKSREKYVLELQSPRYTRLRDWHHQRS AHVLNVQS >ENSMUSP00000119753.1 pep:known chromosome:GRCm38:13:55506774:55513438:-1 gene:ENSMUSG00000021493.15 transcript:ENSMUST00000131306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim7 description:PDZ and LIM domain 7 [Source:MGI Symbol;Acc:MGI:1914649] MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLNIDGENAGS LTHIEAQNKIRACGERLSLGLSRAQPVQSKPQKALTPPADPPRYTFAPSASLNKTARPFG APPPTDSTLRQNGYVSPCPSTPTSAHCLVLSQAHTQAACYRSVCAGPQPLNRVAATPGGR VGLLLFHRLCPAEQPGVSLCCGPAESHKLVATSPPSPPL >ENSMUSP00000121614.1 pep:known chromosome:GRCm38:13:55508233:55513676:-1 gene:ENSMUSG00000021493.15 transcript:ENSMUST00000144288.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim7 description:PDZ and LIM domain 7 [Source:MGI Symbol;Acc:MGI:1914649] MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLNIDGENAGS LTHIEAQNKIRACGERLSLGLSRAQPVQSKPQKALTPPADPPRYTFAPSASLNKTARPFG APPP >ENSMUSP00000118867.1 pep:known chromosome:GRCm38:13:55508966:55512709:-1 gene:ENSMUSG00000021493.15 transcript:ENSMUST00000153426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim7 description:PDZ and LIM domain 7 [Source:MGI Symbol;Acc:MGI:1914649] MDSFKVVLEGPAPWGFRLQGGKDFNVPLSISRLTPGGKAAQAGVAVGDWVLNIDGENA >ENSMUSP00000063670.5 pep:known chromosome:GRCm38:18:31942997:31949636:-1 gene:ENSMUSG00000052229.5 transcript:ENSMUST00000064016.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr17 description:G protein-coupled receptor 17 [Source:MGI Symbol;Acc:MGI:3584514] MNGLEAALPSLTDNSSLAYSEQCGQETPLENMLFACFYLLDFILAFVGNALALWLFIWDH KSGTPANVFLMHLAVADLSCVLVLPTRLVYHFSGNHWPFGEIPCRLTGFLFYLNMYASIY FLTCISADRFLAIVHPVKSLKLRRPLYAHLACAFLWIVVAVAMAPLLVSPQTVQTNHTVV CLQLYREKASHHALASLAVAFTFPFITTVTCYLLIIRSLRQGPRIEKHLKNKAVRMIAMV LAIFLICFVPYHIHRSVYVLHYRGGGTSCAAQRALALGNRITSCLTSLNGALDPVMYFFV AEKFRHALCNLLCSKRLTGPPPSFEGKTNESSLSARSEL >ENSMUSP00000139404.1 pep:known chromosome:GRCm38:1:53325507:53352667:-1 gene:ENSMUSG00000099913.1 transcript:ENSMUST00000144660.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28551 description:predicted gene 28551 [Source:MGI Symbol;Acc:MGI:5579257] MCGICCSVSFSIEHFSKELKEDLLHNLRRRGPNSSRQLLKSAVNYQCLFSGHVLHLRGVL TIQPVEDEHGNVFLWNGEVFNGVKVEAEDNDTQVMFNSLSACKNESEILLLFSKVQGPWS FIYYQASSHHLWFGRDFFGRRSLLWQFSNLGKSFCLSSVGTQVYGVADQWQEVPASGIFQ IDLNSAAVSRSVILKLYPWRYISKEDIAEECGNDLTQTPAGLPEFVSVVINEANLYLSKP VVPLNKKLPESPLEIQCRNSSSTSGTRETLEVFLTDEHTKKIVQQFIAILNVSVKRRILC LAREENLASKEVLKTCSSKANIAILFSGGVDSMVIAALADRHIPLDEPIDLLNVAFVPKQ KTGLPIPNIERKQQNHHEIPSEESSQSPAADEGPGEAEVPDRVTGKAGLKELQSVNPSRT WNFVEINVSLEELQKLRRARICHLVQPLDTVLDDSIGCAVWFASRGIGWLVTQDAVRSYK SSAKVILTGIGADEQLAGYSRHRARFQSLGLEGLNEEIAMELGRISSRNLGRDDRVIGDH GKEARFAQHVPVPFATSLTMHMLSDFC >ENSMUSP00000047845.4 pep:known chromosome:GRCm38:7:127256959:127260709:-1 gene:ENSMUSG00000042462.4 transcript:ENSMUST00000035276.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctpp1 description:dCTP pyrophosphatase 1 [Source:MGI Symbol;Acc:MGI:1913672] MSTAGDGERGTVGQEDSAAARPFRFSPEPTLEDIRRLHAEFAAERDWEQFHQPRNLLLAL VGEVGELAELFQWKSDTEPGPQAWPPKERAALQEELSDVLIYLVALAARCHVDLPQAVIS KMDTNRQRYPVHLSRGSACKYTDLPRGTISENQAVGAGDPASELRDQAST >ENSMUSP00000143652.1 pep:known chromosome:GRCm38:3:57285611:57301936:-1 gene:ENSMUSG00000027800.14 transcript:ENSMUST00000196979.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm4sf1 description:transmembrane 4 superfamily member 1 [Source:MGI Symbol;Acc:MGI:104678] MCYVKCARYIGYSLVWAAVFCIVANALLYFPNGETKYATEDHLSRFVWYFAGIVGGGLLM LLPAFVFIGMDEEDCCGCCGYENYGKRCSMLSSVLAALIGIVGSAYCVIVASLGLAEGPK CSDAHGVWNYTFASTEGQYLLNSSMWSKCYEPKHIVEWHVTLFSILLAFAAVEFILCLIQ VINGMLGGLCGYCCSRQQQYNC >ENSMUSP00000029376.8 pep:known chromosome:GRCm38:3:57287484:57301988:-1 gene:ENSMUSG00000027800.14 transcript:ENSMUST00000029376.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm4sf1 description:transmembrane 4 superfamily member 1 [Source:MGI Symbol;Acc:MGI:104678] MCYVKCARYIGYSLVWAAVFCIVANALLYFPNGETKYATEDHLSRFVWYFAGIVGGGLLM LLPAFVFIGMDEEDCCGCCGYENYGKRCSMLSSVLAALIGIVGSAYCVIVASLGLAEGPK CSDAHGVWNYTFASTEGQYLLNSSMWSKCYEPKHIVEWHVTLFSILLAFAAVEFILCLIQ VINGMLGGLCGYCCSRQQQYNC >ENSMUSP00000143697.1 pep:known chromosome:GRCm38:3:57292783:57295125:-1 gene:ENSMUSG00000027800.14 transcript:ENSMUST00000196506.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm4sf1 description:transmembrane 4 superfamily member 1 [Source:MGI Symbol;Acc:MGI:104678] MCYVKCARYIGYSLVWAAVFCIVANALLYFPNGETKYATEDHLSRFVWYFAGIVGGGLLM LLPAFVFIGMDEEDCCGCCGYENYGKRCSMLSSVLAALIGIVGSAYCVIVASLGLAEGPK CSDAHGVWNYTFASTEG >ENSMUSP00000130999.1 pep:known chromosome:GRCm38:3:57285611:57294889:-1 gene:ENSMUSG00000027800.14 transcript:ENSMUST00000171384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm4sf1 description:transmembrane 4 superfamily member 1 [Source:MGI Symbol;Acc:MGI:104678] MCYVKCARYIGYSLVWAAVFCIVANALLYFPNGETKYATEDHLSRFVWYFAGIVGGGLLM LLPAFVFIGMDEEDCCGCCGYENYGKRCSMLSSVLAALIGIVGSAYCVIVASLGLAEGPK CSDAHGVWNYTFASTEGQYLLNSSMWSKCYEPKHIVEWHVTLFSILLAFAAVEFILCLIQ VINGMLGGLCGYCCSRQQQYNC >ENSMUSP00000056364.7 pep:known chromosome:GRCm38:3:53041528:53261679:1 gene:ENSMUSG00000048332.13 transcript:ENSMUST00000059562.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfp description:lipoma HMGIC fusion partner [Source:MGI Symbol;Acc:MGI:1920048] MASSLTCTGVIWALLSFLSAATSCVGFFMPYWLWGSQLGKPVSFGTFRRCSYPVHDESRQ MMVMVEECGRYASFQGIPSTEWRICTIVTGLGCGLLLLVALTALMGCCVSELISRTVGRV AGGIQFLGGLLIGAGCALYPLGWDSEEVRQTCGYISGQFDLGKCEIGWAYYCTGAGAAAA MLLCTWMACFSGKKQKHYPY >ENSMUSP00000119019.1 pep:known chromosome:GRCm38:3:53041583:53261679:1 gene:ENSMUSG00000048332.13 transcript:ENSMUST00000147139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfp description:lipoma HMGIC fusion partner [Source:MGI Symbol;Acc:MGI:1920048] MASSLTCTGVIWALLSFLSAATSCVGFFMPYWLWGSQLGKPVSFGTFRRCSYPVHDESRQ MMVMVEECGRYASFQGIPSTEWRICTIVTGLGCGLLLLVALTALMGCCVSELISRTVGRV AGGIQFLGGLLIGAGCALYPLGWDSEEVRQTCGYISGQFDLGKCEIGWAYYCTGAGAAAA MLLCTWMACFSGKKQKHYPY >ENSMUSP00000063804.3 pep:known chromosome:GRCm38:9:53684110:53706232:-1 gene:ENSMUSG00000055069.3 transcript:ENSMUST00000068449.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab39 description:RAB39, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2442855] METIWIYQFRLIVIGDSTVGKSCLLHRFTQGRFPGLHSPACDPTVGVDFFSRLLEIEPGK RIKLQLWDTAGQERFRSITRSYYRNSVGGFLVFDITNRRSFEHVKDWLEEAKMHVQPFQI VFLLVGHKCDLASQRQVSREEAERLSTDCGMKYIETSAKDATNVEESFTILTRDIYELIK KGEICIQDGWEGVKSGFVPNTVHSSEEAVKPRKECFC >ENSMUSP00000027265.3 pep:known chromosome:GRCm38:1:53313624:53326343:1 gene:ENSMUSG00000026096.14 transcript:ENSMUST00000027265.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osgepl1 description:O-sialoglycoprotein endopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:1919335] MLMLRRTAGAIPKPPKSKVYGFLRRFSVHPRTLSCHKLVLGIETSCDDTGAAVVDETGNV LGEALHSQTQVHLKTGGIVPPVAQQLHRENIQRIVEETLSACRITPSDLSAIATTIKPGL ALSLGVGLSFSLQLVNQFKKPFIPIHHMEAHALTIRLTNKVEFPFLVLLISGGHCLLALV QGVSDFLLLGKSLDIAPGDMLDKVARRLSLIKHPECSTMSGGKAIEQLAKDGNRFHFTIN PPMQNAKNCDFSFTGLQHITDKLITHKEKEEGIEKGQILSSAADIAAAVQHATACHLAKR THRAILFCKQKNLLSPANAVLVVSGGVASNLYIRKALEIVANATQCTLLCPPPRLCTDNG IMIAWNGIERLRAGLGVLHDVEDIRYEPKCPLGVDISREVAEAAIKVPRLKMAL >ENSMUSP00000137772.1 pep:known chromosome:GRCm38:1:53313654:53326174:1 gene:ENSMUSG00000026096.14 transcript:ENSMUST00000151296.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Osgepl1 description:O-sialoglycoprotein endopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:1919335] MLMLRRTAGAIPKPPKSKVYGFLRRFSVHPRTLSCHKLVLGIETSCDDTGAAVVDETGNV LGEALHSQTQVHLKWDCSSSSSTTSQRKYSTNSRRNSFCL >ENSMUSP00000110128.1 pep:known chromosome:GRCm38:1:53313663:53324064:1 gene:ENSMUSG00000026096.14 transcript:ENSMUST00000114484.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osgepl1 description:O-sialoglycoprotein endopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:1919335] MLMLRRTAGAIPKPPKSKVYGFLRRFSVHPRTLSCHKLVLGIETSCDDTGAAVVDETGNV LGEALHSQTQVHLKTGGIVPPVAQQLHRENIQRIVEETLSACRITPSDLSAIATTIKPGL ALSLGVGLSFSLQLVNQFKKPFIPIHHMEAHALTIRLTNKVEFPFLVLLISGGHCLLALV QGVSDFLLLGKSLDIAPGDMLDKVARRLSLIKHPECSTMSGGKAIEQLAKDGNRFHFTIN PPMQNAKNCDFSFTGLQHITDKLITHKEKEEGIEKGQILSSAADIAAAVQHATACHLAKR THRAILFCKQKNLLSPANAVLVVSGGVASNLYIRKALEIVANATQCTLLCPPPRLCTDNG IMIAWNGIERLRAGLGVLHDVEDIRYEPKCPLGVDISREVAEAAIKVPRLKMAL >ENSMUSP00000137994.1 pep:known chromosome:GRCm38:1:53313673:53326167:1 gene:ENSMUSG00000026096.14 transcript:ENSMUST00000135614.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Osgepl1 description:O-sialoglycoprotein endopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:1919335] MLMLRRTAGAIPKPPKSKVYGFLRRFSVHPRTLSCHKLVLGIETSCDDTGAAVVDETGNV LGEALHSQTQVHLKTGGIVPPVAQQLHRENIQRIVEETLSACRITPSDLSAIATTIKPGL ALSLGVGLSFSLQLVNQFKKPFIPIHHMEAHALTIRLTNKVEFPFLVLLISGGHCLLALV QGVSDFLLLGKSLDIAPGDMLDKVARRLSLIKHPECSTMSGGKAIEQLAKDGNRFHFTIN PPMQNAKNCDFSFTGLQHITDKLITHKEKEEGIEKGQILSSAADIAAAVQHATACHLAKR THRAILFCKQKNLLSPANAVLVVSGGVASNLYIRKALEIVANATQCTLLCPPPRLCTDNG IMIAWHGGARL >ENSMUSP00000078697.8 pep:known chromosome:GRCm38:8:85080740:85082339:1 gene:ENSMUSG00000059355.13 transcript:ENSMUST00000079764.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr83os description:WD repeat domain 83 opposite strand [Source:MGI Symbol;Acc:MGI:3041257] MSTNNMSDPRRPNKVLRYKPPPSECNPALDDPTPDYMNLLGMIFSMCGLMLKLKWCAWVA VYCSFISFANSRSSEDTKQMMSSFMLSISAVVMSYLQNPQPMTPPW >ENSMUSP00000117962.2 pep:known chromosome:GRCm38:8:85080988:85082332:1 gene:ENSMUSG00000059355.13 transcript:ENSMUST00000140621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr83os description:WD repeat domain 83 opposite strand [Source:MGI Symbol;Acc:MGI:3041257] MSTNNMSDPRRPNKVLRYKPPPSECNPALDDPTPDYMNLLGMIFSMCGLMLKLKWCAWVA VYCSFISFANSRSSEDTKQMMSSFIILSP >ENSMUSP00000107150.1 pep:known chromosome:GRCm38:1:162793188:162813672:-1 gene:ENSMUSG00000026692.12 transcript:ENSMUST00000111525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo4 description:flavin containing monooxygenase 4 [Source:MGI Symbol;Acc:MGI:2429497] MAKKVAVIGAGVSGLSSIKCCLDENLEPTCFERTSDFGGLWKFADTSEDGMTRVYRSLVT NVCKEMSCYSDFPFREDYPNFMSHEKFWDYLREFAEHFGLLRYIRFKTTVLSVTKRPDFS ETGQWDVVTETEGKRDRAVFDAVMVCTGQFLSPHLPLESFPGIHKFKGQILHSQEYRIPD AFRGKRILVVGLGNTGGDIAVELSEIAAQVFLSTRTGTWVLSRSSPGGYPFNMIQTRWLN FLVRVLPSRFINWTHERKMNKILNHENYGLSIAKGKKPKFIVNDELPTCILCGKVTMKTS VKDFTESSVIFEDGTTEANIDVVIFTTGYEFSFPFFEEPLKSLCTKKIILYKRVFPPNLE RATLAIIGLISLNGSILVGTEFQARWATRVFKGLCSIPPSQKLMAEATKTEQLIKRGVIK DTSQDKLDFITYMDELTQCIGAKPSIPLLFIKDPRLAWEVFFGPCTPYQYRLVGPGRWDG ARNAILTQWDRTLKPLKTRIVPKSPEPTSLSHYLIAWGAPVLLVSLLLIYKSSHFLELVQ GKLPRRFPPYRLLWYMPQNS >ENSMUSP00000119389.1 pep:known chromosome:GRCm38:1:162807514:162813926:-1 gene:ENSMUSG00000026692.12 transcript:ENSMUST00000144916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo4 description:flavin containing monooxygenase 4 [Source:MGI Symbol;Acc:MGI:2429497] MTRVYRSLVTNVCKEMSCYSDFPFREDYPNFMSHEKFWDYLREFAEHFGLLRYIRFKTTV LSVTKRPDFSETGQWDVVTETEGKRDRAVFDAVMVCTGQFLSPHLPLESFPGES >ENSMUSP00000118476.1 pep:known chromosome:GRCm38:1:162808285:162813972:-1 gene:ENSMUSG00000026692.12 transcript:ENSMUST00000140274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo4 description:flavin containing monooxygenase 4 [Source:MGI Symbol;Acc:MGI:2429497] MAKKVAVIGAGVSGLSSIKCCLDENLEPTCFERTSDFGGLWKFADTSEDGMTRVYRSLVT NVCKEMSCYSDFPFREDYPNFMSHEKFWDYLREFAEHFG >ENSMUSP00000028014.3 pep:known chromosome:GRCm38:1:162793883:162812549:-1 gene:ENSMUSG00000026692.12 transcript:ENSMUST00000028014.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo4 description:flavin containing monooxygenase 4 [Source:MGI Symbol;Acc:MGI:2429497] MAKKVAVIGAGVSGLSSIKCCLDENLEPTCFERTSDFGGLWKFADTSEDGMTRVYRSLVT NVCKEMSCYSDFPFREDYPNFMSHEKFWDYLREFAEHFGLLRYIRFKTTVLSVTKRPDFS ETGQWDVVTETEGKRDRAVFDAVMVCTGQFLSPHLPLESFPGIHKFKGQILHSQEYRIPD AFRGKRILVVGLGNTGGDIAVELSEIAAQVFLSTRTGTWVLSRSSPGGYPFNMIQTRWLN FLVRVLPSRFINWTHERKMNKILNHENYGLSIAKGKKPKFIVNDELPTCILCGKVTMKTS VKDFTESSVIFEDGTTEANIDVVIFTTGYEFSFPFFEEPLKSLCTKKIILYKRVFPPNLE RATLAIIGLISLNGSILVGTEFQARWATRVFKGLCSIPPSQKLMAEATKTEQLIKRGVIK DTSQDKLDFITYMDELTQCIGAKPSIPLLFIKDPRLAWEVFFGPCTPYQYRLVGPGRWDG ARNAILTQWDRTLKPLKTRIVPKSPEPTSLSHYLIAWGAPVLLVSLLLIYKSSHFLELVQ GKLPRRFPPYRLLWYMPQNS >ENSMUSP00000035240.1 pep:known chromosome:GRCm38:4:151982639:151988997:-1 gene:ENSMUSG00000039759.10 transcript:ENSMUST00000036680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap3 description:THAP domain containing, apoptosis associated protein 3 [Source:MGI Symbol;Acc:MGI:1917126] MPKSCAARQCCNRYSSRRKQLTFHRFPFSRPELLREWVLNIGRADFKPKQHTVICSEHFR PECFSAFGNRKNLKHNAVPTVFAFQNPTEVCPEVGAGGDSSGRNMDTTLEELQPPTPEGP VQQVLPDREAMEATEAAGLPASPLGLKRPLPGQPSDHSYALSDLDTLKKKLFLTLKENKR LRKRLKAQRLLLRRTCGRLRAYREGQPGPRARRPAQGS >ENSMUSP00000101290.2 pep:known chromosome:GRCm38:4:151982802:151988970:-1 gene:ENSMUSG00000039759.10 transcript:ENSMUST00000105665.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap3 description:THAP domain containing, apoptosis associated protein 3 [Source:MGI Symbol;Acc:MGI:1917126] MPKSCAARQCCNRYSSRRKQLTFHRFPFSRPELLREWVLNIGRADFKPKQHTVICSEHFR PECFSAFGNRKNLKHNAVPTVFAFQNPTEVLPDREAMEATEAAGLPASPLGLKRPLPGQP SDHSYALSDLDTLKKKLFLTLKENKRLRKRLKAQRLLLRRTCGRLRAYREGQPGPRARRP AQGS >ENSMUSP00000024739.7 pep:known chromosome:GRCm38:17:45567775:45573271:-1 gene:ENSMUSG00000023944.14 transcript:ENSMUST00000024739.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90ab1 description:heat shock protein 90 alpha (cytosolic), class B member 1 [Source:MGI Symbol;Acc:MGI:96247] MPEEVHHGEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNASDALDKIRYESLT DPSKLDSGKELKIDIIPNPQERTLTLVDTGIGMTKADLINNLGTIAKSGTKAFMEALQAG ADISMIGQFGVGFYSAYLVAEKVVVITKHNDDEQYAWESSAGGSFTVRADHGEPIGRGTK VILHLKEDQTEYLEERRVKEVVKKHSQFIGYPITLYLEKEREKEISDDEAEEEKGEKEEE DKEDEEKPKIEDVGSDEEDDSGKDKKKKTKKIKEKYIDQEELNKTKPIWTRNPDDITQEE YGEFYKSLTNDWEDHLAVKHFSVEGQLEFRALLFIPRRAPFDLFENKKKKNNIKLYVRRV FIMDSCDELIPEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNIVKKCLELFSELA EDKENYKKFYEAFSKNLKLGIHEDSTNRRRLSELLRYHTSQSGDEMTSLSEYVSRMKETQ KSIYYITGESKEQVANSAFVERVRKRGFEVVYMTEPIDEYCVQQLKEFDGKSLVSVTKEG LELPEDEEEKKKMEESKAKFENLCKLMKEILDKKVEKVTISNRLVSSPCCIVTSTYGWTA NMERIMKAQALRDNSTMGYMMAKKHLEINPDHPIVETLRQKAEADKNDKAVKDLVVLLFE TALLSSGFSLEDPQTHSNRIYRMIKLGLGIDEDEVTAEEPSAAVPDEIPPLEGDEDASRM EEVD >ENSMUSP00000126239.1 pep:known chromosome:GRCm38:17:45569018:45573253:-1 gene:ENSMUSG00000023944.14 transcript:ENSMUST00000165127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90ab1 description:heat shock protein 90 alpha (cytosolic), class B member 1 [Source:MGI Symbol;Acc:MGI:96247] MPEEVHHGEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNASDCLELFSELAED KENYKKFYEAFSKNLKLGIHEDSTNRRRLSELLRYHTSQSGDEMTSLSEYVSRMKETQKS IYYITGESKEQVANSAFVERVRKRGFEVVYMTEPIDEYCVQ >ENSMUSP00000127338.1 pep:known chromosome:GRCm38:17:45569578:45573255:-1 gene:ENSMUSG00000023944.14 transcript:ENSMUST00000166469.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90ab1 description:heat shock protein 90 alpha (cytosolic), class B member 1 [Source:MGI Symbol;Acc:MGI:96247] MPEEVHHGEEEISDDEAEEEKGEKEEEDKEDEEKPKIEDVGSDEEDDSGKDKKKKTKKIK EKYIDQEELNKTKPIWTRNPDDITQEEYGEFYKSLTNDWEDHLAVKHFSVEGQLEFRALL FIPRRAPFDLFENKKKKNNIKLYVRRVFIMDSCDELIPEYLNFIRGVVDSEDLPLNISRE MLQQSKILK >ENSMUSP00000131601.1 pep:known chromosome:GRCm38:17:45570663:45573255:-1 gene:ENSMUSG00000023944.14 transcript:ENSMUST00000163966.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hsp90ab1 description:heat shock protein 90 alpha (cytosolic), class B member 1 [Source:MGI Symbol;Acc:MGI:96247] MPEEVHHGEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNASDALDKIRYESLT DPSKLDSGKELKIDIIPNPQERTLTSLITWEPLLSLARRRSWRLSRLVQTSP >ENSMUSP00000119678.1 pep:known chromosome:GRCm38:17:45571538:45572555:-1 gene:ENSMUSG00000023944.14 transcript:ENSMUST00000130406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90ab1 description:heat shock protein 90 alpha (cytosolic), class B member 1 [Source:MGI Symbol;Acc:MGI:96247] MPEEVHHGEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNASDALDKIRYESLT DPSKLDSGKELKIDII >ENSMUSP00000115114.1 pep:known chromosome:GRCm38:16:18498901:18501658:1 gene:ENSMUSG00000086965.1 transcript:ENSMUST00000146673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16314 description:predicted gene 16314 [Source:MGI Symbol;Acc:MGI:3833934] MAFCHTLPSCFLAYCAVPASSVHTRSSMGPSFLRSWQASWQVPLAEPWQPCPDGSQFHQR TSLAADDGTSRHDPLADSWSERPSCGNPTCVCITVEWNSGINDSAASKPAERSPPAGCIP DPWPLRTDFHWVPGSNLEPSMALHDY >ENSMUSP00000141438.1 pep:known chromosome:GRCm38:3:83897655:84040175:-1 gene:ENSMUSG00000033767.14 transcript:ENSMUST00000191758.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D930015E06Rik description:RIKEN cDNA D930015E06 gene [Source:MGI Symbol;Acc:MGI:2443399] MAGLRRPQSGAYRRTAAAVNLLLGVFQVLLSCCRPGGAQGQAFEPLPNVVELWQAEEGEL LLPTQGDSEEDMEEPSQEQSFSDKLFIGKGLHFQPSVLDFGIQFLGHPAAKLLYAYNPSR ESEVVVNSVFTAARHFHVPPVHCRVIPAMGKASFRVIFLPTEEGSIESSLFINTSSHGVF SYHVSGVGTRRVSTEGSAEQLPNAYFLLPQVQSIQLSQTQAETTNTSLLRVQLECSLHNK VCQQLKSCSLGSDDALHLEMNIIVAVENSSKQPEENTQALLDHLSIVYVATDESDTSDES AVNMYVLHSGNSLIWIQDIHHFSQKNVLSLQFEPVLLSTSTTNFTKIASFTCKAGTSCDS GIMGLRKKKASPAMQACLSSPVVQGYFRTDASTAQFHIESHETATGVWSIWYRSHFDQSI VLKDVFVSKETKHILKVLSFRGPLFLPPGCWNIFSLKLAVKGIVLNLFTNVFLTTNTGAI FAIPLQIFSAPTKEGSLGFEVLAHCGMHYFMGKSKTENPNWERSLSLDRSTWDMDSELAN KLYERWKKYKSGDACRRNVLGMSQFAFTKKSKETEPFVSFLPRVVPEPNLVLNFSATALR NSAVKYFVVRNPTPQPVSLQLLPLSLYPRPEAAVRLLHKWFGTDMQMVNLSTGEFQLTQA CPYQGEPSEESSLGALHVHLQALETRRVGVVFTPADYGKVTSLILIRNNLTVVDMVGVEG FGAQELLKVGGRLPGAGGSLRFKVPESTLMDCHRQLKDSKQILSITKNFKVENIGPLPIT VTSLKINGYNCQGYGFEVLDCHPFSLSPNTSRDISIVFTPDFTSSWVIRELTLVTAADLE FHFTLNVTLPHHMLPLCAEVVPGPSWEESFWRLTVFFVSLSLLGVILIAFQQAQYILMEF MKTRQRQNGSSSSQQNGDPVAMISSHPHKSTCKNFLDTYSPSDKGRGKSCLPVGPSLSRL QNAAKRSPATYGHSQKKHKCSFYYSKQKPSASAASSANVTTEEKQTVTLASSLSVAKEDI CTNVLSENWVSLRYASGINGSLQKNLTLPKNVLHKEESSLKNTVVTNTPSECSMKEGVHT YMFPKETDSKISENVAELKEQEPCPQKTSKKPPESTLPKTPPQYLQSDLPEVSRKHGNKQ QAPVRSEVDSFEPVRAADAEPSSVRKTQGASPEDTCSEKQDTPSAEQEDPSRKRKLQERR EGSTQALNWNKTRPCRRNKKRASAQASSSPRPSEQSEQRLVCSDVRSWCAQDGAGEKCKA GTEVSGSSPERREEDSYYQKSEKKCADKFCSDSSSDCGSSSGSVRASRGSWGSWSSSSSD CDRRPVVDIQHFLPPGDGVSPQNFPSEASVPLSLPQHVCSSTDVSVLPEFTESPCPGLPA TPAGAGEEKGLYPPGGLWPSQPVCLTSSFNCPVENGAPGVSQEPTSIPDSSFIDWSASCE GQFPSVYCPLELNDYNAFPEENMNYTNGFPCSSKVQTDFIGHSTPSTWNTPASMPAAWGH ASLVNSPSYLTSTRSLSPMSGLFGSIWAPQSEVYETCCPISPATEHATHMENQVMCKEYY LGFNPFRAYMNLDIWTSTANRNANFPLSRDSSYCGNM >ENSMUSP00000141607.1 pep:known chromosome:GRCm38:3:83898209:84040137:-1 gene:ENSMUSG00000033767.14 transcript:ENSMUST00000192095.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D930015E06Rik description:RIKEN cDNA D930015E06 gene [Source:MGI Symbol;Acc:MGI:2443399] MAGLRRPQSGAYRRTAAAVNLLLGVFQVLLSCCRPGGAQGQAFEPLPNVVELWQAEEGEL LLPTQGDSEEDMEEPSQEQSFSDKLFIGKGLHFQPSVLDFGIQFLGHPAAKLLYAYNPSR ESEVVVNSVFTAARHFHVPPVHCRVIPAMGKASFRVIFLPTEEGSIESSLFINTSSHGVF SYHVSGVGTRRVSTEGSAEQLPNAYFLLPQVQSIQLSQTQAETTNTSLLRVQLECSLHNK VCQQLKSCSLGSDDALHLEMNIIVAVENSSKQPEENTQALLDHLSIVYVATDESDTSDES AVNMYVLHSGNSLIWIQDIHHFSQKNVLSLQFEPVLLSTSTTNFTKIASFTCKAGTSCDS GIMGLRKKKASPAMQACLSSPVVQGYFRTDASTAQFHIESHETATGVWSIWYRSHFDQSI VLKDVFVSKETKHILKVLSFRGPLFLPPGCWNIFSLKLAVKGIVLNLFTNVFLTTNTGAI FAIPLQIFSAPTKEGSLGFEVLAHCGMHYFMGKSKTENPNWERSLSLDRSTWDMDSELAN KLYERWKKYKSGDACRRNVLGMSQFAFTKKSKETEPFVSFLPRVVPEPNLVLNFSATALR NSAVKYFVVRNPTPQPVSLQLLPLSLYPRPEAAVRLLHKWFGTDMQMVNLSTGEFQLTQA CPYQGEPSEESSLGALHVHLQALETRRVGVVFTPADYGKVTSLILIRNNLTVVDMVGVEG FGAQELLKVGGRLPGAGGSLRFKVPESTLMDCHRQLKDSKQILSITKNFKVENIGPLPIT VTSLKINGYNCQGYGFEVLDCHPFSLSPNTSRDISIVFTPDFTSSWVIRELTLVTAADLE FHFTLNVTLPHHMLPLCAEVVPGPSWEESFWRLTVFFVSLSLLGVILIAFQQAQYILMEF MKTRQRQNGSSSSQQNGDPVAMISSHPHNTCKNFLDTYSPSDKGRGKSCLPVGPSLSRLQ NAAKRSPATYGHSQKKHKCSFYYSKQKPSASAASSANVTTEEKQTVTLASSLSVAKEDIC TNVLSENWVSLRYASGINGSLQKNLTLPKNVLHKEESSLKNTVVTNTPSECSMKEGVHTY MFPKETDSKISENVAELKEQEPCPQKTSKKPPESTLPKTPPQYLQSDLPEVSRKHGNKQQ APVRSEVDSFEPVRAADAEPSSVRKTQGASPEDTCSEKQDTPSAEQEDPSRKRKLQERRE GSTQALNWNKTRPCRRNKKRASAQASSSPRPSEQSEQRLVCSDVRSWCAQDGAGEKCKAG TEVSGSSPERREEGDGVSPQNFPSEASVPLSLPQHVCSSTDVSVLPEFTESPCPGLPATP AGAGEEKGLYPPGGLWPSQPVCLTSSFNCPVENGAPGVSQEPTSIPDSFIDWSASCEGQF PSVYCPLELNDYNAFPEENMNYTNGFPCSSKVQTDFIGHSTPSTWNTPASMPAAWGHASL VNSPSYLTSTRSLSPMSGLFGSIWAPQSEVYETCCPISPATEHATHMENQVMCKEYYLGF NPFRAYMNLDIWTSTANRNANFPLSRDSSYCGNM >ENSMUSP00000049808.8 pep:known chromosome:GRCm38:3:83898209:84040175:-1 gene:ENSMUSG00000033767.14 transcript:ENSMUST00000052342.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D930015E06Rik description:RIKEN cDNA D930015E06 gene [Source:MGI Symbol;Acc:MGI:2443399] MAGLRRPQSGAYRRTAAAVNLLLGVFQVLLSCCRPGGAQGQAFEPLPNVVELWQAEEGEL LLPTQGDSEEDMEEPSQEQSFSDKLFIGKGLHFQPSVLDFGIQFLGHPAAKLLYAYNPSR ESEVVVNSVFTAARHFHVPPVHCRVIPAMGKASFRVIFLPTEEGSIESSLFINTSSHGVF SYHVSGVGTRRVSTEGSAEQLPNAYFLLPQVQSIQLSQTQAETTNTSLLRVQLECSLHNK VCQQLKSCSLGSDDALHLEMNIIVAVENSSKQPEENTQALLDHLSIVYVATDESDTSDES AVNMYVLHSGNSLIWIQDIHHFSQKNVLSLQFEPVLLSTSTTNFTKIASFTCKAGTSCDS GIMGLRKKKASPAMQACLSSPVVQGYFRTDASTAQFHIESHETATGVWSIWYRSHFDQSI VLKDVFVSKETKHILKVLSFRGPLFLPPGCWNIFSLKLAVKGIVLNLFTNVFLTTNTGAI FAIPLQIFSAPTKEGSLGFEVLAHCGMHYFMGKSKTENPNWERSLSLDRSTWDMDSELAN KLYERWKKYKSGDACRRNVLGMSQFAFTKKSKETEPFVSFLPRVVPEPNLVLNFSATALR NSAVKYFVVRNPTPQPVSLQLLPLSLYPRPEAAVRLLHKWFGTDMQMVNLSTGEFQLTQA CPYQGEPSEESSLGALHVHLQALETRRVGVVFTPADYGKVTSLILIRNNLTVVDMVGVEG FGAQELLKVGGRLPGAGGSLRFKVPESTLMDCHRQLKDSKQILSITKNFKVENIGPLPIT VTSLKINGYNCQGYGFEVLDCHPFSLSPNTSRDISIVFTPDFTSSWVIRELTLVTAADLE FHFTLNVTLPHHMLPLCAEVVPGPSWEESFWRLTVFFVSLSLLGVILIAFQQAQYILMEF MKTRQRQNGSSSSQQNGDPVAMISSHPHKSTCKNFLDTYSPSDKGRGKSCLPVGPSLSRL QNAAKRSPATYGHSQKKHKCSFYYSKQKPSASAASSANVTTEEKQTVTLASSLSVAKEDI CTNVLSENWVSLRYASGINGSLQKNLTLPKNVLHKEESSLKNTVVTNTPSECSMKEGVHT YMFPKETDSKISENVAELKEQEPCPQKTSKKPPESTLPKTPPQYLQSDLPEVSRKHGNKQ QAPVRSEVDSFEPVRAADAEPSSVRKTQGASPEDTCSEKQDTPSAEEDPSRKRKLQERRE GSTQALNWNKTRPCRRNKKRASAQASSSPRPSEQSEQRLVCSDVRSWCAQDGAGEKCKAG TEVSGSSPERREEGDGVSPQNFPSEASVPLSLPQHVCSSTDVSVLPEFTESPCPGLPATP AGAGEEKGLYPPGGLWPSQPVCLTSSFNCPVENGAPGVSQEPTSIPDSSFIDWSASCEGQ FPSVYCPLELNDYNAFPEENMNYTNGFPCSSKVQTDFIGHSTPSTWNTPASMPAAWGHAS LVNSPSYLTSTRSLSPMSGLFGSIWAPQSEVYETCCPISPATEHATHMENQVMCKEYYLG FNPFRAYMNLDIWTSTANRNANFPLSRDSSYCGNM >ENSMUSP00000074386.6 pep:known chromosome:GRCm38:14:44102654:44103534:1 gene:ENSMUSG00000072596.4 transcript:ENSMUST00000074839.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ear2 description:eosinophil-associated, ribonuclease A family, member 2 [Source:MGI Symbol;Acc:MGI:108020] MGPKLLESRLCLLLLLGLVLMLASCLGQTPSQWFAIQHINNNANLQCNVEMQRINRFRRT CKGLNTFLHTSFANAVGVCGNPSGLCSDNISRNCHNSSSRVRITVCNITSRRRTPYTQCR YQPRRSLEYYTVACNPRTPQDSPMYPVVPVHLDGTF >ENSMUSP00000027082.4 pep:known chromosome:GRCm38:1:65926520:65928307:1 gene:ENSMUSG00000025945.11 transcript:ENSMUST00000027082.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crygf description:crystallin, gamma F [Source:MGI Symbol;Acc:MGI:88526] MGKITFYEDRSFQGRHYECSTDHSNLQPYFSRCNSVRVDSGCWMLYEQPNFAGCQYFLRR GDYPDYQQWMGFSDSVRSCHLIPHSTSHRIRIYEREDYRGQMVEITDDCSHLQDRFHFSD FHSFHVMEGYWVLYEMPNYRGRQYLLRPGEYRRYHDWGAMNARVGSLRRIMDFY >ENSMUSP00000109661.2 pep:known chromosome:GRCm38:1:65926538:65928306:1 gene:ENSMUSG00000025945.11 transcript:ENSMUST00000114027.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crygf description:crystallin, gamma F [Source:MGI Symbol;Acc:MGI:88526] MGKITFYEDRSFQGRHYECSTDHSNLQPYFSRCNSVRVDSGCWMLYEQPNFAGCQYFLRR GDYPDYQQWMGFSDSVRSCHLIPHDQDLRARGLQRPDGGDHRRLLPPAGPLPLQ >ENSMUSP00000081009.3 pep:known chromosome:GRCm38:7:127271879:127274055:-1 gene:ENSMUSG00000049091.6 transcript:ENSMUST00000082428.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sephs2 description:selenophosphate synthetase 2 [Source:MGI Symbol;Acc:MGI:108388] MAEAAAAGASGETMAALVAAEGSLGPAGWSAGRSFSNYRPFEPQTLGFSPSWRLTSFSGM KGUGCKVPQETLLKLLEGLTRPALQPPLTSGLVGGQEETVQEGGLSTRPGPGSAFPSLSI GMDSCVIPLRHGGLSLVQTTDFFYPLVEDPYMMGRIACANVLSDLYAMGITECDNMLMLL SVSQSMSEKEREKVTPLMIKGFRDAAEEGGTAVTGGQTVVNPWIIIGGVATVVCQQNEFI MPDSAVVGDVLVLTKPLGTQVAANAHQWLDNPEKWNKIKMVVSREEVELAYQEAMFNMAT LNRTAAGLMHTFNAHAATDITGFGILGHSQNLAKQQKNEVSFVIHNLPIIAKMAAISKAS GRFGLLQGTSAETSGGLLICLPREQAARFCSEIKSSKYGEGHQAWIVGIVEKGNRTARII DKPRVIEVLPRGASAAAAAAPDNSNAASEPSS >ENSMUSP00000050516.1 pep:known chromosome:GRCm38:11:76672470:76673676:1 gene:ENSMUSG00000044243.3 transcript:ENSMUST00000056184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlha9 description:basic helix-loop-helix family, member a9 [Source:MGI Symbol;Acc:MGI:2444198] MLRGTPGLGLGGLNRAEDFVEDLGRSCSEAGRNFGVLRRSSLDEAEEAAGRKRERPTRSK ARRMAANVRERKRILDYNEAFNALRRALQHDLGGKRLSKIATLRRAIHRITALSLVLRAS PAPRWPCGHLECHGQAAQGSSTGNSSFSVPRSAPSPIAPSLTRRDIASPLVPPTPRCASC SPHSHLGRPRVMAEVPNLAQTSGGNWRQCPGAPPVRPVSWRWGSGLGYQHS >ENSMUSP00000130980.1 pep:known chromosome:GRCm38:14:44123851:44129043:1 gene:ENSMUSG00000090594.1 transcript:ENSMUST00000168906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3327 description:predicted gene 3327 [Source:MGI Symbol;Acc:MGI:3781505] XRSSWVPKQACCPCSSGYFGERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSAGEASP QAPTINEQKKRYERLEKLKRELQNIKNARDELQGILTNYTNKDLNNRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCCRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKTLCKEGIQKIKDHYTKQQQV >ENSMUSP00000059195.3 pep:known chromosome:GRCm38:6:42896453:42897385:1 gene:ENSMUSG00000043119.3 transcript:ENSMUST00000058668.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr448 description:olfactory receptor 448 [Source:MGI Symbol;Acc:MGI:3030282] MTENQTWIPEFTLQGFLLSPRMQMLLCGLFSLLYTFTLLGNGVILGLIWLDCRLHTPMYF FLSHLAIVDISYATNNVPKMLANLLAKKKSISFAPCIMQTFLYMAFAHTECLILVMMSYD RYAAICQPLQYSVIMSWKVCTIMAVASWACGSLLALVHVVLILRLPFCGLHEINHFFCEI LSVLKLVCADTTLNQIVIFAGSVFILVGPLCFVLVSYTRILIAILKIQSGEGRRKAFSTC SSHLCVVGLFFGSAIVMYMAPKSQHPETQQKVLSLFYSLFNPMLNPLIYSLRNAEVKGAV KRVLWKQRSR >ENSMUSP00000021177.8 pep:known chromosome:GRCm38:11:117115172:117159265:1 gene:ENSMUSG00000020823.16 transcript:ENSMUST00000021177.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec14l1 description:SEC14-like lipid binding 1 [Source:MGI Symbol;Acc:MGI:1921386] MVQKYQSPVRVYKHPFELIMAAYERRFPTCPLIPMFVDSDTVSEFKSEDGALHVIERRCK LDIDAPRLLKKIAGVDYVYFVQKNSLNSRDRTLHIEAHNETFSNRVIIHEHCCYTVHPEN EDWTCFEQSASLDIKSFFGFESTVEKIAMKHYTSNIKKGKEIIEYYLRQLEEEGITFVPR WTPPPVGPSETCSSSKNQVTSAAVLVPDAAAVMEGLSGENLSSPGTASEPVVGTPDDKLD ADYIKRYLGDLTPLQESCLIRLRQWLQETHKGKIPKDEHILRFLRARDFNIDKAREIMCQ SLTWRKQHQVDYILDTWTPPQVLLDYYAGGWHHHDKDGRPLYVLRLGQMDTKGLVRALGE EALLRYVLSINEEGLRRCEENTKVFGRPISSWTCLVDLEGLNMRHLWRPGVKALLRIIEV VEANYPETLGRLLILRAPRVFPVLWTLVSPFIDDNTRRKFLIYAGNDYQGPGGLLDYIDK EIIPDFLSGECMCDVPEGGLVPKSLYRTAEELENEDLKLWTETIYQSASVFKGAPHEILI QIVDASSVITWDFDVCKGDIVFNIYHSKRSPQPPKKDSLGAHSITSPGGNNVQLIDKVWQ LGRDYSMVESPLICKEGESVQGSHVTRWPGFYILQWKFHTMPACAATNLPRVDDVLASLQ VSSHKCKVMYYTEVIGSEDFRGSMTSLESSHSGFSQLSAATTSSSQSQSSSMISRWRFC >ENSMUSP00000099315.3 pep:known chromosome:GRCm38:11:117115238:117157559:1 gene:ENSMUSG00000020823.16 transcript:ENSMUST00000103026.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec14l1 description:SEC14-like lipid binding 1 [Source:MGI Symbol;Acc:MGI:1921386] MVQKYQSPVRVYKHPFELIMAAYERRFPTCPLIPMFVDSDTVSEFKSEDGALHVIERRCK LDIDAPRLLKKIAGVDYVYFVQKNSLNSRDRTLHIEAHNETFSNRVIIHEHCCYTVHPEN EDWTCFEQSASLDIKSFFGFESTVEKIAMKHYTSNIKKGKEIIEYYLRQLEEEGITFVPR WTPPPVGPSETCSSSKNQVTSAAVLVPDAAAVMEGLSGENLSSPGTASEPVVGTPDDKLD ADYIKRYLGDLTPLQESCLIRLRQWLQETHKGKIPKDEHILRFLRARDFNIDKAREIMCQ SLTWRKQHQVDYILDTWTPPQVLLDYYAGGWHHHDKDGRPLYVLRLGQMDTKGLVRALGE EALLRYVLSINEEGLRRCEENTKVFGRPISSWTCLVDLEGLNMRHLWRPGVKALLRIIEV VEANYPETLGRLLILRAPRVFPVLWTLVSPFIDDNTRRKFLIYAGNDYQGPGGLLDYIDK EIIPDFLSGECMCDVPEGGLVPKSLYRTAEELENEDLKLWTETIYQSASVFKGAPHEILI QIVDASSVITWDFDVCKGDIVFNIYHSKRSPQPPKKDSLGAHSITSPGGNNVQLIDKVWQ LGRDYSMVESPLICKEGESVQGSHVTRWPGFYILQWKFHTMPACAATNLPRVDDVLASLQ VSSHKCKVMYYTEVIGSEDFRGSMTSLESSHSGFSQLSAATTSSSQSQSSSMISSE >ENSMUSP00000087916.5 pep:known chromosome:GRCm38:11:117115238:117159268:1 gene:ENSMUSG00000020823.16 transcript:ENSMUST00000090433.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec14l1 description:SEC14-like lipid binding 1 [Source:MGI Symbol;Acc:MGI:1921386] MVQKYQSPVRVYKHPFELIMAAYERRFPTCPLIPMFVDSDTVSEFKSEDGALHVIERRCK LDIDAPRLLKKIAGVDYVYFVQKNSLNSRDRTLHIEAHNETFSNRVIIHEHCCYTVHPEN EDWTCFEQSASLDIKSFFGFESTVEKIAMKHYTSNIKKGKEIIEYYLRQLEEEGITFVPR WTPPPVGPSETCSSSKNQVTSAAVLVPDAAAVMEGLSGENLSSPGTASEPVVGTPDDKLD ADYIKRYLGDLTPLQESCLIRLRQWLQETHKGKIPKDEHILRFLRARDFNIDKAREIMCQ SLTWRKQHQVDYILDTWTPPQVLLDYYAGGWHHHDKDGRPLYVLRLGQMDTKGLVRALGE EALLRYVLSINEEGLRRCEENTKVFGRPISSWTCLVDLEGLNMRHLWRPGVKALLRIIEV VEANYPETLGRLLILRAPRVFPVLWTLVSPFIDDNTRRKFLIYAGNDYQGPGGLLDYIDK EIIPDFLSGECMCDVPEGGLVPKSLYRTAEELENEDLKLWTETIYQSASVFKGAPHEILI QIVDASSVITWDFDVCKGDIVFNIYHSKRSPQPPKKDSLGAHSITSPGGNNVQLIDKVWQ LGRDYSMVESPLICKEGESVQGSHVTRWPGFYILQWKFHTMPACAATNLPRVDDVLASLQ VSSHKCKVMYYTEVIGSEDFRGSMTSLESSHSGFSQLSAATTSSSQSQSSSMISR >ENSMUSP00000052312.3 pep:known chromosome:GRCm38:6:42911525:42912457:1 gene:ENSMUSG00000045708.3 transcript:ENSMUST00000055763.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr447 description:olfactory receptor 447 [Source:MGI Symbol;Acc:MGI:3030281] MGGNQTLITQFILLGFPLSPRMQMLLFALFSLFYAFTLLGNGTILGLICLDSRLHTPMYF FLSHLAIVDIAYACNTVPQMLVNLMDPAKPISFAGCMTQTFLFLTFAHTECLLLVVMSYD RYVAICHPLRYTAIMSWRVCVILVLTSWILGVLLALVHLVLLLPLPFCGSQKVNHFFCEI IAVLKLACSDTRINELMVLAGAVSVLVGPFSSIVVSYAHILCAILKIKSQQGRQKAFSTC SSHLCVVGLFYGTAIVMYIGPQHGKSNEQKKYLLLFHSLFNPMLNPLIYSLRNKEVKSAL KRTLLKEDTS >ENSMUSP00000124173.1 pep:known chromosome:GRCm38:9:61373417:61418490:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000162973.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MYPQGRHPAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELN AIIGQQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALGSQAHLAV KDEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKDSLSRYDS DGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASVASSSSTPSSK TKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPGKPPGMDPIGIMASALRTP ITLTSSYPAPFAMMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAAYGRSPMVSFGA VGFDPHPPMRATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAGPGIPRHARQI NTLSHGEVVCAVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLNRDNYIRSCKL LPDGRTLIVGGEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKVCFSCCSDGNI AVWDLHNQTLVRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTS QIFSLGYCPTGEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAYCGKWFVSTGK DNLLNAWRTPYGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEVIY >ENSMUSP00000034820.9 pep:known chromosome:GRCm38:9:61372858:61418487:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000034820.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MYPQGRHPAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELN AIIGQQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALGSQAHLAV KDEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKDSLSRYDS DGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASVASSSSTPSSK TKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPGKPPGMDPIASALRTPITL TSSYPAPFAMMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAAYGRSPMVGFDPHPP MRATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAGPGIPRHARQINTLSHGEV VCAVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLNRDNYIRSCKLLPDGRTLI VGGEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKVCFSCCSDGNIAVWDLHNQ TLVRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQIFSLGYC PTGEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDNLLNAWR TPYGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEVIY >ENSMUSP00000124131.1 pep:known chromosome:GRCm38:9:61373385:61417837:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000160882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MYPQGRHPAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELN AIIGVRGLPNLPLTQQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALG ALGSQAHLAVKDEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAE EKDSLSRYDSDGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASV ASSSSTPSSKTKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPGKPPGMDPI GIMASALRTPITLTSSYPAPFAMMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAAY GRSPMVSFGAVGFDPHPPMRATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAG PGIPRHARQINTLSHGEVVCAVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLN RDNYIRSCKLLPDGRTLIVGGEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKV CFSCCSDGNIAVWDLHNQTLVRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREG RQLQQHDFTSQIFSLGYCPTGEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAY CGKWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEV IY >ENSMUSP00000124055.1 pep:known chromosome:GRCm38:9:61373416:61407439:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000160724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MYPQGRHPAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELN AIIGVRGLPNLPLTQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGA LGSQAHLAVKDEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKR >ENSMUSP00000123723.1 pep:known chromosome:GRCm38:9:61373535:61418080:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000159630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MQRHYVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTM TELNAIIGQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALGSQAH LAVKDEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKDSLSR YDSDGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASVASSSSTP SSKTKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPGKPPGMDPIASALRTP ITLTSSYPAPFAMMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAAYGRSPMVSFGA VGFDPHPPMRATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAGPGIPRHARQI NTLSHGEVVCAVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLNRDNYIRSCKL LPDGRTLIVGGEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKVCFSCCSDGNI AVWDLHNQTLVRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTS QIFSLGYCPTGEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAYCGKWFVSTGK DNLLNAWRTPYGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEVIY >ENSMUSP00000125011.1 pep:known chromosome:GRCm38:9:61373672:61416976:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000161689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MQRHYVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTM TELNAIIGQQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALGSQA HLAVKDEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKDSLS RYDSDGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASVASSSST PSSKTKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPGKPPGMDPIGIMASA LRTPITLTSSYPAPFAMMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAAYGRSPMV SFGAVGFDPHPPMRATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAGPGIPRH ARQINTLSHGEVVCAVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLNRDNYIR SCKLLPDGRTLIVGGEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKVCFSCCS DGNIAVWDLHNQTLVRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREGRQLQQH DFTSQIFSLGYCPTGEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAYCGKWFV STGKDNLLNAWRTPYGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEVIY >ENSMUSP00000124977.1 pep:known chromosome:GRCm38:9:61373219:61418486:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000162583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MYPQGRHPAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELN AIIGQQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALGSQAHLAV KDEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKDSLSRYDS DGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASVASSSSTPSSK TKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPGKPPGMDPIASALRTPITL TSSYPAPFAMMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAAYGRSPMVSFGAVGF DPHPPMRATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAGPGIPRHARQINTL SHGEVVCAVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLNRDNYIRSCKLLPD GRTLIVGGEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKVCFSCCSDGNIAVW DLHNQTLVRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQIF SLGYCPTGEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDNL LNAWRTPYGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEVIY >ENSMUSP00000124557.1 pep:known chromosome:GRCm38:9:61373514:61416976:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000161207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRHYVMYYEM SYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELNAIIGQQQ LQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALGSQAHLAVKDEKNHH ELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKDSLSRYDSDGDKSDD LVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASVASSSSTPSSKTKDLGHN DKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPGKPPGMDPIASALRTPITLTSSYPAP FAMMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAAYGRSPMVSFGAVGFDPHPPMR ATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAGPGIPRHARQINTLSHGEVVC AVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLNRDNYIRSCKLLPDGRTLIVG GEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKVCFSCCSDGNIAVWDLHNQTL VRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQIFSLGYCPT GEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDNLLNAWRTP YGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEVIY >ENSMUSP00000125032.1 pep:known chromosome:GRCm38:9:61373438:61416716:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000159050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MYPQGRHPAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELN AIIGQQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALGSQAHLAV KDEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKDSLSRYDS DGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASVASSSSTPSSK TKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPGKPPGMDPIGIMASALRTP ITLTSSYPAPFAMMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAAYGRSPMVGFDP HPPMRATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAGPGIPRHARQINTLSH GEVVCAVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLNRDNYIRSCKLLPDGR TLIVGGEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKVCFSCCSDGNIAVWDL HNQTLVRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQIFSL GYCPTGEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDNLLN AWRTPYGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEVIY >ENSMUSP00000125049.1 pep:known chromosome:GRCm38:9:61372383:61417905:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000159386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MYPQGRHPAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELN AIIGQQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALGSQAHLAV KDEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKDSLSRYDS DGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASVASSSSTPSSK TKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPASALRTPITLTSSYPAPFA MMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAAYGRSPMVSFGAVGFDPHPPMRAT GLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAGPGIPRHARQINTLSHGEVVCAV TISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLNRDNYIRSCKLLPDGRTLIVGGE ASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKVCFSCCSDGNIAVWDLHNQTLVR QFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQIFSLGYCPTGE WLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDNLLNAWRTPYG ASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEVIY >ENSMUSP00000124150.1 pep:known chromosome:GRCm38:9:61375529:61416976:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000162127.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] YYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELNAII GVRGLPNLPLTQQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALG SQAHLAVKDEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKD SLSRYDSDGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASVASS SSTPSSKTKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPASALRTPITLTS SYPAPFAMMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAAYGRSPMVGFDPHPPMR ATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALAGPGIPRHARQINTLSHGEVVC AVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCLNRDNYIRSCKLLPDGRTLIVG GEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAKVCFSCCSDGNIAVWDLHNQTL VRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQIFSLGYCPT GEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDNLLNAWRTP YGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYEVIY >ENSMUSP00000124432.1 pep:known chromosome:GRCm38:9:61373381:61409365:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000161993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MYPQGRHPAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELN AIIGQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALGALGSQAHLAVK DEKNHHELDHRERESSTNNSVSPSESLRASEKHRGSADYSMEAKKRKAEEKDSLSRYDSD GDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPASVASSSSTPSSKT KDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPG >ENSMUSP00000124158.1 pep:known chromosome:GRCm38:9:61373482:61401892:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000160541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MQRHYVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTM TELNAIIGQQQLQAQHLSHAT >ENSMUSP00000125537.1 pep:known chromosome:GRCm38:9:61374329:61394637:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000161194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MQRHYVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVE >ENSMUSP00000136010.1 pep:known chromosome:GRCm38:9:61372366:61418497:1 gene:ENSMUSG00000032280.16 transcript:ENSMUST00000178113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle3 description:transducin-like enhancer of split 3, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104634] MYPQGRHPAPHQPGQPGFKFTVAESCDRIKDEFQFLQAQYHSLKVEYDKLANEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTILAQIMPFLSQEHQQQVAQAVERAKQVTMTELN AIIGVRGLPNLPLTQQQLQAQHLSHATHGPPVQLPPHPSGLQPPGIPPVTGSSSGLLALG ALGSQAHLAVKDEKNHHELDHRGNRNSSTNNSVSPSESLRASEKHRGSADYSMEAKKRKA EEKDSLSRYDSDGDKSDDLVVDVSNEDPATPRVSPAHSPPENGLDKARGLKKDAPTSPAS VASSSSTPSSKTKDLGHNDKSSTPGLKSNTPTPRNDAPTPGTSTTPGLRSMPGKPPGMDP IGIMASALRTPITLTSSYPAPFAMMSHHEMNGSLTSPSAYAGLHNIPSQMSAAAAAAAAA YGRSPMVSFGAVGFDPHPPMRATGLPSSLASIPGGKPAYSFHVSADGQMQPVPFPHDALA GPGIPRHARQINTLSHGEVVCAVTISNPTRHVYTGGKGCVKIWDISQPGSKSPISQLDCL NRDNYIRSCKLLPDGRTLIVGGEASTLTIWDLASPTPRIKAELTSSAPACYALAISPDAK VCFSCCSDGNIAVWDLHNQTLVRQFQGHTDGASCIDISHDGTKLWTGGLDNTVRSWDLRE GRQLQQHDFTSQIFSLGYCPTGEWLAVGMESSNVEVLHHTKPDKYQLHLHESCVLSLKFA YCGKWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISADDKYIVTGSGDKKATVYE VIY >ENSMUSP00000116174.1 pep:known chromosome:GRCm38:11:84129672:84214290:1 gene:ENSMUSG00000020532.18 transcript:ENSMUST00000133811.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaca description:acetyl-Coenzyme A carboxylase alpha [Source:MGI Symbol;Acc:MGI:108451] MMWWSTLMSLLRASSFWRRISAETIRIIRALRAYFERIMDEPSPLAKTLELNQHSRFIIG SVSEDNSEDEISNLVKLDLEEKEGSLSPASVSSDTLSDLGISGLQDGLAFHMRSSMSGLH LVKQGRDRKKIDSQRDFTVASPAEFVTRFGGNKVIEK >ENSMUSP00000099490.1 pep:known chromosome:GRCm38:11:84173122:84401651:1 gene:ENSMUSG00000020532.18 transcript:ENSMUST00000103201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaca description:acetyl-Coenzyme A carboxylase alpha [Source:MGI Symbol;Acc:MGI:108451] MDEPSPLAKTLELNQHSRFIIGSVSEDNSEDEISNLVKLDLEEKEGSLSPASVSSDTLSD LGISGLQDGLAFHMRSSMSGLHLVKQGRDRKKIDSQRDFTVASPAEFVTRFGGNKVIEKV LIANNGIAAVKCMRSIRRWSYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVPVPGG PNNNNYANVELILDIAKRIPVQAVWAGWGHASENPKLPELLLKNGIAFMGPPSQAMWALG DKIASSIVAQTAGIPTLPWSGSGLRVDWQENDFSKRILNVPQDLYEKGYVKDVDDGLKAA EEVGYPVMIKASEGGGGKGIRKVNNADDFPNLFRQVQAEVPGSPIFVMRLAKQSRHLEVQ ILADQYGNAISLFGRDCSVQRRHQKIIEEAPAAIATPAVFEHMEQCAVKLAKMVGYVSAG TVEYLYSQDGSFYFLELNPRLQVEHPCTEMVADVNLPAAQLQIAMGIPLFRIKDIRMMYG VSPWGDAPIDFENSAHVPCPRGHVIAARITSENPDEGFKPSSGTVQELNFRSNKNVWGYF SVAAAGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDFRTTVEYLIKLLETE SFQLNRIDTGWLDRLIAEKVQAERPDTMLGVVCGALHVADVSLRNSISNFLHSLERGQVL PAHTLLNTVDVELIYEGIKYVLKVTRQSPNSYVVIMNGSCVEVDVHRLSDGGLLLSYDGS SYTTYMKEEVDRYRITIGNKTCVFEKENDPSVMRSPSAGKLIQYIVEDGGHVFAGQCYAE IEVMKMVMTLTAVESGCIHYVKRPGAALDPGCVIAKMQLDNPSKVQQAELHTGSLPQIQS TALRGEKLHRVFHYVLDNLVNVMNGYCLPDPFFSSRVKDWVERLMKTLRDPSLPLLELQD IMTSVSGRIPLNVEKSIKKEMAQYASNITSVLCQFPSQQIANILDSHAATLNRKSEREVF FMNTQSIVQLVQRYRSGIRGHMKAVVMDLLRQYLRVETQFQNGHYDKCVFALREENKSDM NTVLNYIFSHAQVTKKNLLVTMLIDQLCGRDPTLTDELLNILTELTQLSKTTNAKVALRA RQVLIASHLPSYELRHNQVESIFLSAIDMYGHQFCIENLQKLILSETSIFDVLPNFFYHS NQVVRMAALEVYVRRAYIAYELNSVQHRQLKDNTCVVEFQFMLPTSHPNRGNIPTLNRMS FASNLNHYGMTHVASVSDVLLDNAFTPPCQRMGGMVSFRTFEDFVRIFDEIMGCFCDSPP QSPTFPESGHTSLYDEDKVPRDEPIHILNVAIKTDGDIEDDRLAAMFREFTQQNKATLVE HGIRRLTFLVAQKDFRKQVNCEVDQRFHREFPKFFTFRARDKFEEDRIYRHLEPALAFQL ELNRMRNFDLTAIPCANHKMHLYLGAAKVEVGTEVTDYRFFVRAIIRHSDLVTKEASFEY LQNEGERLLLEAMDELEVAFNNTNVRTDCNHIFLNFVPTVIMDPSKIEESVRSMVMRYGS RLWKLRVLQAELKINIRLTTTGKAIPIRLFLTNESGYYLDISLYKEVTDSRTAQIMFQAY GDKQGPLHGMLINTPYVTKDLLQSKRFQAQSLGTTYIYDIPEMFRQSLIKLWESMSTQAF LPSPPLPSDILTYTELVLDDQGQLVHMNRLPGGNEIGMVAWKMSLKSPEYPDGRDIIVIG NDITYRIGSFGPQEDLLFLRASELARAEGIPRIYVAANSGARIGLAEEIRHMFHVAWVDP EDPYKGYKYLYLTPQDYKRVSALNSVHCEHVEDEGESRYKITDIIGKEEGLGAENLRGSG MIAGESSLAYDEVITISLVTCRAIGIGAYLVRLGQRTIQVENSHLILTGAGALNKVLGRE VYTSNNQLGGIQIMHNNGVTHSTVCDDFEGVFTVLHWLSYMPKSVHSSVPLLNSKDPIDR IIEFVPTKAPYDPRWMLAGRPHPTQKGQWLSGFFDYGSFSEIMQPWAQTVVVGRARLGGI PVGVVAVETRTVELSIPADPANLDSEAKIIQQAGQVWFPDSAFKTYQAIKDFNREGLPLM VFANWRGFSGGMKDMYDQVLKFGAYIVDGLRECSQPVMVYIPPQAELRGGSWVVIDPTIN PRHMEMYADRESRGSVLEPEGTVEIKFRKKDLVKTMRRVDPVYIRLAERLGTPELSPTER KELESKLKEREEFLIPIYHQVAVQFADLHDTPGRMQEKGVINDILDWKTSRTFFYWRLRR LLLEDLVKKKIHNANPELTDGQIQAMLRRWFVEVEGTVKAYVWDNNKDLVEWLEKQLTEE DGVRSVIEENIKYISRDYVLKQIRSLVQANPEVAMDSIVHMTQHISPTQRAEVVRILSTM DSPST >ENSMUSP00000121274.2 pep:known chromosome:GRCm38:11:84179831:84214195:1 gene:ENSMUSG00000020532.18 transcript:ENSMUST00000137500.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaca description:acetyl-Coenzyme A carboxylase alpha [Source:MGI Symbol;Acc:MGI:108451] MDEPSPLAKTLELNQHSRFIIGSVSEDNSEDEISNLVKLDLEEKEGSLSPASVSSDTLSD LGISGLQDGLAFHMRSSMSGLHLVKQG >ENSMUSP00000117972.2 pep:known chromosome:GRCm38:11:84179831:84231692:1 gene:ENSMUSG00000020532.18 transcript:ENSMUST00000130012.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaca description:acetyl-Coenzyme A carboxylase alpha [Source:MGI Symbol;Acc:MGI:108451] MDEPSPLAKTLELNQHSRFIIGSVSEDNSEDEISNLVKLDLEEKEGSLSPASVSSDTLSD LGISGLQDGLAFHMRSSMSGLHLVKQGRDRKKIDSQRDFTVASPAEFVTRFGGNKVIEKV LIANNGIAAVKCMRSIRRWSYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVPVPGG PNNNNYANVELILDIAKRIPVQAVWAGWGHASENPKLPELLLKNGIAFMGPPSQAMWALG DKIASSIVAQTAGIPTLPWSGSGLRVDWQENDFSKRILNVPQDLYEKGYVKDVDDGLKAA EEVGYPVMIKAS >ENSMUSP00000116295.2 pep:known chromosome:GRCm38:11:84179858:84214184:1 gene:ENSMUSG00000020532.18 transcript:ENSMUST00000136463.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaca description:acetyl-Coenzyme A carboxylase alpha [Source:MGI Symbol;Acc:MGI:108451] MDEPSPLAKTLELNQHSRFIIGSVSEDNSEDEISNLVKLDLEEKEGSLSPASVSSDTLSD LGISGLQDGLAFHMRSSMSGLHLV >ENSMUSP00000139378.1 pep:known chromosome:GRCm38:11:84280455:84311401:1 gene:ENSMUSG00000020532.18 transcript:ENSMUST00000183887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaca description:acetyl-Coenzyme A carboxylase alpha [Source:MGI Symbol;Acc:MGI:108451] DNTCVVEFQFMLPTSHPNRMSFASNLNHYGMTHVASVSDVLLDNAFTPPCQRMGGMVSFR TFEDFVRIFDEIMGCFCDSPPQSPTFPESGHTSLYDEDKVPRDEPIHILNVAIKTDGDIE DDRLAAMFREFTQQNKATLVEHGIRRLTFLVAQKDFRKQVNCEVDQRFHREFPKFFTFRA RDKFEEDRIYRHLEPALAFQLELNRMRNFDLTAIPCANHKMHLYLGAA >ENSMUSP00000020843.6 pep:known chromosome:GRCm38:11:84195438:84401645:1 gene:ENSMUSG00000020532.18 transcript:ENSMUST00000020843.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaca description:acetyl-Coenzyme A carboxylase alpha [Source:MGI Symbol;Acc:MGI:108451] MDEPSPLAKTLELNQHSRFIIGSVSEDNSEDEISNLVKLDLEEKEGSLSPASVSSDTLSD LGISGLQDGLAFHMRSSMSGLHLVKQGRDRKKIDSQRDFTVASPAEFVTRFGGNKVIEKV LIANNGIAAVKCMRSIRRWSYEMFRNERAIRFVVMVTPEDLKANAEYIKMADHYVPVPGG PNNNNYANVELILDIAKRIPVQAVWAGWGHASENPKLPELLLKNGIAFMGPPSQAMWALG DKIASSIVAQTAGIPTLPWSGSGLRVDWQENDFSKRILNVPQDLYEKGYVKDVDDGLKAA EEVGYPVMIKASEGGGGKGIRKVNNADDFPNLFRQVQAEVPGSPIFVMRLAKQSRHLEVQ ILADQYGNAISLFGRDCSVQRRHQKIIEEAPAAIATPAVFEHMEQCAVKLAKMVGYVSAG TVEYLYSQDGSFYFLELNPRLQVEHPCTEMVADVNLPAAQLQIAMGIPLFRIKDIRMMYG VSPWGDAPIDFENSAHVPCPRGHVIAARITSENPDEGFKPSSGTVQELNFRSNKNVWGYF SVAAAGGLHEFADSQFGHCFSWGENREEAISNMVVALKELSIRGDFRTTVEYLIKLLETE SFQLNRIDTGWLDRLIAEKVQAERPDTMLGVVCGALHVADVSLRNSISNFLHSLERGQVL PAHTLLNTVDVELIYEGIKYVLKVTRQSPNSYVVIMNGSCVEVDVHRLSDGGLLLSYDGS SYTTYMKEEVDRYRITIGNKTCVFEKENDPSVMRSPSAGKLIQYIVEDGGHVFAGQCYAE IEVMKMVMTLTAVESGCIHYVKRPGAALDPGCVIAKMQLDNPSKVQQAELHTGSLPQIQS TALRGEKLHRVFHYVLDNLVNVMNGYCLPDPFFSSRVKDWVERLMKTLRDPSLPLLELQD IMTSVSGRIPLNVEKSIKKEMAQYASNITSVLCQFPSQQIANILDSHAATLNRKSEREVF FMNTQSIVQLVQRYRSGIRGHMKAVVMDLLRQYLRVETQFQNGHYDKCVFALREENKSDM NTVLNYIFSHAQVTKKNLLVTMLIDQLCGRDPTLTDELLNILTELTQLSKTTNAKVALRA RQVLIASHLPSYELRHNQVESIFLSAIDMYGHQFCIENLQKLILSETSIFDVLPNFFYHS NQVVRMAALEVYVRRAYIAYELNSVQHRQLKDNTCVVEFQFMLPTSHPNRGNIPTLNRMS FASNLNHYGMTHVASVSDVLLDNAFTPPCQRMGGMVSFRTFEDFVRIFDEIMGCFCDSPP QSPTFPESGHTSLYDEDKVPRDEPIHILNVAIKTDGDIEDDRLAAMFREFTQQNKATLVE HGIRRLTFLVAQKDFRKQVNCEVDQRFHREFPKFFTFRARDKFEEDRIYRHLEPALAFQL ELNRMRNFDLTAIPCANHKMHLYLGAAKVEVGTEVTDYRFFVRAIIRHSDLVTKEASFEY LQNEGERLLLEAMDELEVAFNNTNVRTDCNHIFLNFVPTVIMDPSKIEESVRSMVMRYGS RLWKLRVLQAELKINIRLTTTGKAIPIRLFLTNESGYYLDISLYKEVTDSRTAQIMFQAY GDKQGPLHGMLINTPYVTKDLLQSKRFQAQSLGTTYIYDIPEMFRQSLIKLWESMSTQAF LPSPPLPSDILTYTELVLDDQGQLVHMNRLPGGNEIGMVAWKMSLKSPEYPDGRDIIVIG NDITYRIGSFGPQEDLLFLRASELARAEGIPRIYVAANSGARIGLAEEIRHMFHVAWVDP EDPYKGYKYLYLTPQDYKRVSALNSVHCEHVEDEGESRYKITDIIGKEEGLGAENLRGSG MIAGESSLAYDEVITISLVTCRAIGIGAYLVRLGQRTIQVENSHLILTGAGALNKVLGRE VYTSNNQLGGIQIMHNNGVTHSTVCDDFEGVFTVLHWLSYMPKSVHSSVPLLNSKDPIDR IIEFVPTKAPYDPRWMLAGRPHPTQKGQWLSGFFDYGSFSEIMQPWAQTVVVGRARLGGI PVGVVAVETRTVELSIPADPANLDSEAKIIQQAGQVWFPDSAFKTYQAIKDFNREGLPLM VFANWRGFSGGMKDMYDQVLKFGAYIVDGLRECSQPVMVYIPPQAELRGGSWVVIDPTIN PRHMEMYADRESRGSVLEPEGTVEIKFRKKDLVKTMRRVDPVYIRLAERLGTPELSPTER KELESKLKEREEFLIPIYHQVAVQFADLHDTPGRMQEKGVINDILDWKTSRTFFYWRLRR LLLEDLVKKKIHNANPELTDGQIQAMLRRWFVEVEGTVKAYVWDNNKDLVEWLEKQLTEE DGVRSVIEENIKYISRDYVLKQIRSLVQANPEVAMDSIVHMTQHISPTQRAEVVRILSTM DSPST >ENSMUSP00000073552.3 pep:known chromosome:GRCm38:11:100023634:100029868:-1 gene:ENSMUSG00000057723.3 transcript:ENSMUST00000073890.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt33b description:keratin 33B [Source:MGI Symbol;Acc:MGI:1309991] MPYNCCLPAMSCRTSCSSRPCVPPSCHGCTLPGACNIPANVGNCNWFCEGSFNGNERETM QFLNDRLASYMEKVRQLERENAELECKIQERNQQQDPLVCPAYQAYFRTIEELQQKILCG KSENGRLVVQIDNAKLAADDFRTKYESELSLRQLVESDLNGLRRILDELTLCKSDLEAQV ESLRQELLCLKQNHEEEVNTLRCQLGDRLNVEVDAAPTVDLNRVLNETRCQYEALVETNR REVEEWFTTQTEELNKQVVSSSEQLQSCQAEIIELRRTVNALEIELQAQHSMRNSLENTL AESEARYSSQLSQVQCLITNVESQLGEIRADLERQNQEYQVLLDVKARLECEINTYRGLL ESEDCKLPCNPCATTNACDKPIGPCVTNPCVTRSRCGPCNSFGC >ENSMUSP00000001156.7 pep:known chromosome:GRCm38:X:20925454:20931555:-1 gene:ENSMUSG00000001128.7 transcript:ENSMUST00000001156.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfp description:complement factor properdin [Source:MGI Symbol;Acc:MGI:97545] MPAEMQAPQWLLLLLVILPATGSDPVLCFTQYEESSGRCKGLLGRDIRVEDCCLNAAYAF QEHDGGLCQACRSPQWSAWSLWGPCSVTCSEGSQLRHRRCVGRGGQCSENVAPGTLEWQL QACEDQPCCPEMGGWSEWGPWGPCSVTCSKGTQIRQRVCDNPAPKCGGHCPGEAQQSQAC DTQKTCPTHGAWASWGPWSPCSGSCLGGAQEPKETRSRSCSAPAPSHQPPGKPCSGPAYE HKACSGLPPCPVAGGWGPWSPLSPCSVTCGLGQTLEQRTCDHPAPRHGGPFCAGDATRNQ MCNKAVPCPVNGEWEAWGKWSDCSRLRMSINCEGTPGQQSRSRSCGGRKFNGKPCAGKLQ DIRHCYNIHNCIMKGSWSQWSTWSLCTPPCSPNATRVRQRLCTPLLPKYPPTVSMVEGQG EKNVTFWGTPRPLCEALQGQKLVVEEKRSCLHVPVCKDPEEKKP >ENSMUSP00000141442.1 pep:known chromosome:GRCm38:1:181144693:181166248:1 gene:ENSMUSG00000062169.13 transcript:ENSMUST00000193907.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnih4 description:cornichon family AMPA receptor auxiliary protein 4 [Source:MGI Symbol;Acc:MGI:1925828] MLVSLHWFIFLLNLPVATWNIYRFIMVPSGNMGVFDPTEIHNRGQLKSHMKEAMIKLGFY LLCFFMYLYSMILALIND >ENSMUSP00000115772.1 pep:known chromosome:GRCm38:1:181150931:181168994:1 gene:ENSMUSG00000062169.13 transcript:ENSMUST00000134115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnih4 description:cornichon family AMPA receptor auxiliary protein 4 [Source:MGI Symbol;Acc:MGI:1925828] MEAVVFLFSLLDCCALIFLSVYFIITLSDLECDYINARSCCSKLNKWVIPELVGHTIVTV LMLVSLHWFIFLLNLPVATWNIYRFIMVPSGNMGVFDPTEIHNRGQLKSHMKEAMIKLGF YLLCFFMYLYSMILALIND >ENSMUSP00000106688.1 pep:known chromosome:GRCm38:1:181150931:181168994:1 gene:ENSMUSG00000062169.13 transcript:ENSMUST00000111059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnih4 description:cornichon family AMPA receptor auxiliary protein 4 [Source:MGI Symbol;Acc:MGI:1925828] MEAVVFLFSLLDCCALIFLSVYFIITLSDLECDYINARSCCSKLNKWVIPELVGHTIVTV LMLVSLHWFIFLLNLPVATWNIYSMILALIND >ENSMUSP00000118828.1 pep:known chromosome:GRCm38:17:29360914:29379660:1 gene:ENSMUSG00000024013.14 transcript:ENSMUST00000123989.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fgd2 description:FYVE, RhoGEF and PH domain containing 2 [Source:MGI Symbol;Acc:MGI:1347084] MERACEKQDSVCNLVAVFENNRTPGEAPGSHSLEDQPHSPEHQLSLSPEPWEAPPVKEAL KSEFRPVSRTYLSSLKNKLSSGAWRRSCQPGVSPGPETQEPEEKRVVRELLETEQAYVAR LHLLDQVFFQELLREAGRSKAFPEDVVKLIFSNISSIYRFHAQFFLPELQRRVDDWAATP RIGDVIQKLAPFLKMYSEYVKNFERAAELLATWMDKSQPFQEVVTRIQCSEASSSLTLQH HMLEPVQRIPRYELLLKEYVQKLPAQAPDLEDAQRALDMIFSAAQHSNAAIAEMAHYQEA GTLPSLG >ENSMUSP00000024810.6 pep:known chromosome:GRCm38:17:29360942:29379553:1 gene:ENSMUSG00000024013.14 transcript:ENSMUST00000024810.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd2 description:FYVE, RhoGEF and PH domain containing 2 [Source:MGI Symbol;Acc:MGI:1347084] MERACEKQDSVCNLVAVFENNRTPGEAPGSHSLEDQPHSPEHQLSLSPEPWEAPPVKEAL KSEFRPVSRTYLSSLKNKLSSGAWRRSCQPGVSPGPETQEPEEKRVVRELLETEQAYVAR LHLLDQVFFQELLREAGRSKAFPEDVVKLIFSNISSIYRFHAQFFLPELQRRVDDWAATP RIGDVIQKLAPFLKMYSEYVKNFERAAELLATWMDKSQPFQEVVTRIQCSEASSSLTLQH HMLEPVQRIPRYELLLKEYVQKLPAQAPDLEDAQRALDMIFSAAQHSNAAIAEMERLQGL WDVYQRLGLEDDIVDPSNTLLREGPVLKISFRRSDPMERYLVLFNNMLLYCVPRVLQVGA QFQVRTRIDVAGMKVRELTDAEFPHSFLVSGKQRTLELQARSRDEMVSWMQACQAAIDQV EKRSETFKAAVQGPQGDTQEPKPQVEELGLRAPQWVRDKMVTMCMRCQEPFNALTRRRHH CRACGYVVCAKCSDYRAELKYDSNRPNRVCLTCYTFLTGNVLPQGKEDKRRGILEKEASA APEQSLVCSFLQLIGDKCSRSLPRSWCVIPRDDPLVLYVYAAPQDTKAHTSIPLLGYQVI SGPQGDPRVFQLQQSGQQYTFKAESVELQGRWVTAIKRAASGRTPEGPDEEDVSD >ENSMUSP00000099005.1 pep:known chromosome:GRCm38:6:42927233:42928159:1 gene:ENSMUSG00000073111.1 transcript:ENSMUST00000101461.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr446 description:olfactory receptor 446 [Source:MGI Symbol;Acc:MGI:3030280] MNQTWITEVILLGFQVDPSLEMLLFGLFLLFYCLTLMGNGIILGLICLDARLHTPMYFFL SHLAIVDMSYASSTAPKMLTNMVMHQKSISFASCILQTFLYLAFAVTECLILVVMSYDRF VAICHPLKYTLIMSWRVCSILAATCWVFSFLLASLHITLILRLPFCGPQKVNHFFCQIMS VFRLACADTRLNQVVLFAGSVMVLLGPLCLVLVSYTRILVAILGIHSGEGRRKAFSTCSS HLCVVGLFFGCAIAMYMAPKSKHSQEQRKILSLFYSLFNPMLNPLIYSLRNTEVKGALRR VLWKQRSL >ENSMUSP00000033597.8 pep:known chromosome:GRCm38:X:109004534:109013404:-1 gene:ENSMUSG00000031245.8 transcript:ENSMUST00000033597.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn5 description:high-mobility group nucleosome binding domain 5 [Source:MGI Symbol;Acc:MGI:1355295] MPKRKAAGDVSQEPKRRSARLSAMPVPFTPELKPKRASTSRKTKTTNVVEENKDASTIPI PETKPEDVKDECNMENAENGEAKIMEAPIPKMEAEEVKEQINEDTEEDGGEKKEAVAAEA KDDELKANIQDVEKDEDGKEHKDTGEEVEDGKIEEEGLNEKPGTAKSEDAEVSKDEEEKG DNEKGEDGKEEGDEKEEEKDDKEGDTGTEKEVKEQNKEAEEDDGKCKEEENKEVGKEGQP EEDGKEDLHEEVGKEDLHEEDGKEGQPEEDGKEIHHEEDGKEGQPEEDGKEYLHEEDGEE GQPKEDQKEGQPEEDGKEDQPEEDGKEGQCKEDGKEGHHEEGGKEDLHEEDGKEKDGGKE DRKEEGEQEVAVDEGSDENKVEAEEEGAENKDFKQDGEKEEPLSIV >ENSMUSP00000056080.5 pep:known chromosome:GRCm38:9:54286486:54341786:1 gene:ENSMUSG00000046167.5 transcript:ENSMUST00000056740.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gldn description:gliomedin [Source:MGI Symbol;Acc:MGI:2388361] MTRAAERGQGATGWGLRGALVAIALLSALNAAGTVFVLCQWRGLSAALRALEAQRGREQR EDSALRAFLAELSRAPGRVPEPSQDPMSAARNKRSHNGEPASHIRAESQDMMMMMTYSMV PIRVMIDLCNSTQGICLTGPPGPPGPPGAGGLPGHNGSDGQPGLQGPKGEKGAIGKRGKM GLPGATGNPGEKGEKGDAGELGLPGNEGPPGQKGDKGDKGDVSNDVLLTGAKGDQGPPGP PGPPGPPGPPGSRRSKGPRPPNVFNSQCPGETCVIPNDDTLVGRADEKANERHSPQTESM ITSIGNPAQVLKVRETFGTWMRESANKSDDRIWVTEHFSGIMVKEFKDLPALLNSSFTLL HLPHYFHGCGHAVYNNSLYYHKGGSNTIVRFEFGKETPQTLKLENALYFDRKYLFANSKT YFNIAVDEKGIWIIYASSVDGSSILVAQLDERTFSVTQHINTTYPKSKAGNAFIARGILY VTDTKDTRVTFAFDLLGGKQINANFDFRMSQSVLAMLSYNMRDQHLYSWEDGHLMLYPVQ FLSAASSQR >ENSMUSP00000141087.1 pep:known chromosome:GRCm38:1:53297111:53318035:1 gene:ENSMUSG00000100679.1 transcript:ENSMUST00000190734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28778 description:predicted gene 28778 [Source:MGI Symbol;Acc:MGI:5579484] MNVGVAHSEVNPNTRVMNSRGMWLTYALGVGLLHIVLLSIPFCSVPVAWTLTNIIHNLGM YVFLHAVKGTPFETPDQGRARLLTHWEQLDYGVQFTSSRKFFTISPIILICRVTNMLMLR RTAGAIPKPPKSKVYGFLRRFSVHPRTLSCHKLVLGIETSCDDTGAAVVDETGNVLGEAL HSQTQVHLKTGGIVPPVAQQLHRENIQRIVEETLSACRITPSDLSAIATTIKP >ENSMUSP00000020957.6 pep:known chromosome:GRCm38:12:28675231:28682175:1 gene:ENSMUSG00000020629.12 transcript:ENSMUST00000020957.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adi1 description:acireductone dioxygenase 1 [Source:MGI Symbol;Acc:MGI:2144929] MVQAWYMDESTADPRKPHRAQPDRPVSLEQLRTLGVLYWKLDADKYENDPELEKIRKMRN YSWMDIITICKDTLPNYEEKIKMFFEEHLHLDEEIRYILEGSGYFDVRDKEDKWIRISME KGDMITLPAGIYHRFTLDEKNYVKAMRLFVGEPVWTPYNRPADHFDARVQYMSFLEGTA >ENSMUSP00000122965.1 pep:known chromosome:GRCm38:12:28675484:28681730:1 gene:ENSMUSG00000020629.12 transcript:ENSMUST00000135772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adi1 description:acireductone dioxygenase 1 [Source:MGI Symbol;Acc:MGI:2144929] AVSRDFHNCREGFGLVAPAFLLLDADKYENDPELEKIRKMRNYSWMDIITICKDTLPNYE EKIKMFFEEHLHLDEEIRYILEGSGYFDVRDKEDKWIRISMEKGDMITLPAGIYHRFTLD EKNYVKAMRLFVGEPVWTPYNRPADHFDARVQYMSFLEGTA >ENSMUSP00000092138.2 pep:known chromosome:GRCm38:5:102481391:102897937:1 gene:ENSMUSG00000057315.14 transcript:ENSMUST00000094559.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap24 description:Rho GTPase activating protein 24 [Source:MGI Symbol;Acc:MGI:1922647] MEERCESTESPQGQGRQNTKCGWLRKQGGFVKTWHTRWFVLKGDQLYYFKDEDETKPLGT IFLHGNKVIEHPCNEENPGKFLFEVVPGGERDRMTANHESYLLMASTQNDMEDWVKSIRR VIWGPFGGGIFGQKLEDTVRYEKRYGNRLAPMLVEQCVDFIRQRGLKEEGLFRLPGQANL VKELQDAFDCGEKPSFDSNTDVHTVASLLKLYLRELPEPVVPYAKYEDFLSCATLLSKEE EAGVKELMKQVKSLPVVNYNLLKYICRFLDEVQSYSGVNKMSAQNLATVFGPNILRPKVE DPLTIMEGTVVVQQLMSVMISKHDRLFPKDTEPQSKPQDGPNSNNNDGHKKATMGQLQNK ENNNTKESPVRRCSWDKPESPQRSSVDNGSPTALSGSKTNSPRNSIHKLDISRSPPLMVK KNPAFNKGSGIVTNGSFSSSNAEGVEKPQTTPNGSLQARRTSSLKSSGTKMGTHSVQNGT VRMGILNTDTLGNSLNGRSMSWLPNGYVTLRDNKQKEPAGESGQHNRLSTYDNVHQQFSS MSLDDKHSVDSATWSTSSCEISLPENSNSCRSSTTTCPEQDFYVGNFEDPVLDGPPQDDL SHPGDYENKSDRRSVGGRSSRATSSSDNSETFVGNTSSNHSALHSLVSSLKQEMTKQKIE YESRIKSLEQRNLTLETEMLSLHDELDQERKKFTMIEIKMRNAERAKEDAEKRNDMLQKE MEQFFSTFGDLTVEPRRSERGNTIWIQ >ENSMUSP00000108475.1 pep:known chromosome:GRCm38:5:102481553:102897937:1 gene:ENSMUSG00000057315.14 transcript:ENSMUST00000112854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap24 description:Rho GTPase activating protein 24 [Source:MGI Symbol;Acc:MGI:1922647] MTANHESYLLMASTQNDMEDWVKSIRRVIWGPFGGGIFGQKLEDTVRYEKRYGNRLAPML VEQCVDFIRQRGLKEEGLFRLPGQANLVKELQDAFDCGEKPSFDSNTDVHTVASLLKLYL RELPEPVVPYAKYEDFLSCATLLSKEEEAGVKELMKQVKSLPVVNYNLLKYICRFLDEVQ SYSGVNKMSAQNLATVFGPNILRPKVEDPLTIMEGTVVVQQLMSVMISKHDRLFPKDTEP QSKPQDGPNSNNNDGHKKATMGQLQNKENNNTKESPVRRCSWDKPESPQRSSVDNGSPTA LSGSKTNSPRNSIHKLDISRSPPLMVKKNPAFNKGSGIVTNGSFSSSNAEGVEKPQTTPN GSLQARRTSSLKSSGTKMGTHSVQNGTVRMGILNTDTLGNSLNGRSMSWLPNGYVTLRDN KQKEPAGESGQHNRLSTYDNVHQQFSSMSLDDKHSVDSATWSTSSCEISLPENSNSCRSS TTTCPEQDFYVGNFEDPVLDGPPQDDLSHPGDYENKSDRRSVGGRSSRATSSSDNSETFV GNTSSNHSALHSLVSSLKQEMTKQKIEYESRIKSLEQRNLTLETEMLSLHDELDQERKKF TMIEIKMRNAERAKEDAEKRNDMLQKEMEQFFSTFGDLTVEPRRSERGNTIWIQ >ENSMUSP00000108474.1 pep:known chromosome:GRCm38:5:102724973:102897937:1 gene:ENSMUSG00000057315.14 transcript:ENSMUST00000112853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap24 description:Rho GTPase activating protein 24 [Source:MGI Symbol;Acc:MGI:1922647] MTANHESYLLMASTQNDMEDWVKSIRRVIWGPFGGGIFGQKLEDTVRYEKRYGNRLAPML VEQCVDFIRQRGLKEEGLFRLPGQANLVKELQDAFDCGEKPSFDSNTDVHTVASLLKLYL RELPEPVVPYAKYEDFLSCATLLSKEEEAGVKELMKQVKSLPVVNYNLLKYICRFLDEVQ SYSGVNKMSAQNLATVFGPNILRPKVEDPLTIMEGTVVVQQLMSVMISKHDRLFPKDTEP QSKPQDGPNSNNNDGHKKATMGQLQNKENNNTKESPVRRCSWDKPESPQRSSVDNGSPTA LSGSKTNSPRNSIHKLDISRSPPLMVKKNPAFNKGSGIVTNGSFSSSNAEGVEKPQTTPN GSLQARRTSSLKSSGTKMGTHSVQNGTVRMGILNTDTLGNSLNGRSMSWLPNGYVTLRDN KQKEPAGESGQHNRLSTYDNVHQQFSSMSLDDKHSVDSATWSTSSCEISLPENSNSCRSS TTTCPEQDFYVGNFEDPVLDGPPQDDLSHPGDYENKSDRRSVGGRSSRATSSSDNSETFV GNTSSNHSALHSLVSSLKQEMTKQKIEYESRIKSLEQRNLTLETEMLSLHDELDQERKKF TMIEIKMRNAERAKEDAEKRNDMLQKEMEQFFSTFGDLTVEPRRSERGNTIWIQ >ENSMUSP00000108473.1 pep:known chromosome:GRCm38:5:102768771:102897937:1 gene:ENSMUSG00000057315.14 transcript:ENSMUST00000112852.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap24 description:Rho GTPase activating protein 24 [Source:MGI Symbol;Acc:MGI:1922647] MTANHESYLLMASTQNDMEDWVKSIRRVIWGPFGGGIFGQKLEDTVRYEKRYGNRLAPML VEQCVDFIRQRGLKEEGLFRLPGQANLVKELQDAFDCGEKPSFDSNTDVHTVASLLKLYL RELPEPVVPYAKYEDFLSCATLLSKEEEAGVKELMKQVKSLPVVNYNLLKYICRFLDEVQ SYSGVNKMSAQNLATVFGPNILRPKVEDPLTIMEGTVVVQQLMSVMISKHDRLFPKDTEP QSKPQDGPNSNNNDGHKKATMGQLQNKENNNTKESPVRRCSWDKPESPQRSSVDNGSPTA LSGSKTNSPRNSIHKLDISRSPPLMVKKNPAFNKGSGIVTNGSFSSSNAEGVEKPQTTPN GSLQARRTSSLKSSGTKMGTHSVQNGTVRMGILNTDTLGNSLNGRSMSWLPNGYVTLRDN KQKEPAGESGQHNRLSTYDNVHQQFSSMSLDDKHSVDSATWSTSSCEISLPENSNSCRSS TTTCPEQDFYVGNFEDPVLDGPPQDDLSHPGDYENKSDRRSVGGRSSRATSSSDNSETFV GNTSSNHSALHSLVSSLKQEMTKQKIEYESRIKSLEQRNLTLETEMLSLHDELDQERKKF TMIEIKMRNAERAKEDAEKRNDMLQKEMEQFFSTFGDLTVEPRRSERGNTIWIQ >ENSMUSP00000070048.4 pep:known chromosome:GRCm38:5:102845007:102897937:1 gene:ENSMUSG00000057315.14 transcript:ENSMUST00000070000.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap24 description:Rho GTPase activating protein 24 [Source:MGI Symbol;Acc:MGI:1922647] MMPEDRNSGGRPSGALASTPFIPKTTYRRIKRCFSFRKGIFGQKLEDTVRYEKRYGNRLA PMLVEQCVDFIRQRGLKEEGLFRLPGQANLVKELQDAFDCGEKPSFDSNTDVHTVASLLK LYLRELPEPVVPYAKYEDFLSCATLLSKEEEAGVKELMKQVKSLPVVNYNLLKYICRFLD EVQSYSGVNKMSAQNLATVFGPNILRPKVEDPLTIMEGTVVVQQLMSVMISKHDRLFPKD TEPQSKPQDGPNSNNNDGHKKATMGQLQNKENNNTKESPVRRCSWDKPESPQRSSVDNGS PTALSGSKTNSPRNSIHKLDISRSPPLMVKKNPAFNKGSGIVTNGSFSSSNAEGVEKPQT TPNGSLQARRTSSLKSSGTKMGTHSVQNGTVRMGILNTDTLGNSLNGRSMSWLPNGYVTL RDNKQKEPAGESGQHNRLSTYDNVHQQFSSMSLDDKHSVDSATWSTSSCEISLPENSNSC RSSTTTCPEQDFYVGNFEDPVLDGPPQDDLSHPGDYENKSDRRSVGGRSSRATSSSDNSE TFVGNTSSNHSALHSLVSSLKQEMTKQKIEYESRIKSLEQRNLTLETEMLSLHDELDQER KKFTMIEIKMRNAERAKEDAEKRNDMLQKEMEQFFSTFGDLTVEPRRSERGNTIWIQ >ENSMUSP00000073028.5 pep:known chromosome:GRCm38:5:102481391:102897936:1 gene:ENSMUSG00000057315.14 transcript:ENSMUST00000073302.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap24 description:Rho GTPase activating protein 24 [Source:MGI Symbol;Acc:MGI:1922647] MTANHESYLLMASTQNDMEDWVKSIRRVIWGPFGGGIFGQKLEDTVRYEKRYGNRLAPML VEQCVDFIRQRGLKEEGLFRLPGQANLVKELQDAFDCGEKPSFDSNTDVHTVASLLKLYL RELPEPVVPYAKYEDFLSCATLLSKEEEAGVKELMKQVKSLPVVNYNLLKYICRFLDEVQ SYSGVNKMSAQNLATVFGPNILRPKVEDPLTIMEGTVVVQQLMSVMISKHDRLFPKDTEP QSKPQDGPNSNNNDGHKKATMGQLQNKENNNTKESPVRRCSWDKPESPQRSSVDNGSPTA LSGSKTNSPRNSIHKLDISRSPPLMVKKNPAFNKGSGIVTNGSFSSSNAEGVEKPQTTPN GSLQARRTSSLKSSGTKMGTHSVQNGTVRMGILNTDTLGNSLNGRSMSWLPNGYVTLRDN KQKEPAGESGQHNRLSTYDNVHQQFSSMSLDDKHSVDSATWSTSSCEISLPENSNSCRSS TTTCPEQDFYVGNFEDPVLDGPPQDDLSHPGDYENKSDRRSVGGRSSRATSSSDNSETFV GNTSSNHSALHSLVSSLKQEMTKQKIEYESRIKSLEQRNLTLETEMLSLHDELDQERKKF TMIEIKMRNAERAKEDAEKRNDMLQKEMEQFFSTFGDLTVEPRRSERGNTIWIQ >ENSMUSP00000027264.3 pep:known chromosome:GRCm38:1:53344617:53352752:-1 gene:ENSMUSG00000026095.15 transcript:ENSMUST00000027264.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asnsd1 description:asparagine synthetase domain containing 1 [Source:MGI Symbol;Acc:MGI:1917646] MCGICCSVSFSIEHFSKELKEDLLHNLRRRGPNSSRQLLKSAVNYQCLFSGHVLHLRGVL TIQPVEDEHGNVFLWNGEVFNGVKVEAEDNDTQVMFNSLSACKNESEILLLFSKVQGPWS FIYYQASSHHLWFGRDFFGRRSLLWQFSNLGKSFCLSSVGTQVYGVADQWQEVPASGIFQ IDLNSAAVSRSVILKLYPWRYISKEDIAEECGNDLTQTPAGLPEFVSVVINEANLYLSKP VVPLNKKLPESPLEIQCRNSSSTSGTRETLEVFLTDEHTKKIVQQFIAILNVSVKRRILC LAREENLASKEVLKTCSSKANIAILFSGGVDSMVIAALADRHIPLDEPIDLLNVAFVPKQ KTGLPIPNIERKQQNHHEIPSEESSQSPAADEGPGEAEVPDRVTGKAGLKELQSVNPSRT WNFVEINVSLEELQKLRRARICHLVQPLDTVLDDSIGCAVWFASRGIGWLVTQDAVRSYK SSAKVILTGIGADEQLAGYSRHRARFQSLGLEGLNEEIAMELGRISSRNLGRDDRVIGDH GKEARFPFLDENVVSFLNSLPVWEKVDLTLPRGVGEKLILRLAAMELGLPASALLPKRAI QFGSRIAKLEKSNEKASDKCGRLQILP >ENSMUSP00000139025.1 pep:known chromosome:GRCm38:1:53344645:53352752:-1 gene:ENSMUSG00000026095.15 transcript:ENSMUST00000123519.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asnsd1 description:asparagine synthetase domain containing 1 [Source:MGI Symbol;Acc:MGI:1917646] MCGICCSVILTGIGADEQLAGYSRHRARFQSLGLEGLNEEIAMELGRISSRNLGRDDRVI GDHGKEARFPFLDENVVSFLNSLPVWEKVDLTLPRGVGEKLILRLAAMELGLPASALLPK RAIQFGSRIAKLEKSNEKASDKCGRLQILP >ENSMUSP00000137676.1 pep:known chromosome:GRCm38:1:53347831:53352752:-1 gene:ENSMUSG00000026095.15 transcript:ENSMUST00000147021.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asnsd1 description:asparagine synthetase domain containing 1 [Source:MGI Symbol;Acc:MGI:1917646] MPSSGVHADGRAAPGPPHTSTAHAESLSSKVCDDGGVSPEKK >ENSMUSP00000120873.1 pep:known chromosome:GRCm38:1:53348238:53351275:-1 gene:ENSMUSG00000026095.15 transcript:ENSMUST00000154402.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asnsd1 description:asparagine synthetase domain containing 1 [Source:MGI Symbol;Acc:MGI:1917646] MCGICCSVSFSIEHFSKELKEDLLHNLRRRGPNSSRQLLKSAVNYQCLFSGHVLHLRGVL TIQPVEDEHGNVFLWN >ENSMUSP00000138360.1 pep:known chromosome:GRCm38:19:38481121:38783430:1 gene:ENSMUSG00000024998.17 transcript:ENSMUST00000182481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plce1 description:phospholipase C, epsilon 1 [Source:MGI Symbol;Acc:MGI:1921305] MTSEEMAASVLIPVTQRKVASAQSVAEERSVKVSDAGIPRARAGRQGALIPPTISQWNKH KEESSRSDLSKVFSIARGELVCDENSNEEGWEENAPDSPENHAMNGNSLVQSHQHQFPRS QLCEARDSVTEDPCLQPGIPSPLERKVLPGIQLEMEDSPMDVSPAGSQPRIMESSGPHSD RNTAVFHFHYEADRTMSDAFHTLSENLILDDCANCVTLPGGQQNKNCMAYACKLVELTRT CGSKNGQVQCEHCTSLRDEYLCFESSCSKADEVCSGGGFCEDGFAHGPAAKTFLNPLEDF SDNCEDVDDFFKSKKERSTLLVRRFCKNDREVKKSVYTGTRAIMRTLPSGCIGPAAWNYV DQKKAGLLWPCGNVMGTLSAMDIRQSGSQRLSEAQWCLIYSAVRRGEEIEDTVGSLLHCS TQLPNSETAHGRIEDGPCLKQCVRDTECEFRATLQRTSIAQYITGSLLEATTSLGARSGL LSSFGGSTGRIMLKERQLGTSMANSNPVPSSSAGISKELIDLQPLIQFPEEVASILTEQE QNIYRRVLPMDYLCFLTRDLSSPECQRSLPRLKASISESILTSQSGEHNALEDLVMRFNE VSSWVTWLILTAGSMEEKREVFSYLVHVAKCCWNMGNYNAVMEFLAGLRSRKVLKMWQFM DQSDIETMRSLKDAMAQHESSVEYKKVVTRALHIPGCKVVPFCGVFLKELCEVLDGASGL LKLCPRYSSQEEALEFVADYSGQDNFLQRVGQNGLKNSEKELTVNSIFQVIRSCSRSLEM EEEDSASEGSGSRKNSLKDKARWQFIIGDLLDSENDIFEKSKECDPHGSEESQKAFDHGT ELIPWYVLSIQADVHQFLLQGATVIHYDQDTHLSARCFLQLQPDNSTLTWMKPPTASPAG ARPKLGVLSNMAEPGKFPSPGNAGVSGLAEGILDLFSVKAVYMGHPGIDIHTVCVQNKLS SMLLSETGVTLLYGLQTTDNRLLHFVAPKHTAEMLFSGLLELTTAVRKIRRFPDQRQQWL RKQYVSLYQEDGRYEGPTLAHAVELFGGRRWSTRNPSPGMSAKNAEKPNMQRNNTLGIST TKKKKKMLMRGESGEVTDDEMATRKAKMYRECRSRSGSDPQDVNEQEESEANVITNPPNP LHSRRAYSLTTAGSPNLATGMSSPISAWSSSSWHGRIRGGMQGFQSFMVSDSNMSFVEFV ELFKSFSIRSRKDLKDIFDIYSVPCNRSASESAPLYTNLTIEENTSDLQPDLDLLTRNVS DLGLFIKSKQQLSDNQRQISDAIAAASIVTNGTGIESTSLGIFGVGILQLNDFLVNCQGE HCTYDEILSIIQKFEPSVSMCHQGLLSFEGFARFLMDKDNFASKNDESRENKKELQLPLS YYYIESSHNTYLTGHQLKGESSVELYSQVLLQGCRSIELDCWDGDDGMPIIYHGHTLTTK IPFKEVVEAIDRSAFITSDLPIIISIENHCSLPQQRKMAEIFKSVFGEKLVAKFLFETDF SDDPMLPSPDQLRRKVLLKNKKLKAHQTPVDILKQKAHQLASMQAQAFTGGNANPPPASN EEEEDEEDEYDYDYESLSDDNILEDRPENKSCADKLQFEYNEEVPKRIKKADNSSGNKGK VYDMELGEEFYLPQNKKESRQIAPELSDLVIYCQAVKFPGLSTLNSSGSSRGKERKSRKS IFGNNPGRMSPGETAPFNRTSGKGSCEGMRHTWEESSPLSPSTSLSAIIRTPKCYHISSL NENAAKRLCRRGSQKLIQHTAYQLLRTYPAATRIDSSNPNPIMFWLHGIQLVALNYQTDD LPLHLNAAMFEANGGCGYVLKPPVLWDKSCPMYQKFSPLERDLDNLDPAIYSLTIISGQN VCPSNSTGSPCIEVDVLGMPLDSCHFRTKPIHRNTLNPMWNEQFLFRVHFEDLVFLRFAV VENNSSAITAQRIIPLRALKRGYRHLQLRNLHNEILEISSLFINSRRMEENPSGSSMPAS LMFNTEERKCSQTHKVTVHGVPGPEPFAVFTINEGTKAKQLLQQVLAVDQDTKCTATDYF LMEEKHFISKEKNECRKQPFQRAVGPEEDIVQILNSWFPEEGYVGRIVLKPQQETLEEKS IVFDDKEVILSSEEESFFVQVHDVSPEQPRTVIKAPRVSTAQDVIQQTLCKAKYSYSILN NPNPCDYVLLEEVLKDAANKKSSTPKSSQRILLDQECVFQAQSKWKGAGKFILKLKEQVQ ASREDKRRGISFASELKKLTKSTKQSRGLPSPPQLVASESVQSKEEKPVGALSSSDTVGY QQ >ENSMUSP00000130604.1 pep:known chromosome:GRCm38:19:38524197:38785030:1 gene:ENSMUSG00000024998.17 transcript:ENSMUST00000169713.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plce1 description:phospholipase C, epsilon 1 [Source:MGI Symbol;Acc:MGI:1921305] MTSEEMAASVLIPVTQRKVASAQSVAEERSVKVSDAGIPRARAGRQGALIPPTISQWNKH KEESSRSDLSKVFSIARGELVCDENSNEEGWEENAPDSPENHAMNGNSLVQSHQHQFPRS QLCEARDSVTEDPCLQPGIPSPLERKVLPGIQLEMEDSPMDVSPAGSQPRIMESSGPHSD RNTAVFHFHYEADRTMSDAFHTLSENLILDDCANCVTLPGGQQNKNCMAYACKLVELTRT CGSKNGQVQCEHCTSLRDEYLCFESSCSKADEVCSGGGFCEDGFAHGPAAKTFLNPLEDF SDNCEDVDDFFKSKKERSTLLVRRFCKNDREVKKSVYTGTRAIMRTLPSGCIGPAAWNYV DQKKAGLLWPCGNVMGTLSAMDIRQSGSQRLSEAQWCLIYSAVRRGEEIEDTVGSLLHCS TQLPNSETAHGRIEDGPCLKQCVRDTECEFRATLQRTSIAQYITGSLLEATTSLGARSGL LSSFGGSTGRIMLKERQLGTSMANSNPVPSSSAGISKELIDLQPLIQFPEEVASILTEQE QNIYRRVLPMDYLCFLTRDLSSPECQRSLPRLKASISESILTSQSGEHNALEDLVMRFNE VSSWVTWLILTAGSMEEKREVFSYLVHVAKCCWNMGNYNAVMEFLAGLRSRKVLKMWQFM DQSDIETMRSLKDAMAQHESSVEYKKVVTRALHIPGCKVVPFCGVFLKELCEVLDGASGL LKLCPRYSSQEEALEFVADYSGQDNFLQRVGQNGLKNSEKELTVNSIFQVIRSCSRSLEM EEEDSASEGSGSRKNSLKDKARWQFIIGDLLDSENDIFEKSKECDPHGSEESQKAFDHGT ELIPWYVLSIQADVHQFLLQGATVIHYDQDTHLSARCFLQLQPDNSTLTWMKPPTASPAG ARPKLGVLSNMAEPGKFPSPGNAGVSGLAEGILDLFSVKAVYMGHPGIDIHTVCVQNKLS SMLLSETGVTLLYGLQTTDNRLLHFVAPKHTAEMLFSGLLELTTAVRKIRRFPDQRQQWL RKQYVSLYQEDGRYEGPTLAHAVELFGGRRWSTRNPSPGMSAKNAEKPNMQRNNTLGIST TKKKKKMLMRGESGEVTDDEMATRKAKMYRECRSRSGSDPQDVNEQEESEANVITNPPNP LHSRRAYSLTTAGSPNLATGMSSPISAWSSSSWHGRIRGGMQGFQSFMVSDSNMSFVEFV ELFKSFSIRSRKDLKDIFDIYSVPCNRSASESAPLYTNLTIEENTSDLQPDLDLLTRNVS DLGLFIKSKQQLSDNQRQISDAIAAASIVTNGTGIESTSLGIFGVGILQLNDFLVNCQGE HCTYDEILSIIQKFEPSVSMCHQGLLSFEGFARFLMDKDNFASKNDESRENKKELQLPLS YYYIESSHNTYLTGHQLKGESSVELYSQVLLQGCRSIELDCWDGDDGMPIIYHGHTLTTK IPFKEVVEAIDRSAFITSDLPIIISIENHCSLPQQRKMAEIFKSVFGEKLVAKFLFETDF SDDPMLPSPDQLRRKVLLKNKKLKAHQTPVDILKQKAHQLASMQAQAFTGGNANPPPASN EEEEDEEDEYDYDYESLSDDNILEDRPENKSCADKLQFEYNEEVPKRIKKADNSSGNKGK VYDMELGEEFYLPQNKKESRQIAPELSDLVIYCQAVKFPGLSTLNSSGSSRGKERKSRKS IFGNNPGRMSPGETAPFNRTSGKGSCEGMRHTWEESSPLSPSTSLSAIIRTPKCYHISSL NENAAKRLCRRGSQKLIQHTAYQLLRTYPAATRIDSSNPNPIMFWLHGIQLVALNYQTDD LPLHLNAAMFEANGGCGYVLKPPVLWDKSCPMYQKFSPLERDLDNLDPAIYSLTIISGQN VCPSNSTGSPCIEVDVLGMPLDSCHFRTKPIHRNTLNPMWNEQFLFRVHFEDLVFLRFAV VENNSSAITAQRIIPLRALKRGYRHLQLRNLHNEILEISSLFINSRRMEENPSGSSMPAS LMFNTEERKCSQTHKVTVHGVPGPEPFAVFTINEGTKAKQLLQQVLAVDQDTKCTATDYF LMEEKHFISKEKNECRKQPFQRAVGPEEDIVQILNSWFPEEGYVGRIVLKPQQETLEEKS IVFDDKEVILSSEEESFFVQVHDVSPEQPRTVIKAPRVSTAQDVIQQTLCKAKYSYSILN NPNPCDYVLLEEVLKDAANKKSSTPKSSQRILLDQECVFQAQSKWKGAGKFILKLKEQVQ ASREDKRRGISFASELKKLTKSTKQSRGLPSPPQLVASESVQSKEEKPVGALSSSDTVGY QQ >ENSMUSP00000138330.1 pep:known chromosome:GRCm38:19:38524209:38782795:1 gene:ENSMUSG00000024998.17 transcript:ENSMUST00000182267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plce1 description:phospholipase C, epsilon 1 [Source:MGI Symbol;Acc:MGI:1921305] MTSEEMAASVLIPVTQRKVASAQSVAEERSVKVSDAGIPRARAGRQGALIPPTISQWNKH KEESSRSDLSKVFSIARGELVCDENSNEEGWEENAPDSPENHAMNGNSLVQSHQHQFPRS QLCEARDSVTEDPCLQPGIPSPLERKVLPGIQLEMEDSPMDVSPAGSQPRIMESSGPHSD RNTAVFHFHYEADRTMSDAFHTLSENLILDDCANCVTLPGGQQNKNCMAYACKLVELTRT CGSKNGQVQCEHCTSLRDEYLCFESSCSKADEVCSGGGFCEDGFAHGPAAKTFLNPLEDF SDNCEDVDDFFKSKKERSTLLVRRFCKNDREVKKSVYTGTRAIMRTLPSGCIGPAAWNYV DQKKAGLLWPCGNVMGTLSAMDIRQSGSQRLSEAQWCLIYSAVRRGEEIEDTVGSLLHCS TQLPNSETAHGRIEDGPCLKQCVRDTECEFRATLQRTSIAQYITGSLLEATTSLGARSGL LSSFGGSTGRIMLKERQLGTSMANSNPVPSSSAGISKELIDLQPLIQFPEEVASILTEQE QNIYRRVLPMDYLCFLTRDLSSPECQRSLPRLKASISESILTSQSGEHNALEDLVMRFNE VSSWVTWLILTAGSMEEKREVFSYLVHVAKCCWNMGNYNAVMEFLAGLRSRKVLKMWQFM DQSDIETMRSLKDAMAQHESSVEYKKVVTRALHIPGCKVVPFCGVFLKELCEVLDGASGL LKLCPRYSSQEEALEFVADYSGQDNFLQRVGQNGLKNSEKELTVNSIFQVIRSCSRSLEM EEEDSASEGSGSRKNSLKDKARWQFIIGDLLDSENDIFEKSKECDPHGSEESQKAFDHGT ELIPWYVLSIQADVHQFLLQGATVIHYDQDTHLSARCFLQLQPDNSTLTWMKPPTASPAG ARPKLGVLSNMAEPGKFPSPGNAGVSGLAEGILDLFSVKAVYMGHPGIDIHTVCVQNKLS SMLLSETGVTLLYGLQTTDNRLLHFVAPKHTAEMLFSGLLELTTAVRKIRRFPDQRQQWL RKQYVSLYQEDGRYEGPTLAHAVELFGGRRWSTRNPSPGMSAKNAEKPNMQRNNTLGIST TKKKKKMLMRGESGEVTDDEMATRKAKMYRECRSRSGSDPQDVNEQEESEANVITNPPNP LHSRRAYSLTTAGSPNLATGMSSPISAWSSSSWHGRIRGGMQGFQSFMVSDSNMSFVEFV ELFKSFSIRSRKDLKDIFDIYSVPCNRSASESAPLYTNLTIEENTSDLQPDLDLLTRNVS DLGLFIKSKQQLSDNQRQISDAIAAASIVTNGTGIESTSLGIFGVGILQLNDFLVNCQGE HCTYDEILSIIQKFEPSVSMCHQGLLSFEGFARFLMDKDNFASKNDESRENKKELQLPLS YYYIESSHNTYLTGHQLKGESSVELYSQVLLQGCRSIELDCWDGDDGMPIIYHGHTLTTK IPFKEVVEAIDRSAFITSDLPIIISIENHCSLPQQRKMAEIFKSVFGEKLVAKFLFETDF SDDPMLPSPDQLRRKVLLKNKKLKAHQTPVDILKQKAHQLASMQAQAFTGGNANPPPASN EEEEDEEDEYDYDYESLSDADVLTASPVPNSQEDNILEDRPENKSCADKLQFEYNEEVPK RIKKADNSSGNKGKVYDMELGEEFYLPQNKKESRQIAPELSDLVIYCQAVKFPGLSTLNS SGSSRGKERKSRKSIFGNNPGRMSPGETAPFNRTSGKGSCEGMRHTWEESSPLSPSTSLS AIIRTPKCYHISSLNENAAKRLCRRGSQKLIQHTAYQLLRTYPAATRIDSSNPNPIMFWL HGIQLVALNYQTDDLPLHLNAAMFEANGGCGYVLKPPVLWDKSCPMYQKFSPLERDLDNL DPAIYSLTIISGQNVCPSNSTGSPCIEVDVLGMPLDSCHFRTKPIHRNTLNPMWNEQFLF RVHFEDLVFLRFAVVENNSSAITAQRIIPLRALKRGYRHLQLRNLHNEILEISSLFINSR RMEENPSGSSMPASLMFNTEERKCSQTHKVTVHGVPGPEPFAVFTINEGTKAKQLLQQVL AVDQDTKCTATDYFLMEEKHFISKEKNECRKQPFQRAVGPEEDIVQILNSWFPEEGYVGR IVLKPQQETLEEKSIVFDDKEVILSSEEESFFVQVHDVSPEQPRTVIKAPRVSTAQDVIQ QTLCKAKYSYSILNNPNPCDYVLLEEVLKDAANKKSSTPKSSQRILLDQECVFQAQSKWK GAGKFILKLKEQVQASREDKRRGISFASELKKLTKSTKQSRGLPSPPQLVASESVQSKEE KPVGALSSSDTVGYQQ >ENSMUSP00000138098.1 pep:known chromosome:GRCm38:19:38583368:38652007:1 gene:ENSMUSG00000024998.17 transcript:ENSMUST00000182999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plce1 description:phospholipase C, epsilon 1 [Source:MGI Symbol;Acc:MGI:1921305] MLKERQLGTSMANSNPVPSSSAGISKELIDLQPLIQFPEEVASILTEQEQNIYRRVLPMD YLCFLTRDLSSPEC >ENSMUSP00000037259.7 pep:known chromosome:GRCm38:1:162829561:162866610:-1 gene:ENSMUSG00000040181.14 transcript:ENSMUST00000046049.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo1 description:flavin containing monooxygenase 1 [Source:MGI Symbol;Acc:MGI:1310002] MVKRVAIVGAGVSGLASIKCCLEEGLEPTCFERSSDLGGLWRFTEHVEEGRASLYKSVVS NSSREMSCYPDFPFPEDYPNFVPNSLFLEYLKLYSTQFNLQRCIYFNTKVCSITKRPDFA VSGQWEVVTVTNGKQNSAIFDAVMVCTGFLTNPHLPLDSFPGILTFKGEYFHSRQYKHPD IFKDKRVLVVGMGNSGTDIAVEASHLAKKVFLSTTGGAWVISRVFDSGYPWDMIFMTRFQ NMLRNLLPTPIVSWLISKKMNSWFNHVNYGVAPEDRTQLREPVLNDELPGRIITGKVFIK PSIKEVKENSVVFNNTPKEEPIDIIVFATGYTFAFPFLDESVVKVEDGQASLYKYIFPAH LPKPTLAVIGLIKPLGSMVPTGETQARWVVQVLKGATTLPPPSVMMEEVNERKKNKHSGF GLCYCKALQTDYITYIDDLLTSINAKPDLRAMLLTDPRLALSIFFGPCTPYHFRLTGPGK WEGARKAILTQWDRTVKVTKTRTIQESPSSFETLLKLFSFLALLIAVFLIFL >ENSMUSP00000117398.1 pep:known chromosome:GRCm38:1:162833804:162859962:-1 gene:ENSMUSG00000040181.14 transcript:ENSMUST00000134098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo1 description:flavin containing monooxygenase 1 [Source:MGI Symbol;Acc:MGI:1310002] MVKRVAIVGAGVSGLASIKCCLEEGLEPTCFERSSDLGGLWRFTEHVEEGRASLYKSVVS NSSREMSCYPDFPFPEDYPNFVPNSLFLEYLKLYSTQFNLQRCIYFNTKVCSITKRPDFA VSGQWEVVTVTNGKQNSAIFDAVMVCTGFLTNPHLPLDSFPGILTFKGEYFHSRQYKHPD IFKDKRVLVVGMGNSGTDIAVEASHLAKKVFLSTTGGAWVISRVFDSGYPWDMIFMTRFQ NMLRNLLPTPIVSWLISKKMNSWFNHVNYGVAPEDRTQLREPVLNDELPGRIITGKVFIK PSI >ENSMUSP00000141210.1 pep:known chromosome:GRCm38:1:162836270:162859820:-1 gene:ENSMUSG00000040181.14 transcript:ENSMUST00000193078.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo1 description:flavin containing monooxygenase 1 [Source:MGI Symbol;Acc:MGI:1310002] MVKRVAIVGAGVSGLASIKCCLEEGLEPTCFERSSDLGGLWRFTEHVEEGRASLYKSVVS NSSREMSCYPDFPFPEDYPNFVPNSLFLEYLKLYSTQFNLQRCIYFNTKVCSITKRPDFA VSGQWEVVTVTNGKQNSAIFDAVMVCTGFLTNPHLPLDSFPGILTFKGEYFHSRQYKHPD IFKDKRVLVVGMGNSGTDIAVEASHLAKKVFLSTTGGAWVISRVFDSGYP >ENSMUSP00000118534.2 pep:known chromosome:GRCm38:1:162836296:162859714:-1 gene:ENSMUSG00000040181.14 transcript:ENSMUST00000131058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo1 description:flavin containing monooxygenase 1 [Source:MGI Symbol;Acc:MGI:1310002] MVKRVAIVGAGVSGLASIKCCLEEGLEPTCFERSSDLGGLWRFTEHVEEGRASLYKSVVS NSSREMSCYPDFPFPEDYPNFVPNSLFLEYLKLYSTQFNLQRCIYFNTKVCSITKRPDFA VSGQWEVVTVTNGKQNSAIFDAVMVCTGFLTNPHLPLDSFPGILTFKGEYFHSRQYKHPD IFKDKRVLVVGMGNSGTDIAVEASHLAKKVFLSTTGGAWVI >ENSMUSP00000107143.1 pep:known chromosome:GRCm38:1:162840218:162859969:-1 gene:ENSMUSG00000040181.14 transcript:ENSMUST00000111518.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo1 description:flavin containing monooxygenase 1 [Source:MGI Symbol;Acc:MGI:1310002] MVKRVAIVGAGVSGLASIKCCLEEGLEPTCFERSSDLGGLWRFTEHVEEGRASLYKSVVS NSSREMSCYPDFPFPEDYPNFVPNSLFLEYLKLYSTQFNLQRCIYFNTKVCSITKRPDFA VSGQWEVVTVTNGKQNSAIFDAVMVCTGFLTNPHLPLDSFPVCFTGTFITVVPQLMSSEF GS >ENSMUSP00000045297.8 pep:known chromosome:GRCm38:7:98885021:99141141:-1 gene:ENSMUSG00000035354.9 transcript:ENSMUST00000037968.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uvrag description:UV radiation resistance associated gene [Source:MGI Symbol;Acc:MGI:1925860] MSSCASLGGPVPLPPPGPSAALTSGAPARALHVELPSQQRRLRHLRNIAARNIVNRNGHQ LLDTYFTLHLCDNEKIFKEFYRSEVIKNSLNPTWRSLDFGIMPDRLDTSVSCFVVKIWGG KEEAFQLLIEWKVYLDGLKYLGQQIHARNQNEIIFGLNDGYYGAPCEHKGHPNAQKNLLQ VDQNCVRNSYDVFSLLRLHRAQCAIKQTQVTVQRLGKEIEEKLRLTSTSNELKKESECLR LKILVLRNELERQKKALGREVAFLHKQQMALQDKGSAFSTEHGKLQLQKDSLSELRKECT AKRELFLKTNAQLTIRCRQLLSELSYIYPIDLNENKDYFVCGVKLPNSEDFQAKDDGSIA VALGYTAHLVSMISFFLQVPLRYPIIHKGSRSTIKDNINDKLTEKEREFPLYPKGGEKLQ FDYGVYLLNKNIAQLRYQHGLGTPDLRQTLPNLKNFMEHGLMVRCDRHHISNAIPVPKRQ SSTFGGADGGFSAGIPSPDKVHRKRASSENERLQYKTPPPSYNSALTQPGVAMPTSGDSE RKVAPLSSSLDTSLDFSKENKKAGVDLGSSVSGDHGNSDSGQEQGEALPGHLAAVNGTAL PSEQAGPAGTLLPGSCHPAPSAELCCAVEQAEEIIGLEATGFTSGDQLEALSCIPVDSAV AVECDEQVLGEFEEFSRRIYALSENVSSFRRPRRSSDK >ENSMUSP00000146336.1 pep:known chromosome:GRCm38:7:98886750:99016644:-1 gene:ENSMUSG00000035354.9 transcript:ENSMUST00000209123.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uvrag description:UV radiation resistance associated gene [Source:MGI Symbol;Acc:MGI:1925860] XTQVTVQRLGKEIEEKLRLTSTSNELKKESECLRLKILVLRNELERQKKALGREVAFLHK QQMALQDKGSAFSTEHGKLQLQKDSLSELRKECTAKRELFLKTNAQLTIRCRQLLSELSY IYPIDLNENKDYFVCGVKLPNSEDFQGMISWKGESICQTVFH >ENSMUSP00000146640.1 pep:known chromosome:GRCm38:7:98987953:99141126:-1 gene:ENSMUSG00000035354.9 transcript:ENSMUST00000208992.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uvrag description:UV radiation resistance associated gene [Source:MGI Symbol;Acc:MGI:1925860] MSSCASLGGPVPLPPPGPSAALTSGAPARALHVELPSQQRRLRHLRNIAARNIVNRNGHQ LLDTYFTLHLCDNEKIFKEFYRSEVIKNSLNPTWRSLDFGIMPDRLDTSVSCFVVKIWGG KEEAFQLLIEWKVYLDGLKYLGQQGRLSEC >ENSMUSP00000140547.1 pep:known chromosome:GRCm38:1:36335730:36369157:-1 gene:ENSMUSG00000010453.12 transcript:ENSMUST00000185912.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl3 description:KAT8 regulatory NSL complex subunit 3 [Source:MGI Symbol;Acc:MGI:1918055] MNECERHVIFARTDADAPPPPEDWEEHVNRTGWTVAQNKLFNKILKALQSDRLARLANEG ACNEPVLRRVAVDKCARRVRQALASVSWDTKLTQWLHTTLVETLSLPMLAAYLDALQTLK GKIPTLIDRMLVSSNTKTGAAGAEALSLLLKRPWDPAVGVLSHNKPSKLPGSPLILIVSS GPSSSVFPASRRHRFWQSQLSCLGKVIPVATHLLNNGSGVGVLQCLEHMIGAVRSKVLEI HSHFPHKPIILIGWNTGALVACHVSVMEYVTAVVCLGFPLLTVDGPRGDVDDPLLDMKTP VLFVIGQNSLQCHPEAMEDFREKIRAENSLVVVGGADDNLRISKAKKKSEGLTQSMVDRC IQDEIVDFLTGVLTRAEGHVGSEPRDQDAEKKKKPRDLTRRDLAFEIPERGSRPASPAAR LPTSPSGSEDLSSVSSSPTSSPKTKVTTVTSTQKSSQIGTSQLLKRHVQRTEAVLTHRQA QVPISSESVEDIEKEELRVQLKRHHSSSPLPGAKPSKRPKIKVSLISQGDTVGGPCTLSQ GGTPEAAGGKPITMTLGASAGAKELTGLLTTAKSSSSEGGGTASTTPSVASSSATPNAIH TLQSRLVATSPGSSLPGTASASSLLQGLSFSLQDISSKTSGLPGSPSPGPAPQATSVKLP TPMQSLGAITTGTSTIVRTIPVATTLSSLGATPGGKPTAIHQLLTNGSLAKLASSLPGLA QISNQASGLKVPTTITLTLRGQPSRITTLSPMGSGATPSEEPNSQMLPSSSQRLPPAP >ENSMUSP00000010597.3 pep:known chromosome:GRCm38:1:36335730:36369181:-1 gene:ENSMUSG00000010453.12 transcript:ENSMUST00000010597.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl3 description:KAT8 regulatory NSL complex subunit 3 [Source:MGI Symbol;Acc:MGI:1918055] MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRML FVTPRRQQENTIESDVPIDVETVTATPVPLYDNQKARSVMNECERHVIFARTDADAPPPP EDWEEHVNRTGWTVAQNKLFNKILKALQSDRLARLANEGACNEPVLRRVAVDKCARRVRQ ALASVSWDTKLTQWLHTTLVETLSLPMLAAYLDALQTLKGKIPTLIDRMLVSSNTKTGAA GAEALSLLLKRPWDPAVGVLSHNKPSKLPGSPLILIVSSGPSSSVFPASRRHRFWQSQLS CLGKVIPVATHLLNNGSGVGVLQCLEHMIGAVRSKVLEIHSHFPHKPIILIGWNTGALVA CHVSVMEYVTAVVCLGFPLLTVDGPRGDVDDPLLDMKTPVLFVIGQNSLQCHPEAMEDFR EKIRAENSLVVVGGADDNLRISKAKKKSEGLTQSMVDRCIQDEIVDFLTGVLTRAEGHVG SEPRDQDAEKKKKPRDLTRRDLAFEIPERGSRPASPAARLPTSPSGSEDLSSVSSSPTSS PKTKVTTVTSTQKSSQIGTSQLLKRHVQRTEAVLTHRQAQVPISSESVEDIEKEELRVQL KRHHSSSPLPGAKPSKRPKIKVSLISQGDTVGGPCTLSQGGTPEAAGGKPITMTLGASAG AKELTGLLTTAKSSSSEGGGTASTTPSVASSSATPNAIHTLQSRLVATSPGSSLPGTASA SSLLQGLSFSLQDISSKTSGLPGSPSPGPAPQATSVKLPTPMQSLGAITTGTSTIVRTIP VATTLSSLGATPGGKPTAIHQLLTNGSLAKLASSLPGLAQISNQASGLKVPTTITLTLRG QPSRITTLSPMGSGATPSEEPNSQMLPSSSQRLPPAP >ENSMUSP00000140597.1 pep:known chromosome:GRCm38:1:36337573:36368014:-1 gene:ENSMUSG00000010453.12 transcript:ENSMUST00000186470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl3 description:KAT8 regulatory NSL complex subunit 3 [Source:MGI Symbol;Acc:MGI:1918055] MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRML FVTPRRQQENTIESDVPIDVETVTATPVPLYDNQKARSVMNECERHVIFARTDADAPPPP EDWEEHVNRTGWTVAQNKLFNKILKALQSDRLARLANEGACNEPVLRRVAVDKCARRVRQ ALASVSWDTKLTQWLHTTLVETLSLPMLAAYLDALQTLKGKIPTLIDRMLVSSNTKTGAA GAEALSLLLKRPWDPAVGVLSHNKPSKLPGSPLILIVSSGPSSSVFPASRRHRFWQSQLS CLGKVIPVATHLLNNGSGVGVLQCLEHMIGAVRSKVLEIHSHFPHKPIILIGWNTGALVA CHVSVMEYVTAVVCLGFPLLTVDGPRGDVDDPLLDMKTPVLFVIGQNSLQCHPEAMEDFR EKIRAENSLVVVGGADDNLRISKAKKKSEGLTQSMVDRCIQDEIVDFLTGVLTRAEGHVG SEPRDQDAEKKKKPRDLTRRDLAFEIPERGSRPASPAARLPTSPSGSEDLSSVSSSPTSS PKTKVTTVTSTQKSSQIGTSQLLKRHVQRTEAVLTHRQAQAQFAAFLKQNMLVRKAFPPG TSSCLFVPISSESVEDIEKEELRVQLKRHHSSSPLPGAKPSKRPKIKVSLISQGDTVGGP CTLSQGGTPEAAGGKPITMTLGASAGAKELTGLLTTAKSSSSEGGGTASTTPSVASSSAT PNAIHTLQSRLVATSPGSSLPGTASASSLLQGLSFSLQDISSKTSGLPGSPSPGPAPQAT SVKLPTPMQSLGAITTGTSTIVRTIPVATTLSSLGATPGGKPTAIHQLLTNGSLAKLASS LPGLAQISNQASGLKVPTTITLTLRGQPSRITTLSPMGSGATPSEEPNSQMLPSSSQRLP PAP >ENSMUSP00000140667.1 pep:known chromosome:GRCm38:1:36337573:36369171:-1 gene:ENSMUSG00000010453.12 transcript:ENSMUST00000188961.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kansl3 description:KAT8 regulatory NSL complex subunit 3 [Source:MGI Symbol;Acc:MGI:1918055] MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRML FVTPRRQQENTM >ENSMUSP00000139711.1 pep:known chromosome:GRCm38:1:36354719:36368041:-1 gene:ENSMUSG00000010453.12 transcript:ENSMUST00000188528.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kansl3 description:KAT8 regulatory NSL complex subunit 3 [Source:MGI Symbol;Acc:MGI:1918055] MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRML FVTPRRQQENTICGDGHGNSCSTL >ENSMUSP00000141616.1 pep:known chromosome:GRCm38:1:36358129:36369155:-1 gene:ENSMUSG00000010453.12 transcript:ENSMUST00000185621.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kansl3 description:KAT8 regulatory NSL complex subunit 3 [Source:MGI Symbol;Acc:MGI:1918055] MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRML FVTPRRQQENTIRQEV >ENSMUSP00000140058.1 pep:known chromosome:GRCm38:1:36365628:36368041:-1 gene:ENSMUSG00000010453.12 transcript:ENSMUST00000187628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl3 description:KAT8 regulatory NSL complex subunit 3 [Source:MGI Symbol;Acc:MGI:1918055] MAHRGGERDFQTSARRMGTSLLFQLSVHERELDLVFLDHSYAKPWSAHPDASSARPTRML FVTPRRQQENTIVCGLVLFPHLFTVSQTFQ >ENSMUSP00000140841.1 pep:known chromosome:GRCm38:1:36367966:36369102:-1 gene:ENSMUSG00000010453.12 transcript:ENSMUST00000188957.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl3 description:KAT8 regulatory NSL complex subunit 3 [Source:MGI Symbol;Acc:MGI:1918055] MAHRGG >ENSMUSP00000034173.7 pep:known chromosome:GRCm38:8:93201218:93229619:-1 gene:ENSMUSG00000061959.13 transcript:ENSMUST00000034173.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces1e description:carboxylesterase 1E [Source:MGI Symbol;Acc:MGI:95432] MCLSALILVSLAAFTAGAGHPSSPPMVDTVQGKVLGKYISLEGFTQPVAVFLGVPFAKPP LGSLRFAPPQPAEPWSSVKNATSYPPMCFQDPVTGQIVNDLLTNRKEKIPLQFSEDCLYL NIYTPADLTKSDRLPVMVWIHGGGLVLGGASTYDGLVLSTHENVVVVVIQYRLGIWGFFS TGDEHSRGNWGHLDQVAALHWVQDNIAKFGGDPGSVTIFGESAGGESVSVLVLSPLAKNL FQRAISESGVALTAGLVKKNTRPLAEKIAVISGCKNTTSAAMVHCLRQKTEEELLGTTLK LNLFKLDLHGDSRQSHPFVPTVLDGVLLPKMPEEILAEKNFNTVPYIVGINKQEFGWILP TMMNYPPSDVKLDQMTAMSLLKKSSFLLNLPEDAIAVAIEKYLRDKDYTGRNKDQLLELI GDVVFGVPSVIVSRGHRDAGAPTYMYEFQYSPSFSSEMKPDTVVGDHGDEIYSVFGAPIL RGGTSEEEINLSKMMMKFWANFARNGNPNGQGLPHWPEYDQKEGYLQIGATTQQAQKLKE KEVAFWTELLAKKQLPTEHTEL >ENSMUSP00000135636.1 pep:known chromosome:GRCm38:8:93201404:93229618:-1 gene:ENSMUSG00000061959.13 transcript:ENSMUST00000176282.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces1e description:carboxylesterase 1E [Source:MGI Symbol;Acc:MGI:95432] MCLSALILVSLAAFTAGGHPSSPPMVDTVQGKVLGKYISLEGFTQPVAVFLGVPFAKPPL GSLRFAPPQPAEPWSSVKNATSYPPMCFQDPVTGQIVNDLLTNRKEKIPLQFSEDCLYLN IYTPADLTKSDRLPVMVWIHGGGLVLGGASTYDGLVLSTHENVVVVVIQYRLGIWGFFST GDEHSRGNWGHLDQVAALHWVQDNIAKFGGDPGSVTIFGESAGGESVSVLVLSPLAKNLF QRAISESGVALTAGLVKKNTRPLAEKIAVISGCKNTTSAAMVHCLRQKTEEELLGTTLKL NLFKLDLHGDSRQSHPFVPTVLDGVLLPKMPEEILAEKNFNTVPYIVGINKQEFGWILPT MMNYPPSDVKLDQMTAMSLLKKSSFLLNLPEDAIAVAIEKYLRDKDYTGRNKDQLLELIG DVVFGVPSVIVSRGHRDAGAPTYMYEFQYSPSFSSEMKPDTVVGDHGDEIYSVFGAPILR GGTSEEEINLSKMMMKFWANFARNGNPNGQGLPHWPEYDQKEGYLQIGATTQQAQKLKEK EVAFWTELLAKKQLPTEHTEL >ENSMUSP00000025477.7 pep:known chromosome:GRCm38:18:64254359:64276146:1 gene:ENSMUSG00000056812.13 transcript:ENSMUST00000025477.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St8sia3 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 3 [Source:MGI Symbol;Acc:MGI:106019] MRNCKMARVASVLGLVMLSVALLILSLISYVSLKKENIFTTPKYASPGAPRMYMFHAGFR SQFALKFLDQSFVPITNSLTHELQEKPSKWTFNRTAFLHQRQEILQHVDVIKNFSLTKSS VRIGQLMHYDYSSHKYVFSISNNFRSLLPDVSPIMNKRYNVCAVVGNSGILTGSQCGQEI DKSDFVFRCNFAPTEAFHKDVGRKTNLTTFNPSILEKYYNNLLTIQDRNNFFLSLKKLDG AILWIPAFFFHTSATVTRTLVDFFVEHRGQLKVQLAWPGNIMQHVNRYWKNKHLSPKRLS TGILMYTLASAICEEIHLYGFWPFGFDPNTREDLPYHYYDKKGTKFTTKWQESHQLPAEF QLLYRMHGEGLTKLTLSHCA >ENSMUSP00000121367.1 pep:known chromosome:GRCm38:18:64265996:64269979:1 gene:ENSMUSG00000056812.13 transcript:ENSMUST00000139622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St8sia3 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 3 [Source:MGI Symbol;Acc:MGI:106019] MMRRSQFALKFLDQSFVPITNSLTHELQEKPSKWTFNRTAFLHQRQEILQHVDVIKNFSL TKSSVRIGQLMHYDYSSHKYVFSISNNFRSLLPDVSPIMNKRYNVCAVVGNSGILTGSQC GQEIDKSDFVFRCNFAPTEAFHKDVGRKTNLTTFNPSILEKYYNNLLTIQDRNNFFLSLK KLDGAILWIPAFFFHTSATVTRTLVDFFVEHRGQLKVQLAWPGNIMQHV >ENSMUSP00000072223.4 pep:known chromosome:GRCm38:7:18839966:18858654:1 gene:ENSMUSG00000040987.14 transcript:ENSMUST00000072386.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mill2 description:MHC I like leukocyte 2 [Source:MGI Symbol;Acc:MGI:2179989] MKASSGKPREFRPAVLLLILGLLLRDSRGSSIQGFLADVEVHGSSRLTRTHTLRYNVRAH SLEGSEKTQLLVLIYVDEELFLKYNGDSRETEPLGCWIKGHGGNETCARETNNLLKVEEK LRGMMAEVINQKSQEEGLHTLQATLGCELLSNGSTRGFWHLGYDGQNFLTFDQKTLTWTV DGPSTQQNKMFWKTHAPRADLVKTFLDDICPAHLQRYLASLRNGLQDTGPPMVTVTCRNY PVGRVTLTCRAFNLYTREATLVWLQDGKPVQQKTFRSETILPSGDGTYQARVSIRVLPGQ EPQFSCNLRHGNHSIMQTAVSGHAAEDSQDVASSATASAGSALPVVLAVALARAN >ENSMUSP00000072246.6 pep:known chromosome:GRCm38:7:18839966:18858654:1 gene:ENSMUSG00000040987.14 transcript:ENSMUST00000072415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mill2 description:MHC I like leukocyte 2 [Source:MGI Symbol;Acc:MGI:2179989] MKASSGKPREFRPAVLLLILGLLLRDSRGSSIQGTHTLRYNVRAHSLEGSEKTQLLVLIY VDEELFLKYNGDSRETEPLGCWIKGHGGNETCARETNNLLKVEEKLRGMMAEVINQKSQE EGLHTLQATLGCELLSNGSTRGFWHLGYDGQNFLTFDQKTLTWTVDGPSTQQNKMFWKTH APRADLVKTFLDDICPAHLQRYLASLRNGLQDTGPPMVTVTCRNYPVGRVTLTCRAFNLY TREATLVWLQDGKPVQQKTFRSETILPSGDGTYQARVSIRVLPGQEPQFSCNLRHGNHSI MQTAVSGHAAEDSQDVASSATASAGSALPVVLAVALARAN >ENSMUSP00000145895.1 pep:known chromosome:GRCm38:7:18839989:18863113:1 gene:ENSMUSG00000040987.14 transcript:ENSMUST00000206487.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mill2 description:MHC I like leukocyte 2 [Source:MGI Symbol;Acc:MGI:2179989] MKASSGKPREFRPAVLLLILGLLLRDSRGSSIQGFLADVEVHGSSRLTMICRKEWAVS >ENSMUSP00000105248.2 pep:known chromosome:GRCm38:2:155956558:155998900:1 gene:ENSMUSG00000038241.16 transcript:ENSMUST00000109619.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep250 description:centrosomal protein 250 [Source:MGI Symbol;Acc:MGI:108084] METGSPGLNMKPQSLQLVLEGQVLALQQQMAENQAASWRKLKNSQEAQKRQATLVRKLQA KVLQYRSWCQDLEKRLEATGGLIPQRWESVEEPNLEQLLIRLEEEQQRCESLVEVNTELR LHMEKADVVNKALQEDVEKLTVDWSRARDELVRKESQWRMEQEFFKGYLRGEHGRLLNLW REVVTFRRHFLKMKSATDRDLTELKAEHARLSGSLLTCCLRLTLRAQSRESSGSGRTEES EPARLLLLVAKTQALEKEAHEKSQELMQLKSHGDLEKAELQDRVTELSALLTQSQKQNED YEKMVKALRETMEILETNHAELMEHEASLSRNAQEEKLSLQQVIKAITQALASVEEEDTV TQSSGHEDSLQSDCNGLSQFDPQDPDRALTLVQSVLTRRQQAVQDLRQQLSGCQEAMSFL QQQHDQWEEEGRALREKLQKLTGERDALAGQTVGLQGEVDSLTGERDALAGQTVDLQGEV DSLSRERELLQKARGELQQQLEVLEQEAWRLRRMNMELQLQGDSAQGEKLEQQEELHLAV RERERLQETLVGLEAKQSESLSELLTLREALESSRLEGELLKQERVEVAAALARAEQSIV ELSGSENSLKAEVADLRAAAVKLGALNEALALDKVELNQQLLQLEQENQSLCSRVEAAEQ LRSALQVDLAEAERRREALWEKKTQLETQLQKAEEAGAELQAELRGTREEKEELKDKLSE AHHQQETATAHLEQLHQDAERQEETLARAVQEKEALVRERAALEVRLQAVERDRQDLTEH VLGLRSAKEQLESNLFEAQQQNSVIQVTKGQLEVQIQTIIQAKEVIQGEVKCLKLELDAE RTRAEQEWDAVARQLAQAEQEGQASLERQKVAHEEEVNRLQEKWEKERSWLQQELDKTLE TLERERAELETKLREQQTEMEAIRAQREEERSQADSALYQMQLETEKERVSLLETLLRTQ KELADASQQLERLRQDMKIQKLKEQETTGMLQAQLQETQQELKEAAQQHRDDLAAFQKDK LDLQKQVEDLMSQLVAHDDSQRLVKEEIEEKVKVAQECSRIQKELEKENASLALSLVEKE KRLLILQEADSVRQQELSSLRQDIQEAQEGQRELGVQVELLRQEVKEKEADFVAREAQLL EELEASRVAEQQLRASLWAQEAKATQLQLQLRSTESQLEALVAEQQPENQAQAQLASLCS VLQQALGSACESRPELRGGGDSAPTLWGPDPDQNGASRLFKRWSLPTALSPEAVALALQK LHQDVWKARQARDDLRDQVQKLVQRLTDTEAQKSQVHSELQDLQRQLSQSQEEKSKWEGR QNSLESELRDLHETAASLQSRLRQAELQKMEAQNDRELLQASKEKLSAQVEHLQACVAEA QAQADAAAVLEEDLRTARSALKLKNEELESERERAQALQEQGELKVAQGKALQENLALLA QTLSNREREVETLQAEVQELEKQREMQKAALELLSLDLKKRSREVDLQQEQIQELEQCRS VLEHLPMAVQEREQKLSVQRDQIRELENDREAQRSVLEHQLLDLEQKAQVIESQRGQIQD LKKQLGTLECLALELEESHHKVESQQKMITELEGQREMQRVALTHLTLDLEERSQELQAQ SSQLHELENHSTHLAKELQERDQEVTSQRQQIDELQKQQEQLAQALERKGQELVLQKERI QVLEDQRTLQTKILEEDLEQIKHSLRERSQELASQWQLVHERADDGKSPSKGQRGSLEHL KLILRDKEKEVECQQERIQELQGHMGQLEQQLQGLHRKVGETSLLLTHREQETATLQQHL QEAKEQGELREQVLQGQLEEAQRDLAQRDHELETLRQEKQQTQDQEESMKLKTSALQAAL EQAHATLKERQGELEEHREQVRRLQEELEVEGRQVRALEEVLGDLRAESREHEKAVLALQ QRCAEQAQEHEAEARTLQDSWLQAQATLTEQEQELAALRAENQYSRRQEEAAVSQAEALQ EALSKAQAALQEKEQSLLEQAELSHTLEASTAALQATLDTCQASARQLEEALRIREGEIQ AQALQHHEVTQHLQQELCQKKEELRQLLEKAGARRSQENGIQEKQSLEQERQEETRRLLE SLKELQLTVAQREEEILMLREASSPRHRALPAEKPALQPLPAQQELERLQTALRQTEARE IEWREKAQDLALSLAQSKASISSLQEITMFLQASVLERESEQQRLQEELVLSRQALEEQQ SGGPHSTSRADQGPKVGQGSQSGEVETEPSPGVEEKERLTQRLERLQQAVAELEVDRSKL QCHNAQLRTALEQVERERRKLKRDSVRASRAGSLEARETMTSSPTQQDGRGSQRGSSDSV LVVELQREVALLRAQLALERKQRQDYIARSVQTSRELAGLHHSLSHSLLTVAQAPEATVL EAETRKLDESLNQSLTSPGPCLLHPSLDTTQNTHR >ENSMUSP00000114426.1 pep:known chromosome:GRCm38:2:155956572:155974445:1 gene:ENSMUSG00000038241.16 transcript:ENSMUST00000151569.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep250 description:centrosomal protein 250 [Source:MGI Symbol;Acc:MGI:108084] METGSPGLNMKPQSLQLVLEGQVLALQQQMAENQAASWRKLKNSQEAQKRQATLVRKLQA KVLQYRSWCQDLEKRLEATGGLIPQRWESVEEPNLEQLLIRLEEEQQRCESLVEVNTELR LHMEKADVVNKALQEDVEKLTVDWSRARDELVRKESQWRMEQEFFKGYLRGEHGRLLNLW REVVTFRRHFLKMKSATDRDLTELKAEHARLSGSLLTCCLRLTLRAQSRESSGSGRTEES EPARLLLLVAKTQALEKEAHEKSQELMQLKSHGDLEKAELQDRVTELSALLTQSQKQNED YEKMVKALRETMEILTNHAELMEHEASLSRNAQEEKLSLQQVIKAITQALASVEEEDTVT QSSGHEDSLQSDCNGLSQFDPQDPDRALTLVQSVLTRRQQAVQDLRQQLSGCQEAMSFLQ QQHDQWEEEGRALREKLQKLTGERDALAGQTVGLQGEVDSLTGERDALAGQTVDLQGEVD SLSRERELLQKARGELQQQLEVLEQEAWRLRRMNMELQLQGDSAQGEKLEQQEELHLAVR ERERLQETLVGLEAKQSESLSELLTLREALESSRLEGELLKQERVEVAAALARAEQSIVE LSGSENSLKAEVADLRAAAVKLGALNEALALDKVELNQQLLQLEQENQSLCSRVEAAEQL RSALQVDLAEAERRREALWEKKTQLETQLQKAEEAGAELQAELRGT >ENSMUSP00000105247.1 pep:known chromosome:GRCm38:2:155956594:155967794:1 gene:ENSMUSG00000038241.16 transcript:ENSMUST00000109618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep250 description:centrosomal protein 250 [Source:MGI Symbol;Acc:MGI:108084] METGSPGLNMKPQSLQLVLEGQVLALQQQMAENQAASWRKLKNSQEAQKRQATLVRKLQA KVLQYRSWCQDLEKRLEATGGLIPQRWESVEEPNLEQLLIRLEEEQQRCESLVEVNTELR LHMEKADVVNKALQEDVEKLTVDWSRARDELVRKESQWRMEQEFFKGYLRGEHGRLLNLW REVVTFRRHFLKMKSATDRDLTELKAEHARLSGSLLTCCLRLTLRAQSRESSGSGRTEES EPARLLLLVAKTQALEKEAHEKSQELMQLKSHGDLEKAELQDRVTELSALLTQSQKQNED YEKMVKALRETMEILVCGPLCCRGAKKGLAGSLLFVDK >ENSMUSP00000122223.1 pep:known chromosome:GRCm38:2:155985883:155989603:1 gene:ENSMUSG00000038241.16 transcript:ENSMUST00000156355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep250 description:centrosomal protein 250 [Source:MGI Symbol;Acc:MGI:108084] XLEELEASRVAEQQLRASLWAQEAKATQLQLQLRSTESQLEALVAEQQPENQAQAQLASL CSVLQQALGSACESRPELRGGGDSAPTLWGPDPDQNGASRLFKRWSLPTALSPEAVALAL QKLHQDVWKARQARDDLRDQVQKLVQRLTDTEAQKSQVHSELQDLQRQLSQSQEAPVAIV EGGVGRNSFEKSKWEGRQNSLESELRDLHETAASLQSRLRQAEL >ENSMUSP00000119845.1 pep:known chromosome:GRCm38:2:155985915:155990596:1 gene:ENSMUSG00000038241.16 transcript:ENSMUST00000128683.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep250 description:centrosomal protein 250 [Source:MGI Symbol;Acc:MGI:108084] EQQLRASLWAQEAKATQLQLQLRSTESQLEALVAEQQPENQAQAQLASLCSVLQQALGSA CESRPELRGGGDSAPTLWGPDPDQNGASRLFKRWSLPTALSPEAVALALQKLHQDVWKAR QARRNPSGKGGRTPWSLS >ENSMUSP00000091988.4 pep:known chromosome:GRCm38:2:155956558:155998900:1 gene:ENSMUSG00000038241.16 transcript:ENSMUST00000094421.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep250 description:centrosomal protein 250 [Source:MGI Symbol;Acc:MGI:108084] METGSPGLNMKPQSLQLVLEGQVLALQQQMAENQAASWRKLKNSQEAQKRQATLVRKLQA KVLQYRSWCQDLEKRLEATGGLIPQRWESVEEPNLEQLLIRLEEEQQRCESLVEVNTELR LHMEKADVVNKALQEDVEKLTVDWSRARDELVRKESQWRMEQEFFKGYLRGEHGRLLNLW REVVTFRRHFLKMKSATDRDLTELKAEHARLSGSLLTCCLRLTLRAQSRESSGSGRTEES EPARLLLLVAKTQALEKEAHEKSQELMQLKSHGDLEKAELQDRVTELSALLTQSQKQNED YEKMVKALRETMEILETNHAELMEHEASLSRNAQEEKLSLQQVIKAITQALASVEEEDTV TQSSGHEDSLQSDCNGLSQFDPQDPDRALTLVQSVLTRRQQAVQDLRQQLSGCQEAMSFL QQQHDQWEEEGRALREKLQKLTGERDALAGQTVGLQGEVDSLSRERELLQKARGELQQQL EVLEQEAWRLRRMNMELQLQGDSAQGEKLEQQEELHLAVRERERLQETLVGLEAKQSESL SELLTLREALESSRLEGELLKQERVEVAAALARAEQSIVELSGSENSLKAEVADLRAAAV KLGALNEALALDKVELNQQLLQLEQENQSLCSRVEAAEQLRSALQVDLAEAERRREALWE KKTQLETQLQKAEEAGAELQAELRGTREEKEELKDKLSEAHHQQETATAHLEQLHQDAER QEETLARAVQEKEALVRERAALEVRLQAVERDRQDLTEHVLGLRSAKEQLESNLFEAQQQ NSVIQVTKGQLEVQIQTIIQAKEVIQGEVKCLKLELDAERTRAEQEWDAVARQLAQAEQE GQASLERQKVAHEEEVNRLQEKWEKERSWLQQELDKTLETLERERAELETKLREQQTEME AIRAQREEERSQADSALYQMQLETEKERVSLLETLLRTQKELADASQQLERLRQDMKIQK LKEQETTGMLQAQLQETQQELKEAAQQHRDDLAAFQKDKLDLQKQVEDLMSQLVAHDDSQ RLVKEEIEEKVKVAQECSRIQKELEKENASLALSLVEKEKRLLILQEADSVRQQELSSLR QDIQEAQEGQRELGVQVELLRQEVKEKEADFVAREAQLLEELEASRVAEQQLRASLWAQE AKATQLQLQLRSTESQLEALVAEQQPENQAQAQLASLCSVLQQALGSACESRPELRGGGD SAPTLWGPDPDQNGASRLFKRWSLPTALSPEAVALALQKLHQDVWKARQARDDLRDQVQK LVQRLTDTEAQKSQVHSELQDLQRQLSQSQEEKSKWEGRQNSLESELRDLHETAASLQSR LRQAELQKMEAQNDRELLQASKEKLSAQVEHLQACVAEAQAQADAAAVLEEDLRTARSAL KLKNEELESERERAQALQEQGELKVAQGKALQENLALLAQTLSNREREVETLQAEVQELE KQREMQKAALELLSLDLKKRSREVDLQQEQIQELEQCRSVLEHLPMAVQEREQKLSVQRD QIRELENDREAQRSVLEHQLLDLEQKAQVIESQRGQIQDLKKQLGTLECLALELEESHHK VESQQKMITELEGQREMQRVALTHLTLDLEERSQELQAQSSQLHELENHSTHLAKELQER DQEVTSQRQQIDELQKQQEQLAQALERKGQELVLQKERIQVLEDQRTLQTKILEEDLEQI KHSLRERSQELASQWQLVHERADDGKSPSKGQRGSLEHLKLILRDKEKEVECQQERIQEL QGHMGQLEQQLQGLHRKVGETSLLLTHREQETATLQQHLQEAKEQGELREQVLQGQLEEA QRDLAQRDHELETLRQEKQQTQDQEESMKLKTSALQAALEQAHATLKERQGELEEHREQV RRLQEELEVEGRQVRALEEVLGDLRAESREHEKAVLALQQRCAEQAQEHEAEARTLQDSW LQAQATLTEQEQELAALRAENQYSRRQEEAAVSQAEALQEALSKAQAALQEKEQSLLEQA ELSHTLEASTAALQATLDTCQASARQLEEALRIREGEIQAQALQHHEVTQHLQQELCQKK EELRQLLEKAGARRSQENGIQEKQSLEQERQEETRRLLESLKELQLTVAQREEEILMLRE ASSPRHRALPAEKPALQPLPAQQELERLQTALRQTEAREIEWREKAQDLALSLAQSKASI SSLQEITMFLQASVLERESEQQRLQEELVLSRQALEEQQSGGPHSTSRADQGPKVGQGSQ SGEVETEPSPGVEEKERLTQRLERLQQAVAELEVDRSKLQCHNAQLRTALEQVERERRKL KRDSVRASRAGSLEARETMTSSPTQQDGRGSQRGSSDSVLVVELQREVALLRAQLALERK QRQDYIARSVQTSRELAGLHHSLSHSLLTVAQAPEATVLEAETRKLDESLNQSLTSPGPC LLHPSLDTTQNTHR >ENSMUSP00000038255.7 pep:known chromosome:GRCm38:2:155956558:155998900:1 gene:ENSMUSG00000038241.16 transcript:ENSMUST00000039994.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep250 description:centrosomal protein 250 [Source:MGI Symbol;Acc:MGI:108084] METGSPGLNMKPQSLQLVLEGQVLALQQQMAENQAASWRKLKNSQEAQKRQATLVRKLQA KVLQYRSWCQDLEKRLEATGGLIPQRWESVEEPNLEQLLIRLEEEQQRCESLVEVNTELR LHMEKADVVNKALQEDVEKLTVDWSRARDELVRKESQWRMEQEFFKGYLRGEHGRLLNLW REVVTFRRHFLKMKSATDRDLTELKAEHARLSGSLLTCCLRLTLRAQSRESSGSGRTEES EPARLLLLVAKTQALEKEAHEKSQELMQLKSHGDLEKAELQDRVTELSALLTQSQKQNED YEKMVKALRETMEILTNHAELMEHEASLSRNAQEEKLSLQQVIKAITQALASVEEEDTVT QSSGHEDSLQSDCNGLSQFDPQDPDRALTLVQSVLTRRQQAVQDLRQQLSGCQEAMSFLQ QQHDQWEEEGRALREKLQKLTGERDALAGQTVGLQGEVDSLTGERDALAGQTVDLQGEVD SLSRERELLQKARGELQQQLEVLEQEAWRLRRMNMELQLQGDSAQGEKLEQQEELHLAVR ERERLQETLVGLEAKQSESLSELLTLREALESSRLEGELLKQERVEVAAALARAEQSIVE LSGSENSLKAEVADLRAAAVKLGALNEALALDKVELNQQLLQLEQENQSLCSRVEAAEQL RSALQVDLAEAERRREALWEKKTQLETQLQKAEEAGAELQAELRGTREEKEELKDKLSEA HHQQETATAHLEQLHQDAERQEETLARAVQEKEALVRERAALEVRLQAVERDRQDLTEHV LGLRSAKEQLESNLFEAQQQNSVIQVTKGQLEVQIQTIIQAKEVIQGEVKCLKLELDAER TRAEQEWDAVARQLAQAEQEGQASLERQKVAHEEEVNRLQEKWEKERSWLQQELDKTLET LERERAELETKLREQQTEMEAIRAQREEERSQADSALYQMQLETEKERVSLLETLLRTQK ELADASQQLERLRQDMKIQKLKEQETTGMLQAQLQETQQELKEAAQQHRDDLAAFQKDKL DLQKQVEDLMSQLVAHDDSQRLVKEEIEEKVKVAQECSRIQKELEKENASLALSLVEKEK RLLILQEADSVRQQELSSLRQDIQEAQEGQRELGVQVELLRQEVKEKEADFVAREAQLLE ELEASRVAEQQLRASLWAQEAKATQLQLQLRSTESQLEALVAEQQPENQAQAQLASLCSV LQQALGSACESRPELRGGGDSAPTLWGPDPDQNGASRLFKRWSLPTALSPEAVALALQKL HQDVWKARQARDDLRDQVQKLVQRLTDTEAQKSQVHSELQDLQRQLSQSQEEKSKWEGRQ NSLESELRDLHETAASLQSRLRQAELQKMEAQNDRELLQASKEKLSAQVEHLQACVAEAQ AQADAAAVLEEDLRTARSALKLKNEELESERERAQALQEQGELKVAQGKALQENLALLAQ TLSNREREVETLQAEVQELEKQREMQKAALELLSLDLKKRSREVDLQQEQIQELEQCRSV LEHLPMAVQEREQKLSVQRDQIRELENDREAQRSVLEHQLLDLEQKAQVIESQRGQIQDL KKQLGTLECLALELEESHHKVESQQKMITELEGQREMQRVALTHLTLDLEERSQELQAQS SQLHELENHSTHLAKELQERDQEVTSQRQQIDELQKQQEQLAQALERKGQELVLQKERIQ VLEDQRTLQTKILEEDLEQIKHSLRERSQELASQWQLVHERADDGKSPSKGQRGSLEHLK LILRDKEKEVECQQERIQELQGHMGQLEQQLQGLHRKVGETSLLLTHREQETATLQQHLQ EAKEQGELREQVLQGQLEEAQRDLAQRDHELETLRQEKQQTQDQEESMKLKTSALQAALE QAHATLKERQGELEEHREQVRRLQEELEVEGRQVRALEEVLGDLRAESREHEKAVLALQQ RCAEQAQEHEAEARTLQDSWLQAQATLTEQEQELAALRAENQYSRRQEEAAVSQAEALQE ALSKAQAALQEKEQSLLEQAELSHTLEASTAALQATLDTCQASARQLEEALRIREGEIQA QALQHHEVTQHLQQELCQKKEELRQLLEKAGARRSQENGIQEKQSLEQERQEETRRLLES LKELQLTVAQREEEILMLREASSPRHRALPAEKPALQPLPAQQELERLQTALRQTEAREI EWREKAQDLALSLAQSKASISSLQEITMFLQASVLERESEQQRLQEELVLSRQALEEQQS GGPHSTSRADQGPKVGQGSQSGEVETEPSPGVEEKERLTQRLERLQQAVAELEVDRSKLQ CHNAQLRTALEQVERERRKLKRDSVRASRAGSLEARETMTSSPTQQDGRGSQRGSSDSVL VVELQREVALLRAQLALERKQRQDYIARSVQTSRELAGLHHSLSHSLLTVAQAPEATVLE AETRKLDESLNQSLTSPGPCLLHPSLDTTQNTHR >ENSMUSP00000146705.1 pep:known chromosome:GRCm38:7:46983580:46987795:1 gene:ENSMUSG00000056509.10 transcript:ENSMUST00000070660.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9999 description:predicted gene 9999 [Source:MGI Symbol;Acc:MGI:3642801] MIVLGWMLFVGLATYMGTFPEAMPPTLKWKERLPGQENKARRRIQALEEELLL >ENSMUSP00000145226.1 pep:known chromosome:GRCm38:7:46986820:46987796:1 gene:ENSMUSG00000056509.10 transcript:ENSMUST00000185832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9999 description:predicted gene 9999 [Source:MGI Symbol;Acc:MGI:3642801] MIVVLWMVLIAGTMWKGYKYPPGGTPLEVNKDDPGEPMQL >ENSMUSP00000130778.1 pep:known chromosome:GRCm38:10:36506814:36833773:1 gene:ENSMUSG00000044499.11 transcript:ENSMUST00000167191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st5 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 5 [Source:MGI Symbol;Acc:MGI:2441996] MLFKQQVWLRQKLLVLGSLAVGSLLYLVARVGSLDRLQPICPVESRFGGAHNQAELPLRA LQFKRGLLHEFRKGNSSKEQVHLHDLVQQLPKAIIIGVRKGGTRALLEMLNLHPAVVKAS QEIHFFDNDENYAKGIEWYRKKMPFSYPQQITIEKSPAYFITEEVPERIYKMNSSIKLLI IVREPTTRAISDYTQVLEGKERKNKTYYKFEKLAIDPNTCEVNTKYKAVRTSIYTKHLER WLKYFPIEQFHIVDGDRLITEPLPELQLVEKFLNLPPRISQYNLYFNATRGFYCLRFNII FNKCLAGSKGRIHPEVDPSVITKLRKFFHPFNQKFYQITGRTLNWP >ENSMUSP00000060229.4 pep:known chromosome:GRCm38:10:36506819:36834389:1 gene:ENSMUSG00000044499.11 transcript:ENSMUST00000058738.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st5 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 5 [Source:MGI Symbol;Acc:MGI:2441996] MLFKQQVWLRQKLLVLGSLAVGSLLYLVARVGSLDRLQPICPVESRFGGAHNQAELPLRA LQFKRGLLHEFRKGNSSKEQVHLHDLVQQLPKAIIIGVRKGGTRALLEMLNLHPAVVKAS QEIHFFDNDENYAKGIEWYRKKMPFSYPQQITIEKSPAYFITEEVPERIYKMNSSIKLLI IVREPTTRAISDYTQVLEGKERKNKTYYKFEKLAIDPNTCEVNTKYKAVRTSIYTKHLER WLKYFPIEQFHIVDGDRLITEPLPELQLVEKFLNLPPRISQYNLYFNATRGFYCLRFNII FNKCLAGSKGRIHPEVDPSVITKLRKFFHPFNQKFYQITGRTLNWP >ENSMUSP00000129434.1 pep:known chromosome:GRCm38:10:36507026:36834397:1 gene:ENSMUSG00000044499.11 transcript:ENSMUST00000168572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st5 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 5 [Source:MGI Symbol;Acc:MGI:2441996] MLFKQQVWLRQKLLVLGSLAVGSLLYLVARVGSLDRLQPICPVESRFGGAHNQAELPLRA LQFKRGLLHEFRKGNSSKEQVHLHDLVQQLPKAIIIGVRKGGTRALLEMLNLHPAVVKAS QEIHFFDNDENYAKGIEWYRKKMPFSYPQQITIEKSPAYFITEEVPERIYKMNSSIKLLI IVREPTTRAISDYTQVLEGKERKNKTYYKFEKLAIDPNTCEVNTKYKAVRTSIYTKHLER WLKYFPIEQFHIVDGDRLITEPLPELQLVEKFLNLPPRISQYNLYFNATRGFYCLRFNII FNKCLAGSKGRIHPEVDPSVITKLRKFFHPFNQKFYQITGRTLNWP >ENSMUSP00000141807.1 pep:known chromosome:GRCm38:9:21229730:21239332:-1 gene:ENSMUSG00000003308.14 transcript:ENSMUST00000194542.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Keap1 description:kelch-like ECH-associated protein 1 [Source:MGI Symbol;Acc:MGI:1858732] MQPEPKLSGAPRSSQFLPLWSKCPEGAGDAVMYASTECKAEVTPSQDGNRTFSYTLEDHT KQAFGVMNELRLSQQLCDVTLQVKYEDIPAAQFMAHKVVLASSSPVFKAMFTNGLREQGM EVVSIEGIHPKVMERLIEFAYTASISVGEKCVLHVMNGAVMYQIDSVVRACSDFLVQQLD PSNAIGIANFAEQIGCTELHQRAREYIYMHFGEVAKQEEFFNLSHCQLATLISRDDLNVR CESEVFHACIDWVKYDCPQRRFYVQALLRAVRCHALTPRFLQTQLQKCEILQADARCKDY LVQIFQELTLHKPTQAVPCRAPKVGRLIYTAGGYFRQSLSYLEAYNPSNGSWLRLADLQV PRSGLAGCVVGGLLYAVGGRNNSPDGNTDSSALDCYNPMTNQWSPCASMSVPRNRIGVGV IDGHIYAVGGSHGCIHHSSVERYEPERDEWHLVAPMLTRRIGVGVAVLNRLLYAVGGFDG TNRLNSAECYYPERNEWRMITPMNTIRSGAGVCVLHNCIYAAGGYDGQDQLNSVERYDVE TETWTFVAPMRHHRSALGITVHQGKIYVLGGYDGHTFLDSVECYDPDSDTWSEVTRMTSG RSGVGVAVTMEPCRKQIDQQNCTC >ENSMUSP00000062467.4 pep:known chromosome:GRCm38:9:21229731:21239071:-1 gene:ENSMUSG00000003308.14 transcript:ENSMUST00000049567.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Keap1 description:kelch-like ECH-associated protein 1 [Source:MGI Symbol;Acc:MGI:1858732] MQPEPKLSGAPRSSQFLPLWSKCPEGAGDAVMYASTECKAEVTPSQDGNRTFSYTLEDHT KQAFGVMNELRLSQQLCDVTLQVKYEDIPAAQFMAHKVVLASSSPVFKAMFTNGLREQGM EVVSIEGIHPKVMERLIEFAYTASISVGEKCVLHVMNGAVMYQIDSVVRACSDFLVQQLD PSNAIGIANFAEQIGCTELHQRAREYIYMHFGEVAKQEEFFNLSHCQLATLISRDDLNVR CESEVFHACIDWVKYDCPQRRFYVQALLRAVRCHALTPRFLQTQLQKCEILQADARCKDY LVQIFQELTLHKPTQAVPCRAPKVGRLIYTAGGYFRQSLSYLEAYNPSNGSWLRLADLQV PRSGLAGCVVGGLLYAVGGRNNSPDGNTDSSALDCYNPMTNQWSPCASMSVPRNRIGVGV IDGHIYAVGGSHGCIHHSSVERYEPERDEWHLVAPMLTRRIGVGVAVLNRLLYAVGGFDG TNRLNSAECYYPERNEWRMITPMNTIRSGAGVCVLHNCIYAAGGYDGQDQLNSVERYDVE TETWTFVAPMRHHRSALGITVHQGKIYVLGGYDGHTFLDSVECYDPDSDTWSEVTRMTSG RSGVGVAVTMEPCRKQIDQQNCTC >ENSMUSP00000131029.1 pep:known chromosome:GRCm38:9:21230067:21238813:-1 gene:ENSMUSG00000003308.14 transcript:ENSMUST00000164812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Keap1 description:kelch-like ECH-associated protein 1 [Source:MGI Symbol;Acc:MGI:1858732] MQPEPKLSGAPRSSQFLPLWSKCPEGAGDAVMYASTECKAEVTPSQDGNRTFSYTLEDHT KQAFGVMNELRLSQQLCDVTLQVKYEDIPAAQFMAHKVVLASSSPVFKAMFTNGLREQGM EVVSIEGIHPKVMERLIEFAYTASISVGEKCVLHVMNGAVMYQIDSVVRACSDFLVQQLD PSNAIGIANFAEQIGCTELHQRAREYIYMHFGEVAKQEEFFNLSHCQLATLISRDDLNVR CESEVFHACIDWVKYDCPQRRFYVQALLRAVRCHALTPRFLQTQLQKCEILQADARCKDY LVQIFQELTLHKPTQAVPCRAPKVGRLIYTAGGYFRQSLSYLEAYNPSNGSWLRLADLQV PRSGLAGCVVGGLLYAVGGRNNSPDGNTDSSALDCYNPMTNQWSPCASMSVPRNRIGVGV IDGHIYAVGGSHGCIHHSSVERYEPERDEWHLVAPMLTRRIGVGVAVLNRLLYAVGGFDG TNRLNSAECYYPERNEWRMITPMNTIRSGAGVCVLHNCIYAAGGYDGQDQLNSVERYDVE TETWTFVAPMRHHRSALGITVHQGKIYVLGGYDGHTFLDSVECYDPDSDTWSEVTRMTSG RSGVGVAVTMEPCRKQIDQQNCTC >ENSMUSP00000141840.1 pep:known chromosome:GRCm38:9:21230633:21239079:-1 gene:ENSMUSG00000003308.14 transcript:ENSMUST00000193982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Keap1 description:kelch-like ECH-associated protein 1 [Source:MGI Symbol;Acc:MGI:1858732] MQPEPKLSGAPRSSQFLPLWSKCPEGAGDAVMYASTECKAEVTPSQDGNRTFSYTLEDHT KQAFGVMNELRLSQQLCDVTLQVKYEDIPAAQFMAHKVVLASSSPVFKAMFTNGLREQGM EVVSIEGIHPKVMERLIEFAYTASISVGEKCVLHVMNGAVMYQIDSVVRACSDFLVQQLD PSNAIGIANFAEQIGCTELHQRAREYIYMHFGEVAKQEEFFNLSHCQLATLISRDDLNVR CESEVFHACIDWVKYDCPQRRFYVQALLRAVRCHALTPRFLQTQLQKCEILQADARCKDY LVQIFQELTLHKPTQAVPCRAPKVGRLIYTAGGYFRQSLSYLEAYNPSNGSWLRLADLQV PRSGLAGCVVGGLLYAVGGRNNSPDGNTDSSALDCYNPMTNQWSPCASMSVPRNRIGVGV IDGHIYAVGGSHGCIHHSSVERYEPERDEWHLVAPMLTRRIGVGVAVLNRLLYAVGGFDG TNRLNSAECYYPERNEWRMITPMNTIRSGAGVCVLHNCIYAAGGYDGQDQLNSVERYDVE TETWTFVAPMRHHRSALGITVHQGKIYVLGGYDGHTFLDSVECYDPDSDTWSEVTRMTSG RSGVGVAVTMEPCRKQIDQQNCTC >ENSMUSP00000064392.4 pep:known chromosome:GRCm38:10:116018213:116274932:1 gene:ENSMUSG00000020151.16 transcript:ENSMUST00000063470.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprr description:protein tyrosine phosphatase, receptor type, R [Source:MGI Symbol;Acc:MGI:109559] MRRAVGFPALCLLLNLHAAGCFSRNNDHFLAIRQKKSWKPVFIYDHSQDIKKSLDIAQEA YKHNYHSPSEVQISKHHQIINSAFPRPAYDPSLNLLAESDQDLEIENLPIPAANVIVVTL QMDITKLNITLLRIFRQGVAAALGLLPQQVHINRLIEKKNQVELFVSPGNRKPGETQALQ AEEVLRSLNVDGLHQSLPQFGITDVAPEKNVLQGQHEADKIWSKEGFYAVVIFLSIFIII VTCLMIIYRLKERLQLSLRQDKEKNQEIHLSPIARQQAQSEAKTTHSMVQPDQAPKVLNV VVDPQGQCTPEIRNSTSTSVCPSPFRMKPIGLQERRGSNVSLTLDMSSLGSVEPFVAVST PREKVAMEYLQSASRVLTRSQLRDVVASSHLLQSEFMEIPMNFVDPKEIDIPRHGTKNRY KTILPNPLSRVCLRPKNITDSLSTYINANYIRGYSGKEKAFIATQGPMINTVNDFWQMVW QEDSPVIVMITKLKEKNEKCVLYWPEKRGIYGKVEVLVTGVTECDNYTIRNLVLKQGSHT QHVKHYWYTSWPDHKTPDSAQPLLQLMLDVEEDRLASEGRGPVVVHCSAGIGRTGCFIAT SIGCQQLKEEGVVDALSIVCQLRVDRGGMVQTSEQYEFVHHALCLFESRLSPETVE >ENSMUSP00000100907.2 pep:known chromosome:GRCm38:10:116143903:116274930:1 gene:ENSMUSG00000020151.16 transcript:ENSMUST00000105271.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprr description:protein tyrosine phosphatase, receptor type, R [Source:MGI Symbol;Acc:MGI:109559] MHRNTRSVSTPTLQMDITKLNITLLRIFRQGVAAALGLLPQQVHINRLIEKKNQVELFVS PGNRKPGETQALQAEEVLRSLNVDGLHQSLPQFGITDVAPEKNVLQGQHEADKIWSKEGF YAVVIFLSIFIIIVTCLMIIYRLKERLQLSLRQDKEKNQEIHLSPIARQQAQSEAKTTHS MVQPDQAPKVLNVVVDPQGQCTPEIRNSTSTSVCPSPFRMKPIGLQERRGSNVSLTLDMS SLGSVEPFVAVSTPREKVAMEYLQSASRVLTRSQLRDVVASSHLLQSEFMEIPMNFVDPK EIDIPRHGTKNRYKTILPNPLSRVCLRPKNITDSLSTYINANYIRGYSGKEKAFIATQGP MINTVNDFWQMVWQEDSPVIVMITKLKEKNEKCVLYWPEKRGIYGKVEVLVTGVTECDNY TIRNLVLKQGSHTQHVKHYWYTSWPDHKTPDSAQPLLQLMLDVEEDRLASEGRGPVVVHC SAGIGRTGCFIATSIGCQQLKEEGVVDALSIVCQLRVDRGGMVQTSEQYEFVHHALCLFE SRLSPETVE >ENSMUSP00000114455.1 pep:known chromosome:GRCm38:10:116177411:116274345:1 gene:ENSMUSG00000020151.16 transcript:ENSMUST00000128399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprr description:protein tyrosine phosphatase, receptor type, R [Source:MGI Symbol;Acc:MGI:109559] MIIYRLKERLQLSLRQDKEKNQEIHLSPIARQQAQSEAKTTHSMVQPDQAPKVLNVVVDP QGQCTPEIRNSTSTSVCPSPFRMKPIGLQERRGSNVSLTLDMSSLGSVEPFVAVSTPREK VAMEYLQSASRVLTRSQLRDVVASSHLLQSEFMEIPMNFVDPKEIDIPRHGTKNRYKTIL PNPLSRVCLRPKNITDSLSTYINANYIRGYSGKEKAFIATQGPMINTVNDFWQMVWQEDS PVIVMITKLKEKNEKCVLYWPEKRGIYGKVEVLVTGVTECDNYTIRNLVLKQGSHTQHVK HYWYTSWPDHKTPDSAQPLLQLMLDVEEDRLASEGRGPVVVHCSAGIGRTGCFIATSIGC QQLKEEGVVDALSIVCQLRVDRGGMVQTSEQYEFVHHALCLFESRLSPETVE >ENSMUSP00000122259.1 pep:known chromosome:GRCm38:10:116177351:116274932:1 gene:ENSMUSG00000020151.16 transcript:ENSMUST00000155606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprr description:protein tyrosine phosphatase, receptor type, R [Source:MGI Symbol;Acc:MGI:109559] MIIYRLKERLQLSLRQDKEKNQEIHLSPIARQQAQSEAKTTHSMVQPDQAPKVLNVVVDP QGQCTPEIRNSTSTSVCPSPFRMKPIGLQERRGSNVSLTLDMSSLGSVEPFVAVSTPREK VAMEYLQSASRVLTRSQLRDVVASSHLLQSEFMEIPMNFVDPKEIDIPRHGTKNRYKTIL PNPLSRVCLRPKNITDSLSTYINANYIRGYSGKEKAFIATQGPMINTVNDFWQMVWQEDS PVIVMITKLKEKNEKCVLYWPEKRGIYGKVEVLVTGVTECDNYTIRNLVLKQGSHTQHVK HYWYTSWPDHKTPDSAQPLLQLMLDVEEDRLASEGRGPVVVHCSAGIGRTGCFIATSIGC QQLKEEGVVDALSIVCQLRVDRGGMVQTSEQYEFVHHALCLFESRLSPETVE >ENSMUSP00000120965.1 pep:known chromosome:GRCm38:10:116177258:116274932:1 gene:ENSMUSG00000020151.16 transcript:ENSMUST00000148731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprr description:protein tyrosine phosphatase, receptor type, R [Source:MGI Symbol;Acc:MGI:109559] MIIYRLKERLQLSLRQDKEKNQEIHLSPIARQQAQSEAKTTHSMVQPDQAPKVLNVVVDP QGQCTPEIRNSTSTSVCPSPFRMKPIGLQERRGSNVSLTLDMSSLGSVEPFVAVSTPREK VAMEYLQSASRVLTRSQLRDVVASSHLLQSEFMEIPMNFVDPKEIDIPRHGTKNRYKTIL PNPLSRVCLRPKNITDSLSTYINANYIRGYSGKEKAFIATQGPMINTVNDFWQMVWQEDS PVIVMITKLKEKNEKCVLYWPEKRGIYGKVEVLVTGVTECDNYTIRNLVLKQGSHTQHVK HYWYTSWPDHKTPDSAQPLLQLMLDVEEDRLASEGRGPVVVHCSAGIGRTGCFIATSIGC QQLKEEGVVDALSIVCQLRVDRGGMVQTSEQYEFVHHALCLFESRLSPETVE >ENSMUSP00000098254.2 pep:known chromosome:GRCm38:14:44163169:44171371:-1 gene:ENSMUSG00000072595.2 transcript:ENSMUST00000100688.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930503E14Rik description:RIKEN cDNA 4930503E14 gene [Source:MGI Symbol;Acc:MGI:1922204] MFSWLRRLFHRENGDQGETRPRQKESAIPSCKNRRMKSFWERHMSAGKTSSQNCNITNHM KNMNKLDDMKFYIRKINAERLELFRILDIDMNTDLNYRMNIEFTIIKSQHEKTMLDMEKM TQSISDTIEKYKEFIEDKDSYRCEILQQKLEHGTDQDKVSFEENICVCEANISSHPQ >ENSMUSP00000135540.1 pep:known chromosome:GRCm38:8:84686339:84691322:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000177531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MSLARTILWLSRPLRPAHSLCRAQFMERKAQKPPSPPAMENGTRSWEERPPADPVATVTE GAAKIVFPSANEVFYNPVQEFNRDLTCAVITEFARIHLGAKGIQIKVPGEKDSEKIAVDL SDQEEETAGKNENLAPGDWPRTAAVGEICEEGLRVLEGLAASGLRSIRFALEVP >ENSMUSP00000001974.4 pep:known chromosome:GRCm38:8:84688866:84699808:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000001974.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MSLARTILWLSRPLRPAHSLCRAQFMERKAQKPPSPPAMENGTRSWEERPPADPVATVTE GAAKIVFPSANEVFYNPVQEFNRDLTCAVITEFARIHLGAKGIQIKVPGEKDSEKIAVDL SDQEEETAGKNENLAPGDWPRTAAVGEICEEGLRVLEGLAASGLRSIRFALEVPGLQSVV ANDASARAVELMHRNVELNGVAHLVQPNQADARMLMYQHQKAPERFDVIDLDPYGSPAPF LDAAVQAVSDGGLLCVTCTDMAVLAGNSGETCYSKYGAMALKSRACHEMALRIVLHSLDL HANCYQRYIVPLLSISADFYIRVFVRVFTGQAKVKSSASKQALVFQCVGCGAFYLQRLGK ASGDPGGRIKFSAACGPPVTPECEHCGQRHQLGGPMWAEPIHDLDFVGRVLDAVTTNPGR FHTSMRIQGVLSVVTEELPDVPLYYTLDQLSSTIHCNTPRLLQLRSALLHAGFRVSLSHA CKNAVKTDAPPEALWDIMRCWEKECPVKRERLSESSPAFRILAVEPRLKANFNIREDANP SSRQRGLKRFQANPEANWGPRPRARPGGKAASEDLAGRRRLLQNKRKEPAEDPAQRAARL KTFPCKRFKEGTCQLGDQCCYSHSPAAPVASGDIPIEECPETTTKISPGPKAAAGGIPGP GVD >ENSMUSP00000105389.2 pep:known chromosome:GRCm38:8:84689247:84699787:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000109767.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MSLARTILWLSRPLRPAHSLCRAQFMERKAQKPPSPPAMENGTRSWEERPPADPVATVTE GAAKIVFPSANEVFYNPVQEFNRDLTCAVITEFARIHLGAKGIQIKVPGEKDSEKIAVDL SDQEEETAGKNENLAPGDWPRTAAVGEICEEGLRVLEGLAASGLRSIRFALEVPGLQSVV ANDASARAVELMHRNVELNGVAHLVQPNQADARMLMYQHQKAPERFDVIDLDPYGSPAPF LDAAVQAVSDGGLLCVTCTDMAVLAGNSGETCYSKYGAMALKSRACHEMALRIVLHSLDL HANCYQRYIVPLLSISADFYIRVFVRVFTGQAKVKSSASKQALVFQCVGCGAFYLQRLGK ASGDPGGRIKFSAACGPPVTPECEHCGQRHQLGGPMWAEPIHDLDFVGRVLDAVTTNPGR FHTSMRIQGVLSVVTEELPDVPLYYTLDQLSSTIHCNTPRLLQLRSALLHAGFRVSLSHA CKNAVKTDAPPEALWDIMRCWEKECPVKRERLSESSPAFRILAVEPRLKANFNIREDANP SSRQRGLKRFQANPEANWGPRPRARPGGKAASEDLAGRRRLLQNKRKEPAEDPAQRAARL KTFPCKRFKEGTCQLGDQCCYSHSPAAPVASGDIPIEECPETTTKISPGPKAAAGGIPGP GVD >ENSMUSP00000135675.1 pep:known chromosome:GRCm38:8:84689257:84695530:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000177084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MERKAQKPPSPPAMENGTRSWEERPPADPVATVTEGAAKIVFPSANEVFYNPVQEFNRDL TCAVITEFARIHLGAKGIQIKVPGEKDSEKIAVDLSDQEEETAGKNENLAPGDWPRTAAV GEICEEGLRVLEGLAASGLRSIRFALEVPGLQSVVANDASARAVELMHRNVELNGVAHLV QPNQADARMLMYQHQKAPERFDVIDLDPYGSPAPFLDAAVQAVSDGGLLCVTCTDMAVLA GNSGETCYSKYGAMALKSRA >ENSMUSP00000105390.2 pep:known chromosome:GRCm38:8:84689264:84699786:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000109768.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MREACTVTRWILLCRAQFMERKAQKPPSPPAMENGTRSWEERPPADPVATVTEGAAKIVF PSANEVFYNPVQEFNRDLTCAVITEFARIHLGAKGIQIKVPGEKDSEKIAVDLSDQEEET AGKNENLAPGDWPRTAAVGEICEEGLRVLEGLAASGLRSIRFALEVPGLQSVVANDASAR AVELMHRNVELNGVAHLVQPNQADARMLMYQHQKAPERFDVIDLDPYGSPAPFLDAAVQA VSDGGLLCVTCTDMAVLAGNSGETCYSKYGAMALKSRACHEMALRIVLHSLDLHANCYQR YIVPLLSISADFYIRVFVRVFTGQAKVKSSASKQALVFQCVGCGAFYLQRLGKASGDPGG RIKFSAACGPPVTPECEHCGQRHQLGGPMWAEPIHDLDFVGRVLDAVTTNPGRFHTSMRI QGVLSVVTEELPDVPLYYTLDQLSSTIHCNTPRLLQLRSALLHAGFRVSLSHACKNAVKT DAPPEALWDIMRCWEKECPVKRERLSESSPAFRILAVEPRLKANFNIREDANPSSRQRGL KRFQANPEANWGPRPRARPGGKAASEDLAGRRRLLQNKRKEPAEDPAQRAARLKTFPCKR FKEGTCQLGDQCCYSHSPAAPVASGDIPIEECPETTTKISPGPKAAAGGIPGPGVD >ENSMUSP00000122526.1 pep:known chromosome:GRCm38:8:84689269:84696924:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000131700.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MSLARTILWLSRPLRPAHSLCRAQFMERKAQKPPSPPAMENGTRSWEERPPADPVATVTE GAAKIVFPSANEVFYNPVQEFNRDLTCAVITEFARIHLGAKGIQRSLIVWFLPE >ENSMUSP00000116712.2 pep:known chromosome:GRCm38:8:84689272:84695301:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000152301.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MSLARTILWLSRPLRPAHSLCRAQFMERKAQKPPSPPAMENGTRSWEERPPADPVATVTE GAAKIVFPSANEVFYNPVQEFNRDLTCAVITEFARIHLGAKGIQMTAVKVPGEKDSEKIA VDLSDQEEETAGKNENLAPGDWPRTAAVGEICEEGLRVLEGLAASGLRSIRFALEVPGLQ SVVANDASARAVELMHRNVELNGVAHLVQPNQADARMLMYQHQKAPERFDVIDLDPYGSP AP >ENSMUSP00000117140.1 pep:known chromosome:GRCm38:8:84689286:84695449:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000143427.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MREACTVTRWILLCRAQFMERKAQKPPSPPAMENGTRSWEERPPADPVATVTEGAAKIVF PSANEVFYNPVQEFNRDLTCAVITEFARIHLGAKGIQIWFLPE >ENSMUSP00000135327.1 pep:known chromosome:GRCm38:8:84689286:84695473:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000177423.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MREACTVTRWILLCRAQFMERKAQKPPSPPAMENGTRSWEERPPADPVATVTEGAAKIVF PSANEVFYNPVQEFNRDLTCAVITEFARIHLGAKGIQMTAVKVPGEKDSEKIAVDLSDQE EETAGKNENLAPGDWPRTAAVGEICEEGLRVLEGLAASGLRSIRFALEVPGLQSVVANDA SARAVELMHRNVELNGVAHLVQPNQADARMLMYQHQKAPERFDVIDLDPYGSPAPFLDAA VQAVSDGGLLCVTCTDMAVLAG >ENSMUSP00000135510.1 pep:known chromosome:GRCm38:8:84689464:84699785:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000125370.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MSLARTILWLSRPLRPAHSLCRAQFMERKAQKPPSPPAMENGTRSWEERPPADPVATVTE GAAKIVFPSANEVFYNPVQEFNRDLTCAVITEFARIHLGAKGIQIKVPGEKDSEKIAVDL SDQEEETAGKNENLAPGDWPRTAAVGEICEEGLRVLEGLAASGLRSIRFALEVPGLQSVV ANDASARAVELMHRNVELNGVAHLVQPNQADARMLMYQHQKAPERFDVIDLDPYGSPAPF LDAAVQAVSDGGLLCVTCTDMAVLAGNSGETCYSKYGAMALKSRACHEMALRIVLHSLDL HANCYQRYIVPLLSISADFYIRVFVRVFTGQAKVKSSARIKFSAACGPPVTPECEHCGQR HQLGGPMWAEPIHDLDFVGRVLDAVTTNPGRFHTSMRIQGVLSVVTEELPDVPLYYTLDQ LSSTIHCNTPRLLQLRSALLHAGFRVSLSHACKNAVKTDAPPEALWDIMRCWEKECPVKR ERLSESSPAFRILAVEPRLKANFNIREDANPSSRQRGLKRFQANPEANWGPRPRARPGGK AASEDLAGRRRLLQNKRKEPAEDPAQRAARLKTFPCKRFKEGTCQLGDQCCYSHSPAAPV ASGDIPIEECPETTTKISPGPKAAAGGIPGPGVD >ENSMUSP00000135273.1 pep:known chromosome:GRCm38:8:84689477:84695239:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000175784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] MSLARTILWLSRPLRPAHSLCRAQFMERKAQKPPSPPAMENGTRSWEERPPADPVATVTE GAAKIVFPSANEVFYNPVQEFNRDLTCAVITEFARIHLGAKGIQMTAVKVPGEKDSEKIA VDLSDQEEETAGKNENLAPGDWPRTAAVGEICEEGLRVLEGLAASGLRSIRFALEVPGLQ SVVANDASARAVELMHRNVELNGVAHLVQPNQADARMLMYQ >ENSMUSP00000135680.1 pep:known chromosome:GRCm38:8:84696974:84698261:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000175884.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] SKQALVFQCVGCGAFYLQRLGKASGDPGGRIKFSAACGPPVTPECEHCGQRHQVGPAWGP HVGRAHP >ENSMUSP00000134723.1 pep:known chromosome:GRCm38:8:84698398:84699786:1 gene:ENSMUSG00000001909.15 transcript:ENSMUST00000136423.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1 description:tRNA methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1289155] XECPVKRERLSESSPAFRILAVEPRLKANFNIREDANPSSRQRGLKRFQANPEANWGPRP RARPGGKAASEDLAGRRRLLQNKRKEPAEDPAQRAARLKTFPCKRFKEVISPSTFHATGP RLGLWHSWGQRLRKETQLCAHSLGLHTLFSHQGTCQLGDQCCYSHSPAAPVASGDIPIEE CPETTTKISPGPKAAAGGIPGPGVD >ENSMUSP00000112442.1 pep:known chromosome:GRCm38:8:61513246:61902669:-1 gene:ENSMUSG00000058056.15 transcript:ENSMUST00000121785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palld description:palladin, cytoskeletal associated protein [Source:MGI Symbol;Acc:MGI:1919583] MSETSSHDSFYDSLSDVQEEGKSADFFPGLSAFLSQEEINKSLDLARRAIDSSETEDFDS EKEISQIFSKSPISLCETPSHEEPKSGKQTSSERPQDSRRAPVQPLTGDQAERITSPGSK RKPGVSPLLASPSYIRSLRKAEKRGAKNPNPSSKPKTAQQSKAGPQSQLCDKAASFIEEL TSIFREAAKPRNRSPNGESSSPDSGYLSPKNQPSALMSASASQSPTADQLDQLEMDAEVK QAQGSLCYQAHQASEETLPLAHIPHPQPQKARHLPTAPRFIQKLRSQEVAEGSRVYLECR VTGNPTPRVRWFCEGKELYNSPDVQIHCESGELHTLVIAEAFEDDTGRYTCLATNPSGSD STSAEVFIEGASSTDSDSESLSFISKAGAMPQAQKKTTSVSLTIGSSAPKTGVTTAVIQP LSVPVQQAHSATSYLCRPDGTTMGCLLPVFTKELQNTAASEGQVVVLECRVRGAPPLQVQ WFRQGSEIQDSPDFRILQKKPRSTAEPEEICTLVIAESFPEDAGIFTCSATNDYGSVTST AQLVITSANNENCSYDSTGEPNSDHFQHFPPPPPILETGSYELASQKPSEIQQVNSPNLG FSMAALQMQFNTAERETNGVHPSHGVNGLINGKAYGNKSPPTPTALLSPTKEPPPLLAKP KLDPLKLQQLQNQVRLEQEACAWPPAPPGVPCNSSSSGSSAPPSPPFPPPPPAFPELAAC ASPVPSEPMSALASRATAMQSSGSFNYARPKQFIAAQNLGPASGLPTPTSSPSSSSLPSP LSPTPRPFGRAPGPPFVEPEAMWGPSSPSPPPPPPPVFSPSAAYPVPDVFPLPPPPPPLP SSTSHCASPARFGPSQTPAAFLSALLPSQPPPVAVNALGLPKGVTPAGFPKKSSRTARIA SDEEIQGTKDAVIQDLERKLRFKEDLLNNGQPRLTYEERMARRLLGADSANVFNIQEPEE TAANQDAGAPRASVGGPLDGQKEYKVSSCEQRLISEIEYRLERSPVDESGDEVQDPDVPV ENATAPFFEMKLKHYKIFEGMPVTFTCRVAGNPKPKIYWFKDGKQISPKSDHYTIQRDLD GTCSLHTTASTLDDDGNYTIMAANPQGRVSCTGRLMVQAVNQRGRSPRSPSGHPHARRPR SRSRDSGDENEPIQERFFRPHFLQAPGDLTVQEGKLCRMDCKVSGLPTPDLSWQLDGKPI RPDSAHKMLVRENGVHSLIIEPVTSRDAGIYTCIATNRAGQNSFNLELVVAAKEAHKAPV FMEKLQNTGVADGYPVRLECRVSGVPPPQIFWKKENESLTHSTERVSMHQDNHGYICLLI QGATKEDAGWYTVSAKNEAGIVSCTARLDVYTQWHQQPQTTKPKKVRPSASRYAALSDQG LDIKAAFQPEASPSHLTLNSGLVESEDL >ENSMUSP00000112374.1 pep:known chromosome:GRCm38:8:61513248:61591142:-1 gene:ENSMUSG00000058056.15 transcript:ENSMUST00000121200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palld description:palladin, cytoskeletal associated protein [Source:MGI Symbol;Acc:MGI:1919583] MSALASRATAMQSSGSFNYARPKQFIAAQNLGPASGLPTPTSSPSSSSLPSPLSPTPRPF GRAPGPPFVEPEAMWGPSSPSPPPPPPPVFSPSAAYPVPDVFPLPPPPPPLPSSTSHCAS PARFGPSQTPAAFLSALLPSQPPPVAVNALGLPKGVTPAGFPKKSSRTARIASDEEIQGT KDAVIQDLERKLRFKEDLLNNGQPRLTYEERMARRLLGADSANVFNIQEPEETAANQEYK VSSCEQRLISEIEYRLERSPVDESGDEVQDPDVPVENATAPFFEMKLKHYKIFEGMPVTF TCRVAGNPKPKIYWFKDGKQISPKSDHYTIQRDLDGTCSLHTTASTLDDDGNYTIMAANP QGRVSCTGRLMVQAVNQRGRSPRSPSGHPHARRPRSRSRDSGDENEPIQERFFRPHFLQA PGDLTVQEGKLCRMDCKVSGLPTPDLSWQLDGKPIRPDSAHKMLVRENGVHSLIIEPVTS RDAGIYTCIATNRAGQNSFNLELVVAAKEAHKAPVFMEKLQNTGVADGYPVRLECRVSGV PPPQIFWKKENESLTHSTERVSMHQDNHGYICLLIQGATKEDAGWYTVSAKNEAGIVSCT ARLDVYTQWHQQPQTTKPKKVRPSASRYAALSDQGLDIKAAFQPEASPSHLTLNSGLVES EDL >ENSMUSP00000113874.1 pep:known chromosome:GRCm38:8:61513252:61760089:-1 gene:ENSMUSG00000058056.15 transcript:ENSMUST00000121493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palld description:palladin, cytoskeletal associated protein [Source:MGI Symbol;Acc:MGI:1919583] MPQAQKKTTSVSLTIGSSAPKTGVTTAVIQPLSVPVQQAHSATSYLCRPDGTTMGCLLPV FTKELQNTAASEGQVVVLECRVRGAPPLQVQWFRQGSEIQDSPDFRILQKKPRSTAEPEE ICTLVIAESFPEDAGIFTCSATNDYGSVTSTAQLVITSANNENCSYDSTGEPNSDHFQHF PPPPPILETGSYELASQKPSEIQQVNSPNLGFSMAALQMQFNTAERETNGVHPSHGVNGL INGKAYGNKSPPTPTALLSPTKEPPPLLAKPKLDPLKLQQLQNQVRLEQEACAWPPAPPG VPCNSSSSGSSAPPSPPFPPPPPAFPELAACASPVPSEPMSALASRATAMQSSGSFNYAR PKQFIAAQNLGPASGLPTPTSSPSSSSLPSPLSPTPRPFGRAPGPPFVEPEAMWGPSSPS PPPPPPPVFSPSAAYPVPDVFPLPPPPPPLPSSTSHCASPARFGPSQTPAAFLSALLPSQ PPPVAVNALGLPKGVTPAGFPKKSSRTARIASDEEIQGTKDAVIQDLERKLRFKEDLLNN GQPRLTYEERMARRLLGADSANVFNIQEPEETAANQEYKVSSCEQRLISEIEYRLERSPV DESGDEVQDPDVPVENATAPFFEMKLKHYKIFEGMPVTFTCRVAGNPKPKIYWFKDGKQI SPKSDHYTIQRDLDGTCSLHTTASTLDDDGNYTIMAANPQGRVSCTGRLMVQAVNQRGRS PRSPSGHPHARRPRSRSRDSGDENEPIQERFFRPHFLQAPGDLTVQEGKLCRMDCKVSGL PTPDLSWQLDGKPIRPDSAHKMLVRENGVHSLIIEPVTSRDAGIYTCIATNRAGQNSFNL ELVVAAKEAHKAPVFMEKLQNTGVADGYPVRLECRVSGVPPPQIFWKKENESLTHSTERV SMHQDNHGYICLLIQGATKEDAGWYTVSAKNEAGIVSCTARLDVYTQWHQQPQTTKPKKV RPSASRYAALSDQGLDIKAAFQPEASPSHLTLNSGLVESEDL >ENSMUSP00000119792.1 pep:known chromosome:GRCm38:8:61515913:61591139:-1 gene:ENSMUSG00000058056.15 transcript:ENSMUST00000135439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palld description:palladin, cytoskeletal associated protein [Source:MGI Symbol;Acc:MGI:1919583] MARRLLGADSANVFNIQEPEETAANQEYKVSSCEQRLISEIEYRLERSPVDESGDEVQDP DVPVENATAPFFEMKLKHYKIFEGMPVTFTCRVAGNPKPKIYWFKDGKQISPKSDHYTIQ RDLDGTCSLHTTASTLDDDGNYTIMAANPQGRVSCTGRLMVQAVNQRGRSPRSPSGHPHA RRPRSRSRDSGDENEPIQERFFRPHFLQAPGDLTVQEGKLCRMDCKVSGLPTPDLSWQLD GKPIRPDSAHKMLVRENGVHSLIIEPVTSRDAGIYTCIATNRAGQNSFNLELVVAAKEAH KAPVFMEKLQNTGVADGYPVRLECRVSGVPPPQIFW >ENSMUSP00000034057.7 pep:known chromosome:GRCm38:8:61515021:61902690:-1 gene:ENSMUSG00000058056.15 transcript:ENSMUST00000034057.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palld description:palladin, cytoskeletal associated protein [Source:MGI Symbol;Acc:MGI:1919583] MSETSSHDSFYDSLSDVQEEGKSADFFPGLSAFLSQEEINKSLDLARRAIDSSETEDFDS EKEISQIFSKSPISLCETPSHEEPKSGKQTSSERPQDSRRAPVQPLTGDQAERITSPGSK RKPGVSPLLASPSYIRSLRKAEKRGAKNPNPSSKPKTAQQSKAGPQSQLCDKAASFIEEL TSIFREAAKPRNRSPNGESSSPDSGYLSPKNQPSALMSASASQSPTADQLDQLEMDAEVK QAQGSLCYQAHQASEETLPLAHIPHPQPQKARHLPTAPRFIQKLRSQEVAEGSRVYLECR VTGNPTPRVRWFCEGKELYNSPDVQIHCESGELHTLVIAEAFEDDTGRYTCLATNPSGSD STSAEVFIEGASSTDSDSESLSFISKAGAMPQAQKKTTSVSLTIGSSAPKTGVTTAVIQP LSVPVQQAHSATSYLCRPDGTTMGCLLPVFTKELQNTAASEGQVVVLECRVRGAPPLQVQ WFRQGSEIQDSPDFRILQKKPRSTAEPEEICTLVIAESFPEDAGIFTCSATNDYGSVTST AQLVITSANNENCSYDSTGEPNSDHFQHFPPPPPILETGSYELASQKPSEIQQVNSPNLG FSMAALQMQFNTAERETNGVHPSHGVNGLINGKAYGNKSPPTPTALLSPTKEPPPLLAKP KLGFPKKSSRTARIASDEEIQGTKDAVIQDLERKLRFKEDLLNNGQPRLTYEERMARRLL GADSANVFNIQEPEETAANQEYKVSSCEQRLISEIEYRLERSPVDESGDEVQDPDVPVEN ATAPFFEMKLKHYKIFEGMPVTFTCRVAGNPKPKIYWFKDGKQISPKSDHYTIQRDLDGT CSLHTTASTLDDDGNYTIMAANPQGRVSCTGRLMVQAVNQRGRSPRSPSGHPHARRPRSR SRDSGDENEPIQERFFRPHFLQAPGDLTVQEGKLCRMDCKVSGLPTPDLSWQLDGKPIRP DSAHKMLVRENGVHSLIIEPVTSRDAGIYTCIATNRAGQNSFNLELVVAAKEAHKAPVFM EKLQNTGVADGYPVRLECRVSGVPPPQIFWKKENESLTHSTERVSMHQDNHGYICLLIQG ATKEDAGWYTVSAKNEAGIVSCTARLDVYISRH >ENSMUSP00000097203.1 pep:known chromosome:GRCm38:2:111872915:111873853:-1 gene:ENSMUSG00000074955.1 transcript:ENSMUST00000099608.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1305 description:olfactory receptor 1305 [Source:MGI Symbol;Acc:MGI:3031139] MIRANYSAVSEFVLLGLSNSWEIQVFIFFFTCLFYVSSLTGNFIIVVTVTSDPYLHSPMY FLLANLSVIDLIFCSIAAPKMICDLFRKQKVISFGGCISQIFFSHAVGGTEMVLLIAMAF DRYVAICKPLRYLTIMSPRMCLLILVAAWIIGLIHSSAQLAFVINLPFCGPNILDSFYCD IPRLVKLACTDTYKLELMITANSGFISLIAFFLLIISYVFLLTTVQKQSSGCSSKALSTL LAHITVVVLFFGPLIFFYVCPSPSTHVDKFLAIFDAVLTPFLNPIIYTLRNRDMKIAIRK VFCQCLAFRKSM >ENSMUSP00000130823.1 pep:known chromosome:GRCm38:14:44197661:44203133:1 gene:ENSMUSG00000094543.1 transcript:ENSMUST00000166350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8212 description:predicted gene 8212 [Source:MGI Symbol;Acc:MGI:3643591] XRSSWVPKQACCPCSSGYFGERIEYTQTPDQGRRRPAAHHGRKGQETTGHGEGSAGEASP QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKEYQFYCCRNCNLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKTLCKEGIQKIKDHYTKQQQV >ENSMUSP00000118046.1 pep:known chromosome:GRCm38:18:31959234:32036961:-1 gene:ENSMUSG00000024388.10 transcript:ENSMUST00000134663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo7b description:myosin VIIB [Source:MGI Symbol;Acc:MGI:107709] MSVFRLGDHVWLDPPSSSKTGVAIGGIVKETKLGKTLIEDDEGKEHWVHAEDLSTLRPMH PNSAQGVDDMIRLGDLNEAGVVHNLLIRYQQHKIYTYTGSILVAVNPFQMLPLYTLEQVQ IYYSRHMGELPPHIFAIANSCYFNMKKNKRDQCCIISGESGAGKTETTKLILQFLATVSG QHSWIEQQVLEANPILEAFGNAKTIRNDNSSRFGKYIDIHFNSSGVIEGASIEHFLLEKS RVCRQAPEERNYHIFYCMLMGMSPEEKQMLSLGMPSEYHYLTMGSCTSSEGLSDAKDYAH VRSAMKILQFSDSENWDISKLLAAILHLGNVGFMAAVFENLDSSDVMETPAFPLAMKLLE VQHQALRDCLIKHTIPVLGEFVSRPVNIAQATDRRDAFVKGIYGRLFQWIVKKINAAIFT PQAQDPQNVRRAIGLLDIFGFENFQNNSFEQLCINFANEHLQQFFVKHVFTMEQEEYLSE NITWNYIHYTDNQPILDMLALKPMSIISLLDEESRFPQGTDVTMLQKLNSIHANNKSFLS PRSIHDTRFGIAHFAGDVYYQAEGFLEKNRDVLSTDILILIHSSKNKFLKEIFNVDSSQT KLGHGTICQVKAGSQLFKSSDSIKRPVTLASQFKQSLDQLMRILTNCQPYFVRCIKPNEY KKPLLFDRELCIQQLRYSGMMETVHIRKSGFPIRYTFDEFSQRFRVLLPSPERMQFQNKP RQMTLHIADLCLGTDKDWKVGKTKIFLKDHQDTVLEIRRSQALDGAAIRIQRVLRGHKYR KEFLRQRRAAVTLQAGWRGYSQRKNFKLILVGFERLQAIARSHLLMRQFQAMRQRIVQLQ ARCRGYLVRQQVQAKRRAVVIIQAHARGMVVRKSYWQQKSTGPQVILAKEPKAQVAVHER KRKSIYDTVTDTAMVEKVFGFLPAMIGGQEGPAPTRFEDLEVKTQKLHEVDLDTVPMMAM PEEEVDSLAEYTFPKFAVTYFQKSASHTHIQKPLRYPLLYHENDTDHSAALDVWIIILRF MGDLPEPVVYGRNSLTGSSVMRQIHDKLGKDSVTQHNRSSQVASQLNFGEEAFKFDGPIS DRPMSNLEKVHFIVGYAIMRPGLRDEIYCQICKQLSENYKTSSRARGWILLSLCLGCFPP SERFMKYLLNFISQGPPSYGPFCAERLQRTFANGVRAEPPTWLELQAVKSKKHIPIQVIL ATGRSLTISVDSASTSREICQHVAQKQGLRDNLGFSLQVAVYDKFWSLGSGCDHLMDAVA QCEQLARERGESQRQAPWRIYFRKEFFTPWHDSQEDPVSTELIYHQVLRGVWSGEYNFEK EEELVELLARHCYVQLGATVKSNAVQELLPSCVPSKLYRTKSPEKWASLVTAAHAKAQYT QSKATPLAVREQTVEAARLLWPLLFSRLFEVTTLSGPRLPKTQLVLAINWKGMYFLDQKE RTLLGLSFAEVMGLVANRDAPGGKKLLLATLQEEYEFVSPSSVAIAEMVALFLGGLKERS VFAMALQDRRATDDITLLPFKKGDLLILTKKQGLLASENWALGQNDRTGKTGLVPTACLY TIPSVTKPSTQLLSLLAMSPEKRKLAAQEVRALEPPLEDQLTESPYTLEEFSYQFFRAPE KETISRAAMPMARSRGHLWAYSPEPLRQPLLKSVHDKAKLRDAACQIFLAILKYTGDYPS RQSWHSLELTDQMFSLALQDPALQDELYCQILKQLTHNSIRFSEERAWQLLWLCTGLFPP GKTLLPHAQKFIDSRKKKPLALDCSRRLHRVLRVGPRKQPPHDVEVKAAEQNVSKLHHEV YLPNDTSKSMEVGSSSRVRDLCEGIGTRLQLASWDGCSLFIKITDKVISLKEGDFFFDSL RQVSDWVKKNRPQKEGASVTLPYQVFFMRKLWLNVTPGKDVNADTILHYHQELPKYLRGF HKCSREDAIHLGGLICKIQFGSDSSQLASVSKVLKELVPQNLTRLMSSEEWKKSLLLECD KNKRKTVAEAKVEFLKYMYRWPTFGSAFFEVKQTSEPSYPDILLIAINRHGLLLIHPKTK ELLNTYPFTKISSWSSGNTYFHMALGSLGQGSRLLCETSLGYKMDDLLTSYVQQLLNTVN KQRGFRAPAPANP >ENSMUSP00000028332.7 pep:known chromosome:GRCm38:2:25352290:25356359:-1 gene:ENSMUSG00000026958.13 transcript:ENSMUST00000028332.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp7 description:dipeptidylpeptidase 7 [Source:MGI Symbol;Acc:MGI:1933213] MNFHPCYPVDHGVPSWILVLLLSLGLCNLQARADRVLDPDFHENYFEQYMDHFNFESFGN KTFGQRFLVSDKFWKMGEGPIFFYTGNEGDIWSFANNSGFMVELAAQQEALLVFAEHRYY GKSLPFGVQSTQRGYTQLLTVEQALADFAVLLQALRQDLGVHDAPTIAFGGSYGGMLSAY MRMKYPHLVAGALAASAPVVAVAGLGDSYQFFRDVTADFYGQSPKCAQAVRDAFQQIKDL FLQGAYDTISQNFGTCQSLSSPKDLTQLFGFARNAFTVLAMMDYPYPTDFLGPLPANPVK VGCQRLLNEGQRIMGLRALAGLVYNSSGTEPCYDIYRLYQSCADPTGCGTGSDARAWDYQ ACTEINLTFDSNNVTDMFPEIPFSEELRQQYCLDTWGVWPRQDWLQTSFWGGDLKAASNI IFSNGDLDPWAGGGIQSNLSTSVIAVTIQGGAHHLDLRASNSEDPPSVVEVRKLESTLIR EWVAAARLKQPAMPRWPGPKKQHPSR >ENSMUSP00000140324.1 pep:known chromosome:GRCm38:14:47648448:47736557:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000187839.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQALKQE IEVLKEEIGNAQLEKAHQLSVTSQVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCA QVCSTPQFEELESVLKEKDNEIKRIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQ KHQQASFPSQEELQTVISEKEKEITDLCNELESLKNAVEHQRKKNNDLREKNWEAMEALA STEKMLQDRVNKTSKERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLRGFEKKAKA CVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRSVEQEESKW KIKADESQRMIKQMQSSFTASERELERLRQENKDMENLRREREHLEMELEKAEMERSTYV MEVRELKDLLTELQKKLDDSYSEAVRQNEELNLLKTQLNETHSKLQNEQTERKKVADDLH KAQQSLNSIHSKISLKAAGDTVVIENSDISPEMESPEKETMSVSLNQTVTQLQQLLQEVN QQLTKET >ENSMUSP00000140011.1 pep:known chromosome:GRCm38:14:47649311:47736557:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000190252.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQALKQE IEVLKEEIGNAQLEKAHQLSVTSQVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCA QVCSTPQFEELESVLKEKDNEIKRIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQ KHQQASFPSQEELQTVISEKEKEITDLCNELESLKNAVEHQRKKNNERRQHVEAIELESK DLLKRLFPTVSVPSNLNYSEWLRGFEKKAKACVAGTSDAEAVKVLEHRLKEASEMHTLLQ LECEKYKSVLAETEGILQKLQRSVEQEESKWKIKADESQRMIKQMQSSFTASERELERLR QENKDMENLRREREHLEMELEKAEMERSTYVMEVRELKTQLNETHSKLQNEQTERKKVAD DLHKAQQSLNSIHSKISLKAAGDTVVIENSDISPEMESPEKETMSVSLNQTVTQLQQLLQ EVNQQLTKET >ENSMUSP00000140523.1 pep:known chromosome:GRCm38:14:47649316:47664315:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000186466.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGS >ENSMUSP00000139970.1 pep:known chromosome:GRCm38:14:47649394:47736564:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000189986.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSAR >ENSMUSP00000140845.1 pep:known chromosome:GRCm38:14:47663787:47736279:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000188330.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLR GFEKKAKACVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRS VEQEESKWKIKADESQRMIKQMQSSFTASERELERLRQENKDMENLRREREHLEMELEKA EMERSTYVMEVRELKTQLNETHSKLQNEQTERKKVADDLHKAQQSLNSIHSKISLKAAGD TVVIENSDISPEMSEVIGKLLVRS >ENSMUSP00000139585.1 pep:known chromosome:GRCm38:14:47663787:47736284:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000191018.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNDLREKNWEAMEALASTEKMLQDRVNKTSKERRQHVEA IELESKDLLKRLFPTVSVPSNLNYSEWLRGFEKKAKACVAGTSDAEAVKVLEHRLKEASE MHTLLQLECEKYKSVLAETEGILQKLQRSVEQEESKWKIKADESQRMIKQLRREREHLEM ELEKAEMERSTYVMEVRELKDLLTELQKKLDDSYSEAVRQNEELNLLKTQLNETHSKLQN EQTERKKVADDLHKAQQSLNSIHSKISLKAAGDTVVIENSDISPEMESPEKETMSVSLNQ TVTQLQQLLQEVNQQLTKET >ENSMUSP00000140748.1 pep:known chromosome:GRCm38:14:47663787:47736287:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000191446.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQALKQE IEVLKEEIGNAQLEKAHQLSVTSQVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCA QVCSTPQFEELESVLKEKDNEIKRIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQ KHQQASFPSQEELQTVISEKEKEITDLCNELESLKNAVEHQRKKNNDLREKNWEAMEALA STEKMLQDRVNKTSKERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLRGFEKKAKA CVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRSVEQEESKW KIKADESQRMIKQLRREREHLEMELEKAEMERSTYVMEVRELKTQLNETHSKLQNEQTER KKVADDLHKAQQSLNSIHSKISLKAAGDTVVIENSDISPEMESPEKETMSVSLNQTVTQL QQLLQEVNQQLTKET >ENSMUSP00000140873.1 pep:known chromosome:GRCm38:14:47663787:47736288:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000186627.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLR GFEKKAKACVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRS VEQEESKWKIKADESQRMIKQLRREREHLEMELEKAEMERSTYVMEVRELKDLLTELQKK LDDSYSEAVRQNEELNLLKTQLNETHSKLQNEQTERKKVADDLHKAQQSLNSIHSKISLK AAGDTVVIENSDISPEMSEVIGKLLVRS >ENSMUSP00000140206.1 pep:known chromosome:GRCm38:14:47663787:47736289:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000187262.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQALKQE IEVLKEEIGNAQLEKAHQLSVTSQVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCA QVCSTPQFEELESVLKEKDNEIKRIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQ KHQQASFPSQEELQTVISEKEKEITDLCNELESLKNAVEHQRKKNNDLREKNWEAMEALA STEKMLQDRVNKTSKERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLRGFEKKAKA CVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRSVEQEESKW KIKADESQRMIKQLRREREHLEMELEKAEMERSTYVMEVRELKTQLNETHSKLQNEQTER KKVADDLHKAQQSLNSIHSKISLKAAGDTVVIENSDISPEMSEVIGKLLVRS >ENSMUSP00000140301.1 pep:known chromosome:GRCm38:14:47663787:47736291:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000190182.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQALKQE IEVLKEEIGNAQLEKAHQLSVTSQVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCA QVCSTPQFEELESVLKEKDNEIKRIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQ KHQQASFPSQEELQTVISEKEKEITDLCNELESLKNAVEHQRKKNNERRQHVEAIELESK DLLKRLFPTVSVPSNLNYSEWLRGFEKKAKACVAGTSDAEAVKVLEHRLKEASEMHTLLQ LECEKYKSVLAETEGILQKLQRSVEQEESKWKIKADESQRMIKQMQSSFTASERELERLR QENKDMENLRREREHLEMELEKAEMERSTYVMEVRELKDLLTELQKKLDDSYSEAVRQNE ELNLLKTQLNETHSKLQNEQTERKKVADDLHKAQQSLNSIHSKISLKAAGDTVVIENSDI SPEMSEVIGKLLVRS >ENSMUSP00000139952.1 pep:known chromosome:GRCm38:14:47663787:47736291:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000190535.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNDLREKNWEAMEALASTEKMLQDRVNKTSKERRQHVEA IELESKDLLKRLFPTVSVPSNLNYSEWLRGFEKKAKACVAGTSDAEAVKVLEHRLKEASE MHTLLQLECEKYKSVLAETEGILQKLQRSVEQEESKWKIKADESQRMIKQMQSSFTASER ELERLRQENKDMENLRREREHLEMELEKAEMERSTYVMEVRELKDLLTELQKKLDDSYSE AVRQNEELNLLKTQLNETHSKLQNEQTERKKVADDLHKAQQSLNSIHSKISLKAAGDTVV IENSDISPEMESPEKETMSVSLNQTVTQLQQLLQEVNQQLTKET >ENSMUSP00000139673.1 pep:known chromosome:GRCm38:14:47663787:47736291:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000190999.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLR GFEKKAKACVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRS VEQEESKWKIKADESQRMIKQMQSSFTASERELERLRQENKDMENLRREREHLEMELEKA EMERSTYVMEVRELKDLLTELQKKLDDSYSEAVRQNEELNLLKTQLNETHSKLQNEQTER KKVADDLHKAQQSLNSIHSKISLKAAGDTVVIENSDISPEMESPEKETMSVSLNQTVTQL QQLLQEVNQQLTKET >ENSMUSP00000140186.1 pep:known chromosome:GRCm38:14:47663787:47736291:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000185343.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNDLREKNWEAMEALASTEKMLQDRVNKTSKERRQHVEA IELESKDLLKRLFPTVSVPSNLNYSEWLRGFEKKAKACVAGTSDAEAVKVLEHRLKEASE MHTLLQLECEKYKSVLAETEGILQKLQRSVEQEESKWKIKADESQRMIKQLRREREHLEM ELEKAEMERSTYVMEVRELKTQLNETHSKLQNEQTERKKVADDLHKAQQSLNSIHSKISL KAAGDTVVIENSDISPEMSEVIGKLLVRS >ENSMUSP00000140865.1 pep:known chromosome:GRCm38:14:47663787:47736291:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000188553.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNDLREKNWEAMEALASTEKMLQDRVNKTSKERRQHVEA IELESKDLLKRLFPTVSVPSNLNYSEWLRGFEKKAKACVAGTSDAEAVKVLEHRLKEASE MHTLLQLECEKYKSVLAETEGILQKLQRSVEQEESKWKIKADESQRMIKQMQSSFTASER ELERLRQENKDMENLRREREHLEMELEKAEMERSTYVMEVRELKTQLNETHSKLQNEQTE RKKVADDLHKAQQSLNSIHSKISLKAAGDTVVIENSDISPEMSEVIGKLLVRS >ENSMUSP00000139625.1 pep:known chromosome:GRCm38:14:47663787:47736291:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000185940.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLR GFEKKAKACVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRS VEQEESKWKIKADESQRMIKQLRREREHLEMELEKAEMERSTYVMEVRELKTQLNETHSK LQNEQTERKKVADDLHKAQQSLNSIHSKISLKAAGDTVVIENSDISPEMESPEKETMSVS LNQTVTQLQQLLQEVNQQLTKET >ENSMUSP00000140142.1 pep:known chromosome:GRCm38:14:47663787:47736291:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000189533.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLR GFEKKAKACVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRS VEQEESKWKIKADESQRMIKQMQSSFTASERELERLRQENKDMENLRREREHLEMELEKA EMERSTYVMEVRELKTQLNETHSKLQNEQTERKKVADDLHKAQQSLNSIHSKISLKAAGD TVVIENSDISPEMESPEKETMSVSLNQTVTQLQQLLQEVNQQLTKET >ENSMUSP00000140202.1 pep:known chromosome:GRCm38:14:47663787:47736291:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000187039.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLR GFEKKAKACVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRS VEQEESKWKIKADESQRMIKQLRREREHLEMELEKAEMERSTYVMEVRELKTQLNETHSK LQNEQTERKKVADDLHKAQQSLNSIHSKISLKAAGDTVVIENSDISPEMESPEKETMSVS LNQTVTQLQQLLQEVNQQLTKET >ENSMUSP00000139946.1 pep:known chromosome:GRCm38:14:47663787:47736291:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000191511.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNDLREKNWEAMEALASTEKMLQDRVNKTSKERRQHVEA IELESKDLLKRLFPTVSVPSNLNYSEWLRGFEKKAKACVAGTSDAEAVKVLEHRLKEASE MHTLLQLECEKYKSVLAETEGILQKLQRSVEQEESKWKIKADESQRMIKQLRREREHLEM ELEKAEMERSTYVMEVRELKTQLNETHSKLQNEQTERKKVADDLHKAQQSLNSIHSKISL KAAGDTVVIENSDISPEMESPEKETMSVSLNQTVTQLQQLLQEVNQQLTKET >ENSMUSP00000140178.1 pep:known chromosome:GRCm38:14:47663787:47736293:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000189101.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQLSVTS QVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCAQVCSTPQFEELESVLKEKDNEIK RIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQKHQQASFPSQEELQTVISEKEKE ITDLCNELESLKNAVEHQRKKNNERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLR GFEKKAKACVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRS VEQEESKWKIKADESQRMIKQLRREREHLEMELEKAEMERSTYVMEVRELKTQLNETHSK LQNEQTERKKVADDLHKAQQSLNSIHSKISLKAAGDTVVIENSDISPEMSEVIGKLLVRS >ENSMUSP00000139521.1 pep:known chromosome:GRCm38:14:47663787:47736293:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000186761.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQALKQE IEVLKEEIGNAQLEKAHQLSVTSQVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCA QVCSTPQFEELESVLKEKDNEIKRIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQ KHQQASFPSQEELQTVISEKEKEITDLCNELESLKNAVEHQRKKNNERRQHVEAIELESK DLLKRLFPTVSVPSNLNYSEWLRGFEKKAKACVAGTSDAEAVKVLEHRLKEASEMHTLLQ LECEKYKSVLAETEGILQKLQRSVEQEESKWKIKADESQRMIKQMQSSFTASERELERLR QENKDMENLRREREHLEMELEKAEMERSTYVMEVRELKTQLNETHSKLQNEQTERKKVAD DLHKAQQSLNSIHSKISLKAAGDTVVIENSDISPEMSEVIGKLLVRS >ENSMUSP00000022391.7 pep:known chromosome:GRCm38:14:47663756:47736563:1 gene:ENSMUSG00000021843.16 transcript:ENSMUST00000022391.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ktn1 description:kinectin 1 [Source:MGI Symbol;Acc:MGI:109153] MELYESTYFVVLIPSVVITVIFLFFWLFMKETLYDEVLAKQKREQKLISTKTDKKKAEKK KNKKKEIQNGTLRESDSEHVPRDFKLSDASPAEDEQFVPAPLNVAETSSSVRERKKKEKK QKPSLEEQVIKESDASKIPGKKVEPVLVTKQPAPPPPLEAAALKKKAGQKKSKNGSEEQD KKVEMLMAPSKEQDVLLSHQDTKQEGGLGKKKGLSKKQKSENVAVLVDEPLIHATTYIPL DNANSNLMMDKREIIDMIKPDHVEGIQKSGTKKLKIETDKENAEVKFKDFLLSLKTMMFS EDEALCVVDLLKEKSGVIKEALKKSNKGELSGLLHQLQEKERLLSAMKEDAAASKERCKQ LTQEMMTEKERSSVVIARMKDRIGTLEKEHNIFQNKMHASYQETQQMQMKFQQVQEQMEA EIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDCGRLVSELNEKTGKLQQEGVQKK NAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLT DTLVSKQQLEQRLMQLMESEQKRASKEESLQIQVQDILEQNEALKAQIQQFHSQIAAQTS ASVLAEELHKVIAEKDKQLKQTEDSLANEQDHLASKEEELKDVQNMNFLLKAEVQKLQAL ANEQAATAHEVEKMQKSIHVKEDKIRLLEEQLQHEVASKMEELKILSEQNKALQSEVQKL QTAVSQQPNKDVVEQMEKCIQEKDEKLRTVEELLETGLIQVATREEELSAIRTENSTLTR EVQELKAKQSDQVSFVSLIEDLKRVIHEKDGQIKSVEELLEVELLKVANKEKTVQALKQE IEVLKEEIGNAQLEKAHQLSVTSQVQELQNLLRGKEEQVNSMKAALEDRDRDLRGRGTCA QVCSTPQFEELESVLKEKDNEIKRIEVKLKDTESDVSKMSELLKEVQEENKFLKCQLSHQ KHQQASFPSQEELQTVISEKEKEITDLCNELESLKNAVEHQRKKNNDLREKNWEAMEALA STEKMLQDRVNKTSKERRQHVEAIELESKDLLKRLFPTVSVPSNLNYSEWLRGFEKKAKA CVAGTSDAEAVKVLEHRLKEASEMHTLLQLECEKYKSVLAETEGILQKLQRSVEQEESKW KIKADESQRMIKQMQSSFTASERELERLRQENKDMENLRREREHLEMELEKAEMERSTYV MEVRELKDLLTELQKKLDDSYSEAVRQNEELNLLKTQLNETHSKLQNEQTERKKVADDLH KAQQSLNSIHSKISLKAAGDTVVIENSDISPEMESPEKETMSVSLNQTVTQLQQLLQEVN QQLTKET >ENSMUSP00000086588.4 pep:known chromosome:GRCm38:11:61022564:61071131:1 gene:ENSMUSG00000042529.14 transcript:ENSMUST00000089184.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj12 description:potassium inwardly-rectifying channel, subfamily J, member 12 [Source:MGI Symbol;Acc:MGI:108495] MTAASRANPYSIVSSEEDGLHLVTMSGANGFGNGKVHTRRRCRNRFVKKNGQCNIEFANM DEKSQRYLADMFTTCVDIRWRYMLLIFSLAFLASWLLFGIIFWVIAVAHGDLEPAEGRGR TPCVLQVHGFMAAFLFSIETQTTIGYGLRCVTEECPVAVFMVVAQSIVGCIIDSFMIGAI MAKMARPKKRAQTLLFSHNAVVALRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPRVTEE GEYIPLDQIDIDVGFDKGLDRIFLVSPITILHEIDEASPLFGISRQDLETDDFEIVVILE GMVEATAMTTQARSSYLANEILWGHRFEPVLFEEKNQYKIDYSHFHKTYEVPSTPRCSAK DLVENKFLLPSANSFCYENELAFLSRDEEDEVATDRDGRSPQPEHDFDRLQASSAALERP YRRESEI >ENSMUSP00000041696.2 pep:known chromosome:GRCm38:11:61065804:61071127:1 gene:ENSMUSG00000042529.14 transcript:ENSMUST00000041944.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj12 description:potassium inwardly-rectifying channel, subfamily J, member 12 [Source:MGI Symbol;Acc:MGI:108495] MTRWTSLKASRPHKPGSWDLAASPRRLSTPTLATFPWKAQEPGAEMSSQNAPRVPQPRQP SASWPRQKQHPPLQPQASAKQAHEVPPPGVSLGAGQGPPDPGMTAASRANPYSIVSSEED GLHLVTMSGANGFGNGKVHTRRRCRNRFVKKNGQCNIEFANMDEKSQRYLADMFTTCVDI RWRYMLLIFSLAFLASWLLFGIIFWVIAVAHGDLEPAEGRGRTPCVLQVHGFMAAFLFSI ETQTTIGYGLRCVTEECPVAVFMVVAQSIVGCIIDSFMIGAIMAKMARPKKRAQTLLFSH NAVVALRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPRVTEEGEYIPLDQIDIDVGFDKG LDRIFLVSPITILHEIDEASPLFGISRQDLETDDFEIVVILEGMVEATAMTTQARSSYLA NEILWGHRFEPVLFEEKNQYKIDYSHFHKTYEVPSTPRCSAKDLVENKFLLPSANSFCYE NELAFLSRDEEDEVATDRDGRSPQPEHDFDRLQASSAALERPYRRESEI >ENSMUSP00000104357.2 pep:known chromosome:GRCm38:11:61065804:61071131:1 gene:ENSMUSG00000042529.14 transcript:ENSMUST00000108717.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj12 description:potassium inwardly-rectifying channel, subfamily J, member 12 [Source:MGI Symbol;Acc:MGI:108495] MTAASRANPYSIVSSEEDGLHLVTMSGANGFGNGKVHTRRRCRNRFVKKNGQCNIEFANM DEKSQRYLADMFTTCVDIRWRYMLLIFSLAFLASWLLFGIIFWVIAVAHGDLEPAEGRGR TPCVLQVHGFMAAFLFSIETQTTIGYGLRCVTEECPVAVFMVVAQSIVGCIIDSFMIGAI MAKMARPKKRAQTLLFSHNAVVALRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPRVTEE GEYIPLDQIDIDVGFDKGLDRIFLVSPITILHEIDEASPLFGISRQDLETDDFEIVVILE GMVEATAMTTQARSSYLANEILWGHRFEPVLFEEKNQYKIDYSHFHKTYEVPSTPRCSAK DLVENKFLLPSANSFCYENELAFLSRDEEDEVATDRDGRSPQPEHDFDRLQASSAALERP YRRESEI >ENSMUSP00000064084.7 pep:known chromosome:GRCm38:17:32390659:32403583:-1 gene:ENSMUSG00000052142.15 transcript:ENSMUST00000063824.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal3 description:RAS protein activator like 3 [Source:MGI Symbol;Acc:MGI:2444128] MKPECGQTMFRTFWSRSRDSSAMDPPLQSEEDSQTQPSLPSPLTSYRWHTGGSGEKAAGG FRWGRFAGWGRALSHQEPMVNSQPAPRSLFRRVLSAPPKESRSNRLRFSKTLWGRHKNVA PLEPKPNPKAPEPELELVADPDLPVAQIPEPPTPDMPVWNIDGFTLLEGKLVMLGEEEGP RQIRVGSASSENSMQAALGNLKDAVRTPGKTEPEAAGSNQVHNVRKLLKRLKEKKRAKSE LGAYTPRDGPPSALGSRESLATLSELDLGAERDVRVWPLHPSLLGEPYCFQVTWAGGSLC FSCRSSAERDRWIEDLRRQFQPSQDNVERQEMWLTVWVHEAKGLPRATVPGVRAELWLDG ALLARTAPRAGPGQLFWAERFHFEALPPARRLSLRLRSAGPAGATVGRVVLELDEVSIPR APAAGLERWFPVLGAPAGAVLRARIRVRCLRVLPSERYKELAEFLTFHYARLCGALEPAL SAQAKEELAAAMVRVLRATGRAQALVTDLGTAELARCGGREALLFRENTLATKAIDEYMK LVAQEYLQDTLGQVVRCLCASTEDCEVDPSKCPTPELPKHQARLRDSCEEVFENIIHSYN CFPAELGSVFSSWREACKARGSEALGPRLVCASLFLRLLCPAILAPSLFGLAPEHPAPGP ARTLTLIAKVIQNLANCAPFGEKEAYMAFMNSFLEDHGPAMQHFLDQVATVDADTTPSGY QGSGDLALQLAVLHVQLCTIFAELDQKTQDSLEPLPTILRAIEEGRPVPVSVPMRLPRIS TQVQSSFFSGEKPGFLAPRDLPKHTPLISKSQSLRSFQGAGSWASRRPDEERPQRRPRPV LRTQSVPARRPTHRRPSAGSKPRPKGSLRMGPAPCGRAWTRASASLPRKPSVPWQRQMDQ PGDRYQTTGTHRPVGKLAEIQCEVAIFREAQKALSLLVESLSTQVQALKEQQEHFRCQLQ DLYSRLGAGISKLDSKGGLPSNGSHRLKSLEQRLTEMECSQDQLRDSLQSLQLLSKTPGS RSQPLPLKAPCVNGADLSMGT >ENSMUSP00000118738.1 pep:known chromosome:GRCm38:17:32390661:32403559:-1 gene:ENSMUSG00000052142.15 transcript:ENSMUST00000136375.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rasal3 description:RAS protein activator like 3 [Source:MGI Symbol;Acc:MGI:2444128] MDPPLQSEEDSQTQPSLPSPLTSYRWHTGGSGEKAAGGFRWGRFAGWGRALSHQEPMVNS QPAPRSLFRRVLSAPPKESRSNRLRFSKTLWGRHKNVAPLEPKPNPKAPEPELELVADPD LPVAQIPEPPTPDMPVWNIDGFTLLEGKLVMLGEEEGPRQIRVGSASSENSMQAALGNLK DAVRTPGKTEPEAAGSNQVHNVRKLLKRLKEKKRAKSELGAYTPRDGPPSALGSRESLAT LSELDLGAERDVRVWPLHPSLLGEPYCFQVTWAGGSLCFSCRSSAERDRWIEDLRRQFQP SQDNVERQEMWLTVWVHEAKGLPRATVPGVRAELWLDGALLARTAPRAGPGQLFWAERFH FEALPPARRLSLRLRSAGPAGATVGRVVLELDEVSIPRAPAAGLERWFPVLGAPAGAVLR ARIRVRCLRVLPSERYKELAEFLTFHYARLCGALEPALSAQAKEELAAAMVRVLRATGRA QALVTDLGTAELARCGGREALLFRENTLATKAIDEYMKLVAQEYLQDTLGQVVRCLCAST EDCEVDPSKCPTPELPKHQARLRDSSVSQQSWAPCSQVGVKHAKHEALRPWAPGWCVLPS S >ENSMUSP00000116107.1 pep:known chromosome:GRCm38:17:32390924:32403581:-1 gene:ENSMUSG00000052142.15 transcript:ENSMUST00000135618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal3 description:RAS protein activator like 3 [Source:MGI Symbol;Acc:MGI:2444128] MDPPLQSEEDSQTQPSLPSPLTSYRWHTGGSGEKAAGGFRWGRFAGWGRALSHQEPMVNS QPAPRSLFRRVLSAPPKESRSNRLRFSKTLWGRHKNVAPLEPKPNPKAPEPELELVADPD LPVAQIPEPPTPDMPVWNIDGFTLLEGKLVMLGEEEGPRQIRVGSASSENSMQAALGNLK DAVRTPGKTEPEAAGSNQVHNVRKLLKRLKEKKRAKSELGAYTPRDGPPSALGSRESLAT LSELDLGAERDVRVWPLHPSLLGEPYCFQVTWAGGSLCFSCRSSAERDRWIEDLRRQFQP SQDNVERQEMWLTVWVHEAKGLPRATVPGVRAELWLDGALLARTAPRAGPGQLFWAERFH FEALPPARRLSLRLRSAGPAGATVGRVVLELDEVSIPRAPAAGLERWFPVLGAPAGAVLR ARIRVRCLRVLPSERYKELAEFLTFHYARLCGALEPALSAQAKEELAAAMVRVLRATGRA QALVTDLGTAELARCGGREALLFRENTLATKAIDEYMKLVAQEYLQDTLGQVVRCLCAST EDCEVDPSKCPTPELPKHQARLRDSCEEVFENIIHSYNCFPAELGSVFSSWREACKARGS EALGPRLVCASLFLRLLCPAILAPSLFGLAPEHPAPGPARTLTLIAKVIQNLANCAPFGE KEAYMAFMNSFLEDHGPAMQHFLDQVATVDADTTPSGYQGSGDLALQLAVLHVQLCTIFA ELDQKTQDSLEPLPTILRAIEEGRPVPVSVPMRLPRISTQVQSSFFSGEKPGFLAPRDLP KHTPLISKSQSLRSFQGAGSWASRRPDEERPQRRPRPVLRTQSVPARRPTHRRPSAGSKP RPKGSLRMGPAPCGRAWTRASASLPRKPSVPWQRQMDQPGDRYQTTGTHRPVGKLAEIQC EVAIFREAQKALSLLVESLSTQVQALKEQQEHFRCQLQDLYSRLGAGISKLDSKGGLPSN GSHRLKSLEQRLTEMECSQDQLRDSLQSLQLLSKTPGSRSQPLPLKAPCVNGADLSMGT >ENSMUSP00000123141.1 pep:known chromosome:GRCm38:17:32390973:32403537:-1 gene:ENSMUSG00000052142.15 transcript:ENSMUST00000137458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal3 description:RAS protein activator like 3 [Source:MGI Symbol;Acc:MGI:2444128] MDPPLQSEEDSQTQPSLPSPLTSYRWHTGGSGEKAAGGFRWGRFAGWGRALSHQEPMVNS QPAPRSLFRRVLSAPPKESRSNRLRFSKTLWGRHKNVAPLEPKPNPKAPERSKQAMVPGV KGQLSGVSSLLQLQPELELVADPDLPVAQIPEPPTPDMPVWNIDGFTLLEGKLVMLGEEE GPRQIRVGSASSENSMQAALGNLKDAVRTPGKTEPEAAGSNQVHNVRKLLKRLKEKKRAK SELGAYTPRDGPPSALGSRESLATLSELDLGAERDVRVWPLHPSLLGEPYCFQVTWAGGS LCFSCRSSAERDRWIEDLRRQFQPSQDNVERQEMWLTVWVHEAKGLPRATVPGVRAELWL DGALLARTAPRAGPGQLFWAERFHFEALPPARRLSLRLRSAGPAGATVGRVVLELDEVSI PRAPAAGLERWFPVLGAPAGAVLRARIRVRCLRVLPSERYKELAEFLTFHYARLCGALEP ALSAQAKEELAAAMVRVLRATGRAQALVTDLGTAELARCGGREALLFRENTLATKAIDEY MKLVAQEYLQDTLGQVVRCLCASTEDCEVDPSKCPTPELPKHQARLRDSCEEVFENIIHS YNCFPAELGSVFSSWREACKARGSEALGPRLVCASLFLRLLCPAILAPSLFGLAPEHPAP GPARTLTLIAKVIQNLANCAPFGEKEAYMAFMNSFLEDHGPAMQHFLDQVATVDADTTPS GYQGSGDLALQLAVLHVQLCTIFAELDQKTQDSLEPLPTILRAIEEGRPVPVSVPMRLPR ISTQVQSSFFSGEKPGFLAPRDLPKHTPLISKSQSLRSFQGAGSWASRRPDEERPQRRPR PVLRTQSVPARRPTHRRPSAGSKPRPKGSLRMGPAPCGRAWTRASASLPRKPSVPWQRQM DQPGDRYQTTGTHRPVGKLAEIQCEVAIFREAQKALSLLVESLSTQVQALKEQQEHFRCQ LQDLYSRLGAGISKLDSKGGLPSNGSHRLKSLEQRLTEMECSQDQLRDSLQSLQLLSKTP GSRSQPLPLKAPCVNGADLSMGT >ENSMUSP00000112597.1 pep:known chromosome:GRCm38:7:128265676:128272430:1 gene:ENSMUSG00000030781.13 transcript:ENSMUST00000118169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a2 description:solute carrier family 5 (sodium/glucose cotransporter), member 2 [Source:MGI Symbol;Acc:MGI:2181411] MEQHVEAGSELGEQKVLIDNPADILVIAAYFLLVIGVGLWSMFRTNRGTVGGYFLAGRSM VWWPVGASLFASNIGSGHFVGLAGTGAASGLAVAGFEWNALFVVLLLGWLFVPVYLTAGV ITMPQYLRKRFGGHRIRLYLSVLSLFLYIFTKISVDMFSGAVFIQQALGWNIYASVIALL GITMIYTVTGGLAALMYTDTVQTFVILAGAFILTGYAFHEVGGYSGLFDKYLGAMTSLTV SKDPSVGNISSTCYQPRPDSYHLLRDPVTGDLPWPALLLGLTIVSGWYWCSDQVIVQRCL AGKNLTHIKAGCILCGYLKLMPMFLMVMPGMISRILYPDEVACVVPEVCKRVCGTEVGCS NIAYPRLVVKLMPNGLRGLMLAVMLAALMSSLASIFNSSSTLFTMDIYTRLRPRAGDKEL LLVGRLWVVFIVAVSVAWLPVVQAAQGGQLFDYIQSVSSYLAPPVSAVFVLALFVPRVNE KGAFWGLVGGLLMGLARLIPEFFFGSGSCVRPSACPALFCRVHYLYFAIILFICSGILTL GISLCTAPIPQKHLHRLVFSLRHSKEEREDLDADELEGPAPAPVQNGGQECAMEMEEVQS PAPGLLRRCLLWFCGMSKSGSGSPPPTTEEVAATTRRLEDISEDPRWARVVNLNALLMMT VAVFLWGFYA >ENSMUSP00000145581.1 pep:known chromosome:GRCm38:7:128265678:128272220:1 gene:ENSMUSG00000030781.13 transcript:ENSMUST00000205720.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc5a2 description:solute carrier family 5 (sodium/glucose cotransporter), member 2 [Source:MGI Symbol;Acc:MGI:2181411] MEQHVEAGSELGEQKVLIDNPADILVIAAYFLLVIGVGLWSMFRTNRGTVGGYFLAGRSM VWWPVGASLFASNIGSGHFVGLAGTGAASGLAVAGFEWNALFVVLLLGWLFVPVYLTAGV ITMPQYLRKRFGGHRIRLYLSVLSLFLYIFTKISVDMFSGAVFIQQALGWNIYASVIALL GITMIYTVTGGLAALMYTDTVQTFVILAGAFILTGYAFHEVGGYSGLFDKYLGAMTSLTV SKDPSVGNISSTCYQPRPDSYHLLRDPVTGDLPWPALLLGLTIVSGWYWCSDQVIVQRCL AGKNLTHIKAGCILCGYLKLMPMFLMVMPGMISRILYPDEVACVVPEVCKRVCGTEVGCS NIAYPRLVVKLMPNGSLLGTSWGPADGPSSSHTRVLLWLGQLCATLSVPGTLLSGTLPLF RHHSLHLLWHPHTWNLPVHCAHPSEASPSPGFQSPAQQGGAGGPGC >ENSMUSP00000145739.1 pep:known chromosome:GRCm38:7:128265683:128269139:1 gene:ENSMUSG00000030781.13 transcript:ENSMUST00000206909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a2 description:solute carrier family 5 (sodium/glucose cotransporter), member 2 [Source:MGI Symbol;Acc:MGI:2181411] MEQHVEAGSELGEQKVLIDNPADILVIAAYFLLVIGVGLWVGASLFASNIGSGHFVGLAG TGAASGLAVAGFEWNALFVVLLLGWLFVPVYLTAGVITMPQYLRKRFGGHRIRLYLSVLS LFLYIFTKISVDMFSGAVFIQQALGWNIYASVIALLGITMIYTVTGGLAALMYTDTVQTF VILAGAFILTGYAFHEVGGYSGLFDKYLGAMTSLTVSKDPSVGNISSTCYQPRPDSYHLL RDPVTGDLPWPALLL >ENSMUSP00000115451.1 pep:known chromosome:GRCm38:7:128265695:128269143:1 gene:ENSMUSG00000030781.13 transcript:ENSMUST00000142841.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a2 description:solute carrier family 5 (sodium/glucose cotransporter), member 2 [Source:MGI Symbol;Acc:MGI:2181411] MEQHVEAGSELGEQKVLIDNPADILVIAAYFLLVIGVGLWSMFRTNRGTVGGYFLAGRSM VGASLFASNIGSGHFVGLAGTGAASGLAVAGFEWNALFVVLLLGWLFVPVYLTAGVITMP QYLRKRFGGHRIRLYLSVLSLFLYIFTKISVDMFSGAVFIQQALGWNIYASVIALLGITM IYTVTGGLAALMYTDTVQTFVILAGAFILTGYAFHEVGGYSGLFDKYLGAMTSLTVSKDP SVGNISSTCYQPRPDSYHLLRDPVTGDLPWPALLLG >ENSMUSP00000145789.1 pep:known chromosome:GRCm38:7:128266300:128270064:1 gene:ENSMUSG00000030781.13 transcript:ENSMUST00000033045.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a2 description:solute carrier family 5 (sodium/glucose cotransporter), member 2 [Source:MGI Symbol;Acc:MGI:2181411] MFRTNRGTVGGYFLAGRSMVWWPVGASLFASNIGSGHFVGLAGTGAASGLAVAGFEWNAL FVVLLLGWLFVPVYLTAGVITMPQYLRKRFGGHRIRLYLSVLSLFLYIFTKISVDMFSGA VFIQQALGWNIYASVIALLGITMIYTVTGGLAALMYTDTVQTFVILAGAFILTGYAFHEV GGYSGLFDKYLGAMTSLTVSKDPSVGNISSTCYQPRPDSYHLLRDPVTGDLPWPALLLGL TIVSGWYWCSDQVIVQRCLAGKNLTHIKAGCILCGYLKLMPMFLMVMPGMISRILYP >ENSMUSP00000145699.1 pep:known chromosome:GRCm38:7:128266343:128267478:1 gene:ENSMUSG00000030781.13 transcript:ENSMUST00000153418.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc5a2 description:solute carrier family 5 (sodium/glucose cotransporter), member 2 [Source:MGI Symbol;Acc:MGI:2181411] MFRTNRGTVGGYFLAGRSMVWWPVGASLFASNIGSGHFVGLAGTGAASGLAVAGFEWNVR SSFL >ENSMUSP00000124318.2 pep:known chromosome:GRCm38:7:128267391:128269987:1 gene:ENSMUSG00000030781.13 transcript:ENSMUST00000137038.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc5a2 description:solute carrier family 5 (sodium/glucose cotransporter), member 2 [Source:MGI Symbol;Acc:MGI:2181411] AGVITMPQYLRKRFGGHRIRLYLSVLSLFLYIFTKISVDMFSGAVFIQQALGWNIYASVI ALLGITMIYTVTGGLAALMYTDTVQTFVILAGAFILTGYGSICWQHLQHLLPAEA >ENSMUSP00000145578.1 pep:known chromosome:GRCm38:7:128268953:128272187:1 gene:ENSMUSG00000030781.13 transcript:ENSMUST00000206716.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a2 description:solute carrier family 5 (sodium/glucose cotransporter), member 2 [Source:MGI Symbol;Acc:MGI:2181411] XFHEVGGYSGLFDKYLGAMTSLTVSKDPSVGNISSTCYQPRPDSYHLLRDPVTGDLPWPA LLLGLTIVSGWYWCSDQVIVQRCLAGKNLTHIKAGCILCGYLKLMPMFLMVMPGMISRIL YPDEVACVVPEVCKRVCGTEVGCSNIAYPRLVVKLMPNGLRGLMLAVMLAALMSSLASIF NSSSTLFTMDIYTRLRPRAGDKELLLVGRLWVVFIVAVSVAWLPVVQAAQGGQLFDYIQS VSSYLAPPVSAVFVLALFVPRVNEKLHRLVFSLRHSKEEREDLDADELEGPAPAPVQNGG QECAMEMEEVQSPAPGLLRRCLLWFCGMSKSGSGSPPPTTEEVAATTRRLEDISEDPRWA RVVNLNALLMMTVAVFLWGFYA >ENSMUSP00000145615.1 pep:known chromosome:GRCm38:7:128270574:128272187:1 gene:ENSMUSG00000030781.13 transcript:ENSMUST00000206703.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc5a2 description:solute carrier family 5 (sodium/glucose cotransporter), member 2 [Source:MGI Symbol;Acc:MGI:2181411] XSIFNSSSTLFTMDIYTRLRPRAGDKELLLVGRLWVVFIVAVSVAWLPVVQAAQGGQLFD YIQSVSSYLAPPVSAVFVLALFVPRVNEKLCATLSVPGTLLSGTLPLFRHHSLHLLWHPH TWNLPVHCAHPSEASPSPGFQSPAQQGGAGGPGC >ENSMUSP00000097202.1 pep:known chromosome:GRCm38:2:111911990:111912928:-1 gene:ENSMUSG00000096566.1 transcript:ENSMUST00000099607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1306 description:olfactory receptor 1306 [Source:MGI Symbol;Acc:MGI:3031140] MERVNQSVVSEFVFLGLTNSWSIQLFLFVFSSTFYVASMMGNSLIVFTVASDPHLHSPMY FLLANLSFIDLGVSSVTSPKMIYDLFRKHKVISFTGCVTQIFFIHVIGGVEMVLLIAMAF DRYVAICKPLHYLTIMSPRMCILFSVAAWVVGLMHSLIQLAFLVNLPFCGPNVLDSFYCD LPRFIKLACINTYQLEFMVTANSGFISVGSFFILIISYIVIIITVQKHSSSGSSKALSTL SAHISVVFLFFGPLIFFYTWPSPSTHLDKFLAIFDAVITPFLNPIIYTFRNQEMKVAMKR VCRQLVSYRKTS >ENSMUSP00000093649.2 pep:known chromosome:GRCm38:6:42955459:42956508:1 gene:ENSMUSG00000073110.2 transcript:ENSMUST00000095955.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr444 description:olfactory receptor 444 [Source:MGI Symbol;Acc:MGI:3030278] MRANQTWITEVTLLGFQADLSVECFLFGLFSLFYSFTLLGNGIILVVICLDNRLHIPMYF FLSHLAIVDMSYASNNVPKMLANLVTQRRTISFILCIMQTFLYLAFACTECLILVVMSYD RFVAICHPLHYTVIMSWKVCTILAAVSWIAGFLLALVHLVLILKLPFCGPHEINHFFCEI LSVLKLACADTTLNQVVILAACVFILVGPLCLVLVSYTRILVTILRIQSGEGRRKAFSTC SSHLCVVGLFFGSAIVMYMAPKSQHPELQQKILSLFYSLFNPMLNPLIYCLRNAEVKGAL RRSLWKERPM >ENSMUSP00000099559.3 pep:known chromosome:GRCm38:2:120316461:120353137:-1 gene:ENSMUSG00000027291.15 transcript:ENSMUST00000102501.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps39 description:vacuolar protein sorting 39 (yeast) [Source:MGI Symbol;Acc:MGI:2443189] MHDAFEPVPILEKLPLQIDCLAAWEEWLLVGTKQGHLLLYRIRKDVVPADVASPESGSCN RFEVTLEKSNKNFSKKIQQIHVVSQFKILVSLLENNIYVHDLLTFQQITTVSKAKGASLF TCDLQHTETGEEVLRMCVAVRKKLQLYFWKDREFHELQGDFSVPDVPKSMAWCENSICVG FKRDYYLIRVDGKGSIKELFPTGKQLEPLVAPLADGKVAVGQDDLTVVLNEEGICTQKCA LNWTDIPVAMEHQPPYIVAVLPRYVEIRTLEPRLLVQSIELQRPRFITSGGSNIIYVASN HFVWRLIPVPMATQIQQLLQDKQFELALQLAEMKDDSDSEKQQQIHHIKNLYAFNLFCQK RFDESMQVFAKLGTDPTHVMGLYPDLLPTDYRKQLQYPNPLPTLSGAELEKAHLALIDYL TQKRSQLVKKLNDSDHQSSTSPLMEGTPTIKSKKKLLQIIDTTLLKCYLHTNVALVAPLL RLENNHCHIEESEHVLKKAHKYSELIILYEKKGLHEKALQVLVDQSKKANSPLKGHERTV QYLQHLGTENLHLIFSYSVWVLRDFPEDGLKIFTEDLPEVESLPRDRVLNFLIENFKALA IPYLEHIIHVWEETGSQFHNCLIQLYCEKVQSLMKDYLLSLPTGKSPVPAGEEGGELGEY RQKLLMFLEISSHYDPGRLICDFPFDGLLEERALLLGRMGKHEQALFIYVHVLKDTKMAK EYCHKHYDQNKEGNKDVYLSLLRMYLSPPSIHCLGPIKLELLEPQANLQAALQVLELHYS KLDTTKAINLLPANTQINDIRIFLEKVLEENAQKKRFNQVLKNLLHAEFLRVQEERILHQ QVKCIITEEKVCMVCKKKIGNSAFARYPNGVVVHYFCSKEVNSADT >ENSMUSP00000028752.7 pep:known chromosome:GRCm38:2:120316461:120353125:-1 gene:ENSMUSG00000027291.15 transcript:ENSMUST00000028752.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps39 description:vacuolar protein sorting 39 (yeast) [Source:MGI Symbol;Acc:MGI:2443189] MHDAFEPVPILEKLPLQIDCLAAWEEWLLVGTKQGHLLLYRIRKDVGCNRFEVTLEKSNK NFSKKIQQIHVVSQFKILVSLLENNIYVHDLLTFQQITTVSKAKGASLFTCDLQHTETGE EVLRMCVAVRKKLQLYFWKDREFHELQGDFSVPDVPKSMAWCENSICVGFKRDYYLIRVD GKGSIKELFPTGKQLEPLVAPLADGKVAVGQDDLTVVLNEEGICTQKCALNWTDIPVAME HQPPYIVAVLPRYVEIRTLEPRLLVQSIELQRPRFITSGGSNIIYVASNHFVWRLIPVPM ATQIQQLLQDKQFELALQLAEMKDDSDSEKQQQIHHIKNLYAFNLFCQKRFDESMQVFAK LGTDPTHVMGLYPDLLPTDYRKQLQYPNPLPTLSGAELEKAHLALIDYLTQKRSQLVKKL NDSDHQSSTSPLMEGTPTIKSKKKLLQIIDTTLLKCYLHTNVALVAPLLRLENNHCHIEE SEHVLKKAHKYSELIILYEKKGLHEKALQVLVDQSKKANSPLKGHERTVQYLQHLGTENL HLIFSYSVWVLRDFPEDGLKIFTEDLPEVESLPRDRVLNFLIENFKALAIPYLEHIIHVW EETGSQFHNCLIQLYCEKVQSLMKDYLLSLPTGKSPVPAGEEGGELGEYRQKLLMFLEIS SHYDPGRLICDFPFDGLLEERALLLGRMGKHEQALFIYVHVLKDTKMAKEYCHKHYDQNK EGNKDVYLSLLRMYLSPPSIHCLGPIKLELLEPQANLQAALQVLELHYSKLDTTKAINLL PANTQINDIRIFLEKVLEENAQKKRFNQVLKNLLHAEFLRVQEERILHQQVKCIITEEKV CMVCKKKIGNSAFARYPNGVVVHYFCSKEVNSADT >ENSMUSP00000114060.1 pep:known chromosome:GRCm38:5:73998453:74068420:-1 gene:ENSMUSG00000054814.14 transcript:ENSMUST00000119154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp46 description:ubiquitin specific peptidase 46 [Source:MGI Symbol;Acc:MGI:1916977] MTVRNIASICNMFGNTCYCNSVLQALYFCRPFRENVLAYKAQQKKKENLLTCLADLFHSI ATQKKKVGVIPPKKFISRLRKENDLFDNYMQQDAHEFLNYLLNTIADILQEEKKQEKQNG KLKNGNMNEPAENNKPELTWVHEIFQGTLTNETRCLNCETVSSKDEDFLDLSVDVEQNTS ITHCLRDFSNTETLCSEQKYYCETCCSKQEAQKRMRVKKLPMILALHLKRFKYMEQLHRY TKLSYRVVFPLELRLFNTSSDAVNLDRMYDLVAVVVHCGSGPNRGHYITIVKSHGFWLLF DDDIVEKIDAQAIEEFYGLTSDISKNSESGYILFYQSRE >ENSMUSP00000070554.7 pep:known chromosome:GRCm38:5:73998726:74068431:-1 gene:ENSMUSG00000054814.14 transcript:ENSMUST00000068058.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp46 description:ubiquitin specific peptidase 46 [Source:MGI Symbol;Acc:MGI:1916977] MTVRNIASICNMGTNASALEKDIGPEQFPINEHYFGLVNFGNTCYCNSVLQALYFCRPFR ENVLAYKAQQKKKENLLTCLADLFHSIATQKKKVGVIPPKKFISRLRKENDLFDNYMQQD AHEFLNYLLNTIADILQEEKKQEKQNGKLKNGNMNEPAENNKPELTWVHEIFQGTLTNET RCLNCETVSSKDEDFLDLSVDVEQNTSITHCLRDFSNTETLCSEQKYYCETCCSKQEAQK RMRVKKLPMILALHLKRFKYMEQLHRYTKLSYRVVFPLELRLFNTSSDAVNLDRMYDLVA VVVHCGSGPNRGHYITIVKSHGFWLLFDDDIVEKIDAQAIEEFYGLTSDISKNSESGYIL FYQSRE >ENSMUSP00000144284.1 pep:known chromosome:GRCm38:5:74026293:74029368:-1 gene:ENSMUSG00000054814.14 transcript:ENSMUST00000152408.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp46 description:ubiquitin specific peptidase 46 [Source:MGI Symbol;Acc:MGI:1916977] LFDNYMQQDAHEFLNYLLNTIADILQEEKKQEKQNGKLKNGNMNEPAENNKPELTWVHEI FQGTLTNETRCLNCETYVWTILFIIPWTVRRKLLELWVPCDVSLSVGDKYLALTYWLASL LHPPLSPPPPAGRQDVGLLYSMGGC >ENSMUSP00000114395.1 pep:known chromosome:GRCm38:5:74029316:74065748:-1 gene:ENSMUSG00000054814.14 transcript:ENSMUST00000145016.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp46 description:ubiquitin specific peptidase 46 [Source:MGI Symbol;Acc:MGI:1916977] MNCFQGTNASALEKDIGPEQFPINEHYFGLVNFGNTCYCNSVLQALYFCRPFRENVLAYK AQQKKKENLLTCLADLFHSIATQKKKVGVIPPKKFISRLRKENDLFDNYMQQDAHEFLNY LL >ENSMUSP00000056622.2 pep:known chromosome:GRCm38:11:100037347:100041554:-1 gene:ENSMUSG00000043485.2 transcript:ENSMUST00000056362.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt34 description:keratin 34 [Source:MGI Symbol;Acc:MGI:1309994] MSCESCLPALSCRTSCSSRPCVPPSCHGCTLPGACNIPANVGNCNWFCEGSFNGNEKETM QFLNDRLASYMEKVRQLERENAELECRIQERNQQQDPLVCPAYQAYFRTIEELQQKILCA KSENARLVVQIDNAKLASDDFRTKYQTELSMRQLVEADINSLRRILDELTLCKSDLEAQV ESLREELLCLKKNHEEEVNTLRCQLGDRLNVEVDAAPTVDLNRVLNETRCQYEALVETNR REVEEWFTTQSEELNKQVVSSSEQLQSCQAEIIELRRTVNALEIELQAQHCMRNSLENTL TESEARYSSQLSQVQCLITNVESQLGEIRADLERQNQEYQVLLDVRARLECEINTYRSLL ESEDCNLPCNPCATTNASGSCCGPCGSSKRCC >ENSMUSP00000055697.4 pep:known chromosome:GRCm38:7:100937630:100964391:-1 gene:ENSMUSG00000048779.5 transcript:ENSMUST00000060174.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry6 description:pyrimidinergic receptor P2Y, G-protein coupled, 6 [Source:MGI Symbol;Acc:MGI:2673874] MEQDNGTIQAPGLPPTTCVYREDFKRLLLTPVYSVVLVVGLPLNICVIAQICASRRTLTR SAVYTLNLALADLMYACSLPLLIYNYARGDHWPFGDLACRFVRFLFYANLHGSILFLTCI SFQRYLGICHPLASWHKRGGRRAAWVVCGVVWLAVTAQCLPTAVFAATGIQRNRTVCYDL SPPILSTRYLPYGMALTVIGFLLPFIALLACYCRMARRLCRQDGPAGPVAQERRSKAARM AVVVAAVFAISFLPFHITKTAYLAVRSTPGVSCPVLETFAAAYKGTRPFASVNSVLDPIL FYFTQQKFRRQPHDLLQRLTAKWQRQRV >ENSMUSP00000087857.2 pep:known chromosome:GRCm38:3:122931493:122984510:-1 gene:ENSMUSG00000039701.11 transcript:ENSMUST00000090379.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp53 description:ubiquitin specific peptidase 53 [Source:MGI Symbol;Acc:MGI:2139607] MAWVKFLRKPSGNLGKAYQAGSLLSLAPTVGLLNEPGQNSCFLNSAVQVLWQLDIFRRSL RALTGHICQGDACIFCALKTIFAQFQHSREKALPSDNIRHALAESFKDEQRFQLGLMDDA AECFENILARIHFHLVPNRDADMCTSKSCVTHQKFAMTLYEQCVCRSCGASSDPLPFTEL VRYISTTALCNEVERMMERHERVKPEMFAELLQAANTADDYRKCPSNCGQKIKIRRVLMN CPEIVTIGLVWDSEHSDLTEDVVRSLATHLYLPGLFYRVTDENATDSELHLVGMICYTSR HYCAFAFHTKSSKWVFFDDAHVKEMGTRWKDVVSKCIRCHLQPLLLFYANPDGTAVSTED ALRQVVHWSHYRSGEENMGCGKPIIYKPDNSKENGFGGQTKQKENHKFQTDISSLNRSQM QTSGRRAPVKLSHDQREKIKDISRECALKAIEQKNALSSQRKDLEKGQRKDTGRHRDLVD EVLASFKSGSPPASDGFRQQGNPHLYHSQGKGPCKHDRATHESYTSGKVISSSKSQNLLV PGEKTTGKAKSDSGTGYETDSSQDSRDKGGSYSSKTKSRHRGWKPMRETLNVDSVFSESE KRQHSPRRKSDIGSRPRCSKDQSFNNWPKANPKQKGLMTIYEDEMKQEAGSRSSLETNGK GAEKNLSAAESRGPGTSWQMQRTESGYESSDHVSNGSASLDSPGVEGSGAVMDVGGGKAF SEHIKMNSHNMDSMEYISHHCKDHPEGFRKELQDLEADDKIHELHPESHVQIKSHLIKRS QIGETNDKLFPSASPQTLAREHVYQTNEHKVERPDRSKCSERHNTENSEGTGLPFHVDES SVAGKRVDSNETVSPSSLPSSVRTAGLKPETGPLMFWSQQNITEQGYSDNSLSRELTLLS ACNADSCQMPKLHCHRSPPPLPPKKYSTASAPRLERVGLSPDVGVTEAFNTNPSSLPKHS LSPASGPSLEGSPCMTQERDKETIQVKQLAANSYPSSCSTNSFQPDQDSTSVCPNETISL TTYFSVDSCMTDTYRLKYHQRPKLYFPESSGHHSNNSLSQTEQVEGSIT >ENSMUSP00000143119.1 pep:known chromosome:GRCm38:3:122951297:122984323:-1 gene:ENSMUSG00000039701.11 transcript:ENSMUST00000199329.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp53 description:ubiquitin specific peptidase 53 [Source:MGI Symbol;Acc:MGI:2139607] MAWVKFLRKPSGNLGKAYQAGSLLSLAPTVGLLNEPGQNSCFLNSAVQVLWQLDIFRRSL RALTGHICQGDACIFCALKTIFAQFQHSREKALPSDNIRHALAESFKDEQRFQLGLMDDA AECFHCKR >ENSMUSP00000143412.1 pep:known chromosome:GRCm38:3:122952642:122984506:-1 gene:ENSMUSG00000039701.11 transcript:ENSMUST00000197934.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp53 description:ubiquitin specific peptidase 53 [Source:MGI Symbol;Acc:MGI:2139607] MAWVKFLRKPSGNLGKAYQAGSLLSLAPTVGLLNEPGQNSCFLNSAVQVLWQLDIFRRSL RALTGHICQGDACIFCALKTIFAQFQHSREKALPSDNIRHALAESFKDEQRFQLGLMDDA AECFENILARIHFHLVPNRDADMCTSKSCVTHQKFAMTLYEQVRCLGCLCRHWTAVSGAM DSAACVLPQCVCRSCGASSDPLPFTELVRYISTTALCNEVERMMERHERVKPEMFAELLQ AANTADDYRKCPSNCGQKIKIRRVLMNCPEIVTIGLVWDSEHSDLTEDVVRSLATHLYLP GLFYRVTDENATDSELHLVGMICYTSRHYCAFAFHTKSSKWVFFDDAHVKEMGTRWKDVV SKCIRCHLQPLLLFYANPDGTAVSTEDALRQVVHWSHYRSGEENMGCGKPIIYKPDNSKE NGFGGQT >ENSMUSP00000142600.1 pep:known chromosome:GRCm38:3:122955586:122984504:-1 gene:ENSMUSG00000039701.11 transcript:ENSMUST00000197314.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp53 description:ubiquitin specific peptidase 53 [Source:MGI Symbol;Acc:MGI:2139607] MAWVKFLRKPSGNLGKAYQAGSLLSLAPTVGLLNEPGQNSCFLNSAVQVLWQLDIFRRSL RALTGHICQGDACIFCALKTIFAQFQHSREKALPSDNIRHALAESFKDEQRFQLGLMDDA AECFENILARIHFHLVPNRDADMCTSKSCVTHQKFAMTLYEQCVCRSCGASSDPLPFTEL VRYISTTALCNEVERMMERHERVKPEMFAELLQAANTADDYRKCPSNCGQKIKIRRVLMN CPEIVTIGLVWDSEHSDLTEDVVRSLATHLYLPGVSQLLSFKR >ENSMUSP00000143460.1 pep:known chromosome:GRCm38:3:122959089:122984471:-1 gene:ENSMUSG00000039701.11 transcript:ENSMUST00000199401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp53 description:ubiquitin specific peptidase 53 [Source:MGI Symbol;Acc:MGI:2139607] MDDAAECFENILARIHFHLVPNRDADMCTSKSCVTHQKFAMTLYEQCVCRSCGASSDPLP FTELVRYISTTALCNEVERMMERHERVKPE >ENSMUSP00000120573.1 pep:known chromosome:GRCm38:2:22241057:22407454:1 gene:ENSMUSG00000025716.14 transcript:ENSMUST00000153002.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo3a description:myosin IIIA [Source:MGI Symbol;Acc:MGI:2183924] MLPLIGKTIIFDNFPDPSDTWEIIETIGKGTYGKVFKVLNKKSGQKAAVKILDPIHDIDE EIEAEYNILRTLSDHPNVVRFYGIYFKKDKINGDKLWLVLELCNGGSVTDLVKGFLKRGE RMSEPVIAYILHEALMGLQHLHSNKTIHRDVKGNNILLTTEGGVKLVDFGVSAQLSSTRH RLNTSVGTPFWMAPEVIACEQQLDTTYDARCDTWSLGITAIELGDGDPPLAELHPMRALF KIPRNPPPKLRQPELWSAEFNDFISKCLTKDYEKRPTVSDLLKHKFITQIEGKDVILQKQ LMEFIDIHQCLGSTEKARHERIHTKKGNLNRSLISSLKDVDDLATLDVLDENTVSEHLEK CYSRDQIYIYVGDILIALNPFQSLGIYSTKLSRLYIGAKRTANPPHIFAMADLGYQSMVT YNADQCIVISGESGAGKTESAHLLVQQLTVLGKANNRTLQEKILQMNNLVEAFGNACTII NDNSSRFGKYLEMKFTSSGAVVGAQISEYLLEKSRVIHQAIGEKNFHIFYYIYAGLAEKK KLALYKLPENKPPRYLQNDNLRTVQDMMNNSFYKSQYELIEQCFKVIGFTMEQLGSVYSV LAAILNVGNIEFSSVATEYQMDKSYICNHTALENSASLLCIQADELQEALTSHCVVTRGE TIIRPNTVEKAADVRDAMAKTLYGRLFSWIVNCINSLLKHDTSPSGDEELNIGILDIFGF ENFKRNSFEQLCINIANEQIQYYFNQHVFAWEQ >ENSMUSP00000116185.1 pep:known chromosome:GRCm38:2:22558215:22618247:1 gene:ENSMUSG00000025716.14 transcript:ENSMUST00000138863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo3a description:myosin IIIA [Source:MGI Symbol;Acc:MGI:2183924] YSLMDLLSKMVVGQPHFVRCIKPNNERQARKYDKEKVLLQLRCTGILETARIRRLGYSHR ILFANFIKRYYILCYKSSEEPPVSPDTCAAILEKAGLDNWALGKTKVFLKYYHVEQLNLM RKEATNKLVLIQASVRAFLGARRYQELQQKRKSSAVIIQSAARRHLLRKHGKETSNVKSA AGRSIRAKDQEFDYKKNFETKRESFVKKQTENAVPTNESNTSTPNNKESPSAGKTAPFRA ESKATNVESNNRRYHTQKKMSNVYAEGQNQELYIVEDTWAEVSPRQKYVQDLEESRKMRK EEKGDAVIQSYCQWYTEGSNFEESKATCLEGRETWERTSCPGLWLTEEIYLRKTLDPTLS QKSVYQNADGKEKEHKVSVVTQNAPLGNLERDYHLLGFLGEEDTGPVPQAQEEHKAVSIH SKYQSSKKKQQLGKDRLAPPFKNQKILSSSTEVAKTTHNVYPCPTKQEGVHHSKMVDERD SKMASKKEAWDLAMFSRQISKLSEEYFILQKNLNEIILAQQLKPFYLGIYRHKPINRHVS THQYLSGVSKGEEPKILRPPRRPRKPKTLNNPEDSTYYYLLHKSTQEEKRRPGKDSQGKL LGLEDFYYKEFLPTHYGPKAHSSNAREWKALKEPQAQPIESNERCWTTSENESLEEERIS ANPYDYRRLLRKTSQRQRLVQQL >ENSMUSP00000046329.7 pep:known chromosome:GRCm38:2:22227503:22618252:1 gene:ENSMUSG00000025716.14 transcript:ENSMUST00000044749.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo3a description:myosin IIIA [Source:MGI Symbol;Acc:MGI:2183924] MQMTETFEMLPLIGKTIIFDNFPDPSDTWEIIETIGKGTYGKVFKVLNKKSGQKAAVKIL DPIHDIDEEIEAEYNILRTLSDHPNVVRFYGIYFKKDKINGDKLWLVLELCNGGSVTDLV KGFLKRGERMSEPVIAYILHEALMGLQHLHSNKTIHRDVKGNNILLTTEGGVKLVDFGVS AQLSSTRHRLNTSVGTPFWMAPEVIACEQQLDTTYDARCDTWSLGITAIELGDGDPPLAE LHPMRALFKIPRNPPPKLRQPELWSAEFNDFISKCLTKDYEKRPTVSDLLKHKFITQIEG KDVILQKQLMEFIDIHQCLGSTEKARHERIHTKKGNLNRSLISSLKDVDDLATLDVLDEN TVSEHLEKCYSRDQIYIYVGDILIALNPFQSLGIYSTKLSRLYIGAKRTANPPHIFAMAD LGYQSMVTYNADQCIVISGESGAGKTESAHLLVQQLTVLGKANNRTLQEKILQMNNLVEA FGNACTIINDNSSRFGKYLEMKFTSSGAVVGAQISEYLLEKSRVIHQAIGEKNFHIFYYI YAGLAEKKKLALYKLPENKPPRYLQNDNLRTVQDMMNNSFYKSQYELIEQCFKVIGFTME QLGSVYSVLAAILNVGNIEFSSVATEYQMDKSYICNHTALENSASLLCIQADELQEALTS HCVVTRGETIIRPNTVEKAADVRDAMAKTLYGRLFSWIVNCINSLLKHDTSPSGDEELNI GILDIFGFENFKRNSFEQLCINIANEQIQYYFNQHVFAWEQNEYLNEDVDARVIEYEDNR PLLDMFLQKPMGLLSLLDEESRFPKATDQTLIEKFEDNLKSQYFWRPKRMELSFGIHHYA GKVLYSASGFLAKNRDTFPTDIVLLLRSSENSVIRQLVNHPLTKTGNLPLSKTKNIVNYQ MWNSEKSTNLTKGETRDVTCHACETTNVKTQTVSSYFRYSLMDLLSKMVVGQPHFVRCIK PNNERQARKYDKEKVLLQLRCTGILETARIRRLGYSHRILFANFIKRYYILCYKSSEEPP VSPDTCAAILEKAGLDNWALGKTKVFLKYYHVEQLNLMRKEATNKLVLIQASVRAFLGAR RYQELQQKRKSSAVIIQSAARRHLLRKHGKETSNVKSAAGRSIRAKDQEFDYKKNFETKR ESFVKKQTENAVPTNESNTSTPNNKESPSAGKTAPFRAESKATNVESNNRRYHTQKKMSN VYAEGQNQELYIVEDTWAEVSPRQKYVQDLEESRKMRKEEKGDAVIQSYCQWYTEGSNFE ESKATCLEGRETWERTSCPGLWLTEEIYLRKTLDPTLSQKSVYQNADGKEKEHKVSVVTQ NAPLGNLERDYHLLGFLGEEDTGPVPQAQEEHKAVSIHSKYQSSKKKQQLGKDRLAPPFK NQKILSSSTEVAKTTHNVYPCPTKQEGVHHSKMVDERDSKMASKKEAWDLAMFSRQISKL SEEYFILQKNLNEIILAQQLKPFYLGIYRHKPINRHVSTHQYLSGVSKGEEPKILRPPRR PRKPKTLNNPEDSTYYYLLHKSTQEEKRRPGKDSQGKLLGLEDFYYKEFLPTHYGPKAHS SNAREWKALKEPQAQPIESNERCWTTSENESLEEERISANPYDYRRLLRKTSQRQRLVQQ L >ENSMUSP00000108192.1 pep:known chromosome:GRCm38:X:153558593:153559423:1 gene:ENSMUSG00000048573.9 transcript:ENSMUST00000112573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cypt3 description:cysteine-rich perinuclear theca 3 [Source:MGI Symbol;Acc:MGI:1916611] MAQVAKKVHGSRAAAAAAAAAAKAKRSKPKTAAKRFKLNKKRNPRSKLPKRSHRSLIRSL FQSRSCGCCRCCCGFCCYRSRPSRSRITFKFFQITKKGEQSLRRRIRRRIKSATELRLMR SQLELIEPEPTMALEPSEITVALFSHENANVSEPEEVPPCIDSDQVPNGDLASS >ENSMUSP00000057687.3 pep:known chromosome:GRCm38:X:153558593:153559429:1 gene:ENSMUSG00000048573.9 transcript:ENSMUST00000056754.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cypt3 description:cysteine-rich perinuclear theca 3 [Source:MGI Symbol;Acc:MGI:1916611] MAQVAKKVHGSRAAAAAAAAAAKAKRSKPKTAAKRFKLNKKRNPRSKLPKRSHRSLIRSL FQSRSCGCCRCCCGFCCYRSRPSRSRITFKITKKGEQSLRRRIRRRIKSATELRLMRSQL ELIEPEPTMALEPSEITVALFSHENANVSEPEEVPPCIDSDQVPNGDLASS >ENSMUSP00000013949.8 pep:known chromosome:GRCm38:9:7848699:7873024:-1 gene:ENSMUSG00000032000.17 transcript:ENSMUST00000013949.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc3 description:baculoviral IAP repeat-containing 3 [Source:MGI Symbol;Acc:MGI:1197007] MNMVQDSAFLAKLMKSADTFELKYDFSCELYRLSTYSAFPRGVPVSERSLARAGFYYTGA NDKVKCFCCGLMLDNWKQGDSPMEKHRKLYPSCNFVQTLNPANSLEASPRPSLPSTAMST MPLSFASSENTGYFSGSYSSFPSDPVNFRANQDCPALSTSPYHFAMNTEKARLLTYETWP LSFLSPAKLAKAGFYYIGPGDRVACFACDGKLSNWERKDDAMSEHQRHFPSCPFLKDLGQ SASRYTVSNLSMQTHAARIRTFSNWPSSALVHSQELASAGFYYTGHSDDVKCFCCDGGLR CWESGDDPWVEHAKWFPRCEYLLRIKGQEFVSQVQAGYPHLLEQLLSTSDSPEDENADAA IVHFGPGESSEDVVMMSTPVVKAALEMGFSRSLVRQTVQWQILATGENYRTVSDLVIGLL DAEDEMREEQMEQAAEEEESDDLALIRKNKMVLFQHLTCVTPMLYCLLSARAITEQECNA VKQKPHTLQASTLIDTVLAKGNTAATSFRNSLREIDPALYRDIFVQQDIRSLPTDDIAAL PMEEQLRKLQEERMCKVCMDREVSIVFIPCGHLVVCKDCAPSLRKCPICRGTIKGTVRTF LS >ENSMUSP00000125512.1 pep:known chromosome:GRCm38:9:7849694:7860326:-1 gene:ENSMUSG00000032000.17 transcript:ENSMUST00000161642.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Birc3 description:baculoviral IAP repeat-containing 3 [Source:MGI Symbol;Acc:MGI:1197007] XGLRCEYLLRIKGQEFVSQVQAGYPHLLEQLLSTSDSPEDENADAAIVHFGPGESSEDVV MMSTPVVKAALEMGFSRSLVRQTVQWQILATGENYRTVSDLVIGLLDAEDEMREEQMEQA AEEEESVQGPSLNRSAML >ENSMUSP00000111336.1 pep:known chromosome:GRCm38:9:7855815:7872983:-1 gene:ENSMUSG00000032000.17 transcript:ENSMUST00000115672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc3 description:baculoviral IAP repeat-containing 3 [Source:MGI Symbol;Acc:MGI:1197007] MNMVQDSAFLAKLMKSADTFELKYDFSCELYRLSTYSAFPRGVPVSERSLARAGFYYTGA NDKVKCFCCGLMLDNWKQGDSPMEKHRKLYPSCNFVQTLNPANSLEASPRPSLPSTAMST MPLSFASSENTGYFSGSYSSFPSDPVNFRANQDCPALSTSPYHFAMNTEKARLLTYETWP LSFLSPAKLAKAGFYYIGPGDRVACFACDGKLSNWERKDDAMSEHQRHFPSCPFLKDLGQ SASRYTVSNLSMQTHAARIRTFSNWPSSALVHSQELASAGFYYTGHSDDVKCFCCDGGLR CWESGDDPWVEHAKWFPRCEYLLRIKGQEFVSQVQAGYPHLLEQLLSTSDSPEDENADAA SMYNNHNSCITLLCFA >ENSMUSP00000125652.1 pep:known chromosome:GRCm38:9:7860791:7873170:-1 gene:ENSMUSG00000032000.17 transcript:ENSMUST00000159323.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc3 description:baculoviral IAP repeat-containing 3 [Source:MGI Symbol;Acc:MGI:1197007] MNMVQDSAFLAKLMKSADTFELKYDFSCELYRLSTYSAFPRGVPVSERSLARAGFYYTGA NDKVKCFCCGLMLDNWKQGDSPMEKHRKLYPSCNFVQTLNPANSLEASPRPSLPSTAMST MPLSFASSENTGYFSGSYSSFPSDPVNFRANQDCPALSTSPYHFAMNTEKARLLT >ENSMUSP00000111337.2 pep:known chromosome:GRCm38:9:7860810:7873186:-1 gene:ENSMUSG00000032000.17 transcript:ENSMUST00000115673.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc3 description:baculoviral IAP repeat-containing 3 [Source:MGI Symbol;Acc:MGI:1197007] MNMVQDSAFLAKLMKSADTFELKYDFSCELYRLSTYSAFPRGVPVSERSLARAGFYYTGA NDKVKCFCCGLMLDNWKQGDSPMEKHRKLYPSCNFVQTLNPANSLEASPRPSLPSTAMST MPLSFASSENTGYFSGSYSSFPSDPVNFRANQDCPALSTSPYHFAMNT >ENSMUSP00000082646.4 pep:known chromosome:GRCm38:7:37959992:38019542:-1 gene:ENSMUSG00000030421.9 transcript:ENSMUST00000085513.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uri1 description:URI1, prefoldin-like chaperone [Source:MGI Symbol;Acc:MGI:1342294] MEPPSEPEPEPQPLAEASAAAPLRAPEVARLREEQEKVVTNCQEKIQHWEKVDNDYSALQ ERLRTLPDKLSYDVMVPFGPLAFMPGKLVHTNEVTVLLGDNWFAKCSAKQAVGLVEHRKE HVRKTIDDFKKVLKNFESRVEFTEDLQKMSDAAGDFVDIREEIKSDFEFKGKQRIAHKPH SKPKTSDIFEADFENGVKPKNTFDADELWARLEELERQEELLGELESKPDTVIANGEDRV SSEEEKEGADTGVNVVSPVTDSSAASSCKRRAGNAGLPNGQVNSLNYSVNGSNSYHSNKD DDEEEEDDDDDDDEDDDNESDHAISADNSIPTIYFSHTVEPKRVRINTGKNTTLKFSEKK EEAKRKRKSGAGSHATHELPAIKSPADIYRVFVDVVNGEYVPRKSILKSRSRENSVCSDT SESSAADVEDRRGLLRSTSSEEAVATEAGGSSLDELQENHPKKPLPSGVSEAFSGTVIEK EFLSPSLAPYSAIAHHALPTIPERKEVPSEVSEEPTKRVSKFRAARLQQRS >ENSMUSP00000145978.1 pep:known chromosome:GRCm38:7:37960642:38019566:-1 gene:ENSMUSG00000030421.9 transcript:ENSMUST00000206327.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uri1 description:URI1, prefoldin-like chaperone [Source:MGI Symbol;Acc:MGI:1342294] XRAGRGAGRARRRAAAPLRAPEVARLREEQEKVVTNCQEKIQHWEKVDNDYSALQERLRT LPDKLSYDVMVPFGPLAFMPGKLVHTNEVTVLLGDNWFAKCSAKQAVGLVEHRKEHVRKT IDDFKKVLKNFESRVEFTEDLQKMSDAAGDFVDIREEIKSDFEFKGKQRIAHKPHSKPKT SDIFEADFENGVKPKNTFDADELWARLEELERQEELLGELESKPDTVIANGEDRVSSEEE KEGADTGVNVVSPVTDSSAASSCKRRAGNAGLPNGQVNSLNYSVNGSNSYHSNKDDDEEE EDDDDDDDEDDDNESDHAISADNSIPTIYFSHTVEPKRVRINTGKNTTLKFSEKKEEAKR KRKSGAGSHATHELPAIKSPADIYRVFVDVVNGEYVPRKSILKSRSRENSVCSDTSESSA ADVEDRRGLLRSTSSEEAVATEAGGSSLDELQENHPKKPLPSGVSEAFSGTVIEKEFLSP SLAPYSAIAHHALPTIPERKEVPSEVSEEPTKRVSKFRAARLQQRS >ENSMUSP00000145882.1 pep:known chromosome:GRCm38:7:37961301:37962528:-1 gene:ENSMUSG00000030421.9 transcript:ENSMUST00000205918.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uri1 description:URI1, prefoldin-like chaperone [Source:MGI Symbol;Acc:MGI:1342294] XAVWTNSKRTTPRSLCPQECLRAKRSSIRSIRGTHKEGVKVQSCPIAAEKLEGSACSSSC ALGHAADSAMELGRRPAWLGRALSPLSVALGKSK >ENSMUSP00000145738.1 pep:known chromosome:GRCm38:7:37961364:38023551:-1 gene:ENSMUSG00000030421.9 transcript:ENSMUST00000206581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uri1 description:URI1, prefoldin-like chaperone [Source:MGI Symbol;Acc:MGI:1342294] TFFSTTLLLFASSSELFCQAHVIFFPESHDPQRAPVYVLVVTNCQEKIQHWEKVDNDYSA LQERLRTLPDKLSYDVMVPFGPLAFMPGKLVHTNEVTVLLGDNWFAKCSAKQAVGLVEHR KEHVRKTIDDFKKVLKNFESRVEFTEDLQKMSDAAGDFVDIREEIKSDFEFKGKQRIAHK PHSKPKTSDIFEADFENGVKPKNTFDADELWARLEELERQEELLGELESKPDTVIANGED RVSSEEEKEGADTGVNVVSPVTDSSAASSCKRRAGNAGLPNGQVNSLNYSVNGSNSYHSN KDDDEEEEDDDDDDDEDDDNESDHAISADNSIPTIYFSHTVEPKRVRINTGKNTTLKFSE KKEEAKRKRKSGAGSHATHELPAIKSPADIYRVFVDVVNGEYVPRKSILKSRSRENSVCS DTSESSAADVEDRRGLLRSTSSEEAVATEAGGSSLDELQENHPKKPLPSGVSEAFSGTVI EKEFLSPSLAPYSAIAHHALPTIPERKEVPSEVSEEPTKRVSKFRAARLQQRS >ENSMUSP00000146321.1 pep:known chromosome:GRCm38:7:37969605:38019522:-1 gene:ENSMUSG00000030421.9 transcript:ENSMUST00000206169.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uri1 description:URI1, prefoldin-like chaperone [Source:MGI Symbol;Acc:MGI:1342294] XRDAKLGPVAGEERGAPGVGPAAPRRRRRRRRRWSLTAKRKSSIGRK >ENSMUSP00000056084.7 pep:known chromosome:GRCm38:11:84148351:84167476:-1 gene:ENSMUSG00000051452.8 transcript:ENSMUST00000050771.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11437 description:predicted gene 11437 [Source:MGI Symbol;Acc:MGI:3650287] MDTILVFSLMIASYDSNKNDLRKSSCQVEQWPSFFSEDVRSNKDLVVRVPLEIHTDTKGT PFIQNQPIATLRCLGSGRRVTVHLVYSERRPKVKYIMKNLPVITDLPRNSTASPRCHLRA TSQFQNGSLLTAFLPGISQCTVYSAKDRSASSEMVPITTSSTTPRSKGDEATSTGAFPNP LTQGIDMSLKRRQKWSLVVKALIAVTLLLGGAAIIVFVIFEVPCPSQCLRVRQLCQCQWL WRRKRKEEDQKPGTTESQLDSQPEKVKHNVPNSSDSKKTTDIAIIYQTYF >ENSMUSP00000101907.1 pep:known chromosome:GRCm38:7:127418967:127422034:-1 gene:ENSMUSG00000045251.13 transcript:ENSMUST00000106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp688 description:zinc finger protein 688 [Source:MGI Symbol;Acc:MGI:1916484] MAPPPASLLVPMPGEIWPGFRKPELVSFADVAVYFSPQEWGCLRPAQRTLYREVMRETYG LLGALGFPGPKPALISWMEQGSEAWSPAAQDPEEGESLGGAPRGDIPNETEWGCKEGGER PAEAPAQLQPKEVVEHKTYSMATKSSAGAQPPTKAAPDQIAGVQLPVQVPNTDIQASQRR HVCVDCGRRFTYPSLLVSHRRMHSGERPFPCPECGVRFKRKFAVKAHQWIHRSCSGGRRG RRPGIRAVPGAPVRGDRDPPVLFRHYPDIFEECG >ENSMUSP00000145670.1 pep:known chromosome:GRCm38:7:127418967:127422039:-1 gene:ENSMUSG00000045251.13 transcript:ENSMUST00000148483.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp688 description:zinc finger protein 688 [Source:MGI Symbol;Acc:MGI:1916484] MAPPPASLLVPMPGEIWPGFRKPELVSFADVAVYFSPQEWGCLRPAQRTLYREVMRETYG LLGALGEAPSQSPAPILLGVHRGVAVDRSSGL >ENSMUSP00000119731.1 pep:known chromosome:GRCm38:7:127419346:127421850:-1 gene:ENSMUSG00000045251.13 transcript:ENSMUST00000126756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp688 description:zinc finger protein 688 [Source:MGI Symbol;Acc:MGI:1916484] XEVMRETYGLLGALGDIPNETEWGCKEGGERPAEAPAQLQPKEVVEHKTYSMATKSSAGA QPPTKAAPDQIAGVQLPVQVPNTDIQASQRRHVCVDCGRRFTYPSLLVSHRRM >ENSMUSP00000146001.1 pep:known chromosome:GRCm38:7:127419591:127421967:-1 gene:ENSMUSG00000045251.13 transcript:ENSMUST00000129038.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp688 description:zinc finger protein 688 [Source:MGI Symbol;Acc:MGI:1916484] XGEIWPGFRKPELVSFADVAVYFSPQEWGCLRPAQRTLYREVMRETYGLLGALGEAPSQS PAPILLGVHRGVAVDRSSGL >ENSMUSP00000097620.2 pep:known chromosome:GRCm38:2:70474923:70477729:1 gene:ENSMUSG00000075304.2 transcript:ENSMUST00000100043.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp5 description:trans-acting transcription factor 5 [Source:MGI Symbol;Acc:MGI:1927715] MAAVAVLRNDSLQAFLQDRTPSASPDLGKHSPLALLAATCSRIGQPGAAAAPDFLQVPYD PALGSPSRLFHPWTADMPAHSPGALPPPHPSLGLTPQKTHLQPSFGAAHELPLTPPADPS YPYEFSPVKMLPSSMAALPASCAPAYVPYAAQAALPPGYSNLLPPPPPPPPPPTCRQLSP APAPDDLPWWSIPQSGAGPGSSGVPGTSLSSACAGPPHAPRFPASAAAAAAAAAALQRGL VLGPSDFAQYQSQIAALLQTKAPLAATARRCRRCRCPNCQAAGGAPEAEPGKKKQHVCHV PGCGKVYGKTSHLKAHLRWHTGERPFVCNWLFCGKSFTRSDELQRHLRTHTGEKRFACPE CGKRFMRSDHLAKHVKTHQNKKLKVAEAGVKRENPRDL >ENSMUSP00000105101.2 pep:known chromosome:GRCm38:2:160311393:160313616:-1 gene:ENSMUSG00000074623.4 transcript:ENSMUST00000109475.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm826 description:predicted gene 826 [Source:MGI Symbol;Acc:MGI:2685672] MKLGTGIVMGERVGVVAWVLRNFWRSPCVCVSFSRQLHQAASDVSGWSHRKLQDTEDQEM KPQSYPFLHPYPHPDPRVPQRLWRSG >ENSMUSP00000096729.2 pep:known chromosome:GRCm38:2:160311861:160327494:-1 gene:ENSMUSG00000074623.4 transcript:ENSMUST00000099127.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm826 description:predicted gene 826 [Source:MGI Symbol;Acc:MGI:2685672] MEVSLPSAAPLSQGWMLGLSLSAYATWRQIMLGTEDLAGLQEAGRASVPLGSEVAGIWQS GTWELPVTLPELRLAAGTRQGARGGQETTERFAWPLPSTEKHRQWAERS >ENSMUSP00000049715.4 pep:known chromosome:GRCm38:1:56636044:56637435:-1 gene:ENSMUSG00000045336.5 transcript:ENSMUST00000062085.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsfy2 description:heat shock transcription factor, Y-linked 2 [Source:MGI Symbol;Acc:MGI:1918316] MAEAPSEMQAVSPNSLSTDLETSSGEPWCDNTGQKDSDLWAIIEESAFQVLAQRFLIKRP PHTLCASEPDEDSNLFSMTFPRKLWKIVGSDKFKSIWWDEDGTYIVINEELFKKEVLERK APFRIFETDSMKSLVRQLNLYGFRKMRQNFQRSASLPDFLAEEKGISASCKLQFYQNPNF LRDCPHLIERMKRRIGIKTVSRGAAPSLPDFKNKHFRPDLVKMDDHSLGVAVQTSERNLL SSSSISNVYLRQKPSLAQGGSDTMDVIRSDFSLATPSSFRPPEEILTHRPAPLNEVSSLC MDSQRIFTQANDSTVNFIITSITQNRGDMSHLWNSCIEMQGEPSFQPGFPHFSSSSSTYS DSKAKGEPELPIHKERVASTTLASTSNHHSSS >ENSMUSP00000132168.1 pep:known chromosome:GRCm38:7:23834812:23835726:-1 gene:ENSMUSG00000096859.1 transcript:ENSMUST00000171073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r176 description:vomeronasal 1 receptor 176 [Source:MGI Symbol;Acc:MGI:3809206] MLSQNKSVKTTVEVTLQILLLCQVGVGTVVNIFLFVHNLSPFLNGSQQRPIKVILANLAL GNTLILLFAFPNNIRVFIPREFPTDLKCKLGYFIWLVARSTNMCSTCSLSTYQFVTLAPG TWGRVMLPGRAPNFLRYTCYSCWLFSVLNNAHIPMKVSGPQKTHNDSNSKSKWVCSTSGF SIGMRILSFAHDAVFISIIIWSSVSMVILLNRHHQRLQHIQSTNQKLRVHAETRASHTIL LLVVTFVTCYLLDCICTVCNISFVDSRVWLRRVKEILSVSFPTFSPLLLIFRDPKDPCSL LFGC >ENSMUSP00000073621.3 pep:known chromosome:GRCm38:7:23865520:23866449:-1 gene:ENSMUSG00000057513.3 transcript:ENSMUST00000073967.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r177 description:vomeronasal 1 receptor 177 [Source:MGI Symbol;Acc:MGI:3033481] MSSQNGAMKTTEEVALQIVLLCQFGIGTMANILLFVHNFSPLLTGCQLRPKEVICTNMAV SSILILFMTVFRNNVFVAQRNPLNDLQCKLEYFVYMVARSTNLCSTCVLSTYQFVTLVPG NFNELMVRGRSPKVISYSCCSCWLFGVLYNVYIPMKVSGPQNRHNKTSTKSKWVCFTSGF SVGIVFLSFAHDAIFISIMVWASVSMVLLLYRHYQRLQYIFPPHQGNRGYAEIRAAHSIV MLVVTFVSFYLLHCISFILHIVFVDSHLWLRHIGEVLTSSFPTISPLLLIFRDPSYHCSL IFNYKKPVI >ENSMUSP00000096728.3 pep:known chromosome:GRCm38:2:160363703:160367065:-1 gene:ENSMUSG00000074622.4 transcript:ENSMUST00000099126.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mafb description:v-maf musculoaponeurotic fibrosarcoma oncogene family, protein B (avian) [Source:MGI Symbol;Acc:MGI:104555] MAAELSMGQELPTSPLAMEYVNDFDLLKFDVKKEPLGRAERPGRPCTRLQPAGSVSSTPL STPCSSVPSSPSFSPTEPKTHLEDLYWMASNYQQMNPEALNLTPEDAVEALIGSHPVPQP LQSFDGFRSAHHHHHHHHPHPHHGYPGAGVTHDDLGQHAHPHHHHHHQASPPPSSAASPA QQLPTSHPGPGPHATAAATAAGGNGSVEDRFSDDQLVSMSVRELNRHLRGFTKDEVIRLK QKRRTLKNRGYAQSCRYKRVQQKHHLENEKTQLIQQVEQLKQEVSRLARERDAYKVKCEK LANSGFREAGSTSDSPSSPEFFL >ENSMUSP00000140687.1 pep:known chromosome:GRCm38:14:32599407:32649204:1 gene:ENSMUSG00000041730.13 transcript:ENSMUST00000187377.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrxl1 description:paired related homeobox protein-like 1 [Source:MGI Symbol;Acc:MGI:2148204] MFYFHCPPQLEGTAPFGNHSTGDFDDGFLRRKQRRNRTTFTLQQLEALEAVFAQTHYPDV FTREELAMKINLTEARVQVWFQNRRAKWRKTERGASDQEPGAKEPMAEVTPPPVRNINSP PPGDQTRSKKEALEAQQSLGRTVGPTGPFFPSCLPGTLLNTATYAQALSHVASLKDHFRA MLCSGSFGFRGEQTQQRALTPNLYPFLIDERCSAVDMTQG >ENSMUSP00000140337.1 pep:known chromosome:GRCm38:14:32599408:32630326:1 gene:ENSMUSG00000041730.13 transcript:ENSMUST00000189022.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrxl1 description:paired related homeobox protein-like 1 [Source:MGI Symbol;Acc:MGI:2148204] MFYFHCPPQLEGTAPFGNHSTGDFDDGFLRRKQRRNRTTFTLQQLEALEAVFAQTHYPDV FTREELAMKINLTEARVQVWFQNRRAKWRKTERGASDQEPGAKEPMAEVTPPPVRNINSP PPGDQTRSKKEALEAQQSLGRTVGPTGPFFPSCLPGTLLNTATYAQALSHVASLKGGPLC SCCVPDPMGLSFLPTYGCQSNRTASVAALRMKAREHSEAVLQSANLLPSTSSSPGPASKQ APPEGSQDKTSPTKEQSEGEKSV >ENSMUSP00000139756.1 pep:known chromosome:GRCm38:14:32599541:32630302:1 gene:ENSMUSG00000041730.13 transcript:ENSMUST00000186452.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrxl1 description:paired related homeobox protein-like 1 [Source:MGI Symbol;Acc:MGI:2148204] MFYFHCPPQLEGTAPFGNHSTGDFDDGFLRRKQRRNRTTFTLQQLEALEAVFAQTHYPDV FTREELAMKINLTEARVQVWFQNRRAKWRKTERGASDQEPGAKEPMAEVTPPPVRNINSP PPGDQTRSKKEALEAQQSLGRTVGPTGPFFPSCLPGTLLNTATYAQALSHVASLKGGPLC SCCVPDPMGLSFLPTYGCQSNRTASVAALRMKAREHSEAVLQSANLLPSTSSSPGPASKQ APPEGSQDKTSPTKEQSEGEKSV >ENSMUSP00000064107.5 pep:known chromosome:GRCm38:14:32599867:32649246:1 gene:ENSMUSG00000041730.13 transcript:ENSMUST00000068938.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrxl1 description:paired related homeobox protein-like 1 [Source:MGI Symbol;Acc:MGI:2148204] MFYFHCPPQLEGTAPFGNHSTGDFDDGFLRRKQRRNRTTFTLQQLEALEAVFAQTHYPDV FTREELAMKINLTEARVQVWFQNRRAKWRKTERGASDQEPGAKEPMAEVTPPPVRNINSP PPGDQTRSKKEALEAQQSLGRTVGPTGPFFPSCLPGTLLNTATYAQALSHVASLKDHFRA MLCSGSFGFRGEQTQQRALTPNLYPFLIDERCSAVDMTQG >ENSMUSP00000142758.1 pep:known chromosome:GRCm38:5:114444269:114453150:1 gene:ENSMUSG00000041939.14 transcript:ENSMUST00000137167.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvk description:mevalonate kinase [Source:MGI Symbol;Acc:MGI:107624] MLSEALLVSAPGKVILHGEHAVVHGKVALAAALNLRTFLLLRPQSNGKVSVNLPNIGIKQ VWDVGMLQRLDTSFLEQGDVSVPTLEQLEKLKKMGDLPRDRAGNEGMALLAFLYLYLAIC RKQRTLPSLDMVVWSELPPGAGLGSSAAYSVCLAAALLTACEEVSNPLKDGVSVSRWPEE DLKSINKWAFEGERVIHGNPSGVDNAVSTWGGALRFQQGTMSSLKR >ENSMUSP00000107858.2 pep:known chromosome:GRCm38:5:114444286:114460477:1 gene:ENSMUSG00000041939.14 transcript:ENSMUST00000112239.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvk description:mevalonate kinase [Source:MGI Symbol;Acc:MGI:107624] MLSEALLVSAPGKVILHGEHAVVHGKVALAAALNLRTFLLLRPQSNGKVSVNLPNIGIKQ VWDVGMLQRLDTSFLGLSPTCLFARVTEQGDVSVPTLEQLEKLKKMGDLPRDRAGNEGMA LLAFLYLYLAICRKQRTLPSLDMVVWSELPPGAGLGSSAAYSVCLAAALLTACEEVSNPL KDGVSVSRWPEEDLKSINKWAFEGERVIHGNPSGVDNAVSTWGGALRFQQGTMSSLKSLP SLQILLTNTKVPRSTKALVAAVRSRLTKFPEIVAPLLTSIDAISLECERVLGEMVAAPVP EQYLVLEELIDMNQHHLNALGVGHNSLDQLCQVTAAHGLHSKLTGAGGGGCGITLLKPGL EQATVEAAKQALTSCGFDCWETSIGAPGVSTHSAAAVGDPVRQALGL >ENSMUSP00000143347.1 pep:known chromosome:GRCm38:5:114444303:114453150:1 gene:ENSMUSG00000041939.14 transcript:ENSMUST00000124260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvk description:mevalonate kinase [Source:MGI Symbol;Acc:MGI:107624] MLSEALLVSAPGKVILHGEHAVVHGKVALAAALNLRTFLLLRPQSNGKVSVNLPNIGIKQ VWDVGMLQRLDTSFLGLSPTCLFARVTEQGDVSVPTLEQLEKLKKMGDLPRDRAGNEGMA LLAFLYLYLAICRKQRTLPSLDMVVWSELPPGAGLGSSAAYSVCLAAALLTACEEVSNPL KDGVSVSRWPEEDLKSINKWAFEGERVIHGNPSGVDNAVSTWGGALRFQQGTMSSLKR >ENSMUSP00000114611.1 pep:known chromosome:GRCm38:5:114444303:114455483:1 gene:ENSMUSG00000041939.14 transcript:ENSMUST00000125650.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvk description:mevalonate kinase [Source:MGI Symbol;Acc:MGI:107624] MLSEALLVSAPGKVILHGEHAVVHGKVALAAALNLRTFLLLRPQSNGKVSVNLPNIGIKQ VWDVGMLQRLDTSFLGLSPTCLFARVTEQGDVSVPTLEQLEKLKKMGDLPRDRAGNEGMA LLAFLYLYLAICRKQRTLPSLDMVVWSELPPGAGLGSSAAYSVCLAAALLTACEEVSNPL KDGVSVSRWPEEDLKSINKWAFEGERVIHGNPSGVDNAVSTWGGALRFQQGTMSSLKSLP SLQILLTNTKVPRSTKALVAAVRSRLT >ENSMUSP00000036971.8 pep:known chromosome:GRCm38:5:114444306:114460591:1 gene:ENSMUSG00000041939.14 transcript:ENSMUST00000043760.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvk description:mevalonate kinase [Source:MGI Symbol;Acc:MGI:107624] MLSEALLVSAPGKVILHGEHAVVHGKVALAAALNLRTFLLLRPQSNGKVSVNLPNIGIKQ VWDVGMLQRLDTSFLEQGDVSVPTLEQLEKLKKMGDLPRDRAGNEGMALLAFLYLYLAIC RKQRTLPSLDMVVWSELPPGAGLGSSAAYSVCLAAALLTACEEVSNPLKDGVSVSRWPEE DLKSINKWAFEGERVIHGNPSGVDNAVSTWGGALRFQQGTMSSLKSLPSLQILLTNTKVP RSTKALVAAVRSRLTKFPEIVAPLLTSIDAISLECERVLGEMVAAPVPEQYLVLEELIDM NQHHLNALGVGHNSLDQLCQVTAAHGLHSKLTGAGGGGCGITLLKPGLEQATVEAAKQAL TSCGFDCWETSIGAPGVSTHSAAAVGDPVRQALGL >ENSMUSP00000142376.1 pep:known chromosome:GRCm38:5:114452420:114460572:1 gene:ENSMUSG00000041939.14 transcript:ENSMUST00000139420.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvk description:mevalonate kinase [Source:MGI Symbol;Acc:MGI:107624] VIHGNPSGVDNAVSTWGGALRFQQGTMSSLKSLPSLQILLTNTKVPRSTKALVAAVRSRL TKEAFALELLWAHRPHTNSLAPSHALFCPATMSPKEPPGQQLVAPGSWLLPSLGDHQLRQ GMPTACLRVEKLLWGK >ENSMUSP00000054105.7 pep:known chromosome:GRCm38:9:37367368:37386572:1 gene:ENSMUSG00000046240.7 transcript:ENSMUST00000051839.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hepacam description:hepatocyte cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1920177] MKRERGALSRASRALRLSPFVYLLLIQPVPLEGVNITSPVRLIHGTVGKSALLSVQYSST SSDKPVVKWQLKRDKPVTVVQSIGTEVIGTLRPDYRDRIRLFENGSLLLSDLQLADEGTY EVEISITDDTFTGEKTINLTVDVPISRPQVLVASTTVLELSEAFTLNCSHENGTKPSYTW LKDGKPLLNDSRMLLSPDQKVLTITRVLMEDDDLYSCVVENPISQVRSLPVKITVYRRSS LYIILSTGGIFLLVTLVTVCACWKPSKKSRKKRKLEKQNSLEYMDQNDDRLKSEADTLPR SGEQERKNPMALYILKDKDSSEPDENPATEPRSTTEPGPPGYSVSPPVPGRSPGLPIRSA RRYPRSPARSPATGRTHTSPPRAPSSPGRSRSSSRSLRTAGVQRIREQDESGQVEISA >ENSMUSP00000134798.1 pep:known chromosome:GRCm38:11:83299024:83333058:1 gene:ENSMUSG00000035152.14 transcript:ENSMUST00000176944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2b1 description:adaptor-related protein complex 2, beta 1 subunit [Source:MGI Symbol;Acc:MGI:1919020] MTDSKYFTTNKKGEIFELKAELNNEKKEKRKEAVKKVIAAMTVGKDVSSLFPDVVNCMQT DNLELKKLVYLYLMNYAKSQPDMAIMAVNSFVKDCEDPNPLIRALAVRTMGCIRVDKITE YLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQMVEDQGFLDSLRDLIADSNPMVVANA VAALSEISESHPNSNLLDL >ENSMUSP00000018875.6 pep:known chromosome:GRCm38:11:83302483:83405035:1 gene:ENSMUSG00000035152.14 transcript:ENSMUST00000018875.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2b1 description:adaptor-related protein complex 2, beta 1 subunit [Source:MGI Symbol;Acc:MGI:1919020] MTDSKYFTTNKKGEIFELKAELNNEKKEKRKEAVKKVIAAMTVGKDVSSLFPDVVNCMQT DNLELKKLVYLYLMNYAKSQPDMAIMAVNSFVKDCEDPNPLIRALAVRTMGCIRVDKITE YLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQMVEDQGFLDSLRDLIADSNPMVVANA VAALSEISESHPNSNLLDLNPQNINKLLTALNECTEWGQIFILDCLSNYNPKDDREAQSI CERVTPRLSHANSAVVLSAVKVLMKFLELLPKDSDYYNMLLKKLAPPLVTLLSGEPEVQY VALRNINLIVQKRPEILKQEIKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKE YATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIRDIFRK YPNKYESIIATLCENLDSLDEPDARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQV QLTLLTAIVKLFLKKPSETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLSTDPVTAKEV VLSEKPLISEETDLIEPTLLDELICHIGSLASVYHKPPNAFVEGSHGIHRKHLPIHHGST DAGDSPVGTTTTTNLEQPQVIPSQGDLLGDLLNLDLGPPVNVPQVSSMQMGAVDLLGGGL DSLLGSDLGGGIGGSPAVGQSFIPSSVPATFAPSPTPAVVSSGLNDLFELSTGIGMAPGG YVAPKAVWLPAVKAKGLEISGTFTHRQGHIYMEMNFTNKALQHMTDFAIQFNKNSFGVIP STPLAIHTPLMPNQSIDVSLPLNTLGPVMKMEPLNNLQVAVKNNIDVFYFSCLIPLNVLF VEDGKMERQVFLATWKDIPNENELQFQIKECHLNADTVSSKLQNNNVYTIAKRNVEGQDM LYQSLKLTNGIWILAELRIQPGNPNYTLSLKCRAPEVSQYIYQVYDSILKN >ENSMUSP00000134779.1 pep:known chromosome:GRCm38:11:83302734:83398597:1 gene:ENSMUSG00000035152.14 transcript:ENSMUST00000176430.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2b1 description:adaptor-related protein complex 2, beta 1 subunit [Source:MGI Symbol;Acc:MGI:1919020] MTDSKYFTTNKKGEIFELKAELNNEKKEKRKEAVKKVIAAMTVGKDVSSLFPDVVNCMQT DNLELKKLVYLYLMNYAKSQPDMAIMAVNSFVKDCEDPNPLIRALAVRTMGCIRVDKITE YLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQMVEDQGFLDSLRDLIADSNPMVVANA VAALSEISESHPNSNLLDLNPQNINKLLTALNECTEWGQIFILDCLSNYNPKDDREAQSI CERVTPRLSHANSAVVLSAVKVLMKFLELLPKDSDYYNMLLKKLAPPLVTLLSGEPEVQY VALRNINLIVQKRPEILKQEIKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKE YATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIRDIFRK YPNKYESIIATLCENLDSLDEPDARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQV QLTLLTAIVKLFLKKPSETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLSTDPVTAKEV VLSEKPLISEETDLIEPTLLDELICHIGSLASVYHKPPNAFVEGSHGIHRKHLPIHHGST DAGDSPVGTTTTTNLEQPQVIPSQGDLLGDLLNLDLGPPVNVPQVSSMQMGAVDLLGGGL DSLLGSDLGGGIGGSPAVGQSFIPSSVPATFAPSPTPAVVSSGLNDLFELSTGIGMAPGG YVAPKAVWLPAVKAKGLEISGTFTHRQGHIYMEMNFTNKALQHMTDFAIQFNKNSFGVIP STPLAIHTPLMPNQSIDVSLPLNTLGPVMKMEPLNNLQVAVKNNIDVFYFSCLIPLNVLF VEDGKMERQVFLATWKDIPNENELQFQIKECHLNADTVSSKLQNNNVYTIAKRNVEGQDM LYQSLKLTNGIWILAELRIQPGNPNYTVRPFPECVRWVRIQR >ENSMUSP00000070714.7 pep:known chromosome:GRCm38:11:83302774:83403012:1 gene:ENSMUSG00000035152.14 transcript:ENSMUST00000065692.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2b1 description:adaptor-related protein complex 2, beta 1 subunit [Source:MGI Symbol;Acc:MGI:1919020] MTDSKYFTTNKKGEIFELKAELNNEKKEKRKEAVKKVIAAMTVGKDVSSLFPDVVNCMQT DNLELKKLVYLYLMNYAKSQPDMAIMAVNSFVKDCEDPNPLIRALAVRTMGCIRVDKITE YLCEPLRKCLKDEDPYVRKTAAVCVAKLHDINAQMVEDQGFLDSLRDLIADSNPMVVANA VAALSEISESHPNSNLLDLNPQNINKLLTALNECTEWGQIFILDCLSNYNPKDDREAQSI CERVTPRLSHANSAVVLSAVKVLMKFLELLPKDSDYYNMLLKKLAPPLVTLLSGEPEVQY VALRNINLIVQKRPEILKQEIKVFFVKYNDPIYVKLEKLDIMIRLASQANIAQVLAELKE YATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDLIQTKVNYVVQEAIVVIRDIFRK YPNKYESIIATLCENLDSLDEPDARAAMIWIVGEYAERIDNADELLESFLEGFHDESTQV QLTLLTAIVKLFLKKPSETQELVQQVLSLATQDSDNPDLRDRGYIYWRLLSTDPVTAKEV VLSEKPLISEETDLIEPTLLDELICHIGSLASVYHKPPNAFVEGSHGIHRKHLPIHHGST DAGDSPVGTTTTTNLEQPQVIPSQGDLLGDLLNLDLGPPVNVPQVSSMQMGAVDLLGGGL DSLVGQSFIPSSVPATFAPSPTPAVVSSGLNDLFELSTGIGMAPGGYVAPKAVWLPAVKA KGLEISGTFTHRQGHIYMEMNFTNKALQHMTDFAIQFNKNSFGVIPSTPLAIHTPLMPNQ SIDVSLPLNTLGPVMKMEPLNNLQVAVKNNIDVFYFSCLIPLNVLFVEDGKMERQVFLAT WKDIPNENELQFQIKECHLNADTVSSKLQNNNVYTIAKRNVEGQDMLYQSLKLTNGIWIL AELRIQPGNPNYTLSLKCRAPEVSQYIYQVYDSILKN >ENSMUSP00000116562.1 pep:known chromosome:GRCm38:11:83302952:83316515:1 gene:ENSMUSG00000035152.14 transcript:ENSMUST00000142680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2b1 description:adaptor-related protein complex 2, beta 1 subunit [Source:MGI Symbol;Acc:MGI:1919020] MTDSKYFTTNKKGEIFELKAELNNEKKEKRKEAVKKVIAAMTVG >ENSMUSP00000135445.1 pep:known chromosome:GRCm38:11:83308238:83402644:1 gene:ENSMUSG00000035152.14 transcript:ENSMUST00000176523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2b1 description:adaptor-related protein complex 2, beta 1 subunit [Source:MGI Symbol;Acc:MGI:1919020] MTDSKYFTTNKKGEIFELKAELNNEKKEKRKEAVKKVIAAMTVGKDVSSLFPDVVNCMQT DNLELKKLVYLYLMNYAKSQPDMAIMAVNSFVKDEDPYVRKTAAVCVAKLHDINAQMVED QGFLDSLRDLIADSNPMVVANAVAALSEISESHPNSNLLDLNPQNINKLLTALNECTEWG QIFILDCLSNYNPKDDREAQSICERVTPRLSHANSAVVLSAVKVLMKFLELLPKDSDYYN MLLKKLAPPLVTLLSGEPEVQYVALRNINLIVQKRPEILKQEIKVFFVKYNDPIYVKLEK LDIMIRLASQANIAQVLAELKEYATEVDVDFVRKAVRAIGRCAIKVEQSAERCVSTLLDL IQTKVNYVVQEAIVVIRDIFRKYPNKYESIIATLCENLDSLDEPDARAAMIWIVGEYAER IDNADELLESFLEGFHDESTQVQLTLLTAIVKLFLKKPSETQELVQQVLSLATQDSDNPD LRDRGYIYWRLLSTDPVTAKEVVLSEKPLISEETDLIEPTLLDELICHIGSLASVYHKPP NAFVEGSHGIHRKHLPIHHGSTDAGDSPVGTTTTTNLEQPQVIPSQGDLLGDLLNLDLGP PVNVPQVSSMQMGAVDLLGGGLDSLLGSDLGGGIGGSPAVGQSFIPSSVPATFAPSPTPA VVSSGLNDLFELSTGIGMAPGGYVAPKAVWLPAVKAKGLEISGTFTHRQGHIYMEMNFTN KALQHMTDFAIQFNKNSFGVIPSTPLAIHTPLMPNQSIDVSLPLNTLGPVMKMEPLNNLQ VAVKNNIDVFYFSCLIPLNVLFVEDGKMERQVFLATWKDIPNENELQFQIKECHLNADTV SSKLQNNNVYTIAKRNVEGQDMLYQSLKLTNGIWILAELRIQPGNPNYTLSLKCRAPEVS QYIYQVYDSILKN >ENSMUSP00000007280.7 pep:known chromosome:GRCm38:11:100246091:100248902:-1 gene:ENSMUSG00000053797.10 transcript:ENSMUST00000007280.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt16 description:keratin 16 [Source:MGI Symbol;Acc:MGI:96690] MATCSRQFTSSSSMKGSCGIGGGSSRMSSILAGGSCRAPSTCGGMSVTSSRFSSGGVCGI GGGYGGSFSSSSFGGGLGSGFGGRFDGFGGGFGAGLGGGLGGGIGDGLLVGSEKVTMQNL NDRLATYLDKVRALEEANRDLEVKIRDWYQRQRPTEIKDYSPYFKTIEDLKSKIIIATQE NAQFTLQIDNARLAADDFRTKYENELFLRQSVEGDINGLRKVLDELTLSRADLEMQIENL REELAFLKKNHEEEMLALRGQTGGDVNVEMDAAPGVDLSRILNEMRDQYEQMAEKNRRDV EAWFLRKTEELNKEVASNSDLIQSNRSEVAELRRVFQGLEIELQSQLSMKASLENSLEET KGRYCMQLSQIQGLISSVEEQLAQLRCEMEQQSQEYNILLDVKTRLEQEIATYRRLLDGE NIHSSSQHSSGQSYSSREVFSSSSRQPRSILKEQGSTSFSQSQSQSSRD >ENSMUSP00000033044.9 pep:known chromosome:GRCm38:7:128271379:128298170:-1 gene:ENSMUSG00000030780.15 transcript:ENSMUST00000033044.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC017158 description:cDNA sequence BC017158 [Source:MGI Symbol;Acc:MGI:2384572] MADAASLRAPLCTEQFGSGAPRGCSAAADGSLQWDGARRWGWLSRAPIAKPGQHAGGGGG PWAALTALSGLRSVLLPQGFPDSVSPDYLPYQLWDSVQAFASSLSGSLATQAVLQGLGVG NAKASVSAATSTWLVKDSTGMLGRIIFAWWKGSKLDCNAKQWRLFADILNDVAMFLEIMA PMYPIFFTMTVSTSNLAKCIVGVAGGATRAALTMHQARRNNMADVSAKDSSQETVVNLAG LLVSLLMLPLVSDCPSLSLGCFVLLTALHIYANYRAVRALVLETLNESRLQLVLEHFLQR GEVLEPASANQMEPLWTGFWPSLSLSLGVPLHHLVSSVSELKQLVEGHHEPYLLCWNKSR NQVRVALSQEAGPETVLRAATHGLILGALQEDGPLPGELAQLRHQVQADPKKESWILVRE THQVLDTLFPKFLKGLQAAGWKTEKHHLEVDEWRATWPLSPEKKVL >ENSMUSP00000114673.1 pep:known chromosome:GRCm38:7:128272326:128298095:-1 gene:ENSMUSG00000030780.15 transcript:ENSMUST00000126263.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC017158 description:cDNA sequence BC017158 [Source:MGI Symbol;Acc:MGI:2384572] MADAASLRAPLCTEQFGSGAPRGCSAAADGSLQWDGARRWGWLSRAPIAKPGQHAGGGGG PWAALTALSGLRSVLLPQGFPDSVSPDYLPYQLWDSVQAFASSLSGSLATQAVLQGLGVG NAKASVSAATSTWLVKDSTGMLGRIIFAWWKGSKLDCNAKQWRLFADILNDVAMFLEIMA PMYPIFFTMTVSTSNLAKCIVGVAGGATRAALTMHQARRNNMADVSAKDSSQETVVNLAG LLVSLLMLPLVSDCPSLSLGCFVLLTALHIYANYRAVRALVLETLNESRLQLVLEHFLQR GEVLEPASANQMEPLWTGFWPSLSLSLGVPLHHLVSSALFSPSVSLS >ENSMUSP00000117520.1 pep:known chromosome:GRCm38:7:128287965:128290615:-1 gene:ENSMUSG00000030780.15 transcript:ENSMUST00000137677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC017158 description:cDNA sequence BC017158 [Source:MGI Symbol;Acc:MGI:2384572] RIIFAWWKGSKLDCNAKQWRLFADILNDVAMFLEIMAPMYPIFFTMTVSTSNLAKVATSG TLLYLRYQYHPYRRCVWLTRSLY >ENSMUSP00000077666.2 pep:known chromosome:GRCm38:7:23893529:23894443:1 gene:ENSMUSG00000062598.2 transcript:ENSMUST00000078593.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r178 description:vomeronasal 1 receptor 178 [Source:MGI Symbol;Acc:MGI:3033482] MSAHDKSLKTTDDMTLQILLLCQFVVGTVANVFLFLHNISPVLTGSKQRPRQLILSHMSV ANALILFLTSFPDMMAFAPRNSPTHLKCKLEYFSRLVARSTNMCSTCVLSIHQFVTLVPV NRGKAILRASVPNLTSYSCYSCWFFSVLSNIYIAIKVTGPQITDNNTDSKSKLFCFTSGF SVGIFFLRIFHDGTFMSIMVWTSVSMVLLLHRHRQRMQHILTPNQDARGQAETRATHTIL MLVVTFVSFYLLNFICIIFYTFSIDSRLFMSHFSKVFAAGFPTISPLLLIFRDPKYPCSV LFNC >ENSMUSP00000105099.1 pep:known chromosome:GRCm38:2:160568379:160619971:-1 gene:ENSMUSG00000078956.2 transcript:ENSMUST00000109473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14221 description:predicted gene 14221 [Source:MGI Symbol;Acc:MGI:3650696] MHTEPHNVALNGWNSLYTRLALTSQISAHLCFLGTGIKDVSQPQLAKGPGGRGPPLPVCS WSGR >ENSMUSP00000131482.1 pep:known chromosome:GRCm38:14:44285580:44291068:1 gene:ENSMUSG00000091725.8 transcript:ENSMUST00000164663.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8220 description:predicted gene 8220 [Source:MGI Symbol;Acc:MGI:3643828] XRSSWVPKQACCPCSSGYFREIIEYTQTPDQGRRRPAAHHGGKGLETTGHGEGSAGEASP QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYINKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENHFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKILCKEGSQKIKDHYTKQQQV >ENSMUSP00000129002.2 pep:known chromosome:GRCm38:14:44285605:44289049:1 gene:ENSMUSG00000091725.8 transcript:ENSMUST00000169601.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8220 description:predicted gene 8220 [Source:MGI Symbol;Acc:MGI:3643828] GMLSMLLRVFQRDNRIHTDTRPRQKEAGRPSWWERARNNWSWRRHRSAGEASPQAPTINE QEKRHERLEKLKRELQNIKNARDELQGILANYINKDLNDRINFETFMLEMQHDQVMTDLK RMPQDISEALSKCKQLTKENHFYCFRNCHLLIESNLIQHKVRMLRKENRQLLREQ >ENSMUSP00000136414.1 pep:known chromosome:GRCm38:14:44288131:44289300:1 gene:ENSMUSG00000091725.8 transcript:ENSMUST00000177877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8220 description:predicted gene 8220 [Source:MGI Symbol;Acc:MGI:3643828] LVHGTISSLSMPSHRINFETFMLEMQHDQVMTDLKRMPQDISEALSKCKQLTKENHFYCF RNCHLLIESNLIQHKVRMLRKENRQLLREQIALEECNIETKILCKEGSQKIKDHYTKQQQ TFCGVTL >ENSMUSP00000055372.3 pep:known chromosome:GRCm38:7:23928386:23929357:1 gene:ENSMUSG00000046924.3 transcript:ENSMUST00000049819.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r179 description:vomeronasal 1 receptor 179 [Source:MGI Symbol;Acc:MGI:3033486] MSYLIITPVSAKALSMCTLDKSLKTTEEVALQIFLLCQFGVGTVANVFLFVHNFYPVLTG SQQSPRQVILSHMAVANILILFITIFPTNVMTFAPRNPPTDLKCKLEFFIRLVARSTNLC STCVLSIYQFVTLVPVNRGKLILRASVPSLARYSCYSCWFFSVLNNIYIPIKVTGPQIAD NNTDCRSKLFCFTFGYSRVIFFLRIAYDATFMSIMVWTSVSMVLLLHRHHHKMQHIFTTN RHYRGQAETRATSTILMLVVTFVSFYLLNFTCIIIQALVTDSHAFVRHWSEALVTGFPTI SPLLLIFRKSKDPCSVFFRLLKS >ENSMUSP00000025739.7 pep:known chromosome:GRCm38:19:30030513:30093722:1 gene:ENSMUSG00000024817.13 transcript:ENSMUST00000025739.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhrf2 description:ubiquitin-like, containing PHD and RING finger domains 2 [Source:MGI Symbol;Acc:MGI:1923718] MWIQVRTIDGSQTRTIEDVSRKATIEELRERVWALFDVRPECQRLFYRGKQLENGYTLFD YDVGLNDIIQLLVRPDSSLPSTSKQNDAQVKPSSHNPPKVKKTARGGSSSQPSTSARTCL IDPGFGLYKVNELVDARDVGLGAWFEAHIHSVTRASDGHSRGKTPLKNGSSYKRTNGNVN HNSKENTNKLDNVPSTSNSDSVAADEDVIYHIEYDEYPESGILEMNVKDLRPRARTILKW NELNVGDVVMVNYNVENPGKRGFWYDAEITTLKTISRTKKEVRVKVFLGGSEGTLNDCRV MSVDEIFKIEKPGAHPISFADGKFLRKNDPECDLCGGDPDKTCHMCSCHKCGEKRDPNMQ LLCDECNMAYHIYCLSPPLDKVPEEEYWYCPSCKTDSSEVVKAGERLKLSKKKAKMPSAS TESRRDWGRGMACVGRTKECTIVPSNHYGPIPGIPVGSTWRFRVQVSEAGVHRPHVGGIH GRSNDGAYSLVLAGGFEDEVDRGDEFTYTGSGGKNLAGNKRIGAPSADQTLTNMNRALAL NCDAPLDDKIGAESRNWRAGKPVRVIRSFKGRKISKYAPEEGNRYDGIYKVVKYWPEISS SHGFLVWRYLLRRDDVEPAPWTSEGIERSRRLCLRLQYPAGYPSEKEGKKTKGQSKKQGS EATKRPASDDECPGDSKVLKASDSTDAVEAFQLTPQQQRLIREDCQNQKLWDEVLASLVE GPNFLKKLEQSFMCVCCQELVYQPVTTECFHNVCKDCLQRSFKAQVFSCPACRHDLGQNY VMVLNETLQTLLDLFFPGYSKGR >ENSMUSP00000108171.1 pep:known chromosome:GRCm38:19:30030589:30057163:1 gene:ENSMUSG00000024817.13 transcript:ENSMUST00000112552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhrf2 description:ubiquitin-like, containing PHD and RING finger domains 2 [Source:MGI Symbol;Acc:MGI:1923718] MWIQVRTIDGSQTRTIEDVSRKATIEELRERVWALFDLENGYTLFDYDVGLNDIIQLLVR PDSSLPSTSKQNDAQVKPSSHNPPKVKKTARGGSSSQPSTSARTCLIDPGFGLYKVNELV DARDVGLGAWFEAHIHSVTRASDGHSRGKTPLKNGSSYKRTNGNVNHNSKENTNKLDNVP STSNSDSVAADEDVIYHIEYDE >ENSMUSP00000099680.3 pep:known chromosome:GRCm38:3:108855323:108890008:-1 gene:ENSMUSG00000045326.13 transcript:ENSMUST00000102620.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc7 description:fibronectin type III domain containing 7 [Source:MGI Symbol;Acc:MGI:2443535] MAGRPEKCFSLIRFTLLCLKMVISSKTAPEIPTIDQAYSKISNSITVEWTTVPGATSYLL TAEDGNTIIETTVANSPGTVTGLKAATLYQITIRSISASGQSQASSPKQAKTVLAAPVLE VSSPSPDSILVSWDAVYMAIGFSVSVMRANGLGRIWKENTTNTSLTFSSLDAGTLYTIKA YAWNANGIPGDDSTRNQRTSPRAPANIQVFFDSGALKASVSWTPTEGAFNYTVVASSDSS QRSCSTTLSSCSISSLQCGTEYLISVSANNDAGSSKSCSAPTLKTVACAPGRVMIQEEPP GHLSVAWSNVELGDYYVAFVKSDDGLEVHCNTSLTQCNFLSECGFTYFISVFAYNKAGQS PLGDVFNYTTAPCCPNDISPVLVSSDRVEIAWSPVRGAELYETKAIAGYSIVECNDTAPA CTLSALDCDTKYNVTVYSFSEVRGSNLSCSSHFITTAPCSPEIKNISKDAFSMINVHWRS TNEGATYTVTAQGKKGLFQCSSTGETCRMGGLPCGSMFSVTAVAETQAGKSLPSYSVPLE TVPCCPAGLTAAQVTQSIINVSWTAGAVAQTYAAVLESYIGQSKCHTHQNHCLLGCITCG INYTVALKAISSTGLTADCAYQSYSSSVCCPLGVKLYRLGPNGIRIYWRASRGAANYSTD LYGSKGIFTCAPHAGLSFCDITNIPCGDVYTVMVSPVAETGLKLTFCPKKIYSVTCSGST LGMVIYRGKRNDTASPR >ENSMUSP00000051172.4 pep:known chromosome:GRCm38:3:108855340:108889815:-1 gene:ENSMUSG00000045326.13 transcript:ENSMUST00000053065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc7 description:fibronectin type III domain containing 7 [Source:MGI Symbol;Acc:MGI:2443535] MAGRPEKCFSLIRFTLLCLKMVISSKTAPEIPTIDQAYSKISNSITVEWTTVPGATSYLL TAEDGNTIIETTVANSPGTVTGLKAATLYQITIRSISASGQSQASSPKQAKTVLAAPVLE VSSPSPDSILVSWDAVYMAIGFSVSVMRANGLGRIWKENTTNTSLTFSSLDAGTLYTIKA YAWNANGIPGDDSTRNQRTIACAPGRVMIQEEPPGHLSVAWSNVELGDYYVAFVKSDDGL EVHCNTSLTQCNFLSECGFTYFISVFAYNKAGQSPLGDVFNYTTAPCCPNDISPVLVSSD RVEIAWSPVRGAELYETKAIAGYSIVECNDTAPACTLSALDCDTKYNVTVYSFSEVRGSN LSCSSHFITTAPCSPEIKNISKDAFSMINVHWRSTNEGATYTVTAQGKKGLFQCSSTGET CRMGGLPCGSMFSVTAVAETQAGKSLPSYSVPLETVPCCPAGLTAAQVTQSIINVSWTAG AVAQTYAAVLESYIGQSKCHTHQNHCLLGCITCGINYTVALKAISSTGLTADCAYQSYSS SVCCPLGVKLYRLGPNGIRIYWRASRGAANYSTDLYGSKGIFTCAPHAGLSFCDITNIPC GDVYTVMVSPVAETGLKLTFCPKKIYSVTCSGSTLGMVIYRGKRNDTASPR >ENSMUSP00000136215.1 pep:known chromosome:GRCm38:3:108853678:108889706:-1 gene:ENSMUSG00000045326.13 transcript:ENSMUST00000180063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc7 description:fibronectin type III domain containing 7 [Source:MGI Symbol;Acc:MGI:2443535] MAGRPEKCFSLIRFTLLCLKMVRSGKRSPEIPTIDQAYSKISNSITVEWTTVPGATSYLL TAEDGNTIIETTVANSPGTVTGLKAATLYQITIRSISASGQSQASSPKQAKTVLAAPVLE VSSPSPDSILVSWDAVYMAIGFSVSVMRANGLGRIWKENTTNTSLTFSSLDAGTLYTIKA YAWNANGIPGDDSTRNQRTSPRAPANIQVFFDSGALKASVSWTPTEGAFNYTVVASSDSS QRSCSTTLSSCSISSLQCGTEYLISVSANNDAGSSKSCSAPTLKTVACAPGRVMIQEEPP GHLSVAWSNVELGDYYVAFVKSDDGLEVHCNTSLTQCNFLSECGFTYFISVFAYNKAGQS PLGDVFNYTTAPCCPNDISPVLVSSDRVEIAWSPVRGAELYETKAIAGYSIVECNDTAPA CTLSALDCDTKYNVTVYSFSEVRGSNLSCSSHFITTAPCSPEIKNISKDAFSMINVHWRS TNEGATYTVTAQGKKGLFQCSSTGETCRMGGLPCGSMFSVTAVAETQAGKSLPSYSVPLE TVPCCPAGLTAAQVTQSIINVSWTAGAVAQTYAAVLESYIGQSKCHTHQNHCLLGCITCG INYTVALKAISSTGLTADCAYQSYSSSVCCPLGVKLYRLGPNGIRIYWRASRGAANYSTD LYGSKGIFTCAPHAGLSFCDITNIPCGDVYTVMVSPVAETGLKLTFCPKKIYSVTCSGST LGMVIYRGKRNDTASPR >ENSMUSP00000099600.3 pep:known chromosome:GRCm38:4:135963727:135968178:1 gene:ENSMUSG00000028671.16 transcript:ENSMUST00000102541.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gale description:galactose-4-epimerase, UDP [Source:MGI Symbol;Acc:MGI:1921496] MEKVLVTGGAGYIGSHTVLELLEAGYSPVVIDNFHNAIRGEDSMPESLRRVQELTGRSVE FEEMDILDQAALQHLFKKHSFKAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMRA HGVKNLVFSSSATVYGNPQYLPLDEAHPTGGCTNPYGKSKFFIEEMIRDLCRADTAWNAV LLRYFNPIGAHASGRIGEDPQGIPNNLMPYVSQVAIGRREALNVFGDDYATEDGTGVRDY IHVVDLAKGHIAALKKLKEQCGCRTYNLGTGTGYSVLQMVQAMEKASGKKIPYKVVARRE GDVAACYANPSLAHEELGWTAALGLDRMCEDLWRWQKQNPSGFGAQA >ENSMUSP00000117923.1 pep:known chromosome:GRCm38:4:135963757:135965629:1 gene:ENSMUSG00000028671.16 transcript:ENSMUST00000149636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gale description:galactose-4-epimerase, UDP [Source:MGI Symbol;Acc:MGI:1921496] MEKVLVTGGAGYIGSHTVLELLEAGYSPVVIDNFHNAIRGEDSMPESLRRVQELTGRSVE >ENSMUSP00000119514.1 pep:known chromosome:GRCm38:4:135963817:135965619:1 gene:ENSMUSG00000028671.16 transcript:ENSMUST00000143304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gale description:galactose-4-epimerase, UDP [Source:MGI Symbol;Acc:MGI:1921496] MEKVLVTGGAGYIGSHTVLELLEAGYSPVVIDNFHNAIRGEDSMPESLRRVQELTGR >ENSMUSP00000099599.1 pep:known chromosome:GRCm38:4:135965165:135968178:1 gene:ENSMUSG00000028671.16 transcript:ENSMUST00000102540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gale description:galactose-4-epimerase, UDP [Source:MGI Symbol;Acc:MGI:1921496] MEKVLVTGGAGYIGSHTVLELLEAGYSPVVIDNFHNAIRGEDSMPESLRRVQELTGRSVE FEEMDILDQAALQHLFKKHSFKAVIHFAGLKAVGESVQKPLDYYRVNLTGTIQLLEIMRA HGVKNLVFSSSATVYGNPQYLPLDEAHPTGGCTNPYGKSKFFIEEMIRDLCRADTAWNAV LLRYFNPIGAHASGRIGEDPQGIPNNLMPYVSQVAIGRREALNVFGDDYATEDGTGVRDY IHVVDLAKGHIAALKKLKEQCGCRTYNLGTGTGYSVLQMVQAMEKASGKKIPYKVVARRE GDVAACYANPSLAHEELGWTAALGLDRMCEDLWRWQKQNPSGFGAQA >ENSMUSP00000107500.2 pep:known chromosome:GRCm38:14:41641179:41646487:-1 gene:ENSMUSG00000079269.2 transcript:ENSMUST00000111869.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3676 description:predicted gene 3676 [Source:MGI Symbol;Acc:MGI:3781852] MGSQTVSFRKASPQTPNIDENEKRIKRLEKLKRDLQNIKNERDILQGILAKYKDLNDRTN FETFMLEMQHNQMMTDLKRMSQDISEALYKCQHLTKENQLYCCRNCHLLIESNLIQHKVR MLWKENRQLLRKQIALEECNIKTKTLCKEGSQKIKDEYSRQAAGKQIIVVPGSKEEAAMS NPCA >ENSMUSP00000044405.6 pep:known chromosome:GRCm38:1:162874317:162898712:-1 gene:ENSMUSG00000040170.13 transcript:ENSMUST00000045902.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo2 description:flavin containing monooxygenase 2 [Source:MGI Symbol;Acc:MGI:1916776] MAKKVVVIGAGVSGLISLKCCVDEGLEPTCFERTEDIGGLWRFKENVEDGRASIYRSVIT NTSKEMSCFSDFPMPEDFPNFLHNSKLLEYFRIFAKKFDLLKYIQFQTTVISVKKRPDFA SSGQWEVYTQSNGKEQRTVFDAVMVCSGHHIQPHLPLKSFPGIERFRGQYFHSREYKHPV GFEGKRILVVGIGNSAADIASELSKTAAQVFVSTRHGSWVMSRISEDGYPWDMVFHTRFS SMLRNVLPRTVVKWMMEQQMNRWFNHENYGLVPQNKYLMKEPVLNDDLPSRLLYGAIKVK TRVKELTETAVVFEDGTVEEDVDIIVFATGYTFSFSFLEDSLVKVEDNRVSLYKAMFPPH LEKPTLACIGLIQPLGSIFPTVELQARWATRVFKGLCSLPSETTMMADIVERNEKRVNLF GKSQSQILQTNYVDYLDELALEIGAKPDFVSLFFKDPKLAVKLYFGPCNSYQYRLVGPGQ WEGARNAILTQKQRILKPLKTRTLQSSDSAPVSFLLKILGLLAVVLAFFFQLQGF >ENSMUSP00000107135.1 pep:known chromosome:GRCm38:1:162876436:162898665:-1 gene:ENSMUSG00000040170.13 transcript:ENSMUST00000111510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo2 description:flavin containing monooxygenase 2 [Source:MGI Symbol;Acc:MGI:1916776] MAKKVVVIGAGVSGLISLKCCVDEGLEPTCFERTEDIGGLWRFKENVEDGRASIYRSVIT NTSKEMSCFSDFPMPEDFPNFLHNSKLLEYFRIFAKKFDLLKYIQFQTTVISVKKRPDFA SSGQWEVYTQSNGKEQRTVFDAVMVCSGHHIQPHLPLKSFPGIERFRGQYFHSREYKHPV GFEGKRILVVGIGNSAADIASELSKTAAQVFVSTRHGSWVMSRISEDGYPWDMVFHTRFS SMLRNVLPRTVVKWMMEQQMNRWFNHENYGLVPQNKYLMKEPVLNDDLPSRLLYGAIKVK TRVKELTETAVVFEDGTVEEDVDIIVFATGYTFSFSFLEDSLVKVEDNRVSLYKAMFPPH LEKPTLACIGLIQPLGSIFPTVELQARWATRVFKGLCSLPSETTMMADIVERNEKRVNLF GKSQSQILQTNYVDYLDELALEIGAKPDFVSLFFKDPKLAVKLYFGPCNSYQYRLVGPGQ WEGARNAILTQKQRILKPLKTRTLQSSDSAPVSFLLKILGLLAVVLAFFFQLQGF >ENSMUSP00000114226.1 pep:known chromosome:GRCm38:1:162887568:162898484:-1 gene:ENSMUSG00000040170.13 transcript:ENSMUST00000143123.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo2 description:flavin containing monooxygenase 2 [Source:MGI Symbol;Acc:MGI:1916776] MAKKVVVIGAGVSGLISLKCCVDEGLEPTCFERTEDIGGLWRFKENVEDGRASIYRSVIT NTSKEMSCFSDFPMPEDFPNFLHNSKLLEYFRIFAKKFDLLKYIQFQTTVISVKKRPDFA SSGQWEVYTQSNGKEQRTVFDAVMVCSGHHIQPHLPLKSFP >ENSMUSP00000121692.1 pep:known chromosome:GRCm38:X:160693571:160719936:-1 gene:ENSMUSG00000062168.12 transcript:ENSMUST00000136888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppef1 description:protein phosphatase with EF hand calcium-binding domain 1 [Source:MGI Symbol;Acc:MGI:1097157] MGCGTSSKKGNKSKKENTDIIMSSINLVTSASFITEHSRDLMIKAALVIQNWYRRY >ENSMUSP00000071191.5 pep:known chromosome:GRCm38:X:160623094:160719972:-1 gene:ENSMUSG00000062168.12 transcript:ENSMUST00000071204.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppef1 description:protein phosphatase with EF hand calcium-binding domain 1 [Source:MGI Symbol;Acc:MGI:1097157] MGCGTSSKKGNKSKKVIKAALVIQNWYRRYRARLRVRQHYALAIFQSIEYSDEQGQMQLS SFFSFMLENYTKTNNEDSALVTRIFDNTRRESQIKDRDDFLGLIEVPDSYDGPRLQFPLT FTDIHILLQAFKQQQILHAHYVLEVLFEARKVLKQMPNFSHVKTFPAKEITICGDLHGKL DDLMLIFYKNGLPSENNPYVFNGDFVDRGNNSMEILMILLVCFLVYPSDLHLNRGNHEDF MMNLRYGFTKEILQKYKLHGRKILQVLEEVYTWLPIGTIIDNEILVIHGGISESTDLNTL HQLQRNKMKSVLMPPVLGNQETGEKRNKSASNYVEPRKVEPDKTPSEDLTKQEWEQIVDI LWSDPRGKKGCYPNTSRGGGCYFGPDVTSKVLSKNQLKMLIRSHECKPDGYEVSHDGKVI TVFSASNYYEEGSNRGAYIRLSYGTMPQFFQYQVTSTSCLNPLHQRMNAMESSAFKILKE KMISRKTDLINAFELRDHSRSGRISLAEWAFSMENILGLNLPWRSLSSHLVTIDSSGSVD YMSSFDDIRIEKPTKDMKSNLTETMYRYRSDLKIIFNIIDSDQSGLISMDEFRTMWKLFN AHYKAHIDDSQIDELASIVDFNKDGNIDFNEFLKAFYVVHKYDKPGTSLA >ENSMUSP00000123408.1 pep:known chromosome:GRCm38:X:160641864:160735765:-1 gene:ENSMUSG00000062168.12 transcript:ENSMUST00000135856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppef1 description:protein phosphatase with EF hand calcium-binding domain 1 [Source:MGI Symbol;Acc:MGI:1097157] MLENYTKTNNEDSALVTRIFDNTRRESQIKDRDDFLGLIEVPDSYDGPRLQFPLTFTDIH ILLQAFKQQQILHAHYVLEVLFEARKVLKQMPNFSHVKTFPAKEITICGDLHGKLDDLML IFYKNGLPSENNPYVFNGDFVDRGNNSMEILMILLVCFLVYPSDLHLNRGNHEDFMMNLR YGFTKEILQKYKLHGRKILQVLEEVYTWLPIGTIIDNEILVIHGGISESTDLNTLHQ >ENSMUSP00000118056.1 pep:known chromosome:GRCm38:10:102158858:102388376:1 gene:ENSMUSG00000019888.15 transcript:ENSMUST00000138522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4c description:MGAT4 family, member C [Source:MGI Symbol;Acc:MGI:1914819] MLKFYQMKYIFQILDKMRCLRKRSTVSFLGVLVVFLLFMNLYIEDSYVLEGDKQLIRETS THQLNSERYVHTFKDLSNFSGTINVTYRYLAATPLQRKRYLTIGLSSVKRKKGNYLLDTI KSIFEQSSYEELKEISVVVHLADFNSSWRD >ENSMUSP00000116902.1 pep:known chromosome:GRCm38:10:102158889:102378227:1 gene:ENSMUSG00000019888.15 transcript:ENSMUST00000138016.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4c description:MGAT4 family, member C [Source:MGI Symbol;Acc:MGI:1914819] MLKFYQMKYIFQILDKMRCLRKR >ENSMUSP00000020039.6 pep:known chromosome:GRCm38:10:102159079:102391469:1 gene:ENSMUSG00000019888.15 transcript:ENSMUST00000020039.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4c description:MGAT4 family, member C [Source:MGI Symbol;Acc:MGI:1914819] MLKFYQMKYIFQILDKMRCLRKRSTVSFLGVLVVFLLFMNLYIEDSYVLEGDKQLIRETS THQLNSERYVHTFKDLSNFSGTINVTYRYLAATPLQRKRYLTIGLSSVKRKKGNYLLDTI KSIFEQSSYEELKEISVVVHLADFNSSWRDAMVQDITQKFAHHIIAGRLMVIHAPEEYYP VLDGLKRNYNDPEDRVRFRSKQNVDYAFLLNFCANTSDYYVMLEDDVRCSRNFLTAIKKV IASLEGTYWVTLEFSKLGYIGKLYHSHDLPRLAHFLLMFYQEMPCDWLLTHFRGLLAQKN VIRFKPSLFQHMGYYSSYKGTENKLKDDDFEEESFDIPDNPPASFYTNMNVFENYEASKA YSSVDEYFWGKSPSMGDTFVIVFENPITIKKIKVNTGTEDRQNDILQHGALDVGEKLIFS KQIRQCDTYLRLGEFKNGYFEMSDVNQKIPFDIHCMRICVTKTQKEWLIIRSISIWTS >ENSMUSP00000114010.1 pep:known chromosome:GRCm38:10:102374435:102390313:1 gene:ENSMUSG00000019888.15 transcript:ENSMUST00000120748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4c description:MGAT4 family, member C [Source:MGI Symbol;Acc:MGI:1914819] MLKFYQMKYIFQILDKMRCLRKRSTVSFLGVLVVFLLFMNLYIEDSYVLEGDKQLIRETS THQLNSERYVHTFKDLSNFSGTINVTYRYLAATPLQRKRYLTIGLSSVKRKKGNYLLDTI KSIFEQSSYEELKEISVVVHLADFNSSWRDAMVQDITQKFAHHIIAGRLMVIHAPEEYYP VLDGLKRNYNDPEDRVRFRSKQNVDYAFLLNFCANTSDYYVMLEDDVRCSRNFLTAIKKV IASLEGTYWVTLEFSKLGYIGKLYHSHDLPRLAHFLLMFYQEMPCDWLLTHFRGLLAQKN VIRFKPSLFQHMGYYSSYKGTENKLKDDDFEEESFDIPDNPPASFYTNMNVFENYEASKA YSSVDEYFWGKSPSMGDTFVIVFENPITIKKIKVNTGTEDRQNDILQHGALDVGEKLIFS KQIRQCDTYLRLGEFKNGYFEMSDVNQKIPFDIHCMRICVTKTQKEWLIIRSISIWTS >ENSMUSP00000116216.1 pep:known chromosome:GRCm38:10:101681746:102385088:1 gene:ENSMUSG00000019888.15 transcript:ENSMUST00000156751.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4c description:MGAT4 family, member C [Source:MGI Symbol;Acc:MGI:1914819] MLKFYQMKYIFQILDKMRCLRKRSTVSFLGVLVVFLLFMNLYIEDSYVLEGDKQLIRETS THQLNSERYVHTFKDLS >ENSMUSP00000117148.1 pep:known chromosome:GRCm38:10:101681641:102378300:1 gene:ENSMUSG00000019888.15 transcript:ENSMUST00000127504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4c description:MGAT4 family, member C [Source:MGI Symbol;Acc:MGI:1914819] MLKFYQMKYIFQILDKMRCLRKRSTVSFLGVLVVFLLFMNLYIEDSYV >ENSMUSP00000131551.1 pep:known chromosome:GRCm38:10:102158858:102391469:1 gene:ENSMUSG00000019888.15 transcript:ENSMUST00000163753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4c description:MGAT4 family, member C [Source:MGI Symbol;Acc:MGI:1914819] MLKFYQMKYIFQILDKMRCLRKRSTVSFLGVLVVFLLFMNLYIEDSYVLEGDKQLIRETS THQLNSERYVHTFKDLSNFSGTINVTYRYLAATPLQRKRYLTIGLSSVKRKKGNYLLDTI KSIFEQSSYEELKEISVVVHLADFNSSWRDAMVQDITQKFAHHIIAGRLMVIHAPEEYYP VLDGLKRNYNDPEDRVRFRSKQNVDYAFLLNFCANTSDYYVMLEDDVRCSRNFLTAIKKV IASLEGTYWVTLEFSKLGYIGKLYHSHDLPRLAHFLLMFYQEMPCDWLLTHFRGLLAQKN VIRFKPSLFQHMGYYSSYKGTENKLKDDDFEEESFDIPDNPPASFYTNMNVFENYEASKA YSSVDEYFWGKSPSMGDTFVIVFENPITIKKIKVNTGTEDRQNDILQHGALDVGEKLIFS KQIRQCDTYLRLGEFKNGYFEMSDVNQKIPFDIHCMRICVTKTQKEWLIIRSISIWTS >ENSMUSP00000135959.1 pep:known chromosome:GRCm38:10:101681487:102391466:1 gene:ENSMUSG00000019888.15 transcript:ENSMUST00000179929.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4c description:MGAT4 family, member C [Source:MGI Symbol;Acc:MGI:1914819] MLKFYQMKYIFQILDKMRCLRKRSTVSFLGVLVVFLLFMNLYIEDSYVLEGDKQLIRETS THQLNSERYVHTFKDLSNFSGTINVTYRYLAATPLQRKRYLTIGLSSVKRKKGNYLLDTI KSIFEQSSYEELKEISVVVHLADFNSSWRDAMVQDITQKFAHHIIAGRLMVIHAPEEYYP VLDGLKRNYNDPEDRVRFRSKQNVDYAFLLNFCANTSDYYVMLEDDVRCSRNFLTAIKKV IASLEGTYWVTLEFSKLGYIGKLYHSHDLPRLAHFLLMFYQEMPCDWLLTHFRGLLAQKN VIRFKPSLFQHMGYYSSYKGTENKLKDDDFEEESFDIPDNPPASFYTNMNVFENYEASKA YSSVDEYFWGKSPSMGDTFVIVFENPITIKKIKVNTGTEDRQNDILQHGALDVGEKLIFS KQIRQCDTYLRLGEFKNGYFEMSDVNQKIPFDIHCMRICVTKTQKEWLIIRSISIWTS >ENSMUSP00000065719.7 pep:known chromosome:GRCm38:4:105157347:105232764:1 gene:ENSMUSG00000028517.8 transcript:ENSMUST00000064139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp3 description:phospholipid phosphatase 3 [Source:MGI Symbol;Acc:MGI:1915166] MQSYKYDKAIVPESKNGGSPALNNNPRKGGSKRVLLICLDLFCLFMAALPFLIIETSTIK PYRRGFYCNDESIKYPLKVSETINDAVLCAVGIVIAILAIITGEFYRIYYLKEKSRSTTQ NPYVAALYKQVGCFLFGCAISQSFTDIAKVSIGRLRPHFLSVCDPDFSQINCSEGYIQNY RCRGEDSKVQEARKSFFSGHASFSMFTMLYLVLYLQARFTWRGARLLRPLLQFTLLMMAF YTGLSRVSDYKHHPSDVLAGFAQGALVACCIVFFVSDLFKTKTSLSLPAPAIRREILSPV DIIDRNNHHNMV >ENSMUSP00000075066.1 pep:known chromosome:GRCm38:2:88391249:88392220:1 gene:ENSMUSG00000056995.1 transcript:ENSMUST00000075640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1178 description:olfactory receptor 1178 [Source:MGI Symbol;Acc:MGI:3031012] MDYRTNITEFILLGLSQTKEIEVICFVLFLLCYIAILFGNLLIMISVTWSHLINQPMYFF LSYLALSDLCYTSTVTPKLIINLVTTKKSISYNGCMTQLFTMHFFGGIEVFILTGMAYDR YVAICKPLHYTILMSRQKCDAVIAASCAGGFLHSFGQFLLAVFLPYCGPNEIDHYFCDVY PLLKLACTDTRKIGFLVIANSGLMGLVTFVVLLISYGVILYTVRSYSAENRRKALSTCSS HITVVVLFFAPLLFIYIRPATTLPEDKVFALFYTIIAPMLNPLIYTLRNKEMKNAIKRLC YLMSGSEGNRLKGVTVFHHHTVS >ENSMUSP00000098992.3 pep:known chromosome:GRCm38:5:30281388:30366695:1 gene:ENSMUSG00000073102.7 transcript:ENSMUST00000101448.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drc1 description:dynein regulatory complex subunit 1 [Source:MGI Symbol;Acc:MGI:2685906] MNPSGTIGVLEQNGEEHLATPILGPSVHSDNPQERIQARRLRIAARQEARRREALGEYLD GKKESEEEQSKSYKQKEESRLKLTKLLLCGTELVTNIQVAADVREIHRRVEEEETKRQRL EKLENEVKTSQDKFDEITAKWEEGRRKRIPQELWEMLNSQQVHCAELIEDKNKLANELQQ ELKIKDDQYVKDLKKQSEDITLILERMEEQVKNVMKNFRQELIHIEKAFESERQELLSSN KKKWERALQAHNAKELEYLTNRMKKVEDYEKQLNKQRVWDCEEYNTIKIKLEQDVQILEQ QLQQMKATYQLNQEKLEYNFQVLKKRDEESTVIKSQQKRKLNRLHDVVNNLRTKYTKQIR QFQDDNQSLTSDYKRLVTQFKDLQKALRHFIIIDEEKFREIWLMNEAEAKELAQRAFDVD RIIHSQHLGLPWNMPDLWFLNNVGPISLQQQKSVTQILEELLLQTEDEATEAAMSEDEDY MDLPNQISAKTTTKVLMLLCDESGFLIESKLLSLLHPLEKSECYLLRLDAIFSALAIEDE DDLYKLVNFFLRYRAHRLSSAQASSSIHSNVERTSLMSALERLSLMSQTDKGSMVSKSDQ EPTEQEDEQEGDNASLSSRELEEQEDLSSPRFIHPNDVLKILEAFVTGLKKPKDAQPVLK LKKETRDNSKDTEYWESLAAVIPFFKQNLWDALYKALEKYYLVLTERAKLLMENESLEQQ NAEMQSLLQQYLQAKVNTELQIPPTQGFRMPSK >ENSMUSP00000097413.1 pep:known chromosome:GRCm38:2:88402009:88402932:-1 gene:ENSMUSG00000075127.1 transcript:ENSMUST00000099825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1179 description:olfactory receptor 1179 [Source:MGI Symbol;Acc:MGI:3031013] MESTNNITEFILLGLSQNKKIKALCFLMFLFCYIAILGGNMIILISITCSQLIEQPMYFF LNYLALSDLCYTSTVTPKFLTDLLVERNKISYTSCMAQLFTMHFFGGIEILILTVMAYDR YVAICKPLHYSIIMSRGRCHAMVTACCAGAFIHSFLQSLLAISLPFCGHNEMDHYFCDIY PLLTLSCTNTHRVGLLVVANSGMMGLVTFVVLMWSYYFILYTIRAYPAESRSKALSTCSS HVTVVIFFFVPVLFIYIRPATTYPEDKVFALFYTILAPMFNPLIYTLRNTEMKNALRKVW CHKLFFI >ENSMUSP00000030365.5 pep:known chromosome:GRCm38:4:107055874:107066868:-1 gene:ENSMUSG00000028622.11 transcript:ENSMUST00000030365.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl37 description:mitochondrial ribosomal protein L37 [Source:MGI Symbol;Acc:MGI:1926268] MALASGPALRALAGSGRLGLGGYGTPKRGAYEWGVRSTRKPEPRPLDRVYEIPGLEPITY EGKKHFVPWLARPIFPPWERGWNDPRFHRAAPIHEQTLYKEEPCYIFHQRCRLLEGMKQA LWLTKTKLIEGLPKKVLSLVDDPANHIENQEQRVLDIISHARLWHSTEDIPKRETYCPLI VDSLIQLCKSQILKHPSLARRTSAQNCTLATTWNRESLLLQVRGTSSTILSAKDPLPVIA SREEVEATRSHVLETFYPISPTIDLQECHVYEVKDDTGFQEGYPYPHPHTLYFLEKANLR PQRFLPEQLRAKMLLFAFANALAQARLLYGNTAKVLEQPIVVQSVGTDGRVFQFLVLQLN TTDLASSEGVKNLVWTDSDQLLYRHFWCRPVIKKKVVVEPVGPVDFQPETFRKFLALYLH GVV >ENSMUSP00000061994.2 pep:known chromosome:GRCm38:7:142491074:142506771:-1 gene:ENSMUSG00000043795.8 transcript:ENSMUST00000054910.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr33 description:proline rich 33 [Source:MGI Symbol;Acc:MGI:3642289] MALDGDKSLCTSSTQPLIPVAHIRPLPAGVQTVSPRPEEPSITRPPPSFQASVNRESGAR VVVPIAPTYRSPGPSPYSPPPAAPEAKHVEEPPTARPAMEPRNVSSLPGASGPSDLHPYP VPKVAPKPSRSGWTRLKKQLMEEAKEPAFPELKPNLEPMQPEVPAPVVGLQPPASRASRM WDAVLYRMSLAESHRDHPVGPGDRGHPLACLNRLPFLCRPRFNARKLQEVVRPPPTFHPI LELHSRPKNFNRTAEGWRLQ >ENSMUSP00000123155.1 pep:known chromosome:GRCm38:11:69395647:69396680:1 gene:ENSMUSG00000059278.9 transcript:ENSMUST00000144531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa38 description:N(alpha)-acetyltransferase 38, NatC auxiliary subunit [Source:MGI Symbol;Acc:MGI:1925554] MAGAGPTMLLREENGCCSRRQSSSSAGDSDGEQEDSPATRARQQLEALLNKTMRIRMTDG RTLVGCFLCTDRDCNVILGSAQEFLKPSDSFSAGEPRVLGLAMVPGHHIVSIEVQRESLS GGPYL >ENSMUSP00000083211.3 pep:known chromosome:GRCm38:5:129725063:129758327:1 gene:ENSMUSG00000029432.12 transcript:ENSMUST00000086046.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbas description:glioblastoma amplified sequence [Source:MGI Symbol;Acc:MGI:1278343] MAARVLLARGGLLRPAAQSGFLPGLRTVTSSSHRAREDSWLKSLFVRKVDPRKDAHSNLL AKKETSSLYKLQFHNVKPECLDAYNKICQEVLPKIHEGKQYPCTLVGTWNTWYGEQDQAV HLWRYEGGYPALTEVMNKLKENQEFVNFRKARSDMLLSRKNQLLLEFSFWNEPVPRPGPN IYELRSYQLRPGTMIEWGNYWARAIRFRQDSNEAVGGFFSQIGQLYMVHHLWAYKDLQTR EDIRNAAWHKHGWEELVYYTVPLIQEMESRIMIPLKTSPLQ >ENSMUSP00000117705.1 pep:known chromosome:GRCm38:5:129725099:129758250:1 gene:ENSMUSG00000029432.12 transcript:ENSMUST00000124342.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gbas description:glioblastoma amplified sequence [Source:MGI Symbol;Acc:MGI:1278343] MAARVLLARGGLLRPAAQSGFLPGLRTVTSSSHRAREDSWLKSLFVRKVDPRKDAHSNLL AKKETSSLYKLQFHNVKPECLDAYNKICQEVLPKIHEGKQYPCTLVGTWNTWYGEQDQAV HLWRYEGGYPALTEVMNKLKENQEFVNFRKARSDMLLSRKNQLLLEFSFWNEPVPRPGPN IYELRSYQLRPGTMIEWGNYWARAIRFRQDSNEAVGGFFSQIGQLYMVHHLWAYKDLQTR EDIRNAAWHKHGWEELVYYTVPLIQEMESRIMIPLKTSPLQ >ENSMUSP00000142916.1 pep:known chromosome:GRCm38:5:129725101:129757373:1 gene:ENSMUSG00000029432.12 transcript:ENSMUST00000195946.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gbas description:glioblastoma amplified sequence [Source:MGI Symbol;Acc:MGI:1278343] MAARVLLARGGLLRPAAQSGFLPGLRTVTSSSHRAREDSWLKSLFVRKVDPRKDAHSNLL AKKETSSLYKLQFHNVKPECLDAYNKICQEVLPKIHEGKQYPCTLVGTWNT >ENSMUSP00000141131.1 pep:known chromosome:GRCm38:5:129725099:129758250:1 gene:ENSMUSG00000029432.12 transcript:ENSMUST00000186265.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbas description:glioblastoma amplified sequence [Source:MGI Symbol;Acc:MGI:1278343] MAARVLLARGGLLRPAAQSGFLPGLRTVTSSSHRAREDSWLKSLFVRKVDPRKDAHSNLL AKKETSSLYKLQFHNVKPECLDAYNKICQEVLPKIHEGKQYPCTLVGTWNTWYGEQDQAV HLWRYEGGYPALTEVMNKLKENQEFVNFRKARSDMLLSRKNQLLLEFSFWNEPVPRPGPN IYELRSYQLRPGTMIEWGNYWARAIRFRQDSNEAVGGFFSQIGQLYMVHHLWAYKDLQTR EDIRNAAWHKHGWEELVYYTVPLIQEMESRIMIPLKTSPLQ >ENSMUSP00000127822.2 pep:known chromosome:GRCm38:14:41696393:41701885:-1 gene:ENSMUSG00000095518.1 transcript:ENSMUST00000167440.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8068 description:predicted gene 8068 [Source:MGI Symbol;Acc:MGI:3646354] XRSSWVPKQACCPCSSGYFGERIEYTQTLDQGRRRPAAHHGGKGQETTGRGEGSAGEASQ QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEEGNTETKILCKEGSQKIKDYYTKQQQV >ENSMUSP00000031787.7 pep:known chromosome:GRCm38:6:52313498:52318378:1 gene:ENSMUSG00000005503.8 transcript:ENSMUST00000031787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evx1 description:even-skipped homeobox 1 [Source:MGI Symbol;Acc:MGI:95461] MESRKDMVMFLDGGQLGTLVGKRVSNLSEAVSSPLPEPPEKMVPHGCLSPRAGPPTSRER GGGGQEEEPVDGLAGSAAGLGAEPRSAGAAMLGPGPPVPSADSLSGQGQPSSSDTESDFY EEIEVSCTPDCATGNAEYQHSKAPGSDALGSSPTSGSEAPKSNGGSGGSGSQGTLACSAS DQMRRYRTAFTREQIARLEKEFYRENYVSRPRRCELAAALNLPETTIKVWFQNRRMKDKR QRLAMTWPHPADPAFYTYMMSHAAAAGGLPYPFPSHLPLPYYSPVGLGAASAASAAASPF SGPLRPLDTFRVLSQPYPRPELLCAFRHPPLYPGPAHGLGASAAAAAAAGPCSCLACHSG PANGLAPRAAAAAAASDFTCASTSRSDSFLTFAPSVLSKASSVAALDQREEVPLTR >ENSMUSP00000119111.2 pep:known chromosome:GRCm38:6:52313552:52316644:1 gene:ENSMUSG00000005503.8 transcript:ENSMUST00000129243.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evx1 description:even-skipped homeobox 1 [Source:MGI Symbol;Acc:MGI:95461] MESRKDMVMFLDGGQLGTLVGKRVSNLSEAVSSPLPEPPEKMVPHGCLSPRAGPPTSRER GGGGQEEEPVDGLAGSAAGLGAEPRSAGAAMLGPGPPVPSADSLSGQGQPSSSDTESDFY EEIERQAPMLWVAVLPVAARPPRVTVAAAAAALKAPWPAAPVTRCADTARPLPGSRLQGW RKSSTGRTTFQDRGDANWQQP >ENSMUSP00000140689.1 pep:known chromosome:GRCm38:Y:38476061:38502238:-1 gene:ENSMUSG00000100892.1 transcript:ENSMUST00000185240.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20897 description:predicted gene, 20897 [Source:MGI Symbol;Acc:MGI:5434253] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000101588.1 pep:known chromosome:GRCm38:7:142460809:142494867:1 gene:ENSMUSG00000018819.10 transcript:ENSMUST00000105968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsp1 description:lymphocyte specific 1 [Source:MGI Symbol;Acc:MGI:96832] MAEAAIDPRCEEQEELHAEDSEGLTTQWREEDEEEAAREQRQRERERQLQDQDKDKEDDG GHSLEQPGQQTLISLKSSELDEDEGFGDWSQKPEPRQQFWGNEGTAEGTEPSQSERPEEK QTEESSHQAKVHLEESNLSYREPDPEDAVGGSGEAEEHLIRHQVRTPSPLALEDTVELSS PPLSPTTKLADRTESLNRSIKKSNSVKKSQPTLPISTIDERLQQYTQATESSGRTPKLSR QPSIELPSMAVASTKTLWETGEVQSQSASKTPSCQDIVAGDMSKKSLWEQKGGSKISSTI KSTPSGKRYKFVATGHGKYEKVLVDEGSAP >ENSMUSP00000018963.4 pep:known chromosome:GRCm38:7:142460846:142494867:1 gene:ENSMUSG00000018819.10 transcript:ENSMUST00000018963.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsp1 description:lymphocyte specific 1 [Source:MGI Symbol;Acc:MGI:96832] MAEAAIDPRCEEQEELHAEDSEGLTTQWREEDEEEAAREQRQRERERQLQDQDKDKEDDG GHSLEQPGQQTLISLKSSELDEDEGFGDWSQKPEPRQQFWGNEGTAEGTEPSQSERPEEK QTEESSHQAKVHLEESNLSYREPDPEDAVGGSGEAEEHLIRHQVRTPSPLALEDTVELSS PPLSPTTKLADRTESLNRSIKKSNSVKKSQPTLPISTIDERLQQYTQATESSGRTPKLSR QPSIELPSMAVASTKTLWETGEVQSQSASKTPSCQDIVAGDMSKKSLWEQKGGSKISSTI KSTPSGKRYKFVATGHGKYEKVLVDEGSAP >ENSMUSP00000101587.1 pep:known chromosome:GRCm38:7:142460864:142494603:1 gene:ENSMUSG00000018819.10 transcript:ENSMUST00000105967.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsp1 description:lymphocyte specific 1 [Source:MGI Symbol;Acc:MGI:96832] MAEAAIDPRCEEQEELHAEDSEGLTTQWREEDEEEAAREQRQRERERQLQDQDKDKEDDG GHSLEQPGQQTLISLKSSELDEDEGFGDWSQKPEPRQQFWGNEGTAEGTEPSQSERPEEK QTEESSHQAKVHLEESNLSYREPDPEDAVGGSGEAEEVRTPSPLALEDTVELSSPPLSPT TKLADRTESLNRSIKKSNSVKKSQPTLPISTIDERLQQYTQATESSGRTPKLSRQPSIEL PSMAVASTKTLWETGEVQSQSASKTPSCQDIVAGDMSKKSLWEQKGGSKISSTIKSTPSG KRYKFVATGHGKYEKVLVDEGSAP >ENSMUSP00000040637.2 pep:known chromosome:GRCm38:7:142471843:142494599:1 gene:ENSMUSG00000018819.10 transcript:ENSMUST00000038946.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsp1 description:lymphocyte specific 1 [Source:MGI Symbol;Acc:MGI:96832] MNGPALLRRNASKRGLEKLLRLTTQWREEDEEEAAREQRQRERERQLQDQDKDKEDDGGH SLEQPGQQTLISLKSSELDEDEGFGDWSQKPEPRQQFWGNEGTAEGTEPSQSERPEEKQT EESSHQAKVHLEESNLSYREPDPEDAVGGSGEAEEHLIRHQVRTPSPLALEDTVELSSPP LSPTTKLADRTESLNRSIKKSNSVKKSQPTLPISTIDERLQQYTQATESSGRTPKLSRQP SIELPSMAVASTKTLWETGEVQSQSASKTPSCQDIVAGDMSKKSLWEQKGGSKISSTIKS TPSGKRYKFVATGHGKYEKVLVDEGSAP >ENSMUSP00000101586.1 pep:known chromosome:GRCm38:7:142472151:142494600:1 gene:ENSMUSG00000018819.10 transcript:ENSMUST00000105966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsp1 description:lymphocyte specific 1 [Source:MGI Symbol;Acc:MGI:96832] MNGPALLRRNASKRGLEKLLRLTTQWREEDEEEAAREQRQRERERQLQDQDKDKEDDGGH SLEQPGQQTLISLKSSELDEDEGFGDWSQKPEPRQQFWGNEGTAEGTEPSQSERPEEKQT EESSHQAKVHLEESNLSYREPDPEDAVGGSGEAEEVRTPSPLALEDTVELSSPPLSPTTK LADRTESLNRSIKKSNSVKKSQPTLPISTIDERLQQYTQATESSGRTPKLSRQPSIELPS MAVASTKTLWETGEVQSQSASKTPSCQDIVAGDMSKKSLWEQKGGSKISSTIKSTPSGKR YKFVATGHGKYEKVLVDEGSAP >ENSMUSP00000113828.1 pep:known chromosome:GRCm38:19:10001669:10035586:1 gene:ENSMUSG00000024663.17 transcript:ENSMUST00000121418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3il1 description:RAB3A interacting protein (rabin3)-like 1 [Source:MGI Symbol;Acc:MGI:1922010] MEIREKGSEFLKEELYKAQKELKLKDEECERLCKVRAQLEQELEELTASLFEEAHKMVRE ANMKQATSEKQLKEAWGKIDMLQAEVTALKTLVITSTPASPNRELHPQLLSPTKAGPRKG HSRQKSTSSSLCPVVCPTAGHIPTPDKEGKEVDTTLFAEFQAWRASPTLDKSCPFLERVY REDVGPCLDFTVQELSALVRTAVEDNTLTIEPVASQTLPAVKVPTVECNNTNTCALSGLA RTCHHRIRLGDSDSHYYISPSSRARITAVCNFFTYIRYIQQGLVRQDAEPMFWEIMRLRK GMSLAKLGFFPQEA >ENSMUSP00000120366.1 pep:known chromosome:GRCm38:19:10015016:10027576:1 gene:ENSMUSG00000024663.17 transcript:ENSMUST00000137637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3il1 description:RAB3A interacting protein (rabin3)-like 1 [Source:MGI Symbol;Acc:MGI:1922010] MEIREKGSEFLKEELYKAQKELKLKDEECERLCKVRAQLEQELEELTASLFEEAHKMV >ENSMUSP00000120401.1 pep:known chromosome:GRCm38:19:10015044:10027477:1 gene:ENSMUSG00000024663.17 transcript:ENSMUST00000149967.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3il1 description:RAB3A interacting protein (rabin3)-like 1 [Source:MGI Symbol;Acc:MGI:1922010] MEIREKGSEFLKEELYKAQKELKLKDEECERLCKVRAQLEQELEELTASLF >ENSMUSP00000108786.2 pep:known chromosome:GRCm38:19:10018193:10035456:1 gene:ENSMUSG00000024663.17 transcript:ENSMUST00000113161.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3il1 description:RAB3A interacting protein (rabin3)-like 1 [Source:MGI Symbol;Acc:MGI:1922010] MWSGQPQQDEGLPVGLSAIPVPWKNLGPSKSNRESSGGLVEASTSWEEAQGEEHPAPAPL DVSRLRSSSMEIREKGSEFLKEELYKAQKELKLKDEECERLCKVRAQLEQELEELTASLF EEAHKMVREANMKQATSEKQLKEAWGKIDMLQAEVTALKTLVITSTPASPNRELHPQLLS PTKAGPRKGHSRQKSTSSSLCPVVCPTAGHIPTPDKEGKEVDTTLFAEFQAWRASPTLDK SCPFLERVYREDVGPCLDFTVQELSALVRTAVEDNTLTIEPVASQTLPAVKVPTVECNNT NTCALSGLARTCHHRIRLGDSDSHYYISPSSRARITAVCNFFTYIRYIQQGLVRQDAEPM FWEIMRLRKGMSLAKLGFFPQEA >ENSMUSP00000113551.1 pep:known chromosome:GRCm38:19:10018194:10035455:1 gene:ENSMUSG00000024663.17 transcript:ENSMUST00000117641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3il1 description:RAB3A interacting protein (rabin3)-like 1 [Source:MGI Symbol;Acc:MGI:1922010] MWSGQPQQDEGLPVGLSAIPVPWKNLGPSKSNRESSGGLVEASTSWEEAQGEEHPAPAPL DVSRLRSSSMEIREKGSEFLKEELYKAQKELKLKDEECERLCKVRAQLEQELEELTASLF EEAHKMVREANMKQATSEKQLKEAWGKVDTTLFAEFQAWRASPTLDKSCPFLERVYREDV GPCLDFTVQELSALVRTAVEDNTLTIEPVASQTLPAVKVPTVECNNTNTCALSGLARTCH HRIRLGDSDSHYYISPSSRARITAVCNFFTYIRYIQQGLVRQDAEPMFWEIMRLRKGMSL AKLGFFPQEA >ENSMUSP00000121449.1 pep:known chromosome:GRCm38:19:10018194:10038380:1 gene:ENSMUSG00000024663.17 transcript:ENSMUST00000144788.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab3il1 description:RAB3A interacting protein (rabin3)-like 1 [Source:MGI Symbol;Acc:MGI:1922010] MWSGQPQQDEGLPVGLSAIPVPWKNLGPSKSNRESSGGLVEASTSWEEAQGEEHPAPAPL DVSRLRSSSMEIREKGSEFLKEELYKAQKELKLKDEECERLCKVRAQLEQELEELTASLF EEAHKMVREANMKQATSEKQLKEAWGKIDMLQAEVTALKTLVITSTPASPNRELHPQLLS PTKAGPRKGHSRQKSTSSSLCPVVCPTAGHIPTPDKEGKEVDTTLFAEFQAWRASPTLDK SCPFLERVYREDVGPCLDFTVQELSALVRTAVEDNTLTIEPVASQTLPAVKVPTVECNNT NTCALSGLARTCHHRIRLGDSDSHYYISPSSRARITAVCNFFTYIRYIQQGLVRQDAEPM FWEIMRLRKGMSLAKLGFFPQEA >ENSMUSP00000115976.1 pep:known chromosome:GRCm38:19:10018265:10028308:1 gene:ENSMUSG00000024663.17 transcript:ENSMUST00000131407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3il1 description:RAB3A interacting protein (rabin3)-like 1 [Source:MGI Symbol;Acc:MGI:1922010] MEIREKGSEFLKEELYKAQKELKLKDEECERLCKVRAQLEQELEELTASLFEEAHKMVRE ANMKQATSEKQLKEAWGKIDMLQAEV >ENSMUSP00000126952.1 pep:known chromosome:GRCm38:14:41901813:41907290:-1 gene:ENSMUSG00000095551.1 transcript:ENSMUST00000168107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7980 description:predicted gene 7980 [Source:MGI Symbol;Acc:MGI:3647449] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRLAAHRGGKGQETTGHGEGSAGEASP QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETIMLEMQHD QVMTDLKRMPQDISEALFKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKILCKEGSQKIKDHYTKQQQV >ENSMUSP00000061634.2 pep:known chromosome:GRCm38:11:76679808:76698664:1 gene:ENSMUSG00000046275.2 transcript:ENSMUST00000062024.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tusc5 description:tumor suppressor candidate 5 [Source:MGI Symbol;Acc:MGI:3029307] MANPAQPPLQDPGSTSPLELPEMEKLLTKVENKDDQALNLSKSLSGALDLEQNGHSLPFK VISEGHRQPSLSGSPSRVSSRRASSVITTSYAQDQEAPKDYLVLAIASCFCPVWPLNLIP LIFSIMSRSSVQQGDLDGARRLGRLARLLSITFIILGIVIIIVAVTVNFTVPK >ENSMUSP00000093089.3 pep:known chromosome:GRCm38:8:18932729:18950975:-1 gene:ENSMUSG00000039814.15 transcript:ENSMUST00000095438.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xkr5 description:X Kell blood group precursor-related family, member 5 [Source:MGI Symbol;Acc:MGI:2442327] MHAGLLGLSALLQAAEQSARLCSIVFYFATGRLLWGWLALSVLLPGFLVQALSFLWFRAD GHQGQWWLAVLHLLQLGVWKRHWDSVATALWKGKEAPSWGQLHLQEADLSALRLLEALLQ TGPHLLLQAYVFLASDFTDIVPGISALLSWSSLSWALVSYNRFLGIMKPGHHTMLWAALL CQQLWRMGMLGARVLSLVLFCRVYRVWVLVVGGAHWLVMTFWLVAQQSDIVESTCHWRLF NLLVGAVFILCYINFWDSPSRSRVASFYLVMLLENSILLLLATDFLQGVPGTSLWTVVGV LSGFLIGCASLVIYYSLLHPKSSDIQQSFMRKCCGPIEDNKPESEPPPRAVDPTGEMPDS SSWCQEESYELTSLDKAPSPEQNTAEVGLGEQRSGESSFFSHHHWLLLKLALKTGSVSRI NAALGGDSPGCSCPPLLGSSQHCDLQRKPLFSHQDLPSSPCDPLTLEKGSEYVGAPKAEM ESLETSSYLSFASELEDNATTQKPPATQEDSPKLAGSKADLAAQGKETEGPLQGKEGQES TTLYFSAAMDRTTSHQRGSPVVLRISHSETLVESRPGQPALPQAVTKPFPVTVANISPIP GRNFRPSAELPGRAPDSSECEEWKDAARDPSMQSSLPKMRLKAAEEPCFTSTPKSESIQR DYSCRDRVRQEMSFFI >ENSMUSP00000119436.1 pep:known chromosome:GRCm38:8:18932732:18950916:-1 gene:ENSMUSG00000039814.15 transcript:ENSMUST00000152974.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xkr5 description:X Kell blood group precursor-related family, member 5 [Source:MGI Symbol;Acc:MGI:2442327] MHDFLHPVRHPSMHGSSPYSKPGALSPFSLLSLVLCKELREEATLERQHLASAP >ENSMUSP00000121958.1 pep:known chromosome:GRCm38:8:18933088:18950937:-1 gene:ENSMUSG00000039814.15 transcript:ENSMUST00000143913.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xkr5 description:X Kell blood group precursor-related family, member 5 [Source:MGI Symbol;Acc:MGI:2442327] MHAGLLGLSALLQAAEQSARLCSIVFYFATGRLLWGWLALSVLLPGFLVQALSFLWFRAD GHQGQWWLAVLHLLQLGVWKRLHIYLEIHPERKGDYLRCLLLSMHLSVATFL >ENSMUSP00000061748.5 pep:known chromosome:GRCm38:8:18932729:18950973:-1 gene:ENSMUSG00000039814.15 transcript:ENSMUST00000055503.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xkr5 description:X Kell blood group precursor-related family, member 5 [Source:MGI Symbol;Acc:MGI:2442327] MKPGHHTMLWAALLCQQLWRMGMLGARVLSLVLFCRVYRVWVLVVGGAHWLVMTFWLVAQ QSDIVESTCHWRLFNLLVGAVFILCYINFWDSPSRSRVASFYLVMLLENSILLLLATDFL QGVPGTSLWTVVGVLSGFLIGCASLVIYYSLLHPKSSDIQQSFMRKCCGPIEDNKPESEP PPRAVDPTGEMPDSSSWCQEESYELTSLDKAPSPEQNTAEVGLGEQRSGESSFFSHHHWL LLKLALKTGSVSRINAALGGDSPGCSCPPLLGSSQHCDLQRKPLFSHQDLPSSPCDPLTL EKGSEYVGAPKAEMESLETSSYLSFASELEDNATTQKPPATQEDSPKLAGSKADLAAQGK ETEGPLQGKEGQESTTLYFSAAMDRTTSHQRGSPVVLRISHSETLVESRPGQPALPQAVT KPFPVTVANISPIPGRNFRPSAELPGRAPDSSECEEWKDAARDPSMQSSLPKMRLKAAEE PCFTSTPKSESIQRDYSCRDRVRQEMSFFI >ENSMUSP00000104300.1 pep:known chromosome:GRCm38:11:69393263:69395333:-1 gene:ENSMUSG00000044795.12 transcript:ENSMUST00000108660.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5d1 description:cytochrome b5 domain containing 1 [Source:MGI Symbol;Acc:MGI:2685586] MPRRGLVAGPDLDNFQRRYFTPSEVAEHNQLEDLWVSYLGFVYNLTPLVEEFKGDLLLKP ILEVAGQDISHWFDPQTRDIRKHIDPLTGCMRYRTPRGRFVHIPPPLPRSDWANDFGVPW WKGANYQVGRLSARTRNIRIINTLATQEHTLQLHMEIRWEEFEHGSNPGRKRDPG >ENSMUSP00000059709.4 pep:known chromosome:GRCm38:11:69393612:69395333:-1 gene:ENSMUSG00000044795.12 transcript:ENSMUST00000051620.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5d1 description:cytochrome b5 domain containing 1 [Source:MGI Symbol;Acc:MGI:2685586] MPRRGLVAGPDLDNFQRRYFTPSEVAEHNQLEDLWVSYLGFVYNLTPLVEEFKGDLLLKP ILEVAGQDISHWFDPQTRDIRKHIDPLTGCMRYRTPRGRFVHIPPPLPRSDWANDFGVPW WKGANYQVGRLSARTRNIRIINTLATQEHTLQVGAQESMWEILHRYLPYNAHAASYTWKY DGKNLNMDQTLEENGIRDEEEEFDYLNMDGKLHTPAILLYFNDDLTEL >ENSMUSP00000113998.1 pep:known chromosome:GRCm38:3:103739815:103791563:-1 gene:ENSMUSG00000008730.17 transcript:ENSMUST00000118317.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk1 description:homeodomain interacting protein kinase 1 [Source:MGI Symbol;Acc:MGI:1314873] MASQLQVFSPPSVSSSAFCSAKKLKIEPSGWDVSGQSSNDKYYTHSKTLPATQGQASSSH QVANFNLPAYDQGLLLPAPAVEHIVVTAADSSGSAATATFQSSQTLTHRSNVSLLEPYQK CGLKRKSEEVESNGSVQIIEEHPPLMLQNRTVVGAAATTTTVTTKSSSSSGEGDYQLVQH EILCSMTNSYEVLEFLGRGTFGQVAKCWKRSTKEIVAIKILKNHPSYARQGQIEVSILSR LSSENADEYNFVRSYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKYIRPILQQVA TALMKLKSLGLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKAVCSTYLQSRYYRA PEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLPAEYLLSAGT KTTRFFNRDPNLGYPLWRLKTPEEHELETGIKSKEARKYIFNCLDDMAQVNMSTDLEGTD MLAEKADRREYIDLLKKMLTIDADKRITPLKTLNHQFVTMSHLLDFPHSSHVKSCFQNME ICKRRVHMYDTVSQIKSPFTTHVAPNTSTNLTMSFSNQLNTVHNQASVLASSSTAAAATL SLANSDVSLLNYQSALYPSSAAPVPGVAQQGVSLQPGTTQICTQTDPFQQTFIVCPPAFQ TGLQATTKHSGFPVRMDNAVPIVPQAPAAQPLQIQSGVLTQGSCTPLMVATLHPQVATIT PQYAVPFTLSCAAGRPALVEQTAAVLQAWPGGTQQILLPSAWQQLPGVALHNSVQPAAVI PEAMGSSQQLADWRNAHSHGNQYSTIMQQPSLLTNHVTLATAQPLNVGVAHVVRQQQSSS LPSKKNKQSAPVSSKSSLEVLPSQVYSLVGSSPLRTTSSYNSLVPVQDQHQPIIIPDTPS PPVSVITIRSDTDEEEDNKYKPNSSSLKARSNVISYVTVNDSPDSDSSLSSPHPTDTLSA LRGNSGTLLEGPGRPAADGIGTRTIIVPPLKTQLGDCTVATQASGLLSSKTKPVASVSGQ SSGCCITPTGYRAQRGGASAVQPLNLSQNQQSSSASTSQERSSNPAPRRQQAFVAPLSQA PYAFQHGSPLHSTGHPHLAPAPAHLPSQPHLYTYAAPTSAAALGSTSSIAHLFSPQGSSR HAAAYTTHPSTLVHQVPVSVGPSLLTSASVAPAQYQHQFATQSYIGSSRGSTIYTGYPLS PTKISQYSYL >ENSMUSP00000102458.2 pep:known chromosome:GRCm38:3:103743562:103791075:-1 gene:ENSMUSG00000008730.17 transcript:ENSMUST00000106845.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk1 description:homeodomain interacting protein kinase 1 [Source:MGI Symbol;Acc:MGI:1314873] MASQLQVFSPPSVSSSAFCSAKKLKIEPSGWDVSGQSSNDKYYTHSKTLPATQGQASSSH QVANFNLPAYDQGLLLPAPAVEHIVVTAADSSGSAATATFQSSQTLTHRSNVSLLEPYQK CGLKRKSEEVESNGSVQIIEEHPPLMLQNRTVVGAAATTTTVTTKSSSSSGEGDYQLVQH EILCSMTNSYEVLEFLGRGTFGQVAKCWKRSTKEIVAIKILKNHPSYARQGQIEVSILSR LSSENADEYNFVRSYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKYIRPILQQVA TALMKLKSLGLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKAVCSTYLQSRYYRA PEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLPAEYLLSAGT KTTRFFNRDPNLGYPLWRLKTPEEHELETGIKSKEARKYIFNCLDDMAQVNMSTDLEGTD MLAEKADRREYIDLLKKMLTIDADKRITPLKTLNHQFVTMSHLLDFPHSSHVKSCFQNME ICKRRVHMYDTVSQIKSPFTTHVAPNTSTNLTMSFSNQLNTVHNQASVLASSSTAAAATL SLANSDVSLLNYQSALYPSSAAPVPGVAQQGVSLQPGTTQICTQTDPFQQTFIVCPPAFQ TGLQATTKHSGFPVRMDNAVPIVPQAPAAQPLQIQSGVLTQQAWPGGTQQILLPSAWQQL PGVALHNSVQPAAVIPEAMGSSQQLADWRNAHSHGNQYSTIMQQPSLLTNHVTLATAQPL NVGVAHVVRQQQSSSLPSKKNKQSAPVSSKSSLEVLPSQVYSLVGSSPLRTTSSYNSLVP VQDQHQPIIIPDTPSPPVSVITIRSDTDEEEDNKYKPNSSSLKARSNVISYVTVNDSPDS DSSLSSPHPTDTLSALRGNSGTLLEGPGRPAADGIGTRTIIVPPLKTQLGDCTVATQASG LLSSKTKPVASVSGQSSGCCITPTGYRAQRGGASAVQPLNLSQNQQSSSASTSQERSSNP APRRQQAFVAPLSQAPYAFQHGSPLHSTGHPHLAPAPAHLPSQPHLYTYAAPTSAAALGS TSSIAHLFSPQGSSRHAAAYTTHPSTLVHQVPVSVGPSLLTSASVAPAQYQHQFATQSYI GSSRGSTIYTGYPLSPTKISQYSYL >ENSMUSP00000029438.8 pep:known chromosome:GRCm38:3:103743660:103791256:-1 gene:ENSMUSG00000008730.17 transcript:ENSMUST00000029438.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk1 description:homeodomain interacting protein kinase 1 [Source:MGI Symbol;Acc:MGI:1314873] MASQLQVFSPPSVSSSAFCSAKKLKIEPSGWDVSGQSSNDKYYTHSKTLPATQGQASSSH QVANFNLPAYDQGLLLPAPAVEHIVVTAADSSGSAATATFQSSQTLTHRSNVSLLEPYQK CGLKRKSEEVESNGSVQIIEEHPPLMLQNRTVVGAAATTTTVTTKSSSSSGEGDYQLVQH EILCSMTNSYEVLEFLGRGTFGQVAKCWKRSTKEIVAIKILKNHPSYARQGQIEVSILSR LSSENADEYNFVRSYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKYIRPILQQVA TALMKLKSLGLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKAVCSTYLQSRYYRA PEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLPAEYLLSAGT KTTRFFNRDPNLGYPLWRLKTPEEHELETGIKSKEARKYIFNCLDDMAQVNMSTDLEGTD MLAEKADRREYIDLLKKMLTIDADKRITPLKTLNHQFVTMSHLLDFPHSSHVKSCFQNME ICKRRVHMYDTVSQIKSPFTTHVAPNTSTNLTMSFSNQLNTVHNQASVLASSSTAAAATL SLANSDVSLLNYQSALYPSSAAPVPGVAQQGVSLQPGTTQICTQTDPFQQTFIVCPPAFQ TGLQATTKHSGFPVRMDNAVPIVPQAPAAQPLQIQSGVLTQGSCTPLMVATLHPQVATIT PQYAVPFTLSCAAGRPALVEQTAAVLQAWPGGTQQILLPSAWQQLPGVALHNSVQPAAVI PEAMGSSQQLADWRNAHSHGNQYSTIMQQPSLLTNHVTLATAQPLNVGVAHVVRQQQSSS LPSKKNKQSAPVSSKSSLEVLPSQVYSLVGSSPLRTTSSYNSLVPVQDQHQPIIIPDTPS PPVSVITIRSDTDEEEDNKYKPNSSSLKARSNVISYVTVNDSPDSDSSLSSPHPTDTLSA LRGNSGTLLEGPGRPAADGIGTRTIIVPPLKTQLGDCTVATQASGLLSSKTKPVASVSGQ SSGCCITPTGYRAQRGGASAVQPLNLSQNQQSSSASTSQERSSNPAPRRQQAFVAPLSQA PYAFQHGSPLHSTGHPHLAPAPAHLPSQPHLYTYAAPTSAAALGSTSSIAHLFSPQGSSR HAAAYTTHPSTLVHQVPVSVGPSLLTSASVAPAQYQHQFATQSYIGSSRGSTIYTGYPLS PTKISQYSYL >ENSMUSP00000120396.1 pep:known chromosome:GRCm38:3:103745156:103791561:-1 gene:ENSMUSG00000008730.17 transcript:ENSMUST00000137078.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hipk1 description:homeodomain interacting protein kinase 1 [Source:MGI Symbol;Acc:MGI:1314873] MASQLQVFSPPSVSSSAFCSAKKLKIEPSGWDVSGQSSNDKYYTHSKTLPATQGQASSSH QVANFNLPAYDQGLLLPAPAVEHIVVTAADSSGSAATATFQSSQTLTHRSNVSLLEPYQK CGLKRKSEEVESNGSVQIIEEHPPLMLQNRTVVGAAATTTTVTTKSSSSSGEGDYQLVQH EILCSMTNSYEVLEFLGRGTFGQVAKCWKRSTKEIVAIKILKNHPSYARQGQIEVSILSR LSSENADEYNFVRSYECFQHKNHTCLVFEMLEQNLYDFLKQNKFSPLPLKYIRPILQQVA TALMKLKSLGLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKAVCSTYLQSRYYRA PEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGASEYDQIRYISQTQGLPAEYLLSAGT KTTRFFNRDPNLGYPLWRLKTPEEHELETGIKSKEARKYIFNCLDDMAQVNMSTDLEGTD MLAEKADRREYIDLLKKMLTIDADKRITPLKTLNHQFVTMSHLLDFPHSSHVKSCFQNME ICKRRVHMYDTVSQIKSPFTTHVAPNTSTNLTMSFSNQLNTVHNQASVLASSSTAAAATL SLANSDVSLLNYQSALYPSSAAPVPGVAQQGVSLQPGTTQICTQTDPFQQTFIVCPPAFQ KSEQAEGNEGLGETESSPGGQESGSGVSQGETTGGAQEQIHNPWTTSNNKAFWIPCEDG >ENSMUSP00000055528.5 pep:known chromosome:GRCm38:11:69396519:69398234:-1 gene:ENSMUSG00000045377.5 transcript:ENSMUST00000050140.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem88 description:transmembrane protein 88 [Source:MGI Symbol;Acc:MGI:1914270] MAEVPGAQRPVLAGGPEPRDPLDCWACAVLVTAQNLLVAVFNLLLLALVLGTILLPAVIM LGFGFLCHSQFLRSQAPLCTSHLRDPGFTALLVTGFLLLVPLLVLALATYRRLCLRLRLA DCLVPYSRALYRRRRIPQPKQIPVSPGSRSVPTPGKVWV >ENSMUSP00000134362.2 pep:known chromosome:GRCm38:7:23952387:23953356:1 gene:ENSMUSG00000092473.3 transcript:ENSMUST00000173816.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r180 description:vomeronasal 1 receptor 180 [Source:MGI Symbol;Acc:MGI:3033485] MSAHEKFLKNTEEVALQILLLCQFGVGTVANVFLFVHNFYPVLTGSQQRPRQVILSHMAV ANILILFITTFQNNMMAFASKNPPTDLKCKLEFFIRLVARSTNLCSTCVLSIHQFVTLVP VNRGKLILRASVPNLASYSCYSCWFFSVLNNIYIPIKLTGPQITDNNNDSKSKLFCSTSG FSVGIVFLRFAHDATFMSIMVWTSVSMVLLLRRHQQQMQHILTPNQDARGQAETRATHTI LMLVVTFVSFHLLNFICTIFHMFFVDSYLFVRHCSEVFVAGFPTISPLLLIFRDPKNPCS MLFNC >ENSMUSP00000075356.2 pep:known chromosome:GRCm38:4:59956804:59960710:-1 gene:ENSMUSG00000041333.6 transcript:ENSMUST00000075973.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup4 description:major urinary protein 4 [Source:MGI Symbol;Acc:MGI:97236] MKLLLCLGLTLVCIHAEEATSKGQNLNVEKINGEWFSILLASDKREKIEEHGSMRVFVEH IHVLENSLAFKFHTVIDGECSEIFLVADKTEKAGEYSVMYDGFNTFTILKTDYDNYIMFH LINEKDGKTFQLMELYGRKADLNSDIKEKFVKLCEEHGIIKENIIDLTKTNRCLKARE >ENSMUSP00000112953.1 pep:known chromosome:GRCm38:12:65036333:65063386:1 gene:ENSMUSG00000035597.18 transcript:ENSMUST00000120580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf39 description:PRP39 pre-mRNA processing factor 39 homolog (yeast) [Source:MGI Symbol;Acc:MGI:104602] MQNSHMEEYRNSDNGSTGNSSEVAVVEHPDFSTEIMNVTEMEQSPDASPSAHASTEENEM ANAVNLPVTEAEGDFPPEFEKFWKTVEMNPQDFTGWVYLLQYVEQENHLMAARKAFDKFF VHYPYCYGYWKKYADLEKRHDNIKQSDEVYRRGLQAIPLSVDLWIHYINFLKETLDPGDQ ETNTTIRGTFEHAVLAAGTDFRSDKLWEMYINWENEQGNLREVTAVYDRILGIPTQLYSH HFQRFKEHVQNNLPRDLLTGEQFIQLRRELASVNGHSGDDGPPGDDLPSGIEDITDPAKL ITEIENMRHRIIEIHQEMFNYNEHEVSKRWTFEEGIKRPYFHVKPLEKAQLKNWKEYLEF EIENGTHERVVVLFERCVISCALYEEFWIKYAKYMENHSIEGVRHVFSRACTVHLPKKPM AHMLWAAFEEQQGNINEARIILRTFEECVLGLAMVRLRRVSLERRHGNMEEAEHLLQDAI KNAKSNNESSFYAIKLARHLFKIQKNLPKSRKVLLEAIEKDKENTKLYLNLLEMEYSCDL KQNEENILNCFDKAIHGSLPIKMRITFSQRKVEFLEDFGSDVNKLLNAYDEHQTLLKEQD TLKRKAENGSEEPEEKKAHTEDLSSAQIIDGDLQANQAAYNYSAWYQYNYQNPWNYGQYY PPPPT >ENSMUSP00000114713.1 pep:known chromosome:GRCm38:12:65036366:65063386:1 gene:ENSMUSG00000035597.18 transcript:ENSMUST00000129956.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prpf39 description:PRP39 pre-mRNA processing factor 39 homolog (yeast) [Source:MGI Symbol;Acc:MGI:104602] MQNSHMEEYRNSDNGSTGNSSEVAVVEHPDFSTEIMNVTEMEQSPDASPSAHASTEENEM ANAVNLPVTEAEGDFPPEFEKFWKTVEMNPQDFTGWVYLLQYVEQENHLMAARKAFDKFF VHYPYCYGYWKKYADLEKRHDNIKQSDEVR >ENSMUSP00000045121.6 pep:known chromosome:GRCm38:3:117574836:117689507:1 gene:ENSMUSG00000033342.13 transcript:ENSMUST00000039564.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr5 description:phospholipid phosphatase related 5 [Source:MGI Symbol;Acc:MGI:1923019] MPLLPVALISSMLYFQMVIMAGTVMLAYYFEYTDTFTVNVQGFFCHDSAYRKPYPGPEDS SAVPPVLLYSLAAGVPVLVIIVGETAVFCLQLATRDFENQEKTILTGDCCYINPLVRRTV RFLGIYAFGLFATDIFVNAGQVVTGNLAPHFLALCKPNYTALGCQQYTQFISGEEACTGN PDLIMRARKTFPSKEAALSVYAATYLTMYITSTIKAKGTRLAKPVLCLGLMCLAFLTGLN RVAEYRNHWSDVIAGFLVGISIAVFLVVCVVNNFKGRQPENGHIHRDNVARMPMTNIPRV ESPLEKNHVTAFAEVT >ENSMUSP00000102081.2 pep:known chromosome:GRCm38:3:117575227:117689507:1 gene:ENSMUSG00000033342.13 transcript:ENSMUST00000106473.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr5 description:phospholipid phosphatase related 5 [Source:MGI Symbol;Acc:MGI:1923019] MPLLPVALISSMLYFQMVIMAGTVMLAYYFEYTDTFTVNVQGFFCHDSAYRKPYPGPEDS SAVPPVLLYSLAAGVPVLVIIVGETAVFCLQLATRDFENQEKTILTGDCCYINPLVRRTV RFLGIYAFGLFATDIFVNAGQVVTGNLAPHFLALCKPNYTALGCQQYTQFISGEEACTGN PDLIMRARKTFPSKEAALSVYAATYLTMYITSTIKAKGTRLAKPVLCLGLMCLAFLTGLN RVAEYRNHWSDVIAGFLVGISIAVFLVVCVVNNFKGRQPENGHIHRDNVARMPMTNIPRV ESPLEKVTSLQNHVTAFAEVT >ENSMUSP00000098852.2 pep:known chromosome:GRCm38:X:107255878:107296172:1 gene:ENSMUSG00000073008.11 transcript:ENSMUST00000101294.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr174 description:G protein-coupled receptor 174 [Source:MGI Symbol;Acc:MGI:2685222] MTDNFTCNKTDGDNTDFRYFIYAVTYTVILVPGLIGNILALWVFYGYMKETKRAVVFMIN LAIADLLQILSLPLRIFYYLNHDWPFGPGLCMFCFYLKYVNMYASIYFLVCISVRRFWFL MYPFRFNDCKQKYDLYISIIGWLIICLACLLFPLLRTNDDTPGNRTKCFVDLPIRNVNLA QSVAMITIGEVVGFVTPLMIVLYCTWKTALSLQNKYPISQHLGEKKKALKMILTCAGVFL VCFVPYHFSFPLDFLVKSNEIKSCFARRVILIFHSVALCLASLNSCLDPVIYYFTTNEFR RRLSRQDLPDNIQLHTKSYKIASNHATSTVAAELC >ENSMUSP00000113032.1 pep:known chromosome:GRCm38:X:107255971:107296767:1 gene:ENSMUSG00000073008.11 transcript:ENSMUST00000118820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr174 description:G protein-coupled receptor 174 [Source:MGI Symbol;Acc:MGI:2685222] MTDNFTCNKTDGDNTDFRYFIYAVTYTVILVPGLIGNILALWVFYGYMKETKRAVVFMIN LAIADLLQILSLPLRIFYYLNHDWPFGPGLCMFCFYLKYVNMYASIYFLVCISVRRFWFL MYPFRFNDCKQKYDLYISIIGWLIICLACLLFPLLRTNDDTPGNRTKCFVDLPIRNVNLA QSVAMITIGEVVGFVTPLMIVLYCTWKTALSLQNKYPISQHLGEKKKALKMILTCAGVFL VCFVPYHFSFPLDFLVKSNEIKSCFARRVILIFHSVALCLASLNSCLDPVIYYFTTNEFR RRLSRQDLPDNIQLHTKSYKIASNHATSTVAAELC >ENSMUSP00000112974.1 pep:known chromosome:GRCm38:X:107256028:107295307:1 gene:ENSMUSG00000073008.11 transcript:ENSMUST00000120971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr174 description:G protein-coupled receptor 174 [Source:MGI Symbol;Acc:MGI:2685222] MTDNFTCNKTDGDNTDFRYFIYAVTYTVILVPGLIGNILALWVFYGYMKETKRAVVFMIN LAIADLLQILSLPLRIFYYLNHDWPFGPGLCMFCFYLKYVNMYASIYFLVCISVRRFWFL MYPFRFNDCKQKYDLYISIIGWLIICLACLLFPLLRTNDDTPGNRTKCFVDLPIRNVNLA QSVAMITIGEVVGFVTPLMIVLYCTWKTALSLQNKYPISQHLGEKKKALKMILTCAGVFL VCFVPYHFSFPLDFLVKSNEIKSCFARRVILIFHSVALCLASLNSCLDPVIYYFTTNEFR RRLSRQDLPDNIQLHTKSYKIASNHATSTVAAELC >ENSMUSP00000112808.1 pep:known chromosome:GRCm38:X:107256378:107295319:1 gene:ENSMUSG00000073008.11 transcript:ENSMUST00000117310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr174 description:G protein-coupled receptor 174 [Source:MGI Symbol;Acc:MGI:2685222] MTDNFTCNKTDGDNTDFRYFIYAVTYTVILVPGLIGNILALWVFYGYMKETKRAVVFMIN LAIADLLQILSLPLRIFYYLNHDWPFGPGLCMFCFYLKYVNMYASIYFLVCISVRRFWFL MYPFRFNDCKQKYDLYISIIGWLIICLACLLFPLLRTNDDTPGNRTKCFVDLPIRNVNLA QSVAMITIGEVVGFVTPLMIVLYCTWKTALSLQNKYPISQHLGEKKKALKMILTCAGVFL VCFVPYHFSFPLDFLVKSNEIKSCFARRVILIFHSVALCLASLNSCLDPVIYYFTTNEFR RRLSRQDLPDNIQLHTKSYKIASNHATSTVAAELC >ENSMUSP00000137372.1 pep:known chromosome:GRCm38:X:107284346:107296769:1 gene:ENSMUSG00000073008.11 transcript:ENSMUST00000178838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr174 description:G protein-coupled receptor 174 [Source:MGI Symbol;Acc:MGI:2685222] MTDNFTCNKTDGDNTDFRYFIYAVTYTVILVPGLIGNILALWVFYGYMKETKRAVVFMIN LAIADLLQILSLPLRIFYYLNHDWPFGPGLCMFCFYLKYVNMYASIYFLVCISVRRFWFL MYPFRFNDCKQKYDLYISIIGWLIICLACLLFPLLRTNDDTPGNRTKCFVDLPIRNVNLA QSVAMITIGEVVGFVTPLMIVLYCTWKTALSLQNKYPISQHLGEKKKALKMILTCAGVFL VCFVPYHFSFPLDFLVKSNEIKSCFARRVILIFHSVALCLASLNSCLDPVIYYFTTNEFR RRLSRQDLPDNIQLHTKSYKIASNHATSTVAAELC >ENSMUSP00000031795.7 pep:known chromosome:GRCm38:6:56832059:56879358:1 gene:ENSMUSG00000029781.7 transcript:ENSMUST00000031795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp9 description:FK506 binding protein 9 [Source:MGI Symbol;Acc:MGI:1350921] MALGARGWRRRSLLLLLLWVTGQAAPVLGLAVSSELQIQQSFVPDECPRTVHSGDFVRYH YVGTFLDGQKFDSSYDRDSTFNVFVGKGQLIAGMDQALVGMCVNERRLVTIPPNLAYGSE GVSGVIPPNSVLHFDVLLVDIWNSEDQVHIQTYFKPPSCPRTIQVSDFVRYHYNGTFLDG TLFDSSHNRMKTYDTYVGIGWLIPGMDKGLLGMCVGEKRIITVPPFLAYGEEGDGKDIPG QASLVFDVALLDLHNPKDTISIENKVVPENCERRSQSGDFLRYHYNGTLLDGTLFDSSYS RNHTFDTYIGQGYVIPGMDEGLLGVCIGERRRIVVPPHLGYGEKGRGSIPGSAVLVFDIH VIDFHNPSDSISITSHYKPPDCSVLSKKGDYLKYHYNASLLDGTLLDSTWNLGKTYNIVL GSGQVVLGMDMGLREMCVGEKRTVIIPPHLGYGEAGVDGEVPGSAVLVFDIELLELVSGL PEGYMFIWNGEVSPNLFEEIDRDGNGEVLLEEFSEYIHAQVATGKGKLAPGFNAEMIVKN MFTNQDRNGDGKVTAEEFKLKDQEAKHDEL >ENSMUSP00000105094.2 pep:known chromosome:GRCm38:2:160645888:160722764:1 gene:ENSMUSG00000070544.6 transcript:ENSMUST00000109468.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top1 description:topoisomerase (DNA) I [Source:MGI Symbol;Acc:MGI:98788] MSGDHLHNDSQIEADFRLNDSHKHKDKHKDREHRHKEHKKDKDKDREKSKHSNSEHKDSE KKHKEKEKTKHKDGSSEKHKDKHKDRDKERRKEEKIRAAGDAKIKKEKENGFSSPPRIKD EPEDDGYFAPPKEDIKPLKRLRDEDDADYKPKKIKTEDIKKEKKRKSEEEEDGKLKKPKN KDKDKKVAEPDNKKKKPKKEEEQKWKWWEEERYPEGIKWKFLEHKGPVFAPPYEPLPESV KFYYDGKVMKLSPKAEEVATFFAKMLDHEYTTKEIFRKNFFKDWRKEMTNDEKNTITNLS KCDFTQMSQYFKAQSEARKQMSKEEKLKIKEENEKLLKEYGFCVMDNHRERIANFKIEPP GLFRGRGNHPKMGMLKRRIMPEDIIINCSKDAKVPSPPPGHKWKEVRHDNKVTWLVSWTE NIQGSIKYIMLNPSSRIKGEKDWQKYETARRLKKCVDKIRNQYREDWKSKEMKVRQRAVA LYFIDKLALRAGNEKEEGETADTVGCCSLRVEHINLHPELDGQEYVVEFDFPGKDSIRYY NKVPVEKRVFKNLQLFMENKQPEDDLFDRLNTGILNKHLQDLMEGLTAKVFRTYNASITL QQQLKELTAPDENVPAKILSYNRANRAVAILCNHQRAPPKTFEKSMMNLQSKIDAKKDQL ADARRDLKSAKADAKVMKDAKTKKVVESKKKAVQRLEEQLMKLEVQATDREENKQIALGT SKLNYLDPRITVAWCKKWGVPIEKIYNKTQREKFAWAIDMTDEDYEF >ENSMUSP00000043540.6 pep:known chromosome:GRCm38:3:89245966:89249906:1 gene:ENSMUSG00000042747.12 transcript:ENSMUST00000040888.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtcap2 description:keratinocyte associated protein 2 [Source:MGI Symbol;Acc:MGI:1913309] MVVGTGTSLALSSLLSLLLFAGMQIYSRQLASTEWLTIQGGLLGSGLFVFSLTAFNNLEN LVFGKGFQAKIFPEILLCLLLALFASGLIHRVCVTTCFIFSMVGLYYINKISSTLYQATA PVLTPAKITGKGKKRN >ENSMUSP00000130372.2 pep:known chromosome:GRCm38:3:89246434:89249709:1 gene:ENSMUSG00000042747.12 transcript:ENSMUST00000168900.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtcap2 description:keratinocyte associated protein 2 [Source:MGI Symbol;Acc:MGI:1913309] MQIYSRQLASTEWLTIQGGLLGSGLFVFSLTAFNNLENLVFGKGFQAKIFPEILLCLLLA LFASGLIHRVCVTTCFIFSMVGLYYINKISSTLYQATAPVLTPAKITGKGKKRN >ENSMUSP00000107494.2 pep:known chromosome:GRCm38:14:44330442:44338584:-1 gene:ENSMUSG00000079267.9 transcript:ENSMUST00000111863.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5930 description:predicted gene 5930 [Source:MGI Symbol;Acc:MGI:3643645] MFSRLLRLFHRENGDQGETRPRQKESGILSCKKRRMKSFWGRHMSAGRTSSQNCNITNHM KNMNKLDYMKFYIRKINAEREELFRILDIDMNTDLNYRMNTEFTIIKSQHEKTMLDMEKM KQSISDSFDKSKEFIEDNDSYSIRHTHVLKECNQLKEKVRMLLNENRKLLVEQADQEASF GEEKRFCDETSKNIHPKCKAAAGVKYSSRNWNMEETRTSSPLKRASIVGSLHVRSKYFIS SPVLMAIICCNFFLYFFFLAMNSP >ENSMUSP00000136583.1 pep:known chromosome:GRCm38:14:44335603:44338455:-1 gene:ENSMUSG00000079267.9 transcript:ENSMUST00000178002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5930 description:predicted gene 5930 [Source:MGI Symbol;Acc:MGI:3643645] MKSFWGRHMSAGRTSSQNCNITNHMKNMNKLDYMKFYIRKINAEREELFRILDIDMNTDL NYRMNTEFTIIKSQHEKTMLDMEKMKQSISDSFDKSKEFIEDNDSYSIRHTHVLKECNQL KEKVRMLLNENRKLLVEQADQEASFGEEKRFCDETSKNIHPKC >ENSMUSP00000116534.1 pep:known chromosome:GRCm38:10:42583838:42700492:1 gene:ENSMUSG00000038280.12 transcript:ENSMUST00000144806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ostm1 description:osteopetrosis associated transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:2655574] MQIVLMVSEFFNSTWQEANCANCLTNNGEDLSNNTEDFLSLFNKTLACFEHNLQGHTYSL LPPKNYSEVCRNCKEAYKNLSLLYSQMQKLNGLENKAEPETHLCIDVEDAMNITRKLWSR TFNCSVTCSDTVSVVAVSVFILFLPVVFYLSSFLHSEQKKRKLILPKRL >ENSMUSP00000101136.1 pep:known chromosome:GRCm38:10:42583835:42698323:1 gene:ENSMUSG00000038280.12 transcript:ENSMUST00000105497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ostm1 description:osteopetrosis associated transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:2655574] MQIVLMVSEFFNSTWQEANCANCLTNNGEDLSNNTEDFLSLFNKTLACFEHNLQGHTYSL LPPKNYSEVCRNCKEAYKNLSLLYSQMQKLNGLENKAEPETHLCIDVEDAMNITRKLWSR TFNCSVTCSDTVSVVAVSVFILFLPVVFYLSSFLHSEQKKRKLILRKFPSVVIHPFSRAP T >ENSMUSP00000035516.5 pep:known chromosome:GRCm38:10:42678916:42702459:1 gene:ENSMUSG00000038280.12 transcript:ENSMUST00000040718.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ostm1 description:osteopetrosis associated transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:2655574] MARDAELARSSGWPWRWLPALLLLQLLRWRCALCALPFTSSRHPGFADLLSEQQLLEVQD LTLSLLQGGGLGPLSLLPPDLPDLEPECRELLMDFANSSAELTACMVRSARPVRLCQTCY PLFQQVAIKMDNISRNIGNTSEGPRCGGSLLTADRMQIVLMVSEFFNSTWQEANCANCLT NNGEDLSNNTEDFLSLFNKTLACFEHNLQGHTYSLLPPKNYSEVCRNCKEAYKNLSLLYS QMQKLNGLENKAEPETHLCIDVEDAMNITRKLWSRTFNCSVTCSDTVSVVAVSVFILFLP VVFYLSSFLHSEQKKRKLILPKRLKSSTSFANIQENAT >ENSMUSP00000032717.6 pep:known chromosome:GRCm38:7:49631499:49636849:-1 gene:ENSMUSG00000030507.6 transcript:ENSMUST00000032717.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbx1 description:developing brain homeobox 1 [Source:MGI Symbol;Acc:MGI:94867] MMFPGLLAPPAGYPSLLRPTPTLTLPQSLQSAFSGHSSFLVEDLIRISRPPTYLSRSIPA ASLSPPSQEAPAALADSGTSDLGSPGSGSRRGSSPQTALSPASEPTFLKFGVNAILSSAP RRETSPALLQSPPPKTFAFPYFEGSFQPFIRSSYFPASSSVVPIPGTFSWPLAARGKPRR GMLRRAVFSDVQRKALEKTFQKQKYISKPDRKKLASKLGLKDSQVKIWFQNRRMKWRNSK ERELLSSGGCREQTLPTKLNPHPDLSDVGQKGPGDEEEDNPGARLAYHAPADPRHLLEGP LPASPAHSSSPGKPSDFSDSDEDEEGEEDEEITVS >ENSMUSP00000006828.7 pep:known chromosome:GRCm38:7:30434982:30445535:-1 gene:ENSMUSG00000006651.8 transcript:ENSMUST00000006828.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aplp1 description:amyloid beta (A4) precursor-like protein 1 [Source:MGI Symbol;Acc:MGI:88046] MGPTSPAARGQGRRWRPPPLPLLLPLSLLLLRAQLAVGNLAVGSPSAAEAPGSAQVAGLC GRLTLHRDLRTGRWEPDPQRSRRCLLDPQRVLEYCRQMYPELHIARVEQAAQAIPMERWC GGTRSGRCAHPHHEVVPFHCLPGEFVSEALLVPEGCRFLHQERMDQCESSTRRHQEAQEA CSSQGLILHGSGMLLPCGSDRFRGVEYVCCPPPATPNPSGMAAGDPSTRSWPLGGRAEGG EDEEEVESFPQPVDDYFVEPPQAEEEEEEEEERAPPPSSHTPVMVSRVTPTPRPTDGVDV YFGMPGEIGEHEGFLRAKMDLEERRMRQINEVMREWAMADSQSKNLPKADRQALNEHFQS ILQTLEEQVSGERQRLVETHATRVIALINDQRRAALEGFLAALQGDPPQAERVLMALRRY LRAEQKEQRHTLRHYQHVAAVDPEKAQQMRFQVQTHLQVIEERMNQSLGLLDQNPHLAQE LRPQIQELLLAEHLGPSELDASVPGSSSEDKGSLQPPESKDDPPVTLPKGSTDQESSSSG REKLTPLEQYEQKVNASAPRGFPFHSSDIQRDELAPSGTGVSREALSGLLIMGAGGGSLI VLSLLLLRKKKPYGTISHGVVEVDPMLTLEEQQLRELQRHGYENPTYRFLEERP >ENSMUSP00000014174.7 pep:known chromosome:GRCm38:4:44524757:44710487:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000014174.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLA ERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYS ISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRV FERQHYSDIFTTTEPIKPEQTTEYSAMASLAGGLDDMKANLTSPTPADIGSSVPGPQSYP IVTGRDLASTTLPGYPPHVPPAGQGSYSAPTLTGMVPGSEFSGSPYSHPQYSSYNDSWRF PNPGLLGSPYYYSPAARGAAPPAAATAYDRH >ENSMUSP00000134370.1 pep:known chromosome:GRCm38:4:44531145:44710418:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000143235.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLA ERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYS ISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRV FERQHYSDIFTTTEPIKPEQAETWRAQPSRGTLHTSPPLDRAATLHRR >ENSMUSP00000103455.2 pep:known chromosome:GRCm38:4:44531145:44710418:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000107825.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLA ERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYS ISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRV FERQHYSDIFTTTEPIKPEQTTEYSAMASLAGGLDDMKANLTSPTPADIGSSVPGPQSYP IVTGSEFSGSPYSHPQYSSYNDSWRFPNPGLLGSPYYYSPAARGAAPPAAATAYDRH >ENSMUSP00000099996.3 pep:known chromosome:GRCm38:4:44531145:44710418:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000102932.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLA ERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYS ISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRV FERQHYSDIFTTTEPIKPEQTTEYSAMASLAGGLDDMKANLTSPTPADIGSSVPGPQSYP IVTGRDLASTTLPGYPPHVPPAGQGSYSAPTLTGMVPGSPYYYSPAARGAAPPAAATAYD RH >ENSMUSP00000103458.2 pep:known chromosome:GRCm38:4:44531145:44710418:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000107827.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLA ERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYS ISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRV FERQHYSDIFTTTEPIKPEQGVNFLEVPTATLSILPTMILGGSPTQGCLAPHTITALQPE ERPHRPQPPPMTVTDPQSHGCQALTTHIIAGGSLPTPETDRGAQRLVSHPRPTLP >ENSMUSP00000103457.2 pep:known chromosome:GRCm38:4:44531145:44710418:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000107826.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLA ERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYS ISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRV FERQHYSDIFTTTEPIKPEQAPHTITALQPEERPHRPQPPPMTVTDPQSHGCQALTTHII AGGSLPTPETDRGAQRLVSHPRPTLP >ENSMUSP00000133540.1 pep:known chromosome:GRCm38:4:44531497:44710408:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000134968.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLA ERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIGIQESPVPNGHSLPGRDFLRKQ MRGDLFTQQQLEVLDRVFERQHYSDIFTTTEPIKPEQTTEYSAMASLAGGLDDMKANLTS PTPADIGSSVPGPQSYPIVTGRDLASTTLPGYPPHVPPAGQGSYSAPTLTGMVPGSPYYY SPAARGAAPPAAATAYDRH >ENSMUSP00000134712.1 pep:known chromosome:GRCm38:4:44531497:44710408:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000173821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLA ERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYS ISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRV FERQHYSDIFTTTEPIKPEQTTEYSAMASLAGGLDDMKANLTSPTPADIGSSVPGPQSYP IVTGSPYYYSPAARGAAPPAAATAYDRH >ENSMUSP00000133978.1 pep:known chromosome:GRCm38:4:44531497:44710408:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000174319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] XGFREKLPDSSDHQDRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIR DRLLAERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSA GSSYSISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLE VLDRVFERQHYSDIFTTTEPIKPEQTTEYSAMASLAGGLDDMKANLTSPTPADIGSSVPG PQSYPIVTGSPYYYSPAARGAAPPAAATAYDRH >ENSMUSP00000133671.1 pep:known chromosome:GRCm38:4:44531497:44710408:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000173733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYSISGILG ITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRVFERQHY SDIFTTTEPIKPEQTTEYSAMASLAGGLDDMKANLTSPTPADIGSSVPGPQSYPIVTGSE FSGSPYSHPQYSSYNDSWRFPNPGLLGSPYYYSPAARGAAPPAAATAYDRH >ENSMUSP00000134119.1 pep:known chromosome:GRCm38:4:44531497:44710408:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000172866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] XGFREKLPDSSDHQDRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIR DRLLAERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSA GSSYSISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLE VLDRVFERQHYSDIFTTTEPIKPEQTTEYSAMASLAGGLDDMKANLTSPTPADIGSSVPG PQSYPIVTGRDLASTTLPGYPPHVPPAGQGSYSAPTLTGMVPGSEFSGSPYSHPQYSSYN DSWRFPNPGLLGSPYYYSPAARGAAPPAAATAYDRH >ENSMUSP00000134391.1 pep:known chromosome:GRCm38:4:44531497:44710408:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000174242.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLA ERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYS ISGILGITSPSADTNKRKRDEGIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRV FERQHYSDIFTTTEPIKPEQWCPVLMRQYLVQPQAVLFQAETWRAQPSRGTLHTSPPLDR AATLHRR >ENSMUSP00000128880.2 pep:known chromosome:GRCm38:4:44531497:44710408:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000165417.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MDLEKNYPTPRTIRTGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLA ERVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIGIQESPVPNGHSLPGRDFLRKQ MRGDLFTQQQLEVLDRVFERQHYSDIFTTTEPIKPEQTTEYSAMASLAGGLDDMKANLTS PTPADIGSSVPGPQSYPIVTGRDLASTTLPGYPPHVPPAGQGSYSAPTLTGMVPGSEFSG SPYSHPQYSSYNDSWRFPNPGLLGSPYYYSPAARGAAPPAAATAYDRH >ENSMUSP00000141303.1 pep:known chromosome:GRCm38:4:44531504:44645723:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000194075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] XIQESPVPNGHSLPGRDFLRKQMRGDLFTQQQLEVLDRVFERQHYSDIFTTTEPIKPEQW CPVLMRQYLVQPQAVLFQTTEYSAMASLAGGLDDMKANLTSPTPADIGSSVPGPQSYPIV TGRDLASTTLPGYPPHVPPAGQGSYSAPTLTGMVPGSEFSGSPYSHPQYSSYNDSWRFPN PGLLGSPYYYSPAARGAAPPAAATAYDRH >ENSMUSP00000139296.1 pep:known chromosome:GRCm38:4:44679440:44704006:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000146335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MEIHCKHDPFASMHRHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRVS HGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVEKIAEYKRQNPTMFAWEIRDRLLAE RVCDNDTVPSVSSINRIIRTKVQQPPNQPVPASSHSIVSTGSVTQVSSVSTDSAGSSYSI SGILGITSPSADTNKRKRDE >ENSMUSP00000141186.1 pep:known chromosome:GRCm38:4:44679454:44703413:-1 gene:ENSMUSG00000014030.15 transcript:ENSMUST00000186542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax5 description:paired box 5 [Source:MGI Symbol;Acc:MGI:97489] MEIHCKHDPFASMHRHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRVS HGCVSKILGSVYRLRDAGVIGEHRLRGLLILHQWHPGHHVPQCRHQQT >ENSMUSP00000023958.3 pep:known chromosome:GRCm38:6:124841089:124857752:-1 gene:ENSMUSG00000023191.9 transcript:ENSMUST00000023958.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P3h3 description:prolyl 3-hydroxylase 3 [Source:MGI Symbol;Acc:MGI:1315208] MLRLLRLLLLLLLPPPGSPEPPEPPGLAQLSPGSPPQAPDLLYADGLRAYSAGAWAPAVA LLREALRSRAALGRARQECGASCAAEPGAALPSQLLGAPHPVSGPGVWEPLLLRATLRRA ECLTQCAVRRLGPGGAARLRVGSALRDAFRRREPYNYLQRAYYQLKKLDLAASAAHTFFV ANPTHLQMREDMAKYRRMSAIRPQSFRDLVTPLYWAAYDTGLELLEQREAALALPQLEEA LQGSLAHMESCRAACEGPEEHQGAEEEGEGSQGGLYEAIAGHWIRVLQCRQHCVADTATR PGRSFPVQDFLLSQLRRLHEAYAQVGNMSQAMENVLSVLLFYPEDEAAKKALNQYQTQLG EPRPDLGPREDIQRFILRSLGEKRQLYYAMEHLGTSFKDPDSWTPEALIPKALRERLRED QEKKPWDHQPPQQKPLAHWKDALLMEGVTLTQDAQQLNGSERAVLDGLLTSAECGVLLQL AKDAAQAGARSGYRGRRSPHSPHERFEGLTVLKAAQLARAGTVGRPGAKLLLEVSERVRT LTQAYFSPERPLHLSFTHLVCRSAIEGEQEQRMDLSHPVHADNCVLDPDTGECWREPPAY TYRDYSGLLYLNDDFKGGDLFFTQPNALTVTAQVRPRCGRLVAFSSGGENPHGVWAVTRG RRCALALWHTWAPEHSEQEWTEAKELLQEEEEEEEEEDILSRDPSPEPPSHKLQRVQEKA GKPRRVRVREEL >ENSMUSP00000116338.1 pep:known chromosome:GRCm38:6:124841206:124857729:-1 gene:ENSMUSG00000023191.9 transcript:ENSMUST00000135127.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:P3h3 description:prolyl 3-hydroxylase 3 [Source:MGI Symbol;Acc:MGI:1315208] MLRLLRLLLLLLLPPPGSPEPPEPPGLAQLSPGSPPQAPDLLYADGLRAYSAGAWAPAVA LLREALRSRAALGRARQECGASCAAEPGAALPSQLLGAPHPVSGPGVWEPLLLRATLRRA ECLTQCAVRRLGPGGAARLRVGSALRDAFRRREPYNYLQRAYYQLKKLDLAASAAHTFFV ANPTHLQMREDMAKYRRMSAIRPQSFRDLVTPLYWAAYDTGLELLEQREAALALPQLEEA LQGSLAHMESCRAACEGPEEHQGAEEEGEGSQGGLYEAIAGHWIRVLQCRQHCVADTATR PGRSFPVQDFLLSQLRRLHEAYAQVQWGTCLRPWKMS >ENSMUSP00000026866.8 pep:known chromosome:GRCm38:3:41626720:41742514:-1 gene:ENSMUSG00000059834.12 transcript:ENSMUST00000026866.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sclt1 description:sodium channel and clathrin linker 1 [Source:MGI Symbol;Acc:MGI:1914411] MATEIDLLRDQKDKLNDILRQHQIEHIFRDPTMQNSMSKGGRGDTLVDSINEQSSLPPLI AEYEKHLEELNRQLTYYQKHMGEMKLQLETVITENERLHSKLKDAVEKQLEALPFGTGIG NDICADDETVRILQEQLQLANQEKTWALELWQTASQELQSVQKLYQEHMTEAQIHEFENR KQKDQLNNFQQLTKKLHVANENIEMTNHHFLKTVTEQNMEIEKLRKHLRQARLDLRVAVS KVEELTKVTEGLQEQMLKKEEDIMSAQGKEEASDRRVQQLQSSIKQLESRLCVAIEEADV LKTGKSNLEKQIKELQAKCSESENEKYEAISRARDSMQLLEEANIKQNQILLEEKQKEVD REKMKKTMSQLIQDAAIKARKEVESTKKQYEILISQLKEELSTLQMDCDEKQGQIDRAIR GKRAVEEELEKIYREGKQDESDYRKLEEMHQRCLAAERSKDDLQLRLKSAENRIKQLEIN SSEEMSRSHEMIQKLQTVLESERENCGFVSEQRLKLQQENEQLQKETEDLRKVALEAQKK AKLKVSTMEHQFSIKEHGFEVQLREMEDSNRNSIVELRHLLAAQQKTANRWKEETKKLTE SAEMRISSLKSELSRQKLHTQELLSQLEMANEKVAENEKLILEHQEKANRLQRRLSQAEE RAASASQQLSVITVQRRKAASMMNLENI >ENSMUSP00000117608.1 pep:known chromosome:GRCm38:3:41626942:41742028:-1 gene:ENSMUSG00000059834.12 transcript:ENSMUST00000146125.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sclt1 description:sodium channel and clathrin linker 1 [Source:MGI Symbol;Acc:MGI:1914411] MATEIDLLRDQKDKLNDILRQHQIEHIFRDPTMQHVQRRQR >ENSMUSP00000123392.1 pep:known chromosome:GRCm38:3:41626942:41742481:-1 gene:ENSMUSG00000059834.12 transcript:ENSMUST00000148769.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sclt1 description:sodium channel and clathrin linker 1 [Source:MGI Symbol;Acc:MGI:1914411] MATEIDLLRDQKDKLNDILRQHQIEHIFRDPTMQNSMSKGGRGDTLVDSINEQSSLPPLI AEYEKHLEELNRQLTYYQKHMGEMKLQLETVITENERLHSKLKDAVEKQLEALPFGTGIG NDICADDETVRILQEQLQLANQEKTWALELWQTASQELQSVQKLYQEHMTEAQIHEFENR KQKDQLNNFQQLTKKLHVANENIEMTNHHFLKTVTEQNMEIEKLRKHLRQARLDLRVAVS KVEELTKVTEGLQEQMLKKEEDIMSAQGKEEASDRRVQQLQSSIKQLESRLCVAIEEADV LKTGKSNLEKQIKELQAKCSESENEKYEAISRARDSMQLLEEANIKQNQL >ENSMUSP00000120108.1 pep:known chromosome:GRCm38:3:41657226:41664003:-1 gene:ENSMUSG00000059834.12 transcript:ENSMUST00000154773.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sclt1 description:sodium channel and clathrin linker 1 [Source:MGI Symbol;Acc:MGI:1914411] XIDRAIRGKRAVEEELEKSLHDTLTHQLR >ENSMUSP00000077819.2 pep:known chromosome:GRCm38:2:36734323:36735261:1 gene:ENSMUSG00000057351.3 transcript:ENSMUST00000078761.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr347 description:olfactory receptor 347 [Source:MGI Symbol;Acc:MGI:3030181] MTEGNESIVSEFILLGLPIQPEDQDLYSALFLAMYLTTVLGNLLIILLIRLDSHLHTPMY FFLSHLAFTDISFSSVTAPKMLMNMLTHSQSISYAGCVFQVYFFLFFADLDNFLLTSMAY DRYVAICHPLHYTTMMSQNLCVLLVVVSWTLSTANALVHTLLLARLTHFRDNTISHYFCD LSTLLKLSSSDTTTNKLVILLLGNVIITLPFICILVSYGLIAVTILKIPSMKGICKALST CGSHLCVVSLYYGAIIGLYFVPSSNNTNVQDAIVAVMYNVVTPMLNPFIYSLRNQDMKGA LRNILSRRLCLQ >ENSMUSP00000097412.2 pep:known chromosome:GRCm38:2:88411727:88412656:-1 gene:ENSMUSG00000075126.3 transcript:ENSMUST00000099824.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1180 description:olfactory receptor 1180 [Source:MGI Symbol;Acc:MGI:3031014] MGNVTVFILLGLSDNQNIEVLCFVLFLFCYIAIWMGNVLIMVSITCTQLMDQPMYFFLHY LSLCDLCYTSTVTPKLLTDLLAERKIISYNNCMTQLFVLHFLGAIEIFILTAMAYDRYVA ICRPLHYTVIMSRQRCNEILAACCTGGFVHSASQSLLIACLSFCHHNEIDHYFCDVYPLL KLACTDTHRIGLFVIVDSGLIALVTFVVLMVSYFLIAYTISVYPAESRSKALSTCSSHIT IVVLFFVPVFFIYIRPNITFPEDKVFALFYTIIAPMFNPLIYTLRNLEMKRAIKKMWYHQ IPSYKKQIP >ENSMUSP00000022706.6 pep:known chromosome:GRCm38:14:73552766:73595783:1 gene:ENSMUSG00000022110.13 transcript:ENSMUST00000022706.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sucla2 description:succinate-Coenzyme A ligase, ADP-forming, beta subunit [Source:MGI Symbol;Acc:MGI:1306775] MAASMFYGRQLAAAALRSHRPQTTLRAAAQVLGNSGLFNKHGLQVQQQQQRTLSLHEYLS MELLQEAGVSVPKGFVAKSSDEAYAIAKKLGSKDVVIKAQVLAGGRGKGTFTSGLKGGVK IVFSPEEAKAVSSQMIGQKLITKQTGEKGRICNQVLVCERKYPRREYYFAITMERSFQGP VLIGSAQGGVNIEDVAAENPEAIVKEPIDIVEGIKKEQAVTLAQKMGFPSNIVDSAAENM IKLYNLFLKYDATMVEINPMVEDSDGKVLCMDAKINFDSNSAYRQKKIFDLQDWSQEDER DKEAANADINYIGLDGSIGCLVNGAGLAMATMDIIKLHGGTPANFLDVGGGATVQQVTEA FKLITSDKKVQAILVNIFGGIMRCDVIAQGIVMAVKDLEIRIPVVVRLQGTRVDDAKALI ADSGLKILACDDLDEAAKMVVKLSEIVTLAKEAHVDVKFQLPI >ENSMUSP00000123898.1 pep:known chromosome:GRCm38:14:73525319:73560543:1 gene:ENSMUSG00000022110.13 transcript:ENSMUST00000162691.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sucla2 description:succinate-Coenzyme A ligase, ADP-forming, beta subunit [Source:MGI Symbol;Acc:MGI:1306775] MELL >ENSMUSP00000123765.1 pep:known chromosome:GRCm38:14:73552665:73596142:1 gene:ENSMUSG00000022110.13 transcript:ENSMUST00000160507.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sucla2 description:succinate-Coenzyme A ligase, ADP-forming, beta subunit [Source:MGI Symbol;Acc:MGI:1306775] MAASMFYGRQLAAAALRSHRPQTTLRAAAQVLGNSGLFNKHGLQVQQQQQRTLSLHEYLS MELLQEAGVSVPKGFVAKSSDEAYAIAKKLGSKDVVIKAQVLAGGRGKGTFTSGLKGGVK IVFSPEEAKAVSSQMIGQKLITKQTGEKGRICNQVLVCERKYPRREYYFAITMERSFQGP VLIGSAQGGVNIEDVAAENPEAIVKEPIDIVEGIKKEQAVTLAQKMGFPSNIVDSAAENM IKLYNLFLKYDATMVEINPMVEDSDGKVLCMDAKINFDSNSAYRQKKIFDLQDWSQEDER DKEAANADINYIGLDGSIGCLVNGAGLAMATMDIIKLHGGTPANFLDVGGGATVQQVTEA FKLITSDKKVQAILVNIFGGIMRCDVIAQGIVMAVKDLEIRIPVVVRLQGTRVDDAKALI ADSGLKILACDDLDEAAKMVVKLSEIVTLAKEAHVDVKFQLPI >ENSMUSP00000097411.1 pep:known chromosome:GRCm38:2:88423088:88424023:-1 gene:ENSMUSG00000075125.1 transcript:ENSMUST00000099823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1181 description:olfactory receptor 1181 [Source:MGI Symbol;Acc:MGI:3031015] MECKRNVSEFLLMGLSSKQNTEVFCFIFFLFCYFTILSWNLLILFSIRCSSLFNQPMYYF LSHLASMDICYTSCVTPKLIGDLLAERKTISYTNCMLQVFAMHFFGMIEILILTVMAFDR CVAICKPLYYMVIMSRNRCHVFIWASWVGGVAHSFPQVMMLVCLPFCGPNEIDHYYCDVF PLLKVACTDTYIIGVLMVANSGMVAFVIFVLLFGSYVVILFTLRNYSAEGRRKALSTCGS HISVVILFFGPSIFVYLRPPTTFPEDKIFALFYTIIAPMFNPLIYTLRNTEMKSAIKKVW CQVTFLGEKHN >ENSMUSP00000033598.8 pep:known chromosome:GRCm38:X:109095365:109162471:1 gene:ENSMUSG00000031246.14 transcript:ENSMUST00000033598.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgrl description:SH3-binding domain glutamic acid-rich protein like [Source:MGI Symbol;Acc:MGI:1930849] MVIRVYIASSSGSTAIKKKQQDVLCFLEANKIGFEEKDIAANEENRKWMRENVPEDSRPS TGYPLPPQIFNECQYRGDYDAFFEARENNAVYAFLGLTAPPGSKEAEAQANQQA >ENSMUSP00000103088.1 pep:known chromosome:GRCm38:3:89234177:89245013:-1 gene:ENSMUSG00000042766.17 transcript:ENSMUST00000107464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim46 description:tripartite motif-containing 46 [Source:MGI Symbol;Acc:MGI:2673000] MKNMEKELLCPVCQEMYKQPLVLPCTHNVCQACAREVLGQQGYIGHGGDPSSEPTSPAST PSTRSPRLSRRTLPKPDRLDRLLKSGFGTYPGRKRGALHPQTILFPCPACQGDVELGERG LSGLFRNLTLERVVERYRQSVSVGGAILCQLCKPPPLEATKGCTECRATFCNECFKLFHP WGTQKAQHEPTLPTLSFRPKGLMCPDHKEEVTHYCKTCQRLVCQLCRVRRTHSGHKITPV LSAYQALKDKLTKSLAYILGNQDTVQTQICELEETIRHTEVSGQQAKEEVSQLVRGLGAV LEEKRASLLQAIEECQQERLSRLSAQIHEHQSLLDGSGLVGYAQEVLKETDQPCFVQAAK QLHNRIARATEALQTFRPAASSSFRHCQLDVGREMKLLTELSFLRVPEAPVIDTQRTFAY DQIFLCWRLPPHSPPAWHYTVEFRRTDVPAQPGPTRWQRREEVRGTSALLENPDTGSVYV LRVRGCNKAGYGEYSEDVHLHTPPAPVLHFFLDGRWGASRERLAISKDQRAVRSIPGLPL LLAAERLLTGCHLSVDVVLGDVAVTQGRSYWACAVDPASYLVKVGVGLESKLQESFQGAP DVISPRYDPDSGHDSGAEDAAVEALPPFAFLTIGMGKILLGSGASSNAGLTGRDGPTASC TVPLPPRLGICLDYERGRVSFLDAVSFRGLLECPLDCSGPVCPAFCFIGGGAVQLQEPVG TKPERKVTIGGFAKLD >ENSMUSP00000088442.6 pep:known chromosome:GRCm38:3:89234177:89245199:-1 gene:ENSMUSG00000042766.17 transcript:ENSMUST00000090924.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim46 description:tripartite motif-containing 46 [Source:MGI Symbol;Acc:MGI:2673000] MAAKRGTKTSMKNMEKELLCPVCQEMYKQPLVLPCTHNVCQACAREVLGQQGYIGHGGDP SSEPTSPASTPSTRSPRLSRRTLPKPDRLDRLLKSGFGTYPGRKRGALHPQTILFPCPAC QGDVELGERGLSGLFRNLTLERVVERYRQSVSVGGAILCQLCKPPPLEATKGCTECRATF CNECFKLFHPWGTQKAQHEPTLPTLSFRPKGLMCPDHKEEVTHYCKTCQRLVCQLCRVRR THSGHKITPVLSAYQALKDKLTKSLAYILGNQDTVQTQICELEETIRHTEVSGQQAKEEV SQLVRGLGAVLEEKRASLLQAIEECQQERLSRLSAQIHEHQSLLDGSGLVGYAQEVLKET DQPCFVQAAKQLHNRIARATEALQTFRPAASSSFRHCQLDVGREMKLLTELSFLRVPEAP VIDTQRTFAYDQIFLCWRLPPHSPPAWHYTVEFRRTDVPAQPGPTRWQRREEVRGTSALL ENPDTGSVYVLRVRGCNKAGYGEYSEDVHLHTPPAPDTTRTVGTTAVPRTLPWRRYHPLL S >ENSMUSP00000036053.8 pep:known chromosome:GRCm38:3:89234177:89245897:-1 gene:ENSMUSG00000042766.17 transcript:ENSMUST00000041022.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim46 description:tripartite motif-containing 46 [Source:MGI Symbol;Acc:MGI:2673000] MAEGEDMQTFTSIMDALVRISTSMKNMEKELLCPVCQEMYKQPLVLPCTHNVCQACAREV LGQQGYIGHGGDPSSEPTSPASTPSTRSPRLSRRTLPKPDRLDRLLKSGFGTYPGRKRGA LHPQTILFPCPACQGDVELGERGLSGLFRNLTLERVVERYRQSVSVGGAILCQLCKPPPL EATKGCTECRATFCNECFKLFHPWGTQKAQHEPTLPTLSFRPKGLMCPDHKEEVTHYCKT CQRLVCQLCRVRRTHSGHKITPVLSAYQALKDKLTKSLAYILGNQDTVQTQICELEETIR HTEVSGQQAKEEVSQLVRGLGAVLEEKRASLLQAIEECQQERLSRLSAQIHEHQSLLDGS GLVGYAQEVLKETDQPCFVQAAKQLHNRIARATEALQTFRPAASSSFRHCQLDVGREMKL LTELSFLRVPEAPVIDTQRTFAYDQIFLCWRLPPHSPPAWHYTVEFRRTDVPAQPGPTRW QRREEVRGTSALLENPDTGSVYVLRVRGCNKAGYGEYSEDVHLHTPPAPVLHFFLDGRWG ASRERLAISKDQRAVRSIPGLPLLLAAERLLTGCHLSVDVVLGDVAVTQGRSYWACAVDP ASYLVKVGVGLESKLQESFQGAPDVISPRYDPDSGHDSGAEDAAVEALPPFAFLTIGMGK ILLGSGASSNAGLTGRDGPTASCTVPLPPRLGICLDYERGRVSFLDAVSFRGLLECPLDC SGPVCPAFCFIGGGAVQLQEPVGTKPERKVTIGGFAKLD >ENSMUSP00000119270.1 pep:known chromosome:GRCm38:3:89234868:89245872:-1 gene:ENSMUSG00000042766.17 transcript:ENSMUST00000143637.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trim46 description:tripartite motif-containing 46 [Source:MGI Symbol;Acc:MGI:2673000] MAEGEDMQTFTSIMDALVRISTSMKNMEKELLCPVCQEMYKQPLVLPCTHNVCQACAREV LGQQGYIGHGGDPSSEPTSPASTPSTRSPRLSRRTLPKPDRLDRLLKSGFGTYPGRKRGA LHPQTILFPCPACQGDVELGERGLSGLFRNLTLERVVERYRQSVSVGGAILCQLCKPPPL EATKGCTECRATFCNECFKLFHPWGTQKAQHEPTLPTLSFRPKGLMCPDHKEEVTHYCKT CQRLVCQLCRVRRTHSGHKITPVLSAYQALKDKLTKSLAYILGNQDTVQTQICELEETIR HTEVSGQQAKEEVSQLVRGLGAVLEEKRASLLQAIEECQQERLSRLSAQIHEHQSLLDGS GLVGYAQEVLKETDQPCFVQAAKQLHNRIARATEALQTFRPAASSSFRHCQLDVGREMKL LTELSFLRGCGHRGLCSGAPQCPRRPS >ENSMUSP00000123533.1 pep:known chromosome:GRCm38:3:89244294:89246309:-1 gene:ENSMUSG00000042766.17 transcript:ENSMUST00000125952.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim46 description:tripartite motif-containing 46 [Source:MGI Symbol;Acc:MGI:2673000] MKNMEKELLCPVCQEMYKQPLVLPCTHNVCQACAREV >ENSMUSP00000111251.1 pep:known chromosome:GRCm38:9:15709738:15738579:1 gene:ENSMUSG00000043885.13 transcript:ENSMUST00000115588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc36a4 description:solute carrier family 36 (proton/amino acid symporter), member 4 [Source:MGI Symbol;Acc:MGI:2442595] MEAPAPAETAGCEELDMDVMRPLINEQNFDGSSDEEQEQTLVPIQKHYQLDGQHGISFLQ TLVHLLKGNIGTGLLGLPLAIKNAGIVLGPISLVFIGIISVHCMHILVRCSHFLCQRFKK STLGYSDTVSFAMEASPWSCLQRQAAWGRSVVDFFLVITQLGFCSVYIVFLAENVKQVHE GFLGSTPIVSNGSDLSHACERRSVDLRVYMLCFLPLIILLVFIRELKNLFVLSFLANISM AASLVIIYQYVVRNMPDPHNLPIVAGWKKYPLFFGTAVFAFEGIGVVLPLENQMRESKRF PQALNIGMAIVTVLYISLATLGYMCFRDEIKGSITLNLPQDMWLYQSVKILYSFGIFVTY SIQFYVPAEIIIPGVTARLHAKWKRICEFGIRSLLVLGRFSFLA >ENSMUSP00000057355.7 pep:known chromosome:GRCm38:9:15709739:15742384:1 gene:ENSMUSG00000043885.13 transcript:ENSMUST00000061568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc36a4 description:solute carrier family 36 (proton/amino acid symporter), member 4 [Source:MGI Symbol;Acc:MGI:2442595] MEAPAPAETAGCEELDMDVMRPLINEQNFDGSSDEEQEQTLVPIQKHYQLDGQHGISFLQ TLVHLLKGNIGTGLLGLPLAIKNAGIVLGPISLVFIGIISVHCMHILVRCSHFLCQRFKK STLGYSDTVSFAMEASPWSCLQRQAAWGRSVVDFFLVITQLGFCSVYIVFLAENVKQVHE GFLGSTPIVSNGSDLSHACERRSVDLRVYMLCFLPLIILLVFIRELKNLFVLSFLANISM AASLVIIYQYVVRNMPDPHNLPIVAGWKKYPLFFGTAVFAFEGIGVVLPLENQMRESKRF PQALNIGMAIVTVLYISLATLGYMCFRDEIKGSITLNLPQDMWLYQSVKILYSFGIFVTY SIQFYVPAEIIIPGVTARLHAKWKRICEFGIRSLLVSITCAGAILIPRLDIVISFVGAVS SSTLALILPPLVEILTFSKDHYNIWMILKNISIAFTGVVGFLLGTYVTVEEIIYPTTAVV AGTSQSPFLNVNSTCITSGL >ENSMUSP00000144691.1 pep:known chromosome:GRCm38:6:43106390:43116676:1 gene:ENSMUSG00000094669.2 transcript:ENSMUST00000204092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr441 description:olfactory receptor 441 [Source:MGI Symbol;Acc:MGI:3030275] MRANQTWITEVTLLGFQADLAVECFLFGLFSLFYSFTLLGNGIILVVICLDNRLHTPMYF FLSHLAIVDMSYASNNVPKMLANLVTQRRTISFIPCIMQTFLYLAFASIECLILVVMSYD RFVAICHPLHYTVIMSWRVCTIMAAVSWIVGFLLALVHLILILRLPFCGPHKVNHFFCEI LSVLKLACADTTLNQVVIFAACVFILVGPLCMVLVSYTRILVAILRIQSGEGRRKAFSTC SSHLCVVGLFFGSAIVMYMAPKSQHPEMQQKILSLFYSLFNPMLNPLIYCLRNAEVKGAL RRSLLKERSM >ENSMUSP00000093648.3 pep:known chromosome:GRCm38:6:43115744:43116676:1 gene:ENSMUSG00000094669.2 transcript:ENSMUST00000095954.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr441 description:olfactory receptor 441 [Source:MGI Symbol;Acc:MGI:3030275] MRANQTWITEVTLLGFQADLAVECFLFGLFSLFYSFTLLGNGIILVVICLDNRLHTPMYF FLSHLAIVDMSYASNNVPKMLANLVTQRRTISFIPCIMQTFLYLAFASIECLILVVMSYD RFVAICHPLHYTVIMSWRVCTIMAAVSWIVGFLLALVHLILILRLPFCGPHKVNHFFCEI LSVLKLACADTTLNQVVIFAACVFILVGPLCMVLVSYTRILVAILRIQSGEGRRKAFSTC SSHLCVVGLFFGSAIVMYMAPKSQHPEMQQKILSLFYSLFNPMLNPLIYCLRNAEVKGAL RRSLLKERSM >ENSMUSP00000028821.3 pep:known chromosome:GRCm38:2:118204888:118256966:-1 gene:ENSMUSG00000027344.13 transcript:ENSMUST00000028821.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fsip1 description:fibrous sheath-interacting protein 1 [Source:MGI Symbol;Acc:MGI:1918563] MPMDIIKGNLDGISKPASSSRSRPGSRSSNGSLEVLTPEPGSVKIDMVNKLNSGQEGHTS NSGVEERRNSNDAKWADDSKTKPAKESSDEDPDMPQPQATPEHSDDPKLEETNAVLQNAI RKMHRLDKLLAKKQCREKEVKKQGLEMRVKLWEELKSAKNTEDLENDEELGNTKKFLCLT SESAGKAAAEALHCEFEDALFSVFHTQIPPETYENLMEKDFTCDVEKNEPLIITEKQPFS NTEAIEPRSEDSQGFIRQNAEHSQDFIKRNIELAKHSRSPVVMVEGEKKRLDELLQGLDD ADSGLSSAEGDQCGWLVPGEGYTLAATESQQLAEIDIKLQELSVDSPTIFSLESQSHKGD MECDANEERNTEPTPGEKILRDRKEQRDRESRLRAIDGKLKELSEQVEECPMITPSKRNE RITWRWLLAKILEPE >ENSMUSP00000028820.6 pep:known chromosome:GRCm38:2:118244664:118256956:-1 gene:ENSMUSG00000027344.13 transcript:ENSMUST00000028820.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fsip1 description:fibrous sheath-interacting protein 1 [Source:MGI Symbol;Acc:MGI:1918563] MPMDIIKGNLDGISKPASSSRSRPGSRSSNGSLEVLTPEPGSVKIDMVNKLNSGQEGHTS NSGVEERRNSNDAKWADDSKTKPAKESSDEDPDMPQPQATPEHSDDPKLEETNAVLQNAI RKMHRLDKLLAKKQCREKEVKKQGLEMRVKLWEELKSAKNTEDLENDEELGNTKKFLCLT SESAGKAAGQPSLIMEDYSFNWYRFEWLNLFRSFISIKQD >ENSMUSP00000056855.8 pep:known chromosome:GRCm38:1:42851233:42905682:1 gene:ENSMUSG00000060679.14 transcript:ENSMUST00000057208.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps9 description:mitochondrial ribosomal protein S9 [Source:MGI Symbol;Acc:MGI:1916777] MAAPCVSCGRVLSLWFTPAVRASLCQRPGYWTASAVGWQTGTRFQLSKLIHTTVVTTKKN VQASRQESYTEDFIKKQIEEFNIGKRHLANMMGEDPETFAEEDIDRAIAYLFPSGLFEKR ARPMMKHPEHIFPKQRATQWGEDGRPFHFLFYTGKQSYYSLMHDVYGKVMQLEKHRGPLS ASAESRDLIGSRWLIKEELEEMLVEKLSDEDYAQFIRLLEKLLTLPCGPAEEEFVQRFRR SVTIQSKKQLIEPVQYDEQGMAFSTSEGRRKSATAQAVVYEHGSGKIHVNGVDYLIYFPI TQDREQLMFPFHFLDRLERHDVTCTVSGGGRSAQAGAIRLAMARALCSFVTEDEVEWMRQ AGLLTPDPRIRERKKPGQEGARRKFTWKKR >ENSMUSP00000143932.1 pep:known chromosome:GRCm38:1:42887737:42905683:1 gene:ENSMUSG00000060679.14 transcript:ENSMUST00000201108.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrps9 description:mitochondrial ribosomal protein S9 [Source:MGI Symbol;Acc:MGI:1916777] XHFLFYTGKQSYYSLMHDVYGKVMQLEKHRGPLSASAESRDLIGSRWLIKEELEEMLVEK LSDEDYAQFIRLLEKLLTLPCGPAEEEFVQRFRRSVTIQSKKQLIEPVQYDEQGMAFSTS EGLCSQREV >ENSMUSP00000031119.1 pep:known chromosome:GRCm38:5:70751047:70842617:-1 gene:ENSMUSG00000001260.10 transcript:ENSMUST00000031119.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrg1 description:gamma-aminobutyric acid (GABA) A receptor, subunit gamma 1 [Source:MGI Symbol;Acc:MGI:103156] MGSGKAFLFSPSLLWSQTRGVRLIFLLLTLHLGNCVDKADDEDDEDLTMNKTWVLAPKIH EGDITQILNSLLQGYDNKLRPDIGVRPTVIETDVYVNSIGPVDPINMEYTIDIIFAQTWF DSRLKFNSTMKVLMLNSNMVGKIWIPDTFFRNSRKSDAHWITTPNRLLRIWSDGRVLYTL RLTINAECYLQLHNFPMDEHSCPLEFSSYGYPKNEIEYKWKKPSVEVADPKYWRLYQFAF VGLRNSTEISHTISGDYIIMTIFFDLSRRMGYFTIQTYIPCILTVVLSWVSFWINKDAVP ARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFAALMEYGTLHYFTSN NKGKTTRGRKLKNKTSASPGLHAGSTLIPMNSISLPQGEDDYGYQCLEGKDCTSFFCCFD DCRTGSWREGRIHIRIAKIDSYSRIFFPTAFALFNLVYWVGYLYL >ENSMUSP00000142454.1 pep:known chromosome:GRCm38:5:70751775:70842204:-1 gene:ENSMUSG00000001260.10 transcript:ENSMUST00000199705.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrg1 description:gamma-aminobutyric acid (GABA) A receptor, subunit gamma 1 [Source:MGI Symbol;Acc:MGI:103156] AFLFSPSLLWSQTRGVRLIFLLLTLHLGNCVDKADDEDDEDLTMNKTWVLAPKIHEGDIT QILNSLLQGYDNKLRPDIGVRPTVIETDVYVNSIGPVDPINMEYTIDIIFAQTWFDSRLK FNSTMKVLMLNSNMVGKIWIPDTFFRNSRKSDAHWITTPNRLLRIWSDGRVLYTLRLTIN AECYLQLHNFPMDEHSCPLEFSSYGYPKNEIEYKWKKPSVEVADPKYWRLYQFAFVGLRN STEISHTISGDYIIMTIFFDLSRRMGYFTIQTYIPCILTVVLSWVSFWINKDAVPARTSL ALMEYGTLHYFTSNNKGKTTRGRKLKNKTSASPGLHAGSTLIPMNSISLPQGEDDYGYQC LEGKDCTSFFCCFDDCRTGSWREGRIHIRIAKIDSYSRIFFPTAFALFNLVYWVGYLYL >ENSMUSP00000049931.3 pep:known chromosome:GRCm38:X:109196758:109200439:1 gene:ENSMUSG00000048621.3 transcript:ENSMUST00000060013.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6377 description:predicted gene 6377 [Source:MGI Symbol;Acc:MGI:3647255] MLGEAFLTKFLYKEQRHAKLYKPLRCKKTSNDKKENWENKLLDTPDNSLSPLKTGSQEKV IEGSVTEQDNDSSQIKSMDELPVAKCKCTPLPGNVSVALPIPKRGQEETQLDLYRSWSCT SICQNYPDLQIGGDHIRNMYDSGCFVEHTYDDVCNGPLLLSVDIPLGHSPKMEPLEKTST SKLFNGDEIREKSMLLHKQPLSNSMLNNYIERKVDELYKQFLEENLTRCLSITNLMTSSI LMNNVNQISLQISQEQNIEASKAREALLHSLALCNLRNVSHRNSTELSTPNLQISNQASR EFV >ENSMUSP00000118524.1 pep:known chromosome:GRCm38:X:109196756:109198578:1 gene:ENSMUSG00000048621.3 transcript:ENSMUST00000139259.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6377 description:predicted gene 6377 [Source:MGI Symbol;Acc:MGI:3647255] MEPLEKTSTSKLFNGDEIREKSMLLHKQPLSNSMLNNYIERKVDELYKQFLEENLTRCLS ITNLMTSSILMNNVNQISLQISQ >ENSMUSP00000144106.1 pep:known chromosome:GRCm38:1:162916551:162937515:-1 gene:ENSMUSG00000095576.5 transcript:ENSMUST00000195576.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fmo6 description:flavin containing monooxygenase 6 [Source:MGI Symbol;Acc:MGI:2681841] MGKKVAIVGAGVSGLAAIRCCLEEGLDPICFERSIDVGGLWKFSSHAEEGRASIYQSVFT NSSKEMMCFPDFPYPDDFPNYMHHSKLQEYITSFAQKKGLLRYIQFEENTARQKGRIPQI EGILFFSFSSRPWFLV >ENSMUSP00000136378.1 pep:known chromosome:GRCm38:1:162916723:162937218:-1 gene:ENSMUSG00000095576.5 transcript:ENSMUST00000178465.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo6 description:flavin containing monooxygenase 6 [Source:MGI Symbol;Acc:MGI:2681841] MGKKVAIVGAGVSGLAAIRCCLEEGLDPICFERSIDVGGLWKFSSHAEEGRASIYQSVFT NSSKEMMCFPDFPYPDDFPNYMHHSKLQEYITSFAQKKGLLRYIQFETLVSSIKKCSSFL TTGQWVVVTEKEGKQESVLFDAVMICSGHHVYPNMPTDSFPGLEHFRGKCLHSRDYKGPG AFQGKKVLVIGLGNSASDIAVELSRLATQVIISTRSGSWIMSRVWNDGYPWDMVYVTRFT SFLRNILPSFVSDWLYIKKMNTWFKHENYGLMPLNGPLRKEPVFNDELPSRILCGMVTIK PIVTKFTETSAVFEDGTVFEAIDCVIFATGYGYAYPFLDDSIIKSRNNEVTLYKGIFPPQ LEKPTMAVIGLVQSLGAAIPTADLQARWAAKVFTNTCVLPTTNEMMDDIDEKMGKKLKWF GQSHTLQTDYITYMDELSSFIGAKPNIPWLFLTDPQLALEVYFGPCSPYQFRLMGPGKWD GARNAILTQWKRTVKPTRTRAVGEAQRPRHLYDLLRMLFFPVFFLAVLLTFY >ENSMUSP00000108572.1 pep:known chromosome:GRCm38:2:36784276:36787468:1 gene:ENSMUSG00000049315.2 transcript:ENSMUST00000112950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr348 description:olfactory receptor 348 [Source:MGI Symbol;Acc:MGI:3030182] MKSTRNQSSASEFILLGLPIQPEEQGMYYALFLATYLTTVLGNLLIILLIRLDSHLHTPM YFFLSHLAFTDISFSSVTAPKMLMNMLIHSQSISYAGCISQVYFFLFFADLDSFLLTSMA YDRYVAICHPLHYTRIMSQSICILLVIESWFLSFAGALVHTILLARLSFFRGNTVHHFFC DLSALIKLSSSDTSINELVILVVGSLVITVPFVCILVSYGRIGATILKTPSIKGICKALS TCGSHLSVVSLYYGAIIGLYFVPSSNDTNDKDVIVAVMYTMVTPMLNPFIYSLRNRDMKG ALRNMLARATSSM >ENSMUSP00000054037.1 pep:known chromosome:GRCm38:2:36786527:36787468:1 gene:ENSMUSG00000049315.2 transcript:ENSMUST00000056865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr348 description:olfactory receptor 348 [Source:MGI Symbol;Acc:MGI:3030182] MKSTRNQSSASEFILLGLPIQPEEQGMYYALFLATYLTTVLGNLLIILLIRLDSHLHTPM YFFLSHLAFTDISFSSVTAPKMLMNMLIHSQSISYAGCISQVYFFLFFADLDSFLLTSMA YDRYVAICHPLHYTRIMSQSICILLVIESWFLSFAGALVHTILLARLSFFRGNTVHHFFC DLSALIKLSSSDTSINELVILVVGSLVITVPFVCILVSYGRIGATILKTPSIKGICKALS TCGSHLSVVSLYYGAIIGLYFVPSSNDTNDKDVIVAVMYTMVTPMLNPFIYSLRNRDMKG ALRNMLARATSSM >ENSMUSP00000143433.1 pep:known chromosome:GRCm38:3:144683678:144720110:-1 gene:ENSMUSG00000037062.13 transcript:ENSMUST00000199531.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb1 description:SH3-domain GRB2-like B1 (endophilin) [Source:MGI Symbol;Acc:MGI:1859730] MNIMDFNVKKLAADAGTFLSRAVQFTEEKLGQAEKTELDAHLENLLSKAECTKIWTEKIM KQTEVLLQPNPNARIEEFVYEKLDRKAPSRINNPELLGQYMIDAGTEFGPGTAYGNALIK CGETQKRIGTADRELIQTSALNFLTPLRNFIEGDYKTIAKERKLLQNKRLDLDAAKTRLK KAKAAETKSSSEQELRITQSEFDRQAEITRLLLEGISSTHAHHLRCLNDFVEAQMTYYAQ CYQYMLDLQKQLGSFPSNYLSNNNQTSGTPVPYALSNAIGPSAQASTGSLVITCPSNLND LKESSNNRKARVLYDYDAANSTELSLLADEVITVFSVVGMDSDWLMGERGNQKGK >ENSMUSP00000143031.1 pep:known chromosome:GRCm38:3:144684895:144697467:-1 gene:ENSMUSG00000037062.13 transcript:ENSMUST00000199350.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb1 description:SH3-domain GRB2-like B1 (endophilin) [Source:MGI Symbol;Acc:MGI:1859730] SEQELRITQSEFDRQAEITRLLLEGISSTHAHHLRCLNDFVEAQMTYYAQCYQYMLDLQK QLGSFPSNYLSNNNQTSGTPVPYALSNAIGPSAQASTGSLVITCPSNLNDLKESSNNRKA RVLYDYDAANSTELSLLADE >ENSMUSP00000143312.1 pep:known chromosome:GRCm38:3:144686911:144720323:-1 gene:ENSMUSG00000037062.13 transcript:ENSMUST00000198254.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb1 description:SH3-domain GRB2-like B1 (endophilin) [Source:MGI Symbol;Acc:MGI:1859730] MNIMDFNVKKLAADAGTFLSRAVQFTEEKLGQAEKTELDAHLENLLSKAECTKIWTEKIM KQTEVLLQPNPNARIEEFVYEKLDRKAPSRINNPELLGQYMIDAGTEFGPGTAYGNALIK CGETQKRIGTADRELIQTSALNFLTPLRNFIEGDYKTIAKERKLLQNKRLDLDAAKTRLK KAKAAETKSSQLNSARPEGDNIMIWAEEVTKSEQELRITQSEFDRQAEITRLLLEGISST HAHHLRCLNDFVEAQMTYYAQCYQYMLDLQKQLGSFPSNYLSNNNQTSGTPVPYALSNAI GPSAQASTGSLVITCPSNLNDLKESSNNRKARVLYDYDAANSTELSLLADEVITVFSVVG MDSDWLMGERGNQKGKVPITYLELLN >ENSMUSP00000129800.1 pep:known chromosome:GRCm38:3:144688844:144720335:-1 gene:ENSMUSG00000037062.13 transcript:ENSMUST00000163279.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb1 description:SH3-domain GRB2-like B1 (endophilin) [Source:MGI Symbol;Acc:MGI:1859730] MNIMDFNVKKLAADAGTFLSRAVQFTEEKLGQAEKTELDAHLENLLSKAECTKIWTEKIM KQTEVLLQPNPNARIEEFVYEKLDRKAPSRINNPELLGQYMIDAGTEFGPGTAYGNALIK CGETQKRIGTADRELIQTSALNFLTPLRNFIEGDYKTIAKERKLLQNKRLDLDAAKTRLK KAKAAETKSSSEQELRITQSEFDRQAEITRLLLEGISSTHAHHLRCLNDFVEAQMTYYAQ CYQYMLDLQKQLGSFPSNYLSNNNQTSGTPVPYALSNAIGPSAQASTGSLVITCPSNLND LKESSNNRKARVLYDYDAANSTELSLLADEVITVFSVVGMDSDWLMGERGNQKGKVPITY LELLN >ENSMUSP00000142716.1 pep:known chromosome:GRCm38:3:144691346:144720205:-1 gene:ENSMUSG00000037062.13 transcript:ENSMUST00000199854.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb1 description:SH3-domain GRB2-like B1 (endophilin) [Source:MGI Symbol;Acc:MGI:1859730] MNIMDFNVKKLAADAGTFLSRAVQFTEEKLGQAEKTELDAHLENLLSKAECTKIWTEKIM KQTEVLLQPNPNARIEEFVYEKLDRKAPSRINNPELLGQYMIDAGTEFGPGTAYGNALIK CGETQKRIGTADRELIQTSALNFLTPLRNFIEGDYKTIAKERKLLQNKRLDLDAAKTRLK KAKAAETKSSQLNSARPEGDNIMVNFSYMLNFLHVKWLKSEQELRITQSEFDRQAEITRL LLEGISSTHAHHLRCLNDFVEAQMTYYAQCYQYMLDLQKQLGSFPSNYLSNNNQTSGTPV PYALSNAIGPSAQASTGSLVITCPSNLNDLKESSNNRKARVLYDYDAANSTELSLLADEV ITVFSVVGMDSDWLMGERGNQKGKVPITYLELLN >ENSMUSP00000142626.1 pep:known chromosome:GRCm38:3:144691978:144706633:-1 gene:ENSMUSG00000037062.13 transcript:ENSMUST00000200532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb1 description:SH3-domain GRB2-like B1 (endophilin) [Source:MGI Symbol;Acc:MGI:1859730] RNFIEGDYKTIAKERKLLQNKRLDLDAAKTRLKKAKAAETKSSQLNSARPEGDNIMVNFS YMLNFLHVKWLKIWAEEVTKSEQELRITQSEFDRQAEITRLLLEGISSTHAHHLRCLNDF VEAQMTYYAQCYQYMLDLQKQLGSFPSNYLSNNNQTSGTPVPYALSNAIGPSAQASTGSL VITCPSNLNDLKES >ENSMUSP00000047218.6 pep:known chromosome:GRCm38:4:44981395:44990734:1 gene:ENSMUSG00000035637.14 transcript:ENSMUST00000045078.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grhpr description:glyoxylate reductase/hydroxypyruvate reductase [Source:MGI Symbol;Acc:MGI:1923488] MKPARLMKVFVTGPLPAEGRAALAQAADCEVEQWNSDDPIPRKDLEQGVVGAHGLLCRLS DRVDKKLLDAAGANLRVISTLSVGVDHLALDEIKKRGIRVGYTPGVLTDATAELAVSLLL TTCRRLPEAIEEVKNGGWSSWSPLWMCGYGLSQSTVGIVGLGRIGQAIARRLKPFGVQRF LYTGRQPRPQEAAEFQAEFVPIAQLAAESDFIVVSCSLTPDTMGLCSKDFFQKMKNTAIF INISRGDVVNQEDLYQALASGQIAAAGLDVTTPEPLPPSHPLLTLKNCVILPHIGSATYK TRNTMSLLAANNLLAGLRGEAMPSELKL >ENSMUSP00000120061.1 pep:known chromosome:GRCm38:4:44981403:44983943:1 gene:ENSMUSG00000035637.14 transcript:ENSMUST00000128973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grhpr description:glyoxylate reductase/hydroxypyruvate reductase [Source:MGI Symbol;Acc:MGI:1923488] MTPSPERIWSKVWWGPMACSAASLTVWTRNFWMPQEPTSESSAPCLWGSTTWLWMKSR >ENSMUSP00000120254.1 pep:known chromosome:GRCm38:4:44981432:44989010:1 gene:ENSMUSG00000035637.14 transcript:ENSMUST00000151148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grhpr description:glyoxylate reductase/hydroxypyruvate reductase [Source:MGI Symbol;Acc:MGI:1923488] MKPARLMKVFVTGPLPAEGANLRVISTLSVGVDHLALDEIKKRGIRVGYTPGVLTDATAE LAVSLLLTTCRRLPEAIEEVKNGGWSSWSPLWMCGYGLSQSTVGIVGLGRIGQAIARRLK PFGVQRFLYTGRQPRPQEAAEFQAEFVPIAQLAAESDFIVVSCSLTPDTMGLCSKDFFQK MKNTAIFINISRGDVVNQEDLYQALASGQIAAAGLDVTTPEP >ENSMUSP00000117800.1 pep:known chromosome:GRCm38:4:44981461:44987248:1 gene:ENSMUSG00000035637.14 transcript:ENSMUST00000151631.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Grhpr description:glyoxylate reductase/hydroxypyruvate reductase [Source:MGI Symbol;Acc:MGI:1923488] MKVFVTGPLPAEGRAALAQAADCEVEQWNSDDPIPRKDLEQGVVGAHGLLCRLSDRVDKK LLDAAGANLRVISTLSVGVDHLALDEIKKRGIRVGYTPGVLTDATAELAVSLLLTTCRRL PEAIEEVKNGGWSSWSPLWMCGYGLSQSTVGIVGLGRIGQAIARRLKPFGVQRFLYTGRQ PRPQEAAEFQAEFAPPS >ENSMUSP00000106088.1 pep:known chromosome:GRCm38:12:73901375:73947530:1 gene:ENSMUSG00000021109.13 transcript:ENSMUST00000110461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hif1a description:hypoxia inducible factor 1, alpha subunit [Source:MGI Symbol;Acc:MGI:106918] MSSERRKEKSRDAARSRRSKESEVFYELAHQLPLPHNVSSHLDKASVMRLTISYLRVRKL LDAGGLDSEDEMKAQMDCFYLKALDGFVMVLTDDGDMVYISDNVNKYMGLTQFELTGHSV FDFTHPCDHEEMREMLTHRNGPVRKGKELNTQRSFFLRMKCTLTSRGRTMNIKSATWKVL HCTGHIHVYDTNSNQPQCGYKKPPMTCLVLICEPIPHPSNIEIPLDSKTFLSRHSLDMKF SYCDERITELMGYEPEELLGRSIYEYYHALDSDHLTKTHHDMFTKGQVTTGQYRMLAKRG GYVWVETQATVIYNTKNSQPQCIVCVNYVVSGIIQHDLIFSLQQTESVLKPVESSDMKMT QLFTKVESEDTSCLFDKLKKEPDALTLLAPAAGDTIISLDFGSDDTETEDQQLEDVPLYN DVMFPSSNEKLNINLAMSPLPSSETPKPLRSSADPALNQEVALKLESSPESLGLSFTMPQ IQDQPASPSDGSTRQSSPEPNSPSEYCFDVDSDMVNVFKLELVEKLFAEDTEAKNPFSTQ DTDLDLEMLAPYIPMDDDFQLRSFDQLSPLESNSPSPPSMSTVTGFQQTQLQKPTITATA TTTATTDESKTETKDNKEDIKILIASPSSTQVPQETTTAKASAYSGTHSRTASPDRAGKR VIEQTDKAHPRSLNLSATLNQRNTVPEEELNPKTIASQNAQRKRKMEHDGSLFQAAGIGT LLQQPGDCAPTMSLSWKRVKGFISSEQNGTEQKTIILIPSDLACRLLGQSMDESGLPQLT SYDCEVNAPIQGSRNLLQGEELLRALDQVN >ENSMUSP00000106091.1 pep:known chromosome:GRCm38:12:73907904:73933076:1 gene:ENSMUSG00000021109.13 transcript:ENSMUST00000110464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hif1a description:hypoxia inducible factor 1, alpha subunit [Source:MGI Symbol;Acc:MGI:106918] MEGAGGENEKKKMSSERRKEKSRDAARSRRSKESEVFYELAHQLPLPHNVSSHLDKASVM RLTISYLRVRKLLDAGGLDSEDEMKAQMDCFYLKALDGFVMVLTDDGDMVYISDNVNKYM GLTQFELTGHSVFDFTHPCDHEEMREMLTHRNGPVRKGKELNTQRSFFLRMKCTLTSRGR TMNIKSATWKVLHCTGHIHVYDTNSNQPQCGYKKPPMTCLVLICEPIPHPSNIEIPLDSK TFLSRHSLDMKFSYCDER >ENSMUSP00000021530.7 pep:known chromosome:GRCm38:12:73907904:73947530:1 gene:ENSMUSG00000021109.13 transcript:ENSMUST00000021530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hif1a description:hypoxia inducible factor 1, alpha subunit [Source:MGI Symbol;Acc:MGI:106918] MEGAGGENEKKKMSSERRKEKSRDAARSRRSKESEVFYELAHQLPLPHNVSSHLDKASVM RLTISYLRVRKLLDAGGLDSEDEMKAQMDCFYLKALDGFVMVLTDDGDMVYISDNVNKYM GLTQFELTGHSVFDFTHPCDHEEMREMLTHRNGPVRKGKELNTQRSFFLRMKCTLTSRGR TMNIKSATWKVLHCTGHIHVYDTNSNQPQCGYKKPPMTCLVLICEPIPHPSNIEIPLDSK TFLSRHSLDMKFSYCDERITELMGYEPEELLGRSIYEYYHALDSDHLTKTHHDMFTKGQV TTGQYRMLAKRGGYVWVETQATVIYNTKNSQPQCIVCVNYVVSGIIQHDLIFSLQQTESV LKPVESSDMKMTQLFTKVESEDTSCLFDKLKKEPDALTLLAPAAGDTIISLDFGSDDTET EDQQLEDVPLYNDVMFPSSNEKLNINLAMSPLPSSETPKPLRSSADPALNQEVALKLESS PESLGLSFTMPQIQDQPASPSDGSTRQSSPERLLQENVNTPNFSQPNSPSEYCFDVDSDM VNVFKLELVEKLFAEDTEAKNPFSTQDTDLDLEMLAPYIPMDDDFQLRSFDQLSPLESNS PSPPSMSTVTGFQQTQLQKPTITATATTTATTDESKTETKDNKEDIKILIASPSSTQVPQ ETTTAKASAYSGTHSRTASPDRAGKRVIEQTDKAHPRSLNLSATLNQRNTVPEEELNPKT IASQNAQRKRKMEHDGSLFQAAGIGTLLQQPGDCAPTMSLSWKRVKGFISSEQNGTEQKT IILIPSDLACRLLGQSMDESGLPQLTSYDCEVNAPIQGSRNLLQGEELLRALDQVN >ENSMUSP00000074108.4 pep:known chromosome:GRCm38:11:82802449:82829043:-1 gene:ENSMUSG00000020696.18 transcript:ENSMUST00000074515.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rffl description:ring finger and FYVE like domain containing protein [Source:MGI Symbol;Acc:MGI:1914588] MWASCCNWFCLDGQPEEAPPPQGARTQAYSNPGYSSFPSPTGSEPSCKACGVHFASTTRK QTCLDCKKNFCMTCSSQEGNGPRLCLLCLRFRATAFQREELMKMKVKDLRDYLSLHDIST EMCREKEELVFLVLGQQPVISEADRTRVPHLPQAFPEQQAFLTQPQTSTVPPTSPGLPSS PAQVTSVPLAQDQETQQAVGHVSQDHEEPIFPESTARVPTEDETQSVDSEDSFVPGRRAS LSDLTHLEDIEGLTVRQLKEILARNFVNYKGCCEKWELMERVTRLYKDQKGLQHLVSGNE DQNGGAVPSGLEENLCKICMDSPIDCVLLECGHMVTCTKCGKRMNECPICRQYVIRAVHV FRS >ENSMUSP00000021036.6 pep:known chromosome:GRCm38:11:82805607:82829031:-1 gene:ENSMUSG00000020696.18 transcript:ENSMUST00000021036.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rffl description:ring finger and FYVE like domain containing protein [Source:MGI Symbol;Acc:MGI:1914588] MWASCCNWFCLDGQPEEAPPPQGARTQAYSNPGYSSFPSPTGSEPSCKACGVHFASTTRK QTCLDCKKNFCMTCSSQEGNGPRLCLLCLRFRATAFQREELMKMKVKDLRDYLSLHDIST EMCREKEELVFLVLGQQPVISEADRTRVPHLPQAFPEQQAFLTQPQTSTVPPTSPGLPSS PAQVTSVPLAQDQETQQSVDSEDSFVPGRRASLSDLTHLEDIEGLTVRQLKEILARNFVN YKGCCEKWELMERVTRLYKDQKGLQHLVSGNEDQNGGAVPSGLEENLCKICMDSPIDCVL LECGHMVTCTKCGKRMNECPICRQYVIRAVHVFRS >ENSMUSP00000099507.2 pep:known chromosome:GRCm38:11:82807422:82829043:-1 gene:ENSMUSG00000020696.18 transcript:ENSMUST00000103218.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rffl description:ring finger and FYVE like domain containing protein [Source:MGI Symbol;Acc:MGI:1914588] MWASCCNWFCLDGQPEEAPPPQGARTQAYSNPGYSSFPSPTGSEPSCKACGVHFASTTRK QTCLDCKKNFCMTCSSQEGNGPRLCLLCLRFRATAFQREELMKMKVKDLRDYLSLHDIST EMCREKEELVFLVLGQQPVISEADRTRVPHLPQAFPEQQAFLTQPQTSTVPPTSPGLPSS PAQVTSVPLAQDQETQQSVDSEDSFVPGRRASLSDLTHLEDIEGLTVRQLKEILARNFVN YKGCCEKWELMERVTRLYKDQKGLQHLVSGNEDQNASHLLWEVFFDLMFHSYV >ENSMUSP00000115846.1 pep:known chromosome:GRCm38:11:82812669:82846092:-1 gene:ENSMUSG00000020696.18 transcript:ENSMUST00000126660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rffl description:ring finger and FYVE like domain containing protein [Source:MGI Symbol;Acc:MGI:1914588] MISSEHGSSDLSRSFFGQPTVCCGSVLRQAKADFIMWASCCNWFCLDGQPEEAPPPQGAR TQAYSNPGYSSFPSPTGSEPSCKACGVHFASTTRKQTCLDCKKNFCMTCSSQEGNGPRLC LLCLRFRATAFQREELMKMKVK >ENSMUSP00000091510.5 pep:known chromosome:GRCm38:11:82803822:82870744:-1 gene:ENSMUSG00000020696.18 transcript:ENSMUST00000093975.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rffl description:ring finger and FYVE like domain containing protein [Source:MGI Symbol;Acc:MGI:1914588] MSQPSLWKDSHYFIMWASCCNWFCLDGQPEEAPPPQGARTQAYSNPGYSSFPSPTGSEPS CKACGVHFASTTRKQTCLDCKKNFCMTCSSQEGNGPRLCLLCLRFRATAFQREELMKMKV KDLRDYLSLHDISTEMCREKEELVFLVLGQQPVISEADRTRVPHLPQAFPEQQAFLTQPQ TSTVPPTSPGLPSSPAQVTSVPLAQDQETQQAVGHVSQDHEEPIFPESTARVPTEDETQS VDSEDSFVPGRRASLSDLTHLEDIEGLTVRQLKEILARNFVNYKGCCEKWELMERVTRLY KDQKGLQHLVSGNEDQNGGAVPSGLEENLCKICMDSPIDCVLLECGHMVTCTKCGKRMNE CPICRQYVIRAVHVFRS >ENSMUSP00000103808.3 pep:known chromosome:GRCm38:11:82803822:82871210:-1 gene:ENSMUSG00000020696.18 transcript:ENSMUST00000108173.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rffl description:ring finger and FYVE like domain containing protein [Source:MGI Symbol;Acc:MGI:1914588] MWASCCNWFCLDGQPEEAPPPQGARTQAYSNPGYSSFPSPTGSEPSCKACGVHFASTTRK QTCLDCKKNFCMTCSSQEGNGPRLCLLCLRFRATAFQREELMKMKVKDLRDYLSLHDIST EMCREKEELVFLVLGQQPVISEADRTRVPHLPQAFPEQQAFLTQPQTSTVPPTSPGLPSS PAQVTSVPLAQDQETQQAVGHVSQDHEEPIFPESTARVPTEDETQSVDSEDSFVPGRRAS LSDLTHLEDIEGLTVRQLKEILARNFVNYKGCCEKWELMERVTRLYKDQKGLQHLVSGNE DQNGGAVPSGLEENLCKICMDSPIDCVLLECGHMVTCTKCGKRMNECPICRQYVIRAVHV FRS >ENSMUSP00000071150.7 pep:known chromosome:GRCm38:11:82803822:82871210:-1 gene:ENSMUSG00000020696.18 transcript:ENSMUST00000071152.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rffl description:ring finger and FYVE like domain containing protein [Source:MGI Symbol;Acc:MGI:1914588] MISSEHGSSDLSRSFFGQPTVCCGSVLRQAKADFIMWASCCNWFCLDGQPEEAPPPQGAR TQAYSNPGYSSFPSPTGSEPSCKACGVHFASTTRKQTCLDCKKNFCMTCSSQEGNGPRLC LLCLRFRATAFQREELMKMKVKDLRDYLSLHDISTEMCREKEELVFLVLGQQPVISEADR TRVPHLPQAFPEQQAFLTQPQTSTVPPTSPGLPSSPAQVTSVPLAQDQETQQAVGHVSQD HEEPIFPESTARVPTEDETQSVDSEDSFVPGRRASLSDLTHLEDIEGLTVRQLKEILARN FVNYKGCCEKWELMERVTRLYKDQKGLQHLVSGNEDQNGGAVPSGLEENLCKICMDSPID CVLLECGHMVTCTKCGKRMNECPICRQYVIRAVHVFRS >ENSMUSP00000027131.4 pep:known chromosome:GRCm38:1:46807544:46854046:-1 gene:ENSMUSG00000025986.6 transcript:ENSMUST00000027131.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a10 description:solute carrier family 39 (zinc transporter), member 10 [Source:MGI Symbol;Acc:MGI:1914515] MKVHIHTKFCLICLLTFIFHHCNHCHEDHDHGPEELHRHHRGMTESESSKFSVQDAENEK KYYIEKLFDRYGENGRLSFFGLEKLLTNLGLGEIKVVEINHEDLGHDHVSHLDILAVQEG KHFHSHTHQHFHNHLNAENHTTTSVTSKRNHKCDPEKEAAELPIKADDKHLHDRNHRFHH RHRLHHHLDHNTTRHVHNDSVAHSEHGEPGHSPSPETNKTQEQSEVKSVKVRRKEKGKRK KENSEVNTPGFLPNHDHSEQYEHNRVHKLDRVHSPGHPHAHLPEHSGHELGHGHQELDPD NEGELRHTRKREAPHVRKSAIYSTPSHKDQSEDDRQHECLNVTQLLKHFGLGPNSPISPD LFTYLCPALLYQIDSRLCIEHFDKLLVEDLNKDKTLVPEDKTNIGASAWICGIISITVIS LLSLLGVILVPIINQGCFKFLLTFLVALAVGTMSGDALLHLLPHSQGGHDHSHQHTHGHG HSHGHESKEFLEEYDAVLKGLVALGGIYLLFIIEHCIRMFKHYKQQRGKQKWFMKQSTEE STIGRKLSDHKLNSTPDADWLQLKPLAGTDDSVVSEDRLNETELTDLEAQQESPPKNYLG VEEEKIMDHSHSDGLHTIHEHEVHVTSHNHHDEDKAVLRKHSHQWHHRHAHHSHGPCHSG SDLKETGIANIAWMVIMGDGIHNFSDGLAIGAAFSAGLTGGISTSIAVFCHELPHELGDF AVLLKAGMTVKQAIVYNLLSAMMAYIGMLIGTAVGQYANNITLWIFAITAGMFLYVALVD MLPEMLHGDGDHEEHGFCPVGQFILQNLGLLFGFAIMLVIALYEDKIVFDIQF >ENSMUSP00000140176.1 pep:known chromosome:GRCm38:1:46835640:46892852:-1 gene:ENSMUSG00000025986.6 transcript:ENSMUST00000186852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a10 description:solute carrier family 39 (zinc transporter), member 10 [Source:MGI Symbol;Acc:MGI:1914515] MKVHIHTKFCLICLLTFIFHHCNHCHEDHDHGPEELHRHHRGMTESESSKFSVQDAENEK KYYIEKLFDRYGENGRLSFFGLEKLLTNLGLGEIKVVEINHEDLGHDHVSHLDILAVQEG KHFHSHTHQHFHNHLNAENHTTTSVTSKRNHKCDPEKEAAELPIKAD >ENSMUSP00000140570.1 pep:known chromosome:GRCm38:1:46835738:46888070:-1 gene:ENSMUSG00000025986.6 transcript:ENSMUST00000185520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a10 description:solute carrier family 39 (zinc transporter), member 10 [Source:MGI Symbol;Acc:MGI:1914515] MIQGSDGKVEMKVHIHTKFCLICLLTFIFHHCNHCHEDHDHGPEELHRHHRGMTESESSK FSVQDAENEKKYYIEKLFDRYGENGRLSFFGLEKLLTNLGLGEIKVVEINHEDLGHDHVS HLDILAVQEGKHFHSHTHQHFHNH >ENSMUSP00000114828.1 pep:known chromosome:GRCm38:2:73816509:73845505:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000124737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] XTMPVAIPASITSSNVHVPAAVPLVRPVTMVPSVPGIPGPSSPQPVQSEAKMRLKAALTQ QHPPVTNGDTVKGHGSGLVRTQSEESRPQSLQQPATSTTETPASPAHTTPQTQNTSGRRR RAANEDPDEKRRKFLERNRAAASRCRQKRKVWVQSLEKKAEDLSSLNGQLQLLIKMTVQK TFLCQAVHIQKRSSTALSAHPMESVQHQKQKL >ENSMUSP00000107641.2 pep:known chromosome:GRCm38:2:73816509:73892536:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000112010.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MHCPWVWPDQTPTPTRFLKNCEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPI IRSKIEEPSVVETTHQDSPLPHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTS SDSSVIIQQAVPSPTSSTVITQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSS NVHVPAAVPLVRPVTMVPSVPGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGH GSGLVRTQSEESRPQSLQQPATSTTETPASPAHTTPQTQNTSGRRRRAANEDPDEKRRKF LERNRAAASRCRQKRKVWVQSLEKKAEDLSSLNGQLQSEVTLLRNEVAQLKQLLLAHKDC PVTAMQKKSGYHTADKDDSSEDLSVPSSPHTEAIQHSSVSTSNGVSSTSKAEAVATSVLT QMADQSTEPALSQIVMAPPSQAQPSGS >ENSMUSP00000088311.4 pep:known chromosome:GRCm38:2:73816509:73892612:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000090802.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MHCPWVWPDQTPTPTRFLKNCEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPI IRSKIEEPSVVETTHQDSPLPHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTS SDSSVIIQQAVPSPTSSTVITQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSS NVHVPAAVPLVRPVTMVPSVPGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGH GSGLVRTQSEESRPQSLQQPATSTTETPASPAHTTPQTQNTSGRRRRAANEDPDEKRRKF LERNRAAASRCRQKRKVWVQSLEKKAEDLSSLNGQLQSEVTLLRNEVAQLKQLLLAHKDC PVTAMQKKSGYHTADKDDSSEDLSVPSSPHTEAIQHSSVSTSNGVSSTSKAEAVATSVLT QMADQSTEPALSQIVMAPPSQAQPSGS >ENSMUSP00000058521.5 pep:known chromosome:GRCm38:2:73816509:73892614:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000055833.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MSDDKPFLCTAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARNDSVIVADQTPTPTRFLKN CEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPL PHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTSSDSSVIIQQAVPSPTSSTVI TQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSSNVHVPAAVPLVRPVTMVPSV PGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGHGSGLVRTQSEESRPQSLQQP ATSTTETPASPAHTTPQTQNTSGRRRRAANEDPDEKRRKFLERNRAAASRCRQKRKVWVQ SLEKKAEDLSSLNGQLQSEVTLLRNEVAQLKQLLLAHKDCPVTAMQKKSGYHTADKDDSS EDLSVPSSPHTEAIQHSSVSTSNGVSSTSKAEAVATSVLTQMADQSTEPALSQIVMAPPS QAQPSGS >ENSMUSP00000107638.1 pep:known chromosome:GRCm38:2:73816509:73892631:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000112007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MHCPWVWPDQTPTPTRFLKNCEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPI IRSKIEEPSVVETTHQDSPLPHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTS SDSSVIIQQAVPSPTSSTVITQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSS NVHVPAAVPLVRPVTMVPSVPGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGH GSGLVRTQSEESRPQSLQQPATSTTETPASPAHTTPQTQNTSGRRRRAANEDPDEKRRKF LERNRAAASRCRQKRKVWVQSLEKKAEDLSSLNGQLQSEVTLLRNEVAQLKQLLLAHKDC PVTAMQKKSGYHTADKDDSSEDLSVPSSPHTEAIQHSSVSTSNGVSSTSKAEAVATSVLT QMADQSTEPALSQIVMAPPSQAQPSGS >ENSMUSP00000107647.2 pep:known chromosome:GRCm38:2:73816509:73892639:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000112016.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MSDDKPFLCTAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARNDSVIVADQTPTPTRFLKN CEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPL PHPESTTSDEKLVRPVTMVPSVPGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVK GHGSGLVRTQSEESRPQSLQQPATSTTETPASPAHTTPQTQNTSGRRRRAANEDPDEKRR KFLERNRAAASRCRQKRKVWVQSLEKKAEDLSSLNGQLQSEVTLLRNEVAQLKQLLLAHK DCPVTAMQKKSGYHTADKDDSSEDLSVPSSPHTEAIQHSSVSTSNGVSSTSKAEAVATSV LTQMADQSTEPALSQIVMAPPSQAQPSGS >ENSMUSP00000133632.1 pep:known chromosome:GRCm38:2:73818822:73863238:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000173010.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MSDDKPFLCTAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARNDSVIVADQTPTPTRFLKN CEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPL PHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTSSDSSVIIQQAVPSPTSSTVI TQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSSNVHVPAAVPLVRPVTMVPSV PGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGHGSGLVRTQSEESRPQSLQQP ATSTTETPASPAHTTPQTQNTSGRRRRAANEDPDEKRRKFLERNRAAASRCRQKRKVWVQ SLEKKAEDLSSLNGQLQ >ENSMUSP00000118357.1 pep:known chromosome:GRCm38:2:73829801:73892530:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000136958.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MSDDKPFLCTAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARNDSVIVADQTPTPTRFLKN CEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPL PHPESTTSDEKLVRPVTMVPSVPGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVK GHGSGLVRTQSEESRPQSLQQPATSTT >ENSMUSP00000118719.1 pep:known chromosome:GRCm38:2:73845439:73892593:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000154456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MHCPWVWPDQTPTPTRFLKNCEEVGLFNELASPFENEFKKASEDDIKKEVPLAQTAQPTS AIVRPASLQVPNVLLTSSDSSVIIQQAVPSPTSSTVITQAPSSNRPIVPVPGPFPLLLHL PNGQTMPVAIPASITSSNVHVPAAVP >ENSMUSP00000118560.1 pep:known chromosome:GRCm38:2:73845440:73892553:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000128531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MSDDKPFLCTAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARNDSVIVADQTPTPTRFLKN CEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPL PHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTSSDSSVIIQQAVPSPTSSTVI TQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSSNVHVPAAVP >ENSMUSP00000097588.4 pep:known chromosome:GRCm38:2:73816524:73863290:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000100009.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MSDDKPFLCTAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARNDSVIVADQTPTPTRFLKN CEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPL PHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTSSDSSVIIQQAVPSPTSSTVI TQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSSNVHVPAAVPLVRPVTMVPSV PGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGHGSGLVRTQSEESRPQSLQQP ATSTTETPASPAHTTPQTQNTSGRRRRAANEDPDEKRRKFLERNRAAASRCRQKRKVWVQ SLEKKAEDLSSLNGQLQSEVTLLRNEVAQLKQLLLAHKDCPVTAMQKKSGYHTADKDDSS EDLSVPSSPHTEAIQHSSVSTSNGVSSTSKAEAVATSVLTQMADQSTEPALSQIVMAPPS QAQPSGS >ENSMUSP00000107648.1 pep:known chromosome:GRCm38:2:73818266:73892555:-1 gene:ENSMUSG00000027104.18 transcript:ENSMUST00000112017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf2 description:activating transcription factor 2 [Source:MGI Symbol;Acc:MGI:109349] MSDDKPFLCTAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARNDSVIVADQTPTPTRFLKN CEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPL PHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTSSDSSVIIQQAVPSPTSSTVI TQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSSNVHVPAAVPLVRPVTMVPSV PGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGHGSGLVRTQSEESRPQSLQQP ATSTTETPASPAHTTPQTQNTSGRRRRAANEDPDEKRRKFLERNRAAASRCRQKRKVWVQ SLEKKAEDLSSLNGQLQSEVTLLRNEVAQLKQLLLAHKDCPVTAMQKKSGYHTADKDDSS EDLSVPSSPHTEAIQHSSVSTSNGVSSTSKAEAVATSVLTQMADQSTEPALSQIVMAPPS QAQPSGS >ENSMUSP00000056610.4 pep:known chromosome:GRCm38:7:127442136:127449158:-1 gene:ENSMUSG00000048921.11 transcript:ENSMUST00000053392.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp689 description:zinc finger protein 689 [Source:MGI Symbol;Acc:MGI:1918381] MAPPSAPLLLRAVGEAGPTRKRGRRPRALKFVDVAVYFSSEEWGCLQPAQRTLYRDVMRE TYGLLGALGCAGPKPALISWLERNTDDWEPAALDPQEYRRWVTFQRKTRSKQKTEEKDVF PPKEAPRKGKRGRKPSKPRLIPRQTSGGPICPDCGCTFPDHLALESHKCAQNLKKPYPCP DCGRRFSYPSLLVSHRRAHSGECPYVCDQCGKRFSQRKNLSQHQVIHTGEKPYHCPDCGR CFRRSRSLANHRTTHTGEKPHQCPSCGRRFAYPSLLAIHQRTHTGEKPYTCLECSRRFRQ RTALVIHQRIHTGEKPYPCPDCERRFSSSSRLVSHRRVHSGERPYACENCEARFSQRSTL LQHQLLHTGEKPYPCPDCGRAFRRSGSLAIHRSTHTEEKLHACDDCGRRFAYPSLLASHR RVHSGERPYACDLCSKRFAQWSHLSQHQLLHTGEKPFPCLECGRCFRQRWSLAVHKCCPN TPNGSPRPLIGGPSQRSSAL >ENSMUSP00000101906.1 pep:known chromosome:GRCm38:7:127443755:127448993:-1 gene:ENSMUSG00000048921.11 transcript:ENSMUST00000106299.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp689 description:zinc finger protein 689 [Source:MGI Symbol;Acc:MGI:1918381] MAPPSAPLLLRAVGEAGPTRKRGRRPRALKFVDVAVYFSSEEWGCLQPAQRTLYRDVMRE TYGLLGALGCAGPKPALISWLERNTDDWEPAALDPQEYRRWVTFQRKTRSKQKTEEKDVF PPKEAPRKGKRGRKPSKPRLIPRQTSGGPICPDCGCTFPDHLALESHKCAQNLKKPYPCP DCGRRFSYPSLLVSHRRAHSGECPYVCDQCGKRFSQRKNLSQHQLLHTGEKPYPCPDCGR AFRRSGSLAIHRSTHTEEKLHACDDCGRRFAYPSLLASHRRVHSGERPYACDLCSKRFAQ WSHLSQHQLLHTGEKPFPCLECGRCFRQRWSLAVHKCCPNTPNGSPRPLIGGPSQRSSAL >ENSMUSP00000000260.5 pep:known chromosome:GRCm38:13:45507444:45546382:1 gene:ENSMUSG00000000253.12 transcript:ENSMUST00000000260.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmpr description:guanosine monophosphate reductase [Source:MGI Symbol;Acc:MGI:1913605] MPRIDADLKLDFKDVLLRPKRSSLKSRSEVDLERTFTFRNSKQTYSGIPIIVANMDTVGT FEMAVVMSQHAMFTAVHKHYSLDDWKCFAETHPECLQHVAVSSGSGQNDLERMSRILEAV PQVKFICLDVANGYSEHFVEFVKLVRSKFPEHTIMAGNVVTGEMVEELILSGADIIKVGV GPGSVCTTRTKTGVGYPQLSAVIECADSAHGLKGHIISDGGCTCPGDVAKAFGAGADFVM LGGMFSGHTECAGEVIERNGQKLKLFYGMSSDTAMKKHAGGVAEYRASEGKTVEVPYKGD VENTILDILGGLRSTCTYVGAAKLKELSRRATFIRVTQQHNTVFG >ENSMUSP00000120825.1 pep:known chromosome:GRCm38:13:45513840:45545898:1 gene:ENSMUSG00000000253.12 transcript:ENSMUST00000128873.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmpr description:guanosine monophosphate reductase [Source:MGI Symbol;Acc:MGI:1913605] LPAEPKGKGIKELWLGAGKCVEWFKVDLERTFTFRNSKQTYSGIPIIVANMDTVGTFEMA VVMSQHAMFTAVHKHYSLDDWKCFAETHPECLQHVAVSSGSGQNDLERMSRILEAVPQVK FICLDVANGYSEHFVEFVKLVRSKFPEHTIMAGNVVTGEMVEELILSGADIIKVGVGPGS VCTTRTKTGVGYPQLSAVIECADSAHGLKGHIISDGGCTCPGDVAKAFGAGADFVMLGGM FSGHTECAGEVIERNGQKLKLFYGMSSDTAMKKHAGGVAEYRASEGKTVE >ENSMUSP00000103145.1 pep:known chromosome:GRCm38:4:59964294:60007120:1 gene:ENSMUSG00000078689.8 transcript:ENSMUST00000107521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup6 description:major urinary protein 6 [Source:MGI Symbol;Acc:MGI:3650962] MERPTEDTVLVGLHRKQNVVSCMINGEWYTIILASDKRAKIEEHGIMRLFVEHIHVLENS LGFKFHTVIDEECSEIFLVADKTEKAGEYSVTYDGFKKFTVLKTDYDNYIMFHLINEMNG ETFQLMSLYGREPDLNSDIKEKFVKLCEEHGIIRENIIDFTKTNRCLQARE >ENSMUSP00000103141.1 pep:known chromosome:GRCm38:4:60003438:60007274:1 gene:ENSMUSG00000078689.8 transcript:ENSMUST00000107517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup6 description:major urinary protein 6 [Source:MGI Symbol;Acc:MGI:3650962] MKLLLLCLGLILVCVHAEEASSMGRNFNVEKINGEWYTIILASDKRAKIEEHGIMRLFVE HIHVLENSLGFKFHTVIDEECSEIFLVADKTEKAGEYSVTYDGFKKFTVLKTDYDNYIMF HLINEMNGETFQLMSLYGREPDLNSDIKEKFVKLCEEHGIIRENIIDFTKTNRCLQARE >ENSMUSP00000103144.1 pep:known chromosome:GRCm38:4:60003549:60006214:1 gene:ENSMUSG00000078689.8 transcript:ENSMUST00000107520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup6 description:major urinary protein 6 [Source:MGI Symbol;Acc:MGI:3650962] MKLLLLCLGLILVCVHAEEASSMGRNFNVEKINGEWYTIILASDKRAKIEEHGIMRLFVE HIHVLENSLGFKFHTVIDEECSEIFLVADKTEKAGEYSVTYDGFKKFTVLKTDYDNYIMF HLINEMNGETFQLMSLYGREPDLNSDIKEKFVKLCEEHGIIRENIIDFTKTNRCLQARE >ENSMUSP00000122205.1 pep:known chromosome:GRCm38:11:69299487:69323775:-1 gene:ENSMUSG00000032782.19 transcript:ENSMUST00000123176.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cntrob description:centrobin, centrosomal BRCA2 interacting protein [Source:MGI Symbol;Acc:MGI:2443290] MATAAPSPSSPLRPEDLLSDSSEPPGLNQVSSEVTSQLYTSLHLSRQAEATARAQLYLAP TSSPPNEGLDSLAQELSRSLSVGLENNLKKKELVQRDGKRTPLTVIAQLPCSTPGLCKTC LHPALPRHWKSCFPATLAFGPAPPPTPQIFRG >ENSMUSP00000090651.5 pep:known chromosome:GRCm38:11:69299487:69323775:-1 gene:ENSMUSG00000032782.19 transcript:ENSMUST00000092973.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrob description:centrobin, centrosomal BRCA2 interacting protein [Source:MGI Symbol;Acc:MGI:2443290] MATAAPSPSSPLRPEDLLSDSSEPPGLNQVSSEVTSQLYTSLHLSRQAEATARAQLYLAP TSSPPNEGLDSLAQELSRSLSVGLENNLKKKDGSKHIFEMESVRGQLQTMLHTSRDTAYR TGSERREEDSFDSDSTATLLNTRPLQDLSPSSSAPALEELFPRYTSLRPGPPTNPPDFQG LRDALDAELTRRKHCERHIQSLQTRVLELQQQLAVAVAADHKKDLMIEQLDKTLARVVEG WNRHEAERTEVLRGLQEERQAAELTRSKQQETVTRLEQSLSEAMEALSREQEGARLQQRE KEALEEERQALTLRLEVEQQQCRTLQEERDEARAGQLSEHRKLEALQVALQEERQAWIKQ EHQLKERLQALQEEGQAQLEREKGNSQREAQAAWETQQQFALLQTEVRRLEGDLDTVRRE RDALQLEMSLVQARYESQRIQMESELAVQLEQRVTERLAEAQENSLRQAASLRDHHRKQL QELSGQHQQELAAQLAQFKVEMADREERQQQVAQDYELRLAREQARVRDLKSGNQQLEEQ RAELVERLQAMLQAHWEEANQLLSTTLLPPNPQAPLAEPSSPGPLEPEKGERRTWAMPPM AVALKPVLQQSREVKGDVPGAPSVLCSTSPDLSLLLGPPFQNQNSFQPLEPKPDVTPPTA GPFSALEAFTDDHRAERPFPEEDPGSDGDARLPPASQLEGLKNFLQQLLETAPQSNGNPS ADLLLPKAGSRAVSSWEEAPQVPRLPPPVHKTKVPLAMASSLFRVHGLPSTNLQGSGLST GSPEKDGLNLVDVSELLRLYQARGWGALPAEDLLLYLKRLEHSGTDGQGELVPRRNTDSR LGETTRKEIPSQAVPRRLASVPKTEKPARKKSGHPGPSMRSRGGIWR >ENSMUSP00000135258.1 pep:known chromosome:GRCm38:11:69299540:69319467:-1 gene:ENSMUSG00000032782.19 transcript:ENSMUST00000176938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrob description:centrobin, centrosomal BRCA2 interacting protein [Source:MGI Symbol;Acc:MGI:2443290] XLARVVEGWNRHEAERTEVLRGLQEERQAAELTRSKQQEMRPALLLFCHSF >ENSMUSP00000134842.1 pep:known chromosome:GRCm38:11:69299701:69303154:-1 gene:ENSMUSG00000032782.19 transcript:ENSMUST00000130780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrob description:centrobin, centrosomal BRCA2 interacting protein [Source:MGI Symbol;Acc:MGI:2443290] HGLPSTNLQGSGLSTGSPEKELMAKGSLSPEETQTPVWVRPLGKRSPPRLSLDALLQSLR LKSLHGRKVGIPALA >ENSMUSP00000115422.1 pep:known chromosome:GRCm38:11:69308414:69309442:-1 gene:ENSMUSG00000032782.19 transcript:ENSMUST00000135979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntrob description:centrobin, centrosomal BRCA2 interacting protein [Source:MGI Symbol;Acc:MGI:2443290] XELVERLQAMLQAHWEEANQLLSTTLLPPNPQ >ENSMUSP00000031898.4 pep:known chromosome:GRCm38:6:41951630:41956098:1 gene:ENSMUSG00000029865.4 transcript:ENSMUST00000031898.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sval1 description:seminal vesicle antigen-like 1 [Source:MGI Symbol;Acc:MGI:1918828] MASLQILSQPQAVTHFLILCLILEIASGQDNRNNLLSLNLKVSSMNKANEFAVTLTVTNN VDKCMVVKISIEDNPNIKYLSAKATYTACICTVNNYFWDIQVFANTVLQGKAEVVSAKEI CPDGENIFPVTSYVETVTGEILVTPRTRCPP >ENSMUSP00000101899.1 pep:known chromosome:GRCm38:7:127470371:127476759:1 gene:ENSMUSG00000030822.15 transcript:ENSMUST00000106292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr14 description:proline rich 14 [Source:MGI Symbol;Acc:MGI:2384565] MDLPGNSSPFTQPSLCRQPLSRASWEARSPKRPRLQPLGTPSSLEKASRRVLAVVLEDVM TTNRVPLTHKEDTPSPLTHNHHQDPVCTQSPALPSQQVKWSMQARPPDPLHLCREPLTRA RQSSPALRMRSRAASGPEESPSKKTDQVPQPTLVVVLEDIASGRQPAEGFDEDQPNLIVP AQSTFRSLKGPGKHCHRRGLDLEARPTLTLSLHPRAEPVTKAGQPMPTPSDLEPPFQLST LPADPPESPVPDPALETPVIPTSSSLLRPRLSPWGLAPLFRSVRSKLESFADIFFTPNKT PQPPPPSPPMKLELKIAISEAEQSRATEKITSVSPRPPIRQWRTQCNSLAPVSKSSLGRS YSCPDLGPPDPGSWPPVPSQPSQSRPRRHTVGCGEMARTPPPPRPCLRKEVFPLGGVGVS PSLTTSCSANAPASFFCEPAEPRLGSTKGKELRASKDKVFSDPETKTMGKVSRFRIRRTP VRLQPNLTPMGLPRPIRLNKKEFTLEEIYTNKNYQSPTTRRTFETIFEEPRERNGTLIFT SSRKLRRAVEFRDSSLPRSRRPSRGVRTAASRTLTPNLAPSQDVGSLLQERLRELDALLL EEETDKEHPCHL >ENSMUSP00000146250.1 pep:known chromosome:GRCm38:7:127471019:127473854:1 gene:ENSMUSG00000030822.15 transcript:ENSMUST00000133817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr14 description:proline rich 14 [Source:MGI Symbol;Acc:MGI:2384565] MTTNRVPLTHKEDTPSPLTHNHHQDPVCTQSPALPSQQVKWSMQARPPDPLHLCREPLTR ARQSS >ENSMUSP00000117195.1 pep:known chromosome:GRCm38:7:127471029:127473717:1 gene:ENSMUSG00000030822.15 transcript:ENSMUST00000133938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr14 description:proline rich 14 [Source:MGI Symbol;Acc:MGI:2384565] MDLPGNSSPFTQPSLCRQPLSRASWEARSPKRPRLQPLGTPSSLEKASRRVLAVVLEDVM TTNRVPLTHKEDTPSPLTHNHHQDPVCTQSPALPSQQVKWSMQAR >ENSMUSP00000033095.8 pep:known chromosome:GRCm38:7:127471490:127476758:1 gene:ENSMUSG00000030822.15 transcript:ENSMUST00000033095.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr14 description:proline rich 14 [Source:MGI Symbol;Acc:MGI:2384565] MDLPGNSSPFTQPSLCRQPLSRASWEARSPKRPRLQPLGTPSSLEKASRRVLAVVLEDVM TTNRVPLTHKEDTPSPLTHNHHQDPVCTQSPALPSQQVKWSMQARPPDPLHLCREPLTRA RQSSPALRMRSRAASGPEESPSKKTDQVPQPTLVVVLEDIASGRQPAEGFDEDQPNLIVP AQSTFRSLKGPGKHCHRRGLDLEARPTLTLSLHPRAEPVTKAGQPMPTPSDLEPPFQLST LPADPPESPVPDPALETPVIPTSSSLLRPRLSPWGLAPLFRSVRSKLESFADIFFTPNKT PQPPPPSPPMKLELKIAISEAEQSRATEKITSVSPRPPIRQWRTQCNSLAPVSKSSLGRS YSCPDLGPPDPGSWPPVPSQPSQSRPRRHTVGCGEMARTPPPPRPCLRKEVFPLGGVGVS PSLTTSCSANAPASFFCEPAEPRLGSTKGKELRASKDKVFSDPETKTMGKVSRFRIRRTP VRLQPNLTPMGLPRPIRLNKKEFTLEEIYTNKNYQSPTTRRTFETIFEEPRERNGTLIFT SSRKLRRAVEFRDSSLPRSRRPSRGVRTAASRTLTPNLAPSQDVGSLLQERLRELDALLL EEETDKEHPCHL >ENSMUSP00000146235.1 pep:known chromosome:GRCm38:7:127473623:127475270:1 gene:ENSMUSG00000030822.15 transcript:ENSMUST00000132819.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prr14 description:proline rich 14 [Source:MGI Symbol;Acc:MGI:2384565] XSPLTHNHHQDPVCTQSPALPSQQVKWSMQARPPDPLHLCREPLTRARQSSPALRMRSRA ASGPEESPSKKTDQVPQPTLVVVLEDIASGRQPAEHLQKPEGPGEALPQKGSGLGSTPNS YFVPSPES >ENSMUSP00000145988.1 pep:known chromosome:GRCm38:7:127475519:127476755:1 gene:ENSMUSG00000030822.15 transcript:ENSMUST00000206915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr14 description:proline rich 14 [Source:MGI Symbol;Acc:MGI:2384565] RKEVFPLGGVGVSPSLTTSCSANAPASFFCEPAEPRLGSTKGKELRASKDKVFSDPETKT MGKVSRFRIRRTPVRLQPNLTPMGLPRPIRLNKKEFTLEEIYTNKNYQSPTTRSSRKLRR AVEFRDSSLPRSRRPSRGVRTAASRTLTPNLAPSQDVGSLLQERLRELDALLLEEETDKE HPCHL >ENSMUSP00000037586.2 pep:known chromosome:GRCm38:2:118277110:118373419:-1 gene:ENSMUSG00000040133.2 transcript:ENSMUST00000039160.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr176 description:G protein-coupled receptor 176 [Source:MGI Symbol;Acc:MGI:2685858] MGHNSSWVSPNTSHPRNTSGAEAGANLSAFGELSEAQLYRQFTTTVQVVIFIGSLLGNFM VLWSTCRTTVFKSVTNRFIKNLACSGICASVVCVPFDIILSSSPHCCWWIYTMLFCKVLK FLHKVFCSVTVLSFPAIALDRYYSVLYPLERKISDAKSRELVMYIWAHAVVASVPVFAVT NVADIYAMSTCTEVWSNSLGHLVYVLIYNVTTVIVPVAVVFLFLILIRRALSASQKKKVI IAALRTPQNTISIPYASQREAELHATLLSMVTVFILCSVPYATLVVYQTVLNVPNTSVFL LLTAIWLPKVSLLANPVLFLTVNKSVRKCLVGTLVQLHHRYSRRNVVSTGSGVAEPSLEP SMRSGSQLLEMFHIGQQQIFKPSEDEEESEAKYLGSADFQAKEVLTSCPEGEQEPPQLAP SVPPPGTVDSEPRVSPVAPMESGIFPDKYSLQFGFGPFELPPQWLSETRNSKKRLLPPLG NTPEELIQTKVPRVNRVERKMSRNNKVSIFPKVDS >ENSMUSP00000059457.7 pep:known chromosome:GRCm38:7:46990396:47008411:-1 gene:ENSMUSG00000049516.9 transcript:ENSMUST00000061639.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spty2d1 description:SPT2, Suppressor of Ty, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2142062] MDFREILLIASKGQGVNHVPKRYSLAVGPPKKDPKVKGVQSAAVQAFLRRKEEELRQKAL EEKKRKEELVKKRIELKHDKKARAMAKRTKDNFHGYDGIPVEEKTKKKQLVESHLNQGTD QEYDVEEEDFIDYNQAELDQDYEEEQEPPKAESKPKAPLKSAPSPMNFTDLLRLAEKKQF EPVEIKVVKKAEDRPLTAEELREREFLERKHRKKKPEPDAKLPPPVLKKAPSHKDIMGTK PSRGAGDRQLASKGLPFPQAEKKFRPSTASEKQAALSSPKSLPGERTKVGSGSSTQPSLR EGHNRPVFNGAGKPRPSTCSPSVPKTPASGTQKSASEHKAKKPLPSHPSHSKPGPTVLSH NKSKSPGVRQPGSNSGSAPGQPNPGTARPTLSSGPVPRRQNGSSSSGPEQSAGGIRKLAS NSHLSGRTLNGTNGPGRPASSSSGPGRPISGSAGSGRPVGSSGGPGQPVNNPHDLRRPMN SLSSPGRAVSGPGRSISGSIPAGRTVNSGPGRPVSSLGPGRAVSNPGLPTKPRCTVVSET ISSKNIISRSSNGQINGMKPLLSGYRSAQGPQRLPFPTGYKRPREYEEDDDDEYDSEMDD FIEDEGEPQEEISKHIREIFGYDRKKYKDESDYALRYMESSWKEQQKEEAKSLRLGMQED LEEMRREEEELKRRKAKKLKRH >ENSMUSP00000094099.2 pep:known chromosome:GRCm38:X:92489949:92490681:1 gene:ENSMUSG00000071726.2 transcript:ENSMUST00000096371.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5941 description:predicted gene 5941 [Source:MGI Symbol;Acc:MGI:3646197] MVKATKKRHNLLQDVEPSTKTSKPKRVMNCLIPDAVPSTWTSEPKTVDTCLTPDVEPSTS TAEPKTVKICLHQFQSKCSKKIRRPKVPTTKSKKTLGRMLKKKTSKKNTAPKRRFDL >ENSMUSP00000005336.2 pep:known chromosome:GRCm38:11:69673115:69681846:-1 gene:ENSMUSG00000005204.12 transcript:ENSMUST00000005336.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp3 description:SUMO/sentrin specific peptidase 3 [Source:MGI Symbol;Acc:MGI:2158736] MKETIQGTGSWGPEPPGPGTTYSNPRRERLRWPLPPKPRLKSGGGFGPDPGSGTTVPTRR LPAPRPSFDASASEEEEEEEEEDEEEVAAWRLPPRWGQLGASQRSRALRPSHRKTCSQRR RRAMRAFQMLLYSKSTSLTFHWKLWGRHRGRRRNLAHPKNHLSPQEGGATPQVPSPCCRF DSPRGLPPPRLGLLGALMAEDGMRGSPPVPSGPPMEEDGLRWTPKSPLDPDSGLLSCTLP NGFGGLSGPEGERSLAPPDASILISNVCSIGDHVAQELFQSSDLGIAEEADRTGEKAGQH SPLREEHVTCVQSILDEFLQTYGSLIPLSTDEVVEKLEDIFQQEFSTPSRKSLVLQLIQS YQRMPGNAMVRGFRVSYKRHVLTMDDLGTLYGQNWLNDQVMNMYGDLVMDTVPEKVHFFN SFFYDKLRTKGYDGVKRWTKNVDIFNKELLLIPIHLEVHWSLISVDVRRRTITYFDSQRT LNRRCPKHIAKYLQAEAVKKDRLDFHQGWKGYFKMNVARQNNDSDCGAFVLQYCKHLALS QPFSFTQQDMPKLRRQIYKELCHCKLTV >ENSMUSP00000066581.1 pep:known chromosome:GRCm38:11:69673121:69682084:-1 gene:ENSMUSG00000005204.12 transcript:ENSMUST00000066760.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp3 description:SUMO/sentrin specific peptidase 3 [Source:MGI Symbol;Acc:MGI:2158736] MKETIQGTGSWGPEPPGPGTTYSNPRRERLRWPLPPKPRLKSGGGFGPDPGSGTTVPTRR LPAPRPSFDASASEEEEEEEEEDEEEVAAWRLPPRWGQLGASQRSRALRPSHRKTCSQRR RRAMRAFQMLLYSKSTSLTFHWKLWGRHRGRRRNLAHPKNHLSPQEGGATPQVPSPCCRF DSPRGLPPPRLGLLGALMAEDGMRGSPPVPSGPPMEEDGLRWTPKSPLDPDSGLLSCTLP NGFGGLSGPEGERSLAPPDASILISNVCSIGDHVAQELFQSSDLGIAEEADRTGEKAGQH SPLREEHVTCVQSILDEFLQTYGSLIPLSTDEVVEKLEDIFQQEFSTPSRKSLVLQLIQS YQRMPGNAMVRGFRVSYKRHVLTMDDLGTLYGQNWLNDQVMNMYGDLVMDTVPEKVHFFN SFFYDKLRTKGYDGVKRWTKNVDIFNKELLLIPIHLEVHWSLISVDVRRRTITYFDSQRT LNRRCPKHIAKYLQAEAVKKDRLDFHQGWKGYFKMNVARQNNDSDCGAFVLQYCKHLALS QPFSFTQQDMPKLRRQIYKELCHCKLTV >ENSMUSP00000114791.1 pep:known chromosome:GRCm38:11:69673341:69678687:-1 gene:ENSMUSG00000005204.12 transcript:ENSMUST00000134942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp3 description:SUMO/sentrin specific peptidase 3 [Source:MGI Symbol;Acc:MGI:2158736] XSTDEVVEKLEDIFQQEFSTPSRKSLVLQLIQSYQRMPGNAMVDIFNKELLLIPIHLEVH WSLISVDVRRRTITYFDSQRTLNRRCPKHIAKYLQAEAVKKDRLDFHQGWKGYFKMNVAR QNNDSDCGAFVLQYCKHLALSQPFSFTQQDMPKLRRQIYKELCHCKLTV >ENSMUSP00000029711.2 pep:known chromosome:GRCm38:3:87796951:87816101:1 gene:ENSMUSG00000005640.11 transcript:ENSMUST00000029711.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insrr description:insulin receptor-related receptor [Source:MGI Symbol;Acc:MGI:1346037] MAVPALWPWGVHLLMSLLSLGSGLDTLEVCPSLDIRSEVTELRRLENCSVVEGHLQILLM FAATGEDFRGLSFPRLTQVTDYLLLFRVYGLESLRDLFPNLTVIRGTRLFLGYALIIFEM PHLRDVGLPSLGAVLRGAVRVEKNQELCHLSTIDWGLLQPAPGTNHIVGNKLGEECADVC PGVLGAAGEPCSRTTFSGRTDYRCWTSSHCQKVCPCPRGMACTAGGDCCHSECLGGCSQP EDPRACVACRHLYFQGVCLRACPPGTYQYESWRCVTAELCAHLREVPGLATTFGIYEGSC LAQCPPGFTRNGSSIFCHKCEGLCPKECKVGTKTIDSVQATQDLVGCTHVEGNLILNLRQ GYNLEPELQRNLGLVETITGFLKIKHSFALVTLGFFKNLKLIRGDSMVDGNYTLYVLDNQ NLQQLGSWVTAGLTIPVGKIYFAFNPRLCLEHIYQLEEVTGTRGRQSKAEINPRTNGDRA ACQTRTLRFVFNLTEEDRILLRWERYEPLEARDLLSFIVYYKESPFQNATEHVGPDACGT QSWNLLDVELPLSRTQEPGVTLAPLKPWTQYAVFVRAITLTTAEDSPHQGAQSPIVYLRT LPAAPTVPQDVISTSNSSSHLLVRWKPPVQRNGNITYYLVLWQRLAEDGDLYINDYCHRG LRLPTSSHDTRFDREDPALEAEPEQGCCPCQHSPPGQALPALEAQEVTFQKKFENFLHHA ITIPKAPWKVTSINKNPQRDSERHRREAGLLRLGKNNSDFEIQEDKVPRERAILSGLRHF TEYRIDIHACNHAAHTVGCSAATFVFARTMPHREADGIPGKVAWKAAGKSSVTLHWLEPP DPNGLILKYEIKYRRLGEEATVLCVSRLRYAKVGGVHLALLPPGNYSAKVRATSLAGNGS WTDGVTFYITDLEEEDTGGMRIFLTVTPVGFMLLVTLAALGFFYSRKRNSTLYTSVNPEY FSASHMYVPDEWEVPREQIAIIRELGQGSFGMVYEGLARGLEAGEESTPVALKTVNELAS ARERVEFLKEASVMKAFKCHHVVRLLGVVSQGQPTLVIMELMTRGDLKSHLRSLRPEAEN NPGLPQPALSDMIQMAGEIADGMAYLAAKKFVHRDLAARNCMVSQDFTVKIGDFGMTRDV YETDYYRKGGKGLLPVRWMAPESLKDGIFTTHSDVWSFGVVLWEIVTLAEQPYQGLSNEQ VLKFVMDGGVLEELENCPIQLQELMRLCWQHSPRLRPTFVHILDRIQDELRPSFRLCSFY YSPECQRGQASLLPTEAEPDSPPTLNGASDYSAPNGGPGH >ENSMUSP00000103208.2 pep:known chromosome:GRCm38:3:87797094:87816052:1 gene:ENSMUSG00000005640.11 transcript:ENSMUST00000107582.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insrr description:insulin receptor-related receptor [Source:MGI Symbol;Acc:MGI:1346037] MAVPALWPWGVHLLMSLLSLGSGLDTLEVCPSLDIRSEVTELRRLENCSVVEGHLQILLM FAATGEDFRGLSFPRLTQVTDYLLLFRVYGLESLRDLFPNLTVIRGTRLFLGYALIIFEM PHLRDVGLPSLGAVLRGAVRVEKNQELCHLSTIDWGLLQPAPGTNHIVGNKLGEECADVC PGVLGAAGEPCSRTTFSGRTDYRCWTSSHCQKVCPCPRGMACTAGGDCCHSECLGGCSQP EDPRACVACRHLYFQGVCLRACPPGTYQYESWRCVTAELCAHLREVPGLATTFGIYEGSC LAQCPPGFTRNGSSIFCHKCEGLCPKECKVGTKTIDSVQATQDLVGCTHVEGNLILNLRQ GYNLEPELQRNLGLVETITGFLKIKHSFALVTLGFFKNLKLIRGDSMVDGNYTLYVLDNQ NLQQLGSWVTAGLTIPVGKIYFAFNPRLCLEHIYQLEEVTGTRGRQSKAEINPRTNGDRA ACQTRTLRFVFNLTEEDRILLRWERYEPLEARDLLSFIVYYKESPFQNATEHVGPDACGT QSWNLLDVELPLSRTQEPGVTLAPLKPWTQYAVFVRAITLTTAEDSPHQGAQSPIVYLRT LPAAPTVPQDVISTSNSSSHLLVRWKPPVQRNGNITYYLVLWQRLAEDGDLYINDYCHRG LRLPTSSHDTRFDREDPALEAEPEQGCCPCQHSPPGQALPALEAQEVTFQKKFENFLHHA ITIPKAPWKVTSINKNPQRDSERHRREAGLLRLGKNNSDFEIQEDKVPRERAILSGLRHF TEYRIDIHACNHAAHTVGCSAATFVFARTMPHREADGIPGKVAWKAAGKSSVTLHWLEPP DPNGLILKYEIKYRRLGEEATVLCVSRLRYAKVGGVHLALLPPGNYSAKVRATSLAGNGS WTDGVTFYITDLEEEDTGGMRIFLTVTPVGFMLLVTLAALGFFYSRKRNSTLYTSVNPEY FSASHMYVPDEWEVPREQIAIIRELGQGSFGMVYEGLARGLEAGEESTPVALKTVNELAS ARERVEFLKEASVMKAFKCHHVVRLLGVVSQGQPTLVIMELMTRGDLKSHLRSLRPEAEN NPGLPQPALSDMIQMAGEIADGMAYLAAKKFVHRDLAARNCMVSQDFTVKIGDFGMTRDV YETDYYRKGGKGLLPVRWMAPESLKDGIFTTHSDVWSFGVVLWEIVTLAEQPYQGLSNEQ VLKFVMDGGVLEELENCPIQLQELMRLCWQHSPRLRPTFVHILDRIQDELRPSFRLCSFY YSPECQRGQASLLPTEAEPDSPPTLNGASDYSAPNGGPGH >ENSMUSP00000109588.1 pep:known chromosome:GRCm38:X:92118879:92599572:-1 gene:ENSMUSG00000067649.3 transcript:ENSMUST00000113955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb18 description:melanoma antigen family B, 18 [Source:MGI Symbol;Acc:MGI:3045344] MPRGQKSKLRAREKRRQARAKNAQEKEASPGPFYGCPHQASPVASMPTSPNMPMGEQSTF SHSYTSTSDQNLDEKSSDDSEDTEDWCKDPINHKVVLLVQFLMEKYQKKEVITKADMLKY VIKTSKNHFNEILKRASEHMELAFGIDLKEVDPNRHCYALFNKLEHTFDGVMGEEKMPSS GLLMIVLGVIFMNDNCVSETEIWNVLSMMGVYANRKHFIYGDPKKVITEDMVQLKYLEYQ QVPNSNPPSFEFTWGPRACAEISKMKILEFWAKIHDTTPDSFPTLYEAALKDEEERAQAR AVARAHTAAMASPYSRATVCSSSHTNI >ENSMUSP00000085460.2 pep:known chromosome:GRCm38:X:92119201:92120771:-1 gene:ENSMUSG00000067649.3 transcript:ENSMUST00000088137.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb18 description:melanoma antigen family B, 18 [Source:MGI Symbol;Acc:MGI:3045344] MPRGQKSKLRAREKRRQARAKNAQEKEASPGPFYGCPHQASPVASMPTSPNMPMGEQSTF SHSYTSTSDQNLDEKSSDDSEDTEDWCKDPINHKVVLLVQFLMEKYQKKEVITKADMLKY VIKTSKNHFNEILKRASEHMELAFGIDLKEVDPNRHCYALFNKLEHTFDGVMGEEKMPSS GLLMIVLGVIFMNDNCVSETEIWNVLSMMGVYANRKHFIYGDPKKVITEDMVQLKYLEYQ QVPNSNPPSFEFTWGPRACAEISKMKILEFWAKIHDTTPDSFPTLYEAALKDEEERAQAR AVARAHTAAMASPYSRATVCSSSHTNI >ENSMUSP00000033489.7 pep:known chromosome:GRCm38:X:7728439:7731064:1 gene:ENSMUSG00000031149.7 transcript:ENSMUST00000033489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Praf2 description:PRA1 domain family 2 [Source:MGI Symbol;Acc:MGI:1859607] MSEVRLPPLRALDDFVLGSARLAAPDPGDPQRWCHRVINNLLYYQTNYLLCFGISLALAG YIRPLHTLLSALVVVVALGVLVWAAETRAAVRRCRRSHPAACLAAVLAISLFILWAVGGA FTFLLSITAPVFLILLHASLRLRNLKNKIENKIESIGLKRTPMGLLLEALGQEQEAGS >ENSMUSP00000094365.4 pep:known chromosome:GRCm38:1:163024302:163085670:-1 gene:ENSMUSG00000071890.4 transcript:ENSMUST00000096608.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh9 description:maestro heat-like repeat family member 9 [Source:MGI Symbol;Acc:MGI:1925508] MIQETTNTMTRLQTLQSSVTWYHVEFKAEILLDTYSGLLSEQSIILAMNSSFVDPLVQFE SQLQIIELSFRKVFSMPSLSTVKAMGRTSEDTEDLENLYQNIFNIFEDTLLILVSKDFYK IQILKEMATWMSEDSQYQQERAMMVITRVLIFASKRVKKYRSIDAPCLGLLAAELSLFCS HNDPEIIQQAMAGMNYVLYIALCQNSNVVKFRSSKPSEEGSNIKVMTSGVESLAKMTQGE KTKIAQSVGQILLPQLLTDFVWTLLKKLSSPNNKMAIEAASLLKLTLEFHAQKITRVSKI VEDIYEQLCGNPSPMMKSVMLHVISVLTRASPKKVIFQLMEFPVPADKTLLLLWQAASME SSVASEVLKTILLILKGKPGEIEETLGEKRRFSLDATNMMPVAASQALCTFLPVTSYEKV VVKLFPEFLMALLLQLSYCSHHLKNTARNRPLYVRDALKALLNCSGLEEVDKALEKKNFW DQFSQVMDHQYGIHLIAKTLSECNFPQFPETLHYVYKIAVEGPRRSEDSIVTIIFFAELL NNFFKESLPEEFLVLFRNWINDSNPSVGKMSLQKIASMAPVFSEIESVCNLLLPVLDAFL SKEHTVIIRAMLTLRNILSKLDKKIYSTVCIRIASSYSPLMDHVNSGIQCMAIRHFGELL MDMNHYNWMLKHIVLGSLVPLILFLESKETRIVKACRYTLTICVTQLKWSTASLLKEETY NFESVVLDICNNLLSSYESYITDLISDTLGFLGSSRDFLKKGAIILVGYLGKSGEHLLLR DEIDIMIEVIERLTRVEDALIKELAEKTRKLFKEIANRMSSSTIKQTFRKWVKMFRTKKL KLIYDVASIRTLEGNEIEIIKDSLIESNLEKSEKPYRVTKENYFNESLYTV >ENSMUSP00000120629.1 pep:known chromosome:GRCm38:9:72958852:73016319:1 gene:ENSMUSG00000089865.2 transcript:ENSMUST00000149692.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dyx1c1Ccpg1 description:Dyx1c1-Ccpg1 readthrough transcript (NMD candidate) [Source:MGI Symbol;Acc:MGI:5141975] MPVRVSEFSWQQTPATIFLSLPLRGVCVRDADVFCGESYLKVNFPPFLFELFLYAPIDDG KSKAKIGNDTILFTLYKKEPVLWDSLSVPGVDKEMMQRIREKSILQAQEKAKEATEAKAV AKREDQRYALGEMMKIEEEERKKIEDMKENERKKATSELEAWKECQKKADGQKRVQRKEK PLEGKQAEETKALKPRGLPRKAPPTRLPTRGRNWENIFPEKLKEDRVPAPRSAGSIQISF TPRVFPTALRESQVAEEEEWLHKQAEARRAMSTDLPEFFDLKEEERNPDWLKDKGNKLFA TENYLAAVDAYNLAIRLNCKIPLLYLNRAACHLKLKNLHKAIEDSSKALELLTPPVADNA NARMKAHVRRGTAFCQLELYVEDLKNV >ENSMUSP00000020234.7 pep:known chromosome:GRCm38:10:86300372:86349506:1 gene:ENSMUSG00000020044.13 transcript:ENSMUST00000020234.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timp3 description:tissue inhibitor of metalloproteinase 3 [Source:MGI Symbol;Acc:MGI:98754] MTPWLGLVVLLSCWSLGHWGAEACTCSPSHPQDAFCNSDIVIRAKVVGKKLVKEGPFGTL VYTIKQMKMYRGFSKMPHVQYIHTEASESLCGLKLEVNKYQYLLTGRVYEGKMYTGLCNF VERWDHLTLSQRKGLNYRYHLGCNCKIKSCYYLPCFVTSKNECLWTDMLSNFGYPGYQSK HYACIRQKGGYCSWYRGWAPPDKSISNATDP >ENSMUSP00000133236.1 pep:known chromosome:GRCm38:10:86302854:86343981:1 gene:ENSMUSG00000020044.13 transcript:ENSMUST00000132307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timp3 description:tissue inhibitor of metalloproteinase 3 [Source:MGI Symbol;Acc:MGI:98754] MPSWAPVIRAKVVGKKLVKEGPFGTLVYTIKQMKMYRGFSKMPHVQYIHT >ENSMUSP00000099659.3 pep:known chromosome:GRCm38:4:129287617:129308559:1 gene:ENSMUSG00000001333.9 transcript:ENSMUST00000102599.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sync description:syncoilin [Source:MGI Symbol;Acc:MGI:1916078] MASPEPLRGGDGARASREPHTEASFPLQESESPKEAKTFNPEATLSLEGTVNLEDILYLG ASGDFEESFYEEEYEKPALTLFIDESRQPDEALGLEEPVRPEEMLSVEESVTPDEVQISE QPVEPAKSPTACEGEMVATEGSLPAQPIPNTEEDPLSVEDLERLEARFQQCVQAVSQLEE ERDQLIHELVLLREPALQEVQQVHQDILAAYKLHAQAELERDGLREEIRTVKQKLFKVTK ECVAYQYQLECRQQDVAQFADCREALTTRAAQLSEELTQLRDACQKQKEQLQQQLEAPPT QSDGHFLQESRRLSTQFENLMAESRQGLEEEYEPQLLRLLERKEAGTKALQDTQAEIQEM REALRPLEAEARQLQLQNRNLEDQITLVRQKRDEEVQQYREQLEEMEERQRQLRSGVQVQ QQKNKEMERLRMSLAEELSTYKAMLPKSLEQADAPTSQAGGVEAQSPGTV >ENSMUSP00000092539.4 pep:known chromosome:GRCm38:2:70562042:70602014:1 gene:ENSMUSG00000070880.10 transcript:ENSMUST00000094934.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gad1 description:glutamate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:95632] MASSTPSPATSSNAGADPNTTNLRPTTYDTWCGVAHGCTRKLGLKICGFLQRTNSLEEKS RLVSAFRERQSSKNLLSCENSDQGARFRRTETDFSNLFAQDLLPAKNGEEQTAQFLLEVV DILLNYVRKTFDRSTKVLDFHHPHQLLEGMEGFNLELSDHPESLEQILVDCRDTLKYGVR TGHPRFFNQLSTGLDIIGLAGEWLTSTANTNMFTYEIAPVFVLMEQITLKKMREIVGWSN KDGDGIFSPGGAISNMYSIMAARYKYFPEVKTKGMAAVPKLVLFTSEHSHYSIKKAGAAL GFGTDNVILIKCNERGKIIPADLEAKILDAKQKGYVPLYVNATAGTTVYGAFDPIQEIAD ICEKYNLWLHVDAAWGGGLLMSRKHRHKLSGIERANSVTWNPHKMMGVLLQCSAILVKEK GILQGCNQMCAGYLFQPDKQYDVSYDTGDKAIQCGRHVDIFKFWLMWKAKGTVGFENQIN KCLELADYLYAKIKNREEFEMVFDGEPEHTNVCFWYIPQSLRGVPDSPERREKLHRVAPK IKALMMESGTTMVGYQPQGDKANFFRMVISNPAATQSDIDFLIEEIERLGQDL >ENSMUSP00000117721.1 pep:known chromosome:GRCm38:2:70562042:70587136:1 gene:ENSMUSG00000070880.10 transcript:ENSMUST00000130604.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gad1 description:glutamate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:95632] MASSTPSPATSSNAGADPNTTNLRPTTYDTWCGVAHGCTRKLGLKICGFLQRTNSLEEKS RLVSAFRERQSSKNLLSCENSDQGARFRRTETDFSNLFAQDLLPAKNGEEQTAQFLLEVV DILLNYVRKTFDRSTKVLDFHHPHQLLEGMEGFNLELSDHPESLEQILVDCRDTLKYGVR TGHPRFFNQLSTGLDIIGLAGEWLTSTANTNMPSDMRECWLLR >ENSMUSP00000119733.1 pep:known chromosome:GRCm38:2:70562196:70584600:1 gene:ENSMUSG00000070880.10 transcript:ENSMUST00000148210.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gad1 description:glutamate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:95632] MASSTPSPATSSNAGADPNTTNLRPTTYDTWCGVAHGCTRKLGLKICGFLQRTNSLEEKS RLVSAFRERQSSKNLLSCENSDQGARFRRTETDFSNLFAQDLLPAKNGEEQTAQFLLEVV DILLNYVRKTFDRSTKVLDFHHPHQLLEGMEGFNLELSDHPESLEQILVDCRDTLKYGVR TGHPRFFNQLSTGLDIIGLAGEWLTSTANTNMPSDMRECWLLR >ENSMUSP00000119379.1 pep:known chromosome:GRCm38:2:70562899:70585121:1 gene:ENSMUSG00000070880.10 transcript:ENSMUST00000130998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gad1 description:glutamate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:95632] MASSTPSPATSSNAGADPNTTNLRPTTYDTWCGVAHGCTRKLGLKICGFLQRTNSLEEKS RLVSAFRERQSSKNLLSCENSDQGARFRRTETDFSNLFAQDLLPAKNGEEQTAQFLLEVV DILLNYVRKTFDRSTKVLDFHHPHQLLEGMEGFNLELSDHPESLEQILVDCRDTLKYGVR TGHPRFFNQLSTGLDIIGLAGEWLTSTANTNMPSDMRECWLLR >ENSMUSP00000117521.1 pep:known chromosome:GRCm38:2:70553072:70567233:1 gene:ENSMUSG00000070880.10 transcript:ENSMUST00000130618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gad1 description:glutamate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:95632] MASSTPSPATSSNAGADPNTTNLRPTTYDTWCGVAHGCTRKL >ENSMUSP00000116301.1 pep:known chromosome:GRCm38:2:70563442:70585946:1 gene:ENSMUSG00000070880.10 transcript:ENSMUST00000123330.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gad1 description:glutamate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:95632] MASSTPSPATSSNAGADPNTTNLRPTTYDTWCGVAHGCTRKLGLKICGFLQRTNSLEEKS RLVSAFRERQSSKNLLSCENSDQGARFRRTETDFSNLFAQDLLPAKNGEEQTAQFLLEVV DILLNYVRKTFDRSTKVLDFHHPHQLLEGMEGFNLELSDHPESLEQILVDCRDTLKYGVR TGHPRFFNQLSTGLDIIGLAGEWLTSTANTNMFTYEIAPVFVLMEQITLKKMREIVGWSN KDGDGIFSP >ENSMUSP00000045669.7 pep:known chromosome:GRCm38:9:72985429:73016340:1 gene:ENSMUSG00000034563.16 transcript:ENSMUST00000037977.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccpg1 description:cell cycle progression 1 [Source:MGI Symbol;Acc:MGI:1196419] MSESSSDSDSSCGWTVINHEGSDIEIVNSATASDNCGLTLECSLVEQEELPVLYVGHGGE ESSANNTSSVGETMLSSMRETKSAAEVEEAPSPEDNVYFGTTSDDSDIVTLEPPKLEEMG NQEVTIQEAPSSDDLNMGSSSSSQYAFCQPEPVFSSQPSDEESSSDDTSHEPSPAPRRRR NRKKTVSISESEEPPLAEPEDEPSKEPSKRHFSRGLNKCVILALVIAVSMGFGHFYGTIQ IQKQLVRKTHEDELDGVKGYLSQRKQEQESFLDFKSLKENLERCWTVTESEKITFETQKK NLAAENQYLRISLEKEEQALSSLQEELRQLREQIRLLEDKGTSTQLVRENQVLKQYLEVE KQKTDSFLREREMLLEEARMLKRDLEREQLTAMALRAELEQFIPGQAQSRAESPSVQTEE KEVGLLQQRLAELEQKLIFEQQRSDLWERLYVEAKDQHGKQETDGRKRGSRGSHRAKSKS KETFLGTVKETFDAMKNSTKEFVRHHKEKIKQAKEAVKENLKKFSDSVKSTFRHFKDTTK NIFDEKGSKRFRAPKEAATEKTRTAYSYSSYSQQEAPNQNQNCRRPSAQRDGGREKPSHS EEIRKNANSYTYKAKSDCRGTHSTRRVCSVDLIKSNVW >ENSMUSP00000117202.1 pep:known chromosome:GRCm38:9:72985504:73001735:1 gene:ENSMUSG00000034563.16 transcript:ENSMUST00000156879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccpg1 description:cell cycle progression 1 [Source:MGI Symbol;Acc:MGI:1196419] MSESSSDSDSSCGWTVINHEGSDIEIVNSATASDNCGLTLECSLVEQEELPVLYVGHGGE ESSANNTSSVGETMLSSMRETKSAAEVEEAPSPEDNVYFGTTSDDS >ENSMUSP00000122966.1 pep:known chromosome:GRCm38:9:72985578:73013938:1 gene:ENSMUSG00000034563.16 transcript:ENSMUST00000150826.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccpg1 description:cell cycle progression 1 [Source:MGI Symbol;Acc:MGI:1196419] MSESSSDSDSSCGWTVINHEGSDIEIVNSATASDNCGLTLECSLVEQEELPVLYVGHGGE ESSANNTSSVGETMLSSMRETKSAAEVEEAPSPEDNVYFGTTSDDSDIVTLEPPKLEEMG NQEVTIQEAPSSDDLNMGSSSSSQYAFCQPEPVFSSQPSDEESSSDDTSHEPSPAPRRRR NRKKTVSISESEEPPLAEPEDEPSKEPSKRHFSRGLNKCVILALVIAVSMGFGHFYGTIQ IQKQLVRKTHEDELDGVKGYLSQRKQEQESFLDFKSLKENLERCWTVTESEKITFETQKK NLAAENQYLRISLEKEEQALSSLQEELRQLREQIRLLEDKGTSTQLVRENQVLKQYLEVE KQKTDSFLREREMLLEEARMLKRDLEREQLTAMALRAELEQFIPGQAQSRAESPSVQTEE KEVGLLQQRLAELEQKLIFEQQRSDLWERLYVEAKDQHGKQETDGRKRGSRGSHRAKSKS KETFLGTVKETFDAMKNSTKEFVRHHKEKIKQAKEAVKENLKKFSDSVKSTFRHFKDTTK NIFDEKGSKRFRAPKEAATEKTRTAYSYSSYSQQEAPNQNQNCRRPSAQRDGGREKPSHS EEIRKNANSYTYKAKSDCRGTHSTRRVCSGMFECAGQEAISPVNKASPVRMNDFKQLIHW YLLNELETFHHWKELDQFISPFFPNGVFRHDQQLFADFVDDVKGYLKSIKEYRVEDGNLE KLDGCIYRHFGHVFALPFGPRSVYIKPCYYNSF >ENSMUSP00000082458.4 pep:known chromosome:GRCm38:9:72985605:73016000:1 gene:ENSMUSG00000034563.16 transcript:ENSMUST00000085350.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccpg1 description:cell cycle progression 1 [Source:MGI Symbol;Acc:MGI:1196419] MSESSSDSDSSCGWTVINHEGSDIEIVNSATASDNCGLTLECSLVEQEELPVLYVGHGGE ESSANNTSSVGETMLSSMRETKSAAEVEEAPSPEDNVYFGTTSDDSDIVTLEPPKLEEMG NQEVTIQEAPSSDDLNMGSSSSSQYAFCQPEPVFSSQPSDEESSSDDTSHEPSPAPRRRR NRKKTVSISESEEPPLAEPEDEPSKEPSKRHFSRGLNKCVILALVIAVSMGFGHFYGTIQ IQKQLVRKTHEDELDGVKGYLSQRKQEQESFLDFKSLKENLERCWTVTESEKITFETQKK NLAAENQYLRISLEKEEQALSSLQEELRQLREQIRLLEDKGTSTQLVRENQVLKQYLEVE KQKTDSFLREREMLLEEARMLKRDLEREQLTAMALRAELEQFIPGQAQSRAESPSVQTEE KEVGLLQQRLAELEQKLIFEQQRSDLWERLYVEAKDQHGKQETDGRKRGSRGSHRAKSKS KETFLGTVKETFDAMKNSTKEFVRHHKEKIKQAKEAVKENLKKFSDSVKSTFRHFKDTTK NIFDEKGSKRFRAPKEAATEKTRTAYSYSSYSQQEAPNQNQNCRRPSAQRDGGREKPSHS EEIRKNANSYTYKAKSDCRGTHSTRRVCSGMFECAGQEAISPVNKASPVRMNDFKQLIHW YLLNELETFHHWKELDQFISPFFPNGVFRHDQQLFADFVDDVKGYLKSIKEYRVEDGNLE KLDGCIYRHFGHVFALPFGPSRPDKKQRMVTIENARHRKQEQKHLHPQPYEREGKWQKYG RANGRHTANLELELGQLPFDPKY >ENSMUSP00000116976.1 pep:known chromosome:GRCm38:9:72985605:73016338:1 gene:ENSMUSG00000034563.16 transcript:ENSMUST00000140675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccpg1 description:cell cycle progression 1 [Source:MGI Symbol;Acc:MGI:1196419] MSESSSDSDSSCGWTVINHEGSDIEIVNSATASDNCGLTLECSLVEQEELPVLYVGHGGE ESSANNTSSVGETMLSSMRETKSAAEVEEAPSPEDNVYFGTTSDDSDIVTLEPPKLEEMG NQEVTIQEAPSSDDLNMGSSSSSQYAFCQPEPVFSSQPSDEESSSDDTSHEPSPAPRRRR NRKKTVSISESEEPPLAEPEDEPSKEPSKRHFSRGLNKCVILALVIAVSMGFGHFYGTIQ IQKQLVRKTHEDELDGVKGYLSQRKQEQESFLDFKSLKENLERCWTVTESEKITFETQKK NLAAENQYLRISLEKEEQALSSLQEELRQLREQIRLLEDKGTSTQLVRENQVLKQYLEVE KQKTDSFLREREMLLEEARMLKRDLEREQLTAMALRAELEQFIPGQAQSRAESPSVQTEE KEVGLLQQRLAELEQKLIFEQQRSDLWERLYVEAKDQHGKQETDGRKRGSRGSHRAKSKS KETFLGTVKETFDAMKNSTKEFVRHHKEKIKQAKEAVKENLKKFSDSVKSTFRHFKDTTK NIFDEKGSKRFRAPKEAATEKTRTAYSYSSYSQQEAPNQNQNCRRPSAQRDGGREKPSHS EEIRKNANSYTYKAKSDCRGTHSTRRVCSGMFECAGQEAISPVNKASPVRMNDFKQLIHW YLLNELETFHHWKELDQFISPFFPNGVFRHDQQLFADFVDDVKGYLKSIKEYRVEDGNLE KLDGCIYRHFGHVFALPFGPSRPDKKQRMVTIENARHRKQEQKHLHPQPYEREEPVGL >ENSMUSP00000121059.1 pep:known chromosome:GRCm38:9:72985619:73013931:1 gene:ENSMUSG00000034563.16 transcript:ENSMUST00000124008.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccpg1 description:cell cycle progression 1 [Source:MGI Symbol;Acc:MGI:1196419] MSESSSDSDSSCGWTVINHEGSDIEIVNSATASDNCGLTLECSLVEQEELPVLYVGHGGE ESSANNTSSVGETMLSSMRETKSAAEVEEAPSPEDNVYFGTTSDDSDIVTLEPPKLEEMG NQEVTIQEAPSSDDLNMGSSSSSQYAFCQPEPA >ENSMUSP00000035610.8 pep:known chromosome:GRCm38:7:128744943:128784836:1 gene:ENSMUSG00000055319.8 transcript:ENSMUST00000042942.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec23ip description:Sec23 interacting protein [Source:MGI Symbol;Acc:MGI:2450915] MADRKANGGGASASSSGTNLLFSSSATEFSFNVPFIPVTQAAASPASLLLPGEDSTDVGE EDSFLGQTSTHTSTPQTFSYFSQVSSSSDPFGNIGQSPLTTSAMSAGQSALPKPPATLAF TTGSQDALSAFPPSVSKAPGATPPSQMGTSTYLPSQPSSLPPNFGSPPQGIPQQGHNPYR HTPVSSRANPYITPPQLQQCQMPGHSSYPPPSGPPVQTYQMPPGPLPPLPTAMQSPAQQQ VPARPAGPLVQGPSPFVLQNQYEPVQPHWFYCKEVEYKQLWMPFSVLDSLNLEEIYNSVQ PDPESVVLGTDGGRYDVYLYDRMRKSVYWEEEPTEVRRCTWFYKGDTDSRFIPYTEEFSE KLEAEYKKAVSTNQWHRRLEFPSGETIVMHNPKVIVQFQPSSVPDEWGTTQDGQTRPRVV KRGIDDSLDEIPDGEMPQVDHLVFMVHGIGPVCDLRFRSIIECVDDFRVVSLKLLQTHFK KSVDEGKVSRVEFLPVHWHSALGGHATGVDRNIKKITLPSIGRFRHFTNETLLDVLFYNS PTYCQAIVEKVEVEINRLHSLFMSRNPNFKGKVSVAGHSLGSLILFDILSNQRDMCVSKS PGSVAVSNGVIKHSQFQEKLISEESKLMSDESCDLDVEDEEPLTLHGTLEALSLFDYIST FEKEKIDMESLLMCTVDDLKEMGIPLGPRKKIANFVKLKAAKLEQKKAAAEKKAALAALT KGQDESAPKTKEMASPSSESNESKRKLSVGAYVSSVRVDYESFEVGTGQVSVAYSSLDFE PEIFFALGSPIGMLLTIRGVARIDEKYRLPTCKGFFNIYHPLDPVAYRLEPMIAPDLDLK AVLVPHHKGRKRLHLELKESLSRMGSDLKQGFISSLKSAWQTLNEFARAHTSTTQLQEEL EKVANQIKEEEEKQVVEAEKIVESPELSKDEDYLGKVGMLNGGRRIDYVLQEKPIESFNE YLFALQSHLCYWGSEDTALLLLKEIYRTMNISPEQPQH >ENSMUSP00000145816.1 pep:known chromosome:GRCm38:7:128745035:128754138:1 gene:ENSMUSG00000055319.8 transcript:ENSMUST00000205856.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sec23ip description:Sec23 interacting protein [Source:MGI Symbol;Acc:MGI:2450915] XANGGGASASSSGTNLLFSSSATEFSFNVPFIPVTQAAASPASLLLPGASHSNAVTSTAA SPCQTRRTPCTGAISFCTSEPVRTCSATLVLLQGGRI >ENSMUSP00000145911.1 pep:known chromosome:GRCm38:7:128745420:128750378:1 gene:ENSMUSG00000055319.8 transcript:ENSMUST00000206986.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec23ip description:Sec23 interacting protein [Source:MGI Symbol;Acc:MGI:2450915] MSAGQSALPKPPATLAFTTGSQDALSAFPPSVSKAPGATPPSQMGTSTYLPSQPSS >ENSMUSP00000015796.2 pep:known chromosome:GRCm38:5:5736317:5749326:-1 gene:ENSMUSG00000015652.9 transcript:ENSMUST00000015796.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap1 description:six transmembrane epithelial antigen of the prostate 1 [Source:MGI Symbol;Acc:MGI:1917608] MEISDDVTNPEQLWKMKPKGNLEDDSYSTKDSGETSMLKRPGLSHLQHAVHVDAFDCPSE LQHTQEFFPNWRLPVKVAAIISSLTFLYTLLREIIYPLVTSREQYFYKIPILVINKVLPM VAITLLALVYLPGELAAVVQLRNGTKYKKFPPWLDRWMLARKQFGLLSFFFAVLHAVYSL SYPMRRSYRYKLLNWAYKQVQQNKEDAWVEHDVWRMEIYVSLGIVGLAILALLAVTSIPS VSDSLTWREFHYIQSKLGIVSLLLGTVHALVFAWNKWVDVSQFVWYMPPTFMIAVFLPTL VLICKIALCLPCLRKKILKIRCGWEDVSKINRTEMASRL >ENSMUSP00000126005.1 pep:known chromosome:GRCm38:5:5736325:5740401:-1 gene:ENSMUSG00000015652.9 transcript:ENSMUST00000169542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap1 description:six transmembrane epithelial antigen of the prostate 1 [Source:MGI Symbol;Acc:MGI:1917608] XPMRRSYRYKLLNWAYKQVQQNKEDAWVEHDVWRMEIYVSLGIVGLAILALLAVTSIPSV SDSLTWREFHYIQHTFKKNNVPERAHVTTARSSLNPVISICQNILDSCS >ENSMUSP00000122596.1 pep:known chromosome:GRCm38:11:80136678:80139909:-1 gene:ENSMUSG00000046909.9 transcript:ENSMUST00000136996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tefm description:transcription elongation factor, mitochondrial [Source:MGI Symbol;Acc:MGI:1915800] XRKFIKPGVEQERLKFFFFRQLRVSYLLFLVLEELHGLTLTGDRLCWTGSRLSAGN >ENSMUSP00000059304.9 pep:known chromosome:GRCm38:11:80136678:80142175:-1 gene:ENSMUSG00000046909.9 transcript:ENSMUST00000050207.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tefm description:transcription elongation factor, mitochondrial [Source:MGI Symbol;Acc:MGI:1915800] MAWRTNLACLIKAGGRRWFPVPEYSSLPPVLNNTCSVRKSTAPEKRVASVAACDTDGKEP GNPLDRLFSLEQQASILQVLNTASDKELEAFRLLRGRKSVNIVEHRKKFGPFQRLENLID VPLIQYKTAVEVCNSILCPENRRRKKSQEKWLLRKFIKPGVEQERLKAVKSIVSIVFGTR RIAWAHLDRRPTVLDWQQTECWKLTNKTYPTSFYLEEISSVISKIPKADLYILEKSGLSI QNTSLLPILLHFLITEAMLYALLNKTFAEDGQHRVLSINRNAVGKHFDLMIGDTRTSGRE LVKQFLSESVLKERPRVFFPQDLLVQYRQKVVKSSYRIEELYDSLLQAVAFYELVFGKDS ELKC >ENSMUSP00000114770.1 pep:known chromosome:GRCm38:11:80137946:80142143:-1 gene:ENSMUSG00000046909.9 transcript:ENSMUST00000131601.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tefm description:transcription elongation factor, mitochondrial [Source:MGI Symbol;Acc:MGI:1915800] MVCVQVCITAQAWVLTVKENIISQVSLLGRRWFPVPEYSSLPPVLNNTCSVRKSTAPEKR VASVAACDTDGKEPGNPLDRLFSLEQQASILQVLNTASDKELEAFRLLRGRKSVNIVEHR KKFGPFQRLENLIDVPLIQYKTAVEVCNSILCPENRRRKKSQEKWLLRKFIKPGVEQERL KAVKSIVSIVFGTRRIAWAHLDRRPTVLDWQQTECWKLTNKT >ENSMUSP00000038536.7 pep:known chromosome:GRCm38:6:124858444:124863917:-1 gene:ENSMUSG00000038390.11 transcript:ENSMUST00000046893.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr162 description:G protein-coupled receptor 162 [Source:MGI Symbol;Acc:MGI:1315214] MARGGLGAEEASLRSNALSWLACGLLALLANAWIILSISAKQQKHKPLELLLCFLAGTHI LMAAVPLTTFAVVQLRRQASSDYDWNESICKVFVSTYYTLALATCFTVASLSYHRMWMVR WPVNYRLSNAKKQALHAVMGIWMVSFILSTLPSIGWHNNGERYYARGCQFIVSKIGLGFG VCFSLLLLGGIVMGLVCVAITFYQTLWARPRRARQARRAGGSVGTKAGGLGGLGTRPAFE VPAIVVEDTRGKRRSSLDGSESAKTSLQVTNLVSAIVFLYDSLTGVPILVVSFFSLKSDS APPWMVLAVLWCSMAQTLLLPSFIWSCERYRADVRTVWEQCVAIMSEDDGDDDGTCDDYT DGRVCKIRFDANGATGSGSRDPTQVKLLPGRHMLFPPLERVHYLQVPLSRRLSHDETNIF STPRAPGSFLHKWSSSDDIRILPAQSRALGGPPEYLGQRHRLEDEEDEEEAEGGGLASLR QFLESGVLGSGGGPPRGPGFFREEITTFIDETPLPSPTASPGPSPRRPRPLGFSPRRLSL GSPDSRAVGLPLGLSAGRRCSLTGSEGSSRAWGRPWGPGNPIFPQLTL >ENSMUSP00000145267.1 pep:known chromosome:GRCm38:6:124858448:124863983:-1 gene:ENSMUSG00000038390.11 transcript:ENSMUST00000204667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr162 description:G protein-coupled receptor 162 [Source:MGI Symbol;Acc:MGI:1315214] MARGGLGAEEASLRSNALSWLACGLLALLANAWIILSISAKQQKHKPLELLLCFLAGTHI LMAAVPLTTFAVVQLRRQASSDYDWNESICKVFVSTYYTLALATCFTVASLSYHRMWMVR WPVNYRLSNAKKQALHAVMGIWMVSFILSTLPSIGWHNNGERYYARGCQFIVSKIGLGFG VCFSLLLLGGIVMGLVCVAITFYQTLWARPRRARQARRAGGSVGTKAGGLGGLGTRPAFE VPAIVVEDTRGKRRSSLDGSESAKTSLQVTNLVSAIVFLYDSLTGVPILVVSFFSLKSDS APPWMVLAVLWCSMAQTLLLPSFIWSCERYRADVRTVWEQCVAIMSEDDGDDDGTCDDYT DGRVCKIRFDANGATGSGSRDPTQVKLLPGRHMLFPPLERVHYLQVPLSRRLSHDETNIF STPRAPGSFLHKWSSSDDIRILPAQSRALGGPPEYLGQRHRLEDEEDEEEAEGGGLASLR QFLESGVLGSGGGPPRGPGFFREEITTFIDETPLPSPTASPGPSPRRPRPLGFSPRRLSL GSPDSRAVGLPLGLSAGRRCSLTGSEGSSRAWGRPWGPGNPIFPQLTL >ENSMUSP00000130012.1 pep:known chromosome:GRCm38:7:64346758:64374080:-1 gene:ENSMUSG00000033458.17 transcript:ENSMUST00000163289.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fan1 description:FANCD2/FANCI-associated nuclease 1 [Source:MGI Symbol;Acc:MGI:3045266] MPSQRKSPDQKRPRRSLSTSKTAKSQCHSITSYFNSAPPAKLACSTCHKMVPRYDLIRHL DESCANNGVGDDVQVEPAQAGLMSPTVPTSDLPSGPLENVTPQKLSPPKRSLISVQCGSK LGIQQQTSPYFKDALVSKDQNELPNQSVEIMPLGSLTSKLSRRYLNAKKSLAKNEGLASQ CPQTSPSTPGTSLTDNCPEMEDKDEVLNSSQKENIYSCAPLKEENASEQKVKNNKITGDE SQKASCGEPALTPASAEHASILLSSDSTLVSNTKSSPGDTLVKQESARRADVGLAEPLEV RSHKEVQMTFDAAAKTLVSGEAESNGPTDVDMSDMTTWSNNQELVREAGSVLHCPLEQGS SCGGPSETAQLALSHPYYLRSFLVVLQALLGNEEDMKLFDEQEKAIITRFYQLSASGQKL YVRLFQRKLTWIKMSKLEYEEIASDLTPVVEELKDSGFLQTESELQELSDVLELLSAPEL KALAKTFHLVSPGGQKQQLVDAFHKLAKQRSVCTWGKTQPGIRAVILKRAKDLAGRSLRV CKGPRAVFARILLLFSLTDSMEDEEAACGGQGQLSTVLLVNLGRMEFPQYTICRKTQIFR DREDLIRYAAAAHMLSDISAAMASGNWEDAKELARSAKRDWEQLKSHPSLRYHEALPPFL RCFTVGWIYTRISSRAVEVLERLHMYEEAVKELENLLSQKIYCPDSRGRWWDRLALNLHQ HLKRLEEAIRCIREGLADPHVRTGHRLSLYQRAVRLRESPSCRKYKHLFSRLPEVAVGDV KHVTITGRLCPQHGMGKSVFVMESGDGANPTTVLCSVEELALGYYRQSGFDQGIHGEGST FSTLCGLLLWDIIFMDGIPDVFRNAYQASPLDLLTDSFFASREQALEARLQLIHSAPAES LRAWVGEAWQAQQGRVASLVSWDRFTSLQQAQDLVSCLGGPVLSGVCRRLAADFRHCRGG LPDLVVWNSQSHHCKLVEVKGPSDRLSCKQMIWLYELQKLGADVEVCHVVAVGAKSKGLG >ENSMUSP00000113720.1 pep:known chromosome:GRCm38:10:86055125:86498856:-1 gene:ENSMUSG00000059602.14 transcript:ENSMUST00000120638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syn3 description:synapsin III [Source:MGI Symbol;Acc:MGI:1351334] MNFLRRRLSDSSFVANLPNGYMPDLQRPESSSSSPASPATERRHPQPLAASFSSPGSSLF SSFSGAMKQTPQAPSGLMEPPTPVTPVVQRPRILLVIDDAHTDWSKYFHGKKVNGDIEIR VEQAEFSELNLAAYVTGGCMVDMQVVRNGTKIVRSFKPDFILVRQHAYSMALAEDYRSLV IGLQYGGLPAVNSLYSVYNFCSKPWVFSQLIKIFHSLGPEKFPLVEQTFFPNHKPMLTAP NFPVVIKLGHAHAGMGKIKVENQHDYQDITSVVAMAKTYATTEAFIDSKYDIRIQKIGSN YKAYMRTSISGNWKANTGSAMLEQVAMTERYRLWVDSCSEMFGGLDICAVKAVHSKDGRD YIIEVMDSSMPLIGEHVEEDKQLMADLVVSKMSQLLVPGATVPSPLRPWGPQTKPAKSPG QGQLGPLLGQPQPRPPPQGGPRQAQSPQPPRSRSPSQQRLSPQGQQPVSPQSGSPQQQRS PGSPQLSRASGGSSPNQASKPSASLSSHNRPPVQGRSTSQQGEEPQKSASPHPHLNKSQS LTNSLSTSDTSHRGTPSEDEAKAETIRNLRKSFASLFSD >ENSMUSP00000113408.1 pep:known chromosome:GRCm38:10:86291593:86498882:-1 gene:ENSMUSG00000059602.14 transcript:ENSMUST00000121789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syn3 description:synapsin III [Source:MGI Symbol;Acc:MGI:1351334] MNFLRRRLSDSSFVANLPNGYMPDLQRPESSSSSPASPATERRHPQPLAASFSSPGSSLF SSFSGAMKQTPQAPSGLMEPPTPVTPVVQRPRILLVIDDAHTDWSKYFHGKKVNGDIEIR VEQAEFSELNLAAYVTGGCMVDMQVVRNGTKIVRSFKPDFILVRQHAYSMALAEDYRSLV IGLQYGGLPAVNSLYSVYNFCSKPWVFSQLIKIFHSLGPEKFPLVEQTFFPNHKPMHFTH SLR >ENSMUSP00000132728.1 pep:known chromosome:GRCm38:14:44365357:44370841:1 gene:ENSMUSG00000090379.1 transcript:ENSMUST00000168161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8229 description:predicted gene 8229 [Source:MGI Symbol;Acc:MGI:3646979] XRSSWVPKQACCPCSSGYFAEIIEYTQTPDQGRRRSAAHHGGKGQETTGHGEGSAGEASP QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILAYYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCCRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKILCNEGSQKIKDHYTKQQQV >ENSMUSP00000028010.7 pep:known chromosome:GRCm38:1:162953800:162984527:-1 gene:ENSMUSG00000026691.10 transcript:ENSMUST00000028010.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo3 description:flavin containing monooxygenase 3 [Source:MGI Symbol;Acc:MGI:1100496] MKKKVAIIGAGVSGLAAIRSCLEEGLEPTCFERSDDVGGLWKFSDHIEEGRASIYQSVFT NSSKEMMCFPDFPYPDDFPNFMHHSKLQEYITSFAKEKNLLKYIQFETPVTSINKCPNFS TTGKWEVTTEKHGKKETAVFDATMICSGHHIFPHVPKDSFPGLNRFKGKCFHSRDYKEPG IWKGKRVLVIGLGNSGCDIAAELSHVAQKVTISSRSGSWVMSRVWDDGYPWDMVVLTRFQ TFLKNNLPTAISDWWYTRQMNARFKHENYGLVPLNRTLRKEPVFNDELPARILCGMVTIK PNVKEFTETSAVFEDGTMFEAIDCVIFATGYGYAYPFLDDSIIKSRNNEVTLYKGVFPPQ LEKPTMAVIGLVQSLGATIPITDLQARWAAQVIKGTCTLPSVNDMMDDIDEKMGEKFKWY GNSTTIQTDYIVYMDELASFIGAKPNLLWLFLKDPRLAVEVFFGPCSPYQFRLVGPGKWS GARNAILTQWDRSLKPMKTRVVSKVQKSCSHFYSRLLRLLAVPVLLIALFLVLI >ENSMUSP00000020171.5 pep:known chromosome:GRCm38:10:24595442:24598678:1 gene:ENSMUSG00000019997.11 transcript:ENSMUST00000020171.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctgf description:connective tissue growth factor [Source:MGI Symbol;Acc:MGI:95537] MLASVAGPISLALVLLALCTRPAMGQDCSAQCQCAAEAAPHCPAGVSLVLDGCGCCRVCA KQLGELCTERDPCDPHKGLFCDFGSPANRKIGVCTAKDGAPCVFGGSVYRSGESFQSSCK YQCTCLDGAVGCVPLCSMDVRLPSPDCPFPRRVKLPGKCCEEWVCDEPKDRTAVGPALAA YRLEDTFGPDPTMMRANCLVQTTEWSACSKTCGMGISTRVTNDNTFCRLEKQSRLCMVRP CEADLEENIKKGKKCIRTPKIAKPVKFELSGCTSVKTYRAKFCGVCTDGRCCTPHRTTTL PVEFKCPDGEIMKKNMMFIKTCACHYNCPGDNDIFESLYYRKMYGDMA >ENSMUSP00000135147.1 pep:known chromosome:GRCm38:10:24595726:24598683:1 gene:ENSMUSG00000019997.11 transcript:ENSMUST00000176228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctgf description:connective tissue growth factor [Source:MGI Symbol;Acc:MGI:95537] MLASVAGPISLALVLLALCTRPAMGQDCSAQCQCAAEAAPHCPAGVSLVLDGCGCCRVCA KQLGELCTERDPCDPHKGLFCDFGSPANRKIGVCTAKDGAPCVFGGSVYRSGESFQSSCK YQCTCLDGAVGCVPLCSMDVRLPSPDCPFPRRVKLPGKCCEEWVCDEPKDRTAVGPALAA YRLEDTFGPDPTMMRANCLVQTTEWSACSKTCGMGISTRVTNDNTFCRLEKQSRLCMVRP CEADLEENIKVHPLPLVTPFYRMTGKRTELAVSPPMLLEACCLQKYLTMELSG >ENSMUSP00000135212.1 pep:known chromosome:GRCm38:10:24595780:24596370:1 gene:ENSMUSG00000019997.11 transcript:ENSMUST00000129142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctgf description:connective tissue growth factor [Source:MGI Symbol;Acc:MGI:95537] MGQDCSAQCQCAAEAAPHCPAGVSLVLDGCGCCRVCAKQLGELCTERDPCDPHKGLFCDF GSPANRKIGVCTAKDGAPCV >ENSMUSP00000099658.3 pep:known chromosome:GRCm38:4:129307100:129335370:-1 gene:ENSMUSG00000057236.10 transcript:ENSMUST00000102598.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp4 description:retinoblastoma binding protein 4 [Source:MGI Symbol;Acc:MGI:1194912] MADKEAAFDDAVEERVINEEYKIWKKNTPFLYDLVMTHALEWPSLTAQWLPDVTRPEGKD FSIHRLVLGTHTSDEQNHLVIASVQLPNDDAQFDASHYDSEKGEFGGFGSVSGKIEIEIK INHEGEVNRARYMPQNPCIIATKTPSSDVLVFDYTKHPSKPDPSGECNPDLRLRGHQKEG YGLSWNPNLSGHLLSASDDHTICLWDISAVPKEGKVVDAKTIFTGHTAVVEDVSWHLLHE SLFGSVADDQKLMIWDTRSNNTSKPSHSVDAHTAEVNCLSFNPYSEFILATGSADKTVAL WDLRNLKLKLHSFESHKDEIFQVQWSPHNETILASSGTDRRLNVWDLSKIGEEQSPEDAE DGPPELLFIHGGHTAKISDFSWNPNEPWVICSVSEDNIMQVWQMAENIYNDEDPEGSVDP EGQGS >ENSMUSP00000116517.1 pep:known chromosome:GRCm38:4:129328649:129335083:-1 gene:ENSMUSG00000057236.10 transcript:ENSMUST00000135585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp4 description:retinoblastoma binding protein 4 [Source:MGI Symbol;Acc:MGI:1194912] MTHALEWPSLTAQWLPDVTRPEGKDFSIHRLVLGTHTSDEQNHLVIAS >ENSMUSP00000017534.8 pep:known chromosome:GRCm38:11:78322968:78327781:1 gene:ENSMUSG00000017390.15 transcript:ENSMUST00000017534.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoc description:aldolase C, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:101863] MPHSYPALSAEQKKELSDIALRIVTPGKGILAADESVGSMAKRLSQIGVENTEENRRLYR QVLFSADDRVKKCIGGVIFFHETLYQKDDNGVPFVRTIQDKGILVGIKVDKGVVPLAGTD GETTTQGLDGLLERCAQYKKDGADFAKWRCVLKISDRTPSALAILENANVLARYASICQQ NGIVPIVEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTPGHAC PIKYSPEEIAMATVTALRRTVPPAVPGVTFLSGGQSEEEASLNLNAINRCPLPRPWALTF SYGRALQASALNAWRGQRDNAGAATEEFIKRAEMNGLAAQGRYEGSGDGGAAAQSLYIAN HAY >ENSMUSP00000099536.3 pep:known chromosome:GRCm38:11:78324200:78326760:1 gene:ENSMUSG00000017390.15 transcript:ENSMUST00000102478.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoc description:aldolase C, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:101863] MPHSYPALSAEQKKELSDIALRIVTPGKGILAADESVGSMAKRLSQIGVENTEENRRLYR QVLFSADDRVKKCIGGVIFFHETLYQKDDNGVPFVRTIQDKGILVGIKVDKGVVPLAGTD GETTTQGLDGLLERCAQYKKDGADFAKWRCVLKISDRTPSALAILENANVLARYASICQQ NGIVPIVEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTPGHAC PIKYSPEEIAMATVTALRRTVPPAVPGVTFLSGGQSEEEASLNLNAINRCPLPRPWALTF SYGRALQASALNAWRGQRDNAGAATEEFIKRAEMNGLAAQGRYEGSGDGGAAAQSLYIAN HAY >ENSMUSP00000130896.1 pep:known chromosome:GRCm38:14:44402671:44408825:-1 gene:ENSMUSG00000090716.2 transcript:ENSMUST00000172103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3371 description:predicted gene 3371 [Source:MGI Symbol;Acc:MGI:3781549] XHRMNTEFTIIKSQHEKTKLDMEKMKQSINDSIDKYKEFIEDNDCYSIRHTHVLKECNQL KEKVRMLLNENRKLLVEQADQEASFGEEKRFCDETSKNIHPKCKAAAGVKYSSRNWNMEE TRTSSPFKRTSIVGSLHVRSKYFISSPVLMAIICCIFFLYFFFSCNE >ENSMUSP00000136656.1 pep:known chromosome:GRCm38:14:44407835:44410688:-1 gene:ENSMUSG00000090716.2 transcript:ENSMUST00000177827.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3371 description:predicted gene 3371 [Source:MGI Symbol;Acc:MGI:3781549] MKSFWGRHMSAGRTSSQNCNITNHMKNMNKLDDMKFYIRKINAEREELFRILDIDMNTDL NYRMNTEFTIIKSQHEKTKLDMEKMKQSINDSIDKYKEFIEDNDCYSIRHTHVLKECNQL KEKVRMLLNENRKLLVEQADQEASFGEEKRFCDETSKNIHPKC >ENSMUSP00000090143.4 pep:known chromosome:GRCm38:10:61197112:61273438:-1 gene:ENSMUSG00000059901.12 transcript:ENSMUST00000092486.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts14 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 14 [Source:MGI Symbol;Acc:MGI:2179942] MAWLRALLHCLLPWYCALCAAAGSQTPDLRLSGKLHDYVVTVPCSTDFQGRFLSHVVSAP AAPSPRSHLRVARSPLSLERETPRPGGPRQHFLYFNVTVFGKLLHLRLQPNRRLVAPGAP VEWQEDFRELFRQPLQQECVYTGGVTGMPGAAVAISNCDGLAGLIRTDNSDYFIEPLERG QQEKEAGGRTHVVYRREAVQREWKEPHGDLHNEAFGLGDLPNVLDLVGDRLGDAERKRRH AKPGSYSIEVLLAVDDSVVRFHGREHTQNYVLTLMNIVDEIYHDESLGAHVNIALVRLIM VGYRQSLSLIERGNPARSLEQVCRWAHSQQRQDPSHTEHHDHVIFLTRQNFGPSGYAPVT GMCHPLRSCALNHEDGFSSAFVVAHETGHVLGMEHDGQGNGCDDETSLGSVMAPLVQAAF HRFHWSRCSKLELSRYLPSYDCLLDDPFERTWPQPPELPGIDYSMDEQCRFDFGTGYHTC LAFRTFEPCKQLWCSHPDNPYFCKTKKGPPLDGTECAPGKWCFKGHCIWKSPEQTYGQDG GWSSWTNFGSCSRSCGGGVRSRSRSCDNPPPAYGGRPCSGSMFEYQICNSEDCPGPYEDF RAQQCAKRNSYYTHQDAKHSWLPYEPDSDAQKCELICQSADTGDVVFMNQVVHDGTRCSY RDPYSVCARGECVPFGCDKEVGSMKTDDKCGVCGGDNSHCRTVKGTLGKGSKQAAALKQV QIPAGARHIQIELLEKAPHRIAVKNQVTGSFIFNPKGKEASSRTFTALGLEWEHEAEDTK DSLRTNGPLPEAIAILVLPPAEGKPRGSLAYKYVIHEDLLPLIGSNNVLLEETDTYEWAL KSWSPCSKACGGGIQFTKYGCRRRRDHHMVHRHLCDHKKRPKPIRRRCNQHSCPQPTWVT EEWGACSRSCGKLGLQTRGVQCLLPLSNGTHKAMPAKACLGNRPEAKKPCLRVPCPAQWR TGAWSQCSATCGEGIQQRQVVCRNTSSALGPCEGVKPDMVQICSLPACGGDLQNSTVKAE VQDPVTKTGYREPQSRPLTPEDRISTMEPCVRDRSVFCRMEVLDRYCTIPGYHRLCCESC IKKTSGPNASLALPPTFSTPGSLLPAPKATLEDVKSTRGPTSLEDHRQSQPTQLPDVADR ISPVTQYPVTPQMLSPKAFPGNSPATPRRPPQDWTQTAMPTSEGQGQSREEPGHGGTSLP ATSPVT >ENSMUSP00000112723.1 pep:known chromosome:GRCm38:10:61198468:61273242:-1 gene:ENSMUSG00000059901.12 transcript:ENSMUST00000120336.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts14 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 14 [Source:MGI Symbol;Acc:MGI:2179942] MAWLRALLHCLLPWYCALCAAAGSQTPDLRLSGKLHDYVVTVPCSTDFQGRFLSHVVSAP AAPSPRSHLRVARSPLSLERETPRPGGPRQHFLYFNVTVFGKLLHLRLQPNRRLVAPGAP VEWQEDFRELFRQPLQQECVYTGGVTGMPGAAVAISNCDGLAGLIRTDNSDYFIEPLERG QQEKEAGGRTHVVYRREAVQREWKEPHGDLHNEAFGLGDLPNVLDLVGDRLGDAERKRRH AKPGSYSIEVLLAVDDSVVRFHGREHTQNYVLTLMNIVDEIYHDESLGAHVNIALVRLIM VGYRQSLSLIERGNPARSLEQVCRWAHSQQRQDPSHTEHHDHVIFLTRQNFGPSGMQGYA PVTGMCHPLRSCALNHEDGFSSAFVVAHETGHVLGMEHDGQGNGCDDETSLGSVMAPLVQ AAFHRFHWSRCSKLELSRYLPSYDCLLDDPFERTWPQPPELPGIDYSMDEQCRFDFGTGY HTCLAFRTFEPCKQLWCSHPDNPYFCKTKKGPPLDGTECAPGKWCFKGHCIWKSPEQTYG QDGGWSSWTNFGSCSRSCGGGVRSRSRSCDNPPPAYGGRPCSGSMFEYQICNSEDCPGPY EDFRAQQCAKRNSYYTHQDAKHSWLPYEPDSDAQKCELICQSADTGDVVFMNQVVHDGTR CSYRDPYSVCARGECVPFGCDKEVGSMKTDDKCGVCGGDNSHCRTVKGTLGKGSKQAAAL KQVQIPAGARHIQIELLEKAPHRIAVKNQVTGSFIFNPKGKEASSRTFTALGLEWEHEAE DTKDSLRTNGPLPEAIAILVLPPAEGKPRGSLAYKYVIHEDLLPLIGSNNVLLEETDTYE WALKSWSPCSKACGGGIQFTKYGCRRRRDHHMVHRHLCDHKKRPKPIRRRCNQHSCPQPT WVTEEWGACSRSCGKLGLQTRGVQCLLPLSNGTHKAMPAKACLGNRPEAKKPCLRVPCPA QWRTGAWSQCSATCGEGIQQRQVVCRNTSSALGPCEGVKPDMVQICSLPACGGDLQNSTV KAEVQDPVTKTGYREPQSRPLTPEDRISTMEPCVRDRSVFCRMEVLDRYCTIPGYHRLCC ESCIKKTSGPNASLALPPTFSTPGSLLPAPKATLEDVKSTRGPTSLEDHRQSQPTQLPDV ADRISPVTQYPVTPQMLSPKAFPGNSPATPRRPPQDWTQTAMPTSEGQGQSREEPGHGGT SLPATSPVT >ENSMUSP00000036765.3 pep:known chromosome:GRCm38:7:100996568:101011998:-1 gene:ENSMUSG00000032860.5 transcript:ENSMUST00000037540.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry2 description:purinergic receptor P2Y, G-protein coupled 2 [Source:MGI Symbol;Acc:MGI:105107] MAADLEPWNSTINGTWEGDELGYKCRFNEDFKYVLLPVSYGVVCVLGLCLNVVALYIFLC RLKTWNASTTYMFHLAVSDSLYAASLPLLVYYYARGDHWPFSTVLCKLVRFLFYTNLYCS ILFLTCISVHRCLGVLRPLHSLRWGRARYARRVAAVVWVLVLACQAPVLYFVTTSVRGTR ITCHDTSARELFSHFVAYSSVMLGLLFAVPFSVILVCYVLMARRLLKPAYGTTGGLPRAK RKSVRTIALVLAVFALCFLPFHLTRTLYYSFRSLDLSCHTLNAINMAYKITRPLASANSC LDPVLYFLAGQRLVRFARDAKPPTEPTPSPQARRKLGLHRPNRTVRKDLSVSSDDSRRTE STPAGSETKDIRL >ENSMUSP00000146679.1 pep:known chromosome:GRCm38:7:100996732:101003887:-1 gene:ENSMUSG00000032860.5 transcript:ENSMUST00000208340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry2 description:purinergic receptor P2Y, G-protein coupled 2 [Source:MGI Symbol;Acc:MGI:105107] MAADLEPWNSTINGTWEGDELGYKCRFNEDFKYVLLPVSYGVVCVLGLCLNVVALYIFLC RLKTWNASTTYMFHLAVSDSLYAASLPLLVYYYARGDHWPFSTVLCKLVRFLFYTNLYCS ILFLTCISVHRCLGVLRPLHSLRWGRARYARRVAAVVWVLVLACQAPVLYFVTTSVRGTR ITCHDTSARELFSHFVAYSSVMLGLLFAVPFSVILVCYVLMARRLLKPAYGTTGGLPRAK RKSVRTIALVLAVFALCFLPFHLTRTLYYSFRSLDLSCHTLNAINMAYKITRPLASANSC LDPVLYFLAGQRLVRFARDAKPPTEPTPSPQARRKLGLHRPNRTVRKDLSVSSDDSRRTE STPAGSETKDIRL >ENSMUSP00000146352.1 pep:known chromosome:GRCm38:7:100997028:101012037:-1 gene:ENSMUSG00000032860.5 transcript:ENSMUST00000207916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry2 description:purinergic receptor P2Y, G-protein coupled 2 [Source:MGI Symbol;Acc:MGI:105107] MAADLEPWNSTINGTWEGDELGYKCRFNEDFKYVLLPVSYGVVCVLGLCLNVVALYIFLC RLKTWNASTTYMFHLAVSDSLYAASLPLLVYYYARGDHWPFSTVLCKLVRFLFYTNLYCS ILFLTCISVHRCLGVLRPLHSLRWGRARYARRVAAVVWVLVLACQAPVLYFVTTSVRGTR ITCHDTSARELFSHFVAYSSVMLGLLFAVPFSVILVCYVLMARRLLKPAYGTTGGLPRAK RKSVRTIALVLAVFALCFLPFHLTRTLYYSFRSLDLSCHTLNAINMAYKITRPLASANSC LDPVLYFLAGQRLVRFARDAKPPTEPTPSPQARRKLGLHRPNRTVRKDLSVSSDDSRRTE STPAGSETKDIRL >ENSMUSP00000137152.1 pep:known chromosome:GRCm38:7:100997227:101011974:-1 gene:ENSMUSG00000032860.5 transcript:ENSMUST00000178340.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry2 description:purinergic receptor P2Y, G-protein coupled 2 [Source:MGI Symbol;Acc:MGI:105107] MAADLEPWNSTINGTWEGDELGYKCRFNEDFKYVLLPVSYGVVCVLGLCLNVVALYIFLC RLKTWNASTTYMFHLAVSDSLYAASLPLLVYYYARGDHWPFSTVLCKLVRFLFYTNLYCS ILFLTCISVHRCLGVLRPLHSLRWGRARYARRVAAVVWVLVLACQAPVLYFVTTSVRGTR ITCHDTSARELFSHFVAYSSVMLGLLFAVPFSVILVCYVLMARRLLKPAYGTTGGLPRAK RKSVRTIALVLAVFALCFLPFHLTRTLYYSFRSLDLSCHTLNAINMAYKITRPLASANSC LDPVLYFLAGQRLVRFARDAKPPTEPTPSPQARRKLGLHRPNRTVRKDLSVSSDDSRRTE STPAGSETKDIRL >ENSMUSP00000146923.1 pep:known chromosome:GRCm38:7:100999032:101012866:-1 gene:ENSMUSG00000032860.5 transcript:ENSMUST00000207049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry2 description:purinergic receptor P2Y, G-protein coupled 2 [Source:MGI Symbol;Acc:MGI:105107] MAADLEPWNSTINGTWEGDELG >ENSMUSP00000058860.4 pep:known chromosome:GRCm38:16:5211828:5222299:-1 gene:ENSMUSG00000051669.5 transcript:ENSMUST00000050160.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU021092 description:expressed sequence AU021092 [Source:MGI Symbol;Acc:MGI:2146559] MARLGLLLLLLLALPPHFSSVSWPDTAQGTMANLILTALEKATLFLEDRLPTINLDGVVG FQVLEVQLRGVQEKWAHKPLLQPLSMRAGQMANTLSALLQKSIFYLKQSDPTYLREFQPS IQPGFWKLPNDWTRTNASLVYPWLEPLDSFSEESSDVCLVQLLGTGTDSSQPCRLSNFCR TLMTKAGCSGYSLSHQLLFFLWARMQGCTEGLFLQSQHYMDIFCANMMELNHRAEAVGYA YPTQDLFMENIMFCGMAGFSDFYKLRWLEAILSWQNPQVGCFGRPDTKGEPSEVPHQQGI LRRVRRREKLFADGCSCHNTATAVAALGGFLYILAEYHPDNGDAHPEYYPNHGDPYSSSQ SPASNYQDGAAGPDVQRTGRPLSVS >ENSMUSP00000023846.4 pep:known chromosome:GRCm38:3:87736923:87748623:-1 gene:ENSMUSG00000023084.11 transcript:ENSMUST00000023846.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc71 description:leucine rich repeat containing 71 [Source:MGI Symbol;Acc:MGI:1921735] MSSEPSTTGTSPRTPRPGAQKSSGAVTKKGDRAAKDKTASTLPPVGEDEPKNPEEYQCTG VLETDFAELCTRSGYVDFPKVVTRPRVQQSSVPSASTSEKPVLDDQRPSASCSQSSLESK YVFFRPTIQVELEQEDSKAVKEIYIRGWKVEDRILGIFSKCLPSLSQLQAINLWKVGLTD KTLTTFIALLPLCSSTLRKVSLEGNPIPEQSFSKLMGLDSTIVHLSLRNNNINDHGAQLL GQALSTLQNSNRTLVSLNLAFNHIGDVGAGYIADGLRLNRSLLWLSLAHNHIQDKGALKL AEVLRPFELTHREVVERRRLLLVKGTQERSRSPSSSRYGDSKAEREKSQTLGISNVTLVD KQEKMQSLKAPKTISKKKEKTGEVVKKEEKLGSGQSPTQGTPKKEDAAKAGKGKVTIPEQ KMSKGKATKMGAKEKRSILLESEQLVVEATEMVNPLLEPVEHRDGKVFLPGNKVLLHLNL LRNQITEVGLEGFLTAVQYQVQVSKPKTSPKAPLGLLWLSLAKNCFDPQCPTHVMIQELM LPRDPVKAKAREEEAAAT >ENSMUSP00000134711.1 pep:known chromosome:GRCm38:3:87738980:87741048:-1 gene:ENSMUSG00000023084.11 transcript:ENSMUST00000174581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc71 description:leucine rich repeat containing 71 [Source:MGI Symbol;Acc:MGI:1921735] XQKMSKGKATKMGAKEKRSILLESEHLGHSLNYNQHSKPLVVEATEMVNPLLEPVEHRDG KVFLPGNKVLLHLNLLRNQITEVGLEGFLTAVQYQVQVSKPKTSPKAPLGLLWLSLAKNC FDPQCPTHVMIQELMLPRDPVKAKAREEEAAAT >ENSMUSP00000093683.3 pep:known chromosome:GRCm38:14:41924949:41933041:1 gene:ENSMUSG00000079271.4 transcript:ENSMUST00000095985.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700049E17Rik1 description:RIKEN cDNA 1700049E17 gene, gene 1 [Source:MGI Symbol;Acc:MGI:1920665] MFSWLRRLFHRENGNQGETRPRQKESAIPSCKNRRMKSFWGRHMSVGKTSSQNCNITNHM KNMNKLDDMKFYIRKINAERLELFRILDIDMNTDLNYRMNTEFTIIKSQHEKTMLDMEKM TQSISDTIEKYKEFIEDKDSYSFTHTYLLKECNQLKEKVRMLLNENRKLLVEQANQETSY GEEKRFCDETSKNIHPKS >ENSMUSP00000079699.6 pep:known chromosome:GRCm38:11:100256217:100261029:-1 gene:ENSMUSG00000035557.9 transcript:ENSMUST00000080893.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt17 description:keratin 17 [Source:MGI Symbol;Acc:MGI:96691] MTTTIRQFTSSSSIKGSSGLGGGSSRTSCRLSGSLGAGSCRLGSASGLGSALGSNSYSSC YSFGTGSGYGGNFGGVDGLLAGGEKATMQNLNDRLASYLDKVRALEEANTELEVKIRDWY QKQAPGPARDYSAYYHTIEDLKNKILVATVDNASILLQIDNARLAADDFRTKFETEQALR MSVEADINGLRRVLDELTLARADLEMQIENLKEELAYLKKNHEEEMNALRGQVGGEINVE MDAAPGVDLSRILSEMRDQYEKMAEKNRKDAEDWFFSKTEELNREVATNSELVQSGKSEI SELRRTMQALEIELQSQLSMKASLEGSLAETENRYCVQLSQIQGLIGSVEEQLAQLRCEM EQQNQEYKILLDVKTRLEQEIATYRRLLEGEDAHLTQYKPKEPVTTRQVRTIVEEVQDGK VISSREQVHQTTR >ENSMUSP00000107959.1 pep:known chromosome:GRCm38:14:26258468:26267986:1 gene:ENSMUSG00000072672.10 transcript:ENSMUST00000112340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl3 description:double homeobox B-like 3 [Source:MGI Symbol;Acc:MGI:3710520] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFACCSEESQEQEQDKPRVKEARRSRTHFTKFQTDILIEAFEKNRFPG IVTREKLAQQTGIPESRIHVRCASLCLWQPTCPYHKPLFSRAVDGQSQATHGCPCALDTG CKYLVFPATVWRNSHIRPAES >ENSMUSP00000133538.1 pep:known chromosome:GRCm38:14:26258786:26268177:1 gene:ENSMUSG00000072672.10 transcript:ENSMUST00000172517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl3 description:double homeobox B-like 3 [Source:MGI Symbol;Acc:MGI:3710520] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFACCSEESQEQEQDKPRVKEARRSRTHFTKFQTDILIEAFEKNRFPG IVTREKLAQQTGIPESRIHIWFQNRRARHPDPGQNTQKTPHPPQSSQGPTQKTVGKLAPS KTLTSSASV >ENSMUSP00000134562.1 pep:known chromosome:GRCm38:14:26259109:26266046:1 gene:ENSMUSG00000072672.10 transcript:ENSMUST00000174494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl3 description:double homeobox B-like 3 [Source:MGI Symbol;Acc:MGI:3710520] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFAC >ENSMUSP00000098367.4 pep:known chromosome:GRCm38:14:26262258:26269436:1 gene:ENSMUSG00000072672.10 transcript:ENSMUST00000100804.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxbl3 description:double homeobox B-like 3 [Source:MGI Symbol;Acc:MGI:3710520] MELSCSTGLLEKEARRRRIILTQSQKDTLRVWFEKNPNPDLATRGHLAKELGISESQIMT WFQKHRKIRKQAEFACCSEESQEQEQDKPRVKEARRSRTHFTKFQTDILIEAFEKNRFPG IVTREKLAQQTGIPESRIHIWFQNRRARHPDPGQNTQKTPHPPQSSQGPTQKTVGKLAPS KTLTSSASVILPLSPPHTPNGPLDLSKGRQKQLPGTTLLQSSQVVQQRSDDQNPNKGHLS PTTTPGEQGFHSQPPLQLLTQNRGHNPRESGGLAVPRLEDCTQVPAVNQHFRKLDQNDSS FLQHWDEWFGSMLAEWMPDKEYWSEKAELHPWQVQLRQLASVSPQAHQTP >ENSMUSP00000009550.7 pep:known chromosome:GRCm38:X:20933395:20950608:-1 gene:ENSMUSG00000009406.13 transcript:ENSMUST00000009550.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elk1 description:ELK1, member of ETS oncogene family [Source:MGI Symbol;Acc:MGI:101833] MDPSVTLWQFLLQLLREQGNGHIISWTSRDGGEFKLVDAEEVARLWGLRKNKTNMNYDKL SRALRYYYDKNIIRKVSGQKFVYKFVSYPEVAGCSTEDCPPQPEVSVTSAIAMAPATVHA GPGDTATGKPGTPKGAGMTGQGGLARSSRNEYMRSGLYSTFTIQSLQPQPQPPIPPRPAS VLPNTTPAGVPAPASGSRSTSPNPLEACLEAEEAGLPLQVILTPPEAPNQKSEELSLDPS FGHPQPPEVKVEGPKEELEAARAGGFSSEAVKAEPEVSASEGLLARLPAILTENTAQVCG LSTSTTEITQPQKGRKPRDLELPLSPSLLGGQGPERTPGSGTSSGLQAPGPALTPSLLPT HTLTPVLLTPSSLPPSIHFWSTLSPIAPRSPAKLSFQFPSSGSAQVHIPSISVDGLSTPV VLSPGPQKP >ENSMUSP00000064619.1 pep:known chromosome:GRCm38:X:53724826:53738441:1 gene:ENSMUSG00000055555.1 transcript:ENSMUST00000069209.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930502E18Rik description:RIKEN cDNA 4930502E18 gene [Source:MGI Symbol;Acc:MGI:1922263] MHRLISRLRAFFQRKADPKEAKEERQKLLEDATSLQNKQGVVAGSCSNYDCMTKHTRSSA DVETGDNPLKAEPNLPAAVEEQSPRGLNAVTVDNDHDEEPSESHMRILLASITSLVGDAD YNGHGFSFSLDIACKDFKPYNGDLVEIEFSDEQDTQSRRAILVKPLKHCHLNEVRVTRTD GSTGVLEDTIFFTLDSLKLPSGYVPQPDDVVNVVAVQSMQSNYFWRAVAMTPVQVL >ENSMUSP00000137685.2 pep:known chromosome:GRCm38:7:23984065:23985048:1 gene:ENSMUSG00000097425.2 transcript:ENSMUST00000181796.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r181 description:vomeronasal 1 receptor 181 [Source:MGI Symbol;Acc:MGI:3033489] MSAHDKSLKTTEEVALQILLLCQFGVGTFANVFLFVHNFFPILTGSKQRPRQVILSHIAV ANALTLFITTFPNNMAFAPRSPQNDLKCKLEFFIRMVARSTGLCSTCVLSIHQFLTLVPV NRGKLILRTSVPNLVSYSCYSCWFFSVLNNIYIPIKVTGPQITDSKNDSKSKLFCSTSGF NVGIVFLRFAHDATFMSIMVWTSVSMVLLLHRHRQRMQYIFTLNQDHRSQAETKATHTIL MLVVTFVSFYLLHFICIIFYTFFIYSHQFLRHCSEVLVVGFPTISPLLLIFRDPKGPCSV FFNC >ENSMUSP00000138955.1 pep:known chromosome:GRCm38:4:105789869:105794428:1 gene:ENSMUSG00000083780.8 transcript:ENSMUST00000184254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12728 description:predicted gene 12728 [Source:MGI Symbol;Acc:MGI:3649486] MDTEQPEAMVNPTVFFDITADDEPLGRVSFELFADKVPKAAENFRALRTGEKGFGYKGPS FHRIILGFMCQGGDFTP >ENSMUSP00000139082.1 pep:known chromosome:GRCm38:4:105790534:105794428:1 gene:ENSMUSG00000083780.8 transcript:ENSMUST00000185012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12728 description:predicted gene 12728 [Source:MGI Symbol;Acc:MGI:3649486] MVGAEERAMVNPTVFFDITADDEPLGRVSFELFADKVPKAAENFRALRTGEKGFGYKGPS FHRIILGFMCQGGDFTP >ENSMUSP00000022816.8 pep:known chromosome:GRCm38:15:11981339:11996058:-1 gene:ENSMUSG00000022205.15 transcript:ENSMUST00000022816.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sub1 description:SUB1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:104811] MPKSKELVSSSSSGSDSDSEVEKKLKRKKQAVPEKPVKKQKPGETSRALASSKQSSSSRD DNMFQIGKMRYVSVRDFKGKILIDIREYWMDSEGEMKPGRKGISLNMEQWSQLKEQISDI DDAVRKL >ENSMUSP00000106130.1 pep:known chromosome:GRCm38:15:11984041:11996983:-1 gene:ENSMUSG00000022205.15 transcript:ENSMUST00000110504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sub1 description:SUB1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:104811] MPKSKELVSSSSSGSDSDSEVEKKLKRKKQAVPEKPVKKQKPGETSRALASSKQSSSSRD DNMFQIGKMRYVSVRDFKGKILIDIREYWMDSEGEMKPGRKGISLNMEQWSQLKEQISDI DDAVRKL >ENSMUSP00000041941.7 pep:known chromosome:GRCm38:2:82053222:82259879:1 gene:ENSMUSG00000070866.4 transcript:ENSMUST00000047527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp804a description:zinc finger protein 804A [Source:MGI Symbol;Acc:MGI:2442949] MECYYIVISSTHLSNGHFRNIKGVFRGPLSKNGNKTLDYAEKENTIAKALEDLKANFYCE LCDKQYYKHQEFDNHINSYDHAHKQRLKELKQREFARNVASKSRKDERKQEKALQRLHKL AELRKETVCAPGSGPMFKSTTVTVRENLNDVSQRESVDPINNQQDLIPSEEKERDGTTAL AETETASNCTANNCQIGDQSQAVHRHRIGFSFAFPKKATVKLESCSAAAFSEYSDESSME KEFSRKTRFVPSTSHLQLPPPTCELLSSEEKGNSPPPEAMCTDKATAQTEERKITSNENN TLLTSSFCQLQHYIPTCSEADTCQNLAPFEDQLPMEAVIVNEDGPVSKSNPNIIEKNPTV PNDRTSAQMTTEENITINDVTKMETRNKIDHEPLTPSSTIEESIRLQKRPDLCKRQCDPF VPVLNKLGSTVLQWPSEMLAYTTTEPSISYSCNPLCFDFKSTKLNNNQDKNKLTLNDLFS EQKEDCCKGAHADCKDVPIARVTNDETGHSKNDYPQVTTLSPVHVLSNGCDLGQNENVGQ RYKHISCTNRQTNKYKFTRCQIKRDMLNEKYDKMRLKETREHWFHKSRRKKKRRKLCRYH PGKSSKEPEGSGKTERSRQRTDEARKNPREPVLEKHPRSPERASDLHQLPDERPKAASTH LGEKETMNTTVNTESNDAAPGSQNCGGKNATVVNEQAKPLVIHSVKQNLTYVRTYCCWKA RTSRYQEDDGSLASQSNVKGPTQNQPVKRGYSSLTNDSERIHRKRRQHSCSYSSDESLNQ QHHLGEYLKPLSTSLISCQPKKKRRRKRSRLHIGDGTTKMKGNSNYPMKCSSLSQPDELA KDCIKEDINPQENVSIEKNSEQTEQTEIKGMLHPYNPLLSEPSGEGEHSVTETTPCDSSQ TSNDLATPVNVTRDPSNSTTDNTLLEHNQRSQTTNSNEKQTPFKVTNPERNFRHSQAKSY ICRYELAETIPQGKTNEASTEWLCYNSGILNTQPPLQFKEAHVSGHAFVTTEQILAPLPL PEQALLIPLENHDKLKHLPCEVYQHIIQPNMLTNKVKFTFPPPPLPPPSTPVQPLPLQRP FCSTSVTTIHHTVLQHHAAAAAAAAAAAAAGTFKVLQPHQQFLPQVPALARTSIPQISVG TVGPRLCPGGQPALVASPQMPIIPASVLHPSPLAFPPLPHSFFPSLLSPHPTVIPLQPLF >ENSMUSP00000115342.1 pep:known chromosome:GRCm38:X:20951662:20962017:-1 gene:ENSMUSG00000001134.9 transcript:ENSMUST00000123836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uxt description:ubiquitously expressed transcript [Source:MGI Symbol;Acc:MGI:1277988] MATPPKRRALDTVGEKVLRYETFISDVLQRDLQKVLDHRDKVYEQLSVYLQLRNVIERLQ ETNHSELYMQVDLGCNFFVDTVVPDTSRIYVALGYGFFLELTLAEALKFIDRKSSLLTEL SDSLTKDSMNIKAHIHMMLEGLRELQGLQNFPEPSPH >ENSMUSP00000075203.6 pep:known chromosome:GRCm38:1:163245119:163313672:-1 gene:ENSMUSG00000026586.16 transcript:ENSMUST00000075805.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrx1 description:paired related homeobox 1 [Source:MGI Symbol;Acc:MGI:97712] MTSSYGHVLERQPALGGRLDSPGNLDTLQAKKNFSVSHLLDLEEAGDMVAAQADESVGEA GRSLLESPGLTSGSDTPQQDNDQLNSEEKKKRKQRRNRTTFNSSQLQALERVFERTHYPD AFVREDLARRVNLTEARVQVWFQNRRAKFRRNERAMLANKNASLLKSYSGDVTAVEQPIV PRPAPRPTDYLSWGTASPYRSSSLPRCCLHEGLHNGF >ENSMUSP00000027878.7 pep:known chromosome:GRCm38:1:163245119:163313710:-1 gene:ENSMUSG00000026586.16 transcript:ENSMUST00000027878.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrx1 description:paired related homeobox 1 [Source:MGI Symbol;Acc:MGI:97712] MTSSYGHVLERQPALGGRLDSPGNLDTLQAKKNFSVSHLLDLEEAGDMVAAQADESVGEA GRSLLESPGLTSGSDTPQQDNDQLNSEEKKKRKQRRNRTTFNSSQLQALERVFERTHYPD AFVREDLARRVNLTEARVQVWFQNRRAKFRRNERAMLANKNASLLKSYSGDVTAVEQPIV PRPAPRPTDYLSWGTASPYSAMATYSATCANNSPAQGINMANSIANLRLKAKEYSLQRNQ VPTVN >ENSMUSP00000134338.1 pep:known chromosome:GRCm38:1:163252866:163313668:-1 gene:ENSMUSG00000026586.16 transcript:ENSMUST00000174397.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrx1 description:paired related homeobox 1 [Source:MGI Symbol;Acc:MGI:97712] MTSSYGHVLERQPALGGRLDSPGNLDTLQAKKNFSVSHLLDLEEAGDMVAAQADESVGEA GRSLLESPGLTSGSDTPQQDNDQLNSEEKKKRKQRRNRTTFNSSQLQALERVFERTHYPD AFVREDLARRVNLTEARVQVWFQNRRAKFRRNERAMLANKNASLLKSYSGDVTAVEQPIV PRPAPRPTDYLSWGTASPYR >ENSMUSP00000081369.5 pep:known chromosome:GRCm38:4:115600975:115621602:1 gene:ENSMUSG00000063929.6 transcript:ENSMUST00000084342.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a32 description:cytochrome P450, family 4, subfamily a, polypeptide 32 [Source:MGI Symbol;Acc:MGI:3717148] MSVSALSPTRFADSLSGFLQVASVLGLLLLLVKAVQFYLHRKWLLKALQQFPSPPFHWFF GHEQFKGEQELKEVVSCIEHFPSAFPCWFWGSNAYLTVYDPDYMKVILGRSDPKANGIYR LLAPWIGYGLLLLNGQPWFQHRRMLTPAFHYDILKPYVKNMADSIRLMLDKWERLAGQDS SIEIFQHISLMTLDTVMKCAFSHKGSVQVDGNYKTYLQAIGDLNNLFHSRVRNIFHQNDT IYRLSSNGRLAKQACQLAHDHTDGVIKMRKDQLQDEGELENIKKKRRLDFLDILLFARME NGDSMSDKDLRAEVDTFMFEGHDTTASGVSWIFYALATHPEHQQRCREEVQSLLGDGSSI TWDHLDQIPYTTMCIKEALRLYPPVPGIVRELSTSVTFPDGRSLPKGVQVTLSIYGLHHN PKVWPNPEVFDPSRFAPDSPRHSHSFLPFSGGARNCIGKQFAMSELKVIVALTLLHFELL PDPTRVPEPLARIVLKSKNGIYLHLKKLH >ENSMUSP00000030408.5 pep:known chromosome:GRCm38:4:122946850:122961188:-1 gene:ENSMUSG00000028655.11 transcript:ENSMUST00000030408.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd2a description:major facilitator superfamily domain containing 2A [Source:MGI Symbol;Acc:MGI:1923824] MAKGEGAESGSAAGLLPTSILQASERPVQVKKEPKKKQQLSICNKLCYAVGGAPYQLTGC ALGFFLQIYLLDVAKVEPLPASIILFVGRAWDAFTDPLVGFCISKSSWTRLGRLMPWIIF STPLAIIAYFLIWFVPDFPSGTESSHGFLWYLLFYCLFETLVTCFHVPYSALTMFISTEQ SERDSATAYRMTVEVLGTVIGTAIQGQIVGQAKAPCLQDQNGSVVVSEVANRTQSTASLK DTQNAYLLAAGIIASIYVLCAFILILGVREQRELYESQQAESMPFFQGLRLVMGHGPYVK LIAGFLFTSLAFMLVEGNFALFCTYTLDFRNEFQNLLLAIMLSATFTIPIWQWFLTRFGK KTAVYIGISSAVPFLILVALMERNLIVTYVVAVAAGVSVAAAFLLPWSMLPDVIDDFHLK HPHSPGTEPIFFSFYVFFTKFASGVSLGVSTLSLDFANYQRQGCSQPEQVKFTLKMLVTM APIILILLGLLLFKLYPIDEEKRRQNKKALQALREEASSSGCSDTDSTELASIL >ENSMUSP00000116782.1 pep:known chromosome:GRCm38:4:122956759:122961188:-1 gene:ENSMUSG00000028655.11 transcript:ENSMUST00000127047.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd2a description:major facilitator superfamily domain containing 2A [Source:MGI Symbol;Acc:MGI:1923824] MAKGEGAESGSAAGLLPTSILQASERPVQVKEPKKKQQLSICNKLCYAVGGAPYQLTGCA LGFFLQIYLLDVAKVEPLPASIILFVGRAWDAFTDPLVGFCISKSS >ENSMUSP00000030317.7 pep:known chromosome:GRCm38:4:143267431:143299564:-1 gene:ENSMUSG00000028583.14 transcript:ENSMUST00000030317.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpn description:podoplanin [Source:MGI Symbol;Acc:MGI:103098] MWTVPVLFWVLGSVWFWDSAQGGTIGVNEDDIVTPGTGDGMVPPGIEDKITTTGATGGLN ESTGKAPLVPTQRERGTKPPLEELSTSATSDHDHREHESTTTVKVVTSHSVDKKTSHPNR DNAGDETQTTDKKDGLPVVTLVGIIVGVLLAIGFVGGIFIVVMKKISGRFSP >ENSMUSP00000113776.1 pep:known chromosome:GRCm38:4:143268346:143299463:-1 gene:ENSMUSG00000028583.14 transcript:ENSMUST00000119654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpn description:podoplanin [Source:MGI Symbol;Acc:MGI:103098] MWTVPVLFWVLGSVWFWDSAQGGTIGVNEDDIVTPGTGDGMVPPGIEDKITTTGATGGLN ESTGKAPLVPTQRERGTKPPLEELSTSATSDHDHREHESTTTVKVVTSHSVDKKTSHPNR DGLPVVTLVGIIVGVLLAIGFVGGIFIVVMKKISGRFSP >ENSMUSP00000091435.4 pep:known chromosome:GRCm38:11:117199661:117362325:1 gene:ENSMUSG00000059248.13 transcript:ENSMUST00000093907.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept9 description:septin 9 [Source:MGI Symbol;Acc:MGI:1858222] MKKSYSGVTRTSSGRLRRLADPTGPALKRSFEVEEIEPPNSTPPRRVQTPLLRATVASSS QKFQDLGVKNSEPAARLVDSLSQRSPKPSLRRVELAGAKAPEPMSRRTEISIDISSKQVE STASAAGPSRFGLKRAEVLGHKTPEPVPRRTEITIVKPQESVLRRVETPASKIPEGSAVP ATDAAPKRVEIQVPKPAEAPNCPLPSQTLENSEAPMSQLQSRLEPRPSVAEVPYRNQEDS EVTPSCVGDMADNPRDAMLKQAPASRNEKAPMEFGYVGIDSILEQMRRKAMKQGFEFNIM VVGQSGLGKSTLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVID TPGFGDHINNENCWQPIMKFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHSL RPLDIEFMKRLSKVVNIVPVIAKADTLTLEERVYFKQRITADLLSNGIDVYPQKEFDEDA EDRLVNEKFREMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLIR THMQNIKDITSNIHFEAYRVKRLNEGNSAMANGIEKEPEAQEM >ENSMUSP00000101961.2 pep:known chromosome:GRCm38:11:117232285:117362313:1 gene:ENSMUSG00000059248.13 transcript:ENSMUST00000106354.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept9 description:septin 9 [Source:MGI Symbol;Acc:MGI:1858222] MERDRITALKRSFEVEEIEPPNSTPPRRVQTPLLRATVASSSQKFQDLGVKNSEPAARLV DSLSQRSPKPSLRRVELAGAKAPEPMSRRTEISIDISSKQVESTASAAGPSRFGLKRAEV LGHKTPEPVPRRTEITIVKPQESVLRRVETPASKIPEGSAVPATDAAPKRVEIQVPKPAE APNCPLPSQTLENSEAPMSQLQSRLEPRPSVAEVPYRNQEDSEVTPSCVGDMADNPRDAM LKQAPASRNEKAPMEFGYVGIDSILEQMRRKAMKQGFEFNIMVVGQSGLGKSTLINTLFK SKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVIDTPGFGDHINNENCWQPIM KFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHSLRPLDIEFMKRLSKVVNIV PVIAKADTLTLEERVYFKQRITADLLSNGIDVYPQKEFDEDAEDRLVNEKFREMIPFAVV GSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLIRTHMQNIKDITSNIHFEAY RVKRLNEGNSAMANGIEKEPEAQEM >ENSMUSP00000019038.8 pep:known chromosome:GRCm38:11:117266246:117362313:1 gene:ENSMUSG00000059248.13 transcript:ENSMUST00000019038.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept9 description:septin 9 [Source:MGI Symbol;Acc:MGI:1858222] MSDPAVNAQLDGIISDFEALKRSFEVEEIEPPNSTPPRRVQTPLLRATVASSSQKFQDLG VKNSEPAARLVDSLSQRSPKPSLRRVELAGAKAPEPMSRRTEISIDISSKQVESTASAAG PSRFGLKRAEVLGHKTPEPVPRRTEITIVKPQESVLRRVETPASKIPEGSAVPATDAAPK RVEIQVPKPAEAPNCPLPSQTLENSEAPMSQLQSRLEPRPSVAEVPYRNQEDSEVTPSCV GDMADNPRDAMLKQAPASRNEKAPMEFGYVGIDSILEQMRRKAMKQGFEFNIMVVGQSGL GKSTLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVIDTPGFGDH INNENCWQPIMKFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHSLRPLDIEF MKRLSKVVNIVPVIAKADTLTLEERVYFKQRITADLLSNGIDVYPQKEFDEDAEDRLVNE KFREMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLIRTHMQNIK DITSNIHFEAYRVKRLNEGNSAMANGIEKEPEAQEM >ENSMUSP00000120382.1 pep:known chromosome:GRCm38:11:117308154:117352212:1 gene:ENSMUSG00000059248.13 transcript:ENSMUST00000153668.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept9 description:septin 9 [Source:MGI Symbol;Acc:MGI:1858222] MADNPRDAMLKQAPASRNEKAPMEFGYVGIDSILEQMRRKAMKQGFEFNIMVVGQSGLGK STLINTLFKSKISR >ENSMUSP00000120065.1 pep:known chromosome:GRCm38:11:117326433:117356376:1 gene:ENSMUSG00000059248.13 transcript:ENSMUST00000127383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept9 description:septin 9 [Source:MGI Symbol;Acc:MGI:1858222] MSQWGTSQNSEVTPSCVGDMADNPRDAMLKQAPASRNEKAPMEFGYVGIDSILEQMRRKA MKQGFEFNIMVVGQSGLGKSTLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEK GVRMKLTVIDTPGFGDHINNENCWQPIMKFINDQYEKYLQEEVNINRKKRIPDTRVHCCL YFIPATGHSLRPLDIEFMKRLSKVVNIVPVIAKADTLTLEERVYFKQRITADLLSNGIDV YP >ENSMUSP00000097767.1 pep:known chromosome:GRCm38:11:117331720:117362308:1 gene:ENSMUSG00000059248.13 transcript:ENSMUST00000100193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept9 description:septin 9 [Source:MGI Symbol;Acc:MGI:1858222] MADNPRDAMLKQAPASRNEKAPMEFGYVGIDSILEQMRRKAMKQGFEFNIMVVGQSGLGK STLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVIDTPGFGDHIN NENCWQPIMKFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHSLRPLDIEFMK RLSKVVNIVPVIAKADTLTLEERVYFKQRITADLLSNGIDVYPQKEFDEDAEDRLVNEKF REMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLIRTHMQNIKDI TSNIHFEAYRVKRLNEGNSAMANGIEKEPEAQEM >ENSMUSP00000101956.1 pep:known chromosome:GRCm38:11:117332345:117362324:1 gene:ENSMUSG00000059248.13 transcript:ENSMUST00000106349.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept9 description:septin 9 [Source:MGI Symbol;Acc:MGI:1858222] MADNPRDAMLKQAPASRNEKAPMEFGYVGIDSILEQMRRKAMKQGFEFNIMVVGQSGLGK STLINTLFKSKISRKSVQPTSEERIPKTIEIKSITHDIEEKGVRMKLTVIDTPGFGDHIN NENCWQPIMKFINDQYEKYLQEEVNINRKKRIPDTRVHCCLYFIPATGHSLRPLDIEFMK RLSKVVNIVPVIAKADTLTLEERVYFKQRITADLLSNGIDVYPQKEFDEDAEDRLVNEKF REMIPFAVVGSDHEYQVNGKRILGRKTKWGTIEVENTTHCEFAYLRDLLIRTHMQNIKDI TSNIHFEAYRVKRLNEGNSAMANGIEKEPEAQEM >ENSMUSP00000124846.1 pep:known chromosome:GRCm38:16:22244549:22266005:-1 gene:ENSMUSG00000022858.15 transcript:ENSMUST00000161286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tra2b description:transformer 2 beta homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:106016] MSDSGEQNYGERESRSASRSGSAHGSGKSARHTPARSRSKEDSRRSRSKSRSRSESRSRS RRSSRRHYTRSRSRSRSHRRSRSRSYSRDYRRRHSHSHSPMSTRRRHVGNRANPDPNCCL GVFGLSLYTTERDLREVFSKYGPIADVSIVYDQQSRRSRGFAFVYFENVDDAKEAKERAN GMELDGRRIRVDFSITKRPHTPTPGIYMGRPTYGSSRRRDYYDRGYDRGYDDRDYYSRSY RGGGGGGGGWRAAQDRDQIYRRRSPSPYYSRGGYRSRSRSRSYSPRRY >ENSMUSP00000023564.9 pep:known chromosome:GRCm38:16:22246448:22255247:-1 gene:ENSMUSG00000022858.15 transcript:ENSMUST00000023564.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tra2b description:transformer 2 beta homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:106016] MSTRRRHVGNRANPDPNCCLGVFGLSLYTTERDLREVFSKYGPIADVSIVYDQQSRRSRG FAFVYFENVDDAKEAKERANGMELDGRRIRVDFSITKRPHTPTPGIYMGRPTYGSSRRRD YYDRGYDRGYDDRDYYSRSYRGGGGGGGGWRAAQDRDQIYRRRSPSPYYSRGGYRSRSRS RSYSPRRY >ENSMUSP00000123782.1 pep:known chromosome:GRCm38:16:22246462:22265829:-1 gene:ENSMUSG00000022858.15 transcript:ENSMUST00000162413.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tra2b description:transformer 2 beta homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:106016] MSDSGEQNYGERVNVEEGKCGSRHLTSFINEYLKLRNK >ENSMUSP00000078632.5 pep:known chromosome:GRCm38:7:35276536:35318440:-1 gene:ENSMUSG00000063808.14 transcript:ENSMUST00000079693.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch1 description:G patch domain containing 1 [Source:MGI Symbol;Acc:MGI:1914721] MAALDSDSDEDLISYGTGLEPLDEGERPKKPIPLQDQTVRDEKGRYKRFHGAFSGGFSAG YFNTVGSKEGWTPSTFVSSRQNRADKSALGPEDFMDEEDLSEFGIAPKAIVTTDDFASKT KDRIREKARQLAAAAAPIPGATLLDDLITPAKLSVGFELLRKMGWKEGQGVGPRVKRKAR RQKPDPGVKIYGCALPPGGSEESEDEDDDYLPDNVTFAPKDVMPVDFTPKDNVHGLAYKG LDPHQALFGMPGEHLNLFGGASEGTSHLLGDVGLSKGRKLGISGQAFGVGALEEEDDDIY ATETLSKYDTVLKDEEPGDGLYGWTAPKQYKNQKEPERDLRYVGKILEGFSLASKPLSSK KIYPPPQLPRDYRPVHYFRPVVAATAENAHVLQVLSESSGKAGQDVGTHSRHQLNASKRG ELLGEMPIQGSATSVLEFLSQKDKERIKEVKQATDLKAAQAKARSLAQSASSSRAQASTP DLGHSSWHLALGGGTVTTRANNFKPFAKDPEKQRRYEEFLVHMKKGQKDALERCLDPSMT EWERSREREEFARAAQLYVSSNSTLSSRFTHAKEEEDSDQVEVPRDQENDVSDKQSAVKM KMFGKLTRDTFEWHPDKLLCKRFNVPDPYPGSTLVGLPRVKRDKYSVFNFLTLPEPAPLP TAPVPSEKAPQQRGSDKSRKPSRWDTSKQEKKEDSISEFLSQARSKVGPPKQESSALGSK EEQAPEPRPDTTVDKAVDAQTDGEGSRPSMDLFKAIFASSSDEKSSSSEEEQDDSEDSQE HTEEASLKGSQEAAAGETSVVLAAEPEPCEPATPFPIQKAQIDEREEFGPRLPPVFCPNS RQKLEIPQKEKPKKSKERHKSKKEHRRKREKKKKHKKHKHKSKQKNKKSEKNSSSESTDS SDSGSDDGGPAELSPQELLRRLKCLPLRRQ >ENSMUSP00000117475.1 pep:known chromosome:GRCm38:7:35276544:35318421:-1 gene:ENSMUSG00000063808.14 transcript:ENSMUST00000131213.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpatch1 description:G patch domain containing 1 [Source:MGI Symbol;Acc:MGI:1914721] MAALDSDSDEDLISYGTGLEPLDEGERPKKPIPLQDQTVRDEKGRYKRFHGAFSGGFSAG YFNTVGSKEGWTPSTFVSSRQNRADKSALGPEDFMDEEDLSEFGIAPKAIVTTDDFASKT KDRIREKARQLAAAAAPIPGATLLDDLITPAKLSVGFELLRKMGWKEGQGVGPRVKRKAR RQKPDPGVKIYGCALPPGGSEESEDEDDDYLPDNVTFAPKDVMPVDFTPKDNVHGLAYKG LDPHQALFGMPGEHLNLFGGASEGTSHLLGDVGLSKGRKLGISGQAFGVGALEEEDDDIY ATETLSKYDTVLKDEEPGDGLYGWTAPKQYKNQKEPERDLRYVGKILEGFSLASKPLSSK KIYPPPQLPRDYRPVHYFRPVVAATAENAHVLQVLSESSGKAGQDVGTHSRHQLNASKRG ELLGEMPIQGSATSVLEFLSQKDKERIKEVKQATDLKAAQAKARSLAQSASSSRAQASTP DLGHSSWHLALGGGTVTTRANNFKPFAKDPEKQRRYEEFLVHMKKGQKDALERCLDPSMT EWERSREREEFARAAQLYVSSNSTLSSRFTHAKEEEDSDQVEVPRDQENDVSDKQSAVKM KMFGKLTRDTFEWHPDKLLCKRFNVPDPYPGSTLVGLPRVKRDKYSVFNFLTLPEPAPLP TAPVPSEKAPQQRGSDKSRKPSRWDTSKQEKKEDSISEFLSQARSKVGPPKQESSALGSK EEQAPEPRPDTTVDKAVDAQTDGEGSRPSMDLFKAIFASSSDEKSSSSEEEQDDSEDSQE HTEEASLKGSQEAAAGETSVVLAAEPEPCEPATPFPIQKAQIDEREEFGPRLPPVFCPNS RQKLEIPQKEKPKKSKERHKSKKEHRRKREKKKKHKKHKHKIM >ENSMUSP00000121711.1 pep:known chromosome:GRCm38:7:35283619:35318440:-1 gene:ENSMUSG00000063808.14 transcript:ENSMUST00000131143.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpatch1 description:G patch domain containing 1 [Source:MGI Symbol;Acc:MGI:1914721] MAALDSDSDEDLISYGTGLEPLDEGERPKKPIPLQDQTVRDEKGRYKRFHGAFSGGFSAG YFNTVGSKEGWTPSTFVSSRQNRADKSALGPEDFMDEE >ENSMUSP00000029712.4 pep:known chromosome:GRCm38:3:87778244:87795162:-1 gene:ENSMUSG00000028072.6 transcript:ENSMUST00000029712.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntrk1 description:neurotrophic tyrosine kinase, receptor, type 1 [Source:MGI Symbol;Acc:MGI:97383] MLRGQRLGQLGWHRPAAGLGSLMTSLMLACASAASCREVCCPVGPSGLRCTRAGSLDTLR GLRGAGNLTELYVENQQHLQRLEFEDLQGLGELRSLTIVKSGLRFVAPDAFRFTPRLSHL NLSSNALESLSWKTVQGLSLQDLTLSGNPLHCSCALFWLQRWEQEGLCGVHTQTLHDSGP GDQFLPLGHNTSCGVPTVKIQMPNDSVEVGDDVFLQCQVEGLALQQADWILTELEGAATV KKFGDLPSLGLILVNVTSDLNKKNVTCWAENDVGRAEVSVQVSVSFPASVHLGLAVEQHH WCIPFSVDGQPAPSLRWLFNGSVLNETSFIFTQFLESALTNETMRHGCLRLNQPTHVNNG NYTLLAANPYGQAAASVMAAFMDNPFEFNPEDPIPVSFSPVDGNSTSRDPVEKKDETPFG VSVAVGLAVSAALFLSALLLVLNKCGQRSKFGINRPAVLAPEDGLAMSLHFMTLGGSSLS PTEGKGSGLQGHIMENPQYFSDTCVHHIKRQDIILKWELGEGAFGKVFLAECYNLLNDQD KMLVAVKALKEASENARQDFQREAELLTMLQHQHIVRFFGVCTEGGPLLMVFEYMRHGDL NRFLRSHGPDAKLLAGGEDVAPGPLGLGQLLAVASQVAAGMVYLASLHFVHRDLATRNCL VGQGLVVKIGDFGMSRDIYSTDYYRVGGRTMLPIRWMPPESILYRKFSTESDVWSFGVVL WEIFTYGKQPWYQLSNTEAIECITQGRELERPRACPPDVYAIMRGCWQREPQQRLSMKDV HARLQALAQAPPSYLDVLG >ENSMUSP00000079566.2 pep:known chromosome:GRCm38:6:41968140:41973090:1 gene:ENSMUSG00000062833.2 transcript:ENSMUST00000080742.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sval3 description:seminal vesicle antigen-like 3 [Source:MGI Symbol;Acc:MGI:3047714] MASLQILQQTGGVMLLILSLLLETAYGQDNRNKSLSLNRRLSQTNRTNEVIVELTVTNNV DKCLGIEISTEDNPNIKYLSTPEIPLACVCTSAKFFWNVHVSENAVLQMKVEVFSEKDIC NDDNTQHLVTIYLRYTLYKLLEIE >ENSMUSP00000064211.4 pep:known chromosome:GRCm38:14:41943995:42003821:1 gene:ENSMUSG00000052334.11 transcript:ENSMUST00000064162.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700024B05Rik description:RIKEN cDNA 1700024B05 gene [Source:MGI Symbol;Acc:MGI:1922768] MESHDNPVSAGRTSSQNCNITNHMKNMNKLDDMKFYIRKINAELEELFRILDIDMNTDLN YRMNTEFTIIKSQHEKTMLDMEKMKQSISDSIDKYKEFIEDNDSYSIRHTHLLKECNQLK EKVRMLLNENRKLLVEQADQEASFGEEKSSVMRPARTYIPSAKQQQL >ENSMUSP00000127922.1 pep:known chromosome:GRCm38:14:41943995:42003819:1 gene:ENSMUSG00000052334.11 transcript:ENSMUST00000168972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700024B05Rik description:RIKEN cDNA 1700024B05 gene [Source:MGI Symbol;Acc:MGI:1922768] MESHDNPVSAGRTSSQNCNITNHMKNMNKLDDMKFYIRKINAELEELFRILDIDMNTDLN YRMNTEFTIIKSQHEKTMLDMEKMKQSISDSIDKYKEFIEDNDSYSIRHTHLLKECNQLK EKVRMLLNENRKLLVEQADQEASFGEEKSS >ENSMUSP00000071985.1 pep:known chromosome:GRCm38:2:36793238:36794176:1 gene:ENSMUSG00000094949.1 transcript:ENSMUST00000072114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr50 description:olfactory receptor 50 [Source:MGI Symbol;Acc:MGI:1333746] MMKSNQSTVSEFILLGLPIQPEDQAVYFALFLAMYLTTVLGNLLIILLIRLDSHLHTPMY FFLSHLAFTDISFSSVTAPKMLMNMLTHSQSISHAGCVSQIYFFLLFGCIDNFLLTSMAY DRYVAICHPLHYTTIMSQSLCVLLVMVSWAFSSSNGLVHTLLFARLSLFRDNTVHHFFCD LSALLKLSSSDTTINELVILTLAVVVITVPFICILVSYGHIGATILRTPSIKGICKALST CGSHLCVVSLYYGAIIGLYFFPSSNNTNDKDVIVAVLYTVVTPMLNPFIYSLRNRDINGA LRKTLSRRLCSH >ENSMUSP00000000901.6 pep:known chromosome:GRCm38:X:100767722:100818406:1 gene:ENSMUSG00000000881.12 transcript:ENSMUST00000000901.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg3 description:discs, large homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1888986] MHKHQHCCKCPECYEVTRLAALRRLEPPGYGDWQVPDPYGPSGGNGASSGYGGYSSQTLP SQAGATPTPRTKAKLIPTGRDVGPVPPKPVPGKSTPKLNGSGPGWWPECTCTNRDWYEQV NGSDGMFKYEEIVLERGNSGLGFSIAGGIDNPHVPDDPGIFITKIIPGGAAAMDGRLGVN DCVLRVNEVDVSEVVHSRAVEALKEAGPVVRLVVRRRQPPPETIMEVNLLKGPKGLGFSI AGGIGNQHIPGDNSIYITKIIEGGAAQKDGRLQIGDRLLAVNNTNLQDVRHEEAVASLKN TSDMVYLKVAKPGSIHLNDMYAPPDYASTFTALADNHISHNSSLGYLGAVESKVTYPAPP QVPPTRYSPIPRHMLAEEDFTREPRKIILHKGSTGLGFNIVGGEDGEGIFVSFILAGGPA DLSGELRRGDRILSVNGVNLRNATHEQAAAALKRAGQSVTIVAQYRPEEYSRFESKIHDL REQMMNSSMSSGSGSLRTSEKRSLYVRALFDYDRTRDSCLPSQGLSFSYGDILHVINASD DEWWQARLVTPHGESEQIGVIPSKKRVEKKERARLKTVKFHARTGMIESNRDFPGLSDDY YGAKNLKGQEDAILSYEPVTRQEIHYARPVIILGPMKDRVNDDLISEFPHKFGSCVPHTT RPRRDNEVDGQDYHFVVSREQMEKDIQDNKFIEAGQFNDNLYGTSIQSVRAVAERGKHCI LDVSGNAIKRLQQAQLYPIAIFIKPKSIEALMEMNRRQTYEQANKIFDKAMKLEQEFGEY FTAIVQGDSLEEIYNKIKQIIEDQSGHYIWVPSPEKL >ENSMUSP00000109365.2 pep:known chromosome:GRCm38:X:100767723:100818408:1 gene:ENSMUSG00000000881.12 transcript:ENSMUST00000113736.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg3 description:discs, large homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1888986] MHKHQHCCKCPECYEVTRLAALRRLEPPGYGDWQVPDPYGPSGGNGASSGYGGYSSQTLP SQAGATPTPRTKAKLIPTGRDVGPVPPKPVPGKSTPKLNGSGPGWWPECTCTNRDWYEQA SPAPLLVNPEALEPSLSVNGSDGMFKYEEIVLERGNSGLGFSIAGGIDNPHVPDDPGIFI TKIIPGGAAAMDGRLGVNDCVLRVNEVDVSEVVHSRAVEALKEAGPVVRLVVRRRQPPPE TIMEVNLLKGPKGLGFSIAGGIGNQHIPGDNSIYITKIIEGGAAQKDGRLQIGDRLLAVN NTNLQDVRHEEAVASLKNTSDMVYLKVAKPGSIHLNDMYAPPDYASTFTALADNHISHNS SLGYLGAVESKVTYPAPPQVPPTRYSPIPRHMLAEEDFTREPRKIILHKGSTGLGFNIVG GEDGEGIFVSFILAGGPADLSGELRRGDRILSVNGVNLRNATHEQAAAALKRAGQSVTIV AQYRPEEYSRFESKIHDLREQMMNSSMSSGSGSLRTSEKRSLYVRALFDYDRTRDSCLPS QGLSFSYGDILHVINASDDEWWQARLVTPHGESEQIGVIPSKKRVEKKERARLKTVKFHA RTGMIESNRDFPGLSDDYYGAKNLKGQEDAILSYEPVTRQEIHYARPVIILGPMKDRVND DLISEFPHKFGSCVPHTTRPRRDNEVDGQDYHFVVSREQMEKDIQDNKFIEAGQFNDNLY GTSIQSVRAVAERGKHCILDVSGNAIKRLQQAQLYPIAIFIKPKSIEALMEMNRRQTYEQ ANKIFDKAMKLEQEFGEYFTAIVQGDSLEEIYNKIKQIIEDQSGHYIWVPSPEKL >ENSMUSP00000085299.4 pep:known chromosome:GRCm38:X:100767749:100818408:1 gene:ENSMUSG00000000881.12 transcript:ENSMUST00000087984.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg3 description:discs, large homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1888986] MHKHQHCCKCPECYEVTRLAALRRLEPPGYGDWQVPDPYGPSGGNGASSGYGGYSSQTLP SQAGATPTPRTKAKLIPTGRDVGPVPPKPVPGKSTPKLNGSGPGWWPECTCTNRDWYEQA SPAPLLVNPEALEPSLSVNGSDGMFKYEEIVLERGNSGLGFSIAGGIDNPHVPDDPGIFI TKIIPGGAAAMDGRLGVNDCVLRVNEVDVSEVVHSRAVEALKEAGPVVRLVVRRRQPPPE TIMEVNLLKGPKGLGFSIAGGIGNQHIPGDNSIYITKIIEGGAAQKDGRLQIGDRLLAVN NTNLQDVRHEEAVASLKNTSDMVYLKVAKPGSIHLNDMYAPPDYASTFTALADNHISHNS SLGYLGAVESKVTYPAPPQVPPTRYSPIPRHMLAEEDFTREPRKIILHKGSTGLGFNIVG GEDGEGIFVSFILAGGPADLSGELRRGDRILSVNGVNLRNATHEQAAAALKRAGQSVTIV AQYRPEEYSRFESKIHDLREQMMNSSMSSGSGSLRTSEKRSLYVRALFDYDRTRDSCLPS QGLSFSYGDILHVINASDDEWWQARLVTPHGESEQIGVIPSKKRVEKKERARLKTVKFHA RTGMIESNRDFPGLSDDYYGAKNLKGVTSNTSDSESSSKGQEDAILSYEPVTRQEIHYAR PVIILGPMKDRVNDDLISEFPHKFGSCVPHTTRPRRDNEVDGQDYHFVVSREQMEKDIQD NKFIEAGQFNDNLYGTSIQSVRAVAERGKHCILDVSGNAIKRLQQAQLYPIAIFIKPKSI EALMEMNRRQTYEQANKIFDKAMKLEQEFGEYFTAIVQGDSLEEIYNKIKQIIEDQSGHY IWVPSPEKL >ENSMUSP00000109364.2 pep:known chromosome:GRCm38:X:100774741:100818408:1 gene:ENSMUSG00000000881.12 transcript:ENSMUST00000113735.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg3 description:discs, large homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1888986] METARKFSGSSLALGLGSASASAWRRASQRWAWPLRSLRPGGDAREPRKIILHKGSTGLG FNIVGGEDGEGIFVSFILAGGPADLSGELRRGDRILSVNGVNLRNATHEQAAAALKRAGQ SVTIVAQYRPEEYSRFESKIHDLREQMMNSSMSSGSGSLRTSEKRSLYVRALFDYDRTRD SCLPSQGLSFSYGDILHVINASDDEWWQARLVTPHGESEQIGVIPSKKRVEKKERARLKT VKFHARTGMIESNRSIKTKRKKSFRLSRKFPFYKSKENMAQENSIQEQGVTSNTSDSESS SKGQEDAILSYEPVTRQEIHYARPVIILGPMKDRVNDDLISEFPHKFGSCVPHTTRPRRD NEVDGQDYHFVVSREQMEKDIQDNKFIEAGQFNDNLYGTSIQSVRAVAERGKHCILDVSG NAIKRLQQAQLYPIAIFIKPKSIEALMEMNRRQTYEQANKIFDKAMKLEQEFGEYFTAIV QGDSLEEIYNKIKQIIEDQSGHYIWVPSPEKL >ENSMUSP00000010286.1 pep:known chromosome:GRCm38:11:61126755:61149372:1 gene:ENSMUSG00000010142.12 transcript:ENSMUST00000010286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf13b description:tumor necrosis factor receptor superfamily, member 13b [Source:MGI Symbol;Acc:MGI:1889411] MAMAFCPKDQYWDSSRKSCVSCALTCSQRSQRTCTDFCKFINCRKEQGRYYDHLLGACVS CDSTCTQHPQQCAHFCEKRPRSQANLQPELGRPQAGEVEVRSDNSGRHQGSEHGPGLRLS SDQLTLYCTLGVCLCAIFCCFLVALASFLRRRGEPLPSQPAGPRGSQANSPHAHRPVTEA CDEVTASPQPVETCSFCFPERSSPTQESAPRSLGIHGFAGTAAPQPCMRATVGGLGVLRA STGDARPAT >ENSMUSP00000116943.1 pep:known chromosome:GRCm38:11:61126758:61146871:1 gene:ENSMUSG00000010142.12 transcript:ENSMUST00000146033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf13b description:tumor necrosis factor receptor superfamily, member 13b [Source:MGI Symbol;Acc:MGI:1889411] MAMAFCPKDQYWDSSRKSCVSCALTCSQRSQRTCTDFCKFINCRKEQGRSDNSGRHQGSE HGPGLRLSSDQLTL >ENSMUSP00000116175.1 pep:known chromosome:GRCm38:11:61126789:61146914:1 gene:ENSMUSG00000010142.12 transcript:ENSMUST00000139422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf13b description:tumor necrosis factor receptor superfamily, member 13b [Source:MGI Symbol;Acc:MGI:1889411] MAMAFCPKDQYWDSSRKSCVSCALTCSQRSQRTCTDFCKFINCRKEQGRYYDHLLGACVS CDSTCTQHPQQCAHFCEKRPRSQANLQPELGRPQAGEVEVRSDNSGRHQGSEHGPGLRLS SDQLTLYCTLGVCLCAIFCC >ENSMUSP00000098662.3 pep:known chromosome:GRCm38:11:61140835:61147642:1 gene:ENSMUSG00000010142.12 transcript:ENSMUST00000101103.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf13b description:tumor necrosis factor receptor superfamily, member 13b [Source:MGI Symbol;Acc:MGI:1889411] MAMAFCPKDQYWDSSRKSCVSCALTCSQRSQRTCTDFCKFINCRKEQGRYYDHLLGACVS CDSTCTQHPQQCAHFCEKRPRSQANLQPELGRPQAGEVEVRSDNSGRHQGSEHGPGLRLS SDQLTLYCTLGVCLCAIFCCFLVALASFLRRRGEPLPSQPAGPRGSQANSPHAHRPVTEA CDEVTASPQPVETCSFCFPERSSPTQESAPRSLGIHGFAGTAAPQPCMRATVGGLGVLRA STGDARPAT >ENSMUSP00000107501.2 pep:known chromosome:GRCm38:14:41977873:41983325:-1 gene:ENSMUSG00000093945.1 transcript:ENSMUST00000111870.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3543 description:predicted gene 3543 [Source:MGI Symbol;Acc:MGI:3781720] MGSQAGSFRKASPQTPNIDENEKRIKRLEKLKRDLQNIKNERDILQGILAKYKDLNDRIN FETFMLEMQHNQMMTDLKRMSQDISEALSKCQHLTKENQLYCCRNCHLLIESNLIQHKVR MLWKENRQLLRKQIALEECNIKTKILCKEGSQKIKDEYSRQATGKQIIVVPGSKEEAAMS NPCA >ENSMUSP00000136563.1 pep:known chromosome:GRCm38:14:41980127:41981017:-1 gene:ENSMUSG00000093945.1 transcript:ENSMUST00000179947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3543 description:predicted gene 3543 [Source:MGI Symbol;Acc:MGI:3781720] HRINFETFMLEMQHNQMMTDLKRMSQDISEALSKCQHLTKENQLYCCRNCHLLIESNLIQ HKVRMLWKENRQLLRKQ >ENSMUSP00000077587.8 pep:known chromosome:GRCm38:7:142498836:142516008:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000078497.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEVQEEEEVQEDAVAEEEREEDEEEEKPRPKLTAPKIPE GEKVDFDDIQKKRQNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIR AEKERERQNRLAEEKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQT AREMKKKILAERRKPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITT LRSRIDQAQKHSKKAGATAKGKVGGRWK >ENSMUSP00000101573.3 pep:known chromosome:GRCm38:7:142498836:142516008:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105953.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEEAQEEEVQEEEEVQEDAVAEEEREEDEEEEKPRPKLTAPKIPEGEKVDF DDIQKKRQNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERE RQNRLAEEKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKK KILAERRKPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRID QAQKHSKKAGATAKGKVGGRWK >ENSMUSP00000136278.1 pep:known chromosome:GRCm38:7:142498836:142516008:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000179658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEVQEEAPEPEEVQEDAVAEEEREEDEEEEKPRPKLTAP KIPEGEKVDFDDIQKKRQNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQ QRIRAEKERERQNRLAEEKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRG KKQTAREMKKKILAERRKPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKY DITTLRSRIDQAQKHSKKAGATAKGKVGGRWK >ENSMUSP00000101574.3 pep:known chromosome:GRCm38:7:142498836:142516008:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105954.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEEVQEDAVAEEEREEDEEEEKPRPKLTAPKIPEGEKVD FDDIQKKRQNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKER ERQNRLAEEKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMK KKILAERRKPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRI DQAQKHSKKAGATAKGKVGGRWK >ENSMUSP00000101572.3 pep:known chromosome:GRCm38:7:142498836:142516008:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105952.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEVQEEAPEPEEVQEEEKPRPKLTAPKIPEGEKVDFDDI QKKRQNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQN RLAEEKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKIL AERRKPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRIDQAQ KHSKKAGATAKGKVGGRWK >ENSMUSP00000101575.1 pep:known chromosome:GRCm38:7:142498836:142516008:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105955.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEVQEEEEVQEEEKPRPKLTAPKIPEGEKVDFDDIQKKR QNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAE EKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERR KPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRIDQAQKHSK KAGATAKGKVGGRWK >ENSMUSP00000101578.3 pep:known chromosome:GRCm38:7:142498864:142516007:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105958.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEVQEEAPEPEEVQEDAVAEEEREEDEEEEKPRPKLTAP KIPEGEKVDFDDIQKKRQNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQ QRIRAEKERERQNRLAEEKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRG KKQTAREMKKKILAERRKPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKY DIMTVRARVEMLAKFSKKAGATAKGKVGGRWK >ENSMUSP00000101569.1 pep:known chromosome:GRCm38:7:142498872:142516004:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEEAQEEEEVQEEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDLMELQAL IDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEEKARREEEDAK RRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRKPLNIDHLSDD KLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRIDQAQKHSKKAGATAKGKVG GRWK >ENSMUSP00000116223.1 pep:known chromosome:GRCm38:7:142501617:142511536:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000128294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDLMELQA LIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNR >ENSMUSP00000116087.1 pep:known chromosome:GRCm38:7:142501620:142512622:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000146804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] IQPTATMSDEETEQVEEQYEEEEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDLMELQA LIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEEKARREEEDA KRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRKPLNIDHLSD DKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYD >ENSMUSP00000101562.1 pep:known chromosome:GRCm38:7:142501638:142515830:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105942.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEEAQEEEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDLMELQALIDSHF EARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEEKARREEEDAKRRAED DMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRKPLNIDHLSDDKLRDK AKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRIDQAQKHSKKAGATAKGKVGGRWK >ENSMUSP00000101567.1 pep:known chromosome:GRCm38:7:142501638:142515830:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105947.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEVQEEAPEPEEVQEEEKPRPKLTAPKIPEGEKVDFDDI QKKRQNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQN RLAEEKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKIL AERRKPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDIMTVRARVEMLA KFSKKAGATAKGKVGGRWK >ENSMUSP00000101563.1 pep:known chromosome:GRCm38:7:142501638:142515830:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEEAQEEEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDLMELQALIDSHF EARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEEKARREEEDAKRRAED DMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRKPLNIDHLSDDKLRDK AKELWDTLYQLETDKFEFGEKLKRQKYDIMTVRARVEMLAKFSKKAGATAKGKVGGRWK >ENSMUSP00000101561.1 pep:known chromosome:GRCm38:7:142501638:142515830:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEEAQEEEVQEEAPEPEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDLME LQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEEKARREE EDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRKPLNIDH LSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDIMTVRARVEMLAKFSKKAGATAK GKVGGRWK >ENSMUSP00000101566.1 pep:known chromosome:GRCm38:7:142501638:142515830:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEVQEEAPEPEEKPRPKLTAPKIPEGEKVDFDDIQKKRQ NKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEE KARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRK PLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDIMTVRARVEMLAKFSKK AGATAKGKVGGRWK >ENSMUSP00000101568.1 pep:known chromosome:GRCm38:7:142501638:142515830:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEEVQEEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDL MELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEEKARR EEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRKPLNI DHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDIMTVRARVEMLAKFSKKAGAT AKGKVGGRWK >ENSMUSP00000101565.1 pep:known chromosome:GRCm38:7:142501638:142515830:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEEAQEEEVQEEAPEPEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDLME LQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEEKARREE EDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRKPLNIDH LSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRIDQAQKHSKKAGATAK GKVGGRWK >ENSMUSP00000101564.1 pep:known chromosome:GRCm38:7:142501638:142515830:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105944.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEEVQEEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDL MELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEEKARR EEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRKPLNI DHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRIDQAQKHSKKAGAT AKGKVGGRWK >ENSMUSP00000073815.6 pep:known chromosome:GRCm38:7:142498836:142516008:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000074187.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEEAQEEEVQEEAPEPEEKPRPKLTAPKIPEGEKVDFDDIQKKRQNKDLME LQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEEKARREE EDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRKPLNIDH LSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRIDQAQKHSKKAGATAK GKVGGRWK >ENSMUSP00000101577.3 pep:known chromosome:GRCm38:7:142498843:142516003:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105957.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEVQEEGPDREEDEEGKACQPLLTAPKIPEGEKVDFDDI QKKRQNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQN RLAEEKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKIL AERRKPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRIDQAQ KHSKKAGATAKGKVGGRWK >ENSMUSP00000137111.1 pep:known chromosome:GRCm38:7:142498843:142516003:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000180152.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEVQEEAPEPEEVQEDAVAEEEREEDEEEEEPTVQLTAP KIPEGEKVDFDDIQKKRQNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQ QRIRAEKERERQNRLAEEKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRG KKQTAREMKKKILAERRKPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKY DITTLRSRIDQAQKHSKKAGATAKGKVGGRWK >ENSMUSP00000101570.4 pep:known chromosome:GRCm38:7:142498843:142516003:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000105950.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEEAQEEEVQEEEEVQEDAVAEEEREEDEEEEEPTVQLTAPKIPEGEKVDF DDIQKKRQNKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERE RQNRLAEEKARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKK KILAERRKPLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDITTLRSRID QAQKHSKKAGATAKGKVGGRWK >ENSMUSP00000127854.2 pep:known chromosome:GRCm38:7:142498843:142516003:1 gene:ENSMUSG00000061723.17 transcript:ENSMUST00000169299.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt3 description:troponin T3, skeletal, fast [Source:MGI Symbol;Acc:MGI:109550] MSDEETEQVEEQYEEEEEAQEEEVQEEAPEPEEKPRPKLTAPKIPEGEKVDFDDIQKKRQ NKDLMELQALIDSHFEARKKEEEELIALKERIEKRRAERAEQQRIRAEKERERQNRLAEE KARREEEDAKRRAEDDMKKKKALSSMGANYSSYLAKADQKRGKKQTAREMKKKILAERRK PLNIDHLSDDKLRDKAKELWDTLYQLETDKFEFGEKLKRQKYDIMTVRARVEMLAKFSKK AGATAKGKVGGRWK >ENSMUSP00000139789.1 pep:known chromosome:GRCm38:8:111058920:111082088:-1 gene:ENSMUSG00000033633.13 transcript:ENSMUST00000190778.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec18a description:C-type lectin domain family 18, member A [Source:MGI Symbol;Acc:MGI:2672935] MTGAEEWKLSMGLEDTVPARLSLTPRLVNSFMNTGRLAGQLREAMSAASGRPMIQPEPSL WLGSQSRWLGLLLLLLSLLGITWTEVQPPQPKQDPTLQATTAPPHLRSVFLGWGHPQLAM AMSQEPLVIGLTFSPGLQP >ENSMUSP00000139515.1 pep:known chromosome:GRCm38:8:111058920:111082088:-1 gene:ENSMUSG00000033633.13 transcript:ENSMUST00000191469.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec18a description:C-type lectin domain family 18, member A [Source:MGI Symbol;Acc:MGI:2672935] MTGAEEWKLSMGLEDTVPARLHEHGEASWTAERSHVCSIRQANDSAGALPVAGKPEPMAR SLASAPVSPWHHMDRGSTTPAKARSHSASYHCPSSSQICVPGVGSPSAGYGDVPGASGHR PNLLSWPPALSRKESFLILTAHNRLRSRVHPPAANMQRMDWSESLAQLAEARAALCVTSV TPNLASTPGHNSHVGWNVQLMPMGSASFVEVVNLWFAEGLQYRHGDAECAHNATCAHYTQ LVWATSSQLGCGRQPCFVDQEAMEAFVCAYSPGGNWDINGKTVAPYKKGTWCSLCTARVS GCFKAWDHAGGLCEVPRNPCRMSCRNLGHLNISTCRCHCQPGYTGRYCQVRCSVQCVHGQ FRKEECSCICDVGYGGAQCATKVGFPFHTCDLRIDGDCFMVSPEADTYYGAKMKCQGKGG VLAQIESQKVQDILAFYLGRLETTNEVTDSDFETKNFWIGLTYKAAKDSFRWTTGEHQSF TSFAFGQPDNQGFGNCVEMQASAAFNWNDQRCKTRNRYICQFAQKHYSRWEPGP >ENSMUSP00000139569.1 pep:known chromosome:GRCm38:8:111058920:111082088:-1 gene:ENSMUSG00000033633.13 transcript:ENSMUST00000191030.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec18a description:C-type lectin domain family 18, member A [Source:MGI Symbol;Acc:MGI:2672935] MTGAEEWKLSMGLEDTVPARLSLTPRLVNSFMNTGRLAGQLREAMSAASGRPMIQPEPSL WLGSQSRWLGLLLLLLSLLGITWTEVQPPQPKQDPTLQALSRKESFLILTAHNRLRSRVH PPAANMQRMDWSESLAQLAEARAALCVTSVTPNLASTPGHNSHVGWNVQLMPMGSASFVE VVNLWFAEGLQYRHGDAECAHNATCAHYTQLVWATSSQLGCGRQPCFVDQEAMEAFVCAY SPGGNWDINGKTVAPYKKGTWCSLCTARVSGCFKAWDHAGGLCEVPRNPCRMSCRNLGHL NISTCRCHCQPGYTGRYCQVRCSVQCVHGQFRKEECSCICDVGYGGAQCATKVGFPFHTC DLRIDGDCFMVSPEADTYYGAKMKCQGKGGVLAQIESQKVQDILAFYLGRLETTNEVTDS DFETKNFWIGLTYKAAKDSFRWTTGEHQSFTSFAFGQPDNQGFGNCVEMQASAAFNWNDQ RCKTRNRYICQFAQKHYSRWEPGP >ENSMUSP00000141073.1 pep:known chromosome:GRCm38:8:111069497:111081862:-1 gene:ENSMUSG00000033633.13 transcript:ENSMUST00000188466.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec18a description:C-type lectin domain family 18, member A [Source:MGI Symbol;Acc:MGI:2672935] MNTGRLAGQLREAMSAASGRPMIQPEPSLWLGSQSRWLGLLLLLLSLLGITWTEVQPPQP KQDPTLQALSRKESFLILTAHNRLRSRVHPPAANMQRMDWSESLAQLAEARAALCVTSVT PNLASTPGHNSHVGWNVQLMPMGSASFVEVVNLWFAEGLQYRHGDAECAHNATCAHYTQL VWATSSQLGCGRQPCFVDQEAMEAFVCAYSPGGNWDINGKTVAPYKKGTWCSLCTARVSG CFKAWDHAGGLCEVPRNPCRMSCRNLGHLNISTCRCHCQPGYTGRYCQVRCSVQCVHGQF RKEECSCICDVGYGGAQCATKVGFPFHTCDLRIDGDCFMVSPEADTYYGAKMKCQGKGGV LAQIESQKVQDILAFYLGRLETTNEVTDSDFETKNFWIGLTYKAAKDSFRWTTGEHQSFT SFAFGQPDNQGFGNCVEMQASAAFNWNDQRCKTRNRYICQFAQKHYSRWEPGP >ENSMUSP00000046546.7 pep:known chromosome:GRCm38:8:111069497:111082088:-1 gene:ENSMUSG00000033633.13 transcript:ENSMUST00000039597.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec18a description:C-type lectin domain family 18, member A [Source:MGI Symbol;Acc:MGI:2672935] MTGAEEWKLSMGLEDTVPARLHEHGEASWTAERSHVCSIRQANDSAGALPVAGKPEPMAR SLASAPVSPWHHMDRGSTTPAKARSHSASYHCPSSSQICVPGVGSPSAGYGDVPGASGHR PNLLSWPPALSRKESFLILTAHNRLRSRVHPPAANMQRMDWSESLAQLAEARAALCVTSV TPNLASTPGHNSHVGWNVQLMPMGSASFVEVVNLWFAEGLQYRHGDAECAHNATCAHYTQ LVWATSSQLGCGRQPCFVDQEAMEAFVCAYSPGGNWDINGKTVAPYKKGTWCSLCTARVS GCFKAWDHAGGLCEVPRNPCRMSCRNLGHLNISTCRCHCQPGYTGRYCQVRCSVQCVHGQ FRKEECSCICDVGYGGAQCATKVGFPFHTCDLRIDGDCFMVSPEADTYYGAKMKCQGKGG VLAQIESQKVQDILAFYLGRLETTNEVTDSDFETKNFWIGLTYKAAKDSFRWTTGEHQSF TSFAFGQPDNQGFGNCVEMQASAAFNWNDQRCKTRNRYICQFAQKHYSRWEPGP >ENSMUSP00000140703.1 pep:known chromosome:GRCm38:8:111069498:111082088:-1 gene:ENSMUSG00000033633.13 transcript:ENSMUST00000186384.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec18a description:C-type lectin domain family 18, member A [Source:MGI Symbol;Acc:MGI:2672935] MTGAEEWKLSMGLEDTVPARLHEHGEASWTAERSHVCSIRQANDSAGALPVAGKPEPMAR SLASAPVSPWHHMDRGSTTPAKARSHSASPEQEGEFLNPHRTQPTAQPGPPSCSQHAENG LEREPGSAS >ENSMUSP00000139634.1 pep:known chromosome:GRCm38:8:111069498:111092426:-1 gene:ENSMUSG00000033633.13 transcript:ENSMUST00000190222.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec18a description:C-type lectin domain family 18, member A [Source:MGI Symbol;Acc:MGI:2672935] MNTGRLAGQLREAMSAASGRPMIQPEPSLWLGSQSRWLGLLLLLLSLLGITWTEVQPPQP KQDPTLQALSRKESFLILTAHNRLRSRVHPPAANMQRMDWSESLAQLAEARAALCVTSVT PNLASTPGHNSHVGWNVQLMPMGSASFVEVVNLWFAEGLQYRHGDAECAHNATCAHYTQL VWATSSQLGCGRQPCFVDQEAMEAFVCAYSPGGNWDINGKTVAPYKKGTWCSLCTARVSG CFKAWDHAGGLCEVPRNPCRMSCRNLGHLNISTCRCHCQPGYTGRYCQVRCSVQCVHGQF RKEECSCICDVGYGGAQCATKVGFPFHTCDLRIDGDCFMVSPEADTYYGAKMKCQGKGGV LAQIESQKVQDILAFYLGRLETTNEVTDSDFETKNFWIGIRQQASGLSDSSQPWLPWSRA HLQGS >ENSMUSP00000083393.4 pep:known chromosome:GRCm38:5:122787459:122821339:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000086216.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] MMTNGVVHANLFGIKDWVTPYKIAVLVLLNEMGRTGEGAVSLVERRKLNQLLLPLLQGPD ITLSKLYKLIEESCPQLANSVQIRIKLMAEGELKDMEQFFDDLSDSFSGTEPEVHKTSVV GLFLRHMILAYSKLSFSQVFKLYTALQQYFQNGEKKTVEDADMDREDGEKQMEKEELDVS VREEEVSCSGPLSQKQAEFFLSQQAALLKNDETKALTPASLQKELNNLLKFNPDFAEAHY LSYLNNLRVQDVFSSTHSLLHYFDRLILTGAEGKSNGEEGYGRSLRYAALNLAALHCRFG HYQQAELALQEAIRIAQESNDHVCLQHCLSWLYVLGQKRADSYVLLEHSVKKAVHFGLPY LASLGIQSLVQQRAFAGKTANKLMDALKDSDLLHWKHSLSELIDISIAQKTAIWRLYGRS TMALQQAQMLLSMNSLESLNAGVQQNNTESFAVALCHLAELHAEQGCFAAAGEVLKHLKD RFPPNSQHAQLWMLCDQKIQFDRAMNDGKFHLADSLVTGITALNGIEGVYRKAVVLQAQN QMTEAHKLLQKLLTYCQKLKNTEMVISVLLSVAELYWRSSSPTIAMPVLLEALALSKEYR LQYLASETVLNLAYAQLILGIPEQALTLLHMAIEPILADGAVLDKGRAMFLVSKCQVASA ASYDPVKKAEALEAAIQNLSEAKNYFAQVDCRERIRDVAYFQARLYHALGKTQERNHCAM IFRQLHQELPAHGVPLINHL >ENSMUSP00000143169.1 pep:known chromosome:GRCm38:5:122787721:122821280:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000196423.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] MMTNGVVHANLFGIKDWVTPYKIAVLVLLNEMGRTGEGAVSLVERRKLNQLLLPLLQGPD ITLSKLYKLIEESCPQLANSVQIRIKLMAEGELKDMEQFFDDLSDSFSGTEPEVHKTSVV GLFLRHMILAYSKLSFSQVFKLYTALQQYFQNGEKKTVEDADMDREDGEKQMEKEELDVS VREEEVSCSGPLSQKQAEFFLSQQAALLKNDETKALTPASLQKELNNLLKFNPDFAEAHY LSYLNNLRVQDVFSSTHSLLHYFDRLILTGAEGKSNGEEGYGRSLRYAALNLAALHCRFG HYQQAELALQEAIRIAQESNDHVCLQHCLSWLYVLGQKRADSYVLLEHSVKKAVHFGLPR AFAGKTANKLMDALKDSDLLHWKHSLSELIDISIAQKTAIWRLYGRR >ENSMUSP00000142429.1 pep:known chromosome:GRCm38:5:122787721:122821287:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000196640.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] MMTNGVVHANLFGIKDWVTPYKIAVLVLLNEMGRTGEGAVSLVERRKLNQLLLPLLQGPD ITLSKLYKLIEESCPQLANSVQIRIKLMAEGELKDMEQFFDDLSDSFSGTEPEVHKTSVV GLFLRHMILAYSKLSFSQVFKLYTALQQYFQNGEKKTVEDADMDREDGEKQMEKEELDVS VREEEVSCSGPLSQKQAEFFLSQQAALLKNDETKALTPASLQKELNNLLKFNPDFAEAHY LSYLNNLRVQDVFSSTHSLLHYFDRLILTGAEGKSNGEEGYGRSLRYAALNLAALHCRFG HYQQAELALQEAIRIAQESNDHVCLQHCLSWLYVLGQKRADSYVLLEHSVKKAVHFGLPY LASLGIQSLVQQRAFAGKTANKLMDALKDSDLLHWKHSLSELIDISIAQKTAIWRLYGRS TMALQQAQMLLSMNSLESLNAGVQQNNTESFAVALCHLAELHAEQGCFAAAGEVLKHLKD RFPPNSQHAQLWMLCDQKIQFDRAMNDGKFHLADSLVTGITALNGIEGVYRKAVVLQAQN QMTEAHKLLQKLLTYCQKLKNTEMVISVLLSVAELYWRSSSPTIAMPVLLEALALSKEYR LQYLASETVLNLAYAQALTLLHMAIEPILADGAVLDKGRAMFLVSKCQVASAASYDPVKK AEALEAAIQNLSEAKNYFAQVDCRERIRDVAYFQARLYHALGKTQERNHCAMIFRQLHQE LPAHGVPLINHL >ENSMUSP00000142579.1 pep:known chromosome:GRCm38:5:122787721:122821287:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000197719.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] MMTNGVVHANLFGIKDWVTPYKIAVLVLLNEMGRTGEGAVSLVERRKLNQLLLPLLQGPD ITLSKLYKLIEESCPQLANSVQIRIKLMAEGELKDMEQFFDDLSDSFSGTEPEVHKTSVV GLFLRHMILAYSKLSFSQVFKLYTALQQYFQNGEKKTVEDADMDREDGEKQMEKEELDVS VREEEVSCSGPLSQKQAEFFLSQQAALLKNDETKALTPASLQKELNNLLKFNPDFAEAHY LSYLNNLRVQDVFSSTHSLLHYFDRLILTGAEGKSNGEEGYGRSLRYAALNLAALHCRFG HYQQAELALQEAIRIAQESNDHVCLQHCLSWLYVLGQKRADSYVLLEHSVKKAVHFGLPR AFAGKTANKLMDALKDSDLLHWKHSLSELIDISIAQKTAIWRLYGRSTMALQQAQMLLSM NSLESLNAGVQQNNTESFAVALCHLAELHAEQGCFAAAGEVLKHLKDRFPPNSQHAQLWM LCDQKIQFDRAMNDGKFHLADSLVTGITALNGIEGVYRKAVVLQAQNQMTEAHKLLQKLL TYCQKLKNTEMVISVLLSVAELYWRSSSPTIAMPVLLEALALSKEYRLQYLASETVLNLA YAQALTLLHMAIEPILADGAVLDKGRAMFLVSKCQVASAASYDPVKKAEALEAAIQNLSE AKNYFAQVDCRERIRDVAYFQARLYHALGKTQERNHCAMIFRQLHQELPAHGVPLINHL >ENSMUSP00000143053.1 pep:known chromosome:GRCm38:5:122787723:122821280:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000197074.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] MMTNGVVHANLFGIKDWVTPYKIAVLVLLNEMGRTGEGAVSLVERRKLNQLLLPLLQGPD ITLSKLYKLIEESCPQLANSVQIRIKLMAEGELKDMEQFFDDLSDSFSGTEPEVHKTSVV GLFLRHMILAYSKLSFSQVFKLYTALQQYFQNGEKKTVEDADMDREDGEKQMEKEELDVS VREEEVSCSGPLSQKQAEFFLSQQAALLKNDETKALTPASLQKELNNLLKFNPDFAEAHY LSYLNNLRVQDVFSSTHSLLHYFDRLILTGAEGKSNGEEGYGRSLRYAALNLAALHCRFG HYQQAELALQEAIRIAQESNDHVCLQHCLSWLYVLGQKRADSYVLLEHSVKKAVHFGLPS LVQQRAFAGKTANKLMDALKDSDLLHWKHSLSELIDISIAQKTAIWRLYGRSTMALQQAQ MLLSMNSLESLNAGVQQNNTESFAVALCHLAELHAEQGCFAAAGEVLKHLKDRFPPNSQH AQLWMLCDQKIQFDRAMNDGKFHLADSLVTGITALNGIEGVYRKAVVLQAQNQMTEAHKL LQKLLTYCQKLKNTEMVISVLLSVAELYWRSSSPTIAMPVLLEALALSKEYRLQYLASET VLNLAYAQLILGIPEQALTLLHMAIEPILADGAVLDKGRAMFLVSKCQVASAASYDPVKK AEALEAAIQNLSEAKNYFAQVDCRERIRDVAYFQARLYHALGKTQERNHCAMIFRQLHQE LPAHGVPLINHL >ENSMUSP00000142341.1 pep:known chromosome:GRCm38:5:122787727:122821280:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000199406.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] MMTNGVVHANLFGIKDWVTPYKIAVLVLLNEMGRTGEGAVSLVERRKLNQLLLPLLQGPD ITLSKLYKLIEESCPQLANSVQIRIKLMAEGELKDMEQFFDDLSDSFSGTEPEVHKTSVV GLFLRHMILAYSKLSFSQVFKLYTALQQYFQNGEKKTVEDADMDREDGEKQMEKEELDVS VREEEVSCSGPLSQKQAEFFLSQQAALLKNDETKALTPASLQKELNNLLKFNPDFAEAHY LSYLNNLRVQDVFSSTHSLLHYFDRLILTGAEGKSNGEEGYGRSLRYAALNLAALHCRFG HYQQAELALQEAIRIAQESNDHVCLQHCLSWLYVLGQKRADSYVLLEHSVKKAVHFGLPY LASLGIQSLVQQRAFAGKTANKLMDALKDSDLLHWKHSLSELIDISIAQKTAIWRLYGRS TMALQQAQMLLSMNSLESLNAGVQQNNTESFAVALCHLAELHAEQGCFAAAGEVLKHLKD RFPPNSQHAQLWMLCDQKIQFDRAMNDGKFHLADSLVTGITALNGIEGVYRKAVVLQAQN QMTEAHKLLQKLLTYCQKLKNTEMVIRAVLAIFVPDHRHACAPGSSGPLQRIPIAVLGLR NCAQLGLCPAHPWNPGTGLNPSPHGYRAHPSRRGCPGQRSCHVLSVQVPSGFGSVL >ENSMUSP00000142922.1 pep:known chromosome:GRCm38:5:122787731:122821294:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000200645.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] MMTNGVVHANLFGIKDWVTPYKIAVLVLLNEMGRTGEGAVSLVERRKLNQLLLPLLQGPD ITLSKLYKLIEESCPQLANSVQIRIKLMAEGELKDMEQFFDDLSDSFSGTEPEVHKTSVV GLFLRHMILAYSKLSFSQVFKLYTALQQYFQNGEKKTVEDADMDREDGEKQMEKEELDVS VREEEVSCSGPLSQKQAEFFLSQQAALLKNDETKALTPASLQKELNNLLKFNPDFAEAHY LSYLNNLRVQDVFSSTHSLLHYFDRLILTGAEGKSNGEEGYGRSLRYAALNLAALHCRFG HYQQAELALQEAIRIAQESNDHVCLQHCLSWLYVLGQKRADSYVLLEHSVKKAVHFGLPR AFAGKTANKLMDALKDSDLLHWKHSLSELIDISIAQKTAIWRLYGRSTMALQQAQMLLSM NSLESLNAGVQQNNTESFAVALCHLAELHAEQGCFAAAGEVLKHLKDRFPPNSQHAQLWM LCDQKIQFDRAMNDGKFHLADSLVTGITALNGIEGVYRKAVVLQAQNQMTEAHKLLQKLL TYCQKLKNTEMVISVLLSVAELYWRSSSPTIAMPVLLEALALSKEYRLQYLASETVLNLA YAQLILGIPEQALTLLHMAIEPILADGAVLDKGRAMFLVSKCQVASAASYDPVKKAEALE AAIQNLSEAKNYFAQVDCRERIRDVAYFQARLYHALGKTQERNHCAMIFRQLHQELPAHG VPLINHL >ENSMUSP00000143535.1 pep:known chromosome:GRCm38:5:122787915:122793529:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000199130.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] XFDRAMNDGKFHLADSLVTGITALNGIEGVYRKAVVLQAQNQMTEAHKLLQKLLTYCQKL KNTEMVIR >ENSMUSP00000143078.1 pep:known chromosome:GRCm38:5:122791865:122794481:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000199191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] XAAGEVLKHLKDRFPPNSQHAQESSRTAGSEPNDRGTQATTEVAD >ENSMUSP00000143457.1 pep:known chromosome:GRCm38:5:122799395:122807343:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000200148.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] XLNNLLKFNPDFAEAHYLSYLNNLRVQDVFSSTHSLLHYFDRLILTGAEGKSNGEEGYGR SLRYAALNLAALHCRFGH >ENSMUSP00000142981.1 pep:known chromosome:GRCm38:5:122807329:122821270:-1 gene:ENSMUSG00000029472.13 transcript:ENSMUST00000199926.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Anapc5 description:anaphase-promoting complex subunit 5 [Source:MGI Symbol;Acc:MGI:1929722] MMTNGVVHANLFGIKDWVTPYKIAVLVLLNEMGRTGEGAVSLVERRKLNQLLLPLLQGPD ITLSKLYKLIEESCPQLANSVQIRSVPAPHDLGLQ >ENSMUSP00000129907.1 pep:known chromosome:GRCm38:14:41962708:41967927:-1 gene:ENSMUSG00000095044.7 transcript:ENSMUST00000163566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6401 description:predicted gene 6401 [Source:MGI Symbol;Acc:MGI:3645739] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPATHHGGKGQETTGHGEGSAGEAST QAPTINEQEKRQERLEKLKRELQNIKNARDELQGILANYPNKDLNHRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKILCNKSSQKIKDHYTKQQQV >ENSMUSP00000133168.2 pep:known chromosome:GRCm38:14:41964590:41967899:-1 gene:ENSMUSG00000095044.7 transcript:ENSMUST00000164232.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6401 description:predicted gene 6401 [Source:MGI Symbol;Acc:MGI:3645739] MLSMLLRVFQRENRVHTDTRPRQKEAGHPSWWERARNNWSWRRHRSAGEASTQAPTINEQ EKRQERLEKLKRELQNIKNARDELQGILANYPNKDLNHRINFETFMLEMQHDQVMTDLKR MPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLREQIALEEC NIETKILCNKSSQKIKDHYTKQQQVG >ENSMUSP00000139649.1 pep:known chromosome:GRCm38:Y:53843949:53870150:1 gene:ENSMUSG00000100045.1 transcript:ENSMUST00000186578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20929 description:predicted gene, 20929 [Source:MGI Symbol;Acc:MGI:5434285] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000022099.8 pep:known chromosome:GRCm38:13:73467197:73516422:1 gene:ENSMUSG00000021608.14 transcript:ENSMUST00000022099.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpcat1 description:lysophosphatidylcholine acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2384812] MRLRGRGPRAAPSSSSGAGDARRLAPPGRNPFVHELRLSALQKAQVAFMTLTLFPIRLLF AAFMMLLAWPFALLASLGPPDKEPEQPLALWRKVVDFLLKAIMRTMWFAGGFHRVAVKGR QALPTEAAILTLAPHSSYFDAIPVTMTMSSIVMKAESRDIPIWGTLIRYIRPVFVSRSDQ DSRRKTVEEIKRRAQSNGKWPQIMIFPEGTCTNRTCLITFKPGAFIPGVPVQPVVLRYPN KLDTITWTWQGPGALKILWLTLCQFQNQVEIEFLPVYCPSEEEKRNPALYASNVRRVMAK ALGVSVTDYTFEDCQLALAEGQLRLPADTCLLEFARLVRGLGLKPENLEKDLDKYSESAR MKRGEKIRLPEFAAYLEVPVSDALEDMFSLFDESGGGEIDLREYVVALSVVCRPSQTLAT IQLAFKMYGSPEDGSIDEANLSCILKTALGVSELTVTDLFQAIDQEDKGRITFDDFCGFA EMYPDYAEDYLYPDQTHFDSCAQTPPAPTPNGFCIDFSPENSDFGRKNSCKKAD >ENSMUSP00000117965.1 pep:known chromosome:GRCm38:13:73467197:73516422:1 gene:ENSMUSG00000021608.14 transcript:ENSMUST00000123766.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lpcat1 description:lysophosphatidylcholine acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2384812] MRLRGRGPRAAPSSSSGAGDARRLAPPGRNPFVHELRLSALQKAQGRGLPAQGHHAHHVV CWRLPPCSCEGAAGPAY >ENSMUSP00000117238.1 pep:known chromosome:GRCm38:13:73467231:73515112:1 gene:ENSMUSG00000021608.14 transcript:ENSMUST00000147566.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lpcat1 description:lysophosphatidylcholine acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2384812] MRLRGRGPRAAPSSSSGAGDARRLAPPGRNPFVHELRLSALQKAQL >ENSMUSP00000099679.1 pep:known chromosome:GRCm38:2:88446019:88446936:-1 gene:ENSMUSG00000075124.7 transcript:ENSMUST00000102619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1182 description:olfactory receptor 1182 [Source:MGI Symbol;Acc:MGI:3031370] MECQRNISEFLLMGLSSKQNTEVFCFMFFLFCYFTILSWNLLILFSIRCSSLFNQPMYYF LSHLASMDICYTSCVTPKLIGDLLAERKTISYTDCMLQVFAMHFFGMIETLILTVMAFDR CVAICKPLHYMVIMSRNRCHVFIWASWVGGVAHSFPQVMMLVCLPFCGPNEIDHYFCDVF PLLKIACTDTYIIGVLMVANSGMVASVIFVLLFGSYVVILFTLRNYSAEGRRKALSTCGS HISVVILFFGSTIFAYLRPPTTFPEDKIFALFYTIIAPMFNPLIYTLRNKEMKTAIKKVW CQMSF >ENSMUSP00000136580.1 pep:known chromosome:GRCm38:2:88446019:88449121:-1 gene:ENSMUSG00000090097.7 transcript:ENSMUST00000179450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13757 description:predicted gene 13757 [Source:MGI Symbol;Acc:MGI:3713304] MECQRNISEFLLMGLSSKQNTEVFCFMFFLFCYFTILSWNLLILFSIRCSSLFNQPMYYF LSHLASMDICYTSCVTPKLIGDLLAERKTISYTDCMLQVFAMHFFGMIETLILTVMAFDR CVAICKPLHYMVIMSRNRCHVFIWASWVGGVAHSFPQVMMLVCLPFCGPNEIDHYFCDVF PLLKIACTDTYIIGVLMVANSGMVASVIFVLLFGSYVVILFTLRNYSAEGRRKALSTCGS HISVVILFFGSTIFAYLRPPTTFPEDKIFALFYTIIAPMFNPLIYTLRNKEMKTAIKKVW CQMSF >ENSMUSP00000139347.1 pep:known chromosome:GRCm38:6:7554879:7562977:1 gene:ENSMUSG00000061762.12 transcript:ENSMUST00000185187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tac1 description:tachykinin 1 [Source:MGI Symbol;Acc:MGI:98474] MKILVAVAVFFLVSTQLFAEEIDANDDLNYWSDWSDSDQIKEAMPEPFEHLLQRIARRPK PQQFFGLMGKRDADSSVEKQVALLKALYGHGQISHKRHKTDSFVGLMGKRALNSVAYERS AMQNYERRRK >ENSMUSP00000088175.2 pep:known chromosome:GRCm38:6:7555074:7562976:1 gene:ENSMUSG00000061762.12 transcript:ENSMUST00000090679.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tac1 description:tachykinin 1 [Source:MGI Symbol;Acc:MGI:98474] MKILVAVAVFFLVSTQLFAEEIDANDDLNYWSDWSDSDQIKEAMPEPFEHLLQRIARRPK PQQFFGLMGKRDADSSVEKQVALLKALYGHGQISHKMAYERSAMQNYERRRK >ENSMUSP00000138818.1 pep:known chromosome:GRCm38:6:7555096:7562700:1 gene:ENSMUSG00000061762.12 transcript:ENSMUST00000184986.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tac1 description:tachykinin 1 [Source:MGI Symbol;Acc:MGI:98474] MKILVAVAVFFLVSTQLFAEEIDANDDLNYWSDWSDSDQIKEAMPEPFEHLLQRIARRPK PQQFFGLMGKRDAGHGQISHKRHKTDSFVGLMGKRALNSVAYERSAMQNYERRRK >ENSMUSP00000139032.1 pep:known chromosome:GRCm38:6:7556091:7559114:1 gene:ENSMUSG00000061762.12 transcript:ENSMUST00000185130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tac1 description:tachykinin 1 [Source:MGI Symbol;Acc:MGI:98474] MLDMARSLTKGIKQI >ENSMUSP00000097619.2 pep:known chromosome:GRCm38:2:70508819:70540884:1 gene:ENSMUSG00000075302.10 transcript:ENSMUST00000100041.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich2 description:glutamate rich 2 [Source:MGI Symbol;Acc:MGI:1913998] MDTDLPVTLSPAFLKVTRIRGQACPRVCAPNLPRSATPSSSGRWATRAARSALRVVPAAG TVPAPLPPRALRPAPGPPRSAPLAPPLRRAVQSLGSSGGSRGSSRSPSGLHGNAASQARN SEPTASAERGWPSWSALRGNNLAGRPEGKQQCGDCWSCGTARLSKYCPRRTRSPSIPLEN TLKETSCLSLSPEFCIPEIPSNYLDKTDVQASKSRQNGRLLLYDPKEKLMSGANKEKSHK APFGRRPRLSNKLYTSPAQIPGGANAFSAKKEASSKKSEDKVSFKSIENRPSSRSTENKD VLTNQQSGLWSSSFLKESAGETSKDLVLAKQEGNSDYCLKDIEENLSDSTDGDGEEDSSN DDDEGPTKKATQAPLELMAEFLRAEMGRDYQLAKKLCQMILIYEPENPVAKEFFSLIEEI LLKEKAQDEEDEEDSDEDSSSESEVDSSEDGSEDSSDECEDGS >ENSMUSP00000122481.1 pep:known chromosome:GRCm38:2:70508831:70540884:1 gene:ENSMUSG00000075302.10 transcript:ENSMUST00000134607.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich2 description:glutamate rich 2 [Source:MGI Symbol;Acc:MGI:1913998] MDTDLPVTLSPAFLKVTRIRGQACPRVCAPNLPRSATPSSSGRWATRAARSALRVVPAAG TVPAPLPPRALRPAPGPPRSAPLAPPLRRAVQSLGSSGGSRGSSRSPSGLHGNAASQARN SEPTASAERGWPSWSALRGNNLAGRPEGWSCGTARLSKYCPRSLSPEFCIPEIPSNYLDK TDVQASKSRQNGRLLLYDPKEKLMSGANKEKSHKAPFGRRPRLSNKLYTSPAQIPGGANA FSAKKEASSKKSEDKVSFKSIENRPSSRSTENKDVLTNQQSGLWSSSFLKESAGETSKDL VLAKQEGNSDYCLKDIEENLSDSTDGDGEEDSSNDDDEGPTKKATQAPLELMAEFLRAEM GRDYQLAKKLCQMILIYEPENPVAKEFFSLIEEILLKEKAQDEEDEEDSDEDSSSESEVD SSEDGSEDSSDECEDGS >ENSMUSP00000120262.1 pep:known chromosome:GRCm38:2:70509497:70527461:1 gene:ENSMUSG00000075302.10 transcript:ENSMUST00000153121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich2 description:glutamate rich 2 [Source:MGI Symbol;Acc:MGI:1913998] XSWSALRGNNLAGRPEGKQQCGDCWSCGTARLSKYCPRRTRSPSIPLENTLKETSCLSLS PEFCIPEIPSNYLDKTDVQKN >ENSMUSP00000095030.3 pep:known chromosome:GRCm38:17:8165501:8196804:1 gene:ENSMUSG00000069135.11 transcript:ENSMUST00000097419.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1op description:Fgfr1 oncogene partner [Source:MGI Symbol;Acc:MGI:1922546] MAATTAAVVAEEDTELRDLLVQTLENSGVLNRIKAELRAAVFLALEEQEKVENKTPLVNE NLKKFLNTKDGRLVASLVAEFLQFFNLDFTLAVFHPETSTIQGLEGRENLAQDLGIIEAE GTVGGPLLLEVIRRCQQKEKGPASVEGALDLSDGHPPSKSPEGKSSANSTPSKIPRYKGQ GKKKTIGQKPGDKKTSSETSQSEPSVSLSESKSKSSLHSLAHETRIASFLSSSAVDARDS SALCPDGDDVEGDSFFDDPIPKPEKTYGWRAEPRKQVGGLASLSDKPHLRSGLSSLAGAP SLTDPESKRGSTVLKDLKLVGEKIGSLGLGTGEDEDYADDFNSASHRSEKSELSIGEEIE EDLSMGVEDGNTSDKLDDLTQDLTVSQLSDVADYLEDVA >ENSMUSP00000024636.8 pep:known chromosome:GRCm38:17:8165540:8196475:1 gene:ENSMUSG00000069135.11 transcript:ENSMUST00000024636.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1op description:Fgfr1 oncogene partner [Source:MGI Symbol;Acc:MGI:1922546] MAATTAAVVAEEDTELRDLLVQTLENSGVLNRIKAELRAAVFLALEEQEKVENKTPLVNE NLKKFLNTKDGRLVASLVAEFLQFFNLDFTLAVFHPETSTIQGLEGRENLAQDLGIIEAE GTVGGPLLLEVIRRCQQKEKGPASVEGALDLSDGHPPSKSPEGKSSANSTPSKKTSSETS QSEPSVSLSESKSKSSLHSLAHETRIASFLSSSAVDARDSSALCPDGDDVEGDSFFDDPI PKPEKTYGWRAEPRKQVGGLASLSDKPHLRSGLSSLAGAPSLTDPESKRGSTVLKDLKLV GEKIGSLGLGTGEDEDYADDFNSASHRSEKSELSIGEEIEEDLSMGVEDGNTSDKLDDLT QDLTVSQLSDVADYLEDVA >ENSMUSP00000123855.1 pep:known chromosome:GRCm38:17:8182247:8194945:1 gene:ENSMUSG00000069135.11 transcript:ENSMUST00000161898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1op description:Fgfr1 oncogene partner [Source:MGI Symbol;Acc:MGI:1922546] XQSEPSVSLSESKSKSSLHSLAHETRIASFLSSSAVDARDSSALCPDGDDVEGDSFFDDP IPKPEKTYGWRAEPRKQVGGLASLSDKPHLRSGLSSLAGAPSLTDPESKRGSTVLKDLKL VGEKIGSLGLGTGEDEDYADDFNSSMTSHKT >ENSMUSP00000116229.1 pep:known chromosome:GRCm38:2:155973279:156007907:-1 gene:ENSMUSG00000074646.10 transcript:ENSMUST00000124812.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:6430550D23Rik description:RIKEN cDNA 6430550D23 gene [Source:MGI Symbol;Acc:MGI:2443361] MNSVSEHGTVWKKPSGVTPNSLLHHFDFHCVSCAMLGNSGFSNINQLFYMAFRTNQASNH SSEAEMRSQTAGPFICPRNASHQGFWGQYWLLQLADLVPQVTR >ENSMUSP00000083314.3 pep:known chromosome:GRCm38:2:156000444:156007919:-1 gene:ENSMUSG00000074646.10 transcript:ENSMUST00000086145.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430550D23Rik description:RIKEN cDNA 6430550D23 gene [Source:MGI Symbol;Acc:MGI:2443361] MNSVSEHGTVWKKPSGVTPNSLLHHFDFHCVSCAMLGNSGFSNINQLFYMAFRTNQASNH SSEAEMRSQTAGPFICPRNASHQGFWGQYWLLQLADLVPQVTRFWKMV >ENSMUSP00000120293.1 pep:known chromosome:GRCm38:2:156003149:156007919:-1 gene:ENSMUSG00000074646.10 transcript:ENSMUST00000144686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430550D23Rik description:RIKEN cDNA 6430550D23 gene [Source:MGI Symbol;Acc:MGI:2443361] MVPQQCNWFCFKFGKRGCPSKSPNCSTCHHTAGEACYEKFMGYWRTKALWWLGMNSVSEH GTVWKKPSGVTPNSLLHHFDFHCVSCAMLGNSGFSNINQLFYMAFRTNQASNHSSEAEMR SQTAGPFICPRNASHQGFWG >ENSMUSP00000118982.1 pep:known chromosome:GRCm38:2:156003242:156007977:-1 gene:ENSMUSG00000074646.10 transcript:ENSMUST00000140657.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430550D23Rik description:RIKEN cDNA 6430550D23 gene [Source:MGI Symbol;Acc:MGI:2443361] MEHCWVFWGLVLWMIAPCLYLTHELAPQQGWTYMVPQQCNWFCFKFGKRGCPSKSPNCST CHHTAGEACYEKFMGYWRTKALWWLGMNSVSEHGTVWKKPSGVTPNSLLHHFDFHCVSCA MLGNSGFSNINQLFYMAFRTN >ENSMUSP00000115643.1 pep:known chromosome:GRCm38:2:156003329:156004427:-1 gene:ENSMUSG00000074646.10 transcript:ENSMUST00000139116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430550D23Rik description:RIKEN cDNA 6430550D23 gene [Source:MGI Symbol;Acc:MGI:2443361] MEHCWVFWGLVLWMIAPCLYLTHELAPQQGWTYMVPQQCNWFCFKFGKRGCPSKSPNCST CHHTAGEACYEKFMGYWRTKALWWLGMNSVSEHGTVWKKPSGVTPNSLLHHFDFHCVSCA MLGNSGFSNINQLFYMA >ENSMUSP00000115694.1 pep:known chromosome:GRCm38:2:156003372:156004147:-1 gene:ENSMUSG00000074646.10 transcript:ENSMUST00000156993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430550D23Rik description:RIKEN cDNA 6430550D23 gene [Source:MGI Symbol;Acc:MGI:2443361] MGYWRTKALWWLGMNSVSEHGTVWKKPSGVTPNSLLHHFDFHCVSCAMLG >ENSMUSP00000114957.1 pep:known chromosome:GRCm38:2:156003404:156004147:-1 gene:ENSMUSG00000074646.10 transcript:ENSMUST00000141437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430550D23Rik description:RIKEN cDNA 6430550D23 gene [Source:MGI Symbol;Acc:MGI:2443361] MEHCWVFWGLVLWMIAPCLYLTHELAPQQGWTYMVPQQCNWFCFKFGKRGCPSKSPNCST CHHTAGEACYEKFMGYWRTKALWWLGMNSVSEHGTVWKKPSGVTPNSLLHHF >ENSMUSP00000105246.2 pep:known chromosome:GRCm38:2:156000444:156004427:-1 gene:ENSMUSG00000074646.10 transcript:ENSMUST00000109617.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430550D23Rik description:RIKEN cDNA 6430550D23 gene [Source:MGI Symbol;Acc:MGI:2443361] MEHCWVFWGLVLWMIAPCLYLTHELAPQQGWTYMVPQQCNWFCFKFGKRGCPSKSPNCST CHHTAGEACYEKFMGYWRTKALWWLGMNSVSEHGTVWKKPSGVTPNSLLHHFDFHCVSCA MLGNSGFSNINQLFYMAFRTNQASNHSSEAEMRSQTAGPFICPRNASHQGFWGQYWLLQL ADLVPQVTRFWKMV >ENSMUSP00000119369.1 pep:known chromosome:GRCm38:11:101285952:101302286:-1 gene:ENSMUSG00000035086.13 transcript:ENSMUST00000130916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Becn1 description:beclin 1, autophagy related [Source:MGI Symbol;Acc:MGI:1891828] MEGSKASSSTMQVSFVCQRCSQPLKLDTSFKILDRVTIQELTAPLLTTAQAKPGETQEEE ANSGEEPFIETRQDGVSRRFIPPARMMSTESANSFTLIGEASDGGTMENLSRRLKVTGDL FDIMSGQTDVDHPLCEECTDTLLDQLDTQLNVTENECQNYKRCLEILEQMNEDDSEQLQR ELKELALEEERLIQELEDVEKNRKVVAENLEKVQAEAERLDQEEAQYQREYSEFKRQQLE LDDELKSVENQVRYAQIQLDKLKKTNVFNATFHIWHSGQFGTINNFRLGRLPSVPVEWNE INAAWGQTVLLLHALANKMGLKFQRYRLVPYGNHSYLESLTDKSKELPLYCSGGLRFFWD NKFDHAMVAFLDCVQQFKEEVEKGETRFCLPYRMDVEKGKIEDTGGSGGSYSIKTQFNSE EQWTKALKFMLTNLKWGLAWVSSQFYNK >ENSMUSP00000040094.5 pep:known chromosome:GRCm38:11:101288262:101302286:-1 gene:ENSMUSG00000035086.13 transcript:ENSMUST00000041403.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Becn1 description:beclin 1, autophagy related [Source:MGI Symbol;Acc:MGI:1891828] MEGSKASSSTMQVSFVCQRCSQPLKLDTSFKILDRVTIQELTAPLLTTAQAKPGETQEEE ANSGEEPFIETRQDGVSRRFIPPARCLLFLSPLFLTKGILIRTWD >ENSMUSP00000129156.1 pep:known chromosome:GRCm38:11:101288418:101302251:-1 gene:ENSMUSG00000035086.13 transcript:ENSMUST00000172233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Becn1 description:beclin 1, autophagy related [Source:MGI Symbol;Acc:MGI:1891828] MEGSKASSSTMQVSFVCQRCSQPLKLDTSFKILDRVTIQELTAPLLTTAQAKPGETQEEE ANSGEEPFIETRQDGVSRRFIPPARRCLEILEQMNEDDSEQLQRELKELALEEERLIQEL EDVEKNRKVVAENLEKVQAEAERLDQEEAQYQREYSEFKRQQLELDDELKSVENQVRYAQ IQLDKLKKTNVFNATFHIWHSGQFGTINNFRLGRLPSVPVEWNEINAAWGQTVLLLHALA NKMGLKFQRYRLVPYGNHSYLESLTDKSKDGRGERQD >ENSMUSP00000130951.1 pep:known chromosome:GRCm38:11:101288876:101302237:-1 gene:ENSMUSG00000035086.13 transcript:ENSMUST00000167667.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Becn1 description:beclin 1, autophagy related [Source:MGI Symbol;Acc:MGI:1891828] MEGSKASSSTMQVSFVCQRCSQPLKLDTSFKILDRVTIQELTGAIY >ENSMUSP00000116580.1 pep:known chromosome:GRCm38:11:101290484:101301737:-1 gene:ENSMUSG00000035086.13 transcript:ENSMUST00000129863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Becn1 description:beclin 1, autophagy related [Source:MGI Symbol;Acc:MGI:1891828] ELTAPLLTTAQAERLDQEEAQYQREYSEFKRQQLELDDELKSVENQVRYAQIQLDKLKKT NVFNATFHIWHSGQFGTINNFRLGRLPSVPVEWNEINAAWGQTVLLLHALANKMGLKFQR YRLVP >ENSMUSP00000122168.1 pep:known chromosome:GRCm38:11:101291383:101296307:-1 gene:ENSMUSG00000035086.13 transcript:ENSMUST00000126195.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Becn1 description:beclin 1, autophagy related [Source:MGI Symbol;Acc:MGI:1891828] IETRQDGVSRRFIPPARMMSTESANSFTLIGEASDGGTMENLSRRLKVTGDLFDIMSGQT DVDHPLCEECTDTLLDQLDTQLNVTENECQNYKRCLEILEQMNEDDSEQLQRELKELALE EERLIQELEDVEKNRKSQSLGRMRREVLQPPQSALPGPLGCSQVPAGV >ENSMUSP00000131629.1 pep:known chromosome:GRCm38:11:101291499:101302238:-1 gene:ENSMUSG00000035086.13 transcript:ENSMUST00000167818.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Becn1 description:beclin 1, autophagy related [Source:MGI Symbol;Acc:MGI:1891828] MEGSKASSSTMQVSFVCQRCSQPLKLDTSFKILDRVTIQELTGAIY >ENSMUSP00000122412.1 pep:known chromosome:GRCm38:11:101295547:101302206:-1 gene:ENSMUSG00000035086.13 transcript:ENSMUST00000140706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Becn1 description:beclin 1, autophagy related [Source:MGI Symbol;Acc:MGI:1891828] MEGSKASSSTMQVSFVCQRCSQPLKLDTSFKILDRVTIQELTAPLLTTAQAKPGETQEEE ANSGEEPFIETRQDGVSRRFIPPARMMSTESANSFTLIGEASDGGTMENL >ENSMUSP00000128413.1 pep:known chromosome:GRCm38:11:101295907:101302249:-1 gene:ENSMUSG00000035086.13 transcript:ENSMUST00000170502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Becn1 description:beclin 1, autophagy related [Source:MGI Symbol;Acc:MGI:1891828] MEGSKASSSTMQVSFVCQRCSQPLKLDTSFKILDRVTIQELTAPLLTTAQAKPGETQEEE ANSGEEPFIETRQDGVSRRFIPPARCLLFLSPLFLTKGILIRTWD >ENSMUSP00000110749.1 pep:known chromosome:GRCm38:18:65698268:65751537:1 gene:ENSMUSG00000046610.14 transcript:ENSMUST00000115097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oacyl description:O-acyltransferase like [Source:MGI Symbol;Acc:MGI:2442915] MMALTSLACLHALFPFVSPARNISLKCMQDTDEFLSDLNSLKPKEYALRMYDSVGKLGSN VLTGNVDRLGSYSECLSTRSPKGSFRGQYCKLHILQDGTDYSVGVCVPDSCAEEDVTMMS QLGTLKFRNTSFLEPSLSLFTKDSSSSCEVVARCAAGAMSPDMFASVCLFITLLGLVLPV AGTVYMVARDWGLDLRTSSVHGTPPTSCESLPLRNMESNRQRSRASCQVQLPPPGAPSRG RRFLGAVDEVLQCFSWQKNMPAICSPELPGGTCRTLNGIRVLSLLWVISGHTSQMTAWLS LDNVLEWKSRVPENPLYLYSRSGPFYLGVDTFFLISGWLSARSFLKMHQNTSTGITPKVI LRYFLKRFTRLQLLHMYSVCLLVGFFSFVPWGPVWEVAQFHWDNCREVWWTNLLLLNNFL SVQNACNGWTWYLASDFQFHLTTPLIFFVHGKSKRVFVLLGGLLFLASSTATTLLTLAYK LPVASPAAASEHGTVLYFSEYYTKPYCRCGPFLVGLFLSMFMHSDHPTDILKTTVQAMLG WTWSLLTLFAVVALAYVLDDTSPTSSVAAAIYQALHRTLWAAAVGWVIFACQEGYGGPVK RMLSWGIWSLPASISYACYLVHPIVIILYNGLQETLIHYTDTNMLYLFFGHCVLTFLGGL VLTLFIERPWQELKWGLWGPEPARP >ENSMUSP00000113626.1 pep:known chromosome:GRCm38:18:65698270:65751601:1 gene:ENSMUSG00000046610.14 transcript:ENSMUST00000117694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oacyl description:O-acyltransferase like [Source:MGI Symbol;Acc:MGI:2442915] MMALTSLACLHALFPFVSPARNISLKCMQDTDEFLSDLNSLKPKEYALRSTLKFRNTSFL EPSLSLFTKDSSSSCEVVARCAAGAMSPDMFASVCLFITLLGLVLPVAGTVYMVARDWGL DLRTSSVHGTPPTSCESLPLRNMESNRQRSRASCQVQLPPPGAPSRGRRFLGAVDEVLQC FSWQKNMPAICSPELPGGTCRTLNGIRVLSLLWVISGHTSQMTAWLSLDNVLEWKSRVPE NPLYLYSRSGPFYLGVDTFFLISGWLSARSFLKMHQNTSTGITPKVILRYFLKRFTRLQL LHMYSVCLLVGFFSFVPWGPVWEVAQFHWDNCREVWWTNLLLLNNFLSVQNACNGWTWYL ASDFQFHLTTPLIFFVHGKSKRVFVLLGGLLFLASSTATTLLTLAYKLPVASPAAASEHG TVLYFSEYYTKPYCRCGPFLVGLFLSMFMHSDHPTDILKTTVQAMLGWTWSLLTLFAVVA LAYVLDDTSPTSSVAAAIYQALHRTLWAAAVGWVIFACQEGYGGPVKRMLSWGIWSLPAS ISYACYLVHPIVIILYNGLQETLIHYTDTNMLYLFFGHCVLTFLGGLVLTLFIERPWQEL KWGLWGPEPARP >ENSMUSP00000082374.5 pep:known chromosome:GRCm38:7:49759129:49773975:1 gene:ENSMUSG00000039745.8 transcript:ENSMUST00000085272.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htatip2 description:HIV-1 Tat interactive protein 2 [Source:MGI Symbol;Acc:MGI:1859271] MADKEALPKLREDFKMQNKSVFILGASGETGKVLLKEILGQNLFSKVTLIGRRKLTFEEE AYKNVNQEVVDFEKLDVYASAFQGHDVGFCCLGTTRSKAGAEGFVRVDRDYVLKSAELAK AGGCKHFNLLSSRGADKSSSFLYLQVKGEVEAKVEELKFDRLSVFRPGVLLCDRQESRPG EWLARKFFGSLPDSWASGYAVPVVTVVRAMLNNLVSPSSGQMELLENKAILHLGKDRDVP KL >ENSMUSP00000146858.1 pep:known chromosome:GRCm38:7:49759153:49773975:1 gene:ENSMUSG00000039745.8 transcript:ENSMUST00000207895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htatip2 description:HIV-1 Tat interactive protein 2 [Source:MGI Symbol;Acc:MGI:1859271] MLGPTVLGTVVAALVASMLLLQSGDEGSGAAPSMADKEALPKLREDFKMQNKSVFILGAS GETGKVLLKEILGQNLFSKVTLIGRRKLTFEEEAYKNVNQEVVDFEKLDVYASAFQGHDV GFCCLGTTRSKAGAEGFVRVDRDYVLKSAELAKAGGCKHFNLLSSRGADKSSSFLYLQVK GEVEAKVEELKFDRLSVFRPGVLLCDRQESRPGEWLARKFFGSLPDSWASGYAVPVVTVV RAMLNNLVSPSSGQMELLENKAILHLGKDRDVPKL >ENSMUSP00000010536.8 pep:known chromosome:GRCm38:11:76726602:76763579:-1 gene:ENSMUSG00000010392.8 transcript:ENSMUST00000010536.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gosr1 description:golgi SNAP receptor complex member 1 [Source:MGI Symbol;Acc:MGI:1858260] MAAGTSNYWEDLRKQARQLENELDLKLVSFSKLCTSYSHSGSRDGGRDRYSSDTTPLLNG SSQDRMFETMAIEIEQLLARLTGVNDKMAEYTHSAGVPSLNAALMHTLQRHRDILQDYTH EFHKTKANFTAIRERENLMGSVRKDIESYKSGSGVNNRRTELFLKEHDHLRNSDRLIEET ISIAMATKENMTSQRGMLKSIHSKMNTLANRFPAVNSLIQRINLRKRRDSLILGGVIGIC TILLLLYAFH >ENSMUSP00000057956.6 pep:known chromosome:GRCm38:13:103818791:103889292:-1 gene:ENSMUSG00000021709.14 transcript:ENSMUST00000053927.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb2ip description:Erbb2 interacting protein [Source:MGI Symbol;Acc:MGI:1890169] MTTKRSLFVRLVPCRCLRGEEETVTTLDYSHCSLEQVPKEIFTFEKTLEELYLDANQIEE LPKQLFNCQSLHKLSLPDNDLTTLPASIANLINLRELDVSKNGIQEFPENIKNCKVLTIV EASVNPISKLPDGFSQLLNLTQLYLNDAFLEFLPANFGRLTKLQILELRENQLKMLPKTM NRLTQLERLDLGSNEFTEVPEVLEQLSGLREFWMDGNRLTFIPGFIGSLRQLTYLDVSKN NIEMVEEGISTCENLQDFLLSSNSLQQLPETIGSLKNVTTLKIDENQLMYLPDSIGGLRS IEELDCSFNEIEALPSSIGQLTNMRTFAADHNYLQQLPPEIGNWKNITVLFLHCNKLETL PEEMGDMQKLKVINLSDNRLKNLPFSFTKLQQLTAMWLSDNQSKPLIPLQKETDTETQKM VLTNYMFPQQPRTEDVMFISDNESFNPALWEEQRKQRAQVAFECDEDKDEREAPPREGNL KRYPTPYPDELKNMVKTVQTIVHRLKDEETNEESGRDLKQHEDQQVVNKDKCVKTSESTT TKSKLDEREKYMNSVQKMSEPEAETNGGNLPVTASMKLSGNLKHIVNHDDVFEESEELSS DEEMKMAEMRPPLIESSINQPKVVALSNNKKDDAKDADSLSDEVTHNSNQNNSNCSSPSR MSDSVSLNTDSSQDTSLCSPVKQTPVDSNSKVRQEDENFNSLLQNGVNLNNSPEEKFKIN DKKDFKLPEYDLNIEEQLVLIEKDIDSKATSDDSRQLDHINMNINKLVTNNIFQPEVMER SKMQDIVLGTGFLSIHPKNEAEHIENGAKFPNLESINKVNGLCEDTAPSPGRVEPQKASS SADVGISKSTEDLSPQRSGPTGAVVKSHSITNMETGGLKIYDILGDDGPQPPSAAVKIAS AVDGKNIVRSKSATLLYDQPLQVFTAASSSSELLSGTKAVFKFDSNHNPEEPDIIRAATV SGPQSTPHLYGPPQYNVQYSGSATVKDTLWHPKQNPQIDPVSFPPQRLPRSESAENHSYA KHSANMNFSNHNNVRANTGYHLQQRLAPARHGEMWAISPNDRLVPAVTRTTIQRQSSVSS TASVNLGDPTRRTEGDYLSYRELHSMGRTPVMSGSQRPLSARAYSIDGPNTSRPQSARPS INEIPERTMSVSDFNYSRTSPSKRPNTRVGSEHSLLDPPGKSKVPHDWREQVLRHIEAKK LEKHPQTSSPGECCQDDRFMSEEQNHPSGALSHRGLPDSLMKASVARHPSREQLIDYLML KVAHQPPYTHPHCSPRQGHELAKQEIRVRVEKDPELGFSISGGVGGRGNPFRPDDDGIFV TRVQPEGPASKLLQPGDKIIQANGYSFINIEHGQAVSLLKTFHNAVDLIIVREVSS >ENSMUSP00000088813.4 pep:known chromosome:GRCm38:13:103818791:103920295:-1 gene:ENSMUSG00000021709.14 transcript:ENSMUST00000091269.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb2ip description:Erbb2 interacting protein [Source:MGI Symbol;Acc:MGI:1890169] MTTKRSLFVRLVPCRCLRGEEETVTTLDYSHCSLEQVPKEIFTFEKTLEELYLDANQIEE LPKQLFNCQSLHKLSLPDNDLTTLPASIANLINLRELDVSKNGIQEFPENIKNCKVLTIV EASVNPISKLPDGFSQLLNLTQLYLNDAFLEFLPANFGRLTKLQILELRENQLKMLPKTM NRLTQLERLDLGSNEFTEVPEVLEQLSGLREFWMDGNRLTFIPGFIGSLRQLTYLDVSKN NIEMVEEGISTCENLQDFLLSSNSLQQLPETIGSLKNVTTLKIDENQLMYLPDSIGGLRS IEELDCSFNEIEALPSSIGQLTNMRTFAADHNYLQQLPPEIGNWKNITVLFLHCNKLETL PEEMGDMQKLKVINLSDNRLKNLPFSFTKLQQLTAMWLSDNQSKPLIPLQKETDTETQKM VLTNYMFPQQPRTEDVMFISDNESFNPALWEEQRKQRAQVAFECDEDKDEREAPPREGNL KRYPTPYPDELKNMVKTVQTIVHRLKDEETNEESGRDLKQHEDQQVVNKDKCVKTSESTT TKSKLDEREKYMNSVQKMSEPEAETNGGNLPVTASMKLSGNLKHIVNHDDVFEESEELSS DEEMKMAEMRPPLIESSINQPKVVALSNNKKDDAKDADSLSDEVTHNSNQNNSNCSSPSR MSDSVSLNTDSSQDTSLCSPVKQTPVDSNSKVRQEDENFNSLLQNGVNLNNSPEEKFKIN DKKDFKLPEYDLNIEEQLVLIEKDIDSKATSDDSRQLDHINMNINKLVTNNIFQPEVMER SKMQDIVLGTGFLSIHPKNEAEHIENGAKFPNLESINKVNGLCEDTAPSPGRVEPQKASS SADVGISKSTEDLSPQRSGPTGAVVKSHSITNMETGGLKIYDILGDDGPQPPSAAVKIAS AVDGKNIVRSKSATLLYDQPLQVFTAASSSSELLSGTKAVFKFDSNHNPEEPDIIRAATV SGPQSTPHLYGPPQYNVQYSGSATVKDTLWHPKQNPQIDPVSFPPQRLPRSESAENHSYA KHSANMNFSNHNNVRANTGYHLQQRLAPARHGEMWAISPNDRLVPAVTRTTIQRQSSVSS TASVNLGDPTRRTEGDYLSYRELHSMGRTPVMSGSQRPLSARAYSIDGPNTSRPQSARPS INEIPERTMSVSDFNYSRTSPSKRPNTRVGSEHSLLDPPGKSKVPHDWREQVLRHIEAKK LEKHPQTSSPGECCQDDRFMSEEQNHPSGALSHRGLPDSLMKMPLSNGQMGQPLRPQAHY SQTHHPPQASVARHPSREQLIDYLMLKVAHQPPYTHPHCSPRQGHELAKQEIRVRVEKDP ELGFSISGGVGGRGNPFRPDDDGIFVTRVQPEGPASKLLQPGDKIIQANGYSFINIEHGQ AVSLLKTFHNAVDLIIVREVSS >ENSMUSP00000140931.1 pep:known chromosome:GRCm38:13:103819607:103920295:-1 gene:ENSMUSG00000021709.14 transcript:ENSMUST00000188997.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb2ip description:Erbb2 interacting protein [Source:MGI Symbol;Acc:MGI:1890169] MTTKRSLFVRLVPCRCLRGEEETVTTLDYSHCSLEQVPKEIFTFEKTLEELYLDANQIEE LPKQLFNCQSLHKLSLPDNDLTTLPASIANLINLRELDVSKNGIQEFPENIKNCKVLTIV EASVNPISKLPDGFSQLLNLTQLYLNDAFLEFLPANFGRLTKLQILELRENQLKMLPKTM NRLTQLERLDLGSNEFTEVPEVLEQLSGLREFWMDGNRLTFIPGFIGSLRQLTYLDVSKN NIEMVEEGISTCENLQDFLLSSNSLQQLPETIGSLKNVTTLKIDENQLMYLPDSIGGLRS IEELDCSFNEIEALPSSIGQLTNMRTFAADHNYLQQLPPEIGNWKNITVLFLHCNKLETL PEEMGDMQKLKVINLSDNRLKNLPFSFTKLQQLTAMWLSDNQSKPLIPLQKETDTETQKM VLTNYMFPQQPRTEDVMFISDNESFNPALWEEQRKQRAQVAFECDEDKDEREAPPREGNL KRYPTPYPDELKNMVKTVQTIVHRLKDEETNEESGRDLKQHEDQQVVNKDKCVKTSESTT TKSKLDEREKYMNSVQKMSEPEAETNGGNLPVTASMKLSGNLKHIVNHDDVFEESEELSS DEEMKMAEMRPPLIESSINQPKVVALSNNKKDDAKDADSLSDEVTHNSNQNNSNCSSPSR MSDSVSLNTDSSQDTSLCSPVKQTPVDSNSKVRQEDENFNSLLQNGVNLNNSPEEKFKIN DKKDFKLPEYDLNIEEQLVLIEKDIDSKATSDDSRQLDHINMNINKLVTNNIFQPEVMER SKMQDIVLGTGFLSIHPKNEAEHIENGAKFPNLESINKVNGLCEDTAPSPGRVEPQKASS SADVGISKSTEDLSPQRSGPTGAVVKSHSITNMETGGLKIYDILGDDGPQPPSAAVKIAS AVDGKNIVRSKSATLLYDQPLQVFTAASSSSELLSGTKAVFKFDSNHNPEEPDIIRAATV SGPQSTPHLYGPPQYNVQYSGSATVKDTLWHPKQNPQIDPVSFPPQRLPRSESAENHSYA KHSANMNFSNHNNVRANTGYHLQQRLAPARHGEMWAISPNDRLVPAVTRTTIQRQSSVSS TASVNLGDPTRRTEGDYLSYRELHSMGRTPVMSGSQRPLSARAYSIDGPNTSRPQSARPS INEIPERTMSVSDFNYSRTSPSKRPNTRVGSEHSLLDPPGKSKVPHDWREQVLRHIEAKK LEKIRVRVEKDPELGFSISGGVGGRGNPFRPDDDGIFVTRVQPEGPASKLLQPGDKIIQA NGYSFINIEHGQAVSLLKTFHNAVDLIIVREVSS >ENSMUSP00000127607.1 pep:known chromosome:GRCm38:13:103819607:103920295:-1 gene:ENSMUSG00000021709.14 transcript:ENSMUST00000169083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb2ip description:Erbb2 interacting protein [Source:MGI Symbol;Acc:MGI:1890169] MTTKRSLFVRLVPCRCLRGEEETVTTLDYSHCSLEQVPKEIFTFEKTLEELYLDANQIEE LPKQLFNCQSLHKLSLPDNDLTTLPASIANLINLRELDVSKNGIQEFPENIKNCKVLTIV EASVNPISKLPDGFSQLLNLTQLYLNDAFLEFLPANFGRLTKLQILELRENQLKMLPKTM NRLTQLERLDLGSNEFTEVPEVLEQLSGLREFWMDGNRLTFIPGFIGSLRQLTYLDVSKN NIEMVEEGISTCENLQDFLLSSNSLQQLPETIGSLKNVTTLKIDENQLMYLPDSIGGLRS IEELDCSFNEIEALPSSIGQLTNMRTFAADHNYLQQLPPEIGNWKNITVLFLHCNKLETL PEEMGDMQKLKVINLSDNRLKNLPFSFTKLQQLTAMWLSDNQSKPLIPLQKETDTETQKM VLTNYMFPQQPRTEDVMFISDNESFNPALWEEQRKQRAQVAFECDEDKDEREAPPREGNL KRYPTPYPDELKNMVKTVQTIVHRLKDEETNEESGRDLKQHEDQQVVNKDKCVKTSESTT TKSKLDEREKYMNSVQKMSEPEAETNGGNLPVTASMKLSGNLKHIVNHDDVFEESEELSS DEEMKMAEMRPPLIESSINQPKVVALSNNKKDDAKDADSLSDEVTHNSNQNNSNCSSPSR MSDSVSLNTDSSQDTSLCSPVKQTPVDSNSKVRQEDENFNSLLQNGVNLNNSPEEKFKIN DKKDFKLPEYDLNIEEQLVLIEKDIDSKATSDDSRQLDHINMNINKLVTNNIFQPEVMER SKMQDIVLGTGFLSIHPKNEAEHIENGAKFPNLESINKVNGLCEDTAPSPGRVEPQKASS SADVGISKSTEDLSPQRSGPTGAVVKSHSITNMETGGLKIYDILGDDGPQPPSAAVKIAS AVDGKNIVRSKSATLLYDQPLQVFTAASSSSELLSGTKAVFKFDSNHNPEEPDIIRAATV SGPQSTPHLYGPPQYNVQYSGSATVKDTLWHPKQNPQIDPVSFPPQRLPRSESAENHSYA KHSANMNFSNHNNVRANTGYHLQQRLAPARHGEMWAISPNDRLVPAVTRTTIQRQSSVSS TASVNLGDPTRRTEGDYLSYRELHSMGRTPVMSGSQRPLSARAYSIDGPNTSRPQSARPS INEIPERTMSVSDFNYSRTSPSKRPNTRVGSEHSLLDPPGKSKVPHDWREQVLRHIEAKK LEKSMLSRSFNSNLTAVSSSHYGSSRDLHGSQGSLALSVADGRGSGGHIFRMPLSNGQMG QPLRPQAHYSQTHHPPQASVARHPSREQLIDYLMLKVAHQPPYTHPHCSPRQGHELAKQE IRVRVEKDPELGFSISGGVGGRGNPFRPDDDGIFVTRVQPEGPASKLLQPGDKIIQANGY SFINIEHGQAVSLLKTFHNAVDLIIVREVSS >ENSMUSP00000140536.1 pep:known chromosome:GRCm38:13:103819607:103920295:-1 gene:ENSMUSG00000021709.14 transcript:ENSMUST00000191275.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb2ip description:Erbb2 interacting protein [Source:MGI Symbol;Acc:MGI:1890169] MTTKRSLFVRLVPCRCLRGEEETVTTLDYSHCSLEQVPKEIFTFEKTLEELYLDANQIEE LPKQLFNCQSLHKLSLPDNDLTTLPASIANLINLRELDVSKNGIQEFPENIKNCKVLTIV EASVNPISKLPDGFSQLLNLTQLYLNDAFLEFLPANFGRLTKLQILELRENQLKMLPKTM NRLTQLERLDLGSNEFTEVPEVLEQLSGLREFWMDGNRLTFIPGFIGSLRQLTYLDVSKN NIEMVEEGISTCENLQDFLLSSNSLQQLPETIGSLKNVTTLKIDENQLMYLPDSIGGLRS IEELDCSFNEIEALPSSIGQLTNMRTFAADHNYLQQLPPEIGNWKNITVLFLHCNKLETL PEEMGDMQKLKVINLSDNRLKNLPFSFTKLQQLTAMWLSDNQSKPLIPLQKETDTETQKM VLTNYMFPQQPRTEDVMFISDNESFNPALWEEQRKQRAQVAFECDEDKDEREAPPREGNL KRYPTPYPDELKNMVKTVQTIVHRLKDEETNEESGRDLKQHEDQQVVNKDKCVKTSESTT TKSKLDEREKYMNSVQKMSEPEAETNGGNLPVTASMKLSGNLKHIVNHDDVFEESEELSS DEEMKMAEMRPPLIESSINQPKVVALSNNKKDDAKDADSLSDEVTHNSNQNNSNCSSPSR MSDSVSLNTDSSQDTSLCSPVKQTPVDSNSKVRQEDENFNSLLQNGVNLNNSPEEKFKIN DKKDFKLPEYDLNIEEQLVLIEKDIDSKATSDDSRQLDHINMNINKLVTNNIFQPEVMER SKMQDIVLGTGFLSIHPKNEAEHIENGAKFPNLESINKVNGLCEDTAPSPGRVEPQKASS SADVGISKSTEDLSPQRSGPTGAVVKSHSITNMETGGLKIYDILGDDGPQPPSAAVKIAS AVDGKNIVRSKSATLLYDQPLQVFTAASSSSELLSGTKAVFKFDSNHNPEEPDIIRAATV SGPQSTPHLYGPPQYNVQYSGSATVKDTLWHPKQNPQIDPVSFPPQRLPRSESAENHSYA KHSANMNFSNHNNVRANTGYHLQQRLAPARHGEMWAISPNDRLVPAVTRTTIQRQSSVSS TASVNLGDPTRRTEGDYLSYRELHSMGRTPVMSGSQRPLSARAYSIDGPNTSRPQSARPS INEIPERTMSVSDFNYSRTSPSKRPNTRVGSEHSLLDPPGKSKVPHDWREQVLRHIEAKK LEKSMLSRSFNSNLTAVSSSHYGSSRDLHGSQGSLALSVADGRGSGGHIFRHPQTSSPGE CCQDDRFMSEEQNHPSGALSHRGLPDSLMKMPLSNGQMGQPLRPQAHYSQTHHPPQASVA RHPSREQLIDYLMLKVAHQPPYTHPHCSPRQGHELAKQEIRVRVEKDPELGFSISGGVGG RGNPFRPDDDGIFVTRVQPEGPASKLLQPGDKIIQANGYSFINIEHGQAVSLLKTFHNAV DLIIVREVSS >ENSMUSP00000022222.5 pep:known chromosome:GRCm38:13:103818787:103920514:-1 gene:ENSMUSG00000021709.14 transcript:ENSMUST00000022222.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb2ip description:Erbb2 interacting protein [Source:MGI Symbol;Acc:MGI:1890169] MTTKRSLFVRLVPCRCLRGEEETVTTLDYSHCSLEQVPKEIFTFEKTLEELYLDANQIEE LPKQLFNCQSLHKLSLPDNDLTTLPASIANLINLRELDVSKNGIQEFPENIKNCKVLTIV EASVNPISKLPDGFSQLLNLTQLYLNDAFLEFLPANFGRLTKLQILELRENQLKMLPKTM NRLTQLERLDLGSNEFTEVPEVLEQLSGLREFWMDGNRLTFIPGFIGSLRQLTYLDVSKN NIEMVEEGISTCENLQDFLLSSNSLQQLPETIGSLKNVTTLKIDENQLMYLPDSIGGLRS IEELDCSFNEIEALPSSIGQLTNMRTFAADHNYLQQLPPEIGNWKNITVLFLHCNKLETL PEEMGDMQKLKVINLSDNRLKNLPFSFTKLQQLTAMWLSDNQSKPLIPLQKETDTETQKM VLTNYMFPQQPRTEDVMFISDNESFNPALWEEQRKQRAQVAFECDEDKDEREAPPREGNL KRYPTPYPDELKNMVKTVQTIVHRLKDEETNEESGRDLKQHEDQQVVNKDKCVKTSESTT TKSKLDEREKYMNSVQKMSEPEAETNGGNLPVTASMKLSGNLKHIVNHDDVFEESEELSS DEEMKMAEMRPPLIESSINQPKVVALSNNKKDDAKDADSLSDEVTHNSNQNNSNCSSPSR MSDSVSLNTDSSQDTSLCSPVKQTPVDSNSKVRQEDENFNSLLQNGVNLNNSPEEKFKIN DKKDFKLPEYDLNIEEQLVLIEKDIDSKATSDDSRQLDHINMNINKLVTNNIFQPEVMER SKMQDIVLGTGFLSIHPKNEAEHIENGAKFPNLESINKVNGLCEDTAPSPGRVEPQKASS SADVGISKSTEDLSPQRSGPTGAVVKSHSITNMETGGLKIYDILGDDGPQPPSAAVKIAS AVDGKNIVRSKSATLLYDQPLQVFTAASSSSELLSGTKAVFKFDSNHNPEEPDIIRAATV SGPQSTPHLYGPPQYNVQYSGSATVKDTLWHPKQNPQIDPVSFPPQRLPRSESAENHSYA KHSANMNFSNHNNVRANTGYHLQQRLAPARHGEMWAISPNDRLVPAVTRTTIQRQSSVSS TASVNLGDPTRRTEGDYLSYRELHSMGRTPVMSGSQRPLSARAYSIDGPNTSRPQSARPS INEIPERTMSVSDFNYSRTSPSKRPNTRVGSEHSLLDPPGKSKVPHDWREQVLRHIEAKK LEKHPQTSSPGECCQDDRFMSEEQNHPSGALSHRGLPDSLMKASVARHPSREQLIDYLML KVAHQPPYTHPHCSPRQGHELAKQEIRVRVEKDPELGFSISGGVGGRGNPFRPDDDGIFV TRVQPEGPASKLLQPGDKIIQANGYSFINIEHGQAVSLLKTFHNAVDLIIVREVSS >ENSMUSP00000035588.8 pep:known chromosome:GRCm38:5:120753098:120777661:-1 gene:ENSMUSG00000032661.9 transcript:ENSMUST00000044833.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas3 description:2'-5' oligoadenylate synthetase 3 [Source:MGI Symbol;Acc:MGI:2180850] MDLFHTPAGALDKLVAHNLHPAPEFTAAVRGALGSLNITLQQHRARGSQRPRVIRIAKGG AYARGTALRGGTDVELVIFLDCFQSFGDQKTCHSETLGAMRMLLESWGGHPGPGLTFEFS QSKASRILQFRLASADGEHWIDVSLVPAFDVLGQPRSGVKPTPNVYSSLLSSHCQAGEYS ACFTEPRKNFVNTRPAKLKNLILLVKHWYHQVQTRAVRATLPPSYALELLTIFAWEQGCG KDSFSLAQGLRTVLALIQHSKYLCIFWTENYGFEDPAVGEFLRRQLKRPRPVILDPADPT WDVGNGTAWRWDVLAQEAESSFSQQCFKQASGVLVQPWEGPGLPRAGILDLGHPIYQGPN QALEDNKGHLAVQSKERSQKPSNSAPGFPEAATKIPAMPNPSANKTRKIRKKAAHPKTVQ EAALDSISSHVRITQSTASSHMPPDRSSISTAGSRMSPDLSQIPSKDLDCFIQDHLRPSP QFQQQVKQAIDAILCCLREKSVYKVLRVSKGGSFGRGTDLRGSCDVELVIFYKTLGDFKG QKPHQAEILRDMQAQLRHWCQNPVPGLSLQFIEQKPNALQLQLASTDLSNRVDLSVLPAF DAVGPLKSGTKPQPQVYSSLLSSGCQAGEHAACFAELRRNFINTCPPKLKSLMLLVKHWY RQVVTRYKGGEAAGDAPPPAYALELLTIFAWEQGCGEQKFSLAEGLRTILRLIQQHQSLC IYWTVNYSVQDPAIRAHLLCQLRKARPLVLDPADPTWNVGQGDWKLLAQEAAALGSQVCL QSGDGTLVPPWDVTPALLHQTLAEDLDKFISEFLQPNRHFLTQVKRAVDTICSFLKENCF RNSTIKVLKVVKGGSSAKGTALQGRSDADLVVFLSCFRQFSEQGSHRAEIISEIQAHLEA CQQMHSFDVKFEVSKRKNPRVLSFTLTSQTLLDQSVDFDVLPAFDALGQLRSGSRPDPRV YTDLIHSCSNAGEFSTCFTELQRDFITSRPTKLKSLIRLVKYWYQQCNKTIKGKGSLPPQ HGLELLTVYAWEQGGQNPQFNMAEGFRTVLELIVQYRQLCVYWTINYSAEDKTIGDFLKM QLRKPRPVILDPADPTGNLGHNARWDLLAKEATVYASALCCVDRDGNPIKPWPVKAAV >ENSMUSP00000125873.1 pep:known chromosome:GRCm38:5:120754216:120756259:-1 gene:ENSMUSG00000032661.9 transcript:ENSMUST00000165820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas3 description:2'-5' oligoadenylate synthetase 3 [Source:MGI Symbol;Acc:MGI:2180850] KTIKGKGSLPPQHGLELLTVYAWEQGGQNPQFNMAEGFRTVLELIVQYRQLCVYWTINYS AEDKTIGDFLKMQLRKPRPVILDPADPTGNLGHNARWDLLAKEATVYASALCCVDRDGNP IKPWPVKVRDM >ENSMUSP00000120967.1 pep:known chromosome:GRCm38:7:100493337:100502020:1 gene:ENSMUSG00000033685.13 transcript:ENSMUST00000126534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucp2 description:uncoupling protein 2 (mitochondrial, proton carrier) [Source:MGI Symbol;Acc:MGI:109354] MVGFKATDVPPTATVKFLGAGTAACIADLITFPLDTAKVRLQIQGESQGLVRTAASAQYR GVLGTILTMVRTEGPRSLYNGLVAGLQRQMSFASVRIGLYDSVKQFYTKGSEHAGIGSRL LAGSTTGALAVAVAQPTDVVKVRFQAQARAGGGRRYQSTVEAYKTIAREEGIRGLWKGTS PNVARNAIVNCAELVTYDLIKDTLLKANLMTDDLPCHFTSAFGAGFCTTVIASPVDVVKT RYMNSALGQYHSAGHCALTMLRKEGPRAFYKGFMPSFLRLGSWNVVMFVTYEQLKRALMA AYQSREAPF >ENSMUSP00000146337.1 pep:known chromosome:GRCm38:7:100493386:100498916:1 gene:ENSMUSG00000033685.13 transcript:ENSMUST00000207748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucp2 description:uncoupling protein 2 (mitochondrial, proton carrier) [Source:MGI Symbol;Acc:MGI:109354] MVGFKATDVPPTATVKFLGAGTAACIADLITFPLDTAKVRLQIQGESQGLVRTAASAQYR GVLGTILTMVRTEGPRSLYNGLVAGLQRQMSFASVRIGLYDSVKQFYTKGSEHAGIGSRL LAGSTTGALAVAVAQPTDVVKVRFQAQARAGGGRRYQSTVEAYKTIAREEGIRGLWKGTS PNVARNAIVNCAELVTYDLIKDTLLKANLMTDDLPCHFTSAFGAGFCTTVIASPVDVVKT RYMNSALGQYHSAGHCALTMLRKEGPRAFYKG >ENSMUSP00000115648.1 pep:known chromosome:GRCm38:7:100493795:100497163:1 gene:ENSMUSG00000033685.13 transcript:ENSMUST00000129324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucp2 description:uncoupling protein 2 (mitochondrial, proton carrier) [Source:MGI Symbol;Acc:MGI:109354] MVGFKATDVPPTATVKFLGAGTAACIADLITFPLDTAKVRLQIQGESQGLVRTAASAQYR GVLGT >ENSMUSP00000115953.1 pep:known chromosome:GRCm38:7:100494067:100497305:1 gene:ENSMUSG00000033685.13 transcript:ENSMUST00000153287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucp2 description:uncoupling protein 2 (mitochondrial, proton carrier) [Source:MGI Symbol;Acc:MGI:109354] MVGFKATDVPPTATVKFLGAGTAACIADLITFPLDTAKVRLQIQGESQGLVRTAASAQYR GVLGTILTMVRTEGPRSLYNGLVAGLQRQMSFASVRIGLYDSVKQFYTKGSE >ENSMUSP00000115598.1 pep:known chromosome:GRCm38:7:100496079:100498256:1 gene:ENSMUSG00000033685.13 transcript:ENSMUST00000133044.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucp2 description:uncoupling protein 2 (mitochondrial, proton carrier) [Source:MGI Symbol;Acc:MGI:109354] MVGFKATDVPPTATVKFLGAGTAACIADLITFPLDTAKVRLQIQGESQGLVRTAASAQYR GVLGTILTMVRTEGPRSLYNGLVAGLQRQMSFASVRIGLYDSVKQFYTKGSEHAGIGSRL LAGSTTGALAVAVAQPTDVVKVRFQAQARAGGGRRYQSTVEAYKTIAREEGI >ENSMUSP00000045367.3 pep:known chromosome:GRCm38:6:39462378:39557867:-1 gene:ENSMUSG00000038456.9 transcript:ENSMUST00000036877.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd2a description:DENN/MADD domain containing 2A [Source:MGI Symbol;Acc:MGI:2444961] MLEARVDMLSSNMIISGPAADLGAKEASRPWKKQLNSVPNSGPSARARAQPQPLSIKDKI SKWEGKKEPPASDPARQTDGQEDHLPSCKVERRGSELTRTKNGMRLETERLQNDSRARTV CQDTEQLPGPRPIDGQPELSQHRGRELKPSDLRFQSDHLSVLRQVKRLEKALKDGSAGLD PQMPGTCYSPHCLPDKTEEDLPSLESHEKGGVLAAGRRAHHLEVREPGPEISEDWKGQES VYRGSRWYPPKPFINPVPKPRRTFKHAGEGDKDVSPGISFKKEKRNLPPLPSLPPPPPPL PSSPPPTSVNRRLWTGRQRPSADHRKSYEFEDLLQSSSENSRVDWYAQTKLGLTRTLSEE NVYEDILDPPMKENPYEDVELHGRCLGKKCVLTFPASPTSSIPDTSTKQSLSKSAFFRQN SERRNLKLLDTRKLSRDGAGSPLRTSPPSTPSSPDDTFFNLGDLQNGRKKKKIPRLVLRI NAIYEARRGKKRVKRLSQSTESNSGKVTDENSESDSDTEEKLKAHSQRLVNVKSRLKQAP RYSSLDRDLIEYQERQLFEYFVVVSLHKKQAGAAYVPELTQQFPLKLEKSFKFMREAEDQ LKAIPQFCFPDAKDWAPVQEFTSETFSFVLTGEDGSRRFGYCRRLLPGGKGKRLPEVYCI VSRLGCFSLFSKILDEVEKRRGISPALVQPLMRSVMEAPFPALGKTIIVKNFLPGSGTEV IELCRPLDSRLEHVDFESLFSSLSVRHLVSVFASLLLERRVIFIADKLSTLSKCCHAMVA LIYPFSWQHTYIPVLPPAMIDIVCSPTPFLIGLLSSSLPLLRELPLEEVLVVDLINDRFL RQMEDEDSILPRKLQVALEHILEQRNDLACDQDGGPLDCVHGPESSSLSEVVSEAFVRFF VEIVGHYPLFLTSGEERSLQREAFRKAVSSKSLRRFLEVFMETQTFRGFIQERELRRQDA KGLFEVRAQEYLETLPSGEHSGVNKFLKGLGNKMKFLHKK >ENSMUSP00000116907.1 pep:known chromosome:GRCm38:6:39497137:39557867:-1 gene:ENSMUSG00000038456.9 transcript:ENSMUST00000154149.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd2a description:DENN/MADD domain containing 2A [Source:MGI Symbol;Acc:MGI:2444961] MLEARVDMLSSNMIISGPAADLGAKEASRPWKKQLNSVPNSGPSARARAQPQPLSIKDKI SKWEGKKEPPASDPARQTDGQEDHLPSCKVERRGSELTRTKNGMRLETERLQNDSRARTV CQDTEQLPGPRPIDGQPELSQHRGRELKPSDLRFQSDHLSVLRQVKRLEKALKDGSAGLD PQMPGTCYSPHCLPDKTEEDLPSLESHEKGGVLAAGRRAHHLEVREPGPEISEDWKGQES VYRGSRWYPPKPFINPVPKPRRTFKHAGEGDKDVSPGISFKKEKRNLPPLPSLPPPPPPL PSSPPPTSVNRRLWTGRQRPSADHRKSYEFEDLLQSSSENSRVDWYAQTKLGLTRTLSEE NVYEDILDPPMKENPYEDVELHGRCLGKKCVLTFPASPTSSIPDTSTKQSLSKSAFFRQN S >ENSMUSP00000138885.1 pep:known chromosome:GRCm38:9:73007419:73040378:-1 gene:ENSMUSG00000079469.10 transcript:ENSMUST00000183746.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigb description:phosphatidylinositol glycan anchor biosynthesis, class B [Source:MGI Symbol;Acc:MGI:1891825] MESQAADYNPASRNLHGSSGEMKLRRRKSRQYVSAQEKRSPRRGLLGENTYLVLFTIALR ILNCFLVQTSFVPDEYWQSLEVAHRMVFSYGYLTWEWTERLRGYTYPLIFASIYKVLHLL GKDSVQFLIWIPRLGQALLSAVADIRLYSLLKQLENQEVAQWVFLCQLCSWFTWYCCTRT LTNTMETSLTALALFYYPLEGSRSVNSVKYSLLVALACVVRPTALIPWVPLLFRHFYQEQ RKLHLTLHHFLPVGFITFSLSLIIDRIFFGQWTLVQLNFLKFNVLQNLGTFYGSHPWHWY LSQGFPVVLGTHLPFFIHGCFLAPRRLHILLLTVLWTLLVYSMLGHKEFRFIYPVLPFCM VFCGYSLAHLKTWRKAALSFLLLSNVPLAFYTGLVHQRGTLDVMNHIQKVCPRGPDPASA SVFIMMPCHSTPYYSHVHCPLSMRFLQCPPDLTGKTQYLDEADMFYLNPLRWLQQEFHSN ASLPTHLVTFNVLEKEINTFLTSGNYERAATFFHTHWPERRTGSHIHVYERRLPGRVNTG GN >ENSMUSP00000139269.1 pep:known chromosome:GRCm38:9:73013711:73039699:-1 gene:ENSMUSG00000079469.10 transcript:ENSMUST00000184035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigb description:phosphatidylinositol glycan anchor biosynthesis, class B [Source:MGI Symbol;Acc:MGI:1891825] MESQAADYNPASRNLHGSSGEMKLRRRKSRQYVSAQEKRSPRRGLLGENTYLVLFTIALR ILNCFLVQTSFVPDEYWQSLEVAHRMVFSYGYLTWEWTERLRGYTYPLIFASIYKVLHLL GKDSVQFLIWIPRLGQALLSAVADIRLYSLLKQLENQEVAQWVFLCQLCSWFTWYCCTRT LTNTMETSLTALALFYYPLEGSRSVNSVKYSLLVALACVVRPTALIPWVPLLFRHFYQEQ RKLHLTLHHFLPVGFITFSLSLIIDRIFFGQWTLVQLNFLKFNVLQNLGTFYGSHPWHWY LSQGFPVVLGTHLPFFIHGCFLAPRRLHILLLTVLWTLLVYSMLGHKEFRFIYPVLPFCM VFCGYSLAHLKTWRKAALSFLLLSNVPLAFYTGLVHQRGTLDVMNHIQKVCPRGPDPASA SVFIMMPCHSTPYYSHVHCPLSMRFLQCPPDLTGKTQYLDEADMFYLNPLRWLQQEFHSN ASLPTHLVTFNVLEKEINTFLTSGNYERAATFFHTHWPERRTGSHIHVYERRLPGRVNTG GN >ENSMUSP00000139076.1 pep:known chromosome:GRCm38:9:73014664:73039651:-1 gene:ENSMUSG00000079469.10 transcript:ENSMUST00000184389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigb description:phosphatidylinositol glycan anchor biosynthesis, class B [Source:MGI Symbol;Acc:MGI:1891825] MESQAADYNPASRNLHGSSGEMKLRRRKSRQYVSAQEKRSPRRGLLGENTYLVLFTIALR ILNCFLVQTSFVPDEYWQSLEVAHRMVFSYGYLTWEWTERLRGYTYPLIFASIYKVLHLL GKDSVQFLIWIPRLGQALLSAVADIRLYSLLKQLENQEVAQWVFLCQLCSWFTWYCCTRT LTNTMETSLTALALFYYPLEGSRSVNSVKYSLLVALACVVRPTALIPWVPLLFRHFYQEQ RKLHLTLHHFLPVGFITFSLSLIIDRIFFGQWTLVQLNFLKFNVLQNLGTFYGSHPWHWY LSQGFPVVLGTHLPFFIHGCFLAPRRLHILLLTVLWTLLVYSMLGHKEFRFIYPVLPFCM VFCGYSLAHLKTWRKAALSFLLLSNVPLAFYTGLVHQRGTLDVMNHIQKVCPRGPDPASA SVFIMMPCHSTPYYSHVHCPLSMRFLQCPPDLTGKTQYLDEADMFYLNPLRWLQQEFHSN ASLPTHLVTFNVLEKEINTFLTSGNYERAATFFHTHWPERRTGSHIHVYERRLPGRVNTG GN >ENSMUSP00000138897.1 pep:known chromosome:GRCm38:9:73022345:73036775:-1 gene:ENSMUSG00000079469.10 transcript:ENSMUST00000183904.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigb description:phosphatidylinositol glycan anchor biosynthesis, class B [Source:MGI Symbol;Acc:MGI:1891825] XLPPHLRQYLQGSASSGERQCSIPDLDSQTWPSSSVCCSGYKALLPPEAAGKPGSGTMGV PLPAVLLVHMVLLHTDPHEHHGDLPHCPRPFLLSSGRLEVREQRQVLAPGGTCLCGPPHS SYPMGAAALQTFLPRTEKAPSDSASFFTCRLASL >ENSMUSP00000096165.4 pep:known chromosome:GRCm38:9:73015696:73039699:-1 gene:ENSMUSG00000079469.10 transcript:ENSMUST00000098566.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigb description:phosphatidylinositol glycan anchor biosynthesis, class B [Source:MGI Symbol;Acc:MGI:1891825] MESQAADYNPASRNLHGSSGEMKLRRRKSRQYVSAQEKRSPRRGLLGENTYLVLFTIALR ILNCFLVQTSFVPDEYWQSLEVAHRMVFSYGYLTWEWTERLRGYTYPLIFASIYKVLHLL GKDSVQFLIWIPRLGQALLSAVADIRLYSLLKQLENQEVAQWVFLCQLCSWFTWYCCTRT LTNTMETSLTALALFYYPLEGSRSVNSVKYSLLVALACVVRPTALIPWVPLLFRHFYQEQ RKLHLTLHHFLPVGFITFSLSLIIDRIFFGQWTLVQLNFLKFNVLQNLGTFYGSHPWHWY LSQGFPVVLGTHLPFFIHGCFLAPRRLHILLLTVLWTLLVYSMLGHKEFRFIYPVLPFCM VFCGYSLAHLKTWRKAALSFLLLSNVPLAFYTGLVHQRGTLDVMNHIQKVCPRGPDPASA SVFIMMPCHSTPYYSHVHCPLSMRFLQCPPDLTGKTQYLDEADMFYLNPLRWLQQEFHSN ASLPTHLVTFNVLEKEINTFLTSGNYERAATFFHTHWPERRTGSHIHVYERRLPGRVNTG GN >ENSMUSP00000091337.1 pep:known chromosome:GRCm38:9:73008481:73015657:-1 gene:ENSMUSG00000079469.10 transcript:ENSMUST00000093819.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigb description:phosphatidylinositol glycan anchor biosynthesis, class B [Source:MGI Symbol;Acc:MGI:1891825] MLEFSFLKVKRTMFFPTYLPEHCKKIKQKITSYSNSRKKGYYFNTEGKRADINTPALAGR GGLTGDEEQECNHKIMRRFRYHSRKHKNNQPRLHRLYPSMREETQLAEQSPTFPRSRQVQ >ENSMUSP00000019911.7 pep:known chromosome:GRCm38:10:36974544:37001889:1 gene:ENSMUSG00000019777.16 transcript:ENSMUST00000019911.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac2 description:histone deacetylase 2 [Source:MGI Symbol;Acc:MGI:1097691] MAYSQGGGKKKVCYYYDGDIGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKA TAEEMTKYHSDEYIKFLRSIRPDNMSEYSKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVA GAVKLNRQQTDMAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKYHQRVLYIDIDIHH GDGVEEAFYTTDRVMTVSFHKYGEYFPGTGDLRDIGAGKGKYYAVNFPMRDGIDDESYGQ IFKPIISKVMEMYQPSAVVLQCGADSLSGDRLGCFNLTVKGHAKCVEVVKTFNLPLLMLG GGGYTIRNVARCWTYETAVALDCEIPNELPYNDYFEYFGPDFKLHISPSNMTNQNTPEYM EKIKQRLFENLRMLPHAPGVQMQAIPEDAVHEDSGDEDGEDPDKRISIRASDKRIACDEE FSDSEDEGEGGRRNVADHKKGAKKARIEEDKKETEDKKTDVKEEDKSKDNSGEKTDPKGA KSEQLSNP >ENSMUSP00000101149.1 pep:known chromosome:GRCm38:10:36974570:36996111:1 gene:ENSMUSG00000019777.16 transcript:ENSMUST00000105510.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac2 description:histone deacetylase 2 [Source:MGI Symbol;Acc:MGI:1097691] MAYSQGGGKKKVCYYYDGDIGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKA TAEEMTKYHSDEYIKFLRSIRPDNMSEYSKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVA GAVKLNRQQTDMAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKYHQRVLYIDIDIHH GDGVEEAFYTTDRVMTVSFHKYGEYFPGTGDLRDIGAGKGKYYAVNFPMRDGIDDESYGQ IFKPIISKVMEMYQPSAVVLQCGADSLSGDRLGCFNLTVKGKQFTFPLVWCFSSPRTSHK SFHC >ENSMUSP00000023268.6 pep:known chromosome:GRCm38:15:74669083:74672568:-1 gene:ENSMUSG00000022602.14 transcript:ENSMUST00000023268.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arc description:activity regulated cytoskeletal-associated protein [Source:MGI Symbol;Acc:MGI:88067] MELDHMTTGGLHAYPAPRGGPAAKPNVILQIGKCRAEMLEHVRRTHRHLLTEVSKQVERE LKGLHRSVGKLENNLDGYVPTGDSQRWKKSIKACLCRCQETIANLERWVKREMHVWREVF YRLERWADRLESMGGKYPVGSEPARHTVSVGVGGPEPYCQEADGYDYTVSPYAITPPPAA GELPEQESVEAQQYQSWGPGEDGQPSPGVDTQIFEDPREFLSHLEEYLRQVGGSEEYWLS QIQNHMNGPAKKWWEFKQGSVKNWVEFKKEFLQYSEGTLSREAIQRELELPQKQGEPLDQ FLWRKRDLYQTLYVDAEEEEIIQYVVGTLQPKLKRFLRHPLPKTLEQLIQRGMEVQDGLE QAAEPSGTPLPTEDETEALTPALTSESVASDRTQPE >ENSMUSP00000105636.3 pep:known chromosome:GRCm38:15:74669083:74672570:-1 gene:ENSMUSG00000022602.14 transcript:ENSMUST00000110009.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arc description:activity regulated cytoskeletal-associated protein [Source:MGI Symbol;Acc:MGI:88067] MELDHMTTGGLHAYPAPRGGPAAKPNVILQIGKCRAEMLEHVRRTHRHLLTEVSKQVERE LKGLHRSVGKLENNLDGYVPTGDSQRWKKSIKACLCRCQETIANLERWVKREMHVWREVF YRLERWADRLESMGGKYPVGSEPARHTVSVGVGGPEPYCQEADGYDYTVSPYAITPPPAA GELPEQESVEAQQYQSWGPGEDGQPSPGVDTQIFEDPREFLSHLEEYLRQVGGSEEYWLS QIQNHMNGPAKKWWEFKQGSVKNWVEFKKEFLQYSEGTLSREAIQRELELPQKQGEPLDQ FLWRKRDLYQTLYVDAEEEEIIQYVVGTLQPKLKRFLRHPLPKTLEQLIQRGMEVQDGLE QAAEPSGTPLPTEDETEALTPALTSESVASDRTQPE >ENSMUSP00000031309.9 pep:known chromosome:GRCm38:5:117357304:117378601:1 gene:ENSMUSG00000029364.15 transcript:ENSMUST00000031309.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wsb2 description:WD repeat and SOCS box-containing 2 [Source:MGI Symbol;Acc:MGI:2144041] MEAGEEPLLLAELKPGRPHQFDWKSSCETWSVAFSPDGSWFAWSQGHCVVKLVPWPLEEQ FIPKGFEAKSRSSKNDPKGRGSLKEKTLDCGQIVWGLAFSPWPSPPSRKLWARHHPQAPD VSCLILATGLNDGQIKIWEVQTGLLLLNLSGHQDVVRDLSFTPSGSLILVSASRDKTLRI WDLNKHGKQIQVLSGHLQWVYCCSISPDCSMLCSAAGEKSVFLWSMRSYTLIRKLEGHQS SVVSCDFSPDSALLVTASYDTSVIMWDPYTGERLRSLHHTQLEPTMDDSDVHMSSLRSVC FSPEGLYLATVADDRLLRIWALELKAPVAFAPMTNGLCCTFFPHGGIIATGTRDGHVQFW TAPRVLSSLKHLCRKALRSFLTTYQVLALPIPKKMKEFLTYRTF >ENSMUSP00000107590.1 pep:known chromosome:GRCm38:5:117363522:117377807:1 gene:ENSMUSG00000029364.15 transcript:ENSMUST00000111959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wsb2 description:WD repeat and SOCS box-containing 2 [Source:MGI Symbol;Acc:MGI:2144041] MISPSPEEPLLLAELKPGRPHQFDWKSSCETWSVAFSPDGSWFAWSQGHCVVKLVPWPLE EQFIPKGFEAKSRSSKNDPKGRGSLKEKTLDCGQIVWGLAFSPWPSPPSRKLWARHHPQA PDVSCLILATGLNDGQIKIWEVQTGLLLLNLSGHQDVVRDLSFTPSGSLILVSASRDKTL RIWDLNKHGKQIQVLSGHLQWVYCCSISPDCSMLCSAAGEKSVFLWSMRSYTLIRKLEGH QSSVVSCDFSPDSALLVTASYDTSVIMWDPYTGERLRSLHHTQLEPTMDDSDVHMSSLRS VCFSPEGLYLATVADDRLLRIWALELKAPVAFAPMTNGLCCTFFPHGGIIATGTRDGHVQ FWTAPRVLSSLKHLCRKALRSFLTTYQVLALPIPKKMKEFLTYRTF >ENSMUSP00000145212.1 pep:known chromosome:GRCm38:6:48593944:48619278:1 gene:ENSMUSG00000107789.1 transcript:ENSMUST00000204121.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:RP24-124B23.14 MGAASLEGTRESFLCHPGATEVWAEAAEVSPGTSPEGAGKSLTPSSSVFRVAGLRPHQH >ENSMUSP00000053085.9 pep:known chromosome:GRCm38:10:75550125:75560330:-1 gene:ENSMUSG00000046807.9 transcript:ENSMUST00000051129.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc75b description:leucine rich repeat containing 75B [Source:MGI Symbol;Acc:MGI:2143657] MGARLGRRARADAPAAPSAGPAPYERRVRWLREIQSTLRERRPERARQLLRLLRQDLGLE GNLLTDILHRNVTFLNLVDPISHDLLVNLARDLQCPKKDHELWKSSDKICRQLIYHLTPH SKRKHHRKTQSSLKSSLQKTLLVGETVDLSGIPLSARDVQHISRYLDTRGVELVVLDLSF TELSDELLHLLLPSLWALPRLTQLLLNGNRLTRAAARELTEAIKDTAKFPVLAWVDLGNN VDVSSLPQPLLVGLRRRLSQHTSLPTIYEGLDLEPGGGMAETTAAVSTWGSAATEAGPEP QGCCAR >ENSMUSP00000134246.1 pep:known chromosome:GRCm38:10:67096492:67224962:1 gene:ENSMUSG00000037876.16 transcript:ENSMUST00000174317.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd1c description:jumonji domain containing 1C [Source:MGI Symbol;Acc:MGI:1918614] MNSQAAVPKQNTHQQQQQRSIRPNKRKGSDSSIPDEEKMKEDKYDCVSRGENPKGKNKHV VTKRRKPEEAEKRLSMKRLRTDNASDASESSDAESSSKRVTETSSSEPMPEYEPKNKVTS KVNGEEGQSQAAEEAGEETLIDTRPPWDQMQEDKNHNEGEKPKSTDSHLQDKMTLRSSEQ ATVADHNSNDSVLQECNVENQRTVELLPKDRLVSRTPTPKCVTDIKNDTHSERAAQENLN TFGLQTPENMDPNVSDSKHSNAKYLETAKQDCDQSWVSDVVKVDLTQSSVTNAPSGSDKR DTEKERNHYVSYMSSLSAVSVTEDQLHKRSPPPETIKAKLTTSVDTQKAKSSSSPEVVKP KITHSPDSVKSKAAYGNSQAVGERRLANKIEHELSRGSFHPVPTRGSALETTKSPLIIDK NEHFTVYRDPALIGSETGANHISPFLSQHPFSLHSSSHRTCLNPGTHHPALTPGPHLLAG STSQTPLPTINTHPLTSGPHHPVHHPHLLPTVLPGVPTASLLGGHPRLESAHASSLSHLA LAHQQQQQLLQHQSPHLLGQAHPSASYNQLGLYPIIWQYPNGTHAYSGLGLPSSKWVHPE NAVNAEASLRRNSPSPWLHQPTPVTSADGIGLLSHIPVRPSSAEPHRPHKITVHSSPPLT KTLADHHKEELERKAFMEPLRSNASTSVKGDLDLNRSQAGKDCHLHRHFVGPRPPQETGE RLNKYKEEHRRILQESIDVAPFTT >ENSMUSP00000134551.1 pep:known chromosome:GRCm38:10:67127258:67256318:1 gene:ENSMUSG00000037876.16 transcript:ENSMUST00000174408.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd1c description:jumonji domain containing 1C [Source:MGI Symbol;Acc:MGI:1918614] MAVETRPELVGKRFLCVAAGEDARPERGQSGCGRGWRAGVIRAVSHRDRGHPDLAVYVEF DDLEWDKREWVRVYEDFSTFLVEYHLIWAKRKDPSQTQGSKSRQIQWPALTFKPLVENSI PSSITAVEFLIDKQLDFLTEDSAFQPYQDDIDSLKPVLRDNPQLHAEVKVWVKEQKVQEI FMQGPYSLNGYRVRVYRQDSATQWFTGIITHHDLFTRTMIVMNDQVLEPQNVDPSMVQMT FLDDVVHSLLKGENIGITSRRRSRASQNISTVHGHYTRAQANSPRPAMNSQAAVPKQNTH QQQQQRSIRPNKRKGSDSSIPDEEKMKEDKYDCVSRGENPKGKNKHVVTKRRKPEEAEKR LSMKRLRTDNASDASESSDAESSSKRVTETSSSEPMPEYEPKNKVTSKVNGEEGQSQAAE EAGEETLIDTRPPWDQMQEDKNHNEGEKPKSTDSHLQDKMTLRSSEQATVADHNSNDSVL QECNVENQRTVELLPKDRLVSRTPTPKCVTDIKNDTHSERAAQENLNTFGLQTPENMDPN VSDSKHSNAKYLETAKQDCDQSWVSDVVKVDLTQSSVTNAPSGSDKRDTEKERNHYVSYM SSLSAVSVTEDQLHKRSPPPETIKAKLTTSVDTQKAKSSSSPEVVKPKITHSPDSVKSKA AYGNSQAVGERRLANKIEHELSRGSFHPVPTRGSALETTKSPLIIDKNEHFTVYRDPALI GSETGANHISPFLSQHPFSLHSSSHRTCLNPGTHHPALTPGPHLLAGSTSQTPLPTINTH PLTSGPHHPVHHPHLLPTVLPGVPTASLLGGHPRLESAHASSLSHLALAHQQQQQLLQHQ SPHLLGQAHPSASYNQLGLYPIIWQYPNGTHAYSGLGLPSSKWVHPENAVNAEASLRRNS PSPWLHQPTPVTSADGIGLLSHIPVRPSSAEPHRPHKITVHSSPPLTKTLADHHKEELER KAFMEPLRSNASTSVKGDLDLNRSQAGKDCHLHRHFVGPRPPQETGERLNKYKEEHRRIL QESIDVAPFTTKIKGHEVERENYSRVVPSSSSPKSHAIKQDKDVDRSVSEIYKMKHSVPQ SLPQSNYFTTLSNSVVNEPPRSYPSKEVSNIYTEKQNNNLSATANPQTHSFISSLSKPPP LIKHQPESESLVGKIPDHLPHQSASHSVTTFRSDCRSPTHLTVSSTNALRSMPALHRAPV FHPPIHHSLERKESSYSSLSPPTLTPVMPVNAGGKVQESQKPPTLIPEPKDSQSNFKNSS DQSLTEMWRSNNNLNREKAEWPVEKSSGKSQAAVASVIVRPPSSTKVDSVPSVPLASKDR VCERSSSGANKTDYLKPEAGETGRIILPNVNLESAHVKSEKNFEAVSQGNVPVSVMSAVN VVSTTKADVFTSAATTTSVSSLSSAETSYSLSNTISASTPFECTSSKSVVSQAVAQAKDC TVSTAVPGTLACSKTGSAVQPGSGFSGTTDFIHLKKHKAALAAAQFKNSSVSEAELNTVR NQTVAASLPLDSTMTCTASNKAISVGNGPAAQSSQPNYHTKLKKAWLTRHSEEDKNTNKM ENSGNSVSEIIKPCSVNLIASTSNDIENRADGRVAVDKYGRDEKVSRRKAKRTYESGSES GDSDESESKSEQRTKRQPKPTYKKKQNDLQKRKGEVEEDSKPNGVLSRSAKDKSKLKLQN SNSAGVPRSVLKDWRKVKKLKQTGESFLQDDSCCEIGPNLQKCRECRLIRSKKGEESTHS PVFCRFYYFRRLSFSKNGVVRIDGFSSPDQYDDEAMSLWTHENYEDDEVDVETSKYILDI IGDKFCQLVTSEKTALSWVKKDAKIAWKRAVRGVREMCDACEATLFNVHWVCRKCGFVAC LDCYKAKERKSSRDKELYAWMKCVKGQPHDHKHLMLTQIIPGSVLTDLLDAMHILREKYG IKSHCHCTNRQNLQGGNVPTMNGVSQVLQNVLHHSNKTSVSLPESQQQNSPQKSQTNGNS SPGSASTDSRLTPPESQSPLHWLADLAEQKSREEKQENKEFTLEREIKEDGDQDASDSPN GSTSPPASQSNEQGSTLRDLLTTTAGKLRVGSTDAGIAFAPVYSMGTSSGKGGRTMPNIL DDIIASVVENKIPPNKTSKINIKSEPNEEPKESSLPATDESNKSYRDIPHSWICDQHILW LKDYKNSNNWKLFKECWKQGQPAVVSGVHKKMNISLWKAESISLDFGDHQADLLNCKDSI VSNANVKEFWDGFEEVSKRQKNKGGETVVLKLKDCPSGEDFKAMMPTRYEDFLRCLPLPE YCNPEGKFNLASHLPGFFVRPDLGPRLCSAYGVAAAKDHDIGTTNLHIEASDVVNVLVYV GIAKGNGVLSKAGILKKFEEEELDDVLRKRLKDSSEIPGALWHIYAGKDVDKIREFLQKI SKEQGLEVLPEHDPIRDQSWYVNRKLRQRLLEEYGVRACTLIQFLGDAIVLPAGTLHQVQ NFHSCVQVTEDFVSPEHLVQSFHLTQELRLLKEEINYDDKLQVKNILYHAVKEMVRALKM HEDEVEDMEDT >ENSMUSP00000133700.1 pep:known chromosome:GRCm38:10:67185750:67256318:1 gene:ENSMUSG00000037876.16 transcript:ENSMUST00000173689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd1c description:jumonji domain containing 1C [Source:MGI Symbol;Acc:MGI:1918614] MQGPYSLNGYRVRVYRQDSATQWFTGIITHHDLFTRTMIVMNDQVLEPQNVDPSMVQMTF LDDVVHSLLKGENIGITSRRRSRASQNISTVHGHYTRAQANSPRPAMNSQAAVPKQNTHQ QQQQRSIRPNKRKGSDSSIPDEEKMKEDKYDCVSRGENPKGKNKHVVTKRRKPEEAEKRL SMKRLRTDNASDASESSDAESSSKRVTETSSSEPMPEYEPKNKVTSKVNGEEGQSQAAEE AGEETLIDTRPPWDQMQEDKNHNEGEKPKSTDSHLQDKMTLRSSEQATVADHNSNDSVLQ ECNVENQRTVELLPKDRLVSRTPTPKCVTDIKNDTHSERAAQENLNTFGLQTPENMDPNV SDSKHSNAKYLETAKQDCDQSWVSDVVKVDLTQSSVTNAPSGSDKRDTEKERNHYVSYMS SLSAVSVTEDQLHKRSPPPETIKAKLTTSVDTQKAKSSSSPEVVKPKITHSPDSVKSKAA YGNSQAVGERRLANKIEHELSRGSFHPVPTRGSALETTKSPLIIDKNEHFTVYRDPALIG SETGANHISPFLSQHPFSLHSSSHRTCLNPGTHHPALTPGPHLLAGSTSQTPLPTINTHP LTSGPHHPVHHPHLLPTVLPGVPTASLLGGHPRLESAHASSLSHLALAHQQQQQLLQHQS PHLLGQAHPSASYNQLGLYPIIWQYPNGTHAYSGLGLPSSKWVHPENAVNAEASLRRNSP SPWLHQPTPVTSADGIGLLSHIPVRPSSAEPHRPHKITVHSSPPLTKTLADHHKEELERK AFMEPLRSNASTSVKGDLDLNRSQAGKDCHLHRHFVGPRPPQETGERLNKYKEEHRRILQ ESIDVAPFTTKIKGHEVERENYSRVVPSSSSPKSHAIKQDKDVDRSVSEIYKMKHSVPQS LPQSNYFTTLSNSVVNEPPRSYPSKEVSNIYTEKQNNNLSATANPQTHSFISSLSKPPPL IKHQPESESLVGKIPDHLPHQSASHSVTTFRSDCRSPTHLTVSSTNALRSMPALHRAPVF HPPIHHSLERKESSYSSLSPPTLTPVMPVNAGGKVQESQKPPTLIPEPKDSQSNFKNSSD QSLTEMWRSNNNLNREKAEWPVEKSSGKSQAAVASVIVRPPSSTKVDSVPSVPLASKDRV CERSSSGANKTDYLKPEAGETGRIILPNVNLESAHVKSEKNFEAVSQGNVPVSVMSAVNV VSTTKADVFTSAATTTSVSSLSSAETSYSLSNTISASTPFECTSSKSVVSQAVAQAKDCT VSTAVPGTLACSKTGSAVQPGSGFSGTTDFIHLKKHKAALAAAQFKNSSVSEAELNTVRN QTVAASLPLDSTMTCTASNKAISVGNGPAAQSSQPNYHTKLKKAWLTRHSEEDKNTNKME NSGNSVSEIIKPCSVNLIASTSNDIENRADGRVAVDKYGRDEKVSRRKAKRTYESGSESG DSDESESKSEQRTKRQPKPTYKKKQNDLQKRKGEVEEDSKPNGVLSRSAKDKSKLKLQNS NSAGVPRSVLKDWRKVKKLKQTGESFLQDDSCCEIGPNLQKCRECRLIRSKKGEESTHSP VFCRFYYFRRLSFSKNGVVRIDGFSSPDQYDDEAMSLWTHENYEDDEVDVETSKYILDII GDKFCQLVTSEKTALSWVKKDAKIAWKRAVRGVREMCDACEATLFNVHWVCRKCGFVACL DCYKAKERKSSRDKELYAWMKCVKGQPHDHKHLMLTQIIPGSVLTDLLDAMHILREKYGI KSHCHCTNRQNLQGGNVPTMNGVSQVLQNVLHHSNKTSVSLPESQQQNSPQKSQTNGNSS PGSASTDSRLTPPESQSPLHWLADLAEQKSREEKQENKEFTLEREIKEDGDQDASDSPNG STSPPASQSNEQGSTLRDLLTTTAGKLRVGSTDAGIAFAPVYSMGTSSGKGGRTMPNILD DIIASVVENKIPPNKTSKINIKSEPNEEPKESSLPATDESNKSYRDIPHSWICDQHILWL KDYKNSNNWKLFKECWKQGQPAVVSGVHKKMNISLWKAESISLDFGDHQADLLNCKDSIV SNANVKEFWDGFEEVSKRQKNKGGETVVLKLKDCPSGEDFKAMMPTRYEDFLRCLPLPEY CNPEGKFNLASHLPGFFVRPDLGPRLCSAYGVAAAKDHDIGTTNLHIEASDVVNVLVYVG IAKGNGVLSKAGILKKFEEEELDDVLRKRLKDSSEIPGALWHIYAGKDVDKIREFLQKIS KEQGLEVLPEHDPIRDQSWYVNRKLRQRLLEEYGVRACTLIQFLGDAIVLPAGTLHQVQN FHSCVQVTEDFVSPEHLVQSFHLTQELRLLKEEINYDDKLQVKNILYHAVKEMVRALKMH EDEVEDMEDT >ENSMUSP00000134678.1 pep:known chromosome:GRCm38:10:67238148:67252672:1 gene:ENSMUSG00000037876.16 transcript:ENSMUST00000173762.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd1c description:jumonji domain containing 1C [Source:MGI Symbol;Acc:MGI:1918614] XPHDHKHLMLTQIIPGSVLTDLLDAMHILREKYGIKSHCHCTNRQNLQGGNVPTMNGVSQ VLQNVLHHSNKTSVSLPESQQQNSPQKSQTNGNSSPGSASTDSRLTPPESQSPLHWLADL AEQKSREEKQENKEFTLEREIKEDGDQDASDSPNGSTSPPASQSNEQGSTLRDLLTTTAG KLRVGSTDAGIAFAPVYSMGTSSGKGGRTMPNILDDIIASVVENKIPPNKTSKINIKSEP NEEPKESSLPATDESNKSYRDIPHSWICDQHILWLKDYKNSNNWKLFKECWKQGQPAVVS GVHKKMNISLWKAESISLDFGDHQADLLNCKDSIVSNANVKEFWDGFEEVSKRQKNKGGE TVVLKLKDCPSGEDFKAMMPTRYEDFLRCLPLPEYCNPEGKFNLASHLPGFFVRPDLGPR LCSAYGVAAAKDHDIGTTNLHIEASDVVNVLVYVGIAKGNGVLSKAGILKKFEEEELDDV LRKRLKDSSEIPGALWHIYAGKDVDKIREFLQKISKEQGLEVLPEHDPIRDQSWYVNRKL RQRLLEEYGVRACTLIQFLGDAIVLPAGTLHQNPSQDD >ENSMUSP00000056227.9 pep:known chromosome:GRCm38:10:67127258:67256326:1 gene:ENSMUSG00000037876.16 transcript:ENSMUST00000051446.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd1c description:jumonji domain containing 1C [Source:MGI Symbol;Acc:MGI:1918614] MAVETRPELVGKRFLCVAAGEDARPERGQSGCGRGWRAGVIRAVSHRDRGHPDLAVYVEF DDLEWDKREWVRVYEDFSTFLVEYHLIWAKRKDPSQTQGSKSRQIQWPALTFKPLVENSI PSSITAVEFLIDKQLDFLTEDSAFQPYQDDIDSLKPVLRDNPQLHAEVKVWVKEQKVQEI FMQGPYSLNGYRVRVYRQDSATQWFTGIITHHDLFTRTMIVMNDQVLEPQNVDPSMVQMT FLDDVVHSLLKGENIGITSRRRSRASQNISTVHGHYTRAQANSPRPAMNSQAAVPKQNTH QQQQQRSIRPNKRKGSDSSIPDEEKMKEDKYDCVSRGENPKGKNKHVVTKRRKPEEAEKR LSMKRLRTDNASDASESSDAESSSKRVTETSSSEPMPEYEPKNKVTSKVNGEEGQSQAAE EAGEETLIDTRPPWDQMQEDKNHNEGEKPKSTDSHLQDKMTLRSSEQATVADHNSNDSVL QECNVENQRTVELLPKDRLVSRTPTPKCVTDIKNDTHSERAAQENLNTFGLQTPENMDPN VSDSKHSNAKYLETAKQDCDQSWVSDVVKVDLTQSSVTNAPSGSDKRDTEKERNHYVSYM SSLSAVSVTEDQLHKRSPPPETIKAKLTTSVDTQKAKSSSSPEVVKPKITHSPDSVKSKA AYGNSQAVGERRLANKIEHELSRGSFHPVPTRGSALETTKSPLIIDKNEHFTVYRDPALI GSETGANHISPFLSQHPFSLHSSSHRTCLNPGTHHPALTPGPHLLAGSTSQTPLPTINTH PLTSGPHHPVHHPHLLPTVLPGVPTASLLGGHPRLESAHASSLSHLALAHQQQQQLLQHQ SPHLLGQAHPSASYNQLGLYPIIWQYPNGTHAYSGLGLPSSKWVHPENAVNAEASLRRNS PSPWLHQPTPVTSADGIGLLSHIPVRPSSAEPHRPHKITVHSSPPLTKTLADHHKEELER KAFMEPLRSNASTSVKGDLDLNRSQAGKDCHLHRHFVGPRPPQETGERLNKYKEEHRRIL QESIDVAPFTTKIKGHEVERENYSRVVPSSSSPKSHAIKQDKDVDRSVSEIYKMKHSVPQ SLPQSNYFTTLSNSVVNEPPRSYPSKEVSNIYTEKQNNNLSATANPQTHSFISSLSKPPP LIKHQPESESLVGKIPDHLPHQSASHSVTTFRSDCRSPTHLTVSSTNALRSMPALHRAPV FHPPIHHSLERKESSYSSLSPPTLTPVMPVNAGGKVQESQKPPTLIPEPKDSQSNFKNSS DQSLTEMWRSNNNLNREKAEWPVEKSSGKSQAAVASVIVRPPSSTKVDSVPSVPLASKDR VCERSSSGANKTDYLKPEAGETGRIILPNVNLESAHVKSEKNFEAVSQGNVPVSVMSAVN VVSTTKADVFTSAATTTSVSSLSSAETSYSLSNTISASTPFECTSSKSVVSQAVAQAKDC TVSTAVPGTLACSKTGSAVQPGSGFSGTTDFIHLKKHKAALAAAQFKNSSVSEAELNTVR NQTVAASLPLDSTMTCTASNKAISVGNGPAAQSSQPNYHTKLKKAWLTRHSEEDKNTNKM ENSGNSVSEIIKPCSVNLIASTSNDIENRADGRVAVDKYGRDEKVSRRKAKRTYESGSES GDSDESESKSEQRTKRQPKPTYKKKQNDLQKRKGEVEEDSKPNGVLSRSAKDKSKLKLQN SNTGVPRSVLKDWRKVKKLKQTGESFLQDDSCCEIGPNLQKCRECRLIRSKKGEESTHSP VFCRFYYFRRLSFSKNGVVRIDGFSSPDQYDDEAMSLWTHENYEDDEVDVETSKYILDII GDKFCQLVTSEKTALSWVKKDAKIAWKRAVRGVREMCDACEATLFNVHWVCRKCGFVACL DCYKAKERKSSRDKELYAWMKCVKGQPHDHKHLMLTQIIPGSVLTDLLDAMHILREKYGI KSHCHCTNRQNLQGGNVPTMNGVSQVLQNVLHHSNKTSVSLPESQQQNSPQKSQTNGNSS PGSASTDSRLTPPESQSPLHWLADLAEQKSREEKQENKEFTLEREIKEDGDQDASDSPNG STSPPASQSNEQGSTLRDLLTTTAGKLRVGSTDAGIAFAPVYSMGTSSGKGGRTMPNILD DIIASVVENKIPPNKTSKINIKSEPNEEPKESSLPATDESNKSYRDIPHSWICDQHILWL KDYKNSNNWKLFKECWKQGQPAVVSGVHKKMNISLWKAESISLDFGDHQADLLNCKDSIV SNANVKEFWDGFEEVSKRQKNKGGETVVLKLKDCPSGEDFKAMMPTRYEDFLRCLPLPEY CNPEGKFNLASHLPGFFVRPDLGPRLCSAYGVAAAKDHDIGTTNLHIEASDVVNVLVYVG IAKGNGVLSKAGILKKFEEEELDDVLRKRLKDSSEIPGALWHIYAGKDVDKIREFLQKIS KEQGLEVLPEHDPIRDQSWYVNRKLRQRLLEEYGVRACTLIQFLGDAIVLPAGTLHQVQN FHSCVQVTEDFVSPEHLVQSFHLTQELRLLKEEINYDDKLQVKNILYHAVKEMVRALKMH EDEVEDMEDT >ENSMUSP00000037539.8 pep:known chromosome:GRCm38:X:153685154:153696280:-1 gene:ENSMUSG00000041633.14 transcript:ENSMUST00000039424.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd12b description:potassium channel tetramerisation domain containing 12b [Source:MGI Symbol;Acc:MGI:2444667] MAMPEKSSDVKPTEECGSFPEIIELNVGGQVYITRYPTLISIPGSRLWEMFSVKNPCSLI QDNKGRFFIDRDGFLFRYVLDYMRDMQVVLPDHFPECGRLHREAEYFKLPELAKMLAPKM NKLNSIGNDSCPIDLEELSPSIDTTFNFSSTNSIHISGPDNPMVLRAAPGSELKKAGFIT IGYRGSYTLGRDSQADAKFRRVARIMVCGKISLAKEVFGDTLNESRDPDRPPERYTSRYY LKFTFLEQAFDKLADAGFHMVACNSTGTCTVTHDQTDDRIWTSYTEYVFYRE >ENSMUSP00000108191.1 pep:known chromosome:GRCm38:X:153685154:153696391:-1 gene:ENSMUSG00000041633.14 transcript:ENSMUST00000112572.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd12b description:potassium channel tetramerisation domain containing 12b [Source:MGI Symbol;Acc:MGI:2444667] MAMPEKSSDVKPTEECGSFPEIIELNVGGQVYITRYPTLISIPGSRLWEMFSVKNPCSLI QDNKGRFFIDRDGFLFRYVLDYMRDMQVVLPDHFPECGRLHREAEYFKLPELAKMLAPKM NKLNSIGNDSCPIDLEELSPSIDTTFNFSSTNSIHISGPDNPMVLRAAPGSELKKAGFIT IGYRGSYTLGRDSQADAKFRRVARIMVCGKISLAKEVFGDTLNESRDPDRPPERYTSRYY LKFTFLEQAFDKLADAGFHMVACNSTGTCTVTHDQTDDRIWTSYTEYVFYRE >ENSMUSP00000145192.1 pep:known chromosome:GRCm38:6:48602063:48623227:1 gene:ENSMUSG00000007216.6 transcript:ENSMUST00000204095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp775 description:zinc finger protein 775 [Source:MGI Symbol;Acc:MGI:2683557] MESGLAGSISGDGLARIKQEKPEWLLQTVASQATEKDKENIFQQQSGLPPCQTMGRPRAL GSQEETGGTRWAPLPEQDAPLAARVPGTAPGPLSPSLSAGGGHFVCVDCGKRFSWWSSLK IHQRTHTGEKPYLCGKCGKSFSQKPNLVRHQRHHTGERPFCCPECTRRFSQKQHLLKHQK THSRPATHTCPECERCFRHQVGLRIHQRAHARNRLGARVNLHEMFRYAAARRWSCRLRPG SPRGHPEWVWLGLCRSWWGQHGVRTTAHSRLGPSEQRQFICNDCGKSFTWWSSLNIHQRI HTGERPYACPECGRCFSQKPNLTRHLRNHTGERPHPCSHCGRSFRQKQHLLKHLRTHLPG AQAARCTSCGQSCPSRAALRAHQRVHTAAELLRSQSAVRDGVPGSESQAEIAQSVVVKPQ GPQGAKEVLCGQECETLAVPSEQRQFICNECGKSFSWWSALTIHQRIHTGERPYACPDCG RCFSQKPNLTRHRRNHTGERPYLCTACGRGFRQKQHLLKHQRVHRGTQAPHPGPEEEL >ENSMUSP00000145369.1 pep:known chromosome:GRCm38:6:48605538:48619742:1 gene:ENSMUSG00000007216.6 transcript:ENSMUST00000204042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp775 description:zinc finger protein 775 [Source:MGI Symbol;Acc:MGI:2683557] MESGLAGSISGDGLARIKQEKPEWLLQTVASQATEKDKENIFQQQSGLPPCQTMGRPRAL GSQEETGGTRWAPLPEQDAPLAARVPGTAPGPLSPSLSAGGGHFVCVDCGKRFSWWSSLK IHQRTHTGEKPYLCGKCGKSFSQKPNLVRHQRHHTGERPFCCPECTRRFSQKQHLLKHQK THS >ENSMUSP00000056290.3 pep:known chromosome:GRCm38:6:48613180:48623227:1 gene:ENSMUSG00000007216.6 transcript:ENSMUST00000061720.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp775 description:zinc finger protein 775 [Source:MGI Symbol;Acc:MGI:2683557] MESGLAGSISGDGLARIKQEKPEWLLQTVASQATEKDKENIFQQQSGLPPCQTMGRPRAL GSQEETGGTRWAPLPEQDAPLAARVPGTAPGPLSPSLSAGGGHFVCVDCGKRFSWWSSLK IHQRTHTGEKPYLCGKCGKSFSQKPNLVRHQRHHTGERPFCCPECTRRFSQKQHLLKHQK THSRPATHTCPECERCFRHQVGLRIHQRAHARNRLGARVNLHEMFRYAAARRWSCRLRPG SPRGHPEWVWLGLCRSWWGQHGVRTTAHSRLGPSEQRQFICNDCGKSFTWWSSLNIHQRI HTGERPYACPECGRCFSQKPNLTRHLRNHTGERPHPCSHCGRSFRQKQHLLKHLRTHLPG AQAARCTSCGQSCPSRAALRAHQRVHTAAELLRSQSAVRDGVPGSESQAEIAQSVVVKPQ GPQGAKEVLCGQECETLAVPSEQRQFICNECGKSFSWWSALTIHQRIHTGERPYACPDCG RCFSQKPNLTRHRRNHTGERPYLCTACGRGFRQKQHLLKHQRVHRGTQAPHPGPEEEL >ENSMUSP00000020513.3 pep:known chromosome:GRCm38:11:23862646:23895253:-1 gene:ENSMUSG00000020273.13 transcript:ENSMUST00000020513.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papolg description:poly(A) polymerase gamma [Source:MGI Symbol;Acc:MGI:2442119] MKEMSANTMLDSQRQQKHYGITSPISLACPKEIDHIYTQKLIDAMKPFGVFEDEEELNHR LVVLGKLNNLVKEWISDISESKNLPPSVVATVGGKIFTFGSYRLGVHTKGADIDALCVAP RHVERSDFFQSFFEKLKHQDGIRNLRAVEDAFVPVIKFEFDGIEIDLVFARLAIQTISDN LDLRDDSRLRSLDIRCIRSLNGCRVTDEILHLVPNKETFRLTLRAVKLWAKRRGIYSNML GFLGGVSWAMLVARTCQLYPNAAASTLVHKFFLVFSKWEWPNPVLLKQPEESNLNLPVWD PRVNPSDRYHLMPIITPAYPQQNSTYNVSTSTRTVMVEEFKQGLAVTDEILQGKSDWSKL LEPPNFFQKYRHYIVLTASASTEENHLEWVGLVESKIRVLVGNLERNEFITLAHVNPQSF PGNKEHHKANNYVSMWFLGIIFRRVENAESVNIDLTYDIQSFTDTVYRQANNINMLKDGM KIEATHVKKKQLHHYLPAEILQKKKKSLSDVSRSSGGLQSKRSSLDSTCLDSSRDTDSGT PFNSPVSANKPSNPDSPTGEIERSSAEPVAVVVEKLPSVPPAQGLSIPVIGAKVDPTAKA VSSPAVCTIPTVVGRNVIPRITTPHNPVQGQPHLNGISNITKNVTPKRSHSPPTDGTSKR LKDIEKFIRLESAFKESRAAEDRKRKPMDSIGGESMPIPTIDTARKKRLPSKELPDSSSP VPANNIRVIKNSIRLTLNR >ENSMUSP00000099927.2 pep:known chromosome:GRCm38:11:23870056:23895236:-1 gene:ENSMUSG00000020273.13 transcript:ENSMUST00000102863.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papolg description:poly(A) polymerase gamma [Source:MGI Symbol;Acc:MGI:2442119] MKEMSANTMLDSQRQQKHYGITSPISLACPKEIDHIYTQKLIDAMKPFGVFEDEEELNHR LVVLGKLNNLVKEWISDISESKNLPPSVVATVGGKIFTFGSYRLGVHTKGADIDALCVAP RHVERSDFFQSFFEKLKHQDGIRNLRAVEDAFVPVIKFEFDGIEIDLVFARLAIQTISDN LDLRDDSRLRSLDIRCIRSLNGCRVTDEILHLVPNKETFRLTLRAVKLWAKRRGIYSNML GFLGGVSWAMLVARTCQLYPNAAASTLVHKFFLVFSKWEWPNPVLLKQPEESNLNLPVWD PRVNPSDRYHLMPIITPAYPQQNSTYNVSTSTRTVMVEEFKQGLAVTDEILQGKSDWSKL LEPPNFFQKYRHYIVLTASASTEENHLEWVGLVESKIRVLVGNLERNEFITLAHVNPQSF PGNKEHHKA >ENSMUSP00000115518.1 pep:known chromosome:GRCm38:11:23865244:23868470:-1 gene:ENSMUSG00000020273.13 transcript:ENSMUST00000145013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papolg description:poly(A) polymerase gamma [Source:MGI Symbol;Acc:MGI:2442119] XANNINMLKDGMKIEATHVKKKQLHHYLPAEILQKKKKSLSDVSRSSGGLQSKRSSLDST CLDSSRDTDSGTPFNSPVSANKPSNPDSPTGEIERSSAEPVAVVVEKLPSVPPAQGLSIP VIGAKVDPTAKAVSSPAVCTIPTVVGRNVIPRITTPHNPVQGQPHLNGISNITKNVTPKR SHSPPTDGTSKRLKDIEKFIRLESAFKESRAAEDRKRKPMDSIGGESMPIPTIDTARKKV IDDNISMGKNKYKKMY >ENSMUSP00000145172.1 pep:known chromosome:GRCm38:6:48589610:48613250:1 gene:ENSMUSG00000107588.1 transcript:ENSMUST00000204521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-124B23.17 MESGLAG >ENSMUSP00000029785.2 pep:known chromosome:GRCm38:3:94464983:94473591:-1 gene:ENSMUSG00000028139.5 transcript:ENSMUST00000029785.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Riiad1 description:regulatory subunit of type II PKA R-subunit (RIIa) domain containing 1 [Source:MGI Symbol;Acc:MGI:1913603] MECSASAPEGREEAWISFGKHNNRVCLMETRGHGIVGPDPGTLNPEQLEQLRDFKIQTRI ANEKYLRTHKEVSLLISGFFREMFLKRPDNILEFAAHYFTDPRLPSRIHMQLIKEKKGT >ENSMUSP00000026896.4 pep:known chromosome:GRCm38:7:73939119:74013674:-1 gene:ENSMUSG00000025789.9 transcript:ENSMUST00000026896.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St8sia2 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:106020] MQLQFRSWMLAALTLLVVFLIFADISEIEEEIGNSGGRGTIRSAVNSLHSKSNRAEVVIN GSSPPAVADRSNESLKHNIQPASSKWRHNQTLSLRIRKQILKFLDAEKDISVLKGTLKPG DIIHYIFDRDSTMNVSQNLYELLPRTSPLKNKHFQTCAIVGNSGVLLNSGCGQEIDTHSF VIRCNLAPVQEYARDVGLKTDLVTMNPSVIQRAFEDLVNATWREKLLQRLHGLNGSILWI PAFMARGGKERVEWVNALILKHHVNVRTAYPSLRLLHAVRGYWLTNKVHIKRPTTGLLMY TLATRFCNQIYLYGFWPFPLDQNQNPVKYHYYDSLKYGYTSQASPHTMPLEFKALKSLHE QGALKLTVGQCDGAT >ENSMUSP00000141307.1 pep:known chromosome:GRCm38:7:73960851:74013690:-1 gene:ENSMUSG00000025789.9 transcript:ENSMUST00000191970.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St8sia2 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:106020] MQLQFRSWMLAALTLLVVFLIFADISEIEEEIGAEVVINGSSPPAVADRSNESLKHNIQP ASSKWRHNQTLSLRIRKQILKFLDAEKDISVLKGTLKPGDIIHYIFDRDSTMNVSQNLYE LLPRTSPLKNKHFQTCAIVGNSGVLLNSGCGQEIDTHSFVIRCNLAPVQEYARDVGLKTD LVTMNPSVIQRAFEDLVNATWREKLL >ENSMUSP00000121975.1 pep:known chromosome:GRCm38:6:48593883:48597387:1 gene:ENSMUSG00000052751.16 transcript:ENSMUST00000154010.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Repin1 description:replication initiator 1 [Source:MGI Symbol;Acc:MGI:1889817] MLEQRCRGPTAMGPAQPWLFSGPSQESSQPDRGLRYQGKSAQPRGQTPGKVHRCAHCRKR FPGWVALWLHARRCQARLPLPCHECNQRFRHAPFLALHLQVHASAVPDLGFICHLCGHSF RGWVALVLHLRAHSASKRPITCPECDRRFWRQKQLRAHLRRCQPPVPEARPFICGNCGRS FAQWDQLVVHKRVHVAEALEEAAAKALGPRPRGRPAAPRPGGDAVDRPFQCACCGKRFRH KPNLIAHRRVHTGERPHQCPECGKRFTNKPYLTSHRRIHTGEKPYPCTECGRRFRHKPNL LSHSKIHKRLEVSAQAAPHPESHQIAAEPMAQPALGVPLGSPRTPAEAPALLHSCSDCGR >ENSMUSP00000113548.2 pep:known chromosome:GRCm38:6:48593891:48599082:1 gene:ENSMUSG00000052751.16 transcript:ENSMUST00000118229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Repin1 description:replication initiator 1 [Source:MGI Symbol;Acc:MGI:1889817] MGIGMSLLLQFSLSSGGYRSVGRSSRSLPRNIPRRSWKKPHPQLFSLQAEEEPMLEQRCR GPTAMGPAQPWLFSGPSQESSQPDRGLRYQGKSAQPRGQTPGKVHRCAHCRKRFPGWVAL WLHARRCQARLPLPCHECNQRFRHAPFLALHLQVHASAVPDLGFICHLCGHSFRGWVALV LHLRAHSASKRPITCPECDRRFWRQKQLRAHLRRCQPPVPEARPFICGNCGRSFAQWDQL VVHKRVHVAEALEEAAAKALGPRPRGRPAAPRPGGDAVDRPFQCACCGKRFRHKPNLIAH RRVHTGERPHQCPECGKRFTNKPYLTSHRRIHTGEKPYPCTECGRRFRHKPNLLSHSKIH KRLEVSAQAAPHPESHQIAAEPMAQPALGVPLGSPRTPAEAPALLHSCSDCGRSFRLERF LRLHQRQHTGERPFACTECGKNFGKKTHLVAHSRVHSGERPFACEECGRRFSQGSHLAAH RRDHAPERPFVCPDCGKAFRHKPYLAAHRRIHTGEKPYVCPDCGKAFSQKSNLVSHRRIH TGERPYACPDCDRSFSQKSNLITHRKSHIRDGAFCCAICGQTFDDEDRLLMHQKKHDA >ENSMUSP00000118890.2 pep:known chromosome:GRCm38:6:48593900:48599081:1 gene:ENSMUSG00000052751.16 transcript:ENSMUST00000135151.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Repin1 description:replication initiator 1 [Source:MGI Symbol;Acc:MGI:1889817] MDGRCVPGGHAGEFSLSSGGYRSVGRSSRSLPRNIPRRSWKKPHPQLFSLQAEEEPMLEQ RCRGPTAMGPAQPWLFSGPSQESSQPDRGLRYQGKSAQPRGQTPGKVHRCAHCRKRFPGW VALWLHARRCQARLPLPCHECNQRFRHAPFLALHLQVHASAVPDLGFICHLCGHSFRGWV ALVLHLRAHSASKRPITCPECDRRFWRQKQLRAHLRRCQPPVPEARPFICGNCGRSFAQW DQLVVHKRVHVAEALEEAAAKALGPRPRGRPAAPRPGGDAVDRPFQCACCGKRFRHKPNL IAHRRVHTGERPHQCPECGKRFTNKPYLTSHRRIHTGEKPYPCTECGRRFRHKPNLLSHS KIHKRLEVSAQAAPHPESHQIAAEPMAQPALGVPLGSPRTPAEAPALLHSCSDCGRSFRL ERFLRLHQRQHTGERPFACTECGKNFGKKTHLVAHSRVHSGERPFACEECGRRFSQGSHL AAHRRDHAPERPFVCPDCGKAFRHKPYLAAHRRIHTGEKPYVCPDCGKAFSQKSNLVSHR RIHTGERPYACPDCDRSFSQKSNLITHRKSHIRDGAFCCAICGQTFDDEDRLLMHQKKHD A >ENSMUSP00000009420.8 pep:known chromosome:GRCm38:6:48593883:48599081:1 gene:ENSMUSG00000052751.16 transcript:ENSMUST00000009420.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Repin1 description:replication initiator 1 [Source:MGI Symbol;Acc:MGI:1889817] MLEQRCRGPTAMGPAQPWLFSGPSQESSQPDRGLRYQGKSAQPRGQTPGKVHRCAHCRKR FPGWVALWLHARRCQARLPLPCHECNQRFRHAPFLALHLQVHASAVPDLGFICHLCGHSF RGWVALVLHLRAHSASKRPITCPECDRRFWRQKQLRAHLRRCQPPVPEARPFICGNCGRS FAQWDQLVVHKRVHVAEALEEAAAKALGPRPRGRPAAPRPGGDAVDRPFQCACCGKRFRH KPNLIAHRRVHTGERPHQCPECGKRFTNKPYLTSHRRIHTGEKPYPCTECGRRFRHKPNL LSHSKIHKRLEVSAQAAPHPESHQIAAEPMAQPALGVPLGSPRTPAEAPALLHSCSDCGR SFRLERFLRLHQRQHTGERPFACTECGKNFGKKTHLVAHSRVHSGERPFACEECGRRFSQ GSHLAAHRRDHAPERPFVCPDCGKAFRHKPYLAAHRRIHTGEKPYVCPDCGKAFSQKSNL VSHRRIHTGERPYACPDCDRSFSQKSNLITHRKSHIRDGAFCCAICGQTFDDEDRLLMHQ KKHDA >ENSMUSP00000132365.1 pep:known chromosome:GRCm38:6:48593883:48599081:1 gene:ENSMUSG00000052751.16 transcript:ENSMUST00000163452.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Repin1 description:replication initiator 1 [Source:MGI Symbol;Acc:MGI:1889817] MLEQRCRGPTAMGPAQPWLFSGPSQESSQPDRGLRYQGKSAQPRGQTPGKVHRCAHCRKR FPGWVALWLHARRCQARLPLPCHECNQRFRHAPFLALHLQVHASAVPDLGFICHLCGHSF RGWVALVLHLRAHSASKRPITCPECDRRFWRQKQLRAHLRRCQPPVPEARPFICGNCGRS FAQWDQLVVHKRVHVAEALEEAAAKALGPRPRGRPAAPRPGGDAVDRPFQCACCGKRFRH KPNLIAHRRVHTGERPHQCPECGKRFTNKPYLTSHRRIHTGEKPYPCTECGRRFRHKPNL LSHSKIHKRLEVSAQAAPHPESHQIAAEPMAQPALGVPLGSPRTPAEAPALLHSCSDCGR SFRLERFLRLHQRQHTGERPFACTECGKNFGKKTHLVAHSRVHSGERPFACEECGRRFSQ GSHLAAHRRDHAPERPFVCPDCGKAFRHKPYLAAHRRIHTGEKPYVCPDCGKAFSQKSNL VSHRRIHTGERPYACPDCDRSFSQKSNLITHRKSHIRDGAFCCAICGQTFDDEDRLLMHQ KKHDA >ENSMUSP00000018877.2 pep:known chromosome:GRCm38:11:83294642:83298977:-1 gene:ENSMUSG00000018733.10 transcript:ENSMUST00000018877.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex12 description:peroxisomal biogenesis factor 12 [Source:MGI Symbol;Acc:MGI:2144177] MAEYGAHITTASVADDQPSIFEVVAQDSLMTAVRPALQHVVKVLAESNPAHYGFLWRWFD EIFTLLDFLLQQHYLSRTSASFSEHFYGLKRIVAGSSPHLQRPASAGLPKEHLWKSAMFL VLLPYLKVKLEKLASSLREEDEYSIHPPSSRWKRFYRAFLAAYPFVNMAWEGWFLTQQLR YILGKAEHHSPLLKLAGVRLARLTAQDMQAIKQRLVEASAMQEPVRSVGEKIKSALKKAV GGVALSLSTGLSVGVFFLQFLDWWYSSENQEAIKSLTALPTPPPPVHLDYNSDSPLLPKM KTVCPLCRKTRVNDTVLATSGYVFCYRCVFNYVRSHQACPITGYPTEVQHLIKLYSPEN >ENSMUSP00000135145.1 pep:known chromosome:GRCm38:11:83295075:83298895:-1 gene:ENSMUSG00000018733.10 transcript:ENSMUST00000175741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex12 description:peroxisomal biogenesis factor 12 [Source:MGI Symbol;Acc:MGI:2144177] MAEYGAHITTASVADDQPSIFEVVAQDSLMTAVRPALQHVVKVLAESNPAHYGFLWRWFD EIFTLLDFLLQQHYLSRTSASFSEHFYGLKRIVAGSSPHLQRPASAGLPKEHLWKSAMFL VLLPYLKVKLEKLASSLREEDEYSIHPPSSRWKRFYRAFLAAYPFVNMAWEGWFLTQQLR YILGKAEHHSPLLKLAGVRLARLTAQDMQAIKQRLVEASAMQEPVRSVGEKIKSALKKAV GGVALSLSTGLSVGVFFLQFLDWWYSSENQEAIKSLTALPTPPPPVHLDYNSDSPLLPKM KTVCPLCRKTRVNDTVLATSGYVFCYRCVFNYVRSHQACPITGYPTEVQHLIKLYSPEN >ENSMUSP00000103781.1 pep:known chromosome:GRCm38:11:83295075:83298942:-1 gene:ENSMUSG00000018733.10 transcript:ENSMUST00000108146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex12 description:peroxisomal biogenesis factor 12 [Source:MGI Symbol;Acc:MGI:2144177] MAEYGAHITTASVADDQPSIFEVVAQDSLMTAVRPALQHVVKVLAESNPAHYGFLWRWFD EIFTLLDFLLQQHYLSRTSASFSEHFYGLKRIVAGSSPHLQRPASAGLPKEHLWKSAMFL VLLPYLKVKLEKLASSLREEDEYSIHPPSSRWKRFYRAFLAAYPFVNMAWEGWFLTQQLR YILGKAEHHSPLLKLAGVRLARLTAQDMQAIKQRLVEASAMQEPVRSVGEKIKSALKKAV GGVALSLSTGLSVGVFFLQFLDWWYSSENQEAIKSLTALPTPPPPVHLDYNSDSPLLPKM KTVCPLCRKTRVNDTVLATSGYVFCYRCVFNYVRSHQACPITGYPTEVQHLIKLYSPEN >ENSMUSP00000135632.1 pep:known chromosome:GRCm38:11:83295076:83298897:-1 gene:ENSMUSG00000018733.10 transcript:ENSMUST00000176518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex12 description:peroxisomal biogenesis factor 12 [Source:MGI Symbol;Acc:MGI:2144177] MAEYGAHITTASVADDQPSIFEVVAQDSLMTAVRPALQHVVKVLAESNPAHYGFLWRWFD EIFTLLDFLLQQHYLSRTSASFSEHFYGLKRIVAGSSPHLQRPASAGLPKEHLWKSAMFL VLLPYLKVKLEKLASSLREEDEYSIHPPSSRWKRFYRAFLAAYPFVNMAWEGWFLTQQLR YILGKAEHHSPLLKLAGVRLARLTAQDMQAIKQRLVEASAMQEPVRSVGEKIKSALKKAV GGVALSLSTGLSVGVFFLQFLDWWYSSENQEAIKSLTALPTPPPPVHLDYNSDSPLLPKM KTVCPLCRKTRVNDTVLATSGYVFCYRCVFNYVRSHQACPITGYPTEVQHLIKLYSPEN >ENSMUSP00000134965.1 pep:known chromosome:GRCm38:11:83298030:83302586:-1 gene:ENSMUSG00000018733.10 transcript:ENSMUST00000176374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex12 description:peroxisomal biogenesis factor 12 [Source:MGI Symbol;Acc:MGI:2144177] MAEYGAHITTASVADDQPSIFEVVAQDSLMTAVRPALQHVVKVLAE >ENSMUSP00000118829.1 pep:known chromosome:GRCm38:11:83298329:83298958:-1 gene:ENSMUSG00000018733.10 transcript:ENSMUST00000136369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex12 description:peroxisomal biogenesis factor 12 [Source:MGI Symbol;Acc:MGI:2144177] MAEYGAHITTASVADDQPSIFEVVAQDSLMTAVRPAL >ENSMUSP00000034749.8 pep:known chromosome:GRCm38:9:70089310:70141578:-1 gene:ENSMUSG00000032224.14 transcript:ENSMUST00000034749.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam81a description:family with sequence similarity 81, member A [Source:MGI Symbol;Acc:MGI:1924136] MHLRRVKTMPRHSQSLTMAPYSSVSLVEQLEDRILCHEKTTAALVEHAFRIKDDIVSSLQ KMQNKGGGDRLARLFLEEHIRNITAIVKQLNRDIEVLQEQIRARDNISYGTNSALKTLEM RQLSGLGDLRGRVARCDASIARLSAEHKSTYEGLQHLNKEQQAAKLILETKIKDAEGQIS QLLSRVDLSISEQSTKLKMSHRDSNHQLQLLDTKFKGTVEELSNQILSARSWLQQEQERI EKELLQKIDHLSLIVKENSGANERDVEKKLSQMSARLDKIEESQKRNAEGQRKPDEEKVH GRISKLELQMTEDMKEMKAEVNAGFSAIYESIGSLRQVLEAKMKLDRDQLQKQIQQMQKP ETAM >ENSMUSP00000114179.1 pep:known chromosome:GRCm38:9:70124996:70142560:-1 gene:ENSMUSG00000032224.14 transcript:ENSMUST00000141775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam81a description:family with sequence similarity 81, member A [Source:MGI Symbol;Acc:MGI:1924136] MHLRRVKTMPRHSQSLTMAPYSSVSLVEQLEDRILCHEKTTAALVEHAFRIKDDIVSSLQ KMQNKGGGDRL >ENSMUSP00000123535.1 pep:known chromosome:GRCm38:9:70124965:70141803:-1 gene:ENSMUSG00000032224.14 transcript:ENSMUST00000123206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam81a description:family with sequence similarity 81, member A [Source:MGI Symbol;Acc:MGI:1924136] MHLRRVKTMPRHSQSLTMAPYSSVSLVEQLEDRILCHEKTTAALVEHAFRIKDDIVSSLQ KMQNKGGGDRLARLFLEEHIR >ENSMUSP00000024857.6 pep:known chromosome:GRCm38:17:72918305:72941942:1 gene:ENSMUSG00000024063.12 transcript:ENSMUST00000024857.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbh description:limb-bud and heart [Source:MGI Symbol;Acc:MGI:1925139] MSVYFPIHCSDYLRSAEMTEVMMNAPSMEEIGLSPRKDGLSYQIFPDPSDFDRCCKLKDR LPSIVVEPTEGEVESGELRWPPEEFLVQEDEQDNCEETTNEKKDQ >ENSMUSP00000123062.1 pep:known chromosome:GRCm38:17:72921188:72939345:1 gene:ENSMUSG00000024063.12 transcript:ENSMUST00000148556.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lbh description:limb-bud and heart [Source:MGI Symbol;Acc:MGI:1925139] XSDYLRSAEMTEVMMNAPSMEEIGLSPRKDGLSYQGLGRFVRPVILRSPTRASECI >ENSMUSP00000138396.1 pep:known chromosome:GRCm38:14:11280735:11356726:-1 gene:ENSMUSG00000097148.2 transcript:ENSMUST00000181173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3839 description:predicted pseudogene 3839 [Source:MGI Symbol;Acc:MGI:3782011] MVKVGVNGFGRIGRLVTRAAICSGKVEIVAINDPFIDLNYMVYMFQYDSTHGKFNGTVKA ENGKLVINGKPITIFQERDPTNIKWGEAGAEYVVESTGVFTTMEKAGAHLKGGAKRVIIS APSADAPMFVMGVNHEKYDNSLKIVSNASCTTNCLSPLAKVIHDNFGIVEGLMTTVHAIT ATQKTVDGPSGKLWRDGRGAAQNIIPASTGAAKAVGKVIPELNGKLTGMAFRVPTPNVSV VDLTCRLENPAKYDDIKKVVKQASEGPLKGILGYTEDQVVSCDFNSNSHSSTFDAGAGIA LNDNFVKLISWYDNEYGYSNRVVDLMAYMASKE >ENSMUSP00000147019.1 pep:known chromosome:GRCm38:7:100501716:100514960:-1 gene:ENSMUSG00000030708.14 transcript:ENSMUST00000207405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb13 description:DnaJ heat shock protein family (Hsp40) member B13 [Source:MGI Symbol;Acc:MGI:1916637] MGLDYYAVLQVTRNSEDAQIKKAYRKLALKNHPLKSSEPGAPEIFKQIAEAYDVLSDPVK RGIYDKFGEEGLKGGIPLEFGSQTPWTTGYVFHGNPDKVFHEFFGGDNPFSEFFDAEGND IDLNFGGLWGRGVQKQDPPIERDLYLSLEDLFFGCTKKIKISRRVLNEDRYSSTIKDKIL TIDVRPGWRQGTRITFEKEGDQGPNIIPADIIFIVKEKLHPRFRREHDNLFFVYPIPLGK ALTCCTVEVKTLDDRLLNIPINDIVHPKYFKIVPGEGMPLPENPSKKGDLFIFFDIQFPT RLTPQKKQMLRQALLT >ENSMUSP00000059074.8 pep:known chromosome:GRCm38:7:100503079:100511781:-1 gene:ENSMUSG00000030708.14 transcript:ENSMUST00000054923.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb13 description:DnaJ heat shock protein family (Hsp40) member B13 [Source:MGI Symbol;Acc:MGI:1916637] XIAEAYDVLSDPVKRGIYDKFGEEGLKGGIPLEFGSQTPWTTGYVFHGNPDKVFHEFFGG DNPFSEFFDAEGNDIDLNFGGLWGRGVQKQDPPIERDLYLSLEDLFFGCTKKIKISRRVL NEDRYSSTIKDKILTIDVRPGWRQGTRITFEKEGDQEKLHPRFRREHDNLFFVYPIPLGK ALTCCTVEVKTLDDRLLNIPINDIVHPKYFKIVPGEGMPLPENPSKKGDLFIFFDIQFPT RLTPQKKQMLRQALLT >ENSMUSP00000146416.1 pep:known chromosome:GRCm38:7:100503081:100514796:-1 gene:ENSMUSG00000030708.14 transcript:ENSMUST00000154516.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajb13 description:DnaJ heat shock protein family (Hsp40) member B13 [Source:MGI Symbol;Acc:MGI:1916637] MGLDYYAVLQVTRNSEDAQIKKAYRKLALKNHPLKSSEPGAPEIFKQIAEAYDVLSDPVK RGIYDKFGEEGLKGGIPLEFGSQTPWTTGYVFHGNPDKVFHEFFGGDNPFSGTK >ENSMUSP00000052287.3 pep:known chromosome:GRCm38:8:83313022:83332486:-1 gene:ENSMUSG00000035151.12 transcript:ENSMUST00000053902.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmod2 description:ELMO/CED-12 domain containing 2 [Source:MGI Symbol;Acc:MGI:2445165] MFVSLWEFFYGHFFRFWMKWLLRQMTGKCELQRIFDTYGGAQRTYRIENSLTYSKNKVLQ NATRVAQSELDRCIANIMKEKNICSEKDTSFQICMRTCLLQITGYKQLYHDVENVRKKPY DSANAQHEKMLLKLWSLLMPTKKLTARISKQWADIGFQGDDPKTDFRGMGILGLINLVYF SENYTSEAHQILSRSNHPKLGYSYAIVGINLTEMAYSLLKSEALKLHLYNFVPGVPTMEH FHQFYCYLVYEFDKFWLEEEPESIMYFNLYREKFHERIKGLLMDCNAVLTLKT >ENSMUSP00000137044.1 pep:known chromosome:GRCm38:8:83312632:83332486:-1 gene:ENSMUSG00000035151.12 transcript:ENSMUST00000177594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmod2 description:ELMO/CED-12 domain containing 2 [Source:MGI Symbol;Acc:MGI:2445165] MFVSLWEFFYGHFFRFWMKWLLRQMTGKCELQRIFDTYGGAQRTYRIENSLTYSKNKVLQ NATRVAQSELDRCIANIMKEKNICSEKDTSFQICMRTCLLQITGYKQLYHDVENVRKKPY DSANAQHEKMLLKLWSLLMPTKKLTARISKQWADIGFQGDDPKTDFRGMGILGLINLVYF SENYTSEAHQILSRSNHPKLGYSYAIVGINLTEMAYSLLKSEALKLHLYNFVPGVPTMEH FHQFYCYLVYEFDKFWLEEEPESIMYFNLYREKFHERIKGLLMDCNAVLTLKT >ENSMUSP00000083652.6 pep:known chromosome:GRCm38:5:117378103:117389047:-1 gene:ENSMUSG00000029363.14 transcript:ENSMUST00000086461.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc5 description:replication factor C (activator 1) 5 [Source:MGI Symbol;Acc:MGI:1919401] MTAAAPSQQRPAAARARNLPWVEKYRPQTLADLISHQDILSTIQKFISEDRLPHLLLYGP PGTGKTSTILACAKQLYKDKEFGSMVLELNASDDRGIDIVRGPILSFASTRTIFKKGFKL VILDEADAMTQDAQNALRRVIEKFTENTRFCLICNYLSKIIPALQSRCTRFRFGPLTPEL MVPRLEHVVQEENVDISEDGMKALVTLSSGDMRRALNILQSTNMAFGKVTEETVYTCTGH PLKTDIANILDWMLNQDFTTAYKNIMELKTLKGLALHDILTEVHLFVHRVDFPSSVRIHL LTKMADIEYRLSVGTSEKIQLSSLIAAFQVTRDLIVAEA >ENSMUSP00000107584.1 pep:known chromosome:GRCm38:5:117386281:117389045:-1 gene:ENSMUSG00000029363.14 transcript:ENSMUST00000111953.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc5 description:replication factor C (activator 1) 5 [Source:MGI Symbol;Acc:MGI:1919401] MTAAAPSQQRPAAARARNLPWVEKYRPQTLADLISHQDILSTIQKFISEDRLPHLLLYGP PGTGKTSTILACAKQLYKDKEFGSMVLEVKETLSLHNSSDLQTLNLCPSFIP >ENSMUSP00000099632.1 pep:known chromosome:GRCm38:11:70092644:70106187:1 gene:ENSMUSG00000040963.15 transcript:ENSMUST00000102572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asgr2 description:asialoglycoprotein receptor 2 [Source:MGI Symbol;Acc:MGI:88082] MEKDCQDIQQLDSEENDHQLSGDDEHGSHVQDPRIENPHWKGQPLSRPFPQRLCSTFRLS LLALAFNILLLVVICVVSSQSIQLQEEFRTLKETFSNFSSSTLMEFGALDTLGGSTNAIL TSWLAQLEEKQQQLKADHSTLLFHLKHFPMDLRTLTCQLAYFQSNGTECCPVNWVEFGGS CYWFSRDGLTWAEADQYCQLENAHLLVINSREEQDFVVKHRSQFHIWIGLTDRDGSWKWV DGTDYRSNYRNWAFTQPDNWQGHEQGGGEDCAEILSDGHWNDNFCQQVNRWVCEKRRNIT H >ENSMUSP00000136505.1 pep:known chromosome:GRCm38:11:70092683:70105861:1 gene:ENSMUSG00000040963.15 transcript:ENSMUST00000143772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asgr2 description:asialoglycoprotein receptor 2 [Source:MGI Symbol;Acc:MGI:88082] MEFGALDTLGGSTNAILTSWLAQLEEKQQQLKADHSTLLFHLKHFPMDLRTLTCQLAYFQ SNGTECCPVNWVEFGGSCYWFSRDGLTWAEADQYCQLENAHLLVINSREEQDFVVKHRSQ FHIWIGLTDRDGSWKWVDGTDYRSNYRNWAFTQPDNWQGHEQGGGEDCAEILSDGHWNDN FCQQVNRWVCEK >ENSMUSP00000121189.1 pep:known chromosome:GRCm38:11:70092748:70105411:1 gene:ENSMUSG00000040963.15 transcript:ENSMUST00000124721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asgr2 description:asialoglycoprotein receptor 2 [Source:MGI Symbol;Acc:MGI:88082] MEKDCQDIQQLDSEENDHQLSGDDEHGSHVQDPRIENPHWKGQPLSRPFPQRLCSTFRLS LLALAFNILLLVVICVVSSQSIQLQEEFRTLKETFSNFSSSTLMEFGALDTLGGSTNAIL TSWLAQLEEKQQQLKADHSTLLFHLKHFPMDLRTLTCQLAYFQSNGTECCPVNWVEFGGS CYWFSRDGLTWAEADQYCQLENAHLLVINSREEQDFVVKHRSQFHI >ENSMUSP00000139187.1 pep:known chromosome:GRCm38:9:72979722:72985376:-1 gene:ENSMUSG00000086158.2 transcript:ENSMUST00000124565.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccpg1os description:cell cycle progression 1, opposite strand [Source:MGI Symbol;Acc:MGI:3648770] MARETDCDLDKKTSLTSDAEMRPEPPALCVNPGNPVFSCMLDPKTLHTATSLSKAQMIMY KTSASQYGAFSPRPFFFPCKFLPQEQAFTEHLKTTGFYQNNSLNVGPDRTRTIDSPNYQH TL >ENSMUSP00000064804.3 pep:known chromosome:GRCm38:6:48589445:48590584:1 gene:ENSMUSG00000052730.6 transcript:ENSMUST00000064744.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5111 description:predicted gene 5111 [Source:MGI Symbol;Acc:MGI:3645688] MLTIGKSLALQPQLTSNLRSSCLGPLNAKIIDVCCHSSPPSLLSFVTKYLQLRNLQGKRL TLAQHPEDREVQDVTSGKDHIEFHNVVELKRHAWKRKHKRYPCFVTTCFHENQPCPVGKA LISLSTAQPSLKSPITRLLHLPPSLLSMQDLDLAVSVSFRRSQTSLLTLDLLQLFGASVE NFLSSVSTNS >ENSMUSP00000026891.4 pep:known chromosome:GRCm38:9:123113215:123136129:1 gene:ENSMUSG00000025785.4 transcript:ENSMUST00000026891.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc7 description:exosome component 7 [Source:MGI Symbol;Acc:MGI:1913696] MASVALSEAEKVYIVHGVQEDLRVDGRGCEDYRCVEVETDVVSNTSGSARVKLGHTDILV GVKAEMGTPKLEKPNEGYLEFFVDCSANATPEFEGRGGDDLGTEIANTLYRIFNNKSSVD LRSLCISPREHCWVLYVDVLLLECGGNLFDAISIAVKAALFNTRIPRVRVLEDEEGAKDI ELSDDPYDCIRLSVENVPCIVTLCKIGCRHVVDATLQEEACSLASLLVSVTSKGVVTCMR KVGKGSLDPESIFEMMESSKRVGKVLHVSLQSLLHKEESLGPKRPRVGFLG >ENSMUSP00000097728.1 pep:known chromosome:GRCm38:2:36812149:36813090:-1 gene:ENSMUSG00000075384.1 transcript:ENSMUST00000100151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr3 description:olfactory receptor 3 [Source:MGI Symbol;Acc:MGI:102697] MRLKNHSSVSEFLLLGFPIRPEQGGIFFSLFLAMYLITVLGNLLIILLIRLDSHLHTPMY FFLSHLAFTDISFSSVTVPKMLTKVQNQPIPITYEECVSQTYFFIFFADLDSFLITSMAY DRYMAICHPLHYITIMSQSRCAMLVAVSWVIASACALLHSLLLDQLSFCADHTVPHFFCD LGALLKLSCSDTSLNQLVIFTAGLAAIMLPFLCILISYGRIGFTILQVPTTKGICKALST CGSHLSVVALYYGSIIGLYFLPPSNSKINNNIVASVMYTVVTPMLNPFIYSLRNKDMKGA LKKLLSKKTEFSK >ENSMUSP00000142967.1 pep:known chromosome:GRCm38:3:94478295:94485318:1 gene:ENSMUSG00000028137.9 transcript:ENSMUST00000199884.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf3 description:CUGBP, Elav-like family member 3 [Source:MGI Symbol;Acc:MGI:1926034] MNRPIQVKPADSESRGEDRKLFVGMLGKQQT >ENSMUSP00000142412.1 pep:known chromosome:GRCm38:3:94478296:94492188:1 gene:ENSMUSG00000028137.9 transcript:ENSMUST00000198316.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf3 description:CUGBP, Elav-like family member 3 [Source:MGI Symbol;Acc:MGI:1926034] MNRPIQVKPADSESRGDRKLFVGMLGKQQTDEDVRKMFEPFGTIDECTVLRGPDGTSKGC AFVKFQTHAEAQAAINTLHSSRTLPGASSSLVVKFADTEKERGLRRMQQVATQLGMFSPI ALQFGAYSAYTQALMQQQAALVAAHSAYLSPMATMAAVQMQHMAAISANGLIATPITPSS GTSTPPAIAATPVSAIPAALGVNGYSPVPTQPTGQPAPDALYPNGVHPYPAQSPAAPVDP LQQAYAGMQHYTAAYPAAYSLVAPAFPQPPALVAQQPPPPPQQQQQQQQQQQQQQQQREG PDGCNIFIYHLPQEFTDSEILQMFVPFGHVISAKVFVDRATNQSKCFGFVSFDNPASAQA AIQAMNGFQIGMKRLKVQLKRPKDANRPY >ENSMUSP00000143733.1 pep:known chromosome:GRCm38:3:94478335:94492193:1 gene:ENSMUSG00000028137.9 transcript:ENSMUST00000197558.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf3 description:CUGBP, Elav-like family member 3 [Source:MGI Symbol;Acc:MGI:1926034] MNRPIQVKPADSESRGDRKLFVGMLGKQQTDEDVRKMFEPFGTIDECTVLRGPDGTSKGC AFVKFQTHAEAQAAINTLHSSRTLPGASSSLVVKFADTEKERGLRRMQQVATQLGMFSPI ALQFGAYSAYTQALMQQQAALVAAHSAYLSPMATMAAVQMQHMAAISANGLIATPITPSS GTSTPPAIAATPVSAIPAALGVNGYSPVPTQPTGQPAPDALYPNGVHPYPDEALSAERSA GGVPIMSQAHSWLVMLSAAQSPAAPVDPLQQAYAGMQHYTGPDGCNIFIYHLPQEFTDSE ILQMFVPFGHVISAKVFVDRATNQSKCFGFVSFDNPASAQAAIQAMNGFQIGMKRLKVQL KRPKDANRPY >ENSMUSP00000143344.1 pep:known chromosome:GRCm38:3:94478494:94492198:1 gene:ENSMUSG00000028137.9 transcript:ENSMUST00000200342.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf3 description:CUGBP, Elav-like family member 3 [Source:MGI Symbol;Acc:MGI:1926034] MKEPDAIKLFVGQIPRHLEEKDLKPIFEQFGRIFELTVIKDKYTGLHKGCAFLTYCARDS ALKAQSALHEQKTLPGMNRPIQVKPADSESRGEDRKLFVGMLGKQQTDEDVRKMFEPFGT IDECTVLRGPDGTSKGCAFVKFQTHAEAQAAINTLHSSRTLPGASSSLVVKFADTEKERG LRRMQQVATQLGMFSPIALQFGAYSAYTQALMQQQAALVAAHSAYLSPMATMAAVQMQHM AAISANGLIATPITPSSGTSTPPAIAATPVSAIPAALGVNGYSPVPTQPTGQPAPDALYP NGVHPYPDEALSAERSAGGVPIMSQAHSWLVMLSAAQSPAAPVDPLQQAYAGMQHYTAAY PAAYSLVAPAFPQPPALVAQQPPPPPQQQQQQQQQQQQQQQQREGPDGCNIFIYHLPQEF TDSEILQMFVPFGHVISAKVFVDRATNQSKCFGFVSFDNPASAQAAIQAMNGFQIGMKRL KVQLKRPKDANRPY >ENSMUSP00000029784.5 pep:known chromosome:GRCm38:3:94478831:94492193:1 gene:ENSMUSG00000028137.9 transcript:ENSMUST00000029784.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf3 description:CUGBP, Elav-like family member 3 [Source:MGI Symbol;Acc:MGI:1926034] MKEPDAIKLFVGQIPRHLEEKDLKPIFEQFGRIFELTVIKDKYTGLHKGCAFLTYCARDS ALKAQSALHEQKTLPGMNRPIQVKPADSESRGDRKLFVGMLGKQQTDEDVRKMFEPFGTI DECTVLRGPDGTSKGCAFVKFQTHAEAQAAINTLHSSRTLPGASSSLVVKFADTEKERGL RRMQQVATQLGMFSPIALQFGAYSAYTQALMQQQAALVAAHSAYLSPMATMAAVQMQHMA AISANGLIATPITPSSGTSTPPAIAATPVSAIPAALGVNGYSPVPTQPTGQPAPDALYPN GVHPYPAQSPAAPVDPLQQAYAGMQHYTAAYPAAYSLVAPAFPQPPALVAQQPPPPPQQQ QQQQQQQQQQQQQREGPDGCNIFIYHLPQEFTDSEILQMFVPFGHVISAKVFVDRATNQS KCFGFVSFDNPASAQAAIQAMNGFQIGMKRLKVQLKRPKDANRPY >ENSMUSP00000143532.1 pep:known chromosome:GRCm38:3:94479078:94492194:1 gene:ENSMUSG00000028137.9 transcript:ENSMUST00000199775.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf3 description:CUGBP, Elav-like family member 3 [Source:MGI Symbol;Acc:MGI:1926034] MKEPDAIKLFVGQIPRHLEEKDLKPIFEQFGRIFELTVIKDKYTGLHKGCAFLTYCARDS ALKAQSALHEQKTLPGMNRPIQVKPADSESRGEDRKLFVGMLGKQQTDEDVRKMFEPFGT IDECTVLRGPDGTSKGCAFVKFQTHAEAQAAINTLHSSRTLPGASSSLVVKFADTEKERG LRRMQQVATQLGMFSPIALQFGAYSAYTQALMQQQAALVAAHSAYLSPMATMAAVQMQHM AAISANGLIATPITPSSAQSPAAPVDPLQQAYAGMQHYTAAYPAAYSLVAPAFPQPPALV AQQPPPPPQQQQQQQQQQQQQQQQREGPDGCNIFIYHLPQEFTDSEILQMFVPFGHVISA KVFVDRATNQSKCFGFVSFDNPASAQAAIQAMNGFQIGMKRLKVQLKRPKDANRPY >ENSMUSP00000143089.1 pep:known chromosome:GRCm38:3:94484364:94486939:1 gene:ENSMUSG00000028137.9 transcript:ENSMUST00000197677.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf3 description:CUGBP, Elav-like family member 3 [Source:MGI Symbol;Acc:MGI:1926034] MNRPIQVKPADSESRGEDRKLFVGMLGKQQTDEDVRKMFEPFGTIDECTVLRGPDGTSKG CAFVKFQTHAEAQAAINTLHSSRTLPGASSSLVVKFADTEKERGLRRMQQVATQLGMFSP IALQFGAYSAYTQALMQQQAALVAAHSAYLSPMATMAAVQMQHMAAISANGLIATPITPS SG >ENSMUSP00000142542.1 pep:known chromosome:GRCm38:3:94484371:94487284:1 gene:ENSMUSG00000028137.9 transcript:ENSMUST00000198384.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf3 description:CUGBP, Elav-like family member 3 [Source:MGI Symbol;Acc:MGI:1926034] MNRPIQVKPADSESRGEDRKLFVGMLGKQQTDEDVRKMFEPFGTIDECTVLRGPDGTSKG CAFVKFQTHAEAQAAINTLHSSRTLPGASSSLVVKFADTEKERGLRRMQQVATQLGMFSP IALQFGAYSAYTQALMQQQAALVAAHSAYLSPMATMAAVQMQHMAAISANGLIATPITPS SGTSTPPAIAATPVSAIPAALGVNGYSPVPTQPTGQPAPDALYPNGVHPYP >ENSMUSP00000096166.2 pep:known chromosome:GRCm38:9:72958785:72973061:1 gene:ENSMUSG00000092192.7 transcript:ENSMUST00000098567.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyx1c1 description:dyslexia susceptibility 1 candidate 1 [Source:MGI Symbol;Acc:MGI:1914935] MPVRVSEFSWQQTPATIFLSLPLRGVCVRDADVFCGESYLKVNFPPFLFELFLYAPIDDG KSKAKIGNDTILFTLYKKEPVLWDSLSVPGGRNWENIFPEKLKEDRVPAPRSAGSIQISF TPRVFPTALRESQVAEEEEWLHKQAEARRAMSTDLPEFFDLKEEERNPDWLKDKGNKLFA TENYLAAVDAYNLAIRLNCKIPLLYLNRAACHLKLKNLHKAIEDSSKALELLTPPVADNA NARMKAHVRRGTAFCQLELYVEGLQDYEAALKIDPANTVVQNDAEKIRNIIQGTALKSRD >ENSMUSP00000034734.8 pep:known chromosome:GRCm38:9:72958785:72973064:1 gene:ENSMUSG00000092192.7 transcript:ENSMUST00000034734.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyx1c1 description:dyslexia susceptibility 1 candidate 1 [Source:MGI Symbol;Acc:MGI:1914935] MPVRVSEFSWQQTPATIFLSLPLRGVCVRDADVFCGESYLKVNFPPFLFELFLYAPIDDG KSKAKIGNDTILFTLYKKEPVLWDSLSVPGVDKEMMQRIREKSILQAQEKAKEATEAKAV AKREDQRYALGEMMKIEEEERKKIEDMKENERKKATSELEAWKECQKKADGQKRVQRKEK PLEGKQAEETKALKPRGLPRKAPPTRLPTRGRNWENIFPEKLKEDRVPAPRSAGSIQISF TPRVFPTALRESQVAEEEEWLHKQAEARRAMSTDLPEFFDLKEEERNPDWLKDKGNKLFA TENYLAAVDAYNLAIRLNCKIPLLYLNRAACHLKLKNLHKAIEDSSKALELLTPPVADNA NARMKAHVRRGTAFCQLELYVEGLQDYEAALKIDPANTVVQNDAEKIRNIIQGTALKSRD >ENSMUSP00000113197.1 pep:known chromosome:GRCm38:17:32196274:32284097:-1 gene:ENSMUSG00000024002.16 transcript:ENSMUST00000119123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd4 description:bromodomain containing 4 [Source:MGI Symbol;Acc:MGI:1888520] MSTESGPGTRLRNLPVMGDGLETSQMSTTQAQAQPQPANAASTNPPPPETSNPNKPKRQT NQLQYLLRVVLKTLWKHQFAWPFQQPVDAVKLNLPDYYKIIKTPMDMGTIKKRLENNYYW NAQECIQDFNTMFTNCYIYNKPGDDIVLMAEALEKLFLQKINELPTEETEIMIVQAKGRG RGRKETGAAKPGVSTVPNTTQASTSPQTQTPQQNPPPPVQATTHPFPAVTPDLIAQPPVM TMVPPQPLQTPSPVPPQPPPPPAPVPQPVQSHPPIIATTPQPVKTKKGVKRKADTTTPTT IDPIHEPPSLAPEPKTAKLGPRRESSRPVKPPKKDVPDSQQHPGPEKSSKISEQLKCCSG ILKEMFAKKHAAYAWPFYKPVDVEALGLHDYCDIIKHPMDMSTIKSKLESREYRDAQEFG ADVRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPEEPVVTVSSPAVPPPTKV VAPPSSSDSSSDSSSDSDSSTDDSEEERAQRLAELQEQLKAVHEQLAALSQPQQNKPKKK EKDKKEKKKEKHKKKEEVEENKKSKTKELPPKKTKKNNSSNSNVSKKEPVPTKTKPPPTY ESEEEDKCKPMSYEEKRQLSLDINKLPGEKLGRVVHIIQSREPSLKNSNPDEIEIDFETL KPSTLRELERYVTSCLRKKRKPQAEKVDVIAGSSKMKGFSSSESESTSESSSSDSEDSET EMAPKSKKKGHTGRDQKKHHHHHHPQMQPAPAPVPQQPPPPPQQPPPPPPPQQQQQQPPP PPPPPSMPQQTAPAMKSSPPPFITAQVPVLEPQLPGSVFDPIGHFTQPILHLPQPELPPH LPQPPEHSTPPHLNQHAVVSPPALHNALPQQPSRPSNRAAALPPKPTRPPAVSPALAQPP LLPQPPMAQPPQVLLEDEEPPAPPLTSMQMQLYLQQLQKVQPPTPLLPSVKVQSQPPPPL PPPPHPSVQQQQLQPQPPPPPPPQPQPPPQQQHQPPPRPVHLPSMPFSAHIQQPPPPPGQ QPTHPPPGQQPPPPQPAKPQQVIQHHPSPRHHKSDPYSAGHLREAPSPLMIHSPQMPQFQ SLTHQSPPQQNVQPKKQVKGRAEPQPPGPVMGQGQGCPPASPAAVPMLSQELRPPSVVQP QPLVVVKEEKIHSPIIRSEPFSTSLRPEPPKHPENIKAPVHLPQRPEMKPVDIGRPVIRP PEQSAPPPGAPDKDKQKQEPKTPVAPKKDLKIKNMGSWASLVQKHPTTPSSTAKSSSDSF EHFRRAAREKEEREKALKAQAEHAEKEKERLRQERMRSREDEDALEQARRAHEEARRRQE QQQQQQQQRQEQQQQQQQAAAVAAASAPQAQSSQPQSMLDQQRELARKREQERRRREAMA ATIDMNFQSDLLSIFEENLF >ENSMUSP00000113070.1 pep:known chromosome:GRCm38:17:32196274:32284109:-1 gene:ENSMUSG00000024002.16 transcript:ENSMUST00000121285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd4 description:bromodomain containing 4 [Source:MGI Symbol;Acc:MGI:1888520] MSTESGPGTRLRNLPVMGDGLETSQMSTTQAQAQPQPANAASTNPPPPETSNPNKPKRQT NQLQYLLRVVLKTLWKHQFAWPFQQPVDAVKLNLPDYYKIIKTPMDMGTIKKRLENNYYW NAQECIQDFNTMFTNCYIYNKPGDDIVLMAEALEKLFLQKINELPTEETEIMIVQAKGRG RGRKETGAAKPGVSTVPNTTQASTSPQTQTPQQNPPPPVQATTHPFPAVTPDLIAQPPVM TMVPPQPLQTPSPVPPQPPPPPAPVPQPVQSHPPIIATTPQPVKTKKGVKRKADTTTPTT IDPIHEPPSLAPEPKTAKLGPRRESSRPVKPPKKDVPDSQQHPGPEKSSKISEQLKCCSG ILKEMFAKKHAAYAWPFYKPVDVEALGLHDYCDIIKHPMDMSTIKSKLESREYRDAQEFG ADVRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPEEPVVTVSSPAVPPPTKV VAPPSSSDSSSDSSSDSDSSTDDSEEERAQRLAELQEQLKAVHEQLAALSQPQQNKPKKK EKDKKEKKKEKHKKKEEVEENKKSKTKELPPKKTKKNNSSNSNVSKKEPVPTKTKPPPTY ESEEEDKCKPMSYEEKRQLSLDINKLPGEKLGRVVHIIQSREPSLKNSNPDEIEIDFETL KPSTLRELERYVTSCLRKKRKPQAEKVDVIAGSSKMKGFSSSESESTSESSSSDSEDSET EMAPKSKKKGHTGRDQKKHHHHHHPQMQPAPAPVPQQPPPPPQQPPPPPPPQQQQQQPPP PPPPPSMPQQTAPAMKSSPPPFITAQVPVLEPQLPGSVFDPIGHFTQPILHLPQPELPPH LPQPPEHSTPPHLNQHAVVSPPALHNALPQQPSRPSNRAAALPPKPTRPPAVSPALAQPP LLPQPPMAQPPQVLLEDEEPPAPPLTSMQMQLYLQQLQKVQPPTPLLPSVKVQSQPPPPL PPPPHPSVQQQQLQPQPPPPPPPQPQPPPQQQHQPPPRPVHLPSMPFSAHIQQPPPPPGQ QPTHPPPGQQPPPPQPAKPQQVIQHHPSPRHHKSDPYSAGHLREAPSPLMIHSPQMPQFQ SLTHQSPPQQNVQPKKQVKGRAEPQPPGPVMGQGQGCPPASPAAVPMLSQELRPPSVVQP QPLVVVKEEKIHSPIIRSEPFSTSLRPEPPKHPENIKAPVHLPQRPEMKPVDIGRPVIRP PEQSAPPPGAPDKDKQKQEPKTPVAPKKDLKIKNMGSWASLVQKHPTTPSSTAKSSSDSF EHFRRAAREKEEREKALKAQAEHAEKEKERLRQERMRSREDEDALEQARRAHEEARRRQE QQQQQQQQRQEQQQQQQQAAAVAAASAPQAQSSQPQSMLDQQRELARKREQERRRREAMA ATIDMNFQSDLLSIFEENLF >ENSMUSP00000112474.1 pep:known chromosome:GRCm38:17:32204910:32284109:-1 gene:ENSMUSG00000024002.16 transcript:ENSMUST00000120276.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd4 description:bromodomain containing 4 [Source:MGI Symbol;Acc:MGI:1888520] MSTESGPGTRLRNLPVMGDGLETSQMSTTQAQAQPQPANAASTNPPPPETSNPNKPKRQT NQLQYLLRVVLKTLWKHQFAWPFQQPVDAVKLNLPDYYKIIKTPMDMGTIKKRLENNYYW NAQECIQDFNTMFTNCYIYNKPGDDIVLMAEALEKLFLQKINELPTEETEIMIVQAKGRG RGRKETGAAKPGVSTVPNTTQASTSPQTQTPQQNPPPPVQATTHPFPAVTPDLIAQPPVM TMVPPQPLQTPSPVPPQPPPPPAPVPQPVQSHPPIIATTPQPVKTKKGVKRKADTTTPTT IDPIHEPPSLAPEPKTAKLGPRRESSRPVKPPKKDVPDSQQHPGPEKSSKISEQLKCCSG ILKEMFAKKHAAYAWPFYKPVDVEALGLHDYCDIIKHPMDMSTIKSKLESREYRDAQEFG ADVRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPEEPVVTVSSPAVPPPTKV VAPPSSSDSSSDSSSDSDSSTDDSEEERAQRLAELQEQLKAVHEQLAALSQPQQNKPKKK EKDKKEKKKEKHKKKEEVEENKKSKTKELPPKKTKKNNSSNSNVSKKEPVPTKTKPPPTY ESEEEDKCKPMSYEEKRQLSLDINKLPGEKLGRVVHIIQSREPSLKNSNPDEIEIDFETL KPSTLRELERYVTSCLRKKRKPQAEKVDVIAGSSKMKGFSSSESESTSESSSSDSEDSET EVLPNHWTRTLNKTVFSSRFLPSEL >ENSMUSP00000115163.1 pep:known chromosome:GRCm38:17:32213610:32284722:-1 gene:ENSMUSG00000024002.16 transcript:ENSMUST00000127893.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd4 description:bromodomain containing 4 [Source:MGI Symbol;Acc:MGI:1888520] MSTESGPGTRLRNLPVMGDGLETSQMSTTQAQAQPQPANAASTNPPPPETSNPNKPKRQT NQLQYLLRVVLKTLWKHQFAWPFQQPVDAVKLNLPDYYKIIKTPMDMGTIKKRLENNYYW NAQECIQDFNTMFTNCYIYNKPGDDIVLMAEALEKLFLQKINELPTEETEIMIVQAKGRG RGRKETGAAKPGVSTVPNTTQASTSPQTQTPQQNPPPPVQATTHPFPAVTPDLIAQPPVM TMVPPQPLQTPSPVPPQPPPPPAPVPQPVQSHPPIIATTPQPVKTKKGVKRKADTTTPTT IDPIHEPPSLAPEPKTAKLGPRRESSRPVKPPKKDVPDSQQHPGPEKSSKISEQLKCCSG ILKEMFAKKHAAYAWPFYKPVDVEALGLHDYCDIIKHPMDMSTIKSKLESREYRDAQEFG ADVRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPEEPVVTVSSPAVPPPTKV VAPPSSSDSSSDSSSDSDSSTDDSEEERAQRLAELQEQLKAVHEQLAALSQPQQNKPKKK EKDKKEKKKEKHKKKEE >ENSMUSP00000115277.1 pep:known chromosome:GRCm38:17:32213645:32284677:-1 gene:ENSMUSG00000024002.16 transcript:ENSMUST00000125899.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brd4 description:bromodomain containing 4 [Source:MGI Symbol;Acc:MGI:1888520] MSTESGPGTRLRNLPVMGDGLETSQMSTTQAQAQPQPANAASTNPPPPETSNPNKPKRQT NQLQYLLRVVLKTLWKHQFAWPFQQPVDAVKLNLPDYYKIIKTPMDMGTIKKRLENNYYW NAQECIQDFNTMFTNCYIYNKFRPQM >ENSMUSP00000110119.2 pep:known chromosome:GRCm38:17:32206679:32284123:-1 gene:ENSMUSG00000024002.16 transcript:ENSMUST00000114475.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd4 description:bromodomain containing 4 [Source:MGI Symbol;Acc:MGI:1888520] MSTESGPGTRLRNLPVMGDGLETSQMSTTQAQAQPQPANAASTNPPPPETSNPNKPKRQT NQLQYLLRVVLKTLWKHQFAWPFQQPVDAVKLNLPDYYKIIKTPMDMGTIKKRLENNYYW NAQECIQDFNTMFTNCYIYNKPGDDIVLMAEALEKLFLQKINELPTEETEIMIVQAKGRG RGRKETGAAKPGVSTVPNTTQASTSPQTQTPQQNPPPPVQATTHPFPAVTPDLIAQPPVM TMVPPQPLQTPSPVPPQPPPPPAPVPQPVQSHPPIIATTPQPVKTKKGVKRKADTTTPTT IDPIHEPPSLAPEPKTAKLGPRRESSRPVKPPKKDVPDSQQHPGPEKSSKISEQLKCCSG ILKEMFAKKHAAYAWPFYKPVDVEALGLHDYCDIIKHPMDMSTIKSKLESREYRDAQEFG ADVRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPEEPVVTVSSPAVPPPTKV VAPPSSSDSSSDSSSDSDSSTDDSEEERAQRLAELQEQLKAVHEQLAALSQPQQNKPKKK EKDKKEKKKEKHKKKEEVEENKKSKTKELPPKKTKKNNSSNSNVSKKEPVPTKTKPPPTY ESEEEDKCKPMSYEEKRQLSLDINKLPGEKLGRVVHIIQSREPSLKNSNPDEIEIDFETL KPSTLRELERYVTSCLRKKRKPQAEKVDVIAGSSKMKGFSSSESESTSESSSSDSEDSET GPA >ENSMUSP00000003726.8 pep:known chromosome:GRCm38:17:32196276:32284097:-1 gene:ENSMUSG00000024002.16 transcript:ENSMUST00000003726.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd4 description:bromodomain containing 4 [Source:MGI Symbol;Acc:MGI:1888520] MSTESGPGTRLRNLPVMGDGLETSQMSTTQAQAQPQPANAASTNPPPPETSNPNKPKRQT NQLQYLLRVVLKTLWKHQFAWPFQQPVDAVKLNLPDYYKIIKTPMDMGTIKKRLENNYYW NAQECIQDFNTMFTNCYIYNKPGDDIVLMAEALEKLFLQKINELPTEETEIMIVQAKGRG RGRKETGRAAKPGVSTVPNTTQASTSPQTQTPQQNPPPPVQATTHPFPAVTPDLIAQPPV MTMVPPQPLQTPSPVPPQPPPPPAPVPQPVQSHPPIIATTPQPVKTKKGVKRKADTTTPT TIDPIHEPPSLAPEPKTAKLGPRRESSRPVKPPKKDVPDSQQHPGPEKSSKISEQLKCCS GILKEMFAKKHAAYAWPFYKPVDVEALGLHDYCDIIKHPMDMSTIKSKLESREYRDAQEF GADVRLMFSNCYKYNPPDHEVVAMARKLQDVFEMRFAKMPDEPEEPVVTVSSPAVPPPTK VVAPPSSSDSSSDSSSDSDSSTDDSEEERAQRLAELQEQLKAVHEQLAALSQPQQNKPKK KEKDKKEKKKEKHKKKEEVEENKKSKTKELPPKKTKKNNSSNSNVSKKEPVPTKTKPPPT YESEEEDKCKPMSYEEKRQLSLDINKLPGEKLGRVVHIIQSREPSLKNSNPDEIEIDFET LKPSTLRELERYVTSCLRKKRKPQAEKVDVIAGSSKMKGFSSSESESTSESSSSDSEDSE TEMAPKSKKKGHTGRDQKKHHHHHHPQMQPAPAPVPQQPPPPPQQPPPPPPPQQQQQQPP PPPPPPSMPQQTAPAMKSSPPPFITAQVPVLEPQLPGSVFDPIGHFTQPILHLPQPELPP HLPQPPEHSTPPHLNQHAVVSPPALHNALPQQPSRPSNRAAALPPKPTRPPAVSPALAQP PLLPQPPMAQPPQVLLEDEEPPAPPLTSMQMQLYLQQLQKVQPPTPLLPSVKVQSQPPPP LPPPPHPSVQQQQLQPQPPPPPPPQPQPPPQQQHQPPPRPVHLPSMPFSAHIQQPPPPPG QQPTHPPPGQQPPPPQPAKPQQVIQHHPSPRHHKSDPYSAGHLREAPSPLMIHSPQMPQF QSLTHQSPPQQNVQPKKQVKGRAEPQPPGPVMGQGQGCPPASPAAVPMLSQELRPPSVVQ PQPLVVVKEEKIHSPIIRSEPFSTSLRPEPPKHPENIKAPVHLPQRPEMKPVDIGRPVIR PPEQSAPPPGAPDKDKQKQEPKTPVAPKKDLKIKNMGSWASLVQKHPTTPSSTAKSSSDS FEHFRRAAREKEEREKALKAQAEHAEKEKERLRQERMRSREDEDALEQARRAHEEARRRQ EQQQQQQQQRQEQQQQQQQAAAVAAASAPQAQSSQPQSMLDQQRELARKREQERRRREAM AATIDMNFQSDLLSIFEENLF >ENSMUSP00000043816.6 pep:known chromosome:GRCm38:4:63215435:63334991:1 gene:ENSMUSG00000045672.15 transcript:ENSMUST00000036300.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col27a1 description:collagen, type XXVII, alpha 1 [Source:MGI Symbol;Acc:MGI:2672118] MGTGFARGARGTAASGPGGGFLFAWILVSFTCHLASTQGAPEDVDVLQRLGLSWTKAGGG RSPTPPGVIPFPSGFIFTQRAKLQAPTANVLPTTLGRELALVLSLCSHRVNHAFLFAIRS RKHKLQLGLQFLPGRTIIHLGPRQSVAFDLDVHDGRWHHLALELRGRTVTMVTACGQHRV PVPLPSRRDSMLDPQGSFLLGKVNPRAVQFEGALCQFSIHPVAQVAHNYCAHLRERCRQV DTYSPQVGTLFPWDSGPAFALHPEPALLGLGNLTRTPATLGARPVSRALAVTLAPAMPTK PLRTVHPDVSEHSSSQTPLSPAKQSARKTPSPSSSASLANSTRVYRPAAAQPRQITTTSP TKRSPTKPSVSPLSVTPMKSPHATQKTGVPSFTKPVPPTQKPAPFTSYLAPSKASSPTVR PVQKTFMTPRPPVPSPQPLRPTTGLSKKFTNPTVAKSKSKTTSWASKPVLARSSVPKTLQ QTVLSQSPVSYLGSQTLAPALPPLGVGNPRTMPPTRDSALTPAGSKKFTGRETSKKTRQK SSPRKPEPLSPGKSARDASPRDLTTKPSRPSTPALVLAPAYLLSSSPQPTSSSFPFFHLL GPTPFPMLMGPPGSKGDCGLPGPPGLPGLPGSPGARGPRGPPGPYGNPGPPGPPGAKGQK GDPGLSPGQAHDGAKGNMGLPGLSGNPGPLGRKGHKGHPGAAGHPGEQGQPGPEGSPGAK GYPGRQGFPGPVGDPGPKGSRGYIGLPGLFGLPGSDGERGLPGVPGKRGEMGRPGFPGDF GERGPPGLDGNPGEIGLPGPPGVLGLIGDTGALGPVGYPGPKGMKGLMGGVGEPGLKGDK GEQGVPGVSGDPGFQGDKGSHGLPGLPGGRGKPGPLGKAGDKGSLGFPGPPGPEGFPGDI GPPGDNGPEGMKGKPGARGLPGPPGQLGPEGDEGPMGPPGVPGLEGQPGRKGFPGRPGLD GSKGEPGDPGRPGPVGEQGLMGFIGLVGEPGIVGEKGDRGVMGPPGAPGPKGSMGHPGTP GGIGNPGEPGPWGPPGSRGLPGMRGAKGHRGPRGPDGPAGEQGSKGLKGRVGPRGRPGQP GQQGAAGERGHSGAKGFLGIPGPSGPPGAKGLPGEPGSQGPQGPVGPPGEMGPKGPPGAV GEPGLPGDSGMKGDLGPLGPPGEQGLIGQRGEPGLEGDHGPVGPDGLKGDRGDPGPDGEH GEKGQEGLKGEDGSPGPPGITGVPGREGKPGKQGEKGQRGAKGAKGHQGYLGEMGIPGEP GPPGTPGPKGSRGTLGPTGAPGRMGAQGEPGLAGYNGHKGITGPLGPPGPKGEKGDQGED GKTEGPPGPPGDRGPVGDRGDRGEPGDPGYPGQEGVQGLRGEPGQQGQPGHPGPRGRPGP KGSKGEEGPKGKPGKAGPSGRRGTQGLQGLPGPRGVVGRQGPEGTAGSDGIPGRDGRPGY QGDQGNDGDPGPVGPAGRRGNPGVAGLPGAQGPPGFKGESGLPGQLGPPGKRGTEGGTGL PGNQGEPGSKGQPGDSGEMGFPGVAGLFGPKGPPGDIGFKGIQGPRGPPGLMGKEGIIGP PGMLGPSGLPGPKGDRGSRGDLGLQGPRGPPGPRGRPGPPGPPWHPIQFQQDDLGAAFQT WMDAQGAVRSEGYSYPDQLALDQGGEIFKTLHYLSNLIQSIKTPLGTKENPARVCRDLMD CEQRMADGTYWVDPNLGCSSDTIEVSCNFTQGGQTCLKPITASKAEFAVSRVQMNFLHLL SSEGTQHITIHCLNMTVWQEGPGRSSARQAVRFRAWNGQVFEAGGQFRPEVSMDGCKVHD GRWHQTLFTFRTQDPQQLPIVSVDNLPPVSSGKQYRLEVGPACFL >ENSMUSP00000139173.1 pep:known chromosome:GRCm38:4:63234286:63333713:1 gene:ENSMUSG00000045672.15 transcript:ENSMUST00000184067.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Col27a1 description:collagen, type XXVII, alpha 1 [Source:MGI Symbol;Acc:MGI:2672118] MTHLYEFVVLGWKPVDGFLLEEVEGPPGPYGNPGPPGPPGAKGQKGDPGLSPGQAHDGAK GNMGLPGLSGNPGPLGRKGHKGHPGAAGHPGEQGQPGPEGSPGAKGYPGRQGFPGPVGDP GPKGSRGYIGLPGLFGLPGSDGERGLPGVPGKRGEMGRPGFPGDFGERGPPGLDGNPGEI GLPGPPGVLGLIGDTGALGPVGYPGPKGMKGLMGGVGEPGLKGDKGEQGVPGVSGDPGFQ GDKGSHGLPGLPGGRGKPGPLGKAGDKGSLGFPGPPGPEGFPGDIGPPGDNGPEGMKGKP GARGLPGPPGQLGPEGDEGPMGPPGVPGLEGQPGRKGFPGRPGLDGSKGEPGDPGRPGPV GEQGLMGFIGLVGEPGIVGEKGDRGVMGPPGAPGPKGSMGHPGTPGGIGNPGEPGPWGPP GSRGLPGMRGAKGHRGPRGPDGPAGEQGSKGLKGRVGPRGRPGQPGQQGAAGERGHSGAK GFLGIPGPSGPPGAKGLPGEPGSQGPQGPVGPPGEMGPKGPPGAVGEPGLPGDSGMKGDL GPLGPPGEQGLIGQRGEPGLEGDHGPVGPDGLKGDRGDPGPDGEHGEKGQEGLKGEDGSP GPPGITGVPGREGKPGKQGEKGQRGAKGAKGHQGYLGEMGIPGEPGPPGTPGPKGSRGTL GPTGAPGRMGAQGEPGLAGYNGHKGITGPLGPPGPKGEKGDQGEDGKTEGPPGPPGDRGP VGDRGDRGEPGDPGYPGQEGVQGLRGEPGQQGQPGHPGPRGRPGPKGSKGEEGPKGKPGK AGPSGRRGTQGLQGLPGPRGVVGRQGPEGTAGSDGIPGRDGRPGYQGDQGNDGDPGPVGP AGRRGNPGVAGLPGAQGPPGFKGESGLPGQLGPPGKRGTEGGTGLPGNQGEPGSKGQPGD SGEMGFPGVAGLFGPKGPPGDIGFKGIQGPRGPPGLMAQIEDS >ENSMUSP00000139182.1 pep:known chromosome:GRCm38:4:63282667:63333720:1 gene:ENSMUSG00000045672.15 transcript:ENSMUST00000183913.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Col27a1 description:collagen, type XXVII, alpha 1 [Source:MGI Symbol;Acc:MGI:2672118] GPPGVPGLEGQPGRKGFPGRPGLDGSKGEPGDPGRPGPVGEQGLMGFIGLVGEPGIVGEK GDRGVMGPPGAPGPKGSMGHPGTPGGIGNPGEPGPWGPPGSRGLPGMRGAKGHRGPRGPD GPAGEQGSKGLKGRVGPRGRPGQPGQQGAAGERGHSGAKGFLGIPGPSGPPGAKGLPGEP GSQGPQGPVGPPGEMGPKGPPGAVGEPGLPGDSGMKGDLGPLGPPGEQGLIGQRGEPGLE GDHGPVGPDGLKGDRGDPGPDGEHGEKGQEGLKGEDGSPGPPGITGVPGREGKPGKQGEK GQRGAKGAKGHQGYLGEMGIPGEPGPPGTPGPKGSRGTLGPTGAPGRMGAQGEPGLAGYN GHKGITGPLGPPGPKGEKGDQGEDGKTEGPPGPPGDRGPVGDRGDRGEPGDPGYPGQEGV QGLRGEPGQQGQPAETGHPPQERGLELP >ENSMUSP00000053105.2 pep:known chromosome:GRCm38:2:36850048:36850986:1 gene:ENSMUSG00000050015.2 transcript:ENSMUST00000055130.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr350 description:olfactory receptor 350 [Source:MGI Symbol;Acc:MGI:3030184] MKRDNQSMVSEFILLGLPIRPEEQGMYYALFLTMYLTTVLGNLLIILLIRLDSHLHTPMY FFLSHLAFTDISFSSVTVPKMLRNMHIHDPSIPYAECIAQMYFFILFTDLDNFLLTSMAY DRYVAICHPLHYTTIMREELCILLVAISWILSCVSALSHTLLLARLSFCADNTISHFFCD LAALLKLSCSDISLNELVIFTVGTTVITLPLICILISYGHIVATILKVSSNKGICKALST CGSHLSVVSLYYGTIIGVYFIPSSFTSTDKGIVASVMYTVVTPLLNPFIYSIRNRDMKEA LKKLFNRASIST >ENSMUSP00000079671.6 pep:known chromosome:GRCm38:10:18213676:18234996:-1 gene:ENSMUSG00000059554.12 transcript:ENSMUST00000080860.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc28a description:coiled-coil domain containing 28A [Source:MGI Symbol;Acc:MGI:2443508] MEERKAKRKSPKSFSAHSTQVVNAKKNAIPSSKSTGFSNPTSQSASQRPKLKRVMKEKNK PPGGEGKGAQSTPIQHSFLTDVSDVQEMERGLLSLLNDFHSGKLQAFGNECSIEQMEHVR GMQEKLARLNLELYGELEELPEDKRKAASDANLDRLLSDLEELNSSIQKLHLADAQDVPN ASSS >ENSMUSP00000134307.1 pep:known chromosome:GRCm38:10:18213682:18227473:-1 gene:ENSMUSG00000059554.12 transcript:ENSMUST00000174592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc28a description:coiled-coil domain containing 28A [Source:MGI Symbol;Acc:MGI:2443508] MMDSKLVMKEKNKPPGGEGKGAQSTPIQHSFLTDVSDVQEMERGLLSLLNDFHSGKLQAF GNECSIEQMEHVRGMQEKLARLNLELYGELEELPEDKRKAASDANLDRLLSDLEELNSSI QKLHLADAQDVPNASSS >ENSMUSP00000133848.1 pep:known chromosome:GRCm38:10:18214058:18219614:-1 gene:ENSMUSG00000059554.12 transcript:ENSMUST00000173962.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc28a description:coiled-coil domain containing 28A [Source:MGI Symbol;Acc:MGI:2443508] XHVRGMQEKLARLNLELYGELEELPEDKRKAASDANLDRLLSDLEELNSSMYPLPTINSI KFKIYFSG >ENSMUSP00000133585.1 pep:known chromosome:GRCm38:10:18214078:18234998:-1 gene:ENSMUSG00000059554.12 transcript:ENSMUST00000173243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc28a description:coiled-coil domain containing 28A [Source:MGI Symbol;Acc:MGI:2443508] MKEKNKPPGGEGKGAQSTPIQHSFLTDVSDVQEMERGLLSLLNDFHSGKLQAFGNECSIE QMEHVRGMQEKLARLNLELYGELEELPEDKRKAASDANLDRLLSDLEELNSSIQKLHLAD AQDVPNASSS >ENSMUSP00000050107.8 pep:known chromosome:GRCm38:10:18216784:18234978:-1 gene:ENSMUSG00000059554.12 transcript:ENSMUST00000052648.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc28a description:coiled-coil domain containing 28A [Source:MGI Symbol;Acc:MGI:2443508] MEERKAKRKSPKSFSAHSTQVVNAKKNAIPSSKSTGFSNPTSQSASQRPKLKRVMKEKNK PPGGEGKGAQSTPIQHSFLTDVSDVQEMERGLLSLLNDFHSGKLQAFGNECSIEQMEHVR GMQEKLARLNLELYGELEELPEDKRKAASDANLDRLLSDGAEKLTQRLKVLAALAEDPDS VSSTHMAALDPL >ENSMUSP00000069187.5 pep:known chromosome:GRCm38:X:160902116:160907052:-1 gene:ENSMUSG00000055691.5 transcript:ENSMUST00000069417.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gja6 description:gap junction protein, alpha 6 [Source:MGI Symbol;Acc:MGI:95717] MSDWSALHQLLEKVQPYSTAGGKVWIKVLFIFRILLLGTAIESAWSDEQFEFHCNTQQPG CENVCYDHAFPISHVRLWVLQVIFVSVPILLYLAHVYYVVRQNKKLNKQEEELEAAHFNE ASVERHLETIAGEQFKCGSEEQSKVKMRGRLLLTYMASIFFKSVFEMAFLLIQWYIYGFT LSALYICEQSPCPRRVDCFLSRPTEKTIFILFMFVVSVVSFVLDIIELFYVLFKAIKNRM RKAEDEVYCDELPCPSHVSSSTVLTTIDSSEQAVPVELSSVCI >ENSMUSP00000034796.6 pep:known chromosome:GRCm38:9:83778692:83806252:-1 gene:ENSMUSG00000032262.13 transcript:ENSMUST00000034796.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl4 description:elongation of very long chain fatty acids (FEN1/Elo2, SUR4/Elo3, yeast)-like 4 [Source:MGI Symbol;Acc:MGI:1933331] MGLLDSEPGSVLNAMSTAFNDTVEFYRWTWTIADKRVADWPLMQSPWPTISISTLYLLFV WLGPKWMKDREPFQMRLVLIIYNFGMVLLNLFIFRELFMGSYNAGYSYICQSVDYSNDVN EVRIAGALWWYFVSKGVEYLDTVFFILRKKNNQVSFLHVYHHCTMFTLWWIGIKWVAGGQ AFFGAQMNSFIHVIMYSYYGLTAFGPWIQKYLWWKRYLTMLQLVQFHVTIGHTALSLYTD CPFPKWMHWALIAYAISFIFLFLNFYTRTYNEPKQSKTGKTATNGISSNGVNKSEKALEN GKPQKNGKPKGE >ENSMUSP00000139163.1 pep:known chromosome:GRCm38:9:83780663:83806277:-1 gene:ENSMUSG00000032262.13 transcript:ENSMUST00000183614.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl4 description:elongation of very long chain fatty acids (FEN1/Elo2, SUR4/Elo3, yeast)-like 4 [Source:MGI Symbol;Acc:MGI:1933331] MGLLDSEPGSVLNAMSTAFNDTVEFYRWTWTIAAGALWWYFVSKGVEYLDTVFFILRKKN NQVSFLHVYHHCTMFTLWWIGIKWVAGGQAFFGAQMNSFIHVIMYSYYGLTAFGPWIQKY LWWKRYLTMLQLVQFHVTIGHTALSLYTDCPFPKWMHWALIAYAISFIFLFLNFYTRTYN E >ENSMUSP00000122887.1 pep:known chromosome:GRCm38:7:46760466:46795678:-1 gene:ENSMUSG00000014418.15 transcript:ENSMUST00000142663.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hps5 description:Hermansky-Pudlak syndrome 5 [Source:MGI Symbol;Acc:MGI:2180307] MTFVPVIPEAYSHVLAEFESLDPLLTALRLDSSRLRCTSIAVSRKWLALGSTGGGLNLIQ KDGWKQRLFLSHREGAISQIACCSHDDDYVAVATSQGLVVVWELNQERRGKPERIHVSSE HKGRKVTALCWDTAVLRVFVGDHVGKVSAIKLNTLKQAKAAAAFVMFPVQTVLTVDSCVV QLDYLDGRLLVSSLTRSFLCDTEREKFWKIGNKERHGEYGACFFPGRCAGGQQPVIYCAR PGSRMWEVNFDGEVLSTHQFKKLLSMPPLPVITARSEPQYDHTVGSSQSLAFPKLLHLSE HCVLTWTEKGIYIFIPQNVQVLLWSEVKDIQDVAVYKNELFCLHFNGKISHLSLLSVERC VERLLRRGLWDLAARTCCLFQNSIITSRARKTLTADKLEHLKSQLDLTACSELISQLDDL ILRFEPLESACSSRRSSISSHESFSILDSGIYRIISSRRGSQSDEDSCSLHSQTFSEDER LKEFASHQEEEQPEQGCGANRNEGMKDGSRFGSHCLPFSMLQHSCD >ENSMUSP00000103281.1 pep:known chromosome:GRCm38:7:46760466:46795999:-1 gene:ENSMUSG00000014418.15 transcript:ENSMUST00000107654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps5 description:Hermansky-Pudlak syndrome 5 [Source:MGI Symbol;Acc:MGI:2180307] MTFVPVIPEAYSHVLAEFESLDPLLTALRLDSSRLRCTSIAVSRKWLALGSTGGGLNLIQ KDGWKQRLFLSHREGAISQIACCSHDDDYVAVATSQGLVVVWELNQERRGKPERIHVSSE HKGRKVTALCWDTAVLRVFVGDHVGKVSAIKLNTLKQAKAAAAFVMFPVQTVLTVDSCVV QLDYLDGRLLVSSLTRSFLCDTEREKFWKIGNKERHGEYGACFFPGRCAGGQQPVIYCAR PGSRMWEVNFDGEVLSTHQFKKLLSMPPLPVITARSEPQYDHTVGSSQSLAFPKLLHLSE HCVLTWTEKGIYIFIPQNVQVLLWSEVKDIQDVAVYKNELFCLHFNGKISHLSLLSVERC VERLLRRGLWDLAARTCCLFQNSIITSRARKTLTADKLEHLKSQLDLTACSELISQLDDL ILRFEPLESACSSRRSSISSHESFSILDSGIYRIISSRRGSQSDEDSCSLHSQTFSEDER LKEFASHQEEEQPEQGCGANRNEESASHSPVMSEVDKSEAFLPFSIALPFRSPSPLVSLQ AVKDSVSSFVRKTTEKIGTLHGSPELKEPFESKDADRAHEEEVSAVTCPLEEDTEEKEIH QPPKEDRLQELTAATAEAMTKLLDPLVLFEPKVLRMVLLEWLSQLEKTFAMKDFPGISNT SSPTVKSNLGAHLLGETEKRVLDEESGEGRRVSLVTEEAGGQITCDPVSNLSEPSADRFR VCSPYAITNSLQRDLAELTTLCLELNVLTSAMESVGGHVDRASQQLSPEILACRFLKKYF FLLDLKRAKESIKLTYDSPCVWDTFVEGLKEMARSNPAYTELEEGDLPTGLQLLDGSVPS DSPLLIAFATRLYDRFGESALRACIKFYPSISPSDIAQLCRHHPAQFLAYLDSLVKSRPE DQWPSFLEFLLQPESLRLEWLLLAVSHDAPPSTSTVDDEGHPRPHSHLLSWGYSQLILLL IKLPADFTTKEKMTDICRSYGFWPGYLTLCLELERRREAFTNIVYLNDISLMEGDNGWIP ETLEEWKLLLHLLQTKSTRPAPQESLNGSLSDGPAPINVENVALLLAKAMGPDRAWSLLQ ECGLALELSEKFTRTCDILRIAERRQRALIQGMLEKCDRFLWSQQA >ENSMUSP00000014562.7 pep:known chromosome:GRCm38:7:46760466:46796027:-1 gene:ENSMUSG00000014418.15 transcript:ENSMUST00000014562.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps5 description:Hermansky-Pudlak syndrome 5 [Source:MGI Symbol;Acc:MGI:2180307] MTFVPVIPEAYSHVLAEFESLDPLLTALRLDSSRLRCTSIAVSRKWLALGSTGGGLNLIQ KDGWKQRLFLSHREGAISQIACCSHDDDYVAVATSQGLVVVWELNQERRGKPERIHVSSE HKGRKVTALCWDTAVLRVFVGDHVGKVSAIKLNTLKQAKAAAAFVMFPVQTVLTVDSCVV QLDYLDGRLLVSSLTRSFLCDTEREKFWKIGNKERHGEYGACFFPGRCAGGQQPVIYCAR PGSRMWEVNFDGEVLSTHQFKKLLSMPPLPVITARSEPQYDHTVGSSQSLAFPKLLHLSE HCVLTWTEKGIYIFIPQNVQVLLWSEVKDIQDVAVYKNELFCLHFNGKISHLSLLSVERC VERLLRRGLWDLAARTCCLFQNSIITSRARKTLTADKLEHLKSQLDLTACSELISQLDDL ILRFEPLESACSSRRSSISSHESFSILDSGIYRIISSRRGSQSDEDSCSLHSQTFSEDER LKEFASHQEEEQPEQGCGANRNEESASHSPVMSEVDKSEAFLPFSIALPFRSPSPLVSLQ AVKDSVSSFVRKTTEKIGTLHGSPELKEPFESKDADRAHEEEVSAVTCPLEEDTEEKEIH QPPKEDRLQELTAATAEAMTKLLDPLVLFEPKVLRMVLLEWLSQLEKTFAMKDFPGISNT SSPTVKSNLGAHLLGETEKRVLDEESGEGRRVSLVTEEAGGQITCDPVSNLSEPSADRFR VCSPYAITNSLQRDLAELTTLCLELNVLTSAMESVGGHVDRASQQLSPEILACRFLKKYF FLLDLKRAKESIKLTYDSPCVWDTFVEGLKEMARSNPAYTELEEGDLPTGLQLLDGSVPS DSPLLIAFATRLYDRFGESALRACIKFYPSISPSDIAQLCRHHPAQFLAYLDSLVKSRPE DQWPSFLEFLLQPESLRLEWLLLAVSHDAPPSTSTVDDEGHPRPHSHLLSWGYSQLILLL IKLPADFTTKEKMTDICRSYGFWPGYLTLCLELERRREAFTNIVYLNDISLMEGDNGWIP ETLEEWKLLLHLLQTKSTRPAPQESLNGSLSDGPAPINVENVALLLAKAMGPDRAWSLLQ ECGLALELSEKFTRTCDILRIAERRQRALIQGMLEKCDRFLWSQQA >ENSMUSP00000103280.1 pep:known chromosome:GRCm38:7:46760472:46795881:-1 gene:ENSMUSG00000014418.15 transcript:ENSMUST00000107653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps5 description:Hermansky-Pudlak syndrome 5 [Source:MGI Symbol;Acc:MGI:2180307] MTFVPVIPEAYSHVLAEFESLDPLLTALRLDSSRLRCTSIAVSRKWLALGSTGGGLNLIQ KDGWKQRLFLSHREGAISQIACCSHDDDYVAVATSQGLVVVWELNQERRGKPERIHVSSE HKGRKVTALCWDTAVLRVFVGDHVGKVSAIKLNTLKQAKAAAAFVMFPVQTVLTVDSCVV QLDYLDGRLLVSSLTRSFLCDTEREKFWKIGNKERHGEYGACFFPGRCAGGQQPVIYCAR PGSRMWEVNFDGEVLSTHQFKKLLSMPPLPVITARSEPQYDHTVGSSQSLAFPKLLHLSE HCVLTWTEKGIYIFIPQNVQVLLWSEVKERLLRRGLWDLAARTCCLFQNSIITSRARKTL TADKLEHLKSQLDLTACSELISQLDDLILRFEPLESACSSRRSSISSHESFSILDSGIYR IISSRRGSQSDEDSCSLHSQTFSEDERLKEFASHQEEEQPEQGCGANRNEESASHSPVMS EVDKSEAFLPFSIALPFRSPSPLVSLQAVKDSVSSFVRKTTEKIGTLHGSPELKEPFESK DADRAHEEEVSAVTCPLEEDTEEKEIHQPPKEDRLQELTAATAEAMTKLLDPLVLFEPKV LRMVLLEWLSQLEKTFAMKDFPGISNTSSPTVKSNLGAHLLGETEKRVLDEESGEGRRVS LVTEEAGGQITCDPVSNLSEPSADRFRVCSPYAITNSLQRDLAELTTLCLELNVLTSAME SVGGHVDRASQQLSPEILACRFLKKYFFLLDLKRAKESIKLTYDSPCVWDTFVEGLKEMA RSNPAYTELEEGDLPTGLQLLDGSVPSDSPLLIAFATRLYDRFGESALRACIKFYPSISP SDIAQLCRHHPAQFLAYLDSLVKSRPEDQWPSFLEFLLQPESLRLEWLLLAVSHDAPPST STVDDEGHPRPHSHLLSWGYSQLILLLIKLPADFTTKEKMTDICRSYGFWPGYLTLCLEL ERRREAFTNIVYLNDISLMEGDNGWIPETLEEWKLLLHLLQTKSTRPAPQESLNGSLSDG PAPINVENVALLLAKAMGPDRAWSLLQECGLALELSEKFTRTCDILRIAERRQRALIQGM LEKCDRFLWSQQA >ENSMUSP00000119876.1 pep:known chromosome:GRCm38:7:46762944:46774916:-1 gene:ENSMUSG00000014418.15 transcript:ENSMUST00000153789.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps5 description:Hermansky-Pudlak syndrome 5 [Source:MGI Symbol;Acc:MGI:2180307] XMSEVDKSEAFLPFSIALPFRSPSPLVSLQAVKDSVSSFVRKTTEKIGTLHGSPELKEPF ESKDADRAHEEEVSAVTCPLEEDTETKLLDPLVLFEPKVLRMVLLEWLSQLEKTFAMKDF PGISNTSSPTVKSNLGAHLLGETEKRVLDEESGEGRRVSLVTEEAGGQITCDPVSNLSEP SADRFRVCSPYAITNSLQRDLAELTTLCLELNVLTSAMESVGGHVDRASQQLSPEILACR FLKKYFFLLDLKRAKESIKLTYDSPCVWDTFVEGLKEMARSNPAYTELEEGDLPTGLQLL DGSVPSDSPLLIAFATRLYDRFGESALRACIKFYPSISPSDIAQLCRHHPAQFLAYLDSL VKSRPEDQWPSFLEFLLQPESLRLEWLLLAVSHDAPPSTSTVDDEGHPSFWPGYLTLCLE LERRREAFTNIVYLNDISLMEGDNGWIPETLEEWKLLLHLLQTKSTRPAPQESLNGSLSD GPAPINVENVALLLAKAMGPDRAWSLLQECGLALELSEKFTRTCDILRIAERRQ >ENSMUSP00000114384.1 pep:known chromosome:GRCm38:7:46764902:46771987:-1 gene:ENSMUSG00000014418.15 transcript:ENSMUST00000130365.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps5 description:Hermansky-Pudlak syndrome 5 [Source:MGI Symbol;Acc:MGI:2180307] XESGEGRRVSLVTEEAGGQITCDPVSNLSEPSADRFRVCSPYAITNSLQRDLAELTTLCL ELNVLTSAMESVGGHVDRASQQLSPEILACRFLKKYFFLLDLKRAKESIKLTYDSPCVWD TFVEGLKEMARSNPAYTELEEGDLPTGLQLLDGSVPSDSPLLIAFATRPSFLEFLLQPES LRLEWLLLAVSHDAPPSTSTVDDEGHPRPHSHLLSWGYSQLILLLIKLPADFTTKEKMTD ICRSYGFWPGYLTL >ENSMUSP00000115786.1 pep:known chromosome:GRCm38:7:46786514:46796064:-1 gene:ENSMUSG00000014418.15 transcript:ENSMUST00000152759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps5 description:Hermansky-Pudlak syndrome 5 [Source:MGI Symbol;Acc:MGI:2180307] MTFVPVIPEAYSHVLAEFESLDPLLTALRLDSSRLRCTSIAVSRKWLALGSTGGGLNLIQ KDGWKQRLFLSHREGAISQIACCSHDDDYVAVATSQGLVVVWELNQERRGKPERIHVSSE HKGRKVTALCWDTAVLRVFVGDHVGKVSAIKLNTLKQAKAAAAFVMFPVQTVLTVDSCVV QLDYLDGRLLVSSLTRSFLCDT >ENSMUSP00000116770.1 pep:known chromosome:GRCm38:7:46788292:46795703:-1 gene:ENSMUSG00000014418.15 transcript:ENSMUST00000123725.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps5 description:Hermansky-Pudlak syndrome 5 [Source:MGI Symbol;Acc:MGI:2180307] MTFVPVIPEAYSHVLAEFESLDPLLTALRLDSSRLRCTSIAVSRKWLALGSTGGGLNLIQ KDGWKQRLFLSHREGAISQIACCSHDDDYVAVATSQGLVVVWELNQERRGKPERIHVSS >ENSMUSP00000097727.1 pep:known chromosome:GRCm38:2:36859414:36860346:-1 gene:ENSMUSG00000075383.3 transcript:ENSMUST00000100150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr351 description:olfactory receptor 351 [Source:MGI Symbol;Acc:MGI:3030185] MENESSVSEFFLQGISGFSEQQQLLYGLFLCMYLVTLTGNVLIILAIGSDPHLHTPMYFF LANLSFADMGLISSTVTKMLFNVQTRCHTISYTGCLTQMYFFLMFGDLDSFLLAVMAYDR YVAICHPLHYSTIMSARVCALMLALCWVLTNIVALTHTLLMARLSFCVVGEIAHFFCDIT PVLKLSCSDTHVNELMVFALGGTVLMVPFVCIVISYIHIVFAILKVRTPGGGTKAFSTCS SHLCVVCVFYGTLFSAYLCPPSVVSTEKDVAAAAMYTVVTPMLNPFIYSLRNKDMKGALK RLLCHRKFLS >ENSMUSP00000025338.9 pep:known chromosome:GRCm38:17:37045966:37075067:1 gene:ENSMUSG00000024462.17 transcript:ENSMUST00000025338.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabbr1 description:gamma-aminobutyric acid (GABA) B receptor, 1 [Source:MGI Symbol;Acc:MGI:1860139] MLLLLLVPLFLRPLGAGGAQTPNVTSEGCQIIHPPWEGGIRYRGLTRDQVKAINFLPVDY EIEYVCRGEREVVGPKVRKCLANGSWTDMDTPSRCVRICSKSYLTLENGKVFLTGGDLPA LDGARVDFRCDPDFHLVGSSRSICSQGQWSTPKPHCQVNRTPHSERRAVYIGALFPMSGG WPGGQACQPAVEMALEDVNSRRDILPDYELKLIHHDSKCDPGQATKYLYELLYNDPIKII LMPGCSSVSTLVAEAARMWNLIVLSYGSSSPALSNRQRFPTFFRTHPSATLHNPTRVKLF EKWGWKKIATIQQTTEVFTSTLDDLEERVKEAGIEITFRQSFFSDPAVPVKNLKRQDARI IVGLFYETEARKVFCEVYKERLFGKKYVWFLIGWYADNWFKTYDPSINCTVEEMTEAVEG HITTEIVMLNPANTRSISNMTSQEFVEKLTKRLKRHPEETGGFQEAPLAYDAIWALALAL NKTSGGGGRSGVRLEDFNYNNQTITDQIYRAMNSSSFEGVSGHVVFDASGSRMAWTLIEQ LQGGSYKKIGYYDSTKDDLSWSKTDKWIGGSPPADQTLVIKTFRFLSQKLFISVSVLSSL GIVLAVVCLSFNIYNSHVRYIQNSQPNLNNLTAVGCSLALAAVFPLGLDGYHIGRSQFPF VCQARLWLLGLGFSLGYGSMFTKIWWVHTVFTKKEEKKEWRKTLEPWKLYATVGLLVGMD ILTLAIWQIVDPLHRTIETFAKEEPKEDIDVSILPQLEHCSSKKMNTWLGIFYGYKGLLL LLGIFLAYETKSVSTEKINDHRAVGMAIYNVAVLCLITAPVTMILSSQQDAAFAFASLAI VFSSYITLVVLFVPKMRRLITRGEWQSEAQDTMKTGSSTNNNEEEKSRLLEKENRELEKI IAEKEERVSELRHQLQSRQQIRSRRHPPTPPDPSGGLPRGPSEPPDRLSCDGSRVHLLYK >ENSMUSP00000133797.1 pep:known chromosome:GRCm38:17:37046020:37074290:1 gene:ENSMUSG00000024462.17 transcript:ENSMUST00000173823.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gabbr1 description:gamma-aminobutyric acid (GABA) B receptor, 1 [Source:MGI Symbol;Acc:MGI:1860139] MLLLLLVPLFLRPLGAGGAQTPNVTSEGCQIIHPPWEGGIRYRGLTRDQVKAINFLPVDY EIEYVCRGEREVVGPKVRKCLANGSWTDMDTPSRCGTLPSSQSPLRMNRCGRGLGIRPGK LGAPGNSSLIPVFPAASESNATLRTACSIHRGAVSHERGLAGGPGLPACGGDGAGGR >ENSMUSP00000134409.1 pep:known chromosome:GRCm38:17:37046028:37049467:1 gene:ENSMUSG00000024462.17 transcript:ENSMUST00000174456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabbr1 description:gamma-aminobutyric acid (GABA) B receptor, 1 [Source:MGI Symbol;Acc:MGI:1860139] MLLLLLVPLFLRPLGAGGAQTPNVTSEGCQIIHPPWEGGIRYRGLTRDQVKAINFLPVDY EIEYVCRGEREVVGPKVRKCLANGSWTDMDTPSRCVRICSKSYLTLENGKVFLTGGDLPA LDGARVDFRCDPDFHLVGSSRSICSQGQWSTPKPHCQGEGNSCLPAADEGACPRMGVGWE WVEGMDGCYEECRGGVWEDAGETYLYTTHLPQTWFHGPFCWASPHPPFL >ENSMUSP00000134580.1 pep:known chromosome:GRCm38:17:37046568:37049467:1 gene:ENSMUSG00000024462.17 transcript:ENSMUST00000172789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabbr1 description:gamma-aminobutyric acid (GABA) B receptor, 1 [Source:MGI Symbol;Acc:MGI:1860139] MLLLLLVPLFLRPLGAGGAQTPNVTSEGCQIIHPPWEGGIRYRGLTRDQVKAINFLPVDY EIEYVCRGEREVVGPKVRKCLANGSWTDMDTPSRCVRICSKSYLTLENGKVFLTGGDLPA LDGARVDFRCDPDFHLVGSSRSICSQGQWSTPKPHCQGEGNSCLPAADEGACPRMGVGWE WVEGMDGCYEECRGGVWEDAGETYLYTTHLPQTWFHGPFCWASPHPPFL >ENSMUSP00000134268.1 pep:known chromosome:GRCm38:17:37050631:37074304:1 gene:ENSMUSG00000024462.17 transcript:ENSMUST00000172792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabbr1 description:gamma-aminobutyric acid (GABA) B receptor, 1 [Source:MGI Symbol;Acc:MGI:1860139] MGPGGPCTPVGWPLPLLLVMAAGVAPVWASHSPHLPRPHPRVPPHPSSERRAVYIGALFP MSGGWPGGQACQPAVEMALEDVNSRRDILPDYELKLIHHDSKCDPGQATKYLYELLYNDP IKIILMPGCSSVSTLVAEAARMWNLIVLSYGSSSPALSNRQRFPTFFRTHPSATLHNPTR VKLFEKWGWKKIATIQQTTEVFTSTLDDLEERVKEAGIEITFRQSFFSDPAVPVKNLKRQ DARIIVGLFYETEARKVFCEVYKERLFGKKYVWFLIGWYADNWFKTYDPSINCTVEEMTE AVEGHITTEIVMLNPANTRSISNMTSQEFVEKLTKRLKRHPEETGGFQEAPLAYDAIWAL ALALNKTSGGGGRSGVRLEDFNYNNQTITDQIYRAMNSSSFEGVSGHVVFDASGSRMAWT LIEQLQGGSYKKIGYYDSTKDDLSWSKTDKWIGGSPPADQTLVIKTFRFLSQKLFISVSV LSSLGIVLAVVCLSFNIYNSHVRYIQNSQPNLNNLTAVGCSLALAAVFPLGLDGYHIGRS QFPFVCQARLWLLGLGFSLGYGSMFTKIWWVHTVFTKKEEKKEWRKTLEPWKLYATVGLL VGMDILTLAIWQIVDPLHRTIETFAKEEPKEDIDVSILPQLEHCSSKKMNTWLGIFYGYK GLLLLLGIFLAYETKSVSTEKINDHRAVGMAIYNVAVLCLITAPVTMILSSQQDAAFAFA SLAIVFSSYITLVVLFVPKMRRLITRGEWQSEAQDTMKTGSSTNNNEEEKSRLLEKENRE LEKIIAEKEERVSELRHQLQSRQQIRSRRHPPTPPDPSGGLPRGPSEPPDRLSCDGSRVH LLYK >ENSMUSP00000134346.1 pep:known chromosome:GRCm38:17:37050674:37056357:1 gene:ENSMUSG00000024462.17 transcript:ENSMUST00000174347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabbr1 description:gamma-aminobutyric acid (GABA) B receptor, 1 [Source:MGI Symbol;Acc:MGI:1860139] MGPGGPCTPVGWPLPLLLVMAAGVAPVWASHSPHLPRPHPRVPPHPSSERRAVYIGALFP MSGGWPGGQACQPAVEMALEDVNSRRDILPDYELKLIHHDSKLSYGSSSPALSNRQRFPT FFRTHPSATLHNPTRVKLFEKWGWKKIATIQQTTEVFTSTLDDLEERVKEAGIEITFRQS FFSDPAVPVKNLKRQDARIIVGLFYETEARKVF >ENSMUSP00000066841.3 pep:known chromosome:GRCm38:7:26435113:26476142:1 gene:ENSMUSG00000040601.13 transcript:ENSMUST00000068767.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4a description:NLR family, pyrin domain containing 4A [Source:MGI Symbol;Acc:MGI:2443697] MASFFSDFGLMWYLEELNKKEFVKFKEFLKQEVLQLGLKQVSWTEVKKASRQDLASLLLK HYEEKPAWDMTFRFFQKINRKDLIERAKREIDGCPKLYRAHMKTKMTHDSSRAFTISIQN FLKEKFTEDDYDCFENLFQSKGTESKPQVVFLSGGAGVGKTLMLKRLMLAWIESPVFLHK FSYIFYFCCREVKQLKTASLAELISREWPGPSAPIEEILSKPEKLLFIIDSLEGMECDLF KWESELCDNCTEKQPVNVLLSSLLRRKMLPESSLLISATPESFEKMENRIEYTHVKIIKG LKERNIKMSFHRLFQDRNRAHEAFSLVRENEQLFTVCQVPVLCWMVATCLKEEIEKGRDP VSICRCTTSLYTTHIFNLFIPQNAHSPSKKSQDQLQGLCSLAAEGMWTDTFVFGEEALRR NGIMDSDIPILLDIGMLINIRESEKSYIFLHPSVQEVCAAIFYLLKSHVDHPSQEVKSIE KLMFAFLKKVKVQWIFFGSFIFGLLHESEQKKLEAFFGHQLSQEIKRQLYQCLETISGNE ELQEQIDGMKLFYCLFEMDDDTFLVEAMNCMEQINFVAKDYSDVIVAAHCLKHCFTLKKL SFSTQNVLSGAQEHSYMERLLTAWNHICSVFIISKDIQELRMKDTNLSESAFSVLYNNLK YHNYTLNVLVANNVFFVCEKYLFFELIQNCNLQHLNLSLTILSHSDVKLLCDVLSQAECN IEELVVAACSISSDDCKVFASVLISNKTLKHLNLASNTLDKGIASLCKSLCHPDCILEHL VLANCSLNEKCWDYLSEVLRRNKTLSHLDISSNDLKDEGLKVLCRALTLPDSVLKSLSLR HCLITISGCQDLAEVLRNNQNLVSLQVSNNKLEDTGVKLLCDAIKHPNCHLEDLGLEACE LTGACCEDLASTFTQCKTLWAMNLLKNALDYNGLVVLCEALKQQTCATYVLGLQITDFDT ETQAFLVAEQEKNPCLRILSSL >ENSMUSP00000112441.1 pep:known chromosome:GRCm38:7:26435614:26475210:1 gene:ENSMUSG00000040601.13 transcript:ENSMUST00000119386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4a description:NLR family, pyrin domain containing 4A [Source:MGI Symbol;Acc:MGI:2443697] MASFFSDFGLMWYLEELNKKEFVKFKEFLKQEVLQLGLKQVSWTEVKKASRQDLASLLLK HYEEKPAWDMTFRFFQKINRKDLIERAKREIDGCPKLYRAHMKTKMTHDSSRAFTISIQN FLKEKFTEDDYDCFENLFQSKGTESKPQVVFLSGGAGVGKTLMLKRLMLAWIESPVFLHK FSYIFYFCCREVKQLKTASLAELISREWPGPSAPIEEILSKPEKLLFIIDSLEGMECDLF KWESELCDNCTEKQPVNVLLSSLLRRKMLPESSLLISATPESFEKMENRIEYTHVKIIKG LKERNIKMSFHRLFQDRNRAHEAFSLVRENEQLFTVCQVPVLCWMVATCLKEEIEKGRDP VSICRCTTSLYTTHIFNLFIPQNAHSPSKKSQDQLQGLCSLAAEGMWTDTFVFGEEALRR NGIMDSDIPILLDIGMLINIRESEKSYIFLHPSVQEVCAAIFYLLKSHVDHPSQEVKSIE KLMFAFLKKVKVQWIFFGSFIFGLLHESEQKKLEAFFGHQLSQEIKRQLYQCLETISGNE ELQEQIDGMKLFYCLFEMDDDTFLVEAMNCMEQINFVAKDYSDVIVAAHCLKHCFTLKKL SFSTQNVLSGAQEHSYMERLLTAWNHICSVFIISKDIQELRMKDTNLSESAFSVLYNNLK YHNYTLNVLVANNVFFVCEKYLFFELIQNCNLQHLNLSLTILSHSDVKLLCDVLSQAECN IEELVVAACSISSDDCKVFASVLISNKTLKHLNLASNTLDKGIASLCKSLCHPDCILEHL VLANCSLNEKCWDYLSEVLRRNKTLSHLDISSNDLKDEGLKVLCRALTLPDSVLKSLSLR HCLITISGCQDLAEVLRNNQNLVSLQVSNNKLEDTGVKLLCDAIKHPNCHLEDLGLEACE LTGACCEDLASTFTQCKTLWAMNLLKNALDYNGLVVLCEALKQQTCATYVLGLQITDFDT ETQAFLVAEQEKNPCLRILSSL >ENSMUSP00000146044.1 pep:known chromosome:GRCm38:7:26435646:26464606:1 gene:ENSMUSG00000040601.13 transcript:ENSMUST00000146907.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4a description:NLR family, pyrin domain containing 4A [Source:MGI Symbol;Acc:MGI:2443697] MASFFSDFGLMWYLEELNKKEFVKFKEFLKQEVLQLGLKQVSWTEVKKASRQDLASLLLK HYEEKPAWDMTFRFFQKINRKDLIERAKREIDGCPKLYRAHMKTKMTHDSSRAFTISIQN FLKEKFTEDDYDCFENLFQSKGTESKPQVVFLSGGAGVGKTLMLKRLMLAWIESPVFLHK FSYIFYFCCREVKQLKTASLAELISREWPGPSAPIEEILSKPEKLLFIIDSLEGMECDLF KWESELCDNCTEKQPVNVLLSSLLRRKMLPESSLLISATPESFEKMENRIEYTHVKIIKG LKERNIKMSFHRLFQDRNRAHEAFSLVRENEQLFTVCQVPVLCWMVATCLKEEIEKGRDP VSICRCTTSLYTTHIFNLFIPQNAHSPSKKSQDQLQGLCSLAAEGMWTDTFVFGEEALRR NGIMDSDIPILLDIGMLINIRESEKSYIFLHPSVQEVCAAIFYLLKSHVDHPSQEVKSIE KLMFAFLKKVKVQWIFFGSFIFGLLHESEQKKLEAFFGHQLSQEIKRQLYQCLETISGNE ELQEQIDGMKLFYCLFEMDDDTFLVEAMNCMEQINFVAKDYSDVIVAAHCLKHCFTLKKL SFSTQNVLSGAQEHSYMERLLTAWNHICSVFIISKDIQELRMKDTNLSESAFSVLYNNLK YHNYTLNVLVANNVFFVCEKYLFFELIQNCNLQHLNLSLTILSHSDVKLLCDVLSQAECN IEELVVAACSISSDDCKVFASVLISNKTLKHLNLASNTLDKGIASLCKSLCHPDCILEHL VLANCSLNEKCWDYLSEVLRRNKTLSHLDISSNDLKDEGLKVLCRALTLPDSVLKSLSLR HCLITISGCQDLAEVLRNNQNLVSLQVSNNKLEDTGVKLLCDAIKHPNCHLEDLGLEACE LTGACCEDLASTFTQCKTLWAMNLLKNALDYNGLVVLCEALKQQTCATYVLG >ENSMUSP00000143057.1 pep:known chromosome:GRCm38:X:160771923:160928488:-1 gene:ENSMUSG00000031292.14 transcript:ENSMUST00000196297.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkl5 description:cyclin-dependent kinase-like 5 [Source:MGI Symbol;Acc:MGI:1278336] MKIPNIGNVMNKFEILGVVGEGAYGVVLKCRHKETHEIVAIKKFKDSEENEEVKETTLRE LKMLRTLKQENIVELKEAFRRRGKLYLVFEYVEKNMLELLEEMPNGVPPEKVKSYIYQLI KAIHWCHKNDIVHRDIKPENLLISHNDVLKLCDFGFARNLSEGNNANYTEYVATRWYRSP ELLLGAPYGKSVDMWSVGCILGELSDGQPLFPGESEIDQLFTIQKVLGPLPSEQMKLFYS NPRFHGLRFPAVNHPQSLERRYLGILNSVLLDLMKNLLKLDPADRYLTEQCLNHPTFQTQ RLLDRSPSRSTKRKPYHVESSTLSNRNQSTKGAALQTHHRSNSKDIQNLSVGLPRAEEGL PANESFLNGNLAGATLSPMHTKTYQASTQPGSSSKDLTNNNIPHLLSPKEAKSKTEFDFN IDTKPSEGPGTKYLKSSTRSQQNRHSFMESSQSKAGTLQPSEKQSRHSYIDTIPQSSRSP SYRTKAKSHGALSDSKSVSNLSEARAQITETNTSRYFPSSCLDLNSPTSPTPTRHTDTRT LLSPSGRNNRNEGTLDSRRTTTRHSKTMEELKLPEHMDSSHSHSLSAPHESFSYGLGYTS PFSSQQRPHRHSMYVTRDKVRAKGLDGSLSIGQGMAARANSLQLLSPQPGEQLPPEMTVA RPSVKESSREGASSFHTRQKSEGGVYHDPHSDDGTAPKENRHLYNDPVPRRVGSFYRVPS PRPDNSFHENNVSTRVSSLPSDSSSGTNHSKRQPGFDPWKSPENISHADQLKEKEKQGFF RSMKKKKKKTQTVPNTDGPDLLTLQKAIHSSSTASSRPKEWRPEKLSDLQTQSQPLKSLR KLLHLSSSTNHPASSDPRFQPLTAQQAKNSFSEIRIHPLSQATGGSSNIRQEPTPKGRPA LQLPGSATHWLPTPSAPEALLVA >ENSMUSP00000143137.1 pep:known chromosome:GRCm38:X:160771923:160928521:-1 gene:ENSMUSG00000031292.14 transcript:ENSMUST00000197942.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkl5 description:cyclin-dependent kinase-like 5 [Source:MGI Symbol;Acc:MGI:1278336] MKIPNIGNVMNKFEILGVVGEGAYGVVLKCRHKETHEIVAIKKFKDSEENEEVKETTLRE LKMLRTLKQENIVELKEAFRRRGKLYLVFEYVEKNMLELLEEMPNGVPPEKVKSYIYQLI KAIHWCHKNDIVHRDIKPENLLISHNDVLKLCDFGFARNLSEGNNANYTEYVATRWYRSP ELLLGAPYGKSVDMWSVGCILGELSDGQPLFPGESEIDQLFTIQKVLGPLPSEQMKLFYS NPRFHGLRFPAVNHPQSLERRYLGILNSVLLDLMKNLLKLDPADRYLTEQCLNHPTFQTQ RLLDRSPSRSTKRKPYHVESSTLSNRNQSTKGAALQTHHRSNSKDIQNLSVGLPRAEEGL PANESFLNGNLAGATLSPMHTKTYQASTQPGSSSKDLTNNNIPHLLSPKEAKSKTEFDFN IDTKPSEGPGTKYLKSSTRSQQNRHSFMESSQSKAGTLQPSEKQSRHSYIDTIPQSSRSP SYRTKAKSHGALSDSKSVSNLSEARAQITETNTSRYFPSSCLDLNSPTSPTPTRHTDTRT LLSPSGRNNRNEGTLDSRRTTTRHSKTMEELKLPEHMDSSHSHSLSAPHESFSYGLGYTS PFSSQQRPHRHSMYVTRDKVRAKGLDGSLSIGQGMAARANSLQLLSPQPGEQLPPEMTVA RPSVKESSREGASSFHTRQKSEGGVYHDPHSDDGTAPKENRHLYNDPVPRRVGSFYRVPS PRPDNSFHENNVSTRVSSLPSDSSSGTNHSKRQPGFDPWKSPENISHADQLKEKEKQGFF RSMKKKKKKTQTVPNTDGPDLLTLQKAIHSSSTASSRPKEWRPEKLSDLQTQVPPPTGFP PPQPQRPYWLHKHQFAKTFVER >ENSMUSP00000084342.4 pep:known chromosome:GRCm38:X:160784308:160994704:-1 gene:ENSMUSG00000031292.14 transcript:ENSMUST00000087104.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl5 description:cyclin-dependent kinase-like 5 [Source:MGI Symbol;Acc:MGI:1278336] MKIPNIGNVMNKFEILGVVGEGAYGVVLKCRHKETHEIVAIKKFKDSEENEEVKETTLRE LKMLRTLKQENIVELKEAFRRRGKLYLVFEYVEKNMLELLEEMPNGVPPEKVKSYIYQLI KAIHWCHKNDIVHRDIKPENLLISHNDVLKLCDFGFARNLSEGNNANYTEYVATRWYRSP ELLLGAPYGKSVDMWSVGCILGELSDGQPLFPGESEIDQLFTIQKVLGPLPSEQMKLFYS NPRFHGLRFPAVNHPQSLERRYLGILNSVLLDLMKNLLKLDPADRYLTEQCLNHPTFQTQ RLLDRSPSRSTKRKPYHVESSTLSNRNQSTKGAALQTHHRSNSKDIQNLSVGLPRAEEGL PANESFLNGNLAGATLSPMHTKTYQASTQPGSSSKDLTNNNIPHLLSPKEAKSKTEFDFN IDTKPSEGPGTKYLKSSTRSQQNRHSFMESSQSKAGTLQPSEKQSRHSYIDTIPQSSRSP SYRTKAKSHGALSDSKSVSNLSEARAQITETNTSRYFPSSCLDLNSPTSPTPTRHTDTRT LLSPSGRNNRNEGTLDSRRTTTRHSKTMEELKLPEHMDSSHSHSLSAPHESFSYGLGYTS PFSSQQRPHRHSMYVTRDKVRAKGLDGSLSIGQGMAARANSLQLLSPQPGEQLPPEMTVA RPSVKESSREGASSFHTRQKSEGGVYHDPHSDDGTAPKENRHLYNDPVPRRVGSFYRVPS PRPDNSFHENNVSTRVSSLPSDSSSGTNHSKRQPGFDPWKSPENISHADQLKEKEKQGFF RSMKKKKKKTQTVPNTDGPDLLTLQKAIHSSSTASSRPKEWRPEKLSDLQTQSQPLKSLR KLLHLSSSTNHPASSDPRFQPLTAQQAKNSFSEIRIHPLSQATGGSSNIRQEPTPKGRPA LQLPGSSLLRYNGWKHSRSRSSQPDEVIFLASHEKWKQ >ENSMUSP00000143726.1 pep:known chromosome:GRCm38:X:160801392:160928488:-1 gene:ENSMUSG00000031292.14 transcript:ENSMUST00000198931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl5 description:cyclin-dependent kinase-like 5 [Source:MGI Symbol;Acc:MGI:1278336] MKIPNIGNVMNKFEILGVVGEGAYGVVLKCRHKETHEIVAIKKFKDSEENEEVKETTLRE LKMLRTLKQENIVELKEAFRRRGKLYLVFEYVEKNMLELLEEMPNGVPPEKVKSYIYQLI KAIHWCHKNDIVHRDIKPENLLISHNDVLKLCDFGFARNLSEGNNANYTEYVATRWYRSP ELLLGAPYGKSVDMWSVGCILGELSDGQPLFPGESEIDQLFTIQKVLGPLPSEQMKLFYS NPRFHGLRFPAVNHPQSLERRYLGILNSVLLDLMKNLLKLDPADRYLTEQCLNHPTFQTQ RLLDRSPSRSTKRKPYHVESSTLSNRNQSTKGAALQTHHRSNSKDIQNLSVGLPRAEEGL PANESFLNGNLAGATLSPMHTKTYQASTQPGSSSKDLTNNNIPHLLSPKEAKSKTEFDFN IDTKPSEGPGTKYLKSSTRSQQNRHSFMESSQSKAGTLQPSEKQSRHSYIDTIPQSSRSP SYRTKAKSHGALSDSKSVSNLSEARAQITETNTSRYFPSSCLDLNSPTSPTPTRHTDTRT LLSPSGRNNRNEGTLDSRRTTTRHSKTMEELKLPEHMDSSHSHSLSAPHESFSYGLGYTS PFSSQQRPHRHSMYVTRDKVRAKGLDGSLSIGQGMAARANSLQLLSPQPGEQLPPEMTVA RPSVKESSREGASSFHTRQKSEGGVYHDPHSDDGTAPKENRHLYNDPVPRRVGSFYRVPS PRPDNSFHENNVSTRVSSLPSDSSSGTNHSKRQPGFDPWKSPENISHADQLKEKEKQGFF RSMKKKKKKTQTVPNTDGPDLLTLQKAIHSSSTASSRPKEWRPEKLSDLQTQSQPLKSLR KLLHLSSSTNHPASSDPRFQPLTAQQAKNSFSEIRIHPLSQATGGSSNIRQEPTPKGRPA LQLPGQMDPGWHVSSVTRSATEGPSYSEQLGAKSGPNGHPYNRTNRSRMPNLNDLKETAL >ENSMUSP00000074578.4 pep:known chromosome:GRCm38:9:28994750:29963129:-1 gene:ENSMUSG00000059974.10 transcript:ENSMUST00000075069.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntm description:neurotrimin [Source:MGI Symbol;Acc:MGI:2446259] MKTIQAKMHNSISWAIFTGLAALCLFQGVPVRSGDATFPKAMDNVTVRQGESATLRCTID NRVTRVAWLNRSTILYAGNDKWCLDPRVVLLSNTQTQYSIEIQNVDVYDEGPYTCSVQTD NHPKTSRVHLIVQVSPKIVEISSDISINEGNNISLTCIATGRPEPTVTWRHISPKAVGFV SEDEYLEIQGITREQSGEYECSASNDVAAPVVRRVKVTVNYPPYISEAKGTGVPVGQKGT LQCEASAVPSAEFQWFKDDKRLVEGKKGVKVENRPFLSKLTFFNVSEHDYGNYTCVASNK LGHTNASIMLFGPGAVSEVNNGTSRRAGCIWLLPLLVLHLLLKF >ENSMUSP00000110892.1 pep:known chromosome:GRCm38:9:28996012:29412204:-1 gene:ENSMUSG00000059974.10 transcript:ENSMUST00000115237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntm description:neurotrimin [Source:MGI Symbol;Acc:MGI:2446259] MGVCGYLFLPWKCLVVVSLRLLFLVPTGVPVRSGDATFPKAMDNVTVRQGESATLRCTID NRVTRVAWLNRSTILYAGNDKWCLDPRVVLLSNTQTQYSIEIQNVDVYDEGPYTCSVQTD NHPKTSRVHLIVQVSPKIVEISSDISINEGNNISLTCIATGRPEPTVTWRHISPKAVGFV SEDEYLEIQGITREQSGEYECSASNDVAAPVVRRVKVTVNYPPYISEAKGTGVPVGQKGT LQCEASAVPSAEFQWFKDDKRLVEGKKGVKVENRPFLSKLTFFNVSEHDYGNYTCVASNK LGHTNASIMLFGPGAVSEVNNGTSRRAGCIWLLPLLVLHLLLKF >ENSMUSP00000114810.1 pep:known chromosome:GRCm38:9:28996494:29014259:-1 gene:ENSMUSG00000059974.10 transcript:ENSMUST00000140118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntm description:neurotrimin [Source:MGI Symbol;Acc:MGI:2446259] VGFVSEDEYLEIQGITREQSGEYECSASNDVAAPVVRRVKVTVNYPPYISEAKGTGVPVG QKGTLQCEASAVPSAEFQWFKDDKRLVEGKKGVKVENRPFLSKLTFFNVSEHDYGNYTCV ASNKLGHTNASIMLFEVKTTALTPWKGPGAVSEVNNGTSRRAGCIWLLPLLVLHLLLKF >ENSMUSP00000119030.1 pep:known chromosome:GRCm38:9:28996231:29014259:-1 gene:ENSMUSG00000059974.10 transcript:ENSMUST00000155308.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntm description:neurotrimin [Source:MGI Symbol;Acc:MGI:2446259] VGFVSEDEYLEIQGITREQSGEYECSASNDVAAPVVRRVKVTVNYPPYISEAKGTGVPVG QKGTLQCEASAVPSAEFQWFKDDKRLVEGKKGVKVENRPFLSKLTFFNVSEHDYGNYTCV ASNKLGHTNASIMLFELNEPTSSTLLQEVKTTALTPWKGPGAVSEVNNGTSRRAGCIWLL PLLVLHLLLKF >ENSMUSP00000110891.1 pep:known chromosome:GRCm38:9:29009102:29411736:-1 gene:ENSMUSG00000059974.10 transcript:ENSMUST00000115236.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntm description:neurotrimin [Source:MGI Symbol;Acc:MGI:2446259] MGVCGYLFLPWKCLVVVSLRLLFLVPTGVPVRSGDATFPKAMDNVTVRQGESATLRCTID NRVTRVAWLNRSTILYAGNDKWCLDPRVVLLSNTQTQYSIEIQNVDVYDEGPYTCSVQTD NHPKTSRVHLIVQVSPKIVEISSDISINEGNNISLTCIATGRPEPTVTWRHISPKAVGFV SEDEYLEIQGITREQSGEYECSASNDVAAPVVRRVKVTVNYPPYISEAKGTGVPVGQKGT LQCEASAVPSAEFQWFKDDKRLVEGKKGVKVENRPFLSKLTFFNVSEHDYGNYTCVASNK LGHTNASIMLFGETLP >ENSMUSP00000001920.7 pep:known chromosome:GRCm38:2:31950139:31973442:1 gene:ENSMUSG00000001864.13 transcript:ENSMUST00000001920.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aif1l description:allograft inflammatory factor 1-like [Source:MGI Symbol;Acc:MGI:1919598] MSVALSNRFQGGKAFGLLKARQEKRLEEINREFLCDQKYSDEENLPEKLAAFKEKYMEFD LNNEGEIDLMSLKRMMEKLGVPKTHLEMKKMISEVTGGVSDTISYRDFVNMMLGKRSAVL KLVMMFEGKANESSPKPAGPPPERDIASLP >ENSMUSP00000141456.1 pep:known chromosome:GRCm38:2:31950274:31969747:1 gene:ENSMUSG00000001864.13 transcript:ENSMUST00000151276.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aif1l description:allograft inflammatory factor 1-like [Source:MGI Symbol;Acc:MGI:1919598] MSLKRMMEKLGVPKTHLEMKKMISEVTGGVSDTISYR >ENSMUSP00000141549.1 pep:known chromosome:GRCm38:2:31950334:31971232:1 gene:ENSMUSG00000001864.13 transcript:ENSMUST00000148056.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aif1l description:allograft inflammatory factor 1-like [Source:MGI Symbol;Acc:MGI:1919598] MSVALSNRFQGGKAFGLLKARQEKRLEEINREFLCDQKYSDEENLPEKLAAFKDLMSLKR MMEKLGVPKTHLEMKKMISEVTGGVSDTISYRDFVNMMLGKRSAVLKLVMMFEGKANESS PKPAGPPPERDIASLP >ENSMUSP00000042057.7 pep:known chromosome:GRCm38:9:123170824:123216038:-1 gene:ENSMUSG00000035498.9 transcript:ENSMUST00000039229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdcp1 description:CUB domain containing protein 1 [Source:MGI Symbol;Acc:MGI:2442010] MAHSACGFSVALLGALLLGTARLLRGTEASEIALPQRSGVTVSIKLGNPALPVKICYIVM SRQHITELIIRPGERKSFTFSCSNPEKHFVLKIEKNIDCMSGPCPFGEVHLQPSTSELPI LNRTFIWDVRAHKSIGLELQFATPRLRQIGPGESCADGVTHSISGHIDATEVRIGTFCSN GTVSRIKMQEGVKMALHLPWFHRRNVSGFSIANRSSIKRLCIIESVFEGEGSATLMSANY PGGFPEDELMTWQFVVPAHLRASVSFLNFNVSNCERKEERVEYYIPGSTTNPEVFRLEDK QPGNMAGNFNLSLQGCDQDAQSPGILRLQFQVLVQRPQDESNKTYMVDLSRERTMSLTIE PRPVKHGRRFVPGCFVCLESRTCSTNVTLTAGSIHKISFLCDDLTRLWVNVEKTLSCLDH RYCYRQSFKLQVPDYILQLPVQLHDFSWKLLVPKDKLSLMLVPGQKLQQHTQERPCNTSF GYHVTSTTPGQDLYFGSFCSGGSIEKIQVKQNSSVTLRAYAPSFQQEVSKQGLIVSYTPY FKEEGIFTVTPDTKNKVYLRSPNWDRGLPALSSVSWNISVPSNQVACLTVLKERSGLACQ SGRAFMIIQEQQSRAEEIFSLEEEVLPKPSFHHHSFWVNISNCSPMNGKQLDLLFWVTLT PRTVDLAVVIGAAGGGALLLFALVLIICFVKKKKKVDKGPAVGIYNGNVNTQMPQTQKFP KGRKDNDSHVYAVIEDTMVYGHLLQDSGGSFIQPEVDTYRPFQGPMGDCPPTPPPLFSRT PTAKFTAEELAPSSPPESESEPYTFSHPNKGEIGVRETDIPLLHTQGPVETEE >ENSMUSP00000017270.6 pep:known chromosome:GRCm38:11:100262887:100269871:-1 gene:ENSMUSG00000053654.7 transcript:ENSMUST00000017270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt42 description:keratin 42 [Source:MGI Symbol;Acc:MGI:1915489] MASTTSVRQFSTSGSVKGLCAPGMGFSRMSSVRVGGACRAPSLLGGGSCGNMSVTSSRFS AGLGGGYGGGYTCSLGGGFGSSFGVSDALLGGSEKETMQNLNDRLATYLDRVRALEEANA DLEVKIREWYKKQGPGPARDYSPYFKTIEDLRNKILAATIDNASIVLQIDNARLAADDFR TKYETELNLRMSVEADINGLRRVLDELTLARADLEMQIESLKEELAYLRKNHEEEMNALR GQVGGDVNVEMDAAPGVDLSRILNEMRDQYEKMAEKNRKDAEEWFFTKTEELNREVATNT EALQSSRTEITELRRSVQNLEIELQSQLSMKASLENSLAETEARYGAQLAQLQGLISSVE QQLCELRCDMERQNHEYQVLLDVKTRLEQEIATYRRLLEGEDAHLATQYSSSLASQPSRE GMVTSRQVRTIVEEVQDGKVVSSREQVHRSTH >ENSMUSP00000045562.6 pep:known chromosome:GRCm38:19:4214238:4223490:1 gene:ENSMUSG00000040663.8 transcript:ENSMUST00000046506.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcf1 description:cardiotrophin-like cytokine factor 1 [Source:MGI Symbol;Acc:MGI:1930088] MDLRAGDSWGMLACLCTVLWHLPAVPALNRTGDPGPGPSIQKTYDLTRYLEHQLRSLAGT YLNYLGPPFNEPDFNPPRLGAETLPRATVNLEVWRSLNDRLRLTQNYEAYSHLLCYLRGL NRQAATAELRRSLAHFCTSLQGLLGSIAGVMATLGYPLPQPLPGTEPAWAPGPAHSDFLQ KMDDFWLLKELQTWLWRSAKDFNRLKKKMQPPAASVTLHLEAHGF >ENSMUSP00000118157.1 pep:known chromosome:GRCm38:19:4214305:4223490:1 gene:ENSMUSG00000040663.8 transcript:ENSMUST00000138090.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcf1 description:cardiotrophin-like cytokine factor 1 [Source:MGI Symbol;Acc:MGI:1930088] MDLRAEPRSRKNLPKERRADRRMDVYGSGRPNLRERLEGTRGGC >ENSMUSP00000101846.1 pep:known chromosome:GRCm38:3:139205694:139709610:1 gene:ENSMUSG00000047940.13 transcript:ENSMUST00000106239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stpg2 description:sperm tail PG rich repeat containing 2 [Source:MGI Symbol;Acc:MGI:2685863] MYDRAPRWLDCANRGSTEEHVGPGTYQVPFPKQQATGCYAPFLSLSSKTSACVVSSDAGQ AVPGPAHYNVSQAQYNIRGGRSLQNREKRFKKLISDGPGPGSYNWPYLGTLCITTRQKTP RTPAVSRNIDIPSIPSSGKSHGYHLNDDDTIMRRTPPPSDNTIGPAYYNPQFDYPKASLK YKGVNFGNATGRQEFLKYSGPGPGQYDIIQKRKLHCENINIKREQEHNYYTYVPRLYEAI ILQEEKKGVPGPGKYNIKSEFDMIKSMSALVNSPSFIFFSETERFEPIKSCTPAPGTYNE IRTAFKCPKKRFGLSLPFNQSAARFTEDSKAQKLPGPGFYDISTNIVKAQVKKPCLKKQP KTGFGSSVPRTLFTAQKKAFRGPGPSDYQVRGTHDELPNLNKSAAFLSRAEKTPPVRKMR LPAPGRYDVQKSYDMSQVKHKYMPPRTSVAKKRHSSFLSAAPRCLGKIADGPGPATYSPV LMKSGAIISFVKGPKRFQEFHGEFSPGPTTYELSPFLRHSLLKRTYNVTLPCSSSPNREN TGCPSQKATQKFQREKLQYFN >ENSMUSP00000051539.6 pep:known chromosome:GRCm38:3:139205893:139710299:1 gene:ENSMUSG00000047940.13 transcript:ENSMUST00000062306.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stpg2 description:sperm tail PG rich repeat containing 2 [Source:MGI Symbol;Acc:MGI:2685863] MYDRAPRWLDCANRGSTEEHVGPGTYQVPFPKQQATGCYAPFLSLSSKTSACVVSSDAGQ AVPGPAHYNVSQAQYNIRGGRSLQNREKRFKKLISDGPGPGSYNWPYLGTLCITTRQKTP RTPAVSRNIDIPSIPSSGKSHGYHLNDDDTIMRRTPPPSDNTIGPAYYNPQFDYPKASLK YKGVNFGNATGRQEFLKYSGPGPGQYDIIQKRKLHCENINIKREQEHNYYTYVPRLYEAI ILQEEKKGVPGPGKYNIKSEFDMIKSMSALVNSPSFIFFSETERFEPIKSCTPAPGTYNE IRTAFKCPKKRFGLSLPFNQSAARFTEDSKAQKLPGPGFYDISTNIVKAQVKKPCLKKQP KTGFGSSVPRTLFTAQKKAFRGPGPSDYQVRGTHDELPNLNKSAAFLSRAEKTPPVRKMR LPAPGRYDVQKSYDMSQVKHKYMPPRTSVAKKRHSSFLSAAPRCLGKIADGPGPATYSPV LMKSGAIISFVKGPKRFQEFHGEFSPGPTTYELSPFLRHSLLKRTYNVTLPCSSSPNREN TGCPSQKATQKFQREKLQYFN >ENSMUSP00000119994.2 pep:known chromosome:GRCm38:2:91118144:91136510:1 gene:ENSMUSG00000002100.15 transcript:ENSMUST00000137942.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybpc3 description:myosin binding protein C, cardiac [Source:MGI Symbol;Acc:MGI:102844] MRPQDGEVTVGGSIVFSARVAGASLLKPPVVKWFKGKWVDLSSKVGQHLQLHDSYDRASK VYLFELHITDAQTTSAGGYRCEVSTKDKFDSCNFNLTVHEAIGSGDLDLRSAFRRTSLAG AGRRTSDSHEDAGTLDFSSLLKKSSFRRDSKLEAPAEEDVWEILRQAPPSEYERIAFQHG VTDLRGMLKRLKGMKQDEKKSTAFQKKLEPAYQVNKGHKIRLTVELADPDAEVKWLKNGQ EIQMSGSKYIFESVGAKRTLTISQCSLADDAAYQCVVGGEKCSTELFVKEPPVLITRSLE DQLVMVGQRVEFECEVSEEGAQVKWLKDGVELTREETFKYRFKKDGRKHHLIINEATLED AGHYAVRTSGGQSLAELIVQEKKLEVYQSIADLAVGAKDQAVFKCEVSDENVRGVWLKNG KELVPDNRIKVSHIGRVHKLTIDDVTPADEADYSFVPEGFACNLSAKLHFMEVKIDFVPR QEPPKIHLDCPGSTPDTIVVVAGNKLRLDVPISGDPAPTVVWQKTVTQGKKASTGPHPDA PEDAGADEEWVFDKKLLCETEGRVRVETTKDRSVFTVEGAEKEDEGVYTVTVKNPVGEDQ VNLTVKVIDVPDAPAAPKISNVGEDSCTVQWEPPAYDGGQPVLGYILERKKKKSYRWMRL NFDLLRELSHEARRMIEGVAYEMRVYAVNAVGMSRPSPASQPFMPIGPPGEPTHLAVEDV SDTTVSLKWRPPERVGAGGLDGYSVEYCQEGCSEWTPALQGLTERTSMLVKDLPTGARLL FRVRAHNVAGPGGPIVTKEPVTVQEILQRPRLQLPRHLRQTIQKKVGEPVNLLIPFQGKP RPQVTWTKEGQPLAGEEVSIRNSPTDTILFIRAARRTHSGTYQVTVRIENMEDKATLILQ IVDKPSPPQDIRIVETWGFNVALEWKPPQDDGNTEIWGYTVQKADKKTMEWFTVLEHYRR THCVVSELIIGNGYYFRVFSHNMVGSSDKAAATKEPVFIPRPGITYEPPKYKALDFSEAP SFTQPLANRSIIAGYNAILCCAVRGSPKPKISWFKNGLDLGEDARFRMFCKQGVLTLEIR KPCPYDGGVYVCRATNLQGEAQCECRLEVRVPQ >ENSMUSP00000107058.3 pep:known chromosome:GRCm38:2:91118144:91136510:1 gene:ENSMUSG00000002100.15 transcript:ENSMUST00000111430.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybpc3 description:myosin binding protein C, cardiac [Source:MGI Symbol;Acc:MGI:102844] MPGVTVLKMPEPGKKPVSAFNKKPRSAEVTAGSAAVFEAETERSGVKVRWQRDGSDITAN DKYGLAAEGKRHTLTVRDASPDDQGSYAVIAGSSKVKFDLKVTEPAPPEKAESEVAPGAP KEVPAPATELEESVSSPEGSVSVTQDGSAAEHQGAPDDPIGLFLMRPQDGEVTVGGSIVF SARVAGASLLKPPVVKWFKGKWVDLSSKVGQHLQLHDSYDRASKVYLFELHITDAQTTSA GGYRCEVSTKDKFDSCNFNLTVHEAIGSGDLDLRSAFRRTSLAGAGRRTSDSHEDAGTLD FSSLLKKRDFRRDSKLEAPAEEDVWEILRQAPPSEYERIAFQHGVTDLRGMLKRLKGMKQ DEKKSTAFQKKLEPAYQVNKGHKIRLTVELADPDAEVKWLKNGQEIQMSGSKYIFESVGA KRTLTISQCSLADDAAYQCVVGGEKCSTELFVKEPPVLITRSLEDQLVMVGQRVEFECEV SEEGAQVKWLKDGVELTREETFKYRFKKDGRKHHLIINEATLEDAGHYAVRTSGGQSLAE LIVQEKKLEVYQSIADLAVGAKDQAVFKCEVSDENVRGVWLKNGKELVPDNRIKVSHIGR VHKLTIDDVTPADEADYSFVPEGFACNLSAKLHFMEVKIDFVPRQEPPKIHLDCPGSTPD TIVVVAGNKLRLDVPISGDPAPTVVWQKTVTQGKKASTGPHPDAPEDAGADEEWVFDKKL LCETEGRVRVETTKDRSVFTVEGAEKEDEGVYTVTVKNPVGEDQVNLTVKVIDVPDAPAA PKISNVGEDSCTVQWEPPAYDGGQPVLGYILERKKKKSYRWMRLNFDLLRELSHEARRMI EGVAYEMRVYAVNAVGMSRPSPASQPFMPIGPPGEPTHLAVEDVSDTTVSLKWRPPERVG AGGLDGYSVEYCQEGCSEWTPALQGLTERTSMLVKDLPTGARLLFRVRAHNVAGPGGPIV TKEPVTVQEILQRPRLQLPRHLRQTIQKKVGEPVNLLIPFQGKPRPQVTWTKEGQPLAGE EVSIRNSPTDTILFIRAARRTHSGTYQVTVRIENMEDKATLILQIVDKPSPPQDIRIVET WGFNVALEWKPPQDDGNTEIWGYTVQKADKKTMEWFTVLEHYRRTHCVVSELIIGNGYYF RVFSHNMVGSSDKAAATKEPVFIPRPGITYEPPKYKALDFSEAPSFTQPLANRSIIAGYN AILCCAVRGSPKPKISWFKNGLDLGEDARFRMFCKQGVLTLEIRKPCPYDGGVYVCRATN LQGEAQCECRLEVRVPQ >ENSMUSP00000127070.1 pep:known chromosome:GRCm38:2:91118144:91136516:1 gene:ENSMUSG00000002100.15 transcript:ENSMUST00000169776.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybpc3 description:myosin binding protein C, cardiac [Source:MGI Symbol;Acc:MGI:102844] MPGVTVLKMPEPGKKPVSAFNKKPRSAEVTAGSAAVFEAETERSGVKVRWQRDGSDITAN DKYGLAAEGKRHTLTVRDASPDDQGSYAVIAGSSKVKFDLKVTEPAPPEKAESEVAPGAP KEVPAPATELEESVSSPEGSVSVTQDGSAAEHQGAPDDPIGLFLMRPQDGEVTVGGSIVF SARVAGASLLKPPVVKWFKGKWVDLSSKVGQHLQLHDSYDRASKVYLFELHITDAQTTSA GGYRCEVSTKDKFDSCNFNLTVHEAIGSGDLDLRSAFRRTSLAGAGRRTSDSHEDAGTLD FSSLLKKRDSFRRDSKLEAPAEEDVWEILRQAPPSEYERIAFQHGVTDLRGMLKRLKGMK QDEKKSTAFQKKLEPAYQVNKGHKIRLTVELADPDAEVKWLKNGQEIQMSGSKYIFESVG AKRTLTISQCSLADDAAYQCVVGGEKCSTELFVKEPPVLITRSLEDQLVMVGQRVEFECE VSEEGAQVKWLKDGVELTREETFKYRFKKDGRKHHLIINEATLEDAGHYAVRTSGGQSLA ELIVQEKKLEVYQSIADLAVGAKDQAVFKCEVSDENVRGVWLKNGKELVPDNRIKVSHIG RVHKLTIDDVTPADEADYSFVPEGFACNLSAKLHFMEVKIDFVPRQEPPKIHLDCPGSTP DTIVVVAGNKLRLDVPISGDPAPTVVWQKTVTQGKKASTGPHPDAPEDAGADEEWVFDKK LLCETEGRVRVETTKDRSVFTVEGAEKEDEGVYTVTVKNPVGEDQVNLTVKVIDVPDAPA APKISNVGEDSCTVQWEPPAYDGGQPVLGYILERKKKKSYRWMRLNFDLLRELSHEARRM IEGVAYEMRVYAVNAVGMSRPSPASQPFMPIGPPGEPTHLAVEDVSDTTVSLKWRPPERV GAGGLDGYSVEYCQEGCSEWTPALQGLTERTSMLVKDLPTGARLLFRVRAHNVAGPGGPI VTKEPVTVQEILQRPRLQLPRHLRQTIQKKVGEPVNLLIPFQGKPRPQVTWTKEGQPLAG EEVSIRNSPTDTILFIRAARRTHSGTYQVTVRIENMEDKATLILQIVDKPSPPQDIRIVE TWGFNVALEWKPPQDDGNTEIWGYTVQKADKKTMEWFTVLEHYRRTHCVVSELIIGNGYY FRVFSHNMVGSSDKAAATKEPVFIPRPGITYEPPKYKALDFSEAPSFTQPLANRSIIAGY NAILCCAVRGSPKPKISWFKNGLDLGEDARFRMFCKQGVLTLEIRKPCPYDGGVYVCRAT NLQGEAQCECRLEVRVPQ >ENSMUSP00000133284.1 pep:known chromosome:GRCm38:7:24003091:24004247:1 gene:ENSMUSG00000092456.1 transcript:ENSMUST00000173571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:V1rd19 description:vomeronasal 1 receptor, D19 [Source:MGI Symbol;Acc:MGI:3033488] MSTHDTSLKTTEEVAFQIILLCQFGVGTFANVFLFVYNFSPISTGSKQRPRQVILRHMAV ANALTLFLTIFPNNMMTFAPIIPQTDLKCKLEFFTRLVARSTNLCSTCVLSIHQFVTLVP VNSGKGILRASVTNMASYSCYSCWFFSVLNNIYIPIKVTGPQLTDNNNNSKSKLFCSTSD FSVGIVFLRFAHDATFMSIMVWTSVSMVLLLHRHCQRMQYIFTLNQDPRGQAETTATHTI LMLVVTFVGFYLLSLICIIFYTYFIYSHHSLRHCNDILVSGFPTISPLLLTFRDPKGPCS VFFNC >ENSMUSP00000113939.2 pep:known chromosome:GRCm38:11:75440948:75454438:-1 gene:ENSMUSG00000045374.18 transcript:ENSMUST00000117392.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr81 description:WD repeat domain 81 [Source:MGI Symbol;Acc:MGI:2681828] XAQGSRRRKVVLTAGSEGWSPSSGPDMEELLRSVERDLNIDARQLALAPGGTHVVALVST RWLASLRERRLGPCPRAEGLGEAEVRTLLQRSVQRLPPGWTRVEVHGLRKRRLSYPLGGG VPFEEGSCSPETLTRFMQEVAAQNYRNLWRHAYHTYGQPYSHSTAPSALPALDSIRQALQ RVYGCTFLPVGESIPCLSNVRDGPCPSRGSPACPSLLRAEALLESPEMLYVVHPYVQFSL HDVVTFSPAKLTNSQAKVLFLLFRVLRAMDACHRQGLACGALSLHHIAVDEKLCSELRLD LSAYEMPSEDENQEGSEEKNGTGIKSEKEGEGRTECPTCQKELRGLVLDWVHGRISNFHY LMQLNRLAGRRQGDPNYHPVLPWVVDFTTPYGRFRDLRKSKFRLNKGDKQLDFTYEMTRQ AFVAGGAGSGEPPHVPHHISDVLSDITYYVYKARRTPRSVLCGHVRAQWEPHEYPATMER MQTWTPDECIPEFYTDPSIFCSIHPDMPDLDVPAWCSSNQEFVAAHRALLESWEVSQDLH HWIDLTFGYKLQGKEAVKEKNVCLHLVDAHTHLTSYGVVQLFDQPHPQRLAGSPALAPEP PLIPRLLVQPIREATGQEDISGQLINGAGRLVVEATPCETGWTRDRPGTGEDDLEQATEA LDSISLPGKAGDQPGSSSSQASPGLLSFSAPSGSRPGRRSKAAGLDPGEGEEGKIVLPEG FSPIQALEELEKVGNFLAKGLGSQLEEPEKPHAQPPVHLQSLFHRDMQVLGVLLAEMVFA TRVRILQPDAPLWVRFEAVRGLCIRHSKDIPVSLQPVLDTLLQLSGPKSPMVSKKGKLDP LFEYRPVSQGLPPPSPAQLLSPFSSVVPFPPYFPALHKFILLYQARRVEDEVQGRELAFA LWQQLGAVLNDITPEGLEILLPFVLSLMSEEHTAVYTAWYLFEPVAKALGPKNANKYLLK PLIGAYESPCRLHGRFYLYTDCFVAQLVVRLGLQAFLTHLLPHVLQVLAGVEASQEEGKG LVGTTEDEESELPVSGPGSCAFGEEIQMDGQPAASSGLGLPDYRSGVSFHDQADLPDTED FQAGLYVAESPQPQEAEAVSLGQLSDKSSTSEASQGEERGGDDGGAPADKNSVKSGDSSQ DLKQSEGSEEEEEEEGCVVLEEDQEDEVTGTSELTLSDTMLSMETVVAPGDGRDREEEEE PLTEQTEGKEQKILLDTACKMVRWLSAKLGPTVASRHVARNLLRLLTSCYVGPTRQQFTV SSDDTPPLNAGNIYQKRPVLGDIVSGPVLSCLLHIAYLYGEPVLTYQYLPYISYLVAPGS NSNPSRLNSRKEAGLLAAVTLTQKIIVYLSDTTLMDILPRISHEVLLPVLGFLTSFVTGF PSGAQARTVLCVKTISLIALICLRIGQEMVQQHLSEPVATFFQVFSHLHELRQQDLPLDP KGCTEGQLPEATFSDGQRRPVDPTLLEELQKVFTLEMAYTIYVPFSCLLGDIIRKIIPNH ELVGELAGLYLESMSPSSRNPASMEPTMASAGPEWDPQSGSCLQDDGHSGTFGSVLVGNR IQIPDSQPQSPGPLGSLSGVGSSGGLSNRNEDNALKRELPRSAHGLSGNWLAYWQYEIGV SQQDAHFHFHQIRLQSFPGHTGAVKCVAALSSEDFFLSGSKDRTVRLWPLYNYGDGTNET ASRLIYAQHRKSVFYVGQLEAPQYVVSCDGAVHVWDPFTGKTLRTVDPSDSRVPLTAVAV MPAPHTSITMASSDSTLRFVDCRKPGLQHEFRLGGGLNPGLVRSLAVSPSGRSVVAGFSS GFMVLLDTRTGLVLRGWPAHEGDILQIKAVEGSVLISSSSDHSLTVWKELEQKPTHHYKS ASDPIHTFDLYGSEVVTGTVANKIGVCSLLEPPSQATTKLSSENFRGTLTSLALLPTKRH LLLGSDNGIIRLLA >ENSMUSP00000120605.1 pep:known chromosome:GRCm38:11:75440950:75451083:-1 gene:ENSMUSG00000045374.18 transcript:ENSMUST00000132442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr81 description:WD repeat domain 81 [Source:MGI Symbol;Acc:MGI:2681828] XGGDDGGAPADKNSVKSGDSSQDLKQSEGSEEEEEEEGCVVLEEDQEDEVTGTSELTLSD TMLSMETVVAPGDGRDREEEEEPLTEQTEGKEQKILLDTACKMVRWLSAKLGPTVASRHV ARNLLRLLTSCYVGPTRQQFTVSSDDTPPLNAGNIYQKRPVLGDIVSGPVLSCLLHIAYL YGEPVLTYQYLPYISYLVAPGSNSNPSRLNSRKEAGLLAAVTLTQKIIVYLSDTTLMDIL PRISHEVLLPVLGFLTSFVTGFPSGAQARTVLCVKTISLIALICLRIGQEMVQQHLSEPV ATFFQVFSHLHELRQQDLPLDPKGCTEGQLPEATFSDGQRRPVDPTLLEELQKVFTLEMA YTIYVPFSCLLGDIIRKIIPNHELVGELAGLYLESMSPSSRNPASMEPTMASAGPEWDPQ SGSCLQDDGHSGTFGSVLVGNRIQIPDSQPQSPGPLGSLSGVGSSGGLSNRNEDNALKRE LPRSAHGLSGNWLAYWQYEIGVSQQDAHFHFHQIRLQSFPGHTGAVKCVAALSSEDFFLS GSKDRTVRLWPLYNYGDGTNETASRLIYAQHRKSVFYVGQLEAPQYVVSCDGAVHVWDPF TGKTLRTVDPSDSRVPLTAVAVMPAPHTSITMASSDSTLRFVDCRKPGLQAVEGSVLISS SSDHSLTVWKELEQKPTHHYKSASDPIHTFDLYGSEVVTGTVANKIGVCSLLEPPSQATT KLSSENFRGTLTSLALLPTKRHLLLGSDNGIIRLLA >ENSMUSP00000134266.1 pep:known chromosome:GRCm38:11:75440944:75454717:-1 gene:ENSMUSG00000045374.18 transcript:ENSMUST00000173320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr81 description:WD repeat domain 81 [Source:MGI Symbol;Acc:MGI:2681828] MAQGSRRRKVVLTAGSEGWSPSSGPDMEELLRSVERDLNIDARQLALAPGGTHVVALVST RWLASLRERRLGPCPRAEGLGEAEVRTLLQRSVQRLPPGWTRVEVHGLRKRRLSYPLGGG VPFEEGSCSPETLTRFMQEVAAQNYRNLWRHAYHTYGQPYSHSTAPSALPALDSIRQALQ RVYGCTFLPVGESIPCLSNVRDGPCPSRGSPACPSLLRAEALLESPEMLYVVHPYVQFSL HDVVTFSPAKLTNSQAKVLFLLFRVLRAMDACHRQGLACGALSLHHIAVDEKLCSELRLD LSAYEMPSEDENQEGSEEKNGTGIKSEKEGEGRTECPTCQKELRGLVLDWVHGRISNFHY LMQLNRLAGRRQGDPNYHPVLPWVVDFTTPYGRFRDLRKSKFRLNKGDKQLDFTYEMTRQ AFVAGGAGSGEPPHVPHHISDVLSDITYYVYKARRTPRSVLCGHVRAQWEPHEYPATMER MQTWTPDECIPEFYTDPSIFCSIHPDMPDLDVPAWCSSNQEFVAAHRALLESWEVSQDLH HWIDLTFGYKLQGKEAVKEKNVCLHLVDAHTHLTSYGVVQLFDQPHPQRLAGSPALAPEP PLIPRLLVQPIREATGQEDISGQLINGAGRLVVEATPCETGWTRDRPGTGEDDLEQATEA LDSISLPGKAGDQPGSSSSQASPGLLSFSAPSGSRPGRRSKAAGLDPGEGEEGKIVLPEG FSPIQALEELEKVGNFLAKGLGSQLEEPEKPHAQPPVHLQSLFHRDMQVLGVLLAEMVFA TRVRILQPDAPLWVRFEAVRGLCIRHSKDIPVSLQPVLDTLLQLSGPKSPMVSKKGKLDP LFEYRPVSQGLPPPSPAQLLSPFSSVVPFPPYFPALHKFILLYQARRVEDEVQGRELAFA LWQQLGAVLNDITPEGLEILLPFVLSLMSEEHTAVYTAWYLFEPVAKALGPKNANKYLLK PLIGAYESPCRLHGRFYLYTDCFVAQLVVRLGLQAFLTHLLPHVLQVLAGVEASQEEGKG LVGTTEDEESELPVSGPGSCAFGEEIQMDGQPAASSGLGLPDYRSGVSFHDQADLPDTED FQAGLYVAESPQPQEAEAVSLGQLSDKSSTSEASQGEERGGDDGGAPADKNSVKSGDSSQ DLKQSEGSEEEEEEEGCVVLEEDQEDEVTGTSELTLSDTMLSMETVVAPGDGRDREEEEE PLTEQTEGKEQKILLDTACKMVRWLSAKLGPTVASRHVARNLLRLLTSCYVGPTRQQFTV SSDDTPPLNAGNIYQKRPVLGDIVSGPVLSCLLHIAYLYGEPVLTYQYLPYISYLVAPGS NSNPSRLNSRKEAGLLAAVTLTQKIIVYLSDTTLMDILPRISHEVLLPVLGFLTSFVTGF PSGAQARTVLCVKTISLIALICLRIGQEMVQQHLSEPVATFFQVFSHLHELRQQDLPLDP KGCTEGQLPEATFSDGQRRPVDPTLLEELQKVFTLEMAYTIYVPFSCLLGDIIRKIIPNH ELVGELAGLYLESMSPSSRNPASMEPTMASAGPEWDPQSGSCLQDDGHSGTFGSVLVGNR IQIPDSQPQSPGPLGSLSGVGSSGGLSNRNEDNALKRELPRSAHGLSGNWLAYWQYEIGV SQQDAHFHFHQIRLQSFPGHTGAVKCVAALSSEDFFLSGSKDRTVRLWPLYNYGDGTNET ASRLIYAQHRKSVFYVGQLEAPQYVVSCDGAVHVWDPFTGKTLRTVDPSDSRVPLTAVAV MPAPHTSITMASSDSTLRFVDCRKPGLQHEFRLGGGLNPGLVRSLAVSPSGRSVVAGFSS GFMVLLDTRTGLVLRGWPAHEGDILQIKAVEGSVLISSSSDHSLTVWKELEQKPTHHYKS ASDPIHTFDLYGSEVVTGTVANKIGVCSLLEPPSQATTKLSSENFRGTLTSLALLPTKRH LLLGSDNGIIRLLA >ENSMUSP00000099678.1 pep:known chromosome:GRCm38:2:88461342:88462253:1 gene:ENSMUSG00000049372.9 transcript:ENSMUST00000102618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1183 description:olfactory receptor 1183 [Source:MGI Symbol;Acc:MGI:3031017] MHNYSVTEFILFGLTQDPEKQKAIFGVFLILYLMTLIGNFLIVMTIKMSQTLGSPMYFFL FYLSFADACFSTTTAPRLIIDALSQKKIITYNECMTQVFAAHFFGCMEIFVLILMAIDRY VAICKPLRYTTIMSQRICGILVILAWVGSCIHSSAQIFLALRLPFCGPNVIDHYFCDLQP LLKLACMDTYVINLLVVSNSGAICMVSFTLLLISYIFILYSLRNHSVEGRRKALSTCTSH FIVVVIFFGPCIFIYTRPPTTFPIDKMVSVFYTIGTPLLNPLIYTLRNAEVKIAMKKLWC GKV >ENSMUSP00000122915.2 pep:known chromosome:GRCm38:2:181075579:181135214:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000149964.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] MVQKSRNGGVYPGTSGEKKLKVGFVGLDPGAPDSTRDGALLIAGSEAPKRGSVLSKPRTG GAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIKEYEK SSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASI AVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGF LCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLI GVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTW QYYERTVTVPMYSSQTQTYGASRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPSKGRP CRGCLCGCCPGHSSQKVSLKDRVFSSPRGMAAKGKGSPQAQTVRRSPSADQSLDDSPSKV PKSWSFGDRSRTRQAFRIKGAASRQNSEEASLPGEDIVEDNKSCNCEFVTEDLTPGLKVS IRAVCVMRFLVSKRKFKESLRPYDVMDVIEQYSAGHLDMLSRIKSLQSRVDQIVGRGPTI TDKDRTKGPAETELPEDPSMMGRLGKVEKQVLSMEKKLDFLVSIYTQRMGIPPAETEAYF GAKEPEPAPPYHSPEDSRDHADKHGCIIKIVRSTSSTGQRNYAAPPAIPPAQCPPSTSWQ QSHQRHGTSPVGDHGSLVRIPPPPAHERSLSAYGGGNRASTEFLRLEGTPACRPSEAALR DSDTSISIPSVDHEELERSFSGFSISQSKENLDALGSCYAAVAPCAKVRPYIAEGESDTD SDLCTPCGPPPRSATGEGPFGDVAWAGPRK >ENSMUSP00000016491.7 pep:known chromosome:GRCm38:2:181075579:181135300:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000016491.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] MVQKSRNGGVYPGTSGEKKLKVGFVGLDPGAPDSTRDGALLIAGSEAPKRGSVLSKPRTG GAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIKEYEK SSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASI AVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGF LCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLI GVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTW QYYERTVTVPMYRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPSQKVSLKDRVFSSPR GMAAKGKGSPQAQTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQAFRIKGAASRQNSE EASLPGEDIVEDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLRPYDVMDV IEQYSAGHLDMLSRIKSLQSRIDMIVGPPPPSTPRHKKYPTKGPTAPSRESPQYSPRVDQ IVGRGPTITDKDRTKGPAETELPEDPSMMGRLGKVEKQVLSMEKKLDFLVSIYTQRMGIP PAETEAYFGAKEPEPAPPYHSPEDSRDHADKHGCIIKIVRSTSSTGQRNYAAPPAIPPAQ CPPSTSWQQSHQRHGTSPVGDHGSLVLRLERSAGMMSCH >ENSMUSP00000143263.1 pep:known chromosome:GRCm38:2:181075586:181135127:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000197015.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] MVQKSRNGGVYPGTSGEKKLKVGFVGLDPGAPDSTRDGALLIAGSEAPKRGSVLSKPRTG GAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIKEYEK SSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASI AVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGF LCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLI GVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTW QYYERTVTVPMYRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPSQKVSLKDRVFSSPR GMAAKGKGSPQAQTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQAFRIKGAASRQNSE EASLPGEDIVEDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLRPYDVMDV IEQYSAGHLDMLSRIKSLQSRVDQIVGRGPTITDKDRTKGPAETELPEDPSMMGRLGKVE KQVLSMEKKLDFLVSIYTQRMGIPPAETEAYFGAKEPEPAPPYHSPEDSRDHADKHGCII KIVRSTSSTGQRNYAAPPAIPPAQCPPSTSWQQSHQRHGTSPVGDHGSLVRIPPPPAHER SLSAYGGGNRASTEFLRLEGTPACRPSEAALRDSDTSISIPSVDHEELERSFSGFSISQS KENLDALGSCYAAVAPCAKVRPYIAEGESDTDSDLCTPCGPPPRSATGEGPFGDVAWAGP RK >ENSMUSP00000080243.6 pep:known chromosome:GRCm38:2:181075588:181135177:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000081528.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] MVQKSRNGGVYPGTSGEKKLKVGFVGLDPGAPDSTRDGALLIAGSEAPKRGSVLSKPRTG GAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIKEYEK SSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASI AVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGF LCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLI GVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTW QYYERTVTVPMYRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPSQKVSLKDRVFSSPR GMAAKGKGSPQAQTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQAFRIKGAASRQNSE EASLPGEDIVEDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLRPYDVMDV IEQYSAGHLDMLSRIKSLQSRSCDWRGVLA >ENSMUSP00000052453.8 pep:known chromosome:GRCm38:2:181075588:181135177:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000049792.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] MVQKSRNGGVYPGTSGEKKLKVGFVGLDPGAPDSTRDGALLIAGSEAPKRGSVLSKPRTG GAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIKEYEK SSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASI AVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGF LCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLI GVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTW QYYERTVTVPMYRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPSQKVSLKDRVFSSPR GMAAKGKGSPQAQTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQAFRIKGAASRQNSE EASLPGEDIVEDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLRPYDVMDV IEQYSAGHLDMLSRIKSLQSRQEPLPVQSGHEQGPPGQNQAWHKGHQGLGDRVDQIVGRG PTITDKDRTKGPAETELPEDPSMMGRLGKVEKQVLSMEKKLDFLVSIYTQRMGIPPAETE AYFGAKEPEPAPPYHSPEDSRDHADKHGCIIKIVRSTSSTGQRNYAAPPAIPPAQCPPST SWQQSHQRHGTSPVGDHGSLVLRLERSAGMMSCH >ENSMUSP00000099337.3 pep:known chromosome:GRCm38:2:181075588:181135177:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000103048.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] MVQKSRNGGVYPGTSGEKKLKVGFVGLDPGAPDSTRDGALLIAGSEAPKRGSVLSKPRTG GAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIKEYEK SSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASI AVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGF LCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLI GVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTW QYYERTVTVPMYRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPSQKVSLKDRVFSSPR GMAAKGKGSPQAQTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQAFRIKGAASRQNSE EASLPGEDIVEDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLRPYDVMDV IEQYSAGHLDMLSRIKSLQSRVDQIVGRGPTITDKDRTKGPAETELPEDPSMMGRLGKVE KQVLSMEKKLDFLVSIYTQRMGIPPAETEAYFGAKEPEPAPPYHSPEDSRDHADKHGCII KIVRSTSSTGQRNYAAPPAIPPAQCPPSTSWQQSHQRHGTSPVGDHGSLVLRLERSAGMM SCH >ENSMUSP00000099336.3 pep:known chromosome:GRCm38:2:181075588:181135177:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000103047.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] MVQKSRNGGVYPGTSGEKKLKVGFVGLDPGAPDSTRDGALLIAGSEAPKRGSVLSKPRTG GAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIKEYEK SSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASI AVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGF LCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLI GVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTW QYYERTVTVPMYRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPSPRGMAAKGKGSPQA QTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQAFRIKGAASRQNSEEASLPGEDIVED NKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLRPYDVMDVIEQYSAGHLDML SRIKSLQSRIDMIVGPPPPSTPRHKKYPTKGPTAPSRESPQYSPRVDQIVGRGPTITDKD RTKGPAETELPEDPSMMGRLGKVEKQVLSMEKKLDFLVSIYTQRMGIPPAETEAYFGAKE PEPAPPYHSPEDSRDHADKHGCIIKIVRSTSSTGQRNYAAPPAIPPAQCPPSTSWQQSHQ RHGTSPVGDHGSLVLRLERSAGMMSCH >ENSMUSP00000099340.2 pep:known chromosome:GRCm38:2:181079581:181135261:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000103051.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] MVQKSRNGGVYPGTSGEKKLKVGFVGLDPGAPDSTRDGALLIAGSEAPKRGSVLSKPRTG GAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIKEYEK SSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASI AVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGF LCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLI GVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTW QYYERTVTVPMYSSQTQTYGASRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPSQKVS LKDRVFSSPRGMAAKGKGSPQAQTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQAFRI KGAASRQNSEEASLPGEDIVEDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKE SLRPYDVMDVIEQYSAGHLDMLSRIKSLQSRVDQIVGRGPTITDKDRTKGPAETELPEDP SMMGRLGKVEKQVLSMEKKLDFLVSIYTQRMGIPPAETEAYFGAKEPEPAPPYHSPEDSR DHADKHGCIIKIVRSTSSTGQRNYAAPPAIPPAQCPPSTSWQQSHQRHGTSPVGDHGSLV RIPPPPAHERSLSAYGGGNRASTEFLRLEGTPACRPSEAALRDSDTSISIPSVDHEELER SFSGFSISQSKENLDALGSCYAAVAPCAKVRPYIAEGESDTDSDLCTPCGPPPRSATGEG PFGDVAWAGPRK >ENSMUSP00000123488.1 pep:known chromosome:GRCm38:2:181080499:181114683:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000129695.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] IKEYEKSSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIM VLIASIAVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVT AWYIGFLCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLA ATFTLIGVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRT DLHSTWQYYERTVTVPMYSSQTQTYGASRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPS PSPRGMAAKGKGSPQAQTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQAFRIKGAASR QNSEEASLPGEDIVEDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLRPYD VMDVIEQYSAGHLDMLSRIKSLQSRVDQIVGRGPTITDKDRTKGPAETELPEDPSMMGRL GKVEKQVLSMEKKLDFLVSIYTQRMGIPPAETEAYFGAKEPEPAPPYHSPEDSRDHADKH GCIIKIVRSTSSTGQRNYAAPPAIPPAQCPPSTSWQQSHQRHGTSPVGDHGSLVRIPPPP AHERSLSAYGGGNRASTEFLRLEGTPACRPSEAALRDSDTSISIPSVDHEELERSFSGFS ISQSKENLDALGSCYAAVAPCAKVRPYIAEGESDTDSDLCTPCGPPPRSATGEGPFGDVA WAGPRK >ENSMUSP00000099338.3 pep:known chromosome:GRCm38:2:181080503:181134922:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000103049.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] XRTGGAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIK EYEKSSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVL IASIAVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAW YIGFLCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAAT FTLIGVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDL HSTWQYYERTVTVPMYSSQTQTYGASRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPS QKVSLKDRVFSSPRGMAAKGKGSPQAQTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQ AFRIKGAASRQNSEASLPGEDIVEDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRK FKESLRPYDVMDVIEQYSAGHLDMLSRIKSLQSRVDQIVGRGPTITDKDRTKGPAETELP EDPSMMGRLGKVEKQVLSMEKKLDFLVSIYTQRMGIPPAETEAYFGAKEPEPAPPYHSPE DSRDHADKHGCIIKIVRSTSSTGQRNYAAPPAIPPAQCPPSTSWQQSHQRHGTSPVGDHG SLVRIPPPPAHERSLSAYGGGNRASTEFLRLEGTPACRPSEAALRDSDTSISIPSVDHEE LERSFSGFSISQSKENLDALGSCYAAVAPCAKVRPYIAEGESDTDSDLCTPCGPPPRSAT GEGPFGDVAWAGPRK >ENSMUSP00000099339.4 pep:known chromosome:GRCm38:2:181080899:181135131:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000103050.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] MVQKSRNGGVYPGTSGEKKLKVGFVGLDPGAPDSTRDGALLIAGSEAPKRGSVLSKPRTG GAGAGKPPKRNAFYRKLQNFLYNVLERPRGWAFIYHAYVFLLVFSCLVLSVFSTIKEYEK SSEGALYILEIVTIVVFGVEYFVRIWAAGCCCRYRGWRGRLKFARKPFCVIDIMVLIASI AVLAAGSQGNVFATSALRSLRFLQILRMIRMDRRGGTWKLLGSVVYAHSKELVTAWYIGF LCLILASFLVYLAEKGENDHFDTYADALWWGLITLTTIGYGDKYPQTWNGRLLAATFTLI GVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQSAWRFYATNLSRTDLHSTW QYYERTVTVPMYSSQTQTYGASRLIPPLNQLELLRNLKSKSGLTFRKEPQPEPSPSPRGM AAKGKGSPQAQTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQAFRIKGAASRQNSEAS LPGEDIVEDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLRPYDVMDVIEQ YSAGHLDMLSRIKSLQSRVDQIVGRGPTITDKDRTKGPAETELPEDPSMMGRLGKVEKQV LSMEKKLDFLVSIYTQRMGIPPAETEAYFGAKEPEPAPPYHSPEDSRDHADKHGCIIKIV RSTSSTGQRNYAAPPAIPPAQCPPSTSWQQSHQRHGTSPVGDHGSLVRIPPPPAHERSLS AYGGGNRASTEFLRLEGTPACRPSEAALRDSDTSISIPSVDHEELERSFSGFSISQSKEN LDALGSCYAAVAPCAKVRPYIAEGESDTDSDLCTPCGPPPRSATGEGPFGDVAWAGPRK >ENSMUSP00000143490.1 pep:known chromosome:GRCm38:2:181082403:181109756:-1 gene:ENSMUSG00000016346.17 transcript:ENSMUST00000197599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq2 description:potassium voltage-gated channel, subfamily Q, member 2 [Source:MGI Symbol;Acc:MGI:1309503] XKYPQTWNGRLLAATFTLIGVSFFALPAGILGSGFALKVQEQHRQKHFEKRRNPAAGLIQ SAWRFYATNLSRTDLHSTWQYYERTVTVPMYRLIPPLNQLELLRNLKSKSGLTFRKEPQP EPSPSPRGMAAKGKGSPQAQTVRRSPSADQSLDDSPSKVPKSWSFGDRSRTRQAFRIKGA ASRQNSEEASLPGEDIVEDNKSCNCEFVTEDLTPGLKVSIRAVCVMRFLVSKRKFKESLR PYDVMDVIEQYSAGHLDMLSRIKSLQSRVDQIVGRGPTITDKDRT >ENSMUSP00000017332.3 pep:known chromosome:GRCm38:11:101277968:101279114:-1 gene:ENSMUSG00000017188.3 transcript:ENSMUST00000017332.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coa3 description:cytochrome C oxidase assembly factor 3 [Source:MGI Symbol;Acc:MGI:1098757] MAAPGAGDPLNAKNGNAPFAQRIDPSREKLTPAQLQFMRQVQLAQWQKTLPQRRTRNIMT GLGIGALVLAIYGYTFYSVAQERFLDELEDEAKAARARALERERASGP >ENSMUSP00000130367.1 pep:known chromosome:GRCm38:11:101278338:101278943:-1 gene:ENSMUSG00000017188.3 transcript:ENSMUST00000168089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coa3 description:cytochrome C oxidase assembly factor 3 [Source:MGI Symbol;Acc:MGI:1098757] MAAPGAGDPLNAKNGNAPFAQRIDPSREKLTPAQLQFMRQVQLAQWQKTLPQRRTRNIMT GLGIGALVLAIYEAKAARARALERERASGP >ENSMUSP00000020323.5 pep:known chromosome:GRCm38:10:122448499:122453453:1 gene:ENSMUSG00000020123.5 transcript:ENSMUST00000020323.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avpr1a description:arginine vasopressin receptor 1A [Source:MGI Symbol;Acc:MGI:1859216] MSFPRGSHDLPAGNSSPWWPLTTEGANSSREAAGLGEGGSPPGDVRNEELAKLEVTVLAV IFVVAVLGNSSVLLALHRTPRKTSRMHLFIRHLSLADLAVAFFQVLPQLCWDITYRFRGP DWLCRVVKHLQVFAMFASSYMLVVMTADRYIAVCHPLKTLQQPARRSRLMIAASWGLSFV LSIPQYFIFSVIEFEVNNGTKAQDCWATFIPPWGTRAYVTWMTSGVFVVPVIILGTCYGF ICYHIWRNVRGKTASRQSKGGKGSGEAAGPFHKGLLVTPCVSSVKSISRAKIRTVKMTFV IVSAYILCWTPFFIVQMWSVWDTNFVWTDSENPSTTITALLASLNSCCNPWIYMFFSGHL LQDCVQSFPCCQSIAQKFAKDDSDSMSRRQTSYSNNRSPTNSTGTWKDSPKSSKSIRFIP VST >ENSMUSP00000053597.2 pep:known chromosome:GRCm38:4:143349757:143371032:1 gene:ENSMUSG00000028584.3 transcript:ENSMUST00000052458.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc38 description:leucine rich repeat containing 38 [Source:MGI Symbol;Acc:MGI:2442845] MSLCVAPRHPTGAAAALGLGSLLVLLGPGRACPAGCACTDPHTVDCRDRGLPSVPDPFPL DVRKLLVAGNRIQQIPEDFFIFHGDLVYLDFRNNSLRSLEEGTFSGSGKLAFLDLSYNNL TQLGAGAFRSAGRLVKLSLANNHLAGVHEAAFESLESLQVLELNDNNLRSLNVAALDALP ALRTVRLDGNPWLCDCDFAHLFSWIQENTSKLPKGLDAIQCSLPMEDRRVALRELSEASF SECKFSLSLTDLFIIIFSGVAVSIAAIISSFFLATVVQCFQRCAPNKDTEDEDDDEDD >ENSMUSP00000058679.1 pep:known chromosome:GRCm38:2:88486734:88487669:1 gene:ENSMUSG00000051424.1 transcript:ENSMUST00000050038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1184 description:olfactory receptor 1184 [Source:MGI Symbol;Acc:MGI:3031018] MECKRNISEFLLMGLSSKRNIEVFCFLFFSFCYLAILCGNLLILISIRCSSLFNQPMYYF LSHLSSMDIFYTSCVTPKLIGDLLVRRKTISYTNCMLQVFAMHFFGMIEILILTAMAFDR CVAICKPLHYMVIMSRSRCHILIWASWVGGAAHSLSQFCLLICLPFCGPNEIDHYYCDIF PLLKVACTDTTITGVLVVANSGLIALVTFVVLFGSYVVILFTLRNYSAEGRHKALSTCAS HITVVILFFGPSIFAYLRPPTTFPEDKIFALFYTIIAPMFNPLIYTLRNTEMKKAMKKVW CQNMFSEEKHS >ENSMUSP00000099396.4 pep:known chromosome:GRCm38:11:101279096:101288701:1 gene:ENSMUSG00000078653.4 transcript:ENSMUST00000103107.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntd1 description:cyclin N-terminal domain containing 1 [Source:MGI Symbol;Acc:MGI:1923965] MNMEGPLRPRLVNCSDFQFGVVTTETIENALLHLAQQNEQAVKEAAGRTGSFRETRIVEF VFLLSEQWCLEKSVSYQAVEILERFMLKQAEDICRQATLQLRGKDTELQSWRAMKEQLVN KFILRLVSCVQLASKLSFHYKIVSNITVLNFLQALGYVHTKEELLESELDILKSLNFQIN LPTPLAYVEMLLEVLGYNGCLVPATQLHATCLTLLDLVYLLHEPIYESLLRASIENSTPS QLQGEKFLSVKEDFMLLAVGIIAASAFIQNHECWSQVIGHLQSITGIASESIAEFSYAIL THSVGANTPGPQQPVPHKAARALRTAAAAASSNT >ENSMUSP00000083176.2 pep:known chromosome:GRCm38:7:24054774:24055691:1 gene:ENSMUSG00000066723.3 transcript:ENSMUST00000086012.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r183 description:vomeronasal 1 receptor 183 [Source:MGI Symbol;Acc:MGI:3033484] MSAHSKSLKTTEEVALQILLLCQFGVGTVANVCLFVHNFSPVLTGSKQRPRQVLLSHMAV TNALTLFLTIFPNNMMAFAPRNPLTDLKCKLEFFTRLVARSTNLCSTCVLSIHQFVTLVP VNKGKLILRASVTNMASYSCYSCWFFSVLNNIHIPIKVTGPQITDNNNDSKSKLFCSTSG FSVGIVFLRFAHDATFMSIMVWTSVSMVLLLRRHRQRMQHILTPNQDARGQAESRATNTI LMLVVTFVSFYLLNFICIIFQTFFIDSRLFVRHVGEVFVASFPTISPLLLIFRDPKDPCS VLFSC >ENSMUSP00000001327.3 pep:known chromosome:GRCm38:15:102215995:102231935:-1 gene:ENSMUSG00000001281.9 transcript:ENSMUST00000001327.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb7 description:integrin beta 7 [Source:MGI Symbol;Acc:MGI:96616] MVDSSTVLIFLLVLGGGQSELDTKITSSGEAAEWEDPDLSLQGSCQPVPSCQKCILSHPS CAWCKQLNFTASGEAEARRCARREELLARGCPAQELEEPRGRQEVLQDKPLSQGDRGEGA TQLAPQRIRVTLRPGEPQKFRVRFLRAAGYPVDLYYLMDLSYSMKDDLERVRQLGHALLV RLQEVTHSVRIGFGSFVDKTVLPFVSTVPSKLHHPCPSRLERCQPPFSFHHVLSLTGDAQ AFEREVGRQNVSGNLDSPEGGFDAILQAALCQEQIGWRNVSRLLVFTSDDTFHTAGDGKL GGIFMPSDGRCHLDSNGVYTNSAEFDYPSVGQVAQALTAANIQPIFAVTGATLPVYQELR QLIPKSAVGELSEDSSNVVQLIMDAYDSLSSTVTLEHSPLPPGVSISFESHCKGPEKTEG EAGDRGQCNDVRVNQTVDFWVTLQATHCLPEAHVLRLWALGFSEELTVELHTVCDCNCGD AQPHAPYCSDGQGDLQCGICSCAPGRLGQLCECSEADLSSPDLESGCRAPNGTGPLCSGK GRCQCGRCSCSGQSSGRLCECDDASCERHEGILCGGFGHCQCGVCHCHANHTGRACECSK SVDSCVSPEGGLCSGHGYCKCNRCQCLDGYYGALCDQCLGCKSPCEQYRDCAECGAFGTG PLAANCSVVCADVNVTLTLAPNLDDGWCKERTIDNQLFFFLVEHAASGIVLRVRPQEKGV DHTRAIILGCTGGIVAVGLGLVLAYRLSVEIYDRREYRRFEKEQQQLNWKQDNNPLYKSA ITTTVNPRFQGTNGRSPSLSLTREAD >ENSMUSP00000123227.1 pep:known chromosome:GRCm38:15:102224712:102231935:-1 gene:ENSMUSG00000001281.9 transcript:ENSMUST00000127014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb7 description:integrin beta 7 [Source:MGI Symbol;Acc:MGI:96616] MLYAMVDSSTVLIFLLVLGGGQSELDTKITSSGEAAEWEDPDLSLQGSCQPVPSCQKCIL SHPSCAWCKQLNFTASGEAEARRCARR >ENSMUSP00000093647.2 pep:known chromosome:GRCm38:6:43153262:43154261:1 gene:ENSMUSG00000094200.1 transcript:ENSMUST00000095953.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr237-ps1 description:olfactory receptor 237, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030071] MKANQTWITEVTLLGFQADLAVECFLFGLFSLFYSFTLLGNGIIFGIICLDHRLHTPMYF FLSHLAIVDMSYASNNVPKMLANLVTQRRTISFIPCLMQTFLYLAFAHIECLILVVMSYD RFVAICHPLHYTVIMSWRVCTILAAVSWIFSFLLALVHLVLILRLPFCGPHEVNHFFCEI LSVLKLACADTTLNQVVIFAACVFILVGPLCLVLVSYTRILVAILRIQSGEGRRKAFSTC SSHLCVVGLFFGSAIVMYMAPKSQHPELQQKILSLFYSLFNPMLNPLIYSLRNAEVKGAL RRSLLKERSM >ENSMUSP00000108218.1 pep:known chromosome:GRCm38:2:58446434:58516272:-1 gene:ENSMUSG00000026836.15 transcript:ENSMUST00000112599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1 description:activin A receptor, type 1 [Source:MGI Symbol;Acc:MGI:87911] MVDGVMILPVLMMMAFPSPSVEDEKPKVNQKLYMCVCEGLSCGNEDHCEGQQCFSSLSIN DGFHVYQKGCFQVYEQGKMTCKTPPSPGQAVECCQGDWCNRNITAQLPTKGKSFPGTQNF HLEVGLIILSVVFAVCLLACILGVALRKFKRRNQERLNPRDVEYGTIEGLITTNVGDSTL AELLDHSCTSGSGSGLPFLVQRTVARQITLLECVGKGRYGEVWRGSWQGENVAVKIFSSR DEKSWFRETELYNTVMLRHENILGFIASDMTSRHSSTQLWLITHYHEMGSLYDYLQLTTL DTVSCLRIVLSIASGLAHLHIEIFGTQGKSAIAHRDLKSKNILVKKNGQCCIADLGLAVM HSQSTNQLDVGNNPRVGTKRYMAPEVLDETIQVDCFDSYKRVDIWAFGLVLWEVARRMVS NGIVEDYKPPFYDVVPNDPSFEDMRKVVCVDQQRPNIPNRWFSDPTLTSLAKLMKECWYQ NPSARLTALRIKKTLTKIDNSLDKLKTDC >ENSMUSP00000088453.2 pep:known chromosome:GRCm38:2:58446441:58566826:-1 gene:ENSMUSG00000026836.15 transcript:ENSMUST00000090935.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1 description:activin A receptor, type 1 [Source:MGI Symbol;Acc:MGI:87911] MVDGVMILPVLMMMAFPSPSVEDEKPKVNQKLYMCVCEGLSCGNEDHCEGQQCFSSLSIN DGFHVYQKGCFQVYEQGKMTCKTPPSPGQAVECCQGDWCNRNITAQLPTKGKSFPGTQNF HLEVGLIILSVVFAVCLLACILGVALRKFKRRNQERLNPRDVEYGTIEGLITTNVGDSTL AELLDHSCTSGSGSGLPFLVQRTVARQITLLECVGKGRYGEVWRGSWQGENVAVKIFSSR DEKSWFRETELYNTVMLRHENILGFIASDMTSRHSSTQLWLITHYHEMGSLYDYLQLTTL DTVSCLRIVLSIASGLAHLHIEIFGTQGKSAIAHRDLKSKNILVKKNGQCCIADLGLAVM HSQSTNQLDVGNNPRVGTKRYMAPEVLDETIQVDCFDSYKRVDIWAFGLVLWEVARRMVS NGIVEDYKPPFYDVVPNDPSFEDMRKVVCVDQQRPNIPNRWFSDPTLTSLAKLMKECWYQ NPSARLTALRIKKTLTKIDNSLDKLKTDC >ENSMUSP00000056784.5 pep:known chromosome:GRCm38:2:58447149:58567157:-1 gene:ENSMUSG00000026836.15 transcript:ENSMUST00000056376.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1 description:activin A receptor, type 1 [Source:MGI Symbol;Acc:MGI:87911] MVDGVMILPVLMMMAFPSPSVEDEKPKVNQKLYMCVCEGLSCGNEDHCEGQQCFSSLSIN DGFHVYQKGCFQVYEQGKMTCKTPPSPGQAVECCQGDWCNRNITAQLPTKGKSFPGTQNF HLEVGLIILSVVFAVCLLACILGVALRKFKRRNQERLNPRDVEYGTIEGLITTNVGDSTL AELLDHSCTSGSGSGLPFLVQRTVARQITLLECVGKGRYGEVWRGSWQGENVAVKIFSSR DEKSWFRETELYNTVMLRHENILGFIASDMTSRHSSTQLWLITHYHEMGSLYDYLQLTTL DTVSCLRIVLSIASGLAHLHIEIFGTQGKSAIAHRDLKSKNILVKKNGQCCIADLGLAVM HSQSTNQLDVGNNPRVGTKRYMAPEVLDETIQVDCFDSYKRVDIWAFGLVLWEVARRMVS NGIVEDYKPPFYDVVPNDPSFEDMRKVVCVDQQRPNIPNRWFSDPTLTSLAKLMKECWYQ NPSARLTALRIKKTLTKIDNSLDKLKTDC >ENSMUSP00000120755.1 pep:known chromosome:GRCm38:2:58477758:58538438:-1 gene:ENSMUSG00000026836.15 transcript:ENSMUST00000126407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1 description:activin A receptor, type 1 [Source:MGI Symbol;Acc:MGI:87911] MVDGVMILPVLMMMAFPSPSVEDEKPKVNQKLYMCVCEGLSCGNEDHCEGQQCFSSLSIN DGFHVYQKGCFQVYEQGKMTCKTPPSPGQAVECCQGDWCNRNITAQLPTKGKSFPGTQNF HLEVGLIILS >ENSMUSP00000108220.2 pep:known chromosome:GRCm38:2:58446441:58566828:-1 gene:ENSMUSG00000026836.15 transcript:ENSMUST00000112601.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1 description:activin A receptor, type 1 [Source:MGI Symbol;Acc:MGI:87911] MVDGVMILPVLMMMAFPSPSVEDEKPKVNQKLYMCVCEGLSCGNEDHCEGQQCFSSLSIN DGFHVYQKGCFQVYEQGKMTCKTPPSPGQAVECCQGDWCNRNITAQLPTKGKSFPGTQNF HLEVGLIILSVVFAVCLLACILGVALRKFKRRNQERLNPRDVEYGTIEGLITTNVGDSTL AELLDHSCTSGSGSGLPFLVQRTVARQITLLECVGKGRYGEVWRGSWQGENVAVKIFSSR DEKSWFRETELYNTVMLRHENILGFIASDMTSRHSSTQLWLITHYHEMGSLYDYLQLTTL DTVSCLRIVLSIASGLAHLHIEIFGTQGKSAIAHRDLKSKNILVKKNGQCCIADLGLAVM HSQSTNQLDVGNNPRVGTKRYMAPEVLDETIQVDCFDSYKRVDIWAFGLVLWEVARRMVS NGIVEDYKPPFYDVVPNDPSFEDMRKVVCVDQQRPNIPNRWFSDPTLTSLAKLMKECWYQ NPSARLTALRIKKTLTKIDNSLDKLKTDC >ENSMUSP00000109007.1 pep:known chromosome:GRCm38:X:113297510:113836386:1 gene:ENSMUSG00000025592.17 transcript:ENSMUST00000113380.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dach2 description:dachshund 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890446] MTRKQAVNSSRPGRPPKRSLGVLQDNARLLPHAVPGLLSPGLITPTGITAAAMAEAMKLQ KMKLMAMNTLQGNGSQNGTESEPDDLNSTTGGSESSWDKDKIQSPLAASGPQHGIAHAAL AGQPGLGGAPTLNPLQQNHLLSNRLDLPFMMMPHPLLPVSLPPASVAMAMNQMNHLNTIA NMAAAAQIHSPLSRAGASVIKERIPESPSPAPSLEESHRPGSQTSSHPSSSVSSSPSQMD HHSERMVMMPNNREELIVDQDNGQSIKKFQRDNKDIQLSQHHLLNTFLHWIELAPLSKKS LHHQKEVPAQIPVMKSPLDKIQLAPGQALHPGFPGPFIFADSLSSVETLLTNIQVNNISI NKINGLLKVALDNARIQEKQIQQEKKELRIELFREREIRENLERQLAVELQSRSTMQKRL KKEKKAKRKLQEALEFESKRREQVEQALKQATSGDSGLRMLKDSGIPDIEIENSGTPHDS AAMQGGNYYCLAMAQQLCSA >ENSMUSP00000109006.1 pep:known chromosome:GRCm38:X:113297522:113831907:1 gene:ENSMUSG00000025592.17 transcript:ENSMUST00000113379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dach2 description:dachshund 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890446] MTRKQAVNSSRPGRPPKRSLGVLQDNARLLPHAVPGLLSPGLITPTGITAAAMAEAMKLQ KMKLMAMNTLQGNGSQNGTESEPDDLNSTTGGSESSWDKDKIQSPLAASGPQHGIAHAAL AGQPGLGGAPTLNPLQQNHLLSNRLDLPFMMMPHPLLPVSLPPASVAMAMNQMNHLNTIA NMAAAAQIHSPLSRAGASVIKERIPESPSPAPSLEESHRPGSQTSSHPSSSVSSSPSQMD HHSERMVMMPNNREELIVDQDNGQSIKKFQRDNKEEVPAQIPVMKSPLDKIQLAPGQALH PGFPGPFIFADSLSSVETLLTNIQGLLKVALDNARIQEKQIQQEKKELRIELFREREIRE NLERQLAVELQSRSTMQKRLKKEKKAKRKLQEALEFESKRREQVEQALKQATSGDSGLRM LKDSGIPDIEIENSGTPHDSAAMQA >ENSMUSP00000109005.1 pep:known chromosome:GRCm38:X:113297576:113835578:1 gene:ENSMUSG00000025592.17 transcript:ENSMUST00000113378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dach2 description:dachshund 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890446] MAEAMKLQKMKLMAMNTLQGNGSQNGTESEPDDLNSTTGGSESSWDKDKIQSPLAASGPQ HGIAHAALAGQPGLGGAPTLNPLQQNHLLSNRLDLPFMMMPHPLLPVSLPPASVAMAMNQ MNHLNTIANMAAAAQIHSPLSRAGASVIKERIPESPSPAPSLEESHRPGSQTSSHPSSSV SSSPSQMDHHSERMVMMPNNREELIVDQDNGQSIKKFQRDNKDIQLSQHHLLNTFLHWIE LAPLSKKSLHHQKEVPAQIPVMKSPLDKIQLAPGQALHPGFPGPFIFADSLSSVETLLTN IQVNNISINKINGLLKVALDNARIQEKQIQQEKKELRIELFREREIRENLERQLAVELQS RSTMQKRLKKEKKAKRKLQEALEFESKRREQVEQALKQATSGDSGLRMLKDSGIPDIEIE NSGTPHDSAAMQGGNYYCLAMAQQLCSA >ENSMUSP00000109009.1 pep:known chromosome:GRCm38:X:113298257:113834256:1 gene:ENSMUSG00000025592.17 transcript:ENSMUST00000113382.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dach2 description:dachshund 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890446] MAVSAPPVISATSSSAGVPGGLFRAEPLYSSPGEPPRLTPNMINSFMANNHNGSVLGGGI GGGSGGSSNTNTNECRMVDMHGVKVASFLMDGQELICLPQVFDLFLKHLVGGLHTVYTKL KRLDISPVVCTVEQVRILRGLGAIQPGVNRCKLITRKDFETLFTDCTNASSRPGRPPKRS LGVLQDNARLLPHAVPGLLSPGLITPTGITAAAMAEAMKLQKMKLMAMNTLQGNGSQNGT ESEPDDLNSTTGGSESSWDKDKIQSPLAASGPQHGIAHAALAGQPGLGGAPTLNPLQQNH LLSNRLDLPFMMMPHPLLPVSLPPASVAMAMNQMNHLNTIANMAAAAQIHSPLSRAGASV IKERIPESPSPAPSLEESHRPGSQTSSHPSSSVSSSPSQMDHHSERMVMMPNNREELIVD QDNGQSIKKFQRDNKEEVPAQIPVMKSPLDKIQLAPGQALHPGFPGPFIFADSLSSVETL LTNIQGLLKVALDNARIQEKQIQQEKKELRIELFREREIRENLERQLAVELQSRSTMQKR LKKEKKAKRKLQEALEFESKRREQVEQALKQATSGDSGLRMLKDSGIPDIEIENSGTPHD SAAMQA >ENSMUSP00000064393.4 pep:known chromosome:GRCm38:X:113298257:113835893:1 gene:ENSMUSG00000025592.17 transcript:ENSMUST00000067219.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dach2 description:dachshund 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890446] MAVSAPPVISATSSSAGVPGGLFRAEPLYSSPGEPPRLTPNMINSFMANNHNGSVLGGGI GGGSGGSSNTNTNECRMVDMHGVKVASFLMDGQELICLPQVFDLFLKHLVGGLHTVYTKL KRLDISPVVCTVEQVRILRGLGAIQPGVNRCKLITRKDFETLFTDCTNARRKRQMTRKQA VNSSRPGRPPKRSLGVLQDNARLLPHAVPGLLSPGLITPTGITAAAMAEAMKLQKMKLMA MNTLQGNGSQNGTESEPDDLNSTTGGSESSWDKDKIQSPLAASGPQHGIAHAALAGQPGL GGAPTLNPLQQNHLLSNRLDLPFMMMPHPLLPVSLPPASVAMAMNQMNHLNTIANMAAAA QIHSPLSRAGASVIKERIPESPSPAPSLEESHRPGSQTSSHPSSSVSSSPSQMDHHSERM VMMPNNREELIVDQDNGQSIKKFQRDNKEEVPAQIPVMKSPLDKIQLAPGQALHPGFPGP FIFADSLSSVETLLTNIQGLLKVALDNARIQEKQIQQEKKELRIELFREREIRENLERQL AVELQSRSTMQKRLKKEKKAKRKLQEALEFESKRREQVEQALKQATSGDSGLRMLKDSGI PDIEIENSGTPHDSAAMQGGNYYCLAMAQQLCSA >ENSMUSP00000061923.6 pep:known chromosome:GRCm38:6:88883474:88898780:-1 gene:ENSMUSG00000002870.8 transcript:ENSMUST00000058011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm2 description:minichromosome maintenance deficient 2 mitotin (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105380] MAESSESLSASSPARQRRRISDPLTSSPGRSSRRADALTSSPGRDLPPFEDESEGLLGTE GPMEEEEDGEELIGDGMERDYRPIPELDVYEAEGLALDDEDVEELTASQREAAERTMRQR DREAGRGLGRMRRGLLYDSSEEDEERPARKRRHVERATEDGEEDEEMIESIENLEDLKGH SVREWVSMAGPRLEIHHRFKNFLRTHVDSHGHNVFKERISDMCKENRESLVVNYEDLAAR EHVLAYFLPEAPAELLQIFDEAALEVVLAMYPKYDRITNHIHVRISHLPLVEELRSLRQL HLNQLIRTSGVVTSCTGVLPQLSMVKYNCSKCNFVLGPFCQSQNQEVKPGSCPECQSAGP FEINMEETIYQNYQRIRIQESPGKVAAGRLPRSKDAILLADLVDSCKPGDEIELTGIYHN NYDGSLNTANGFPVFATIILANHVAKKDNKVAVGELTDEDVKMITGLSKDQQIGEKIFAS IAPSIYGHEDIKRGLALALFGGEPKNPGGKHKVRGDINVLLCGDPGTAKSQFLKYIEKVS SRAIFTTGQGASAVGLTAYVQRHPVSREWTLEAGALVLADRGVCLIDEFDKMNDQDRTSI HEAMEQQSISISKAGIVTSLQARCTVIAAANPIGGRYDPSLTFSENVDLTEPIISRFDVL CVVRDTVDPVQDEMLARFVVGSHVRHHPSNKKDEGLTNGGTLEPAMPNTYGVEPLPQEVL KKYIIYAKERVRPKLNQMDQDKVARMYSDLRKESMATGSIPITVRHIESMIRMAEAHARM HLRDYVMEDDVNMAIRVMMESFIDTQKFSVMRSMRKTFARYLSFRRDNNDLLLFILKQLV AEQVTYQRNRFGAQQDTIEIPEKDLMDKARQINIHNLSAFYDSDLFKFNKFSRDLKRKLI LQQF >ENSMUSP00000145295.1 pep:known chromosome:GRCm38:6:88889882:88893185:-1 gene:ENSMUSG00000002870.8 transcript:ENSMUST00000205165.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mcm2 description:minichromosome maintenance deficient 2 mitotin (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105380] ERPARKRRHVERATEDGEEDEEMIESIENLEDLKGHSVREWVSMAGPRLEIHHRFKNFLR THVDSHGHNVFKERISDMCKVPPSILLREP >ENSMUSP00000145337.1 pep:known chromosome:GRCm38:6:88893153:88898688:-1 gene:ENSMUSG00000002870.8 transcript:ENSMUST00000203935.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mcm2 description:minichromosome maintenance deficient 2 mitotin (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105380] XTGGSWCGGASADMARLPSHSGARCLRGRGIGPG >ENSMUSP00000118362.1 pep:known chromosome:GRCm38:2:84871924:84886710:-1 gene:ENSMUSG00000050896.12 transcript:ENSMUST00000151799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4rl2 description:reticulon 4 receptor-like 2 [Source:MGI Symbol;Acc:MGI:2669796] PDRRDKMLPGLRRLLQGPASACLLLTLLALPSVTPSCPMLCTCYSSPPTVSCQANNFSSV PLSLPPSTQRLFLQNNLIRSLRPGTFGPNLLTLWLFSNNLSTIHPGTFRHLQALEELDLG DNRHLRSLEPDTFQGLERLQSLHLYRCQLSSLPGNIFRGLVSLQYLYLQENSLLHLQDDL FADLANLSHLFLHGNRLRLLTEHVFRGLGSLDRLLLHGNRLQGVHRAAFHGLSRLTILYL FNNSLASLPGEALADLPALEFLRLNANPWACDCRARPLWAWFQRARVSSSDVTCATPPER QGRDLRALRDSDFQACPPPTPTRPGSRARGNSSSNHLYGVAEAGAPPADPSTLYRDLPAE DSRGRQGGDAPTEDDYWGGYGGEDQRGEQTCPGAACQAPADSRGPALSAGLRTPLLCLLP LALHHL >ENSMUSP00000057725.5 pep:known chromosome:GRCm38:2:84871946:84886692:-1 gene:ENSMUSG00000050896.12 transcript:ENSMUST00000054514.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4rl2 description:reticulon 4 receptor-like 2 [Source:MGI Symbol;Acc:MGI:2669796] MLPGLRRLLQGPASACLLLTLLALPSVTPSCPMLCTCYSSPPTVSCQANNFSSVPLSLPP STQRLFLQNNLIRSLRPGTFGPNLLTLWLFSNNLSTIHPGTFRHLQALEELDLGDNRHLR SLEPDTFQGLERLQSLHLYRCQLSSLPGNIFRGLVSLQYLYLQENSLLHLQDDLFADLAN LSHLFLHGNRLRLLTEHVFRGLGSLDRLLLHGNRLQGVHRAAFHGLSRLTILYLFNNSLA SLPGEALADLPALEFLRLNANPWACDCRARPLWAWFQRARVSSSDVTCATPPERQGRDLR ALRDSDFQACPPPTPTRPGSRARGNSSSNHLYGVAEAGAPPADPSTLYRDLPAEDSRGRQ GGDAPTEDDYWGGYGGEDQRGEQTCPGAACQAPADSRGPALSAGLRTPLLCLLPLALHHL >ENSMUSP00000077232.5 pep:known chromosome:GRCm38:4:87769925:88033364:-1 gene:ENSMUSG00000028496.17 transcript:ENSMUST00000078090.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt3 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 3 [Source:MGI Symbol;Acc:MGI:1917372] MASSCAVQVKLELGHRAQVRKKPTVEGFTHDWMVFVRGPEHSNIQHFVEKVVFHLHESFP RPKRVCKDPPYKVEESGYAGFILPIEVYFKNKEEPKKVRFDYDLFLHLEGHPPVNHLRCE KLTFNNPTEDFRRKLLKAGGDPNRSIHTSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSS SSSSSSSSSSTSFSKPHKLMKEHKEKPSKDSREHKSAFKEPSRDHNKSSKDSSKKPKENK PLKEEKIVPKMAFKEPKPMSKEPKADSNLLTVTSGQQDKKAPSKRPPASDSEELSAKKRK KSSSEALFKSFSSAPPLILTCSADKKQIKDKSHVKMGKVKIESETSEKKKSMLPPFDDIV DPNDSDVEENMSSKSDSEQPSPASSSSSSSSSFTPSQTRQQGPLRSIMKDLHSDDNEEES DEAEDNDNDSEMERPVNRGGSRSRRVSLSDGSDSESSSASSPLHHEPPPPLLKTNNNQIL EVKSPIKQSKSDKQIKNGECDKAYLDELVELHRRLMTLRERHILQQIVNLIEETGHFHIT NTTFDFDLCSLDKTTVRKLQSYLETSGTS >ENSMUSP00000129523.1 pep:known chromosome:GRCm38:4:87772673:87806314:-1 gene:ENSMUSG00000028496.17 transcript:ENSMUST00000126353.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt3 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 3 [Source:MGI Symbol;Acc:MGI:1917372] MKDLHSDDNEEESDEAEDNDNDSEMERPVNRGGSRSRRVSLSDGSDSESSSASSPLHHEP PPPLLKTNNNQILEVKSPIKQSKSDKQIKNGECDKIVNLIEETGHFHITNTTFDFDLCSL DKTTVRKLQSYLETSGTS >ENSMUSP00000128366.1 pep:known chromosome:GRCm38:4:87773916:87806323:-1 gene:ENSMUSG00000028496.17 transcript:ENSMUST00000149357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt3 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 3 [Source:MGI Symbol;Acc:MGI:1917372] MKDLHSDDNEEESDEAEDNDNDSEMERPVNRGGSRSRRVSLSDGSDSESSSASSPLHHEP PPPLLKTNNNQILEVKSPIKQSKSDKQIKNGECDKAYLDELVELHRRLMTLRERHILQQI VNLIEETGHFHITNTTFDFDLCSLDKTTVRKLQSYLETSGTS >ENSMUSP00000131095.1 pep:known chromosome:GRCm38:4:87773953:87806294:-1 gene:ENSMUSG00000028496.17 transcript:ENSMUST00000148059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt3 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 3 [Source:MGI Symbol;Acc:MGI:1917372] MTLRERHILQQIVNLIEETGHFHITNTTFDFDLCSLDKTTVRKLQSYLETSGTS >ENSMUSP00000127376.1 pep:known chromosome:GRCm38:4:87841084:88032813:-1 gene:ENSMUSG00000028496.17 transcript:ENSMUST00000142454.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mllt3 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 3 [Source:MGI Symbol;Acc:MGI:1917372] MCAVQVKLELGHRAQVRKKPTVEGFTHDWMVFVRGPEHSNIQHFVEKVVFHLHESFPRPK RAWTEWRWLRSGC >ENSMUSP00000029377.7 pep:known chromosome:GRCm38:3:57425314:57441677:1 gene:ENSMUSG00000027801.7 transcript:ENSMUST00000029377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm4sf4 description:transmembrane 4 superfamily member 4 [Source:MGI Symbol;Acc:MGI:2385173] MCTGGCARCLGGTLIPLAVFGLLANILLFFPGGKVVNDKSHLSDEVWYFGGILGSGVLMI FPALVFLGLQNNDCCGCCGNEGCGKRFAMFTSTLFAVIGFLGAGYSFIVSAVSINKGPKC FMANGTWGYPFHDGDYLKDQALWSECKEPRDVVPWNLTLFSILLVIGGIQMVLCAIQVIN GLLGTLCGDCQCCGCCGGDGPV >ENSMUSP00000041874.3 pep:known chromosome:GRCm38:12:54178981:54203860:-1 gene:ENSMUSG00000035105.5 transcript:ENSMUST00000039516.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egln3 description:egl-9 family hypoxia-inducible factor 3 [Source:MGI Symbol;Acc:MGI:1932288] MPLGHIMRLDLEKIALEYIVPCLHEVGFCYLDNFLGEVVGDCVLERVKQLHYNGALRDGQ LAGPCAGVSKRHLRGDQITWIGGNEEGCEAINFLLSLIDRLVLYCGSRLGKYYVKERSKA MVACYPGNGTGYVRHVDNPNGDGRCITCIYYLNKNWDAKLHGGVLRIFPEGKSFVADVEP IFDRLLFFWSDRRNPHEVQPSYATRYAMTVWYFDAEERAEAKKKFRNLTRKTESALAKD >ENSMUSP00000113703.1 pep:known chromosome:GRCm38:12:29938036:30017658:1 gene:ENSMUSG00000020674.16 transcript:ENSMUST00000122328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxdn description:peroxidasin homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1916925] MAVRPTRRCLLALLLCFAWWAMAVVASKQGAGCPSRCLCFRTTVRCMHLLLEAVPAVAPQ TSILDLRFNRIREIQPGAFRRLRSLNTLLLNNNQIKKIPNGAFEDLENLKYLYLYKNEIQ SIDRQAFKGLASLEQLYLHFNQIETLDPESFQHLPKLERLFLHNNRITHLVPGTFSQLES MKRLRLDSNALHCDCEILWLADLLKTYAQSGNAQAAATCEYPRRIQGRSVATITPEELNC ERPRITSEPQDADVTSGNTVYFTCRAEGNPKPEIIWLRNNNELSMKTDSRLNLLDDGTLM IQNTQEADEGVYQCMAKNVAGEAKTQEVTLRYLGSPARPTFVIQPQNTEVLVGESVTLEC SATGHPLPQITWTRGDRTPLPIDPRVNITPSGGLYIQNVAQSDSGEYTCFASNSVDSIHA TAFIIVQALPQFTVTPQSRVVIEGQTVDFQCAAKGHPQPVIAWTKGGSQLSVDRRHLVLS SGTLRISGVALHDQGQYECQAVNIIGSQKVVAHLTVQPRVTPVFASIPSDMTVEVGTNVQ LPCSSQGEPEPAITWNKDGVQVTESGKFHISPEGFLTINDVGTADAGRYECVARNTIGYA SVSMVLSVNVPDVSRNGDPYVATSIVEAIATVDRAINSTRTHLFDSRPRSPNDLLALFRY PRDPYTVGQARAGEIFERTLQLIQEHVQHGLMVDLNGTSYHYNDLVSPQYLSLIANLSGC TAHRRVNNCSDMCFHQKYRTHDGTCNNLQHPMWGASLTAFERLLKAVYENGFNTPRGINS QRQYNGHVLPMPRLVSTTLIGTEVITPDEQFTHMLMQWGQFLDHDLDSTVVALSQARFSD GQHCSSVCSNDPPCFSVMIPPNDPRVRSGARCMFFVRSSPVCGSGMTSLLMNSVYPREQI NQLTSYIDASNVYGSTDHEARSIRDLASHRGLLRQGIVQRSGKPLLPFATGPPTECMRDE NESPIPCFLAGDHRANEQLGLTSMHTLWFREHNRIAAELLKLNPHWDGDTVYHETRKIVG AEIQHITYRHWLPKILGEVGMKMLGEYRGYDPSVNAGIFNAFATAAFRFGHTLINPLLYR LDENFEPIPQGHVPLHKAFFSPFRIVNEGGIDPLLRGLFGVAGKMRIPSQLLNTELTERL FSMAHTVALDLAAINIQRGRDHGIPPYHDYRVYCNLSAAYTFEDLKNEIKSPVIREKLQR LYGSTLNIDLFPALMVEDLVPGSRLGPTLMCLLSTQFRRLRDGDRLWYENPGVFSPAQLT QLKQTSLARILCDNSDNITRVQQDVFRVAEFPHGYSSCEDIPRVDLRVWQDCCEDCRTRG QFNAFSYHFRGRRSLEFSYEDDKPTKRARWRKALSVKHGKHLSNATSATHEHLEGPATND LKEFVLEMQKIITDLRKQINSLESRLSTTECVDDSGESHGGNTKWKKDPCTVCECKNGQI TCFVEACQPAACPQPVKVEGACCPVCLKNTAEEKP >ENSMUSP00000113477.1 pep:known chromosome:GRCm38:12:29938050:29988994:1 gene:ENSMUSG00000020674.16 transcript:ENSMUST00000118321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxdn description:peroxidasin homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1916925] MAVRPTRRCLLALLLCFAWWAMAVVASKQGAGCPSRCLCFRTTVRCMHLLLEAVPAVAPQ TSILDLRFNRIREIQPGAFRRLRSLNTLLLNNNQIKKIPNGAFEDLENLKYLYLYKNEIQ SIDRQAFKGLASLEQLYLHFNQIETLDPESFQHLPKLERLFLHNNRITHLVPGTFSQLES MKRLRLDSNALHCDCEILWLADLLKTYAQSGNAQAAATCEYPRRIQGRSVATITPEELNC ERPRITSEPQDADVTSGNTVYFTCRAEGNPKPEIIWLRNNNELSMKTDSRLNLLDDGTLM IQNTQEADEGVYQCMAKNVAGEAKTQEVTLS >ENSMUSP00000046067.7 pep:known chromosome:GRCm38:1:56793981:56972502:-1 gene:ENSMUSG00000038331.15 transcript:ENSMUST00000042857.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb2 description:special AT-rich sequence binding protein 2 [Source:MGI Symbol;Acc:MGI:2679336] MERRSESPCLRDSPDRRSGSPDVKGPPPVKVARLEQNGSPMGARGRPNGAVAKAVGGIIK LGRWNPLPLSYVTDAPDATVADMLQDVYHVVTLKIQLQSCSKLEDLPAEQWNHATVRNAL KELLKEMNQSTLAKECPLSQSMISSIVNSTYYANVSATKCQEFGRWYKKYKKIKVERVER ENLSDYCVLGQRPMHLPNMNQLASLGKTNEQSPHSQIHHSTPIRNQVPALQPIMSPGLLS PQLSPQLVRQQIAMAHLINQQIAVSRLLAHQHPQAINQQFLNHPPIPRAVKPEPTNSSVE VSPDIYQQVRDELKRASVSQAVFARVAFNRTQGLLSEILRKEEDPRTASQSLLVNLRAMQ NFLNLPEVERDRIYQDERERSMNPNVSMVSSASSSPSSSRTPQAKTSTPTTDLPIKVDGA NVNITAAIYDEIQQEMKRAKVSQALFAKVAANKSQGWLCELLRWKENPSPENRTLWENLC TIRRFLNLPQHERDVIYEEESRHHHSERMQHVVQLPPEPVQVLHRQQSQPTKESSPPREE APPPPPPTEDSCAKKPRSRTKISLEALGILQSFIHDVGLYPDQEAIHTLSAQLDLPKHTI IKFFQNQRYHVKHHGKLKEHLGSAVDVAEYKDEELLTESEENDSEEGSEEMYKVEAEEEN ADKSKAAPAETDQR >ENSMUSP00000110057.3 pep:known chromosome:GRCm38:1:56793986:56971858:-1 gene:ENSMUSG00000038331.15 transcript:ENSMUST00000114415.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb2 description:special AT-rich sequence binding protein 2 [Source:MGI Symbol;Acc:MGI:2679336] MERRSESPCLRDSPDRRSGSPDVKGPPPVKVARLEQNGSPMGARGRPNGAVAKAVGGLMI PVFCVVEQLDGSLEYDNREEHAEFVLVRKDVLFSQLVETALLALGYSHSSAAQAQGIIKL GRWNPLPLSYVTDAPDATVADMLQDVYHVVTLKIQLQSCSKLEDLPAEQWNHATVRNALK ELLKEMNQSTLAKECPLSQSMISSIVNSTYYANVSATKCQEFGRWYKKYKKIKVERVERE NLSDYCVLGQRPMHLPNMNQLASLGKTNEQSPHSQIHHSTPIRNQVPALQPIMSPGLLSP QLSPQLVRQQIAMAHLINQQIAVSRLLAHQHPQAINQQFLNHPPIPRAVKPEPTNSSVEV SPDIYQQVRDELKRASVSQAVFARVAFNRTQGLLSEILRKEEDPRTASQSLLVNLRAMQN FLNLPEVERDRIYQDERERSMNPNVSMVSSASSSPSSSRTPQAKTSTPTTDLPIKVDGAN VNITAAIYDEIQQEMKRAKVSQALFAKVAANKSQGWLCELLRWKENPSPENRTLWENLCT IRRFLNLPQHERDVIYEEESRHHHSERMQHVVQLPPEPVQVLHRQQSQPTKESSPPREEA PPPPPPTEDSCAKKPRSRTKISLEALGILQSFIHDVGLYPDQEAIHTLSAQLDLPKHTII KFFQNQRYHVKHHGKLKEHLGSAVDVAEYKDEELLTESEENDSEEGSEEMYKVEAEEENA DKSKAAPAETDQR >ENSMUSP00000135391.1 pep:known chromosome:GRCm38:1:56793988:56969872:-1 gene:ENSMUSG00000038331.15 transcript:ENSMUST00000177424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb2 description:special AT-rich sequence binding protein 2 [Source:MGI Symbol;Acc:MGI:2679336] MERRSESPCLRDSPDRRSGSPDVKGPPPVKVARLEQNGSPMGARGRPNGAVAKAVGGLMI PVFCVVEQLDGSLEYDNREEHAEFVLVRKDVLFSQLVETALLALGYSHSSAAQAQVERVE RENLSDYCVLGQRPMHLPNMNQLASLGKTNEQSPHSQIHHSTPIRNQVPALQPIMSPGLL SPQLSPQLVRQQIAMAHLINQQIAVSRLLAHQHPQAINQQFLNHPPIPRAVKPEPTNSSV EVSPDIYQQVRDELKRASVSQAVFARVAFNRTQGLLSEILRKEEDPRTASQSLLVNLRAM QNFLNLPEVERDRIYQDERERSMNPNVSMVSSASSSPSSSRTPQAKTSTPTTDLPIKVDG ANVNITAAIYDEIQQEMKRAKVSQALFAKVAANKSQGWLCELLRWKENPSPENRTLWENL CTIRRFLNLPQHERDVIYEEESRHHHSERMQHVVQLPPEPVQVLHRQQSQPTKESSPPRE EAPPPPPPTEDSCAKKPRSRTKISLEALGILQSFIHDVGLYPDQEAIHTLSAQLDLPKHT IIKFFQNQRYHVKHHGKLKEHLGSAVDVAEYKDEELLTESEENDSEEGSEEMYKVEAEEE NADKSKAAPAETDQR >ENSMUSP00000135163.1 pep:known chromosome:GRCm38:1:56948134:56969840:-1 gene:ENSMUSG00000038331.15 transcript:ENSMUST00000176759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb2 description:special AT-rich sequence binding protein 2 [Source:MGI Symbol;Acc:MGI:2679336] MERRSESPCLRDSPDRRSGSPDVKGPPPVKVARLEQNGSPMGARGRPNGAVAKAVGGNSP ELGGLMIPVFCVVEQLDGSLEYDNREEHAEFVLVRKDVLFSQLV >ENSMUSP00000135542.1 pep:known chromosome:GRCm38:1:56969740:56978650:-1 gene:ENSMUSG00000038331.15 transcript:ENSMUST00000177282.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satb2 description:special AT-rich sequence binding protein 2 [Source:MGI Symbol;Acc:MGI:2679336] MERRSESPCLRDSPDRRSGSPDVKGPP >ENSMUSP00000143590.1 pep:known chromosome:GRCm38:7:139600951:139606658:-1 gene:ENSMUSG00000049571.16 transcript:ENSMUST00000196540.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap46 description:cilia and flagella associated protein 46 [Source:MGI Symbol;Acc:MGI:2444387] XYRDTFTGRWMGHLGNHNFPSQADWEQLLDSCRGFFFYGMETFLSHLEIERLVAMNLQEC QMMILLDLTRSSHSQKRTIESSEGQRYLLFLSRHQEPVDWEGRITAVLGEAHPDGHPSES GRGREHCRQPVAHTDAGQHAEGHHPVGQPVGNWQATWKNSSSHS >ENSMUSP00000120186.2 pep:known chromosome:GRCm38:7:139600951:139683817:-1 gene:ENSMUSG00000049571.16 transcript:ENSMUST00000129990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap46 description:cilia and flagella associated protein 46 [Source:MGI Symbol;Acc:MGI:2444387] MDLIITQELARARNQQDATALRRAYELIKSANLGKSELDPTESFSPDLFVLCAEQALKMK EPEISEDCIQMYFKVKAPITQFLGRAHLCRAQLCAPQSEENVEEFENCVTQYMKAINFAK GEPRYYFLVFNASVLYWNMVRPFLKPGYHHLVIPSLSQIITVLNQTEEEDKEWRAELMLE LLECYLQAGRKEDATKFCLTAAPFVRTQVPHKYRQMFSTMVRYEVLDDLMLREDKQQSII LSITYHINSLKAKLDKNNLPENLEQILRKMYRDLSQYHDQHVPTIREEKILLLFELGRLC LTLKNEPIASDCLQYLKKMETEDTGKLLEMEGLECELDALRLGSKLKMYLRGAVEEQLLI IKRMDNILQRAIRLGNPTTIHVLCTAQWNICLPLLQHNLRHHLRKPLTNIAEILEKVDSL MILLRCQVHMEMAYIEQDDDRVEPAIEHMQKAMRLDSLGIYRDKIKITYRRLQLGTMLYQ SPDRPEDKATMAIEQAKKATPKDSARKKRAILVNAGLALAPDTFQIVLDSENESKVSIGK IKGRFTYLCAKARHHILSVDKAAGHLRRLGYENDKDRIVIWAELAKVAWQQDVWDVCRTA SRFCLLYDNVKAKRSTKLKRGRKKKVIEGSMSDWAPSDMAMHKQMPPDLLRKIAKVLFIS AEATVHLLRSEGVQLNNQAIPPEDLSQHPAGYVPEPPESNSEWITYRTWIENLSQYAMNN WMRAAEIGQELGESWIVQNAVVYVLNHNRHLILAGRQKELVESLFHFLSIIKIVGYTEDS VMLVMLCNALARGLIMSWIPTQTPEKSKKNVRPTLVHGPLESSTLSDIRAAVEVCEFALN LTNGNVPEDIVPTNVRQHLIATWVKAKQLLQQQIGQRLGTDEQSTNEDISSVTRVLVALE MYSCNGLGLMDFTVPPLVQVVKMASECNWSDHLVELQTLTRLTHFAYMAHDHEVTMACSQ NATQMGLKHLRSFEPSNAKLAAEMLCMVSCIQGRSIMENLKGRKQLRLAAAKAFVESARF GGLANSNSLVMMAARHYWNTWLPLLSSPANRKKAKASLQRIISIINKTENKKQETDKTLP LHQWPSADFQTGGTGPEIPFLPGAEDDLTLRAALYTLLFNSHADRNDWEMGLKVLDEAVQ VLPRTAHRLLIFKHMVIVKAKLGQNFTMEIQKFKDEKESYLASMWYRLAQNSKTVCGELS CYQNAIQALQKPENNWQKVDYIMEFSEWMYYKQFPLDDVFDHLDWAIEILLSMKPNEDAL EPEPKKEGQASPAQSLTESTVPSNQTASLDQFRSVRQLEALARVHILRALMASPSASSYE DDCLMAYSFFKHILQISLTEGVKLIPEKNLQAVSSQLLLTKKEKEKEKEKEKEREKKEKE KKEKKEKKEEKKEEKKEEKKEEKEEKEEKKEEKKEEKKEKEEEKEKKEKEKKEKEKEKKE KEKEREKEKVKDTKQISTSASNKPPDEIPTSIGEWASYSCSEDLLHVFKQDKSDCTINSS SFQKPTYSLYYIDHLAKALQKMFLHELSIPILQLGVLIAEAVVESKSLADLYHLRLLQVC SDLRLHYAATHHEEVIGQAYFGDMEQASCRKEIAFKKEKNKEPEMEDYLPTLHEQLTLSD SVEVKALIAQDKILKINGETGKGLEGTSYPQLWLLKGEVLLELELHQPARLLLSEAQWAF QELGDICSEAQSLLLLAELANKEKNHAQAMLMVERAQQLGGAEEFWYKSTLTLAEAILSS EDRRKEISVCSLFQKLIDTFRALQNERPNRVSLLEFLIMELEARCVKLRIQFACNLTDEE FNEHPFLLRELEDHLLEVEQNLIFGGYYKNYVDLKLDHAKIKRLCAQKEKDEEQKTAYYL EMYSLSQKAVSEEEEVFHRIQALLSLHDLQNINSPVMRRLARLKLSLAETCLDLLQLVCK EALDLQMEQGSFEKLLADFLQNTTDYTSIGLQWFFLKRTLPHLVLTQLESLQPLCVGCTD IRAQLLILAGKALHLLYVQTDPVYPSFCWEEELLVGTKKDSLRSLETDTEDRSVETSSSA VFKEAPKEQSRKAEGLKKRMVLARGFLAQTSEVLLQCIQTSLSNNLLDIVASASIEMVEC IGILDPITTCQFLTLSQSCSTSEMMRKVLLTATKNTGSSQLAALLQLHQRLRQQDKMSTS LFASVEQKLASSFRAWQSLCVTEQHFSILNEIPPVFRILFLQHSQDRSCLYGAAFERPKT MPSPKGKLITVGGYCKVTRVATSPTAISDLLTSIQWFQRQTRVKEQALCTQSLSSILEHM EDYLKPITPQLTFPESRNQMPTAVGDAGKNKGREKERKISLPSGQPDPEYLILIVDKFLL ELPLEGLSMLNEVTSLSRDFSLQMLWNRLHKEEREGSMKKEVKSKDLKKKTPGKKALKSI MTRIIPPECNLIDSDTIKFVVDPYEEARGTELMRPIEITQEILEKYRDTFTGRWMGHLGN HNFPSQADWEQLLDSCRGFFFYGMETFLSHLEIERLVAMNLQECQMMILLDLTRSSHSQK RTIESSEGQSASQRSLVKPIQTAILLSLVGVESIVDNQWPTLMQDNMLRATILWDNLLAI GKPLGKTVRLIHKLCNEPGSQDEKPQVSKNEPLSELQPHHPESLTTALNWVLYGLPHQAI V >ENSMUSP00000120463.1 pep:known chromosome:GRCm38:7:139638778:139661107:-1 gene:ENSMUSG00000049571.16 transcript:ENSMUST00000155075.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap46 description:cilia and flagella associated protein 46 [Source:MGI Symbol;Acc:MGI:2444387] MQKAMRLDSLGIYRDKIKITYRRLQLGTMLYQSPDRPEDKATMAIEQAKKATPKDSARKK RAILVNAGLALAPDTFQIVLDSENESKVSIGKIKGRFTYLCAKARHHILSVDKAAGHLRR LGYENDKDRIVIWAELAKVAWQQDVWDVCRTASRFCLLYDNVKAKRSTKLKRGRKKKVIE GSMSDWAPSDMAMHKQMPPDLLRKIAKVLFISAEATVHLLRSEGVQLNNQAIPPEDLSQH PAGYVPEPPESNSEWITYRTWIENLSQYAMNNWMRAAEIGQELGESWIVQNAVVYVLNHN RHLILAGRQKELVESLFHFLSIIKIVGYTEWVWTPTSVYVGES >ENSMUSP00000121085.1 pep:known chromosome:GRCm38:7:139638778:139683817:-1 gene:ENSMUSG00000049571.16 transcript:ENSMUST00000140820.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap46 description:cilia and flagella associated protein 46 [Source:MGI Symbol;Acc:MGI:2444387] MDLIITQELARARNQQDATALRRAYELIKSANLGKSELDPTESFSPDLFVLCAEQALKMK EPEISEDCIQMYFKVKAPITQFLGRAHLCRAQLCAPQSEENVEEFENCVTQYMKAINFAK GEPRYYFLVFNASVLYWNMVRPFLKPGYHHLVIPSLSQIITVLNQTEEEDKEWRAELMLE LLECYLQAGRKEDATKFCLTAAPFVRTQVPHKYRQMFSTMVRYEVLDDLMLREDKQQSII LSITYHINSLKAKLDKNNLPENLEQILRKMYRDLSQYHDQHVPTIREEKILLLFELGRLC LTLKNEPIASDCLQYLKKMETEDTGKLLEMEGLECELDALRLGSKLKMYLRGAVEEQLLI IKRMDNILQRAIRLGNPTTIHVLCTAQWNICLPLLQHNLRHHLRKPLTNIAEILEKVDSL MILLRCQVHMEMAYIEQDDDRVEPAIEHMQKAMRLDSLGIYRDKIKITYRRLQLGTMLYQ SPDRPEDKATMAIEQAKKATPKDSARKKRAILVNAGLALAPDTFQIVLDSENESKVSIGK IKGRFTYLCAKARHHILSVDKAAGHLRRLGYENDKDRIVIWAELAKVAWQQDVWDVCRTA SRFCLLYDNVKAKRSTKLKRGRKKKVIEGSMSDWAPSDMAMHKQMPPDLLRKIAKVLFIS AEVGFVAFEVAEQV >ENSMUSP00000115437.1 pep:known chromosome:GRCm38:7:139675967:139683817:-1 gene:ENSMUSG00000049571.16 transcript:ENSMUST00000130453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap46 description:cilia and flagella associated protein 46 [Source:MGI Symbol;Acc:MGI:2444387] MKEPEISEDCIQMYFKVKAPITQFLGRAHLCRAQLCAPQSEENEEFENCVTQYMKAINFA KGEPRYYFLVFNASVLYWNMVRPFLKPGYHHLVIPSLSQIITVLNQTEEEDKEWRAELML ELLECYLQAGRKEDATKFCLTAAPFVRTQVPHKYRQMFSTMVRYEVLDDLMLREDKQQSI ILSITYHINSLKAILLLFELGRLCLTLKNEPIASDCLQYLKKMETE >ENSMUSP00000091527.3 pep:known chromosome:GRCm38:7:139600951:139616329:-1 gene:ENSMUSG00000049571.16 transcript:ENSMUST00000093991.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap46 description:cilia and flagella associated protein 46 [Source:MGI Symbol;Acc:MGI:2444387] MPSPKGKLITVGGYCKVTRVATSPTAISDLLTSIQWFQRQTRVKEQALCTQSLSSILEHM EDYLKPITPQLTFPESRNQMPTAVGDAGKNKGREKERKISLPSGQPDPEYLILIVDKFLL ELPLEGLSMLNEVTSLSRDFSLQMLWNRLHKEEREGSMKKEVKSKDLKKKTPGKKALKSI MTRIIPPECNLIDSDTIKFVVDPYEEARGTELMRPIEITQEILEKYRDTFTGRWMGHLGN HNFPSQADWEQLLDSCRGFFFYGMETFLSHLEIERLVAMNLQECQMMILLDLTRSSHSQK RTIESSEGQSASQRSLVKPIQTAILLSLVGVESIVDNQWPTLMQDNMLRATILWDNLLAI GKPLGKTVRLIHKLCNEPGSQDEKPQVSKNEPLSELQPHHPESLTTALNWVLYGLPHQAI V >ENSMUSP00000036220.6 pep:known chromosome:GRCm38:14:49681560:49783383:-1 gene:ENSMUSG00000036242.14 transcript:ENSMUST00000036972.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3632451O06Rik description:RIKEN cDNA 3632451O06 gene [Source:MGI Symbol;Acc:MGI:1914669] MLQDSITGIVNSFNLFFPSTMSRPTLMPTCVAFCSILFLTLATGCQAFPKVERRETAQEY AEKEQSQKMNTDDQENISFAPKYMLQQMSSEAPMVLSEGPSEIPLIKVFSVNKESHLPGA GLLHPTSPGVYSSSEPVVSASEQEPGPSLLERMSSEHSLSKVMLTVAVSSPASLNPDQEG PYNSLSTQPIVAAVTDVTHGSLDYLDNQLFAAKSQEAVSLGNSPSSSINTKEPEIIKADA AMGTTVVPGVDSTGDMEPDRERPSEMAADDGQSTTTKYLVTIPNNFLTTEPTAGSILGDA KVTVSVSTAGPVSSIFNEEWDDTKFESISRGRPPEPGDNAETQMRTKPPHGTYESFEGTE ESPSSTAVLKVAPGHLGGEPALGTALVTALGDERSPVLTHQISFTPMSLAEDPEVSTMKL FPSAGGFRASTQGDRTQLSSETAFSTSQYESVPQQEAGNVLKDITQERKMATQAMNTTSP VVTQEHMATIEVPRGSGEPEEGMPSLSPVPAEVADAELSRRGESLATPASTTVVPLSLKL TSSMEDLMDTITGPSEEFIPVLGSPMAPPAMTVEAPTISSALPSEGRTSPSISRPNTAAS YGLEQLESEEVEDDEDEEDEEDEEEEEEDEEDEEDEEDKETDSLYKDFDGDTEPPGFTLP GITSQEPDIRSGSMDLLEVATYQVPETIEWEQQNQGLVRSWMEKLKDKAGYMSGMLVPVG VGIAGALFILGALYSIKVMNRRRRNGFKRHKRKQREFNSMQDRVMLLADSSEDEF >ENSMUSP00000113609.1 pep:known chromosome:GRCm38:14:49681668:49783371:-1 gene:ENSMUSG00000036242.14 transcript:ENSMUST00000118129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3632451O06Rik description:RIKEN cDNA 3632451O06 gene [Source:MGI Symbol;Acc:MGI:1914669] MLQDSITGIVNSFNLFFPSTMSRPTLMPTCVAFCSILFLTLATGCQAFPKVERRETAQEY AEKEQSQKMNTDDQENISFAPKYMLQQMSSEAPMVLSEGPSEIPLIKVFSVNKESHLPGA GLLHPTSPGVYSSSEPVVSASEQEPGPSLLERMSSEHSLSKVMLTVAVSSPASLNPDQEG PYNSLSTQPIVAAVTDVTHGSLDYLDNQLFAAKSQEAVSLGNSPSSSINTKEPEIIKADA AMGTTVVPGVDSTGDMEPDRERPSEMAADDGQSTTTKYLVTIPNNFLTTEPTAGSILGDA KVTVSVSTAGPVSSIFNEEWDDTKFESISRGRPPEPGDNAETQMRTKPPHGTYESFEGTE ESPSSTAVLKVAPGHLGGEPALGTALVTALGDERSPVLTHQISFTPMSLAEDPEVSTMKL FPSAGGFRASTQGDRTQLSSETAFSTSQYESVPQQEAGNVLKDITQERKMATQAMNTTSP VVTQEHMATIEVPRGSGEPEEGMPSLSPVPAEVADAELSRRGESLATPASTTVVPLSLKL TSSMEDLMDTITGPSEEFIPVLGSPMAPPAMTVEAPTISSALPSEGRTSPSISRPNTAAS YGLEQLESEEVEDDEDEEDEEDEEEEEEDEEDEEDEEDKETDSLYKDFDGDTEPPGFTLP GITSQEPDIRSGSMDLLEVATYQVPETIEWEQQNQGLVRSWMEKLKDKAGYMSGMLVPVG VGIAGALFILGALYSIKVMNRRRRNGFKRHKRKREFNSMQDRVMLLADSSEDEF >ENSMUSP00000046759.7 pep:known chromosome:GRCm38:6:148770683:148831467:-1 gene:ENSMUSG00000040029.14 transcript:ENSMUST00000048418.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipo8 description:importin 8 [Source:MGI Symbol;Acc:MGI:2444611] MDLNRIIQALKGTIDPKLRIAAETELNQSYKIINFAPSLLRIIVSDHVEFPVRQAAAIYL KNMVTQYWPDREPPPGEVIFPFNIHENDRQQIRDNIVEGIIRSPDLVRVQLTMCLRVIIR HDFPGHWPAVVDKIDYYLQSPNSGSWLGSLLCLYQLVKTYEYKKAEEREPLLAAMQIFLP RIQQQILQLLPDASHYSVLLQKQILKIFYALVQYALPLQLVNHQTMTTWMEIFRTIIDRT VPPETLQIDEDDRPELVWWKCKKWALHIVARLFERYGSPGNVTKEYFEFSEFFLKTYAVG IQQVLLKILDQYRQKEYIAPRVLQQAFNYLNQGVVHAVTWKQMKPHIQNISEDVIFSVMC YKDEDEELWQEDPYEYIRMKFDIFEDYASPTTAAQTLLYTAAKKRKEVLPKMMAFCYQIL TDPNFDPRKKDGALHVIGSLAEILLKKSLFKDQIELFLQNHVFPLLMSNLGYLRARSCWV LHAFSSLKFHNELNLRNAVELAKKSLIEDEEMPVKVEAALALQSLISNQAQAKEHMKPYV RFIMQELLHIVRETENDDVTNVIQKLICEYSQDVASIAVDTTQHLAEIFGKVLQSDEYEE IEDKTVMAMGILHTIDTILTVVEDHPEIIQQLENICLRIIDLVLQKHVIEFYEEILSLAY NLTCHTISPQMWQLLGILYEVFQQDCFEYFTDMMPLLHNYVTVDTNALLSNPKHLEVLFT MCRKVLCGEAGEDAECYAAKLLEVIILQCKGRGIDQCIPLFIQLVLERLTRGVKTSELRT MCLQVAIAALYYSPELLFHTLEQVQLPHNPGPVTSQFINQWMNDTDYFLGHHDRKMCIIG LSVLLELQNRPPAVDAVAAQILPSILFLFLGLKQVCATRQTVNRENHSKAEKVDIEENEE ISSEEEEETSVSAQAMQSQIGRSEEEDDDDWDEEVLEETALEGFSTPLDLDNSVDEYQFF TQALLTVQNRDAAWYQLLVAPLSEDQKRKLQEVYTLAEHRRTLAAGQFHI >ENSMUSP00000144744.1 pep:known chromosome:GRCm38:6:148801914:148831464:-1 gene:ENSMUSG00000040029.14 transcript:ENSMUST00000204936.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipo8 description:importin 8 [Source:MGI Symbol;Acc:MGI:2444611] MDLNRIIQALKGTIDPKLRIAAETELNQLASDHSL >ENSMUSP00000117365.1 pep:known chromosome:GRCm38:6:148801916:148831426:-1 gene:ENSMUSG00000040029.14 transcript:ENSMUST00000145960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipo8 description:importin 8 [Source:MGI Symbol;Acc:MGI:2444611] MDLNRIIQALKGTIDPKLRIAAETELNQSYKIINFAPSLLRIIVSDHVEFPVRQAAAIYL KNMVTQYWPDREPPPGEVIFPFNIHENDRQQIRDNIVEGIIRSPDLVRVQLTMCLRVIIR HDFPGHWPAVVDKIDYYLQSPNSGSWLGSLLCLYQLVKTYEYKKAEEREPLLAAMQIFLP RIQQQILQLLPDASHYSVLLQKQILKIFYALVQYALPLQLVNHQTMTTWMEIFRTIIDRT VPPETLQIDEDDRPELVWWKCKKWALHIVARLFERYGSPGNVTKEYFEFSEFFLKTYAVG IQQNISEDVIFSVMCYKDEDEELWQEDPYEYIRMKFDIFEDYASPTTAAQTLLYTAAKKR K >ENSMUSP00000058077.7 pep:known chromosome:GRCm38:6:49319274:49341582:1 gene:ENSMUSG00000050786.8 transcript:ENSMUST00000055559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc126 description:coiled-coil domain containing 126 [Source:MGI Symbol;Acc:MGI:1889376] MFRTISRKNMSQKLSFLLLVFGLIWGLMLLHYTLQQPRRQSSVKLREQILDLSKRYVKAL AEESRSTADVDSGASMAGYADLKRTIAVLLDDILQRLVKLESKVDYIVVNGSATNTTNGT NGNLVPVTTNKRTSVSGSVR >ENSMUSP00000110135.1 pep:known chromosome:GRCm38:6:49319307:49341578:1 gene:ENSMUSG00000050786.8 transcript:ENSMUST00000114491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc126 description:coiled-coil domain containing 126 [Source:MGI Symbol;Acc:MGI:1889376] MFRTISRKNMSQKLSFLLLVFGLIWGLMLLHYTLQQPRRQSSVKLREQILDLSKRYVKAL AEESRSTADVDSGASMAGYVLHLPGPASLYLLSNSASQEMTHC >ENSMUSP00000063474.2 pep:known chromosome:GRCm38:X:53742901:53757831:-1 gene:ENSMUSG00000054727.2 transcript:ENSMUST00000067940.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700013H16Rik description:RIKEN cDNA 1700013H16 gene [Source:MGI Symbol;Acc:MGI:1922764] MFPRGRRDPEEFKSTPEDPEEPESTTRDPGGLESTPGDPEGFESTPGDPEGFESTPGDPK GFESTPGDPEGFESTPGDPVGFESTPTEEEHLAVYSFDDDFQHPRVLQRSEELRSTSDSC RERSPVELFTDPGEKVEVMLGRIGVDINRALSAKRQRMETTARQSFEGVEQKMKEVWNSH EDAMAQLNEESAQAFANLFEQWNEDFKKFREQHEKLVNDFKQQEKIFQRTRFVQNQRIRT IKEIHEQFLQKLEDLESKNDDLLTRTQNELKDEINDLQKKILRESEESTLF >ENSMUSP00000110695.1 pep:known chromosome:GRCm38:5:24438440:24445194:-1 gene:ENSMUSG00000028959.14 transcript:ENSMUST00000115043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fastk description:Fas-activated serine/threonine kinase [Source:MGI Symbol;Acc:MGI:1913837] MRRPRGEPGSRAPRPAERVTYAGPGESWSPPPSSMLRILLSAQTSPARLSGLLLIPPVQP CCLGPSKSGDRPFGGGPVQGLQRLLEQARSPGELLRWLSQNPTKVRAHHYPVALRRLGQL LVSQPRPSPVEQATLQDLSQLIIRNCPSFDVHTIHVCLHLAVLLGFPSDGPLLCALEQER RSRLPPKPPSPHRPAIYGGQRLEVALSCPRFLQYPRQHLIRSLAEARPEELTPHVMVLLA QHLARHRLREPQLLEAIAHFLVVQEAQLNSKVVQKLVLPFGRLNYMPLEQQFMPCLERIL AREAGVAPLATVNILMSLCQLQCLPFRALQFVFSPSFINHINGTPPSLIVRRYLSLLDTA VELELPGYQGPRLPQRQRVPIFPQPLITDRARCKYSHKDMVAEGLRQLLGEENYRQNLTV PPGYCTDFLLCVSSSGAVLPMRTQDPFLPYPPRSCQQDQANFNSTTQDPAQRVVLMLRER WHFCRDGRVLLGSRALRERHLGLMGYQLLPVPQPCS >ENSMUSP00000123447.1 pep:known chromosome:GRCm38:5:24441039:24445206:-1 gene:ENSMUSG00000028959.14 transcript:ENSMUST00000144389.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fastk description:Fas-activated serine/threonine kinase [Source:MGI Symbol;Acc:MGI:1913837] MRRPRGEPGSRAPRPAERVTYAGPGESCRVAPEGRTSGGRRELVVSTTQLHASNPALCPD FPCSAVWFAAHPTSTALLFGTQQVRGPAFWRRPCARPSTASGTGTEPWGATAMAEPEPHQ GASSSLPCGTPASGPALGVSA >ENSMUSP00000030800.6 pep:known chromosome:GRCm38:5:24441039:24445287:-1 gene:ENSMUSG00000028959.14 transcript:ENSMUST00000030800.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fastk description:Fas-activated serine/threonine kinase [Source:MGI Symbol;Acc:MGI:1913837] MRRPRGEPGSRAPRPAERVTYAGPGESWSPPPSSMLRILLSAQTSPARLSGLLLIPPVQP CCLGPSKSGDRPFGGGPVQGLQRLLEQARSPGELLRWLSQNPTKVRAHHYPVALRRLGQL LVSQPRPSPVEQATLQDLSQLIIRNCPSFDVHTIHVCLHLAVLLGFPSDGPLLCALEQER RSRLPPKPPSPHRPAIYGGQRLEVALSCPRFLQYPRQHLIRSLAEARPEELTPHVMVLLA QHLARHRLREPQLLEAIAHFLVVQEAQLNSKVVQKLVLPFGRLNYMPLEQQFMPCLERIL AREAGVAPLATVNILMSLCQLQCLPFRALQFVFSPSFINHINGTPPSLIVRRYLSLLDTA VELELPGYQGPRLPQRQRVPIFPQPLITDRARCKYSHKDMVAEGLRQLLGEENYRQNLTV PPGYCTDFLLCVSSSGAVLPMRTQDPFLPYPPRSCQQDQANFNSTTQDPAQRVVLMLRER WHFCRDGRVLLGSRALRERHLGLMGYQLLPLPFEELESQRGLPQLKSYLRQKLQALGLRW GPEGG >ENSMUSP00000110693.1 pep:known chromosome:GRCm38:5:24441043:24445208:-1 gene:ENSMUSG00000028959.14 transcript:ENSMUST00000115041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fastk description:Fas-activated serine/threonine kinase [Source:MGI Symbol;Acc:MGI:1913837] MRRPRGEPGSRAPRPAERVTYAGPGESCFPSDGPLLCALEQERRSRLPPKPPSPHRPAIY GGQRLEVALSCPRFLQYPRQHLIRSLAEARPEELTPHVMVLLAQHLARHRLREPQLLEAI AHFLVVQEAQLNSKVVQKLVLPFGRLNYMPLEQQFMPCLERILAREAGVAPLATVNILMS LCQLQCLPFRALQFVFSPSFINHINGTPPSLIVRRYLSLLDTAVELELPGYQGPRLPQRQ RVPIFPQPLITDRARCKYSHKDMVAEGLRQLLGEENYRQNLTVPPGYCTDFLLCVSSSGA VLPMRTQDPFLPYPPRSCQQDQANFNSTTQDPAQRVVLMLRERWHFCRDGRVLLGSRALR ERHLGLMGYQLLPLPFEELESQRGLPQLKSYLRQKLQALGLRWGPEGG >ENSMUSP00000138414.1 pep:known chromosome:GRCm38:7:33054582:33056550:-1 gene:ENSMUSG00000098094.7 transcript:ENSMUST00000182640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b17 description:secretoglobin, family 2B, member 17 [Source:MGI Symbol;Acc:MGI:3647697] MKGTLLLALLVTGELSFQTKSLVPFFNVYASVLSGKRLYQELQTFNATAEEAMIASQESQ SNYEVDNIRSILDYISRLLGE >ENSMUSP00000138446.1 pep:known chromosome:GRCm38:7:33054582:33056561:-1 gene:ENSMUSG00000098094.7 transcript:ENSMUST00000182673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b17 description:secretoglobin, family 2B, member 17 [Source:MGI Symbol;Acc:MGI:3647697] MKGTLLLALLVTGELSFQTTESLVPFFNVYASVLSGKRLYQELQTFNATAEEAMIASQES QSNYEVDNIRSILDYISRLLGE >ENSMUSP00000138629.1 pep:known chromosome:GRCm38:7:33054590:33056552:-1 gene:ENSMUSG00000098094.7 transcript:ENSMUST00000182139.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scgb2b17 description:secretoglobin, family 2B, member 17 [Source:MGI Symbol;Acc:MGI:3647697] MKGTLLLALLVTGELSFQTSESGTLVHPISVPWRYLSGALRQT >ENSMUSP00000114094.1 pep:known chromosome:GRCm38:18:69979131:70141605:-1 gene:ENSMUSG00000024511.15 transcript:ENSMUST00000121693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab27b description:RAB27B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1931295] MTDGDYDYLIKLLALGDSGVGKTTFLYRYTDNKFNPKFITTVGIDFREKRVVYDTQGADG ASGKAFKVHLQLWDTAGQERFRSLTTAFFRDAMGFLLMFDLTSQQSFLNVRNWMSQLQAN AYCENPDIVLIGNKADLPDQREVNERQARELAEKYGIPYFETSAATGQNVEKSVETLLDL IMKRMEKCVEKTQVPDTVNGGNSGKLDGEKPAEKKCAC >ENSMUSP00000112807.1 pep:known chromosome:GRCm38:18:69983656:70053588:-1 gene:ENSMUSG00000024511.15 transcript:ENSMUST00000117692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab27b description:RAB27B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1931295] MTDGDYDYLIKLLALGDSGVGKTTFLYRYTDNKFNPKFITTVGIDFREKRVVYDTQGADG ASGKAFKVHLQLWDTAGQERFRSLTTAFFRDAMGFLLMFDLTSQQSFLNVRNWMSQLQAN AYCENPDIVLIGNKADLPDQREVNERQARELAEKYGIPYFETSAATGQNVEKSVETLLDL IMKRMEKCVEKTQVPDTVNGGNSGKLDGEKPAEKKCAC >ENSMUSP00000068349.8 pep:known chromosome:GRCm38:18:69983656:70053588:-1 gene:ENSMUSG00000024511.15 transcript:ENSMUST00000069749.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab27b description:RAB27B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1931295] MTDGDYDYLIKLLALGDSGVGKTTFLYRYTDNKFNPKFITTVGIDFREKRVVYDTQGADG ASGKAFKVHLQLWDTAGQERFRSLTTAFFRDAMGFLLMFDLTSQQSFLNVRNWMSQLQAN AYCENPDIVLIGNKADLPDQREVNERQARELAEKYGIPYFETSAATGQNVEKSVETLLDL IMKRMEKCVEKTQVPDTVNGGNSGKLDGEKPAEKKCAC >ENSMUSP00000024044.6 pep:known chromosome:GRCm38:6:124864692:124888221:-1 gene:ENSMUSG00000023274.14 transcript:ENSMUST00000024044.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd4 description:CD4 antigen [Source:MGI Symbol;Acc:MGI:88335] MCRAISLRRLLLLLLQLSQLLAVTQGKTLVLGKEGESAELPCESSQKKITVFTWKFSDQR KILGQHGKGVLIRGGSPSQFDRFDSKKGAWEKGSFPLIINKLKMEDSQTYICELENRKEE VELWVFKVTFSPGTSLLQGQSLTLTLDSNSKVSNPLTECKHKKGKVVSGSKVLSMSNLRV QDSDFWNCTVTLDQKKNWFGMTLSVLGFQSTAITAYKSEGESAEFSFPLNFAEENGWGEL MWKAEKDSFFQPWISFSIKNKEVSVQKSTKDLKLQLKETLPLTLKIPQVSLQFAGSGNLT LTLDKGTLHQEVNLVVMKVAQLNNTLTCEVMGPTSPKMRLTLKQENQEARVSEEQKVVQV VAPETGLWQCLLSEGDKVKMDSRIQVLSRGVNQTVFLACVLGGSFGFLGFLGLCILCCVR CRHQQRQAARMSQIKRLLSEKKTCQCPHRMQKSHNLI >ENSMUSP00000125244.1 pep:known chromosome:GRCm38:13:13997949:14039579:-1 gene:ENSMUSG00000039233.12 transcript:ENSMUST00000159893.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbce description:tubulin-specific chaperone E [Source:MGI Symbol;Acc:MGI:1917680] MSDILPLDVIGRRVEVNGEYATVRFCGAVPPVAGTQQEALLFVQAKLISEMTSLLPLRSA MCSKMDLMMMKIRVP >ENSMUSP00000047880.6 pep:known chromosome:GRCm38:13:13997952:14039638:-1 gene:ENSMUSG00000039233.12 transcript:ENSMUST00000039894.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbce description:tubulin-specific chaperone E [Source:MGI Symbol;Acc:MGI:1917680] MSDILPLDVIGRRVEVNGEYATVRFCGAVPPVAGLWLGVEWDNPERGKHDGSHEGTMYFK CRHPTGGSFVRPSKVNFGDDFLTALKKRYVLEDGPDDDENSCSLKVGSKQVQTIGFEHIT KKQSQLRALQDISLWNCAVSHAGEQGRIAEACPNIRVVNLSKNLLSTWDEVVLIAEQLKD LEALDLSENKLQFPSDSPTLTRTFSTLKTLVLNKTGITWTEVLHCAPSWPVLEELYLKSN NISISERPVNVLQKMRLLDLSSNPSIDESQLSLIADLPRLEHLVLSDIGLSSIHFPDAEI GCKTSMFPALKYLIVNDNQISEWSFINELDKLQSLQALSCTRNPLSKADKAEEIIIAKIA QLRTLNRCQILPEERRGAELDYRKAFGNEWRKAGGHPDPDKNRPNAAFLSAHPRYQLLCC KYGAPEDEELKTQQPFMLKKQLLTLKIKCSNQPERQILEKQLPDSMTVQKVKGLLSRLLK VPVSELLLSYESSKMPGREIELENDLQPLQFYSVENGDCLLVRW >ENSMUSP00000125613.1 pep:known chromosome:GRCm38:13:13997953:14039638:-1 gene:ENSMUSG00000039233.12 transcript:ENSMUST00000162326.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbce description:tubulin-specific chaperone E [Source:MGI Symbol;Acc:MGI:1917680] MSDILPLDVIGRRVEVNGEYATVRFCGAVPPVAGLWLGVEWDNPERGKHDGSHEGTMYFK CRHPTGGSFVRPSKVNFGDDFLTALKKRYVLEDGPDDDENSCSLKVGSKQVQTIGFEHIT KKQSQLRALQDISLWNCAVSHAGEQGRIAEACPNIRVVNLSKNLLSTWDEVVLIAEQLKD LEALDLSENKLQFPSDSPTLTRTFSTLKTLVLNKTGITWTEVLHCAPSWPVLEELYLKSN NISISERPVNVLQKMRLLDLSSNPSIDESQLSLIADLPRLEHLVLSDIGLSSIHFPDAEI GCKTSMFPALKYLIVNDNQISEWSFINELDKLQSLQALSCTRNPLSKADKAEEIIIAKIA QLRTLNRCQILPEERRGAELDYRKAFGNEWRKAGGHPDPDKNRPNAAFLSAHPRYQLLCC STSRIWCT >ENSMUSP00000099400.2 pep:known chromosome:GRCm38:2:160765185:160872572:-1 gene:ENSMUSG00000035877.17 transcript:ENSMUST00000103111.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zhx3 description:zinc fingers and homeoboxes 3 [Source:MGI Symbol;Acc:MGI:2444772] MASKRKSTTPCMIPVKTVVLPGASTEPQPVESLPEGPQQDLPSEAPDASSEAAPNPSSTD GSALANGHRSTLDGYVYCCKECEFRSQDVTHFIGHMNSEHTDFNKDPTFVCTGCSFLAKN PEGLSLHNAKCHSGEASFLWNVTKPDNHVVVEQSVPDSASSSVLAGESTTEGTEIIITKT PIMKIMKGKAEAKKIHMLKENAPNQPGSEALPKPLAGEREVKEGDHTFINGAAPGSQASA KSTKPPPAANGPLIGTVPVLPAGIAQFLSLQQQPPVHAQHHTHQPLPTSKTLPKVMIPLS SIPTYNAAMDSNSFLKNSFHKFPYPTKAELCYLTVVTKYPEEQLKIWFTAQRLKQGISWS PEEIEDARKKMFNTVIQSVPQPTITVLNTPLVASAGNVQHLIQATLPGHAVGQPEGTAGG LLVTQPLMANGLQASSSSLPLTTASVPKPTVAPINTVCSNSASAVKVVNAAQSLLTACPS ITSQAFLDANIYKNKKSHEQLSALKGSFCRNQFPGQSEVEHLTKVTGLSTREVRKWFSDR RYHCRNLKGSRAMMPGEHGSVLIDSVPEVPFPLASKVPEVTCIPTATSLVSHPATKRQSW HQTPDFTPTKYKERAPEQLRVLENSFAQNPLPPEEELDRLRSETKMTRREIDGWFSERRK KVNTEETKKADGHMPKEEEEGAEQEGRDEELANELRVPGENGSPEMFLSHALAERKVSPI KINLKNLRVTEASGKSEFPGMGVCEPEEDGLNKLVEQPPSKVSYKKTAQQRHLLRQLFVQ TQWPSNQDYDSIMAQTGLPRPEVVRWFGDSRYALKNGQLKWYEDYKRGNFPPGLLVIAPG NRELLQDYYMTHKMLCEEDLQTLCDKTQMSAQQVKQWFAEKMGEETRAVADISSEDQGPR NGEPVAVHKVLGDAYSELSENSESWEPSAPEASSEPFDTSSPQSGRQLEAD >ENSMUSP00000099401.1 pep:known chromosome:GRCm38:2:160765782:160859631:-1 gene:ENSMUSG00000035877.17 transcript:ENSMUST00000103112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zhx3 description:zinc fingers and homeoboxes 3 [Source:MGI Symbol;Acc:MGI:2444772] MASKRKSTTPCMIPVKTVVLPGASTEPQPVESLPEGPQQDLPSEAPDASSEAAPNPSSTD GSALANGHRSTLDGYVYCCKECEFRSQDVTHFIGHMNSEHTDFNKDPTFVCTGCSFLAKN PEGLSLHNAKCHSGEASFLWNVTKPDNHVVVEQSVPDSASSSVLAGESTTEGTEIIITKT PIMKIMKGKAEAKKIHMLKENAPNQPGSEALPKPLAGEREVKEGDHTFINGAAPGSQASA KSTKPPPAANGPLIGTVPVLPAGIAQFLSLQQQPPVHAQHHTHQPLPTSKTLPKVMIPLS SIPTYNAAMDSNSFLKNSFHKFPYPTKAELCYLTVVTKYPEEQLKIWFTAQRLKQGISWS PEEIEDARKKMFNTVIQSVPQPTITVLNTPLVASAGNVQHLIQATLPGHAVGQPEGTAGG LLVTQPLMANGLQASSSSLPLTTASVPKPTVAPINTVCSNSASAVKVVNAAQSLLTACPS ITSQAFLDANIYKNKKSHEQLSALKGSFCRNQFPGQSEVEHLTKVTGLSTREVRKWFSDR RYHCRNLKGSRAMMPGEHGSVLIDSVPEVPFPLASKVPEVTCIPTATSLVSHPATKRQSW HQTPDFTPTKYKERAPEQLRVLENSFAQNPLPPEEELDRLRSETKMTRREIDGWFSERRK KVNTEETKKADGHMPKEEEEGAEQEGRDEELANELRVPGENGSPEMFLSHALAERKVSPI KINLKNLRVTEASGKSEFPGMGVCEPEEDGLNKLVEQPPSKVSYKKTAQQRHLLRQLFVQ TQWPSNQDYDSIMAQTGLPRPEVVRWFGDSRYALKNGQLKWYEDYKRGNFPPGLLVIAPG NRELLQDYYMTHKMLCEEDLQTLCDKTQMSAQQVKQWFAEKMGEETRAVADISSEDQGPR NGEPVAVHKVLGDAYSELSENSESWEPSAPEASSEPFDTSSPQSGRQLEAD >ENSMUSP00000134763.1 pep:known chromosome:GRCm38:2:160781904:160872829:-1 gene:ENSMUSG00000035877.17 transcript:ENSMUST00000176141.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zhx3 description:zinc fingers and homeoboxes 3 [Source:MGI Symbol;Acc:MGI:2444772] MASKRKSTTPCMIPVKTVVLPGASTEPQPVESLPEGPQQDLPSEAPDASSEAAPNPSSTD GSALANGHRSTLDGYVYCCKECEFRSQDVTHFIGHMNSEHTDFNKDPTFVCTGC >ENSMUSP00000120488.1 pep:known chromosome:GRCm38:2:160781941:160872990:-1 gene:ENSMUSG00000035877.17 transcript:ENSMUST00000127201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zhx3 description:zinc fingers and homeoboxes 3 [Source:MGI Symbol;Acc:MGI:2444772] MASKRKSTTPCMIPVKTVVLPGASTEPQPVESLPEGPQQDLPSEAPDASSEAAPNPSSTD GSALANGHRSTLDGYVYCCKECEFRSQDVTHFIGHMNSEHT >ENSMUSP00000105086.1 pep:known chromosome:GRCm38:2:160770447:160872990:-1 gene:ENSMUSG00000035877.17 transcript:ENSMUST00000109460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zhx3 description:zinc fingers and homeoboxes 3 [Source:MGI Symbol;Acc:MGI:2444772] MASKRKSTTPCMIPVKTVVLPGASTEPQPVESLPEGPQQDLPSEAPDASSEAAPNPSSTD GSALANGHRSTLDGYVYCCKECEFRSQDVTHFIGHMNSEHTDFNKDPTFVCTGCSFLAKN PEGLSLHNAKCHSGEASFLWNVTKPDNHVVVEQSVPDSASSSVLAGESTTEGTEIIITKT PIMKIMKGKAEAKKIHMLKENAPNQPGSEALPKPLAGEREVKEGDHTFINGAAPGSQASA KSTKPPPAANGPLIGTVPVLPAGIAQFLSLQQQPPVHAQHHTHQPLPTSKTLPKVMIPLS SIPTYNAAMDSNSFLKNSFHKFPYPTKAELCYLTVVTKYPEEQLKIWFTAQRLKQGISWS PEEIEDARKKMFNTVIQSVPQPTITVLNTPLVASAGNVQHLIQATLPGHAVGQPEGTAGG LLVTQPLMANGLQASSSSLPLTTASVPKPTVAPINTVCSNSASAVKVVNAAQSLLTACPS ITSQAFLDANIYKNKKSHEQLSALKGSFCRNQFPGQSEVEHLTKVTGLSTREVRKWFSDR RYHCRNLKGSRAMMPGEHGSVLIDSVPEVPFPLASKVPEVTCIPTATSLVSHPATKRQSW HQTPDFTPTKYKERAPEQLRVLENSFAQNPLPPEEELDRLRSETKMTRREIDGWFSERRK KVNTEETKKADGHMPKEEEEGAEQEGRDEELANELRVPGENGSPEMFLSHALAERKVSPI KINLKNLRVTEASGKSEFPGMGVCEPEEDGLNKLVEQPPSKVSYKKTAQQRHLLRQLFVQ TQWPSNQDYDSIMAQTGLPRPEVVRWFGDSRYALKNGQLKWYEDYKRGNFPPGLLVIAPG NRELLQDYYMTHKMLCEEDLQTLCDKTQMSAQQVKQWFAEKMGEETRAVADISSEDQGPR NGEPVAVHKVLGDAYSELSENSESWEPSAPEASSEPFDTSSPQSGRQLEAD >ENSMUSP00000016681.8 pep:known chromosome:GRCm38:X:38533274:38564525:-1 gene:ENSMUSG00000031095.15 transcript:ENSMUST00000016681.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul4b description:cullin 4B [Source:MGI Symbol;Acc:MGI:1919834] MFPTGFSSPNPSAASAAAQEVRSATDGNTSTTPPTSAKKRKLNSSSSSSNSSNEREDFDS TSSSSTPPQPRDSASPSTSSFCLGVPVATSSHVPIQKKLRFEDTLEFVGIDTKMAEESSS SSSSSSPTAATSQQQQQQQLKTKSILISSVASVHHANGLAKSSTAVSSFANSKPGSAKKL VIKNFKDKPKLPENYTDETWQKLKEAVEAIQNSTSIKYNLEELYQAVENLCSHKISANLY KQLRQICEDHIKAQIHQFREDSLDSVLFLKKIDRCWQNHCRQMIMIRSIFLFLDRTYVLQ NSMLPSIWDMGLELFRAHIISDQKVQTKTIDGILLLIERERNGEAIDRSLLRSLLSMLSD LQIYQDSFEQQFLQETNRLYAAEGQKLMQEREVPEYLHHVNKRLEEEADRLITYLDQTTQ KSLIASVEKQLLGEHLTAILQKGLNSLLDENRIQDLSLLYQLFSRVRGGVQVLLQQWIEY IKAFGSTIVINPEKDKTMVQELLDFKDKVDHIIDTCFLKNEKFINAMKEAFETFINKRPN KPAELIAKYVDSKLRAGNKEATDEELEKMLDKIMIIFRFIYGKDVFEAFYKKDLAKRLLV GKSASVDAEKSMLSKLKHECGAAFTSKLEGMFKDMELSKDIMIQFKQYMQNQNVPGNIEL TVNILTMGYWPTYVPMEVHLPPEMVKLQEIFKTFYLGKHSGRKLQWQSTLGHCVLKAEFK EGKKELQVSLFQTMVLLMFNEGEEFSLEEIKHATGIEDGELRRTLQSLACGKARVLAKNP KGKDIEDGDKFICNDDFKHKLFRIKINQIQMKETVEEQASTTERVFQDRQYQIDAAIVRI MKMRKTLSHNLLVSEVYNQLKFPVKPADLKKRIESLIDRDYMERDKENPNQYNYIA >ENSMUSP00000110771.1 pep:known chromosome:GRCm38:X:38533274:38576196:-1 gene:ENSMUSG00000031095.15 transcript:ENSMUST00000115118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul4b description:cullin 4B [Source:MGI Symbol;Acc:MGI:1919834] MSRSTRSKERRENDTDSEDNSSETSNQERRRCRQGPPRPPYPPLLPPVFPPPTPPPQVRR TRGLQDLGAMKSVCPGTSGFSSPNPSAASAAAQEVRSATDGNTSTTPPTSAKKRKLNSSS SSSNSSNEREDFDSTSSSSTPPQPRDSASPSTSSFCLGVPVATSSHVPIQKKLRFEDTLE FVGIDTKMAEESSSSSSSSSPTAATSQQQQQQQLKTKSILISSVASVHHANGLAKSSTAV SSFANSKPGSAKKLVIKNFKDKPKLPENYTDETWQKLKEAVEAIQNSTSIKYNLEELYQA VENLCSHKISANLYKQLRQICEDHIKAQIHQFREDSLDSVLFLKKIDRCWQNHCRQMIMI RSIFLFLDRTYVLQNSMLPSIWDMGLELFRAHIISDQKVQTKTIDGILLLIERERNGEAI DRSLLRSLLSMLSDLQIYQDSFEQQFLQETNRLYAAEGQKLMQEREVPEYLHHVNKRLEE EADRLITYLDQTTQKSLIASVEKQLLGEHLTAILQKGLNSLLDENRIQDLSLLYQLFSRV RGGVQVLLQQWIEYIKAFGSTIVINPEKDKTMVQELLDFKDKVDHIIDTCFLKNEKFINA MKEAFETFINKRPNKPAELIAKYVDSKLRAGNKEATDEELEKMLDKIMIIFRFIYGKDVF EAFYKKDLAKRLLVGKSASVDAEKSMLSKLKHECGAAFTSKLEGMFKDMELSKDIMIQFK QYMQNQNVPGNIELTVNILTMGYWPTYVPMEVHLPPEMVKLQEIFKTFYLGKHSGRKLQW QSTLGHCVLKAEFKEGKKELQVSLFQTMVLLMFNEGEEFSLEEIKHATGIEDGELRRTLQ SLACGKARVLAKNPKGKDIEDGDKFICNDDFKHKLFRIKINQIQMKETVEEQASTTERVF QDRQYQIDAAIVRIMKMRKTLSHNLLVSEVYNQLKFPVKPADLKKRIESLIDRDYMERDK ENPNQYNYIA >ENSMUSP00000136083.1 pep:known chromosome:GRCm38:X:38533570:38564662:-1 gene:ENSMUSG00000031095.15 transcript:ENSMUST00000147129.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cul4b description:cullin 4B [Source:MGI Symbol;Acc:MGI:1919834] MFPTGFSSPNPSAASAAAQEVRSATDGNTSTTPPTSAKKRKLNSSSSSSNSSNEREDFDS TSSSSTPPQPRDSASPSTSSFCLGVPVATSSHVPIQKKLRFEDTLEFVGIDTKMAEESSS SSSSSSPTAATSQQQQQQQLKTKSILISSVASVHHANGLAKSSTAVSSFANSKPGSAKKL VIKNFKDKPKLPENYTDETWQKLKEAVEAIQNSTSIKYNLEELYQAVENLCSHKISANLY KQLRQICEDHIKAQIHQFREDSLDSVLFLKKIDRCWQNHCRQMIMIRSIFLFLDRTYVLQ NSMLPSIWDMGLELFRAHIISDQKVQTKTIDGILLLIERERNGEAIDRSLLRSLLSMLSD LQIYQDSFEQQFLQETNRLYAAEGQKLMQEREVPEYLHHVNKRLEEEADRLITYLDQTTQ KSLIASVEKQLLGEHLTAILQKGLNSLLDENRIQDLSLLYQLFSRVRGGVQVLLQQWIEY IKAFGSTIVINPEKDKTMVQELLDFKDKVDHIIDTCFLKNEKFINAMKEAFETFINKRPN KPAELIVFLHFS >ENSMUSP00000059276.5 pep:known chromosome:GRCm38:X:38533574:38576183:-1 gene:ENSMUSG00000031095.15 transcript:ENSMUST00000050083.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul4b description:cullin 4B [Source:MGI Symbol;Acc:MGI:1919834] MSRSTRSKERRENDTDSEDNSSETSNQERRRCRQGPPRPPYPPLLPPVFPPPTPPPQVRR TRGLQDLGAMKSVCPGTSGFSSPNPSAASAAAQEVRSATDGNTSTTPPTSAKKRKLNSSS SSSNSSNEREDFDSTSSSSTPPQPRDSASPSTSSFCLGVPVATSSHVPIQKKLRFEDTLE FVGIDTKMAEESSSSSSSSSPTAATSQQQQQQQLKTKSILISSVASVHHANGLAKSSTAV SSFANSKPGSAKKLVIKNFKDKPKLPENYTDETWQKLKEAVEAIQNSTSIKYNLEELYQA VENLCSHKISANLYKQLRQICEDHIKAQIHQFREDSLDSVLFLKKIDRCWQNHCRQMIMI RSIFLFLDRTYVLQNSMLPSIWDMGLELFRAHIISDQKVQTKTIDGILLLIERERNGEAI DRSLLRSLLSMLSDLQIYQDSFEQQFLQETNRLYAAEGQKLMQEREVPEYLHHVNKRLEE EADRLITYLDQTTQKSLIASVEKQLLGEHLTAILQKGLNSLLDENRIQDLSLLYQLFSRV RGGVQVLLQQWIEYIKAFGSTIVINPEKDKTMVQELLDFKDKVDHIIDTCFLKNEKFINA MKEAFETFINKRPNKPAELIAKYVDSKLRAGNKEATDEELEKMLDKIMIIFRFIYGKDVF EAFYKKDLAKRLLVGKSASVDAEKSMLSKLKHECGAAFTSKLEGMFKDMELSKDIMIQFK QYMQNQNVPGNIELTVNILTMGYWPTYVPMEVHLPPEMVKLQEIFKTFYLGKHSGRKLQW QSTLGHCVLKAEFKEGKKELQVSLFQTMVLLMFNEGEEFSLEEIKHATGIEDGELRRTLQ SLACGKARVLAKNPKGKDIEDGDKFICNDDFKHKLFRIKINQIQMKETVEEQASTTERVF QDRQYQIDAAIVRIMKMRKTLSHNLLVSEVYNQLKFPVKPADLKKRIESLIDRDYMERDK ENPNQYNYIA >ENSMUSP00000044722.2 pep:known chromosome:GRCm38:19:39389556:39463075:-1 gene:ENSMUSG00000032808.2 transcript:ENSMUST00000035488.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c38 description:cytochrome P450, family 2, subfamily c, polypeptide 38 [Source:MGI Symbol;Acc:MGI:1306819] MDLVTFLVLTLSSLILLSLWRQRSRRGRLPPGPTPFPIIGNFLQIDVKNFNQSLTNFSKT YGPVFTLYLGSRPIVVLHGYEAVKEALIDHGEEFSGRENIPMSEKINNGLGITFSNGNSW KETRRFTLMTLRNLGMGKRNIEDRVREEAQCLVEELRKTKGSPCDPTFILSCAPCNVICS IIFQDRFDYKDKDFLMLMKKLNENVKILSSPWLQVCNNFPLLIDYCPGSHHKVLKNFKYI RSYLLEKVKEHQESLDVTNPRDFIDYFLIKQKQANHIEQAEYSLENLVCTINNLFAAGTE TTSTTLRYALLLLMKYPDVTAKVQEEIDHVVGRHRSPCMQDRSRMPYTDAMIHEVQRFIN LVPNNLPHAVTCDIKFRNYIIPKGTTVVTSLTSVLHDSKEFPNPEMFDPGHFLDANGNFK KSDYFMTFSAGKRVCAGEGLARMELFLILTTILQNFKLKSLVHPKDIDMIPFVNGLITLP PHYQLCFIPL >ENSMUSP00000121353.1 pep:known chromosome:GRCm38:2:79330543:79338603:-1 gene:ENSMUSG00000075256.9 transcript:ENSMUST00000156731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cerkl description:ceramide kinase-like [Source:MGI Symbol;Acc:MGI:3037816] RNTTRSEFVKHLKRHSSVKNQFNFPFVETYIIEEVKIHPKSNSNGYSPQEEGGNHTTASE HGFPWNVDGDLMEAASEVHIRLHPRLIRLYGGSLEEMNDCKVACDCI >ENSMUSP00000114325.1 pep:known chromosome:GRCm38:2:79332530:79428891:-1 gene:ENSMUSG00000075256.9 transcript:ENSMUST00000143974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cerkl description:ceramide kinase-like [Source:MGI Symbol;Acc:MGI:3037816] MFRGRRRSRVGALEEAPPENPKAAAEPERVLLRGIFEIRRESCDVALGERALRWRRIQPE LPAGGTRHDLLSKEESLELEDIFSVKLKRRCWAKHPGRDTLLGITLFICLKEQRNKLKDS TLDLINFSEDHCDIWFRQFKKILDGFTSRPKALKILLNPQSHRKESVHVYYEKVEPLLKL AGIETDVTITEYEGHALSLLDECELRGFDGVVCVGGDGSASEAARALLLRAQKNAGVEMD CIPTLVGAELPLGFIPAGSTNALAHSLFGTPHVVTATMHIILGHIRSVDVCTFSSAGKLL CFGFSAMFGFGGRTLALAEKYRWMSPSQRRDFAIIKALAKLKPEDCKISFLLAGCSQNKQ ERKSQRSAESDCGGHWQTIQGQFLNISIMAMPCPCSMAPRGFTPDTRLHNGSMALRVVRN TTRSEFVKHLKRHSSVKNQFNFPFVETYIIEEVKIHPKSNSNGYSPQEEGGNHTTASEHG FPWNVDGDLMEAASEVHIRLHPRLIRLYGGSLEEMNDCKVACDCI >ENSMUSP00000027226.5 pep:known chromosome:GRCm38:1:32172714:32658568:1 gene:ENSMUSG00000026058.11 transcript:ENSMUST00000027226.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdrbs2 description:KH domain containing, RNA binding, signal transduction associated 2 [Source:MGI Symbol;Acc:MGI:2159649] MGEEKYLPELMAEKDSLDPSFVHASRLLAEEIEKFQGSDGKKEDEEKKYLDVISNKNIKL SERVLIPVKQYPKFNFVGKLLGPRGNSLKRLQEETGAKMSILGKGSMRDKTKEEELRKSG EAKYAHLSDELHVLIEVFAPPGEAYSRMSHALEEIKKFLVPDYNDEIRQEQLRELSYLNG SEESGRGRGIRGRGIRITPTAPSRGRGGAVPPPPPPGRGVLTPRGTTVTRGALPVPPIAR GVPTPRARGTAAVPGYRAPPPPAHDAYEEYGYDDGYGGEYDDQTYEAYDNSYVTPTQSVP EYYDYGHGVNEDAYDSYAPEEWATTRSSLKAPPPRSARGGYREHPYGRY >ENSMUSP00000141095.1 pep:known chromosome:GRCm38:1:32172729:32246878:1 gene:ENSMUSG00000026058.11 transcript:ENSMUST00000189878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdrbs2 description:KH domain containing, RNA binding, signal transduction associated 2 [Source:MGI Symbol;Acc:MGI:2159649] MGEEKYLPELMAEKDSLDPSFVHASRLLAEEIEKFQGSDGKKEDEEKKYLDVISNKNIKL SERVLIPVKQYPKVLHACSMYFFVLSVLEQK >ENSMUSP00000140157.1 pep:known chromosome:GRCm38:1:32172748:32338354:1 gene:ENSMUSG00000026058.11 transcript:ENSMUST00000188257.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdrbs2 description:KH domain containing, RNA binding, signal transduction associated 2 [Source:MGI Symbol;Acc:MGI:2159649] MGEEKYLPELMAEKDSLDPSFVHASRLLAEEIEKFQGSDGKKEDEEKKYLDVISNKNIKL SERVLIPVKQYPKFNFVGKLLGPRGNSLKRLQEETGAKMSILGKGSMRDKTKVLSFEVAS SHVKQGSSIWSPVYNMWGWRSLFSMRLAFWYHTVAQSEVTIFFHHPPASFSGHSSCVAFC SSLCRSLSYCYTF >ENSMUSP00000140451.1 pep:known chromosome:GRCm38:1:32172887:32311090:1 gene:ENSMUSG00000026058.11 transcript:ENSMUST00000185666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdrbs2 description:KH domain containing, RNA binding, signal transduction associated 2 [Source:MGI Symbol;Acc:MGI:2159649] MGEEKYLPELMAEKDSLDPSFVHASRLLAEEIEKFQGSDGKKEDEEKKYLDVISNKNIKL SERVLIPVKQYPKECAANLYLPASVNSEVTHI >ENSMUSP00000139627.1 pep:known chromosome:GRCm38:7:33063449:33064765:1 gene:ENSMUSG00000099898.1 transcript:ENSMUST00000187081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b17 description:secretoglobin, family 1B, member 17 [Source:MGI Symbol;Acc:MGI:3809666] MMLAGAVVIFGVALLLLSSWGDCGICPAMKEDVHLFLNGTSEEYVEYVKQYKDDPEILEN TEKIKQCVDSTLMEEDKAHANGFIEKIEASPLC >ENSMUSP00000034539.5 pep:known chromosome:GRCm38:9:35124408:35176061:-1 gene:ENSMUSG00000032040.15 transcript:ENSMUST00000034539.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcps description:decapping enzyme, scavenger [Source:MGI Symbol;Acc:MGI:1916555] MADTAPQLKRKREQEAEEAETPSTEEKEAGVGNGTSAPVRLPFSGFRVQKVLRESARDKI IFLHGKVNEDSGDTHGEDAVVILEKTPFQVEHVAQLLTGSPELKLQFSNDIYSTYNLFPP RHLSDIKTTVVYPATEKHLQKYMRQDLRLIRETGDDYRTITLPYLESQSLSIQWVYNILD KKAEADRIVFENPDPSDGFVLIPDLKWNQQQLDDLYLIAICHRRGIRSLRDLTPEHLPLL RNILREGQEAILKRYQVTGDRLRVYLHYLPSYYHLHVHFTALGFEAPGSGVERAHLLAQV IENLECDPKHYQQRTLTFALRTDDPLLQLLQKAQQERN >ENSMUSP00000113284.1 pep:known chromosome:GRCm38:9:35124412:35176059:-1 gene:ENSMUSG00000032040.15 transcript:ENSMUST00000119847.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcps description:decapping enzyme, scavenger [Source:MGI Symbol;Acc:MGI:1916555] MADTAPQLKRKREQEAEEAETPSTEEKEAGVGNGTSAPVRLPFSGFRVQKVLRESARDKI IFLHGKVNEDSGDTHGEDAVVILEKTPFQVEHVAQLLTGSPELKLQFSNDIYSTYNLFPP RHLSDIKTTVVYPATEKHLQKYMRQDLRLIRETGDDYRTITLPYLESQSLSIQWVYNILD KKAEADRIVFENPDPSDGFVLIPDLKWNQQQLDDLYLIAICHRRGIRSLRDLTPEHLPLL RNILREGQRPEQGGWEKGTSANLSAFFFCKEPCGSLSPSSHSQHLFHLPSS >ENSMUSP00000121041.1 pep:known chromosome:GRCm38:9:35126307:35175993:-1 gene:ENSMUSG00000032040.15 transcript:ENSMUST00000155139.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcps description:decapping enzyme, scavenger [Source:MGI Symbol;Acc:MGI:1916555] MADTAPQLKRKREQEAEEAETPSTEEKEAGVGNGTSAPVRLPFSGFRVQKVLRESARDKI IFLHGKVNEDSGDTHGEDAVVILEKTPFQTSSGTSSSLMTCI >ENSMUSP00000090245.5 pep:known chromosome:GRCm38:10:37133375:37138920:-1 gene:ENSMUSG00000069662.5 transcript:ENSMUST00000092584.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marcks description:myristoylated alanine rich protein kinase C substrate [Source:MGI Symbol;Acc:MGI:96907] MGAQFSKTAAKGEATAERPGEAAVASSPSKANGQENGHVKVNGDASPAAAEPGAKEELQA NGSAPAADKEEPASGSAATPAAAEKDEAAAATEPGAGAADKEAAEAEPAEPSSPAAEAEG ASASSTSSPKAEDGAAPSPSSETPKKKKKRFSFKKSFKLSGFSFKKSKKESGEGAEAEGA TAEGAKDEAAAAAGGEGAAAPGEQAGGAGAEGAAGGEPREAEAAEPEQPEQPEQPAAEEP QAEEQSEAAGEKAEEPAPGATAGDASSAAGPEQEAPAATDEAAASAAPAASPEPQPECSP EAPPAPTAE >ENSMUSP00000145490.1 pep:known chromosome:GRCm38:6:43163359:43168027:1 gene:ENSMUSG00000071481.3 transcript:ENSMUST00000205175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr437 description:olfactory receptor 437 [Source:MGI Symbol;Acc:MGI:3030271] MGANQTWVTDFILVGLQLSAGIEMFLFWIFSLLYIFSLLANGIILVVICLDPKLHTPMYF FLSHLAILDISYASNNVPKMLSNLINQKRTISFAPCITQTFLYLAFAASECLILAAMSYD RFVAICHPLHYTVIMSWKVCVALAVTSWSCGFSLSVAHTILLLRLPFCGPQEINHLFCEI LAVLKLACADTLINQIVILAACVFVLVGPLCSMLVSYTHILWTILKMQSKEGRRKAFSTC SSHLCVVGLFFGIAMLVYMVPDSDQREEQEKILSLFHSLFNPMLNPLIYSLRNTQVKEAF HRALQKKRSV >ENSMUSP00000058147.4 pep:known chromosome:GRCm38:6:43167010:43168027:1 gene:ENSMUSG00000071481.3 transcript:ENSMUST00000060243.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr437 description:olfactory receptor 437 [Source:MGI Symbol;Acc:MGI:3030271] MGANQTWVTDFILVGLQLSAGIEMFLFWIFSLLYIFSLLANGIILVVICLDPKLHTPMYF FLSHLAILDISYASNNVPKMLSNLINQKRTISFAPCITQTFLYLAFAASECLILAAMSYD RFVAICHPLHYTVIMSWKVCVALAVTSWSCGFSLSVAHTILLLRLPFCGPQEINHLFCEI LAVLKLACADTLINQIVILAACVFVLVGPLCSMLVSYTHILWTILKMQSKEGRRKAFSTC SSHLCVVGLFFGIAMLVYMVPDSDQREEQEKILSLFHSLFNPMLNPLIYSLRNTQVKEAF HRALQKKRSV >ENSMUSP00000133771.1 pep:known chromosome:GRCm38:2:160731300:160762502:1 gene:ENSMUSG00000016933.17 transcript:ENSMUST00000151590.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plcg1 description:phospholipase C, gamma 1 [Source:MGI Symbol;Acc:MGI:97615] MAGVATPCANGCGPGAPSEAEVLHLCRSLEVGTVMTLFYSKKSQRPERKTFQVKLETRQI TWSRGADKIEGSIDIREIKEIRPGKTSRDFDRYQEDPAFRPDQSHCFVILYGMEFRLKTL SLQATSEDEVNMWIKGLTWLMEDTLQAATPLQIERWLRKQFYSVDRNREDRISAKDLKNM LSQVNYRVPNMRFLRERLTDLEQRSGDITYGQFAQLYRSLMYSAQKTMDLPFLETNALRT GERPEHCQVSLSEFQQFLLEYQGELWAVDRLQVQEFMLSFLRDPLREIEEPYFFLDELVT FLFSKENSVWNSQLDAVCPDTMNNPLSHYWISSSHNTYLTGDQFSSESSLEAYARCLRMG CRCIELDCWDGPDGMPVIYHGHTLTTKIKFSDVLHTIKEHAFVASEYPVILSIEDHCSIA QQRNMAQHFRKVLGDTLLTKPVDIAADGLPSPNQLRRKILIKHKKLAEGSAYEEVPTSVM YSENDISNSIKNGILYLEDPVNHVRSGIPTTSF >ENSMUSP00000099404.1 pep:known chromosome:GRCm38:2:160731310:160775760:1 gene:ENSMUSG00000016933.17 transcript:ENSMUST00000103115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcg1 description:phospholipase C, gamma 1 [Source:MGI Symbol;Acc:MGI:97615] MAGVATPCANGCGPGAPSEAEVLHLCRSLEVGTVMTLFYSKKSQRPERKTFQVKLETRQI TWSRGADKIEGSIDIREIKEIRPGKTSRDFDRYQEDPAFRPDQSHCFVILYGMEFRLKTL SLQATSEDEVNMWIKGLTWLMEDTLQAATPLQIERWLRKQFYSVDRNREDRISAKDLKNM LSQVNYRVPNMRFLRERLTDLEQRSGDITYGQFAQLYRSLMYSAQKTMDLPFLETNALRT GERPEHCQVSLSEFQQFLLEYQGELWAVDRLQVQEFMLSFLRDPLREIEEPYFFLDELVT FLFSKENSVWNSQLDAVCPDTMNNPLSHYWISSSHNTYLTGDQFSSESSLEAYARCLRMG CRCIELDCWDGPDGMPVIYHGHTLTTKIKFSDVLHTIKEHAFVASEYPVILSIEDHCSIA QQRNMAQHFRKVLGDTLLTKPVDIAADGLPSPNQLRRKILIKHKKLAEGSAYEEVPTSVM YSENDISNSIKNGILYLEDPVNHEWYPHYFVLTSSKIYYSEETSSDQGNEDEEEPKEASS STELHSSEKWFHGKLGAGRDGRHIAERLLTEYCIETGAPDGSFLVRESETFVGDYTLSFW RNGKVQHCRIHSRQDAGTPKFFLTDNLVFDSLYDLITHYQQVPLRCNEFEMRLSEPVPQT NAHESKEWYHASLTRAQAEHMLMRVPRDGAFLVRKRNEPNSYAISFRAEGKIKHCRVQQE GQTVMLGNSEFDSLVDLISYYEKHPLYRKMKLRYPINEEALEKIGTAEPDYGALYEGRNP GFYVEANPMPTFKCAVKALFDYKAQREDELTFTKSAIIQNVEKQDGGWWRGDYGGKKQLW FPSNYVEEMINPAVLEPEREHLDENSPLGDLLRGVLDVPACQIAIRPEGKNNRLFVFSIS MPSVAQWSLDVAADSQEELQDWVKKIREVAQTADARLTEGKMMERRKKIALELSELVVYC RPVPFDEEKIGTERACYRDMSSFPETKAEKYVNKAKGKKFLQYNRLQLSRIYPKGQRLDS SNYDPLPMWICGSQLVALNFQTPDKPMQMNQALFMAGGHCGYVLQPSTMRDEAFDPFDKS SLRGLEPCVICIEVLGARHLPKNGRGIVCPFVEIEVAGAEYDSTKQKTEFVVDNGLNPVW PAKPFHFQISNPEFAFLRFVVYEEDMFSDQNFLAQATFPVKGLKTGYRAVPLKNNYSEDL ELASLLIKIDIFPAKENGDLSPFSGISLRERASDASSQLFHVRAREGSFEARYQQPFEDF RISQEHLADHFDSRERSTSDGPSSATNLIEDPLHDKLWKCSL >ENSMUSP00000134720.1 pep:known chromosome:GRCm38:2:160731699:160747888:1 gene:ENSMUSG00000016933.17 transcript:ENSMUST00000174885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcg1 description:phospholipase C, gamma 1 [Source:MGI Symbol;Acc:MGI:97615] MAGVATPCANGCGPGAPSEAEVLHLCRSLEVGTVMTLFYSKKSQRPERKTFQVKLETRQI TWSRGADKIEGSIDIREIKEIRPGKTSRDFDRYQEDPAFRPDQSHCFVIL >ENSMUSP00000105088.1 pep:known chromosome:GRCm38:2:160731733:160763286:1 gene:ENSMUSG00000016933.17 transcript:ENSMUST00000109462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcg1 description:phospholipase C, gamma 1 [Source:MGI Symbol;Acc:MGI:97615] MAGVATPCANGCGPGAPSEAEVLHLCRSLEVGTVMTLFYSKKSQRPERKTFQVKLETRQI TWSRGADKIEGSIDIREIKEIRPGKTSRDFDRYQEDPAFRPDQSHCFVILYGMEFRLKTL SLQATSEDEVNMWIKGLTWLMEDTLQAATPLQIERWLRKQFYSVDRNREDRISAKDLKNM LSQVNYRVPNMRFLRERLTDLEQRSGDITYGQFAQLYRSLMYSAQKTMDLPFLETNALRT GERPEHCQVSLSEFQQFLLEYQGELWAVDRLQVQEFMLSFLRDPLREIEEPYFFLDELVT FLFSKENSVWNSQLDAVCPDTMNNPLSHYWISSSHNTYLTGDQFSSESSLEAYARCLRMG CRCIELDCWDGPDGMPVIYHGHTLTTKIKFSDVLHTIKEHAFVASEYPVILSIEDHCSIA QQRNMAQHFRKVLGDTLLTKPVDIAADGLPSPNQLRRKILIKHKKLAEGSAYEEVPTSVM YSENDISNSIKNGILYLEDPVNHEWYPHYFVLTSSKIYYSEETSSDQGNEDEEEPKEASS STELHSSEKWFHGKLGAGRDGRHIAERLLTEYCIETGAPDGSFLVRESETFVGDYTLSFW RNGKVQHCRIHSRQDAGTPKFFLTDNLVFDSLYDLITHYQQVPLRCNEFEMRLSEPVPQT NAHESKEWYHASLTRAQAEHMLMRVPRDGAFLVRKRNEPNSYAISFRAEGKIKHCRVQQE GQTVMLGNSEFDSLVDLISYYEKHPLYRKMKLRYPINEEALEKIGTAEPDYGALYEGRNP GFYVEANPMPTFKCAVKALFDYKAQREDELTFTKSAIIQNVEKQDGGWWRGDYGGKKQLW FPSNYVEEMINPAVLEPEREHLDENSPLGDLLRGVLDVPACQIAIRPEGKNNRLFVFSIS MPSVAQWSLDVAADSQEELQDWVKKIREVAQTADARLTEGKMMERRKKIALELSELVVYC RPVPFDEEKIGTERACYRDMSSFPETKAEKYVNKAKGKKFLQYNRLQLSRIYPKGQRLDS SNYDPLPMWICGSQLVALNFQTPDKPMQMNQALFMAGGHCGYVLQPSTMRDEAFDPFDKS SLRGLEPCVICIEVLGARHLPKNGRGIVCPFVEIEVAGAEYDSTKQKTEFVVDNGLNPVW PAKPFHFQISNPEFAFLRFVVYEEDMFSDQNFLAQATFPVKGLKTGYRAVPLKNNYSEDL ELASLLIKIDIFPAKENGDLSPFSGISLRERASDASSQLFHVRAREGSFEARYQQPFEDF RISQEHLADHFDSRERRAPRRTRVNGDNRL >ENSMUSP00000115181.1 pep:known chromosome:GRCm38:2:160760118:160762217:1 gene:ENSMUSG00000016933.17 transcript:ENSMUST00000143997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcg1 description:phospholipase C, gamma 1 [Source:MGI Symbol;Acc:MGI:97615] XICIEVLGARHLPKNGRGIVCPFVEIEVAGAEYDSTKQKTEFVVDNGLNPVWPAKPFHFQ ISNPEFAFLRFVVYEEDMFSDQNFLAQATFPVKGLKTGYRAVPLKNNYSEDLELASLLIK IDIFPAKVTTAA >ENSMUSP00000033650.7 pep:known chromosome:GRCm38:X:160768013:160799663:1 gene:ENSMUSG00000031293.13 transcript:ENSMUST00000033650.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rs1 description:retinoschisis (X-linked, juvenile) 1 (human) [Source:MGI Symbol;Acc:MGI:1336189] MPHKIEGFFLLLLFGYEATLGLSSTEDEGEDPWYQKACKCDCQVGANALWSAGATSLDCI PECPYHKPLGFESGEVTPDQITCSNPEQYVGWYSSWTANKARLNSQGFGCAWLSKYQDSS QWLQIDLKEIKVISGILTQGRCDIDEWVTKYSVQYRTDERLNWIYYKDQTGNNRVFYGNS DRSSTVQNLLRPPIISRFIRLIPLGWHVRIAIRMELLECASKCA >ENSMUSP00000107987.2 pep:known chromosome:GRCm38:X:160768180:160794853:1 gene:ENSMUSG00000031293.13 transcript:ENSMUST00000112368.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rs1 description:retinoschisis (X-linked, juvenile) 1 (human) [Source:MGI Symbol;Acc:MGI:1336189] MPHKIEGFFLLLLFGYEECPYHKPLGFESGEVTPDQITCSNPEQYVGWYSSWTANKARLN SQGFGCAWLSKYQDSSQWLQIDLKEIKVISGILTQGRCDIDEWVTKYSVQYRTDERLNWI YYKDQTGNNRVFYGNSDRSSTVQNLLRPPIISRFIRLIPLGWHVRIAIRMELLECASKCA >ENSMUSP00000091519.4 pep:known chromosome:GRCm38:11:79513385:79530559:-1 gene:ENSMUSG00000070354.13 transcript:ENSMUST00000093983.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21975 description:predicted gene 21975 [Source:MGI Symbol;Acc:MGI:5439444] MEHKGQYLHLVFLMTTVWASSSSGTRPNYTHLWASSVTASGSSNQNGSSRHPSDNNTNLV TPAVGHKKPSQCAGDHR >ENSMUSP00000128569.2 pep:known chromosome:GRCm38:11:79513385:79530589:-1 gene:ENSMUSG00000070354.13 transcript:ENSMUST00000170422.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21975 description:predicted gene 21975 [Source:MGI Symbol;Acc:MGI:5439444] MEFKYLVFIVLCQYLDNTFFSETEAITTEQQSLSTLITPSLYVTTDSQNTAGNALSQTTR FKNISSGQQASPAQITPEQATPAVYVSSSPLTYNITRQAESAVNNSLPQTSPSGFTLTNQ PSPSTYNSTGQPPKHLVYTSTQQPPSPAPTSSGKPEVESTHNQPTKSTPTIYLQRDTPPP PPPPLTSEPPSGKGTAHKNNHNAIAAILIGTIIISMLVAILMIILWKYLRKPVLNDQNWA GRSPFADGETPEMCMDNIRESEASTKRASVVSLMTWKPSKSTLLADDLEVKLFESSEHIN DTSNLKTDNVEVQINGLSEDSADGSTVGTAVSSDDADLALPPPLLDLDENLPNKPTVTVV SPLPNDSINPQPSPDGLNQVCEEQHSKIQEPFPPPPDSFNVPLSAGDFINNQESAHEAQC QEFSTPDLHPDLTDSLPPPPTELL >ENSMUSP00000108784.1 pep:known chromosome:GRCm38:X:135613002:135627705:-1 gene:ENSMUSG00000031411.11 transcript:ENSMUST00000113159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prame description:preferentially expressed antigen in melanoma [Source:MGI Symbol;Acc:MGI:1923079] MMMDERDPSSLLDLAIQSLLSNELVAIHSLGEIPRELFVPLFSAAFTGGYRKILTSMVKI WPFTCLHIGTLSVQEPQRELLKAMVESLQFLPAQDCSSGGPKLRILDVRQGVDCKTTCPD FGARSPTCFHGCTHSVHSILKLESQYSIVDLKPESQSAIQPMELLVDLSLDGTLREREFF ALLLNKVQQSSGSLHLCCRDLQIDRFSYAKNALKFLDLTCIQNLTVDQASLSEVTTLLAR MIYLDSLSLSKITYRSLHGKVFRVFLNYLGRMNCLKELNLSSFSLTDHLDSLLRALPPNL DFLYLPFCEISYRDLKFLSQSAQATHLKLLNLSNNPMYWDDCGPFQTLLQKLSDTLQHLA INHCHLTDAILSAILPALSKCSHLRVISFVSNPISMPMLLKILHYLTPLMELKYVIYPIP IHCYEQWQFHGRLDRQKLTDVQAQLKAMLQAAKRSDMNWITYSQ >ENSMUSP00000033786.5 pep:known chromosome:GRCm38:X:135613144:135615805:-1 gene:ENSMUSG00000031411.11 transcript:ENSMUST00000033786.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prame description:preferentially expressed antigen in melanoma [Source:MGI Symbol;Acc:MGI:1923079] MMMDERDPSSLLDLAIQSLLSNELVAIHSLGEIPRELFVPLFSAAFTGGYRKILTSMVKI WPFTCLHIGTLSVQEPQRELLKAMVESLQFLPAQDCSSGGPKLRILDVRQGVDCKTTCPD FGARSPTCFHGCTHSVHSILKLESQYSIVDLKPESQSAIQPMELLVDLSLDGTLREREFF ALLLNKVQQSSGSLHLCCRDLQIDRFSYAKNALKFLDLTCIQNLTVDQASLSEVTTLLAR MIYLDSLSLSKITYRSLHGKVFRVFLNYLGRMNCLKELNLSSFSLTDHLDSLLRALPPNL DFLYLPFCEISYRDLKFLSQSAQATHLKLLNLSNNPMYWDDCGPFQTLLQKLSDTLQHLA INHCHLTDAILSAILPALSKCSHLRVISFVSNPISMPMLLKILHYLTPLMELKYVIYPIP IHCYEQWQFHGRLDRQKLTDVQAQLKAMLQAAKRSDMNWITYSQ >ENSMUSP00000053813.4 pep:known chromosome:GRCm38:6:43173952:43175005:1 gene:ENSMUSG00000043605.4 transcript:ENSMUST00000059512.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr13 description:olfactory receptor 13 [Source:MGI Symbol;Acc:MGI:104812] MGNNMTLITEFILLGFPLSPRMQMLLFALFSLFYAFTLLGNGTIVGLICLDSRLHTPMYF FLSHLAIVDIAYACNTVPQMLVNLLDPVKPISYAGCMTQTFLFLTFAITECLLLVVMSYD RYVAICHPLRYSAIMSWRVCSTMAVTSWIIGVLLSLIHLVLLLPLPFCVSQKVNHFFCEI TAILKLACADTHLNETMVLAGAVSVLVGPFSSIVVSYACILGAILKIQSEEGQRKAFSTC SSHLCVVGLFYGTAIVMYVGPRHGSPKEQKKYLLLFHSLFNPMLNPLIYSLRNSDVKNTL KRVLRTQRAL >ENSMUSP00000132789.1 pep:known chromosome:GRCm38:1:32543686:32547294:-1 gene:ENSMUSG00000091318.2 transcript:ENSMUST00000171322.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5415 description:predicted gene 5415 [Source:MGI Symbol;Acc:MGI:3644687] MEKKPEASGKGQKRKHQDESRIEIDSEALGQDPKRKCQDGTTMVFKVPGKAQKMTPQEPP DLELAIEQFSKGQVRKSQGETPNQPKPLELTEGPPEQVVTGRAPADSGKGHKRPYSAMEE NEQIPQKEKKRRLLQNLKLILIKAGKIKGGESEDSHGSETTLRDPEPSTVVALKECLSPD EREKCCFEETSVTEKKGCVKVEGRRGNSLEPGTQAQIILDSSRGNSLLPNKMAVLAAQKK PLRDHEKHREMDQILDITEDMEKEIENALGPGPQEEILSSRFKLLITRGDIQTLKNGQWL NDEVINFYMNLLVERNENQGYPALHVFSTFFYPKLKHSGYSSVKRWTRGINLFEKELILV PIHQRLHWSLVVIDLRKQSIAYFDSIGQTGKSICETIFQYLQNESKTRRNIELDPLEWKQ YSMASEEIPLQMNGSDCGMFTCKYADYIARDQPVTFSQQHMPTFRKRMVWEILHSQLL >ENSMUSP00000032217.1 pep:known chromosome:GRCm38:6:124904361:124911705:-1 gene:ENSMUSG00000030124.2 transcript:ENSMUST00000032217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lag3 description:lymphocyte-activation gene 3 [Source:MGI Symbol;Acc:MGI:106588] MREDLLLGFLLLGLLWEAPVVSSGPGKELPVVWAQEGAPVHLPCSLKSPNLDPNFLRRGG VIWQHQPDSGQPTPIPALDLHQGMPSPRQPAPGRYTVLSVAPGGLRSGRQPLHPHVQLEE RGLQRGDFSLWLRPALRTDAGEYHATVRLPNRALSCSLRLRVGQASMIASPSGVLKLSDW VLLNCSFSRPDRPVSVHWFQGQNRVPVYNSPRHFLAETFLLLPQVSPLDSGTWGCVLTYR DGFNVSITYNLKVLGLEPVAPLTVYAAEGSRVELPCHLPPGVGTPSLLIAKWTPPGGGPE LPVAGKSGNFTLHLEAVGLAQAGTYTCSIHLQGQQLNATVTLAVITVTPKSFGLPGSRGK LLCEVTPASGKERFVWRPLNNLSRSCPGPVLEIQEARLLAERWQCQLYEGQRLLGATVYA AESSSGAHSARRISGDLKGGHLVLVLILGALSLFLLVAGAFGFHWWRKQLLLRRFSALEH GIQPFPAQRKIEELERELETEMGQEPEPEPEPQLEPEPRQL >ENSMUSP00000132918.2 pep:known chromosome:GRCm38:11:79500982:79504084:-1 gene:ENSMUSG00000049612.10 transcript:ENSMUST00000164465.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Omg description:oligodendrocyte myelin glycoprotein [Source:MGI Symbol;Acc:MGI:106586] MALMEYQILKMSSCLFILLFLTPGILCICPLQCTCTERHRHVDCSGRNLTTLPPGLQENI IHLNLSYNHFTDLHNQLTPYTNLRTLDISNNRLESLPAQLPRSLWNMSAANNNIKLLDKS DTAYQWNLKYLDVSKNMLEKVVLIKNTLRSLEVLNLSSNKLWTVPTNMPSKLHIVDLSNN SLTQILPGTLINLTNLTHLYLHNNKFTFIPEQSFDQLLQLQEITLHNNRWSCDHKQNITY LLKWVMETKAHVIGTPCSKQVSSLKEQSMYPTPPGFTSSLFTMSEMQTVDTINSLSMVTQ PKVTKTPKQYRGKETTFGVTLSKDTTFSSTDRAVVAYPEDTPTEMTNSHEAAAATLTIHL QDGMSSNASLTSATKSPPSPVTLSIARGMPNNFSEMPRQSTTLNLRREETTANGNTRPPS AASAWKVNASLLLMLNAVVMLAG >ENSMUSP00000130920.1 pep:known chromosome:GRCm38:14:44433635:44439086:1 gene:ENSMUSG00000091569.1 transcript:ENSMUST00000164277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8232 description:predicted gene 8232 [Source:MGI Symbol;Acc:MGI:3648583] XRSSWVPKQACCPCSTGYFGEIIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSAGEASP QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKILCKEGSQKIKDHYTKQQQI >ENSMUSP00000041263.8 pep:known chromosome:GRCm38:11:61151785:61175055:-1 gene:ENSMUSG00000042506.15 transcript:ENSMUST00000041683.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp22 description:ubiquitin specific peptidase 22 [Source:MGI Symbol;Acc:MGI:2144157] MVARPEPEVEAMDAELAVPPPGCSHLGSFKVDNWKQNLRAIYQCFVWSGTAEARKRKAKS CVCHVCGIHLNRLHSCLYCVFFGCFTKKHIHDHAKSKRHNLAIDLMYGGIYCFLCQDYIY DKDIEIIAKEEQRKAWKMQGVGEKFSTWEPTKRELELLKHNPKRRKITSNCTIGLRGLIN LGNTCFMNCIVQALTHTPLLRDFFLSDRHRCEMQSPSSCLVCEMSSLFQEFYSGHRSPHI PYKLLHLVWTHARHLAGYEQQDAHEFLIAALDVLHRHCKGDDNGKKANNPNHCNCIIDQI FTGGLQSDVTCQVCHGVSTTIDPFWDISLDLPGSSTPFWPLSPGSEGSVVNGESHASGTT TLTDCLRRFTRPEHLGSSAKIKCSGCHSYQESTKQLTMKKLPIVACFHLKRFEHSAKLRR KITTYVSFPLELDMTPFMASSKESRMNGQYQQPLDSLNNDNKYSLFAVVNHQGTLESGHY TSFIRQHKDQWFKCDDAIITKASIKDVLDSEGYLLFYHKQFLEYE >ENSMUSP00000135872.1 pep:known chromosome:GRCm38:11:61161395:61168275:-1 gene:ENSMUSG00000042506.15 transcript:ENSMUST00000174301.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp22 description:ubiquitin specific peptidase 22 [Source:MGI Symbol;Acc:MGI:2144157] KRHNLALRETSGDSGYFTHPG >ENSMUSP00000110158.2 pep:known chromosome:GRCm38:1:52163448:52233223:-1 gene:ENSMUSG00000026103.14 transcript:ENSMUST00000114513.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gls description:glutaminase [Source:MGI Symbol;Acc:MGI:95752] MMRLRGSAMLRELLLRPPAAVGAVLRRAQPLGTLCRRPRGGSRPTAGLVAAARLHPWWGG GGRAKGPGAGGLSSSPSEILQELGKGGTPPQQQQQQQQQPGASPPAAPGPKDSPGETDAF GNSEGKEMVAAGDNKIKQGLLPSLEDLLFYTIAEGQEKIPVHKFITALKSTGLRTSDPRL KECMDMLRLTLQTTSDGVMLDKDLFKKCVQSNIVLLTQAFRRKFVIPDFMSFTSHIDELY ESAKKQSGGKVADYIPQLAKFSPDLWGVSVCTVDGQRHSIGDTKVPFCLQSCVKPLKYAI AVNDLGTEYVHRYVGKEPSGLRFNKLFLNEDDKPHNPMVNAGAIVVTSLIKQGVNNAEKF DYVMQFLNKMAGNEYVGFSNATFQSERESGDRNFAIGYYLKEKKCFPEGTDMVGILDFYF QLCSIEVTCESASVMAATLANGGFCPITGERVLSPEAVRNTLSLMHSCGMYDFSGQFAFH VGLPAKSGVAGGILLVVPNVMGMMCWSPPLDKMGNSVKGIHFCHDLVSLCNFHNYDNLRH FAKKLDPRREGGDQRVKSVINLLFAAYTGDVSALRRFALSAMDMEQRDYDSRTALHVAAA EGHVEVVKFLLEACKVNPFPKDRWNNTPMDEALHFGHHDVFKILQEYQVQYTPQGDSDDG KGNQTVHKNLDGLL >ENSMUSP00000110157.1 pep:known chromosome:GRCm38:1:52165941:52232296:-1 gene:ENSMUSG00000026103.14 transcript:ENSMUST00000114512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gls description:glutaminase [Source:MGI Symbol;Acc:MGI:95752] MDMLRLTLQTTSDGVMLDKDLFKKCVQSNIVLLTQAFRRKFVIPDFMSFTSHIDELYESA KKQSGGKVADYIPQLAKFSPDLWGVSVCTVDGQRHSIGDTKVPFCLQSCVKPLKYAIAVN DLGTEYVHRYVGKEPSGLRFNKLFLNEDDKPHNPMVNAGAIVVTSLIKQGVNNAEKFDYV MQFLNKMAGNEYVGFSNATFQSERESGDRNFAIGYYLKEKKCFPEGTDMVGILDFYFQLC SIEVTCESASVMAATLANGGFCPITGERVLSPEAVRNTLSLMHSCGMYDFSGQFAFHVGL PAKSGVAGGILLVVPNVMGMMCWSPPLDKMGNSVKGIHFCHDLVSLCNFHNYDNLRHFAK KLDPRREGGDQRVKSVINLLFAAYTGDVSALRRFALSAMDMEQRDYDSRTALHVAAAEGH VEVVKFLLEACKVNPFPKDRWNNTPMDEALHFGHHDVFKILQEYQVQYTPQGDSDDGKGN QTVHKNLDGLL >ENSMUSP00000116901.1 pep:known chromosome:GRCm38:1:52166128:52190909:-1 gene:ENSMUSG00000026103.14 transcript:ENSMUST00000156887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gls description:glutaminase [Source:MGI Symbol;Acc:MGI:95752] XLCSEGISWYKVGLPAKSGVAGGILLVVPNVMGMMCWSPPLDKMGNSVKGIHFCHDLVSL CNFHNYDNLRHFAKKLDPRREGGDQRVKSVINLLFAAYTGDVSALRRFALSAMDMEQRDY DSRTALHVAAAEGHVEVVKFLLEACKVNPFPKDRWNNTPMDEALHFGHHDVFKILQEYQV QYTPQGDSDDGKGNQTVHKNLDGLL >ENSMUSP00000110155.1 pep:known chromosome:GRCm38:1:52184104:52233232:-1 gene:ENSMUSG00000026103.14 transcript:ENSMUST00000114510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gls description:glutaminase [Source:MGI Symbol;Acc:MGI:95752] MMRLRGSAMLRELLLRPPAAVGAVLRRAQPLGTLCRRPRGGSRPTAGLVAAARLHPWWGG GGRAKGPGAGGLSSSPSEILQELGKGGTPPQQQQQQQQQPGASPPAAPGPKDSPGETDAF GNSEGKEMVAAGDNKIKQGLLPSLEDLLFYTIAEGQEKIPVHKFITALKSTGLRTSDPRL KECMDMLRLTLQTTSDGVMLDKDLFKKCVQSNIVLLTQAFRRKFVIPDFMSFTSHIDELY ESAKKQSGGKVADYIPQLAKFSPDLWGVSVCTVDGQRHSIGDTKVPFCLQSCVKPLKYAI AVNDLGTEYVHRYVGKEPSGLRFNKLFLNEDDKPHNPMVNAGAIVVTSLIKQGVNNAEKF DYVMQFLNKMAGNEYVGFSNATFQSERESGDRNFAIGYYLKEKKCFPEGTDMVGILDFYF QLCSIEVTCESASVMAATLANGGFCPITGERVLSPEAVRNTLSLMHSCGMYDFSGQFAFH VGLPAKSGVAGGILLVVPNVMGMMCWSPPLDKMGNSVKGIHFCHDLVSLCNFHNYDNLRH FAKKLDPRREGGDQRHSFGPLDYESLQQELALKDTVWKKVSPESSDDTSTTVVYRMESLG ERS >ENSMUSP00000115358.1 pep:known chromosome:GRCm38:1:52185280:52212209:-1 gene:ENSMUSG00000026103.14 transcript:ENSMUST00000155587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gls description:glutaminase [Source:MGI Symbol;Acc:MGI:95752] EDDKPHNPMVNAGAIVVTSLIKQGVNNAEKFDYVMQFLNKMAGNEYVGFSNATFQSERES GDRNFAIGYYLKEKKCFPEGTDMVGILDFYFQLCSIEVTCESASVMAATLANGGFCPITG ERVLSPEAVRNTLSLMHSCGMYDFSGQFAFHVGLPAKSGVAGGILLVVPNVMGMMCWSPP LDKMGNSVKGIHFCHDLVSLCNFHNYDNLRHFAKKLDPRREGGDQRLGPFITQVH >ENSMUSP00000121408.1 pep:known chromosome:GRCm38:1:52187683:52190909:-1 gene:ENSMUSG00000026103.14 transcript:ENSMUST00000129107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gls description:glutaminase [Source:MGI Symbol;Acc:MGI:95752] XLCSEGISWYKVGLPAKSGVAGGILLVVPNVMGMMCWSPPLDKMGNSVKGIHFCHDLVSL CNFHNYDNLRHFAKKLDPRREGGDQRHSFGPLDYESLQQELALKDTVWKKVSPESSDDTS TTV >ENSMUSP00000058020.8 pep:known chromosome:GRCm38:9:74861921:74891781:1 gene:ENSMUSG00000043013.10 transcript:ENSMUST00000056006.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Onecut1 description:one cut domain, family member 1 [Source:MGI Symbol;Acc:MGI:1196423] MNAQLTMEAIGELHGVSHEPVPAPADLLGGSPHARSSVGHRGSHLPPAHPRSMGMASLLD GGSGGSDYHHHHRAPEHSLAGPLHPTMTMACETPPGMSMPTTYTTLTPLQPLPPISTVSD KFPHHHHHHHHHHHPHHHQRLAGNVSGSFTLMRDERGLASMNNLYTPYHKDVAGMGQSLS PLSGSGLGSIHNSQQGLPHYAHPGAAMPTDKMLTPNGFEAHHPAMLGRHGEQHLTPTSAG MVPINGLPPHHPHAHLNAQGHGQLLGTAREPNPSVTGAQVSNGSNSGQMEEINTKEVAQR ITTELKRYSIPQAIFAQRVLCRSQGTLSDLLRNPKPWSKLKSGRETFRRMWKWLQEPEFQ RMSALRLAACKRKEQEHGKDRGNTPKKPRLVFTDVQRRTLHAIFKENKRPSKELQITISQ QLGLELSTVSNFFMNARRRSLDKWQDEGGSNSGSSSSSSSTCTKA >ENSMUSP00000066465.7 pep:known chromosome:GRCm38:8:18974940:18978256:-1 gene:ENSMUSG00000053678.7 transcript:ENSMUST00000066258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb40 description:defensin beta 40 [Source:MGI Symbol;Acc:MGI:2672976] MKISCFLLMIFFLSCFQINPVAVLDTIKCLQGNNNCHIQKCPWFLLQVSTCYKGKGRCCQ KRRWFARNHVYHV >ENSMUSP00000112683.2 pep:known chromosome:GRCm38:3:135281221:135304426:1 gene:ENSMUSG00000028167.15 transcript:ENSMUST00000120397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdh2 description:3-hydroxybutyrate dehydrogenase, type 2 [Source:MGI Symbol;Acc:MGI:1917022] MSLDCAAGSTMGRLDGKVIVLTAAAQGIGRASALAFAREGAKVIATDINESKLQELESYR GIQTRVLDVTKKRQIDQFASEIERIDVLFNVAGFVHHGTILDCEEKDWDFSMNLNVRSMF LMIKAFLPKMLAQKSGNIINMSSVASSIKGVENRCVYSATKAAVIGLTKSVAADFIQQGI RCNCVCPGTVDTPSLQERIQARDNPKEALKTFLNRQKTGRFASAEEVALLCVYLASDESA YVTGNPVIIDGGWSL >ENSMUSP00000029817.7 pep:known chromosome:GRCm38:3:135281261:135304426:1 gene:ENSMUSG00000028167.15 transcript:ENSMUST00000029817.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdh2 description:3-hydroxybutyrate dehydrogenase, type 2 [Source:MGI Symbol;Acc:MGI:1917022] MGRLDGKVIVLTAAAQGIGRASALAFAREGAKVIATDINESKLQELESYRGIQTRVLDVT KKRQIDQFASEIERIDVLFNVAGFVHHGTILDCEEKDWDFSMNLNVRSMFLMIKAFLPKM LAQKSGNIINMSSVASSIKGVENRCVYSATKAAVIGLTKSVAADFIQQGIRCNCVCPGTV DTPSLQERIQARDNPKEALKTFLNRQKTGRFASAEEVALLCVYLASDESAYVTGNPVIID GGWSL >ENSMUSP00000103886.2 pep:known chromosome:GRCm38:11:79339792:79578942:1 gene:ENSMUSG00000020716.15 transcript:ENSMUST00000108251.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nf1 description:neurofibromatosis 1 [Source:MGI Symbol;Acc:MGI:97306] MAAHRPVEWVQAVVSRFDEQLPIKTGQQNTHTKVSTEHNKECLINISKYKFSLVISGLTT ILKNVNNMRIFGEAAEKNLYLSQLIILDTLEKCLAGQPKDTMRLDETMLVKQLLPEICHF LHTCREGNQHAAELRNSASGVLFSLSCNNFNAVFSRISTRLQELTVCSEDNVDVHDIELL QYINVDCAKLKRLLKETAFKFKALKKVAQLAVINSLEKAFWNWVENYPDEFTKLYQIPQT DMAECAEKLFDLVDGFAESTKRKAAVWPLQIILLILCPEIIQDISKDVVDESNINKKLFL DSLRKALAGHGGSRQLTESAAIACVKLCKASTYINWEDNSVIFLLVQSMVVDLKNLLFNP SKPFSRGSQPADVDLMIDCLVSCFRISPHNNQHFKICLAQNSPSTFHYVLVNSLHRIITN SALDWWPKIDAVYCHSVELRNMFGETLHKAVQGCGAHPAIRMAPSLTFKEKVTSLKFKEK PTDLETRSYKCLLLSMVKLIHADPKLLLCNPRKQGPETQSSTAELITGLVQLVPQSHMPE VAQEAMEALLVLHQLDSIDLWNPDAPVETFWEISSQMLFYICKKLTSHQMLSSTEILKWL REILICRNKFLLKNKQADRSSCHSLYLYGVGCEMSATGNTTQMSVDHDEFLRACTPGASL RKGRGNSSMDSTAGCSGTPPICRQAQTKLEVALYMFLWNPDTEAVLVAMSCFRHLCEEAD IRCGVDEVSVHNFLPNYNTFMEFASVSNMMSTGRAALQKRVMALLRRIEHPTAGNIEAWE DTHAKWEQATKLILNYPKAKMEDGQAAESLHKTIVKRRMSHVSGGGSIDLSDTDSLQEWI NMTGFLCALGGVCLQQRSSSGLATYSPPMGAVSERKGSMISVMSSEGNIDSPVSRFMDRL LSLMVCNHEKVGLQIRTNVKDLVGLELSPALYPMLFNKLKNTISKFFDSQGQVLLSDSNT QFVEQTIAIMKNLLDNHTEGSSEHLGQASIETMMLNLVRYVRVLGNMVHAIQIKTKLCQL VEVMMARRDDLSFCQEMKFRNKMVEYLTDWVMGTSNQAADDDIKCLTRDLDQASMEAVVS LLAGLPLQPEEGDGVELMEAKSQLFLKYFTLFMNLLNDCSEVEDENAQTGGRKRGMSRRL ASLRHCTVLAMSNLLNANVDSGLMHSIGLGYHKDLQTRATFMEVLTKILQQGTEFDTLAE TVLADRFERLVELVTMMGDQGELPIAMALANVVPCSQWDELARVLVTLFDSRHLLYQLLW NMFSKEVELADSMQTLFRGNSLASKIMTFCFKVYGATYLQKLLDPLLRVIITSSDWQHVS FEVDPTRLEPSESLEENQRNLLQMTEKFFHAIISSSSEFPSQLRSVCHCLYQVVSQRFPQ NSIGAVGSAMFLRFINPAIVSPYEAGILDKKPPPRIERGLKLMSKVLQSIANHVLFTKEE HMRPFNDFVKSNFDLARRFFLDIASDCPTSDAVNHSLSFISDGNVLALHRLLWNNQEKIG QYLSSNRDHKAVGRRPFDKMATLLAYLGPPEHKPVADTHWSSLNLTSSKFEEFMTRHQVH EKEEFKALKTLSIFYQAGTSKAGNPIFYYVARRFKTGQINGDLLIYHVLLTLKPYYAKPY EIVVDLTHTGPSNRFKTDFLSKWFVVFPGFAYDNVSAVYIYNCNSWVREYTKYHERLLTG LKGSKRLIFIDCPGKLAEHIEHEQQKLPAATLALEEDLKVFHNALKLAHKDTKVSIKVGS TAVQVTSAERTKVLGQSVFLNDIYYASEIEEICLVDENQFTLTIANQGTPLTFMHQECEA IVQSIIHIRTRWELSQPDSIPQHTKIRPKDVPGTLLNIALLNLGSSDPSLRSAAYNLLCA LTCTFNLKIEGQLLETSGLCIPANNTLFIVSISKTLAANEPHLTLEFLEECISGFSKSSI ELKHLCLEYMTPWLSNLVRFCKHNDDAKRQRVTAILDKLITMTINEKQMYPSIQAKIWGS LGQITDLLDVVLDSFIKTSATGGLGSIKAEVMADTAVALASGNVKLVSSKVIGRMCKIID KTCLSPTPTLEQHLMWDDIAILARYMLMLSFNNSLDVAAHLPYLFHVVTFLVATGPLSLR ASTHGLLINIIHSLCTCSQLHFSEETKQVLRLSLTEFSLPKFYLLFGISKVKSAAVIAFR SSYRDRSFSPGSYERETFALTSLETVTEALLEIMEACMRDIPTCKWLDQWTELAQRFAFQ YNPSLQPRALVVFGCISKRVSHGQIKQIIRILSKALESCLKGPDTYNSQVLIESTVIALT KLQPLLNKDSPLHKALFWVAVAVLQLDEVNLYSAGTALLEQNLHTLDSLRIFNDKSPEEV FMAIRNPLEWHCKQMDHFVGLNFNSNFNFALVGHLLKGYRHPSPAIVARTVRILHTLLTL VNKHRNCDKFEVNTQSVAYLAALLTVSEEVRSRCSLKHRKSLLLTDISMENVPMDTYPIH HGDPSYRTLKETQPWSSPKGSEGYLAATYPAVGQTSPRARKSMSLDMGQPSQANTKKLLG TRKSFDHLISDTKAPKRQEMESGITTPPKMRRVAETDYEMETQRIPSSQQHPHLRKVSVS ESNVLLDEEVLTDPKIQALLLTVLATLVKYTTDEFDQRILYEYLAEASVVFPKVFPVVHN LLDSKINTLLSLCQDPNLLNPIHGIVQSVVYHEESPPQYQTSYLQSFGFNGLWRFAGPFS KQTQIPDYAELIVKFLDALIDTYLPGIDEETSEESLLTPTSPYPPALQSQLSITANLNLS NSMTSLATSQHSPGLDKENVELSPTAGHCNSGRTRHGSASQVQKQRSAGSFKRNSIKKIV >ENSMUSP00000071289.2 pep:known chromosome:GRCm38:11:79339825:79581612:1 gene:ENSMUSG00000020716.15 transcript:ENSMUST00000071325.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nf1 description:neurofibromatosis 1 [Source:MGI Symbol;Acc:MGI:97306] MAAHRPVEWVQAVVSRFDEQLPIKTGQQNTHTKVSTEHNKECLINISKYKFSLVISGLTT ILKNVNNMRIFGEAAEKNLYLSQLIILDTLEKCLAGQPKDTMRLDETMLVKQLLPEICHF LHTCREGNQHAAELRNSASGVLFSLSCNNFNAVFSRISTRLQELTVCSEDNVDVHDIELL QYINVDCAKLKRLLKETAFKFKALKKVAQLAVINSLEKAFWNWVENYPDEFTKLYQIPQT DMAECAEKLFDLVDGFAESTKRKAAVWPLQIILLILCPEIIQDISKDVVDESNINKKLFL DSLRKALAGHGGSRQLTESAAIACVKLCKASTYINWEDNSVIFLLVQSMVVDLKNLLFNP SKPFSRGSQPADVDLMIDCLVSCFRISPHNNQHFKICLAQNSPSTFHYVLVNSLHRIITN SALDWWPKIDAVYCHSVELRNMFGETLHKAVQGCGAHPAIRMAPSLTFKEKVTSLKFKEK PTDLETRSYKCLLLSMVKLIHADPKLLLCNPRKQGPETQSSTAELITGLVQLVPQSHMPE VAQEAMEALLVLHQLDSIDLWNPDAPVETFWEISSQMLFYICKKLTSHQMLSSTEILKWL REILICRNKFLLKNKQADRSSCHSLYLYGVGCEMSATGNTTQMSVDHDEFLRACTPGASL RKGRGNSSMDSTAGCSGTPPICRQAQTKLEVALYMFLWNPDTEAVLVAMSCFRHLCEEAD IRCGVDEVSVHNFLPNYNTFMEFASVSNMMSTGRAALQKRVMALLRRIEHPTAGNIEAWE DTHAKWEQATKLILNYPKAKMEDGQAAESLHKTIVKRRMSHVSGGGSIDLSDTDSLQEWI NMTGFLCALGGVCLQQRSSSGLATYSPPMGAVSERKGSMISVMSSEGNIDSPVSRFMDRL LSLMVCNHEKVGLQIRTNVKDLVGLELSPALYPMLFNKLKNTISKFFDSQGQVLLSDSNT QFVEQTIAIMKNLLDNHTEGSSEHLGQASIETMMLNLVRYVRVLGNMVHAIQIKTKLCQL VEVMMARRDDLSFCQEMKFRNKMVEYLTDWVMGTSNQAADDDIKCLTRDLDQASMEAVVS LLAGLPLQPEEGDGVELMEAKSQLFLKYFTLFMNLLNDCSEVEDENAQTGGRKRGMSRRL ASLRHCTVLAMSNLLNANVDSGLMHSIGLGYHKDLQTRATFMEVLTKILQQGTEFDTLAE TVLADRFERLVELVTMMGDQGELPIAMALANVVPCSQWDELARVLVTLFDSRHLLYQLLW NMFSKEVELADSMQTLFRGNSLASKIMTFCFKVYGATYLQKLLDPLLRVIITSSDWQHVS FEVDPTRLEPSESLEENQRNLLQMTEKFFHAIISSSSEFPSQLRSVCHCLYQATCHSLLN KATVKERKENKKSVVSQRFPQNSIGAVGSAMFLRFINPAIVSPYEAGILDKKPPPRIERG LKLMSKVLQSIANHVLFTKEEHMRPFNDFVKSNFDLARRFFLDIASDCPTSDAVNHSLSF ISDGNVLALHRLLWNNQEKIGQYLSSNRDHKAVGRRPFDKMATLLAYLGPPEHKPVADTH WSSLNLTSSKFEEFMTRHQVHEKEEFKALKTLSIFYQAGTSKAGNPIFYYVARRFKTGQI NGDLLIYHVLLTLKPYYAKPYEIVVDLTHTGPSNRFKTDFLSKWFVVFPGFAYDNVSAVY IYNCNSWVREYTKYHERLLTGLKGSKRLIFIDCPGKLAEHIEHEQQKLPAATLALEEDLK VFHNALKLAHKDTKVSIKVGSTAVQVTSAERTKVLGQSVFLNDIYYASEIEEICLVDENQ FTLTIANQGTPLTFMHQECEAIVQSIIHIRTRWELSQPDSIPQHTKIRPKDVPGTLLNIA LLNLGSSDPSLRSAAYNLLCALTCTFNLKIEGQLLETSGLCIPANNTLFIVSISKTLAAN EPHLTLEFLEECISGFSKSSIELKHLCLEYMTPWLSNLVRFCKHNDDAKRQRVTAILDKL ITMTINEKQMYPSIQAKIWGSLGQITDLLDVVLDSFIKTSATGGLGSIKAEVMADTAVAL ASGNVKLVSSKVIGRMCKIIDKTCLSPTPTLEQHLMWDDIAILARYMLMLSFNNSLDVAA HLPYLFHVVTFLVATGPLSLRASTHGLLINIIHSLCTCSQLHFSEETKQVLRLSLTEFSL PKFYLLFGISKVKSAAVIAFRSSYRDRSFSPGSYERETFALTSLETVTEALLEIMEACMR DIPTCKWLDQWTELAQRFAFQYNPSLQPRALVVFGCISKRVSHGQIKQIIRILSKALESC LKGPDTYNSQVLIESTVIALTKLQPLLNKDSPLHKALFWVAVAVLQLDEVNLYSAGTALL EQNLHTLDSLRIFNDKSPEEVFMAIRNPLEWHCKQMDHFVGLNFNSNFNFALVGHLLKGY RHPSPAIVARTVRILHTLLTLVNKHRNCDKFEVNTQSVAYLAALLTVSEEVRSRCSLKHR KSLLLTDISMENVPMDTYPIHHGDPSYRTLKETQPWSSPKGSEGYLAATYPAVGQTSPRA RKSMSLDMGQPSQANTKKLLGTRKSFDHLISDTKAPKRQEMESGITTPPKMRRVAETDYE METQRIPSSQQHPHLRKVSVSESNVLLDEEVLTDPKIQALLLTVLATLVKYTTDEFDQRI LYEYLAEASVVFPKVFPVVHNLLDSKINTLLSLCQDPNLLNPIHGIVQSVVYHEESPPQY QTSYLQSFGFNGLWRFAGPFSKQTQIPDYAELIVKFLDALIDTYLPGIDEETSEESLLTP TSPYPPALQSQLSITANLNLSNSMTSLATSQHSPGLDKENVELSPTAGHCNSGRTRHGSA SQVQKQRSAGSFKRNSIKKIV >ENSMUSP00000120982.1 pep:known chromosome:GRCm38:11:79546308:79580048:1 gene:ENSMUSG00000020716.15 transcript:ENSMUST00000137997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nf1 description:neurofibromatosis 1 [Source:MGI Symbol;Acc:MGI:97306] IKTSATGGLGSIKAEVMADTAVALASGNVKLVSSKVIGRMCKIIDKTCLSPTPTLEQHLM WDDIAILARYMLMLSFNNSLDVAAHLPYLFHVVTFLVATGPLSLRASTHGLLINIIHSLC TCSQLHFSEETKQVLRLSLTEFSLPKFYLLFGISKVKSAAVIAFRSSYRDRSFSPGSYER ETFALTSLETVTEALLEIMEACMRDIPTCKWLDQWTELAQRFAFQYNPSLQPRALVVFGC ISKRVSHGQIKQIIRILSKALESCLKGPDTYNSQVLIESTVIALTKLQPLLNKDSPLHKA LFWVAVAVLQLDEVNLYSAGTALLEQNLHTLDSLRIFNDKSPEEVFMAIRNPLEWHCKQM DHFVGLNFNSNFNFALVGHLLKGYRHPSPAIVARTVRILHTLLTLVNKHRNCDKFEVNTQ SVAYLAALLTVSEEVRSRCSLKHRKSLLLTDISMENVPMDTYPIHHGDPSYRTLKETQPW SSPKGSEGYLAATYPAVGQTSPRARKSMSLDMGQPSQANTKKLLGTRKSFDHLISDTKAP KRQEMESGITTPPKMRRVAETDYEMAETQRIPSSQQHPHLRKVSVSESNVLLDEEVLTDP KIQALLLTVLATLVKYTTDEFDQRILYEYLAEASVVFPKVFPVVHNLLDSKINTLLSLCQ DPNLLNPIHGIVQSVVYHEESPPQYQTSYLQSFGFNGLWRFAGPFSKQTQIPDYAELIVK FLDALIDTYLPGIDEETSEESLLTPTSPYPPALQSQLSITANLNLSNSMTSLATSQHSPG LDKENVELSPTAGHCNSGRTRHGSASQVQKQRSAGSFKRNSIKKIV >ENSMUSP00000130195.1 pep:known chromosome:GRCm38:15:81363669:81400043:-1 gene:ENSMUSG00000022403.14 transcript:ENSMUST00000172107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St13 description:suppression of tumorigenicity 13 [Source:MGI Symbol;Acc:MGI:1917606] MDPRKVSELRAFVKMCRQDPSVLHTEEMRFLREWVESMGGKVPPATHKAKSEENTKEEKR DKTTEENIKTEELSSEESDLEIDNEGVIEPDTDAPQEMGDENAEITEEMMDEANEKKGAA IEALNDGELQKAIDLFTDAIKLNPRLAILYAKRASVFVKLQKPNAAIRDCDRAIEINPDS AQPYKWRGKAHRLLGHWEEAAHDLALACKLDYDEDASAMLREVQPRAQKIAEHRRKYERK REEREIKERIERVKKAREEHERAQREEEARRQSGSQYGSFPGGFPGGMPGNFPGGMPGMG GAMPGMAGMAGMPGLNEILSDPEVLAAMQDPEVMVAFQDVAQNPSNMSKYQSNPKVMNLI SKLSAKFGGQS >ENSMUSP00000023039.8 pep:known chromosome:GRCm38:15:81365450:81399594:-1 gene:ENSMUSG00000022403.14 transcript:ENSMUST00000023039.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St13 description:suppression of tumorigenicity 13 [Source:MGI Symbol;Acc:MGI:1917606] MDPRKVSELRAFVKMCRQDPSVLHTEEMRFLREWVESMGGKVPPATHKAKSEENTKENIK TEELSSEESDLEIDNEGVIEPDTDAPQEMGDENAEITEEMMDEANEKKGAAIEALNDGEL QKAIDLFTDAIKLNPRLAILYAKRASVFVKLQKPNAAIRDCDRAIEINPDSAQPYKWRGK AHRLLGHWEEAAHDLALACKLDYDEDASAMLREVQPRAQKIAEHRRKYERKREEREIKER IERVKKAREEHERAQREEEARRQSGSQYGSFPGGFPGGMPGNFPGGMPGMGGAMPGMAGM AGMPGLNEILSDPEVLAAMQDPEVMVAFQDVAQNPSNMSKYQSNPKVMNLISKLSAKFGG QS >ENSMUSP00000129966.1 pep:known chromosome:GRCm38:15:81377763:81399383:-1 gene:ENSMUSG00000022403.14 transcript:ENSMUST00000165582.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St13 description:suppression of tumorigenicity 13 [Source:MGI Symbol;Acc:MGI:1917606] MGGKVPPATHKAKSEENTKEEKRDKTTEENIKTEELSSEESDLEIDNEGVIEPDTDAPQE MGDENAEITEEMMDEANEKKGAAIEALNDGELQKAIDLFTDAIKLNPRLAILYAKRASVF VKLQKPNAA >ENSMUSP00000131502.1 pep:known chromosome:GRCm38:15:81389653:81400077:-1 gene:ENSMUSG00000022403.14 transcript:ENSMUST00000163382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St13 description:suppression of tumorigenicity 13 [Source:MGI Symbol;Acc:MGI:1917606] MDPRKVSELRAFVKMCRQDPSVLHTEEMRFLREWVESMGGKVPPATHKAKSEENTKEEKR DKTTEENIKTEELSSEESDL >ENSMUSP00000130525.1 pep:known chromosome:GRCm38:15:81392271:81400073:-1 gene:ENSMUSG00000022403.14 transcript:ENSMUST00000169204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St13 description:suppression of tumorigenicity 13 [Source:MGI Symbol;Acc:MGI:1917606] MGGKVPPATHKAKSEEN >ENSMUSP00000029639.5 pep:known chromosome:GRCm38:3:117781647:117868936:-1 gene:ENSMUSG00000028007.13 transcript:ENSMUST00000029639.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx7 description:sorting nexin 7 [Source:MGI Symbol;Acc:MGI:1923811] MDGERLASGSSELAVGESPRGGAPIPSGSGSCSLLQADVLDLDEDEDDLEVFSKDASLMD MNSFSPMMPTSPLSMINQIKFEDGPDLKDLFITVDAPESHVTTIETFITYRIVTKTSRGE FDSSEFEVRRRYQDFLWLKGKLEEAHPTLIIPPLPEKFIVKGMVERFNDDFIETRRKALH KFLNRIADHPTLTFNEDFKVFLTAQAEELSSYKKQGPGLLSRMGQTVRAVASSMRGVKNR PEEFMEMNNFIETFSQKINLIDKISQRIYKEERDYFDEMKEYGPIHILWSASEEELVDTL KGMAGCIEQCCKATEKRMAGLSEALLPVVHEYVLYSEMLVGVMKRRDQIQTELDSKVEAL TYKKADIDLLTEEIGKLEDKVECANNALKADWERWKQNMKNDLRSAFTDTAEQNIRYYEQ CLATWESFLTSQTDLPSEEDSEEKL >ENSMUSP00000128007.1 pep:known chromosome:GRCm38:3:117781871:117868880:-1 gene:ENSMUSG00000028007.13 transcript:ENSMUST00000169812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx7 description:sorting nexin 7 [Source:MGI Symbol;Acc:MGI:1923811] XSSELAVGESPRGGAPIPSGSGSCSLLQADVLDLDEDEDDLEVFSKDASLMDMNSFSPMM PTSPLSMINQIKFEDGPDLKDLFITVDAPESHVTTIETFITYRIVTKTSRGEFDSSEFEV RRRYQDFLWLKGKLEEAHPTLIIPPLPEKFIVKGMVERFNDDFIETRRKALHKFLNRIAD HPTLTFNEDFKVFLTAQAEELSSYKKQGPGLLSRMGQTVRAVASSMRGVKNRPEEFMEMN NFIETFSQKINLIDKISQRIYKEERDYFDEMKEYGPIHILWSASEEELVDTLKGMAGCIE QCCKATEKRMAGLSEALLPVVHEYVLYSEMLVGVMKRRDQIQTELDSKVEALTYKKADID LCLATWESFLTSQTDLPSEEDSEEKL >ENSMUSP00000143230.1 pep:known chromosome:GRCm38:3:117782173:117846708:-1 gene:ENSMUSG00000028007.13 transcript:ENSMUST00000198499.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx7 description:sorting nexin 7 [Source:MGI Symbol;Acc:MGI:1923811] MDMNSFSPMMPTSPLSMINQIKFEDGPDLKDLFITVDAPESHVTTIETFITYRIVTKTSR GEFDSSEFEVRRRYQDFLWLKGKLEEAHPTLIIPPLPEKFIVKGMVERFNDDFIETRRKA LHKFLNRIADHPTLTFNEDFKVFLTAQAEELSSYKKQGPGLLSRMGQTVRAVASSMRGVK NRPEEFMEMNNFIETFSQKINLIDKISQRIYKEERDYFDEMKEYGPIHILWSASEEELVD TLKGMAGCIEQCCKATEKRMAGLSEALLPVVHEYVLYSEMLVGVMKRRDQIQTELDSKVE ALTYKKADIDLLTEEIGKLEDKVECANNALKADWERWKQNMKNDLRSAFTDTAEQNIRYY EQCLATWESFLTSQTDLPSEEDSEEKL >ENSMUSP00000125804.1 pep:known chromosome:GRCm38:3:117782299:117868821:-1 gene:ENSMUSG00000028007.13 transcript:ENSMUST00000167877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx7 description:sorting nexin 7 [Source:MGI Symbol;Acc:MGI:1923811] SGSCSLLQADVLDLDEDEDDLEVFSKDASLMDMNSFSPMMPTSPLSMINQIKFEDGPDLK DLFITVDAPESHVTTIETFITYRIVTKTSRGEFDSSEFEVRRRYQDFLWLKGKLEEAHPT LIIPELSSYKKQGPGLLSRMGQTVRAVASSMRGVKNRPEEFMEMNNFIETFSQKINLIDK ISQRIYKEERDYFDEMKEYGPIHILWSASEEELVDTLKGMAGCIEQCCKATEKRMAGLSE ALLPVVHEYVLYSEMLVGVMKRRDQIQTELDSKVEALTYKKADIDLLTEEIGKLEDKVEC ANNALKADWERWKQNMKNDLRSAFTDTAEQNIRYYEQCLATWESFLTSQTDLPSEEDSEE KL >ENSMUSP00000070758.1 pep:known chromosome:GRCm38:2:36869568:36870515:1 gene:ENSMUSG00000053146.1 transcript:ENSMUST00000065416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr352 description:olfactory receptor 352 [Source:MGI Symbol;Acc:MGI:3030186] MSCIIRNNHSITSEFILLGLPINPELNGMYSALFLAMYLTTVLGNLLIILLIRLDPHLHT PMYFFLSHLAFTDISFSSVTAPKMLVNMLTHSQSISYTGCISQVYFFLFFADLDSFLLTS MAYDRYVAICHPLHYTTIMSQSLCVLLLIVSWVLSFASAILHTLLLAHLSFSGGNTLPHF FCDLSALLKLSSSDTTINELVIFTVGVVIITVPLICILVSYGYIGATILRTPSIKGIYKA LSTCGSHLSVVSLYYGAIIGLYSFPSPNNSNNKDVIVAVMYTMVTPMLNPFIYSLRNRDI KGALRNILGRKASSQ >ENSMUSP00000032735.5 pep:known chromosome:GRCm38:7:64376527:64392268:-1 gene:ENSMUSG00000030521.11 transcript:ENSMUST00000032735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mphosph10 description:M-phase phosphoprotein 10 (U3 small nucleolar ribonucleoprotein) [Source:MGI Symbol;Acc:MGI:1915223] MAPRVFRRQTLERCLREIRKATNRPECFLTIQNGLASNFTSLTKVLYDFNKVLENGRISG SPLQKLEINSFDDEQIWQQLELQNEPVLQYFQNAVSETVEDEDISLLPECEDEECEEDAS EVEADNQENLETDLDEEQLSDEGGDVPKGRDRAKSSRKSDPRKSPVFSDEDSDLDFDIGK LEQQTKMQIKPPGKPREKSVVDDKFFKLSEMESFLEKVEKEEEKRPDGEEEDEEDIDLFE DIDSDESEGGLFGRQKIKSNKSSRNLKYKDFFDPVESDEDITGVDEELGPDEEKEEEEGF AEEADESISDTDEDNDLEEDENSDQHKGSLKRVTFALPDDEAEDTSPLAVKQESDEVKSS FEKRQEKMNEKIASLEKELLDKKPWQLQGEVTAQKRPENSLLEETLHFDHAVRMAPVITE ETTLHLEDIIKQRIRDQAWDDVERKEKPKEDAYEYKKRLTLDHEKSKLSLAEIYEQEYLK LNQQKTEEEDNPEHVEIQKMMDSLFLKLDALSNFHFIPKPPVPEIKVVSNLPAITMEEVA PVSVSDAALLAPEEIKEKNKAGDLKTAAEKTATDKKRERRKKKYQKRLKIKEKEKRKKLL EKNNPDQSKSSRAAASEKLKQLTKTGKVSLLKDERKDKPLKSSQAFFSKLQDQVKMQIND AKQPEKIKKKKQDISVHKLKL >ENSMUSP00000124314.1 pep:known chromosome:GRCm38:2:112266314:112406319:1 gene:ENSMUSG00000096764.1 transcript:ENSMUST00000141047.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21985 description:predicted gene 21985 [Source:MGI Symbol;Acc:MGI:5439454] MHPPEATTKMSSVRFMVTPTKIDDIPGLSDTSPDLSSRSSSRVRFSSRESVPETSRSEPM SELSGATTSLATVALDPSSDRTSNPQDVTEDDGHKKARNAYLNNSNYEEGDEYFDKNLAL FEEEMDTRPKVSSLLNRMANYTNLTQGAKEHEEAENITEGKKKPTKSPQMGTFMGVYLPC LQNIFGVILFLRLTWVVGTAGILQAFAIVLICCCCTMLTAISMSAIATNGVVPAGGSYFM ISRALGPEFGGAVGLCFYLGTTFAAAMYILGAIEIFLVYIVPRAAIFRSDDALKESAAML NNMRVYGTAFLVLMVLVVFIGVRYVNKFASLFLACVIVSILAIYAGAIKSSFAPPHFPVC MLGNRTLSSRHLDICSKTKEVDNMTVPSKLWGFFCNSSQFFNATCDEYFVHNNVISIQGI PGLASGIITENLWSNYLPKGEIIEKPSAKSSDVLGNLNHEYVLADITTSFTLLVGIFFPS VTGIMAGSNRSGDLKDAQKSIPIGTILAILTTSFVYLSNVVLFGACIEGVVLRDKFGDAV KGNLVVGTLSWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIAKDNIIPFLRVFGHSKA NGEPTWALLLTAAIAELGILIASLDLVAPILSMFFLMCYLFVNLACALQTLLRTPNWRPR FRYYHWALSFMGMSICLALMFISSWYYAIVAMVIAGMIYKYIEYQGAEKEWGDGIRGLSL SAARFALLRLEEGPPHTKNWRPQLLVLLKLDEDLHVKHPRLLTFASQLKAGKGLTIVGSV IVGNFLENYGDALAAEQTIKHLMEAEKVKGFCQLVVAAKLKEGISHLIQSCGLGGMKHNT VVMGWPNGWRQSEDARAWKTFIGTVRVTTAAHLALLVAKNVSFFPSNVEQFSEGNIDVWW IVHDGGMLMLLPFLLKQHKVWRKCSIRIFTVAQLEDNSIQMKKDLATFLYHLRIEAEVEV VEMHDSDISAYTYERTLMMEQRSQMLRHMRLSKTERDREAQLVKDRNSMLRLTSIGSDED EETETYQEKVHMTWTKDKYMASRGQKVKSMEGFQDLLNMRPDQSNVRRMHTAVKLNEVIV NKSHEAKLVLLNMPGPPRNPEGDENC >ENSMUSP00000027706.3 pep:known chromosome:GRCm38:1:132880273:132940005:1 gene:ENSMUSG00000026443.3 transcript:ENSMUST00000027706.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrn2 description:leucine rich repeat protein 2, neuronal [Source:MGI Symbol;Acc:MGI:106037] MRLLVAALLLSWVAGTTAAAPVVPWRVPCPPQCACQIRPWYTPRSSYREATTVDCNDLFL TAVPPRLPAGTQTLLLQSNSISRIDQTELAYLANLTELDLSQNSFSDARDCDFQALPQLL SLHLEENRLNRLEDHSFAGLTSLQELYLNHNQLCRISPRAFAGLGNLLRLHLNSNLLRTI DSRWFEMLPNLEILMIGGNKVDAILDMNFRPLANLRSLVLAGMSLREISDYALEGLQSLE SLSFYDNQLAQVPKRALEQVPGLKFLDLNKNPLQRVGPGDFANMLHLKELGLNNMEELVS IDKFALVNLPELTKLDITNNPRLSFIHPRAFHHLPQMETLMLNNNALSALHQQTVESLPN LQEVGLHGNPIRCDCVIRWANATGTHVRFIEPQSTLCAEPPDLQRRPVREVPFREMTDHC LPLISPRSFPSSLQIASGESTVLHCRALAEPEPEIYWVTPAGVRLTPARSGRRYRVFPEG TLELRRVTAEEAGLYTCVAQNLVGADTKTVSVVVGHAPFQPGRDKGPGLKLHVQETHPYH ILLFWAPPPNIVSTNLTWSSASSLRDHEAPALARLPRGTHRYNITRLLPATEYWACLQVA FADAHTQLACVWARTKEASPCHRALGDRPGLIAILALAVLLLAAGLAAHLGRGQSKQGVV GEKPLLPVWAFWGWSAPSVRVVSAPLVLPWNPGRKQPRCQMGRGCHHHCLNIAEVPPGLS SREITRTTLH >ENSMUSP00000097726.1 pep:known chromosome:GRCm38:2:36889911:36890846:-1 gene:ENSMUSG00000075382.1 transcript:ENSMUST00000100149.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr353 description:olfactory receptor 353 [Source:MGI Symbol;Acc:MGI:3030187] MENQSSVSEFFLRGISGFPEQQQLLYGLFLCMYLVTLTGNVLIILAISCDPHLHTPMYFF LANLSFADMGLISSAVTKMLFNVQTQRHTISYTGCLTQMYLFMMFGDLDSFFLAVMAYDR YVAICHPLHYSTIMSARVCALMLALCWVLTNIVALTHTLLMTRLSFCVVGEIAHFFCDIT SVMKLSCSDTHVNELVLSGFGGTVLMVPFVSIVISYVRIVFAVLRVQTSGGSSKAFSTCS SHLCVVCVFYGTLFSVYLFPSSGETTEKDVVAAAMYTVVTPMLNPFIYSLRNKDMKGALK RLLCHRRKFSP >ENSMUSP00000099398.3 pep:known chromosome:GRCm38:11:101176041:101190724:1 gene:ENSMUSG00000017167.6 transcript:ENSMUST00000103109.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap1 description:contactin associated protein-like 1 [Source:MGI Symbol;Acc:MGI:1858201] MMSLRLFSILLATVVSGAWGWGYYGCNEELVGPLYARSLGASSYYGLFTTARFARLHGIS GWSPRIGDPNPWLQIDLMKKHRIRAVATQGAFNSWDWVTRYMLLYGDRVDSWTPFYQKGH NATFFGNVNDSAVVRHDLHYHFTARYIRIVPLAWNPRGKIGLRLGIYGCPYTSSILYFDG DDAISYRFQRGASQSLWDVFAFSFKTEEKDGLLLHTEGSQGDYVTLELQGAHLLLHMSLG SSPIQPRPGHTTVSLGGVLNDLSWHYVRVDRYGRDANFTLDGYAHHFVLNGDFERLNLEN EIFIGGLVGAARKNLAYRHNFRGCIENVIYNRINIAEMAVMRHSRITFEGNVAFRCLDPV PHPINFGGPHNFVQVPGFPRRGRLAVSFRFRTWDLTGLLLFSHLGDGLGHVELMLSEGQV NVSIAQTGRKKLQFAAGYRLNDGFWHEVNFVAQENHAVISIDDVEGAEVRVSYPLLIRTG TSYFFGGCPKPASRWGCHSNQTAFHGCMELLKVDGQLVNLTLVEFRKLGYFAEVLFDTCG ITDRCSPNMCEHDGRCYQSWDDFICYCELTGYKGVTCHEPLYKESCEAYRLSGKYSGNYT IDPDGSGPLKPFVVYCDIRENRAWTVVRHDRLWTTRVTGSSMDRPFLGAIQYWNASWEEV SALANASQHCEQWIEFSCYNSRLLNTAGGYPYSFWIGRNEEQHFYWGGSQPGIQRCACGL DQSCVDPALHCNCDADQPQWRTDKGLLTFVDHLPVTQVVVGDTNRSNSEAQFFLRPLRCY GDRNSWNTISFHTGAALRFPPIRANHSLDVSFYFRTSAPSGVFLENMGGPFCRWRRPYVR VELNTSRDVVFAFDIGNGDENLTVHSDDFEFNDDEWHLVRAEINVKQARLRVDHRPWVLR PMPLQTYIWLVYDQPLYVGSAELKRRPFVGCLRAMRLNGVTLNLEGRANASEGTFPNCTG HCTHPRFPCFHGGRCVERYSYYTCDCDLTAFDGPYCNHDIGGFFETGTWMRYNLQSALRS AAREFSHMLSRPVPGYEPGYVPGYDTPGYVPGYHGPGYRLPEYPRPGRPVPGYRGPVYNV TGEEVSFSFSTNSAPAVLLYVSSFVRDYMAVLIKEDGTLQLRYQLGTSPYVYQLTTRPVT DGQPHSVNITRVYRNLFIQVDYFPLTEQKFSLLVDSQLDSPKALYLGRVMETGVIDPEIQ RYNTPGFSGCLSGVRFNNVAPLKTHFRTPRPMTAELAEAMRVQGELSESNCGAMPRLVSE VPPELDPWYLPPDFPYYHDDGWIAILLGFLVAFLLLGLVGMLVLFYLQNHRYKGSYHTNE PKATHDSHPGGKAPLPPSGPAQAPAPTPAPTQLPTPAPAPAPAPASGPGPRDQNLPQILE ESRSE >ENSMUSP00000110769.1 pep:known chromosome:GRCm38:16:32777419:32797435:-1 gene:ENSMUSG00000035638.14 transcript:ENSMUST00000115116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc20 description:mucin 20 [Source:MGI Symbol;Acc:MGI:2385039] MGSVWGLAVPLLVFCWKVGVSVSSPGLDISRSVPLVTTNNMEVSTFTQRDRPSSERAFQT TNLIQYVPLDTQTLSTESASNALSATSISSEVNSRDTQTTSFVTKTRKTHTTTPAASSLE AQTTSPNLSTLNIQITSPIASSLDAQTIFPVSLSLSTQTTSPAPSFLDTQTTSPEPSSLT TSPAPSSLITSPTPSSLTTSPAPSFLDTQTTSPAPSSLTTSPAPSSLDTQTISPIELTLK TQTISTVTETRTVSIRIPSDLTVMHTIPTETLAPSNSPRTGMSTVQTGTVWDSIEAVFDT LCTDDSSEEARKITVDLLTLAHTSTEVEYLSSESSSSSDSSAGVLSSSRVLGPDSATPAK GLVAFNITHIKLSNCITEIETTITISGAPGASLSPTEATAALFTSEILTLPPPTEAKPIF PETTSLSGILSTAGTPALATTLEGTVSTSAITESETAVAQTLTSVGTSVTVRRNPLENTS TLSIETQSHTEVLGTITVPMVAGSTMGEAASFVSFTALDSSSLSVVVTTESSATSETLTT GNTTNSSFLTESHPPFSIYSTTASTSKNPNITLTKTTASPKPPTHPTTSASTAWIRKTTK HDPGEDGGFLLVRLTVASPKDLTEHNAREKLMNQIVTHEPSGPGHLPSRWGRSGRPSWLL HSSSASPAEPAGGRPPSRRLSAGCSDSG >ENSMUSP00000041221.8 pep:known chromosome:GRCm38:16:32786040:32797435:-1 gene:ENSMUSG00000035638.14 transcript:ENSMUST00000041123.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc20 description:mucin 20 [Source:MGI Symbol;Acc:MGI:2385039] MGSVWGLAVPLLVFCWKVGVSVSSPGLDISRSVPLVTTNNMEVSTFTQRDRPSSERAFQT TNLIQYVPLDTQTLSTESASNALSATSISSEVNSRDTQTTSFVTKTRKTHTTTPAASSLE AQTTSPNLSTLNIQITSPIASSLDAQTIFPVSLSLSTQTTSPAPSFLDTQTTSPEPSSLT TSPAPSSLITSPTPSSLTTSPAPSFLDTQTTSPAPSSLTTSPAPSSLDTQTISPIELTLK TQTISTVTETRTVSIRIPSDLTVMHTIPTETLAPSNSPRTGMSTVQTGTVWDSIEAVFDT LCTDDSSEEARKITVDLLTLAHTSTEVEYLSSESSSSSDSSAGVLSSSRVLGPDSATPAK GLVAFNITHIKLSNCITEIETTITISGAPGASLSPTEATAALFTSEILTLPPPTEAKPIF PETTSLSGILSTAGTPALATTLEGTVSTSAITESETAVAQTLTSVGTSVTVRRNPLENTS TLSIETQSHTEVLGTITVPMVAGSTMGEAASFVSFTALDSSSLSVVVTTESSATSETLTT GNTTNSSFLTESHPPFSIYSTTASTSKNPNITLTKTTASPKPPTHPTTSASTAWIRKTTK HDPGEDGGFLLVRLTVASPKDLTEHNAREKLMNQLRRELHARMPLVHMSFLSIRRG >ENSMUSP00000094662.2 pep:known chromosome:GRCm38:14:44500122:44506345:1 gene:ENSMUSG00000072145.2 transcript:ENSMUST00000096899.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC061237 description:cDNA sequence BC061237 [Source:MGI Symbol;Acc:MGI:2682320] MGSQAGSFRKASPQTPNINENEKRIKRLEKLKRDLQNIKNERDELQGILAKYKDLNDRIN FETFMLEMQHNQVMTDLKRMPQDISEALYKYKQLTTENQFYCCRNCHLLIESKLIQHKVR ILWKENRQLLREQIASEECNIKTKILCKEGSQKIKDKYSRQSAGKQIIVVPGSKAEAAMS NPCA >ENSMUSP00000112820.1 pep:known chromosome:GRCm38:X:103957163:103981284:-1 gene:ENSMUSG00000056537.11 transcript:ENSMUST00000121153.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlim description:ring finger protein, LIM domain interacting [Source:MGI Symbol;Acc:MGI:1342291] MENSDSNDKGSDQSAAQRRSQMDRLDREEAFYQFVNNLSEEDYRLMRDNNLLGTPGESTE EELLRRLQQIKEGPPPQSPDENRAGESSDDVTNSDSIIDWLNSVRQTGNTTRSGQRGNQS WRAVSRTNPNSGDFRFSLEINVNRNNGSQTSENESEPSTRRLSVENMESSSQRQMENSAS ESASARPSRAERNSAEAVTEVPTTRAQRRARSRSPEHRRTRARAERSRSPLQPTSEIPRR APTLEQSSENEPEGSSRTRHHVTLRQQISGPELLGRGLFAASGSRNPSQGTSSSDTGSNS ESSGSGQRPPTIVLDLQVRRVRPGEYRQRDSIASRTRSRSQAPNNTVTYESERGGFRRTF SRSERAGVRTYVSTIRIPIRRILNTGLSETTSVAIQTMLRQIMTGFGELSYFMYSDSDSE PSASVSSRNVERVESRNGRGSSGGGNSSGSSSSSSPSPSSSGESSESSSEMFEGSSEGGS SGPSRRDGRHRAPVTFDESGSLPFLSLAQFFLLNEDDEDQPRGLTKEQIDNLAMRSFGEN DALKTCSVCITEYTEGNKLRKLPCSHEYHVHCIDRWLSENSTCPICRRAVLSSGNRESVV >ENSMUSP00000070662.4 pep:known chromosome:GRCm38:X:103957163:103981284:-1 gene:ENSMUSG00000056537.11 transcript:ENSMUST00000070705.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlim description:ring finger protein, LIM domain interacting [Source:MGI Symbol;Acc:MGI:1342291] MENSDSNDKGSDQSAAQRRSQMDRLDREEAFYQFVNNLSEEDYRLMRDNNLLGTPGESTE EELLRRLQQIKEGPPPQSPDENRAGESSDDVTNSDSIIDWLNSVRQTGNTTRSGQRGNQS WRAVSRTNPNSGDFRFSLEINVNRNNGSQTSENESEPSTRRLSVENMESSSQRQMENSAS ESASARPSRAERNSAEAVTEVPTTRAQRRARSRSPEHRRTRARAERSRSPLQPTSEIPRR APTLEQSSENEPEGSSRTRHHVTLRQQISGPELLGRGLFAASGSRNPSQGTSSSDTGSNS ESSGSGQRPPTIVLDLQVRRVRPGEYRQRDSIASRTRSRSQAPNNTVTYESERGGFRRTF SRSERAGVRTYVSTIRIPIRRILNTGLSETTSVAIQTMLRQIMTGFGELSYFMYSDSDSE PSASVSSRNVERVESRNGRGSSGGGNSSGSSSSSSPSPSSSGESSESSSEMFEGSSEGGS SGPSRRDGRHRAPVTFDESGSLPFLSLAQFFLLNEDDEDQPRGLTKEQIDNLAMRSFGEN DALKTCSVCITEYTEGNKLRKLPCSHEYHVHCIDRWLSENSTCPICRRAVLSSGNRESVV >ENSMUSP00000028552.3 pep:known chromosome:GRCm38:2:112379211:112414240:1 gene:ENSMUSG00000027132.3 transcript:ENSMUST00000028552.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnbl1 description:katanin p80 subunit B like 1 [Source:MGI Symbol;Acc:MGI:1919675] MAFDTHHVKKRNFSNSIDLPRKRISNFTSKNMKEVKRSPKQLAAYISRTVAQAVKSPEKL RKVLYHRKLVRRSFPNPCYKTKQSPKSGGCDMANKENELACAGHLPENLRHDSRTFVINT SDSGSSQTESPSSKYSGFFSEVSQDHETMAQVLFSRNLRLNVALTFWRKRSISELVAYLV RIEDLGVVVDCLPVLTNSLQEEKQYISLGCCVDLLPLVKSLLQSRFEEYVIVGLNWLQAV IKRWWSELSSTSEIISDGNIKILKQQLSGLWEQESHLTLVPGYTGNIAKDVDAYLLQLH >ENSMUSP00000027422.5 pep:known chromosome:GRCm38:1:84905898:84935134:-1 gene:ENSMUSG00000026220.6 transcript:ENSMUST00000027422.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a14 description:solute carrier family 16 (monocarboxylic acid transporters), member 14 [Source:MGI Symbol;Acc:MGI:1919031] MYTSHEDIGYDLEDDRKAKNKKTLKPHPDIDGGWAWMMVLSSFFVHILIMGSQMALGVLN VEWLEEFHQSRGLTAWVSSLSMGITLIVGPFIGLFINTCGCRQTAIIGGLVNSLGWVLSA YAANVQSLFITFGVAAGLGSGMAYLPAVVMVGRYFQKRRALAQGLSTTGTGFGTFLMTVL LKYLCAEYGWRNAMFIQGALSLNLCVCGALMRPLSPEKLENCPEAEEPCALPAYSTESVK SGGPLGMAEEQDRRPGNEEMVCDLQTQECQGQTHPRKNVCAFRVLKTVSQLTVQVRRGFR DWHSGYFGTASLFTNRMFVAFIFWALFAYSSFVIPFIHLPEIVSLYNLSEQNDTFPLTSI IAILHIFGKVILGAVADLPCISVWNVFLIANFTLVLSIFLLPLMHTYASLAVICALIGFS SGYFSLMPVVTEDLVGTEHLANAYGIIICANGISALLGPPFAGWIFDITQKYDFSFYICG LLYMVGILFLLIQPCIQMIDQSRRKCIEGAHV >ENSMUSP00000140314.1 pep:known chromosome:GRCm38:1:84929334:84934857:-1 gene:ENSMUSG00000026220.6 transcript:ENSMUST00000186980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a14 description:solute carrier family 16 (monocarboxylic acid transporters), member 14 [Source:MGI Symbol;Acc:MGI:1919031] MYTSHEDIGYDLEDDRKAKNKKTLKPHPDIDGGWAWMMVLSSFFVHILIMGSQMALGVLN VEWLEEFHQSRGL >ENSMUSP00000123426.1 pep:known chromosome:GRCm38:4:119175457:119190011:-1 gene:ENSMUSG00000028644.16 transcript:ENSMUST00000138395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ermap description:erythroblast membrane-associated protein [Source:MGI Symbol;Acc:MGI:1349816] MLKRLKKHVVAWKMCVMPHSRKMSVHMERPSPCGSWLVGCLFTIAVFQPPVQVLGDAGKV YIAPLRDTANLPCPLFLWPNMVLSEMRWYRPGHLPRTQAVHVFRDGQDRDEDLMPEYKGR TALVRDAHKESYILQISNVRLEDRGLYQCQVWVGNSSREDNVTLQVAVLGSDPYIHVKGY DAGWIELLCQSVGWFPKPWTEWRDTTGRALLSLSEVHSLDENGLFRTAVSSRIRDNALGN VSCTIHNEALGQEKTTAMIIGAPERGSLSSPAVALSVVLPVLGLLILLGIWLICKQKKSK EKLLYEQAMEVENLLEDHAKEKGRLHKALKKLRSELKLKRAAANAGWRRARLHFVAVTLD PDTAHPKLILSEDRRCVRLGDRKRPVPDNPERFDFVVSVLGSEYFTTGCHYWEVYVGEKT KWILGVCSESVSRKGKVTASPANGHWLVRQSRGNEYEALTSPQTSFRLKESPKCVGIFLD YEAGIISFYNVTDKSHIFTFTHSFSSPLRPFFEPCLHDEGKNTAPLIICTELQKSEESIV PKQEGKDRANGDVSLKMNPSLLSPQGSELFLLNDTWPSNLGPALKGLKVPSL >ENSMUSP00000030396.7 pep:known chromosome:GRCm38:4:119175591:119189976:-1 gene:ENSMUSG00000028644.16 transcript:ENSMUST00000030396.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ermap description:erythroblast membrane-associated protein [Source:MGI Symbol;Acc:MGI:1349816] MLKRLKKHVVAWKMCVMPHSRKMSVHMERPSPCGSWLVGCLFTIAVFQPPVQVLGDAGKV YIAPLRDTANLPCPLFLWPNMVLSEMRWYRPGHLPRTQAVHVFRDGQDRDEDLMPEYKGR TALVRDAHKESYILQISNVRLEDRGLYQCQVWVGNSSREDNVTLQVAVLGSDPYIHVKGY DAGWIELLCQSVGWFPKPWTEWRDTTGRALLSLSEVHSLDENGLFRTAVSSRIRDNALGN VSCTIHNEALGQEKTTAMIIGAPERGSLSSPAVALSVVLPVLGLLILLGIWLICKQKKSK EKLLYEQAMEKIFWKTTPKKKEDSTKPSRNSGVN >ENSMUSP00000120181.1 pep:known chromosome:GRCm38:4:119185692:119188747:-1 gene:ENSMUSG00000028644.16 transcript:ENSMUST00000133956.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ermap description:erythroblast membrane-associated protein [Source:MGI Symbol;Acc:MGI:1349816] MERPSPCGSWLVGCLFTIAVFQPPVQVLGDAGKVYIAPLRDTANLPCPLFLWPNMVLSEM RWYRPGHLPRTQAVHVFRDGQDRDEDLMPEYKGRTALVRDAHKESYILQISNVRLEDRGL YQCQVWVGNSSREDNVTLQVAVLGSDPYIHVKGYDAGWIELLCQSVGWFPKPWTEWRDTT GRALL >ENSMUSP00000120202.1 pep:known chromosome:GRCm38:4:119185753:119189951:-1 gene:ENSMUSG00000028644.16 transcript:ENSMUST00000124626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ermap description:erythroblast membrane-associated protein [Source:MGI Symbol;Acc:MGI:1349816] MLKRLKKHVVAWKMCVMPHSRKMSVHMERPSPCGSWLVGCLFTIAVFQPPVQVLGDAGKV YIAPLRDTANLPCPLFLWPNMVLSEMRWYRPGHLPRTQAVHVFRDGQDRDEDLMPEYKGR TALVRDAHKESYILQISNVRLEDRGLYQCQVWVGNSSREDNVTLQVAGSDPYIHVKGYDA GWIELLCQS >ENSMUSP00000122864.1 pep:known chromosome:GRCm38:4:119187195:119189996:-1 gene:ENSMUSG00000028644.16 transcript:ENSMUST00000141227.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ermap description:erythroblast membrane-associated protein [Source:MGI Symbol;Acc:MGI:1349816] MLKRLKKHVVAWKMCVMPHSRKMSGIYSQCSMHTLLLNLSTCL >ENSMUSP00000123484.1 pep:known chromosome:GRCm38:4:119187328:119189993:-1 gene:ENSMUSG00000028644.16 transcript:ENSMUST00000150864.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ermap description:erythroblast membrane-associated protein [Source:MGI Symbol;Acc:MGI:1349816] MLKRLKKHVVAWKMCVMPHSRKMSAHFSRHLQPVLDAYSPPESVHLPVKKPCPDRLLPWR QRYHTTLLEMWD >ENSMUSP00000118640.1 pep:known chromosome:GRCm38:4:119187336:119190011:-1 gene:ENSMUSG00000028644.16 transcript:ENSMUST00000156746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ermap description:erythroblast membrane-associated protein [Source:MGI Symbol;Acc:MGI:1349816] MLLKKIYLFLLYGDKFVCLSECVLSACEGQKRALDPLELEATDTVHMERPSPCGSWLVGC LFTIAVFQPPVQVLGDAGKVYIAPLRDTANLPCPLFLWPNMVLSEMR >ENSMUSP00000142442.1 pep:known chromosome:GRCm38:5:44472132:44799619:-1 gene:ENSMUSG00000039706.11 transcript:ENSMUST00000199534.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb2 description:LIM domain binding 2 [Source:MGI Symbol;Acc:MGI:894670] MSSTPHDPFYSSPFGPFYRRHTPYMVQPEYRIYEMNKRLQSRTEDSDNLWWDAFATEFFE DDATLTLSFCLEDGPKRYTIGRTLIPRYFSTVFEGGVTDLYYILKHSKESYHNSSITVDC DQCAMVTQHGKPMFTKVCTEGRLILEFTFDDLMRIKTWHFTIRQYRELVPRSILAMHAQD PQVLDQLSKNITRMGLTNFTLNYLRLCVILEPMQELMSRHKTYNLSPRDCLKTCLFQKWQ RMVAPPAEPTRQPTTKRRKRKNSTSSTSNSSAGNTTNSAGSKKKTPAASLSLATQVPGLG AIPNCSLNPGRDGDLCHSTAVTPSGQFKEKH >ENSMUSP00000067737.5 pep:known chromosome:GRCm38:5:44472132:44799639:-1 gene:ENSMUSG00000039706.11 transcript:ENSMUST00000070748.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb2 description:LIM domain binding 2 [Source:MGI Symbol;Acc:MGI:894670] MSSTPHDPFYSSPFGPFYRRHTPYMVQPEYRIYEMNKRLQSRTEDSDNLWWDAFATEFFE DDATLTLSFCLEDGPKRYTIGRTLIPRYFSTVFEGGVTDLYYILKHSKESYHNSSITVDC DQCAMVTQHGKPMFTKVCTEGRLILEFTFDDLMRIKTWHFTIRQYRELVPRSILAMHAQD PQVLDQLSKNITRMGLTNFTLNYLRLCVILEPMQELMSRHKTYNLSPRDCLKTCLFQKWQ RMVAPPAEPTRQPTTKRRKRKNSTSSTSNSSAGNTTNSAGSKKKTPAASLSLATQVPDVM VVGEPTLMGGEFGDEDERLITRLENTQYDAANGMDDEEDFNNSPALGNNSPWNSKPPATQ ETKSENAPPQASQ >ENSMUSP00000143775.1 pep:known chromosome:GRCm38:5:44472132:44799680:-1 gene:ENSMUSG00000039706.11 transcript:ENSMUST00000199256.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb2 description:LIM domain binding 2 [Source:MGI Symbol;Acc:MGI:894670] MSSTPHDPFYSSPFGPFYRRHTPYMVQPEYRIYEMNKRLQSRTEDSDNLWWDAFATEFFE DDATLTLSFCLEDGPKRYTIGRTLIPRYFSTVFEGGVTDLYYILKHSKESYHNSSITVDC DQCAMVTQHGKPMFTKVCTEGRLILEFTFDDLMRIKTWHFTIRQYRELVPRSILAMHAQD PQVLDQLSKNITRMGLTNFTLNYLRLCVILEPMQELMSRHKTYNLSPRDCLKTCLFQKWQ RMVAPPAEPTRQPTTKRRKRKNSTSSTSNSSAGNTTNSAGSKKKTPAASLSLATQGLGAI PNCSLNPGRDGDLCHSTAVTPSGQFKEKH >ENSMUSP00000143289.1 pep:known chromosome:GRCm38:5:44472140:44799602:-1 gene:ENSMUSG00000039706.11 transcript:ENSMUST00000199261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb2 description:LIM domain binding 2 [Source:MGI Symbol;Acc:MGI:894670] MSSTPHDPFYSSPFGPFYRRHTPYMVQPEYRIYEMNKRLQSRTEDSDNLWWDAFATEFFE DDATLTLSFCLEDGPKRYTIGRTLIPRYFSTVFEGGVTDLYYILKHSKESYHNSSITVDC DQCAMVTQHGKPMFTKVCTEGRLILEFTFDDLMRIKTWHFTIRQYRELVPRSILAMHAQD PQVLDQLSKNITRMGLTNFTLNYLRLCVILEPMQELMSRHKTYNLSPRDCLKTCLFQKWQ RMVAPPAEPTRQPTTKRRKRKNSTSSTSNSSAGNTTNSAGSKKKTPAASLSLATQDVMVV GEPTLMGGEFGDEDERLITRLENTQYDAANGMDDEEDFNNSPALGNNSPWNSKPPATQET KSENAPPQASQ >ENSMUSP00000052109.8 pep:known chromosome:GRCm38:12:65132734:65172580:-1 gene:ENSMUSG00000047534.16 transcript:ENSMUST00000052201.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mis18bp1 description:MIS18 binding protein 1 [Source:MGI Symbol;Acc:MGI:2145099] MIVTPLKHSGIHLSSGTLQRRNMPLDAVFIDSIPSGTLTPLKDLVKYQKSSLKVNGHKKN QLLEIRTSNNKDLFQSTMLSEATLPNSSLDISVIKPSMDRLRNEMIYESPGKIFQRMKAK VQRDKQEQLTRSSSMLGSPQGEHTKDFPPNTDKKAQLQQTYICEEKQTSVQSNDPSLGDP PILNQEQKNVSASCISKKALTRAQFGGQVLHSKESPVRITVSKKNTFVLGGIDCTYEKFE NTDVNTISSLCVPIKNHSQSITSDNDVTTERTAKEDITEPNEEMMSRRTILQDPIKNTSK IKRSSPRPNLTLSGRSQRKCTKLETVVKEVKKYQAVHLQEWMIKVINNNTAICVEGKLVD MTDVYWHSNVIIERIKHNELRTLSGNIYILKGLIDSVSMKEAGYPCYLTRKFMFGFPHNW KEHIDKFLEQLRAEKKNKTRQETARVQEKQKSKKKDAEDKETYVLQKASITYDLNDNSLE RTEVPTDPLNSLEQPTSGKERRHPLLSQKRAYVLITPLRNKKLIEQRCIDYSLSIEGISD FFKAKHQEESDSDIHGTPSSTSKSQETFEHRVGFEGNTKEDCNECDIITARHIQIPCPKS KQMLTNDFMKKNKLPSKLQKTENQIGVSQYCRSSSHLSSEENEVEIKSRTRARNTKERLN RERENTNHITKDILLISETEGERACYITPKRPRSCYITPKRPRSSAKESHYKSAVSKDFL TEGKASDRTSRQLLDHLPGLTDDEEWSEQELQKLHCAFTSLPKHKPGFWSDVAMAVGSRT ADECQKKYTEEPQGQGSRKHGSKKKQANKVQNGEKDSADAKTIKITAKVGTLKRKRQMRD CLEHLAKDNHDDFFTATPLQKQRIQLPSFQYSQDDDFLLDMDRDPASPSSIITSPLRSTT PQCQHFSPSMLAAIERNNCDRYVYQMQKNAKKYGKSNGGLVWGNIRKKTVKTDLSSPPPT RKALFNKDLGKNTDISKYFIDDTESDEEEKDYYFSNSD >ENSMUSP00000108538.2 pep:known chromosome:GRCm38:X:142226770:142239692:1 gene:ENSMUSG00000042271.13 transcript:ENSMUST00000112916.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxt2 description:nuclear transport factor 2-like export factor 2 [Source:MGI Symbol;Acc:MGI:2147914] MKKFRSNWSNGDQHFGNSGSSWVEPQINYKYQRTQTSAEVRTSPGPALEQTISSPLMALA VNFKTYVDQACRAAEEFVNIYYETMDKRRHALVRLYLDKATLIWNGNVVTGLEALANFFE MLPSSEFQINMLDCQPVHEQATQCQTTVLVVTSGVVKFDGNKQHFFNQNFLLTAQSTPNS TVWKIASDCFRFQDWASI >ENSMUSP00000048221.5 pep:known chromosome:GRCm38:X:142227937:142239692:1 gene:ENSMUSG00000042271.13 transcript:ENSMUST00000042329.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxt2 description:nuclear transport factor 2-like export factor 2 [Source:MGI Symbol;Acc:MGI:2147914] MALAVNFKTYVDQACRAAEEFVNIYYETMDKRRHALVRLYLDKATLIWNGNVVTGLEALA NFFEMLPSSEFQINMLDCQPVHEQATQCQTTVLVVTSGVVKFDGNKQHFFNQNFLLTAQS TPNSTVWKIASDCFRFQDWASI >ENSMUSP00000108536.1 pep:known chromosome:GRCm38:X:142228177:142239692:1 gene:ENSMUSG00000042271.13 transcript:ENSMUST00000112914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxt2 description:nuclear transport factor 2-like export factor 2 [Source:MGI Symbol;Acc:MGI:2147914] MALAVNFKTYVDQACRAAEEFVNIYYETMDKRRHALVRLYLDKATLIWNGNVVTGLEALA NFFEMLPSSEFQINMLDCQPVHEQATQCQTTVLVVTSGVVKFDGNKQHFFNQNFLLTAQS TPNSTVWKIASDCFRFQDWASI >ENSMUSP00000108535.1 pep:known chromosome:GRCm38:X:142228703:142239692:1 gene:ENSMUSG00000042271.13 transcript:ENSMUST00000112913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxt2 description:nuclear transport factor 2-like export factor 2 [Source:MGI Symbol;Acc:MGI:2147914] MDKRRHALVRLYLDKATLIWNGNVVTGLEALANFFEMLPSSEFQINMLDCQPVHEQATQC QTTVLVVTSGVVKFDGNKQHFFNQNFLLTAQSTPNSTVWKIASDCFRFQDWASI >ENSMUSP00000140644.1 pep:known chromosome:GRCm38:Y:80926995:80953258:1 gene:ENSMUSG00000099740.1 transcript:ENSMUST00000185340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28897 description:predicted gene 28897 [Source:MGI Symbol;Acc:MGI:5579603] MRRMSLKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEGDED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000132075.1 pep:known chromosome:GRCm38:14:44518276:44523444:1 gene:ENSMUSG00000091429.1 transcript:ENSMUST00000170427.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17093 description:predicted gene 17093 [Source:MGI Symbol;Acc:MGI:4937920] XRSSWVPKQACCPSSSGYFGERIEYTQTPDQGRRRPAAHHGGKGQETTGNGEGSAGEASP QAPKINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEAFSKCKQLTKEYQFYCFRNCHLLIESNLIQQKVRMLWNSFHKQLL REQIALEECNIETKTLCKEGSQKIKDHYTKQQQI >ENSMUSP00000032216.6 pep:known chromosome:GRCm38:6:124913681:124917946:-1 gene:ENSMUSG00000030122.12 transcript:ENSMUST00000032216.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptms description:parathymosin [Source:MGI Symbol;Acc:MGI:1916452] MSEKSVEAAAELSAKDLKEKKDKVEEKAGRKERKKEVVEEEENGAEEEEEETAEDGEDDD EGDEEDEEEEEEDEGPVRKRTAEEEDEADPKRQKTENGASA >ENSMUSP00000062590.9 pep:known chromosome:GRCm38:4:151989633:151996258:-1 gene:ENSMUSG00000047777.9 transcript:ENSMUST00000055688.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf13 description:PHD finger protein 13 [Source:MGI Symbol;Acc:MGI:2446217] MDSDSCAAAFHPEEYSPTCKRRRTVEDFNKFCTFVLAYAGYIPYPKEELPLRSSPSPANS TAGTIDSDGWDTGFSDITPSVPDRCFSHLQPSLLQRAKPSNYLLDRKTTDKLKKKKRRKR RDSDIPVKEGFRESLLKLEAADPYVETPSSPTMQDIPQASADPCSGWDSDTPSSGSCATV SPDQVTEIKTEGKRTIVRQGKQVVFRDEDSTGNDEDIMVDSDDDSWDLVTCFCMKPFAGR PMIECNECHTWIHLSCAKIRKSNVPEVFVCQKCRDSKFDIRRSNRSRMGSRKLFLD >ENSMUSP00000119029.1 pep:known chromosome:GRCm38:16:32735886:32750816:1 gene:ENSMUSG00000079620.13 transcript:ENSMUST00000132475.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc4 description:mucin 4 [Source:MGI Symbol;Acc:MGI:2153525] MIGMRPRLLLSSSPWYSFSPLQGNTPVWGQQPVGTGSPLLLLLPPQVLWGFLREPEGLSG AMRGPHWRVPWLCLSCLYSCLLLLPEDALATTSTQTPMSLSSSTRTSQMSSQASTSSTSS DRRTSKTEQTSTRDTPSSITTVSQSHHTTSMETSKPQTTTTTEVTTSTPSASSRDQIQTE TSSQRTISPDGTTTSHAPSISSSAPSTTHMLTTTSSTESTSVDSGHTTAITTQGLTPATA QVSLTPSSQNMSTVSTPITSTLTQRQHTGSKQTSSKSQVNIVTSTLSTSTSDS >ENSMUSP00000119154.1 pep:known chromosome:GRCm38:16:32756336:32782391:1 gene:ENSMUSG00000079620.13 transcript:ENSMUST00000135753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc4 description:mucin 4 [Source:MGI Symbol;Acc:MGI:2153525] XSRDQIQTETSSLRTISPDGTTTSHASSMSSSSPNTTHLLITTSSTESTSVDTGYSTVIT THGSTLATTQVSLTPSSQNMSTVSMPSTSSQELTSLPQRQHTGNMETSSQPQNITPTVVT TSTLLSFSRGSTELQTMSWGTSSSGTINTLSTPVRNTSPASTSGILTSTLTTSGNTGYTG VTRSLGVITSRVTSTSLPGKSTVVHSTPAQPLSAHSQSHQTYGTGTPSMSQTSILPDMTS EKRVASSPGPTVTESFSHVSSSSGLTTKTDNDRNTAVSATSSTLTSPSPTTASRSTVPLP SLLPDQGISLFPYGSEVGDQNLFARTVDFNSPIFKILIGFPLGSSLRDSFYVTDNGQIIF PESDYDVFSYPNPPQRGFTGRERVAMVAPFWADADFSSSRGAIFYQEYVTFYNEHHQLIR EVETLINDFTSSWGYRAKWTLKVTWVNVPAYTAQESFGTNTYQAILSTDGSRSYALFLYQ NSGMRWDVTQEPYNRVLMGFSSGDGYFENSPLTFRPAMEKYRPDRFLNSKLGIRGLQVYR LHREERPNYRLKCLQWLESQPQQPSWGWSSVSCPCSWQQGQRDFRFRPINPGWWDRQLCS FSSGRGGVCCSYGAWGEFREGWRMHSPWQFDEEQEAQNWCCQWNDKPSFCVWYQLRRPRV SCAGYRPPRPAWTFGDPHITTLDNANFTFNGLGDFLLVQAQDRNSSFLLEGRTAQTGTAK ATNFIAFAAQYNTSSLKSPITVQWFLEPSDKIRVVYNNQTVAFNTRDTEVLPIFNTTGVL LTQNGSQVSANFDGTVTISVIARSNILHASSSLSEEYRNHTEGLLGVWNDNPEDDFRMPN GSTIPSNSSEETLFYYGMTWHVNGTGLLGIRADPLPTKFTPIFLSQLLNQSASGEDLASG CKGDRKCMFDILATGNRTIGQSTNSILNEFQHMNDTLNQYPPSINCSSKIQAYKGQTVTT EITSNSKDATLSLSKKCSGFKLFENGSLQWTPTSPEACTLEILARDVRTNLSWVLQPKTV ACFCSKEEQCLYNETSKEGNSSLEVTSCKCDGDTFGRLCERSKDPCDEPCFPNVNCIPGK GCEACPPNTTGDGRHCAALEDSCPNRSCPMNYCYNNGHCDISEAPGCQPTCTCPPAFTDN RCFLAGNSFTPTISMELPLRTIVLSLREDENASAADVNASVANILENLDMRAFFSNSLVE LIRTSPGAQPSSKSIHHWKVTSHFKYRPRGPLIHYLNNQLIGAVMEAFLLQARQERQKRS GEARKDVHFFPISRADVQDQMALNLSMLEEYFTCDGYKGYHLVYSPQDGVTCVSPCSEGY CHNGGQCKHLPDGPQCSCASFTIYSSSGEHCEHLSVKLGAFYGILFGTLGALLLLGILAF MIFHFCGCSKNKFSYPLDSEL >ENSMUSP00000093813.3 pep:known chromosome:GRCm38:16:32735886:32782391:1 gene:ENSMUSG00000079620.13 transcript:ENSMUST00000096106.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc4 description:mucin 4 [Source:MGI Symbol;Acc:MGI:2153525] MRGPHWRVPWLCLSCLYSCLLLLPDALATTSTQTPMSLSSSTRTSQMSSQASTSSTSSDR RTSKTEQTSTRDTPSSITTVSQSHHTTSMETSKPQTTTTTEVTTSTPSASSRDQIQTETS SQRTISPDGTTTSHAPSISSSAPSTTHMLTTTSSTESTSVDSGHTTAITTQGLTPATAQV SLTPSSQNMSTVSTPITSTLTQRQHTGSKQTSSKSQVNIVTSTLSTSTSDSTPAQTMSQV TSSSDKRTKPSTSGVSSTSLTTTEVLTQTSSTDSAPGNTTLRITQNSTTHTTKVSTTSTP QKLSPVSTLINSSQKMSTLPQNQHTESMDTSRQPQTTTTIEVTTSTPSASSLHQIQTETN SPKTISPGETTTSHAPNMRSSPPKTSQILTTMPSTKSTSVDTKQTKAITTKVSTPDTTQV SMTPSSQKLPTHSTSTQELTSSYSQHIQSKGTSSKSQTTTNTKVNTSTPSASSRDKIQTE TSSQRTNSPGEKRTSHAPSMSSSAPSTTHMLSTTSSNQSTSVDTGQTTSVTAQGSTPAIT QTSLTPSSQNTSTVSTPITSTHKLSTLSQSQHTGSKGTSSNPQTTTTTEVTTSTPSATTH DQIQTETSSQNTISPGETTTSYAPIMSSSAPSTTHMLSTTSSTQSTSVDTRHTTTLTNQG STPATTQVSPSSQNMSTVSAPITSTQILSTFPQSQHTGSKGTSSNPQTTTTPVVTTSNPS ATSRDQIQTETSSQRTISPGETTTSYASIMSSSAPSTTHMLTTTSSTQSTSVDTRHTIAV RTQGSTPATTQVSPSSQNMSTVSTPITSTQILSTLPQSQHTGSKGTSSNPQTTTSPVVTT STPSGTSGDQIQTETSSQRTISPGKTTTSHALNINSSAPSTTHMLSTTSSTQSTSGDTRH TTAGRTQGSTPATTQVSPSSQNMSTVSAPITSIQMLSTLPQSQHTESKSTSTNPQTTTTP EVTTSNPSATSHDQIETETSSQRTISPGETTTSYAPIMSSSAPSTTHMLSTTSSTQSTSV DTRNTTTLTTQGSTPATTQVSPSSKNMSTVSTPITSTHKLSTLPQSQHTGSNGTSSSSST PATHRSHLHPNMSTVSTPITTTHKLSTLSQSQHTGSKGTSSNPQTTTTPVMTTSTPSATT HDQIQTETSSQRTISHGETTTSYAPIMSSSAPSTTHMLSTASSTQITSVDTRHTTAITTQ GSTPATTQVSPSSQNMSTVSAPITSIQILSTLPQSQHTGSKGTSTNPQTTTTPEVTTSTP SATSRDQIQTETSSQRTISPGETTTSHAPIMSSSAPSTTHMLSTTSSTQSTSVDTRHTTA GRTQGSTPATTQVSPSSQNMTTTSHALMSSSAPSTTHMLSTTSSTQSTSVDTRHTTTVTT QGSTPATTQVLPSSQNMSTVSAPITSTQILSTLPQSQHTGSKGTSTNPQTTTNAEVTTST PSATSHDQIETETSSQRTISPGETTTSHAPNMSSSAPSTTHMLSSTSSTQITSVDTGHTS AGRTQGSTPATTQVSPSSQNMSTVSAPITSTHILSTLPKSQHTGSKGTSSNPQTTITPVV TTSTPSASSRDQIQTETSFQRTISPGETTTSHAPSMSSSAPSSTHMLSTASSTQITSVDT RHTTAITTQGSTPATTQVSPSSQNMSTVSAPITSIQMLSTLPQSQHTESKSTSTNPQTTT TPRVTTSTPSASSRDQIQTETSSQRTISPGKTTTSHVPNMNSSAPSTTHILSTTSSIQST SGDTRHTTAVRTQGSTPATTQVSLAPSSQNMSTLSAPITSPQHFSTLPQNQHTGSMGTSS NPQSTTIPEVTTSTLSASSRDQVQTETSSQRTISPGETTTSHASSLSSSAPSSTHMLSTA SSTEITSGDTRHTTAIVTQGSTPATTQTTLTPSSQNMSTVSTPITSTHKLSPLPQSQHTE NMGTSSNPQTTTTPEVTTSTPSATSYDQIQTETSFQRTISPGETTTSHAPSMSNSAPSST HKLSTASSTEITSVDTRHTIAITTQGSTLATTQTSLTPSSQNMSTVSAPITSSQILSTLR QSQHTGSKGTSSNHQTTTTPVVTTSTSSATSRDQIQTETSSLRTISPDGTTTSHASSMSS SSPNTTHLLITTSSTESTSVDTGYSTVITTHGSTLATTQVSLTPSSQNMSTVSMPSTSSQ ELTSLPQRQHTGNMETSSQPQNITPTVVTTSTLLSFSRGSTELQTMSWGTSSSGTINTLS TPVRNTSPASTSGILTSTLTTSGNTGYTGVTRSLGVITSRVTSTSLPGKSTVVHSTPAQP LSAHSQSHQTYGTGTPSMSQTSILPDMTSEKRVASSPGPTVTESFSHVSSSSGLTTKTDN DRNTAVSATSSTLTSPSPTTASRSTVPLPSLLPDQGISLFPYGSEVGDQNLFARTVDFNS PIFKILIGFPLGSSLRDSFYVTDNGQIIFPESDYDVFSYPNPPQRGFTGRERVAMVAPFW ADADFSSSRGAIFYQEYVTFYNEHHQLIREVETLINDFTSSWGYRAKWTLKVTWVNVPAY TAQESFGTNTYQAILSTDGSRSYALFLYQNSGMRWDVTQEPYNRVLMGFSSGDGYFENSP LTFRPAMEKYRPDRFLNSKLGIRGLQVYRLHREERPNYRLKCLQWLESQPQQPSWGWSSV SCPCSWQQGQRDFRFRPINPGWWDRQLCSFSSGRGGVCCSYGAWGEFREGWRMHSPWQFD EEQEAQNWCCQWNDKPSFCVWYQLRRPRVSCAGYRPPRPAWTFGDPHITTLDNANFTFNG LGDFLLVQAQDRNSSFLLEGRTAQTGTAKATNFIAFAAQYNTSSLKSPITVQWFLEPSDK IRVVYNNQTVAFNTRDTEVLPIFNTTGVLLTQNGSQVSANFDGTVTISVIARSNILHASS SLSEEYRNHTEGLLGVWNDNPEDDFRMPNGSTIPSNSSEETLFYYGMTWHVNGTGLLGIR ADPLPTKFTPIFLSQLLNQSASGEDLASGCKGDRKCMFDILATGNRTIGQSTNSILNEFQ HMNDTLNQYPPSINCSSKIQAYKGQTVTTEITSNSKDATLSLSKKCSGFKLFENGSLQWT PTSPEACTLEILARDVRTNLSWVLQPKTVACFCSKEEQCLYNETSKEGNSSLEVTSCKCD GDTFGRLCERSKDPCDEPCFPNVNCIPGKGCEACPPNTTGDGRHCAALEDSCPNRSCPMN YCYNNGHCDISEAPGCQPTCTCPPAFTDNRCFLAGNSFTPTISMELPLRTIVLSLREDEN ASAADVNASVANILENLDMRAFFSNSLVELIRTSPGAQPSSKSIHHWKVTSHFKYRPRGP LIHYLNNQLIGAVMEAFLLQARQERQKRSGEARKDVHFFPISRADVQDQMALNLSMLEEY FTCDGYKGYHLVYSPQDGVTCVSPCSEGYCHNGGQCKHLPDGPQCSCASFTIYSSSGEHC EHLSVKLGAFYGILFGTLGALLLLGILAFMIFHFCGCSKNKFSYPLDSEL >ENSMUSP00000099718.3 pep:known chromosome:GRCm38:2:79255500:79333123:1 gene:ENSMUSG00000027009.18 transcript:ENSMUST00000099972.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga4 description:integrin alpha 4 [Source:MGI Symbol;Acc:MGI:96603] MAAEARCRPRSRGIALREAVMLLLYFGVPTGHSYNLDPENALLYQGPSGTLFGYSVVLHS HGSKRWLIVGAPTASWLSNASVVNPGAIYRCGIRKNPNQTCEQLQLGSPSGEPCGKTCLE ERDNQWLGVTLSRQPGENGSIVTCGHRWKNIFYMKSDNKLPTGICYVMPSDLRTELSKRM APCYKDYTRKFGENFASCQAGISSFYTQDLIVMGAPGSSYWTGTVFVYNITTNQYKAFVD RQNQVKFGSYLGYSVGAGHFRSPHTTEVVGGAPQHEQIGKAYIFSIDENELNIVYEMKGK KLGSYFGASVCAVDLNADGFSDLLVGAPMQSTIREEGRVFVYINSGMGAVMVEMERVLVG SDKYAARFGESIANLGDIDNDGFEDIAIGAPQEDDLRGAVYIYNGRVDGISSTYSQRIEG QQISKSLRMFGQSISGQIDADNNGYVDVAVGAFQSDSAVLLRTRPVVIVEASLSHPESVN RTKFDCTENGLPSVCMHLTLCFSYKGKEVPGYIVLFYNVSLDVHRKAESPSRFYFFSNGT SDVITGSIRVSSSGEKCRTHQAFMRKDVRDILTPIHVEATYHLGHHVITKRNTEEFPPLQ PILQQKKEKDVIRKMINFARFCAYENCSADLQVSAKVGFLKPYENKTYLAVGSMKTIMLN VSLFNAGDDAYETTLNVQLPTGLYFIKILDLEEKQINCEVTESSGIVKLACSLGYIYVDR LSRIDISFLLDVSSLSRAHEDLSISVHASCENEGELDQVRDNRVTLTIPLRYEVMLTVHG LVNPTSFVYGSSEENEPETCMAEKLNLTFHVINTGISMAPNVSVKIMVPNSFLPQDDKLF NVLDVQTTTGQCHFKHYGRECTFAQQKGIAGTLTDIVKFLSKTDKRLLYCMKADQHCLDF LCNFGKMESGKEASVHIQLEGRPSILEMDETSSLKFEIKATAFPEPHPKVIELNKDENVA HVFLEGLHHQRPKRHFTIIIITISLLLGLIVLLLISCVMWKAGFFKRQYKSILQEENRRD SWSYVNSKSNDD >ENSMUSP00000029076.4 pep:known chromosome:GRCm38:3:14863538:14872523:1 gene:ENSMUSG00000027559.5 transcript:ENSMUST00000029076.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car3 description:carbonic anhydrase 3 [Source:MGI Symbol;Acc:MGI:88270] MAKEWGYASHNGPDHWHELYPIAKGDNQSPIELHTKDIKHDPSLQPWSASYDPGSAKTIL NNGKTCRVVFDDTYDRSMLRGGPLSGPYRLRQFHLHWGSSDDHGSEHTVDGVKYAAELHL VHWNPKYNTFGEALKQPDGIAVVGIFLKIGREKGEFQILLDALDKIKTKGKEAPFTHFDP SCLFPACRDYWTYHGSFTTPPCEECIVWLLLKEPMTVSSDQMAKLRSLFSSAENEPPVPL VGNWRPPQPVKGRVVRASFK >ENSMUSP00000006035.6 pep:known chromosome:GRCm38:2:156008045:156018279:1 gene:ENSMUSG00000005881.13 transcript:ENSMUST00000006035.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ergic3 description:ERGIC and golgi 3 [Source:MGI Symbol;Acc:MGI:1913616] MEALGKLKQFDAYPKTLEDFRVKTCGGATVTIVSGLLMLLLFLSELQYYLTTEVHPELYV DKSRGDKLKINIDVLFPHMPCAYLSIDAMDVAGEQQLDVEHNLFKKRLDKDGVPVSSEAE RHELGKVEVTVFDPNSLDPNRCESCYGAESEDIKCCNSCEDVREAYRRRGWAFKNPDTIE QCRREGFSQKMQEQKNEGCQVYGFLEVNKVAGNFHFAPGKSFQQSHVHVHDLQSFGLDNI NMTHYIKHLSFGEDYPGIVNPLDHTNVTAPQASMMFQYFVKVVPTVYMKVDGEVLRTNQF SVTRHEKVANGLLGDQGLPGVFVLYELSPMMVKLTEKHRSFTHFLTGVCAIIGGMFTVAG LIDSLIYHSARAIQKKIDLGKTT >ENSMUSP00000086025.4 pep:known chromosome:GRCm38:2:156008106:156018279:1 gene:ENSMUSG00000005881.13 transcript:ENSMUST00000088650.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ergic3 description:ERGIC and golgi 3 [Source:MGI Symbol;Acc:MGI:1913616] MEALGKLKQFDAYPKTLEDFRVKTCGGATVTIVSGLLMLLLFLSELQYYLTTEVHPELYV DKSRGDKLKINIDVLFPHMPCAYLSIDAMDVAGEQQLDVEHNLFKKRLDKDGVPVSSEAE RHELGKVEVTVFDPNSLDPNRCESCYGAESEDIKCCNSCEDVREAYRRRGWAFKNPDTIE QCRREGFSQKMQEQKNEGCQVYGFLEVNKVAGNFHFAPGKSFQQSHVHVHAVEIHDLQSF GLDNPSDCLQINMTHYIKHLSFGEDYPGIVNPLDHTNVTAPQASMMFQYFVKVVPTVYMK VDGEVLRTNQFSVTRHEKVANGLLGDQGLPGVFVLYELSPMMVKLTEKHRSFTHFLTGVC AIIGGMFTVAGLIDSLIYHSARAIQKKIDLGKTT >ENSMUSP00000115912.1 pep:known chromosome:GRCm38:2:156008619:156017638:1 gene:ENSMUSG00000005881.13 transcript:ENSMUST00000142859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ergic3 description:ERGIC and golgi 3 [Source:MGI Symbol;Acc:MGI:1913616] XDVLFPHMPCAYLSIDAMDVAGEQQLDVEHNLFKKRLDKDGVPVSSEAERHELGKVEVTV FDPNSLDPNRCESCYGAESEDIKCCNSCEDVREAYRRRGWAFKNPDTIEQCRREGFSQKM QEQKNEGCQVYGFLEVNKVAGNFHFAPGKSFQQSHVHVHAVEIHDLQSFGLDNINMTHYI KHLSFGEDYPGIVNPLDHTNVTAPQASMMFQYFVKVVPTVYMKVDGEVLRTNQFSVTRHE KVANGLL >ENSMUSP00000119051.1 pep:known chromosome:GRCm38:2:156010447:156018087:1 gene:ENSMUSG00000005881.13 transcript:ENSMUST00000155370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ergic3 description:ERGIC and golgi 3 [Source:MGI Symbol;Acc:MGI:1913616] XKVEVTVFDPNSLDPNRCESCYGAESEDIKCCNSCEDVREAYRRRGWAFKNPDTIEQCRR EGFSQKMQEQKNEGCQVYGFLEVNKVAGNFHFAPGKSFQQSHVHVHDLQSFGLDNINMTH YIKHLSFGEDYPGIVNPLDHTNVTAPQASMMFQYFVKVVPTVYMKVDGEVLRTNQFSVTR HEKVANGLLGDQGLPGVFVLYELSPMMVKLTEKHRSFTHFLTGVCAIIGGMFTVR >ENSMUSP00000010451.5 pep:known chromosome:GRCm38:7:47050601:47054776:1 gene:ENSMUSG00000010307.6 transcript:ENSMUST00000010451.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem86a description:transmembrane protein 86A [Source:MGI Symbol;Acc:MGI:1915143] MVSPVTVVKSEGPKLVPFFKATCVYFVLWLPSSSPSWVSALIKCLPIFCLWLFLLAHGVR FLLAHPSASLIFVGLVFSAVGDAFLIWQDHGYFEHGLLMFAVAHILYAAAFGMRPLALRT GLVIGVLSGLCYALLYPGLSGAFTYLVGVYVALISFMGWRAMAGLRLVGAAWRWTELAAG GGALLFILSDLTIALNKFCFPVPYSRALIMSTYYAAQMLIALSAVESREPVGEDYRLSKA D >ENSMUSP00000059721.6 pep:known chromosome:GRCm38:14:49894258:49901941:-1 gene:ENSMUSG00000048933.7 transcript:ENSMUST00000053290.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr722 description:olfactory receptor 722 [Source:MGI Symbol;Acc:MGI:3030556] MEIKNSSVVTEFILLGLTQSQEAQLLVFALISVFYLIILPGNFLIIFTIRSDSGLTAPLY FFLGNLAFLDASYSFIVAPRMLVDFFCEKKVISYKACITQLFFLHFLGAGEMFLLVVMAV DRYIAICRPLYYSTLMNPRVCYALLLALWLGGFAHSIVQVALILNLPFCGPNQLDNFFCD VPQVVKLACTDTFAVELLMVSNSGLLTLLCFLGLLASYAVILYHVKGHSSEGKSKAISTC TTHIIIVFLMFGPAIFIYTRPFQALQADKVVSLFHTVIFPLMNPVIYTLRNQEVKTSMRK LLSQYVIC >ENSMUSP00000091557.4 pep:known chromosome:GRCm38:7:129257031:129391412:1 gene:ENSMUSG00000070366.5 transcript:ENSMUST00000094018.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp4 description:phospholipid phosphatase 4 [Source:MGI Symbol;Acc:MGI:2685936] MRELAIEIGVRALLFGVFVFTEFLDPFQRVIQPEEIWLYKNPLVQSDNIPTRLMFAISFL TPLAVICVVKIIRRTDKTEIKEAFLAVSLALALNGVCTNTIKLIVGRPRPDFFYRCFPDG VMNSEMRCTGDPDLVSEGRKSFPSIHSSFAFSGLGFTTFYLAGKLHCFTESGRGKSWRLC AAILPLYCAMMIALSRMCDYKHHWQDSFVGGVIGLIFAYICYRQHYPPLANTACHKPYVS LRVPTSLKKEERPTADSAPSLPLEGITEGPV >ENSMUSP00000145851.1 pep:known chromosome:GRCm38:7:129257049:129391307:1 gene:ENSMUSG00000070366.5 transcript:ENSMUST00000205630.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plpp4 description:phospholipid phosphatase 4 [Source:MGI Symbol;Acc:MGI:2685936] MRELAIEIGVRALLFGVFVFTEFLDPFQRVIQPEEIWLYKNPLVQSDNIPTRLMFAISFL TPLAVICVVKIIRRTDKTEIKEAFLAVSLALALNGVCTNTIKLIVGRWGDEFGDALHR >ENSMUSP00000145944.1 pep:known chromosome:GRCm38:7:129257055:129391019:1 gene:ENSMUSG00000070366.5 transcript:ENSMUST00000205896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp4 description:phospholipid phosphatase 4 [Source:MGI Symbol;Acc:MGI:2685936] MRELAIEIGVRALLFGVFVFTEFLDPFQRVIQPEEIWLYKNPLVQSDNIPTRLMFAISFL TPLAVICVVKIIRRTDKTEIKEAFLAVSLALALNGVCTNTIKLIVGSCLLGPRFHNILPG WQAALLHREWAGEELEALCCHLALVLCHDDRPVPHV >ENSMUSP00000058912.3 pep:known chromosome:GRCm38:6:43201646:43202587:1 gene:ENSMUSG00000048693.3 transcript:ENSMUST00000058118.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr435 description:olfactory receptor 435 [Source:MGI Symbol;Acc:MGI:3030269] MKENQTMVTEFILLGFCLGPRIHVILFALFSVCYIFTLLGNGFTLGLICLEPRLHSPMYF FLSNLATVDIAYACNTVPQTLVNLLDETKPISFAGCMMQTYLFLTFGSTECVLLVVMSYD RYVAICHPLHYTVIMNWRVCTIMAAVSWIFSFLLALVHLVLILRLPFCGPHEVNHFFCEI LSVLKLACADTTLNQVVIFAACVFALVGPLCLVLVSYTRILVTILRIQSGEGRRKAFSTC SSHLCVVGLFFGSAIVMYIAPKSQHPEELQKILFLFYSFFNPMLNPLIYSLRNAEVKGAL RRSLCNENHSQLV >ENSMUSP00000068690.6 pep:known chromosome:GRCm38:17:73107994:73243368:1 gene:ENSMUSG00000054469.13 transcript:ENSMUST00000067545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lclat1 description:lysocardiolipin acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2684937] MVSWKGIYFILFLFAGSFFGSIFMLGPILPLMFINLSWYRWISSRLVATWLTLPVALLET MFGVRVVITGDAFVPGERSVIIMNHRTRVDWMFLWNCLMRYSYLRVEKICLKSSLKSVPG FGWAMQVAAFIFIHRKWKDDKSHFEDMIDYFCAIHEPLQLLIFPEGTDLTENNKARSNDF AEKNGLQKYEYVLHPRTTGFTFVVDRLREGKNLDAVHDITVAYPYNIPQTEKHLLLGDFP KEIHFHVQRYPADSLPTSKEDLQLWCHRRWEEKEERLRSFYQGEKNFHFTGQSTVPPCKS ELRVLVVKLLSIVYWALFCSAMCLLIYLYSPVRWYFIISIVFFVLQERIFGGLEIIELAC YRFLHKHPHLNSKKNE >ENSMUSP00000116535.1 pep:known chromosome:GRCm38:17:73107985:73161991:1 gene:ENSMUSG00000054469.13 transcript:ENSMUST00000130574.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lclat1 description:lysocardiolipin acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2684937] MLGPILPLMFINLSWYRWISSRLVATWLTLPV >ENSMUSP00000119291.1 pep:known chromosome:GRCm38:17:73107988:73196778:1 gene:ENSMUSG00000054469.13 transcript:ENSMUST00000149064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lclat1 description:lysocardiolipin acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2684937] MLGPILPLMFINLSWYRWISSRLVATWLTLPVALLETMFGVRVVITGDAFVPGERSVIIM NHRTRVDWMFLWNCLMRYSYLRVEKICLKSSLKSVPGFGWAMQVAAFIFIHRKWKDDKSH FEDMIDYFCAIHEPLQLLIFPEGTDLTENNKARSNDFAEKNGLQKYEYVLHPRTTGFTFV V >ENSMUSP00000137446.1 pep:known chromosome:GRCm38:10:38820541:38821779:-1 gene:ENSMUSG00000094311.1 transcript:ENSMUST00000179279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfpl4b description:ret finger protein-like 4B [Source:MGI Symbol;Acc:MGI:2684908] MRKENLDSKHHYVKVSQDNLMENILEMEATCAICLDIYSHPIYLSCAHILCFDCGKKWMT KKEDLIMTCPVCRKEQKRPVKYDGVMKELAILLKQHGPLLKQHKGQITGLLRLVSENTAL AAKTGDSSREPSNDLKGGKPGHNLVEDPRRFISSAHVVDNSHFFSVCHWEVDVEKRNEWA PGICKEPVSRRNIYLLREHKFQLLGEKTREIRVNFISERHHRSPGLYHVGIFLALTMEET KFVMGKATTLPMSIIISAFLELIPLF >ENSMUSP00000067193.3 pep:known chromosome:GRCm38:8:18986233:18991055:-1 gene:ENSMUSG00000053695.3 transcript:ENSMUST00000066282.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb37 description:defensin beta 37 [Source:MGI Symbol;Acc:MGI:2672966] MKFSYFLLLLLSLSNFQNNPVAMLDTIACIENKDTCRLKNCPRLHNVVGTCYEGKGKCCH KN >ENSMUSP00000123793.1 pep:known chromosome:GRCm38:4:83417749:83467676:1 gene:ENSMUSG00000028483.13 transcript:ENSMUST00000143533.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snapc3 description:small nuclear RNA activating complex, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1916338] MAEDLQGGGAGGPQHPVPSASHSSFPEYELPELHTRVFHVGSFGELWRGRLGAQDLSLSE PQAAEQPTDGGASNDGFEDAAVASDLGCSLEAAAELRVVCGLDKLRCLGEDEDPEVIPEN TDLVTLCVRKGLLDYREENITIDRACRQEIFAYEMESHALGKKPENPADMIEEGECILSV NILYPVIFNKHKEHKPYQTMLVLGSQKLTELRDSICCVSDLQIGGEFSNAPDQAPEHISK DLYKSAFFYFEGTFYNDRRYPECRDLSRTIIEWSESHDRGYGKFQTARMEDFTFNDLHIK LGFPYLYCHQGDCEHVVVITDIRLVHHDDCLDRTLYPLLTKKHWLWTRKCFVCKMYTARW VTNNDTFAPEDPCFFCDVCFRMLHYDSEGNKLGEFLAYPYVDPGTFN >ENSMUSP00000124468.1 pep:known chromosome:GRCm38:4:83417744:83454033:1 gene:ENSMUSG00000028483.13 transcript:ENSMUST00000137512.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snapc3 description:small nuclear RNA activating complex, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1916338] MAEDLQGGGAGGPQHPVPSASHSSFPEYELPELHTRVFHVGSFGELWRGRLGAQDLSLSE PQAAEQPTDGGASNDGFEDAAVASDLGCSLEAAAELRVVCGLDKLRCLGEDEDPEVIPEN TDLVTLWNLMH >ENSMUSP00000102842.1 pep:known chromosome:GRCm38:4:83417731:83442086:1 gene:ENSMUSG00000028483.13 transcript:ENSMUST00000071544.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc3 description:small nuclear RNA activating complex, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1916338] MAEDLQGGGAGGPQHPVPSASHSSFPEYELPELHTRVFHVGSFGELWRGRLGAQDLSLSE PQAAEQPTDGGASNDGFEDAAVASDLGCSLEAAAELRVVCGLDKLRCLGEDEDPEVIPEN TDLVTLCVRKGLLDYREENITIDRACRQEIFAYEMESHALGKKPENPADMIEEGECILSV NILYPVIFNKHKEHKPYQTMLVLGSQKLTELRDSICCVSDLQIGGEFSNAPDQAPEHISK DLYKSAFFYFEGTFYNDRRYPECRDLSRY >ENSMUSP00000124181.1 pep:known chromosome:GRCm38:4:83417744:83467219:1 gene:ENSMUSG00000028483.13 transcript:ENSMUST00000124856.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snapc3 description:small nuclear RNA activating complex, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1916338] MAEDLQGGGAGGPQHPVPSASHSSFPEYELPELHTRVFHVGSFGELWRGRLGAQDLSLSE PQAAEQPTDGGASNDGFEDAAVASDLGCSLEAAAELRVVCGLDKLRCLGEDEDPEVIPEN TDLVTLCVRKGLLDYREENITIDRACRQEIFAYEMESHALGKKPENPADMIEEGECILSV NILYPVIFNKHKEHKPYQTMLVLGSQKLTELRDSICCVSDLQIGGEFSNAPDQAPEHISK DLYKSAFFYFEGTFYNDRRYPECRDLSRTIIEWSESHDRGYGKFQTARMEDFTFNDLHIK LGFPYLYCHQGDCEHVVVITDIRLVHHDDCLDRTLYPLLTKKHWLWTRKCFVCKMYTARW VTNNDTFAPEDPCFFCDVCFRMLHYDSEGNKLGEFLAYPYVDPGTFN >ENSMUSP00000124038.1 pep:known chromosome:GRCm38:4:83417724:83461846:1 gene:ENSMUSG00000028483.13 transcript:ENSMUST00000123262.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snapc3 description:small nuclear RNA activating complex, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1916338] MAEDLQGGGAGGPQHPVPSASHSSFPEYELPELHTRVFHVGSFGELWRGRLGAQDLSLSE PQAAEQPTDGGASNDGFEDAAVASDLGCSLEAAAELRVVCGLDKLRCLGEDEDPEVIPEN TDLVTLCVRKGLLDYREENITIDRACRQEIFAYEMESHALGKKPENPADMIEEGECILSV NILYPVIFNKHKEHKPYQTMLVLGSQKLTELRDSICCVSDLQIGGEFSNAPDQAPEHISK DLYKSAFFYFEGTFYNDRRYPECRDLSRTIIEWSESHDRGYGKFQTARMEDFTFNDLHIK LGFPYLYCHQGDCEHVVVITDIRLVHHDDCLDRTLYPLLTKKHWLWTRKCFVCKMYTARW VTNNDTFAPEDPCFFCDVCFRMLHYDSEGNKLGEFLAYPYVDPGTFN >ENSMUSP00000030206.3 pep:known chromosome:GRCm38:4:83417724:83453340:1 gene:ENSMUSG00000028483.13 transcript:ENSMUST00000030206.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc3 description:small nuclear RNA activating complex, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1916338] MAEDLQGGGAGGPQHPVPSASHSSFPEYELPELHTRVFHVGSFGELWRGRLGAQDLSLSE PQAAEQPTDGGASNDGFEDAAVASDLGCSLEAAAELRVVCGLDKLRCLGEDEDPEVIPEN TDLVTLCVRKGLLDYREENITIDRACRQEIFAYEMESHALGKKPENPADMIEEGECILSV NILYPVIFNKHKEHKPYQTMLVLGSQKLTELRDSICCVSDLQIGGEFSNAPDQAPEHISK DLYKSAFFYFEGTFYNDRRYPECRDLSRTIIEWSESHDRGYGKFQTARMEDFTFNDLHIK LGFPYLYCHQGDCEHVVVITDIRLVHHDDCLDRTLYPLLTKKHWLWTRKCFVCKMYTARW VTNNDTFAPEDPCFFCDVCFRMLHYDSEGNKLGEFLAYPYVDPGTFN >ENSMUSP00000095380.3 pep:known chromosome:GRCm38:4:152008803:152017680:1 gene:ENSMUSG00000073700.3 transcript:ENSMUST00000097773.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl21 description:kelch-like 21 [Source:MGI Symbol;Acc:MGI:1919288] MERPAPLAVLPFSDPAHALSLLRGLSQLRAERKFLDVTLEAAGGRDFPAHRAVLAAASPY FRAMFAGQLRESRAERVRLHGVPPDMLQLLLDFSYTGRVAVSGDNAEPLLRAADLLQFPA VKEACGAFLQQQLDLANCLDMQDFAEAFSCSGLASAAQRFILRHVGELGAEQLERLPLAR LLRYLRDDGLCVPKEEAAYQLALRWVRADPPRRAPHWPQLLEAVRLPFVRRFYLLAHVEA EPLVARCPPCLRLLREARDFQAARYDRHDRGPCPRMRPRPSTGLAEILVLVGGCDQDCDE LVTVDCYNPQTGQWRYLAEFPDHLGGGYSIVALGNDIYVTGGSDGSRLYDCVWRYNSSVN EWTEVAPMLKAREYHSSSVLDGLLYVVAADSTERYDHATDSWEALQPMTYPMDNCSTTAC RGRLYAIGSLAGKETMVIQCYDPDTDLWSLVNCGQLPPWSFAPKTVTLNGLMYFVRDDSA EVDVYNPTKDEWDKIPSMNQVHVGGSLAVLGGKLYVSGGYDNTFELSDVVEAYDPETRAW SVVGRLPEPTFWHGSVSIFRQFMPQTPAGGRGFELNSGSNDVDAGYHRLPQNPEELH >ENSMUSP00000121652.1 pep:known chromosome:GRCm38:5:24423837:24427612:1 gene:ENSMUSG00000028962.14 transcript:ENSMUST00000153274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a2 description:solute carrier family 4 (anion exchanger), member 2 [Source:MGI Symbol;Acc:MGI:109351] MSSAPRRPA >ENSMUSP00000117215.1 pep:known chromosome:GRCm38:5:24423859:24429882:1 gene:ENSMUSG00000028962.14 transcript:ENSMUST00000141966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a2 description:solute carrier family 4 (anion exchanger), member 2 [Source:MGI Symbol;Acc:MGI:109351] MSSAPRRPASGADSLHTPEPESLSPGTPGFPEQEEDELRTLGVERFEEILQEAGSRGGEE PGRSYGEEDFEYHRQSSHHIHHPLSTHLPPDARRRKTPQGPGRKPRRRPGASPTGETPTI EEGEEDEEEAS >ENSMUSP00000078972.6 pep:known chromosome:GRCm38:5:24425232:24440950:1 gene:ENSMUSG00000028962.14 transcript:ENSMUST00000080067.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a2 description:solute carrier family 4 (anion exchanger), member 2 [Source:MGI Symbol;Acc:MGI:109351] MSSAPRRPASGADSLHTPEPESLSPGTPGFPEQEEDELRTLGVERFEEILQEAGSRGGEE PGRSYGEEDFEYHRQSSHHIHHPLSTHLPPDARRRKTPQGPGRKPRRRPGASPTGETPTI EEGEEDEEEASEAEGFRAPPQQPSPATTPSAVQFFLQEDEGAERKPERTSPSPPTQTPHQ EAAPRASKGAQTGTLVEEMVAVASGTAGGDDGGAAGRPLTKAQPGHRSYNLQERRRIGSM TGVEQALLPRVPTDESEAQTLATADLDLMKSHRFEDVPGVRRHLVRKNAKGSTQAAREGR EPGPTPRARPRAPHKPHEVFVELNELLLDKNQEPQWRETARWIKFEEDVEEETERWGKPH VASLSFRSLLELRRTLAHGAVLLDLDQQTLPGVAHQVVEQMVISDQIKAEDRANVLRALL LKHSHPSDEKEFSFPRNISAGSLGSLLGHHHAQGTESDPHVTEPLIGGVPETRLEVDRER ELPPPAPPAGITRSKSKHELKLLEKIPENAEATVVLVGCVEFLSRPTMAFVRLREAVELD AVLEVPVPVRFLFLLLGPSSANMDYHEIGRSISTLMSDKQFHEAAYLADERDDLLTAINA FLDCSVVLPPSEVQGEELLRSVAHFQRQMLKKREEQGRLLPPGAGLEPKSAQDKALLQMV EVAGAAEDDPLRRTGRPFGGLIRDVRRRYPHYLSDFRDALDPQCLAAVIFIYFAALSPAI TFGGLLGEKTKDLIGVSELIMSTALQGVVFCLLGAQPLLVIGFSGPLLVFEEAFFSFCSS NELEYLVGRVWIGFWLVFLALLMVALEGSFLVRFVSRFTQEIFAFLISLIFIYETFYKLI KIFQEHPLHGCSGSNDSEAGSSSSSNMTWATTILVPDNSSASGQSGQEKPRGQPNTALLS LVLMAGTFFIAFFLRKFKNSRFFPGRIRRVIGDFGVPIAILIMVLVDYSIEDTYTQKLSV PSGFSVTAPDKRGWVINPLGEKTPFPVWMMVASLLPAVLVFILIFMETQITTLIISKKER MLQKGSGFHLDLLLIVAMGGICALFGLPWLAAATVRSVTHANALTVMSKAVAPGDKPKIQ EVKEQRVTGLLVALLVGLSMVIGDLLRQIPLAVLFGIFLYMGVTSLNGIQFYERLHLLLM PPKHHPDVTYVKKVRTMRMHLFTALQLLCLALLWAVMSTAASLAFPFILILTVPLRMVVL TRIFTEREMKCLDANEAEPVFDECEGVDEYNEMPMPV >ENSMUSP00000118473.1 pep:known chromosome:GRCm38:5:24426831:24429852:1 gene:ENSMUSG00000028962.14 transcript:ENSMUST00000155598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a2 description:solute carrier family 4 (anion exchanger), member 2 [Source:MGI Symbol;Acc:MGI:109351] MSSAPRRPASGADSLHTPEPESLSPGTPGFPEQEEDELRTLGVERFEEILQEAGSRGGEE PGRSYGEEDFEYHRQSSHHIHHPLSTHLPPDARRRKTPQGPGRKPRRRPGASPTGETPTI E >ENSMUSP00000110701.2 pep:known chromosome:GRCm38:5:24428208:24440947:1 gene:ENSMUSG00000028962.14 transcript:ENSMUST00000115049.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a2 description:solute carrier family 4 (anion exchanger), member 2 [Source:MGI Symbol;Acc:MGI:109351] MDFLLRPQPEPESLSPGTPGFPEQEEDELRTLGVERFEEILQEAGSRGGEEPGRSYGEED FEYHRQSSHHIHHPLSTHLPPDARRRKTPQGPGRKPRRRPGASPTGETPTIEEGEEDEEE ASEAEGFRAPPQQPSPATTPSAVQFFLQEDEGAERKPERTSPSPPTQTPHQEAAPRASKG AQTGTLVEEMVAVASGTAGGDDGGAAGRPLTKAQPGHRSYNLQERRRIGSMTGVEQALLP RVPTDESEAQTLATADLDLMKSHRFEDVPGVRRHLVRKNAKGSTQAAREGREPGPTPRAR PRAPHKPHEVFVELNELLLDKNQEPQWRETARWIKFEEDVEEETERWGKPHVASLSFRSL LELRRTLAHGAVLLDLDQQTLPGVAHQVVEQMVISDQIKAEDRANVLRALLLKHSHPSDE KEFSFPRNISAGSLGSLLGHHHAQGTESDPHVTEPLIGGVPETRLEVDRERELPPPAPPA GITRSKSKHELKLLEKIPENAEATVVLVGCVEFLSRPTMAFVRLREAVELDAVLEVPVPV RFLFLLLGPSSANMDYHEIGRSISTLMSDKQFHEAAYLADERDDLLTAINAFLDCSVVLP PSEVQGEELLRSVAHFQRQMLKKREEQGRLLPPGAGLEPKSAQDKALLQMVEVAGAAEDD PLRRTGRPFGGLIRDVRRRYPHYLSDFRDALDPQCLAAVIFIYFAALSPAITFGGLLGEK TKDLIGVSELIMSTALQGVVFCLLGAQPLLVIGFSGPLLVFEEAFFSFCSSNELEYLVGR VWIGFWLVFLALLMVALEGSFLVRFVSRFTQEIFAFLISLIFIYETFYKLIKIFQEHPLH GCSGSNDSEAGSSSSSNMTWATTILVPDNSSASGQSGQEKPRGQPNTALLSLVLMAGTFF IAFFLRKFKNSRFFPGRIRRVIGDFGVPIAILIMVLVDYSIEDTYTQKLSVPSGFSVTAP DKRGWVINPLGEKTPFPVWMMVASLLPAVLVFILIFMETQITTLIISKKERMLQKGSGFH LDLLLIVAMGGICALFGLPWLAAATVRSVTHANALTVMSKAVAPGDKPKIQEVKEQRVTG LLVALLVGLSMVIGDLLRQIPLAVLFGIFLYMGVTSLNGIQFYERLHLLLMPPKHHPDVT YVKKVRTMRMHLFTALQLLCLALLWAVMSTAASLAFPFILILTVPLRMVVLTRIFTEREM KCLDANEAEPVFDECEGVDEYNEMPMPV >ENSMUSP00000110699.2 pep:known chromosome:GRCm38:5:24428423:24440947:1 gene:ENSMUSG00000028962.14 transcript:ENSMUST00000115047.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a2 description:solute carrier family 4 (anion exchanger), member 2 [Source:MGI Symbol;Acc:MGI:109351] MTQPEPESLSPGTPGFPEQEEDELRTLGVERFEEILQEAGSRGGEEPGRSYGEEDFEYHR QSSHHIHHPLSTHLPPDARRRKTPQGPGRKPRRRPGASPTGETPTIEEGEEDEEEASEAE GFRAPPQQPSPATTPSAVQFFLQEDEGAERKPERTSPSPPTQTPHQEAAPRASKGAQTGT LVEEMVAVASGTAGGDDGGAAGRPLTKAQPGHRSYNLQERRRIGSMTGVEQALLPRVPTD ESEAQTLATADLDLMKSHRFEDVPGVRRHLVRKNAKGSTQAAREGREPGPTPRARPRAPH KPHEVFVELNELLLDKNQEPQWRETARWIKFEEDVEEETERWGKPHVASLSFRSLLELRR TLAHGAVLLDLDQQTLPGVAHQVVEQMVISDQIKAEDRANVLRALLLKHSHPSDEKEFSF PRNISAGSLGSLLGHHHAQGTESDPHVTEPLIGGVPETRLEVDRERELPPPAPPAGITRS KSKHELKLLEKIPENAEATVVLVGCVEFLSRPTMAFVRLREAVELDAVLEVPVPVRFLFL LLGPSSANMDYHEIGRSISTLMSDKQFHEAAYLADERDDLLTAINAFLDCSVVLPPSEVQ GEELLRSVAHFQRQMLKKREEQGRLLPPGAGLEPKSAQDKALLQMVEVAGAAEDDPLRRT GRPFGGLIRDVRRRYPHYLSDFRDALDPQCLAAVIFIYFAALSPAITFGGLLGEKTKDLI GVSELIMSTALQGVVFCLLGAQPLLVIGFSGPLLVFEEAFFSFCSSNELEYLVGRVWIGF WLVFLALLMVALEGSFLVRFVSRFTQEIFAFLISLIFIYETFYKLIKIFQEHPLHGCSGS NDSEAGSSSSSNMTWATTILVPDNSSASGQSGQEKPRGQPNTALLSLVLMAGTFFIAFFL RKFKNSRFFPGRIRRVIGDFGVPIAILIMVLVDYSIEDTYTQKLSVPSGFSVTAPDKRGW VINPLGEKTPFPVWMMVASLLPAVLVFILIFMETQITTLIISKKERMLQKGSGFHLDLLL IVAMGGICALFGLPWLAAATVRSVTHANALTVMSKAVAPGDKPKIQEVKEQRVTGLLVAL LVGLSMVIGDLLRQIPLAVLFGIFLYMGVTSLNGIQFYERLHLLLMPPKHHPDVTYVKKV RTMRMHLFTALQLLCLALLWAVMSTAASLAFPFILILTVPLRMVVLTRIFTEREMKCLDA NEAEPVFDECEGVDEYNEMPMPV >ENSMUSP00000113843.1 pep:known chromosome:GRCm38:9:21242912:21248443:-1 gene:ENSMUSG00000045087.8 transcript:ENSMUST00000122088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S1pr5 description:sphingosine-1-phosphate receptor 5 [Source:MGI Symbol;Acc:MGI:2150641] MEPGLLRPAPVSEVIVLHYNYTGKLRGARYQPGAGLRADAAVCLAVCAFIVLENLAVLLV LVRHPRFHAPMFLLLGSLTLSDLLAGAAYATNILLSGPLTLRLSPALWFAREGGVFVALA ASVLSLLAIALERHLTMARRGPAPAASRARTLAMAVAAWGASLLLGLLPALGWNCLGRLE TCSTVLPLYAKAYVLFCVLAFLGILAAICALYARIYCQVRANARRLRAGPGSRRATSSSR SRHTPRSLALLRTLSVVLLAFVACWGPLFLLLLLDVACPARACPVLLQADPFLGLAMANS LLNPIIYTFTNRDLRHALLRLLCCGRGPCNQDSSNSLQRSPSAAGPSGGGLRRCLPPTLD RSSSPSEHLSPQQDGVDTSCSTGSPGVATANRSLVPTATD >ENSMUSP00000078216.4 pep:known chromosome:GRCm38:4:95557507:95926939:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000079223.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] MMSGRDQEPSRYYVGIDVGTGSVRAALVDQRGLLLAFAEQPIKKWEPQFNHHEQSSEDIW AACCLVTKEVVQGIDAHRIRGLGFDATCSLVVLDKEFHPLPVNHEGDSSRNVIMWLDHRA VSQVHRINETKHRVLQYVGGVMSVEMQAPKLLWLKENLREICWDKAGHFFDLPDFLSWKA TGVTARSLCSLVCKWTYSAEKGWDDSFWKMIGLEDLIDDNYSKIGNLVLLPGAALGIGLT PEAARELGLPSGIAVAASLIDAHAGGLGVIGADVRGHGLTCEGQPVTSRLAVICGTSSCH MGISKDPVFVPGVWGPYYSAMVPGFWLNEGGQSVTGKLIDHMVQGHPAFPELQAKATARC QSIYAYLNSHLDLIKKAQPVGFLTVDLHVWPDFHGNRSPLADLTLKGMVTGLTLSQDLDD LAILYLATVQAIAFGTRFIIETMEAAGHSLSTLFLCGGLSKNPLFVQMHADITGMPVVLS QEVESVLVGAAILGACASGDFTSVQEAMARMSKVGKVVFPEHADKKYYDKKYQVFLRMVE HQKEYSAIMNGS >ENSMUSP00000134881.1 pep:known chromosome:GRCm38:4:95557520:95597650:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000177394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] MMSGRDQEPSRYYVGIDVGTGSVRAALVDQRGLLLAFAEQPIKKWEPQFNHHEQSSEDIW AACCLVTKEVVQGIDAH >ENSMUSP00000043460.4 pep:known chromosome:GRCm38:4:95579421:95821569:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000043335.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] MMSGRDQEPSRYYVGIDVGTGSVRAALVDQRGLLLAFAEQPIKKWEPQFNHHEQSSEDIW AACCLVTKEVVQGIDAHRIRGLGFDATCSLVVLDKEFHPLPVNHEGDSSRNVIMWLDHRA VSQVHRINETKHRVLQYVGGVMSVEMQAPKLLWLKENLREICWDKAGHFFDLPDFLSWKA TGVTARSLCSLVCKWTYSAEKGWDDSFWKMIGLEDLIDDNYSKIGNLVLLPGAALGIGLT PEAARELGLPSGIAVAASLIDAHAGGLGVIGADVRGHGLTCEGQPVTSRLAVICGTSSCH MGISKDPVFVPGVWGPYYSAMVPGFWLNEGGQSVTGKLIDHMVQGHPAFPELQAKATARN LQKHHGIHGDTPGIAKYELEVTSSKAF >ENSMUSP00000115546.1 pep:known chromosome:GRCm38:4:95579489:95597656:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000150830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] MMSGRDQEPSRYYVGIDVGTGSVRAALVDQRGLLLAFAEQPIKKWEPQFNHHEQSSEDIW AACCLVTKEVVQGIDAHRI >ENSMUSP00000115859.2 pep:known chromosome:GRCm38:4:95579494:95769436:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000134012.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] MMSGRDQEPSRYYVGIDVGTGVTARSLCSLVCKWTYSAEKGWDDSFWKMIGLEDLIDDNY SKIGNLVLLPGAALGIGLTPEAARELGLPSGIAVAASLIDAHAGGLGVIG >ENSMUSP00000116264.2 pep:known chromosome:GRCm38:4:95585244:95812169:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000131654.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] MMSGRDQEPSRYYVGIDVGTGSVRAALVDQRGLLLAFAEQPIKKWEPQFNHHEQSSEDIW AACCLVTKGTPLETLSCGWTTGLSARSTGLMRPSTESFSMWVV >ENSMUSP00000102706.4 pep:known chromosome:GRCm38:4:95585244:95926804:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000107091.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] MMSGRDQEPSRYYVGIDVGTGSVRAALVDQRGLLLAFAEQPIKKWEPQFNHHEQSSEDIW AACCLVTKNLREICWDKAGHFFDLPDFLSWKATGVTARSLCSLVCKWTYSAEKGWDDSFW KMIGLEDLIDDNYSKIGNLVLLPGAALGIGLTPEAARELGLPSGIAVAASLIDAHAGGLG VIGADVRGHGLTCEGQPVTSRLAVICGTSSCHMGISKDPVFVPGVWGPYYSAMVPGFWLN EGGQSVTGKLIDHMVQGHPAFPELQAKATARCQSIYAYLNSHLDLIKKAQPVGFLTVDLH VWPDFHGNRSPLADLTLKGMVTGLTLSQDLDDLAILYLATVQAIAFGTRFIIETMEAAGH SLSTLFLCGGLSKNPLFVQMHADITGMPVVLSQEVESVLVGAAILGACASGDFTSVQEAM ARMSKVGKVVFPEHADKKYYDKKYQVFLRMVEHQKEYSAIMNGS >ENSMUSP00000117386.2 pep:known chromosome:GRCm38:4:95623556:95738922:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000143742.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] GVTARSLCSLVCKWTYSAEKGWDDSFWKMIGLEDLIDDNYSKIGRKVIRTEMFQEQLPTA HGLE >ENSMUSP00000115688.1 pep:known chromosome:GRCm38:4:95769509:95926939:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000130541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] TSSCHMGISKDPVFVPGVWGPYYSAMVPGFWLNEGGQSVTGKLIDHMVQGHPAFPELQAK ATARCQSIYAYLNSHLDLIKKAQPVGFLTVDLHVWPDFHGNRSPLADLTLKGMVTGLTLS QDLDDLAILYLATVQAIAACLWSCHKRWSLSSWELLFWVPVPQGTSLLCRRQWQG >ENSMUSP00000118147.1 pep:known chromosome:GRCm38:4:95821978:95926804:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000156223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] XCQSIYAYLNSHLDLIKKAQPVGFLTVDLHVWPDFHGNRSPLADLTLKGMVTGLTLSQDL DDLAILYLATVQAIAFGTRFIIETMEAAGHSLSTLFLCGGLSKNPLFVQMHADITGGNGK DEQSGESRVSGTC >ENSMUSP00000123407.1 pep:known chromosome:GRCm38:4:95849625:95926934:1 gene:ENSMUSG00000028573.18 transcript:ENSMUST00000142384.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fggy description:FGGY carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1922828] XGAAILGACASGDFTSVQPAESWLLSVQEFTH >ENSMUSP00000106619.2 pep:known chromosome:GRCm38:2:112265825:112359127:1 gene:ENSMUSG00000027130.15 transcript:ENSMUST00000110991.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a6 description:solute carrier family 12, member 6 [Source:MGI Symbol;Acc:MGI:2135960] MHPPEATTKMSSVRFMVTPTKIDDIPGLSDTSPDLSSRSSSRVRFSSRESVPETSRSEPM SELSGATTSLATVALDPSSDRTSNPQDVTEDPSQNSITGEHSQLLDDGHKKARNAYLNNS NYEEGDEYFDKNLALFEEEMDTRPKVSSLLNRMANYTNLTQGAKEHEEAENITEGKKKPT KSPQMGTFMGVYLPCLQNIFGVILFLRLTWVVGTAGILQAFAIVLICCCCTMLTAISMSA IATNGVVPAGGSYFMISRALGPEFGGAVGLCFYLGTTFAAAMYILGAIEIFLVYIVPRAA IFRSDDALKESAAMLNNMRVYGTAFLVLMVLVVFIGVRYVNKFASLFLACVIVSILAIYA GAIKSSFAPPHFPVCMLGNRTLSSRHLDICSKTKEVDNMTVPSKLWGFFCNSSQFFNATC DEYFVHNNVISIQGIPGLASGIITENLWSNYLPKGEIIEKPSAKSSDVLGNLNHEYVLAD ITTSFTLLVGIFFPSVTGIMAGSNRSGDLKDAQKSIPIGTILAILTTSFVYLSNVVLFGA CIEGVVLRDKFGDAVKGNLVVGTLSWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIAK DNIIPFLRVFGHSKANGEPTWALLLTAAIAELGILIASLDLVAPILSMFFLMCYLFVNLA CALQTLLRTPNWRPRFRYYHWALSFMGMSICLALMFISSWYYAIVAMVIAGMIYKYIEYQ GAEKEWGDGIRGLSLSAARFALLRLEEGPPHTKNWRPQLLVLLKLDEDLHVKHPRLLTFA SQLKAGKGLTIVGSVIVGNFLENYGDALAAEQTIKHLMEAEKVKGFCQLVVAAKLKEGIS HLIQSCGLGGMKHNTVVMGWPNGWRQSEDARAWKTFIGTVRVTTAAHLALLVAKNVSFFP SNVEQFSEGNIDVWWIVHDGGMLMLLPFLLKQHKVWRKCSIRIFTVAQLEDNSIQMKKDL ATFLYHLRIEAEVEVVEMHDSDISAYTYERTLMMEQRSQMLRHMRLSKTERDREAQLVKD RNSMLRLTSIGSDEDEETETYQEKVHMTWTKDKYMASRGQKVKSMEGFQDLLNMRPDQSN VRRMHTAVKLNEVIVNKSHEAKLVLLNMPGPPRNPEGSSLCKAAFGWS >ENSMUSP00000106615.2 pep:known chromosome:GRCm38:2:112266742:112356029:1 gene:ENSMUSG00000027130.15 transcript:ENSMUST00000110987.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a6 description:solute carrier family 12, member 6 [Source:MGI Symbol;Acc:MGI:2135960] MHPPEATTKMSSVRFMVTPTKIDDIPGLSDTSPDLSSRSSSRVRFSSRESVPETSRSEPM SELSGATTSLATVALDPSSDRTSNPQDVTEDDGHKKARNAYLNNSNYEEGDEYFDKNLAL FEEEMDTRPKVSSLLNRMANYTNLTQGAKEHEEAENITEGKKKPTKSPQMGTFMGVYLPC LQNIFGVILFLRLTWVVGTAGILQAFAIVLICCCCTMLTAISMSAIATNGVVPAGGSYFM ISRALGPEFGGAVGLCFYLGTTFAAAMYILGAIEIFLVYIVPRAAIFRSDDALKESAAML NNMRVYGTAFLVLMVLVVFIGVRYVNKFASLFLACVIVSILAIYAGAIKSSFAPPHFPVC MLGNRTLSSRHLDICSKTKEVDNMTVPSKLWGFFCNSSQFFNATCDEYFVHNNVISIQGI PGLASGIITENLWSNYLPKGEIIEKPSAKSSDVLGNLNHEYVLADITTSFTLLVGIFFPS VTGIMAGSNRSGDLKDAQKSIPIGTILAILTTSFVYLSNVVLFGACIEGVVLRDKFGDAV KGNLVVGTLSWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIAKDNIIPFLRVFGHSKA NGEPTWALLLTAAIAELGILIASLDLVAPILSMFFLMCYLFVNLACALQTLLRTPNWRPR FRYYHWALSFMGMSICLALMFISSWYYAIVAMVIAGMIYKYIEYQGAEKEWGDGIRGLSL SAARFALLRLEEGPPHTKNWRPQLLVLLKLDEDLHVKHPRLLTFASQLKAGKGLTIVGSV IVGNFLENYGDALAAEQTIKHLMEAEKVKGFCQLVVAAKLKEGISHLIQSCGLGGMKHNT VVMGWPNGWRQSEDARAWKTFIGTVRVTTAAHLALLVAKNVSFFPSNVEQFSEGNIDVWW IVHDGGMLMLLPFLLKQHKVFWVTTLPLAVMVGLNLKRQGLFLAVP >ENSMUSP00000028549.7 pep:known chromosome:GRCm38:2:112266819:112363156:1 gene:ENSMUSG00000027130.15 transcript:ENSMUST00000028549.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a6 description:solute carrier family 12, member 6 [Source:MGI Symbol;Acc:MGI:2135960] MHPPEATTKMSSVRFMVTPTKIDDIPGLSDTSPDLSSRSSSRVRFSSRESVPETSRSEPM SELSGATTSLATVALDPSSDRTSNPQDVTEDPSQNSITGEHSQLLDDGHKKARNAYLNNS NYEEGDEYFDKNLALFEEEMDTRPKVSSLLNRMANYTNLTQGAKEHEEAENITEGKKKPT KSPQMGTFMGVYLPCLQNIFGVILFLRLTWVVGTAGILQAFAIVLICCCCTMLTAISMSA IATNGVVPAGGSYFMISRALGPEFGGAVGLCFYLGTTFAAAMYILGAIEIFLVYIVPRAA IFRSDDALKESAAMLNNMRVYGTAFLVLMVLVVFIGVRYVNKFASLFLACVIVSILAIYA GAIKSSFAPPHFPVCMLGNRTLSSRHLDICSKTKEVDNMTVPSKLWGFFCNSSQFFNATC DEYFVHNNVISIQGIPGLASGIITENLWSNYLPKGEIIEKPSAKSSDVLGNLNHEYVLAD ITTSFTLLVGIFFPSVTGIMAGSNRSGDLKDAQKSIPIGTILAILTTSFVYLSNVVLFGA CIEGVVLRDKFGDAVKGNLVVGTLSWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIAK DNIIPFLRVFGHSKANGEPTWALLLTAAIAELGILIASLDLVAPILSMFFLMCYLFVNLA CALQTLLRTPNWRPRFRYYHWALSFMGMSICLALMFISSWYYAIVAMVIAGMIYKYIEYQ GAEKEWGDGIRGLSLSAARFALLRLEEGPPHTKNWRPQLLVLLKLDEDLHVKHPRLLTFA SQLKAGKGLTIVGSVIVGNFLENYGDALAAEQTIKHLMEAEKVKGFCQLVVAAKLKEGIS HLIQSCGLGGMKHNTVVMGWPNGWRQSEDARAWKTFIGTVRVTTAAHLALLVAKNVSFFP SNVEQFSEGNIDVWWIVHDGGMLMLLPFLLKQHKVWRKCSIRIFTVAQLEDNSIQMKKDL ATFLYHLRIEAEVEVVEMHDSDISAYTYERTLMMEQRSQMLRHMRLSKTERDREAQLVKD RNSMLRLTSIGSDEDEETETYQEKVHMTWTKDKYMASRGQKVKSMEGFQDLLNMRPDQSN VRRMHTAVKLNEVIVNKSHEAKLVLLNMPGPPRNPEGDENYMEFLEVLTEGLERVLLVRG GGSEVITIYS >ENSMUSP00000051490.7 pep:known chromosome:GRCm38:2:112284577:112363156:1 gene:ENSMUSG00000027130.15 transcript:ENSMUST00000053666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a6 description:solute carrier family 12, member 6 [Source:MGI Symbol;Acc:MGI:2135960] MPHFTVTKVEDPEEGAAGPLSPEPSSAEVKARIQDPQEPDPSQNSITGEHSQLLDDGHKK ARNAYLNNSNYEEGDEYFDKNLALFEEEMDTRPKVSSLLNRMANYTNLTQGAKEHEEAEN ITEGKKKPTKSPQMGTFMGVYLPCLQNIFGVILFLRLTWVVGTAGILQAFAIVLICCCCT MLTAISMSAIATNGVVPAGGSYFMISRALGPEFGGAVGLCFYLGTTFAAAMYILGAIEIF LVYIVPRAAIFRSDDALKESAAMLNNMRVYGTAFLVLMVLVVFIGVRYVNKFASLFLACV IVSILAIYAGAIKSSFAPPHFPVCMLGNRTLSSRHLDICSKTKEVDNMTVPSKLWGFFCN SSQFFNATCDEYFVHNNVISIQGIPGLASGIITENLWSNYLPKGEIIEKPSAKSSDVLGN LNHEYVLADITTSFTLLVGIFFPSVTGIMAGSNRSGDLKDAQKSIPIGTILAILTTSFVY LSNVVLFGACIEGVVLRDKFGDAVKGNLVVGTLSWPSPWVIVIGSFFSTCGAGLQSLTGA PRLLQAIAKDNIIPFLRVFGHSKANGEPTWALLLTAAIAELGILIASLDLVAPILSMFFL MCYLFVNLACALQTLLRTPNWRPRFRYYHWALSFMGMSICLALMFISSWYYAIVAMVIAG MIYKYIEYQGAEKEWGDGIRGLSLSAARFALLRLEEGPPHTKNWRPQLLVLLKLDEDLHV KHPRLLTFASQLKAGKGLTIVGSVIVGNFLENYGDALAAEQTIKHLMEAEKVKGFCQLVV AAKLKEGISHLIQSCGLGGMKHNTVVMGWPNGWRQSEDARAWKTFIGTVRVTTAAHLALL VAKNVSFFPSNVEQFSEGNIDVWWIVHDGGMLMLLPFLLKQHKVWRKCSIRIFTVAQLED NSIQMKKDLATFLYHLRIEAEVEVVEMHDSDISAYTYERTLMMEQRSQMLRHMRLSKTER DREAQLVKDRNSMLRLTSIGSDEDEETETYQEKVHMTWTKDKYMASRGQKVKSMEGFQDL LNMRPDQSNVRRMHTAVKLNEVIVNKSHEAKLVLLNMPGPPRNPEGDENYMEFLEVLTEG LERVLLVRGGGSEVITIYS >ENSMUSP00000062588.3 pep:known chromosome:GRCm38:11:101172997:101175443:-1 gene:ENSMUSG00000044052.3 transcript:ENSMUST00000062759.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr10 description:chemokine (C-C motif) receptor 10 [Source:MGI Symbol;Acc:MGI:1096320] MGTKPTEQVSWGLYSGYDEEAYSVGPLPELCYKADVQAFSRAFQPSVSLMVAVLGLAGNG LVLATHLAARRTTRSPTSVHLLQLALADLLLALTLPFAAAGALQGWNLGSTTCRAISGLY SASFHAGFLFLACISADRYVAIARALPAGQRPSTPSRAHLVSVFVWLLSLFLALPALLFS RDGPREGQRRCRLIFPESLTQTVKGASAVAQVVLGFALPLGVMAACYALLGRTLLAARGP ERRRALRVVVALVVAFVVLQLPYSLALLLDTADLLAARERSCSSSKRKDLALLVTGGLTL VRCSLNPVLYAFLGLRFRRDLRRLLQGGGCSPKPNPRGRCPRRLRLSSCSAPTETHSLSW DN >ENSMUSP00000040894.1 pep:known chromosome:GRCm38:6:30896981:30915573:-1 gene:ENSMUSG00000039032.2 transcript:ENSMUST00000048580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsga13 description:testis specific gene A13 [Source:MGI Symbol;Acc:MGI:1891413] MGPKKNSKFQEVSGSKPVGNVSIRFEKQLGQDDEEMYDTTAQSKFVLKNLRHYTVHPNMA KYYEPLKPTKLQRFLTQKKKMNSFMLKVTEYDQDMTLLLMTNNPPPCSISQEKDGAPIYF PPEFQLKETLHRCKPNKNDFFPTMSQKKKLKPELKPVFPMKRLDDPTFKGQQWFRFSTDN DFNIEGKYSEIYALRKQKKMYPNLIFAPASQRETKKHVSMKSESETPTSQVFWEPLTFSK LLEEKPTRSVPGESFFRHGRAQQWIVKDAAVIW >ENSMUSP00000067553.3 pep:known chromosome:GRCm38:8:19023407:19026566:-1 gene:ENSMUSG00000053790.3 transcript:ENSMUST00000066416.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb38 description:defensin beta 38 [Source:MGI Symbol;Acc:MGI:2672972] MKISCFLLLILSLYFFQINQAIGPDTKKCVQRKNACHYFECPWLYYSVGTCYKGKGKCCQ KRY >ENSMUSP00000062122.4 pep:known chromosome:GRCm38:5:83278145:83355195:-1 gene:ENSMUSG00000049537.10 transcript:ENSMUST00000053543.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tecrl description:trans-2,3-enoyl-CoA reductase-like [Source:MGI Symbol;Acc:MGI:2444966] MFKRHKSLERKRELLFQGLPQSTMKNNARNFHSLSQLVLSAGPLKTTTAVKHSKTTHFEI EILDAHTRKQICIVDKVTQTSTIHDVKQKFHKACPKWYPSRIGLQLEYGGPYLRDYITVQ SVAASSIITLYFTDLGQQVGWTTVFLAEYSGPLLIYLLFYLRSSYIYDVKESTRWPRHPV VHLAFFCHCIHYIRLLLETLFVHKVSTGHSPMKNLIKGCAFYWGFTSWMAYYINHPRYTP PSFGNRQVIVSAINFLFCEAGNHFINTVLAHPNHTGSNACFPSPNYNPFTWLFFLVSCPN YTYEIGSWISFTVMTQTLPVGIFTILMTIQMSLWARKKHKIYRKKFNSYVHRKSAIIPLI L >ENSMUSP00000115144.1 pep:known chromosome:GRCm38:5:83289996:83354956:-1 gene:ENSMUSG00000049537.10 transcript:ENSMUST00000146669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tecrl description:trans-2,3-enoyl-CoA reductase-like [Source:MGI Symbol;Acc:MGI:2444966] XFQGLPQSTMKNNARNFHSLSQLVLSAGPLKTTTAVKHSKTTHFEIEILDAHTRKQICIV DKVTQTSTIHDVKQKFHKACPKWYPSRIGLQLEYDLGQQVGWTTVFLAEYSGPLLIYLLF YLRSSYIYDVKESTRWPRHPVVHLAFFCHCIHYIRLLLETLFVHKVSTGHSPMKNLIKGC AFYWGFTSWMAYYINHPRYTPPSFGNRQVIVSAI >ENSMUSP00000137793.1 pep:known chromosome:GRCm38:11:101162679:101165620:-1 gene:ENSMUSG00000035172.15 transcript:ENSMUST00000139200.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekhh3 description:pleckstrin homology domain containing, family H (with MyTH4 domain) member 3 [Source:MGI Symbol;Acc:MGI:2384950] XRGRELVPSLAEISALSRRQELLCTVHCPGAGACPVSIDSHTTAGEVARELVGRLGLARS RNAFALYEQRGAQERALAGGTLVADVLTSLTSEEAGLEDSPDCGWRLCLRLHGPLHPEGL SPEGHELPFLFEQAHALLLRGRPPPPEDTLRALAALRLQSLHRDFSPRGPLPLLDRLLPP PIPPREQPPCPTRRPPPSAALLAGALWSPGLAKRRAERARRGGTGRSTGSTAQVGGGGAS TTAAVLGGWKRLRGMGQAEAMAAYLALAAQCPGFGAARSLVEVLHRSSAWVWEQRPCRSP GLVRQNPSTVSAMVMWPPAS >ENSMUSP00000137841.1 pep:known chromosome:GRCm38:11:101162679:101170955:-1 gene:ENSMUSG00000035172.15 transcript:ENSMUST00000129895.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekhh3 description:pleckstrin homology domain containing, family H (with MyTH4 domain) member 3 [Source:MGI Symbol;Acc:MGI:2384950] MPLPGGLWWLLCCRRGFTLLHRDYGDGELSGDGDEDEDDETFELRTPSPAGGGRGSLDVT LTQPTRNGPISDRLQGWEETWSLIPDKGLPEEDPDIIVKGWLYREPRGGGARPWLLPRRA WFVLTRDSLDQFSSSGKGARRLGSLVLTSLCSVTGPERRPKETGLWSVTVSGRKHSIRLC SPRQAEAERWGVALREVIASKAPLETPTQLLLRDIQESCGDPEAVALIYRRNPILRHTSS ALYAPLLPLPYEVSAPGPGYAPLREEAVRLFLALQALEGARRPGPLMQGVLQTCRDLPAL QDELFLQLAKQTSGPAGPPGLPATQDPATLRYWQLLTCMSCTFRPGGAVRGHLLGHLERT EQALPDSELAEYARFIRKALGRTRGRELVPSLAEISALSRRQELLCTVHCPGAGACPVSI DSHTTAGEVARELVGRLGLARSRNAFALYEQRGAQERALAGGTLVADVLTRFENLTSEEA GLEDSPDCGWRLCLRLHGPLHPEGLSPEGHELPFLFEQAHALLLRGRPPPPEDTLRALAA LRLQSLHRDFSPRGPLPLLDRLLPPPIPPREQPPCPTRRPPPSAALLAGALWSPGLAKRR AERARRGGTGRSTGSTAQVGGGGASTTAAVLGGWKRLRGMGQAEAMAAYLALAAQCPGFG AARSLVEVLHRSSAWVWEQRPCRSPGLVRQNPSTVSAMVMWPPAS >ENSMUSP00000046044.7 pep:known chromosome:GRCm38:11:101162679:101171351:-1 gene:ENSMUSG00000035172.15 transcript:ENSMUST00000043397.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhh3 description:pleckstrin homology domain containing, family H (with MyTH4 domain) member 3 [Source:MGI Symbol;Acc:MGI:2384950] MPLPGGLWWLLCCRRGFTLLHRDYGDGELSGDGDEDEDDETFELRTPSPAGGGRGSLDVT LTQPTRNGPISDRLQGWEETWSLIPDKGLPEEDPDIIVKGWLYREPRGGGARPWLLPRRA WFVLTRDSLDQFSSSGKGARRLGSLVLTSLCSVTGPERRPKETGLWSVTVSGRKHSIRLC SPRQAEAERWGVALREVIASKAPLETPTQLLLRDIQESCGDPEAVALIYRRNPILRHTSS ALYAPLLPLPYEVSAPGPGYAPLREEAVRLFLALQALEGARRPGPLMQGVLQTCRDLPAL QDELFLQLAKQTSGPAGPPGLPATQDPATLRYWQLLTCMSCTFRPGGAVRGHLLGHLERT EQALPDSELAEYARFIRKALGRTRGRELVPSLAEISALSRRQELLCTVHCPGAGACPVSI DSHTTAGEVARELVGRLGLARSRNAFALYEQRGAQERALAGGTLVADVLTRFENLTSEEA GLEDSPDCGWRLCLRLHGPLHPEGLSPEGHELPFLFEQAHALLLRGRPPPPEDTLRALAA LRLQSLHRDFSPRGPLPLLDRLLPPPIPPREQPPCPTRRPPPSAALLAGALWSPGLAKRR AERARRGGTGRSTGSTAQVGGGGASTTAAVLGGWKRLRGMGQAEAMAAYLALAAQCPGFG AARYDVLELSTEPGGGAPQKLCLGLGAKAMSLSRPGETEPIHSVSYGHVAACQLIGPHTL ALRVGDSQLLLQSPQVEEIMELVNAYLANPSPERPCRSGSSSGPPSQDLPDTSPPSQHQV LEEPQGQSGCLKQLQD >ENSMUSP00000120865.1 pep:known chromosome:GRCm38:11:101167474:101170354:-1 gene:ENSMUSG00000035172.15 transcript:ENSMUST00000123864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhh3 description:pleckstrin homology domain containing, family H (with MyTH4 domain) member 3 [Source:MGI Symbol;Acc:MGI:2384950] MRELPPQYPGRGQKDILELGSASGTPQRKGSGRWPFEDFSLFRHLRQNSLPSLGSLDVTL TQPTRNGPISDRLQGWEETWSLIPDKGLPEEDPDIIVKGWLYREPRGGGARPWLLPRRAW FVLTRDSLDQFSSSGKGARRLGSLVLTSLCSVTGPERRPKETGLWSVTVSGRKHSIRLCS PRQAEAERWGVALREVIASKAPLETPTQLLLRDIQESCGDPEAVALIYRRNPILRHTSSA LYAPLLP >ENSMUSP00000127088.1 pep:known chromosome:GRCm38:11:101162682:101171317:-1 gene:ENSMUSG00000035172.15 transcript:ENSMUST00000164474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhh3 description:pleckstrin homology domain containing, family H (with MyTH4 domain) member 3 [Source:MGI Symbol;Acc:MGI:2384950] MPLPGGLWWLLCCRRGFTLLHRDYGDGELSGDGDEDEDDETFELRTPSPAGGGRGSLDVT LTQPTRNGPISDRLQGWEETWSLIPDKGLPEEDPDIIVKGWLYREPRGGGARPWLLPRRA WFVLTRDSLDQFSSSGKGARRLGSLVLTSLCSVTGPERRPKETGLWSVTVSGRKHSIRLC SPRQAEAERWGVALREVIASKAPLETPTQLLLRDIQESCGDPEAVALIYRRNPILRHTSS ALYAPLLPLPYEVSAPGPGYAPLREEAVRLFLALQALEGARRPGPLMQGVLQTCRDLPAL QDELFLQLAKQTSGPAGPPGLPATQDPATLRYWQLLTCMSCTFRPGGAVRGHLLGHLERT EQALPDSELAEYARFIRKALGRTRGRELVPSLAEISALSRRQELLCTVHCPGAGACPVSI DSHTTAGEVARELVGRLGLARSRNAFALYEQRGAQERALAGGTLVADVLTSLTSEEAGLE DSPDCGWRLCLRLHGPLHPEGLSPEGHELPFLFEQAHALLLRGRPPPPEDTLRALAALRL QSLHRDFSPRGPLPLLDRLLPPPIPPREQPPCPTRRPPPSAALLAGALWSPGLAKRRAER ARRGGTGRSTGSTAQVGGGGASTTAAVLGGWKRLRGMGQAEAMAAYLALAAQCPGFGAAR YDVLELSTEPGGGAPQKLCLGLGAKAMSLSRPGETEPIHSVSYGHVAACQLIGPHTLALR VGDSQLLLQSPQVEEIMELVNAYLANPSPERPCRSGSSSGPPSQDLPDTSPPSQHQVLEE PQGQSGCLKQLQD >ENSMUSP00000102460.1 pep:known chromosome:GRCm38:7:104300230:104336909:1 gene:ENSMUSG00000090215.8 transcript:ENSMUST00000106847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim34b description:tripartite motif-containing 34B [Source:MGI Symbol;Acc:MGI:4821264] MASTGPTNIQEKTTCPVCQELLTKALSLGCGHLVCQACLISNKNAVINPRGKSSCPVCGT RFSLENLQANKHLANVVERLGEVKLKPDIGTKRDLCVHHGEKLLLFCKEDKKVICWVCER SQEHRGHHTFLWEEAVRECQENLQKALTRLRKEQEKVETLEADIKEDRLSWKRQVQTERQ RIQTGFNQLRRILDKEEQRELKRLREEEQMILDSLAGAEAELAQQSQLVEELISDLELRR EWSDTELLQDMSGILKWSQIWTLKKPKAVSKKLSMVFQAPDLSGMLQKFRELSAVRAYWD NFTFNPENLNLNLILSEDHRQVTSVSIWPFKCCNNGILGSKCFSSGKHYWEVDVSEKNAW TLGVYTRKRTLRFDVRQRKGQPNGYHRYKPQNGYWVIGLQHGSKYSIFEDSSNCDPTVLN PFVATPLHRVGIFLDCEEGTVSFLNVTNHGSLIYKFSQCCFSQPAYPYFNPWDCPAPMTL CPLNS >ENSMUSP00000136248.1 pep:known chromosome:GRCm38:7:104329471:104336617:1 gene:ENSMUSG00000090215.8 transcript:ENSMUST00000178316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim34b description:tripartite motif-containing 34B [Source:MGI Symbol;Acc:MGI:4821264] MASTGPTNIQEKTTCPVCQELLTKALSLGCGHLVCQACLISNKNAVINPRGKSSCPVCGT RFSLENLQANKHLANVVERLGEVKLKPDIGTKRDLCVHHGEKLLLFCKEDKKVICWVCER SQEHRGHHTFLWEEAVRECQENLQKALTRLRKEQEKVETLEADIKEDRLSWKRQVQTERQ RIQTGFNQLRRILDKEEQRELKRLREEEQMILDSLAGAEAELAQQSQLVEELISDLELRR EWSDTELLQDMSGILKWSQIWTLKKPKAVSKKLSMVFQAPDLSGMLQKFRELSAVRAYWD NFTFNPENLNLNLILSEDHRQVTSVSIWPFKCCNNGILGSKCFSSGKHYWEVDVSEKNAW TLGVYTRKRTLRFDVRQRKGQPNGYHRYKPQNGYWVIGLQHGSKYSIFEDSSNCDPTVLN PFVATPLHRVGIFLDCEEGTVSFLNVTNHGSLIYKFSQCCFSQPAYPYFNPWDCPAPMTL CPLNS >ENSMUSP00000136926.1 pep:known chromosome:GRCm38:7:104329471:104336617:1 gene:ENSMUSG00000090215.8 transcript:ENSMUST00000180136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim34b description:tripartite motif-containing 34B [Source:MGI Symbol;Acc:MGI:4821264] MASTGPTNIQEKTTCPVCQELLTKALSLGCGHLVCQACLISNKNAVINPRGKSSCPVCGT RFSLENLQANKHLANVVERLGEVKLKPDIGTKRDLCVHHGEKLLLFCKEDKKVICWVCER SQEHRGHHTFLWEEAVRECQENLQKALTRLRKEQEKVETLEADIKEDRLSWKRQVQTERQ RIQTGFNQLRRILDKEEQRELKRLREEEQMILDSLAGAEAELAQQSQLVEELISDLELRR EWSDTELLQAAEVSIPRSQIWTLKKPKAVSKKLSMVFQAPDLSGMLQKFRELSAVRAYWD NFTFNPENLNLNLILSEDHRQVTSVSIWPFKCCNNGILGSKCFSSGKHYWEVDVSEKNAW TLGVYTRKRTLRFDVRQRKGQPNGYHRYKPQNGYWVIGLQHGSKYSIFEDSSNCDPTVLN PFVATPLHRVGIFLDCEEGTVSFLNVTNHGSLIYKFSQCCFSQPAYPYFNPWDCPAPMTL CPLNS >ENSMUSP00000145171.1 pep:known chromosome:GRCm38:6:43213065:43217381:1 gene:ENSMUSG00000059411.4 transcript:ENSMUST00000204448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr434 description:olfactory receptor 434 [Source:MGI Symbol;Acc:MGI:3030268] MEENQTMVTEFVLLGFCLGPRIHLVLFLLFSLFYTLTILGNGTILAMICLDSRLHTPMYF FLSHLAIVDMAYACNTVPQTLINLLDETRPITFAGCMTQTFLFLAFAHTECVLLVVMSYD RYVAICHPLHYTVIMNWRVCTILAAVSWIFSFLLAL >ENSMUSP00000076039.3 pep:known chromosome:GRCm38:6:43216883:43217938:1 gene:ENSMUSG00000059411.4 transcript:ENSMUST00000076752.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr434 description:olfactory receptor 434 [Source:MGI Symbol;Acc:MGI:3030268] MEENQTMVTEFVLLGFCLGPRIHLVLFLLFSLFYTLTILGNGTILAMICLDSRLHTPMYF FLSHLAIVDMAYACNTVPQTLINLLDETRPITFAGCMTQTFLFLAFAHTECVLLVVMSYD RYVAICHPLHYTVIMNWRVCTILAAVSWIFSFLLALVHLVLILRLPFCGPHEINHFFCEI LSVLKLACADTTLNQVVIFAACVFILVAPLCFVLVSYTRILVAILRIQSGEGRRKAFSTC SSHLCVVGLFFGSAIVMYMAPKSQHPEEQQKVLFLFYSFFNPMLNPLIYSLRNAEVKGAL KRSLCKESHSWLVWCSDHKSW >ENSMUSP00000063762.4 pep:known chromosome:GRCm38:5:135072900:135078266:-1 gene:ENSMUSG00000043614.13 transcript:ENSMUST00000067935.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps37d description:vacuolar protein sorting 37D (yeast) [Source:MGI Symbol;Acc:MGI:2159402] MYRARAARAGPEPGSPGRFGILSTGQLRDLLQDEPKLDRIVRLSRKFQGLQLERDACLAS NYALAKENLALRPRLEMGRTALAIKYQELREVAENCADKLQRLEKSMHRWSPQCALGWLQ AELEEAEQEAEVQMEQLLLGEQSLEAFLPAFQRGRALAHLRRTQAEKLQEVLRRRERSAQ PAPTTAAAAAAAATAMDPPKPFPAAAVLPTGAARGPPPAVPRSLPPLDSRPVPPVKGSPG CPFGPAPLLSPRPSQPEPPHR >ENSMUSP00000075559.2 pep:known chromosome:GRCm38:5:135072903:135078266:-1 gene:ENSMUSG00000043614.13 transcript:ENSMUST00000076203.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps37d description:vacuolar protein sorting 37D (yeast) [Source:MGI Symbol;Acc:MGI:2159402] MYRARAARAGPEPGSPGRFGILSTGQLRDLLQDEPKLDRIVRLSRKVQMEQLLLGEQSLE AFLPAFQRGRALAHLRRTQAEKLQEVLRRRERSAQPAPTTAAAAAAAATAMDPPKPFPAA AVLPTGAARGPPPAVPRSLPPLDSRPVPPVKGSPGCPFGPAPLLSPRPSQPEPPHR >ENSMUSP00000126636.1 pep:known chromosome:GRCm38:14:44556795:44562827:-1 gene:ENSMUSG00000092165.8 transcript:ENSMUST00000165769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5624 description:predicted gene 5624 [Source:MGI Symbol;Acc:MGI:3646247] XVPFLFSIRHVFPAAQAISQGEWQSREARPRQKESGILSCKKGRMKSFWGRHMSAGRTSS QSCNITNHMKNMNKLDDMKFYIRKINAEKEELFRILDIDMNTDLNYRMNTQFSIIKSQHE KTMLDLEKMKQSISDSIDMYKEFIEDNDSYSIRHTHLLKECNQLKEKVRMLLNENRKLLV EQADQEASFGEEKRFCDETSKNIHPKC >ENSMUSP00000136815.1 pep:known chromosome:GRCm38:14:44559843:44562697:-1 gene:ENSMUSG00000092165.8 transcript:ENSMUST00000178184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5624 description:predicted gene 5624 [Source:MGI Symbol;Acc:MGI:3646247] MKSFWGRHMSAGRTSSQSCNITNHMKNMNKLDDMKFYIRKINAEKEELFRILDIDMNTDL NYRMNTQFSIIKSQHEKTMLDLEKMKQSISDSIDMYKEFIEDNDSYSIRHTHLLKECNQL KEKVRMLLNENRKLLVEQADQEASFGEEKRFCDETSKNIHPKC >ENSMUSP00000124493.1 pep:known chromosome:GRCm38:8:3707064:3717553:-1 gene:ENSMUSG00000074491.9 transcript:ENSMUST00000160527.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec4g description:C-type lectin domain family 4, member g [Source:MGI Symbol;Acc:MGI:1923113] XCPPSWLPFQGSCYYFSETQATWDTAQSYCGGQGAHLVIVRGLNEQGFLSQHTRGRGYWL GLRAVRHLNKIQGYRWVDGASLNFRRAWGRWPSSASKRT >ENSMUSP00000059574.6 pep:known chromosome:GRCm38:8:3716064:3720651:-1 gene:ENSMUSG00000074491.9 transcript:ENSMUST00000062037.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4g description:C-type lectin domain family 4, member g [Source:MGI Symbol;Acc:MGI:1923113] MNTGEYNKLGSAIEEVSRGQLGRWECYKQRLFFLVLALLVATVLWALILSTLLSSASSKL RVLLSHQDLLRTNASEQKMTLSSLKDDIGACRNCCSVTKAQLQTTLAEFKDIQAKLMEQE SILKELQERVTQDLAKASRDRENIRSELFQALEAVKRQNSSCEQCPPSWLPFQGSCYYFS ETQATWDTAQSYCGGQGAHLVIVRGLNEQGFLSQHTRGRGYWLGLRAVRHLNKIQGYRWV DGASLNFSHWNSGEPNDSRGHEDCIMMLHSGLWNDAPCTNERDGWICEKRSSCY >ENSMUSP00000136805.1 pep:known chromosome:GRCm38:8:3707064:3717553:-1 gene:ENSMUSG00000074491.9 transcript:ENSMUST00000058040.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4g description:C-type lectin domain family 4, member g [Source:MGI Symbol;Acc:MGI:1923113] MYQPGRHNTLPGNGLEIGQSNRSQETPLHPSFFFPGSTWGSPRGPNRQTGVSPQALGSIF PDQIHPSVPTQPRLLPLRSPSIDISDLQELRESWKLETCAGRWLCACSRWLCACRCRASP LGGSFLIFTQ >ENSMUSP00000144123.1 pep:known chromosome:GRCm38:10:81704825:81721976:1 gene:ENSMUSG00000096795.4 transcript:ENSMUST00000200889.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp433 description:zinc finger protein 433 [Source:MGI Symbol;Acc:MGI:1920860] MDAVTYEDVHVNFSHEEWALLDSSQKSLYRDVMLETYWNLTAIGYKLEDPNVEEYYQSYR RHRRYNICHCGYKPCEHKGYGKNQYIFACNNFLQIYDRIQTEEKPYGCSQCSKAFANFRS LRKHEKNHTREKPYECSQCSKAFVSRSSLQIHERTHTREKLYDCNECGKAFSTRSHLQIH KRTHTGEKPYDCSECGKAFARSSALLIHKRSHTGEKPYGCNECGKAFACRSHLQIHKRTH TGEKPYDCDECGKAFATRRHLQIHERTHTGEKPYECNQCGKAFIGRSHLQIHKRVHTGEK PYECNQCGKAFAYNSDLHRHEIIHTGEKPYGCNQCGKAFANRSSLRNHEEHHTLEKPYEC TLCGKAFAYCSNLYIHERCHTGEKPYVCTQCGKAFARRSHLHIHERCHTGEKPYVCTQCG KAFARRSHLHVHERIHAGEKPYECNQCGKAFLLRSSLLIHERTHTGEKPYVCNQCGKAFA RQSHLQIHERSHTGEKPYECNQCSKAFVCRSNLQMHERTHTGERPYECNQCGKAFSRRSL LQKHERSHSGEKPYACNQCGKAFASRSSLRNHEKHHNIEKPNECNQCAKAFTSFPPSSNI >ENSMUSP00000144100.1 pep:known chromosome:GRCm38:10:81704878:81720268:1 gene:ENSMUSG00000096795.4 transcript:ENSMUST00000201819.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp433 description:zinc finger protein 433 [Source:MGI Symbol;Acc:MGI:1920860] MLETYWNLTAIGYKLEDPNVEEYYQSYRRHRRYNICHCGYKPCEHKGYGKNQYIFACNNF LQIYDRIQTEEKPYGCSQCSKAFANFRSLRKHEKNHTREKPYECSQCSKAFVSRSSLQIH ERTHTREKLYDCNECGKAFSTRSHLQIHKRTHTGEKPYDCSECGKAFAR >ENSMUSP00000082807.5 pep:known chromosome:GRCm38:10:81718919:81721468:1 gene:ENSMUSG00000096795.4 transcript:ENSMUST00000085664.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp433 description:zinc finger protein 433 [Source:MGI Symbol;Acc:MGI:1920860] DAVTYEDVHVNFSHEEWALLDSSQKSLYRDVMLETYWNLTAIGYKLEDPNVEEYYQSYRR HRRYNICHCGYKPCEHKGYGKNQYIFACNNFLQIYDRIQTEEKPYGCSQCSKAFANFRSL RKHEKNHTREKPYECSQCSKAFVSRSSLQIHERTHTREKLYDCNECGKAFSTRSHLQIHK RTHTGEKPYDCSECGKAFARSSALLIHKRSHTGEKPYGCNECGKAFACRSHLQIHKRTHT GEKPYDCDECGKAFATRRHLQIHERTHTGEKPYECNQCGKAFIGRSHLQIHKRVHTGEKP YECNQCGKAFAYNSDLHRHEIIHTGEKPYGCNQCGKAFANRSSLRNHEEHHTLEKPYECT LCGKAFAYCSNLYIHERCHTGEKPYVCTQCGKAFARRSHLHIHERCHTGEKPYVCTQCGK AFARRSHLHVHERIHAGEKPYECNQCGKAFLLRSSLLIHERTHTGEKPYVCNQCGKAFAR QSHLQIHERSHTGEKPYECNQCSKAFVCRSNLQMHERTHTGERPYECNQCGKAFSRRSLL QKHERSHSGEKPYACNQCGKAFASRSSLRNHEKHHNIEKPNECNQCAKAFTSFPPSSNI >ENSMUSP00000074542.3 pep:known chromosome:GRCm38:2:88525585:88526496:1 gene:ENSMUSG00000057735.4 transcript:ENSMUST00000075025.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1186 description:olfactory receptor 1186 [Source:MGI Symbol;Acc:MGI:3031020] MYNHTMTEFILFGLTQDPEQQKAIFGVFLILYLMTLMGNFLIMVTIKMSQTLGSPMYFFL FYLSFADACFSTTTAPRLIADALLQKKIITYNECMTQVFAVHFFGCMEIFVLILMAFDRY VAICKPLRYTAIMSQHICGVLVILAWIGSCIHSSAQIFLALRLPFCGPNVIDHYFCDLQP LLKLACMDTYVINLLVVSNSGAICTVSFIVLLISYIVILYSLRSHSAEGRRKALSTCTSH IIVVILFFGPCIFIYTWPPTTFPIDKMVSLFYTIGTPVLNPLIYTLRNAEVKHAMKKLWG NKV >ENSMUSP00000117232.1 pep:known chromosome:GRCm38:4:122995652:122996739:1 gene:ENSMUSG00000028654.13 transcript:ENSMUST00000144998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycl description:v-myc myelocytomatosis viral oncogene homolog, lung carcinoma derived (avian) [Source:MGI Symbol;Acc:MGI:96799] MDFDSYQHYFYDYDCGEDFYRSTAPSEDIWKKFELVPSPPTSPPWGSGPGAVDPASGINP GEPWPGGGAGDE >ENSMUSP00000101859.2 pep:known chromosome:GRCm38:4:122995944:123002485:1 gene:ENSMUSG00000028654.13 transcript:ENSMUST00000106252.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycl description:v-myc myelocytomatosis viral oncogene homolog, lung carcinoma derived (avian) [Source:MGI Symbol;Acc:MGI:96799] MDFDSYQHYFYDYDCGEDFYRSTAPSEDIWKKFELVPSPPTSPPWGSGPGAVDPASGINP GEPWPGGGAGDEAESRGHSKAWGRNYASIIRRDCMWSGFSARERLERVVSDRLAPGAPRG NPPKAPATPDGTPSLEASNPAPATQCQLGEPKTQACSGSESPSDSEGEEIDVVTVEKRRS LDIRKPVTITVRADPLDPCMKHFHISIHQQQHNYAARFPPESCSQEGDPEPGPQEEAPEI EAPKEKEEEEEEEEEEEIVSPPPVGSEAPQSCHPKPVSSDTEDVTKRKNHNFLERKRRND LRSRFLALRDQVPTLASCSKAPKVVILSKALEYLQALVGAEKKMATEKRQLRCRQQQLQK RIAYLSGY >ENSMUSP00000030407.7 pep:known chromosome:GRCm38:4:122996099:123002485:1 gene:ENSMUSG00000028654.13 transcript:ENSMUST00000030407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycl description:v-myc myelocytomatosis viral oncogene homolog, lung carcinoma derived (avian) [Source:MGI Symbol;Acc:MGI:96799] MDFDSYQHYFYDYDCGEDFYRSTAPSEDIWKKFELVPSPPTSPPWGSGPGAVDPASGINP GEPWPGGGAGDEAESRGHSKAWGRNYASIIRRDCMWSGFSARERLERVVSDRLAPGAPRG NPPKAPATPDGTPSLEASNPAPATQCQLGEPKTQACSGSESPSDSEGEEIDVVTVEKRRS LDIRKPVTITVRADPLDPCMKHFHISIHQQQHNYAARFPPESCSQEGDPEPGPQEEAPEI EAPKEKEEEEEEEEEEEIVSPPPVGSEAPQSCHPKPVSSDTEDVTKRKNHNFLERKRRND LRSRFLALRDQVPTLASCSKAPKVVILSKALEYLQALVGAEKKMATEKRQLRCRQQQLQK RIAYLSGY >ENSMUSP00000055058.5 pep:known chromosome:GRCm38:7:104244459:104262234:1 gene:ENSMUSG00000056144.13 transcript:ENSMUST00000060315.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim34a description:tripartite motif-containing 34A [Source:MGI Symbol;Acc:MGI:2137359] MASTGLTNIQEKTTCPVCQELLTKALSLGCGHRVCQACLITKKNAVINPREKSSCPVCGT RFSLENLQANKHLANVVERLGEVKLKPDIGTKRDLCVHHGEKLLLFCKEDKKAICWVCER SQEHRGHHTFLWEEAVRECQENLQKALTRLRKEQEKVETLEADIKEDRLSWKCQVQTERQ RIQTGFNQLRRILDKEEQRELKRLREEEQMILDSLAGAEAELAQQSQLVEELISDLELRR EWSDTELLQDMSGILKWSQIWTLKKPKAVSKKLSMVFQAPDLSGMLQKFRELTAVRAYWD NFTFNPENLNLNLILSEDHRQVTSVSIWPFKCCNNGILGSKCFSSGKHYWEVDVSEKKAW TLGVYTRKRTLRFDVRQRKGQPNGYHRYKPQNGYWVIGLQHGSKYSIFEDSSNCDPTVLN PFVATPLHRVGVFLDCEEGTVSFLNVTNHGSLIYKFSQCCFSQPAYPYFNPWDCPAPMTL CPLNS >ENSMUSP00000102461.1 pep:known chromosome:GRCm38:7:104244493:104261742:1 gene:ENSMUSG00000056144.13 transcript:ENSMUST00000106848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim34a description:tripartite motif-containing 34A [Source:MGI Symbol;Acc:MGI:2137359] MASTGLTNIQEKTTCPVCQELLTKALSLGCGHRVCQACLITKKNAVINPREKSSCPVCGT RFSLENLQANKHLANVVERLGEVKLKPDIGTKRDLCVHHGEKLLLFCKEDKKAICWVCER SQEHRGHHTFLWEEAVRECQENLQKALTRLRKEQEKVETLEADIKEDRLSWKCQVQTERQ RIQTGFNQLRRILDKEEQRELKRLREEEQMILDSLAGAEAELAQQSQLVEELISDLELRR EWSDTELLQDMSGILKWSQIWTLKKPKAVSKKLSMVFQAPDLSGMLQKFRELTAVRAYWD NFTFNPENLNLNLILSEDHRQVTSVSIWPFKCCNNGILGSKCFSSGKHYWEVDVSEKKAW TLGVYTRKRTLRFDVRQRKGQPNGYHRYKPQNGYWVIGLQHGSKYSIFEDSSNCDPTVLN PFVATPLHRVGVFLDCEEGTVSFLNVTNHGSLIYKFSQCCFSQPAYPYFNPWDCPAPMTL CPLNS >ENSMUSP00000102467.1 pep:known chromosome:GRCm38:7:104244555:104340693:1 gene:ENSMUSG00000056144.13 transcript:ENSMUST00000106854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim34a description:tripartite motif-containing 34A [Source:MGI Symbol;Acc:MGI:2137359] MASTGLTNIQEKTTCPVCQELLTKALSLGCGHRVCQACLITKKNAVINPREKSSCPVCGT RFSLENLQANKHLANVVERLGEVKLKPDIGTKRDLCVHHGEKLLLFCKEDKKAICWVCER SQEHRGHHTFLWEEAVRECQENLQKALTRLRKEQEKVETLEADIKEDRLSWKCQVQTERQ RIQTGFNQLRRILDKEEQRELKRLREEEQMILDSLAGAEAELAQQSQLVEELISDLELRR EWSDTELLQDMSGILKWSQIWTLKKPKAVSKKLSMVFQAPDLSGMLQKFRELSAVRAYWG SYSVYKVGFKYRAIFLPQLPRCSTAG >ENSMUSP00000125728.1 pep:known chromosome:GRCm38:7:104244564:104336781:1 gene:ENSMUSG00000056144.13 transcript:ENSMUST00000143414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim34a description:tripartite motif-containing 34A [Source:MGI Symbol;Acc:MGI:2137359] MASTGLTNIQEKTTCPVCQELLTKALSLGCGHRVCQACLITKKNAVINPREKSSCPVCGT RFSLENLQANKHLANVVERLGEVKLKPDIGTKRDLCVHHGEKLLLFCKEDKKAICWVCER SQEHRGHHTFLWEEAVRECQENLQKALTRLRKEQEKVETLEADIKEDRLSWKCQVQTERQ RIQTGFNQLRRILDKEEQRELKRLREEEQMILDSLAGAEAELAQQSQLVEELISDLELRR EWSDTELLQDMSGILKWSQIWTLKKPKAVSKKLSMVFQAPDLSGMLQKFRELSAVRAYWG KKLQMLKSLCSDHLSQVCGSMWPYHLCLLCYLIHIMNSS >ENSMUSP00000102462.2 pep:known chromosome:GRCm38:7:104244457:104262234:1 gene:ENSMUSG00000056144.13 transcript:ENSMUST00000106849.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim34a description:tripartite motif-containing 34A [Source:MGI Symbol;Acc:MGI:2137359] MASTGLTNIQEKTTCPVCQELLTKALSLGCGHRVCQACLITKKNAVINPREKSSCPVCGT RFSLENLQANKHLANVVERLGEVKLKPDIGTKRDLCVHHGEKLLLFCKEDKKAICWVCER SQEHRGHHTFLWEEAVRECQENLQKALTRLRKEQEKVETLEADIKEDRLSWKCQVQTERQ RIQTGFNQLRRILDKEEQRELKRLREEEQMILDSLAGAEAELAQQSQLVEELISDLELRR EWSDTELLQDMSGILKWSQIWTLKKPKAVSKKLSMVFQAPDLSGMLQKFRELTAVRAYWD NFTFNPENLNLNLILSEDHRQVTSVSIWPFKCCNNGILGSKCFSSGKHYWEVDVSEKKAW TLGVYTRKRTLRFDVRQRKGQPNGYHRYKPQNGYWVIGLQHGSKYSIFEDSSNCDPTVLN PFVATPLHRVGVFLDCEEGTVSFLNVTNHGSLIYKFSQCCFSQPAYPYFNPWDCPAPMTL CPLNS >ENSMUSP00000142892.1 pep:known chromosome:GRCm38:5:70957597:71095849:-1 gene:ENSMUSG00000000560.9 transcript:ENSMUST00000197284.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra2 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 2 [Source:MGI Symbol;Acc:MGI:95614] MKTKLSTCNVWSLLLVLLVWDPVRLVLANIQEDEAKNNITIFTRILDRLLDGYDNRLRPG LGDSITEVFTNIYVTSFGPVSDTDMEYTIDVFFRQKWKDERLKFKGPMNILRLNNLMASK IWTPDTFFHNGKKSVAHNMTMPNKLLRIQDDGTLLYTMRLTVQAECPMHLEDFPMDAHSC PLKFGSYAYTTSEVTYIWTYNASDSVQVAPDGSRLNQYDLLGQSIGKETIKSSTGEYTVM TAHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISA RNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGWAWDGKSVVNDKKKEKGSV MIQNNAYAVAVANYAPNLSKDPVLSTISKSATTPEPNKKPENKPAEAKKTFNSVSKIDRM SRIVFPVLFGTFNLVYWATYLNREPVLGVSP >ENSMUSP00000143645.1 pep:known chromosome:GRCm38:5:70959481:71095809:-1 gene:ENSMUSG00000000560.9 transcript:ENSMUST00000198625.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gabra2 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 2 [Source:MGI Symbol;Acc:MGI:95614] MKTKLSTCNVWSLLLVLLVWDPVRLVLANIQEDEAKNNITIFTRILDRLLDGYDNRLRPG LGDSITEVFTNIYVTSFGPVSDTDMVL >ENSMUSP00000000572.6 pep:known chromosome:GRCm38:5:70961061:71094640:-1 gene:ENSMUSG00000000560.9 transcript:ENSMUST00000000572.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gabra2 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 2 [Source:MGI Symbol;Acc:MGI:95614] MKTKLSTCNVWSLLLVLLVWDPVRLVLANIQEDEAKNNITIFTRILDRLLDGYDNRLRPG LGGVYNRCFLSAKMER >ENSMUSP00000140890.1 pep:known chromosome:GRCm38:7:110627661:110629820:1 gene:ENSMUSG00000030790.14 transcript:ENSMUST00000186620.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adm description:adrenomedullin [Source:MGI Symbol;Acc:MGI:108058] SGFLVTLDRATPALPLPLLVSRLLIAVNLGLWGFATVRRTSFCFKCLTTHPFIRVSEHRH RMKLVSITLMLLGSLAFLGADTAGPDTPSQFRKKWNKWALSRGKRELQASSSYPTGLADE TTVPTQTLDPFLDEQNTTGPLQASNQSEAHIRVKRYRQSMNQGSRSNGCRFGTCTFQKLA HQIYQLTDKDKDGMAPRNKISPQGYGRRRRRSLLEVLRSRTVESSQEQTHTAPGPWAHIS RLFRI >ENSMUSP00000033054.8 pep:known chromosome:GRCm38:7:110627669:110629819:1 gene:ENSMUSG00000030790.14 transcript:ENSMUST00000033054.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adm description:adrenomedullin [Source:MGI Symbol;Acc:MGI:108058] MKLVSITLMLLGSLAFLGADTAGPDTPSQFRKKWNKWALSRGKRELQASSSYPTGLADET TVPTQTLDPFLDEQNTTGPLQASNQSEAHIRVKRYRQSMNQGSRSNGCRFGTCTFQKLAH QIYQLTDKDKDGMAPRNKISPQGYGRRRRRSLLEVLRSRTVESSQEQTHTAPGPWAHISR LFRI >ENSMUSP00000090049.3 pep:known chromosome:GRCm38:11:117484368:117489014:1 gene:ENSMUSG00000069588.3 transcript:ENSMUST00000092394.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11733 description:predicted gene 11733 [Source:MGI Symbol;Acc:MGI:3650737] MTGKDVCPCWKPPGTPELAAHSVIKYLVTPSDKRKPNTDFTLVSSWWEHLGKSAESLPLL HHLREDKTRHGTFKGFSWSPEWTCHIAEDDLELLMPSKCGYYRPAYVVLRIKARASCMLG KYLTN >ENSMUSP00000021050.7 pep:known chromosome:GRCm38:11:80154105:80178958:1 gene:ENSMUSG00000020709.15 transcript:ENSMUST00000021050.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adap2 description:ArfGAP with dual PH domains 2 [Source:MGI Symbol;Acc:MGI:2663075] MGDRERNKKRLLELLQAAGTGNGHCADCGAADPDWASYKLGIFICLHCSGVHRNFPDISK VKSVRLDFWDDSMVEFMTHHGNLNVKAKFEARVPAFYYVPQANDCLVLKEQWIRAKYERQ EFTAIDKAVSHPGNREGFLWKRGRDNAQFLRRRFVLLSREGLLKYYTKEEGKAPKAVISI KDLNATFQTEKIGHPHGLQITYRKEGHTRNLFVYHDSGKEIVDWFNALRAARLQYLKLAF PDLPESELVPLITRNYLKQGFMEKTGPKHREPFKKRWFALDPQERRLLYYKNPLDAFELG QVFLGSNEQGYEVWEDLPKGIRGNRWKAGLTVITPERKFIFTCPTEKEQREWLESLRGVL SSPLSPLHLLTTSAETGCGLG >ENSMUSP00000130731.1 pep:known chromosome:GRCm38:11:80154116:80165776:1 gene:ENSMUSG00000020709.15 transcript:ENSMUST00000134118.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adap2 description:ArfGAP with dual PH domains 2 [Source:MGI Symbol;Acc:MGI:2663075] MGDRERNKKRLLELLQAAGTGNGHCADCGAADPDWASYKLGIFICLHCSGVHRNFPDISK VKSVRLDFWDDSMVEFMTHHGNLNVKAKFEARVPAFYYVPQANDCLVLKEQWIRAKYERQ EFTAIDKAVSHPG >ENSMUSP00000132825.1 pep:known chromosome:GRCm38:14:44583153:44588402:1 gene:ENSMUSG00000091122.8 transcript:ENSMUST00000170094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8247 description:predicted gene 8247 [Source:MGI Symbol;Acc:MGI:3644638] XRSSWVPKQACCPCSSGYFGERIEYTQTPDQGRRRSAAHHGGKGQETTGHGEGSAGEASP QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDMSEALSKCKQLTKENQFYCFRNCHHLIESNLIQHKVRMLRKENRQLLR EQIALEECNIDTKILCNEGSQKIKDHYTKQQQV >ENSMUSP00000136003.1 pep:known chromosome:GRCm38:14:44585478:44587038:1 gene:ENSMUSG00000091122.8 transcript:ENSMUST00000178759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8247 description:predicted gene 8247 [Source:MGI Symbol;Acc:MGI:3644638] LVHGTICSLSMASHRINFETFMLEMQHDQVMTDLKRMPQDMSEALSKCKQLTKENQFYCF RNCHHLIESNLIQHKVRMLRKENRQLLREQIALEECNIDTKILCNEGSQKIKDHYTKQQQ DCST >ENSMUSP00000059720.5 pep:known chromosome:GRCm38:16:62732444:62786777:-1 gene:ENSMUSG00000050312.11 transcript:ENSMUST00000063089.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun3 description:NOL1/NOP2/Sun domain family member 3 [Source:MGI Symbol;Acc:MGI:2146565] MLTRLKAKSEGKLAKQLCRVVLDQFDKQYSKELGDSWSTVRDVLISPSLWQYAILFNRFN YPFELEKALHLRGYHTVLQGALPHYPKSMKCYLSRTPDRMPSERHQTGSLKKYYLLNAAS LLPVLALELRDGEAVLDLCAAPGGKSVALLQCAYPGYLLCNEYDRPRGRWLRQTLESFIP QPLINVIKVSELDGREMGDAQPATFDKVLVDAPCSNDRSWLFSSDSQKAAYRIHQRKNLP VLQVELVRSAIKALRPGGLLVYSTCTLSKAENQDVISEVLTSDSNIVPVDISGIARTFSQ DFTFAPTDQKCSLLVIPEKGKAWGPMYIAKLKKGMSTRKRQGEFCKPC >ENSMUSP00000114448.1 pep:known chromosome:GRCm38:16:62732444:62786777:-1 gene:ENSMUSG00000050312.11 transcript:ENSMUST00000143314.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nsun3 description:NOL1/NOP2/Sun domain family member 3 [Source:MGI Symbol;Acc:MGI:2146565] MLTRLKAKSEGKLAKQLCRVVLDQFDKQYSKELGDSWSTVSTGSGTERRGGSPGLVCCSW RQIRSSAAMCLSRLSSL >ENSMUSP00000123643.1 pep:known chromosome:GRCm38:16:62770692:62786766:-1 gene:ENSMUSG00000050312.11 transcript:ENSMUST00000152553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun3 description:NOL1/NOP2/Sun domain family member 3 [Source:MGI Symbol;Acc:MGI:2146565] MLTRLKAKSEGKLAKQLCRVVLDQFDKQYSKELGDSWSTVSTGSGTERRGGSPGLVCCSW RQIRSSAAMCLSRSLEPLICNSASQGYLLCNEYDRPRGRWLRQTLESFIPQPLINVIKVS ELDGR >ENSMUSP00000133014.1 pep:known chromosome:GRCm38:7:85737784:85754981:-1 gene:ENSMUSG00000051877.7 transcript:ENSMUST00000063425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r72 description:vomeronasal 2, receptor 72 [Source:MGI Symbol;Acc:MGI:3647591] MFSLISVFWFLKISFIFCHLSDPKCFWRIKDTENKIGDIETYCSFSISTKPGYVKNDYFI LEIIFSTSVFRVTPKTNHLIFSVYLALEEINMNFHILPNISLVVNVECLRQKYDEKTGLA LQSKEFIPNYSCTNERRYLIIFTAPIWAVTTRLGPLMFMYSIPELYCGHFHLSLSDNEQF PHLYQISPKDTSLPLAMVSLMVHFKWNWIGAMITNDDHGIQFLSKLRGEMQKHMVCLSFV FAILTEHSMVRKEFHKNFNLIVRSSAKVVIVYGDYASPIDLVLHWFKSKGLFRIWVSVSQ FDIITNLGDFMLYSSSGTFIFSHQKPEISGFQKFIKTVYPSNYSSEFSFAKLWWTYFRCS LPPSNCKTLKNCPTKTVFKWLFRTPLGMAMSDTCYNSYNAIHAVAHSLHEMLLQQVDTWS NNDGKDLEFDTWKMFSILKTLQFVNPAGDLVNMNQNLKQDTEYDIFYIMDFQKHYGFKMK IGRFSGHLPSRQQLYMSKEMMEWATDVDQILPSACNIPCKPGFRKSPEEEMDICCFVCNP CPENEISNMTNMDQCVKCREDQYANEDHTLFLQKVVSILDYREPLGKALAGFALCFSVLT SGIFCVFLKHRETPIVKANNQTLSYVLLISLIFCFICSLLYIGHPTTVICILQQTTFAIA FTVATSTILAKTITVLLAFKITIPGRRMRWLLVSGAPKYIILICTMIQLILCGIWLGTSP PYVDADVHMVHGHIIIVCNKGSVIAFYCVLGYMGSFALTSFTVAFLARNLPDTFNEAKLL TFSMLVFCSVWITFIPVYQSTKGKTMVAVEVFCILASSAGLLLCIFAPKCYIILLRPHIN SFRNFRKPHAKTENIS >ENSMUSP00000068986.2 pep:known chromosome:GRCm38:2:36906948:36907901:1 gene:ENSMUSG00000055088.3 transcript:ENSMUST00000068475.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr354 description:olfactory receptor 354 [Source:MGI Symbol;Acc:MGI:3030188] MGKISRVNQSVASDFLLLGLSEQPGEQPLLFGIFLGMYLVTMVGNLLIIFVISSDAHLHT PMYFFLANLSLTDACFTSASVPKMLANIYTQSQTISYSGCLTQLYFLLMFGGLDNCLLAV MAYDRYVAICQPLHYSTAMSPQLCALMLCTCWVLTNCPALMHTLLLTRVAFCAHTAIPHF YCDPSALLKLACSDTHINELMIITMGLVFLAVPLMLIVFSYVCISWAVLGIPSSGGRWKA FSTCGSHLTVVLLFYGSLMGVYLLPPSTHSTERESRAAVLYMIVIPMLNPFIYSLRNRDM KEAMGKLFGGGKTVFLL >ENSMUSP00000046534.8 pep:known chromosome:GRCm38:16:5233638:5244912:1 gene:ENSMUSG00000039427.14 transcript:ENSMUST00000049207.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg1 description:asparagine-linked glycosylation 1 (beta-1,4-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:2384774] MAASCVALLVLALLLLVLLLGLWKRGRQTGRARHMVVVVLGDVGRSPRMQYHALSLAQSG FSVTLLGFYTGPRILQYGVKVVFQAVYLLWKMMRMDPAAYIFLQNPPGLPAIAVCWFVGC ICGSKLVIDWHNYGYSIMGLVHGPRHPIVLLAKWYEKFFGRLSHLNLCVTNAMREDLAEN WCVRAVTLYDKPASFFKETPLDLQHELFMKLSHTYSPFQSCSDPSHPDTERSAFTERDCQ SGVVRRLHGRPALLVSSTSWTEDEDFSILLRALEKFEQQALTGDSLPSLVCVITGKGPLR EHYRHLISQKHLQHVRFCTPWLEAEDYPLLLGSADLGVCLHMSSSGLDLPMKVVDMFGCH LPVCAVNFKCLHELVRHGENGLVFKDAEELAAQLQMLFSKFPDPAGKLSQFRKKLQESGQ QRWDESWQHTVLPLLAHSQMTPRPHPPCGHPSCRGF >ENSMUSP00000097770.2 pep:known chromosome:GRCm38:16:5233621:5244903:1 gene:ENSMUSG00000039427.14 transcript:ENSMUST00000100196.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg1 description:asparagine-linked glycosylation 1 (beta-1,4-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:2384774] MAASCVALLVLALLLLVLLLGLWKRGRQTGRARHMVVVVLGDVGRSPRMQYHALSLAQSG FSVTLLGFYNSKPRDELLQNDRIRIVKLTDLRGLGAGPRILQYGVKVVFQAVYLLWKMMR MDPAAYIFLQNPPGLPAIAVCWFVGCICGSKLVIDWHNYGYSIMGLVHGPRHPIVLLAKW YEKFFGRLSHLNLCVTNAMREDLAENWCVRAVTLYDKPASFFKETPLDLQHELFMKLSHT YSPFQSCSDPSHPDTERSAFTERDCQSGVVRRLHGRPALLVSSTSWTEDEDFSILLRALE KFEQQALTGDSLPSLVCVITGKGPLREHYRHLISQKHLQHVRFCTPWLEAEDYPLLLGSA DLGVCLHMSSSGLDLPMKVVDMFGCHLPVCAVNFKCLHELVRHGENGLVFKDAEELAAQL QMLFSKFPDPAGKLSQFRKKLQESGQQRWDESWQHTVLPLLAHSQMTPRPHPPCGHPSCR GF >ENSMUSP00000114726.1 pep:known chromosome:GRCm38:4:152019774:152027661:-1 gene:ENSMUSG00000028952.12 transcript:ENSMUST00000155389.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb48 description:zinc finger and BTB domain containing 48 [Source:MGI Symbol;Acc:MGI:2140248] MDGSFVQHSVRVLQELNKQREKGQYCDATLDVGGLVFKAHWSVLACCSHFFQRIYGDGTG GSVVLPAGFAEIFGLLLDFFYTGHLALTSGNRDQVLLAAKELRVPEAVELCQSFQPQTSV GQAQSGLGQPASQDVKSHLKEPTDLDEEEVFRTLSLASVDQEPRDTEQPQLGTPAQSTTA FLCGKLTQALKPSPSEDKESEDCKEPPRPFEAGGAPLQGESNEWEVVVQVEDDRDGDYVS EPETVLTRRKSKVIRKPCAAEPALGAGSLTAEPTDSRKGAAVPVECPTCHKKFLSKYYLK VHNRKHTGEKPFECPKCGKCYFRKENLLEHEARNCMNRSEQVFTCSVCQETFRRRMELRL HMVSHTGEMPYKCSSCSQQFMQKKDLQSHMIKLHGAPKPHAVSASQGWGSGGSGTAQSCP HLDVLPLPVSPTCSAPLVPSASCLGRSYSCTRLLSIVEKSSLCVRNAGTGPRAATDCRCT SRPSTGMKGLMSVSSAAMPSPRRPTSTCTCAHTPARSLSSATSVGRPSAPKFGQAQPHPH GREAFQL >ENSMUSP00000067521.4 pep:known chromosome:GRCm38:4:152019774:152027661:-1 gene:ENSMUSG00000028952.12 transcript:ENSMUST00000066715.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb48 description:zinc finger and BTB domain containing 48 [Source:MGI Symbol;Acc:MGI:2140248] MDGSFVQHSVRVLQELNKQREKGQYCDATLDVGGLVFKAHWSVLACCSHFFQRIYGDGTG GSVVLPAGFAEIFGLLLDFFYTGHLALTSGNRDQVLLAAKELRVPEAVELCQSFQPQTSV GQAQSGLGQPASQDVKSHLKEPTDLDEEEVFRTLSLASVDQEPRDTEQPQLGTPAQSTTA FLCGKLTQALKPSPSEDKESEDCKEPPRPFEAGGAPLQGESNEWEVVVQVEDDRDGDYVS EPETVLTRRKSKVIRKPCAAEPALGAGSLTAEPTDSRKGAAVPVECPTCHKKFLSKYYLK VHNRKHTGEKPFECPKCGKCYFRKENLLEHEARNCMNRSEQVFTCSVCQETFRRRMELRL HMVSHTGEMPYKCSSCSQQFMQKKDLQSHMIKLHGAPKPHACPTCAKCFLSRTELQLHEA FKHRGEKLFVCEECGHRASSRNGLQMHIKAKHRNERPYVCEFCSHAFTQKANLNMHLRTH TGEKPFQCHLCGKTFRTQASLDKHNRTHTGERPFSCEFCEQRFTEKGPLLRHVASRHQEG RPHFCQICGKTFKAVEQLRVHVRRHKGVRKFECTECGYKFTRQAHLRRHMEIHDRVENYN PRQRKLRNLIIEDEKMVVVALQPPADLEVGSAEVIVESLTQGGLASQLPSQRLCSEESFA SPGVLEPSLIITAAVPEDCDT >ENSMUSP00000117079.1 pep:known chromosome:GRCm38:4:152021592:152026444:-1 gene:ENSMUSG00000028952.12 transcript:ENSMUST00000156748.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb48 description:zinc finger and BTB domain containing 48 [Source:MGI Symbol;Acc:MGI:2140248] EPPRPFEAGGAPLQGESNEWEVVVQVEDDRDGDYVSEPETVLTRRKSKVIRKPCAAEPAL GAGSLTAEPTDSRKGAAVPVECPTCHKKFLSKYYLKVHNRKHTGEKPFECPKCGKCYFRK ENLLEHEARNCMNRSEQHPLPQADTYQQRLEAPAEAAVAGRGPP >ENSMUSP00000116423.1 pep:known chromosome:GRCm38:4:152026478:152027637:-1 gene:ENSMUSG00000028952.12 transcript:ENSMUST00000131935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb48 description:zinc finger and BTB domain containing 48 [Source:MGI Symbol;Acc:MGI:2140248] MDGSFVQHSVRVLQELNKQREKGQYCDATLDVGGLVFKAHWSVLACCSHFFQRIYGDGTG GSVVLPAGFAEIFGLLLDFFYTGHLALTSGNRDQVLLAAKELRVPEAVELCQSFQPQTSV GQAQSGLGQPASQDVKSHLKEPTDLDEEEVFRTLSLASVDQEPRDTEQPQLGTPAQSTTA FLCGKLTQALKPS >ENSMUSP00000031788.8 pep:known chromosome:GRCm38:6:52546228:52640389:-1 gene:ENSMUSG00000029776.11 transcript:ENSMUST00000031788.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hibadh description:3-hydroxyisobutyrate dehydrogenase [Source:MGI Symbol;Acc:MGI:1889802] MAASLGFRGAASGLWYWSGRRRPVGSLAAVCSRSMASKTPVGFIGLGNMGNPMAKNLMKH GYPLILYDVFPDVCKEFKEAGEQVASSPAEVAEKADRIITMLPSSMNAVEVYSGANGILK KVKKGSLLIDSSTIDPSVSKELAKEVEKMGAVFMDAPVSGGVGAARSGNLTFMVGGVEDE FAAAQELLECMGSNVVYCGAVGTGQSAKICNNMLLAISMIGTAEAMNLGIRSGLDPKLLA KILNMSSGRCWSSDTYNPVPGVMHGVPSSNNYQGGFGTTLMAKDLGLAQDSATSTKTPIL LGSLAHQIYRMMCSKGYSKKDFSSVFQYLREEEPF >ENSMUSP00000145863.1 pep:known chromosome:GRCm38:14:49928563:49931787:-1 gene:ENSMUSG00000093825.2 transcript:ENSMUST00000206058.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr723 description:olfactory receptor 723 [Source:MGI Symbol;Acc:MGI:3030557] MDYENGSAVTEFILVGFSGNWQLQIFFFVTFTLIYGATVVGNILIIVTVAANSALHSPMY FLLGNLSFLDMCLSTVTTPKMISDLLAAHKSISFQGCMAQMFFMHFFGGAEMTLLIVMAF DRYVAICKPLHYRIIMSHKLLNRFIILSWTIGFIHTMSQMALTVNLPFCGHNIINNIFCD LPLVIKLACIETYTLELFVIADSGLLSFISFFLLLVSYTVILLIVKHKSPGSLSKALSTL SAHIIVVTLFFGPCIFIYAWPFGSFASNTTLAVFYTVITPLLNPIIYTLRNQEMKKAMRK LWIQQVSCT >ENSMUSP00000129254.1 pep:known chromosome:GRCm38:14:49928563:49929568:-1 gene:ENSMUSG00000093825.2 transcript:ENSMUST00000164157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr723 description:olfactory receptor 723 [Source:MGI Symbol;Acc:MGI:3030557] MDYENGSAVTEFILVGFSGNWQLQIFFFVTFTLIYGATVVGNILIIVTVAANSALHSPMY FLLGNLSFLDMCLSTVTTPKMISDLLAAHKSISFQGCMAQMFFMHFFGGAEMTLLIVMAF DRYVAICKPLHYRIIMSHKLLNRFIILSWTIGFIHTMSQMALTVNLPFCGHNIINNIFCD LPLVIKLACIETYTLELFVIADSGLLSFISFFLLLVSYTVILLIVKHKSPGSLSKALSTL SAHIIVVTLFFGPCIFIYAWPFGSFASNTTLAVFYTVITPLLNPIIYTLRNQEMKKAMRK LWIQQVSCT >ENSMUSP00000111204.1 pep:known chromosome:GRCm38:6:7675169:7692871:-1 gene:ENSMUSG00000029752.12 transcript:ENSMUST00000115542.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asns description:asparagine synthetase [Source:MGI Symbol;Acc:MGI:1350929] MCGIWALFGSDDCLSVQCLSAMKIAHRGPDAFRFENVNGYTNCCFGFHRLAVVDPLFGMQ PIRVRKYPYLWLCYNGEIYNHKALQQRFEFEYQTNVDGEIILHLYDKGGIEKTICMLDGV FAFILLDTANKKVFLGRDTYGVRPLFKAMTEDGFLAVCSEAKGLVSLKHSTTPFLKVEPF LPGHYEVLDLKPNGKVASVEMVKYHHCTDEPLHAIYDSVEKLFPGFDLETVKNNLRILFD NAIKKRLMTDRRIGCLLSGGLDSSLVAASLLKQLKEAQVQYPLQTFAIGMEDSPDLLAAR KVANYIGSEHHEVLFNSEEGIQALDEVIFSLETYDITTVRASVGMYLISKYIRKNTDSVV IFSGEGSDELTQGYIYFHKAPSPEKAEEESERLLKELYLFDVLRADRTTAAHGLELRVPF LDHRFSSYYLSLPPDMRIPKNGIEKHLLRETFEDCNLLPKEILWRPKEAFSDGITSVKNS WFKILQDYVEHQVDDEMMSAASQKFPFNTPKTKEGYFYRQIFERHYPGRADWLTHYWMPK WINATDPSARTLTHYKSAAKA >ENSMUSP00000031766.5 pep:known chromosome:GRCm38:6:7675169:7693254:-1 gene:ENSMUSG00000029752.12 transcript:ENSMUST00000031766.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asns description:asparagine synthetase [Source:MGI Symbol;Acc:MGI:1350929] MCGIWALFGSDDCLSVQCLSAMKIAHRGPDAFRFENVNGYTNCCFGFHRLAVVDPLFGMQ PIRVRKYPYLWLCYNGEIYNHKALQQRFEFEYQTNVDGEIILHLYDKGGIEKTICMLDGV FAFILLDTANKKVFLGRDTYGVRPLFKAMTEDGFLAVCSEAKGLVSLKHSTTPFLKVEPF LPGHYEVLDLKPNGKVASVEMVKYHHCTDEPLHAIYDSVEKLFPGFDLETVKNNLRILFD NAIKKRLMTDRRIGCLLSGGLDSSLVAASLLKQLKEAQVQYPLQTFAIGMEDSPDLLAAR KVANYIGSEHHEVLFNSEEGIQALDEVIFSLETYDITTVRASVGMYLISKYIRKNTDSVV IFSGEGSDELTQGYIYFHKAPSPEKAEEESERLLKELYLFDVLRADRTTAAHGLELRVPF LDHRFSSYYLSLPPDMRIPKNGIEKHLLRETFEDCNLLPKEILWRPKEAFSDGITSVKNS WFKILQDYVEHQVDDEMMSAASQKFPFNTPKTKEGYFYRQIFERHYPGRADWLTHYWMPK WINATDPSARTLTHYKSAAKA >ENSMUSP00000115415.1 pep:known chromosome:GRCm38:6:7682273:7693110:-1 gene:ENSMUSG00000029752.12 transcript:ENSMUST00000126303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asns description:asparagine synthetase [Source:MGI Symbol;Acc:MGI:1350929] MCGIWALFGSDDCLSVQCLSAMKIAHRGPDAFRFENVNGYTNCCFGFHRLAVVDPLFGMQ PIRVRKYPYLWLCYNGEIYNHKALQQRFEFEYQTNVDGEIILHLYDKGGIEKTICMLDGV FAFILLDTANKKVFLGRDTYGVRPLFKAMTEDGFLAVCSEAKGLVSLKHSTTPFLKVEPF LPGHYEVLDLKPNGKVASVEMVKYHHCTDEPLHAIYDSVEKLFP >ENSMUSP00000120489.1 pep:known chromosome:GRCm38:6:7685182:7692222:-1 gene:ENSMUSG00000029752.12 transcript:ENSMUST00000139596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asns description:asparagine synthetase [Source:MGI Symbol;Acc:MGI:1350929] MCGIWALFGSDDCLSVQCLSAMKIAHRGPDAFRFENVNGYTNCCFGFHRLAVVDPLFGMQ PIRVRKYPYLWLCYNGEIYNHKALQQRFEFEYQTNVDGEIILHLYDKGGIEKTICMLDGV FAFILLDTANKKVFLGRDTYGVRPLFKAMTEDGFLAVC >ENSMUSP00000118003.1 pep:known chromosome:GRCm38:6:7685274:7692872:-1 gene:ENSMUSG00000029752.12 transcript:ENSMUST00000148349.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asns description:asparagine synthetase [Source:MGI Symbol;Acc:MGI:1350929] MCGIWALFGSDDCLSVQCLSAMKIAHRGPDAFRFENVNGYTNCCFGFHRLAVVDPLFGMQ PIRVRKYPYLWLCYNGEIYNHKALQQRFEFEYQTNVDGEIILHLYDKGGIEKTICMLDGV FAFILLD >ENSMUSP00000050746.7 pep:known chromosome:GRCm38:11:59461197:59472474:-1 gene:ENSMUSG00000054519.8 transcript:ENSMUST00000057799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp867 description:zinc finger protein 867 [Source:MGI Symbol;Acc:MGI:2681848] MRDSRNSEMNSVAFDDVAINFTQEEWALLDPSQKNLYRDVMEEVFRNLTSIEKGWEDQNV EDEDKNPQTNLRHIIANSGYNLYESEESSEKPYKCTQYRSSFISLMSIQRHMITDTGSKP YKCMLCGKAFHFPSEFRIHLRTHTGEKPYECKECGKAFSHSSSFRKHERTHTGERPYVCK QCGKAFNCSGSIRKHERTHTGEKPYSCKQCGKAFNCPSYLQIHERSHTGEKPYECKQCGK TFSCSSSLRKHERTHTGEKPYECKQCGKAFSCSSSFRKHERTHTGEKPYECKQCGKAFFS LTSVRTHMIKHTGNGPFKCNVCEEVFPFSSVFRRHQSSHAGEKQPYGCKQCNKWFSSNTS LQNHRRSHTGEEPYAYKQCGKAFSCPSYIRKHERAHSGEKPYPCKQCGKCFSASSSLQTH ERIHAGEKPYKREQGARAFSCSTSLRRHEQAHSGENAYEYSVGKPLLPVRIDY >ENSMUSP00000046883.7 pep:known chromosome:GRCm38:2:129065942:129096283:1 gene:ENSMUSG00000027394.13 transcript:ENSMUST00000035812.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttl description:tubulin tyrosine ligase [Source:MGI Symbol;Acc:MGI:1916987] MYTFVVRDENSSVYAEVSRLLLATGYWKRLRRDNPRFNLMLGERNRLPFGRLGHEPGLAQ LVNYYRGADKLCRKASLVKLVKTSPELSESCSWFPESYVIYPTNLKTPVAPAQNGIQLPV SNSRTDEREFFLASYNRKKEDGEGNVWIAKSSAGAKGEGILISSEASELLDFIDSQGQVH VIQKYLERPLLLEPGHRKFDIRSWVLVDHQYNIYLYREGVLRTASEPYHVDNFQDKTCHL TNHCIQKEYSKNYGKYEEGNEMFFEEFNQYLTSALNITLESSILLQIKHIIRSCLMSVEP AISTKHLPYQSFQLLGFDFMVDEELKVWLIEVNGAPACAQKLYAELCQGIVDIAISSVFP PPDTEQVPQQPAAFVKL >ENSMUSP00000117506.1 pep:known chromosome:GRCm38:2:129076045:129089781:1 gene:ENSMUSG00000027394.13 transcript:ENSMUST00000144120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttl description:tubulin tyrosine ligase [Source:MGI Symbol;Acc:MGI:1916987] XEGNVWIAKSSAGAKGEGILISSEASELLDFIDSQGQVHVIQKYLERPLLLEPGHRKFDI RSWVLVDHQYNIYLYREGVLRTASEPYHVDNFQDKTCHLTNHCIQKEYSKNYGKYEEGNE MFFEEFNQYLTSALNITLESSILLQIKHIIRSCLMSVEPAISTKHLPYQSFQLLGFDFMV DEELKVWLIEVNGAPACAQ >ENSMUSP00000015664.3 pep:known chromosome:GRCm38:3:95499256:95509362:1 gene:ENSMUSG00000028111.4 transcript:ENSMUST00000015664.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsk description:cathepsin K [Source:MGI Symbol;Acc:MGI:107823] MWVFKFLLLPMVSFALSPEEMLDTQWELWKKTHQKQYNSKVDEISRRLIWEKNLKQISAH NLEASLGVHTYELAMNHLGDMTSEEVVQKMTGLRIPPSRSYSNDTLYTPEWEGRVPDSID YRKKGYVTPVKNQGQCGSCWAFSSAGALEGQLKKKTGKLLALSPQNLVDCVTENYGCGGG YMTTAFQYVQQNGGIDSEDAYPYVGQDESCMYNATAKAAKCRGYREIPVGNEKALKRAVA RVGPISVSIDASLASFQFYSRGVYYDENCDRDNVNHAVLVVGYGTQKGSKHWIIKNSWGE SWGNKGYALLARNKNNACGITNMASFPKM >ENSMUSP00000102450.1 pep:known chromosome:GRCm38:7:104299897:104315455:-1 gene:ENSMUSG00000066258.13 transcript:ENSMUST00000106837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim12a description:tripartite motif-containing 12A [Source:MGI Symbol;Acc:MGI:1923931] MASQFMKNLKEEVTCPVCLNLMVKPVSADCGHTFCQGCITLYFESIKCDKKVFICPVCRI SYQFSNLRPNRNVANIVERLKMFKPSPEEEQKVFNCARHGKKLQLFCRKDMMAICWLCER SQEHRGHKTALIEEVAQEYKNQIQKDVQNVRSEFKRMRDIMDSEEKKELQKLRQEKEDIL NNLAESESEHAQQSKLLEDFISDVEHQLQCSDIEILQGVENIIERSHTFSMKKPKAIARE QRKFRAPDLQGMLQVLQEVTEAHRY >ENSMUSP00000102452.2 pep:known chromosome:GRCm38:7:104299897:104315456:-1 gene:ENSMUSG00000066258.13 transcript:ENSMUST00000106839.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim12a description:tripartite motif-containing 12A [Source:MGI Symbol;Acc:MGI:1923931] MASQFMKNLKEEVTCPVCLNLMVKPVSADCGHTFCQGCITLYFESIKCDKKVFICPVCRI SYQFSNLRPNRNVANIVERLKMFKPSPEEEQKVFNCARHGKKLQLFCRKDMMAICWLCER SQEHRGHKTALIEEVAQEYKEQLQVVLQRLMADKKKFENWKDDLQKDRTYWENQIQKDVQ NVRSEFKRMRDIMDSEEKKELQKLRQEKEDILNNLAESESEHAQQSKLLEDFISDVEHQL QCSDIEILQGVENIIERSHTFSMKKPKAIAREQRKFRAPDLQGMLQVLQEVTEAHRY >ENSMUSP00000065008.6 pep:known chromosome:GRCm38:7:104303591:104315463:-1 gene:ENSMUSG00000066258.13 transcript:ENSMUST00000070943.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim12a description:tripartite motif-containing 12A [Source:MGI Symbol;Acc:MGI:1923931] MASQFMKNLKEEVTCPVCLNLMVKPVSADCGHTFCQGCITLYFESIKCDKKVFICPVCRI SYQFSNLRPNRNVANIVERLKMFKPSPEEEQKVFNCARHGKKLQLFCRKDMMAICWLCER SQEHRGHKTALIEEVAQEYKEQLQVVLQRLMADKKKFENWKDDLQKDRTYWENQIQKDVQ NVRSEFKRMRDIMDSEEKKELQKLRQEKEDILNNLAESESEHAQQSKLLEDFISDVEHQL QCSDIEILQVRLQDVPGSESQKAWKPLPFLSAAVVFLVMTVSSS >ENSMUSP00000088202.2 pep:known chromosome:GRCm38:2:88559471:88560409:1 gene:ENSMUSG00000068809.3 transcript:ENSMUST00000090701.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1188 description:olfactory receptor 1188 [Source:MGI Symbol;Acc:MGI:3031022] MPNSIQLREKRMNVTEFILMGLTQNPQLQRILFFVLLITYIITVTGNLLIVGTIVCSQSL NSPMYFFLTFLSLIDACYSSCTIPKMLVDLLSETKTISFNGCILQLFVEHFLGASEIVLL VVMAYDRYVAICRPLHYASRMNHHMCCLLVGICWIVGFLHSFGQILVTLWIPSCGPNILD HFFCDIFPLLQLACTDTFLLGLLVACNGGVIPVITFTMLLMSYAVILYSLRTHSTAGRKK ALSTCGSHITVVVLFFVPCIFMYMRPVATFPMDKAIAVFYIIITPLLNPVIYTVRNAEVK SAIRMLLKRMHL >ENSMUSP00000077676.6 pep:known chromosome:GRCm38:X:135654698:135693790:-1 gene:ENSMUSG00000058252.6 transcript:ENSMUST00000078605.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp11x2 description:t-complex 11 family, X-linked 2 [Source:MGI Symbol;Acc:MGI:1919091] MPKSEKIISKKDSSPSENGSPKPETSSKNQEDKTSSEVHSAENQLAAISNEDTGKVNEVS KLNVASEIAIEKNDLPEDSPESQFVETMYNAFWDHLRDQLSQTPPDFSCVLELIKNIKQI LMALLLPRQNLLRNEIEEVLDLDLLKQETEHGALDILHLSHYILNLMALMCAPIRDEAVK ELENIKDPVQLLRGIFQVLGLMKMDMVNYTIKNIRPYLQEHSIQYERAKFQELLDKQPDR LDCTTKWMTRAATEIITSLSSLSVTSCISSGVISSFPTPKPDPDPPSLTTVLYQGYLNLV LRDHGNEEFPETLLMDKARLQTMRSKFRHLTILASVLLVARSFSCGVLFSSPEFVDKLKC ITKALTEDFSSKPEESMLSVSEQVSQEIHQGLKDTGLTALSSENRASLVGQLRNIAKKEN CIRIIIEQRMHLFLKCCLIRGMQESLLDFPGCFLFIEPELAELGWKFVNLMHHNQHVFSP YYAEILKNIISTQNDEDEEKPIK >ENSMUSP00000027186.5 pep:known chromosome:GRCm38:1:58900449:58973430:-1 gene:ENSMUSG00000026028.12 transcript:ENSMUST00000027186.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trak2 description:trafficking protein, kinesin binding 2 [Source:MGI Symbol;Acc:MGI:1918077] MSQSQNAIFKSRTGEANLMSSNHRDSESITDVCSNEDLPEVELVNLLEEQLPQYKLRVDS LFLYENQDWAQSSHQQQDAPETLSPVLAEETFRYMILGTDRVEQMTKTYNDIDMVTHLLA ERDRDLELAARIGQALLKRNHVLSEQNEALEEQLGQAFDQVNQLQHELSKKDELLRIVSI ASEESETDSSCSTPLRFNESFSLSQGLLQLDMLHEKLRELEEENMALRSKACHIKTETFT YEEKEQQLVNDCVKELRETNAQMSRMTEELSGKSDELLRYQEEISSLLSQIVDLQHKLKE HVIEKEELRLHLQASKDAQRQLTMELHELQDRNMECLGMLHESQEEIKELRSKSGPSAHL CFSQSYGVFTGESLAAEIEGTMRKKLSLDEESVSKQKAQQKRVFDTVKVANDTRGRSVTF PVLLPIPGSNRSSVIMTAKPFESGVQPAEDKTLLSPGGSTEVPGNSQPTNPPGSPEDSDL ATALHRLSLRRQNYLSEKQFFAEEWERKIQILAEQEEEVSSCDAPTENLASVCTDQSETT DLGSASCLRGFMPEKLQIVKPLEGSQTLHHWQQLAQPNLGTILDPRPGVITKGFTQVPKD VVYHISDLEEDEEEGITFQVQQPLQLEQKPALPTPVTGIFLPPMTSAGGPGTVATSNPGK CLSFTNSTFTFTTCRILHPSDITQVTPSSGFPSLACGSSASSSSNTAVNSPAASYRLSIG ESITNRRDSTITFSSTRSLAKLLQERGISAKVYHSPASENPLLQPCPKALATPSTPPNSP AQSPCSSPLPFEPRVHVSENFLASRPAETFLQEMYGLRPSRAPPDVGQLKMNLVDRLKRL GIARVVKTPDPQENGKSREAEMGLQKPDPAVYLNSGGSLLCGLRRNQSLPVMMGSFGAPV CTTSPKMGILKED >ENSMUSP00000134253.1 pep:known chromosome:GRCm38:1:58903504:58946337:-1 gene:ENSMUSG00000026028.12 transcript:ENSMUST00000174120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trak2 description:trafficking protein, kinesin binding 2 [Source:MGI Symbol;Acc:MGI:1918077] MSQSQNAIFKSRTGEANLMSSNHRDSESITDVCSNEDLPEVELVNLLEEQLPQYKLRVDS LFLYENQDWAQSSHQQQDAPETLSPVLAEETFRYMILGTDRVEQMTKTYNDIDMVTHLLA ERDRDLELAARIGQALLKRNHVLSEQNEALEEQLGQAFDQVNQLQHELSKKDELLRIVSI ASEESETDSSCSTPLRFNESFSLSQGLLQLDMLHEKLRELEEENMALRSKACHIKTETFT YEEKEQQLVNDCVKELRETNAQMSRMTEELSGKSDELLRYQEEISSLLSQIVDLQHKLKE HVIEKEELRLHLQASKDAQRQLTMELHELQDRNMECLGMLHESQEEIKELRSKSGPSAHL CFSQSYGVFTGESLAAEIEGTMRKKLSLDEESVSKQKAQQKRVFDTVKVANDTRGRSVTF PVLLPIPGSNRSSVIMTAKPFESGVQPAEDKTLLSPGGSTEVPGNSQPTNPPGSPEDSDL ATALHRLSLRRQNYLSEKQFFAEEWERKIQILAEQEEEVSSCDAPTENLASVCTDQSETT DLGSASCLRGFMPEKLQIVKPLEGSQTLHHWQQLAQPNLGTILDPRPGVITKGFTQVPKD VVYHISDLEEDEEEGITFQVQQPLQLEQKPALPTPVTGIFLPPMTSAGGPGTAPVSHHWP VAVVRAAHPTRL >ENSMUSP00000134499.1 pep:known chromosome:GRCm38:1:58926663:58973429:-1 gene:ENSMUSG00000026028.12 transcript:ENSMUST00000173590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trak2 description:trafficking protein, kinesin binding 2 [Source:MGI Symbol;Acc:MGI:1918077] MSQSQNAIFKSRTGEANLMSSNHRDSESITVLGTDRVEQMTKTYNDIDMVTH >ENSMUSP00000021201.5 pep:known chromosome:GRCm38:11:76778424:76847018:-1 gene:ENSMUSG00000020841.5 transcript:ENSMUST00000021201.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpd description:carboxypeptidase D [Source:MGI Symbol;Acc:MGI:107265] MASGRDERPPWRLGRLRLLPPPPLLLLLLLLRSSAQAAHIKKAEATTTTVGGSEAAEGQF DHYYHEAALGEALEAAAAAGPPGLARLFSIGSSVEGRPLWVLRLTAGLGPPPTAAAGLDA AGPLLPGRPQVKLVGNMHGDETVSRQVLVYLARELASGYRRGDPRLVRLLNTTDVYLLPS LNPDGFERAREGDCGLGDSGPPGTSGRDNSRGRDLNRSFPDQFSTGEPPSLDEVPEVRAL IDWIRRNKFVLSGNLHGGSVVASYPFDDSPEHKTTGLYSKTSDDEVFRYLAKAYASNHPI MKTGEPHCPGDEDETFKDGITNGAHWYDVEGGMQDYNYVWANCFEITLELSCCKYPPASQ LRQEWENNRESLITLIEKVHIGIKGFVKDSVTGSGLENATISVAGINHNITTGRFGDFHR LLVPGTYNLTALSTGYMPLTINNIMVKEGPATEMDFSLRPTVMSVMPGSTEAVTTPGTVA VPNIPPGTPSSHQPIQPKDFHHHHFPDMEIFLRRFANEYPNITRLYSLGKSVESRELYVM EISDNPGVHEPGEPEFKYIGNMHGNEVVGRELLLNLIEYLCKNFGTDPEVTDLVRSTRIH LMPSMNPDGYEKSQEGDSISVVGRNNSNNFDLNRNFPDQFVPITEPTQPETIAVMSWVKA YPFVLSANLHGGSLVVNYPYDDNEQGVATYSKSPDDAVFQQIALSYSKENSQMFQGRPCK DMYLNEYFPHGITNGASWYNVPGGMQDWNYLQTNCFEVTIELGCVKYPFENELPKYWEQN RRSLIQFMKQVHQGVKGFVLDATDGRGILNATLSVAEINHPVTTYKAGDYWRLLVPGTYK ITASARGYNPVTKNVTVRSEGAVQVNFTLVRSSADANNESKKGRGHSTSTDDTSDPTSKE FEALIKHLSAENGLEGFMLSSSSDLALYRYHSYKDLSEFLRGLVMNYPHITNLTTLGQSV EYRHIWSLEISNKPNISEPEEPKIRFVAGIHGNAPVGTELLLALAEFLCLNYKRNPVVTQ LVDRTRIVIVPSLNPDGRERAQEKDCTSKTGHTNAHGKDLDTDFTSNASQPETKAIIENL IQKQDFSLSIALDGGSVLVTYPYDKPVQTVENKETLKHLASLYANNHPSMHMGQPSCPNN SDENIPGGVMRGAEWHSHLGSMKDYSVTYGHCPEITVYTSCCYFPSAAQLPALWAENKKS LLSMLVEVHKGVHGLVKDKAGKPISKAVIVLNEGIKVYTKEGGYFHVLLAPGVHNINAIA DGYQQQHTQVFVHHDAASSVVIVFDTDNRIFGLPRELVVTVSGATMSALILTACIIWCIC SIKSNRHKDGFHRLRQHHDEYEDEIRMMSTGSKKSLLSHEFQDETDTEEETLYSSKH >ENSMUSP00000137374.1 pep:known chromosome:GRCm38:4:124656807:124660655:1 gene:ENSMUSG00000090125.3 transcript:ENSMUST00000053491.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou3f1 description:POU domain, class 3, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101896] MATTAQYLPRGPGGGAGGTGPLMHPDAAAAAAAAAERLHAGAAYREVQKLMHHEWLGAGA GHPVGLAHPQWLPTGGGGGGDWAGGPHLEHGKAGGGGTGRADDGGGGGGFHARLVHQGAA HAGAAWAQGGTAHHLGPAMSPSPGAGGGHQPQPLGLYAQAAYPGGGGGGLAGMLAAGGGG AGPGLHHALHEDGHEAQLEPSPPPHLGAHGHAHGHAHAGGLHAAAAHLHPGAGGGGSSVG EHSDEDAPSSDDLEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRFEALQLS FKNMCKLKPLLNKWLEETDSSSGSPTNLDKIAAQGRKRKKRTSIEVGVKGALESHFLKCP KPSAHEITGLADSLQLEKEVVRVWFCNRRQKEKRMTPAAGAGHPPMDDVYAPGELGPGGG SASPPSAPPPPPPAALHHHHHHTLPGSVQ >ENSMUSP00000103658.3 pep:known chromosome:GRCm38:7:38097984:38107534:-1 gene:ENSMUSG00000002068.16 transcript:ENSMUST00000108023.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccne1 description:cyclin E1 [Source:MGI Symbol;Acc:MGI:88316] MPRERDSTDHSNMKEEGGSDLSVRSRKRKANVAVFLQDPDEEIAKIDKTVKSEDSSQPWD DNSACVDPCSFIPTPNKEEDNELEYPRTAFQPRKIRPPRASPLPVLNWGNREEVWRIMLN KEKTYLRDEHFLQRHPLLQARMRAVLLDWLMEVCEVYKLHRETFYLAQDFFDRYMASQHN IIKTLLQLIGISALFIASKLEEIYPPKLHQFAYVTDGACSGDEILTMELMMMKALKWRLS PLTIVSWLNVYVQVAYVNDTGEVLMPQYPQQVFVQIAELLDLCVLDVGCLEFPYGVLAAS ALYHFSSLELMQKVSGYQWCDIEKCVKWMVPFAMVIREMGSSKLKHFRGVPMEDSHNIQT HTNSLDLLDKAQAKKAILSEQNRISPPPSVVLTPPPSSKKQSSEQETE >ENSMUSP00000117662.1 pep:known chromosome:GRCm38:7:38100658:38106908:-1 gene:ENSMUSG00000002068.16 transcript:ENSMUST00000130329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccne1 description:cyclin E1 [Source:MGI Symbol;Acc:MGI:88316] MPRERDSTDHSNMKEEGGSDLSVRSRKRKANVAVFLQDPDEEIAKIDKTVKSEDSSQPWD DNSACVDPCSFIPTPNKEEDNELEYPRTAFQPRKIRPPRASPLPVLNWGNREEVWRIMLN KEKTYLRDEHFLQRHPLLQARMRAVLLDWLMEVCEVYKLHRETFYLA >ENSMUSP00000145532.1 pep:known chromosome:GRCm38:7:38103168:38107502:-1 gene:ENSMUSG00000002068.16 transcript:ENSMUST00000124979.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccne1 description:cyclin E1 [Source:MGI Symbol;Acc:MGI:88316] MPRERDSTDHSNMKEEGGSDLSVRSRKRKANVAVVSTKDTGWEGDSPPPLPSYLGTKFGS SYLLVKRIS >ENSMUSP00000099865.1 pep:known chromosome:GRCm38:11:36006656:37235882:-1 gene:ENSMUSG00000049336.16 transcript:ENSMUST00000102801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm2 description:teneurin transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1345184] MDVKDRRHRSLTRGRCGKECRYTSSSLDSEDCRVPTQKSYSSSETLKAYDHDSRMHYGNR VTDLVHRESDEFSRQGTNFTLAELGICEPSPHRSGYCSDMGILHQGYSLSTGSDADSDTE GGMSPEHAIRLWGRGIKSRRSSGLSSRENSALTLTDSDNENKSDDDNGRPIPPTSSSSLL PSAQLPSSHNPPPVSCQMPLLDSNTSHQIMDTNPDEEFSPNSYLLRACSGPQQASSSGPP NHHSQSTLRPPLPPPHNHTLSHHHSSANSLNRNSLTNRRSQIHAPAPAPNDLATTPESVQ LQDSWVLNSNVPLETRHFLFKTSSGSTPLFSSSSPGYPLTSGTVYTPPPRLLPRNTFSRK AFKLKKPSKYCSWKCAALSAIAAALLLAILLAYFIAMHLLGLNWQLQPADGHTFNNGVRT GLPGNDDVATVPSGGKVPWSLKNSSIDSGEAEVGRRVTQEVPPGVFWRSQIHISQPQFLK FNISLGKDALFGVYIRRGLPPSHAQYDFMERLDGKEKWSVVESPRERRSIQTLVQNEAVF VQYLDVGLWHLAFYNDGKDKEMVSFNTVVLDSVQDCPRNCHGNGECVSGLCHCFPGFLGA DCAKAACPVLCSGNGQYSKGTCQCYSGWKGAECDVPMNQCIDPSCGGHGSCIDGNCVCAA GYKGEHCEEVDCLDPTCSSHGVCVNGECLCSPGWGGLNCELARVQCPDQCSGHGTYLPDS GLCSCDPNWMGPDCSVVCSVDCGTHGVCIGGACRCEEGWTGAACDQRVCHPRCIEHGTCK DGKCECREGWNGEHCTIDGCPDLCNGNGRCTLGQNSWQCVCQTGWRGPGCNVAMETSCAD NKDNEGDGLVDCLDPDCCLQSACQNSLLCRGSRDPLDIIQQGQTDWPAVKSFYDRIKLLA GKDSTHIIPGDNPFNSSLVSLIRGQVVTMDGTPLVGVNVSFVKYPKYGYTITRQDGTFDL IANGGSALTLHFERAPFMSQERTVWLPWNSFYAMDTLVMKTEENSIPSCDLSGFVRPDPI IISSPLSTFFSASPASNPIVPETQVLHEEIELPGTNVKLRYLSSRTAGYKSLLKITMTQS TVPLNLIRVHLMVAVEGHLFQKSFQASPNLAYTFIWDKTDAYGQRVYGLSDAVVSVGFEY ETCPSLILWEKRTALLQGFELDPSNLGGWSLDKHHTLNVKSGILHKGTGENQFLTQQPAI ITSIMGNGRRRSISCPSCNGLAEGNKLLAPVALAVGIDGSLFVGDFNYIRRIFPSRNVTS ILELRNKEFKHSNSPGHKYYLAVDPVTGSLYVSDTNSRRIYRVKSLSGAKDLAGNSEVVA GTGEQCLPFDEARCGDGGKAVDATLMSPRGIAVDKNGLMYFVDATMIRKVDQNGIISTLL GSNDLTAVRPLSCDSSMDVAQVRLEWPTDLAVNPMDNSLYVLENNVILRITENHQVSIIA GRPMHCQVPGIDYSLSKLAIHSALESASAIAISHTGVLYITETDEKKINRLRQVTTNGEI CLLAGAASDCDCKNDVNCICYSGDDAYATDAILNSPSSLAVAPDGTIYIADLGNIRIRAV SKNKPVLNAFNQYEAASPGEQELYVFNADGIHQYTVSLVTGEYLYNFTYSADNDVTELID NNGNSLKIRRDSSGMPRHLLMPDNQIITLTVGTNGGLKAVSTQNLELGLMTYDGNTGLLA TKSDETGWTTFYDYDHEGRLTNVTRPTGVVTSLHREMEKSITIDIENSNRDDDVTVITNL SSVEASYTVVQDQVRNSYQLCNNGTLRVMYANGMAVSFHSEPHVLAGTITPTIGRCNISL PMENGLNSIEWRLRKEQIKGKVTIFGRKLRVHGRNLLSIDYDRNIRTEKIYDDHRKFTLR IIYDQVGRPFLWLPSSGLAAVNVSYFFNGRLAGLQRGAMSERTDIDKQGRIVSRMFADGK VWSYSYLDKSMVLLLQSQRQYIFEYDSSDRLHAVTMPSVARHSMSTHTSIGYIRNIYNPP ESNASVIFDYSDDGRILKTSFLGTGRQVFYKYGKLSKLSEIVYDSTAVTFGYDETTGVLK MVNLQSGGFSCTIRYRKVGPLVDKQIYRFSEEGMINARFDYTYHDNSFRIASIKPVISET PLPVDLYRYDEISGKVEHFGKFGVIYYDINQIITTAVMTLSKHFDTHGRIKEVQYEMFRS LMYWMTVQYDSMGRVIKRELKLGPYANTTKYTYDYDGDGQLQSVAVNDRPTWRYSYDLNG NLHLLNPGNSARLMPLRYDLRDRITRLGDVQYKIDDDGYLCQRGSDIFEYNSKGLLTRAY NKASGWSVQYRYDGVGRRASYKTNLGHHLQYFYSDLHNPTRITHVYNHSNSEITSLYYDL QGHLFAMESSSGEEYYVASDNTGTPLAVFSINGLMIKQLQYTAYGEIYYDSNPDFQMVIG FHGGLYDPLTKLVHFTQRDYDVLAGRWTSPDYTMWRNVGKEPAPFNLYMFKNNNPLSNEL DLKNYVTDVKSWLVMFGFQLSNIIPGFPRAKMYFVPPPYELSESQASENGQLITGVQQTT ERHNQAFLALEGQVITKKLHASIREKAGHWFATTTPIIGKGIMFAIKEGRVTTGVSSIAS EDSRKVASVLNNAYYLDKMHYSIEGKDTHYFVKIGAADGDLVTLGTTIGRKVLESGVNVT VSQPTLLVNGRTRRFTNIEFQYSTLLLSIRYGLTPDTLDEEKARVLDQARQRALGTAWAK EQQKARDGREGSRLWTEGEKQQLLSTGRVQGYEGYYVLPVEQYPELADSSSNIQFLRQNE MGKR >ENSMUSP00000052014.5 pep:known chromosome:GRCm38:11:36008035:36944166:-1 gene:ENSMUSG00000049336.16 transcript:ENSMUST00000057207.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm2 description:teneurin transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1345184] MDVKDRRHRSLTRGRCGKECRYTSSSLDSEDCRVPTQKSYSSSETLKAYDHDSRMHYGNR VTDLVHRESDEFSRQGTNFTLAELGICEPSPHRSGYCSDMGILHQGYSLSTGSDADSDTE GGMSPEHAIRLWGRGIKSRRSSGLSSRENSALTLTDSDNENKSDDDNGRPIPPTSSSSLL PSAQLPSSHNPPPVSCQMPLLDSNTSHQIMDTNPDEEFSPNSYLLRACSGPQQASSSGPP NHHSQSTLRPPLPPPHNHTLSHHHSSANSLNRNSLTNRRSQIHAPAPAPNDLATTPESVQ LQDSWVLNSNVPLETRHFLFKTSSGSTPLFSSSSPGYPLTSGTVYTPPPRLLPRNTFSRK AFKLKKPSKYCSWKCAALSAIAAALLLAILLAYFIAMHLLGLNWQLQPADGHTFNNGVRT GLPGNDDVATVPSGGKVPWSLKNSSIDSGEAEVGRRVTQEVPPGVFWRSQIHISQPQFLK FNISLGKDALFGVYIRRGLPPSHAQYDFMERLDGKEKWSVVESPRERRSIQTLVQNEAVF VQYLDVGLWHLAFYNDGKDKEMVSFNTVVLDSVQDCPRNCHGNGECVSGLCHCFPGFLGA DCAKAACPVLCSGNGQYSKGTCQCYSGWKGAECDVPMNQCIDPSCGGHGSCIDGNCVCAA GYKGEHCEEVDCLDPTCSSHGVCVNGECLCSPGWGGLNCELARVQCPDQCSGHGTYLPDS GLCSCDPNWMGPDCSVEVCSVDCGTHGVCIGGACRCEEGWTGAACDQRVCHPRCIEHGTC KDGKCECREGWNGEHCTIDGCPDLCNGNGRCTLGQNSWQCVCQTGWRGPGCNVAMETSCA DNKDNEGDGLVDCLDPDCCLQSACQNSLLCRGSRDPLDIIQQGQTDWPAVKSFYDRIKLL AGKDSTHIIPGDNPFNSSLVSLIRGQVVTMDGTPLVGVNVSFVKYPKYGYTITRQDGTFD LIANGGSALTLHFERAPFMSQERTVWLPWNSFYAMDTLVMKTEENSIPSCDLSGFVRPDP IIISSPLSTFFSASPASNPIVPETQVLHEEIELPGTNVKLRYLSSRTAGYKSLLKITMTQ STVPLNLIRVHLMVAVEGHLFQKSFQASPNLAYTFIWDKTDAYGQRVYGLSDAVVSVGFE YETCPSLILWEKRTALLQGFELDPSNLGGWSLDKHHTLNVKSGILHKGTGENQFLTQQPA IITSIMGNGRRRSISCPSCNGLAEGNKLLAPVALAVGIDGSLFVGDFNYIRRIFPSRNVT SILELRNKEFKHSNSPGHKYYLAVDPVTGSLYVSDTNSRRIYRVKSLSGAKDLAGNSEVV AGTGEQCLPFDEARCGDGGKAVDATLMSPRGIAVDKNGLMYFVDATMIRKVDQNGIISTL LGSNDLTAVRPLSCDSSMDVAQVRLEWPTDLAVNPMDNSLYVLENNVILRITENHQVSII AGRPMHCQVPGIDYSLSKLAIHSALESASAIAISHTGVLYITETDEKKINRLRQVTTNGE ICLLAGAASDCDCKNDVNCICYSGDDAYATDAILNSPSSLAVAPDGTIYIADLGNIRIRA VSKNKPVLNAFNQYEAASPGEQELYVFNADGIHQYTVSLVTGEYLYNFTYSADNDVTELI DNNGNSLKIRRDSSGMPRHLLMPDNQIITLTVGTNGGLKAVSTQNLELGLMTYDGNTGLL ATKSDETGWTTFYDYDHEGRLTNVTRPTGVVTSLHREMEKSITIDIENSNRDDDVTVITN LSSVEASYTVVQDQVRNSYQLCNNGTLRVMYANGMAVSFHSEPHVLAGTITPTIGRCNIS LPMENGLNSIEWRLRKEQIKGKVTIFGRKLRVHGRNLLSIDYDRNIRTEKIYDDHRKFTL RIIYDQVGRPFLWLPSSGLAAVNVSYFFNGRLAGLQRGAMSERTDIDKQGRIVSRMFADG KVWSYSYLDKSMVLLLQSQRQYIFEYDSSDRLHAVTMPSVARHSMSTHTSIGYIRNIYNP PESNASVIFDYSDDGRILKTSFLGTGRQVFYKYGKLSKLSEIVYDSTAVTFGYDETTGVL KMVNLQSGGFSCTIRYRKVGPLVDKQIYRFSEEGMINARFDYTYHDNSFRIASIKPVISE TPLPVDLYRYDEISGKVEHFGKFGVIYYDINQIITTAVMTLSKHFDTHGRIKEVQYEMFR SLMYWMTVQYDSMGRVIKRELKLGPYANTTKYTYDYDGDGQLQSVAVNDRPTWRYSYDLN GNLHLLNPGNSARLMPLRYDLRDRITRLGDVQYKIDDDGYLCQRGSDIFEYNSKGLLTRA YNKASGWSVQYRYDGVGRRASYKTNLGHHLQYFYSDLHNPTRITHVYNHSNSEITSLYYD LQGHLFAMESSSGEEYYVASDNTGTPLAVFSINGLMIKQLQYTAYGEIYYDSNPDFQMVI GFHGGLYDPLTKLVHFTQRDYDVLAGRWTSPDYTMWRNVGKEPAPFNLYMFKNNNPLSNE LDLKNYVTDVKSWLVMFGFQLSNIIPGFPRAKMYFVPPPYELSESQASENGQLITGVQQT TERHNQAFLALEGQVITKKLHASIREKAGHWFATTTPIIGKGIMFAIKEGRVTTGVSSIA SEDSRKVASVLNNAYYLDKMHYSIEGKDTHYFVKIGAADGDLVTLGTTIGRKVLESGVNV TVSQPTLLVNGRTRRFTNIEFQYSTLLLSIRYGLTPDTLDEEKARVLDQARQRALGTAWA KEQQKARDGREGSRLWTEGEKQQLLSTGRVQGYEGYYVLPVEQYPELADSSSNIQFLRQN EMGKR >ENSMUSP00000129951.1 pep:known chromosome:GRCm38:11:36007662:36944166:-1 gene:ENSMUSG00000049336.16 transcript:ENSMUST00000163524.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm2 description:teneurin transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1345184] MDVKDRRHRSLTRGRCGKECRYTSSSLDSEDCRVPTQKSYSSSETLKAYDHDSRMHYGNR VTDLVHRESDEFSRQGTNFTLAELGICEPSPHRSGYCSDMGILHQGYSLSTGSDADSDTE GGMSPEHAIRLWGRGIKSRRSSGLSSRENSALTLTDSDNENKSDDDNGRPIPPTSSSSLL PSAQLPSSHNPPPVSCQMPLLDSNTSHQIMDTNPDEEFSPNSYLLRACSGPQQASSSGPP NHHSQSTLRPPLPPPHNHTLSHHHSSANSLNRNSLTNRRSQIHAPAPAPNDLATTPESVQ LQDSWVLNSNVPLETRHFLFKTSSGSTPLFSSSSPGYPLTSGTVYTPPPRLLPRNTFSRK AFKLKKPSKYCSWKCAALSAIAAALLLAILLAYFIAMHLLGLNWQLQPADGHTFNNGVRT GLPGNDDVATVPSGGKVPWSLKNSSIDSGEAEVGRRVTQEVPPGVFWRSQIHISQPQFLK FNISLGKDALFGVYIRRGLPPSHAQYDFMERLDGKEKWSVVESPRERRSIQTLVQNEAVF VQYLDVGLWHLAFYNDGKDKEMVSFNTVVLDSVQDCPRNCHGNGECVSGLCHCFPGFLGA DCAKAACPVLCSGNGQYSKGTCQCYSGWKGAECDVPMNQCIDPSCGGHGSCIDGNCVCAA GYKGEHCEEVDCLDPTCSSHGVCVNGECLCSPGWGGLNCELARVQCPDQCSGHGTYLPDS GLCSCDPNWMGPDCSVVCSVDCGTHGVCIGGACRCEEGWTGAACDQRVCHPRCIEHGTCK DGKCECREGWNGEHCTIDGCPDLCNGNGRCTLGQNSWQCVCQTGWRGPGCNVAMETSCAD NKDNEGDGLVDCLDPDCCLQSACQNSLLCRGSRDPLDIIQQGQTDWPAVKSFYDRIKLLA GKDSTHIIPGDNPFNSSLVSLIRGQVVTMDGTPLVGVNVSFVKYPKYGYTITRQDGTFDL IANGGSALTLHFERAPFMSQERTVWLPWNSFYAMDTLVMKTEENSIPSCDLSGFVRPDPI IISSPLSTFFSASPASNPIVPETQVLHEEIELPGTNVKLRYLSSRTAGYKSLLKITMTQS TVPLNLIRVHLMVAVEGHLFQKSFQASPNLAYTFIWDKTDAYGQRVYGLSDAVVSVGFEY ETCPSLILWEKRTALLQGFELDPSNLGGWSLDKHHTLNVKSGILHKGTGENQFLTQQPAI ITSIMGNGRRRSISCPSCNGLAEGNKLLAPVALAVGIDGSLFVGDFNYIRRIFPSRNVTS ILELRNKEFKHSNSPGHKYYLAVDPVTGSLYVSDTNSRRIYRVKSLSGAKDLAGNSEVVA GTGEQCLPFDEARCGDGGKAVDATLMSPRGIAVDKNGLMYFVDATMIRKVDQNGIISTLL GSNDLTAVRPLSCDSSMDVAQVRLEWPTDLAVNPMDNSLYVLENNVILRITENHQVSIIA GRPMHCQVPGIDYSLSKLAIHSALESASAIAISHTGVLYITETDEKKINRLRQVTTNGEI CLLAGAASDCDCKNDVNCICYSGDDAYATDAILNSPSSLAVAPDGTIYIADLGNIRIRAV SKNKPVLNAFNQYEAASPGEQELYVFNADGIHQYTVSLVTGEYLYNFTYSADNDVTELID NNGNSLKIRRDSSGMPRHLLMPDNQIITLTVGTNGGLKAVSTQNLELGLMTYDGNTGLLA TKSDETGWTTFYDYDHEGRLTNVTRPTGVVTSLHREMEKSITIDIENSNRDDDVTVITNL SSVEASYTVVQDQVRNSYQLCNNGTLRVMYANGMAVSFHSEPHVLAGTITPTIGRCNISL PMENGLNSIEWRLRKEQIKGKVTIFGRKLRVHGRNLLSIDYDRNIRTEKIYDDHRKFTLR IIYDQVGRPFLWLPSSGLAAVNVSYFFNGRLAGLQRGAMSERTDIDKQGRIVSRMFADGK VWSYSYLDKSMVLLLQSQRQYIFEYDSSDRLHAVTMPSVARHSMSTHTSIGYIRNIYNPP ESNASVIFDYSDDGRILKTSFLGTGRQVFYKYGKLSKLSEIVYDSTAVTFGYDETTGVLK MVNLQSGGFSCTIRYRKVGPLVDKQIYRFSEEGMINARFDYTYHDNSFRIASIKPVISET PLPVDLYRYDEISGKVEHFGKFGVIYYDINQIITTAVMTLSKHFDTHGRIKEVQYEMFRS LMYWMTVQYDSMGRVIKRELKLGPYANTTKYTYDYDGDGQLQSVAVNDRPTWRYSYDLNG NLHLLNPGNSARLMPLRYDLRDRITRLGDVQYKIDDDGYLCQRGSDIFEYNSKGLLTRAY NKASGWSVQYRYDGVGRRASYKTNLGHHLQYFYSDLHNPTRITHVYNHSNSEITSLYYDL QGHLFAMESSSGEEYYVASDNTGTPLAVFSINGLMIKQLQYTAYGEIYYDSNPDFQMVIG FHGGLYDPLTKLVHFTQRDYDVLAGRWTSPDYTMWRNVGKEPAPFNLYMFKNNNPLSNEL DLKNYVTDVKSWLVMFGFQLSNIIPGFPRAKMYFVPPPYELSESQASENGQLITGVQQTT ERHNQAFLALEGQVITKKLHASIREKAGHWFATTTPIIGKGIMFAIKEGRVTTGVSSIAS EDSRKVASVLNNAYYLDKMHYSIEGKDTHYFVKIGAADGDLVTLGTTIGRKVLESGVNVT VSQPTLLVNGRTRRFTNIEFQYSTLLLSIRYGLTPDTLDEEKARVLDQARQRALGTAWAK EQQKARDGREGSRLWTEGEKQQLLSTGRVQGYEGYYVLPVEQYPELADSSSNIQFLRQNE MGKR >ENSMUSP00000077204.3 pep:known chromosome:GRCm38:6:43235578:43236636:1 gene:ENSMUSG00000061210.3 transcript:ENSMUST00000078057.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr47 description:olfactory receptor 47 [Source:MGI Symbol;Acc:MGI:1333821] MEENQTTVTEFILLGFCLGPRIHLVLFLLFSLFYTLTILGNGTILAMICLDSRLHTPMYF FLSHLAIVDMAYACNTVPQTLINLLDETRPITFAGCMTQTYLFLTFAITECLLLVVMSYD RYVAICHPLHYTVIMNWRVCTIMAAVSWIVSFLLSLVHLLLILRLPFCGPHEINHFFCEI LSVLKLACADTTLNQVVIFAACVFTLVGPLCFVLVSYTRILVAILRIQSGERRRKAFSTC SSHLCVVGLFFGSAIVMYMAPKSQHPEEQQKILFLFYSFFNPMLNPLIYSLRNAEVKGAL RRALCKESHSCLVWCSHHKPW >ENSMUSP00000097725.1 pep:known chromosome:GRCm38:2:36927180:36928112:-1 gene:ENSMUSG00000075380.1 transcript:ENSMUST00000100147.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr355 description:olfactory receptor 355 [Source:MGI Symbol;Acc:MGI:3030189] MEGVNQTRFVSEFILLGLSPRPEDQKPLFILFLTIYLVTLTGNLLIILAIRSDPHLHTPM YFFLSFLSLTDICFTTTIVPKMLVNFLSEKKTISYAGCLTQMYFLYALGNSDSCLLAVMA FDRYVAICNPFHYVTIMNHHRCALLVTFSCSFPHFHSLLHTLLLNLLTFCDSNVIHHFLC DLSPLLKLSCSSTFVNEIVIVTEGALVLVTPFLCIAFSYIRILVTVLKIPSAAGKRKAFS TCGSHFTVVTLFYGSIFYVYLQPVSTYTVKDHIATIVYTVLSSMLNPFIYSLRNKDLKQG LRKLISRRHI >ENSMUSP00000144699.1 pep:known chromosome:GRCm38:X:153723590:153741264:1 gene:ENSMUSG00000086316.8 transcript:ENSMUST00000140575.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210013O21Rik description:RIKEN cDNA 2210013O21 gene [Source:MGI Symbol;Acc:MGI:1917373] MGDQPCASGRSTLPPGNTREPKPPKKRCVLAPRWDYPEGTPSGGSSTLPSAPPPASAGLK SHPPPPEK >ENSMUSP00000146758.1 pep:known chromosome:GRCm38:X:153723593:153741262:1 gene:ENSMUSG00000086316.8 transcript:ENSMUST00000208373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210013O21Rik description:RIKEN cDNA 2210013O21 gene [Source:MGI Symbol;Acc:MGI:1917373] MGDQPCASGRSTLPPGNTREPKPPKKRCVLAPRWDYPEGTPSGGSSTLPSAPPPASAGLK SHPPPPEK >ENSMUSP00000144860.1 pep:known chromosome:GRCm38:X:153723610:153741920:1 gene:ENSMUSG00000086316.8 transcript:ENSMUST00000185492.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210013O21Rik description:RIKEN cDNA 2210013O21 gene [Source:MGI Symbol;Acc:MGI:1917373] MGDQPCASGRSTLPPGNTREPKPPKKRCVLAPRWDYPEGTPSGGSSTLPSAPPPASAGLK SHPPPPEK >ENSMUSP00000145010.1 pep:known chromosome:GRCm38:X:153723627:153729188:1 gene:ENSMUSG00000086316.8 transcript:ENSMUST00000149514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210013O21Rik description:RIKEN cDNA 2210013O21 gene [Source:MGI Symbol;Acc:MGI:1917373] MGDQPCASGRSTLPPGNTREPKPPKKRCVLAPRWDYPEGTPSGGSSTLPSAPPPASAGLK SHPPPPEK >ENSMUSP00000147221.1 pep:known chromosome:GRCm38:X:153725833:153741084:1 gene:ENSMUSG00000086316.8 transcript:ENSMUST00000208235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210013O21Rik description:RIKEN cDNA 2210013O21 gene [Source:MGI Symbol;Acc:MGI:1917373] MLKAHLIFSTPLTISLFDCATWRPYLQTEYYDVMTVISPPEFG >ENSMUSP00000033756.2 pep:known chromosome:GRCm38:X:164506327:164539752:1 gene:ENSMUSG00000031384.2 transcript:ENSMUST00000033756.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb9 description:ankyrin repeat and SOCS box-containing 9 [Source:MGI Symbol;Acc:MGI:1916549] MDGEQRGRSDRPGGSPHLPFLSNPLMGDVVSDWSPLHDAAIHGCLLTLRNLISQGWPVNI ITADHVSPLHEACLRGHLSCASVLLSHGAQVNGMTIDWRTPLFNACVSGSQDCVNLLLQH GATPHPETELASPIHEAAKRGYVKCIESLAAHGANIDYNISHLGTPLYVACKNQQVACAK KLLESGVSVNQGKGLDSPLHVVARMSSVELVHLLMDFGANAQAKNADGKRPVDLVPLESP LIQIFLQNEGPQSLRQLCRLRIRKCFGIRQHHKISELLLPEDLKRFLLHL >ENSMUSP00000145163.1 pep:known chromosome:GRCm38:6:124931425:124934379:1 gene:ENSMUSG00000030120.14 transcript:ENSMUST00000203021.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlf2 description:myeloid leukemia factor 2 [Source:MGI Symbol;Acc:MGI:1353554] MSRMLSGGFGYSPFLSITDGNMPATRPASRRMQAGAVSPFGMLGMSGGFMDMFGMMNDMI GNMEHMAAGGNCQTFSSSTVISYSNTGDGAPKV >ENSMUSP00000135920.1 pep:known chromosome:GRCm38:6:124931432:124936145:1 gene:ENSMUSG00000030120.14 transcript:ENSMUST00000180095.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlf2 description:myeloid leukemia factor 2 [Source:MGI Symbol;Acc:MGI:1353554] MFRFMRDVEPEDPMFLMDPFAIHRQHMSRMLSGGFGYSPFLSITDGNMPATRPASRRMQA GAVSPFGMLGMSGGFMDMFGMMNDMIGNMEHMAAGGNCQTFSSSTVISYSNTGDGAPKVY QETSEMRSAPGGIRETRRTVRDSDSGLEQMSIGHHIRDRAHILQRSRNHRTGDQEERQDY INLDESEAAAFDDEWRRETSRYRQQRPLEFRRHEASVGGGRRAEGPPRLAIQGPEDSPSR QSRRYDW >ENSMUSP00000032214.7 pep:known chromosome:GRCm38:6:124931476:124936144:1 gene:ENSMUSG00000030120.14 transcript:ENSMUST00000032214.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlf2 description:myeloid leukemia factor 2 [Source:MGI Symbol;Acc:MGI:1353554] MFRFMRDVEPEDPMFLMDPFAIHRQHMSRMLSGGFGYSPFLSITDGNMPATRPASRRMQA GAVSPFGMLGMSGGFMDMFGMMNDMIGNMEHMAAGGNCQTFSSSTVISYSNTGDGAPKVY QETSEMRSAPGGIRETRRTVRDSDSGLEQMSIGHHIRDRAHILQRSRNHRTGDQEERQDY INLDESEAAAFDDEWRRETSRYRQQRPLEFRRHEASVGGGRRAEGPPRLAIQGPEDSPSR QSRRYDW >ENSMUSP00000145283.1 pep:known chromosome:GRCm38:6:124931910:124934371:1 gene:ENSMUSG00000030120.14 transcript:ENSMUST00000203133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlf2 description:myeloid leukemia factor 2 [Source:MGI Symbol;Acc:MGI:1353554] MFRFMRDVEPEDPMFLMDPFAIHRQHMSRMLSGGFGYSPFLSITDGNMPATRPASRRMQA GAVSPFGMLGMSGGFMDMFGMMNDMIGNMEHMAAGGNCQTFSSSTVISYSNTGDGA >ENSMUSP00000123572.1 pep:known chromosome:GRCm38:16:5247375:5255956:-1 gene:ENSMUSG00000022544.13 transcript:ENSMUST00000139584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef2kmt description:eukaryotic elongation factor 2 lysine methyltransferase [Source:MGI Symbol;Acc:MGI:1917761] MAPEDHEGATSLLQSFERRFLAARALPSFPWQSLEEKLKDPSGSELLLAILQRTVKHPVC VQHGPSVKYARCFLSKLIKKHEAVPTEPLDALYEALAEVLMTQESTQCHRSYLLPSGNSV TLSESTAIVSHGTTGLVTWDAALYLAEWAIENPAAFTDRTILELGSGAGLTGLAICKACC PRAYIFSDCHAQVLEQLRGNVLLNGFSLEPHTPIDAGSSKVTVAQLDWDEVTASQLSAFQ ADVVIAADVLYCWEMTLSLVRVLKMLEDCQRKSAPDVYVAYTIRSQDTGKLFIEELGQFP TCGPPFCHEEGLKTGLTLPLLL >ENSMUSP00000068003.5 pep:known chromosome:GRCm38:16:5244152:5255983:-1 gene:ENSMUSG00000022544.13 transcript:ENSMUST00000064635.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef2kmt description:eukaryotic elongation factor 2 lysine methyltransferase [Source:MGI Symbol;Acc:MGI:1917761] MAPEDHEGATSLLQSFERRFLAARALPSFPWQSLEEKLKDPSGSELLLAILQRTVKHPVC VQHGPSVKYARCFLSKLIKKHEAVPTEPLDALYEALAEVLMTQESTQCHRSYLLPSGNSV TLSESTAIVSHGTTGLVTWDAALYLAEWAIENPAAFTDRTILELGSGAGLTGLAICKACC PRAYIFSDCHAQVLEQLRGNVLLNGFSLEPHTPIDAGSSKVTVAQLDWDEVTASQLSAFQ ADVVIAADVLYCWEMTLSLVRVLKMLEDCQRKSAPDVYVAYTIRSQDTGKLFIEELDRAG IYWEEVPPHTGKLFPYEEHSAIVILKLVLTSRHGV >ENSMUSP00000074546.2 pep:known chromosome:GRCm38:14:49960091:49961096:-1 gene:ENSMUSG00000096254.1 transcript:ENSMUST00000075030.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr724 description:olfactory receptor 724 [Source:MGI Symbol;Acc:MGI:3030558] MDYKNGSAVTEFILVGFSGNWQLQIFFFVTFTLIYGATVVGNILIIVTVAANSALHSPMY FLLGNLSFLDMCLSTVTTPKMISDLLAAHKSISFQGCMVQMFFSHFLGGAEMTLLIVMAF DRYVAICKPLHYRIIMSHRLLNRFIILSWTIGFIHTMSQMALTVNLPFCGHNIINNIFCD LPLVIKLACIETYTLELFVIADSGLLSFISFFLLLVSYTVILLIVKHKSPGSLSKALSTL SAHIIVVTLFFGPCIFIYAWPFGSFASNTTLAVFYTVITPLLNPIIYTLRNQEMKKAMRK LWNQQVSCR >ENSMUSP00000092631.1 pep:known chromosome:GRCm38:2:36937121:36938068:1 gene:ENSMUSG00000070943.1 transcript:ENSMUST00000095021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr356 description:olfactory receptor 356 [Source:MGI Symbol;Acc:MGI:3030190] MMTRNHSSVSEFLLLGLSEHWEQEPLLFGIFLVIYLVTVVGNTLIILAIVSDPHLHSPMY FFLANLSLTDMCLSSTTVPRMLVNIQTQRHSIPYAGCLSQIYFFLWFIGLDVFLLAVMAY DRLVAICYPLHYTLVMSPRCCILLVTTSLFLAHSYALTHIILLSQLSFCMDNIILHFFCE LLPMLKLSCSNTYANQCVLLYWGGALTVLIPLLIIVSYVRIVATIVRVPSASGKWKTFST CGSHLSAVCLFYVSAIGVYFIPYAADSASRDRIASVMYAVVTPMLNPFIYSLRNKDMTSA LRRFLNKILLQPPQS >ENSMUSP00000102377.2 pep:known chromosome:GRCm38:7:105895139:105953940:-1 gene:ENSMUSG00000078606.8 transcript:ENSMUST00000106766.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4070 description:predicted gene 4070 [Source:MGI Symbol;Acc:MGI:3782245] MATAKCFTDEPQLQSRRKHNLQEMLTEVGLSVDYWLPKLQEDLGVTSAQALQYLDRNDLQ KLKSQTTHTWEKRALEKLLDFSQPNSVAELQETPREMKKNRQRQAGQALQALKALQSEGK HREEEAVRRKEAELRQAMEIPEECWPTAEVSLKDITEIMERHLSHMERTLAHSPNLSDGD LVRWASGGLALQGIYKTNHPRSLIQKREELLSVPKQFSLVGPEHGTEIKTMEFSSFHEQA MFTETIKMMGFSSTSLVKGEGWGFSLEAGIDQNEQTASENTHQSHSEQTYFCSARFSYIP LATCHFHINDLELSNAALQELKTIEELLEQTTDHRDGLPLLRHRAENFFHRFGSHANQGP LQLGGIYCWKAISEGFKSEHLADVKQQTRESLNIYIMGSYSGFGVKVGASVNIANSNSET ASFSTTHLHSQTKVQLSVAQIGGPAEADGIAQWTAGLVVSNQTWSVIDRELQLVPIWDII LSSHRTDFKNALQVANCLKDNYTALTELDAQIQEGEEFLTARKEAKLFIEDVKCWEVSDP EEQLTKLLDFMQTLSQKIKSYNIWINTCLTDWDLQNFLINTVKFCKTSPTYKTQFIKSQL CILLEPHVYKVTNFPEAHSIIQWINQSEYGEEQVKITSFSEFIKTLKKTHKYLMEVNFKN EAPETVEEAERTATYEVTTALSSFLKYLKETEQPDMQLLLLSIAAGAGYQLVNSIFQHLL GCDELNFLLDQMQSNQHKYQELKNICNYRAQAFLVLTALRTTVEITDISTEEKRQRLALI KQHMGTLLSEEVAHVLTKHGEHHDWESLENDLRLLIEGDYKATTHYLQMDEVKKQLQSLC HGKKQTYKQKSNENITKGMIENGPFLKLLQRLGLDNYYPKRMSRADFHLIYKTSVYNSQP RSEKELPFYFLQKLLMLDYGFRHLIVKDDENIKKQISIGSSNHENEDIDPYDDVIIDNDS PGYPSATESWPHIHPLDIQMTILHCADDLTRQYIFSKLSICHYALPLVVPNPNTSQIEFY LWSLRQIRKSWQDASKSPQDKSYSHRNQQMCRVSTPIVSFIRVGNDLSASKSQIMNSLLS KRKHDVFFHRHCKGSNKHCLLMQGVVEICWFCPAGQGEDTFENCLTFTSLHGDAKEHTQQ LSFLQHVSSIIVVLMSVSDNNKENQKLVRHLWQSSTPLICLIDDKEKAIANTSGKRMRIG IKNRNEAELTEELTNAIKHFLELSNTVLSLEDCSQTARELGFIIDEDQRDCKEAKEKAQT VMALLEEYKLSQTKENLLPLQGQLWHLWCKKDKEFYHLREKGNRSIEQHKSEIETHKRKI RRQQLEKAFPLNDLMRSVLELLQDYSETHNKLYVLQWLTLFFDNLTIDHLDKLHERQRSL WLRIQTEKQRAQKSNSVQNQIEAISTEIHNCTLGIEHLLREVGQIYEALEETSSSRDSLF LCLPQIAADLMIAGVPIELMDGDASYVPLKWVAAIFDKITEKVGDKRLFVLSVLGLQSSG KSTLLNALFGLQFTVSAGRCTKGAYMQLLKVEETFTEELGFNYVLVIDTEGLRAPELNNK SQNWDHELATLVIGLGNLTLINIFGENPSDIQDILQISVQAFLRMKQVKISPSCLFVHQN VGEVTAKDQTMEGRKRLEQKLDEMTALAAELEECSNITRFSDVIKFDANRHVYYFAHLWD GNPPMAPPNPRYSYNVQELRNEILSTAQQESRGRILKISDFKFRVQDLWKALVSENFIFS FRNTQEVIAMSKLETKYNEWTWELRSHVLDLQNQLDNQIQNGKILTLTSNLLEEPLSRKL KTIKEEFDKYFEEDPDCEILVQWKANFEHKLLILKDSLISDTRQKCNEHISLKNSQEILD NQKSQYENQLLERSRKLALNLKGKELSDEELHEKFRQLWTSWIYDVSSNVPHVTEPNIDL DSENILLEYFKKDKNIVERLKIKSQGKFEIMYDKHIQMKKKYLLLRKSLETCHVESIKKT TNNIQLKFTETLTNIWKQKRDYSQNYFHEILRIIENELKSEPCEGDYTFTKDYIIDLSLY LFQRASKDFKKMHAAFKTANDPVNYLERKKDDFFMSFKISCQGATSITSFVDFLWLKLTP AISVSIWKIMVQKIAGDMRATCPEFNGNRANLEIHILYSLAEEEKFDKYWKYIQKPEEFF RDYIRDHIKRYCSEKESEKIKTFLNISLGDIKNTILSAIHNSTKVAKAKGSTASHWLDLF CDHLGSNLVFPRKDLVSIEHQELMDTEFLKEAMSKALDPAMREVEEDCSSKHIDEIVPDI EKILSEHLCGCWKQCPFCKAICTNTIPQHEGDHSVPFHRPQAVSGWHWHKTDQFHINVCT SSVASNISFILDGFREFPFKKYREAGGDYATWSITPDSSTQPYWKWFVCHFRSNLEENYG KKFTGKGSLPDLWTKITKQEVLNDLKK >ENSMUSP00000135898.1 pep:known chromosome:GRCm38:7:105895139:105953958:-1 gene:ENSMUSG00000078606.8 transcript:ENSMUST00000176467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4070 description:predicted gene 4070 [Source:MGI Symbol;Acc:MGI:3782245] MATAKCFTDEPQLQSRRKHNLQEMLTEVGLSVDYWLPKLQEDLGVTSAQALQYLDRNDLQ KLKSQTTHTWEKRALEKLLDFSQPNSVAELQETPREMKKNRQRQAGQALQALKALQSEGK HREEEAVRRKEAELRQAMEIPEECWPTAEVSLKDITEIMERHLSHMERTLAHSPNLSDGD LVRWASGGLALQGIYKTNHPRSLIQKREELLSVPKQFSLVGPEHGTEIKTMEFSSFHEQA MFTETIKMMGFSSTSLVKGEGWGFSLEAGIDQNEQTASENTHQSHSEQTYFCSARFSYIP LATCHFHINDLELSNAALQELKTIEELLEQTTDHRDGLPLLRHRAENFFHRFGSHANQGP LQLGGIYCWKAISEGFKSEHLADVKQQTRESLNIYIMGSYSGFGVKVGASVNIANSNSET ASFSTTHLHSQTKVQLSVAQIGGPAEADGIAQWTAGLVVSNQTWSVIDRELQLVPIWDII LSSHRTDFKNALQVANCLKDNYTALTELDAQIQEGEEFLTARKEAKLFIEDVKCWEVSDP EEQLTKLLDFMQTLSQKIKSYNIWINTCLTDWDLQNFLINTVKFCKTSPTYKTQFIKSQL CILLEPHVYKVTNFPEAHSIIQWINQSEYGEEQVKITSFSEFIKTLKKTHKYLMEVNFKN EAPETVEEAERTATYEVTTALSSFLKYLKETEQPDMQLLLLSIAAGAGYQLVNSIFQHLL GCDELNFLLDQMQSNQHKYQELKNICNYRAQAFLVLTALRTTVEITDISTEEKRQRLALI KQHMGTLLSEEVAHVLTKHGEHHDWESLENDLRLLIEGDYKATTHYLQMDEVKKQLQSLC HGKKQTYKQKSNENITKGMIENGPFLKLLQRLGLDNYYPKRMSRADFHLIYKTSVYNSQP RSEKELPFYFLQKLLMLDYGFRHLIVKDDENIKKQISIGSSNHENEDIDPYDDVIIDNDS PGYPSATESWPHIHPLDIQMTILHCADDLTRQYIFSKLSICHYALPLVVPNPNTSQIEFY LWSLRQIRKSWQDASKSPQDKSYSHRNQQMCRVSTPIVSFIRVGNDLSASKSQIMNSLLS KRKHDVFFHRHCKGSNKHCLLMQGVVEICWFCPAGQGEDTFENCLTFTSLHGDAKEHTQQ LSFLQHVSSIIVVLMSVSDNNKENQKLVRHLWQSSTPLICLIDDKEKAIANTSGKRMRIG IKNRNEAELTEELTNAIKHFLELSNTVLSLEDCSQTARELGFIIDEDQRDCKEAKEKAQT VMALLEEYKLSQTKENLLPLQGQLWHLWCKKDKEFYHLREKGNRSIEQHKSEIETHKRKI RRQQLEKAFPLNDLMRSVLELLQDYSETHNKLYVLQWLTLFFDNLTIDHLDKLHERQRSL WLRIQTEKQRAQKSNSVQNQIEAISTEIHNCTLGIEHLLREVGQIYEALEETSSSRDSLF LCLPQIAADLMIAGVPIELMDGDASYVPLKWVAAIFDKITEKVGDKRLFVLSVLGLQSSG KSTLLNALFGLQFTVSAGRCTKGAYMQLLKVEETFTEELGFNYVLVIDTEGLRAPELNNK SQNWDHELATLVIGLGNLTLINIFGENPSDIQDILQISVQAFLRMKQVKISPSCLFVHQN VGEVTAKDQTMEGRKRLEQKLDEMTALAAELEECSNITRFSDVIKFDANRHVYYFAHLWD GNPPMAPPNPRYSYNVQELRNEILSTAQQESRGRILKISDFKFRVQDLWKALVSENFIFS FRNTQEVIAMSKLETKYNEWTWELRSHVLDLQNQLDNQIQNGKILTLTSNLLEEPLSRKL KTIKEEFDKYFEEDPDCEILVQWKANFEHKLLILKDSLISDTRQKCNEHISLKNSQEILD NQKSQYENQLLERSRKLALNLKGKELSDEELHEKFRQLWTSWIYDVSSNVPHVTEPNIDL DSENILLEYFKKDKNIVERLKIKSQGKFEIMYDKHIQMKKKYLLLRKSLETCHVESIKKT TNNIQLKFTETLTNIWKQKRDYSQNYFHEILRIIENELKSEPCEGDYTFTKDYIIDLSLY LFQRASKDFKKMHAAFKTANDPVNYLERKKDDFFMSFKISCQGATSITSFVDFLWLKLTP AISVSIWKIMVQKIAGDMRATCPEFNGNRANLEIHILYSLAEEEKFDKYWKYIQKPEEFF RDYIRDHIKRYCSEKESEKIKTFLNISLGDIKNTILSAIHNSTKVAKAKGSTASHWLDLF CDHLGSNLVFPRKDLVSIEHQELMDTEFLKEAMSKALDPAMREVEEDCSSKHIDEIVPDI EKILSEHLCGCWKQCPFCKAICTNTIPQHEGDHSVPFHRPQAVSGWHWHKTDQFHINVCT SSVASNISFILDGFREFPFKKYREAGGDYATWSITPDSSTQPYWKWFVCHFRSNLEENYG KKFTGKGSLPDLWTKITKQEVLNDLKK >ENSMUSP00000135667.1 pep:known chromosome:GRCm38:7:105903519:105953967:-1 gene:ENSMUSG00000078606.8 transcript:ENSMUST00000176994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4070 description:predicted gene 4070 [Source:MGI Symbol;Acc:MGI:3782245] MATAKCFTDEPQLQSRRKHNLQEMLTEVGLSVDYWLPKLQEDLGVTSAQALQYLDRNDLQ KLKSQTTHTWEKRALEKLLDFSQPNSVAELQETPREMKKNRQRQAGQA >ENSMUSP00000026273.8 pep:known chromosome:GRCm38:9:123593823:123632565:-1 gene:ENSMUSG00000025243.14 transcript:ENSMUST00000026273.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a20b description:solute carrier family 6 (neurotransmitter transporter), member 20B [Source:MGI Symbol;Acc:MGI:1336891] MESPSAHAVSLPEDEELQPWGGAGGPGQHPGRPRSTECAHPGVVEKVRPKWDNPLQFLLV CISYAVGLGNVWRFPYLCQMYGGGNFLVPYIIMLIVEGMPLLYLELAVGQRMRQGSIGAW RTISPYLSGVGIASLVVSFLASVYFNVINTWALWYLFHSFQDPLPWSVCPLNSNHTGYDE ECEKASSTQYFWYRKTLNISPSIQENGGVQWEPALCLTLAWLMVYLCILRGTESTGKVVY FTTSLPYFVLIIYLVRGLTLHGATNGLAYMFTPKIEQLANPKAWINAATQIFFSLGLGCG GLIAFASYNEPSNDCQKHALIVSVINSTTAIFSSIVTFSIYGFKATFNYENCLNKVILLL TNSFDLEDGFLTVSNLEEVKNYLASTYPNKYSEVFPHIRNCSLESELDTAVQGTGLAFIV YTEAIKNMEVSQLWSVLYFFMLLTLGMGSMVGTGTAILTPLTDSKIISSYLPKEAISGLV CLLNCAIGMVFTMEAGNYWFDLFNDYTATLSLLLIVLVETIAVCYVYGLKRFESDLRAMT GRTLSWYWKVMWAFVSPLLIVGLFIFYLSDYILTGTLQYQAWDATQGHVVTKDYPTYALA VIGLLVASSTMCIPLVALGTFVTRHFKIREQFSAA >ENSMUSP00000129307.1 pep:known chromosome:GRCm38:9:123594829:123632327:-1 gene:ENSMUSG00000025243.14 transcript:ENSMUST00000168824.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a20b description:solute carrier family 6 (neurotransmitter transporter), member 20B [Source:MGI Symbol;Acc:MGI:1336891] XPEDEELQPWGGAGGPGQHPGRPRSTECAHPGVVEKVRPKWDNPLQFLLVCISYAVGLGN VWRFPYLCQMYGGD >ENSMUSP00000127422.1 pep:known chromosome:GRCm38:9:123607589:123632283:-1 gene:ENSMUSG00000025243.14 transcript:ENSMUST00000163397.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a20b description:solute carrier family 6 (neurotransmitter transporter), member 20B [Source:MGI Symbol;Acc:MGI:1336891] XGQHPGRPRSTECAHPGVVEKVRPKWDNPLQFLLVCISYAVGLGNVWRFPYLCQMYGGD >ENSMUSP00000024047.5 pep:known chromosome:GRCm38:9:106203108:106215389:1 gene:ENSMUSG00000023277.11 transcript:ENSMUST00000024047.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Twf2 description:twinfilin, actin-binding protein, homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1346078] MAHQTGIHATEELKEFFAKARAGSIRLIKVIIEDEQLVLGASQEPVGRWDQDYDRAVLPL LDAQEPCYLLFRLDSQNAQGFEWLFLAWSPDNSPVRLKMLYAATRATVKKEFGGGHIKDE LFGTVKDDLSLAGYQKHLSSCAAPAPLTSAERELQQIRINEVKTEISVESKHQTLQGLAF PLQPEAQRALQQLKQKTVNYIQLKLDLERETIELVHTEPTNVAQLPSRIPRDAARYHFFL YKHTHEGDALESVVFIYSMPGYKCSIKERMLYSSCKSRLLDSVEQDFQLEIAKKIEIGDG AELTAEFLYDEVHPKQHAFKQAFAKPKGPGGKRGHKRLIRGPGENGEDS >ENSMUSP00000139905.1 pep:known chromosome:GRCm38:9:106203137:106212256:1 gene:ENSMUSG00000023277.11 transcript:ENSMUST00000187944.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Twf2 description:twinfilin, actin-binding protein, homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1346078] MAHQTGIHGPLDSCRLGWTEAGMEPSFLSRMGRTAHLTLASDFFPFPL >ENSMUSP00000140617.1 pep:known chromosome:GRCm38:9:106203145:106212819:1 gene:ENSMUSG00000023277.11 transcript:ENSMUST00000187647.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Twf2 description:twinfilin, actin-binding protein, homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1346078] MAHQTGIHATEELKEFFAKARAGSIRLIKVIIEDARAGCLTGASGTLGPGLRPGCAATAR RPRALLPPLPT >ENSMUSP00000140339.1 pep:known chromosome:GRCm38:9:106206798:106215387:1 gene:ENSMUSG00000023277.11 transcript:ENSMUST00000188650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Twf2 description:twinfilin, actin-binding protein, homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1346078] MFLVLIATEELKEFFAKARAGSIRLIKVIIEDEQLVLGASQEPVGRWDQDYDRAVLPLLD AQEPCYLLFRLDSQNAQGFEWLFLAWSPDNSPVRLKMLYAATRATVKKEFGGGHIKDELF GTVKDDLSLAGYQKHLSSCAAPAPLTSAERELQQIRINEVKTEISVESKHQTLQGLAFPL QPEAQRALQQLKQKTVNYIQLKLDLERETIELVHTEPTNVAQLPSRIPRDAARYHFFLYK HTHEGDALESVVFIYSMPGYKCSIKERMLYSSCKSRLLDSVEQDFQLEIAKKIEIGDGAE LTAEFLYDEVHPKQHAFKQAFAKPKGPGGKRGHKRLIRGPGENGEDS >ENSMUSP00000119127.1 pep:known chromosome:GRCm38:7:104263386:104279788:-1 gene:ENSMUSG00000060441.14 transcript:ENSMUST00000138557.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trim5 description:tripartite motif-containing 5 [Source:MGI Symbol;Acc:MGI:3646853] MASQFMKNLKEEVTCPVCLNLMVKPVSADCGHTFCQGCITLYFESIKCDKEMFSCPVCRL SYQSSNLRPNLHVANIVERLKEFKPSPEEEQKVFNCARHGEKLQLFCRKDMMAICWLCER SQEHRGHKTALIEEVAREYKEQLQVVLQRLMADKKEFENWKDELQKDRTYWENQIQKDVE NVQSEFKRMRDIIDSEEKNELQKLRQEKEDILNNLAESESEHAQQSKLLEDFISDVEHQL QCSDIEILQESYFFDEEAQNHRQGTKKVPSP >ENSMUSP00000095781.2 pep:known chromosome:GRCm38:7:104263386:104288094:-1 gene:ENSMUSG00000060441.14 transcript:ENSMUST00000098179.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim5 description:tripartite motif-containing 5 [Source:MGI Symbol;Acc:MGI:3646853] MASQFMKNLKEEVTCPVCLNLMVKPVSADCGHTFCQGCITLYFESIKCDKEMFSCPVCRL SYQSSNLRPNLHVANIVERLKEFKPSPEEEQKVFNCARHGEKLQLFCRKDMMAICWLCER SQEHRGHKTALIEEVAREYKEQLQVVLQRLMADKKEFENWKDELQKDRTYWENQIQKDVE NVQSEFKRMRDIIDSEEKNELQKLRQEKEDILNNLAESESEHAQQSKLLEDFISDVEHQL QCSDIEILQGVENIIKRSLTFSMKKPKTIAREQRKFRAPDLQGMLQVLQEVTEAQRYWVQ VTLVQNNHPNIAITDDKRQVRYEDHQASNLIHECENSHEGVLGHPAIQSGKHYWEVDVSG KGAWVLGLSDGSYLFCPIFHSNAERNRLFCRGIKNDSHYQPKYGFWVIGLWKKSVYNAFE ECPFTGKPSVLTLSLMVPPCRVGVFLDYAAGTLSFYNISNNGTLIYRFCTASFPDRVFPY FNPTGSSEPMTICWPDS >ENSMUSP00000050084.9 pep:known chromosome:GRCm38:7:104265367:104279732:-1 gene:ENSMUSG00000060441.14 transcript:ENSMUST00000051795.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim5 description:tripartite motif-containing 5 [Source:MGI Symbol;Acc:MGI:3646853] MASQFMKNLKEEVTCPVCLNLMVKPVSADCGHTFCQGCITLYFESIKCDKEMFSCPVCRL SYQSSNLRPNLHVANIVERLKEFKPSPEEEQKVFNCARHGEKLQLFCRKDMMAICWLCER SQEHRGHKTALIEEVAREYKEQLQVVLQRLMADKKEFENWKDELQKDRTYWEAKLQKDVE NVQSEFKRMRDIIDSEEKNELQKLRQEKEDILNNLAESESEHAQQSKLLEDFISDVEHQL QCSDIEILQNFSAGERESLTFSMKKPKTIAREQRKFRAPDLQGMLQVLQEVTEAQRYWVQ VTLVQNNHPNIAITDDKRQVRYEDHQASNLIHECENSHEGVLGHPAIQSGKHYWEVDVSG KGAWVLGLSDGSYLFCPIFHSNAERNQSHYQPKYGFWVIGLWKKSVYNAFEECPFTGKPS VLTLSLMVPPCRVGVFLDYAAGTLSFYNISNNGTLIYRFCTASFPDRVFPYFNPTGSSEP MTICWPDS >ENSMUSP00000040364.4 pep:known chromosome:GRCm38:2:79452521:79456751:-1 gene:ENSMUSG00000034701.9 transcript:ENSMUST00000041099.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurod1 description:neurogenic differentiation 1 [Source:MGI Symbol;Acc:MGI:1339708] MTKSYSESGLMGEPQPQGPPSWTDECLSSQDEEHEADKKEDELEAMNAEEDSLRNGGEEE EEDEDLEEEEEEEEEEEDQKPKRRGPKKKKMTKARLERFKLRRMKANARERNRMHGLNAA LDNLRKVVPCYSKTQKLSKIETLRLAKNYIWALSEILRSGKSPDLVSFVQTLCKGLSQPT TNLVAGCLQLNPRTFLPEQNPDMPPHLPTASASFPVHPYSYQSPGLPSPPYGTMDSSHVF HVKPPPHAYSAALEPFFESPLTDCTSPSFDGPLSPPLSINGNFSFKHEPSAEFEKNYAFT MHYPAATLAGPQSHGSIFSSGAAAPRCEIPIDNIMSFDSHSHHERVMSAQLNAIFHD >ENSMUSP00000022681.4 pep:known chromosome:GRCm38:14:70164218:70177681:-1 gene:ENSMUSG00000022090.10 transcript:ENSMUST00000022681.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim2 description:PDZ and LIM domain 2 [Source:MGI Symbol;Acc:MGI:2384850] MALTVDVAGPAPWGFRISGGRDFHTPIIVTKVTERGKAEAADLRPGDIIVAINGQSAENM LHAEAQSKIRQSASPLRLQLDRSQTASPGQTNGEGSLEVLATRFQGSLRTHRDSQSSQRS ACFSPVSLSPRPCSPFSTPPPTSPVALSKEDMIGCSFQSLTHSPGLAAAHHLTYPGHPTS QQAGHSSPSDSAVRVLLHSPGRPSSPRFSSLDLEEDSEVFKMLQENRQGRAAPRQSSSFR LLQEALEAEERGGTPAFVPSSLSSQASLPTSRALATPPKLHTCEKCSVNISNQAVRIQEG RYRHPGCYTCADCGLNLKMRGHFWVGNELYCEKHARQRYSMPGTLNSRA >ENSMUSP00000116200.1 pep:known chromosome:GRCm38:14:70164231:70176819:-1 gene:ENSMUSG00000022090.10 transcript:ENSMUST00000153735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim2 description:PDZ and LIM domain 2 [Source:MGI Symbol;Acc:MGI:2384850] MALTVDVAGPAPWGFRISGGRDFHTPIIVTKVTERGKAEAADLRPGDIIVAINGQSAENM LHAEAQSKIRQSASPLRLQLDRSQTASPGQTNGEGSLEVLATRFQGSLRTHRDSQSSQRS ACFSPVSLSPRPCSPFSTPPPTSPVALSKEDMIGCSFQSLTHSPGLAAAHHLTYPGHPTS QQAGHSSPSDSAVRVLLHSPGRPSSPRFSSLDLEEDSEVFKMLQENRQGRAAPRQSSSFR LLQEALEAEERGGTPAFVPSSLSSQASLPTSRALATPPKLHTCEKCSVNISNQAVRIQEG RYRHPGCYTCADCGLNLKMRGHFWVGNELYCEKHARQRYSMPGTLNSRA >ENSMUSP00000139820.1 pep:known chromosome:GRCm38:14:70164362:70167839:-1 gene:ENSMUSG00000022090.10 transcript:ENSMUST00000129174.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim2 description:PDZ and LIM domain 2 [Source:MGI Symbol;Acc:MGI:2384850] MLQENRQGRAAPRQSSSFRLLQEALEAEERGGTPAFVPSSLSSQASLPTSRALATPPKLH TCEKCSVNISNQAVRIQEGRYRHPGCYTCADCGLNLKMRGHFWVGNELYCEKHARQRYSM PGTLNSRA >ENSMUSP00000141050.1 pep:known chromosome:GRCm38:14:70164547:70168394:-1 gene:ENSMUSG00000022090.10 transcript:ENSMUST00000127836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim2 description:PDZ and LIM domain 2 [Source:MGI Symbol;Acc:MGI:2384850] MLQENRQGRAAPRQSSSFRLLQEALEAEERGGTPAFVPSSLSSQASLPTSRALATPPKLH TCEKCSVNISNQAVRIQEGRYRHPGCYTCADCGLNLKMRGHFWVGNELYCEKHARQRYSM PGTLNSRA >ENSMUSP00000116694.1 pep:known chromosome:GRCm38:14:70164598:70167973:-1 gene:ENSMUSG00000022090.10 transcript:ENSMUST00000125300.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim2 description:PDZ and LIM domain 2 [Source:MGI Symbol;Acc:MGI:2384850] XGSGTSRSCRVGLDLEEDSEVFKMLQENRQGRAAPRQSSSFRLLQEALEAEERGGTPAFV PSSLSSQASLPTSRALATPPKLHTCEKCSVNISNQAVRIQEGRYRHPGCYTCADCGLNLK MRGHFWVGNELYCEKHARQRYSMPGTLNSRA >ENSMUSP00000119222.1 pep:known chromosome:GRCm38:14:70167764:70175433:-1 gene:ENSMUSG00000022090.10 transcript:ENSMUST00000143393.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim2 description:PDZ and LIM domain 2 [Source:MGI Symbol;Acc:MGI:2384850] MALTVDVAGPAPWGFRISGGRDFHTPIIVTKVTERGKAEAADLRPGDIIVAINGQSAENM LHAEAQSKIRQSASPLRLQLDRSQTASPGQTNGEGSLEVLATRFQGSLRTHRDSQSSQRS ACFSPVSLSPRPCSPFSTPPPTSPVALSKEDMIGCSFQSLTHSPGLAAAHHLTYPGHPTS QQAGHSSPSDSAVRVLLHSPGRPSSPRFSSLDLEEDS >ENSMUSP00000038590.5 pep:known chromosome:GRCm38:17:28066747:28080584:-1 gene:ENSMUSG00000062859.14 transcript:ENSMUST00000042692.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp11 description:t-complex protein 11 [Source:MGI Symbol;Acc:MGI:98544] MPDVKERAARKEPGAAESASRESRGGNTRESASSAQGHRSCRFNRRPSTAALTPGSAQGR GVKTAPRGPVGHGGLRTGPTSRCPQPSARAKLPSVTRGAPLPPSPGKGHLGGTPSSHRLG MTERVHDASKLDCQLEERSLSSSSLKGKVKDTMPSDFWEHLNEQLSAVPPDFSCALELLK EIKEILLSLLLPRQSRLKNEIEEALDMEFLQQQADRGDLNVSYLSKYILNMMVLLCAPIR DEAVQRLENISDPVRLLRGIFQVLGQMKMDMVNYTIQSLQPQLQEHSVQFERAQFQERLN KEPRLLNHTTKWLTQAATQLIAPSASSSDLQDCSSSAGPSPSDVAVPEPLSPAMVLSQGF LNLLTWDPENEEFPETLVADRPRLQELESQQSQLTILASVLLVASSFSDSGLFSSPQFVD KLKQITKSLVEDFNSRPEEVMQSVSEQVVEEVHQGLESMGLAALSSENTASLVGQLQNIA KKENCVRSVIDQRIHLFLKCCFVLGVQRSLLDLPGGLTLIEAELAELGQKFVSLTHHNQQ VFAPYYTEILKTLISPAQTLATKGGSL >ENSMUSP00000110485.1 pep:known chromosome:GRCm38:17:28066751:28080567:-1 gene:ENSMUSG00000062859.14 transcript:ENSMUST00000114836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp11 description:t-complex protein 11 [Source:MGI Symbol;Acc:MGI:98544] MPDVKERAARKEPGAAESASRESRGGNTRESASSAQGHRSCMTERVHDASKLDCQLEERS LSSSSLKGKVKDTMPSDFWEHLNEQLSAVPPDFSCALELLKEIKEILLSLLLPRQSRLKN EIEEALDMEFLQQQADRGDLNVSYLSKYILNMMVLLCAPIRDEAVQRLENISDPVRLLRG IFQVLGQMKMDMVNYTIQSLQPQLQEHSVQFERAQFQERLNKEPRLLNHTTKWLTQAATQ LIAPSASSSDLQDCSSSAGPSPSDVAVPEPLSPAMVLSQGFLNLLTWDPENEEFPETLVA DRPRLQELESQQSQLTILASVLLVASSFSDSGLFSSPQFVDKLKQITKSLVEDFNSRPEE VMQSVSEQVVEEVHQGLESMGLAALSSENTASLVGQLQNIAKKENCVRSVIDQRIHLFLK CCFVLGVQRSLLDLPGGLTLIEAELAELGQKFVSLTHHNQQVFAPYYTEILKTLISPAQT LATKGGSL >ENSMUSP00000116959.1 pep:known chromosome:GRCm38:17:28069635:28080639:-1 gene:ENSMUSG00000062859.14 transcript:ENSMUST00000129046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp11 description:t-complex protein 11 [Source:MGI Symbol;Acc:MGI:98544] MPDVKERAARKEPGAAESASRESRGGNTRESASSAQGHRSCMTERVHDASKLDCQLEERS LSSSSLKGKVKDTMPSDFWEHLNEQLSAVPPDFSCALELLKEIKEILLSLLLPRQSRLKN EIEEALDMEFLQQQADRGDLNVSYLSKYILNMMVLLCAPIRDEAVQRLENISDPVRLLRG IFQVLGQMKMDMVNYTIQSLQPQLQEHSVQFERAQFQERLNKEPRLLNHTTKWLTQA >ENSMUSP00000122010.1 pep:known chromosome:GRCm38:17:28074653:28080601:-1 gene:ENSMUSG00000062859.14 transcript:ENSMUST00000141806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp11 description:t-complex protein 11 [Source:MGI Symbol;Acc:MGI:98544] MPDVKERAARKEPGAAESASRESRGGNTRESASSAQGHRSCRFNRRPSTAALTPGSAQGR GVKTAPRGPVGHGGLRTGPTSRCPQPSARAKLPSVTRGAPLPPSPGKGHLGGTPSSHRLG MTERVHDASKLDCQLEERSLSSSSLKGKVKDTMPSDFWEHLNEQLSAVPPDFSCALELLK EIK >ENSMUSP00000046002.8 pep:known chromosome:GRCm38:17:28066748:28080639:-1 gene:ENSMUSG00000062859.14 transcript:ENSMUST00000043925.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp11 description:t-complex protein 11 [Source:MGI Symbol;Acc:MGI:98544] MPDVKERAARKEPGAAESASRESRGGNTRESASSAQGHRSCMTERVHDASKLDCQLEERS LSSSSLKGKVKDTMPSDFWEHLNEQLSAVPPDFSCALELLKEIKEILLSLLLPRQSRLKN EIEEALDMEFLQQQADRGDLNVSYLSKYILNMMVLLCAPIRDEAVQRLENISDPVRLLRG IFQVLGQMKMDMVNYTIQSLQPQLQEHSVQFERAQFQERLNKEPRLLNHTTKWLTQAATQ LIAPSASSSDLQDCSSSAGPSPSDVAVPEPLSPAMVLSQGFLNLLTWDPENEEFPETLVA DRPRLQELESQQSQLTILASVLLVASSFSDSGLFSSPQFVDKLKQITKSLVEDFNSRPEE VMQSVSEQVVEEVHQGLESMGLAALSSENTASLVGQLQNIAKKENCVRSVIDQRIHLFLK CCFVLGVQRSLLDLPGGLTLIEAELAELGQKFVSLTHHNQQVFAPYYTEILKTLISPAQT LATKGGSL >ENSMUSP00000041629.5 pep:known chromosome:GRCm38:8:83348471:83379402:1 gene:ENSMUSG00000035057.7 transcript:ENSMUST00000038692.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4d description:MGAT4 family, member C [Source:MGI Symbol;Acc:MGI:1914805] MKAKNVNLLFAFVAVLLFGFSCFCISRMNQTNNQLINCRNHVLEFKEIMLRLKNKSENHH QDLMQVLYQMKRKAAHTTRSSGNFLEKKGSILSQHETLPNQFEVLKYFLPHLRTAGKLYP AIATSKGRAGVSFALGISTINRGNHTYLKQTLTSVLSRMTPEEEEDSVVIVSVADTDESY LKSVVRMVKTKFRKQVQSGVLEVISIPTLFYPQTLLDKKTKTDSESWQIKQVLDFCILML YAQPKATYYLQLEDDIVAKKMYFTKMKDFVNSLTSKNWFFIEFSVLGFIGKLFRSKDLTD FVHFFLMFYETKPIDILLDDIFLIRVCISGEPVRSCLQRKKGFRIQYRPSLFQHVGTQSS FPGREQHLKDNYY >ENSMUSP00000046837.3 pep:known chromosome:GRCm38:2:30416039:30447805:1 gene:ENSMUSG00000039515.11 transcript:ENSMUST00000042055.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r4 description:protein phosphatase 2A activator, regulatory subunit B [Source:MGI Symbol;Acc:MGI:1346006] MAEGERQPPPDSSEETPPTTQNFIIPKKEIHTVPDMGKWKRSQAYADYIGFILTLNEGVK GKKLTFDYKVSEAIEKLVALLDTLDRWIDETPPVDQPSRFGNKAYRTWYAKLDQEAENLV ATVVPTHLAAAVPEVAVYLKEAVGNSTRIDYGTGHEAAFAAFLCCLCKIGVLRVDDQVAI VFKVFDRYLEVMRKLQKTYRMEPAGSQGVWGLDDFQFLPFIWGSSQLIDHPHLEPRHFVD EKAVSENHKDYMFLQCILFITEMKTGPFAEHSNQLWNISAVPSWSKVNQGLIRMYKAECL EKFPVIQHFKFGSLLPIHPVTSG >ENSMUSP00000109231.3 pep:known chromosome:GRCm38:2:30416131:30447806:1 gene:ENSMUSG00000039515.11 transcript:ENSMUST00000113601.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r4 description:protein phosphatase 2A activator, regulatory subunit B [Source:MGI Symbol;Acc:MGI:1346006] MAEGERQPPPDSSEETPPTTQNFIIPKKEIHTVPDMGKWKRSQMKTGPFAEHSNQLWNIS AVPSWSKVNQGLIRMYKAECLEKFPVIQHFKFGSLLPIHPVTSG >ENSMUSP00000117121.1 pep:known chromosome:GRCm38:2:30416137:30432029:1 gene:ENSMUSG00000039515.11 transcript:ENSMUST00000152165.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp2r4 description:protein phosphatase 2A activator, regulatory subunit B [Source:MGI Symbol;Acc:MGI:1346006] MAEGERQPPPDSSEETPPTTQNFIIPKKEIHTVPDMGKWKRSQVSGPRT >ENSMUSP00000109233.3 pep:known chromosome:GRCm38:2:30416142:30447617:1 gene:ENSMUSG00000039515.11 transcript:ENSMUST00000113603.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r4 description:protein phosphatase 2A activator, regulatory subunit B [Source:MGI Symbol;Acc:MGI:1346006] MAEGERQPPPDSSEETPPTTQNFIIPKKEIHTVPDMGKWKRSQAYADYIGFILTLNEGVK GKKLTFDYKVSEEAENLVATVVPTHLAAAVPEVAVYLKEAVGNSTRIDYGTGHEAAFAAF LCCLCKIGVLRVDDQVAIVFKVFDRYLEVMRKLQKTYRMEPAGSQGVWGLDDFQFLPFIW GSSQLIDHPHLEPRHFVDEKAVSENHKDYMFLQCILFITEMKTGPFAEHSNQLWNISAVP SWSKVNQGLIRMYKAECLEKFPVIQHFKFGSLLPIHPVTSG >ENSMUSP00000114290.1 pep:known chromosome:GRCm38:2:30438229:30446950:1 gene:ENSMUSG00000039515.11 transcript:ENSMUST00000125561.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp2r4 description:protein phosphatase 2A activator, regulatory subunit B [Source:MGI Symbol;Acc:MGI:1346006] XYLEVMRKLQKTYRMEPAGSQGVWGLDDFQFLPFIWGSSQLIDHPHLEPRHFVDEKAVSE NHKDYMFLQCILFITEMKTGPFAEHSNQLWNISAVPSWSKVNQGLIRMYKAEDRLHLLLH ACFAEA >ENSMUSP00000116268.1 pep:known chromosome:GRCm38:2:30441853:30447131:1 gene:ENSMUSG00000039515.11 transcript:ENSMUST00000131476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r4 description:protein phosphatase 2A activator, regulatory subunit B [Source:MGI Symbol;Acc:MGI:1346006] MKTGPFAEHSNQLWNISAVPSWSKVNQGLIRMYKAECLEKFPVIQHFKFGSLLPIHPVTS G >ENSMUSP00000114985.1 pep:known chromosome:GRCm38:2:30442735:30446806:1 gene:ENSMUSG00000039515.11 transcript:ENSMUST00000136009.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r4 description:protein phosphatase 2A activator, regulatory subunit B [Source:MGI Symbol;Acc:MGI:1346006] MKTGPFAEHSNQLWNISAVPSWSKVNQGLIRMYKAECLEKFPVIQHFKFGSLLPIHPVTS G >ENSMUSP00000088201.1 pep:known chromosome:GRCm38:2:88591806:88592726:1 gene:ENSMUSG00000068808.1 transcript:ENSMUST00000090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1189 description:olfactory receptor 1189 [Source:MGI Symbol;Acc:MGI:3031023] MMNKNNVTEFILLGVTRDPELRKILSVLFLIMYMATVFGNLLIVVTITRSPSLRSPMYFF LLSLSLMDVTYSSVIAPKLIMDSLSERTIVSFERCMTQLFAEHFFGGVGIILLIVMAYDR YVAICKPLHYVKMMTPRVCCLMVGGAWVGGSMHATIQLLFMYQIPFCSSNIIDHFMCDLF PLLKLACMDTHILGLLVILNSGVMCVSIFLILIASYMVILCSLKSYSSEGRRKALSTCSS HFTVVVLFFVPCIFLYMRPVVTFPIDKAMAVSFTIVEPMLNPLIYTLRNTEVKYAIKNMC RKQGSH >ENSMUSP00000034178.8 pep:known chromosome:GRCm38:8:93256236:93279747:-1 gene:ENSMUSG00000031725.8 transcript:ENSMUST00000034178.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces1f description:carboxylesterase 1F [Source:MGI Symbol;Acc:MGI:2142687] MFLSTLFLVSLATCVICGNPSSPPVVDTAHGKVLGKHVNVEGFSQPVAVFLGIPFAKPPL GSLRFAPPQPAEPWSSVKNATTYPPMCSQDAARGQAVNDLITNRKEKIHLEFSEDCLYLN IYTPADFSKNSRLPVMVWIHGGGLKLGGASSFDGRALSAYENVVVVAIQYRLSIWGFFST GDEHSRGNWGHLDQVAALHWVQDNIANFGGDPGSVTIFGESAGGYSVSILILSPLSKNLF HRAISESGVAFIPGMFTKDVRPITEQIAVTAGCKTTTSAVIVHCMRQKTEEELLEIMHKL NLYKLSLQGDTKNSDQFVTSVLDGVVLPKDPKEILAEKNFNTVPYIVGINKQECGWLLPT MTGFLPADVKLDKKKAIALLEQFASMTGIPEDIIPVAVEKYTKGSDDPDQIREGVLDAMG DVAFGVPSVIVSRGHRDTGAPTYMYEYQYYPSFSSPQRPKNVVGDHADDVYSVFGAPILR EGASEEEINLSKMVMKFWANFARNGNPNGKGLPHWPKYDQKEGYLHIGGTTQQAQRLKEE EVTFWTQSLAKKQPQPYHNEL >ENSMUSP00000116525.1 pep:known chromosome:GRCm38:8:93274233:93279727:-1 gene:ENSMUSG00000031725.8 transcript:ENSMUST00000140026.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ces1f description:carboxylesterase 1F [Source:MGI Symbol;Acc:MGI:2142687] MFLSTLFLVSLATCVICEGGEIDKEAVGELEKIVCCSRMEPKEIPLHHLW >ENSMUSP00000047855.8 pep:known chromosome:GRCm38:7:64392645:64412125:1 gene:ENSMUSG00000033429.10 transcript:ENSMUST00000037205.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcee description:methylmalonyl CoA epimerase [Source:MGI Symbol;Acc:MGI:1920974] MRRVVKAAALAAGATGLFSRVQTSVAIGRSFSTPQSQFQESSPVWKLGRLNHVAVAVPDL EKASSFYRDVLGAQVSEVVPLPEHGVSVVFVNLGNTKMELLHPLGSDSPITGFLQKNKAG GMHHVCIEVDNISAAVMDLKKKKIRSLSDEAKIGAHGKPVIFLHPKDCGGVLVELEQA >ENSMUSP00000145591.1 pep:known chromosome:GRCm38:7:64392740:64412121:1 gene:ENSMUSG00000033429.10 transcript:ENSMUST00000206882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcee description:methylmalonyl CoA epimerase [Source:MGI Symbol;Acc:MGI:1920974] MRRVVKAAALAAGATGGQHQCSCDGSEEEEDP >ENSMUSP00000146220.1 pep:known chromosome:GRCm38:7:64392775:64412121:1 gene:ENSMUSG00000033429.10 transcript:ENSMUST00000206194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcee description:methylmalonyl CoA epimerase [Source:MGI Symbol;Acc:MGI:1920974] MRRVVKAAALAAGATGLFSRVQTSVAIGRSFSTPQSQFQESSPVWKLGRLNHVAVAVPDL EKASSFYRDVLGAQVDNISAAVMDLKKKKIRSLSDEAKIGAHGKPVIFLHPKDCGGVLVE LEQA >ENSMUSP00000109063.1 pep:known chromosome:GRCm38:1:83159762:83210574:1 gene:ENSMUSG00000053161.16 transcript:ENSMUST00000113436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daw1 description:dynein assembly factor with WDR repeat domains 1 [Source:MGI Symbol;Acc:MGI:1923089] MKLKSLLLRYYPPGIMLEYEKGGELKTKSIDLLELSPSTDVNTLVGEIQQAEPLITASRT KQVRLLVQRLQEKLRQHSDHNFYLFKVLRAHILPLTNVALNKAGSCFITGSYDRTCKVWD TASGEELHTLEGHKNVVYAIAFNNPYGKVHTLIGHCAEISSALFNWDCSLILTGSMDKTC MLWDATSGKYVATLTGHDDEILDSCFDYTGKLIATASADGTARVYNATTRKCVTKLEGHE GEISKISFNPQGNRLLTGSSDKTARIWDVQTGQCLQVLEGHTDEIFSCAFNYKGNIVITA RTTPAGYGVDPRALLWSRAVGNSDQELELQRASEPMSSASFHATYPWNSLSFTLQT >ENSMUSP00000067102.3 pep:known chromosome:GRCm38:1:83159762:83210568:1 gene:ENSMUSG00000053161.16 transcript:ENSMUST00000065436.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daw1 description:dynein assembly factor with WDR repeat domains 1 [Source:MGI Symbol;Acc:MGI:1923089] MKLKSLLLRYYPPGIMLEYEKGGELKTKSIDLLELSPSTDVNTLVGEIQQAEPLITASRT KQVRLLVQRLQEKLRQHSDHNFYLFKVLRAHILPLTNVALNKAGSCFITGSYDRTCKVWD TASGEELHTLEGHKNVVYAIAFNNPYGKVHTLIGHCAEISSALFNWDCSLILTGSMDKTC MLWDATSGKYVATLTGHDDEILDSCFDYTGKLIATASADGTARVYNATTRKCVTKLEGHE GEISKISFNPQGNRLLTGSSDKTARIWDVQTGQCLQVLEGHTDEIFSCAFNYKGNIVITG SKDNSCRIWR >ENSMUSP00000117796.1 pep:known chromosome:GRCm38:1:83159769:83210570:1 gene:ENSMUSG00000053161.16 transcript:ENSMUST00000149342.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Daw1 description:dynein assembly factor with WDR repeat domains 1 [Source:MGI Symbol;Acc:MGI:1923089] MKLKSLLLRYYPPGIMLEYEKGGELKTKSIDLLELSPSRRDPASRASDHSVPDKASQTPS AEASGETQTA >ENSMUSP00000067583.6 pep:known chromosome:GRCm38:1:83159781:83210574:1 gene:ENSMUSG00000053161.16 transcript:ENSMUST00000065403.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daw1 description:dynein assembly factor with WDR repeat domains 1 [Source:MGI Symbol;Acc:MGI:1923089] MKLKSLLLRYYPPGIMLEYEKGGELKTKSIDLLELSPSTDVNTLVGEIQQAEPLITASRT KQVRLLVQRLQEKLRQHSDHNFYLFKVLRAHILPLTNVALNKAGSCFITGSYDRTCKVWD TASGEELHTLEGHKNVVYAIAFNNPYGDKIATGSFDKTCKLWSAETGKCYHTFRGHTAEI VCLSFNPQSTVVATGSMDTTAKLWDIQNGEEVVTLTGHLAEIISLSFDTSGDRIITGSFD HTVVVWDASTGRKVHTLIGHCAEISSALFNWDCSLILTGSMDKTCMLWDATSGKYVATLT GHDDEILDSCFDYTGKLIATASADGTARVYNATTRKCVTKLEGHEGEISKISFNPQGNRL LTGSSDKTARIWDVQTGQCLQVLEGHTDEIFSCAFNYKGNIVITGSKDNSCRIWR >ENSMUSP00000060100.8 pep:known chromosome:GRCm38:7:104338754:104353362:-1 gene:ENSMUSG00000057143.14 transcript:ENSMUST00000059037.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim12c description:tripartite motif-containing 12C [Source:MGI Symbol;Acc:MGI:4821183] MASQFMKNLKEEVTCPLCLNLMVKPVSADCGHSFCQGCITVYFESTKCDKEMFSCPVCRL SYQSSNLRPNLHVANIVERLKEFKPSPEEEQKVFNCARHGEKLQLFCRKDMMAICWLCER SQEHRGHKTALIEEVAQEYKEQLQVVLQRLMADKKEFENWKDDLQKDRTYWENQIQKDVE NVQSEFKRMRDIMNSEEKKELQKLKQEKENIINKLAESENEHAQQSKLLEDFISDVEHQL QCSDIEILQGVENIIKRSHTFSMKKPKAIAREQRKFRAPDLQGMLQVLQEVTEAQRYWVQ VTLVQNNNPNIAITDDKRQIRYEDLQARNLEHGCENSHEGVLGHPVIQSGKHYWEVDVSG KGAWVLGLSDGSYLFNPIFRSNAERNPLFRRGIKNDSHYQPKYGFWVIGLWKKSVYNAFE ECPFTGKPSVLTLSLMVPPCRVGVFLDYAAGTLSFYNISNNGTLIYRFCTASFPDRVFPY FNPMGSSEPMTICWPDS >ENSMUSP00000116775.1 pep:known chromosome:GRCm38:7:104344940:104353349:-1 gene:ENSMUSG00000057143.14 transcript:ENSMUST00000130139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim12c description:tripartite motif-containing 12C [Source:MGI Symbol;Acc:MGI:4821183] MASQFMKNLKEEVTCPLCLNLMVKPVSADCGHSFCQGCITVYFESTKCDKEMFSCPVCRL SYQSSNLRPNLHVANIVERLKEFKPSPEEEQKVFNCARHGEKLQLFCRKDMMAICWLCER SQEHRGHKTALIEEVAQEYKEQLQVVLQRLMADKKEFENWKDDLQKDRTYWENQIQKDVE NVQSEFKRMRDIMNSEEKKELQKLKQEKENIINKLAESENEHAQQSKLLEDFISD >ENSMUSP00000139956.1 pep:known chromosome:GRCm38:9:83834690:83872389:1 gene:ENSMUSG00000038379.15 transcript:ENSMUST00000185913.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttk description:Ttk protein kinase [Source:MGI Symbol;Acc:MGI:1194921] MEAEELIGSSVTIDSIMSKMRDIKNKINEDCTDELSLSKICADHTETVNQIMRVGNTPEN WLNFLLKLEKNSSPLNDDLLNKLIGRYSQAIEVLPPDKYGQNESFARIQVRLAELKAIQE PDDARDYFQMARENCKKFAFVHVSFAQFELSQGNLKKSEQLLHKAVETGAVPLQMLETAM RNLHLQKKQLLPEEDKKSVSASTVLSAQEPFSSSLGNVQNRSISCESRGQAGAARVLYGE NLPPQDAEVRHQNPFKQTHAAKRSCPFGRVPVNLLNSPDFYVKTDSSAVTQLTTRKGSGP DRDAILPGSRPRGSDSYELRGLKPIQTIYLKDSLVSNEKSSELMSDLIALKSKTDSSLTK LEETKPEIAERRPMQWQSTRKPECVFQNPAAFAPLRHVPDVTPKADKESPPISVPKWLDP KSACETPSSSSLDDYMKCFKTPVVKNDFPPACPSSTPYSQLARLQQQQQQGLSTPLQSLQ ISGSSSINECISVNGRIYSILKQIGSGGSSKVFQVLNEKKQINAIKYVNLEDADSQTIES YRNEIAFLNKLQQHSDKIIRLYDYEITEQYIYMVMECGNIDLNSWLKKKKSINPWERKSY WKNMLEAVHIIHQHGIVHSDLKPANFVIVDGMLKLIDFGIANQMQPDTTSIVKDSQVSKL HAIINPAHEIEFPEISEKDLRDVLKCCLVRNPKERISIPELLTHPYVQIQPHPGSQMARG ATDEMKYVLGQLVGLNSPNSILKTAKTLYERYNCGEGQDSSSSKTFDKKRERK >ENSMUSP00000064839.7 pep:known chromosome:GRCm38:9:83834718:83872386:1 gene:ENSMUSG00000038379.15 transcript:ENSMUST00000070326.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttk description:Ttk protein kinase [Source:MGI Symbol;Acc:MGI:1194921] MEAEELIGSSVTIDSIMSKMRDIKNKINEDCTDELSLSKICADHTETVNQIMRVGNTPEN WLNFLLKLEKNSSPLNDDLLNKLIGRYSQAIEVLPPDKYGQNESFARIQVRLAELKAIQE PDDARDYFQMARENCKKFAFVHVSFAQFELSQGNLKKSEQLLHKAVETGAVPLQMLETAM RNLHLQKKQLLPEEDKKSVSASTVLSAQEPFSSSLGNVQNRSISCESRGQAGAARVLYGE NLPPQDAEVRHQNPFKQTHAAKRSCPFGRVPVNLLNSPDFYVKTDSSAVTQLTTRKGSGP DRDAILPGSRPRGSDSYELRGLKPIQTIYLKDSLVSNEKSSELMSDLIALKSKTDSSLTK LEETKPEIAERRPMQWQSTRKPECVFQNPAAFAPLRHVPDVTPKADKESPPISVPKWLDP KSACETPSSSSLDDYMKCFKTPVVKNDFPPACPSSTPYSQLARLQQQQQQGLSTPLQSLQ ISGSSSINECISVNGRIYSILKQIGSGGSSKVFQVLNEKKQINAIKYVNLEDADSQTIES YRNEIAFLNKLQQHSDKIIRLYDYEITEQYIYMVMECGNIDLNSWLKKKKSINPWERKSY WKNMLEAVHIIHQHGIVHSDLKPANFVIVDGMLKLIDFGIANQMQPDTTSIVKDSQVGTV NYMAPEAIRDMSSSRENSKIRTKVSPRSDVWSLGCILYYMTYGRTPFQHIINQVSKLHAI INPAHEIEFPEISEKDLRDVLKCCLVRNPKERISIPELLTHPYVQIQPHPGSQMARGATD EMKYVLGQLVGLNSPNSILKTAKTLYERYNCGEGQDSSSSKTFDKKRERK >ENSMUSP00000139900.1 pep:known chromosome:GRCm38:9:83834766:83843091:1 gene:ENSMUSG00000038379.15 transcript:ENSMUST00000191484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttk description:Ttk protein kinase [Source:MGI Symbol;Acc:MGI:1194921] MEAEELIGSSVTIDSIMSKMRDIKNKINEDCTDELSLSKICADHTETVNQIMRVGNTPEN WLNFLLKLEKNSSPLNDDLLNKLIGRYSQAIEVLPPDKYGQNESFARIQVRLAELKAIQE PDDARDYFQMARENCKKFAFVHVSFAQFELSQGNLK >ENSMUSP00000031750.6 pep:known chromosome:GRCm38:6:43265582:43289320:1 gene:ENSMUSG00000033542.13 transcript:ENSMUST00000031750.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef5 description:Rho guanine nucleotide exchange factor (GEF) 5 [Source:MGI Symbol;Acc:MGI:1858952] MEAEEPEYGVSTEVPDIEELKTIPEGIMRSSQIPALDPEAQEDRDPSYKWTDGHRPVMNQ SKVLRDMGDHTPNSMAIFFKKESSDMETSQEILLAEACNTPDQQEAVIQSLKDRLSRTIA APELLACAVQEEWLDIPSKLDNRVGAELQSELMSLTLAVSKEKEEEETSPDTSIPRGSWP PCKTHPGETEQTQGSGSELLRQGKQLQLEATQENQGQEGFLQSQEAQGLEEQEGQEVEIQ EEGTLNEGICFGGLLGEQEEVEEGFNGNEEEQKQGQIQSYMLLGGQWENEGLSGELEGLN YSERGQENRERRVWVLRDSEEEGQDQESREVEERRVATQYTENQRLVEKSEIVKRKQRDH DQTGKVMPVRDQKEVVDSGDRVQGNGDSGGQTAVEGSRPGEDSKPSLPVASVDPEVLSPG TLFPGISSSVADIPQIQKEPVCEELSPQAPALEPTEWSHQPISPPASFAPEESLDNRTHN SQQEEFRLRKGIEVVSASTSVAPSGTRDSPPFSPPNVFSSTATLSPVSSSVILPEETPTA SASADTPHHCGPCETPPLPAKSSRYPCATSDTANPHSPLSSYTGVTQHLRSNSFPGSHRT EQTPDSLGMSLSFSHLELPQRPPKPAIYGSLTPRRNRRSRDGIVFSDSSTALFALKQDSE EFTSNPERPSSPHGSPTWGSPQNSAFAIGSPANVSSPPTVSMDMTIREALLPIPPEKRHS YSHIVERDGLLHEVASTLKRHSHPPPLTLSSGLHRSSKGSFSLVPDSTVARQHRPLPSTP ESPNHTQTSIPSRLRYNKPLPPTPDMPEFYHPSISSSYISRMYRPLPPVPIIDPSSEPPP LPPKSRGRSKSIQGGVIHSGGQAKPRPNNQDWTASTLSVGRTSWPPATGRSTESLPLTSR CNNEVSPGLAFSNMTNLLSPSSPTTPWIPDLQRPTTKDESGLTEESEPPVRGSFRRSAPQ EEFNNTRRSALGSRKNSEKPLHHQLEKASSWPHRRDPARTSESSSEQVVLGQVPNKQKGW NRQGLRRPSILPESSSDLRNPAAGRLPGSSDSVVFREKKPKEGMGGFSRRCSKLISSQLL YQEYSDVVLNKEIQSQQRLDSLAEPHGLSSPRHRRKALVSSDSYLQRLSMASSGSLWQEI PVVRNSTVLLSMTHEDQKLQEAKFELIVSEASYLRSLNIAVDHFQHSAQLRGTLSNQDHQ WLFSRLQDVRDVSTTFLSDLEENFENNIFSFQVCDVVLNHAADFHRVYLPYVTNQTYQER TFQSLMNSNSSFREVLEKLESDPICQRLSLKSFLILPFQRITRLKLLLQNILKRTQPGSS EEAEATKAHHALEKLIRDCNSNVQRMRRTEELIYLSQKIEFECKIFPLISQSRWLVKSGE LTALEFSVSPGLRRKLTTRPVHLHLFNDCLLLSRPREGSRFLVFDHAPFSSIRGEKCEMK LHGPHKNLFRLFLLHNAQGTQVEFLFRTETQSEKLRWISALAMPREELDLLECYDSPQVQ CLRAYKPRENDELALEKADVVMVTQQSSDGWLEGVRLSDGEQGWFPVQQVEFISNPEVRA QNLKEAHRVKTAKLQLVEQQV >ENSMUSP00000138192.1 pep:known chromosome:GRCm38:6:43274511:43289319:1 gene:ENSMUSG00000033542.13 transcript:ENSMUST00000182924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef5 description:Rho guanine nucleotide exchange factor (GEF) 5 [Source:MGI Symbol;Acc:MGI:1858952] XEVASTLKRHSHPPPLTLSSGLHRSSKGSFSLVPDSTVARQHRPLPSTPESPNHTQTSIP SRLRYNKPLPPTPDMPEFYHPSISSSYISRMYRPLPPVPIIDPSSEPPPLPPKSRGRSKS IQGGVIHSGGQAKPRPNNQDWTASTLSVGRTSWPPATGRSTESLPLTSRCNNEVSPGLAF SNMTNLLSPSSPTTPWIPDLQRPTTKDESGLTEESEPPVRGSFRRSAPQEEFNNTRRSAL GSRKNSEKPLHHQLEKASSWPHRRDPARTSESSSEQVVLGQVPNKQKGWNRQGLRRPSIL PESSSDLRNPAAGRLPGSSDSVVFREKKPKEGMGGFSRRCSKLISSQLLYQEYSDVVLNK EIQSQQRLDSLAEPHGLSSPRHRRKALVSSDSYLQRLSMASSGSLWQEIPVVRNSTVLLS MTHEDQKLQEAKFELIVSEASYLRSLNIAVDHFQHSAQLRGTLSNQDHQWLFSRLQDVRD VSTTFLSDLEENFENNIFSFQVCDVVLNHAADFHRVYLPYVTNQTYQERTFQSLMNSNSS FREVLEKLESDPICQRLSLKSFLILPFQRITRLKLLLQNILKRTQPGSSEEAEATKAHHA LEKLIRDCNSNVQRMRRTEELIYLSQKIEFECKIFPLISQSRWLVKSGELTALEFSVSPG LRRKLTTRPVHLHLFNDCLLLSRPRESEKLRWISALAMPREELDLLECYDSPQVQCLRAY KPRENDELALEKADVVMVTQQSSDGWLEGVRLSDGEQGWFPVQQVEFISNPEVRAQNLKE AHRVKTAKLQLVEQQV >ENSMUSP00000091620.4 pep:known chromosome:GRCm38:11:69398508:69413675:-1 gene:ENSMUSG00000018476.7 transcript:ENSMUST00000094077.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm6b description:KDM1 lysine (K)-specific demethylase 6B [Source:MGI Symbol;Acc:MGI:2448492] MHRAVDPPGARSAREAFALGGLSCAGAWSSCPPHPPPRSSWLPGGRCSASVGQPPLSAPL PPSHGSSSGHPNKPYYAPGTPTPRPLHGKLESLHGCVQALLREPAQPGLWEQLGQLYESE HDSEEAVCCYHRALRYGGSFAELGPRIGRLQQAQLWNFHAGSCQHRAKVLPPLEQVWNLL HLEHKRNYGAKRGGPPVKRSAEPPVVQPMPPAALSGPSGEEGLSPGGKRRRGCSSEQAGL PPGLPLPPPPPPPPPPPPPPPPPPPPLPGLAISPPFQLTKPGLWNTLHGDAWGPERKGSA PPERQEQRHSMPHSYPYPAPAYSAHPPSHRLVPNTPLGPGPRPPGAESHGCLPATRPPGS DLRESRVQRSRMDSSVSPAASTACVPYAPSRPPGLPGTSSSSSSSSSSNNTGLRGVEPSP GIPGADHYQNPALEISPHQARLGPSAHSSRKPFLTAPAATPHLSLPPGTPSSPPPPCPRL LRPPPPPAWMKGSACRAAREDGEILGELFFGAEGPPRPPPPPLPHRDGFLGPPNPRFSVG TQDSHNPPIPPTTTSSSSSSNSHSSSPTGPVPFPPPSYLARSIDPLPRPSSPTLSPQDPP LPPLTLALPPAPPSSCHQNTSGSFRRSESPRPRVSFPKTPEVGQGPPPGPVSKAPQPVPP GVGELPARGPRLFDFPPTPLEDQFEEPAEFKILPDGLANIMKMLDESIRKEEEQQQQQEA GVAPPPPLKEPFASLQPPFPSDTAPATTTAAPTTATTTTTTTTTTTQEEEKKPPPALPPP PPLAKFPPPPQPQPPPPPPASPASLLKSLASVLEGQKYCYRGTGAAVSTRPGSVPATQYS PSPASGATAPPPTSVAPSAQGSPKPSVSSSSQFSTSGGPWAREHRAGEEPAPGPVTPAQL PPPLPLPPARSESEVLEEISRACETLVERVGRSAINPVDTADPVDSGTEPQPPPAQAKEE SGGVAVAAAGPGSGKRRQKEHRRHRRACRDSVGRRPREGRAKAKAKAPKEKSRRVLGNLD LQSEEIQGREKARPDVGGVSKVKTPTAPAPPPAPAPAAQPTPPSAPVPGKKTREEAPGPP GVSRADMLKLRSLSEGPPKELKIRLIKVESGDKETFIASEVEERRLRMADLTISHCAADV MRASKNAKVKGKFRESYLSPAQSVKPKINTEEKLPREKLNPPTPSIYLESKRDAFSPVLL QFCTDPRNPITVIRGLAGSLRLNLGLFSTKTLVEASGEHTVEVRTQVQQPSDENWDLTGT RQIWPCESSRSHTTIAKYAQYQASSFQESLQEERESEDEESEEPDSTTGTSPSSAPDPKN HHIIKFGTNIDLSDAKRWKPQLQELLKLPAFMRVTSTGNMLSHVGHTILGMNTVQLYMKV PGSRTPGHQENNNFCSVNINIGPGDCEWFAVHEHYWETISAFCDRHGVDYLTGSWWPILD DLYASNIPVYRFVQRPGDLVWINAGTVHWVQATGWCNNIAWNVGPLTAYQYQLALERYEW NEVKNVKSIVPMIHVSWNVARTVKISDPDLFKMIKFCLLQSMKHCQVQRESLVRAGKKIA YQGRVKDEPAYYCNECDVEVFNILFVTSENGSRNTYLVHCEGCARRRSAGLQGVVVLEQY RTEELAQAYDAFTLAPASTSR >ENSMUSP00000123750.1 pep:known chromosome:GRCm38:1:85083069:85109449:-1 gene:ENSMUSG00000089844.7 transcript:ENSMUST00000161724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A530032D15Rik description:RIKEN cDNA A530032D15Rik gene [Source:MGI Symbol;Acc:MGI:3037746] MAGGDNELSSRTIPEDQNEEESDDYQLMFKHFKENKVEIASAITKPFPFLMSLRDRDFIS EQKFQEYQETCKNLAPVERVVYDILSNVQKKFSRDLLKVIFSKTHLKVYPDLKETLKHFF LNASKTNDEQAEEMLSLPQCNGGVLAQEHSNPHVKRSVPVSCVPQHMCQKTWKQGWEAAK EKVWAPGGTFLQENPRSREEKRKATTGQNQNGESQ >ENSMUSP00000125122.1 pep:known chromosome:GRCm38:1:85088407:85109853:-1 gene:ENSMUSG00000089844.7 transcript:ENSMUST00000160792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A530032D15Rik description:RIKEN cDNA A530032D15Rik gene [Source:MGI Symbol;Acc:MGI:3037746] MAGGDNELSSRTIPEDQNEEESDDYQLMFKHFKENKVEIASAITKPFPFLMSLRDRDFIS EQKFQEYQETCKNLAPVERVVYDILSNVQKKFSRDLLKVIFSKTHLKVYPDLKETLKHFF LNASKTNDEQAEEMLSLPQCNGGVLAQEHSNPHVKRSVPVSCVPQHMCQKTWKQGWEAAK EKVWAPGGTFLQENPRSRESWQVWSLHCRPW >ENSMUSP00000123812.1 pep:known chromosome:GRCm38:1:85099477:85109846:-1 gene:ENSMUSG00000089844.7 transcript:ENSMUST00000161675.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A530032D15Rik description:RIKEN cDNA A530032D15Rik gene [Source:MGI Symbol;Acc:MGI:3037746] MAGGDNELSSRTIPEDQNEEESDDYQLMFKHFKENKVEIASAITKPFPFLMSLRDRDFIS EQKFQEYQETCKNLAPVERVVYDILSNVQKKFSRDLLKVIFSKTHLKVYPDLKETLKHFF LN >ENSMUSP00000142279.1 pep:known chromosome:GRCm38:4:115624725:115640428:-1 gene:ENSMUSG00000028713.17 transcript:ENSMUST00000135569.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp4b1 description:cytochrome P450, family 4, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:103225] XGLLVLEGPKWFQHRKLLTPGFHYDVLKPYVAIFAESTRVMLDKWEKKASENKSFDIFCD VGHMALDTLMKCTFGKGDSGLSHSDNSYYLAVSDLTLLMQQRIDSFQYHNDFIYWLTPHG RRFLRACQIAHDHTDHVIRQRKAALQDEKEQKKLQERRHLDFLDILLGARDESGIKLSDA DLRAEVDTFMFEGHDTTTSVSHQVVNRS >ENSMUSP00000099768.3 pep:known chromosome:GRCm38:4:115624725:115647723:-1 gene:ENSMUSG00000028713.17 transcript:ENSMUST00000102707.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4b1 description:cytochrome P450, family 4, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:103225] MALSFLSPSLSRLGLWASVVILMVTVLKLLSLLFRRQKLARALDSFPGPPKHWLFGHALE IQKTGGLDKVVTWTEQFPYAHPLWLGQFIVFLNIYEPDYAKAVYSRGDPKAAYVYDFFLQ WIGKGLLVLEGPKWFQHRKLLTPGFHYDVLKPYVAIFAESTRVMLDKWEKKASENKSFDI FCDVGHMALDTLMKCTFGKGDSGLSHSDNSYYLAVSDLTLLMQQRIDSFQYHNDFIYWLT PHGRRFLRACQIAHDHTDHVIRQRKAALQDEKEQKKLQERRHLDFLDILLGARDESGIKL SDADLRAEVDTFMFEGHDTTTSGISWFLYCMALYPMHQQRCREEVREILGDRDSFQWDDL AQMTYLTMCMKECFRLYPPVPQVYRQLSKPVTFVDGRSLPAGSLISLHIYALHRNSAVWP DPEVFDPLRFSPENMTGRHPFAFMPFSAGPRNCIGQQFAMNEMKVVTALCLLRFEFSPDP SKIPIKVPQLILRSKNGIHLYLKPLGPGSGK >ENSMUSP00000118323.1 pep:known chromosome:GRCm38:4:115625646:115641899:-1 gene:ENSMUSG00000028713.17 transcript:ENSMUST00000145841.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4b1 description:cytochrome P450, family 4, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:103225] XFLNIYEPDYAKAVYSRGDPKAAYVYDFFLQWIGKGLLVLEGPKWFQHRKLLTPGFHYDV LKPYVAIFAESTRVMLDKWEKKASENKSFDIFCDVGHMALDTLMKCTFGKGDSGLSHSDN SYYLAVSDLTLLMQQRIDSFQYHNDFIYWLTPHGRRFLRACQIAHDHTGRPFPEAFLHSS TPDHVIRQRKAALQDEKEQKKLQERRHLDFLDILLGARDESGIKLSDADLRAEVDTFMFE GHDTTTSGISWFLYCMALYPMHQQRCREEVREILGDRDSFQWDDLAQMTYLTMCMKECFR LYPPVPQVYRQLSKPVTFVDGRSLPAGSLISLHIYALHRNSAVWPDPEVFDPLRFSPENM TGRHPFAFMPFSAGP >ENSMUSP00000032715.6 pep:known chromosome:GRCm38:7:49778346:49858265:1 gene:ENSMUSG00000030505.17 transcript:ENSMUST00000032715.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt3 description:protein arginine N-methyltransferase 3 [Source:MGI Symbol;Acc:MGI:1919224] MCSLAAGNGRGAELGPEPLELSDSGDDAGWEDEDADTEPAHGRQHTPCLFCDRLFASAEE TFSHCKLEHQFNIDSMVHKHGLEFYGYIKLINFIRLKNPTVEYMNSIYNPVPWEKDEYLK PVLEDDLLLQFDVEDLYEPVSTPFSYPNGLSESASVVEKLKHMEARALSAEAALARARED LQKMKQFAQDFVMNVDVRTCSSTTTIADLQEDEDGVYFSSYGHYGIHEEMLKDKVRTESY RDFIYQNPHIFKDKVVLDVGCGTGILSMFAAKVGAKKVIAVDQSEILYQAMDIIRLNKLE DTIVLIKGKIEEVSLPVEKVDVIISEWMGYFLLFESMLDSVLYAKSKYLAKGGSVYPDIC TISLVAVSDVSKHADRIAFWDDVYGFNMSCMKKAVIPEAVVEVVDHKTLISDPCDIKHID CHTTSISDLEFSSDFTLRTTKTAMCTAVAGYFDIYFEKNCHNRVVFSTGPQSTKTHWKQT VFLLEKPFPVKAGEALKGKITVHKNKKDPRSLIVTLTLNSSTQTYSLQ >ENSMUSP00000115155.1 pep:known chromosome:GRCm38:7:49778437:49826894:1 gene:ENSMUSG00000030505.17 transcript:ENSMUST00000147401.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prmt3 description:protein arginine N-methyltransferase 3 [Source:MGI Symbol;Acc:MGI:1919224] MCSLAAGNGRGAELGPEPLELSDSGDDAGWEDEDADTEPAHGRQHTPCLFCDRLFASAEE TFSH >ENSMUSP00000030207.8 pep:known chromosome:GRCm38:4:83455680:83486459:-1 gene:ENSMUSG00000028484.16 transcript:ENSMUST00000030207.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psip1 description:PC4 and SFRS1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2142116] MTRDFKPGDLIFAKMKGYPHWPARVDEVPDGAVKPPTNKLPIFFFGTHETAFLGPKDIFP YSENKEKYGKPNKRKGFNEGLWEIDNNPKVKFSSQQASTKQSNASSDVEVEEKETNVSKE DTDQEEKASNEDVTKAVDITTPKAARRGRKRKAEKQVDTEEAGMVTAATASNVKASPKRG RPAATEVKIPKPRGRPKVVKQPCPSDGDMVIDEDKSKKKGPEEKQPKKQLKKEEEGQKEE EKPRKEPDKKEGKKEVESKRKNLAKPGVTSTSDSEDEDDQEGEKKRKGGRNFQAAHRRNM LKGQHEKEAGDRKRKQEEQMETEQQNKDEGKKPEVKKVEKKRETSMDSRLQRIHAEIKNS LKIDNLDVNRCIEALDELASLQVTMQQAQKHTEMITTLKKIRRFKVSQVIMEKSTMLYNK FKNMFLVGEGDSVITQVLNKSLAEQRQHEEANKTKDQGKKGPNKKLEKEPTGTKSLNGGS DAQESNHPQHNGDSNEDGKDSREASSKTKPPGEEREAEISLKESTLDN >ENSMUSP00000120799.1 pep:known chromosome:GRCm38:4:83461871:83464964:-1 gene:ENSMUSG00000028484.16 transcript:ENSMUST00000126706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psip1 description:PC4 and SFRS1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2142116] XSDSEDEDDQEGEKKRKGGRNFQAAHRRNMLKGQHEKEAGDRKRKQEEQMETEHQAYNEA LCLKL >ENSMUSP00000102832.2 pep:known chromosome:GRCm38:4:83461871:83486453:-1 gene:ENSMUSG00000028484.16 transcript:ENSMUST00000107214.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psip1 description:PC4 and SFRS1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2142116] MTRDFKPGDLIFAKMKGYPHWPARVDEVPDGAVKPPTNKLPIFFFGTHETAFLGPKDIFP YSENKEKYGKPNKRKGFNEGLWEIDNNPKVKFSSQQASTKQSNASSDVEVEEKETNVSKE DTDQEEKASNEDVTKAVDITTPKAARRGRKRKAEKQVDTEEAGMVTAATASNVKASPKRG RPAATEVKIPKPRGRPKVVKQPCPSDGDMVIDEDKSKKKGPEEKQPKKQLKKEEEGQKEE EKPRKEPDKKEGKKEVESKRKNLAKPGVTSTSDSEDEDDQEGEKKRKGGRNFQAAHRRNM LKGQHEKEAGDRKRKQEEQMETEHFAL >ENSMUSP00000102833.2 pep:known chromosome:GRCm38:4:83461871:83486458:-1 gene:ENSMUSG00000028484.16 transcript:ENSMUST00000107215.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psip1 description:PC4 and SFRS1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2142116] MTRDFKPGDLIFAKMKGYPHWPARVDEVPDGAVKPPTNKLPIFFFGTHETAFLGPKDIFP YSENKEKYGKPNKRKGFNEGLWEIDNNPKVKFSSQQASTKQSNASSDVEVEEKETNVSKE DTDQEEKASNEDVTKAVDITTPKAARRGRKRKAEKQVDTEEAGMVTAATASNVKASPKRG RPAATEVKIPKPRGRPKVVKQPCPSDGDMVIDEDKSKKKGPEEKQPKKQLKKEEEGQKEE EKPRKEPDKKEGKKEVESKRKNLAKPGVTSTSDSEDEDDQEGEKKRKGGRNFQAAHRRNM LKGQHEKEAGDRKRKQEEQMETEHQTTCNLQ >ENSMUSP00000121950.1 pep:known chromosome:GRCm38:4:83476298:83486178:-1 gene:ENSMUSG00000028484.16 transcript:ENSMUST00000130626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psip1 description:PC4 and SFRS1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2142116] MTRDFKPGDLIFAKMKGYPHWPARVDEVPDGAVKPPTNKLPIFFFGTHETAFLGPKDIFP YSENKEKYGKPNKRKGFNEGLWEIDNNPKV >ENSMUSP00000146405.1 pep:known chromosome:GRCm38:7:44876765:44892391:-1 gene:ENSMUSG00000002968.8 transcript:ENSMUST00000208253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med25 description:mediator complex subunit 25 [Source:MGI Symbol;Acc:MGI:1922863] MVPGSEGPARAGGLVADVVFVIEGTANLGPYFEELRKHYLLPAIEYFNGGPPAETDFGGD YGGTQYSLVVFNTVDCAPESYVQCHAPTSSAYEFVTWLDGIKFMGGGGESCSLIAEGLST ALQLFDDFKKMREQIGQTHRVCLLICNSPPYLLPAVESTTYSGCTTESLVQKIGERGIHF SIVSPRKLPALRLLFEKAAPPALLEPLQQPADVSQDPRHMVLVRGLVLPVGGSSTSGSLQ TKQAVPLPPAPASAATLSAAPPQALPPVPPQYQVPGNLSAAQVAAQNAVEAAKSQKAGLG PRFSPINPLQQAAPGVGPPFSQAPAPPLAPVPPGAPKPPPASQPSLVSTVAPGPVLAAPA QPGAPSLAGTVTPGGVNGPSAAQLGGPALGGQQSVSNKLLAWSGVLEWQEKPKPASVDAN TKLTRSLPCQVYVNHGENLKTEQWPQKLIMQLIPQQLLTTLGPLFRNSRMVQFHFTNKDL ESLKGLYRIMGNGFAGCVHFPHTAPCEVRVLMLLYSSKKKIFMGLIPYDQSGFVNGIRQV ITNHKQVQQQKLEQQRGMGAQQAPPVLGPILEEQARPPQNLLQLRAPQPQPQGAVGASAA TGQPQPQGATQAPTGAPQGPPGAAPGPPPSGPILRPQNPGANPQLRSLLLNPAPPQTGVP PPQASLHHLQPPGAPTLLPPHQSMGQPQLGPQLLHPPPAQSWPTQLPQRAPLPVAKRKRE GEGRVFREKWERDYFFVEVKSMPTCLICKKNVSVLKEYNLKRHYESQHSKSYDQYTAQSR DTLLQELKRALRASEALESQE >ENSMUSP00000003049.6 pep:known chromosome:GRCm38:7:44879384:44892712:-1 gene:ENSMUSG00000002968.8 transcript:ENSMUST00000003049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med25 description:mediator complex subunit 25 [Source:MGI Symbol;Acc:MGI:1922863] MVPGSEGPARAGGLVADVVFVIEGTANLGPYFEELRKHYLLPAIEYFNGGPPAETDFGGD YGGTQYSLVVFNTVDCAPESYVQCHAPTSSAYEFVTWLDGIKFMGGGGESCSLIAEGLST ALQLFDDFKKMREQIGQTHRVCLLICNSPPYLLPAVESTTYSGCTTESLVQKIGERGIHF SIVSPRKLPALRLLFEKAAPPALLEPLQQPADVSQDPRHMVLVRGLVLPVGGSSTSGSLQ TKQAVPLPPAPASAATLSAAPPQALPPVPPQYQVPGNLSAAQVAAQNAVEAAKSQKAGLG PRFSPINPLQQAAPGVGPPFSQAPAPPLAPVPPGAPKPPPASQPSLVSTVAPGPVLAAPA QPGAPSLAGTVTPGGVNGPSAAQLGGPALGGQQSVSNKLLAWSGVLEWQEKPKPASVDAN TKLTRSLPCQVYVNHGENLKTEQWPQKLIMQLIPQQLLTTLGPLFRNSRMVQFHFTNKDL ESLKGLYRIMGNGFAGCVHFPHTAPCEVRVLMLLYSSKKKIFMGLIPYDQSGFVNGIRQV ITNHKQVQQQKLEQQRGMGAQQAPPVLGPILEEQARPPQNLLQLRAPQPQPQGAVGASAA TGQPQPQGATQAPTGAPQGPPGAAPGPPPSGPILRPQNPGANPQLRSLLLNPAPPQTGVP PPQASLHHLQPPGAPTLLPPHQSMGQPQLGPQLLHPPPAQSWPTQLPQRAPLPGQMLLSG GPRGPVPQPGLQPSVMEDDILMDLI >ENSMUSP00000146498.1 pep:known chromosome:GRCm38:7:44879391:44892366:-1 gene:ENSMUSG00000002968.8 transcript:ENSMUST00000208551.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med25 description:mediator complex subunit 25 [Source:MGI Symbol;Acc:MGI:1922863] MVPGSEGPARAGGLVADVVFVIEGTANLGPYFEELRKHYLLPAIEYFNGGPPAETDFGGD YGGTQYSLVVFNTVDCAPESYVQCHAPTSSAYEFVTWLDGIKFMGGGGESCSLIAEGLST ALQLFDDFKKMREQIGQTHRVCLLICNSPPYLLPAVESTTYSGCTTESLVQKIGERGIHF SIVSPRKLPALRLLFEKAAPPALLEPLQQPADVSQDPRHMVLVRGLVLPVGGSSTSGSLQ TKQAVPLPPAPASAATLSAAPPQALPPVPPQYQVPGNLSAAQVAAQNAVEAAKSQKAGLG PRFSPINPLQQAAPGVGPPFSQAPAPPLAPVPPGAPKPPPASQPSLVSTVAPGPVLAAPA QPGAPSLAGTVTPGGVNGPSAAQLGGPALGGQQSVSNKLLAWSGVLEWQEKPKPASVDAN TKLTRSLPCQVYVNHGENLKTEQWPQKLIMQLIPQQLLTTLGPLFRNSRMVQFHFTNKDL ESLKGLYRIMGNGFAGCVHFPHTAPCEVRVLMLLYSSKKKIFMGLIPYDQSGFVNGIRQV ITNHKQVQQQKLEQQRGMGAQQAPPVLGPILEEQARPPQNLLQLRAPQPQPQGAVGASAA TGQPQPQGATQAPTGAPQGPPGAAPGPPPSGPILRPQNPGANPQLRSLLLNPAPPQTGVP PPQASLHHLQPPGAPTLLPPHQSMGQPQLGPQLLHPPPAQSWPTQLPQRAPLPGKGAPGE DRGLEWESLKPLVRTLLPKGLP >ENSMUSP00000146595.1 pep:known chromosome:GRCm38:7:44879391:44892419:-1 gene:ENSMUSG00000002968.8 transcript:ENSMUST00000207278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med25 description:mediator complex subunit 25 [Source:MGI Symbol;Acc:MGI:1922863] MGGGGESCSLIAEGLSTALQLFDDFKKMREQIGQTHRVCLLICNSPPYLLPAVESTTYSG CTTESLVQKIGERGIHFSIVSPRKLPALRLLFEKAAPPALLEPLQQPADVSQDPRHMVLV RGLVLPVGGSSTSGSLQTKQAVPLPPAPASAATLSAAPPQALPPVPPQYQVPGNLSAAQV AAQNAVEAAKSQKAGLGPRFSPINPLQQAAPGVGPPFSQAPAPPLAPVPPGAPKPPPASQ PSLVSTVAPGPVLAAPAQPGAPSLAGTVTPGGVNGPSAAQLGGPALGGQQSVSNKLLAWS GVLEWQEKPKPASVDANTKLTRSLPCQVYVNHGENLKTEQWPQKLIMQLIPQQLLTTLGP LFRNSRMVQFHFTNKDLESLKGLYRIMGNGFAGCVHFPHTAPCEVRVLMLLYSSKKKIFM GLIPYDQSGFVNGIRQVITNHKQVQQQKLEQQRGLQLRAPQPQPQGAVGASAATGQPQPQ GATQAPTGAPQGPPGAAPGPPPSGPILRPQNPGANPQLRSLLLNPAPPQTGVPPPQASLH HLQPPGAPTLLPPHQSMGQPQLGPQLLHPPPAQSWPTQLPQRAPLPGQMLLSGGPRGPVP QPGLQPSVMEDDILMDLI >ENSMUSP00000146864.1 pep:known chromosome:GRCm38:7:44880311:44884120:-1 gene:ENSMUSG00000002968.8 transcript:ENSMUST00000207848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med25 description:mediator complex subunit 25 [Source:MGI Symbol;Acc:MGI:1922863] XKPKPASVDANTKLTRSLPCQVYVNHGENLKTEQWPQKLIMQLIPQQLLTTLGPLFRNSR MVQFHFTNKDLESLKGLYRIMGNGFAGCVHFPHTAPCEVRVLMLLYSSKKKIFMGLIPYD QSGFVNGIRQVITNHKQVQQQKLEQQRGLRAPQPQPQGAVGASAATGQPQPQGATQAPTG APQGPPGAAPGPPPSGPIL >ENSMUSP00000146676.1 pep:known chromosome:GRCm38:7:44880634:44884710:-1 gene:ENSMUSG00000002968.8 transcript:ENSMUST00000209191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med25 description:mediator complex subunit 25 [Source:MGI Symbol;Acc:MGI:1922863] XPFSQAPAPPLAPVPPGAPKPPPASQPSLVSTVAPGPVLAAPAQPGAPSLQAGTVTPGGV NGPSAAQLGGPALGGQQSVSNKLLAWSGVLEWQEKPKPASVDANTKLTRSLPCQVYVNHG ENLKTEQWPQKLIMQLIPQQLLTTLGPLFRNSRMVQFHFTNKDLESLKGLYRIMGNGFAG CVHFPHTAPCEVRVLMLLYSSKKKIFMGLIPYDQSGFVNGIRQVITNHKQVQQQKLEQQR GMG >ENSMUSP00000146817.1 pep:known chromosome:GRCm38:7:44881028:44892407:-1 gene:ENSMUSG00000002968.8 transcript:ENSMUST00000207654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med25 description:mediator complex subunit 25 [Source:MGI Symbol;Acc:MGI:1922863] MVPGSEGPARAGGLVADVVFVIEGTANLGPYFEELRKHYLLPAIEYFNGGPPAETDFGGD YGGTQYSLVVFNTVDCAPESYVQCHAPTSSAYEFVTWLDGIKPVLGAEPYPDPARMSNSL SLLPANGIPRKLSSGIVKCRCLLRTSGRNPHLEFWEAPRPSLLHIRDGAYEDSTTFGQMF MGGGGESCSLIAEGLSTALQLFDDFKKMREQIGQTHRVCLLICNSPPYLLPAVESTTYSG CTTESLVQKIGERGIHFSIVSPRKLPALRLLFEKAAPPALLEPLQQPADVSQDPRHMVLV RGLVLPVGGSSTSGSLQTKQAVPLPPAPASAATLSAAPPQALPPVPPQYQVPGNLSAAQV AAQNAVEAAKSQKAGLGPRFSPINPLQQAAPGVGPPFSQAPAPPLAPVPPGAPKPPPASQ PSLVSTVAPGPVLAAPAQPGAPSLAGTVTPGGVNGPSAAQLGGPALGGQQSVSNKLLAWS GVLEWQEKPKPASVDANTKLTRSLPCQVYVNHGENLKTEQWPQKLIMQLIPQQLLTTLGP LFRNSRMVQFHFTNKDLESLKGLYRIMGNGFAGCVHFPHTAPCEVRVLMLLYSSKKKIF >ENSMUSP00000146475.1 pep:known chromosome:GRCm38:7:44885176:44892401:-1 gene:ENSMUSG00000002968.8 transcript:ENSMUST00000208556.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Med25 description:mediator complex subunit 25 [Source:MGI Symbol;Acc:MGI:1922863] MVPGSEGPARAGGLVADVVFVIEGTANLGPYFEELRKHYLLPAIEYFNGGPPAETDFGGD VSARPSHGMEAVWWNPVQPCGVQHRGLRSRVLCTMSRTYQQCL >ENSMUSP00000146422.1 pep:known chromosome:GRCm38:7:44885497:44891870:-1 gene:ENSMUSG00000002968.8 transcript:ENSMUST00000207490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med25 description:mediator complex subunit 25 [Source:MGI Symbol;Acc:MGI:1922863] XVDCAPESYVQCHAPTSSAYEFVTWLDGINLSLLPANGIPRKLSSGIVKCRCLLRTSGRN PHLEFWEAPRPSLLHIRDGAYEDSTTFGQMFMGGGGESCSLIAEGLSTALQLFDDFKKMR EQIGQTHRVCLLICNSPPYLLPAVESTTYSGCTTESLVQKIGERG >ENSMUSP00000147117.1 pep:known chromosome:GRCm38:7:44885866:44892418:-1 gene:ENSMUSG00000002968.8 transcript:ENSMUST00000207788.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Med25 description:mediator complex subunit 25 [Source:MGI Symbol;Acc:MGI:1922863] MVPGSEGPARAGGLVADVVFVIEGTANLGPYFEELRKHYLLPAIEYFNGGPPAETDFGGD VHGRWWREL >ENSMUSP00000071630.3 pep:known chromosome:GRCm38:X:53774048:53776394:-1 gene:ENSMUSG00000059334.6 transcript:ENSMUST00000071711.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp36l3 description:zinc finger protein 36, C3H type-like 3 [Source:MGI Symbol;Acc:MGI:3525151] MANNNLNRPLNTNVADSSNSSSTPGTAPPPSSSDPQVLGHQAPSSSASSLTEDCSSSFAR DLNSYNNGQSGATGAVSWEAPHEPSEANAVSQIHPRNGEHSLQQKPKPQKVSGSSSLATS ERYKTELCRPFEESGICKYGHKCQFAHGYRELRTLSRHPKYKTEPCRTFHSVGFCPYGTR CHFIHNQPEQQPVLSESTLEEPSSFNGSNVLHLGVNGEQQPGLQSDSPSGFLSVNSQALQ APLQLNQQALSSGGVMPSSHPAAANLRMMCCRTSSSTTAHDADKDPDKDADKDPSNNSAN DALAFPQEPGDFSPVAFQNPNTATTTPTAFYNNQQQMGLAASAQFQMPLARPLPSATIFG QASVGPALTPGAAMAPGAALAPAAALTPAAALAPGAAMALGAAMATGAAMATGAALTPGA ALALGAAMAAGAALAPGAAMAPGAAMATGAALAFGAAMATGTTLTPGAAMALGAAMATGA ALAPGAAVAPRAALAPRAAFAPGAAALAPRAALPPGAALTPGAALAPGAALAPRAALPPG ATLRPGAALIPRAALAPGAALAPGAALTPGAALAPGATLAPRAALAPGAALAPRITITSR AAITPGVAIAPGVATASTGILAPGAATATVGNTSSTTITAATAAEGAAPHFTFQLPDVES ESESESLEFDVVTSTLDSLLVSDDEDEDDFLRRSSSSSSLNESEFDNTNSSRRLPIFSRF SDSEK >ENSMUSP00000087282.2 pep:known chromosome:GRCm38:14:50034391:50035500:-1 gene:ENSMUSG00000068437.3 transcript:ENSMUST00000089844.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr725 description:olfactory receptor 725 [Source:MGI Symbol;Acc:MGI:3030559] MNERNYSHVTEFVLLGLSSSKELQPFLFLIFSLLYLAILLGNFLIILTVTSDSRLHTPMY FLLANLSFIDMCVASFATPKMLADFLVERKTISFEACLAQIFCIHLFGGGEMVLLVSMAY DRYVAICKPLHYMTIMSRRVCITLVIIPWFVGFIHTMSQLAFTVNLPFCGPNQVDSFFCD LPLVTKLACTDTYFVSLLIVADSGVLTLSTFVFLVISYTVVLITVRNRSSASMAKARSTL TAHIIVVVLFFGPCIFIYAWPFNGYSVDKVLAVFYTIFTPILNPLIYTLRNKDVKAAMSK LRDQYMKPRQVSELIRNFLFL >ENSMUSP00000145828.1 pep:known chromosome:GRCm38:14:50035016:50040092:-1 gene:ENSMUSG00000068437.3 transcript:ENSMUST00000206920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr725 description:olfactory receptor 725 [Source:MGI Symbol;Acc:MGI:3030559] MNERNYSHVTEFVLLGLSSSKELQPFLFLIFSLLYLAILLGNFLIILTVTSDSRLHTPMY FLLANLSFIDMCVASFATPKMLADFLVERKTISFEACLAQIFCIHLFGGGEMVLLVSMAY DRYVAICKP >ENSMUSP00000042958.7 pep:known chromosome:GRCm38:11:75468079:75470657:1 gene:ENSMUSG00000038217.13 transcript:ENSMUST00000043598.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlcd2 description:TLC domain containing 2 [Source:MGI Symbol;Acc:MGI:1917141] MASWGLLVAGASFTAFRGLHWGLQLLPTPKSVRDRWMWRNIFVSLIHSLLSGVGALVGLW QFPQMVTDPINDHPPWARVLVAVSVGYFAADGVDMLWNQTLAQAWDLLCHHLAVVSCLST AVVSGHYVGFSMVSLLLELNSICLHLRKLLLLSHKAPSLAFRVSSWASLATLVLFRLLPL GWMSLWLSRQHYQLSLALVLLCVAGLVTVGSISISTGIRILTKDILQSQPYPFILMHKET KTREPVARNTSTLSLKGSRYLYSTAAAALGGHLMVLASPKRCMTPSVLGLQERRLEPGKV AHADNASTWE >ENSMUSP00000104074.1 pep:known chromosome:GRCm38:11:75468079:75470899:1 gene:ENSMUSG00000038217.13 transcript:ENSMUST00000108435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlcd2 description:TLC domain containing 2 [Source:MGI Symbol;Acc:MGI:1917141] MASWGLLVAGASFTAFRGLHWGLQLLPTPKSVRDRWMWRNIFVSLIHSLLSGVGALVGLW QFPQMVTDPINDHPPWARVLVAVSVGYFAADGVDMLWNQTLAQAWDLLCHHLAVVSCLST AVVSGHYVGFSMVSLLLELNSICLHLRKLLLLSHKAPSLAFRAPDTCTPLLLLLLVVISW YWHLQNAA >ENSMUSP00000030738.7 pep:known chromosome:GRCm38:4:124678160:124693600:-1 gene:ENSMUSG00000028907.7 transcript:ENSMUST00000030738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp11l description:UTP11-like, U3 small nucleolar ribonucleoprotein, (yeast) [Source:MGI Symbol;Acc:MGI:1914455] MSAAFRKAAKTRQREHRERSQPGFRKRLGLLEKKKDYKLRANDYHKKQDFLRALRKKALE KNPDEFYYKMTRAKLQDGVHIFKENKEEVTAEQLKLMRTQDIKYIEMKRVAEAKKIERLK SELHLLDFQGKQQKKHVFFFDTKKEVERFDVATHLQTAPELVDRVYNRPRIETLQKERVK GATPQTGLKRIAKERQKQYDCLTQRIEREKQLFVVAQKIQTRKDLMDKTQKVKVKKETVN SPAIYRFQTRRKR >ENSMUSP00000110700.1 pep:known chromosome:GRCm38:9:37401897:37414023:1 gene:ENSMUSG00000032125.19 transcript:ENSMUST00000115048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Robo4 description:roundabout homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1921394] MGQGEEPRAAMGSGGTGLLGTEWPLPLLLLFIMGGEALDSPPQILVHPQDQLLQGSGPAK MRCRSSGQPPPTIRWLLNGQPLSMATPDLHYLLPDGTLLLHRPSVQGRPQDDQNILSAIL GVYTCEASNRLGTAVSRGARLSVAVLQEDFQIQPRDTVAVVGESLVLECGPPWGYPKPSV SWWKDGKPLVLQPGRRTVSGDSLMVSRAEKNDSGTYMCMATNNAGQRESRAARVSIQESQ DHKEHLELLAVRIQLENVTLLNPEPVKGPKPGPSVWLSWKVSGPAAPAESYTALFRTQRS PRDQGSPWTEVLLRGLQSAKLGGLHWGQDYEFKVRPSSGRARGPDSNVLLLRLPEQVPSA PPQGVTLRSGNGSVFVSWAPPPAESHNGVIRGYQVWSLGNASLPAANWTVVGEQTQLEIA TRLPGSYCVQVAAVTGAGAGELSTPVCLLLEQAMEQSARDPRKHVPWTLEQLRATLRRPE VIASSAVLLWLLLLGITVCIYRRRKAGVHLGPGLYRYTSEDAILKHRMDHSDSPWLADTW RSTSGSRDLSSSSSLSSRLGLDPRDPLEGRRSLISWDPRSPGVPLLPDTSTFYGSLIAEQ PSSPPVRPSPKTPAARRFPSKLAGTSSPWASSDSLCSRRGLCSPRMSLTPTEAWKAKKKQ ELHQANSSPLLRGSHPMEIWAWELGSRASKNLSQSPGPNSGSPGEAPRAVVSWRAVGPQL HRNSSELASRPLPPTPLSLRGASSHDPQSQCVEKLQAPSSDPLPAAPLSVLNSSRPSSPQ ASFLSCPSPSSSNLSSSSLSSLEEEEDQDSVLTPEEVALCLELSDGEETPTNSVSPMPRA PSPPTTYGYISIPTCSGLADMGRAGGGVGSEVGNLLYPPRPCPTPTPSEGSLANGWGSAS EDNVPSARASLVSSSDGSFLADTHFARALAVAVDSFGLSLDPREADCVFTDASSPPSPRG DLSLTRSFSLPLWEWRPDWLEDAEISHTQRLGRGLPPWPPDSRASSQRSWLTGAVPKAGD SS >ENSMUSP00000110698.1 pep:known chromosome:GRCm38:9:37402033:37414021:1 gene:ENSMUSG00000032125.19 transcript:ENSMUST00000115046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Robo4 description:roundabout homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1921394] MGQGEEPRAAMGSGGTGLLGTEWPLPLLLLFIMGGEALDSPPQILVHPQDQLLQGSGPAK MRCRSSGQPPPTIRWLLNGQPLSMATPDLHYLLPDGTLLLHRPSVQGRPQDDQNILSAIL GVYTCEASNRLGTAVSRGARLSVAVLQEDFQIQPRDTVAVVGESLVLECGPPWGYPKPSV SWWKDGKPLVLQPGRRTVSGDSLMVSRAEKNDSGTYMCMATNNAGQRESRAARVSIQESQ DHKEHLELLAVRIQLENVTLLNPEPVKGPKPGPSVWLSWKVSGPAAPAESYTALFRTQRS PRDQGSPWTEVLLRGLQSAKLGGLHWGQDYEFKVRPSSGRARGPDSNVLLLRLPEQVPSA PPQGVTLRSGNGSVFVSWAPPPAESHNGVIRGYQVWSLGNASLPAANWTVVGEQTQLEIA TRLPGSYCVQVAAVTGAGAGELSTPVCLLLGEGKALSISSTPPPCRPSVSQFLSYIFSSS LSCLLVPLTVPLALPLSSTSQTEQAMEQSARDPRKHVPWTLEQLRATLRRPEVIASSAVL LWLLLLGITVCIYRRRKAGVHLGPGLYRYTSEDAILKHRMDHSDSPWLADTWRSTSGSRD LSSSSSLSSRLGLDPRDPLEGRRSLISWDPRSPGVPLLPDTSTFYGSLIAEQPSSPPVRP SPKTPAARRFPSKLAGTSSPWASSDSLCSRRGLCSPRMSLTPTEAWKAKKKQELHQANSS PLLRGSHPMEIWAWELGSRASKNLSQSPGPNSGSPGEAPRAVVSWRAVGPQLHRNSSELA SRPLPPTPLSLRGASSHDPQSQCVEKLQAPSSDPLPAAPLSVLNSSRPSSPQASFLSCPS PSSSNLSSSSLSSLEEEEDQDSVLTPEEVALCLELSDGEETPTNSVSPMPRAPSPPTTYG YISIPTCSGLADMGRAGGGVGSEVGNLLYPPRPCPTPTPSEGSLANGWGSASEDNVPSAR ASLVSSSDGSFLADTHFARALAVAVDSFGLSLDPREADCVFTDASSPPSPRGDLSLTRSF SLPLWEWRPDWLEDAEISHTQRLGRGLPPWPPDSRASSQRSWLTGAVPKAGDSS >ENSMUSP00000099959.4 pep:known chromosome:GRCm38:9:37402046:37414022:1 gene:ENSMUSG00000032125.19 transcript:ENSMUST00000102895.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Robo4 description:roundabout homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1921394] MGQGEEPRAAMGSGGTGLLGTEWPLPLLLLFIMGGEALDSPPQILVHPQDQLLQGSGPAK MRCRSSGQPPPTIRWLLNGQPLSMATPDLHYLLPDGTLLLHRPSVQGRPQDDQNILSAIL GVYTCEASNRLGTAVSRGARLSVAVLQEDFQIQPRDTVAVVGESLVLECGPPWGYPKPSV SWWKDGKPLVLQPGRRTVSGDSLMVSRAEKNDSGTYMCMATNNAGQRESRAARVSIQESQ DHKEHLELLAVRIQLENVTLLNPEPVKGPKPGPSVWLSWKVSGPAAPAESYTALFRTQRS PRDQGSPWTEVLLRGLQSAKLGGLHWGQDYEFKVRPSSGRARGPDSNVLLLRLPEQVPSA PPQGVTLRSGNGSVFVSWAPPPAESHNGVIRGYQVWSLGNASLPAANWTVVGEQTQLEIA TRLPGSYCVQVAAVTGAGAGELSTPVCLLLEQAMEQSARDPRKHVPWTLEQLRATLRRPE VIASSAVLLWLLLLGITVCIYRRRKAGVHLGPGLYRYTSEDAILKHRMDHSDSPWLADTW RSTSGSRDLSSSSSLSSRLGLDPRDPLEGRRSLISWDPRSPGVPLLPDTSTFYGSLIAEQ PSSPPVRPSPKTPAARRFPSKLAGTSSPWASSDSLCSRRGLCSPRMSLTPTEAWKAKKKQ ELHQANSSPLLRGSHPMEIWAWELGSRASKNLSQSPGEAPRAVVSWRAVGPQLHRNSSEL ASRPLPPTPLSLRGASSHDPQSQCVEKLQAPSSDPLPAAPLSVLNSSRPSSPQASFLSCP SPSSSNLSSSSLSSLEEEEDQDSVLTPEEVALCLELSDGEETPTNSVSPMPRAPSPPTTY GYISIPTCSGLADMGRAGGGVGSEVGNLLYPPRPCPTPTPSEGSLANGWGSASEDNVPSA RASLVSSSDGSFLADTHFARALAVAVDSFGLSLDPREADCVFTDASSPPSPRGDLSLTRS FSLPLWEWRPDWLEDAEISHTQRLGRGLPPWPPDSRASSQRSWLTGAVPKAGDSS >ENSMUSP00000099122.3 pep:known chromosome:GRCm38:6:30956023:30959078:-1 gene:ENSMUSG00000073209.4 transcript:ENSMUST00000101589.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf14 description:Kruppel-like factor 14 [Source:MGI Symbol;Acc:MGI:3577024] MSAAVACLDYFAAECLVSMSTRAVLHRRATDPEGASAAAVSEVGAVSRESAGKGTGSRGV LWIPPVLQVPTPSPGEGDGAPHLLAASALADLSCGAREDFREDSEEAPCASTSCFEPTWC SSPTGGSEPTQAFFEDELSDAESSCSDSAILDAPEASEEPDDSGEVPEGPPGARPAPSTG PTYRRRQITPASKRHQCSFHGCNKAYYKSSHLKSHQRTHTGERPFSCDWLDCDKKFTRSD ELARHYRTHTGEKRFSCPLCPKQFSRSDHLTKHARRHPTYHPDMIEYRGRRRTPRPEPPP PAMVESSGSDSSSSSGQETSFTACL >ENSMUSP00000108779.1 pep:known chromosome:GRCm38:X:135718667:135725261:-1 gene:ENSMUSG00000060726.10 transcript:ENSMUST00000113154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb15a description:thymosin beta 15a [Source:MGI Symbol;Acc:MGI:1925728] MTHWYCKGSISLALKLTEDRAVGLIGGAVSLIVKMGDRPDLSEVERFDKSKLKKTITEVK NTLPSKETIEQEKEFVKRS >ENSMUSP00000073355.2 pep:known chromosome:GRCm38:X:135718667:135720673:-1 gene:ENSMUSG00000060726.10 transcript:ENSMUST00000073674.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb15a description:thymosin beta 15a [Source:MGI Symbol;Acc:MGI:1925728] MGDRPDLSEVERFDKSKLKKTITEVKNTLPSKETIEQEKEFVKRS >ENSMUSP00000029658.7 pep:known chromosome:GRCm38:3:129269175:129332720:-1 gene:ENSMUSG00000028024.14 transcript:ENSMUST00000029658.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpep description:glutamyl aminopeptidase [Source:MGI Symbol;Acc:MGI:106645] MNFAEEEPSKKYCIKGKHVAIICGVVVAVGLIVGLSVGLTRSCEQDTTPAPSQPPPEAST ALPPQDQNVCPDSEDESGEWKNFRLPDFINPVHYDLEVKALMEEDRYTGIVTISVNLSKP TRDLWLHIRETKITKLPELRRPSGEQVPIRRCFEYKKQEYVVIQAAEDLAATSGDSVYRL TMEFKGWLNGSLVGFYKTTYMEDGQIRSIAATDHEPTDARKSFPCFDEPNKKSTYSISII HPKEYSALSNMPEEKSEMVDDNWKKTTFVKSVPMSTYLVCFAVHRFTAIERKSRSGKPLK VYVQPNQKETAEYAANITQAVFDYFEDYFAMEYALPKLDKIAIPDFGTGAMENWGLVTYR ETNLLYDPLLSASSNQQRVASVVAHELVHQWFGNTVTMDWWDDLWLNEGFASFFEFLGVN HAEKDWQMLSQVLLEDVFPVQEDDSLMSSHPVVVTVSTPAEITSVFDGISYSKGASILRM LQDWITPEKFQKGCQIYLKKFQFANAKTSDFWDSLQEASNLPVKEVMDTWTSQMGYPVVT VSGRQNITQKRFLLDSKADPSQPPSELGYTWNIPVRWADNDNSRITVYNRLDKGGITLNA NLSGDAFLKINPDHIGFYRVNYEGGTWDWIAEALSSNHTRFSAADRSSFIDDAFALARAQ LLNYKIALNLTMYLKSEEDFLPWERVISSVSYIISMFEDDRELYPMIETYFQGQVKPVAD LLGWQDTGSHITKLLRASILGFACKMGDREALGNASQLFDSWLKGSASIPVNLRLLVYRY GMQNSGNEAAWNYTLEQYQKTSLAQEKEKLLYGLASVKDVKLLARYLEMLKDPNIIKTQD VFTVIRYISYNSYGKTMAWNWIQLNWDYLVSRFTINDRYLGRIVTIAEPFNTELQLWQMQ SFFAKYPNAGAGAKPREQVLETVKNNIEWLNVNRQSIREWFASLP >ENSMUSP00000128872.1 pep:known chromosome:GRCm38:3:129270277:129332264:-1 gene:ENSMUSG00000028024.14 transcript:ENSMUST00000170918.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Enpep description:glutamyl aminopeptidase [Source:MGI Symbol;Acc:MGI:106645] XEDESGEWKNFRLPDFINPVHYDLEVKALMEEDRYTGIVTISVNLSKPTRDLWLHIRETK ITKLPELRRPSGEQVPIRRCFEYKKQEYVVIQAAEDLAATSGDSVYRLTMEFKGWLNGSL VGFYKTTYMEDGQIRSIAATDHEPTDARKSFPCFDEPNKKSTYSISIIHPKEYSALSNMP EEKSEMVDDNWKKTTFVKSVPMSTYLVCFAVHRFTAIERKSRSGKPLKVYVQPNQKETAE YAANITQAVFDYFEDYFAMEYALPKLDKIAIPDFGTGAMENWGLVTYRETNLLYDPLLSA SSNQQRVASVVAHELVHQWFGNTVTMDWWDDLWLNEGFASFFEFLGVNHAEKDWQMLSQV LLEDVFPVQEDDSLMSSHPVVVTVSTPAEITSVFDGISYSKGASILRMLQDWITPEKFQK GCQIYLKKFQFANAKTSDFWDSLQEASNLPVKEVMDTWTSQMGYPVVTVSGRQNITQKRF LLDSKADPSQPPSELGNHSEC >ENSMUSP00000129759.1 pep:known chromosome:GRCm38:3:129276764:129309034:-1 gene:ENSMUSG00000028024.14 transcript:ENSMUST00000169240.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Enpep description:glutamyl aminopeptidase [Source:MGI Symbol;Acc:MGI:106645] XDWQMLSQVLLEDVFPVQEDDSLMSSHPVVVTVSTPAEITSVFDGISYSKGASILRMLQD WITPEKFQKGCQIYLKKFQFANAKTSDFWDSLQEASNLPVKEVMDTWTSQMGYPVVTVSG RQNITQKRFLLDSKADPSQPPSELGYTWNIPVRWADNDNSRITVYNRLDKGGITLNANLS GDAFLKINPDHIGFYRVNYEGGTWDWIAEALSSNHTYSGKPQAACVPLWNAELWQ >ENSMUSP00000050237.8 pep:known chromosome:GRCm38:6:49367739:49390539:1 gene:ENSMUSG00000047115.15 transcript:ENSMUST00000060561.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam221a description:family with sequence similarity 221, member A [Source:MGI Symbol;Acc:MGI:2442161] MERLTLPPGGAEAVDEYLEYRRIVGEDDGGKLFTPEEYEEYKKKVLPMRLQNRLFVSWRS PTGMDCKLVGPETLCFCTHRYKQHKTDFETIPQQRPIALPCRVSGCRCKAYHYVPLNGTQ PIRCRCKHFADQHSAALGFTCNACSKCSGFHSCYTCACGQPAYAHDTVVETRQERLAQGK PVGRDVPYAAMGGLTGFSSLAEGYMRLDDSGIGAPSVEVLDSAVSAMDHPFLRAMHAPST SSPQPLAGGNEVGPSTQLSSLRKPEEDDMAYFERQYQERIKLEKAAKQKGKVPPLPSTKP S >ENSMUSP00000113242.1 pep:known chromosome:GRCm38:6:49367823:49389280:1 gene:ENSMUSG00000047115.15 transcript:ENSMUST00000121903.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam221a description:family with sequence similarity 221, member A [Source:MGI Symbol;Acc:MGI:2442161] MERLTLPPGGAEAVDEYLEYRRIVGEDDGGKLFTPEEYEEYKKKVLPMRLQNRLFVSWRS PTGMDCKLVGPETLCFCTHRYKQHKTDFETIPQQRPIALPCRVSGCRCKAYHYVPLNGTQ PIRCRCKHFADQHSAALGFTCNACSKCSGFHSCYTCACGQPAYAHDTVVETRQERLAQGK PVGRDVPYAAMGGLTGFSSLAEGYMRLDDSGIGAPSVEVLDSAVSAMDHPFLRAMHAPST SSPQPLAGGNEDKAGKGC >ENSMUSP00000114554.1 pep:known chromosome:GRCm38:6:49367896:49376242:1 gene:ENSMUSG00000047115.15 transcript:ENSMUST00000134786.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam221a description:family with sequence similarity 221, member A [Source:MGI Symbol;Acc:MGI:2442161] MDCKLVGPETLCFCTHRYKQHKTDFETIPQQRPIALPCRVSGCRCKAYHYVPLNGTQPIR CRC >ENSMUSP00000109502.2 pep:known chromosome:GRCm38:X:95420318:95444872:-1 gene:ENSMUSG00000050332.7 transcript:ENSMUST00000084535.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amer1 description:APC membrane recruitment 1 [Source:MGI Symbol;Acc:MGI:1919595] MESQQDEAVQTKGASTSSDAQDQGAEKGAKNKTTEATEGPTSEPPLSGPGRLKKTAMKLF GGKKGICTLPSFFGGGRSKGSGKVSSKKSLNKSKTHDGLSEASQGPEDVVIEETDLSTPL SKSSAQFPSSQSANGALEIGSKHKTSGTEAIEKAGVEKVPSVHKPKKSLKSFFSSIRRHR KGKTSGADQSVPGAKELEGARTRSHEHVSSISLPSSEEIFRDTRKENAKPQDAPGPKMSP AQVHFSPTTEKAACKNPEKLTRTCASEFMQPKPVLEGGSLEEPHTSETEGKVVAGEVNPP NGPVGDQLSLLFGDVTSLKSFDSLTGCGDIIAEQDMDSMTDSMASGGQRANRDGTKRSSC LVTYQGGGEEMALPDDDDNDDEEEEEEEEEEEEEEEEEEEEEEEEEEEELLEDEEEVKDG EENDDLEYLWASAQIYPRFNMNLGYHTAISPSHQGYMLLDPVQSYPNLGLGELLTPQSDQ QESAPNSDEGYYDSTTPGFEDDSGEALGLAHRDCLPRDSYSGDALYEFYEPDDSLEHSPP GDDCLYDLRGRNSEMLDPFLNLEPFSSRPPGAMETEEERLVTIQKQLLYWELRREQREAQ EACAREAHAREAYARDTHTRESYGRNVRARETQALEAHSQEGRVQETKVRQEKPALEYQM RPLGPSVMGLVAGTSGGSQTSHRGTTSAFPATSSSEPDWRDFRPLEKRFEGTCSKKDQST CLMQLFQSDAMFEPDMQEANFGGSPRKAYPSYSPPEEPEEEEEEKEGNATVSFSQALVEF TSNGNLFTSMSYSSDSDSSFTQNLPELPPMVTFDIADVERDGEGKCEENPEFNNDEDLTA SLEAFELGYYHKHAFNSYHSRFYQGLPWGVSSLPRYLGLPGVHPRPPPAAMALNRRSRSL DNAESLELELSSSHLAQGYMESDELQAHQEDSDEEGEEEEGEWGRDSPLSLYTEPPGVYD WPPWAHCPLPVGPGLAWMSPNQLYEPFNQSSYVQATCCVPPVAMPVSVPGRTPGDSVSQL ARPSHLPLPMGPCYNLQSQASQSGRAKPRDVLLPVDEPSCSSISGANSQSQAKPVGITHG IPQLPRVRPEPFQLQPNHYRASNLDLSKERGEQGASLSTSYSSTAMNGNLAK >ENSMUSP00000029380.7 pep:known chromosome:GRCm38:3:57455649:57575828:-1 gene:ENSMUSG00000027803.14 transcript:ENSMUST00000029380.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwtr1 description:WW domain containing transcription regulator 1 [Source:MGI Symbol;Acc:MGI:1917649] MNPSSVPHPLPPPGQQVIHVTQDLDTDLEALFNSVMNPKPSSWRKKILPESFFKEPDSGS HSRQSSTDSSGGHPGPRLAGGAQHVRSHSSPASLQLGTGAGAAGGPAQQHAHLRQQSYDV TDELPLPPGWEMTFTATGQRYFLNHIEKITTWQDPRKVMNQPLNHVNLHPSITSTSVPQR SMAVSQPNLAMNHQHQQVVATSLSPQNHPTQNQPTGLMSVPNALTTQQQQQQKLRLQRIQ MERERIRMRQEELMRQEAALCRQLPMETETMAPVNTPAMSTDMRSVTNSSSDPFLNGGPY HSREQSTDSGLGLGCYSVPTTPEDFLSNMDEMDTGENSGQTPMTVNPQQTRFPDFLDCLP GTNVDLGTLESEDLIPLFNDVESALNKSEPFLTWL >ENSMUSP00000113040.1 pep:known chromosome:GRCm38:3:57455782:57575910:-1 gene:ENSMUSG00000027803.14 transcript:ENSMUST00000120977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwtr1 description:WW domain containing transcription regulator 1 [Source:MGI Symbol;Acc:MGI:1917649] MHNSTAPLSARLFPKGGSLLQTLFMGQSGSRGGCARLRLLCRLLAQWERPRPVPGIKMNP SSVPHPLPPPGQQVIHVTQDLDTDLEALFNSVMNPKPSSWRKKILPESFFKEPDSGSHSR QSSTDSSGGHPGPRLAGGAQHVRSHSSPASLQLGTGAGAAGGPAQQHAHLRQQSYDVTDE LPLPPGWEMTFTATGQRYFLNHIEKITTWQDPRKVMNQPLNHVNLHPSITSTSVPQRSMA VSQPNLAMNHQHQQVVATSLSPQNHPTQNQPTGLMSVPNALTTQQQQQQKLRLQRIQMER ERIRMRQEELMRQEAALCRQLPMETETMAPVNTPAMSTDMRSVTNSSSDPFLNGGPYHSR EQSTDSGLGLGCYSVPTTPEDFLSNMDEMDTGENSGQTPMTVNPQQTRFPDFLDCLPGTN VDLGTLESEDLIPLFNDVESALNKSEPFLTWL >ENSMUSP00000121090.1 pep:known chromosome:GRCm38:9:44134469:44138934:1 gene:ENSMUSG00000032135.14 transcript:ENSMUST00000149241.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mcam description:melanoma cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1933966] MGLPKLVCVFLFAACCCCRRAAGVRSGCWMLGAGVPAERRSRGASPTRASRARLLLEKAV SLAGGGRRPLPLRLGLTPVWRCARRGKAASTHARPGGGRSGQHSPSQVWPLTGLRQLQPS GLVFDSQGEADTDFPCAPRQGPAGTW >ENSMUSP00000034650.8 pep:known chromosome:GRCm38:9:44134562:44142727:1 gene:ENSMUSG00000032135.14 transcript:ENSMUST00000034650.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcam description:melanoma cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1933966] MGLPKLVCVFLFAACCCCRRAAGVPGEEKQPVPTPDLVEAEVGSTALLKCGPSRASGNFS QVDWFLIHKERQILIFRVHQGKGQREPGEYEHRLSLQDSVATLALSHVTPHDERMFLCKS KRPRLQDHYVELQVFKAPEEPTIQANVVGIHVDRQELREVATCVGRNGYPIPQVLWYKNS LPLQEEENRVHIQSSQIVESSGLYTLKSVLSARLVKEDKDAQFYCELSYRLPSGNHMKES KEVTVPVFYPAEKVWVEVEPVGLLKEGDHVTIRCLTDGNPQPHFTINKKDPSTGEMEEES TDENGLLSLEPAEKHHSGLYQCQSLDLETTITLSSDPLELLVNYVSDVQVNPTAPEVQEG ESLTLTCEAESNQDLEFEWLRDKTGQLLGKGPVLQLNNVRREAGGRYLCMASVPRVPGLN RTQLVSVGIFGSPWMALKERKVWVQENAVLNLSCEASGHPQPTISWNVNGSATEWNPDPQ TVVSTLNVLVTPELLETGAECTASNSLGSNTTTIVLKLVTLTTLIPDSSQTTGLSTLTVS PHTRANSTSTEKKLPQPESKGVVIVAVIVCTLVLAVLGAALYFFYKKGKLPCGRSGKQEI TLPPTRKSEFVVEVKSDKLPEEMALLQGSNGDKRAPGDQGEKYIDLRH >ENSMUSP00000096451.2 pep:known chromosome:GRCm38:9:44134658:44142724:1 gene:ENSMUSG00000032135.14 transcript:ENSMUST00000098852.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcam description:melanoma cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1933966] MGLPKLVCVFLFAACCCCRRAAGVPGEEKQPVPTPDLVEAEVGSTALLKCGPSRASGNFS QVDWFLIHKERQILIFRVHQGKGQREPGEYEHRLSLQDSVATLALSHVTPHDERMFLCKS KRPRLQDHYVELQVFKAPEEPTIQANVVGIHVDRQELREVATCVGRNGYPIPQVLWYKNS LPLQEEENRVHIQSSQIVESSGLYTLKSVLSARLVKEDKDAQFYCELSYRLPSGNHMKES KEVTVPVFYPAEKVWVEVEPVGLLKEGDHVTIRCLTDGNPQPHFTINKKDPSTGEMEEES TDENGLLSLEPAEKHHSGLYQCQSLDLETTITLSSDPLELLVNYVSDVQVNPTAPEVQEG ESLTLTCEAESNQDLEFEWLRDKTGQLLGKGPVLQLNNVRREAGGRYLCMASVPRVPGLN RTQLVSVGIFGSPWMALKERKVWVQENAVLNLSCEASGHPQPTISWNVNGSATEWNPDPQ TVVSTLNVLVTPELLETGAECTASNSLGSNTTTIVLKLVTLTTLIPDSSQTTGLSTLTVS PHTRANSTSTEKKLPQPESKGVVIVAVIVCTLVLAVLGAALYFFYKKGKLPCGRSGKQEM ERNTSI >ENSMUSP00000117924.1 pep:known chromosome:GRCm38:9:44136544:44137272:1 gene:ENSMUSG00000032135.14 transcript:ENSMUST00000147836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcam description:melanoma cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1933966] VPTPDLVEAEVGSTALLKCGPSRASGNFSQVDWFLIHKERQILIFRVHQGKGQREPGEYE HRLSLQDSVATLALSHVTPHDERMFLWSCGPCSKDGSPCPCSFPFHCRSPRGTNYSSQCR GHPCGQARAQGGCYLCGEKRLPHSSSPMV >ENSMUSP00000124435.1 pep:known chromosome:GRCm38:1:85112267:85270178:-1 gene:ENSMUSG00000052477.15 transcript:ENSMUST00000161267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130026I21Rik description:RIKEN cDNA C130026I21 gene [Source:MGI Symbol;Acc:MGI:3612702] MAGGNNELSSRTIPEDQNEEESDDYQLMFKHFKENKVEIASAITKPFPFLMSLRDRDFIS EQKFQEYQETCKNLVPLERVVYDILSNVQKKFSRDLLKVIFSKTHLKAYPEIRNQVHQL >ENSMUSP00000125215.1 pep:known chromosome:GRCm38:1:85112418:85262070:-1 gene:ENSMUSG00000052477.15 transcript:ENSMUST00000162421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130026I21Rik description:RIKEN cDNA C130026I21 gene [Source:MGI Symbol;Acc:MGI:3612702] MDEPHMSHTCLSPGSTALPLQMSWKKRTIPEDQNEEESDDYQLMFKHFKENKVEIASAIT KPFPFLMSLRDRDFISEQKFQEYQETCKNLVPLERVVYDILSNVQKKFSRDLLKVIFSKT HLKAYPEIRNQVHQL >ENSMUSP00000125170.1 pep:known chromosome:GRCm38:1:85241286:85254548:-1 gene:ENSMUSG00000052477.15 transcript:ENSMUST00000161685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130026I21Rik description:RIKEN cDNA C130026I21 gene [Source:MGI Symbol;Acc:MGI:3612702] XEMLSLPQCNGGEGSSSCEQTCDEQEPQDDLPSSLRQEAARRKKRPNWSNSKRRLQKKKP RQDEMMGASRV >ENSMUSP00000125160.1 pep:known chromosome:GRCm38:1:85245640:85270143:-1 gene:ENSMUSG00000052477.15 transcript:ENSMUST00000159582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130026I21Rik description:RIKEN cDNA C130026I21 gene [Source:MGI Symbol;Acc:MGI:3612702] MAGGNNELSSRTIPEDQNEEESDDYQLMFKHFKENKVEIASAITKPFPFLMSLRDRDFIS EQKFQEYQETCKNLVPVERVVYDVLSNVQKKFSRDLLKVIFSKTHLKAYPDLKETLKHFF LNASKTNDEQAEEMLSLPQCNGGEGSSSCEQTCDEQEPQDDLPSSLRQEAARRKKRPNWS NSKRRLQKKKPRQDEMMEISKEASKTSGIKRPSTARRTTQ >ENSMUSP00000091224.5 pep:known chromosome:GRCm38:1:85246344:85270543:-1 gene:ENSMUSG00000052477.15 transcript:ENSMUST00000093506.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130026I21Rik description:RIKEN cDNA C130026I21 gene [Source:MGI Symbol;Acc:MGI:3612702] MAGGNNELSSRTIPEDQNEEESDDYQLMFKHFKENKVEIASAITKPFPFLMSLRDRDFIS EQKFQEYQETCKNLVPVERVVYDVLSNVQKKFSRDLLKVIFSKTHLKAYPDLKETLKHFF LNVSDSHRTHQRINGRNVEERPRLPSVVREASKTNDEQAEEMLSLPQCNGGEGSSSCEQT CDEQEPQDDLPSSLRQEAARRKKRPNWSNSKRRLQKKKPRQDEMMGVPSPGHGVQEKLKA VSRRTLWKDDSSTNVKEVTKTLRARMRCGTCHWKGSAWANLSELVQGSRDT >ENSMUSP00000066587.8 pep:known chromosome:GRCm38:1:85246670:85270566:-1 gene:ENSMUSG00000052477.15 transcript:ENSMUST00000064341.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130026I21Rik description:RIKEN cDNA C130026I21 gene [Source:MGI Symbol;Acc:MGI:3612702] MAGGNNELSSRTIPEDQNEEESDDYQLMFKHFKENKVEIASAITKPFPFLMSLRDRDFIS EQKFQEYQETCKNLVPVERVVYDVLSNVQKKFSRDLLKVIFSKTHLKAYPDLKETLKHFF LNASKTNDEQAEEMLSLPQCNGGEGSSSCEQTCDEQEPQDDLPSSLRQEAARRKKRPNWS NSKRRLQKKKPRQDEMMGVPSPGHGVQEKLKAVSRRTLWKDDSSTNVKEVTKTLRARMRC GTCHWKGSAWANLSELVQGSRDT >ENSMUSP00000143568.1 pep:known chromosome:GRCm38:5:6769010:7344756:-1 gene:ENSMUSG00000092094.5 transcript:ENSMUST00000200317.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp804b description:zinc finger protein 804B [Source:MGI Symbol;Acc:MGI:2685287] MACYLVISSRHLSNGHYRGIKGVFRGPLCKNGSPSPDFAEKEKSTAKSLEDAKANFYCEL CDKQYNKHQEFDNHINSYDHAHKQRLKELKHREFARNVASKSWKDEKKQEKALKRLHQLA ELRQQSECVSGNGPAYKTPRIAMEKQLQQGIFPVKNGRKVSCMKSAVFKGKNVPRSSMDK ERSAMPNRHQLQSDRRHLFGNMITQTSSDLSNANHRTGVSFSFSKKAYLKLESSASVFSE NTDDTHDCSKSPTYKVKRTVENCKCGRFASNDADLMKEEAVLSASNLEGFLNTSSMNSKI SQNKHESINGRLKDAAGTHMAFSKSHFHVSERDCTLSSREKEIRNALKDTSENCINHPCQ ANESSSPLNIYKHSDSRWCECLDEFLPSGPSEKKTQAHRIPNSRMEARAKSSDESERVGK NVQRHCREDCPHDLKSKPLPFLRVQSKDGHTTLQWPTELLFFTRTEPCISYGCNPLYFDF KLSRNTKDECNSENVQTELEKKALEIKTKPESQVTDLIKEQEKLTQDNQSLKPKMSVANL DWEKFQRKYNLDYNDSDSSVSEHASLRDLEMKSPEVPAYLKLSLKDGLGNNNVDDIELME PSNIHWQSCKRTVHNNALGGLSFSPHISRTKNHKLNPCSMQSEFEEENQHIWNFSPYTSG DHSEYGKDRGVSLNTCNINMARRASTSGEPSYERYSPVSPLRAHPRTVDESSRNMSSWRN TCTSHRSKGSNSSHKLCFCKRECDSVERHTWKHRKHNCLYMADVRLSSNCPQSETQKDKN GKISDSFKTKKRSKHRDCHCRERYKLGKNQQFSEPKPPGIFHCDSGSQVSIDGNGGKTFN GQESQHNKLKSYLRQRAYCLNKNNKSQKSLASLHFGDVGKVKCRQCNSSTANYLLRSCRG GPSEATQSNIAVGEGPSLTAKGLLERVKAKKCQEQSTKFEISSNSCLKEFHTHSQIQCTT PPGPPCCRRTVLPFSKKRQHIGKRKNEKGNKVHKTSDNDKGKNLQKINSTILTDTECDNY LSKGINGLVTDSQSLSRKNNQTTKEKSKSSVIEVQPFLQSYDPVPNDFLGAFSSNRYTGV TDSTETKEDQINLDLEDVSMSVNHVEGNINSYYDRAVQKHDKMEDELEVCHKSLSPPLIQ QPITFSPDEIDKYRLLQLQAQQHVQKQLLARPLRVLPAAGPTAFSPASAVQTVPVHQHTS ITTIHHTFLQHFAVSASISSHSSPLPIAHLHPLSQPHFTPISFSTIAPTIIPGHPTFLGH PLHLVAAAPFHPSHMTLQPLPSAAFIPTLFGPHLNPATTSIFHLNPLIQPVLQGQDLYHH SCSSQMQQLNSVKEALNMAVHLN >ENSMUSP00000130571.1 pep:known chromosome:GRCm38:5:6769030:6876523:-1 gene:ENSMUSG00000092094.5 transcript:ENSMUST00000164784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp804b description:zinc finger protein 804B [Source:MGI Symbol;Acc:MGI:2685287] DFAEKEKSTAKSLEDAKANFYCELCDKQYNKHQEFDNHINSYDHAHKQRLKELKHREFAR NVASKSWKDEKKQEKALKRLHQLAELRQQSECVSGNGPAYKTPRIAMEKQLQQGIFPVKN GRKVSCMKSAVFKGKNVPRSSMDKERSAMPNRHQLQSDRRHLFGNMITQTSSDLSNANHR TGVSFSFSKKAYLKLESSASVFSENTDDTHDCSKSPTYKVKRTVENCKCGRFASNDADLM KEEAVLSASNLEGFLNTSSMNSKISQNKHESINGRLKDAAGTHMAFSKSHFHVSERDCTL SSREKEIRNALKDTSENCINHPCQANESSSPLNIYKHSDSRWCECLDEFLPSGPSEKKTQ AHRIPNSRMEARAKSSDESERVGKNVQRHCREDCPHDLKSKPLPFLRVQSKDGHTTLQWP TELLFFTRTEPCISYGCNPLYFDFKLSRNTKDECNSENVQTELEKKALEIKTKPESQVTD LIKEQEKLTQDNQSLKPKMSVANLDWEKFQRKYNLDYNDSDSSVSEHASLRDLEMKSPEV PAYLKLSLKDGLGNNNVDDIELMEPSNIHWQSCKRTVHNNALGGLSFSPHISRTKNHKLN PCSMQSEFEEENQHIWNFSPYTSGDHSEYGKDRGVSLNTCNINMARRASTSGEPSYERYS PVSPLRAHPRTVDESSRNMSSWRNTCTSHRSKGSNSSHKLCFCKRECDSVERHTWKHRKH NCLYMADVRLSSNCPQSETQKDKNGKISDSFKTKKRSKHRDCHCRERYKLGKNQQFSEPK PPGIFHCDSGSQVSIDGNGGKTFNGQESQHNKLKSYLRQRAYCLNKNNKSQKSLASLHFG DVGKVKCRQCNSSTANYLLRSCRGGPSEATQSNIAVGEGPSLTAKGLLERVKAKKCQEQS TKFEISSNSCLKEFHTHSQIQCTTPPGPPCCRRTVLPFSKKRQHIGKRKNEKGNKVHKTS DNDKGKNLQKINSTILTDTECDNYLSKGINGLVTDSQSLSRKNNQTTKEKSKSSVIEVQP FLQSYDPVPNDFLGAFSSNRYTGVTDSTETKEDQINLDLEDVSMSVNHVEGNINSYYDRA VQKHDKMEDELEVCHKSLSPPLIQQPITFSPDEIDKYRLLQLQAQQHVQKQLLARPLRVL PAAGPTAFSPASAVQTVPVHQHTSITTIHHTFLQHFAVSASISSHSSPLPIAHLHPLSQP HFTPISFSTIAPTIIPGHPTFLGHPLHLVAAAPFHPSHMTLQPLPSAAFIPTLFGPHLNP ATTSIFHLNPLIQPVLQGQDLYHHSCSSQMQQLNSVKEALNMAVHLN >ENSMUSP00000065270.6 pep:known chromosome:GRCm38:X:74282697:74290151:1 gene:ENSMUSG00000009995.17 transcript:ENSMUST00000069722.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taz description:tafazzin [Source:MGI Symbol;Acc:MGI:109626] MPLHVKWPFPAVPRLTWTLASSVVMGLVGTYSCFWTKYMNHLTVHNKEVLYELIENRGPA TPLITVSNHQSCMDDPHLWGILKLRHIWNLKLMRWTPAAADICFTKELHSHFFSLGKCVP VCRGDGVYQKGMDFILEKLNHGDWVHIFPEGKVNMSSEFLRFKWGIGRLIAECHLNPIIL PLWHVGMNDVLPNSPPYFPRFGQKITVLIGKPFSTLPVLERLRAENKSAVEMRKALTDFI QEEFQRLKMQAEQLHNHFQPGR >ENSMUSP00000134745.1 pep:known chromosome:GRCm38:X:74282750:74290148:1 gene:ENSMUSG00000009995.17 transcript:ENSMUST00000124200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taz description:tafazzin [Source:MGI Symbol;Acc:MGI:109626] MPLHVKWPFPAVPRLTWTLASSVVMGLVGTYSCFWTKYMNHLTVHNKEVLYELIENRGPA TPLITVSNHQSCMDDPHLWGILKLRHIWNLKLMRWTPAAADICFTKELHSHFFSLGKCVP VCRGDGVYQKGMDFILEKLNHGDWVHIFPEGKVNMSSEFLRFKWGIGRLIAECHLNPIIL PLWHVENHRADWEALQYTPCA >ENSMUSP00000109818.4 pep:known chromosome:GRCm38:X:74282762:74290148:1 gene:ENSMUSG00000009995.17 transcript:ENSMUST00000114180.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taz description:tafazzin [Source:MGI Symbol;Acc:MGI:109626] MPLHVKWPFPAVPRLTWTLASSVVMGLVGTYSCFWTKYMNHLTVHNKEVLYELIENRGPA TPLITVSNHQSCMDDPHLWGILKLRHIWNLKLMRWTPAAADICFTKELHSHFFSLGKCVP VCRGDGVYQKGMDFILEKLNHGDWVHIFPEGKVNMSSEFLRFKWVGIGRLIAECHLNPII LPLWHVGMNDVLPNSPPYFPRFGQKITVLIGKPFSTLPVLERLRAENKSAVEMRKALTDF IQEEFQRLKMQAEQLHNHFQPGR >ENSMUSP00000135308.1 pep:known chromosome:GRCm38:X:74282772:74289158:1 gene:ENSMUSG00000009995.17 transcript:ENSMUST00000132437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taz description:tafazzin [Source:MGI Symbol;Acc:MGI:109626] MPLHVKWPFPAVPRLTWTLASSVVMGLVGTYSCFWTKYMNHLTVHNKEVLYELIENRGPA TPLITVSNHQSCMDDPHLWGILKLRHIWNLKLMRWTPAAADICFTKELHSHFFSLGKCVP VCRGKVNMSSEFLRFKWVGIGRLIAECHLNPIILPLWHVENHRADWEALQYTPCA >ENSMUSP00000114496.2 pep:known chromosome:GRCm38:X:74283008:74289400:1 gene:ENSMUSG00000009995.17 transcript:ENSMUST00000135504.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taz description:tafazzin [Source:MGI Symbol;Acc:MGI:109626] MPLHVKWPFPAVPRLTWTLASSVVMGLVGTYSCFWTKYMNHLTVHNKEVLYELIENRGPA TPLITVSNHQSCMDDPHLWGILKLRHIWNLKLMRWTPAAADICFTKELHSHFFSLGKCVP VCRGDGVYQKGMDFILEKLNHGDWVHIFPEGIGRLIAECHLNPIILPLWHVGMNDVLPNS PPYFPRFGQKITVLIGKPFSTLPVLERLRAENKSAVEMRKALTDFIQEEFQRLKMQAEQL HNHFQPGR >ENSMUSP00000135593.1 pep:known chromosome:GRCm38:X:74283082:74289485:1 gene:ENSMUSG00000009995.17 transcript:ENSMUST00000114182.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taz description:tafazzin [Source:MGI Symbol;Acc:MGI:109626] XGLVGTYSCFWTKYMNHLTVHNKEVLYELIENRGPATPLITVSNHQSCMDDPHLWGILKL RHIWNLKLMRWTPAAADICFTKELHSHFFSLGKCVPVCRGDGVYQKGMDFILEKLNHGDW VHIFPEGKVNMSSEFLRFKWVGIGRLIAECHLNPIILPLWHVENHRADWEALQYTPCA >ENSMUSP00000135013.1 pep:known chromosome:GRCm38:X:74283937:74289348:1 gene:ENSMUSG00000009995.17 transcript:ENSMUST00000176453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taz description:tafazzin [Source:MGI Symbol;Acc:MGI:109626] XAAADICFTKELHSHFFSLGKCVPVCRDGVYQKGMDFILEKLNHGDWVHIFPEGKVNMSS EFLRFKWGIGRLIAECHLNPIILPLWHVGMNDVLPNSPPYFPRFGQKITVLIGKPFSTLP VLERLRAENKSAVEMRKALTDFIQEEFQR >ENSMUSP00000121031.1 pep:known chromosome:GRCm38:X:74288020:74289734:1 gene:ENSMUSG00000009995.17 transcript:ENSMUST00000124915.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Taz description:tafazzin [Source:MGI Symbol;Acc:MGI:109626] XDGVYQKGMDFILEKLNHGDWVHIFPEGKVNMSSEFLRFKWGIGRLIAECHLNPIILPLW HVGY >ENSMUSP00000117206.1 pep:known chromosome:GRCm38:X:74288072:74289888:1 gene:ENSMUSG00000009995.17 transcript:ENSMUST00000131116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taz description:tafazzin [Source:MGI Symbol;Acc:MGI:109626] GDWVHIFPEGKVNMSSEFLRFKWVGIGRLIAECHLNPIILPLWHVGMNDVLPNSPPYFPR FGQRESGFQERQAHQKITVLIGKPFSTLPVLERLRAENKSAVEMRKALTDFIQEEFQRLK MQAEQLHNHFQPGR >ENSMUSP00000115153.2 pep:known chromosome:GRCm38:X:74288662:74289731:1 gene:ENSMUSG00000009995.17 transcript:ENSMUST00000134432.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Taz description:tafazzin [Source:MGI Symbol;Acc:MGI:109626] XMNDVLPNSPPYFPRFGQDID >ENSMUSP00000086176.2 pep:known chromosome:GRCm38:5:7304125:7311491:1 gene:ENSMUSG00000040514.6 transcript:ENSMUST00000088796.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921511H03Rik description:RIKEN cDNA 4921511H03 gene [Source:MGI Symbol;Acc:MGI:1918170] MSFMVHNRKGSKKQFQVDPLLLPKVPRTNYLHLQEEKHRLQLKKFLLHRMFLVGYIQGNT EKKDISEYYEQLFQSILKHHLGESVTGLMLIYPSTFLHILESSNGTLFRILLDYVAHEKS ETEFMLQNMKIVVASHNIPTRLFMQWHISAIKVPVLYLDDESQSPSIEEVTTEFLTMTHK LALQLYKTVKLGAKGPGDNLHQLAPELILPEQIIKYLCKAEEFMDPASFLSMYNRPIHVT LDSDIVWPAPSRF >ENSMUSP00000125471.1 pep:known chromosome:GRCm38:5:7304132:7307573:1 gene:ENSMUSG00000040514.6 transcript:ENSMUST00000160634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921511H03Rik description:RIKEN cDNA 4921511H03 gene [Source:MGI Symbol;Acc:MGI:1918170] MSFMVHNRKGSKKQFQVDPLLLPKVPRTNYLHLQEEKHRLQLKKFLLHRMFLVGYIQGNT EKKDISEYYEQLFQSILKHHLGESVTGLMLIYPSTFLHILESSNGTLFRILLDYVAHEKS ETEFMLQNMKIVVASHNIPTRLFMQWHISAIKVPVLYLDDESQSPSIEEVTTEFLTMTHK LALQLYKTVKLGAKGPGDNLHQLAPELILPEQIIKYLCKAEEFMDPASFLSMYNRPIHVT LDSDIVWPAPSRF >ENSMUSP00000124368.1 pep:known chromosome:GRCm38:5:7304146:7305809:1 gene:ENSMUSG00000040514.6 transcript:ENSMUST00000159546.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921511H03Rik description:RIKEN cDNA 4921511H03 gene [Source:MGI Symbol;Acc:MGI:1918170] MSFMVHNRKGSKKQFQVDPLLLPKVPRTNYLHLQEEKHRLQLKKFLLHRMFLVGYIQGNT EKKDISEYYEQLFQSILKHHLGESVTGLMLIYPSTFLHILESSNGTLFRILLDYVAHEKS ETEFMLQNMKIVVASHNIPTRLFMQWHISAIKVPVLYLDDESQSPSIEEVTTEFLTMTHK LALQLYKTVKLGAKGPGDNLHQLAPELILPEQIIKYLCKAEEFMDPASFLSMYNRPIHVT LDSDIVWPAPSRF >ENSMUSP00000099494.4 pep:known chromosome:GRCm38:2:129100995:129126594:1 gene:ENSMUSG00000027395.15 transcript:ENSMUST00000103205.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1b description:polymerase (RNA) I polypeptide B [Source:MGI Symbol;Acc:MGI:108014] MDVDGRWRNLPSGPSLKHLTDPSYGIPPEQQKAALQDLTRAHVDSFNYAALEGLSHAVQA IPPFEFAFKDERISLTIVDAVISPPSVPKGTICKDLNVYPAECRGRKSTYRGRLTADISW AVNGVPKGIIKQFLGYVPIMVKSKLCNLYNLPPRVLIEHHEEAEEMGGYFIINGIEKVIR MLIVPRRNFPIAMVRPKWKSRGLGYTQFGVSMRCVREEHSAVNMNLHYVENGTVMLNFIY RKELFFLPLGFALKALVSFSDYQIFQELIKGKEEDSFFRNSVSQMLRIVIEEGCHSQKQV LNYLGECFRVKLSLPDWYPNVEAAEFLLNQCICIHLQSNTDKFYLLCLMTRKLFALARGE CMDDNPDSLVNQEVLSPGQLFLMFLKEKMENWLVSIKIVLDKRAQKANVSINNENLMKIF SMGTELTRPFEYLLATGNLRSKTGLGFLQDSGLCVVADKLNFLRYLSHFRCVHRGAAFAK MRTTTVRRLLPESWGFLCPVHTPDGAPCGLLNHLTAVCEVVTKFVYTASIPALLCGLGVT PVDTAPCRPYSDCYPVLLDGVMVGWVDKDLAPEVADTLRRFKVLREKRIPPWMEVALIPM TGKPSLYPGLFLFTTPCRLVRPVQNLELGREELIGTMEQLFMNVAIFEDEVFGGISTHQE LFPHSLLSVIANFIPFSDHNQSPRNMYQCQMGKQTMGFPLLTYQNRSDNKLYRLQTPQSP LVRPCMYDFYDMDNYPIGTNAIVAVISYTGYDMEDAMIVNKASWERGFAHGSVYKSEFID LSEKFKQGEDNLVFGVKPGDPRVMQKLDDDGLPFIGAKLEYGDPYYSYLNLNTGEGFVVY YKSKENCVVDNIKVCSNDMGSGKFKCICITVRIPRNPTIGDKFASRHGQKGILSRLWPAE DMPFTESGMMPDILFNPHGFPSRMTIGMLIESMAGKSAALHGLCHDATPFIFSEENSALE YFGEMLKAAGYNFYGTERLYSGISGMELEADIFIGVVYYQRLRHMVSDKFQVRTTGARDK VTNQPLGGRNVQGGIRFGEMERDALLAHGTSFLLHDRLFNCSDRSVAHMCVECGSLLSPL LEKPPPSWSAMRNRKYNCTVCGRSDTIDTVSVPYVFRYFVAELAAMNIKVKLDVI >ENSMUSP00000028874.7 pep:known chromosome:GRCm38:2:129101027:129120768:1 gene:ENSMUSG00000027395.15 transcript:ENSMUST00000028874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1b description:polymerase (RNA) I polypeptide B [Source:MGI Symbol;Acc:MGI:108014] MDVDGRWRNLPSGPSLKHLTDPSYGIPPEQQKAALQDLTRAHVDSFNYAALEGLSHAVQA IPPFEFAFKDERISLTIVDAVISPPSVPKGTICKDLNVYPAECRGRKSTYRGRLTADISW AVNGVPKGIIKQFLGYVPIMVKSKLCNLYNLPPRVLIEHHEEAEEMGGYFIINGIEKVIR MLIVPRRNFPIAMVRPKWKSRGLGYTQFGVSMRCVREEHSAVNMNLHYVENGTVMLNFIY RKELFFLPLGFALKALVSFSDYQIFQELIKGKEEDSFFRNSVSQMLRIVIEEGCHSQKQV LNYLGECFRVKLSLPDWYPNVEAAEFLLNQCICIHLQSNTDKFYLLCLMTRKLFALARGE CMDDNPDSLVNQEVLSPGQLFLMFLKEKMENWLVSIKIVLDKRAQKANVSINNENLMKIF SMGTELTRPFEYLLATGNLRSKTGLGFLQDSGLCVVADKLNFLRYLSHFRCVHRGAAFAK MRTTTVRRLLPESWGFLCPVHTPDGAPCGLLNHLTAVCEVVTKFVYTASIPALLCGLGVT PVDTAPCRPYSDCYPVLLDGVMVGWVDKDLAPEVADTLRRFKVLREKRIPPWMEVALIPM TGKPSLYPGLFLFTTPCRLVRPVQNLELGREELIGTMEQLFMNVAIFEDEVFGGISTHQE LFPHSLLSVIANFIPFSDHNQSPRNMYQCQMGLQVYMSHITSCILVTDLLFTAWVCDYRS SCPYSEMSFSHSPEGNLCWLYSAEWTYSFISVLL >ENSMUSP00000120680.1 pep:known chromosome:GRCm38:X:153832225:153833510:1 gene:ENSMUSG00000046550.8 transcript:ENSMUST00000148708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin2c description:spindlin family, member 2C [Source:MGI Symbol;Acc:MGI:3605548] MKTPHKKGAAKEQMGEGVGHHIGSTTIKKKKASQKRQRSRSSSRRSIVGCRISHGWKEGD EPITQWKGTVLDQVPINPSLYLVKYDGIDCVYGLELHRDERILKLK >ENSMUSP00000115368.1 pep:known chromosome:GRCm38:X:153832241:153833523:1 gene:ENSMUSG00000046550.8 transcript:ENSMUST00000123264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin2c description:spindlin family, member 2C [Source:MGI Symbol;Acc:MGI:3605548] MKTPHKKGAAKEQMGEGVGHHIGSTTIKKKKASQKRQRSRSSSRRSIVGCRISHGWKEGD EPITQWKGTVLDQVPINPSLYLVKYDGIDCVYGLELHRDERILKLKILPD >ENSMUSP00000059499.8 pep:known chromosome:GRCm38:X:153832293:153834243:1 gene:ENSMUSG00000046550.8 transcript:ENSMUST00000049999.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin2c description:spindlin family, member 2C [Source:MGI Symbol;Acc:MGI:3605548] MKTPHKKGAAKEQMGEGVGHHIGSTTIKKKKASQKRQRSRSSSRRSIVGCRISHGWKEGD EPITQWKGTVLDQVPINPSLYLVKYDGIDCVYGLELHRDERILKLKILPDKVSFSGVSDV RLANTIIGKAVEHMFEGEHGSKDEWRGMVLAQAPIMNAWFYITYERDPVLYMYQLLDDYK EGDLRIMPESSASPPADREPEGVVDGLIGKHVEYTKEDGSKRTGKVIHQVKAKPSVYFIK FDDDFHIYVYDLVKKNS >ENSMUSP00000103086.1 pep:known chromosome:GRCm38:3:89259358:89267077:1 gene:ENSMUSG00000042737.9 transcript:ENSMUST00000107462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpm3 description:dolichyl-phosphate mannosyltransferase polypeptide 3 [Source:MGI Symbol;Acc:MGI:1915813] MTKLTQWLWGLALLGSAWAALTMGALGLELPFPCREVLWPLPAYLLVSAGCYALGTVGYR VATFHDCEDAARELQSQIVEARADLARRGLRF >ENSMUSP00000040860.1 pep:known chromosome:GRCm38:3:89266552:89267077:1 gene:ENSMUSG00000042737.9 transcript:ENSMUST00000040824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpm3 description:dolichyl-phosphate mannosyltransferase polypeptide 3 [Source:MGI Symbol;Acc:MGI:1915813] MTKLTQWLWGLALLGSAWAALTMGALGLELPFPCREVLWPLPAYLLVSAGCYALGTVGYR VATFHDCEDAARELQSQIVEARADLARRGLRF >ENSMUSP00000146219.1 pep:known chromosome:GRCm38:7:24081924:24107698:1 gene:ENSMUSG00000057101.8 transcript:ENSMUST00000207002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp180 description:zinc finger protein 180 [Source:MGI Symbol;Acc:MGI:1923701] MEQQDDESLESLQACVETCQLYMEQKEPPQSRQSSMKNHPMD >ENSMUSP00000064611.3 pep:known chromosome:GRCm38:7:24081924:24107713:1 gene:ENSMUSG00000057101.8 transcript:ENSMUST00000068975.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp180 description:zinc finger protein 180 [Source:MGI Symbol;Acc:MGI:1923701] MEQQDDESLESLQACVENFVLPQEMIIEVEGEDAGSLDIMPQESTDFKIVTVDLTEEGQS MWNNAQRTPEKTVILEGHRDLWDLPAVHGTEGATAKQTIFNEEPSHGLKLEGSARDDPWL STCEEVRDCKEQSGKQPNKQEEVAFTQGSAGSPDTVYRGHDPDRSCLSTSRPSFPMIPLR NHFHKQASHVKKLHGDVVASSHQKISDSSDLLEDDTAGAVPQSIRPSQFVSSPQGEEAYE LNGHVQASGRGAPRNLNEKVPLEGKTFGFKGRGQVLNHSVVLGGQQELLVKEGQDKCKTA PSPSLPQSLRNYSEEKRFECKYCGKSFSWSSHLIAHQRTHTGEKPYKCNLCGKFFTRSSH VVSHQRIHTGEKPYRCNLCGKSFTQRYVLVVHQRTHTGERPYECTQCGKSFRQSYKLIAH QRTHTGEKPYECTQCGKSFIQSYKLIAHQKIHSGEKPYECSHCGKSFSQSYKLVAHQRTH TGEKPFECNHCGKSFSWSSQLVSHQRTHTGEKPYECNECGKSFNRSSHLVMHQRTHTGEK PYQCKQCGKSFSQSYVLVVHQRTHTGEKPYECGQCGKTFRQSSCFTQHQRTHTGEKPYEC NQCGKTFSLSARLIVHQRTHTGEKPYKCGQCGKAFISSSKRSRHQATHSEDACKS >ENSMUSP00000145547.1 pep:known chromosome:GRCm38:7:24081947:24104558:1 gene:ENSMUSG00000057101.8 transcript:ENSMUST00000206184.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp180 description:zinc finger protein 180 [Source:MGI Symbol;Acc:MGI:1923701] MEQQDDESLESLQACVEEESITHQRLAFPLISWKPVGPSDSLLSAPLSWGYRTLFYPKR >ENSMUSP00000145165.2 pep:known chromosome:GRCm38:7:24081954:24107692:1 gene:ENSMUSG00000057101.8 transcript:ENSMUST00000203854.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp180 description:zinc finger protein 180 [Source:MGI Symbol;Acc:MGI:1923701] MEQQDDESLESLQACVENFVLPQEMIIEVEGEDAGSLDIMPQHRLQDCNCGPYRGGTEHV EQCPENPRENRDPGRPQGPVGLASCTWNRRSHRKADNLQ >ENSMUSP00000070592.7 pep:known chromosome:GRCm38:14:103650228:103704907:1 gene:ENSMUSG00000055717.13 transcript:ENSMUST00000069443.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slain1 description:SLAIN motif family, member 1 [Source:MGI Symbol;Acc:MGI:2145578] MMAEQVKCASPVAASGAGPGPVVNAELEVKKLQELVRKLEKQNEQLRSRAASAAAAPHLL LLQPPPPSAPPPAGACSPLATHRAPASTTSPGPGALGPAFPGTYCLPSPAPSLLCSLQPA DAPFVYSKPAAGFFGGGGSPEPGTAGTPPGEAATPPLPPPTLLDEVEPLDLESLAAWSEE DDYTWLYVGSSKTFTSPEKSPSPLQWCRHVLDNPTPEMEAARRSLRFRLEQGYTSRGSPL SPQSSIDSELSTSELEDDSISMGYKLQDLTDVQIMARLQEESLRQDYASTSASVSRNSSS VSLSSGKKGTCSDQEYDRYSLEDEEEFDHLPPPQPRLPRCSPFQRGIPHSQTFSSIRDCR RSPSTQYFPSNNFQQPQYYPPQAQTADQQPNRTNGDKLRRSMPNLARMPSTAAASSNLSS PVTVRSSQSFDSSLHGAGSGVSRVPSCIPSPGQIQHRVHSVGHFPVPIRQPLKATAYVSP TVQGSSSSGSSGSSGGSGSGMPLSNGTQLYSTTGIPTPNKAAASGILGRSALPRPSLAIN GSNLPRSKIAQPVRSFLQPPKPLSSLSTLRDGNWRDGCY >ENSMUSP00000125128.1 pep:known chromosome:GRCm38:14:103650646:103704310:1 gene:ENSMUSG00000055717.13 transcript:ENSMUST00000160805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slain1 description:SLAIN motif family, member 1 [Source:MGI Symbol;Acc:MGI:2145578] PAFPGTYCLPSPAPSLLCSLQPADAPFVYSKPAAGFFGGGGSPEPGTAGTPPGEAATPPL PPPTLLDEVEPLDLESLAAWSEEDDYTWLYVGSSKTFTSPEKSPSPLQWCRHVLDNPTPE MEAARRSLRFRLEQASRWRSLFSSPASLAFPYSPVARLSPYSNGISTPSSSKTSNKAILT PERTGYTSRGSPLSPQSSIDSELSTSELEDDSISMGYKLQDLTDVQIMARLQEESLRQDY ASTSASVSRNSSSVSLSSGKKGTCSDQEYDRYSLEDEEEFDHLPPPQPRLPRCSPFQRGI PHSQTFSSIRDCRRSPSTQYFPSNNFQQPQYYPPQAQTADQQPNRTNGDKLRRSMPNLAR MPSTAAASSNLSSPVTVRSSQSFDSSLHGAGSGVSRVPSCIPSPGQIQHRVHSVGHFPVP IRQPLKATAYVSPTVQGSSSSGSSGSSGGSGSGMPLSNGTQLYSTTGIPTPNKAAASGIL GRSALPRPSLAINGSNLPRSKIAQPVRSFLQPPKPLSSLSTLRDGNWRDGCY >ENSMUSP00000125685.1 pep:known chromosome:GRCm38:14:103664498:103704802:1 gene:ENSMUSG00000055717.13 transcript:ENSMUST00000159456.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slain1 description:SLAIN motif family, member 1 [Source:MGI Symbol;Acc:MGI:2145578] XSDQKGGLFICKKPHRLSRAIRSLSSICLLEPGQCLLAWPKMLSLPAQVSTLEVLCHIWN TNLKLCKGEFILGYTSRGSPLSPQSSIDSELSTSELEDDSISMGYKLQDLTDVQIMARLQ EESLRQDYASTSASVSRNSSSVSLSSGKKGTCSDQEYDRYSLEDEEEFDHLPPPQPRLPR CSPFQRGIPHSQTFSSIRDCRRSPSTQYFPSNNFQQPQYYPPQAQTADQQPNRTNGDKLR RSMPNLARMPSTAAASSNLSSPVTVRSSQSFDSSLHGAGSGVSRVPSCIPSPGQIQHRVH SVGHFPVPIRQPLKATAYVSPTVQGSSSSGSSGSSGGSGSGMPLSNGTQLYSTTGIPTPN KAAASGILGRSALPRPSLAINGSNLPRSKIAQPVRSFLQPPKPLSSLSTLRDGNWRDGCY >ENSMUSP00000123742.1 pep:known chromosome:GRCm38:14:103655771:103688357:1 gene:ENSMUSG00000055717.13 transcript:ENSMUST00000162818.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slain1 description:SLAIN motif family, member 1 [Source:MGI Symbol;Acc:MGI:2145578] EGAAVCLRICVGSTEEKSKLYVGSSKTFTSPEKSPSPLQWCRHVLDNPTPEMEAARRSLR FRLEQGYTSRGSPLSPQSSIDSELSTSELEDDSISMGYKLQDLTDVQIMARLQEESLRQD YASTSASVSRNSSSVSLSSGKKGTCSDQEYDRYSLEDEEEFDHLPPPQPRLPRCSPFQRG IPHSQTFSSIRDCRRSPSTQYFPSNNFQQPQYYP >ENSMUSP00000099709.3 pep:known chromosome:GRCm38:4:123016597:123054949:1 gene:ENSMUSG00000028653.16 transcript:ENSMUST00000102649.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trit1 description:tRNA isopentenyltransferase 1 [Source:MGI Symbol;Acc:MGI:1914216] MAAAAAARAVPVSSGFRGLRRTLPLVVILGATGTGKSTLALQLGQRLGGEIVSADSMQVY EGLDIITNKVSAQEQKMCQHHMISFVDPLVTSYTVVDFRNKATALIEDIFARDKIPIVVG GTNYYIESLLWKVLITTKPQEMGTGKVVDRKVELEKEDGHELHKRLSQVDPEMAAKLHPH DKRKVARSLQVFEETGISHSEFLHRQHAEEGGGPLGGPLRFPNPCILWLHADQAVLDERL DKRVDDMLAAGLLEELRGFHRRYNLKNISENSQDYQHGIFQSIGFKEFHEYLTTEGKCTP ETSNQLLKKGIEALKQVTKRYARKQNRWVKNRFLSRPGPSVPPVYGLEVSDVSKWEESVL EPALNIVQSFIQGHKPTAMPVKMAYNESENKRSYHMCDLCDRIIIGDREWAAHLKSKSHL HQLKKRRRLDLDAVSATGSQSNSPDCDPERIEGESSGQHNQELKASV >ENSMUSP00000021005.8 pep:known chromosome:GRCm38:12:30054659:30132624:-1 gene:ENSMUSG00000020673.14 transcript:ENSMUST00000021005.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpo description:thyroid peroxidase [Source:MGI Symbol;Acc:MGI:98813] MRTLGAMAIMLVVMGTVIFLSFILRSRDILCGKTMKSHVISAVETSQLMVDHAVYNTMKR NLKKREVLSPAQLLSFFKLPESTSGAISRAAEIMETSIQVMKREQSQFSTDALSADILGT IANLSGCLPFMLPPRCPDTCLANKYRPITGACNNRDHPRWGASNTALARWLPPVYEDGFS QPKGWNPNFLYHGFPLPPVREVTRHLIQVSNEAVTEDDQYSDFLPVWGQYIDHDIALTPQ STSTAAFWGGVDCQLTCENQNPCFPIQLPSNSSGTTACLPFYRSSAACGTGDQGALFGNL SAANPRQQMNGLTSFLDASTVYGSSPGVEKQLRNWSSSAGLLRVNTLHLDAGRAYLPFAT AACAPEPGTPRTNRTPCFLAGDGRASEVPALAAVHTLWLREHNRLASAFKAINKHWSANT AYQEARKVVGALHQIITMRDYIPKILGPDAFRQYVGPYEGYNPTVNPTVSNIFSTAAFRF GHATVHPLVRRLNTDFQEHTELPRLQLRDVFFRPWRLIQEGGLDPIVRGLLARAAKLQVQ GQLMNEELTERLFVLSNVGTLDLASLNLQRGRDHGLPDYNEWREFCGLSRLETPAELNKA IANRSMVNKIMDLYKHADNIDVWLGGLAEKFLPGARTGPLFACIIGKQMKALRDGDRFWW ENTNVFTDAQRQELEKHSLPRVICDNTGLTRVPVDAFRIGKFPQDFESCEDIPSMDLELW RETFPQDDKCVFPEEVDNGNFVHCEESGKLVLVYSCFHGYKLQGQEQVTCTQKGWDSEPP VCKDVNECADLTHPPCHPSAQCKNTKGSFQCVCTDPYVLGEDEKTCIDSGRLPRASWVSI ALGALLIGGLASLTWIVICRWTHADKKATLPITERVTTQSGCRKSQGRGISPHKAAAQDT GQEPASGSRVLLCE >ENSMUSP00000133853.1 pep:known chromosome:GRCm38:12:30116618:30131899:-1 gene:ENSMUSG00000020673.14 transcript:ENSMUST00000155263.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpo description:thyroid peroxidase [Source:MGI Symbol;Acc:MGI:98813] MRTLGAMAIMLVVMGTVIFLSFILRSRDILCGKTMKSHVISAVETSQLMVDHAVYNTMKR NLKKREVLSPAQLLSFFKLPESTSGAISRAAEIMETSIQVMKREQSQFSTDALSADILGT IANLSGCLPFMLPPRCPDTCLANKYRPITGACNNRYCVKTLYSS >ENSMUSP00000107195.2 pep:known chromosome:GRCm38:6:148842492:148896237:-1 gene:ENSMUSG00000030309.16 transcript:ENSMUST00000111569.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caprin2 description:caprin family member 2 [Source:MGI Symbol;Acc:MGI:2448541] MKSAKSQVNQDQQGENQRALSPLQSTLSSAASPSQAYETYIDNGLICLKHKIRNIEKKKL KLEDYKDRLKNGEQLNPDQLEAVEKYEEVLHNLEFAKELQKTFSALSQDLLKAQKKAQRR EHMLKLETEKKKLRTMLQIQYVLQNLTQEHVQKDFKGGLNGAMYLPSKELDYLIKFSKLT CPERNESLSVEDQMEQSSLYFWDLLEGSEKTVVGTTYKHVKDLLSKLLHSGYFESVPVLR NSKEKAEEVLMQSEMKKQLLKSESIKESESLTELVQPEIQPQEFLNRRYMTEVKFSRKQE NVEQSWEADYARKPSLLKCWNTLPEPDGQEKKKESLESWKSSLKTQEVSKPVVSLVQGKL RPTLQEEQKQQVPITPVSQWKPESPKSKVGSPQEEQNVQETPKPWVVQSQKEQDPKKLPP GSWAVSVQSEQSGSRSWTTPVCREQASVQPGTPVSWENNAENQKHSLVPQSQISLKSWGA ASAGLLPNGQVLTRKLNVEPKDVPKPLPQPIDSSSALPKDPVLRKEKLQDLMSQIQGTYN FMQESVLDFDKPSSAIPSSQPPSACPVSTVSAEQNLSNQSDFLQEPSQASSPVTCSSNAC LVTTDQASSGSETEFTTSETPEMVVSPCKPKPASALASPNPPLSKSFQLPPASGSSEAIS TAPFQAMQTVFNVNAPLPPRKEQEMKEPPYSSGYNQNFTSSSTQTVSQCQLPAVHIDQTT QPPETGAGYHPDGTVQVSNGSLAFYPAPTSMFPRPAQPFISSRGTLRGCSHGGRLLMSSY QSPGGYKGFDSYRGLPSVSSGNYSQLQLQAREYSGTAYSQRDNFQQCYKRSGTSSGLQAN SRAGWSDSSQVSSPERDSETFNSGDSGLGDSRSMTPVDVPVTSPAAAILPVHIYPLPQQM RVAFSAARTSNLAPGTLDQPIVFDLLLNNLGETFDLQLGRFNCPVNGTYVFIFHMLKLAV NVPLYVNLMKNEEVLVSAYANDGAPDHETASNHAVLQLLQGDQIWLRLHRGAIYGSSWKY STFSGYLLYQD >ENSMUSP00000145114.1 pep:known chromosome:GRCm38:6:148842493:148851396:-1 gene:ENSMUSG00000030309.16 transcript:ENSMUST00000127941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caprin2 description:caprin family member 2 [Source:MGI Symbol;Acc:MGI:2448541] XKEPPYSSGYNQNFTSSSTQTVSQCQLPAVHIDQTTQPPETGAGYHPDGTVQVSNGSLAF YPAPTSMFPRPAQPFISSRGTLRGCSHGGRLLMSSYQSPGGYKGFDSYRGLPSVSSGNYS QLQLQAREYSGTAYSQRDNFQQCYKRSGTSSGLQANSRAGCECTTVCQPDEE >ENSMUSP00000072165.5 pep:known chromosome:GRCm38:6:148842493:148896150:-1 gene:ENSMUSG00000030309.16 transcript:ENSMUST00000072324.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caprin2 description:caprin family member 2 [Source:MGI Symbol;Acc:MGI:2448541] MKSAKSQVNQDQQGENQRALSPLQSTLSSAASPSQAYETYIDNGLICLKHKIRNIEKKKL KLEDYKDRLKNGEQLNPDQLEAVEKYEEVLHNLEFAKELQKTFSALSQDLLKAQKKAQRR EHMLKLETEKKKLRTMLQIQYVLQNLTQEHVQKDFKGGLNGAMYLPSKELDYLIKFSKLT CPERNESLSVEDQMEQSSLYFWDLLEGSEKTVVGTTYKHVKDLLSKLLHSGYFESVPVLR NSKEKAEEVLMQSEMKKQLLKSESIKESESLTELVQPEIQPQEVPKPLPQPIDSSSALPK DPVLRKEKLQDLMSQIQGTYNFMQESVLDFDKPSSAIPSSQPPSACPVSTVSAEQNLSNQ SDFLQEPSQASSPVTCSSNACLVTTDQASSGSETEFTTSETPEMVVSPCKPKPASALASP NPPLSKSFQLPPASGSSEAISTAPFQAMQTVFNVNAPLPPRKEQEMKEPPYSSGYNQNFT SSSTQTVSQCQLPAVHIDQTTQPPETGAGYHPDGTVQVSNGSLAFYPAPTSMFPRPAQPF ISSRGTLRGCSHGGRLLMSSYQSPGGYKGFDSYRGLPSVSSGNYSQLQLQAREYSGTAYS QRDNFQQCYKRSGTSSGLQANSRAGWSDSSQVSSPERDSETFNSGDSGLGDSRSMTPVDV PVTSPAAAILPVHIYPLPQQMRVAFSAARTSNLAPGTLDQPIVFDLLLNNLGETFDLQLG RFNCPVNGTYVFIFHMLKLAVNVPLYVNLMKNEEVLVSAYANDGAPDHETASNHAVLQLL QGDQIWLRLHRGAIYGSSWKYSTFSGYLLYQD >ENSMUSP00000121685.1 pep:known chromosome:GRCm38:6:148877915:148895459:-1 gene:ENSMUSG00000030309.16 transcript:ENSMUST00000139914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caprin2 description:caprin family member 2 [Source:MGI Symbol;Acc:MGI:2448541] MKSAKSQVNQDQQGENQRALSPLQSTLSSAASPSQAYETYIDNGLICLKHKIRNIEKKKL KLEDYKDRLKNGEQLNPDQLEAVEKYEEVLHNLEFAKELQKTFSALSQDLLKAQKKAQRR EHMLKLETEKK >ENSMUSP00000124872.1 pep:known chromosome:GRCm38:1:83254139:83408200:-1 gene:ENSMUSG00000026163.17 transcript:ENSMUST00000160953.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphkap description:SPHK1 interactor, AKAP domain containing [Source:MGI Symbol;Acc:MGI:1924879] MDVNSRLSVQSNVESPLMHEGSEPQQITSSAAGNLAGSITACKKVLRSNSLLESTDYWLQ NQRTPCQIGFVEDESENCASVCFVNLDVNKDACITENLQQKLVNVSPDLPNLISSMNVQQ PKENEIVLLSGLASGNLQADFDVSQCPWLPDICLVQCARGNRPNSTNCIIFEINKFLIGL EVVQERQLHLETNVLKLEDDTNCSLSSIEEDFLTASEHLEEEIEVDDCRSGLENTNVSAN VLESKKPKETTQEGWDYHKEKLHCALGEKHIRKHRTPSTKTEGSKENTEENTSLKSLNRL VRPSHLKSEVAGNKQLATNYSYPENIKGELETSQMLFIPRDAYLSMVKKDVLSPCSVLSE QGGSHRDHDVTPNPLPPVQNGEASTGEYATNLAESVMQDAFIRLSQSQPTLPQESAVSFS MRSALLPSGCCTKDMVVPRSWNELPKIVIVQSPDGSDTVPEPNVSSWPDMEFVETSGIFS ADSSSRPTQSALEVALACAATVIGTISSPQATERFAMEQESLVSTYAQRGTGVQQTQVPQ AFMAPSTTEYSFPSALCGMTQVASAVAVCGLCEKEEATCPVAPTDLLPTSGASEEISSIG SLVMERSTELGKEAIAEALLREATLILARPDAYSSLGELLESVNQRIIETTSKTQTLCTE SVQRNELAHTLSNVILKHSVDELHQKTTMAHPTDERHPCGTLDTLMESVNQLLHNVICFT FKKMNHIVTLSEHPSFDQAAGQAWVKAFACPSSQPLSNAHGTGLVIRNLVEDASPKSNKG GARPELVNNPRLQSEFSCSHRMFDSTAKSFPKEIYLKGIMGEDTRNPHHTLNYDSNERRA STDLGKLTTASEGCSGFQETEDSIVPNTQEKYICATPLNNEAQVNLSLLGDDLSVPAQST LEAKQSEVYGITDFAEELAETVVSMATEIAAICLDNSNGKQPWFCAWKRGNEFLTAPNGS CRSLKRKKENSSAGSTVRKHKPPRLSEIKRKADEHPELKEKLMNRVMDESMNLEDIPDSV STFANEVAAKIMNLTEFSMVDGVWQGQSCSRTRLLGGDRWNRLKASSCESIPEEDSEARV FVNSLGLMSTLSQPVSRASSVSKQSSCESITDEFSRFMVKQMENEGRGFELLLDYYAGKN ASSIMSSAMQQACQKNDHLNVRPSCPSKQSSTESITEEFYRYMLRDIAKESKDGASSRRS SHDWTTGLLSPSTRSPLCYRQSSMPDSRSPCSRLTVNAPVKANSLDGFAQNCPQDSVNVQ PVSRASSSGLCKSDSCLYRRSGTDQITNMLIHETWASSIEALMRKNKIIADDSEAANASP GPVSSGSPLQVEKNANRLATSKGHRGPTLLVQESVDYQRKDAVTEGNHSPVSSPGKTAPV KKPSDFDPRRETSACHNAAGLNSPRRSLCSRDVPLIQIETDQKEECIGEPGPFLSQSGSL EETEGHQPEETIPDVARNEDTAPSTCQSSRDSLETSGEVEVEVLKEDIPRDESRNPPSSS EESTGSWSQLANEEDIPDDTSSFLQLSERSMSELVEEKEILKEQSESIKEHASGLPGRAA SPQRSLLVINFDLEPECPDAELRATLQWIAASELGIPTIYFKKSQESRIEKFLDVVKLVQ QKSWKVGDIFHAVVQYCKLHAEQKERTPSLFDWLLELG >ENSMUSP00000124384.1 pep:known chromosome:GRCm38:1:83255442:83279165:-1 gene:ENSMUSG00000026163.17 transcript:ENSMUST00000159078.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphkap description:SPHK1 interactor, AKAP domain containing [Source:MGI Symbol;Acc:MGI:1924879] TEENTSLKSLNRLVRPSHLKSEVAGNKQLATNYSYPENIKGELETSQMLFIPRDAYLSMV KKDVLSPCSVLSEQGGSHRDHDVTPNPLPPVQNGEASTGEYATNLAESVMQDAFIRLSQS QPTLPQESAVSFSMRSALLPSGCCTKDMVVPRSWNELPKIVIVQSPDGSDTVPEPNVSSW PDMEFVETSGIFSADSSSRPTQSALEVALACAATVIGTISSPQATERFAMEQESLVSTYA QRGTGVQQTQVPQAFMAPSTTEYSFPSALCGMTQVASAVAVCGLCEKEEATCPVAPTDLL PTSGASEEISSIGSLVMERSTELGKEAIAEALLREATLILARPDAYSSLGELLESVNQRI IETTSKTQTLCTESVQRNELAHTLSNVILKHSVDELHQKTTMAHPTDERHPCGTLDTLME SVNQLLHNVICFTFKKMNHIVTLSEHPSFDQAAGQAWVKAFACPSSQPLSNAHGTGLVIR NLVEDASPKSNKGGARPELVNNPRLQSEFSCSHRMFDSTAKSFPKEIYLKGIMGEDTRNP HHTLNYDSNERRASTDLGKLTTASEGCSGFQETEDSIVPNTQEKYICATPLNNEAQVNLS LLGDDLSVPAQSTLEAKQSEVYGITDFAEELAETVVSMATEIAAICLDNSNGKQPWFCAW KRGNEFLTAPNGSCRSLKRKKENSSAGSTVRKHKPPRLSEIKRKADEHPELKEKLMNRVM DESMNLEDIPDSVSTFANEVAAKIMNLTEFSMVDGVWQGQSCSRTRLLGGDRWNRLKASS CESIPEEDSEARVFVNSLGLMSTLSQPVSRASSVSKQSSCESITDEFSRFMVKQMENEGR GFELLLDYYAGKNASSIMSSAMQQACQKNDHLNVRPSCPSKQSSTESITEEFYRYMLRDI AKESKDGASSRRSSHDWTTGLLSPSTRSPLCYRQSSMPDSRSPCSRLTVNAPVKANSLDG FAQNCPQDSVNVQPVSRASSSGLCKSDSCLYRRSGTDQITNMLIHETWASSIEALMRKNK IIADDSEAANASPGPVSSGSPLQVEKNANRLATSKGHRGPTLLVQESVDYQRKDAVTEGN HSPVSSPGKTAPVKKPSDFDPRRETSACHNAAGLNSPRRSLCSRDVPLIQIETDQKEECI GEPGPFLSQSGSLEETEGHQPEETIPDVARNEDTAPSTCQSSRDSLETSGEVEVEVLKED IPRDESRNPPSSSEESTGSWSQLANEEDIPDDTSSFLQLSERSMSNGNSSGTSSLGIMDL DIYQESIPSSPMINELVEEKEILKEQSESIKEHASGLPGRAASPQRSLLVINFDLEPECP DAELRATLQWIAASELGIPTIYFKKSQESRIEKFLDVVKLVQQKSWKVGDIFHAVVQYCK LHAEQKERTPSLFDWLLELG >ENSMUSP00000029463.6 pep:known chromosome:GRCm38:3:98805504:98814443:-1 gene:ENSMUSG00000027869.14 transcript:ENSMUST00000029463.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b6 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 6 [Source:MGI Symbol;Acc:MGI:109598] MPGWSCLVTGAGGFLGQRIVQLLMQEKDLEEIRVLDKFFRPETREQFFNLDTNIKVTVLE GDILDTQYLRKACQGISVVIHTAAVIDVTGVIPRQTILDVNLKGTQNLLEACIQASVPAF IFSSSVDVAGPNSYKEIILNGNEEEHHESIWSDPYPYSKKMAEKAVLAANGSMLKIGGTL HTCALRPMYIYGERSPFISNTIITALKNKNILGCTGKFSTANPVYVGNVAWAHILAARGL RDPKKSPNIQGEFYYISDDTPHQSYDDLNYTLSKEWGFCPDSSWSLPVPLLYWLAFMLET VSFLLSPIYRFIPPFNRHLVTLTGSTFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VEQHRETLDTKSQ >ENSMUSP00000129911.1 pep:known chromosome:GRCm38:3:98805505:98811108:-1 gene:ENSMUSG00000027869.14 transcript:ENSMUST00000170847.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b6 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 6 [Source:MGI Symbol;Acc:MGI:109598] MPGWSCLVTGAGGFLGQRIVQLLMQEKDLEEIRVLDKFFRPETREQFFNLDTNIKVTVLE GDILDTQYLRKACQGISVVIHTAAVIDVTGVIPRQTILDVNLKGTQNLLEACIQASVPAF IFSSSVDVAGPNSYKEIILNGNEEEHHESIWSDPYPYSKKMAEKAVLAANGSMLKIGGTL HTCALRPMYIYGERSPFISNTIITALKNKNILGCTGKFSTANPVYVGNVAWAHILAARGL RDPKKSPNIQGEFYYISDDTPHQSYDDLNYTLSKEWGFCPDSSWSLPVPLLYWLAFMLET VSFLLSPIYRFIPPFNRHLVTLTGSTFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VEQHRETLDTKSQ >ENSMUSP00000025968.4 pep:known chromosome:GRCm38:19:39510862:39568529:1 gene:ENSMUSG00000025003.6 transcript:ENSMUST00000025968.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c39 description:cytochrome P450, family 2, subfamily c, polypeptide 39 [Source:MGI Symbol;Acc:MGI:1306818] MDLVTFLVLTLSSLILLSLWRQSCGRGSLPPGPTPFPIIGNFLQIDIKNVSQSLTNFSKA YGPVFTLYLGSRPTVVLHGYEAVKEALIDHGEEFSDRGSIPMVEKINNGLGIVFSNGNRW KEIRRFTLTTLRNLGMGKRNIEDRVQEEAQCLVEELRKTKGSPCDPTFILSCAPCNVICS IIFQDRFDYKDKDFLMLMEKLNENVKILSSPWLQVCNNFPLLIDYCPGSHHKVLKNVKYI RSYLLEKIKEHQESLDVTNPRDFIDYYLIKQKQANHIQQAEFSLENLACTINNLFAAGTE TTSTTLRYALLLLMKYPDVTAKVQEEIDHVIGRHRSPCMQDRNHMPYTDAMIHEVQRFIN LVPNNIPRAVTCDIKFRNYLIPKGTTVVTSLTSVLHDSKEFPNPELFDPGHFLDANGNFK KSDHFMPFSAGKRVCAGEGLARMELFLFLTTILQNFKLKSLVHPKDIDMIPFVNGLIALP PHYQVCIIPR >ENSMUSP00000143724.1 pep:known chromosome:GRCm38:3:108902805:108911696:-1 gene:ENSMUSG00000027881.14 transcript:ENSMUST00000129273.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prpf38b description:PRP38 pre-mRNA processing factor 38 (yeast) domain containing B [Source:MGI Symbol;Acc:MGI:1914171] MANNSPALTGNSQPQHQAAAAVTQQQQQCGGGGGATKPAVSGKQGNVLPLWGNEKTMNLN PMILTNILSSPYFKVQLYELKTYHEVVDEIYFKD >ENSMUSP00000029480.8 pep:known chromosome:GRCm38:3:108902807:108911727:-1 gene:ENSMUSG00000027881.14 transcript:ENSMUST00000029480.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf38b description:PRP38 pre-mRNA processing factor 38 (yeast) domain containing B [Source:MGI Symbol;Acc:MGI:1914171] MANNSPALTGNSQPQHQAAAAVTQQQQQCGGGGGATKPAVSGKQGNVLPLWGNEKTMNLN PMILTNILSSPYFKVQLYELKTYHEVVDEIYFKVTHVEPWEKGSRKTAGQTGMCGGVRGV GTGGIVSTAFCLLYKLFTLKLTRKQVMGLITHTDSPYIRALGFMYIRYTQPPTDLWDWFE SFLDDEEDLDVKAGGGCVMTIGEMLRSFLTKLEWFSTLFPRIPVPVQKNIDQQIKTRPRK IKKDGKEGIEEIDRHVERRRSRSPRRSLSPRRSPRRSRSRSHHREGHGSSSFDRELEREK ERQRLEREAKEREKERRRSRSIDRGLDRRRSRSRERHRSRSRSRDRKGDRRDRDREREKE NERGRRRDRDYDKERGSDRERDRERSRERSKEQRSRGDGEEKKHKEDKEDRRHRDDKKES KKKHSRSRSRERKHRSRSRNAGKRSRSRSKDKSSRHKNESKEKANKRSRSGSQGRTGSVE KRKREHSPSREKSRKRSRSQDRSHKREHNDSKDQSDRQDHQSSQSGEPESQEKEHKSKDE TV >ENSMUSP00000142929.1 pep:known chromosome:GRCm38:3:108903147:108911468:-1 gene:ENSMUSG00000027881.14 transcript:ENSMUST00000199735.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prpf38b description:PRP38 pre-mRNA processing factor 38 (yeast) domain containing B [Source:MGI Symbol;Acc:MGI:1914171] MANNSPALTGNSQPQHQAAAAVTQQQQQCGGGGGATKPAVSGKQGNVLPLWGNEKTMNLN PMILTNILSSPYFKVQLYELKTYHEVVDEIYFKVTHVEPWEKGSRKTAGQTGMCGGVSRS SRCWNRRHCFYSILPSIQIIYPEVNSKASDGSHNTYRLSIYQSPWIYVYKVYPTPYRPVG LV >ENSMUSP00000077354.3 pep:known chromosome:GRCm38:X:110814280:110817207:1 gene:ENSMUSG00000056854.4 transcript:ENSMUST00000078229.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou3f4 description:POU domain, class 3, transcription factor 4 [Source:MGI Symbol;Acc:MGI:101894] MATAASNPYSILSSSSLVHADSAGMQQGSPFRNPQKLLQSDYLQGVPSNGHPLGHHWVTS LSDGGPWSSTLATSPLDQQDVKPGREDLQLGAIIHHRSPHVAHHSPHTNHPNAWGASPAP NSSITSSGQPLNVYSQPGFTVSGMLEHGGLTPPPAAASTQSLHPVLREPPDHGELGSHHC QDHSDEETPTSDELEQFAKQFKQRRIKLGFTQADVGLALGTLYGNVFSQTTICRFEALQL SFKNMCKLKPLLNKWLEEADSSTGSPTSIDKIAAQGRKRKKRTSIEVSVKGVLETHFLKC PKPAAQEISSLADSLQLEKEVVRVWFCNRRQKEKRMTPPGDQQPHEVYSHTVKTDASCHD L >ENSMUSP00000130995.1 pep:known chromosome:GRCm38:19:4270180:4282175:-1 gene:ENSMUSG00000005986.15 transcript:ENSMUST00000169192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13d description:ankyrin repeat domain 13 family, member D [Source:MGI Symbol;Acc:MGI:1915673] WAVLQEAVSTGDPEMVQLVLQYRDFQRATQRLAGIPELLNKLRQAPDFYVEMKWEFTSWV PLVSKMCPSDVYRVWKRGESLRVDTSLLGFEHMTWQRGRRSFIFRGQAGRRRLTADPAAV THRALSCLALGRLPRAMPSAWFCNLFIYKLSAAELGTWALKIG >ENSMUSP00000053783.6 pep:known chromosome:GRCm38:19:4270180:4283135:-1 gene:ENSMUSG00000005986.15 transcript:ENSMUST00000056888.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13d description:ankyrin repeat domain 13 family, member D [Source:MGI Symbol;Acc:MGI:1915673] MAGLGPTFPLHRLVWANRHRELEAALHSRKHDIEQEDPQGRTPLELAVTLGNLESVRVLL RHNANVGKESHQGWAVLQEAVSTGDPEMVQLVLQYRDFQRATQRLAGIPELLNKLRQAPD FYVEMKWEFTSWVPLVSKMCPSDVYRVWKRGESLRVDTSLLGFEHMTWQRGRRSFIFRGQ EAGALVMEVDHDRQVVHTETLAPALHEPEALLAAMRPSEEHVASRLTSPIVSTHLDTRNV AFERNKCGIWGWRSEKMESVSGYEAKVYSATNVELVTRTRTEHLSDQDKLRNKGGKTPFQ SFLGMAQQHSSHTLAPVQQAASPTNPTAISAEEYFDPSFSLESRNIGRPIEMSSKVQRFK ATLWLSEEHPLSLGDQVTPIIDLMAISNAHFAKLRDFITLRLPPGFPVKIEIPLFHVLNA RITFSNLCGCDEPVSSVCVPNSSSAISASGSPFPCEVDPTVFEVPEGYSVLGAERSEPLR DEDDDLLQFAIQQSLLEAGTEAEQVTVWEALTNTRPGIHPPPRVTVFEEQLQLEQALQES LQLSTESRGPESPQKTPPSPAPPSFEEQLRLALELSSREQEELERRGQQEEDDLQRILQL SLTEH >ENSMUSP00000128932.1 pep:known chromosome:GRCm38:19:4270182:4283137:-1 gene:ENSMUSG00000005986.15 transcript:ENSMUST00000163858.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd13d description:ankyrin repeat domain 13 family, member D [Source:MGI Symbol;Acc:MGI:1915673] MAGLGPTFPLHRLVWANRHRELEAALHSRKHDIEQEDPQGRTPLELAVTLGNLESVRVLL RHNANVGKESHQGWAGGSQHWRP >ENSMUSP00000130505.1 pep:known chromosome:GRCm38:19:4270398:4271294:-1 gene:ENSMUSG00000005986.15 transcript:ENSMUST00000166031.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd13d description:ankyrin repeat domain 13 family, member D [Source:MGI Symbol;Acc:MGI:1915673] SNLCGCDEPVSSVCVPNSSSAISASALFHVRWTPLYSRCPRDTVCWVLSAASPFGMRMTT CCSLPSSRACLRQAQRQSR >ENSMUSP00000126349.1 pep:known chromosome:GRCm38:19:4272623:4281011:-1 gene:ENSMUSG00000005986.15 transcript:ENSMUST00000170283.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd13d description:ankyrin repeat domain 13 family, member D [Source:MGI Symbol;Acc:MGI:1915673] XDTSLLGFEHMTWQRGRRSFIFRGQEAGALVMEVDHDRQVVHTETLAPALHEPEALLAAM RPSEEHVASRLTSPIVSTHLDTRNVAFERCTVPPMWSW >ENSMUSP00000128037.1 pep:known chromosome:GRCm38:19:4280646:4283033:-1 gene:ENSMUSG00000005986.15 transcript:ENSMUST00000167215.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13d description:ankyrin repeat domain 13 family, member D [Source:MGI Symbol;Acc:MGI:1915673] MAGLGPTFPLHRLVWANRHRELEAALHSRKHDIEQEDPQGRTPLELAVTLGNLESVRVLL RHNANVGKESHQGWAVLQEAVSTGDPEMVQLVLQYRDFQRATQRLAGIPELLNKLRQYLL CPRCARAMCTVCGSGVRVCGWTLVSWALST >ENSMUSP00000019992.5 pep:known chromosome:GRCm38:10:38965515:39110188:1 gene:ENSMUSG00000019846.11 transcript:ENSMUST00000019992.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lama4 description:laminin, alpha 4 [Source:MGI Symbol;Acc:MGI:109321] MGWSTAWCSVLALWLLWCAVCSNAASGDGNAFPFDIEGSAVVGRQDPSETSDSGVTLGRL PPAAERCDAGFFRTLSGECAPCDCNGNSHECLDGSGFCLHCQRNTTGEHCEKCLDGYIGD SIRGTPRFCQPCPCPLPHLANFAESCYRKNGAVRCICKENYVGPNCERCAPGYYGNPLLI GSTCKKCDCSGNSDPNLIFEDCDEITGQCRNCLRNTTGFKCERCAPGYYGDARTAKNCAV CNCGGGPCDSVTGECLEEGFEVPTGCDKCVWDLTDDLRLAALSIEESKSGLLSVSSGAAA HRHVTDMNSTIHLLRTRLSERENQYTLRKIQINNSENTLRSLLPDVEGLHEKGSQASRKG MLVEKESMDTIDQATHLVEQAHNMRDKIQEINSKMLYYGENQELGPEEIAEKLVLAQKML EEIRSRQPFLTHRELVDEEADEAQELLSQAENWQRLHNDTRSLFPVVLEQLDDYNAKLSD LQESINQALDHVRDAEDMNRAITFKQRDHEKQHERVKEQMEVVGASLSMSADSLTIPQLT LEELDEIIKNASGIYAEIDGAKNELQGKLSNLSNLSHDLVQEATDHAYNLQQEADELSRN LHSSDMNGLVQKALDASNVYENIANYVSEANETAELALNITDRIYDAVSGIDTQIIYHKD ESDNLLNQARELQAKADSSNDEAVADTSRRVGGALWRKGALRDRLNDAVKQLQAAERGDA HQRLGQSKLFIEEANKTTAAVQQVTTPMANNLSNWSQNLQTFDSSAYNTAVDSARDAVRN LTEVVPQLLDQLRTVEQKRPASNISASIQRIRELIAQTRSVASKIQVSMMFDGQSAVEVH PKVSVDDLKAFTSISLYMKPPPKPAEPTGAWVADQFVLYLGSKNAKKEYMGLAIKNDNLV YVYNLGMKDVEILLDSKPVSSWPAYFSIVKIERVGKHGKVFLTVPSLSSTAEEKFIKKGE FAGDDSLLDLTPEDTVFYVGGVPANFKLPASLNLPSYSGCLELATLNNDVISLYNFKHIY NMDPSKSVPCARDKLAFTQSRAASYFFDGSSYAVVRDITRRGKFGQVTRFDIEIRTPADN GLVLLMVNGSMFFSLEMRNGYLHVFYDFGFSNGPVHLEDTLKKAQINDAKYHEISIIYHN DKKMILVVDRRHVKSTDNEKKKIPFTDIYIGGAPQEVLQSRTLRAHLPLDINFRGCMKGF QFQKKDFNLLEQTETLGVGYGCPEDSLISRRAYFNGQSFIASIQKISFFDGFEGGFNFRT LQPNGLLFYYTSGSDVFSISLDNGTVVMDVKGIKVMSTDKQYHDGLPHFVVTSISDTRYE LVVDKSRLRGKNPTKGKAEQTQTTEKKFYFGGSPISPQYANFTGCISNAYFTRLDRDVEV EDFQRYSEKVHTSLYECPIESSPLFLLHKKGKNSSKPKTNKQGEKSKDAPSWDPIGLKFL EQKAPRDSHCHLSSSPRAIEHAYQYGGTANSRQEFEHEQGDFGEKSQFAIRLKTRSSHGM IFYVSDQEENDFMTLFLAHGRLVFMFNVGHKKLKIRSQEKYNDGLWHDVIFIREKSSGRL VIDGLRVLEERLPPSGAAWKIKGPIYLGGVAPGRAVKNVQITSVYSFSGCLGNLQLNGAS ITSASQTFSVTPCFEGPMETGTYFSTEGGYVVLDESFNIGLKFEIAFEVRPRSSSGTLVH GHSVNGEYLNVHMRNGQVIVKVNNGVRDFSTSVTPKQNLCDGRWHRITVIRDSNVVQLDV DSEVNHVVGPLNPKPVDHREPVFVGGVPESLLTPRLAPSKPFTGCIRHFVIDSRPVSFSK AALVSGAVSINSCPTA >ENSMUSP00000123698.1 pep:known chromosome:GRCm38:12:54670340:54695881:-1 gene:ENSMUSG00000054302.15 transcript:ENSMUST00000161592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eapp description:E2F-associated phosphoprotein [Source:MGI Symbol;Acc:MGI:1913516] MNRLQDDYDPYAVEEPSDEEPALSSSEDELDVLLHGTPDQKRKLIRECLTGESESSSEDE FEKEMEAELNSTMKTMEDQLSSLGTGSSSGVAKVGGVTEKFYDEIYFDSDSEDEDKTVTK KKKKKQHRIPTNDELLYDPEKDNRDQAWVDAKRRGYHAFGLQRPRQKQQPVPNSDAVLNC PACMTTLCLDCQRHESYKTQYRAMFVMNCSINREEVLRYKNPENRRKRRSAKKMRSNPED PAEREAEEIYHPVMCTECSTEVAVYDKDEVFHFFNVLASHS >ENSMUSP00000106341.3 pep:known chromosome:GRCm38:12:54673466:54695821:-1 gene:ENSMUSG00000054302.15 transcript:ENSMUST00000110713.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eapp description:E2F-associated phosphoprotein [Source:MGI Symbol;Acc:MGI:1913516] MNRLQDDYDPYAVEEPSDEEPALSSSEDELDVLLHGTPDQKRKLIRECLTGESESSSEDE FEKEMEAELNSTMKTMEDQLSSLGTGSSSGVAKVGGVTEKFYDEIYFDSDSEDEDKTVTK KKKKKQHRIPTNDELLYDPEKDNRDQAWVDAKRRGHESYKTQYRAMFVMNCSINREEVLR YKNPENRRKRRSAKKMRSNPEDPAEREAEEIYHPVMCTECSTEVAVYDKDEVFHFFNVLA SHS >ENSMUSP00000069381.8 pep:known chromosome:GRCm38:12:54673471:54695897:-1 gene:ENSMUSG00000054302.15 transcript:ENSMUST00000067272.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eapp description:E2F-associated phosphoprotein [Source:MGI Symbol;Acc:MGI:1913516] MNRLQDDYDPYAVEEPSDEEPALSSSEDELDVLLHGTPDQKRKLIRECLTGEKKRF >ENSMUSP00000124699.1 pep:known chromosome:GRCm38:12:54690111:54695862:-1 gene:ENSMUSG00000054302.15 transcript:ENSMUST00000160085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eapp description:E2F-associated phosphoprotein [Source:MGI Symbol;Acc:MGI:1913516] MNRLQDDYDPYAVEEPSDEEPALSSSEDELDVLLHGTPDQKRKLIRECLTGESESSSEDE FEKEMEAELNSTMKTMEDQLSSLGTGSSSGVAKVGGVTEKFYDEIYFDSDSEDEDKTGME ALTKTQGCINSVQKNRTKQVSSKKTRLDSGGEYHKV >ENSMUSP00000124232.1 pep:known chromosome:GRCm38:12:54685927:54695843:-1 gene:ENSMUSG00000054302.15 transcript:ENSMUST00000162106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eapp description:E2F-associated phosphoprotein [Source:MGI Symbol;Acc:MGI:1913516] MNRLQDDYDPYAVEEPSDEEPALSSSEDELDVLLHGTPDQKRKLIRECLTGESESSSEDE FEKEMEAELNSTMKTMEDQLSSLGTGVAKVGGVTEKFYDEIYFDSDSEDEDKTVTKKKKK KQHRIPTN >ENSMUSP00000130251.1 pep:known chromosome:GRCm38:12:54671655:54695884:-1 gene:ENSMUSG00000054302.15 transcript:ENSMUST00000163433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eapp description:E2F-associated phosphoprotein [Source:MGI Symbol;Acc:MGI:1913516] MNRLQDDYDPYAVEEPSDEEPALSSSEDELDVLLHGTPDQKRKLIRECLTGESESSSEDE FEKEMEAELNSTMKTMEDQLSSLGTGSSSGVAKVGGVTEKFYDEIYFDSDSEDEDKTVTK KKKKKQHRIPTNDELLYDPEKDNRDQAWVDAKRRGYHAFGLQRPRQKQQPVPNSDAVLNC PACMTTLCLDCQRHESYKTQYRAMFVMNCSINREEVLRYKNPENRRKRRSAKKMRSNPED PAEREAEEIYHPVMCTECSTEVAVYDKDEVFHFFNVLASHS >ENSMUSP00000146070.1 pep:known chromosome:GRCm38:14:50083714:50090950:-1 gene:ENSMUSG00000060523.3 transcript:ENSMUST00000205951.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr726 description:olfactory receptor 726 [Source:MGI Symbol;Acc:MGI:3030560] MNERNYSRVTEFVLLGLSSSKELQPFLFLIFSLLYLAILLGNFLIILTVTSDSRLHTPMY FLLANLSFIDMCVASFATPKMLADFLVERKTISFEACLAQIFCVHQFAGGEMVLLVSMAY DRYVAICKPLHYMTIMSRRVCITLVIIPWFVGFIHTMSQLAFTVNLPFCGPNQVDSFFCD LPLVTKLACTDTYFVSLLIVADSGVLTLSTFVFLVISYTVILITVRNRSSASMAKARSTL TAHITVVVLFFGPCIFIYAWPFNGYSVDKVLAVFYTIFTPILNPLIYTLRNKEVKAAMSK LRGRYLKPGQVSELIRNVLFM >ENSMUSP00000145832.1 pep:known chromosome:GRCm38:14:50084171:50090950:-1 gene:ENSMUSG00000060523.3 transcript:ENSMUST00000206834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr726 description:olfactory receptor 726 [Source:MGI Symbol;Acc:MGI:3030560] MNERNYSRVTEFVLLGLSSSKELQPFLFLIFSLLYLAILLGNFLIILTVTSDSRLHTPMY FLLANLSFIDMCVASFATPKMLADFLVERKTISFEACLAQIFCVHQFAGGEMVLLVSMAY DRYVAICKPLHYMTIMSRRVCITLVIIPWFVGFIHTMSQLAFTVNLPFCG >ENSMUSP00000072207.2 pep:known chromosome:GRCm38:14:50083714:50084679:-1 gene:ENSMUSG00000060523.3 transcript:ENSMUST00000072370.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr726 description:olfactory receptor 726 [Source:MGI Symbol;Acc:MGI:3030560] MNERNYSRVTEFVLLGLSSSKELQPFLFLIFSLLYLAILLGNFLIILTVTSDSRLHTPMY FLLANLSFIDMCVASFATPKMLADFLVERKTISFEACLAQIFCVHQFAGGEMVLLVSMAY DRYVAICKPLHYMTIMSRRVCITLVIIPWFVGFIHTMSQLAFTVNLPFCGPNQVDSFFCD LPLVTKLACTDTYFVSLLIVADSGVLTLSTFVFLVISYTVILITVRNRSSASMAKARSTL TAHITVVVLFFGPCIFIYAWPFNGYSVDKVLAVFYTIFTPILNPLIYTLRNKEVKAAMSK LRGRYLKPGQVSELIRNVLFM >ENSMUSP00000128723.1 pep:known chromosome:GRCm38:14:44649204:44657357:-1 gene:ENSMUSG00000079265.9 transcript:ENSMUST00000169583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8257 description:predicted pseudogene 8257 [Source:MGI Symbol;Acc:MGI:3644430] MFSRLLRLFHRENGDQGETRPRQKESGILSCKKGRMKSFWGRHMSAGRTSSQSCNITNHM NNMNKLDDMKFYIRKINAEREELFQILEIDMNTDLNYRMNTEFTIIKSQHEKTMLDMEKM KQSISDSIDKYKEFLEDNDSYSIRHTHLLKECNQLKEKVRMLLNENRKLLVEQADQEASF GEEKRFCDETSKNIHPKCKAAAGVNYSSRNWNMEETRTRSPLKRTSIVGSLHVRSKYFIS SPVLMAIICCIFFLYFYFLAMNSP >ENSMUSP00000107491.2 pep:known chromosome:GRCm38:14:44649541:44706456:-1 gene:ENSMUSG00000079265.9 transcript:ENSMUST00000111860.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8257 description:predicted pseudogene 8257 [Source:MGI Symbol;Acc:MGI:3644430] MESHENPVSAGRTSSQSCNITNHMNNMNKLDDMKFYIRKINAEREELFQILEIDMNTDLN YRMNTEFTIIKSQHEKTMLDMEKMKQSISDSIDKYKEFLEDNDSYSIRHTHLLKECNQLK EKVRMLLNENRKLLVEQADQEASFGEEKRFCDETSKNIHPKCKAAAGVNYSSRNWNMEET RTRSPLKRTSIVGSLHVRSKYFISSPVLMAIICCIFFLYFYFLAMNSP >ENSMUSP00000136936.1 pep:known chromosome:GRCm38:14:44654372:44657226:-1 gene:ENSMUSG00000079265.9 transcript:ENSMUST00000179215.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8257 description:predicted pseudogene 8257 [Source:MGI Symbol;Acc:MGI:3644430] MKSFWGRHMSAGRTSSQSCNITNHMNNMNKLDDMKFYIRKINAEREELFQILEIDMNTDL NYRMNTEFTIIKSQHEKTMLDMEKMKQSISDSIDKYKEFLEDNDSYSIRHTHLLKECNQL KEKVRMLLNENRKLLVEQADQEASFGEEKRFCDETSKNIHPKC >ENSMUSP00000141161.1 pep:known chromosome:GRCm38:Y:81458007:81484087:1 gene:ENSMUSG00000099894.1 transcript:ENSMUST00000187135.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20911 description:predicted gene, 20911 [Source:MGI Symbol;Acc:MGI:5434267] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKYEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYISTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000066272.2 pep:known chromosome:GRCm38:2:36996812:36997738:1 gene:ENSMUSG00000055838.3 transcript:ENSMUST00000069578.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr357 description:olfactory receptor 357 [Source:MGI Symbol;Acc:MGI:3030191] MDNSSWTSVSHFVLLGISTNPVEQIPLFLLFLLMYIINISGNFFIVTLIISTSHLHTPMY IFLSNLALADICFTSTTVPKMLQNIFSSTKVISYVGCLAQTYFFICFAAMENFLLAVMAY DRYIAICHPLRYSSILTGMLCAQMVALCHVLSHLHALLHTFLMGRLIFCADNRIPHFFCD LYPLMKISCSSTQLNTLMIHTEGVIVINGALAFIIASYAFIISAVLRIPSANGKWRSFST CGSHLTVVAIFYGTLTWVYFRPLSSYSVVKGRIVTVMYTVVTPMLNPFIYSLRNGDVKEA FRKWVRRV >ENSMUSP00000021083.6 pep:known chromosome:GRCm38:11:115497353:115514387:-1 gene:ENSMUSG00000020737.12 transcript:ENSMUST00000021083.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hn1 description:hematological and neurological expressed sequence 1 [Source:MGI Symbol;Acc:MGI:1096361] MTTTTTFKGVDPNSRNSSRVLRPPGGGSNFSLGFDEPAEQPVRKNKMASNIFGTPEENPP SWAKSAGSKSSGGREDSESPGTQRSNSSEASSGDFLDLKGEGDMHENVDTDFQANLAQME EKPVPAAPVPSPVAPAPVPSRRNPPGGKSSLVLG >ENSMUSP00000028551.3 pep:known chromosome:GRCm38:2:112363011:112368027:-1 gene:ENSMUSG00000027131.3 transcript:ENSMUST00000028551.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc4 description:ER membrane protein complex subunit 4 [Source:MGI Symbol;Acc:MGI:1915282] MTTQGGLVANRGRRFKWAIELSGPGGGSRGRSDRGSGQGDSLYPVGYLDKQVPDTSVQET DRILVEKRCWDIALGPLKQIPMNLFIMYMAGNTISIFPTMMVCMMAWRPIQALMAISATF KMLESSSQKFLQGLVYLIGNLMGLALAVYKCQSMGLLPTHASDWLAFIEPPERMEFSGGG LLL >ENSMUSP00000129597.1 pep:known chromosome:GRCm38:15:76626238:76639958:-1 gene:ENSMUSG00000059323.13 transcript:ENSMUST00000168185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tonsl description:tonsoku-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:1919999] MTLEQELRQLSKAKTRAQRNGQLREEAAYCHQLGELLASHGRFKDALEEHQQELHLLESV QDTLGCAVAHRKIGERLAEMENYSAALKHQHLYLDLAGSLSNHTELQRAWATIGRTHLDI YDHCQSRDSLLQAQAAFEKSLAIVDEKLEGMLTQRELSEMRTRLYLNLGLTCESLQQTAL CNNYFKKSIFLAEQNHLYEDLFRARYNLGAIHWRGGQHSQAMRCLEGARECARAMKMRFM ESECCVLVSQVLQDLGDFLAAKRALKKAYRLGSQKPNQRVTVCQSLKYVLAVIQLQQQLE EAEGNDLQGAMAICEQLGDLFSKAGDFPKAAEAYQKQLHLAELLNRPDLELAVIHVSLAT TLGDMKDHRKAVHHYEEELRLRKGNALEEAKTWFNIALSREEAGDAYELLAPCFQKAFCC AQQAQRFQLQRQILQHLYTVQLKLQPQEARDTEIRLQELSMAKDTEEEEEEEEEEEEEAS EAPETSELELSESEDDADGLSQQLEEDEELQGCVGRRKVNKWNRRNDMGETLLHRACIEG QLRRVQDLVKQGHPLNPRDYCGWTPLHEACNYGHLEIVRFLLDHGAAVDDPGGQGCDGIT PLHDALNCGHFEVAELLIERGASVTLRTRKGLSPLETLQQWVKLYFRDLDLETRQKAATM EKRLQMASSGQASRSSPALQTIPSNHLFDPETSPPSSPCPEPSSYTPRPPEASPAPAKVF LEETVSAVSRPRKTRHRPTSSSSSSEDEDNPSPCRPSQKRLRHTTQQGEVKIPDPPKSRE TATSSACRAAYQAAIRGVGSAQSRRLVPSLPRGSEEVPAPKTALIPEEEYLAGEWLEVDT PLTRSGRPSTSVSDYERCPARPRTRVKQSRLTSLDGWCARTQAGDGSLNAEPAENPSVPR TSGPNKENYAAGQPLLLVQPPPIRVRVQIQDNLFLIPVPQSDIRPVAWLTEQAAQRYFQT CGLLPRLTLRKDGALLAPQDPIPDVLQSNDEVLAEVTSWDLPPLKDRYRRACLSLGQGEH QQVLHAMDHQSSSPSFSACSLALCQAQLTPLLRALKLHTALRELRLAGNRLGDACATELL ATLGTTPNLVLLDLSSNHLGQEGLRQLVEGSSGQAALQNLEELDLSMNPLGDGCGQALAS LLRACPMLSTLRLQACGFSSSFFLSHQAALGGAFQDAVHLKTLSLSYNLLGAPALARVLQ TLPACTLKRLDLSSVAASKSNSGIIEPVIKYLTKEGCALAHLTLSANCLGDKAVRELSRC LPCCPSLTSLDLSANPEVSCASLEELLSALQERSQGLSFLGLSGCSIQGPLNSDLWDKIF VQLQELQLCTKDLSTKDRDSVCQRLPEGACTMDQSSKLFFKCL >ENSMUSP00000131368.1 pep:known chromosome:GRCm38:15:76632806:76639929:-1 gene:ENSMUSG00000059323.13 transcript:ENSMUST00000165190.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tonsl description:tonsoku-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:1919999] MTLEQELRQLSKAKTRAQRNGQLREEAAYCHQLGELLASHGRFKDALEEHQQELHLLESV QDTLGCAVAHRKIGERLAEMENYSAALKHQHLYLDLAGSLSNHTELQRAWATIGRTHLDI YDHCQSRDSLLQAQAAFEKSLAIVDEKLEGMLTQRELSEMRTRLYLNLGLTCESLQQTAL CNNYFKKSIFLAEQNHLYEDLFRARYNLGAIHWRGGQHSQAMRCLEGARECARAMKMRFM ESECCVLVSQVLQDLGDFLAAKRALKKAYRLGSQKPNQRVTVCQSLKYVLAVIQLQQQLE EAEGNDLQGAMAICEQLGDLFSKAGDFPKAAEAYQKQLHLAELLNRPDLELAVIHVSLAT TLGDMKDHRKAVHHYEEELRLRKGNALEWVQADASQRKAVPSEDRLSTGDRTETKQI >ENSMUSP00000126362.1 pep:known chromosome:GRCm38:15:76638859:76639840:-1 gene:ENSMUSG00000059323.13 transcript:ENSMUST00000166974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tonsl description:tonsoku-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:1919999] MENYSAALKHQHLYLDLAGSLSNHTELQRAWATIGRTHLDIYDHCQSRDSLLQAQAAFEK SLAI >ENSMUSP00000129471.1 pep:known chromosome:GRCm38:15:76632773:76633676:-1 gene:ENSMUSG00000059323.13 transcript:ENSMUST00000171478.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tonsl description:tonsoku-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:1919999] VKLYFRDLDLETRQKAATMEKRLQMASSGQDLQRPLRPLLKSFWRKLCLPCPDLERPGTD QPAAVAAQRMRITQVPAGHRRKD >ENSMUSP00000131229.1 pep:known chromosome:GRCm38:15:76626002:76629299:-1 gene:ENSMUSG00000059323.13 transcript:ENSMUST00000165163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tonsl description:tonsoku-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:1919999] XANCLGDKAVRELSRCLPCCPSLTSLDLSANPEVSCASLEELLSALQERSQGLSFLGLSG FLYVALAVLEPRWHQTRDSLPPGCWD >ENSMUSP00000077856.3 pep:known chromosome:GRCm38:15:76622088:76626027:-1 gene:ENSMUSG00000059323.13 transcript:ENSMUST00000078803.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tonsl description:tonsoku-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:1919999] MFHGIPATPGVGAPGNKPELYEEVKLYKNAREREKYDNMAELFAVVKTMQALEKAYIKDC VTPNEYTAACSRLLVQYKAAFRQVQGSEISSIDEFCRKFRLDCPLAMERIKEDRPITIKD DKGNLNRCIADVVSLFITVMDKLRLEIRAMDEIQPDLRELMETMHRMSHLPPDFEGRQTV SQWLQTLSGMSASDELDDSQVRQMLFDLESAYNAFNRFLHA >ENSMUSP00000131941.1 pep:known chromosome:GRCm38:4:127243784:127247809:1 gene:ENSMUSG00000042380.8 transcript:ENSMUST00000142029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim12 description:small integral membrane protein 12 [Source:MGI Symbol;Acc:MGI:1933141] MWPVLWTVVRTYAPYVTFPVAFVVGAVGYHLEWFIRGKTPQPVEEEKSILERREDRKLDE MLGKDHTQVVSLKDKLEFAPKAVLNRNRPEKN >ENSMUSP00000135564.1 pep:known chromosome:GRCm38:1:9968624:9985692:-1 gene:ENSMUSG00000025916.10 transcript:ENSMUST00000147000.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r42 description:protein phosphatase 1, regulatory subunit 42 [Source:MGI Symbol;Acc:MGI:1921138] XKKLMKLWKMDLNGNPVCLKPKYRDKLILTSKSLEFLDGKEIKDMERQFLMNWKASKDAK KISKKRRSRSEDASNSYIRICP >ENSMUSP00000115309.1 pep:known chromosome:GRCm38:1:9968624:10003453:-1 gene:ENSMUSG00000025916.10 transcript:ENSMUST00000124874.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1r42 description:protein phosphatase 1, regulatory subunit 42 [Source:MGI Symbol;Acc:MGI:1921138] MVRLTVDLIAKNSNLKPRKEETLAQCLKKITHINFSDRNIDSIDDLSLCRNLSVLYLYDN RISQVTNLNYTTNLTHLYLQNNCISCIENLSSLKKLEKLYLGGNYIAVIEGLEGLEELRE LHVESQRLPLGEKLLFDPRTLRSLAKSLSTLNISNNNIDDIKDLEILENLNHLIAVDNQL MHVKDLELLLKKLMKLWKMDLNGNPVCLKPKYRDKLILTSKSLEFLDGKEIKDMERQFLM NWKASKDAKKISKKRRSRSEDASNSYISG >ENSMUSP00000027049.3 pep:known chromosome:GRCm38:1:9968624:10009136:-1 gene:ENSMUSG00000025916.10 transcript:ENSMUST00000027049.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r42 description:protein phosphatase 1, regulatory subunit 42 [Source:MGI Symbol;Acc:MGI:1921138] MVRLTVDLIAKNSNLKPRKEETLAQCLKKITHINFSDRNIDSIDDLSLCRNLSVLYLYDN RISQVTNLNYTTNLTHLYLQNNCISCIENLSSLKKLEKLYLGGNYIAVIEGLEGLEELRE LHVESQRLPLGEKLLFDPRTLRSLAKSLSTLNISNNNIDDIKDLEILENLNHLIAVDNQL MHVKDLELLLKKLMKLWKMDLNGNPVCLKPKYRDKLILTSKSLEFLDGKEIKDMERQFLM NWKASKDAKKISKKRRSRSEDASNSYISNFETVHHIVPVYYPQVGKPKVIFFSDVQRYLV HGNASSKCSQEDKTTITEDIGNLSLKESESSLTKNDIHEPHLLQNPKVKENLSEKKE >ENSMUSP00000115030.1 pep:known chromosome:GRCm38:1:9968825:10009130:-1 gene:ENSMUSG00000025916.10 transcript:ENSMUST00000130102.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1r42 description:protein phosphatase 1, regulatory subunit 42 [Source:MGI Symbol;Acc:MGI:1921138] MVRLTVDLIAKNSNLKPRKEETLAQCLKKITHINFSDRNIDSIDDLSLCRNLSVLYLYDN RISQVTNLNYTTNLTHLYLQNNCISCIENLSSLKKLEKLYLGGNYIAVIEGLEGLEELRE LHVESQRLPLGEKLLFDPRTLRSLA >ENSMUSP00000135276.1 pep:known chromosome:GRCm38:1:9978816:10009130:-1 gene:ENSMUSG00000025916.10 transcript:ENSMUST00000176398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r42 description:protein phosphatase 1, regulatory subunit 42 [Source:MGI Symbol;Acc:MGI:1921138] MHVKDLELLLKKLMKLWKMDLNGNPVCLKPKYRDKLILTSKSLEFLDGKEIKDMERQFLM NWKASKDAKKISKKRRSRSEDASNSYI >ENSMUSP00000137062.1 pep:known chromosome:GRCm38:X:154001590:154012640:-1 gene:ENSMUSG00000094802.7 transcript:ENSMUST00000178342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samt1 description:spermatogenesis associated multipass transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:3709338] MDQLIVDTRSVIYRFINEKDCIYKLSGLLSSLAAFMLEVLIASILSWRLWEFDSNVVQFV SFGLFEAYYPQQFNISGTLTKMLVYTPIDSTWNISTEFMYAQNLVVWAILMKPVVLVFCV MAIKISCTKNPLVEMQIYCYKISALILSVSSMFTFVSVIWNHMVDFYGHTTLDFPSDFPV KKEALTSKHLTVVLPVGLLIATMSLFGVIMFLSEISDLKLKRPVKANDASKMGLLDA >ENSMUSP00000137495.1 pep:known chromosome:GRCm38:X:154001590:154011264:-1 gene:ENSMUSG00000094802.7 transcript:ENSMUST00000178698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samt1 description:spermatogenesis associated multipass transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:3709338] MDQLIVDTRSVIYRFINEKDCIYKLSGLLSSLAAFMLEVLIASILSWRLWEFDSNVVQFV SFGLFEAYYPQQFNISGTLTKMLVYTPIDSTWNISTEFMYAQNLVVWAILMKPVVLVFCV MAIKISCTKNPLVEMQIYCYKISALILSVSSMFTFVSVIWNHMVDFYGHTTLDFPSDFPV KKEALTSKHLTVVLPVGLLIATMSLFGVIMFLSEISDLKLKRPVKANDASKMGLLDA >ENSMUSP00000027302.7 pep:known chromosome:GRCm38:1:34459765:34473784:1 gene:ENSMUSG00000026126.15 transcript:ENSMUST00000027302.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn18 description:protein tyrosine phosphatase, non-receptor type 18 [Source:MGI Symbol;Acc:MGI:108410] MSRHTDLVRSFLEQLEARDYREGAILAREFSDIKARSVAWKSEGVCSTKAGSRLGNTNKN RYKDVVAYDETRVILSLLQEEGHGDYINANFIRGIDGSQAYIATQGPLPHTLLDFWRLVW EFGVKVILMACQETENGRRKCERYWAREQEPLKAGPFCITLTKETTLNADITLRTLQVTF QKEFRSVHQLQYMSWPDHGVPSSSDHILTMVEEARCLQGLGPGPLCVHCSAGCGRTGVLC AVDYVRQLLLTQTIPPNFSLFQVVLEMRKQRPAAVQTEEQYRFLYHTVAQLFSRTLQDTS PHYQNLKENCAPICKEAFSLRTSSALPATSRPPGGVLRSISVPAPPTLPMADTYAVVQKR GASAGTGPGPRAPTSTDTPIYSQVAPRAQRPVAHTEDAQGTTALRRVPADQNSSGPDAYE EVTDGAQTGGLGFNLRIGRPKGPRDPPAEWTRV >ENSMUSP00000139885.1 pep:known chromosome:GRCm38:1:34459777:34471917:1 gene:ENSMUSG00000026126.15 transcript:ENSMUST00000190122.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn18 description:protein tyrosine phosphatase, non-receptor type 18 [Source:MGI Symbol;Acc:MGI:108410] MSRHTDLDIKARSVAWKSEGVCSTKAGSRLGNTNKNRYKDVVAYDETRVILSLLQEEGHG DYINANFIRGIDGSQAYIATQGPLPHTLLDFWRLVWEFGVKVILMACQETENGRRKCERY WAREQEPLKAGPFCITLTKETTLNADITLRTLQVTFQKEFRSVHQLQYMSWPDHGVPSSS DHILTMVEEARCLQGLGPGPLCVHCSAGCGRTGVLCAVDYVRQLLLTQTIPPNFSLFQVV LEMRKQRPAAVQTEEQYRFLYHTVAQLFSRTLQDTSPHYQNLKEVQSPQ >ENSMUSP00000140436.1 pep:known chromosome:GRCm38:1:34472720:34475733:1 gene:ENSMUSG00000026126.15 transcript:ENSMUST00000188972.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn18 description:protein tyrosine phosphatase, non-receptor type 18 [Source:MGI Symbol;Acc:MGI:108410] MADTYAVVQKRGASAGTGPGPRAPTSTDTPIYSQVAPRAQRPVAHTEDAQGTTALRRVPA DQNSSGPDAYEEVTDGAQTGGLDGM >ENSMUSP00000099526.3 pep:known chromosome:GRCm38:11:79526560:79530588:-1 gene:ENSMUSG00000078771.10 transcript:ENSMUST00000103236.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi2a description:ecotropic viral integration site 2a [Source:MGI Symbol;Acc:MGI:95458] MEHKGQYLHLVFLMTTVWASSSSGTRPNYTHLWASSVTASGSSNQNGSSRHPSDNNTNLV TPAVGHKVSATDKPASSPPVPLASTSTLKSSTPHAFRNSSPTAEIKSQGETFKKEVCEEN TSNTAMLICLIVIAVLFLICTFLFLSTVVLANKVSSLKRSKQVGKRQPRSNGDFLASSGL WTAESDTWKRAKELTGSNLLLQSPGVLTAARERKHEEGTEKLN >ENSMUSP00000137045.1 pep:known chromosome:GRCm38:11:79526957:79527775:-1 gene:ENSMUSG00000078771.10 transcript:ENSMUST00000154415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi2a description:ecotropic viral integration site 2a [Source:MGI Symbol;Acc:MGI:95458] XKGQYLHLVFLMTTVWASSSSGTRPNYTHLWASSVTASGSSNQNGSSRHPSDNNTNLVTP AVGHKVKASRQAPAAEQR >ENSMUSP00000115683.1 pep:known chromosome:GRCm38:11:79527685:79528146:-1 gene:ENSMUSG00000078771.10 transcript:ENSMUST00000146611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi2a description:ecotropic viral integration site 2a [Source:MGI Symbol;Acc:MGI:95458] MKIQLKNKTTNSVEKNPITKDSSGPVGMEHKGQYLHLVFLMTTVWASSSSGTRPNYTHL >ENSMUSP00000125936.1 pep:known chromosome:GRCm38:11:79526568:79530609:-1 gene:ENSMUSG00000078771.10 transcript:ENSMUST00000170799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi2a description:ecotropic viral integration site 2a [Source:MGI Symbol;Acc:MGI:95458] MEHKGQYLHLVFLMTTVWASSSSGTRPNYTHLWASSVTASGSSNQNGSSRHPSDNNTNLV TPAVGHKVSATDKPASSPPVPLASTSTLKSSTPHAFRNSSPTAEIKSQGETFKKEVCEEN TSNTAMLICLIVIAVLFLICTFLFLSTVVLANKVSSLKRSKQVGKRQPRSNGDFLASSGL WTAESDTWKRAKELTGSNLLLQSPGVLTAARERKHEEGTEKLN >ENSMUSP00000015667.3 pep:known chromosome:GRCm38:3:95526786:95556400:1 gene:ENSMUSG00000038642.10 transcript:ENSMUST00000015667.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctss description:cathepsin S [Source:MGI Symbol;Acc:MGI:107341] MRAPGHAAIRRWLFWMPLVCSVAMEQLQRDPTLDYHWDLWKKTHEKEYKDKNEEEVRRLI WEKNLKFIMIHNLEYSMGMHTYQVGMNDMGDMTNEEILCRMGALRIPRQSPKTVTFRSYS NRTLPDTVDWREKGCVTEVKYQGSCGACWAFSAVGALEGQLKLKTGKLISLSAQNLVDCS NEEKYGNKGCGGGYMTEAFQYIIDNGGIEADASYPYKATDEKCHYNSKNRAATCSRYIQL PFGDEDALKEAVATKGPVSVGIDASHSSFFFYKSGVYDDPSCTGNVNHGVLVVGYGTLDG KDYWLVKNSWGLNFGDQGYIRMARNNKNHCGIASYCSYPEI >ENSMUSP00000112006.2 pep:known chromosome:GRCm38:3:95526786:95556403:1 gene:ENSMUSG00000038642.10 transcript:ENSMUST00000116304.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctss description:cathepsin S [Source:MGI Symbol;Acc:MGI:107341] MRAPGHAAIRWLFWMPLVCSVAMEQLQRDPTLDYHWDLWKKTHEKEYKDKNEEEVRRLIW EKNLKFIMIHNLEYSMGMHTYQVGMNDMGDMTNEEILCRMGALRIPRQSPKTVTFRSYSN RTLPDTVDWREKGCVTEVKYQGSCGACWAFSAVGALEGQLKLKTGKLISLSAQNLVDCSN EEKYGNKGCGGGYMTEAFQYIIDNGGIEADASYPYKATDEKCHYNSKNRAATCSRYIQLP FGDEDALKEAVATKGPVSVGIDASHSSFFFYKSGVYDDPSCTGNVNHGVLVVGYGTLDGK DYWLVKNSWGLNFGDQGYIRMARNNKNHCGIASYCSYPEI >ENSMUSP00000107867.2 pep:known chromosome:GRCm38:X:164936169:164980375:-1 gene:ENSMUSG00000061778.10 transcript:ENSMUST00000112248.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mospd2 description:motile sperm domain containing 2 [Source:MGI Symbol;Acc:MGI:1924013] MAENNAQNKAKLISETRRRFEAEYVTEKSEKYDSRDVERLQQDDNWVESYLYWRHNVVDE TLKMLDESFQWRKEFSVNDLSESSIPRWLLELGGIYLHGYDKEGNKLFWIRVKYHIKDQK TIMDKKKLIAFWLERYAKRENGKPITVMFDMSETGLNSIDMDFVRFIINCFKVYYPKYLS KIVIFDMPWIMNAAFKIVKSWLGPEAVSLLKFTSKNEIQEYVSVEYLPPHMGGTDPFKYS YPPLVDDDFQTPLCENGPIASEDETSSKEDIEGDGKETLETISNEEPPALSEKSNPTESV SKKDENEKVDSKTKTFKKPLSVFKGPLLHISPAEELYFGSIESGEKKTLIVLTNVTKNIV AFKVRTTAPEKYRVKPSNSSCDPGASIDIIVSPHGGLTVSAQDRFLIMAAEMEQSSGTGP AELSQFWKEVPRNKVMEHRLRCHTVESSKPNSLMLKDSISTMSDKTSEDLYLQFATSRCE TDCSPH >ENSMUSP00000107866.2 pep:known chromosome:GRCm38:X:164936318:164980297:-1 gene:ENSMUSG00000061778.10 transcript:ENSMUST00000112247.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mospd2 description:motile sperm domain containing 2 [Source:MGI Symbol;Acc:MGI:1924013] MAENNAQNKAKLISETRRRFEAEYVTEKSEKYDSRDVERLQQDDNWVESYLYWRHNVVDE TLKMLDESFQWRKEFSVNDLSESSIPRWLLELGGIYLHGYDKEGNKLFWIRVKYHIKDQK TIMDKKKLIAFWLERYAKRENGKPITVMFDMSETGLNSIDMDFVRFIINCFKVYYPKYLS KIVIFDMPWIMNAAFKIVKSWLGPEAVSLLKFTSKNEIQEYVSVEYLPPHMGGTDPFKYS YPPLVDDDFQTPLCENGPIASEDETSSKEDIEGDGKETLETISNEEPPALSEKSNPTESV SKKDENEKVDSKTKTFKKPLSVFKGPLLHISPAEELYFGSIESGEKKTLIVLTNVTKNIV AFKVRTTAPEKYRVKPSNSSCDPGASIDIIVSPHGGLTVSAQDRFLIMAAEMEQSSGTGP AELSQFWKEVPRNKVMEHRLRCHTVESSKPNSLMLKDSISTMSDKTSEDLYLQEESPLPS K >ENSMUSP00000004715.1 pep:known chromosome:GRCm38:X:164938209:164980335:-1 gene:ENSMUSG00000061778.10 transcript:ENSMUST00000004715.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mospd2 description:motile sperm domain containing 2 [Source:MGI Symbol;Acc:MGI:1924013] MAENNAQNKAKLISETRRRFEAEYVTEKSEKYDSRDVERLQQDDNWVESYLYWRHNVVDE TLKMLDESFQWRKEFSVNDLSESSIPRWLLELGGIYLHGYDKEGNKLFWIRVKYHIKDQK TIMDKKKLIAFWLERYAKRENGKPITVMFDMSETGLNSIDMDFVRFIINCFKVYYPKYLS KIVIFDMPWIMNAAFKIVKSWLGPEAVSLLKFTSKNEIQEYVSVEYLPPHMGGTDPFKYS YPPLVDDDFQTPLCENGPIASEDETSSKEDIEGDGKETLETISNEEPPALSEKSNPTESV SKKDENEKVDSKTKTFKKPLSVFKGPLLHISPAEELYFGSIESGEKKTLIVLTNVTKNIV AFKVRTTAPEKYRVKPSNSSCDPGASIDIIVSPHGGLTVSAQDRFLIMAAEMEQSSGTGP AELSQFWKEVPRNKVMEHRLRCHTVESSKPNSLMLKDSISTMSDKTSEDLYLQLNRLLES NRKLEDQLQRSIWFQQLLLALTMVLLAFVVSFFYSLYN >ENSMUSP00000031651.8 pep:known chromosome:GRCm38:5:147430161:147548502:1 gene:ENSMUSG00000029647.15 transcript:ENSMUST00000031651.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pan3 description:PAN3 polyA specific ribonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919837] MNSGGGGGLPPPSAAASPSSSSLAAAVAVAVAASSGVGGVPGGPAAAAGVKLKYCRYYAK DKTCFYGEECQFLHEDPAAGAAPGLGLHSNSVPLALAAAAGAAFPPGALPGGGAGPPAGP KKPELGVPGAATAGGGLDGPRVAIPGMDGGALTDASLTESYFSTSFIGVNGFGSPVETKY PLMQRMTSSSSSPSLLNDSAKPYTGHDLLTSSASSLFNDFGALNISQRRKTPNPTASEFI PKGGSTSRLSNVSQSNMSAFSQVFSHPSMGSPATAGLAPGMSLSAGSSPLHSPKITPHTS PAPRRRSHTPNPASFMVPPSASTPANNPAPQPPSSGQVIQKETVGGTTYFYTDTTPAPLT GMVFPNYHIYPPTAPHVAYMQPKANAPSFFMADELRQELINRHLITMAQIDQADMPAVPT EVDSYHSLFPLEPLPPPNRIQKSSNFGYITSCYKAVNSKDDLPYCLRRIHGFRLVNTKCM VLVDMWKKIQHSNIVTLREVFTTKAFAEPSLVFAYDFHAGGETMMSRHFNDPNSDAYFTK RKWGQHDGPLPRQHAGLLPESLIWAYIVQLSSALRTIHTAGLACRVMDPTKILITSKTRL RVNCVGVFDVLTFDNSQNNNPLALMAQYQQADLISLGKVVLALACNSLAGIQRENLQKAM ELVTINYSSDLKNLILYLLTDQNRMRSVNDIMPMIGARFYTQLDAAQMRNDVIEEDLAKE VQNGRLFRLLAKLGTINERPEFQKDPTWSETGDRYLLKLFRDHLFHQVTEAGAPWIDLSH IISCLNKLDAGVPEKISLISRDEKSVLVVTYSDLKRCFENTFQELIAAANGNDRNSN >ENSMUSP00000135367.1 pep:known chromosome:GRCm38:5:147430453:147548496:1 gene:ENSMUSG00000029647.15 transcript:ENSMUST00000176600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pan3 description:PAN3 polyA specific ribonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919837] MNSGGGGGLPPPSAAASPSSSSLAAAVAVAVAASSGVGGVPGGPAAAAGVKLKYCRYYAK DKTCFYGEECQFLHEDPAAGAAPGLGLHSNSVPLALAAAAGAAFPPGALPGGGAGPPAGP KKPELGVPGAATAGGGLDGPRVAIPGMDGGALTDASLTESYFSTSFIGVNGFGSPVETKY PLMQRMTSSSSSPSLLNDSAKPYTGHDLLTSSASSLFNDFGALNISQRRKPRKYRLGMLE ERLVPMGSQARKAKNPLGCLADRCESGVPVSMVWWDRVPENNLQTPNPTASEFIPKGGST SRLSNVSQSNMSAFSQVFSHPSMGSPATAGLAPGMSLSAGSSPLHSPKITPHTSPAPRRR SHTPNPASFMVPPSASTPANNPAPQPPSSGQVIQKETVGGTTYFYTDTTPAPLTGMVFPN YHIYPPTAPHVAYMQPKANAPSFFMADELRQELINRHLITMAQIDQADMPAVPTEVDSYH SLFPLEPLPPPNRIQKSSNFGYITSCYKAVNSKDDLPYCLRRIHGFRLVNTKCMVLVDMW KKIQHSNIVTLREVFTTKAFAEPSLVFAYDFHAGGETMMSRHFNDPNSDAYFTKRKWGQH DGPLPRQHAGLLPESLIWAYIVQLSSALRTIHTAGLACRVMDPTKILITSKTRLRVNCVG VFDVLTFDNSQNNNPLALMAQYQQADLISLGKVVLALACNSLAGIQRENLQKAMELVTIN YSSDLKNLILYLLTDQNRMRSVNDIMPMIGARFYTQLDAAQMRNDVIEEDLAKEVQNGRL FRLLAKLGTINERPEFQKDPTWSETGDRYLLKLFRDHLFHQVTEAGAPWIDLSHIISCLN KLDAGVPEKISLISRDEKSVLVVTYSDLKRCFENTFQELIAAANGQL >ENSMUSP00000135673.1 pep:known chromosome:GRCm38:5:147430896:147527026:1 gene:ENSMUSG00000029647.15 transcript:ENSMUST00000176466.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pan3 description:PAN3 polyA specific ribonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919837] XGALPGGGAGPPAGPKKPELGVPGAATAGGGLDGPRVAIPGMDGGALTDASLTESYFSTS FIGVNGFGSPVETKYPLMQRMTSSSSSPSLLNDSAKPYTGHDLLTSSASSLFNDFGALNI SQRRKECHCLLDLPLSIPPKLLHTPLLLLEEEATLQTQQVSWCRLVPPLLPITLLLSLRP LVR >ENSMUSP00000134810.1 pep:known chromosome:GRCm38:5:147450660:147545945:1 gene:ENSMUSG00000029647.15 transcript:ENSMUST00000177465.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pan3 description:PAN3 polyA specific ribonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919837] XMDGGALTDASLTESYFSTSFIGVNGFGSPVETKYPLMQRMTSSSSSPSLLNDSAKPYTG HDLLTSSASSLFNDFGALNISQRRKPRKYRLGMLEERLVPMGSQARKAKNPLGCLADRCE SGVPVSMVWWDRVPENNLQTPNPTASEFIPKGGSTSRLSNVSQSNMSAFSQVFSHPSMGS PATAGLAPGNKLPSESALDLKINPTVHETD >ENSMUSP00000134989.1 pep:known chromosome:GRCm38:5:147450660:147545945:1 gene:ENSMUSG00000029647.15 transcript:ENSMUST00000176753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pan3 description:PAN3 polyA specific ribonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919837] XMDGGALTDASLTESYFSTSFIGVNGFGSPVETKYPLMQRMTSSSSSPSLLNDSAKPYTG HDLLTSSASSLFNDFGALNISQRRKTPNPTASEFIPKGGSTSRLSNVSQSNMSAFSQVFS HPSMGSPATAGLAPGMSLSAGSSPLHSPKITPHTSPAPRRRSHTPNPASFMVPPSASTPA NNPAPQPPSSGQVIQKETVGGTTYFYTDTTPAPLTGMVFPNYHIYPPTAPHVAYMQPKAN APSFFMADELRQELINRHLITMAQIDQADMPAVPTEVDSYHSLFPLEPLPPPNRIQKSSN FGYITSCYKAVNSKDDLPYCLRRIHGFRLVNTKCMVLVDMWKKIQHSNIVTLREVFTTKA FAEPSLVFAYDFHAGGETMMSRHFNDPNSDAYFTKRKWGQHDGPLPRQHAGLLPESLIWA YIVQLSSALRTIHTAGLACRVMDPTKILITSKTRLRVNCVGVFDVLTFDNSQNNNPLALM AQYQQADLISLGKVVLALACNSLAGIQRENLQKAMELVTINYSSDLKNLILYLLTDQNRM RSVNDIMPMIGARFYTQLDAAQMRNDVIEEDLAKEVQNGRLFRLLAKLGTINERPEFQKD PTWSETGDRYLLKLFRDHLFHQVTEAGAPWIDLSHIISCLNKLDAGVPEKISLISRDEKS VLVVTYSDLKRCFENTFQELIAAANGQL >ENSMUSP00000144495.1 pep:known chromosome:GRCm38:5:147450740:147526650:1 gene:ENSMUSG00000029647.15 transcript:ENSMUST00000200885.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pan3 description:PAN3 polyA specific ribonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919837] XSPVETKYPLMQRMTSSSSSPSLLNDSAKPYTGHDLLTSSASSLFNDFGALNISQRRKVF PNYHIYPPTAPHVAYMQPKANAPSFFMADELRQELINRHLITMAQIDQADMPAVPTEVDS YHSLFPLEPLPPPNRIQKSSNFGYITSCYKAVNSKD >ENSMUSP00000135477.1 pep:known chromosome:GRCm38:5:147453289:147548496:1 gene:ENSMUSG00000029647.15 transcript:ENSMUST00000176596.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pan3 description:PAN3 polyA specific ribonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919837] XDSAKPYTGHDLLTSSASSLFNDFGALNISQRRKTPNPTASEFIPKGGSTSRLSNVSQSN MSAFSQVFSHPSMGSPATAGLAPGNKLPSESALDLKINPTVHETD >ENSMUSP00000135729.1 pep:known chromosome:GRCm38:5:147519687:147548496:1 gene:ENSMUSG00000029647.15 transcript:ENSMUST00000175807.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pan3 description:PAN3 polyA specific ribonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919837] KETVGGTTYFYTDTTPAPLTGMVFPNYHIYPPTAPHVAYMQPKANAPSFFMADELRQELI NRHLITMAQIDQADMPAVPTEVDSYHSLFPLEPLPPPNRIQKSSNFGYITSCYKAVNSKD DLPYCLRRIHALVFAYDFHAGGETMMSRHFNDPNSDAYFTKRKWGQHDGPLPRQHAGLLP ESLIWAYIVQLSSALRTIHTAGLACRVMDPTKILITSKTRLRVNCVGVFDVLTFDNSQNN NPLALMAQYQQADLISLGKVVLALACNSLAGIQRENLQKAMELVTINYSSDLKNLILYLL TDQNRMRSVNDIMPMIGARFYTQLDAAQMRNDVIEEDLAKEVQNGRLFRLLAKLGTINER PEFQKDPTWSETGDRYLLKLFRDHLFHQVTEAGAPWIDLSHIISCLNKLDAGVPEKISLI SRDEKSVLVVTYSDLKRCFENTFQELIAAANGNDRNSN >ENSMUSP00000134911.1 pep:known chromosome:GRCm38:5:147539277:147548493:1 gene:ENSMUSG00000029647.15 transcript:ENSMUST00000176553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pan3 description:PAN3 polyA specific ribonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919837] VNDIMPMIGARFYTQLDAAQMRNDVIEEDLAKEVQNGRLFRLLAKLGTINERPEFQKDPT WSETGDRYLLKLFRDHLFHQVTEAGAPWIDLSHIISCLNKLDAGVPEKISLISRDEKSVL VVTYSDLKRCFENTFQELIAAANDTTRKGQPLKSCRVAHFSSVPISSPVSVFTSLQIPLR VPLCSALTLVLSLDSNIVSRKHQYV >ENSMUSP00000032573.6 pep:known chromosome:GRCm38:7:18884680:18890441:1 gene:ENSMUSG00000030413.7 transcript:ENSMUST00000032573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pglyrp1 description:peptidoglycan recognition protein 1 [Source:MGI Symbol;Acc:MGI:1345092] MLFACALLALLGLATSCSFIVPRSEWRALPSECSSRLGHPVRYVVISHTAGSFCNSPDSC EQQARNVQHYHKNELGWCDVAYNFLIGEDGHVYEGRGWNIKGDHTGPIWNPMSIGITFMG NFMDRVPAKRALRAALNLLECGVSRGFLRSNYEVKGHRDVQSTLSPGDQLYQVIQSWEHY RE >ENSMUSP00000081285.5 pep:known chromosome:GRCm38:4:129626083:129640805:-1 gene:ENSMUSG00000053841.15 transcript:ENSMUST00000084264.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlna description:taxilin alpha [Source:MGI Symbol;Acc:MGI:105968] MKNQDKKNGPAKHSNSKGSPGQREAGPEGAHGRPRQTAPGAEAEGSTSQAPGKTEGARAK AAQPGALCDVSEELSRQLEDILSTYCVDNNQGGPAEEGAQGEPTEPEDTEKSRTYAARNG EPEPGIPVVNGEKETSKGEPGTEEIRASDEVGDRDHRRPQEKKKAKGLGKEITLLMQTLN TLSTPEEKLAALCKKYAELLEEHRNSQKQMKLLQKKQSQLVQEKDHLRGEHSKAVLARSK LESLCRELQRHNRSLKEEGVQRAREEEEKRKEVTSHFQVTLNDIQLQMEQHNERNSKLRQ ENMELAERLKKLIEQYELREEHIDKVFKHKDLQQQLVDAKLQQAQEMLKEAEERHQREKE FLLKEAVESQRMCELMKQQETHLKQQLALYTEKFEEFQNTLSKSSEVFTTFKQEMEKMTK KIKKLEKETTMYRSRWESSNKALLEMAEEKTVRDKELEGLQVKIQRLEKLCRALQTERND LNKRVQDLTAGGITDIGSERRPEATTASKEQGVESPGAQPASSPRATDAPCCSGAPSTGT AGQTGPGEPTPATA >ENSMUSP00000117368.1 pep:known chromosome:GRCm38:4:129632165:129641065:-1 gene:ENSMUSG00000053841.15 transcript:ENSMUST00000133803.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlna description:taxilin alpha [Source:MGI Symbol;Acc:MGI:105968] MKNQDKKNGPAKHSNSKGSPGQREAGPEGAHGRPRQTAPGAEAEGSTSQAPGKTEGARAK AAQPGALCDVSEELSRQLEDILSTYCVDNNQGGPAEEGAQGEPTEPEDTEKSRTYAARNG EPEPGIPVVNGEKETSKGEPGTEEIRASDEVGDRDHRRPQEKKKAKGLGKEITLLMQTLN TLSTPEEKLAALCKKYAELLEEHRNSQKQMKLLQKKQSQLVQEKDHLRGEHSKAVLARSK LESLCRELQRHNRSLKEEGVQRAREEEEKRKEVTSHFQVTLNDIQLQMEQHNERNS >ENSMUSP00000122382.1 pep:known chromosome:GRCm38:4:129634381:129640978:-1 gene:ENSMUSG00000053841.15 transcript:ENSMUST00000154105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlna description:taxilin alpha [Source:MGI Symbol;Acc:MGI:105968] MKNQDKKNGPAKHSNSKGSPGQREAGPEGAHGRPRQTAPGAEAEGSTSQAPGKTEGARAK AAQPGALCDVSEELSRQLEDILSTYCVDNNQGGPAEEGAQGEPTEPEDTEKSRTYAARNG EPEPGIPVVNGEKETSKGEPGTEEIRASDEVGDRDHRRPQEKKKAKGLGKEITLLMQTLN TLSTPEEKLAALCKKYAELLEEHRNSQKQMKLLQKKQSQLVQEKDHLRGEHSKAVLARSK LESLCRELQRHNRS >ENSMUSP00000118301.1 pep:known chromosome:GRCm38:4:129634397:129640959:-1 gene:ENSMUSG00000053841.15 transcript:ENSMUST00000132217.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlna description:taxilin alpha [Source:MGI Symbol;Acc:MGI:105968] MKNQDKKNGPAKHSNSKGSPGQREAGPEGAHGRPRQTAPGAEAEGSTSQAPGKTEGARAK AAQPGALCDVSEELSRQLEDILSTYCVDNNQGGPAEEGAQGEPTEPEDTEKSRTYAARNG EPEPGIPVVNGEKETSKGEPGTEEIRASDEVGDRDHRRPQEKKKAKGLGKEITLLMQTLN TLSTPEEKLAALCKKYAELLEEHRNSQKQMKLLQKKQSQLVQEKDHLRGEHSKAVLARSK LESLCREL >ENSMUSP00000117771.1 pep:known chromosome:GRCm38:4:129639463:129640960:-1 gene:ENSMUSG00000053841.15 transcript:ENSMUST00000130017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlna description:taxilin alpha [Source:MGI Symbol;Acc:MGI:105968] MKNQDKKNGPAKHSNSKGSPGQREAGPEGAHGRPRQTAPGAEAEGSTSQAPGKTEGARAK AAQPGALCDVSEELSRQLEDILSTYCVDNN >ENSMUSP00000042153.9 pep:known chromosome:GRCm38:4:129626078:129641065:-1 gene:ENSMUSG00000053841.15 transcript:ENSMUST00000046425.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlna description:taxilin alpha [Source:MGI Symbol;Acc:MGI:105968] MKNQDKKNGPAKHSNSKGSPGQREAGPEGAHGRPRQTAPGAEAEGSTSQAPGKTEGARAK AAQPGALCDVSEELSRQLEDILSTYCVDNNQGGPAEEGAQGEPTEPEDTEKSRTYAARNG EPEPGIPVVNGEKETSKGEPGTEEIRASDEVGDRDHRRPQEKKKAKGLGKEITLLMQTLN TLSTPEEKLAALCKKYAELLEEHRNSQKQMKLLQKKQSQLVQEKDHLRGEHSKAVLARSK LESLCRELQRHNRSLKEEGVQRAREEEEKRKEVTSHFQVTLNDIQLQMEQHNERNSKLRQ ENMELAERLKKLIEQYELREEHIDKVFKHKDLQQQLVDAKLQQAQEMLKEAEERHQREKE FLLKEAVESQRMCELMKQQETHLKQQLALYTEKFEEFQNTLSKSSEVFTTFKQEMEKMTK KIKKLEKETTMYRSRWESSNKALLEMAEEKTVRDKELEGLQVKIQRLEKLCRALQTERND LNKRVQDLTAGGITDIGSERRPEATTASKEQGVESPGAQPASSPRATDAPCCSGAPSTGT AGQTGPGEPTPATA >ENSMUSP00000105082.2 pep:known chromosome:GRCm38:2:160880670:160905997:1 gene:ENSMUSG00000027412.12 transcript:ENSMUST00000109456.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpin3 description:lipin 3 [Source:MGI Symbol;Acc:MGI:1891342] MNYVGQLAETVFGTVKELYRGLNPATLSGGIDVLVVRQRDGSFRCSPFHVRFGKLGVLRS REKVVDIEINGEPVDLHMKLGDSGEAFFVQELDSDEEDVPPRLCTSPIPWGGLSGFPSDS QIGTASEPEGLVITGRRKRRRRRKPRRREEDAVDSSSEELEAGAESELTLLEKPTPESPS AQEAEEPSSQPKDIHPYSDGECTPQANLSSGDLMSPKSDSELELRSLEPSPLRAESHMQW VWGRLPKVAKAERPEFSLILESMAEAICALPEEPSPSSSPSEAGVDTLSPPVLHPGVRAD TFHPAVEAHCEETAVDSPLAAPESKETKTQNSRGAGHPPATKSWSWTTPESHTPSGHPQV SRGKGSLKRNQHLGPSDIYLDDLPSLDSENVALYFPKSEYGMGARRWSEPSNQKLLESPN PEHIAECTLDSVDKIELSLCGGLADNRDISLEKFTQHMVSYEDLTKNPGLLDDPNLVVKI NEKHYNWAVAAPMILSLQAFQKNLPESTVDKLEKEKMPRKGGRWWFSWRRRDFPAEEHSS QREKAATRKQQGEKTEVLSSDDDVPDSPVILEVPPLPSSTPGYVPTYKKSLRLSSDQIRC LNLNEGANDVVFSVTTQYQGTCRCKATIYLWNWDDKVVISDIDGTITKSDALGHILPQLG KDWTHQGITSLYHKIHLNGYKFLYCSARAIGMADLTKGYLQWVSEHGCGLPKGPILLSPS SLFSALHREVIEKKPEVFKVACLSDIQQLFLPQRQPFHAAFGNRPNDVFAYRQVGLPESR IFTVNPRGELIQELIKSHKSTYQRLGEVVELLFPPVVRGPSTDLASPEYSNLSYWRKPLP YVDFEALA >ENSMUSP00000105081.2 pep:known chromosome:GRCm38:2:160888108:160906002:1 gene:ENSMUSG00000027412.12 transcript:ENSMUST00000109455.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpin3 description:lipin 3 [Source:MGI Symbol;Acc:MGI:1891342] MNYVGQLAETVFGTVKELYRGLNPATLSGGIDVLVVRQRDGSFRCSPFHVRFGKLGVLRS REKVVDIEINGEPVDLHMKLGDSGEAFFVQELDSDEEDVPPRLCTSPIPWGGLSGFPSDS QIGTASEPEGLVITGRRKRRRRRKPRRREEDAVDSSSEELEAGAESELTLLEKPTPESPS AQEAEEPSSQPKDIHPYSDGECTPQANLSSGDLMSPKSDSELELRSLEPSPLRAESHMQW VWGRLPKVAKAERPEFSLILESMAEAICALPEEPSPSSSPSEAGVDTLSPPVLHPGVRAD TFHPAVEAHCEETAVDSPLAAPESKETKTQNSRGAGHPPATKSWSWTTPESHTPSGHPQV SRGKGSLKRNQHLGPSDIYLDDLPSLDSENVALYFPKSEYGMGARRWSEPSNQKLLESPN PEHIAECTLDSVDKIELSLCGGLADNRDISLEKFTQHMVSYEDLTKNPGLLDDPNLVVKI NEKHYNWAVAAPMILSLQAFQKNLPEHSSQREKAATRKQQGEKTEVLSSDDDVPDSPVIL EVPPLPSSTPGYVPTYKKSLRLSSDQIRCLNLNEGANDVVFSVTTQYQGTCRCKATIYLW NWDDKVVISDIDGTITKSDALGHILPQLGKDWTHQGITSLYHKIHLNGYKFLYCSARAIG MADLTKGYLQWVSEHGCGLPKGPILLSPSSLFSALHREVIEKKPEVFKVACLSDIQQLFL PQRQPFHAAFGNRPNDVFAYRQVGLPESRIFTVNPRGELIQELIKSHKSTYQRLGEVVEL LFPPVVRGPSTDLASPEYSNLSYWRKPLPYVDFEALA >ENSMUSP00000043053.6 pep:known chromosome:GRCm38:2:160888108:160906002:1 gene:ENSMUSG00000027412.12 transcript:ENSMUST00000040872.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpin3 description:lipin 3 [Source:MGI Symbol;Acc:MGI:1891342] MNYVGQLAETVFGTVKELYRGLNPATLSGGIDVLVVRQRDGSFRCSPFHVRFGKLGVLRS REKVVDIEINGEPVDLHMKLGDSGEAFFVQELDSDEEDVPPRLCTSPIPWGGLSGFPSDS QIGTASEPEGLVITGRRKRRRRRKPRRREEDAVDSSSEELEAGAESELTLLEKPTPESPS AQEAEEPSSQPKDIHPYSDGECTPQANLSSGDLMSPKSDSELELRSLEPSPLRAESHMQW VWGRLPKVAKAERPEFSLILESMAEAICALPEEPSPSSSPSEAGVDTLSPPVLHPGVRAD TFHPAVEAHCEETAVDSPLAAPESKETKTQNSRGAGHPPATKSWSWTTPESHTPSGHPQV SRGKGSLKRNQHLGPSDIYLDDLPSLDSENVALYFPKSEYGMGARRWSEPSNQKLLESPN PEHIAECTLDSVDKIELSLCGGLADNRDISLEKFTQHMVSYEDLTKNPGLLDDPNLVVKI NEKHYNWAVAAPMILSLQAFQKNLPESTVDKLEKEKMPRKGGRWWFSWRRRDFPAEEHSS QREKAATRKQQGEKTEVLSSDDDVPDSPVILEVPPLPSSTPGYVPTYKKSLRLSSDQIRC LNLNEGANDVVFSVTTQYQGTCRCKATIYLWNWDDKVVISDIDGTITKSDALGHILPQLG KDWTHQGITSLYHKIHLNGYKFLYCSARAIGMADLTKGYLQWVSEHGCGLPKGPILLSPS SLFSALHREVIEKKPEVFKVACLSDIQQLFLPQRQPFHAAFGNRPNDVFAYRQVGLPESR IFTVNPRGELIQELIKSHKSTYQRLGEVVELLFPPVVRGPSTDLASPEYSNLSYWRKPLP YVDFEALA >ENSMUSP00000105083.2 pep:known chromosome:GRCm38:2:160888171:160905792:1 gene:ENSMUSG00000027412.12 transcript:ENSMUST00000109457.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpin3 description:lipin 3 [Source:MGI Symbol;Acc:MGI:1891342] MNYVGQLAETVFGTVKELYRGLNPATLSGGIDVLVVRQRDGSFRCSPFHVRFGKLGVLRS REKVVDIEINGEPVDLHMKLGDSGEAFFVQELDSDEEDVPPRLCTSPIPWGGLSGFPSDS QIGTASEPEGLVITGRRKRRRRRKPRRREEDAVDSSSEELEAGAESELTLLEKPTPESPS AQEAEEPSSQPKDIHPYSDGECTPQANLSSGDLMSPKSDSELELRSLEPSPLRAESHMQW VWGRLPKVAKAERPEFSLILESMAEAICALPEEPSPSSSPSEAGVDTLSPPVLHPGVRAD TFHPAVEAHCEETAVDSPLAAPESKETKTQNSRGAGHPPATKSWSWTTPESHTPSGHPQV SRGKGSLKRNQHLGPSDIYLDDLPSLDSENVALYFPKSEYGMGARRWSEPSNQKLLESPN PEHIAECTLDSVDKIELSLCGGLADNRDISLEKFTQHMVSYEDLTKNPGLLDDPNLVVKI NEKHYNWAVAAPMILSLQAFQKNLPEKELVATIYPQSTVDKLEKEKMPRKGGRWWFSWRR RDFPAEEHSSQREKAATRKQQGEKTEVLSSDDDVPDSPVILEVPPLPSSTPGYVPTYKKS LRLSSDQIRCLNLNEGANDVVFSVTTQYQGTCRCKATIYLWNWDDKVVISDIDGTITKSD ALGHILPQLGKDWTHQGITSLYHKIHLNGYKFLYCSARAIGMADLTKGYLQWVSEHGCGL PKGPILLSPSSLFSALHREVIEKKPEVFKVACLSDIQQLFLPQRQPFHAAFGNRPNDVFA YRQVGLPESRIFTVNPRGELIQELIKSHKSTYQRLGEVVELLFPPVVRGPSTDLASPEYS NLSYWRKPLPYVDFEALA >ENSMUSP00000062320.7 pep:known chromosome:GRCm38:4:127311421:127314039:-1 gene:ENSMUSG00000050234.7 transcript:ENSMUST00000053753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gja4 description:gap junction protein, alpha 4 [Source:MGI Symbol;Acc:MGI:95715] MGDWGFLEKLLDQVQEHSTVVGKIWLTVLFIFRILILGLAGESVWGDEQSDFECNTAQPG CTNVCYDQAFPISHIRYWVLQFLFVSTPTLIYLGHVIYLSRREERLRQKEGELRALPSKD LHVERALAAIEHQMAKISVAEDGRLRIRGALMGTYVVSVLCKSVLEAGFLYGQWRLYGWT MEPVFVCQRAPCPHIVDCYVSRPTEKTIFIIFMLVVGVISLVLNLLELVHLLCRCVSREI KARRDHDARPAQGSASDPYPEQVFFYLPMGEGPSSPPCPTYNGLSSTEQNWANLTTEERL TSSRPPPFVNTAPQGGRKSPSRPNSSASKKQYV >ENSMUSP00000098918.2 pep:known chromosome:GRCm38:6:56882400:56901886:-1 gene:ENSMUSG00000029780.14 transcript:ENSMUST00000101367.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c3 description:5'-nucleotidase, cytosolic III [Source:MGI Symbol;Acc:MGI:1927186] MTNQESAVHLKMMPEFQKSSVRIKNPTRVEEIICGLIKGGAAKLQIITDFDMTLSRFSYN GKRCPTCHNIIDNCKLVTDECRRKLLQLKEQYYAIEVDPVLTVEEKFPYMVEWYTKSHGL LIEQGIPKAKLKEIVADSDVMLKEGYENFFGKLQQHGIPVFIFSAGIGDVLEEVIRQAGV YHSNVKVVSNFMDFDENGVLKGFKGELIHVFNKHDGALKNTDYFSQLKDNSNIILLGDSQ GDLRMADGVANVEHILKIGYLNDRVDELLEKYMDSYDIVLVKEESLEVVNSILQKTL >ENSMUSP00000031793.5 pep:known chromosome:GRCm38:6:56882400:56923932:-1 gene:ENSMUSG00000029780.14 transcript:ENSMUST00000031793.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c3 description:5'-nucleotidase, cytosolic III [Source:MGI Symbol;Acc:MGI:1927186] MDRAAVARVGAVASASVCAVVAGVVLAQYIFTLKRKTGRKTKIIEMMPEFQKSSVRIKNP TRVEEIICGLIKGGAAKLQIITDFDMTLSRFSYNGKRCPTCHNIIDNCKLVTDECRRKLL QLKEQYYAIEVDPVLTVEEKFPYMVEWYTKSHGLLIEQGIPKAKLKEIVADSDVMLKEGY ENFFGKLQQHGIPVFIFSAGIGDVLEEVIRQAGVYHSNVKVVSNFMDFDENGVLKGFKGE LIHVFNKHDGALKNTDYFSQLKDNSNIILLGDSQGDLRMADGVANVEHILKIGYLNDRVD ELLEKYMDSYDIVLVKEESLEVVNSILQKTL >ENSMUSP00000138606.1 pep:known chromosome:GRCm38:6:56882951:56923819:-1 gene:ENSMUSG00000029780.14 transcript:ENSMUST00000152447.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nt5c3 description:5'-nucleotidase, cytosolic III [Source:MGI Symbol;Acc:MGI:1927186] MDRAAVARVGAVASASVCAVVAGVVLAQYIFTLKRKTGRKTKIIEMKIG >ENSMUSP00000145230.1 pep:known chromosome:GRCm38:6:56882984:56923876:-1 gene:ENSMUSG00000029780.14 transcript:ENSMUST00000135558.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nt5c3 description:5'-nucleotidase, cytosolic III [Source:MGI Symbol;Acc:MGI:1927186] MDRAAVARVGAVASASVCAVVAGVVLAQYIFTLKRKTGRKTKIIEMEIRDGTGSSI >ENSMUSP00000145410.1 pep:known chromosome:GRCm38:6:56884791:56923724:-1 gene:ENSMUSG00000029780.14 transcript:ENSMUST00000205087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c3 description:5'-nucleotidase, cytosolic III [Source:MGI Symbol;Acc:MGI:1927186] XIFTLKRKTGRKTKIIEMIITDFDMTLSRFSYNGKRCPTCHNIIDNCKLVTDECRRKLLQ LKEQYYAIEVDPVLTVEEKFPYMVEWYTKSHGLLIEQGIPKAKLKEIVADSDVMLKEGYE NFFGKLQQHGIPVFIFSAGIGDV >ENSMUSP00000076948.5 pep:known chromosome:GRCm38:1:87803680:87845158:1 gene:ENSMUSG00000056055.13 transcript:ENSMUST00000077772.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sag description:S-antigen, retina and pineal gland (arrestin) [Source:MGI Symbol;Acc:MGI:98227] MAACGKTNKSHVIFKKVSRDKSVTIYLGKRDYVDHVSQVEPVDGVVLVDPELVKGKKVYV TLTCAFRYGQEDIDVMGLTFRRDLYFSRVQVYPPVGAMSVLTQLQESLLKKLGDNTYPFL LTFPDYLPCSVMLQPAPQDVGKSCGVDFEVKAFASDITDPEEDKIPKKSSVRLLIRKVQH APPEMGPQPSAEASWQFFMSDKPLNLSVSLSKEIYFHGEPIPVTVTVTNNTDKVVKKIKV SVEQIANVVLYSSDYYVKPVASEETQEKVQPNSTLTKTLVLVPLLANNRERRGIALDGKI KHEDTNLASSTIIKEGIDRTVMGILVSYHIKVKLTVSGFLGELTSSEVATEVPFRLMHPQ PEDPAKESVQDENLVFEEFARQNLKDTGENTEGKKDEDAGQDE >ENSMUSP00000136729.1 pep:known chromosome:GRCm38:1:87803680:87845023:1 gene:ENSMUSG00000056055.13 transcript:ENSMUST00000177757.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sag description:S-antigen, retina and pineal gland (arrestin) [Source:MGI Symbol;Acc:MGI:98227] MAACGKTNKSHVIFKKVSRDKSVTIYLGKRDYVDHVSQVEPVDGVVLVDPELVKGKKVYV TLTCAFRYGQEDIDVMGLTFRRDLYFSRVQVYPPVGAMSVLTQLQESLLKKLGDNTYPFL LTFPDYLPCSVMLQPAPQDVGKSCGVDFEVKAFASDITDPEEDKIPKKSSVRLLIRKVQH APPEMGPQPSAEASWQFFMSDKPLNLSVSLSKEIYFHGEPIPVTVTVTNNTDKVVKKIKV SVEQIANVVLYSSDYYVKPVASEETQEKVQPNSTLTKTLVLVPLLANNRERRGIALDGKI KHEDTNLASSTIIKEGIDRTVMGILVSYHIKVKLTVSGFLGELTSSEVATEVPFRLMHPQ PEDPASESVQDENLVFEEFARQNLKDTGENTEGKKDEDAGQDE >ENSMUSP00000023694.3 pep:known chromosome:GRCm38:16:90925809:90934827:-1 gene:ENSMUSG00000022972.9 transcript:ENSMUST00000023694.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110004E09Rik description:RIKEN cDNA 1110004E09 gene [Source:MGI Symbol;Acc:MGI:1915251] MVVLHVKRGDESQFLLQAPGSTELEELTAQVARVYNGRLKVHRLCSEMEELAEHGVFLPP NMQGLTDEQIEELKLKDEWGEKCVPSGGSVFTKDEIGRRNGQAPNEKMKQVLKKTVEEAK AIVSKKQVEAGVFVTMEMVKDALDQLRGAVMIVYPMGLPPYDPIRMEFENKEDLSGTQAA LEVIQESEAQLWWAAKELRRTKKLSDYVGKNEKTKIIVKIQQRGQGAPAREPVISSEEHK QLMLFYHRRQEELKKLEENDDDSCLNSPWADNTALKRHFHGVKDIKWRPR >ENSMUSP00000122163.1 pep:known chromosome:GRCm38:16:90925953:90929983:-1 gene:ENSMUSG00000022972.9 transcript:ENSMUST00000129345.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110004E09Rik description:RIKEN cDNA 1110004E09 gene [Source:MGI Symbol;Acc:MGI:1915251] XPNEKMKQVLKKTVEEAKAIVSKKQVEAGVFVTMEMVKDALDQLRGAVMIVYPMGLPPYD PIRMEFENKEDLSGTQAALEVIQESEAQLWWAAKELRRTKKLSDYVGKNEKTKIIVKIQQ KLEENDDDSCLNSPWADNTALKRHFHGVKDIKWRPR >ENSMUSP00000117691.1 pep:known chromosome:GRCm38:16:90925970:90934927:-1 gene:ENSMUSG00000022972.9 transcript:ENSMUST00000125519.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1110004E09Rik description:RIKEN cDNA 1110004E09 gene [Source:MGI Symbol;Acc:MGI:1915251] MVVLHVKRGDESQFLLQAPGSTELEELTAQVARVYNGRLKVHRLCSEMEELAEHGVFLPP NMQGLTDEQIEELKLKDEWGEKCVPSGGSVFTKDEIGRRNGQETSRSWSLCYHGDGERCL GPASRCGHDRLSHGAATIRSYPDGI >ENSMUSP00000123417.1 pep:known chromosome:GRCm38:16:90927119:90934723:-1 gene:ENSMUSG00000022972.9 transcript:ENSMUST00000149833.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110004E09Rik description:RIKEN cDNA 1110004E09 gene [Source:MGI Symbol;Acc:MGI:1915251] MVVLHVKRGDESQFLLQAPGSTELEELTAQVARVYNGRLKVHRLCSGLTDEQIEELKLKD EWGEKCVPSGGSVFTKDEIGRRNGQAPNEKMKQVLKKTVEEAKAIVSKKQVEAGVFVTME MVKDALDQLRGAVMIVYPMGLPPYDPIRMEFENKEDLSGTQAALEVIQESEAQLWWAAKE LRRTKKLSDYVGKNEKTK >ENSMUSP00000120107.1 pep:known chromosome:GRCm38:16:90927182:90934506:-1 gene:ENSMUSG00000022972.9 transcript:ENSMUST00000142340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110004E09Rik description:RIKEN cDNA 1110004E09 gene [Source:MGI Symbol;Acc:MGI:1915251] MPKMEELAEHGVFLPPNMQGLTDEQIEELKLKDEWGEKCVPSGGSVFTKDEIGRRNGQAP NEKMKQVLKKTVEEAKAIVSKKQVEAGVFVTMEMVKDALDQLRGAVMIVYPMGLPPYDPI RMEFENKEDLSGTQAALEVIQESEAQLWWA >ENSMUSP00000136153.1 pep:known chromosome:GRCm38:11:79515056:79523762:-1 gene:ENSMUSG00000093938.2 transcript:ENSMUST00000179322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi2b description:ecotropic viral integration site 2b [Source:MGI Symbol;Acc:MGI:1890682] MEFKYLVFIVLCQYLDNTFFSETEAITTEQQSLSTLITPSLYVTTDSQNTAGNALSQTTR FKNISSGQQASPAQITPEQATPAVYVSSSPLTYNITRQAESAVNNSLPQTSPSGFTLTNQ PSPSTYNSTGQPPKHLVYTSTQQPPSPAPTSSGKPEVESTHNQPTKSTPTIYLQRDTPPP PPPPLTSEPPSGKGTAHKNNHNAIAAILIGTIIISMLVAILMIILWKYLRKPVLNDQNWA GRSPFADGETPEMCMDNIRESEASTKRASVVSLMTWKPSKSTLLADDLEVKLFESSEHIN DTSNLKTDNVEVQINGLSEDSADGSTVGTAVSSDDADLALPPPLLDLDENLPNKPTVTVV SPLPNDSINPQPSPDGLNQVCEEQHSKIQEPFPPPPDSFNVPLSAGDFINNQESAHEAQC QEFSTPDLHPDLTDSLPPPPTELL >ENSMUSP00000033133.5 pep:known chromosome:GRCm38:7:128373621:128418173:-1 gene:ENSMUSG00000030844.11 transcript:ENSMUST00000033133.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs10 description:regulator of G-protein signalling 10 [Source:MGI Symbol;Acc:MGI:1915115] MFTRAVSRLSRKRPPSDIHDGDGSSSSGHQSLKSTAKWASSLENLLEDPEGVQRFREFLK KEFSEENVLFWLACEDFKKTEDRKQMQEKAKEIYMTFLSNKASSQVNVEGQSRLTEKILE EPHPLMFQKLQDQIFNLMKYDSYSRFLKSDLFLKPKRTEEEEEEPPDAQTAAKRASRIYN T >ENSMUSP00000146128.1 pep:known chromosome:GRCm38:7:128402910:128418163:-1 gene:ENSMUSG00000030844.11 transcript:ENSMUST00000145739.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs10 description:regulator of G-protein signalling 10 [Source:MGI Symbol;Acc:MGI:1915115] MFTRAVSRLSRKRPPSDIHDGDGSSSSGHQSLKSTAKWASSLENLLEDPEGVQRFREFLK KEFSEENVLFWLACEDFKKTEDRKQVPLCLLFS >ENSMUSP00000122030.1 pep:known chromosome:GRCm38:7:128403181:128418758:-1 gene:ENSMUSG00000030844.11 transcript:ENSMUST00000147840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs10 description:regulator of G-protein signalling 10 [Source:MGI Symbol;Acc:MGI:1915115] MCWRAQIHSETAEAPDSVLRDIHDGDGSSSSGHQSLKSTAKWASSLENLLEDPEGVQRFR EFLKKEFSEENVLFWLACEDFKKTE >ENSMUSP00000065796.6 pep:known chromosome:GRCm38:19:39608842:39649051:-1 gene:ENSMUSG00000062624.5 transcript:ENSMUST00000067328.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c67 description:cytochrome P450, family 2, subfamily c, polypeptide 67 [Source:MGI Symbol;Acc:MGI:3612288] MDPFVVLVLCLSFLLVLSLWRQRSARGNLPPGPTPLPIIGNYHLIDMKDIGQCLTNFSKT YGPVFTLYFGSQPIVVLHGYEAMKEAFIDHGEEFSGRGRFPFFDKVTKGKGIGFSHGNVW KATRVFTINTLRNLGMGKRTIENKVQEEAQWLMKELKKTNGLPCDPQFIIGCAPCNVICS IVFQNRFDYKDKDFLSLIGKVNECTEILSSPGCQIFNAVPILIDYCPGRHNKFFKNHTWI KSYLLEKIKEHEESLDVTNPRDFIDYFLIQRCQEKGIEHMEYTIEHLATLVTDLVFGGTE SLSSTMRFALLLLMKHTHITAKVQEEIDNVIGRHRSPCMQDRNHMPYTNAMVHEVQRYVD LGPISLVHEVTCDTKFRNYFIPKGTQVMTSLTSVLHDSTEFPNPEVFDPGHFLDDNGNFK KSDYFVPFSAGKRICVGESLARMELFLFLTTILQNFKLKPLVDPKDIDMTPKHSGFSKIP PNFQMCFIPVE >ENSMUSP00000141876.1 pep:known chromosome:GRCm38:3:14886273:14895134:1 gene:ENSMUSG00000027562.12 transcript:ENSMUST00000192609.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car2 description:carbonic anhydrase 2 [Source:MGI Symbol;Acc:MGI:88269] MSHHWGYSKHNGPENWHKDFPIANGDRQSPVDIDTATAQHDPALQPLLISYDKAASKSIV NNGHSFNVEFDDSQDNAVLKGGPLSDSYRLIQFHFHWGSSDGQGSEHTVNKKKYA >ENSMUSP00000029078.7 pep:known chromosome:GRCm38:3:14886428:14900770:1 gene:ENSMUSG00000027562.12 transcript:ENSMUST00000029078.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car2 description:carbonic anhydrase 2 [Source:MGI Symbol;Acc:MGI:88269] MSHHWGYSKHNGPENWHKDFPIANGDRQSPVDIDTATAQHDPALQPLLISYDKAASKSIV NNGHSFNVEFDDSQDNAVLKGGPLSDSYRLIQFHFHWGSSDGQGSEHTVNKKKYAAELHL VHWNTKYGDFGKAVQQPDGLAVLGIFLKIGPASQGLQKVLEALHSIKTKGKRAAFANFDP CSLLPGNLDYWTYPGSLTTPPLLECVTWIVLREPITVSSEQMSHFRTLNFNEEGDAEEAM VDNWRPAQPLKNRKIKASFK >ENSMUSP00000020238.7 pep:known chromosome:GRCm38:10:86690840:86705509:-1 gene:ENSMUSG00000020048.13 transcript:ENSMUST00000020238.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90b1 description:heat shock protein 90, beta (Grp94), member 1 [Source:MGI Symbol;Acc:MGI:98817] MRVLWVLGLCCVLLTFGFVRADDEVDVDGTVEEDLGKSREGSRTDDEVVQREEEAIQLDG LNASQIRELREKSEKFAFQAEVNRMMKLIINSLYKNKEIFLRELISNASDALDKIRLISL TDENALAGNEELTVKIKCDKEKNLLHVTDTGVGMTREELVKNLGTIAKSGTSEFLNKMTE AQEDGQSTSELIGQFGVGFYSAFLVADKVIVTSKHNNDTQHIWESDSNEFSVIADPRGNT LGRGTTITLVLKEEASDYLELDTIKNLVRKYSQFINFPIYVWSSKTETVEEPLEEDEAAK EEKEESDDEAAVEEEEEEKKPKTKKVEKTVWDWELMNDIKPIWQRPSKEVEEDEYKAFYK SFSKESDDPMAYIHFTAEGEVTFKSILFVPTSAPRGLFDEYGSKKSDYIKLYVRRVFITD DFHDMMPKYLNFVKGVVDSDDLPLNVSRETLQQHKLLKVIRKKLVRKTLDMIKKIADEKY NDTFWKEFGTNIKLGVIEDHSNRTRLAKLLRFQSSHHSTDITSLDQYVERMKEKQDKIYF MAGSSRKEAESSPFVERLLKKGYEVIYLTEPVDEYCIQALPEFDGKRFQNVAKEGVKFDE SEKTKESREATEKEFEPLLNWMKDKALKDKIEKAVVSQRLTESPCALVASQYGWSGNMER IMKAQAYQTGKDISTNYYASQKKTFEINPRHPLIRDMLRRIKEDEDDKTVMDLAVVLFET ATLRSGYLLPDTKAYGDRIERMLRLSLNIDPEAQVEEEPEEEPEDTSEDAEDSEQDEGEE MDAGTEEEEEETEKESTEKDEL >ENSMUSP00000122710.1 pep:known chromosome:GRCm38:10:86692401:86698438:-1 gene:ENSMUSG00000020048.13 transcript:ENSMUST00000129413.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90b1 description:heat shock protein 90, beta (Grp94), member 1 [Source:MGI Symbol;Acc:MGI:98817] TETVEEPLEEDEAAKEEKEESDDEAAVEEEEEEKKPKTKKESDDPMAYIHFTAEGEVTFK SILFVPTSAPRGLFDEYGSKKSDYIKLYVRRVFITDDFHDMMPKYLNFVKGVVDSDDLPL NVSRETLQQHKLLKVIRKKLVRKTLDMIKKIADEKYNDTFWKEFGTNIKLGVIEDHSNRT RLAKLLRFQSSHHSTDITSLDQYVERMKEKQDKIYFMAGSSRKEAESSPFVERLLKKGYE VIYLTEPVDEYCIQALPEFDGKRFQNVAKEGVKFDESEKTKESREATEKEFEPLLNWMKD KALKDKIEKAVVSQRLTESPCALVASQYGWSGNMERIMKAQAYQTGKDISTNYYASQKKT FEINPRHPLIRDM >ENSMUSP00000088227.5 pep:known chromosome:GRCm38:2:84936664:84958509:1 gene:ENSMUSG00000027074.14 transcript:ENSMUST00000090726.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a3 description:solute carrier family 43, member 3 [Source:MGI Symbol;Acc:MGI:1931054] MASKGLPLYLATLLTGLLECIGFAGVLFGWTSLLFVFKAENYFSEPCEQDCLLQSNVTGP SDLKAQDEKFSLIFTLASFMNNFMTFPTGYIFDRFKTTVARLIAIFFYTCATIIIAFTSA NTAMLLFLAMPMLAVGGILFLITNLQIGNLFGKHRSTIITLYNGAFDSSSAVFLVIKLLY EQGISLRSSFIFMSVCSVWHIARTFLLMPKGHIPYPLPPNYSYGLCSRFGASKKENKAAE HETKELRSKECLPPKEENSGPEQQQQQEQQQQQQQQQEQHEQHSFRRCALSRRFILHVVW LSIIQLWHYLFIGTLNSLLTKLSGGDKVEVSAYTNAFAITQFFGVLCAPWNGLLMDRLKQ KYQKAAKRTGSSSEAVALCSMVPSLALTSLLSLGFALCASIPVMQLQYATFILQVVSRSF LYGCNAAFLTLAFPSEHFGKLFGLVMALSAIVSLLQFPLFKVSPESNAVYVSMGLAIFLT LVHPFLVYRECRAEKTKSSVDA >ENSMUSP00000119122.1 pep:known chromosome:GRCm38:2:84936579:84937834:1 gene:ENSMUSG00000027074.14 transcript:ENSMUST00000130278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a3 description:solute carrier family 43, member 3 [Source:MGI Symbol;Acc:MGI:1931054] MASKGLPLYLATLLTGLLECIGFAGVLFGWTSLLFVFKAENYFSEPCEQ >ENSMUSP00000121959.1 pep:known chromosome:GRCm38:2:84936617:84938330:1 gene:ENSMUSG00000027074.14 transcript:ENSMUST00000138719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a3 description:solute carrier family 43, member 3 [Source:MGI Symbol;Acc:MGI:1931054] MASKGLPLYLATLLTGLLECIGFAGVLFGWTSLLFVFKAENYFSEPCEQDCLLQSNVTGP SDLKAQDEKFSLIFTLASFMNNFMTFPTGYIFDRFKT >ENSMUSP00000116513.1 pep:known chromosome:GRCm38:2:84936646:84944586:1 gene:ENSMUSG00000027074.14 transcript:ENSMUST00000141650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a3 description:solute carrier family 43, member 3 [Source:MGI Symbol;Acc:MGI:1931054] MASKGLPLYLATLLTGLLECIGFAGVLFGWTSLLFVFKAENYFSEPCEQDCLLQSNVTGP SDLKAQDEKFSLIFTLASFMNNFMTFPTGYIFDRFKTTVARLIAIFFYTCATIIIAFTSA NTAMLLFLAMPMLAVGGILFLITNLQIGNLFGKHRSTIITLYNGAFDSS >ENSMUSP00000057212.7 pep:known chromosome:GRCm38:1:121509842:121528465:-1 gene:ENSMUSG00000050534.8 transcript:ENSMUST00000055884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr5b description:5-hydroxytryptamine (serotonin) receptor 5B [Source:MGI Symbol;Acc:MGI:96284] MEVSNLSGATPGLAFPPGPESCSDSPSSGRSMGSTPGGLILPGREPPFSAFTVLVVTLLV LLIVATFLWNLLVLVTILRVRAFHRVPHNLVASTAVSDVLVAALVMPLSLVSELSVGRRW QLGRSLCHVWISFDVLCCTASIWNVAAIALDRYWTITRHLQYTLRTRSRASALMIAITWA LSALIALAPLLFGWGEAYDARLQRCQVSQEPSYAVFSTCGAFYLPLAVVLFVYWKIYKAA KFRFGRRRRAVVPLPATTQAKEAPPESEMVFTARRRATVTFQTSGDSWREQKEKRAAMMV GILIGVFVLCWIPFFLTELISPLCACSLPPIWKSIFLWLGYSNSFFNPLIYTAFNKNYNN AFKSLFTKQR >ENSMUSP00000066031.4 pep:known chromosome:GRCm38:14:47276931:47296098:1 gene:ENSMUSG00000048379.8 transcript:ENSMUST00000065562.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs4 description:suppressor of cytokine signaling 4 [Source:MGI Symbol;Acc:MGI:1914546] MAENNSKNVDVRPKTSRSRSADRKDGYVWSGKKLSWSKKSESCSESEAIGTVENVEIPLR SQERQLSCSSIELDLDHSCGHRFLGRSLKQKLQDAVGQCFPIKNCSGRHSPGLPSKRKIH ISELMLDKCPFPPRSDLAFRWHFIKRHTVPMSPNSDEWVSADLSERKLRDAQLKRRNTED DIPCFSHTNGQPCVITANSASCTGGHITGSMMNLVTNNSIEDSDMDSEDEIITLCTSSRK RNKPRWEMEEEILQLEAPPKFHTQIDYVHCLVPDLLQISNNPCYWGVMDKYAAEALLEGK PEGTFLLRDSAQEDYLFSVSFRRYSRSLHARIEQWNHNFSFDAHDPCVFHSPDITGLLEH YKDPSACMFFEPLLSTPLIRTFPFSLQHICRTVICNCTTYDGIDALPIPSPMKLYLKEYH YKSKVRLLRIDVPEQQ >ENSMUSP00000102382.1 pep:known chromosome:GRCm38:4:106680417:106727930:-1 gene:ENSMUSG00000047502.14 transcript:ENSMUST00000106770.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh7 description:maestro heat-like repeat family member 7 [Source:MGI Symbol;Acc:MGI:2685873] MALSRGTSLILHEDPEKIPSPNSCEVPGIMSNTTPRPTPDLALAPPPEHALALTPALHPA LSPDPEGVSGPVSNDIPSHNASGATTPSSTQINTVDTADQGLNHTSGPDAAGTLCPDSQP ARIPSSTQANVLSPENSSRPCSEDVSKSFSSKVFGLGQSNSNPSRPEPNLYIKALSREAL VRSHNISRQGSQVPLLLPSNTSLDRLHSGNISKVNLGIAPNSNEAITLTSHTIFASISKE ALSAPWNTGSKGSINGTSTIQPRSGLNVTVTHASHVSMIPGSSEGLSLQSSARVPNSTLS PSSCMTLIMDSESPSMDSSFLVTDTSTLTLSSHRDYSEDNSIRTMPLEENLGKWDSLQGV TALQSPPEGTSEDVKVNEAEKRNHDNKAALVANIITYQKNQEMVEMKEEKEATVKMMMRQ IQEEPLDSLLSPARRQAMEILAQLSHTKPILSVRERVELVNTCVRSVFSLPSVQAMQEKD ESKAEVIQILYYQTLDSLQKLLNALFIEDPTPTGLKSILEPLGPWMNSGKAHERARAVNS NVSVLNHTLVTLPFLISSGFPTLGLLLGRLLLRIGDPDEEIGREALDGITILYTILDLQK RTKNKEDTNKKELYENNKRFLGPYNPVSPCQNILRVIAEFGDFLGPQQVRDLLLAALEGL KGISETQGKDSGEMMQLASEVMLSSVLEWYRHRALEVIPEIMQGIYMQLTHIQEPRAREV ALLPISFLASSFMTEVVVALLMCPLPLDSNGAEMWRQLILRKPSCDVRDLLDLLLTSLKE KPVTKKGRASIVPLAAASGLCELLSVNSCVGRVRRIYPQLLLALLIQVHYHIGLNLPSRM APRKDSKDDTQPPLFIPVRWMVKVVKTLLLKMGCSYESAFLEEQGGWELMGQAESHYRGV SLLARAMVHYSCQELCRILYLLIPLLERGDERHKITATAFFVELFRMEQVRRIPEEYSLG RMVEGLSHRDPIMNVLSIRGLVILACKSEKMAKVQSLLPSMVKSLKNMDGMLVVEAVHDL KRIFKGQGKKLTDSAVYVEMLQILLPHFTDAREMVRASCINVYGKVVKKLQTPRTQAMEE QLTSTLMPLLFIIQEGNAKVSQKCVKTLVCCSSFMNWELPKKAYSQKPWDNQQLTVTKIC KYLVSSHRDNVFTFLNQSLEYAKNSRASLRKSSVIFIGSLVPCMENMMTEERLNEVKATL EILRHDPEASVCICAAQAQDQIMATCWRNSWPLLYGDSWVCDPSSMHRWSPSCENLPTSH QRRSWIMQALASWKMSLKQ >ENSMUSP00000117581.1 pep:known chromosome:GRCm38:4:106708490:106727976:-1 gene:ENSMUSG00000047502.14 transcript:ENSMUST00000145044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh7 description:maestro heat-like repeat family member 7 [Source:MGI Symbol;Acc:MGI:2685873] MLSGTSEDVKVNEAEKRNHDNKAALVANIITYQKNQEMVEMKEEKEATVKMMMRQIQEEP LDSLLSPARRQAMEILAQLSHTKPILSVRERVELVNTCVRSVFSLPSVQAMQEKDESKAE VIQILYYQTLDSLQKLLNALFIEDPTPTG >ENSMUSP00000119211.1 pep:known chromosome:GRCm38:4:106721353:106730925:-1 gene:ENSMUSG00000047502.14 transcript:ENSMUST00000148281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh7 description:maestro heat-like repeat family member 7 [Source:MGI Symbol;Acc:MGI:2685873] MALSRGTSLILHEDPEKIPSPNSCEVPGIMSNTTPRPTPDLA >ENSMUSP00000032172.8 pep:known chromosome:GRCm38:6:89383151:89595652:-1 gene:ENSMUSG00000030086.16 transcript:ENSMUST00000032172.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd6 description:coiled-coil-helix-coiled-coil-helix domain containing 6 [Source:MGI Symbol;Acc:MGI:1913348] MGSAESAEARRVSFEMDEEERVRVLQGIRLSESVVNRMKDCSQPSAGEQLVPGFGPSSSA PVPTVPLPAISVPTVPAPTTPVPTAPSSSVRGLPGGTCKGPLTDVKVPSAESGGGLQSSA VKEDLKKFQQEQLAVQDEMVRVAKKEKEAAEKHLKASLPKKKASLTHEQQQSARLARELE DREAELSRRDTFYKEQQGRIQEKNAELYKLSSQQFHEAASKAESTIKPRRVEPVCSGLQA QILRCYRDHLHEVLLCSDLVKAYQHCVSTARKG >ENSMUSP00000145207.1 pep:known chromosome:GRCm38:6:89569341:89595611:-1 gene:ENSMUSG00000030086.16 transcript:ENSMUST00000204654.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chchd6 description:coiled-coil-helix-coiled-coil-helix domain containing 6 [Source:MGI Symbol;Acc:MGI:1913348] XSSVVLVAVEPARVASRPARLLSWAAPRAPRPEGCPSRWMRRSGSGCCRASGCLKVL >ENSMUSP00000109179.3 pep:known chromosome:GRCm38:6:89383146:89595652:-1 gene:ENSMUSG00000030086.16 transcript:ENSMUST00000113550.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd6 description:coiled-coil-helix-coiled-coil-helix domain containing 6 [Source:MGI Symbol;Acc:MGI:1913348] MGSAESAEARRVSFEMDEEERVRVLQGIRLSESVVNRMKDCSQPSAGEQLVPGFGPSSSA PVPTVPLPAISVPTVPAPTTPVPTAPSSSVRGLPGGTCKGPLTDVKVPSAESGGGLQSSA VKEDLKKFQQEQLAVQDEMVRVAKKEKEAAEKHLKASLPKKKASLTHEQQQSARLNAELY KLSSQQFHEAASKAESTIKPRRVEPVCSGLQAQILRCYRDHLHEVLLCSDLVKAYQHCVS TARKG >ENSMUSP00000145385.1 pep:known chromosome:GRCm38:5:65261850:65335564:-1 gene:ENSMUSG00000029191.16 transcript:ENSMUST00000203581.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc1 description:replication factor C (activator 1) 1 [Source:MGI Symbol;Acc:MGI:97891] MDIRKFFGVISSGKKPVNETVKNEKTKASEGTVKGKKGVKEAKVNNSGKEDASKPKQHSK KKRIINDSDSESEETVQVKNAKKKSEKLSLSYKPGKVSQKDPVTYVSETDEDDDFVCKKA ASKSKENGVSTNSYLGTSNVKKNEENVKTKNKPLSPIKLTPTSVLDYFGTESVQRSGKKM VTSKRKEPDFCLSCLIFFGIQSSQNTEDSRLNDEAIAKQLQLDEDAELERQLHEDEEFAR TLALLDEEPKIKKARKDSEEGEESFSSVQDDLSKAEKQKSPNKAELFSTARKTYSPAKHG KGRASEDAKQPCKSAHRKEACSSPKASAKLALMKAKEESSYNETELLAARRKESATEPKG EKTTPKKTKVSPTKRESVSPEDSEKKRTNYQAYRSYLNREGPKALGSKEIPKGAENCLEG LTFVITGVLESIERDEAKSLIERYGGKVTGNVSKKTNYLVMGRDSGQSKSDKAAALGTKI LDEDGLLDLIRTMPGKRSKYEMAAEAEMKKEKSKLERTPQKNDQGKRKISPAKKESESKK CKLTLLKNSPMKAVKKEASTCPRGLDVKETHGNRSSNKEECLLWVDKYKPASLKNIIGQQ GDQSCANKLLRWLRNWHKSSPEEKKHAKFGKLASKDDGSSFKAALLSGPPGVGKTTTASL VCQELGYSYVELNASDTRSKNSLKAVVAESLNNTSIKGFYTSGAAPSVSARHALIMDEVD GMAGNEDRGGIQELIGLIKHTKIPIICMCNDRNHPKIRSLVHYCFDLRFQRPRVEQIKSA MLSIAFKEGLKIPPPAMNEIILGANQDVRQVLHNLSMWCAQSKALTYDQAKADSQRAKKD IRLGPFDVTRKVFAAGEETAHMSLMDKSDLFFHDYSIAPLFVQENYLHVKPVAAGGDMKK HLMLLSRAADSICDGDLVDNQIRSKQNWSLLPTQAIYASVLPGELMRGYMTQFPSFPSWL GKHSSTGKHDRIVQDLSLHMSLRTYSSKRTVNMDYLSHIRDALVRPLTSQGVEGAQHVIK LMDTYYLMKEDFENIMEVSSWGGKPSAFSKLDPKVKAAFTRAYNKEAHLTPYSLQVVKTS RLSTGPALDSEYSEEFQEDDTQSEKEQDAVETDAMIKQKKTRSSKPSKSEREKESKKGKG KNWKK >ENSMUSP00000134444.4 pep:known chromosome:GRCm38:5:65261852:65335621:-1 gene:ENSMUSG00000029191.16 transcript:ENSMUST00000172732.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc1 description:replication factor C (activator 1) 1 [Source:MGI Symbol;Acc:MGI:97891] MDIRKFFGVISSGKKPVNETVKNEKTKASEGTVKGKKGVKEAKVNNSGKEDASKPKQHSK KKRIINDSDSESEETVQVKNAKKKSEKLSLSYKPGKVSQKDPVTYVSETDEDDDFVCKKA ASKSKENGVSTNSYLGTSNVKKNEENVKTKNKPLSPIKLTPTSVLDYFGTESVQRSGKKM VTSKRKESSQNTEDSRLNDEAIAKQLQLDEDAELERQLHEDEEFARTLALLDEEPKIKKA RKDSEEGEESFSSVQDDLSKAEKQKSPNKAELFSTARKTYSPAKHGKGRASEDAKQPCKS AHRKEACSSPKASAKLALMKAKEESSYNETELLAARRKESATEPKGEKTTPKKTKVSPTK RESVSPEDSEKKRTNYQAYRSYLNREGPKALGSKEIPKGAENCLEGLTFVITGVLESIER DEAKSLIERYGGKVTGNVSKKTNYLVMGRDSGQSKSDKAAALGTKILDEDGLLDLIRTMP GKRSKYEMAAEAEMKKEKSKLERTPQKNDQGKRKISPAKKESESKKCKLTLLKNSPMKAV KKEASTCPRGLDVKETHGNRSSNKEECLLWVDKYKPASLKNIIGQQGDQSCANKLLRWLR NWHKSSPEEKKHAKFGKLASKDDGSSFKAALLSGPPGVGKTTTASLVCQELGYSYVELNA SDTRSKNSLKAVVAESLNNTSIKGFYTSGAAPSVSARHALIMDEVDGMAGNEDRGGIQEL IGLIKHTKIPIICMCNDRNHPKIRSLVHYCFDLRFQRPRVEQIKSAMLSIAFKEGLKIPP PAMNEIILGANQDVRQVLHNLSMWCAQSKALTYDQAKADSQRAKKDIRLGPFDVTRKVFA AGEETAHMSLMDKSDLFFHDYSIAPLFVQENYLHVKPVAAGGDMKKHLMLLSRAADSICD GDLVDNQIRSKQNWSLLPTQAIYASVLPGELMRGYMTQFPSFPSWLGKHSSTGKHDRIVQ DLSLHMSLRTYSSKRTVNMDYLSHIRDALVRPLTSQGVEGAQHVIKLMDTYYLMKEDFEN IMEVSSWGGKPSAFSKLDPKVKAAFTRAYNKEAHLTPYSLQVVKTSRLSTGPALDSEYSE EFQEDDTQSEKEQDAVETDAMIKKKTRSSKPSKSEREKESKKGKGKNWKK >ENSMUSP00000144980.1 pep:known chromosome:GRCm38:5:65261852:65335670:-1 gene:ENSMUSG00000029191.16 transcript:ENSMUST00000204965.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc1 description:replication factor C (activator 1) 1 [Source:MGI Symbol;Acc:MGI:97891] MDIRKFFGVISSGKKPVNETVKNEKTKASEGTVKGKKGVKEAKVNNSGKEDASKPKQHSK KKRIINDSDSESEETVQVKNAKKKSEKLSLSYKPGKVSQKDPVTYVSETDEDDDFVCKKA ASKSKENGVSTNSYLGTSNVKKNEENVKTKNKPLSPIKLTPTSVLDYFGTESVQRSGKKM VTSKRKESSQNTEDSRLNDEAIAKQLQLDEDAELERQLHEDEEFARTLALLDEEPKIKKA RKDSEEGEESFSSVQDDLSKAEKQKSPNKAELFSTARKTYSPAKHGKGRASEDAKQPCKS AHRKEACSSPKASAKLALMKAKEESSYNETELLAARRKESATEPKGEKTTPKKTKVSPTK RESVSPEDSEKKRTNYQAYRSYLNREGPKALGSKEIPKGAENCLEGLTFVITGVLESIER DEAKSLIERYGGKVTGNVSKKTNYLVMGRDSGQSKSDKAAALGTKILDEDGLLDLIRTMP GKRSKYEMAAEAEMKKEKSKLERTPQKNDQGKRKISPAKKESESKKCKLTLLKNSPMKAV KKEASTCPRGLDVKETHGNRSSNKEECLLWVDKYKPASLKNIIGQQGDQSCANKLLRWLR NWHKSSPEEKKHAAKFGKLASKDDGSSFKAALLSGPPGVGKTTTASLVCQELGYSYVELN ASDTRSKNSLKAVVAESLNNTSIKGFYTSGAAPSVSARHALIMDEVDGMAGNEDRGGIQE LIGLIKHTKIPIICMCNDRNHPKIRSLVHYCFDLRFQRPRVEQIKSAMLSIAFKEGLKIP PPAMNEIILGANQDVRQVLHNLSMWCAQSKALTYDQAKADSQRAKKDIRLGPFDVTRKVF AAGEETAHMSLMDKSDLFFHDYSIAPLFVQENYLHVKPVAAGGDMKKHLMLLSRAADSIC DGDLVDNQIRSKQNWSLLPTQAIYASVLPGELMRGYMTQFPSFPSWLGKHSSTGKHDRIV QDLSLHMSLRTYSSKRTVNMDYLSHIRDALVRPLTSQGVEGAQHVIKLMDTYYLMKEDFE NIMEVSSWGGKPSAFSKLDPKVKAAFTRAYNKEAHLTPYSLQVVKTSRLSTGPALDSEYS EEFQEDDTQSEKEQDAVETDAMIKKKTRSSKPSKSEREKESKKGKGKNWKK >ENSMUSP00000144954.1 pep:known chromosome:GRCm38:5:65262602:65335618:-1 gene:ENSMUSG00000029191.16 transcript:ENSMUST00000203471.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc1 description:replication factor C (activator 1) 1 [Source:MGI Symbol;Acc:MGI:97891] MDIRKFFGVISSGKKPVNETVKNEKTKASEGTVKGKKGVKEAKVNNSGKEDASKPKQHSK KKRIINDSDSESEETVQVKNAKKKSEKLSLSYKPGKVSQKDPVTYVSETDEDDDFVCKKA ASKSKENGVSTNSYLGTSNVKKNEENVKTKNKPLSPIKLTPTSVLDYFGTESVQRSGKKM VTSKRKESSQNTEDSRLNDEAIAKQLQLDEDAELERQLHEDEEFARTLALLDEEPKIKKA RKDSEEGEESFSSVQDDLSKAEKQKSPNKAELFSTARKTYSPAKHGKGRASEDAKQPCKS AHRKEACSSPKASAKLALMKAKEESSYNETELLAARRKESATEPKGEKTTPKKTKVSPTK RESVSPEDSEKKRTNYQAYRSYLNREGPKALGSKEIPKGAENCLEGLTFVITGVLESIER DEAKSLIERYGGKVTGNVSKKTNYLVMGRDSGQSKSDKAAALGTKILDEDGLLDLIRTMP GKRSKYEMAAEAEMKKEKSKLERTPQKNDQGKRKISPAKKESESKKCKLTLLKNSPMKAV KKEASTCPRGLDVKETHGNRSSNKEECLLWVDKYKPASLKNIIGQQGDQSCANKLLRWLR NWHKSSPEEKKHAAKFGKLASKDDGSSFKAALLSGPPGVGKTTTASLVCQELGYSYVELN ASDTRSKNSLKAVVAESLNNTSIKGFYTSGAAPSVSARHALIMDEVDGMAGNEDRGGIQE LIGLIKHTKIPIICMCNDRNHPKIRSLVHYCFDLRFQRPRVEQIKSAMLSIAFKEGLKIP PPAMNEIILGANQDVRQVLHNLSMWCAQSKALTYDQAKADSQRAKKDIRLGPFDVTRKVF AAGEETAHMSLMDKSDLFFHDYSIAPLFVQENYLHVKPVAAGGDMKKHLMLLSRAADSIC DGDLVDNQIRSKQNWSLLPTQAIYASVLPGELMRGYMTQFPSFPSWLGKHSSTGKHDRIV QDLSLHMSLRTYSSKRTVNMDYLSHIRDALVRPLTSQGVEGAQHVIKLMDTYYLMKEDFE NIMEVSSWGGKPSAFSKLDPKVKAAFTRAYNKEAHLTPYSLQVVKTSRLSTGPALDSEYS EEFQEDDTQSEKEQDAVETDAMIKQKKTRSSKPSKSEREKESKKGKGKNWKK >ENSMUSP00000145181.1 pep:known chromosome:GRCm38:5:65263076:65269111:-1 gene:ENSMUSG00000029191.16 transcript:ENSMUST00000203596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc1 description:replication factor C (activator 1) 1 [Source:MGI Symbol;Acc:MGI:97891] FFLSSQAIYASVLPGELMRGYMTQFPSFPSWLGKHSSTGKHDRIVQDLSLHMSLRTYSSK RTVNMDYLSHIRDALVRPLTSQGVEGAQHVIKLMDTYYLMKEDFENIMEVSSWGGKPSAF SKLDPKVKAAFTRAYNKEAHLTPYSLQVVKTSRLSTGPALDSEYSEEFQEDDTQSEKEQD AVETDAMIKKKTRSSKPSKSEREKESKKGKGK >ENSMUSP00000082207.6 pep:known chromosome:GRCm38:9:106222598:106226883:1 gene:ENSMUSG00000045322.10 transcript:ENSMUST00000062241.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr9 description:toll-like receptor 9 [Source:MGI Symbol;Acc:MGI:1932389] MVLRRRTLHPLSLLVQAAVLAETLALGTLPAFLPCELKPHGLVDCNWLFLKSVPRFSAAA SCSNITRLSLISNRIHHLHNSDFVHLSNLRQLNLKWNCPPTGLSPLHFSCHMTIEPRTFL AMRTLEELNLSYNGITTVPRLPSSLVNLSLSHTNILVLDANSLAGLYSLRVLFMDGNCYY KNPCTGAVKVTPGALLGLSNLTHLSLKYNNLTKVPRQLPPSLEYLLVSYNLIVKLGPEDL ANLTSLRVLDVGGNCRRCDHAPNPCIECGQKSLHLHPETFHHLSHLEGLVLKDSSLHTLN SSWFQGLVNLSVLDLSENFLYESITHTNAFQNLTRLRKLNLSFNYRKKVSFARLHLASSF KNLVSLQELNMNGIFFRLLNKYTLRWLADLPKLHTLHLQMNFINQAQLSIFGTFRALRFV DLSDNRISGPSTLSEATPEEADDAEQEELLSADPHPAPLSTPASKNFMDRCKNFKFTMDL SRNNLVTIKPEMFVNLSRLQCLSLSHNSIAQAVNGSQFLPLTNLQVLDLSHNKLDLYHWK SFSELPQLQALDLSYNSQPFSMKGIGHNFSFVTHLSMLQSLSLAHNDIHTRVSSHLNSNS VRFLDFSGNGMGRMWDEGGLYLHFFQGLSGLLKLDLSQNNLHILRPQNLDNLPKSLKLLS LRDNYLSFFNWTSLSFLPNLEVLDLAGNQLKALTNGTLPNGTLLQKLDVSSNSIVSVVPA FFALAVELKEVNLSHNILKTVDRSWFGPIVMNLTVLDVRSNPLHCACGAAFVDLLLEVQT KVPGLANGVKCGSPGQLQGRSIFAQDLRLCLDEVLSWDCFGLSLLAVAVGMVVPILHHLC GWDVWYCFHLCLAWLPLLARSRRSAQTLPYDAFVVFDKAQSAVADWVYNELRVRLEERRG RRALRLCLEDRDWLPGQTLFENLWASIYGSRKTLFVLAHTDRVSGLLRTSFLLAQQRLLE DRKDVVVLVILRPDAHRSRYVRLRQRLCRQSVLFWPQQPNGQGGFWAQLSTALTRDNRHF YNQNFCRGPTAE >ENSMUSP00000033549.2 pep:known chromosome:GRCm38:X:95470201:95478129:-1 gene:ENSMUSG00000031204.2 transcript:ENSMUST00000033549.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb12 description:ankyrin repeat and SOCS box-containing 12 [Source:MGI Symbol;Acc:MGI:1917642] MNLMDIAKIFSLLQPEKEEEDTGTGEKQALNQAVYDNDSCTLDHLLHQERYKRFINSRSG WGIPGTPLRLAASYGHLNCVKVLLEHGADVDSLDVKAQTPLFTAVSHGHLECVRMLLEAG ACPSGSIYNNCSPVLTASRDGAFAILQELLGHGAEANVKAKLPVWASNIASCSGPLYLAA VYGHLDCFRLLLLYGADPDYNCTDQGLLSRVPQPRTLLEICLHHNCEPEYIQLLIDFGAN IYLPSLPVDPTSQDDKGIKLLLQARATPRSLLSQTRLVIRRSLCRANQSQATDQLDIPPV LISYLKHQ >ENSMUSP00000097724.2 pep:known chromosome:GRCm38:2:37004620:37005612:-1 gene:ENSMUSG00000075379.3 transcript:ENSMUST00000100146.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr358 description:olfactory receptor 358 [Source:MGI Symbol;Acc:MGI:3030192] MATKNKTEVTEFVLLGLSSRPEMQPVIFGVVLIMYLAAVLGNTLLVLVACSDPRLQTPMY FLLSQLSLIDICLTTITVPQMLVHTLSVVRSISYNCCMTQLFFFMAVGSMEGHLLAAMAY DRYVAICDPLRYSAIVSHSLCLRITLTSWVVVSLNSLLYSVLVTRLTFCGNQVTHFFCDI TPLLKLSCTRPVVNEMLIFTEGVAVVVSPFFFILGSYIRIGFVIAHMHSTAALSKALSTC GSHIMVVLLLYGSVIRMYLKPSSTYDLNQDRQIAIFYTLVTPMLNPLIYSLRNQEVKGAL TRLLRKLCISGSFQVGSDKERMTNIMRPNV >ENSMUSP00000051842.2 pep:known chromosome:GRCm38:15:74702301:74709535:-1 gene:ENSMUSG00000046380.3 transcript:ENSMUST00000050234.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jrk description:jerky [Source:MGI Symbol;Acc:MGI:106214] MASKQAAAKGKGEKRKRVVLTLKEKIDICTRLERGESRKALMQEYNVGMSTLYDIKAHKA QLLRFFASSDSRQALEQRRTLHTPKLEHLDRVLYEWFLVKRAEGIPVSGPMLIEKAKDFY KQMRLTEPCVFSGGWLWRFKARHGIKKLDASSEKQAADHQAAEQFCGFFRSLAAEHGLSP EQVYSADETGLVWRCLPNSAPDDGTVPHFKQGKDRLTVLMCANATGSHRIKPLAIGKGGG PRAFRGIQHLPIAYKAQGNAWVDKEIFSDWFHHIFVPSVREHFRTIGLPEDSKAILLLDH SRAHSQESELVSENVFTIFLPSSVTSLLQPTEQGIRRAFMRLFINPPVAFQGFPTRHNIN DAIVNVARAWNAVPSQVFQRAWRKLWPTVTFTEGSSSEEEAECCAIKPHKTFAHILGLVK EGPTCSGSRLQDSRVEERVVAGRDIDEAPAIVAPSQATRCTKKSEKDTGETEEAAWEQAA TSFEALVRFAERQPCFSVQEMGQLQALHTVFRRQQQLRQPRVALRAVIKLEALQEHPGVC VATTHPTLPCSSTAGDN >ENSMUSP00000044253.4 pep:known chromosome:GRCm38:2:129129700:129134134:1 gene:ENSMUSG00000037938.4 transcript:ENSMUST00000035481.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd5 description:coiled-coil-helix-coiled-coil-helix domain containing 5 [Source:MGI Symbol;Acc:MGI:1913420] MQAALEVTARYCSRELDQYGQCVAAKPESWHRDCHHLKMSIARCTSSHPIIRQIRQACAE PFEAFEKCLRLNEAAVGNCAEHMRRFLQCAEQVQPPSSPTTGEAQPLPAS >ENSMUSP00000138827.1 pep:known chromosome:GRCm38:1:9960163:9967932:-1 gene:ENSMUSG00000099032.2 transcript:ENSMUST00000185184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf24 description:transcription factor 24 [Source:MGI Symbol;Acc:MGI:3780500] MERGRPASSPRSAESAAPPAAARDWSPGPTGTGPTGLSGVAARSGGGRPAAANAARERSR VQTLRHAFLELQRTLPSVPPDTKLSKLDVLLLATTYIAHLTRSLQDDTDAPGDPGLGALR GDGYLHPVKKWPMRSRLYIGATGQFLKHSVSGEKASHSNTSTASQP >ENSMUSP00000095435.2 pep:known chromosome:GRCm38:1:9960171:9962809:-1 gene:ENSMUSG00000099032.2 transcript:ENSMUST00000097824.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf24 description:transcription factor 24 [Source:MGI Symbol;Acc:MGI:3780500] MANTPPVTVPLSWARTECLPFLYLVGLVLARLFEERLERFRNPHHAYSHRHDQARCETVK RSLKLP >ENSMUSP00000079548.4 pep:known chromosome:GRCm38:6:52713729:52766780:1 gene:ENSMUSG00000004535.12 transcript:ENSMUST00000080723.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tax1bp1 description:Tax1 (human T cell leukemia virus type I) binding protein 1 [Source:MGI Symbol;Acc:MGI:1289308] MTSFQEVQLQTSNFAHVIFQNVAKSYLPNAHLECHYTLTPYIHPHSKDWVGIFKVGWSTA RDYYTFLWSPMPEHYVEGSTVNCVLAFQGYYLPNDDGEFYQFCYVTHKGEIRGASTPFQF RAASPVEELLTMEDEGNSDMLVVTTKAGLLELKIEKTLKEKEELLKLIAVLEKETAQLRE QVGRMERELSQEKGRCEQLQAEQKGLLEVSQSLRVENEEFMKRYSDATAKVQQLEEDIVS VTHKAIEKETDLDSLKDKLRKAQHEREQLECQLQTEKDEKELYKVHLKNTEIENTKLVSE IQTLKNLDGNKESMITHFKEEISKLQSCLADKENLYRALLLTTSNKEDTLFLKEQLRKAE EQVQATRQELIFLTKELSDAVNVRDKTMADLHTARLENERVKKQLADTLAELQLHAVKKD QEKTDTLEHELRREVEDLKLRLQMAADHYREKFKECQRLQKQINKLSDQAASTNSVFTKK MGSQQKVNDASINTDPAASTSASAVDVKPAASCAETGFDMSTKDHVCEMTKEIAEKIEKY NKCKQLLQDEKTKCNKYAEELAKMELKWKEQVKIAENVKLELAEVEDNYKVQLAEKEKEI NGLASYLENLSREKELTKSLEDQKGRKLEGQSPQQVSRCLNTCSEQNGLLPPLSSAQPVL QYGNPYSAQETRDGADGAFYPDEIQRPPVRVPSWEDNVVCSQPARNLSRPDGLEDPEDSR EDENVPIPPDPANQHLRSHGAGFCFDSSFDVHKKCPLCELMFPPNYDQTKFEEHVESHWK VCPMCSEQFPPDYDQQGFERHVQTHFDQNVLNFD >ENSMUSP00000116059.1 pep:known chromosome:GRCm38:6:52713750:52733221:1 gene:ENSMUSG00000004535.12 transcript:ENSMUST00000149588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tax1bp1 description:Tax1 (human T cell leukemia virus type I) binding protein 1 [Source:MGI Symbol;Acc:MGI:1289308] MTSFQEVQLQTSNFAHVIFQNVAKSYLPNAHLECHYTLTPYIHPHSKDWVGIFKVGWSTA RDYYTFLWSPMPEHYVEGSTVNCVLAFQGYYLPNDDGEFYQFCYVTHKGEIRGASTPFQF RAASPVEELLTMEDEGNSDMLVVTTKAGLLELKIEKTLKEK >ENSMUSP00000119522.1 pep:known chromosome:GRCm38:6:52714219:52733255:1 gene:ENSMUSG00000004535.12 transcript:ENSMUST00000138040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tax1bp1 description:Tax1 (human T cell leukemia virus type I) binding protein 1 [Source:MGI Symbol;Acc:MGI:1289308] MTSFQEVQLQTSNFAHVIFQNVAKSYLPNAHLECHYTLTPYIHPHSKDWVGIFKVGWSTA RDYYTFLWSPMPEHYVEGSTVNCVLAFQGYYLPNDDGEFYQFCYVTHKGEIRGASTPFQF RAASPVEELLTMEDEGNSDMLVVTTKAGLLELKIEKTLKEKEELLKLIAVLE >ENSMUSP00000122922.1 pep:known chromosome:GRCm38:6:52714350:52727299:1 gene:ENSMUSG00000004535.12 transcript:ENSMUST00000129660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tax1bp1 description:Tax1 (human T cell leukemia virus type I) binding protein 1 [Source:MGI Symbol;Acc:MGI:1289308] MTSFQEVQLQTSNFAHVIFQNVAKSYLPNAHLECHYTLTPYIHPHSKDWVGIFKVGWSTA RDYYTFLWSPMPEHYVEGSTVNCVLAF >ENSMUSP00000012847.1 pep:known chromosome:GRCm38:8:3743397:3748984:-1 gene:ENSMUSG00000031494.7 transcript:ENSMUST00000012847.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209a description:CD209a antigen [Source:MGI Symbol;Acc:MGI:2157942] MSDSKEMGKRQLRPLDEELLTSSHTRHSIKGFGFQTNSGFSSFTGCLVHSQVPLALQVLF LAVCSVLLVVILVKVYKIPSSQEENNQMNVYQELTQLKAGVDRLCRSCPWDWTHFQGSCY FFSVAQKSWNDSATACHNVGAQLVVIKSDEEQNFLQQTSKKRGYTWMGLIDMSKESTWYW VDGSPLTLSFMKYWSKGEPNNLGEEDCAEFRDDGWNDTKCTNKKFWICKKLSTSCPSK >ENSMUSP00000146627.1 pep:known chromosome:GRCm38:8:3743801:3748927:-1 gene:ENSMUSG00000031494.7 transcript:ENSMUST00000208960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209a description:CD209a antigen [Source:MGI Symbol;Acc:MGI:2157942] MSDSKEMGKRQLRPLVYKIPSSQEENNQMNVYQELTQLKAGVDRLCRSCPWDWTHFQGSC YFFSVAQKSWNDSATACHNVGAQLVVIKSDEEQNFLQQTSKKRGYTWMGLIDMSKESTWY WVDGSPLTLSFMKYWSKGEPNNLGEEDCAEFRDDGWNDTKCTNKKFWICKKLSTSCPSK >ENSMUSP00000146702.1 pep:known chromosome:GRCm38:8:3743942:3748930:-1 gene:ENSMUSG00000031494.7 transcript:ENSMUST00000207979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209a description:CD209a antigen [Source:MGI Symbol;Acc:MGI:2157942] MSDSKEMGKRQLRPLDEELLTSSHTRHSIKGFGFQTNSGFSSFTGCLVHSQVPLALQVLF LAVCSVLLVVILVKDRLCRSCPWDWTHFQGSCYFFSVAQKSWNDSATACHNVGAQLVVIK SDEEQNFLQQTSKKRGYTWMGLIDMSKESTWYWVDGSPLTLSFMKYWSKGEPNNLGEEDC AEFRDDGWNDTKCTNKKFWICKKLSTSCPSK >ENSMUSP00000116747.1 pep:known chromosome:GRCm38:1:75546266:75562172:1 gene:ENSMUSG00000006576.16 transcript:ENSMUST00000124341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a3 description:solute carrier family 4 (anion exchanger), member 3 [Source:MGI Symbol;Acc:MGI:109350] MANGVIPPPGGASPLPQVRVPLEEPPLGPDVEEEDDDLGKTLAVSRFGDLISKTPAWDPE KPSRSYSERDFEFHRHTSHHTHHPLSARLPPPHKLRRPPPTSARHTRRKRKKEKTSAPPS EGTPPIQEEGGAGAEEEEEEEEEEEGESEAEPVEPLPPGPPQKAKFSIGSDEDDSPGLPV KAPCAKALPSVGLQSDQSPQRSGSSPSPRARASRISTEKSRPWSPSASYDLRERLCPGSA LGNPGPEQRVPTDEAEAQMLGSADLDDMKSHRLEDNPGVRRHLVKKPSRIQGGRGSPSGL APILRRKKKKKKLDRRPHEVFVELNELMLDRSQEPHWRETARWIKFEEDVEEETERWGKP HVASLSFRSLLELRRTIAQGAALLDLEQTTLPGIAHLVVETMIVSDQIRPEDRASVLRTL LLKHSHPNDDKDSGFFPRNPSSSSVNSVLGNHHPTPSHGPDGAVPTMADDQGEPAPLWPH DPDAKEKPLHMPGGDGHRGKSLKLLEKIPEDAEATVVLVGCVPFLEQPAAAFVRLSEAVL LESVLEVPVPVRFLFVMLGPSHTSTDYHELGRSIATLMSDKLFHEAAYQADDRQDLLGAI SEFLDGSIVIPPSEVEGRDLLRSVAAFQRELLRKRREREQTKVEMTTRGGYAAPGKELSL EMGGSEATSEDDPLQRTGSVFGGLVRDVKRRYPHYPSDLRDALHSQCVAAVLFIYFAALS PAITFGGLLGEKTEGLMGVSELIVSTAVLGVLFSLLGAQPLLVVGFSGPLLVFEEAFFKF CRAQDLEYLTGRVWVGLWLVVFVLALVAAEGSFLVRYISPFTQEIFAFLISLIFIYETFH KLYKVFTEHPLLPFYPPDEALETGLELNSSALPPTEGPPGPRNQPNTALLSLILMLGTFL IAFFLRKFRNSRFLGGKARRIIGDFGIPISILVMVLVDYSITDTYTQKLTVPTGLSVTSP HKRTWFIPPLGSARPFPPWMMVAAAVPALLVLILIFMETQITALIVSQKARRLLKGSGFH LDLLLIGSLGGLCGLFGLPWLTAATVRSVTHVNALTVMRTAIAPGDKPQIQEVREQRVTG VLIASLVGLSIVMGAVLRRIPLAVLFGIFLYMGVTSLSGIQLSQRLLLIFMPAKHHPEQP YVTKVKTWRMHLFTCIQLGCIALLWVVKSTAASLAFPFLLLLTVPLRRCLLPRLFQDREL QALDSEDAEPNFDEDGQDEYNELHMPV >ENSMUSP00000120078.1 pep:known chromosome:GRCm38:1:75546462:75548038:1 gene:ENSMUSG00000006576.16 transcript:ENSMUST00000150142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a3 description:solute carrier family 4 (anion exchanger), member 3 [Source:MGI Symbol;Acc:MGI:109350] MANGVIPPPGGASPLPQVRVPLEEPPLGPDVEEEDDDLGKTLAVSRFGDLISKTPAWDPE KPSRSYSERDFEFHRHTSHHTHHPLSARLPPPHKLRRPPPTSAR >ENSMUSP00000027415.5 pep:known chromosome:GRCm38:1:75546496:75558890:1 gene:ENSMUSG00000006576.16 transcript:ENSMUST00000027415.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc4a3 description:solute carrier family 4 (anion exchanger), member 3 [Source:MGI Symbol;Acc:MGI:109350] MANGVIPPPGGASPLPQVRVPLEEPPLGPDVEEEDDDLGKTLAVSRFGDLISKTPAWDPE KPSRSYSERDFEFHRHTSHHTHHPLSARLPPPHKLRRPPPTSARHTRRKRKKEKTSAPPS EGTPPIQEEGGAGAEEEEEEEEEEEGESEAEPVEPLPPGPPQKAKFSIGSDEDDSPGLPV KAPCAKALPSVGLQSDQSPQRSGSSPSPRARASRISTEKSRPWSPSASYDLRERLCPGSA LGNPGPEQRVPTDEAEAQMLGSADLDDMKSHRLEDNPGVRRHLVKKPSRIQGGRGSPSGL APILRRKKKKKKLDRRPHEVFVELNELMLDRSQEPHWRETARWIKFEEDVEEETERWGKP HVASLSFRSLLELRRTIAQGAALLDLEQTTLPGIAHLVVETMIVSDQIRPEDRASVLRTL LLKHSHPNDDKDSGFFPRNPSSSSVNSVLGNHHPTPSHGPDGAVPTMADDQGEPAPLWPH DPDAKEPYSSSASSEAPPHAWGRRSPREKPETAGEDPGGRRGHCRACGLRAFLGAAGGSF CAAQRGCSLGVCAGGPRACSLPLCDAGAQPYQH >ENSMUSP00000122749.1 pep:known chromosome:GRCm38:1:75546533:75548011:1 gene:ENSMUSG00000006576.16 transcript:ENSMUST00000138814.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a3 description:solute carrier family 4 (anion exchanger), member 3 [Source:MGI Symbol;Acc:MGI:109350] MANGVIPPPGGASPLPQVRVPLEEPPLGPDVEEEDDDLGKTLAVSRFGDLISKTPAWDPE KPSRSYSERDFEFHRHTSHHTHHPLSARLPPPHKL >ENSMUSP00000116488.1 pep:known chromosome:GRCm38:1:75549599:75551382:1 gene:ENSMUSG00000006576.16 transcript:ENSMUST00000154101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a3 description:solute carrier family 4 (anion exchanger), member 3 [Source:MGI Symbol;Acc:MGI:109350] MTSPLDKVMEPNGTLSPKPGDTEDQGPGRNPSPSAGDLVASEDLEMFVLDFEDYGLWEPM RGHPSPLAGVAACHRLEDNPGVRRHLVKKPSRIQGGRGSPSGLAPILRRKKKKKKLDRRP HEVFVELNELMLDRSQEPHWRETARWIKFEEDVEEETERWGKPHVASLSFRSLLELRRTI AQGAALLDLEQTTLPGIAHLVVETMIVSDQIRPEDRASVLRTLLLKH >ENSMUSP00000119860.1 pep:known chromosome:GRCm38:1:75550595:75555125:1 gene:ENSMUSG00000006576.16 transcript:ENSMUST00000145258.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc4a3 description:solute carrier family 4 (anion exchanger), member 3 [Source:MGI Symbol;Acc:MGI:109350] XAPILRRKKKKKKLDRRPHEVFVELNELMLDRSQEPHWRETARWIKFEEDVEEETERWGK PHVASLSFRSLLELRRTIAQGAALLDLEQTTLPGIAHLVVETMIVSDQIRPEDRASVLRT LLLKHSHPNDDKDSGFFPRNPSSSSVNSVLGNHHPTPSHGPDGAVPTMADDQGEPAPLWP HDPDAKEFCVLRSPSTCLGETVTEGKA >ENSMUSP00000119942.1 pep:known chromosome:GRCm38:1:75551709:75553732:1 gene:ENSMUSG00000006576.16 transcript:ENSMUST00000132110.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc4a3 description:solute carrier family 4 (anion exchanger), member 3 [Source:MGI Symbol;Acc:MGI:109350] XGNHHPTPSHGPDGAVPTMADDQGEPAPLWPHDPDAKEKPLHMPGGDGHRGKSLKLLEKI PEDAEATVVLVALSRGCLSGR >ENSMUSP00000049839.2 pep:known chromosome:GRCm38:5:112353801:112356033:-1 gene:ENSMUSG00000051503.2 transcript:ENSMUST00000051117.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6583 description:predicted gene 6583 [Source:MGI Symbol;Acc:MGI:3648511] MESQGSRRGTLYPQRQGSGATLLPNRQKGGTAEPPLLPAKDETVRIREDFSYRATLARKK AIHDFRVRWPELILLERKKKEELPVLGQSSSDFLPVASSCSSMVSIINTDLDSTPSELLD SKVLAADSQAPPLQTPHMTILNSYLKPESLTRLEKRVRRKTLAAMAELEQDMEAVKRRQS VLIRDIKDMQQDILCEKADSKLFLEYLQQKKEEKQRKYDSLWKDYIQQCEEIEDRRRELV STFTSRTAGLQKQLEQGRKLEASLRKKMKALEPVAQVKEHQEQTIQALELEKASIGTDLS LLDREAHLQFLKERAALEKQLEDLNLLESGEQITRKLKKKAKALDAMAKQAHKGFWEGIK AQNRELKTQLWQLNQEFCKLEAGKEKLERRKQRWKEQQWYLEALARGRERLQQQEYRRQQ QENHHPRPQTAPPPALSRLLSARPKANPKKWLPHPRKQTGAEAGALHKE >ENSMUSP00000114190.1 pep:known chromosome:GRCm38:8:109591343:109606232:-1 gene:ENSMUSG00000031730.17 transcript:ENSMUST00000133353.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhodh description:dihydroorotate dehydrogenase [Source:MGI Symbol;Acc:MGI:1928378] XTFQDSNMLEVRVLGHKFRNPVGIAAGFDKHGEAVDGLYKLGFGFVEVGSVTPQPQEGNP RPRVFRLPEDQAVINSLGREPVGWWCPRSWWAFLHH >ENSMUSP00000115934.1 pep:known chromosome:GRCm38:8:109593477:109608623:-1 gene:ENSMUSG00000031730.17 transcript:ENSMUST00000123605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhodh description:dihydroorotate dehydrogenase [Source:MGI Symbol;Acc:MGI:1928378] MAWRQLRKRALDAAIILGGGGLLFTSYLTATGDDHFYAEYLMPALQRLLDPESAHRLAVR VISLGLLPRATFQDSNMLEVRVLGHKFRNPVGIAAGFDKHGEAVDGLYKLGFGFVEVGSV TPQPQEGNPRPRVFRLPEDQAVINRYGFNSHGLSAVEHRLRARQQKQTQLTTDGLPLGIN LGKNKTSVDAAADYVEGVRILGPLADYLVVNVSSPNTAGLRSLQGKTELRRLLSKVLQER DALKGPQKPAVLVKIAPDLTAQDKEDIASVARELGIDGLIITNTTVSRPVGLQGALRSET GGLSGKPLRDLSTQTIREMYALTQGTIPIIGVGGVSSGQDALEKIQAGASLVQLYTALTF LGPPVVARVKRELEALLKERGFNTVTDAIGVDHRR >ENSMUSP00000117980.1 pep:known chromosome:GRCm38:8:109593747:109608623:-1 gene:ENSMUSG00000031730.17 transcript:ENSMUST00000143900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhodh description:dihydroorotate dehydrogenase [Source:MGI Symbol;Acc:MGI:1928378] MAWRQLRKRALDAAIILGGGGLLFTSYLTATGDDHFYAEYLMPALQRLLDPESAHRLAVR VISLGLLPRATFQDSNMLEVRVLGHKFRNPVGIAAGFDKHGEAVDGLYKLGFGFVEVGSV TPQPQEGNPRPRVFRLPEDQAVINRYGFNSHGLSAVEHRLRARQQKQTQLTTDGLPLGIN LGKNKTSVDAAADYVEGVRILGPLADYLVVNVSSPNTAGLRSLQGKTELRRLLSKVLQER DALKGPQKPAVLVKIAPDLTAQDKEDIASVARERTGF >ENSMUSP00000115549.1 pep:known chromosome:GRCm38:8:109596030:109608366:-1 gene:ENSMUSG00000031730.17 transcript:ENSMUST00000150804.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhodh description:dihydroorotate dehydrogenase [Source:MGI Symbol;Acc:MGI:1928378] WRQLRKRALDAAIILGGGGLLFTSYLTATGDDHFYAEYLMPALQRLLDPESAHRLAVRVI SLGLLPRATFQDSNMLEVRVLGHKFRNPVGIAAGFDKHGEAVDGLYKLGFGFVEVGSVTP QPQEGNPRPRVFRLPEDQAVINRQGPMSLGMVRQHTCVSDWPLQFGT >ENSMUSP00000118612.1 pep:known chromosome:GRCm38:8:109603303:109608673:-1 gene:ENSMUSG00000031730.17 transcript:ENSMUST00000128350.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhodh description:dihydroorotate dehydrogenase [Source:MGI Symbol;Acc:MGI:1928378] MPALQRLLDPESAHRLAVRVISLGLLPRATFQDSNMLEVRVLGHKFRNPVGIAAGFDKHG EAVDGLYKLGFGFVEVGSVTPQPQEGNPRPRVFRLPEDQAVIN >ENSMUSP00000070303.8 pep:known chromosome:GRCm38:8:109593248:109608673:-1 gene:ENSMUSG00000031730.17 transcript:ENSMUST00000069058.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhodh description:dihydroorotate dehydrogenase [Source:MGI Symbol;Acc:MGI:1928378] MAWRQLRKRALDAAIILGGGGLLFTSYLTATGDDHFYAEYLMPALQRLLDPESAHRLAVR VISLGLLPRATFQDSNMLEVRVLGHKFRNPVGIAAGFDKHGEAVDGLYKLGFGFVEVGSV TPQPQEGNPRPRVFRLPEDQAVINRYGFNSHGLSAVEHRLRARQQKQTQLTTDGLPLGIN LGKNKTSVDAAADYVEGVRILGPLADYLVVNVSSPNTAGLRSLQGKTELRRLLSKVLQER DALKGPQKPAVLVKIAPDLTAQDKEDIASVARELGIDGLIITNTTVSRPVGLQGALRSET GGLSGKPLRDLSTQTIREMYALTQGTIPIIGVGGVSSGQDALEKIQAGASLVQLYTALTF LGPPVVARVKRELEALLKERGFNTVTDAIGVDHRR >ENSMUSP00000105240.1 pep:known chromosome:GRCm38:2:156019139:156052947:-1 gene:ENSMUSG00000013338.16 transcript:ENSMUST00000109611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fer1l4 description:fer-1-like 4 (C. elegans) [Source:MGI Symbol;Acc:MGI:1921812] MALTVCVRHLTGLPGTHDRQVRLCFRGFTQKTRKIHCGREADVGELFRWPHYGSPLAGES LSVQVVNCSRVFSPRPLGTLVISLQQLQSAGHLVLREALVDERLRVSPIQVELDLKYQPP EGAAGTWAEEDFGTPIRDSLELIIPNVGFQDMEPGEAQLERRAVALGRRLARSLGTQDDE ENELELEPELEEEPDVEISGVVFSPLKSRALGLPRGDPFKVCKAQDFQVGVTVLEAQKLV GVNINPYVAVRVGDQRKVTATLRGTNCPFYNEYFLFEFHETRLHLQDLLLEITAFHSQTL PFMATRIGTFRMDLGMALDQPDGHFHQKWAPLHDPRDTRAGTKGFVKITLSVRARGDLPL PPPPPCPGTSSDIEKNLLLPHGVQAERPWARLRVRVYRAEGLPTVRTGLLGSLARALHDQ NVLLDPYVRVSFLGQQGETSVRGEETAPKWNEQLSFVELFPPLTRSLRLQLRDNAPLVDV ALATHVLDLRQISNSGRAAGFNPTFGPAWVPLYGSLPSGRLRDDLQSLNEGLGEGIWFRG RLLVAVSMEVYEGRVEPKPSQTTQRSGLSRLTGKKKKKKEKTRQGQTPAGILQPASASTS EDAPEIPSAMEVEVEDLLPLPENALASFEDFLLFGVLFEATMIDPSLAKKPISLEISIGH AGRQEEQSGQGSRADEGSESSTLEVQPLLESEDRGAGQEEQELLGTPAQWPEPVDGNGPY LCLPLRHRKPCLHVWSCWEDYTWRLQSSNSVCKVAERLDHGLQEVEKMQRRSGPGACTRL KQTLEELVAGSRQFCHGAERRTMTRPNALDRCRAKLLTHSLNLMARQGLRLLRSLRLNNM QRTVVLAKKLLARLRFLAQEPQPPLPDVLVWMFSGQRRVAYARIPAQDILYSVVEEERGR DCGKIQSLLLTVPGAAPGEVCAKLELFLWLGLGKQAKACTSELPMDLLPEPSSGLPQSLY RDDFRYFQLRAHLYQARGVLAADDSGLSDPFARVLISTQCQTTRVLEQTLSPLWDELLVF DQLIVDGRREHLREEPPLVVINVFDHNKFGPAVFLGRAFAAPRVKLIEDPYQRPELQFFP LRKGPQAAGEVIATFELIELDYSGHLEPSVPSDVEPRDLASLVEPISGHLSLPPSVRPVL RAFRVEVLFWGLRGLGRVHLFEIEKPQVVLEVAGRRVESEVLPNYRENPNFTELVRHVTV DLPEQPYLQPPLSILVIERRAFGRTVLVGSHIVPHMLRFILQGHEDPQEEEETEEETRDL VPHGPQGEKSLPEAGTSRQLLKAPLKKLTLGLLGQGPELEEDIPDPEEMDWWSKYYASLQ EFQGQPSSDDEMDEAGDADGTHLISGDREAQEQGETDSKVSVPRKKAIATLKIYNSSLED EFSHFEDWLSVFPLYRGQGGQDGEGEGASGHFVGKFKGSFLIYPESEAKSFSEPQISRGV PQNRPIKLLVRVYIVKATNLAPADPNGKADPYVVVSAGKEQRDTKERYIPKQLNPIFGEV LELSVSLPAQPELTVAVFDHDLVGSDDLIGETHIDLENRFYSHHRANCGLASQYDVNGYN AWRDAFRPSQILAGLCQRCGLPVPEYRAGAVKVGSRVFLTPSEAPPPDDRKPKVTSEASE EAQALHVLRRWQEMPGLGIQLVPEHVETRPLYHPRSPGLLQGSLHMWIDIFPSDVPAPPP VDIKPRQPISYELRVVIWNTDDVALDDVNPLTGERSSDIYVKSWVKGLEQDRQETDVHFN SLTGEGNFNWRFVFRFDYLPTEREVSVRRKPGPFALEEAEFRQPAVLVLQVWDYDRISAN DFLGSLELQLPDMVRGARDPEHCSVRLALDGAGPRCNLFRCHRLRGWWPVVKMKDMEDVE REAREAQAGKKRKRKRRAGRPEDLEFTDTGGNVYILTGKVEAEFELLTVEEAEKRPVGKG RKEPEPLEKPNRPKTSFNWFVNPLKTFIFFIWRRYWRILVLLLLLALITIFLLLVFYTIP GQISEVIFSPVHKH >ENSMUSP00000123091.1 pep:known chromosome:GRCm38:2:156029171:156031432:-1 gene:ENSMUSG00000013338.16 transcript:ENSMUST00000132912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fer1l4 description:fer-1-like 4 (C. elegans) [Source:MGI Symbol;Acc:MGI:1921812] XPEAGTSRQLLKPSSDDEMDEAGDADGTHLISGDREAQEQGETDSKVSVPRKKAIATLKI YNSSLEDEFSHFEDWLSVFPLYRGQGGQDGEGEGASGHFVGKFKGSFLIYPESEAKSFSE PQISRGVPQNRPIKLLVRVY >ENSMUSP00000114324.1 pep:known chromosome:GRCm38:2:156035003:156037363:-1 gene:ENSMUSG00000013338.16 transcript:ENSMUST00000130764.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fer1l4 description:fer-1-like 4 (C. elegans) [Source:MGI Symbol;Acc:MGI:1921812] SLLLTVPGAAPGEVCAKLELFLWLGLGKQAKACTSELPMDLLPEPSSGLPQSLYRDGLGA DPEPPMG >ENSMUSP00000113731.1 pep:known chromosome:GRCm38:18:42645269:42899294:-1 gene:ENSMUSG00000024500.18 transcript:ENSMUST00000117687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r2b description:protein phosphatase 2, regulatory subunit B, beta [Source:MGI Symbol;Acc:MGI:1920180] MEEDIDTRKINNSFLRDHSYATEADIISTVEFNHTGELLATGDKGGRVVIFQREQESKNQ VHRRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQQNAAYFLLSTNDKTVKLWKV SERDKRPEGYNLKDEEGRLRDPATITTLRVPVLRPMDLMVEATPRRVFANAHTYHINSIS VNSDYETYMSADDLRINLWNFEITNQSFNIVDIKPANMEELTEVITAAEFHPHHCNTFVY SSSKGTIRLCDMRASALCDRHTKFFEEPEDPSNRSFFSEIISSISDVKFSHSGRYIMTRD YLTVKVWDLNMENRPIETYQVHDYLRSKLCSLYENDCIFDKFECVWNGSDSVIMTGSYNN FFRMFDRNTKRDVTLEASRENSKPRAILKPRKVCVGGKRRKDEISVDSLDFSKKILHTAW HPSENIIAVAATNNLYIFQDKVN >ENSMUSP00000025377.6 pep:known chromosome:GRCm38:18:42645269:43059471:-1 gene:ENSMUSG00000024500.18 transcript:ENSMUST00000025377.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r2b description:protein phosphatase 2, regulatory subunit B, beta [Source:MGI Symbol;Acc:MGI:1920180] MKCFSRYLPYIFRPPNTILSSSCHTEADIISTVEFNHTGELLATGDKGGRVVIFQREQES KNQVHRRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQQNAAYFLLSTNDKTVKL WKVSERDKRPEGYNLKDEEGRLRDPATITTLRVPVLRPMDLMVEATPRRVFANAHTYHIN SISVNSDYETYMSADDLRINLWNFEITNQSFNIVDIKPANMEELTEVITAAEFHPHHCNT FVYSSSKGTIRLCDMRASALCDRHTKFFEEPEDPSNRSFFSEIISSISDVKFSHSGRYIM TRDYLTVKVWDLNMENRPIETYQVHDYLRSKLCSLYENDCIFDKFECVWNGSDSVIMTGS YNNFFRMFDRNTKRDVTLEASRENSKPRAILKPRKVCVGGKRRKDEISVDSLDFSKKILH TAWHPSENIIAVAATNNLYIFQDKVN >ENSMUSP00000113411.1 pep:known chromosome:GRCm38:18:42645294:42899643:-1 gene:ENSMUSG00000024500.18 transcript:ENSMUST00000120632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r2b description:protein phosphatase 2, regulatory subunit B, beta [Source:MGI Symbol;Acc:MGI:1920180] MEEDIDTRKINNSFLRDHSYATEADIISTVEFNHTGELLATGDKGGRVVIFQREQESKNQ VHRRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQQNAAYFLLSTNDKTVKLWKV SERDKRPEGYNLKDEEGRLRDPATITTLRVPVLRPMDLMVEATPRRVFANAHTYHINSIS VNSDYETYMSADDLRINLWNFEITNQSFNIVDIKPANMEELTEVITAAEFHPHHCNTFVY SSSKGTIRLCDMRASALCDRHTKFFEEPEDPSNRSFFSEIISSISDVKFSHSGRYIMTRD YLTVKVWDLNMENRPIETYQVHDYLRSKLCSLYENDCIFDKFECVWNGSDSVIMTGSYNN FFRMFDRNTKRDVTLEASRENSKPRAILKPRKVCVGGKRRKDEISVDSLDFSKKILHTAW HPSENIIAVAATNNLYIFQDKVN >ENSMUSP00000107978.2 pep:known chromosome:GRCm38:5:112343083:112378414:1 gene:ENSMUSG00000042328.13 transcript:ENSMUST00000112359.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps4 description:Hermansky-Pudlak syndrome 4 [Source:MGI Symbol;Acc:MGI:2177742] MATTTPPETKSAAWWNYFFLYDGSKVKGEGDPTRAGICYFYPPQTLLDQQELLCGQLAGV VRCLWDLSGTPPMLIRMRNLKFAIRADGDYLWALGCGVEISDASCRQFLDQLIGFFHFYM GPVSLAYKSHPQEELSLQWDTSITQVLRSTSESHRIFNALWNLDRTKVEPLLLLKAALIL QTCQRSPHVLAGCILYKGLIVNSQLLPSLTAKVLLHQTVPADQRLPGAGAAPQETGAALP PDVQITSVFLSEEEVASLHEFPVEHETRLQGSSVQYPPWDQSSPTQAEDAWASAAIPEPT PHDGACPSGSGADERLPRLEQECAGPTGLCTTACGQGSGLSSRLQKELCLSREELDSSEM HVSEAQEAFPPLPALGDLETLHSSHSAPTLPEDTAICSCLHPCPLERLPESGRLGQLADL PLTNGQTQVPGTDPLPSSMPVALPPQHPVGVEPSVEPYGNGAQESHSALPRSSRSPDSPG PSPSADRTGFKPSPSGRHAGLVPMNLYTHSVNGLVLSLLAEETLLSDTAAIEEVYHSSLA SLNGLEVHLKETLPRDEASLTSSTYNFLHYDRIQSVLSANLPLVTAPQDRRFLQAVNLMH SDFALLPMLYEMTIRNASTAVYACSSPAQETYFQQLAPTARSSGFPNPQDCAFSLAGKAK QKLLKHGVNLL >ENSMUSP00000047920.3 pep:known chromosome:GRCm38:5:112343095:112378414:1 gene:ENSMUSG00000042328.13 transcript:ENSMUST00000035279.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps4 description:Hermansky-Pudlak syndrome 4 [Source:MGI Symbol;Acc:MGI:2177742] MATTTPPETKSAAWWNYFFLYDGSKVKGEGDPTRAGICYFYPPQTLLDQQELLCGQLAGV VRCLWDLSGTPPMLIRMRNLKFAIRADGDYLWALGCGVEISDASCRQFLDQLIGFFHFYM GPVSLAYKSHPQEELSLQWDTSITQVLRSTSESHRIFNALWNLDRTKVEPLLLLKAALIL QTCQRSPHVLAGCILYKGLIVNSQLLPSLTAKVLLHQTVPADQRLPGAGAAPQETGAALP PDVQITSVFLSEEEVASLHEFPVEHETRLQGSSVQYPPWDQSSPTQAEDAWASAAIPEPT PHDGACPSGSGADERLPRLEQECAGPTGLCTTACGQGSGLSSRLQKELCLSREELDSSEM HVSEAQEAFPPLPALGDLETLHSSHSAPTLPEDTAICSCLHPCPLERLPESGRLGQLADL PLTNGQTQVPGTDPLPSSMPVALPPQHPVGVEPSVEPYGNGAQESHSALPRSSRSPDSPG PSPSADRTGFKPSPSGRHAGLVPMNLYTHSVNGLVLSLLAEETLLSDTAAIEEVYHSSLA SLNGLEVHLKETLPRDEASLTSSTYNFLHYDRIQSVLSANLPLVTAPQDRRFLQAVNLMH SDFALLPMLYEMTIRNASTAVYACSSPAQETYFQQLAPTARSSGFPNPQDCAFSLAGKAK QKLLKHGVNLL >ENSMUSP00000112594.1 pep:known chromosome:GRCm38:11:115523102:115535817:-1 gene:ENSMUSG00000020738.16 transcript:ENSMUST00000121185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo2 description:small ubiquitin-like modifier 2 [Source:MGI Symbol;Acc:MGI:2158813] MKAYCERQGLSMRQIRFRFDGQPINETDTPAQLEMEDEDTIDVFQQQTGGVY >ENSMUSP00000113883.1 pep:known chromosome:GRCm38:11:115523102:115535817:-1 gene:ENSMUSG00000020738.16 transcript:ENSMUST00000117589.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo2 description:small ubiquitin-like modifier 2 [Source:MGI Symbol;Acc:MGI:2158813] MKAYCERQGLSMRQIRFRFDGQPINETDTPAQLEMEDEDTIDVFQQQTGGVY >ENSMUSP00000113108.1 pep:known chromosome:GRCm38:11:115523102:115536181:-1 gene:ENSMUSG00000020738.16 transcript:ENSMUST00000118155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo2 description:small ubiquitin-like modifier 2 [Source:MGI Symbol;Acc:MGI:2158813] MADEKPKEGVKTENNDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQLEMEDEDTI DVFQQQTGGVY >ENSMUSP00000021084.9 pep:known chromosome:GRCm38:11:115523102:115536229:-1 gene:ENSMUSG00000020738.16 transcript:ENSMUST00000021084.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sumo2 description:small ubiquitin-like modifier 2 [Source:MGI Symbol;Acc:MGI:2158813] MADEKPKEGVKTENNDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERVCQ >ENSMUSP00000115044.1 pep:known chromosome:GRCm38:11:115523102:115536276:-1 gene:ENSMUSG00000020738.16 transcript:ENSMUST00000153892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo2 description:small ubiquitin-like modifier 2 [Source:MGI Symbol;Acc:MGI:2158813] MADEKPKEGVKTENNDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRF RFDGQPINETDTPAQLEMEDEDTIDVFQQQTGGVY >ENSMUSP00000092769.2 pep:known chromosome:GRCm38:2:5896115:5942792:-1 gene:ENSMUSG00000025815.13 transcript:ENSMUST00000095147.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhtkd1 description:dehydrogenase E1 and transketolase domain containing 1 [Source:MGI Symbol;Acc:MGI:2445096] MASAATVAAAGRALRRAVLLLRRGYQTERGVYGYRPRKAKSGEPRGDRARPSVDHGLARL VTVYCEHGHKAAQINPLFPGQALLDTVPEIQALVRTLQGPFTTTGLLNLGKEAASLEEVL AYLNHIYCGPISIETAQLQSQEERDWFARRFEELKKETFTTEERKYLSKLLLESQEFDHF LATKFATVKRYGGEGAESMMGFFHELLKLSAYGGITDIIIGMPHRGRLNLLTGLLQLPPE LMFRKMRGLSEFPENVATIGDVLSHLTSSVDLDFGAHQPLHVTMLPNPSHLEAVNPVAVG KTRGRQQSREDGDYSPNGSAQPGDKVICLQVHGDASFCGQGIVLETFTLSNLPHFRIGGS IHLIVNNQLGYTTPAERGRSSLYSSDIGKLVGCAIIHVNGDSPEEVVRATRLAFEYQRQF RKDVIVDLLCYRQWGHNELDEPFFTNPVMYKIIRARKSIPDTYAEHLIASGLMTQEEVSD IKTSYYTKLNDHLANVAHYSPPATNLQARWQGLVQPEACVTTWDTGVPLELLRFIGVKSV EVPEELQVHSHLLKMYVQSRMEKVKNGSGLDWATAETLALGSLLAQGFNVRLSGQDVGRG TFSQRHAMVVCQDTDDAYIPLNHMDPNQKGFLEVSNSPLSEEAVLGFEYGMSIESPTLLP LWEAQFGDFFNGAQIIFDTFISGGEAKWLLQSGLVILLPHGYDGAGPEHSSCRIERFLQM CDSAEEGVDSDTVNMFVVHPTTPAQYFHLLRRQMIRNFRKPLIVASPKMLLRYPAAVSTL EEMAPGTAFKPVIGDSSVDPKNVKTLIFCSGKHFYALLKQRESLGTKKHDFAIIRLEELC PFPLDALQQEMSKYKHVRDVIWSQEEPQNMGPWSFVSPRFEKQLACRLRLVSRPPLPAPA VGIGTVHQQQHEDILSKTFTQ >ENSMUSP00000026924.5 pep:known chromosome:GRCm38:2:5897178:5942743:-1 gene:ENSMUSG00000025815.13 transcript:ENSMUST00000026924.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhtkd1 description:dehydrogenase E1 and transketolase domain containing 1 [Source:MGI Symbol;Acc:MGI:2445096] MASAATVAAAGRALRRAVLLLRRGYQTERGVYGYRPRKAKSGEPRGDRARPSVDHGLARL VTVYCEHGHKAAQINPLFPGQALLDTVPEIQALVRTLQGPFTTTGLLNLGKEAASLEEVL AYLNHIYCGPISIETAQLQSQEERDWFARRFEELKKETFTTEERKYLSKLLLESQEFDHF LATKFATVKRYGGEGAESMMGFFHELLKLSAYGGITDIIIGMPHRGRLNLLTGLLQLPPE LMFRKMRGLSEFPENVATIGDVLSHLTSSVDLDFGAHQPLHVTMLPNPSHLEAVNPVAVG KTRGRQQSREDGDYSPNGSAQPGDKVICLQVHGDASFCGQGIVLETFTLSNLPHFRIGGS IHLIVNNQLGYTTPAERGRSSLYSSDIGKLVGCAIIHVNGDSPEEVVRATRLAFEYQRQF RKDVIVDLLCYRQWGHNELDEPFFTNPVMYKIIRARKSIPDTYAEHLIASGLMTQEEVSD IKTSYYTKLNDHLANVAHYSPPATNLQARWQGLVQPEACVTTWDTGVPLELLRFIGVKSV EVPEELQVHSHLLKMYVQSRMEKVKNGSGLDWATAETLALGSLLAQGFNVRLSGQDVGRG TFSQRHAMVVCQDTDDAYIPLNHMDPNQKGFLEVSNSPLSEEAVLGFEYGMSIESPTLLP LWEAQFGDFFNGAQIIFDTFISGGEAKWLLQSGLVILLPHGYDGAGPEHSSCRIERFLQM CDSAEEGVDSDTVNMFVVHPTTPAQYFHLLRRQMIRNFRKPLIVASPKMLLRYPAAVSTL EEMAPGTAFKPVIGDSSVDPKNVKTLIFCSGKHFYALLKQRESLGTKKHDFAIIRLEELC PFPLDALQQEMSKYKHVRDVIWSQEEPQNMGPWSFVSPRFEKQLACRLRLVSRPPLPAPA VGIGTVHQQQHEDILSKTFTQ >ENSMUSP00000078551.6 pep:known chromosome:GRCm38:16:22381309:22439719:-1 gene:ENSMUSG00000013089.15 transcript:ENSMUST00000079601.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv5 description:ets variant 5 [Source:MGI Symbol;Acc:MGI:1096867] MDGFCDQQVPFMVPGKSRSEDCRGRPLIDRKRKFVDTDLAHDSEELFQDLSQLQEAWLAE AQVPDDEQFVPDFQSDNLVLHAPPPTKIKRELHSPSSELSSCSHEQALGAKYGEKCLYNY CAYDRKPPSGFKPLTPPATPLSPTHQNSLFPPPQATLPTSGLTPGAGPVQGVGPAPTPHS LPEPGSQQQTFAVPRPPHQPLQMPKMMPESQYPSEQRFQRQLSEPSHPFPPQSGVPGDSR PSYHRQMSEPIVPAAPPPLQGFKQEYHDPLYEHGVPGMPGPPAHGFQSPMGIKQEPRDYC ADSEVPNCQSSYMRGGYFSSSHEGFPYEKDPRLYFDDTCVVPERLEGKVKQEPTMYREGP PYQRRGSLQLWQFLVTLLDDPANAHFIAWTGRGMEFKLIEPEEVARRWGIQKNRPAMNYD KLSRSLRYYYEKGIMQKVAGERYVYKFVCDPDALFSMAFPDNQRPFLKAESECPLNEEDT LPLTHFEDNPAYLLDMDRCSSLPYTEGFAY >ENSMUSP00000131791.1 pep:known chromosome:GRCm38:16:22381316:22436514:-1 gene:ENSMUSG00000013089.15 transcript:ENSMUST00000168774.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv5 description:ets variant 5 [Source:MGI Symbol;Acc:MGI:1096867] MDGFCDQQVPFMVPGKSRSEDCRGRPLIDRKRKFVDTDLAHDSEELFQDLSQLQEAWLAE AQVPDDEQFVPDFQSDNCSLSDEAGPHRLARAGTAVVLHAPPPTKIKRELHSPSSELSSC SHEQALGAKYGEKCLYNYCAYDRKPPSGFKPLTPPATPLSPTHQNSLFPPPQATLPTSGL TPGAGPVQGVGPAPTPHSLPEPGSQQQTFAVPRPPHQPLQMPKMMPESQYPSEQRFQRQL SEPSHPFPPQSGVPGDSRPSYHRQMSEPIVPAAPPPLQGFKQEYHDPLYEHGVPGMPGPP AHGFQSPMGIKQEPRDYCADSEVPNCQSSYMRGGYFSSSHEGFPYEKDPRLYFDDTCVVP ERLEGKVKQEPTMYREGPPYQRRGSLQLWQFLVTLLDDPANAHFIAWTGRGMEFKLIEPE EVARRWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCDPDALFSMAFPDN QRPFLKAESECPLNEEDTLPLTHFEDNPAYLLDMDRCSSLPYTEGFAY >ENSMUSP00000128338.1 pep:known chromosome:GRCm38:16:22383579:22401829:-1 gene:ENSMUSG00000013089.15 transcript:ENSMUST00000170803.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Etv5 description:ets variant 5 [Source:MGI Symbol;Acc:MGI:1096867] XVPAAPPPLQGFKQEYHDPLYEHGVPGMPGPPAHGFQSPMGIKQEPRDYCADSEVPNCQS SYMRGGYFSSSHEGCSPLGYPEESASHEL >ENSMUSP00000132210.1 pep:known chromosome:GRCm38:16:22412924:22439570:-1 gene:ENSMUSG00000013089.15 transcript:ENSMUST00000170393.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv5 description:ets variant 5 [Source:MGI Symbol;Acc:MGI:1096867] MDGFCDQQVPFMVPGKSRSEDCRGRPLIDRKRKFVDTDLAHDSEELFQDLSQLQEAWLAE AQVPDDEQFVPDFQSDNLVLHAPPPTKIKRELHS >ENSMUSP00000054022.2 pep:known chromosome:GRCm38:4:148152803:148160083:-1 gene:ENSMUSG00000029001.15 transcript:ENSMUST00000057907.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo44 description:F-box protein 44 [Source:MGI Symbol;Acc:MGI:1354744] MAVGNINELPENILLELFIHIPARQLLLRCRPVCSLWRDLIDLVTLWKRKCLQEGFITED WDQPVADWKIFYFLRSLQRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSKDQRKEFPND QVKKYFVTSYYTCLKSQVVDLKAEGYWEELMDTTRPDIEVKDWFAARPDCGSKYQLCVQL LSSAHAPLGTFQPDPVMIQQKSDAKWREVSHTFSNYPPGVRYIWFQHGGVDTHYWAGWYG PRVTNSSITIGPPLP >ENSMUSP00000117013.1 pep:known chromosome:GRCm38:4:148152803:148160094:-1 gene:ENSMUSG00000029001.15 transcript:ENSMUST00000129253.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo44 description:F-box protein 44 [Source:MGI Symbol;Acc:MGI:1354744] MAVGNINELPENILLELFIHIPARQLLLRCRPVCSLWRDLIDLVTLWKRKCLQEGFITED WDQPVADWKIFYFLRSLQRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSKDQRKEFPND QVKKYFVTSYYTCLKSQVVDLKAEGYWEELMDTTRPDIEVKDWFAARPDCGSKYQLCVQL LSSAHAPLGTFQPDPVMIQQKSDAKWREGPSRILGSQG >ENSMUSP00000101330.2 pep:known chromosome:GRCm38:4:148153267:148159877:-1 gene:ENSMUSG00000029001.15 transcript:ENSMUST00000105705.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo44 description:F-box protein 44 [Source:MGI Symbol;Acc:MGI:1354744] MAVGNINELPENILLELFIHIPARQLLLRCRPVCSLWRDLIDLVTLWKRKCLQEGFITED WDQPVADWKIFYFLRSLQRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSKDQRKEFPND QVCSQARLRVQVPAVRPTPVVSPRTTGNLPAGPGDDSAEKRCQVEGGFTHILQLSSRRPL HLVSARRRGHSLLGRLVRPESHKQQHHHRAPAALMPPEPQSSEP >ENSMUSP00000115937.1 pep:known chromosome:GRCm38:4:148153537:148158599:-1 gene:ENSMUSG00000029001.15 transcript:ENSMUST00000153703.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo44 description:F-box protein 44 [Source:MGI Symbol;Acc:MGI:1354744] XKIFYFLRSLQRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSKDQRKEFPNDQVKKYFV TSYYTCLKSQVVDLKAEGYWEELMDTTRPDIEVKDWFAARPDCGSKYQLCVQLLSSAHAP LGTFQPDPVMIQQKSDAKWREGLM >ENSMUSP00000114571.1 pep:known chromosome:GRCm38:4:148153572:148159649:-1 gene:ENSMUSG00000029001.15 transcript:ENSMUST00000151246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo44 description:F-box protein 44 [Source:MGI Symbol;Acc:MGI:1354744] MAVGNINELPENILLELFIHIPARQLLLRCRPVCSLWRDLIDLVTLWKRKCLQEGFITED WDQPVADWKIFYFLRSLQRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSKDQRKEFPND QVKKYFVTSYYTCLKSQVVDLKAEGYWEELMDTTRPDIEVKDWFAARPDCGSKYQLCVQL LSSAHAPLGTFQPDPVMIQQKSDAKWREVSHTFSNYPPGVRYIWFQHGGVDT >ENSMUSP00000133966.1 pep:known chromosome:GRCm38:4:148153572:148159875:-1 gene:ENSMUSG00000029001.15 transcript:ENSMUST00000172472.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo44 description:F-box protein 44 [Source:MGI Symbol;Acc:MGI:1354744] MAVGNINELPENILLELFIHIPARQLLLRCRPVCSLWRDLIDLVTLWKRKCLQEGFITED WDQPVADWKIFYFLRSLQRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSKDQRKEFPND QHLPQVPGGGPQG >ENSMUSP00000134064.1 pep:known chromosome:GRCm38:4:148155498:148159851:-1 gene:ENSMUSG00000029001.15 transcript:ENSMUST00000151127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo44 description:F-box protein 44 [Source:MGI Symbol;Acc:MGI:1354744] MAVGNINELPENILLELFIHIPARQLLLRCRPVCSLWRDLIDLVTLWKRKCLQEGFITED WDQPVADWKIFYFLRSLQRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSKDQRKEFPND QVKKYFVTSYYTCLKSQVVDLKAEGYWEELMDTTRPDIEVKDWFAARPDCGSKYQLCVQL LSSAHAPLGTFQPDPVMIQQKSDAKWREVCGQGPHGNLGLTCFSRRIGVSFQLRQQSRET ERAPTQKQFPERMPPWCTDCLASIHRALCSIPSAKK >ENSMUSP00000120874.2 pep:known chromosome:GRCm38:4:148156146:148159849:-1 gene:ENSMUSG00000029001.15 transcript:ENSMUST00000122913.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo44 description:F-box protein 44 [Source:MGI Symbol;Acc:MGI:1354744] MAVGNINELPENILLELFIHIPARQLLLRCRPVCSLWRDLIDLVTLWKRKCLQEGFITED WDQPVADWKIFYFLRSLQRNLLHNPCAEEGFEFWSLDVNGGDEWKHLPQVPGGGPQG >ENSMUSP00000134624.1 pep:known chromosome:GRCm38:4:148156166:148160482:-1 gene:ENSMUSG00000029001.15 transcript:ENSMUST00000173352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo44 description:F-box protein 44 [Source:MGI Symbol;Acc:MGI:1354744] MGGLLVCLEDDCRRTYGVGLLGSRGCWRRAQWSWGYRSFPPPQPPRSWLGDATMAVGNIN ELPENILLELFIHIPARQLLLRCRPVCSLWRDLIDLVTLWKRKCLQEGFITEDWDQPVAD WKIFYFLRSLQRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSKDQRKEFPNDQVKKYFV TSYYTCLKSQVVDLKAEGYWEELMDTTRPDIEVKDWFAARPDCGSKYQLCVQLLSSAHAP LGTFQPDPVMIQQK >ENSMUSP00000126551.1 pep:known chromosome:GRCm38:4:148152800:148159595:-1 gene:ENSMUSG00000029001.15 transcript:ENSMUST00000167160.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo44 description:F-box protein 44 [Source:MGI Symbol;Acc:MGI:1354744] MAVGNINELPENILLELFIHIPARQLLLRCRPVCSLWRDLIDLVTLWKRKCLQEGFITED WDQPVADWKIFYFLRSLQRNLLHNPCAEEGFEFWSLDVNGGDEWKVEDLSKDQRKEFPND QVKKYFVTSYYTCLKSQVVDLKAEGYWEELMDTTRPDIEVKDWFAARPDCGSKYQLCVQL LSSAHAPLGTFQPDPVMIQQKSDAKWREVSHTFSNYPPGVRYIWFQHGGVDTHYWAGWYG PRVTNSSITIGPPLP >ENSMUSP00000139439.1 pep:known chromosome:GRCm38:10:81812186:81826490:1 gene:ENSMUSG00000094076.7 transcript:ENSMUST00000188096.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4767 description:predicted gene 4767 [Source:MGI Symbol;Acc:MGI:3644409] MDAVTYEDVYVKFTHEEWALLDPSQKSLYKDVMLETCRNLTAIGYKLQDDNIEEHCPSSR GCGRYNIYGYKPCEHKGYGKKQCTFAQKRFLQTYERICSEEKPYECNQCSKAFANFRSLQ KHEKNHTREKPYECNHCGKAFPSRSALQIHQRTHTGEKPFDCSECGKAFARHSNLDIHKR THTGEKPYDCNECGKAFSTRSHLQIHEIIHTGEKPYVCNQCGKAFAYRSNLEAHEKTHTG EKPYECNQCGNVYASRSSLRNHEKHHTGEKLYGCNQCGKAFARRSSLEIHERTHTGEKPY VCNQCCKAFARRSSLQIHERSHTGEKPYECNQCGKAFASRTSLQNHEKHHTGEKPYGCNQ CDKAFELKCDLQIHERIHTGEKPYVCNQCGKAFVSRRYLRNHEKHHTLEKLYDCSQCGKS FAIFRYLQKHKKTHTGEKPYKCTHCGKAFAYRSNLYRHERSHTGEKLYECNECGKAFAYH TSLHNHKKCHIGENPYECN >ENSMUSP00000097038.3 pep:known chromosome:GRCm38:10:81821127:81823360:1 gene:ENSMUSG00000094076.7 transcript:ENSMUST00000099439.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4767 description:predicted gene 4767 [Source:MGI Symbol;Acc:MGI:3644409] DAVTYEDVYVKFTHEEWALLDPSQKSLYKDVMLETCRNLTAIGYKLQDDNIEEHCPSSRG CGRYNIYGYKPCEHKGYGKKQCTFAQKRFLQTYERICSEEKPYECNQCSKAFANFRSLQK HEKNHTREKPYECNHCGKAFPSRSALQIHQRTHTGEKPFDCSECGKAFARHSNLDIHKRT HTGEKPYDCNECGKAFSTRSHLQIHEIIHTGEKPYVCNQCGKAFAYRSNLEAHEKTHTGE KPYECNQCGNVYASRSSLRNHEKHHTGEKLYGCNQCGKAFARRSSLEIHERTHTGEKPYV CNQCCKAFARRSSLQIHERSHTGEKPYECNQCGKAFASRTSLQNHEKHHTGEKPYGCNQC DKAFELKCDLQIHERIHTGEKPYVCNQCGKAFVSRRYLRNHEKHHTLEKLYDCSQCGKSF AIFRYLQKHKKTHTGEKPYKCTHCGKAFAYRSNLYRHERSHTGEKLYECNECGKAFAYHT SLHNHKKCHIGENPYECN >ENSMUSP00000138972.1 pep:known chromosome:GRCm38:14:21733394:21741476:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000184703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MDSLQKQELRRPKIHGAVQVSPYQPPTLASLQRLLWVRRTATLTHINEVWPNLFLGDAYA ARDKGRLIQLGITHVVNVAAGKFQVDTGAKFYRGTPLEYYGIEADDNPFFDLSVHFLPVA RYIRDALNIPRSRVLVHCAMGVSRSATIVLAFLMIFENMTLVDAIQTVQAHRDICPNSGF LRQLQVLDNRLRRETGRL >ENSMUSP00000113985.1 pep:known chromosome:GRCm38:14:21733395:21740401:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000120984.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MDSLQKQELRRPKIHGAVQVSPYQPPTLASLQRLLWVRRTATLTHINEVWPNLFLGDAYA ARDKGRLIQLGITHVVNVAAGKFQVDTGAKFYRGTPLEYYGIEADDNPFFDLSVHFLPVA RYIRDALNIPRSRVLVHCAMGVSRSATIVLAFLMIFENMTLVDAIQTVQAHRDICPNSGF LRQLQVLDNRLRRETGRL >ENSMUSP00000139058.1 pep:known chromosome:GRCm38:14:21733395:21741505:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000183698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MTPDITHSFRVRPVQVYWPEPQGMDSLQKQELRRPKIHGAVQVSPYQPPTLASLQRLLWV RRTATLTHINEVWPNLFLGDAYAARDKGRLIQLGITHVVNVAAGKFQVDTGAKFYRGTPL EYYGIEADDNPFFDLSVHFLPVARYIRDALNIPRSRVLVHCAMGVSRSATIVLAFLMIFE NMTLVDAIQTVQAHRDICPNSGFLRQLQVLDNRLRRETGRL >ENSMUSP00000112552.2 pep:known chromosome:GRCm38:14:21733395:21741519:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000119866.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MREKGRPSLPPSSSSTGWVSVAKPVASWVPISFSGFGSACQREKAFYPVCTYSSYSLRGP GVVDQGRFPFPLRYQAQVLVPLTPQLCKGPDGLSQMTPDITHSFRVRPVQVYWPEPQGMD SLQKQELRRPKIHGAVQVSPYQPPTLASLQRLLWVRRTATLTHINEVWPNLFLGDAYAAR DKGRLIQLGITHVVNVAAGKFQVDTGAKFYRGTPLEYYGIEADDNPFFDLSVHFLPVARY IRDALNIPRSRVLVHCAMGVSRSATIVLAFLMIFENMTLVDAIQTVQAHRDICPNSGFLR QLQVLDNRLRRETGRL >ENSMUSP00000113305.1 pep:known chromosome:GRCm38:14:21733395:21742879:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000120956.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MQAGQRPLQSALGIYLPKSLSQTPRCPSVRTLAPLGLCSLREEGRQRGNSRGDQEKCVLR LQLKRMDSLQKQELRRPKIHGAVQVSPYQPPTLASLQRLLWVRRTATLTHINEVWPNLFL GDAYAARDKGRLIQLGITHVVNVAAGKFQVDTGAKFYRGTPLEYYGIEADDNPFFDLSVH FLPVARYIRDALNIPRSRVLVHCAMGVSRSATIVLAFLMIFENMTLVDAIQTVQAHRDIC PNSGFLRQLQVLDNRLRRETGRL >ENSMUSP00000139338.1 pep:known chromosome:GRCm38:14:21733395:21748620:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000184571.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MADASIPKPGEEKEATPCPSILQLEELLRAGRASCSRVDEVWPNLFIGDANSIRCAEPGG >ENSMUSP00000074553.2 pep:known chromosome:GRCm38:14:21733395:21748622:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000075040.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MADASIPKPGEEKEATPCPSILQLEELLRAGRASCSRVDEVWPNLFIGDAATANNRFELW KLGITHVLNAAHGGLYCQGGPDFYGSSVCYLGIPAHDLPDFNISPYFSSAADFIHRALTV PGAKVLVHCVVGVSRSATLVLAYLMLHQQLSLQQAIITVRERRWIFPNRGFLRQLCQLDQ QLRGAGQS >ENSMUSP00000120977.1 pep:known chromosome:GRCm38:14:21733398:21748642:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000127851.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MADASIPKPGEEKEATPCPSILQLEELLRAGRASCSRVDEVWPNLFIGDAATANNRFELW KLGITHVLNAAHGGLYCQGGPDFYGSSVCYLGIPAHDLPDFNISPYFSSAADFIHRALTV PGEPVLPLCRQALPVCYSCPGPDGSLSPSGRPEDEKGPAWRSPSNPPLQQPPPAFHVPSQ PLSSVSTETASGVRSQAARIQRHKLWVGEDYSPVRISPQNGLATEAGTSEAKDSWGSPGV PLPATHTGLSAAIAVGPSDCHTDPHQ >ENSMUSP00000139061.1 pep:known chromosome:GRCm38:14:21733589:21748620:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000183893.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MADASIPKPGEEKEATPCPSILQLEELLRAGRASCSRVDEVWPNLFIGDAASPSALPPGS ASLLLLPWP >ENSMUSP00000139154.1 pep:known chromosome:GRCm38:14:21733649:21748626:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000183943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MADASIPKPGEEKEATPCPSILQLEELLRAGRASCSRVDEVWPNLFIGDAMDSLQKQELR RPKIHGAVQVSPYQPPTLASLQRLLWVRRTATLTHINEVWPNLFLGDAYAARDKGRLIQL GITHVVNVAAGKFQVDTGAKFYRGTPLEYYGIEADDNPFFDLSVHFLPVARYIRDALNIP RSRVLVHCAMGVSRSATIVLAFLMIFENMTLVDAIQTVQAHRDICPNSGFLRQLQVLDNR LRRETGRL >ENSMUSP00000139140.1 pep:known chromosome:GRCm38:14:21740144:21746485:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000153071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] SRSATLVLAYLMLHQQLSLQQAIITVRERRWIFPNRGFLRQLCQLDQQLRGAGQS >ENSMUSP00000139083.1 pep:known chromosome:GRCm38:14:21743512:21748638:-1 gene:ENSMUSG00000021768.15 transcript:ENSMUST00000185042.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp13 description:dual specificity phosphatase 13 [Source:MGI Symbol;Acc:MGI:1351599] MADASIPKPGEEKEATPCPSILQLEELLRAGRASCSRVDEVWPNLFIGDA >ENSMUSP00000101805.3 pep:known chromosome:GRCm38:4:124700701:124708094:1 gene:ENSMUSG00000032643.12 transcript:ENSMUST00000106199.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl3 description:four and a half LIM domains 3 [Source:MGI Symbol;Acc:MGI:1341092] MSEAFDCAKCNESLYGRKYIQTDSGPYCVPCYDNTFANTCAECQQLIGHDSRELFYEDRH FHEGCFRCCRCQRSLADEPFTCQDSELLCNECYCTAFSSQCSACGETVMPGSRKLEYGGQ TWHEHCFLCSGCEQPLGSRSFVPDKGAHYCVPCYENKFAPRCARCSKTLTQGGVTYRDQP WHRECLVCTGCKTPLAGQQFTSRDDDPYCVACFGELFAPKCSSCKRPITGLGGGKYVSFE DRHWHHSCFSCARCSTSLVGQGFVPDGDQVLCQGCSQAGP >ENSMUSP00000121702.1 pep:known chromosome:GRCm38:4:124700719:124708077:1 gene:ENSMUSG00000032643.12 transcript:ENSMUST00000145942.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fhl3 description:four and a half LIM domains 3 [Source:MGI Symbol;Acc:MGI:1341092] MSEAFDCAKCNESLYGRKYIQTDSGPYCVPCYDNTFANTCAECQQLIGHDSRELFYEDRH FHEGCFRCCRCQRSLADEPFTCQDSELLCNECYCTAFSSQCSACGETVMPDADPGWSDIS >ENSMUSP00000040150.5 pep:known chromosome:GRCm38:4:124700720:124708611:1 gene:ENSMUSG00000032643.12 transcript:ENSMUST00000038684.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl3 description:four and a half LIM domains 3 [Source:MGI Symbol;Acc:MGI:1341092] MSEAFDCAKCNESLYGRKYIQTDSGPYCVPCYDNTFANTCAECQQLIGHDSRELFYEDRH FHEGCFRCCRCQRSLADEPFTCQDSELLCNECYCTAFSSQCSACGETVMPGSRKLEYGGQ TWHEHCFLCSGCEQPLGSRSFVPDKGAHYCVPCYENKFAPRCARCSKTLTQGGVTYRDQP WHRECLVCTGCKTPLAGQQFTSRDDDPYCVACFGELFAPKCSSCKRPITGGSGGGEGAGL GGGKYVSFEDRHWHHSCFSCARCSTSLVGQGFVPDGDQVLCQGCSQAGP >ENSMUSP00000045304.7 pep:known chromosome:GRCm38:X:77761411:77796278:-1 gene:ENSMUSG00000035725.13 transcript:ENSMUST00000036333.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkx description:protein kinase, X-linked [Source:MGI Symbol;Acc:MGI:1309999] MEPPAGAAATVKDPDHDPVKTKVSAPAADPKPRTSSQKAGHSLQDWDTIATVGTGTFGRV NLVKEKTGRQYCALKIMSIPDVIRLKQEQHVQNEKAVLKEINHPFLIKLLWTGHDNRFLY MLMEFVPGGELFTYLRNRGRFSSVASVFYATEIVCAIEYLHSKEIVYRDLKPENILLDRE GHIKLTDFGFAKKLVDRTWTLCGTPEYLAPEVIQSKGHGRAVDWWALGILIFEMLSGFPP FFDDNPFGIYQKILACKIDFPRQLDFTSKDLIKKLLVVDRTRRLGNMKNGAEDIKRHRWF RGVEWESVPQRKLKPPIVPKLSGDGDISNFETYPESELDKTPSVSDKDLETFKNF >ENSMUSP00000109678.1 pep:known chromosome:GRCm38:X:77771455:77795972:-1 gene:ENSMUSG00000035725.13 transcript:ENSMUST00000114044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkx description:protein kinase, X-linked [Source:MGI Symbol;Acc:MGI:1309999] MEPPAGAAATVKDPDHDPVKTKVSAPAADPKPRTSSQKAGHSLQDWDTIATVGTGTFGRV NLVKEKTGRQYCALKIMSIPDVIRLKQEQHVQNEKAVLKEINHPFLIKLLWTGHDNRFLY MLMEFVPGGELFTYLRNRGRFSSVASVFYATEIVCAIEYLHSKEIVYRDLKPENILLDRE GHIKLTDFGFAKKLVDRTWTLCGTPEYLAPEVIQSKGHGRAVDWWALGILIFEMLSG >ENSMUSP00000146567.1 pep:known chromosome:GRCm38:4:40269579:40270940:1 gene:ENSMUSG00000028407.4 transcript:ENSMUST00000129758.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Toporsos description:topoisomerase I binding, arginine/serine-rich, opposite strand [Source:MGI Symbol;Acc:MGI:1913684] MRPMSRRSLDWTYSLLLLAIVLLSWGFVIYASTVAARRQLQKEFPDKFF >ENSMUSP00000126618.1 pep:known chromosome:GRCm38:9:75037614:75060294:1 gene:ENSMUSG00000007656.13 transcript:ENSMUST00000168166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp19 description:cAMP-regulated phosphoprotein 19 [Source:MGI Symbol;Acc:MGI:1891691] MSAEVPEAASAEEQKEMEDKVTSPEKAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYF DSGDYNMAKAKMKNKQLPAAAPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG >ENSMUSP00000132350.1 pep:known chromosome:GRCm38:9:75037677:75056838:1 gene:ENSMUSG00000007656.13 transcript:ENSMUST00000170308.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp19 description:cAMP-regulated phosphoprotein 19 [Source:MGI Symbol;Acc:MGI:1891691] MSAEVPEAASAEEQKEMEDKVTSPEKAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYF DSGDYNMAKAKMKNKQLPAAAPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG >ENSMUSP00000130730.1 pep:known chromosome:GRCm38:9:75037679:75057710:1 gene:ENSMUSG00000007656.13 transcript:ENSMUST00000164467.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arpp19 description:cAMP-regulated phosphoprotein 19 [Source:MGI Symbol;Acc:MGI:1891691] MSAEVPEAASAEEQKEMEDKVTSPEKAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYF DSGDYNMAKAKMKNKQLPAAAPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG >ENSMUSP00000007800.7 pep:known chromosome:GRCm38:9:75037718:75060313:1 gene:ENSMUSG00000007656.13 transcript:ENSMUST00000007800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp19 description:cAMP-regulated phosphoprotein 19 [Source:MGI Symbol;Acc:MGI:1891691] MSAEVPEAASAEEQKEMEDKVTSPEKAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYF DSGDYNMAKAKMKNKQLPAAAPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG >ENSMUSP00000132802.1 pep:known chromosome:GRCm38:9:75037749:75056893:1 gene:ENSMUSG00000007656.13 transcript:ENSMUST00000168301.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp19 description:cAMP-regulated phosphoprotein 19 [Source:MGI Symbol;Acc:MGI:1891691] MAKAKMKNKQLPAAAPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG >ENSMUSP00000131597.1 pep:known chromosome:GRCm38:9:75037819:75056822:1 gene:ENSMUSG00000007656.13 transcript:ENSMUST00000167885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp19 description:cAMP-regulated phosphoprotein 19 [Source:MGI Symbol;Acc:MGI:1891691] MEDKVTSPEKAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYFDSGDYNMAKAKMKNKQ LPAAAPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG >ENSMUSP00000131922.1 pep:known chromosome:GRCm38:9:75037917:75056805:1 gene:ENSMUSG00000007656.13 transcript:ENSMUST00000169188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp19 description:cAMP-regulated phosphoprotein 19 [Source:MGI Symbol;Acc:MGI:1891691] MSAEVPEAASAEEQKQKYFDSGDYNMAKAKMKNKQLPAAAPDKTEVTGDHIPTPQDLPQR KPSLVASKLAG >ENSMUSP00000125825.1 pep:known chromosome:GRCm38:9:75051977:75057383:1 gene:ENSMUSG00000007656.13 transcript:ENSMUST00000170310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp19 description:cAMP-regulated phosphoprotein 19 [Source:MGI Symbol;Acc:MGI:1891691] MEDKVTSPEKAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYFDSGDYNMAKAKMKNKQ LPAAAPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG >ENSMUSP00000131987.1 pep:known chromosome:GRCm38:9:75052051:75058076:1 gene:ENSMUSG00000007656.13 transcript:ENSMUST00000166549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp19 description:cAMP-regulated phosphoprotein 19 [Source:MGI Symbol;Acc:MGI:1891691] MEDKVTSPEKAEEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYFDSGDYNMAKAKMKNKQ LPAAAPDKTEVTGDHIPTPQDLPQRKPSLVASKLAG >ENSMUSP00000128921.1 pep:known chromosome:GRCm38:9:75037614:75060313:1 gene:ENSMUSG00000007656.13 transcript:ENSMUST00000169492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp19 description:cAMP-regulated phosphoprotein 19 [Source:MGI Symbol;Acc:MGI:1891691] MDGSEVRREPQPLRERGSARASQGRRRGDRRSTMSAEVPEAASAEEQKEMEDKVTSPEKA EEAKLKARYPHLGQKPGGSDFLRKRLQKGQKYFDSGDYNMAKAKMKNKQLPAAAPDKTEV TGDHIPTPQDLPQRKPSLVASKLAG >ENSMUSP00000144661.1 pep:known chromosome:GRCm38:10:82128013:82153065:1 gene:ENSMUSG00000078435.5 transcript:ENSMUST00000201286.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU041133 description:expressed sequence AU041133 [Source:MGI Symbol;Acc:MGI:2143755] MAAVTYEDVHVNFTHEEWVLLDPSQKSLYKDVMLETYWNLTAIGYKLEDLNSEEHSQRSR RYGRHKICHSEYKPCELKGYGKKQCTFADKRFLQIYGRIHTEGKPYECKQCSQIFTNFCH LRKHEKKHTKEKLYECNHCGKSFPSRNSLQIHNRTHTGEKPYDCNECGKAFTCSSNLLLH KRTHTGEKPYDCSDCGKAFASSSNLQIHKRKHTGEKPYGCNQCGKAFAYSNALQKHERSH TREKIYECNQCGKAFARHRSLQNHEEHHTLEKPYECSQCGKAFAYSSNLYIHERSHTGEK PFKCTQCGKAFPSHSSLQIHERTHTGEKPYDCNECGKAFACRSNLLLHKRTHTGEKPYHC IECGKAFACSSSLQKHEKTHTGEKPYECNQCGKAFARRSDLYIHERIHTGEKPYECNQCG KAFVHCISLQRHERTHTGEKPYECNQCGKAFTRRFSLQVHERSHSGEKPYECNQCGKAFA SRSSLRYHRKHHTAKKPN >ENSMUSP00000100951.2 pep:known chromosome:GRCm38:10:82138253:82152011:1 gene:ENSMUSG00000078435.5 transcript:ENSMUST00000105314.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU041133 description:expressed sequence AU041133 [Source:MGI Symbol;Acc:MGI:2143755] AAVTYEDVHVNFTHEEWVLLDPSQKSLYKDVMLETYWNLTAIGYKLEDLNSEEHSQRSRR YGRHKICHSEYKPCELKGYGKKQCTFADKRFLQIYGRIHTEGKPYECKQCSQIFTNFCHL RKHEKKHTKEKLYECNHCGKSFPSRNSLQIHNRTHTGEKPYDCNECGKAFTCSSNLLLHK RTHTGEKPYDCSDCGKAFASSSNLQIHKRKHTGEKPYGCNQCGKAFAYSNALQKHERSHT REKIYECNQCGKAFARHRSLQNHEEHHTLEKPYECSQCGKAFAYSSNLYIHERSHTGEKP FKCTQCGKAFPSHSSLQIHERTHTGEKPYDCNECGKAFACRSNLLLHKRTHTGEKPYHCI ECGKAFACSSSLQKHEKTHTGEKPYECNQCGKAFARRSDLYIHERIHTGEKPYECNQCGK AFVHCISLQRHERTHTGEKPYECNQCGKAFTRRFSLQVHERSHSGEKPYECNQCGKAFAS RSSLRYHRKHHTAKKPN >ENSMUSP00000104024.2 pep:known chromosome:GRCm38:7:26535023:26574148:1 gene:ENSMUSG00000054102.15 transcript:ENSMUST00000108387.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9a description:NLR family, pyrin domain containing 9A [Source:MGI Symbol;Acc:MGI:2675292] MMDSSGYGLLQYLQKLSDEEFQRFKEHLRKEPEKFKLKPISWTKIKNTSKEDLVMQLYTH YPGKAWDMVLSLFLQVNREDLSTMAQTERRDKQTKYKEFMKNTFQHIWTMETNTYIPDRS YHEFIEVQYRALQDIFDCESEPVTVVVSGSRGGGKTTFLRKAMLDWASRNLLQNRFQYVF YFSVFSLNNITELSLAELISSTLPESSETVDDILSDPKRILFILDGFDYLKFDLELRTNL CNDWRKKLPIQIVLSSLLQKIMLPECSLLLELGNASLSNIIPLLQYPREIIMSGFSEQTI EIYCVSFFNTQTGVEIFKNLKSIKPLFNLCRCPHLCWMICSTIKWQYERREVASRFGRTL GLLYTIFMVSAFKSTYARNPSKQNRARIRTLCTLAVEGMWKQVYVFDSDDLRRNGISESD KKVWLRMKFLQNQGSNIVFYHSTLQWYFAVLFYFLQYKDTRHPVIGNLAQLLGEIYAHKQ NQWFHTRILLFGMATEQVNSLLEPCFGCISSKEVRQEIIRYIKSLSQQECNEKLVVHPQN LFFCILDNQEERFVRQLMDRFEEMTVDISDVDDMSATPYCLHRAPKVKNLHLHIQKRVFL EIHDPEYGDLELFKLDQKLLAKHWTTLCTFLCNLHVLDLDSCHFNEKAIEVLCNCLPLTS LVPLTGFKLHRLLCSFTTNFGDGLLFCTFLHLPHLKYMNLYGTNLSNDAVERLCSALKFS TCGVEELLLGKCDISSEACGIIAASLINSEVKHLSLVENPLKNKGVMSLCEMLKDPSCVL ESLMLSYCCLTFIACGHLYEALLSNEHLSLLDLGSNFLEDTGVNLLCEALKDPNCTLKEL WLPGCYLTSECCEEISAVLTCNTNLKTLKLGNNNIQDTGVKRLCEALCHPNCEMQCLGLD MCDFTSDCCEDLALVLTTCNTLKSLNLDWNAFDHSGLEMLCKALNHKACNLEVLGLDKSL FSEESQTLLQAVEKKNKNLKVLHFPWLKEELEKRGVRLVWNSKN >ENSMUSP00000120498.1 pep:known chromosome:GRCm38:7:26535066:26568003:1 gene:ENSMUSG00000054102.15 transcript:ENSMUST00000153452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9a description:NLR family, pyrin domain containing 9A [Source:MGI Symbol;Acc:MGI:2675292] MDKQTKYKEFMKNTFQHIWTMETNTYIPDRSYHEFIEVQYRALQDIFDCESEPVTVVVSG SRGGGKTTFLRKAMLDWASRNLLQNRFQYVFYFSVFSLNNITELSLAELISSTLPESSET VDDILSDPKRILFILDGFDYLKFDLELRTNLCNDWRKKLPIQIVLSSLLQKIMLPECSLL LELGNASLSNIIPLLQYPREIIMSGFSEQTIEIYCVSFFNTQTGVEIFKNLKSIKPLFNL CRCPHLCWMICSTIKWQYERREVASRFGRTLGLLYTIFMVSAFKSTYARNPSKQNRARIR TLCTLAVEGMWKQVYVFDSDDLRRNGISESDKKVWLRMKFLQNQGSNIVFYHSTLQWYFA VLFYFLQYKDTRHPVIGNLAQLLGEIYAHKQNQWFHTRILLFGMATEQVNSLLEPCFGCI SSKEVRQEIIRYIKSLSQQECNEKLVVHPQNLFFCILDNQEERFVRQLMDRFEEMTVDIS DVDDMSATPYCLHRAPKVKNLHLHIQKRVFLEIHDPEYGDLELFKLDQKLLAKHWTTLCT FLCNLHVLDLDSCHFNEKAIEVLCNCLPLTSLVPLTGFKLHRLLCSFTTNFGDGLLFCTF LHLPHLKYMNLYGTNLSNDAVERLCSALKFSTCGVEELLLGKCDISSEACGIIAASLINS EVKHLSLVENPLKNKGVMSLCEMLKDPSCVLESLMLSYCCLTFIACGHLYEALLSNEHLS LLDLGSNFLEDTGVNLLCEALKDPNCTLKEL >ENSMUSP00000113318.1 pep:known chromosome:GRCm38:7:26550812:26573953:1 gene:ENSMUSG00000054102.15 transcript:ENSMUST00000122040.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9a description:NLR family, pyrin domain containing 9A [Source:MGI Symbol;Acc:MGI:2675292] MMDSSGYGLLQYLQKLSDEEFQRFKEHLRKEPEKFKLKPISWTKIKNTSKEDLVMQLYTH YPGKAWDMVLSLFLQVNREDLSTMAQTERRDKQTKYKEFMKNTFQHIWTMETNTYIPDRS YHEFIEVQYRALQDIFDCESEPVTVVVSGSRGGGKTTFLRKAMLDWASRNLLQNRFQYVF YFSVFSLNNITELSLAELISSTLPESSETVDDILSDPKRILFILDGFDYLKFDLELRTNL CNDWRKKLPIQIVLSSLLQKIMLPECSLLLELGNASLSNIIPLLQYPREIIMSGFSEQTI EIYCVSFFNTQTGVEIFKNLKSIKPLFNLCRCPHLCWMICSTIKWQYERREVASRFGRTL GLLYTIFMVSAFKSTYARNPSKQNRARIRTLCTLAVEGMWKQVYVFDSDDLRRNGISESD KKVWLRMKFLQNQGSNIVFYHSTLQWYFAVLFYFLQYKDTRHPVIGNLAQLLGEIYAHKQ NQWFHTRILLFGMATEQVNSLLEPCFGCISSKEVRQEIIRYIKSLSQQECNEKLVVHPQN LFFCILDNQEERFVRQLMDRFEEMTVDISDVDDMSATPYCLHRAPKVKNLHLHIQKRVFL EIHDPEYGDLELFKLDQKCSFTTNFGDGLLFCTFLHLPHLKYMNLYGTNLSNDAVERLCS ALKFSTCGVEELLLGKCDISSEACGIIAASLINSEVKHLSLVENPLKNKGVMSLCEMLKD PSCVLESLMLSYCCLTFIACGHLYEALLSNEHLSLLDLGSNFLEDTGVNLLCEALKDPNC TLKELWLPGCYLTSECCEEISAVLTCNTNLKTLKLGNNNIQDTGVKRLCEALCHPNCEMQ CLGLDMCDFTSDCCEDLALVLTTCNTLKSLNLDWNAFDHSGLEMLCKALNHKACNLEVLG LDKSLFSEESQTLLQAVEKKNKNLKVLHFPWLKEELEKRGVRLVWNSKN >ENSMUSP00000112398.1 pep:known chromosome:GRCm38:7:26550812:26575615:1 gene:ENSMUSG00000054102.15 transcript:ENSMUST00000117252.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9a description:NLR family, pyrin domain containing 9A [Source:MGI Symbol;Acc:MGI:2675292] MMDSSGYGLLQYLQKLSDEEFQRFKEHLRKEPEKFKLKPISWTKIKNTSKEDLVMQLYTH YPGKAWDMVLSLFLQVNREDLSTMAQTERRDKQTKYKEFMKNTFQHIWTMETNTYIPDRS YHEFIEVQYRALQDIFDCESEPVTVVVSGSRGGGKTTFLRKAMLDWASRNLLQNRFQYVF YFSVFSLNNITELSLAELISSTLPESSETVDDILSDPKRILFILDGFDYLKFDLELRTNL CNDWRKKLPIQIVLSSLLQKIMLPECSLLLELGNASLSNIIPLLQYPREIIMSGFSEQTI EIYCVSFFNTQTGVEIFKNLKSIKPLFNLCRCPHLCWMICSTIKWQYERREVASRFGRTL GLLYTIFMVSAFKSTYARNPSKQNRARIRTLCTLAVEGMWKQVYVFDSDDLRRNGISESD KKVWLRMKFLQNQGSNIVFYHSTLQWYFAVLFYFLQYKDTRHPVIGNLAQLLGEIYAHKQ NQWFHTRILLFGMATEQVNSLLEPCFGCISSKEVRQEIIRYIKSLSQQECNEKLVVHPQN LFFCILDNQEERFVRQLMDRFEEMTVDISDVDDMSATPYCLHRAPKVKNLHLHIQKRVFL EIHDPEYGDLELFKLDQKCSFTTNFGDGLLFCTFLHLPHLKYMNLYGTNLSNDAVERLCS ALKFSTCGVEELLLGKCDISSEACGIIAASLINSEVKHLSLVENPLKNKGVMSLCEMLKD PSCVLESLMLSYCCLTFIACGHLYEALLSNEHLSLLDLGSNFLEDTGVNLLCEALKDPNC TLKELWLDMCDFTSDCCEDLALVLTTCNTLKSLNLDWNAFDHSGLEMLCKALNHKACNLE VLGLDKSLFSEESQTLLQAVEKKNKNLKVLHFPWLKEELEKRGVRLKLLEVERAGIGFPG TVVTGNNELPGMKSLPPESTRSTGGTVTSLV >ENSMUSP00000071685.3 pep:known chromosome:GRCm38:7:26535065:26574144:1 gene:ENSMUSG00000054102.15 transcript:ENSMUST00000071780.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp9a description:NLR family, pyrin domain containing 9A [Source:MGI Symbol;Acc:MGI:2675292] MMDSSGYGLLQYLQKLSDEEFQRFKEHLRKEPEKFKLKPISWTKIKNTSKEDLVMQLYTH YPGKAWDMVLSLFLQVNREDLSTMAQTERRDKQTKYKEFMKNTFQHIWTMETNTYIPDRS YHEFIEVQYRALQDIFDCESEPVTVVVSGSRGGGKTTFLRKAMLDWASRNLLQNRFQYVF YFSVFSLNNITELSLAELISSTLPESSETVDDILSDPKRILFILDGFDYLKFDLELRTNL CNDWRKKLPIQIVLSSLLQKIMLPECSLLLELGNASLSNIIPLLQYPREIIMSGFSEQTI EIYCVSFFNTQTGVEIFKNLKSIKPLFNLCRCPHLCWMICSTIKWQYERREVASRFGRTL GLLYTIFMVSAFKSTYARNPSKQNRARIRTLCTLAVEGMWKQVYVFDSDDLRRNGISESD KKVWLRMKFLQNQGSNIVFYHSTLQWYFAVLFYFLQYKDTRHPVIGNLAQLLGEIYAHKQ NQWFHTRILLFGMATEQVNSLLEPCFGCISSKEVRQEIIRYIKSLSQQECNEKLVVHPQN LFFCILDNQEERFVRQLMDRFEEMTVDISDVDDMSATPYCLHRAPKVKNLHLHIQKRVFL EIHDPEYGDLELFKLDQKCSFTTNFGDGLLFCTFLHLPHLKYMNLYGTNLSNDAVERLCS ALKFSTCGVEELLLGKCDISSEACGIIAASLINSEVKHLSLVENPLKNKGVMSLCEMLKD PSCVLESLMLSYCCLTFIACGHLYEALLSNEHLSLLDLGSNFLEDTGVNLLCEALKDPNC TLKELWLPGCYLTSECCEEISAVLTCNTNLKTLKLGNNNIQDTGVKRLCEALCHPNCEMQ CLGLDMCDFTSDCCEDLALVLTTCNTLKSLNLDWNAFDHSGLEMLCKALNHKACNLEVLG LDKSLFSEESQTLLQAVEKKNKNLKVLHFPWLKEELEKRGVRLVWNSKN >ENSMUSP00000092746.3 pep:known chromosome:GRCm38:4:40270591:40279421:-1 gene:ENSMUSG00000071014.10 transcript:ENSMUST00000095128.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb6 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 6 [Source:MGI Symbol;Acc:MGI:2684983] MSGYTPDEKLRLQQLRELRRRWLKDQELSPREPVLPPRRMWPLERFWDNFLRDGAVWKNM VFKAYRSSLFAVSHVLIPMWFVHYYVKYHMATKPYTIVSSKPRIFPGDTILETGEVIPPM RDFPDQHH >ENSMUSP00000103743.2 pep:known chromosome:GRCm38:4:40270665:40279409:-1 gene:ENSMUSG00000071014.10 transcript:ENSMUST00000108108.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb6 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 6 [Source:MGI Symbol;Acc:MGI:2684983] MSGYTPDEKLRLQQLRELRRRWLKDQELSPREPVLPPRRMWPLERFWDNFLRDGAVWKNM TKPYTIVSSKPRIFPGDTILETGEVIPPMRDFPDQHH >ENSMUSP00000106316.2 pep:known chromosome:GRCm38:8:22974844:23149933:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000110688.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MAQAAKQLKKIKDIEAQALQEQKEKEESNRKRRNRSRDRKKKADAATSFLRAARSGNLDK ALDHLRNGVDINTCNQNGLNGLHLASKEGHVKMVVELLHKEIILETTTKKGNTALHIAAL AGQDEVVRELVNYGANVNAQSQKGFTPLYMAAQENHLEVVKFLLENGANQNVATEDGFTP LAVALQQGHENVVAHLINYGTKGKVRLPALHIAARNDDTRTAAVLLQNDPNPDVLSKTGF TPLHIAAHYENLNVAQLLLNRGASVNFTPQNGITPLHIASRRGNVIMVRLLLDRGAQIET RTKDELTPLHCAARNGHVRISEILLDHGAPIQAKTKNGLSPIHMAAQGDHLDCVRLLLQY NAEIDDITLDHLTPLHVAAHCGHHRVAKVLLDKGAKPNSRALNGFTPLHIACKKNHIRVM ELLLKTGASIDAVTESGLTPLHVASFMGHLPIVKNLLQRGASPNVSNVKVETPLHMAARA GHTEVAKYLLQNKAKANAKAKDDQTPLHCAARIGHTGMVKLLLENGASPNLATTAGHTPL HTAAREGHVDTALALLEKEASQACMTKKGFTPLHVAAKYGKVRLAELLLEHDAHPNAAGK NGLTPLHVAVHHNNLDIVKLLLPRGGSPHSPAWNGYTPLHIAAKQNQIEVARSLLQYGGS ANAESVQGVTPLHLAAQEGHTEMVALLLSKQANGNLGNKSGLTPLHLVSQEGHVPVADVL IKHGVTVDATTRMGYTPLHVASHYGNIKLVKFLLQHQADVNAKTKLGYSPLHQAAQQGHT DIVTLLLKNGASPNEVSSNGTTPLAIAKRLGYISVTDVLKVVTDETSVVLVSDKHRMSYP ETVDEILDVSEDEGDELVGSKAERRDSRDVGEEKELLDFVPKLDQVVESPAIPRIPCVTP ETVVIRSEDQEQASKEYDEDSLIPSSPATETSDNISPVASPVHTGFLVSFMVDARGGSMR GSRHNGLRVVIPPRTCAAPTRITCRLVKPQKLNTPPPLAEEEGLASRIIALGPTGAQFLS PVIVEIPHFASHGRGDRELVVLRSENGSVWKEHKSRYGESYLDQILNGMDEELGSLEELE KKRVCRIITTDFPLYFVIMSRLCQDYDTIGPEGGSLRSKLVPLVQATFPENAVTKKVKLA LQAQPVPDELVTKLLGNQATFSPIVTVEPRRRKFHRPIGLRIPLPPSWTDNPRDSGEGDT TSLRLLCSVIGGTDQAQWEDITGTTKLIYANECANFTTNVSARFWLSDCPRTAEAVHFAT LLYKELTAVPYMAKFVIFAKMNDAREGRLRCYCMTDDKVDKTLEQHENFVEVARSRDIEV LEGMPLFAELSGNLVPVKKAAQQRSFHFQSFRENRLAIPVKVRDSSREPGGFLSFLRKTM KYEDTQHILCHLNITMPPCTKGSGAEDRRRTLTPLTLRYSILSESRLGFTSDTDRVEMRM AVIREHLGLSWAELARELQFSVEDINRIRVENPNSLLDQSTALLTLWVDREGENAKMENL YTALRNIDRSEIVNMLEGSGRQSRNLKPERRHGDREYSLSPSQVNGQQRVHARITDSPSV RQVLDRSQARTLDWDKQGSTAVHPQEATQSSWQEEVTQGPHSFQRRITTIQGPEPGALQE YEQVLVSTREHVQRGPPETGSPKAGKEPSLWAPESAFSQEVQGDELQNIPGEQVTEEQFT DEQGNIVTKKIIRKVVRQVDSSGAIDTQQHEEVELRGSGLQPDLIEGRKGAQIVKRASLK RGKQ >ENSMUSP00000113571.2 pep:known chromosome:GRCm38:8:22974882:23150494:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000121802.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MAQAAKQLKKIKDIEAQALQEQKEKEESNRKRRNRSRDRKKKADAATSFLRAARSGNLDK ALDHLRNGVDINTCNQNGLNGLHLASKEGHVKMVVELLHKEIILETTTKKGNTALHIAAL AGQDEVVRELVNYGANVNAQSQKGFTPLYMAAQENHLEVVKFLLENGANQNVATEDGFTP LAVALQQGHENVVAHLINYGTKGKVRLPALHIAARNDDTRTAAVLLQNDPNPDVLSKTGF TPLHIAAHYENLNVAQLLLNRGASVNFTPQNGITPLHIASRRGNVIMVRLLLDRGAQIET RTKDELTPLHCAARNGHVRISEILLDHGAPIQAKTKNGLSPIHMAAQGDHLDCVRLLLQY NAEIDDITLDHLTPLHVAAHCGHHRVAKVLLDKGAKPNSRALNGFTPLHIACKKNHIRVM ELLLKTGASIDAVTESGLTPLHVASFMGHLPIVKNLLQRGASPNVSNVKVETPLHMAARA GHTEVAKYLLQNKAKANAKAKDDQTPLHCAARIGHTGMVKLLLENGASPNLATTAGHTPL HTAAREGHVDTALALLEKEASQACMTKKGFTPLHVAAKYGKVRLAELLLEHDAHPNAAGK NGLTPLHVAVHHNNLDIVKLLLPRGGSPHSPAWNGYTPLHIAAKQNQIEVARSLLQYGGS ANAESVQGVTPLHLAAQEGHTEMVALLLSKQANGNLGNKSGLTPLHLVSQEGHVPVADVL IKHGVTVDATTRMGYTPLHVASHYGNIKLVKFLLQHQADVNAKTKLGYSPLHQAAQQGHT DIVTLLLKNGASPNEVSSNGTTPLAIAKRLGYISVTDVLKVVTDETSVVLVSDKHRMSYP ETVDEILDVSEDEGTAHISIMGDELVGSKAERRDSRDVGEEKELLDFVPKLDQVVESPAI PRIPCVTPETVVIRSEDQEQASKEYDEDSLIPSSPATETSDNISPVASPVHTGFLVSFMV DARGGSMRGSRHNGLRVVIPPRTCAAPTRITCRLVKPQKLNTPPPLAEEEGLASRIIALG PTGAQFLSPVIVEIPHFASHGRGDRELVVLRSENGSVWKEHKSRYGESYLDQILNGMDEE LGSLEELEKKRVCRIITTDFPLYFVIMSRLCQDYDTIGPEGGSLRSKLVPLVQATFPENA VTKKVKLALQAQPVPDELVTKLLGNQATFSPIVTVEPRRRKFHRPIGLRIPLPPSWTDNP RDSGEGDTTSLRLLCSVIGGTDQAQWEDITGTTKLIYANECANFTTNVSARFWLSDCPRT AEAVHFATLLYKELTAVPYMAKFVIFAKMNDAREGRLRCYCMTDDKVDKTLEQHENFVEV ARSRDIEVLEGMPLFAELSGNLVPVKKAAQQRSFHFQSFRENRLAIPVKVRDSSREPGGF LSFLRKTMKYEDTQHILCHLNITMPPCTKGSGAEDRRRTLTPLTLRYSILSESRLGFTSD TDRVEMRMAVIREHLGLSWAELARELQFSVEDINRIRVENPNSLLDQSTALLTLWVDREG ENAKMENLYTALRNIDRSEIVNMLEGSGRQSRNLKPERRHGDREYSLSPSQVNGYSSLQD ELLSPASLQYALPSPLCADQYWNEVAVIDAIPLAATEHDTMLEMSDMQVWSAGLTPSLVT AEDSSLECSKAEDSDAIPEWKLEGAHSEDTQGPELGSQDLVEDDTVDSDATNGLADLLGQ EEGQRSEKKRQEVSGTEQDTETEVSLVSGQQRVHARITDSPSVRQVLDRSQARTLDWDKQ GSTAVHPQEATQSSWQEEVTQGPHSFQRRITTIQGPEPGALQEYEQVLVSTREHVQRGPP ETGSPKAGKEPSLWAPESAFSQEVQGDELQNIPGEQVTEEQFTDEQGNIVTKKIIRKVVR QVDSSGAIDTQQHEEVELRGSGLQPDLIEGRKGAQIVKRASLKRGKQ >ENSMUSP00000113495.2 pep:known chromosome:GRCm38:8:22975136:23148307:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000117270.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MAQAAKQLKKIKDIEAQALQEQKEKEESNRKRRNRSRDRKKKADAATSFLRAARSGNLDK ALDHLRNGVDINTCNQNGLNGLHLASKEGHVKMVVELLHKEIILETTTKKGNTALHIAAL AGQDEVVRELVNYGANVNAQSQKGFTPLYMAAQENHLEVVKFLLENGANQNVATEDGFTP LAVALQQGHENVVAHLINYGTKGKVRLPALHIAARNDDTRTAAVLLQNDPNPDVLSKTGF TPLHIAAHYENLNVAQLLLNRGASVNFTPQNGITPLHIASRRGNVIMVRLLLDRGAQIET RTKDELTPLHCAARNGHVRISEILLDHGAPIQAKTKNGLSPIHMAAQGDHLDCVRLLLQY NAEIDDITLDHLTPLHVAAHCGHHRVAKVLLDKGAKPNSRALNGFTPLHIACKKNHIRVM ELLLKTGASIDAVTESGLTPLHVASFMGHLPIVKNLLQRGASPNVSNVKVETPLHMAARA GHTEVAKYLLQNKAKANAKAKDDQTPLHCAARIGHTGMVKLLLENGASPNLATTAGHTPL HTAAREGHVDTALALLEKEASQACMTKKGFTPLHVAAKYGKVRLAELLLEHDAHPNAAGK NGLTPLHVAVHHNNLDIVKLLLPRGGSPHSPAWNGYTPLHIAAKQNQIEVARSLLQYGGS ANAESVQGVTPLHLAAQEGHTEMVALLLSKQANGNLGNKSGLTPLHLVSQEGHVPVADVL IKHGVTVDATTRMGYTPLHVASHYGNIKLVKFLLQHQADVNAKTKLGYSPLHQAAQQGHT DIVTLLLKNGASPNEVSSNGTTPLAIAKRLGYISVTDVLKVVTDETSVVLVSDKHRMSYP ETVDEILDVSEDEGTAHISIMGDELVGSKAERRDSRDVGEEKELLDFVPKLDQVVESPAI PRIPCVTPETVVIRSEDQEQASKEYDEDSLIPSSPATETSDNISPVASPVHTGFLVSFMV DARGGSMRGSRHNGLRVVIPPRTCAAPTRITCRLVKPQKLNTPPPLAEEEGLASRIIALG PTGAQFLSPVIVEIPHFASHGRGDRELVVLRSENGSVWKEHKSRYGESYLDQILNGMDEE LGSLEELEKKRVCRIITTDFPLYFVIMSRLCQDYDTIGPEGGSLRSKLVPLVQATFPENA VTKKVKLALQAQPVPDELVTKLLGNQATFSPIVTVEPRRRKFHRPIGLRIPLPPSWTDNP RDSGEGDTTSLRLLCSVIGGTDQAQWEDITGTTKLIYANECANFTTNVSARFWLSDCPRT AEAVHFATLLYKELTAVPYMAKFVIFAKMNDAREGRLRCYCMTDDKVDKTLEQHENFVEV ARSRDIEVLEGMPLFAELSGNLVPVKKAAQQRSFHFQSFRENRLAIPVKVRDSSREPGGF LSFLRKTMKYEDTQHILCHLNITMPPCTKGSGAEDRRRTLTPLTLRYSILSESRLGFTSD TDRVEMRMAVIREHLGLSWAELARELQFSVEDINRIRVENPNSLLDQSTALLTLWVDREG ENAKMENLYTALRNIDRSEIVNMLEGSGRQSRNLKPERRHGDREYSLSPSQVNGYSSLQD ELLSPASLQYALPSPLCADQYWNEVAVIDAIPLAATEHDTMLEMSDMQVWSAGLTPSLVT AEDSSLECSKAEDSDAIPEWKLEGAHSEDTQGPELGSQDLVEDDTVDSDATNGLADLLGQ EEGQRSEKKRQEVSGTEQDTETEVSLVSGQQRVHARITDSPSVRQVLDRSQARTLDWDKQ GSTAVHPQEATQSSWQEEVTQGPHSFQRRITTIQGPEPGALQEYEQVLVSTREHVQRGPP ETGSPKAGKEPSLWAPESAFSQEVQGDELQNIPGEQVTEEQFTDEQGNIVTKKIIRKVVR QVDSSGAIDTQQHEEDHTSTPKP >ENSMUSP00000113531.1 pep:known chromosome:GRCm38:8:23035099:23148790:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000117662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MGFCKADAATSFLRAARSGNLDKALDHLRNGVDINTCNQNGLNGLHLASKEGHVKMVVEL LHKEIILETTTKKGNTALHIAALAGQDEVVRELVNYGANVNAQSQKGFTPLYMAAQENHL EVVKFLLENGANQNVATEDGFTPLAVALQQGHENVVAHLINYGTKGKVRLPALHIAARND DTRTAAVLLQNDPNPDVLSKTGFTPLHIAAHYENLNVAQLLLNRGASVNFTPQNGITPLH IASRRGNVIMVRLLLDRGAQIETRTKDELTPLHCAARNGHVRISEILLDHGAPIQAKTKN GLSPIHMAAQGDHLDCVRLLLQYNAEIDDITLDHLTPLHVAAHCGHHRVAKVLLDKGAKP NSRALNGFTPLHIACKKNHIRVMELLLKTGASIDAVTESGLTPLHVASFMGHLPIVKNLL QRGASPNVSNVKVETPLHMAARAGHTEVAKYLLQNKAKANAKAKDDQTPLHCAARIGHTG MVKLLLENGASPNLATTAGHTPLHTAAREGHVDTALALLEKEASQACMTKKGFTPLHVAA KYGKVRLAELLLEHDAHPNAAGKNGLTPLHVAVHHNNLDIVKLLLPRGGSPHSPAWNGYT PLHIAAKQNQIEVARSLLQYGGSANAESVQGVTPLHLAAQEGHTEMVALLLSKQANGNLG NKSGLTPLHLVSQEGHVPVADVLIKHGVTVDATTRMGYTPLHVASHYGNIKLVKFLLQHQ ADVNAKTKLGYSPLHQAAQQGHTDIVTLLLKNGASPNEVSSNGTTPLAIAKRLGYISVTD VLKVVTDETSVVLVSDKHRMSYPETVDEILDVSEDEGDELVGSKAERRDSRDVGEEKELL DFVPKLDQVVESPAIPRIPCVTPETVVIRSEDQEQASKEYDEDSLIPSSPATETSDNISP VASPVHTGFLVSFMVDARGGSMRGSRHNGLRVVIPPRTCAAPTRITCRLVKPQKLNTPPP LAEEEGLASRIIALGPTGAQFLSPVIVEIPHFASHGRGDRELVVLRSENGSVWKEHKSRY GESYLDQILNGMDEELGSLEELEKKRVCRIITTDFPLYFVIMSRLCQDYDTIGPEGGSLR SKLVPLVQATFPENAVTKKVKLALQAQPVPDELVTKLLGNQATFSPIVTVEPRRRKFHRP IGLRIPLPPSWTDNPRDSGEGDTTSLRLLCSVIGGTDQAQWEDITGTTKLIYANECANFT TNVSARFWLSDCPRTAEAVHFATLLYKELTAVPYMAKFVIFAKMNDAREGRLRCYCMTDD KVDKTLEQHENFVEVARSRDIEVLEGMPLFAELSGNLVPVKKAAQQRSFHFQSFRENRLA IPVKVRDSSREPGGFLSFLRKTMKYEDTQHILCHLNITMPPCTKGSGAEDRRRTLTPLTL RYSILSESRLGFTSDTDRVEMRMAVIREHLGLSWAELARELQFSVEDINRIRVENPNSLL DQSTALLTLWVDREGENAKMENLYTALRNIDRSEIVNMLEGSGRQSRNLKPERRHGDREY SLSPSQVNGYSSLQDELLSPASLQYALPSPLCADQYWNEVAVIDAIPLAATEHDTMLEMS DMQVWSAGLTPSLVTAEDSSLECSKAEDSDAIPEWKLEGAHSEDTQGPELGSQDLVEDDT VDSDATNGLADLLGQEEGQRSEKKRQEVSGTEQDTETEVSLVSGQQRVHARITDSPSVRQ VLDRSQARTLDWDKQGSTAVHPQEATQSSWQEEVTQGPHSFQRRITTIQGPEPGALQEYE QVLVSTREHVQRGPPETGSPKAGKEPSLWAPESAFSQEVQGDELQNIPGEQVTEEQFTDE QGNIVTKKIIRKVVRQVDSSGAIDTQQHEEVELRGSGLQPDLIEGRKGAQIVKRASLKRG KQ >ENSMUSP00000113656.1 pep:known chromosome:GRCm38:8:23035128:23149281:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000117296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MGFCKADAATSFLRAARSGNLDKALDHLRNGVDINTCNQNGLNGLHLASKEGHVKMVVEL LHKEIILETTTKKGNTALHIAALAGQDEVVRELVNYGANVNAQSQKGFTPLYMAAQENHL EVVKFLLENGANQNVATEDGFTPLAVALQQGHENVVAHLINYGTKGKVRLPALHIAARND DTRTAAVLLQNDPNPDVLSKTGFTPLHIAAHYENLNVAQLLLNRGASVNFTPQNGITPLH IASRRGNVIMVRLLLDRGAQIETRTKDELTPLHCAARNGHVRISEILLDHGAPIQAKTKN GLSPIHMAAQGDHLDCVRLLLQYNAEIDDITLDHLTPLHVAAHCGHHRVAKVLLDKGAKP NSRALNGFTPLHIACKKNHIRVMELLLKTGASIDAVTESGLTPLHVASFMGHLPIVKNLL QRGASPNVSNVKVETPLHMAARAGHTEVAKYLLQNKAKANAKAKDDQTPLHCAARIGHTG MVKLLLENGASPNLATTAGHTPLHTAAREGHVDTALALLEKEASQACMTKKGFTPLHVAA KYGKVRLAELLLEHDAHPNAAGKNGLTPLHVAVHHNNLDIVKLLLPRGGSPHSPAWNGYT PLHIAAKQNQIEVARSLLQYGGSANAESVQGVTPLHLAAQEGHTEMVALLLSKQANGNLG NKSGLTPLHLVSQEGHVPVADVLIKHGVTVDATTRMGYTPLHVASHYGNIKLVKFLLQHQ ADVNAKTKLGYSPLHQAAQQGHTDIVTLLLKNGASPNEVSSNGTTPLAIAKRLGYISVTD VLKVVTDETSVVLVSDKHRMSYPETVDEILDVSEDEGDELVGSKAERRDSRDVGEEKELL DFVPKLDQVVESPAIPRIPCVTPETVVIRSEDQEQASKEYDEDSLIPSSPATETSDNISP VASPVHTGFLVSFMVDARGGSMRGSRHNGLRVVIPPRTCAAPTRITCRLVKPQKLNTPPP LAEEEGLASRIIALGPTGAQFLSPVIVEIPHFASHGRGDRELVVLRSENGSVWKEHKSRY GESYLDQILNGMDEELGSLEELEKKRVCRIITTDFPLYFVIMSRLCQDYDTIGPEGGSLR SKLVPLVQATFPENAVTKKVKLALQAQPVPDELVTKLLGNQATFSPIVTVEPRRRKFHRP IGLRIPLPPSWTDNPRDSGEGDTTSLRLLCSVIGGTDQAQWEDITGTTKLIYANECANFT TNVSARFWLSDCPRTAEAVHFATLLYKELTAVPYMAKFVIFAKMNDAREGRLRCYCMTDD KVDKTLEQHENFVEVARSRDIEVLEGMPLFAELSGNLVPVKKAAQQRSFHFQSFRENRLA IPVKVRDSSREPGGFLSFLRKTMKYEDTQHILCHLNITMPPCTKGSGAEDRRRTLTPLTL RYSILSESRLGFTSDTDRVEMRMAVIREHLGLSWAELARELQFSVEDINRIRVENPNSLL DQSTALLTLWVDREGENAKMENLYTALRNIDRSEIVNMLEGSGRQSRNLKPERRHGDREY SLSPSQVNGYSSLQDELLSPASLQYALPSPLCADQYWNEVAVIDAIPLAATEHDTMLEMS DMQVWSAGLTPSLVTAEDSSLECSKAEDSDAIPEWKLEGAHSEDTQGPELGSQDLVEDDT VDSDATNGLADLLGQEEGQRSEKKRQEVSGTEQDTETEVSLVSGQQRVHARITDSPSVRQ VLDRSQARTLDWDKQGSTAVHPQEATQSSWQEEVTQGPHSFQRRITTIQGPEPGALQEYE QVLVSTREHVQRGPPETGSPKAGKEPSLWAPESAFSQEVQGDELQNIPGEQVTEEQFTDE QGNIVTKKIIRKVVRQVDSSGAIDTQQHEEVIVEGPLADPGDLEADIESFMKLTKVELRG SGLQPDLIEGRKGAQIVKRASLKRGKQ >ENSMUSP00000117966.2 pep:known chromosome:GRCm38:8:23035231:23149281:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000141784.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MGFCKADAATSFLRAARSGNLDKALDHLRNGVDINTCNQNGLNGLHLASKEGHVKMVVEL LHKEIILETTTKKGNTALHIAALAGQDEVVRELVNYGANVNAQSQKGFTPLYMAAQENHL EVVKFLLENGANQNVATEDGFTPLAVALQQGHENVVAHLINYGTKGKVRLPALHIAARND DTRTAAVLLQNDPNPDVLSKTGFTPLHIAAHYENLNVAQLLLNRGASVNFTPQNGITPLH IASRRGNVIMVRLLLDRGAQIETRTKDELTPLHCAARNGHVRISEILLDHGAPIQAKTKN GLSPIHMAAQGDHLDCVRLLLQYNAEIDDITLDHLTPLHVAAHCGHHRVAKVLLDKGAKP NSRALNGFTPLHIACKKNHIRVMELLLKTGASIDAVTESGLTPLHVASFMGHLPIVKNLL QRGASPNVSNVKVETPLHMAARAGHTEVAKYLLQNKAKANAKAKDDQTPLHCAARIGHTG MVKLLLENGASPNLATTAGHTPLHTAAREGHVDTALALLEKEASQACMTKKGFTPLHVAA KYGKVRLAELLLEHDAHPNAAGKNGLTPLHVAVHHNNLDIVKLLLPRGGSPHSPAWNGYT PLHIAAKQNQIEVARSLLQYGGSANAESVQGVTPLHLAAQEGHTEMVALLLSKQANGNLG NKSGLTPLHLVSQEGHVPVADVLIKHGVTVDATTRMGYTPLHVASHYGNIKLVKFLLQHQ ADVNAKTKLGYSPLHQAAQQGHTDIVTLLLKNGASPNEVSSNGTTPLAIAKRLGYISVTD VLKVVTDETSVVLVSDKHRMSYPETVDEILDVSEDEGDELVGSKAERRDSRDVGEEKELL DFVPKLDQVVESPAIPRIPCVTPETVVIRSEDQEQASKEYDEDSLIPSSPATETSDNISP VASPVHTGFLVSFMVDARGGSMRGSRHNGLRVVIPPRTCAAPTRITCRLVKPQKLNTPPP LAEEEGLASRIIALGPTGAQFLSPVIVEIPHFASHGRGDRELVVLRSENGSVWKEHKSRY GESYLDQILNGMDEELGSLEELEKKRVCRIITTDFPLYFVIMSRLCQDYDTIGPEGGSLR SKLVPLVQATFPENAVTKKVKLALQAQPVPDELVTKLLGNQATFSPIVTVEPRRRKFHRP IGLRIPLPPSWTDNPRDSGEGDTTSLRLLCSVIGGTDQAQWEDITGTTKLIYANECANFT TNVSARFWLSDCPRTAEAVHFATLLYKELTAVPYMAKFVIFAKMNDAREGRLRCYCMTDD KVDKTLEQHENFVEVARSRDIEVLEGMPLFAELSGNLVPVKKAAQQRSFHFQSFRENRLA IPVKVRDSSREPGGFLSFLRKTMKYEDTQHILCHLNITMPPCTKGSGAEDRRRTLTPLTL RYSILSESRLGFTSDTDRVEMRMAVIREHLGLSWAELARELQFSVEDINRIRVENPNSLL DQSTALLTLWVDREGENAKMENLYTALRNIDRSEIVNMLEGSGRQSRNLKPERRHGDREY SLSPSQVNGYSSLQDELLSPASLQYALPSPLCADQYWNEVAVIDAIPLAATEHDTMLEMS DMQVWSAGLTPSLVTAEDSSLECSKAEDSDAIPEWKLEGAHSEDTQGPELGSQDLVEDDT VDSDATNGLADLLGQEEGQRSEKKRQEVSGTEQDTETEVSLVSGQQRVHARITDSPSVRQ VLDRSQARTLDWDKQGSTAVHPQEATQSSWQEEVTQGPHSFQRRITTIQGPEPGALQEYE QVLVSTREHVQRGPPETGSPKAGKEPSLWAPESAFSQEVQGDELQNIPGEQVTEEQFTDE QGNIVTKKIIRKVVRQVDSSGAIDTQQHEEVIVEGPLADPGDLEADIESFMKLTKDHTST PKP >ENSMUSP00000121785.1 pep:known chromosome:GRCm38:8:23058259:23150497:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000123418.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MAERPRRSGSDPAADAATSFLRAARSGNLDKALDHLRNGVDINTCNQNGLNGLHLASKEG HVKMVVELLHKEIILETTTKKGNTALHIAALAGQDEVVRELVNYGANVNAQSQKGFTPLY MAAQENHLEVVKFLLENGANQNVATEDGFTPLAVALQQGHENVVAHLINYGTKGKVRLPA LHIAARNDDTRTAAVLLQNDPNPDVLSKTGFTPLHIAAHYENLNVAQLLLNRGASVNFTP QNGITPLHIASRRGNVIMVRLLLDRGAQIETRTKDELTPLHCAARNGHVRISEILLDHGA PIQAKTKNGLSPIHMAAQGDHLDCVRLLLQYNAEIDDITLDHLTPLHVAAHCGHHRVAKV LLDKGAKPNSRALNGFTPLHIACKKNHIRVMELLLKTGASIDAVTESGLTPLHVASFMGH LPIVKNLLQRGASPNVSNVKVETPLHMAARAGHTEVAKYLLQNKAKANAKAKDDQTPLHC AARIGHTGMVKLLLENGASPNLATTAGHTPLHTAAREGHVDTALALLEKEASQACMTKDL PLCTWLLSTGRYGWRSCCWNTMHTPMQLGRTA >ENSMUSP00000081051.4 pep:known chromosome:GRCm38:8:23058275:23150497:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000084038.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MAERPRRSGSDPAADAATSFLRAARSGNLDKALDHLRNGVDINTCNQNGLNGLHLASKEG HVKMVVELLHKEIILETTTKKGNTALHIAALAGQDEVVRELVNYGANVNAQSQKGFTPLY MAAQENHLEVVKFLLENGANQNVATEDGFTPLAVALQQGHENVVAHLINYGTKGKVRLPA LHIAARNDDTRTAAVLLQNDPNPDVLSKTGFTPLHIAAHYENLNVAQLLLNRGASVNFTP QNGITPLHIASRRGNVIMVRLLLDRGAQIETRTKDELTPLHCAARNGHVRISEILLDHGA PIQAKTKNGLSPIHMAAQGDHLDCVRLLLQYNAEIDDITLDHLTPLHVAAHCGHHRVAKV LLDKGAKPNSRALNGFTPLHIACKKNHIRVMELLLKTGASIDAVTESGLTPLHVASFMGH LPIVKNLLQRGASPNVSNVKVETPLHMAARAGHTEVAKYLLQNKAKANAKAKDDQTPLHC AARIGHTGMVKLLLENGASPNLATTAGHTPLHTAAREGHVDTALALLEKEASQACMTKKG FTPLHVAAKYGKVRLAELLLEHDAHPNAAGKNGLTPLHVAVHHNNLDIVKLLLPRGGSPH SPAWNGYTPLHIAAKQNQIEVARSLLQYGGSANAESVQGVTPLHLAAQEGHTEMVALLLS KQANGNLGNKSGLTPLHLVSQEGHVPVADVLIKHGVTVDATTRMGYTPLHVASHYGNIKL VKFLLQHQADVNAKTKLGYSPLHQAAQQGHTDIVTLLLKNGASPNEVSSNGTTPLAIAKR LGYISVTDVLKVVTDETSVVLVSDKHRMSYPETVDEILDVSEDEGTAHISIMGDELVGSK AERRDSRDVGEEKELLDFVPKLDQVVESPAIPRIPCVTPETVVIRSEDQEQASKEYDEDS LIPSSPATETSDNISPVASPVHTGFLVSFMVDARGGSMRGSRHNGLRVVIPPRTCAAPTR ITCRLVKPQKLNTPPPLAEEEGLASRIIALGPTGAQFLSPVIVEIPHFASHGRGDRELVV LRSENGSVWKEHKSRYGESYLDQILNGMDEELGSLEELEKKRVCRIITTDFPLYFVIMSR LCQDYDTIGPEGGSLRSKLVPLVQATFPENAVTKKVKLALQAQPVPDELVTKLLGNQATF SPIVTVEPRRRKFHRPIGLRIPLPPSWTDNPRDSGEGDTTSLRLLCSVIGGTDQAQWEDI TGTTKLIYANECANFTTNVSARFWLSDCPRTAEAVHFATLLYKELTAVPYMAKFVIFAKM NDAREGRLRCYCMTDDKVDKTLEQHENFVEVARSRDIEVLEGMPLFAELSGNLVPVKKAA QQRSFHFQSFRENRLAIPVKVRDSSREPGGFLSFLRKTMKYEDTQHILCHLNITMPPCTK GSGAEDRRRTLTPLTLRYSILSESRLGFTSDTDRVEMRMAVIREHLGLSWAELARELQFS VEDINRIRVENPNSLLDQSTALLTLWVDREGENAKMENLYTALRNIDRSEIVNMLEGSGR QSRNLKPERRHGDREYSLSPSQVNGYSSLQDELLSPASLQYALPSPLCADQYWNEVAVID AIPLAATEHDTMLEMSDMQVWSAGLTPSLVTAEDSSLECSKAEDSDAIPEWKLEGAHSED TQGPELGSQDLVEDDTVDSDATNGLADLLGQQRVHARITDSPSVRQVLDRSQARTLDWDK QGSTAVHPQEATQSSWQEEVTQGPHSFQRRITTIQGPEPGALQEYEQVLVSTREHVQRGP PETGSPKAGKEPSLWAPESAFSQEVQGDELQNIPGEQVTEEQFTDEQGNIVTKKIIRKVV RQVDSSGAIDTQQHEEVELRGSGLQPDLIEGRKGAQIVKRASLKRGKQ >ENSMUSP00000112850.1 pep:known chromosome:GRCm38:8:23058311:23150497:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000118733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MAERPRRSGSDPAADAATSFLRAARSGNLDKALDHLRNGVDINTCNQNGLNGLHLASKEG HVKMVVELLHKEIILETTTKKGNTALHIAALAGQDEVVRELVNYGANVNAQSQKGFTPLY MAAQENHLEVVKFLLENGANQNVATEDGFTPLAVALQQGHENVVAHLINYGTKGKVRLPA LHIAARNDDTRTAAVLLQNDPNPDVLSKTGFTPLHIAAHYENLNVAQLLLNRGASVNFTP QNGITPLHIASRRGNVIMVRLLLDRGAQIETRTKDELTPLHCAARNGHVRISEILLDHGA PIQAKTKNGLSPIHMAAQGDHLDCVRLLLQYNAEIDDITLDHLTPLHVAAHCGHHRVAKV LLDKGAKPNSRALNGFTPLHIACKKNHIRVMELLLKTGASIDAVTESGLTPLHVASFMGH LPIVKNLLQRGASPNVSNVKVETPLHMAARAGHTEVAKYLLQNKAKANAKAKDDQTPLHC AARIGHTGMVKLLLENGASPNLATTAGHTPLHTAAREGHVDTALALLEKEASQACMTKKG FTPLHVAAKYGKVRLAELLLEHDAHPNAAGKNGLTPLHVAVHHNNLDIVKLLLPRGGSPH SPAWNGYTPLHIAAKQNQIEVARSLLQYGGSANAESVQGVTPLHLAAQEGHTEMVALLLS KQANGNLGNKSGLTPLHLVSQEGHVPVADVLIKHGVTVDATTRMGYTPLHVASHYGNIKL VKFLLQHQADVNAKTKLGYSPLHQAAQQGHTDIVTLLLKNGASPNEVSSNGTTPLAIAKR LGYISVTDVLKVVTDETSVVLVSDKHRMSYPETVDEILDVSEDEGTAHISIMGDELVGSK AERRDSRDVGEEKELLDFVPKLDQVVESPAIPRIPCVTPETVVIRSEDQEQASKEYDEDS LIPSSPATETSDNISPVASPVHTGFLVSFMVDARGGSMRGSRHNGLRVVIPPRTCAAPTR ITCRLVKPQKLNTPPPLAEEEGLASRIIALGPTGAQFLSPVIVEIPHFASHGRGDRELVV LRSENGSVWKEHKSRYGESYLDQILNGMDEELGSLEELEKKRVCRIITTDFPLYFVIMSR LCQDYDTIGPEGGSLRSKLVPLVQATFPENAVTKKVKLALQAQPVPDELVTKLLGNQATF SPIVTVEPRRRKFHRPIGLRIPLPPSWTDNPRDSGEGDTTSLRLLCSVIGGTDQAQWEDI TGTTKLIYANECANFTTNVSARFWLSDCPRTAEAVHFATLLYKELTAVPYMAKFVIFAKM NDAREGRLRCYCMTDDKVDKTLEQHENFVEVARSRDIEVLEGMPLFAELSGNLVPVKKAA QQRSFHFQSFRENRLAIPVKVRDSSREPGGFLSFLRKTMKYEDTQHILCHLNITMPPCTK GSGAEDRRRTLTPLTLRYSILSESRLGFTSDTDRVEMRMAVIREHLGLSWAELARELQFS VEDINRIRVENPNSLLDQSTALLTLWVDREGENAKMENLYTALRNIDRSEIVNMLEGSGR QSRNLKPERRHGDREYSLSPSQVNGYSSLQDELLSPASLQYALPSPLCADQYWNEVAVID AIPLAATEHDTMLEMSDMQVWSAGLTPSLVTAEDSSLECSKAEDSDAIPEWKLEGAHSED TQGPELGSQDLVEDDTVDSDATNGLADLLGQEEGQRSEKKRQEVSGTEQDTETEVSLVSG QQRVHARITDSPSVRQVLDRSQARTLDWDKQGSTAVHPQEATQSSWQEEVTQGPHSFQRR ITTIQGPEPGALQEYEQVLVSTREHVQRGPPETGSPKAGKEPSLWAPESAFSQEVQGDEL QNIPGEQVTEEQFTDEQGNIVTKKIIRKVVRQVDSSGAIDTQQHEEVELRGSGLQPDLIE GRKGAQIVKRASLKRGKQ >ENSMUSP00000133322.1 pep:known chromosome:GRCm38:8:23058525:23149688:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000173248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MAERPRRSGSDPAADAATSFLRAARSGNLDKALDHLRNGVDINTCNQNGLNGLHLASKEG HVKMVVELLHKEIILETTTKKGNTALHIAALAGQDEVVRELVNYGANVNAQSQKGFTPLY MAAQENHLEVVKFLLENGANQNVATEDGFTPLAVALQQGHENVVAHLINYGTKGKVRLPA LHIAARNDDTRTAAVLLQNDPNPDVLSKTGFTPLHIAAHYENLNVAQLLLNRGASVNFTP QNGITPLHIASRRGNVIMVRLLLDRGAQIETRTKDELTPLHCAARNGHVRISEILLDHGA PIQAKTKNGLSPIHMAAQGDHLDCVRLLLQYNAEIDDITLDHLTPLHVAAHCGHHRVAKV LLDKGAKPNSRALNGFTPLHIACKKNHIRVMELLLKTGASIDAVTESGLTPLHVASFMGH LPIVKNLLQRGASPNVSNVKVETPLHMAARAGHTEVAKYLLQNKAKANAKAKDDQTPLHC AARIGHTGMVKLLLENGASPNLATTAGHTPLHTAAREGHVDTALALLEKEASQACMTKKG FTPLHVAAKYGKVRLAELLLEHDAHPNAAGKNGLTPLHVAVHHNNLDIVKLLLPRGGSPH SPAWNGYTPLHIAAKQNQIEVARSLLQYGGSANAESVQGVTPLHLAAQEGHTEMVALLLS KQANGNLGNKSGLTPLHLVSQEGHVPVADVLIKHGVTVDATTRMGYTPLHVASHYGNIKL VKFLLQHQADVNAKTKLGYSPLHQAAQQGHTDIVTLLLKNGASPNEVSSNGTTPLAIAKR LGYISVTDVLKVVTDETSVVLVSDKHRMSYPETVDEILDVSEDEGTAHISIMGDELVGSK AERRDSRDVGEEKELLDFVPKLDQVVESPAIPRIPCVTPETVVIRSEDQEQASKEYDEDS LIPSSPATETSDNISPVASPVHTGFLVSFMVDARGGSMRGSRHNGLRVVIPPRTCAAPTR ITCRLVKPQKLNTPPPLAEEEGLASRIIALGPTGAQFLSPVIVEIPHFASHGRGDRELVV LRSENGSVWKEHKSRYGESYLDQILNGMDEELGSLEELEKKRVCRIITTDFPLYFVIMSR LCQDYDTIGPEGGSLRSKLVPLVQATFPENAVTKKVKLALQAQPVPDELVTKLLGNQATF SPIVTVEPRRRKFHRPIGLRIPLPPSWTDNPRDSGEGDTTSLRLLCSVIGGTDQAQWEDI TGTTKLIYANECANFTTNVSARFWLSDCPRTAEAVHFATLLYKELTAVPYMAKFVIFAKM NDAREGRLRCYCMTDDKVDKTLEQHENFVEVARSRDIEVLEGMPLFAELSGNLVPVKKAA QQRSFHFQSFRENRLAIPVKVRDSSREPGGFLSFLRKTMKYEDTQHILCHLNITMPPCTK GSGAEDRRRTLTPLTLRYSILSESRLGFTSDTDRVEMRMAVIREHLGLSWAELARELQFS VEDINRIRVENPNSLLDQSTALLTLWVDREGENAKMENLYTALRNIDRSEIVNMLEGSGR QSRNLKPERRHGDREYSLSPSQVNGYSSLQDELLSPASLQYALPSPLCADQYWNEVAVID AIPLAATEHDTMLEMSDMQVWSAGLTPSLVTAEDSSLECSKAEDSDAIPEWKLEGAHSED TQGPELGSQDLVEDDTVDSDATNGLADLLGQEEGQQRVHARITDSPSVRQVLDRSQARTL DWDKQGSTAVHPQEATQSSWQEEVTQGPHSFQRRITTIQGPEPGALQEYEQVLVSTREHV QRGPPETGSPKAGKEPSLWAPESAFSQEVQGDELQNIPGEQVTEEQFTDEQGNIVTKKII RKVVRQVDSSGAIDTQQHEEVELRGSGLQPDLIEGRKGAQIVKRASLKRGKQ >ENSMUSP00000133901.1 pep:known chromosome:GRCm38:8:23058525:23149688:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000173573.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MAERPRRSGSDPAADAATSFLRAARSGNLDKALDHLRNGVDINTCNQNGLNGLHLASKEG HVKMVVELLHKEIILETTTKKGNTALHIAALAGQDEVVRELVNYGANVNAQSQKGFTPLY MAAQENHLEVVKFLLENGANQNVATEDGFTPLAVALQQGHENVVAHLINYGTKGKVRLPA LHIAARNDDTRTAAVLLQNDPNPDVLSKTGFTPLHIAAHYENLNVAQLLLNRGASVNFTP QNGITPLHIASRRGNVIMVRLLLDRGAQIETRTKDELTPLHCAARNGHVRISEILLDHGA PIQAKTKNGLSPIHMAAQGDHLDCVRLLLQYNAEIDDITLDHLTPLHVAAHCGHHRVAKV LLDKGAKPNSRALNGFTPLHIACKKNHIRVMELLLKTGASIDAVTESGLTPLHVASFMGH LPIVKNLLQRGASPNVSNVKVETPLHMAARAGHTEVAKYLLQNKAKANAKAKDDQTPLHC AARIGHTGMVKLLLENGASPNLATTAGHTPLHTAAREGHVDTALALLEKEASQACMTKKG FTPLHVAAKYGKVRLAELLLEHDAHPNAAGKNGLTPLHVAVHHNNLDIVKLLLPRGGSPH SPAWNGYTPLHIAAKQNQIEVARSLLQYGGSANAESVQGVTPLHLAAQEGHTEMVALLLS KQANGNLGNKSGLTPLHLVSQEGHVPVADVLIKHGVTVDATTRMGYTPLHVASHYGNIKL VKFLLQHQADVNAKTKLGYSPLHQAAQQGHTDIVTLLLKNGASPNEVSSNGTTPLAIAKR LGYISVTDVLKVVTDETSVVLVSDKHRMSYPETVDEILDVSEDEGTAHISIMGDELVGSK AERRDSRDVGEEKELLDFVPKLDQVVESPAIPRIPCVTPETVVIRSEDQEQASKEYDEDS LIPSSPATETSDNISPVASPVHTGFLVSFMVDARGGSMRGSRHNGLRVVIPPRTCAAPTR ITCRLVKPQKLNTPPPLAEEEGLASRIIALGPTGAQFLSPVIVEIPHFASHGRGDRELVV LRSENGSVWKEHKSRYGESYLDQILNGMDEGLRHHRS >ENSMUSP00000116533.1 pep:known chromosome:GRCm38:8:23096101:23123638:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000152511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] GLTPLHVASFMGHLPIVKNLLQRGASPNVSNVKVETPLHMAARAGHTEVAKYLLQNKAKA NAKAKDDQTPLHCAARIGHTGMVKLLLENGASPNLATTAGHTPLHTAAREGHVDTALALL EKEASQACMTKKGFTPLHVAAKYGKVRLAELLLEHDAHPNAAGKNGLTPLHVAVHHNNLD IVKLLLPRGGSPHSPAWNGYTPLHIAAKQNQIEVARSLLQYGGSANAESVQGVTPLHLAA QEGHTEMVALLLSKQANGNLGNKSGLTPLHLVSQEGHVPVADVLIKHGVTVDATTRMGYT PLHVASHYGNIKLVKFLLQHQADVNAKTKLGYSPLHQAAQQGHTDIVTLLLKNGASPNEV SSNGTTPLAIAKRLGYISVTDVLKVVTDETSVVLVSDKHRMSYPETVDEILDVSEDEGDE LVGSKAERRDSRDVGEEKELLDFVPKLDQVVESPAIPRIPCVTPETVVIRSEDQEQASKE YDEDSLIPSSPATETSDNISPVASPVHTGFLVSFMVDARGGSMRGSRHNGLRVVIPPRTC AAPTRITCRLVKPQKLNTPPPLAEEEGLASRIIALGPTGAQFLSPVIVEIPHFASHGRGD RELVVLRSENGSVWKEHKSRYGESYLDQILNGMDEELGSLEELEKKRVCRIITTDFPLYF VIMSRLCQDYDTIGPEGGSLRSKLVPLVQATFPENAVTKKVKLALQAQPVPDELVTKLLG NQATFSPIVTVEPRRRKFHRPIGLRIPLPPSWTDNPRDSGEGDTTSLRLLCSVIGGTDQA QWEDITGTTKLIYANECANFTTNVSARFWLSDCPRTAEAVHFATLLYKELTAVPYMAKFV IFAKMNDAREGRLRCYCMTDDKVDKTLEQHENFVEVARSRDIEVLEGMPLFAELSGNLVP VKKAAQQRSFHFQSFRENRLAIPVKVRDSSREPGGFLSFLRKTMKYEDTQHILCHLNITM PPCTKGSGAEDRRRTLTPLTLRYSILSESRLGFTSDTDRVEMRMAVIREHLGLSWAELAR ELQFSVEDINRIRVENPNSLLDQSTALLTLWVDREGENAKTSPQKPALYRSWTLPTASYI LDQDFKRTAFYLAFLLLL >ENSMUSP00000112966.1 pep:known chromosome:GRCm38:8:23139030:23149279:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000121075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MWTFITQLLVTLVLLGFFLVSCQNVMHIVKGSLCFVLKHIHQELDKELGESEGLSDDEET ISTRVVRRRVFLKGDELQNIPGEQVTEEQFTDEQGNIVTKKIIRKVVRQVDSSGAIDTQQ HEEVELRGSGLQPDLIEGRKGAQIVKRASLKRGKQ >ENSMUSP00000033947.8 pep:known chromosome:GRCm38:8:23139105:23148694:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000033947.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MWTFITQLLVTLVLLGFFLVSCQNVMHIVKGSLCFVLKHIHQELDKELGESEGLSDDEET ISTRVVRRRVFLKGDELQNIPGEQVTEEQFTDEQGNIVTKKIIRKVVRQVDSSGAIDTQQ HEEVIVEGPLADPGDLEADIESFMKLTKDHTSTPKP >ENSMUSP00000133668.1 pep:known chromosome:GRCm38:8:23139277:23148305:1 gene:ENSMUSG00000031543.18 transcript:ENSMUST00000174435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank1 description:ankyrin 1, erythroid [Source:MGI Symbol;Acc:MGI:88024] MWTFITQLLVTLVLLGFFLVSCQNVMHIVKGSLCFVLKHIHQELDKELGESEGLSDDEET ISTRVVRRRVFLKGDELQNIPGEQVTEEQFTDEQGNIVTKKDHTSTPKP >ENSMUSP00000095973.2 pep:known chromosome:GRCm38:7:74275419:74554633:-1 gene:ENSMUSG00000025790.14 transcript:ENSMUST00000098371.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco3a1 description:solute carrier organic anion transporter family, member 3a1 [Source:MGI Symbol;Acc:MGI:1351867] MQGKKPGGSSGGGRSGELQGDEAQRNKKKKKKVSCFSNIKIFLVSECALMLAQGTVGAYL VSVLTTLERRFNLQSADVGVIASSFEIGNLALILFVSYFGARGHRPRLIGCGGIVMALGA LLSALPEFLTHQYKYEAGEIRWGAEGRDVCATNGSSSDEGPDPDLICRNRTATNMMYLLL IGAQVLLGIGATPVQPLGVSYIDDHVRRKDSSLYIGILFTMLVFGPACGFILGSFCTKIY VDAVFIDTSNLDITPDDPRWIGAWWGGFLLCGALLFFSSLLMFGFPQSLPPHSDPGMESE QAMLPEREYERPKPSNGVLRHPLEPDSSASCFQQLRVIPKVTKHLLSNPVFTCIVLAACM EIAVVAGFAAFLGKYLEQQFNLTTSSANQLLGMTAIPCACLGIFLGGLLVKKLSLSALGA IRMAMLVNLVSTACYVSFLFLGCDTGPVAGVTVRYGNNSARGSALDPYSPCNNNCECQTD SFTPVCGADGITYLSACFAGCNSTNLTGCACLTTVPPENASVVPGKCPSPGCQEAFLTFL CVMCVCSLIGAMAQTPSVIILIRTVSPELKSYALGVLFLLLRLLGFIPPPLIFGAGIDST CLFWSTFCGEQGACVLYDNVVYRYLYVSIAIALKSFAFILYTTTWQCLRKNYKRYIKNHE GGLSTSTEYQDIETEKTCPESQSPSEDSFVRS >ENSMUSP00000026897.7 pep:known chromosome:GRCm38:7:74281858:74554614:-1 gene:ENSMUSG00000025790.14 transcript:ENSMUST00000026897.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco3a1 description:solute carrier organic anion transporter family, member 3a1 [Source:MGI Symbol;Acc:MGI:1351867] MQGKKPGGSSGGGRSGELQGDEAQRNKKKKKKVSCFSNIKIFLVSECALMLAQGTVGAYL VSVLTTLERRFNLQSADVGVIASSFEIGNLALILFVSYFGARGHRPRLIGCGGIVMALGA LLSALPEFLTHQYKYEAGEIRWGAEGRDVCATNGSSSDEGPDPDLICRNRTATNMMYLLL IGAQVLLGIGATPVQPLGVSYIDDHVRRKDSSLYIGILFTMLVFGPACGFILGSFCTKIY VDAVFIDTSNLDITPDDPRWIGAWWGGFLLCGALLFFSSLLMFGFPQSLPPHSDPGMESE QAMLPEREYERPKPSNGVLRHPLEPDSSASCFQQLRVIPKVTKHLLSNPVFTCIVLAACM EIAVVAGFAAFLGKYLEQQFNLTTSSANQLLGMTAIPCACLGIFLGGLLVKKLSLSALGA IRMAMLVNLVSTACYVSFLFLGCDTGPVAGVTVRYGNNSARGSALDPYSPCNNNCECQTD SFTPVCGADGITYLSACFAGCNSTNLTGCACLTTVPPENASVVPGKCPSPGCQEAFLTFL CVMCVCSLIGAMAQTPSVIILIRTVSPELKSYALGVLFLLLRLLGFIPPPLIFGAGIDST CLFWSTFCGEQGACVLYDNVVYRYLYVSIAIALKSFAFILYTTTWQCLRKNYKRYIKNHE GGLSTSEFFASTLTLDNLGRDPVPAHQTHRTKFIYNLEDHEWCENMESVL >ENSMUSP00000103077.1 pep:known chromosome:GRCm38:7:74295371:74554780:-1 gene:ENSMUSG00000025790.14 transcript:ENSMUST00000107453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco3a1 description:solute carrier organic anion transporter family, member 3a1 [Source:MGI Symbol;Acc:MGI:1351867] MQGKKPGGSSGGGRSGELQGDEAQRNKKKKKKVSCFSNIKIFLVSECALMLAQGTVGAYL VSVLTTLERRFNLQSADVGVIASSFEIGNLALILFVSYFGARGHRPRLIGCGGIVMALGA LLSALPEFLTHQYKYEAGEIRWGAEGRDVCATNGSSSDEGPDPDLICRNRTATNMMYLLL IGAQVLLGIGATPVQPLGVSYIDDHVRRKDSSLYIGILFTMLVFGPACGFILGSFCTKIY VDAVFIDTSNLDITPDDPRWIGAWWGGFLLCGALLFFSSLLMFGFPQSLPPHSDPGMESE QAMLPEREYERPKPSNGVLRHPLEPDSSASCFQQLRVIPKVTKHLLSNPVFTCIVLAACM EIAVVAGFAAFLGKYLEQQFNLTTSSANQLLGMTAIPCACLGIFLGGLLVKKLSLSALGA IRMAMLVNLVSTACYVSFLFLGCDTGPVAGVTVRYGNNSARGSALDPYSPCNNNCECQTD SFTPVCGADGITYLSACFAGCNSTNLTGCACLTTVPPENASVVPGKCPSPGCQEAFLTFL CVMCVCSLIGAMAQTPSVIILIRTVSPELKSYALGVLFLLLRLLGTYCLFSPSIMSWMCI TR >ENSMUSP00000116946.1 pep:known chromosome:GRCm38:7:74346786:74554474:-1 gene:ENSMUSG00000025790.14 transcript:ENSMUST00000134539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco3a1 description:solute carrier organic anion transporter family, member 3a1 [Source:MGI Symbol;Acc:MGI:1351867] XKIFLVSECALMLAQGTVGAYLVSVLTTLERRFNLQSADVGVIASSFEIGNLALILFVSY FGARGHRPRLIGCGGIVMALGALLSALPEFLTHQYKYEAGEIRWGAEGRDVCATNGSSSD EGPDPDLICRNRTATNMMYLLLIGAQVLLGIGATPVQPLGVSYIDDHVRRKDSSLYIGNL DITPDDPRWIGAWWGGFLLCGALLFFSSLLMFGFPQSLP >ENSMUSP00000115279.1 pep:known chromosome:GRCm38:7:74504239:74552594:-1 gene:ENSMUSG00000025790.14 transcript:ENSMUST00000138099.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco3a1 description:solute carrier organic anion transporter family, member 3a1 [Source:MGI Symbol;Acc:MGI:1351867] MVAEVDWPNQLATGFDLLGLFRGFPSSVLWQVSVLTTLERRFNLQSADVGVIASSFEIGN LALILFVSYFGARGHRPRLIGCGGIVMALGALLSALPEFLTHQYKYEAGEIRWGAEGRDV CATNGSSSDEGPDPDLICRNRTATNMMYLLLIGAQVLLGIGATPV >ENSMUSP00000039688.3 pep:known chromosome:GRCm38:9:21273457:21287969:-1 gene:ENSMUSG00000035047.9 transcript:ENSMUST00000038671.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kri1 description:KRI1 homolog [Source:MGI Symbol;Acc:MGI:2384899] MPEPRASGCLKVNAAFAARYSRYREREELQRLKDRYGDPDVGGDSSSESDSSDEHVEFDP QQERDFYRTLSLLKKKDPRIYQKDATFYQRTAEASSSESEEEPPAALGKQEKQQKQQPMY LKDYERKVILEKGGKYVDEDNSDGETVDHRLQETSTKSYVEEQKQLKESFRAFVEDSSDE DSAGEGGSGLLQKHTKSREEKAQEEVDYLEWLKGQKDMDSSESLKELTHLKEYWNNPGLD KGEQFLRDYILNKRYEEEEEEEEEEEEEEGAPGPPVQLAVDDSSDEGELFLKKQEDFEHK YNFRFEEPDSASVKTYPRSIASSVRRKDERRKEKREETRERKKREKARKQEELKQLKNLK RKEILAKLEKLRQATGNETLGLEEQDLEADFDPAQHDQLMQKCFGDAFYGTAEEEKPQFE EEDGLEDDWNWDMWGGPEQDGAWSQQELHCEDPDFNMDADYDPSQPRKKLREAPTSGKRK RKSPFAAAVGQEKPMFDPGDKTFEEYLDEYYRLDYEDIIDDLPCRFKYRTVVPCDFGLST EEILSADDKELNRWCSLKKTCMYRSEQEEMQEQRVYSQKAQNMWKKRQIFKSLCQEEMEM STEATGKSQSKASPQVQLFTPNGADQKTPQAETLVSKEEGLAHTSCPEKPASQKQKSKKA RLLGPTVTLGGHKFSRQRLQAFGLNPKRLHFRQLGRQRKKQQSHS >ENSMUSP00000139184.1 pep:known chromosome:GRCm38:9:21273636:21287953:-1 gene:ENSMUSG00000035047.9 transcript:ENSMUST00000184326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kri1 description:KRI1 homolog [Source:MGI Symbol;Acc:MGI:2384899] MYLKDYERKVILEKGGKYVDEDNSDGETVDHRLQETSTKSYVEEQKQLKESFRAFVEDSS DEDSAGEGGSGLLQKHTKSREEKAQEEVDYLEWLKGQKDMDSSESLKELTHLKEYWNNPG LDKGEQFLRDYILNKRYEEEEEEEEEEEEEEGAPGPPVQLAVDDSSDEGELFLKKQEDFE HKYNFRFEEPDSASVKTYPRSIASSVRRKDERRKEKREETRERKKREKARKQEELKQLKN LKRKEILAKLEKLRQATGNETLGLEEQDLEADFDPAQHDQLMQKCFGDAFYGTAEEEKPQ FEEEDGLEDDWNWDMWGGPEQDGAWSQQELHCEDPDFNMDADYDPSQPRKKLREAPTSGK RKRKSPFAAAVGQEKPMFDPGDKTFEEYLDEYYRLDYEDIIDDLPCRFKYRTVVPCDFGL STEEILSADDKELNRWCSLKKTCMYRSEQEEMQEQRVYSQKAQNMWKKRQIFKSLCQEEM EMSTEATGKSQSKASPQVQLFTPNGADQKTPQAETLVSKEEGLAHTSCPEKPASQKQKSK KARLLGPTVTLGGHKFSRQRLQAFGLNPKRLHFRQLGRQRKKQQSHS >ENSMUSP00000074947.2 pep:known chromosome:GRCm38:8:19052826:19064810:-1 gene:ENSMUSG00000061847.2 transcript:ENSMUST00000075504.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb39 description:defensin beta 39 [Source:MGI Symbol;Acc:MGI:2672974] MKISYFLLLILSLGSSQINPVSGDDSIQCFQKNNTCHTNQCPYFQDEIGTCYDRRGKCCQ KRLLHIRVPRKKKV >ENSMUSP00000078636.3 pep:known chromosome:GRCm38:4:60066470:60070411:-1 gene:ENSMUSG00000073842.10 transcript:ENSMUST00000079697.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup7 description:major urinary protein 7 [Source:MGI Symbol;Acc:MGI:3709615] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKVHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEKHGILRENIIDLSNANRCLQARE >ENSMUSP00000115582.1 pep:known chromosome:GRCm38:4:60067721:60070411:-1 gene:ENSMUSG00000073842.10 transcript:ENSMUST00000125282.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup7 description:major urinary protein 7 [Source:MGI Symbol;Acc:MGI:3709615] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKVHTVDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLMA HLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEKHGILRENIIDLSNA >ENSMUSP00000130795.1 pep:known chromosome:GRCm38:4:60066469:60070475:-1 gene:ENSMUSG00000073842.10 transcript:ENSMUST00000166098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup7 description:major urinary protein 7 [Source:MGI Symbol;Acc:MGI:3709615] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKVHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEKHGILRENIIDLSNAMDLVPEHV LVLTLQIAASRPENEEWPEPPVLSGDFSPGLHHHPFLSIQHPQYKFCDLHSILSH >ENSMUSP00000001724.5 pep:known chromosome:GRCm38:1:121553835:121567989:-1 gene:ENSMUSG00000001674.11 transcript:ENSMUST00000001724.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx18 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 18 [Source:MGI Symbol;Acc:MGI:1914192] MSQLQMKLLRRKIEKRNAKLRQRNLKLQETSDTSLSQPQNGDVPKETGKGGKVKKALKRS VPVDSAEAQSGGMPEETLENGKVKKSPQKLTTLANGEAAPTPPPDSEVKKKKKKKRKMAN DAGPDTKKAKTEESAEACEEPEDDVKKADDSEVPSLPLGLTGAFEDTSFASLSNLVNENT LKAIEEMGFKRMTEIQHKSIRPLLEGRDLLAAAKTGSGKTLAFLIPVIELIVKLKFMPRN GTGVLILSPTRELAMQTFGVLKELMTHHVHTYGLIMGGSNRSAEVQKLLNGINIIVATPG RLLDHMQNTPGFMYKNLQCLVIDEADRILDVGFEEELKQIIKLLPARRQTMLFSATQTRK VEDLARISLKKEPLYVGVDDDKEVATVDGLEQGYVVCPSEKRFLLLFTFLKKNRKKKVMV FFSSCMSVKYHYELLNYIDLPVLAIHGKQKQNKRTTTFFQFCNADSGILLCTDVAARGLD IPEVDWIVQYDPPDDPKEYIHRVGRTARGLNGRGHALLILRPEELGFLRYLKQSKVPLNQ FDFSWSKVSDIQSQLEKLIEKNYFLHKSAQEAYKSYIRAYDSHSLKQIFNVNNLNLPQVA LSFGFKVPPFVDLNVSSHDGKLKKRGGGGGFGYQKTKKVEKSKIFKHISKKPADRRQFSH >ENSMUSP00000114149.1 pep:known chromosome:GRCm38:1:121557405:121560271:-1 gene:ENSMUSG00000001674.11 transcript:ENSMUST00000134417.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx18 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 18 [Source:MGI Symbol;Acc:MGI:1914192] XRRQTMLFSATQTRKVEDLARISLKKEPLYVGVDDDKEVATVDGLEQKNRKKKVMVFFSS CMSVKYHYELLNYIDLPVLAIHGKQKQNKRTTTFFQFCNADSGILLCTDVAARGLDIPEV DWIVQYDPPDDPKEYIHRVGRTARGLNGRGHALLILRPEELGFLRYLKQSK >ENSMUSP00000112584.1 pep:known chromosome:GRCm38:5:120800194:120812514:-1 gene:ENSMUSG00000001166.17 transcript:ENSMUST00000117193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas1c description:2'-5' oligoadenylate synthetase 1C [Source:MGI Symbol;Acc:MGI:2149633] MENGLCSIQARELDEFICDYLFPDTTFLTELRADIDSISAFLKERCFQGAAHPVRVSRVV MGGSYDEHTALKGKSEAKMVLFFNNLTSFEEQLKRRGEFVEEIQKHLCQLQQEKPFKVKF EVQSSEEPNSRSLSFKLSSPELQQEVEFDVQPAYDVLYELRNNTYAEPQFYNKVYAQLIH ECTTLEKEGDFSICFTDLHQNFMRYRAPKLWNLIRLVKHWYQLCKEKLREPLPPQYALEL LTVYVWEHSNKNQEKVTTAKNFRTFLELVAYYKNLRIYWTWYYDFRHQEVCAYLCRQLKK ARPLILDPADPTRNVAGSDLQAWDLLAKEAQTWMQSSCFRNCDMSFVPTWDLSPERQECA FQ >ENSMUSP00000118441.1 pep:known chromosome:GRCm38:5:120803004:120812488:-1 gene:ENSMUSG00000001166.17 transcript:ENSMUST00000125547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas1c description:2'-5' oligoadenylate synthetase 1C [Source:MGI Symbol;Acc:MGI:2149633] MRYRAPKLWNLIRLVKHWYQLCKEKLREPLPPQYALELL >ENSMUSP00000118613.1 pep:known chromosome:GRCm38:11:61207537:61212333:1 gene:ENSMUSG00000019102.10 transcript:ENSMUST00000147792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3a1 description:aldehyde dehydrogenase family 3, subfamily A1 [Source:MGI Symbol;Acc:MGI:1353451] MSNISSIVNRARDAFNSGKTRPLQFRVEQLEALQ >ENSMUSP00000104356.1 pep:known chromosome:GRCm38:11:61208621:61218421:1 gene:ENSMUSG00000019102.10 transcript:ENSMUST00000108716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3a1 description:aldehyde dehydrogenase family 3, subfamily A1 [Source:MGI Symbol;Acc:MGI:1353451] MSNISSIVNRARDAFNSGKTRPLQFRVEQLEALQRMINENLKGISKALASNLRKNEWTSY YEEVAHVLDEIDFTIKGLSDWAEDEPVAKTRQTQEDDLYIHSEPLGVVLVIGAWNYPFNL TIQPMVGAIAAGNAVVLKPSEVSDHMADLLSTLIPQYMDKDLYPVIKGGVPETTELLKEK FDHIMYTGSTAVGKIVMAAAAKHLTPVTLELGGKSPCYVDKDCDLDVACRRIAWGKFMNS GQTCVAPDYILCDPSIQNEIVEKLKKSLKDFYGEDAKQSHDYGRIINDRHFQRVINLIDS KKVAHGGTWDQPSRYIAPTILVDVDPQSPVMQEEIFGPVMPIVCVRSLDEAIKFINQREK PLALYVFSNNDKVIKKMIAETSSGGVTANDVIVHITVPTLPFGGVGNSGMGAYHGKKSFE TFSHRRSCLVRSLRNEEANKARYPPSPAKMPRH >ENSMUSP00000019246.3 pep:known chromosome:GRCm38:11:61208745:61218421:1 gene:ENSMUSG00000019102.10 transcript:ENSMUST00000019246.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3a1 description:aldehyde dehydrogenase family 3, subfamily A1 [Source:MGI Symbol;Acc:MGI:1353451] MSNISSIVNRARDAFNSGKTRPLQFRVEQLEALQRMINENLKGISKALASNLRKNEWTSY YEEVAHVLDEIDFTIKGLSDWAEDEPVAKTRQTQEDDLYIHSEPLGVVLVIGAWNYPFNL TIQPMVGAIAAGNAVVLKPSEVSDHMADLLSTLIPQYMDKDLYPVIKGGVPETTELLKEK FDHIMYTGSTAVGKIVMAAAAKHLTPVTLELGGKSPCYVDKDCDLDVACRRIAWGKFMNS GQTCVAPDYILCDPSIQNEIVEKLKKSLKDFYGEDAKQSHDYGRIINDRHFQRVINLIDS KKVAHGGTWDQPSRYIAPTILVDVDPQSPVMQEEIFGPVMPIVCVRSLDEAIKFINQREK PLALYVFSNNDKVIKKMIAETSSGGVTANDVIVHITVPTLPFGGVGNSGMGAYHGKKSFE TFSHRRSCLVRSLRNEEANKARYPPSPAKMPRH >ENSMUSP00000046843.6 pep:known chromosome:GRCm38:4:40259601:40269850:-1 gene:ENSMUSG00000036822.6 transcript:ENSMUST00000042575.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Topors description:topoisomerase I binding, arginine/serine-rich [Source:MGI Symbol;Acc:MGI:2146189] MGSQPPPPGSPLSREEGEAPPLVPAEEGRRRSRRVRLRGSCRHRPSLLSRRELASNGPAV PATASSEIMASAAKEFKMDNFSPKAGTSKLQQTVPADASPDSKCPICLDRFDNVSYLDRC LHKFCFRCVQEWSKNKAECPLCKQPFDSIFHSVRAEDDFKEYVLRPSYNGSFTNPEVRRF RYRTTMTRERSASLYSPSSTVSRRTTTPPDSGVLFEGLGISTRPRDVDIPQFMRQMALRG PTTTDERSLRKIQEQDIINFRRTLYRAGVRVRSIEDGGRYRDISAEFFRRNPACLHRLVP WLKRELTVLFGAHGSLVNIVQHIIMSNVTRYDLESQAFVSDLRPFLLNRTEHFIHEFISF ARSPFNMAAFDQHANYDCPPSSEEGSRSDSSVITISPDEAETQELDMNASTVRQAPWDDE TPGPSYSSSEQVHVGVSSLLNSSDSSDEELVSGGTTSQIQGVQTNDDVNNDSDSSSDNCV IVGFVKPLAERTPELVELSSDSEELGPYEKVETVKTQEQEQSYSSGDSDVSRASSPRSVL GKDEQMSKSHCDSDTRISSKKEEKRSTSLPAPRDSSSTRGDRVCSPYNHRHRKGGRSRSS DSRSQSRSGHDPRNHRKHGKKRLRNKRSRSRESSSRPRARKDKKRSRTRDSSWSRRSQTL SLSSGSTSRSRSRSSDHGKRRSRSRNRDRYYLRNNYGSKYKWEYTYYSRNKDRDGYESSY RRRTLSRAHYSRQSSSPEFRIQSFSERTNARKKNHSERKYYYYERRRSRSVSSNRSRTTS AGPDRVRNEKPGGKRKYKTRHLEGTSEEAQPAREFTSKGKDSHYQKSKLDGSYKNESDSF SDSRSSDRETKHKRRRRRTRSLSVEIVYEGKATDTSKHHKKKKKKHKKKHKKHHGDNTSR SPVVITIDSDSDGESEVKAGIECSNGSLPQPIQDGAFETKDVVTIEDELGVLDKDCDVTA LADDLSTSQTVENCDSPAVPVEQTLDVREESTFASDLESQSSNVSIQAEPSRPVPSPRTS LSSVSPGRDCDVS >ENSMUSP00000037555.7 pep:known chromosome:GRCm38:8:93302369:93337308:-1 gene:ENSMUSG00000057074.6 transcript:ENSMUST00000044602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces1g description:carboxylesterase 1G [Source:MGI Symbol;Acc:MGI:88378] MWLCALSLISLTACLSLGHPSLPPVVHTVHGKVLGKYVTLEGFSQPVAVFLGVPFAKPPL GSLRFAPPEPAEPWSFVKHTTSYPPLCYQNPEAALRLAELFTNQRKIIPHKFSEDCLYLN IYTPADLTQNSRLPVMVWIHGGGLVIDGASTYDGVPLAVHENVVVVVIQYRLGIWGFFST EDEHSRGNWGHLDQVAALHWVQDNIANFGGNPGSVTIFGESAGGESVSVLVLSPLAKNLF HRAIAQSSVIFNPCLFGRAARPLAKKIAALAGCKTTTSAAMVHCLRQKTEDELLEVSLKM KFGTVDFLGDPRESYPFLPTVIDGVLLPKAPEEILAEKSFNTVPYMVGINKHEFGWIIPM FLDFPLSERKLDQKTAASILWQAYPILNISEKLIPAAIEKYLGGTEDPATMTDLFLDLIG DIMFGVPSVIVSRSHRDAGAPTYMYEYQYRPSFVSDDRPQELLGDHADELFSVWGAPFLK EGASEEEINLSKMVMKFWANFARNGNPNGEGLPHWPEYDQKEGYLQIGVPAQAAHRLKDK EVDFWTELRAKETAERSSHREHVEL >ENSMUSP00000076687.6 pep:known chromosome:GRCm38:7:85857547:85875938:-1 gene:ENSMUSG00000070458.4 transcript:ENSMUST00000077478.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r73 description:vomeronasal 2, receptor 73 [Source:MGI Symbol;Acc:MGI:3646433] MFSLIFIFWFLKISYIFCHLSNPRCFWRIKDTKDKLGDKETYCFFSISAKHGYIRNDYFS WNLDKHVTPKTNHLIFSVYLALEEINKNSHILPNISLVVNIECVLLKYGEKTGLGLKHEE FIPNYYCANERRYLIVLTAPIWAVSTKLGPFLFMSRIPELYCGHFHLPLSDNEQFPHLYQ ISPKDTSLPLAMVSLVVHFRWNWIGVIITNDNHGIQFLSELRGETQKQIVCLSVVIIIQT DDITAYKEYHMNYNKIVMSSAKVVIVYGDHNSPIKFVLFLWKSQGIFRIWVSVSQFDMIT PLGDFMLYSASGTLIFSHQQSELSGFEKFIKTVKPSNYSSEFSFAKLWWTYFRCSSLPPS NCKKLKNCPTKTVFRWLFMTPLGMAMSDTCYNLYNAIYAVAHSLHEMLLQQVDTWSENAG KELEFDSWKMFSILKTMQFVNPAGDLVNMNQNLKQEREYDIFYIMDFQKDYGLKMKIGKF SGHLPSHQQLYMSKEMIEWATDMDQILPSICSMPCRPGLRKSPQEGKDICCFVCNPCPEN EISNMTNMDQCVKCPEDQYANEDHTLCLQKIVAILDYRDPLGKALAGFALCFCVITSGVL CIFLKHRETPIVKANNQTLSYVLLISLIFCFICSLLYIGHPTIVICVLQQSTFAISFTVA ASTILAKTITVVLAFKVTVPGRMRWLLVAGAPKYIILICTMIQLILCGVWLGTSPPFVDA DLHMVHGHIIIVCNKGSVIAFYFVLGYMGSIALASFTVAFLARNLPDTFNEAKLLTFSML VFCSVWVTFIPVYYSTKGKTMVAVEVFCILASSAGLLLCIFAPKCYNILLRPGKNSSHMF KKLHAKTENII >ENSMUSP00000100795.2 pep:known chromosome:GRCm38:12:66283379:66284401:-1 gene:ENSMUSG00000060499.7 transcript:ENSMUST00000081908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl10l description:ribosomal protein L10-like [Source:MGI Symbol;Acc:MGI:3647985] MGRRPARCYRYCKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLCGHMVSDEYEQL SSEALEAARICANKYMVKSCGKDGFHIRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFG KPQGTVARVHIGQVIMSIRTKLQNKEHVIEALRRAKFKFPGRQKIHISKKWGFTKFNADE FEDKVAAKRLIPDGCGVKYIPERGPLDKWRALHS >ENSMUSP00000086661.2 pep:known chromosome:GRCm38:14:66153262:66281052:-1 gene:ENSMUSG00000059456.13 transcript:ENSMUST00000089250.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptk2b description:PTK2 protein tyrosine kinase 2 beta [Source:MGI Symbol;Acc:MGI:104908] MSGVSEPLSRVKVGTLRRPEGPPEPMVVVPVDVEKEDVRILKVCFYSNSFNPGKNFKLVK CTVQTEIQEIITSILLSGRIGPNIQLAECYGLRLKHMKSDEIHWLHPQMTVGEVQDKYEC LHVEAEWRYDLQIRYLPEDFMESLKEDRTTLLYFYQQLRNDYMQRYASKVSEGMALQLGC LELRRFFKDMPHNALDKKSNFELLEKEVGLDLFFPKQMQENLKPKQFRKMIQQTFQQYAS LREEECVMKFFNTLAGFANIDQETYRCELIQGWNITVDLVIGPKGIRQLTSQDTKPTCLA EFKQIKSIRCLPLEETQAVLQLGIEGAPQSLSIKTSSLAEAENMADLIDGYCRLQGEHKG SLIMHAKKDGEKRNSLPQIPTLNLEARRSHLSESCSIESDIYAEIPDETLRRPGGPQYGV AREEVVLNRILGEGFFGEVYEGVYTNHKGEKINVAVKTCKKDCTQDNKEKFMSEAVIMKN LDHPHIVKLIGIIEEEPTWIIMELYPYGELGHYLERNKNSLKVPTLVLYTLQICKAMAYL ESINCVHRDIAVRNILVASPECVKLGDFGLSRYIEDEDYYKASVTRLPIKWMSPESINFR RFTTASDVWMFAVCMWEILSFGKQPFFWLENKDVIGVLEKGDRLPKPELCPPVLYTLMTR CWDYDPSDRPRFTELVCSLSDIYQMEKDIAIEQERNARYRPPKILEPTTFQEPPPKPSRP KYRPPPQTNLLAPKLQFQEEDFIRPSSREEAQQLWEAEKIKMKQVLERQQKQMVEDSQWL RREERCLDPMVYMNDKSPLTPEKEAGYTEFTGPPQKPPRLGAQSIQPTANLDRTDDLVYH NVMTLVEAVLELKNKLGQLPPEDYVVVVKNVGLNLRKLIGSVDDLLPSLPASSRTEIEGT QKLLNKDLAELINKMKLAQQNAVTSLSEDCKRQMLTASHTLAVDAKNLLDAVDQAKVVAN LAHPPAE >ENSMUSP00000022622.7 pep:known chromosome:GRCm38:14:66153257:66281052:-1 gene:ENSMUSG00000059456.13 transcript:ENSMUST00000022622.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptk2b description:PTK2 protein tyrosine kinase 2 beta [Source:MGI Symbol;Acc:MGI:104908] MSGVSEPLSRVKVGTLRRPEGPPEPMVVVPVDVEKEDVRILKVCFYSNSFNPGKNFKLVK CTVQTEIQEIITSILLSGRIGPNIQLAECYGLRLKHMKSDEIHWLHPQMTVGEVQDKYEC LHVEAEWRYDLQIRYLPEDFMESLKEDRTTLLYFYQQLRNDYMQRYASKVSEGMALQLGC LELRRFFKDMPHNALDKKSNFELLEKEVGLDLFFPKQMQENLKPKQFRKMIQQTFQQYAS LREEECVMKFFNTLAGFANIDQETYRCELIQGWNITVDLVIGPKGIRQLTSQDTKPTCLA EFKQIKSIRCLPLEETQAVLQLGIEGAPQSLSIKTSSLAEAENMADLIDGYCRLQGEHKG SLIMHAKKDGEKRNSLPQIPTLNLEARRSHLSESCSIESDIYAEIPDETLRRPGGPQYGV AREEVVLNRILGEGFFGEVYEGVYTNHKGEKINVAVKTCKKDCTQDNKEKFMSEAVIMKN LDHPHIVKLIGIIEEEPTWIIMELYPYGELGHYLERNKNSLKVPTLVLYTLQICKAMAYL ESINCVHRDIAVRNILVASPECVKLGDFGLSRYIEDEDYYKASVTRLPIKWMSPESINFR RFTTASDVWMFAVCMWEILSFGKQPFFWLENKDVIGVLEKGDRLPKPELCPPVLYTLMTR CWDYDPSDRPRFTELVCSLSDIYQMEKDIAIEQERNARYRPPKILEPTTFQEPPPKPSRP KYRPPPQTNLLAPKLQFQVPEGLCASSPTLTSPMEYPSPVNSLHTPPLHRHNVFKRHSMR EEDFIRPSSREEAQQLWEAEKIKMKQVLERQQKQMVEDSQWLRREERCLDPMVYMNDKSP LTPEKEAGYTEFTGPPQKPPRLGAQSIQPTANLDRTDDLVYHNVMTLVEAVLELKNKLGQ LPPEDYVVVVKNVGLNLRKLIGSVDDLLPSLPASSRTEIEGTQKLLNKDLAELINKMKLA QQNAVTSLSEDCKRQMLTASHTLAVDAKNLLDAVDQAKVVANLAHPPAE >ENSMUSP00000122683.1 pep:known chromosome:GRCm38:14:66153262:66170282:-1 gene:ENSMUSG00000059456.13 transcript:ENSMUST00000154865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptk2b description:PTK2 protein tyrosine kinase 2 beta [Source:MGI Symbol;Acc:MGI:104908] XNFRRFTTASDVWMFAVCMWEILSFGKQPFFWLENKDVIGVLEKGDRLPKPELCPPVLYT LMTRCWDYDPSDRPRFTELVCSLSDIYQMEKDIAIEQERNARYRPPKILEPTTFQEPPPK PSRPKYRPPPQTNLLAPKLQFQEEDFIRPSSREEAQQLWEAEKIKMKQVLERQQKQMVED SQWLRREERCLDPMVYMNDKSPLTPEKEAGYSECFLGTVSARAEFTGPPQKPPRLGAQSI QPTANLDRTDDLVYHNVMTLVEAVLELKNKLGQLPPEDYVVVVKNVGLNLRKLIGSVDDL LPSLPASSRTEIEGTQKLLNKDLAELINKMKLAQQNAVTSLSEDCKRQMLTASHTLAVDA KNLLDAVDQAKVVANLAHPPAE >ENSMUSP00000106750.1 pep:known chromosome:GRCm38:14:66153844:66280982:-1 gene:ENSMUSG00000059456.13 transcript:ENSMUST00000111121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptk2b description:PTK2 protein tyrosine kinase 2 beta [Source:MGI Symbol;Acc:MGI:104908] MSGVSEPLSRVKVGTLRRPEGPPEPMVVVPVDVEKEDVRILKVCFYSNSFNPGKNFKLVK CTVQTEIQEIITSILLSGRIGPNIQLAECYGLRLKHMKSDEIHWLHPQMTVGEVQDKYEC LHVEAEWRYDLQIRYLPEDFMESLKEDRTTLLYFYQQLRNDYMQRYASKVSEGMALQLGC LELRRFFKDMPHNALDKKSNFELLEKEVGLDLFFPKQMQENLKPKQFRKMIQQTFQQYAS LREEECVMKFFNTLAGFANIDQETYRCELIQGWNITVDLVIGPKGIRQLTSQDTKPTCLA EFKQIKSIRCLPLEETQAVLQLGIEGAPQSLSIKTSSLAEAENMADLIDGYCRLQGEHKG SLIMHAKKDGEKRNSLPQIPTLNLEARRSHLSESCSIESDIYAEIPDETLRRPGGPQYGV AREEVVLNRILGEGFFGEVYEGVYTNHKGEKINVAVKTCKKDCTQDNKEKFMSEAVIMKN LDHPHIVKLIGIIEEEPTWIIMELYPYGELGHYLERNKNSLKVPTLVLYTLQICKAMAYL ESINCVHRDIAVRNILVASPECVKLGDFGLSRYIEDEDYYKASVTRLPIKWMSPESINFR RFTTASDVWMFAVCMWEILSFGKQPFFWLENKDVIGVLEKGDRLPKPELCPPVLYTLMTR CWDYDPSDRPRFTELVCSLSDIYQMEKDIAIEQERNARYRPPKILEPTTFQEPPPKPSRP KYRPPPQTNLLAPKLQFQVPEGLCASSPTLTSPMEYPSPVNSLHTPPLHRHNVFKRHSMR EEDFIRPSSREEAQQLWEAEKIKMKQVLERQQKQMVEDSQWLRREERCLDPMVYMNDKSP LTPEKEAGYRPPQKPPRLGAQSIQPTANLDRTDDLVYHNVMTLVEAVLELKNKLGQLPPE DYVVVVKNVGLNLRKLIGSVDDLLPSLPASSRTEIEGTQKLLNKDLAELINKMKLAQQNA VTSLSEDCKRQMLTASHTLAVDAKNLLDAVDQAKVVANLAHPPAE >ENSMUSP00000137008.1 pep:known chromosome:GRCm38:14:66153258:66213552:-1 gene:ENSMUSG00000059456.13 transcript:ENSMUST00000178730.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptk2b description:PTK2 protein tyrosine kinase 2 beta [Source:MGI Symbol;Acc:MGI:104908] MSGVSEPLSRVKVGTLRRPEGPPEPMVVVPVDVEKEDVRILKVCFYSNSFNPGKNFKLVK CTVQTEIQEIITSILLSGRIGPNIQLAECYGLRLKHMKSDEIHWLHPQMTVGEVQDKYEC LHVEAEWRYDLQIRYLPEDFMESLKEDRTTLLYFYQQLRNDYMQRYASKVSEGMALQLGC LELRRFFKDMPHNALDKKSNFELLEKEVGLDLFFPKQMQENLKPKQFRKMIQQTFQQYAS LREEECVMKFFNTLAGFANIDQETYRCELIQGWNITVDLVIGPKGIRQLTSQDTKPTCLA EFKQIKSIRCLPLEETQAVLQLGIEGAPQSLSIKTSSLAEAENMADLIDGYCRLQGEHKG SLIMHAKKDGEKRNSLPQIPTLNLEARRSHLSESCSIESDIYAEIPDETLRRPGGPQYGV AREEVVLNRILGEGFFGEVYEGVYTNHKGEKINVAVKTCKKDCTQDNKEKFMSEAVIMKN LDHPHIVKLIGIIEEEPTWIIMELYPYGELGHYLERNKNSLKVPTLVLYTLQICKAMAYL ESINCVHRDIAVRNILVASPECVKLGDFGLSRYIEDEDYYKASVTRLPIKWMSPESINFR RFTTASDVWMFAVCMWEILSFGKQPFFWLENKDVIGVLEKGDRLPKPELCPPVLYTLMTR CWDYDPSDRPRFTELVCSLSDIYQMEKDIAIEQERNARYRPPKILEPTTFQEPPPKPSRP KYRPPPQTNLLAPKLQFQVPEGLCASSPTLTSPMEYPSPVNSLHTPPLHRHNVFKRHSMR EEDFIRPSSREEAQQLWEAEKIKMKQVLERQQKQMVEDSQWLRREERCLDPMVYMNDKSP LTPEKEAGYTEFTGPPQKPPRLGAQSIQPTANLDRTDDLVYHNVMTLVEAVLELKNKLGQ LPPEDYVVVVKNVGLNLRKLIGSVDDLLPSLPASSRTEIEGTQKLLNKDLAELINKMKLA QQNAVTSLSEDCKRQMLTASHTLAVDAKNLLDAVDQAKVVANLAHPPAE >ENSMUSP00000112528.1 pep:known chromosome:GRCm38:6:42038394:42042845:1 gene:ENSMUSG00000023289.11 transcript:ENSMUST00000117406.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sva description:seminal vesicle antigen [Source:MGI Symbol;Acc:MGI:102785] MYANPYSMASLQKFYQPGAVIYLLIVFLLQETAGFWYHGLHNEPRNYTLTLNMKITPSTS ITNKKGNEFRVQLTVKNNVKQCQEVEINTEHERYIKFLSGPSTFETCICTISDFFWDIYV SESTYLTLSASILPYKNKCPDSDEPLLYGDSYQMYNITDKINVTP >ENSMUSP00000024059.4 pep:known chromosome:GRCm38:6:42038394:42042851:1 gene:ENSMUSG00000023289.11 transcript:ENSMUST00000024059.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sva description:seminal vesicle antigen [Source:MGI Symbol;Acc:MGI:102785] MASLQKFYQPGAVIYLLIVFLLQETAGFWYHGLHNEPRNYTLTLNMKITPSTSITNKKGN EFRVQLTVKNNVKQCQEVEINTEHERYIKFLSGPSTFETCICTISDFFWDIYVSESTYLT LSASILPYKNKCPDSDEPLLYGDSYQMYNITDKINVTP >ENSMUSP00000108761.1 pep:known chromosome:GRCm38:X:135839056:135844726:1 gene:ENSMUSG00000072966.11 transcript:ENSMUST00000113136.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprasp2 description:G protein-coupled receptor associated sorting protein 2 [Source:MGI Symbol;Acc:MGI:2442071] MTGAEVETGSQAKPDKKPQEEVAGGAERESEAPLVVRPKVRPQAPATSGARPKTETKSSS RARPKTETQSVSGTRHRMSGARPRSEAQLMSGARPKTDARAVGGARPKTEAKPIPGARPK GDAQAWAHSEFGAEAMPRAERAHLSNSVTWPPVNVGSATVTKSKSLSMNTELASMGSEIF SGTQGQPGIEPWFGPREEANMGSWCYPRPRAREETSNESADENSTMSSFWTREETSIRSW PREEVNTRSRHRAKHQTNARSKPRSKQDPYIDSLSGSEDEASNPFCFWAGENTNDMFRAR GRDEANARPKIRTKREDYFEDEDEIYKESWLLPGEEGNRFRRRDKEEPNKTLKNENEKDV KNDETVEQESRLEEEVIIGSWFWAEQETNVEAGASAICDAEPGAEEGAIGGSLFWTEEKP SLGAVARDEVRPESEEEALFGSWFWDRDEACFDPNPTPVYTAKSRYRDPEEDLNLASRPK TWDEVTIEFKPPCHGLGFPSPRPFIIPEGASGNSEEKAKNAELGAEGEEQDSVAQRDLPE PEFPFQYDPSYRSVQEIREHLKARESAQPENWSCTCIQCELRISSAEFEELLLLMDRIRD PFIHEIAKIAMGMRTASQFTRDFIRDSGVVSLIEALMNYPSSRVRTNFLENMVHMAPPYP NLNMIETFICQVCEETLSHSVNSPEQLTGMRMLRHLTITTDYHVLIANYVSGFLALLTTG DARTKFHVLKMLLNLSDNPMVAKKLFSAKALSIFVGLFNIEETNDNIQIVIKMFQNISNI VKSGAMSLLDDDFSLEPLVSAFHEFEELAKQLQIQIDNQNDPEEGQ >ENSMUSP00000134666.1 pep:known chromosome:GRCm38:X:135839034:135844730:1 gene:ENSMUSG00000072966.11 transcript:ENSMUST00000173804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprasp2 description:G protein-coupled receptor associated sorting protein 2 [Source:MGI Symbol;Acc:MGI:2442071] MTGAEVETGSQAKPDKKPQEEVAGGAERESEAPLVVRPKVRPQAPATSGARPKTETKSSS RARPKTETQSVSGTRHRMSGARPRSEAQLMSGARPKTDARAVGGARPKTEAKPIPGARPK GDAQAWAHSEFGAEAMPRAERAHLSNSVTWPPVNVGSATVTKSKSLSMNTELASMGSEIF SGTQGQPGIEPWFGPREEANMGSWCYPRPRAREETSNESADENSTMSSFWTREETSIRSW PREEVNTRSRHRAKHQTNARSKPRSKQDPYIDSLSGSEDEASNPFCFWAGENTNDMFRAR GRDEANARPKIRTKREDYFEDEDEIYKESWLLPGEEGNRFRRRDKEEPNKTLKNENEKDV KNDETVEQESRLEEEVIIGSWFWAEQETNVEAGASAICDAEPGAEEGAIGGSLFWTEEKP SLGAVARDEVRPESEEEALFGSWFWDRDEACFDPNPTPVYTAKSRYRDPEEDLNLASRPK TWDEVTIEFKPPCHGLGFPSPRPFIIPEGASGNSEEKAKNAELGAEGEEQDSVAQRDLPE PEFPFQYDPSYRSVQEIREHLKARESAQPENWSCTCIQCELRISSAEFEELLLLMDRIRD PFIHEIAKIAMGMRTASQFTRDFIRDSGVVSLIEALMNYPSSRVRTNFLENMVHMAPPYP NLNMIETFICQVCEETLSHSVNSPEQLTGMRMLRHLTITTDYHVLIANYVSGFLALLTTG DARTKFHVLKMLLNLSDNPMVAKKLFSAKALSIFVGLFNIEETNDNIQIVIKMFQNISNI VKSGAMSLLDDDFSLEPLVSAFHEFEELAKQLQIQIDNQNDPEEGQ >ENSMUSP00000020712.4 pep:known chromosome:GRCm38:11:3886088:3895098:-1 gene:ENSMUSG00000020434.4 transcript:ENSMUST00000020712.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921536K21Rik description:RIKEN cDNA 4921536K21 gene [Source:MGI Symbol;Acc:MGI:1914680] MVLGLASFPESLSSQSETATQPRRPSVKWDLGSDYRKGTEETTASGSNFRRERLDSQPDL GLHVQPQIYFLRPRSPLPKLLFSLMNTNDANVKKLLPKSHLSRVIIRDNLNAQRICEMEM KASDKTKRKMSYLYDHLKKKFMMDQLRKMIRWRRDSQSTQDYLDKERV >ENSMUSP00000018896.7 pep:known chromosome:GRCm38:11:69682846:69685554:-1 gene:ENSMUSG00000089669.7 transcript:ENSMUST00000018896.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf13 description:tumor necrosis factor (ligand) superfamily, member 13 [Source:MGI Symbol;Acc:MGI:1916833] MPASSPGHMGGSVREPALSVALWLSWGAVLGAVTCAVALLIQQTELQSLRREVSRLQRSG GPSQKQGERPWQSLWEQSPDVLEAWKDGAKSRRRRAVLTQKHKKKHSVLHLVPVNITSKD SDVTEVMWQPVLRRGRGLEAQGDIVRVWDTGIYLLYSQVLFHDVTFTMGQVVSREGQGRR ETLFRCIRSMPSDPDRAYNSCYSAGVFHLHQGDIITVKIPRANAKLSLSPHGTFLGFVKL >ENSMUSP00000104288.2 pep:known chromosome:GRCm38:11:69682932:69685784:-1 gene:ENSMUSG00000089669.7 transcript:ENSMUST00000108648.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf13 description:tumor necrosis factor (ligand) superfamily, member 13 [Source:MGI Symbol;Acc:MGI:1916833] MPASSPGHMGGSVREPALSVALWLSWGAVLGAVTCAVALLIQQTELQSLRREVSRLQRSG GPSQKQGERPWQSLWEQSPDVLEAWKDGAKSRRRRAVLTQKHKNSDVTEVMWQPVLRRGR GLEAQGDIVRVWDTGIYLLYSQVLFHDVTFTMGQVVSREGQGRRETLFRCIRSMPSDPDR AYNSCYSAGVFHLHQGDIITVKIPRANAKLSLSPHGTFLGFVKL >ENSMUSP00000055178.5 pep:known chromosome:GRCm38:14:32856756:32939487:1 gene:ENSMUSG00000050666.13 transcript:ENSMUST00000053175.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm4 description:V-set and transmembrane domain containing 4 [Source:MGI Symbol;Acc:MGI:2444633] MRLRLLALAAAVLLGPAPEVCGALNVTVSPGPVVDYLEGENATLLCHVSQKRRKDSLLAV RWFFAPDGSQEALMVKMTKLRIIQYYGNFSRTANQQRLRLLEERRGVLYRLSVLTLRPTD QGQYVCKVQEISKHRNKWTAWSNGSSATEMRVISLKAGEDSSFEKKKVTWAFFEDLYVYA VLVCCVGILSVLLFTLVIAWQSVFHKRKSRVRHYLVKCPQNSSGETVTSVTSLAPLQPQK GKRQKKKVDVPPAVPAKAPIATTFHKPKLLKPQRKVALPKITEENLTYAELELIKPHRAA KGVPTSTVYAQILFEENQL >ENSMUSP00000098287.2 pep:known chromosome:GRCm38:14:32856789:32939487:1 gene:ENSMUSG00000050666.13 transcript:ENSMUST00000100721.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm4 description:V-set and transmembrane domain containing 4 [Source:MGI Symbol;Acc:MGI:2444633] MRVISLKAGEDSSFEKKKVTWAFFEDLYVYAVLVCCVGILSVLLFTLVIAWQSVFHKRKS RVRHYLVKCPQNSSGETVTSVTSLAPLQPQKGKRQKKKVDVPPAVPAKAPIATTFHKPKL LKPQRKVALPKITEENLTYAELELIKPHRAAKGVPTSTVYAQILFEENQL >ENSMUSP00000022573.10 pep:known chromosome:GRCm38:14:74732297:74750765:1 gene:ENSMUSG00000021996.16 transcript:ENSMUST00000022573.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esd description:esterase D/formylglutathione hydrolase [Source:MGI Symbol;Acc:MGI:95421] MALKQISSNRCFGGLQKVFEHSSVELKCKMRFAVYLPPQAESGKCPALYWLSGLTCTEQN FISKSGYQQAASEHGLVVIAPDTSPRGCNIKGEDDSWDFGTGAGFYVNATEDPWKANYRM YSYVTEELPQLINANFPVDPQRMSIFGHSMGGHGALICALKNPGKYRSVSAFAPICNPVL CSWGKKAFSGYLGPDESKWKAYDATCLVKAYSGSQIDILIDQGKDDEFLSNGQLLPDNFI AACTEKKIPVVFRLQEGYDHSYYFIATFIADHIRHHAKYLNA >ENSMUSP00000134932.1 pep:known chromosome:GRCm38:14:74732357:74745574:1 gene:ENSMUSG00000021996.16 transcript:ENSMUST00000175712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esd description:esterase D/formylglutathione hydrolase [Source:MGI Symbol;Acc:MGI:95421] MALKQISSNRCFGGLQKVFEHSSVELKCKMRFAVYLPPQAESGKCPALYWLSGLTCTEQN FISKSGYQQAASEHGLVVIAPDTSPRGCNIKGEDDSWDFGTGAGFYVNATEDPWKANYRM YSYVTEEAYDATCLV >ENSMUSP00000135394.1 pep:known chromosome:GRCm38:14:74732384:74749848:1 gene:ENSMUSG00000021996.16 transcript:ENSMUST00000176957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esd description:esterase D/formylglutathione hydrolase [Source:MGI Symbol;Acc:MGI:95421] MVTISAPFPPRRRMALKQISSNRCFGGLQKVFEHSSVELKCKMRFAVYLPPQAESGKCPA LYWLSGLTCTEQNFISKSGYQQAASEHGLVVIAPDTSPRGCNIKGEDDSWDFGTGAGFYV NATEDPWKANYRMYSYVTEELPQLINANFPVDPQRMSIFGHSMGGHGALICALKNPGKYR SVSAFAPICNPVLCSWGKKAFSGYLGPDESKWKAYDATCLVKAYSGSQIDILIDQGKDDE FLSNGQLLPDNFIAACTEKKIPVVFRLQEGYDHSYYFIATFIADHIRHHAKYLNA >ENSMUSP00000135063.1 pep:known chromosome:GRCm38:14:74735655:74745691:1 gene:ENSMUSG00000021996.16 transcript:ENSMUST00000177283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esd description:esterase D/formylglutathione hydrolase [Source:MGI Symbol;Acc:MGI:95421] MALKQISSNRCFGGLQKVFEHSSVELKCKMRFAVYLPPQAESGKCPALYWLSGLTCTEQN FISKSGYQQAASEHGLVVIAPDTSPRGCNIKGEDDSWDFGTGAGFYVNATEDPWKANYRM YSYVTEELPQLINANFPVDPQRMSIFGHSMGGHGALICALKNPGKYRSVSAFAPICNPVL CSWGKKAFSGYLGPDESKWKAYDATCLVKAYSGSQIDILIDQGKDDEFLSNGQLLPDNFI AACTEKK >ENSMUSP00000135035.1 pep:known chromosome:GRCm38:14:74735967:74749725:1 gene:ENSMUSG00000021996.16 transcript:ENSMUST00000177181.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esd description:esterase D/formylglutathione hydrolase [Source:MGI Symbol;Acc:MGI:95421] MALKQISSNRCFGGLQKVFEHSSVELKCKMRFAVYLPPQAESGKCPALYWLSGLTCTEQN FISKSGYQQAASEHGLVVIAPDTSPRGCNIKGEDDSWDFGTGAGFYVNATEDPWKANYRM YSYVTEELPQLINANFPVDPQRMSIFGHSMGGHGALICALKNPGKYRSVSAFAPICNPVL CSWGKKAFSGYLGPDESKWKAYDATCLVKAYSGSQIDILIDQGKDDEFLSNGQLLPDNFI AACTEKKIPVVFRLQELLLHCNFHR >ENSMUSP00000135818.1 pep:known chromosome:GRCm38:14:74735967:74749725:1 gene:ENSMUSG00000021996.16 transcript:ENSMUST00000177137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esd description:esterase D/formylglutathione hydrolase [Source:MGI Symbol;Acc:MGI:95421] MALKQISSNRCFGGLQKVFEHSSVELKCKMRFAVYLPPQAESGKCPALYWLSGLTCTEQN FISKSGYQQAASEHGLVVIAPDTSPRGCNIKGEDDSWDFGTGAGFYVNATEDPWKANYRM YSYVTEELPQLINANFPVDPQRMSIFGHSMGGHGALICALKNPGKYRSVSAFAPICNPVL CSWGKKAFSGYLGPDESKWKAYDATCLVKAYSGSQIDILIDQGKDDEFLSNGQLLPDNFI AACTEKKIPVVFRLQEARL >ENSMUSP00000135244.1 pep:known chromosome:GRCm38:14:74735967:74749784:1 gene:ENSMUSG00000021996.16 transcript:ENSMUST00000175887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esd description:esterase D/formylglutathione hydrolase [Source:MGI Symbol;Acc:MGI:95421] MALKQISSNRCFGGLQKVFEHSSVELKCKMRFAVYLPPQAESGKCPALYWLSGLTCTEQN FISKSGYQQAASEHGLVVIAPDTSPRGCNIKGEDDSWDFGTGAGFYVNATEDPWKANYRM YSYVTEELPQLINANFPVDPQRMSIFGHSMGGHGALICALKNPGKYRAYDATCLVKAYSG SQIDILIDQGKDDEFLSNGQLLPDNFIAACTEKKIPVVFRLQEGYDHSYYFIATFIADHI RHHAKYLNA >ENSMUSP00000135708.1 pep:known chromosome:GRCm38:14:74738506:74749755:1 gene:ENSMUSG00000021996.16 transcript:ENSMUST00000176726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esd description:esterase D/formylglutathione hydrolase [Source:MGI Symbol;Acc:MGI:95421] XWLSGLTCTEQNFISKSGYQQAASEHGLVVIAPDTSPRGCNIKGEDDSWDFGTGAGFYVN ATEDPWKANYRMYSYVTEEGYDHSYYFIATFIADHIRHHAKYLNA >ENSMUSP00000023265.3 pep:known chromosome:GRCm38:15:74714839:74717069:1 gene:ENSMUSG00000022598.6 transcript:ENSMUST00000023265.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psca description:prostate stem cell antigen [Source:MGI Symbol;Acc:MGI:1919623] MKTVFFLLLATYLALHPGAALQCYSCTAQMNNRDCLNVQNCSLDQHSCFTSRIRAIGLVT VISKGCSSQCEDDSENYYLGKKNITCCYSDLCNVNGAHTLKPPTTLGLLTVLCSLLLWGS SRL >ENSMUSP00000117626.1 pep:known chromosome:GRCm38:17:68273797:68630903:1 gene:ENSMUSG00000041565.16 transcript:ENSMUST00000139383.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:L3mbtl4 description:l(3)mbt-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444889] MRQPNRKRKLSLESTERMNQDRCTGQTEEEKKPGEVTTPSKRESSVTTAETWSWEQYLRE GNAVAAPVELFSKDQSFPEHENGFQVGMRLEGIDARRPSVFCVLSVAEVCGYRLRLHFDG YLSCYDFWTNAGSPDIHPVGWCQKTKHELHIPRDYRKDKFVWMDYLKACRLQNAPKKLFR NRSSNGPVPREFQVGMKLEAVDRRNPCLMCVATIADIVEDRVRVHFDSLDDSFDYWCDVN SPYIQPVGWCQENGRTLVAPQEDSTWFFAKHEVGSCGQKEPPVDSCCYHCRC >ENSMUSP00000121045.1 pep:known chromosome:GRCm38:17:68273797:68641569:1 gene:ENSMUSG00000041565.16 transcript:ENSMUST00000124543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl4 description:l(3)mbt-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444889] MRQPNRKRKLSLESTERMNQDRCTGQTEEEKKPGEVTTPSKRESSVTTAETWSWEQYLRE GNAVAAPVELFSKDQSFPEHENGFQVGMRLEGIDARRPSVFCVLSVAEVCGYRLRLHFDG YLSCYDFWTNAGSPDIHPVGWCQKTKHELHIPRDYRKDKFVWMDYLKACRLQNAPKKLFR NRSSNGPVPREFQVGMKLEAVDRRNPCLMCVATIADIVEDRVRVHFDSLDDSFDYWCDVN SPYIQPVGWCQENGRTLVAPQGYPHPDKFSWTDYLRASQSKAVPAKAFGMRTPHGFLPNM KLEAVDKRNPQLIRVATIADVDDYRVKIHFDGWDHKYDYWVDADSQDIHPIGWCDVTGHP LEVPYGSKHVKILPGQPACPTPGCRGIGHIRGPRYAGHHSAFGCPYSDVNLKREAALQDR LREQTQANLELDPSHSKSENPCNLNVNGKCENANSQCRLVQQAKCLKIKGKEDIDLDNLF R >ENSMUSP00000094892.3 pep:known chromosome:GRCm38:17:68273797:68777961:1 gene:ENSMUSG00000041565.16 transcript:ENSMUST00000093007.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl4 description:l(3)mbt-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2444889] MRQPNRKRKLSLESTERMNQDRCTGQTEEEKKPGEVTTPSKRESSVTTAETWSWEQYLRE GNAVAAPVELFSKDQSFPEHENGFQVGMRLEGIDARRPSVFCVLSVAEVCGYRLRLHFDG YLSCYDFWTNAGSPDIHPVGWCQKTKHELHIPRDYRKDKFVWMDYLKACRLQNAPKKLFR NRSSNGPVPREFQVGMKLEAVDRRNPCLMCVATIADIVEDRVRVHFDSLDDSFDYWCDVN SPYIQPVGWCQENGRTLVAPQGYPHPDKFSWTDYLRASQSKAVPAKAFGMRTPHGFLPNM KLEAVDKRNPQLIRVATIADVDDYRVKIHFDGWDHKYDYWVDADSQDIHPIGWCDVTGHP LEVPYGSKHVKILPGQPACPTPGCRGIGHIRGPRYAGHHSAFGCPYSDVNLKREAALQDR LREQTQANLELDPSHSKSENPCNLNVNGKCENANSQCRLVQQAKCLKIKGKEDIDLDNLF RAMVTHSCRGEYSAAQVQQMLHQPLSMTSTSAHPFRDIPLSREQHCKLLPGVADIQASQV ARWTVDEVAEFVQSLLGCEEHAKCFKKEQIDGKAFLLLTQADIVKVMRIKLGPALKIYNS ILMFRNSQDVTEDASSQEDKR >ENSMUSP00000036253.4 pep:known chromosome:GRCm38:1:163384908:163403669:-1 gene:ENSMUSG00000040124.5 transcript:ENSMUST00000045138.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gorab description:golgin, RAB6-interacting [Source:MGI Symbol;Acc:MGI:2138271] MAQDWAGFSEEELRRLKQNKDPFEPQRRIPVKKTRQQLQREKALLEQSQKLGLQDGSASL LPEQLLSAPKQRANSQKPRSPSPVAPSPLTPTSSSGDGKLPGVGSQPQEPGLENSHHGHK SAEVRAPKPDCKVEKKKMELQEKSRWEVLQQEQRLMEEKNKRKKALLAQAIAERSKKTQA ETIKLKRIQKELQALDDMVSADIGILRNRIDQASLEYSYARKRFDRAEAEYITAKLDLQR KTETKEQLTEHLCTIIQQNELRKAKKLEELMQQLDVQADEEALQLEVEVEQLLREQEAEA AKQMASVERLCPPDGESVSSELAEENNEPQKQAPSPETDKPGKCCSSSPHRLDCPDPGAK NFSAAVAT >ENSMUSP00000140320.1 pep:known chromosome:GRCm38:1:163384912:163403656:-1 gene:ENSMUSG00000040124.5 transcript:ENSMUST00000186402.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gorab description:golgin, RAB6-interacting [Source:MGI Symbol;Acc:MGI:2138271] MAQDWAGFSEEELRRLKQNKDPFEPQRRIPVKKTRQQLQREKALLEQSQKLGLQDGSASL LPEQLLSAPKQRANSQKPRSPSPVAPSPLTPTSSSGDGKLPGVGSQPQEPGLENSHHGHK SAEVRAPKPDCKVEKKKMELLAREISLGSPPTRTATNGREK >ENSMUSP00000138524.1 pep:known chromosome:GRCm38:9:15824528:15874556:-1 gene:ENSMUSG00000050901.6 transcript:ENSMUST00000182947.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtnr1b description:melatonin receptor 1B [Source:MGI Symbol;Acc:MGI:2181726] MPENSSIPNCCEASGLAARPSWSGSAGARPPVTARAPWVAPMLSTVVVVTTAVDFVGNLL VILSVLRNRKLRNAGNLFVVSLALADLVIALYPYPLILVAIIRDGWVLGEAHCKASAFVM GLSVIGSVFNITAIAINRYCCICHSTTYHRVCSHWYTPIYISLVWLLTLVALVPNFFVGS LEYDPRIYSCTFIQTASTQYTAAVVAIHFLLPMAVVSFCYLRIWVLVLQARRKAKAERKL RLRPSDLRSFLTMFAVFVVFAICWAPLNCIGLAVAINPEAMALQVPEGLFVTSYFLAYFN SCLNAIVYGLLNQNFRREYKRILLAIWNTRRCIQHASKHCLTEERQGPTPPAARATVPVK EGAL >ENSMUSP00000053086.5 pep:known chromosome:GRCm38:9:15862667:15874460:-1 gene:ENSMUSG00000050901.6 transcript:ENSMUST00000057920.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtnr1b description:melatonin receptor 1B [Source:MGI Symbol;Acc:MGI:2181726] MPENSSIPNCCEASGLAARPSWSGSAGARPPVTARAPWVAPMLSTVVVVTTAVDFVGNLL VILSVLRNRKLRNAGNLFVVSLALADLVIALYPYPLILVAIIRDGWVLGEAHCKASAFVM GLSVIGSVFNITAIAINRYCCICHSTTYHRVCSHWYTPIYISLVWLLTLVALVPNFFVGS LEYDPRIYSCTFIQTASTQYTAAVVAIHFLLPMAVVSFCYLRIWVLVLQARRKAKAERKL RLRPSDLRSFLTMFAVFVVFAICWAPLNCIGLAVAINPEAMALQVPEGLFVTSYFLAYFN SCLNAIVYGLLNQNFRREYKRILLAIWNTRRCIQHASKHCLTEERQGPTPPAARATVPVK EGAL >ENSMUSP00000029805.8 pep:known chromosome:GRCm38:3:138089854:138131377:-1 gene:ENSMUSG00000028158.14 transcript:ENSMUST00000029805.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mttp description:microsomal triglyceride transfer protein [Source:MGI Symbol;Acc:MGI:106926] MILLAVLFLCFFSSYSASVKGHTTGLSLNNERLYKLTYSTEVFLDGGKGKPQDSVGYKIS SDVDVVLLWRNPDGDDDQVIQVTITAVNVENAGQQRGEKSIFQGKSTPKIIGKDNLEALQ RPMLLHLVRGKVKEFYSYENEPVGIENLKRGLASLFQMQLSSGTTNEVDISGDCKVTYQA QQDKVVKIKALDTCKIERSGFTTANQVLGVSSKATSVTTYKIEDSFVTAVLAEETRAFAL NFQQTIAGKIVSKQKLELKTTEAGPRMIPGKQVAGVIKAVDSKYKAIPIVGQVLERVCKG CPSLAEHWKSIRKNLEPENLSKAEAVQSFLAFIQHLRTSRREEILQILKAEKKEVLPQLV DAVTSAQTPDSLEAILDFLDFKSDSSIILQERFLYACGFATHPDEELLRALLSKFKGSFA SNDIRESVMIIIGALVRKLCQNEGCKLKAVVEAKKLILGGLEKPEKKEDTTMYLLALKNA LLPEGIPLLLKYAEAGEGPVSHLATTVLQRYDVSFITDEVKKTLNRIYHQNRKVHEKTVR TTAAAVILKNPSYMDVKNILLSIGELPKEMNKYMLTVVQDILHFEMPASKMIRRVLKEMA VHNYDRFSKSGSSSAYTGYVERSPRAASTYSLDILYSGSGILRRSNLNIFQYIGKAELHG SQVVIEAQGLEGLIAATPDEGEENLDSYAGMSAILFDVQLRPVTFFNGYSDLMSKMLSAS GDPVSVVKGLILLIDHSQDIQLQSGLKANMEIQGGLAIDISGSMEFSLWYRESKTRVKNR VAVVITSDVTVDASFVKAGVESRAETEAGLEFISTVQFSQYPFLVCMQMDKAEAPLRQFE TKYERLSTGRGYVSRRRKESLVAGCELPLHQENSEMCNVVFPPQPESDNSGGWF >ENSMUSP00000096179.2 pep:known chromosome:GRCm38:3:138091055:138143388:-1 gene:ENSMUSG00000028158.14 transcript:ENSMUST00000098580.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mttp description:microsomal triglyceride transfer protein [Source:MGI Symbol;Acc:MGI:106926] MTVVMGKCQVSDGRQLLLFYAVLLLFPTLCAMQNSGHTTGLSLNNERLYKLTYSTEVFLD GGKGKPQDSVGYKISSDVDVVLLWRNPDGDDDQVIQVTITAVNVENAGQQRGEKSIFQGK STPKIIGKDNLEALQRPMLLHLVRGKVKEFYSYENEPVGIENLKRGLASLFQMQLSSGTT NEVDISGDCKVTYQAQQDKVVKIKALDTCKIERSGFTTANQVLGVSSKATSVTTYKIEDS FVTAVLAEETRAFALNFQQTIAGKIVSKQKLELKTTEAGPRMIPGKQVAGVIKAVDSKYK AIPIVGQVLERVCKGCPSLAEHWKSIRKNLEPENLSKAEAVQSFLAFIQHLRTSRREEIL QILKAEKKEVLPQLVDAVTSAQTPDSLEAILDFLDFKSDSSIILQERFLYACGFATHPDE ELLRALLSKFKGSFASNDIRESVMIIIGALVRKLCQNEGCKLKAVVEAKKLILGGLEKPE KKEDTTMYLLALKNALLPEGIPLLLKYAEAGEGPVSHLATTVLQRYDVSFITDEVKKTLN RIYHQNRKVHEKTVRTTAAAVILKNPSYMDVKNILLSIGELPKEMNKYMLTVVQDILHFE MPASKMIRRVLKEMAVHNYDRFSKSGSSSAYTGYVERSPRAASTYSLDILYSGSGILRRS NLNIFQYIGKAELHGSQVVIEAQGLEGLIAATPDEGEENLDSYAGMSAILFDVQLRPVTF FNGYSDLMSKMLSASGDPVSVVKGLILLIDHSQDIQLQSGLKANMEIQGGLAIDISGSME FSLWYRESKTRVKNRVAVVITSDVTVDASFVKAGVESRAETEAGLEFISTVQFSQYPFLV CMQMDKAEAPLRQFETKYERLSTGRGYVSRRRKESLVAGCELPLHQENSEMCNVVFPPQP ESDNSGGWF >ENSMUSP00000054767.4 pep:known chromosome:GRCm38:10:102512222:102546560:1 gene:ENSMUSG00000044921.4 transcript:ENSMUST00000055355.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf9 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 9 [Source:MGI Symbol;Acc:MGI:2384307] MAPFGRNLLKTRHKNRSPTKDMDPEEKEIVVWVCQDEKIVCGLTKRTTSIDVIQALLEEH EATFGEKRFLLGKASDYCIVEKWRGSERALPPLTRILKLWKAWGDEQPNMQFVLVKTDAF LPVPLWRTAETKLVQNNEKPWELSPANYMKTLPPDKQKRIVRKTFRKLAKIRQDTSSHDR DNMECLVHLIISQDHTIHQQVQRMKELDMEIEKCEAKIHLDRVGNDGANYVHEAYLMPRL SDEEQKLDIQAEINQTLEDLNDSEGMAQLEEQLQYYRALIDKLSAEIEREVKGAGIDGIE DMEGAAACELENSDLESVKCDLETSMKAGLTIHSHLSGIQREIKYSDSLLQMKAREYELL AKEFSSLHISNKDGCQGKENRGKEAEAPSSNGETPPLTQRVFNIYTNDTDSDTGISSNHS QDSETTLGDVLLLAT >ENSMUSP00000098348.3 pep:known chromosome:GRCm38:5:120786226:120795530:-1 gene:ENSMUSG00000066867.8 transcript:ENSMUST00000100785.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas1e description:2'-5' oligoadenylate synthetase 1E [Source:MGI Symbol;Acc:MGI:2180856] MARELFRTPIWRLDNFIEDQLLPDTTFLTELRADIDSISAFLKERCFQGATHPMRVARVV MGGSYDEHNALKGRSEANMVVFFNDLTSFEDQLKWQQVFIEEIRKHLLQLQQEKPCKLKF EVQSSEEPNTRSLTFKLCSPERQQEVEFDVQPAYDALYEGGYCKSFESINYNKVYAQLIH ECTTLEKEGEFSICFTDLHQSFLRYRAPKLWNLIRLVKHWYQLCKEKLRGPLPPQYALEL LTVYVWEFGVQDSFGLHAAQCFRTVLELVTKYKCLLIYWTWFYDFRPEISDYLHGQIKKA RPLILDPADPTRNVAGSDLQAWDLLAKEAQTWIHSNFFRNCDMSLVNGWEVSPEKQ >ENSMUSP00000144529.1 pep:known chromosome:GRCm38:5:120786441:120795503:-1 gene:ENSMUSG00000066867.8 transcript:ENSMUST00000201172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas1e description:2'-5' oligoadenylate synthetase 1E [Source:MGI Symbol;Acc:MGI:2180856] MARELFRTPIWRLDNFIEDQLLPDTTFLTELRADIDSISAFLKERCFQGATHPMRVARVV MGGSYDEHNALKGRSEANMVVFFNDLTSFEDQLKWQQVFIEEIRKHLLQLQQEKPCKLKF EVQSSEEPNTRSLTFKLCSPERQQEVEFDVQPAYDALYEGGYCKSFESINYNKVYAQLIH ECTTLEKEGEFSICFTDLHQSFLRYRAPKLWNLIRLVKHWYQLCKEKLRGPLPPQYALEL LTVYVWEFGVQDSFGLHAAQCFRTVLELVTKYKCLLIYWTWFYDFRPEISDYLHGQIKKA RPLILDPADPTRNVAGSDLQAWDLLAKEAQTWIHSNFFRNCDMSLVNGWEVSLPTVFSGS QAVMDREERKLDFHLSTCWEVLSNSG >ENSMUSP00000144442.1 pep:known chromosome:GRCm38:5:120787971:120795512:-1 gene:ENSMUSG00000066867.8 transcript:ENSMUST00000200786.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oas1e description:2'-5' oligoadenylate synthetase 1E [Source:MGI Symbol;Acc:MGI:2180856] MARELFRTPIWRLDNFIEDQLLPDTTFLTELRADIDSISAFLKERCFQGATHPMRVARVV MMKGDTASPLNPLTTTKSTPNSSMSAPPWRRRASSPSASPTFIRAS >ENSMUSP00000006749.9 pep:known chromosome:GRCm38:11:102348824:102365280:-1 gene:ENSMUSG00000006574.15 transcript:ENSMUST00000006749.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a1 description:solute carrier family 4 (anion exchanger), member 1 [Source:MGI Symbol;Acc:MGI:109393] MGDMRDHEEVLEIPDRDSEEELENIIGQIAYRDLTIPVTEMQDPEALPTEQTATDYVPSS TSTPHPSSGQVYVELQELMMDQRNQELQWVEAAHWIGLEENLREDGVWGRPHLSYLTFWS LLELQKVFSKGTFLLGLAETSLAGVANHLLDCFIYEDQIRPQDREELLRALLLKRSHAED LGNLEGVKPAVLTRSGGASEPLLPHQPSLETQLYCGQAEGGSEGPSTSGTLKIPPDSETT LVLVGRANFLEKPVLGFVRLKEAVPLEDLVLPEPVGFLLVLLGPEAPHVDYTQLGRAAAT LMTERVFRITASMAHNREELLRSLESFLDCSLVLPPTDAPSEKALLNLVPVQKELLRRRY LPSPAKPDPNLYNTLDLNGGKGGPGDEDDPLRRTGRIFGGLIRDIRRRYPYYLSDITDAL SPQVLAAVIFIYFAALSPAVTFGGLLGEKTRNLMGVSELLISTAVQGILFALLGAQPLLV LGFSGPLLVFEEAFFSFCESNNLEYIVGRAWIGFWLILLVMLVVAFEGSFLVQYISRYTQ EIFSFLISLIFIYETFSKLIKIFQDYPLQQTYAPVVMKPKPQGPVPNTALFSLVLMAGTF LLAMTLRKFKNSTYFPGKLRRVIGDFGVPISILIMVLVDSFIKGTYTQKLSVPDGLKVSN SSARGWVIHPLGLYRLFPTWMMFASVLPALLVFILIFLESQITTLIVSKPERKMIKGSGF HLDLLLVVGMGGVAALFGMPWLSATTVRSVTHANALTVMGKASGPGAAAQIQEVKEQRIS GLLVSVLVGLSILMEPILSRIPLAVLFGIFLYMGVTSLSGIQLFDRILLLFKPPKYHPDV PFVKRVKTWRMHLFTGIQIICLAVLWVVKSTPASLALPFVLILTVPLRRLILPLIFRELE LQCLDGDDAKVTFDEENGLDEYDEVPMPV >ENSMUSP00000113031.1 pep:known chromosome:GRCm38:7:24112314:24127952:1 gene:ENSMUSG00000052675.10 transcript:ENSMUST00000120006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp112 description:zinc finger protein 112 [Source:MGI Symbol;Acc:MGI:1929115] MVTFRDVAVVFSEEELGLLDAAQWKLYREVMLENFRMLLSVAHQPFKPGLIAQLENGEQL WMVEAEAHAGGLSGRRNGHAVENAEDVEVNSLCPEDLSFCQTWPWGACTLPSGQEFIKRC QGSNGESQSQEASPRLVWAGMPMQISEDGNYGLPPAHEDSASMKNQEFPPPRSQQSQGEP YLGRPCVTCQWKGQQTSIRNHFCRYGSVCWSPYHSDNEELYSKGKSYGFHDDRGKTVKVL LLNQDFIELGPEPCPRTKNREAWGHDNDHSSHTHQQCHSRGKPCTRSLSGEGCGDRSALH GHPGLEAGDEGADGSPPLQSSPRVCMEQVPRECSGNVHYGSPLNTCGCAHPGNTSQTCSI PKQAVGSPVHCNSTFRAHPREEPNNYEENRNVFNQSSCLQVSRKIGAEEKLCQGVECRKN FTHCSSLNIPHRVPVEETLCNPDCGNHFSLPPHFQDFPGVRAREQPHNRLCRTGFSQTLC LQGHQKLHIREKPSHREGGSGCNWSSTPKDPQKQKLHKCNACGKGFSHRSVLDIHHRIHT GEKPYKCEECGKGFSRSAYLQGHQRVHTGEKPYKCEECGKGFSRSSHLQGHQRVHTGEKP YKCEECGKGFSWSFNLQIHQRVHTGEKPYKCGECGKGFSKASTLLAHERVHTGEKPYQCH ECGKNFSQKSYLQSHQSVHSGERPHICEVCGKGFSQRAYLQGHLRVHTRVKPYKCEVCGK GFSQGSRLEAHQRVHTGRKPFKCETCTKGFSESSRLQAHQRIHEEGRPYKCDQCGKGFSG YSSLQAHHRVHTGEKPYKCEVCGKGFSQRSNLQAHQRVHTGEKPYTCDACGKGFRWSSGL LIHQRAHSSDTFYRSGECGSSYPPENLCRNEGL >ENSMUSP00000005413.3 pep:known chromosome:GRCm38:7:24112324:24127493:1 gene:ENSMUSG00000052675.10 transcript:ENSMUST00000005413.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp112 description:zinc finger protein 112 [Source:MGI Symbol;Acc:MGI:1929115] MTKLQEMVTFRDVAVVFSEEELGLLDAAQWKLYREVMLENFRMLLSVAHQPFKPGLIAQL ENGEQLWMVEAEAHAGGLSGRRNGHAVENAEDVEVNSLCPEDLSFCQTWPWGACTLPSGQ EFIKRCQGSNGESQSQEASPRLVWAGMPMQISEDGNYGLPPAHEDSASMKNQEFPPPRSQ QSQGEPYLGRPCVTCQWKGQQTSIRNHFCRYGSVCWSPYHSDNEELYSKGKSYGFHDDRG KTVKVLLLNQDFIELGPEPCPRTKNREAWGHDNDHSSHTHQQCHSRGKPCTRSLSGEGCG DRSALHGHPGLEAGDEGADGSPPLQSSPRVCMEQVPRECSGNVHYGSPLNTCGCAHPGNT SQTCSIPKQAVGSPVHCNSTFRAHPREEPNNYEENRNVFNQSSCLQVSRKIGAEEKLCQG VECRKNFTHCSSLNIPHRVPVEETLCNPDCGNHFSLPPHFQDFPGVRAREQPHNRLCRTG FSQTLCLQGHQKLHIREKPSHREGGSGCNWSSTPKDPQKQKLHKCNACGKGFSHRSVLDI HHRIHTGEKPYKCEECGKGFSRSAYLQGHQRVHTGEKPYKCEECGKGFSRSSHLQGHQRV HTGEKPYKCEECGKGFSWSFNLQIHQRVHTGEKPYKCGECGKGFSKASTLLAHERVHTGE KPYQCHECGKNFSQKSYLQSHQSVHSGERPHICEVCGKGFSQRAYLQGHLRVHTRVKPYK CEVCGKGFSQGSRLEAHQRVHTGRKPFKCETCTKGFSESSRLQAHQRIHEEGRPYKCDQC GKGFSGYSSLQAHHRVHTGEKPYKCEVCGKGFSQRSNLQAHQRVHTGEKPYTCDACGKGF RWSSGLLIHQRAHSSDTFYRSGECGSSYPPENLCRNEGL >ENSMUSP00000022292.3 pep:known chromosome:GRCm38:14:21750531:21793302:1 gene:ENSMUSG00000021770.10 transcript:ENSMUST00000022292.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd8 description:sterile alpha motif domain containing 8 [Source:MGI Symbol;Acc:MGI:1914880] MPAGSRAGSRLRSGSLPRPSRLTLKALRPAYAPRTPDSNGDLDTGSELGPGSPAPTAEEV EKEMAGPSQLCIRRWTTKHVAVWLKDEGFFEYVDILCNKHRLDGITLLTLTEYDLRSPPL EIKVLGDIKRLMLSVRKLQKIHTDVLEEMGYNSDSPMSPMTPFLSALQSADWLCNGEPTH SCDGPIPDLSSDQYQYMNGKNKHSARRLDPEYWKTILSCVYVFIVFGFTSFIMVIVHERV PDMQTYPPLPDIFLDSVPRIPWAFSMTEVCGVILCYIWILVLLLHKHRSILLRRLCSLMG TVFLLRCFTMFVTSLSVPGQHLQCTGKIYGSVWEKLRRAFAIWSGFGMTLTGVHTCGDYM FSGHTVVLTMLNFFVTEYTPRSWNFLHTLSWVLNLFGIFFILAAHEHYSIDVFIAFYITT RLFLYYHTLANTRAYHQSRRARIWFPMFSFFECNVNGTVPNEYCWPFSKPAIMKRLIG >ENSMUSP00000117603.1 pep:known chromosome:GRCm38:14:21750548:21793302:1 gene:ENSMUSG00000021770.10 transcript:ENSMUST00000144061.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Samd8 description:sterile alpha motif domain containing 8 [Source:MGI Symbol;Acc:MGI:1914880] MPAGSRAGSRLRSGSLPRPSRLTLKALRPAYAPRTPDSNGDLDTGSELGPGSPAPTAEVS GRRG >ENSMUSP00000112803.1 pep:known chromosome:GRCm38:14:21751552:21798725:1 gene:ENSMUSG00000021770.10 transcript:ENSMUST00000119430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd8 description:sterile alpha motif domain containing 8 [Source:MGI Symbol;Acc:MGI:1914880] MAGPSQLCIRRWTTKHVAVWLKDEGFFEYVDILCNKHRLDGITLLTLTEYDLRSPPLEIK VLGDIKRLMLSVRKLQKIHTDVLEEMGYNSDSPMSPMTPFLSALQSADWLCNGEPTHSCD GPIPDLSSDQYQYMNGKNKHSARRLDPEYWKTILSCVYVFIVFGFTSFIMVIVHERVPDM QTYPPLPDIFLDSVPRIPWAFSMTEVCGVILCYIWILVLLLHKHRSILLRRLCSLMGTVF LLRCFTMFVTSLSVPGQHLQCTGKIYGSVWEKLRRAFAIWSGFGMTLTGVHTCGDYMFSG HTVVLTMLNFFVTEYTPRSWNFLHTLSWVLNLFGIFFILAAHEHYSIDVFIAFYITTRLF LYYHTLANTRAYHQSRRARIWFPMFSFFECNVNGTVPNEYCWPFSKPAIMKRLIG >ENSMUSP00000066015.6 pep:known chromosome:GRCm38:5:105519388:105613018:1 gene:ENSMUSG00000054720.12 transcript:ENSMUST00000067924.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8c description:leucine rich repeat containing 8 family, member C [Source:MGI Symbol;Acc:MGI:2140839] MIPVTEFRQFSEQQPAFRVLKPWWDVFTDYLSVAMLMIGVFGCTLQVMQDKIICLPKRVQ PAQNHSSVPNVSQAVISTTPLPPPKPSPTNPATVEMKGLKTDLDLQQYSFINQMCYERAL HWYAKYFPYLVLIHTLVFMLCSNFWFKFPGSSSKIEHFISILGKCFDSPWTTRALSEVSG EDSEEKDNRKNNMNRSGTIQSGPEGNLVRSQSLKSIPEKFVVDKSAAGALDKKEGEQAKA LFEKVKKFRLHVEEGDILYAMYVRQTVLKVIKFLIIIAYNSALVSKVQFTVDCNVDIQDM TGYKNFSCNHTMAHLFSKLSFCYLCFVSIYGLTCLYTLYWLFYRSLREYSFEYVRQETGI DDIPDVKNDFAFMLHMIDQYDPLYSKRFAVFLSEVSENKLKQLNLNNEWTPDKLRQKLQT NAHNRLELPLIMLSGLPDTVFEITELQSLKLEIIKNVMIPATIAQLDNLQELCLHQCSVK IHSAALSFLKENLKVLSVKFDDMRELPPWMYGLRNLEELYLVGSLSHDISKNVTLESLRD LKSLKILSIKSNVSKIPQAVVDVSSHLQKMCVHNDGTKLVMLNNLKKMTNLTELELVHCD LERIPHAVFSLLSLQELDLKENNLKSIEEIVSFQHLRKLTVLKLWYNSIAYIPEHIKKLT SLERLFFSHNKVEVLPSHLFLCNKIRYLDLSYNDIRFIPPEIGVLQSLQYFSITCNKVES LPDELYFCKKLKTLKIGKNSLSVLSPKIGNLLFLSYLDIKGNHFEVLPPELGDCRALKRA GLVVEDALFETLPSDVREQMKAD >ENSMUSP00000122573.1 pep:known chromosome:GRCm38:5:105519416:105579569:1 gene:ENSMUSG00000054720.12 transcript:ENSMUST00000150981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8c description:leucine rich repeat containing 8 family, member C [Source:MGI Symbol;Acc:MGI:2140839] MIPVTEFRQFSEQQPAFRVLKPWWDVFTDYLSV >ENSMUSP00000114899.1 pep:known chromosome:GRCm38:5:105558858:105606872:1 gene:ENSMUSG00000054720.12 transcript:ENSMUST00000153754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8c description:leucine rich repeat containing 8 family, member C [Source:MGI Symbol;Acc:MGI:2140839] MIPVTEFRQFSEQQPAFRVLKPWWDVFTDYLSVAMLMIGVFGCTLQVMQDKIICLPKRVQ PAQNHSSVPNVSQAVISTTPLPPPKPSPTNPATVEMKGLKTDLDLQQYSFINQMCYERAL HWYAKYFPYLVLIHTLVFMLCSNFWFKFPGSSSKIEHFISILGKCFDSPWT >ENSMUSP00000135986.1 pep:known chromosome:GRCm38:1:42952963:43035456:1 gene:ENSMUSG00000041907.9 transcript:ENSMUST00000179766.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr45 description:G protein-coupled receptor 45 [Source:MGI Symbol;Acc:MGI:2135882] MACNSTPMGTYEHLLLNVSNTLDPGDTPLSAPLRISLAIMMLLMIVVGFLGNTVVCIIVY QRPAMRSAINLLLATLAFSDIMLSLCCMPFTAITLITVRWHFGDHFCRLSATLYWFFVLE GVAILLIISVDRFLIIVQRQDKLNPRRAKMIIAASWVLSFCISAPSFTGWTFMEVPARAP QCVLGYTEFPAERAYVVTLVVAVFFAPFGVMLCSYLCILNTVRKNAVRVHNQSDSLDLRQ LTGAGLRRLRRQQQQASLDLSFKTKAFTTILILFVGFSLCWLPHSVYSLLSAFSRRFYYS ASFYTTSTCVLWLSYLKSVFNPIVYCWRIKKFREACIELLPHTFQILPKVPERIQRKIQP STIYVCNENQSAV >ENSMUSP00000110409.1 pep:known chromosome:GRCm38:1:43032060:43033605:1 gene:ENSMUSG00000041907.9 transcript:ENSMUST00000114761.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr45 description:G protein-coupled receptor 45 [Source:MGI Symbol;Acc:MGI:2135882] MACNSTPMGTYEHLLLNVSNTLDPGDTPLSAPLRISLAIMMLLMIVVGFLGNTVVCIIVY QRPAMRSAINLLLATLAFSDIMLSLCCMPFTAITLITVRWHFGDHFCRLSATLYWFFVLE GVAILLIISVDRFLIIVQRQDKLNPRRAKMIIAASWVLSFCISAPSFTGWTFMEVPARAP QCVLGYTEFPAERAYVVTLVVAVFFAPFGVMLCSYLCILNTVRKNAVRVHNQSDSLDLRQ LTGAGLRRLRRQQQQASLDLSFKTKAFTTILILFVGFSLCWLPHSVYSLLSAFSRRFYYS ASFYTTSTCVLWLSYLKSVFNPIVYCWRIKKFREACIELLPHTFQILPKVPERIQRKIQP STIYVCNENQSAV >ENSMUSP00000050404.8 pep:known chromosome:GRCm38:6:83805401:83831741:-1 gene:ENSMUSG00000045896.14 transcript:ENSMUST00000058383.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip2b description:poly(A) binding protein interacting protein 2B [Source:MGI Symbol;Acc:MGI:2386865] MTTLNTGSARISIMNGSSVASTSPSVKCKEDQGLNGHEEKENPFAEYMWMENEEDFNRQV EEELQEQDFLDRCFQEMLDEEDQDWFIPARDLPQAVGHLQQQLNGLSVGDSHESEDILSK SNLNPDAKEFVPGVKY >ENSMUSP00000107911.2 pep:known chromosome:GRCm38:5:114003703:114025682:1 gene:ENSMUSG00000042096.15 transcript:ENSMUST00000112292.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dao description:D-amino acid oxidase [Source:MGI Symbol;Acc:MGI:94859] MRVAVIGAGVIGLSTALCIHERYHPTQPLHMKIYADRFTPFTTSDVAAGLWQPYLSDPSN PQEAEWSQQTFDYLLSCLHSPNAEKMGLALISGYNLFRDEVPDPFWKNAVLGFRKLTPSE MDLFPDYGYGWFNTSLLLEGKSYLPWLTERLTERGVKLIHRKVESLEEVARGVDVIINCT GVWAGALQADASLQPGRGQIIQVEAPWIKHFILTHDPSLGIYNSPYIIPGSKTVTLGGIF QLGNWSGLNSVRDHNTIWKSCCKLEPTLKNARIVGELTGFRPVRPQVRLEREWLHFGSSS AEVIHNYGHGGYGLTIHWGCAMEAANLFGKILEEKKLSRLPPSHL >ENSMUSP00000083792.4 pep:known chromosome:GRCm38:5:114003884:114025449:1 gene:ENSMUSG00000042096.15 transcript:ENSMUST00000086599.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dao description:D-amino acid oxidase [Source:MGI Symbol;Acc:MGI:94859] MRVAVIGAGVIGLSTALCIHERYHPTQPLHMKIYADRFTPFTTSDVAAGLWQPYLSDPSN PQEAEWSQQTFDYLLSCLHSPNAEKMGLALISGYNLFRDEVPDPFWKNAVLGFRKLTPSE MDLFPDYGYGWFNTSLLLEGKSYLPWLTERLTERGVKLIHRKVESLEEVARGVDVIINCT GVWAGALQADASLQPGRGQIIQVEAPWIKHFILTHDPSLGIYNSPYIIPGMQELWVNSLA SGQSGLRSG >ENSMUSP00000125588.1 pep:known chromosome:GRCm38:5:114004808:114025449:1 gene:ENSMUSG00000042096.15 transcript:ENSMUST00000161610.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dao description:D-amino acid oxidase [Source:MGI Symbol;Acc:MGI:94859] MRVAVIGAGVIGLSTALCIHERYHPTQPLHMKIYADRFTPFTTSDVAAGLWQPYLSDPSN PQEAEWSQQTFDYLLSCLHSPNAEKMGLALISGYNLFRDEVPDPFWKNAVLGFRKLTPSE MDLFPDYGYGWFNTSLLLEGKSYLPWLTERLTERGVKLIHRKVESLEEVARGVDVIINCT GVWAGALQADASLQPGRGQIIQVEAPWIKHFILTHDPSLGIYNSPYIIPGSKTVTLGGIF QLGNWSGLNSVRDHNTIWKSCCKLEPTLKNARIVGELTGFRPVRPQVRLEREWLHFGSSS AEVIHNYGHGGYGLTIHWGCAMEAANLFGKILEEKKLSRLPPSHL >ENSMUSP00000143337.1 pep:known chromosome:GRCm38:5:114009891:114015498:1 gene:ENSMUSG00000042096.15 transcript:ENSMUST00000199175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dao description:D-amino acid oxidase [Source:MGI Symbol;Acc:MGI:94859] XIHERYHPTQPLHMKIYADRFTPFTTSDVAAGLWQPYLSDPSNPQEAEWSQQTFDYLLSC LHSPNAEKMGLALISGYNLFRDEVPDPFWKNAVLGFRKLTPSEMDLFPDYGYGWFNTSLL LEGKSYLPWLTER >ENSMUSP00000145993.1 pep:known chromosome:GRCm38:7:24134196:24140944:1 gene:ENSMUSG00000047603.9 transcript:ENSMUST00000205680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp235 description:zinc finger protein 235 [Source:MGI Symbol;Acc:MGI:1929117] MTKLQEAVTFRDVAVVFSEEEMGLLDAAQRKLYHDVMLENFLNLLAVGWFHSLLAAFLSR YPTALAPLTSCGLQGNPGFTSQASHSTGIVRPHAWPLRLSLAAGNFHSLFHVSLTQTGSQ SPNKVDTLDSTGLRHLSLGWLPCWHMTGHDVKKLASTPDVVVNIQGKSSHFLEQCHSSCH GGTEEPLQVSEDNGCLESLTSDHSSITENQEFLSGRAQSSWSKTDFSERWNHEKRCTQTL VKTKSQLLALGVDILNCISHQDNNILHKRDKVPSSGDCDQVIFPMACLTQSPVYTEQKAY QCNTGQDAFIDSPSQETQQVLLGNKSPVHGTY >ENSMUSP00000050803.7 pep:known chromosome:GRCm38:7:24134242:24143241:1 gene:ENSMUSG00000047603.9 transcript:ENSMUST00000056549.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp235 description:zinc finger protein 235 [Source:MGI Symbol;Acc:MGI:1929117] MTKLQEAVTFRDVAVVFSEEEMGLLDAAQRKLYHDVMLENFLNLLAVGSQSPNKVDTLDS TGLRHLSLGWLPCWHMTGHDVKKLASTPDVVVNIQGKSSHFLEQCHSSCHGGTEEPLQVS EDNGCLESLTSDHSSITENQEFLSGRAQSSWSKTDFSERWNHEKRCTQTLVKTKSQLLAL GVDILNCISHQDNNILHKRDKVPSSGDCDQVIFPMACLTQSPVYTEQKAYQCNTGQDAFI DSPSQETQQVLLGNKSPVHGTYEDTSYTSSVIQQSVHPGRKRYWCQECGKAFSQSSNLQT HQRVHTGEKPYTCPECGKSFNQSSHLYAHLPIHTGEKPYCCDNCGKGFSRSTDLNIHCRV HTGEKPYKCEVCGKGFTQRSHLQAHERIHTGEKPYKCGDCGKCFSCSSNLHTHQRVHTEE KPYKCDECGKRFSLSFNLHSHQRVHTGEKPYKCEECGKGFSSASSFQSHQRVHTGEKPFC CSVCGKGFSQSSYFQAHQRVHTGEKPYRCDVCGKRFNWSLNLHNHQRVHTGERPYKCEEC GKGFSQASNLQAHQSVHTGEKPFRCNACQKRFSQASHLQAHQRVHTGERPYKCDTCGKAF SQRSNLQVHQIIHTGEKPFKCEECGKEFSWSAGLTAHQRVHTGEKPYTCQQCGKGFSQAS HFHTHQRVHTGERPYICSICSKGFSQRSHLVYHQRVHSAGNP >ENSMUSP00000143365.1 pep:known chromosome:GRCm38:3:158082891:158353433:-1 gene:ENSMUSG00000028176.11 transcript:ENSMUST00000200196.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc7 description:leucine rich repeat containing 7 [Source:MGI Symbol;Acc:MGI:2676665] XIGRLVPCRCFRGEEEIISVLDYSHCSLQQVPKEVFNFERTLEELYLDANQIEELPKQLF NCQALRKLSIPDNDLSSLPTSIASLVNLKELDISKNGVQEFPENIKCCKCLTIIEASVNP ISKLPDGFTQLLNLTQLYLNDAFLEFLPANFGRLVKLRILELRENHLKTLPKSMHKLAQL ERLDLGNNEFSELPEVLDQIQNLRELWMDNNALQVLPGSIGKLKMLVYLDMSKNRIETVD MDISGCEALEDLLLSSNMLQQLPDSIGLLKKLTTLKVDDNQLTMLPNTIGNLSLLEEFDC SCNELESLPPTIGYLHSLRTLAVDENFLPELPREIGSCKNVTVMSLRSNKLEFLPEEIGQ MQRLRVLNLSDNRLKNLPFSFTKLKELAALWLSDNQSKALIPLQTEAHPETKQRVLTNYM FPQQPRGDEDFQSDSDSFNPTLWEEQRQQRMTVAFEFEDKKEDDESAGKVKALSCQAPWD RGQRGITLQPARLSGDCCTPWARCDQQIQDMPVPQSDPQLAWGCISGLQQERSMCAPLPV AAQSTTLPSLSGRQVEINLKRYPTPYPEDLKNMVKSVQNLVGKPSHGVRVENSNPTANTE QTVKEKFEHKWPVAPKEITVEDSFVHPANEMRIGELHPSLAETPLYPPKLVLLGKDKKES TDESEVDKTHCLNNSVSSGTYSDYSPSQASSASSNTRMKVGSLQATAKDAVHNSLWGNRI APPFPQPLDAKPLLSQREAVPPGNIPQRPDRLPMSDAFPDNWTDGSHYDNTGFVSEEAAG ENANNNPLLSSKARSVPAHGRRPLIRQERIVGVPLELEQSTHRHTPETEVPPSNPWQNWT RTPSPFEDRTAFPSKLETTPTTSPLPERKDHMKEPTETPGPFSPGVPWEYHDPTPNRSLG NVFSQIHCRPDSSKGVIAISKSTERLSPLMKDIKSNKFKKSQSIDEIDVGTYKVYNIPLE NYASGSDHLGSHERPDKFLGPEHGMSSMSRSQSVPMLDDEMLMYGSSKGPPQQKASMTKK VYQFDQSFNPQGAVEVKAEKRIPPPFAHNSEYVQQPSKNIAKDLVSPRAYRGYPPMEQMF SFSQPSVNEDAMVNAQFASQGPRAGFLRRADSLASSTEMAMFRRVSEPHELPPGDRYGRA TYRGGLEGQSSISMTDPQFLKRNGRYEDEHPSYQEVKAQAGSFPAKNLTQRRPLSARSYS TESYGASQTRPVSARPTMAALLEKIPSDYNLGNYGDKTSDNSDIKTRPTPVKGEESCGKM PADWRQQLLRHIEARRLDRNAAYKHNTVNLGMLPYGGISAMHAGRSMTLNLQTKSKFDLQ DLPLQKTPSQQSNILDNGQEDVSPSGQWNPYPLGRRDVPPDTITKKAGSHIQTLMGSQSL QHRSREQQPYEGNINKVTIQQFQSPLPIQIPSSQATRGPQPGRCLIQTKGQRSMDGYPEQ FCVRIEKNPGLGFSISGGISGQGNPFKPSDKGIFVTRVQPDGPASNLLQPGDKILQANGH SFVHMEHEKAVLLLKSFQNTVDLVIQRELTV >ENSMUSP00000142498.1 pep:known chromosome:GRCm38:3:158082895:158562221:-1 gene:ENSMUSG00000028176.11 transcript:ENSMUST00000200137.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc7 description:leucine rich repeat containing 7 [Source:MGI Symbol;Acc:MGI:2676665] MQCLEMTTKRKLIGRLVPCRCFRGEEEIISVLDYSHCSLQQVPKEVFNFERTLEELYLDA NQIEELPKQLFNCQALRKLSIPDNDLSSLPTSIASLVNLKELDISKNGVQEFPENIKCCK CLTIIEASVNPISKLPDGFTQLLNLTQLYLNDAFLEFLPANFGRLVKLRILELRENHLKT LPKSMHKLAQLERLDLGNNEFSELPEVLDQIQNLRELWMDNNALQVLPGSIGKLKMLVYL DMSKNRIETVDMDISGCEALEDLLLSSNMLQQLPDSIGLLKKLTTLKVDDNQLTMLPNTI GNLSLLEEFDCSCNELESLPPTIGYLHSLRTLAVDENFLPELPREIGSCKNVTVMSLRSN KLEFLPEEIGQMQRLRVLNLSDNRLKNLPFSFTKLKELAALWLSDNQSKALIPLQTEAHP ETKQRVLTNYMFPQQPRGDEDFQSDSDSFNPTLWEEQRQQRMTVAFEFEDKKEDDESAGK VKALSCQAPWDRGQRGITLQPARLSGDCCTPWARCDQQIQDMPVPQSDPQLAWGCISGLQ QERSMCAPLPVAAQSTTLPSLSGRQVEINLKRYPTPYPEDLKNMVKSVQNLVGKPSHGVR VENSNPTANTEQTVKEKFEHKWPVAPKEITVEDSFVHPANEMRIGELHPSLAETPLYPPK LVLLGKDKKESTDESEVDKTHCLNNSVSSGTYSDYSPSQASSASSNTRMKVGSLQATAKD AVHNSLWGNRIAPPFPQPLDAKPLLSQREAVPPGNIPQRPDRLPMSDAFPDNWTDGSHYD NTGFVSEEAAGENANNNPLLSSKARSVPAHGRRPLIRQERIVGVPLELEQSTHRHTPETE VPPSNPWQNWTRTPSPFEDRTAFPSKLETTPTTSPLPERKDHMKEPTETPGPFSPGVPWE YHDPTPNRSLGNVFSQIHCRPDSSKGVIAISKSTERLSPLMKDIKSNKFKKSQSIDEIDV GTYKVYNIPLENYASGSDHLGSHERPDKFLGPEHGMSSMSRSQSVPMLDDEMLMYGSSKG PPQQKASMTKKVYQFDQSFNPQGAVEVKAEKRIPPPFAHNSEYVQQPSKNIAKDLVSPRA YRGYPPMEQMFSFSQPSVNEDAMVNAQFASQGPRAGFLRRADSLASSTEMAMFRRVSEPH ELPPGDRYGRATYRGGLEGQSSISMTDPQFLKRNGRYEDEHPSYQEVKAQAGSFPAKNLT QRRPLSARSYSTESYGASQTRPVSARPTMAALLEKIPSDYNLGNYGDKTSDNSDIKTRPT PVKGEESCGKMPADWRQQLLRHIEARRLDRTPSQQSNILDNGQEDVSPSGQWNPYPLGRR DVPPDTITKKAGSHIQTLMGSQSLQHRSREQQPYEGNINKVTIQQFQSPLPIQIPSSQAT RGPQPGRCLIQTKGQRSMDGYPEQFCVRIEKNPGLGFSISGGISGQGNPFKPSDKGIFVT RVQPDGPASNLLQPGDKILQANGHSFVHMEHEKAVLLLKSFQNTVDLVIQRELTV >ENSMUSP00000142440.1 pep:known chromosome:GRCm38:3:158083666:158562134:-1 gene:ENSMUSG00000028176.11 transcript:ENSMUST00000199890.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc7 description:leucine rich repeat containing 7 [Source:MGI Symbol;Acc:MGI:2676665] MQCLEMTTKRKLIGRLVPCRCFRGEEEIISVLDYSHCSLQQVPKEVFNFERTLEELYLDA NQIEELPKQLFNCQALRKLSIPDNDLSSLPTSIASLVNLKELDISKNGVQEFPENIKCCK CLTIIEASVNPISKLPDGFTQLLNLTQLYLNDAFLEFLPANFGRLVKLRILELRENHLKT LPKSMHKLAQLERLDLGNNEFSELPEVLDQIQNLRELWMDNNALQVLPGSIGKLKMLVYL DMSKNRIETVDMDISGCEALEDLLLSSNMLQQLPDSIGLLKKLTTLKVDDNQLTMLPNTI GNLSLLEEFDCSCNELESLPPTIGYLHSLRTLAVDENFLPELPREIGSCKNVTVMSLRSN KLEFLPEEIGQMQRLRVLNLSDNRLKNLPFSFTKLKELAALWLSDNQSKALIPLQTEAHP ETKQRVLTNYMFPQQPRGDEDFQSDSDSFNPTLWEEQRQQRMTVAFEFEDKKEDDESAGK VKALSCQAPWDRGQRGITLQPARLSGDCCTPWARCDQQIQDMPVPQSDPQLAWGCISGLQ QERSMCAPLPVAAQSTTLPSLSGRQVEINLKRYPTPYPEDLKNMVKSVQNLVGKPSHGVR VENSNPTANTEQTVKEKFEHKWPVAPKEITVEDSFVHPANEMRIGELHPSLAETPLYPPK LVLLGKDKKESTDESEVDKTHCLNNSVSSGTYSDYSPSQASSASSNTRMKVGSLQATAKD AVHNSLWGNRIAPPFPQPLDAKPLLSQREAVPPGNIPQRPDRLPMSDAFPDNWTDGSHYD NTGFVSEEAAGENANNNPLLSSKARSVPAHGRRPLIRQERIVGVPLELEQSTHRHTPETE VPPSNPWQNWTRTPSPFEDRTAFPSKLETTPTTSPLPERKDHMKEPTETPGPFSPGVPWE YHDPTPNRSLGNVFSQIHCRPDSSKGVIAISKSTERLSPLMKDIKSNKFKKSQSIDEIDV GTYKVYNIPLENYASGSDHLGSHERPDKFLGPEHGMSSMSRSQSVPMLDDEMLMYGSSKG PPQQKASMTKKVYQFDQSFNPQGAVEVKAEKRIPPPFAHNSEYVQQPSKNIAKDLVSPRA YRGYPPMEQMFSFSQPSVNEDAMVNAQFASQGPRAGFLRRADSLASSTEMAMFRRVSEPH ELPPGDRYGRATYRGGLEGQSSISMTDPQFLKRNGRYEDEHPSYQEVKAQAGSFPAKNLT QRRPLSARSYSTESYGASQTRPVSARPTMAALLEKIPSDYNLGNYGDKTSDNSDIKTRPT PVKGEESCGKMPADWRQQLLRHIEARRLDRAGSHIQTLMGSQSLQHRSREQQPYEGNINK VTIQQFQSPLPIQIPSSQATRGPQPGRCLIQTKGQRSMDGYPEQFCVRIEKNPGLGFSIS GGISGQGNPFKPSDKGSA >ENSMUSP00000101659.1 pep:known chromosome:GRCm38:3:158084439:158562221:-1 gene:ENSMUSG00000028176.11 transcript:ENSMUST00000106044.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc7 description:leucine rich repeat containing 7 [Source:MGI Symbol;Acc:MGI:2676665] MQCLEMTTKRKLIGRLVPCRCFRGEEEIISVLDYSHCSLQQVPKEVFNFERTLEELYLDA NQIEELPKQLFNCQALRKLSIPDNDLSSLPTSIASLVNLKELDISKNGVQEFPENIKCCK CLTIIEASVNPISKLPDGFTQLLNLTQLYLNDAFLEFLPANFGRLVKLRILELRENHLKT LPKSMHKLAQLERLDLGNNEFSELPEVLDQIQNLRELWMDNNALQVLPGSIGKLKMLVYL DMSKNRIETVDMDISGCEALEDLLLSSNMLQQLPDSIGLLKKLTTLKVDDNQLTMLPNTI GNLSLLEEFDCSCNELESLPPTIGYLHSLRTLAVDENFLPELPREIGSCKNVTVMSLRSN KLEFLPEEIGQMQRLRVLNLSDNRLKNLPFSFTKLKELAALWLSDNQSKALIPLQTEAHP ETKQRVLTNYMFPQQPRGDEDFQSDSDSFNPTLWEEQRQQRMTVAFEFEDKKEDDESAGK VKALSCQAPWDRGQRGITLQPARLSGDCCTPWARCDQQIQDMPVPQSDPQLAWGCISGLQ QERSMCAPLPVAAQSTTLPSLSGRQVEINLKRYPTPYPEDLKNMVKSVQNLVGKPSHGVR VENSNPTANTEQTVKEKFEHKWPVAPKEITVEDSFVHPANEMRIGELHPSLAETPLYPPK LVLLGKDKKESTDESEVDKTHCLNNSVSSGTYSDYSPSQASSASSNTRMKVGSLQATAKD AVHNSLWGNRIAPPFPQPLDAKPLLSQREAVPPGNIPQRPDRLPMSDAFPDNWTDGSHYD NTGFVSEEAAGENANNNPLLSSKARSVPAHGRRPLIRQERIVGVPLELEQSTHRHTPETE VPPSNPWQNWTRTPSPFEDRTAFPSKLETTPTTSPLPERKDHMKEPTETPGPFSPGVPWE YHDPTPNRSLGNVFSQIHCRPDSSKGVIAISKSTERLSPLMKDIKSNKFKKSQSIDEIDV GTYKVYNIPLENYASGSDHLGSHERPDKFLGPEHGMSSMSRSQSVPMLDDEMLMYGSSKG PPQQKASMTKKVYQFDQSFNPQGAVEVKAEKRIPPPFAHNSEYVQQPSKNIAKDLVSPRA YRGYPPMEQMFSFSQPSVNEDAMVNAQFASQGPRAGFLRRADSLASSTEMAMFRRVSEPH ELPPGDRYGRATYRGGLEGQSSISMTDPQFLKRNGRYEDEHPSYQEVKAQAGSFPAKNLT QRRPLSARSYSTESYGASQTRPVSARPTMAALLEKIPSDYNLGNYGDKTSDNSDIKTRPT PVKGEESCGKMPADWRQQLLRHIEARRLDRNAAYKHNTVNLGMLPYGGISAMHAGRSMTL NLQTKSKFDLQDLPLQKTPSQQSNILDNGQEDVSPSGQWNPYPLGRRDVPPDTITKKAGS HIQTLMGSQSLQHRSREQQPYEGNINKVTIQQFQSPLPIQIPSSQATRGPQPGRCLIQTK GQRSMDGYPEQFCVRIEKNPGLGFSISGGISGQGNPFKPSDKGIFVTRVQPDGPASNLLQ PGDKILQANGHSFVHMEHEKAVLLLKSFQNTVDLVIQRELTV >ENSMUSP00000124211.1 pep:known chromosome:GRCm38:1:163702256:163725232:-1 gene:ENSMUSG00000040113.14 transcript:ENSMUST00000159679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl11b description:methyltransferase like 11B [Source:MGI Symbol;Acc:MGI:2685053] MAHLGAHFAFRSRWQKTDDELCRHSMSFILHKAIRNDFFQSYLYLLEKIPLVKLYALTSQ VIDGEMQFYARAKLFYQEVPATEEGMMGNFIELSNPDIQASREFLRKFVGGPGRAGTGCA LDCGSGIGRVSKHVLLPVFSSVELVDMMESFLLEAQSYLQVNEDKVESYHCYSLQEFTPH LGRYDVIWIQWVSGYLTDKDLLAFLSRCRDGLKENGVIILKDNVAREGCIFDLSDSSVTR DMDILRSLIRKSGLVVLGQEKQEGFPEQCVPVWMFALHSDRHS >ENSMUSP00000124700.1 pep:known chromosome:GRCm38:1:163702999:163725232:-1 gene:ENSMUSG00000040113.14 transcript:ENSMUST00000160495.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl11b description:methyltransferase like 11B [Source:MGI Symbol;Acc:MGI:2685053] MAHLGAHFAFRSRWQKTDDELCRHSMSFILHKAIRNDFFQSYLYLLEKIPLGI >ENSMUSP00000129187.1 pep:known chromosome:GRCm38:14:44716838:44724987:-1 gene:ENSMUSG00000091923.7 transcript:ENSMUST00000165003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8267 description:predicted gene 8267 [Source:MGI Symbol;Acc:MGI:3648993] MFSRLLRLFHRENGNQGETRPRQKESGIPSCKKGRMKSFWGRHMSAGRTSSQSCNISNHM KNMNKLEDMKFYIRKINAEREELFRILDIDMNTDLNYRMNTEFTIIKSQHEKTMLDMEKM MQSISDTIEKYKEFIEDNDSYSIRHTHLLKECNQLKKKVRMLLNENRKLLVEQADQEASF GEEKRFCDETSKNIHPKCKAAAGVKYSSRNWNMEETRTRSPLKRTSIVGSLHVRSKYFIS SPVLMAIICCIFFLYFFFFLQ >ENSMUSP00000129402.1 pep:known chromosome:GRCm38:14:44717167:44724987:-1 gene:ENSMUSG00000091923.7 transcript:ENSMUST00000169062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8267 description:predicted gene 8267 [Source:MGI Symbol;Acc:MGI:3648993] MFSRLLRLFHRENGNQGETRPRQKESGIPSCKKGRMKSFWGRHMSAGRTSSQSCNISNHM KNMNKLEDMKFYIRKINAEREELFRILDIDMNTDLNYRMNTEFTIIKSQHEKTMLDMEKM MQSISDTIEKYKEFIEDNDSYRCEILQQKLEHGRDQDKVSFEENFYSGIPACAKQILHLI PSTHGYHLLHFLPLLFFFLAMNSP >ENSMUSP00000104548.2 pep:known chromosome:GRCm38:11:53770014:53777323:1 gene:ENSMUSG00000018899.16 transcript:ENSMUST00000108920.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf1 description:interferon regulatory factor 1 [Source:MGI Symbol;Acc:MGI:96590] MPITRMRMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAI HTGRYKAGEKEPDPKTWKANFRCAMNSLPDIEEVKDQSRNKGSSAVRVYRMLPPLTRNQR KERKSKSSRDTKSKTKRKLCGDVSPDTFSDGLSSSTLPDDHSSYTTQGYLGQDLDMERDI TPALSPCVVSSSLSEWHMQMDIIPDSTTDLYNLQVSPMPSTSEAATDEDEEGKIAEDLMK LFEQSEWQPTHIDGKGYLLNEPGTQLSSVYGDFSCKEEPEIDSPRGDIGIGIQHVFTEMK NMDSIMWMDSLLGNSVRLPPSIQAIPCAP >ENSMUSP00000114315.1 pep:known chromosome:GRCm38:11:53770048:53775103:1 gene:ENSMUSG00000018899.16 transcript:ENSMUST00000140866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf1 description:interferon regulatory factor 1 [Source:MGI Symbol;Acc:MGI:96590] MPITRMRMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAI HTGRYKAGEKEPDPKTWKANFRCAMNSLPDIEEVKDQSRNKGSSAVRVYRMLPPLTRNQR KERKSKSSRDTKSKTKRKLCGDVSPDTFSDGLSSSTLPDDHSSYTTQGYLGQDLDMERDI TPAATDEDEEGKIAEDLMK >ENSMUSP00000104550.1 pep:known chromosome:GRCm38:11:53770051:53778374:1 gene:ENSMUSG00000018899.16 transcript:ENSMUST00000108922.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf1 description:interferon regulatory factor 1 [Source:MGI Symbol;Acc:MGI:96590] MPITRMRMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAI HTGRYKAGEKEPDPKTWKANFRCAMNSLPDIEEVKDQSRNKGSSAVRVYRMLPPLTRNQR KERKSKSSRDTKSKTKRKLCGDVSPDTFSDGLSSSTLPDDHSSYTTQGYLGQDLDMERDI TPALSPCVVSSSLSEWHMQMDIIPDSTTDLYNLQVSPMPSTSEAATDEDEEGKIAEDLMK LFEQSEWQPTHIDGKGYLLNEPGTQLSSVYGDFSCKEEPEIDSPRGNLLMGVFCWLSAWA SAEH >ENSMUSP00000118795.1 pep:known chromosome:GRCm38:11:53770105:53774085:1 gene:ENSMUSG00000018899.16 transcript:ENSMUST00000142221.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Irf1 description:interferon regulatory factor 1 [Source:MGI Symbol;Acc:MGI:96590] MPITRMRMRPWLEMQINSNQIPGLIWINKADTKQEKKSQIPRHGRQTSVVP >ENSMUSP00000118314.1 pep:known chromosome:GRCm38:11:53770477:53772938:1 gene:ENSMUSG00000018899.16 transcript:ENSMUST00000138913.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf1 description:interferon regulatory factor 1 [Source:MGI Symbol;Acc:MGI:96590] MPITRMRMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAI HT >ENSMUSP00000122101.1 pep:known chromosome:GRCm38:11:53770487:53774194:1 gene:ENSMUSG00000018899.16 transcript:ENSMUST00000123376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf1 description:interferon regulatory factor 1 [Source:MGI Symbol;Acc:MGI:96590] MPITRMRMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAI HTGRYKAGEKEPDPKTWKANFRCAMNSLPDIEEVKDQSRNKGSSAVRVYRMLPPLTRNQR KERKSKSSRDTKSKTKRKLCGDVSPDTFSDGLSSSTLPDDHSSYTTQGYLGQDLDMERDI TP >ENSMUSP00000019043.6 pep:known chromosome:GRCm38:11:53770495:53777323:1 gene:ENSMUSG00000018899.16 transcript:ENSMUST00000019043.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf1 description:interferon regulatory factor 1 [Source:MGI Symbol;Acc:MGI:96590] MPITRMRMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAI HTGRYKAGEKEPDPKTWKANFRCAMNSLPDIEEVKDQSRNKGSSAVRVYRMLPPLTRNQR KERKSKSSRDTKSKTKRKLCGDVSPDTFSDGLSSSTLPDDHSSYTTQGYLGQDLDMERDI TPALSPCVVSSSLSEWHMQMDIIPDSTTDLYNLQVSPMPSTSEAATDEDEEGKIAEDLMK LFEQSEWQPTHIDGKGYLLNEPGTQLSSVYGDFSCKEEPEIDSPRGDIGIGIQHVFTEMK NMDSIMWMDSLLGNSVRLPPSIQAIPCAP >ENSMUSP00000116656.1 pep:known chromosome:GRCm38:11:53770509:53773957:1 gene:ENSMUSG00000018899.16 transcript:ENSMUST00000133291.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf1 description:interferon regulatory factor 1 [Source:MGI Symbol;Acc:MGI:96590] MPITRMRMRPWLEMQINSNQIPGLIWINKEEMIFQIPWKHAAKHGWDINKDACLFRSWAI HTGRYKAGEKEPDPKTWKANFRCAMNSLPDIEEVKDQSRNKGSSAVRVYRMLPPLTRNQR KERKSKSSRDTK >ENSMUSP00000101431.1 pep:known chromosome:GRCm38:4:138870992:138873964:-1 gene:ENSMUSG00000078518.1 transcript:ENSMUST00000105805.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13030 description:predicted gene 13030 [Source:MGI Symbol;Acc:MGI:3651765] MCRFHLLQAIKPPEKQMEQKSSALGSIMKLSQSHATETTWVLPSQGLRDYLLHPACFHHF RKEGRPDCRPANMIYGFDKTHPRRCCTDLLFQPRLLMLSRVLGPEQLQELLQIPDDLTSP SLSYGSNQNLSQALNFPKHVHTG >ENSMUSP00000029929.7 pep:known chromosome:GRCm38:3:144796559:144819494:-1 gene:ENSMUSG00000028262.13 transcript:ENSMUST00000029929.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clca3a2 description:chloride channel accessory 3A2 [Source:MGI Symbol;Acc:MGI:1931471] MVPGLQVLLFLTLHLLQNTESSMVHLNSNGYEGVVIAINPSVPEDERLIPSIKEMVTQAS TYLFEASQGRVYFRNISILVPMTWKSKSEYLMPKRESYDKADVIVADPHLQHGDDPYTLQ YGQCGDRGQYIHFTPNFLLTDNLRIYGPRGRVFVHEWAHLRWGVFDEYNVDRPFYISRKN TIEATRCSASITGKKVVHECQRGSCVTRACRRDSKTRLYEPKCTFIPDKIQTAGASIMFM QNLNSVVEFCTENNHNAEAPNLQNKMCNRRSTWDVIKASADFQNSPPMRGTEAPPPPTFS LLKSRRRVVCLVLDKSGSMDKEDRLIRMNQAAELYLTQIVEKESMVGLVTFDSAAHIQNY LIKITSSSDYQKITANLPQQATGGTSICHGLQAGFQAITSSDQSTSGSEIVLLTDGEDNG ISSCFEAVSRSGAIIHTIALGPSAARELETLSDMTGGLRFYANKHVSSLIDAFSRISSTS GSVSQQALQLESKAFNVRAGAWINSTVPVDSTVGNDTFFVITWTVQKPEIILQDPKGKKY ITSDFQDDELNIRSARLQIPGTAETGTWTYSITGTKSQLITMTVTTRARSPTMEPLLATA HMSQSTAQYPSRMIVYVRVSQGFLPVLGANVTAIIEAEHGHQVTLELWDNGAGADTVKND GIYTRYFTDYHGNGRYSLKVRVQARKNKARLSLRQKNKSLYIPGYVENGKIVLNPPRPDV QEEAIEATVEDFNRVTSGGSFTVSGAPPDGDHARVFPPSKVTDLEAEFIGDYIQLTWTAP GKVLDKGRAHRYIIRVSQHPLGLQEDFNNATLVNASSLIPKEAGSKETFKFKPETFKIAN DTQLYIAIQAYNEAGLTSEVSNIAQAVKFTSLEDSISALGADISAISMTVWGLAVIFNSI LN >ENSMUSP00000143543.1 pep:known chromosome:GRCm38:3:144801832:144805805:-1 gene:ENSMUSG00000028262.13 transcript:ENSMUST00000199029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clca3a2 description:chloride channel accessory 3A2 [Source:MGI Symbol;Acc:MGI:1931471] PSRMIVYVRVSQGFLPVLGANVTAIIEAEHGHQVTLELWDNGAGADTVKNDGIYTRYFTD YHGNGRYSLKVRVQARKNKARLSLRQKNKSLYIPGYVENGKIVLNPPRPDVQEEAIEATV EDFNRVTSGGSFTVSGAPPDGDHARVFPPSKVTDLEAEFIGDYIQLTWTAPGKVLDKGRG ESNGEFKML >ENSMUSP00000143332.1 pep:known chromosome:GRCm38:3:144802059:144808625:-1 gene:ENSMUSG00000028262.13 transcript:ENSMUST00000197013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clca3a2 description:chloride channel accessory 3A2 [Source:MGI Symbol;Acc:MGI:1931471] XGPSAARELETLSDMTGGLRFYANKHVSSLIDAFSRISSTSGSVSQQALQLESKAFNVRA GAWINSTVPVDSTVGNDTFFVITWTVQKPEIILQDPKGKKYITSDFQDDELNIRSARLQI PGTAETGTWTYSITGTKSQLITMTVTTRARSPTMEPLLATAHMSQSTAQYPSRMIVYVRV SQGFLPVLGANVTAIIEAEHGHQVTLELWDNGAGKIVLNPPRPDVQEEAIEATVEDFNRV TSGGSFTVSGAPPDG >ENSMUSP00000015267.4 pep:known chromosome:GRCm38:17:25308646:25311876:1 gene:ENSMUSG00000024171.4 transcript:ENSMUST00000015267.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss28 description:protease, serine 28 [Source:MGI Symbol;Acc:MGI:2149951] MFRLLLLALSCLESTVFMASVSISRSKPVGIVGGQCTPPGKWPWQVSLRMYSYEVNSWVH ICGGSIIHPQWILTAAHCIQSQDADPAVYRVQVGEVYLYKEQELLNISRIIIHPDYNDVS KRFDLALMQLTALLVTSTNVSPVSLPKDSSTFDSTDQCWLVGWGNLLQRVPLQPPYQLHE VKIPIQDNKSCKRAYRKKSSDEHKAVAIFDDMLCAGTSGRGPCFGDSGGPLVCWKSNKWI QVGVVSKGIDCSNNLPSIFSRVQSSLAWIHQHIQ >ENSMUSP00000060899.7 pep:known chromosome:GRCm38:8:19111931:19114839:-1 gene:ENSMUSG00000043787.7 transcript:ENSMUST00000062113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb12 description:defensin beta 12 [Source:MGI Symbol;Acc:MGI:1924924] MKNLPSNMALSREVFYFGFALFFIVVELPSGSWAGLEYSQSFPGGEIAVCETCRLGRGKC RRTCIESEKIAGWCKLNFFCCRERI >ENSMUSP00000029761.7 pep:known chromosome:GRCm38:3:123006206:123035015:-1 gene:ENSMUSG00000028116.13 transcript:ENSMUST00000029761.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myoz2 description:myozenin 2 [Source:MGI Symbol;Acc:MGI:1913063] MLSHSAMVKQRKQQASAITKEIHGHDVDGMDLGKKVSIPRDIMIEELSHFSNRGARLFKM RQRRSDKYTFENFQYESRAQINHNIAMQNGRVDGSNLEGGSQQGPSTPPNTPDPRSPPNP ENIAPGYSGPLKEIPPERFNTTAVPKYYRSPWEQAIGSDPELLEALYPKLFKPEGKAELR DYRSFNRVATPFGGFEKASKMVKFKVPDFELLLLTDPRFLAFANPLSGRRCFNRAPKGWV SENIPVVITTEPTEDATVPESDDL >ENSMUSP00000115450.1 pep:known chromosome:GRCm38:3:123006517:123034844:-1 gene:ENSMUSG00000028116.13 transcript:ENSMUST00000141588.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myoz2 description:myozenin 2 [Source:MGI Symbol;Acc:MGI:1913063] MLSHSAMVKQRKQQASAITKEIHGHANEALHHENIHI >ENSMUSP00000043718.3 pep:known chromosome:GRCm38:4:143394428:143400160:1 gene:ENSMUSG00000041805.3 transcript:ENSMUST00000037419.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel1 description:preferentially expressed antigen in melanoma-like 1 [Source:MGI Symbol;Acc:MGI:1890541] MSCKTPPTLQELAENSLLKNQDLAISALDDIPSLFFPSLFKKACRNRYVGIIKAMVQAWP FPCLPLGAMISRKTAYRRILEIILYGLDALLSQKVPHSRCKLQVLDLRVMPLKLWNRLPV FGTAGCSENPAVVGHSGTEVKQPVKVLVDLVLKESPLDSTESFLVQWVDNRNGLVSLCCC KLQIWAMSMYYHRKLLEILDLDSVQELRMYCISNPVCLLNFAPYLGRMRNLRCLILSHLW QTFSMTPVEKQQVITQFTSQFLKLKCLQILHLDTVFFLEGHLDELFWWLKTPLETLSVID CNLSKSDWFHISEFQCTSQLKHLNLKWVKLTHLSPEPLRVLLLKSASTLTSLDLEGCQMM DSQLSAILPALRCCTQLTKFNFHGNYISMPILRELAYNVVKQKSQQSKIRFIPSCSHHSG LEFEAISQPHIVFVDVDRTTGEQEQVLFYAICSGEYVL >ENSMUSP00000101697.2 pep:known chromosome:GRCm38:4:127325235:127329161:-1 gene:ENSMUSG00000042367.12 transcript:ENSMUST00000106091.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb3 description:gap junction protein, beta 3 [Source:MGI Symbol;Acc:MGI:95721] MDWKKLQDLLSGVNQYSTAFGRIWLSVVFVFRVLVYVVAAERVWGDEQKDFDCNTRQPGC TNVCYDNFFPISNIRLWALQLIFVTCPSMLVILHVAYREERERKHRQKHGEQCAKLYSHP GKKHGGLWWTYLFSLIFKLIIELVFLYVLHTLWHGFTMPRLVQCASIVPCPNTVDCYIAR PTEKKVFTYFMVGASAVCIILTICEICYLIFHRIMRGISKGKSTKSISSPKSSSRASTCR CHHKLLESGDPEADPASEKLQASAPSLTPI >ENSMUSP00000046755.3 pep:known chromosome:GRCm38:4:127325235:127330844:-1 gene:ENSMUSG00000042367.12 transcript:ENSMUST00000046532.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb3 description:gap junction protein, beta 3 [Source:MGI Symbol;Acc:MGI:95721] MDWKKLQDLLSGVNQYSTAFGRIWLSVVFVFRVLVYVVAAERVWGDEQKDFDCNTRQPGC TNVCYDNFFPISNIRLWALQLIFVTCPSMLVILHVAYREERERKHRQKHGEQCAKLYSHP GKKHGGLWWTYLFSLIFKLIIELVFLYVLHTLWHGFTMPRLVQCASIVPCPNTVDCYIAR PTEKKVFTYFMVGASAVCIILTICEICYLIFHRIMRGISKGKSTKSISSPKSSSRASTCR CHHKLLESGDPEADPASEKLQASAPSLTPI >ENSMUSP00000063283.1 pep:known chromosome:GRCm38:11:24048955:24075054:-1 gene:ENSMUSG00000055010.1 transcript:ENSMUST00000068360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830031A19Rik description:RIKEN cDNA A830031A19 gene [Source:MGI Symbol;Acc:MGI:2685508] MAMQTTTRQSLFILAGRTAGQRLWRQLLSSARRGDHGHPGCLYPRRTRLCLPRRRDPGLH EAGAIVWPESGTAVQVARRDHENVDNIMVVTTLVVKMPSIMCVAYRCTSNKGI >ENSMUSP00000137972.1 pep:known chromosome:GRCm38:11:69686250:69695849:-1 gene:ENSMUSG00000097328.7 transcript:ENSMUST00000181810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf12 description:tumor necrosis factor (ligand) superfamily, member 12 [Source:MGI Symbol;Acc:MGI:1196259] MAARRSQRRRGRRGEPGTALLAPLVLSLGLALACLGLLLVVVSLGSWATLSAQEPSQEEL TAEDRREPPELNPQTEESQDVVPFLEQLVRPRRSAPKGRKARPRRAIAAHYEVHPRPGQD GAQAGVDGTVSGWEETKINSSSPLRYDRQIGEFTVIRAGLYYLYCQVHFDEGKAVYLKLD LLVNGVLALRCLEEFSATAASSPGPQLRLCQVSGLLPLRPGSSLRIRTLPWAHLKAAPFL TYFGLFQVH >ENSMUSP00000137916.1 pep:known chromosome:GRCm38:11:69687340:69695521:-1 gene:ENSMUSG00000097328.7 transcript:ENSMUST00000181261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf12 description:tumor necrosis factor (ligand) superfamily, member 12 [Source:MGI Symbol;Acc:MGI:1196259] MRCQKDRVTLPPSQQEPSQEELTAEDRREPPELNPQTEESQDVVPFLEQLVRPRRSAPKG RKARPRRAIAAHYEVHPRPGQDGAQAGVDGTVSGWEET >ENSMUSP00000123083.1 pep:known chromosome:GRCm38:3:135307700:135342535:1 gene:ENSMUSG00000037994.14 transcript:ENSMUST00000145195.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc9b2 description:solute carrier family 9, subfamily B (NHA2, cation proton antiporter 2), member 2 [Source:MGI Symbol;Acc:MGI:2140077] MVVLLWAMVWSVTGPECLPGGNLFGIIILFYCSITGGKLFGLIKFPTLPPLPPLLGMLLA GFLLRNIPVINDSVRIQHKWSSSLRSIALSVILVRAGLGLDSKFCRRCRVPSCRGALHAP FAGRRLRCWKRYPNLTHGRRQLR >ENSMUSP00000142939.1 pep:known chromosome:GRCm38:3:135307742:135318365:1 gene:ENSMUSG00000037994.14 transcript:ENSMUST00000149655.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9b2 description:solute carrier family 9, subfamily B (NHA2, cation proton antiporter 2), member 2 [Source:MGI Symbol;Acc:MGI:2140077] MEDEDKTAECQHSKPPTGITHEAPPHHELQEERVMSLRGTDRSEPTEGSNLLTSGEKKP >ENSMUSP00000060640.7 pep:known chromosome:GRCm38:3:135307745:135345387:1 gene:ENSMUSG00000037994.14 transcript:ENSMUST00000051849.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9b2 description:solute carrier family 9, subfamily B (NHA2, cation proton antiporter 2), member 2 [Source:MGI Symbol;Acc:MGI:2140077] MEDEDKTAECQHSKPPTGITHEAPPHHELQEERVMSLRGTDRSEPTEGSNLLTSGEKKPQ DSPTEPNGLQSLRRFLACPPRGCLARVITNGTMVVLLWAMVWSVTGPECLPGGNLFGIII LFYCSITGGKLFGLIKFPTLPPLPPLLGMLLAGFLLRNIPVINDSVRIQHKWSSSLRSIA LSVILVRAGLGLDSKALRKLKGVCVRLAMGPCIVEACASAILSHFLMGLPWQWGFILGFV VGAVSPAVVVPSMLLLQEGGYGVGKGIPTLLMAAGSFDDILAITGFNTCLGVAFSTGSTV FNIFRGILEVVIGVAAGSFLGFFIQYFPSRDQDNLVWKRAFLVLGFAVLAVFSSVYFSFP GSGGLCTLVMAFLAGMRWTDKKSEVEKVIAVTWDVFQPLLFGLIGAEVSIVSLRAETVGL CVATLSIAVLIRILTTFLMVCFAGFNIKEKIFISFAWLPKATVQAAIGSVALDTARSHGE KQLEDYGMDVLTVAFLAILITAPIGSLLIGLLGPRVLQKSEHRTEEEVQGETSAHIQRKP EDSITEA >ENSMUSP00000064539.8 pep:known chromosome:GRCm38:5:142484839:142551098:-1 gene:ENSMUSG00000029576.17 transcript:ENSMUST00000063635.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Radil description:Ras association and DIL domains [Source:MGI Symbol;Acc:MGI:2443088] MFYGTQLIMSPPTKNKLKRQSQLLSTMLSRTLSYKYRDLDSTFCSLGASDDPSELSTQLS APGVLKVFGDSVCTGTHYKSVLATGSSSAQELVKEALERYALDPECAGQYVLCDVVGQAG DSGQRWQAQCFRVFGDNEKPLLIQELWKPREGLSRRFELRKKSDVEELASRDVDTTTAGI NAQARRLQRIRAKGTPALTSEAAQSSPPTRLRRTVSETSLSPAPSLPEAAQRPEEPVPEA MRYSLYQCPHLLLLQGYSQQHDSLVYVLSKERHTVGQRTPSSKPSISLSAPDILPLHCTI RRHQSPEGGPAGTRLVLEPITGASVSVNFSEVGRNPVVLQHGDLLSLGLYYLLLFKDPGQ AQPLPACALARLGAAPQSCRMCGAVLRARGAPSLPAAVVRRRSLLLEFEPDVEDTLLQRI MTLIEPGGDDHKLTPAFLLCLCIQHSAMHFQPGTFRHLLLKISKRVRDTVWEKTKELAEK QAQLQEPISWASFPMADLVPDLQHILFWMSNSIELLYFIQQKSPLYVQSMEEELDVTGSK ESLFSCTLTASEEAMAALEEVVLYAFQQCVYYLSKCLYVCLPALLECPPFQTERRESWRS GPALPEELRRVVSVFQATLDLLQQLQMHPEVASQMLAYLFFFSGTLLLNQVLDKGPSLSC FHWPRGVQVCARLQQFLEWARSAGLGAPAERFFRKLSCTLHLLATPRAQLIQMSWATLRV TFPALNPAQLHRLLTQYQLASAMGPVSAWEPGAPDGPEAFQSEDILESYENPPPIVLPSQ GFQVDLEADCVEDSIYQHLLYIRHFLWGLRGQASPDSGPAQPESIEGLYHTIPEGHLEGH GCPLANRDPGRVAVETAPPHSLPVTGAPRAQGPPGRQPTRGDRRGSQAGSLHTDSSCMLT PPSTPLGLEPAGPSWPEPSGLCGRAVLDGQRNGPGGLPGAVLEGDAIQDAEPPAEASSPS SSAEDFCYVFMVELERGPSGLGMGLIDGMHTPLGAQGLYIQTLLPGSPAASDGRLSLGDQ ILEVNGSSLRGVSYMRAVDLIRHGGKKMRFLVAKSDMETAKKIRFRNPPS >ENSMUSP00000082910.3 pep:known chromosome:GRCm38:5:142484842:142545028:-1 gene:ENSMUSG00000029576.17 transcript:ENSMUST00000085758.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Radil description:Ras association and DIL domains [Source:MGI Symbol;Acc:MGI:2443088] MGSSIFLGLQPSPSHWLKSSVVIHEDAPTMFYGTQLIMSPPTKNKLKRQSQLLSTMLSRT LSYKYRDLDSTFCSLGASDDPSELSTQLSAPGVLKVFGDSVCTGTHYKSVLATGSSSAQE LVKEALERYALDPECAGQYVLCDVVGQAGDSGQRWQAQCFRVFGDNEKPLLIQELWKPRE GLSRRFELRKKSDVEELASRDVDTTTAGINAQARRLQRIRAKGTPALTSEAAQSSPPTRL RRTVSETSLSPAPSLPEAAQRPEEPVPEAMRYSLYQCPHLLLLQGYSQQHDSLVYVLSKE RHTVGQRTPSSKPSISLSAPDILPLHCTIRRHQSPEGGPAGTRLVLEPITGASVSVNFSE VGRNPVVLQHGDLLSLGLYYLLLFKDPGQAQPLPACALARLGAAPQSCRMCGAVLRARGA PSLPAAVVRRRSLLLEFEPDVEDTLLQRIMTLIEPGGDDHKLTPAFLLCLCIQHSAMHFQ PGTFRHLLLKISKRVRDTVWEKTKELAEKQAQLQEPISWASFPMADLVPDLQHILFWMSN SIELLYFIQQKSPLYVQSMEEELDVTGSKESLFSCTLTASEEAMAALEEVVLYAFQQCVY YLSKCLYVCLPALLECPPFQTERRESWRSGPALPEELRRVVSVFQATLDLLQQLQMHPEV ASQMLAYLFFFSGTLLLNQVLDKGPSLSCFHWPRGVQVCARLQQFLEWARSAGLGAPAER FFRKLSCTLHLLATPRAQLIQMSWATLRVTFPALNPAQLHRLLTQYQLASAMGPVSAWEP GAPDGPEAFQSEDILESYENPPPIVLPSQGFQVDLEADCVEDSIYQHLLYIRHFLWGLRG QASPDSGPAQPESIEGLYHTIPEGHLEGHGCPLANRDPGRVAVETAPPHSLPVTGAPRAQ GPPGRQPTRGDRRGSQAGSLHTDSSCMLTPPSTPLGLEPAGPSWPEPSGLCGRAVLDGQR NGPGGLPGAVLEGDAIQDAEPPAEASSPSSSAEDFCYVFMVELERGPSGLGMGLIDGMHT PLGAQGLYIQTLLPGSPAASDGRLSLGDQILEVNGSSLRGVSYMRAVDLIRHGGKKMRFL VAKSDMETAKKIRFRNPPS >ENSMUSP00000106411.1 pep:known chromosome:GRCm38:5:142484867:142509659:-1 gene:ENSMUSG00000029576.17 transcript:ENSMUST00000110784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Radil description:Ras association and DIL domains [Source:MGI Symbol;Acc:MGI:2443088] MRYSLYQCPHLLLLQGYSQQHDSLVYVLSKERHTVGQRTPSSKPSISLSAPDILPLHCTI RRHQSPEGGPAGTRLVLEPITGASVSVNFSEVGRNPVVLQHGDLLSLGLYYLLLFKDPGQ AQPLPACALARLGAAPQSCRMCGAVLRARGAPSLPAAVVRRRSLLLEFEPDVEDTLLQRI MTLIEPGGDDHKLTPAFLLCLCIQHSAMHFQPGTFRHLLLKISKRVRDTVWEKTKELAEK QAQLQEPISWASFPMADLVPDLQHILFWMSNSIELLYFIQQKSPLYVQSMEEELDVTGSK ESLFSCTLTASEEAMAALEEVVLYAFQQCVYYLSKCLYVCLPALLECPPFQTERRESWRS GPALPEELRRVVSVFQATLDLLQQLQMHPEVASQMLAYLFFFSGTLLLNQVLDKGPSLSC FHWPRGVQVCARLQQFLEWARSAGLGAPAERFFRKLSCTLHLLATPRAQLIQMSWATLRV TFPALNPAQLHRLLTQYQLASAMGPVSAWEPGAPDGPEAFQSEDILESYENPPPIVLPSQ GFQVDLEADCVEDSIYQHLLYIRHFLWGLRGQASPDSGPAQPESIEGLYHTIPEGHLEGH GCPLANRDPGRVAVETAPPHSLPVTGAPRAQGPPGRQPTRGDRRGSQAGSLHTDSSCMLT PPSTPLGLEPAGPSWPEPSGLCGRAVLDGQRNGPGGLPGAVLEGDAIQDAEPPAEASSPS SSAEDFCYVFMVELERGPSGLGMGLIDGMHTPLGAQGLYIQTLLPGSPAASDGRLSLGDQ ILEVNGSSLRGVSYMRAVDLIRHGGKKMRFLVAKSDMETAKKIRFRNPPS >ENSMUSP00000106412.1 pep:known chromosome:GRCm38:5:142484879:142551077:-1 gene:ENSMUSG00000029576.17 transcript:ENSMUST00000110785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Radil description:Ras association and DIL domains [Source:MGI Symbol;Acc:MGI:2443088] MFYGTQLIMSPPTKNKLKRQSQLLSTMLSRTLSYKYRDLDSTFCSLGASDDPSELSTQLS APGVLKVFGDSVCTGTHYKSVLATGSSSAQELVKEALERYALDPECAGQYVLCDVVGQAG DSGQRWQAQCFRVFGDNEKPLLIQELWKPREGLSRRFELRKKSDVEELASRDVDTTTAGI NAQARRLQRIRAKGTPALTSEAAQSSPPTRLRRTVSETSLSPAPSLPEAAQRPEEPVPEA MRYSLYQCPHLLLLQGYSQQHDSLVYVLSKERHTVGQRTPSSKPSISLSAPDILPLHCTI RRHQSPEGGPAGTRLVLEPITGASVSVNFSEVGRNPVVLQHGDLLSLGLYYLLLFKDPGQ AQPLPACALARLGAAPQSCRMCGAVLRARGAPSLPAAVVRRRSLLLEFEPDVEDTLLQRI MTLIEPGGDDHKLTPAFLLCLCIQHSAMHFQPGTFRHLLLKISKRVRDTVWEKTKELAEK QAQLQEPISWASFPMADLVPDLQHILFWMSNSIELLYFIQQKSPLYVQSMEEELDVTGSK ESLFSCTLTASEEAMAALEEVVLYAFQQCVYYLSKCLYVCLPALLECPPFQTERRESWRS GPALPEELRRVVSVFQATLDLLQQLQMHPEVASQMLAYLFFFSGTLLLNQVLDKGPSLSC FHWPRGVQVCARLQQFLEWARSAGLGAPAERFFRKLSCTLHLLATPRAQLIQMSWATLRV TFPALNPAQLHRLLTQYQLASAMGPVSAWEPGAPDGPEAFQSEDILESYENPPPIVLPSQ GFQVDLEADCVEDSIYQHLLYIRHFLWGLRGQASPDSGPAQPESIEGAIMDTWAIPSSGP QRLSTFSSQGLYHTIPEGHLEGHGCPLANRDPGRVAVETAPPHSLPVTGAPRAQGPPGRQ PTRGDRRGSQAGSLHTDSSCMLTPPSTPLGLEPAGPSWPEPSGLCGRAVLDGQRNGPGGL PGAVLEGDAIQDAEPPAEASSPSSSAEDFCYVFMVELERGPSGLGMGLIDGMHTPLGAQG LYIQTLLPGSPAASDGRLSLGDQILEVNGSSLRGVSYMRAVDLIRHGGKKMRFLVAKSDM ETAKKIRFRNPPS >ENSMUSP00000118477.1 pep:known chromosome:GRCm38:5:142508120:142509494:-1 gene:ENSMUSG00000029576.17 transcript:ENSMUST00000139278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Radil description:Ras association and DIL domains [Source:MGI Symbol;Acc:MGI:2443088] MAGTQQRAQGRKLQGINAQARRLQRIRAKGTPALTSEAAQSSPPTRLRRTVSETSLSPAP SLPEAAQRPEEPVPEAMRYS >ENSMUSP00000115800.1 pep:known chromosome:GRCm38:5:142543618:142550965:-1 gene:ENSMUSG00000029576.17 transcript:ENSMUST00000129212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Radil description:Ras association and DIL domains [Source:MGI Symbol;Acc:MGI:2443088] MFYGTQLIMSPPTKNKLKRQSQLLSTMLSRTLSYKYRDLDSTFCSLGASDDPSELSTQLS APGVLKVFGDSVCTGTHYKSVLATGSSSAQELVKEALERYALDPECA >ENSMUSP00000119524.1 pep:known chromosome:GRCm38:15:12166159:12184463:1 gene:ENSMUSG00000022201.15 transcript:ENSMUST00000157034.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfr description:zinc finger RNA binding protein [Source:MGI Symbol;Acc:MGI:1341890] XKYDIKCAVSEAAIILNSCVEPKMQVTITLTSPIIREENMREGG >ENSMUSP00000117207.1 pep:known chromosome:GRCm38:15:12117851:12146216:1 gene:ENSMUSG00000022201.15 transcript:ENSMUST00000128475.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfr description:zinc finger RNA binding protein [Source:MGI Symbol;Acc:MGI:1341890] MPCSFFHLCQQPASGVAYSHPTTVASYTVHQAPVAAHTVTAAYAPAAATVAVARPAPVAV AAAATAAAYGGYPTAHTATDYGYTQRQQEAPPPPPPATTQNYQDSYSYVRSTAPAVAYDS KQYYQQPTATAAAVAAAAQPQPSVAETYYQTAPKAGYSQGATQYTQAQQARQVTAIKPAT PSPATTTFSIYPVSSTVQPVAAAATVVPSYTQSATYSTTAVTYSGTSYSGYEAAVYSAAS SYYQQQQ >ENSMUSP00000119917.1 pep:known chromosome:GRCm38:15:12117859:12138038:1 gene:ENSMUSG00000022201.15 transcript:ENSMUST00000134277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfr description:zinc finger RNA binding protein [Source:MGI Symbol;Acc:MGI:1341890] MIPICPVVSFTYVPSRLGEDAKMATGNYFGFTHSGAAAAAAAAQYRYSVLWSSMDQQPAS GVAYSHPTTVASYTVHQAPVAAHTVTAAYAPAAATVAVARPAPVAVAAAATAAAYGGYPT AHTATDYGYTQRQQEAPPPPPPATTQNYQDSYSYVRSTAPAVAYDSKQYYQQPTATAAAV AAAAQPQPSVAET >ENSMUSP00000114992.1 pep:known chromosome:GRCm38:15:12159620:12166220:1 gene:ENSMUSG00000022201.15 transcript:ENSMUST00000155054.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfr description:zinc finger RNA binding protein [Source:MGI Symbol;Acc:MGI:1341890] XWRRMEEEQHHWDDRRRMPDGGYPHGPPGPLGLLGVRPGMPPQPQGPAPLRRPDSSDDRY VMTKHATIYPTEEELQAVQKIVSITERALKLVSDSLSEHEKSKNKEGDDKKEGEL >ENSMUSP00000119251.1 pep:known chromosome:GRCm38:15:12160541:12183993:1 gene:ENSMUSG00000022201.15 transcript:ENSMUST00000156752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfr description:zinc finger RNA binding protein [Source:MGI Symbol;Acc:MGI:1341890] XIYPTEEELQAVQKIVSITERALKLVSDSLSEHEKSKNKEGDDKKEGGKDSGFCCRALKG VLRVGVLAKGLLLRGDRNVNLVLLCSEKPSKSLLSRIAENLPKQLAVISPEKYDIKCAVS EAAIILNSCVEPKMQVTITLTSPIIREENMREGDVTSGMVKDPPDVLDRQKCLDALAALR HAKWFQARANGLQSCVIIIRILRDLCQRVPTWSDFPSWAMELLVEKAISSASSPQSPGDA LRRVFECISSGIILKGSPGLLDPCEKDPFDTLATMTDQQREDITSSAQFALRLLAFRQI >ENSMUSP00000118911.1 pep:known chromosome:GRCm38:15:12117831:12185683:1 gene:ENSMUSG00000022201.15 transcript:ENSMUST00000122941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfr description:zinc finger RNA binding protein [Source:MGI Symbol;Acc:MGI:1341890] MIPICPVVSFTYVPSRLGEDAKMATGNYFGFTHSGAAAAAAAAQYSQQPASGVAYSHPTT VASYTVHQAPVAAHTVTAAYAPAAATVAVARPAPVAVAAAATAAAYGGYPTAHTATDYGY TQRQQEAPPPPPPATTQNYQDSYSYVRSTAPAVAYDSKQYYQQPTATAAAVAAAAQPQPS VAETYYQTAPKAGYSQGATQYTQAQQARQVTAIKPATPSPATTTFSIYPVSSTVQPVAAA ATVVPSYTQSATYSTTAVTYSGTSYSGYEAAVYSAASSYYQQQQQQQKQAAAAAAAAAAT AAWTGTTFTKKTPFQNKQLKPKQPPKPPQIHYCDVCKISCAGPQTYKEHLEGQKHKKKEA ALKASQNTSSSNNSTRGTQNQLRCELCDVSCTGADAYAAHIRGAKHQKVVKLHTKLGKPI PSTEPNVVSQATSSTAASASKPTASPSSIGASNCTLNTSSIATSSVKGLSTTGNSSLNST SNTKVSAIPTNMAAKKTSTPKINFVGGNKLQSTGNKTEDLKGIDCVKNTPAASAVQIPEV KQDAGSEPVTPASLAALQSDVQPVGHDYVEEVRNDEGKVIRFHCKLCECSFNDPNAKEMH LKGRRHRLQYKKKVNPDLQVEVKPSIRARKIQEEKMRKQMQKEEYWRRREEEERWRMEIR RYEEDMYWRRMEEEQHHWDDRRRMPDGGYPHGPPGPLGLLGVRPGMPPQPQGPAPLRRPD SSDDRYVMTKHATIYPTEEELQAVQKIVSITERALKLVSDSLSEHEKSKNKEGDDKKEGG KDRALKGVLRVGVLAKGLLLRGDRNVNLVLLCSEKPSKSLLSRIAENLPKQLAVISPEKY DIKCAVSEAAIILNSCVEPKMQVTITLTSPIIREENMREGDVTSGMVKDPPDVLDRQKCL DALAALRHAKWFQARANGLQSCVIIIRILRDLCQRVPTWSDFPSWAMELLVEKAISSASS PQSPGDALRRVFECISSGIILKGSPGLLDPCEKDPFDTLATMTDQQREDITSSAQFALRL LAFRQIHKVLGMDPLPQMNQRFNIHNNRKRRRDSDGVDGFEAEGKKDKKDYDNF >ENSMUSP00000105584.2 pep:known chromosome:GRCm38:12:104263122:104269372:1 gene:ENSMUSG00000079014.4 transcript:ENSMUST00000109958.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3i description:serine (or cysteine) peptidase inhibitor, clade A, member 3I [Source:MGI Symbol;Acc:MGI:2182841] MAGVSPAVLGCPDVTLERNTAVHEVQENITSGDSLTVASSNTDFAFSLYRKLVLKNPDEN VVFSPFSIFTALALLSLGAKSNTLKEILEGLKFNLTETPEPDIHQGFRYLLDLLSQPGDQ VQISTGSALFVEKHLQILAEFKEKARALYQAEAFTADFLQPCQAKKLINDYVSNQTQGKI KELISDLDKSTLMVLVNYIYFKGKWKMPFDPRDTFNSKFYLDEKRSVKVPMMKIEELTTP YFRDDELSCSVVELKYTGNASALFILPDQGKMQQVETSLHPETLRKWKNSLKPSRISELH LPKFSISNDYSLEHVLPVLGIREVFSMQADLSAITGTMDLRVSQVVHKAVLDVTETGTEA AAATGVKVNLRCGKIYSMTIYFKRPFLIIISDINTHIALFMAKVTNPK >ENSMUSP00000047110.8 pep:known chromosome:GRCm38:10:82224850:82241273:-1 gene:ENSMUSG00000062931.15 transcript:ENSMUST00000041264.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp938 description:zinc finger protein 938 [Source:MGI Symbol;Acc:MGI:3621440] MDAVTFMDVHVNFSQEEWALLDPSQKSLYKDVMLETYWNLTCIGYDWEDNNSEECCQSSR RHGRYFNSSQYSTYKAKGYGKKQCTSLFPRTIRRYVVIPTMRRHGECDISLQVNGFPASM GIHQNTPIGEKPYEYKEYGKSPVRPGLLCICSVTHTIGKCYECDQCGKDLSSSFSLQRCK ISHVGKEHDGCEPSSKSFNYHRYLQIQNTTYNEEYLYDYIQCDKASLQLHQRTSSTITSY DYNEGDKDITFHSNHQICRMNTRDTRYEYHQCGKTFACPNYLQIHERIHTRKKPYECNQC GKFFAYKSHFHKHQRTHTGEKPYECSQCGKAFACNGNLQIHERIHTGEQPYKCHQCGKAF AYSNSLHKHERTHTGEKPYECNHCGKAFTCHSTRQIHERIHTGEKPYKCSQCGKTFARNS HVKMHERIHTGEKPYKCNQCGKAFAYHSHLQRHERIHTGEKPYECNQCGKAFAYRSSLRL HETSHTGEKPYECNQCGKTFACSSYLQIHERIHTGEKPFQCKHCSKTFSYRSSLHMHEKN HTGEKLFECKKCGISFAHLGTLQKHEKKHTDKEENSPEG >ENSMUSP00000121613.1 pep:known chromosome:GRCm38:10:82224854:82241280:-1 gene:ENSMUSG00000062931.15 transcript:ENSMUST00000156218.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp938 description:zinc finger protein 938 [Source:MGI Symbol;Acc:MGI:3621440] MDAVTFMDVHVNFSQEEWALLDPSQKSLYKDVMLETYWNLTCIGYDWEDNNSEECCQSSR RHGR >ENSMUSP00000110609.2 pep:known chromosome:GRCm38:18:70472454:70501066:1 gene:ENSMUSG00000079608.10 transcript:ENSMUST00000114959.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard6 description:StAR-related lipid transfer (START) domain containing 6 [Source:MGI Symbol;Acc:MGI:2156774] MDYKAIAQQTAEQVLAYNQDLSGWKLIKSSKKVTVSSKTSRIFHGNLYRVEGIIPESAAH LSDFLFKHDHRVSWDKSLKGFNVIHKIDSDTLICHTITQSFAMGSISPRDFIDLVHIKHY ERNVDIISTKSVDFPGYAPTSTYIRGFNHPSGYVCSPLKENPAYSKLVIFVQTEMKGKLP ASVIEKSMPSNLVSFLLNVKDGVKTYRIPPIRARHSSHSSVHKKKEGHSAIKP >ENSMUSP00000130991.2 pep:known chromosome:GRCm38:18:70472572:70500806:1 gene:ENSMUSG00000079608.10 transcript:ENSMUST00000168249.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard6 description:StAR-related lipid transfer (START) domain containing 6 [Source:MGI Symbol;Acc:MGI:2156774] MDYKAIAQQTAEQVLAYNQDLSGWKLIKSSKKVTVSSKTSRIFHGNLYRVEGIIPESAAH LSDFLFKHDHRVSWDKSLKGFNVIHKIDSDTLICHTITQSFAMGSISPRDFIDLVHIKHY ERNVDIISTKSVDFPGYAPTSTYIRGFNHPSGYVCSPLKENPAYSKLVIFVQTEMKGKLP ASVIEKSMPSNLVSFLLNVKDGVKTYRIPPIRARHSSHSSVHKKKEGHSAIKP >ENSMUSP00000126055.1 pep:known chromosome:GRCm38:18:70472628:70500636:1 gene:ENSMUSG00000079608.10 transcript:ENSMUST00000164223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard6 description:StAR-related lipid transfer (START) domain containing 6 [Source:MGI Symbol;Acc:MGI:2156774] MDYKAIAQQTAEQVLAYNQDLSGWKLIKSSKKVTVSSKTSRIFHGNLYRVEGIIPESAAH LSDFLFKHDHRVSWDKSLKGFNVIHKIDSDTLICHTITQSFAMGSISPRDFIDLVHIKHY ERNVDIISTKSVDFPGYAPTSTYIRGFNHPSGYVCSPLKENPAYSKLVIFVQTEMKGKLP ASVIEKSMPSNLVSFLLNVKDGVKTYRIPPIRARHSSHSSVHKKKEGHSAIKP >ENSMUSP00000134511.1 pep:known chromosome:GRCm38:18:70472666:70500810:1 gene:ENSMUSG00000079608.10 transcript:ENSMUST00000174118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard6 description:StAR-related lipid transfer (START) domain containing 6 [Source:MGI Symbol;Acc:MGI:2156774] MDYKAIAQQTAEQVLAYNQDLSGWKLIKSSKKVTVSSKTSRIFHGNLYRVEGIIPESAAH LSDFLFKHDHRVSWDKSLKGFNVIHKIDSDTLICHTITQSFAMGSISPRDFIDLVHIKHY ERNVDIISTKSVDFPGYAPTSTYIRGFNHPSGYVCSPLKENPAYSKLVIFVQTEMKGKLP ASVIEKSMPSNLVSFLLNVKDGVKTYRIPPIRARHSSHSSVHKKKEGHSAIKP >ENSMUSP00000134211.1 pep:known chromosome:GRCm38:18:70473017:70483434:1 gene:ENSMUSG00000079608.10 transcript:ENSMUST00000173951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard6 description:StAR-related lipid transfer (START) domain containing 6 [Source:MGI Symbol;Acc:MGI:2156774] MDYKAIAQQTAEQVLAYNQDLSGWKLIKSSKKVTVSSKTSRIFHGNLYRVEGII >ENSMUSP00000133956.1 pep:known chromosome:GRCm38:18:70473200:70498633:1 gene:ENSMUSG00000079608.10 transcript:ENSMUST00000174667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard6 description:StAR-related lipid transfer (START) domain containing 6 [Source:MGI Symbol;Acc:MGI:2156774] MDYKAIAQQTAEQVLAYNQDLSGWKLIKSSDTLICHTITQSFAMGSISPRDFIDLVHIKH YERNVDIISTKSVDFPGYAPTSTYIRGFNHPSGYVCSP >ENSMUSP00000022264.6 pep:known chromosome:GRCm38:14:11553532:12242041:1 gene:ENSMUSG00000021745.13 transcript:ENSMUST00000022264.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprg description:protein tyrosine phosphatase, receptor type, G [Source:MGI Symbol;Acc:MGI:97814] MRRLLEPCWWILFLKITSSVLHYVVCFPALTEGYVGTLQESRQDSSVQIRRRKASGDPYW AYSGAYGPEHWVTSSVSCGGSHQSPIDILDHHARVGDEYQELQLDGFDNESSNKTWMKNT GKTVAILLKDDYFVSGAGLPGRFKAEKVEFHWGHSNGSAGSEHSVNGRRFPVEMQIFFYN PDDFDSFQTAISENRIIGAMAIFFQVSPRDNSALDPIIHGLKGVVHHEKETFLDPFILRD LLPASLGSYYRYTGSLTTPPCSEIVEWIVFRRPVPISYHQLEAFYSIFTTEQQDHVKSVE YLRNNFRPQQALNDRVVSKSAVRDAWNHDLADFLDNPLGTEASKVCSSPPIHMKVQPLNQ TALQVSWSQPETIYHPPIMNYMISYSWTKNEDEKEKTFTKDSDKDLKATISHVSPDSLYL FRVQAVCRNDMRSDFSQTMLFQANTTRIFQGTRIVKTGVPTASPASSADMAPISSGSSTW TSSGIPFSFVSMATGMGPSSSGSQATVASVVTSTLLAGLGFGGGGISSFPSTVWPTRLPT ASAASKQAGRTVLATTEALASPGPDVDSAPTKDSEGTEEGEKEEKSESEDGEREHEEEEK DSEKKEKSEATHTAAESDRTAPAPTPSSPHRTAAEGGHQTIPGRRQDHSAPATDQPGHVA PDLDPLVDTATQVPPTATEEHYSGSDPRRPEMPSKKPMSRGDRFSEDSKFITVNPAEKNT SGMLSRPSPGRMEWIIPLIVVSALTFVCLVLLIAVLVYWRGCNKIKSKGFPRRSREVPSS GERGEKGSRKCFQTAHFYVEDSSSPRVVPNESVPIIPIPDDMEAIPVKQFGKHIGELYSN SQHGFSEDFEEVQRCTADMNITAEHSNHPDNKHKNRYINILAYDHSRVKLRPLPGKDSKH SDYINANYVDGYNKAKAYIATQGPLKSTFEDFWRMIWEQNTGIIIMITNLVEKGRRKCDQ YWPTENTEEYGNIIVTLKSTKVHACYTVRRLSVRNTKVKKGQKGNPKGRQNERTVIQYHY TQWPDMGVPEYALPVLTFVRRSSAARMPDMGPVLVHCSAGVGRTGTYIVIDSMLQQIKDK STVNVLGFLKHIRTQRNYLVQTEEQYIFIHDALLEAILGKETEVSSSQLHSYVNSILIPG VGGKTRLEKQFKLITQCNAKYVECFSAQKECNKEKNRNSSVVPAERARVGLAPLPGMKGT DYINASYIMGYYRSNEFIITQHPLPHTTKDFWRMIWDHNAQIIVMLPDNQSLAEDEFVYW PSREESMNCEAFTVTLISKDRLCLSNEEQIIIHDFILEATQDDYVLEVRHFQCPKWPNPD APISSTFELINVIKEEALTRDGPTIVHDEYGAVSAGMLCALTTLSQQLENENAVDVFQVA KMINLMRPGVFTDIEQYQFVYKAMLSLISTKENGNGPMTGDKNGAVLTAEESDPAESMES LV >ENSMUSP00000121268.1 pep:known chromosome:GRCm38:14:11553553:12238564:1 gene:ENSMUSG00000021745.13 transcript:ENSMUST00000142917.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptprg description:protein tyrosine phosphatase, receptor type, G [Source:MGI Symbol;Acc:MGI:97814] MRRLLEPCWWILFLKITSSVLHYVVCFPALTEGYVGTLQESRQDSSVQIRRRKASGDPYW AYSGAYGPEHWVTSSVSCGGSHQSPIDILDHHARVGDEYQELQLDGFDNESSNKTWMKNT GKTVAILLKDDYFVSGAGLPGRFKAEKVEFHWGHSNGSAGSEHSVNGRRFPVEMQIFFYN PDDFDSFQTAISENRIIGAMAIFFQVSPRDNSALDPIIHGLKGVVHHEKETFLDPFILRD LLPASLGSYYSKTMSSQWST >ENSMUSP00000113679.1 pep:known chromosome:GRCm38:14:12189943:12238563:1 gene:ENSMUSG00000021745.13 transcript:ENSMUST00000119888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprg description:protein tyrosine phosphatase, receptor type, G [Source:MGI Symbol;Acc:MGI:97814] MMTTVCSLLCLKYRKCFQTAHFYVEDSSSPRVVPNESVPIIPIPDDMEAIPVKQFGKHIG ELYSNSQHGFSEDFEEVQRCTADMNITAEHSNHPDNKHKNRYINILAYDHSRVKLRPLPG KDSKHSDYINANYVDGYNKAKAYIATQGPLKSTFEDFWRMIWEQNTGIIIMITNLVEKGR RKCDQYWPTENTEEYGNIIVTLKSTKVHACYTVRRLSVRNTKVKKGQKGNPKGRQNERTV IQYHYTQWPDMGVPEYALPVLTFVRRSSAARMPDMGPVLVHCSAGVGRTGTYIVIDSMLQ QIKDKSTVNVLGFLKHIRTQRNYLVQTEEQYIFIHDALLEAILGKETEVSSSQLHSYVNS ILIPGVGGKTRLEKQFKLITQCNAKYVECFSAQKECNKEKNRNSSVVPAERARVGLAPLP GMKGTDYINASYIMGYYRSNEFIITQHPLPHTTKDFWRMIWDHNAQIIVMLPDNQSLAED EFVYWPSREESMNCEAFTVTLISKDRLCLSNEEQIIIHDFILEATQDDYVLEVRHFQCPK WPNPDAPISSTFELINVIKEEALTRDGPTIVHDEYGAVSAGMLCALTTLSQQLENENAVD VFQVAKMINLMRPGVFTDIEQYQFVYKAMLSLISTKENGNGPMTGDKNGAVLTAEESDPA ESMESLV >ENSMUSP00000121427.1 pep:known chromosome:GRCm38:14:12091160:12122132:1 gene:ENSMUSG00000021745.13 transcript:ENSMUST00000155727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprg description:protein tyrosine phosphatase, receptor type, G [Source:MGI Symbol;Acc:MGI:97814] MEVSPRDNSALDPIIHGLKGVVHHEKETFLDPFILRDLLPASLGSYYRYTGSLTTPPCSE IVEWIVFRRPVPISYHQLEAFYSIFTTEQQDHVKSVEYLRNNFRPQQALNDRVVSKSAVR DAWNHDLA >ENSMUSP00000139128.1 pep:known chromosome:GRCm38:3:89268246:89270479:-1 gene:ENSMUSG00000027953.13 transcript:ENSMUST00000185119.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc50a1 description:solute carrier family 50 (sugar transporter), member 1 [Source:MGI Symbol;Acc:MGI:107417] MEAGGVADSFLSSACVLFTLGMFSTGLSDLRHMQRTRSVDNIQFLPFLTTDVNMVCSCRR QPCWLSFSWVMATFGFWCRTLRPGFSS >ENSMUSP00000103084.1 pep:known chromosome:GRCm38:3:89268246:89270495:-1 gene:ENSMUSG00000027953.13 transcript:ENSMUST00000107460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc50a1 description:solute carrier family 50 (sugar transporter), member 1 [Source:MGI Symbol;Acc:MGI:107417] MEAGGVADSFLSSACVLFTLGMFSTGLSDLRHMQRTRSVDNIQFLPFLTTDVNNLSWLSY GVLKGDGTLIIVNSVGAVLQTLYILAYLHYSPQKAKIVQTKSTQRLSFSLTIATLFCSAS WSIYGFRLRDPYITVPNLPGILTSLIRLGLFCKYPPEQDRKYRLLQT >ENSMUSP00000029565.4 pep:known chromosome:GRCm38:3:89268246:89270570:-1 gene:ENSMUSG00000027953.13 transcript:ENSMUST00000029565.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc50a1 description:solute carrier family 50 (sugar transporter), member 1 [Source:MGI Symbol;Acc:MGI:107417] MEAGGVADSFLSSACVLFTLGMFSTGLSDLRHMQRTRSVDNIQFLPFLTTDVNNLSWLSY GVLKGDGTLIIVNSVGAVLQTLYILAYLHYSPQKHGVLLQTATLLAVLLLGYGYFWLLVP DLEARLQQLGLFCSVFTISMYLSPLADLAKIVQTKSTQRLSFSLTIATLFCSASWSIYGF RLRDPYITVPNLPGILTSLIRLGLFCKYPPEQDRKYRLLQT >ENSMUSP00000123276.1 pep:known chromosome:GRCm38:3:89269071:89270570:-1 gene:ENSMUSG00000027953.13 transcript:ENSMUST00000130230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc50a1 description:solute carrier family 50 (sugar transporter), member 1 [Source:MGI Symbol;Acc:MGI:107417] MQRTRSVDNIQFLPFLTTDVNNLSWLSYGVLKGDGTLIIVNSVGAVLQTLYILAYLHYSP QKHGVLLQTATLLAVLLLGYGYFWLLVPDLEARLQQLGLFCSVFTISMY >ENSMUSP00000039653.5 pep:known chromosome:GRCm38:10:61417972:61425338:1 gene:ENSMUSG00000037171.6 transcript:ENSMUST00000049339.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nodal description:nodal [Source:MGI Symbol;Acc:MGI:97359] MSAHSLRILLLQACWALLHPRAPTAAALPLWTRGQPSSPSPLAYMLSLYRDPLPRADIIR SLQAQDVDVTGQNWTFTFDFSFLSQEEDLVWAELRLQLPGPMDIPTEGPLTIDIFHQAKG DPERDPADCLERIWMETFTVIPSQVTFASGSTVLEVTKPLSKWLKDPRALEKQVSSRAEK CWHQPYTPPVPVASTNVLMLYSNRPQEQRQLGGATLLWEAESSWRAQEGQLSVERGGWGR RQRRHHLPDRSQLCRRVKFQVDFNLIGWGSWIIYPKQYNAYRCEGECPNPVGEEFHPTNH AYIQSLLKRYQPHRVPSTCCAPVKTKPLSMLYVDNGRVLLEHHKDMIVEECGCL >ENSMUSP00000049039.7 pep:known chromosome:GRCm38:X:93286496:93298357:1 gene:ENSMUSG00000035277.15 transcript:ENSMUST00000046565.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arx description:aristaless related homeobox [Source:MGI Symbol;Acc:MGI:1097716] MSNQYQEEGCSERPECKSKSPTLLSSYCIDSILGRRSPCKMRLLGAAQSLPAPLASRADQ EKAMQGSPKSSSAPFEAELHLPPKLRRLYGPGGGRLLQGAAAAAAAAAAAAAAATATGTA GPRGEVPPPPPPAARPGERQDSAGAVAAAAAAAAWDTLKISQAPQVSISRSKSYRENGAP FVPPPPALDELSGPGGVAHPEERLSAASGPGSAPAAGGGTGAEDDEEELLEDEEDEEEEE ELLEDDDEELLEDDARALLKEPRRCSVATTGTVAAAAAAAAAAVATEGGELSPKEELLLH PEDAEGKDGEDSVCLSAGSDSEEGLLKRKQRRYRTTFTSYQLEELERAFQKTHYPDVFTR EELAMRLDLTEARVQVWFQNRRAKWRKREKAGAQTHPPGLPFPGPLSATHPLSPYLDASP FPPHHPALDSAWTAAAAAAAAAFPSLPPPPGSASLPPSGAPLGLSTFLGAAVFRHPAFIS PAFGRLFSTMAPLTSASTAAALLRQPTPAVEGAVASGALADPATAAADRRASSIAALRLK AKEHAAQLTQLNILPGTSTGKEVC >ENSMUSP00000109580.2 pep:known chromosome:GRCm38:X:93286510:93298062:1 gene:ENSMUSG00000035277.15 transcript:ENSMUST00000113947.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arx description:aristaless related homeobox [Source:MGI Symbol;Acc:MGI:1097716] MSNQYQEEGCSERPECKSKSPTLLSSYCIDSILGRRSPCKMRLLGAAQSLPAPLASRADQ EKAMQGSPKSSSAPFEAELHLPPKLRRLYGPGGGRLLQGAAAAAAAAAAAAAAATATGTA GPRGEVPPPPPPAARPGERQDSAGAVAAAAAAAAWDTLKISQAPQVSISRSKSYRENGAP FVPPPPALDELSGPGGVAHPEERLSAASGPGSAPAAGGGTGAEDDEEELLEDEEDEEEEE ELLEDDDEELLEDDARALLKEPRRCSVATTGTVAAAAAAAAAAVATEGGELSPKEELLLH PEDAEGKDGEDSVCLSAGSDSEEGLLKRKQRRYRTTFTSYQLEELERAFQKTHYPDVFTR EELAMRLDLTEARVQVWFQNRRAKWRKREKAGAQTHPPGLPFPGPLSATHPLSPYLDASP FPPHHPALDSAWTAAAAAAAAAFPSLPPPPGSASLPPSGAPLGLSTFLGAAVFRHPAFIS PAFGRLFSTMAPLTSASTAAALLRQPTPAVEGAVASGALADPATAAADRRASSIAALRLK AKEHAAQLTQLNILPGTSTGKEVC >ENSMUSP00000019232.3 pep:known chromosome:GRCm38:X:74273217:74281736:-1 gene:ENSMUSG00000019088.13 transcript:ENSMUST00000019232.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1l1 description:deoxyribonuclease 1-like 1 [Source:MGI Symbol;Acc:MGI:109628] MPFGQPGFLWRVPDAHIAMRGLVMAPLLILLVGGTEAFRICAFNAHRLTLAKLTKESVMD TLVQILARCDIMVLQEVVDSSQNTVPFLLQKLKSSRSYSFLNSSLLGRSTYKEKYVYIYR SDKTQVLNFYQYNDTDDIFAREPFVAHFTLPSKTLPSVVLVPLHTTPKDVEKELNALYDV FLDVYQRWQNENVILLGDFNADCASLTKKRLKSLLLRTKAGFHWVIPDGEDTTVRASTNC TYDRIVVHGQGCQMLLKAAATFDFPKRFQLTEEEALRISDHYPVEVELSQATPLSIPPHY LAALLLSLLPSQLD >ENSMUSP00000075218.2 pep:known chromosome:GRCm38:X:74273218:74282337:-1 gene:ENSMUSG00000019088.13 transcript:ENSMUST00000075821.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1l1 description:deoxyribonuclease 1-like 1 [Source:MGI Symbol;Acc:MGI:109628] MPFGQPGFLWRVPDAHIAMRGLVMAPLLILLVGGTEAFRICAFNAHRLTLAKLTKESVMD TLVQILARCDIMVLQEVVDSSQNTVPFLLQKLKSSRSYSFLNSSLLGRSTYKEKYVYIYR SDKTQVLNFYQYNDTDDIFAREPFVAHFTLPSKTLPSVVLVPLHTTPKDVEKELNALYDV FLDVYQRWQNENVILLGDFNADCASLTKKRLKSLLLRTKAGFHWVIPDGEDTTVRASTNC TYDRIVVHGQGCQMLLKAAATFDFPKRFQLTEEEALRISDHYPVEVELSQATPLSIPPHY LAALLLSLLPSQLD >ENSMUSP00000109827.2 pep:known chromosome:GRCm38:X:74273319:74281598:-1 gene:ENSMUSG00000019088.13 transcript:ENSMUST00000114189.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1l1 description:deoxyribonuclease 1-like 1 [Source:MGI Symbol;Acc:MGI:109628] MPFGQPGFLWRVPDAHIAMRGLVMAPLLILLVGGTEAFRICAFNAHRLTLAKLTKESVMD TLVQALRISDHYPVEVELSQATPLSIPPHYLAALLLSLLPSQLD >ENSMUSP00000113515.2 pep:known chromosome:GRCm38:X:74273973:74281675:-1 gene:ENSMUSG00000019088.13 transcript:ENSMUST00000119361.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1l1 description:deoxyribonuclease 1-like 1 [Source:MGI Symbol;Acc:MGI:109628] MPFGQPGFLWRVPDAHIAMRGLVMAPLLILLVGGTEAFRICAFNAHRLTLAKLTKESVMD TLVQNVILLGDFNADCASLTKK >ENSMUSP00000018315.3 pep:known chromosome:GRCm38:11:86583865:86683836:-1 gene:ENSMUSG00000018171.9 transcript:ENSMUST00000018315.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmp1 description:vacuole membrane protein 1 [Source:MGI Symbol;Acc:MGI:1923159] MAENGKNCDQRRIAMSKDQHNGSLTDPSSVHEKKRRDREERQNIVLWRQPLITLQYFSLE TLVVLKEWTSKLWHRQSIVVSFLLLLAALVATYYVEGAHQQYVQRIEKQFLLYAYWIGLG ILSSVGLGTGLHTFLLYLGPHIASVTLAAYECNSVNFPEPPYPDQIICPEEEGAEGAISL WSIISKVRIEACMWGIGTAIGELPPYFMARAARLSGAEPDDEEYQEFEEMLEHAEAAQDF ASRAKLAVQKLVQKVGFFGILACASIPNPLFDLAGITCGHFLVPFWTFFGATLIGKAIIK MHIQKIFVIVTFSKHIVEQMVTFIGAVPGIGPSLQKPFQEYLEAQRQKLHHRSEAGTPQG ENWLSWMFEKLVVAMVCYFVLSIINSMAQNYAKRIQQRLNSEEKTK >ENSMUSP00000118302.1 pep:known chromosome:GRCm38:11:86664654:86671179:-1 gene:ENSMUSG00000018171.9 transcript:ENSMUST00000143991.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmp1 description:vacuole membrane protein 1 [Source:MGI Symbol;Acc:MGI:1923159] MAENGKNCDQRRIAMSKDQHNGSLTDPSSVHEKKRRDREERQNIVLWRQPLITLQYFSLE TLVVLKEWTS >ENSMUSP00000054020.5 pep:known chromosome:GRCm38:11:70764209:70772928:1 gene:ENSMUSG00000043602.5 transcript:ENSMUST00000060444.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp3 description:zinc finger protein 3 [Source:MGI Symbol;Acc:MGI:99177] MGTEKKEGLPKEETSEDSKPHGQTVEKLAQEVCHGHEFGEASEEDMSEGHLRESSKEIIE KRYPQERHFASGLLIFKKSSSGEKTSENPRGFNPNPSVLCHGGAERASACAASGHNCLGS IELTKAQGPPVGEKPHTCKECGKAFNQNSHLIQHMRVHSGEKPFECKECGKTFGTNSSLR RHQRIHAGEKPFACTECGKAFIQSSHLIHHHRIHTGERPYKCEECGKAFSQNSALILHQR IHTGEKPYECNECGKTFRVSSQLIQHQRIHTEERYHECSECGKAFKHSSGLIRHQKIHTG EKPYLCNECGKGFGQSSELIRHQRIHTGDKPYECSECGKTFGQNSEIIRHIRIHTGEKPY VCKECGKAFRGNSELLRHERIHTGEKPYECFECGKAFRRTSHLIVHQRIHTGEKPHQCNE CARTFWDNSELLLHQKIHIGEKPYECSECEKTFSQHSQLTIHQRIHTGEKPYECQECQKT FSRSSHLLRHQSVHCSE >ENSMUSP00000098327.3 pep:known chromosome:GRCm38:13:55599896:55610443:1 gene:ENSMUSG00000021504.14 transcript:ENSMUST00000100764.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt7 description:xylosylprotein beta1,4-galactosyltransferase, polypeptide 7 (galactosyltransferase I) [Source:MGI Symbol;Acc:MGI:2384987] MLPSRRKAAQLPWEDGRARLLPGGLRRKCSIFHLFIAFLLLVFFSLLWLQLSCSGDMAQV TRGQGQETSGPPRACPPEPPPEHWEEDESWGPHRLAVLVPFRERFEELLVFVPHMHRFLS RKRIQHHIYVLNQVDHFRFNRAALINVGFLESSNSTDYIAMHDVDLLPLNEELDYGFPEA GPFHVASPELHPLYHYKTYVGGILLLSKQHYQLCNGMSNRFWGWGREDDEFYRRIKGAGL QEQFKVDREGGLNTVKYRVDSRTALSIGGAPCTVLNVMLDCDKTATPWCIFG >ENSMUSP00000123292.1 pep:known chromosome:GRCm38:13:55600065:55608802:1 gene:ENSMUSG00000021504.14 transcript:ENSMUST00000133176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt7 description:xylosylprotein beta1,4-galactosyltransferase, polypeptide 7 (galactosyltransferase I) [Source:MGI Symbol;Acc:MGI:2384987] MAQVTRGQGQETSGPPRACPPEPPPEHWEEDESWGPHRLAVLVPFRERFEELLVFVPHMH RFLSRKRIQHHIYVLNQVDHFRFNRAALINVGFLESSNSTDYIAMHDVDLLPLNEELDYG FPEAGPFHVASPELHPLYHYKTYVGGILLLSKQHYQLCNGMSNRFWGWGREDDEFYRRIK GAGLQLFRPSGITTGYQTFRHLHDPAWRKRDQKRIAAQKQ >ENSMUSP00000068532.6 pep:known chromosome:GRCm38:13:55600065:55610442:1 gene:ENSMUSG00000021504.14 transcript:ENSMUST00000064701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt7 description:xylosylprotein beta1,4-galactosyltransferase, polypeptide 7 (galactosyltransferase I) [Source:MGI Symbol;Acc:MGI:2384987] MLPSRRKAAQLPWEDGRARLLPGGLRRKCSIFHLFIAFLLLVFFSLLWLQLSCSGDMAQV TRGQGQETSGPPRACPPEPPPEHWEEDESWGPHRLAVLVPFRERFEELLVFVPHMHRFLS RKRIQHHIYVLNQVDHFRFNRAALINVGFLESSNSTDYIAMHDVDLLPLNEELDYGFPEA GPFHVASPELHPLYHYKTYVGGILLLSKQHYQLCNGMSNRFWGWGREDDEFYRRIKGAGL QLFRPSGITTGYQTFRHLHDPAWRKRDQKRIAAQKQEQFKVDREGGLNTVKYRVDSRTAL SIGGAPCTVLNVMLDCDKTATPWCIFG >ENSMUSP00000029932.7 pep:known chromosome:GRCm38:3:144729677:144760977:-1 gene:ENSMUSG00000056025.12 transcript:ENSMUST00000029932.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clca3a1 description:chloride channel accessory 3A1 [Source:MGI Symbol;Acc:MGI:1316732] MVPGLQVLLFLTLHLLQNTESSMVHLNSNGYEGVVIAINPSVPEDERLIPSIKEMVTQAS TYLFEASQGRVYFRNISILVPMTWKSKPEYLMPKRESYDKADVIVADPHLQHGDDPYTLQ YGQCGDRGQYIHFTPNFLLTDNLRIYGPRGRVFVHEWAHLRWGVFDEYNVDQPFYMSRKN TIEATRCSTRITGTNVVHNCERGNCVTRACRRDSKTRLYEPKCTFIPDKIQTAGASIMFM QNLNSVVEFCTEKNHNAEAPNLQNKMCNRRSTWDVIKTSADFQNAPPMRGTEAPPPPTFS LLKSRRRVVCLVLDKSGSMDKEDRLIRMNQAAELYLTQIVEKESMVGLVTFDSAAHIQNY LIKITSSSDYQKITANLPQQASGGTSICHGLQAGFQAITSSDQSTSGSEIVLLTDGEDNG IRSCFEAVSRSGAIIHTIALGPSAARELETLSDMTVGEQSLRCQSRGMDKRYSTSGQYRR QRHVLCYHLDGKKARNHSSRSKRKKIYNLRFPR >ENSMUSP00000054526.5 pep:known chromosome:GRCm38:3:144729916:144760841:-1 gene:ENSMUSG00000056025.12 transcript:ENSMUST00000059091.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clca3a1 description:chloride channel accessory 3A1 [Source:MGI Symbol;Acc:MGI:1316732] MVPGLQVLLFLTLHLLQNTESSMVHLNSNGYEGVVIAINPSVPEDERLIPSIKEMVTQAS TYLFEASQGRVYFRNISILVPMTWKSKPEYLMPKRESYDKADVIVADPHLQHGDDPYTLQ YGQCGDRGQYIHFTPNFLLTDNLRIYGPRGRVFVHEWAHLRWGVFDEYNVDQPFYMSRKN TIEATRCSTRITGTNVVHNCERGNCVTRACRRDSKTRLYEPKCTFIPDKIQTAGASIMFM QNLNSVVEFCTEKNHNAEAPNLQNKMCNRRSTWDVIKTSADFQNAPPMRGTEAPPPPTFS LLKSRRRVVCLVLDKSGSMDKEDRLIRMNQAAELYLTQIVEKESMVGLVTFDSAAHIQNY LIKITSSSDYQKITANLPQQASGGTSICHGLQAGFQAITSSDQSTSGSEIVLLTDGEDNG IRSCFEAVSRSGAIIHTIALGPSAARELETLSDMTGGLRFYANKDLNSLIDAFSRISSTS GSVSQQALQLESKAFDVRAGAWINGTVPLDSTVGNDTFFVITWMVKKPEIILQDPKGKKY TTSDFQDDKLNIRSARLQIPGTAETGTWTYSITGTKSQLITMTVTTRARSPTMEPLLATA HMSQSTAQYPSRMIVYARVSQGFLPVLGANVTALIEAEHGHQVTLELWDNGAGADTVKND GIYTRYFTDYHGNGRYSLKVRVQAQRNKTRLSLRQKNKSLYIPGYVENGKIVLNPPRPDV QEEAIEATVEDFNRVTSGGSFTVSGAPPDGDHARVFPPSKVTDLEAEFIGDYIHLTWTAP GKVLDNGRAHRYIIRMSQHPLDLQEDFNNATLVNASSLIPKEAGSKETFKFKPETFKIAN GIQLYIAIQADNEASLTSEVSNIAQAVKLTSLEDSISALGDDISAISMTIWGLTVIFNSI LN >ENSMUSP00000066162.1 pep:known chromosome:GRCm38:X:53777118:53797706:-1 gene:ENSMUSG00000054626.11 transcript:ENSMUST00000067782.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr description:X-linked lymphocyte-regulated [Source:MGI Symbol;Acc:MGI:98976] MENWDLSSDEMQDGNAPELDVIEEHNPVTRDDENANPEEVVGDTRSPVQNILGKFEGDIN KRLHIKRKRMETYIKDSFKDSNVKLEQLWKTNKQERKKINNKFCEQYITTFQKFDMDVQK FNEEQEKSVNNYQKEQQALKLSKCSQSQTLEAIKDMHENYMEMQKAELQLRSRGEDETSC PKEAETS >ENSMUSP00000068044.3 pep:known chromosome:GRCm38:X:53783748:53797696:-1 gene:ENSMUSG00000054626.11 transcript:ENSMUST00000067763.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr description:X-linked lymphocyte-regulated [Source:MGI Symbol;Acc:MGI:98976] MENWDLSSDEMQDGNAPELDVIEEHNPVTRDDENANPEEVVGDTRSPVQNILGKFEGDIN KRLHIKRKRMETYIKDSFKDSNVKLEQLWKTNKQERKKINNKFCEQYITTFQKFDMDVQK FNEEQEKSVNNYQKEQQALKLSKCSQSQTLEAIKDMHENYMEGLMNLETNNYNMLFDVDG ELRKEMSVFKKDLMKHTLKYSSSFPSSD >ENSMUSP00000110458.1 pep:known chromosome:GRCm38:X:53783748:53797696:-1 gene:ENSMUSG00000054626.11 transcript:ENSMUST00000114810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr description:X-linked lymphocyte-regulated [Source:MGI Symbol;Acc:MGI:98976] MENWDLSSDEMQDGNAPELDVIEEHNPVTRDDENANPEEVVGDTRSPVQNILGKFEGDIN KRLHIKRKRMETYIKDSFKDSNVKLEQLWKTNKQERKKINNKFCEQYITTFQKFDMDVQK FNEEQEKSVNNYQKEQQALKLSKCSQSQTLEAIKDMHENYMEGLMNLETNNYNMLFDVDG ELRKEMSVFKKDLMKHTLKYSSSFPSSD >ENSMUSP00000129230.1 pep:known chromosome:GRCm38:10:103007846:103029054:-1 gene:ENSMUSG00000036602.13 transcript:ENSMUST00000167156.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alx1 description:ALX homeobox 1 [Source:MGI Symbol;Acc:MGI:104621] MEFLSEKFALKSPPSKNSDFYMGTGGALEHVMETLDNESFYGKATAGKCVQAFGPLPRAE HHVRLDRTSPCQDSSVNYGITKVEGQPLHTELNRAMDNCNNLRMSPVKGMPEKSELDELG DKCDSNVSSSKKRRHRTTFTSLQLEELEKVFQKTHYPDVYVREQLALRTELTEARVQVWF QNRRAKWRKRERYGQIQQAKSHFAATYDISVLPRTDSYPQIQNNLWAGNASGGSVVTSCM LPRDASSCMTPYSHSPRTDSSYTGFSNHQNQFSHVPLNNFFTDSLLTGATNGHAFETKPE FERRSSSIAVLRMKAKEHTANISWAM >ENSMUSP00000042512.5 pep:known chromosome:GRCm38:10:103007847:103028777:-1 gene:ENSMUSG00000036602.13 transcript:ENSMUST00000040859.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alx1 description:ALX homeobox 1 [Source:MGI Symbol;Acc:MGI:104621] MEFLSEKFALKSPPSKNSDFYMGTGGALEHVMETLDNESFYGKATAGKCVQAFGPLPRAE HHVRLDRTSPCQDSSVNYGITKVEGQPLHTELNRAMDNCNNLRMSPVKGMPEKSELDELG DKCDSNVSSSKKRRHRTTFTSLQLEELEKVFQKTHYPDVYVREQLALRTELTEARVQVWF QNRRAKWRKRERYGQIQQAKSHFAATYDISVLPRTDSYPQIQNNLWAGNASGGSVVTSCM LPRDASSCMTPYSHSPRTDSSYTGFSNHQNQFSHVPLNNFFTDSLLTGATNGHAFETKPE FERRSSSIAVLRMKAKEHTANISWAM >ENSMUSP00000064554.3 pep:known chromosome:GRCm38:8:19123752:19126540:1 gene:ENSMUSG00000052554.3 transcript:ENSMUST00000064475.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb34 description:defensin beta 34 [Source:MGI Symbol;Acc:MGI:2672979] MKTFLFLFAVLFFWSQPRMHFFFFDEKCSRINGRCTASCLKNEELVALCWKNLKCCVTVQ SCGRSKGNQSDEGSGHMGTRG >ENSMUSP00000121729.1 pep:known chromosome:GRCm38:8:93351843:93363676:-1 gene:ENSMUSG00000074156.4 transcript:ENSMUST00000145041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces1h description:carboxylesterase 1H [Source:MGI Symbol;Acc:MGI:1922954] MEDASSVTEQIAVTAGCKTTTSAVIVHCLRQKTEEELLEIMQKMNLFKLDAQENTKKNYP FLPTVLDGVVLPKAPEAILAEKNFNTVPYIVGINKQEFGWTLPMIMEFPPTDVKLDKKVI SLFLRKLAYFFNIPEDGIPVAIEKYSKGTDDPIQNRVWLLQLIGDVMFGIPSVIVSRGHR DAGAPTYMYEFQSRPSFSSELKPKTVTGDHGDEVYSVFGAPILREGSSEEEINLSKMMMK FWANFARNGNPNGQGLPHWPEYNQKKGYLQIGATTQQAQGLKDKEVSLWTELRAKKPPQT GHTEL >ENSMUSP00000025394.6 pep:known chromosome:GRCm38:18:65800549:65817665:1 gene:ENSMUSG00000024516.12 transcript:ENSMUST00000025394.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec11c description:SEC11 homolog C, signal peptidase complex subunit [Source:MGI Symbol;Acc:MGI:1913536] MVRAGAVGTHLPTSSLDIFGDLRKMNKRQLYYQVLNFAMIVSSALMIWKGLIVLTGSESP IVVVLSGSMEPAFHRGDLLFLTNFREDPIRAGEIVVFKVEGRDIPIVHRVIKVHEKDNGD IKFLTKGDNNEVDDRGLYKEGQNWLEKKDVVGRARGFLPYVGMVTIIMNDYPKFKYALLA VMGAYVLLKRES >ENSMUSP00000122624.1 pep:known chromosome:GRCm38:18:65800550:65817656:1 gene:ENSMUSG00000024516.12 transcript:ENSMUST00000126432.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sec11c description:SEC11 homolog C, signal peptidase complex subunit [Source:MGI Symbol;Acc:MGI:1913536] MVRAGAVGTHLPTSSLDIFGDLRKMNKRQLYYQVLNFAMIVSSALMIWKGLIVLTGSESP IVVVLSGSMEPAFHRGDLLFLTNFREDPIRAEIMVTSSF >ENSMUSP00000122547.1 pep:known chromosome:GRCm38:18:65800572:65812728:1 gene:ENSMUSG00000024516.12 transcript:ENSMUST00000153193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec11c description:SEC11 homolog C, signal peptidase complex subunit [Source:MGI Symbol;Acc:MGI:1913536] MVRAGAVGTHLPTSSLDIFGDLRKMNKRQCTIISSIVPEKYDRLYYQVLNFAMIVSSALM IWKGLIVLTGSESPIVVVLSGSMEPAFHRGDLLFLTNFRED >ENSMUSP00000030531.7 pep:known chromosome:GRCm38:4:138877942:138882817:1 gene:ENSMUSG00000028751.13 transcript:ENSMUST00000030531.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g2e description:phospholipase A2, group IIE [Source:MGI Symbol;Acc:MGI:1349660] MKPPIALACLCLLVPLAGGNLVQFGVMIERMTGKPALQYNDYGCYCGVGGSHWPVDETDW CCHAHDCCYGRLEKLGCDPKLEKYLFSITRDNIFCAGRTACQRHTCECDKRAALCFRHNL NTYNRKYAHYPNKLCTGPTPPC >ENSMUSP00000101429.1 pep:known chromosome:GRCm38:4:138877971:138882522:1 gene:ENSMUSG00000028751.13 transcript:ENSMUST00000105803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g2e description:phospholipase A2, group IIE [Source:MGI Symbol;Acc:MGI:1349660] MTMAAIAVSVAPTGQWTRRIGVVMPMTAAMAAWRSWAVTPSWKSTSSLSLETTSSVLVER LASGIPASVTREPLSAFATT >ENSMUSP00000101430.1 pep:known chromosome:GRCm38:4:138879360:138882817:1 gene:ENSMUSG00000028751.13 transcript:ENSMUST00000105804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g2e description:phospholipase A2, group IIE [Source:MGI Symbol;Acc:MGI:1349660] MKPPIALACLCLLVPLAGGNLVQFGVMIERMTGKPALQYNDYGCYCGVGGSHWPVDETDW CCHAHDCCYGRLEKLGCDPKLEKYLFSITRDNIFCAGRTACQRHTCECDKRAALCFRHNL NTYNRKYAHYPNKLCTGPTPPC >ENSMUSP00000076830.5 pep:known chromosome:GRCm38:1:163779583:163917109:1 gene:ENSMUSG00000026585.13 transcript:ENSMUST00000077642.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifap3 description:kinesin-associated protein 3 [Source:MGI Symbol;Acc:MGI:107566] MQGEDARYLKRKVKGGNIDVHPSEKALIVQYEVEATILGEMGDPMLGERKECQKIIRLKS LNANTDITSLARKVVEECKLIHPSKLSEVEQLLYYLQNRRDSLPGKEKKEKSSKPKDPPP FEGMEIDEVANINDMDEYIELLYEDIPDKVRGSALILQLARNPDNLEELLLNETALGALA RVLREDWKQSVELATNIIYIFFCFSSFSHFHGLITHYKIGALCMNIIDHELKRHELWQEE LSKKKKAVDEDLENQTLRKDYDKTFKKYQGLVVKQEQLLRVALYLLLNLAEDTRTELKMR NKNIVHMLVKALDRDNFELLILVVSFLKKLSIFMENKNDMVEMDIVEKLVKMIPCEHEDL LNITLRLLLNLSFDTGLRNKMVQVGLLPKLTALLGNENYKQIAMCVLYHISMDDRFKSMF AYTDCIPQLMKMLFECSDERIDLELISFCINLAANKRNVQLICEGNGLKMLMKRALKLKD PLLMKMIRNISQHDGPTKNLFIDYVGDLAAQISSDEEEEFVIECLGTLANLTIPDLDWEL VLKEYKLVPFLKDKLKPGAAEDDLVLEVVIMIGTVSMDDSCAALLAKSGIIPALIELLNA QQEDDEFVCQIIYVFYQMVFHQATRDVIIKETQAPAYLIDLMHDKNNEIRKVCDNTLDII AEYDEEWAKKIQSEKFRWHNSQWLEMVESRQLDESEQYLYGDDRIEPYIHEGDILERPDL FYNSDGLITSEGAISPDFFNDFHLQNGDVVGQHAFPGSTVHPRISKCFASVH >ENSMUSP00000027877.5 pep:known chromosome:GRCm38:1:163779583:163917109:1 gene:ENSMUSG00000026585.13 transcript:ENSMUST00000027877.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifap3 description:kinesin-associated protein 3 [Source:MGI Symbol;Acc:MGI:107566] MQGEDARYLKRKVKGGNIDVHPSEKALIVQYEVEATILGEMGDPMLGERKECQKIIRLKS LNANTDITSLARKVVEECKLIHPSKLSEVEQLLYYLQNRRDSLPGKEKKEKSSKPKDPPP FEGMEIDEVANINDMDEYIELLYEDIPDKVRGSALILQLARNPDNLEELLLNETALGALA RVLREDWKQSVELATNIIYIFFCFSSFSHFHGLITHYKIGALCMNIIDHELKRHELWQEE LSKKKKAVDEDLENQTLRKDYDKTFKKYQGLVVKQEQLLRVALYLLLNLAEDTRTELKMR NKNIVHMLVKALDRDNFELLILVVSFLKKLSIFMENKNDMVEMDIVEKLVKMIPCEHEDL LNITLRLLLNLSFDTGLRNKMVQVGLLPKLTALLGNENYKQIAMCVLYHISMDDRFKSMF AYTDCIPQLMKMLFECSDERIDLELISFCINLAANKRNVQLICEGNGLKMLMKRALKLKD PLLMKMIRNISQHDGPTKNLFIDYVGDLAAQISSDEEEEFVIECLGTLANLTIPDLDWEL VLKEYKLVPFLKDKLKPGAAEDDLVLEVVIMIGTVSMDDSCAALLAKSGIIPALIELLNA QQEDDEFVCQIIYVFYQMVFHQATRDVIIKETQAPAYLIDLMHDKNNEIRKVCDNTLDII AEYDEEWAKKIQSEKFRWHNSQWLEMVESRQLDESEQYLYGDDRIEPYIHEGDILERPDL FYNSDGLITSEGAISPDFFNDFHLQNGDVVGQHAFPGSLGMDGFGQPLGILGRPATAYGF RPDEPYYYSFGSR >ENSMUSP00000062919.4 pep:known chromosome:GRCm38:6:42140936:42141895:1 gene:ENSMUSG00000047102.4 transcript:ENSMUST00000057686.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r139 description:taste receptor, type 2, member 139 [Source:MGI Symbol;Acc:MGI:2681308] MAQPSNYWKQDVLPLSILMLTLVATECTIGIIASGIVMAVNAVSWVQKKAISITTRILLL LSVSRIGLQSIMLIEITSSIFNVAFYNSVLYRVSNVSFVFLNYCSLWFAALLSFFHFVKI ANFSYPLFFKLKWRISELMPWLLWLSVFISFSSSMFFSKHKFTVNNNNSLSNNICNFTMK LYVVETNVVNVSFLFISGILPPLTMFVATATLLIFSLRRHTLNMRNSATGSRNPCIEAHM QAIKETSCFLFLYILNAAALLLSTSNIVDASLFWSIVIRIVLPVYPAGHSVLLIQNNPGL RRTWKHLQSQIHLYLQNRF >ENSMUSP00000048125.7 pep:known chromosome:GRCm38:8:19140798:19142749:1 gene:ENSMUSG00000059463.7 transcript:ENSMUST00000039075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag11b description:sperm associated antigen 11B [Source:MGI Symbol;Acc:MGI:3647173] MIPRLLPFFASLLFAALLFPGLSNASSINHLVTEPPSFPKDEFPARGVNGSQLLHHRVKR LPPRTPPYHEPEPNYQIVNCKKSEGQCQEYCNFMETQVGYCSKKKEPCCLHPF >ENSMUSP00000106395.1 pep:known chromosome:GRCm38:8:19140759:19143010:1 gene:ENSMUSG00000059463.7 transcript:ENSMUST00000110767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag11b description:sperm associated antigen 11B [Source:MGI Symbol;Acc:MGI:3647173] MIPRLLPFFASLLFAALLFPAGLSNASSINHLVTEPPSFPKDEFPARGVNGSQLLHHRVK RLPPRTPPYHGKSSTQDQGKFIHLGNTERM >ENSMUSP00000137973.1 pep:known chromosome:GRCm38:11:69682577:69696098:-1 gene:ENSMUSG00000018752.19 transcript:ENSMUST00000180587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsfm13 description:tumor necrosis factor (ligand) superfamily, membrane-bound member 13 [Source:MGI Symbol;Acc:MGI:3845075] MAARRSQRRRGRRGEPGTALLAPLVLSLGLALACLGLLLVVVSLGSWATLSAQQEPSQEE LTAEDRREPPELNPQTEESQDVVPFLEQLVRPRRSAPKGRKARPRRAIAAHYEVHPRPGQ DGAQAGVDGTVSGWEETKINSSSPLRYDRQIGEFTVIRAGLYYLYCQVHFDEGKAVYLKL DLLVNGVLALRCLEEFSATAASSPGPQLRLCQTELQSLRREVSRLQRSGGPSQKQGERPW QSLWEQSPDVLEAWKDGAKSRRRRAVLTQKHKKKHSVLHLVPVNITSKADSDVTEVMWQP VLRRGRGLEAQGDIVRVWDTGIYLLYSQVLFHDVTFTMGQVVSREGQGRRETLFRCIRSM PSDPDRAYNSCYSAGVFHLHQGDIITVKIPRANAKLSLSPHGTFLGFVKL >ENSMUSP00000133951.1 pep:known chromosome:GRCm38:11:69683048:69695810:-1 gene:ENSMUSG00000018752.19 transcript:ENSMUST00000174159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsfm13 description:tumor necrosis factor (ligand) superfamily, membrane-bound member 13 [Source:MGI Symbol;Acc:MGI:3845075] MAARRSQRRRGRRGEPGTALLAPLVLSLGLALACLGLLLVVVSLGSWATLSAQEPSQEEL TAEDRREPPELNPQTEESQDVVPFLEQLVRPRRSAPKGRKARPRRAIAAHYEVHPRPGQD GAQAGVDGTVSGWEETKINSSSPLRYDRQIGEFTVIRAGLYYLYCQVHFDEGKAVYLKLD LLVNGVLALRCLEEFSATAASSPGPQLRLCQTELQSLRREVSRLQRSGGPSQKQGERPWQ SLWEQSPDVLEAWKDGAKSRRRRAVLTQKHKKKHSVLHLVPVNITSKDSDVTEVMWQPVL RRGRGLEAQGDIVRVWDTGIYLLYSQVLFHDVTFTMGQVVSREGQGRRETLFRCIRSMPS DPDRAYNSCYSAGVFHLHQGDIITVKIPRANAKLSLSPHGTFLGFVKL >ENSMUSP00000104289.1 pep:known chromosome:GRCm38:11:69683231:69695809:-1 gene:ENSMUSG00000018752.19 transcript:ENSMUST00000108649.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsfm13 description:tumor necrosis factor (ligand) superfamily, membrane-bound member 13 [Source:MGI Symbol;Acc:MGI:3845075] MAARRSQRRRGRRGEPGTALLAPLVLSLGLALACLGLLLVVVSLGSWATLSAQEPSQEEL TAEDRREPPELNPQTEESQDVVPFLEQLVRPRRSAPKGRKARPRRAIAAHYEVHPRPGQD GAQAGVDGTVSGWEETKINSSSPLRYDRQIGEFTVIRAGLYYLYCQSPDVLEAWKDGAKS RRRRAVLTQKHKKKHSVLHLVPVNITSKDSDVTEVMWQPVLRRGRGLEAQGDIVRVWDTG IYLLYSQVLFHDVTFTMGQVVSREGQGRRETLFRCIRSMPSDPDRAYNSCYSAGVFHLHQ GDIITVKIPRANAKLSLSPHGTFLGFVKL >ENSMUSP00000143037.1 pep:known chromosome:GRCm38:5:108692382:108703536:1 gene:ENSMUSG00000008090.14 transcript:ENSMUST00000196222.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfrl1 description:fibroblast growth factor receptor-like 1 [Source:MGI Symbol;Acc:MGI:2150920] MTRSPALLLLLLGALPSAEAARGPPRMADKVVPRQVARLGRTVRLQCPVEGDPPPLTMWT KDGRTIHSGWSRFRVLPQGLKVKEVEAEDAGVYVCKATNGFGSLSV >ENSMUSP00000142430.1 pep:known chromosome:GRCm38:5:108694230:108703339:1 gene:ENSMUSG00000008090.14 transcript:ENSMUST00000197255.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfrl1 description:fibroblast growth factor receptor-like 1 [Source:MGI Symbol;Acc:MGI:2150920] MTRSPALLLLLLGALPSAEAARGPPRMADKVVPRQVARLG >ENSMUSP00000108179.3 pep:known chromosome:GRCm38:5:108694268:108706924:1 gene:ENSMUSG00000008090.14 transcript:ENSMUST00000112560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfrl1 description:fibroblast growth factor receptor-like 1 [Source:MGI Symbol;Acc:MGI:2150920] MTRSPALLLLLLGALPSAEAARDDISPGKESPGPGGSSGGQEDPASQQWARPRFTQPSKM RRRVIARPVGSSVRLKCVASGHPRPDIMWMKDDQTLTHLEASEHRKKKWTLSLKNLKPED SGKYTCRVSNKAGAINATYKVDVIQRTRSKPVLTGTHPVNTTVDFGGTTSFQCKVRSDVK PVIQWLKRVEYGSEGRHNSTIDVGGQKFVVLPTGDVWSRPDGSYLNKLLISRARQDDAGM YICLGANTMGYSFRSAFLTVLPDPKPPGPPMASSSSSTSLPWPVVIGIPAGAVFILGTVL LWLCQTKKKPCAPASTLPVPGHRPPGTSRERSGDKDLPSLAVGICEEHGSAMAPQHILAS GSTAGPKLYPKLYTDVHTHTHTHTCTHTLSCGGQGSSTPACPLSVLNTANLQALCPEVGI WGPRQQVGRIENNGGRVS >ENSMUSP00000013633.8 pep:known chromosome:GRCm38:5:108694502:108706896:1 gene:ENSMUSG00000008090.14 transcript:ENSMUST00000013633.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfrl1 description:fibroblast growth factor receptor-like 1 [Source:MGI Symbol;Acc:MGI:2150920] MTRSPALLLLLLGALPSAEAARGPPRMADKVVPRQVARLGRTVRLQCPVEGDPPPLTMWT KDGRTIHSGWSRFRVLPQGLKVKEVEAEDAGVYVCKATNGFGSLSVNYTLIIMDDISPGK ESPGPGGSSGGQEDPASQQWARPRFTQPSKMRRRVIARPVGSSVRLKCVASGHPRPDIMW MKDDQTLTHLEASEHRKKKWTLSLKNLKPEDSGKYTCRVSNKAGAINATYKVDVIQRTRS KPVLTGTHPVNTTVDFGGTTSFQCKVRSDVKPVIQWLKRVEYGSEGRHNSTIDVGGQKFV VLPTGDVWSRPDGSYLNKLLISRARQDDAGMYICLGANTMGYSFRSAFLTVLPDPKPPGP PMASSSSSTSLPWPVVIGIPAGAVFILGTVLLWLCQTKKKPCAPASTLPVPGHRPPGTSR ERSGDKDLPSLAVGICEEHGSAMAPQHILASGSTAGPKLYPKLYTDVHTHTHTHTCTHTL SCGGQGSSTPACPLSVLNTANLQALCPEVGIWGPRQQVGRIENNGGRVS >ENSMUSP00000067734.3 pep:known chromosome:GRCm38:6:42215328:42216287:1 gene:ENSMUSG00000051917.3 transcript:ENSMUST00000063489.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r144 description:taste receptor, type 2, member 144 [Source:MGI Symbol;Acc:MGI:2681312] MAIITTNSDYFAHRYEVIIPFVVSTICSIVGIIGNGFITVIYGTEWVRSKRLPTGENLML MLSFSRLLLQIWMMVEITYSLLFPIIYNHNAMYKLFKAISVFLNYCNLWFAAWLNVFYCL KIVNLAHPLFLLMKQKIIGLMPRLLSLSVLVSFSLSSFFSKDILNVYVNTSVPIPSSNST KMKYIFMINVLSLAFLYYMGIFLPLFMFIMAATLLITSLKRHTLHMENSTTGSRDSSMEA HVGAIKSTSHSLILYIINALALFISMSNILGAYSVWNSLCNIIMTAYPAGQSVHLILRNP GLRRAWRRFQHHVHLYLKR >ENSMUSP00000031314.8 pep:known chromosome:GRCm38:5:90460897:90476602:1 gene:ENSMUSG00000029368.10 transcript:ENSMUST00000031314.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alb description:albumin [Source:MGI Symbol;Acc:MGI:87991] MKWVTFLLLLFVSGSAFSRGVFRREAHKSEIAHRYNDLGEQHFKGLVLIAFSQYLQKCSY DEHAKLVQEVTDFAKTCVADESAANCDKSLHTLFGDKLCAIPNLRENYGELADCCTKQEP ERNECFLQHKDDNPSLPPFERPEAEAMCTSFKENPTTFMGHYLHEVARRHPYFYAPELLY YAEQYNEILTQCCAEADKESCLTPKLDGVKEKALVSSVRQRMKCSSMQKFGERAFKAWAV ARLSQTFPNADFAEITKLATDLTKVNKECCHGDLLECADDRAELAKYMCENQATISSKLQ TCCDKPLLKKAHCLSEVEHDTMPADLPAIAADFVEDQEVCKNYAEAKDVFLGTFLYEYSR RHPDYSVSLLLRLAKKYEATLEKCCAEANPPACYGTVLAEFQPLVEEPKNLVKTNCDLYE KLGEYGFQNAILVRYTQKAPQVSTPTLVEAARNLGRVGTKCCTLPEDQRLPCVEDYLSAI LNRVCLLHEKTPVSEHVTKCCSGSLVERRPCFSALTVDETYVPKEFKAETFTFHSDICTL PEKEKQIKKQTALAELVKHKPKATAEQLKTVMDDFAQFLDTCCKAADKDTCFSTEGPNLV TRCKDALA >ENSMUSP00000065254.3 pep:known chromosome:GRCm38:3:115938973:116007406:-1 gene:ENSMUSG00000054414.4 transcript:ENSMUST00000067485.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a7 description:solute carrier family 30 (zinc transporter), member 7 [Source:MGI Symbol;Acc:MGI:1913750] MLPLSIKDDEYKPPKFNLFGKISGWFRSILSDKTSRNLFFFLCLNLSFAFVELLYGIWSN CLGLISDSFHMFFDSTAILAGLAASVISKWRDNDAFSYGYVRAEVLAGFVNGLFLIFTAF FIFSEGVERALAPPDVHHERLLLVSILGFVVNLVGIFVFNHGGHGHSHGSGHGHSHSLFN GALDHSHGHEDHCHSHEAKHGAAHSHDHDHAHGHGHLHSHDGPSFKATAGPSRQILQGVF LHILADTLGSIGVIASAIMMQNFGLMIADPICSILIAILIVVSVIPLLRESVGILMQRTP PSLENTLPQCYQRVQQLQGVYNLQEQHFWTLCSDVYVGTLKLVVAPDADARWILSQTHNI FTQAGVRQLYVQIDFAAM >ENSMUSP00000033001.4 pep:known chromosome:GRCm38:7:99153658:99182719:-1 gene:ENSMUSG00000030747.5 transcript:ENSMUST00000033001.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgat2 description:diacylglycerol O-acyltransferase 2 [Source:MGI Symbol;Acc:MGI:1915050] MKTLIAAYSGVLRGERRAEAARSENKNKGSALSREGSGRWGTGSSILSALQDIFSVTWLN RSKVEKQLQVISVLQWVLSFLVLGVACSVILMYTFCTDCWLIAVLYFTWLAFDWNTPKKG GRRSQWVRNWAVWRYFRDYFPIQLVKTHNLLTTRNYIFGYHPHGIMGLGAFCNFSTEATE VSKKFPGIRPYLATLAGNFRMPVLREYLMSGGICPVNRDTIDYLLSKNGSGNAIIIVVGG AAESLSSMPGKNAVTLKNRKGFVKLALRHGADLVPTYSFGENEVYKQVIFEEGSWGRWVQ KKFQKYIGFAPCIFHGRGLFSSDTWGLVPYSKPITTVVGEPITVPKLEHPTQKDIDLYHA MYMEALVKLFDNHKTKFGLPETEVLEVN >ENSMUSP00000146342.1 pep:known chromosome:GRCm38:7:99158833:99182677:-1 gene:ENSMUSG00000030747.5 transcript:ENSMUST00000207491.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgat2 description:diacylglycerol O-acyltransferase 2 [Source:MGI Symbol;Acc:MGI:1915050] MKTLIAAYSGVLRGERRAEAARSENKNKGSALSREGSGRWGECQSSLGLSS >ENSMUSP00000147074.1 pep:known chromosome:GRCm38:7:99159033:99182719:-1 gene:ENSMUSG00000030747.5 transcript:ENSMUST00000208591.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgat2 description:diacylglycerol O-acyltransferase 2 [Source:MGI Symbol;Acc:MGI:1915050] MKTLIAAYSGVLRGERRAEAARSENKNKGSALSREGSGRWGTGSSILSALQDIFSVTWLN RSKVEKQLQVISVLQWVLSFLVLALTCPTYLPLVLWAARSSCPSYSQSSLSASQEQEWPA VSSSCTPSAQTAG >ENSMUSP00000146391.1 pep:known chromosome:GRCm38:7:99164642:99180293:-1 gene:ENSMUSG00000030747.5 transcript:ENSMUST00000207611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgat2 description:diacylglycerol O-acyltransferase 2 [Source:MGI Symbol;Acc:MGI:1915050] MDSVKGSSIMGTGSSILSALQDIFSVTWLNRSKVEKQLQVISVLQWVLSFLVLGVACSVI LMYTFCTDCWLIAVLYFTWLAFDWNTPKKGGRRSQWVRNWAVWRYFRDYFP >ENSMUSP00000017839.2 pep:known chromosome:GRCm38:11:80183851:80199757:1 gene:ENSMUSG00000020707.6 transcript:ENSMUST00000017839.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf135 description:ring finger protein 135 [Source:MGI Symbol;Acc:MGI:1919206] MAAVCSGNAVPVWLSEDDLSCIICQGLLDQPTTLPCGHSFCLRCLHDLWVSKRGAVDGCP WACPICRKGPLTKPKLHKNPLLQDLVDKYLQAAREVEAGSEPEPAPAPRSAPQVTVQKST TNVIQELTDMVRQLVDDVKSLQTQRPNLGSGQDNAQGTPPTDSSSEGEHSLDSPKLVTFS ISQKKIQEILHNLEEIQEKLQGSVPGRAPPRERVQEMTSSLCLLPDQRRPAPRKASHLSL WAISPTFDLRTLSYNLEVSNNSRRVTVSRGDLHTYHWSPQRFSISQVFCSQALSSGQKYW EVDTRNCSHWAIGVASWGMKRDGMLGRTMDSWCIEWRGPGQFSAWAKMKKTDLQSDLPEV VGVWLDLESGELAFYAVADHERLLYECEVSSSSPLHPAFWLYGLSPGNYLEIKQLNT >ENSMUSP00000028834.2 pep:known chromosome:GRCm38:2:116878708:116892494:1 gene:ENSMUSG00000027355.15 transcript:ENSMUST00000028834.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco5 description:transmembrane and coiled-coil domains 5 [Source:MGI Symbol;Acc:MGI:1914606] MEEQKENQLDYESEKMEILRLAQSKKNIISLNMDLERDMQRIDEANQELLLEIQEKENEI QRLEHEITQTGNPAEDEEWEKENYTVMEREQALQELEEETARLERKNETLVHSISELQRK LTRKSQKVIRYEQGDLETTPEESKVKLQQLESSCADQEKELGKIMEDYVFVSQLCEDQAL CIKKYQEALKRIEEELETGYLEREVSKVLSMDSERERSTSLNKMDGFISKGALRFSKSIF RSLLFSTLFFIRLLGYLIFHLSFINPDLLVNALPKILSRDVLWKLRCFLFPSLTLETEDM LPH >ENSMUSP00000122739.1 pep:known chromosome:GRCm38:2:116878694:116892137:1 gene:ENSMUSG00000027355.15 transcript:ENSMUST00000155470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco5 description:transmembrane and coiled-coil domains 5 [Source:MGI Symbol;Acc:MGI:1914606] MEEQKENQLDYESEKMEILRLAQSKKNIISLNMDLERDMQRIDEANQELLLEIQEKENEI QRLEHEITQTGNPAEDEEWEKENYTVMEREQALQELEEETARLERKNETLVHSISELQRK LTRKSQKVIRYEQGDLETTPEESKVKLQQLESSCADQEKELGKKYQEALKRIEEELETGY LEREVSKVLSMDSERERSTSLNKMDGFISKGALRFSKSIFRSLLFS >ENSMUSP00000115690.1 pep:known chromosome:GRCm38:2:116878691:116892181:1 gene:ENSMUSG00000027355.15 transcript:ENSMUST00000123598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco5 description:transmembrane and coiled-coil domains 5 [Source:MGI Symbol;Acc:MGI:1914606] MEEQKENQLDYESEKMEILRLAQSKKNIISLNMDLERDMQRIDEANQELLLEIQEKENEI QRLEHEITQTGNPAEDEEWEKENYTVMEREQALQELEEETARLERKNETLVHSISELQRK LTRKSQKVIRYEQGDLETTPEESKVKLQQLESSCADQEKELGKLCEDQALCIKKYQEALK RIEEELETGYLEREVSKVLSMDSERERSTSLNKMDGFISKGALRFSKSIFRSLLFSTLFF IRLLGYLIFH >ENSMUSP00000033142.5 pep:known chromosome:GRCm38:7:47077798:47132717:-1 gene:ENSMUSG00000030854.16 transcript:ENSMUST00000033142.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn5 description:protein tyrosine phosphatase, non-receptor type 5 [Source:MGI Symbol;Acc:MGI:97807] MCCSERLLGLPQPVEMEAPDEAEGLPSKQKEMPPPPPPSPPSEPAQKLPPQGAGSHSLTV RSSLCLFAASQFLLACGVLWLSGHGHSWLQNTTDLISSSLTVLNHLGPVAWLGSGTWGIP SLLLVSLTVSLVIVTTLVWHLLKAPPEPPAPLPPEDRRQSVSRQPSFTYSEWMEEKVEDD FLDLDAVPETPVFDCVMDIKPETDPASLTVKSMGLQERRGSNVSLTLDMCTPGCNEEGFG YLVSPREESAHEYLLSASRVLRAEELHEKALDPFLLQAEFFEIPMNFVDPKEYDIPGLVR KNRYKTILPNPHSRVRLTSPDPEDPLSSYINANYIRGYSGEEKVYIATQGPIVSTVADFW RMVWQERTPIIVMITNIEEMNEKCTEYWPEEQVVHDGVEITVQKVIHTEDYRLRLISLRR GTEERSLKHYWFTSWPDQKTPDRAPPLLHLVREVEEAAQQEGPHCSPIIVHCSAGIGRTG CFIATSICCQQLRREGVVDILKTTCQLRQDRGGMIQTCEQYQFVHHAMSLYEKQLSLQSS E >ENSMUSP00000099686.1 pep:known chromosome:GRCm38:7:47077802:47133684:-1 gene:ENSMUSG00000030854.16 transcript:ENSMUST00000102626.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn5 description:protein tyrosine phosphatase, non-receptor type 5 [Source:MGI Symbol;Acc:MGI:97807] MCCSERLLGLPQPVEMEAPDEAEGLPSKQKEMPPPPPPSPPSEPAQKLPPQGAGSHSLTV RSSLCLFAASQFLLACGVLWLSGHGHSWLQNTTDLISSSLTVLNHLGPVAWLGSGTWGIP SLLLVSLTVSLVIVTTLVWHLLKAPPEPPAPLPPEDRRQSVSRQPSFTYSEWMEEKVEDD FLDLDAVPETPVFDCVMDIKPETDPASLTVKSMGLQERRGSNVSLTLDMCTPGCNEEGFG YLVSPREESAHEYLLSASRVLRAEELHEKALDPFLLQAEFFEIPMNFVDPKEYDIPGLVR KNRYKTILPNPHSRVRLTSPDPEDPLSSYINANYIRGYSGEEKVYIATQGPIVSTVADFW RMVWQERTPIIVMITNIEEMNEKCTEYWPEEQVVHDGVEITVQKVIHTEDYRLRLISLRR GTEERSLKHYWFTSWPDQKTPDRAPPLLHLVREVEEAAQQEGPHCSPIIVHCSAGIGRTG CFIATSICCQQLRREGVVDILKTTCQLRQDRGGMIQTCEQYQFVHHAMSLYEKQLSLQSS E >ENSMUSP00000146365.1 pep:known chromosome:GRCm38:7:47082454:47133437:-1 gene:ENSMUSG00000030854.16 transcript:ENSMUST00000207172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn5 description:protein tyrosine phosphatase, non-receptor type 5 [Source:MGI Symbol;Acc:MGI:97807] MCCSERLLGLPQPVEMEAPDEAEGLPSKQKEMPPPPPPSPPSEPAQKLPPQGAGSHSLTV RSSLCLFAASQFLLACGVLWLSGHGHSWLQNTTDLISSSLTVLNHLGPVAWLGSGTWGIP SLLLVSLTVSLVIVTTLVWHLLKAPPEPPAPLPPEDRRQSVSRQPSFTYSEWMEEKVEDD FLDLDAVPETPVFDCVMDIKPETDPASLTVKSMGLQERRGSNVSLTLDMCTPGCNEEGFG YLVSPREESAHEYLLSASRVLRAEELHEKALDPFLLQAEFFEIPMNFVDPKEYDIPGLVR KNRYKTILPNPHSRVRLTSPDPEDPLSSYINANYIRVCSSIPRAFH >ENSMUSP00000030971.5 pep:known chromosome:GRCm38:5:49959956:50059006:-1 gene:ENSMUSG00000029090.12 transcript:ENSMUST00000030971.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgra3 description:adhesion G protein-coupled receptor A3 [Source:MGI Symbol;Acc:MGI:1917943] MEPPPPLLLLPLALLALLWGGERGAAALPAGCKHDGRARGTGRAAAAAEGKVVCSSLELA QVLPPDTLPNRTVTLILSNNKISELKNGSFSGLSLLERLDLRNNLISRIAPGAFWGLSSL KRLDLTNNRIGCLNADVFRGLTNLVRLNLSGNLFTSLSQGTFDYLGSLRSLEFQTEYLLC DCNILWMHRWVKERNITVRDTRCVYPKSLQAQPVTGVKQELLTCDPPLELPSFYMTPSHR QVVFEGDSLPFQCMASYIDQDMQVLWYQDGRIVETDESQGIFVEKSMIHNCSLIASALTI SNIQAGSTGNWGCHVQTKRGNNTRTVDIVVLESSAQYCPPERVVNNKGDFRWPRTLAGIT AYLQCTRNTHSSGIYPGSAQDERKAWRRCDRGGFWADDDYSRCQYANDVTRVLYMFNQMP LNLTNAVATARQLLAYTVEAANFSDKMDVIFVAEMIEKFGRFTREEKSKELGDVMVDVAS NIMLADERVLWLAQREAKACSRIVQCLQRIATHRLASGAHVYSTYSPNIALEAYVIKAAG FTGMTCSVFQKVAASDRAGLSDYGRRDPDGNLDKQLSFKCNVSSTFSSLALKNTIMEASI QLPSSLLSPKHKREARAADDALYKLQLIAFRNGKLFPATGNSTKLADDGKRRTVVTPVIL TKIDGATVDTHHIPVNVTLRRIAHGADAVAAQWDFDLLNGQGGWKSDGCCILYSDENITT IQCGSLGNYAVLMDLTGTELYTPAASLLHPVVYTTAITLLLCLLAVIISYMYHHSLIRIS LKSWHMLVNLCFHILLTCVVFVGGITQTRNASVCQAVGIILHYSTLATVLWVGVTARNIY KQVTKKAKRCQDPDEPPAPPRPMLRFYLIGGGIPIIVCGITAAANIKNYGSRPSAPYCWM AWEPSLGAFYGPASFITFVNCMYFLSIFIQLKRHPERKYELKEPTEEQQRLAANENGEIN HQDSMSLSLISTSTLENEHSFQSQLLGASLTLLLYVILWMFGAMAVSLYYPLDLVFSFFF GATCLSFSAFMMVHHCINREDVRLAWIMMCCPGRSSYSVQVNVQPPNSSATNGEAPKCTN SSAESSCTNKSASSFKNSSQGCKLTNLQAAAAQYHSNALPVNATPQLDNSLTEHSMDNDI KMHVAPLDVQFRTNVHPSRHHKNRSKGHRASRLTVLREYAYDVPTSVEGSVQNGLPKSRP GSNEGHSRSRRAYLAYRERQYNPPQQDSSDACSTLPKSSRNVEKPVSTSSKKDAPRKPAA ADLESQQKSYGLNLAVQNGPVKSNGQEGPLLATDVTGNVRTGLWKHETTV >ENSMUSP00000105583.2 pep:known chromosome:GRCm38:12:104314553:104320725:1 gene:ENSMUSG00000079013.2 transcript:ENSMUST00000109957.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3j description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 3J [Source:MGI Symbol;Acc:MGI:2182843] MAFIAALGLLMAGICPAVLCCPEDTLGKHTPVQKDRDHETQLDSLTLASINTDFAFSLYK KLALKNPHKNFVFSPLSITIALASLSLGAKGNTLEEILEGLKFNLTETPEADIHQGFGHL LQRLSQPGDQVQISTGNSMVVEKHLQILAEFKEKARALYHTEVFTADFQQPREARKLLND YVSNQTQGMIKELVSDLEERTSMVMTNFALFNGKWNMTFDPYETFMGTFIEDRRTPVKVS MMKMKELRAPYFRDEKMKCTVVELNYKGNGKAMFILPDQGKMKQVEASLQPATLRGWRKS LRPRMIDELYLPKFSISKNYRLENILPELGIKEVFSTQADLSGISGGKDVRVSRMFHSAA LDMTETGTEARATTRDKYDFLSTKSNPTVVNLNTPFLFCVLHSDSENIDFMGKINNPAQN >ENSMUSP00000020287.5 pep:known chromosome:GRCm38:10:61595492:61628565:1 gene:ENSMUSG00000020090.7 transcript:ENSMUST00000020287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npffr1 description:neuropeptide FF receptor 1 [Source:MGI Symbol;Acc:MGI:2685082] MEAEPSQPPNGSWPPSLNESDAETAPVASLTFSSYYQHSSPVAAMFIAAYALIFLLCMVG NTLVCFIVLKNRHMRTVTNMFILNLAVSDLLVGIFCMPTTLVDNLITGWPFDNATCKMSG LVQGMSVSASVFTLVAIAVERFRCIVHPFREKLTLRKALLTIAVIWALALLIMCPSAVTL TVTREEHHFMLDARNRSYPLYSCWEAWPEKGMRKVYTAVLFAHIYLAPLALIVVMYARIA RKLCQAPGPARDAEEAVAEGGRASRRRARVVHMLVMVALFFTLSWLPLWVLLLLIDYGEL SELQLHLLSVYAFPLAHWLAFFHSSANPIIYGYFNENFRRGFQAAFRAQLCWLPWAAHKQ AYSERPGRLLRRRVVVDVQPSDSGLPSESGPSSGVPGPNRLPLRNGRVAHQDGPREGPGC NHMPLTIPAWNI >ENSMUSP00000031897.5 pep:known chromosome:GRCm38:6:42245935:42250441:1 gene:ENSMUSG00000029864.7 transcript:ENSMUST00000031897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstk1 description:glutathione S-transferase kappa 1 [Source:MGI Symbol;Acc:MGI:1923513] MGPAPRILELFYDVLSPYSWLGFEVLCRYQHLWNIKLQLRPTLIAGIMKDSGNQPPAMVP RKGQYIFKEIPLLKQFFQVPLNIPKDFFGETVKKGSINAMRFLTTVSMEQPEMLEKVSRE IWMRVWSRDEDITEYQSILAAAVKAGMSTAQAQHFLEKISTQQVKNKLIENTDAACKYGA FGLPTTVAHVDGKTYMLFGSDRLELLAYLLGEKWMGPVPPTANARL >ENSMUSP00000145070.1 pep:known chromosome:GRCm38:6:42245979:42250441:1 gene:ENSMUSG00000029864.7 transcript:ENSMUST00000204088.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gstk1 description:glutathione S-transferase kappa 1 [Source:MGI Symbol;Acc:MGI:1923513] MGPAPRILELFYDVLSPYSWLGFEVLCRYQHLWNIKLQLRPTLIAGIMKDSGNQPPAMVP RKGQYIFKEIPLLKQFFQVPLNIPKDFFGETVKKGSINAMRFLTTVSMEQPEMLEKVSRE IWMRVWSRDEDITEYQSILAVSV >ENSMUSP00000006667.3 pep:known chromosome:GRCm38:7:106156556:106215310:-1 gene:ENSMUSG00000045868.12 transcript:ENSMUST00000006667.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gvin1 description:GTPase, very large interferon inducible 1 [Source:MGI Symbol;Acc:MGI:1921808] MATAKCFTDEPQLQSRRKHNLQEMLTEVGLSVDYWLPKLQEDLGVTSAQALQYLDRNDLQ KLKSQTTHTWEKRALEKLLDFSQPNSVAELQETPREMKKNRQRQAGQALQALKALQSEGK HREEEAVRRKEAELRQAMEIPEECWPTAEVSLKDITEIMERHLSHMERTLAHSPNLSDGD LVRWASGGLALQGIYKTNHPRSLIQKREELLSVPKQFSLVGPEHGTEIKTMEFSSFHEQA MFTETIKMMGFSSTSLVKGEGWGFSLEAGIDQNEQTASENTHQSHSEQTYFCSARFSYIP LATCHFHINDLELSNAALQELKTIEELLEQTTDHRDGLPLLRHRAENFFHRFGSHANQGP LQLGGIYCWKAISEGFKSEHLADVKQQTRESLNIYIMGSYSGFGVKVGASVNIANSNSET ASFSTTHLHSQTKVQLSVAQIGGPAEADGIAQWTAGLVVSNQTWSVIDRELQLVPIWDII LSSHRTDFKNALQVANCLKDNYTALTELDAQIQEGEEFLTARKEAKLFIEDVKCWEVSDP EEQLTKLLDFMQTLSQKIKSYNIWINTCLTDWDLQNFLINTVKFCKTSPTYKTQFIKSQL CSLLEPHVYKVTNFPEAHSIIQWINQSEYGEEQVKITSFSEFIKTLKKTHKYLMEVNFKN EAPETVEEAERTATYEVTTALSSFLKYLKETEQPDMQLLLLSIAAGAGYQLVNSIFQHLL GCDELNFLLDQMQSNQHKYQELKNICNYRAQAFLVLTALRTTVEITDISTEEKRQRLALI KQHMGTLLSEEVAHVLTKHGEHHDWESLENDLRLLIEGDYKATTHYLQMDEVKKQLQSLC HGKKQTYKQKSNENITKGMIENGPFLKLLQRLGLDNYYPKRMSRADFHLIYKTSVYNSQP RSEKELPFYFLQKLLMLDYGFRHLIVKDDENIKKQISIGSSNHENEDIDPYDDVIIDNDS PGYPSATESWPHIHPLDIQMTILHCADDLTRQYIFSKLSICHYALPLVVPNPNTSQIEFY LWSLRQIRKSWQDASKSPQDKSYSHRNQQMCRVSTPIVSFIRVGNDLSASKSQIMNSLLS KRKHDVFFHRHCKGSNKHCLLMQGVVEICWFCPAGQGEDTFENCLTFTSLHGDAKEHTQQ LSFLQHVSSIIVVLMSVSDNNKENQKLVRHLWQSSTPLICLIDDKEKAIANTSGKRMRIG IKNRNEAELTEELTNAIKHFLELSNTVLSLEDCSQTARELGFIIDEDQRDCKEAKEKAQT VMALLEEYKLSQTKENLLPLQGQLWHLWCKKDKEFYHLREKGNRSIEQHKSEIETHKRKI RRQQLEKAFPLNDLMRSVLELLQDYSETHNKLYVLQWLTLFFDNLTIDHLDKLHERQRSL WLRIQTEKQRAQKSNSVQNQIEAISTEIHNCTLGIEHLLREVGQIYEALEETSSSRDSLF LCLPQIAADLMIAGVPIELMDGDASYVPLKWVAAIFDKITEKVGDKRLFVLSVLGLQSSG KSTLLNALFGLQFTVSAGRCTKGAYMQLLKVEETFTEELGFNYVLVIDTEGLRAPELNNK SQNWDHELATLVIGLGNLTLINIFGENPSDIQDILQISVQAFLRMKQVKISPSCLFVHQN VGEVTAKDQTMEGRRRLEQKLDEMTALAAELEECSNITRFSDVIKFDANRHVYYFAHLWD GNPPMAPPNPRYSYNVQELRNEILSTAQQESRGRILKISDFKFRVQDLWKALVSENFIFS FRNTQEVIAMSKLETKYNEWTWELRSHVLDLQNQLDNQIQNGKILTLTSNLLEEPLSRKL KTIKEEFDKYFEEDPDCEILVQWKANFEHKLLILKDSLISDTRQKCNEHISLKNSQEILD NQKSQYENQLLERSRKLALNLKGKELSDEELHEKFRQLWTSWIYDVSSNVPHVTEPNIDL DSENILLEYFKKDKNIVERLKIKSQGKFEIMYDKHIQMKKKYLLLRKSLETCHVESIKKT TNNIQLKFTETLTNIWKQKRDYSQNYFHEILRIIENELKSEPCEGDYTFTKDYIIDLSLY LFQRASKDFKKMHAAFKTANDPVNYLERKKDDFFMSFKISCQGATSITSFVDFLWLKLTP AISVSIWKIMVQKIAGDMRATCPEFNGNRANLEIHILYSLAEEEKFDKYWKYIQKPEEFF RDYIRDHIKRYCSEKESEKIKTFLNISLGDIKNTILSAIHNSTKVAKAKGSTASHWLDLF CDHLGSNLVFPRKDLVSIEHQELMDTEFLKEAMSKALDPAMREVEEDCSSKHIDEIVPDI EKILSEHLCGCWKQCPFCKAICTNTIPQHEGDHSVPFHRPQAVSGWHWHKTDQFHINVCT SSVASNISFILDGFREFPLKKYREAGGDYATWSITPDSSTQPYWKWFVCHFRSNLEENYG KKFTGKGSLPDLWTKITKQEVLNDLKK >ENSMUSP00000138950.1 pep:known chromosome:GRCm38:7:106156556:106215326:-1 gene:ENSMUSG00000045868.12 transcript:ENSMUST00000183409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gvin1 description:GTPase, very large interferon inducible 1 [Source:MGI Symbol;Acc:MGI:1921808] MATAKCFTDEPQLQSRRKHNLQEMLTEVGLSVDYWLPKLQEDLGVTSAQALQYLDRNDLQ KLKSQTTHTWEKRALEKLLDFSQPNSVAELQETPREMKKNRQRQAGQALQALKALQSEGK HREEEAVRRKEAELRQAMEIPEECWPTAEVSLKDITEIMERHLSHMERTLAHSPNLSDGD LVRWASGGLALQGIYKTNHPRSLIQKREELLSVPKQFSLVGPEHGTEIKTMEFSSFHEQA MFTETIKMMGFSSTSLVKGEGWGFSLEAGIDQNEQTASENTHQSHSEQTYFCSARFSYIP LATCHFHINDLELSNAALQELKTIEELLEQTTDHRDGLPLLRHRAENFFHRFGSHANQGP LQLGGIYCWKAISEGFKSEHLADVKQQTRESLNIYIMGSYSGFGVKVGASVNIANSNSET ASFSTTHLHSQTKVQLSVAQIGGPAEADGIAQWTAGLVVSNQTWSVIDRELQLVPIWDII LSSHRTDFKNALQVANCLKDNYTALTELDAQIQEGEEFLTARKEAKLFIEDVKCWEVSDP EEQLTKLLDFMQTLSQKIKSYNIWINTCLTDWDLQNFLINTVKFCKTSPTYKTQFIKSQL CSLLEPHVYKVTNFPEAHSIIQWINQSEYGEEQVKITSFSEFIKTLKKTHKYLMEVNFKN EAPETVEEAERTATYEVTTALSSFLKYLKETEQPDMQLLLLSIAAGAGYQLVNSIFQHLL GCDELNFLLDQMQSNQHKYQELKNICNYRAQAFLVLTALRTTVEITDISTEEKRQRLALI KQHMGTLLSEEVAHVLTKHGEHHDWESLENDLRLLIEGDYKATTHYLQMDEVKKQLQSLC HGKKQTYKQKSNENITKGMIENGPFLKLLQRLGLDNYYPKRMSRADFHLIYKTSVYNSQP RSEKELPFYFLQKLLMLDYGFRHLIVKDDENIKKQISIGSSNHENEDIDPYDDVIIDNDS PGYPSATESWPHIHPLDIQMTILHCADDLTRQYIFSKLSICHYALPLVVPNPNTSQIEFY LWSLRQIRKSWQDASKSPQDKSYSHRNQQMCRVSTPIVSFIRVGNDLSASKSQIMNSLLS KRKHDVFFHRHCKGSNKHCLLMQGVVEICWFCPAGQGEDTFENCLTFTSLHGDAKEHTQQ LSFLQHVSSIIVVLMSVSDNNKENQKLVRHLWQSSTPLICLIDDKEKAIANTSGKRMRIG IKNRNEAELTEELTNAIKHFLELSNTVLSLEDCSQTARELGFIIDEDQRDCKEAKEKAQT VMALLEEYKLSQTKENLLPLQGQLWHLWCKKDKEFYHLREKGNRSIEQHKSEIETHKRKI RRQQLEKAFPLNDLMRSVLELLQDYSETHNKLYVLQWLTLFFDNLTIDHLDKLHERQRSL WLRIQTEKQRAQKSNSVQNQIEAISTEIHNCTLGIEHLLREVGQIYEALEETSSSRDSLF LCLPQIAADLMIAGVPIELMDGDASYVPLKWVAAIFDKITEKVGDKRLFVLSVLGLQSSG KSTLLNALFGLQFTVSAGRCTKGAYMQLLKVEETFTEELGFNYVLVIDTEGLRAPELNNK SQNWDHELATLVIGLGNLTLINIFGENPSDIQDILQISVQAFLRMKQVKISPSCLFVHQN VGEVTAKDQTMEGRRRLEQKLDEMTALAAELEECSNITRFSDVIKFDANRHVYYFAHLWD GNPPMAPPNPRYSYNVQELRNEILSTAQQESRGRILKISDFKFRVQDLWKALVSENFIFS FRNTQEVIAMSKLETKYNEWTWELRSHVLDLQNQLDNQIQNGKILTLTSNLLEEPLSRKL KTIKEEFDKYFEEDPDCEILVQWKANFEHKLLILKDSLISDTRQKCNEHISLKNSQEILD NQKSQYENQLLERSRKLALNLKGKELSDEELHEKFRQLWTSWIYDVSSNVPHVTEPNIDL DSENILLEYFKKDKNIVERLKIKSQGKFEIMYDKHIQMKKKYLLLRKSLETCHVESIKKT TNNIQLKFTETLTNIWKQKRDYSQNYFHEILRIIENELKSEPCEGDYTFTKDYIIDLSLY LFQRASKDFKKMHAAFKTANDPVNYLERKKDDFFMSFKISCQGATSITSFVDFLWLKLTP AISVSIWKIMVQKIAGDMRATCPEFNGNRANLEIHILYSLAEEEKFDKYWKYIQKPEEFF RDYIRDHIKRYCSEKESEKIKTFLNISLGDIKNTILSAIHNSTKVAKAKGSTASHWLDLF CDHLGSNLVFPRKDLVSIEHQELMDTEFLKEAMSKALDPAMREVEEDCSSKHIDEIVPDI EKILSEHLCGCWKQCPFCKAICTNTIPQHEGDHSVPFHRPQAVSGWHWHKTDQFHINVCT SSVASNISFILDGFREFPLKKYREAGGDYATWSITPDSSTQPYWKWFVCHFRSNLEENYG KKFTGKGSLPDLWTKITKQEVLNDLKK >ENSMUSP00000060890.5 pep:known chromosome:GRCm38:5:71442824:71548205:-1 gene:ENSMUSG00000049387.9 transcript:ENSMUST00000050129.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox7b2 description:cytochrome c oxidase subunit VIIb2 [Source:MGI Symbol;Acc:MGI:1925424] MMFPLARYALNYLKTPSILKIVGRLKHSKPSSEETHDKYGNMMLISGTIFCLAGYTIYMT QMGVEWNLSPIGRVTPQEWKKK >ENSMUSP00000057009.4 pep:known chromosome:GRCm38:2:132686931:132751055:1 gene:ENSMUSG00000044991.10 transcript:ENSMUST00000061891.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110034G24Rik description:RIKEN cDNA 1110034G24 gene [Source:MGI Symbol;Acc:MGI:1920997] MESQAATPSSLSGESCTLDLPAVCDTSSYEASQRVSQGSSNSLSSLESHPFLSSSTTDPD SNSLNTEQKGSWDSENFWLDPSSKGQLETNEEEDGLRKSLDRFYEAFAHPLPGSGDPLSA SVCQCLSQTISELEGQESQRYALRSFQMAQVIFSRDGCSILQRHSRDTRFYPLEQEGSSV DDEEPTPGLSREVIRFLLEQTVMKDS >ENSMUSP00000118968.1 pep:known chromosome:GRCm38:2:132690157:132750503:1 gene:ENSMUSG00000044991.10 transcript:ENSMUST00000148271.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110034G24Rik description:RIKEN cDNA 1110034G24 gene [Source:MGI Symbol;Acc:MGI:1920997] MESQAATPSSLSGESCTLDLPAVCDTSSYEASQRVSQGSSNSLSSLESHPFLSSSTTDPD SNSLNTEQKGSWDSENFWLDPSSKGQLETNEEEDGLRKSLDRFYEAFAHPLPGSGDPLSA SVCQCLSQTISELEGQESQRYALRSFQMAQVIFSRD >ENSMUSP00000105759.2 pep:known chromosome:GRCm38:2:132690283:132751055:1 gene:ENSMUSG00000044991.10 transcript:ENSMUST00000110132.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110034G24Rik description:RIKEN cDNA 1110034G24 gene [Source:MGI Symbol;Acc:MGI:1920997] MESQAATPSSLSGESCTLDLPAVCDTSSYEASQRVSQGSSNSLSSLESHPFLSSSTTDPD SNSLNTEQKGSWDSENFWLDPSSKGQLETNEEEDGLRKSLDRFYEAFAHPLPGSGDPLSA SVCQCLSQTISELEGQESQRYALRSFQMAQVIFSRDGCSILQRHSRDTRFYPLEQEGSSV DDEEPTPGLSREVIRFLLEQTVMKDS >ENSMUSP00000119344.1 pep:known chromosome:GRCm38:11:11967532:12026324:-1 gene:ENSMUSG00000020176.17 transcript:ENSMUST00000150972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb10 description:growth factor receptor bound protein 10 [Source:MGI Symbol;Acc:MGI:103232] MNNDINSSVESLNSACNMQSDTDTAPLLEDGQHASNQGAASSSRGQPQASPRQKMQRSQP VHILRRLQEEDQQLRTASLPAIPNPFPELTGAAPGSPPSVAPSSLPPPPSQPPAKH >ENSMUSP00000115160.1 pep:known chromosome:GRCm38:11:11967626:12026732:-1 gene:ENSMUSG00000020176.17 transcript:ENSMUST00000143915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb10 description:growth factor receptor bound protein 10 [Source:MGI Symbol;Acc:MGI:103232] MNNDINSSVESLNSACNMQSDTDTAPLLEDGQHASNQGAASSSRGQPQASPRQKMQRSQP VHILRRLQEEDQQLRTASLPAIPNP >ENSMUSP00000118350.1 pep:known chromosome:GRCm38:11:11954744:12038683:-1 gene:ENSMUSG00000020176.17 transcript:ENSMUST00000143386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb10 description:growth factor receptor bound protein 10 [Source:MGI Symbol;Acc:MGI:103232] MNNDINSSVESLNSACNMQSDTDTAPLLEDGQHASNQGAASSSRGQPQASPRQKMQRSQP VHILRRLQEEDQQLRTASLPAIPNPFPELTGAAPGSPPSVAPSSLPPPPSQPPAKHFPPG FQLSKLTRPGLWTKTTARFSKKQPKNQCPTDTVNPVARMPTSQMEKLRLRK >ENSMUSP00000105280.1 pep:known chromosome:GRCm38:11:11933094:11970642:-1 gene:ENSMUSG00000020176.17 transcript:ENSMUST00000109653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb10 description:growth factor receptor bound protein 10 [Source:MGI Symbol;Acc:MGI:103232] MNNDINSSVESLNSACNMQSDTDTAPLLEDGQHASNQGAASSSRGQPQASPRQKMQRSQP VHILRRLQEEDQQLRTASLPAIPNPFPELTGAAPGSPPSVAPSSLPPPPSQPPAKHFPPG FQLSKLTRPGLWTKTTARFSKKQPKNQCPTDTVNPVARMPTSQMEKLRLRKDVKVFSEDG TSKVVEILTDMTARDLCQLLVYKSHCVDDNSWTLVEHHPQLGLERCLEDHEIVVQVESTM PSESKFLFRKNYAKYEFFKNPVNFFPDQMVNWCQQSNGGQAQLLQEPRHLQLLADLEESS IFYLIAGKKQYNAPNEHGMCIKPNKAKTEMKELRLLCAEDEQIRTCWMTAFRLLKYGMLL YQNYRIPQRKGLPPPFNAPMRSVSENSLVAMDFSGQIGRVIDNPAEAQSAALEEGHAWRK RSTRMNILSSQSPLHPSTLNAVIHRTQHWFHGRISREESHRIIKQQGLVDGLFLLRDSQS NPKAFVLTLCHHQKIKNFQILPCEDDGQTFFTLDDGNTKFSDLIQLVDFYQLNKGVLPCK LKHHCIRVAL >ENSMUSP00000091011.5 pep:known chromosome:GRCm38:11:11930508:12037401:-1 gene:ENSMUSG00000020176.17 transcript:ENSMUST00000093321.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb10 description:growth factor receptor bound protein 10 [Source:MGI Symbol;Acc:MGI:103232] MNNDINSSVESLNSACNMQSDTDTAPLLEDGQHASNQGAASSSRGQPQASPRQKMQRSQP VHILRRLQEEDQQLRTASLPAIPNPFPELTGAAPGSPPSVAPSSLPPPPSQPPAKHFPPG FQLSKLTRPGLWTKTTARFSKKQPKNQCPTDTVNPVARMPTSQMEKLRLRKDVKVFSEDG TSKVVEILTDMTARDLCQLLVYKSHCVDDNSWTLVEHHPQLGLERCLEDHEIVVQVESTM PSESKFLFRKNYAKYEFFKNPVNFFPDQMVNWCQQSNGGQAQLLQNFLNTSSCPEIQGFL QVKEVGRKSWKKLYVCLRRSGLYYSTKGTSKEPRHLQLLADLEESSIFYLIAGKKQYNAP NEHGMCIKPNKAKTEMKELRLLCAEDEQIRTCWMTAFRLLKYGMLLYQNYRIPQRKGLPP PFNAPMRSVSENSLVAMDFSGQIGRVIDNPAEAQSAALEEGHAWRKRSTRMNILSSQSPL HPSTLNAVIHRTQHWFHGRISREESHRIIKQQGLVDGLFLLRDSQSNPKAFVLTLCHHQK IKNFQILPCEDDGQTFFTLDDGNTKFSDLIQLVDFYQLNKGVLPCKLKHHCIRVAL >ENSMUSP00000105281.1 pep:known chromosome:GRCm38:11:11930508:12027971:-1 gene:ENSMUSG00000020176.17 transcript:ENSMUST00000109654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb10 description:growth factor receptor bound protein 10 [Source:MGI Symbol;Acc:MGI:103232] MNNDINSSVESLNSACNMQSDTDTAPLLEDGQHASNQGAASSSRGQPQASPRQKMQRSQP VHILRRLQEEDQQLRTASLPAIPNPFPELTGAAPGSPPSVAPSSLPPPPSQPPAKHDVKV FSEDGTSKVVEILTDMTARDLCQLLVYKSHCVDDNSWTLVEHHPQLGLERCLEDHEIVVQ VESTMPSESKFLFRKNYAKYEFFKNPVNFFPDQMVNWCQQSNGGQAQLLQNFLNTSSCPE IQGFLQVKEVGRKSWKKLYVCLRRSGLYYSTKGTSKEPRHLQLLADLEESSIFYLIAGKK QYNAPNEHGMCIKPNKAKTEMKELRLLCAEDEQIRTCWMTAFRLLKYGMLLYQNYRIPQR KGLPPPFNAPMRSVSENSLVAMDFSGQIGRVIDNPAEAQSAALEEGHAWRKRSTRMNILS SQSPLHPSTLNAVIHRTQHWFHGRISREESHRIIKQQGLVDGLFLLRDSQSNPKAFVLTL CHHQKIKNFQILPCEDDGQTFFTLDDGNTKFSDLIQLVDFYQLNKGVLPCKLKHHCIRVA L >ENSMUSP00000095441.3 pep:known chromosome:GRCm38:4:138895379:138913945:-1 gene:ENSMUSG00000041161.8 transcript:ENSMUST00000097830.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud3 description:OTU domain containing 3 [Source:MGI Symbol;Acc:MGI:1920412] MSRKQAAKSRPGSGGRRAEAERKRDERAARRALAKERRNRPDPGGSGCEEEFVSFANQLQ ALGLKLREVPGDGNCLFRALGDQLEGHSRNHLKHRQETVDYMIRQREDFEPFVEDDIPFE KHVASLSKPGTFAGNDAIVAFARNHQLNVVIHQLNAPLWQIRGTDKGSTRELHIAYRYGE HYDSVRRINDNSEAPAHLLTDFQMLHQDGANKKEKMKTKGVDVKDGLRDDVEDAVHKVGS ATGCTDFNLIVQNLEAENYNIKSAITALLQVNQGTGNDAEENHEPGDRVKQRGPSREEAG SGRRLSGNQGRNEGRMETSEARASPAEESKAHKSQLPKVTNKQRREQQRLEKKKRQEERH RLKALENRNGSRDTGRSEADMNTQVTLVKTFAALNI >ENSMUSP00000038366.3 pep:known chromosome:GRCm38:17:28142316:28174852:1 gene:ENSMUSG00000038677.13 transcript:ENSMUST00000043503.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scube3 description:signal peptide, CUB domain, EGF-like 3 [Source:MGI Symbol;Acc:MGI:3045253] MGSGRVPGLCLLLLLVHARAAQHGKAAQDVDECVEGTDNCHIDAICQNTPRSYKCICKSG YTGDGKHCKDVDECEREDNAGCVHDCVNIPGNYRCTCYDGFHLAHDGHNCLDVDECAEGN GGCQQSCVNMMGSYECHCRDGFFLSDNQHTCIQRPEEGMNCMNKNHGCAHICRETPKGGI ACECRPGFELTKNQRDCKLTCNYGNGGCQHTCDDTEQGPRCGCHVKFVLHTDGKTCIETC AVNNGGCDSKCHDAATGVHCSCPVGFMLQPDRKTCKDIDECRLNNGGCDHICRNTVGSFE CSCKKGYKLLINERSCQDIDECSFDRTCDHMCVNTPGSFQCLCHRGYLLYGVTHCGDVDE CSINKGGCRFGCINTPGSYQCTCPAGQGRLHWNGKDCTEPVKCQSSLGASKAMLSCNRSG KKDTCALTCPSRARFLPESENGFTVSCGTPSPKAAPARVIHSGNSTVSSSCHEAAVLPVK QRASFKIKDAKCRLHLRNKGKAEEASRILGPGSVPCSDCLVTFIHLKCDSSRKGKGRRAR TPPGKEVTRLTLELEAEVRAEETTAGCGLPCLRQRMERRLKGSLKMLRKSINQDRFLLRL AGLDYELAHKPGLGAGDRAELVEVCRPGQHRAGTKCVSCPQGTYYHGQTEQCVPCPAGTF QEREGQLSCDLCPGSDAHGPLGATNVTTCAGQCPPGHHSGDGFKPCQPCPRGTYQPEAGR TLCFPCGGGLTTKHEGAVSFQDCDTKVQCSPGHYYNTSIHRCIRCAVGSYQPDFRQNFCT RCPGNTSTDFDGSTSVAQCKNRQCGGELGEFTGYIESPNYPGNYPAGVECVWNINPPPKR KILIVVPEIFLPSEDECGDVLVMRKNSSPSSITTYETCQTYERPIAFTARSRKLWINFKT SEANSARGFQIPYVTYDEDYEQLVEDIVRDGRLYASENHQEILKDKKLIKAFFEVLAHPQ NYFKYTEKHKEMLPKSFIKLLRSKVSSFLRPYK >ENSMUSP00000117490.1 pep:known chromosome:GRCm38:17:28153602:28170145:1 gene:ENSMUSG00000038677.13 transcript:ENSMUST00000132670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scube3 description:signal peptide, CUB domain, EGF-like 3 [Source:MGI Symbol;Acc:MGI:3045253] XDCVNIPGNYRCTCYDGFHLAHDGHNCLDVDECAEGNGGCQQSCVNMMGSYECHCRDGFF LSDNQHTCIQRPEEGMNCMNKNHGCAHICRETPKGGIACECRPGFELTKNQRDCKLTCNY GNGGCQHTCDDTEQGPRCGCHVKFVLHTDGKTCIETCAVNNGGCDSKCHDAATGVHCSCP VGFMLQPDRKTCKDIDECRLNNGGCDHICRNTVGSFECSCKKGYKLLINERSCQDIDECS FDRTCDHMCVNTPGSFQCLCHRGYLLYGVTHCGDVDECSINKGGCRFGCINTPGSYQCTC PAGQGRLHWNGKDCTEPVKCQSSLGASKAMLSCNRSGKKDTCALTCPSRARFLPESENGF TVSCGTPSPKAAPARVIHSGNSTVSSSCHEAAVLPVKQRASFKIKDAKCRLHLRNKGKAE EASRILGPGSVPCSDCLVTFIHLKCDSSRKGKGRRARTPPGKEVTRLTLELEAEVRAEET TAGCGLPCLRQRMERRLKGSLKMLRKSINQDRFLLRLAGLDYELAHKPGLGAGDRAELVE VCRPGQHRAGTKCGQCPPGHHSGDGFKPCQPCPRGTYQPEAGRTLCFPCGGGLTTKHEGA VSFQDCDTKVQCSPGHYYNTSIHRCIRCAVGSYQPDFRQNFCTRCPGNTSTDFDGSTSVA QCKNRQCGGELGEFTGYIESPNYPGNYPAGVECVWNINPPPKRKILIVVPEIFLPSEDEC GDVLVMRKNSSPSSITTYETCQTYERPIAFTARSRKLWINFKTSEANSARGFQIPYVTYD EDYEQLVEDIVRDGRLYASENHQEILKASERSLWCGWKWVCTDVQANC >ENSMUSP00000063839.6 pep:known chromosome:GRCm38:9:70678997:70780229:1 gene:ENSMUSG00000054693.14 transcript:ENSMUST00000067880.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam10 description:a disintegrin and metallopeptidase domain 10 [Source:MGI Symbol;Acc:MGI:109548] MVLPTVLILLLSWAAGLGGQYGNPLNKYIRHYEGLSYNVDSLHQKHQRAKRAVSHEDQFL LLDFHAHGRQFNLRMKRDTSLFSDEFKVETSNKVLDYDTSHIYTGHIYGEEGSFSHGSVI DGRFEGFIKTRGGTFYIEPAERYIKDRILPFHSVIYHEDDINYPHKYGPQGGCADHSVFE RMRKYQMTGVEEGARAHPEKHAASSGPELLRKKRTTLAERNTCQLYIQTDHLFFKYYGTR EAVIAQISSHVKAIDTIYQTTDFSGIRNISFMVKRIRINTTSDEKDPTNPFRFPNIGVEK FLELNSEQNHDDYCLAYVFTDRDFDDGVLGLAWVGAPSGSSGGICEKSKLYSDGKKKSLN TGIITVQNYGSHVPPKVSHITFAHEVGHNFGSPHDSGTECTPGESKNLGQKENGNYIMYA RATSGDKLNNNKFSLCSIRNISQVLEKKRNNCFVESGQPICGNGMVEQGEECDCGYSDQC KDDCCFDANQPEGKKCKLKPGKQCSPSQGPCCTAQCAFKSKSEKCRDDSDCAKEGICNGF TALCPASDPKPNFTDCNRHTQVCINGQCAGSICEKYDLEECTCASSDGKDDKELCHVCCM KKMAPSTCASTGSLQWSKQFSGRTITLQPGSPCNDFRGYCDVFMRCRLVDADGPLARLKK AIFSPQLYENIAEWIVAHWWAVLLMGIALIMLMAGFIKICSVHTPSSNPKLPPPKPLPGT LKRRRPPQPIQQPPRQRPRESYQMGHMRR >ENSMUSP00000117162.1 pep:known chromosome:GRCm38:9:70678997:70778382:1 gene:ENSMUSG00000054693.14 transcript:ENSMUST00000140205.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam10 description:a disintegrin and metallopeptidase domain 10 [Source:MGI Symbol;Acc:MGI:109548] MVLPTVLILLLSWAAGLGGQYGNPLNKYIRHYEGLSYNVDSLHQKHQRAKRAVSHEDQFL LLDFHAHGRQFNLRMKRDTSLFSDEFKVETSNKVLDYDTSHIYTGHIYGEEGSFSHGSVI DGRFEGFIKTRGGTFYIEPAERYIKDRILPFHSVIYHEDDINYPHKYGPQGGCADHSVFE RMRKYQMTGVEEGARAHPEKHAASSGPELLRKKRTTLAERNTCQLYIQTDHLFFKYYGTR EAVIAQISSHVKAIDTIYQTTDFSGIRNISFMVKRIRINTTSDEKDPTNPFRFPNIGVEK FLELNSEQNHDDYCLAYVFTDRDFDDGVLGLAWVGAPSGSSGGICEKSKLYSDGKKKSLN TGIITVQNYGSHVPPKVSHITFAHEVGHNFGSPHDSGTECTPGESKNLGQKENGNYIMYA RATSGDKLNNNKFSLCSIRNISQVLEKKRNNCFVESGQPICGNGMVEQGEECDCGYSDQC KDDCCFDANQPEGKKCKLKPGKQCSTVCIQVKV >ENSMUSP00000116867.1 pep:known chromosome:GRCm38:9:70679016:70748114:1 gene:ENSMUSG00000054693.14 transcript:ENSMUST00000144537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam10 description:a disintegrin and metallopeptidase domain 10 [Source:MGI Symbol;Acc:MGI:109548] MVLPTVLILLLSWAAGLGDYPHKYGPQGGCADHSVFERMRKYQMTGVEEGARAHPEKHAA SSGPELLRKKRTTLAERNTCQLYIQTDHLFFKYYGTREAVIAQISSHVKAIDTIYQTTDF SGIRNISFMVKRIRINTTSDEKDPT >ENSMUSP00000073244.3 pep:known chromosome:GRCm38:5:37735519:37739820:1 gene:ENSMUSG00000062329.4 transcript:ENSMUST00000073554.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cytl1 description:cytokine-like 1 [Source:MGI Symbol;Acc:MGI:2684993] MSPKTLPLLLLLVVVVIAWPLAVQSAPPTCYSRMLTLSREIMADFQSLQASEPEDSCVRY LPRLYLDIHNYCVLAKLRDFVASPQCWKMAEVDTLKDRVRKLYTIMNSFCRRDLVFLSDD CSALEDPIPEATGPPDWQS >ENSMUSP00000080399.1 pep:known chromosome:GRCm38:2:88677857:88678813:1 gene:ENSMUSG00000060827.1 transcript:ENSMUST00000081697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1193 description:olfactory receptor 1193 [Source:MGI Symbol;Acc:MGI:3031027] MASRTYSMEEVNNVTEFIFLGLSQNPEVEKVCFVVFSFFYMVILLGNLLIMLTVCSGNLF KFPMYFFLNFLSFVDICYSSVTAPKMIIDLLVKKKTISYVGCMLQLFVVHFFGCTEIFIL TVMAYDRYVAICKPLHYMTMMDRERCNKMLLGTWIGGFLHSIIQVALVVQLPFCGPNEID HYFCDVHPVLKLACTDTYIVGIFVTANSGTIALGSFVILLISYTVILMSLRKQSSEGRRK ALSTCGSHIAVVIIFFGPCTFMYMRPDTTFSEDKMVAIFYTIITPMLNPLIYTLRNAEVK NAMRKLWARKFSWETTGK >ENSMUSP00000146124.1 pep:known chromosome:GRCm38:7:38183217:38197568:1 gene:ENSMUSG00000054676.15 transcript:ENSMUST00000178207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600014C10Rik description:RIKEN cDNA 1600014C10 gene [Source:MGI Symbol;Acc:MGI:1919494] MPIMVDDIMRLLCSISQERKMKAAVKHSGKGAMVAGAMAFVGGLVGGPPGIAVGGTVGGL LGAWMTSGQFKPVPQILMELPPR >ENSMUSP00000145694.1 pep:known chromosome:GRCm38:7:38183261:38196592:1 gene:ENSMUSG00000054676.15 transcript:ENSMUST00000179525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600014C10Rik description:RIKEN cDNA 1600014C10 gene [Source:MGI Symbol;Acc:MGI:1919494] MPIMVDDIMRLLCSISQERKMKAAVKHSGKGAMVAGAMAFVGGLVGGPPGIAVGGTVGGL LGAWMTSGQFKPVPQILMELPPR >ENSMUSP00000146135.1 pep:known chromosome:GRCm38:7:38183653:38197568:1 gene:ENSMUSG00000054676.15 transcript:ENSMUST00000178876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600014C10Rik description:RIKEN cDNA 1600014C10 gene [Source:MGI Symbol;Acc:MGI:1919494] MPIMVDDIMRLLCSISQERKMKAAVKHSGKGAMVAGAMAFVGGLVGGPPGIAVGGTVGGL LGAWMTSGQFKPVPQILMELPPR >ENSMUSP00000137538.1 pep:known chromosome:GRCm38:7:38183848:38188956:1 gene:ENSMUSG00000054676.15 transcript:ENSMUST00000177983.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600014C10Rik description:RIKEN cDNA 1600014C10 gene [Source:MGI Symbol;Acc:MGI:1919494] MPIMVDDIMRLLCSISQERKMKAAVKHSGKGAMVAGAMAFVGGLVGGPPGIAVGE >ENSMUSP00000145679.1 pep:known chromosome:GRCm38:7:38183898:38194972:1 gene:ENSMUSG00000054676.15 transcript:ENSMUST00000179992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600014C10Rik description:RIKEN cDNA 1600014C10 gene [Source:MGI Symbol;Acc:MGI:1919494] MPIMVDDIMRLLCSISQERKMKAAVKHSGKGAMVAGAMAFVGGLVGGPPGIAVGGTVGGL LGAWMTSGQFKPVPQILMELPPR >ENSMUSP00000145884.1 pep:known chromosome:GRCm38:7:38186271:38195266:1 gene:ENSMUSG00000054676.15 transcript:ENSMUST00000179503.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600014C10Rik description:RIKEN cDNA 1600014C10 gene [Source:MGI Symbol;Acc:MGI:1919494] MPIMVDDIMRLLCSISQERKMKAAVKHSGKGAMVAGAMAFVGGLVGGPPGIAVGGTVGGL LGAWMTSGQFKPVPQILMELPPR >ENSMUSP00000070449.8 pep:known chromosome:GRCm38:7:38183787:38197563:1 gene:ENSMUSG00000054676.15 transcript:ENSMUST00000067854.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600014C10Rik description:RIKEN cDNA 1600014C10 gene [Source:MGI Symbol;Acc:MGI:1919494] MPIMVDDIMRLLCSISQERKMKAAVKHSGKGAMVAGAMAFVGGLVGGPPGIAVGGTVGGL LGAWMTSGQFKPVPQILMELPPAEQRKLVNEAMAIIGNLDWTDAVQLTALVMSNQAMQQR LLAMLTTYVTKELQAEIRYED >ENSMUSP00000130271.1 pep:known chromosome:GRCm38:7:38183217:38197563:1 gene:ENSMUSG00000054676.15 transcript:ENSMUST00000165308.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600014C10Rik description:RIKEN cDNA 1600014C10 gene [Source:MGI Symbol;Acc:MGI:1919494] MPIMVDDIMRLLCSISQERKMKAAVKHSGKGAMVAGAMAFVGGLVGGPPGIAVGGTVGGL LGAWMTSGQFKPVPQILMELPPAEQRKLVNEAMAIIGNLDWTDAVQLTALVMSNQAMQQR LLAMLTTYVTKELQAEIRYED >ENSMUSP00000042082.6 pep:known chromosome:GRCm38:3:138143448:138159821:1 gene:ENSMUSG00000004127.12 transcript:ENSMUST00000040321.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt10a description:tRNA methyltransferase 10A [Source:MGI Symbol;Acc:MGI:1920421] MNMSSEMLPASIESTNVEEKLGTSDGEEERQEPRVDAGAEPISKRQLKKLMKQKQWEEQR EQRKEKRKEKRKRKKLERRQLESNSDGNDRKRVRRDVARSSLRLVIDCSFDDLMVLKDIK KLHKQIQRCYAENRRASHPVQFYLTSHGGQLKKNMDENDQGWVNWKDIHIKSEHYSELIK KEDLVYLTSDSPNVLKDLDESKAYVIGGLVDHNHHKGLTFKQATSYGIEHAQLPLADFVK MNSRKVLAVNHVFEIILEFLETRDWQEAFFTILPQRKGAVPAHKACESSPQDHQSLPEGW DSASEGESCRDNPVSPQKDEQGQQSSPVLQ >ENSMUSP00000125749.1 pep:known chromosome:GRCm38:3:138143517:138159818:1 gene:ENSMUSG00000004127.12 transcript:ENSMUST00000162864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt10a description:tRNA methyltransferase 10A [Source:MGI Symbol;Acc:MGI:1920421] MNMSSEMLPASIESTNVEEKLGTSDGEEERQEPRVDAGAEPISKRQLKKLMKQKQWEEQR EQRKEKRKEKRKRKKLERRQLESNSDGNDRKRVRRDVARSSLRLVIDCSFDDLMVLKDIK KLHKQIQRCYAENRRASHPVQFYLTSHGGQLKKNMDENDQGWVNWKDIHIKSEHYSELIK KEDLVYLTSDSPNVLKDLDESKAYVIGGLVDHNHHKGLTFKQATSYGIEHAQLPLADFVK MNSRKVLAVNHVFEIILEFLETRDWQEAFFTILPQRKGAVPAHKACESSPQDHQSLPEGW DSASEGESCRDNPVSPQKDEQGQQSSPVLQ >ENSMUSP00000130478.1 pep:known chromosome:GRCm38:3:138143799:138148362:1 gene:ENSMUSG00000004127.12 transcript:ENSMUST00000159622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt10a description:tRNA methyltransferase 10A [Source:MGI Symbol;Acc:MGI:1920421] MNMSSEMLPASIESTNVEEKLGTSDGEEERQEPRVDAGAEPISKRQLKKLMKQKQWEEQR EQRKEKR >ENSMUSP00000124465.1 pep:known chromosome:GRCm38:3:138143861:138148464:1 gene:ENSMUSG00000004127.12 transcript:ENSMUST00000159481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt10a description:tRNA methyltransferase 10A [Source:MGI Symbol;Acc:MGI:1920421] MNMSSEMLPASIESTNVEEKLGTSDGEEERQEPRVDAGAEPISKRQLKKLMKQKQWEEQR EQRKEKRKEKRKRKKLERRQLESNSDGNDRKRVRRDVARS >ENSMUSP00000125681.1 pep:known chromosome:GRCm38:3:138143910:138150476:1 gene:ENSMUSG00000004127.12 transcript:ENSMUST00000161141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt10a description:tRNA methyltransferase 10A [Source:MGI Symbol;Acc:MGI:1920421] MNMSSEMLPASIESTNVEEKLGTSDGEEERQEPRVDAGAEPISKRQLKKLMKQKQWEEQR EQRKEKRKEKRKRKKLERRQLESNSDGNDRKRVRRDVARSSLRLVIDCSFDDLMVLKDIK KLHKQIQRCYAENRRASHPVQFYLTSHGGQLKKNMDENDQGWVNW >ENSMUSP00000060798.7 pep:known chromosome:GRCm38:14:104461676:104467999:-1 gene:ENSMUSG00000048349.9 transcript:ENSMUST00000053016.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou4f1 description:POU domain, class 4, transcription factor 1 [Source:MGI Symbol;Acc:MGI:102525] MMSMNSKQPHFAMHPTLPEHKYPSLHSSSEAIRRACLPTPPLQSNLFASLDETLLARAEA LAAVDIAVSQGKSHPFKPDATYHTMNSVPCTSTSTVPLAHHHHHHHHHQALEPGDLLDHI SSPSLALMAGAGGAGAAGGGGGAHDGPGGGGGPGGGGGPGGGGPGGGGGGGGPGGGGGGP GGGLLGGSAHPHPHMHGLGHLSHPAAAAAMNMPSGLPHPGLVAAAAHHGAAAAAAAAAAG QVAAASAAAAVVGAAGLASICDSDTDPRELEAFAERFKQRRIKLGVTQADVGSALANLKI PGVGSLSQSTICRFESLTLSHNNMIALKPILQAWLEEAEGAQREKMNKPELFNGGEKKRK RTSIAAPEKRSLEAYFAVQPRPSSEKIAAIAEKLDLKKNVVRVWFCNQRQKQKRMKFSAT Y >ENSMUSP00000097071.3 pep:known chromosome:GRCm38:19:39688834:39741054:-1 gene:ENSMUSG00000074882.3 transcript:ENSMUST00000099472.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c68 description:cytochrome P450, family 2, subfamily c, polypeptide 68 [Source:MGI Symbol;Acc:MGI:3612287] MDPFVVLVLCLSFLLLLSLWRQRSARGNLPPGPTPLPIIGNYHLIDMKDIGQCLTNFSKI YGPVFTLYFGSQPIVILHGYEAMKEAFIDYGEEFSGRGRIPVFDKVSKGKGIGFSHGNVW KATRVFTVNTLRNLGMGKRTIETKVQEEAQWLMKELKKTNGSPCDPQFIIGCAPCNVICS IVFQNRFDYKDKDFLSLIGKVNECTEILSSPECQIFNAVPILIDYCPGSHNKFLKNHTWI KSYLLEKIKEHEESLDVTNPRDFVDYFLIQRRQKNGIEHMDYTIEHLATLVTDLVFGGTE TLSSTMRFALLLLMKHTHITAKVQEEIDNVIGRHRSPCMQDRNHMPYTNAMVHEVQRYID LGPNGVVHEVTCDTKFRNYFIPKGTQVMTSLTSVLHDSTEFPNPEVFDPGHFLDDNGNFK KSDYFMPFSAGKRICVGESLARMELFLFLTTILQNFKLKPLVDPKDIDMTPKHSGFSKIP PNFQMCFIPVE >ENSMUSP00000101696.1 pep:known chromosome:GRCm38:4:127351086:127354081:-1 gene:ENSMUSG00000046623.8 transcript:ENSMUST00000106090.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb4 description:gap junction protein, beta 4 [Source:MGI Symbol;Acc:MGI:95722] MNWGFLQGILSGVNKYSTALGRIWLSVVFIFRVLVYVVAAEEVWDDDQKDFICNTKQPGC PNVCYDEFFPVSHVRLWALQLILVTCPSLLVVMHVAYREERERKHRLKHGPNAPALYSNL SKKRGGLWWTYLLSLIFKAAVDSGFLYIFHCIYKDYDMPRVVACSVTPCPHTVDCYIARP TEKKVFTYFMVVTAAICILLNLSEVVYLVGKRCMEVFRPRRRKASRRHQLPDTCPPYVIS KGGHPQDESVILTKAGMATVDAGVYP >ENSMUSP00000053307.1 pep:known chromosome:GRCm38:4:127351086:127354081:-1 gene:ENSMUSG00000046623.8 transcript:ENSMUST00000060419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb4 description:gap junction protein, beta 4 [Source:MGI Symbol;Acc:MGI:95722] MNWGFLQGILSGVNKYSTALGRIWLSVVFIFRVLVYVVAAEEVWDDDQKDFICNTKQPGC PNVCYDEFFPVSHVRLWALQLILVTCPSLLVVMHVAYREERERKHRLKHGPNAPALYSNL SKKRGGLWWTYLLSLIFKAAVDSGFLYIFHCIYKDYDMPRVVACSVTPCPHTVDCYIARP TEKKVFTYFMVVTAAICILLNLSEVVYLVGKRCMEVFRPRRRKASRRHQLPDTCPPYVIS KGGHPQDESVILTKAGMATVDAGVYP >ENSMUSP00000097406.1 pep:known chromosome:GRCm38:2:88682804:88683730:-1 gene:ENSMUSG00000075121.1 transcript:ENSMUST00000099818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1195 description:olfactory receptor 1195 [Source:MGI Symbol;Acc:MGI:3031029] MQLNINVTEFILLGLTQDPSRKNIVFAIFLFFYMGTLLGNFLIIVTIKTSPALGSPMYFF LFYLSLSDTCFSTTVAPRTIVDSLLKEASISFNECIIQVFTFHLFGSLEIFILILMAVDR YVAICKPLHYMTIMNRQVCGMLVATVCVGSCIHSSVQIILALSLPFCGPNEIDHYFCDLQ PLLKLACSDTYVINLLLVSNSGTLCTVSFLMLMVSYIIILYSLRNHSAEGRRKALFTCVS HIIVVILFFVPCIFIYTRPATTFPMDKMISVFYTICTPFLNPLIYTLRNAEVKNAMRKLW SKKISDDI >ENSMUSP00000132879.1 pep:known chromosome:GRCm38:10:127380327:127444391:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000170164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVN >ENSMUSP00000126608.1 pep:known chromosome:GRCm38:10:127380662:127499360:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000166820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQRRTSS HGHARKRAKSNSKLKLVRSLAVCEESSTPFVDGPLDTQDIIQLHISCPSDKEEEKSTKDV SEKEDKDKSKEKVPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKKNPRDRMMLLKLEQEIL DFINDNNNQFKKFPQMTSYHRMLLHRVAAYFGMDHNVDQTGKAVIINKTSSTRIPEQRFS EHIKDEKNTEFQQRFILKRDDASMDRDDNQMRVPLQDGRRSKSIEEREEEYQRVRERIFA RETGQNGYLNDIRLSKEAFSSSSHKRRQIFRGNREGLSRTSSSRQSSTDSELKSLEPRPW SSTDSDGSVRSMRPPVTKASSFSGISILTRGDSIGSSKGGSAGRLSRPGMALGAPEVCNQ VTSPQSVRGLLPCTAQQQQQQQQQQQQLPALPPTPQHQPPLNNHMISQPVPALQPSPQPV QFSPSSCPQVLLPVSPPQQYNMAEDLSNPFGQMSLSRQGSTEAADPSSALFQPPLISQHP QQASFIMASAGQPLPTSNYSTSSHAPPTQQVLPPQGYMQPPQQIQVSYYPPGQYPNSNQQ YRPLSHPVAYSPQRGQQLPQASQQPGLQPMMSNQQQTAYQGMLGVQQPQNQGLLSNQRSS MGGQMQGLVVQYTPLPSYQVPVGSDSQNVVQPSFQQPMLVPASQSVQGGLPTGGVPVYYS MIPPAQQNGTSPSVGFLQPPGSEQYQMPQSPSPCSPPQMSQQYSGVSPSGPGVVVMQLNV PNGPQAPQNPSMVQWSHCKYYSVEQRGQKPGDLYSPDGSPQANAQMGSSPVTSPTQSPAP SPVTSLSNVCTGLSPLPVLTPFPRPGGPAQGDGRYSLLGQPLQYNLSICPPLLHGQSTYT VHQGQSGLKHGNRGKRQALKSASTDLGTADVVLGRVLEVTDLPEGITRTEADKLFTQLAM SGAKIQWLKDAQGLPGAGGGDNSGTAENGRHPDLAALYTIVAVFPSPLAAQNASLRLNNS VSRFKLRVAKKNYDLRILERASSQ >ENSMUSP00000107255.2 pep:known chromosome:GRCm38:10:127380799:127444332:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000111628.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSPVPEIHKSCCTLLQNMSNSNTT >ENSMUSP00000131255.1 pep:known chromosome:GRCm38:10:127420338:127452014:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000171434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQRRTSS HG >ENSMUSP00000069724.6 pep:known chromosome:GRCm38:10:127420867:127499349:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000064793.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQRRTSS HGHARKRAKSNSKLKLVRSLAVCEESSTPFVDGPLDTQDIIQLHISCPSDKEEEKSTKDV SEKEDKDKSKEKVPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKKNPRDRMMLLKLEQEIL DFINDNNNQFKKFPQMTSYHRMLLHRVAAYFGMDHNVDQTGKAVIINKTSSTRIPEQRFS EHIKDEKNTEFQQRFILKRDDASMDRDDNQTGQNGYLNDIRLSKEAFSSSSHKRRQIFRG NREGLSRTSSSRQSSTDSELKSLEPRPWSSTDSDGSVRSMRPPVTKASSFSGISILTRGD SIGSSKGGSAGRLSRPGMALGAPEVCNQVTSPQSVRGLLPCTAQQQQQQQQQQQQLPALP PTPQHQPPLNNHMISQPVPALQPSPQPVQFSPSSCPQAEDLSNPFGQMSLSRQGSTEAAD PSSALFQPPLISQHPQQASFIMASAGQPLPTSNYSTSSHAPPTQQVLPPQGYMQPPQQIQ VSYYPPGQYPNSNQQYRPLSHPVAYSPQRGQQLPQASQQPGLQPMMSNQQQTAYQGMLGV QQPQNQGLLSNQRSSMGGQMQGLVVQYTPLPSYQVPVGSDSQNVVQPSFQQPMLVPASQS VQGGLPTGGVPVYYSMIPPAQQNGTSPSVGFLQPPGSEQYQMPQSPSPCSPPQMSQQYSG VSPSGPGVVVMQLNVPNGPQAPQNPSMVQWSHCKYYSVEQRGQKPGDLYSPDGSPQANAQ MGSSPVTSPTQSPAPSPVTSLSNVCTGLSPLPVLTPFPRPGGPAQGDGRYSLLGQPLQYN LSICPPLLHGQSTYTVHQGQSGLKHGNRGKRQALKSASTDLGTADVVLGRVLEVTDLPEG ITRTEADKLFTQLAMSGAKIQWLKDAQGLPGAGGGDNSGTAENGRHPDLAALYTIVAVFP SPLAAQNASLRLNNSVSRFKLRVAKKNYDLRILERASSQ >ENSMUSP00000128659.1 pep:known chromosome:GRCm38:10:127421124:127499031:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000170336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQRRTSS HGHARKRAKSNSKLKLVRSLAVCEESSTPFVDGPLDTQDIIQLHISCPSDKEEEKSTKDV SEKEDKDKSKEKVPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKKNPRDRMMLLKLEQEIL DFINDNNNQFKKFPQMTSYHRMLLHRVAAYFGMDHNVDQTGKAVIINKTSSTRIPEQRFS EHIKDEKNTEFQQRFILKRDDASMDRDDNQTGQNGYLNDIRLSKEAFSSSSHKRRQIFRG NREGLSRTSSSRQSSTDSELKSLEPRPWSSTDSDGSVRSMRPPVTKASSFSGISILTRGD SIGSSKGGSAGRLSRPGMALGAPEVCNQVTSPQSVRGLLPCTAQQQQQQQQQQQQLPALP PTPQHQPPLNNHMISQPVPALQPSPQPVQFSPSSCPQVLLPVSPPQQYNMAEDLSNPFGQ MSLSRQGSTEAADPSSALFQPPLISQHPQQASFIMASAGQPLPTSNYSTSSHAPPTQQVL PPQGYMQPPQQIQVSYYPPGQYPNSNQQYRPLSHPVAYSPQRGQQLPQASQQPGLQPMMS NQQQTAYQGMLGVQQPQNQGLLSNQRSSMGGQMQGLVVQYTPLPSYQVPVGSDSQNVVQP SFQQPMLVPASQSVQGGLPTGGVPVYYSMIPPAQQNGTSPSVGFLQPPGSEQYQMPQSPS PCSPPQMSQQYSGVSPSGPGVVVMQLNVPNGPQAPQNPSMVQWSHCKYYSVEQRGQKPGD LYSPDGSPQANAQMGSSPVTSPTQSPAPSPVTSLSNVCTGLSPLPVLTPFPRPGGPAQGD GRYSLLGQPLQYNLSICPPLLHGQSTYTVHQGQSGLKHGNRGKRQALKSASTDLGTADVV LGRVLEVTDLPEGITRTEADKLFTQLAMSGAKIQWLKDAQGLPGAGGGDNSGTAENGRHP DLAALYTIVAVFPSPLAAQNASLRLNNSVSRFKLRVAKKNYDLRILERASSQ >ENSMUSP00000127872.1 pep:known chromosome:GRCm38:10:127421232:127452779:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000168780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQRRTSS HGHARKRAKSNSKLKLVRSLAVCEESSTPFVDGPLDTQ >ENSMUSP00000131007.1 pep:known chromosome:GRCm38:10:127444311:127498709:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000164831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQRRTSS HGHARKRAKSNSKLKLVRSLAVCEESSTPFVDGPLDTQDIIQLHISCPSDKEEEKSTKDV SEKEDKDKSKEKVPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKKNPRDRMMLLKLEQEIL DFINDNNNQFKKFPQMTSYHRMLLHRVAAYFGMDHNVDQTGKAVIINKTSSTRIPEQRFS EHIKDEKNTEFQQRFILKRDDASMDRDDNQTGQNGYLNDIRLSKEAFSSSSHKRRQIFRG NREGLSRTSSSRQSSTDSELKSLEPRPWSSTDSDGSVRSMRPPVTKASSFSGISILTRGD SIGSSKGGSAGRLSRPGMALGAPEVCNQVTSPQSVRGLLPCTAQQQQQQQQQQQQLPALP PTPQHQPPLNNHMISQAEDLSNPFGQMSLSRQGSTEAADPSSALFQPPLISQHPQQASFI MASAGQPLPTSNYSTSSHAPPTQQVLPPQGYMQPPQQIQVSYYPPGQYPNSNQQYRPLSH PVAYSPQRGQQLPQASQQPGLQPMMSNQQQTAYQGMLGVQQPQNQGLLSNQRSSMGGQMQ GLVVQYTPLPSYQVPVGSDSQNVVQPSFQQPMLVPASQSVQGGLPTGGVPVYYSMIPPAQ QNGTSPSVGFLQPPGSEQYQMPQSPSPCSPPQMSQQYSGVSPSGPGVVVMQLNVPNGPQA PQNPSMVQWSHCKYYSVEQRGQKPGDLYSPDGSPQANAQMGSSPVTSPTQSPAPSPVTSL SNVCTGLSPLPVLTPFPRPGGPAQGDGRYSLLGQPLQYNLSICPPLLHGQSTYTVHQGQS GLKHGNRGKRQALKSASTDLGTADVVLGRVLEVTDLPEGITRTEADKLFTQLAMSGAKIQ WLKDAQGLPGAGGGDNSGTAENGRHPDLAALYTIVAVFPSPLAAQNASLRLNNSVSRFKL RVAKKNYDLRILERASSQ >ENSMUSP00000126185.1 pep:known chromosome:GRCm38:10:127444311:127498709:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000164161.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQDYLVP FFLDGHPVMAMPGKEPSLIPNSSWCAVWQSVRNPPLHLSMGH >ENSMUSP00000100886.2 pep:known chromosome:GRCm38:10:127444311:127499367:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000105251.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQRRTSS HGHARKRAKSNSKLKLVRSLAVCEESSTPFVDGPLDTQDIIQLHISCPSDKEEEKSTKDV SEKEDKDKSKEKVPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKKNPRDRMMLLKLEQEIL DFINDNNNQFKKFPQMTSYHRMLLHRVAAYFGMDHNVDQTGKAVIINKTSSTRIPEQRFS EHIKDEKNTEFQQRFILKRDDASMDRDDNQMRVPLQDGRRSKSIEEREEEYQRVRERIFA RETGQNGYLNDIRGNREGLSRTSSSRQSSTDSELKSLEPRPWSSTDSDGSVRSMRPPVTK ASSFSGISILTRGDSIGSSKGGSAGRLSRPGMALGAPEVCNQVTSPQSVRGLLPCTAQQQ QQQQQQQQQLPALPPTPQHQPPLNNHMISQPVPALQPSPQPVQFSPSSCPQVLLPVSPPQ QYNMAEDLSNPFGQMSLSRQGSTEAADPSSALFQPPLISQHPQQASFIMASAGQPLPTSN YSTSSHAPPTQQVLPPQGYMQPPQQIQVSYYPPGQYPNSNQQYRPLSHPVAYSPQRGQQL PQASQQPGLQPMMSNQQQTAYQGMLGVQQPQNQGLLSNQRSSMGGQMQGLVVQYTPLPSY QVPVGSDSQNVVQPSFQQPMLVPASQSVQGGLPTGGVPVYYSMIPPAQQNGTSPSVGFLQ PPGSEQYQMPQSPSPCSPPQMSQQYSGVSPSGPGVVVMQLNVPNGPQAPQNPSMVQWSHC KYYSVEQRGQKPGDLYSPDGSPQANAQMGSSPVTSPTQSPAPSPVTSLSNVCTGLSPLPV LTPFPRPGGPAQGDGRYSLLGQPLQYNLSICPPLLHGQSTYTVHQGQSGLKHGNRGKRQA LKSASTDLGTADVVLGRVLEVTDLPEGITRTEADKLFTQLAMSGAKIQWLKDAQGLPGAG GGDNSGTAENGRHPDLAALYTIVAVFPSPLAAQNASLRLNNSVSRFKLRVAKKNYDLRIL ERASSQ >ENSMUSP00000100884.2 pep:known chromosome:GRCm38:10:127444311:127499384:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000105249.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQRRTSS HGHARKRAKSNSKLKLVRSLAVCEESSTPFVDGPLDTQDIIQLHISCPSDKEEEKSTKDV SEKEDKDKSKEKVPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKKNPRDRMMLLKLEQEIL DFINDNNNQFKKFPQMTSYHRMLLHRVAAYFGMDHNVDQTGKAVIINKTSSTRIPEQRFS EHIKDEKNTEFQQRFILKRDDASMDRDDNQMRVPLQDGRRSKSIEEREEEYQRVRERIFA RETGQNGYLNDIRGNREGLSRTSSSRQSSTDSELKSLEPRPWSSTDSDGSVRSMRPPVTK ASSFSGISILTRGDSIGSSKGGSAGRLSRPGMALGAPEVCNQVTSPQSVRGLLPCTAQQQ QQQQQQQQQLPALPPTPQHQPPLNNHMISQAEDLSNPFGQMSLSRQGSTEAADPSSALFQ PPLISQHPQQASFIMASAGQPLPTSNYSTSSHAPPTQQVLPPQGYMQPPQQIQVSYYPPG QYPNSNQQYRPLSHPVAYSPQRGQQLPQASQQPGLQPMMSNQQQTAYQGMLGVQQPQNQG LLSNQRSSMGGQMQGLVVQYTPLPSYQVPVGSDSQNVVQPSFQQPMLVPASQSVQGGLPT GGVPVYYSMIPPAQQNGTSPSVGFLQPPGSEQYQMPQSPSPCSPPQMSQQYSGVSPSGPG VVVMQLNVPNGPQAPQNPSMVQWSHCKYYSVEQRGQKPGDLYSPDGSPQANAQMGSSPVT SPTQSPAPSPVTSLSNVCTGLSPLPVLTPFPRPGGPAQGDGRYSLLGQPLQYNLSICPPL LHGQSTYTVHQGQSGLKHGNRGKRQALKSASTDLGTADVVLGRVLEVTDLPEGITRTEAD KLFTQLAMSGAKIQWLKDAQGLPGAGGGDNSGTAENGRHPDLAALYTIVAVFPSPLAAQN ASLRLNNSVSRFKLRVAKKNYDLRILERASSQ >ENSMUSP00000133118.1 pep:known chromosome:GRCm38:10:127459465:127465182:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000165440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] SPEQRFSEHIKDEKNTEFQQRFILKRDDASMDRDDNQDGRRSKSIEEREEEYQRVRERIF ARETGQNGYLNDIRLSKEAFSSSSHKRRQIF >ENSMUSP00000126760.1 pep:known chromosome:GRCm38:10:127459495:127499383:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000169888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] KDEKNTEFQQRFILKRDDASMDRDDNQDGRRSKSIEEREEEYQRVRERIFARETGQNGYL NDIRGNREGLSRTSSSRQSSTDSELKSLEPRPWSSTDSDGSVRSMRPPVTKASSFSGISI LTRGDSIGSSKGGSAGRLSRPGMALGAPEVCNQVTSPQSVRGLLPCTAQQQQQQQQQQQQ LPALPPTPQHQPPLNNHMISQAEDLSNPFGQMSLSRQGSTEAADPSSALFQPPLISQHPQ QASFIMASAGQPLPTSNYSTSSHAPPTQQVLPPQGYMQPPQQIQVSYYPPGQYPNSNQQY RPLSHPVAYSPQRGQQLPQASQQPGLQPMMSNQQQTAYQGMLGVQQPQNQGLLSNQRSSM GGQMQGLVVQYTPLPSYQVPVGSDSQNVVQPSFQQPMLVPASQSVQGGLPTGGVPVYYSM IPPAQQNGTSPSVGFLQPPGSEQYQMPQSPSPCSPPQMSQQYSGVSPSGPGVVVMQLNVP NGPQAPQNPSMVQWSHCKYYSVEQRGQKPGDLYSPDGSPQANAQMGSSPVTSPTQSPAPS PVTSLSNVCTGLSPLPVLTPFPRPGGPAQGDGRYSLLGQPLQYNLSICPPLLHGQSTYTV HQGQSGLKHGNRGKRQALKSASTDLGTADVVLGRVLEVTDLPEGITRTEADKLFTQLAMS GAKIQWLKDAQGLPGAGGGDNSGTAENGRHPDLAALYTIVAVFPSPLAAQNASLRLNNSV SRFKLRVAKKNYDLRILERASSQ >ENSMUSP00000130506.1 pep:known chromosome:GRCm38:10:127485482:127495143:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000168079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] ASQSVQGGLPTGGVPVYYSMIPPAQQNGTSPSVGFLQPPGSEQYQMPQSPSPCSPPQMSQ QYSGVVVMQLNVPNGPQAPQNPSMVQWSHCKYYSVEQRGQKPGDLYSPDGSPQANAQMGS SPVTSPTQSPAPSPVTSLSNVCTGLSPLPVLTPF >ENSMUSP00000132683.1 pep:known chromosome:GRCm38:10:127492657:127499367:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000171426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] XWSHCKYYSVEQRGQKPGDLYSPDGSPQANAQMGSSPVTSPTQSPAPSPVTSLSNVCTGL SPLPVLTPFPRPGGPAQGDGRYSLLGQPLQYNLSICPPLLHGQSTYTVHQGAKADGQTDD WPNSIMAEERSKQILTLAWDSLATVPPFPWLVPFCLPLLPIFYHFLNLFSHHEINFFHIF GRVELRGSALPFSHFITSPISLPSFGLHEYIYMDRIKKNKLISPFVHFPHLVSPNPTELK LGTPLIPNPSPEHLYIVCLRFVPQ >ENSMUSP00000076303.5 pep:known chromosome:GRCm38:10:127390311:127499383:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000077046.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQRRTSS HGHARKRAKSNSKLKLVRSLAVCEESSTPFVDGPLDTQDIIQLHISCPSDKEEEKSTKDV SEKEDKDKSKEKVPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKKNPRDRMMLLKLEQEIL DFINDNNNQFKKFPQMTSYHRMLLHRVAAYFGMDHNVDQTGKAVIINKTSSTRIPEQRFS EHIKDEKNTEFQQRFILKRDDASMDRDDNQMRVPLQDGRRSKSIEEREEEYQRVRERIFA RETGQNGYLNDIRLSKEAFSSSSHKRRQIFRGNREGLSRTSSSRQSSTDSELKSLEPRPW SSTDSDGSVRSMRPPVTKASSFSGISILTRGDSIGSSKGGSAGRLSRPGMALGAPEVCNQ VTSPQSVRGLLPCTAQQQQQQQQQQQQLPALPPTPQHQPPLNNHMISQPVPALQPSPQPV QFSPSSCPQVLLPVSPPQQYNMAEDLSNPFGQMSLSRQGSTEAADPSSALFQPPLISQHP QQASFIMASAGQPLPTSNYSTSSHAPPTQQVLPPQGYMQPPQQIQVSYYPPGQYPNSNQQ YRPLSHPVAYSPQRGQQLPQASQQPGLQPMMSNQQQTAYQGMLGVQQPQNQGLLSNQRSS MGGQMQGLVVQYTPLPSYQVPVGSDSQNVVQPSFQQPMLVPASQSVQGGLPTGGVPVYYS MIPPAQQNGTSPSVGFLQPPGSEQYQMPQSPSPCSPPQMSQQYSGVSPSGPGVVVMQLNV PNGPQAPQNPSMVQWSHCKYYSVEQRGQKPGDLYSPDGSPQANAQMGSSPVTSPTQSPAP SPVTSLSNVCTGLSPLPVLTPFPRPGGPAQGDGRYSLLGQPLQYNLSICPPLLHGQSTYT VHQGQSGLKHGNRGKRQALKSASTDLGTADVVLGRVLEVTDLPEGITRTEADKLFTQLAM SGAKIQWLKDAQGLPGAGGGDNSGTAENGRHPDLAALYTIVAVFPSPLAAQNASLRLNNS VSRFKLRVAKKNYDLRILERASSQ >ENSMUSP00000100885.2 pep:known chromosome:GRCm38:10:127390311:127499383:1 gene:ENSMUSG00000025404.15 transcript:ENSMUST00000105250.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm2 description:R3H domain containing 2 [Source:MGI Symbol;Acc:MGI:1919000] MSNSNTTQETLEIMKESEKKLVEESVNKNKFISKTPSKEDVEKEGEENGLRQETQRRTSS HGHARKRAKSNSKLKLVRSLAVCEESSTPFVDGPLDTQDIIQLHISCPSDKEEEKSTKDV SEKEDKDKSKEKVPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKKNPRDRMMLLKLEQEIL DFINDNNNQFKKFPQMTSYHRMLLHRVAAYFGMDHNVDQTGKAVIINKTSSTRIPEQRFS EHIKDEKNTEFQQRFILKRDDASMDRDDNQMRVPLQDGRRSKSIEEREEEYQRVRERIFA RETGQNGYLNDIRGNREGLSRTSSSRQSSTDSELKSLEPRPWSSTDSDGSVRSMRPPVTK ASSFSGISILTRGDSIGSSKGGSAGRLSRPGMALGAPEVCNQVTSPQSVRGLLPCTAQQQ QQQQQQQQQLPALPPTPQHQPPLNNHMISQPVPALQPSPQPVQFSPSSCPQVLLPVSPPQ QYNMAEDLSNPFGQMSLSRQGSTEAADPSSALFQPPLISQHPQQASFIMASAGQPLPTSN YSTSSHAPPTQQVLPPQGYMQPPQQIQVSYYPPGQYPNSNQQYRPLSHPVAYSPQRGQQL PQASQQPGLQPMMSNQQQTAYQGMLGVQQPQNQGLLSNQRSSMGGQMQGLVVQYTPLPSY QVPVGSDSQNVVQPSFQQPMLVPASQSVQGGLPTGGVPVYYSMIPPAQQNGTSPSVGFLQ PPGSEQYQMPQSPSPCSPPQMSQQYSGVSPSGPGVVVMQLNVPNGPQAPQNPSMVQWSHC KYYSVEQRGQKPGDLYSPDGSPQANAQMGSSPVTSPTQSPAPSPVTSLSNVCTGLSPLPV LTPFPRPGGPAQGDGRYSLLGQPLQYNLSICPPLLHGQSTYTVHQGQSGLKHGNRGKRQA LKSASTDLGTADVVLGRVLEVTDLPEGITRTEADKLFTQLAMSGAKIQWLKDAQGLPGAG GGDNSGTAENGRHPDLAALYTIVAVFPSPLAAQNASLRLNNSVSRFKLRVAKKNYDLRIL ERASSQ >ENSMUSP00000113625.1 pep:known chromosome:GRCm38:X:104077434:104201185:-1 gene:ENSMUSG00000046449.15 transcript:ENSMUST00000118314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C77370 description:expressed sequence C77370 [Source:MGI Symbol;Acc:MGI:2148050] MDNQQDKVIAASANGDNNLINGVKNNDSEDQEVAMKSFVALEATTPIQPIPVIQKESPMF PRGLLPPPSKKPCMQSPPSPLALIEAPDHSANSASVNAISLTSGVAKGLNTWSLPNECEK APFAIMEPAGMSALNGDCLMQPSRTCLGCFMESKEAVDPEPGISLKVSDLNRDYETCAVS DIGIQCINAGENIKYGEQLLSDQLLGFPLHKSRAGDRRESEKPDIDLEDPTQKSYYEALL LDKCNTEEALLANSNQDWGYFETFISESKIELLDLCSKNELSVNLFSEEDVENYMFDDDE STLGSDVCSLKIRYESFQDNVRDKTTLLMQEDAQFNFFPSVFTTCPKRESKSGILKQSSD LSQFKVPDVSIIWGEEDKNLDKKKGKEEVHEDKSIETKDEKDNGEKPALNNKPCGGLEVE QFKNLKADQLTNSLETSGNFSDDSSFIEVSYDAMGEIKDCSRYMARDTNSGSSSSQQNYG LRAKRKVRYSEDYLYDVDSLEGEKVNERKEWPPGGSKEEDDDEWCPKKRRKVTRKEPPVI IKYIIINRFKGEKNMLVKLSKVDASETTVNLSENQLSKYAKLSPLKGFWQKKKKQKNSNT DSVKTPLCQKQSFEPGSFEVSFLPPARKRKSKLGNRHRIQRIQSVETSASSKQVSFCSDQ KQACNRKEDGVKGTPKSALLTDPSCANGSHLRGLIVSDSVKVKAQDTEFKGPERKVLNKI KFKSEARLKSKKIKAGQENKPVVQMSPVSEDTSSKANLKNEVTPGTSNSSHMSEFHETKV KNSTFLPTTCSSEMPLSSANVATNIPVIPGGYLQTLLDASDLSNNTSISYFTNHSAEQNE GSLTQTEKAFVPLQSAQDCVLSSSSDSQLQQSSQNFKMEASNFGSLWPDKDTSGSQEFMT EVSREIATNQSSEFEASQVVSMENNLTAITYSPVCLNSDASGCNKVLYASLQDSHLPPED LYQLCHFNNGEICFPFQQGPLSTDDDGRLFSFDSMTSLTVSSSNYCSLSLKSCEKDGDDE INDDFLAHCSPKLVIQQSIDEIAPLKESTDLLDISNFTPDKFRHSSLLEMSPPDTPSLSP QSTRCESIKTLGTMKGFQEGVPGSLSTVEKIKWDCNTLSQQAQADDGFTLNSHQFQFHMF NDEDSVGLLQKSPCLSTFDEPAGQINTNSKVSKSRKKTSPGKSGAVSQSSSQKNSRKKSP KASNKGVEKPPSKTSRQVPKSTKKGKYVAAVNGEKMQIGIGHSGGQPNSTSSNAKTLTEC IQHGGPVASMKIPSQKGLSGDWALGKESRPGWNDMSVVTNTNNLLDDDQREFQEPSYILS NIASGMADVQRFMMASMEPLWEPMEHQGESNTFYSPDSNSLKLKTLKILAGTPQESKKKV TNGSSGATKNHRSVKAVSKSNGKAAIGEPGHADMPGSSEDSRSAFFDKKYSNVNTLGNNG PTHKKLYRHKSSSKGLRDEKYKGKRVEREQAHKDEAGTTSFEKLR >ENSMUSP00000049716.6 pep:known chromosome:GRCm38:X:104083714:104201144:-1 gene:ENSMUSG00000046449.15 transcript:ENSMUST00000056502.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C77370 description:expressed sequence C77370 [Source:MGI Symbol;Acc:MGI:2148050] MDNQQDKVIAASANGDNNLINGVKNNDSEDQEVAMKSFVALEATTPIQPIPVIQKESPMF PRGLLPPPSKKPCMQSPPSPLALIEAPDHSANSASVNAISLTSGVAKGLNTWSLPNECEK APFAIMEPAGMSALNGDCLMQPSRTCLGCFMESKEAVDPEPGISLKVSDLNRDYETCAVS DIGIQCINAGENIKYGEQLLSDQLLGFPLHKSRAGDRRESEKPDIDLEDPTQKSYYEALL LDKCNTEEALLANSNQDWGYFETFISESKIELLDLCSKNELSVNLFSEEDVENYMFDDDE STLGSDVCSLKIRYESFQDNVRDKTTLLMQEDAQFNFFPSVFTTCPKRESKSGILKQSSD LSQFKVPDVSIIWGEEDKNLDKKKGKEEVHEDKSIETKDEKDNGEKPALNNKPCGGLEVE QFKNLKADQLTNSLETSGNFSDDSSFIEVSYDAMGEIKDCSRYMARDTNSGSSSSQQNYG LRAKRKVRYSEDYLYDVDSLEGEKVNERKEWPPGGSKEEDDDEWCPKKRRKVTRKEPPVI IKYIIINRFKGEKNMLVKLSKVDASETTVNLSENQLSKYAKLSPLKGFWQKKKKQKNSNT DSVKTPLCQKQSFEPGSFEVSFLPPARKRKSKLGNRHRIQRIQSVETSASSKQVSFCSDQ KQACNRKEDGVKGTPKSALLTDPSCANGSHLRGLIVSDSVKVKAQDTEFKGPERKVLNKI KFKSEARLKSKKIKAGQENKPVVQMSPVSEDTSSKANLKNEVTPGTSNSSHMSEFHETKV KNSTFLPTTCSSEMPLSSANVATNIPVIPGGYLQTLLDASDLSNNTSISYFTNHSAEQNE GSLTQTEKAFVPLQSAQDCVLSSSSDSQLQQSSQNFKMEASNFGSLWPDKDTSGSQEFMT EVSREIATNQSSEFEASQVVSMENNLTAITYSPVCLNSDASGCNKVLYASLQDSHLPPED LYQLCHFNNGEICFPFQQGPLSTDDDGRLFSFDSMTSLTVSSSNYCSLSLKSCEKDGDDE INDDFLAHCSPKLVIQQSIDEIAPLKESTDLLDISNFTPDKFRHSSLLEMSPPDTPSLSP QSTRCESIKTLGTMKGFQEGVPGSLSTVEKIKWDCNTLSQQAQADDGFTLNSHQFQFHMF NDEDSVGLLQKSPCLSTFDEPAGQINTNSKVSKSRKKTSPGKSGAVSQSSSQKNSRKKSP KASNKGVEKPPSKTSRQVPKSTKKGKYVAAVNGEKMQIGIGHSGGQPNSTSSNAKTLTEC IQHGGPVASMKIPSQKGLSGDWALGKESRPGWNDMSVVTNTNNLLDDDQREFQEPSYILS NIASGMADVQRFMMASMEPLWEPMEHQGESNTFYSPDSNSLKLKTLKILAGTPQESKKKV TNGSSGATKNHRSVKAVSKSNGKAAIGEPGHADMPGSSEDSRSAFFDKKYSNVNTLGNNG PTHKKLYRHKSSSKGLRDEKYKGKRVEREQAHKDEAGTTSFEKLR >ENSMUSP00000085187.4 pep:known chromosome:GRCm38:X:104077435:104201117:-1 gene:ENSMUSG00000046449.15 transcript:ENSMUST00000087879.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C77370 description:expressed sequence C77370 [Source:MGI Symbol;Acc:MGI:2148050] MDNQQDKVIAASANGDNNLINGVKNNDSEDQEVAMKSFVALEATTPIQPIPVIQKESPMF PRGLLPPPSKKPCMQSPPSPLALIEAPDHSANSASVNAISLTSGVAKGLNTWSLPNECEK APFAIMEPAGMSALNGDCLMQPSRTCLGCFMESKEAVDPEPGISLKVSDLNRDYETCAVS DIGIQCINAGENIKYGEQLLSDQLLGFPLHKSRAGDRRESEKPDIDLEDPTQKSYYEALL LDKCNTEEALLANSNQDWGYFETFISESKIELLDLCSKNELSVNLFSEEDVENYMFDDDE STLGSDVCSLKIRYESFQDNVRDKTTLLMQEDAQFNFFPSVFTTCPKRESKSGILKQSSD LSQFKVPDVSIIWGEEDKNLDKKKGKEEVHEDKSIETKDEKDNGEKPALNNKPCGGLEVE QFKNLKADQLTNSLETSGNFSDDSSFIEVSYDAMGEIKDCSRYMARDTNSGSSSSQQNYG LRAKRKVRYSEDYLYDVDSLEGEKVNERKEWPPGGSKEEDDDEWCPKKRRKVTRKEPPVI IKYIIINRFKGEKNMLVKLSKVDASETTVNLSENQLSKYAKLSPLKGFWQKKKKQKNSNT DSVKTPLCQKQSFEPGSFEVSFLPPARKRKSKLGNRHRIQRIQSVETSASSKQVSFCSDQ KQACNRKEDGVKGTPKSALLTDPSCANGSHLRGLIVSDSVKVKAQDTEFKGPERKVLNKI KFKSEARLKSKKIKAGQENKPVVQMSPVSEDTSSKANLKNEVTPGTSNSSHMSEFHETKV KNSTFLPTTCSSEMPLSSANVATNIPVIPGGYLQTLLDASDLSNNTSISYFTNHSAEQNE GSLTQTEKAFVPLQSAQDCVLSSSSDSQLQQSSQNFKMEASNFGSLWPDKDTSGSQEFMT EVSREIATNQSSEFEASQVVSMENNLTAITYSPVCLNSDASGCNKVLYASLQDSHLPPED LYQLCHFNNGEICFPFQQGPLSTDDDGRLFSFDSMTSLTVSSSNYCSLSLKSCEKDGDDE INDDFLAHCSPKLVIQQSIDEIAPLKESTDLLDISNFTPDKFRHSSLLEMSPPDTPSLSP QSTRCESIKTLGTMKGFQEGVPGSLSTVEKIKWDCNTLSQQAQADDGFTLNSHQFQFHMF NDEDSVGLLQKSPCLSTFDEPAGQINTNSKVSKSRKKTSPGKSGAVSQSSSQKNSRKKSP KASNKGVEKPPSKTSRQVPKSTKKGKYVAAVNGEKMQIGIGHSGGQPNSTSSNAKTLTEC IQHGGPVASMKIPSQKGLSGDWALGKESRPGWNDMSVVTNTNNLLDDDQREFQEPSYILS NIASGMADVQRFMMASMEPLWEPMEHQGESNTFYSPDSNSLKLKTLKILAGTPQESKKKV TNGSSGATKNHRSVKAVSKSNGKAAIGEPGHADMPGSSEDSRSAFFDKKYSNVNTLGNNG PTHKKLYRHKSSSKGLRDEKYKGKRVEREQAHKDEAGTTSFEKLRDSNYNLLKAETAFGV LPVFEEETHIFQKDI >ENSMUSP00000100488.1 pep:known chromosome:GRCm38:2:88700383:88701327:-1 gene:ENSMUSG00000075120.3 transcript:ENSMUST00000104892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1196 description:olfactory receptor 1196 [Source:MGI Symbol;Acc:MGI:3031030] MENHKNVTEFIFMGLWQNRQIELLFFLLFLLCYLAILMGNSVILFTITCSHLIEQPMYYF LCHLSLMDLCYTSTVIPRLIRDLATTRKNISYNECMTQLFTSHLLAGVEIFILVSMALDR YVAIVKPLHYMVIMSRKRCDMLIVTAWILGFWHSIALLLMVLSLPFCGPNHINHYLCDIK PLLKLVCKDVHVVSILAIANSGMVLFAIFIVLLASYILILYSLRTRSSAGKRKALSTCSS HIMVVVLFFGPCIYIYILPAGSENKDKEISVFYTVIAPMLNPLIYTLRNSEMKSAMHKVW SRLSLRVEVSERIL >ENSMUSP00000131782.1 pep:known chromosome:GRCm38:9:123678439:123781965:1 gene:ENSMUSG00000029530.15 transcript:ENSMUST00000163559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr9 description:chemokine (C-C motif) receptor 9 [Source:MGI Symbol;Acc:MGI:1341902] MMPTELTSLIPGMFDDFSYDSTASTDDYMNLNFSSFFCKKNNVRQFASHFLPPLYWLVFI VGTLGNSLVILVYWYCTRVKTMTDMFLLNLAIADLLFLATLPFWAIAAAGQWMFQTFMCK VVNSMYKMNFYSCVLLIMCISVDRYIAIVQAMKAQVWRQKRLLYSKMVCITIWVMAAVLC TPEILYSQVSGESGIATCTMVYPKDKNAKLKSAVLILKVTLGFFLPFMVMAFCYTIIIHT LVQAKKSSKHKALKVTITVLTVFIMSQFPYNSILVVQAVDAYAMFISNCTISTNIDICFQ VTQTIAFFHSCLNPVLYVFVGERFRRDLVKTLKNLGCISQAQWVSFTRREGSLKLSSMLL ETTSGALSL >ENSMUSP00000127024.1 pep:known chromosome:GRCm38:9:123767211:123781963:1 gene:ENSMUSG00000029530.15 transcript:ENSMUST00000166236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr9 description:chemokine (C-C motif) receptor 9 [Source:MGI Symbol;Acc:MGI:1341902] MMPTELTSLIPGMFDDFSYDSTASTDDYMNLNFSSFFCKKNNVRQFASHFLPPLYWLVFI VGTLGNSLVILVYWYCTRVKTMTDMFLLNLAIADLLFLATLPFWAIAAAGQWMFQTFMCK VVNSMYKMNFYSCVLLIMCISVDRYIAIVQAMKAQVWRQKRLLYSKMVCITIWVMAAVLC TPEILYSQVSGESGIATCTMVYPKDKNAKLKSAVLILKVTLGFFLPFMVMAFCYTIIIHT LVQAKKSSKHKALKVTITVLTVFIMSQFPYNSILVVQAVDAYAMFISNCTISTNIDICFQ VTQTIAFFHSCLNPVLYVFVGERFRRDLVKTLKNLGCISQAQWVSFTRREGSLKLSSMLL ETTSGALSL >ENSMUSP00000107081.2 pep:known chromosome:GRCm38:9:123767227:123781526:1 gene:ENSMUSG00000029530.15 transcript:ENSMUST00000111454.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr9 description:chemokine (C-C motif) receptor 9 [Source:MGI Symbol;Acc:MGI:1341902] MFDDFSYDSTASTDDYMNLNFSSFFCKKNNVRQFASHFLPPLYWLVFIVGTLGNSLVILV YWYCTRVKTMTDMFLLNLAIADLLFLATLPFWAIAAAGQWMFQTFMCKVVNSMYKMNFYS CVLLIMCISVDRYIAIVQAMKAQVWRQKRLLYSKMVCITIWVMAAVLCTPEILYSQVSGE SGIATCTMVYPKDKNAKLKSAVLILKVTLGFFLPFMVMAFCYTIIIHTLVQAKKSSKHKA LKVTITVLTVFIMSQFPYNSILVVQAVDAYAMFISNCTISTNIDICFQVTQTIAFFHSCL NPVLYVFVGERFRRDLVKTLKNLGCISQAQWVSFTRREGSLKLSSMLLETTSGALSL >ENSMUSP00000126758.1 pep:known chromosome:GRCm38:9:123767229:123781971:1 gene:ENSMUSG00000029530.15 transcript:ENSMUST00000168910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr9 description:chemokine (C-C motif) receptor 9 [Source:MGI Symbol;Acc:MGI:1341902] MMPTELTSLIPGMFDDFSYDSTASTDDYMNLNFSSFFCKKNNVRQFASHFLPPLYWLVFI VGTLGNSLVILVYWYCTRVKTMTDMFLLNLAIADLLFLATLPFWAIAAAGQWMFQTFMCK VVNSMYKMNFYSCVLLIMCISVDRYIAIVQAMKAQVWRQKRLLYSKMVCITIWVMAAVLC TPEILYSQVSGESGIATCTMVYPKDKNAKLKSAVLILKVTLGFFLPFMVMAFCYTIIIHT LVQAKKSSKHKALKVTITVLTVFIMSQFPYNSILVVQAVDAYAMFISNCTISTNIDICFQ VTQTIAFFHSCLNPVLYVFVGERFRRDLVKTLKNLGCISQAQWVSFTRREGSLKLSSMLL ETTSGALSL >ENSMUSP00000137144.1 pep:known chromosome:GRCm38:9:123774540:123783457:1 gene:ENSMUSG00000029530.15 transcript:ENSMUST00000180093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr9 description:chemokine (C-C motif) receptor 9 [Source:MGI Symbol;Acc:MGI:1341902] MMPTELTSLIPGMFDDFSYDSTASTDDYMNLNFSSFFCKKNNVRQFASHFLPPLYWLVFI VGTLGNSLVILVYWYCTRVKTMTDMFLLNLAIADLLFLATLPFWAIAAAGQWMFQTFMCK VVNSMYKMNFYSCVLLIMCISVDRYIAIVQAMKAQVWRQKRLLYSKMVCITIWVMAAVLC TPEILYSQVSGESGIATCTMVYPKDKNAKLKSAVLILKVTLGFFLPFMVMAFCYTIIIHT LVQAKKSSKHKALKVTITVLTVFIMSQFPYNSILVVQAVDAYAMFISNCTISTNIDICFQ VTQTIAFFHSCLNPVLYVFVGERFRRDLVKTLKNLGCISQAQWVSFTRREGSLKLSSMLL ETTSGALSL >ENSMUSP00000104174.2 pep:known chromosome:GRCm38:11:70790932:70812586:-1 gene:ENSMUSG00000057135.12 transcript:ENSMUST00000108534.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scimp description:SLP adaptor and CSK interacting membrane protein [Source:MGI Symbol;Acc:MGI:3610314] MSWWRDNFWIILAMSIIFISLVLGLILYCVCRWQLRQGRNWEIAKPSKQDGRDEEKMYEN VLNSSPGQLPALPPRGSPFPGDLAPQEAPRQPSAWYSSVKKVRNKKVFAISGSTEPENDY DDVEIPATTETQHSKTTPFWQAEVGLHSSF >ENSMUSP00000074157.6 pep:known chromosome:GRCm38:11:70791364:70812559:-1 gene:ENSMUSG00000057135.12 transcript:ENSMUST00000074572.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scimp description:SLP adaptor and CSK interacting membrane protein [Source:MGI Symbol;Acc:MGI:3610314] MSWWRDNFWIILAMSIIFISLVLGLILYCVCRWQLRQGTGKLLSPQNRMEEMKKRCMRMF LILHQASYLLCHPGVHLFQET >ENSMUSP00000094949.4 pep:known chromosome:GRCm38:17:35185095:35188439:-1 gene:ENSMUSG00000073412.6 transcript:ENSMUST00000097336.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lst1 description:leukocyte specific transcript 1 [Source:MGI Symbol;Acc:MGI:1096324] MTMGSGNNCTTNDFLLNGSLGLGGLLLLLVIILFICLCRFSQRVKRLERNAQVSGQEPHY ASLQQLPVSSSDITDMKEDLSTDYACIARSTPT >ENSMUSP00000126917.1 pep:known chromosome:GRCm38:7:85951867:85961482:-1 gene:ENSMUSG00000090774.1 transcript:ENSMUST00000166355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r74 description:vomeronasal 2, receptor 74 [Source:MGI Symbol;Acc:MGI:3643877] MFFLNSVFWLLKISFNFGHLSDPRCFWRIKDTENKLGDKETYCFFTISTKHGYVKNDYFR WNLDKKVTPKTRHLIFSVYLALEEINKNCHILPNISLVVNIECVLDKHDEKTDFLFKSED LIPNYYCKNERRYLIVLTAPLWAVSSSIGPYLFMSRIPEVSQLYCGHFHLPLSDNEQYPH LHQISRKDTFLPLAMVSLVVHFRWNWIGVIVTNDDHGIQFLSEFRGEMQNKIVCLSFAII IKTGKFLAVTELHMNYKQILMSSAKVVIVYGYRDSPIIYAFIVWKSQDLFRIWVSVSQLD MITFLGDFLLYASTGTFIFSHQKPEISGFEQFIQRIHPSNYSSEFSLAKQWWTYFRCSLP PSNCKKLKNCSTKTVFKWLFMTPLGLAMSDTCYNIYNAMYAVAHSLHGMLLQQVDTWSKN AGKELEFDSWKMFSILKTLKFVNPAGDLVNMNQNLKQDVEFDVFYIMDFQKDYGLKMKIG RFSEHLPSGQQIYMSKEMIEWATDIDQILPSICTMPCRPGLRKSPQEGKDICCFDCKPCS ENEVSNMTNMDQCVKCPEDQYANEDHTVCLQKVVTILDYRDPLGKALAGFALCFSVLTSL VLVVFLKHRDTPIVKANNQTLSFVLLISLIFCFICSLLYIGHPTMAICILQQTTFAIVFT VATSTILSKTVIVILAFKITVPGRRMRWLLESGTPKYIIPICTIIQLILCGIWLGTSPPF VDADLHMVHGHILIVCNKGSVIAFYCVLGYMGSVALASFTVAFLARNLPDTFNEAKLLTF SMLVFCSVWITFIPVYHSTKGKTMVAVEVFCILASSAGLLLCIFAPKCYIILLRPQKNYF YKFRKRRELNLTT >ENSMUSP00000029665.5 pep:known chromosome:GRCm38:3:132085292:132180304:1 gene:ENSMUSG00000028031.6 transcript:ENSMUST00000029665.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dkk2 description:dickkopf WNT signaling pathway inhibitor 2 [Source:MGI Symbol;Acc:MGI:1890663] MAALMRVKDSSRCLLLLAAVLMVESSQLGSSRAKLNSIKSSLGGETPAQSANRSAGMNQG LAFGGSKKGKSLGQAYPCSSDKECEVGRYCHSPHQGSSACMLCRRKKKRCHRDGMCCPGT RCNNGICIPVTESILTPHIPALDGTRHRDRNHGHYSNHDLGWQNLGRPHSKMPHIKGHEG DPCLRSSDCIDGFCCARHFWTKICKPVLHQGEVCTKQRKKGSHGLEIFQRCDCAKGLSCK VWKDATYSSKARLHVCQKI >ENSMUSP00000028826.3 pep:known chromosome:GRCm38:2:132781278:132795079:1 gene:ENSMUSG00000027350.8 transcript:ENSMUST00000028826.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chgb description:chromogranin B [Source:MGI Symbol;Acc:MGI:88395] MQPAMLLGLLGAAALAAVSSAPVDNRDHNEEMVTRCIIEVLSNALSKSSVPTITPECRQV LKKSGKEVKGEEKGENQNSKFEVRLLRDPADASGTRWASSREDAGAPVEDSQGQTKVGNE KWTEGGGHSREGVDDQESLRPSNQQASKEAKIYHSEERVGKEREKEEGKIYPMGEHREDA GEEKKHIEDSGEKPNTFSNKRSEASAKKKDESVARADAHSMELEEKTHSREQSSQESGEE TRRQEKPQELTDQDQSQEESQEGEEGEEGEEGEEGEEDSASEVTKRRPRHHHGRSGSNKS SYEGHPLSEERRPSPKESKEADVATVRLGEKRSHHLAHYRASEEEPEYGEESRSYRGLQY RGRGSEEDRAPRPRSEESQEREYKRNHPDSELESTANRHGEETEEERSYEGANGRQHRGR GREPGAHSALDTREEKRLLDEGHYPVRESPIDTAKRYPQSKWQEQEKNYLNYGEEGDQGR WWQQEEQLGPEESREEVRFPDRQYEPYPITEKRKRLGALFNPYFDPLQWKNSDFEKRGNP DDSFLEDEGEDRNGVTLTEKNSFPEYNYDWWERRPFSEDVNWGYEKRSFARAPQLDLKRQ YDGVAELDQLLHYRKKADEFPDFYDSEEQMGPHQEANDEKARADQRVLTAEEKKELENLA AMDLELQKIAEKFSQRG >ENSMUSP00000048838.8 pep:known chromosome:GRCm38:15:102234938:102257517:-1 gene:ENSMUSG00000001288.14 transcript:ENSMUST00000043172.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rarg description:retinoic acid receptor, gamma [Source:MGI Symbol;Acc:MGI:97858] MATNKERLFAPGALGPGSGYPGAGFPFAFPGALRGSPPFEMLSPSFRGLGQPDLPKEMAS LSVETQSTSSEEMVPSSPSPPPPPRVYKPCFVCNDKSSGYHYGVSSCEGCKGFFRRSIQK NMVYTCHRDKNCIINKVTRNRCQYCRLQKCFEVGMSKEAVRNDRNKKKKEVKEEGSPDSY ELSPQLEELITKVSKAHQETFPSLCQLGKYTTNSSADHRVQLDLGLWDKFSELATKCIIK IVEFAKRLPGFTGLSIADQITLLKAACLDILMLRICTRYTPEQDTMTFSDGLTLNRTQMH NAGFGPLTDLVFAFAGQLLPLEMDDTETGLLSAICLICGDRMDLEEPEKVDKLQEPLLEA LRLYARRRRPSQPYMFPRMLMKITDLRGISTKGAERAITLKMEIPGPMPPLIREMLENPE MFEDDSSKPGPHPKASSEDEAPGGQGKRGQSPQPDQGP >ENSMUSP00000067266.7 pep:known chromosome:GRCm38:15:102234940:102246500:-1 gene:ENSMUSG00000001288.14 transcript:ENSMUST00000063339.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rarg description:retinoic acid receptor, gamma [Source:MGI Symbol;Acc:MGI:97858] MYDCMESFVPGPRRLYGAAGPGAGLLRRATGSSCFAGLESFAWAQPASLQSVETQSTSSE EMVPSSPSPPPPPRVYKPCFVCNDKSSGYHYGVSSCEGCKGFFRRSIQKNMVYTCHRDKN CIINKVTRNRCQYCRLQKCFEVGMSKEAVRNDRNKKKKEVKEEGSPDSYELSPQLEELIT KVSKAHQETFPSLCQLGKYTTNSSADHRVQLDLGLWDKFSELATKCIIKIVEFAKRLPGF TGLSIADQITLLKAACLDILMLRICTRYTPEQDTMTFSDGLTLNRTQMHNAGFGPLTDLV FAFAGQLLPLEMDDTETGLLSAICLICGDRMDLEEPEKVDKLQEPLLEALRLYARRRRPS QPYMFPRMLMKITDLRGISTKGAERAITLKMEIPGPMPPLIREMLENPEMFEDDSSKPGP HPKASSEDEAPGGQGKRGQSPQPDQGP >ENSMUSP00000118615.1 pep:known chromosome:GRCm38:15:102239989:102257349:-1 gene:ENSMUSG00000001288.14 transcript:ENSMUST00000135466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rarg description:retinoic acid receptor, gamma [Source:MGI Symbol;Acc:MGI:97858] MATNKERLFAPGALGPGSGYPGAGFPFAFPGALRGSPPFEMLSPSFRGLGQPDLPKEMAS LSVETQSTSSEEMVPSSPSPPPPPRVYKPCFVCNDKSSGYHYGVSSCEGCKGFFRRSIQK NMVYTCHRDKNCIINKVTRNRCQYCRLQKCFEVGMSKEAVRNDRNKKKKEVKEEGSPDSY ELSPQLEELITKVSKAHQETFPSLCQLGKYTTNSSADHRVQLDLGLW >ENSMUSP00000055391.3 pep:known chromosome:GRCm38:8:19157886:19159578:1 gene:ENSMUSG00000079842.1 transcript:ENSMUST00000058955.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag11a description:sperm associated antigen 11A [Source:MGI Symbol;Acc:MGI:1925378] MKVLLLFAVFFCFVQGNSGDIPPGIRNTVCLMQQGHCRLFMCRSGERKGDICSDPWNRCC VPYSVKDRR >ENSMUSP00000094045.2 pep:known chromosome:GRCm38:X:135742733:135747322:1 gene:ENSMUSG00000072969.2 transcript:ENSMUST00000096321.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx5 description:armadillo repeat containing, X-linked 5 [Source:MGI Symbol;Acc:MGI:2148026] MIGSKTKRKAREESGASSKPGTNSPANAKGKAKNQTTKAVKAEPKEEWGNQAEARDEAVA RTQPAISTEPKTVTWKVKKKKDKTNARVMAQAKTELPAGPALVPHTKSDALPTSVVITVT KSEVKIDTGIEASLKGAAKATDKRSIKQKPEIKKEVCVKSRAGDKAKEVCVKSSAGDKAK EVCVKSRAGDKAKEVCVKSRAGDKASIVINTTDEDEDYVCSWFWTGEEPSVGSWFWPKEE NPLQVYQPPPKVEEEPEPPDTFDYALKKKAAAWLRGRFIVLVPIEEPQPSLPPDGNWTLV ATLIETPLGIRPLTKIPPYGGPYFQTLADLKNQIREKEKYGPNPNTCRCKSRTFSLEPVD FDKLVALLKLTRDPFIHEIATMIMGISPAYPFTQDIVHDVGITVMIENFVNNPNAKKYPR TLNINANPDAPEEVKETEAHVNKVCRDILCCPLNCSVQVEELKLLVSLSVKFDYHHVVIY YVRYFISLLNKGSVKIKFQILRVLLCLSKNQANTRELISAEVMSSLVALFHKNESKANIL HIIEIFENINFQFKKRAKLFTKEMFTKSELISIFREAKEFDQKLQDLTDHSDPDVRDKVI RLILKL >ENSMUSP00000130979.1 pep:known chromosome:GRCm38:12:104387164:104394257:1 gene:ENSMUSG00000079012.11 transcript:ENSMUST00000168797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3m description:serine (or cysteine) peptidase inhibitor, clade A, member 3M [Source:MGI Symbol;Acc:MGI:98378] MAFIAALGILMAGICPTVLCFSDDTWGIDILLHKNQESGTPDDSLTLASINTDFAFSLYK KMALKNPDKNIVFSPLSISAALALVSLGAKGNTLEEILEGLKFNLTETSEADIHQGFGHL LQRLSQPEDQDQINIGNAMFIEKDLQILAEFHEKTRALYQTEAFTADFQQPTEATKLIND YVSNQTQGMIKKLISELDDRTLMVLVNYIYFKGKWKISFDPQDTFESEFYLDEKRSVKVP MMKMKFLTTRHFRDEELSCSVLELKYTGNASALFILPDQGRMQQVEASLQPETLRKWWKS LKTRKIGELYLPKFSISTDYNLKDILPELGIKEIFSKQADLSGITGTKDLSVSQVVHKAV LDVAETGTEAAAATGFIFGFRSRRLQTMTVQFNRPFLMVISHTGVQTTLFMAKVTNPK >ENSMUSP00000098639.5 pep:known chromosome:GRCm38:12:104338486:104394256:1 gene:ENSMUSG00000079012.11 transcript:ENSMUST00000101078.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3m description:serine (or cysteine) peptidase inhibitor, clade A, member 3M [Source:MGI Symbol;Acc:MGI:98378] MAFIAALGILMAGICPTVLCFSDDTWGIDILLHKNQESGTPDDSLTLASINTDFAFSLYK KMALKNPDKNIVFSPLSISAALALVSLGAKGNTLEEILEGLKFNLTETSEADIHQGFGHL LQRLSQPEDQDQINIGNAMFIEKDLQILAEFHEKTRALYQTEAFTADFQQPTEATKLIND YVSNQTQGMIKKLISELDDRTLMVLVNYIYFKGKWKISFDPQDTFESEFYLDEKRSVKVP MMKMKFLTTRHFRDEELSCSVLELKYTGNASALFILPDQGRMQQVEASLQPETLRKWWKS LKTRKIGELYLPKFSISTDYNLKDILPELGIKEIFSKQADLSGITGTKDLSVSQVVHKAV LDVAETGTEAAAATGFIFGFRSRRLQTMTVQFNRPFLMVISHTGVQTTLFMAKVTNPK >ENSMUSP00000127773.1 pep:known chromosome:GRCm38:X:142304752:142306294:-1 gene:ENSMUSG00000090122.3 transcript:ENSMUST00000134825.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne1l description:potassium voltage-gated channel, Isk-related family, member 1-like, pseudogene [Source:MGI Symbol;Acc:MGI:1913490] MNCSESQRLQTLLNRLLLELHHRGNASGLGIGTGPSMGMGVVPDPFVGREATSAKGNDAY LYILLIMIFYACLAGGLILAYTRSRKLVEAKDEPPLACVAEQEWVPAAIASADPENGQGL LAEGGHQLAAGALPALAQGAERV >ENSMUSP00000030734.4 pep:known chromosome:GRCm38:4:124714776:124732460:1 gene:ENSMUSG00000028902.4 transcript:ENSMUST00000030734.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3a3 description:splicing factor 3a, subunit 3 [Source:MGI Symbol;Acc:MGI:1922312] METILEQQRRYHEEKERLMDVMAKEMLTKKSTLRDQINSDHRTRAMQDRYMEVSGNLRDL YDDKDGLRKEELNAISGPNEFAEFYNRLKQIKEFHRKHPNEICVPMSVEFEELLKARENP SEEAQNLVEFTDEEGYGRYLDLHDCYLKYINLKASEKLDYITYLSIFDQLFDIPKERKNA EYKRYLEMLLEYLQDYTDRVKPLQDQNELFGKIQTDFEKKWDNGTFPGWPKETSSALTHA GAHLDLSAFSSWEELASLGLDRLKSALLALGLKCGGTLEERAQRLFSTKGKSLESLDTSL FAKNPKSKGTKRDTERNKDIAFLEAQIYEYVEILGEQRQLTHENVQRKQARTGEEREEEE EEQISESESEDEENEIIYNPKNLPLGWDGKPIPYWLYKLHGLNINYNCEICGNYTYRGPK AFQRHFAEWRHAHGMRCLGIPNTAHFANVTQIEDAVSLWAKLKLQKASERWQPDTEEEYE DSSGNVVNKKTYEDLKRQGLL >ENSMUSP00000105624.1 pep:known chromosome:GRCm38:11:3895240:3899353:1 gene:ENSMUSG00000047205.12 transcript:ENSMUST00000109996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp18 description:dual specificity phosphatase 18 [Source:MGI Symbol;Acc:MGI:1922469] MTSPWSAFPVQIPQPSIRGLSQITKSLFISNGVAANNKLLLSSNQITTVINVSVEVANTF YEDIQYVQVPVVDAPVARLSNFFDSVADRIHSVEMQKGRTLLHCAAGVSRSAALCLAYLM KYHAMSLVDAHTWTKSCRPIIRPNSGFWEQLIHYELQLFGKNTMQMMDSPMGRIPDIYEK ETRLMIPL >ENSMUSP00000057346.4 pep:known chromosome:GRCm38:11:3895240:3901296:1 gene:ENSMUSG00000047205.12 transcript:ENSMUST00000055931.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp18 description:dual specificity phosphatase 18 [Source:MGI Symbol;Acc:MGI:1922469] MTSPWSAFPVQIPQPSIRGLSQITKSLFISNGVAANNKLLLSSNQITTVINVSVEVANTF YEDIQYVQVPVVDAPVARLSNFFDSVADRIHSVEMQKGRTLLHCAAGVSRSAALCLAYLM KYHAMSLVDAHTWTKSCRPIIRPNSGFWEQLIHYELQLFGKNTMQMMDSPMGRIPDIYEK ETRLMIPL >ENSMUSP00000025262.5 pep:known chromosome:GRCm38:17:35194439:35196302:1 gene:ENSMUSG00000024399.5 transcript:ENSMUST00000025262.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltb description:lymphotoxin B [Source:MGI Symbol;Acc:MGI:104796] MGTRGLQGLGGRPQGRGCLLLAVAGATSLVTLLLAVPITVLAVLALVPQDQGRRVEKIIG SGAQAQKRLDDSKPSCILPSPSSLSETPDPRLHPQRSNASRNLASTSQGPVAQSSREASA WMTILSPAADSTPDPGVQQLPKGEPETDLNPELPAAHLIGAWMSGQGLSWEASQEEAFLR SGAQFSPTHGLALPQDGVYYLYCHVGYRGRTPPAGRSRARSLTLRSALYRAGGAYGRGSP ELLLEGAETVTPVVDPIGYGSLWYTSVGFGGLAQLRSGERVYVNISHPDMVDYRRGKTFF GAVMVG >ENSMUSP00000134706.1 pep:known chromosome:GRCm38:17:35194626:35196320:1 gene:ENSMUSG00000024399.5 transcript:ENSMUST00000173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltb description:lymphotoxin B [Source:MGI Symbol;Acc:MGI:104796] MTILSPAADSTPDPGVQQLPKGEPETDLNPELPAAHLIGAWMSGQGLSWEASQEEAFLRS GAQFSPTHGLALPQDGVYYLYCHVGYRGRTPPAGRSRARSLTLRSALYRAGGAYGRGSPE LLLEGAETVTPVVDPIGYGSLWYTSVGFGGLAQLRSGERVYVNISHPDMVDYRRGKTFFG AVMVG >ENSMUSP00000060414.7 pep:known chromosome:GRCm38:5:31251706:31253197:1 gene:ENSMUSG00000029149.14 transcript:ENSMUST00000054829.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtcap3 description:keratinocyte associated protein 3 [Source:MGI Symbol;Acc:MGI:1917065] MRCCGVCAFDAARGPRRLMRVGLALILVGHVNLLVGAVLHGTVLRHVANPRGAVTPEYTT ANVISVGSGLLSVSVGLVALLASRNLLRPRLHWALLTLALVNLLLSAACSMGLLLAVSLT VANGGRRLIADCHPGLMDPSIPLDQGPGHTDCSFDPTRIYDTALALWIPSLFMSAAEAAL SGYCCVAALTLRGIGPCRKEGLQEQLQELTELELPECKRQENVQLLHGRQDFQALQKTWV >ENSMUSP00000144052.1 pep:known chromosome:GRCm38:5:31251722:31253202:1 gene:ENSMUSG00000029149.14 transcript:ENSMUST00000201625.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtcap3 description:keratinocyte associated protein 3 [Source:MGI Symbol;Acc:MGI:1917065] MRCCGVCAFDAARGPRRLMRVGLALILVGHVNLLVGAVLHGTVLRHVANPRGAVTPEYTT ANVISVGSGLLSVSVGLVALLASRNLLRPRLHWALLTLALVNLLLSAACSMGLLLAVSLT VANGGRRLIADCHPGLMDPSIPLDQGPGHTDCSFDPTRIYDTALALWIPSLFMSAAEAAL SGYCCVAALTLRGIGPCRKEGLQEQLQELTELELPECKRQENVQLLHGRQDFQALQKTWV >ENSMUSP00000144464.1 pep:known chromosome:GRCm38:5:31251725:31253197:1 gene:ENSMUSG00000029149.14 transcript:ENSMUST00000201937.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtcap3 description:keratinocyte associated protein 3 [Source:MGI Symbol;Acc:MGI:1917065] MRCCGVCAFDAARGPRRLMRVGLALILVGHVNLLVGAVLHGTVLRHVANPRGAVTPEYTT ANVISVGSGLLSVSVGLVALLASRNLLRPRLHWALLTLALVNLLLSAACSMGLLLAVSLT VANGGRRLIADCHPGLMDPSIPLDQGPGHTDCSFDPTRIYDTALALWIPSLFMSAAEAAL SGYCCVAALTLRGIGPCRKEGLQEQLQELTELELPECKRQENVQLLHGRQDFQALQKTWV >ENSMUSP00000030792.1 pep:known chromosome:GRCm38:4:152027914:152038568:-1 gene:ENSMUSG00000028950.3 transcript:ENSMUST00000030792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas1r1 description:taste receptor, type 1, member 1 [Source:MGI Symbol;Acc:MGI:1927505] MLFWAAHLLLSLQLAVAYCWAFSCQRTESSPGFSLPGDFLLAGLFSLHADCLQVRHRPLV TSCDRSDSFNGHGYHLFQAMRFTVEEINNSTALLPNITLGYELYDVCSESSNVYATLRVL AQQGTGHLEMQRDLRNHSSKVVALIGPDNTDHAVTTAALLSPFLMPLVSYEASSVILSGK RKFPSFLRTIPSDKYQVEVIVRLLQSFGWVWISLVGSYGDYGQLGVQALEELATPRGICV AFKDVVPLSAQAGDPRMQRMMLRLARARTTVVVVFSNRHLAGVFFRSVVLANLTGKVWIA SEDWAISTYITNVPGIQGIGTVLGVAIQQRQVPGLKEFEESYVQAVMGAPRTCPEGSWCG TNQLCRECHAFTTWNMPELGAFSMSAAYNVYEAVYAVAHGLHQLLGCTSGTCARGPVYPW QLLQQIYKVNFLLHKKTVAFDDKGDPLGYYDIIAWDWNGPEWTFEVIGSASLSPVHLDIN KTKIQWHGKNNQVPVSVCTRDCLEGHHRLVMGSHHCCFECMPCEAGTFLNTSELHTCQPC GTEEWAPEGSSACFSRTVEFLGWHEPISLVLLAANTLLLLLLIGTAGLFAWRLHTPVVRS AGGRLCFLMLGSLVAGSCSLYSFFGKPTVPACLLRQPLFSLGFAIFLSCLTIRSFQLVII FKFSTKVPTFYHTWAQNHGAGIFVIVSSTVHLFLCLTWLAMWTPRPTREYQRFPHLVILE CTEVNSVGFLVAFAHNILLSISTFVCSYLGKELPENYNEAKCVTFSLLLHFVSWIAFFTM SSIYQGSYLPAVNVLAGLATLSGGFSGYFLPKCYVILCRPELNNTEHFQASIQDYTRRCG TT >ENSMUSP00000100595.1 pep:known chromosome:GRCm38:5:142525838:142530076:-1 gene:ENSMUSG00000074817.2 transcript:ENSMUST00000099400.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papolb description:poly (A) polymerase beta (testis specific) [Source:MGI Symbol;Acc:MGI:1932115] MMPFAVTTQGAQQPAPAPKQFGISSPISLAAPKDTDRELTQKLIETLQPFGVFEEEEELQ RRILILQKLNNLVKEWIREISESRNLPQAVIENVGGKIFTFGSYRLGVHTKGADIDALCV APRHVDRNDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIP EDLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDSFRLTLRAIKLWAKCHNIYSN ILGFLGGVSWAMLVARTCQLYPNAIASTLVRKFFLVFSEWEWPNPVLLKEPEERNLNLPV WDPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMIEEFKQGLAITHEILLNKAEWS KLFEAPSFFQKYKHYIVLLASAPTEKQHLEWVGLVESKIRILVGSLEKNEFITLAHVNPQ SFPAPKETADKEEFRTMWVIGLVLKKPENSEILSIDLTYDIQSFTDTVYRQAINSKMFEM DMKIAAMHLRRKELHQLLPNHVLQKKETHLTESVRLTAVTDSSLLLSIDSENSMTAPSPT GTMKTGPLTGNPQGRNSPALAVMAASVTNIQFPDVSLQHVNPIESSGIALSESIPQIPSQ PTISPPPKPTMTRVVSSTHLVNHPSRPSGNTATNIPNPILGV >ENSMUSP00000081764.5 pep:known chromosome:GRCm38:9:123789886:123851566:-1 gene:ENSMUSG00000025241.15 transcript:ENSMUST00000084715.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyco1 description:FYVE and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:107277] MASSSTETQLQRIIRDLQDAATELSHEFKEGGEPITDDSTSLHKFSYKLEYLLQFDQKEK ASLLGSKKDYWDYFCACLAKVKGANDGIRFVRSISELRTSLGKGRAFIRYSLVHQRLADT LQQCFMNTKVTSDWYYARSPFLKPKLSSDIVGQLYELTEVQFDLAPRGYDLDAAWPTFAR RTLATSTSAYMWKPPSRSSSMSSLVSNYLQTQEMASSLDLNCSLNNEALESFDEMRLELD QLEVREKQLQERVQQLDRENQALRMLVSRQGGQLQVEKEMGYLAVEDSIGLVSLVAELQK QGDVSQATVKKLQSCLQALELNVDKKEYSPSALQLENMAKELDTVRGSLGRENQLLASLS ERLARAEKGEKTPPDTELHQEPVPADLVLKFQELKGKLQALEGENTEAQELNRQQSIKLE QLAKELQLKEEARASLAHLVKDVVPLQEELSGKKQESAQLRRQLQESLAHLSSVEEELAE ARQQEKQHREEKQLLEQEATSLTWQLQLLETQLGQVSQLVSDLEEQKKQLMQERDHLSQR VGTLEQLAEVHGPPQSAEMPEKRQQCLREEQVNNSTVSEAEQEELQKELQNMVDRNQLLE GKLQALQTDYKALQQREAAIQGSLASLEAEQASIRHLGNQMEASLLAVKKAKETMKAQVA EKEAALQSKESECQRLQEEADQCRLQAEAQAQELRALENQCQQQIQLIEVLSAEKGQQGL SLPQVNTDQLALSQAQLEIHQGEAQRLQNEVVDLQAKLQVALGDRDKLQSQLGVAETVLR EHKTLVQQLKEQNEALNRAHVQELLQCSEREGILQEESIYKAQKQEQELRALQAELSQVR CSSEGAHLEHAELQDQLHRANTDTAELGIQVCALTAEKDRMEEALASLAQELQDSKEAAL QERKGLELQVMQLQQEKEKLQEKVKAAEEAASSFSGLQAQLAQAEQLAQSLQETAHQEQD ALKFQLSAEIMDHQNRLKTANEECGHLRAQLEEQGQQLQMTKEAVQELEITKAAMEEKLN CTSSHLAECQATLLRKDEESTMLQTSLERTQKELEKATSKIQEYYNKLCQEVTNRERNDQ KMLADLDDLNRTKKYLEERLIELLRDKDALWQKSDALEFQQKLSAEEKCLGDMEVNHCHD CKREFSWIVRRHHCRICGRIFCYYCCNNYVVTKPSGKKERCCRACFQKFGEGSGSNDSSG SGTSQGEPSPMVSPAEASPQSIGSQGINSVCRPPDDAVFDIITDEELCQIQESGSSLPET PTETDSMDPNTAEQDTTSNSLTPEDTEDVPMGQDAEICLLKSGELMIKLPLTVEEVASFG EGSRELFVRSSTYSLITITVAEPGLTISWVFSSDPKSISFSVVFQETEDTPLDQCKVLIP TTRCNSHKENIRGQLKVRIPGIYLLIFDNTFSRFISKKVLYHLTVDRPVIYDGSDFP >ENSMUSP00000139343.1 pep:known chromosome:GRCm38:9:123830013:123851881:-1 gene:ENSMUSG00000025241.15 transcript:ENSMUST00000184082.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyco1 description:FYVE and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:107277] MASSSTETQLQRIIRDLQDAATELSHEFKEGGEPITDDSTSLHKFSYKLEYLLQFDQKEK ASLLGSKKDYWDYFCACLAKVKGANDGIRFVRSISELRTSLGKGRAFIRYSLVHQRLADT LQQCFMNTKVTSDWYYARSPFLKPKLSSDIVGQLYELTEVQFDLAPRGYDLDAAWPTFAR RTLATSTSAYMWKPPSRSSSMSSLVSNYLQTQEMASSLDLNCSLNNEALESFDEMRLELD QLEVREKQLQERVQQLDRENQALRMLVSRQGGQLQVEKEMGYLAVEDSIGLVSLVAELQK QGDVSQATVKKLQSCLQALELNVDKKEYSPSALQLENMAKELDTVRGSLGRENQLLASLS ERLARA >ENSMUSP00000133222.2 pep:known chromosome:GRCm38:9:123789510:123851899:-1 gene:ENSMUSG00000025241.15 transcript:ENSMUST00000167595.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyco1 description:FYVE and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:107277] MASSSTETQLQRIIRDLQDAATELSHEFKEGGEPITDDSTSLHKFSYKLEYLLQFDQKEK ASLLGSKKDYWDYFCACLAKVKGANDGIRFVRSISELRTSLGKGRAFIRYSLVHQRLADT LQQCFMNTKVTSDWYYARSPFLKPKLSSDIVGQLYELTEVQFDLAPRGYDLDAAWPTFAR RTLATSTSAYMWKPPSRSSSMSSLVSNYLQTQEMASSLDLNCSLNNEALESFDEMRLELD QLEVREKQLQERVQQLDRENQALRMLVSRQGGQLQVEKEMGYLAVEDSIGLVSLVAELQK QGDVSQATVKKLQSCLQALELNVDKKEYSPSALQLENMAKELDTVRGSLGRENQLLASLS ERLARAEKGEKTPPDTELHQEPVPADLVLKFQELKGKLQALEGENTEAQELNRQQSIKLE QLAKELQLKEEARASLAHLVKDVVPLQEELSGKKQESAQLRRQLQESLAHLSSVEEELAE ARQQEKQHREEKQLLEQEATSLTWQLQLLETQLGQVSQLVSDLEEQKKQLMQERDHLSQR VGTLEQLAEVHGPPQSAEMPEKRQQCLREEQVNNSTVSEAEQEELQKELQNMVDRNQLLE GKLQALQTDYKALQQREAAIQGSLASLEAEQASIRHLGNQMEASLLAVKKAKETMKAQVA EKEAALQSKESECQRLQEEADQCRLQAEAQAQELRALENQCQQQIQLIEVLSAEKGQQGL SLPQVNTDQLALSQAQLEIHQGEAQRLQNEVVDLQAKLQVALGDRDKLQSQLGVAETVLR EHKTLVQQLKEQNEALNRAHVQELLQCSEREGILQEESIYKAQKQEQELRALQAELSQVR CSSEGAHLEHAELQDQLHRANTDTAELGIQVCALTAEKDRMEEALASLAQELQDSKEAAL QERKGLELQVMQLQQEKEKLQEKVKAAEEAASSFSGLQAQLAQAEQLAQSLQETAHQEQD ALKFQLSAEIMDHQNRLKTANEECGHLRAQLEEQGQQLQMTKEAVQELEITKAAMEEKLN CTSSHLAECQATLLRKDEESTMLQTSLERTQKELEKATSKIQEYYNKLCQEVTNRERNDQ KMLADLDDLNRTKKYLEERLIELLRDKDALWQKSDALEFQQKLSAEEKCLGDMEVNHCHD CKREFSWIVRRHHCRICGRIFCYYCCNNYVVTKPSGKKERCCRACFQKFGEGSGSNDSSG SGTSQGEPSPMVSPAEASPQSIGSQGINSVCRPPDDAVFDIITDEELCQIQESGSSLPET PTETDSMDPNTAEQDTTSNSLTPEDTEDVPMGQDAEICLLKSGELMIKLPLTVEEVASFG EGSRELFVRSSTYSLITITVAEPGLTISWVFSSDPKSISFSVVFQETEDTPLDQCKVLIP TTRCNSHKENIRGQLKVRIPGIYLLIFDNTFSRFISKKVLYHLTVDRPVIYDGSDFP >ENSMUSP00000030254.8 pep:known chromosome:GRCm38:4:101647718:101659364:1 gene:ENSMUSG00000035212.14 transcript:ENSMUST00000030254.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leprot description:leptin receptor overlapping transcript [Source:MGI Symbol;Acc:MGI:2687005] MAGVKALVALSFSGAIGLTFLMLGCALEDYGVYWPLFVLIFYVISPIPYFIAKRVTYDSD ATSSACRELAYFFTTGIVVSAFGLPVVLARVDVIKWGACGLVLAGNAVIFLTIQGFFLVF GRGDDFSWEQW >ENSMUSP00000102540.1 pep:known chromosome:GRCm38:4:101647793:101659364:1 gene:ENSMUSG00000035212.14 transcript:ENSMUST00000106927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leprot description:leptin receptor overlapping transcript [Source:MGI Symbol;Acc:MGI:2687005] MAGVKALVALSFSGAIGLTFLMLGCALEDYGVTYDSDATSSACRELAYFFTTGIVVSAFG LPVVLARVDVIKWGACGLVLAGNAVIFLTIQGFFLVFGRGDDFSWEQW >ENSMUSP00000108769.1 pep:known chromosome:GRCm38:X:135742733:135803474:1 gene:ENSMUSG00000043384.15 transcript:ENSMUST00000113144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprasp1 description:G protein-coupled receptor associated sorting protein 1 [Source:MGI Symbol;Acc:MGI:1917418] MTRAEVEPGAQAKAENKPGDENANAAEVEPEAPLVVRPKVRTQIMTGARPKVKPKGTPGA RPKGETSTPGGAYAKCKPKAIPIARSKHDAQVWAPNKFRGESMSKMGKQCQISAADPPLL SNDSGMVAQAKCLPVDRELANMDTESIPKKANSPAGFQPSYGSEEGTNMGSWYRARPVPK GEAYENSDFKWADKPSGSPSFWNRDEASTRFRPRKSMKANNRFRHMAKQEANTMPRHKNK QEFYNISSSDSEDESGKTPWFWPKDKTKVWSKPKEEPNSRSWFRSKKEVRVESTSGSECE NPTKSLFWSGEEAKSRSKPRARKGVNMRARQQAKREACSDAMSGAIDTNKKESWFLPEEK ANVFSKSKTKKEPRTRAVPKEEVKTKARASTKQEARPEEEVLVGAWFWDTQESTMADRIS IKTTFVEEEPIVGDWFWSEEEASVDSETCHTSRPRAKEEQVSSFCLGSGKKSSMESGPKA TSKSMPVAKEDEVVIGSWFWADDEEINLQADDESIFGSWFWGTGENSLRSVGVNCEKMPK AGEKEVTDSWFWAGDVNTEAEVEEQARSASTKATIFVPWFWSEKQPNMDLGSEPCSDIMA GAEEEPIIGPWFWAKVDNSVEAEVNSKSSLEDEEEPIRSPWFGAREQTDMKYAAGIRYKP MAEAEDANKKSCVWAKEPCLYPTNRECLKSTLGEKEDTVDPWLWSNNYPRTKTITGSWLW AAEEGNIDDETGEKIKLPTLEDNAFNSWFWKENEESIVEAPKREEFRPEAEEEDIIGSWF WAGDEDRFEPAAKINEENKIASEDEDTVGSWFWGNEEASLEAVRRGTFESAPGIKEEKVT GSWFWTDKAKVGAGSQTVETGSETEEEAIFESLIWAAKKDSIQAGVKRVSKPKDDGNIAV GSWLWSSDKATKEAKTLIVSEASPENGKESVVKFGSRAKDEVINKTGSGDNCKHSTEAET IVGAWFWEGDEASFESNPVPVCKAVCEPESSAEHEPDPSRRPQSWDEVTVQFKAGPWGKA GFPPMNPFRFPKEAASLFAEMFGGKPKLVEVGPEREPEPQFPFQYDPSYRSVREIREHLK ARESAQPENWSCNCIQCELRIGSEEFEELLLLMDRNRDPFIHEISKIAMGMRGASQFTRD FIRNSGVVSLIEALLNYPSSRVRTRFLENMVRMAPPYPDLNMIETYVCQICEDTFDYDLD SPDQLSGLTMITHLTATSDYHKVVVNYLAGFFYLLNSGNTKTRFHVLKLLLNLSENLVMT KRLLVTDSVSEFMDLINREESDENIQIVLAIFETISKHIQKEALFSDDDDDDEEEDAVNL EPFISAFREAEKIAKELKRKPGNQKAP >ENSMUSP00000108772.1 pep:known chromosome:GRCm38:X:135742733:135803474:1 gene:ENSMUSG00000043384.15 transcript:ENSMUST00000113147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprasp1 description:G protein-coupled receptor associated sorting protein 1 [Source:MGI Symbol;Acc:MGI:1917418] MTRAEVEPGAQAKAENKPGDENANAAEVEPEAPLVVRPKVRTQIMTGARPKVKPKGTPGA RPKGETSTPGGAYAKCKPKAIPIARSKHDAQVWAPNKFRGESMSKMGKQCQISAADPPLL SNDSGMVAQAKCLPVDRELANMDTESIPKKANSPAGFQPSYGSEEGTNMGSWYRARPVPK GEAYENSDFKWADKPSGSPSFWNRDEASTRFRPRKSMKANNRFRHMAKQEANTMPRHKNK QEFYNISSSDSEDESGKTPWFWPKDKTKVWSKPKEEPNSRSWFRSKKEVRVESTSGSECE NPTKSLFWSGEEAKSRSKPRARKGVNMRARQQAKREACSDAMSGAIDTNKKESWFLPEEK ANVFSKSKTKKEPRTRAVPKEEVKTKARASTKQEARPEEEVLVGAWFWDTQESTMADRIS IKTTFVEEEPIVGDWFWSEEEASVDSETCHTSRPRAKEEQVSSFCLGSGKKSSMESGPKA TSKSMPVAKEDEVVIGSWFWADDEEINLQADDESIFGSWFWGTGENSLRSVGVNCEKMPK AGEKEVTDSWFWAGDVNTEAEVEEQARSASTKATIFVPWFWSEKQPNMDLGSEPCSDIMA GAEEEPIIGPWFWAKVDNSVEAEVNSKSSLEDEEEPIRSPWFGAREQTDMKYAAGIRYKP MAEAEDANKKSCVWAKEPCLYPTNRECLKSTLGEKEDTVDPWLWSNNYPRTKTITGSWLW AAEEGNIDDETGEKIKLPTLEDNAFNSWFWKENEESIVEAPKREEFRPEAEEEDIIGSWF WAGDEDRFEPAAKINEENKIASEDEDTVGSWFWGNEEASLEAVRRGTFESAPGIKEEKVT GSWFWTDKAKVGAGSQTVETGSETEEEAIFESLIWAAKKDSIQAGVKRVSKPKDDGNIAV GSWLWSSDKATKEAKTLIVSEASPENGKESVVKFGSRAKDEVINKTGSGDNCKHSTEAET IVGAWFWEGDEASFESNPVPVCKAVCEPESSAEHEPDPSRRPQSWDEVTVQFKAGPWGKA GFPPMNPFRFPKEAASLFAEMFGGKPKLVEVGPEREPEPQFPFQYDPSYRSVREIREHLK ARESAQPENWSCNCIQCELRIGSEEFEELLLLMDRNRDPFIHEISKIAMGMRGASQFTRD FIRNSGVVSLIEALLNYPSSRVRTRFLENMVRMAPPYPDLNMIETYVCQICEDTFDYDLD SPDQLSGLTMITHLTATSDYHKVVVNYLAGFFYLLNSGNTKTRFHVLKLLLNLSENLVMT KRLLVTDSVSEFMDLINREESDENIQIVLAIFETISKHIQKEALFSDDDDDDEEEDAVNL EPFISAFREAEKIAKELKRKPGNQKAP >ENSMUSP00000108770.1 pep:known chromosome:GRCm38:X:135742733:135803474:1 gene:ENSMUSG00000043384.15 transcript:ENSMUST00000113145.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprasp1 description:G protein-coupled receptor associated sorting protein 1 [Source:MGI Symbol;Acc:MGI:1917418] MTRAEVEPGAQAKAENKPGDENANAAEVEPEAPLVVRPKVRTQIMTGARPKVKPKGTPGA RPKGETSTPGGAYAKCKPKAIPIARSKHDAQVWAPNKFRGESMSKMGKQCQISAADPPLL SNDSGMVAQAKCLPVDRELANMDTESIPKKANSPAGFQPSYGSEEGTNMGSWYRARPVPK GEAYENSDFKWADKPSGSPSFWNRDEASTRFRPRKSMKANNRFRHMAKQEANTMPRHKNK QEFYNISSSDSEDESGKTPWFWPKDKTKVWSKPKEEPNSRSWFRSKKEVRVESTSGSECE NPTKSLFWSGEEAKSRSKPRARKGVNMRARQQAKREACSDAMSGAIDTNKKESWFLPEEK ANVFSKSKTKKEPRTRAVPKEEVKTKARASTKQEARPEEEVLVGAWFWDTQESTMADRIS IKTTFVEEEPIVGDWFWSEEEASVDSETCHTSRPRAKEEQVSSFCLGSGKKSSMESGPKA TSKSMPVAKEDEVVIGSWFWADDEEINLQADDESIFGSWFWGTGENSLRSVGVNCEKMPK AGEKEVTDSWFWAGDVNTEAEVEEQARSASTKATIFVPWFWSEKQPNMDLGSEPCSDIMA GAEEEPIIGPWFWAKVDNSVEAEVNSKSSLEDEEEPIRSPWFGAREQTDMKYAAGIRYKP MAEAEDANKKSCVWAKEPCLYPTNRECLKSTLGEKEDTVDPWLWSNNYPRTKTITGSWLW AAEEGNIDDETGEKIKLPTLEDNAFNSWFWKENEESIVEAPKREEFRPEAEEEDIIGSWF WAGDEDRFEPAAKINEENKIASEDEDTVGSWFWGNEEASLEAVRRGTFESAPGIKEEKVT GSWFWTDKAKVGAGSQTVETGSETEEEAIFESLIWAAKKDSIQAGVKRVSKPKDDGNIAV GSWLWSSDKATKEAKTLIVSEASPENGKESVVKFGSRAKDEVINKTGSGDNCKHSTEAET IVGAWFWEGDEASFESNPVPVCKAVCEPESSAEHEPDPSRRPQSWDEVTVQFKAGPWGKA GFPPMNPFRFPKEAASLFAEMFGGKPKLVEVGPEREPEPQFPFQYDPSYRSVREIREHLK ARESAQPENWSCNCIQCELRIGSEEFEELLLLMDRNRDPFIHEISKIAMGMRGASQFTRD FIRNSGVVSLIEALLNYPSSRVRTRFLENMVRMAPPYPDLNMIETYVCQICEDTFDYDLD SPDQLSGLTMITHLTATSDYHKVVVNYLAGFFYLLNSGNTKTRFHVLKLLLNLSENLVMT KRLLVTDSVSEFMDLINREESDENIQIVLAIFETISKHIQKEALFSDDDDDDEEEDAVNL EPFISAFREAEKIAKELKRKPGNQKAP >ENSMUSP00000132225.1 pep:known chromosome:GRCm38:X:135797588:135803466:1 gene:ENSMUSG00000043384.15 transcript:ENSMUST00000166554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprasp1 description:G protein-coupled receptor associated sorting protein 1 [Source:MGI Symbol;Acc:MGI:1917418] MTRAEVEPGAQAKAENKPGDENANAAEVEPEAPLVVRPKVRTQIMTGARPKVKPKGTPGA RPKGETSTPGGAYAKCKPKAIPIARSKHDAQVWAPNKFRGESMSKMGKQCQISAADPPLL SNDSGMVAQAKCLPVDRELANMDTESIPKKANSPAGFQPSYGSEEGTNMGSWYRARPVPK GEAYENSDFKWADKPSGSPSFWNRDEASTRFRPRKSMKANNRFRHMAKQEANTMPRHKNK QEFYNISSSDSEDESGKTPWFWPKDKTKVWSKPKEEPNSRSWFRSKKEVRVESTSGSECE NPTKSLFWSGEEAKSRSKPRARKGVNMRARQQAKREACSDAMSGAIDTNKKESWFLPEEK ANVFSKSKTKKEPRTRAVPKEEVKTKARASTKQEARPEEEVLVGAWFWDTQESTMADRIS IKTTFVEEEPIVGDWFWSEEEASVDSETCHTSRPRAKEEQVSSFCLGSGKKSSMESGPKA TSKSMPVAKEDEVVIGSWFWADDEEINLQADDESIFGSWFWGTGENSLRSVGVNCEKMPK AGEKEVTDSWFWAGDVNTEAEVEEQARSASTKATIFVPWFWSEKQPNMDLGSEPCSDIMA GAEEEPIIGPWFWAKVDNSVEAEVNSKSSLEDEEEPIRSPWFGAREQTDMKYAAGIRYKP MAEAEDANKKSCVWAKEPCLYPTNRECLKSTLGEKEDTVDPWLWSNNYPRTKTITGSWLW AAEEGNIDDETGEKIKLPTLEDNAFNSWFWKENEESIVEAPKREEFRPEAEEEDIIGSWF WAGDEDRFEPAAKINEENKIASEDEDTVGSWFWGNEEASLEAVRRGTFESAPGIKEEKVT GSWFWTDKAKVGAGSQTVETGSETEEEAIFESLIWAAKKDSIQAGVKRVSKPKDDGNIAV GSWLWSSDKATKEAKTLIVSEASPENGKESVVKFGSRAKDEVINKTGSGDNCKHSTEAET IVGAWFWEGDEASFESNPVPVCKAVCEPESSAEHEPDPSRRPQSWDEVTVQFKAGPWGKA GFPPMNPFRFPKEAASLFAEMFGGKPKLVEVGPEREPEPQFPFQYDPSYRSVREIREHLK ARESAQPENWSCNCIQCELRIGSEEFEELLLLMDRNRDPFIHEISKIAMGMRGASQFTRD FIRNSGVVSLIEALLNYPSSRVRTRFLENMVRMAPPYPDLNMIETYVCQICEDTFDYDLD SPDQLSGLTMITHLTATSDYHKVVVNYLAGFFYLLNSGNTKTRFHVLKLLLNLSENLVMT KRLLVTDSVSEFMDLINREESDENIQIVLAIFETISKHIQKEALFSDDDDDDEEEDAVNL EPFISAFREAEKIAKELKRKPGNQKAP >ENSMUSP00000042095.3 pep:known chromosome:GRCm38:12:104338486:104346144:1 gene:ENSMUSG00000058207.6 transcript:ENSMUST00000043058.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3k description:serine (or cysteine) peptidase inhibitor, clade A, member 3K [Source:MGI Symbol;Acc:MGI:98377] MAFIVAMGMILMAGICPAVLCFPDGTKEMDIVFHEHQDNGTQDDSLTLASVNTDFAFSLY KKLALKNQDKNIVFSPLSISAALALVSLGAKGKTMEEILEGLKFNLTETPEADIHQGFGN LLQSLSQPEDQDQINIGNAMFIEKDLQILAEFHEKTRALYQTEAFTADFQQPTEAKNLIN DYVSNQTQGMIKKLISELDDGTLMVLVNYIYFKGKWKISFDPQDTFESEFYLDEKRSVKV PMMKMKLLTARHFRDEELSCSVLELKYTGNASALLILPDQGRMQQVEASLQPETLRKWRK TLFSSQIEELNLPKFSIASDYRLEEDVLPEMGIKEVFTEQADLSGITEAKKLSVSQVVHK AVLDVAETGTEAAAATGVIGGIRKAVLPAVCFNRPFLIVIYHTSAQSILFMAKVNNPK >ENSMUSP00000135227.1 pep:known chromosome:GRCm38:7:110800614:110821337:-1 gene:ENSMUSG00000030788.15 transcript:ENSMUST00000176210.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf141 description:ring finger protein 141 [Source:MGI Symbol;Acc:MGI:1914400] XECCICMDGRADLILPCAHSFCQKCIDK >ENSMUSP00000134781.1 pep:known chromosome:GRCm38:7:110813531:110844457:-1 gene:ENSMUSG00000030788.15 transcript:ENSMUST00000177236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf141 description:ring finger protein 141 [Source:MGI Symbol;Acc:MGI:1914400] MGQQISDQTQLVINKLPEKVAKHVTLVRESGSLTYEEFLGRVAELNDVTAKVAAGQEKHL LFEVQPGSDSSAFWKVVVRVVCTKINKSSGIVEASRIMNLYQFIQLYKDITSQAAGVLAQ SSTSEEPDENPSSVTSCQASLWMGRVKQLTDEEECCICMDGRADLILPCAHSFCQKCIDK WSDRHRNCPICRLQMTGANESWVVSDAPTEDDMANYILNMADEAGQPHRP >ENSMUSP00000135123.1 pep:known chromosome:GRCm38:7:110815146:110837529:-1 gene:ENSMUSG00000030788.15 transcript:ENSMUST00000175981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf141 description:ring finger protein 141 [Source:MGI Symbol;Acc:MGI:1914400] MNLYQFIQLYKDITSQAAGVLAQSSTSEEPDENPSSVTSCQASLWMGRVKQLTDEEECCI CMDGRADLILPCAHSFCQKCIDKWSDRHRNCPICRLQMTGANESWVVSDAPTEDDMANYI LNMADEAGQPHRP >ENSMUSP00000134917.1 pep:known chromosome:GRCm38:7:110815583:110844350:-1 gene:ENSMUSG00000030788.15 transcript:ENSMUST00000177462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf141 description:ring finger protein 141 [Source:MGI Symbol;Acc:MGI:1914400] MGQQISDQTQLVINKLPEKVAKHVTLVRESGSLTYEEFLGRVAELNDVTAKVAAGQEKHL LFEVQPGSDSSAFWKVVVRVVCTKINKSSGIVEASRIMNLYQFIQLYKDITSQAAGVLAQ SSTSEEPDENPSSVTSCQASLWMGRVKQLTDEEECCICMDGRADLILPCAHSFCQKCIDK >ENSMUSP00000135169.1 pep:known chromosome:GRCm38:7:110816456:110821283:-1 gene:ENSMUSG00000030788.15 transcript:ENSMUST00000175648.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf141 description:ring finger protein 141 [Source:MGI Symbol;Acc:MGI:1914400] XSFCQKCIDKCDRHRNCPICRLQMTGANESWVVSDAPTEDDMANYILNMADEAGQPHRP >ENSMUSP00000102293.3 pep:known chromosome:GRCm38:7:110816671:110844126:-1 gene:ENSMUSG00000030788.15 transcript:ENSMUST00000106682.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf141 description:ring finger protein 141 [Source:MGI Symbol;Acc:MGI:1914400] MGQQISDQTQLVINKLPEKVAKHVTLVRESGSLTYEEFLGRVAELNDVTAKVAAGQEKHL LFEVQPGSDSSAFWKVVVRVVCTKINKSSGIVEASRIMNLYQFIQLYKDITSQAAGVLAQ SSTSEEPDENPSSVTSCQASLWMGRVKQLTDEEECCICMDGRADLILPCAHSFCQKCIDK WSDRHRNCPICRLQMTGANESWVVSDAPTEDDMANYILNMADEAGQPHRP >ENSMUSP00000135389.1 pep:known chromosome:GRCm38:7:110825297:110844444:-1 gene:ENSMUSG00000030788.15 transcript:ENSMUST00000176746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf141 description:ring finger protein 141 [Source:MGI Symbol;Acc:MGI:1914400] MNLYQFIQLYKDITSQAAGVL >ENSMUSP00000134958.1 pep:known chromosome:GRCm38:7:110833762:110844157:-1 gene:ENSMUSG00000030788.15 transcript:ENSMUST00000177520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf141 description:ring finger protein 141 [Source:MGI Symbol;Acc:MGI:1914400] MGQQISDQTQLVINKLPEKVAKHVTLVRESGSLTYEEFLGRVAELNDVTAKVAAGQEKHL LFEVQPGSDSSAFWKV >ENSMUSP00000047931.4 pep:known chromosome:GRCm38:6:7844842:7875687:1 gene:ENSMUSG00000042460.5 transcript:ENSMUST00000040159.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1galt1 description:core 1 synthase, glycoprotein-N-acetylgalactosamine 3-beta-galactosyltransferase, 1 [Source:MGI Symbol;Acc:MGI:2151071] MASKSWLNFLVFLCGSAIGFFLCSQLLSILLREEAAIQPNMLHNDPHARHSDDNGHSHLK GQMNFNADSSQHKDENIDVAENLYQKVKILCWVMTSPQNLEKKAKHVKATWAQRCNKVLF MSSEENQDFPTVGLKTKEGREQLYWKTIKAFQYVHDHYLEDADWFMKADDDTYVIVDNLR WLLSKYNPEQPIYFGRRFKPYVKQGYMSGGAGYVLSKEALRRFVNAFKTEKCTHSSSIED LALGRCMEIINVEAGDSRDTIGKETFHPFVPEHHLIKGYLPKTFWYWNYNYYPPIEGPGC CSDIAVSFHYVDGTTMYELEYLVYHLRPYGYLYRYQPALPENILKEINQVNRKEDTKIKL GNP >ENSMUSP00000095690.3 pep:known chromosome:GRCm38:7:119554340:119596176:1 gene:ENSMUSG00000030945.17 transcript:ENSMUST00000098084.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm2 description:acyl-CoA synthetase medium-chain family member 2 [Source:MGI Symbol;Acc:MGI:2385289] MTTGSLDLPFVGSHRWIKWTTASLTMHHLWKIPRLFTLWGNEISCRTFHMNIKKLIPIQW GHQEAPAKFNFASDVIDHWASVEKAGKRSSGPALWWMNGSGKEIKWSFRELSEASKQTAN VLSGACGLHRGDRVAVVLPRIPEWWLMILGCMRTGLVFMPGTIQMRSSDILYRLQASKAR AIVAGDEVAQEVDAVAPDCSFLKIKLLVSENSREGWLNFKALLKEASTIHQCVETESRES AAIYFTSGTSGPPKMAEHSHCSLGIKAKMDAASWTGLSTSDIIWTISDTAWIMNILGAFL EPWVLGACIFVHLLPKFDSQTVLKVLSSYPINTLVGAPIIYRMLLQQDLSSYKFPHLHSC FSGGETLLPETLENWKAKTGLEIREIYGQTETGLICRVSRTMKVKPGYLGTAFAHYDVQV IDEQGNVLPPGKEGDIAIRVKPIWPIGMFSGYVDNPKKTQDNIRGDFWLMGDRGIKDPEG YFHFIGRSDDIINSSGYRIGPSEVENALMEHPAVSETAVISSPDPSRGEVVKAFVVLAPE FLSHDRDQLTKVLQEHVKSVTAPYKYPRKVEFVLDLPKTVTGKIERAKLRAKEWKTSGRA >ENSMUSP00000126670.3 pep:known chromosome:GRCm38:7:119561493:119600690:1 gene:ENSMUSG00000030945.17 transcript:ENSMUST00000167935.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm2 description:acyl-CoA synthetase medium-chain family member 2 [Source:MGI Symbol;Acc:MGI:2385289] MHHLWKIPRLFTLWGNEISCRTFHMNIKKLIPIQWGHQEAPAKFNFASDVIDHWASVEKA GKRSSGPALWWMNGSGKEIKWSFRELSEASKQTANVLSGACGLHRGDRVAVVLPRIPEWW LMILGCMRTGLVFMPGTIQMRSSDILYRLQASKARAIVAGDEVAQEVDAVAPDCSFLKIK LLVSENSREGWLNFKALLKEASTIHQCVETESRESAAIYFTSGTSGPPKMAEHSHCSLGI KAKMDAASWTGLSTSDIIWTISDTAWIMNILGAFLEPWVLGACIFVHLLPKFDSQTVLKV LSSYPINTLVGAPIIYRMLLQQDLSSYKFPHLHSCFSGGETLLPETLENWKAKTGLEIRE IYGQTETGLICRVSRTMKVKPGYLGTAFAHYDVQVIDEQGNVLPPGKEGDIAIRVKPIWP IGMFSGYVDNPKKTQDNIRGDFWLMGDRGIKDPEGYFHFIGRSDDIINSSGYRIGPSEVE NALMEHPAVSETAVISSPDPSRGEVVKAFVVLAPEFLSHDRDQLTKVLQEHVKSVTAPYK YPRKVEFVLDLPKTVTGKIERAKLRAKEWKTSGRA >ENSMUSP00000115048.1 pep:known chromosome:GRCm38:7:119561712:119578148:1 gene:ENSMUSG00000030945.17 transcript:ENSMUST00000130583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm2 description:acyl-CoA synthetase medium-chain family member 2 [Source:MGI Symbol;Acc:MGI:2385289] MHHLWKIPRLFTLWGNEISCRTFHMNIKKLIPIQWGHQEAPAKFNFASDVIDHWASVEKA GKRSSGPALWWMNGSGKEIKWSFRELSEASKQTANVLSGACGLHRGDRVAVVLPRIPEWW LMILGCMRTGLSTSDIIWTISDTAWIMNI >ENSMUSP00000081697.6 pep:known chromosome:GRCm38:7:119561720:119596215:1 gene:ENSMUSG00000030945.17 transcript:ENSMUST00000084647.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm2 description:acyl-CoA synthetase medium-chain family member 2 [Source:MGI Symbol;Acc:MGI:2385289] MHHLWKIPRLFTLWGNEISCRTFHMNIKKLIPIQWGHQEAPAKFNFASDVIDHWASVEKA GKRSSGPALWWMNGSGKEIKWSFRELSEASKQTANVLSGACGLHRGDRVAVVLPRIPEWW LMILGCMRTGLVFMPGTIQMRSSDILYRLQASKARAIVAGDEVAQEVDAVAPDCSFLKIK LLVSENSREGWLNFKALLKEASTIHQCVETESRESAAIYFTSGTSGPPKMAEHSHCSLGI KAKMDAASWTGLSTSDIIWTISDTAWIMNILGAFLEPWVLGACIFVHLLPKFDSQTVLKV LSSYPINTLVGAPIIYRMLLQQDLSSYKFPHLHSCFSGGETLLPETLENWKAKTGLEIRE IYGQTETGLICRVSRTMKVKPGYLGTAFAHYDVQVIDEQGNVLPPGKEGDIAIRVKPIWP IGMFSGYVDNPKKTQDNIRGDFWLMGDRGIKDPEGYFHFIGRSDDIINSSGAYFCRYRIG PSEVENALMEHPAVSETAVISSPDPSRGEVVKAFVVLAPEFLSHDRDQLTKVLQEHVKSV TAPYKYPRKVEFVLDLPKTVTGKIERAKLRAKEWKTSGRA >ENSMUSP00000118174.2 pep:known chromosome:GRCm38:7:119580603:119596169:1 gene:ENSMUSG00000030945.17 transcript:ENSMUST00000129766.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm2 description:acyl-CoA synthetase medium-chain family member 2 [Source:MGI Symbol;Acc:MGI:2385289] XFFSYKFPHLHSCFSGGETLLPETLENWKAKTGLEIREIYGQTETGLICRVSRTMKVKPG YLGTAFAHYDVQVIDEQGNVLPPGKEGDIAIRDPEGYFHFIGRSDDIINSSGYRIGPSEV ENALMEHPAVSETAVISSPDPSRGEVVKAFVVLAPEFLSHDRDQLTKVLQEHVKSVTAPY KYPRKVEFVLDLPKTVTGKIERAKLRAKEWKTSGRA >ENSMUSP00000146746.1 pep:known chromosome:GRCm38:7:119582751:119596172:1 gene:ENSMUSG00000030945.17 transcript:ENSMUST00000208019.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acsm2 description:acyl-CoA synthetase medium-chain family member 2 [Source:MGI Symbol;Acc:MGI:2385289] YLGTAFAHYDVQVIDEQGNVLPPGKEGDIAIRVKPIWPIGMFSGYVDNPKKTQDNIRGDF WLMGDRGIKDPEGYFHFIGRPAH >ENSMUSP00000118276.1 pep:known chromosome:GRCm38:7:119588851:119592553:1 gene:ENSMUSG00000030945.17 transcript:ENSMUST00000123638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm2 description:acyl-CoA synthetase medium-chain family member 2 [Source:MGI Symbol;Acc:MGI:2385289] PGKEGDIAIRVKPIWPIGMFSGYVDNPKKTQDNIRGDFWLMGDRGIKDPEGYFHFIGRSD DIINSSGYRIGPSEVENALMEHPAVSETAVISSPDPSRGEVVKAFVVLAPEFLSHDRDQL TKVLQEHVKSVTAPYKYPRKVRLSPPMPHVLSEEHLLYFYSLA >ENSMUSP00000146400.1 pep:known chromosome:GRCm38:7:119591271:119596178:1 gene:ENSMUSG00000030945.17 transcript:ENSMUST00000207977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm2 description:acyl-CoA synthetase medium-chain family member 2 [Source:MGI Symbol;Acc:MGI:2385289] XGPSEVENALMEHPAVVKAFVVLAPEFLSHDRDQLTKVLQEHVKSVTAPYKYPRKVEFVL DLPKTVTGKIERAKLRAKEWKTSGRA >ENSMUSP00000112456.1 pep:known chromosome:GRCm38:2:37065746:37069260:1 gene:ENSMUSG00000083361.2 transcript:ENSMUST00000120505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr360 description:olfactory receptor 360 [Source:MGI Symbol;Acc:MGI:3030194] MATKNKTEVTEFVLLGLSSRPEIQPVIFGVVLIMYLMAVLGNTLLILVACSDPRLQTPMY FLLSQLSLIDISLTTITIPQMLVHTLSVNRSISYNRCMTQLFFFMAVGSMEVYLLGAMAY DRYVAICDPLRYSAIVSYSLCLQITLTSWMVVSLNSLLYSVLVTRLTFCGNKVTHFFCDI TPLLKLSCTRPVLNEMLIFTEGVAVVGSPFFFIWGSYVRIGIAMAHMHSFAALKKALSTC SSHILVVLLLFGTLARMYLKPSSSYDLGQDRQVAIFYTLISPMLNPLIYSLRNQDVKGAL WRLFRKLHTSDWLSDKE >ENSMUSP00000114121.1 pep:known chromosome:GRCm38:2:37068307:37069260:1 gene:ENSMUSG00000083361.2 transcript:ENSMUST00000120704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr360 description:olfactory receptor 360 [Source:MGI Symbol;Acc:MGI:3030194] MATKNKTEVTEFVLLGLSSRPEIQPVIFGVVLIMYLMAVLGNTLLILVACSDPRLQTPMY FLLSQLSLIDISLTTITIPQMLVHTLSVNRSISYNRCMTQLFFFMAVGSMEVYLLGAMAY DRYVAICDPLRYSAIVSYSLCLQITLTSWMVVSLNSLLYSVLVTRLTFCGNKVTHFFCDI TPLLKLSCTRPVLNEMLIFTEGVAVVGSPFFFIWGSYVRIGIAMAHMHSFAALKKALSTC SSHILVVLLLFGTLARMYLKPSSSYDLGQDRQVAIFYTLISPMLNPLIYSLRNQDVKGAL WRLFRKLHTSDWLSDKE >ENSMUSP00000105622.1 pep:known chromosome:GRCm38:11:3907021:3914664:-1 gene:ENSMUSG00000048807.2 transcript:ENSMUST00000109995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35e4 description:solute carrier family 35, member E4 [Source:MGI Symbol;Acc:MGI:2144150] MCRCPLEHHEGMMTSAEAVAVAGSAQEHGRPKWPPDKPQVLGQPAPARVVVAALVWLLAG ASMSSLNKWIFTVHGFGRPLLLSALHMLAAALACHWGAQRPVPHSIHRRVLLLSLTFGTS MACGNVGLSTVPLDLAQLATTTTPLFTMALSALLLGRRHHPLQFAAMGPLCLGAACSLAG ELRAPPAGCGFLLVATCLRGFKSVQQSGALLQEERLDTVTLLYATSLPSFCLLASAALVL EAGTAPPLPPTDSRLWACVLLSCFLSVVYNLASFSLLALTSALTVHVLGNLMVVGNLILS RLLFGSHLSALSYLGITLTLSGMFLYHNCELVASWATRWGLWNRDQPGKGL >ENSMUSP00000050978.1 pep:known chromosome:GRCm38:11:3907022:3914664:-1 gene:ENSMUSG00000048807.2 transcript:ENSMUST00000051207.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35e4 description:solute carrier family 35, member E4 [Source:MGI Symbol;Acc:MGI:2144150] MCRCPLEHHEGMMTSAEAVAVAGSAQEHGRPKWPPDKPQVLGQPAPARVVVAALVWLLAG ASMSSLNKWIFTVHGFGRPLLLSALHMLAAALACHWGAQRPVPHSIHRRVLLLSLTFGTS MACGNVGLSTVPLDLAQLATTTTPLFTMALSALLLGRRHHPLQFAAMGPLCLGAACSLAG ELRAPPAGCGFLLVATCLRGFKSVQQSALLQEERLDTVTLLYATSLPSFCLLASAALVLE AGTAPPLPPTDSRLWACVLLSCFLSVVYNLASFSLLALTSALTVHVLGNLMVVGNLILSR LLFGSHLSALSYLGITLTLSGMFLYHNCELVASWATRWGLWNRDQPGKGL >ENSMUSP00000111780.2 pep:known chromosome:GRCm38:4:138967112:138968360:1 gene:ENSMUSG00000070661.6 transcript:ENSMUST00000116094.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf186 description:ring finger protein 186 [Source:MGI Symbol;Acc:MGI:1914075] MPDIGCQQVPAESRRETGSVPTGPEPMQVLAAPVDVACGCPCADQPCPSTSVPRMSCTEA PQPIPAGTTTTSTIIALGPTGRLSISVEGDLECLVCREPYNCARSPKLLSCQHTFCAVCL KLLLYVQEDTWSIPCPLCRKVTAVPGGLICSLRDQEAMVGRLALPCPEVRLCPQRLVGSA ASATRPANWTGEEEQDTVSVNRVAARRLAVHLLLLALVIVLILPFIYPGVIRWVLAFVIA LALLMSTLFCCHPQSQNSNWLCPRTLFCREQKQTQITSIA >ENSMUSP00000112449.1 pep:known chromosome:GRCm38:X:95639193:95658408:-1 gene:ENSMUSG00000035062.13 transcript:ENSMUST00000120620.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc4h2 description:zinc finger, C4H2 domain containing [Source:MGI Symbol;Acc:MGI:2679294] MADEQEIMCKLESIKEIRNKTLQMEKIKARLKAEFEALESEERHLKEYKQEMDLLLQEKM AHVEELRLIHADINVMENTIKQSENDLNKLLESTRRLHDEYKPLKEHVDALRMTLGLQRL PDLCEEEEKLSLEMTHPMYVIPKVTLRSRKQNGRLNLKNPPYLNPLLLQLLLLNNSRWPG SRTQGRQPPSGSSLHL >ENSMUSP00000113689.1 pep:known chromosome:GRCm38:X:95639196:95658383:-1 gene:ENSMUSG00000035062.13 transcript:ENSMUST00000119640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc4h2 description:zinc finger, C4H2 domain containing [Source:MGI Symbol;Acc:MGI:2679294] MADEQEIMCKLESIKEIRNKTLQMEKIKARLKAEFEALESEERHLKEYKQEMDLLLQEKM AHVEELRLIHADINVSENDLNKLLESTRRLHDEYKPLKEHVDALRMTLGLQRLPDLCEEE EKLSLDYFEKQKAEWQTEPQEPPIPESLAAAAAAAQQLQVARKQDTRQTATFRQQPPPMK ACLSCHQQIHRNAPICPLCKAKSRSRNPKKPKRKQDE >ENSMUSP00000041236.6 pep:known chromosome:GRCm38:X:95639199:95658509:-1 gene:ENSMUSG00000035062.13 transcript:ENSMUST00000044382.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc4h2 description:zinc finger, C4H2 domain containing [Source:MGI Symbol;Acc:MGI:2679294] MADEQEIMCKLESIKEIRNKTLQMEKIKARLKAEFEALESEERHLKEYKQEMDLLLQEKM AHVEELRLIHADINVMENTIKQSENDLNKLLESTRRLHDEYKPLKEHVDALRMTLGLQRL PDLCEEEEKLSLDYFEKQKAEWQTEPQEPPIPESLAAAAAAAQQLQVARKQDTRQTATFR QQPPPMKACLSCHQQIHRNAPICPLCKAKSRSRNPKKPKRKQDE >ENSMUSP00000062781.3 pep:known chromosome:GRCm38:8:19194357:19195212:1 gene:ENSMUSG00000046354.3 transcript:ENSMUST00000052601.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb14 description:defensin beta 14 [Source:MGI Symbol;Acc:MGI:2675345] MRLHYLLFVFLILFLVPAPGDAFLPKTLRKFFCRIRGGRCAVLNCLGKEEQIGRCSNSGR KCCRKKK >ENSMUSP00000026548.7 pep:known chromosome:GRCm38:7:139834174:139878088:1 gene:ENSMUSG00000025475.17 transcript:ENSMUST00000026548.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgra1 description:adhesion G protein-coupled receptor A1 [Source:MGI Symbol;Acc:MGI:1277167] MTQWDLKTVLSLPQYPGEFLHPVVYACTAVMLLCLLASVITYILHQSAIRISRKGRHALL NFCFHAALTFTVFAGGINRTQHPILCQAVGIALHYSTLSTMLWIGVTARNIYKQVTKKAL PCPGADQPPYPKQPLLRFYLISGGVPFIICGVTAATNIRNYGTEDEDVAYCWMAWEPSLG AFYGPAAFIALVTCVYFLCTYVQLRRHPERRYELRERTEEQQRLAVPESGHRHGVRPGTP PTCDALAASQLQNEHSFKAQLRAAAFTLFLFTATWTFGALAVSQGHFLDMIFSCLYGAFC VTLGLFVLIHHCAKREDVWQCWWSCCPSRGDTSTTKPGAHPTLDANGDALGHTACLQDSP CPGKLRGFGHPPASHCKMTNLQAAQGHVSCLSPATPCCAKMHCEQLMEEEAAHIHMAEED VYPHDPHLHDPHLHRCLKGRTKSHYFSRHQAAAAEREYAYHIPSSLDGSPHSSRSESPTS SLEGPMGMHTLACCAQADPFPMVSQPEGGDTSPGLYGCPPHLSPGPAHLEMLRRTQSLPF GGPSQNGLLQGDVREGLPFGTDGTGNIRTGPWKNETTV >ENSMUSP00000065384.4 pep:known chromosome:GRCm38:10:116417861:116473878:-1 gene:ENSMUSG00000054934.10 transcript:ENSMUST00000068233.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb4 description:potassium large conductance calcium-activated channel, subfamily M, beta member 4 [Source:MGI Symbol;Acc:MGI:1913272] MAKLRVSYEYTEAEDKSIRLGLFLIVSGILSLFIFGFCWLSPALQDLQATAANCTVLSVQ QIGEVFECTFTCGTDCRGTSQYPCVQVYVNNSESNSRALLHSDQHQLLTNPKCSYIPPCK RENQKNSESVMNWQQYWKDEIGSQPFTCYFNQHQRPEDVLLQRTHDEIALLHCFLWPVVA FVVGVLIVVLTICAKSLAVKAEAMKKRKFS >ENSMUSP00000133071.1 pep:known chromosome:GRCm38:10:116436193:116473435:-1 gene:ENSMUSG00000054934.10 transcript:ENSMUST00000164271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb4 description:potassium large conductance calcium-activated channel, subfamily M, beta member 4 [Source:MGI Symbol;Acc:MGI:1913272] XLSLFIFGFCWLSPALQDLQATAANCTVLSVQQIGEVFECTFTCGTDCRGTSQYPCVQVY VNNSESNSRALLHSDQHQLLTNPKCSYIPPCKRENQKNSESVMNWQQYWKDEIGSQPFTC YFNQHQRTNY >ENSMUSP00000127519.1 pep:known chromosome:GRCm38:10:116443484:116446408:-1 gene:ENSMUSG00000054934.10 transcript:ENSMUST00000091477.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb4 description:potassium large conductance calcium-activated channel, subfamily M, beta member 4 [Source:MGI Symbol;Acc:MGI:1913272] XSVMNWQQYWKDEIGSQPFTCYFNQHQRQYVVRRSLFQLYFQPED >ENSMUSP00000085278.4 pep:known chromosome:GRCm38:10:116462646:116473422:-1 gene:ENSMUSG00000054934.10 transcript:ENSMUST00000087965.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnmb4 description:potassium large conductance calcium-activated channel, subfamily M, beta member 4 [Source:MGI Symbol;Acc:MGI:1913272] IFGFCWLSPALQDLQATAANCTVLSVQQIGEVFECTFTCGTDCRGTSQYPCVQVYVNNSE SNSRALLHSDQHQLLTNPKDPVTSPFMYGLFHLPSRHFSKCGQHSSSISAICGLDRNAHA LVSASIPPKSHGQIQP >ENSMUSP00000135441.1 pep:known chromosome:GRCm38:11:60417145:60450927:1 gene:ENSMUSG00000018415.14 transcript:ENSMUST00000139477.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gid4 description:GID complex subunit 4, VID24 homolog [Source:MGI Symbol;Acc:MGI:1914021] MPVRTECPPPAGASTTSAASLIPPPPINTQQPGVATSLLYSGSKFRGHQKSKGNSYDVEV VLQHVDTGNSYLCGYLKIKGLTEEYPTLTTFFEGEIISKKHPFLTRKWDADEDVDRKHWG KFLAFYQYAKSFNSDDFDYEELKNGDYVFMRWKEQFLVPDHTIKDISGASFAGFYYICFQ KSAASIEGYYYHRSSEWYQSLNLTHVPEHSAPIYEFR >ENSMUSP00000064926.6 pep:known chromosome:GRCm38:11:60417262:60445277:1 gene:ENSMUSG00000018415.14 transcript:ENSMUST00000070681.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gid4 description:GID complex subunit 4, VID24 homolog [Source:MGI Symbol;Acc:MGI:1914021] MPVRTECPPPAGASTTSAASLIPPPPINTQQPGVATSLLYSGSKFRGHQKSKGNSYDVEV VLQHVDTGNSYLCGYLKIKGLTEEYPTLTTFFEGEIISKKHPFLTRKWDADEDVDRKHWG KFLAFYQYAKSFNSDDFDYEELKNGDYVFMRWKEQFLVPDHTIKDISGASFAGFYYICFQ KSAASIEGYYYHRSSEWYQSLNLTHVPEHSAPIYEFR >ENSMUSP00000081567.5 pep:known chromosome:GRCm38:7:129591863:129635738:1 gene:ENSMUSG00000042055.13 transcript:ENSMUST00000084519.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr11 description:WD repeat domain 11 [Source:MGI Symbol;Acc:MGI:1920230] MLPYTVNFKVSARTLTGALNAHNKAAVDWGWQGLIAYGCHSLVVVIDSNTAQTLQVLEKH KADIVKVRWARENYHHNIGSPYCLRLASADVTGKIIVWDVAAGVAQCEIQEHVKPIQDVQ WLWNQDASRDLLLAIHPPNYIVLWNADTGTKLWKKSYADNILSFSFDPFDPSHLTLLTSE GIVFISDFSPSKPPSGPGKKVYISSPHSSPAHNKLAAATGAKKALNKVKILITQEKPSAD FVALNDCLQLAYLPSKRNHMLLLYPREILILDLEVNQTVGVIAIERTGVPFLQVIPCSQR DGLFCLHENGCITLRVRRSYNSICTTSNDEPDLDPVQELTYDLRSQCDAIRVTKTVRPFS MVCCPVNENAAALIVSDGRVMIWELKSAVCSRNARNSSGVSPLYSPVSFCGIPGGVLQNK LPDLSLDNMIGQSAIAGEEHPKGSILQEVHLKFLLTGLLSGLPSPQFAIRMCPPLTTKNI KMYQPLLAVGTSNGSVLVYHLTSGLLHKELSVHSCEVKGIEWTSLTSFLSFAASTPNNMG LVRNELQLVDLPTGRSTAFRGDRGNDESPIEMIKVSHLKQYLAVVFKDKPLELWDIRTCT LLREMSKSFPAITALEWSPSHNLKSLRKKQLATREAMARQTVVSDAELGAVESSVISLLQ EAESKAELSQNISAREHFVFTDNDGQVYHLTVEGNSVKDSARIPPDGSMGSITCIAWKGD TLVLGDMDGNLNFWDLKARVSRGIPTHRSWVRKIRFAPGKGNQKLIAMYNDGAEVWDTKE VQMVSSLRSGRNVTFRILDVDWCTSDKVILASDDGCIRVLEMSMKSTCFRMDEQELVEPV WCPYLLVPRAALALKAFLLHQPWNGRYSLDISHIDYPENEEIKTLLQEQLHALSNDIKKL LLDPDFSLLQRCLLVSRLYGDESELHFWTVAAHYLHSLSQAKSGDTVVTKEGAPKDRLSN PLDICYDVLCENTYFQKFQLERVNLQEVKRSTYDHTRKCTDQLLLLGQTDRAVQLLLETS ADNQHYYCDSLKACLVTTVTSSGPSQSTIKLVATNMIANGKLAEGVQLLCLIDKAADACR YLQTYGEWNRAAWLAKVRLNSEECADVLKRWVDHLCSPQVNQKSKALLVLLSLGCFVSVA ETLHSMRYFDRAALFVEACLKYGAFEVSEDTEKLIAAIYADYARSLKSLGFRQGAVRFAS KAGAAGRDLLNELGSTKEELTES >ENSMUSP00000146236.1 pep:known chromosome:GRCm38:7:129593068:129632855:1 gene:ENSMUSG00000042055.13 transcript:ENSMUST00000148752.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr11 description:WD repeat domain 11 [Source:MGI Symbol;Acc:MGI:1920230] XKADIVKVRWARENYHHNIGSPYCLRLASADVTGKIIVWDVAAGVAQCEIQEHVKPIQDV QWLWNQDASRDLLLAIHPPNYIVLWNADTGTKLWKKSYADNILSFSFDPFDPSHLTLLTS EGIVFISDFSPSKPPSGPGKKVYISSPHSSPAHNKLAAATGAKKALNKVKILITQEKPSA DFVALNDCLQLAYLPSKRNHMLLLYPREILILDLEVNQTVGVIAIERTGVPFLQVIPCSQ RDGLFCLHENGCITLRVRRSYNSICTTSNDEPDLDPVQELTYDLRSQCDAIRVTKTVRPF SMVCCPVNENAAALIVSDGRVMIWELKSAVCSRNARNRAECNCWGRTPQRLHSAGSAPQV PADGTALRTPFPSVCHPNVPTTDHKKH >ENSMUSP00000146228.1 pep:known chromosome:GRCm38:7:129607807:129614052:1 gene:ENSMUSG00000042055.13 transcript:ENSMUST00000206442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr11 description:WD repeat domain 11 [Source:MGI Symbol;Acc:MGI:1920230] XQEVHLKFLLTGLLSGLPSPQFAIRMCPPLTTKNIKMYQPLLAVGTSNGSVLVYHLTSGL LHKELSVHSCEVKGIEWTSLTSFLSFAASTPNNMGLVRNELQLVDLPTGPCLAFLKGWGS VSC >ENSMUSP00000145935.1 pep:known chromosome:GRCm38:7:129629033:129633671:1 gene:ENSMUSG00000042055.13 transcript:ENSMUST00000136560.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr11 description:WD repeat domain 11 [Source:MGI Symbol;Acc:MGI:1920230] XEQLHALSNDIKKLLLDPDFSLLQRCLLVSRNFS >ENSMUSP00000122099.1 pep:known chromosome:GRCm38:4:132535550:132546646:1 gene:ENSMUSG00000054405.14 transcript:ENSMUST00000139074.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc8 description:DnaJ heat shock protein family (Hsp40) member C8 [Source:MGI Symbol;Acc:MGI:1915848] MAASGESGASGGGGSTEEAFMTFYSEEGGPCPTPLP >ENSMUSP00000092242.3 pep:known chromosome:GRCm38:4:132535550:132553742:1 gene:ENSMUSG00000054405.14 transcript:ENSMUST00000094657.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc8 description:DnaJ heat shock protein family (Hsp40) member C8 [Source:MGI Symbol;Acc:MGI:1915848] MAASGESGASGGGGSTEEAFMTFYSEVKQIEKRDSVLTSKNQIERLTRPGSSYFNLNPFE VLQIDPEVTDEEIKKRFRQLSILVHPDKNQDDADRAQKAFEAVDKAYKLLLDQEQKKRAL DVIQAGKEYVEHTVKERKKQLKKEGKPTNVEEDDPELFKQAVYKQTMKLFAELEIKRKER EAKEMHERKRQREEEIEAQEKAKREREWQKNFEESRDGRVDSWRNFQANTKGKKEKKNRT FLRPPKVKMEQRE >ENSMUSP00000131575.1 pep:known chromosome:GRCm38:4:132535576:132544199:1 gene:ENSMUSG00000054405.14 transcript:ENSMUST00000144073.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc8 description:DnaJ heat shock protein family (Hsp40) member C8 [Source:MGI Symbol;Acc:MGI:1915848] MAASGESGASGGGGSTEEAFMTFYSEVKQIEKRDSVLTSKNQIERLTRPGSSYFNLNPFE D >ENSMUSP00000101560.3 pep:known chromosome:GRCm38:4:132535577:132553197:1 gene:ENSMUSG00000054405.14 transcript:ENSMUST00000105940.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc8 description:DnaJ heat shock protein family (Hsp40) member C8 [Source:MGI Symbol;Acc:MGI:1915848] MAASGESGASGGGGSTEEAFMTFYSEVKQIEKRDSVLTSKNQIERLTRPGSSYFNLNPFE LSILVHPDKNQDDADRAQKAFEAVDKAYKLLLDQEQKKRALDVIQAGKEYVEHTVKERKK QLKKEGKPTNVEEDDPELFKQAVYKQTMKLFAELEIKRKEREAKEMHERKRQREEEIEAQ EKAKREREWQKNFEESRDGRVDSWRNFQANTKGKKEKKNRTFLRPPKVKMEQRE >ENSMUSP00000068069.6 pep:known chromosome:GRCm38:4:132535581:132550457:1 gene:ENSMUSG00000054405.14 transcript:ENSMUST00000067467.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc8 description:DnaJ heat shock protein family (Hsp40) member C8 [Source:MGI Symbol;Acc:MGI:1915848] MAASGESGASGGGGSTEEAFMTFYSEVKQIEKRDSVLTSKNQIERLTRPGSSYFNLNPFE VLQIDPEVTDEEIKKRFRQAPPVSL >ENSMUSP00000101559.3 pep:known chromosome:GRCm38:4:132535581:132553220:1 gene:ENSMUSG00000054405.14 transcript:ENSMUST00000105939.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc8 description:DnaJ heat shock protein family (Hsp40) member C8 [Source:MGI Symbol;Acc:MGI:1915848] MAASGESGASGGGGSTEEAFMTFYSEVLQIDPEVTDEEIKKRFRQLSILVHPDKNQDDAD RAQKAFEAVDKAYKLLLDQEQKKRALDVIQAGKEYVEHTVKERKKQLKKEGKPTNVEEDD PELFKQAVYKQTMKLFAELEIKRKEREAKEMHERKRQREEEIEAQEKAKREREWQKNFEE SRDGRVDSWRNFQANTKGKKEKKNRTFLRPPKVKMEQRE >ENSMUSP00000130135.1 pep:known chromosome:GRCm38:4:132535640:132553139:1 gene:ENSMUSG00000054405.14 transcript:ENSMUST00000138334.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc8 description:DnaJ heat shock protein family (Hsp40) member C8 [Source:MGI Symbol;Acc:MGI:1915848] AFMTFYSEVKQIEKRDSVLTSKNQIERLTRPGSSYFNLNPFEVLQIDPEVTDEEIKKRFR QLSILVHPDKNQDDADRAQKAFEG >ENSMUSP00000121009.1 pep:known chromosome:GRCm38:4:132535659:132553458:1 gene:ENSMUSG00000054405.14 transcript:ENSMUST00000150207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc8 description:DnaJ heat shock protein family (Hsp40) member C8 [Source:MGI Symbol;Acc:MGI:1915848] XEVKQIEKRDSVLTSKNQIERLTRPGSSYFNLNPFEVLQIDPEVTDEEIKKRFRQLSILV HPDKNQDDADRAQKAFEAVDKAYKLLLDQEQKKRALDVIQAGKEYVEHTVKERKKQLKKE GKPTNVEEDDPELFKQAVYKQTMKLFAELEIKRKEREAKEMHERKVGMVEWTVGEISRQI QRGRRRRRIGPSCGHRKSRWSSGSEQQDQSLPAAPPASRTHSLSTSTPPESSDCFLVYFV VNTI >ENSMUSP00000125885.1 pep:known chromosome:GRCm38:4:132546659:132553194:1 gene:ENSMUSG00000054405.14 transcript:ENSMUST00000156385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc8 description:DnaJ heat shock protein family (Hsp40) member C8 [Source:MGI Symbol;Acc:MGI:1915848] XKKEGKPTNVEEDDPELFKQAVYKQTMKLFAELEIKRKEREAKEMHERKRQREEEIEAQE KAKREREWQKNFETVSPYSPRYLEVTTQLSLSLNP >ENSMUSP00000121154.1 pep:known chromosome:GRCm38:X:135885853:135889326:1 gene:ENSMUSG00000072964.14 transcript:ENSMUST00000148374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlhb9 description:basic helix-loop-helix domain containing, class B9 [Source:MGI Symbol;Acc:MGI:1917487] MTGSKNKARAQAKLEKRASAQARAVAEREAANANRGAGKSRDKGKGKAGSKSDAVAEVKA GSKGKVVAETKEGARPESKALVKGTSDFNHRTENK >ENSMUSP00000068067.7 pep:known chromosome:GRCm38:X:135885853:135891081:1 gene:ENSMUSG00000072964.14 transcript:ENSMUST00000068755.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlhb9 description:basic helix-loop-helix domain containing, class B9 [Source:MGI Symbol;Acc:MGI:1917487] MTGSKNKARAQAKLEKRASAQARAVAEREAANANRGAGKSRDKGKGKAGSKSDAVAEVKA GSKGKVVAETKEGARPESKALVKGTSDFNHRTENKFARSTRKDKPSSDNWFWAGEDSGIN SWFWKGEELSNNSVAKCENKPSTSIQARAEEPAPRTSHKSRSGAEEEEEENVIGNWFWEG DDTGFDTDPKPVFKIVKPQPVDEINEKDRPKDWSEVTIWPKAPAVTPAVLGYRSQDSSEA RSSSYIVLASNEEETSTACTKNTRSSLQSIPEYPFGSDPCIQTLDEIRQQIKIREENGIK PFACPCKLECYLDSPEFEKLVNILKSTTDPLIHKIAQIAMGIHKVHPFAQEFINEVGVVT LIESLLSFSSPEVSIKKAVITLNSSGDDRYNKVEFHVKHMCKETVSFPLNSPGQQSGLKI IGQLTTESVHHYIVVSYFSELFHLLSQGNRKTRNLVLKVFLNMSENPKAARDMINMKALA ALKLIFNQKEAKANLVSAVAIFINIKEHIRKGSIVVVDHLSYNTLTAIFREVKGIIERM >ENSMUSP00000137213.1 pep:known chromosome:GRCm38:X:135885851:135891078:1 gene:ENSMUSG00000072964.14 transcript:ENSMUST00000180025.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlhb9 description:basic helix-loop-helix domain containing, class B9 [Source:MGI Symbol;Acc:MGI:1917487] MTGSKNKARAQAKLEKRASAQARAVAEREAANANRGAGKSRDKGKGKAGSKSDAVAEVKA GSKGKVVAETKEGARPESKALVKGTSDFNHRTENKFARSTRKDKPSSDNWFWAGEDSGIN SWFWKGEELSNNSVAKCENKPSTSIQARAEEPAPRTSHKSRSGAEEEEEENVIGNWFWEG DDTGFDTDPKPVFKIVKPQPVDEINEKDRPKDWSEVTIWPKAPAVTPAVLGYRSQDSSEA RSSSYIVLASNEEETSTACTKNTRSSLQSIPEYPFGSDPCIQTLDEIRQQIKIREENGIK PFACPCKLECYLDSPEFEKLVNILKSTTDPLIHKIAQIAMGIHKVHPFAQEFINEVGVVT LIESLLSFSSPEVSIKKAVITLNSSGDDRYNKVEFHVKHMCKETVSFPLNSPGQQSGLKI IGQLTTESVHHYIVVSYFSELFHLLSQGNRKTRNLVLKVFLNMSENPKAARDMINMKALA ALKLIFNQKEAKANLVSAVAIFINIKEHIRKGSIVVVDHLSYNTLTAIFREVKGIIERM >ENSMUSP00000111081.1 pep:known chromosome:GRCm38:5:7960457:7982213:1 gene:ENSMUSG00000012428.9 transcript:ENSMUST00000115421.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap4 description:STEAP family member 4 [Source:MGI Symbol;Acc:MGI:1923560] MEKAHADEFPLTTDSSEKQGVVCIFGTGDFGKSLGLKMLQCGYSIVFGSRNPQVSSLLPR GAEVLSYSEAASKSDIIILAMHREHYDSLTELVDYLKGKVLVDVSNNRKINQYPESNAEY LAQLEPGAHVVKAFNTISAWALQSGTLDASRQVFVCGNDSKAKQRVMDIARTLGLTPLDQ GSLMAASEIENYPLQLFPMWRFPFYLSSVLCVFFFVYCAIREVIYPYVNGKTDATYRLAI SIPNRVFPITALILLALVYLPGILAAILQLYRGTKYRRFPNWLDHWMLCRKQLGLVALGF AFLHVIYTLVIPIRYYVRWRLRNATITQALTNKDSPFITSYAWINDSYLALGILGFFLFL LLGITSLPSVSNMVNWREFRFVQSKLGYLTLVLCTAHTLVYGGKRFLSPSILRWSLPSAY ILALVIPCAVLVLKCILIMPCIDKTLTRIRQGWERNSKYTQSALNGKSDI >ENSMUSP00000066561.5 pep:known chromosome:GRCm38:10:122678782:122945795:1 gene:ENSMUSG00000034613.12 transcript:ENSMUST00000067918.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1h description:protein phosphatase 1H (PP2C domain containing) [Source:MGI Symbol;Acc:MGI:2442087] MLTRVKSAVANFMGGIMAGSSGSEHGGSGCGGSDLPLRFPYGRPEFLGLSQDEVECSADH IARPILILKETRRLPWATGYAEVINAGKSTHNEDQASCEVLTVKKKAGTITSTPNRNSKR RSSLPNGEGLQLKENSESEGISCHYWSLFDGHAGSGAAVVASRLLQHHITQQLQDIVEIL KNSAILPPTCLGEEPESTPAHGRTLTRAASLRGGVGAPGSPSTPPTRFFTEKKIPHECLV IGALESAFKEMDLQIERERSAYNISGGCTALIVVCLLGKLYVANAGDSRAIIIRNGEIIP MSSEFTPETERQRLQYLAFMQPHLLGNEFTHLEFPRRVQRKELGKKMLYRDFNMTGWAYK TIEDDDLKFPLIYGEGKKARVMATIGVTRGLGDHDLKVHDSNIYIKPFLSSAPEVRVYDL SRYEHGADDVLILATDGLWDVLSNEEVAEAITQFLPNCDPDDPHRYTLAAQDLVMRARGV LKDRGWRISNDRLGSGDDISVYVIPLIHGNKLS >ENSMUSP00000124982.1 pep:known chromosome:GRCm38:10:122678778:122921974:1 gene:ENSMUSG00000034613.12 transcript:ENSMUST00000161487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1h description:protein phosphatase 1H (PP2C domain containing) [Source:MGI Symbol;Acc:MGI:2442087] MLTRVKSAVANFMGGIMAGSSGSEHGGSGCGGSDLPLRFPYGRPEFLGLSQDEVECSADH IARPILILKETRRLPWATGYAEVINAGKSTHNEDQASCEVLTVKKKAGTITSTPNRNSKR RSSLPNGEGLQLKENSESEGISCHYWSLFDGHAGSGAAVVASRLLQHHITQQLQDIVEIL KNSAILPPTCLGEEPESTPAHGRTLTRAASLRGGVGAPGSPSTPPTRFFTEKKIPHECLV IGALESAFKEMDLQIERERSAYNISGGCTALIVVCLLGKLYVANAGDSRAIIIRNGEIIP MSSEFTPETERQRLQYLAFMQPHLLGNEFTHLEFPRRVQRKELGKKMLYRDFNMTGWAYK TIEDDDLKFPLIYGEGKKARVMATIGVTRGLGDHDLKVHDSNIYIKPFLSSAPEVRVYDL SRYEHGADDVLILATDGLWDVLSNEEVAEAITQFLPNCDPDDPHRFVPL >ENSMUSP00000124006.1 pep:known chromosome:GRCm38:10:122895368:122941449:1 gene:ENSMUSG00000034613.12 transcript:ENSMUST00000162969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1h description:protein phosphatase 1H (PP2C domain containing) [Source:MGI Symbol;Acc:MGI:2442087] MATIGVTRGLGDHDLKVHDSNIYIKPFLSSAPEVRVYDLSRYEHGADDVLILATDGLWDV LSNEEVAEAITQFLPNCDPDDPHRYTLAAQDLVMRARGVLKDRGWRISNDRLGSGDDISV YVIPLIHGNKLS >ENSMUSP00000101951.1 pep:known chromosome:GRCm38:11:117654813:117763439:1 gene:ENSMUSG00000025571.13 transcript:ENSMUST00000106344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc6c description:trinucleotide repeat containing 6C [Source:MGI Symbol;Acc:MGI:2443265] MEEKKKKKQEDKKKKEGAQKKAADQKTKVPELTKTCSSQPQPAGTSPSTSTSTISSGSNG KRASACGQQPAASRYLPREVPPRFRQQEQKQLLKRGQPLPTGGLTSLNSAQAAGPAGASP PPLPGAGAQQHPSKLQQDLGHSGLVDHYENSHWGQHPSYRSEANCSWDKVIIDRTEPEVW PSIPVTETESASECPTDTDSASNCGSENSSMATGSAQSSFPSHLKKTNGSHGTNGALVQS PSNQSALGAGGTNGNGGVARVWGVATSSSSGLAHCSVGGGDGKMDNMIGDGRSQNCWGAS NSNAGINLNLNPNANPAAWPVLGHEGTVATGNPSSICSPVSAIGQNMGSQNGNPVGALGA WGNLLPQESAEPQTSTSQNVSFSVQPQNLNTDGPNNTNPMNSSPNPINAMQTNGLPNWGM AVGMGAIIPPHLQGLPGANGSSVSQGSGSGGEGMGSSVWGLSPGNPATGSTNCGFSQGNG DTVNSALSAKQNGSSSAVQKEGNGGNAWDSGPPAGPGILAWGRGSGTNGIGNIHSGAWGH PSRSSSNGVNGEWGKPPNQHSSSDISGKGSTGWDSASAASQTPALQPGSEHMNSWAKATS SGTTASEGSSDGSGNHNEGSTGREGTGEGRRRDKGVLDQGHIQLPRNDLDPRVLSNSGWG QTPVKQNTAWEFEESPRSERKNDNGTEAWGSIATQPSNSGGKTDGSIMNSTNTSSVSGWV SSPPAAVPANTSWGDSNNKAPSGPGVWGDSISSTAVNNAAATKSGHAWSGTVNQEDKSPT WGEPQKPKSQNWGDGQRANPAWSTGAGDWADSSSVLGHLGDGKKNGSGWDADGNRSGSGW NDATRCGTSGWGSGTNAKVNPGTNWGESLKPGPQQNWAHKPQDNNVSNWGGAASVKQTGT GWIGGPLPVKQKDSSEATGWEEPSPPSIRRKMEIDDGTSAWGDPSTYNNKTVNMWDRNNP VIQSSTTAPATPTTPTSSSTTHRAETPPSHQAGTQLNRSPLLGPVSSGWGEMPSVHSKAE NSWGEPSSPCTLVDNGTAAWGKPPSSGSGWDHPAEPVVPFGRASAPAAAPALCKPASKSM QEGWGSGADEMNLGTSQWEDEDGDMWNNAASQESSSSCSSWGNTSKKGLQKGMKTPGKQD EAWIMSRLIKQLTDMGFPREPAEEALKSNSMNLDQAMSALLEKKVDMDKRGLGMTDYNGM VTKPLGCRPPISKESSMDRPTFLDKLTLSFSNQDGGLVEEPTTSPFLPSPSLKLPLSNSA LPSQALGGVASGLGMQNLNSSRQIPSGNLGVFGNSGAAQARTMQQPPVQPLNSSQPSLRA QVPQFLSPQVQAQLLQFAAKNIGLSPALLTSPINPQHMTMLNQLYQLQLAYQRLQIQQQM LQAQRNVSGPMRQQEQQVARTITNLQQQIQQHQRQLAQALLVKQPPPPPPPPHLSLHPSA GKSGMESFPPPPQAPGLPDLQTKEQQSSPNTFAPYPLAGLNPNMNVNSIDMSSGLSVKDP SQSQSRLPQWTHPNSMGNLSSAASPLDQNPSKHGAIPGGLSIGPPGKSSIDDSYGRYDLI QNSESPASPPVAVPHSWSRAKSDSDKISNGSSISWPPEFHPGVPWKGLQNIDPENDPDVT PGSVPTGPTINTTIQDVNRYLLKSGGKLSDIKSTWSSGPASHTQASLSHELWKVPRNTTA PTRPPPGLANPKPSSTWGTSPLGWTSSYSSGSAWSTDTSGRTSSWLVLRNLTPQIDGSTL RTLCLQHGPLITFHLNLTQGNAVVRYSSKEEAAKAQKSLHMCVLGNTTILAEFAGEEEVN RFLAQGQALPPTSSWQSSSGGSQPRLGTSGSTHGLVRSDTAHWNTPCLSGKGSSELLWGG VPQYSSSLWGPPSAEDARVIGSPTPLNTLLPGDLLSGESI >ENSMUSP00000115221.1 pep:known chromosome:GRCm38:11:117721018:117762264:1 gene:ENSMUSG00000025571.13 transcript:ENSMUST00000138299.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc6c description:trinucleotide repeat containing 6C [Source:MGI Symbol;Acc:MGI:2443265] SEANCSWDKVIIDRTEPEVWPSIPVTETESASECPTDTDSASNCGSENSSMATGSAQSSF PSHLKKTNGSHGTNGALVQSPSNQSALGAGGTNGNGGVARVWGVATSSSSGLAHCSVGGG DGKMDNMIGDGRSQNCWGASNSNAGINLNLNPNANPAAWPVLGHEGTVATGNPSSICSPV SAIGQNMGSQNGNPVGALGAWGNLLPQESAEPQTSTSQNVSFSVQPQNLNTDGPNNTNPM NSSPNPINAMQTNGLPNWGMAVGMGAIIPPHLQGLPGANGSSVSQGSGSGGEGMGSSVWG LSPGNPATGSTNCGFSQGNGDTVNSALSAKQNGSSSAVQKEGNGGNAWDSGPPAGPGILA WGRGSGTNGIGNIHSGAWGHPSRSSSNGVNGEWGKPPNQHSSSDISGKGSTGWDSASAAS QTPALQPGSEHMNSWAKATSSGTTASEGSSDGSGNHNEGSTGREGTGEGRRRDKGVLDQG HIQLPRNDLDPRVLSNSGWGQTPVKQNTAWEFEESPRSERKNDNGTEAWGSIATQPSNSG GKTDGSIMNSTNTSSVSGWVSSPPAAVPANTSWGDSNNKAPSGPGVWGDSISSTAVNNAA ATKSGHAWSGTVNQEDKSPTWGEPQKPKSQNWGDGQRANPAWSTGAGDWADSSSVLGHLG DGKKNGSGWDADGNRSGSGWNDATRCGTSGWGSGTNAKVNPGTNWGESLKPGPQQNWAHK PQDNNVSNWGGAASVKQTGTGWIGGPLPVKQKDSSEATGWEEPSPPSIRRKMEIDDGTSA WGDPSTYNNKTVNMWDRNNPVIQSSTTAPATPTTPTSSSTTHRAETPPSHQAGTQLNRSP LLGPVSSGWGEMPSVHSKAENSWGEPSSPCTLVDNGTAAWGKPPSSGSGWDHPAEPVVPF GRASAPAAAPALCKPASKSMQEGWGSGADEMNLGTSQWEDEDGDMWNNAASQESSSSCSS WGNTSKKGLQKGMKTPGKQDEAWIMSRLIKQLTDMGFPREPAEEALKSNSMNLDQAMSAL LEKKVDMDKRGLGMTDYNGMVTKPLGCRPPISKESSMDRPTFLDKDGGLVEEPTTSPFLP SPSLKLPLSNSALPSQALGGVASGLGMQNLNSSRQIPSGNLGVFGNSGAAQARTMQQPPV QPLNSSQPSLRAQVPQFLSPQVQAQLLQFAAKNIGLSPALLTSPINPQHMTMLNQLYQLQ LAYQRLQIQQQMLQAQRNVSGPMRQQEQQVARTITNLQQQIQQHQRQLAQALLVKQPPPP PPPPHLSLHPSAGKSGMESFPPPPQAPGLPDLQTKEQQSSPNTFAPYPLAGLNPNMNVNS IDMSSGLSVKDPSQSQSRLPQWTHPNSMGNLSSAASPLDQNPSKHGAIPGGLSIGPPGKS SIDDSYGRYDLIQNSESPASPPVAVPHSWSRAKSDSDKISNGSSISWPPEFHPGVPWKGL QNIDPENDPDVTPGSVPTGPTINTTIQDVNRYLLKSGGKLSDIKSTWSSGPASHTQASLS HELWKVPRNTTAPTRPPPGLANPKPSSTWGTSPLGWTSSYSSGSAWSTDTSGRTSSWLVL RNLTPQIDGSTLRTLCLQHGPLITFHLNLTQGNAVVRYSSKEEAAKAQKSLHMCVLGNTT ILAEFAGEEEVNRFLAQGQALPPTSSWQSSSGGSQPRLGTSGSTHGLVRSDTAHWNTPCL SGKGSSELLWGGVPQYSSSLWGPPSAEDARVIGSPTPLNTLLPGDLLSGESI >ENSMUSP00000026658.6 pep:known chromosome:GRCm38:11:117654289:117763437:1 gene:ENSMUSG00000025571.13 transcript:ENSMUST00000026658.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc6c description:trinucleotide repeat containing 6C [Source:MGI Symbol;Acc:MGI:2443265] MEEKKKKKQEDKKKKEGAQKKAADQKTKVPELTKTCSSQPQPAGTSPSTSTSTISSGSNG KRASACGQQPAASRYLPREVPPRFRQQEQKQLLKRGQPLPTGGLTSLNSAQAAGPAGASP PPLPGAGAQQHPSKLQQDLGHSGLVDHYENSHWGQHPSYRSEANCSWDKVIIDRTEPEVW PSIPVTETESASECPTDTDSASNCGSENSSMATGSAQSSFPSHLKKTNGSHGTNGALVQS PSNQSALGAGGTNGNGGVARVWGVATSSSSGLAHCSVGGGDGKMDNMIGDGRSQNCWGAS NSNAGINLNLNPNANPAAWPVLGHEGTVATGNPSSICSPVSAIGQNMGSQNGNPVGALGA WGNLLPQESAEPQTSTSQNVSFSVQPQNLNTDGPNNTNPMNSSPNPINAMQTNGLPNWGM AVGMGAIIPPHLQGLPGANGSSVSQGSGSGGEGMGSSVWGLSPGNPATGSTNCGFSQGNG DTVNSALSAKQNGSSSAVQKEGNGGNAWDSGPPAGPGILAWGRGSGTNGIGNIHSGAWGH PSRSSSNGVNGEWGKPPNQHSSSDISGKGSTGWDSASAASQTPALQPGSEHMNSWAKATS SGTTASEGSSDGSGNHNEGSTGREGTGEGRRRDKGVLDQGHIQLPRNDLDPRVLSNSGWG QTPVKQNTAWEFEESPRSERKNDNGTEAWGSIATQPSNSGGKTDGSIMNSTNTSSVSGWV SSPPAAVPANTSWGDSNNKAPSGPGVWGDSISSTAVNNAAATKSGHAWSGTVNQEDKSPT WGEPQKPKSQNWGDGQRANPAWSTGAGDWADSSSVLGHLGDGKKNGSGWDADGNRSGSGW NDATRCGTSGWGSGTNAKVNPGTNWGESLKPGPQQNWAHKPQDNNVSNWGGAASVKQTGT GWIGGPLPVKQKDSSEATGWEEPSPPSIRRKMEIDDGTSAWGDPSTYNNKTVNMWDRNNP VIQSSTTAPATPTTPTSSSTTHRAETPPSHQAGTQLNRSPLLGPVSSGWGEMPSVHSKAE NSWGEPSSPCTLVDNGTAAWGKPPSSGSGWDHPAEPVVPFGRASAPAAAPALCKPASKSM QEGWGSGADEMNLGTSQWEDEDGDMWNNAASQESSSSCSSWGNTSKKGLQKGMKTPGKQD EAWIMSRLIKQLTDMGFPREPAEEALKSNSMNLDQAMSALLEKKVDMDKRGLGMTDYNGM VTKPLGCRPPISKESSMDRPTFLDKLTLSFSNQDGGLVEEPTTSPFLPSPSLKLPLSNSA LPSQALGGVASGLGMQNLNSSRQIPSGNLGVFGNSGAAQARTMQQPPVQPLNSSQPSLRA QVPQFLSPQVQAQLLQFAAKNIGLSPALLTSPINPQHMTMLNQLYQLQLAYQRLQIQQQM LQAQRNVSGPMRQQEQQVARTITNLQQQIQQHQRQLAQALLVKQPPPPPPPPHLSLHPSA GKSGMESFPPPPQAPGLPDLQTKEQQSSPNTFAPYPLAGLNPNMNVNSIDMSSGLSVKDP SQSQSRLPQWTHPNSMGNLSSAASPLDQNPSKHGAIPGGLSIGPPGKSSIDDSYGRYDLI QNSESPASPPVAVPHSWSRAKSDSDKISNGSSISWPPEFHPGVPWKGLQNIDPENDPDVT PGSVPTGPTINTTIQDVNRYLLKSGGKLSDIKSTWSSGPASHTQASLSHELWKVPRNTTA PTRPPPGLANPKPSSTWGTSPLGWTSSYSSGSAWSTDTSGRTSSWLVLRNLTPQIDGSTL RTLCLQHGPLITFHLNLTQGNAVVRYSSKEEAAKAQKSLHMCVLGNTTILAEFAGEEEVN RFLAQGQALPPTSSWQSSSGGSQPRLGTSGSTHGLVRSDTAHWNTPCLSGKGSSELLWGG VPQYSSSLWGPPSAEDARVIGSPTPLNTLLPGDLLSGESI >ENSMUSP00000127716.2 pep:known chromosome:GRCm38:1:84036296:84284645:-1 gene:ENSMUSG00000045658.16 transcript:ENSMUST00000168574.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pid1 description:phosphotyrosine interaction domain containing 1 [Source:MGI Symbol;Acc:MGI:2138391] MWQPATERLQHFQTMLKSKLNVLTLKKEPIPAVLFHEPEAIELCTTTPLMKARTHSGCKV TYLGKVSTTGMQFLSGCTEKPVIELWKKHTLAREDVFPANALLEIRPFQVWLHHLDHKGE ATVHMDTFQVARIAYCTADHNVSPNIFAWVYREINDDLSYQMDCHAVQCESKLEAKKLAH AMMEAFKKTFHSMKSDGRIHRSSSSEEASQELESDDG >ENSMUSP00000135164.1 pep:known chromosome:GRCm38:1:84037231:84336173:-1 gene:ENSMUSG00000045658.16 transcript:ENSMUST00000176559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pid1 description:phosphotyrosine interaction domain containing 1 [Source:MGI Symbol;Acc:MGI:2138391] MLKSKLNVLTLKKEPIPAVLFHEPEAIELCTTTPLMKARTHSGCKVTYLGKVSTTGMQFL SGCTEKPVIELWKKHTLAREDVFPANALLEIRPFQVWLHHLDHKGEATVHMDTFQVARIA YCTADHNVSPNIFAWVYREINDDLSYQMDCHAVQCESKLEAKKLAHAMMEAFKKTFHSMK SDGRIHRSSSSEEASQELESDDG >ENSMUSP00000134961.1 pep:known chromosome:GRCm38:1:84080579:84284423:-1 gene:ENSMUSG00000045658.16 transcript:ENSMUST00000176720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pid1 description:phosphotyrosine interaction domain containing 1 [Source:MGI Symbol;Acc:MGI:2138391] MWQPATERLQHFQTMLKSKLNVLTLKKEPIPAVLFHEPEAIELCTTTPLMKARTHSGCKG QEAGSCNPPALHLYLRSHLPSVSCQGNTAKAARTDIGLLP >ENSMUSP00000134979.1 pep:known chromosome:GRCm38:1:84107794:84364180:-1 gene:ENSMUSG00000045658.16 transcript:ENSMUST00000175996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pid1 description:phosphotyrosine interaction domain containing 1 [Source:MGI Symbol;Acc:MGI:2138391] MLKSKLNVLTLKKEPIPAVLFHEPEAIELCTTTPLMKARTHSGCKVMIYH >ENSMUSP00000135425.1 pep:known chromosome:GRCm38:1:84115332:84341110:-1 gene:ENSMUSG00000045658.16 transcript:ENSMUST00000176822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pid1 description:phosphotyrosine interaction domain containing 1 [Source:MGI Symbol;Acc:MGI:2138391] MLKSKLNVLTLKKEPIPAVLFHEPEAIELCTTTPLMKARTHSGCKVAQRENSRASTRSVF VFHFSHSKACLLWDCLVIIS >ENSMUSP00000135120.1 pep:known chromosome:GRCm38:1:84157129:84284611:-1 gene:ENSMUSG00000045658.16 transcript:ENSMUST00000177458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pid1 description:phosphotyrosine interaction domain containing 1 [Source:MGI Symbol;Acc:MGI:2138391] MWQPATERLQHFQTMLKSKLNVLTLKKEPIPAVLFHEPEAIELCTTTPLMKARTHSGCKV QYRSPSTSLGRPEKSMSDIRVLFFSGTPVLRVQISHD >ENSMUSP00000123563.1 pep:known chromosome:GRCm38:6:39573873:39588769:1 gene:ENSMUSG00000046947.12 transcript:ENSMUST00000140364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck2 description:aarF domain containing kinase 2 [Source:MGI Symbol;Acc:MGI:1889336] MVTPWRLSVRVCLSHLRCFEFRKELGHSRPLGCSRNARLCWFLLGTLPKLISAHGSVGEG APGSLCQRKTHWSDLAENGLVEKVAQEGPLARVLLCLRLGLRAGVLLAKFFPLLFLYPLT YLAPGLSTLWLHLLFKATETSGPTYIKLGQWASTRRDLFSEAFCTQFSKLHVQVTPHPWA RTEYLLQQAFGEDWGSLLFFETREPVGSGCVAQVYKAFASISLLEEDRIWRLGELSAPGT RAVVMQREPFMKDRKPSENLADEAFLEKLLLPKADLGGSEVGVSQAPWHLPKSDHLIPVA VKVLHPGLLSQVSMDLLLMKIGSKALGLLPGVKWLSLPEIVEEFEKLMVQQTDLRYEAQN LEHFQHNFQDMASVKFPTPLRPLITRDILVETYEESVPVSSYQQAGIPTDLKRKIAQLGI NMLLKMIFVDNFVHGDLHPGNILVQGADGVSPSLEMQQQQVNVCDTLVATIAPALCPLRL VLLDAGIVAKLQASDLRNFRAVFQAVVMGQGHRVAELMLHHAQANECKDVERFKAEMATL VTQARKNIVTLEKLHVSSLLSSVFKLLMTHKVKLESNFASIVVAIMVLEGLGRSLDPTLD ILEAAKPFLFKGPASFL >ENSMUSP00000144149.1 pep:known chromosome:GRCm38:6:39573959:39584062:1 gene:ENSMUSG00000046947.12 transcript:ENSMUST00000145788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck2 description:aarF domain containing kinase 2 [Source:MGI Symbol;Acc:MGI:1889336] MDLLLMKIGSKALGLLPGVKWLSLPEIVEEFEKLMVQQTDLRYEAQNLEHFQHNFQDMAS VKFPTPLRPLITRDILVETYEESVPVSSYQQAGIPTDLKRKIAQLGINMLLKMIFVDNFV HGDLHPGNILVQGADGVSPSLEMQQQQVNVCDTLVATIAPALCPLRLVLLDAGIVAKLQA SDLRNFRAVFQAVVMGQ >ENSMUSP00000050256.6 pep:known chromosome:GRCm38:6:39573964:39587752:1 gene:ENSMUSG00000046947.12 transcript:ENSMUST00000051249.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck2 description:aarF domain containing kinase 2 [Source:MGI Symbol;Acc:MGI:1889336] MVTPWRLSVRVCLSHLRCFEFRKELGHSRPLGCSRNARLCWFLLGTLPKLISAHGSVGEG APGSLCQRKTHWSDLAENGLVEKVAQEGPLARVLLCLRLGLRAGVLLAKFFPLLFLYPLT YLAPGLSTLWLHLLFKATETSGPTYIKLGQWASTRRDLFSEAFCTQFSKLHVQVTPHPWA RTEYLLQQAFGEDWGSLLFFETREPVGSGCVAQVYKAFASISLLEEDRIWRLGELSAPGT RAVVMQREPFMKDRKPSENLADEAFLEKLLLPKADLGGSEVGVSQAPWHLPKSDHLIPVA VKTDLRYEAQNLEHFQHNFQDMASVKFPTPLRPLITRDILVETYEESVPVSSYQQAGIPT DLKRKIAQLGINMLLKMIFVDNFVHGDLHPGNILVQGADGVSPSLEMQQQQVNVCDTLVA TIAPALCPLRLVLLDAGIVAKLQASDLRNFRAVFQAVVMGQGHRVAELMLHHAQANECKD VERFKAEMATLVTQARKNIVTLEKLHVSSLLSSVFKLLMTHKVKLESNFASIVVAIMVLE GLGRSLDPTLDILEAAKPFLFKGPASFL >ENSMUSP00000121590.1 pep:known chromosome:GRCm38:6:39576392:39582089:1 gene:ENSMUSG00000046947.12 transcript:ENSMUST00000149304.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adck2 description:aarF domain containing kinase 2 [Source:MGI Symbol;Acc:MGI:1889336] XSMDLLLMKIGSKALGLLPGVKWLSLPEIVEEFEKLMVQQTDLRYEAQNLEHFQHNFQDM ASVKFPTPLRPLITRDILVETYEESVPVSSYQQAGIPTDLKRKIAQLGINMLLKMSPG >ENSMUSP00000108143.2 pep:known chromosome:GRCm38:9:106233455:106247730:-1 gene:ENSMUSG00000032786.14 transcript:ENSMUST00000112524.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alas1 description:aminolevulinic acid synthase 1 [Source:MGI Symbol;Acc:MGI:87989] METVVRRCPFLSRVPQAFLQKAGKSLLFYAQNCPKMMEVGAKPAPRTLSTSAVHCQQVKE TPPANEKEKTAKAAVQQAPDESQMAQTPDGTQLPSGHPSPATSQGSGSKCPFLAAQLSQT GSSVFRKASLELQEDVQEMHAVRKEAAQSPVPPSLVNVKTDGEDPSRLLKNFQDIMRKQR PERVSHLLQDNLPKSVSTFQYDHFFEKKIDEKKNDHTYRVFKTVNRRAQIFPMADDYTDS LITKKQVSVWCSNDYLGMSRHPRVCGAVMETVKQHGAGAGGTRNISGTSKFHVELEQALA DLHGKDAALLFSSCFVANDSTLFTLAKMMPGCEIYSDSGNHASMIQGIRNSRVPKYIFRH NDVNHLRELLQRSDPSVPKIVAFETVHSMDGAVCPLEELCDVAHEFGAITFVDEVHAVGL YGARGGGIGDRDGVMPKMDIISGTLGKAFGCVGGYIASTSLLIDTVRSYAAGFIFTTSLP PMLLAGALESVRILKSSEGRALRRQHQRNVKLLRQMLMDAGLPVIHCPSHIIPVRVADAA KNTEICDELMTRHNIYVQAINYPTVPRGEELLRIAPTPHHTPQMMNFFVEKLLVTWKRVG LELKPHSSAECNFCRRPLHFEVMSEREKAYFSGMSKMVSAQA >ENSMUSP00000117014.1 pep:known chromosome:GRCm38:9:106233458:106248126:-1 gene:ENSMUSG00000032786.14 transcript:ENSMUST00000141118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alas1 description:aminolevulinic acid synthase 1 [Source:MGI Symbol;Acc:MGI:87989] METVVRRCPFLSRVPQAFLQKAGKSLLFYAQNCPKMMEVGAKPAPRTLSTSAVHCQQVKE TPPANEKEKTAKAAVQQAPDESQMAQTPDGTQLPSGHPSPATSQGSGSKCPFLAAQLSQT GSSVFRKASLELQEDVQEMHAVRKEAAQSPVPPSLVNVKTDGEDPSRLLKNFQDIMRKQR PERVSHLLQDNLPKSVSTFQYDHFFEKKIDEKKNDHTYRVFKTVNRRAQIFPMADDYTDS LITKKQVSVWCSNDYLGMSRHPRVCGAVMETVKQHGAGAGGTRNISGTSKFHVELEQALA DLHGKDAALLFSSCFVANDSTLFTLAKMMPGCEIYSDSGNHASMIQGIRNSRVPKYIFRH NDVNHLRELLQRSDPSVPKIVAFETVHSMDGAVCPLEELCDVAHEFGAITFVDEVHAVGL YGARGGGIGDRDGVMPKMDIISGTLGKAFGCVGGYIASTSLLIDTVRSYAAGFIFTTSLP PMLLAGALESVRILKSSEGRALRRQHQRNVKLLRQMLMDAGLPVIHCPSHIIPVRVADAA KNTEICDELMTRHNIYVQAINYPTVPRGEELLRIAPTPHHTPQMMNFFVEKLLVTWKRVG LELKPHSSAECNFCRRPLHFEVMSEREKAYFSGMSKMVSAQA >ENSMUSP00000073725.6 pep:known chromosome:GRCm38:9:106233927:106247856:-1 gene:ENSMUSG00000032786.14 transcript:ENSMUST00000074082.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alas1 description:aminolevulinic acid synthase 1 [Source:MGI Symbol;Acc:MGI:87989] METVVRRCPFLSRVPQAFLQKAGKSLLFYAQNCPKMMEVGAKPAPRTLSTSAVHCQQVKE TPPANEKEKTAKAAVQQAPDESQMAQTPDGTQLPSGHPSPATSQGSGSKCPFLAAQLSQT GSSVFRKASLELQEDVQEMHAVRKEAAQSPVPPSLVNVKTDGEDPSRLLKNFQDIMRKQR PERVSHLLQDNLPKSVSTFQYDHFFEKKIDEKKNDHTYRVFKTVNRRAQIFPMADDYTDS LITKKQVSVWCSNDYLGMSRHPRVCGAVMETVKQHGAGAGGTRNISGTSKFHVELEQALA DLHGKDAALLFSSCFVANDSTLFTLAKMMPGCEIYSDSGNHASMIQGIRNSRVPKYIFRH NDVNHLRELLQRSDPSVPKIVAFETVHSMDAVCPLEELCDVAHEFGAITFVDEVHAVGLY GARGGGIGDRDGVMPKMDIISGTLGKAFGCVGGYIASTSLLIDTVRSYAAGFIFTTSLPP MLLAGALESVRILKSSEGRALRRQHQRNVKLLRQMLMDAGLPVIHCPSHIIPVRVADAAK NTEICDELMTRHNIYVQAINYPTVPRGEELLRIAPTPHHTPQMMNFFVEKLLVTWKRVGL ELKPHSSAECNFCRRPLHFEVMSEREKAYFSGMSKMVSAQA >ENSMUSP00000119968.1 pep:known chromosome:GRCm38:9:106234195:106238730:-1 gene:ENSMUSG00000032786.14 transcript:ENSMUST00000143125.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alas1 description:aminolevulinic acid synthase 1 [Source:MGI Symbol;Acc:MGI:87989] DVNHLRELLQRSDPSVPKIVAFETVHSMDGAVCPLEELCDVAHEFGAITFVDEVHAVGLY GARGGGIGDRDGVMPKMDIISGTLGVCTGSVALSFHAELWVYTKASHWLV >ENSMUSP00000122117.1 pep:known chromosome:GRCm38:9:106238816:106247852:-1 gene:ENSMUSG00000032786.14 transcript:ENSMUST00000133617.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alas1 description:aminolevulinic acid synthase 1 [Source:MGI Symbol;Acc:MGI:87989] METVVRRCPFLSRVPQAFLQKAGKSLLFYAQNCPKMMEVGAKPAPRTLSTSAVHCQQVKE TPPANEKEKTAKAAVQQAPDESQMAQTPDGTQLPSGHPSPATSQGSGSKCPFLAAQLSQT GSSVFRKASLELQEDVQEMHAVRKEAAQSPVPPSLVNVKTDGEDPSRLLKNFQDIMRKQR PERVSHLLQDNLPKWRL >ENSMUSP00000080043.6 pep:known chromosome:GRCm38:15:76643395:76660061:-1 gene:ENSMUSG00000053929.16 transcript:ENSMUST00000081291.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyhr1 description:cysteine and histidine rich 1 [Source:MGI Symbol;Acc:MGI:1859320] MVSKPRTEWSTVLSHLVLAGVSLHAAVSSVQCTNGHLMCAGCFIHLLADARLKEEQATCP NCRCEISKSLCCRNLAVEKAVSELPSECGFCLRQFPRSLLERHQKEECQDRVTQCKYKRI GCPWHGPFHELTVHEAACAHPTKTGNELMEILDEMDQSHRKEMQLYNSIFSLLSFEKIGY TEVQFRPYRTDDFITRLYYETPRFTVLNQTWVLKARVNDSERNPNLSCKRTLSFQLLLKS KVTAPLECSFLLLKGPYDDVRISPVIYHFVFTNESNETDYVPLPIIDSVECNKLLAAKNI NLRLFLFQIQK >ENSMUSP00000134739.1 pep:known chromosome:GRCm38:15:76646927:76656920:-1 gene:ENSMUSG00000053929.16 transcript:ENSMUST00000176274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyhr1 description:cysteine and histidine rich 1 [Source:MGI Symbol;Acc:MGI:1859320] MSGAEEAGGGGPAAGPAGAVPAGVGVGAGPGAAAGPAAAALGEAAGPGIPDEAALAGARQ LQEAAGDPDAPPKKRLRAAEAAEAAAAAVAAGSGKLEERLYSVLCCTVCLDLPKASVYQC TNGHLMCAGCFIHLLADARLKEEQATCPNCRCEISKSLCCRNLAVEKAVSELPSECGFCL RQFPRSLLERHQKEECQDRVTQCKYKRIGCPWHGPFHELTVHEAACAHPTKTGNELMEIL DEMDQSHRKEMQLYNSIFSLLSFEKIGYTVWVFGPYLWSTEQEEHSIKSDPVYRCSLKAT EPPEAGKKLFGDRVPEQAEGLSSNCRTGNGCPQMSKLDQARPTGSHGSRASVTSSEHVGS KSQATPWAREHEAPADTGATQGNSIPSTAQPAAGGQLRAPRAGLDSQSCHAA >ENSMUSP00000135505.1 pep:known chromosome:GRCm38:15:76658140:76660117:-1 gene:ENSMUSG00000053929.16 transcript:ENSMUST00000177359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyhr1 description:cysteine and histidine rich 1 [Source:MGI Symbol;Acc:MGI:1859320] MVSKPRTEWSTVLSHLVLAGVSLHAAVSSVQSSRGAAAGFLLQTFAAIIMLAPGPSTHED CLAGAWVATVIGLPLLAFDFHWVNGDRSSANLLLGGGMVLAVAGDHLGPEGCSVAGQAVL LVVAVTILIVAVFTANTYGMWGGTLLGVAGLLSRLEEDRLLLLPKEDVCRWALAAGSWAY CRALHTQRLQWE >ENSMUSP00000063317.8 pep:known chromosome:GRCm38:15:76658144:76660108:-1 gene:ENSMUSG00000053929.16 transcript:ENSMUST00000066677.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyhr1 description:cysteine and histidine rich 1 [Source:MGI Symbol;Acc:MGI:1859320] MVSKPRTEWSTVLSHLVLAGVSLHAAVSSVQSSRGAAAGFLLQTFAAIIMLAPGPSTHED CLAGAWVATVIGLPLLAFDFHWVNGDRSSANLLLGGGMVLAVAGDHLGPEGCSVAGQAVL LVVAVTILIVAVFTANTYGMWGGTLLGVAGLLSRLEEDRLLLLPKEDVCRWALAAGSWAY CRALHTQRLQWE >ENSMUSP00000047690.6 pep:known chromosome:GRCm38:9:123636122:123678885:-1 gene:ENSMUSG00000036814.12 transcript:ENSMUST00000040960.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a20a description:solute carrier family 6 (neurotransmitter transporter), member 20A [Source:MGI Symbol;Acc:MGI:2143217] MEKARPQWGHPLQFVFACISYAVGLGNVWRFPYLCQMYGGGSFLVPYIIMLIVEGMPLLY LELAVGQRMRQGSIGAWRTISPYLSGVGVASVVVSFFLSMYYNVINAWGFWYLFHSFQDP LPWSVCPLNSNHTGYDEECEKASSTQYFWYRKTLNISPSIQENGGVQWEPALCLTLAWLM VYLCILRGTESTGKVVYFTASMPYCVLIIYLVRGLTLHGATNGLMYMFTPKMEQLANPKA WINAATQIFFSLGLGFGSLIAFASYNEPSNNCQKHAIIVSIINSSTSIFASIVTFSIYGF KATFNYENCLNKVILLLTNSFDLEDGFLTVSNLEEVKNYLASTYPNKYSEVFPHIRNCSL ESELDTAVQGTGLAFIVYTEAIKNMEVSQLWSVLYFFMLLMLGIGSMLGNTAAILTPLTD SKVISSYLPKEAISGLVCLINCAVGMVFTMEAGNYWFDIFNDYAATLSLLLIVLVETIAV CYVYGLKRFESDLRAMTGRTLSWYWKVMWAFVSPLLIVGLFIFYLSDYILTGTLQYQAWD ATQGQLVTKDYPPHALAVIGLLVASSTMCIPLVALGTFIRNRLKRGGSAPVA >ENSMUSP00000129107.1 pep:known chromosome:GRCm38:9:123636996:123678806:-1 gene:ENSMUSG00000036814.12 transcript:ENSMUST00000171647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a20a description:solute carrier family 6 (neurotransmitter transporter), member 20A [Source:MGI Symbol;Acc:MGI:2143217] MEKARPQWGHPLQFVFACISYAVGLGNVWRFPYLCQMYGGGSFLVPYIIMLIVEGMPLLY LELAVGQRMRQGSIGAWRTISPYLSGVGVASVVVSFFLSMYYNVINAWGFWYLFHSFQDP LPWSVCPLNSNHTGYDEECEKASSTQYFWYRKTLNISPSIQENGGVQWEPALCLTLAWLM VYLCILRGTESTGKMEQLANPKAWINAATQIFFSLGLGFGSLIAFASYNEPSNNCQKHAI IVSIINSSTSIFASIVTFSIYGFKATFNYENCLNKVILLLTNSFDLEDGFLTVSNLEEVK NYLASTYPNKYSEVFPHIRNCSLESELDTAVQGTGLAFIVYTEAIKNMEVSQLWSVLYFF MLLMLGIGSMLGNTAAILTPLTDSKVISSYLPKEAISGLVCLINCAVGMVFTMEAGNYWF DIFNDYAATLSLLLIVLVETIAVCYVYGLKRFESDLRAMTGRTLSWYWKVMWAFVSPLLI VGLFIFYLSDYILTGTLQYQAWDATQGQLVTKDYPPHALAVIGLLVASSTMCIPLVALGT FIRNRLKRGGSAPVA >ENSMUSP00000132700.1 pep:known chromosome:GRCm38:9:123656269:123678782:-1 gene:ENSMUSG00000036814.12 transcript:ENSMUST00000170591.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a20a description:solute carrier family 6 (neurotransmitter transporter), member 20A [Source:MGI Symbol;Acc:MGI:2143217] GHPLQFVFACISYAVGLGNVWRFPYLCQMYGGGVASVVVSFFLSMYYNVINAWGFWYLFH SFQDPLPWSVCPLNSNHTGYDEECEKASSTQYFWYRKTLNISPSIQENGGVQWEPALCLT LAWLMVYLCILRGTESTGKVVYFTASMPYCVLIIYLVRGLTLHGATNGLMYMFTPKMEQL ANPKAWINAATQIFFSLGLGFGSLIAFASYNEPSNNCQKHAIIVSIINSSTSIFASIVTF S >ENSMUSP00000113671.2 pep:known chromosome:GRCm38:5:129765558:129779173:-1 gene:ENSMUSG00000029446.14 transcript:ENSMUST00000118268.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psph description:phosphoserine phosphatase [Source:MGI Symbol;Acc:MGI:97788] MVSHSELRKLFCSADAVCFDVDSTVIREEGIDELAKFCGVEAAVSEMTRRAMGGALPFKD ALTQRLALIQPSRDQVQRLLAEHPPHLTPGIRMLSLALEAM >ENSMUSP00000031399.6 pep:known chromosome:GRCm38:5:129765558:129787449:-1 gene:ENSMUSG00000029446.14 transcript:ENSMUST00000031399.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psph description:phosphoserine phosphatase [Source:MGI Symbol;Acc:MGI:97788] MVSHSELRKLFCSADAVCFDVDSTVIREEGIDELAKFCGVEAAVSEMTRRAMGGALPFKD ALTQRLALIQPSRDQVQRLLAEHPPHLTPGIRELVSRLQERNVQVFLISGGFRSIVEHVA AKLNIPTTNVFANRLKFYFNGEYAGFDEMQPTAESGGKGKVIRFLKEKFHFKKIIMIGDG ATDMEACPPADAFIGFGGNVIRQQVKDNAKWYITDFVELLGELEE >ENSMUSP00000144667.1 pep:known chromosome:GRCm38:5:129769319:129779213:-1 gene:ENSMUSG00000029446.14 transcript:ENSMUST00000201394.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psph description:phosphoserine phosphatase [Source:MGI Symbol;Acc:MGI:97788] MVSHSELRKLFCSADAVCFDVDSTVIREEGIDELAKFCGVEAAVSEMTRRAMGGALPFKD ALTQRLALIQPSRDQVQRLLAEHPPHLTPGIRELVSRLQERNVQVFLISGGFR >ENSMUSP00000116292.2 pep:known chromosome:GRCm38:5:129770700:129787154:-1 gene:ENSMUSG00000029446.14 transcript:ENSMUST00000136507.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psph description:phosphoserine phosphatase [Source:MGI Symbol;Acc:MGI:97788] MVSHSELRKLFCSADAVCFDVDSTVIREEGIDELAKFCGVEAAVSEMTRRAMGGALPFKD >ENSMUSP00000122841.1 pep:known chromosome:GRCm38:8:61928087:61963742:1 gene:ENSMUSG00000037921.14 transcript:ENSMUST00000154398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx60 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 60 [Source:MGI Symbol;Acc:MGI:2384570] MEKKYTGFLKIMSHLILETMSKADYSSLFNDFVESEFFLIDGDSLLITCACERSLKPGQS LHFFYLVERYLLDVISKGGQFAIVFFKDAEYAYFNVPELLTLRTALILHLQKNTTVEVWT KFSNCLSEEWNICLGQSCPYFLILADEGLNDLQTHLFNFVVIQAWAAKVNIVLFSGQTSD ILRLYAYFMQSSHGMQMFFKENKRMIMIVYKSLIQQLEKYRDMALAHLFGDLKFNDMEEK VCETVSLLKQLWPEGSDIRCVFCVTSCSLFLEMYHCYLESREKGASEEMSKVKQKTDNCL TLKDMEDFCKLHCLSVALLLHVPLSQRARSRFITANWIQDLKTVLKMKKWCEYFILSNLD MFESWSLNLLHLSDLSDEPLLRNIAFYYENENKEGLDLNVGDAIMNEYVHLWDTVSKLVR KFDVGKPIPLRTTKVHFLEKTPSPIKESSQEMMPNLGFIPMSCNLVDEFTGDILKDLPFL MSDDPIVTSLVKHKEFDELVHWHSHRPLSDDYDRTKVNSGDRRDPNHLKRLQKYHSFQRF YGESLESVTSKTITTQGPKPKKDCIKSKSTKAH >ENSMUSP00000120424.1 pep:known chromosome:GRCm38:8:61928089:61940740:1 gene:ENSMUSG00000037921.14 transcript:ENSMUST00000156980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx60 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 60 [Source:MGI Symbol;Acc:MGI:2384570] MEKKYTGFLKIMSHLILETMSKADYSSLFNDFVESEFFLIDGDSLLITCACERSLKPGQS LHFFYLVER >ENSMUSP00000070741.7 pep:known chromosome:GRCm38:8:61928089:62037701:1 gene:ENSMUSG00000037921.14 transcript:ENSMUST00000070631.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx60 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 60 [Source:MGI Symbol;Acc:MGI:2384570] MEKKYTGFLKIMSHLILETMSKADYSSLFNDFVESEFFLIDGDSLLITCACERSLKPGQS LHFFYLVERYLLDVISKGGQFAIVFFKDAEYAYFNVPELLTLRTALILHLQKNTTVEVWT KFSNCLSEEWNICLGQSCPYFLILADEGLNDLQTHLFNFVVIQAWAAKVNIVLFSGQTSD ILRLYAYFMQSSHGMQMFFKENKRMIMIVYKSLIQQLEKYRDMALAHLFGDLKFNDMEEK VCETVSLLKQLWPEGSDIRCVFCVTSCSLFLEMYHCYLESREKGASEEMSKVKQKTDNCL TLKDMEDFCKLHCLSVALLLHVPLSQRARSRFITANWIQDLKTVLKMKKWCEYFILSNLD MFESWSLNLLHLSDLSDEPLLRNIAFYYENENKEGLDLNVGDAIMNEYVHLWDTVSKLVR KFDVGKPIPLRTTKVHFLEKTPSPIKESSQEMMPNLGFIPMSCNLVDEFTGDILKDLPFL MSDDPIVTSLVKHKEFDELVHWHSHRPLSDDYDRTKVNSGDRRDPNHLKRLQKYHSFQRF YGESLESVTSKTITTQGPKPKKDCIKSKSTKAHETKAEIIKRENKKRLIAEEEQKEEQKW NALSFSIEEEMRKNVDSGMKKLEEFLKSCKSRSVKAQVERVGLQACLKAWKEHCQAEGET TKDLSIAVNMMKRIHSLLDKHSELLQEADQKLIARCLKYLGFCELAVSLYPTQDASDGIK MKKKNKYSVGIGPARFQLQYMGHYLIREERKDPDPRVQDFIPDTWQRELLDVVDNYESAV IVAPTSSGKTYASYYCMEKVLKESDEGVVVYVAPTKALVNQVAATVEYRYAKNMPAGESL CGVFTRDYRHDALNCQVLITVPACFEILLLAPHRQNWVKRIRYVIFDEVHCLGGEIGAEI WEHLLVMIRCPFLALSATISNPQHLTEWLQSVKRYWKQVDSTMEERTVSKRTGTSRGSYY KDQAQARQSYKVRLVLYGERYNDLEKYLCSVRQGDVCFDHFHPCAALTIDHIEKYGFPSD LALSPRESIQLYDTMCQVWKSWPQAQSLCPENFTQFKNKIVIKKLDARKYEESLKEEFTN WVKNGNEEEARMVLKKLSPDYHEHSGRMLDFFPCLVEKLRKMEKLPALFFLFKLNIVEEC AENAFEFLEKKQEEKRPPKADKEARTTANKLRKVKKSLEKQKTVDEKGQKSSRRLDESVM HETEHNYLLQTLEKNLEIPKDCTYANQKAIDDQLLQRVFHRVRFERKGETLKRLAERGIG YHHSSMSAKEKQLVEILFRKGFIRVVTATGTLALGINMPCKSVVFAQNSVYLDALNYRQM SGRAGRRGQDLLGDVYFFDIPLPKIGKLIKSKVPELRGQFPLSISLILRLMLLASKADDL EDGKAKALSVLKHSLLSFKQPRAIDMLKLYFLYSLQFLVKEGHIDQEGNPTGFAGLVSHL HYHEPSNLVFVSFLVKGLFHKLCQPTREGPKRFSEDVMEKLVLVLANLFGRRYIPAKFYE VNSKFYQSKVFLDDLPEDFSHALLEYNTQVTEDFASFLLMVSRLADMKQEYQLSLSKIEF TGKECEDSPLVSHLMNCKEGRVAVSPFVCLSGNFDVDLLSPGVPNHVILHTLGINHTQAP VLWPYALDSQGRKIPLNAYALDFYKHGSLIGLVQDNRIHPGEAYSLLKDFNLIIKSISVS LRELCENEEDNVVLAFEQLSTSFQEKFELV >ENSMUSP00000091197.2 pep:known chromosome:GRCm38:8:61928089:62037695:1 gene:ENSMUSG00000037921.14 transcript:ENSMUST00000093485.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx60 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 60 [Source:MGI Symbol;Acc:MGI:2384570] MEKKYTGFLKIMSHLILETMSKADYSSLFNDFVESEFFLIDGDSLLITCACERSLKPGQS LHFFYLVERYLLDVISKGGQFAIVFFKDAEYAYFNVPELLTLRTALILHLQKNTTVEVWT KFSNCLSEEWNICLGQSCPYFLILADEGLNDLQTHLFNFVVIQAWAAKVNIVLFSGQTSD ILRLYAYFMQSSHGMQMFFKENKRMIMIVYKSLIQQLEKYRDMALAHLFGDLKFNDMEEK VCETVSLLKQLWPEGSDIRCVFCVTSCSLFLEMYHCYLESREKGASEEMSKVKQKTDNCL TLKDMEDFCKLHCLSVALLLHVPLSQRARSRFITANWIQDLKTVLKMKKWCEYFILSNLD MFESWSLNLLHLSDLSDEPLLRNIAFYYENENKEGLDLNVGDAIMNEYVHLWDTVSKLVR KFDVGKPIPLRTTKVHFLEKTPSPIKESSQEMMPNLGFIPMSCNLVDEFTGDILKDLPFL MSDDPIVTSLVKHKEFDELVHWHSHRPLSDDYDRTKCIGDANRRDPNHLKRLQKYHSFQR FYGESLESVTSKTITTQGPKPKKDCIKSKSTKAHETKAEIIKRENKKRLIAEEEQKEEQK WNALSFSIEEEMRKNVDSGMKKLEEFLKSCKSRSVKAQVERVGLQACLKAWKEHCQAEGE TTKDLSIAVNMMKRIHSLLDKHSELLQEADQKLIARCLKYLGFCELAVSLYPTQDASDGI KMKKKNKYSVGIGPARFQLQYMGHYLIREERKDPDPRVQDFIPDTWQRELLDVVDNYESA VIVAPTSSGKTYASYYCMEKVLKESDEGVVVYVAPTKALVNQVAATVEYRYAKNMPAGES LCGVFTRDYRHDALNCQVLITVPACFEILLLAPHRQNWVKRIRYVIFDEVHCLGGEIGAE IWEHLLVMIRCPFLALSATISNPQHLTEWLQSVKRYWKQVDSTMEERTVSKRTGTSRGSY YKDQAQARQSYKVRLVLYGERYNDLEKYLCSVRQGDVCFDHFHPCAALTIDHIEKYGFPS DLALSPRESIQLYDTMCQVWKSWPQAQSLCPENFTQFKNKIVIKKLDARKYEESLKEEFT NWVKNGNEEEARMVLKKLSPDYHEHSGRMLDFFPCLVEKLRKMEKLPALFFLFKLNIVEE CAENAFEFLEKKQEEKRPPKADKEARTTANKLRKVKKSLEKQKTVDEKGQKSSRRLDESV MHETEHNYLLQTLEKNLEIPKDCTYANQKAIDDQLLQRVFHRVRFERKGETLKRLAERGI GYHHSSMSAKEKQLVEILFRKGFIRVVTATGTLALGINMPCKSVVFAQNSVYLDALNYRQ MSGRAGRRGQDLLGDVYFFDIPLPKIGKLIKSKVPELRGQFPLSISLILRLMLLASKADD LEDGKAKALSVLKHSLLSFKQPRAIDMLKLYFLYSLQFLVKEGHIDQEGNPTGFAGLVSH LHYHEPSNLVFVSFLVKGLFHKLCQPTREGPKRFSEDVMEKLVLVLANLFGRRYIPAKFY EVNSKFYQSKVFLDDLPEDFSHALLEYNTQVTEDFASFLLMVSRLADMKQEYQLSLSKIE FTGKECEDSPLVSHLMNCKEGRVAVSPFVCLSGNFDVDLLSPGVPNHVILHTLGINHTQA PVLWPYALDSQGRKIPLNAYALDFYKHGSLIGLVQDNRIHPGEAYSLLKDFNLIIKSISV SLRELCENEEDNVVLAFEQLSTSFQEKFELV >ENSMUSP00000103998.1 pep:known chromosome:GRCm38:3:15296551:15332302:-1 gene:ENSMUSG00000078783.1 transcript:ENSMUST00000108361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9733 description:predicted gene 9733 [Source:MGI Symbol;Acc:MGI:3780136] MHHLDFRPHTLHRTLLMTLLLGLTGTAAKELKVIQPEKSVSVITGESTILNCTVTSLLPV GPIKWIRKMGQCRQLIYSFTGEHFPRVRNVSDATKRSNLDFSIHISNVTLADYGTYYCVK FLRTYSEEEEFQYGGGTQLYVCEQKTTDTAKIIVASLLGPKLLLVIVSVMYRHKKQKA >ENSMUSP00000118660.1 pep:known chromosome:GRCm38:14:47367751:47380418:1 gene:ENSMUSG00000050335.16 transcript:ENSMUST00000151405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals3 description:lectin, galactose binding, soluble 3 [Source:MGI Symbol;Acc:MGI:96778] MADSFSLNDALAGSGNPNPQGYPGAWGNQPGAGGYPGAAYPGAYPGQAPPGAYPGQAPPG AYPGQAPPSAYPGPTAPGAYPGPTAPGAYPGSTAPGAFPGQPGAPGAYPSAPGGYPAAGP YGVPAGPL >ENSMUSP00000118169.1 pep:known chromosome:GRCm38:14:47373813:47386160:1 gene:ENSMUSG00000050335.16 transcript:ENSMUST00000142734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals3 description:lectin, galactose binding, soluble 3 [Source:MGI Symbol;Acc:MGI:96778] MADSFSLNDALAGSGNPNPQGYPGAWGNQPGAGGYPGAAYPGAYPGQAPPGAYPGQAPPG AYPGQAPPSAYPGPTAPGAYPGPTAPGAYPGSTAPGAFPGQPGAPGAYPSAPGGYPAAGP YGVPAGPLTVPYDLPLPGGVMPRMLITIMGTVKPNANRIVLDFRRGNDVAFHFNPRFNEN NRRVIVCNTKQDNNWGKEERQSAFPFESGKPFKIQVLVEADHFKVAVNDAHLLQYNHRMK NLREISQLGISGDITLTSANHAMI >ENSMUSP00000114350.1 pep:known chromosome:GRCm38:14:47373899:47385799:1 gene:ENSMUSG00000050335.16 transcript:ENSMUST00000150290.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals3 description:lectin, galactose binding, soluble 3 [Source:MGI Symbol;Acc:MGI:96778] MADSFSLNDALAGSGNPNPQGYPGAWGNQPGAGGYPGAAYPGAYPGQAPPGAYPGQAPPG AYPGQAPPSAYPGPTAPGAYPGPTAPGAYPGSTAPGAFPGQPGAPGAYPSAPGGYPAAGP YGVPAGPLTVPYDLPLPGGVMPRMLITIMGTVKPNANRIVLDFRRGNDVAFHFNPRFNEN NRRVIVCNTKQDNNWGKEERQSAFPFESGKPFKIQVLVEADHFKVAVNDAHLLQYNHRMK NLREISQLGISGDITLTSANHAMI >ENSMUSP00000114177.1 pep:known chromosome:GRCm38:14:47373916:47380317:1 gene:ENSMUSG00000050335.16 transcript:ENSMUST00000144794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals3 description:lectin, galactose binding, soluble 3 [Source:MGI Symbol;Acc:MGI:96778] MADSFSLNDALAGSGNPNPQGYPGAWGNQPGAGGYPGAAYPGAYPGQAPPGAYPGQAPPG AYPGQAPPSAYPGPTAPGAYPGPTAPGAYPGSTA >ENSMUSP00000119275.1 pep:known chromosome:GRCm38:14:47373974:47385794:1 gene:ENSMUSG00000050335.16 transcript:ENSMUST00000146468.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals3 description:lectin, galactose binding, soluble 3 [Source:MGI Symbol;Acc:MGI:96778] MADSFSLNDALAGSGNPNPQGYPGAWGNQPGAGGYPGAAYPGAYPGQAPPGAYPGQAPPG AYPGQAPPSAYPGPTAPGAYPGPTAPGAYPGSTAPGAFPGQPGAPGAYPSAPGGYPAAGP YGVPAGPLTVPYDLPLPGGVMPRMLITIMGTVKPNANRIVLDFRRGNDVAFHFNPRFNEN NRRVIVCNTKQDNNWGKEERQSAFPFESGKPFKIQVLVEADHFKVAVNDAHLLQYNHRMK NLREISQLGISGDITLTSANHAMI >ENSMUSP00000079808.2 pep:known chromosome:GRCm38:8:19198706:19201545:1 gene:ENSMUSG00000059230.2 transcript:ENSMUST00000081017.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb4 description:defensin beta 4 [Source:MGI Symbol;Acc:MGI:1927667] MRIHYLLFTFLLVLLSPLAAFTQIINNPITCMTNGAICWGPCPTAFRQIGNCGHFKVRCC KIR >ENSMUSP00000130752.1 pep:known chromosome:GRCm38:9:18321951:18397538:-1 gene:ENSMUSG00000043943.14 transcript:ENSMUST00000172171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naalad2 description:N-acetylated alpha-linked acidic dipeptidase 2 [Source:MGI Symbol;Acc:MGI:1919810] MDFKDSDCRCRLIGHRQRSYCSPQACSCSQRYSLTQKGMARPRHLRGLGMCITAVLASFI AGFTVGWFIKPLKETTTSAGYHQSIQQKLLSEMKAENIRSFLRSFTKLPHLAGTEQNLLL AKKIQTQWKKFGLDSANLVHYDVLLSYPNETNANYVSIVDEHGVEIFKTSYLEPPPDGYE NVTNIIPPYNAFSASGMPEGELVYVNYARTEDFFKLEREMNINCTGKIVIARYGKIFRGN KVKNAMLAGAMGIILYSDPADYFAPDVQPYPKGWNLPGAAAQRGNVLNLNGAGDPLTPGY PAKEYTFRLPVEEAVGIPNIPVHPIGYNDAERLLRNLGGAAPPDKSWKGSLNVSYNIGPG FTGSEYSRNIRMHVNNINKITRIYNVIGTIRGSTEPDRYVILGGHRDSWVFGGIDPTTGT AVLQEIARSFGKLVNGGWRPRRTIIFASWDAEEFGLLGSTEWAEENAKLLQERSIAYINS DSAIEGNYTLRVDCTPLLNQLVYKVAREISSPDDGFESKSLYESWLEKDPSPENKECPRI NKLGSGSDFEAYFQRLGIASGRARYTKNKKTDKYSSYPVYHTIYETFELVQNFYDPTFKK QLSVAQLRGALVYELADSVVIPFNIQDYAKALKNYAASIFNISKKHDQQLRNHAVSFDPL FSAVKNFSEAASDFHRRLTQVDLNNPIAVRIMNDQQMLLERAFIDPLGLPGRKFYRHIIF APSSHNKYAGESFPGIYDAMFDIENKADPSLAWAEVKKHISIAAFTIQAAAGTLTNVL >ENSMUSP00000128674.1 pep:known chromosome:GRCm38:9:18323020:18386036:-1 gene:ENSMUSG00000043943.14 transcript:ENSMUST00000166825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naalad2 description:N-acetylated alpha-linked acidic dipeptidase 2 [Source:MGI Symbol;Acc:MGI:1919810] MARPRHLRGLGMCITAVLASFIAGFTVGWFIKPLKETTTSAGYHQSIQQKLLSEMKAENI RSFLRSFTKLPHLAGTEQNLLLAKKIQTQWKKFGLDSANLVHYDVLLSYPNETNANYVSI VDEHGVEIFKTSYLEPPPDGYENVTNIIPPYNAFSASGMPEGELVYVNYARTEDFFKLER EMNINCTGKIVIARYGKIFRGNKVKNAMLAGAMGIILYSDPADYFAPDVQPYPKGWNLPG AAAQRGNVLNLNGAGDPLTPGYPAKEYTFRLPVEEAVGIPNIPVHPIGYNDAERLLRNLG GAAPPDKSWKGSLNVSYNIGPGFTGSEYSRNIRMHVNNINKITRIYNVIGTIRGSTEPDR YVILGGHRDSWVFGGIDPTTGTAVLQEIARSFGKLVNGGWRPRRTIIFASWDAEEFGLLG STEWAEENAKLLQERSIAYINSDSAIEGNYTLRVDCTPLLNQLVYKVAREISSPDDGFES KSLYESWLEKDPSPENKECPRINKLGSGSDFEAYFQRLGIASGRARYTKNKKTDKYSSYP VYHTIYETFELVQNFYDPTFKKQLSVAQLRGALVYELADSVVIPFNIQDYAKALKNYAAS IFNISKKHDQQLRNHAVSFDPLFSAVKNFSEAASDFHRRLTQVDLNNPIAVRIMNDQQML LERAFIDPLGLPGRKFYRHIIFAPSSHNKYAGESFPGIYDAMFDIENKADPSLAWAEVKK HISIAAFTIQAAAGTLTNVL >ENSMUSP00000001826.8 pep:known chromosome:GRCm38:9:18323021:18392123:-1 gene:ENSMUSG00000043943.14 transcript:ENSMUST00000001826.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naalad2 description:N-acetylated alpha-linked acidic dipeptidase 2 [Source:MGI Symbol;Acc:MGI:1919810] MDFKDSDCRCRLIGHRQRSYCSPQACSCSQRYSLTQKGMARPRHLRGLGMCITAVLASFI AGFTVGWFIKPLKETTTSAGYHQSIQQKLLSEMKAENIRSFLRSFTKLPHLAGTEQNLLL AKKIQTQWKKFGLDSANLVHYDVLLSYPNETNANYVSIVDEHGVEIFKTSYLEPPPDGYE NVTNIIPPYNAFSASGMPEGELVYVNYARTEDFFKLEREMNINCTGKIVIARYGKIFRGN KVKNAMLAGAMGIILYSDPADYFAPDVQPYPKGWNLPGAAAQRGNVLNLNGAGDPLTPGY PAKEYTFRLPVEEAVGIPNIPVHPIGYNDAERLLRNLGGAAPPDKSWKGSLNVSYNIGPG FTGSEYSRNIRMHVNNINKITRIYNVIGTIRGSTEPDRYVILGGHRDSWVFGGIDPTTGT AVLQEIARSFGKLVNGGWRPRRTIIFASWDAEEFGLLGSTEWAEENAKLLQERSIAYINS DSAIEGNYTLRVDCTPLLNQLVYKVAREISSPDDGFESKSLYESWLEKDPSPENKECPRI NKLGSGSDFEAYFQRLGIASGRARYTKNKKTDKYSSYPVYHTIYETFELVQNFYDPTFKK QLSVAQLRGALVYELADSVVIPFNIQDYAKALKNYAASIFNISKKHDQQLRNHAVSFDPL FSAVKNFSEAASDFHRRLTQVDLNNPIAVRIMNDQQMLLERAFIDPLGLPGRKFYRHIIF APSSHNKYAGESFPGIYDAMFDIENKADPSLAWAEVKKHISIAAFTIQAAAGTLTNVL >ENSMUSP00000133157.1 pep:known chromosome:GRCm38:9:18385058:18402972:-1 gene:ENSMUSG00000043943.14 transcript:ENSMUST00000172116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naalad2 description:N-acetylated alpha-linked acidic dipeptidase 2 [Source:MGI Symbol;Acc:MGI:1919810] MDFKDSDCRCRLIGHRQRSYCSPQACSCSQRYSLTQKGMARPRHLRGLGMCITAVLASFI AGFTVGWFIKPLKETTTSAGYHQSIQQKLLSEMKAENI >ENSMUSP00000098996.3 pep:known chromosome:GRCm38:9:18385829:18402974:-1 gene:ENSMUSG00000043943.14 transcript:ENSMUST00000101453.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naalad2 description:N-acetylated alpha-linked acidic dipeptidase 2 [Source:MGI Symbol;Acc:MGI:1919810] MDFKDSDCRCRLIGHRQRSYCSPQACSCSQRYSLTQKGMARPRHLRGLGMCIT >ENSMUSP00000126563.1 pep:known chromosome:GRCm38:9:18388074:18402995:-1 gene:ENSMUSG00000043943.14 transcript:ENSMUST00000164081.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naalad2 description:N-acetylated alpha-linked acidic dipeptidase 2 [Source:MGI Symbol;Acc:MGI:1919810] MDFKDSDCRCRLIGHRQRSYC >ENSMUSP00000032879.7 pep:known chromosome:GRCm38:7:92741603:92844535:1 gene:ENSMUSG00000030643.14 transcript:ENSMUST00000032879.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab30 description:RAB30, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923235] MSMEDYDFLFKIVLIGNAGVGKTCLVRRFTQGLFPPGQGATIGVDFMIKTVEINGEKVKL QIWDTAGQERFRSITQSYYRSANALILTYDITCEESFRCLPEWLREIEQYASNKVITVLV GNKIDLAERREVSQQRAEEFSEAQDMYYLETSAKESDNVEKLFLDLACRLISEARQNTLV NNVSSPLPGEGKSISYLTCCNFN >ENSMUSP00000146581.1 pep:known chromosome:GRCm38:7:92777583:92829669:1 gene:ENSMUSG00000030643.14 transcript:ENSMUST00000208945.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab30 description:RAB30, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923235] MSMEDYDFLFKIVLIGNAGVGKTCLVRRFTQGLFPPGQGATIGVDFMIKTVEINGEKVKL QIWDTAGQERFRSITQSYYRSANALILTYDITCEESFRCLPEWLREI >ENSMUSP00000102798.1 pep:known chromosome:GRCm38:7:92819905:92836647:1 gene:ENSMUSG00000030643.14 transcript:ENSMUST00000107180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab30 description:RAB30, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923235] MSMEDYDFLFKIVLIGNAGVGKTCLVRRFTQGLFPPGQGATIGVDFMIKTVEINGEKVKL QIWDTAGQERFRSITQSYYRSANALILTYDITCEESFRCLPEWLREIEQYASNKVITVLV GNKIDLAERREVSQQRAEEFSEAQDMYYLETSAKESDNVEKLFLDLACRLISEARQNTLV NNVSSPLPGEGKSISYLTCCNFN >ENSMUSP00000102797.1 pep:known chromosome:GRCm38:7:92819920:92836644:1 gene:ENSMUSG00000030643.14 transcript:ENSMUST00000107179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab30 description:RAB30, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923235] MSMEDYDFLFKIVLIGNAGVGKTCLVRRFTQLQIWDTAGQERFRSITQSYYRSANALILT YDITCEESFRCLPEWLREIEQYASNKVITVLVGNKIDLAERREVSQQRAEEFSEAQDMYY LETSAKESDNVEKLFLDLACRLISEARQNTLVNNVSSPLPGEGKSISYLTCCNFN >ENSMUSP00000104361.1 pep:known chromosome:GRCm38:11:60400626:60417051:-1 gene:ENSMUSG00000042709.14 transcript:ENSMUST00000108721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atpaf2 description:ATP synthase mitochondrial F1 complex assembly factor 2 [Source:MGI Symbol;Acc:MGI:2180561] MCPPRSLGRMWRIYPRLRDRWRGLLDRRLSDPTVSVWPGPAPQPPARAYVPPTERKRFYQ NVSISQGEGGFEINLDHRKLKTPQAKLFTVPSEALAIAVATEWDSQQDTIKFYTMHLTTL CNTSLDNPTQRSKDQLIRAAVKFLDTDTICYRVEEPETLVELQKNEWDPVIEWAEKRYGM EIGSSTSIMGPSIPTQTREVLTSHLSSYNMWALQGIEFVVAQLKSMLLTLGLIDLRLTVE QAVLLSRLEEEYQIQKWGNIEWAHDYELQELRARTAAGTLFVHLCSESSTVKHKLLQE >ENSMUSP00000135761.1 pep:known chromosome:GRCm38:11:60401478:60417018:-1 gene:ENSMUSG00000042709.14 transcript:ENSMUST00000145532.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atpaf2 description:ATP synthase mitochondrial F1 complex assembly factor 2 [Source:MGI Symbol;Acc:MGI:2180561] MCPPRSLGRMWRIYPRLRDRWRGLLDRRLSDPTVSVWPGPAPQPPARAYVPPTERKRFYQ NVSISQGEGGFEINLDHRKLKTPQAKLFTVPSEALAIAVATEWDSQQDTIKFYTMHLTTL CNTSLDNPTQRSKDQLIRAAVKFLDTDTIWWFLQ >ENSMUSP00000130495.1 pep:known chromosome:GRCm38:7:110768206:110811919:1 gene:ENSMUSG00000005686.16 transcript:ENSMUST00000170374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd3 description:adenosine monophosphate deaminase 3 [Source:MGI Symbol;Acc:MGI:1096344] MPRQFPKLNMSDLDEHVRLLAEKVFAKVLREEDSKDVMSLFTVPEDCPIGQKEAKERELQ KELAEQKSVETAKRKKSFKMIRSQSLSLQMPTQQDWKGPPTASPAMSPATPLVPGATSKP GPAPYAMPEYQRVTISGDYCAGITVEDYEQAAKSLAKALMIREKYARLAYHRFPRTTAQY LAHQGESVPLEEGLPDFHPPPLPQEDPYCLDDAPPNLGYLVRMHGGVLFVYDNQTMLERQ EPHSLPYPDLETYIVDMSHILALITDGPTKTYCHRRLNFLESKFSLHEMLNEMSEFKELK SNPHRDFYNVRKVDTHIHAAACMNQKHLLRFIKHTYQTEPDRTVAEKLGRKITLRQVFDS LHMDPYDLTVDSLDVHAGRQTFHRFDKFNSKYNPVGASELRDLYLKTENYLGGEYFARMV KEVARELEDSKYQYSEPRLSIYGRSPKEWSSLARWFIQHKVYSPNMRWIIQVPRIYDIFR SKKLLPNFGKMLENIFLPLFKATINPQDHRELHLFLKYVTGFDSVDDESKHSDHMFSDKS PSPDLWTSEQNPPYSYYLYYMYANIMVLNNLRRERGLSTFLFRPHCGEAGSITHLVSAFL TADNISHGLLLKKSPVLQYLYYLAQIPIAMSPLSNNSLFLEYSKNPLREFLHKGLHVSLS TDDPMQFHYTKEALMEEYAIAAQVWKLSTCDLCEIARNSVLQSGLSHQEKQKFLGQNYYK EGPEGNDIRKTNVAQIRMAFRYETLCNELSFLSDAMKSEEITALTK >ENSMUSP00000005829.6 pep:known chromosome:GRCm38:7:110772604:110812405:1 gene:ENSMUSG00000005686.16 transcript:ENSMUST00000005829.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd3 description:adenosine monophosphate deaminase 3 [Source:MGI Symbol;Acc:MGI:1096344] MPRQFPKLNMSDLDEHVRLLAEKVFAKVLREEDSKDVMSLFTVPEDCPIGQKEAKERELQ KELAEQKSVETAKRKKSFKMIRSQSLSLQMPTQQDWKGPPTASPAMSPATPLVPGATSKP GPAPYAMPEYQRVTISGDYCAGITVEDYEQAAKSLAKALMIREKYARLAYHRFPRTTAQY LAHQGESVPLEEGLPDFHPPPLPQEDPYCLDDAPPNLGYLVRMHGGVLFVYDNQTMLERQ EPHSLPYPDLETYIVDMSHILALITDGPTKTYCHRRLNFLESKFSLHEMLNEMSEFKELK SNPHRDFYNVRKVDTHIHAAACMNQKHLLRFIKHTYQTEPDRTVAEKLGRKITLRQVFDS LHMDPYDLTVDSLDVHAGRQTFHRFDKFNSKYNPVGASELRDLYLKTENYLGGEYFARMV KEVARELEDSKYQYSEPRLSIYGRSPKEWSSLARWFIQHKVYSPNMRWIIQVPRIYDIFR SKKLLPNFGKMLENIFLPLFKATINPQDHRELHLFLKYVTGFDSVDDESKHSDHMFSDKS PSPDLWTSEQNPPYSYYLYYMYANIMVLNNLRRERGLSTFLFRPHCGEAGSITHLVSAFL TADNISHGLLLKKSPVLQYLYYLAQIPIAMSPLSNNSLFLEYSKNPLREFLHKGLHVSLS TDDPMQFHYTKEALMEEYAIAAQVWKLSTCDLCEIARNSVLQSGLSHQEKQKFLGQNYYK EGPEGNDIRKTNVAQIRMAFRYETLCNELSFLSDAMKSEEITALTK >ENSMUSP00000120983.1 pep:known chromosome:GRCm38:7:110773672:110788799:1 gene:ENSMUSG00000005686.16 transcript:ENSMUST00000143786.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd3 description:adenosine monophosphate deaminase 3 [Source:MGI Symbol;Acc:MGI:1096344] MEAPGSAEMPRQFPKLNMSDLDEHVRLLAEKVFAKVLREEDSKDVMSLFTVPEDCPIGQK EAKERELQKELAEQKSVETAKRKKSFKMIRSQSLSLQMPTQQDWKGPPTASPAMSPATPL VPGATSKPGPAPYAMPEYQRVTISG >ENSMUSP00000121238.1 pep:known chromosome:GRCm38:7:110774244:110788721:1 gene:ENSMUSG00000005686.16 transcript:ENSMUST00000147587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd3 description:adenosine monophosphate deaminase 3 [Source:MGI Symbol;Acc:MGI:1096344] MPRQFPKLNMSDLDEHVRLLAEKVFAKVLREEDSKDVMSLFTVPEDCPIGQKEAKERELQ KELAEQKSVETAKRKKSFKMIRSQSLSLQMPTQQDWKGPPTASPAMSPATP >ENSMUSP00000122657.1 pep:known chromosome:GRCm38:7:110777690:110791314:1 gene:ENSMUSG00000005686.16 transcript:ENSMUST00000148292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd3 description:adenosine monophosphate deaminase 3 [Source:MGI Symbol;Acc:MGI:1096344] MPRQFPKLNMSDLDEHVRLLAEKVFAKVLREEDSKDVMSLFTVPEDCPIGQKEAKERELQ KELAEQKSVETAKRKKSFKMIRSQSLSLQMPTQQDWKGPPTASPAMSPATPLVPGATSKP GPAPYAMPEYQRVTISGDYCAGITVEDYEQAAKSLAKALMIREKYARLAYHRFPRTTAQY LAHQ >ENSMUSP00000033888.4 pep:known chromosome:GRCm38:8:3847965:3854309:-1 gene:ENSMUSG00000040197.4 transcript:ENSMUST00000033888.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209e description:CD209e antigen [Source:MGI Symbol;Acc:MGI:2157948] MRAPQMGSLGFLDKGHIPLVLQLLFLILFTGLLVAIIIQVSKMPSSEEIQWEHTKQEKMY KDLSQLKSEVDRLCRLCPWDWTFFNGNCYFFSKSQRDWHDSMTACKEMGAQLVIIKSHEE QSFLQQTSKKNSYTWMGLSDLNKEGEWYWLDGSPLSDSFEKYWKKGQPNNVGGQDCVEFR DNGWNDAKCEQRKFWICKKIATTCLSKW >ENSMUSP00000112928.1 pep:known chromosome:GRCm38:18:43320979:43438286:-1 gene:ENSMUSG00000024501.19 transcript:ENSMUST00000121805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl3 description:dihydropyrimidinase-like 3 [Source:MGI Symbol;Acc:MGI:1349762] MASGRRGWDSSHEDDLPVYLARPGTTDQVPRQKYGGMFCNVEGAFESKTLDFDALSVGQR GAKTPRSSQGSGRGAGNRPGMEVDARRGPGREESREPAPESPKPAGVEIRSATGKEVLQN LGPKDKSDRLLIKGGRIVNDDQSFYADIYMEDGLIKQIGDNLIVPGGVKTIEANGKMVIP GGIDVHTHFQMPYKGMTTVDDFFQGTKAALAGGTTMIIDHVVPEPESSLTEAYEKWREWA DGKSCCDYALHVDITHWNDSVKQEVQSLSKEKGVNSFMVYMAYKDLYQVSNTELYEIFTC LGELGAIAQVHAENGDIIAQEQARMLEMGITGPEGHVLSRPEELEAEAVFRAITVASQTN CPLYVTKVMSKSAADLISQARKKGNVVFGEPITASLGIDGTHYWSKNWAKAAAFVTSPPL SPDPTTPDYINSLLASGDLQLSGSAHCTFSTAQKAIGKDNFTAIPEGTNGVEERMSVIWD KAVATGKMDENQFVAVTSTNAAKIFNLYPRKGRIAVGSDSDLVIWDPDALKIVSAKNHQS VAEYNIFEGMELRGAPLVVICQGKIMLEDGNLHVTQGAGRFIPCSPFSDYVYKRIKARRK MADLHAVPRGMYDGPVFDLTTTPKGGTPAGSTRGSPTRPNPPVRNLHQSGFSLSGTQVDE GVRSASKRIVAPPGGRSNITSLS >ENSMUSP00000113711.1 pep:known chromosome:GRCm38:18:43324227:43373272:-1 gene:ENSMUSG00000024501.19 transcript:ENSMUST00000118043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl3 description:dihydropyrimidinase-like 3 [Source:MGI Symbol;Acc:MGI:1349762] MYSPSRNKIRQSDRLLIKGGRIVNDDQSFYADIYMEDGLIKQIGDNLIVPGGVKTIEANG KMVIPGGIDVHTHFQMPYKGMTTVDDFFQGTKAALAGGTTMIIDHVVPEPESSLTEAYEK WREWADGKSCCDYALHVDITHWNDSVKQEVQSLSKEKGVNSFMVYMAYKDLYQVSNTELY EIFTCLGELGAIAQVHAENGDIIAQEQARMLEMGITGPEGHVLSRPEELEAEAVFRAITV ASQTNCPLYVTKVMSKSAADLISQARKKGNVVFGEPITASLGIDGTHYWSKNWAKAAAFV TSPPLSPDPTTPDYINSLLASGDLQLSGSAHCTFSTAQKAIGKDNFTAIPEGTNGVEERM SVIWDKAVATGKMDENQFVAVTSTNAAKIFNLYPRKGRIAVGSDSDLVIWDPDALKIVSA KNHQSVAEYNIFEGMELRGAPLVVICQGKIMLEDGNLHVTQGAGRFIPCSPFSDYVYKRI KARRKMADLHAVPRGMYDGPVFDLTTTPKGGTPAGSTRGSPTRPNPPVRNLHQSGFSLSG TQVDEGVRSASKRIVAPPGGRSNITSLS >ENSMUSP00000025379.7 pep:known chromosome:GRCm38:18:43324288:43393377:-1 gene:ENSMUSG00000024501.19 transcript:ENSMUST00000025379.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl3 description:dihydropyrimidinase-like 3 [Source:MGI Symbol;Acc:MGI:1349762] MSYQGKKNIPRITSDRLLIKGGRIVNDDQSFYADIYMEDGLIKQIGDNLIVPGGVKTIEA NGKMVIPGGIDVHTHFQMPYKGMTTVDDFFQGTKAALAGGTTMIIDHVVPEPESSLTEAY EKWREWADGKSCCDYALHVDITHWNDSVKQEVQSLSKEKGVNSFMVYMAYKDLYQVSNTE LYEIFTCLGELGAIAQVHAENGDIIAQEQARMLEMGITGPEGHVLSRPEELEAEAVFRAI TVASQTNCPLYVTKVMSKSAADLISQARKKGNVVFGEPITASLGIDGTHYWSKNWAKAAA FVTSPPLSPDPTTPDYINSLLASGDLQLSGSAHCTFSTAQKAIGKDNFTAIPEGTNGVEE RMSVIWDKAVATGKMDENQFVAVTSTNAAKIFNLYPRKGRIAVGSDSDLVIWDPDALKIV SAKNHQSVAEYNIFEGMELRGAPLVVICQGKIMLEDGNLHVTQGAGRFIPCSPFSDYVYK RIKARRKMADLHAVPRGMYDGPVFDLTTTPKGGTPAGSTRGSPTRPNPPVRNLHQSGFSL SGTQVDEGVRSASKRIVAPPGGRSNITSLS >ENSMUSP00000114981.1 pep:known chromosome:GRCm38:18:43329691:43345964:-1 gene:ENSMUSG00000024501.19 transcript:ENSMUST00000124207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl3 description:dihydropyrimidinase-like 3 [Source:MGI Symbol;Acc:MGI:1349762] MLEMGITGPEGHVLSRPEELEAEAVFRAITVASQTNCPLYVTKVMSKSAADLISQARKKG NVVFGEPITASLGIDGTHYWSKNWAKAAAFVTSPPLSPDPTTPDYINSLLASGDLQLSGS AHCTFSTAQKAIGKDNFTAIPEGTNGVEERMSVIWDKAVATGKMDENQFVAVTSTNAAKI FNLYPRKGRIAVGSDSDLVIWDPDALKIVSAKNHQS >ENSMUSP00000113604.1 pep:known chromosome:GRCm38:18:43333905:43345558:-1 gene:ENSMUSG00000024501.19 transcript:ENSMUST00000118071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl3 description:dihydropyrimidinase-like 3 [Source:MGI Symbol;Acc:MGI:1349762] MLEMGITGPEGHVLSRPEELEAEAVFRAITVASQTNCPLYVTKVMSKSAADLISQARKKG NVVFGEPITASLGIDGTHYWSKNWAKAAAFVTSPPLSPDPTTP >ENSMUSP00000145971.1 pep:known chromosome:GRCm38:7:24175060:24181134:1 gene:ENSMUSG00000068962.7 transcript:ENSMUST00000205309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp114 description:zinc finger protein 114 [Source:MGI Symbol;Acc:MGI:3037815] MTKLQEAVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGGQSPGKTTHLHT TGLRFLSLGRLPCWQVTSHDANKLAGAPEAVISIQGKGPHLLEQCHFPCHWGAEQLPQAS EDASCPESPTNSHSSIIENQEFLSGRAQSSWGKTHLSKKQKPQKQCPKTLVKTEPRQLLP GVDTLSCISHHNNALHKRDKAHSSGDCGKVIFPVSPSTQHHVYIERRVYQCSKGQEALTD RPNHELHQPVLVGEKSPVRSTHEDTPIQQSVHPGKKQYSRHKCGTGFSKNAHLQTNQRVQ T >ENSMUSP00000146241.1 pep:known chromosome:GRCm38:7:24175086:24182318:1 gene:ENSMUSG00000068962.7 transcript:ENSMUST00000206547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp114 description:zinc finger protein 114 [Source:MGI Symbol;Acc:MGI:3037815] MTKLQEAVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGGQSPGKTTHLHT TGLRFLSLGRLPCWQVTSHDANKLAGAPEAVISIQGKGPHLLEQCHFPCHWGAEQLPQAS EDASCPESPTNSHSSIIENQEFLSGRAQSSWGKTHLSKKQKPQKQCPKTLVKTEPRQLLP GVDTLSCISHHNNALHKRDKAHSSGDCGKVIFPVSPSTQHHVYIERRVYQCSKGQEALTD RPNHELHQPVLVGEKSPVRSTHEDTPIQQSVHPGKKQYSRHKCGTGFSKNAHLQTNQRVQ TGKKPSHCDSCGKGFSRTSDLNIHCRVHTEEKPHKCEVCGKGFTKLSHLQAHERIHTGEK PYKCGDCGKRFSCSSNLHTHQRVHTEEKPYKCDECGKRFSLSFNLHSHQRVHTGEKPYKC EECGKGFTSASSFQSHQRVHTGEKPFVCSVCGKGFSRTSYLQTHQRVHTGEKPYQCDSCG KAFSQRSHLLVHQIIHTGEKPFKCEECGKEFTQSTGLSIHQRVHTGEKPYTCQQCGKGFS QASHFQRHQRVHTKEKPYICGICCKGFSQRSHLVYHQRVHSARNR >ENSMUSP00000083173.6 pep:known chromosome:GRCm38:7:24177361:24183188:1 gene:ENSMUSG00000068962.7 transcript:ENSMUST00000086010.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp114 description:zinc finger protein 114 [Source:MGI Symbol;Acc:MGI:3037815] MCLPSQEAVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGGQSPGKTTHLH TTGLRFLSLGRLPCWQVTSHDANKLAGAPEAVISIQGKGPHLLEQCHFPCHWGAEQLPQA SEDASCPESPTNSHSSIIENQEFLSGRAQSSWGKTHLSKKQKPQKQCPKTLVKTEPRQLL PGVDTLSCISHHNNALHKRDKAHSSGDCGKVIFPVSPSTQHHVYIERRVYQCSKGQEALT DRPNHELHQPVLVGEKSPVRSTHEDTPIQQSVHPGKKQYSRHKCGTGFSKNAHLQTNQRV QTGKKPSHCDSCGKGFSRTSDLNIHCRVHTEEKPHKCEVCGKGFTKLSHLQAHERIHTGE KPYKCGDCGKRFSCSSNLHTHQRVHTEEKPYKCDECGKRFSLSFNLHSHQRVHTGEKPYK CEECGKGFTSASSFQSHQRVHTGEKPFVCSVCGKGFSRTSYLQTHQRVHTGEKPYQCDSC GKAFSQRSHLLVHQIIHTGEKPFKCEECGKEFTQSTGLSIHQRVHTGEKPYTCQQCGKGF SQASHFQRHQRVHTKEKPYICGICCKGFSQRSHLVYHQRVHSARNR >ENSMUSP00000142130.1 pep:known chromosome:GRCm38:1:36372291:36422107:1 gene:ENSMUSG00000037432.15 transcript:ENSMUST00000179162.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fer1l5 description:fer-1-like 5 (C. elegans) [Source:MGI Symbol;Acc:MGI:3616091] MLRVVVESASINPPLSTTPKAFVTVYFRDMMKRTRVEEGHDPIWNETLIWHLWNQPLEND SFLKVILQDSVSKKKERFIGLATVPLKRLAQRPKEVMFVRDLILLNHSMKPTNCTVTLHV AQIYDQDTEMTGNEELLGSTVNEVTQKKLMVSGLPMHRALASKPQHFQVRVKVFEARQLL GNNIKPVVKVNIADQQHLTRIKMGNNPFFNEIFFQNFHEVPAKFFEENISIEVVDSAASR SKAEIGRFQTDIGFIYHSPGHTLLRKWLGLCQRNKTTSGVRGYLKVTICALGVGDQALVD QKLPYEQNTRVQIFKSKEVPVSLAYLQFFIYCAEDLHFGTHKSATPVLEVELIGDKLRTK PQNPSDNPIWNQILTFQIQLPCLSSYIKFRVMDCSKYKCQDEIGSASLCLSQISSTGEEI QGMYSGFLPCFGPSFLTLRGGKKPPFRTSEEGTCIMDAVQHGLAYRGRIFVEIVTKIKSQ QDSVMKDLSQEVTQVEMQYYRQKYGLCVIFLSCTMMPKFKDLIQFEVSMGHYGNKTDPNY KPLVSTTQYSPVIYDGTTYHYVPWYNTKPVVAVTSNWEDVGFRMNCLNLLHITRDRLKTN LDILKSIRNPRDPALLQQWEKLLKELQEDCRRPLPCMTDQPRANSLDRNKWQLRSQLLQQ LAQMAKEAKPVNMVGTAKEWLHRLNAVIPEPQESLPDVLIWLMSRQQRVAYARVPAHTVL FSPAGPLSSGKFCGKIQNILLQYPEGEGQDTFPASLRVCMWLGNVKYSKNLKLLQQGSMV VYAETYENQAKTRDDWGQQGLYHCPNFSDVMGRKALPKTDFKAPPGWHWKDDWVVEPQRR LLLDIDINKSQVLEEVYENQLRNATGAWVPAAIPNTDVNGQPVEALENVKCPQGWHFKKN WIVKLNHAVDSEGWEYGVGIPPSGLPQIWNSVEKTYHSCRRRRWVRVRFRNHKELGQERS QEQETLSFLQMQDLSEEGKEGWEYGTFDSRFHLDPQPTSRFRRRCWHRQLAPNKDRGVAS IFLLEGSLAVEQKDQPRKEMEKTRSWQPWKDLRHTPEDPRIPTTPFIYYILNKPHYYQLF CYIYQARNLMYNQILTFQEPFIQVVFLNHSLCTQTLRSSAAPTWSQSIIFQHLLLFEDPK DTRENPPLVVLELWQHDSRGNKILWGRSMWPPVVWLGLQDWVFTPLRWHPLVRELGEEEG EILASCELILETQKLKELHPPILSIPCKDGIYLLPKNIQPTMKMMAIEIMAWGLRNMTKV RYPQLLLECGGESLKTEPISNFQENPNFPTSTFFFTVFMPLEETHAQPLVVKVVDNQEYG QQIVVGQANIDFLQPYFCDPWSLNYTTVKLPTLSVKKPDTFLDFVYKKFWFDSSKDEEVY EEEVDWWSKLFWATGDADKSLNYNHKSYHTLKVYDCELEAVLTFKGLQDFCQTFKLYQEK PKVDSPVVGEFKGLFRIYPFPEDPEAPKPPRQFSAWPEIEDFPQMCLVRVYLIRAINLQP QDYNGLCDPYVILKLGQTKLGSRDSYYPNTLDPIFGMMYELTCNIPLEKDLEIQLFDFDL ITADDEIGSTVIDLENRLLSGFGARCGLSKSYCKSGPFKWRDQMTPSYLLYRYAKQKGLP PPVFDLEGDSLYYNGETFKLQSFESAPPTYKHLGPKKERLALYILNTQGLVPEHVETRTL HSNSQPGIDQGKIQMWVDIFPKMLGPPGPQVNISPRKPKRYQLRCIIWSTAEVDLVQETF SKEKMSDIYVKGWLFGLEEDTQKTDVHYHSLTGEATFNWRFIFTMDYLTTERACVQSQKD YIWSLDPTSTKFPARLMIQIWDNDFFSPDDFLGVLELDLSDMPLPAQNIKQCSLKMMETD SKWPFTPQKRISLFKKTNVTGWWPCQVLDGDKWRLSGKVKMTLEMLSEREALIRPAGRGQ SEPNQFPMLHPPERNDSFLLWYQSPIKNFCYAVCKRYRSKIICLVVTLVIGFILLNFVYS APSYFAMNWIKPQLRLSSPIKIVNLIGTVNTSNINSSILTMEGSTYHASHVFPEAPAP >ENSMUSP00000143919.1 pep:known chromosome:GRCm38:1:36385464:36404829:1 gene:ENSMUSG00000037432.15 transcript:ENSMUST00000202030.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fer1l5 description:fer-1-like 5 (C. elegans) [Source:MGI Symbol;Acc:MGI:3616091] XNTRVQIFKSKEVPVSLAYLQFFIYCAEDLHFGTHKSATPVLEVELIGDKLRTKPQNPSD NPIWNQILTFQIQLPCLSSYIKFRVMDCSKYKCQDEIGSASLCLSQISSTGEEIQGMYSG FLPCFGPSFLTLRGGKKPPFRTSEEGTCIMDAVQHGLAYRGRIFVEIVTKIKSQQDSVMK DLSQEVTQVEMQYYRQKYGLCVIFLSCTMMPKFKDLIQFEVSMGHYGNKTDPNYKPLVST TQYSPVIYDDIPPPPSCVSVT >ENSMUSP00000144430.1 pep:known chromosome:GRCm38:1:36416888:36422110:1 gene:ENSMUSG00000037432.15 transcript:ENSMUST00000140452.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fer1l5 description:fer-1-like 5 (C. elegans) [Source:MGI Symbol;Acc:MGI:3616091] ILKLGQTKLGSRDSYYPNTLDPIFGMMYELTCNIPLEKDLEIQLFDFDLITADDEIGSTV IDLENRLLSGFGARCGLSKSYCKSGPFKWRDQMTPSYLLYRYAKQKGLPPPVFDLEGDSL YYNGETFKLQSFESAPPTYKHLGPKKERLALYILNTQGLVPEHVETRTLHSNSQPGIDQG KIQMWVDIFPKMLGPPGPQVNISPRKPKR >ENSMUSP00000146962.1 pep:known chromosome:GRCm38:7:101092863:101196903:1 gene:ENSMUSG00000030691.15 transcript:ENSMUST00000208439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fchsd2 description:FCH and double SH3 domains 2 [Source:MGI Symbol;Acc:MGI:2448475] MRQQQVKVTQELRNIQGEQMTKLQAKHQAECDLLEDMRTFSQKKAAIEREYAQGIQKLAS QYLKRDWPGIKTDDRNDYRSMYPVWKSFLEGTMQVAQSRINICENYKNFISEPARAVRSL KEQQLKRCVDQLTKIQTELQETVKDLVKGKKKYFETEQMAHAVREKADIEAKSKLSLFQS >ENSMUSP00000032931.7 pep:known chromosome:GRCm38:7:101108733:101284405:1 gene:ENSMUSG00000030691.15 transcript:ENSMUST00000032931.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fchsd2 description:FCH and double SH3 domains 2 [Source:MGI Symbol;Acc:MGI:2448475] MQPPPRKVKVTQELRNIQGEQMTKLQAKHQAECDLLEDMRTFSQKKAAIEREYAQGIQKL ASQYLKRDWPGIKTDDRNDYRSMYPVWKSFLEGTMQVAQSRINICENYKNFISEPARAVR SLKEQQLKRCVDQLTKIQTELQETVKDLVKGKKKYFETEQMAHAVREKADIEAKSKLSLF QSRISLQKASVKLKARRSECNTKATHARNDYLLTLAAANAHQDRYYQTDLVNIMKALDGN VYDHLKDYLIAFSRTELETCQAIQNTFQFLLENSSKVVRDYNLQLFLQENAVFHKPQPFQ FQPCDSDTSLKAAQLVDIELSPVSALRMTIAESRQLESETGTTEEHSLNKEARKWATRVA REHKNIVHQQRVLNELECHGVALSEQSRAELEQKIDEARESIRKAEIIKLKAEARLDLLK QIGVSVDTWLKSAMNQVMEELENERWARPPAVTSNGTLHSLNADAEREEGEEFEDNMDVF DDSSSSPSGTLRNYPLTCKVVYSYKASQPDELTIEEHEVLEVIEDGDMEDWVKARNKVGQ VGYVPEKYLQFPTSNSLLSMLQSLAALDSRSHTSSNSTEAELVSGSLNGDASVCFVKALY DYEGQTDDELSFPEGAIIRILNKENQDDDGFWEGEFSGRIGVFPSVLVEELSASENGDTP WTREIQISPSPKPHTSLPPLPLYDQPPSSPYPSPDKRSSQFFPRSPSANENSLHAESPGF SQASRQTPDTSYGKLRPVRAAPPPPTQNHRRTTEKMEDVEITLV >ENSMUSP00000095850.3 pep:known chromosome:GRCm38:7:101108775:101284382:1 gene:ENSMUSG00000030691.15 transcript:ENSMUST00000098250.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fchsd2 description:FCH and double SH3 domains 2 [Source:MGI Symbol;Acc:MGI:2448475] MQPPPRKVKVTQELRNIQGEQMTKLQAKHQAECDLLEDMRTFSQKKAAIEREYAQGIQKL ASQYLKRDWPGIKTDDRNDYRSMYPVWKSFLEGTMQVAQSRINICENYKNFISEPARAVR SLKEQQLKRCVDQLTKIQTELQETVKDLVKGKKKYFETEQMAHAVREKADIEAKSKLSLF QSRISLQKASVKLKARRSECNTKATHARNDYLLTLAAANAHQDRYYQTDLVNIMKALDGN VYDHLKDYLIAFSRTELETCQAIQNTFQFLLENSSKVVRDYNLQLFLQENAVFHKPQPFQ FQPCDSDTSRQLESETGTTEEHSLNKEARKWATRVAREHKNIVHQQRVLNELECHGVALS EQSRAELEQKIDEARESIRKAEIIKLKAEARLDLLKQIGVSVDTWLKSAMNQVMEELENE RWARPPAVTSNGTLHSLNADAEREEGEEFEDNMDVFDDSSSSPSGTLRNYPLTCKVVYSY KASQPDELTIEEHEVLEVIEDGDMEDWVKARNKVGQVGYVPEKYLQFPTSNSLLSMLQSL AALDSRSHTSSNSTEAELVSGSLNGDASVCFVKALYDYEGQTDDELSFPEGAIIRILNKE NQDDDGFWEGEFSGRIGVFPSVLVEELSASENGDTPWTREIQISPSPKPHTSLPPLPLYD QPPSSPYPSPDKRSSQFFPRSPSANENSLHAESPGFSQASRQTPDTSYGKLRPVRAAPPP PTQNHRRTTEKMEDVEITLV >ENSMUSP00000118918.1 pep:known chromosome:GRCm38:6:39592574:39603382:1 gene:ENSMUSG00000002416.13 transcript:ENSMUST00000135671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb2 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 2 [Source:MGI Symbol;Acc:MGI:1915448] MSALTRLVPFGRVGGRLLRGCRARAAGDSGVRHAGGGVHIQPRYREFPQLTRSQVIQGEF LSSLMWFWILWRFWHDSDAVLGHFSYPDPSQWTDEELGIPPDDED >ENSMUSP00000114095.1 pep:known chromosome:GRCm38:6:39592583:39599471:1 gene:ENSMUSG00000002416.13 transcript:ENSMUST00000119379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb2 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 2 [Source:MGI Symbol;Acc:MGI:1915448] MSALTRLVPFGRVGGRLLRGCRARAAGDSGVRHAGGGVHIQPRYREFPQLTRSQVIQGEF LSSLMWFWILWRFWHDSDAVLGHFSYPDPSQWTDEELGIPPDDED >ENSMUSP00000020286.6 pep:known chromosome:GRCm38:10:61648552:61674168:1 gene:ENSMUSG00000020089.8 transcript:ENSMUST00000020286.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa1 description:pyrophosphatase (inorganic) 1 [Source:MGI Symbol;Acc:MGI:97831] MSGFSSEERAAPFTLEYRVFLKNEKGQYISPFHDVPIYADKDVFHMVVEVPRWSNAKMEI ATKDPLNPIKQDVKKGKLRYVANLFPYKGYIWNYGAIPQTWEDPGHSDKHTGCCGDNDPI DVCEIGSKVCARGEIIRVKVLGILAMIDEGETDWKVIAINVDDPDAANYKDISDVERLKP GYLEATVDWFRRYKVPDGKPENEFAFNAEFKNKDFAVDIIKSTHDYWKALVTKKTDGKGI SCMNTTVSESPFKCDPDAAKAIVDALPPPCESACSLPTDVDKWFHQQKN >ENSMUSP00000116435.1 pep:known chromosome:GRCm38:7:139894696:139923776:1 gene:ENSMUSG00000066129.14 transcript:ENSMUST00000151421.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kndc1 description:kinase non-catalytic C-lobe domain (KIND) containing 1 [Source:MGI Symbol;Acc:MGI:1923734] MQAMDPASRGFYEEDGKDLDFYDFEPLPTLPEDEENVSLADILSLRDRGLSEQEAWAVCL ECSLSMRSVAHAAIFQTLCITPDTLAFNTSGNVCFMEQLSDDPEGAFVPPEFDLTGNTFE AHIYSLGATLKAALEYVPEPELEPKLSTDLEGLLSQMQAEDPRERPDLASIIALCEEKMQ PVSSCRLCRSLSAIGRRVLSIESFGAFQELSENTWRGRPAPRNVGPKKMPGDLSTDPEAL FPSKGLLQPPASRDAEQEAGQRPRAPSPKPLLSAPVRNGENPGQEGLADLVLDARCPLGE LDRDNLRRSRLKKAQTFPRLLQESTETSTLCLSLNGSRNQLAISEFFPPDPRKLFLEGKN GLSGFKTQSKSRLWPEQEPGVQLDKTPGAGRNPHRSPGASGQLEASSPSQGSVEYKPSPS PVDAGDSDHEGHIPRSEEKIPEESRQPGSTATEQSLSLKDLLSKLGRPFREYELWALCLS CLSTLQTHKEHPAHLCLDNVLVAEDGTVFFGPPPANGAYNSLFLAPEVSEEKLVTEKASV YCVAAVLWTAAKFSVPRDHKLALPRRLKTLLLDMARRHASERPSAAEAIKVCSSYLLQRG MDSSKILAHLRASTCKVHPEEETIGLQNAFSVVELKSTTAPAPESSPGFLQVSNDTKLVA VPGPVPGLPPCCKEACELPAAFTSEATHFKPIVLAQDASVTRDQLALPSESNEKPKEGSG HLDREGTRKQAALELVEATDLKMSNQLSPGPELQGATPDPDGDSGSPSSATECSCPHGPA LVTQQKGTSGTPSSPASSLPPEHRPDGEGPLGTTVLPGPTSASQGSRHPCKPPRGKAAAS PSSPRGSDGHPEKPRPADRKLCPSSVDTSSPPKMTACPSLQEAMRLIQEEFAFDGYMDNG LEALIMGEYIYALKDLTFATFCGAISEKFCDLYWDEQLLKNLFKVVNGPASPSESTSEEP GSQPEHSPSRCSLSSKRPSLHGLGKEKPATTWGSGGPC >ENSMUSP00000113856.1 pep:known chromosome:GRCm38:7:139894839:139914452:1 gene:ENSMUSG00000066129.14 transcript:ENSMUST00000121839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kndc1 description:kinase non-catalytic C-lobe domain (KIND) containing 1 [Source:MGI Symbol;Acc:MGI:1923734] MQAMDPASRGFYEEDGKDLDFYDFEPLPTLPEDEENVSLADILSLRDRGLSEQEAWAVCL ECSLSMRSVAHAAIFQTLCITPDTLAFNTSGNVCFMEQLSDDPEGAFVPPEFDLTGNTFE AHIYSLGATLKAALEYVPEPELEPKLSTDLEGLLSQMQAEDPRERPDLASIIALCEEKMQ PVSSCRLCRSLSAIGRRVLSIESFGAFQELSENTWRGRPAPRNVGPKKMPGDLSTDPEAL FPSKGLLQPPASRDAEQEAGQRPRAPSPKPLLSAPVRNGENPGQEGLADLVLDARCPLGE LDRDNLRRSRLKKAQTFPRLLQESTETSTLCLSLNGSRNQLAISEFFPPDPRKLFLEGKN GLSGFKTQSKSRLWPEQEPGVQLDKTPGAGRNPHRSPGASGQLEASSPSQGSVEYKPSPS PVDAGDSDHEGHIPRSEEKIPEESRQPGSTATEQSLSLKDLLSKLGRPFREYELWALCLS CLSTLQTHKEHPAHLCLDNVLVAEDGTVFFGPPPANGAYNSLFLAPEVSEEKLVTEKVTN HITSLAPSSPSAQWIAGPDGLNVAPILLAGLL >ENSMUSP00000115747.1 pep:known chromosome:GRCm38:7:139923778:139941537:1 gene:ENSMUSG00000066129.14 transcript:ENSMUST00000154782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kndc1 description:kinase non-catalytic C-lobe domain (KIND) containing 1 [Source:MGI Symbol;Acc:MGI:1923734] XPTALSDIDSDTLSQGNFEVGFRSQKSIKVTREQQPEAEVGGQPGPSQDSTSHASDTVAR LARSEDGGPAGSPGASDFQNCSPGWSSAFYEADCFGADVYNYVKDLERQKTNGHTELEAQ SPELEQQLMIEKRNYRKTLKFYQKLLQKEKRNKGSEVRTMLSKLRGQLDEMKSKVQFLSL VKKYLQVMYAERWGLEPCALPVIVNIAAAPCDTLDFSPLDESSSLIFYNVNKHPGSGRQK KARILQAGTPLGLMAYLYSSDAFLEGYVQQFLYTFRYFCTPHDFLHFLLDRISSTLSRAH QDPTSTFTKIYRRSLCVLQAWVEDCYTVDFIRNAGLLGQLEDFISSKILPLDGTAEHLLA LLEVGTERRADSASRGADLEDPKEAEEDTRPFNALCKRFSEDGITRKSFSWRLPRGNGLV LPHHKERQYTIASALPKPCFFEDFYGPYAKASEKGPYFLTEYSTNQLFTQLTLLQQELFQ KCHPVHFLNSRALGVMDKSAAIPKASSSESLSAKTCSLFLPNYVQDKYLLQLLRNADDVS TWVAAEIVTSHTSKLQVNLLSKFLLIAKSCYEQRNFATAMQILGGLEHLAVRQSPAWRIL PAKIAEVMEELKAVEVFLKSDSLCLMEGRRFRAQPTLPSAHLLAMHIQQLETGGFTMTNG AHRWSKLRNIAKVASQVHAFQENPYTFSPDPKLQAHLKQRIARFSGADVSILAADNRANF HQIPGEKHSRKIQDKLRRMKATFQ >ENSMUSP00000050586.9 pep:known chromosome:GRCm38:7:139894696:139941537:1 gene:ENSMUSG00000066129.14 transcript:ENSMUST00000053445.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kndc1 description:kinase non-catalytic C-lobe domain (KIND) containing 1 [Source:MGI Symbol;Acc:MGI:1923734] MQAMDPASRGFYEEDGKDLDFYDFEPLPTLPEDEENVSLADILSLRDRGLSEQEAWAVCL ECSLSMRSVAHAAIFQTLCITPDTLAFNTSGNVCFMEQLSDDPEGAFVPPEFDLTGNTFE AHIYSLGATLKAALEYVPEPELEPKLSTDLEGLLSQMQAEDPRERPDLASIIALCEEKMQ PVSSCRLCRSLSAIGRRVLSIESFGAFQELSENTWRGRPAPRNVGPKKMPGDLSTDPEAL FPSKGLLQPPASRDAEQEAGQRPRAPSPKPLLSAPVRNGENPGQEGLADLVLDARCPLGE LDRDNLRRSRLKKAQTFPRLLQESTETSTLCLSLNGSRNQLAISEFFPPDPRKLFLEGKN GLSGFKTQSKSRLWPEQEPGVQLDKTPGAGRNPHRSPGASGQLEASSPSQGSVEYKPSPS PVDAGDSDHEGHIPRSEEKIPEESRQPGSTATEQSLSLKDLLSKLGRPFREYELWALCLS CLSTLQTHKEHPAHLCLDNVLVAEDGTVFFGPPPANGAYNSLFLAPEVSEEKLVTEKASV YCVAAVLWTAAKFSVPRDHKLALPRRLKTLLLDMARRHASERPSAAEAIKVCSSYLLQRG MDSSKILAHLRASTCKVHPEEETIGLQNAFSVVELKSTTAPAPESSPGFLQVSNDTKLVA VPGPVPGLPPCCKEACELPAAFTSEATHFKPIVLAQDASVTRDQLALPSESNEKPKEGSG HLDREGTRKQAALELVEATDLKMSNQLSPGPELQGATPDPDGDSGSPSSATECSCPHGPA LVTQQKGTSGTPSSPASSLPPEHRPDGEGPLGTTVLPGPTSASQGSRHPCKPPRGKAAAS PSSPRGSDGHPEKPRPADRKLCPSSVDTSSPPKMTACPSLQEAMRLIQEEFAFDGYMDNG LEALIMGEYIYALKDLTFATFCGAISEKFCDLYWDEQLLKNLFKVVNGPASPSESTSEEP GSQPEHSPSRCSLSSKRPSLHGLGKEKPATTWGSGGPCSPTALSDIDSDTLSQGNFEVGF RSQKSIKVTREQQPEAEVGGQPGPSQDSTSHASDTVARLARSEDGGPAGSPGASDFQNCS PGWSSAFYEADCFGADVYNYVKDLERQKTNGHTELEAQSPELEQQLMIEKRNYRKTLKFY QKLLQKEKRNKGSEVRTMLSKLRGQLDEMKSKVQFLSLVKKYLQVMYAERWGLEPCALPV IVNIAAAPCDTLDFSPLDESSSLIFYNVNKHPGSGRQKKARILQAGTPLGLMAYLYSSDA FLEGYVQQFLYTFRYFCTPHDFLHFLLDRISSTLSRAHQDPTSTFTKIYRRSLCVLQAWV EDCYTVDFIRNAGLLGQLEDFISSKILPLDGTAEHLLALLEVGTERRADSASRGADLEDP KEAEEDTRPFNALCKRFSEDGITRKSFSWRLPRGNGLVLPHHKERQYTIASALPKPCFFE DFYGPYAKASEKGPYFLTEYSTNQLFTQLTLLQQELFQKCHPVHFLNSRALGVMDKSAAI PKASSSESLSAKTCSLFLPNYVQDKYLLQLLRNADDVSTWVAAEIVTSHTSKLQVNLLSK FLLIAKSCYEQRNFATAMQILGGLEHLAVRQSPAWRILPAKIAEVMEELKAVEVFLKSDS LCLMEGRRFRAQPTLPSAHLLAMHIQQLETGGFTMTNGAHRWSKLRNIAKVASQVHAFQE NPYTFSPDPKLQAHLKQRIARFSGADVSILAADNRANFHQIPGEKHSRKIQDKLRRMKAT FQ >ENSMUSP00000078145.2 pep:known chromosome:GRCm38:14:50126553:50127587:1 gene:ENSMUSG00000059488.2 transcript:ENSMUST00000079142.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr727 description:olfactory receptor 727 [Source:MGI Symbol;Acc:MGI:3030561] MNETNYSRVTEFVLLGLSSSKELQPFLFLIFSLLYLAILLGNFLIILTVTSDSRLHTPMY FLLANLSFIDMCVASFATPKMLADFLVERKTISFEACLAQIFFVHLFTGGEMVLLVSMAY DRYVAICKPLHYMTIMSRRVCIILVCISWFVGFIHTTSQLAFTVNLPFCGPNKVDSFFCD LPLVTKLACIDTYVVSLLIVADSGFLSMSSFLLLVVSYTVILITVRNRSSASMAKARSTL TAHITVVVLFFGPCIFIYVWPFSSYSVDKVLAVFYTIFTPILNPVIYTLRNKEVKAAMSK LRGRYLKPGQVSALIRNVLFLETK >ENSMUSP00000095101.3 pep:known chromosome:GRCm38:1:163945993:163994796:-1 gene:ENSMUSG00000041406.14 transcript:ENSMUST00000097493.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC055324 description:cDNA sequence BC055324 [Source:MGI Symbol;Acc:MGI:3590554] MSQDDAEVASGVVLEELSSWSEEMCRRELPSVLPRLLSMYQCSESWIEHIRILKIIVEMF LPHMNHLTLEETLFSQVLPKSIKLFDGMICELTSEARELSSQNLEIQVTIRNILQAMVQV IGGFTGCVRHVCATQKSVFLGSIQSLPSFILHIIKSAFVHCKNSECVYSGRLHLVSDLLQ VLFKEAYSLQKQLMGLLDTVCLDPSVDENNALIMVGVIHSLLDICSVISGMDQAFHANTW KFIIKQSLKHHSVIKSQLRHKEIISSLCEDILFSFHSCLQLAEQITQPAAQGNADYRLFQ KTLKLCRFFANSLLHYTKECLPFLSDSCCTLHQLYLQIHSKFLSLCAAKTSKAQQEEIAS TFLVLLDPLISQLLKSQPFVQAVLASKLALPCELQLPQVLLLVVAMDKLPSQPQDVQTLW STEDMTRMSILKGIFYNFGQCSGELSLPTHLQGTKGKGQAEVPVTLYQHVCVHLCAFVAS FHSSLFPRLDAALLNAVLSTNMSTSLLAMDVWCFLARYGTAKLGAHHVTLVAHLVKSCPG KCVQLTNLSILLKRLLFFMAAPHQVQFIQKFSPKEADNLHLWQYISLQAFDADLRKPVAC ELVRVCRAQCRKWLSSTRTLAELDSLNTALSVVLTVCNSAGEALDSRQLTAVTEVLGELW TFINVEQIISQPYVQQAFSLLLQLLAFFIQTVDLQLISQVVNVLTSVIKLEPPDHVSLAV LDFISSLGKLYISQTIRDKVLPSLSCILTSLIVNKNWLLEQHTLEAFTQFAEGTKHEEIV PQCLGSEEIKNKVVSFLEKTESVDEAEVATVDNVKQEKGTFWEPAAKVTVEEVKTSAFQP HTKRARRVLPFEEEYRSVFKAAARALETTEFLLKHSLAPAWLLPELEALQGRIEKLKRCV LTGGSTCAQSPRPALTRFDTLPLPTWL >ENSMUSP00000043143.6 pep:known chromosome:GRCm38:1:163953754:163994796:-1 gene:ENSMUSG00000041406.14 transcript:ENSMUST00000045876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC055324 description:cDNA sequence BC055324 [Source:MGI Symbol;Acc:MGI:3590554] MSQDDAEVASGVVLEELSSWSEEMCRRELPSVLPRLLSMYQCSESWIEHIRILKIIVEMF LPHMNHLTLEETLFSQVLPKSIKLFDGMICELTSEARELSSQNLEIQVTIRNILQAMVQV IGGFTGCVRHVCATQKSVFLGSIQSLPSFILHIIKSAFVHCKNSECVYSGRLHLVSDLLQ VLFKEAYSLQKQLMGLLDTVCLDPSVDENNALIMVGVIHSLLDICSVISGMDQAFHANTW KFIIKQSLKHHSVIKSQLRHKEIISSLCEDILFSFHSCLQLAEQITQPAAQGNADYRLFQ KTLKLCRFFANSLLHYTKECLPFLSDSCCTLHQLYLQIHSKFLSLCAAKTSKAQQEEIAS TFLVLLDPLISQLLKSQPFVQAVLASKLALPCELQLPQVLLLVVAMDKLPSQPQDVQTLW STEDMTRMSILKGIFYNFGQCSGELSLPTHLQGTKGKGQAEVPVTLYQHVCVHLCAFVAS FHSSLFPRLDAALLNAVLSTNMSTSLLAMDVWCFLARYGTAKLGAHHVTLVAHLVKSCPG KCVQLTNLSILLKRLLFFMAAPHQVQFIQKFSPKEADNLHLWQYISLQAFDADLRKPVAC ELVRVCRAQCRKWLSSTRTLAELDSLNTALSVVLTVCNSAGEALDSRQLTAVTEVLGELW TFINVEQIISQPYVQQAFSLLLQLLAFFIQTVDLQLISQVVNVLTSVIKLEPPDHVSLAV LDFISSLGKLYISQTIRDKVLPSLSCILTSLIVNKNWLLEQHTLEAFTQFAEGTKHEEIV PQCLGSEEIKNKVVSFLEKTESVDEAEVATVDNVKQEKGTFWEPAAKVTVEEVKTSAFQP HTKRARRVLPFEEEYRSVFKAAARALETTEFLLKHSLAPAWLLPELEALQGRIEKLKRCV LTG >ENSMUSP00000125075.1 pep:known chromosome:GRCm38:1:163954275:163962023:-1 gene:ENSMUSG00000041406.14 transcript:ENSMUST00000159617.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC055324 description:cDNA sequence BC055324 [Source:MGI Symbol;Acc:MGI:3590554] QLTAVTEVLDYQSALCSTGI >ENSMUSP00000124826.1 pep:known chromosome:GRCm38:1:163986890:163994764:-1 gene:ENSMUSG00000041406.14 transcript:ENSMUST00000160926.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC055324 description:cDNA sequence BC055324 [Source:MGI Symbol;Acc:MGI:3590554] MSQDDAEVASGVVLEELSSWSEEMCRRELPSVLPRLLSMYQCSESWIEHIRKNFKNHC >ENSMUSP00000053389.6 pep:known chromosome:GRCm38:5:74195286:74199481:1 gene:ENSMUSG00000049907.8 transcript:ENSMUST00000051937.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasl11b description:RAS-like, family 11, member B [Source:MGI Symbol;Acc:MGI:1916189] MRLIQNMCTIAEYPAPGSTAADCCLGAAGRRLVKIAVVGASGVGKTALVVRFLTKRFIGD YERNAGNLYTRQVHIEGETLAIQVQDTPGIQVHENGLSCSEQLNRCIRWADAVVLVFSIT DHKSYELISQLHQHVQQLHPGTRLPVVLVANKADLLHVKQVDPQLGLQLASMLGCSFYEV SVSENYNDVYNAFHVLCKEVSPKQQPSSTPEKRRTSLIPRPKSPNMQDLKRRFKQALSAK VRTVTSV >ENSMUSP00000059919.8 pep:known chromosome:GRCm38:4:44991242:45012394:-1 gene:ENSMUSG00000049657.9 transcript:ENSMUST00000055028.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb5 description:zinc finger and BTB domain containing 5 [Source:MGI Symbol;Acc:MGI:1924601] MDFPGHFEQIFQQLNYQRLHGQLCDCVIVVGNRHFKAHRSVLAACSTHFRALFSVAEGDQ TMNMIQLDSEVVTAEAFAALIDMMYTSTLMLGESNVMDVLLAASHLHLNSVVKACKHYLT TRTLPMSPSSERAQEQSARMQRSFMLQQLGLSIVSSALSSSQSAEEPTAPMSSSMRSSLD QRTPFPMRRLHKRKQSVEERARQRLRSSMEESAISDVTPESGPAGVHSREEFFSPDSLKI VDNPKPDGMADNQEDGAMMFDRPFGAQEDAQVPSQSDGSAGNMASRATQVETSFEQEAVA EKGSFQCENPEVGLGEKEHMRVVVKSEPLSSPEPQDEVSDVTSQAEGSESVEVEGVVVSA EKIDLSPESSDRSFSDPQSSTDRVGDIHILEVTNNLEHKTSFSISNFLNKSRGSNFSASQ STDDNLPNTTSDCRLEGEAPYLLSPEAGPAGGPSSAPGSHVENPFSEPADSHFVRPMQEV MGLPCVQTSGYQGEQFGMDFSRSGLGLHSSFSRAMMGSPRGGASNFPYYRRIAPKMPVVT SVRSSQISENSASSQLMMNGATSFENGHTSQPGPPQLTRASADVLSKCKKALSEHNVLVV EGARKYACKICCKTFLTLTDCKKHIRVHTGEKPYACLKCGKRFSQSSHLYKHSKTTCLRW QSSNLPSTLL >ENSMUSP00000103447.2 pep:known chromosome:GRCm38:4:44992981:45012412:-1 gene:ENSMUSG00000049657.9 transcript:ENSMUST00000107817.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb5 description:zinc finger and BTB domain containing 5 [Source:MGI Symbol;Acc:MGI:1924601] MDFPGHFEQIFQQLNYQRLHGQLCDCVIVVGNRHFKAHRSVLAACSTHFRALFSVAEGDQ TMNMIQLDSEVVTAEAFAALIDMMYTSTLMLGESNVMDVLLAASHLHLNSVVKACKHYLT TRTLPMSPSSERAQEQSARMQRSFMLQQLGLSIVSSALSSSQSAEEPTAPMSSSMRSSLD QRTPFPMRRLHKRKQSVEERARQRLRSSMEESAISDVTPESGPAGVHSREEFFSPDSLKI VDNPKPDGMADNQEDGAMMFDRPFGAQEDAQVPSQSDGSAGNMASRATQVETSFEQEAVA EKGSFQCENPEVGLGEKEHMRVVVKSEPLSSPEPQDEVSDVTSQAEGSESVEVEGVVVSA EKIDLSPESSDRSFSDPQSSTDRVGDIHILEVTNNLEHKTSFSISNFLNKSRGSNFSASQ STDDNLPNTTSDCRLEGEAPYLLSPEAGPAGGPSSAPGSHVENPFSEPADSHFVRPMQEV MGLPCVQTSGYQGEQFGMDFSRSGLGLHSSFSRAMMGSPRGGASNFPYYRRIAPKMPVVT SVRSSQISENSASSQLMMNGATSFENGHTSQPGPPQLTRASADVLSKCKKALSEHNVLVV EGARKYACKICCKTFLTLTDCKKHIRVHTGEKPYACLKCGKRFSQSSHLYKHSKTTCLRW QSSNLPSTLL >ENSMUSP00000122991.1 pep:known chromosome:GRCm38:4:44994814:45012145:-1 gene:ENSMUSG00000049657.9 transcript:ENSMUST00000131991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb5 description:zinc finger and BTB domain containing 5 [Source:MGI Symbol;Acc:MGI:1924601] MDFPGHFEQIFQQLNYQRLHGQLCDCVIVVGNRHFKAHRSVLAACSTHFRALFSVAEGDQ TMNMIQLDSEVVTAEAFAALIDMMYTSTLMLGESNVMDVLLAASHLHLNSVVKACKHYLT TRTLPMSPSSERAQEQSARMQRSFMLQQLGLSIVSSALSSSQSAEEPTAPMSSSMRSSLD QRTPFPMRRL >ENSMUSP00000136507.1 pep:known chromosome:GRCm38:4:44991245:45012412:-1 gene:ENSMUSG00000049657.9 transcript:ENSMUST00000180217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb5 description:zinc finger and BTB domain containing 5 [Source:MGI Symbol;Acc:MGI:1924601] MDFPGHFEQIFQQLNYQRLHGQLCDCVIVVGNRHFKAHRSVLAACSTHFRALFSVAEGDQ TMNMIQLDSEVVTAEAFAALIDMMYTSTLMLGESNVMDVLLAASHLHLNSVVKACKHYLT TRTLPMSPSSERAQEQSARMQRSFMLQQLGLSIVSSALSSSQSAEEPTAPMSSSMRSSLD QRTPFPMRRLHKRKQSVEERARQRLRSSMEESAISDVTPESGPAGVHSREEFFSPDSLKI VDNPKPDGMADNQEDGAMMFDRPFGAQEDAQVPSQSDGSAGNMASRATQVETSFEQEAVA EKGSFQCENPEVGLGEKEHMRVVVKSEPLSSPEPQDEVSDVTSQAEGSESVEVEGVVVSA EKIDLSPESSDRSFSDPQSSTDRVGDIHILEVTNNLEHKTSFSISNFLNKSRGSNFSASQ STDDNLPNTTSDCRLEGEAPYLLSPEAGPAGGPSSAPGSHVENPFSEPADSHFVRPMQEV MGLPCVQTSGYQGEQFGMDFSRSGLGLHSSFSRAMMGSPRGGASNFPYYRRIAPKMPVVT SVRSSQISENSASSQLMMNGATSFENGHTSQPGPPQLTRASADVLSKCKKALSEHNVLVV EGARKYACKICCKTFLTLTDCKKHIRVHTGEKPYACLKCGKRFSQSSHLYKHSKTTCLRW QSSNLPSTLL >ENSMUSP00000095858.3 pep:known chromosome:GRCm38:9:123697012:123712624:-1 gene:ENSMUSG00000025245.13 transcript:ENSMUST00000098258.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lztfl1 description:leucine zipper transcription factor-like 1 [Source:MGI Symbol;Acc:MGI:1934860] XLVEETFTIDEVSEVLNGLQAVVHSEVESELINTAYTNVLLLRQLFSQAEKWYLKLQTDI SELENRELLEQVAEFEKAEFVSSSKKPIIDITKPKLVPINEGGTTELLNKEILRLQQENE KLKSRLKTIEIQAVNALDEKSKLERVLQDLQLDQENQQDLLKAQDLDDLENTVATLRSEF QKTLNDKTENQKSLEENLAAAKHDLLRVQEQLSMAEKI >ENSMUSP00000130872.1 pep:known chromosome:GRCm38:9:123697012:123717528:-1 gene:ENSMUSG00000025245.13 transcript:ENSMUST00000166097.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lztfl1 description:leucine zipper transcription factor-like 1 [Source:MGI Symbol;Acc:MGI:1934860] MAELGLNEHHQNEVINYMRFARSKRGLRLKTVDSCFQDLKDSRLVEETFTIDEVSEVLNG LQAVVHSEVESELINTAYTNVLLLRQLFSQAEKWYLKLQTDISELENRELLEQVAEFEKA EFVSSSKKSILNPL >ENSMUSP00000026274.7 pep:known chromosome:GRCm38:9:123697012:123717625:-1 gene:ENSMUSG00000025245.13 transcript:ENSMUST00000026274.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lztfl1 description:leucine zipper transcription factor-like 1 [Source:MGI Symbol;Acc:MGI:1934860] MAELGLNEHHQNEVINYMRFARSKRGLRLKTVDSCFQDLKDSRLVEETFTIDEVSEVLNG LQAVVHSEVESELINTAYTNVLLLRQLFSQAEKWYLKLQTDISELENRELLEQVAEFEKA EFVSSSKKPIIDITKPKLVPINEGGTTELLNKEILRLQQENEKLKSRLKTIEIQAVNALD EKSKLERVLQDLQLDQENQQDLLKAQDLDDLENTVATLRSEFQKTLNDKTENQKSLEENL AAAKHDLLRVQEQLSMAEKELEKKFQQTAAYRNMKEILTKKNDQIKDLRKRLAKYESED >ENSMUSP00000132359.1 pep:known chromosome:GRCm38:9:123700053:123712625:-1 gene:ENSMUSG00000025245.13 transcript:ENSMUST00000168921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lztfl1 description:leucine zipper transcription factor-like 1 [Source:MGI Symbol;Acc:MGI:1934860] XLVEETFTIDEVSEVLNGLQAVVHSEVESELINTAYTNVLLLRQLFSQAEKWYLKLQTDI SELENRELLEQVAEFEKAEFVSSSKKPIIDITKPKLVPINEGGTTELLNKAVNALDEKSK LERVLQDLQLDQENQQDLLKAQDLDDLENTVATLRSEFQKTLNDKTENQKSLEENLAAAK HDLLRVQEQLSMAEKELEKKFQQTAAYRNMKEILTKKNDQIKDLRKRLAKYESED >ENSMUSP00000147021.1 pep:known chromosome:GRCm38:10:18318985:18531973:1 gene:ENSMUSG00000039835.16 transcript:ENSMUST00000207038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhsl1 description:NHS-like 1 [Source:MGI Symbol;Acc:MGI:106390] MPFHQRSVEPARLRRPDEAALARAGDPPLFRSLEQVSSHTLVCLLAQLADLSRCAGDIFG ELEGQAAALGHRTAELHRRLDALHAAAARLDHRRVKIPVSNLDEESRWTVHYTAPWHQQE NVFLPATRPPCVEDLHRQAKLNLKSVLRECDKLRQDGCRSSQYYSQGPTFAAGSSPCDDY QDEDTEADRKCSLSSSEEERFIGIRRPKTPTSGDFSDLHTQTNWTKSLPLPTPEEKTRQQ AQTVQADVVPINITASATGQDDDGSAHSLYVPDHYSTLGRLDSYRSTGQCLETRDTSCQT EDVKVIPPSMRRIRAHKGVGVAAQMSHLSGSSGNMSVLSDSAGVVFPSRLSNDTGFHSLP RTGPRASTYSLEGRMGALGSTEDTDDTSPYQGGSLQGHENFAHLGGASSTGMLSRPKSQQ LRFLESPACVVSPHAAYSTSVIPNATLLSSSEVIVIHTAQSAGQLDSRTPGSSSYSKIKP RDRPTPRCSVKDDHQSPRHHWNEGHLIHSRALASSVPGATTLLSLHDSEVSLNAPANREN GSQAILYHCRNNPSFPDHPSDVDGKSECSYSGDRGCGSSEPWEYKTSSNGRASPLKPHLA TPGCSTPTSNVSSCSLDQTSLKGDTRSLCSEDHDGYYTTTHEAGNLYTLSDGLGNPRHSM VNVFDGRAQRSQGDQAAHQDKILSRNISLKKAKKPPLPPSRTDSLRRIPKKNNQTNGQVL NESLIASLQHSLQLSLPGKGGSSPSQSPCSDFEEPWLPRSRSQSIVSEGSSLTSTTTPNV YSLCGVTPSQSDTSSVKSEYTDPWGYYIDYTSLQEDPGNPTGGCSANTEAATGNGPVRHI QEGSRVPVPQVPGCSVRPKIASPEKSQRVTSPSSGYSSQSNTPTALTPVPVFLKSMSPAN GKGKAKPKVPERKSSLISSMSISSSSTSLSSNTSTEGSGTMKKLDTTLASALAPPPPPLP PLPSPCLADKSPFLPPPPPLADCSEGSPLPPSPMFPPPPPEALVPFCSPTDGCLSPSPTA VSPSLPRSLPPVPAPPPFLPSSEPPPAPPLDPKLMKENRPFFKNSSQSESSREALRRPAN KEEGCRPPMPLITTEALQMVQLRPVRKNSGAGAVLFSEPSAQEQRTPTAPQYHLKPSAFL KSRNSINEMESESQAASVTSSLPMPAKSQSQGDHDSAVERGGLPSCSDGAPGPGPSLRTT LLPDSSPSRKPPPISKKPKLFLVVPPPQRDFTAEPTENGSEAFPGVPSPTRAEGEAVRSQ EEKSSPASRAGSHATAPTPGSPALEPGTAGSLSSSIVEANVPMVQPNTSPGPTQEESGEN SVDGERNAKSCLSQQGREAGLLEPNTAASSSDPVDVSKEEGSDEVLTPTKPRTTEDLFAA IHRSKRKVLGRKDSEDDHTRNHSPSPPVTPTSAAPNLASPKQVGSIQRSIKKSTTSSDNF KALLLKKGSRSDTSARMSAAEMLKSTDPRFQRSRSEPSADSPDSPSSCSPNKNRRAQEEW AKNEGLMPRSLSFSGPRYSRSRTPPSAASSRYSMRNRIQSSPMTVISEGEGEPAEPADNK ARRALDATRVCSLDRLTGQEMDQASLLCSEEPASVDGIGRAEGNGPSEQCGGTEQKS >ENSMUSP00000040799.7 pep:known chromosome:GRCm38:10:18407675:18533892:1 gene:ENSMUSG00000039835.16 transcript:ENSMUST00000037341.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhsl1 description:NHS-like 1 [Source:MGI Symbol;Acc:MGI:106390] MKKDGSSGSFGIKASPGSLSRAVSWINFSSLSRQTKRLFRSDGELSVCGHQVEADDENWI YRTQPRKAVSNLDEESRWTVHYTAPWHQQENVFLPATRPPCVEDLHRQAKLNLKSVLREC DKLRQDGCRSSQYYSQGPTFAAGSSPCDDYQDEDTEADRKCSLSSSEEERFIGIRRPKTP TSGDFSDLHTQTNWTKSLPLPTPEEKTRQQAQTVQADVVPINITASATGQDDDGSAHSLY VPDHYSTLGRLDSYRSTGQCLETRDTSCQTEDVKVIPPSMRRIRAHKGVGVAAQMSHLSG SSGNMSVLSDSAGVVFPSRLSNDTGFHSLPRTGPRASTYSLEGRMGALGSTEDTDDTSPY QGGSLQGHENFAHLGGASSTGMLSRPKSQQLRFLESPACVVSPHAAYSTSVIPNATLLSS SEVIVIHTAQSAGQLDSRTPGSSSYSKIKPRDRPTPRCSVKDDHQSPRHHWNEGHLIHSR ALASSVPGATTLLSLHDSEVSLNAPANRENGSQAILYHCRNNPSFPDHPSDVDGKSECSY SGDRGCGSSEPWEYKTSSNGRASPLKPHLATPGCSTPTSNVSSCSLDQTSLKGDTRSLCS EDHDGYYTTTHEAGNLYTLSDGLGNPRHSMVNVFDGRAQRSQGDQAAHQDKILSRNISLK KAKKPPLPPSRTDSLRRIPKKNNQTNGQVLNESLIASLQHSLQLSLPGKGGSSPSQSPCS DFEEPWLPRSRSQSIVSEGSSLTSTTTPNVYSLCGVTPSQSDTSSVKSEYTDPWGYYIDY TSLQEDPGNPTGGCSANTEAATGNGPVRHIQEGSRVPVPQVPGCSVRPKIASPEKSQRVT SPSSGYSSQSNTPTALTPVPVFLKSMSPANGKGKAKPKVPERKSSLISSMSISSSSTSLS SNTSTEGSGTMKKLDTTLASALAPPPPPLPPLPSPCLADKSPFLPPPPPLADCSEGSPLP PSPMFPPPPPEALVPFCSPTDGCLSPSPTAVSPSLPRSLPPVPAPPPFLPSSEPPPAPPL DPKLMKENRPFFKNSSQSESSREALRRPANKEEGCRPPMPLITTEALQMVQLRPVRKNSG AGAVLFSEPSAQEQRTPTAPQYHLKPSAFLKSRNSINEMESESQAASVTSSLPMPAKSQS QGDHDSAVERGGLPSCSDGAPGPGPSLRTTLLPDSSPSRKPPPISKKPKLFLVVPPPQRD FTAEPTENGSEAFPGVPSPTRAEGEAVRSQEEKSSPASRAGSHATAPTPGSPALEPGTAG SLSSSIVEANVPMVQPNTSPGPTQEESGENSVDGERNAKSCLSQQGREAGLLEPNTAASS SDPVDVSKEEGSDEVLTPTKPRTTEDLFAAIHRSKRKVLGRKDSEDDHTRNHSPSPPVTP TSAAPNLASPKQVGSIQRSIKKSTTSSDNFKALLLKKGSRSDTSARMSAAEMLKSTDPRF QRSRSEPSADSPDSPSSCSPNKNRRAQEEWAKNEGLMPRSLSFSGPRYSRSRTPPSAASS RYSMRNRIQSSPMTVISEGEGEPAEPADNKARRALDATRVCSLDRLTGQEMDQASLLCSE EPASVDGIGRAEGNGPSEQCGGTEQKS >ENSMUSP00000124072.1 pep:known chromosome:GRCm38:10:18469981:18525959:1 gene:ENSMUSG00000039835.16 transcript:ENSMUST00000162891.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhsl1 description:NHS-like 1 [Source:MGI Symbol;Acc:MGI:106390] MVVFINAKIKSFFKLFKKKTVSNLDEESRWTVHYTAPWHQQENVFLPATRPPCVEDLHRQ AKLNLKSVLRECDKLRQDGCRSSQYYSQGPTFAAGSSPCDDYQDEDTEADRKCSLSSSEE ERFIGIRRPKTPTSGDFSDLHTQTNWTKSLPLPTPEEKTRQQAQTVQADVVPINITGENF DRQASLRRSLIYTDTLVRRPKKVKRRKTISGIPDIIQKELASATGQDDDGSAHSLYVPDH YSTLGRLDSYRSTGQCLETRDTSCQTEDVKVIPPSMRRIRAHKGVGVAAQMSHLSGSSGN MSVLSDSAGVVFPSRLSNDTGFHSLPRTGPRASTYSLEGRMGALGSTEDTDDTSPYQGGS LQGHENFAHLGGASSTGMLSRPKSQQLRFLESPACVVSPHAAYSTSVIPNATLLSSSEVI VIHTAQSAGQLDSRTPGSSSYSKIKPRDRPTPRCSVKDDHQSPRHHWNEGHLIHSRALAS SVPGATTLLSLHDSEVSLNAPANRENGSQAILYHCRNNPSFPDHPSDVDGKSECSYSGDR GCGSSEPWEYKTSSNGRASPLKPHLATPGCSTPTSNVSSCSLDQTSLKGDTRSLCSEDHD GYYTTTHEAGNLYTLSDGLGNPRHSMVNVFDGRAQRSQGDQAAHQDKILSRNISLKKAKK PPLPPSRTDSLRRIPKKNNQTNGQVLNESLIASLQHSLQLSLPGKGGSSPSQSPCSDFEE PWLPRSRSQSIVSEGSSLTSTTTPNVYSLCGVTPSQSDTSSVKSEYTDPWGYYIDYTSLQ EDPGNPTGGCSANTEAATGNGPVRHIQEGSRVPVPQVPGCSVRPKIASPEKSQRVTSPSS GYSSQSNTPTALTPVPVFLKSMSPANGKGKAKPKVPERKSSLISSMSISSSSTSLSSNTS TEGSGTMKKLDTTLASALAPPPPPLPPLPSPCLADKSPFLPPP >ENSMUSP00000097631.3 pep:known chromosome:GRCm38:10:18469981:18533889:1 gene:ENSMUSG00000039835.16 transcript:ENSMUST00000100054.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhsl1 description:NHS-like 1 [Source:MGI Symbol;Acc:MGI:106390] MVVFINAKIKSFFKLFKKKTVSNLDEESRWTVHYTAPWHQQENVFLPATRPPCVEDLHRQ AKLNLKSVLRECDKLRQDGCRSSQYYSQGPTFAAGSSPCDDYQDEDTEADRKCSLSSSEE ERFIGIRRPKTPTSGDFSDLHTQTNWTKSLPLPTPEEKTRQQAQTVQADVVPINITGENF DRQASLRRSLIYTDTLVRRPKKVKRRKTISGIPDIIQKELASATGQDDDGSAHSLYVPDH YSTLGRLDSYRSTGQCLETRDTSCQTEDVKVIPPSMRRIRAHKGVGVAAQMSHLSGSSGN MSVLSDSAGVVFPSRLSNDTGFHSLPRTGPRASTYSLEGRMGALGSTEDTDDTSPYQGGS LQGHENFAHLGGASSTGMLSRPKSQQLRFLESPACVVSPHAAYSTSVIPNATLLSSSEVI VIHTAQSAGQLDSRTPGSSSYSKIKPRDRPTPRCSVKDDHQSPRHHWNEGHLIHSRALAS SVPGATTLLSLHDSEVSLNAPANRENGSQAILYHCRNNPSFPDHPSDVDGKSECSYSGDR GCGSSEPWEYKTSSNGRASPLKPHLATPGCSTPTSNVSSCSLDQTSLKGDTRSLCSEDHD GYYTTTHEAGNLYTLSDGLGNPRHSMVNVFDGRAQRSQGDQAAHQDKILSRNISLKKAKK PPLPPSRTDSLRRIPKKNNQTNGQVLNESLIASLQHSLQLSLPGKGGSSPSQSPCSDFEE PWLPRSRSQSIVSEGSSLTSTTTPNVYSLCGVTPSQSDTSSVKSEYTDPWGYYIDYTSLQ EDPGNPTGGCSANTEAATGNGPVRHIQEGSRVPVPQVPGCSVRPKIASPEKSQRVTSPSS GYSSQSNTPTALTPVPVFLKSMSPANGKGKAKPKVPERKSSLISSMSISSSSTSLSSNTS TEGSGTMKKLDTTLASALAPPPPPLPPLPSPCLADKSPFLPPPPPLADCSEGSPLPPSPM FPPPPPEALVPFCSPTDGCLSPSPTAVSPSLPRSLPPVPAPPPFLPSSEPPPAPPLDPKL MKENRPFFKNSSQSESSREALRRPANKEEGCRPPMPLITTEALQMVQLRPVRKNSGAGAV LFSEPSAQEQRTPTAPQYHLKPSAFLKSRNSINEMESESQAASVTSSLPMPAKSQSQGDH DSAVERGGLPSCSDGAPGPGPSLRTTLLPDSSPSRKPPPISKKPKLFLVVPPPQRDFTAE PTENGSEAFPGVPSPTRAEGEAVRSQEEKSSPASRAGSHATAPTPGSPALEPGTAGSLSS SIVEANVPMVQPNTSPGPTQEESGENSVDGERNAKSCLSQQGREAGLLEPNTAASSSDPV DVSKEEGSDEVLTPTKPRTTEDLFAAIHRSKRKVLGRKDSEDDHTRNHSPSPPVTPTSAA PNLASPKQVGSIQRSIKKSTTSSDNFKALLLKKGSRSDTSARMSAAEMLKSTDPRFQRSR SEPSADSPDSPSSCSPNKNRRAQEEWAKNEGLMPRSLSFSGPRYSRSRTPPSAASSRYSM RNRIQSSPMTVISEGEGEPAEPADNKARRALDATRVCSLDRLTGQEMDQASLLCSEEPAS VDGIGRAEGNGPSEQCGGTEQKS >ENSMUSP00000002487.8 pep:known chromosome:GRCm38:6:39603237:39725463:-1 gene:ENSMUSG00000002413.15 transcript:ENSMUST00000002487.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Braf description:Braf transforming gene [Source:MGI Symbol;Acc:MGI:88190] MAALSGGGGSSSGGGGGGGGGGGGGDGGGGAEQGQALFNGDMEPEAGAGAAASSAADPAI PEEVWNIKQMIKLTQEHIEALLDKFGGEHNPPSIYLEAYEEYTSKLDALQQREQQLLESL VFQTPTDASRNNPKSPQKPIVRVFLPNKQRTVVPARCGVTVRDSLKKALMMRGLIPECCA VYRIQDGEKKPIGWDTDISWLTGEELHVEVLENVPLTTHNFVRKTFFTLAFCDFCRKLLF QGFRCQTCGYKFHQRCSTEVPLMCVNYDQLDLLFVSKFFEHHPVPQEEASFPETALPSGS SSAPPSDSTGPQILTSPSPSKSIPIPQPFRPADEDHRNQFGQRDRSSSAPNVHINTIEPV NIDEKFPEVELQDQRDLIRDQGFRGDGAPLNQLMRCLRKYQSRTPSPLLHSVPSEIVFDF EPGPVFRGSTTGLSATPPASLPGSLTNVKALQKSPGPQRERKSSSSSSSEDRSRMKTLGR RDSSDDWEIPDGQITVGQRIGSGSFGTVYKGKWHGDVAVKMLNVTAPTPQQLQAFKNEVG VLRKTRHVNILLFMGYSTKPQLAIVTQWCEGSSLYHHLHIIETKFEMIKLIDIARQTAQG MDYLHAKSIIHRDLKSNNIFLHEDLTVKIGDFGLATVKSRWSGSHQFEQLSGSILWMAPE VIRMQDKNPYSFQSDVYAFGIVLYELMTGQLPYSNINNRDQIIFMVGRGYLSPDLSKVRS NCPKAMKRLMAECLKKKRDERPLFPQILASIELLARSLPKIHRSASEPSLNRAGFQTEDF SLYACASPKTPIQAGGYGEFAAFK >ENSMUSP00000099036.3 pep:known chromosome:GRCm38:6:39614112:39725193:-1 gene:ENSMUSG00000002413.15 transcript:ENSMUST00000101497.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Braf description:Braf transforming gene [Source:MGI Symbol;Acc:MGI:88190] QALFNGDMEPEAGAGAAASSAADPAIPEEVWNIKQMIKLTQEHIEALLDKFGGEHNPPSI YLEAYEEYTSKLDALQQREQQLLESLGNGADFSVSSSASMDTVTSSSSSSLSVLPSSLSV FQTPTDASRNNPKSPQKPIVRVFLPNKQRTVVPARCGVTVRDSLKKALMMRGLIPECCAV YRIQDGEKKPIGWDTDISWLTGEELHVEVLENVPLTTHNFVRKTFFTLAFCDFCRKLLFQ GFRCQTCGYKFHQRCSTEVPLMCVNYDQLDLLFVSKFFEHHPVPQEEASFPETALPSGSS SAPPSDSTGPQILTSPSPSKSIPIPQPFRPADEDHRNQFGQRDRSSSAPNVHINTIEPVN IDDLIRDQGFRGDGGSTTGLSATPPASLPGSLTNVKALQKSPGPQRERKSSSSSSSEDRS RMKTLGRRDSSDDWEIPDGQITVGQRIGSGSFGTVYKGKWHGDVAVKMLNVTAPTPQQLQ AFKNEVGVLRKTRHVNILLFMGYSTKPQLAIVTQWCEGSSLYHHLHIIETKFEMIKLIDI ARQTAQGMDYLHAKSIIHRDLKSNNIFLHEDLTVKIGDFGLATVKSRWSGSHQFEQLSGS ILWMAPEVIRMQDKNPYSFQSDVYAFGIVLYELMTGQLPYSNINNRDQIIFMVGRGYLSP DLSKVRSNCPKAMKRLMAECLKKKRDERPLFPQILASIELLARSLPKIHRSASEPSLNRA GFQTEDFSLYACASPKTPIQAGGYGGFPVH >ENSMUSP00000097723.1 pep:known chromosome:GRCm38:2:37084778:37085746:-1 gene:ENSMUSG00000075378.1 transcript:ENSMUST00000100145.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr361 description:olfactory receptor 361 [Source:MGI Symbol;Acc:MGI:3030195] MATKNRTEVTEFVLLGLSSQPEMQPVIFGVVLIMYLMAVLGNTLLVLVACSDPKLHTPMY FLLSQLSLIDISLTTIIVPQMLVHTLSVNRTISYNCCMTQLFSFMTVGSMEVHLLGAMAY DRYVAICDPLRYSSIVSHSLCLRITLTSWVVVSLNSLLYSVLVTRLTFCGNKVTHFFCDI TPLLKLSCTRPVVNEMLIFTEGVAVVVSPIFFIFGSYVRIGIVIAHMHSVAALQKALSTC SSHVLVVMFLFGSLVHMYLKPSSSYNLEQDRQVAIFYTLISPMLNPLIYSLRNQEVKGAL WRLFRKLYLRQCPAWISHNTCQ >ENSMUSP00000102905.1 pep:known chromosome:GRCm38:11:101191115:101226463:-1 gene:ENSMUSG00000006920.14 transcript:ENSMUST00000107284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ezh1 description:enhancer of zeste 1 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:1097695] MDIASPPTSKCITYWKRKVKSEYMRLRQLKRLQANMGAKALYVANFAKVQEKTQILNEEW KKLRVQPVQPMKPVSGHPFLKKCTIESIFPGFDSQDMLMRSLNTVALVPIMYSWSPLQQN FMVEDETVLCNIPYMGDEVKEEDETFIEELINNYDGKVHGEEEMIPGSVLISDAVFLELV DALNQYSDEEEDGHNDPSDGKQDDSKEDLPVTRKRKRHAIEGNKKSSKKQFPNDMIFSAI ASMFPENGVPDDMKERYRELTEMSDPNALPPQCTPNIDGPNAKSVQREQSLHSFHTLFCR RCFKYDCFLHPFHATPNVYKRKNKEIKIEPEPCGTDCFLLLEGAKEYAMLHNPRSKCSGR RRRRHPVVSASCSNASASAMAETKEGDSDRDTGNDWASSSSEANSRCQTPTKQKASPAPA QLCVVEAPSEPVEWTGAEESLFRVFHGTYFNNFCSIARLLGTKTCKQVFQFAVKESLILK LPTDELMNPAQKKKRKHRLWAAHCRKIQLKKDNNSTQVYNYQPCDHPDRPCDSTCPCIMT QNFCEKFCQCSPDCQNRFPGCRCKTQCNTKQCPCYLAVRECDPDLCLTCGASEHWDCKVV SCKNCSIQRGLKKHLLLAPSDVAGWGTFIKESVQKNEFISEYCGELISQDEADRRGKVYD KYMSSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVVMVNGDHRIGIFAKRAIQAG EELFFDYRYSQADALKYVGIERETDVF >ENSMUSP00000097984.2 pep:known chromosome:GRCm38:11:101197389:101226426:-1 gene:ENSMUSG00000006920.14 transcript:ENSMUST00000100417.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ezh1 description:enhancer of zeste 1 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:1097695] MDIASPPTSKCITYWKRKVKSEYMRLRQLKRLQANMGAKALYVANFAKVQEKTQILNEEW KKLRVQPVQPMKPVSGHPFLKKCTIESIFPGFDSQDMLMRSLNTVALVPIMYSWSPLQQN FMVEDETVLCNIPYMGDEVKEEDETFIEELINNYDGKVHGEEEMIPGSVLISDAVFLELV DALNQYSDEEEDGHNDPSDGKQDDSKEDLPVTRKRKRHAIEGNKKSSKKQFPNDMIFSAI ASMFPENGVPDDMKERYRELTEMSDPNALPPQCTPNIDGPNAKSVQREQSLHSFHTLFCR RCFKYDCFLHPFHATPNVYKRKNKEIKIEPEPCGTDCFLLLEGAKEYAMLHNPRSKCSGR RRRRHPVVSASCSNASASAMAETKEGDSDRDTGNDWASSSSEANSRCQTPTKQKASPAPA QLCVVEAPSEPVEWTGAEESLFRVFHGTYFNNFCSIARLLGTKTCKQVFQFAVKESLILK LPTDELMNPAQKKKRKHRLWAAHCRKIQLKKDNNSTQVYNYQPCDHPDRPCDSTCPCIMT QNFCEKFCQCSPDCKSTLLSPSSTQVVGLGVPRLFSPAP >ENSMUSP00000102906.1 pep:known chromosome:GRCm38:11:101191116:101226450:-1 gene:ENSMUSG00000006920.14 transcript:ENSMUST00000107285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ezh1 description:enhancer of zeste 1 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:1097695] MRKMDIASPPTSKCITYWKRKVKSEYMRLRQLKRLQANMGAKALYVANFAKVQEKTQILN EEWKKLRVQPVQPMKPVSGHPFLKKCTIESIFPGFDSQDMLMRSLNTVALVPIMYSWSPL QQNFMVEDETVLCNIPYMGDEVKEEDETFIEELINNYDGKVHGEEEMIPGSVLISDAVFL ELVDALNQYSDEEEDGHNDPSDGKQDDSKEDLPVTRKRKRHAIEGNKKSSKKQFPNDMIF SAIASMFPENGVPDDMKERYRELTEMSDPNALPPQCTPNIDGPNAKSVQREQSLHSFHTL FCRRCFKYDCFLHPFHATPNVYKRKNKEIKIEPEPCGTDCFLLLEGAKEYAMLHNPRSKC SGRRRRRHPVVSASCSNASASAMAETKEGDSDRDTGNDWASSSSEANSRCQTPTKQKASP APAQLCVVEAPSEPVEWTGAEESLFRVFHGTYFNNFCSIARLLGTKTCKQVFQFAVKESL ILKLPTDELMNPAQKKKRKHRLWAAHCRKIQLKKDNNSTQVYNYQPCDHPDRPCDSTCPC IMTQNFCEKFCQCSPDCQNRFPGCRCKTQCNTKQCPCYLAVRECDPDLCLTCGASEHWDC KVVSCKNCSIQRGLKKHLLLAPSDVAGWGTFIKESVQKNEFISEYCGELISQDEADRRGK VYDKYMSSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVVMVNGDHRIGIFAKRAI QAGEELFFDYRYSQADALKYVGIERETDVF >ENSMUSP00000086165.4 pep:known chromosome:GRCm38:5:8046078:8069379:1 gene:ENSMUSG00000003161.15 transcript:ENSMUST00000088786.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sri description:sorcin [Source:MGI Symbol;Acc:MGI:98419] MQYGGAPGGPAFPGQTQDPLYGYFAAVAGQDGQIDADELQRCLTQSGIAGGYKPFNLETC RLMVSMLDRDMSGTMGFNEFKELWAVLNGWRQHFISFDSDRSGTVDPQELQKALTTMGFR LSPQTVNSVAKRYSTSGKITFDDYIACCVKLRALTDSFRRRDSGQQGVVNFSYDDFIQCV MTV >ENSMUSP00000118221.1 pep:known chromosome:GRCm38:5:8056527:8069151:1 gene:ENSMUSG00000003161.15 transcript:ENSMUST00000148633.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sri description:sorcin [Source:MGI Symbol;Acc:MGI:98419] MAYPGHPGAGGGYYPGGYGGAPGGPAFPGQTQDPLYGYFAAVAGQDGQIDADELQRCLTQ SGIAGGYKPFNLETCRLMVSMLDRDMSGTMGFNEFKELWAVLNGWRQHFISFDSDRSGTV DPQELQKALTTMGFRLSPQTVNSVAKRYSTSGKITFDDYIACCVKLRALTDSFRRRDSGQ QGVVNFSYDDFIQCVMTV >ENSMUSP00000125015.1 pep:known chromosome:GRCm38:1:37611677:37625242:-1 gene:ENSMUSG00000026090.16 transcript:ENSMUST00000160023.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010300C02Rik description:RIKEN cDNA 2010300C02 gene [Source:MGI Symbol;Acc:MGI:1919347] XDMSPPEGDVAPPKKIMAPPERDMSPPEGDVAPPKKIMAPPERDMSPSEGDVAPPKKIMA PPERDMSPPEGDVAPPKKIMAPPERDLSPSEGDVAPPKRIMAPPERDMSPFKGDMAPPKG IMEPPNRDTSLPKGDTPPPETITDTNLETPSDTERQDQSVQKEEELTLVVVPRPEGVGTE SSTAPAPSPPVPKSCLKHKALVTSGSPAESPLKEPGPAVQDKAVVPPARPRPTQAATSGG PERTALGRKNERSAEPQRSSVKRFSVTSSRARARVSGSRLPEYSAHVPAGGRAPLLRSGL AWKSEAALDDLQVLPKPQDRKTMGGDPQNSGDVGAGQAGPGKSPQEAEPCASSVQEPANG EDQSPFPVKLRSTSLSLKYRDSSAQEAKAIKRYSAEVRLEKGGLALLPKDEQSHVGAAPA LRGSRSPNGQGKGKTRSPEQPGTKPPLPRKPLLPSLTLPYPPTGLDTSPGESERLIPVIL PPEPRKEKLSNQGAEKGQPPAATGPGADGQPTPPWITMARQKRRGAPDLPVNQEEKPGSR ILKTETGKQAKVAERAQESVKQGDFVRSKSFLMTPAKPAVTQRQGSKLNLKEGLQRGISL SHQNLAAQAAATTEKELHQLKRASYASTDQPSWMELARKKSQAWSDMPQIIK >ENSMUSP00000123803.1 pep:known chromosome:GRCm38:1:37611677:37720085:-1 gene:ENSMUSG00000026090.16 transcript:ENSMUST00000162875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010300C02Rik description:RIKEN cDNA 2010300C02 gene [Source:MGI Symbol;Acc:MGI:1919347] MISTRVMDIKLREAAEGLGEDGAGKKKSKFKTFKKLFGKKKRKESPSPTGNSAWKQNPAK SEVIAVEESGPVYDSEDELEESRGTMGSRALSHDSIFFPESGQDPARPVRVFSQENVCDR IKALQLKIQCNVKMGPPPPGGLPIKRAEETGMSSEDDGLPRSPPEMSLLHDVGPGTTIKI LVSSSRPQSPDHMSDASISSRTLDGSLAPVVDFSHPPEFSSCLDNSAAKHKLLVKPRNQR SSKLRRLSSRAQSECLSDLSWTLDEEDYEEKPLLHVSMEETPDARQRDLIPGRRPEFGGP ATFLSPGGACARRARLQHSMAVSASMEEGGCPRDEPSSLPATPEVTEPMVVSVPSLESPS LPESSPNHILHSKSQKEELSPGGLCPLVESTSEEAPCGSGVAETPLSTDVPERDMGPSKE GSAPPEGDPAFSERHMAFPGGGSIPPEGNTTPHKGVMEPPERDMSPSKDNMAPPKRIIAP PERDMLPSEGDVAPPKRIMAPPERDMSPPEGDVAPPKKIMAPPERDMSPPEGDVAPPKKI MAPPERDMSPPEGDVAPPKKIMAPPERDMSPSEGDVAPPKKIMAPPERDMSPPEGDVAPP KKIMAPPERDLSPSEGDVAPPKRIMAPPERDMSPFKGDMAPPKGIMEPPNRDTSLPKGDT PPPETITDTNLETPSDTERQDQSVQKEEELTLVVVPRPEGVGTESSTAPAPSPPVPKSCL KHKALVTSGSPAESPLKEPGPAVQDKAVVPPARPRPTQAATSGGPERTALGRKNERSAEP QRSSVKRFSVTSSRARARVSGSRLPEYSAHVPAGGRAPLLRSGLAWKSEAALDDLQVLPK PQDRKTMGGDPQNSGDVGAGQAGPGKSPQEAEPCASSVQEPANGEDQSPFPVKLRSTSLS LKYRDSSAQEAKAIKRYSAEVRLEKGGLALLPKDEQSHVGAAPALRGSRSPNGQGKGKTR SPEQPGTKPPLPRKPLLPSLTLPYPPTGLDTSPGESERLIPVILPPEPRKEKLSNQGAEK GQPPAATGPGADGQPTPPWITMARQKRRGAPDLPVNQEEKPGSRILKTETGKQAKVAERA QESVKQGDFVRSKSFLMTPAKPAVTQRQGSKLNLKEGLQRGISLSHQNLAQAAATTEKEL HQLKRASYASTDQPSWMELARKKSQAWSDMPQIIK >ENSMUSP00000124777.1 pep:known chromosome:GRCm38:1:37637986:37719795:-1 gene:ENSMUSG00000026090.16 transcript:ENSMUST00000160589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010300C02Rik description:RIKEN cDNA 2010300C02 gene [Source:MGI Symbol;Acc:MGI:1919347] MISTRVMDIKLREAAEGLGEDGAGKKKSKFKTFKKLFG >ENSMUSP00000048568.5 pep:known chromosome:GRCm38:11:70130329:70137550:1 gene:ENSMUSG00000040950.13 transcript:ENSMUST00000041550.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgl2 description:macrophage galactose N-acetyl-galactosamine specific lectin 2 [Source:MGI Symbol;Acc:MGI:2385729] MTMRYENFQNLEREEKNQEMRNGDKKGGMESPKFALIPSQSFLWRILSWTHLLLFSLGLS LLLLVVISVIGSQNSQLRRDLGTLRAILDNTTSKIKAEFQSLDSRADNFEKGISSLKVDV EDHRQELQAGRDLSQKVTSLESTLEKREQALKTDLSDLTDHVQQLETDLKALTCQLANLK NNGSEVACCPLHWTEHEGSCYWFSESEKSWPEADKYCRLENSHLVVVNSLEEQNFLQNRL ANVLSWMGLTDQNGPWRWVDGTDFDKGFKNWRPLQPDNWHGHMLGGGEDCAHFSYDGRWN DDVCQRHYHWICETELGKASSAHSPQLIASVP >ENSMUSP00000131344.1 pep:known chromosome:GRCm38:11:70130424:70137548:1 gene:ENSMUSG00000040950.13 transcript:ENSMUST00000165951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgl2 description:macrophage galactose N-acetyl-galactosamine specific lectin 2 [Source:MGI Symbol;Acc:MGI:2385729] MTMRYENFQNLEREEKNQEMRNGDKKGGMESPKFALTVPSQSFLWRILSWTHLLLFSLGL SLLLLVVISVIGSQNSQLRRDLGTLRAILDNTTSKIKAEFQSLDSRADNFEKGISSLKVD VEDHRQELQAGRDLSQKVTSLESTLEKREQALKTDLSDLTDHVQQLETDLKALTCQLANL KNNGSEVACCPLHWTEHEGSCYWFSESEKSWPEADKYCRLENSHLVVVNSLEEQNFLQNR LANVLSWMGLTDQNGPWRWVDGTDFDKGFKYVCRLQLAPLYLGLSYLFSIFSDPRDLGGP SGNMADGQIWSAQFFIFRNWRPLQPDNWHGHMLGGGEDCAHFSYDGRWNDDVCQRHYHWI CETELGKASSAHSPQLIASVP >ENSMUSP00000104225.1 pep:known chromosome:GRCm38:11:70134173:70137295:1 gene:ENSMUSG00000040950.13 transcript:ENSMUST00000108584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgl2 description:macrophage galactose N-acetyl-galactosamine specific lectin 2 [Source:MGI Symbol;Acc:MGI:2385729] NFQNLEREEKNQEMRNGDKKGGMESPKFALIPSQSFLWRILSWTHLLLFSLGLSLLLLVV ISVIGSQNSQLRRDLGTLRAILDNTTSKIKAEFQSLDSRADNFEKGISSLKVDVEDHRQE LQAGRDLSQKVTSLESTLEKREQALKTDLSDLTDHVQQLETDLKALTCQLANLKNNGSEV ACCPLHWTEHEGSCYWFSESEKSWPEADKYCRLENSHLVVVNSLEEQNFLQNRLANVLSW MGLTDQNGPWRWVDGTDFDKGFKYVCRLQLAPLYLGLSYLFSIFSDPRDLGGPSGNMADG QIWSAQFFIFRNWRPLQPDNWHGHMLGGGEDCAHFSYDGRWNDDVCQRHYHWICETELGK ASSAHSPQLIASVP >ENSMUSP00000141806.1 pep:known chromosome:GRCm38:1:36419871:36439668:-1 gene:ENSMUSG00000001143.13 transcript:ENSMUST00000192969.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lman2l description:lectin, mannose-binding 2-like [Source:MGI Symbol;Acc:MGI:2443010] XKNLHGDGLAIWYTKDRMQPGPVFGNMDKFVGLGVFVDTYPNEEKQHERVFPYISAMVNN GSLSYDHERDGRPTELGGCTAIVRNIRYDTFLVIRYVKRHLTIMMDIDGKHEWRDCIEMP GVRLPRGYYFGTSSITGDLSDNHDVISLKLFELTGVRTPEEEKLHRDVFLPSVDNLKLPE SLLDQVHFSCAPDDTTQLVPAATVL >ENSMUSP00000117200.1 pep:known chromosome:GRCm38:1:36422065:36445271:-1 gene:ENSMUSG00000001143.13 transcript:ENSMUST00000125304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lman2l description:lectin, mannose-binding 2-like [Source:MGI Symbol;Acc:MGI:2443010] MAAASRPSWWQRWRRRAWARDGAKLLLFLLLLGSGPGPRHVRAGQAVEYLKREHSLSKPY QGVGTSSSSLWNLMGNAMVMTQYIRLTPDMQSKQGALWNRVPCFLKDWELQVHFKIHGQG KKNLHGDGLAIWYTKDRMQPGPVFGNMDKFVGLGVFVDTYPNEEKQHERVFPYISAMVNN GSLSYDHERDGRPTELGGCTAIVRNIRYDTFLVIRYVKRHLTIMMDIDGKHEWRDCIEMP GVRLPRGYYFGTSSITGDLSDNHDVISLKLFELTGVRTPEEEKLHRDVFLPSVDNLKLPE MTVPPTPLSGLALFLIVFFSLVFSVFAIVIGIILYNKWQDQSRKRFY >ENSMUSP00000110663.1 pep:known chromosome:GRCm38:1:36423186:36445271:-1 gene:ENSMUSG00000001143.13 transcript:ENSMUST00000115011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lman2l description:lectin, mannose-binding 2-like [Source:MGI Symbol;Acc:MGI:2443010] MAAASRPSWWQRWRRRAWARDGAKLLLFLLLLGSGPGPRHVRAGQAVEYLKREHSLSKPY QGVGTSSSSLWNLMGNAMVMTQYIRLTPDMQSKQGALWNRVPCFLKDWELQVHFKIHGQG KKNLHGDGLAIWYTKDRMQPGPVFGNMDKFVGLGVFVDTYPNEEKQHEAQKRRYSPGVQR VFPYISAMVNNGSLSYDHERDGRPTELGGCTAIVRNIRYDTFLVIRYVKRHLTIMMDIDG KHEWRDCIEMPGVRLPRGYYFGTSSITGDLSDNHDVISLKLFELTGVRTPEEEKLHRDVF LPSVDNLKLPEMTVPPTPLSGLALFLIVFFSLVFSVFAIVIGIILYNKWQDQSRKRFY >ENSMUSP00000137028.1 pep:known chromosome:GRCm38:1:36423186:36445271:-1 gene:ENSMUSG00000001143.13 transcript:ENSMUST00000001171.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lman2l description:lectin, mannose-binding 2-like [Source:MGI Symbol;Acc:MGI:2443010] MAAASRPSWWQRWRRRAWARDGAKLLLFLLLLGSGPGPRHVRAGQAVEYLKREHSLSKPY QGVGTSSSSLWNLMGNAMVMTQYIRLTPDMQSKQGALWNRVPCFLKDWELQVHFKIHGQG KKNLHGDGLAIWYTKDRMQPAGIPLHLSHGEQRLPQL >ENSMUSP00000119798.1 pep:known chromosome:GRCm38:1:36424506:36428366:-1 gene:ENSMUSG00000001143.13 transcript:ENSMUST00000152088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lman2l description:lectin, mannose-binding 2-like [Source:MGI Symbol;Acc:MGI:2443010] XAIVRNIRYDTFLVIRYVKRHLTIMMDIDGKHEWRDCIEMPGVRLPRGYYFGTSSITGDL SDNHDVISLKLFELTGVRTPEEEKLHRDVFLPSVDNLKLPESECGILRNGPCLERRLQCP WSLG >ENSMUSP00000137344.1 pep:known chromosome:GRCm38:1:36428392:36445271:-1 gene:ENSMUSG00000001143.13 transcript:ENSMUST00000123583.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lman2l description:lectin, mannose-binding 2-like [Source:MGI Symbol;Acc:MGI:2443010] MAAASRPSWWQRWRRRAWARDGAKLLLFLLLLGSGPGPRHVRAGQAVEYLKREHSLSKPY QGFLCVALAVLELTL >ENSMUSP00000093653.1 pep:known chromosome:GRCm38:14:44851235:44859375:-1 gene:ENSMUSG00000071489.1 transcript:ENSMUST00000095959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgdr description:prostaglandin D receptor [Source:MGI Symbol;Acc:MGI:102966] MNESYRCQTSTWVERGSSATMGAVLFGAGLLGNLLALVLLARSGLGSCRPGPLHPPPSVF YVLVCGLTVTDLLGKCLISPMVLAAYAQNQSLKELLPASGNQLCETFAFLMSFFGLASTL QLLAMAVECWLSLGHPFFYQRHVTLRRGVLVAPVVAAFCLAFCALPFAGFGKFVQYCPGT WCFIQMIHKERSFSVIGFSVLYSSLMALLVLATVVCNLGAMYNLYDMHRRQRHYPHRCSR DRAQSGSDYRHGSLHPLEELDHFVLLALMTVLFTMCSLPLIYRAYYGAFKLENKAEGDSE DLQALRFLSVISIVDPWIFIIFRTSVFRMLFHKVFTRPLIYRNWSSHSQQSNVESTL >ENSMUSP00000092703.4 pep:known chromosome:GRCm38:2:29619720:29740353:1 gene:ENSMUSG00000039844.19 transcript:ENSMUST00000095087.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef1 description:Rap guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:104580] MSSGLGLRRSPEMSGKIEKADSQRSHLSSFTMKLMDKFHSPKIKRTPSKKGKPAEVSKIP EKPVSKEARDRFLPEGYPIPLDLEQQAVEFMSTSAVASRSQRQKNLCWLEEKEKEVVSAL RYFKTIVDKMAIDKKVLEMLPGSASKVLEAILPLVQTDPRIQHSSALSSCYSRVYQSLAN LIRWSDQVMLEGVNSEDKEMVTTVKGVIKAVLDGVKELVRLTIEKQGRPSPTSPVKPSSP ASKPDGQPELPLTDREMEILNKTTSVSPSAELLPDSTSEEVAPPKPPLPGIRVVDNSPPA LPPKKRQSAPSPTRVAVVAPMSRATSGSSLPVGINRQDFDVECYTQRRLSGGSRSCGGES PRLSPCSSTGKLSRSDEQLSSLDRDSGQCSRNTSCETLDHYDPDYEFLQQDLSNADQIPP QAACNLSPLPESLGESGPPFLGHPFQLPLGSCLQQEGQQTDTPPALPEKKRRSAVSQTTD SSGCRVSYERHPSQYDNISEGDLQNPVPVQPVPYPPFAAVLPFQQGASSASAEFVGDFSV PELAGDTEKPPPLPEKKNKHMLAYMQLLEDYSEPQPSMFYQTPQSEHIYQQKNKMLMEVY GFSESFCGSDSTQELAPPPALPPKQRQLQASYAASSFSVSYCVQQTKVAFTPEDGSAAQG LSVSVSNSFLNRHGSLPVPSYKSVFRSYSQDFMPHHQASVQPFLPPTSSSSPHFPPVHTS QSSDLAVPTVSSPPPSTVDGPLSSSQDSSFHGNPVRLPSETSFTDSEPPSGKDGHPRDPS VSSASGKDSRENGERSPKSLDGLESAQSEEEVDELSLIDHNEIMARLTLKQEGDDGPDVR GGSGDILLVHATETDRKDLVLYCEAFLTTYRTFISPEELIKKLQYRYEKFSPFADTFKKR VSKNTFFVLVRVVDELCLVELTEEILKLLMELVFRLVCSGELSLARVLRKNILDKVDQKK LLRCAHSDQPLAARGVAARPGTLHDFHSHEIAEQLTLLDAELFYKIEIPEVLLWAKEQNE EKSPNLTQFTEHFNNMSYWVRSIIMLQEKAQDRERLLLKFIKIMKHLRKLNNFNSYLAIL SALDSAPIRRLEWQRQTSEGLAEYCTLIDSSSSFRAYRAALSEVEPPCIPYLGLILQDLT FVHLGNPDYIDGKVNFSKRWQQFNILDSMRCFQQAHYEIRRNDDIINFFNDFSDHLAEEA LWELSLKIKPRNITRRKTDREEKT >ENSMUSP00000088680.5 pep:known chromosome:GRCm38:2:29619741:29740363:1 gene:ENSMUSG00000039844.19 transcript:ENSMUST00000091146.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef1 description:Rap guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:104580] MSSGLGLRRSPEMSGKIEKADSQRSHLSSFTMKLMDKFHSPKIKRTPSKKGKPAEVSKIP EKPVSKNLCWLEEKEKEVVSALRYFKTIVDKMAIDKKVLEMLPGSASKVLEAILPLVQTD PRIQHSSALSSCYSRVYQSLANLIRWSDQVMLEGVNSEDKEMVTTVKGVIKAVLDGVKEL VRLTIEKQGRPSPTSPVKPSSPASKPDGQPELPLTDREMEILNKTTSVSPSAELLPDSTS EEVAPPKPPLPGIRVVDNSPPALPPKKRQSAPSPTRVAVVAPMSRATSGSSLPVGINRQD FDVECYTQRRLSGGSRSCGGESPRLSPCSSTGKLSRSDEQLSSLDRDSGQCSRNTSCETL DHYDPDYEFLQQDLSNADQIPPQAACNLSPLPESLGESGPPFLGHPFQLPLGSCLQQEGQ QTDTPPALPEKKRRSAVSQTTDSSGCRVSYERHPSQYDNISEGDLQNPVPVQPVPYPPFA AVLPFQQGASSASAEFVGDFSVPELAGDTEKPPPLPEKKNKHMLAYMQLLEDYSEPQPSM FYQTPQSEHIYQQKNKMLMEVYGFSESFCGSDSTQELAPPPALPPKQRQLQASYAASSFS VSYCVQQTKVAFTPEDGSAAQGLSVSVSNSFLNRHGSLPVPSYKSVFRSYSQDFMPHHQA SVQPFLPPTSSSSPHFPPVHTSQSSDLAVPTVSSPPPSTVDGPLSSSQDSSFHGNPVRLP SETSFTDSSEKASSEEAGGDEYVSLYSSGQTSEELAPCRGEPPSGKDGHPRDPSVSSASG KDSRENGERSPKSLDGLESAQSEEEVDELSLIDHNEIMARLTLKQEGDDGPDVRGGSGDI LLVHATETDRKDLVLYCEAFLTTYRTFISPEELIKKLQYRYEKFSPFADTFKKRVSKNTF FVLVRVVDELCLVELTEEILKLLMELVFRLVCSGELSLARVLRKNILDKVDQKKLLRCAH SDQPLAARGVAARPGTLHDFHSHEIAEQLTLLDAELFYKIEIPEVLLWAKEQNEEKSPNL TQFTEHFNNMSYWVRSIIMLQEKAQDRERLLLKFIKIMKHLRKLNNFNSYLAILSALDSA PIRRLEWQRQTSEGLAEYCTLIDSSSSFRAYRAALSEVEPPCIPYLGLILQDLTFVHLGN PDYIDGKVNFSKRWQQFNILDSMRCFQQAHYEIRRNDDIINFFNDFSDHLAEEALWELSL KIKPRNITRRKTDREEKT >ENSMUSP00000099936.4 pep:known chromosome:GRCm38:2:29619770:29740978:1 gene:ENSMUSG00000039844.19 transcript:ENSMUST00000102872.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef1 description:Rap guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:104580] MSSGLGLRRSPEMSGKIEKADSQRSHLSSFTMKLMDKFHSPKIKRTPSKKGKPAEVSKIP EKPVSKEARDRFLPEGYPIPLDLEQQAVEFMSTSAVASRSQRQKNLCWLEEKEKEVVSAL RYFKTIVDKMAIDKKVLEMLPGSASKVLEAILPLVQTDPRIQHSSALSSCYSRVYQSLAN LIRWSDQVMLEGVNSEDKEMVTTVKGVIKAVLDGVKELVRLTIEKQGRPSPTSPVKPSSP ASKPDGQPELPLTDREMEILNKTTSVSPSAELLPDSTSEEVAPPKPPLPGIRVVDNSPPA LPPKKRQSAPSPTRVAVVAPMSRATSGSSLPVGINRQDFDVECYTQRRLSGGSRSCGGES PRLSPCSSTGKLSRSDEQLSSLDRDSGQCSRNTSCETLDHYDPDYEFLQQDLSNADQIPP QAACNLSPLPESLGESGPPFLGHPFQLPLGSCLQQEGQQTDTPPALPEKKRRSAVSQTTD SSGCRVSYERHPSQYDNISEGDLQNPVPVQPVPYPPFAAVLPFQQGASSASAEFVGDFSV PELAGDTEKPPPLPEKKNKHMLAYMQLLEDYSEPQPSMFYQTPQSEHIYQQKNKMLMEVY GFSESFCGSDSTQELAPPPALPPKQRQLEPPSGKDGHPRDPSVSSASGKDSRENGERSPK SLDGLESAQSEEEVDELSLIDHNEIMARLTLKQEGDDGPDVRGGSGDILLVHATETDRKD LVLYCEAFLTTYRTFISPEELIKKLQYRYEKFSPFADTFKKRVSKNTFFVLVRVVDELCL VELTEEILKLLMELVFRLVCSGELSLARVLRKNILDKVDQKKLLRCAHSDQPLAARGVAA RPGTLHDFHSHEIAEQLTLLDAELFYKIEIPEVLLWAKEQNEEKSPNLTQFTEHFNNMSY WVRSIIMLQEKAQDRERLLLKFIKIMKHLRKLNNFNSYLAILSALDSAPIRRLEWQRQTS EGLAEYCTLIDSSSSFRAYRAALSEVEPPCIPYLGLILQDLTFVHLGNPDYIDGKVNFSK RWQQFNILDSMRCFQQAHYEIRRNDDIINFFNDFSDHLAEEALWELSLKIKPRNITRRKT DREEKT >ENSMUSP00000121615.2 pep:known chromosome:GRCm38:2:29619910:29738327:1 gene:ENSMUSG00000039844.19 transcript:ENSMUST00000147755.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rapgef1 description:Rap guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:104580] MSSGLGLRRSPEMSGKIEKADSQRSHLSSFTMKLMDKFHSPKIKRTPSKKGKPAEVSKIP EKPVSKNLCWLEEKEKEVVSALRYFKTIVDKMAIDKKVLEMLPGSASKVLEAILPLVQTD PRIQHSSALSSCYSRVYQSLANLIRWSDQVMLEGVNSEDKEMVTTVKGVIKAVLDGVKEL VRLTIEKQGRPSPTSPVKPSSPASKPDGQPELPLTDREMEILNKTTSVSPSAELLPDSTS EEVAPPKPPLPGIRVVDNSPPALPPKKRQSAPSPTRVAVVAPMSRATSGSSLPVGINRQD FDVECYTQRRLSGGSRSCGGESPRLSPCSSTGKLSRSDEQLSSLDRDSGQCSRNTSCETL DHYDPDYEFLQQDLSNADQIPPQAACNLSPLPESLGESGPPFLGHPFQLPLGSCLQQEGQ QTDTPPALPEKKRRSAVSQTTDSSGCRVSYERHPSQYDNISEGDLQNPVPVQPVPYPPFA AVLPFQQGASSASAEFVGDFSVPELAGDTEKPPPLPEKKNKHMLAYMQLLEDYSEPQPSM FYQTPQSEHIYQQKNKMLMEVYGFSESFCGSDSTQELAPPPALPPKQRQLASYAASSFSV SYCVQQTKVAFTPEDGSAAQGLSVSVSNSFLNRHGSLPVPSYKSVFRSYSQDFMPHHQAS VQPFLPPTSSSSPHFPPVHTSQSSDL >ENSMUSP00000117631.1 pep:known chromosome:GRCm38:2:29702482:29740363:1 gene:ENSMUSG00000039844.19 transcript:ENSMUST00000147488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef1 description:Rap guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:104580] XDNISEGDLQNPVPVQPVPYPPFAAVLPFQQGASSASAEFVGDFSVPELAGDTEKPPPLP EKKNKHMLAYMQLLEDYSEPQPSMFYQTPQSEHIYQQKNKMLMEVYGFSESFCGSDSTQE LAPPPALPPKQRQLASYAASSFSVSYCVQQTKVAFTPEDGSAAQGLSVSVSNSFLNRHGS LPVPSEPPSGKDGHPRDPSVSSASGKDSRENGERSPKSLDGLESAQSEEEVDELSLIDHN EIMARLTLKQEGDDGPDVRGGSGDILLVHATETDRKDLVLYCEAFLTTYRTFISPEELIK KLQYRYEKFSPFADTFKKRVSKNTFFVLVRVVDELCLVELTEEILKLLMELVFRLVCSGE LSLARVLRKNILDKVDQKKLLRCAHSDQPLAARGVAARPGTLHDFHSHEIAEQLTLLDAE LFYKIEIPEVLLWAKEQNEEKSPNLTQFTEHFNNMSYWVRSIIMLQEKAQDRERLLLKFI KIMKHLRKLNNFNSYLAILSALDSAPIRRLEWQRQTSEGLAEYCTLIDSSSSFRAYRAAL SEVEPPCIPYLGLILQDLTFVHLGNPDYIDGKVNFSKRWQQFNILDSMRCFQQAHYEIRR NDDIINFFNDFSDHLAEEALWELSLKIKPRNITRRKTDREEKT >ENSMUSP00000038483.5 pep:known chromosome:GRCm38:14:44988195:45003820:1 gene:ENSMUSG00000037759.5 transcript:ENSMUST00000046891.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptger2 description:prostaglandin E receptor 2 (subtype EP2) [Source:MGI Symbol;Acc:MGI:97794] MDNFLNDSKLMEDCKSRQWLLSGESPAISSVMFSAGVLGNLIALALLARRWRGDTGCSAG SRTSISLFHVLVTELVLTDLLGTCLISPVVLASYSRNQTLVALAPESHACTYFAFTMTFF SLATMLMLFAMALERYLSIGYPYFYRRHLSRRGGLAVLPVIYGASLLFCSLPLLNYGEYV QYCPGTWCFIRHGRTAYLQLYATMLLLLIVAVLACNISVILNLIRMHRRSRRSRCGLSGS SLRGPGSRRRGERTSMAEETDHLILLAIMTITFAICSLPFTIFAYMDETSSLKEKWDLRA LRFLSVNSIIDPWVFAILRPPVLRLMRSVLCCRTSLRTQEAQQTSCSTQSSASKQTDLCG QL >ENSMUSP00000048001.4 pep:known chromosome:GRCm38:5:115279666:115296972:1 gene:ENSMUSG00000041733.10 transcript:ENSMUST00000040421.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq5 description:coenzyme Q5 methyltransferase [Source:MGI Symbol;Acc:MGI:1098643] MAAPRCCVLWRVCGRGWWRATGHCRLPGCHRSWPWATLGTRSLSQEKRAAETHFGFETVS EGEKGSKVYQVFENVAKKYDLMNDMMSLGIHRAWKDLLIRKMHPLPGTQLLDMAGGTGDI AFRFLSYVQAQHQRRQRRQLRTQQNLSWEEIAKKYQNEEDSLGGSLATVCDINREMLKVG KQKALDQGHTAGLAWVLGDAEELPFDDDSFDVYTIAFGIRNVTHIDQALQEAHRVLKPGG RFLCLEFGQVNDPLISRLYDLYSFQVIPVIGEVIAGDWKSYQYLVESIRKFPNQEDFKDM IEDAGFQRVTYENLTTGIVAIHSGFKL >ENSMUSP00000123308.1 pep:known chromosome:GRCm38:5:115283648:115296309:1 gene:ENSMUSG00000041733.10 transcript:ENSMUST00000129024.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Coq5 description:coenzyme Q5 methyltransferase [Source:MGI Symbol;Acc:MGI:1098643] XDLLIRKMHPLPGTQLLDMAGGTGDIAFRFLSYVQAQHQRRQRRQLRTQQNLSWEEIAKK YQNEEDSLGGSLATVCDINREMLKVGKQKALDQGHTAGLAWVLGDAEELPFDDDSFDVYT IAFGIRNVTHIDQAL >ENSMUSP00000102369.1 pep:known chromosome:GRCm38:4:107066988:107085826:1 gene:ENSMUSG00000028621.17 transcript:ENSMUST00000106758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5rl description:cytochrome b5 reductase-like [Source:MGI Symbol;Acc:MGI:1919657] MAETEEEEDSEAWLRLKPVEPLPSQCCGSGCSPCVFDLYYRDLERWETARARNDRSLLSG KQPPESQSCSAKLSPETFLAFHISTMEKVTKDTYLVRFTLPGNSRLGLRPGQHLILRGVV DGLEIQRAYTPISPVTAEGYFDVLIKCYRTGLMSQYVESWRTGDTAFWRGPFGSFLYEPK KYGELLMLAAGTGLAPMVPILQSITDDEDDETFVTLVGCFKTFEGIYLKTFFQEQARFWN VQTFFVLSQEVSPEQLPWSYRDKTHFGRLGQELVAELVACCRRKPFTLVCGSPAFNEDMA RCLLSAGLTEDSYFLF >ENSMUSP00000122502.1 pep:known chromosome:GRCm38:4:107066996:107070915:1 gene:ENSMUSG00000028621.17 transcript:ENSMUST00000145324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5rl description:cytochrome b5 reductase-like [Source:MGI Symbol;Acc:MGI:1919657] MAETEEEEDSEAWLRLKPVEPLPSQCCGSGCSPCVFDLYYRDLERWETARARNDRSLLSG KQPPESQSCSAKLSPETFLAFH >ENSMUSP00000102371.1 pep:known chromosome:GRCm38:4:107066996:107087561:1 gene:ENSMUSG00000028621.17 transcript:ENSMUST00000106760.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5rl description:cytochrome b5 reductase-like [Source:MGI Symbol;Acc:MGI:1919657] MAETEEEEDSEAWLRLKPVEPLPSQCCGSGCSPCVFDLYYRDLERWETARARNDRSLLSG KQPPESQSCSAKLSPETFLAFHISTMEKVTKDTYLVRFTLPGNSRLGLRPGQHLILRGVV DGLEIQRAYTPISPVTAEGYFDVLIKSSDAREPCPADSVYKWVNQGP >ENSMUSP00000121581.1 pep:known chromosome:GRCm38:4:107067019:107088268:1 gene:ENSMUSG00000028621.17 transcript:ENSMUST00000149453.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyb5rl description:cytochrome b5 reductase-like [Source:MGI Symbol;Acc:MGI:1919657] MAETEEEEDSEAWLRLKPVEPLPSQCCGSGCSPCVFDLYYRDLERWETARARNDRSLLSG KQPPESQISIEYLLYTRPYKPMRICE >ENSMUSP00000119366.1 pep:known chromosome:GRCm38:4:107067024:107070931:1 gene:ENSMUSG00000028621.17 transcript:ENSMUST00000154283.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5rl description:cytochrome b5 reductase-like [Source:MGI Symbol;Acc:MGI:1919657] MAETEEEEDSEAWLRLKPVEPLPSQCCGSGCSPCVFDLYYRDLERWETARARNDRSLLSG KQPPESQSCSAKLSPETFLAFHISTME >ENSMUSP00000030364.6 pep:known chromosome:GRCm38:4:107068605:107085826:1 gene:ENSMUSG00000028621.17 transcript:ENSMUST00000030364.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5rl description:cytochrome b5 reductase-like [Source:MGI Symbol;Acc:MGI:1919657] MAETEEEEDSEAWLRLKPVEPLPSQCCGSGCSPCVFDLYYRDLERWETARARNDRSLLSG KQPPESQSCSAKLSPETFLAFHISTMEKVTKDTYLVRFTLPGNSRLGLRPGQHLILRGVV DGLEIQRAYTPISPVTAEGYFDVLIKYGELLMLAAGTGLAPMVPILQSITDDEDDETFVT LVGCFKTFEGIYLKTFFQEQARFWNVQTFFVLSQEVSPEQLPWSYRDKTHFGRLGQELVA ELVACCRRKPFTLVCGSPAFNEDMARCLLSAGLTEDSYFLF >ENSMUSP00000116114.1 pep:known chromosome:GRCm38:4:107068643:107087991:1 gene:ENSMUSG00000028621.17 transcript:ENSMUST00000126207.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyb5rl description:cytochrome b5 reductase-like [Source:MGI Symbol;Acc:MGI:1919657] XEDSEAWLRLKPVEPLPSQCCGSGCSPCVFDLYYRDLERWETARARNDRSLLSGKQPPES QISIEYLLYTRPYKPMRICE >ENSMUSP00000119249.1 pep:known chromosome:GRCm38:4:107070870:107084339:1 gene:ENSMUSG00000028621.17 transcript:ENSMUST00000137269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5rl description:cytochrome b5 reductase-like [Source:MGI Symbol;Acc:MGI:1919657] SCSAKLSPETFLAFHISTMEKVTKDTYLVRFTLPGNSRLGLRPGQHLILRGVVDGLEIQR AYTPISPVTAEGYFDVLIKCYRTGLMSQYVESWRTGDTAFWRGPFGSFLYEPKKEVSPEQ LPWSYRDKTHFGRLGQELVAELVACCRRKPFTLVCGSPAFNEDMARCLLSAGLTEDSYFL F >ENSMUSP00000123206.1 pep:known chromosome:GRCm38:4:107080993:107087777:1 gene:ENSMUSG00000028621.17 transcript:ENSMUST00000127916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5rl description:cytochrome b5 reductase-like [Source:MGI Symbol;Acc:MGI:1919657] LVGCFKTFEGIYLKTFFQEQARFWNVQTFFVLSQCWGLILEPRGC >ENSMUSP00000102367.2 pep:known chromosome:GRCm38:4:107070168:107084804:1 gene:ENSMUSG00000028621.17 transcript:ENSMUST00000106756.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5rl description:cytochrome b5 reductase-like [Source:MGI Symbol;Acc:MGI:1919657] MNNKDLESCSAKLSPETFLAFHISTMEKVTKDTYLVRFTLPGNSRLGLRPGQHLILRGVV DGLEIQRAYTPISPVTAEGYFDVLIKCYRTGLMSQYVESWRTGDTAFWRGPFGSFLYEPK KYGELLMLAAGTGLAPMVPILQSITDDEDDETFVTLVGCFKTFEGIYLKTFFQEQARFWN VQTFFVLSQEVSPEQLPWSYRDKTHFGRLGQELVAELVACCRRKPFTLVCGSPAFNEDMA RCLLSAGLTEDSYFLF >ENSMUSP00000000828.6 pep:known chromosome:GRCm38:6:89643988:89675529:1 gene:ENSMUSG00000000811.13 transcript:ENSMUST00000000828.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd3 description:thioredoxin reductase 3 [Source:MGI Symbol;Acc:MGI:2386711] MSSPPGRRARLASPGTSRPSSEAREELRRRLRDLIEGNRVMIFSKSYCPHSTRVKELFSS LGVVYNILELDQVDDGASVQEVLTEISNQKTVPNIFVNKVHVGGCDRTFQAHQNGLLQKL LQDDSAHDYDLIIIGGGSGGLSCAKEAANLGKKVMVLDFVVPSPQGTTWGLGGTCVNVGC IPKKLMHQAALLGHALQDAKKYGWEYNQQVKHNWEAMTEAIQSHIGSLNWGYRVTLREKG VTYVNSFGEFVDLHKIKATNKKGQETFYTASKFVIATGERPRYLGIQGDKEYCITSDDLF SLPYCPGCTLVVGASYVGLECAGFLAGLGLDVTVMVRSVLLRGFDQEMAEKVGSYLEQQG VKFQRKFTPILVQQLEKGLPGKLKVVAKSTEGPETVEGIYNTVLLAIGRDSCTRKIGLEK IGVKINEKNGKIPVNDVEQTNVPHVYAIGDILDGKPELTPVAIQAGKLLARRLFGVSLEK CDYINIPTTVFTPLEYGCCGLSEEKAIEMYKKENLEVYHTLFWPLEWTVAGRDNNTCYAK IICNKFDNERVVGFHLLGPNAGEITQGFAAAMKCGLTKQLLDDTIGIHPTCGEVFTTLEI TKSSGLDITQKGCUG >ENSMUSP00000098730.1 pep:known chromosome:GRCm38:6:89643988:89675529:1 gene:ENSMUSG00000000811.13 transcript:ENSMUST00000101171.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd3 description:thioredoxin reductase 3 [Source:MGI Symbol;Acc:MGI:2386711] MSSPPGRRARLASPGTSRPSSEAREELRRRLRDLIEGNRVMIFSKSYCPHSTRVKELFSS LGVVYNILELDQVDDGASVQEVLTEISNQKTVPNIFVNKVHVGGCDRTFQAHQNGLLQKL LQDDSAHDYDLIIIGGGSGGLSCAKEAANLGKKVMVLDFVVPSPQGTTWGLGGTCVNVGC IPKKLMHQAALLGHALQDAKKYGWEYNQQVKHNWEAMTEAIQSHIGSLNWGYRVTLREKG VTYVNSFGEFVDLHKIKVQQLEKGLPGKLKVVAKSTEGPETVEGIYNTVLLAIGRDSCTR KIGLEKIGVKINEKNGKIPVNDVEQTNVPHVYAIGDILDGKPELTPVAIQAGKLLARRLF GVSLEKCDYINIPTTVFTPLEYGCCGLSEEKAIEMYKKENLEVYHTLFWPLEWTVAGRDN NTCYAKIICNKFDNERVVGFHLLGPNAGEITQGFAAAMKCGLTKQLLDDTIGIHPTCGEV FTTLEITKSSGLDITQKGCUG >ENSMUSP00000056015.6 pep:known chromosome:GRCm38:4:133480132:133487938:1 gene:ENSMUSG00000046694.6 transcript:ENSMUST00000051676.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam46b description:family with sequence similarity 46, member B [Source:MGI Symbol;Acc:MGI:2140500] MMPSESGAESLEQPAAQVGTGAASAVATAGAAGGGPDPEASSASLGRHQSRLSWPQVKRL DALLKEPIPIHGRGNFPTLSVQPQQIVQVVRSSLEEHGLRVHSVRLHGSAASHVLHPESG LGYKDLDLVFQMDLRSEVSFQLTKAVVLACLLDFLPAGVSRAKITPLTLKEAYVQKLVKV CTDLDRWSLISLSNKSGKNVELKFVDSVRRQFEFSIDSFQIILDSLLLFGQCSSTPMSEA FHPTVTGESLYGDFAEALEHLQHRVIATRSPEEIRGGGLLKYCHLLVRGFRPRPSTDVRA LQRYMCSRFFIDFPDLVEQRRILERYLEAHFGGAEAARRYACLVTLHQVVNESTVCLMSH ERRQTLDLIAMLALQALAEQGPAAMAALAWRRPGSDGVVPATVNYYVTPMQPLLPRAHSY PTWLPCN >ENSMUSP00000143556.1 pep:known chromosome:GRCm38:5:108729308:108750955:-1 gene:ENSMUSG00000055385.11 transcript:ENSMUST00000196652.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf212 description:ring finger protein 212 [Source:MGI Symbol;Acc:MGI:3645767] MRSSQQPAFNKIKNSVSTKPNGYLFLPPNSSLPDRIESMDIDLTPPARKPEMSAGPSRIS VISPPQDGRMGSVTCRGPQHLSLTPSHASMTKASRISIP >ENSMUSP00000142368.1 pep:known chromosome:GRCm38:5:108729389:108750918:-1 gene:ENSMUSG00000055385.11 transcript:ENSMUST00000197384.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf212 description:ring finger protein 212 [Source:MGI Symbol;Acc:MGI:3645767] MRSSQQPAFNKIKNSVSTKPNGYLFLPPNSSLPDRIESMDIDLTPPARKPEMSAGPSRIS VISPPQDGRMGSVTCRGPQHLSLTPSHASMTKASRVPPLQMPYKELSPPPASQLSSRATQ GPSPSVSSSWTGPPRQPISISGLLQRQCAGSASPRGMDTEKMSPFLPSTPTNLRSVASP >ENSMUSP00000143229.1 pep:known chromosome:GRCm38:5:108729828:108774953:-1 gene:ENSMUSG00000055385.11 transcript:ENSMUST00000200159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf212 description:ring finger protein 212 [Source:MGI Symbol;Acc:MGI:3645767] MSAGPSRISVISPPQDGRMGSVTCRGPQHLSLTPSHASMTKASRVPPLQMPYKELSPPPA SQLSSRATQGPSPSVSSSWTGPPRQPISISGLL >ENSMUSP00000063525.7 pep:known chromosome:GRCm38:5:108731661:108749448:-1 gene:ENSMUSG00000055385.11 transcript:ENSMUST00000068946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf212 description:ring finger protein 212 [Source:MGI Symbol;Acc:MGI:3645767] MRSSQQPAFNKIKNSVSTKPNGYLFLPPNSSLPDRIESMDIDLTPPARKPEMSAGPSRIS VISPPQDGRMGSVTCRGPQHLSLTPSHASMTKASR >ENSMUSP00000139701.1 pep:known chromosome:GRCm38:7:33171892:33173864:-1 gene:ENSMUSG00000100058.1 transcript:ENSMUST00000186529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b18 description:secretoglobin, family 2B, member 18 [Source:MGI Symbol;Acc:MGI:3782872] MKGTLLLLGLLVTGELSFQTSEACVSFFEGYASVLSGSRVWLYQELQAFDATAEEKVALE KIQSCYSEERIRNILLEPKIMEAMVASPECRSYHSFNNFRSILDFISNLLGE >ENSMUSP00000108075.2 pep:known chromosome:GRCm38:X:159627272:159978069:1 gene:ENSMUSG00000040990.17 transcript:ENSMUST00000112456.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3kbp1 description:SH3-domain kinase binding protein 1 [Source:MGI Symbol;Acc:MGI:1889583] MVEAIVEFDYQAQHDDELTISVGEVITNIRKEDGGWWEGQINGRRGLFPDNFVREIKKDM KKDLLSNKAPEKPMHDVSSGNALLSSETILRTNKRGERRRRRCQVAFSYLPQNDDELELK VGDIIEVVGEVEEGWWEGVLNGKTGMFPSNFIKELSGESDELGISQDEQLSKSSLRETTG SESDGGDSSSTKSEGANGTMATAAIQPKKVKGVGFGDIFKDKPIKLRPRSIEVENDFLPV EKTIGKKLPPATSTPDPSKTEMDSRTKTKDYCKVIFPYEAQNDDELTIKEGDIVTLINKD CIDVGWWEGELNGRRGVFPDNFVKLLPSDFDKEGNRPKKPPPPSAPVVKQGAGTTERKHE IKKIPPERPETLPNRTEEKERPEREPKLDLQKPSVPAIPPKKPRPPKTNSLNRPGALPPR RPERPVGPLTHTRGDSPKIDLAGSALSGILDKDLSDRSNDIDLEGFDSVISSTEKLSHPT TSRPKATGRRPPSQSLTSSSLSSPDIFDSPSPEEDKEEHISLAHRGIDVSKKTSKTVTIS QVSDNKTSLPPKPGTMAAASSGPASLSSVASSPMSSSLGTAGQRASSPSLFSTEGKPKME PAVSSQAAIEELKMQVRELRTIIETMKDQQKREIKQLLSELDEEKKIRLRLQMEVNDIKK ALQSK >ENSMUSP00000079257.6 pep:known chromosome:GRCm38:X:159708601:159974167:1 gene:ENSMUSG00000040990.17 transcript:ENSMUST00000080394.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3kbp1 description:SH3-domain kinase binding protein 1 [Source:MGI Symbol;Acc:MGI:1889583] MELSAAKAPSPTDLPESEIKKDMKKDLLSNKAPEKPMHDVSSGNALLSSETILRTNKRGE RRRRRCQVAFSYLPQNDDELELKVGDIIEVVGEVEEGWWEGVLNGKTGMFPSNFIKELSG ESDELGISQDEQLSKSSLRETTGSESDGGDSSSTKSEGANGTMATAAIQPKKVKGVGFGD IFKDKPIKLRPRSIEVENDFLPVEKTIGKKLPPATSTPDPSKTEMDSRTKTKDYCKVIFP YEAQNDDELTIKEGDIVTLINKDCIDVGWWEGELNGRRGVFPDNFVKLLPSDFDKEGNRP KKPPPPSAPVVKQGAGTTERKHEIKKIPPERPETLPNRTEEKERPEREPKLDLQKPSVPA IPPKKPRPPKTNSLNRPGALPPRRPERPVGPLTHTRGDSPKIDLAGSALSGILDKDLSDR SNDIDLEGFDSVISSTEKLSHPTTSRPKATGRRPPSQSLTSSSLSSPDIFDSPSPEEDKE EHISLAHRGIDVSKKTSKTVTISQVSDNKTSLPPKPGTMAAASSGPASLSSVASSPMSSS LGTAGQRASSPSLFSTEGKPKMEPAVSSQAAIEELKMQVRELRTIIETMKDQQKREIKQL LSELDEEKKIRLRLQMEVNDIKKALQSK >ENSMUSP00000114262.1 pep:known chromosome:GRCm38:X:159708601:159973865:1 gene:ENSMUSG00000040990.17 transcript:ENSMUST00000141354.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh3kbp1 description:SH3-domain kinase binding protein 1 [Source:MGI Symbol;Acc:MGI:1889583] MELSAAKAPSPTDLPESEIKKDMKKDLLSNKAPEKPMHDVSSGNALLSSETILRTNKRGE RRRRRCQVAFSYLPQNDDELELKVGDIIEVVGEVEEGWWEGVLNGKTGMFPSNFIKELSG ESDELGISQDEQLSKSSLRETTGSESDGGDSSSTKSEGANGTMATAAIQPKKVKGVGFGD IFKDKPIKLRPRSIEVENDFLPVEKTIGKKLPPATSTPDPSKTEMDSRTKTKDYCKVIFP YEAQNDDELTIKEGDIVTLINKDCIDVGWWEGELNGRRGVFPDNFVKLLPSDFDKEGNRP KKPPPPSAPVVKQGAGTTERKHEIKKIPPERPETLPNRTEEKERPEREPKLDLQKPSVPA IPPKKPRPPKTNSLNRPGALPPRRPERPVGPLTHTRGDSPKIDLAGSALSGILDKDLSDR SNDIDSQSEVRNETYLPPAHPTVTSRL >ENSMUSP00000072840.3 pep:known chromosome:GRCm38:X:159627541:159973169:1 gene:ENSMUSG00000040990.17 transcript:ENSMUST00000073094.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3kbp1 description:SH3-domain kinase binding protein 1 [Source:MGI Symbol;Acc:MGI:1889583] MVEAIVEFDYQAQHDDELTISVGEVITNIRKEDGGWWEGQINGRRGLFPDNFVREIKKDM KKDLLSNKAPEKPMHDVSSGNALLSSETILRTNKRGERRRRRCQVAFSYLPQNDDELELK VGDIIEVVGEVEEGWWEGVLNGKTGMFPSNFIKELSGESDELGISQDEQLSKSRPEGFLP ASLLPFPAHGAKGKTTFEGTILYRAAPGKTEGHRRYYSLRETTGSESDGGDSSSTKSEGA NGTMATAAIQPKKVKGVGFGDIFKDKPIKLRPRSIEVENDFLPVEKTIGKKLPPATSTPD PSKTEMDSRTKTKDYCKVIFPYEAQNDDELTIKEGDIVTLINKDCIDVGWWEGELNGRRG VFPDNFVKLLPSDFDKEGNRPKKPPPPSAPVVKQGAGTTERKHEIKKIPPERPETLPNRT EEKERPEREPKLDLQKPSVPAIPPKKPRPPKTNSLNRPGALPPRRPERPVGPLTHTRGDS PKIDLAGSALSGILDKDLSDRSNDIDLEGFDSVISSTEKLSHPTTSRPKATGRRPPSQSL TSSSLSSPDIFDSPSPEEDKEEHISLAHRGIDVSKKTSKTVTISQVSDNKTSLPPKPGTM AAASSGPASLSSVASSPMSSSLGTAGQRASSPSLFSTEGKPKMEPAVSSQAAIEELKMQV RELRTIIETMKDQQKREIKQLLSELDEEKKIRLRLQMEVNDIKKALQSK >ENSMUSP00000108070.1 pep:known chromosome:GRCm38:X:159840468:159975664:1 gene:ENSMUSG00000040990.17 transcript:ENSMUST00000112451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3kbp1 description:SH3-domain kinase binding protein 1 [Source:MGI Symbol;Acc:MGI:1889583] MGEETIGKKLPPATSTPDPSKTEMDSRTKTKDYCKVIFPYEAQNDDELTIKEGDIVTLIN KDCIDVGWWEGELNGRRGVFPDNFVKLLPSDFDKEGNRPKKPPPPSAPVVKQGAGTTERK HEIKKIPPERPETLPNRTEEKERPEREPKLDLQKPSVPAIPPKKPRPPKTNSLNRPGALP PRRPERPVGPLTHTRGDSPKIDLAGSALSGILDKDLSDRSNDIDLEGFDSVISSTEKLSH PTTSRPKATGRRPPSQSLTSSSLSSPDIFDSPSPEEDKEEHISLAHRGIDVSKKTSKTVT ISQVSDNKTSLPPKPGTMAAASSGPASLSSVASSPMSSSLGTAGQRASSPSLFSTEGKPK MEPAVSSQAAIEELKMQVRELRTIIETMKDQQKREIKQLLSELDEEKKIRLRLQMEVNDI KKALQSK >ENSMUSP00000108072.2 pep:known chromosome:GRCm38:X:159840481:159974167:1 gene:ENSMUSG00000040990.17 transcript:ENSMUST00000112453.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3kbp1 description:SH3-domain kinase binding protein 1 [Source:MGI Symbol;Acc:MGI:1889583] MGEEVSLGEKNISPEQASCGALHPRGWGSQTFGVFLVNEETTIGKKLPPATSTPDPSKTE MDSRTKTKDYCKVIFPYEAQNDDELTIKEGDIVTLINKDCIDVGWWEGELNGRRGVFPDN FVKLLPSDFDKEGNRPKKPPPPSAPVVKQGAGTTERKHEIKKIPPERPETLPNRTEEKER PEREPKLDLQKPSVPAIPPKKPRPPKTNSLNRPGALPPRRPERPVGPLTHTRGDSPKIDL AGSALSGILDKDLSDRSNDIDLEGFDSVISSTEKLSHPTTSRPKATGRRPPSQSLTSSSL SSPDIFDSPSPEEDKEEHISLAHRGIDVSKKTSKTVTISQVSDNKTSLPPKPGTMAAASS GPASLSSVASSPMSSSLGTAGQRASSPSLFSTEGKPKMEPAVSSQAAIEELKMQVRELRT IIETMKDQQKREIKQLLSELDEEKKIRLRLQMEVNDIKKALQSK >ENSMUSP00000114799.1 pep:known chromosome:GRCm38:X:159697316:159865400:1 gene:ENSMUSG00000040990.17 transcript:ENSMUST00000123433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3kbp1 description:SH3-domain kinase binding protein 1 [Source:MGI Symbol;Acc:MGI:1889583] MKKDLLSNKAPEKPMHDVSSGNALLSSETILRTNKRGERRRRRCQVAFSYLPQNDDELEL KVGDIIEVVGEVEEGWWEGVLNGKTGMFPSNFIKELSGESDELGISQDEQLSKSSLRETT GSESDGGDSSSTKSEGANGTMATAAIQPKKVKGVGFGDIFKDKPIKLRPRSIEVENDFLP VEKTIGKKLPPATSTPDPSKTEMDSRTK >ENSMUSP00000117618.1 pep:known chromosome:GRCm38:X:159919859:159973319:1 gene:ENSMUSG00000040990.17 transcript:ENSMUST00000152426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3kbp1 description:SH3-domain kinase binding protein 1 [Source:MGI Symbol;Acc:MGI:1889583] PGALPPRRPERPVGPLTHTRGDSPKIDLAGSALSGILDKDLSDRSNDIDLEGFDSVISST EKLSHPTTSRPKATGRRPPSQSLTSVSDNKTSLPPKPGTMAAASSGPASLSSVASSPMSS SLGTAGQRASSPSLFSTEGKPKMEPAVSSQAAIEELKMQVRELRTIIETMKDQQKREIKQ LLSELDEEKKIRLRLQMEVNDIKKALQSK >ENSMUSP00000101236.1 pep:known chromosome:GRCm38:10:6788809:7038198:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000105611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQPTLAVSVAQIFTGYPSPTHVEKPCKSCMDRGMRN LLPDDGPRQESGEGQLGR >ENSMUSP00000053498.7 pep:known chromosome:GRCm38:10:6758593:7037546:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000063036.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MMEAFSKSAFQKLRQRDGNQEGKSYLRYTKMKTATNIYIFNLALADALATSTLPFQSVNY LMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRTPRNAKIVN VCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFAFIMPVLII TVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYVIIKALITI PETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQQNSARIRQ NTREHPSTANTVDRTNHQRNEEPSS >ENSMUSP00000115836.1 pep:known chromosome:GRCm38:10:6788809:7037482:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000144264.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQLAFGCCNEHHDQR >ENSMUSP00000123117.1 pep:known chromosome:GRCm38:10:6788809:7037462:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000129221.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATSTLPFQ SVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRTPRNA KIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFAFIMP VLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYVIIKA LITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQQNSA RIRQNTREHPSTANTVDRTNHQKQEKTKTKSAWEIWEQKEHTLLLGETHLTIQHLS >ENSMUSP00000060329.7 pep:known chromosome:GRCm38:10:6788809:7037201:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000052751.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQRNEEPSS >ENSMUSP00000101240.2 pep:known chromosome:GRCm38:10:6758506:7037201:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000105615.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MMEAFSKSAFQKLRQRDGNQEGKSYLRYTKMKTATNIYIFNLALADALATSTLPFQSVNY LMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRTPRNAKIVN VCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFAFIMPVLII TVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYVIIKALITI PETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQQNSARIRQ NTREHPSTANTVDRTNHQPTLAVSVAQIFTGYPSPTHVEKPCKSCMDRGMRNLLPDDGPR QESGEGQLGR >ENSMUSP00000115413.1 pep:known chromosome:GRCm38:10:6788809:7037198:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000154941.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATSTLPFQ SVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRTPRNA KIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFAFIMP VLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYVIIKA LITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQQNSA RIRQNTREHPSTANTVDRTNHQKKKLDSQRGCVQHPV >ENSMUSP00000122385.1 pep:known chromosome:GRCm38:10:6788809:7037177:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000129954.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQAPCACMPGANRGQTKASDLLDLELKTVGSHQADA ETNPGPYEGSKCAEPLSHLSGPSTLALC >ENSMUSP00000101226.2 pep:known chromosome:GRCm38:10:6788694:7037177:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000105601.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRVHRLHPHVLSSHMVLGEPAQNLCLH LRLHHAGPHHHCVLWTDDLTTQECPHAVGLQRKGQEPAQDHPDGAGGRGCIYCLLDPHPH LCHHQSTDHDSRNHFPDCFLALLHCLGLHKQLPEPSSLCVPG >ENSMUSP00000120187.1 pep:known chromosome:GRCm38:10:6788809:6979764:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000123861.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRVHRLHPHVLSSHMVLGEPAQNLCLH LRLHHAGPHHHCVLWTDDLTTQECPHAVGLQRKGQEPAQDHPDGAGGRGCIYCLLDPHPH LCHHQSTDHDSRNHFPDCFLALLHCLGLHKQLPEPSSLCVPG >ENSMUSP00000135143.1 pep:known chromosome:GRCm38:10:6788809:6979754:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000135502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQPTLAVSVAQIFTGYPSPTHVEKPCKSCMDR >ENSMUSP00000117950.1 pep:known chromosome:GRCm38:10:6758506:6979704:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000147171.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MMEAFSKSAFQKLRQRDGNQEGKSYLRYTKMKTATNIYIFNLALADALATSTLPFQSVNY LMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRTPRNAKIVN VCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFAFIMPVLII TVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYVIIKALITI PETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQQNSARIRQ NTREHPSTANTVDRTNHQLENLEAETAPLP >ENSMUSP00000101232.1 pep:known chromosome:GRCm38:10:6758593:6850613:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000105607.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQLENLEAETAPLP >ENSMUSP00000115552.1 pep:known chromosome:GRCm38:10:6788601:6850348:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000152674.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVSMQLPILTVG >ENSMUSP00000101222.1 pep:known chromosome:GRCm38:10:6758593:6849858:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000105597.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MMEAFSKSAFQKLRQRDGNQEGKSYLRLPLSILFLNKES >ENSMUSP00000114856.1 pep:known chromosome:GRCm38:10:6758593:6849858:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000150374.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MMEAFSKSAFQKLRQRDGNQEGKSYLRHLIPRKEIIFLKLK >ENSMUSP00000060590.7 pep:known chromosome:GRCm38:10:6758593:6849858:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000056385.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQLENLEAETAPLP >ENSMUSP00000090410.4 pep:known chromosome:GRCm38:10:6758593:6849858:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000092734.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQLENLEAETAPLP >ENSMUSP00000101230.1 pep:known chromosome:GRCm38:10:6788809:6841537:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000105605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQKIDLF >ENSMUSP00000114342.1 pep:known chromosome:GRCm38:10:6788809:6841380:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000154906.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQKLLMWRAMPTFKRHLAIMLSLDN >ENSMUSP00000090405.4 pep:known chromosome:GRCm38:10:6788809:6841362:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000092729.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQCV >ENSMUSP00000101229.1 pep:known chromosome:GRCm38:10:6788837:6839954:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000105604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQEPQSAET >ENSMUSP00000090407.4 pep:known chromosome:GRCm38:10:6788809:6839919:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000092731.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQTSLTLQ >ENSMUSP00000000783.6 pep:known chromosome:GRCm38:10:6788809:6838680:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000000783.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQAHQKPQECLKCRCLSLTILVICLHFQHQQFFIMI KKNVS >ENSMUSP00000077704.5 pep:known chromosome:GRCm38:10:6788809:6832987:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000078634.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQIMKFEAIYPKLSFKSWALKYFTFIREKKRNTKAG ALPPLPTCHAGSPSQAHRGVAAWLLPLRHMGPSYPS >ENSMUSP00000101228.1 pep:known chromosome:GRCm38:10:6788837:6832793:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000105603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQWQG >ENSMUSP00000101227.1 pep:known chromosome:GRCm38:10:6788809:6830639:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000105602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MDSSAGPGNISDCSDPLAPASCSPAPGSWLNLSHVDGNQSDPCGPNRTGLGGSHSLCPQT GSPSMVTAITIMALYSIVCVVGLFGNFLVMYVIVRYTKMKTATNIYIFNLALADALATST LPFQSVNYLMGTWPFGNILCKIVISIDYYNMFTSIFTLCTMSVDRYIAVCHPVKALDFRT PRNAKIVNVCNWILSSAIGLPVMFMATTKYRQGSIDCTLTFSHPTWYWENLLKICVFIFA FIMPVLIITVCYGLMILRLKSVRMLSGSKEKDRNLRRITRMVLVVVAVFIVCWTPIHIYV IIKALITIPETTFQTVSWHFCIALGYTNSCLNPVLYAFLDENFKRCFREFCIPTSSTIEQ QNSARIRQNTREHPSTANTVDRTNHQVCAF >ENSMUSP00000123617.1 pep:known chromosome:GRCm38:10:6758593:6828964:1 gene:ENSMUSG00000000766.18 transcript:ENSMUST00000143875.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprm1 description:opioid receptor, mu 1 [Source:MGI Symbol;Acc:MGI:97441] MMEAFSKSAFQKLRQRDGNQEGKSYLRACPCKKLTEPRAAVRGRGWGAWNPNTLECSQLQ PTESAASIQNHGQQRRPREHQRLL >ENSMUSP00000144288.1 pep:known chromosome:GRCm38:6:39585753:39596595:1 gene:ENSMUSG00000107071.1 transcript:ENSMUST00000126621.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm42420 description:predicted gene, 42420 [Source:MGI Symbol;Acc:MGI:5649067] XHHAQANECKDVERFKAEMATLVTQARKNIVTLEKLHVSSLLSSVFKLLMTHKVKLESNF ASIVVAIMVLEGLGRSLDPTLDILEAAKPFLFKGPASFL >ENSMUSP00000028880.3 pep:known chromosome:GRCm38:2:129198764:129211616:1 gene:ENSMUSG00000027397.14 transcript:ENSMUST00000028880.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc20a1 description:solute carrier family 20, member 1 [Source:MGI Symbol;Acc:MGI:108392] MESTVATITSTLAAVTASAPPKYDNLWMLILGFIIAFVLAFSVGANDVANSFGTAVGSGV VTLKQACILASIFETVGSALLGAKVSETIRNGLIDVELYNETQDLLMAGSVSAMFGSAVW QLVASFLKLPISGTHCIVGATIGFSLVANGQKGVKWSELIKIVMSWFVSPLLSGIMSGIL FFLVRAFILRKADPVPNGLRALPIFYACTIGINLFSIMYTGAPLLGFDKLPLWGTILISV GCAVFCALIVWFFVCPRMKRKIEREVKSSPSESPLMEKKSNLKEDHEETKMAPGDVEHRN PVSEVVCATGPLRAVVEERTVSFKLGDLEEAPERERLPMDLKEETSIDSTINGAVQLPNG NLVQFSQTVSNQINSSGHYQYHTVHKDSGLYKELLHKLHLAKVGDCMGDSGDKPLRRNNS YTSYTMAICGMPLDSFRAKEGEQKGDEMETLTWPNADTKKRIRMDSYTSYCNAVSDLHSE SEMDMSVKAEMGLGDRKGSSGSLEEWYDQDKPEVSLLFQFLQILTACFGSFAHGGNDVSN AIGPLVALYLVYKQEASTKAATPIWLLLYGGVGICMGLWVWGRRVIQTMGKDLTPITPSS GFSIELASALTVVIASNIGLPISTTHCKVGSVVSVGWLRSKKAVDWRLFRNIFMAWFVTV PISGVISAAIMAVFKYIILPV >ENSMUSP00000121074.1 pep:known chromosome:GRCm38:2:129199144:129199816:1 gene:ENSMUSG00000027397.14 transcript:ENSMUST00000148988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc20a1 description:solute carrier family 20, member 1 [Source:MGI Symbol;Acc:MGI:108392] MESTVATITSTLAAVTASAPPKYDNLWMLILG >ENSMUSP00000105944.1 pep:known chromosome:GRCm38:2:129199310:129211609:1 gene:ENSMUSG00000027397.14 transcript:ENSMUST00000110315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc20a1 description:solute carrier family 20, member 1 [Source:MGI Symbol;Acc:MGI:108392] MESTVATITSTLAAVTASAPPKYDNLWMLILGFIIAFVLAFSVGANDVANSFGTAVGSGV VTLKQACILASIFETVGSALLGAKVSETIRNGLIDVELYNETQDLLMAGSVSAMFGSAVW QLVASFLKLPISGTHCIVGATIGFSLVANGQKGVKWSELIKIVMSWFVSPLLSGIMSGIL FFLVRAFILRKADPVPNGLRALPIFYACTIGINLFSIMYTGAPLLGFDKLPLWGTILISV GCAVFCALIVWFFVCPRMKRKIEREVKSSPSESPLMEKKSNLKEDHEETKMAPGDVEHRN PVSEVVCATGPLRAVVEERTVSFKLGDLEEAPERERLPMDLKEETSIDSTINGAVQLPNG NLVQFSQTVSNQINSSGHYQYHTVHKDSGLYKELLHKLHLAKVGDCMGDSGDKPLRRNNS YTSYTMAICGMPLDSFRAKEGEQKGDEMETLTWPNADTKKRIRMDSYTSYCNAVSDLHSE SEMDMSVKAEMGLGDRKGSSGSLEEWYDQDKPEVSLLFQFLQILTACFGSFAHGGNDVSN AIGPLVALYLVYKQEASTKAATPIWLLLYGGVGICMGLWVWGRRVIQTMGKDLTPITPSS GFSIELASALTVVIASNIGLPISTTHCKVGSVVSVGWLRSKKAVDWRLFRNIFMAWFVTV PISGVISAAIMAVFKYIILPV >ENSMUSP00000120314.2 pep:known chromosome:GRCm38:2:82943634:83008937:1 gene:ENSMUSG00000075249.12 transcript:ENSMUST00000143764.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fsip2 description:fibrous sheath-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2664111] MELYLSACSKTANVAANKAASSTVAEDSQQCVDGRHKTPIPGVGAAQLLDLPLGVKLPMI PGTDTVYFTTNISEKLFRPSYGFNLSDPYCRLLETQYKSLHDPHLRTYYKRKDILRRLKK GGYITSNNKIVCSLRELNKYRQYLTSLKLDFERNYLREQQLISKQLHKLQETSQLPHCSD VTRFQNWLLQDNTHSIKDQERLLRHRYLDMISKELEQLERTAEEQRLLRIDRDERRQREY TRKKLTLRRKIEEEWKTKEMMLLTKIGEDVKREQKIEEQRRKSREESDRKKQAMLEKKMA YHLQKMQDTGLKDDIGRNGFDYRGQNGTTFESSSKKRKKQFDDIKIVYPDGDQKAYRGTS GQVSATVNQSQSSSKDVTKVSASSVTYPAEVQNSSSEQKRSEVTKRLSDERGKNSTDDSA RESIISTQLSPTRNAKLSQISLDHQKEEKEMKSTWNGGLSKKSSYAGEPGSPTDAPPQGI FSFPVNSNTQQNLQHCLKDKVTSEELNSIIQNIMTWVVATVTSILYPAITKYEERVQNNT YPVTDDSDLSSDSSSFCSTCSEGFTYRNYTAKTLPVDPCTFASDMPIKKPPTPLKPPSAH VERTVIDQTYQRQGQSVPSQVNYQKTSLDYQFPKIGSSKSDSHLLTSLETCTKKSKDATT ETESLEISLLYDKKAKAMDQIKNLKNVFVNFKCHLKGETQLILESIFQEIMSDLSQAIPS LSSVTAEVFVDQTETEREELLSNVDICSVASEIVENMLEKLESAVEKRCVQMFSQEDLSV DIKPSLSTSGDYLSSVETKPSEETLSYTLEPMCDVADDMVHAILEKLMILASYKKNDVPP EDTTKHFQQTKTEPIHKVHQQTEQKKASFASDPANQIVKEEIQSLISSIFSQSSLVGYVE EAISTILGYVQTELNNERLIASEETVVLLQLLDDVLTQLHQQPVKAGVKKPRRPRVRNLS DPEEKYRVTGTRPFNNLKSKRPFPPVNVPGMVLYSEDDDEEIDNFVQSVLDSSFNDEKSS SQEQVPNYWPKKRDTYFESKRSNKLPTKSTFQNKVGSHDWGLKLELSLSSEDIVKTKHCL GQEPSIFSQDQKHQIQKASENIVKHILTDMLKDMSSDSSSHLGSQTGKGASAFISEKSQG LSDQEWMEQMFSVSEICAFAHEITDSVINILHKASMHIPNTIKNAFTSVHPTHVAPFDTA HTSKETPSKMPFKVWFDSEKKMKYLSSLNMEHEKTSQLRSGKCEPKRVNDITDKITNTIF KRLKLFVCPKLQIGNKSSLIEKSLHSQLSTYTMKIVNIILHAIQSELEINKTKPNLKETD HPKCHKNKGWFTDPNKVVESRVTSVNDDIMESPLLTCICEMISSTNEDKKNTSTYTDKTL STSICESGNFHKQSILSNKQDKNSFCQLLAKPCLQHSLADEKDFKVSSKLEVLDQIGDTL HEMLGKLTGDHPDPQPSCSHQNTETIDKDPPTMSNTQLISKAILENVLAKLCDVDIDTSI VNSGPKTVPESLDIDNLSFASTMEEMAKCTNIISNIISKIMKDNKEVTKSKAKNDLPTSS KTEIPKEMCPQNLKNIASDILNMVFAKLEHFASGSVVNVGNLNDENKKEHTVDWECESNS SPADSHDKSLQSTLYSHAKKVSNGILKAIQTELNMNPPDCKTDKKRSPEETQILTNIVDL ILDVVSSDMFAEPESENQSNENYGYRPTYGNFLPGGAESDSFLDDDTQNKELIRDATSFN EETRTLSTDQMGLERTLSKIEVKLKEPHRSPIVPIIRNILNEIFQSALINQLNVFSLSRA HFSGMPQNAPTSSTQTSAHFMDQMMGPLVSDTDVSIVVNDVVRTVFHKLYSAAMTERHVS ENRGKTIILSANVSFRECTYGGNAPVSGLNENVCDLQSSWNVDKQIKINVVEDIVQAILG NLETFAASKVESLFCPQVKFTVPVTLPVMQEEGSLSQALSGKDSYSDNQLPCLVDHGNPE QMDSWYQMSLSKLNIYAKDVARKILQGIKHELDKEQESPLLTNNIVVSENIASQVVNKVL DIVSCKSKCDKKSSDKDHYSEQQVGIIEQLVNKREYRKILEFQIQDTIEGILCDIYENIL YQNNLSFATPTLKYGIPAKHSEANFEQVVMGTNIIPKVSVPKADVILVSKDIVDIVLHNL TSLVVLAINAKDSAPARVAIYDIFPKPQFQQPLSITQRTEETPGHFSHSKNKNLGSPDVY QVPVNGKEDTKELAPDPCKENANRITQPIFNRLQSFATERINSLMTPAFQSKGNVFVSPQ FEIGKDDNCIFHEPGQAESDVDVLKLTTRTVTSQELVEPIFTSCRENFGTTPHLSQTNLK DYAAFIASTILKLIKNDLDLEVQSMHTYSSHILFQKNMIVSEIVNNILKILPNKEPIKEF FLSSPENSSCSQLTISSEDLVGYKEKEKATALPQFTNDSLEENQVTVEKESQRDVLEEIF MRKGESKPREKTEILRTVEEVLKKLSQKIMEIIGHVAPFNESPHTVSKSKSKTAAATQKK FFQSRINNVSNDILENVLSEMHSVVVTSLYRNNKSKREGEMHDSSDSSSVKPSGSRETKP TRKRSSPLRLGVPQMHPHADNLNALVLENTILPYSPLQIGKYLVQIVLENLSNFVSLHLE EGYPPEGSYDDLYLLRLHNAKLSPKNSPRPGGKASLKMRSKINSLSKFKTKPHLGISSTK TKIKNKLSAGEKTPRESRSKTALGLPQTPQVEDTKTTLKTKLPVAELRLYATNIITDILQ VILSSLERAAQHRAMFNTKVLASSQILEASKIVNAVLQELYATNNDNLASPINISSLSDR RLSKQNLGAGYPTEQPCFYLENVSSQLEQIFPKEGILKKMFDKWQTETSDTETEKSKLLT IAENILTEISIKAKDLEYSLSLLNLPPLEECENRLYDRFKGVSTRAEDTNAQINMFGREI VEMLFEKLQLCFLSQMPTQDSNGILTSRKEHGTSKSKHGVPTKPILGGIQTYNSKMADQV SMSPSNQIVHEIVERVLHMLESFVDLKFKHISKYEFSEIVKMPIDNLFQAQQRQLSKKML PKLPFRKFGDESKPGTIISKDDGQNTLLQVHLFHSELLTYSVTAVSGMLRIIKNKLDKEI SQMEPSPVSILKENITASEIIGTLIDQCSHFEESLIKNLPTKHWFQGTENVYIVNQVEFA TPVKMPPSNTSDTPRTRRSSQGSVSGMGFSSEDDMKEKYSVTSNSSSHISSCVENTNKSL EPMGRSNSEAMPSGSRHKAHDHGQRKPNFTPFEQVTKTHNFLPQGSVLQKLFKKVNDSTE ESLKQVLSFIETGKRENPRVFHYETTKSAEPNEIKTTAPPLKICLAAENIVNTVLSSYGF PHQPNTNESTETMKPFFMSRQIPLSEVCEGQKDTEKTLLKTWYSRKALIQEEESEGLEAC QEDFSLLHKWKNKKPQMTTETVEETKTIVFADHELGPNEMHLVARHVTTSVITHLKNFKT GVSTEKFSHVSSLSKKIDDANQPLISIYSNSSVCQFCEHLSDAVICYLFLNISDGIKKCS REKAWEIQDAIIDKNVIIHSQLYKTRSISIGDLALSIFEVIVEVLANRNMINADKAHQAS IKAKYMFCPGVTSSDFDDIFQDLLKEVIFVLSKILGINHFENNVRNKSYPTLKNNLPVFN KVNTIENQIGPRKLESSPPLTDQLAQKNKLNYLARRLNSLVGSLRSRESKEVVNEVFNIV LDLFLPDEIPDGDLSSGKLAKTFSSSNNQPSNRSLANNVGLSPKSIFLLNIVCEKLIRTL LEKCMGGTPFFEDSSLFREIPEECQHVKVLPSVQGGGFDYREAPMDCEQFQGDYMSELLE NLADVDQDLLSSDCILNVISHSLVKSLMDKLSHGLQQAPFENKYRNYRTREMQPFITKAK RQELIEPEETKGHVGFMSYDNNFLTKSLNNTNANSFKKHTQFGKKHAGKSISLSFSDGKE SRGINTACFHKLCQRGVNGGVFSATFLEEIISELFYNLSTSLWKKNPNITEAWLNEINTL LINNVVKKLNDAEITVLRYPEERLYFPSAHKGCIAKIVDSIYYDVLQQYEFTVTCGGNLP YDNTPVAKRISNSILLEVIDYQLPSCFKGKLRSNLYHTLNAEIILYKLHNSLKNFNSEPM TSKDYSTMLPHSFLEYVIRRVLAQLISLPIKSSSLEKKYLASSDFNEMSNCITNKVMSAI SKHKIWLTIYDNQCLYTDKNLQKMVDSVYSNILQMSSSVDSIQKNIISRSPIMIDQIASF IIQEIIENHLQPFLCGERLPRPKTPLDEVSYMVKQVLSDVVESHKSQKPSPFGIYPDKLV GETVTRVLSKIFSPNTNINVELENVTQKIVSSVHKHLDKAKIPILCHKQPPFPFSNTDIV DELVTSVYKNVLKQHGLDPDIDESVNGEVFVENITKLITAAISDYLLHPLFSGDLPAASC SNSVTDHTVYDILGDINKSSKPNQTLPLYNTLLPYTFLEDMIRVLLSKFFPSAPKIDSYK AASKDKEGVNFNEIASNLISDIRRKISQHEIRFSKDEDKTKSFYSENDVQHLVDAVFMNI LENYGSPESVEQNITKSNDVFIDQIAGFIIKYICEHHLQPFLERKQLSTSSYKYSDDDRQ DLLYGSAYSSTFLEDVVSGVVSKIFHRVIGIVQVNSTINSENILFDKAENLIYWITEEFS KAQVTTIENAEERLSLSPVEGNILQKIIDTVYSKILEEHEMEIMPNKDFLNDTKALASQI TEIILSEISYFQIPPDLVANLPLRLHSKLSQNVLVNKVHYDISKSRFRRQASTMYTTMLS HVHLEKIVTQLMSQINPSDSSVGHSDTYQSELSNTVVKLINEIMSIISKHAICIVKHGNE KQSMISEKEMQSMVDSIYADLSHSNLYQSLTKDKKGLSSIPVSKIANFIIKEIFNHHLES FLSGDKSILSASVAQTCKKKATTSTKQRELSFIVNSAVFLEEVITELLCKILQTFIQNSL SMETPERAIAEIMDIVTTLVKSIVFEFTSSEILVAEHLDESLWFSETYKEMVQKTVNSVY GNIFYEYKSLTQLHRAVQYDTSGFGGKMYHFLLEEMYDYQVQSLVSGELMPSCYASPQSA NIIKNVLNVILKDTNALPSCITVLPCSLIENMIYKLLENIFPSDDTTNELKKEEKEEAGP DDVDEFMAAASKLTDEIIQEISEHEIRFANAEDTASMIYETTENFIDSVCNNILKNSEFQ AEVQKDAHKKGGSFLSKIAGSIIKEIMDHYLQLFLYGEGSHSSKLPHFGGASVVAKSGKE KAPSSLFSAAFLEDVIVDLAHKFCSFLTLTDDARKKDLPEAEIVSLAIKFANSLIRDFRK SGIKVLPHAEEIFSFPPIAKETIDEISNFVYDQFIGKLGADGIQKDGTGNMVIEMVSSLA QKAISTFKIQPLFSGDWCSTFFSFLDAENISQRVQLLPKETSMQISGALKQNQLALSKIT SIKKDDTQDPILNSIATIMKSNIINLLSGPSAGVTDAKKEDESKVKPATRETTSPPTSPP ATMKSQGSQVQQLATSPPTSMKSQRIQVQQSVMSPPTTMKGKGAQVQQFATSPPTSMKSQ GSQVQQSAMSPPTTMKSRGAQVQESVTSPFTTKESRGAQVQQSAMSPPPSMKDRGAQVQE SSTSPPTTMKSRGAQVQHLSSKYKGNETGKENLVLVNEQGQILEIFTHFAVAKTVENSPE KEVFISDLKIQNEKKLDVSKSSVKTDDRPMSKDKETMTEKTVLIPQQPVKEERKQSVVKT DTEEEQYSENECVENVIENIYDNVFIVSSQEPLDFSRPIYSRSLTSDKALVILKDSAQLV PAKDLSSSGHRDIAAKEKASKETETKIRRDRSETKRSKEMKSKSSMTDHPKPSESKSKIV PAKFLEDVIAELVNKLVFTFISDKTTDTNEQKPGELYDTAMRLVNSMTKELSDAEIKVFR PEKEDEVQAAKEPAPTSPPEVKETVTKEPSPSTNIKNEDQMSDVQKTPEQSSPDKLPALE KIPSIEKSIVNKIVHSCVCNIFKECKSQESICENINSNGENLAKRLTSTVINEIFQHQLN LIFSDEVPASACVPLESKDVEQKVQNVVQTVSKECQTASPYTVQLPYKFLEDVTSGLLAK VFSKLSNVKTKLTPENVLTQLDFLQSNLVKTIAAEISKNEDLIIQYVESLHPNDDEVIQL VVQTIYNNLLPQFGSQEILQKCIISGCKLLSKTIVDLVLREVTGNQLQNYFGGELTPIQC AEVDNVVENILTNVVLTTPSQPSGPRKLSYNIIETIAVKFLSKLLSVFPKGHPERTKSQE TEMRKITSKILHSIQEFISKSKIKVVQPVKESEAVPSADKAAIEKVVNSVYTNLLKHCGS PTSAFEDLMRKSDVISDIIGFSMVKEISNSEFQPQAEEELSSSELALEAVKIMEKVVKII DELKSQEKPASITDVMLDSRVLEEALALFLAKLVKTPGPASKDTTSLTKPELNKIASQLT KSVTAEISKSNISLVESNHEEQSLDPENIEVISQVVESVYSNVVKQSGTENELSDIKGTK KAFPKKVASLIVDGVSSVPSCRVITESSYTAAHGDLDTNRIIEKAQKHAALMSSDSDKDS KKELEDEFPVRIVPHVRNKPLRIDPNIVSDHLAVISMKTQPLETLQMDCLKRTGCSIAEL RRNSIRGIGPSSTDVSEMGTRQKERRISLDRTGRLDVKPLEAVGRNSFQNVRRPDITRVE LLKDINNKTDLIIRLVAHDIGRKESDSSLSEGMVSDEEEVVLGEVVGDQCLRKISGGRVQ QVKKSAESHVVSSKTATSTSNLKRFLALSKCCQPTSGENIESIEESGIQIMDPNKAYVKR AAAELDMPSCKSLAEETTSRDKLQYKEEEILASEPTHYFIHRIMSTSSYNQEDLISGEAE EFLPDAKANALEESCQEPQEGNSNSLEFVTIYKGSKHIAGSARLSKEHVSEMPRSSISKQ GSRVLAKVSSTLSKVFSRSSGSIPKSSSPPHQDKR >ENSMUSP00000114196.1 pep:known chromosome:GRCm38:2:82949500:82965490:1 gene:ENSMUSG00000075249.12 transcript:ENSMUST00000136202.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fsip2 description:fibrous sheath-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2664111] KDQERLLRHRYLDMISKELEQLERTAEEQRLLRIDRDERRQREYTRKKLTLRRKIEEEWK TKEMMLLTKIGEDVKREQKIEEQRRKSREESDRKKQAMLEKKMAYHLQKMQDTGLKDDIG RNGFDYRGQNGTTFE >ENSMUSP00000122350.1 pep:known chromosome:GRCm38:2:82980796:83008937:1 gene:ENSMUSG00000075249.12 transcript:ENSMUST00000132967.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fsip2 description:fibrous sheath-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2664111] XQKIMEIIGHVAPFNESPHTVSKSKSKTAAATQKKFFQSRINNVSNDILENVLSEMHSVV VTSLYRNNKSKREGEMHDSSDSSSVKPSGSRETKPTRKRSSPLRLGVPQMHPHADNLNAL VLENTILPYSPLQIGKYLVQIVLENLSNFVSLHLEEGYPPEGSYDDLYLLRLHNAKLSPK NSPRPGGKASLKMRSKINSLSKFKTKPHLGISSTKTKIKNKLSAGEKTPRESRSKTALGL PQTPQVEDTKTTLKTKLPVAELRLYATNIITDILQVILSSLERAAQHRAMFNTKVLASSQ ILEASKIVNAVLQELYATNNDNLASPINISSLSDRRLSKQNLGAGYPTEQPCFYLENVSS QLEQIFPKEGILKKMFDKWQTETSDTETEKSKLLTIAENILTEISIKAKDLEYSLSLLNL PPLEECENRLYDRFKGVSTRAEDTNAQINMFGREIVEMLFEKLQLCFLSQMPTQDSNGIL TSRKEHGTSKSKHGVPTKPILGGIQTYNSKMADQVSMSPSNQIVHEIVERVLHMLESFVD LKFKHISKYEFSEIVKMPIDNLFQAQQRQLSKKMLPKLPFRKFGDESKPGTIISKDDGQN TLLQVHLFHSELLTYSVTAVSGMLRIIKNKLDKEISQMEPSPVSILKENITASEIIGTLI DQCSHFEESLIKNLPTKHWFQGTENVYIVNQVEFATPVKMPPSNTSDTPRTRRSSQGSVS GMGFSSEDDMKEKYSVTSNSSSHISSCVENTNKSLEPMGRSNSEAMPSGSRHKAHDHGQR KPNFTPFEQVTKTHNFLPQGSVLQKLFKKVNDSTEESLKQVLSFIETGKRENPRVFHYET TKSAEPNEIKTTAPPLKICLAAENIVNTVLSSYGFPHQPNTNESTETMKPFFMSRQIPLS EVCEGQKDTEKTLLKTWYSRKALIQEEESEGLEACQEDFSLLHKWKNKKPQMTTETVEET KTIVFADHELGPNEMHLVARHVTTSVITHLKNFKTGGCWQKFISKRKKA >ENSMUSP00000140824.1 pep:known chromosome:GRCm38:7:33185568:33186868:1 gene:ENSMUSG00000101401.1 transcript:ENSMUST00000191255.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b18 description:secretoglobin, family 1B, member 18 [Source:MGI Symbol;Acc:MGI:5578742] MKLVAAVVILGAALLLLTSGGDCGICPAIKEDVRLFLNGTSEEYVEYVKQYKDDPVILEN TAKINQCVDSTLTEKDMTHTTTFLKKIEDSLLC >ENSMUSP00000031121.5 pep:known chromosome:GRCm38:5:71569749:71658308:-1 gene:ENSMUSG00000029211.11 transcript:ENSMUST00000031121.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra4 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 4 [Source:MGI Symbol;Acc:MGI:95616] MVSVQKVPAIALCSGVSLALLHFLCLAACLNESPGQNSKDEKLCPENFTRILDSLLDGYD NRLRPGFGGPVTEVKTDIYVTSFGPVSDVEMEYTMDVFFRQTWIDKRLKYDGPIEILRLN NMMVTKVWTPDTFFRNGKKSVSHNMTAPNKLFRIMRNGTILYTMRLTISAECPMRLVDFP MDGHACPLKFGSYAYPKSEMIYTWTKGPEKSVEVPKESSSLVQYDLIGQTVSSETIKSIT GEYIVMTVYFHLRRKMGYFMIQTYIPCIMTVILSQVSFWINKESVPARTVFGITTVLTMT TLSISARHSLPKVSYATAMDWFIAVCFAFVFSALIEFAAVNYFTNIQMQKAKKKISKPPP EVPAAPVLKEKHTETSLQNTHANLNMRKRTNALVHSESDVKSRTEVGNHSSKTSAVQESS EATPKAHLASSPNPFSRANAAETMSAAARGLSSAASPSPHGTLRPASLGSASTRPAFGSR LGRIKTTVNTTGAAGNVSATPPPPAPPPSGSGTSKIDKYARILFPVTFGAFNMVYWVVYL SKDTMEKSESLM >ENSMUSP00000143675.1 pep:known chromosome:GRCm38:5:71571201:71657981:-1 gene:ENSMUSG00000029211.11 transcript:ENSMUST00000199357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra4 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 4 [Source:MGI Symbol;Acc:MGI:95616] MVSVQKVPAIALCSGVSLALLHFLCLAACLNESPGQNSKDEKLCPENFTRILDSLLDGYD NRLRPGFGGTDCFKTCKCALSVRLWSACLFVEILGPVTEVKTDIYVTSFGPVSDVEMEYT MDVFFRQTWIDKRLKYDGPIEILRLNNMMVTKVWTPDTFFRNGKKSVSHNMTAPNKLFRI MRNGTILYTMRLTISAECPMRLVDFPMDGHACPLKFGSYAYPKSEMIYTWTKGPEKSVEV PKESSSLVQYDLIGQTVSSETIKSITGEYIVMTVYFHLRRKMGYFMIQTYIPCIMTVILS QVSFWINKESVPARTVFGITTVLTMTTLSISARHSLPKVSYATAMDWFIAVCFAFVFSAL IEFAAVNYFTNIQMQKAKKKISKPPPEVPAAPVLKEKHTETSLQNTHANLNMRKRTNALV HSESDVKSRTEVGNHSSKTSAVQESSEATPKAHLASSPNPFSRANAAETMSAAARGLSSA ASPSPHGTLRPASLGSASTRPAFGSRLGRIKTTVNTTGAAGNVSATPPPPAPPPSGSGTS KIDKYARILFPVTFGAFNMVYWVVYLSKDTMEKSESLM >ENSMUSP00000143063.1 pep:known chromosome:GRCm38:5:71571606:71657890:-1 gene:ENSMUSG00000029211.11 transcript:ENSMUST00000197994.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gabra4 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 4 [Source:MGI Symbol;Acc:MGI:95616] MVSVQKFKRIPRTELKGREIVPGKFYPYSGQFAGWL >ENSMUSP00000142466.1 pep:known chromosome:GRCm38:5:71572055:71657875:-1 gene:ENSMUSG00000029211.11 transcript:ENSMUST00000198138.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra4 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 4 [Source:MGI Symbol;Acc:MGI:95616] MVSVQKVPAIALCSGVSLALLHFLCLAACLNESPGQNSKDEKLCPENFTRILDSLLDGYD NRLRPGFGEYTCQFEHEEKNKCLGPFRIGCQKQN >ENSMUSP00000028850.8 pep:known chromosome:GRCm38:2:127456498:127521370:-1 gene:ENSMUSG00000079056.12 transcript:ENSMUST00000028850.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip3 description:Kv channel interacting protein 3, calsenilin [Source:MGI Symbol;Acc:MGI:1929258] MRQLPAGPSSLACSGCKAGRLVTVPFSSRDAEDQGSREGIRWQPPGRSWAHTTEQEGKHQ VAKATVHPPGPDALLLNQVDPVQCCPTRLSSDSELELSTVRHQPEGLDQLQAQTKFTKKE LQSLYRGFKNECPTGLVDEDTFKLIYSQFFPQGDATTYAHFLFNAFDADGNGAIHFEDFV VGLSILLRGTVHEKLKWAFNLYDINKDGCITKEEMLAIMKSIYDMMGRHTYPILREDAPL EHVERFFQKMDRNQDGVVTIDEFLETCQKDENIMNSMQLFENVI >ENSMUSP00000099504.4 pep:known chromosome:GRCm38:2:127456498:127521370:-1 gene:ENSMUSG00000079056.12 transcript:ENSMUST00000103215.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip3 description:Kv channel interacting protein 3, calsenilin [Source:MGI Symbol;Acc:MGI:1929258] MQRTKEAVKASDGNLLGDPGRIPLSKRESIKWQRPRFTRQALMRCCLIKWILSSAAPQGS DSSDSELELSTVRHQPEGLDQLQAQTKFTKKELQSLYRGFKNECPTGLVDEDTFKLIYSQ FFPQGDATTYAHFLFNAFDADGNGAIHFEDFVVGLSILLRGTVHEKLKWAFNLYDINKDG CITKEEMLAIMKSIYDMMGRHTYPILREDAPLEHVERFFQKMDRNQDGVVTIDEFLETCQ KDENIMNSMQLFENVI >ENSMUSP00000085896.5 pep:known chromosome:GRCm38:2:127458130:127482499:-1 gene:ENSMUSG00000079056.12 transcript:ENSMUST00000088538.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnip3 description:Kv channel interacting protein 3, calsenilin [Source:MGI Symbol;Acc:MGI:1929258] MGIQGMELCAMAVVVLLFIAVLKQFGILEPMSMEDSSDSELELSTVRHQPEGLDQLQAQT KFTKKELQSLYRGFKNECPTGLVDEDTFKLIYSQFFPQGDATTYAHFLFNAFDADGNGAI HFEDFVVGLSILLRGTVHEKLKWAFNLYDINKDGCITKEEMLAIMKSIYDMMGRHTYPIL REDAPLEHVERFFQKMDRNQDGVVTIDEFLETCQKDENIMNSMQLFENVI >ENSMUSP00000124298.1 pep:known chromosome:GRCm38:4:88121299:88411004:1 gene:ENSMUSG00000038368.16 transcript:ENSMUST00000159342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Focad description:focadhesin [Source:MGI Symbol;Acc:MGI:2676921] MSDDIKKRFDFPNSLIQSQAVGHLIAAVLKEHGVSEKIHQSTNQTAALNLLWEKCCSDHV VVRTACSEGLVALVAQGHAEFSYVLNGILNLTPSARNMSGLIKALMKLLQMQALREGQSG EKDIQDIYSIRNPPQPLITVLEHRPDCWPLLLQQLTAFFQQCPERSEASCVQIMAPFLWY LYCEPSQLEEYAKLRLSLLKVLLQPRGVCEEAQLSVREQSTLQLCCSMLPCLQMKDLMQT TEMMLFVEEVYLSLLRHPGFWKSQLTQLTLQLLCICEVSLKITGECSSLIHLLERSVELL GEDFPVELVIIGIALLLLQMPASQQKPLLNLALKLLSLTEGQKIPKASLLLLVPLLQILS STALEDCLAMGEEGPSRQQLALSLVEVLQKERHGDDSHTVPNLIPVLMFKLGRPLDPVSY NHILYTLPTLGVHKVCVGQILRVIQLLGTTPRLRAVTLRLLTSLWEKQDRVYPELQRFMA VSDAPSLSVGKELQWEKLIAKAASIRDICKQRPYQHGADMLAAISQVLNECTKPDQATPA ALVLQGLHALCQAEVVCIRSTWNALSPKLSCDMRPLILKTLSELFSLVPSLTVNTVEYEN FKVQVLSFLWTHTQNKNPTVASAAYKSLSHFSAGEHTILHLPEKIRPEMPVPGELDEEES VDLSIPGACFLRLLTITAPSVLPALEEFFTSLVRQEMVNMPRGIYHSALKGGVRSDQGKT VAGIPNFILKTYETNKQPGLKPGLAGGMLFCYDLAMYQSKDGKPLNRLMASRGRSFKQTT LALIHEVHVQLSEWHRAIFLPQAWLAYMTRAYHAILQGRIAELELQLQHGKEGPEEVQYK RSTAWLWVRDMLTDEITKTAAKESPVVKANALLALSSLAVVVSKHEASLCSDSDGVLEIQ PNFLPVKEWVSMVLNTLLVIVDSHYHPSGQLFTCFYHKSYSGENTASAIARSAAATALSL LVPVFIISCKEKVEEILNMLTARLPGKPSADESQAVQIHMGLALGMFLSRLCEEKLSDMS GQQMNLLLMKSLDALESCCFDPSLEYNTGCILGVGLALSLMSHSSHTESRVHVAASLRKL STYLDESGSQSRTFQEVLVYTLSCVCTSAFSAGIIEAAEAEDIMSKLQLLVENNQQTSGF ALALGNLVYGLSVCGHGKAEDLGNRLRPSWIKVVLTEGAPTMLCLAALHGLVALVGSDVD VMQLKSEAIQNTHFQARLNEVIRTLTEVISVSGVIGLQSNAIWLLGHLHLSTLSSNQSRT SVPTDYSYLPEGSFIRAAIGFFITGGKKGPEAVPPSLLKVVMKPIATVGESYQYPPANLA ALLSPLMRLNFGEEIQQLCLEIAVTQAPSSQSAASLLGLWVMPPLIHGLSLNIKKYLLVS MPLWAKHVSDEQIQGFVENLMVEVFKTASQPCHPEMCLSALQGLSQAMKLPSPSHHLWSL LCDATGRIFDLLPNRIRRNDLELYISIAKCLSEMTDEGVNQVSQITKDNIEKAAFVKLYL VSQGRLPLMSLTDLLTAAMQHPEKETLAWMILHSLYQARIVNHANTGVLKRLEWLLELMG YVRNIAYQSAPIQNVAPEEALDFLMLIFAAAVVAWADHEAPLLLGLSASWLPWHQQNGPG GPAAALLGRSPMHRVTVQEVLTQLPRSMLLLLQKEPWKEQTQKFIDWLLSIMEIPNKAFA AKSKDLLKATLLSLRVLPEFKKKAVWTRAYGW >ENSMUSP00000095602.3 pep:known chromosome:GRCm38:4:88094630:88411006:1 gene:ENSMUSG00000038368.16 transcript:ENSMUST00000097992.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Focad description:focadhesin [Source:MGI Symbol;Acc:MGI:2676921] MSDDIKKRFDFPNSLIQSQAVGHLIAAVLKEHGVSEKIHQSTNQTAALNLLWEKCCSDHV VVRTACSEGLVALVAQGHAEFSYVLNGILNLTPSARNMSGLIKALMKLLQMQALREGQSG EKDIQDIYSIRNPPQPLITVLEHRPDCWPLLLQQLTAFFQQCPERSEASCVQIMAPFLWY LYCEPSQLEEYAKLRLSLLKVLLQPRGVCEEAQLSVREQSTLQLCCSMLPCLQMKDLMQT TEMMLFVEEVYLSLLRHPGFWKSQLTQLTLQLLCICEVSLKITGECSSLIHLLERSVELL GEDFPVELVIIGIALLLLQMPASQQKPLLNLALKLLSLTEGQKIPKASLLLLVPLLQILS STALEDCLAMGEEGPSRQQLALSLVEVLQKERHGDDSHTISCRLAFPVSSMYGSIFTAWR ILDVIGEESATSDWLATVESLLSATTVIPPHVFLLLAYLLVEDVGQNLQQILRVTTQLAQ ADSSQVPNLIPVLMFKLGRPLDPVSYNHILYTLPTLGVHKVCVGQILRVIQLLGTTPRLR AVTLRLLTSLWEKQDRVYPELQRFMAVSDAPSLSVGKELQWEKLIAKAASIRDICKQRPY QHGADMLAAISQVLNECTKPDQATPAALVLQGLHALCQAEVVCIRSTWNALSPKLSCDMR PLILKTLSELFSLVPSLTVNTVEYENFKVQVLSFLWTHTQNKNPTVASAAYKSLSHFSAG EHTILHLPEKIRPEMPVPGELDEEESVDLSIPGACFLRLLTITAPSVLPALEEFFTSLVR QEMVNMPRGIYHSALKGGVRSDQGKTVAGIPNFILKTYETNKQPGLKPGLAGGMLFCYDL AMYQSKDGKPLNRLMASRGRSFKQTTLALIHEVHVQLSEWHRAIFLPQAWLAYMTRAYHA ILQGRIAELELQLQHGKEGPEEVQYKRSTAWLWVRDMLTDEITKTAAKESPVVKANALLA LSSLAVVVSKHEASLCSDSDGVLEIQPNFLPVKEWVSMVLNTLLVIVDSHYHPSGQLFTC FYHKSYSGENTASAIARSAAATALSLLVPVFIISCKEKVEEILNMLTARLPGKPSADESQ AVQIHMGLALGMFLSRLCEEKLSDMSGQQMNLLLMKSLDALESCCFDPSLEYNTGCILGV GLALSLMSHSSHTESRVHVAASLRKLSTYLDESGSQSRTFQEVLVYTLSCVCTSAFSAGI IEAAEAEDIMSKLQLLVENNQQTSGFALALGNLVYGLSVCGHGKAEDLGNRLRPSWIKVV LTEGAPTMLCLAALHGLVALVGSDVDVMQLKSEAIQNTHFQARLNEVIRTLTEVISVSGV IGLQSNAIWLLGHLHLSTLSSNQSRTSVPTDYSYLPEGSFIRAAIGFFITGGKKGPEAVP PSLLKVVMKPIATVGESYQYPPANLAALLSPLMRLNFGEEIQQLCLEIAVTQAPSSQSAA SLLGLWVMPPLIHGLSLNIKKYLLVSMPLWAKHVSDEQIQGFVENLMVEVFKTASQPCHP EMCLSALQGLSQAMKLPSPSHHLWSLLCDATGRIFDLLPNRIRRNDLELYISIAKCLSEM TDEGVNQVSQITKDNIEKAAFVKLYLVSQGRLPLMSLTDLLTAAMQHPEKETLAWMILHS LYQARIVNHANTGVLKRLEWLLELMGYVRNIAYQSAPIQNVAPEEALDFLMLIFAAAVVA WADHEAPLLLGLSASWLPWHQQNGPGGPAAALLGRSPMHRVTVQEVLTQLPRSMLLLLQK EPWKEQTQKFIDWLLSIMEIPNKAFAAKSKDLLKATLLSLRVLPEFKKKAVWTRAYGW >ENSMUSP00000102765.1 pep:known chromosome:GRCm38:4:88400565:88411011:1 gene:ENSMUSG00000038368.16 transcript:ENSMUST00000107147.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Focad description:focadhesin [Source:MGI Symbol;Acc:MGI:2676921] MSLTDLLTAAMQHPEKETLAWMILHSLYQARIVNHANTGVLKRLEWLLELMGYVRNIAYQ SAPIQNVAPEEALDFLMLIFAAAVVAWADHEAPLLLGLSASWLPWHQQNGPGGPAAALLG RSPMHRVTVQEVLTQLPRSMLLLLQKEPWKEQTQKFIDWLLSIMEIPNKAFAAKSKDLLK ATLLSLRVLPEFKKKAVWTRAYGW >ENSMUSP00000019937.4 pep:known chromosome:GRCm38:10:42761496:42832514:1 gene:ENSMUSG00000019802.13 transcript:ENSMUST00000019937.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec63 description:SEC63-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2155302] MAGQQFQYDDSGNTFFYFLTSFVGLIVIPATYYLWPRDQNAEQIRLKNIRKVYGRCMWYR LRLLKPQPNIIPTVKKIVLLAGWALFLFLAYKVSKTDREYQEYNPYEVLNLDPGATVAEI KKQYRLLSLKYHPDKGGDEVMFMRIAKAYAALTDEESRKNWEEFGNPDGPQATSFGIALP AWIVDQKNSILVLLVYGLAFMVILPVVVGSWWYRSIRYSGDQILIRTTQIYTYFVYKTRN MDMKRLIMVLAGASEFDPQYNKDSTSRPTDNILIPQLIREIGSINLKKNEPPLTCPYSLK ARVLLLSHLARMKIPETLEEDQQFMLKKCPALLQEMVNVICQLIIMARSREEREFRAPTL ASLENCMKLSQMAVQGLQQFKSPLLQLPHIEEDNLRRVSNHKKYKIKTIQDLVSLKESDR HSLLHFLEDEKYEEVMAVLGSFPYVTMDIKSQVLDDEDSNNITVGSLVTVLVKLTRQTMA EVFEKEQSICAAEEQPTEDGQSDANKIKAKGGWQQKNKGPKKMPKSKKKKPLKKKPTTVP LPQAKQQKQKQANGVVGSEAAIKEEEDDISDKGSDSEEEETNRDSQSEKEDGSDRESDRE QDEKQSKDDEAEWQELQQSIQRKERALLETKSKITHPVYSLYFPEEKQEWWWLYIADRKE QTLISMPYHVCTLKDTEEVELKFPAPGKPGNYQYTVFLRSDSYMGLDQIKPLKLEVHEAK PVPENHPQWDTAIEGDEDQEDSEGFEDSFEEEEEEEEGGD >ENSMUSP00000136155.1 pep:known chromosome:GRCm38:7:33278370:33280338:-1 gene:ENSMUSG00000096467.2 transcript:ENSMUST00000179688.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b19 description:secretoglobin, family 2B, member 19 [Source:MGI Symbol;Acc:MGI:3648809] MKGTLLLLGLLITGELSFQTTEACVPFFEGYASVVSGSRVWLYHELQSFDATAEEKVALE KIQGCYREERLRNILLEPKIMEAMVASPECRSYYSLNNFRSILDFISNLLGE >ENSMUSP00000041538.7 pep:known chromosome:GRCm38:11:100319885:100322096:1 gene:ENSMUSG00000035530.13 transcript:ENSMUST00000049385.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif1 description:eukaryotic translation initiation factor 1 [Source:MGI Symbol;Acc:MGI:105125] MSAIQNLHSFDPFADASKGDDLLPAGTEDYIHIRIQQRNGRKTLTTVQGIADDYDKKKLV KAFKKKFACNGTVIEHPEYGEVIQLQGDQRKNICQFLIEIGLAKDDQLKVHGF >ENSMUSP00000137558.1 pep:known chromosome:GRCm38:11:100320209:100320989:1 gene:ENSMUSG00000035530.13 transcript:ENSMUST00000151513.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif1 description:eukaryotic translation initiation factor 1 [Source:MGI Symbol;Acc:MGI:105125] MAPASRRATPAPSRHPCVMSSTSPSLSRSWEGRPRLGSLASDPFADASKGDDLLPAGTED YIHIRIQQRNGRKTLTTVQGIADDYDKKKLVKAFKKKFACNGTVIEHPEY >ENSMUSP00000136642.1 pep:known chromosome:GRCm38:11:100320708:100321749:1 gene:ENSMUSG00000035530.13 transcript:ENSMUST00000152521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif1 description:eukaryotic translation initiation factor 1 [Source:MGI Symbol;Acc:MGI:105125] XGTEDYIHIRIQQRNGRKTLTTVQGIADDYDKKKLVKAFKKKFACNGTVIEHPEYGEVIQ LQGDQRKNICQFLIEVSLGRPQCIMGFLNF >ENSMUSP00000135323.1 pep:known chromosome:GRCm38:6:56924022:56958100:1 gene:ENSMUSG00000071893.6 transcript:ENSMUST00000176838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r4 description:vomeronasal 1 receptor 4 [Source:MGI Symbol;Acc:MGI:2159457] MFSLKNVLYFQAGLGVLANMFLLCFYIYIILGHRPKPTDLTSCQLTFVHIMMLLTGGDIL LTDLLASLNTENDFKCKATFYINRMMRGLSISATCLLSVFQAVTISPNTSLLANFKQKVK KYMISALFCIWFFNFSVSTDIIFYVGGFTNVSENKQIMVTKSCSLLPLNYIIRGLILTMT ILRDVFLVGVMLTTSVYMVIFLFRHQRQCKHLHSISHLRASPEKRATQTIVLLVVFFVVM YWVDFIISSTSVLLWMYDPVLLTAQKFVVNAYPTITPLVQISSDNRIINRLKNLQSQ >ENSMUSP00000094369.3 pep:known chromosome:GRCm38:6:56956466:56957499:1 gene:ENSMUSG00000071893.6 transcript:ENSMUST00000096612.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r4 description:vomeronasal 1 receptor 4 [Source:MGI Symbol;Acc:MGI:2159457] MFSLKNVLYFQAGLGVLANMFLLCFYIYIILGHRPKPTDLTSCQLTFVHIMMLLTGGDIL LTDLLASLNTENDFKCKATFYINRMMRGLSISATCLLSVFQAVTISPNTSLLANFKQKVK KYMISALFCIWFFNFSVSTDIIFYVGGFTNVSENKQIMVTKSCSLLPLNYIIRGLILTMT ILRDVFLVGVMLTTSVYMVIFLFRHQRQCKHLHSISHLRASPEKRATQTIVLLVVFFVVM YWVDFIISSTSVLLWMYDPVLLTAQKFVVNAYPTITPLVQISSDNRIINRLKNLQSQ >ENSMUSP00000022048.5 pep:known chromosome:GRCm38:13:73679745:73700780:-1 gene:ENSMUSG00000021565.16 transcript:ENSMUST00000022048.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a19 description:solute carrier family 6 (neurotransmitter transporter), member 19 [Source:MGI Symbol;Acc:MGI:1921588] MVRLVLPNPGLEERIPSLDELEVIEKEEAGSRPKWDNKAQYMLTCVGFCVGLGNVWRFPY LCQSHGGGAFMIPFLILLVFEGIPLLYLEFAIGQRLRKGSMGVWSSIHPALKGIGIASMF VSFMVGLYYNTIIAWVMWYFFNSFQEPLPWSECPLNQNQTGYVEECAKSSSVDYFWYRET LNISTSISDSGSIQWWILLCLTCAWSVLYVCIIRGIETTGKAVYITSTLPYVVLTIFLIR GLTLKGATNGIVFLFTPNITELSNPNTWLDAGAQVFYSFSLAFGGLISFSSYNSVHNNCE MDSVIVSVINGFTSVYAATVVYSIIGFRATERFDDCVNTNILTLINGFDLPEGNVTSENF EAYQQWCNATNPQAYAQLKFQTCDINSFLSEGVEGTGLAFIVFTEAITKMPVSPLWSVLF FIMLFCLGLSSMFGNMEGVVVPLQDLNITPKKWPKELLTGLICLGTYLIAFIFTLNSGQY WLSLLDSFAGSIPLLIIAFCEMFAVVYVYGVDRFNKDIEFMIGHKPNIFWQVTWRVVSPL IMLVIFLFFFVIEVNKTLMYSIWDPNYEEFPKSQKIPYPNWVYAVVVTVAGVPCLSIPCF AIYKFIRNCCQKSDDHHGLVNTLSTASVNGDLKN >ENSMUSP00000119313.1 pep:known chromosome:GRCm38:13:73689738:73700681:-1 gene:ENSMUSG00000021565.16 transcript:ENSMUST00000124406.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a19 description:solute carrier family 6 (neurotransmitter transporter), member 19 [Source:MGI Symbol;Acc:MGI:1921588] MVRLVLPNPGLEERIPSLDELEVIEKEEAGSRPKWDNKAQYMLTCVGFCVGLGNVWRFPY LCQSHGGGYARAAWVCGAPSTLL >ENSMUSP00000140812.1 pep:known chromosome:GRCm38:1:132959484:133025338:-1 gene:ENSMUSG00000054387.13 transcript:ENSMUST00000186617.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm4 description:transformed mouse 3T3 cell double minute 4 [Source:MGI Symbol;Acc:MGI:107934] MTSHSTSAQCSASDSACRISSEQISQVRPKLQLLKILHAAGAQGEVFTMKEVMHYLGQYI MVKQLYDQQEQHMVYCGGDLLGDLLGCQSFSVKDPSPLYDMLRKNLVTSASINTDAAQTL ALAQDHTMDFPSQDRLKHGATEYSNPRKRTEEEDTHTLPTSRHKCRDSRADEDLIEHLSQ DETSRLDLDFEEWDVAGLPWWFLGNLRNNCIPKSNGSTDLQTNQDIGTAIVSDTTDDLWF LNETVSEQLGVGIKVEAANSEQTSEVGKTSNKKTVEVGKDDDLEDSRSLSDDTDVELTSE MLCSRGRHHFHPREGIKF >ENSMUSP00000140609.1 pep:known chromosome:GRCm38:1:132986220:133025416:-1 gene:ENSMUSG00000054387.13 transcript:ENSMUST00000188090.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm4 description:transformed mouse 3T3 cell double minute 4 [Source:MGI Symbol;Acc:MGI:107934] MTSHSTSAQCSASDSACRISSEQISQVRPKLQLLKILHAAGAQGEVFTMKEVMHYLGQYI MVKQLYDQQEQHMVYCGGDLLGDLLGCQSFSVKDPSPLYDMLRKNLVTSASINTDAAQTL ALAQDHTMDFPSQDRLKHGATEYSNPRKRTEEEDTHTLPTSRHKCRDSRADEDLIEHLSQ DETSRLDLDFEEWDVAGLPWWFLGNLRNNCIPKSNGSTDLQTNQDIGTAIVSDTTDDLWF LNETVSEQLGVGIKVEAANSEQTSEVGKTSNKKTVEVGKDDDLEDSRSLSDDTDVELTSE DEWQCTECKKFNSPSKRYCFRCWALRKDWYSDCSKLTHSLSTSNITAIPEKKDNEGIDVP DCRRTISAPVVRPKDGYLKEEKPRFDPCNSVGFLDLAHSSESQEIISSAREQTDIFSEQK AETESMEDFQNVLKPCSLCEKRPRDGNIIHGKTSHLTTCFHCARRLKKSGASCPACKKEI QLVIKVFIA >ENSMUSP00000070411.3 pep:known chromosome:GRCm38:1:132989845:133025348:-1 gene:ENSMUSG00000054387.13 transcript:ENSMUST00000067429.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm4 description:transformed mouse 3T3 cell double minute 4 [Source:MGI Symbol;Acc:MGI:107934] MTSHSTSAQCSASDSACRISSEQISQVRPKLQLLKILHAAGAQGEVFTMKEVMHYLGQYI MVKQLYDQQEQHMVYCGGDLLGDLLGCQSFSVKDPSPLYDMLRKNLVTSASINTDAAQTL ALAQDHTMDFPSQDRLKHGATEYSNPRKRTEEEDTHTLPTSRHKCRDSRADEDLIEHLSQ DETSRLDLDFEEWDVAGLPWWFLGNLRNNCIPKSNGSTDLQTNQDIGTAIVSDTTDDLWF LNETVSEQLGVGIKVEAANSEQTSEVGKTSNKKTVEVGKDDDLEDSRSLSDDTDVELTSE DEWQCTECKKFNSPSKRYCFRCWALRKDWYSDCSKLTHSLSTSNITAIPEKKDNEGIDVP DCRRTISAPVVRPKDGYLKEEKPRFDPCNSVGFLDLAHSSESQEIISSAREQTDIFSEQK AETESMEDFQNVLKPCSLCEKRPRDGNIIHGKTSHLTTCFHCARRLKKSGASCPACKKEI QLVIKVFIA >ENSMUSP00000140006.1 pep:known chromosome:GRCm38:1:132990402:133025357:-1 gene:ENSMUSG00000054387.13 transcript:ENSMUST00000191212.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mdm4 description:transformed mouse 3T3 cell double minute 4 [Source:MGI Symbol;Acc:MGI:107934] MTSHSTSAQCSASDSACRISSEQISQQVRPKLQLLKILHAAGAQGEVFTMKEVMHYLGQY IMVKQLYDQQEQHMVYCGGDLLGDLLGCQSFSVKDPSPLYDMLRKNLVTSASINTARCNR ILQSQKKN >ENSMUSP00000140090.1 pep:known chromosome:GRCm38:1:132991227:133025354:-1 gene:ENSMUSG00000054387.13 transcript:ENSMUST00000185398.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mdm4 description:transformed mouse 3T3 cell double minute 4 [Source:MGI Symbol;Acc:MGI:107934] MTSHSTSAQCSASDSACRISSEQISQQVRPKLQLLKILHAAGAQGEVFTMKEVMHYLGQY IMVKQLYDQQEQHMVYCGGDLLGDLLGCQSFSVKDPSPLYDMLRKNLVTSASINTGSHYG FSKSRPTEARCNRILQSQKKN >ENSMUSP00000068661.6 pep:known chromosome:GRCm38:1:132991354:133025356:-1 gene:ENSMUSG00000054387.13 transcript:ENSMUST00000067398.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm4 description:transformed mouse 3T3 cell double minute 4 [Source:MGI Symbol;Acc:MGI:107934] MTSHSTSAQCSASDSACRISSEQISQQVRPKLQLLKILHAAGAQGEVFTMKEVMHYLGQY IMVKQLYDQQEQHMVYCGGDLLGDLLGCQSFSVKDPSPLYDMLRKNLVTSASINTDAAQT LALAQDHTMDFPSQDRLKHGATEYSNPRKRTEEEDTHTLPTSRHKCRDSRADEDLIEHLS QDETSRLDLDFEEWDVAGLPWWFLGNLRNNCIPKSNGSTDLQTNQDIGTAIVSDTTDDLW FLNETVSEQLGVGIKVEAANSEQTSEVGKTSNKKTVEVGKDDDLEDSRSLSDDTDVELTS EDEWQCTECKKFNSPSKRYCFRCWALRKDWYSDCSKLTHSLSTSNITAIPEKKDNEGIDV PDCRRTISAPVVRPKDGYLKEEKPRFDPCNSVGFLDLAHSSESQEIISSAREQTDIFSEQ KAETESMEDFQNVLKPCSLCEKRPRDGNIIHGKTSHLTTCFHCARRLKKSGASCPACKKE IQLVIKVFIA >ENSMUSP00000140284.1 pep:known chromosome:GRCm38:1:133009151:133030561:-1 gene:ENSMUSG00000054387.13 transcript:ENSMUST00000190807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm4 description:transformed mouse 3T3 cell double minute 4 [Source:MGI Symbol;Acc:MGI:107934] MTSHSTSAQCSASDSACRISSEQISQQVRPKLQLLKILHAAGAQGEVFTMKEVMHYLGQY IMVKQLYDQQEQHMVYCGGDLLGDLLGCQSFSVKDPSPLYDMLRKNLVTSA >ENSMUSP00000132661.2 pep:known chromosome:GRCm38:7:33287291:33288611:1 gene:ENSMUSG00000095232.2 transcript:ENSMUST00000165813.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b19 description:secretoglobin, family 1B, member 19 [Source:MGI Symbol;Acc:MGI:3646447] MKLAGAVVILGAALLLLTSGGDCGICPAIKEDVRLFLNGTSEAYVEYVKQYKDDPVVLEN TAKIKQCVNSTLTEEDRAHATTFIEKIEASPLC >ENSMUSP00000069644.6 pep:known chromosome:GRCm38:9:30942562:30962856:1 gene:ENSMUSG00000031994.13 transcript:ENSMUST00000068135.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts8 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 8 [Source:MGI Symbol;Acc:MGI:1353468] MLRDPTTTGWPPLLLLLLQLPPPPLVCGAPAGPGTGAQASELVVPTRLPGSASELAFHLS AFGQGFVLRLAPDASFLAPEFKIERLGGSSAAAGGEPGLRGCFFSGTVNGERESLAAMSL CRGLSGSFLLAGEEFTIQPQGAGDSLDQPHRLQRWGPGQRREDPGLAAAEVFPLPQGLEW EVEMGNGQGQERSDNEEDRKQDKEGLLKETEDSRKVPPPFGSKTRSKRFVSEARFVETLL VADASMAAFYGTDLQNHILTVMSMAARIYKHPSIRNSVNLVVVKVLIVEEEGWGPEVSDN GGLTLRNFCSWQRRFNKPSDRHPEHYDTAILFTRQNFCGKGEQCDTLGMADVGTICDPDK SCSVIKDEGLQAAYTLAHELGHVLSMPHDDSKPCVRLFGPMGKYHMMAPFFIHVNKTLPW SPCSAVYLTELLDDGHGDCLLDAPTSVLPLPTGLPGHSTLYELDQQCKQIFGPDFRHCPN TSVEDICVQLWCRHRDSDEPICHTKNGSLLWADGTPCGPGHLCLDGSCVLKEDVENPKAV VDGDWGPWRPWGQCSRTCGGGIQFSNRECDNPMPQNGGRFCLGERVKYQSCNTEECPPNG KSFREQQCEKYNAYNHTDLDGNFLQWVPKYSGVSPRDRCKLFCRARGRSEFKVFEAKVID GTLCGPDTLSICVRGQCVKAGCDHVVNSPKKLDKCGVCGGKGTACRKISGSFTPFSYGYN DIVTIPAGATNIDVKQRSHPGVRNDGSYLALKTANGQYLLNGNLAISAIEQDILVKGTIL KYSGSMATLERLQSFQALPEPLTVQLLTVSGEVFPPKVRYTFFVPNDMDFSVQNSKERAT TNIIQSLPSAEWVLGDWSECPSTCRGSWQRRTVECRDPSGQASDTCDEALKPEDAKPCGS QPCPL >ENSMUSP00000124848.1 pep:known chromosome:GRCm38:9:30943458:30963838:1 gene:ENSMUSG00000031994.13 transcript:ENSMUST00000163037.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adamts8 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 8 [Source:MGI Symbol;Acc:MGI:1353468] KETEDSRKVPPPFGSKTRSKRFVSEARFVETLLVADASMAAFYGTDLQNHILTVMSMAAR IYKHPSIRNSVNLVVVKVLIVEEEGWGPEVSDNGGLTLRNFCSWQRRFNKPSDRHPEHYD TAILFTRQGTFSACPMMILSPV >ENSMUSP00000067695.6 pep:known chromosome:GRCm38:3:103800605:103809378:-1 gene:ENSMUSG00000027845.15 transcript:ENSMUST00000063502.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1b description:DNA cross-link repair 1B [Source:MGI Symbol;Acc:MGI:2156057] MLKEPALILGKQIHTLYLDNTNCNPALVLPSRQEATQQIVQLIRQFPQHNIKIGLYSLGK ESLLEQLALEFRTWVVLSPQRLELVQLLGLADVFTVEEEAGRIHAVDHTEICHSAMLQWN QSHPTIAIFPTSRKVRSPHPSIYTVPYSDHSSYSELRAFVAALRPCQVVPIVHQKPCGEF FQDSLSPRLAMPLIPHSVQQYMSSSSRKTNVLWQLERRLKRPRTQGVVFESPEEKANQVK VDRDSKKHKKENLSPWAGHLERLCPHPLQARKQLFPDFCRKERDEPVLFCDSNKMATVLT APLEFSVQLQPIDEFLFPETREKIGLESPLLSRGDSGSPARGNQSDCVGCGSPPAHISRA VPLTPESRGLALKYLLTPVHFLQAGFSSRNFDKQVEKHQRVQRSSPAVLSPVDVG >ENSMUSP00000029435.8 pep:known chromosome:GRCm38:3:103800605:103809444:-1 gene:ENSMUSG00000027845.15 transcript:ENSMUST00000029435.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1b description:DNA cross-link repair 1B [Source:MGI Symbol;Acc:MGI:2156057] MNGVVIPQTPIAVDFWSLRRAGSARLFFLTHMHCDHTVGLSSTWARPLYCSPITACLLHR RLQVSKHWIRALEVGESHVLPLDEIGQETMTVTLIDANHCPGSVMFLFEGYFGTILYTGD FRYTPSMLKEPALILGKQIHTLYLDNTNCNPALVLPSRQEATQQIVQLIRQFPQHNIKIG LYSLGKESLLEQLALEFRTWVVLSPQRLELVQLLGLADVFTVEEEAGRIHAVDHTEICHS AMLQWNQSHPTIAIFPTSRKVRSPHPSIYTVPYSDHSSYSELRAFVAALRPCQVVPIVHQ KPCGEFFQDSLSPRLAMPLIPHSVQQYMSSSSRKTNVLWQLERRLKRPRTQGVVFESPEE KANQVKVDRDSKKHKKENLSPWAGHLERLCPHPLQARKQLFPDFCRKERDEPVLFCDSNK MATVLTAPLEFSVQLQPIDEFLFPETREKIGLESPLLSRGDSGSPARGNQSDCVGCGSPP AHISRAVPLTPESRGLALKYLLTPVHFLQAGFSSRNFDKQVEKHQRVQRSSPAVLSPVDV G >ENSMUSP00000102447.1 pep:known chromosome:GRCm38:3:103801089:103809411:-1 gene:ENSMUSG00000027845.15 transcript:ENSMUST00000106834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1b description:DNA cross-link repair 1B [Source:MGI Symbol;Acc:MGI:2156057] MNGVVIPQTPIAVDFWSLRRAGSARLFFLTHMHCDHTVGLSSTWARPLYCSPITACLLHR RLQVSKHWIRALEVGESHVLPLDEIGQETMTVTLIDANHCPGSVMFLFEGYFGTILYTGD FRYTPSMLKEPALILGKQIHTLYLDNTNCNPALVLPSRQEATQQIVQLIRQFPQHNIKIG LYSLGKESLLEQLALEFRTWVVLSPQRLELVQLLGLADVFTVEEEAGRIHAVDHTEICHS AMLQWNQSHPTIAIFPTSRKVRSPHPSIYTVPYSDHSSYSELRAFVAALRPCQVVPIVHQ KPCGEFFQDSLSPRLAMPLIPHSVQQYMSSSSRKTNVLWQLERRLKRPRTQGVVFESPEE KANQVKVDRDSKKHKKENLSPWAGHLERLCPHPLQARKQLFPDFCRKERDEPVLFCDSNK MATVLTAPLEFSVQLQPIDEFLFPETREKIGLESPLLSRGDSGSPARGNQSDCVGCGSPP AHISRAVPLTPESRGLALKYLLTPVHFLQAGFSSRNFDKQVEKHQRVQRSSPAVLSPVDV G >ENSMUSP00000143067.1 pep:known chromosome:GRCm38:3:103802903:103808180:-1 gene:ENSMUSG00000027845.15 transcript:ENSMUST00000198752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1b description:DNA cross-link repair 1B [Source:MGI Symbol;Acc:MGI:2156057] DEIGQETMTVTLIDANHCPGSVMFLFEGYFGTILYTGDFRYTPSMLKEPALILGKQIHTL YLDNTNCNPALVLPSRQEATQQIVQLIRQFPQHNIKIV >ENSMUSP00000121063.1 pep:known chromosome:GRCm38:3:103804027:103809192:-1 gene:ENSMUSG00000027845.15 transcript:ENSMUST00000128716.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dclre1b description:DNA cross-link repair 1B [Source:MGI Symbol;Acc:MGI:2156057] MNGVVIPQTPIAVDFWSLRRAGSARLFFLTHMHCDHTVGLSSTWARPLYCSPITACLLHR RLQDTLEQFYTQVIFDIHHPC >ENSMUSP00000102445.1 pep:known chromosome:GRCm38:3:103805934:103809389:-1 gene:ENSMUSG00000027845.15 transcript:ENSMUST00000106832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1b description:DNA cross-link repair 1B [Source:MGI Symbol;Acc:MGI:2156057] MNGVVIPQTPIAVDFWSLRRAGSARLFFLTHMHCDHTVGLSSTWARPLYCSPITACLLHR RLQVSKHWIRALEVGESHVLPLDEIGQETMTVTLIDANHCPGSVMFLFEGYFGTILYTGD FRYTPSMLKEPALILGKQIHTLYLDNTNCNPALVLPSRQEATQQIVQLIRQFPQHNIKIG ERFPFFFHSCLVNQNSLKVLIVFQIFVPCSPFL >ENSMUSP00000131092.1 pep:known chromosome:GRCm38:6:56985295:56986350:1 gene:ENSMUSG00000090346.1 transcript:ENSMUST00000164307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r5 description:vomeronasal 1 receptor 5 [Source:MGI Symbol;Acc:MGI:2159455] MFSIKNVLYFQAGFGVLANLFLVCFYIYIILGHRRKPTDLISCQLTFVHIIMFLTGGDIW LTDFFELLNIENDIKCKATFYISRVMRSLSMCTTCLLSVFQAVTICPSTTLLAKFKHKLK KYMIYAFLCMWSFNLSFNSNQIFFVGAYTNVSETKQMKATKYCSLLPMNNIIRGLILTVT TSRDVFLIGVMLTTSTYMVIILSRHQRQCKHLHSISHMRASPEKRATQTILLLVFFFVVM YWVDLIISMTSFLLWRYDPVILTVQKFLMNAYPTITPLVQFSSDNRIIIMLKNLQSKHHH MYFKKYVFTLLYKTY >ENSMUSP00000126832.1 pep:known chromosome:GRCm38:3:132630191:132645350:1 gene:ENSMUSG00000091721.3 transcript:ENSMUST00000163241.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimd1 description:GIMAP family P-loop NTPase domain containing 1 [Source:MGI Symbol;Acc:MGI:3647547] MTDTNKMIINLAVFGRTQSGKSSAGNVLLGSADFYSSFAPGSVTKECSLGRSCHLHGFMR RGGQEISLQIQVLDTPGYPHSKLSTRCVKQEVKKALLHHFGQEGLHLALLVQRADVPFFG QEASNAVQLMQELLGDSCKNYMAVLFTHAEELEEAGLSEEEYLREASDTLLTLLDSVQHR YIFLSGRGNLCNEQRIKILERIMEFIKENHFQVLSLA >ENSMUSP00000142630.1 pep:known chromosome:GRCm38:3:132634725:132644631:1 gene:ENSMUSG00000091721.3 transcript:ENSMUST00000196701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimd1 description:GIMAP family P-loop NTPase domain containing 1 [Source:MGI Symbol;Acc:MGI:3647547] MTDTNKMIINLAVFGRTQSGKSSAGNVLLGSADFYSSFAPGSVTKECSLGRSCHLHGFMR RGGQEISLQIQVLDTPGYPHSKLSTRCVKQEVKKALLHHFGQEGLHLALLVQRADVPFFG QEASNAVQLMQELLGDSCKNYMAVLFTHAEELEEAGLSEEEYLREASDTLLTLLDSVQHR YIFLSGRGNLCNEQRIKILERIMEFIKENHFQVLSLA >ENSMUSP00000141013.1 pep:known chromosome:GRCm38:15:74724318:74728034:-1 gene:ENSMUSG00000022596.3 transcript:ENSMUST00000190433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slurp1 description:secreted Ly6/Plaur domain containing 1 [Source:MGI Symbol;Acc:MGI:1930923] MTLRWAMWLLLLAAWSMGYGEAFRCYTCEQPTAINSCKNIAQCKMEDTACKTVLETVEAA FPFNHSPMVTRSCSSSCLATDPDGIGVAHPVFCCFRDLCNSGFPGFVAGL >ENSMUSP00000023261.3 pep:known chromosome:GRCm38:15:74726664:74728014:-1 gene:ENSMUSG00000022596.3 transcript:ENSMUST00000023261.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slurp1 description:secreted Ly6/Plaur domain containing 1 [Source:MGI Symbol;Acc:MGI:1930923] MTLRWAMWLLLLAAWSMGYGRKIRMQDVVLSLVLDIWVSEDSQEVRPSDAIPVSSPRPLT HARILLSARWKTQPVRLYWRQWKQRSPSTTVPW >ENSMUSP00000069692.1 pep:known chromosome:GRCm38:15:74721204:74724639:1 gene:ENSMUSG00000056665.2 transcript:ENSMUST00000070923.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Them6 description:thioesterase superfamily member 6 [Source:MGI Symbol;Acc:MGI:1925301] MLELLVASLSLALAFFALLDGWYLVRVPCAVLRARLLQPRVRDLLAEQRYAGRVLPSDLD LLLHMNNARYLREADVARAAHLTRCGVLGALRDLNAHTVLAASCARYRRSLRLFEPFEVH TRLQGWDDRAFYLEARFVSLRDGFVCALLRFRQHVLGTSPDRVVQHLCKRRVEPPELPED LKHWISYNETSSQLLRAESGLSDRKDQ >ENSMUSP00000052079.2 pep:known chromosome:GRCm38:14:50139702:50140637:-1 gene:ENSMUSG00000050030.4 transcript:ENSMUST00000051563.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr728 description:olfactory receptor 728 [Source:MGI Symbol;Acc:MGI:3030562] MAHNNESTVSEFVLLGLSKSWGLQLVLFTIFFVIYVTSVLGNIMIIVIIFSDSHLNSPMY FLLSNLSFIDICQSNFATPKMLVDFFVEYKTISFEGCMAQIFLLHSFVGSEMMLLVAMGY DRFVAICKPLHYNLIMNRRVCIIFVSISWAVGILHSVSHLAFTVNLPFCGPNEVDSFFCD LPLVIKLACMDTYRMEILTLANSGMISLSCFLALIISYIIILVSVQRQSSSGSSKALSTL TAHITVVILFFGPCIYFYIWPFSRLSVDKFLSVFYTICTPLLNPIIYSLRNEDVKSALRK LRNSHINPGKN >ENSMUSP00000129613.1 pep:known chromosome:GRCm38:4:133491100:133498550:-1 gene:ENSMUSG00000056596.8 transcript:ENSMUST00000125541.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnp1 description:TMF1-regulated nuclear protein 1 [Source:MGI Symbol;Acc:MGI:1916789] MPGCRISACGPGAQEGTAEPGSPPPPPREPLPSLQPPSPSPTSTPTPTKSPPLPEAAETP VEGQELQRWRQGASGGSGGAGPAGIAGAAAGAGGRALELAEARRRLLEVEGRRRLVSELE SRVLQLHRVFLAAELRLAHRAESLSRLSGGVAQAELYLAAHGSRLKKGARRGRRGRPPAL LASALGLGSCVPWGAGRLRRGQGPEPDSPFRRSPPRGPASPQR >ENSMUSP00000145982.1 pep:known chromosome:GRCm38:6:48626764:48633685:1 gene:ENSMUSG00000053297.14 transcript:ENSMUST00000095938.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI854703 description:expressed sequence AI854703 [Source:MGI Symbol;Acc:MGI:2141510] MTELVSSRGGSPTGDGEEGLGDDQGLVIHHPAEEQCHRCPLCGQTFSQQPSLVRHQKAHV GAGRAAAFVCPECGKAFSVKHNLEVHQRTHTGERPFACPECGRCFSLKQNLLTHQRIHSG EKPHQCAQCGRCFREPRFLLNHQRTHARMPTPHPRRPGVFGERRPYFCPRCGKSFAREGS LKTHQRSHGHGPESQAAHLSRVL >ENSMUSP00000145635.1 pep:known chromosome:GRCm38:6:48627223:48629463:1 gene:ENSMUSG00000053297.14 transcript:ENSMUST00000203088.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI854703 description:expressed sequence AI854703 [Source:MGI Symbol;Acc:MGI:2141510] MTELVSSRGGSPTGDGEEGLGDDQGLVIHHPAEEQCHRCPLCGQTFSQQPSLVRHQKAHV GAGRAAAFVCPECGKAFSVKHNLEVHQRTHTGERPFACPECGR >ENSMUSP00000145967.1 pep:known chromosome:GRCm38:6:48627281:48629463:1 gene:ENSMUSG00000053297.14 transcript:ENSMUST00000204958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI854703 description:expressed sequence AI854703 [Source:MGI Symbol;Acc:MGI:2141510] MTELVSSRGGSPTGDGEEGLGDDQGLVIHHPAEEQCHRCPLCGQTFSQQPSLVRHQKAHV GAGRAAAFVCPECGKAFSVKHNLEVHQRTHTGERPFACPECGR >ENSMUSP00000145812.1 pep:known chromosome:GRCm38:6:48627935:48633689:1 gene:ENSMUSG00000053297.14 transcript:ENSMUST00000154570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI854703 description:expressed sequence AI854703 [Source:MGI Symbol;Acc:MGI:2141510] MTELVSSRGGSPTGDGEEGLGDDQGLVIHHPAEEQCHRCPLCGQTFSQQPSLVRHQKAHV GAGRAAAFVCPECGKAFSVKHNLEVHQRTHTGERPFACPECGRCFSLKQNLLTHQRIHSG EKPHQCAQCGRCFREPRFLLNHQRTHARMPTPHPRRPGVFGERRPYFCPRCGKSFAREGS LKTHQRSHGHGPESQAAHLSRVL >ENSMUSP00000123704.1 pep:known chromosome:GRCm38:1:163929805:163955109:1 gene:ENSMUSG00000026584.14 transcript:ENSMUST00000162234.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scyl3 description:SCY1-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1921385] MGSENSALKSYTLRESPFTLPSGLAVYPAILQDGKCASVFVYKRENEDKVNKAAKMAFTS SLRECSLWKWPWKPCLLQKSVLESMTYCWLLSSFMTEDI >ENSMUSP00000125735.1 pep:known chromosome:GRCm38:1:163929100:163954702:1 gene:ENSMUSG00000026584.14 transcript:ENSMUST00000161908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scyl3 description:SCY1-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1921385] MGSENSALKSYTLRESPFTLPSGLAVYPAILQDGKCASVFVYKRENEDKVNKAAKHLKTL RHPCLLRFLSCTVEADGIHLVTERVQPLEVALETLSPAEVCAGIYDILLALIFLHDRGHL THNNVCLSSVFVSEDGHWKLGGMETVCQVPQATPEFLRNIQSVRDPASIPPEEMSPEFSG LPESHGHARDAYAFGALVDSLLPIFNEQVSADVLSSFLQILHSALLNPMPECRPALSTLL SHDFFRNDFLEVVNFLKSLTLKSEDEKTEFFKFLLDRVSCLSEELIASRLVPLLLNQLVF AEPVAVKSFLPYLLGPKKALFQSRVIPVLLRLFEVHEEHVRMVLLSHIEAYVEHFTQEQL KKVILPQVLLGLRDTSNSIVAITLRSLAVLVSLLGPEVVVGGERTKIFKRTAPSFTKTSD LSPEGSPMHVVCSQQSRVSKVLEDPSSNVFPKWLSGNVPSSSRKRIQEECYSSLSQTGDQ FSHTIKFPMNGLSDVKNTSEDNGSFPAGSNKPEEWPDWSEPEEPEQQPASIHRWPREPCD VAESQHTNLTAEEVTWDDGEASFGTEINSTATASAPVPVTSGGQSTSAALVPLTEESKPL QSSPSSKTSHRQHEEVKPPQVSQERPLKAPSGLGLGEEFTIQVKKKPVQDPELDWFADMI PEIKPSGTFLILPELRTEVMVPDKDNVSSLMQFSSKFAATEMTEGEAEGWEGEELAWEDN NW >ENSMUSP00000124301.1 pep:known chromosome:GRCm38:1:163941115:163955114:1 gene:ENSMUSG00000026584.14 transcript:ENSMUST00000159516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scyl3 description:SCY1-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1921385] DVLSSFLQILHSALLNPMPECRPALSTLLSHDFFRNDFLEVVNFLKSLTLKSETKTYLNN >ENSMUSP00000027876.4 pep:known chromosome:GRCm38:1:163929765:163955126:1 gene:ENSMUSG00000026584.14 transcript:ENSMUST00000027876.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scyl3 description:SCY1-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1921385] MGSENSALKSYTLRESPFTLPSGLAVYPAILQDGKCASVFVYKRENEDKVNKAAKHLKTL RHPCLLRFLSCTVEADGIHLVTERVQPLEVALETLSPAEVCAGIYDILLALIFLHDRGHL THNNVCLSSVFVSEDGHWKLGGMETVCQVPQATPEFLRNIQSVRDPASIPPEEMSPEFSG LPESHGHARDAYAFGALVDSLLPIFNEQVSADVLSSFLQILHSALLNPMPECRPALSTLL SHDFFRNDFLEVVNFLKSLTLKSEDEKTEFFKFLLDRVSCLSEELIASRLVPLLLNQLVF AEPVAVKSFLPYLLGPKKENAPGETPCLLSPALFQSRVIPVLLRLFEVHEEHVRMVLLSH IEAYVEHFTQEQLKKVILPQVLLGLRDTSNSIVAITLRSLAVLVSLLGPEVVVGGERTKI FKRTAPSFTKTSDLSPEGSPMHVVCSQQSRVSKVLEDPSSNVFPKWLSGNVPSSSRKRIQ EECYSSLSQTGDQFSHTIKFPMNGLSDVKNTSEDNGSFPAGSNKPEEWPDWSEPEEPEQQ PASIHRWPREPCDVAESQHTNLTAEEVTWDDGEASFGTEINSTATASAPVPVTSGGQSTS AALVPLTEESKPLQSSPSSKTSHRQHEEVKPPQVSQERPLKAPSGLGLGEEFTIQVKKKP VQDPELDWFADMIPEIKPSGTFLILPELRTEVMVPDKDNVSSLMQFSSKFAATEMTEGEA EGWEGEELAWEDNNW >ENSMUSP00000132109.1 pep:known chromosome:GRCm38:1:163929790:163955110:1 gene:ENSMUSG00000026584.14 transcript:ENSMUST00000170359.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scyl3 description:SCY1-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1921385] MGSENSALKSYTLRESPFTLPSGLAVYPAILQDGKCASVFVYKRENEDKVNKAAKHLKTL RHPCLLRFLSCTVEADGIHLVTERVQPLEVALETLSPAEVCAGIYDILLALIFLHDRGHL THNNVCLSSVFVSEDGHWKLGGMETVCQVPQATPEFLRNIQSVRDPASIPPEEMSPEFSG LPESHGHARDAYAFGALVDSLLPIFNEQVSADVLSSFLQILHSALLNPMPECRPALSTLL SHDFFRNDFLEVVNFLKSLTLKSEDEKTEFFKFLLDRVSCLSEELIASRLVPLLLNQLVF AEPVAVKSFLPYLLGPKKALFQSRVIPVLLRLFEVHEEHVRMVLLSHIEAYVEHFTQEQL KKVILPQVLLGLRDTSNSIVAITLRSLAVLVSLLGPEVVVGGERTKIFKRTAPSFTKTSD LSPEGSPMHVVCSQQSRVSKVLEDPSSNVFPKWLSGNVPSSSRKRIQEECYSSLSQTGDQ FSHTIKFPMNGLSDVKNTSEDNGSFPAGSNKPEEWPDWSEPEEPEQQPASIHRWPREPCD VAESQHTNLTAEEVTWDDGEASFGTEINSTATASAPVPVTSGGQSTSAALVPLTEESKPL QSSPSSKTSHRQHEEVKPPQVSQERPLKAPSGLGLGEEFTIQVKKKPVQDPELDWFADMI PEIKPSGTFLILPELRTEVMVPDKDNVSSLMQFSSKFAATEMTEGEAEGWEGEELAWEDN NW >ENSMUSP00000137533.1 pep:known chromosome:GRCm38:X:154022780:154033827:-1 gene:ENSMUSG00000094577.7 transcript:ENSMUST00000177994.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921511M17Rik description:RIKEN cDNA 4921511M17 gene [Source:MGI Symbol;Acc:MGI:1925342] MDQLIVDTRSVIYRFINEKDCIYKLSGLLSSLAAFMLEVLIASILSWRLWEFDSNVVQFV SFGLFEAYYPQQFNISGTLTKMLVYTPIDSTWNISTEFMYAQNLVVWAILMKPVVLVFCV MAIKISCTKNPLVEMQIYCYKISALILSVSSMFTFVSVIWNHMVDFYGHTTLDFPSDFPV KKEALTSKHLTVVLPVGLLIATMSLFGVIMFLSEISDLKLKRPVKANDASKMGLLDA >ENSMUSP00000136221.1 pep:known chromosome:GRCm38:X:154022780:154032451:-1 gene:ENSMUSG00000094577.7 transcript:ENSMUST00000179369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921511M17Rik description:RIKEN cDNA 4921511M17 gene [Source:MGI Symbol;Acc:MGI:1925342] MDQLIVDTRSVIYRFINEKDCIYKLSGLLSSLAAFMLEVLIASILSWRLWEFDSNVVQFV SFGLFEAYYPQQFNISGTLTKMLVYTPIDSTWNISTEFMYAQNLVVWAILMKPVVLVFCV MAIKISCTKNPLVEMQIYCYKISALILSVSSMFTFVSVIWNHMVDFYGHTTLDFPSDFPV KKEALTSKHLTVVLPVGLLIATMSLFGVIMFLSEISDLKLKRPVKANDASKMGLLDA >ENSMUSP00000139684.1 pep:known chromosome:GRCm38:9:83925145:84124239:1 gene:ENSMUSG00000032263.14 transcript:ENSMUST00000190637.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdhb description:branched chain ketoacid dehydrogenase E1, beta polypeptide [Source:MGI Symbol;Acc:MGI:88137] MAAVAARAGGLLWLRAAGAERRRCGLRCAALVQGFLQPGGEDTAQKRRVAHFTFHPDPES LQYGQTQKMNLFQSITSALDNSLAKDPTAVIFGEDVAFGGVFRCTVGLRDKYGKDRVFNT PLCEQGIVGFGIGIAVTGATAIAEIQFADYIFPAFDQIVNEAAKYRYRSGDLFNCGSLTI RAPWGCVGHGALYHSQSPEAFFAHCPGIKVVIPRSPFQAKGLLLSCIEDKNPCIFFEPKI LYRAAVEQVPVEPYKIPLSQAEVIQEGSDVTLVAWGTQVHVIREVASMAQEKLGVSCEVI DLRTIVPWDVDTVCKSVIKTGRLLISHEAPLTGGFASEISSTVQEECFLNLEAPISRVCG YDTPFPHIFEPFYIPDKWKCYDALRKMINY >ENSMUSP00000140598.1 pep:known chromosome:GRCm38:9:83948781:84124240:1 gene:ENSMUSG00000032263.14 transcript:ENSMUST00000190166.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdhb description:branched chain ketoacid dehydrogenase E1, beta polypeptide [Source:MGI Symbol;Acc:MGI:88137] MNLFQSITSALDNSLAKDPTAVIFGEDVAFGGVFRCTVGLRDKYGKDRVFNTPLCEQGIV GFGIGIAVTGATAIAEIQFADYIFPAFDQIVNEAAKYRYRSGDLFNCGSLTIRAPWGCVG HGALYHSQSPEAFFAHCPGIKVVIPRSPFQAKGLLLSCIEDKNPCIFFEPKILYRAAVEQ VPVEPYKIPLSQAEVIQEGSDVTLVAWGTQVHVIREVASMAQEKLGVSCEVIDLRTIVPW DVDTVCKSVIKTGRLLISHEAPLTGGFASEISSTVQEECFLNLEAPISRVCGYDTPFPHI FEPFYIPDKWKCYDALRKMINY >ENSMUSP00000034801.4 pep:known chromosome:GRCm38:9:83925150:84124239:1 gene:ENSMUSG00000032263.14 transcript:ENSMUST00000034801.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bckdhb description:branched chain ketoacid dehydrogenase E1, beta polypeptide [Source:MGI Symbol;Acc:MGI:88137] MNLFQSITSALDNSLAKDPTAVIFGEDVAFGGVFRCTVGLRDKYGKDRVFNTPLCEQGIV GFGIGIAVTGATAIAEIQFADYIFPAFDQIVNEAAKYRYRSGDLFNCGSLTIRAPWGCVG HGALYHSQSPEAFFAHCPGIKVVIPRSPFQAKGLLLSCIEDKNPCIFFEPKILYRAAVEQ VPVEPYKIPLSQAEVIQEGSDVTLVAWGTQVHVIREVASMAQEKLGVSCEVIDLRTIVPW DVDTVCKSVIKTGRLLISHEAPLTGGFASEISSTVQEECFLNLEAPISRVCGYDTPFPHI FEPFYIPDKWKCYDALRKMINY >ENSMUSP00000051755.1 pep:known chromosome:GRCm38:14:50147901:50148872:-1 gene:ENSMUSG00000049011.1 transcript:ENSMUST00000061020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr729 description:olfactory receptor 729 [Source:MGI Symbol;Acc:MGI:3030563] MDNTNYSVVSEFVLLGLSSSRELQIFYFVFFSMLYIVIILGNLLIIIAVTSDSSLHSPMY FLLGNLSFFDICQASFATPKMIVDFLSEHKTISFSGCIAQIFFIHLFTGGEMVILVSMAY DRYVAICKPLHYMTIMNQTTCTALVVISWAVGLVHTLSQLSFTVKLSFCGPNEVDSFFCD LPRVVKLACIDSYITEILIVVNSGILSLSTFSLLVSSYVIILVTVWFKSSAAMAKAFSTL AAHIMVVVLFFGPCIFIYVWPFTTYPVDKILAIFYTVFTPILNPIIYTLRNRDMKAVMGK IAAHYLRPPKVAEMSFVARISLY >ENSMUSP00000143682.1 pep:known chromosome:GRCm38:5:71658113:72108779:1 gene:ENSMUSG00000029212.11 transcript:ENSMUST00000199967.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrb1 description:gamma-aminobutyric acid (GABA) A receptor, subunit beta 1 [Source:MGI Symbol;Acc:MGI:95619] MSYVKETVDRLLKGYDIRLRPDFGGPPVDVGMRIDVASIDMVSEVNMDYTLTMYFQQSWK DKRLSYSGIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGL RITTTAACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWNGGEGAVTGVNKIELPQFSI VDYKMVSKKVEFTTGAYPRLSLSFRLKRNIGYFILQTYMPSTLITI >ENSMUSP00000031122.7 pep:known chromosome:GRCm38:5:71699932:72149037:1 gene:ENSMUSG00000029212.11 transcript:ENSMUST00000031122.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrb1 description:gamma-aminobutyric acid (GABA) A receptor, subunit beta 1 [Source:MGI Symbol;Acc:MGI:95619] MWTVQNRESLGLLSFPVMVAMVCCAHSSNEPSNMSYVKETVDRLLKGYDIRLRPDFGGPP VDVGMRIDVASIDMVSEVNMDYTLTMYFQQSWKDKRLSYSGIPLNLTLDNRVADQLWVPD TYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIE SYGYTTDDIEFYWNGGEGAVTGVNKIELPQFSIVDYKMVSKKVEFTTGAYPRLSLSFRLK RNIGYFILQTYMPSTLITILSWVSFWINYDASAARVALGITTVLTMTTISTHLRETLPKI PYVKAIDIYLMGCFVFVFLALLEYAFVNYIFFGKGPQKKGASKQDQSANEKNRLEMNKVQ VDAHGNILLSTLEIRNETSGSEVLTGVSDPKATMYSYDSASIQYRKPLSSREGFGRGLDR HGVPGKGRIRRRASQLKVKIPDLTDVNSIDKWSRMFFPITFSLFNVVYWLYYVH >ENSMUSP00000058676.3 pep:known chromosome:GRCm38:4:143412426:143421091:1 gene:ENSMUSG00000046862.14 transcript:ENSMUST00000059790.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef8 description:PRAME family member 8 [Source:MGI Symbol;Acc:MGI:2140473] MSVQDPPTLLQLSVQRLLRDEALAISSLQYLPRALFPLLFKEAFNHRQTNVLRAMVAVWP FPFLPLGTLMKTPHLEILQAVLDGVDMLWTQKVLPRRRKLQVLDLRNVYHDFWDVWVGPE DGDHSAETVCEKQIAKRLHQYALRRRLKVVTDLCLRFHLNEHQAYLLQWAQQRRSSIRLC CVKMQIWALPVYTVRKVLMVFQPDSIQELELNTGWSLSTLVHFASYLDQMRNLQKLLLTR IHKNTFKVLNTSSDIQKCITKFVSQFSKLNSLQHLSMNGIYFSSEHMKQLFRYLKTPLET LSITMCKLSHLDLNSLSQSQSLHQLKQLNLRLVKLIDLRPVPFHDLLRSVAGTLQTLELE GCWMVDSQLTALLPALSQCSQLTRVNFYDNDISMAVLKDLLYHTASLSQLTQELYPAPLE CYDDTGDVLMGRFVQLCPELMETLITVRQPKNVSFATYICHECCQRCVYGLETKLCRCRQ >ENSMUSP00000122707.1 pep:known chromosome:GRCm38:4:143412972:143416842:1 gene:ENSMUSG00000046862.14 transcript:ENSMUST00000132915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef8 description:PRAME family member 8 [Source:MGI Symbol;Acc:MGI:2140473] MSVQDPPTLLQLSVQRLLRDEALAISSLQYLPRALFPLLFKEAFNHRQTNVLRAMVAVW >ENSMUSP00000041323.7 pep:known chromosome:GRCm38:4:143412987:143421091:1 gene:ENSMUSG00000046862.14 transcript:ENSMUST00000037356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef8 description:PRAME family member 8 [Source:MGI Symbol;Acc:MGI:2140473] MSVQDPPTLLQLSVQRLLRDEALAISSLQYLPRALFPLLFKEAFNHRQTNVLRAMVAVWP FPFLPLGTLMKTPHLEILQAVLDGVDMLWTQKVLPRRRKLQVLDLRNVYHDFWDVWVGPE DGDHSAETVCEKQIAKRLHQYALRRRLKVVTDLCLRFHLNEHQAYLLQWAQQRRSSIRLC CVKMQIWALPVYTVRKVLMVFQPDSIQELELNTGWSLSTLVHFASYLDQMRNLQKLLLTR IHKNTFKVLNTSSDIQKCITKFVSQFSKLNSLQHLSMNGIYFSSEHMKQLFRYLKTPLET LSITMCKLSHLDLNSLSQSQSLHQLKQLNLRLVKLIDLRPVPFHDLLRSVAGTLQTLELE GCWMVDSQLTALLPALSQCSQLTRVNFYDNDISMAVLKDLLYHTASLSQLTQELYPAPLE CYDDTGDVLMGRFVQLCPELMETLITVRQPKNVSFATYICHECCQRCVYGLETKLCRCRQ >ENSMUSP00000117878.1 pep:known chromosome:GRCm38:4:143413009:143417669:1 gene:ENSMUSG00000046862.14 transcript:ENSMUST00000155157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef8 description:PRAME family member 8 [Source:MGI Symbol;Acc:MGI:2140473] MSVQDPPTLLQLSVQRLLRDEALAISSLQYLPRALFPLLFKEAFNHRQTNVLRAMVAVWP FPFLPLGTLMKTPHLEILQAVLDGVDMLWTQKVLPRRRKLQVLDLRNVYHDFWDVWVGPE DGDHSAETVCEKQIAKRLHQYALRRRLKVVTDLCLRFHLNEHQAYLLQWAQQRRSSIRLC CVKMQIWALPVYTV >ENSMUSP00000116409.1 pep:known chromosome:GRCm38:X:154043986:154055039:-1 gene:ENSMUSG00000096867.1 transcript:ENSMUST00000144098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10057 description:predicted gene 10057 [Source:MGI Symbol;Acc:MGI:3710528] MDQLIVDTRSVIYRFINEKDCIYKLSGLLSSLAAFMLEVLIASILSWRLWEFDSNVVQFV SFGLFEAYYPQQFNISGTLTKMLVYTPIDSTWNISTEFMYAQNLVVWAILMKPVVLVFCV MAIKISCTKNPLVEMQIYCYKISALILSVSSMFTFVSVIWNHMVDFYGHTTLDFPSDFPV KKEALTSKHLTVVLPVGLLIATMSLFGVIMFLSEISDLKLKRPVKANDASKMGLLDA >ENSMUSP00000021506.5 pep:known chromosome:GRCm38:12:104406729:104414329:1 gene:ENSMUSG00000021091.8 transcript:ENSMUST00000021506.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina3n description:serine (or cysteine) peptidase inhibitor, clade A, member 3N [Source:MGI Symbol;Acc:MGI:105045] MAFIAALGLLMAGICPAVLCFPDGTLGMDAAVQEDHDNGTQLDSLTLASINTDFAFSLYK ELVLKNPDKNIVFSPLSISAALAVMSLGAKGNTLEEILEGLKFNLTETSEADIHQGFGHL LQRLNQPKDQVQISTGSALFIEKRQQILTEFQEKARALYQAEAFTADFQQPRQAKKLIND YVRKQTQGMIKELVSDLDKRTLMVLVNYIYFKAKWKVPFDPLDTFKSEFYAGKRRPVIVP MMSMEDLTTPYFRDEELFCTVVELKYTGNASAMFILPDQGKMQQVEASLQPETLRKWKNS LKPRMIDELHLPKFSISTDYSLEDVLSKLGIREVFSTQADLSAITGTKDLRVSQVVHKAV LDVAETGTEAAAATGVKFVPMSAKLYPLTVYFNRPFLIMIFDTETEIAPFIAKIANPK >ENSMUSP00000034133.7 pep:known chromosome:GRCm38:8:85324303:85365324:-1 gene:ENSMUSG00000031698.14 transcript:ENSMUST00000034133.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylk3 description:myosin light chain kinase 3 [Source:MGI Symbol;Acc:MGI:2443063] MSGVSEEDPEGLAPQGLPALGGACLATMDKKLNVLTEKVDRLLHFQEDVTEKLQCVCQGM DHLEQDLHRLEASRELSLAGSGSTPPTTAQAAWPEVLELVRAVRQEGAQHGARLEALFKM VVAVDRAITLVGSTFQNSKVADFIMQGTVPGRKGSLADGPEENKEQAEVAGVKPNHVLTT GGVQADASRTLWEESQKEDIPVRTVEGLPLIINTSLKGADLTQAGASLRQGVEVLGPGQV PLPTEAESRLPETASENTGATLELSVAIDRISEVLTSLKMSQGGGQETSSSKPDCWLSEE AMRLSSGPLPQPLGPLTPDSDIHSGDALPRIPINMQEMATPGELLETQSGSPIGSAEAPG LGTVLEDQIPKGARPFPPLPKRSSNNGGMSAEEEIGSGAEPMRGPSLATRDWRDETVGTT DLQQGIDPGAVSPEPGKDHAAQGPGRTEAGRLSSAAEAAIVVLDDSAAPPAPFEHRVVSI KDTLISAGYTVSQHEVLGGGRFGQVHRCTERSTGLALAAKIIKVKNVKDREDVKNEVNIM NQLSHVNLIQLYDAFESKSSFTLIMEYVDGGELFDRITDEKYHLTELDVVLFTRQICEGV HYLHQHYILHLDLKPENILCVSQTGHQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVV NYEFVSFPTDMWSVGVITYMLLSGLSPFLGETDAETMNFIVNCSWDFDADTFKGLSEEAK DFVSRLLVKEKSCRMSATQCLKHEWLSHLPAKASGSNVRLRSQQLLQKYMAQSKWKKHFH VVTAVNRLRKFPTCP >ENSMUSP00000113389.1 pep:known chromosome:GRCm38:8:85324447:85380978:-1 gene:ENSMUSG00000031698.14 transcript:ENSMUST00000122452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylk3 description:myosin light chain kinase 3 [Source:MGI Symbol;Acc:MGI:2443063] MGTLCPVPALCRPSTRGQQRASDYTQIFANKEQAEVAGVKPNHVLTTGGVQADASRTLWE ESQKEDIPVRTVEGLPLIINTSLKGADLTQAGASLRQGVEVLGPGQVPLPTEAESRLPET ASENTGATLELSVAIDRISEVLTSLKMSQGGGQETSSSKPDCWLSEEAMRLSSGPLPQPL GPLTPDSDIHSGDALPRIPINMQEMATPGELLETQSGSPIGSAEAPGLGTVLEDQIPKGA RPFPPLPKRSSNNGGMSAEEEIGSGAEPMRGPSLATRDWRDETVGTTDLQQGIDPGAVSP EPGKDHAAQGPGRTEAGRLSSAAEAAIVVLDDSAAPPAPFEHRVVSIKDTLISAGYTVSQ HEVLGGGRFGQVHRCTERSTGLALAAKIIKVKNVKDREDVKNEVNIMNQLSHVNLIQLYD AFESKSSFTLIMEYVDGGELFDRITDEKYHLTELDVVLFTRQICEGVHYLHQHYILHLDL KPENILCVSQTGHQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVVNYEFVSFPTDMWS VGVITYMLLSGLSPFLGETDAETMNFIVNCSWDFDADTFKGLSEEAKDFVSRLLVKEKSC RMSATQCLKHEWLSHLPAKASGSNVRLRSQQLLQKYMAQSKWKKHFHVVTAVNRLRKFPT CP >ENSMUSP00000113960.1 pep:known chromosome:GRCm38:8:85327053:85365347:-1 gene:ENSMUSG00000031698.14 transcript:ENSMUST00000121972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylk3 description:myosin light chain kinase 3 [Source:MGI Symbol;Acc:MGI:2443063] MSGVSEEDPEGLAPQGLPALGGACLATMDKKLNVLTEKVDRLLHFQEDVTEKLQCVCQGM DHLEQDLHRLEASRELSLAGSGSTPPTTAQAAWPEVLELNKEQAEVAGVKPNHVLTTGGV QADASRTLWEESQKEDIPVRTVEGLPLIINTSLKGADLTQAGASLRQGVEVLGPGQVPLP TEAESRLPETASENTGATLELSVAIDRISEVLTSLKMSQGGGQETSSSKPDCWLSEEAMR LSSGPLPQPLGPLTPDSDIHSGDALPRIPINMQEMATPGELLETQSGSPIGSAEAPGLGT VLEDQIPKGARPFPPLPKRSSNNGGMSAEEEIGSGAEPMRGPSLATRDWRDETVGTTDLQ QGIDPGAVSPEPGKDHAAQGPGRTEAGRLSSAAEAAIVVLDDSAAPPAPFEHRVVSIKDT LISAGYTVSQHEVLGGGRFGQVHRCTERSTGLALAAKIIKVKNVKDREDVKNEVNIMNQL SHVNLIQLYDAFESKSSFTLIMEYVDGGELFDRITDEKYHLTELDVVLFTRQICEGVHYL HQHYILHLDLKPENILCVSQTGHQIKIIDFGLARRYKPREKLKVNFGTPEFLAPEVVNYE FVSFPTDMWSVGVITYMLLSGLSPFLGETDAETMNFIVNCSWDFDADTFKGLSEEAKDFV SRLLVKEKSCRMSATQCLKHEWLSHLPAKASGSNVRLRSQQLLQKYMAQSKWKVFWVFFS KSCI >ENSMUSP00000123372.1 pep:known chromosome:GRCm38:8:85359248:85386345:-1 gene:ENSMUSG00000031698.14 transcript:ENSMUST00000137290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylk3 description:myosin light chain kinase 3 [Source:MGI Symbol;Acc:MGI:2443063] MKNKEQAEVAGVKPNHVLTTGGVQADASRTLWEESQKEDIPVRTVEGLPLIINTSLKGA >ENSMUSP00000124061.1 pep:known chromosome:GRCm38:8:105373274:105375560:1 gene:ENSMUSG00000014782.15 transcript:ENSMUST00000160650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg4 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 4 [Source:MGI Symbol;Acc:MGI:2142544] MRCKEKRVPGTAHKSFRNTRKEEEPAHEIQAMDPRPSRPAAGATQGV >ENSMUSP00000125556.1 pep:known chromosome:GRCm38:8:105374904:105382859:1 gene:ENSMUSG00000014782.15 transcript:ENSMUST00000159286.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekhg4 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 4 [Source:MGI Symbol;Acc:MGI:2142544] MDPRPSRPAAGATQGVGLQGDFLAKEPQSLPEQRAEDGPRGYQRSIIGAPPTQSEEPAFS ELENLLCSGSSHLNLTQGDNDYRGEGLIGDPGPGRTLPAGCSPLSETSPKLLEADPSGSS FPKPADCLLARDLAWELLASGMAALPGTRDVEGRAVLLLCAHSPAWLHPKSNSHELLSLL FYLRGIPRPEVQALGLTVLVDARVCSPSSSLTWGLSRLQEASPGSVQQVLLIGKMPEDVP VGLQWKQLRSHQSLLTHIPNVELPTSLGGSLSYCHQGWLDFRMVSALGGGGGLWLYR >ENSMUSP00000125249.2 pep:known chromosome:GRCm38:8:105375381:105382241:1 gene:ENSMUSG00000014782.15 transcript:ENSMUST00000160191.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg4 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 4 [Source:MGI Symbol;Acc:MGI:2142544] MEGPLECGDVSPDSQGHVADGKRFAVCSFRNTRKEEEPAHEIQAMDPRPSRPAAGATQGV GLQGDFLAKEPQSLPEQRAEDGPRGYQRSIIGAPPTQSEEPAFSELENLLCSGSSHLNLT QGTRDVEGRAVLLLCAHSPAWLHPKSNSHELLSLLFYLRGIPRPEVQALGLTVLVDARVC SPSSSLTWGLSRLQEASPGSVQQVLLIGKMPEDVPVGLQWKQLRSHQSLLTHIPNVELPT SLGGSLSYCHQGWLDFRMRLEALQQSCQVACALLQGVIDSMKALRPPMESGEVAQLLQQT QSLMQQVLDSPQLSWLQSQGSQELAWLKQGTPRVTLSPDYRSAVDKADALYGQVDELLHQ LALKSNQRIRALELLQALEAQEGVLRQIEVWLQEVGWPGVEEPGEPSLDTLLQAQGPFQE LDLVAQEQVTQGEKLLQPLVGLEAAELGSPGKRFLALRSQLTEFSRALAQRRQRLADAEK LFQFFKQASTWTEEGRRLLTELEQERPEVVLQRLQLHWTRHPDLPPAHFRKMWALATGLG SEGIRQECRCAWAQCQDTWLALDQKREAALKPMPATNNTATLYVRRAPAVPTVPPLRKAY SFDRNLGRHLQDVSSRGHCAAIVTDCHRPEARGGVRPRSSPPVPLSGSSDFRSPNRLQLV LAEMVATEREYVRALDYTIQNYFPELDRPDVPQGLRGQRAHLFGNLEKLRDFHYNFFLRE LEACTRHPPRVAHAFLRHRVQFGMYALYSKNKPRSDALMTNYGHTFFKEKQQALGDHLDL ASYLLKPIQHMSKYALLLQELARACGGPAQELGALQAAQSLVHFQLRHGNDLLAMDAIQG CDVNLKEQGQLVRQDEFTVRAGRHKACRRVFLFEELLLFSKPRRGPAGVDIFTYKRSFKM ADLGLTECCGESQLRFEIWFRRRKARDLFVLQASDVATKQAWTADISRLLWRQAVHNKEV RMAEMASMGVGSKAFWDIAPSEEAINDRNINYVLKRRDVRSRASIAVAPFDCDNPYLGAL GSLPGDRASGSVLGSLNLHLYRDPALMGGHWSLYPPNFSEEASLDLGSQPSLTPEDSEVS SQCPSASGSSDSDSSCVSGQTMGRGLEDLSCV >ENSMUSP00000014927.7 pep:known chromosome:GRCm38:8:105375381:105382862:1 gene:ENSMUSG00000014782.15 transcript:ENSMUST00000014927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg4 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 4 [Source:MGI Symbol;Acc:MGI:2142544] MEGPLECGDVSPDSQGHVADGKRFAVCSFRNTRKEEEPAHEIQAMDPRPSRPAAGATQGV GLQGDFLAKEPQSLPEQRAEDGPRGYQRSIIGAPPTQSEEPAFSELENLLCSGSSHLNLT QGDNDYRGEGLIGDPGPGRTLPAGCSPLSETSPKLLEADPSGSSFPKPADCLLARDLAWE LLASGMAALPGTRDVEGRAVLLLCAHSPAWLHPKSNSHELLSLLFYLRGIPRPEVQALGL TVLVDARVCSPSSSLTWGLSRLQEASPGSVQQVLLIGKMPEDVPVGLQWKQLRSHQSLLT HIPNVELPTSLGGSLSYCHQGWLDFRMRLEALQQSCQVACALLQGVIDSMKALRPPMESG EVAQLLQQTQSLMQQVLDSPQLSWLQSQGSQELAWLKQGTPRVTLSPDYRSAVDKADALY GQVDELLHQLALKSNQRIRALELLQALEAQEGVLRQIEVWLQEVGWPGVEEPGEPSLDTL LQAQGPFQELDLVAQEQVTQGEKLLQPLVGLEAAELGSPGKRFLALRSQLTEFSRALAQR RQRLADAEKLFQFFKQASTWTEEGRRLLTELEQERPEVVLQRLQLHWTRHPDLPPAHFRK MWALATGLGSEGIRQECRCAWAQCQDTWLALDQKREAALKPMPATNNTATLYVRRAPAVP TVPPLRKAYSFDRNLGRHLQDVSSRGHCAAIVTDCHRPEARGGVRPRSSPPVPLSGSSDF RSPNRLQLVLAEMVATEREYVRALDYTIQNYFPELDRPDVPQGLRGQRAHLFGNLEKLRD FHYNFFLRELEACTRHPPRVAHAFLRHRVQFGMYALYSKNKPRSDALMTNYGHTFFKEKQ QALGDHLDLASYLLKPIQHMSKYALLLQELARACGGPAQELGALQAAQSLVHFQLRHGND LLAMDAIQGCDVNLKEQGQLVRQDEFTVRAGRHKACRRVFLFEELLLFSKPRRGPAGVDI FTYKRSFKMADLGLTECCGESQLRFEIWFRRRKARDLFVLQASDVATKQAWTADISRLLW RQAVHNKEVRMAEMASMGVGSKAFWDIAPSEEAINDRNINYVLKRRDVRSRASIAVAPFD CDNPYLGALGSLPGDRASGSVLGSLNLHLYRDPALMGGHWSLYPPNFSEEASLDLGSQPS LTPEDSEVSSQCPSASGSSDSDSSCVSGQTMGRGLEDLSCV >ENSMUSP00000021802.8 pep:known chromosome:GRCm38:13:46501848:46649642:1 gene:ENSMUSG00000021373.15 transcript:ENSMUST00000021802.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cap2 description:CAP, adenylate cyclase-associated protein, 2 (yeast) [Source:MGI Symbol;Acc:MGI:1914502] MTDMAGLMERLERAVIRLEQLSAGLDGPPRGCGEVNGVNGGVAPSVEAFDKLINSMVAEF LKNSRVLAGDVETHAEMVHGAFQAQRAFLLMVSQYQQPQENEVAVLLKPISEKIQEIQTF RERNRGSNMFNHLSAVSESIAALGWIAVSPKPGPYVKEMNDAATFYTNRVLKDYKHSDLR HVDWVRSYLNIWSELQAYIREHHTTGLTWSKTGPVASTASAFSILSSGPGLPPPPPPPPP PGPPPPFENEDKKEEPSPSRSALFAQLNQGEAITKGLRHVTDDKKTYKNPSLRAQGQIRS PTKTHTPSPTSPKSNSPQKHTPVLELEGKKWRVEYQEDRNDLVISETELKQVAYIFKCDK STLQIKGKVNSITVDNCKKFGLVFDHVVGIVEVINSKDIQIQVMGRVPTISINKTEGCHL YLSEDALDCEIVSAKSSEMNVLVPQDDDYREFPIPEQFKTIWDGSKLVTEPAEIMA >ENSMUSP00000112952.1 pep:known chromosome:GRCm38:13:46502125:46649635:1 gene:ENSMUSG00000021373.15 transcript:ENSMUST00000119341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cap2 description:CAP, adenylate cyclase-associated protein, 2 (yeast) [Source:MGI Symbol;Acc:MGI:1914502] MTDMAGLMERLERAVIRLEQLSAGLDGPPRGCGEVNGVNGGVAPSVEAFDKLINSMVAEF LKNSRVLAGDVETHAEMVHGAFQAQRAFLLMVSQYQQPQEGPVASTASAFSILSSGPGLP PPPPPPPPPGPPPPFENEDKKEEPSPSRSALFAQLNQGEAITKGLRHVTDDKKTYKNPSL RAQGQIRSPTKTHTPSPTSPKSNSPQKHTPVLELEGKKWRVEYQEDRNDLVISETELKQV AYIFKCDKSTLQIKGKVNSITVDNCKKFGLVFDHVVGIVEVINSKDIQIQVMGRVPTISI NKTEGCHLYLSEDALDCEIVSAKSSEMNVLVPQDDDYREFPIPEQFKTIWDGSKLVTEPA EIMA >ENSMUSP00000140251.1 pep:known chromosome:GRCm38:3:88950622:89079375:1 gene:ENSMUSG00000028053.13 transcript:ENSMUST00000186583.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ash1l description:ash1 (absent, small, or homeotic)-like (Drosophila) [Source:MGI Symbol;Acc:MGI:2183158] MDPRNTAMLGLGSDSEGFSRKSPSTINPGTLASKREAEIEGATEEEDPRKRNRERGTEAG KEDGSTDAQQQFSVKETNFSEGNLKLKIGLQAKRTKKPPKNLENYVCRPAIKTTIKHSRK ALKSGKMTDEKNEHCPSKWDSSKLFKKAGDATAIDCQAEESIHLHSQGESNPLSKKLSPV HSQMADYISAAPSLVGSRDPDIKDRALLNGGTSVTEKLAQLIATCPPSKSSKAKPKKLGT GTTVGLVSKDLIRKPGVGSIAGIIHKDLIKKPALSTAVGLVTKDPGKKPMFNAAVGLINK DSVKKLGTGTTAVFINKDLGKKPGAITTVGLLSKESGKKLGIGIVPGLVNKESGKKLGLG TVVGLVNKELGKKLSSTVGLVAKDVTKKIVASSAMGLVNKDIGKKLLNCPMAGQLGSKDA LNLKSEALLPTQEQLKASCSANISNHDSQELPESLKDSATGKAFEKSVMRHSKESMLEKF SVRKEITNLEKEMFNEGTCIQQDNFSSSERGAFETSKHEKQPPVYCTSPDFQIGGASDAS TAKSPFSAVGESNLPSSSPTVSVNPVTRSPPEASSQLVPNPLLLNSTAEQMEEISESIGK SQFTAESTHLNVGHRSLGHSLSIECKGIDKELNESKNTHLDIPRISSSLGKKPSLTSDSG IHAITPSVVNFTSLFSNKPFLKLGAVTAPDKHCQVAESLSSSFQSKPLKKRKGRKPRWTK VVARSTCRSPKGLDLERSELFKNVSCSSLSNSSEPAKFMKTIGASSFVDHDFLKRRLPKL SKSSAPSLALLTDSEKPSHKSFITHKLSSSMCVTSDLLSDIYKPKRGRPKSKEMPQLEGP PKRTLKIPASKVFSLQSKEEQEPPILQPEIEIPSFKQSLSVSPFPKKRGRPKRQMRSPVK MKPPVLSVAPFVATESPSKLESESENHRSSSDFFESEDQLQDTDDLDDSHRQSVCSMSDL EMEPDKKISKRNNGQLMKTIIRKINKMKTLKRKKLLNQILSSSVESSNKGKVQSKLHNTV SSLAATFGSKLGQQINVSKKGTIYIGKRRGRKPKTVLNGLLSGSPASLAVLEQTAQQAAG SALGQILPPLLPSPASSSEILPSPICSQSSGTSGGQSPVSSDAGFVEPSSVPYLHVHSRQ GSMIQTLAMKKASKGRRRLSPPTLLPNSPSHLSELTSLKEATPSPVSESHSDETIPSDSG IGTDNNSTSDRAEKFCGQKKRRHSFEHISLIPPETSTVLNSLKEKHKHKCKRRSHDYLSY DKMKRQKRKRKKKYPQLRNRQDPDFIAELEELISRLSEIRITHRSHHFIPRDLLPTIFRI NFNSFYTHPSFPLDPLHYIRKPDLKKKRGRPPKMREAMAEMPFMHSLSFPLSSTGFYPSY GMPYSPSPLTAAPIGLGYYGRYPPTLYPPPPSPSFTTPLPPPSYMHAGHLLLNPTKYHKK KHKLLRQEAFLTTSRTPLLSMSTYPSVPPEMAYGWMVEHKHRHRHKHREHRSEQPQVSMD SGSSRSVLESLKRYRFGKDTVGDRYKHKEKHRCHMSCPHLSPSKNLINREEQWVSREPSE SSSLALGLQTPLQIDCSESSPSLSLGGFTPNSEPASSDEHMNLFTSAIGSCRVSNPNSSC RKKLTDSPGLFPVQDTALNRPHRKEPLPSSERAIQSLAGSQSASDKPSQRSSESTNCSPT RKRSSSESTSSTVNGVPSRSPRLVASMDDSVDSLLQRIVHHDEQESMEKNGDASITTVSA PPSSSPGHSYSKERALGKSDSLLVPAVPNDSCSNIPLLSEKSASRCSPHHIKRSVVEAMQ RQARKMCNYDKILATKKNLDHVNKILKAKKLQRQARTGNNFVKRRPGRPRKCPLQAVVSM QAFQAAQFVSPELNEGEDMSLHLSPDTVTDVIEAVVQSVNLTSEHKKGVKRKNWLLEEQT RKKQKTVPEEEEQENNKSFIETPVEIPSPLETPAEPSEPENTLQPVLALIPREKKAPRPP KKKYQRAGLYSDVYKTIDPKSRLIQLKKEKLEYTPGEHEYGLFPAPIHVGKYLRQKRIDF QLPYDILWQWKHNQLYKKPDVPLYKKIRSNVYVDVKPLSGYEATTCNCKKPDDDTRKGCG DDCLNRMIFAECSPNTCPCGEQCCNQRIQRHEWVQCLERFRAEEKGWGIRTKEPLKAGQF IIEYLGEVVSEQEFRNRMIEQYHNHSDHYCLNLDSGMVIDSYRMGNEARFINHSCDPNCE MQKWSVNGVYRIGLYALKDMPAGTELTYDYNFHSFNVEKQQLCKCGFEKCRGIIGGKSQR MNGLPSHKGSQSSSTHRKSARAKEKRKSKHKLKKRKGHPSEEPSENINTPTRLTPQLQMK PMSNRERNFVLKHHVFLVRNWEKIHQKQEEVKHTRDIHSASLYTRWNGLCRDDGNIKSDV FMTQFSALQTARSVRTRRLAAAEENLEVARAARLAQIFKEICDGIISYRDSSQQTLAAPL LNLPPKKKNADYYEKISDPLDLSTIEKQILIGYYKTVEAFDADMLKVFRNAEKYYGRKSP IGRDVCRLRKAYYSARHEASAQIDEIVGETASEADSSETSVSEKESGHEKDDDVIRCICG LYKDEGLMIQCDKCMVWQHCDCMGVNTDVEHYLCEQCDPRPVDREVPMIPRPHYAQPGCV YFICLLRDDLLLRQGDCVYLMRDSRRTPDGHPVRQSYRLLSHINRDKLDIFRIEKLWKNE KEERFAFGHHYFRPHETHHSPSRRFYHNELFRVPLYEIIPLEAVVGTCCVLDLYTYCKGR PKGIKEQDVYICDYRLDKSAHLFYKIHRNRYPVCTKPYAFDHFPKKLTPKRDFSPHYVPD NYKRNGGRSSWKSERSKPPLKDLGQEDDALPLIEEVLASQEQAAREVPSPEEPDQERATG DIGDAEKKPEESSQEAQLASTPEERRHSQRERLNQILLNLLEKIPGKNAIDVTYLLEEGS GRKLRRRTLFIPENSFRK >ENSMUSP00000088451.4 pep:known chromosome:GRCm38:3:88965812:89079373:1 gene:ENSMUSG00000028053.13 transcript:ENSMUST00000090933.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ash1l description:ash1 (absent, small, or homeotic)-like (Drosophila) [Source:MGI Symbol;Acc:MGI:2183158] MDPRNTAMLGLGSDSEGFSRKSPSTINPGTLASKREAEIEGATEEEDPRKRNRERGTEAG KEDGSTDAQQQFSVKETNFSEGNLKLKIGLQAKRTKKPPKNLENYVCRPAIKTTIKHSRK ALKSGKMTDEKNEHCPSKWDSSKLFKKAGDATAIDCQAEESIHLHSQGESNPLSKKLSPV HSQMADYISAAPSLVGSRDPDIKDRALLNGGTSVTEKLAQLIATCPPSKSSKAKPKKLGT GTTVGLVSKDLIRKPGVGSIAGIIHKDLIKKPALSTAVGLVTKDPGKKPMFNAAVGLINK DSVKKLGTGTTAVFINKDLGKKPGAITTVGLLSKESGKKLGIGIVPGLVNKESGKKLGLG TVVGLVNKELGKKLSSTVGLVAKDVTKKIVASSAMGLVNKDIGKKLLNCPMAGQLGSKDA LNLKSEALLPTQEQLKASCSANISNHDSQELPESLKDSATGKAFEKSVMRHSKESMLEKF SVRKEITNLEKEMFNEGTCIQQDNFSSSERGAFETSKHEKQPPVYCTSPDFQIGGASDAS TAKSPFSAVGESNLPSSSPTVSVNPVTRSPPEASSQLVPNPLLLNSTAEQMEEISESIGK SQFTAESTHLNVGHRSLGHSLSIECKGIDKELNESKNTHLDIPRISSSLGKKPSLTSDSG IHAITPSVVNFTSLFSNKPFLKLGAVTAPDKHCQVAESLSSSFQSKPLKKRKGRKPRWTK VVARSTCRSPKGLDLERSELFKNVSCSSLSNSSEPAKFMKTIGASSFVDHDFLKRRLPKL SKSSAPSLALLTDSEKPSHKSFITHKLSSSMCVTSDLLSDIYKPKRGRPKSKEMPQLEGP PKRTLKIPASKVFSLQSKEEQEPPILQPEIEIPSFKQSLSVSPFPKKRGRPKRQMRSPVK MKPPVLSVAPFVATESPSKLESESENHRSSSDFFESEDQLQDTDDLDDSHRQSVCSMSDL EMEPDKKISKRNNGQLMKTIIRKINKMKTLKRKKLLNQILSSSVESSNKGKVQSKLHNTV SSLAATFGSKLGQQINVSKKGTIYIGKRRGRKPKTVLNGLLSGSPASLAVLEQTAQQAAG SALGQILPPLLPSPASSSEILPSPICSQSSGTSGGQSPVSSDAGFVEPSSVPYLHVHSRQ GSMIQTLAMKKASKGRRRLSPPTLLPNSPSHLSELTSLKEATPSPVSESHSDETIPSDSG IGTDNNSTSDRAEKFCGQKKRRHSFEHISLIPPETSTVLNSLKEKHKHKCKRRSHDYLSY DKMKRQKRKRKKKYPQLRNRQDPDFIAELEELISRLSEIRITHRSHHFIPRDLLPTIFRI NFNSFYTHPSFPLDPLHYIRKPDLKKKRGRPPKMREAMAEMPFMHSLSFPLSSTGFYPSY GMPYSPSPLTAAPIGLGYYGRYPPTLYPPPPSPSFTTPLPPPSYMHAGHLLLNPTKYHKK KHKLLRQEAFLTTSRTPLLSMSTYPSVPPEMAYGWMVEHKHRHRHKHREHRSEQPQVSMD SGSSRSVLESLKRYRFGKDTVGDRYKHKEKHRCHMSCPHLSPSKNLINREEQWVSREPSE SSSLALGLQTPLQIDCSESSPSLSLGGFTPNSEPASSDEHMNLFTSAIGSCRVSNPNSSC RKKLTDSPGLFPVQDTALNRPHRKEPLPSSERAIQSLAGSQSASDKPSQRSSESTNCSPT RKRSSSESTSSTVNGVPSRSPRLVASMDDSVDSLLQRIVHHDEQESMEKNGDASITTVSA PPSSSPGHSYSKERALGKSDSLLVPAVPNDSCSNIPLLSEKSASRCSPHHIKRSVVEAMQ RQARKMCNYDKILATKKNLDHVNKILKAKKLQRQARTGNNFVKRRPGRPRKCPLQAVVSM QAFQAAQFVSPELNEGEDMSLHLSPDTVTDVIEAVVQSVNLTSEHKKGVKRKNWLLEEQT RKKQKTVPEEEEQENNKSFIETPVEIPSPLETPAEPSEPENTLQPVLALIPREKKAPRPP KKKYQRAGLYSDVYKTIDPKSRLIQLKKEKLEYTPGEHEYGLFPAPIHVGKYLRQKRIDF QLPYDILWQWKHNQLYKKPDVPLYKKIRSNVYVDVKPLSGYEATTCNCKKPDDDTRKGCG DDCLNRMIFAECSPNTCPCGEQCCNQRIQRHEWVQCLERFRAEEKGWGIRTKEPLKAGQF IIEYLGEVVSEQEFRNRMIEQYHNHSDHYCLNLDSGMVIDSYRMGNEARFINHSCDPNCE MQKWSVNGVYRIGLYALKDMPAGTELTYDYNFHSFNVEKQQLCKCGFEKCRGIIGGKSQR MNGLPSHKGSQSSSTHRKSARAKEKRKSKHKLKKRKGHPSEEPSENINTPTRLTPQLQMK PMSNRERNFVLKHHVFLVRNWEKIHQKQEEVKHTRDIHSASLYTRWNGLCRDDGNIKSDV FMTQFSALQTARSVRTRRLAAAEENLEVARAARLAQIFKEICDGIISYRDSSQQTLAAPL LNLPPKKKNADYYEKISDPLDLSTIEKQILIGYYKTVEAFDADMLKVFRNAEKYYGRKSP IGRDVCRLRKAYYSARHEASAQIDEIVGETASEADSSETSVSEKESGHEKDDDVIRCICG LYKDEGLMIQCDKCMVWQHCDCMGVNTDVEHYLCEQCDPRPVDREVPMIPRPHYAQPGCV YFICLLRDDLLLRQGDCVYLMRDSRRTPDGHPVRQSYRLLSHINRDKLDIFRIEKLWKNE KEERFAFGHHYFRPHETHHSPSRRFYHNELFRVPLYEIIPLEAVVGTCCVLDLYTYCKGR PKGIKEQDVYICDYRLDKSAHLFYKIHRNRYPVCTKPYAFDHFPKKLTPKRDFSPHYVPD NYKRNGGRSSWKSERSKPPLKDLGQEDDALPLIEEVLASQEQAAREVPSPEEPDQERATG DIGDAEKKPEESSQEAQLASTPEERRHSQRERLNQILLNLLEKIPGKNAIDVTYLLEEGS GRKLRRRTLFIPENSFRK >ENSMUSP00000066448.5 pep:known chromosome:GRCm38:3:95219135:95228677:-1 gene:ENSMUSG00000053192.9 transcript:ENSMUST00000065482.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt11 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 11 [Source:MGI Symbol;Acc:MGI:1929671] MRDPVSSQYSSFLFWRMPIPELDLSELEGLGLSDTPTYESKDSSSVGKMNGQASGTEQKN PEGDPLLEYSTFNFWRAPIASIHSVDLDLL >ENSMUSP00000143755.1 pep:known chromosome:GRCm38:3:95220029:95232168:-1 gene:ENSMUSG00000053192.9 transcript:ENSMUST00000196025.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt11 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 11 [Source:MGI Symbol;Acc:MGI:1929671] MRDPVSSQYSSFLFWRMPIPELDLSELEGLGLSDTPTYESKDSSSVGKMNGQASGTEQKN PEGDPLLEYSTFNFWRAPIASIHSVDLDLL >ENSMUSP00000142604.1 pep:known chromosome:GRCm38:3:95220039:95232171:-1 gene:ENSMUSG00000053192.9 transcript:ENSMUST00000198948.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt11 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 11 [Source:MGI Symbol;Acc:MGI:1929671] MRDPVSSQYSSFLFWRMPIPELDLSELEGLGLSDTPTYESKDSSSVGKMNGQASGTEQKN PEGDPLLEYSTFNFWRAPIASIHSVDLDLL >ENSMUSP00000117041.1 pep:known chromosome:GRCm38:2:104143073:104257400:-1 gene:ENSMUSG00000068373.14 transcript:ENSMUST00000141159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D430041D05Rik description:RIKEN cDNA D430041D05 gene [Source:MGI Symbol;Acc:MGI:2181743] XSSRKPTLSPENKPSSLVPPPQPLTTLSQGQMVHPEAAPGSPLKGTGTPLSLLTVRQPNK DHASPAPVPEAPLSREGAHDEYLPDAATQLLSSKLPNPPPTIWTFPQQKEDRAAAIFWTN EKANGTTSLWALPTKEAPSRRAVTGSTSDFNTGRLSPLIMTALRTDLSPPGSPPSSALTT QAASPRSSGTKLESLAAVTSQSELPASASKQVTELPSSTDVHGLPTMGGTRKPAATDVFW SFLSAETASLATQSGISGSQQQTNHDVNTHTINSTHRELRPASVALPGGTTSAANALQSR NFKEIAGQLVAADSFNFQDPVLSPRTSQPLQPSEEAVVESHTDFPPTGKHARDFDTEMVH YYSSTSQNPMSQLPIRPAHPFWPTWPSLASAASLHQMLSDGTDAGSHISSDIYLSPGENG SPQFQSVLEYHSSTASPSVPTGAFSRTPSKVLRTSRRPKKWTGAATHTATAAATATTTLF LRRSSPAPLSAALTAKGTGSSSSNLAKSSLTTALAKNVTNKAASGPKVTAGTIHTAFPFT PTYMLARTAHSLSTHTAMQGATGSVSGLLSTTHLPKKPQAMHTGLPNPTRPDTPRASTPR PLTITAALTSMTASVKATRLPSLQTGNTDAAFPAVSTAMATTGRMASNLDCQMSHKFLVK TVFFLTQRRIQSSESLKLGVTKGLTQALRKAFHQNDVSAHVDILEYSHNVTVGYYATKGR LVYLPAVVMDVLGVYGVSNVTADLKQHTPNLQSVAVLASPWKPQPAGAFQLKTVLQFVSQ SDNIQSCRFAQTMEQRLQKAFQDAERKVLSTRSNLTVQIVSTSNASQAVTLVYAVGNQSS FLNGTVASSLLSQLSAELVGFYLTYPPLTIAEPLEYPNLDTSETTRDYWVITVLQGVDNS LVGLHNQSFARVMEQRLAQLFMMSQQQGRRFKRATTLGSYTVQMVKMQRVPGPKDPAELT YYTLYNGKPLLGTAAAKILSTIDSQRMALTLHHVVLLQADPVVKNPPNNLWIIAAVLAPI AVVTIIIIIITAVLCRKNKNDFKPDTMINLPQRAKPVQGFDYAKQHLGQQGADEEVIPVT QETVVLPIPVRDTPQERDTAQDGSAIKTAKSTETRRSRSPSENGSVISNESGKPSSGRRS PQNGMTQQKVTKEESRKRNVTASDEEEGAGLFDSAGKAAADPFDTSSGSVQLIAIKPSAL PVVHPASDRGQESSAALNGEVNKALKQKSDIEHYRNKLRLKAKRKGYYDFPPVEAGKGLA ERKMYEKAPKEVEHVLDADPELCAPFAESKNRQQMKNSVYRSRQSLNSPSPGETEMDLLV TRERPRRGIRNSGYDTEPEIIEETNVDRVHEPRGYGRARQAKGHSETSTLSSQPSIDEVR QQMHMLLEEAFSLASAGHAGQSRHQETYGSTQHLPYSEVVTSAPGTMTRPRAGVQWVPTY RPEMYQYSLPRPAYRFSQLPEMVMGSPPPPVPPRTGPVAVASLRRSTSDIGSKTRMAEST GPEPTQLHDSASFAQVSRGPVSVTQLDQSALNYSGNTVPAVFAIPAANRPGFTGYFIPTP PSSYRSQAWMSYAGENELPSQWADSVPLPGYIEAYPRSRYQQSSPSRLPRQYSQPANLHP SLEQAPVPSAAASQQSLTENEPPDTPLTNISTAALVKAIREEVAKLAKKQTDMFEFQV >ENSMUSP00000124519.1 pep:known chromosome:GRCm38:2:104255416:104409993:-1 gene:ENSMUSG00000068373.14 transcript:ENSMUST00000139015.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D430041D05Rik description:RIKEN cDNA D430041D05 gene [Source:MGI Symbol;Acc:MGI:2181743] XRPLLLGLLLLAALSGHCWADSGTDNLQIHVTPTSESFPLGKLLPISPTWPFLEVKSSSA ADRFKNVLGQPPDNTTLLKLSQTPLPKAHRRTRAHVDFSSSPYQGSGHSASLDLAKDPTE TLVQTRPEGGREAADMSVETSVSSKGELSATAAATATTTLFLRRSSPAPLSAALTAKGTG SSSSNLAKS >ENSMUSP00000124980.1 pep:known chromosome:GRCm38:2:104255123:104409992:-1 gene:ENSMUSG00000068373.14 transcript:ENSMUST00000149466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D430041D05Rik description:RIKEN cDNA D430041D05 gene [Source:MGI Symbol;Acc:MGI:2181743] RPLLLGLLLLAALSGHCWADSVETSVSSKGELSATAAATATTTLFLRRSSPAPLSAALTA KGTGSSSSNLAKSSLTTALAKNVTNKAASGPKVTAGTIHTAFPFTPTYMLARTAHSLSTH TAMQGATGSVSGLLSTTHLPKKPQAMHTGLPNPTRPDTPRASTPRPLTITA >ENSMUSP00000106756.1 pep:known chromosome:GRCm38:2:104143073:104410334:-1 gene:ENSMUSG00000068373.14 transcript:ENSMUST00000089726.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D430041D05Rik description:RIKEN cDNA D430041D05 gene [Source:MGI Symbol;Acc:MGI:2181743] MALQEAKPQGPSEAEPAAREPRDRLREQGLCGAGGIAARCQGVRSSEARRSEDVLLRRPL LLGLLLLAALSGHCWADSGTDNLQIHVTPTSESFPLGKLLPISPTWPFLEVKSSSAADRF KNVLGQPPDNTTLLKLSQTPLPKAHRRTRAHVDFSSSPYQGSGHSASLDLAKDPTETLVQ TRPEGGREAADMSVETSVSSKGELSATAAATATTTLFLRRSSPAPLSAALTAKGTGSSSS NLAKSSLTTALAKNVTNKAASGPKVTAGTIHTAFPFTPTYMLARTAHSLSTHTAMQGATG SVSGLLSTTHLPKKPQAMHTGLPNPTRPDTPRASTPRPLTITAALTSMTASVKATRLPSL QTGNTDAAFPAVSTAMATTGRMASNLDCQMSHKFLVKTVFFLTQRRIQSSESLKLGVTKG LTQALRKAFHQNDVSAHVDILEYSHNVTVGYYATKGRLVYLPAVVMDVLGVYGVSNVTAD LKQHTPNLQSVAVLASPWKPQPAGAFQLKTVLQFVSQSDNIQSCRFAQTMEQRLQKAFQD AERKVLSTRSNLTVQIVSTSNASQAVTLVYAVGNQSSFLNGTVASSLLSQLSAELVGFYL TYPPLTIAEPLEYPNLDTSETTRDYWVITVLQGVDNSLVGLHNQSFARVMEQRLAQLFMM SQQQGRRFKRATTLGSYTVQMVKMQRVPGPKDPAELTYYTLYNGKPLLGTAAAKILSTID SQRMALTLHHVVLLQADPVVKNPPNNLWIIAAVLAPIAVVTIIIIIITAVLCRKNKNDFK PDTMINLPQRAKPVQGFDYAKQHLGQQGADEEVIPVTQETVVLPIPVRDTPQERDTAQDG SAIKTAKSTETRRRSPSENGSVISNESGKPSSGRRSPQNGMTQQKVTKEESRKRNVTASD EEEGAGLFDSAGKAAADPFDTSSGSVQLIAIKPSALPVVHPASDRGQESSAALNGEVNKA LKQKSDIEHYRNKLRLKAKRKGYYDFPPVEAGKGLAERKMYEKAPKEVEHVLDADPELCA PFAESKNRQQMKNSVYRSRQSLNSPSPGETEMDLLVTRERPRRGIRNSGYDTEPEIIEET NVDRVHEPRGYGRARQAKGHSETSTLSSQPSIDEVRQQMHMLLEEAFSLASAGHAGQSRH QETYGSTQHLPYSEVVTSAPGTMTRPRAGVQWVPTYRPEMYQYSLPRPAYRFSQLPEMVM GSPPPPVPPRTGPVAVASLRRSTSDIGSKTRMAESTGPEPTQLHDSASFAQVSRGPVSVT QLDQSALNYSGNTVPAVFAIPAANRPGFTGYFIPTPPSSYRSQAWMSYAGENELPSQWAD SVPLPGYIEAYPRSRYQQSSPSRLPRQYSQPANLHPSLEQAPVPSAAASQQSLTENEPPD TPLTNISTAALVKAIREEVAKLAKKQTDMFEFQV >ENSMUSP00000146933.1 pep:known chromosome:GRCm38:7:101263034:101290612:-1 gene:ENSMUSG00000047767.17 transcript:ENSMUST00000207740.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atg16l2 description:autophagy related 16-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1920933] CESAISDRCSGQMASSAVLTGPKLCSALTEAMHWQALQTATCTSGM >ENSMUSP00000112500.1 pep:known chromosome:GRCm38:7:101285321:101302088:-1 gene:ENSMUSG00000047767.17 transcript:ENSMUST00000120267.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg16l2 description:autophagy related 16-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1920933] MAGPGAPCDPCAPAAVWKRHIVRQLRHRDRTQKALFLELVPAYNHLLEKAELLAKFSEKL KSEPKDAISTRHEDWREEVSGTGPDQVSSPASLRVKWQQEKKGLQLVCGEMAYQVVKKSA ALDTLQSQLEERQDRLEALQACVVQLQEARAQQSRQLEERQAENAAQREAYETLLQQAVH QEAALRRLQEEARDLLEQLVQRKARAAAERNLRNERRERANQALVSQELKKAAKRTVSIS EIPNTLEDGTKEETVALAPAALPEFSESETCEKWKRPFRSASATSLTLSRCVDVVKGLLD FKKRRGHSVGGAPEQRYQSIPVCVSAQIPSQAQDVLDAHLSEVNAVCFGPNSSLLATGGA DRLIHLWNVVGGRLEANQTLEGAGGSITSVDFDPSGSQVLAATYNQAAQLWKVGETQSKE TLSGHKDKVTAAKFKLTRHQAVTGSRDRTVKEWDLGRAYCSRTINVLSYCNDVVCGDHII ISGHNDQKIRFWDSRGPHCIQVIPVQGRVTSLHLSYDQLHLLSCSRDNTLKVIDLRISNI RQVFRADGFKCSSDWTKAVFSPDRSYALAGSSNGDLYIWDVNTGKLETSLQGPHCTAVNA VAWCFSGNHVVSVDQGRKVVLWH >ENSMUSP00000117029.1 pep:known chromosome:GRCm38:7:101289392:101302027:-1 gene:ENSMUSG00000047767.17 transcript:ENSMUST00000143630.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atg16l2 description:autophagy related 16-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1920933] MAGPGAPCDPCAPAAVWKRHIVRQLRHRDRTQKALFLELVPAYNHLLEKAELLAKFSEKL KSEPKDAISTRHEDWREEVSGTGPDQVSSPASLRVKWQQEKKGLQLVCGEMAYQVVKKSA ALDTLQSQLEERQDRLEALQACVVQLQEARAQQSRQLEERQAENAAQREAYETLLQQAVH QEAALRRLQEEARDLLEQLVQRKARAAAERNLRNERRERANQALVSQELKKAAKRTVSIS EIPNTLEDGTKEETVALAPAALPEFSESETCEKWKRPFRSASATSLTLSRCVDVVKGLLD FKKRRGHSVGGAPEQRYQSIPVCVSAQIPSQAQDVLDAHLSEVNAVCFGPNSSLLATGGA DRLIHLWNVVGGRLEANQTLEGAGGSITSVDFDPSVRRLCPTA >ENSMUSP00000113320.1 pep:known chromosome:GRCm38:7:101289617:101302071:-1 gene:ENSMUSG00000047767.17 transcript:ENSMUST00000122116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg16l2 description:autophagy related 16-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1920933] MAGPGAPCDPCAPAAVWKRHIVRQLRHRDRTQKALFLELVPAYNHLLEKAELLAKFSEKL KSEPKDAISTRHEDWREEVSGTGPDQVSSPASLRVKWQQEKKGLQLVCGEMAYQVVKKSA ALDTLQSQLEERQDRLEALQACVVQLQEARAQQSRQLEERQAENAAQREAYETLLQQAVH QEAALRRLQEEARDLLEQLVQRKARAAAERNLRNERRERANQALVSQELKKAAKRTVSIS EIPNTLEDGTKEETVALAPAALPEFSESETCEKWKRPFSFKKRRGHSVGGAPEQRYQSIP VCVSAQIPSQAQDVLDAHLSEVNAVCFGPNSSLLATGGADRLIHLWNVVGGRLEANQTLE GAGGSITSVDFDPSGSQVLAATYNQAAQLWKVGETQSKETLSGHKDKVTAAKFKLTRHQA VTGSRDRTVKEWDLGRAYCSRTINVLSYCNDVVCGDHIIISGHNDQKIRFWDSRGPHCIQ VIPVQGRVTSLHLSYDQLHLLSCSRDNTLKVIDLRISNIRQVFRADGFKCSSDWTKAVFS PDRSYALAGSSNGDLYIWDVNTGKLETSLQGPHCTAVNAVAWCFSGNHVVSVDQGRKVVL WH >ENSMUSP00000117387.1 pep:known chromosome:GRCm38:7:101289626:101302251:-1 gene:ENSMUSG00000047767.17 transcript:ENSMUST00000139609.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atg16l2 description:autophagy related 16-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1920933] MAGPGAPCDPCAPAAVWKRHIVRQLRHRDRTQKALFLELVPAYNHLLEKAELLAKFSEKL KSEPKDAISTRHEDWREEVSGTGPDQVSSPASLRVKWQQEKKGLQLVCGEMAYQVVKKSA ALDTLQSQLEERQDRLEALQACVVQLQEARAQQSRQLEERQAENAAQREAYETLLQQAVH QEAALRRLQEEARDLLEQLVQRKARAAAERNLRNERRERANQALVSQELKKAAKRTVSIS EIPNTLEDGTKEETVALAPAALPEFSESETCEKWKRPFRSASATSLTLSRCVDVVKGLLD FKKRRGHSVGGAPEQRYQSIPVCVSAQIPSQAQDVLDAHLSEVNAVCFGPNSSLLATGGA DRLIHLWNVVGGRLEANQTLEGAGGSITSVDFDPSVRRLCPTA >ENSMUSP00000116414.1 pep:known chromosome:GRCm38:7:101296895:101302071:-1 gene:ENSMUSG00000047767.17 transcript:ENSMUST00000140553.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atg16l2 description:autophagy related 16-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1920933] MAGPGAPCDPCAPAAVWKRHIVRQLRHRDRTQKALFLELVPAYNHLLEKAELLAKFSEKL KSEPKDAISTRHEDWRWPTRW >ENSMUSP00000146572.1 pep:known chromosome:GRCm38:7:101299006:101302051:-1 gene:ENSMUSG00000047767.17 transcript:ENSMUST00000207121.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atg16l2 description:autophagy related 16-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1920933] MAGPGAPCDPCAPAAVWKRHIVRQLRHRDRTQKALFLELVPACE >ENSMUSP00000002259.6 pep:known chromosome:GRCm38:8:83389867:83428552:1 gene:ENSMUSG00000002190.13 transcript:ENSMUST00000002259.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clgn description:calmegin [Source:MGI Symbol;Acc:MGI:107472] MRFQGVGLCLGLLFITVNADFMDDGVEVEDFSENSDESNIKDEPSSGTFKYKTPQPIGEV YFTETFDSGNLAGWVLSKAKKDDMDSEIAIYDGRWEIEELKENQVPGDRGLVLKSKAKHH AIAAVLEKPFIFADKPLIVQYEVNFQDGIDCGGAYIKLLADTGDLILENFYDKTSYTIMF GPDKCGEDYKLHLIFRHKHPKTGVFEEKHAKPPDVDLKEFFTDRKTHLYTLVMNPDDTFE VLIDQKVVNQGTLLDDVVPPINPPREIDDPSDKKPEEWDDRAKIPDPTAVRPEDWDENEP AQIEDSSAVKPDGWLDDEPKFIPNPKAEKPEDWSDDMDGEWEAPHIPNPACQIGCGEWKP PMIDNPKYKGIWRPPMINNPNYQGLWSPQKIPNPDYFEDDHPFLLTSFSALGLELWSMTP DIYFDNFIICSEKEVADQWATDGWELKIMVANANEPGVLRQLVIAAEERPWLWLMYLVMA GLPVALVASFCWPRKVKKKYEDTGPKKTELCKLQSKAALEQEAEEEKAPEKPEDVQEEKK PGEAEVVTVEKEVIGEPEEKSKEDRETLEGQEEVSKLSKSGSEDEMKDADESPGSGDAPL KSLRKRRVRKD >ENSMUSP00000105457.2 pep:known chromosome:GRCm38:8:83389878:83426831:1 gene:ENSMUSG00000002190.13 transcript:ENSMUST00000109831.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clgn description:calmegin [Source:MGI Symbol;Acc:MGI:107472] MRFQGVGLCLGLLFITVNADFMDDGVEVEDFSENSDESNIKDEPSSGTFKYKTPQPIGEV YFTETFDSGNLAGWVLSKAKKDDMDSEIAIYDGRWEIEELKENQVPGDRGLVLKSKAKHH AIAAVLEKPFIFADKPLIVQYEVNFQDGIDCGGAYIKLLADTGDLILENFYDKTSYTIMF GPDKCGEDYKLHLIFRHKHPKTGVFEEKHAKPPDVDLKEFFTDRKTHLYTLVMNPDDTFE VLIDQKVVNQGTLLDDVVPPINPPREIDDPSDKKPEEWDDRAKIPDPTAVRPEDWDENEP AQIEDSSAVKPDGWLDDEPKFIPNPKAEKPEDWSDDMDGEWEAPHIPNPACQIGCGEWKP PMIDNPKYKGIWRPPMINNPNYQGLWSPQKIPNPDYFEDDHPFLLTSFSALGLELWSMTP DIYFDNFIICSEKEVADQWATDGWELKIMVANANEPGVLRQLVIAAEERPWLWLMYLVMA GLPVALVASFCWPRKVKKKYEDTGPKKTELCKLQSKAALEQEAEEEKAPEKPEDVQEEKK PGEAEVVTVEKEVIGEPEEKSKEDRETLEGQEEVSKLSKSGSEDEMKDADESPGSGDAPL KSLRKRRVRKD >ENSMUSP00000147100.1 pep:known chromosome:GRCm38:4:43058969:43264871:1 gene:ENSMUSG00000028456.18 transcript:ENSMUST00000207569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13b description:unc-13 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1342278] MSLLCVRVKRAKFQGSPDKFNTYVTLKVQNVKSTTVAVRGDQPSWEQDFMFEISRLDLGL SVEVWNKGLIWDTMVGTVWIALKTIRQSDEEGPGEWSTLEAETLMKDDEICGTKNPTPHK ILLDTRFELPFDIPEEEARYWTYKLEQINALADDNEYSSQEESQRKPLPTAAAQCSFEDP DSAVDDRDSDYRSETSNSAPPPYHTTTQPNASVHQFPVPVRLPQQLFLQGSSHDSCNDSM QSYDLDYPERRALSELQTLCYITRRQDWQARNDKIRIISAFPNANYEEQENKYEMNERTS QDFLENSNIYLKEIGVKEMTIFSKTDENHGQQKQPEYSFRKISPKSKHGHKCEAPALSRY PQKYNTIDRRRKKPLYSHSEDNERWHYNTKSNSKCAFPDTENSAPYHIEKKKLNYPILCP YKNGFVKSSTWNTDLEGYDYGLPYCLRNYGNVSGSNCSVTNFTPLDIFDDSTSSTSEEIL GSPISDEQESMSPTWHSSNVNHMGDFSEEYYVANSVLPLQRMNCNVNTVGDLSRCSMEDM TPSFVEGPKEKYVDTMDELQCLVETVSEYLAEKEEEINRFGSLSKTKEPHEHNTAVANAE QKTSENQTSPLTVTQNAKAKAISFPELNGVKCAVGSLFSSLTEKVGSGTKHLTTSVEKLV HSVPEKKETQNQTETVSLGPNPSAISLLEKDLSAQPLLSCQTTDDKDAVRHGRTSENEHL GDKTKGESFQDSTETIRKDSAPQTQSSIVKSVFSKLNPLKIFSEKEETKSEDHSKVSRRE STEGCGSESNQSEDLLDNQSSSLADLIRSKRETADLQMQEREDLPSLQVADEPSNVASSI GKKGGMESQLEGKPCVELSLSKDQPQQSAKDTVERCGVAGSTFANQESSTKALEEDKVTS DEDFLEPLRKSFSQLLFTSPESCKKETSSESVTILKLEEDGQERDAKKDGHSFSFSRKLH IPFFNILSHSEKHQDVKEKGSIFPLFKFPLTDSHSTVNNQSFHSSVATTDKEVQQNCHMD TRLSSVKSSSFPNIHNHLEKLGSTEEFSKNDQINCPEDVKCNSLKSSSISNIHNDPEKLN SIEEFNKSDQTYCSEDEKHNTMRSDSTSSINSDFGKCGSLAELNPSSHAAVEHYEKADPD DVLKEQHITVALSEEGVNLTQVAFPLMSDSSLGLISATSKSTLVNDINEDKVENETSDKG TQRGFLSVILSKFASLENMPSQQEFNLKSDSSHHKNNTSSSLLSGIFNLITNSSVNDYNP VEAKLISLEDGRKHLSTDEVPADSWVTSENLRSQVENNVASSFIKNSLSLSKETMPSSDG WDSNHICPPTWENQESEKHCPSENILCCTEQDPLEKSLTKRPTPNHILEIKLHERSNKLS SPIQNTNILSKSNHYQAFDEDCLEWGPDIKDFSRNSRRLQPVYHLLNQNTFPSADAFLWP DSENPVINLCQKDQNANIFEWGTNPNSVISCDLPYESFDQLAFNEGYLLRGDMWAADSCG NSGYLPVNETKNSLEELPIDLSYSSDYEKIMCSLLQQQSLGMDENLIFSSFDYEYQEWLS YLENGVWWPSEDGNYGYYMFHDGQYIYSLLTDSTGQYVYLFIPDYYHEYLNYNLQTDALP SIMLDDNTISAHSLQVLGKEDNLLWYAEEEPVEDPLDLSVTLPRNERSLYVNLETFSQVF ESSFDQRDKPLDFSGYNSQKFKRDFRSFIGRPYCSEDLECILDLRNKPGTMSNHTLNGGT VIQREMNWTLPKKSSAHLSRFHFHQSSSDEPSSLIHPESMTESLQKEETPPVNKLTPVFS ILGTLIRSSLNFDKSDSVDGLVIQKTDQQSELSEPIHDSLHSLILSKQLSCHSIKEDESH PEDLERQMVYSVQQPEFTDSIKQEFSLHKNDHMDKESLLKAGFQVSQTTLKAKTDVEDVK IITAKSIVDPLAPQLSKDEHKNCELLQDQFSKESDKTLLTSALKLFGWEEGSSVSTVTSE KPASGILNLFKTRVNKEESPDLEKIGDKGGKISSQEKKESSGVANFFGTLGDFFKTNVCL MQTTENKSVSLVTDEDERRSSPNPLHLAHQDTGNFPPLPVPSKKKVRIRSLTKQTTIDDN GLREPLTREMQSNGLNEKEVPVRDHQVQQSTSPPVSTGGSKESPRDSSKGTGAVSTGTEV PRNNKSFDALSRRNTNEQDNFPNQEWKFSTATPASQQELPSRRNIFSFLTGSEKSENKAS AALPRARSQAEGLFTLPSFFSTASLSTKKDASRSSSAFSLFNLSFLEEKQQSSEEKQSLS AIAPVTAQPCRRPVVSVDTGDVMTKEDSTAHKESIVHQVVYEEQMAPGVSTNSTTELTTS LVDKLHIEKTQEKMSSSKGGAEGATAFDFQVNQLPASVAPLLPEFQEQALGVAPQEEGVF HQETSSRDSAAESLSRDNGLNEKLNDLDVCTEHQNERFTTGLLNLPLEHGSDSLSTQILD LPASPDPGHTGLLQNQDADKLEDRSVLGSKVEMISGFVTKVKSFSGSLIEQPKTFSGFFS TPKSPKKNSFFSFSSAASSQPLKTELFGIFRSPKPETNKQEPSVSTSCFQSGSSRDPVGS ILPKSLPGEAACAGLNSESALSACSITVVDAKSESDPLTDDPKLIPEMEKNISESISEPP CFEVLATTSSVSEDDMGQGILSLSDEGDMGLLQGTDTEASLEAEHILLPTQLQSDPACIA EELPPSSQPLLELEPEPEPEPEPEPEPEPEPEPEPEPEPEPELLTASTNQEYFGLVTTSV ETSISLFDEAGASENTTLETQGYHSCPLQEEPVLCLKESSSIHHAPKDPPVAPQETEQTR PRFEIPNMASWPKLHFPSTPDHGKTLSSIFNPSSSSGNMAAETGLMSGFKKLSTLFEGAS EGKGVILGNDPKLVFGKKLDLSFPWSKENKGDPEQMPAKSSPVLVVSDDQDLIISKANKI SESSQVPSGSPKSANICTLPSGTTEQLVAKPSDCAQELSKSGEVERQLEFSAPEEHWGED SNLSGSARPSEKHKEEHCAQPAKQEEMSASTSSILNVGQLVIPEDTKETVTNKSPTSSSR YGSSCNVSQGSSLLSELDQYHEQDDDGRERDSIHSSHSYGSLSKDGQAGLGEQEKALEVT CESEKEKTGESKEMRDDATIHPPSDLVLHKDHVLGPQESLPEETASSPFTQARAHWFRAV TKVRLQLQEISDDGDPSLPQWLPEGPAGGLYGIDSMPDLRRKKPLPLVSDLSLVQSRKAG ITSAMATRTSLKDEELKSHVYKKTLQALIYPISCTTPHNFEVWSATTPTYCYECEGLLWG LARQGMRCSECGVKCHEKCQDLLNADCLQRAAEKSSKHGAEDRTQNIIMAMKDRMKIRER NKPEIFEVIRDVFTVSKVAHVQQMKTVKQSVLDGTSKWSAKITITVVCAQGLQAKDKTGS SDPYVTVQVGKTKKRTKTIFGNLNPVWEEKFHFECHNSSDRIKVRVWDEDDDIKSRVKQR LKRESDDFLGQTIIEVRTLSGEMDVWYNLEKRTDKSAVSGAIRLQISVEIKGEEKVAPYH VQYTCLHENLFHYLTDIQGSGGVWIPEARGDDAWKVYFDETAQEIVDEFAMRYGIESIYQ AMTHFACLSSKYMCPGVPAVMSTLLANINAYYAHTTASTNVSASDRFAASNFGKERFVKL LDQLHNSLRIDLSTYRNNFPAGSPERLQDLKSTVDLLTSITFFRMKVQELQSPPRASQVV KDCVKACLNSTYEYIFNNCHDLYSHQYQLQEQPLEEPGPSIRNLDFWPKLITLIVSIIEE DKNSYTPVLSQFPQELNVGKVSAEVMWHLFAQDMKYALEEHEKDRLCKSADYMNLHFKVK WLHNEYVRDLPALQGQVPEYPAWFEQFVLQWLDENEDVSLEFLRGALERDKKDGFQQTSE HALFSCSVVDVFTQLNQSFEIIRKLECPDPNILAHYMRRFAKTIGKVLMQYADILSKNFP AYCTKERLPCILMNNMQQLRVQLEKMFEAMGGKELDSEAADSLKELQVKLNTVLDELSMV FGNSFQVRIDECVRQMADILGQVRGTGNASPNARASVAQDADSVLRPLMDFLDGNLTLFA TVCEKTVLKRVLKELWRVVMNTMERVIVLPPLTDQTGTQLILTAAKELSQLSKLKDHMVR EETRNLTPKQCAVLDLALDTIKQYFHAGGNGLKKTFLEKSPDLQSLRYALSLYTQTTDTL IKTFVRSQTAQGAGVDDPVGEVSIQVDLFTHPGTGEHKVTVKVVAANDLKWQTAGMFRPF VEVTMVGPHQSDKKRKFTTKSKSNNWTPKYNETFHFLLGNEEGPEAYELQICVKDYCFAR EDRVIGLAVMPLRDVAAKGSCACWCPLGRKIHMDETGMTILRILSQRSNDEVAREFVKLK SESRSTEEGS >ENSMUSP00000078894.6 pep:known chromosome:GRCm38:4:43058969:43264871:1 gene:ENSMUSG00000028456.18 transcript:ENSMUST00000079978.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13b description:unc-13 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1342278] MSLLCVRVKRAKFQGSPDKFNTYVTLKVQNVKSTTVAVRGDQPSWEQDFMFEISRLDLGL SVEVWNKGLIWDTMVGTVWIALKTIRQSDEEGPGEWSTLEAETLMKDDEICGTKNPTPHK ILLDTRFELPFDIPEEEARYWTYKLEQINALADDNEYSSQEESQRKPLPTAAAQCSFEDP DSAVDDRDSDYRSETSNSAPPPYHTTTQPNASVHQFPVPVRLPQQLFLQGSSHDSCNDSM QSYDLDYPERRALSPTSSSRYGSSCNVSQGSSLLSELDQYHEQDDDGRERDSIHSSHSYG SLSKDGQAGLGEQEKALEVTCESEKEKTGESKEMRDDATIHPPSDLVLHKDHVLGPQESL PEETASSPFTQARAHWFRAVTKVRLQLQEISDDGDPSLPQWLPEGPAGGLYGIDSMPDLR RKKPLPLVSDLSLVQSRKAGITSAMATRTSLKDEELKSHVYKKTLQALIYPISCTTPHNF EVWSATTPTYCYECEGLLWGLARQGMRCSECGVKCHEKCQDLLNADCLQRAAEKSSKHGA EDRTQNIIMAMKDRMKIRERNKPEIFEVIRDVFTVSKVAHVQQMKTVKQSVLDGTSKWSA KITITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIFGNLNPVWEEKFHFECHNSSD RIKVRVWDEDDDIKSRVKQRLKRESDDFLGQTIIEVRTLSGEMDVWYNLEKRTDKSAVSG AIRLQISVEIKGEEKVAPYHVQYTCLHENLFHYLTDIQGSGGVWIPEARGDDAWKVYFDE TAQEIVDEFAMRYGIESIYQAMTHFACLSSKYMCPGVPAVMSTLLANINAYYAHTTASTN VSASDRFAASNFGKERFVKLLDQLHNSLRIDLSTYRNNFPAGSPERLQDLKSTVDLLTSI TFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHDLYSHQYQLQEQPLEEPGPS IRNLDFWPKLITLIVSIIEEDKNSYTPVLSQFPQELNVGKVSAEVMWHLFAQDMKYALEE HEKDRLCKSADYMNLHFKVKWLHNEYVRDLPALQGQVPEYPAWFEQFVLQWLDENEDVSL EFLRGALERDKKDGFQQTSEHALFSCSVVDVFTQLNQSFEIIRKLECPDPNILAHYMRRF AKTIGKVLMQYADILSKNFPAYCTKERLPCILMNNMQQLRVQLEKMFEAMGGKELDSEAA DSLKELQVKLNTVLDELSMVFGNSFQVRIDECVRQMADILGQVRGTGNASPNARASVAQD ADSVLRPLMDFLDGNLTLFATVCEKTVLKRVLKELWRVVMNTMERVIVLPPLTDQTGTQL ILTAAKELSQLSKLKDHMVREETRNLTPKQCAVLDLALDTIKQYFHAGGNGLKKTFLEKS PDLQSLRYALSLYTQTTDTLIKTFVRSQTAQGAGVDDPVGEVSIQVDLFTHPGTGEHKVT VKVVAANDLKWQTAGMFRPFVEVTMVGPHQSDKKRKFTTKSKSNNWTPKYNETFHFLLGN EEGPEAYELQICVKDYCFAREDRVIGLAVMPLRDVAAKGSCACWCPLGRKIHMDETGMTI LRILSQRSNDEVAREFVKLKSESRSTEEGS >ENSMUSP00000128608.1 pep:known chromosome:GRCm38:4:43059073:43263622:1 gene:ENSMUSG00000028456.18 transcript:ENSMUST00000163653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13b description:unc-13 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1342278] MSLLCVRVKRAKFQGSPDKFNTYVTLKVQNVKSTTVAVRGDQPSWEQDFMFEISRLDLGL SVEVWNKGLIWDTMVGTVWIALKTIRQSDEEGPGEWSTLEAETLMKDDEICGTKNPTPHK ILLDTRFELPFDIPEEEARYWTYKLEQINALADDNEYSSQEESQRKPLPTAAAQCCHWTY LGWGEHQTFEDPDSAVDDRDSDYRSETSNSAPPPYHTTTQPNASVHQFPVPVRLPQQLFL QGSSHDSCNDSMQSYDLDYPERRALSPTSSSRYGSSCNVSQGSSLLSELDQYHEQDDDGR ERDSIHSSHSYGSLSKDGQAGLGEQEKALEVTCESEKEKTGESKEMRDDATIHPPSDLVL HKDHVLGPQESLPEETASSPFTQARAHWFRAVTKVRLQLQEISDDGDPSLPQWLPEGPAG GLYGIDSMPDLRRKKPLPLVSDLSLVQSRKAGITSAMATRTSLKDEELKSHVYKKTLQAL IYPISCTTPHNFEVWSATTPTYCYECEGLLWGLARQGMRCSECGVKCHEKCQDLLNADCL QRAAEKSSKHGAEDRTQNIIMAMKDRMKIRERNKPEIFEVIRDVFTVSKVAHVQQMKTVK QSVLDGTSKWSAKITITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIFGNLNPVWE EKFHFECHNSSDRIKVRVWDEDDDIKSRVKQRLKRESDDFLGQTIIEVRTLSGEMDVWYN LEKRTDKSAVSGAIRLQISVEIKGEEKVAPYHVQYTCLHENLFHYLTDIQGSGGVWIPEA RGDDAWKVYFDETAQEIVDEFAMRYGIESIYQAMTHFACLSSKYMCPGVPAVMSTLLANI NAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSTYRNNFPAGSPERLQ DLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHDLYSHQYQ LEQPLEEPGPSIRNLDFWPKLITLIVSIIEEDKNSYTPVLSQFPQELNVGKVSAEVMWHL FAQDMKYALEEHEKDRLCKSADYMNLHFKVKWLHNEYVRDLPALQGQVPEYPAWFEQFVL QWLDENEDVSLEFLRGALERDKKDGFQQTSEHALFSCSVVDVFTQLNQSFEIIRKLECPD PNILAHYMRRFAKTIGKVLMQYADILSKNFPAYCTKERLPCILMNNMQQLRVQLEKMFEA MGGKELDSEAADSLKELQVKLNTVLDELSMVFGNSFQVRIDECVRQMADILGQVRGTGNA SPNARASVAQDADSVLRPLMDFLDGNLTLFATVCEKTVLKRVLKELWRVVMNTMERVIVL PPLTDQTGTQLILTAAKELSQLSKLKDHMVREETRNLTPKQCAVLDLALDTIKQYFHAGG NGLKKTFLEKSPDLQSLRYALSLYTQTTDTLIKTFVRSQTAQGAGVDDPVGEVSIQVDLF THPGTGEHKVTVKVVAANDLKWQTAGMFRPFVEVTMVGPHQSDKKRKFTTKSKSNNWTPK YNETFHFLLGNEEGPEAYELQICVKDYCFAREDRVIGLAVMPLRDVAAKGSCACWCPLGR KIHMDETGMTILRILSQRSNDEVAREFVKLKSESRSTEEGS >ENSMUSP00000103586.2 pep:known chromosome:GRCm38:4:43059073:43263622:1 gene:ENSMUSG00000028456.18 transcript:ENSMUST00000107952.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13b description:unc-13 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1342278] MSLLCVRVKRAKFQGSPDKFNTYVTLKVQNVKSTTVAVRGDQPSWEQDFMFEISRLDLGL SVEVWNKGLIWDTMVGTVWIALKTIRQSDEEGPGEWSTLEAETLMKDDEICGTKNPTPHK ILLDTRFELPFDIPEEEARYWTYKLEQINALADDNEYSSQEESQRKPLPTAAAQCCHWTY LGWGEHQTFEDPDSAVDDRDSDYRSETSNSAPPPYHTTTQPNASVHQFPVPVRLPQQLFL QGSSHDSCNDSMQSYDLDYPERRALSPTSSSRYGSSCNVSQGSSLLSELDQYHEQDDDGR ERDSIHSSHSYGSLSKDGQAGLGEQEKALEVTCESEKEKTGESKEMRDDATIHPPSDLVL HKDHVLGPQESLPEETASSPFTQARAHWFRAVTKVRLQLQEISDDGDPSLPQWLPEGPAG GLYGIDSMPDLRRKKPLPLVSDLSLVQSRKAGITSAMATRTSLKDEELKSHVYKKTLQAL IYPISCTTPHNFEVWSATTPTYCYECEGLLWGLARQGMRCSECGVKCHEKCQDLLNADCL QRAAEKSSKHGAEDRTQNIIMAMKDRMKIRERNKPEIFEVIRDVFTVSKVAHVQQMKTVK QSVLDGTSKWSAKITITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIFGNLNPVWE EKFHFECHNSSDRIKVRVWDEDDDIKSRVKQRLKRESDDFLGQTIIEVRTLSGEMDVWYN LEKRTDKSAVSGAIRLQISVEIKGEEKVAPYHVQYTCLHENLFHYLTDIQGSGGVWIPEA RGDDAWKVYFDETAQEIVDEFAMRYGIESIYQAMTHFACLSSKYMCPGVPAVMSTLLANI NAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSTYRNNFPAGSPERLQ DLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHDLYSHQYQ LQEQPLEEPGPSIRNLDFWPKLITLIVSIIEEDKNSYTPVLSQFPQELNVGKVSAEVMWH LFAQDMKYALEEHEKDRLCKSADYMNLHFKVKWLHNEYVRDLPALQGQVPEYPAWFEQFV LQWLDENEDVSLEFLRGALERDKKDGFQQTSEHALFSCSVVDVFTQLNQSFEIIRKLECP DPNILAHYMRRFAKTIGKVLMQYADILSKNFPAYCTKERLPCILMNNMQQLRVQLEKMFE AMGGKELDSEAADSLKELQVKLNTVLDELSMVFGNSFQVRIDECVRQMADILGQVRGTGN ASPNARASVAQDADSVLRPLMDFLDGNLTLFATVCEKTVLKRVLKELWRVVMNTMERVIV LPPLTDQTGTQLILTAAKELSQLSKLKDHMVREETRNLTPKQCAVLDLALDTIKQYFHAG GNGLKKTFLEKSPDLQSLRYALSLYTQTTDTLIKTFVRSQTAQGAGVDDPVGEVSIQVDL FTHPGTGEHKVTVKVVAANDLKWQTAGMFRPFVEVTMVGPHQSDKKRKFTTKSKSNNWTP KYNETFHFLLGNEEGPEAYELQICVKDYCFAREDRVIGLAVMPLRDVAAKGSCACWCPLG RKIHMDETGMTILRILSQRSNDEVAREFVKLKSESRSTEEGS >ENSMUSP00000103587.2 pep:known chromosome:GRCm38:4:43059080:43263605:1 gene:ENSMUSG00000028456.18 transcript:ENSMUST00000107953.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13b description:unc-13 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1342278] MSLLCVRVKRAKFQGSPDKFNTYVTLKVQNVKSTTVAVRGDQPSWEQDFMFEISRLDLGL SVEVWNKGLIWDTMVGTVWIALKTIRQSDEEGPGEWSTLEAETLMKDDEICGTKNPTPHK ILLDTRFELPFDIPEEEARYWTYKLEQINALADDNEYSSQEESQRKPLPTAAAQCSFEDP DSAVDDRDSDYRSETSNSAPPPYHTTTQPNASVHQFPVPVRLPQQLFLQGSSHDSCNDSM QSYDLDYPERRALSPTSSSRYGSSCNVSQGSSLLSELDQYHEQDDDGRERDSIHSSHSYG SLSKDGQAGLGEQEKALEVTCESEKEKTGESKEMRDDATIHPPSDLVLHKDHVLGPQESL PEETASSPFTQARAHWFRAVTKVRLQLQEISDDGDPSLPQWLPEGPAGGLYGIDSMPDLR RKKPLPLVSDLSLVQSRKAGITSAMATRTSLKDEELKSHVYKKTLQALIYPISCTTPHNF EVWSATTPTYCYECEGLLWGLARQGMRCSECGVKCHEKCQDLLNADCLQRAAEKSSKHGA EDRTQNIIMAMKDRMKIRERNKPEIFEVIRDVFTVSKVAHVQQMKTVKQSVLDGTSKWSA KITITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIFGNLNPVWEEKFHFECHNSSD RIKVRVWDEDDDIKSRVKQRLKRESDDFLGQTIIEVRTLSGEMDVWYNLEKRTDKSAVSG AIRLQISVEIKGEEKVAPYHVQYTCLHENLFHYLTDIQGSGGVWIPEARGDDAWKVYFDE TAQEIVDEFAMRYGIESIYQAMTHFACLSSKYMCPGVPAVMSTLLANINAYYAHTTASTN VSASDRFAASNFGKERFVKLLDQLHNSLRIDLSTYRNNFPAGSPERLQDLKSTVDLLTSI TFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHDLYSHQYQLQEQPLEEPGPS IRNLDFWPKLITLIVSIIEEDKNSYTPVLSQFPQELNVGKVSAEVMWHLFAQDMKYALEE HEKDRLCKSADYMNLHFKVKWLHNEYVRDLPALQGQVPEYPAWFEQFVLQWLDENEDVSL EFLRGALERDKKDGFQQTSEHALFSCSVVDVFTQLNQSFEIIRKLECPDPNILAHYMRRF AKTIGKVLMQYADILSKNFPAYCTKERLPCILMNNMQQLRVQLEKMFEAMGGKELDSEAA DSLKELQVKLNTVLDELSMVFGNSFQVRIDECVRQMADILGQVRGTGNASPNARASVAQD ADSVLRPLMDFLDGNLTLFATVCEKTVLKRVLKELWRVVMNTMERVIVLPPLTDQTGTQL ILTAAKELSQLSKLKDHMVREETRNLTPKQCAVLDLALDTIKQYFHAGGNGLKKTFLEKS PDLQSLRYALSLYTQTTDTLIKTFVRSQTAQVHDGKGIRFTANEDIRPEKGAGVDDPVGE VSIQVDLFTHPGTGEHKVTVKVVAANDLKWQTAGMFRPFVEVTMVGPHQSDKKRKFTTKS KSNNWTPKYNETFHFLLGNEEGPEAYELQICVKDYCFAREDRVIGLAVMPLRDVAAKGSC ACWCPLGRKIHMDETGMTILRILSQRSNDEVAREFVKLKSESRSTEEGS >ENSMUSP00000132622.1 pep:known chromosome:GRCm38:4:43180568:43237094:1 gene:ENSMUSG00000028456.18 transcript:ENSMUST00000168032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13b description:unc-13 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1342278] XRDDATIHPPSDLVLHKDHVLGPQESLPEETASSPFTQARAHWFRAVTKVRLQLQEISDD GDPSLPQWLPEGPAGGLYGIDSMPDLRRKKPLPLVSDLAMSLVQSRKAGITSAMATRTSL KDEELKSHVYKKTLQALIYPISCTTPHNFEVWSATTPTYCYECEGLLWGLARQGMRCSEC GVKCHEKCQDLLNADCLQRAAEKSSKHGAEDRTQNIIMAMKDRMKIRERNKPEIFEVIRD VFTVSKVAHVQQMKTV >ENSMUSP00000146589.1 pep:known chromosome:GRCm38:4:43209498:43263733:1 gene:ENSMUSG00000028456.18 transcript:ENSMUST00000207708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13b description:unc-13 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1342278] MKRLLRESEEEIMLTLGPSSSLSPDKVRAETVCSVKSKSTGPTGSLPEDNFPLPCCDSTT SGERDGDLPQLCSFEQQANNQPSLASTACASGSDSRELSPASITNCSDPSERNQARPILP RGPGQRCRYEQQEPLGDVVEYIIRELQGISRLQSEIAELQQHLNQVQGSVDEVSSCVDSV LSEIEGLHVGSSSLGKVCSGEKAQELHVERSREEAILYLYGLPEHDGENTMELVDNFLAK HLCVNGMQCNRYIQEAYRTGTAPAPRPTVVKLVHLEHRDLILQKSILLQSVGVRVATREE PVWSEGCEDPPKESLSCLQQLQDHSWNSLNQDKPALRLETGNRKQMTEPHQIRTQNQQRG PQASEHQGPRLLPKDGLAKQSDVSKLQEEVKGTSGASWVTSDCCGELSLLHPLEGSSSVL ISKEEDCEKLQIFKQGRQEHEACKVTKLQSDCSNSIKDSSCLSLSGPLKADMVNTEDKML GCEAGLDILSPKQLEDLLADKSRRFATLSPDSTMEEVIIGPETLSNMVHIDLNEEENCTA QVLKDVFDKSSRVLADSQEDEDVEIKFHTTKLSRAIQHFRLALQGVFQKLENNGSISPED LESNESGSQSENSDRLLWTVSSGGAHDCSVESPASQGSESLLSVVSGGVGISIQGDQTSQ APSNFSLASNNSPFANSLLSFPLAPCLGSETCSRPDSPNQGKLSLEQVCAETIYLNKCIN NFKNVLREKRLRQKKLLQELVQTASHLSVEDIPSEGNQEAIQISDDGDPSLPQWLPEGPA GGLYGIDSMPDLRRKKPLPLVSDLSLVQSRKAGITSAMATRTSLKDEELKSHVYKKTLQA LIYPISCTTPHNFEVWSATTPTYCYECEGLLWGLARQGMRCSECGVKCHEKCQDLLNADC LQRAAEKSSKHGAEDRTQNIIMAMKDRMKIRERNKPEIFEVIRDVFTVSKVAHVQQMKTV KQSVLDGTSKWSAKITITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKRTKTIFGNLNPVW EEKFHFECHNSSDRIKVRVWDEDDDIKSRVKQRLKRESDDFLGQTIIEVRTLSGEMDVWY NLEKRTDKSAVSGAIRLQISVEIKGEEKVAPYHVQYTCLHENLFHYLTDIQGSGGVWIPE ARGDDAWKVYFDETAQEIVDEFAMRYGIESIYQAMTHFACLSSKYMCPGVPAVMSTLLAN INAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSTYRNNFPAGSPERL QDLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYIFNNCHDLYSHQY QLQEQPLEEPGPSIRNLDFWPKLITLIVSIIEEDKNSYTPVLSQFPQELNVGKVSAEVMW HLFAQDMKYALEEHEKDRLCKSADYMNLHFKVKWLHNEYVRDLPALQGQVPEYPAWFEQF VLQWLDENEDVSLEFLRGALERDKKDGFQQTSEHALFSCSVVDVFTQLNQSFEIIRKLEC PDPNILAHYMRRFAKTIGKVLMQYADILSKNFPAYCTKERLPCILMNNMQQLRVQLEKMF EAMGGKELDSEAADSLKELQVKLNTVLDELSMVFGNSFQVRIDECVRQMADILGQVRGTG NASPNARASVAQDADSVLRPLMDFLDGNLTLFATVCEKTVLKRVLKELWRVVMNTMERVI VLPPLTDQTGTQLILTAAKELSQLSKLKDHMVREETRNLTPKQCAVLDLALDTIKQYFHA GGNGLKKTFLEKSPDLQSLRYALSLYTQTTDTLIKTFVRSQTAQVHDGKGIRFTANEDIR PEKGAGVDDPVGEVSIQVDLFTHPGTGEHKVTVKVVAANDLKWQTAGMFRPFVEVTMVGP HQSDKKRKFTTKSKSNNWTPKYNETFHFLLGNEEGPEAYELQICVKDYCFAREDRVIGLA VMPLRDVAAKGSCACWCPLGRKIHMDETGMTILRILSQRSNDEVAREFVKLKSESRSTEE GS >ENSMUSP00000128638.1 pep:known chromosome:GRCm38:4:43255537:43258429:1 gene:ENSMUSG00000028456.18 transcript:ENSMUST00000145899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13b description:unc-13 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1342278] WLHNEYVRDLPALQGQVPEYPAWFEQFVLQWLDENEDVSLEFLRGALERDKKDGTIGKVL MQYADILSKNFPAYCTKERLPCILMNNMQQLRVQLEKMFEAMGGKELDSEAADSLKELQV KLNTVLDELSMVFGNSFQVRIDECVRQMADILGQVRGTGNASPNARASVAQDADSVLRPL MDFLDGNLTL >ENSMUSP00000122214.1 pep:known chromosome:GRCm38:17:8282904:8296885:1 gene:ENSMUSG00000023861.17 transcript:ENSMUST00000145402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpc1 description:mitochondrial pyruvate carrier 1 [Source:MGI Symbol;Acc:MGI:1915240] MAGALVRKAADYVRSKDFRDYLMSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALC CYSLTFMRFAYKVQPRNW >ENSMUSP00000119443.1 pep:known chromosome:GRCm38:17:8283762:8297661:1 gene:ENSMUSG00000023861.17 transcript:ENSMUST00000155364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpc1 description:mitochondrial pyruvate carrier 1 [Source:MGI Symbol;Acc:MGI:1915240] MAGALVRKAADYVRSKDFRDYLMSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALC CYSLTFMRFAYKVQPRNWLLFACHVTNEVAQLIQGGRLINYEMSKRPSA >ENSMUSP00000118386.1 pep:known chromosome:GRCm38:17:8283852:8297271:1 gene:ENSMUSG00000023861.17 transcript:ENSMUST00000124023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpc1 description:mitochondrial pyruvate carrier 1 [Source:MGI Symbol;Acc:MGI:1915240] MHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALCCYSLTFMRFAYKVQPRNWLLFACH VTNEVAQLIQGGRLINYEMSKRPSA >ENSMUSP00000119901.1 pep:known chromosome:GRCm38:17:8284454:8297494:1 gene:ENSMUSG00000023861.17 transcript:ENSMUST00000142594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpc1 description:mitochondrial pyruvate carrier 1 [Source:MGI Symbol;Acc:MGI:1915240] MPLRGLTWGHWVLPSPSSSPGPGLGGSGAGMCRDPVIFLDRMPKASSMKERTGGAAFIRL KCSTGRVAHTCRCHTQDAENSSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALCCY SLTFMRFAYKVQPRNWLLFACHVTNEVAQLIQGGRLINYEMSKRPSA >ENSMUSP00000116307.1 pep:known chromosome:GRCm38:17:8296370:8297449:1 gene:ENSMUSG00000023861.17 transcript:ENSMUST00000130559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpc1 description:mitochondrial pyruvate carrier 1 [Source:MGI Symbol;Acc:MGI:1915240] MRHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALCCYSLTFMRFAYKVQPRNWLLFAC HVTNEVAQLIQGGRLINYEMSKRPSA >ENSMUSP00000045654.8 pep:known chromosome:GRCm38:17:8283796:8297657:1 gene:ENSMUSG00000023861.17 transcript:ENSMUST00000046754.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpc1 description:mitochondrial pyruvate carrier 1 [Source:MGI Symbol;Acc:MGI:1915240] MAGALVRKAADYVRSKDFRDYLMSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALC CYSLTFMRFAYKVQPRNWLLFACHVTNEVAQLIQGGRLINYEMSKRPSA >ENSMUSP00000027629.8 pep:known chromosome:GRCm38:1:118627946:118685168:1 gene:ENSMUSG00000026380.10 transcript:ENSMUST00000027629.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfcp2l1 description:transcription factor CP2-like 1 [Source:MGI Symbol;Acc:MGI:2444691] MLFWHTQPEHYNQHNSGSYLRDVLALPIFKQEEPQLSPENGARLPPLQYVLCAATSPAVK LHEETLTYLNQGQSYEIRLLENRKLGDFQDLNTKYVKSIIRVVFHDRRLQYTEYQQLEGW RWSRPGDRILDIDIPLSVGILDPRASPTQLNAVEFLWDPSKRASAFIQVHCISTEFTPRK HGGEKGVPFRVQIDTFKQNESGDYSEHLHSASCQIKVFKPKGADRKQKTDREKMEKRTAQ EKEKYQPSYETTILTECSPWPDVPYQANNTPSPSYNGSPNSFGLREGNSSPNHPVEPLPL GSDHLLPSASIQDAQQWLHRNRFSQFCWLFASFSGADLLKMSRDDLVQVCGPADGIRLFN AIKGRNVRPKMTIYVCQELEQNQLPLPQKQDDSGDNSLCVYHAIFLEELTTLELTEKIAS LYSIPPQHIHRVYRQGPAGIHVVVSNEMVQNFQDESCFILSTLKAESNDGYHIILKCGL >ENSMUSP00000032732.8 pep:known chromosome:GRCm38:7:64501706:64753878:1 gene:ENSMUSG00000030519.14 transcript:ENSMUST00000032732.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apba2 description:amyloid beta (A4) precursor protein-binding, family A, member 2 [Source:MGI Symbol;Acc:MGI:1261791] MAHRKRQSTASSMLDHRARPGPIPHDQEPESEDTELPLESYVPTGLELGTLRPESPTPEE QECHNHSPDGDSSSDYVNNTSEEEDYDEGLPEEEEGVTYYIRYCPEDDSYLEGMDCNGEE YIAHGAHPVDTDECQEAVEDWTDSVGPHTHSHGAENSQEYPDGHLPIPEDDPTVLEVHDQ EEDGHYCSSKESYQDYYPPETNGNTGGASPYRMRRGDGDLEEQEEDIDQIVAEIKMSLSM TSITSASEASPEHMPELDPGDSTEACPPSDTGHGPGRQEARPKSLNLPPEVKHPGDLQRG LKTKTRTPEERPKWPQEQVCNGLEQPRKQQRSDLNGPTDNNNIPETKKVASFPSFVAVPG PCEAEDLIDGIIFAANYLGSTQLLSERNPSKNIRMMQAQEAVSRVKRMQKAAKIKKKANS EGDAQTLTEVDLFISTQRIKVLNADTQETMMDHALRTISYIADIGNIVVLMARRRMPRSA SQDCIETTPGAQEGKKQYKMICHVFESEDAQLIAQSIGQAFSVAYQEFLRANGINPEDLS QKEYSDIINTQEMYNDDLIHFSNSENCKELQLEKHKGEILGVVVVESGWGSILPTVILAN MMNGGPAARSGKLSIGDQIMSINGTSLVGLPLATCQGIIKGLKNQTQVKLNIVSCPPVTT VLIKRPDLKYQLGFSVQNGIICSLMRGGIAERGGVRVGHRIIEINGQSVVATAHEKIVQA LSNSVGEIHMKTMPAAMFRLLTGQETPLYI >ENSMUSP00000146269.1 pep:known chromosome:GRCm38:7:64501949:64695307:1 gene:ENSMUSG00000030519.14 transcript:ENSMUST00000205613.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apba2 description:amyloid beta (A4) precursor protein-binding, family A, member 2 [Source:MGI Symbol;Acc:MGI:1261791] MAHRKRQSTASSMLDHRARPGPIPHDQEPESEDTELPLESYVPTGLELGTLRPESPTPEE QECHNHSPDGDSSSDYVNNTS >ENSMUSP00000146279.1 pep:known chromosome:GRCm38:7:64502138:64695371:1 gene:ENSMUSG00000030519.14 transcript:ENSMUST00000205604.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apba2 description:amyloid beta (A4) precursor protein-binding, family A, member 2 [Source:MGI Symbol;Acc:MGI:1261791] MAHRKRQSTASSMLDHRARPGPIPHDQEPESEDTELPLESYVPTGLELGTLRPESPTPEE QECHNHSPDGDSSSDYVNNTSEEEDYDEGLPEEEEGVTYYIR >ENSMUSP00000146038.1 pep:known chromosome:GRCm38:7:64635031:64753858:1 gene:ENSMUSG00000030519.14 transcript:ENSMUST00000206246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apba2 description:amyloid beta (A4) precursor protein-binding, family A, member 2 [Source:MGI Symbol;Acc:MGI:1261791] MAHRKRQSTASSMLDHRARPGPIPHDQEPESEDTELPLESYVPTGLELGTLRPESPTPEE QECHNHSPDGDSSSDYVNNTSEEEDYDEGLPEEEEGVTYYIRYCPEDDSYLEGMDCNGEE YIAHGAHPVDTDECQEAVEDWTDSVGPHTHSHGAENSQEYPDGHLPIPEDDPTVLEVHDQ EEDGHYCSSKESYQDYYPPETNGNTGGASPYRMRRGDGDLEEQEEDIDQIVAEIKMSLSM TSITSASEASPEHMPELDPGDSTEACPPSDTGHGPGRQEARPKSLNLPPEVKHPGDLQRG LKTKTRTPEERPKWPQEQVCNGLEQPRKQQRSDLNGPTDNNNIPETKKVASFPSFVAVPG PCEAEDLIDGIIFAANYLGSTQLLSERNPSKNIRMMQAQEAVSRVKNSEGDAQTLTEVDL FISTQRIKVLNADTQETMMDHALRTISYIADIGNIVVLMARRRMPRSASQDCIETTPGAQ EGKKQYKMICHVFESEDAQLIAQSIGQAFSVAYQEFLRANGINPEDLSQKEYSDIINTQE MYNDDLIHFSNSENCKELQLEKHKGEILGVVVVESGWGSILPTVILANMMNGGPAARSGK LSIGDQIMSINGTSLVGLPLATCQGIIKGLKNQTQVKLNIVSCPPVTTVLIKRPDLKYQL GFSVQNGIICSLMRGGIAERGGVRVGHRIIEINGQSVVATAHEKIVQALSNSVGEIHMKT MPAAMFRLLTGQETPLYI >ENSMUSP00000146108.1 pep:known chromosome:GRCm38:7:64744583:64753405:1 gene:ENSMUSG00000030519.14 transcript:ENSMUST00000206630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apba2 description:amyloid beta (A4) precursor protein-binding, family A, member 2 [Source:MGI Symbol;Acc:MGI:1261791] XSLVGLPLATCQGIIKGLKNQTQVKLNIVSCPPVTTVLIKRPDLKYQLGFSVQNGIIHMK TMPAAMFRLLTGQETPLYI >ENSMUSP00000052986.5 pep:known chromosome:GRCm38:3:95228732:95236409:1 gene:ENSMUSG00000046722.14 transcript:ENSMUST00000053872.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42se1 description:CDC42 small effector 1 [Source:MGI Symbol;Acc:MGI:1889510] MSEFWHKLGCCVVEKPQPKKKRRRIDRTMIGEPMNFVHLTHIGSGEMGAGDGLAMTGAVQ EQMRSKGNHRDRPWSNSRAL >ENSMUSP00000102819.2 pep:known chromosome:GRCm38:3:95228952:95234582:1 gene:ENSMUSG00000046722.14 transcript:ENSMUST00000107201.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42se1 description:CDC42 small effector 1 [Source:MGI Symbol;Acc:MGI:1889510] MSEFWHKLGCCVVEKPQPKKKRRRIDRTMIGEPMNFVHLTHIGSGEMGAGDGLAMTGAVQ EQMRSKGNHRDRPWSNSRAL >ENSMUSP00000102818.1 pep:known chromosome:GRCm38:3:95232287:95234540:1 gene:ENSMUSG00000046722.14 transcript:ENSMUST00000107200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42se1 description:CDC42 small effector 1 [Source:MGI Symbol;Acc:MGI:1889510] MIGEPMNFVHLTHIGSGEMGAGDGLAMTGAVQEQMRSKGNHRDRPWSNSRAL >ENSMUSP00000102817.1 pep:known chromosome:GRCm38:3:95232293:95234662:1 gene:ENSMUSG00000046722.14 transcript:ENSMUST00000107199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42se1 description:CDC42 small effector 1 [Source:MGI Symbol;Acc:MGI:1889510] MIGEPMNFVHLTHIGSGEMGAGDGLAMTGAVQEQMRSKGNHRDRPWSNSRAL >ENSMUSP00000036484.5 pep:known chromosome:GRCm38:2:156065244:156069501:1 gene:ENSMUSG00000038180.11 transcript:ENSMUST00000038860.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag4 description:sperm associated antigen 4 [Source:MGI Symbol;Acc:MGI:2444120] MRRSPRSGSAASSHNHTPNFYSENSNSSHSATSGDSNGRRSAGPELGEPEGRRARGSSCG EPALSPGMPGGDTWAGSSRPKLAPRSHNGQTACGAATVRGGASEPSGSSVVLEEQLNLLP ILDLRQEMPTPRVSKSFLSLLFQVLSMVLSLAVDGLVCVCREICSIRFLFTAVSLLSIFL AALWWGLLYLIPPLENEPTEMLTLSQYHHRVHSQGQQLQQLQAELNKLHKEVSSVRAAHS ERVAKLVFQRLNEDFVRKPDYALSSVGASIDLEKTSSDYEDQNTAYFWNRLSFWNYARPP SVILEPDVFPGNCWAFEGDKGQVVIRLPGHVQLSDITLQHPPPTVAHTGGASSAPRDFAV YGLQADDETEVFLGKFIFDVQKSEIQTFHLQNDPPSAFPKVKIQILSNWGHPRFTCLYRV RAHGVRTSEWADDNATGVTGGPH >ENSMUSP00000121781.1 pep:known chromosome:GRCm38:2:156065437:156067322:1 gene:ENSMUSG00000038180.11 transcript:ENSMUST00000138178.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spag4 description:sperm associated antigen 4 [Source:MGI Symbol;Acc:MGI:2444120] NSSHSATSGDSNGRRSAGPELGEPEGRRARGSSCGEPALSPGMPGGDTWAGSSRPKLAPR SHNGQTACGAATNRLDLL >ENSMUSP00000120079.1 pep:known chromosome:GRCm38:2:156065553:156067528:1 gene:ENSMUSG00000038180.11 transcript:ENSMUST00000131144.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spag4 description:sperm associated antigen 4 [Source:MGI Symbol;Acc:MGI:2444120] XSPGMPGGDTWAGSSRPKLAPRSHNGQTACGAATNRLDLL >ENSMUSP00000118715.1 pep:known chromosome:GRCm38:2:156065742:156068050:1 gene:ENSMUSG00000038180.11 transcript:ENSMUST00000137966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag4 description:sperm associated antigen 4 [Source:MGI Symbol;Acc:MGI:2444120] MAEEVPQGRQPSGSSVVLEEQLNLLPILDLRQEMPTPRVSKSFLSLLFQVLSMVLSLAVD GLVCVCREICSIRFLFTAVSLLSIFLAALWWGLLYLIPPLENEPTEMLTLSQYHHRVHSQ GQQLQQLQAELNKLHKEVSSVRAAHSERVAKLVFQRLNEDFVRKPDYALSSVGASIDLEK TSSDYEDQNTAYFWNRLSFWNYARPPSVIL >ENSMUSP00000041006.3 pep:known chromosome:GRCm38:5:90490737:90508907:1 gene:ENSMUSG00000054932.6 transcript:ENSMUST00000042755.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afp description:alpha fetoprotein [Source:MGI Symbol;Acc:MGI:87951] MKWITPASLILLLHFAASKALHENEFGIASTLDSSQCVTEKNVLSIATITFTQFVPEATE EEVNKMTSDVLAAMKKNSGDGCLESQLSVFLDEICHETELSNKYGLSGCCSQSGVERHQC LLARKKTAPASVPPFQFPEPAESCKAHEENRAVFMNRFIYEVSRRNPFMYAPAILSLAAQ YDKVVLACCKADNKEECFQTKRASIAKELREGSMLNEHVCSVIRKFGSRNLQATTIIKLS QKLTEANFTEIQKLALDVAHIHEECCQGNSLECLQDGEKVMTYICSQQNILSSKIAECCK LPMIQLGFCIIHAENGVKPEGLSLNPSQFLGDRNFAQFSSEEKIMFMASFLHEYSRTHPN LPVSVILRIAKTYQEILEKCSQSGNLPGCQDNLEEELQKHIEESQALSKQSCALYQTLGD YKLQNLFLIGYTRKAPQLTSAELIDLTGKMVSIASTCCQLSEEKWSGCGEGMADIFIGHL CIRNEASPVNSGISHCCNSSYSNRRLCITSFLRDETYAPPPFSEDKFIFHKDLCQAQGKA LQTMKQELLINLVKQKPELTEEQLAAVTADFSGLLEKCCKAQDQEVCFTEEGPKLISKTR DALGV >ENSMUSP00000144019.1 pep:known chromosome:GRCm38:5:90490739:90496053:1 gene:ENSMUSG00000054932.6 transcript:ENSMUST00000200693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afp description:alpha fetoprotein [Source:MGI Symbol;Acc:MGI:87951] MKWITPASLILLLHFAASKALHENEFGIASTLDSSQCVTEKNVLSIATITFTQFVPEATE EEVNKMTSDVLAAMKKNSGDGCLESQIFFSQLSVFLDEICHETELSNKYGLSGCCSQSGV E >ENSMUSP00000144522.1 pep:known chromosome:GRCm38:5:114065514:114105851:1 gene:ENSMUSG00000029592.11 transcript:ENSMUST00000202603.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp30 description:ubiquitin specific peptidase 30 [Source:MGI Symbol;Acc:MGI:2140991] MALLWGLPTRGRYKVMKNWGVIGGIAAALAAGIYVIWGPITERKKRRKGLVPGLVNLGNT CFMNSLLQGLSACPAFVKWLEEFTTQYSRDQQGPHTHQCLSLTLLNLLKALSCQEVTEDE VLDASCLLDVLRMYRWQISSFEEQ >ENSMUSP00000031588.7 pep:known chromosome:GRCm38:5:114100315:114124720:1 gene:ENSMUSG00000029592.11 transcript:ENSMUST00000031588.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp30 description:ubiquitin specific peptidase 30 [Source:MGI Symbol;Acc:MGI:2140991] MLSSRAQAARTAADKALQRFLRTGAAVRYKVMKNWGVIGGIAAALAAGIYVIWGPITERK KRRKGLVPGLVNLGNTCFMNSLLQGLSACPAFVKWLEEFTTQYSRDQQGPHTHQCLSLTL LNLLKALSCQEVTEDEVLDASCLLDVLRMYRWQISSFEEQDAHELFHVITSSLEDERDRQ PRVTHLFDVHSLEQQSEMAPRQVTCHTRGSPHPTTNHWKSQHPFHGRLTSNMVCKHCEHQ SPVRFDTFDSLSLSIPAATWGHPLTLDHCLHHFISSESVRDVVCDNCTKIEARGTLTGEK VEHQRSTFVKQLKLGKLPQCLCIHLQRLSWSSHGTPLKRHEHVQFNEFLMMDFYKYRLLG HKPSQHGPKATENPGSAPEVQDAQAAPKPGLSQPGAPKTQIFLNGACSPSLLPALPSPVA FPLPVVPDYSSSTYLFRLMAVVVHHGDMHSGHFVTYRRSPPSAKNPLSTSNQWLWISDDT VRKASLQEVLSSSAYLLFYERVLSRVQQQGREYRSEE >ENSMUSP00000142350.1 pep:known chromosome:GRCm38:5:114100360:114121096:1 gene:ENSMUSG00000029592.11 transcript:ENSMUST00000200119.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp30 description:ubiquitin specific peptidase 30 [Source:MGI Symbol;Acc:MGI:2140991] MLSSRAQAARTAADKALQRFLRTGAAVRYKVMKNWGVIGGIAAALAAGIYVIWGPITERK KRRKGLVPGLVNLGNTCFMNSLLQGLSACPAFVKWLEEFTTQYSRDQQGPHTHQCLSLTL LNLLKALSCQEVTEDEVLDASCLLDVLRMYRWQISSFEEQDAHELFHVITSSLEDERDRQ PRVTHLFDVHSLEQSEMAPRQVTCHTRGSPHPTTNHWKSQHPFHGRLTSNMVCKHCEHQS PVRFDTFDSLSLSIPAATWGHPLTLDHCLHHFISSESVRDVVCDNCTKIEARGTLTGEKV EHQRSTFVKQLKLGKLPQCLCIHLQRLSWSSHGTPLKRHEHVQFNEFLMMDFYKYRLLGH KPSQHGPKATENPGSAPEVQDAQAAPKPGLSQPGAPKTQIFLNGACSPSL >ENSMUSP00000097722.1 pep:known chromosome:GRCm38:2:37104695:37105648:-1 gene:ENSMUSG00000075377.1 transcript:ENSMUST00000100144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr362 description:olfactory receptor 362 [Source:MGI Symbol;Acc:MGI:3030196] MSCAPNASHSPIFLLLGFSRAGVPHTFLFLLFLFIYLTTILGNVTLVLLISWDSRLHSPM YYLLRGLSMIDLGLSTVTLPQLLVHLSSDSPAIPAARCLTQFFFFYAFGVTDTLVIAVMA LDRYVAICDPLHYALVMNRQICARLLALSWVVSIVHTMLHVGLILPLCWAGDAGGNVKLP HFFCDHRPLLRASCSDTHSNELAIFLEGGFLMLGPCSLIVLSYARIGITILRLPSAAGRR RAVSTCGSHLTMVGFLYGTIIWVYFQPPSQNSRNQDMVASVMYTAITPLANPFVYSLRNK DVKGALHRLLRQGRVDS >ENSMUSP00000030560.2 pep:known chromosome:GRCm38:4:130164454:130174802:-1 gene:ENSMUSG00000028776.14 transcript:ENSMUST00000030560.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tinagl1 description:tubulointerstitial nephritis antigen-like 1 [Source:MGI Symbol;Acc:MGI:2137617] MWGCWLGLLLLLLAGQAALEARRSRWRRELAPGLHLRGIRDAGGRYCQEQDMCCRGRADE CALPYLGATCYCDLFCNRTVSDCCPDFWDFCLGIPPPFPPVQGCMHGGRIYPVFGTYWDN CNRCTCHEGGHWECDQEPCLVDPDMIKAINRGNYGWQAGNHSAFWGMTLDEGIRYRLGTI RPSSTVMNMNEIYTVLGQGEVLPTAFEASEKWPNLIHEPLDQGNCAGSWAFSTAAVASDR VSIHSLGHMTPILSPQNLLSCDTHHQQGCRGGRLDGAWWFLRRRGVVSDNCYPFSGREQN EASPTPRCMMHSRAMGRGKRQATSRCPNGQVDSNDIYQVTPAYRLGSDEKEIMKELMENG PVQALMEVHEDFFLYQRGIYSHTPVSQGRPEQYRRHGTHSVKITGWGEETLPDGRTIKYW TAANSWGPWWGERGHFRIVRGTNECDIETFVLGVWGRVGMEDMGHH >ENSMUSP00000101621.2 pep:known chromosome:GRCm38:4:130165602:130174802:-1 gene:ENSMUSG00000028776.14 transcript:ENSMUST00000105999.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tinagl1 description:tubulointerstitial nephritis antigen-like 1 [Source:MGI Symbol;Acc:MGI:2137617] MWGCWLGLLLLLLAGQAALEARRSRWRRELAPGLHLRGIRDAGGRYCQEQDMCCRGRADE CALPYLGATCYCDLFCNRTVSDCCPDFWDFCLGIPPPFPPVQGCMHGGRIYPVFGTYWDN CNRCTCHEGGHWECDQEPCLVDPDMIKAINRGNYGWQAGNHSAFWGMTLDEGIRYRLGTI RPSSTVMNMNEIYTVLGQGEVLPTAFEASEKWPNLIHEPLDQGNCAGSWAFSTAAVASDR VSIHSLGHMTPILSPQNLLSCDTHHQQGCRGGRLDGAWWFLRRRGVVSDNCYPFSGREQN EASPTPRCMMHSRAMGRGKRQATSRCPNGQVDSNDIYQVTPAYRLGSDEKEIMKELMENG PVQALMEVHEDFFLYQRGIYSHTPVSQGRPEQYRRHGTHSVKITGWGEETLPDGRTIKYW TAANSWGPWWGERGHFRIVRGTNECDIETFVLGVWGRVGMEDMGHH >ENSMUSP00000134900.1 pep:known chromosome:GRCm38:4:130165604:130174792:-1 gene:ENSMUSG00000028776.14 transcript:ENSMUST00000175992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tinagl1 description:tubulointerstitial nephritis antigen-like 1 [Source:MGI Symbol;Acc:MGI:2137617] MWGCWLGLLLLLLAGQAALEARRSRWRRELAPGLHLRGIRDAGGRYCQEQDMCCRGRADE CALPYLGATCYCDLFCNRTVSDCCPDFWDFCLGIPPPFPPVQGCMHGGRIYPVFGTYWDN CNRWWQAGNHSAFWGMTLDEGIRYRLGTIRPSSTVMNMNEIYTVLGQGEVLPTAFEASEK WPNLIHEPLDQGNCAGSWAFSTAAVASDRVSIHSLGHMTPILSPQNLLSCDTHHQQGCRG GRLDGAWWFLRRRGVVSDNCYPFSGREQNEASPTPRCMMHSRAMGRGKRQATSRCPNGQV DSNDIYQVTPAYRLGSDEKEIMKELMENGPVQALMEVHEDFFLYQRGIYSHTPVSQGRPE QYRRHGTHSVKITGWGEETLPDGRTIKYWTAANSWGPWWGERGHFRIVRGTNECDIETFV LGVWGRVGMEDMGHH >ENSMUSP00000101620.1 pep:known chromosome:GRCm38:4:130165719:130175122:-1 gene:ENSMUSG00000028776.14 transcript:ENSMUST00000105998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tinagl1 description:tubulointerstitial nephritis antigen-like 1 [Source:MGI Symbol;Acc:MGI:2137617] MWGCWLGLLLLLLAGQAALEARRSRWRRELAPGLHLRGIRDAGGRYCQEQDMCCRGRADE CALPYLGATCYCDLFCNRTVSDCCPDFWDFCLGIPPPFPPVQGCMHGGRIYPVFGTYWDN CNRCTCHEGGHWECDQEPCLVDPDMIKAINRGNYGWQAGNHSAFWGMTLDEGIRYRLGTI RPSSTVMNMNEIYTVLGQGEVLPTAFEASEKWPNLIHEPLDQGNCAGSWAFSTAAVASDR VSIHSLGHMTPILSPQNLLSCDTHHQQGCRGGRLDGAWWFLRRRGVVSDNCYPFSGREQN EASPTPRCMMHSRAMGRGKRQATSRCPNGQVDSNDIYQVTPAYRLGSDEKEIMKELMENG PVQALMEVHEDFFLYQRGIYSHTPVSQGRPEQYRRHGTHSVKITGWGEETLPDGRTIKYW TAANSWGPWWGERGHFRIVRGTNECDIETFVLGVWGRVGMEDMGHH >ENSMUSP00000135453.1 pep:known chromosome:GRCm38:4:130169113:130174691:-1 gene:ENSMUSG00000028776.14 transcript:ENSMUST00000132545.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tinagl1 description:tubulointerstitial nephritis antigen-like 1 [Source:MGI Symbol;Acc:MGI:2137617] MWGCWLGLLLLLLAGQAALEARRSRWRRELAPGLHLRGIRDAGGRYCQEQDMCCRGRADE CALPYLGATCYCDLFCNRTVSDCCPDFWDFCLGIPPPFPPVQGCMHGGRIYPVFGTYWDN CNRCTCHEGGHWECDQEPCLVDPDMIKAINRGNYGWQAGNH >ENSMUSP00000078611.4 pep:known chromosome:GRCm38:6:57002300:57003363:1 gene:ENSMUSG00000058923.5 transcript:ENSMUST00000079669.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r6 description:vomeronasal 1 receptor 6 [Source:MGI Symbol;Acc:MGI:2159456] MFSLKNVLYFQAGFGVLANMFLVCFYIYIILGHRPKPTDLISCQLTLVHIMMFLTGGDVW LTDLFESLNIENDFKCKATFYISRVMRGLSICTTCLLSVFQAVTISPNTTFLAKYKQKLK NYMIYAFLCMWSFNLSFSSNRIFFVGAYTNVSETKQMKATKYCSLLPMNNIIRGVILTVT TSRDVFLVGAMLTTSTYMVIILSRHQRQCKHLHSINHMRASPEKRATQTILMLVVFFVVM YWVDFIISTTSFLLWRYDPVILTLQKFVMNAYPTITPLIQVSFDNRIIIMLKNLQSKHYH LYF >ENSMUSP00000060836.3 pep:known chromosome:GRCm38:8:19225478:19228209:1 gene:ENSMUSG00000050756.3 transcript:ENSMUST00000063112.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb6 description:defensin beta 6 [Source:MGI Symbol;Acc:MGI:2151044] MKIHYLLFAFILVMLSPLAAFSQLINSPVTCMSYGGSCQRSCNGGFRLGGHCGHPKIRCC RRK >ENSMUSP00000013262.8 pep:known chromosome:GRCm38:11:59485520:59506644:-1 gene:ENSMUSG00000020472.14 transcript:ENSMUST00000013262.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan17 description:zinc finger with KRAB and SCAN domains 17 [Source:MGI Symbol;Acc:MGI:2679270] MPTALCPRVLAPKESEEPRKMRSPPGENPSPQGEPPSPESSRRLFRRFRYQEAAGPREAL QRLWELCRGWLRLERHTKEQILELLVLEQFLAILPWEIQSWVRAQEPESGEQAVAAVEAL EREPGRPWQWLKHCEDPVVIDDGDGPAAPQDLEQERMSAESQSYPDAPPGALVQGTGLLS RSPGQPSEDLVPQDAFVVQEQSIRDAQPVATCQLPPNRVSPFKDMILCFSEEDWSLLDPA QTGFYGEFIIGEDYAVSMPPNEPPVQPGHSHEEENGLRVTEWTTDLQDKEIPQASCLDLS SLQPFQGEERRKWEELQVPELQPCPQVVLSQSPCPAGGDPPALKSSLDQEVTIEIVLSSS GDEDSQHSPYCTEELRSPPEDLHSVPAHQSNASAEGEVQTSQKSYVCPNCGKIFRWRVNF IRHLRSRREQKPHKCSVCGELFSDSEDLDGHLETHEAQKPYRCTACGKSFRLNSHLISHR RIHLQPASQQPMKKSEEEALETEGTGASDLLEKSKAKLSFQCGDCEKSFQRHDHLVRHRR HCHLKDETRPFQCRYCVKTFRQNYDLLRHERLHMKRRSKQALNSY >ENSMUSP00000098709.2 pep:known chromosome:GRCm38:11:59485520:59506644:-1 gene:ENSMUSG00000020472.14 transcript:ENSMUST00000101150.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan17 description:zinc finger with KRAB and SCAN domains 17 [Source:MGI Symbol;Acc:MGI:2679270] MSAESQSYPDAPPGALVQGTGLLSRSPGQPSEDLVPQDAFVVQEQSIRDAQPVATCQLPP NRVSPFKDMILCFSEEDWSLLDPAQTGFYGEFIIGEDYAVSMPPNEPPVQPGHSHEEENG LRVTEWTTDLQDKEIPQASCLDLSSLQPFQGEERRKWEELQVPELQPCPQVVLSQSPCPA GGDPPALKSSLDQEVTIEIVLSSSGDEDSQHSPYCTEELRSPPEDLHSVPAHQSNASAEG EVQTSQKSYVCPNCGKIFRWRVNFIRHLRSRREQKPHKCSVCGELFSDSEDLDGHLETHE AQKPYRCTACGKSFRLNSHLISHRRIHLQPASQQPMKKSEEEALETEGTGASDLLEKSKA KLSFQCGDCEKSFQRHDHLVRHRRHCHLKDETRPFQCRYCVKTFRQNYDLLRHERLHMKR RSKQALNSY >ENSMUSP00000097403.1 pep:known chromosome:GRCm38:2:88728653:88729597:-1 gene:ENSMUSG00000075119.1 transcript:ENSMUST00000099815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1197 description:olfactory receptor 1197 [Source:MGI Symbol;Acc:MGI:3031031] MENHKNVTEFIFMGLWQNRQIELLFFFLFLLCYLAVLMGNSVILLTITCSHLIEQPMYYF LCHLSLMDLCYTSTVIPRLIRDLAATRKNISYNECMTQLFTAHLLAGVEIFILVSMALDR YVAIVKPLHYMVIMSRKRCDMLIVTAWILGFWHSIALLLMVLSLPFCGPNHINHYLCDIK PLLKLVCKDLHVVSILTIANSGMVVVAIFIVLLVSYILILYSLRTRSSAGKRKALSTCSS HIMVVVLFFGPCIYTYVLPVGSENKDKEISVFYTVIAPMLNPLIYTLRNSEMKSAMHKVW SRLSLRVEVSERIL >ENSMUSP00000130831.1 pep:known chromosome:GRCm38:8:105382807:105413502:-1 gene:ENSMUSG00000051648.13 transcript:ENSMUST00000167294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd19 description:potassium channel tetramerisation domain containing 19 [Source:MGI Symbol;Acc:MGI:3045294] MEEPGGLHESAEDLFHFNVGGWHFSVPRSKLAQFPDSLLWKEASALTSSENQRLFIDRDG STFRHVHYYLYTSKLSFSSCAELNLLYEQALGLQLMPLLQTLDNLKEGRHHLRVRPADIP VAERASLNYWRTWKCISKPSDFPIKSPAFTGLHDKAPLGLMDTPLLDTEEEVHYCFLPLD LVAKYPSLVTEDNLLWLAETVALIECECSEFRFIVNFLRSHKILLPDNFSNIDVLEAEVE ILEIPELTEAVRLYRMNMGGCSRTSCPPLSPGKGGRTASVESVKPLYLMALGLLVKYPDS ALGQLRIESTLDGSRLYITGNGALFQHVRNWLGTCRLPLTETISEVYELCAFLDKRDITY EPMKVALKTHLEPRTLLPVDVLSEEWTAEVTIYSPQQIIKLYVGSHWYATTLQTLMKYPE LLSNTQRVYWIAYGQTLLIHGDGQMFRHILNFLRLGKLFLPSEFKEWPLFCQEVEEYHIP ALSEALAQCEAYKSWTQEKESENEEAFPIRKLHVVTEGTGPMAEFSRDAKETTACMPVDF QECSDRTPWNKAKGNLTRSSQMEEAEQYTRTIQVSLCRNAKRGGNPSTYSHCSGLCANPR HWGGHSESPPKKKCTTINLTQKPDAKDPPVTPMQKLISLVREWDMVNCKQWEFQPLPASR SSSVEEASLHVPSGSEAAPQPGTSAAWKAHSITSEKDAGPQTGPGAGVKDKGPEPTFKPY FPTKRAITLKDWGKQRPKDRESPAPEQPLPNANGTDNPGAILKVAHPPVVGNDGSCMFFE DSIIYTTQMDNIKHTSLTASPQLREVTFLSFSLSWEEMFYAQKCHRFLTDIILDSIRQKD PKAITAKVVSLAYRLWTLNISPKQFVVDLLAIAGFKDDRHTQERLYSWVELTLPFARKYG RCVDLLIQRGLSRSVSYSVLGKYLHEG >ENSMUSP00000050687.6 pep:known chromosome:GRCm38:8:105382807:105413502:-1 gene:ENSMUSG00000051648.13 transcript:ENSMUST00000063071.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd19 description:potassium channel tetramerisation domain containing 19 [Source:MGI Symbol;Acc:MGI:3045294] MEEPGGLHESAEDLFHFNVGGWHFSVPRSKLAQFPDSLLWKEASALTSSENQRLFIDRDG STFRHVHYYLYTSKLSFSSCAELNLLYEQALGLQLMPLLQTLDNLKEGRHHLRVRPADIP VAERASLNYWRTWKCISKPSDFPIKSPAFTGLHDKAPLGLMDTPLLDTEEEVHYCFLPLD LVAKYPSLVTEDNLLWLAETVALIECECSEFRFIVNFLRSHKILLPDNFSNIDVLEAEVE ILEIPELTEAVRLYRMNMALQPALPGPKGSQLSLEQEEPSLGGCSRTSCPPLSPGKGGRT ASVESVKPLYLMALGLLVKYPDSALGQLRIESTLDGSRLYITGNGALFQHVRNWLGTCRL PLTETISEVYELCAFLDKRDITYEPMKVALKTHLEPRTLLPVDVLSEEWTAEVTIYSPQQ IIKLYVGSHWYATTLQTLMKYPELLSNTQRVYWIAYGQTLLIHGDGQMFRHILNFLRLGK LFLPSEFKEWPLFCQEVEEYHIPALSEALAQCEAYKSWTQEKESENEEAFPIRKLHVVTE GTGPMAEFSRDAKETTACMPVDFQECSDRTPWNKAKGNLTRSSQMEEAEQYTRTIQVSLC RNAKRGGNPSTYSHCSGLCANPRHWGGHSESPPKKKCTTINLTQKPDAKDPPVTPMQKLI SLVREWDMVNCKQWEFQPLPASRSSSVEEASLHVPSGSEAAPQPGTSAAWKAHSITSEKD AGPQTGPGAGVKDKGPEPTFKPYFPTKRAITLKDWGKQRPKDRESPAPEQPLPNANGTDN PGAILKVAHPPVVGNDGSCMFFEDSIIYTTQMDNIKHTSLTASPQLREVTFLSFSLSWEE MFYAQKCHRFLTDIILDSIRQKDPKAITAKVVSLAYRLWTLNISPKQFVVDLLAIAGFKD DRHTQERLYSWVELTLPFARKYGRCVDLLIQRGLSRSVSYSVLGKYLHEG >ENSMUSP00000130914.1 pep:known chromosome:GRCm38:8:105382942:105385417:-1 gene:ENSMUSG00000051648.13 transcript:ENSMUST00000168196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd19 description:potassium channel tetramerisation domain containing 19 [Source:MGI Symbol;Acc:MGI:3045294] CMFFEDSIIYTTQMDNIKHTSLTASPQLRVTFLSFSLSWEEMFYAQKCHRFLTDIILDSI RQKDPKAITAKVVSLAYRLWTLNISPKQFVVDLLAIAGFKDDRHTQERLYSWVEKIWPLR GPAHPEGTVQVCLLFSPGQVFTRGLENPEM >ENSMUSP00000131732.1 pep:known chromosome:GRCm38:8:105393826:105413456:-1 gene:ENSMUSG00000051648.13 transcript:ENSMUST00000168888.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kctd19 description:potassium channel tetramerisation domain containing 19 [Source:MGI Symbol;Acc:MGI:3045294] MEEPGGLHESAEDLFHFNVGGWHFSVPRSKLAQFPDSLLWKEASALTSSENQRLFIDRDG STFRHVHYYLYTSKLSFSSCAELNLLYEQALGLQLMPLLQVFMTRPLWDSWTHRCWTQKR KCTTASYPSTWWPSTQA >ENSMUSP00000017309.1 pep:known chromosome:GRCm38:11:100334407:100336996:1 gene:ENSMUSG00000017165.1 transcript:ENSMUST00000017309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gast description:gastrin [Source:MGI Symbol;Acc:MGI:104768] MPRLCVYMLVLVLALATFSEASWKPRSQLQDASSGPGTNEDLEQRQFNKLGSASHHRRQL GPQGPQHFIADLSKKQRPRMEEEEEAYGWMDFGRRSAEEDQ >ENSMUSP00000120741.1 pep:known chromosome:GRCm38:17:25343245:25345527:-1 gene:ENSMUSG00000024173.10 transcript:ENSMUST00000153118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpsab1 description:tryptase alpha/beta 1 [Source:MGI Symbol;Acc:MGI:96943] MNLPSCSQVPGLCAPQPVGPRLALTSNWARTTPHCVQMLKLLLLTLPLLSSLVHAAPGPA MTREGIVGGQEAHGNKWPWQVSLRANDTYWMHFCGGSLIHPQWVLTAAHCVGPDVADPNK VRVQLRKQYLYYHDHLMNVSQIITHPDFYIVQDGADIALLKLTNPVNISDYVHPVPLPPA SETFPSGTLCWVTGWGNIDNGVNLPPPFPLKEVQVPIIENHLCDLKYHKGLITGDNVHIV RDDMLCAGNEGHDSCQGDSGGPLVCKVEDTWLQAGVVSWGEDCAQPNRPGIYTRVTYYLD WIHRYVPKDF >ENSMUSP00000124819.1 pep:known chromosome:GRCm38:3:57644349:57651715:-1 gene:ENSMUSG00000036513.15 transcript:ENSMUST00000160959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd2 description:COMM domain containing 2 [Source:MGI Symbol;Acc:MGI:1098806] MLLDLSEEHKEHLAFLPQVDTAVVAEFGRIAVEFLRRGSNPKIYEGAARKLNVSSDTIQH GVEGLTYLLTESSKLMISELDFQDSVFVLGFSEELNKLLLQLYLDNRKEIRTILNELAPR LPSYHSLEWRLDVQLASRSLRQQIKPAVTIKLHLDQNGDHSTHFLQTDPATLLHLVQQLE QALEEMKTNHCRRVVRSIK >ENSMUSP00000042228.9 pep:known chromosome:GRCm38:3:57646359:57651708:-1 gene:ENSMUSG00000036513.15 transcript:ENSMUST00000041954.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Commd2 description:COMM domain containing 2 [Source:MGI Symbol;Acc:MGI:1098806] MLLDLSEEHKEHLAFLPQVDTAVVAEFGRIAVEFLRRGSNPKIYEGAANF >ENSMUSP00000017408.7 pep:known chromosome:GRCm38:4:148558429:148582401:1 gene:ENSMUSG00000017264.16 transcript:ENSMUST00000017408.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc10 description:exosome component 10 [Source:MGI Symbol;Acc:MGI:1355322] MAPPSPREHQSAPATSATKPDAEMVLPGFPDADSFVKFALGSVVAVTKASGGLPQFGDEY DFYRSFPAFQAFCETQGDRLLQCMSRVMQYHGCRSNIKDRSKVTELEDKFDLLVDTNDVI LERVGMLLDEASGVNKHQQPVLPAGLQVPKTIVSSWNRKAGEYGKKAKSETFRLLHAKNI VRPQLRFREKIDNSNTPFLPKIFVKPNARKPLPLALSKERRERPQDRPEDLDVPPALADF IHQQRTQQVEQDMFAHPYQYELDHFTPPQSVLQRPKPQLYRAVGETPCHLVSSLDELVEL NEKLLGCQEFAVDLEHHSYRSFLGLTCLMQISTRTEDFIVDTLELRSDMYILNESLTDPA IVKVFHGADSDIEWLQKDFGLYVVNMFDTHQAARLLNLARHSLDHLLRLYCGVESNKQYQ LADWRIRPLPEEMLSYARDDTHYLLYIYDRMRLELWERGNHQPVQLQVVWQRSRDICLKK FVKPIFTDESYLELYRKQKKHLNSQQLTAFQLLFAWRDKTARREDESYGYVLPNHMMLKI AEELPKEPQGIIACCNPVPPLVRQQINEMHLLIQQAREMPLLKSENAAGVRKSGPLPSAE RLENDLFGPHDCSHAPPDNYQNTSTDGTLPLQKQPSLFTEGKEETSVDAGCLLATAVITL FSEPNTEEGGKTPLTVAQKKAQNIMQSFENPFRMFLPSLEHKAHISQAAKFDPSSKIYEI SNRWKLASQVQVQKEPKEATKKKVAEQTAAREEAKEEAAAGVLEQAIPVRQQAALENATK KRERATSDLRTIEQKQEKKRLKSSKKAKDPDPPGKDFSPYDYSQSDFRAFAGDSKSKPSS QFDPNKLAPSGKKGVGAKKCKQSVGNKSMSFAVGKSDRGFRHNWPKR >ENSMUSP00000095388.4 pep:known chromosome:GRCm38:4:148558455:148582393:1 gene:ENSMUSG00000017264.16 transcript:ENSMUST00000097781.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Exosc10 description:exosome component 10 [Source:MGI Symbol;Acc:MGI:1355322] MAPPSPREHQSAPATSATKPDAEMVLPGFPDADSFVKFALGSVVAVTKASGGLPQFGDEY DFYRSFPAFQAFCETQGDRLLQCMSRVMQYHGCRSNIKDRSKVTELEDKFDLLVDTNDVI LERVGMLLDEASGVNKHQQPVLPAGLQVPKTIVSSWNRKAGEYGKKAKSETFRLLHAKNI VRPQLRFREKIDNSNTPFLPKIFVKPNARKPLPLALSKERRERPQDRPEDLDVPPALADF IHQQRTQQVEQDMFAHPYQYELDHFTPPQSVLQRPKPQLYRAVGETPCHLVSSLDELVEL NEKLLGCQEFAVDLEHHSYRSFLGLTCLMQISTRTEDFIVDTLELRSDMYILNESLTDPA IVKVFHGADSDIEWLQKDFGLYVVNMFDTHQAARLLNLARHSLDHLLRLYCGVESNKQYQ LADWRIRPLPEEMLSYARDDTHYLLYIYDRMRLELWERGNHQPVQLQVVWQRSRDICLKK FVKPIFTDESYLELYRKQKKHLNSQQLTAFQLLFAWRDKTARREDESYGYVLPNHMMLKI AEELPKEPQGIIACCNPVPPLVRQQINEMHLLIQQAREMPLLKSENAAGVRKSGPLPSAE VQCHGCCVTYGRGGDHSP >ENSMUSP00000075401.6 pep:known chromosome:GRCm38:4:148558462:148582401:1 gene:ENSMUSG00000017264.16 transcript:ENSMUST00000076022.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc10 description:exosome component 10 [Source:MGI Symbol;Acc:MGI:1355322] MAPPSPREHQSAPATSATKPDAEMVLPGFPDADSFVKFALGSVVAVTKASGGLPQFGDEY DFYRSFPAFQAFCETQGDRLLQCMSRVMQYHGCRSNIKDRSKVTELEDKFDLLVDTNDVI LERVGMLLDEASGVNKHQQPVLPAGLQVPKTIVSSWNRKAGEYGKKAKSETFRLLHAKNI VRPQLRFREKIDNSNTPFLPKIFVKPNARKPLPLALSKERRERPQDRPEDLDVPPALADF IHQQRTQQVEQDMFAHPYQYELDHFTPPQSVLQRPKPQLYRAVGETPCHLVSSLDELVEL NEKLLGCQEFAVDLEHHSYRSFLGLTCLMQISTRTEDFIVDTLELRSDMYILNESLTDPA IVKVFHGADSDIEWLQKDFGLYVVNMFDTHQAARLLNLARHSLDHLLRLYCGVESNKQYQ LADWRIRPLPEEMLSYARDDTHYLLYIYDRMRLELWERGNHQPVQLQVVWQRSRDICLKK FVKPIFTDESYLELYRKQKKHLNSQQLTAFQLLFAWRDKTARREDESYGYVLPNHMMLKI AEELPKEPQGIIACCNPVPPLVRQQINEMHLLIQQAREMPLLKSENAAGVRKSGPLPSAE RLENDLFGPHDCSHAPPDNYQNTSTDGTLPLQKQPSLFTEGKEETSVDAGCLLATAVITL FSEPNTEEGGKTPLTVAQKKAQNIMQSFENPFRMISNRWKLASQVQVQKEPKEATKKKVA EQTAAREEAKEEAAAGVLEQAIPVRQQAALENATKKRERATSDLRTIEQKQEKKRLKSSK KAKDPDPPGKDFSPYDYSQSDFRAFAGDSKSKPSSQFDPNKLAPSGKKGVGAKKCKQSVG NKSMSFAVGKSDRGFRHNWPKR >ENSMUSP00000133336.1 pep:known chromosome:GRCm38:4:148563075:148564171:1 gene:ENSMUSG00000017264.16 transcript:ENSMUST00000150723.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Exosc10 description:exosome component 10 [Source:MGI Symbol;Acc:MGI:1355322] XPPALADFIHQQRTQQVEQDMLASNLLCHQG >ENSMUSP00000108376.1 pep:known chromosome:GRCm38:6:119315133:119330757:-1 gene:ENSMUSG00000055003.14 transcript:ENSMUST00000112756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrtm2 description:leucine-rich repeats and transmembrane domains 2 [Source:MGI Symbol;Acc:MGI:2141485] MLAPGGGPEQRSKLVLQWRQVSWITCWIALCAVEVIPACPFSCTCDSRSLEVDCSGLGLT TVPPDVPAATQSLLLLNNKLSALPSWAFANLSNLQRLDLSNNFLDQLPRSIFEDLVNLTE LQLRNNSIRTLDRDLLQHSPLLRHLDLSINGLAQLPPGLFDGLLALRSLSLRSNRLQSLD RLTFEPLASLQLLQVGDNPWECDCNLREFKHWLEWFSYRGGRLDQLACTLPKELRGKDMR AVPMEMFNYCSQLEDENNSAGLDAPGPPCTKASPEPPKPKPGAEPEPEPSTACPQKQRYR PVSVRRAIGTVIIAGVVCGIVCIMMVVAAAYGCIYASLMAKYHRELKKRQPLMGDPEGEH EDQKQISSVA >ENSMUSP00000063882.7 pep:known chromosome:GRCm38:6:119315133:119330766:-1 gene:ENSMUSG00000055003.14 transcript:ENSMUST00000068351.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrtm2 description:leucine-rich repeats and transmembrane domains 2 [Source:MGI Symbol;Acc:MGI:2141485] MLAPGGGPEQRSKLVLQWRQVSWITCWIALCAVEVIPACPFSCTCDSRSLEVDCSGLGLT TVPPDVPAATQSLLLLNNKLSALPSWAFANLSNLQRLDLSNNFLDQLPRSIFEDLVNLTE LQLRNNSIRTLDRDLLQHSPLLRHLDLSINGLAQLPPGLFDGLLALRSLSLRSNRLQSLD RLTFEPLASLQLLQVGDNPWECDCNLREFKHWLEWFSYRGGRLDQLACTLPKELRGKDMR AVPMEMFNYCSQLEDENNSAGLDAPGPPCTKASPEPPKPKPGAEPEPEPSTACPQKQRYR PVSVRRAIGTVIIAGVVCGIVCIMMVVAAAYGCIYASLMAKYHRELKKRQPLMGDPEGEH EDQKQISSVA >ENSMUSP00000115884.1 pep:known chromosome:GRCm38:6:119323649:119329204:-1 gene:ENSMUSG00000055003.14 transcript:ENSMUST00000124192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrtm2 description:leucine-rich repeats and transmembrane domains 2 [Source:MGI Symbol;Acc:MGI:2141485] MLAPGGGPEQRSKLVLQWRQVS >ENSMUSP00000126661.1 pep:known chromosome:GRCm38:6:119315133:119329204:-1 gene:ENSMUSG00000055003.14 transcript:ENSMUST00000168793.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrtm2 description:leucine-rich repeats and transmembrane domains 2 [Source:MGI Symbol;Acc:MGI:2141485] MLAPGGGPEQRSKLVLQWRQVSWITCWIALCAVEVIPACPFSCTCDSRSLEVDCSGLGLT TVPPDVPAATQSLLLLNNKLSALPSWAFANLSNLQRLDLSNNFLDQLPRSIFEDLVNLTE LQLRNNSIRTLDRDLLQHSPLLRHLDLSINGLAQLPPGLFDGLLALRSLSLRSNRLQSLD RLTFEPLASLQLLQVGDNPWECDCNLREFKHWLEWFSYRGGRLDQLACTLPKELRGKDMR AVPMEMFNYCSQLEDENNSAGLDAPGPPCTKASPEPPKPKPGAEPEPEPSTACPQKQRYR PVSVRRAIGTVIIAGVVCGIVCIMMVVAAAYGCIYASLMAKYHRELKKRQPLMGDPEGEH EDQKQISSVA >ENSMUSP00000088456.4 pep:known chromosome:GRCm38:3:88920803:88950414:-1 gene:ENSMUSG00000068921.14 transcript:ENSMUST00000090938.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap3 description:death associated protein 3 [Source:MGI Symbol;Acc:MGI:1929538] MRAKTMLTGITRLFSRVQKLDPRCFLHMSVQATQNSQVPAERPRTVSRTSDSDPAKHGEQ HEGQHYSIPLQDLKTVFPHGLPPRYMMQVKTFGEACLMVRKPALELLGYLKNTNFAHPAV RYLLYGEKGTGKTLSLCHAVHFCARHDWLILHIPDAHLWVKNCRELLQSTHNKQRFDQPL EASTWLKNFKTTNERFLSQIKVQEKYVWNKRESTEKGSPLGEVVEQGLTRVRNATDAVGV VLKELKAQSALGLFHLLVAVDGVNALWGRTTLKKEDRTLIAPEELSLVHNLRKMVKNDWH GGAIVLSLSQTGSLFKSRTAYLPHELLGKEGFNALEPFLPILIPNYNPKEFESSFQYYLE NNWLQHEKASTEEGRKELRFLSNCNPEQLERLCASL >ENSMUSP00000103115.4 pep:known chromosome:GRCm38:3:88923635:88949951:-1 gene:ENSMUSG00000068921.14 transcript:ENSMUST00000107491.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap3 description:death associated protein 3 [Source:MGI Symbol;Acc:MGI:1929538] MRAKTMLTGITRLFSRVQKLDPRCFLHMSVQATQNSQVPAERPRTVSRTSDSDPAKHGEQ HEGQHYSIPLQDLKTVFPHGLPPRYMMQVKTFGEACLMVRKPALELLGYLKNTNFAHPAV RYLLYGEKGTGKTLSLCHAVHFCARHDWLILHIPDAHLWVKNCRELLQSTHNKQRFDQPL EASTWLKNFKTTNERFLSQIKVQEKYVWNKRESTEKGSPLGEVVEQGLTRVRNATDAVGV VLKELKAQSALGLFHLLVAVDGVNALWGRTTLKKEDRTLIAPEELSLVHNLRKMVKNDWE GFNALEPFLPILIPNYNPKEFESSFQYYLENNWLQHEKASTEEGRKELRFLSNCNPEQLE RLCASL >ENSMUSP00000133349.1 pep:known chromosome:GRCm38:3:88923762:88930985:-1 gene:ENSMUSG00000068921.14 transcript:ENSMUST00000174571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap3 description:death associated protein 3 [Source:MGI Symbol;Acc:MGI:1929538] XKPALELLGYLKNTNFAHPAVRYLLYGEKGTGKTLSLCHAVHFCARHDWLILHIPDAHLW VKNCRELLQSTHNKQRFDQPLEASTWLKNFKTTNERFLSQIAPEELSLVHNLRKMVKNDW HGGAIVLSLSQTGSLFKSRTAYLPHELLGKEGFNALEPFLPILIPNYNPKEFESSFQYYL ENNWLQHEKASTEEGRKELRFLSNCNPEQLERLCASL >ENSMUSP00000133486.1 pep:known chromosome:GRCm38:3:88923798:88931018:-1 gene:ENSMUSG00000068921.14 transcript:ENSMUST00000173094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap3 description:death associated protein 3 [Source:MGI Symbol;Acc:MGI:1929538] XKTFGEACLMVRKPALELLGYLKNTNFAHPAVRYLLYGEKGTGKTLSLCHAVHFCARHDW LILHIPDAHLWVKNCRELLQSTHNKQRFDQPLEASTWLKNFKTTNERFLSQIKVQEKYVW NKRESTEKGSPLGEVVEQIAPEELSLVHNLRKMVKNDWHGGAIVLSLSQTGSLFKSRTAY LPHELLGKEGFNALEPFLPILIPNYNPKEFESSFQYYLENNWLQHEKASTEEGRKELRFL SNCNPEQLERLCASL >ENSMUSP00000134422.1 pep:known chromosome:GRCm38:3:88923828:88951181:-1 gene:ENSMUSG00000068921.14 transcript:ENSMUST00000173135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap3 description:death associated protein 3 [Source:MGI Symbol;Acc:MGI:1929538] MLTGITRLFSRVQKLDPRCFLHMSVQATQNSQVPAERPRTVSRTSDSDPAKHGEQHEGQH YSIPLQDLKTVFPHGLPPRYMMQVKTFGEACLMVRKPALELLGYLKNTNFAHPAVRYLLY GEKGTGKTLSLCHAVHFCARHDWLILHIPDAHLWVKNCRELLQSTHNKQRFDQPLEASTW LKNFKTTNERFLSQIKVQEKYVWNKRESTEKGSPLGEVVEQGLTRVRNATDAVGVVLKEL KAQSALGLFHLLVAVDGVNALWGRTTLKKEDRTLIAPEELSLVHNLRKMVKNDWHGGAIV LSLSQTGSLFKSRTAYLPHELLGKEGFNALEPFLPILIPNYNPKEFESSFQYYLENNWLQ HEKASTEEGRKELRFLSNCNPEQLERLCASL >ENSMUSP00000134433.1 pep:known chromosome:GRCm38:3:88928474:88950298:-1 gene:ENSMUSG00000068921.14 transcript:ENSMUST00000174077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap3 description:death associated protein 3 [Source:MGI Symbol;Acc:MGI:1929538] MLTGITRLFSRVQKLDPRCFLHMSVQATQNSQVPAERPRTVSRTSDSDPAKHGEQHEGQH YSIPLQDLKTVFPHGLPPRYMMQVKTFGEACLMVRKPALELLGYLKNTNFAHPAVRYLLY GEKGTGKTLSLCHAVHFCARHDWLILHIPDAHLWVKNCRELLQSTHNKQRFDQPLEASTW LKNFKTTNERFLSQIKVQEKYVWNKRESTEKG >ENSMUSP00000133314.1 pep:known chromosome:GRCm38:3:88928508:88951108:-1 gene:ENSMUSG00000068921.14 transcript:ENSMUST00000173021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap3 description:death associated protein 3 [Source:MGI Symbol;Acc:MGI:1929538] MLTGITRLFSRVQKLDPRCFLHMSVQATQNSQVPAERPRTVSRTSDSDPAKHGEQHEGQH YSIPLQDLKTVFPHGLPPRYMMQVKTFGEACLMVRKPALELLGYLKNTNFAHPAVRYLLY GEKGTGKTLSLCHAVHFCARHDWLILHIPDAHLWVKNCRELLQSTHNKQRFDQPLEASTW LKNFKTTNERFLSQIKVQEK >ENSMUSP00000133395.1 pep:known chromosome:GRCm38:3:88929443:88950271:-1 gene:ENSMUSG00000068921.14 transcript:ENSMUST00000174402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap3 description:death associated protein 3 [Source:MGI Symbol;Acc:MGI:1929538] MLDPRCFLHMSVQATQNSQVPAERPRTVSRTSDSDPAKHGEQHEGQHYSIPLQDLKTVFP HGLPPRYMMQVKTFGEACLMVRKPALELLGYLKNTNFAHPAVRYLLYGEKGTGKTLSLCH AVHFCARHDWLILHIPDAHLWVKNCRELLQSTHNKQRFDQPLEAS >ENSMUSP00000134145.1 pep:known chromosome:GRCm38:3:88929491:88949906:-1 gene:ENSMUSG00000068921.14 transcript:ENSMUST00000172942.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap3 description:death associated protein 3 [Source:MGI Symbol;Acc:MGI:1929538] MRAKTMLTGITRLFSRVQKLDPRCFLHMSVQATQNSQVPAERPRTVSRTSDSDPVKTFGE ACLMVRKPALELLGYLKNTNFAHPAVRYLLYGEKGTGKTLSLCHAVHFCARHDWLILHIP DAHLWVKNCRELL >ENSMUSP00000134165.1 pep:known chromosome:GRCm38:3:88933603:88938521:-1 gene:ENSMUSG00000068921.14 transcript:ENSMUST00000174491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dap3 description:death associated protein 3 [Source:MGI Symbol;Acc:MGI:1929538] MEGKQASLPQLDPRCFLHMSVQATQNSQVPAERPRTVSRTSDSDPAKHGEQHEGQHYSIP LQDLKT >ENSMUSP00000133437.1 pep:known chromosome:GRCm38:18:65872820:65886579:1 gene:ENSMUSG00000024517.16 transcript:ENSMUST00000173985.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grp description:gastrin releasing peptide [Source:MGI Symbol;Acc:MGI:95833] MRGSELSLLLLALVLCQAPRGPAAPVSTGAGGGTVLAKMYPRGSHWAVGHLMGKKSTDES PSLYAADRDGLKEQLRGYVRWEEAARDLLDLLEAAGNQSHQPPQHPPLSLQPTWDPEDGS YFNDVQTAKLVDSLLQVLKEKGGTAS >ENSMUSP00000139014.1 pep:known chromosome:GRCm38:18:65873484:65880258:1 gene:ENSMUSG00000024517.16 transcript:ENSMUST00000173530.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grp description:gastrin releasing peptide [Source:MGI Symbol;Acc:MGI:95833] MRGSELSLLLLALVLCQAPRGPAAPVSTGAGGGTVLAKMYPRGSHWAVGHLMGKKSTDES PSLYAADRDGLKEQLRGYVRWEEAARDLLDLLEAAGNQSHQPPQHPPLSLQPTWDPEDGS YFNDVQTAKVKQARFR >ENSMUSP00000025395.8 pep:known chromosome:GRCm38:18:65873494:65886578:1 gene:ENSMUSG00000024517.16 transcript:ENSMUST00000025395.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grp description:gastrin releasing peptide [Source:MGI Symbol;Acc:MGI:95833] MRGSELSLLLLALVLCQAPRGPAAPVSTGAGGGTVLAKMYPRGSHWAVGHLMGKKSTDES PSLYAADRDGLKEQLRGYVRWEEAARDLLDLLEAAGNQSHQPPQHPPLSLQPTWDPEDGS YFNDVQTAKLVDSLLQVLKEKGGTAS >ENSMUSP00000099486.3 pep:known chromosome:GRCm38:4:152039336:152061494:1 gene:ENSMUSG00000028948.16 transcript:ENSMUST00000103197.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol9 description:nucleolar protein 9 [Source:MGI Symbol;Acc:MGI:1921285] MAESEVLHRRAPSRSSWLRVRKARPHLLLSRRGRRRFGVLTRVELRRLRRRLLRAHALGG DWKQVAPAGAHVAVKCKLRARSRPAPRSPPTPSVPPAPCTASATCSLLNPRNHSTPQSRA GRPVRKVSPNVTQPVRDLGSGRVLMMLPPGEGFTFSGICRVTCVYGQLEVYGHIINQGQP PQDVFSVYTHSYLTINGVPYAEPEKSEKAIRREIRALLKPYTKLDDRNWVVRYFPPLGSI MILERMQSRFVDFLKTYKCSSYVLLQENAPVRVNSEFTTLKKIGIRRQKRKKAICLSESG LCALEELVSVSCDGCPVILLCGACDIGKSTFNRILINQLLNSIPGVDYLECDLGQTEFTP PGCVALLTITEPLLGPPYTHQRKPQRMVYYGKMNCYNDYENYIDIVKYVFRDYKREFPLI INTMGWVSDNGLRLLVDLIRVLSPNYVVQLYSDRCKFTPTLTSEYVELTDGLYTKSKIKR YRGFEIPEFGDNLEFTYEEKESSPLPVFTGHVLLSVHSEFLSSKNEKNRAKYNRIFRDLA VLGYLSQLMLPVPESLSPLHSLTPYQVPFSAVAIRVLHADVAPTHILYAVNASWVGLCRI VDDMKGYTRGPILLAQNPICDCLGFGICRGIDMDKRTYHILTPLPPEELKTVNCLLVGSI SIPHCIFQNQL >ENSMUSP00000146142.1 pep:known chromosome:GRCm38:4:152039363:152060042:1 gene:ENSMUSG00000028948.16 transcript:ENSMUST00000131696.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nol9 description:nucleolar protein 9 [Source:MGI Symbol;Acc:MGI:1921285] XSEVLHRRAPSRSSWLRVRKARPHLLLSRRGRRRFGVLTRVELRRLRRRLLRAHALGGDW KQVAPAGAHVAVKCKLRARSRPAPRSPPTPSVPPAPCTASATCSLLNPRNHSTPQSRAGR PVRKVSPNVTQPVRDLGSGRVLMMLPPGEGFTFSGICRVTCVYGQLEVYGHIINQGQPPQ DVFSVYTHSYLTINGVPYAEPEKSEKAIRREIRALLKPYTKLDDRNWVVRYFPPLGSIMI LERMQSRFVDFLKTYKCSSYVLLQENAPVRVNSEFTTLKKIGIRRQKRKKAICLSESGLC ALEELVSVSCAFLGLTIWNVIWGRRSSLLLAVSLYLPSQNHFWDRRTLTRGNHRGWCTMG R >ENSMUSP00000081133.6 pep:known chromosome:GRCm38:4:152039327:152060024:1 gene:ENSMUSG00000028948.16 transcript:ENSMUST00000084116.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol9 description:nucleolar protein 9 [Source:MGI Symbol;Acc:MGI:1921285] MAESEVLHRRAPSRSSWLRVRKARPHLLLSRRGRRRFGVLTRVELRRLRRRLLRAHALGG DWKQVAPAGAHVAVKCKLRARSRPAPRSPPTPSVPPAPCTASATCSLLNPRNHSTPQSRA GRPVRKVSPNVTQPVRDLGSGRVLMMLPPGEGFTFSGICRVTCVYGQLEVYGHIINQGQP PQDVFSVYTHSYLTINGVPYAEPEKSEKAIRREIRALLKPYTKLDDRNWVVRYFPPLGSI MILERMQSRFVDFLKTYKCSSYVLLQENAPVRVNSEFTTLKKIGIRRQKRKKAICLSESG LCALEELVSVSCDGCPVILLCGACDIGKSTFNRILINQLLNSIPGVDYLECDLGQTEFTP PGCVALLTITEPLLGPPYTHQRKPQRMVYYGKMNCYNDYENYIDIVKYVFRDYKREFPLI INTMGWVSDNGLRLLVDLIRVLSPNYVVQLYSDRCKFTPTLTSEYVELTDGLYTKSKIKR YRGFEIPEFGDNLEFTYEEKESSPLPVFTGHVLLSVHSEFLSSKNEKNRAKYNRIFRDLA VLGYLSQLMLPVPESLSPLHSLTPYQVPFSAVAIRVLHADVAPTHILYAVNASWVGLCRI VDDMKGYTRGPILLAQNPICDCLGFGICRGIDMDKRTYHILTPLPPEELKTVNCLLVGSI SIPHCIFQNQPGPEGSVPYVTTDYNLNIPGATEKIGEREYGKAFPRHKLRQRRK >ENSMUSP00000103657.1 pep:known chromosome:GRCm38:11:86683985:86692457:1 gene:ENSMUSG00000072582.9 transcript:ENSMUST00000108022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptrh2 description:peptidyl-tRNA hydrolase 2 [Source:MGI Symbol;Acc:MGI:2444848] MLSKFLTMEYLVHPGTLSLAAGVACGMCLGWGLRSHLGMFPQNSTSEANRDTETGTEASI LGESGEYKMILVVRTDLKMGKGKVAAQCSHAAVSAYKQTQRRSPQVLKEWEYCGQPKVVV KAPDEDTLIQLLTHAKTLGLTVSLIQDAGRTQIEPGSRTVLGIGPGPVELIDEVTGHLKL Y >ENSMUSP00000103656.1 pep:known chromosome:GRCm38:11:86683985:86692457:1 gene:ENSMUSG00000072582.9 transcript:ENSMUST00000108021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptrh2 description:peptidyl-tRNA hydrolase 2 [Source:MGI Symbol;Acc:MGI:2444848] MLSKFLTMEYLVHPGTLSLAAGVACGMCLGWGLRSHLGMFPQNSTSEANRDTETGTEASI LGESGEYKMILVVRTDLKMGKGKVAAQCSHAAVSAYKQTQRRSPQVLKEWEYCGQPKVVV KAPDEDTLIQLLTHAKTLGLTVSLIQDAGRTQIEPGSRTVLGIGPGPVELIDEVTGHLKL Y >ENSMUSP00000131370.1 pep:known chromosome:GRCm38:15:76231174:76233723:-1 gene:ENSMUSG00000063268.12 transcript:ENSMUST00000170226.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parp10 description:poly (ADP-ribose) polymerase family, member 10 [Source:MGI Symbol;Acc:MGI:3712326] XNRSFCGRNGTLYGQGVYFAKRASLSVLDRYSPPNAEGYKAVFVAQVLTGDYGQGSRGLK APPLRVSGQVLRYDSAVDCLQQPRIFVIFHDTQALPTHLITCKNILPGTP >ENSMUSP00000129765.1 pep:known chromosome:GRCm38:15:76233003:76243420:-1 gene:ENSMUSG00000063268.12 transcript:ENSMUST00000165738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp10 description:poly (ADP-ribose) polymerase family, member 10 [Source:MGI Symbol;Acc:MGI:3712326] MAEVEAGAALELRGLPPEIPDELITLYFENHRRSGGGLLLSWQRLGCGGVLIFQDPADAK RVLAQAEHRLHGVRLSLRPAPPRAPERVLLQHLPPGTSPLSLEQHVQALLGAAGHPVQTC HALASPRQDCALVQLSTPLSEAEVSALAEQARNLPLNGATVSLAWVPQTRAVRVVDSASP VDLLLLELYLENERRSGGGPLEGLRSLPGQLGTVISFQQWQVAERVLKQKHWLQGIELSL VPHYDVLEPEALAEGVSGRDHSATQESGVIGHAPTGTGGLAGALTMAVGSGEAPQQLGTL LRAGPVGAPGQALPVDSGSIRIQGSMGSTSPVDPVESSTELPEQVGPMASDSVGVQEQEG LGEVATGQEGLMGLVGTAMESVETGLESPGYGEMQKQEGLVEMVMSVEPGAVRYLQLYYE DLLASLEDVALFPLEGTDVTGFRLCGARAPCQAAQELLQSLLGSISCHTLNMKHPGSARF LLGVEGQHLLHRLEAQFQCVFGTEHLASATLDIDPERTDPTEALQVLHGHITGIDQESLR LEDVRELLATLESPHGGEDRVPLEMEKEKPGGPGETVVEQQEEIPTLEAEEEPVALSTGA RGQLEEEATLQLAIHRSLESQSQVADQQEANALRRAMALSLLEAEEALDEDTGGEAQLVV HTSFEQDVDELNQALSNALEAHLREETVSLQGRMLPPELGARLERCHDVSATLRGDRVVL RGFGVQPARAARHLAALLVDPWDQNLTFPLEASKPNLSEQGLKEPLGRLEALEENSQEFQ DVVRAFYSTLDAVHGRIRIVRVERVSHPLLQQQYQLHRERLMQSCQQRPVEQVLYHGTSE SAVLDICAHGFNRSFCGRNGTLYGQGVYFAKRASLSVLDRYSPPNAEGYKAVFVAQVLTG DYGQGSRGLKAPPLRVSGQVLRYDSAVDCLQQPRIFVIFHDTQALPTHLITCKNILPGTP >ENSMUSP00000075110.6 pep:known chromosome:GRCm38:15:76233003:76243441:-1 gene:ENSMUSG00000063268.12 transcript:ENSMUST00000075689.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp10 description:poly (ADP-ribose) polymerase family, member 10 [Source:MGI Symbol;Acc:MGI:3712326] MAEVEAGAALELRGLPPEIPDELITLYFENHRRSGGGLLLSWQRLGCGGVLIFQDPADAK RVLAQAEHRLHGVRLSLRPAPPRAPERVLLQHLPPGTSPLSLEQHVQALLGAAGHPVQTC HALASPRQDCALVQLSTPLSEAEVSALAEQARNLPLNGATVSLAWVPQTRAVRVVDSASP VDLLLLELYLENERRSGGGPLEGLRSLPGQLGTVISFQQWQVAERVLKQKHWLQGIELSL VPHYDVLEPEALAEGVSGRDHSATQESGVIGHAPTGTGGLAGALTMAVGSGEAPQQLGTL LRAGPVGAPGQALPVDSGSIRIQGSMGSTSPVDPVESSTELPEQVGPMASDSVGVQEQEG LGEVATGQEGLMGLVGTAMESVETGLESPGYGEMQKQEGLVEMVMSVEPGAVRYLQLYYE DLLASLEDVALFPLEGTDVTGFRLCGARAPCQAAQELLQSLLGSISCHTLNMKHPGSARF LLGVEGQHLLHRLEAQFQCVFGTEHLASATLDIDPERTDPTEALQVLHGHITGIDQESLR LEDVRELLATLESPHGGEDRVPLEMEKEKPGGPGETVVEQQEEIPTLEAEEEPVALSTGA RGQLEEEATLQLAIHRSLESQSQVADQQEANALRRAMALSLLEAEEALDEDTGGEAQLVV HTSFEQDVDELNQALSNALEAHLREETVSLQGRMLPPELGARLERCHDVSATLRGDRVVL RGFGVQPARAARHLAALLVDPWDQNLTFPLEASKPNLSEQGLKEPLGRLEALEENSQEFQ DVVRAFYSTLDAVHGRIRIVRVERVSHPLLQQQYQLHRERLMQSCQQRPVEQVLYHGTSE SAVLDICAHGFNRSFCGRNGTLYGQGVYFAKRASLSVLDRYSPPNAEGYKAVFVAQVLTG DYGQGSRGLKAPPLRVSGQVLRYDSAVDCLQQPRIFVIFHDTQALPTHLITCKNILPGTP >ENSMUSP00000045029.2 pep:known chromosome:GRCm38:11:114798924:114806745:1 gene:ENSMUSG00000034677.9 transcript:ENSMUST00000045319.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr142 description:G protein-coupled receptor 142 [Source:MGI Symbol;Acc:MGI:2668437] MHLNSNPNSYICDAYQHADLLWSLSPHVLTKAVQPQVTLLPTVNGSNPRYDGVDGHWPES PERSPCVAGIIPVIYYSVLLSLGLPVALARLAARTRKPSYHYLLALTASDIVTQVIIVFV GFLLQGAVLARQVPQAVVRTANILEFAANHASVWIAVLFTVDRYNALCRPLRHRATSSPG RTHRAIAAVIGVTLLTGIPFYWWLDVWRDADPPSTMDKLLKWAHCLIVYFIPCNVFLVTN SAIILRLRKRGQRGLRPLVSKSTAILLGVTSLFALLWAPRIIVMLYHLYVAPVHRDWRVH LALDIANMLAMLNTEVNFGLYCFISKTFRATVRQVICDVHMACALKSQPKQTVVELMLKS VGTEL >ENSMUSP00000102194.1 pep:known chromosome:GRCm38:11:114798924:114806745:1 gene:ENSMUSG00000034677.9 transcript:ENSMUST00000106584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr142 description:G protein-coupled receptor 142 [Source:MGI Symbol;Acc:MGI:2668437] MHLNSNPNSYICDAYQHADLLWSLSPHVLTKAVQPQVTLLPTVNGSNPRYDGVDGHWPES PERSPCVAGIIPVIYYSVLLSLGLPVNVLTSVALARLAARTRKPSYHYLLALTASDIVTQ VIIVFVGFLLQGAVLARQVPQAVVRTANILEFAANHASVWIAVLFTVDRYNALCRPLRHR ATSSPGRTHRAIAAVIGVTLLTGIPFYWWLDVWRDADPPSTMDKLLKWAHCLIVYFIPCN VFLVTNSAIILRLRKRGQRGLRPLVSKSTAILLGVTSLFALLWAPRIIVMLYHLYVAPVH RDWRVHLALDIANMLAMLNTEVNFGLYCFISKTFRATVRQVICDVHMACALKSQPKQTVV ELMLKSVGTEL >ENSMUSP00000102827.1 pep:known chromosome:GRCm38:3:95181766:95217709:-1 gene:ENSMUSG00000038766.16 transcript:ENSMUST00000107209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb2 description:GA repeat binding protein, beta 2 [Source:MGI Symbol;Acc:MGI:95612] MSLVDLGKRLLEAARKGQDDEVRTLMANGAPFTTDWLGTSPLHLAAQYGHYSTAEVLLRA GVSRDARTKVDRTPLHMAAADGHVHIVELLVRSGADVNAKDMLQMTALHWATEHHHRDVV ELLIKYGADVYAFSKFDKSAFDIAMEKNNTEILVMLQEAMQNQVNTNHERANPVANPVTV TAPFIFTSGEVINLASFVSSANTKATSAHLEEMEEGNSLDSSTQQVVGSGGQRVITIVTD GVPLGNIQTSLPAGGIGQPFIVTMQDGQQVLTVPAGQVAEETIIEDEEEEEEKLPLVKRP RMAEMTNRVEEMKEGSERELLQQQLQEANRRAQEYRHQLLKKEQEAEQYRLRLEAMAQQQ TNGVEVDVTVVEEVAEVDAVVVTEGDEVERATQVMKSGRTTEPHTNVSIETISS >ENSMUSP00000121283.1 pep:known chromosome:GRCm38:3:95181766:95217916:-1 gene:ENSMUSG00000038766.16 transcript:ENSMUST00000136139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb2 description:GA repeat binding protein, beta 2 [Source:MGI Symbol;Acc:MGI:95612] MSLVDLGKRLLEAARKGQDDEVRTLMANGAPFTTDWLGTSPLHLAAQYGHYSTAEVLLRA GVSRDARTKVDRTPLHMAAADGHVHIVELLVRSGADVNAKDMLQMTALHWATEHHHRDVV ELLIKYGADVYAFSKFDKSAFDIAMEKNNTEILVMLQEAMQNQVNTNHERANPVANPVTV TAPFIFTSGEVINLASFVSSANTKATSAHLEEMEEGNSLDSSTQQVVGSGGQRVITIVTD GVPLGNIQTSLPAGGIGQPFIVTMQDGQQVLTVPAGQVAEETIIEDEEEEEEKLPLVKRP RMAEMTNRVEEMKEGSERELLQQQLQEANRRAQEYRHQLLKKEQEAEQYRLRLEAMAQQQ TNGVEVDVTVVEEVAEVDAVVVTEGDEVERATQVMKSGRTTEPHTNVSIETISS >ENSMUSP00000102822.1 pep:known chromosome:GRCm38:3:95203013:95217754:-1 gene:ENSMUSG00000038766.16 transcript:ENSMUST00000107204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb2 description:GA repeat binding protein, beta 2 [Source:MGI Symbol;Acc:MGI:95612] MSLVDLGKRLLEAARKGQDDEVRTLMANGAPFTTDWLGTSPLHLAAQYGHYSTAEVLLRA GVSRDARTKVDRTPLHMAAADGHVHIVELLVRSGADVNAKDMLQMTALHWATEHHHRDVV ELLIKYGADVYAFSKFDKSAFDIAMEKNNTEILVMLQVCFFISPKVH >ENSMUSP00000096470.4 pep:known chromosome:GRCm38:3:95181773:95208937:-1 gene:ENSMUSG00000038766.16 transcript:ENSMUST00000098873.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabpb2 description:GA repeat binding protein, beta 2 [Source:MGI Symbol;Acc:MGI:95612] MSLVDLGKRLLEAARKGQDDEVRTLMANGAPFTTDWLGTSPLHLAAQYGHYSTAEVLLRA GVSRDARTKVDRTPLHMAAADGHVHIVELLVRSGADVNAKDMLQMTALHWATEHHHRDVV ELLIKYGADVYAFSKFDKSAFDIAMEKNNTEILVMLQEAMQNQVNTNHERANPVANPVTV TAPFIFTSGEVINLASFVSSANTKATSAHLEEMEEGNSLDSSTQQVVGSGGQRVITIVTD GVPLGNIQTSLPAGGIGQPFIVTMQDGQQVLTVPAGQVAEETIIEDEEEEEEKLPLVKRP RMAEMTNRVEEMKEGSERELLQQQLQEANRRAQEYRHQLLKKEQEAEQYRLRLEAMAQQQ TNGVEVDVTVVEEVAEVDAVVVTEGDEVERATQVMKSGRTTEPHTNVSIETISS >ENSMUSP00000109109.1 pep:known chromosome:GRCm38:17:46383731:46414814:1 gene:ENSMUSG00000015597.16 transcript:ENSMUST00000113481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp318 description:zinc finger protein 318 [Source:MGI Symbol;Acc:MGI:1889348] MYRSGSRSSVSSHRSKDGSASGPPPGRPVGASSGPTRRPSSPPPPSCSSLRLPARRHRSP SGHRGRWASPSPPRGRRGSPSPPRGRRASPSPTRGRRASPSPPRGRRGSPSPPRARRGSP SPPRSRRHYPPGLGGFRGSIRGESRADFARDGRGDHPGGGGGSRRRSPGLCSDSSLEESL RITVGNDHFCVSTPERRRLSDRLGSPVDGLQDMDRDDLTDDSVFTRSSQCSRGLERYISR EEGPLSPFLGQLDEDYRTRETFLHRPEFSPQSSCHDELLRGTERNRDKLKSSSYSIRSEE RSREAKRPRYDDTEKVHSSGGDHSSFTSGTRNYRQRRSSPSPRFLDPEFRELDLARRKRE EEEEQSRSLSQELVGVGDDQIGCSIPGLAGVLTTSEPGYSLQRPEEVPMMPKKSILKKRI EADMKPSLQLESFSSGASSGEDHPLYSEHSPLPLSGAIAAFTSEIENKGTTVEADLKEPQ SNLYQWGPLREIPKDNSEKFDSFLGFKEKLDLKAEGLEQQTDFLLPHERASQDGSGFSRI LSMLADPTITQEKRRRSFPDIEDEEKFLYGDEEEDIKSESPLKSLEDPESAGTRQKANSL PSTPAVKLESLEESNPEYAKIHNLLKTIGLDIGVAEIGKLAARTQERLHGKKPSSRPSAD RRLSADRHLSGDRHFSADRCSSVEHSFTADWRSSDPHRPESRETHHSNTQSPEVSHPHPA SPVDPYLRTKNSPPFLKSDHPVCHVSGPEVVGSGFQSSVAVRCMLPSAPSTPIRLPHSAA LSQFHIPGASQFAAARIPPNYQGSVIPSASFDAYRHYMAYAASRWPMYPASQPPSHPLSD PHRLLPVTKQAARSRPNLRVIPTVTPAKPKQEIPVLGSISVKRIPVRVSIPSLIKYNPKK ISDEKNRASQKQKVIEEREKLKTEQEARQKKMFYLTTELERLHKQQGEMLRKKRREKDGH KDPLLMEVSRLQDSIMKDIAELHKETEEAEKKQSELDKVAQILGIDIFDKSLKSSNDSKE STEKPEKEKSKSPEKELSPSNSSSSNKESKMNEKSCIKSPSSTESLQPTVKQSDQPVAAY EYYDAGSHWCKDCNTTCGTMFDFFTHMHNKKHTQTLDPYNRPWASKTQSEAKQDTVKRTD KITVPAKGSEFLIPVTGFYCQLCEEFLGDPISGEQHVKGHQHNENYKKYVEENPLYEERR NLDRQAGLAVVLETERRRQNELKRKLNEKPKEEKIEKKARIVREVKEDDKAPGELEEQLS EDGSAPEKGEVKGNASLRPQVKEEVKKEPSVASIAASFGKFSWKKPEKEEEKGSVVTPGA PKEDTVETSKDRDDGKAEVGKAKPIKIKLSGKTVIAHTSPWTPVVTTSTQTKIRPNLPIP STVLRKSGSATVSKPAPLNTFLSIKSSGTSTKPLPVVKESSSDLLLPPDIISKAFGGEEV VLKGSPEEKVELAEKNEPSQVPEQMLALLPPPPPPPPPPPPPPPPPPPQAVPQLSAPSPA QANVVLTPVKSNSVISQTFSLGFQGPNILNPGLPVAFMASEQPTVIPSDETAPGVSESDW DQTLISMLVRPPPPLSSVFSEQAKKLEKRNSCLATANAKDLYDIFYSSGGKGAHETKLSS STLANGESSSLPRTESSDFSSTCTLNSSMSSEDLPQCSALVTATEISNLENPISKGMEST GKWSVVDQIDPKSRDSTYSFLQPLTRLYQNKPYEIVSPKTDTLVMWTSGSSQNDTHKDRP PEGKIRFDLGEPGPPGTDSTSHLSDTHCQTNGPQKLIEINLIDNQNKNQEVYQSEGCRES EMKRKTELKGKVATEEEEEEEEEGANSIEDSNSNHGNRNTWEGEIGQPKLSTVDKKGEQS SKLMTGHENTSKVVIELSPSLPSKRTKIDLFPSLLQNPKSMPELLLLSPAGSGLCLKRQE IWERPEKPGLEDVELQGTRPELTVTIESKVLENFDTTHLEVEGFASLRNLGDMHANFHNS QTEQTRRSPTALSEKMSEEISVSSVMCNPSSSSDIEPVPSFSGFPLESPKTLVLNFETEG AHSSSNSRNGRITSNSLETGHPVENVGHDLGGERTHQALDLLAGGMLSEDVKETSPLQKD LLRMESTTVSPSGLGPSPCLPDLVDFVTRTPGVPKQKPCSPLSEPDAFLKCSSLEMGSPP PEILSVSVSEVAVPQVSEDNDSALNLVKTPPSGSPSRDQVVGGNVSPREMPEQEAAVDVI PDHTRSNVYNSQDYLNG >ENSMUSP00000116544.1 pep:known chromosome:GRCm38:17:46383751:46420920:1 gene:ENSMUSG00000015597.16 transcript:ENSMUST00000138127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp318 description:zinc finger protein 318 [Source:MGI Symbol;Acc:MGI:1889348] MYRSGSRSSVSSHRSKDGSASGPPPGRPVGASSGPTRRPSSPPPPSCSSLRLPARRHRSP SGHRGRWASPSPPRGRRGSPSPPRGRRASPSPTRGRRASPSPPRGRRGSPSPPRARRGSP SPPRSRRHYPPGLGGFRGSIRGESRADFARDGRGDHPGGGGGSRRRSPGLCSDSSLEESL RITVGNDHFCVSTPERRRLSDRLGSPVDGLQDMDRDDLTDDSVFTRSSQCSRGLERYISR EEGPLSPFLGQLDEDYRTRETFLHRPEFSPQSSCHDELLRGTERNRDKLKSSSYSIRSEE RSREAKRPRYDDTEKVHSSGGDHSSFTSGTRNYRQRRSSPSPRFLDPEFRELDLARRKRE EEEEQSRSLSQELVGVGDDQIGCSIPGLAGVLTTSEPGYSLQRPEEVPMMPKKSILKKRI EADMKPSLQLESFSSGASSGEDHPLYSEHSPLPLSGAIAAFTSEIENKGTTVEADLKEPQ SNLYQWGPLREIPKDNSEKFDSFLGFKEKLDLKAEGLEQQTDFLLPHERASQDGSGFSRI LSMLADPTITQEKRRRSFPDIEDEEKFLYGDEEEDIKSESPLKSLEDPESAGTRQKANSL PSTPAVKLESLEESNPEYAKIHNLLKTIGLDIGVAEIGKLAARTQERLHGKKPSSRPSAD RRLSADRHLSGDRHFSADRCSSVEHSFTADWRSSDPHRPESRETHHSNTQSPEVSHPHPA SPVDPYLRTKNSPPFLKSDHPVCHVSGPEVVGSGFQSSVAVRCMLPSAPSTPIRLPHSAA LSQFHIPGASQFAAARIPPNYQGSVIPSASFDAYRHYMAYAASRWPMYPASQPPSHPLSD PHRLLPVTKQAARSRPNLRVIPTVTPAKPKQEIPVLGSISVKRIPVRVSIPSLIKYNPKK ISDEKNRASQKQKVIEEREKLKTEQEARQKKMFYLTTELERLHKQQGEMLRKKRREKDGH KDPLLMEVSRLQDSIMKDIAELHKETEEAEKKQSELDKVAQILGIDIFDKSLKSSNDSKE STEKPEKEKSKSPEKELSPSNSSSSNKESKMNEKSCIKSPSSTESLQPTVKQSDQPVAAY EYYDAGSHWCKDCNTTCGTMFDFFTHMHNKKHTQGQFQKSSHFQTEGLKQMFLLQECRDR NHRDYGNNVRPCGQ >ENSMUSP00000116132.1 pep:known chromosome:GRCm38:17:46400056:46410718:1 gene:ENSMUSG00000015597.16 transcript:ENSMUST00000152472.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp318 description:zinc finger protein 318 [Source:MGI Symbol;Acc:MGI:1889348] SDEKNRASQKQKVIEEREKLKTEQEARQKKMFYLTTELERLHKQQACHSLDFFW >ENSMUSP00000033695.5 pep:known chromosome:GRCm38:X:104280657:104413846:-1 gene:ENSMUSG00000031333.7 transcript:ENSMUST00000033695.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb7 description:ATP-binding cassette, sub-family B (MDR/TAP), member 7 [Source:MGI Symbol;Acc:MGI:109533] MALLAIHSWRWAAAAVAFEKHKHSAVLTRALVSMCGSGPRWSSSQRGASGSARLSQTTES LRNTTQQRWGKDNSRQLLDATKALQTWPLIEKRTCWHGHAGGGLHTDPKEGLKDVDTRKI IKAMLSYVWPEDRPDLRARVAISLGFLGGAKAMNIVVPFMFKYAVDSLNQMSGNMLNLSD APNTVATMATAVLIGYGVSRAGAAFFNEVRNAVFGKVAQNSIRRIAKNVFLHLHNLDLGF HLSRQTGALSKAIDRGTRGISFVLSALVFNLLPIVFEMMLVSSVLYYKCGAQFALVTLGT LGAYTAFTVAVTRWRTRFRIEMNKADNDAGNAAIDSLLNYETVKYFNNEKYEAQRYDGFL KTYETASLKSTSTLAMLNFGQNAIFSVGLTAIMVLASQGIVAGALTVGDLVMVNGLLFQL SLPLNFLGTVYRETRQALIDMNTLFTLLKVDTRIKDKVMAPPLQITPQTATVAFDNVHFE YIEGQKVLNGVSFEVPAGKKVAIVGGSGSGKSTIVRLLFRFYEPQKGSIYLAGQNLQDVS LESLRRAVGVVPQDAVLFHNTIYYNLLYGNINASPEEVYAVAKLAGLHDAILRMPHGYDT QVGERGLKLSGGEKQRVAIARAILKNPPVILYDEATSSLDSITEETILGAMRDVVKHRTS IFIAHRLSTVVDADEIIVLSQGKVAERGTHYGLLANSSSIYSEMWHTQSNRVQNQDSLGW DAKKESLSKEEERKKLQEEIVNSVKGCGNCSC >ENSMUSP00000044660.6 pep:known chromosome:GRCm38:6:119236526:119352407:1 gene:ENSMUSG00000041460.14 transcript:ENSMUST00000037434.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d4 description:calcium channel, voltage-dependent, alpha 2/delta subunit 4 [Source:MGI Symbol;Acc:MGI:2442632] MPRSSCGSKLSPSRGSVLLPCPSPSGNTMARCPMLSSSHNQDHSGRWTAVWTSLWKTPII LWLLLSDTSLPTVRGQTTVPLETVKLWADTFGRNLYNTVTRYSGSLLLQKKYKDAEPSLK IKEVDGLELVKKFSEDMETMLRRKVEAVESLVEAAEEADLNHEFNASLVFNYYNSVLINE KDDKGNYVELGAEFLLESDAHFSNLRVNVSMSSVQLPTNVYNKDPDILNGVYMSEALNPV FVENFQRDPTLTWQYFGSSTGFFRIYPGIKWMPDENGVIAFDCRNRGWYIQAATSPKDIV ILVDISGSMKGLRMAIAKHTITTILDTLGENDFVNIIAYNDYVHYIEPCFKGILVQADRD NREHFKQLVDELMVKGVGVVSQALIEAFEILKQFQESKQGSLCNQAIMLITDGAVEDYEP VFETYNWPDRKVRVFTYLIGREVTFADRMKWIACNNKGYYTQISTLADAQESVMEYLHVL SRPMVINHDHDIIWTEAYMDSRLFTSEAQSLMLLTTVAMPVFSKKNETRSHGILLGVVGS DVTLRELMKLAPRYKLGVHGYAFLNTNNGYILSHPDLRPLYREGKKLRPKPNYNSVDLSE VEWEDQAEILRTAMINGETGSHSMDVKVPLDKGKRVLFLTNDYFFTDISDTPFSLGVVLT RGHGEYILLGNTSVEEGLHDLLHPDLTLASDWIYCITDIDPDHRKLSQLEAVVRFLTGVD PDLECDEELVREVLFDAVVTAPMEAYWTALALNISEESEPGVDVAFLGTRAGLLRRSLFV GSEKVSDRKFLTPEDEASIFTMDHFPLWYRQASEQPPGSFVFNLRWAEGPDSPGKPVAVR ASTAVTVTVDGKTAIAAAVGIQMQADYLQRQFWAAMQQCNAVEGPCLKSCEDTDLDCFVI DNNGFVLISERPQEMGRLLGEADGALMKQLLSMGVFSRVTMYDYQAMCKPPDHHHSAAES LFSPLSAFLMVARWLLHECLLFLLEWSAWGSWQDKGSEAKSVFHHSHKHKKQDLLHPCDT EYPVFVHQTAIQEANGIIECGGCQKTFVMQQIPRSNLLLLVTDRTCDCSAHSPILQEATE VKYNASVKCDRMRSQKPRRRPGSCHAFHPEENAQDCGGASDTLPSSPLLLLSLGAWLLPP QLLW >ENSMUSP00000140197.1 pep:known chromosome:GRCm38:6:119236595:119350458:1 gene:ENSMUSG00000041460.14 transcript:ENSMUST00000186622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d4 description:calcium channel, voltage-dependent, alpha 2/delta subunit 4 [Source:MGI Symbol;Acc:MGI:2442632] MPRSSCGSKLSPSRGSVLLPCPSPSGNTMARCPMLSSSHNQDHSGRWTAVWTSLWKTPII LWLLLSDTSLPTVRGQTTVPLETVKLWADTFGRNLYNTVTRYSGSLLLQKKYKDAEPSLK IKEVDGLELVKKFSEDMETMLRRKVEAVESLVEAAEEADLNHEFNASLVFNYYNSVLINE KDDKGNYVELGAEFLLESDAHFSNLRVNVSMSSVQLPTNVYNKDPDILNGVYMSEALNPV FVENFQRDPTLTWQYFGSSTGFFRIYPGIKWMPDENGVIAFDCRNRGWYIQAATSPKDIV ILVDISGSMKGLRMAIAKHTITTILDTLGENDFVNIIAYNDYVHYIEPCFKGILVQADRD NREHFKQLVDELMVKGVGVVSQALIEAFEILKQFQESKQGSLCNQAIMLITDGAVEDYEP VFETYNWPDRKVRVFTYLIGREVTFADRMKWIACNNKGYYTQISTLADAQESVMEYLHVL SRPMVINHDHDIIWTEAYMDSRLFTSEAQSLMLLTTVAMPVFSKKNETRSHGILLGVVGS DVTLRELMKLAPRYKYREGKKLRPKPNYNSVDLSEVEWEDQAEILRTAMINGETGSHSMD VKVPLDKGKRVLFLTNDYFFTDISDTPFSLGVVLTRGHGEYILLGNTSVEEGLHDLLHPD LTLASDWIYCITDIDPDHRKLSQLEAVVRFLTGVDPDLECDEELVREVLFDAVVTAPMEA YWTALALNISEESEPGVDVAFLGTRAGLLRRSLFVGSEKVSDRKFLTPEDEASIFTMDHF PLWYRQASEQPPGSFVFNLRWAEGPDSPGKPVAVRASTAVTVTVDGKTAIAAAVGIQMQA DYLQRQFWAAMQQCNAVEGPCLKSCEDTDLDCFVIDNNGFVLISERPQEMGRLLGEADGA LMKQLLSMGVFSRVTMYDYQAMCKPPDHHHSAAESLFSPLSAFLMVARWLLHECLLFLLE WSAWGSWQDKGSEAKSVFHHSHKHKKQDLLHPCDTEYPVFVHQTAIQEANGIIECGGCQK TFVMQQIPRSNLLLLVTDRTCDCSAHSPILQEATEVKYNASVKCDRMRSQKPRRRPGSCH AFHPEENAQDCGGASDTLPSSPLLLLSLGAWLLPPQLLW >ENSMUSP00000088303.4 pep:known chromosome:GRCm38:3:95559677:95587671:1 gene:ENSMUSG00000028109.14 transcript:ENSMUST00000090797.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hormad1 description:HORMA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915231] MATMQLQRTASLSALVFPNKISTEHQSLMFVKRLLAVSVSCITYLRGIFPERAYGTRYLD DLCVKILKEDKNCPGSSQLVKWMLGCYDALQKKYLRMIILAVYTNPGDPQTISECYQFKF KYTKNGPIMDFISKNQNNKSSTTSADTKKASILLIRKIYVLMQNLGPLPNDVCLTMKLFY YDEVTPPDYQPPGFKDGDCEGVIFDGDPTYLNVGEVPTPFHTFRLKVTTEKERMENIDST ILKPKESKTQFEKILMDKDDVEDENHNNFDIKTKMNEQNENSGASEIKEPNLDCKEEETM QFKKSQSPSISHCQVEQLVSKTSELDVSESKTRSGKIFQSKMVNGNNQQGQTSKENRKRS LRQFRKTINAPECR >ENSMUSP00000127180.1 pep:known chromosome:GRCm38:3:95559678:95585798:1 gene:ENSMUSG00000028109.14 transcript:ENSMUST00000171191.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hormad1 description:HORMA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915231] MATMQLQRTASLSALVFPNKISTEHQSLMFVKRLLAVSVSCITYLRGIFPERAYGTRYLD DLCVKILKEDKNCPGSSQLVKWMLGCYDALQKKYLRMIILAVYTNPGDPQTISECYQFKF KYTKNGPIMDFISKNQNNKSSTTSADTKKASILLIRKIYVLMQNLGPLPNDVCLTMKLFY YDEVTPPDYQPPGFKDGDCEGVIFDGDPTYLNVGEVPTPFHTFRLKVTTEKERMENIDST ILKPKESKTQFEKILMDKDDVEDENHNNFDIKTKMNEQNENSGASEIKEPNLDCKEEETM QFKKSQSPSISHCQVEQLVSKTSELDVSESKTRSGKIFQSKMVNGNNQQGQTSKENRKRS LRQFRKTINAPECR >ENSMUSP00000029754.6 pep:known chromosome:GRCm38:3:95559678:95587592:1 gene:ENSMUSG00000028109.14 transcript:ENSMUST00000029754.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hormad1 description:HORMA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915231] MATMQLQRTASLSALVFPNKISTEHQSLMFVKRLLAVSVSCITYLRGIFPERAYGTRYLD DLCVKILKEDKNCPGSSQLVKWMLGCYDALQKKYLRMIILAVYTNPGDPQTISECYQFKF KYTKNGPIMDFISKNQNNKSSTTSADTKKASILLIRKIYVLMQNLGPLPNDVCLTMKLFY YDEVTPPDYQPPGFKDGDCEGVIFDGDPTYLNVGEVPTPFHTFRLKVTTEKERMENIDST ILKPKESKTQFEKILMDKDDVEDENHNNFDIKTKMNEQNENSGASEIKEPNLDCKEEETM QFKKSQSPSISHCQVEQLVSKTSELDVSESKTRSGKIFQSKMVNGNNQQGQTSKENRKRS LRQFRKTVLHVLESSQESVLKKRRVSEPKEHT >ENSMUSP00000102772.1 pep:known chromosome:GRCm38:3:95559678:95587596:1 gene:ENSMUSG00000028109.14 transcript:ENSMUST00000107154.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hormad1 description:HORMA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915231] MATMQLQRTASLSALVFPNKISTEHQSLMFVKRLLAVSVSCITYLRGIFPERAYGTRYLD DLCVKILKEDKNCPGSSQLVKWMLGCYDALQKKYLRMIILAVYTNPGDPQTISECYQFKF KYTKNGPIMDFISKNQNNKSSTTSADTKKASILLIRKIYVLMQNLGPLPNDVCLTMKLFY YDEVTPPDYQPPGFKDGDCEGVIFDGDPTYLNVGEVPTPFHTFRLKVTTEKERMENIDST ILKPKESKTQFEKILMDKDDVEDENHNNFDIKTKMNEQNENSGASEIKEPNLDCKEEETM QFKKSQSPSISHCQVEQLVSKTSELDVSESKTRSGKIFQSKMVNGNNQQGQTSKENRKRS LRQFRKTINAPECR >ENSMUSP00000028608.6 pep:known chromosome:GRCm38:2:103721256:103761270:-1 gene:ENSMUSG00000027185.14 transcript:ENSMUST00000028608.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat10 description:N-acetyltransferase 10 [Source:MGI Symbol;Acc:MGI:2138939] MNRKKVDNRIRILIENGVAERQRSLFVVVGDRGKDQVVILHHMLSKATVKARPSVLWCYK KELGFSSHRKKRMRQLQKKIKSGTLNLKQDDPFELFVAATNIRYCYYNETHKILGNTFGM CVLQDFEALTPNLLARTVETVEGGGLVVILLRTMNSLKQLYTMTMDVHSRYRTEAHQDVV GRFNERFILSLASCKKCLVIDDQLDILPISSHVASIEALPPQAPDENLSPAALELLELKE SLQDTQPVGVLVDCCKTLDQAKAVLKFIEGISEKTLRSTVALTAARGRGKSAALGLAIAG AVAFGYSNIFVTSPSPDNLHTLFEFVFKGFDALQYQEHLDYEIVQSLNPEFNKAVIRVNV FREHRQTIQYIHPADAVKLGQAELVVIDEAAAIPLPLVKSLLGPYLVFMASTINGYEGTG RSLSLKLIQQLRQQSAQSQVSTTAENKTTTTARLASARTLHEVSLQESIRYAPGDAVEKW LNDLLCLDCLNITRIVSGCPLPEACELYYVNRDTLFCYHKASEVFLQRLMALYVASHYKN SPNDLQMLSDAPAHHLFCLLPPVPPTQNALPEVLAVVQVCLEGEISRQSILNSLSRGKKA SGDLIPWTVSEQFQDPDFGGLSGGRVVRIAVHPDYQGMGYGSRALQLLQMYYEGKFPCLE EKVLETPQEIRTVSSEAVSLLEEVITPRKDLPPLLLKLNERPAERLDYLGVSYGLTPRLL KFWKRAGFVPVYLRQTPNDLTGEHSCIMLKTLADEDEAEQGAWLAAFWKDFRRRFLALLS YQFSTFSPALSLNIIQNRNVAKSALPALGREHLEALFLPYDLKRLEMYSRNMVDYHLIMD LIPAISRLYFLNQLGDLSLSAAQSALLLGIGLQHKSVDQLEKEIELPSGQLMGLFNRIIR KVVKLFNDVQEKAIEEQMVAVKDVVMEPTMKTLSDDLDEAAKEFQEKHKKEVGKLKDMDL SQYVIRGDDEEWNEVLSKAGQNASIVSLKSDKKRKLETKQEPKQSKKLKKRDNNRKDMKL KRKK >ENSMUSP00000115072.1 pep:known chromosome:GRCm38:2:103750221:103760926:-1 gene:ENSMUSG00000027185.14 transcript:ENSMUST00000140895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat10 description:N-acetyltransferase 10 [Source:MGI Symbol;Acc:MGI:2138939] MNRKKVDNRIRILIENGVAERQRSLFVVVGDRGKDQVVILHHMLSKATVKARPSVLWCYK KELGFSSHRKKRMRQLQKKIKSGTLNLKQDDPFELFVAATNIRYCYYNETHKILGNTFGM CVLQDFEALTPNLLARTVETVEGGGLVVILLRTMNSLKQLYTMTMDVHSRYRTEAHQDVV GRFNER >ENSMUSP00000129637.1 pep:known chromosome:GRCm38:2:103757236:103758416:-1 gene:ENSMUSG00000027185.14 transcript:ENSMUST00000148798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat10 description:N-acetyltransferase 10 [Source:MGI Symbol;Acc:MGI:2138939] MNRKKVDNRIRILIENGVAERQRSLFVVVGDRGKDQVVILHHML >ENSMUSP00000046761.9 pep:known chromosome:GRCm38:12:66469568:67222549:-1 gene:ENSMUSG00000034912.16 transcript:ENSMUST00000037181.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdga2 description:MAM domain containing glycosylphosphatidylinositol anchor 2 [Source:MGI Symbol;Acc:MGI:2444706] MDLVYGLVWLLTVLLEGISGQGVYAPPTVRIVHSGLACNIEEERYSERVYTIREGETLEL TCLVTGHPRPQIRWTKTAGSASDRFQDSSVFNETLRITNIQRHQGGRYYCKAENGLGSPA IKSIRVDVYYLDDPVVTVHQSIGEAKEQFYYERTVFLRCVANSNPPVRYSWRRGQEVLLQ GSDKGVEIYEPFFTQGETKILKLKNLRPQDYANYSCIASVRNVCNIPDKMVSFRLSNKTA SPSIKLLVDDPIVVNPGEAITLVCVTTGGEPTPSLTWVRSFGTLPEKIVLNGGTLTIPAI TSDDAGTYSCIANNNVGNPAKKSTNIIVRALKKGRFWITPDPYHKDDNIQIGREVKISCQ VEAVPSEELTFSWFKNGRPLRSSERMVITQTDPDVSPGTTNLDIIDLKFTDFGTYTCVAS LKGGGISDISIDVNISSSTVPPNLTVPQEKSPLVTREGDTIELQCQVTGKPKPIILWSRA DKEVAMPDGTMQMESYDGTLRIVNVSREMSGMYRCQTSQYNGFNVKPREALVQLIVQYPP AVEPAFLEIRQGQDRSVTMSCRVLRAYPIRVLTYEWRLGNKLLRTGQFDSQEYTEYPLKS LSNENYGVYNCSIINEAGAGRCSFLVTGKAYAPEFYYDTYNPVWQNRHRVYSYSLQWTQM NPDAVDRIVAYRLGIRQAGQQRWWEQEIKINGNIQKGELITYNLTELIKPEAYEVRLTPL TKFGEGDSTIRVIKYTAPVNPHLREFHCGFEDGNICLFTQDDTDNFDWTKQSTATRNTKY TPNTGPSADRSGSKEGFYMYIETSRPRLEGEKARLLSPVFSIAPKNPYGPTNSAYCFSFF YHMYGQHIGVLNVYLRLKGQTTIENPLWSSSGNKGQRWNEAHVNIYPITSFQLIFEGIRG PGIEGDIAIDDVSIAEGECAKQDLPTKNSVDGAVGILVHIWLFPVIILISILSPRR >ENSMUSP00000137608.2 pep:known chromosome:GRCm38:12:66471182:67221221:-1 gene:ENSMUSG00000034912.16 transcript:ENSMUST00000178814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdga2 description:MAM domain containing glycosylphosphatidylinositol anchor 2 [Source:MGI Symbol;Acc:MGI:2444706] MDLVYGLVWLLTVLLEGISGQGVYAPPTVRIVHSGLACNIEEERYSERVYTIREGETLEL TCLVTGHPRPQIRWTKTAGSASDRFQDSSVFNETLRITNIQRHQGGRYYCKAENGLGSPA IKSIRVDVYYLDDPVVTVHQSIGEAKEQFYYERTVFLRCVANSNPPVRYSWRRGQEVLLQ GSDKGVEIYEPFFTQGETKILKLKNLRPQDYANYSCIASVRNVCNIPDKMVSFRLSNKTA SPSIKLLVDDPIVVNPGEAITLVCVTTGGEPTPSLTWVRSFGTLPEKIVLNGGTLTIPAI TSDDAGTYSCIANNNVGNPAKKSTNIIVRALKKGRFWITPDPYHKDDNIQIGREVKISCQ VEAVPSEELTFSWFKNGRPLRSSERMVITQTDPDVSPGTTNLDIIDLKFTDFGTYTCVAS LKGGGISDISIDVNISSSTVPPNLTVPQEKSPLVTREGDTIELQCQVTGKPKPIILWSRA DKEVAMPDGTMQMESYDGTLRIVNVSREMSGMYRCQTSQYNGFNVKPREALVQLIVQYPP AVEPAFLEIRQGQDRSVTMSCRVLRAYPIRVLTYEWRLGNKLLRTGQFDSQEYTEYPLKS LSNENYGVYNCSIINEAGAGRCSFLVTGKAYAPEFYYDTYNPVWQNRHRVYSYSLQWTQM NPDAVDRIVAYRLGIRQAGQQRWWEQEIKINGNIQKGELITYNLTELIKPEAYEVRLTPL TKFGEGDSTIRVIKYTGEFHCGFEDGNICLFTQDDTDNFDWTKQSTATRNTKYTPNTGPS ADRSGSKEGFYMYIETSRPRLEGEKARLLSPVFSIAPKNPYGPTNSAYCFSFFYHMYGQH IGVLNVYLRLKGQTTIENPLWSSSGNKGQRWNEAHVNIYPITSFQLIFEGIRGPGIEGDI AIDDVSIAEGECAKQDLPTKNSVDGAVGILVHIWLFPVIILISILSPRR >ENSMUSP00000098930.3 pep:known chromosome:GRCm38:12:66471469:67221202:-1 gene:ENSMUSG00000034912.16 transcript:ENSMUST00000101379.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mdga2 description:MAM domain containing glycosylphosphatidylinositol anchor 2 [Source:MGI Symbol;Acc:MGI:2444706] MDLVYGLVWLLTVLLEGISGQGVYAPPTVRIVHSGLACNIEEERYSERVYTIREGETLEL TCLVTGHPRPQVDQNSRKRL >ENSMUSP00000109575.2 pep:known chromosome:GRCm38:12:66470636:66471058:-1 gene:ENSMUSG00000034912.16 transcript:ENSMUST00000113942.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdga2 description:MAM domain containing glycosylphosphatidylinositol anchor 2 [Source:MGI Symbol;Acc:MGI:2444706] KQGTWRAGIYFPFKKVLCRELNKCRPFYLWLLPFLENTPNSELFKGFISLPALSHPTFTV VWVLFLLFLLFLLLLLFVVVLFFFLFSFFFLPHMAKLDNCMMSLFACTTIQDGKPGLTYY TGLSEFACGHLTPKLRHLFL >ENSMUSP00000096807.4 pep:known chromosome:GRCm38:3:15371653:15426422:-1 gene:ENSMUSG00000095788.6 transcript:ENSMUST00000099201.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1a description:signal-regulatory protein beta 1A [Source:MGI Symbol;Acc:MGI:2444824] MLLLDAWTHIPHSVLLLILLLGFKGAAVRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWYRGVGQSRLLIYPFTGEHSPRITNVSDVTKRNNMDFSIRISNVTPADSGTYYCVK FQRGSSEPDIEIQSGGGTELLVLAKPSSPMVSGPAARAVPQQTVTFTCRSHGFFPRNLTL KWFKNGDEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEIRQQPTMVWNVINVTCQIQKFYPPSFQLTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCKVEHDEQAEVIETHTVLVTEHQRVKGTATKS ELKTAGIAKIPVAVLLGSKILLLIAATVIYMHKKQNA >ENSMUSP00000141504.1 pep:known chromosome:GRCm38:3:15371653:15426520:-1 gene:ENSMUSG00000095788.6 transcript:ENSMUST00000192700.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1a description:signal-regulatory protein beta 1A [Source:MGI Symbol;Acc:MGI:2444824] MLLLDAWTHIPHSVLLLILLLGFKGAAVRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWYRGVGQSRLLIYPFTGEHSPRITNVSDVTKRNNMDFSIRISNVTPADSGTYYCVK FQRGSSEPDIEIQSGGGTELLVLAKPSSPMVSGPAARAVPQQTVTFTCRSHGFFPRNLTL KWFKNGDEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEIRQQPTMVWNVINVTCQIQKFYPPSFQLTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCKVEHDEQAEVIETHTVLVTEHQRVKELKTAG IAKIPVAVLLGSKILLLIAATVIYMHKKQNA >ENSMUSP00000141659.1 pep:known chromosome:GRCm38:3:15411189:15426446:-1 gene:ENSMUSG00000095788.6 transcript:ENSMUST00000194144.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1a description:signal-regulatory protein beta 1A [Source:MGI Symbol;Acc:MGI:2444824] MLLLDAWTHIPHSVLLLILLLGFKDVTKRNNMDFSIRISNVTPADSGTYYCVKFQRGSSE PDIEIQSGGGTELLVLAKPSSPMVSGPAARAVPQQTVTFTCRSHGFFPRNLTLKWFKNGD EISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRGIAHISEF IQ >ENSMUSP00000056043.6 pep:known chromosome:GRCm38:5:114123926:114128176:-1 gene:ENSMUSG00000044339.12 transcript:ENSMUST00000053657.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh2 description:alkB homolog 2, alpha-ketoglutarate-dependent dioxygenase [Source:MGI Symbol;Acc:MGI:2141032] MDKFLVRPDLRDLQGGGEEPAPTGGASGDLKSPDWRHLRAEGLSCDYTVLFGKAEADKIF RELEQEVEYFTGALAKVQVFGKWHSVPRKQATYGDAGLTYTFSGLTLTPKPWVPVLERVR DRVCEVTGQTFNFVLVNRYKDGCDHIGEHRDDERELAPGSPIASVSFGACRDFIFRHKDS RGKRPRRTVEVVRLQLAHGSLLMMNPPTNTHWYHSLPIRKRVLAPRVNLTFRKILPTKK >ENSMUSP00000107898.1 pep:known chromosome:GRCm38:5:114123935:114128218:-1 gene:ENSMUSG00000044339.12 transcript:ENSMUST00000112279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh2 description:alkB homolog 2, alpha-ketoglutarate-dependent dioxygenase [Source:MGI Symbol;Acc:MGI:2141032] MDKFLVRPDLRDLQGGGEEPAPTGGASGDLKSPDWRHLRAEGLSCDYTVLFGKAEADKIF RELEQEVEYFTGALAKVQVFGKWHSVPRKQATYGDAGLTYTFSGLTLTPKPWVPVLERVR DRVCEVTGQTFNFVLVNRYKDGCDHIGEHRDDERELAPGSPIASVSFGACRDFIFRHKDS RGKRPRRTVEVVRLQLAHGSLLMMNPPTNTHWYHSLPIRKRVLAPRVNLTFRKILPTKK >ENSMUSP00000142877.1 pep:known chromosome:GRCm38:5:114127606:114128181:-1 gene:ENSMUSG00000044339.12 transcript:ENSMUST00000149418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh2 description:alkB homolog 2, alpha-ketoglutarate-dependent dioxygenase [Source:MGI Symbol;Acc:MGI:2141032] MDKFLVRPDLRDLQGGGEEPAPTGGASGDLKSPDWRHLRAEGLS >ENSMUSP00000145742.1 pep:known chromosome:GRCm38:14:50186262:50187218:-1 gene:ENSMUSG00000108386.1 transcript:ENSMUST00000205837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr730 MNVANKSVVTEFVLLGLSNSWELQIFFFIVFSLFYVATMVSNSMIVLIVISDSHLHSAMY FLLTNLSIIDMSLASFATPKMIIDYLTDHKTISFDGCIAQIFFLHLFTGTEIILLMAMSF DRYIAICKPLRYASIISPQVCIAFVVSSWVVGTMHSMSQVIFALTLPFCGPNKIDSFFCD LPVVFQLSCVDTYVLGLFMISTSGIIALSCFILLFNSYIIVLVTIKHHSSKGSSKALSTC TAHFIVVFMFFGPCIFIYMWPQNSFVIEKILSVFYTIFTPIMNPVIYTLRNHEVNSAMRK LRSKFLNFSTETPSHSL >ENSMUSP00000123884.1 pep:known chromosome:GRCm38:19:39767227:39812814:-1 gene:ENSMUSG00000025004.15 transcript:ENSMUST00000162630.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp2c40 description:cytochrome P450, family 2, subfamily c, polypeptide 40 [Source:MGI Symbol;Acc:MGI:1306815] MPKRKILICECCIKCLECLIIPVSVRRVSMDPFVVLVLCLSFLLVLSLWRQRSARGNLPP GPTPLPIIGNYHLIDMKDIGQCLTNFSKTYGPVFTLYFGSQPIVVLHGYEAIKEALIDHG EEFSGRGRIPVFDKVSTGKGIGFSHGNVWKATRVFTVNTLRNLGMGKRTIENKVQEEAQW LMKELKKTNESFRL >ENSMUSP00000124618.1 pep:known chromosome:GRCm38:19:39807239:39812744:-1 gene:ENSMUSG00000025004.15 transcript:ENSMUST00000162507.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c40 description:cytochrome P450, family 2, subfamily c, polypeptide 40 [Source:MGI Symbol;Acc:MGI:1306815] MDPFVVLVLCLSFLLVLSLWRQRSARGNLPPGPTPLPIIGNYHLIDMKDIGQCLTNPIVV LHGYEAIKEALIDHGEEFSGRGRIPVFDKVSTGKGIGFSHGNVWKATRVFTVNTLRNLGM >ENSMUSP00000125217.1 pep:known chromosome:GRCm38:19:39767071:39812814:-1 gene:ENSMUSG00000025004.15 transcript:ENSMUST00000160476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c40 description:cytochrome P450, family 2, subfamily c, polypeptide 40 [Source:MGI Symbol;Acc:MGI:1306815] MPKRKILICECCIKCLECLIIPVSVRRVSMDPFVVLVLCLSFLLVLSLWRQRSARGNLPP GPTPLPIIGNYHLIDMKDIGQCLTNFSKTYGPVFTLYFGSQPIVVLHGYEAIKEALIDHG EEFSGRGRIPVFDKVSTGKGIGFSHGNVWKATRVFTVNTLRNLGMGKRTIENKVQEEAQW LMKELKKTNGSPCDPQFIIGCAPCNVICSIVFQNRFDYKDKDFLSLIGKVNECTEILSSP GCQIFNAVPILIDYCPGSHNKLFKNHTWIKSYLLGKIKEHEESLDVTNPRDFIDYFLIQR RQKNGIEHMDYTIEHLATLVTDLVFGGTETLSSTMRFALLLLMKHTHITAKVQEEIDNVI GRHRSPCMQDRNHMPYTNAMVHEVQRYIDLGPNGVVHEVTCDTKFRNYFIPKGTQVMTSL TSVLHDSTEFPNPEVFDPGHFLDDNGNFKKSDYFVPFSAGKRICVGESLARMELFLFLTT ILQNFKLKPLVDPKDIDMTPKHSGFSKIPPNFQMCFIPVE >ENSMUSP00000135571.1 pep:known chromosome:GRCm38:6:57024106:57025324:-1 gene:ENSMUSG00000093696.1 transcript:ENSMUST00000176252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r7 description:vomeronasal 1 receptor 7 [Source:MGI Symbol;Acc:MGI:2159467] MSSFKNVLYFQTGLGVLANTVLLFFYVFIILGHRPKPTDLISCQLSFVHIMVVLIGGDIL LADIFESLNIGNDFKCKTTFYLFRVMRGLSICITCLLSVFQAVTISPNTSVLAKFKQKVK KYMTHVFLCIWFFNLSFSTNQIFYVGGFTNVSETNQMQVTKSCSLLPMNYIIRGLIFTIS ISRDVFLVGVMLTTSVYMVIFLFRHQRQCKHLHSFSHPRESPEKRATQTIMLLVSFFVVL YWMDFIISFTSEMLRMYDPVILTLQKFMMYAYPTITPLVQISSDNRIIIMLKNIQSKCHP SFSLKDFFFFI >ENSMUSP00000117246.1 pep:known chromosome:GRCm38:19:10199132:10204169:-1 gene:ENSMUSG00000024742.15 transcript:ENSMUST00000156291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fen1 description:flap structure specific endonuclease 1 [Source:MGI Symbol;Acc:MGI:102779] MGIHGLAKLIADVAPSAIRENDIKSYFGRKVAIDASMSIYQFLIAVRQGGDVLQNEEGET TSHLMGMFYRTIRMMENGIKPVYVFDGKPPQLKSGELAKRSERRAEAEKQLQQAQEAGME EEVEKFTKRLVKVTKQHNDECKHLLSLMGIPYLDAPSEAEASCAALAKAGKVYAAATEDM DCLTFGSPVLMRHLTASEAKKLPIQEFHLSRVLQELGLNQEQFVDLCILLGSDYCESIRG IGPKRAVDLIQKHKSIEEIVRRLDPSKYPVPENWLHKEAQQLFLEPEVLDPESVELKWSE PNEEELVKFMCGEKQFSEERIRSGVKRLSKSRQGSTQGRLDDFFKVTGSLSSAKRKEPEP KGPAKKKAKTGGAGKFRRGK >ENSMUSP00000112241.2 pep:known chromosome:GRCm38:19:10199138:10203943:-1 gene:ENSMUSG00000024742.15 transcript:ENSMUST00000116542.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fen1 description:flap structure specific endonuclease 1 [Source:MGI Symbol;Acc:MGI:102779] MGIHGLAKLIADVAPSAIRENDIKSYFGRKVAIDASMSIYQFLIAVRQGGDVLQNEEGET TSHLMGMFYRTIRMMENGIKPVYVFDGKPPQLKSGELAKRSERRAEAEKQLQQAQEAGME EEVEKFTKRLVKVTKQHNDECKHLLSLMGIPYLDAPSEAEASCAALAKAGKVYAAATEDM DCLTFGSPVLMRHLTASEAKKLPIQEFHLSRVLQELGLNQEQFVDLCILLGSDYCESIRG IGPKRAVDLIQKHKSIEEIVRRLDPSKYPVPENWLHKEAQQLFLEPEVLDPESVELKWSE PNEEELVKFMCGEKQFSEERIRSGVKRLSKSRQGSTQGRLDDFFKVTGSLSSAKRKEPEP KGPAKKKAKTGGAGKFRRGK >ENSMUSP00000025651.4 pep:known chromosome:GRCm38:19:10199138:10204068:-1 gene:ENSMUSG00000024742.15 transcript:ENSMUST00000025651.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fen1 description:flap structure specific endonuclease 1 [Source:MGI Symbol;Acc:MGI:102779] MGIHGLAKLIADVAPSAIRENDIKSYFGRKVAIDASMSIYQFLIAVRQGGDVLQNEEGET TSHLMGMFYRTIRMMENGIKPVYVFDGKPPQLKSGELAKRSERRAEAEKQLQQAQEAGME EEVEKFTKRLVKVTKQHNDECKHLLSLMGIPYLDAPSEAEASCAALAKAGKVYAAATEDM DCLTFGSPVLMRHLTASEAKKLPIQEFHLSRVLQELGLNQEQFVDLCILLGSDYCESIRG IGPKRAVDLIQKHKSIEEIVRRLDPSKYPVPENWLHKEAQQLFLEPEVLDPESVELKWSE PNEEELVKFMCGEKQFSEERIRSGVKRLSKSRQGSTQGRLDDFFKVTGSLSSAKRKEPEP KGPAKKKAKTGGAGKFRRGK >ENSMUSP00000119221.1 pep:known chromosome:GRCm38:19:10199142:10203894:-1 gene:ENSMUSG00000024742.15 transcript:ENSMUST00000142241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fen1 description:flap structure specific endonuclease 1 [Source:MGI Symbol;Acc:MGI:102779] MGIHGLAKLIADVAPSAIRENDIKSYFGRKVAIDASMSIYQFLIAVRQGGDVLQNEEGET TSHLMGMFYRTIRMMENGIKPVYVFDGKPPQLKSGELAKRSERRAEAEKQLQQAQEAGME EEVEKFTKRLVKVTKQHNDECKHLLSLMGIPYLDAPSEAEASCAALAKAGKVYAAATEDM DCLTFGSPVLMRHLTASEAKKLPIQEFHLSRVLQELGLNQEQFVDLCILLGSDYCESIRG IGPKRAVDLIQKHKSIEEIVRRLDPSKYPVPENWLHKEAQQLFLEPEVLDPESVELKWSE PNEEELVKFMCGEKQFSEERIRSGVKRLSKSRQGSTQGRLDDFFKVTGSLSSAKRKEPEP KGPAKKKAKTGGAGKFRRGK >ENSMUSP00000133637.1 pep:known chromosome:GRCm38:7:82335732:82614450:1 gene:ENSMUSG00000070469.12 transcript:ENSMUST00000173287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl3 description:ADAMTS-like 3 [Source:MGI Symbol;Acc:MGI:3028499] MAVRETPMILDPMASWTGSWWLLTRMIFTVSGLLQATAEKSPGAYFLPEFALSPQGSFLE DTTGEQFLTYRYDDQTSRNAHSEEDKDGNWDAWGDWSDCSRTCGGGASYSLRRCLTGRNC EGQNIRYKTCSNHDCPADAEDFRAQQCSAYNDVQYQGHYYEWIPLYNDPAAPCALKCHAR GQSLVVELAPKVLDGTRCNADSLDMCISGICQIVGCDRQLGSNAKEDNCGVCAGDGSTCR LVRGQTKMHLSPEKKEENVIAVPLGSRSVRITVKGPALIFIESKTLQGSRGEHSFNSPGV FTVENTTIEFQKGADRQTFKIAGPLMADFIFKTRYTVAKGSVVQFFFYQPISHQWRQTDF FPCTVTCGGGYQLNSAECMDIRLKRVVPDHYCHYYPENVKPKPKLKECSMDPCPSSDGFK EIMPYDHFQPLPRWEHNPWTACSVSCGGGIQRRSFVCVEESMHAEILQVEEWKCMYAPKP TVMQACNLFDCPKWVAMEWSQCTVTCGRGLRYRVVLCINHRGQHVGGCNPQMKLHIKEEC VIPIPCYKPREKSPVEAKLPWLKQAQELEETRIATEEPKFIPEPWSACSTTCGPGIQVRE VRCRVLLTFTQTEAELPEEECEGPKPPTDRPCLRQACDQSPVSRELGGRLQEKDSEMTYD WEYAGFTPCTATCLGGHQEAIAVCLHTQTHQTVNDSLCDTVHRPPPMSQACNMEPCPPRW HMGSWGACSATCGVGIQTRDVYCLHPEEFPAPPEECREEKPHALRACNQFDCPPSWHIEE WQQCSRTCGGGTQNRRVTCRQLLTDGSFLNLSDELCQGPKASSHKSCARTDCPPQLAAGD WSKCSVTCGVGFQRRKQVCQKLTAKGRRVSVAETLCRDLPGLPLVRPCQMPICSKAKLGT KTKLGERGPQILGVRRVYIQTREEKRINLTVGSRAYLLLNTSVIIKCPVRRFQKSLIQWE KDGHCLKNSKQLGITKSGSLKIHSLAAPDIGVYRCIAGSAQETVVLKLIGTDNRLIAHPS LREHVRGHPGVDHNEANSLGATWHKMRQMWNNKNDLYLHGGEMNKQPFLRALFGPCRTSE GNDNSWEFKNKQFEAAMKQGAYSMDTAQFDELIRNMSQLMEMGEASDDLASQMIYQLVAE LAKAQPTPVQWRGIREEVAPEAQLRGKTERVPKNPTVKNSGKLTFKPKGPVLMRQILPPS VSFNKTINARIGNTVYITKSTEIINILCSLITPSKEATYTWTKDGALLQPSVKIILDETG KMQIRNPTRKEQGIYECSVASHLGSDVESSLVLYAEAPVILSIERNVTKPEHNHLSVVVG GTVEAALQANVTIHCPVKGVPQPNVTWLKTGGSLSDNVSLLFNGSLLLQSASLENEGTYI CTATNALGKAVATSGLRLLERREPGGKAAFPKVQKTRVLQAPRSRINSNNLTGKSLPQEH FWEPGNWTHCSATCGPLGFRLQRPRCVMASGQEVSEALCGPHRKPLAGFQSCNIRDCPAR WFTSMWSECSASCGEGFHSRQVTCKQTKANGTVQVVSPRACAPKDRPLGRKPCSIRPCVQ QAIDPGNQCPGRCMGHATRMQHHHTACSHNSSSSDCEDGRRPAFGRNCTLGPCEACWRVG PWKPCTAVCGRGFQSRKVDCIHTGSCKIVADRYCVQVKPAAWRHCLGPSCDRNCTDTTHY CMFVKHLNLCSLAVYRQRCCQSCQEG >ENSMUSP00000133337.2 pep:known chromosome:GRCm38:7:82337218:82612430:1 gene:ENSMUSG00000070469.12 transcript:ENSMUST00000173828.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl3 description:ADAMTS-like 3 [Source:MGI Symbol;Acc:MGI:3028499] MASWTGSWWLLTRMIFTVSGLLQVIEWKSLIQWEKDGHCLKNSKQLGITKSGSLKIHSLA APDIGVYRCIAGSAQETVVLKLIGTDNRLIAHPSLREHVRGHPGVDHNEANSLGATWHKM RQMWNNKNDLYLHGGEMNKQPFLRALFGPCRTSEGNDNSWEFKNKQFEAAMKQGAYSMDT AQFDELIRNMSQLMEMGEASDDLASQMIYQLVAELAKAQPTPVQWRGIREEVAPEAQLRG KTERVPKNPTVKNSGKLTFKPKGPVLMRQILPPSVSFNKTINARIGNTVYITKSTEIINI LCSLITPSKEATYTWTKDGALLQPSVKIILDETGKMQIRNPTRKEQGIYECSVASHLGSD VESSLVLYAEAPVILSIERNVTKPEHNHLSVVVGGTVEAALQANVTIHCPVKGVPQPNVT WLKTGGSLSDNVSLLFNGSLLLQSASLENEGTYICTATNALGKAVATSGLRLLERREPGG KAAFPKVQKTRVLQAPRSRINSNNLTGKSLPQEHFWEPGNWTHCSATCGPLGFRLQRPRC VMASGQEVSEALCGPHRKPLAGFQSCNIRDCPARWFTSMWSECSASCGEGFHSRQVTCKQ TKANGTVQVVSPRACAPKDRPLGRKPCSIRPCVQQAIDPGNQCPGRCMGHATRMQHHHTA CSHNSSSSDCEDGRRPAFGRNCTLGPCEACWRVGPWKPCTAVCGRGFQSRKVDCIHTGSC KIVADRYCVQVKPAAWRHCLGPSCDSTYPSQETARTQLTTVCS >ENSMUSP00000134150.1 pep:known chromosome:GRCm38:7:82611777:82612774:1 gene:ENSMUSG00000070469.12 transcript:ENSMUST00000172784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl3 description:ADAMTS-like 3 [Source:MGI Symbol;Acc:MGI:3028499] CIHTGSCKIVADRYCVQVKPAAWRHCLGPSCDSTYPSQETARTQLTTVCS >ENSMUSP00000103222.2 pep:known chromosome:GRCm38:11:97509340:97575215:-1 gene:ENSMUSG00000038453.16 transcript:ENSMUST00000107596.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcin1 description:SRC kinase signaling inhibitor 1 [Source:MGI Symbol;Acc:MGI:1933179] MGNAPSQDPERSSPPMLSADDAEYPREYRTLGGGGGGGSGGRRFSNVGLVHTSERRHTVI AAQSLEALSGLQKADADRKRDAFMDHLKSKYPQHALALRGQQDRMREQVGGWTVDPVCLL SSLCSHLHGDSTPSGAGQPAQQPNYWSFKTRSSRHTQGAQPGLADQAAKLSYASAESLET MSEAELPLGFSRMNRFRQSLPLSRSASQTKLRSPGVLFLQFGEETRRVHITHEVSSLDTL HALIAHMFPQKLTMGMLKSPNTAILIKDEARNVFYELEDVRDIQDRSIIKIYRKEPLYAA FPGSHLTNGDLRREMVYASRESSPTRRLNNLSPASHLASSSPPPGLPSGLPSGLPSGSPS RSRLSYAGGRPPSYAGSPVHHAAERLGGAPTGQGVSPSPSAILERRDVKPDEDLAGKAGG MVLVKGEGLYADPYGLLHEGRLSLAAAAGDPFAYPGAGGLYKRGSVRSLSTYSAAALQSD LEDSLYKAGAGGPLYGDGYGFRLPPSSPQKLADVSAPSGGPPPPHSPYSGPPSRGSPVRQ SFRKDSGSSSVFAESPGGKARSTGSASTAGAPPSELFPGPGERSLVGFGPPVPAKDTETR ERMEAMEKQIASLTGLVQSALLRGSEPETPSEKVEGSNGAATPSAPVCGSGSKSSGATPV SGPPPPSASSTPAGQPTAVSRLQMQLHLRGLQNSASDLRGQLQQLRKLQLQNQESVRALL KRTEAELSMRVSEAARRQEDPLQRQRTLVEEERLRYLNDEELITQQLNDLEKSVEKIQRD VAHNHRLVPGPELEEKALVLKQLGETLTELKAHFPGLQSKMRVVLRVEVEAVKFLKEEPQ RLDGLLKRCRGVTDTLAQIRRQVDEGMWPPPNNLLNQSPKKVAAETDFSKGLDFEIPPPS PPLNLHELSGPAEGTPLTPKSTNPTKGLDASSKRNTDKAVSVEAAERDWEEKRAALTQYS AKDINRLLEETQAELLKAIPDLDCASKTHPGPAPTPDHKPPKAPHGQKAAPRTEPSGRRG SDELTVPRYRTEKPSKSPPPPPPRRSFPSSHGLTTTRTGEVVVTSKKDSVFIKKAESEEL EVQKPQVKLRRAVSEVVRPASTPPIMASAIKDEDDEERIIAELESGGSSVPPMKVVTPGA SRLKAAQGPAGSPDKGKHGKQRTEYMRIQAQQQATKPSKEVSGPNETSSPGSEKPSGSRT SIPVLTSFGARNSSISF >ENSMUSP00000103219.1 pep:known chromosome:GRCm38:11:97512859:97574053:-1 gene:ENSMUSG00000038453.16 transcript:ENSMUST00000107593.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcin1 description:SRC kinase signaling inhibitor 1 [Source:MGI Symbol;Acc:MGI:1933179] MQPWQCLRRFALAWWERTAEGRARSPREEVGPRDPGGRGEPDPERSSPPMLSADDAEYPR EYRTLGGGGGGGSGGRRFSNVGLVHTSERRHTVIAAQSLEALSGLQKADADRKRDAFMDH LKSKYPQHALALRGQQDRMREQQPNYWSFKTRSSRHTQGAQPGLADQAAKLSYASAESLE TMSEAELPLGFSRMNRFRQSLPLSRSASQTKLRSPGVLFLQFGEETRRVHITHEVSSLDT LHALIAHMFPQKLTMGMLKSPNTAILIKDEARNVFYELEDVRDIQDRSIIKIYRKEPLYA AFPGSHLTNGDLRREMVYASRESSPTRRLNNLSPASHLASSSPPPGLPSGLPSGLPSGSP SRSRLSYAGGRPPSYAGSPVHHAAERLGGAPTGQGVSPSPSAILERRDVKPDEDLAGKAG GMVLVKGEGLYADPYGLLHEGRLSLAAAAGDPFAYPGAGGLYKRGSVRSLSTYSAAALQS DLEDSLYKAGAGGPLYGDGYGFRLPPSSPQKLADVSAPSGGPPPPHSPYSGPPSRGSPVR QSFRKDSGSSSVFAESPGGKARSTGSASTAGAPPSELFPGPGERSLVGFGPPVPAKDTET RERMEAMEKQIASLTGLVQSALLRGSEPETPSEKVEGSNGAATPSAPVCGSGSKSSGATP VSGPPPPSASSTPAGQPTAVSRLQMQLHLRGLQNSASDLRGQLQQLRKLQLQNQESVRAL LKRTEAELSMRVSEAARRQEDPLQRQRTLVEEERLRYLNDEELITQQLNDLEKSVEKIQR DVAHNHRLVPGPELEEKALVLKQLGETLTELKAHFPGLQSKMRVVLRVEVEAVKFLKEEP QRLDGLLKRCRGVTDTLAQIRRQVDEGMWPPPNNLLNQSPKKVAAETDFSKGLDFEIPPP SPPLNLHELSGPAEGTPLTPKSTNPTKGLDASSKRNTDKAVSVEAAERDWEEKRAALTQY SAKDINRLLEETQAELLKAIPDLDCASKTHPGPAPTPDHKPPKAPHGQKAAPRTEPSGRR GSDELTVPRYRTEKPSKSPPPPPPRRSFPSSHGLTTTRTGEVVVTSKKDSVFIKKAESEE LEVQKPQVKLRRAVSEVVRPASTPPIMASAIKDEDDEERIIAELESGGSSVPPMKVVTPG ASRLKAAQGPAGSPDKGKHGKQRTEYMRIQAQQQ >ENSMUSP00000103216.1 pep:known chromosome:GRCm38:11:97516664:97573944:-1 gene:ENSMUSG00000038453.16 transcript:ENSMUST00000107590.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcin1 description:SRC kinase signaling inhibitor 1 [Source:MGI Symbol;Acc:MGI:1933179] MQPWQCLRRFALAWWERTAEGRARSPREEVGPRDPGGRGEPDPERSSPPMLSADDAEYPR EYRTLGGGGGGGSGGRRFSNVGLVHTSERRHTVIAAQSLEALSGLQKADADRKRDAFMDH LKSKYPQHALALRGQQDRMREQQPNYWSFKTRSSRHTQGAQPGLADQAAKLSYASAESLE TMSEAELPLGFSRMNRFRQSLPLSRSASQTKLRSPGVLFLQFGEETRRVHITHEVSSLDT LHALIAHMFPQKLTMGMLKSPNTAILIKDEARNVFYELEDVRDIQDRSIIKIYRKEPLYA AFPGSHLTNGDLRREMVYASRESSPTRRLNNLSPASHLASSSPPPGLPSGLPSGLPSGSP SRSRLSYAGGRPPSYAGSPVHHAAERLGGAPTGQGVSPSPSAILERRDVKPDEDLAGKAG GMVLVKGEGLYADPYGLLHEGRLSLAAAAGDPFAYPGAGGLYKRGSVRSLSTYSAAALQS DLEDSLYKAGAGGPLYGDGYGFRLPPSSPQKLADVSAPSGGPPPPHSPYSGPPSRGSPVR QSFRKDSGSSSVFAESPGGKARSTGSASTAGAPPSELFPGPGERSLVGFGPPVPAKDTET RERMEAMEKQIASLTGLVQSALLRGSEPETPSEKVEGSNGAATPSAPVCGSGSKSSGATP VSGPPPPSASSTPAGQPTAVSRLQMQLHLRGLQNSASDLRGQLQQLRKLQLQNQESVRAL LKRTEAELSMRVSEAARRQEDPLQRQRTLVEEERLRYLNDEELITQQLNDLEKSVEKIQR DVAHNHRLVPGPELEEKALVLKQLGETLTELKAHFPGLQSKMRVVLRVEVEAVKFLKEEP QRLDGLLKRCRGVTDTLAQIRRQVDEGMWPPPNNLLNQSPKKVAAETDFSKGLDFEIPPP SPPLNLHELSGPAEGTPLTPKSTNPTKGLDASSKRNTDKAVSVEAAERDWEEKRAALTQY SAKDINRLLEETQAELLKAIPDLDCASKTHPGPAPTPDHKPPKAPHGQKAAPRTEPSGRR GSDELTVPRYRTEKPSKSPPPPPPRRSFPSSHGLTTTRTGEVVVTSKKDSVFIKKAESEE LEVQKPQVKLRRAVSEVVRPASTPPIMASAIKDEDDEERIIAELESGGSSVPPMKVVTPG ASRLKAAQGPAGSPDKGKHGKQRTEYMRIQAQQQVRVDFQAPRPLEGHTPRLCYMPLS >ENSMUSP00000116444.1 pep:known chromosome:GRCm38:11:97534887:97573929:-1 gene:ENSMUSG00000038453.16 transcript:ENSMUST00000126287.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcin1 description:SRC kinase signaling inhibitor 1 [Source:MGI Symbol;Acc:MGI:1933179] MQPWQCLRRFALAWWERTAEGRARSPREEVGPRDPGGRGEPDPERSSPPMLSADDAEYPR EYRTLGGGGGGGSGGRRFSNVGLVHTSERRHTVIAAQSLEALSGLQKADADRKRDAFMDH LKSKYPQHALALRGQQDRMREQVGGWTVDPVCLLSSLCSHLHGDSTPSGAGQPAQTRSSR HTQGAQPGLADQAAKLSYASAESLETMSEAELPLGFSRMNRFRQSLPLSRSASQTKLRSP GVLFLQFGEETRRVHITHEVSSLDTLHALIAHMFPQKLTMGMLKSPNTAILIKDEARNVF YELEDVRDIQDRSIIKIYRKEPLYAAFPGSHLTNGDLRREMVYASRESSPTRRLNNLSPA SHLASSSPPPGLPSGLPSGLPSGSPSRSRLSYAGGRPPSYAGSPVHHAAERLGGAPTGQG VSPSPSAILERRDVKPDEDLAGKAGGMVLVKGEGLYADPYGLLHEGRLSLAAAA >ENSMUSP00000146591.1 pep:known chromosome:GRCm38:11:97551873:97574047:-1 gene:ENSMUSG00000038453.16 transcript:ENSMUST00000207653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcin1 description:SRC kinase signaling inhibitor 1 [Source:MGI Symbol;Acc:MGI:1933179] MQPWQCLRRFALAWWERTAEGRARSPREEVGPRDPGGRGEPGLQRAAGGKPAGLCPLCLK SPDPERSSPPMLSADDAEYPREYRTLGGGGGGGSGGRR >ENSMUSP00000105080.1 pep:known chromosome:GRCm38:2:160906437:160912317:-1 gene:ENSMUSG00000050700.11 transcript:ENSMUST00000109454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emilin3 description:elastin microfibril interfacer 3 [Source:MGI Symbol;Acc:MGI:2389142] MGRRLSVWLCTVAALLSGAQAKGTPLLARPAQPSASRYSLYTTGWRPRLRPGPHKSLCAY VVHRNVTCVLQEGAESYIKAEYRNCGWGPNCPSTVRYRTVFRPRYKIGYKTVTDLAWRCC PGLTGESCPEHLTDHGATPPHQEPEPQIPLGQLGPGPRPSPYSREAPRPRGFGVIPEGLV APEDRGRGPLIPPLSEILSKVTEVSNTLQTKVQLLDEVRGLALGHEAHLQRLREAPPSPL TSLALLEEYVDQRLQRLWGSLLDGFEQKLQGVQSECDLRVQEVRQQCEEGQAASQRLHQS LDGRELALRRELSQLGTQLQGLTLTGGGTCCSQLALISARVDSLERNLQAVTETQGGPGT LAADELARLSAAMLQGGVDGLLEGLETINGTENGARGCCLRMEVGGWGVGGFGSTLEQRV QSLEERLATLTGELSPESAIPDRSARPLVHSELAVLEQRLVSLETSCTPSTTTAILDNLV AEVKAWQSRSEALLHQVARHTALLQQLNGTVAEVQGQLAEGTGSSLQGEITLLKVNLNSV SKSLTGLSDSVSQYSDAFSAANTSLDERERRVEAEVHTIQEQISSQGSRLQAGHRQVLNL RGELEQLKAGMANVARGLSRCRDTAQELQHTVGHFDQRVAQVEGACERLGLLATHLNSLP TEQLRSREGLWGHIDKLNHTLAQHTQDIARLRDDLLDCRAQLAEVRPGRAD >ENSMUSP00000059732.4 pep:known chromosome:GRCm38:2:160906438:160912328:-1 gene:ENSMUSG00000050700.11 transcript:ENSMUST00000057169.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emilin3 description:elastin microfibril interfacer 3 [Source:MGI Symbol;Acc:MGI:2389142] MGRRLSVWLCTVAALLSGAQAKGTPLLARPAQPSASRYSLYTTGWRPRLRPGPHKSLCAY VVHRNVTCVLQEGAESYIKAEYRNCGWGPNCPSTVRYRTVFRPRYKIGYKTVTDLAWRCC PGLTGESCPEHLTDHGATPPHQEPEPQIPLGQLGPGPRPSPYSREAPRPRGRKGQGPFGE RLEQRLSQAYGTLSGLVASHENPNRITGDSRAPVVPIGFGVIPEGLVAPEDRGRGPLIPP LSEILSKVTEVSNTLQTKVQLLDEVRGLALGHEAHLQRLREAPPSPLTSLALLEEYVDQR LQRLWGSLLDGFEQKLQGVQSECDLRVQEVRQQCEEGQAASQRLHQSLDGRELALRRELS QLGTQLQGLTLTGGGTCCSQLALISARVDSLERNLQAVTETQGGPGTLAADELARLSAAM LQGGVDGLLEGLETINGTENGARGCCLRMEVGGWGVGGFGSTLEQRVQSLEERLATLTGE LSPESAIPDRSARPLVHSELAVLEQRLVSLETSCTPSTTTAILDNLVAEVKAWQSRSEAL LHQVARHTALLQQLNGTVAEVQGQLAEGTGSSLQGEITLLKVNLNSVSKSLTGLSDSVSQ YSDAFSAANTSLDERERRVEAEVHTIQEQISSQGSRLQAGHRQVLNLRGELEQLKAGMAN VARGLSRCRDTAQELQHTVGHFDQRVAQVEGACERLGLLATHLNSLPTEQLRSREGLWGH IDKLNHTLAQHTQDIARLRDDLLDCRAQLAEVRPGRAD >ENSMUSP00000093561.1 pep:known chromosome:GRCm38:6:57035913:57037125:1 gene:ENSMUSG00000061208.5 transcript:ENSMUST00000078186.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r8 description:vomeronasal 1 receptor 8 [Source:MGI Symbol;Acc:MGI:2159469] MSSFKNVLYFQTGLGVLANTVLLFFYVFIILGHRPKPTDLISCQLSFVHIMMVLIGGDIL LADIFESLNIGNDFKCKTTFYLFRVMRGLSICITCLLSVFQAVTISPNTSVLAKFKQKVK KYMTHVFLCIWFFNLSFSTNRIFYVGGFTNVSETNQMQVTKSCSLLPMNHITRGLIFTIS ISRDVFLVGVMLTTSVYMVIFLFRHQRQCKHLHSFSHLRESPEKRATQTIVLLVSFFVVM YWVDFIISFTSDMIWMYDPVILTLQKFMMYAYPTVTPLVQISSDNRIIIMLKNIQSKHHL SFS >ENSMUSP00000113637.2 pep:known chromosome:GRCm38:6:87009236:87028461:1 gene:ENSMUSG00000029993.16 transcript:ENSMUST00000120240.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfu1 description:NFU1 iron-sulfur cluster scaffold homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913290] MAAAERAWGAAVGVVRLCRRFCHVATPHTFKKQPLHQYVRRPLFPLRAPLCNTVRFMFIQ TQDTPNPNSLKFIPGKPVLETRTMDFPTPAAAFRSPLARQLFRIEGVKSVFFGPDFITVT KENEELDWNLLKPDIYATIMDFFASGLPLVTEETPPPPGEAGSSEEDDEVVAMIKELLDT RIRPTVQEDGGDVIYRGFEDGIVRLKLQGSCTSCPSSIITLKSGIQNMLQFYIPEVEGVE QVMDDDESDEKEANSS >ENSMUSP00000113332.2 pep:known chromosome:GRCm38:6:87009836:87028459:1 gene:ENSMUSG00000029993.16 transcript:ENSMUST00000117583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfu1 description:NFU1 iron-sulfur cluster scaffold homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913290] MAAAERAWGAAVGVVRLCRRFCHVATPHTFKKQPLHQYVRRPLFPLRAPLCNTVRFMFIQ TQDTPNPNSLKFIPGKPVLETRTMDFPTPAAAFRSPLARQLFRIEGVKSVFFGPDFITVT KENEELDWNLLKPDIYATIMDFFASGLPLVTEETPPPPGEAGSEEDDEVVAMIKELLDTR IRPTVQEDGGDVIYRGFEDGIVRLKLQGSCTSCPSSIITLKSGIQNMLQFYIPEVEGVEQ VMDDDESDEKEANSS >ENSMUSP00000121746.2 pep:known chromosome:GRCm38:6:87009889:87023186:1 gene:ENSMUSG00000029993.16 transcript:ENSMUST00000144776.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfu1 description:NFU1 iron-sulfur cluster scaffold homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913290] MAAAERAWGAAVGVVRLCRRFCHVATPHTFKKQPLHQYVRRPLFPLRAPLCNTVRFMFIQ TQDTPNPNSLKFIPGKPVLETRTMDFPTPAAAFRSPLARQSARDLNSDPRACVPCTFSAE AVSPALLEAGHKLTVHLKMASNSSCLHFLGVGITDVSHFTQLELRFSRTTERQLFRIEGV KSVFFGPDFITVTKENEELDWNLLKPDIYATIMDFFASGLPLVTEETPPPPGEAGSSEED DEVVAMIKELLDTRI >ENSMUSP00000032060.8 pep:known chromosome:GRCm38:6:87009836:87028454:1 gene:ENSMUSG00000029993.16 transcript:ENSMUST00000032060.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfu1 description:NFU1 iron-sulfur cluster scaffold homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913290] MAAAERAWGAAVGVVRLCRRFCHVATPHTFKKQPLHQYVRRPLFPLRAPLCNTVRFMFIQ TQDTPNPNSLKFIPGKPVLETRTMDFPTPAAAFRSPLARQLFRIEGVKSVFFGPDFITVT KENEELDWNLLKPDIYATIMDFFASGLPLVTEETPPPPGEAGSSEEDDEVVAMIKELLDT RIRPTVQEDGGDVIYRGFEDGIVRLKLQGSCTSCPSSIITLKSGIQNMLQFYIPEVEGVE QVMDDDESDEKEANSS >ENSMUSP00000060437.6 pep:known chromosome:GRCm38:2:58754942:58791242:1 gene:ENSMUSG00000026839.16 transcript:ENSMUST00000059102.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upp2 description:uridine phosphorylase 2 [Source:MGI Symbol;Acc:MGI:1923904] MASILPASNRSMRPDKNTYESPGWTEIPQYRPGCPQIHRKRSVYVKNPYLEGMDEDILYH LDLGTKTHNLPAMFGDVKFVCVGGSPNRMKAFAQFMHKELRLEGDGEDIEDICAGTDRYC MFKTGPVLSVSHGMGIPSISIMLHELIKLLHHAHCCDVTIIRIGTSGGIGIAPGSVVITD TAVDSFFKPRFEQVILDNVVTRSTELDKELANDLFNCSREIPNVPTLIGHTMCTYDFYEG QGRLDGALCSFSREKKLDYLKRAYRAGVRNIEMESTVFAAMCGLCGLRAAVVCVTLLDRL ESDQINLSHDVLVEYQQRPQLLISNFIKKQLGLCDQMS >ENSMUSP00000099816.3 pep:known chromosome:GRCm38:2:58755180:58790668:1 gene:ENSMUSG00000026839.16 transcript:ENSMUST00000102755.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upp2 description:uridine phosphorylase 2 [Source:MGI Symbol;Acc:MGI:1923904] MASILPASNRSMRPDKNTYERKRSVYVKNPYLEGMDEDILYHLDLGTKTHNLPAMFGDVK FVCVGGSPNRMKAFAQFMHKELRLEGDGEDIEDICAGTDRYCMFKTGPVLSVSHGMGIPS ISIMLHELIKLLHHAHCCDVTIIRIGTSGGIGIAPGSVVITDTAVDSFFKPRFEQVILDN VVTRSTELDKELANDLFNCSREIPNVPTLIGHTMCTYDFYEGQGRLDGALCSFSREKKLD YLKRAYRAGVRNIEMESTVFAAMCGLCGLRAAVVCVTLLDRLESDQINLSHDVLVEYQQR PQLLISNFIKKQLGLCDQMS >ENSMUSP00000071474.5 pep:known chromosome:GRCm38:2:58567387:58792971:1 gene:ENSMUSG00000026839.16 transcript:ENSMUST00000071543.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upp2 description:uridine phosphorylase 2 [Source:MGI Symbol;Acc:MGI:1923904] MLARRPRPPTLRAARAVGCCEPGWRDAGEARGTGSGQSMASILPASNRSMRPDKNTYERK RSVYVKNPYLEGMDEDILYHLDLGTKTHNLPAMFGDVKFVCVGGSPNRMKAFAQFMHKEL RLEGDGEDIEDICAGTDRYCMFKTGPVLSVSHGMGIPSISIMLHELIKLLHHAHCCDVTI IRIGTSGGIGIAPGSVVITDTAVDSFFKPRFEQVILDNVVTRSTELDKELANDLFNCSRE IPNVPTLIGHTMCTYDFYEGQGRLDGALCSFSREKKLDYLKRAYRAGVRNIEMESTVFAA MCGLCGLRVVPMSANSVRLKTCKLL >ENSMUSP00000119411.1 pep:known chromosome:GRCm38:4:88396144:88438915:-1 gene:ENSMUSG00000028497.12 transcript:ENSMUST00000132493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacd4 description:3-hydroxyacyl-CoA dehydratase 4 [Source:MGI Symbol;Acc:MGI:1914025] TPAASRRCQRSRLWPMGPSVLPAWLQPRYRKNVYLFIYYLIQFCGHSWILANMTVRFFSF GKDSMADTFYAIGLVMRVCQSISLLELLHIYIGIESNQLFPRFLQLTERVIILFGVITSQ EEVQEKCVVCVLFILWNLLDMVRYTYSMLSVIGTSYAALTWLSQTLWMPIYPLCVLAEAF TIYQSLPYFESFGTNSTVLPFDLSTCFPYVLKLYLMMLFIGNAKMFLIKCKKLCLKVFHS PIHLLHVLNTGHTTRPTTRPDI >ENSMUSP00000122565.1 pep:known chromosome:GRCm38:4:88410341:88438928:-1 gene:ENSMUSG00000028497.12 transcript:ENSMUST00000151280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacd4 description:3-hydroxyacyl-CoA dehydratase 4 [Source:MGI Symbol;Acc:MGI:1914025] XLSQPTPAASRRCQRSRLWPMGPSVLPAWLQPRYRKNVYLFIYYLIQFCGHSWILANMTV RFFSFGKDSMADTFYAIGLVMRVCQSISLLELLHIYIGIESNQLFPRFLQLTERVIILFG VITSQEEVQEKCVVCVLFILWNLLDMVRYTYSMLSVIGTSYAALTWLSQTLWMPIYPLCV LAEAFTIYQSLPYFESFGTNSTVLPFDLSTCFPYVLKLYLMMLFIGMYFTYSHLYTERKD FLRVFSVKQKNV >ENSMUSP00000030221.2 pep:known chromosome:GRCm38:4:88412930:88438926:-1 gene:ENSMUSG00000028497.12 transcript:ENSMUST00000030221.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacd4 description:3-hydroxyacyl-CoA dehydratase 4 [Source:MGI Symbol;Acc:MGI:1914025] MGPSVLPAWLQPRYRKNVYLFIYYLIQFCGHSWILANMTVRFFSFGKDSMADTFYAIGLV MRVCQSISLLELLHIYIGIESNQLFPRFLQLTERVIILFGVITSQEEVQEKCVVCVLFIL WNLLDMVRYTYSMLSVIGTSYAALTWLSQTLWMPIYPLCVLAEAFTIYQSLPYFESFGTN STVLPFDLSTCFPYVLKLYLMMLFIGMYFTYSHLYTERKDFLRVFSVKQKNV >ENSMUSP00000125762.1 pep:known chromosome:GRCm38:6:57070895:57071945:1 gene:ENSMUSG00000091541.1 transcript:ENSMUST00000171186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r9 description:vomeronasal 1 receptor 9 [Source:MGI Symbol;Acc:MGI:2159466] MSSFKNVLYFQAGLGVLANMVLLLFYIFIILGHRPKPTDLISCQLTFVHIIMVLTGGDIL LTDLFELLNIENDLKCKTIFYISRVMRGLSICTTCLLSVFQAVTISPSTSFLAKFKQKLK KYMVCVFLCIWSFNLAFSTNRIFYVGGFTNVSETNQMQVTKSCSLLPMNIIIRGLIFTIS TSRDVFLVGVMLTTSVYMVITMFRYHRQCKYLYSISHLRESPEKRATQTILLLVSFFVVM YWVDFIISFTSDMIWMYDPLILTVQKFMMNAYPTITPLVQISSDNRIIIMLKNLQSKHQ >ENSMUSP00000063042.8 pep:known chromosome:GRCm38:6:88902251:88912231:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000055022.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] MASLQEANGSTAWPPPTASNISEPHQCLLLLYEDIGSSRVRYWDLLLLIPNVLFFIFLLW KLPLARAKIRVTSSPIFITFYILVFVVALVGIARAVVSMTVSASDAATVADKILWEITRF FLLAIELSVIILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQGTLEILYPDSHLSAEDF NIYGHGGRQFWLVSSCFFFLVYSLVVILPKTPLKERVSLPSRRSFYVYAGILATLNLLQG LGSALLCANIIVGLCCVDATTFLYFSFFAPLIYVAFLRGFFGSEPKILFSYKCQVDEAEE PDMHLPQPYAVARREGIESAGPACASAANYSSTQFDSAGVAYLDDIASMPCHTGSINSTD SERWKAINA >ENSMUSP00000145050.1 pep:known chromosome:GRCm38:6:88902333:88912231:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000204765.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] MASLQEANGSTAWPPPTASNISEPHQCLLLLYEDIGSSRVRYWDLLLLIPNVLFFIFLLW KLPLARAKIRVTSSPIFITFYILVFVVALVGIARAVVSMTVSASDAATVADKILWEITRF FLLAIELSVIILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQGTLEILYPDSHLSAEDF NIYGHGGRQFWLVSSCFFFLVYSLVVILPKTPLKERVSLPSRRSFYVYAGILATLNLLQG LGSALLCANIIVGLCCVDATTFLYFSFFAPLIYVAFLRGFFGSEPKILFSYKCQVDEAEE PDMHLPQPYAVARREGIESAGPACASAANYSSTQFDSAGVAYLDDIASMPCHTGSINSTD SERWKAINA >ENSMUSP00000118017.2 pep:known chromosome:GRCm38:6:88902342:88910227:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000153874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] MASLQEANGSTAWPPPTASNISEPHQCLLLLYEDIGSSRVRYWDLLLLIPNVLFFIFLLW KLPLARAKIRVTSSPIFITFYILVFVVALVGIARAVVSMTVSASDAATVADKILWEITRF FLLAIELSVIILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQGTLEILYPDSHLSAEDF NIYGHGGR >ENSMUSP00000144931.1 pep:known chromosome:GRCm38:6:88902352:88910211:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000203694.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] MASLQEANGSTAWPPPTASNISEPHQCLLLLYEDIGSSRCLW >ENSMUSP00000144846.1 pep:known chromosome:GRCm38:6:88902453:88912231:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000203345.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] MASLQEANGSTAWPPPTASNISEPHQCLLLLYEDIGSSRVRYWDLLLLIPNVLFFIFLLW KLPLARAKIRVTSSPIFITFYILVFVVALVGIARAVVSMTVSASDAATVADKILWEITRF FLLAIELSVIILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQVCGGGRVEGHISKLCVP KESHGLGRVHFL >ENSMUSP00000145168.1 pep:known chromosome:GRCm38:6:88905946:88912231:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000203185.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] MASLQEANGSTAWPPPTASNISEPHQCLLLLYEDIGSSRVRYWDLLLLIPNVLFFIFLLW KLPLARAKIRVTSSPIFITFYILVFVVALVGIARAVVSMTVSASDAATVADKILWEITRF FLLAIELSVIILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQGTLEILYPDSHLSAEDF NIYGHGGRQFWLVSSCFFFLVYSLVVILPKTPLKERVSLPSRRSFYVYAGILATLNLLQG LGSALLCANIIVGLCCVDATTFLYFSFFAPLIYVAFLRGFFGSEPKILFSYKCQVDEAEE PDMHLPQPYAVARREGIESAGPACASAANYSSTQFDSAGVAYLDDIASMPCHTGSINSTD SERWKAINA >ENSMUSP00000145404.1 pep:known chromosome:GRCm38:6:88905957:88911747:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000203648.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] MASLQEANGSTAWPPPTASNISEPHQCLLLLYEDIGSSRVRYWDLLLLIPNVLFFIFLLW KLPLARAKIRVTSSPIFITFYILVFVVALVGIARAVVSMTVSASDAATVADKILWEITRF FLLAIELSVIILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQGTLEILYPDSHLSAEDF NIYGHGGRQFWLVSSCFFFLVYSLVVILPKTPLKERVSLPSV >ENSMUSP00000145116.1 pep:known chromosome:GRCm38:6:88905975:88910820:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000128790.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] MASLQEANGSTAWPPPTASNISEPHQCLLLLYEDIGSSRVRYWDLLLLIPNVLFFIFLLW KLPLARAKIRVTSSPIFITFYILVFVVALVGIARAVVSMTVSASDAATVADKILWEITRF FLLAIELSVIILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQGTLEILYPDSHLSAEDF NIYGHGGRQFWLVSSCFFFLVRSGVLPGGR >ENSMUSP00000144812.1 pep:known chromosome:GRCm38:6:88906041:88910648:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000152585.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] MASLQEANGSTAWPPPTASNISEPHQCLLLLYEDIGSSSFP >ENSMUSP00000145098.1 pep:known chromosome:GRCm38:6:88907975:88911984:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000150236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] ANGSTAWPPPTASNISEPHQCLLLLYEDIGSSRVRYWDLLLLIPNVLFFIFLLWKLPLAR AKIRVTSSPIFITFYILVFVVALVGIARAVVSMTVSASDAATVADKILWEITRFFLLAIE LSVIILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQGTLEILYPDSHLSAEDFNIYGHG GRQFWLVSSCFFFLLCRCHHLPVLQLLCTTHLCGLPPWLLWL >ENSMUSP00000145368.1 pep:known chromosome:GRCm38:6:88907975:88912238:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000129979.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] ANGSTAWPPPTASNISEPHQCLLLLYEDIGSSRVRYWDLLLLIPNVLFFIFLLWKLPLAR AKIRVTSSPIFITFYILVFVVALVGIARAVVSMTVSASDAATVADKILWEITRFFLLAIE LSVIILGLAFGHLESKSSIKRVLAITTVLSLAYSVTQGTLEILYPDSHLSAEDFNIYGHG GRQFWLVSSCFFFLHGGVSTCMQASWPHSTCCRVWGVLCSALTSLWGSAV >ENSMUSP00000145118.1 pep:known chromosome:GRCm38:6:88907989:88911101:1 gene:ENSMUSG00000002871.14 transcript:ENSMUST00000123257.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpra1 description:transmembrane protein, adipocyte asscociated 1 [Source:MGI Symbol;Acc:MGI:1345190] XRGHRPQHPISVSPTSACCCYMRILAPPASLSSGQDPCHLQPHFYHLLHPGVCGSPGRHC QGCGFYDSQRL >ENSMUSP00000142394.1 pep:known chromosome:GRCm38:5:140340299:140360382:-1 gene:ENSMUSG00000029560.12 transcript:ENSMUST00000197880.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snx8 description:sorting nexin 8 [Source:MGI Symbol;Acc:MGI:2443816] MQMPQGNPLLLSYTLQELLAKDTVQVELIPEKKGLFLKHVEYEVSSQRFKSSVYRRYNDF VVFHEVLLHKFPYRMVPALPPKRVLGAS >ENSMUSP00000142390.1 pep:known chromosome:GRCm38:5:140340301:140360415:-1 gene:ENSMUSG00000029560.12 transcript:ENSMUST00000196130.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx8 description:sorting nexin 8 [Source:MGI Symbol;Acc:MGI:2443816] MQMPQGNPLLLSYTLQELLAKDTVQVELIPEKKGLFLKHVEYEVSSQRFKSSVYRRYNDF VVFHEVLLHKFPYRMVPALPPKRVLGADREFIEGRRRALKRFINLVARHPPFSEDVLLKL FLSFSGSDVQHKLKEAAQCVGDEFMNCKLAARAKDFLPADIQTQFAMSRELIRNVYNSFY KLRDRAERIASRAIDNAADLLIFGKELSALGSDTTPLPSWAALHLSTWGSLKQALKGLSV EFALLADRAAQQGKKEENDVVEKLNLFLDLLQSYKDLCERHEKGVLHKHQRALHKYGLMK RQMMSAAHGREPESVEQLESRIVEQENVIQTMELRNYFSLYCLHQETQLVHVYLPLTSHI LGAFVNSQIQGHKEMSKVWNDLKPKLSCLFAGPHSVLTPPRSPQEDGVCPH >ENSMUSP00000031539.7 pep:known chromosome:GRCm38:5:140340303:140389262:-1 gene:ENSMUSG00000029560.12 transcript:ENSMUST00000031539.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx8 description:sorting nexin 8 [Source:MGI Symbol;Acc:MGI:2443816] MTGRAMDPLPSPAVAAAAEAEADEEADPPATGPRTSQVTEWRALDPGRMQMPQGNPLLLS YTLQELLAKDTVQVELIPEKKGLFLKHVEYEVSSQRFKSSVYRRYNDFVVFHEVLLHKFP YRMVPALPPKRVLGADREFIEGRRRALKRFINLVARHPPFSEDVLLKLFLSFSGSDVQHK LKEAAQCVGDEFMNCKLAARAKDFLPADIQTQFAMSRELIRNVYNSFYKLRDRAERIASR AIDNAADLLIFGKELSALGSDTTPLPSWAALHLSTWGSLKQALKGLSVEFALLADRAAQQ GKKEENDVVEKLNLFLDLLQSYKDLCERHEKGVLHKHQRALHKYGLMKRQMMSAAHGREP ESVEQLESRIVEQENVIQTMELRNYFSLYCLHQETQLVHVYLPLTSHILGAFVNSQIQGH KEMSKVWNDLKPKLSCLFAGPHSVLTPPRSPQEDGVCPH >ENSMUSP00000142556.1 pep:known chromosome:GRCm38:5:140340946:140382733:-1 gene:ENSMUSG00000029560.12 transcript:ENSMUST00000196566.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx8 description:sorting nexin 8 [Source:MGI Symbol;Acc:MGI:2443816] MQMPQGNPLLLSYTLQELLAKDTVQVELIPEKKGLFLKHVEYEVSSQRFKSSVYRRYNDF VVFHEVLLHKFPYRMVPALPPKRVLGADREFIEGRRRALKRFINLVARHPPFSEDVLLKL FLSFSGSDVQHKLKEAAQCVGDEFMNCKLAARAKDFLPADIQTQFAMSRELIRNVYNSFY KLRDRAERIASRAIDNAADLLIFGKELSALGSDTTPLPSWAALHLSTWGSLKQALKGLSV EFALLADRAAQQGKKEENDVVEKLNLFLDLLQSYKDLCERHEKGVLHKHQRALHKYGLMK RQMMSAAHGREPESVEQLESRIVEQENVIQTMELRNYFSLYCLHQETQLVHVYLPLTSHI LGAFVNSQIQGHKEMSKVWNDLKPKLSCLFAGPHSVLTPPRSPQEDGVCPH >ENSMUSP00000142866.1 pep:known chromosome:GRCm38:5:140353432:140367110:-1 gene:ENSMUSG00000029560.12 transcript:ENSMUST00000198945.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx8 description:sorting nexin 8 [Source:MGI Symbol;Acc:MGI:2443816] MDAEKGPRTSQVTEWRALDPGRMQMPQGNPLLLSYTLQELLAKDTVQVELIPEKKGLFLK HVEYEVSSQRFKSSVYRRYNDFVVFHEVLLHKFPYRMVPALPPKRVLGADREFIEGRRRA LKRFINLVARHPPFSEDVLLKLFLSFSGSDVQHKLKEAAQCVGDEFMNCKLAARAK >ENSMUSP00000142491.1 pep:known chromosome:GRCm38:5:140353448:140389241:-1 gene:ENSMUSG00000029560.12 transcript:ENSMUST00000196020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx8 description:sorting nexin 8 [Source:MGI Symbol;Acc:MGI:2443816] MVPALPPKRVLGADREFIEGRRRALKRFINLVARHPPFSEDVLLKLFLSFSGSDVQHKLK EAAQCVGDEFMNCKL >ENSMUSP00000050705.1 pep:known chromosome:GRCm38:14:50237903:50238883:-1 gene:ENSMUSG00000048080.1 transcript:ENSMUST00000059565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr731 description:olfactory receptor 731 [Source:MGI Symbol;Acc:MGI:3030565] MERLNHSRVPEFVLLGLTDSPELQIFFFVAFSIFYLMTMLGNCLILFTVLSTSHLHSPMF FLLSNLSLIDICLSSFATPKMIMDFFAHHKTISFEGCISQIFLLHLFTGTEIVLLISMSF DRYIAICKPLYYSTIMSQKVCVGLVIASWTVGFLHTMSQLVFILYLPFCGPNVVDSFFCD LPLVIQLACIDTYVLGVFMVATSGVIALISFLLLLISYIVVLVTIRGHSSIGSSKALSTC TSHFTVVLMFFGPCILIYVWPFTNFLMDKILSVFYTIFTPFLNPLIYTLRNQEVRTAVKK KISNQYFSFGKINPHYTVKGLERSRE >ENSMUSP00000050730.6 pep:known chromosome:GRCm38:5:114026910:114091570:-1 gene:ENSMUSG00000042078.14 transcript:ENSMUST00000058472.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svop description:SV2 related protein [Source:MGI Symbol;Acc:MGI:1915916] MEEDLFQLRQLPVVKFRRTGESARSEDDAASGEHDIQIEGVRVGLEAIELDDGAAVPKEF ANPTDDTFMVEDAVEAIGFGRFQWKLSVLTGLAWMADAMEMMILSILAPQLHCEWRLPSW QVALLTSVVFIGMMSSSTLWGNISDQYGRKTGLKISVLWTLYYGILSAFAPVYSWILVLR GLVGFGIGGVPQSVTLYAEFLPMKARAKCILLIEVFWAIGTVFEVLLAVFVMPSLGWRWL LLLSAAPLLLFAVLCFWLPESARYDVLSGNQEKAIATLKRIATENGAPMPLGKLIISRQE DRGKMRDLFTPHFRWTTLLLWFIWFSNAFSYYGLVLLTTELFQAGDVCSISSRKKAVEAK CSLACEYLSKEDYMDLLWTTLSEFPGVLVTLWVIDRLGRKKTMALCFIIFSLCSLLLFIC IGRNVLTLLLFIARAFISGGFQAAYVYTPEVYPTATRALGLGTCSGMARVGALITPFIAQ VMLESSVYLTLAVYSGCCLLAGVASCFLPIETKGRALQESSHREWGQEMVGRGTNSAGVP RSDSGSQE >ENSMUSP00000117724.1 pep:known chromosome:GRCm38:5:114054534:114091367:-1 gene:ENSMUSG00000042078.14 transcript:ENSMUST00000150106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svop description:SV2 related protein [Source:MGI Symbol;Acc:MGI:1915916] MPGLPAQSSGRVVKFRRTGESARSEDDAASGEHDIQIEGVRVGLEAIELDDGAAVPKEFA NPTDDTFMVEDAVEAIGFGRFQWKLSVLTGLAWMADAMEMMILSILAPQLHCEWRLPSWQ VALLTSVVFIGMMSSSTLWGNISDQYGRKTGLKISVLWTLYYGILSAFAPVY >ENSMUSP00000044751.7 pep:known chromosome:GRCm38:19:10204014:10207824:1 gene:ENSMUSG00000036372.14 transcript:ENSMUST00000040372.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem258 description:transmembrane protein 258 [Source:MGI Symbol;Acc:MGI:1916288] MELEAMSRYTSPVNPAVFPHLTVVLLAIGMFFTAWFFVYEVTSTKYTRDIYKELLISLVA SLFMGFGVLFLLLWVGIYV >ENSMUSP00000128883.1 pep:known chromosome:GRCm38:19:10206033:10207824:1 gene:ENSMUSG00000036372.14 transcript:ENSMUST00000166412.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem258 description:transmembrane protein 258 [Source:MGI Symbol;Acc:MGI:1916288] MSRYTSPVNPAVFPHLTVVLLAIGMFFTAWFFVYEVTSTKYTRDIYKELLISLVASLFMG FGVLFLLLWVGIYV >ENSMUSP00000056993.4 pep:known chromosome:GRCm38:5:120847367:120857986:1 gene:ENSMUSG00000053765.4 transcript:ENSMUST00000057814.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas1f description:2'-5' oligoadenylate synthetase 1F [Source:MGI Symbol;Acc:MGI:2180855] MVKDLSSTPACELDKFIRDHLLPDSSFHAEARADVDFIGAFLKERCFQGATHPVRVSRVV MGGSYDEHTALKSKSEAKMVVFLNNLTSFEEQLKRRGEFIEEIRKHLCQLQDEKPFKVKF EVQSSEEPNSRSLSFKLSSPELQQEVEFDVQPAYDVLYELRNNKYAELYLYNKIYAQLIH ECTTLKKEGEFSICFTDLHQSFLEDRAPKLKNLIRLVKHWYQLCKEKLGKPLPPQYALEL LTVYAWESGSRDCEFNTAQGFRTVLELVTKYKWLRIYWTVYYDFRKTKVSEYLHKMLQKV RPVILDPADPTRNVAGTNLLGWGLLAKEAAIWLQSSCFRNCDTCLVGPWGVPMKVEIPQD CVLL >ENSMUSP00000114589.1 pep:known chromosome:GRCm38:5:120848372:120857862:1 gene:ENSMUSG00000053765.4 transcript:ENSMUST00000123431.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oas1f description:2'-5' oligoadenylate synthetase 1F [Source:MGI Symbol;Acc:MGI:2180855] XSRSLSFKLSSPELQQEVEFDVQPAYDVLL >ENSMUSP00000045325.2 pep:known chromosome:GRCm38:4:127354809:127358181:-1 gene:ENSMUSG00000042357.2 transcript:ENSMUST00000046498.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb5 description:gap junction protein, beta 5 [Source:MGI Symbol;Acc:MGI:95723] MNWSVFEGLLSGVNKYSTAFGRIWLSLVFVFRVLVYLVTAERVWGDDQKDFDCNTRQPGC TNVCYDEFFPVSHVRLWALQLILVTCPSLLVVMHVAYRKAREKKYQEKIGEGYLYPNPGK KRGGLWWTYVFSLSFKATIDIIFLYLFHAFYPRYTLPSMVKCHAEPCPNTVDCFIAKPSE KNIFIVFMVVTAVICILLNLVELIYLVIKRCSECAQLRRPPTAHAKNDPNWANSPSKEKD FLSCDLIFLGSDAHPPLLPDRPRAHVKKTIL >ENSMUSP00000071195.2 pep:known chromosome:GRCm38:14:50281228:50282271:-1 gene:ENSMUSG00000091873.1 transcript:ENSMUST00000071208.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr732 description:olfactory receptor 732 [Source:MGI Symbol;Acc:MGI:3030566] METENRTVVTEFILIGLTQSHDIQRLVFVLSLIFYIIILPGNILIILTIRSDPGLTAPLY FFLGNLAFLDASYSFIVAPRMLVDFFSEKKIISYKACITQLFFLHFLGGGEGLLLVVMAF DRYIAICRPLHYSTVMSPRACYVMLLALWLGGFIHSIIQVVLILRLPFCGPNHLDNFFCD VPQVIKLACTDTFAVELLMIFNSGLLTLLCFLGLLTSYAVILCHVHRSASEGKNKAISTC TTHVIIIFIMFGPAIFIYTRPFTALSADKVVSFFHTVIFPLMNPVIYTLRNQEVKTSMKK LIIRHIIC >ENSMUSP00000146166.1 pep:known chromosome:GRCm38:6:71909053:71955150:1 gene:ENSMUSG00000049553.11 transcript:ENSMUST00000206556.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polr1a description:polymerase (RNA) I polypeptide A [Source:MGI Symbol;Acc:MGI:1096397] MLASKHTPWRRLQGISFGMYSAEELKKLSVKSITNPRYVDYLGNPSANGLYDLALGPADS KEVCATCVQDFNNCSGHLGHIDLPLTVYNPFLFDKLYLLLRGSCLSCHMLTCPRAAIYLL ISQLRVLEVGALQAVYELERILSRFLEETGDPSAFEIQEELEEYTSKILQNNLLGSQGTH VKNVCESRSKLVAQFWKTHMAAKQCPHCKTGRSVVRKEHNSKLIITYPATVHKKSDQEGT ELPEGVPEAPGIDKAQMGKRGYLTPSSAQEHLFAIWKNEDVH >ENSMUSP00000060858.8 pep:known chromosome:GRCm38:6:71909061:71982475:1 gene:ENSMUSG00000049553.11 transcript:ENSMUST00000055296.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1a description:polymerase (RNA) I polypeptide A [Source:MGI Symbol;Acc:MGI:1096397] MLASKHTPWRRLQGISFGMYSAEELKKLSVKSITNPRYVDYLGNPSANGLYDLALGPADS KEVCATCVQDFNNCSGHLGHIDLPLTVYNPFLFDKLYLLLRGSCLSCHMLTCPRAAIYLL ISQLRVLEVGALQAVYELERILSRFLEETGDPSAFEIQEELEEYTSKILQNNLLGSQGTH VKNVCESRSKLVAQFWKTHMAAKQCPHCKTGRSVVRKEHNSKLIITYPATVHKKSDQEGT ELPEGVPEAPGIDKAQMGKRGYLTPSSAQEHLFAIWKNEGFFLNYLFSGLDDIGPESSFN PSMFFLDFIVVPPSRYRPVNRLGDQMFTNGQTVNLQAVMKDAVLIRKLLALMAQEQKLPC EMTELTIDKENDSSVAIDRSFLGLLPGPSLTDKLYNIWIRLQSHVNIVFDSEMDKLMLEK YPGIRQILEKKEGLFRKHMMGKRVDYAARSVICPDMYINTNEIGIPMVFATKLTYPQPVT PWNVQELRQAVINGPNVHPGASMVINEDGSRTALSSVDAAQREAVAKQLLTPATGAPKPQ GTKVVCRHVKNGDILLLNRQPTLHRPSIQAHRARILPEEKVLRLHYANCKAYNADFDGDE MNAHFPQSELGRAEAYVLACTDQQYLVPKDGQPLAGLIQDHMVSGANMTIRGCFFTREQY MELVYRGLTDKVGRVKLFPPAILKPFPLWTGKQVVSTLLINIIPEDYAPLNLSGKAKIGS KAWVKEKPRPIPDFDPDSMCESQVIIREGELLCGVLDKAHYGSSAYGLVHCCYEIYGGET SGRVLTCLARLFTAYLQLYRGFTLGVEDILVKPNADVVRQRIIEESTQCGPQAVKAALSL PETASCDEIQGKWQDAHLSKDQRDFNMIDMKFKEEVNHYSNEINKACMPLGLHRQFPENN LQMMVQSGAKGSTVNTMQISCLLGQIELEGRRPPLMASGKSLPCFEPYEFTPRAGGFVTG RFLTGIRPPEFFFHCMAGREGLVDTAVKTSRSGYLQRCIIKHLEGLVIQYDLTVRDSDGS VVQFLYGEDGLDIPKTQFLQPKQFPFLAGNYEVIMKSKHLHEVLSRADPQKVLGHIKAIK KWHHKHSGALLRKGAFLSFSQKIQAAVKALNLKGSIQNGRSPETQQMLQMWYDLDEESRW KYQKRAAPCPDPSLSVWRPDIYFASVSETFEKKIDDFSQEWAAQAERSYKKSELSLDRLR TLLQLKWQRSLCDPGEAVGLLAAQSIGEPSTQMTLNTFHFAGRGEMNVTLGIPRLREILM VASANIKTPMMSVPVFDTKKALKKVKSLKKRLTRVCLGEVLQKVDIQESFCMGEKRNKFQ VYELRFQFLPHAYYQQEKCLRPEDILHFMETRFFKLLMEAIKKKKNKASAFRNVNSRRAT QKDLNDTEDSGRSQREEERDEEEEGNIVDAEAEEGDADASDTKRKEKQEEEVDYESEEEG EEEEEEEVQEEGNIKGDGVHQGHEPDEEEHLGLEEEESSQKPPRRHSRPQGAEAIKRRIQ AVRESYSFIEDYQYDTEESLWCQVTVKLPLMKINFDMSSLVVSLAHKAIVYTTKGITRCL LNETTNSKNEKELVLNTEGINLPELFKYSEILDLRRLYSNDIHAMANTYGIEAALRVIEK EIKDVFAVYGIAVDPRHLSLVADYMCFEGVYKPLNRFGIQSSSSPLQQMTFETSFQFLKQ ATMMGSHDELKSPSACLVVGKVVKGGTGLFELKQPLR >ENSMUSP00000145553.1 pep:known chromosome:GRCm38:6:71948360:71954836:1 gene:ENSMUSG00000049553.11 transcript:ENSMUST00000206513.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polr1a description:polymerase (RNA) I polypeptide A [Source:MGI Symbol;Acc:MGI:1096397] XLLINIIPEDYAPLNLSGKAKIGSKAWVKEKPRPIPDFDPDSMCESQV >ENSMUSP00000146050.1 pep:known chromosome:GRCm38:6:71961540:71984935:1 gene:ENSMUSG00000049553.11 transcript:ENSMUST00000205517.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polr1a description:polymerase (RNA) I polypeptide A [Source:MGI Symbol;Acc:MGI:1096397] XMAGREGLVDTAVKTSRSGYLQRCIIKHLEGLVIQYDLTVRDSDGSVVQFLYGEDGLDIP KTQFLQPKQFPFLAGNYEVIMKSKHLHEVLSRADPQKVLGHIKAIKKWHHKHSGALLRKG AFLSFSQKIQAAVKALNLKGSIQNGRSPETQQMLQMWYDLDEESRWKYQKRAAPCPDPSL SVWRPDIYFASVSETFEKKIDDFSQEWAAQAERSYKKSELSLDRLRTLLQLKWQRSLCDP GEAVGLLAAQSIGEPSTQMTLNTFHFAGRGEMNVTLGIPRLREILMVASANIKTPMMSVP VFDTKKALKKVKSLKKRLTRVCLGEVLQKVDIQESFCMGEKRNKFQVYELRFQFLPHAYY QQEKCLRPEDILHFMETRFFKLLMEAIKKKKNKASAFRNVNSRRATQKDLNDTEDSGRSQ REEERDEEEEGNIVDAEAEEGDADASDTKRKEKQEEEVDYESEEEGEEEEEEEVQEEGNI KGDGVHQGHEPDEEEHLGLEEEESSQKPPRRHSRPQGAEAIKRRIQAVRESYSFIEDYQY DTEESLWCQVTVKLPLMKINFDMSSLVVSLAHKAIVYTTKGITRCLLNETTNSKNEKELV LNTEGINLPELFKYSEILDLRRLYSNDIHAMANTYGIEAALRVIEKEIKDVFAVYGIAVD PRHLSLVADYMCFEGVYKPLNRFGIQSSSSPLQQMTFETSFQFLKQATMMGSHDELKSPS ACLVVGKVVKGGTGLFELKQPLR >ENSMUSP00000093087.1 pep:known chromosome:GRCm38:8:19239916:19242143:1 gene:ENSMUSG00000071169.1 transcript:ENSMUST00000095436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb46 description:defensin beta 46 [Source:MGI Symbol;Acc:MGI:3646525] MRIHYLLFAFLLVLLSPCAACIQIISSIRGVCWGQCVSGTREIGMSGLRSMKCCIRK >ENSMUSP00000137484.1 pep:known chromosome:GRCm38:7:33364343:33366322:-1 gene:ENSMUSG00000094305.1 transcript:ENSMUST00000179248.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b20 description:secretoglobin, family 2B, member 20 [Source:MGI Symbol;Acc:MGI:3514009] MKGTLLLLGLLVTGELSFQTTEACLPFFEGYASVLSGSRVWLYQELQAFNATAEEKVALE KIQDCYSEERIRNILLEPKIMEAMVASPECLSYYGLDNIRSILDYISKLLGE >ENSMUSP00000044108.9 pep:known chromosome:GRCm38:16:8470788:8490684:1 gene:ENSMUSG00000039345.15 transcript:ENSMUST00000046470.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl22 description:methyltransferase like 22 [Source:MGI Symbol;Acc:MGI:2384301] MGPAMDEVTFKSDTVLSDVHLYTPNQRHLMVRLNGMGQPVFLSQFKLLWSRDSWTDSGAE DSGPTDVSTEEMPPAGSGSGHSHEDLSLQAGDDNTIQEGLSVPLDEDGDLDVVRRPRAAS DPNPAEPARDKVHPTILAQEEDDLVGDQEYESCPHSIIKIEHTMATPLEDVGKQVWRGAL LLADYILFRRDLFQGCTVLELGAGTGLASIVAATMAHTVYCTDVGTDLLAMCQRNVALNS HLTATGGGVVKVKELDWLKDNLCTDPKAPFSWSEEEIADLYDHTTVLLAAEVFYDDDLTN ALFNTLSRLVHRLKNACTAIFSVEKRFNFTLRHLDVTCEAYDHFRASLDSLEKLADGRLR FMVEPVEASFPQLLVYERIRQLELWKIVVEPAA >ENSMUSP00000114563.1 pep:known chromosome:GRCm38:16:8470791:8488682:1 gene:ENSMUSG00000039345.15 transcript:ENSMUST00000150790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl22 description:methyltransferase like 22 [Source:MGI Symbol;Acc:MGI:2384301] MAHTVYCTDVGTDLLAMCQRNVALNSHLTATGGGVVKVKELDWLKDNLCTDPKAPFSWSE EEIADLYDHTTVLLAAEVFYDDDLTNALFNTLSRLVHRLKNACTAIFSVEKRFNFTLRH >ENSMUSP00000120894.1 pep:known chromosome:GRCm38:16:8470804:8484331:1 gene:ENSMUSG00000039345.15 transcript:ENSMUST00000142899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl22 description:methyltransferase like 22 [Source:MGI Symbol;Acc:MGI:2384301] MAVFLSQFKLLWSRDSWTDSGAEDSGPTDVSTEEMPPAGSGSGHSHEDLSLQAGDDNTIQ EGLSVPLDEDGDLDVVRRPRAASDPNPAEPARDKVHPTILAQEEDDLVGDQEYESCPHSI IKIEHTMATPLEDVGKQVWRGALLLADYILFRRDLFQGCTVLELGAGTGLASIVAATMAH TVYCTDVGTDLLAMCQRNVALNSHLTATG >ENSMUSP00000102630.3 pep:known chromosome:GRCm38:3:98852194:98859794:-1 gene:ENSMUSG00000027871.16 transcript:ENSMUST00000107016.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b1 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 1 [Source:MGI Symbol;Acc:MGI:96233] MAGWSCLVTGAGGFVGQRIIKMLVQEKELQEVRALDKVFRPETKEEFSKLQTKTKVTVLE GDILDAQCLRRACQGISVVIHTAAVIDVTGVIPRQTILDVNLKGTQNLLEACVQASVPAF IFCSSVDVAGPNSYKKIVLNGHEEQNHESTWSDPYPYSKKMAEKAVLAANGSMLKNGGTL NTCALRPMYIYGERSPFIFNAIIRALKNKGILCVTGKFSIANPVYVENVAWAHILAARGL RDPKKSTSIQGQFYYISDDTPHQSYDDLNYTLSKEWGLRPNASWSLPLPLLYWLAFLLET VSFLLRPVYRYRPLFNRHLITLSNSTFTFSYKKAQRDLGYEPLVNWEEAKQKTSEWIGTI VEQHREILDTKCQ >ENSMUSP00000029465.7 pep:known chromosome:GRCm38:3:98852196:98859794:-1 gene:ENSMUSG00000027871.16 transcript:ENSMUST00000029465.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b1 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 1 [Source:MGI Symbol;Acc:MGI:96233] MAGWSCLVTGAGGFVGQRIIKMLVQEKELQEVRALDKVFRPETKEEFSKLQTKTKVTVLE GDILDAQCLRRACQGISVVIHTAAVIDVTGVIPRQTILDVNLKGTQNLLEACVQASVPAF IFCSSVDVAGPNSYKKIVLNGHEEQNHESTWSDPYPYSKKMAEKAVLAANGSMLKNGGTL NTCALRPMYIYGERSPFIFNAIIRALKNKGILCVTGKFSIANPVYVENVAWAHILAARGL RDPKKSTSIQGQFYYISDDTPHQSYDDLNYTLSKEWGLRPNASWSLPLPLLYWLAFLLET VSFLLRPVYRYRPLFNRHLITLSNSTFTFSYKKAQRDLGYEPLVNWEEAKQKTSEWIGTI VEQHREILDTKCQ >ENSMUSP00000106391.1 pep:known chromosome:GRCm38:8:19247580:19250828:1 gene:ENSMUSG00000039785.5 transcript:ENSMUST00000110763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb5 description:defensin beta 5 [Source:MGI Symbol;Acc:MGI:1933153] MKIHYLLFAFLLVLLSPLAGVFSKTINNPVSCCMIGGICRYLCKGNILQNGSCGVTSLNC CKRK >ENSMUSP00000097402.1 pep:known chromosome:GRCm38:2:88745960:88746886:-1 gene:ENSMUSG00000075117.1 transcript:ENSMUST00000099814.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1198 description:olfactory receptor 1198 [Source:MGI Symbol;Acc:MGI:3031032] MGFGNLTEFIFLGLFHNENVKEMCAVLFLLCYLAILCGNLVVLITIRGSHLSEQPMYYFL TYLSFMDVCFTSTVAPKLIIDLFVQCNTISYNGCIAQMFYAHFFGATEIFILVAMAYDRY VAICRPLYYMITMSRQVCYMLVIASAIGAFIHSLVHVFIIIRLPFCGTNEIDHYFCDIFP LLKLACTDTRLMVIVIITTTGVLSILTFLALVISYIIILSILRTRSSEGRRKALSTCGSH ITVVFMFFLPLIFTYVPVGDSVGDDKVFALFYTMIAPLFNPLIYTLRNTDMKNAMRKVWC QDKLFEGK >ENSMUSP00000128295.1 pep:known chromosome:GRCm38:7:26611021:26612164:-1 gene:ENSMUSG00000091924.1 transcript:ENSMUST00000171039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r185 description:vomeronasal 1 receptor 185 [Source:MGI Symbol;Acc:MGI:2159634] MMKHRIDFWNLATRIILLSQTTVGILVNFSLMFHYLVLYYRECRLKPTDFILLHLLAANS LFILSSGVPHIIAFWGLKQFLNDIVCEFLFYIQGFGRSVSIWTTCLLSVFQAMTISPRKS CWKDHKVKAIMHNGCSISLMWVLHMLMHFIIFVYPFIKMNSKNVTKLQDFGYCSVVKKQN ITEFLYAALVMFPEFFFSVLIAWSSGSMIVILFKHKKKVQHIHSTHVSRRNSPESRATQN ILALVSTFLAFYTLSSILRGCIALLYNYNWWLMNINHITSLCFPSFGPCVFMRSYSLMSR FNLAHLRKINLSPNLVINM >ENSMUSP00000042291.7 pep:known chromosome:GRCm38:2:160946978:161109022:-1 gene:ENSMUSG00000057133.14 transcript:ENSMUST00000039782.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd6 description:chromodomain helicase DNA binding protein 6 [Source:MGI Symbol;Acc:MGI:1918639] MKMKIQKKEKQLSKLRALNHSPMSDASVNFDYKSPSPFDCSPDQGENIEEAANHCLPHKN LYTTEEEADTLFSRKLTSHNGMEDSGGRGTGVKKKRKKKEPGEQEGTKGSKDREPKPKRK REPKEPKEPRRAKEPKRAKEPKETKQKDGVKKPRKHREASGTKEGKEKRSCTDYGSRTKS KKASREQGPTPVERKKKGKRKNETTVESLELDHSLPNPSLQSPEEPSESADSQKRRSGRQ VKRRKYNEDLDFKVVDDDGETIAVLGAGRTSALSASTLAWQAEEPPEDDANIIEKILASK TVQEVHPGEPPFDLELFYVKYRNFSYLHCKWATMEELEKDPRIAQKIKRFRNKQAQMKHI FTEPDEDLFNPDYIEIDRILEVAHTKDAETGEEVTHYLVKWCSLPYEESTWELEEDVDPA KVKEFESLQILPEVKHVERPASDAWQKLETSREYRNSNRLREYQLEGMNWLLFNWYNRKN CILADEMGLGKTIQSIAFLSEIFVRGIHGPFLIIAPLSTITNWEREFRTWTEMNAIVYHG SQISRQMIQQYEMVYRDAQGNPLSGVFKFHVVITTFEMILADCPELKKIHWSCVIIDEAH RLKNRNCKLLEGLKLMALEHKVLLTGTPLQNSVEELFSLLNFLEPSQFPSETAFLEEFGD LKTEEQVKKLQSILKPMMLRRLKDDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNFSF LTKGANQHNMPNLINTMMELRKCCNHPYLINGAEEKILEDFRKAHSSEASDFQLQAMIQA AGKLVLIDKLLPKLIAGGHKVLIFSQMVRCLDILEDYLIQRRYTYERIDGRVRGNLRQAA IDRFCKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSKA VKVYRLITRNSYEREMFDKASLKLGLDKAILQDINRKGSTNGVQQLSKMEVEDLLRKGAY GALMDEEDEGSKFCEEDIDQILQRRTHTITIQSEGKGSTFAKASFVASGNRTDISLDDPN FWQKWAKIAELDTEANNEKESLVIDRPRVRKQTKHYNSFEEDELMEFSELDSDSDERPTR SRRLSDRARRYLRAECFRVEKNLLIFGWGRWKDILTHGRFKWPLNEKDMEMICRALLVYC VKHYKGDEKIKSFIWELITPSKDGQVQTLQNHSGLSAPVPRGRKGKKTKNQLLLPELKNA DWLATCNPEVVLHDDGYKKHLKQHCNKVLLRVRMLYYLKAEILGEAADKAFEGTPARELD VLLPDIDYVEIPVDWWDAEADKSLLIGVFKHGYERYNAMRADPALCFLEKVGMPDEKSLS AEQGVTDGTSDIPERGNIDKEDSAEDKLDGLQKQTASPSDGSDGIFGEKKDDSQAAQDGS DPDKSPWPVSSALTARLRRLVTIYQRCNRKELCRPEILGPGNQGYWVQEEVFRRTSEMDL INKEAQKRWTRREQADFYRTVSSFGVVYDQEKKAFDWTQFRIISRLDKKSDESLEHYFYS FVAMCRNVCRLPAWKDDGPPDASIYVEPITEERAAKTLYRIELLRKVREQVLMCPQLHER LQLCRPSLYLPVWWECGKHDRDLLIGTAKHGLNRTDYYIMNDPQLSFLDAYRNYAQHKRT DTQAPGSLCCLYQSNSKLYESLTYTPVSRTSESLESEPENLMRMESRDDHLCLPEGGLPD ITCENFVSKVQEVISLDHDENLLPESLENMIYGKTGLSQEPHSFQEAPTTNTQSRKNTIT ISASRNESCQPPGIEAEITSASSLMSSLEAGVAKMNIKNGKHLLVSISKEGEPCCSETGR RPETIGHREAKCLVSPTLDTGHESGFVDLCSLSVYDPKRNFSSDQQLIDLLENKSLESKL ILNQSDEEEEENEDETLAIVASATEKPEVLDFPKPTVNIPRGKNLSFHQDEAKKGRLEVV SKTAGPQRVFPPPANQCHCKHIERWAHGLGSEDSEVEKPKAYQPDLYRSKANNSTVEGET AVIPTEPFKLKHELLKEPWKESSEGGKSFSMYAPEGSEPKPEDMDFENKDDYEKDGTCLS QDYPGKYSEEESKSSASGIAGDLGEEAQEVRAPTIAQLLQEKTLYSFSEWPKDRVIINRL DNICHVVLKGKWPCSHQYEPSGALPTPVLSSSAGSRSSLSEPEATEHGFSNGAALAAQIQ KESFLAPVFTKDEQKHRRPYEFEVERDAKARSLEEYSATHGRPPIVLNGWHGESAIDLSC SSEGSPGATSPFPVSASTPKIGAISSLQGALGMDLSGILQAGLIHPVTGQIVNGSLRRDD AAMRRRRGRRKHIEGGMDLIFLKEQTLQAGILEVHEDAGQTTLSTTHPEVPGATSSAPEP TAAASSQAEKAVPSKSLLDWLRQQADYSLDVPGFGTSFSDKPKQRRPRCKEPGKLDISSL GGEERVPAVPKEPGLRGFLPESKFNHTLAEPVLRDAGPRRRGRRPRNELLKAPAIVADSP SGMGPLFMNGLIAGMDLVGLQNVRNIPGIPLTGLVGFPAGFATMPTGEEVKNTLSMLPMM LPGMAAVPQMFGVGGLLNTPMATTCTTTASASLASTKSGTSATEKSTEDKLSGHDVNTDA LVDDKPGPSPFSDQSEPTITTSSPVAFNPFLIPGVSPGLIYPSMFLSPGMGMALPAMQQA RHSEMVGLETQKRKKKKTKGDSPTQEPASVCEKEPGSDQNCTESSATVSPEREHVAQARE EGLKDSNEDTN >ENSMUSP00000123240.1 pep:known chromosome:GRCm38:2:160983184:161109022:-1 gene:ENSMUSG00000057133.14 transcript:ENSMUST00000134178.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd6 description:chromodomain helicase DNA binding protein 6 [Source:MGI Symbol;Acc:MGI:1918639] MKMKIQKKEKQLSKLRALNHSPMSDASVNFDYKSPSPFDCSPDQGENIEEAANHCLPHKN LYTTEEEADTLFSRKLTSHNGMEDSGGRGTGVKKKRKKKEPGEQEGTKGSKDREPKPKRK REPKEPKEPRRAKEPKRAKEPKETKQKDGVKKPRKHREASGTKEGKEKRSCTDYGSRTKS KKAREQGPTPVERKKKGKRKNETTVESLELDHSLPNPSLQSPEEPSESADSQKRRSGRQV KRRKYNEDLDFKVVDDDGETIAVLGAGRTSALSASTLAWQAEEPPEDDANIIEKILASKT VQEVHPGEPPFDLELFYVKYRNFSYLHCKWATMEELEKDPRIAQKIKRFRNKQAQMKHIF TEPDEDLFNPDYIEIDRILEVAHTKDAETGEEVTHYLVKWCSLPYEESTWELEEDVDPAK VKEFESLQILPEVKHVERPASDAWQKLETSREYRNSNRLREYQLEGMNWLLFNWYNRKNC ILADEMGLGKTIQSIAFLSEIFVRGIHGPFLIIAPLSTITNWEREFRTWTEMNAIVYHGS QISRQMIQQYEMVYRDAQGNPLSGVFKFHVVITTFEMILADCPELKKIHWSCVIIDEAHR LKNRNCKLLEGLKLMALEHKVLLTGTPLQNSVEELFSLLNFLEPSQFPSETAFLEEFGDL KTEEQVKKLQSILKPMMLRRLKDDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNFSFL TKGANQHNMPNLINTMMELRKCCNHPYLINGAEEKILEDFRKAHSSEASDFQLQAMIQAA GKLVLIDKLLPKLIAGGHKVLIFSQMVRCLDILEDYLIQRRYTYERIDGRVRGNLRQAAI DRFCKPDSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSKAV KVYRLITRNSYEREMFDKASLKLGLDKAILQDINRKGSTNGVQQLSKMEVEDLLRKGAYG ALMDEEDEGSKFCEEDIDQILQRRTHTITIQSEGKGSTFAKASFVASGNRTDISLDDPNF WQKWAKIAELDTEANNEKESLVIDRPRVRKQTKHYNSFEEDELMEFSELDSDSDERPTRS RRLSDRARRYLRAECFRVEKNLLIFGWGRWKDILTHGRFKWPLNEKDMEMICRALLVYCV KHYKGDEKIKSFIWELITPSKDGQVQTLQNHSGLSAPVPRGRKG >ENSMUSP00000117075.1 pep:known chromosome:GRCm38:2:161029839:161052578:-1 gene:ENSMUSG00000057133.14 transcript:ENSMUST00000130265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd6 description:chromodomain helicase DNA binding protein 6 [Source:MGI Symbol;Acc:MGI:1918639] REPKEPKEPRRAKEPKRAKEPKETKQKDGVKKPRKHREASGTKEGKEKRSCTDYGSRTKS KKASREQGPTPVERKKKGKRKNETTVESLELDHSLPNPSLQSPEEPSESADSQKRRSGRQ VKRRKYNEDLDFKVVDDDGETIAVLGAGRTSALSASTLAWQAEEPPEDDANIIEKILASK TVQEVHPGEPPFDLELFYVKYRNLYVCLICCMYLNFI >ENSMUSP00000000003.7 pep:known chromosome:GRCm38:X:77837901:77853623:-1 gene:ENSMUSG00000000003.15 transcript:ENSMUST00000000003.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbsn description:probasin [Source:MGI Symbol;Acc:MGI:1860484] MMRVIILLLTLHVLGVSSVMSLKKKIDGPWQTIYLAASTMEKINEGSPLRTYFRHILCGR RCNQVYLYFFIKKGTKCQLYKVIGRKKQEVYYAQYEGSIAFMLKMVNEKILLFHYFNKNR RNDVTRVAGVLAKGKQLNKEEMTEFMNLVEEMGIEEENVQRIMDTDNCPSKIKP >ENSMUSP00000109675.2 pep:known chromosome:GRCm38:X:77837902:77853530:-1 gene:ENSMUSG00000000003.15 transcript:ENSMUST00000114041.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbsn description:probasin [Source:MGI Symbol;Acc:MGI:1860484] MMRVIILLLTLHVLGVSSVMSLKKKIDGPWQTIYLAASTMEKINEGSPLRTYFRHILCGR RCNQVYLYFFIKKGTKCQLYKVIGRKKQEVYYAQSKGKQLNKEEMTEFMNLVEEMGIEEE NVQRIMDTDNCPSKIKP >ENSMUSP00000097401.1 pep:known chromosome:GRCm38:2:88755741:88756673:-1 gene:ENSMUSG00000089892.1 transcript:ENSMUST00000099813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1199 description:olfactory receptor 1199 [Source:MGI Symbol;Acc:MGI:3031033] MQLNINVTEVILLGLTQDPSRKNIVFAIFLLFYMGTLLGNLLIIVTVKTSQALGSPMYFF LFYLSLSDTCFSTTVAPRTIVDSLQKEASISFTECIIQIFTFHFFGCLEIFILILMAVDR YVAICKPLHYMTIMSRRVCGVLVAIAWMGSCVHSLVQIFLALSLPFCGPNVIDHYFCDLQ PLLKLACSDTYLINLLLVSNSGAICTVSFLVLMFSYVIILHSLRNHSAEGRRKALSTCIS HIIVVILFFGPCIFIYTRPATTFPMDKMISIFYSIGTPLLNPLIYTLRNAEVKNAMKKLW RKKIVSDDKK >ENSMUSP00000108184.1 pep:known chromosome:GRCm38:X:154109633:154120685:-1 gene:ENSMUSG00000094592.1 transcript:ENSMUST00000112565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15140 description:predicted gene 15140 [Source:MGI Symbol;Acc:MGI:3709336] MDQLIVDTRSVIYRFINEKDCIYKLSGLLSSLAAFMLEVLIASILSWRLWEFDSNVVQLV SFGLFEAYYPQQFNISGTLTKMLVYTPIDSTWNISTEFMYAQNLVVWAILMKPVVLVFCV MAIKISCTKNPLVEMQIYCYKISALILSVSSMFTFVSVIWNHMVDFYGHTTLDFPSDFPV KKEALTSKHLTVVLPVGLLIATMSLFGVIMFLSEISDLKLKRPVKANDASKMGLLDA >ENSMUSP00000050952.8 pep:known chromosome:GRCm38:6:148921035:148946467:-1 gene:ENSMUSG00000039985.14 transcript:ENSMUST00000054080.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam60a description:family with sequence similarity 60, member A [Source:MGI Symbol;Acc:MGI:1929091] MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDICNACVLLV KRWKKLPAGSKKNWNHVVDARAGPSLKTTLKPKKVKTLSGNRMKSNQISKLQKEFKRHNS DAHSTTSSASPAQSPCYSNQSDEGSDTEMASSSNRTPVFSFLDLTYWKRQKICCGIIYKG RFGEVLIDTHLFKPCCSSKKAAAEKPEEQGPAPLPISTQEW >ENSMUSP00000145370.1 pep:known chromosome:GRCm38:6:148921057:148933149:-1 gene:ENSMUSG00000039985.14 transcript:ENSMUST00000203164.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam60a description:family with sequence similarity 60, member A [Source:MGI Symbol;Acc:MGI:1929091] MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDICNACVLLV KRWKKLPAGSKKNWNHVVDARAGPSLKTTLKPKKVKTLSGNRMKSNQISKLQKEFKRHIT SQMRAQIQRWLPALIELRFFPS >ENSMUSP00000145487.1 pep:known chromosome:GRCm38:6:148921057:148943535:-1 gene:ENSMUSG00000039985.14 transcript:ENSMUST00000204435.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam60a description:family with sequence similarity 60, member A [Source:MGI Symbol;Acc:MGI:1929091] MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDICNACVLLV KRWKKLPAGSKKNWNHVVDARAGPSLKTTLKPKKVKTLSGNRMKSNQISKLQKEFKRHNS DAHSTTSSASPAQSPCYSNQSDEGSDTEMASSSNRTPVFSFLDLTYWKRQKICCGIIYKG RFGEVLIDTHLFKPCCSSKKAAAEKPEEQGPAPLPISTQEW >ENSMUSP00000107188.1 pep:known chromosome:GRCm38:6:148921057:148944826:-1 gene:ENSMUSG00000039985.14 transcript:ENSMUST00000081956.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam60a description:family with sequence similarity 60, member A [Source:MGI Symbol;Acc:MGI:1929091] MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDICNACVLLV KRWKKLPAGSKKNWNHVVDARAGPSLKTTLKPKKVKTLSGNRMKSNQISKLQKEFKRHNS DAHSTTSSASPAQSPCYSNQSDEGSDTEMASSSNRTPVFSFLDLTYWKRQKICCGIIYKG RFGEVLIDTHLFKPCCSSKKAAAEKPEEQGPAPLPISTQEW >ENSMUSP00000107187.1 pep:known chromosome:GRCm38:6:148922713:148944812:-1 gene:ENSMUSG00000039985.14 transcript:ENSMUST00000111562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam60a description:family with sequence similarity 60, member A [Source:MGI Symbol;Acc:MGI:1929091] MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDICNACVLLV KRWKKLPAGSKKNWNHVVDARAGPSLKTTLKPKKVKTLSGNRMKSNQISKLQKEFKRHNS DAHSTTSSASPAQSPCYSNQSDEGSDTEMASSSNRTPVFSFLDLTYWKRQKICCGIIYKG RFGEVLIDTHLFKPCCSSKKAAAEKPEEQGPAPLPISTQEW >ENSMUSP00000145423.1 pep:known chromosome:GRCm38:6:148923004:148946410:-1 gene:ENSMUSG00000039985.14 transcript:ENSMUST00000204119.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam60a description:family with sequence similarity 60, member A [Source:MGI Symbol;Acc:MGI:1929091] MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGW >ENSMUSP00000145496.1 pep:known chromosome:GRCm38:6:148926047:148944723:-1 gene:ENSMUSG00000039985.14 transcript:ENSMUST00000204590.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam60a description:family with sequence similarity 60, member A [Source:MGI Symbol;Acc:MGI:1929091] MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGW >ENSMUSP00000115417.1 pep:known chromosome:GRCm38:6:148926051:148946155:-1 gene:ENSMUSG00000039985.14 transcript:ENSMUST00000132696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam60a description:family with sequence similarity 60, member A [Source:MGI Symbol;Acc:MGI:1929091] MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDICNACVLLV KRWKKLPAGSKKNWNHVVDARAGPSLKTTLKPKKVKTLSGNRMKSNQISKLQKEFKRHNS DAHSTTSSASPAQSPCYSNQSDEGSDTEMASSSNRT >ENSMUSP00000119540.1 pep:known chromosome:GRCm38:6:148928580:148946356:-1 gene:ENSMUSG00000039985.14 transcript:ENSMUST00000139355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam60a description:family with sequence similarity 60, member A [Source:MGI Symbol;Acc:MGI:1929091] MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDICNACVLLV KRWKKLPAGSKKNWNHVVDARAGPSLKTTLKPKKV >ENSMUSP00000117859.1 pep:known chromosome:GRCm38:6:148930619:148946415:-1 gene:ENSMUSG00000039985.14 transcript:ENSMUST00000146457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam60a description:family with sequence similarity 60, member A [Source:MGI Symbol;Acc:MGI:1929091] MFGFHKPKMYRSIEGCCICRAKSSSSRFTDSKRYEKDFQSCFGLHETRSGDIC >ENSMUSP00000048309.8 pep:known chromosome:GRCm38:10:86841198:87008025:-1 gene:ENSMUSG00000035459.15 transcript:ENSMUST00000035288.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stab2 description:stabilin 2 [Source:MGI Symbol;Acc:MGI:2178743] MARSKLLLGKLLPLILIFLGLLVQNACSPTEAPELTKRCDKKSTLTIKTECQSCSVNIAV KCPDGYIKITNGTVGVRDCRYSLKIQSYVLDIPGCRHICRKDYLQPQCCPGHWGPDCMEC PGGARAPCGGRGVCDEGMEGTGSCSCRAGFRGTACENCAAEDVFGPNCSAVCSCVHGVCN SGISGDGTCECLSAYRGPRCDKPIPECAALLCPENSRCSPSSKDETKLQCKCLPSYKGDG QTCKPINPCLKNVCHPHASCSYLGPNRHSCVCQKGYQGDGQVCLPVDPCQTSYGNCPTKS TVCRYDGPGQSHCECKEHYRNFVPGVGCSMTDICESKNPCHKNANCSTVSPGQTQCTCQK GYVGDGLNCYGNIMQRLRELNTEPRGMWQGQLTSFISILDRTYAWPLSNLGPFTVLLPSD KGLKGVDVKELLMDKEAARYFVKLHIIAGQMSTEQMYNLDTFYTLTGKSGEIINKDKDNQ LKLKLYGSKIVQIIQGNIVASNGLVHILDRAMDKIEPTLESNPQQTIMTMLQPRYGKFRS LLEKTNVGQALEKGGIDEPYTIFVPSNEALSNMTAGVLDYLLSPEGSRKLLELVRYHIVA FTQLEVATLVSTLHIRSMANQIITFNISSKGQILANNVAVDETEVAAKNGRIYTLTGVLI PPSILPILPHRCNETKREMKLGTCVRCFMKNWSKCPTNSEPTAIFTNKCFYGSRAWNLKI GCARYCDVTVEIPRCCKGFFGPDCNPCPGGFMNPCSGNGQCIDGLGGNGTCICEDGFQGS RCQFCSKPNRYGPQCNRTCQCVHGICDNRLDSDGSCLPGTCREGTAGRFCDKQTSACGPY MQFCHIHATCEYSNETASCVCNDGYEGDGTLCSKKDPCLGSTSRGGCSPNAECIQASTGT YSCVCQRGWTGNGRDCVEINSCLLPSSGGCHDNATCLYVGPGQNECECKKGFRGNGIDCE PIISCLEQIEKCHPLATCQYTLSGVWSCVCQEGYEGNGVLCYGNVLMELSFLSEAAVFYQ WINNASLQSMLSATSNLTVLVPSLQAIKDMDQNEKSFWLSRNNIPALIKYHTLLGTYRVA DLQTLPSSHMLATSLQGSFLRLDKADGNITIEGASFVDGDNAATNGVVHIINKVLIPQRG LTGSLPSLLTRLEQMPDYSIFRGYIIHYNLASAIEAADAYTVFVPNNEAIESYIREKKAT SLKEDILQYHVVLGEKLLRNDLHNGMHRETMLGFSYLLAFFLHNDQLYVNEAPINYTNVA TDKGVIHGLEKVLEIKKNRCDNNDTIIVRGKCGKCSQQTLCPLETKPLSETRKCIYSVYF MGKRSIFIGCQLQCVRTIITSACCAGFFGPQCQACPGKGQNVCSGNGFCLDGVNGTGTCE CEQGFNGTACETCTEGKYGIHCDQACSCVHGRCNQGPSGDGSCDCDVGWRGVKCDSEITT DNCNGTCHTSANCLLDPDGKASCKCAAGFQGNGTVCTAINACEISNGGCSAKADCKRTIP GSRVCVCKAGYTGDGIVCLEINPCLENHGGCDRHAECTQTGPNQAVCNCLPKYTGDGKVC TLINVCLTNNGGCSPFAFCNHTEQDQRTCTCKPDYTGDGIVCRGSIHSELPKNPSTSQYF FQLQEHAVQELAGPGPFTVFVPSSDSFNSESKLKVWDKQGLMSQILRYHVVACQQLLLEN LKVITSATTLQGEPISISVSQDTVLINKKAKVLSSDIISTNGVIHVIDTLLSPQNLLITP KGASGRVLLNLTTVAANHGYTKFSKLIQDSGLLKVITDPMHTPVTLFWPTDKALQALPQE QQDFLFNEDNKDKLKAYLKFHVIRDTMALASDLPRSASWKTLQGSELSVRCGTGSDVGEL FLNGQMCRIIQRRLLFDGGVAYGIDCLLMDPTEGGRCDTFTTFNIPGECGSCFSTPRCPL QSKPKGVRKKCIYNPLPFRRDVEGCQNLCTLVVHVPRCCSGYFMPDCQACPGGPDTPCNN RGMCYDQYKPTGQCQCHTGFNGTACELCLPGRFGPDCQPCGCSEHGQCDEGITGSGQCLC EAGWTGRFCDAPTVVIPVCIPACSMHATCMENNTCVCNLNYEGDGITCTVVDFCKQNNGG CAKVAKCSQKGTQVSCSCQKGYKGDGHSCTEIDPCANGVNGGCHEHATCRMTGPGKQKCE CKSHYVGDGRDCEPEQLPLDRCLQDNGQCHPDANCVDLHFQDTTVGVFHLRSPLGQYKLT FDKAKEACAKEAASIATYNQLSYAQKAKYHLCSAGWLESGRVAYPTIYASKKCANIVGIV DYGTRTNKSEMWDVFCYRMKDVNCTCKAGYVGDGFSCNGNLLQVLMSFPSLTNFLTEVLV FSRSSAQGRAFLKHLTDLSISGTLFVPQNSGLPKNKSLSGRDIEHHLTNVNVSFYDDLVN GTVLKTRLGSQLLITSSQDQLHQEARFVDGRAILQWDIIASNGVLHIISEPLKAPPTAAT AAHSGLGTGIFCAVVLVTGAIALAAYSYFRLNQRTTGFRRFESEDDIDALAFGKQQPESI TNPLYETSTPAAPEPSCDPFTDSGERELENSDPLGALRS >ENSMUSP00000125263.1 pep:known chromosome:GRCm38:10:86841321:86891606:-1 gene:ENSMUSG00000035459.15 transcript:ENSMUST00000161560.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stab2 description:stabilin 2 [Source:MGI Symbol;Acc:MGI:2178743] NCLLDPDGKASCKCAAGFQGNGTVCTAINACEISNGGCSAKADCKRTIPGSRVCVCKAGY TGDGIVCLEINPCLENHGGCDRHAECTQTGPNQAVCNCLPKYTGDGKVCTLINVCLTNNG GCSPFAFCNHTEQDQRTCTCKPDYTGDGIVCRGSIHSELPKNPSTSQYFFQLQEHAVQEL AGPGPFTVFVPSSDSFNSESKLKVWDKQGLMSQILRYHVVACQQLLLENLKVITSATTLQ GEPISISVSQDTVLINKKAKVLSSDIISTNGVIHVIDTLLSPQNLLITPKGASGRVLLNL TTVAANHGYTKFSKLIQDSGLLKVITDPMHTPVTLFWPTDKALQALPQEQQDFLFNEDNK DKLKAYLKFHVIRDTMALASDLPRSASWKTLQGSELSVRCGTGSDVGELFLNGQMCRIIQ RRLLFDGGVAYGIDCLLMDPTEGGRCDTFTTFNIPGECGSCFSTPRCPLQSKPKGVRKKC IYNPLPFRRDVEGCQNLCTLVVHVPRCCSGYFMPDCQACPGGPDTPCNNRGMCYDQYKPT GQCQCHTGFNGTACELCLPGRFGPDCQPCGCSEHGQCDEGITGSGQCLCEAGWTGRFCDA PTVVIPVCIPACSMHATCMENNTCVCNLNYEGDGITCTAVVDFCKQNNGGCAKVAKCSQK GTQVSCSCQKGYKGDGHSCTEIDPCANGVNGGCHEHATCRMTGPGKQKCECKSHYVGDGR DCEPEQLPLDRCLQDNGQCHPDANCVDLHFQDTTVGVFHLRSPLGQYKLTFDKAKEACAK EAASIATYNQLSYAQKAKYHLCSAGWLESGRVAYPTIYASKKCANIVGIVDYGTRTNKSE MWDVFCYRMKGGAGFFQELSPRPGVFETPD >ENSMUSP00000128332.1 pep:known chromosome:GRCm38:14:50298337:50299330:-1 gene:ENSMUSG00000090874.1 transcript:ENSMUST00000163469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr733 description:olfactory receptor 733 [Source:MGI Symbol;Acc:MGI:3030567] METENRTVVTEFIFTGLTESLDIQRLVFVLSLIFYIIILPGNVFIILTIISDPGLTAPLY LFLGNLAFLDASYSFIVAPRMLIDIFSEKKIISYKACITQLFFLHFLGGGEFLLLVVMAF DRYIAICRPLYYSTVMNPRVCYVMLLAPWLGGFIHSIIQVVLILRLPFCGPNHLDNFFCD VPQVIKLACTDTFAVEILMIFNSGLLTLVCFLGLLTSYAVILCHVHRSASEGKNKAISTC TTHVIIIFLMFGPAIFIYTRPFTALSADKVVSFFHTVIFPLLNPVIYTLRNQEVKTSMKK LFIRQVIC >ENSMUSP00000049236.5 pep:known chromosome:GRCm38:10:81337762:81343266:-1 gene:ENSMUSG00000034872.5 transcript:ENSMUST00000045102.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gipc3 description:GIPC PDZ domain containing family, member 3 [Source:MGI Symbol;Acc:MGI:2387006] MDSAAPREPGATEPPARARPRLVFRTQLAHGSPTGRIEGFTNVRELYAKIAEAFGIAPTE ILFCTLNSHKVDMQKLLGGQIGLEDFIFAHVRGETKEVEVTKTEDALGLTITDNGAGYAF IKRIKEGSIINRIEAVCVGDSIEAINDHSIVGCRHYEVAKMLRELPKSQPFTLRLVQPRR AFDMIGQRSRSSKCPVEAKVSSGRETLRLRSGGAATVEEAPSDVEAAAARRVDDLLESYM GIRDPELAAAVVETARSSAGAQAFARGLDAVLGEFAFPDEFVVEVWAAIGEAHDACG >ENSMUSP00000100611.1 pep:known chromosome:GRCm38:2:37146214:37147137:1 gene:ENSMUSG00000078198.1 transcript:ENSMUST00000104995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr364-ps1 description:olfactory receptor 364, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030198] MNSSSTSDFILLGLSTNPWMQKPLFGIFIIMYLVTVMGNVLIILVIRSDSRLHTPMYFFL SNLSFMDICFTTVIVPKMLVNFLSETKTISYVGCLVQMYFFIALANTDSYLLASMAIDRL VAICNPLHYDVVMRSQRCLLMLLGSCTISHLHALFRVLLMSRLSFCASHVIKHFFCDTQP VLKLSCSDTSSSQIVVMTETLAVIVTPFLCILFSYMKIIVTVLRIPSAAGKWKAFSTCGS HLTVVALFYGSVIYVYFRPLSMYSVVKDRIATVMYTVVTPMMNPFIYSLRNKDMKRGLRK LRDRLHS >ENSMUSP00000096377.3 pep:known chromosome:GRCm38:7:18890883:18910415:-1 gene:ENSMUSG00000074358.9 transcript:ENSMUST00000098780.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc61 description:coiled-coil domain containing 61 [Source:MGI Symbol;Acc:MGI:2685005] MDQPAGLQVDYIFRGVEHAVRVVVSGQVLELEVEDRMTADQWRGEFDANFIEDLTHKTGN FKQFSIFCNMLESALTQSSESVTLDLLTYTDLESLRSRKLGGRPGALAPRSAQLNSKRYL ILIYSVEFDRIHYPLPLPYQGKPDPVVLQGIIRSLKEELGHLRGLNGGQDARETEIWHLR EQVTRLTSEKRELEAQLGRSREEALAGRAARQEAESLRGLVRGLELELRQERGLGGRAAG RRSQDSRRLAKELEEVKASERNLRARLKTLNSELAMYRRGRRTLPPVAVREGRASSSRER STSRGRAVTRSSSRESSRGARGRGRPAHPSPSPTGSRAPRFDPTAFVKAKEKKQREIRMK QQQQQRNRMGSGGSGDGPSVSWSQQTRPAAAVTGRGDAVNRSRNRSSSVDSFRSRCSSVS SCSELEDFSHSVSRSRRCRGRGKPPSPTPWSRSKTKSTTQERSDHQRHLASSGGWVPIKE YSSDFQGADMAEIDARLKALQEYMNRLDTRS >ENSMUSP00000123152.1 pep:known chromosome:GRCm38:7:18890884:18892546:-1 gene:ENSMUSG00000074358.9 transcript:ENSMUST00000133506.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc61 description:coiled-coil domain containing 61 [Source:MGI Symbol;Acc:MGI:2685005] GSGDGPSVSWSQQTRPAAAVTGRGDAVNRSRNRSSSVDSFRSRCSSVSSCSELEDFSHSV SRREIR >ENSMUSP00000118644.1 pep:known chromosome:GRCm38:7:18890884:18910398:-1 gene:ENSMUSG00000074358.9 transcript:ENSMUST00000135467.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc61 description:coiled-coil domain containing 61 [Source:MGI Symbol;Acc:MGI:2685005] MDQPAGLQVDYIFRGVEHAVRVVVSGQVLELEVEDRMTADQWRGEFDANFIEDLTHKTGN FKQFSIFCNMLESALTQSSESVTLDLLTYTDLESLRSRKLGGRPGALAPRSAQLNSKRYL ILIYSVEFDRASLTLWSCRASSAH >ENSMUSP00000146309.1 pep:known chromosome:GRCm38:7:18891005:18893959:-1 gene:ENSMUSG00000074358.9 transcript:ENSMUST00000206499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc61 description:coiled-coil domain containing 61 [Source:MGI Symbol;Acc:MGI:2685005] XDSRRLAKELEEVKASERNLRARLKTLNSELAMRRTLPPVAVREGRASSSRERSTSRGRA VTRSSSRESSRGARGRGRPAHPSPSPTGSRAPRFDPTAFVKAKEKKQREIRMKQQQQQRN RMGSGGSGDGPSVSWSQQTRPAAAVTGRGDAVNRSRNRSSSVDSFRSRCSSVSSCSELED FSHSVSRSRRCRGRGKPPSPTPWSRSKTKSTTQERSDHQRHLASSGGWVPIKEYSSDFQG ADMAEIDARLKALQEYMNRLDTRS >ENSMUSP00000122950.1 pep:known chromosome:GRCm38:7:18899558:18910398:-1 gene:ENSMUSG00000074358.9 transcript:ENSMUST00000150065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc61 description:coiled-coil domain containing 61 [Source:MGI Symbol;Acc:MGI:2685005] MDQPAGLQVDYIFRGVEHAVRVVVSGQVLELEVEDRMTADQWRGEFDANFIEDLTHKTGN FKQFSIFCNMLESALTQSSESVTLDLLTYTDLESLRSRKLGGRPGALAPRSAQLNSKRYL ILIYSVEFDRIHYPLPLPYQGKPDPVVLQGIIRSLKEELGHLRGLNGGQDARETEIWHLR E >ENSMUSP00000123625.1 pep:known chromosome:GRCm38:7:18900991:18910389:-1 gene:ENSMUSG00000074358.9 transcript:ENSMUST00000139077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc61 description:coiled-coil domain containing 61 [Source:MGI Symbol;Acc:MGI:2685005] MDQPAGLQVDYIFRGVEHAVRVVVSGQVLELEVEDRMTADQWRGEFDANFIEDLTHKTGN FKQFSIFCNMLESALTQSSESVTLDLLTYTDLESLRSRKLGGRPGA >ENSMUSP00000059679.2 pep:known chromosome:GRCm38:7:106677534:106678485:-1 gene:ENSMUSG00000051680.2 transcript:ENSMUST00000057817.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr693 description:olfactory receptor 693 [Source:MGI Symbol;Acc:MGI:3030527] MEPWNSTLGSGFILVGILDGSGSPELLCATIAALYMLALISNGMLILVITMDIYLHVPMY LLLEQLSLMDLLLASVISPKAVMDFLLKDNTITFGGCALQMFLELALGSAEDLLLAFMAY DRYVAICHPLNYMIFMRPSICWLMVAISWIPASLSALGYTIYTMQYPFCKSREIRHLFCE IPPLLKLACADTSRYQLMVYLMGVTLLIPPLAAILASYSLILFTVLKMPSNEGRKKALVT CSSHLTVVGMYYGPLTVMYILPSSYHSSKQENILSFLYTIVTPALNPLIYSLRNKEVSGA LKRVLGKRLLSTHPNF >ENSMUSP00000045724.7 pep:known chromosome:GRCm38:5:114568016:114613220:1 gene:ENSMUSG00000041930.7 transcript:ENSMUST00000043650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam222a description:family with sequence similarity 222, member A [Source:MGI Symbol;Acc:MGI:3605543] MLACLQRTQNPPGQHLACPSKSLDLRKCESVASSMHSSRYPSPAELDAYAEKVANSPLSI KIFPTNIRVPQHKHLSRTVNGYDTSGQRYSPYPQHTAGYQGLLAIVKAAVSSSAHAPAGH PKSVLKSVEGKRTKLSPATVQVGIAPYPVPSTLGPLAYPKPPEAPAPPPSLPAAATATSV IPLPGRGLPLPPSNLPSIHSILYQLNQQCQAPGAAPSACQGVAVPHPSPAKHGPVPSFPN LAYSATAGLPDCRKGTELSQGATPALTLAGATKPAGYAEGGLDYLLWPQKPPPPPPQPLR AYSSSTVAGKSQSPEICGGRAFERANGSPHNCGMGLPGSFTVGQYFAAPWNSVLVTPTSD CYNPAAAAVVTELAPGAARELAGPPGDVLSGLTSKSVCNTAVLSSSLQSLEYLINDIRPP CIKEQMLGKGYETVAVPRLLDHQHAHIRLPVYR >ENSMUSP00000057376.2 pep:known chromosome:GRCm38:14:50319836:50320868:-1 gene:ENSMUSG00000045306.2 transcript:ENSMUST00000050928.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr734 description:olfactory receptor 734 [Source:MGI Symbol;Acc:MGI:3030568] MEPANDTTVTEFILTGLSQTREVQLVLFVIFLSFYLFILPVNILIICTIRLDSHLSSPMY FLLANLAFLDIWYSSITAPKMLVDFFVERKIISFGGCIAQLFFLHFVGASEMFLLTVMAF DRYAAICRPLHYATIMNRRLCCILVALSWTGGFVHSIIQVALIVRLPFCGPNELDNYFCD ITQVVRIACANTFLEEMVMIFSSGLISVVCFIALLMSYAFLLTMLKKHSSSGESTSRAIS TCYSHITIVVLMFGPSIYIYARPFDSFSLDKVVSVFHTVIFPLLNPIIYTLRNKEVKAAM RKLVNRYIFCKEK >ENSMUSP00000083772.5 pep:known chromosome:GRCm38:9:7931999:8004588:-1 gene:ENSMUSG00000053110.13 transcript:ENSMUST00000086580.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yap1 description:yes-associated protein 1 [Source:MGI Symbol;Acc:MGI:103262] MEPAQQPPPQPAPQGPAPPSVSPAGTPAAPPAPPAGHQVVHVRGDSETDLEALFNAVMNP KTANVPQTVPMRLRKLPDSFFKPPEPKSHSRQASTDAGTAGALTPQHVRAHSSPASLQLG AVSPGTLTASGVVSGPAAAPAAQHLRQSSFEIPDDVPLPAGWEMAKTSSGQRYFLNHNDQ TTTWQDPRKAMLSQLNVPAPASPAVPQTLMNSASGPLPDGWEQAMTQDGEVYYINHKNKT TSWLDPRLDPRFAMNQRITQSAPVKQPPPLAPQSPQGGVLGGGSSNQQQQIQLQQLQMEK ERLRLKQQELFRQELALRSQLPTLEQDGGTPNAVSSPGMSQELRTMTTNSSDPFLNSGTY HSRDESTDSGLSMSSYSIPRTPDDFLNSVDEMDTGDTISQSTLPSQQSRFPDYLEALPGT NVDLGTLEGDAMNIEGEELMPSLQEALSSEILDVESVLAATKLDKESFLTWL >ENSMUSP00000069554.6 pep:known chromosome:GRCm38:9:7931999:8004596:-1 gene:ENSMUSG00000053110.13 transcript:ENSMUST00000065353.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yap1 description:yes-associated protein 1 [Source:MGI Symbol;Acc:MGI:103262] MEPAQQPPPQPAPQGPAPPSVSPAGTPAAPPAPPAGHQVVHVRGDSETDLEALFNAVMNP KTANVPQTVPMRLRKLPDSFFKPPEPKSHSRQASTDAGTAGALTPQHVRAHSSPASLQLG AVSPGTLTASGVVSGPAAAPAAQHLRQSSFEIPDDVPLPAGWEMAKTSSGQRYFLNHNDQ TTTWQDPRKAMLSQLNVPAPASPAVPQTLMNSASGPLPDGWEQAMTQDGEVYYINHKNKT TSWLDPRLDPRFAMNQRITQSAPVKQPPPLAPQSPQGGVLGGGSSNQQQQIQLQQLQMEK ERLRLKQQELFRQAIRNINPSTANAPKCQELALRSQLPTLEQDGGTPNAVSSPGMSQELR TMTTNSSDPFLNSGTYHSRDESTDSGLSMSSYSIPRTPDDFLNSVDEMDTGDTISQSTLP SQQSRFPDYLEALPGTNVDLGTLEGDAMNIEGEELMPSLQEALSSEILDVESVLAATKLD KESFLTWL >ENSMUSP00000134250.1 pep:known chromosome:GRCm38:9:7934497:8001703:-1 gene:ENSMUSG00000053110.13 transcript:ENSMUST00000174604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yap1 description:yes-associated protein 1 [Source:MGI Symbol;Acc:MGI:103262] XTDAGTAGALTPQHVRAHSSPASLQLGAVSPGTLTASGVVSGPAAAPAAQHLRQSSFEIP DDVPLPAGWEMAKTSSGQRYFLNHNDQTTTWQDPRKAMLSQLNVPAPASPAVPQTLMNSA SGPLPDGWEQAMTQDGEVYYINHKNKTTSWLDPRLDPRFGKAMNQRITQSAPVKQPPPLA PQSPQGGVLGGGSSNQQQQIQLQQLQMEKERLRLKQQELFRQAIRNINPSTANAPKCQEL ALRSQLPTLEQDGGTPNAVSSPGMSQELRTMTTNSSDPFLNSGTYHSRDESTDSGLSMSS YSIPRTPDDFLNSVDEMDTGDTISQSTLPSQQSRFPDYLEALPGTNVDLGTLEGDAMNIE GEELMPSLQEALSSEILDVESVLAATKLDKESFLTWL >ENSMUSP00000134237.1 pep:known chromosome:GRCm38:9:7934497:8004390:-1 gene:ENSMUSG00000053110.13 transcript:ENSMUST00000173264.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Yap1 description:yes-associated protein 1 [Source:MGI Symbol;Acc:MGI:103262] MEPAQQPPPQPAPQGPAPPSVSPAGTPAAPPAPPAGHQVVHVRGDSETDLEALFNAVMNP KTANVPQTVPMRLRKLPDSFFKPPEPKSHSRQASTDAGTAGALTPQHVRAHSSPASLQLG AVSPGTLTASGVVSGPAAAPAAQHLRQSSFEIPDDVPLPAGWEMAKTSSGQRYFLNHNDQ TTTWQDPRKAMLSQLNVPAPASPAVPQTLMNSASGPLPDGWEQAMTQDGEVYYINHKNKT TSWLDPRLDPRFAMNQRITQSAPVKQPPPLAPQSPQGGVLGGGSSNQQQQIQLQQLQMEK ERLRLKQQELFRQAIRNINPSTANAPKCQTVRLGISSAQPVAYTGAGWRDSECSVFSWDV SGIENNDNQ >ENSMUSP00000134007.1 pep:known chromosome:GRCm38:9:7934497:8004390:-1 gene:ENSMUSG00000053110.13 transcript:ENSMUST00000173085.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Yap1 description:yes-associated protein 1 [Source:MGI Symbol;Acc:MGI:103262] MEPAQQPPPQPAPQGPAPPSVSPAGTPAAPPAPPAGHQVVHVRGDSETDLEALFNAVMNP KTANVPQTVPMRLRKLPDSFFKPPEPKSHSRQASTDAGTAGALTPQHVRAHSSPASLQLG AVSPGTLTASGVVSGPAAAPAAQHLRQSSFEIPDDVPLPAGWEMAKTSSGQRYFLNHNDQ TTTWQDPRKAMLSQLNVPAPASPAVPQTLMNSASGPLPDGWEQAMTQDGEVYYINHKNKT TSWLDPRLDPRFAMNQRITQSAPVKQPPPLAPQSPQGGVLGGGSSNQQQQIQLQQLQMEK ERLRLKQQELFRQTVRLGISSAQPVAYTGAGWRDSECSVFSWDVSGIENNDNQ >ENSMUSP00000133959.1 pep:known chromosome:GRCm38:9:7934497:8004390:-1 gene:ENSMUSG00000053110.13 transcript:ENSMUST00000174577.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Yap1 description:yes-associated protein 1 [Source:MGI Symbol;Acc:MGI:103262] MEPAQQPPPQPAPQGPAPPSVSPAGTPAAPPAPPAGHQVVHVRGDSETDLEALFNAVMNP KTANVPQTVPMRLRKLPDSFFKPPEPKSHSRQASTDAGTAGALTPQHVRAHSSPASLQLG AVSPGTLTASGVVSGPAAAPAAQHLRQSSFEIPDDVPLPAGWEMAKTSSGQRYFLNHNDQ TTTWQDPRKAMLSQLNVPAPASPAVPQTLMNSASGPLPDGWEQAMTQDGEVYYINHKNKT TSWLDPRLDPRFAMNQRITQSAPVKQPPPLAPQSPQGGVLGGGSSNQQQQIQLQQLQMEK ERLRLKQQELFRQEVPKTVRLGISSAQPVAYTGAGWRDSECSVFSWDVSGIENNDNQ >ENSMUSP00000100712.2 pep:known chromosome:GRCm38:15:81406926:81408299:-1 gene:ENSMUSG00000047108.4 transcript:ENSMUST00000057236.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb7 description:DnaJ heat shock protein family (Hsp40) member B7 [Source:MGI Symbol;Acc:MGI:1914012] MVDYYEVLGVQRYASPEDIKRAYRKVALKWHPDKNPENKEEAERKFKEVAEAYEVLSNVE KRDIYDKYGKEGLDGRGASHLDDEREYRFTFRKADDVFKEIFGERDPFSFHLFEDSLEGL LNSSRSPSGSRGRGAGSHVSRAYDHPALSGLSSYDTGYSSYVSLGHEGLTSFSSLALDDS GMGNYIPITPSGKVINGRNINTKKAFENRQEREAEDDSELISFLVNSVANEEHFTDKCNW RRQSFNNYSPNSYSSSNTTQYTLVDNNEQGTSWVTNKKEPSIFSAGFKEGGRRKKKKHKE GQKKKKSNKRNH >ENSMUSP00000093915.2 pep:known chromosome:GRCm38:19:13500546:13503292:1 gene:ENSMUSG00000071629.2 transcript:ENSMUST00000096201.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1477 description:olfactory receptor 1477 [Source:MGI Symbol;Acc:MGI:3031311] MMQNISELSEFILVGLTDAPFLQTPLFIIFTLIYLTTLFGNLGMIMLILLDSRLHTPMYF FLSNLSLVDCVYASAVTPKVMEGFLTGNKIISYNACAAQMFFFVAFAITENFILASMAYD RHAAVCKPLHYSTTMTTTICVLLLVGSYLSGLLHSSIHVSFTFHLSFCRSNVVNHFFCDI PPLLAVSCSSIRTNEIILFMLAGFDVAFSLLVILTSYLLISVAIVRMRSAESRKKAISTC ASHLTTVSIFYGTIIFMYLQPSSNHSMDTDKMASVFYTMVIPMLNPLVYSLRNKEVKNAF KKVAGKAVLSLGLIN >ENSMUSP00000111199.1 pep:known chromosome:GRCm38:6:7997808:8192617:-1 gene:ENSMUSG00000068794.6 transcript:ENSMUST00000115537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col28a1 description:collagen, type XXVIII, alpha 1 [Source:MGI Symbol;Acc:MGI:2685312] MRRRDVAFCLLLLPAFMTQAVYGQRKKGPKPNTLARKNDFQDAICFIDVVFILDSSESSK IVLFDNQKDFVDSLSEKIFQLTPGRSLKYDIKLAALQFSSSVQIDPPLSSWKDLRTFKQR VKSLNLIGQGTFSYYAISNATRLLKREGRKDGVKVALLMTDGIDHPKSPDVQSISEDARI LGISFITVGLSTVVNEAKLRLISGDPSNEPVLLLSDPTLVDRIQERLGVLFERKCEHKIC ECEKGEPGDPGPPGTHGNPGIKGERGPKGNPGDAQKGETGERGPVGIPGYKGDKGERGEC GKPGMKGDKGPEGPYGPKGPRGIQGIGGPPGDPGPKGFQGNKGEPGPPGPYGPPGAPGIG QQGVKGERGQEGRMGAPGPIGIGEPGQPGPRGPEGAPGERGLPGEGFPGPKGEKGSEGPI GPQGLQGLSIKGDKGDLGPVGPQGPAGIPGIGSQGEQGIQGPSGPPGPQGPPGQGSPGPK GEVGQMGPTGPRGPMGIGVQGPKGEPGTVGLPGQPGVPGEDGASGKKGEAGLPGTRGPEG MPGKGQPGPKGDEGKKGSKGNQGQRGFPGPEGPKGEPGVMGPFGMPGASIPGPSGPKGDR GGPGMPGLKGEPGLPVRGPKGAQGPRGPVGAPGLKGDGYPGVAGPRGLPGPPGPMGLRGV GDTGAKGEPGVRGPPGPSGPRGIGTQGPKGDTGQKGLPGPPGPPGYGSQGIKGEQGPQGF PGSKGTVGLGLPGQKGEHGDRGDVGRKGEKGETGEPGSPGKQGLQGPKGDLGLTKEEIIK LIIEICGCGPKCKETPLELVFVIDSSESVGPENFQIIQSFVKTLADRVALDLGTARIGII NYSHKVEKVASLKQFSSKDDFKLVVDNMQYLGEGTYTATALQAANDMFKEARPGVKKVAL VITDGQTDSRDKKKLADVVKDANDSNVEIFVIGVVKKDDPNFEIFHKEMNLIATDAEHVY QFDDFFTLQDTLKQKLSKKICEDFDSYLIQVFGSPSFQPEFGVSEREVSVSTPKPAKEMS KSFNVSRGQNEETESYVLTEAGILAIPTPPEATNTLEPLLSSREGVETRTPNPNLLQSEK SLYKDPRCEEALKPGECGDYVVRWYYDKQVNSCARFWFSGCNGSGNRFHSEKECRETCIK Q >ENSMUSP00000129326.1 pep:known chromosome:GRCm38:7:33373234:33374559:1 gene:ENSMUSG00000094978.2 transcript:ENSMUST00000166714.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b20 description:secretoglobin, family 1B, member 20 [Source:MGI Symbol;Acc:MGI:3779681] MKLAGAVVILGAALLLLTSGGDCGICPAIKEDVRLFLNGTSEEYVEYVKQYKDDPVILEN TAKIKQCVDSTLTEEDKIHATTFIEKIEASPIC >ENSMUSP00000058375.6 pep:known chromosome:GRCm38:2:5951469:6056703:1 gene:ENSMUSG00000043241.14 transcript:ENSMUST00000060092.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upf2 description:UPF2 regulator of nonsense transcripts homolog (yeast) [Source:MGI Symbol;Acc:MGI:2449307] MPAERKKSASMEEKESLLNNKEKDCSERRPVSSKEKPRDDLKVTAKKEVSKVPEDKKKRL EEDKRKKEDKERKKKEEEKVKAEEELKKKEEEEKKKQEEEERKKQEEQAKRQQEEAAAQL KEKEESLQLHQEAWERHQLRKELRSKNQNAPDNRPEENFFSRLDSSLKKNTAFVKKLKTI TEQQRDSLSHDFNGLNLSKYIAEAVASIVEAKLKLSDVNCAAHLCSLFHQRYSDFAPSLL QVWKKHFEARKEEKTPNITKLRTDLRFIAELTIVGIFTDKEGLSLIYEQLKSIINADRES HTHVSVVISFCRHCGDDIAGLVPRKVKSAAEKFNLSFPPSEIISPEKQQPFQNLLKEYFT SLTKHLKRDHRELQNTERQNRRILHSKGELSEDRHKQYEEFAMSYQKLLANSQSLADLLD ENMPDLPQDKPTPEEHGPGIDIFTPGKPGEYDLEGGIWEDEDARNFYENLIDLKAFVPAI LFKDNEKSQNKDSNKDDSKEAKEPKDNKEASSPDDLELELENLEINDDTLELEGADEAED LTKKLLDEQEQEDEEASTGSHLKLIVDAFLQQLPNCVNRDLIDKAAMDFCMNMNTKANRK KLVRALFIVPRQRLDLLPFYARLVATLHPCMSDVAEDLCSMLRGDFRFHVRKKDQINIET KNKTVRFIGELTKFKMFTKNDTLHCLKMLLSDFSHHHIEMACTLLETCGRFLFRSPESHL RTSVLLEQMMRKKQAMHLDARYVTMVENAYYYCNPPPAEKTVRKKRPPLQEYVRKLLYKD LSKVTTEKVLRQMRKLPWQDQEVKDYVICCMINIWNVKYNSIHCVANLLAGLVLYQEDVG IHVVDGVLEDIRLGMEVNQPKFNQRRISSAKFLGELYNYRMVESAVIFRTLYSFTSFGVN PDGSPSSLDPPEHLFRIRLVCTILDTCGQYFDRGSSKRKLDCFLVYFQRYVWWKKSLEVW TKDHPFPIDIDYMISDTLELLRPKIKLCNSLEESIRQVQDLEREFLIKLGLVNDKESKDS MTEGENLEEDEEEEEGGAETEEQSGNESEVNEPEEEEGSEEEEEGEEEEEENTDYLTDSN KENETDEENAEVMIKGGGLKHVPCVEDEDFIQALDKMMLENLQQRSGESVKVHQLDVAIP LHLKSQLRKGPPLGGGEGETESADTMPFVMLTRKGNKQQFKILNVPMSSQLAANHWNQQQ AEQEERMRMKKLTLDINERQEQEDYQEMLQSLAQRPAPANTNRERRPRYQHPKGAPNADL IFKTGGRRR >ENSMUSP00000119348.1 pep:known chromosome:GRCm38:2:6023339:6056698:1 gene:ENSMUSG00000043241.14 transcript:ENSMUST00000128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upf2 description:UPF2 regulator of nonsense transcripts homolog (yeast) [Source:MGI Symbol;Acc:MGI:2449307] XVENAYYYCNPPPAEKTVRKKRPPLQEYVRKLLYKDLSKVTTEKVLRQMRKLPWQDQEVK DYVICCMINIWNVKYNSIHCVANLLAGLVLYQEDVGIHVVDGVLEDIRLGMEVNQPKFNQ RRISSAKFLGELYNYRMVESAVIFRTLYSFTSFGVNPDGSPSSLDPPEHLFRIRLVCTIL DTCGQYFDRGSSKRKLDCFLVYFQRYVWWKKSLEVWTKDHPFPIDIDYMISDTLELLRPK IKLCNSLEESIRQVQDLEREFLIKLGLVNDKESKDSMTEGENLEEDEEEEEGGAETEEQS GNESEVNEPEEEGSEEEEEGEEEEEENTDYLTDSNKENETDEENAEVMIKGGGLKHVPCV EDEDFIQALDKMMLENLQRSGESVKVHQLDVAIPLHLKSQLRKGPPLGGGEGETESADTM PFVMLTRKGNKQQFKILNVPMSSQLAANHWNQQQAEQEERMRMKKLTLDINERQEQEDYQ EMLQSLAQRPAPANTNRERRPRYQHPKGAPNADLIFKTGGRRR >ENSMUSP00000132822.1 pep:known chromosome:GRCm38:15:81400138:81456807:1 gene:ENSMUSG00000022401.11 transcript:ENSMUST00000163754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpnpep3 description:X-prolyl aminopeptidase (aminopeptidase P) 3, putative [Source:MGI Symbol;Acc:MGI:2445217] MPSLLSTPKLAPVLARLRGLSGCMSCLQRRYSLQPAPVKKIPNRYLGQPSPVTHPHLLRP GEVTPGLSQVEYALRRHKLMALVHKEAQGHSGTDHTVVVLSNPTYYMSNDIPYTFHQDNN FLYLCGFQEPDSILVLQSFSGKQLPSHKAMLFVPRRDPGRELWDGPRSGTDGAIALTGVD EAYPLEEFQHLLPKLRAETNMVWYDWMKPSHAQLHSDYMQPLTEAKARSKNKVRSVQQLI QRLRLVKSPSEIKRMQIAGKLTSEAFIETMFASKAPIDEAFLYAKFEFECRARGADILAY PPVVAGGNRSNTLHYVKNNQLIKDGEMVLLDGGCESSCYVSDITRTWPVNGRFTAPQAEL YEAVLEIQRACLTLCSPGTSLENIYSMMLTLIGQKLKDLGITKTSKESAFKAARKYCPHH VGHYLGMDVHDTPDMPRSLPLQPGMVITVEPGIYIPEDDRDAPEKFRGLGVRIEDDVVVT QDSPLILSADCPKEMNDIEQICSRTS >ENSMUSP00000038331.4 pep:known chromosome:GRCm38:15:81400188:81454888:1 gene:ENSMUSG00000022401.11 transcript:ENSMUST00000041609.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpnpep3 description:X-prolyl aminopeptidase (aminopeptidase P) 3, putative [Source:MGI Symbol;Acc:MGI:2445217] MPSLLSTPKLAPVLARLRGLSGCMSCLQRRYSLQPAPVKKIPNRYLGQPSPVTHPHLLRP GEVTPGLSQVEYALRRHKLMALVHKEAQGHSGTDHTVVVLSNPTYYMSNDIPYTFHQDNN FLYLCGFQEPDSILVLQSFSGKQLPSHKAMLFVPRRDPGRELWDGPRSGTDGAIALTGVD EAYPLEEFQHLLPKLRAETNMVWYDWMKPSHAQLHSDYMQPLTEAKARSKNKVRSVQQLI QRLRLVKSPSEIKRMQIAGKLTSEAFIETMFASKAPIDEAFLYAKFEFECRARGADILAY PPVVAGGNRSNTLHYVKNNQLIKDGEMVLLDGGCESSCYVSDITRTWPVNGRLLENTALI MLAITSGWMSMTLQTCLGHSLCSLEW >ENSMUSP00000130255.1 pep:known chromosome:GRCm38:15:81400196:81453031:1 gene:ENSMUSG00000022401.11 transcript:ENSMUST00000165258.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpnpep3 description:X-prolyl aminopeptidase (aminopeptidase P) 3, putative [Source:MGI Symbol;Acc:MGI:2445217] MPSLLSTPKLAPVLARLRGLSGCMSCLQRRYSLQPAPVKKIPNRYLGQPSPVTHPHLLRP GWNSCWAVC >ENSMUSP00000126038.1 pep:known chromosome:GRCm38:15:81400197:81438158:1 gene:ENSMUSG00000022401.11 transcript:ENSMUST00000167799.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpnpep3 description:X-prolyl aminopeptidase (aminopeptidase P) 3, putative [Source:MGI Symbol;Acc:MGI:2445217] MPSLLSTPKLAPVLARLRGLSGCMSCLQRRYSLQPAPVKKIPNRYLGQPSPVTHPHLLRP GEVTPGLSQVEYALRRHKLMALVHKEAQGHSGTDHTVVVLSNPTYYMSNDIPYTFHQDNN FLYLCGFQEPDSILVLQSFSGKQLPSHKAMLFVPRRDPGRELWDGPRSGTDGAIALTGVD EAYPLEEFQHLLPKLRGFHRDHVCQ >ENSMUSP00000109935.1 pep:known chromosome:GRCm38:1:58973522:58991512:1 gene:ENSMUSG00000026027.13 transcript:ENSMUST00000114296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stradb description:STE20-related kinase adaptor beta [Source:MGI Symbol;Acc:MGI:2144047] MSLLDCFCASRTRVESLRPEKQSETSIHQYLVDESAISRPPPSARASEVICSTDVSHYEL QVEIGRGFDNLTSVHLARHTPTGTLVTVKITNLESCTEERLKALQRAVILSHFFQHPNIT TYWTVFTVGSWLWVISPFMAYGSASQLLRTYFPDGMSETLIRNILFGAVQGLNYLHQNGC IHRYLLIYSLHKRKNFKEVIQRNFCKDKC >ENSMUSP00000138036.1 pep:known chromosome:GRCm38:1:58973522:58994997:1 gene:ENSMUSG00000026027.13 transcript:ENSMUST00000123301.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stradb description:STE20-related kinase adaptor beta [Source:MGI Symbol;Acc:MGI:2144047] MSLLDCFCASRTRVESLRPEKQSETSIHQYLVDESAISRPPPSARASEVICSTDVSHYEL QVEIGRGFDNLTSVHLARHTPTGTLVTVKITNLESCTEERLKALQRAVILSHFFQHPNIT TYWTVFTVGSWLWVISPFMAYGSASQLLRTYFPDGMSETLIRNILFGAVQGLNYLHQNGC IHRIYMDIM >ENSMUSP00000137724.1 pep:known chromosome:GRCm38:1:58973522:58995122:1 gene:ENSMUSG00000026027.13 transcript:ENSMUST00000153990.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stradb description:STE20-related kinase adaptor beta [Source:MGI Symbol;Acc:MGI:2144047] MSLLDCFCASRTRVESLRPEKQSETSIHQYLDAGVLLTLPLAFSLRCLPLSLEEQGHSGS TRPVVCAHLQPSVLFQDAHSCHFEANRLMSQPFPGPLRLLEPVR >ENSMUSP00000027185.4 pep:known chromosome:GRCm38:1:58973522:58995715:1 gene:ENSMUSG00000026027.13 transcript:ENSMUST00000027185.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stradb description:STE20-related kinase adaptor beta [Source:MGI Symbol;Acc:MGI:2144047] MSLLDCFCASRTRVESLRPEKQSETSIHQYLVDESAISRPPPSARASEVICSTDVSHYEL QVEIGRGFDNLTSVHLARHTPTGTLVTVKITNLESCTEERLKALQRAVILSHFFQHPNIT TYWTVFTVGSWLWVISPFMAYGSASQLLRTYFPDGMSETLIRNILFGAVQGLNYLHQNGC IHRSFKASHILISGDGLVTLSGLSHLHSLLKHGQRHRAVFDFPQFSTSVQPWLSPELLRQ DLHGYNVKSDIYSVGITACELASGQVPFQDMHRTQMLLQKLKGPPYSPLDVSIFPQSDSR MRNSQSGVDSGIGESVLVSTGTHTVNSDRLHTPSTKTFSPAFFSLVQLCLQQDPEKRPSA SSLLSHVFFKQMKEESQDSILPLLPPAYNRPSASLQPVSPWSELEFQFPDDKDPVWEF >ENSMUSP00000137790.1 pep:known chromosome:GRCm38:1:58991058:58994359:1 gene:ENSMUSG00000026027.13 transcript:ENSMUST00000152318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stradb description:STE20-related kinase adaptor beta [Source:MGI Symbol;Acc:MGI:2144047] XETLIRNILFGAVQGLNYLHQNGCIHRSFKASHILISGDGLVTLSGLSHLHSLLKHGQRH RAVFDFPQFSTSVQPWLSPELLRQDLHGYNVKSDIYSVGITACELASGQVPFQDMHRTQL GAAVFAARS >ENSMUSP00000056851.3 pep:known chromosome:GRCm38:14:50345376:50346440:-1 gene:ENSMUSG00000046210.4 transcript:ENSMUST00000049729.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr735 description:olfactory receptor 735 [Source:MGI Symbol;Acc:MGI:3030569] MVHADKQEVLSHNFSFLFNLTYLVQFNFSSTRSLDTLGLEKNKNSSDVSRFVLLGLSSSW ELQLFLFFTFLLIYLVIVLGNLLIVMVVQADAHLFQSPMYYFLSHLSFIDLCLSCVAVPK MLGDFLQKEKTISFSGCLAQVFFLHFLGASEMFLLTVMAYDRYVAICNPLHYLTVMNNHL RLRLVFGCWCGGFIHSITQVMIVIQLPFCGPNELDNFYCDVPQVVKLACMDTYLVEVLMV SNSGILSLVCFLVLLFSYALILITLRTHLHRGQSKALSTCASHLTVVSLIFVPCVFIYLR PFCTFSVDKVVSVFYTVITPMLNPLIYTLRNADMKQAIEKLRKKQVASHCFAKG >ENSMUSP00000034478.2 pep:known chromosome:GRCm38:9:31089402:31131853:-1 gene:ENSMUSG00000031995.8 transcript:ENSMUST00000034478.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St14 description:suppression of tumorigenicity 14 (colon carcinoma) [Source:MGI Symbol;Acc:MGI:1338881] MGSNRGRKAGGGSQDFGAGLKYNSRLENMNGFEEGVEFLPANNAKKVEKRGPRRWVVLVA VLFSFLLLSLMAGLLVWHFHYRNVRVQKVFNGHLRITNEIFLDAYENSTSTEFISLASQV KEALKLLYNEVPVLGPYHKKSAVTAFSEGSVIAYYWSEFSIPPHLAEEVDRAMAVERVVT LPPRARALKSFVLTSVVAFPIDPRMLQRTQDNSCSFALHAHGAAVTRFTTPGFPNSPYPA HARCQWVLRGDADSVLSLTFRSFDVAPCDEHGSDLVTVYDSLSPMEPHAVVRLCGTFSPS YNLTFLSSQNVFLVTLITNTDRRHPGFEATFFQLPKMSSCGGFLSDTQGTFSSPYYPGHY PPNINCTWNIKVPNNRNVKVRFKLFYLVDPNVPVGSCTKDYVEINGEKYCGERSQFVVSS NSSKITVHFHSDHSYTDTGFLAEYLSYDSNDPCPGMFMCKTGRCIRKELRCDGWADCPDY SDERYCRCNATHQFTCKNQFCKPLFWVCDSVNDCGDGSDEEGCSCPAGSFKCSNGKCLPQ SQKCNGKDNCGDGSDEASCDSVNVVSCTKYTYRCQNGLCLSKGNPECDGKTDCSDGSDEK NCDCGLRSFTKQARVVGGTNADEGEWPWQVSLHALGQGHLCGASLISPDWLVSAAHCFQD DKNFKYSDYTMWTAFLGLLDQSKRSASGVQELKLKRIITHPSFNDFTFDYDIALLELEKS VEYSTVVRPICLPDATHVFPAGKAIWVTGWGHTKEGGTGALILQKGEIRVINQTTCEDLM PQQITPRMMCVGFLSGGVDSCQGDSGGPLSSAEKDGRMFQAGVVSWGEGCAQRNKPGVYT RLPVVRDWIKEHTGV >ENSMUSP00000121971.1 pep:known chromosome:GRCm38:9:31106151:31131796:-1 gene:ENSMUSG00000031995.8 transcript:ENSMUST00000123557.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:St14 description:suppression of tumorigenicity 14 (colon carcinoma) [Source:MGI Symbol;Acc:MGI:1338881] MGSNRGRKAGGGSQDFGAGLKYNSRLEVCVAVVSWVVSVTETG >ENSMUSP00000025036.4 pep:known chromosome:GRCm38:17:56326074:56402864:1 gene:ENSMUSG00000024201.12 transcript:ENSMUST00000025036.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4b description:lysine (K)-specific demethylase 4B [Source:MGI Symbol;Acc:MGI:2442355] MGSEDHSAQNPSCKIMTFRPTMDEFRDFNRYVAYIESQGAHRAGLAKIIPPKEWKPRQTY DDIDDVVIPAPIQQVVTGQSGLFTQYNIQKKAMTVGEYRRLANSEKYCTPRHQDFDDLER KYWKNLTFVSPIYGADISGSLYDDDVAQWNIGNLRTILDMVERECGTIIEGVNTPYLYFG MWKTTFAWHTEDMDLYSINYLHFGEPKSWYAIPPEHGKRLERLAIGFFPGSSQGCDAFLR HKMTLISPIILKKYGIPFSRITQEAGEFMITFPYGYHAGFNHGFNCAESTNFATLRWIDY GKVATQCTCRKDMVKISMDVFVRILQPERYEQWKQGRDLTVLDHTRPTALSSPELSSWSA SRTSIKAKLLRRQISVKESRPWRKAEEERRREPTRRPGPASHRRRSQPKKSKPEESRSPG EATAGVSTLDEARGCSRGEAMPEDEEEEELLPSQGHEAEGVEEDGRGKPRPTKARNKKKT PSPSSPPLLSAPPALFPTEEVLRPPPQPKSPGPAMGPMAAEGGPPPTPLNVVPPGAPVEE AEVRPRPIIPMLYVLPRTSSTDGDREHSAHAQLAPMELGPEEENQAQAGDSQGTTPFSKL KVEIKKSRRHPLGRPPTRSPLSVVKQEASSDEEAFLFSGEDDVTDPEALRSLLSLQWKNK AASFQAERKFNAAAALSEPYCAICTLFYPYSQSVQTERDSAVQPPSKSGQRTRPLIPEMC FTSSGENTEPLPANSYVGEDGTSPLISCAHCCLQVHASCYGVRPELAKEGWTCSRCAAHA WTAECCLCNLRGGALQRTTEHRWIHVICAIAVPEVRFLNVIERNPVDVSAIPEQRWKLKC IYCRKRMKRVSGACIQCSYEHCSTSFHVTCAHAAGVLMEPDDWPYVVSITCLKHRASGAG GQLLRTVSLGQIVITKNRNGLYYRCRVIGTTAQTFYEVNFDDGSYSDNLYPESITSRDCL RLGPPPEGELVELRWTDGNLYRARFISMATSLIYQVEFEDGSQLTVKRGDIFTLEEELPK RVRSRLSLSTGTPQEPSFSGDDVKAAKRPRVASVLATTTEDTGRSPEYLSFMESLLQAQG RPGAPF >ENSMUSP00000084045.5 pep:known chromosome:GRCm38:17:56326091:56402859:1 gene:ENSMUSG00000024201.12 transcript:ENSMUST00000086835.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4b description:lysine (K)-specific demethylase 4B [Source:MGI Symbol;Acc:MGI:2442355] MGSEDHSAQNPSCKIMTFRPTMDEFRDFNRYVAYIESQGAHRAGLAKIIPPKEWKPRQTY DDIDDVVIPAPIQQVVTGQSGLFTQYNIQKKAMTVGEYRRLANSEKYCTPRHQDFDDLER KYWKNLTFVSPIYGADISGSLYDDDVAQWNIGNLRTILDMVERECGTIIEGVNTPYLYFG MWKTTFAWHTEDMDLYSINYLHFGEPKSWYAIPPEHGKRLERLAIGFFPGSSQGCDAFLR HKMTLISPIILKKYGIPFSRITQEAGEFMITFPYGYHAGFNHGFNCAESTNFATLRWIDY GKVATQCTCRKDMVKISMDVFVRILQPERYEQWKQGRDLTVLDHTRPTALSSPELSSWSA SRTSIKAKLLRRQISVKESRPWRKAEEERRREPTRRPGPASHRRRSQPKKSKPEESRSPG EATAGVSTLDEARGCSRGEAMPEDEEEEELLPSQGHEAEGVEEDGRGKPRPTKARNKKKT PSPSSPPLLSAPPALFPTEEVLRPPPQPKSPGPAMGPMAAEGGPPPTPLNVVPPGAPVEE AEVRPRPIIPMLYVLPRTSSTDGDREHSAHAQLAPMELGPEEENQAQAGDSQLKVEIKKS RRHPLGRPPTRSPLSVVKQEASSDEEAFLFSGEDDVTDPEALRSLLSLQWKNKAASFQAE RKFNAAAALSEPYCAICTLFYPYSQSVQTERDSAVQPPSKSGQRTRPLIPEMCFTSSGEN TEPLPANSYVGEDGTSPLISCAHCCLQVHASCYGVRPELAKEGWTCSRCAAHAWTAECCL CNLRGGALQRTTEHRWIHVICAIAVPEVRFLNVIERNPVDVSAIPEQRWKLKCIYCRKRM KRVSGACIQCSYEHCSTSFHVTCAHAAGVLMEPDDWPYVVSITCLKHRASGAGGQLLRTV SLGQIVITKNRNGLYYRCRVIGTTAQTFYEVEFEDGSQLTVKRGDIFTLEEELPKRVRSR LSLSTGTPQEPSFSGDDVKAAKRPRVASVLATTTEDTGRSPEYLSFMESLLQAQGRPGAP F >ENSMUSP00000116789.1 pep:known chromosome:GRCm38:17:56393920:56402870:1 gene:ENSMUSG00000024201.12 transcript:ENSMUST00000141507.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4b description:lysine (K)-specific demethylase 4B [Source:MGI Symbol;Acc:MGI:2442355] XVVPPGAPVEEAEVRPRPIIPMLYVLPRTSSTDGDREHSAHAQLAPMELGPEEENQAQAG DSQLKVEIKKSRRHPLGRPPTRSPLSVVKQEASSDEGEDDVTDPEALRSLLSLQWKNKAA SFQAERKFNAAAALSEPYCAICTLFYPYSQSVQTERDSAVQPPSKSGQRTRPLIPEMCFT SSGENTEPLPANSYVGEDGTSPLISCAHCCLQVHASCYGVRPELAKEGWTCSRCAAHAWT AECCLCNLRGGALQRTTEHRWIHVICAIAVPEVRFLNVIERNPVDVSAIPEQRWKLKCIY CRKRMKRVSGACIQCSYEHCSTSFHVTCAHAAGVLMEPDDWPYVVSITCLKHRASGAGGQ LLRTVSLGQIVITKNRNGLYYRCRVIGTTAQTFYEVNFDDGSYSDNLYPESITSRDCLRL GPPPEGELVELRWTDGNLYRARFISMATSLIYQVEFEDGSQLTVKRGDIFTLEEELPKRV RSRLSLSTGTPQEPSFSGDDVKAAKRPRVASVLATTTEDTGRSPEYLSFMESLLQAQGRP GAPF >ENSMUSP00000118089.1 pep:known chromosome:GRCm38:17:56326062:56356056:1 gene:ENSMUSG00000024201.12 transcript:ENSMUST00000139679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4b description:lysine (K)-specific demethylase 4B [Source:MGI Symbol;Acc:MGI:2442355] MGSEDHSAQNPSCKIMTFRPTMDEFRDFNRYVAYIESQGAHRAGLAKIIPPKEWKPRQTY DDIDDVVIPAPIQQVVTGQSGLFTQYNIQKKAMTVGEYRRLANSEKYCTPRHQDFDDLER KYWKNLTFVSPIYGADISGSLYDDDVAQWNIGNLRTILDMVERECGTIIEGVNTPYLYFG MWKTTFAWHTEDMDLYSINYLHFGEPKS >ENSMUSP00000006697.8 pep:known chromosome:GRCm38:14:30908573:30923760:-1 gene:ENSMUSG00000006522.15 transcript:ENSMUST00000006697.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih3 description:inter-alpha trypsin inhibitor, heavy chain 3 [Source:MGI Symbol;Acc:MGI:96620] MRTMWWPCLVLALLSGLETSGFPRSPLQLLGKRSLPEGVVDGIEVYSTKISCKVTSRFAH NVVTTRAVNRADTAKEVSFDVELPKTAFITNFTLTIDGVTYPGNVKEKEVAQKQYEKAVS QGKTAGLVKASGRKLEKFTVSVNVAAGSKVTFELTYEELLKRNKGKYEMYLKVQPKQLVR HFEIDAHIFEPQGISMLDAEASFITNDLLGSALTKSFSGKKGHVSFKPSLDQQRSCPTCT DSLLNGDFTIVYDVNRESPGNVQIVNGYFVHFFAPQGLPVVPKNIVFVIDVSGSMSGRKI QQTREALLKILDDVKEDDYLNFILFSTDVTTWKDHLVQATPANLKEAKTFVKNIHDQSMT NINDGLLKGIEMLNKAREDHTVPERSTSIIIMLTDGDANTGESRPEKIQENVRNAIGGKF PLYNLGFGNNLNYNFLETLALENHGLARRIYEDSDANLQLQGFYEEVANPLLTNVEVEYP ENAILDLTRNSYPHFYDGSEIVVAGRLVDRNMDNFKADVKGHGALNDLTFTEEVDMEEMD AALKEQGYIFGDYIERLWAYLTIEQLLEKRKNAKGDEKENITAEALDLSLKYHFVTPLTS MVVTKPEDNEDQTSIADKPGEEAIAETTTMSFLTTQQSSQSPYYYVDGDPHFIIQIPGKN DSICFNIDEKPGTVLRLIQDPVTGITVTGQIIGDKRSNASSRTGKTYFGKLGITNAWMDF RVEVTTEKIILGTGAELSTFSWLDTITVTQTGLSVTINRKKNMVVSFGDGISFVIILHQV WKKHPVHQDFLGFYVVDSHRMSAQTHGLLGQFFQPFDFKVFGIRPGSDPTKPDATMVVKN HRLTVTRGSQKDYRKDASVGTKVICWFVHNNGEGLIDGVHTDYIVPSLF >ENSMUSP00000133027.1 pep:known chromosome:GRCm38:14:30908683:30923549:-1 gene:ENSMUSG00000006522.15 transcript:ENSMUST00000170415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih3 description:inter-alpha trypsin inhibitor, heavy chain 3 [Source:MGI Symbol;Acc:MGI:96620] MRTMWWPCLVLALLSGLETSGFPRSPLQLLGKRSLPEGVVDGIEVYSTKISCKVTSRFAH NVVTTRAVNRADTAKEVSFDVELPKTAFITNFTLTIDGVTYPGNVKEKEVAQKQYEKAVS QGKTAGLVKASGRKLEKFTVSVNVAAGSKVTFELTYEELLKRNKGKYEMYLKVQPKQLVR HFEIDAHIFEPQGISMLDAEASFITNDLLGSALTKSFSGKKGHVSFKPSLDQQRSCPTCT DSLLNGDFTIVYDVNRESPGNVQIVNGYFVHFFAPQGLPVVPKNIVFVIDVSGSMSGRKI QQTREALLKILDDVKEDDYLNFILFSTDVTTWKDHLVQATPANLKEAKTFVKNIHDQSMT NINDGLLKGIEMLNKAREDHTVPERSTSIIIMLTDGDANTGESRPEKIQENVRNAIGGKF PLYNLGFGNNLNYNFLETLALENHGLARRIYEDSDANLQLQGFYEEVANPLLTNVEVEYP ENAILDLTRNSYPHFYDGSEIVVAGRLVDRNMDNFKADVKGHGALNDLTFTEEVTTEKII LGTGAELSTFSWLDTITVTQTGLSVTINRKKNMVVSFGDGISFVIILHQVWKKHPVHQDF LGFYVVDSHRMSAQTHGLLGQFFQPFDFKVFGIRPGSDPTKPDATMVVKNHRLTVTRGSQ KDYRKDASVGTKVICWFVHNNGEGLIDGVHTDYIVPSLF >ENSMUSP00000131805.1 pep:known chromosome:GRCm38:14:30909840:30915387:-1 gene:ENSMUSG00000006522.15 transcript:ENSMUST00000166622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih3 description:inter-alpha trypsin inhibitor, heavy chain 3 [Source:MGI Symbol;Acc:MGI:96620] ERLWAYLTIEQLLEKRKNAKGDEKENITAEALDLSLKYHFVTPLTSMVVTKPEDNEDQTS IADKPGEEAIAETTTMSFLSPGVLGSPGGQGSLVAQGVSPFSDVLSVDGDPHFIIQIPGK NDSICFNIDEKPGTVLRLIQDPVTGITVTGQIIGDKRSNASSRTGKTYFGKLGITNAWMD FRVEVTTEKIILGTGAELSTFSWLDTITVTQTGLSVTINRKKNM >ENSMUSP00000030610.2 pep:known chromosome:GRCm38:4:129353628:129378116:-1 gene:ENSMUSG00000028807.2 transcript:ENSMUST00000030610.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb8a description:zinc finger and BTB domain containing 8a [Source:MGI Symbol;Acc:MGI:1920930] MEISSHQSYLLQQLNEQRRQDVFCDCSILVEGKVFKAHRNVLFASSGYFKMLLSQNSRET SQPTTATFQTFSPDTFTVILDFVYSGKLSLTGQNVIEVMSAASFLQMTDVISVCKTFIKS SLDISEKEKDRYFSLSDKDTGSNGVERPPFYSSSWQEEGGSPHSHVSPDPGKPWNKYGYP PASQRSPQRPLAKHEQRKEPSKKAKHVRLPQPSEVVHFKPGKGEAQTDSGNHVSQSEEQV PVDAEVDPAPAGFQYSQGPDGIARSFPDDLTRLRFKCPFCTHVVKRKADLKRHLRCHTGE RPYPCQACGKRFSRLDHLSSHFRTIHQACKLICRKCKRHVTDLTGQVVQEGTRRYRLCNE CLADVGMESLPADLEAEQHRTAPADGDKDCRWHLSEEENRSYVEIVEDGSADLVIQQVDD SEEEEEKEIKPNIR >ENSMUSP00000030395.2 pep:known chromosome:GRCm38:4:119195306:119201298:1 gene:ENSMUSG00000028643.10 transcript:ENSMUST00000030395.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svbp description:small vasohibin binding protein [Source:MGI Symbol;Acc:MGI:1916466] MDPPARKEKSKVKEPAFRVEKAKQKSAQQELKQRQRAEIYALNRVMTELEQQQFDEFCKQ MQPPGE >ENSMUSP00000101952.2 pep:known chromosome:GRCm38:4:119195407:119201298:1 gene:ENSMUSG00000028643.10 transcript:ENSMUST00000106345.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svbp description:small vasohibin binding protein [Source:MGI Symbol;Acc:MGI:1916466] MDPPARKEKSKVKEPAFRVEKAKQKSAQQELKQRQRAELCCDPKTCRPRVSLPASDLCSQ QSHDGAGAAAV >ENSMUSP00000095518.3 pep:known chromosome:GRCm38:4:119195496:119201298:1 gene:ENSMUSG00000028643.10 transcript:ENSMUST00000097908.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svbp description:small vasohibin binding protein [Source:MGI Symbol;Acc:MGI:1916466] MTTVPLCRKLRLRSEETRLGTHSKVRANQEAFRSQTMDPPARKEKSKVKEPAFRVEKAKQ KSAQQELKQRQRAEIYALNRVMTELEQQQFDEFCKQMQPPGE >ENSMUSP00000052217.4 pep:known chromosome:GRCm38:4:143437164:143450324:-1 gene:ENSMUSG00000047799.15 transcript:ENSMUST00000061277.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oog4 description:oogenesin 4 [Source:MGI Symbol;Acc:MGI:2684051] MIPVWPFPYLSLGKLIKNCNLETLKAMLEGVDILLAQNDRSSRCKLRVLDLRNEYHTLWR IWGGSYEGRGLPEFMTQQQPMENCPDCGVNKELKVTTDLNLVDGGLDESATYLLQWAQQK KDSIHLCCTMLRIHSLPKDIFRDILKIVNTGCIQELHLKELYTEELVFLIPYLREMNNLL TFMLSSIRETCRMDNSTRLDEENISRFISRLPKFHCLQNLYVNDITLLGNNLKDFLRCLG KPLETLCLTSCDLSQSDLDYLPCCQNTSALKRLNLTATSLRGLVFHPLGVLLESVRGTLQ SLELELCFMEDSQISAILPALSQCSHLTKVNFYDNELSLPILRQLLYHTANLSQLTYEVY PAPLECYNDMNIILTHKLENFCPELLDIIRANRQPNRVTFATSKCLICCGSYVYDLETQS CLYQKDLLED >ENSMUSP00000073325.3 pep:known chromosome:GRCm38:4:143437165:143450304:-1 gene:ENSMUSG00000047799.15 transcript:ENSMUST00000073641.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oog4 description:oogenesin 4 [Source:MGI Symbol;Acc:MGI:2684051] MASCEECPPQNVSFEEDIVNINPPPALQNLAIQSLLRDEALAISALKNLPQNLFPAIFEA AVIGGRIEILKAMIPVWPFPYLSLGKLIKNCNLETLKAMLEGVDILLAQNDRSSRCKLRV LDLRNEYHTLWRIWGGSYEGRGLPEFMTQQQPMENCPDCGVNKELKVTTDLNLVDGGLDE SATYLLQWAQQKKDSIHLCCTMLRIHSLPKDIFRDILKIVNTGCIQELHLKELYTEELVF LIPYLREMNNLLTFMLSSIRETCRMDNSTRLDEENISRFISRLPKFHCLQNLYVNDITLL GNNLKDFLRCLGKPLETLCLTSCDLSQSDLDYLPCCQNTSALKRLNLTATSLRGLVFHPL GVLLESVRGTLQSLELELCFMEDSQISAILPALSQCSHLTKVNFYDNELSLPILRQLLYH TANLSQLTYEVYPAPLECYNDMNIILTHKLENFCPELLDIIRANRQPNRVTFATSKCLIC CGSYVYDLETQSCLYQKDLLED >ENSMUSP00000029464.7 pep:known chromosome:GRCm38:3:98874521:98893239:-1 gene:ENSMUSG00000027870.8 transcript:ENSMUST00000029464.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hao2 description:hydroxyacid oxidase 2 [Source:MGI Symbol;Acc:MGI:96012] MSLLCLADFKAQAQKQLSKTSWDFIEGEADDGITYNDNLAAFRRIRLRPRYLRDVSKIDT RTTIQGQEINAPICISPTAFHSIAWADGEKSTAKAAQKANICYVISSYASYTVEDIVAAA PGGLHWFQLYVQPDWDINKQMVQRIEALGFKALVVTVDAPVLGNRRGNKRSLLDLEANIK LKDLRSPGESKSGLPTPLSMPSSSSCWNDLPLLQSMTRLPIILKGILTKEDAELAVKHNI RGIIVSNHGGRQLDEVPASIDALREVVAAVNGKIEVYMDGGVRTGNDVLKALALGARCIF LGRPIIWGLACKGEDGVKEVLDILKEELHTCMALSGCRSVAEISPDLIQFSRL >ENSMUSP00000121572.1 pep:known chromosome:GRCm38:11:114851152:114863733:1 gene:ENSMUSG00000051043.16 transcript:ENSMUST00000133245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5c description:G protein-coupled receptor, family C, group 5, member C [Source:MGI Symbol;Acc:MGI:1917605] MATHRTLLMCLGLPLFFPGALAQNHAPPGCSPDLDPLYYNLCDRSGAWGIVLEAVAGAGI ITTFVLTIILVASLPFVQ >ENSMUSP00000114335.1 pep:known chromosome:GRCm38:11:114851173:114869096:1 gene:ENSMUSG00000051043.16 transcript:ENSMUST00000122967.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5c description:G protein-coupled receptor, family C, group 5, member C [Source:MGI Symbol;Acc:MGI:1917605] MATHRTLLMCLGLPLFFPGALAQNHAPPGCSPDLDPLYYNLCDRSGAWGIVLEAVAGAGI ITTFVLTIILVASLPFVQDTKKRSLLGTQQRDQCHLTVATMDSC >ENSMUSP00000061760.5 pep:known chromosome:GRCm38:11:114851842:114872617:1 gene:ENSMUSG00000051043.16 transcript:ENSMUST00000053361.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5c description:G protein-coupled receptor, family C, group 5, member C [Source:MGI Symbol;Acc:MGI:1917605] MATHRTLLMCLGLPLFFPGALAQNHAPPGCSPDLDPLYYNLCDRSGAWGIVLEAVAGAGI ITTFVLTIILVASLPFVQDTKKRSLLGTQVFFLLGTLGLFCLVFACVVKPDFSTCASRRF LFGVLFAICFSCLVAHVLSLNFLTRKNHGPRGWVIFTVALLLTLVEVIINTEWLIITLVR GGGQVSPLGNVSADSTMTSPCAIANMDFVMALIYVMLLLLTAFLGAWPTLCGRFKRWRKH GVFVLLTTVISIAIWVVWIVMYTYGNEQHHSPTWDDPTLAIALAANAWTFVLFYVIPEVS QVTKPSPEQSYQGDMYPTRGVGYETILKEQTGQSMFVENKAFSMDEPASAKRPVSPYSGY NGQLLTSVYQPTEMALMHKGPSEGAYDVILPRATANSQVMGSANSTLRAEDMYMVQSHQV ATPPKDGKISQDQSPTNKTRW >ENSMUSP00000021071.7 pep:known chromosome:GRCm38:11:114851850:114869096:1 gene:ENSMUSG00000051043.16 transcript:ENSMUST00000021071.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5c description:G protein-coupled receptor, family C, group 5, member C [Source:MGI Symbol;Acc:MGI:1917605] MATHRTLLMCLGLPLFFPGALAQNHAPPGCSPDLDPLYYNLCDRSGAWGIVLEAVAGAGI ITTFVLTIILVASLPFVQDTKKRSLLGTQVFFLLGTLGLFCLVFACVVKPDFSTCASRRF LFGVLFAICFSCLVAHVLSLNFLTRKNHGPRGWVIFTVALLLTLVEVIINTEWLIITLVR GGGQVSPLGNVSADSTMTSPCAIANMDFVMALIYVMLLLLTAFLGAWPTLCGRFKRWRKH GVFVLLTTVISIAIWVVWIVMYTYGNEQHHSPTWDDPTLAIALAANAWTFVLFYVIPEVS QVTKPSPEQSYQGDMYPTRGVGYETILKEQTGQSMFVENKAFSMDEPASAKRPVSPYSGY NGQLLTSVYQPTEMALMHKGPSEGAYDVILPRATANSQVMGSANSTLRAEDMYMVQSHQV ATPPKDGKISQVFRNPYVWD >ENSMUSP00000116786.1 pep:known chromosome:GRCm38:11:114851880:114864347:1 gene:ENSMUSG00000051043.16 transcript:ENSMUST00000136785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5c description:G protein-coupled receptor, family C, group 5, member C [Source:MGI Symbol;Acc:MGI:1917605] MATHRTLLMCLGLPLFFPGALAQNHAPPGCSPDLDPLYYNLCDRSGAWGIVLEAVAGAGI ITTFVLTIILVASLPFVQDTKKRSLLGTQVFFLLGTLGLFCLVFACVVKPDFSTCASRRF LFGVLFAICFSCLVAHVLSLNFLTRKNHGPRGWVIFTVALLLTLVEVIINTEWLIITLVR GGGQVSPLGNVSADSTMTSPCAIANMDFVMALIYVMLLLLTAFLGAWPTLCGRFKRWRKH GVFVLLTTVISIAIWVVWIVMYTYGNEQHHSPTWDDPTLAIAL >ENSMUSP00000121524.1 pep:known chromosome:GRCm38:11:114852319:114863897:1 gene:ENSMUSG00000051043.16 transcript:ENSMUST00000142262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5c description:G protein-coupled receptor, family C, group 5, member C [Source:MGI Symbol;Acc:MGI:1917605] MATHRTLLMCLGLPLFFPGALAQNHAPPGCSPDLDPLYYNLCDRSGAWGIVLEAVAGAGI ITTFVLTIILVASLPFVQDTKKRSLLGTQVFFLLGTLGLFCLVFACVVKPDFSTCASRRF LFGVLFAICFSCL >ENSMUSP00000118667.1 pep:known chromosome:GRCm38:11:114864492:114870607:1 gene:ENSMUSG00000051043.16 transcript:ENSMUST00000152314.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5c description:G protein-coupled receptor, family C, group 5, member C [Source:MGI Symbol;Acc:MGI:1917605] GQSMFVENKAFSMDEPASAKRPVSPYSGYNGQLLTSVYQPTEMALMHKGPSEGAYDVILP RATANSQVMGSANSTLRAEDMYMVQSHQVATPPKDGKISQVFRNPYVWD >ENSMUSP00000136702.1 pep:known chromosome:GRCm38:11:114851540:114869095:1 gene:ENSMUSG00000051043.16 transcript:ENSMUST00000177952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5c description:G protein-coupled receptor, family C, group 5, member C [Source:MGI Symbol;Acc:MGI:1917605] MATHRTLLMCLGLPLFFPGALAQNHAPPGCSPDLDPLYYNLCDRSGAWGIVLEAVAGAGI ITTFVLTIILVASLPFVQDTKKRSLLGTQVFFLLGTLGLFCLVFACVVKPDFSTCASRRF LFGVLFAICFSCLVAHVLSLNFLTRKNHGPRGWVIFTVALLLTLVEVIINTEWLIITLVR GGGQVSPLGNVSADSTMTSPCAIANMDFVMALIYVMLLLLTAFLGAWPTLCGRFKRWRKH GVFVLLTTVISIAIWVVWIVMYTYGNEQHHSPTWDDPTLAIALAANAWTFVLFYVIPEVS QVTKPSPEQSYQGDMYPTRGVGYETILKEQTGQSMFVENKAFSMDEPASAKRPVSPYSGY NGQLLTSVYQPTEMALMHKGPSEGAYDVILPRATANSQVMGSANSTLRAEDMYMVQSHQV ATPPKDGKISQVFRNPYVWD >ENSMUSP00000079098.5 pep:known chromosome:GRCm38:17:69156810:69289989:1 gene:ENSMUSG00000024044.16 transcript:ENSMUST00000080208.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l3 description:erythrocyte membrane protein band 4.1 like 3 [Source:MGI Symbol;Acc:MGI:103008] MTTESGSDSESKPDQEAEPQEAAGPQGQAGAQPGPEPAGGNGSLNGEKQQPALEQFPEAA AHSTPVKREIGDKDRDFAAAAAKQLEYQQFEDDKLSQRSSSSKLSRSPLKIVKRPKSMQC KVTLLDGSEYGCDVDKRSRGQVLFDKVCEHLNLLEKDYFGLTYRDAENQKNWLDPAKEIK KQIRSGAWHFSFNVKFYPPDPAQLSEDITRYYLCLQLRDDIVSGRLPCSFVTLALLGSYT VQSELGDYDPDECGNDYISEFRFAPNHTKELEDKVIELHKSHRGMTPAEAEMHFLENAKK LSMYGVDLHHAKDSEGVEIMLGVCASGLLIYRDRLRINRFAWPKVLKISYKRNNFYIKIR PGEFEQFESTIGFKLPNHRAAKRLWKVCVEHHTFFRLLLPEAPPKKFLTLGSKFRYSGRT QAQTRRASALIDRPAPYFERSSSKRYTMSRSLDGASVSENHEIYMKDSVSAAEVGTGQYA TTKGISQTNLITTVTPEKKAEEERVEEEDRRKKAEEATPVTALRHEGKTDSERTDTAADG ETSATESDQEEDAEIKAQDLDKTQDELMKHQTNISELKRTFLETSTETALTNEWEKRLST SPVRLAARQEDAPMIEPLVPEETKQSSGEKLMDGSEILSLLESARKPTEFIGGVSSTTQS WVQKLETKTEPVEAEVESTPHPQPLSTEKVLQETILVEERHVMSVHASGDASHTARDEVD AAESTPTDRRHTGKGKEGSSVTEAAKEQRGEEVDQSAPEQEQPATVSHEEEQASTIRTSE GLEQKSHFESSTVRVESTSVGSISPGGAKLEISTKEVPVVHTETKTITYESSQVDPGADL EPGVLMSAQTITSETTSTTTTTHITKTVKGGISETRIEKRIVITGDADIDHDQALAQAIK EAKEQHPDMSVTKVVVHKETEITPEDGED >ENSMUSP00000108300.1 pep:known chromosome:GRCm38:17:69156810:69289985:1 gene:ENSMUSG00000024044.16 transcript:ENSMUST00000112680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l3 description:erythrocyte membrane protein band 4.1 like 3 [Source:MGI Symbol;Acc:MGI:103008] MTTESGSDSESKPDQEAEPQEAAGPQGQAGAQPGPEPAGGNGSLNGEKQQPALEQFPEAA AHSTPVKREIGDKDRDFAAAAAKQLEYQQFEDDKLSQRSSSSKLSRSPLKIVKRPKSMQC KVTLLDGSEYGCDVDKRSRGQVLFDKVCEHLNLLEKDYFGLTYRDAENQKNWLDPAKEIK KQIRSGAWHFSFNVKFYPPDPAQLSEDITRYYLCLQLRDDIVSGRLPCSFVTLALLGSYT VQSELGDYDPDECGNDYISEFRFAPNHTKELEDKVIELHKSHRGMTPAEAEMHFLENAKK LSMYGVDLHHAKDSEGVEIMLGVCASGLLIYRDRLRINRFAWPKVLKISYKRNNFYIKIR PGEFEQFESTIGFKLPNHRAAKRLWKVCVEHHTFFRLLLPEAPPKKFLTLGSKFRYSGRT QAQTRRASALIDRPAPYFERSSSKRYTMSRSLDGASVSENHEIYMKDSVSAAEVGTGQYA TTKGISQTNLITTVTPEKKAEEERVEEEDRRKKAEEATPVTALRHEGKTDSERTDTAADG ETSATENSLIKRIKGENVYVKHSNLMLEDLDKTQDELMKHQTNISELKRTFLETSTETAL TNEWEKRLSTSPVRLAARQEDAPMIEPLVPEETKQSSGEKLMDGSEILSLLESARKPTEF IGGVSSTTQSWVQKLETKTEPVEAEVESTPHPQPLSTEKVLQETILVEERHVMSVHASGD ASHTARDEVDAAESTPTDRRHTGKGKEGSSVTEAAKEQRGEEVDQSAPEQEQPATVSHEE EQASTIRTSEGLEQKSHFESSTVRVESTSVGSISPGGAKLEISTKEVPVVHTETKTITYE SSQVDPGADLEPGVLMSAQTITSETTSTTTTTHITKTVKGGISETRIEKRIVITGDADID HDQALAQAIKEAKEQHPDMSVTKVVVHKETEITPEDGED >ENSMUSP00000120925.1 pep:known chromosome:GRCm38:4:129335695:129349871:1 gene:ENSMUSG00000057572.15 transcript:ENSMUST00000141235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb8os description:zinc finger and BTB domain containing 8 opposite strand [Source:MGI Symbol;Acc:MGI:1914356] MAQEEEDVRDYNLTEEQKATKDKYPPVNRKYEYLDHTADVQLHAWGDTLEEAFEQCAMAM FGYMTDTGTVEPLRTVEVETQGDDLQSLLFHFLDEWLYKFSADEYFIPREVKVLNIDQKN FKLRSIGWGEEFSLSKHPQGTEVKAITYSAMQVYNEEKPEVFVIIDI >ENSMUSP00000114628.1 pep:known chromosome:GRCm38:4:129335695:129354547:1 gene:ENSMUSG00000057572.15 transcript:ENSMUST00000146767.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb8os description:zinc finger and BTB domain containing 8 opposite strand [Source:MGI Symbol;Acc:MGI:1914356] MAQEEEDVRDYNLTEEQKATKDKYPPVNRKYEYLDHTADVQLHAWGDTLEEAFEQCAMAM FGYMTDTGTVEPLRTVEVETQGDDLQSLLFHFLDEWLYKFSADEYFIPREVKVLNIDQKN FKLRSIGWGEEFSLSKHPQQSRLLAQ >ENSMUSP00000113004.1 pep:known chromosome:GRCm38:4:129336012:129346935:1 gene:ENSMUSG00000057572.15 transcript:ENSMUST00000119480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb8os description:zinc finger and BTB domain containing 8 opposite strand [Source:MGI Symbol;Acc:MGI:1914356] MAQEEEDVRDYNLTEEQKATKDKYPPVNRKYEYLDHTADVQLHAWGDTLEEAFEQCAMAM FGYMTDTGTVEPLRTVEVETQGDDLQSLLFHFLDEWLYKFSADEYFIPRVGRRVLTVQAP SGNRSQGNNVLSNASL >ENSMUSP00000101662.3 pep:known chromosome:GRCm38:4:129336047:129347033:1 gene:ENSMUSG00000057572.15 transcript:ENSMUST00000106047.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb8os description:zinc finger and BTB domain containing 8 opposite strand [Source:MGI Symbol;Acc:MGI:1914356] XQEEEDVRDYNLTEEQKATKDKYPPVNRKYECYTHGETLWRKHLNSVPWPCLVT >ENSMUSP00000024858.5 pep:known chromosome:GRCm38:17:73493228:73710451:-1 gene:ENSMUSG00000024064.13 transcript:ENSMUST00000024858.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt14 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 14 [Source:MGI Symbol;Acc:MGI:1918935] MRRLTRRLALPIFGVLWITVLLFFWVTKRKLEVPLGPEVQTPKPSDADWDDLWEQFDERR YLNAKKWRVGDDPYKLYAFNQRESERISSNRAVPDTRHKRCSLLVYCTDLPPTSIIITFH NEARSTLLRTIRSVLNRTPMHLIQEIILVDDFSNDPEDCKQLIKLPKVKCLRNNERQGLV RSRMRGADIAQGTTLTFLDSHCEVNRDWLQPLLHRVKEDYTRVVCPVIDIINLDTFNYIE SASELRGGFDWSLHFQWEQLSLEQKALRLDPTEPIRTPIIAGGLFVIDKAWFDYLGKYDV DMDIWGGENFEISFRVWMCGGGLEIIPCSRVGHVFRKKHPYVFPDGNANTYIKNTKRTAE VWMDEYKQYYYAARPFALERPFGNIENRLNLRKNLHCQTFKWYLENVYPELRVPPDSSIQ KGNIRQRQKCLESQKQKKQEILRLSPCAKVKGDGAKSQVWAFTYTQQIIQEELCLSVVTL FPGAPVVLALCKNGDERQLWTKTGARIEHIASHLCLDTDMFGDSTEDGKEVVVNPCESSL MSQHWDIVSS >ENSMUSP00000108210.2 pep:known chromosome:GRCm38:17:73507580:73710415:-1 gene:ENSMUSG00000024064.13 transcript:ENSMUST00000112591.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt14 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 14 [Source:MGI Symbol;Acc:MGI:1918935] MRRLTRRLALPIFGVLWITVLLFFWVTKRKLEVPLGPEVQTPKPSDADWDDLWEQFDERR YLNAKKWRVGDDPYKLYAFNQRESERISSNRAVPDTRHKRCSLLVYCTDLPPTSIIITFH NEARSTLLRTIRSVLNRTPMHLIQEIILVDDFSNDPEDCKQLIKLPKVKCLRNNERQGLV RSRMRGADIAQGTTLTFLDSHCEVNRDWLQPLLHRVKEDYTRVVCPVIDIINLDTFNYIE SASELRGGFDWSLHFQWEQLSLEQKALRLDPTEPIRTPIIAGGLFVIDKAWFDYLGKYDV DMDIWGGENFEISFRVWMCGGGLEIIPCSRVGHVFRKKHPYVFPDGNANTYIKNTKRTAE VWMDEYKQYYYAARPFALERPFGNSHVTQCCRRRILIRGTSFRGVVPPTNLPVESPTDPS SPYYLSSSS >ENSMUSP00000061875.5 pep:known chromosome:GRCm38:X:136707982:136711478:1 gene:ENSMUSG00000049536.5 transcript:ENSMUST00000055104.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal1 description:transcription elongation factor A (SII)-like 1 [Source:MGI Symbol;Acc:MGI:2385317] MENTRSENEEQPESTLKIDEEQPAVEQSPENQCSEEDQSSEDLSSEEQSSEEEFFPEELL PELLPEMLLSEDRPPQECLSQKNQFEDRIPMEQPPCGVGKHKLEEGSFKERLARIRPQFI GDIHGRNLSNEEMIQAADELEEMKRVRNKLMIMHWKAKRSRPYPI >ENSMUSP00000108058.2 pep:known chromosome:GRCm38:6:124958413:124965538:-1 gene:ENSMUSG00000030127.15 transcript:ENSMUST00000112439.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops7a description:COP9 signalosome subunit 7A [Source:MGI Symbol;Acc:MGI:1349400] MSAEVKVTGQNQEQFLLLAKSAKGAALATLIHQVLEAPGVYVFGELLDMPNVRELAESDF ASTFRLLTVFAYGTYADYLAEARNLPPLTDAQKNKLRHLSVVTLAAKVKCIPYAVLLEAL ALRNVRQLEDLVIEAVYADVLRGSLDQRNQRLEVDYSIGRDIQRQDLSAIAQTLQEWCVG CEVVLSGIEEQVSRANQHKEQQLGLKQQIESEVANLKKTIKVTTAAAAAATSQDPEQHLT ELREPASGTNQRQPSKKASKGKGEKINPQSTVKPSAK >ENSMUSP00000032220.8 pep:known chromosome:GRCm38:6:124958816:124965448:-1 gene:ENSMUSG00000030127.15 transcript:ENSMUST00000032220.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops7a description:COP9 signalosome subunit 7A [Source:MGI Symbol;Acc:MGI:1349400] MSAEVKVTGQNQEQFLLLAKSAKGAALATLIHQVLEAPGVYVFGELLDMPNVRELAESDF ASTFRLLTVFAYGTYADYLAEARNLPPLTDAQKNKLRHLSVVTLAAKVKCIPYAVLLEAL ALRNVRQLEDLVIEAVYADVLRGSLDQRNQRLEVDYSIGRDIQRQDLSAIAQTLQEWCVG CEVVLSGIEEQVSRANQHKEQQLGLKQQIESEVANLKKTIKVTTAAAAAATSQDPEQHLT ELREPASGTNQRQPSKKASKGKGLRGSAKIWSKSN >ENSMUSP00000117584.1 pep:known chromosome:GRCm38:6:124959907:124965290:-1 gene:ENSMUSG00000030127.15 transcript:ENSMUST00000129976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops7a description:COP9 signalosome subunit 7A [Source:MGI Symbol;Acc:MGI:1349400] MSAEVKVTGQNQEQFLLLAKSAKGAALATLIHQVLEAPGVYVFGELLDMPNVRELAESDF ASTFRLLTVFAYGTYADYLAEARNLPPLTDAQKNKLRHLSVVTLAAKVKCIPYAVLLEAL ALRNVRQLEDLVIEAVYADVLRGSLDQRNQRLEVDYSIGRDIQRQDLSAIAQTLQEWCVG CEVVLSGIEEQVSRANQHKEQQLGLKQQIESEVANLKKTIKVTTAAA >ENSMUSP00000114420.1 pep:known chromosome:GRCm38:6:124961158:124965408:-1 gene:ENSMUSG00000030127.15 transcript:ENSMUST00000129446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops7a description:COP9 signalosome subunit 7A [Source:MGI Symbol;Acc:MGI:1349400] MSAEVKVTGQNQEQFLLLAKSAKGAALATLIHQVLEAPGVYVFGELLDMPNVRELAESDF ASTFRLLTVFAYGTYADYLAEARNLPPLTDAQKNKLRHLSVVTLAAKVKCIPYAVLLEAL ALRNVRQLEDLVIEAVYADVLRGSLDQRNQRLEVDYSIGRDIQRQDLSAIAQTLQE >ENSMUSP00000115348.1 pep:known chromosome:GRCm38:6:124962623:124965207:-1 gene:ENSMUSG00000030127.15 transcript:ENSMUST00000148485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops7a description:COP9 signalosome subunit 7A [Source:MGI Symbol;Acc:MGI:1349400] MSAEVKVTGQNQEQFLLLAKSAKGAALATLIHQVLEAPGVYVFGELLDMPNVRELAESDF ASTFRLLTVFAYGTYADYL >ENSMUSP00000117570.1 pep:known chromosome:GRCm38:8:111094630:111112579:1 gene:ENSMUSG00000033624.10 transcript:ENSMUST00000135302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpr description:pyruvate dehydrogenase phosphatase regulatory subunit [Source:MGI Symbol;Acc:MGI:2442188] MLYRLLSIVQRQRTSPGWQTWSSARSSTSTAEAHSIALPAQAQVVICGGGIMGTSVAYHL SKMGWQDIVLLEQGRLAAGSTRFCAGILSTARHSSVEQKMANYSNKLYHQLEQETGIQTG YLRTGSISLAQTQDRLISLKRINSR >ENSMUSP00000046639.3 pep:known chromosome:GRCm38:8:111094641:111137074:1 gene:ENSMUSG00000033624.10 transcript:ENSMUST00000039333.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpr description:pyruvate dehydrogenase phosphatase regulatory subunit [Source:MGI Symbol;Acc:MGI:2442188] MLYRLLSIVQRQRTSPGWQTWSSARSSTSTAEAHSIALPAQAQVVICGGGIMGTSVAYHL SKMGWQDIVLLEQGRLAAGSTRFCAGILSTARHSSVEQKMANYSNKLYHQLEQETGIQTG YLRTGSISLAQTQDRLISLKRINSRLNVVGIPSEIISPKKVAELHPLLNVHDLVGAMYVP EDAVVSSADVALALASAASQNGVQIYDRTSVLHVLIKKGQVTGVETDKGQIECQYFVNCA GQWAYELGLSNEEPLSIPLHACEHFYLLTRPWDTPLQSNTPTIVDADGRIYIRNWQGGIL SGGFEKNPKPIFTEGKNQLEIQNLREDWDHFEPLLSSLLRRMPALETLEILKLVNCPETF TPDMKCIMGESPVVQGYFVLAGMNSAGLSLGGGAGKFLAEWMVYGYPSENVWELDLQRFG ALQSSRTFLRHRVMEVMPLIYDLKVPRWDFQTGRQLRTSPLYDRLDAQGARWMEKHGFER PKYFVPPNKDLLALEQSKTFYKPDWFDIVESEVKCCKEAVCVIDMSSFTKFEITSTGDEA LESLQYLFCNDLDVPVGHIVHTGMLNEYGGYENDCSIARLTKRSFFMISPTDQQVHCWAW LNKYLPKDSNLLLEDVTWKYTALNLIGPRAVDVLSELSYAPMTPDHFPTLFCKEMSVGYA NGIRVMSMTHTGEPGFMLYIPIEYALHVYNEVMSVGQKYGIRNAGYYALRSLRIEKFFAF WGQDLNTLTTPLECGGESRVKLEKGIDFIGRDALLQQKQTGVYKRLAMFILDDHDTDLDL WPWWGEPIYRNGKYAGKTTSSAYSYTLERHVCLGYVHNFSEDSGEEQVVTTDFINRGEYE IDIAGHRFQAKAKLYPVTSFFTHKRRKDDVELSDFHGK >ENSMUSP00000121325.1 pep:known chromosome:GRCm38:8:111094655:111135147:1 gene:ENSMUSG00000033624.10 transcript:ENSMUST00000144377.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdpr description:pyruvate dehydrogenase phosphatase regulatory subunit [Source:MGI Symbol;Acc:MGI:2442188] MLYRLLSIVQRQRTSPGWQTWSSARSSTSTAEAHSIALPAQAQVVICGGGIMGTSVAYHL SKMGWQDIVLLEQGRLAAGSTRFCAGILSTARHSSVEQKMANYSNKLYHQLEQETGIQTG YLRTGSISLAQTQDRLISLKRINSRLNVVGIPSEIISPKKVAELHPLLNVHDLVGAMYVP EDAVVSSADVALALASAASQNGVQIYDRTSVLHVLIKKGQVTGVETDKGQIECQYFVNCA GQWAYELGLSNEEPLSIPLHACEHFYLLTRPWDTPLQSNTPTIVDADGRIYIRNWQGGIL SGGFEKNPKPIFTEGKNQLEIQNLREDWDHFEPLLSSLLRRMPALETLEILKLVNCPETF TPDMKCIMGESPVVQGYFVLAGMNSAGLSLGGGAGKFLAEWMVYGYPSENVWELDLQRFG ALQSSRTFLRHRVMEVMPLIYDLKVPRWDFQTGRQLRTSPLYDRLDAQGARWMEKHGFER PKYFVPPNKDLLALEQSKTFYKPDWFDIVESEVKCCKEAVCVIDMSSFTKFEITSTGDEA LESLQYLFCNDLDVPVGHIVHTGMLNEYGGYENDCSIARLTKRSFFMISPTDQQVHCWAW LNKYLPKDSNLLLEDVTWKYTALNLIGPRAVDVLSELSYAPMTPDHFPTLFCKSPPEKTL GWSSLFLTLLG >ENSMUSP00000033418.7 pep:known chromosome:GRCm38:X:36112110:36171259:1 gene:ENSMUSG00000017057.9 transcript:ENSMUST00000033418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il13ra1 description:interleukin 13 receptor, alpha 1 [Source:MGI Symbol;Acc:MGI:105052] MARPALLGELLVLLLWTATVGQVAAATEVQPPVTNLSVSVENLCTIIWTWSPPEGASPNC TLRYFSHFDDQQDKKIAPETHRKEELPLDEKICLQVGSQCSANESEKPSPLVKKCISPPE GDPESAVTELKCIWHNLSYMKCSWLPGRNTSPDTHYTLYYWYSSLEKSRQCENIYREGQH IACSFKLTKVEPSFEHQNVQIMVKDNAGKIRPSCKIVSLTSYVKPDPPHIKHLLLKNGAL LVQWKNPQNFRSRCLTYEVEVNNTQTDRHNILEVEEDKCQNSESDRNMEGTSCFQLPGVL ADAVYTVRVRVKTNKLCFDDNKLWSDWSEAQSIGKEQNSTFYTTMLLTIPVFVAVAVIIL LFYLKRLKIIIFPPIPDPGKIFKEMFGDQNDDTLHWKKYDIYEKQSKEETDSVVLIENLK KAAP >ENSMUSP00000048636.7 pep:known chromosome:GRCm38:1:163994889:163997243:1 gene:ENSMUSG00000041396.13 transcript:ENSMUST00000045694.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl18 description:methyltransferase like 18 [Source:MGI Symbol;Acc:MGI:1917212] MAFQFNFSIEEDLENKLTSLDDGTCVLESQKGKQDKRQSTERPGLPRDHSWKCSSLGNAA SSEDTGSPPPIADRSDDPEACKHQPSWKPAKEHVMPKDVNHVLENTVLEMLPGPQHANTA VVKTVSLKEKFPGENIVSKSFSSHSDLIPGVYEGGLKIWECTFDLLTYFTKAKVKFAGQK VLDLGCGSGLLGITASKGGAREVHFQDYNGLVIDEVTLPNVVANVPLQDDSNGKNEPDGK RQRKSEVGREICKCRLFSGEWAEFCKLVLREKLFVKYDLILTSETIYNPDYYSTLHETFL RLLSRSGRVLLASKAHYFGVGGGVHLFQKFVEEKGVFETRTLEVIDEGLKRFLMEMTFKH PS >ENSMUSP00000107116.1 pep:known chromosome:GRCm38:1:163994889:163997243:1 gene:ENSMUSG00000041396.13 transcript:ENSMUST00000111490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl18 description:methyltransferase like 18 [Source:MGI Symbol;Acc:MGI:1917212] MAFQFNFSIEEDLENKLTSLDDGTCVLESQKGKQDKRQSTERPGLPRDHSWKCSSLGNAA SSEDTGSPPPIADRSDDPEACKHQPSWKPAKEHVMPKDVNHVLENTVLEMLPGPQHANTA VVKTVSLKEKFPGENIVSKSFSSHSDLIPGVYEGGLKIWECTFDLLTYFTKAKVKFAGQK VLDLGCGSGLLGITASKGGAREVHFQDYNGLVIDEVTLPNVVANVPLQDDSNGKNEPDGK RQRKSEVGREICKCRLFSGEWAEFCKLVLREKLFVKYDLILTSETIYNPDYYSTLHETFL RLLSRSGRVLLASKAHYFGVGGGVHLFQKFVEEKGVFETRTLEVIDEGLKRFLMEMTFKH PS >ENSMUSP00000138539.1 pep:known chromosome:GRCm38:10:89873509:90970219:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000183156.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MGKDQELLEAARTGNVALVEKLLSGRKGGILGGGSGPLPLSNLLSIWRGPNVNCTDSSGY TALHHAALNGHKDIVLKLLQYEASTNVADNKGYFPIHLAAWKGDVEIVKILIHHGPSHSR VNEQNNENETALHCAAQYGHSEVVAVLLEELTDPTIRNSKLETPLDLAALYGRLRVVKMI ISAHPNLMSCNTRKHTPLHLAARNGHKAVVQVLLEAGMDVSCQTEKGSALHEAALFGKVD VVRVLLETGIDANIKDSLGRTVLDILKEHPSQKSLQIATLLQDYLEGAGRSAAVLEEHAQ EDTAQETHLSSPAESPQKTKSETVTGELSKLLDEIKLCQEKDYSFEDLCHTISDHYLDNL SKISEEELGKNGSQSVRTSSTINLSPGEVEDEEEDPNSCGPTGLWEALTPCNGCRNLGFP MLAQESYPKKRNFPMEMEPSASLDTFPSENENFLCELVDTAVTKKPCSLEIARAPSPRTD NASEVAITAPGTSHHRNSSTGPTPDCSPPSPDTALKNIVKVIRPQPKQRTSIVSSLDFQR MNHNQEYFEISTSTGCTSFTSSPAASPPTSSVETTEVKNEGAEHADDLSQQEDDEPPKEY DAGQFAGLLHGSSPACESPENPFHLYGKRNTCEDGPDEASLANSPLPFKQTPIENNPEPS VKKVKPKVVSRTIFHKRNHQLENHTIVGTRMSRSGSRNGDQWGVNPGGFVERACTLGRIR SLPKALIDMHLSKNVSKSDSDLIAYPSKDKARVNWSKSSTAERSSKDNSERTPSFTSEWE EIDKIMNSIDVGINSELEGMNGETTRPRCPVQTVGQWLESIGLPQYENHLMANGFDSVQF MGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLDSIELGDY TKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDPPQKPPRSITL REPSGNHTPPQLSPSLSQSTYTTGGSLDVPHIIMQGDARRRRNENYFDDIPRSKLERQMA QTGDWGEPSITLRPPNEATASTPVQYWQHHPEKLIFQSCDYKAFYLGSMLIKELRGTEST QDACAKMRANCQKSTEQMKKVPTIILSVSYKGVKFIDAANKNIIAEHEIRNISCAAQDPE DLSTFAYITKDLKSNHHYCHVFTAFDVNLAYEIILTLGQAFEVAYQLALQARKGGHSSTL PESFENKPSKPIPKPRVSIRKSVQIDPSEQKTLANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000138209.1 pep:known chromosome:GRCm38:10:89873538:90510629:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MGKDQELLEAARTGNVALVEKLLSGRKGGILGGGSGPLPLSNLLSIWRGPNVNCTDSSGY TALHHAALNGHKDIVLKLLQYEASTNVADNKGYFPIHLAAWKGDVEIVKILIHHGPSHSR VNEQNNENETALHCAAQYGHSEVVAVLLEELTDPTIRNSKLETPLDLAALYGRLRVVKMI ISAHPNLMSCNTRKHTPLHLAARNGHKAVVQVLLEAGMDVSCQTEKGSALHEAALFGKVD VVRVLLETDYLEGAGRSAAVLEEHAQEDTAQETHLSSPAESPQKTKSETVTGELSKLLDE IKLCQEKDYSFEDLCHTISDHYLDNLSKISEEELGKNGSQSVRTSSTINLSPGEVEDEEE DPNSCGPTGLWEALTPCNGCRNLGFPMLAQESYPKKRNFPMEMEPSASLDTFPSENENFL CELVDTAVTKKPCSLEIARAPSPRTDNASEVAITAPGTSHHRNSSTGPTPDCSPPSPDTA LKNIVKVIRPQPKQRTSIVSSLDFQRMNHNQEYFEISTSTGCTSFTSSPAASPPTSSVET TEVKNEGAEHADDLSQQEDDEPP >ENSMUSP00000138614.1 pep:known chromosome:GRCm38:10:89873638:90966392:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182907.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MGKDQELLEAARTGNVALVEKLLSGRKGGILGGGSGPLPLSNLLRELHLQ >ENSMUSP00000138667.1 pep:known chromosome:GRCm38:10:90071111:90079463:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000183109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] XDILKEHPSQKSLQIATLLQDYLEGAGRSAAVLEEHAQEDTAQETHLSSPAESPQKTKSE TVTGELSKLLDEIKLCQEKDYSFEDLCHTISDHYLDNLSKISEEELGKNGSQSVVSKGGG TKTLHLVCLSSSVPTPAA >ENSMUSP00000138234.1 pep:known chromosome:GRCm38:10:90575727:90973300:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MANGFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAE WLDSIELGDYTKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDP PQKPPRSITLREPSGNHTPPQLSPSLSQSTYTTGGSLDVPHIIMQGDARRRRNENYFDDI PRSKLERQMAQTGDWGEPSITLRPPNEATASTPVQYWQHHPEKLIFQSCDYKAFYLGSML IKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVSYKGVKFIDAANKNIIAEHEIR NISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNLAYEIILTLGQAFEVAYQLALQ ARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVAPQTSCPNRLV >ENSMUSP00000138480.1 pep:known chromosome:GRCm38:10:90576252:90921313:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182427.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MGPRCPVQTVGQWLESIGLPQYENHLMANGFDSVQFMGSNVMEDQDLLEIGILNSGHRQR ILQAIQLLPKMRPIGHDGYHPTSVAEWLDSIELGDYTKAFLINGYTSMDLLKKIWELELI NVLKISLIGHRKRILASLGDRLHDDPPQKPPRSITLREPSGNHTPPQLSPSLSQSTYTTG GSLDVPHIIMQGDARRRRNENYFDDIPRSKLERQMAQTGDWGE >ENSMUSP00000138644.1 pep:known chromosome:GRCm38:10:90576377:90970258:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MGPRCPVQTVGQWLESIGLPQYENHLMANGFDSVQFMGSNVMEDQDLLEIGILNSGHRQR ILQAIQLLPKMRPIGHDGYHPTSVAEWLDSIELGDYTKAFLINGYTSMDLLKKIWELELI NVLKISLIGHRKRILASLGDRLHDDPPQKPPRSITLRTGDWGEPSITLRPPNEATASTPV QYWQHHPEKLIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTI ILSVSYKGVKFIDAANKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTA FDVNLAYEIILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVI DPSEQKTLANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000138655.1 pep:known chromosome:GRCm38:10:90576517:90971459:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MMWQCHPSAPDYRYYPVDGYSLLKRFPLHPLTGPRCPVQTVGQWLESIGLPQYENHLMAN GFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLD SIELGDYTKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDPPQK PPRSITLREPSGNHTPPQLSPSLSQSTYTTGGSLDVPHIIMQGDARRRRNENYFDDIPRS KLERQMAQSSVCEIWTNQNAGFPFSAIHQVHNTGDWGEPSITLRPPNEATASTPVQYWQH HPEKLIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVS YKGVKFIDAANKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNL AYEIILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVQIDPSE QKTLANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000138720.1 pep:known chromosome:GRCm38:10:90576570:90971702:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MANGFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAE WLDSIELGDYTKAFLINGYTSMDLLKKIWELELINQSSVCEIWTNQNAGFPFSAIHQVHN TGDWGEPSITLRPPNEATASTPVQYWQHHPEKLIFQSCDYKAFYLGSMLIKELRGTESTQ DACAKMRANCQKSTEQMKKVPTIILSVSYKGVKFIDAANKNIIAEHEIRNISCAAQDPED LSTFAYITKDLKSNHHYCHVFTAFDVNLAYEIILTLGQAFEVAYQLALQARKGGHSSTLP ESFENKPSKPIPKPRVSIRKSVIDPSEQKTLANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000138650.1 pep:known chromosome:GRCm38:10:90576612:90970212:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MANGFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAE WLDSIELGDYTKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDP PQKPPRSITLRGDARRRRNENYFDDIPRSKLERQMAQSSVCEIWTNQNAGFPFSAIHQVH NTGDWGEPSITLRPPNEATASTPVQYWQHHPEKLIFQSCDYKAFYLGSMLIKELRGTEST QDACAKMRANCQKSTEQMKKVPTIILSVSYKGVKFIDAANKNIIAEHEIRNISCAAQDPE DLSTFAYITKDLKSNHHYCHVFTAFDVNLAYEIILTLGQAFEVAYQLALQARKGGHSSTL PESFENKPSKPIPKPRVSIRKSVVNRPF >ENSMUSP00000138794.1 pep:known chromosome:GRCm38:10:90576691:90970864:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MMWQCHPSAPDYRYYPVDGYSLLKRFPLHPLTGPRCPVQTVGQWLESIGLPQYENHLMAN GFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLD SIELGDYTKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDPPQK PPRSITLRQSSVCEIWTNQNAGFPFSAIHQVHNTGDWGEPSITLRPPNEATASTPVQYWQ HHPEKLIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSV SYKGVKFIDAANKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVN LAYEIILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVQIDPS EQKTLANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000138660.1 pep:known chromosome:GRCm38:10:90576718:90971664:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182430.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MMWQCHPSAPDYRYYPVDGYSLLKRFPLHPLTGPRCPVQTVGQWLESIGLPQYENHLMAN GFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLD SIELGDYTKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDPPQK PPRSITLRTGDWGEPSITLRPPNEATASTPVQYWQHHPEKLIFQSCDYKAFYLGSMLIKE LRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVSYKGVKFIDAANKNIIAEHEIRNIS CAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNLAYEIILTLGQAFEVAYQLALQARK GGHSSTLPESFENKPSKPIPKPRVSIRKSVQIDPSEQKTLANLPWIVEPGQEAKRGINTK YETTIF >ENSMUSP00000138222.1 pep:known chromosome:GRCm38:10:90576736:90971702:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MMWQCHPSAPDYRYYPVDGYSLLKRFPLHPLTGPRCPVQTVGQWLESIGLPQYENHLMAN GFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLD SIELGDYTKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDPPQK PPRSITLRSSVCEIWTNQNAGFPFSAIHQVHNTGDWGEPSITLRPPNEATASTPVQYWQH HPEKLIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVS YKGVKFIDAANKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNL AYEIILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVQIDPSE QKTLANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000138679.1 pep:known chromosome:GRCm38:10:90576750:90587149:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182045.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MMWQCHPSAPDYRYYPVDGYSLLKRFPLHPLTGPRCPVQTVGQWLESIGLPQYENHLMAN GFDSVQFMQFQISFIF >ENSMUSP00000138576.1 pep:known chromosome:GRCm38:10:90576766:90587296:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MANGFDSVQFMQFQISFIF >ENSMUSP00000138738.1 pep:known chromosome:GRCm38:10:90576801:90970979:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000183136.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MMWQCHPSAPDYRYYPVDGYSLLKRFPLHPLTGPRCPVQTVGQWLESIGLPQYENHLMAN GFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLD SIELGDYTKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDPPQK PPRSITLREPSGNHTPPQLSPSLSQSTYTTGGSLDVPHIIMQGDARRRRNENYFDDIPRS KLERQMAQSSVCEIWTNQNAGFPFSAIHQVHNTGDWGEPSITLRPPNEATASTPVQYWQH HPEKLIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVS YKGVKFIDAANKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNL AYEIILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVIDPSEQ KTLANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000138314.1 pep:known chromosome:GRCm38:10:90576815:90971991:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182595.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MMWQCHPSAPDYRYYPVDGYSLLKRFPLHPLTGPRCPVQTVGQWLESIGLPQYENHLMAN GFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLD SIELGDYTKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDPPQK PPRSITLREPSGNHTPPQLSPSLSQSTYTTGGSLDVPHIIMQGDARRRRNENYFDDIPRS KLERQMAQTGDWGEPSITLRPPNEATASTPVQYWQHHPEKLIFQSCDYKAFYLGSMLIKE LRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVSYKGVKFIDAANKNIIAEHEIRNIS CAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNLAYEIILTLGQAFEVAYQLALQARK GGHSSTLPESFENKPSKPIPKPRVSIRKSVQIDPSEQKTLANLPWIVEPGQEAKRGINTK YETTIF >ENSMUSP00000138643.1 pep:known chromosome:GRCm38:10:90576965:90970219:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MMWQCHPSAPDYRYYPVDGYSLLKRFPLHPLTGPRCPVQTVGQWLESIGLPQYENHLMAN GFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLD SIELGDYTKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDPPQK PPRSITLREPSGNHTPPQLSPSLSQSTYTTGGSLDVPHIIMQGDARRRRNENYFDDIPRS KLERQMAQQSSVCEIWTNQNAGFPFSAIHQVHNTGDWGEPSITLRPPNEATASTPVQYWQ HHPEKLIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSV SYKGVKFIDAANKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVN LAYEIILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVQIDPS EQKTLANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000096965.5 pep:known chromosome:GRCm38:10:90576992:90969820:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000099364.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MMWQCHPSAPDYRYYPVDGYSLLKRFPLHPLTGPRCPVQTVGQWLESIGLPQYENHLMAN GFDSVQFMGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLD SIELGDYTKAFLINGYTSMDLLKKIWELELINVLKISLIGHRKRILASLGDRLHDDPPQK PPRSITLREPSGNHTPPQLSPSLSQSTYTTGGSLDVPHIIMQGDARRRRNENYFDDIPRS KLERQMAQTGDWGEPSITLRPPNEATASTPVQYWQHHPEKLIFQSCDYKAFYLGSMLIKE LRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVSYKGVKFIDAANKNIIAEHEIRNIS CAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNLAYEIILTLGQAFEVAYQLALQARK GGHSSTLPESFENKPSKPIPKPRVSIRKSVPFCFKADRPF >ENSMUSP00000138701.1 pep:known chromosome:GRCm38:10:90829111:90941465:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTII >ENSMUSP00000138398.1 pep:known chromosome:GRCm38:10:90829428:90941438:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MLIKELRGTESTQDACAKMRANCQKSTE >ENSMUSP00000138610.1 pep:known chromosome:GRCm38:10:90829434:90970212:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000182966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MQGDARRRRNENYFDDIPRSKLERQMAQQSSVCEIWTNQNAGFPFSAIHQVHNTGDWGEP SITLRPPNEATASTPVQYWQHHPEKLIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMR ANCQKSTEQMKKVPTIILSVSYKGVKFIDAANKNIIAEHEIRNISCAAQDPEDLSTFAYI TKDLKSNHHYCHVFTAFDVNLAYEIILTLGQAFEVAYQLALQARKGGHSSTLPESFENKP SKPIPKPRVSIRKSVQIDPSEQKTLANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000136146.2 pep:known chromosome:GRCm38:10:90829566:90971457:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000179694.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MQGDARRRRNENYFDDIPRSKLERQMAQTGDWGEPSITLRPPNEATASTPVQYWQHHPEK LIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVSYKGV KFIDAANKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNLAYEI ILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVQIDPSEQKTL ANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000136410.2 pep:known chromosome:GRCm38:10:90829781:90971284:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000179337.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MQGDARRRRNENYFDDIPRSKLERQMAQTGDWGEPSITLRPPNEATASTPVQYWQHHPEK LIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVSYKGV KFIDAANKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNLAYEI ILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVQIDPSEQKTL ANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000138256.1 pep:known chromosome:GRCm38:10:90895178:90970092:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000183024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] XKPPRSITLRGDARRRRNENYFDDIPRSKLERQMAQNLAYEIILTLGQAFEVAYQLALQA RKGGHSSTLPESFENKPSKPIPKPRVSIRKSVQIDPSEQKTLANLPWIVEPGQEAKRGIN TKYETTIF >ENSMUSP00000096968.4 pep:known chromosome:GRCm38:10:89873672:90683697:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000099368.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MGKDQELLEAARTGNVALVEKLLSGRKGGILGGGSGPLPLSNLLSIWRGPNVNCTDSSGY TALHHAALNGHKDIVLKLLQYEASTNVADNKGYFPIHLAAWKGDVEIVKILIHHGPSHSR VNEQNNENETALHCAAQYGHSEVVAVLLEELTDPTIRNSKLETPLDLAALYGRLRVVKMI ISAHPNLMSCNTRKHTPLHLAARNGHKAVVQVLLEAGMDVSCQTEKGSALHEAALFGKVD VVRVLLETGIDANIKDSLGRTVLDILKEHPSQKSLQIATLLQDYLEGAGRSAAVLEEHAQ EDTAQETHLSSPAESPQKTKSETVTGELSKLLDEIKLCQEKDYSFEDLCHTISDHYLDNL SKISEEELGKNGSQSVRTSSTINLSPGEVEDEEEDPNSCGPTGLWEALTPCNGCRNLGFP MLAQESYPKKRNFPMEMEPSASLDTFPSENENFLCELVDTAVTKKPCSLEIARAPSPRTD NASEVAITAPGTSHHRNSSTGPTPDCSPPSPDTALKNIVKVIRPQPKQRTSIVSSLDFQR MNHNQEYFEISTSTGCTSFTSSPAASPPTSSVETTEVKNEGAEHADDLSQQEDDEPPKEY DAGQFAGLLHGSSPACESPENPFHLYGKRNTCEDGPDEASLANSPLPFKQTPIENNPEPS VKKVKPKVVSRTIFHKRNHQLENHTIVGTRMSRSGSRNGDQWGVNPGGFVERACTLGRIR SLPKALIDMHLSKNVSKSDSDLIAYPSKDKARVNWSKSSTAERSSKDNSERTPSFTSEWE EIDKIMNSIDVGINSELEGMNGETTRPRCPVQTVGQWLESIGLPQYENHLMANGFDSVQF MGSNVMEDQDLLEIGILNSGHRQRILQAIQLLPKMRPIGHDGYHPTSVAEWLDSIELGDY TKAFLINGYTSMDLLKKIWELELINVLKIEII >ENSMUSP00000096967.4 pep:known chromosome:GRCm38:10:90895098:90971457:1 gene:ENSMUSG00000058589.14 transcript:ENSMUST00000099366.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks1b description:ankyrin repeat and sterile alpha motif domain containing 1B [Source:MGI Symbol;Acc:MGI:1924781] MQGDARRRRNENYFDDIPRSKLERQMAQTGDWGEPSITLRPPNEATASTPVQYWQHHPEK LIFQSCDYKAFYLGSMLIKELRGTESTQDACAKMRANCQKSTEQMKKVPTIILSVSYKGV KFIDAANKNIIAEHEIRNISCAAQDPEDLSTFAYITKDLKSNHHYCHVFTAFDVNLAYEI ILTLGQAFEVAYQLALQARKGGHSSTLPESFENKPSKPIPKPRVSIRKSVQIDPSEQKTL ANLPWIVEPGQEAKRGINTKYETTIF >ENSMUSP00000021085.4 pep:known chromosome:GRCm38:11:115564434:115583985:1 gene:ENSMUSG00000020739.11 transcript:ENSMUST00000021085.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup85 description:nucleoporin 85 [Source:MGI Symbol;Acc:MGI:3046173] MEELDCEPAVTWIPGVNSKKKQMCFDWGPGEMLLCETSFNQTGKSEKVPSCPFIYIIRKD VDVYSQILRKLFNESHGIFVGLQKIEEELSGKSRKAQLVRVSKNYRSVIRACMEEMHQVA IAAKDPASGRQFSSQVSILSAMELIWNLCEILFIEVAPAGPLLLHLLDWVRLHVCEVDSL SADVLGGDNPSKHENFWDLVTVLVLQGRLDEARQMLAKEADANPSCAGMCRVLGDLMRTM PILSPGNTQTLTELELKWQHWREECERHLQDNTFAANPRLESLCKIMLGDEAALLEQKEL LSNWYHFLVTRLLYSNPTVKPIDLHFYAQSSLDMFLGGESSPEPLDNILMAAFEFDIHQV IKECSIALSNWWFVAHLTDLLDHCRLLQSHNLYFGSNMREFLLLEYASGLFAHHSLWQLG VDYFDYCPELGRVSLELHIERIPLNTEQKALKVLRICEQRQMTEQVKSICKILAMKAVRN NRLGSALSWSIRAKDAAFATLVSDRFLRDYCERGCFSDLDLIDNLGSAMMLSDRLTFLGK YREFHRLYGEKRFGDAASLLLSLMTSQIAPRSFWMTLLTDALPLLEQKQVIFSAEQTYEL MRCLEDLASGRPECGEPDAQRLQDDDIETTKVEMLRLALARNLARAIIREGSLEGS >ENSMUSP00000116069.1 pep:known chromosome:GRCm38:11:115570115:115581843:1 gene:ENSMUSG00000020739.11 transcript:ENSMUST00000144473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup85 description:nucleoporin 85 [Source:MGI Symbol;Acc:MGI:3046173] DLVTVLVLQGRLDEARQMLAKEADANPSCAGMCRVLGDLMRTMPILSPGNTQTLTELELK WQHWREECERHLQDNTFAANPRLESLCKIMLGDEAALLEQKELLSNWYHFLVTRLLYSNP TVKPIDLHFYAQSSLDMFLGGESSPEPLDNILMAAFEFDIHQVIKECSFGSNMREFLLLE YASGLFAHHSLWQLGVDYFDYCPELGRVSLELHIERIPLNTEQKALKVLRICEQRQMTEQ VKSICKILAMKAVRNNRLGSALSWSIRAKDAAFA >ENSMUSP00000117333.1 pep:known chromosome:GRCm38:11:115577984:115582039:1 gene:ENSMUSG00000020739.11 transcript:ENSMUST00000140986.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup85 description:nucleoporin 85 [Source:MGI Symbol;Acc:MGI:3046173] KEADANPSCAGMCRVLGDLMRTMPILSIMLGDEAALLEQKELLSNWYHFLVTRLLYSNPT VKPIDLHFYAQSSLDMFLGGESSPEPLDNILMAAFEFDIHQVIKECSIALSNWWFVAHLT DLLDHCRLLQSHNLYFGSNMREFLLLEYASGLFAHHSLWQLGVDYFDYCPELGRVSLELH IERIPLNTEQKALKVLRICEQRQMTEQVKSICKILAMKAVRNNRLGSALSWSIRAKDAAF ATLVSDRFLRDYCERGCFSDLDLIDNLGSAMMLSDRLTFL >ENSMUSP00000144299.1 pep:known chromosome:GRCm38:5:135106902:135123693:1 gene:ENSMUSG00000005373.13 transcript:ENSMUST00000201977.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlxipl description:MLX interacting protein-like [Source:MGI Symbol;Acc:MGI:1927999] MARALADLSVNLQVPRVVPSPDSDSDTDLEDPSPRRSAGGLHRSQVIHSGHFMVSSPHSD SLTRRRDQEGPVGLADFGPRSIDPTLTHLFECLSLAYSGKLVSPKWKNFKGLKLLCRDKI RLNNAIWRAWYIQYVQRRKSPVCGFVTPLQGSEADEHRKPEAVILEGNYWKRRIEVVMRE YHKWRIYYKKRLRKSSREGDFLAPKQVEGGWPPPERWCEQL >ENSMUSP00000144328.1 pep:known chromosome:GRCm38:5:135106904:135137922:1 gene:ENSMUSG00000005373.13 transcript:ENSMUST00000142385.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mlxipl description:MLX interacting protein-like [Source:MGI Symbol;Acc:MGI:1927999] MARALADLSVNLQVPRVVPSPDSDSDTDLEDPSPRRSAGGLHRSQVIHSGHFMVSSPHSD SLTRRRDQEGPVGLADFGPRSIDPTLTHLFECLSLAYSGKLVSPKWKNFKGLKLLCRDKI RLNNAIWRAWYIQYVQRRKSPVCGFVTPLQGSEADEHRKPEAVILEGNYWKRRIEVVMRE YHKWRIYYKKRLRKSSREGDFLAPKQVEGGWPPPERWCEQLFSSVVPVLLGGSEEEPGGR QLLDLDCFLSDISDTLFTMTQPSPSSLQLPPEDAYVGNADMIQPDLTPLQPSLDDFMEIS DFFTNYRPPQTPTSSNYIESPSFGPMADSLFSSGILAPEMPSPASSSSSSGMTPHSGNTR LQARNSCSGPLDPNPFLSSEFLLPEDPKTKIPPAPGPTPLLPFPTPVKVHGLEPCTPSPF PTMAPPPSLLPEESLLSARFPFTSAPPAPGVSTLPAPTTFVPTPQPGPGPVPFSVDHLPH GYLEPVFGPHFTVPQGMQPRCKPSSPSPGGQKASPPTLASATASPTATATARDNNPCLTQ LLRAV >ENSMUSP00000122198.1 pep:known chromosome:GRCm38:5:135106913:135137922:1 gene:ENSMUSG00000005373.13 transcript:ENSMUST00000153519.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mlxipl description:MLX interacting protein-like [Source:MGI Symbol;Acc:MGI:1927999] MARALADLSVNLQVPRVVPSPDSDSDTDLEDPSPRRSAGGLHRSQVIHSGHFMVSSPHSD SLTRRRDQEGPVGLADFGPRSIDPTLTHLFECLSLAYSGKLVSPKWKNFKGLKLLCRDKI RLNNAIWRAWYIQYVQRRKSPVCGFVTPLQGSEADEHRKPEAVILEGNYWKRRIEVVMRE YHKWRIYYKKRLRKSSREGDFLAPKQVEGGWPPPERWCEQLFSSVVPVLLGGSEEEPGGR QLLDLDCFLSDISDTLFTMTQPSPSSLQLPPEDAYVGNADMIQPDLTPLQPSLDDFMEIS DFFTNYRPPQTPTSSNYIESPSFGPMADSLFSSGILAPEMPSPASSSSSSGMTPHSGNTR LQARNSCSGPLDPNPFLSSEFLLPEDPKTKIPPAPGPTPLLPFPTPVKVHGLEPCTPSPF PTMAPPPSLLPEESLLSARFPFTSAPPAPGVSTLPAPTTFVPTPQPGPGPVPFSVDHLPH GYLEPVFGPHFTVPQGMQPRCKPSSPSPGGQKASPPTLASATASPTATATARDNNPCLTQ LLRAAKPEQALEPPTMPGTLLRPPESPQDTVSEIPRARAFFPPIPAPTPPRPPPGPATLA PPRSLVVPKAERLSPPASSGSERRLSGDLNSIQPSGALSVHLSPPQTVLSRGRVDNNKME NRRITHISAEQKRRFNIKLGFDTLHGLVSTLSAQPSLKGLPTQRPTLVALAGEQSNHASE DSGVHPDAAAGTGSYAGGGAAAAG >ENSMUSP00000121348.1 pep:known chromosome:GRCm38:5:135106913:135137922:1 gene:ENSMUSG00000005373.13 transcript:ENSMUST00000128691.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mlxipl description:MLX interacting protein-like [Source:MGI Symbol;Acc:MGI:1927999] MARALADLSVNLQVPRVVPSPDSDSDTDLEDPSPRRSAGGLHRSQVIHSGHFMVSSPHSD SLTRRRDQEGPVGLADFGPRSIDPTLTHLFECLSLAYSGKLVSPKWKNFKGLKLLCRDKI RLNNAIWRAWYIQYVQRRKSPVCGFVTPLQGSEADEHRKPEAVILEGNYWKRRIEVVMRE YHKWRIYYKKRLRKSSREGDFLAPKQVEGGWPPPERWCEQLFSSVVPVLLGGSEEEPGGR QLLDLDCFLSDISDTLFTMTQPSPSSLQLPPEDAYVGNADMIQPDLTPLQPSLDDFMEIS DFFTNYRPPQTPTSSNYIESPSFGPMADSLFSSGILAPEMPSPASSSSSSGMTPHSGNTR LQARNSCSGPLDPNPFLSSEFLLPEDPKTKIPPAPGPTPLLPFPTPVKVHGLEPCTPSPF PTMAPPPSLLPEESLLSARFPFTSAPPAPGVSTLPAPTTFVPTPQPGPGPVPFSVDHLPH GYLEPVFGPHFTVPQGMQPRCKPSSPSPGGQKASPPTLASATASPTATATARDNNPCLTQ LLRAAKPEQALEPPTMPGTLLRPPESPQDTVSEIPRARAFFPPIPAPTPPRPPPGPATLA PPRSLVVPKAERLSPPASSGSERRLSGDLNSIQPSGALSVHLSPPQTVLSRGRVDNNKME NRRITHISAEQKRRFNIKLGFDTLHGLVSTLSAQPSLKLPGLANTEAHIGGARR >ENSMUSP00000114933.1 pep:known chromosome:GRCm38:5:135106913:135137922:1 gene:ENSMUSG00000005373.13 transcript:ENSMUST00000129008.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mlxipl description:MLX interacting protein-like [Source:MGI Symbol;Acc:MGI:1927999] MARALADLSVNLQVPRVVPSPDSDSDTDLEDPSPRRSAGGLHRSQVIHSGHFMVSSPHSD SLTRRRDQEGPVGLADFGPRSIDPTLTHLFECLSLAYSGKLVSPKWKNFKGLKLLCRDKI RLNNAIWRAWYIQYVQRRKSPVCGFVTPLQGSEADEHRKPEAVILEGNYWKRRIEVVMRE YHKWRIYYKKRLRKSSREGDFLAPKQVEGGWPPPERWCEQLFSSVVPVLLGGSEEEPGGR QLLDLDCFLSDISDTLFTMTQPSPSSLQLPPEDAYVGNADMIQPDLTPLQPSLDDFMEIS DFFTNYRPPQTPTSSNYIESPSFGPMADSLFSSGILAPEMPSPASSSSSSGMTPHSGNTR LQARNSCSGPLDPNPFLSSEFLLPEDPKTKIPPAPGPTPLLPFPTPVKVHGLEPCTPSPF PTMAPPPSLLPEESLLSARFPFTSAPPAPGVSTLPAPTTFVPTPQPGPGPVPFSVDHLPH GYLEPVFGPHFTVPQGMQPRCKPSSPSPGGQKASPPTLASATASPTATATARDNNPCLTQ LLRAVVLIVLPVPSQA >ENSMUSP00000005507.3 pep:known chromosome:GRCm38:5:135106918:135138382:1 gene:ENSMUSG00000005373.13 transcript:ENSMUST00000005507.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlxipl description:MLX interacting protein-like [Source:MGI Symbol;Acc:MGI:1927999] MARALADLSVNLQVPRVVPSPDSDSDTDLEDPSPRRSAGGLHRSQVIHSGHFMVSSPHSD SLTRRRDQEGPVGLADFGPRSIDPTLTHLFECLSLAYSGKLVSPKWKNFKGLKLLCRDKI RLNNAIWRAWYIQYVQRRKSPVCGFVTPLQGSEADEHRKPEAVILEGNYWKRRIEVVMRE YHKWRIYYKKRLRKSSREGDFLAPKQVEGGWPPPERWCEQLFSSVVPVLLGGSEEEPGGR QLLDLDCFLSDISDTLFTMTQPSPSSLQLPPEDAYVGNADMIQPDLTPLQPSLDDFMEIS DFFTNYRPPQTPTSSNYIESPSFGPMADSLFSSGILAPEMPSPASSSSSSGMTPHSGNTR LQARNSCSGPLDPNPFLSSEFLLPEDPKTKIPPAPGPTPLLPFPTPVKVHGLEPCTPSPF PTMAPPPSLLPEESLLSARFPFTSAPPAPGVSTLPAPTTFVPTPQPGPGPVPFSVDHLPH GYLEPVFGPHFTVPQGMQPRCKPSSPSPGGQKASPPTLASATASPTATATARDNNPCLTQ LLRAAKPEQALEPPTMPGTLLRPPESPQDTVSEIPRARAFFPPIPAPTPPRPPPGPATLA PPRSLVVPKAERLSPPASSGSERRLSGDLNSIQPSGALSVHLSPPQTVLSRGRVDNNKME NRRITHISAEQKRRFNIKLGFDTLHGLVSTLSAQPSLKVSKATTLQKTAEYILMLQQERA AMQEEAQQLRDEIEELNAAINLCQQQLPATGVPITHQRFDQMRDMFDDYVRTRTLHNWKF WVFSILIRPLFESFNGMVSTASLHSLRQTSLAWLEQYCSLPALRPTVLNSLRQLSTSTSI LTDPSLVPEQATRAVTEGTLGRPL >ENSMUSP00000121668.1 pep:known chromosome:GRCm38:5:135133610:135134610:1 gene:ENSMUSG00000005373.13 transcript:ENSMUST00000154840.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlxipl description:MLX interacting protein-like [Source:MGI Symbol;Acc:MGI:1927999] XSPPQTVLSRGRVDNNKMENRRITHISAEQKRRFNIKLGFDTLHGLVSTLSAQPSLKVSP QPAMHTPLESWNPSLDQHPTPAPRACQHRGPHWWRSQVSKATTLQKTAEYILMLQQERAA MQEEAQQLRDEIEELNAAINLCQQQLPATGVPITHQRFDQMRDMFDDYVRTRTLHNWKFW V >ENSMUSP00000116358.1 pep:known chromosome:GRCm38:5:135133631:135135738:1 gene:ENSMUSG00000005373.13 transcript:ENSMUST00000123370.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlxipl description:MLX interacting protein-like [Source:MGI Symbol;Acc:MGI:1927999] XSRGRVDNNKMENRRITHISAEQKRRFNIKLGFDTLHGLVSTLSAQPSLKVSKATTLQKT AEYILMLQQERAAMQEEAQQLRDEIEELNAAINLCQQQLPATGVPITHQRFDQMRDMFDD YVRTRTLHNWKFWVFSILIRPLFESFNGMVSTASLHSLRQTSLAWLEQYCSLPALRPSM >ENSMUSP00000080966.1 pep:known chromosome:GRCm38:X:154339225:154343392:-1 gene:ENSMUSG00000059663.1 transcript:ENSMUST00000082357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930524N10Rik description:RIKEN cDNA 4930524N10 gene [Source:MGI Symbol;Acc:MGI:1922321] MDCYTLNTKRFAGVKEWIFRVTGFLCSLLSSGFGIILANSKYWRLWEFDNDVVQLVYIGL WEAYYHWEFIFSDTETIILVHSPINSTWTISPEFQYARNLILLAMLIKPVVVIFSSAALR VSILKASISEIQIVCYKCSVLILILSSLCTIISVTWNHVVDLYGNTTLDFPPNFPVKKDA LIKKHSTHVFPMGLITTTLSLFGVIMFLYEIRSLKVQRKLNAQHASKKVMNGP >ENSMUSP00000025241.6 pep:known chromosome:GRCm38:18:32240300:32270151:1 gene:ENSMUSG00000024382.13 transcript:ENSMUST00000025241.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc3 description:excision repair cross-complementing rodent repair deficiency, complementation group 3 [Source:MGI Symbol;Acc:MGI:95414] MGKRDRVDRDKKKSKKRQYEEEEEDEDDIPGNESQEAVPSAAGKQVDESSTKVDEYGAKD YRQQMPLKGDHTSRPLWVAPDGHIFLEAFSPVYKYAQDFLVAIAEPVCRPTHVHEYKLTA YSLYAAVSVGLQTSDITEYLRKLSKTGVPDGIIQFIKLCTVSYGKVKLVLKHNRYFVESS HPDVIQHLLQDPVIRECRLRNAEGEATELITETFTSKSAISKTAAEGSGGPSTSQGVDAQ ATSDIPKDLFDFYEQMDKDEEEEEETQTVSFEVKQEMIEELQKRCICLEYPLLAEYDFRN DTLNPDINIDLKPTAVLRPYQEKSLRKMFGNGRARSGVIVLPCGAGKSLVGVTAACTVRK RCLVLGNSAVSVEQWKAQFKMWSTIDDSQICRFTSDAKDKPIGCSVAISTYSMLGHTTKR SWEAERVMEWLKTQEWGLMILDEVHTIPARMFRRVLTIVQAHCKLGLTATLVREDDKIVD LNFLIGPKLYEANWMELQNNGYIAKVQCAEVWCPMSPEFYREYVAIKTKKRILLYTMNPN KFRACQFLIKFHERRNDKIIVFADNVFALKEYAIRLNKPYIYGPTSQGERMQILQNFKHN PKINTIFISKVGDTSFDLPEANVLIQISSHGGSRRQEAQRLGRVLRAKKGMVAEEYNAFF YSLVSQDTQEMAYSTKRQRFLVDQGYSFKVITKLAGMEEEELAFSTKEEQQQLLQKVLAA TDLDAEEEVVAGEFGSRSGQASRRCGTMSSLSGADDTVYMEYHSSRSKASSKHVHPLFKR FRK >ENSMUSP00000145286.1 pep:known chromosome:GRCm38:6:48647234:48659800:1 gene:ENSMUSG00000064262.7 transcript:ENSMUST00000203083.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap8 description:GTPase, IMAP family member 8 [Source:MGI Symbol;Acc:MGI:2685303] MATSSHQGAAAGSQAEHRSCEASVGQGERPSASQGQEGNFKQNQGTSTLRLLLLGKQGAG KSATGNTILGKAVFESKFSDHMVTDRCQSESVSVRGKQVIVIDTPDLFSSLSCSEVRQQN LKQCLELLADDHCVLLLVTPIGHYTEEDRETIEGIWGKIGPKAYRHMIVVFTREDELDED SLWNYIESKESLKELIKNIGSRRCCTFNNKADKKQRELQVFKLLDAIELLMMESPEPYFE PLKMESSGVQGCGNGVTYEGDTLCGSKKRQPQITGPDCDPDMPELRVLLMGKRGVGKSAA GNSILGKQVFKTQFSEKQRVTKAFASHSRVWQGKKVLIIDSPEISSWKLDESAVKNHTFP GPHAFLLVTPLGSSLKSDDDVFSIIKRIFGEKFTKFTIVLFTRKEDFEDQALDKVIKEND ALYNLTQKFGERYAIFNYRASVEEEQSQVGKLLSQIEKMVQCHSNKPCVIREKELLNIIL LGRSGAGKSATGNTILGRSAFFSQLRAQPVTSSSQSGKRTLDWQDVVVVDTPSFIQTPGT EKDPSRLKEEIHHCLSLCEEGMKIFVLVLQLGRFTQEDEVVVEQLEASFEENIMKYMIVL FTRKEDLGDGDLHDYTNNTKNKALKKILKKCNGRVCAFNNKETGEDQETQVKGLLKIANS LKKNYDEHSNSWVGQLKSTLGQITMAFK >ENSMUSP00000077350.4 pep:known chromosome:GRCm38:6:48647361:48660875:1 gene:ENSMUSG00000064262.7 transcript:ENSMUST00000078223.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap8 description:GTPase, IMAP family member 8 [Source:MGI Symbol;Acc:MGI:2685303] MATSSHQGAAAGSQAEHRSCEASVGQGERPSASQGQEGNFKQNQGTSTLRLLLLGKQGAG KSATGNTILGKAVFESKFSDHMVTDRCQSESVSVRGKQVIVIDTPDLFSSLSCSEVRQQN LKQCLELLADDHCVLLLVTPIGHYTEEDRETIEGIWGKIGPKAYRHMIVVFTREDELDED SLWNYIESKESLKELIKNIGSRRCCTFNNKADKKQRELQVFKLLDAIELLMMESPEPYFE PLKMESSGVQGCGNGVTYEGDTLCEIWRKIRNLQLPGICGGGAEPGGQTTEPNREDGAVP QQQALRHQRERTFKHHPLGKEWGWKECNWEHYPGEICLLLPAQSSAGHQ >ENSMUSP00000145255.1 pep:known chromosome:GRCm38:6:48647384:48660388:1 gene:ENSMUSG00000064262.7 transcript:ENSMUST00000203509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap8 description:GTPase, IMAP family member 8 [Source:MGI Symbol;Acc:MGI:2685303] MATSSHQGAAAGSQAEHRSCEASVGQGERPSASQGQEGNFKQNQGTSTLRLLLLGKQGAG KSATGNTILGKAVFESKFSDHMVTDRCQSESVSVRGKQVIVIDTPDLFSSLSCSEVRQQN LKQCLELLADDHCVLLLVTPIGHYTEEDRETIEGIWGKIGPKAYRHMIVVFTREDELDED SLWNYIESKESLKELIKNIGSRRCCTFNNKADKKQRELQVFKLLDAIELLMMESPEPYFE PLKMESSGVQGCGNGVTYEGDTLCGSKKRQPQITGPDCDPDMPELRVLLMGKRGVGKSAA GNSILGKQVFKTQFSEKQRVTKAFASHSRVWQGKKVLIIDSPEISSWKLDESAVKNHTFP GPHAFLLVTPLGSSLKSDDDVFSIIKRIFGEKFTKFTIVLFTRKEDFEDQALDKVIKEND ALYNLTQKFGERYAIFNYRASVEEEQSQVGKLLSQIEKMVQCHSNKPCVIREKELLNIIL LGRSGAGKSATGNTILGRSAFFSQLRAQPVTSSSQSGKRTLDWQDVVVVDTPSFIQTPGT EKDPSRLKEEIHHCLSLCEEGMKIFVLVLQLGRFTQEDEVVVEQLEASFEENIMKYMIVL FTRKEDLGDGDLHDYTNNTKNKALKKILKKCNGRVCAFNNKETGEDQETQVKGLLKIANS LKKNYDEHSNSWVGQLKSTLGQITMAFK >ENSMUSP00000099828.1 pep:known chromosome:GRCm38:2:51924448:51935007:-1 gene:ENSMUSG00000036249.16 transcript:ENSMUST00000102767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm43 description:RNA binding motif protein 43 [Source:MGI Symbol;Acc:MGI:1918934] MASAWKVKDPTVAERTVVVSGLPVGLLKDQLVKCYFQDEGGHVEEVIYPSKSKGVAYIIF KEKKVAQDFIRQKKHPPGSEPRLTVSHFSEKVFNYVMAILDLSVFRTQIELESLVVDLKK KIPTLNFSPLGPSGKISVQGSFLAIMKLKQALISKAISPLENNRKDAGERRNWNGENPRR ILQKRENSASILGTFVAEPAGSPETLVLDTDIFLYLKHKCEFYHLTLSKYHVLCQERVDG DVTTICLQDAQDGSCSGSVRHVKEFIEECAQEFHLELRKELLVLEGMGDREKRNIRQALE ELGGRYPRVLTNVHSTHIDLIGPPSDTYLFKTQLMKSAGQKVT >ENSMUSP00000099829.1 pep:known chromosome:GRCm38:2:51924448:51935163:-1 gene:ENSMUSG00000036249.16 transcript:ENSMUST00000102768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm43 description:RNA binding motif protein 43 [Source:MGI Symbol;Acc:MGI:1918934] MASAWKVKDPTVAERTVVVSGLPVGLLKDQLVKCYFQDEGGHVEEVIYPSKSKGVAYIIF KEKKVAQDFIRQKKHPPGSEPRLTVSHFSEKVFNYVMAILDLSVFRTQIELESLVVDLKK KIPTLNFSPLGPSGKISVQGSFLAIMKLKQALISKAISPLENNRKDAGERRNWNGENPRR ILQKRENSASILGTFVAEPAGSPETLVLDTDIFLYLKHKCEFYHLTLSKYHVLCQERVDG DVTTICLQDAQDGSCSGSVRHVKEFIEECAQEFHLELRKELLVLEGMGDREKRNIRQALE ELGGRYPRVLTNVHSTHIDLIGPPSDTYLFKTQLMKSAGQKVT >ENSMUSP00000126129.1 pep:known chromosome:GRCm38:2:51924451:51934644:-1 gene:ENSMUSG00000036249.16 transcript:ENSMUST00000165313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm43 description:RNA binding motif protein 43 [Source:MGI Symbol;Acc:MGI:1918934] MASAWKVKDPTVAERTVVVSGLPVGLLKDQLVKCYFQDEGGHVEEVIYPSKSKGVAYIIF KEKKVAQDFIRQKKHPPGSEPRLTVSHFSEKVFNYVMAILDLSVFRTQIELESLVVDLKK KIPTLNFSPLGPSGKISVQGSFLAIMKLKQALISKAISPLENNRKDAGERRNWNGENPRR ILQKRENSASILGTFVAEPAGSPETLVLDTDIFLYLKHKCEFYHLTLSKYHVLCQERVDG DVTTICLQDAQDGSCSGSVRHVKEFIEECAQEFHLELRKELLVLEGMGDREKRNIRQALE ELGGRYPRVLTNVHSTHIDLIGPPSDTYLFKTQLMKSAGQKVT >ENSMUSP00000023260.3 pep:known chromosome:GRCm38:15:74732247:74734329:-1 gene:ENSMUSG00000022595.7 transcript:ENSMUST00000023260.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd2 description:Ly6/Plaur domain containing 2 [Source:MGI Symbol;Acc:MGI:1915561] MQGTWMVLLALILGTFGELAMALQCYTCANPVSASNCVTTTHCHINETMCKTTLYSLEIV FPFLGDSTVTKSCASKCEPSDVDGIGQTRPVSCCNSDLCNVDGAPSLGSPGGLLLALALF LLLGVLL >ENSMUSP00000076578.6 pep:known chromosome:GRCm38:7:26665227:26686437:-1 gene:ENSMUSG00000040650.8 transcript:ENSMUST00000077356.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2b23 description:cytochrome P450, family 2, subfamily b, polypeptide 23 [Source:MGI Symbol;Acc:MGI:3646735] MEPSVLLLLALLTGFLLLLIRGQPKAYSRLPPGPRPLPFLGNLLQMDRGGLLKSFIKLRD KHGDVFTVHLGPRPVVMLYGTETIKEALVDHSDAFSGRGAIAVIQPIVQDYGVIFSSGER WKTLRRFSLATMRDFGMGKRSVEERIKEEAQCLVEELKKYEGAPLDPTFLFQCITANIIC SIVFGERFDYTDHQFLHLLDLFYQTLSLISSFSSQLFELFSAVLKYFPGTHRQISKNIQE ILNYIGHSVEQHKATLDPSAPRDFIDTYLLRMEKEKSNHHTEFHHQNLLISVLSLFFAGT ETTSTTLRYGFLLMLKYPHVAEKVQKEIDQVISAHHVPTLEDRIKMPYTEAVIHEIQRFS DLAPIGLPHTVTKDTVFRGYLLPKNTEVYPILSSALHDPQYFEQPDKFNPEHFLDANGAL KKSEAFLPFSTGKRICLGEGIARNELFLFFTALLQNFSLSSPVAPEDIDLTPKESGFVKI PPVYRICFLPR >ENSMUSP00000027312.9 pep:known chromosome:GRCm38:1:33453810:33669795:-1 gene:ENSMUSG00000026134.11 transcript:ENSMUST00000027312.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prim2 description:DNA primase, p58 subunit [Source:MGI Symbol;Acc:MGI:97758] MQFSGRIRKKLRLAGDQRNASYPHSLQFYLQPPTENISLTEFENLAFDRVKLLKAIENLG VSYVKGTEQYQSKLEAEIRKLKFSYRENLEDEYEPRRRDHISHFILRLAYCQSEDLRRWF IQQEMDLLRFRFSILPKDKVQSFLKDSHLHFEAISDEEKTLREQDIMASSPSLSGIKLES ESVYKVPFADALDLFRGRKVYLEDGFAYVPLKDIVAIILNEFRATLSKALALTARSLPAV QSDERLQPLLNHLSHSYTGQDYSTQKNTGKISLDQIDSLSTKSFPPCMRQLHKALRENHH LRHGGRMQYGLFLKGIGLTLEQALQFWKQEFIRGKMDPDKFDKGYSYNIRHSFGKEGKRT DYTPFSCMKIILTNPPGQGDYHGCPFRHSDAELLKQKMQSYKIPASGISQILDLVKGNHY QVACQKYFEMTHNVDDCGFSLNHPNQFFFESQRILTGGKDIKKEISQPETPQHKPSTQKT RDAASALASLDSSLEMDLEGLEEYF >ENSMUSP00000074063.2 pep:known chromosome:GRCm38:9:71110659:71163289:-1 gene:ENSMUSG00000032204.13 transcript:ENSMUST00000074465.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp9 description:aquaporin 9 [Source:MGI Symbol;Acc:MGI:1891066] MPSEKDRAKKNLVQRLALKSCLAKETLSEFLGTFIMIVLGCGSIAQAVLSREKAGGIITI NIGFATAVVMALYATFGVSGGHINPAVSFAMCTFGRMEWFKFPFYVGAQLLGAFVGAATV FGIYYDGLMAFADGKLLITGENGTAFIFATYPKPFVSVPGAFVDQVVSTMFLLLIVFAIF DSRNLGVPRGLEPIVIGLLIIVISCSLGLNSGCAMNPARDLSPRLFTALAGWGFEVFTFG NNFWWIPVVGPMIGAVLGGLIYVLFIQMHHSNPDPEVKAEPAENNLEKHELSVIM >ENSMUSP00000116785.1 pep:known chromosome:GRCm38:9:71110661:71163260:-1 gene:ENSMUSG00000032204.13 transcript:ENSMUST00000144618.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aqp9 description:aquaporin 9 [Source:MGI Symbol;Acc:MGI:1891066] MPSEKDRAKKNLVQRLALKSCLAKETLSEFLGTFIMIVLGCGSIAQAVLSREKAGGIITI NIGFATAVVMALYATFGVSGGHINPAVSFAMCTFGRMEWFKFPFYVGAQLLGAFVGAATV FGIYYDGLMAFADGKLLITGENGTAFIFATYPKPFVSVPGAFVDQSPWPRRITHIKGSSR ILSAIRGVEHRP >ENSMUSP00000109200.1 pep:known chromosome:GRCm38:9:71111844:71168657:-1 gene:ENSMUSG00000032204.13 transcript:ENSMUST00000113570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp9 description:aquaporin 9 [Source:MGI Symbol;Acc:MGI:1891066] MPSEKDRAKKNLVQRLALKSCLAKETLSEFLGTFIMIVLGCGSIAQAVLSREKAGGIITI NIGFATAVVMALYATFGVSGGHINPAVSFAMCTFGRMEWFKFPFYVGAQLLGAFVGAATV FGIYYDGLMAFADGKLLITGENGTAFIFATYPKPFVSVPGAFVDQVVSTMFLLLIVFAIF DSRNLGVPRGLEPIVIGLLIIVISCSLGLNSGCAMNPARDLSPRLFTALAGWGFEVFTFG NNFWWIPVVGPMIGAVLGGLIYVLFIQMHHSNPDPEVKAEPAENNLEKHELSVIM >ENSMUSP00000050127.5 pep:known chromosome:GRCm38:9:71110662:71162633:-1 gene:ENSMUSG00000032204.13 transcript:ENSMUST00000060917.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp9 description:aquaporin 9 [Source:MGI Symbol;Acc:MGI:1891066] MAWQFYLFVCLFVYLFIYVCAPMHTSASENGLLESALLPPSFHHVDSRDGAQVIRPGNRD FYLVLGCGSIAQAVLSREKAGGIITINIGFATAVVMALYATFGVSGGHINPAVSFAMCTF GRMEWFKFPFYVGAQLLGAFVGAATVFGIYYDGLMAFADGKLLITGENGTAFIFATYPKP FVSVPGAFVDQVVSTMFLLLIVFAIFDSRNLGVPRGLEPIVIGLLIIVISCSLGLNSGCA MNPARDLSPRLFTALAGWGFEVFTFGNNFWWIPVVGPMIGAVLGGLIYVLFIQMHHSNPD PEVKAEPAENNLEKHELSVIM >ENSMUSP00000039395.7 pep:known chromosome:GRCm38:7:30447536:30457515:-1 gene:ENSMUSG00000036915.17 transcript:ENSMUST00000045817.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel2 description:kin of IRRE like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2442334] MLASALLVFLCCFKGHAGSSPHFLQQPEDMVVLLGEEARLPCALGAYRGLVQWTKDGLAL GGERDLPGWSRYWISGNSASGQHDLHIKPVELEDEASYECQASQAGLRSRPAQLHVMVPP EAPQVLGGPSVSLVAGVPGNLTCRSRGDSRPAPELLWFRDGIRLDGSSFHQTTLKDKATG TVENTLFLTPSSHDDGATLICRARSQALPTGRDTAVTLSLQYPPMVTLSAEPQTVQEGEK VTFLCQATAQPPVTGYRWAKGGSPVLGARGPRLEVVADATFLTEPVSCEVSNAVGSANRS TALEVLYGPILQAKPKSVSVDVGKDASFSCVWRGNPLPRITWTRMGGSQVLSSGPTLRLP SVALEDAGDYVCRAEPRRTGLGGGKAQARLTVNAPPVVTALQPAPAFLRGPARLQCVVFA SPAPDSVVWSWDEGFLEAGSLGRFLVEAFPAPEVEGGQGPGLISVLHISGTQESDFTTGF NCSARNRLGEGRVQIHLGRRDLLPTVRIVAGAASAATSLLMVITGVVLCCWRHGSLSKQK NLVRIPGSSEGSSSRGPEEETGSSEDRGPIVHTDHSDLVLEEKEALETKDPTNGYYRVRG VSVSLSLGEAPGGGLFLPPPSPIGLPGTPTYYDFKPHLDLVPPCRLYRARAGYLTTPHPR AFTSYMKPTSFGPPELSSGTPPFPYATLSPPSHQRLQTHV >ENSMUSP00000132652.1 pep:known chromosome:GRCm38:7:30448071:30450864:-1 gene:ENSMUSG00000036915.17 transcript:ENSMUST00000170152.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel2 description:kin of IRRE like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2442334] XSAATSLLMVITGVVLCCWRHGSSEGSSSRGPEEETGSSEDRGPIVHTDHSDLVLEEKEA LETKDPTNGYYRVRGVSVSLSLGEAPGGGLFLPPPSPIGLPGTPTYYDFKPHLDLVPPCR LYRARAGYLTTPHPRAFTSYMKPTSFGPPELSSGTPPFPYATLSPPSHQRLQTHV >ENSMUSP00000131161.2 pep:known chromosome:GRCm38:7:30453466:30456482:-1 gene:ENSMUSG00000036915.17 transcript:ENSMUST00000169893.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kirrel2 description:kin of IRRE like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2442334] XRGLVQWTKDGLALGGERDLPGWSRYWISGNSASGQHDLHIKPVELEDEASYECQASQAG LRSRPAQLHVMVPGNLTCRSRGDSRPAPELLWFRDGIRLDGSSFHQTTLKDKATGTVENT LFLTPSSHDDGATLICRARSQALPTGRDTAVTLSLQYPPMVTLSAEPQTVQEGEKVTFLC QATAQPPVTGYRWAKGGSPVLGARGPRLEVVADATFLTEPVSCEVSNAVGSANRSTALEV LYGPILQAKPKSVSVDVGKDASFSCVWRGNPLPRITWTRMGGSQVLSSGPTLRLPSVALE DAGDYVCRAE >ENSMUSP00000115010.1 pep:known chromosome:GRCm38:2:32050055:32084669:-1 gene:ENSMUSG00000050592.8 transcript:ENSMUST00000139629.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam78a description:family with sequence similarity 78, member A [Source:MGI Symbol;Acc:MGI:2443569] MPCYFWDCWPSLEIRAVLCAMGCIQSIGGKARVFREGITVIDVKASIDPIPTSIDESSSV VLRYRTPHFRASAQVVMPPIPKKETWIVGWIQACSHMEFYNQYGEQGMSSWELPDLQEGK IEAISDSDGVNYPWYGNTTETCTIVGPTKRDSKFIISMNDNFYPSVTWAVPVSESNVAKL TNIYRDQSFTTWLVATNTSTNDMIILQTLHWRMQLSIEVNPNRPLGQRARLREPIAQDQP KILSKNEPIPPSALVKPNANDAQVLMWRPKYGQPLVVIPPKHR >ENSMUSP00000052826.6 pep:known chromosome:GRCm38:2:32066867:32083783:-1 gene:ENSMUSG00000050592.8 transcript:ENSMUST00000056406.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam78a description:family with sequence similarity 78, member A [Source:MGI Symbol;Acc:MGI:2443569] MPCYFWDCWPSLEIRAVLCAMGCIQSIGGKARVFREGITVIDVKASIDPIPTSIDESSSV VLRYRTPHFRASAQVVMPPIPKKETWIVGWIQACSHMEFYNQYGEQGMSSWELPDLQEGK IEAISDSDGVNYPWYGNTTETCTIVGPTKRDSKFIISMNDNFYPSVTWAVPVSESNVAKL TNIYRDQSFTTWLVATNTSTNDMIILQTLHWRMQLSIEVNPNRPLGQRARLREPIAQDQP KILSKNEPIPPSALVKPNANDAQVLMWRPKYGQPLVVIPPKHR >ENSMUSP00000009157.3 pep:known chromosome:GRCm38:5:115297110:115300999:-1 gene:ENSMUSG00000009013.5 transcript:ENSMUST00000009157.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynll1 description:dynein light chain LC8-type 1 [Source:MGI Symbol;Acc:MGI:1861457] MCDRKAVIKNADMSEEMQQDSVECATQALEKYNIEKDIAAHIKKEFDKKYNPTWHCIVGR NFGSYVTHETKHFIYFYLGQVAILLFKSG >ENSMUSP00000107720.1 pep:known chromosome:GRCm38:5:115298505:115300912:-1 gene:ENSMUSG00000009013.5 transcript:ENSMUST00000112090.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynll1 description:dynein light chain LC8-type 1 [Source:MGI Symbol;Acc:MGI:1861457] MCDRKAVIKNADMSEEMQQDSVECATQALEKYNIEKDIAAHIKKEFDKKYNPTWHCIVGR NFGSYVTHETKHFIYFYLGQVAILLFKSG >ENSMUSP00000025791.5 pep:known chromosome:GRCm38:19:4286001:4305955:-1 gene:ENSMUSG00000024858.13 transcript:ENSMUST00000025791.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrbk1 description:adrenergic receptor kinase, beta 1 [Source:MGI Symbol;Acc:MGI:87940] MQKYLEDRGEVTFEKIFSQKLGYLLFRDFCLNHLEEAKPLVEFYEEIKKYEKLETEEERV VRSREIFDSYIMKELLACSHPFSKNATEHVQGHLVKKQVPPDLFQPYIEEICQNLRGDVF QKFIESDKFTRFCQWKNVELNIHLTMNDFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCL DKKRIKMKQGETLALNERIMLSLVSTGDCPFIVCMSYAFHTPDKLSFILDLMNGGDLHYH LSQHGVFSEADMRFYAAEIILGLEHMHNRFVVYRDLKPANILLDEHGHVRISDLGLACDF SKKRPHASVGTHGYMAPEVLQKGVAYDSSADWFSLGCMLFKLLRGHSPFRQHKTKDKHEI DRMTLTMAVELPDSFSPELRSLLEGLLQRDVNRRLGCLGRGAQEVKESPFFRSLDWQMVF LQKYPPPLIPPRGEVNAADAFDIGSFDEEDTKGIKLLDSDQELYRNFPLTISERWQQEVA ETVFDTINAETDRLEARKKAKNKQLGHEEDYALGKDCIVHGYMSKMGNPFLTQWQRRYFY LFPNRLEWRGEGEAPQSLLTMEEIQSVEETQIKERKCLLLKIRGGKQFVLQCDSDPELVQ WKKELRDAYREAQQLVQRVPKMKNKPRSPVVELSKVPLIQRGSANGL >ENSMUSP00000109468.2 pep:known chromosome:GRCm38:19:4286001:4306030:-1 gene:ENSMUSG00000024858.13 transcript:ENSMUST00000113837.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adrbk1 description:adrenergic receptor kinase, beta 1 [Source:MGI Symbol;Acc:MGI:87940] MADLEAVLADVSYLMAMEKSKATPAARASKKILLPEPSIRSVMQKYLEDRGEVTFEKIFS QKLGYLLFRDFCLNHLEEAKPLVEFYEEIKKYEKLETEEERVVRSREIFDSYIMKELLAC SHPFSKNATEHVQGHLVKKQVPPDLFQPYIEEICQNLRGDVFQKFIESDKFTRFCQWKNV ELNIHVSGFRELACQGTLGKCSGQGFAVGPTKVTLGGGGPVLGSQ >ENSMUSP00000086114.4 pep:known chromosome:GRCm38:19:4286002:4306222:-1 gene:ENSMUSG00000024858.13 transcript:ENSMUST00000088737.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrbk1 description:adrenergic receptor kinase, beta 1 [Source:MGI Symbol;Acc:MGI:87940] MADLEAVLADVSYLMAMEKSKATPAARASKKILLPEPSIRSVMQKYLEDRGEVTFEKIFS QKLGYLLFRDFCLNHLEEAKPLVEFYEEIKKYEKLETEEERVVRSREIFDSYIMKELLAC SHPFSKNATEHVQGHLVKKQVPPDLFQPYIEEICQNLRGDVFQKFIESDKFTRFCQWKNV ELNIHLTMNDFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRIKMKQGETLALNER IMLSLVSTGDCPFIVCMSYAFHTPDKLSFILDLMNGGDLHYHLSQHGVFSEADMRFYAAE IILGLEHMHNRFVVYRDLKPANILLDEHGHVRISDLGLACDFSKKRPHASVGTHGYMAPE VLQKGVAYDSSADWFSLGCMLFKLLRGHSPFRQHKTKDKHEIDRMTLTMAVELPDSFSPE LRSLLEGLLQRDVNRRLGCLGRGAQEVKESPFFRSLDWQMVFLQKYPPPLIPPRGEVNAA DAFDIGSFDEEDTKGIKLLDSDQELYRNFPLTISERWQQEVAETVFDTINAETDRLEARK KAKNKQLGHEEDYALGKDCIVHGYMSKMGNPFLTQWQRRYFYLFPNRLEWRGEGEAPQSL LTMEEIQSVEETQIKERKCLLLKIRGGKQFVLQCDSDPELVQWKKELRDAYREAQQLVQR VPKMKNKPRSPVVELSKVPLIQRGSANGL >ENSMUSP00000126930.1 pep:known chromosome:GRCm38:19:4286021:4306214:-1 gene:ENSMUSG00000024858.13 transcript:ENSMUST00000171123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrbk1 description:adrenergic receptor kinase, beta 1 [Source:MGI Symbol;Acc:MGI:87940] MADLEAVLADVSYLMAMEKSKATPAARASKKILLPEPSIRSVMQKYLEDRGEVTFEKIFS QKLGYLLFRDFCLNHLEEAKPLVEFYEEIKKYEKLETEEERVVRSREIFDSYIMKELLAC SHPFSKNATEHVQGHLVKKQVPPDLFQPYIEEICQNLRGDVFQKFIESDKFTRFCQWKNV ELNIHLTMNDFSVHRIIGRGGFGEVYGCRKADTGKMYAMKCLDKKRIKMKQGETLALNER IMLSLVSTGDCPFIVCMSYAFHTPDKLSFILDLMNGGDLHYHLSQHGVFSEADMRFYAAE IILGLEHMHNRFVVYRDLKPANILLDEHGHVRISDLGLACDFSKKRPHASVGTHGYMAPE VLQKGSRSCVWCRALSPWGLGGHTLPSCLLTPRAFLCRFLNVILKSGK >ENSMUSP00000126025.1 pep:known chromosome:GRCm38:19:4288396:4289394:-1 gene:ENSMUSG00000024858.13 transcript:ENSMUST00000168594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrbk1 description:adrenergic receptor kinase, beta 1 [Source:MGI Symbol;Acc:MGI:87940] XFSPELRSLLEGLLQRDVNRRLGCLGRGAQEVKESPFFRSLDWQMVFLQKYPPPLIPPRG EVNAADAFDIGSFDEEDTKGIKVLHLL >ENSMUSP00000128177.1 pep:known chromosome:GRCm38:19:4288426:4290483:-1 gene:ENSMUSG00000024858.13 transcript:ENSMUST00000165954.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrbk1 description:adrenergic receptor kinase, beta 1 [Source:MGI Symbol;Acc:MGI:87940] XEADMRFYAAEIILGLEHMHNRFVVYRDLKPANILLDEHGHVRISDLGLACDFSKKRPHA SVLCSHRGTHGYMAPEVLQKGVAYDSSADWFSLGCMLFKLLRGHSPFRQHKTKDKHEIDR MTLTMAVELPDSFSPELRSLLEGLLQRDVNRRLGCLGRGAQEVKESPFFRSLDWQMVFLQ KYPPPLIPPRGEVNAADAFDIGSFDEED >ENSMUSP00000129839.1 pep:known chromosome:GRCm38:19:4292567:4305208:-1 gene:ENSMUSG00000024858.13 transcript:ENSMUST00000167511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrbk1 description:adrenergic receptor kinase, beta 1 [Source:MGI Symbol;Acc:MGI:87940] GTFDQGTSHPTADGPCCHLSTRQLMSSHLVSKFKLSRMWVQNRAGCERCSWGLSSILCIR SVMQKYLEDRGEVTFEKIFSQKLGYLLFRDFCLNHLEEAKPLVEFYEEIKKYEKLETEEE RVVRSREIFDSYIM >ENSMUSP00000043902.6 pep:known chromosome:GRCm38:2:25653120:25656217:1 gene:ENSMUSG00000036449.6 transcript:ENSMUST00000038482.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn8 description:lipocalin 8 [Source:MGI Symbol;Acc:MGI:2135945] MEARLLSNVCGFFLVFLLQAESTRVELVPEKIAGFWKEVAVASDQKLVLKAQRRVEGLFL TFSGGNVTVKAVYNSSGSCVTESSLGSERDTVGEFAFPGNREIHVLDTDYERYTILKLTL LWQGRNFHVLKYFTRSLENEDEPGFWLFREMTADQGLYMLARHGRCAELLKEGLV >ENSMUSP00000027874.5 pep:known chromosome:GRCm38:1:164048234:164057677:1 gene:ENSMUSG00000026582.6 transcript:ENSMUST00000027874.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sele description:selectin, endothelial cell [Source:MGI Symbol;Acc:MGI:98278] MKATAGVMNASRFLSALVFVLLAGESTAWYYNASSELMTYDEASAYCQRDYTHLVAIQNK EEINYLNSNLKHSPSYYWIGIRKVNNVWIWVGTGKPLTEEAQNWAPGEPNNKQRNEDCVE IYIQRTKDSGMWNDERCNKKKLALCYTASCTNASCSGHGECIETINSYTCKCHPGFLGPN CEQAVTCKPQEHPDYGSLNCSHPFGPFSYNSSCSFGCKRGYLPSSMETTVRCTSSGEWSA PAPACHVVECEALTHPAHGIRKCSSNPGSYPWNTTCTFDCVEGYRRVGAQNLQCTSSGIW DNETPSCKAVTCDAIPQPQNGFVSCSHSTAGELAFKSSCNFTCEQSFTLQGPAQVECSAQ GQWTPQIPVCKAVQCEALSAPQQGNMKCLPSASGPFQNGSSCEFSCEEGFELKGSRRLQC GPRGEWDSKKPTCSAVKCDDVPRPQNGVMECAHATTGEFTYKSSCAFQCNEGFSLHGSAQ LECTSQGKWTQEVPSCQVVQCPSLDVPGKMNMSCSGTAVFGTVCEFTCPDDWTLNGSAVL TCGATGRWSGMPPTCEAPVSPTRPLVVALSAAGTSLLTSSSLLYLLMRYFRKKAKKFVPA SSCQSLQSFENYHVPSYNV >ENSMUSP00000060646.8 pep:known chromosome:GRCm38:5:84054761:84416921:-1 gene:ENSMUSG00000029245.16 transcript:ENSMUST00000053733.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha5 description:Eph receptor A5 [Source:MGI Symbol;Acc:MGI:99654] MRGSGPRGAGHRRTQGRGGGDDTPRVPASLAGCYSAPLKGPLWTCLLLCAALRTLLASPS NEVNLLDSRTVMGDLGWIAFPKNGWEEIGEVDENYAPIHTYQVCKVMEQNQNNWLLTSWI SNEGASRIFIELKFTLRDCNSLPGGLGTCKETFNMYYFESDDENGRSIKENQYIKIDTIA ADESFTELDLGDRVMKLNTEVRDVGPLSKKGFYLAFQDVGACIALVSVRVYYKKCPSVVR HLAIFPDTITGADSSQLLEVSGSCVNHSVTDDPPKMHCSAEGEWLVPIGKCMCKAGYEEK NGTCQAPSPVTNVKKGKIAKNSISLSWQEPDRPNGIILEYEIKYFEKDQETSYTIIKSKE TSITAEGLKPASVYVFQIRARTAAGYGVFSRRFEFETTPVSVAASNDQSQIPIIAVSVTV GVILLAVMIGFLLSGSCCDCGCGRASSLCAVAHPSLIWRCGYSKAKQDPEEEKMHFHNGH IKLPGVRTYIDPHTYEDPNQAVHEFAKEIEASCITIERVIGAGEFGEVCSGRLKLPGKRE LPVAIKTLKVGYTEKQRRDFLGEASIMGQFDHPNIIHLEGVVTKSKPVMIVTEYMENGSL DTFLKKNDGQFTVIQLVGMLRGIAAGMKYLSDMGYVHRDLAARNILINSNLVCKVSDFGL SRVLEDDPEAAYTTRGGKIPIRWTAPEAIAFRKFTSSSDVWSYGIVMWEVVSYGERPYWE MTNQDVIKAVEEGYRLPSPMDCPAALYQLMLDCWQKDRNSRPKFDEIVNMLDKLIRNPSS LKTLVNASSRVSTLLAEHGSLGSGAYRSVGEWLEAIKMGRYTEIFMENGYSSMDAVAQVT LEDLRRLGVTLVGHQKKIMSSLQEMKVQMVNGMVPV >ENSMUSP00000109030.1 pep:known chromosome:GRCm38:5:84057791:84416806:-1 gene:ENSMUSG00000029245.16 transcript:ENSMUST00000113403.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha5 description:Eph receptor A5 [Source:MGI Symbol;Acc:MGI:99654] MRGSGPRGAGHRRTQGRGGGDDTPRVPASLAGCYSAPLKGPLWTCLLLCAALRTLLASPS NEVNLLDSRTVMGDLGWIAFPKNGWEEIGEVDENYAPIHTYQVCKVMEQNQNNWLLTSWI SNEGASRIFIELKFTLRDCNSLPGGLGTCKETFNMYYFESDDENGRSIKENQYIKIDTIA ADESFTELDLGDRVMKLNTEVRDVGPLSKKGFYLAFQDVGACIALVSVRVYYKKCPSVVR HLAIFPDTITGADSSQLLEVSGSCVNHSVTDDPPKMHCSAEGEWLVPIGKCMCKAGYEEK NGTCQVCRPGFFKASPHSQTCSKCPPHSYTHEEASTSCVCEKDYFRKDSDPPTMACTRPP SAPRNAISNVNETSVFLEWIPPADTGGRKDVSYYIACKKCNSHAGVCEECGGHVRYLPQQ IGLKNTSVMMVDLLAHTNYTFEIEAVNGVSDLSPGTRQYVSVNVTTNQAAPSPVTNVKKG KIAKNSISLSWQEPDRPNGIILEYEIKYFEKDQETSYTIIKSKETSITAEGLKPASVYVF QIRARTAAGYGVFSRRFEFETTPVSVAASNDQSQIPIIAVSVTVGVILLAVMIGFLLSGS CCDCGCGRASSLCAVAHPSLIWRCGYSKAKQDPEEEKMHFHNGHIKLPGVRTYIDPHTYE DPNQAVHEFAKEIEASCITIERVIGAGEFGEVCSGRLKLPGKRELPVAIKTLKVGYTEKQ RRDFLGEASIMGQFDHPNIIHLEGVVTKSKPVMIVTEYMENGSLDTFLKKNDGQFTVIQL VGMLRGIAAGMKYLSDMGYVHRDLAARNILINSNLVCKVSDFGLSRVLEDDPEAAYTTRG GKIPIRWTAPEAIAFRKFTSSSDVWSYGIVMWEVVSYGERPYWEMTNQDVIKAVEEGYRL PSPMDCPAALYQLMLDCWQKDRNSRPKFDEIVNMLDKLIRNPSSLKTLVNASSRVSTLLA EHGSLGSGAYRSVGEWLEAIKMGRYTEIFMENGYSSMDAVAQVTLEDLRRLGVTLVGHQK KIMSSLQEMKVQMVNGMVPV >ENSMUSP00000109033.1 pep:known chromosome:GRCm38:5:84057791:84416806:-1 gene:ENSMUSG00000029245.16 transcript:ENSMUST00000113406.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha5 description:Eph receptor A5 [Source:MGI Symbol;Acc:MGI:99654] MRGSGPRGAGHRRTQGRGGGDDTPRVPASLAGCYSAPLKGPLWTCLLLCAALRTLLASPS NEVNLLDSRTVMGDLGWIAFPKNGWEEIGEVDENYAPIHTYQVCKVMEQNQNNWLLTSWI SNEGASRIFIELKFTLRDCNSLPGGLGTCKETFNMYYFESDDENGRSIKENQYIKIDTIA ADESFTELDLGDRVMKLNTEVRDVGPLSKKGFYLAFQDVGACIALVSVRVYYKKCPSVVR HLAIFPDTITGADSSQLLEVSGSCVNHSVTDDPPKMHCSAEGEWLVPIGKCMCKAGYEEK NGTCQVCRPGFFKASPHSQTCSKCPPHSYTHEEASTSCVCEKDYFRKDSDPPTMACTRPP SAPRNAISNVNETSVFLEWIPPADTGGRKDVSYYIACKKCNSHAGVCEECGGHVRYLPQQ IGLKNTSVMMVDLLAHTNYTFEIEAVNGVSDLSPGTRQYVSVNVTTNQAAPSPVTNVKKG KIAKNSISLSWQEPDRPNGIILEYEIKYFEKDQETSYTIIKSKETSITAEGLKPASVYVF QIRARTAAGYGVFSRRFEFETTPVFAASNDQSQIPIIAVSVTVGVILLAVMIGFLLSGRR CGYSKAKQDPEEEKMHFHNGHIKLPGVRTYIDPHTYEDPNQAVHEFAKEIEASCITIERV IGAGEFGEVCSGRLKLPGKRELPVAIKTLKVGYTEKQRRDFLGEASIMGQFDHPNIIHLE GVVTKSKPVMIVTEYMENGSLDTFLKKNDGQFTVIQLVGMLRGIAAGMKYLSDMGYVHRD LAARNILINSNLVCKVSDFGLSRVLEDDPEAAYTTRGGKIPIRWTAPEAIAFRKFTSSSD VWSYGIVMWEVVSYGERPYWEMTNQDVIKAVEEGYRLPSPMDCPAALYQLMLDCWQKDRN SRPKFDEIVNMLDKLIRNPSSLKTLVNASSRVSTLLAEHGSLGSGAYRSVGEWLEAIKMG RYTEIFMENGYSSMDAVAQVTLEDLRRLGVTLVGHQKKIMSSLQEMKVQMVNGMVPV >ENSMUSP00000109025.1 pep:known chromosome:GRCm38:5:84065512:84416806:-1 gene:ENSMUSG00000029245.16 transcript:ENSMUST00000113398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha5 description:Eph receptor A5 [Source:MGI Symbol;Acc:MGI:99654] MRGSGPRGAGHRRTQGRGGGDDTPRVPASLAGCYSAPLKGPLWTCLLLCAALRTLLASPS NEVNLLDSRTVMGDLGWIAFPKNGWEEIGEVDENYAPIHTYQVCKVMEQNQNNWLLTSWI SNEGASRIFIELKFTLRDCNSLPGGLGTCKETFNMYYFESDDENGRSIKENQYIKIDTIA ADESFTELDLGDRVMKLNTEVRDVGPLSKKGFYLAFQDVGACIALVSVRVYYKKCPSVVR HLAIFPDTITGADSSQLLEVSGSCVNHSVTDDPPKMHCSAEGEWLVPIGKCMCKAGYEEK NGTCQVCRPGFFKASPHSQTCSKCPPHSYTHEEASTSCVCEKDYFRKDSDPPTMACTTPS PVTNVKKGKIAKNSISLSWQEPDRPNGIILEYEIKYFEKDQETSYTIIKSKETSITAEGL KPASVYVFQIRARTAAGYGVFSRRFEFETTPVSVAASNDQSQIPIIAVSVTVGVILLAVM IGFLLSGSCCDCGCGRASSLCAVAHPSLIWRCGYSKAKQDPEEEKMHFHNGHIKLPGVRT YIDPHTYEDPNQAVHEFAKEIEASCITIERVIGAGEFGEVCSGRLKLPGKRELPVAIKTL KVGYTEKQRRDFLGEASIMGQFDHPNIIHLEGVVTKSKPVMIVTEYMENGSLDTFLKKND GQFTVIQLVGMLRGIAAGMKYLSDMGYVHRDLAARNILINSNLVCKVSDFGLSRVLEDDP EAAYTTRGGKIPIRWTAPEAIAFRKFTSSSDVWSYGIVMWEVVSYGERPYWEMTNQDVIK AVEEGYRLPSPMDCPAALYQLMLDCWQKDRNSRPKFDEIVNMLDKLIRNPSSLKTLVNAS SRVSTLLAEHGSLGSGAYRSVGEWLEAIKMGRYTEIFMENGYSSMDAVAQVTLE >ENSMUSP00000109026.1 pep:known chromosome:GRCm38:5:84065512:84416806:-1 gene:ENSMUSG00000029245.16 transcript:ENSMUST00000113399.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha5 description:Eph receptor A5 [Source:MGI Symbol;Acc:MGI:99654] MRGSGPRGAGHRRTQGRGGGDDTPRVPASLAGCYSAPLKGPLWTCLLLCAALRTLLASPS NEVNLLDSRTVMGDLGWIAFPKNGWEEIGEVDENYAPIHTYQVCKVMEQNQNNWLLTSWI SNEGASRIFIELKFTLRDCNSLPGGLGTCKETFNMYYFESDDENGRSIKENQYIKIDTIA ADESFTELDLGDRVMKLNTEVRDVGPLSKKGFYLAFQDVGACIALVSVRVYYKKCPSVVR HLAIFPDTITGADSSQLLEVSGSCVNHSVTDDPPKMHCSAEGEWLVPIGKCMCKAGYEEK NGTCQVCRPGFFKASPHSQTCSKCPPHSYTHEEASTSCVCEKDYFRKDSDPPTMACTRPP SAPRNAISNVNETSVFLEWIPPADTGGRKDVSYYIACKKCNSHAGVCEECGGHVRYLPQQ IGLKNTSVMMVDLLAHTNYTFEIEAVNGVSDLSPGTRQYVSVNVTTNQAAPSPVTNVKKG KIAKNSISLSWQEPDRPNGIILEYEIKYFEKDQETSYTIIKSKETSITAEGLKPASVYVF QIRARTAAGYGVFSRRFEFETTPVSVAASNDQSQIPIIAVSVTVGVILLAVMIGFLLSGS CCDCGCGRASSLCAVAHPSLIWRCGYSKAKQDPEEEKMHFHNGHIKLPGVRTYIDPHTYE DPNQAVHEFAKEIEASCITIERVIGAGEFGEVCSGRLKLPGKRELPVAIKTLKVGYTEKQ RRDFLGEASIMGQFDHPNIIHLEGVVTKSKPVMIVTEYMENGSLDTFLKKNDGQFTVIQL VGMLRGIAAGMKYLSDMGYVHRDLAARNILINSNLVCKVSDFGLSRVLEDDPEAAYTTRG GKIPIRWTAPEAIAFRKFTSSSDVWSYGIVMWEVVSYGERPYWEMTNQDVIKAVEEGYRL PSPMDCPAALYQLMLDCWQKDRNSRPKFDEIVNMLDKLIRNPSSLKTLVNASSRVSTLLA EHGSLGSGAYRSVGEWLEAIKMGRYTEIFMENGYSSMDAVAQVTLE >ENSMUSP00000109028.1 pep:known chromosome:GRCm38:5:84065512:84417359:-1 gene:ENSMUSG00000029245.16 transcript:ENSMUST00000113401.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha5 description:Eph receptor A5 [Source:MGI Symbol;Acc:MGI:99654] MRGSGPRGAGHRRTQGRGGGDDTPRVPASLAGCYSAPLKGPLWTCLLLCAALRTLLASPS NEVNLLDSRTVMGDLGWIAFPKNGWEEIGEVDENYAPIHTYQVCKVMEQNQNNWLLTSWI SNEGASRIFIELKFTLRDCNSLPGGLGTCKETFNMYYFESDDENGRSIKENQYIKIDTIA ADESFTELDLGDRVMKLNTEVRDVGPLSKKGFYLAFQDVGACIALVSVRVYYKKCPSVVR HLAIFPDTITGADSSQLLEVSGSCVNHSVTDDPPKMHCSAEGEWLVPIGKCMCKAGYEEK NGTCQAPSPVTNVKKGKIAKNSISLSWQEPDRPNGIILEYEIKYFEKDQETSYTIIKSKE TSITAEGLKPASVYVFQIRARTAAGYGVFSRRFEFETTPVFAASNDQSQIPIIAVSVTVG VILLAVMIGFLLSGRRCGYSKAKQDPEEEKMHFHNGHIKLPGVRTYIDPHTYEDPNQAVH EFAKEIEASCITIERVIGAGEFGEVCSGRLKLPGKRELPVAIKTLKVGYTEKQRRDFLGE ASIMGQFDHPNIIHLEGVVTKSKPVMIVTEYMENGSLDTFLKKNDGQFTVIQLVGMLRGI AAGMKYLSDMGYVHRDLAARNILINSNLVCKVSDFGLSRVLEDDPEAAYTTRGGKIPIRW TAPEAIAFRKFTSSSDVWSYGIVMWEVVSYGERPYWEMTNQDVIKAVEEGYRLPSPMDCP AALYQLMLDCWQKDRNSRPKFDEIVNMLDKLIRNPSSLKTLVNASSRVSTLLAEHGSLGS GAYRSVGEWLEAIKMGRYTEIFMENGYSSMDAVAQVTLE >ENSMUSP00000066492.6 pep:known chromosome:GRCm38:2:31974436:32052371:1 gene:ENSMUSG00000001855.15 transcript:ENSMUST00000065398.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup214 description:nucleoporin 214 [Source:MGI Symbol;Acc:MGI:1095411] MGDEMDAMIPEREMKDFQFRALKKVRIFDSPEELPKERSSVLTISNKYGMLFAGGTNGLN VFPTKSLLIQNKPGDDPNKIVDTIQGLNVPMKFPVHHLALSCDSLTLSACMMSSEYGSII AFFDVRTFSNQAKPLKRPFTYHKVSNDASGMVNDMKWNPTVPSMVAVCLADGSISVLQVT DVVKVCATLPPSTGVTCVCWSPKGKQLAVGKQNGTVVQYLPTLQEKKVIPCPPFYESDHP VRVLDVLWIGTYVFTIVYAGADGTLETCPDVVMALLPKKEEKHPEIFVNFMEPCYSSCTE RQHHYYLSYIEEWDLVLAASAASTEVSILARQNDQTNWESWLLEDSSRAELPVTDKSDDS LPMGVAIDYTNEVEVTINEEKTLPPAPVLLLLSTDGVLCPFYMINQNPGVRSLIKTLELI STEGERQPKSSGSFPGTPSSPQAPQNLDAPATASSPLPPVSAAPTSTFPMPSAAGSPSVF SFGPSSFKSSASVTGEPPLYPTGSDSSRAAPGSGTSTFSFAPPSKGSLASTPAVAPVATS AAPFTFGFKPTLESTPMSSTPNTGMKPSFPPSASSVKVNLNEKFTAVASSAPVHSSTSTP SVLPFSSSPKPTASGPLSHPTPLPASSSSMPLKSSVSPSPAAGRSTQTAPSSAPSTGQKS PRVNPPVPKSGSSQAKALQPPVTEKQRPQWKDSDPVLAGIGEEIAHFQKELEELKARTAK ACLQVGTSEEMKMLRTESDDLHTFLFEIRETTESLHGDISTLKTTLLEGFAGVEEAREQH GRNHDSGYLHLLYKRPLDPKSEAQLQEIRRLHQYVKFAVQDVNDVLDLEWDRHLEQKKRQ RRLIVPERETLFNTLANNREIINQQRKRLNQLVDSLQQLRLYNHTAPWSLPSALSTQSNS HSFDSDLECLLKTTIESHTKPSPRVPGKLSPAKQAQLRNFLAKRKTPPVRSTAPASLSRS AFLSQRYYEDLDEGSSASSVAQPLEGEDARPTCTSVAQPLEGEDAQPICKEEEAVVPVPR HAPVVRTPSIQPSLLPQSMPFAKPHLIHSSSPAVMSSAVSTSATKVIPQGADSTMLATKT VKHGAPGPSHTVAAPQAAAAAALRRQMASQAPAMSTLTESTLKTVPQVVNVQELRSNPSP PSAAMGSAVQHSAAKTPHAVLTPVANSQAKQGSLINSFKPSGPTAASCQLSSGDKAVGQG TAKTESAATSTPSAAGQLNKPFSFASPGTFTFGTITPTPSSSFTATPGAGPPTKEPTQLE AFSFGGGGKPFSEAIPGNSPATGATSAPSTSVTAASLEDSAPSSSKPAAPPETTVSSASS KLETPPSKLGELLFPSSLAGETLGSFSGLRVGQAEDSTKPVSKASSTNLAGAQPAKPSGV SFPNTSVLGKPVEPAVTSSVSPAPAAPASALNVSTSSSSATVFGSVPLTSAGPPGLISFG GAALASSKASFSFGNQQTSSSTASSATPTSTSVAPSLPASFPTLSFGGLLSSPSASSLPV SSGKSTEEAAPPAVPDKSDSSEVSATTPSLPVQPQSTQASLQTSDPVKKEPVLVQTTDSS PSRPASSASFVASTESMPVTLGAPDSKIEAVSPASTFAGPGQAAVATAVLPGAGSAATEA SGTPTTSTVSSSSPTSATETAVFGTATSGSSVFTQPPAASSSSAFSQLSSNTATAPSATP VFGQVAASITSTAAATPQASSSGFGSPAFGASAPGVFGQTAFGQTPAFGQATSSPASGFS FSQPGFSSVPAFGQSVSSTPASTSANVFGATSSTSSPGSFSFGQASTNTGGTLFGQNNPP AFGQSPGFGQGSSVFGGTSATTSTAAPSGFSFCQASGFGSSNTGSVFGQAANTGGSVFGQ SSTSSGGVFGSGNATRGGGFFSGLGGKPSQDAANKNPFSSAGGGFGSTAAPNTSNLFGNS GAKTFGGFGSSSFGEQKPAGTFSSGGGSVASQGFGFSTPNKTGGFGAAPVFGSPPTFGGS PGFGGVPAFGSAPAFTSPLGSTGGKVFGEGTAAASAGGFGFGSSGNTASFGTLASQNAPT FGSLSQQTSGFGTPSSGFAGFGSGTGAFTFGSSNSSVQGFGGWRS >ENSMUSP00000141436.1 pep:known chromosome:GRCm38:2:31997961:32004430:1 gene:ENSMUSG00000001855.15 transcript:ENSMUST00000126301.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup214 description:nucleoporin 214 [Source:MGI Symbol;Acc:MGI:1095411] STQTAPSSAPSTGQKSPRVNPPVPKSGSSQAKALQPPVTEKQRPQWKDSDPVLAGIGEES LHGDISTLKTTLLEGFAGVEEAREQHGRNHDSGYLHLL >ENSMUSP00000115665.1 pep:known chromosome:GRCm38:2:32033976:32053975:1 gene:ENSMUSG00000001855.15 transcript:ENSMUST00000138012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup214 description:nucleoporin 214 [Source:MGI Symbol;Acc:MGI:1095411] TEEAAPPAVPDKSDSSEVSATTPSLPVQPQSTQASLQTSDPVKKEPVLVQTTDSSPSRPA SSASFVASTESMPVTLGAPDSKIEAVSPASTFAGPGQAAVATAVLPGAGSAATEASGTPT TSTVSSSSPTSATETAVFGTATSGSSVFTQPPAASSSSAFSQLSSNTATAPSATPVFGQV AASITSTAAATPQASSSGFGSPAFGASAPGVFGQTAFGQTPAFGQATSSPASGFSFSQPG FSSVPAFGQSVSSTPASTSANVFGATSSTSSPGSFSFGQASTNTGGTLFGQNNPPAFGQS PGFGQGSSVFGGTSATTSTAAPSGFSFCQASGFGSSNTGSVFGQAANTGGSVFGQQSSTS SGGVFGSGNATRGGGFFSGLGGKPSQDAANKNPFSSAGGGFGSTAAPNTSNLFGNSGAKT FGGFGSSSFGEQKPAGTFSSGGGSVASQGFGFSTPNKTGGFGAAPVFGSPPTFGGSPGFG GVPAFGSAPAFTSPLGSTGGKVFGEGTAAASAGGFGFGSSGNTASFGTLASQNAPTFGSL SQQTSGFGTPSSGFAGFGSGTGAFTFGSSNSSVQGFGGWRS >ENSMUSP00000142255.1 pep:known chromosome:GRCm38:2:32042518:32052367:1 gene:ENSMUSG00000001855.15 transcript:ENSMUST00000152791.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup214 description:nucleoporin 214 [Source:MGI Symbol;Acc:MGI:1095411] GGSVASQGFGFSTPNKTGSGAAAILHPSVPSPVRTHPPSGPCPSRPQALGPRAVDSLGLD RAQEPSPLDHLTRLSRASVAGEAELMSAFPQVPVSSCAHPSTATDCQKNFLMKTLSQEET LETKAQQCLTNCLFPAFKFYIKFFVCY >ENSMUSP00000057209.7 pep:known chromosome:GRCm38:12:108410542:108539575:1 gene:ENSMUSG00000058070.14 transcript:ENSMUST00000054955.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml1 description:echinoderm microtubule associated protein like 1 [Source:MGI Symbol;Acc:MGI:1915769] MEVSDRIASLEQRVQMQEDDIQLLKSALADVVRRLNITEEQQAVLNRKGPTKARPLGQTL PLRTTVNNGTVLPKKPSASLPAPSGARKEVVVPVTKSINRTSSSERVSPGGRRESSGDSK GSRNRTGSTSSSSSGKKNSESKPKEPAFSPEEGYVKMFLRGRPVTMYMPKDQVDSYSLEA KAELPTKRLKLEWVYGYRGRDCRNNLYLLPTGETVYFIASVVVLYNVEEQLQRHYAGHND DVKCLAVHPDRITIATGQVAGTSKDGKQLPPHVRIWDSVTLNTLHVIGIGFFDRAVTCIA FSKSNGGGHLCAVDDSNDHVLSVWDWQKEERLADVKCSNEAVFAADFHPTDTNIIVTCGK SHLYFWTLEGNSLNKKQGLFEKQEKPKFVLCVTFSENGDTITGDSSGNILVWGKGTNRIS YAVQGAHEGGIFALCMLRDGTLVSGGGKDRRLISWNGNYQKLHKAEIPEQFGPIRTVAEG KGNVILIGTTRNFVLQGTLSGDFTPITQGHTDELWGLAIHASKPQFLTCGHDKHATLWDA VGHRPVWDKIIEDPAQSSGFHPSGSVVAVGTLTGRWFVFDTETKDLVTVHTDGNEQLSVM RYSPDGNFLAIGSHDNCIYIYGVTDNGRKYTRVGKCSGHSSFITHLDWSVNSQFLVSNSG DYEILYWVPSACKQVVSVETTRDIEWATYTCTLGFHVFGVWPEGSDGTDINAVCRAHERK LLCTGDDFGKVHLFSYPCSQFRAPSHIYSGHSSHVTNVDFLCEDSHLISTGGKDTSIMQW RVI >ENSMUSP00000105483.1 pep:known chromosome:GRCm38:12:108410662:108538392:1 gene:ENSMUSG00000058070.14 transcript:ENSMUST00000109857.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml1 description:echinoderm microtubule associated protein like 1 [Source:MGI Symbol;Acc:MGI:1915769] MEVSDRIASLEQRVQMQEDDIQLLKSALADVVRRLNITEEQQAVLNRKGPTKARPLGQTL PLRTTVNNGTVLPKKPSASLPAPSGARKEVVVPVTKSINRTSSSERVSPGGRRESSGDSK GSRNRTGSTSSSSSGKKNSESKPKEPAFSPALQSPKPQGKRRVTHCKEEGYVKMFLRGRP VTMYMPKDQVDSYSLEAKAELPTKRLKLEWVYGYRGRDCRNNLYLLPTGETVYFIASVVV LYNVEEQLQRHYAGHNDDVKCLAVHPDRITIATGQVAGTSKDGKQLPPHVRIWDSVTLNT LHVIGIGFFDRAVTCIAFSKSNGGGHLCAVDDSNDHVLSVWDWQKEERLADVKCSNEAVF AADFHPTDTNIIVTCGKSHLYFWTLEGNSLNKKQGLFEKQEKPKFVLCVTFSENGDTITG DSSGNILVWGKGTNRISYAVQGAHEGGIFALCMLRDGTLVSGGGKDRRLISWNGNYQKLH KAEIPEQFGPIRTVAEGKGNVILIGTTRNFVLQGTLSGDFTPITQGHTDELWGLAIHASK PQFLTCGHDKHATLWDAVGHRPVWDKIIEDPAQSSGFHPSGSVVAVGTLTGRWFVFDTET KDLVTVHTDGNEQLSVMRYSPDGNFLAIGSHDNCIYIYGVTDNGRKYTRVGKCSGHSSFI THLDWSVNSQFLVSNSGDYEILYWVPSACKQVVSVETTRDIEWATYTCTLGFHVFGVWPE GSDGTDINAVCRAHERKLLCTGDDFGKVHLFSYPCSQFRAPSHIYSGHSSHVTNVDFLCE DSHLISTGGKDTSIMQWRVI >ENSMUSP00000105486.1 pep:known chromosome:GRCm38:12:108422816:108539564:1 gene:ENSMUSG00000058070.14 transcript:ENSMUST00000109860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml1 description:echinoderm microtubule associated protein like 1 [Source:MGI Symbol;Acc:MGI:1915769] MEDGFSSYSSLYDTSSLLQFCNDDSASAASSMEVSDRIASLEQRVQMQEDDIQLLKSALA DVVRRLNITEEQQAVLNRKGPTKARPLGQTLPLRTTVNNGTVLPKKPSASLPAPSGARKE VVVPVTKSINRTSSSERVSPGGRRESSGDSKGSRNRTGSTSSSSSGKKNSESKPKEPAFS PEEGYVKMFLRGRPVTMYMPKDQVDSYSLEAKAELPTKRLKLEWVYGYRGRDCRNNLYLL PTGETVYFIASVVVLYNVEEQLQRHYAGHNDDVKCLAVHPDRITIATGQVAGTSKDGKQL PPHVRIWDSVTLNTLHVIGIGFFDRAVTCIAFSKSNGGGHLCAVDDSNDHVLSVWDWQKE ERLADVKCSNEAVFAADFHPTDTNIIVTCGKSHLYFWTLEGNSLNKKQGLFEKQEKPKFV LCVTFSENGDTITGDSSGNILVWGKGTNRISYAVQGAHEGGIFALCMLRDGTLVSGGGKD RRLISWNGNYQKLHKAEIPEQFGPIRTVAEGKGNVILIGTTRNFVLQGTLSGDFTPITQG HTDELWGLAIHASKPQFLTCGHDKHATLWDAVGHRPVWDKIIEDPAQSSGFHPSGSVVAV GTLTGRWFVFDTETKDLVTVHTDGNEQLSVMRYSPDGNFLAIGSHDNCIYIYGVTDNGRK YTRVGKCSGHSSFITHLDWSVNSQFLVSNSGDYEILYWVPSACKQVVSVETTRDIEWATY TCTLGFHVFGVWPEGSDGTDINAVCRAHERKLLCTGDDFGKVHLFSYPCSQFRAPSHIYS GHSSHVTNVDFLCEDSHLISTGGKDTSIMQWRVI >ENSMUSP00000118325.1 pep:known chromosome:GRCm38:12:108423078:108537532:1 gene:ENSMUSG00000058070.14 transcript:ENSMUST00000130999.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eml1 description:echinoderm microtubule associated protein like 1 [Source:MGI Symbol;Acc:MGI:1915769] MEDGFSSYSSLYDTSSLLQFCNDDSASAASSMEVSDRIASLEQRVQMQEDDIQLLKSALA DVVRRLNITEEQQAVLNRKGPTKARPLGQTLPLRTTVNNGTVLPKKPSASLPAPSGARKE VVVPVTKSINRTSSSERVSPGGRRESSGDSKGSRNRTGSTSSSSSGKKNSESKPKEPAFS PEEGYVKMFLRGRPVTMYMPKDQVDSYSLEAKAELPTKRLKLEWVYGYRGRDCRNNLYLL PTGETVYFIASVVVLYNVEEQLQRHYAGHNDDVKCLAVHPDRITIATGQVAGTSKDGKQL PPHVRIWDSVTLNTLHVIGIGFFDRAVTCIAFSKSNGGGHLCAVDDSNDHVLSVWDWQKE ERLADVKCSNEAVFAADFHPTDTNIIVTCGKSHLYFWTLEGNSLNKKQGLFEKQEKPKFV LCVTFSENGDTITGDSSGNILVWGKGTNRISYAVQGAHEGGIFALCMLRDGTLVSGGGKD RRLISWNGNYQKLHKAEIPEQFGPIRTVAEGKGNVILIGTTRNFVLQGTLSGDFTPITQG HTDELWGLAIHASKPQFLTCGHDKHATLWDAVGHRPVWDKIIEDPAQSSGFHPSGSVVAV GTLTGRWFVFDTETKDLVTVHTDGNEQLSVMRYSPDGNFLAIGSHDNCIYIYGVTDNGRK YTRVGPFQLHHPLGLVRELTIPGVKFRGLRDPLLGSVCL >ENSMUSP00000031895.6 pep:known chromosome:GRCm38:6:42264985:42282508:1 gene:ENSMUSG00000029863.13 transcript:ENSMUST00000031895.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp2 description:caspase 2 [Source:MGI Symbol;Acc:MGI:97295] MAAPSGRSQSSLHRKGLMAADRRSRILAVCGMHPDHQETLKKNRVVLAKQLLLSELLEHL LEKDIITLEMRELIQAKGGSFSQNVELLNLLPKRGPQAFDAFCEALRETRQGHLEDLLLT TLSDIQHVLPPLSCDYDTSLPFSVCESCPPHKQLRLSTDATEHSLDNGDGPPCLLVKPCT PEFYQAHYQLAYRLQSQPRGLALVLSNVHFTGEKDLEFRSGGDVDHTTLVTLFKLLGYNV HVLHDQTAQEMQEKLQNFAQLPAHRVTDSCVVALLSHGVEGGIYGVDGKLLQLQEVFRLF DNANCPSLQNKPKMFFIQACRGDETDRGVDQQDGKNHTQSPGCEESDAGKEELMKMRLPT RSDMICGYACLKGNAAMRNTKRGSWYIEALTQVFSERACDMHVADMLVKVNALIKEREGY APGTEFHRCKEMSEYCSTLCQQLYLFPGYPPT >ENSMUSP00000121184.1 pep:known chromosome:GRCm38:6:42265120:42280492:1 gene:ENSMUSG00000029863.13 transcript:ENSMUST00000156829.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Casp2 description:caspase 2 [Source:MGI Symbol;Acc:MGI:97295] MAAPSGRSQSSLHRKGLMAADRRSRILAVCGMHPDHQETLKKNRVVLAKQLLLSELLEHL LEKDIITLEMRELIQAKGGSFSQNVELLNLLPKRGPQAFDAFCEALRETRQGHLEDLLLT TLSDIQHVLPPLSCDYDTSLPFSVCESCPPHKQLRLSTDATEHSLDNGDGPPCLLVKPCT PEFYQAHYQLAYRLQSQPRGLALVLSNVHFTGEKDLEFRSGGDVDHTTLVTLFKLLGYNV HVLHDQTAQEMQEKLQNFAQLPAHRVTDSCVVALLSHGVEGGIYGVDGKLLQLQEVFRLF DNANCPSLQNKPKMFFIQACRGGAIGSLGHLLLFTAATASLAL >ENSMUSP00000146931.1 pep:known chromosome:GRCm38:19:13527913:13529965:1 gene:ENSMUSG00000095484.2 transcript:ENSMUST00000207987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1480 description:olfactory receptor 1480 [Source:MGI Symbol;Acc:MGI:3031314] MKYLTAFLFTPIFLFLGQQCKQKRLKIISKRFLFLRASTFRQKYYELPFRRHQEKLSKHW KDAPLLQTPLFIIFTLTYLTTLFGNLGMILLILLDSRLHTPMYFFLSNLSLVDCVYASAV TPKVMEGFLIENKIISYNACA >ENSMUSP00000147226.1 pep:known chromosome:GRCm38:19:13527924:13530622:1 gene:ENSMUSG00000095484.2 transcript:ENSMUST00000207904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1480 description:olfactory receptor 1480 [Source:MGI Symbol;Acc:MGI:3031314] MIQNISELSEFILVGLTDAPLLQTPLFIIFTLTYLTTLFGNLGMILLILLDSRLHTPMYF FLSNLSLVDCVYASAVTPKVMEGFLIENKIISYNACAAQMFFFVAFVITESFILASMAYD RYAAVCKPLHYSTTMTTMICVLLLAGSYVSGLLQSSIHVSFTFQLSFCHSNVVNHFFCDI PPLLALSCSSIYTNEIILFMLAAFNVAFTLLVILSSYLLIFVAILKMRSAESRKKTISTC ASHLTTVSIFYGTIIFMYLQPSSNHSMDTDKLASVFYTMVIPMLNPLVYSLRNKEVKNAF KKVAGKAVLSLGLVN >ENSMUSP00000072076.1 pep:known chromosome:GRCm38:19:13529675:13530622:1 gene:ENSMUSG00000095484.2 transcript:ENSMUST00000072219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1480 description:olfactory receptor 1480 [Source:MGI Symbol;Acc:MGI:3031314] MIQNISELSEFILVGLTDAPLLQTPLFIIFTLTYLTTLFGNLGMILLILLDSRLHTPMYF FLSNLSLVDCVYASAVTPKVMEGFLIENKIISYNACAAQMFFFVAFVITESFILASMAYD RYAAVCKPLHYSTTMTTMICVLLLAGSYVSGLLQSSIHVSFTFQLSFCHSNVVNHFFCDI PPLLALSCSSIYTNEIILFMLAAFNVAFTLLVILSSYLLIFVAILKMRSAESRKKTISTC ASHLTTVSIFYGTIIFMYLQPSSNHSMDTDKLASVFYTMVIPMLNPLVYSLRNKEVKNAF KKVAGKAVLSLGLVN >ENSMUSP00000028855.7 pep:known chromosome:GRCm38:2:127526473:127541467:-1 gene:ENSMUSG00000027376.15 transcript:ENSMUST00000028855.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom2 description:prominin 2 [Source:MGI Symbol;Acc:MGI:2138997] MTRSPGLMVPLLGLSLGLALSLPEAVTDDCGSLGRVEHLAFARVPRTRELAPLVRASGPL NSLYGTVRRFLSVVQLNPFPAELIKTLLNDPSSVKTDEVVRYEAGYVVCAVIAGLYLLLV PITGLCFCCCRCRQRCGGRVKTEHKAMACERGTLMIFLLLTTLVLLIGMVCAFATNQHTH SQTGPSVKAVPETLLSLRGLVSDVPEELRAIAEQFSVPQKQVSKELDGVGENLGNVIHNR LKSTVYPVLASVHSLGQALQVSIDHLRALNTTSVELQEAQRHLEPPVQAHRERLLALLQD SWCHEENCKRVLSQAGALQLGADFSQTPPVDDVLHRLKDVPETNFSSMVQEEKATFNNLP LLVQVQAVSVVKDVKKALAEQPEGLRMLAQAFPGSEAASRWSQALEGLEQRSRPYLQEVQ QYETYRWILGCVLCSAILLVVICNLLGLSLGIWGLFAREDPSHSETKGEAGARLLMAGVA FSFLFAVPLILLVFVTFLVGGNVQTLVCRSWESGELYEFADTPGNLPPSMNLSYLLGLKK NISIVQAYRQCKAGAVLWKVLQLNDSYDLDKHLDIKQYTHKIQQELQSFQVDLKELDLLS PTARQDLEALQRSGLEKIHYRGFLVQIQKPVVNTDMWQLAQELEGLAQAQNDSLLRQQLR EEARELRSLYQEKVVPQESLVTKLNFSVKTLESLAPSLQVNTSDFLDSVTRLKGELPVQI NHILRNATECFLTREMGYFSQYVTWVRAEVTQRIATCQPFSTALDNGHVILCDMMADPWN AFWFCLGWCTFFLIPSIIFAVKTSKYFRPIRKRLSSTSSEETQLFHIPRVTSLKL >ENSMUSP00000099503.2 pep:known chromosome:GRCm38:2:127526955:127541385:-1 gene:ENSMUSG00000027376.15 transcript:ENSMUST00000103214.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prom2 description:prominin 2 [Source:MGI Symbol;Acc:MGI:2138997] MTRSPGLMVPLLGLSLGLALSLPEAVTDDCGSLGRVEHLAFARVPRTRELAPLVRASGPL NSLYGTVRRFLSVVQLNPFPAELIKTLLNDPSSVKTDEVVRYEAGYVVCAVIAGLYLLLV PITGLCFCCCRCRQRCGGRVKTEHKAMACERGTLMIFLLLTTLVLLIGMVCAFATNQHTH SQTGPSVKAVPETLLSLRGLVSDVPEELRAIAEQFSVPQKQVSKELDGVGENLGNVIHNR LKSTVYPVLASVHSLGQALQVSIDHLRALNTTSVELQEAQRHLEPPVQAHRERLLALLQD SWCHEENCKRVLSQAGALQLGADFSQTPPVDDVLHRLKDVPETNFSSMVQEEKATFNNLP LLVQVQAVSVVKDVKKALAEQPEGLRMLAQAFPGSEAASRWSQALEGLEQRSRPYLQEVQ QYETYRWILGCVLCSAILLVVICNLLGLSLGIWGLFAREDPSHSETKGEAGARLLMAGVA FSFLFAVPLILLVFVTFLVGGNVQTLVCRSWESGELYEFADTPGNLPPSMNLSYLLGLKK NISIVQAYRQCKAGAVLWKVLQLNDSYDLDKHLDIKQYTHKIQQELQSFQVDLKELDLLS PTARQDLEALQRSGLEKIHYRGFLVQIQKPVVNTDMWQLAQELEGLAQAQNDSLLRQQLR EEARELRSLYQEKVVPQESLVTKLNFSVKTLESLAPSLQVNTSDFLDSVTRLKGELPVQI NHILRNATECFLTREMGYFSQYVTWVRAEVTQRIATCQPFSTALDNGHVILCDMMADPWN AFWFCLGWCTFFLIPSIIFAVKTSKYFRPIRKRLRVTSLKL >ENSMUSP00000033852.7 pep:known chromosome:GRCm38:8:19293360:19295291:1 gene:ENSMUSG00000039775.7 transcript:ENSMUST00000033852.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb3 description:defensin beta 3 [Source:MGI Symbol;Acc:MGI:1351612] MRIHYLLFAFLLVLLSPPAAFSKKINNPVSCLRKGGRCWNRCIGNTRQIGSCGVPFLKCC KRK >ENSMUSP00000099650.4 pep:known chromosome:GRCm38:4:129643980:129672767:-1 gene:ENSMUSG00000003731.13 transcript:ENSMUST00000102590.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna6 description:karyopherin (importin) alpha 6 [Source:MGI Symbol;Acc:MGI:1100836] METMASPGKDNYRMKSYKNNALNPEEMRRRREEEGIQLRKQKREQQLFKRRNVELINEEA AMFDSLLMDSYVSSTTGESVITREMVEMLFSDDSDLQLATTQKFRKLLSKEPSPPIDEVI NTPGVVDRFVEFLKRNENCTLQFEAAWALTNIASGTSQQTKIVIEAGAVPIFIELLNSDF EDVQEQAVWALGNIAGDSSLCRDYVLNCSILNPLLTLLTKSTRLTMTRNAVWALSNLCRG KNPPPEFAKVSPCLPVLSRLLFSSDSDLLADACWALSYLSDGPNEKIQAVIDSGVCRRLV ELLMHNDYKVASPALRAVGNIVTGDDIQTQVILNCSALPCLLHLLSSSKESIRKEACWTI SNITAGNRAQIQAVIDANIFPVLIEILQKAEFRTRKEAAWAITNATSGGTPEQIRYLVSL GCIKPLCDLLTVMDSKIVQVALNGLENILRLGEQESKRSGSGVNPYCGLIEEAYGLDKIE FLQSHENQEIYQKAFDLIEHYFGVEDDDSSLAPQVDETQQQFIFQQPEAPMEGFQL >ENSMUSP00000003828.4 pep:known chromosome:GRCm38:4:129647484:129662479:-1 gene:ENSMUSG00000003731.13 transcript:ENSMUST00000003828.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna6 description:karyopherin (importin) alpha 6 [Source:MGI Symbol;Acc:MGI:1100836] MASPGKDNYRMKSYKNNALNPEEMRRRREEEGIQLRKQKREQQLFKRRNVELINEEAAMF DSLLMDSYVSSTTGESVITREMVEMLFSDDSDLQLATTQKFRKLLSKEPSPPIDEVINTP GVVDRFVEFLKRNENCTLQFEAAWALTNIASGTSQQTKIVIEAGAVPIFIELLNSDFEDV QEQAVWALGNIAGDSSLCRDYVLNCSILNPLLTLLTKSTRLTMTRNAVWALSNLCRGKNP PPEFAKVSPCLPVLSRLLFSSDSDLLADACWALSYLSDGPNEKIQAVIDSGVCRRLVELL MHNDYKVASPALRAVGNIVTGDDIQTQVILNCSALPCLLHLLSSSKESIRKEACWTISNI TAGNRAQIQAVIDANIFPVLIEILQKAEFRTRKEAAWAITNATSGGTPEQIRYLVSLGCI KPLCDLLTVMDSKIVQVALNGLENILRLGEQESKRSGSGVNPYCGLIEEAYGLDKIEFLQ SHENQEIYQKAFDLIEHYFGVEDDDSSLAPQVDETQQQFIFQQPEAPMEGFQL >ENSMUSP00000114265.1 pep:known chromosome:GRCm38:4:129657447:129672528:-1 gene:ENSMUSG00000003731.13 transcript:ENSMUST00000126010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna6 description:karyopherin (importin) alpha 6 [Source:MGI Symbol;Acc:MGI:1100836] MASPGKDNYRMKSYKNNALNPEEMRRRREEEGIQLRKQKREQQLFKRRNVELINEEAAMF DSLLMDSYVSSTTGESVITREMVEMLFS >ENSMUSP00000084110.3 pep:known chromosome:GRCm38:10:6911543:7052478:-1 gene:ENSMUSG00000064065.15 transcript:ENSMUST00000086896.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipcef1 description:interaction protein for cytohesin exchange factors 1 [Source:MGI Symbol;Acc:MGI:2444159] MATEGNAEKADGFVNLSDFTVERASECKKKNAFKINHPQIKAFYFAAENLQEMNVWLNKL GFAVTHQESITKDEECYSESEQEDPEVAVEAPPPPYASTTSSPVAAQWASSSSPKRRETS CSFSSLENTVKAPSQFSSSGSKERQSWHNIVNSSPATEDAGLPLTFAEQVHTLAFSEASN CQAPENNCITSEGGLLNLLSSDDTSSLNNNKDHLTVPDRAAGSRMADRGFLCVALAILEL TL >ENSMUSP00000114267.1 pep:known chromosome:GRCm38:10:6919835:7023167:-1 gene:ENSMUSG00000064065.15 transcript:ENSMUST00000145156.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipcef1 description:interaction protein for cytohesin exchange factors 1 [Source:MGI Symbol;Acc:MGI:2444159] MATEGNQVPLRQKPRKKTQGFFNMSRRRISCKDLGHADCQGWLYKKKEKGTFLSNKWKKF WVVLKGSSLYWYSNQMAEKADGFVNLSDFTVERASECKKKNAFKINHPQIKAFYFAAENL QEMNVWLNKLGFAVTHQESITKDEECYSESEQEDPEVAVEAPPPPYASTTSSPVAAQWAS SSSPKRRETSCSFSSLENTVKAPSQFSSSGSKERQSWHNIVNSSPATEDAGLPLTFAEQV HTLAFSEASNCQAPENNC >ENSMUSP00000118510.1 pep:known chromosome:GRCm38:10:6956062:7000444:-1 gene:ENSMUSG00000064065.15 transcript:ENSMUST00000151960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipcef1 description:interaction protein for cytohesin exchange factors 1 [Source:MGI Symbol;Acc:MGI:2444159] MATEGNVPLRQKPRKKTQGFFNMSRRRISCKDLGHADCQGWLYKKKEKGTFLSNKWKKFW VVLKGSSLYWYSNQMAEKADGFVNLSDFTVERASECKK >ENSMUSP00000101242.1 pep:known chromosome:GRCm38:10:6885796:6980376:-1 gene:ENSMUSG00000064065.15 transcript:ENSMUST00000105617.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipcef1 description:interaction protein for cytohesin exchange factors 1 [Source:MGI Symbol;Acc:MGI:2444159] MSRRRISCKDLGHADCQGWLYKKKEKGTFLSNKWKKFWVVLKGSSLYWYSNQMAEKADGF VNLSDFTVERASECKKKNAFKINHPQIKAFYFAAENLQEMNVWLNKLGFAVTHQESITKD EECYSESEQEDPEVAVEAPPPPYASTTSSPVAAQWASSSSPKRRETSCSFSSLENTVKAP SQFSSSGSKERQSWHNIVNSSPATEDAGLPLTFAEQVHTLAFSEASNCQAPENNCITSEG GLLNLLSSDDTSSLNNNKDHLTVPDRAAGSRMADREEIKSSEDDEMEKLYKSLEQASLSP LGDRRPSTKKELRKSFVKRCKNPSINEKLHKIRTLNSTLKCKEHDLAMINQLLDDPKLTA RKYREWKVMNTLLIQDIYQQQAPQDPEVTPQEVMNPTSSDCVENSL >ENSMUSP00000077215.3 pep:known chromosome:GRCm38:10:6890439:6979711:-1 gene:ENSMUSG00000064065.15 transcript:ENSMUST00000078070.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipcef1 description:interaction protein for cytohesin exchange factors 1 [Source:MGI Symbol;Acc:MGI:2444159] MSRRRISCKDLGHADCQGWLYKKKEKGTFLSNKWKKFWVVLKGSSLYWYSNQMAEKADGF VNLSDFTVERASECKKKNAFKINHPQIKAFYFAAENLQEMNVWLNKLGFAVTHQESITKD EECYSESEQEDPEVAVEAPPPPYASTTSSPVVRVDAAQWASSSSPKRRETSCSFSSLENT VKAPSQFSSSGSKERQSWHNIVNSSPATEDAGLPLTFAEQVHTLAFSEASNCQAPENNCI TSEGGLLNLLSSDDTSSLNNNKDHLTVPDRAAGSRMADREEIKSSEDDEMEKLYKSLEQA SLSPLGDRRPSTKKELRKSFVKRCKNPSINEKLHKIRTLNSTLKCKEHDLAMINQLLDDP KLTARKYREWKVMNTLLIQDIYQQQAPQDPEVTPQEVMNPTSSDCVENSL >ENSMUSP00000128131.1 pep:known chromosome:GRCm38:10:6911543:7023185:-1 gene:ENSMUSG00000064065.15 transcript:ENSMUST00000170680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipcef1 description:interaction protein for cytohesin exchange factors 1 [Source:MGI Symbol;Acc:MGI:2444159] MATEGNAEKADGFVNLSDFTVERASECKKKNAFKINHPQIKAFYFAAENLQEMNVWLNKL GFAVTHQESITKDEECYSESEQEDPEVAVEAPPPPYASTTSSPVAAQWASSSSPKRRETS CSFSSLENTVKAPSQFSSSGSKERQSWHNIVNSSPATEDAGLPLTFAEQVHTLAFSEASN CQAPENNCITSEGGLLNLLSSDDTSSLNNNKDHLTVPDRAAGSRMADRGFLCVALAILEL TL >ENSMUSP00000073801.1 pep:known chromosome:GRCm38:2:37201243:37202181:1 gene:ENSMUSG00000059429.1 transcript:ENSMUST00000074168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr365 description:olfactory receptor 365 [Source:MGI Symbol;Acc:MGI:3030199] MNNHSSSSSSTSDFILLGLSTNPWMQKPLFGIFIIMYLVTVMGNVLIILVIRSDSRLHTP MYFFLSNLSFMDICFTTVIVPKMLVNFLSETKTISYVGCLVQMYFFMALGNTDSYLLASM AIDRLVAICNPLHYDVVMRPQRCLLMLLGSCTISHLHALFRVLLMSRLSFCASHVIKHFF CDTQPVLKLSCSDTSSSQIVVMTETLAVIVTPFLCILFSYMRIIVTVLRIPSAAGKWKAF STCGSHLTVVVLFYGSIIYVYFRPLSMYSVVKDRVATVMYTVVTPMMNPFIYSLRNKDMK RGLRKLMGKVHL >ENSMUSP00000095534.3 pep:known chromosome:GRCm38:4:109660876:109667189:-1 gene:ENSMUSG00000028551.14 transcript:ENSMUST00000097921.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn2c description:cyclin-dependent kinase inhibitor 2C (p18, inhibits CDK4) [Source:MGI Symbol;Acc:MGI:105388] MAEPWGNELASAAARGDLEQLTSLLQNNVNVNAQNGFGRTALQVMKLGNPEIARRLLLRG ANPNLKDGTGFAVIHDAARAGFLDTVQALLEFQADVNIEDNEGNLPLHLAAKEGHLPVVE FLMKHTACNVGHRNHKGDTAFDLARFYGRNEVISLMEANGVGGATSLQ >ENSMUSP00000070313.4 pep:known chromosome:GRCm38:4:109660876:109665372:-1 gene:ENSMUSG00000028551.14 transcript:ENSMUST00000063531.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn2c description:cyclin-dependent kinase inhibitor 2C (p18, inhibits CDK4) [Source:MGI Symbol;Acc:MGI:105388] MAEPWGNELASAAARGDLEQLTSLLQNNVNVNAQNGFGRTALQVMKLGNPEIARRLLLRG ANPNLKDGTGFAVIHDAARAGFLDTVQALLEFQADVNIEDNEGNLPLHLAAKEGHLPVVE FLMKHTACNVGHRNHKGDTAFDLARFYGRNEVISLMEANGVGGATSLQ >ENSMUSP00000038514.6 pep:known chromosome:GRCm38:8:10984681:11008458:-1 gene:ENSMUSG00000038894.7 transcript:ENSMUST00000040514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irs2 description:insulin receptor substrate 2 [Source:MGI Symbol;Acc:MGI:109334] MASAPLPGPPASAGGDGPNLNNNNNNNNHSVRKCGYLRKQKHGHKRFFVLRGPGTGGDEA SAAGGSPPQPPRLEYYESEKKWRSKAGAPKRVIALDCCLNINKRADAKHKYLIALYTKDE YFAVAAENEQEQEGWYRALTDLVSEGRSGEGGSGTTGGSCSASLPGVLGGSAGAAGCDDN YGLVTPATAVYREVWQVNLKPKGLGQSKNLTGVYRLCLSARTIGFVKLNCEQPSVTLQLM NIRRCGHSDSFFFIEVGRSAVTGPGELWMQADDSVVAQNIHETILEAMKALKELFEFRPR SKSQSSGSSATHPISVPGARRHHHLVNLPPSQTGLVRRSRTDSLAATPPAAKCTSCRVRT ASEGDGGAAGGAGTAGGRPMSVAGSPLSPGPVRAPLSRSHTLSAGCGGRPSKVTLAPAGG ALQHSRSMSMPVAHSPPAATSPGSLSSSSGHGSGSYPLPPGSHPHLPHPLHHPQGQRPSS GSASASGSPSDPGFMSLDEYGSSPGDLRAFSSHRSNTPESIAETPPARDGSGGELYGYMS MDRPLSHCGRPYRRVSGDGAQDLDRGLRKRTYSLTTPARQRQVPQPSSASLDEYTLMRAT FSGSSGRLCPSFPASSPKVAYNPYPEDYGDIEIGSHKSSSSNLGADDGYMPMTPGAALRS GGPNSCKSDDYMPMSPTSVSAPKQILQPRLAAALPPSGAAVPAPPSGVGRTFPVNGGGYK ASSPAESSPEDSGYMRMWCGSKLSMENPDPKLLPNGDYLNMSPSEAGTAGTPPDFSAALR GGSEGLKGIPGHCYSSLPRSYKAPCSCSGDNDQYVLMSSPVGRILEEERLEPQATPGAGT FGAAGGSHTQPHHSAVPSSMRPSAIGGRPEGFLGQRCRAVRPTRLSLEGLQTLPSMQEYP LPTEPKSPGEYINIDFGEAGTRLSPPAPPLLASAASSSSLLSASSPASSLGSGTPGTSSD SRQRSPLSDYMNLDFSSPKSPKPSTRSGDTVGSMDGLLSPEASSPYPPLPPRPSTSPSSL QQPLPPAPGDLYRLPPASAATSQGPTAGSSMSSEPGDNGDYTEMAFGVAATPPQPIVAPP KPEGARVASPTSGLKRLSLMDQVSGVEAFLQVSQPPDPHRGAKVIRADPQGGRRRHSSET FSSTTTVTPVSPSFAHNSKRHNSASVENVSLRKSSEGSSTLGGGDEPPTSPGQAQPLVAV PPVPQARPWNPGQPGALIGCPGGSSSPMRRETSVGFQNGLNYIAIDVRGEQGSLAQSQPQ PGDKNSWSRTRSLGGLLGTVGGSGASGVCGGPGTGALPSASTYASIDFLSHHLKEATVVK E >ENSMUSP00000107182.1 pep:known chromosome:GRCm38:6:148988071:149101680:-1 gene:ENSMUSG00000030313.15 transcript:ENSMUST00000111557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd5b description:DENN/MADD domain containing 5B [Source:MGI Symbol;Acc:MGI:2444273] MSGSSAAPGPGSGSSPAACRFAHYFVLCGIDADSGLEPDELAGENFDQSPLRRTFKSKVL AHYPQNIEWNPFDQDAVNMLCMPKGLSFRTQADNKEPQFHSFIITREDGSRTYGFVLTFY EEVTSKQICTAMQTLYQMHNAEQYSSVYASSSCSMDSLASSIDEGDATSLLKLQRYNSYD INRDTLYVSKSICLITPLPFMQACKKFLFQLHKAVTSQQPPPLPLESYIHNILYEVPLPP PGRSLKFYGVYEPVICQRPGPNELPLSDYPLREACELLGLENLVQVFTCVLLEMQTLLYS QDYQRLMTVAEGITTLLFPFQWQHVYVPILPASLLHFLDAPVPYLMGLQSKEGTDRSKLE LPQEANLCFVDIDNHFIELPEEFPQFPNKVDFIQELSEVLLQFGIPPEGSLHSSESATKL KNMVLKDLANDKKNGNVPNNSVSVYELLKGSETIARLQALAKRTGVTMEKIDLPASLSEK EKDLKLQCEEADLRDSQLNVQLREVFANRFTQMFADYEAFVIQTAQDMESWLTNREQMQN FDKASFLSDQPEPYLPFLSRFIETQMFATFIDNKIMSQWEEKDPLLRVFDSRIEKIRLYN VRAPTLRTSIYQKCSSLKEAAQSIEQRLMKMDHTAIHPHLLDMKIGQGKYEQGFFPKLQS DVLATGPANNNRWVSRSATAQRRKERLRQSSEHIGLDSDLREKYMQEARSLGKNLRQPKL SDLSPAVIAQTNWKFVEGLLKECRMKTKRMLVEKMGHEAVELGHGEANITGLEENTLIAS LCDLLERIWSHGLLVKQGKSALWSHLLQFQDREEKQEHLTDSPVALGPERRKSDSGVMLP TLRVSLIQDMRHIQNMTEIKTDVGRARAWIRLSLEKKLLSQHLKQLLSNQPLTKKLYKRY AFLRCEEEREQFLYHLLSLNAVDYFCFTSVFTTIMIPYRSVIIPIKKLSNAIITSNPWIC VSGELGDTGVMQIPKNLLEMTFECQNLGKLTTVQIGHDNSGLLAKWLVDCVMVRNEITGH TYRFPCGRWLGKGVDDGSLERILIGELMTSASDEDLGKQCRTPPQQKSPTTTRRLSITSL TGKPAKPNAGQIQEGIGEAVNNIVKHFHKPEKERGSLTVLLCGENGLVAALEQVFHHGFK SARIFHKNVFIWDFVEKAVAYFETTDQILDNEGDVLIQKPSSKTFCHYVNAINTAPRNIG KDGKFQILVCLGTRDHLLPQWIPLLAECPAITRMYEENALLRDHMTVNSLIRILQTIQDF TIVLEGSLIKGVDV >ENSMUSP00000127731.1 pep:known chromosome:GRCm38:6:149068557:149081295:-1 gene:ENSMUSG00000030313.15 transcript:ENSMUST00000145555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd5b description:DENN/MADD domain containing 5B [Source:MGI Symbol;Acc:MGI:2444273] MLCMPKGLSFRTQADNKEPQFHSFIITREDGSRTYGFVLTFYEEVTSKQICTAM >ENSMUSP00000123568.1 pep:known chromosome:GRCm38:6:149068580:149101584:-1 gene:ENSMUSG00000030313.15 transcript:ENSMUST00000127727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd5b description:DENN/MADD domain containing 5B [Source:MGI Symbol;Acc:MGI:2444273] MSGSSAAPGPGSGSSPAACRFAHYFVLCGIDADSGLEPDELAVLYQWLEADRHGKSQDTA NTTSGENFDQSPLRRTFKSKVLAHYPQNIEWNPFDQDAVNMLCMPKGLSFRTQADNKEPQ FHSFIITREDGSRTYGFVLTFYEEVT >ENSMUSP00000143304.1 pep:known chromosome:GRCm38:3:108940059:108942733:1 gene:ENSMUSG00000045662.16 transcript:ENSMUST00000197427.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Henmt1 description:HEN1 methyltransferase homolog 1 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1913965] MEMAESIPCN >ENSMUSP00000054829.4 pep:known chromosome:GRCm38:3:108940084:108960676:1 gene:ENSMUSG00000045662.16 transcript:ENSMUST00000059946.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Henmt1 description:HEN1 methyltransferase homolog 1 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1913965] MEMAESIPCNSVVGGNFKEVSPEKVIRFKPPLYKQRYQFVRDLVDRHEPKKVADLGCGDA KLLKLLKIYPCIQLLVGVDINEEKLHSNGHRLSPYLGEFVKPRDLDLTVTLYHGSVVERD SRLLGFDLITCIELIEHLDSDDLARFPDVVFGYLSPAMVVISTPNAEFNPLFPTVTLRDA DHKFEWSRMEFQTWALHVANCYNYRVEFTGVGTPPAGSEHVGYCTQIGVFTKNGGKLSKP SVSQQCDQHVYKPVYTTSYPSLQQEKVLKFVLVGELLIQVDRLRLRYQRMLRDREKDRGP KPGDMDSCPAPHLLLGAVFTEAEKARIESSPKPFCEGEKFYIPLQRLLTYPKLHRLCADE DRVRSLIADSVCLSSDGSAVVVDLHNSWDYRPEEN >ENSMUSP00000096277.2 pep:known chromosome:GRCm38:3:108940114:108959321:1 gene:ENSMUSG00000045662.16 transcript:ENSMUST00000098680.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Henmt1 description:HEN1 methyltransferase homolog 1 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1913965] MEMAESIPCNSVVGGNFKEVSPEKVIRFKPPLYKQRYQFVRDLVDRHEPKKVADLGCGDA KLLKLLKIYPCIQLLVGVDINEEKLHSNGHRLSPYLGEFVKPRDLDLTVTLYHGSVVERD SRLLGFDLITCIELIEHLDSDDLARFPDVVFGYLSPAMVVISTPNAEFNPLFPTVTLRDA DHKFEWSRMEFQTWALHVANCYNYRVEFTGVGTPPAGSEHVGYCTQIGVFTKNGGKLSKP SVSQQCDQHVYKPGLTLYPQLA >ENSMUSP00000102196.2 pep:known chromosome:GRCm38:3:108940500:108960774:1 gene:ENSMUSG00000045662.16 transcript:ENSMUST00000106586.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Henmt1 description:HEN1 methyltransferase homolog 1 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1913965] MEMAESIPCNSVVGGNFKEVSPEKVIRFKPPLYKQRYQFVRDLVDRHEPKKVADLGCGDA KLLKLLKIYPCIQLLVGVDINEEKLHSNGHRLSPYLGEFVKPRDLDLTVTLYHGSVVERD SRLLGFDLITCIELIEHLDSDDLARFPDVVFGYLSPAMVVISTPNAEFNPLFPTVTLRDA DHKFEWSRMEFQTWALHVANCYNYRVEFTGVGTPPAGSEHVGYCTQIGVFTKNGGKLSKP SVSQQCDQHVYKPVYTTSYPSLQQEKVLKFVLVGELLIQVDRLRLRYQRMLRDREKDRGP KPGDMDSCPAPHLLLGAVFTEAEKARIESSPKPFCEGEKFYIPLQRLLTYPKLHRLCADE DRVRSLIADSVCLSSDGSAVVVDLHNSWDYRPEEN >ENSMUSP00000143561.1 pep:known chromosome:GRCm38:3:108941311:108960215:1 gene:ENSMUSG00000045662.16 transcript:ENSMUST00000196400.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Henmt1 description:HEN1 methyltransferase homolog 1 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1913965] MEMAESIPVADLGCGDAKLLKLLKIYPCIQLLVGVDINEEKLHSNGHRLSPYLGEFVKPR DLDLTVTLYHGSVVERDSRLLGFDLITCIEFHPEGRRP >ENSMUSP00000143574.1 pep:known chromosome:GRCm38:3:108941311:108960688:1 gene:ENSMUSG00000045662.16 transcript:ENSMUST00000196533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Henmt1 description:HEN1 methyltransferase homolog 1 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1913965] MEMAESIPVADLGCGDAKLLKLLKIYPCIQLLVGVDINEEKLHSNGHRLSPYLGEFVKPR DLDLTVTLYHGSVVERDSRLLGFDLITCIELIEHLDSDDLARFPDVVFGYLSPAMVVIST PNAEFNPLFPTVTLRDADHKFEWSRMEFQTWALHVANCYNYRVEFTGVGTPPAGSEHVGY CTQIGVFTKNGGKLSKPSVSQQCDQHVYKPVYTTSYPSLQQEKVLKFVLVGELLIQVDRL RLRYQRMLRDREKDRGPKPGDMDSCPAPHLLLGAVFTEAEKARIESSPKPFCEGEKFYIP LQRLLTYPKLHRLCADEDRVRSLIADSVCLSSDGSAVVVDLHNSWDYRPEEN >ENSMUSP00000134901.1 pep:known chromosome:GRCm38:6:57113396:57114367:1 gene:ENSMUSG00000093785.1 transcript:ENSMUST00000176073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r10 description:vomeronasal 1 receptor 10 [Source:MGI Symbol;Acc:MGI:2148522] MSSFKNVLYFQAGLGVLANMVLLLFYIFIILGHRPKPTDLISCQLTFVHIIMVLTGGDIL LTDLFELLNIENDLKCKTIFYISRVMRGLSICTTCLLSVFQAVTISPNTSLMAKFKQKLK KYMACVFLCIWSFNLAFSTNRIFYVGGFTNVSETNQMQVTKSCSLLPMNIIIRGFIFTIS TSRDVFLVGVMLTTSVYMVITMFRYHRQCKYLYSISHLRESPEKRATQTIVLLVSFFVVM YWVDFIISFTSDMIWMYDPLILTVQKFMMYAYPTITPLVQISSDNRIIIMLKNLQSKHQQ RFFNKDIFFSL >ENSMUSP00000142237.1 pep:known chromosome:GRCm38:1:164061982:164084181:1 gene:ENSMUSG00000026581.14 transcript:ENSMUST00000192047.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sell description:selectin, lymphocyte [Source:MGI Symbol;Acc:MGI:98279] MVFPWRCEGTYWGSRNILKLWVWTLLCCDFLIHHGTHCWTYHYSEKPMNWENARKFCKQN YTDLVAIQNKREIEYLENTLPKSPYYYWIGIRKIGKMWTWVGTNKTLTKEAENWGAGEPN NKKSKEDCVEIYIKRERDSGKWNDDACHKRKAALCYTVVQCEPLEAPELGTMDCIHPLGN FSFQSKCAFNCSEGRELLGTAETQCGASGNWSSPEPICQVVQCEPLEAPELGTMDCIHPL GNFSFQSKCAFNCSEGRELLGTAETQCGASGNWSSPEPICQETNRSFSKIKEGDYNPLFI PVAVMVTAFSGLAFLIWLARRLKKGKKSQERMDDPY >ENSMUSP00000027871.7 pep:known chromosome:GRCm38:1:164062085:164080672:1 gene:ENSMUSG00000026581.14 transcript:ENSMUST00000027871.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sell description:selectin, lymphocyte [Source:MGI Symbol;Acc:MGI:98279] MVFPWRCEGTYWGSRNILKLWVWTLLCCDFLIHHGTHCWTYHYSEKPMNWENARKFCKQN YTDLVAIQNKREIEYLENTLPKSPYYYWIGIRKIGKMWTWVGTNKTLTKEAENWGAGEPN NKKSKEDCVEIYIKRERDSGKWNDDACHKRKAALCYTASCQPGSCNGRGECVETINNHTC ICDAGYYGPQCQYVVQCEPLEAPELGTMDCIHPLGNFSFQSKCAFNCSEGRELLGTAETQ CGASGNWSSPEPICQVVQCEPLEAPELGTMDCIHPLGNFSFQSKCAFNCSEGRELLGTAE TQCGASGNWSSPEPICQETNRSFSKIKEGDYNPLFIPVAVMVTAFSGLAFLIWLARRLKK GKKSQERMDDPY >ENSMUSP00000095099.4 pep:known chromosome:GRCm38:1:164062189:164079692:1 gene:ENSMUSG00000026581.14 transcript:ENSMUST00000097491.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sell description:selectin, lymphocyte [Source:MGI Symbol;Acc:MGI:98279] MVFPWRCEGTYWGSRNILKLWVWTLLCCDFLIHHGTHCWTYHYSEKPMNWENARKFCKQN YTDLVAIQNKREIEYLENTLPKSPYYYWIGIRKIGKMWTWVGTNKTLTKEAENWGAGEPN NKKSKEDCVEIYIKRERDSGKWNDDACHKRKAALCYTASCQPGSCNGRGECVETINNHTC ICDAGYYGPQCQYVVQCEPLEAPELGTMDCIHPLGNFSFQSKCAFNCSEGRELLGTAETQ CGASGNWSSPEPICQVVQCEPLEAPELGTMDCIHPLGNFSFQSKCAFNCSEGRELLGTAE TQCGASGNWSSPEPICQETNRSFSKIKEGDYNPLFIPVAVMVTAFSGLAFLIWLARRLKK GRTNAPATGPQSAAVLRCALPHLSTFI >ENSMUSP00000141365.1 pep:known chromosome:GRCm38:1:164068962:164079996:1 gene:ENSMUSG00000026581.14 transcript:ENSMUST00000195358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sell description:selectin, lymphocyte [Source:MGI Symbol;Acc:MGI:98279] AFNCSEGRELLGTAETQCGASGNWSSPEPICQETNRSFSKIKEGDYNPLFIPVAVMVTAF SGLAFLIWLARRLKKGRTNAPATGPQSAAVLRQEISRKDG >ENSMUSP00000088524.1 pep:known chromosome:GRCm38:2:37219491:37220420:1 gene:ENSMUSG00000068947.1 transcript:ENSMUST00000091001.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr366 description:olfactory receptor 366 [Source:MGI Symbol;Acc:MGI:3030200] MLRENQSHMTEFLLLGLTSNPKQQVWLFASFLAMYLVNVIGNSVIIASIQRDARLHTPMY FFLSNLSLVDICFTTVIVPQMLVNLLTQRKTILFAQCLTQMYFFVAFGITDSFLLAAMAI DRYVAICNPLHYNTVMSPRRCRLLVVASWAVSHLHSLTHTILMGRLSFCGPNVIHHFFCD VQPLLTLSCSDTSINELLAFTEGSVVIMSPFIFIVVSYIYITRTVLRVPSGEGRYKVFST CGSHLTVVALFYGTIISVYIRPSSTYSVTKDRVVTVIYTVVTPMLNPFIYSLRNKDMKQA LRKLAKRTE >ENSMUSP00000044687.6 pep:known chromosome:GRCm38:2:132804207:132816054:-1 gene:ENSMUSG00000037376.14 transcript:ENSMUST00000039554.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt6 description:tRNA methyltransferase 6 [Source:MGI Symbol;Acc:MGI:1914176] MEASAAEQPSSPPPPLGDHCIHDGDFVVLKREDVFKAVQVQRRKKVTFEKQWFYLDNAIG HSYGSAFDVSSGGSLQLRKKLEEPASETKEAGTDNRNIVDDGKSQKLTQDDIKALKDKGI KGEEIVQQLIENSTTFRDKTEFAQDKYIKKKKKKYEAIVTILKPSTRILSIMYYAREPGK INHMRYDTLAQMLTLGNIRAGNKMIVMETCSGLVLGAMMERMGGFGSIIQLYPGDGPVRA ATACFGFPKSFLSGLYEFPLNKVNSLLNGTFSAEMLSSEPKDSTPVEESNGELEEKEIAE QADEDNIVDAAENNSGEQRPMEIVPGDPENKEPKEKRSKRDYIQEKQRRQEEQRKRHLEA AALLGERNADGLIVASRFHPTPLLLSLLDFVAPSRPFVVYCQYKEPLLECYTKLRERGGV INLRLSETWLRNYQVLPDRSHPKLLMSGGGGYLLSGFTVVSDSLRADPSLKSCTGALDPH KAEEPAAKKQKCMESAS >ENSMUSP00000005233.5 pep:known chromosome:GRCm38:2:118388618:118475234:1 gene:ENSMUSG00000005102.13 transcript:ENSMUST00000005233.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak4 description:eukaryotic translation initiation factor 2 alpha kinase 4 [Source:MGI Symbol;Acc:MGI:1353427] MAGGRGASGRGRAEPQESYSQRQDHELQALEAIYGSDFQDLRPDARGRVREPPEINLVLY PQGLAGEEVYVQVELRVKCPPTYPDVVPEIDLKNAKGLSNESVNLLKSHLEELAKKQCGE VMIFELAHHVQSFLSEHNKPPPKSFHEEMLERQAQEKQQRLLEARRKEEQEQREILHEIQ RRKEEIKEEKKRKEMAKQERLEITSLTNQDYASKRDPAGHRAAAILHGGSPDFVGNGKAR TYSSGRSRRERQYSVCSGEPSPGSCDILHFSVGSPDQLMVHKGRCVGSDEQLGKVVYNAL ETATGSFVLLHEWVLQWQKMGPCLTSQEKEKIDKCKRQIQGAETEFSSLVKLSHPNIVRY FAMNSREEEDSIVIDILAEHVSGISLATHLSHSGPVPAHQLRKYTAQLLAGLDYLHSNSV VHKVLSASSVLVDAEGTVKITDYSISKRLADICKEDVFEQARVRFSDSALPYKTGKKGDV WRLGLLLLSLSQGQECGEYPVTIPSDLPADFQDFLKKCVCLDDKERWSPQQLLKHSFINP QPKLPLVEQSPEDSGGQDYIETVIPSNQLPSAAFFSETQKQFSRYFIEFEELQLLGKGAF GAVIKVQNKLDGCCYAVKRIPINPASRHFRRIKGEVTLLSRLHHENIVRYYNAWIERHER PAVPGTPPPDCTPQAQDSPATCGKTSGDTEELGSVEAAAPPPILSSSVEWSTSAERSTST RFPVTGQDSSSDEEDEDERDGVFSQSFLPASDSDSDIIFDNEDENSKSQNQDEDCNQKDG SHEIEPSVTAEAVHYLYIQMEYCEKSTLRDTIDQGLFRDTSRLWRLFREILDGLAYIHEK GMIHRDLKPVNIFLDSDDHVKIGDFGLATDHLAFTAEGKQDDQAGDGVIKSDPSGHLTGM VGTALYVSPEVQGSTKSAYNQKVDLFSLGIIFFEMSYHPMVTASERIFVLNQLRDPTSPK FPDDFDDGEHTKQKSVISWLLNHDPAKRPTAMELLKSELLPPPQMEESELHEVLHHTLAN IDGKAYRTMMSQIFCQHISPAIDYTYDSDILKGNFLIRTAKIQQLVCETIVRVFKRHGAV QLCTPLLLPRNRQIYEHNEAALFMDHSGMLVMLPFDLRVPFARYVARNNILNLKRYCIER VFRPRKLDRFHPKELLECAFDIVTSTTNSSLPTAETIYTIYEIIQEFPALQERNYSIYLN HTMLLKAILLHCGIPEDKLSQVYVILYDAVTEKLTRREVEAKFCNLSLSSNSLCRLYKFI EQKGDLQDLTPTINSLIKQKTGVAQLVKYSLKDLEDVVGLLKKLGVKLQVSINLGLVYKV QQHTGIIFQFLAFSKRRQRVVPEILAAGGRYDLLIPKFRGPQTVGPVPTAVGVSIAIDKI FAAVLNMEEPVTVSSCDLLVVSVGQMSMSRAINLTQKLWTAGITAEIMYDWSQSQEELQE YCRHHEITYVALVSDKEGSHVKVKSFEKERQTEKRVLESDLVDHVMQKLRTKVGDERNFR DASDNLAVQTLKGSFSNASGLFEIHGTTVVPNVIVLAPEKLSASTRRRHEIQVQTRLQTT LANLHQKSSEIEILAVDLPKETILQFLSLEWDADEQAFNTTVKQLLSRLPKQRYLKLVCD EIYNIKVEKKVSVLFLYSYRDDYYRILF >ENSMUSP00000106498.1 pep:known chromosome:GRCm38:2:118388847:118475234:1 gene:ENSMUSG00000005102.13 transcript:ENSMUST00000110874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak4 description:eukaryotic translation initiation factor 2 alpha kinase 4 [Source:MGI Symbol;Acc:MGI:1353427] MRTQRALLVPEIDLKNAKGLSNESVNLLKSHLEELAKKQCGEVMIFELAHHVQSFLSEHN KPPPKSFHEEMLERQAQEKQQRLLEARRKEEQEQREILHEIQRRKEEIKEEKKRKEMAKQ ERLEITSLTNQDYASKRDPAGHRAAAILHGGSPDFVGNGKARTYSSGRSRRERQYSVCSG EPSPGSCDILHFSVGSPDQLMVHKGRCVGSDEQLGKVVYNALETATGSFVLLHEWVLQWQ KMGPCLTSQEKEKIDKCKRQIQGAETEFSSLVKLSHPNIVRYFAMNSREEEDSIVIDILA EHVSGISLATHLSHSGPVPAHQLRKYTAQLLAGLDYLHSNSVVHKVLSASSVLVDAEGTV KITDYSISKRLADICKEDVFEQARVRFSDSALPYKTGKKGDVWRLGLLLLSLSQGQECGE YPVTIPSDLPADFQDFLKKCVCLDDKERWSPQQLLKHSFINPQPKLPLVEQSPEDSGGQD YIETVIPSNQLPSAAFFSETQKQFSRYFIEFEELQLLGKGAFGAVIKVQNKLDGCCYAVK RIPINPASRHFRRIKGEVTLLSRLHHENIVRYYNAWIERHERPAVPGTPPPDCTPQAQDS PATCGKTSGDTEELGSVEAAAPPPILSSSVEWSTSAERSTSTRFPVTGQDSSSDEEDEDE RDGVFSQSFLPASDSDSDIIFDNEDENSKSQNQDEDCNQKDGSHEIEPSVTAEAVHYLYI QMEYCEKSTLRDTIDQGLFRDTSRLWRLFREILDGLAYIHEKGMIHRDLKPVNIFLDSDD HVKIGDFGLATDHLAFTAEGKQDDQAGDGVIKSDPSGHLTGMVGTALYVSPEVQGSTKSA YNQKVDLFSLGIIFFEMSYHPMVTASERIFVLNQLRDPTSPKFPDDFDDGEHTKQKSVIS WLLNHDPAKRPTAMELLKSELLPPPQMEESELHEVLHHTLANIDGKAYRTMMSQIFCQHI SPAIDYTYDSDILKGNFLIRTAKIQQLVCETIVRVFKRHGAVQLCTPLLLPRNRQIYEHN EAALFMDHSGMLVMLPFDLRVPFARYVARNNILNLKRYCIERVFRPRKLDRFHPKELLEC AFDIVTSTTNSSLPTAETIYTIYEIIQEFPALQERNYSIYLNHTMLLKAILLHCGIPEDK LSQVYVILYDAVTEKLTRREVEAKFCNLSLSSNSLCRLYKFIEQKGDLQDLTPTINSLIK QKTGVAQLVKYSLKDLEDVVGLLKKLGVKLQVSINLGLVYKVQQHTGIIFQFLAFSKRRQ RVVPEILAAGGRYDLLIPKFRGPQTVGPVPTAVGVSIAIDKIFAAVLNMEEPVTVSSCDL LVVSVGQMSMSRAINLTQKLWTAGITAEIMYDWSQSQEELQEYCRHHEITYVALVSDKEG SHVKVKSFEKERQTEKRVLESDLVDHVMQKLRTKVGDERNFRDASDNLAVQTLKGSFSNA SGLFEIHGTTVVPNVIVLAPEKLSASTRRRHEIQVQTRLQTTLANLHQKSSEIEILAVDL PKETILQFLSLEWDADEQAFNTTVKQLLSRLPKQRYLKLVCDEIYNIKVEKKVSVLFLYS YRDDYYRILF >ENSMUSP00000106496.1 pep:known chromosome:GRCm38:2:118389112:118475232:1 gene:ENSMUSG00000005102.13 transcript:ENSMUST00000110872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak4 description:eukaryotic translation initiation factor 2 alpha kinase 4 [Source:MGI Symbol;Acc:MGI:1353427] MIFELAHHVQSFLSEHNKPPPKSFHEEMLERQAQEKQQRLLEARRKEEQEQREILHEIQR RKEEIKEEKKRKEMAKQERLEITSLTNQDYASKRDPAGHRAAAILHGGSPDFVGNGKART YSSGRSRRERQYSVCSGEPSPGSCDILHFSVGSPDQLMVHKGRCVGSDEQLGKVVYNALE TATGSFVLLHEWVLQWQKMGPCLTSQEKEKIDKCKRQIQGAETEFSSLVKLSHPNIVRYF AMNSREEEDSIVIDILAEHVSGISLATHLSHSGPVPAHQLRKYTAQLLAGLDYLHSNSVV HKVLSASSVLVDAEGTVKITDYSISKRLADICKEDVFEQARVRFSDSALPYKTGKKGDVW RLGLLLLSLSQGQECGEYPVTIPSDLPADFQDFLKKCVCLDDKERWSPQQLLKHSFINPQ PKLPLVEQSPEDSGGQDYIETVIPSNQLPSAAFFSETQKQFSRYFIEFEELQLLGKGAFG AVIKVQNKLDGCCYAVKRIPINPASRHFRRIKGEVTLLSRLHHENIVRYYNAWIERHERP AVPGTPPPDCTPQAQDSPATCGKTSGDTEELGSVEAAAPPPILSSSVEWSTSAERSTSTR FPVTGQDSSSDEEDEDERDGVFSQSFLPASDSDSDIIFDNEDENSKSQNQDEDCNQKDGS HEIEPSVTAEAVHYLYIQMEYCEKSTLRDTIDQGLFRDTSRLWRLFREILDGLAYIHEKG MIHRDLKPVNIFLDSDDHVKIGDFGLATDHLAFTAEGKQDDQAGDGVIKSDPSGHLTGMV GTALYVSPEVQGSTKSAYNQKVDLFSLGIIFFEMSYHPMVTASERIFVLNQLRDPTSPKF PDDFDDGEHTKQKSVISWLLNHDPAKRPTAMELLKSELLPPPQMEESELHEVLHHTLANI DGKAYRTMMSQIFCQHISPAIDYTYDSDILKGNFLIRTAKIQQLVCETIVRVFKRHGAVQ LCTPLLLPRNRQIYEHNEAALFMDHSGMLVMLPFDLRVPFARYVARNNILNLKRYCIERV FRPRKLDRFHPKELLECAFDIVTSTTNSSLPTAETIYTIYEIIQEFPALQERNYSIYLNH TMLLKAILLHCGIPEDKLSQVYVILYDAVTEKLTRREVEAKFCNLSLSSNSLCRLYKFIE QKGDLQDLTPTINSLIKQKTGVAQLVKYSLKDLEDVVGLLKKLGVKLQVSINLGLVYKVQ QHTGIIFQFLAFSKRRQRVVPEILAAGGRYDLLIPKFRGPQTVGPVPTAVGVSIAIDKIF AAVLNMEEPVTVSSCDLLVVSVGQMSMSRAINLTQKLWTAGITAEIMYDWSQSQEELQEY CRHHEITYVALVSDKEGSHVKVKSFEKERQTEKRVLESDLVDHVMQKLRTKVGDERNFRD ASDNLAVQTLKGSFSNASGLFEIHGTTVVPNVIVLAPEKLSASTRRRHEIQVQTRLQTTL ANLHQKSSEIEILAVDLPKETILQFLSLEWDADEQAFNTTVKQLLSRLPKQRYLKLVCDE IYNIKVEKKVSVLFLYSYRDDYYRILF >ENSMUSP00000106494.1 pep:known chromosome:GRCm38:2:118412346:118475234:1 gene:ENSMUSG00000005102.13 transcript:ENSMUST00000110870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak4 description:eukaryotic translation initiation factor 2 alpha kinase 4 [Source:MGI Symbol;Acc:MGI:1353427] MVHKGRCVGSDEQLGKVVYNALETATGSFVLLHEWVLQWQKMGPCLTSQEKEKIDKCKRQ IQGAETEFSSLVKLSHPNIVRYFAMNSREEEDSIVIDILAEHVSGISLATHLSHSGPVPA HQLRKYTAQLLAGLDYLHSNSVVHKVLSASSVLVDAEGTVKITDYSISKRLADICKEDVF EQARVRFSDSALPYKTGKKGDVWRLGLLLLSLSQGQECGEYPVTIPSDLPADFQDFLKKC VCLDDKERWSPQQLLKHSFINPQPKLPLVEQSPEDSGGQDYIETVIPSNQLPSAAFFSET QKQFSRYFIEFEELQLLGKGAFGAVIKVQNKLDGCCYAVKRIPINPASRHFRRIKGEVTL LSRLHHENIVRYYNAWIERHERPAVPGTPPPDCTPQAQDSPATCGKTSGDTEELGSVEAA APPPILSSSVEWSTSAERSTSTRFPVTGQDSSSDEEDEDERDGVFSQSFLPASDSDSDII FDNEDENSKSQNQDEDCNQKDGSHEIEPSVTAEAVHYLYIQMEYCEKSTLRDTIDQGLFR DTSRLWRLFREILDGLAYIHEKGMIHRDLKPVNIFLDSDDHVKIGDFGLATDHLAFTAEG KQDDQAGDGVIKSDPSGHLTGMVGTALYVSPEVQGSTKSAYNQKVDLFSLGIIFFEMSYH PMVTASERIFVLNQLRDPTSPKFPDDFDDGEHTKQKSVISWLLNHDPAKRPTAMELLKSE LLPPPQMEESELHEVLHHTLANIDGKAYRTMMSQIFCQHISPAIDYTYDSDILKGNFLIR TAKIQQLVCETIVRVFKRHGAVQLCTPLLLPRNRQIYEHNEAALFMDHSGMLVMLPFDLR VPFARYVARNNILNLKRYCIERVFRPRKLDRFHPKELLECAFDIVTSTTNSSLPTAETIY TIYEIIQEFPALQERNYSIYLNHTMLLKAILLHCGIPEDKLSQVYVILYDAVTEKLTRRE VEAKFCNLSLSSNSLCRLYKFIEQKGDLQDLTPTINSLIKQKTGVAQLVKYSLKDLEDVV GLLKKLGVKLQVSINLGLVYKVQQHTGIIFQFLAFSKRRQRVVPEILAAGGRYDLLIPKF RGPQTVGPVPTAVGVSIAIDKIFAAVLNMEEPVTVSSCDLLVVSVGQMSMSRAINLTQKL WTAGITAEIMYDWSQSQEELQEYCRHHEITYVALVSDKEGSHVKVKSFEKERQTEKRVLE SDLVDHVMQKLRTKVGDERNFRDASDNLAVQTLKGSFSNASGLFEIHGTTVVPNVIVLAP EKLSASTRRRHEIQVQTRLQTTLANLHQKSSEIEILAVDLPKETILQFLSLEWDADEQAF NTTVKQLLSRLPKQRYLKLVCDEIYNIKVEKKVSVLFLYSYRDDYYRILF >ENSMUSP00000106501.1 pep:known chromosome:GRCm38:2:118388618:118428213:1 gene:ENSMUSG00000005102.13 transcript:ENSMUST00000110877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak4 description:eukaryotic translation initiation factor 2 alpha kinase 4 [Source:MGI Symbol;Acc:MGI:1353427] MAGGRGASGRGRAEPQESYSQRQDHELQALEAIYGSDFQDLRPDARGRVREPPEINLVLY PQGLAGEEVYVQVELRVKCPPTYPDVVPEIDLKNAKGLSNESVNLLKSHLEELAKKQCGE VMIFELAHHVQSFLSEHNKPPPKSFHEEMLERQAQEKQQRLLEARRKEEQEQREILHEIQ RRKEEIKEEKKRKEMAKQERLEITSLTNQDYASKRDPAGHRAAAILHGGSPDFVGNGKAR TYSSGRSRRERQYSVCSGEPSPGSCDILHFSVGSPDQLMVHKGRCVGSDEQLGKVVYNAL ETATGSFVLLHEWVLQWQKMGPCLTSQEKEKIDKCKRQIQGAETEFSSLVKLSHPNIVRY FAMNSREEEDSIVIDILAEHVSGISLATHLSHSGPVPAHQLRKYTAQLLAGLDYLHSNSV VHKVLSASSVLVDAEGTVKITDYSISKRLADICKEDVFEQARVRFSDSALPYKTGKKGDV WRLGLLLLSLSQGQECGEYPVTIPSDLPADFQDFLKKCVCLDDKERWSPQQLLKHSFINP QPKLPLVEQSPEDSGGQDYIETVIPSNQLPSAAFFSETQKQFSRYFIEFEELQLLGKGAF GAVIKVRQGCPQSPLSFLFPFHGLTGLVSILGVEREVNKIRLFEAGSTFTSRS >ENSMUSP00000106493.1 pep:known chromosome:GRCm38:2:118438842:118452290:1 gene:ENSMUSG00000005102.13 transcript:ENSMUST00000110869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak4 description:eukaryotic translation initiation factor 2 alpha kinase 4 [Source:MGI Symbol;Acc:MGI:1353427] MGEDSSSGHHNPLPLKSGNRVLSSVWEEAVDGLFIVFQQGMIHRDLKPVNIFLDSDDHVK IGDFGLATDHLAFTAEGKQDDQAGDGVIKSDPSGHLTGMVGTALYVSPEVQGSTKSAYNQ KVDLFSLGIIFFEMSYHPMVTASERIFVLNQLRDPTSPKFPDDFDDGEHTKQKSVISWLL NHDPAKRPTAMELLKSELLPPPQMEESELHEVLHHTLANIDGKAYRTMMSQIFCQHISPA IDYTYDSDILKGNFLIRTAKIQQLVCETIVRVFKRHGAVQLCTPLLLPRNRQIYEHNEAA LFMDHSGMLVMLPFDLRVSWDAAPLKTRPSQTPPLQPYPGEPHVGNT >ENSMUSP00000099586.3 pep:known chromosome:GRCm38:2:118389112:118475231:1 gene:ENSMUSG00000005102.13 transcript:ENSMUST00000102527.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak4 description:eukaryotic translation initiation factor 2 alpha kinase 4 [Source:MGI Symbol;Acc:MGI:1353427] MPTYIPRCVMIFELAHHVQSFLSEHNKPPPKSFHEEMLERQAQEKQQRLLEARRKEEQEQ REILHEIQRRKEEIKEEKKRKEMAKQERLEITSLTNQDYASKRDPAGHRAAAILHGGSPD FVGNGKARTYSSGRSRRERQYSVCSGEPSPGSCDILHFSVGSPDQLMVHKGRCVGSDEQL GKVVYNALETATGSFVLLHEWVLQWQKMGPCLTSQEKEKIDKCKRQIQGAETEFSSLVKL SHPNIVRYFAMNSREEEDSIVIDILAEHVSGISLATHLSHSGPVPAHQLRKYTAQLLAGL DYLHSNSVVHKVLSASSVLVDAEGTVKITDYSISKRLADICKEDVFEQARVRFSDSALPY KTGKKGDVWRLGLLLLSLSQGQECGEYPVTIPSDLPADFQDFLKKCVCLDDKERWSPQQL LKHSFINPQPKLPLVEQSPEDSGGQDYIETVIPSNQLPSAAFFSETQKQFSRYFIEFEEL QLLGKGAFGAVIKVQNKLDGCCYAVKRIPINPASRHFRRIKGEVTLLSRLHHENIVRYYN AWIERHERPAVPGTPPPDCTPQAQDSPATCGKTSGDTEELGSVEAAAPPPILSSSVEWST SAERSTSTRFPVTGQDSSSDEEDEDERDGVFSQSFLPASDSDSDIIFDNEDENSKSQNQD EDCNQKDGSHEIEPSVTAEAVHYLYIQMEYCEKSTLRDTIDQGLFRDTSRLWRLFREILD GLAYIHEKGMIHRDLKPVNIFLDSDDHVKIGDFGLATDHLAFTAEGKQDDQAGDGVIKSD PSGHLTGMVGTALYVSPEVQGSTKSAYNQKVDLFSLGIIFFEMSYHPMVTASERIFVLNQ LRDPTSPKFPDDFDDGEHTKQKSVISWLLNHDPAKRPTAMELLKSELLPPPQMEESELHE VLHHTLANIDGKAYRTMMSQIFCQHISPAIDYTYDSDILKGNFLIRTAKIQQLVCETIVR VFKRHGAVQLCTPLLLPRNRQIYEHNEAALFMDHSGMLVMLPFDLRVPFARYVARNNILN LKRYCIERVFRPRKLDRFHPKELLECAFDIVTSTTNSSLPTAETIYTIYEIIQEFPALQE RNYSIYLNHTMLLKAILLHCGIPEDKLSQVYVILYDAVTEKLTRREVEAKFCNLSLSSNS LCRLYKFIEQKGDLQDLTPTINSLIKQKTGVAQLVKYSLKDLEDVVGLLKKLGVKLQVSI NLGLVYKVQQHTGIIFQFLAFSKRRQRVVPEILAAGGRYDLLIPKFRGPQTVGPVPTAVG VSIAIDKIFAAVLNMEEPVTVSSCDLLVVSVGQMSMSRAINLTQKLWTAGITAEIMYDWS QSQEELQEYCRHHEITYVALVSDKEGSHVKVKSFEKERQTEKRVLESDLVDHVMQKLRTK VGDERNFRDASDNLAVQTLKGSFSNASGLFEIHGTTVVPNVIVLAPEKLSASTRRRHEIQ VQTRLQTTLANLHQKSSEIEILAVDLPKETILQFLSLEWDADEQAFNTTVKQLLSRLPKQ RYLKLVCDEIYNIKVEKKVSVLFLYSYRDDYYRILF >ENSMUSP00000130394.1 pep:known chromosome:GRCm38:16:18811779:18815357:1 gene:ENSMUSG00000005262.12 transcript:ENSMUST00000168822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufd1l description:ubiquitin fusion degradation 1 like [Source:MGI Symbol;Acc:MGI:109353] MFDHPIPRVFQNRFSTQYRCFSVSMLAGPNDSNYATLSPRS >ENSMUSP00000128186.1 pep:known chromosome:GRCm38:16:18811824:18834310:1 gene:ENSMUSG00000005262.12 transcript:ENSMUST00000172013.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ufd1l description:ubiquitin fusion degradation 1 like [Source:MGI Symbol;Acc:MGI:109353] MFSFNMFDHPIPRVFQNRFSTQYRCFSVSMLAGPNDSNYATLSPRSTQPAQHYLSYAV >ENSMUSP00000111241.3 pep:known chromosome:GRCm38:16:18811832:18835256:1 gene:ENSMUSG00000005262.12 transcript:ENSMUST00000115578.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufd1l description:ubiquitin fusion degradation 1 like [Source:MGI Symbol;Acc:MGI:109353] MFSFNMFDHPIPRVFQNRFSTQYRCFSVSMLAGPNDRSDVEKGGKIIMPPSALDQLSRLN ITYPMLFKLTNKNSDRMTHCGVLEFVADEGICYLPHWMMQNLLLEEGGLVQVESVNLQVA TYSKFQPQSPDFLDITNPKAVLENALRNFACLTTGDVIAINYNEKIYELRVMETKPDKAV SIIECDMNVDFDAPLGYKEPERPVQHEESIEGEADHSGYAGEVGFRAFSGSGNRLDGKKK GVEPSPSPIKPGDIKRGIPNYEFKLGKITFIRNSRPLVKKVEEDEAGGRFIAFSGEGQSL RKKGRKP >ENSMUSP00000131977.1 pep:known chromosome:GRCm38:16:18812321:18827697:1 gene:ENSMUSG00000005262.12 transcript:ENSMUST00000171789.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ufd1l description:ubiquitin fusion degradation 1 like [Source:MGI Symbol;Acc:MGI:109353] MFSFNMFDHPIPRVFQNRFSTQYRCFSVSMLAGPNDSNYATLSPRSTQ >ENSMUSP00000132341.1 pep:known chromosome:GRCm38:16:18812356:18825042:1 gene:ENSMUSG00000005262.12 transcript:ENSMUST00000163695.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ufd1l description:ubiquitin fusion degradation 1 like [Source:MGI Symbol;Acc:MGI:109353] MFSFNMFDHPIPRVFQNRFSTQYRCFSVSMLAGPNDRSDVEKGGKIIMPPSALDQLNKAV VRKAPGLLSLGL >ENSMUSP00000133217.1 pep:known chromosome:GRCm38:16:18827061:18828455:1 gene:ENSMUSG00000005262.12 transcript:ENSMUST00000172451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufd1l description:ubiquitin fusion degradation 1 like [Source:MGI Symbol;Acc:MGI:109353] XVEPSPSPIKPGDIKRGIPNYEFKLGKITFIRNSRPLVKKVEEVS >ENSMUSP00000005394.6 pep:known chromosome:GRCm38:16:18812294:18835261:1 gene:ENSMUSG00000005262.12 transcript:ENSMUST00000005394.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufd1l description:ubiquitin fusion degradation 1 like [Source:MGI Symbol;Acc:MGI:109353] MFSFNMFDHPIPRVFQNRFSTQYRCFSVSMLAGPNDRSDVEKGGKIIMPPSALDQLSRLN ITYPMLFKLTNKNSDRMTHCGVLEFVADEGICYLPHWMMQNLLLEEGGLVQVESVNLQVA TYSKFQPQSPDFLDITNPKAVLENALRNFACLTTGDVIAINYNEKIYELRVMETKPDKAV SIIECDMNVDFDAPLGYKEPERPVQHEESIEGEADHSGYAGEVGFRAFSGSGNRLDGKKK GVEPSPSPIKPGDIKRGIPNYEFKLGKITFIRNSRPLVKKVEEDEAGGRFIAFSGEGQSL RKKGRKP >ENSMUSP00000044871.8 pep:known chromosome:GRCm38:11:78328415:78342782:1 gene:ENSMUSG00000041958.10 transcript:ENSMUST00000048073.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigs description:phosphatidylinositol glycan anchor biosynthesis, class S [Source:MGI Symbol;Acc:MGI:2687325] MAAAGAAATDLEVVRGKRSALFFAAVAILLGLPLWWKTTETYRAPLPYSDISGLNALLLR LMVPVTVVFTRDSVPLDDQEKLPFTVVHEREIPLKYKMKIKCRFQKAYRRALEHEEEALS LGSVHEAEAMLAEPEKQAEGSLTVYVISEHSSLLPQDMMSYIGPERTAVVRGLIHREAFN IIGRRIVQVAQAMSLTEDVLAAALADHLPEDKWSSDKRRPLKSSLGYEITFSLLNPDPKS HDVHWDIEGAVQRFVQPFLNRLSVAGNFSVDSQILYYAMLGVNPRFDPASSSYSLAMHSL PHVINPVESRLGSSAASLYPVLHFLLYVPELAHSPLYIQDKDGAPVATNAFHSPRWGGIM VYNVDPKIYNASELPVRVEVDMVRVMEVFLAQLRLLFGIAQPQVPPKCLLSGPKSEGLMT WELDRLLWARSVENLATATTTLTSLAQLLGKISNIVIKDDVASEVYRAVAAVQKAAKALA LGHLSSAFAASQEAVTSSERAFFDPSLLHLLYFPDDQKFAIYIPLFLPMAVPILLSLVKI FQETRKSWKKPEKID >ENSMUSP00000133356.1 pep:known chromosome:GRCm38:11:100347327:100356128:-1 gene:ENSMUSG00000006930.15 transcript:ENSMUST00000138603.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hap1 description:huntingtin-associated protein 1 [Source:MGI Symbol;Acc:MGI:1261831] MRPKEQVQSGAGDGTGSGDPAAGTPTTQPAVGPAPEPSAEPKPAPAQGTGSGQKSGSRTK TGSFCRSMIIGDSDAPWTRYVFQGPYGPRATGLGTGKAEGIWKTPAAYIGRRPGVSGPER AAFIRELQEALCPNPPPTKKITEDDVKVMLYLLEEKERDLNTAARIGQSLVKQNSVLMEE NNKLETMLGSAREEILHLRKQVNLRDDLLQLYSDSDDDDDEEDEEDEEEGEEEEREGQRD QDQQHDHPYGAPKPHPKAETAHRCPQLETLQQKLRLLEEENDHLREEASHLDNLEDEEQM LILECVEQFSEASQQMAELSEVLVLRLEGYERQQKEITQLQAEITKLQQRCQSYGAQTEK LQQMLASEKGIHSESLRAGSYMQDYGSRPRDRQEDGKSHRQRSSMPAGSVTHYGYSVPLD ALPSFPETLAEELRTSLRKFITDPAYFMERRDTHCREGRKKEQRAMPPPPAQDLKPPEDF EAPEELVPEEELGAIEEVGTAEDGQAEENEQASEETEAWEEVEPEVDETTRMNVVVSALE ASGLGPSHLDMKYVLQQLSNWQDAHSKRQQKQKVVPKDSPTPQQQTNMGGGILEQQPRVP TQDSQRLEEDRATHSPSAREEEGPSGAT >ENSMUSP00000099413.4 pep:known chromosome:GRCm38:11:100348859:100356087:-1 gene:ENSMUSG00000006930.15 transcript:ENSMUST00000103124.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hap1 description:huntingtin-associated protein 1 [Source:MGI Symbol;Acc:MGI:1261831] MRPKEQVQSGAGDGTGSGDPAAGTPTTQPAVGPAPEPSAEPKPAPAQGTGSGQKSGSRTK TGSFCRSMIIGDSDAPWTRYVFQGPYGPRATGLGTGKAEGIWKTPAAYIGRRPGVSGPER AAFIRELQEALCPNPPPTKKITEDDVKVMLYLLEEKERDLNTAARIGQSLVKQNSVLMEE NNKLETMLGSAREEILHLRKQVNLRDDLLQLYSDSDDDDDEEDEEDEEEGEEEEREGQRD QDQQHDHPYGAPKPHPKAETAHRCPQLETLQQKLRLLEEENDHLREEASHLDNLEDEEQM LILECVEQFSEASQQMAELSEVLVLRLEGYERQQKEITQLQAEITKLQQRCQSYGAQTEK LQQMLASEKGIHSESLRAGSYMQDYGSRPRDRQEDGKSHRQRSSMPAGSVTHYGYSVPLD ALPSFPETLAEELRTSLRKFITDPAYFMERRDTHCREGRKKEQRAMPPPPAQDLKPPEDF EAPEELVPEEELGAIEEVGTAEDGQAEENEQASEETEAWEEVEPEVDETTRMNVVVSALE ASGLGPSHLDMKYVLQQLSNWQDAHSKRQQKQKVVPKGECSRRGHPPASGTSFRSSTI >ENSMUSP00000134050.2 pep:known chromosome:GRCm38:11:100349298:100354040:-1 gene:ENSMUSG00000006930.15 transcript:ENSMUST00000173630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hap1 description:huntingtin-associated protein 1 [Source:MGI Symbol;Acc:MGI:1261831] XEEEGEEEEREGQRDQDQQHDHPYGAPKPHPKAETAHRCPQLETLQQKLRLLEEENDHLR EEASHLDNLEDEEQMLILECVEQFSEASQQMAELSEVLVLRLEGYERQQKEITQLQAEIT KLQQRCQSYGAQTEKLQQMLASEKGIHSESLRAGSYMQDYGSRPRDRQEDGKSHRQRSSM PAGSVTHYGYSVPLDALPSFPETLAEELRTSLRKFITDPAYFMEREGRKKEQRAMPPPPA QDLKPPEDFEAPEELVPEEELGAIEEVGTAEDGQAEENEQASEETEAWEEVEPEVDETTR MNVVVSALEASGLGPSHLDMKYVLQQ >ENSMUSP00000133831.1 pep:known chromosome:GRCm38:11:100349418:100351905:-1 gene:ENSMUSG00000006930.15 transcript:ENSMUST00000174635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hap1 description:huntingtin-associated protein 1 [Source:MGI Symbol;Acc:MGI:1261831] YGAQTEKLQQMLASEKGIHSEDYGSRPRDRQEDGKSHRQRSSMPAGSVTHYGYSVPLDAL PSFPETLAEELRTSLRKFITDPAYFMERRDTHCREGRKKEQRAMPPPPAQDLKPPEDFEA PEELVPEEELGAIEEVGTAEDGQAEENEQASEETE >ENSMUSP00000134625.1 pep:known chromosome:GRCm38:11:100352256:100355383:-1 gene:ENSMUSG00000006930.15 transcript:ENSMUST00000146878.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hap1 description:huntingtin-associated protein 1 [Source:MGI Symbol;Acc:MGI:1261831] MLYLLEEKERDLNTAARIGQSLVKQNSVLMEENNKLETMLGSAREEILHLRKQVNLRDDL LQLYSDSDDDDDEEDEEDEEEGEEEEREGQRDQDQQHDHPYGAPKPHPKAETAHRCPQLE TLQQKLRLLEEENDHLREEASHLDNLEDEEQMLILECVEQFSEASQQMAELSEVLVLRLE G >ENSMUSP00000021513.3 pep:known chromosome:GRCm38:12:104471209:104473330:-1 gene:ENSMUSG00000021095.5 transcript:ENSMUST00000021513.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsc description:goosecoid homeobox [Source:MGI Symbol;Acc:MGI:95841] MPASMFSIDNILAARPRCKDAVLPVAPSAAAPVVFPALHGDSLYGAGGGTSSDYGAFYPR PVAPGGAGLPAAVGSSRLGYNSYFYGQLHVQAAPVGPACCGAVPPLGAQQCSCVPTPPGY EGPGSVLVSPVPHQMLPYMNVGTLSRTELQLLNQLHCRRKRRHRTIFTDEQLEALENLFQ ETKYPDVGTREQLARKVHLREEKVEVWFKNRRAKWRRQKRSSSEESENAEKWNKTSSKAS PEKREEEGKSDLDSDS >ENSMUSP00000072064.6 pep:known chromosome:GRCm38:9:106281061:106349879:1 gene:ENSMUSG00000023345.15 transcript:ENSMUST00000072206.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poc1a description:POC1 centriolar protein homolog A (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1917485] MAAPSQEDPSLERHFKGHRDAVTCVDFSLNTKHLASGSMDSTLMIWHMKPQSRAYRFTGH KDAVTCVNFSPSGHLLASGSRDKTVRIWVPNVKGESTVFRAHTATVRSVHFCSDGQSLVT ASDDKTVKVWSTHRQRFLFSLTQHINWVRCAKFSPDGRLIVSASDDKTVKLWDKTSRECI HSYCEHGGFVTYVDFHPSGTCIAAAGMDNTVKVWDARTHRLLQHYQLHSAAVNALSFHPS GNYLITASSDSTLKILDLMEGRLLYTLHGHQGPATTVAFSRTGEYFASGGSDEQVMVWKS NFDIVDYGDMKARRPPPLTSSSGTLPKMDLPVPPGRDRSLESVQGEPQESISMPQTLTST LEHIVGQLDILTQTVSILEQRLTLTEDRLKQCLENQQLIMQRTPP >ENSMUSP00000140374.1 pep:known chromosome:GRCm38:9:106281380:106349892:1 gene:ENSMUSG00000023345.15 transcript:ENSMUST00000191434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poc1a description:POC1 centriolar protein homolog A (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1917485] MAAPSQDPSLERHFKGHRDAVTCVDFSLNTKHLASGSMDSTLMIWHMKPQSRAYRFTGHK DAVTCVNFSPSGHLLASGSRDKTVRIWVPNVKGESTVFRAHTATVRSVHFCSDGQSLVTA SDDKTVKVWSTHRQRFLFSLTQHINWVRCAKFSPDGRLIVSASDDKTVKLWDKTSRECIH SYCEHGGFVTYVDFHPSGTCIAAAGMDNTVKVWDARTHRLLQHYQLHSAAVNALSFHPSG NYLITASSDSTLKILDLMEGRLLYTLHGHQGPATTVAFSRTGEYFASGGSDEQVMVWKSN FDIVDYGDMKARRPPPLTSSSGTLTVSILEQRLTLTEDRLKQCLENQQLIMQRTPP >ENSMUSP00000047487.6 pep:known chromosome:GRCm38:7:66109515:66173798:1 gene:ENSMUSG00000032640.10 transcript:ENSMUST00000036372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chsy1 description:chondroitin sulfate synthase 1 [Source:MGI Symbol;Acc:MGI:2681120] MAARGRRAWLSMLLGLVLGFVLASRLVLPRASELKRVGPRRRPSPEGCRPGQEASQPGGA RGDARGAQLWPQGSAAEGVPRDRNFLFVGVMTAQKYLQTRAVAAYRTWSKTIPGKVEFFS SEGSDTSIPIPVVPLRGVDDSYPPQKKSFMMLKYMHDHYLDKYEWFMRADDDVYIKGDRL ESFLRSLNSSEPLFLGQTGLGTTEEMGKLALEPGENFCMGGPGVILSREVLRRMAPHIGK CLREMYTTHEDVEVGRCVRRFAGVQCVWSYEMQQLFYENYEQNKKGYIRDLHSSKIHRAI TLHPNKNPPYQYRLHSYMLSRKIAELRHRTIQLHREIVLMSKYSSTEIQKEDLQLGIPPS FMRFQARQREEILEWEFLTGKYLYSATDGQPPRRGMDSAQREALDDIVMQVMEMINANAK TRGRIIDFKEIQYGYRRVNPMYGAEYILDLLLLYKKHKGKKMTVPVRRHAYLQQTFSKMQ FVEHEELDAQELADRINQDSGSLSFLSNSLKKLVAFQLPGSKTEHKEPKEKKINILIPLS GRFDMFVRFMGNFEKTCLIPNLNVKLVILLFNSDSNPDKAKQVELMRDYRVKYPKADMQV LPVSGGFSRALALEVGSSQFNNESLLFFCDVDLVFTAEFLQRCRANTVLGQQIYFPIIFS QYDPKIVYSGKVPSDNHFAFTQKTGFWRNYGFGITCIYKGDLVRVGGFDVSIQGWGLEDV DLFNKVVQAGLKTFRSQEVGVVHIHHPVVCDPNLDPKQYKMCLGSKASTFGSTQQLAEMW LEKNDPSYSKGGSHGSARTA >ENSMUSP00000113835.1 pep:known chromosome:GRCm38:16:91014037:91044543:-1 gene:ENSMUSG00000022974.12 transcript:ENSMUST00000118522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paxbp1 description:PAX3 and PAX7 binding protein 1 [Source:MGI Symbol;Acc:MGI:1914617] MFRKARRVNVRKRNDSEEEERERDEEQEPPPLLPPPASGEEPGPGGGDRAPAGESLLGPG PLPPPPSAHHPGLGAEAGGGISGGAEPGNGLKPRKRPRENKEVPRASLLSFQDEEEENEE VFKVKKSSYSKKIVKLLKKEYKEDLEKSKIKTELNTAADSDQPLDKTCHAKDTNPEDGVV ISEHGEDEMDMESEKEEEKPKAGGAFSNALSSLNVLRPGEIPDAAFIHAARKKRQLAREL GDFTPHDSEPGKGRLVREDENDASDDEDDDEKRRIVFSVKEKSQRQKIAEEIGIEGSDDD ALVTGEQDEELSRWEQEQIRKGINIPQVQASQPSEVNVYYQNTYQTMPYGASYGIPYSYT AYGSSDAKSQKTDNTVPFKTPSNEMAPVTIDLVKRQLKDRLDSMKELHKTNQQQHEKHLQ SRVDSTRAIERLEGSSGGIGERYKFLQEMRGYVQDLLECFSEKVPLINELESAIHQLYKQ RASRLVQRRQDDIKDESSEFSSHSNKALMAPNLDSFGRDRALYQEHAKRRIAEREARRTR RRQAREQTGQMADHLEGLSSDDEETSTDITNFNLEKDRILKESSKVFEDVLESFYSIDCI KAQFEAWRSKYYMSYKDAYIGLCLPKLFNPLIRLQLLTWTPLEAKCRDFETMLWFESLLF YGCEDREQEKDEADVALLPTIVEKVILPKLTVIAETMWDPFSTTQTSRMVGITMKLINGY PSVVNADNKNTQVYLKALLLRMRRTLDDDVFMPLYPKNVLENKNSGPYLFFQRQFWSSVK LLGNFLQWYGIFSNKTLQELSIDGLLNRYILMAFQNSEYGDDSIRKAQNVINCFPKQWFV NLKGERTISQLENFCRYLVHLADTIYRNSIGCSDVEKRNARENIKQIVKLLASVRALDHA ISVASDHNVKEVKSLIEGK >ENSMUSP00000023698.5 pep:known chromosome:GRCm38:16:91014916:91044164:-1 gene:ENSMUSG00000022974.12 transcript:ENSMUST00000023698.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Paxbp1 description:PAX3 and PAX7 binding protein 1 [Source:MGI Symbol;Acc:MGI:1914617] XPPSAHHPGLGAEAGGGISGGAEPGNGLKPRKRPRENKEVPRASLLSFQDEEEENEEVFK VKKSSYSKKIVKLLKKEYKEDLEKSKIKTELNTAADSDQPLDKTCHAKDTNPEDGVVISE HGEDEMDMESEKEEEKPKAGGAFSNALSSLNVLRPGEIPDAAFIHAARKKRQLARELGDF TPHDSEPGKGRLVREDENDASDDEDDDEKRRIVFSVKEKSQRQKIAEEIGIEGSDDDALV TGEQDEELSRWEQEQIRKGINIPQVQASQPSEVNVYYQNTYQTMPYGASYGIPYSYTAYG SSDAKSQKTDNTVPFKTPSNEMAPVTIDLVKRQLKDRLDSMKELHKTNQQQHEKHLQSRV DSTRAIERLEGSSGGIGERYKFLQEMRGYVQDLLECFSEKVPLINELESAIHQLYKQRAS RLVQRRQDDIKDESSEFSSHSSQSILKIKL >ENSMUSP00000117142.1 pep:known chromosome:GRCm38:16:91025556:91030514:-1 gene:ENSMUSG00000022974.12 transcript:ENSMUST00000145136.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Paxbp1 description:PAX3 and PAX7 binding protein 1 [Source:MGI Symbol;Acc:MGI:1914617] YKQRASRLVQRRQDDIKDESSEFSSHSSQSILKIKL >ENSMUSP00000028467.5 pep:known chromosome:GRCm38:2:84980461:84983632:1 gene:ENSMUSG00000027073.5 transcript:ENSMUST00000028467.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prg2 description:proteoglycan 2, bone marrow [Source:MGI Symbol;Acc:MGI:103294] MKFPLLLALLVGGASALHLSSETSDSKSPLMDENLPRDAEISGPEGEECPPGEELMPLEG EKEEGSGSEGVPGDEGAVSGQDVTDVDLQCPKEEDTTSLMGDSGCKTCRYLLVRRAECFD KAQSVCRRCYRGTLASIHSFSVNFGIQSAVRGINQGQVWIGGRIKGWGRCKRFRWVDGSS WNFAYWAAGQPCPGGGRCVTLCTQGGHWRLSHCVKRRPFICSY >ENSMUSP00000113693.1 pep:known chromosome:GRCm38:9:54366111:54501496:-1 gene:ENSMUSG00000041268.16 transcript:ENSMUST00000118600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmxl2 description:Dmx-like 2 [Source:MGI Symbol;Acc:MGI:2444630] MHLHQVLTGAVNPGDNCYSVGSVGDVPFTAYGSGCDIVILASDFECVQIIPGAKHGNIQV SCVECSNQHGRVAASYGNAVCIFEPLGVNSHKRNSQLKCQWLKTGQFFLSSVTYNLAWDP QDNRLLTATDSIQLWAPPGGDILEEEEDVDNRAPPVLNDWKCIWQCKTSVSVHLMEWSPD GEYFATAGKDDCLLKVWYPMTGWKSSIIPQDPHEVKRRRASTQFSFVYLAHPRAVTGFSW RKTSKYMPRGSVCNVLLTSCHDGVCRLWAETLLPEDCLLGEQICETTTSSVASNLSSAGK HKDRIQHALETIHHLKNLRKGQRRSSVLVTHAELMPDKTATHEVHRHISHHANALCHFHI AASINPTTDIPNVLVGTAFNIDDINGGFVVHWLNNKEFHFTSSTEIFMHQLRKLSEKQLD HESDDADREDEERSQDERERGLRMKLDHELSLDRESEAGTGSSEHEDGEREGSPRTHPRP SISMPLPTVLLDRKIETLLTEWNKNPDMLFTIHPVDGTFLVWHVKYLDEYNPGIFRQVQV SFSSRIPVAFPSGDANSLSKNIMMYACVNATKDSYNPSQQEMMSVDSPHGSQLHSPSHST DMNILAPTVMMVSKHIDGSLNQWAVTFADKSAFTTVLTVSHKFRYCGHRFHLNDLACHSV LPLLLTSSHHNALLTPESDCQWDSDSKVNRLIDPVKHTKASSKQPLRNAATRTFHDPNAI YSELILWRVDPIGPLSYTGGVSELARINSLHTSAFSNVAWLPTLIPSYCLGTYCNSASAC FVASDGKNLRLYQAVVDARKLLDELSDPEASKLIGEVFNIVSQQSTARPGCIIELDAITD QCGSNTQLLHVFQEDFIIGYKPHKEDMEKKEKESEIFFQPSQGYRPPPFSEKFFLVVIEK DGNNNSILHMWHLHLKSVQACLAKAAEGISSDSLLSVPGQKNLDSSPETSSSMSSVPHSS SIANLQTASKLILSSRLVYSQPLDLPEAVEVIRATPSAGHLSSSSIYPVCLAPYLVVTTC SDNKVRFWKCCMETNSLGNTSDESETYHWRRWPLMNDEGEDNSSTVSIVGRPVAVSCSYT GRLAVAYKQPIHHNGFISKEFSMHVCIFECESTGGSEWVLEQTIHLDDLVKVGSVLDSRV SVDSNLFVYSKSDAFLSKDRYLIPNIKHLVHLDWVSKEDGSHILTVGVGANIFMYGRLSG IVSDQTNSKDGVAVITLPLGGSIKQGVKSRWVLLRSIDLVSSVDGTPSLPVSLSWVRDGI LVVGMDCEMHVYAQWKHSVKFGNVDADSPVEETIQDHSALKSSMLARKSIVEGAAIPDDV FCSPTVVQDGGLFEAAHALSPTLPQYHPTQLLELMDLGKVRRAKAILSHLVKCIAGEVAI VRDPDAGEGTKRHLSRTISVSGSTAKDTVTIGKDGTRDYTEIDSIPPLPLHALLAADQDT SYRISEDSTKKPQSYEDHIESQSEDQYSELFQVQEITTDDIDLEPEKRENKSKVINLSQY GPACFGQEHARVLSSHLMHSSLPGLTRLEQMFLVALADTVATTSTELDENRDKNYSGRDT LDECGLRYLLAMRLHTCLLTSLPPLYRVQLLHQGVSTCHFAWAFHSEAEEELINMIPAIQ RGDPQWSELRAMGIGWWVRNVNTLRRCIEKVAKAAFQRNNEALDAALFYLSMKKKAVVWG LFRSQHDEKMTTFFSHNFNEDRWRKAALKNAFSLLGKQRFEQSAAFFLLAGSLKDAIEVC LEKMEDIQLAMVIARLFESEFETSSTYISILNQKILGCQKDGTGFDCKRLHPDPFLRSLA YWVVKDYTRALDTLLEQTPKEDDEQQVIIKSCNPVVFSFYNYLRTHPLLIRRNLASPEGT LATLGLKTEKNIADKINLIERKLFFTTANAHFKVGCPVLALEVLSKIPKVTKISSLTAKK DQLDSVSGRMENGPSESKPVSRSDGGSGADWSAVTSSQFDWSQPMVTVDEEPLRLDWGDD HDGALEEDDGGGLVMKTTDAKKAGQEQSASDPRALLTPQDEECADGDTEVDVIAEQLKFR ACLKILMTELRTLATGYEVDGGKLRFQLYNWLEKEIAALHEICNHESVIKEYSSKAHSTV ETERLDQEEMVDKPDIGSYERHQIERRRLQAKREHAERRKLWLQKNQDLLRVFLSYCSLH GAQGGGLASVRMELKFLLQESQQETTVKQLQSPLPLPTTLPLLSASIASTKTVIANPVLY LNNHIHDILYTIVQMKTPPHPSVEDVKVHTLHSLAASLSASIYQALCDSHSYSQSEGNQF TGMAYQGLLLSDRRRLRTESIEEHATPNSAPAQWPGVSSLINLLSSAQDEDQPKLNVLLC EAVVAVYLSLLIHALATNSSNELFRLAAHPLNNRMWAAVFGGGVKLVVKPRRQSESIAAP PVASEDMDKHRRRFNMRMLVPGRPVKDATPPPVPAERPSYKEKFIPPELSMWDYFVAKPF LPLSDSGVIYDSDESVHSDDEEDDAFFSDTQIQEHQDPNSYSWALLHLTMVKLALHNIKN FFPIAGLEFSELPVTSPLGIAVIKNLENWEQILQEKMDHFEGPPPNYVNTYPTDLSVGAG PAILRNKAMLEPENTPFKSRDSSALPVKRLWHFLVKQEVLQETFIRYIFTKKRKQSEVEA DLGYPGGKAKVIHKESDMIMAFSINKANCNEIVLASTHDVQELDVTSLLACQSYIWIGEE YDRESKSSDDIDYRGSTTTLYQPGAASHSSSQPHPPPSLPWLGSGQTSTGATVLMKRNLH NVKRMTSHPVHQYYLTGAQDGSVRMFEWTRPQQLVCFRQAGNARVTRLYFNSQGNKCGVA DGEGFLSIWQVNQTASNPKPYMSWQCHSKATSDFAFITSSSLVATSGHSNDNRNVCLWDT LISPGNSLIHGFTCHDHGATVLQYAPKQQLLISGGRKGYICIFDIRQRQLIHTFQAHDSA IKALALDSCEEYFTTGSAEGNIKVWRLTGHGLIHSFKSEHAKQSIFRNIGAGVMQIAISQ DNRLFSCGADGTLKTRVLPSAFNIPNRILDIL >ENSMUSP00000122293.1 pep:known chromosome:GRCm38:9:54415645:54474183:-1 gene:ENSMUSG00000041268.16 transcript:ENSMUST00000127880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmxl2 description:Dmx-like 2 [Source:MGI Symbol;Acc:MGI:2444630] MEWSPDGEYFATAGKDDCLLKVWYPMTGWKSSIIPQDPHEVKRRRASTQFSFVYLAHPRA VTGFSWRKTSKYMPRGSVCNVLLTSCHDGVCRLWAETLLPEDCLLGEQICETTTSSVASN LSSAGKHKDRIQHALETIHHLKNLRKGQRRSSVLVTHAELMPDKTATHEVHRHISHHANA LCHFHIAASINPTTDIPNVLVGTAFNIDDINGGFVVHWLNNKEFHFTSSTEIFMHQLRKL SEKQLDHESDDADREDEERSQDERERGLRMKLDHELSLDRESEAGTGSSEHEDGEREGSP RTHPRPSISMPLPTVLLDRKIETLLTEWNKNPDMLFTIHPVDGTFLVWHVKYLDEYNPGI FRQVQVSFSSRIPVAFPSGDANSLSKNIMMYACVNATKDSYNPSQQEMMSVDSPHGSQLH SPSHSTDMNILAPTVMMVSKHIDGSLNQWAVTFADKSAFTTVLTVSHKFRYCGHRFHLND LACHSVLPLLLTSSHHNALLTPESDCQWDSDSKVNRLIDPVKHTKASSKQPLRNAATRTF HDPNAIYSELILWRVDPIGPLSYTGGVSELARINSLHTSAFSNVAWLPTLIPSYCLGTYC NSASACFVASDGKNLRLYQAVVDARKLLDELSDPEASKLIGEVFNIVSQQSTARPGCIIE LDAITDQCGSNTQLLHVFQEDFIIGYKPHKEDMEKKEKESEIFFQPSQGYRPPPFSEKFF LVVIEKDGNNNSILHMWHLHLKSVQACLAKAAEGISSDSLLSVPGQKNLDSSPETSSSMS SVPHSSSIANLQTASKLILSSRLVYSQPLDLPEAVEVIRATPSAGHLSSSSIYPVCLAPY LVVTTCSDNKVRFWKCCMETNSLGNTSDESETYHWRRWPLMNDEGEDNSSTVSIVGRPVA VSCSYTGRLAVAYKQPIHHNGFISKEFSMHVCIFECESTGGSEWVLEQTIHLDDLVKVGS VLDSRVSVDSNLFVYSKSDAFLSKDRYLIPNIKHLVHLDWVSKEDGSHILTVGVGANIFM YGRLSGIVSDQTNSKDGVAVITLPLGGSIKQGVKSRWVLLRSIDLVSSVDGTPSLPVSLS WVRDGILVVGMDCEMHVYAQWKHSVKFGNVDADSPVEETIQDHSALKSSMLARKSIVEGA AIPDDVFCSPTVVQDGGLFEAAHALSPTLPQYHPTQLLELMDLGKVRRAKAILSHLVKCI AGEVAIVRDPDAGEGTKRHLSRTISVSGSTAKDTVTIGKDGTRDYTEIDSIPPLPLHALL AADQDTSYRISEDSTKKPQSYEDHIESQSEDQYSELFQVQEITTDDIDLEP >ENSMUSP00000113705.1 pep:known chromosome:GRCm38:9:54365158:54501626:-1 gene:ENSMUSG00000041268.16 transcript:ENSMUST00000118163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmxl2 description:Dmx-like 2 [Source:MGI Symbol;Acc:MGI:2444630] MHLHQVLTGAVNPGDNCYSVGSVGDVPFTAYGSGCDIVILASDFECVQIIPGAKHGNIQV SCVECSNQHGRVAASYGNAVCIFEPLGVNSHKRNSQLKCQWLKTGQFFLSSVTYNLAWDP QDNRLLTATDSIQLWAPPGGDILEEEEDVDNRAPPVLNDWKCIWQCKTSVSVHLMEWSPD GEYFATAGKDDCLLKVWYPMTGWKSSIIPQDPHEVKRRRASTQFSFVYLAHPRAVTGFSW RKTSKYMPRGSVCNVLLTSCHDGVCRLWAETLLPEDCLLGEQICETTTSSVASNLSSAGK HKDRIQHALETIHHLKNLRKGQRRSSVLVTHAELMPDKTATHEVHRHISHHANALCHFHI AASINPTTDIPNVLVGTAFNIDDINGGFVVHWLNNKEFHFTSSTEIFMHQLRKLSEKQLD HESDDADREDEERSQDERERGLRMKLDHELSLDRESEAGTGSSEHEDGEREGSPRTHPRP SISMPLPTVLLDRKIETLLTEWNKNPDMLFTIHPVDGTFLVWHVKYLDEYNPGIFRQVQV SFSSRIPVAFPSGDANSLSKNIMMYACVNATKDSYNPSQQEMMSVDSPHGSQLHSPSHST DMNILAPTVMMVSKHIDGSLNQWAVTFADKSAFTTVLTVSHKFRYCGHRFHLNDLACHSV LPLLLTSSHHNALLTPESDCQWDSDSKVNRLIDPVKHTKASSKQPLRNAATRTFHDPNAI YSELILWRVDPIGPLSYTGGVSELARINSLHTSAFSNVAWLPTLIPSYCLGTYCNSASAC FVASDGKNLRLYQAVVDARKLLDELSDPEASKLIGEVFNIVSQQSTARPGCIIELDAITD QCGSNTQLLHVFQEDFIIGYKPHKEDMEKKEKESEIFFQPSQGYRPPPFSEKFFLVVIEK DGNNNSILHMWHLHLKSVQACLAKAAEGISSDSLLSVPGQKNLDSSPETSSSMSSVPHSS SIANLQTASKLILSSRLVYSQPLDLPEAVEVIRATPSAGHLSSSSIYPVCLAPYLVVTTC SDNKVRFWKCCMETNSLGNTSDESETYHWRRWPLMNDEGEDNSSTVSIVGRPVAVSCSYT GRLAVAYKQPIHHNGFISKEFSMHVCIFECESTGGSEWVLEQTIHLDDLVKVGSVLDSRV SVDSNLFVYSKSDAFLSKDRYLIPNIKHLVHLDWVSKEDGSHILTVGVGANIFMYGRLSG IVSDQTNSKDGVAVITLPLGGSIKQGVKSRWVLLRSIDLVSSVDGTPSLPVSLSWVRDGI LVVGMDCEMHVYAQWKHSVKFGNVDADSPVEETIQDHSALKSSMLARKSIVEGAAIPDDV FCSPTVVQDGGLFEAAHALSPTLPQYHPTQLLELMDLGKVRRAKAILSHLVKCIAGEVAI VRDPDAGEGTKRHLSRTISVSGSTAKDTVTIGKDGTRDYTEIDSIPPLPLHALLAADQDT SYRISEDSTKKPQSYEDHIESQSEDQYSELFQVQEITTDDIDLEPEKRENKSKVINLSQY GPACFGQEHARVLSSHLMHSSLPGLTRLEQMFLVALADTVATTSTELDENRDKNYSGRDT LDECGLRYLLAMRLHTCLLTSLPPLYRVQLLHQGVSTCHFAWAFHSEAEEELINMIPAIQ RGDPQWSELRAMGIGWWVRNVNTLRRCIEKVAKAAFQRNNEALDAALFYLSMKKKAVVWG LFRSQHDEKMTTFFSHNFNEDRWRKAALKNAFSLLGKQRFEQSAAFFLLAGSLKDAIEVC LEKMEDIQLAMVIARLFESEFETSSTYISILNQKILGCQKDGTGFDCKRLHPDPFLRSLA YWVVKDYTRALDTLLEQTPKEDDEQQVIIKSCNPVVFSFYNYLRTHPLLIRRNLASPEGT LATLGLKTEKNIADKINLIERKLFFTTANAHFKVGCPVLALEVLSKIPKVTKISSLTAKK DQLDSVSGRMENGPSESKPVSRSDGGSGADWSAVTSSQFDWSQPMVTVDEEPLRLDWGDD HDGALEEDDGGGLVMKTTDAKKAGQEQSASDPRALLTPQDEECADGDTEVDVIAEQLKFR ACLKILMTELRTLATGYEVDGGKLRFQLYNWLEKEIAALHEICNHESVIKEYSSKAHSTV ETERLDQEEMVDKPDIGSYERHQIERRRLQAKREHAERRKLWLQKNQDLLRVFLSYCSLH GAQGGGLASVRMELKFLLQESQQETTVKQLQSPLPLPTTLPLLSASIASTKTVIANPVLY LNNHIHDILYTIVQMKTPPHPSVEDVKVHTLHSLAASLSASIYQALCDSHSYSSQSEGNQ FTGMAYQGLLLSDRRRLRTESIEEHATPNSAPAQWPGVSSLINLLSSAQDEDQPKLNVLL CEAVVAVYLSLLIHALATNSSNELFRLAAHPLNNRMWAAVFGGGVKLVVKPRRQSESIAA PPVASEDMDKHRRRFNMRMLVPGRPVKDATPPPVPAERPSYKEKFIPPELSMWDYFVAKP FLPLSDSGVIYDSDESVHSDDEEDDAFFSDTQIQEHQDPNSYSWALLHLTMVKLALHNIK NFFPIAGLEFSELPVTSPLGIAVIKNLENWEQILQEKMDHFEGPPPNYVNTYPTDLSVGA GPAILRNKAMLEPENTPFKSRDSSALPVKRLWHFLVKQEVLQETFIRYIFTKKRKQSESV EEHVEQVKHNSVAEDCHIKVEADLGYPGGKAKVIHKESDMIMAFSINKANCNEIVLASTH DVQELDVTSLLACQSYIWIGEEYDRESKSSDDIDYRGSTTTLYQPGAASHSSSQPHPPPS LPWLGSGQTSTGATVLMKRNLHNVKRMTSHPVHQYYLTGAQDGSVRMFEWTRPQQLVCFR QAGNARVTRLYFNSQGNKCGVADGEGFLSIWQVNQTASNPKPYMSWQCHSKATSDFAFIT SSSLVATSGHSNDNRNVCLWDTLISPGNSLIHGFTCHDHGATVLQYAPKQQLLISGGRKG YICIFDIRQRQLIHTFQAHDSAIKALALDSCEEYFTTGSAEGNIKVWRLTGHGLIHSFKS EHAKQSIFRNIGAGVMQIAISQDNRLFSCGADGTLKTRVLPSAFNIPNRILDIL >ENSMUSP00000117245.1 pep:known chromosome:GRCm38:9:54473870:54501760:-1 gene:ENSMUSG00000041268.16 transcript:ENSMUST00000131452.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dmxl2 description:Dmx-like 2 [Source:MGI Symbol;Acc:MGI:2444630] MHLHQVLTGAVNPGDNCYSVGSVGDVPFTAYGSGCDIVILASDFECVQIIPGAKHGNIQV SCVECSNQHGRCSFIWECCVYF >ENSMUSP00000119959.1 pep:known chromosome:GRCm38:9:54365260:54445420:-1 gene:ENSMUSG00000041268.16 transcript:ENSMUST00000123709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmxl2 description:Dmx-like 2 [Source:MGI Symbol;Acc:MGI:2444630] XKLLDELSDPEASKLIGEVFNIVSQQSTARPGCIIELDAITDQCGSNTQLLHVFQEDFII GYKPHKEDMEKKEKESEIFFQPSQGYRPPPFSEKFFLVVIEKDGNNNSILHMWHLHLKSV QACLAKAAEGISSDSLLSVPGQKNLDSSPETSSSMSSVPHSSSIANLQTASKLILSSRLV YSQPLDLPEAVEVIRATPSAGHLSSSSIYPVCLAPYLVVTTCSDNKVRFWKCCMETNSLG NTSDESETYHWRRWPLMNDEGEDNSSTVSIVGRPVAVSCSYTGRLAVAYKQPIHHNGFIS KEFSMHVCIFECESTGGSEWVLEQTIHLDDLVKVGSVLDSRVSVDSNLFVYSKSDAFLSK DRYLIPNIKHLVHLDWVSKEDGSHILTVGVGANIFMYGRLSGIVSDQTNSKDGVAVITLP LGGSIKQGVKSRWVLLRSIDLVSSVDGTPSLPVSLSWVRDGILVVGMDCEMHVYAQWKHS VKFGNVDADSPVEETIQDHSALKSSMLARKSIVEGAAIPDDVFCSPTVVQDGGLFEAAHA LSPTLPQYHPTQLLELMDLGKVRRAKAILSHLVKCIAGEVAIVRDPDAGEGTKRHLSRTI SVSGSTAKDTVTIGKDGTRDYTEIDSIPPLPLHALLAADQDTSYRISEDSTKKPQSYEDH IESQSEDQYSELFQVQEITTDDIDLEPEKRENKSKVINLSQYGPACFGQEHARVLSSHLM HSSLPGLTRLEQMFLVALADTVATTSTELDENRDKNYSGRDTLDECGLRYLLAMRLHTCL LTSLPPLYRVQLLHQGVSTCHFAWAFHSEAEEELINMIPAIQRGDPQWSELRAMGIGWWV RNVNTLRRCIEKVAKAAFQRNNEALDAALFYLSMKKKAVVWGLFRSQHDEKMTTFFSHNF NEDRWRKAALKNAFSLLGKQRFEQSAAFFLLAGSLKDAIEVCLEKMEDIQLAMVIARLFE SEFETSSTYISILNQKILGCQKDGTGFDCKRLHPDPFLRSLAYWVVKDYTRALDTLLEQT PKEDDEQQVIIKSCNPVVFSFYNYLRTHPLLIRRNLASPEGTLATLGLKTEKNIADKINL IERKLFFTTANAHFKVGCPVLALEVLSKIPKVTKISSLTAKKDQLDSVSGRMENGPSESK PVSRSDGGSGADWSAVTSSQFDWSQPMVTVDEEPLRLDWGDDHDGALEEDDGGGLVMKTT DAKKAGQEQSASDPRALLTPQDEECADGDTEVDVIAEQLKFRACLKILMTELRTLATGYE VDGGKLRFQLYNWLEKEIAALHEICNHESVIKEYSSKAHSTVETERLDQEEMVDKPDIGS YERHQIERRRLQAKREHAERRKLWLQKNQDLLRVFLSYCSLHGAQGGGLASVRMELKFLL QESQQETTVKQLQSPLPLPTTLPLLSASIASTKTVIANPVLYLNNHIHDILYTIVQMKTP PHPSVEDVKVHTLHSLAASLSASIYQALCDSHSYSSQSEGNQFTGMAYQGLLLSDRRRLR TESIEEHATPNSAPAQWPGVSSLINLLSSAQDEDQPKLNVLLCEAVVAVYLSLLIHALAT NSSNELFRLAAHPLNNRMWAAVFGGGVKLVVKPRRQSESIAAPPVASEDMDKHRRRFNMR MLVPGRPVKDATPPPVPAERPSYKEKFIPPELSMWDYFVAKPFLPLSDSGVIYDSDESVH SDDEEDDAFFSDTQIQEHQDPNSYSWALLHLTMVKLALHNIKNFFPIAGLEFSELPVTSP LGIAVIKNLENWEQILQEKMDHFEGPPPNYVNTYPTDLSVGAGPAILRNKAMLEPENTPF KSRDSSALPVKRLWHFLVKQEVLQETFIRYIFTKKRKQSEVEADLGYPGGKAKVIHKESD MIMAFSINKANCNEIVLASTHDVQELDVTSLLACQSYIWIGEEYDRESKSSDDIDYRGST TTLYQPGAASHSSSQPHPPPSLPWLGSGQTSTGATVLMKRNLHNVKRMTSHPVHQYYLTG AQDGSVRMFEWTRPQQLVCFRQAGNARVTRLYFNSQGNKCGVADGEGFLSIWQVNQTASN PKPYMSWQCHSKATSDFAFITSSSLVATSGHSNDNRNVCLWDTLISPGNSLIHGFTCHDH GATVLQYAPKQQLLISGGRKGYICIFDIRQRQLIHTFQAHDSAIKALALDSCEEYFTTGS AEGNIKVWRLTGHGLIHSFKSEHAKQSIFRNIGAGVMQIAISQDNRLFSCGADGTLKTRV LPSAFNIPNRILDIL >ENSMUSP00000125733.1 pep:known chromosome:GRCm38:12:38779380:38866249:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000160244.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] MDGFYDQQVPYVVTNSQRGRNCTEKPTNVRKRKFINRDLAHDSEELFQDLSQLQETWLAE AQVPDNDEQFVPDYQAESLAFHGLPLKIKKEPHSPCSELGSACSQEQPFKFSYGEKCLYN VSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNTAHTPKPDRAFPAHLPPSQSIPDSTY PMDHRFRRQLSEPCNSFPPLPTMPREGRPMYQRQMSEPNIPFPPQGFKQEYHDPVYEHTT MVGGAASQSFPPPLMIKQEPRDFAYDSGCMFEKGPRQFYDDTCVVPEKFDGDIKQEPGMY REGPTYQRRGSLQLWQFLVALLDDPSNSHFIAWTGRGMEFKLIEPEEVARRWGIQKNRPA MNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCDPEALFSMAFPDNQRPLLKTDMERHIN EEDTVPLSHFDESMTYMPEGGCCNPHPYNEGYVY >ENSMUSP00000093442.3 pep:known chromosome:GRCm38:12:38780082:38868215:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000095767.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] MDGFYDQQVPYVVTNSQRGRNCTEKPTNVRKRKFINRDLAHDSEELFQDLSQLQETWLAE AQVPDNDEQFVPDYQAESLAFHGLPLKIKKEPHSPCSELGSACSQEQPFKFSYGEKCLYN VSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNTAHTPKPDRAFPAHLPPSQSIPDSTY PMDHRFRRQLSEPCNSFPPLPTMPREGRPMYQRQMSEPNIPFPPQGFKQEYHDPVYEHTT MVGGAASQSFPPPLMIKQEPRDFAYDSEVPSCHSIYMRQEGFLAHPSRTEGCMFEKGPRQ FYDDTCVVPEKFDGDIKQEPGMYREGPTYQRRGSLQLWQFLVALLDDPSNSHFIAWTGRG MEFKLIEPEEVARRWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCDPEA LFSMAFPDNQRPLLKTDMERHINEEDTVPLSHFDESMTYMPEGGCCNPHPYNEGYVY >ENSMUSP00000125157.1 pep:known chromosome:GRCm38:12:38780284:38865779:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000162563.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] MDGFYDQQVPYVVTNSQRGRNCTEKPTNVRKRKFINRDLAHDSEELFQDLSQLQETWLAE AQVPDNDEQFVPDYQAESLAFHGLPLKIKKEPHSPCSELGSACSQEQPFKFSYGEKCLYN VSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNTAHTPKPDRAFPAHLPPSQSIPDSTY PMDHRFRRQLSEPCNSFPPLPTMPREGRPMYQRQMSEPNIPFPPQGFKQEYHDPVYEHTT MVGGAASQSFPPPLMIKQEPRDFAYDSEVPSCHSIYMRQEGFLAHPSRTEGCMFEKGPRQ FYDDTCVVPEKFDGDIKQEPGMYREGPTYQRRGSLQLWQFLVALLDDPSNSHFIAWTGRG MEFKLIEPEEVARRWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCDPEA LFSMAFPDNQRPLLKTDMERHINEEDTVPLSHFDESMTYMPEGGCCNPHPYNEGYVY >ENSMUSP00000124650.1 pep:known chromosome:GRCm38:12:38780319:38781813:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000161164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] MDGFYDQQVPYVVTNSQRGR >ENSMUSP00000124705.1 pep:known chromosome:GRCm38:12:38780349:38835258:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000160996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] MDGFYDQQVPYVVTNSQRGRNCTEKPTNVRKRKFINRDLAHDSEELFQDLSQLQETWLAE VAFHGLPLKIKKEPHSPCSELGSACSQEQPFKFSYGEKCLYNVSAYDQKPQVGMRPSNPP TPSSTPVSPLHHASPNTAHTPKPDRAFPAHLPPSQSIPDSTYPMDHRFRRQLSEPCNSFP PLPTMPREGRPMYQRQMSEPNIPFPPQGFKQEYHDPVYEHTTMVGGAASQ >ENSMUSP00000125692.1 pep:known chromosome:GRCm38:12:38780848:38865776:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000160856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] MDGFYDQQVPYVVTNSQRGRNCTEKPTNVRKRKFINRDLAHDSEELFQDLSQLQETWLAE VAFHGLPLKIKKEPHSPCSELGSACSQEQPFKFSYGEKCLYNVSAYDQKPQVGMRPSNPP TPSSTPVSPLHHASPNTAHTPKPDRAFPAHLPPSQSIPDSTYPMDHRFRRQLSEPCNSFP PLPTMPREGRPMYQRQMSEPNIPFPPQGFKQEYHDPVYEHTTMVGGAASQSFPPPLMIKQ EPRDFAYDSEVPSCHSIYMRQEGFLAHPSRTEGCMFEKGPRQFYDDTCVVPEKFDGDIKQ EPGMYREGPTYQRRGSLQLWQFLVALLDDPSNSHFIAWTGRGMEFKLIEPEEVARRWGIQ KNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCDPEALFSMAFPDNQRPLLKTDM ERHINEEDTVPLSHFDESMTYMPEGGCCNPHPYNEGYVY >ENSMUSP00000124166.1 pep:known chromosome:GRCm38:12:38781129:38835170:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000161513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] MSTMSARGDGGQQQLHSKFVAGFICHIEKNESQRGRNCTEKPTNVRKRKFINRDLAHDSE ELFQDLSQLQETWLAEAQVPDNDEQFVPDYQAESLAFHGLPLKIKKEPHSPCSELGSACS QEQPFKFSYGEKCLYNVSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNTAHTPKPDRA FPAHLPPSQSIPDSTYPNFAASFLNPVILFLLCRQCQGKGVLCTNARCLSQ >ENSMUSP00000124736.1 pep:known chromosome:GRCm38:12:38783470:38866176:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000161980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] MLQDLSAGVFFPPCSQHRTLVAFHGLPLKIKKEPHSPCSELGSACSQEQPFKFSYGEKCL YNVSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNTAHTPKPDRAFPAHLPPSQSIPDS TYPMDHRFRRQLSEPCNSFPPLPTMPREGRPMYQRQMSEPNIPFPPQGFKQEYHDPVYEH TTMVGGAASQSFPPPLMIKQEPRDFAYDSEVPSCHSIYMRQEGFLAHPSRTEGCMFEKGP RQFYDDTCVVPEKFDGDIKQEPGMYREGPTYQRRGSLQLWQFLVALLDDPSNSHFIAWTG RGMEFKLIEPEEVARRWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCDP EALFSMAFPDNQRPLLKTDMERHINEEDTVPLSHFDESMTYMPEGGCCNPHPYNEGYVY >ENSMUSP00000124019.1 pep:known chromosome:GRCm38:12:38783481:38865961:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000160701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] MLQDLSAGVFFPPCSQHRTLAQVPDNDEQFVPDYQAESLAFHGLPLKIKKEPHSPCSELG SACSQEQPFKFSYGEKCLYNVRFRRQLSEPCNSFPPLPTMPREGRPMYQRQMSEPNIPFP PQGFKQEYHDPVYEHTTMVGGAASQSFPPPLMIKQEPRDFAYDSEVPSCHSIYMRQEGFL AHPSRTEGCMFEKGPRQFYDDTCVVPEKFDGDIKQEPGMYREGPTYQRRGSLQLWQFLVA LLDDPSNSHFIAWTGRGMEFKLIEPEEVARRWGIQKNRPAMNYDKLSRSLRYYYEKGIMQ KVAGERYVYKFVCDPEALFSMAFPDNQRPLLKTDMERHINEEDTVPLSHFDESMTYMPEG GCCNPHPYNEGYVY >ENSMUSP00000125676.1 pep:known chromosome:GRCm38:12:38783509:38868203:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000159334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] MLQDLSAGVFFPPCSQHRTLAQVPDNDEQFVPDYQAESLAFHGLPLKIKKEPHSPCSELG SACSQEQPFKFSYGEKCLYNVSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNTAHTPK PDRAFPAHLPPSQSIPDSTYPMDHRFRRQLSEPCNSFPPLPTMPREGRPMYQRQMSEPNI PFPPQGFKQEYHDPVYEHTTMVGGAASQSFPPPLMIKQEPRDFAYDSEVPSCHSIYMRQE GFLAHPSRTEGCMFEKGPRQFYDDTCVVPEKFDGDIKQEPGMYREGPTYQRRGSLQLWQF LVALLDDPSNSHFIAWTGRGMEFKLIEPEEVARRWGIQKNRPAMNYDKLSRSLRYYYEKG IMQKVAGERYVYKFVCDPEALFSMAFPDNQRPLLKTDMERHINEEDTVPLSHFDESMTYM PEGGCCNPHPYNEGYVY >ENSMUSP00000124964.1 pep:known chromosome:GRCm38:12:38824681:38831216:1 gene:ENSMUSG00000004151.16 transcript:ENSMUST00000163063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv1 description:ets variant 1 [Source:MGI Symbol;Acc:MGI:99254] XPGMKYTVGSLQLSLSWWESLHLSCKVAFHGLPLKIKKEPHSPCSELGSACSQEQPFKFS YGEKCLYNVSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNTAHTPKPDRAFPA >ENSMUSP00000041388.3 pep:known chromosome:GRCm38:4:138972892:139059171:1 gene:ENSMUSG00000041143.16 transcript:ENSMUST00000043042.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco4 description:transmembrane and coiled-coil domains 4 [Source:MGI Symbol;Acc:MGI:1924306] MATWNRPHPRQPVAPEPAAEDDSQQPLGRELSEANRFAYAALCGFSLSQLFPEPEQSSFC TEFVTGLVKWLHLSESVLPTIMAFASGLGGEGADIFAQTLLQDPILRDNPSAVSQDLLSF SLKNGHYDARARVLVCHVTSLLQVPMEELDILEEVFLESLKDAKEEESETAEASRKRKEK RRKWKRYLLIGLATVGGGTVIGVTGGLAAPLVAAGAATIIGSAGAAALGSVAGIAVMTSL FGAAGAGLTGYKMKKRVGAIEEFMFLPLTEGRQLHITIAITGWLGSGRYRTFNAPWMALA RSQEQYCLAWEAKYLMELGNALETILSGLANMVAQEALKYTVLSGIVAALTWPASLLSVA NVIDNPWGVCLHRSAEVGKHLAHILLSRQQGRRPVTLIGFSLGARVIYFCLQEMAQEQDC QGIIEDVVLLGAPVEGDPKHWEPFRNVVSGRIINGYCRGDWLLSFVYRTSSVQLRVAGLQ PVLLQDRRMENVDLTSVVNGHLDYAKQMDAILKVVGIRTKPGWREKGLPLAPGSLPQEEP LQTAIVSTDEIILQDGQSQGPASEDSLKTTIPSSASQAQMPAGLNQSTEDSLSTAAAPAE GHLICSHGVGPNPLGCPDCTHGTQESCTELD >ENSMUSP00000059320.2 pep:known chromosome:GRCm38:4:138972905:139059167:1 gene:ENSMUSG00000041143.16 transcript:ENSMUST00000050949.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco4 description:transmembrane and coiled-coil domains 4 [Source:MGI Symbol;Acc:MGI:1924306] MATWNRPHPRQPVAPEPAAEDDSQQPLGRELSEANRFAYAALCGFSLSQLFPEPEQSSFC TEFVTGLVKWLHLSESVLPTIMAFASGLGGEGADIFAQTLLQDPILRDNPSAVSQDLLSF SLKNGHYDARARVLVCHVTSLLQVPMEELDILEEVFLESLKDAKEEESETAEASRKRKEK RRKWKRYLLIGLATVGGGTVIGVTGGLAAPLVAAGAATIIGSAGAAALGSVAGIAVMTSL FGAAGAGLTGYKMKKRVGAIEEFMFLPLTEGRQLHITIAITGWLGSGRYRTFNAPWMALA RSQEQYCLAWEAKYLMELGNALETILSGLANMVAQEALKYTVLSGIVAALTWPASLLSVA NVIDNPWGVCLHRSAEVGKHLAHILLSRQQGRRPVTLIGFSLGARVIYFCLQEMAQEQDC QGIIEDVVLLGAPVEGDPKHWEPFRNVVSGRIINGYCRGDWLLSFVYRTSSVQLRVAGLQ PVLLQDRRMENVDLTSVVNGHLDYAKQMDAILKVVGIRTKPGWREKGLPLAPGSLPQEEP LQTAIVSTDEIILQDGQSQGPASEDSLKTTIPSSASQAQMPAGLNQSTEDSLSTAAAPAE GHLICSHGVGPNPLGCPDCTHGTQESCTELD >ENSMUSP00000122817.1 pep:known chromosome:GRCm38:4:138972888:138990720:1 gene:ENSMUSG00000041143.16 transcript:ENSMUST00000123636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco4 description:transmembrane and coiled-coil domains 4 [Source:MGI Symbol;Acc:MGI:1924306] MATWNRPHPRQPVAPEPAAEDDSQQPLGRELSEANRFAYAALCGFSLSQLFPEPEQ >ENSMUSP00000021004.7 pep:known chromosome:GRCm38:12:30174483:30373375:-1 gene:ENSMUSG00000020672.13 transcript:ENSMUST00000021004.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sntg2 description:syntrophin, gamma 2 [Source:MGI Symbol;Acc:MGI:1919541] MSAEGSQSLAAPRGRPSHLLVPARTKTALALLYDEGLENAYDVRLKLTKEVLTIQKQDVV CIGGAPPGANHRTVTLRRQPVGGLGLSIKGGAEHGVPVVISKIFKDQAADQTEMLFIGDA VLQVNGINVENATHEEVVHLLRNAGDDVTITVEYLREAPSFLKLPLGSPGPSSDHSSRAS SPLFDSGLHLNGHCSHTAPSSPSSPIANEPKYEKRWLDTLSVPLSMARISRYKAGTEKLR SSALEVLALDGASTGVLQFSTAQDCADWLRSISTNISDLTLQHMKMANKCCSPCDQVVHM GWVNERLQGADNSQNFRPKFLALRGSSFYIFGAPPVSTLDWGRAERAYNLCEVLFKVHKF WLSDNYWLQANLYLGLQDFDCEDPRSYCFSVLANHGKSHIFSVELGSELAVWEKAFQRAT FMEVQRTGSKTYLCSWQGETLCFTVDFALGFTCFDGKTKNVLWRFKFSQLKGSSDDGKTR VKLLFQNLDTKQIETKELEFQDLTAVLHCIHSFIAAKVASLDPVFMDSQSMARRYLCSS >ENSMUSP00000115942.1 pep:known chromosome:GRCm38:12:30174482:30373328:-1 gene:ENSMUSG00000020672.13 transcript:ENSMUST00000142046.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sntg2 description:syntrophin, gamma 2 [Source:MGI Symbol;Acc:MGI:1919541] MSAEGSQSLAAPRGRPSHLLVPARTKTALALLYDEGLENAYDVRLKLTKEVLTIQKQDVV CIGGAPPGANNCYTSQTTCGWPGPKYQGRSRAWGPRRHI >ENSMUSP00000123332.1 pep:known chromosome:GRCm38:12:30174989:30373265:-1 gene:ENSMUSG00000020672.13 transcript:ENSMUST00000149710.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sntg2 description:syntrophin, gamma 2 [Source:MGI Symbol;Acc:MGI:1919541] MSAEGSQSLAAPRGRPSHLLVPARTKTALALLYDEGLENAYDVRLKLTKEVLTIQKQDVV CIGGAPPGANHRTVTLRRQPVGGLGLSIKGGAEHGVPVVISKIFKDQAADQTEMLFIGDA VLQVNGINVENATHEEVVHLLRNAGDDVTITVEYLREAPSFLKLPLGSPGPSSDHSSRAS SPLFDSGLHLNGHCSHTVQCIGGAGPGWSQHRSPSILHCPGLC >ENSMUSP00000114245.1 pep:known chromosome:GRCm38:12:30174994:30373328:-1 gene:ENSMUSG00000020672.13 transcript:ENSMUST00000133324.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sntg2 description:syntrophin, gamma 2 [Source:MGI Symbol;Acc:MGI:1919541] MSAEGSQSLAAPRGRPSHLLVPARTKTALALLYDEGLENAYDVRLKLTKEVLTIQKQDVV CIGGAPPGANTTCGWPGPKYQGRSRAWGPRRHI >ENSMUSP00000099708.4 pep:known chromosome:GRCm38:4:123116266:123118000:1 gene:ENSMUSG00000076438.5 transcript:ENSMUST00000102648.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxct2b description:3-oxoacid CoA transferase 2B [Source:MGI Symbol;Acc:MGI:2664115] MAALRLLAWALPRGVSALRPRPALPHRLIRRYVSDRSGSVHFYTDPVKAVEGVKDGSTVM LGGFGLCGIPENLIGALKTKGVKDLKIVSSNVGVDDFGLGILLASKQVRRVVCSYLGENA LCEKLYLAGELELEMTPQGTLAERIRAGGTGVPAFYTPTGYGTLVQEGGSPIRYAPDGHL ITLSEPREVREFQGRFYLLEHAIRADFALIKGWKADRSGNVIFRGSARNFNVPMCKAADI SVVEVEEIVDVGTFAPEDIHIPNIYVDRVIKGPKFEKRIERLTTRDSKPAPGSKDNDPSR TRIIKRAALEFQDGMYANLGIGIPVLASNYISPKMTVYLHSENGILGLGPFPLKNEVDAD VINAGKQTVTVVPGGCFFASDDSFAMIRGGHLQLTMLGAMQVSQYGDLANWMVPGKKVKG MGGAMDLVSSKKTRVVVTMEHCTKTKQPKILKKCTMPLTGKRCVDLIITEKAVFEVNHSK GLTLVELWEGSSVDDIKATTACSFAVSPNLKPMQQIKLDA >ENSMUSP00000029663.6 pep:known chromosome:GRCm38:3:132660499:132683884:-1 gene:ENSMUSG00000028029.10 transcript:ENSMUST00000029663.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aimp1 description:aminoacyl tRNA synthetase complex-interacting multifunctional protein 1 [Source:MGI Symbol;Acc:MGI:102774] MFLCRFWGKMATNDAVLKRLEQKGAEADQIIEYLKQQVALLKEKAILQATMREEKKLRVE NAKLKKEIEELKQELILAEIHNGVEQVRVRLSTPLQTNCTASESVVQSPSVATTASPATK EQIKAGEEKKVKEKTEKKGEKKEKQQSAAASTDSKPIDASRLDLRIGCIVTAKKHPDADS LYVEEVDVGEAAPRTVVSGLVNHVPLEQMQNRMVVLLCNLKPAKMRGVLSQAMVMCASSP EKVEILAPPNGSVPGDRITFDAFPGEPDKELNPKKKIWEQIQPDLHTNAECVATYKGAPF EVKGKGVCRAQTMANSGIK >ENSMUSP00000142534.1 pep:known chromosome:GRCm38:3:132674006:132684370:-1 gene:ENSMUSG00000028029.10 transcript:ENSMUST00000197793.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aimp1 description:aminoacyl tRNA synthetase complex-interacting multifunctional protein 1 [Source:MGI Symbol;Acc:MGI:102774] MATNDAVLKRLEQKGAEADQIIEYLKQQVALLKEKAILQATMREEKKLRVENAKLKKEIE ELKQELILAEIHNGVEQVRVRLSTPLQTNCTASESVVQSPSVATTASPATKEQIKA >ENSMUSP00000142513.1 pep:known chromosome:GRCm38:3:132674096:132684122:-1 gene:ENSMUSG00000028029.10 transcript:ENSMUST00000198513.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aimp1 description:aminoacyl tRNA synthetase complex-interacting multifunctional protein 1 [Source:MGI Symbol;Acc:MGI:102774] MATNDAVLKRLEQKGAEADQIIEYLKQQVALLKEKAILQATMREEKKLRVENAKLKKEIE ELKQELILAEIHNGVEQVRVRLSTPL >ENSMUSP00000142914.1 pep:known chromosome:GRCm38:3:132674112:132683837:-1 gene:ENSMUSG00000028029.10 transcript:ENSMUST00000196206.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aimp1 description:aminoacyl tRNA synthetase complex-interacting multifunctional protein 1 [Source:MGI Symbol;Acc:MGI:102774] MATNDAVLKRLEQKGAEADQIIEYLKQQVALLKEKAILQATMREEKKLRVENAKLKKEIE ELKQELILAEIHNGVEQVRV >ENSMUSP00000142365.1 pep:known chromosome:GRCm38:3:132674807:132684124:-1 gene:ENSMUSG00000028029.10 transcript:ENSMUST00000196963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aimp1 description:aminoacyl tRNA synthetase complex-interacting multifunctional protein 1 [Source:MGI Symbol;Acc:MGI:102774] MATNDAVLKRLEQKGAEADQIIEYLKQQVALLKEKAILQATMREEKKLRVENAKL >ENSMUSP00000030404.4 pep:known chromosome:GRCm38:4:123127115:123139951:-1 gene:ENSMUSG00000028651.12 transcript:ENSMUST00000030404.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppie description:peptidylprolyl isomerase E (cyclophilin E) [Source:MGI Symbol;Acc:MGI:1917118] MATTKRVLYVGGLAEEVDDKVLHAAFIPFGDITDIQIPLDYETEKHRGFAFVEFELAEDA AAAIDNMNESELFGRTIRVNLAKPMRIKEGSSRPVWSDDDWLKKFSGKTLEENKEEEGPE PPKAEAQEGEPTAKKARSNPQVYMDIKIGNKPAGRIQMLLRSDVVPMTAENFRCLCTHEK GFGFKGSSFHRIIPQFMCQGGDFTNHNGTGGKSIYGKKFDDENFILKHTGPGLLSMANSG PNTNGSQFFLTCDKTDWLDGKHVVFGEVTEGLDVLRQIEAQGSKDGKPKQKVMIADCGEY M >ENSMUSP00000119238.1 pep:known chromosome:GRCm38:16:50191844:50432390:-1 gene:ENSMUSG00000022641.15 transcript:ENSMUST00000138166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbx description:bobby sox homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917758] MKGSNRNKDHSTEGEGDGKRPKRKCLQWHPLLAKKLLDFSEEEEEDEEEEDIDKVQLLEA DGLEQDVAETEDDESPEQRARRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAV LDPKEKQKYTDMAKEYKDAFMKANPGYRWCPTTNKPVKSPTPTVNPRKKLWAFPPDSSRD LPTPKKAKTEVPQLNFGMADPTQMGGLSMLLLAGEHALGTPEASSGTCRPDISESPELRQ KSPLFQFAEISSRTSHPDAPSKQCQASALFQFAEISSSTSQLGGTEPVKRCGNSALFQLA EMCLASEGVKMEDTKLIKSKESDGGRIEEIEKGKEERGTEVEKTTETSFQKEAEFGKSAK GNVRESKDLRDIEQLQMDNVMAIKVEDPKEIRKEPEDDQKYSHFPDFSYSASSKIIISGV PSRKDHMCHPHGIMIIEDPTTLNKPEKIKKKKKKNKLDRHGNDKSTPKKTCKKRQSSESD IESVMYTIEAVAKGDWGVDKLGETPRKKVRPSSSGKGGILDAKPPKKKVKSKEKKVSKEK CSDITKESRPPDFLSISASKSVPGEVPEGIKAEPLTPTEDALPPSLPGQAKPEDSDCHRK TETCGSRKSERSCKGALYKTLVSEGMLTSLRANVDRGKRSSGKGNSSDHEGCWSEESWTF NQSGTSGSKKFKKKLREDSFLGSAKLDEEFEKKFNSLPQYSPITFDRKCVSTPRKKKKTG NMSSESTKTSKGSGDKWSHKQFFLDAIHPTEAIFSEDKSTTEPAFKVKNALSIPNTPEPT TMQEPLVGSQKRKARKTKITHLVRTADGRVSPAGGTLDDKPKEQLQRSLPKVPGTYCGDN CSHSTVEEPRSSTPDMPAVSAFFSLAALAEVAAMENVHRGQRSTPLTHDGQPKEMPQAPV LISCADQ >ENSMUSP00000086826.3 pep:known chromosome:GRCm38:16:50196616:50432389:-1 gene:ENSMUSG00000022641.15 transcript:ENSMUST00000089404.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbx description:bobby sox homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917758] MKGSNRNKDHSTEGEGDGKRPKRKCLQWHPLLAKKLLDFSEEEEEDEEEEDIDKVQLLEA DGLEQDVAETEDDESPEQRARRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAV LDPKEKQKYTDMAKEYKDAFMKANPGYRWCPTTNKPVKSPTPTVNPRKKLWAFPPDSSRD LPTPKKAKTEVPQLNFGMADPTQMGGLSMLLLAGEHALGTPEASSGTCRPDISESPELRQ KSPLFQFAEISSRTSHPDAPSKQCQASALFQFAEISSSTSQLGGTEPVKRCGNSALFQLA EMCLASEGVKMEDTKLIKSKESDGGRIEEIEKGKEERGTEVEKTTETSFQKEAEFGKSAK GNVRESKDLRDIEQLQMDNVMAIKVEDPKEIRKEPEDDQKYSHFPDFSYSASSKIIISGV PSRKDHMCHPHGIMIIEDPTTLNKPEKIKKKKKKNKLDRHGNDKSTPKKTCKKRQSSESD IESVMYTIEAVAKGDWGVDKLGETPRKKVRPSSSGKGGILDAKPPKKKVKSKEKKVSKEK CSDITKESRPPDFLSISASKSVPGEVPEGIKAEPLTPTEDALPPSLPGQAKPEDSDCHRK TETCGSRKSERSCKGALYKTLVSEGMLTSLRANVDRGKRSSGKGNSSDHEGCWSEESWTF NQSGTSGSKKFKKKLREDSFLGSAKLDEEFEKKFNSLPQYSPITFDRKCVSTPRKKKKTG NMSSESTKTSKAIFSEDKSTTEPAFKVKNALSIPNTPEPTTMQEPLVGSQKRKARKTKIT HLVRTADGRVSPAGGTLDDKPKEQLQRSLPKVPGTYCGDNCSHSTVEEPRSSTPDMPAVS AFFSLAALAEVAAMENVHRGQRSTPLTHDGQPKEMPQAPVLISCADQ >ENSMUSP00000066384.6 pep:known chromosome:GRCm38:16:50195780:50432340:-1 gene:ENSMUSG00000022641.15 transcript:ENSMUST00000066037.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbx description:bobby sox homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917758] MKGSNRNKDHSTEGEGDGKRPKRKCLQWHPLLAKKLLDFSEEEEEDEEEEDIDKYKDAFM KANPGYRWCPTTNKPVKSPTPTVNPRKKLWAFPPDSSRDLPTPKKAKTEVPQLNFGMADP TQMGGLSMLLLAGEHALGTPEISSRTSHPDAPSKQCQASALFQFAEISSSTSQLGGTEPV KRCGNSALFQLAEMCLASEGVKMEDTKLIKSKESDGGRIEEIEKGKEERGTEVEKTTETS FQKEAEFGKSAKGNVRESKDLRDIEQLQMDNVMAIKVEDPKEIRKEPEDDQKYSHFPDFS YSASSKIIISGVPSRKDHMCHPHGIMIIEDPTTLNKPEKIKKKKKKNKLDRHGNDKSTPK KTCKKRQSSESDIESVMYTIEAVAKGDWGVDKLGETPRKKVRPSSSGKGGILDAKPPKKK VKSKEKKVSKEKCSDITKESRPPDFLSISASKSVPGEVPEGIKAEPLTPTEDALPPSLPG QAKPEDSDCHRKTETCGSRKSERSCKGALYKTLVSEGMLTSLRANVDRGKRSSGKGNSSD HEGCWSEESWTFNQSGTSGSKKFKKKLREDSFLGSAKLDEEFEKKFNSLPQYSPITFDRK CVSTPRKKKKTGNMSSESTKTSKGPFQSQKKNLFHKIVSKYKHKKEKPNVPEKGSGDKWS HKQFFLDAIHPTEAIFSEDKSTTEPAFKVKNALSIPNTPEPTTMQEPLVGSQKRKARKTK ITHLVRTADGRVSPAGGTLDDKPKEQLQRSLPKVPGTYCGDNCSHSTVEEPRSSTPDMPA VSAFFSLAALAEVAAMENVHRGQRSTPLTHDGQPKEMPQAPVLISCADQ >ENSMUSP00000086821.4 pep:known chromosome:GRCm38:16:50210478:50432374:-1 gene:ENSMUSG00000022641.15 transcript:ENSMUST00000089399.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbx description:bobby sox homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917758] MKGSNRNKDHSTEGEGDGKRPKRKCLQWHPLLAKKLLDFSEEEEEDEEEEDIDKVQLLEA DGLEQDVAETEDDESPEQRARRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAV LDPKEKQKYTDMAKEYKDAFMKANPGYRWCPTTNKPVKSPTPTVNPRKKLWAFPPDSSRD LPTPKKAKTEVPQLNFGMADPTQMGGLSMLLLAGEHALGTPEASSGTCRPDISESPELRQ KSPLFQFAEISSRTSHPDAPSKQCQASALFQFAEISSSTSQLGGTEPVKRCGNSALFQLA EMCLASEGVKMEDTKLIKSKESDGGRIEEIEKGKEERGTEVEKTTETSFQKEAEFGKSAK GNVRESKDLRDIEQLQMDNVMAIKVEDPKEIRKEPEDDQKYSHFPDFSYSASSKIIISGV PSRKDHMCHPHGIMIIEDPTTLNKPEKIKKKKKKNKLDRHGNDKSTPKKTCKKRQSSESD IESVMYTIEAVAKGDWGVDKLGETPRKKVRPSSSGKGGILDAKPPKKKVKSKEKKVSKEK CSDITKESRPPDFLSISASKSVPGEVPEGIKAEPLTPTEDALPPSLPGQAKPEDSDCHRK TETCGSRKSERSCKGALYKTLVSEGMLTSLRANVDRGKRSSGKGNSSDHEGCWSEESWTF NQSGTSGSKKFKKKLREDSFLGSAKLDEEFEKKFNSLPQYSPITFDRKCVSTPRKKKKTG NMSSESTKTSKGPFQSQKKNLFHKIVSKYK >ENSMUSP00000110121.1 pep:known chromosome:GRCm38:16:50218557:50432389:-1 gene:ENSMUSG00000022641.15 transcript:ENSMUST00000114477.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbx description:bobby sox homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917758] MKGSNRNKDHSTEGEGDGKRPKRKCLQWHPLLAKKLLDFSEEEEEDEEEEDIDKVQLLEA DGLEQDVAETEDDESPEQRARRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAV LDPKEKQKYTDMAKEYKDAFMKANPGYRWCPTTNKPVKSPTPTVNPRKKLWAFPPDSSRD LPTPKKAKTEVPQLNFGMADPTQMGGLSMLLLAGEHALGTPEASSGTCRPDISESPELRQ KSPLFQFAEISSRTSHPDAPSKQCQASALFQFAEISSSTSQLGGTEPVKRCGNSALFQLA EMCLASEGVKMEDTKLIKSKESDGGRIEEIEKGKEERGTEVEKTTETSFQKEAEFGKSAK GNVRESKDLRDIEQLQMDNVMAIKVEDPKEIRKEPEDDQKYSHFPDFSYSASSKIIISGV PSRKDHMCHPHGIMIIEDPTTLNKPEKIKKKKKKNKLDRHGNDKSTPKKTCKKRQSSESD IESVMYTIEAVAKGDWGVDKLGETPRKKVRPSSSGKGGILDAKPPKKKVKSKEKKVSKEK CSDITKESRPPDFLSISASKSVPGEVPEGIKAEPLTPTEDALPPSLPGQAKPEDSDCHRK TETCGSRKSERSCKGALYKTLVSEGMLTSLRANVDRGKRSSGKGNSSDHEGCWSEESWTF NQSGTSGSKKFKKKLREDSFLGSAKLDEEFEKKFNSLPQYSPITFDRKCVSTPRKKKKTG NMSSESTKTSKG >ENSMUSP00000110132.1 pep:known chromosome:GRCm38:16:50197159:50330993:-1 gene:ENSMUSG00000022641.15 transcript:ENSMUST00000114488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbx description:bobby sox homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917758] MKGSNRNKDHSTEGEGDGKRPKRKCLQWHPLLAKKLLDFSEEEEEDEEEEDIDKVQLLEA DGLEQDVAETEDDESPEQRARRPMNAFLLFCKRHRSLVRQEHPRLDNRGATKILADWWAV LDPKEKQKYTDMAKEYKDAFMKANPGYRWCPTTNKPVKSPTPTVNPRKKLWAFPPDSSRD LPTPKKAKTEVPQLNFGMADPTQMGGLSMLLLAGEHALGTPEASSGTCRPDISESPELRQ KSPLFQFAEISSRTSHPDAPSKQCQASALFQFAEISSSTSQLGGTEPVKRCGNSALFQLA EMCLASEGVKMEDTKLIKSKESDGGRIEEIEKGKEERGTEVEKTTETSFQKEAEFGKSAK GNVRESKDLRDIEQLQMDNVMAIKVEDPKEIRKEPEDDQKYSHFPDFSYSASSKIIISGV PSRKDHMCHPHGIMIIEDPTTLNKPEKIKKKKKKNKLDRHGNDKSTPKKTCKKRQSSESD IESVMYTIEAVAKGDWGVDKLGETPRKKVRPSSSGKGGILDAKPPKKKVKSKEKKVSKEK CSDITKESRPPDFLSISASKSVPGEVPEGIKAEPLTPTEDALPPSLPGQAKPEDSDCHRK TETCGSRKSERSCKGALYKTLVSEGMLTSLRANVDRGKRSSGKGNSSDHEGCWSEESWTF NQSGTSGSKKFKKKLREDSFLGSAKLDEEFEKKFNSLPQYSPITFDRKCVSTPRKKKKTG NMSSESTKTSKGSGDKWSHKQFFLDAIHPTEAIFSEDKSTTEPAFKVKNALSIPNTPEPT TMQEPLVGSQKRKARKTKITHLVRTADGRVSPAGGTLDDKPKEQLQRSLPKVPGTYCGDN CSHSTVEEPRSSTPDMPAVSAFFSLAALAEVAAMENVHRGQRSTPLTHDGQPKEMPQAPV LISCADQ >ENSMUSP00000037341.5 pep:known chromosome:GRCm38:8:83566671:83571626:1 gene:ENSMUSG00000033938.5 transcript:ENSMUST00000036996.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb7 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 7 [Source:MGI Symbol;Acc:MGI:1914166] MGAHLTRRYLWDASVEPDPEKIPSFPPDLGFPERKERVMVATQQEMMDAQLTLQQRDYCA HYLIRLLKCKRDSFPNFLACKHEQHDWDYCEHLDYVKRMKEFERERRLLQRKKRRALKEA RVAQGQGEGEVGPEVAL >ENSMUSP00000027050.3 pep:known chromosome:GRCm38:1:10024601:10038127:-1 gene:ENSMUSG00000025917.9 transcript:ENSMUST00000027050.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops5 description:COP9 signalosome subunit 5 [Source:MGI Symbol;Acc:MGI:1349415] MAASGSGMAQKTWELANNMQEAQSIDEIYKYDKKQQQEILAAKPWTKDHHYFKYCKISAL ALLKMVMHARSGGNLEVMGLMLGKVDGETMIIMDSFALPVEGTETRVNAQAAAYEYMAAY IENAKQVGRLENAIGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFVAVVIDPTRTISAGK VNLGAFRTYPKGYKPPDEGPSEYQTIPLNKIEDFGVHCKQYYALEVSYFKSSLDRKLLEL LWNKYWVNTLSSSSLLTNADYTTGQVFDLSEKLEQSEAQLGRGSFMLGLETHDRKSEDKL AKATRDSCKTTIEAIHGLMSQVIKDKLFNQINVA >ENSMUSP00000140054.1 pep:known chromosome:GRCm38:1:10024843:10035128:-1 gene:ENSMUSG00000025917.9 transcript:ENSMUST00000190155.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cops5 description:COP9 signalosome subunit 5 [Source:MGI Symbol;Acc:MGI:1349415] XALLKMVMHARSGGNLEVMGLMLGKVDGETMIIMDSFALPVEGTETRVNAQAAAYEYMAA YIENAKQVGRLENAIGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFVAVVIDPTRTISAG KVNLGAFRTYPKILCLRSLIFQIIFGS >ENSMUSP00000140633.1 pep:known chromosome:GRCm38:1:10027198:10037941:-1 gene:ENSMUSG00000025917.9 transcript:ENSMUST00000186528.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cops5 description:COP9 signalosome subunit 5 [Source:MGI Symbol;Acc:MGI:1349415] MAASGSGMAQKTWELANNMQEAQSIDEIYKYDKKQQQEILAAKPWTKE >ENSMUSP00000140115.1 pep:known chromosome:GRCm38:1:10030687:10038149:-1 gene:ENSMUSG00000025917.9 transcript:ENSMUST00000188619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops5 description:COP9 signalosome subunit 5 [Source:MGI Symbol;Acc:MGI:1349415] MSLRRRRAPAREWSGPTSPPVSSVMAALRVYHHYFKYCKISALALLKMVMHARSGGNLEV MGLMLGKVDGETMIIMDSFALPVEGTETRVNAQAAAYEYMAAYIENAKQVGRLENAIGWY HSHPGYGCWLSGIDVSTQMLNQQFQEPFVAVVIDPTRTISAGKVNLGAFRTYPKGYKPPD EGPSEYQTIPLNKIEDFGVHCKQYYALEVS >ENSMUSP00000115172.1 pep:known chromosome:GRCm38:6:149139849:149144286:1 gene:ENSMUSG00000039958.17 transcript:ENSMUST00000126406.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl20 description:methyltransferase like 20 [Source:MGI Symbol;Acc:MGI:2443575] MAFSLCWKAPRSPWSFLQAVNNGSPLFLWRTVGSCLDPKMKAYLE >ENSMUSP00000042102.9 pep:known chromosome:GRCm38:6:149141513:149151171:1 gene:ENSMUSG00000039958.17 transcript:ENSMUST00000047531.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl20 description:methyltransferase like 20 [Source:MGI Symbol;Acc:MGI:2443575] MAFSLCWKAPRSPWSFLQAVNNGSPLFLWRTVGSCLDPKMKAYLEENTEVTSSGSLTPEI QLRLLTPRCKFWWERADLWPYSDPYWAIYWPGGQALSRYLLDNPAVVRGKSVLDLGSGCG ATAIAAKMSGASKILANDIDPIAGMAITLNCKLNGLNPFPVLTKNILNTQQGKFDLIVLG DMFYDEDLADSLHLWLQNYFWTHGTRVLIGDPGRPQFSGHSIRHQLYQLVEYTLPEPTQQ ENNGLTTSAVWDFHP >ENSMUSP00000107173.1 pep:known chromosome:GRCm38:6:149141516:149145132:1 gene:ENSMUSG00000039958.17 transcript:ENSMUST00000111548.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl20 description:methyltransferase like 20 [Source:MGI Symbol;Acc:MGI:2443575] MAFSLCWKAPRSPWSFLQAVNNGSPLFLWRTVGSCLDPKMKAYLEENTEVTSSGSLTPEI QLRLLTPRCKFWWERADLWPYSDPYWAIYWPGGQALSRYVSLKYRKSFLYAPIPTSISFS LAPYHSSRTRKPLRPMQVPTFIWFGLPSFW >ENSMUSP00000107171.1 pep:known chromosome:GRCm38:6:149141554:149145132:1 gene:ENSMUSG00000039958.17 transcript:ENSMUST00000111547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl20 description:methyltransferase like 20 [Source:MGI Symbol;Acc:MGI:2443575] MAFSLCWKAPRSPWSFLQAVNNGSPLFLWRTVGSCLDPKMKAYLEENTEVTSSGSLTPEI QLRLLTPRCKFWWERADLWPYSDPYWAIYWPGGQALSRYVSLKYRKSFLYAPIPTSISFS LAPYHSSRTRKPLRPMQVPTFIWFGLPSFW >ENSMUSP00000118701.1 pep:known chromosome:GRCm38:6:149141564:149150398:1 gene:ENSMUSG00000039958.17 transcript:ENSMUST00000134306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl20 description:methyltransferase like 20 [Source:MGI Symbol;Acc:MGI:2443575] MAFSLCWKAPRSPWSFLQAVNNGSPLFLWRTVGSCLDPKMKAYLEENTEVTSSGSLTPEI QLRLLTPRCKFWWERADLWPYSDPYWAIYWPGGQALSRYLLDNPAVVRGKSVLDLGSGCG ATAIAAKMSGASKILANDIDPIAGMAITLNCKLNGLNPFP >ENSMUSP00000144830.1 pep:known chromosome:GRCm38:6:149141574:149151171:1 gene:ENSMUSG00000039958.17 transcript:ENSMUST00000147934.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl20 description:methyltransferase like 20 [Source:MGI Symbol;Acc:MGI:2443575] MSGASKILANDIDPIAGMAITLNCKLNGLNPFPVLTKNILNTQQGKFDLIVLGDMFYDED LADSLHLWLQNYFWTHGTRVLIGDPGRPQFSGHSIRHQLYQLVEYTLPEPTQQENNGLTT SAVWDFHP >ENSMUSP00000126521.1 pep:known chromosome:GRCm38:6:149141638:149150413:1 gene:ENSMUSG00000039958.17 transcript:ENSMUST00000166416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl20 description:methyltransferase like 20 [Source:MGI Symbol;Acc:MGI:2443575] MAFSLCWKAPRSPWSFLQAVNNGSPLFLWRTVGSCLDPKMKAYLEENTEVTSSGSLTPEI QLRLLTPRCKFWWERADLWPYSDPYWAIYWPGGQALSRYLLDNPAVVRGKSVLDLGSGCG ATAIAAKMSGASKILANDIDPIAGMAITLNCKLNGLNPFPVLTKN >ENSMUSP00000107176.1 pep:known chromosome:GRCm38:6:149141646:149151171:1 gene:ENSMUSG00000039958.17 transcript:ENSMUST00000111551.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl20 description:methyltransferase like 20 [Source:MGI Symbol;Acc:MGI:2443575] MAFSLCWKAPRSPWSFLQAVNNGSPLFLWRTVGSCLDPKMKAYLEENTEVTSSGSLTPEI QLRLLTPRCKFWWERADLWPYSDPYWAIYWPGGQALSRYLLDNPAVVRGKSVLDLGSGCG ATAIAAKMSGASKILANDIDPIAGMAITLNCKLNGLNPFPVLTKNILNTQQGKFDLIVLG DMFYDEDLADSLHLWLQNYFWTHGTRVLIGDPGRPQFSGHSIRHQLYQLVEYTLPEPTQQ ENNGLTTSAVWDFHP >ENSMUSP00000136167.1 pep:known chromosome:GRCm38:6:149141513:149151166:1 gene:ENSMUSG00000039958.17 transcript:ENSMUST00000179873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl20 description:methyltransferase like 20 [Source:MGI Symbol;Acc:MGI:2443575] MAFSLCWKAPRSPWSFLQAVNNGSPLFLWRTVGSCLDPKMKAYLEENTEVTSSGSLTPEI QLRLLTPRCKFWWERADLWPYSDPYWAIYWPGGQALSRYLLDNPAVVRGKSVLDLGSGCG ATAIAAKMSGASKILANDIDPIAGMAITLNCKLNGLNPFPVLTKNILNTQQGKFDLIVLG DMFYDEDLADSLHLWLQNYFWTHGTRVLIGDPGRPQFSGHSIRHQLYQLVEYTLPEPTQQ ENNGLTTSAVWDFHP >ENSMUSP00000056145.6 pep:known chromosome:GRCm38:2:129268210:129297212:-1 gene:ENSMUSG00000048327.6 transcript:ENSMUST00000052708.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckap2l description:cytoskeleton associated protein 2-like [Source:MGI Symbol;Acc:MGI:1917716] MVGPGPTASAAAEERWQKLQEYLAAKGKLKDPNAKPYLKAKNICPKPPPSKYTPGPKKDV SNHVLPSKTTRPINIKFQTKPASITASQKPESKPPKLPSRGLTSRCFSSNTDCKQSSKPQ QQPRAVSFTAGLSRNPRQCPDIQELKTKQQQQAHGGNAKCTHPETNTHAAKQPVDGFPDE TNKENLPQALPKPEKPDPELHSIRKPNTGSSNQTQKGLAPKQILSKSSVTQTALKDRANK QFIRNTQIRTQAVKSRPRPTVADSTRPREKPPQTAPSHSVPAHNKTQTSKKPMTKNTQDI TVNRVRYGKPNETKIESCPATEQKVKHTKPSSQLNVLQGGHNSRHPNMRQDQKPVQPHLG PQTSCVLQKSRAISQRPNLTARNFNSVIPSTPNMRANKTLNNKYNNIFQQKAQTLDSKFR KFPPQSHFLNKTAPRTQASTAAASRKGAPSATQTHPHGKKPEGEDRRKQLEEWQKSKGKT YKRPPMKFKTKRKVIEEMNTSFWKSIEREEEEKKAQLELSKKIDSTLTECLRLIEEGVLP NEIFTIVSSIPEAEKFAKFWVCKAKLLASKGTFDAIGLYEEAIQNGATPVQELQEVLNVL QDPCRSTEAVTSDTSAAGTNTTSAEELAKEESEQPCPSLTEMEPIAAAAPRIPVSEWDNH GIKLQVAPIPRICGMPEVQDMKLITPVRRSARIERTVARYPEMLQEHDVVVASLNELLEV DKTECFIFRENEALPVTLGFEVLES >ENSMUSP00000099864.1 pep:known chromosome:GRCm38:2:34674594:34755232:-1 gene:ENSMUSG00000026867.18 transcript:ENSMUST00000102800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapvd1 description:GTPase activating protein and VPS9 domains 1 [Source:MGI Symbol;Acc:MGI:1913941] MVKLDIHTLAHHLKQERLYVSSEKQLIQRLNADVLKTAEKLYRTAWIAKQQRINLDRLII TSAEASPAECCQHAKILEDTQFVDGYKQLGFQETAYGEFLSRLRENPRLIASSLVAGEKL NQENTQSVIYTVFTSLYGNCIMQEDESYLLQVLRYLIEFELKESDNPRRLLRRGTCAFSI LFKLFSEGLFSAKLFLTATLHEPIMQLLVEDEDHLETDPNKLIERFSPAQQEKLFGEKGS DRFRQKVQEMVDSNEAKLVALVNKFIGYLKQNTYCFPHSLRWIVSQMYKTLSCVDRLEVG EVRAMCTDLLLACFICPAVVNPEQYGIISDAPINEVARFNLMQVGRLLQQLAMTGTEEGD PRTKNSLGKFDKGCVAAFLDVVIGGRAVETPPMSSVNLLEGLSRTVVYISYSQLITLVNF MKSVMSGDQLKEDRMALDNLLANLPQAKPGKSSSLDMTPYSTPQMSPATTPANKKNRLPI ATRSRSRSNMLMDLHMDHEGSSQETIQEVQPEEVLVISLGTGPQLTPGMMSENEVLNMQL SDGGQGDVPVDENKLHGPSNRSNSVSSLDLEGESVSELGAGPSGSNGVEALQLLEHEQAT TQDNLDDKLRKFEIRDMMGLTDDRDISETVSETWSTDVLGSDFDPNVDEDRLQEIAGAAA ENVLGSLLCLPGSGSVLLDPCTGSTISETTSEAWSVEVLPSDSEAPDLKQEERLQELESC SGLGSTSDDTDVREVSSRPSTPGLSVVSGISATSEDIPNKIEDLRSECSSDFGGKDSVTS PDMDDIAHGAHQLTSPPSQSESLLAMFDPLSSHEGASAVVRPKVHYARPSHPPPDPPILE GAVGGNEARLPNFGSHVLTAAEMEAFKQRHSYPERLVRSRSSDIVSSVRRPMSDPSWNRR PGNEELPPAAATGATSLVAAPHSSSSSPSKDSSRGETEERKDSDDERSDRSRPWWRKRFV SAMPKAPIPFRKKEKQEKDKDDLGPDRFSTLTDEPSPRLSAQAQVAEDILDKYRNAIKRT SPSEGAMANDESAEVMGDGESAHDSPREEALQNISADDLPDSASQAAHPQDSAFSYRDVK KKLRLALCSADSVAFPVLTHSTRNGLPDHTDPEDNEIVCFLKVQIAEAINLQDKSLMAQL QETMRCVCRFDNRTCRKLLASIAEDYRKRAPYIAYLTRCRQGLQTTQAHLERLLQRVLRD KEVANRYFTTVCVRLLLESKEKKIREFIQDFQKLTAADDKTAQVEDFLQFLYGVMAQDVI WQNASEEQLQDAQLAIERSVMNRIFKLAFYPNQDGDILRDQVLHEHIQRLSKVVTANHRA LQIPEVYLREAPWPSAQSEIRTISAYKTPRDKVQCILRMCSTIMNLLSLANEDSVPGADD FVPVLVFVLIKANPPCLLSTVQYISSFYASCLSGEESYWWMQFTAAVEFIKTIDDRK >ENSMUSP00000108735.1 pep:known chromosome:GRCm38:2:34676184:34717297:-1 gene:ENSMUSG00000026867.18 transcript:ENSMUST00000113111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapvd1 description:GTPase activating protein and VPS9 domains 1 [Source:MGI Symbol;Acc:MGI:1913941] XRFSLCSDNLEGISEGPSNRSNSVSSLDLEGESVSELGAGPSGSNGVEALQLLEHEQATT QDNLDDKLRKFEIRDMMGLTDDRDISETVSETWSTDVLGSDFDPNVDEDRLQEIAGAAAE NVLGSLLCLPGSGSVLLDPCTGSTISETTSEAWSVEVLPSDSEAPDLKQEERLQELESCS GLGSTSDDTDVREVSSRPSTPGLSVVSGISATSEDIPNKIEDLRSECSSDFGGKDSVTSP DMDDIAHGAHQLTSPPSQSESLLAMFDPLSSHEGASAVVRPKVHYARPSHPPPDPPILEG AVGGNEARLPNFGSHVLTAAEMEAFKQRHSYPERLVRSRSSDIVSSVRRPMSDPSWNRRP GNEELPPAAATGATSLVAAPHSSSSSPSKDSSRGETEERKDSDDERSDRSRPWWRKRFVS AMPKAPIPFRKKEKQEKDKDDLGPDRFSTLTDEPSPRLSAQAQVAEDILDKYRNAIKRTS PSEGAMANDESAEVMGDGESAHDSPREEALQNISADDLPDSASQAAHPQDSAFSYRDVKK KLRLALCSADSVAFPVLTHSTRNGLPDHTDPEDNEIVCFLKVQIAEAINLQDKSLMAQLQ ETMRCVCRFDNRTCRKLLASIAEDYRKRAPYIAYLTRCRQGLQTTQAHLERLLQRVLRDK EVANRYFTTVCVRLLLESKEKKIREFIQDFQKLTAADDKTAQVEDFLQFLYGVMAQDVIW QNASEEQLQDAQLAIERSVMNRIFKLAFYPNQDGDILRDQVLHEHIQRLSKVVTANHRAL QIPEVYLREAPWPSAQSEIRTISAYKTPRDKVQCILRMCSTIMNLLSLANEDSVPGADDF VPVLVFVLIKANPPCLLSTVQYISSFYASCLSGEESYWWMQFTAAVEFIKTIDDRK >ENSMUSP00000108725.1 pep:known chromosome:GRCm38:2:34677483:34704386:-1 gene:ENSMUSG00000026867.18 transcript:ENSMUST00000113101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapvd1 description:GTPase activating protein and VPS9 domains 1 [Source:MGI Symbol;Acc:MGI:1913941] XEAFKQRHSYPERLVRSRSSDIVSSVRRPMSDPSWNRRPGNEELPPAAATGATSLVAAPH SSSSSPSKDSSRGETEERKDSDDERSDRSRPWWRKRFVSAMPKDEPSPRLSAQAQVAEDI LDKYRNAIKRTSPSEGAMANDESAEVMGDGESAHDSPREEALQNISADDLPDSASQAAHP QDSAFSYRDVKKKLRLALCSADSVAFPVLTHSTRNGLPDHTDPEDNEIVCFLKVQIAEAI NLQDKSLMAQLQETMRCVCRFDNRTCRKLLASIAEDYRKRAPYIAYLTRCRQGLQTTQAH LERLLQRVLRDKEVANRYFTTVCVRLLLESKEKKIREFIQDFQKLTAADDKTAQVEDFLQ FLYGVMAQDVIWQNASEEQLQDAQLAIERSVMNRIFKLAFYPNQDGDILRDQVLHEHIQR LSKVVTANHRALQIPEVYLREAPWPSAQSEIRTISAYKTPRDKVQCILRMCSTIMNLLSL ANEDSVPGADDFVPVLVFVLIKANPPCLLSTVQYISSFYASCLSGEESYWWMQFTAAVEF IKTIDDRK >ENSMUSP00000127268.1 pep:known chromosome:GRCm38:2:34677894:34684293:-1 gene:ENSMUSG00000026867.18 transcript:ENSMUST00000138203.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gapvd1 description:GTPase activating protein and VPS9 domains 1 [Source:MGI Symbol;Acc:MGI:1913941] XSEEQLQDAQLAIERSVMNRIFKLAFYPNQDGDILRDQNVHLHMNFGWFSGFCMNISRDY LK >ENSMUSP00000120138.1 pep:known chromosome:GRCm38:2:34699870:34728947:-1 gene:ENSMUSG00000026867.18 transcript:ENSMUST00000137528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapvd1 description:GTPase activating protein and VPS9 domains 1 [Source:MGI Symbol;Acc:MGI:1913941] XNCIMQEDESYLLQVLRYLIEFELKESDNPRRLLRRGTCAFSILFKLFSEGLFSAKLFLT ATLHEPIMQLLVEDEDHLETDPNKLIERFSPAQQEKLFGEKGSDRFRQKVQEMVDSNEAK LVALVNKFIGYLKQNTYCFPHSLRWIVSQMYKTLSCVDRLEVGEVRAMCTDLLLACFICP AVVNPEQYGIISDAPINEVARFNLMQVGRLLQQLAMTGTEEGDPRTKNSLGKFDKGCVAA FLDVVIGGRAVETPPMSSVNLLEGLSRTVVYISYSQLITLVNFMKSVMSGDQLKEDRMAL DNLLANLPQAKPGKSSSLDMTPYSTPQMSPATTPANKKNRLPIATRSRSRSNMLMDLHMD HEGSSQETIQEVQPEEVLVISLGTGPQLTPGMMSENEVLNMQLSDGGQGDVPVDENKLHG KPDKTLRFSLCSDNLEGISEGPSNRSNSVSSLDLEGESVSELGAGPSGSNGVEALQLLEH EQATTQDNLDDKLRKFEIRDMMGLTDDRDISETVSETWSTDVLGSDFDPNVDEDRLQEIA GAAAENVLGSLLCLPGSGSVLLDPCTGSTISETTSEAWSVEVLPSDSEAPDLKQEERLQE LESCSGLGSTSDDTDVREVSSRPSTPGLSVVSGISATSEDIPNKIEDLRSECSSDFGGKD SVTSPDMDDIAHGASAVVRPKVHYARPSHPPPDPPILEGAVGGNEARLPNFGSHVLTAAE MEAFKQRHSYPERLVRSRSSDIVSSVRRPMSDPSWNRRPGNEELPPAAATGATSLVAAPH SSSSSPSKDSSRGETEERKDSDDERSDRSRPWWRKRFVSAMPKAPIPFRKKEKQE >ENSMUSP00000108727.2 pep:known chromosome:GRCm38:2:34699891:34728850:-1 gene:ENSMUSG00000026867.18 transcript:ENSMUST00000113103.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapvd1 description:GTPase activating protein and VPS9 domains 1 [Source:MGI Symbol;Acc:MGI:1913941] XLRRGTCAFSILFKLFSEGLFSAKLFLTATLHEPIMQLLVEDEDHLETDPNKLIERFSPA QQEKLFGEKGSDRFRQKVQEMVDSNEAKLVALVNKFIGYLKQNTYCFPHSLRWIVSQMYK TLSCVDRLEVGEVRAMCTDLLLACFICPAVVNPEQYGIISDAPINEVARFNLMQVGRLLQ QLAMTGTEEGDPRTKNSLGKFDKGCVAAFLDVVIGGRAVETPPMSSVNLLEGLSRTVVYI SYSQLITLVNFMKSVMSGDQLKEDRMALDNLLANLPQAKPGKSSSLDMTPYSTPQMSPAT TPANKKNRLPIGQQLAAITAWDSSATNLTAHIPLVTPFATRSRSRSNMLMDLHMDHEGSS QETIQEVQPEEVLVISLGTGPQLTPGMMSENEVLNMQLSDGGQGDVPVDENKLHGPSNRS NSVSSLDLEGESVSELGAGPSGSNGVEALQLLEHEQATTQDNLDDKLRKFEIRDMMGLTD DRDISETVSETWSTDVLGSDFDPNVDEDRLQEIAGAAAENVLGSLLCLPGSGSVLLDPCT GSTISETTSEAWSVEVLPSDSEAPDLKQEERLQELESCSGLGSTSDDTDVREVSSRPSTP GLSVVSGISATSEDIPNKIEDLRSECSSDFGGKDSVTSPDMDDIAHGAHQLTSPPSQSES LLAMFDPLSSHEGASAVVRPKVHYARPSHPPPDPPILEGAVGGNEARLPNFGSHVLTAAE MEAFKQRHSYPERLVRSRSSDIVSSVRRPMSDPSWNRRPGNEELPPAAATGATSLVAAPH SSSSSPSKDSSRGETEERKDSDDERSDRSRPWWRKRFVSAMPKAPIPF >ENSMUSP00000129138.1 pep:known chromosome:GRCm38:2:34704303:34712218:-1 gene:ENSMUSG00000026867.18 transcript:ENSMUST00000128855.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gapvd1 description:GTPase activating protein and VPS9 domains 1 [Source:MGI Symbol;Acc:MGI:1913941] XGSDFDPNVDEDRLQEIAGAAAENVLGSLLCLPGSGSVLLDPCTGSTISETTSEAWSVEV LPSDSEAPDLKQEERLQELESCSGLGSTSDDTDVREV >ENSMUSP00000126225.1 pep:known chromosome:GRCm38:2:34728921:34754411:-1 gene:ENSMUSG00000026867.18 transcript:ENSMUST00000142436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapvd1 description:GTPase activating protein and VPS9 domains 1 [Source:MGI Symbol;Acc:MGI:1913941] MVKLDIHTLAHHLKQERLYVSSEKQLIQRLNADVLKTAEKLYRTAWIAKQQRINLDRLII TSAEASPAECCQHAKILEDTQFVDGYKQLGFQETAYGEFLSRLRENPRLIASSLVAGEKL NQENTQSVIYTVFTSLYGNCIMQEDE >ENSMUSP00000028224.8 pep:known chromosome:GRCm38:2:34676985:34754561:-1 gene:ENSMUSG00000026867.18 transcript:ENSMUST00000028224.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapvd1 description:GTPase activating protein and VPS9 domains 1 [Source:MGI Symbol;Acc:MGI:1913941] MVKLDIHTLAHHLKQERLYVSSEKQLIQRLNADVLKTAEKLYRTAWIAKQQRINLDRLII TSAEASPAECCQHAKILEDTQFVDGYKQLGFQETAYGEFLSRLRENPRLIASSLVAGEKL NQENTQSVIYTVFTSLYGNCIMQEDESYLLQVLRYLIEFELKESDNPRRLLRRGTCAFSI LFKLFSEGLFSAKLFLTATLHEPIMQLLVEDEDHLETDPNKLIERFSPAQQEKLFGEKGS DRFRQKVQEMVDSNEAKLVALVNKFIGYLKQNTYCFPHSLRWIVSQMYKTLSCVDRLEVG EVRAMCTDLLLACFICPAVVNPEQYGIISDAPINEVARFNLMQVGRLLQQLAMTGTEEGD PRTKNSLGKFDKGCVAAFLDVVIGGRAVETPPMSSVNLLEGLSRTVVYISYSQLITLVNF MKSVMSGDQLKEDRMALDNLLANLPQAKPGKSSSLDMTPYSTPQMSPATTPANKKNRLPI ATRSRSRSNMLMDLHMDHEGSSQETIQEVQPEEVLVISLGTGPQLTPGMMSENEVLNMQL SDGGQGDVPVDENKLHGPSNRSNSVSSLDLEGESVSELGAGPSGSNGVEALQLLEHEQAT TQDNLDDKLRKFEIRDMMGLTDDRDISETVSETWSTDVLGSDFDPNVDEDRLQEIAGAAA ENVLGSLLCLPGSGSVLLDPCTGSTISETTSEAWSVEVLPSDSEAPDLKQEERLQELESC SGLGSTSDDTDVREVSSRPSTPGLSVVSGISATSEDIPNKIEDLRSECSSDFGGKDSVTS PDMDDIAHGAHQLTSPPSQSESLLAMFDPLSSHEGASAVVRPKVHYARPSHPPPDPPILE GAVGGNEARLPNFGSHVLTAAEMEAFKQRHSYPERLVRSRSSDIVSSVRRPMSDPSWNRR PGNEELPPAAATGATSLVAAPHSSSSSPSKDSSRGETEERKDSDDERSDRSRPWWRKRFV SAMPKAPIPFRKKEKQEKDKDDLGPDRFSTLTDEPSPRLSAQAQVAEDILDKYRNAIKRT SPSEGAMANDESAEVMGDGESAHDSPREEALQNISADDLPDSASQAAHPQDSAFSYRDVK KKLRLALCSADSVAFPVLTHSTRNGLPDHTDPEDNEIVCFLKVQIAEAINLQDKSLMAQL QETMRCVCRFDNRTCRKLLASIAEDYRKRAPYIAYLTRCRQGLQTTQAHLERLLQRVLRD KEVANRYFTTVCVRLLLESKEKKIREFIQDFQKLTAADDKTAQVEDFLQFLYGVMAQDVI WQNASEEQLQDAQLAIERSVMNRIFKLAFYPNQDGDILRDQVLHEHIQRLSKVVTANHRA LQIPEVYLREAPWPSAQSEIRTISAYKTPRDKVQCILRMCSTIMNLLSLANEDSVPGADD FVPVLVFVLIKANPPCLLSTVQYISSFYASCLSGEESYWWMQFTAAVEFIKTIDDRK >ENSMUSP00000108723.3 pep:known chromosome:GRCm38:2:34676985:34754561:-1 gene:ENSMUSG00000026867.18 transcript:ENSMUST00000113099.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapvd1 description:GTPase activating protein and VPS9 domains 1 [Source:MGI Symbol;Acc:MGI:1913941] MVKLDIHTLAHHLKQERLYVSSEKQLIQRLNADVLKTAEKLYRTAWIAKQQRINLDRLII TSAEASPAECCQHAKILEDTQFVDGYKQLGFQETAYGEFLSRLRENPRLIASSLVAGEKL NQENTQSVIYTVFTSLYGNCIMQEDESYLLQVLRYLIEFELKESDNPRRLLRRGTCAFSI LFKLFSEGLFSAKLFLTATLHEPIMQLLVEDEDHLETDPNKLIERFSPAQQEKLFGEKGS DRFRQKVQEMVDSNEAKLVALVNKFIGYLKQNTYCFPHSLRWIVSQMYKTLSCVDRLEVG EVRAMCTDLLLACFICPAVVNPEQYGIISDAPINEVARFNLMQVGRLLQQLAMTGTEEGD PRTKNSLGKFDKGCVAAFLDVVIGGRAVETPPMSSVNLLEGLSRTVVYISYSQLITLVNF MKSVMSGDQLKEDRMALDNLLANLPQAKPGKSSSLDMTPYSTPQMSPATTPANKKNRLPI ATRSRSRSNMLMDLHMDHEGSSQETIQEVQPEEVLVISLGTGPQLTPGMMSENEVLNMQL SDGGQGDVPVDENKLHGKPDKTLRFSLCSDNLEGISEGPSNRSNSVSSLDLEGESVSELG AGPSGSNGVEALQLLEHEQATTQDNLDDKLRKFEIRDMMGLTDDRDISETVSETWSTDVL GSDFDPNVDEDRLQEIAGAAAENVLGSLLCLPGSGSVLLDPCTGSTISETTSEAWSVEVL PSDSEAPDLKQEERLQELESCSGLGSTSDDTDVREVSSRPSTPGLSVVSGISATSEDIPN KIEDLRSECSSDFGGKDSVTSPDMDDIAHGAHQLTSPPSQSESLLAMFDPLSSHEGASAV VRPKVHYARPSHPPPDPPILEGAVGGNEARLPNFGSHVLTAAEMEAFKQRHSYPERLVRS RSSDIVSSVRRPMSDPSWNRRPGNEELPPAAATGATSLVAAPHSSSSSPSKDSSRGETEE RKDSDDERSDRSRPWWRKRFVSAMPKAPIPFRKKEKQEKDKDDLGPDRFSTLTDEPSPRL SAQAQVAEDILDKYRNAIKRTSPSEGAMANDESAEVMGDGESAHDSPREEALQNISADDL PDSASQAAHPQDSAFSYRDVKKKLRLALCSADSVAFPVLTHSTRNGLPDHTDPEDNEIVC FLKVQIAEAINLQDKSLMAQLQETMRCVCRFDNRTCRKLLASIAEDYRKRAPYIAYLTRC RQGLQTTQAHLERLLQRVLRDKEVANRYFTTVCVRLLLESKEKKIREFIQDFQKLTAADD KTAQVEDFLQFLYGVMAQDVIWQNASEEQLQDAQLAIERSVMNRIFKLAFYPNQDGDILR DQVLHEHIQRLSKVVTANHRALQIPEVYLREAPWPSAQSEIRTISAYKTPRDKVQCILRM CSTIMNLLSLANEDSVPGADDFVPVLVFVLIKANPPCLLSTVQYISSFYASCLSGEESYW WMQFTAAVEFIKTIDDRK >ENSMUSP00000037377.8 pep:known chromosome:GRCm38:4:148160621:148166424:1 gene:ENSMUSG00000041556.8 transcript:ENSMUST00000047951.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo2 description:F-box protein 2 [Source:MGI Symbol;Acc:MGI:2446216] MDGDGDPESVSHPEEASPEEQPEEAGAEASAEEEQLREAEEEEEAEAVEYLAELPEPLLL RVLAELPATELVQACRLVCLRWKELVDGAPLWLLKCQQEGLVPEGSADEERDHWQQFYFL SKRRRNLLRNPCGEEDLEGWSDVEHGGDGWRVEELPGDNGVEFTQDDSVKKYFASSFEWC RKAQVIDLQAEGYWEELLDTTQPAIVVKDWYSGRTDAGSLYELTVRLLSENEDVLAEFAT GQVAVPEDGSWMEISHTFIDYGPGVRFVRFEHGGQDSVYWKGWFGARVTNSSVWVEP >ENSMUSP00000006856.2 pep:known chromosome:GRCm38:X:93304767:93632155:-1 gene:ENSMUSG00000006678.6 transcript:ENSMUST00000006856.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pola1 description:polymerase (DNA directed), alpha 1 [Source:MGI Symbol;Acc:MGI:99660] MAPMHEEDCKLEASAVSDSGSFAASRARREKKSKKGRQEALERLKKAKAGEKYKYEVEDL TSVYEEVDEEQYSKLVQARQDDDWIVDDDGIGYVEDGREIFDDDLEDDALDTCGKGSDGK AHRKDRKDVKKPSVTKPNNIKAMFIASAGKKTTDKAVDLSKDDLLGDILQDLNTETAQIT PPPVLIPKKKRSTGALLNPFSVHTPKAIPSGKPASPVLRNEPLLTPIPLKRAELAGELAQ PECPEDEQELGVMEFEDGDFDESMDTEKVDEKPVTAKTWDQETEPVERVEHEADPERGTT SYLENFLPDVSCWDIDQDDESIPQEVQVDSSNLPLVKGADDEQVFQFYWLDAYEDPYNQP GVVFLFGKVWIESVKTHVSCCVMVKNIERTLYFLPREMKFDLNTGKETAIPVTMKDVYEE FDSKISAKYKIMKFKSKIVEKNYAFEIPDVPEKSEYLEVRYSAEVPQLPQNLKGETFSHV FGTNTSSLELFLMNRKIKGPCWLEVKNPQLLNQPISWCKFEVMALKPDLVNVIKDVSPPP LVVMSFSMKTMQNVQNHQHEIIAMAALVHHSFALDKAPPEPPFQTHFCVVSKPKDCIFPC DFKEVISKKNMKVEIAATERTLIGFFLAKVHKIDPDILVGHNICSFELEVLLQRINECKV PYWSKIGRLRRSNMPKLGSRSGFGERNATCGRMICDVEISAKELIHCKSYHLSELVQQIL KTERIVIPTENIRNMYSESSYLLYLLEHIWKDARFILQIMCELNVLPLALQITNIAGNIM SRTLMGGRSERNEFLLLHAFYENNYIVPDKQIFRKPQQKLGDEDEEIDGDTNKYKKGRKK ATYAGGLVLDPKVGFYDKFILLLDFNSLYPSIIQEFNICFTTVQRVTSEVQKATEDEEQE QIPELPDPNLEMGILPREIRKLVERRKQVKQLMKQQDLNPDLVLQYDIRQKALKLTANSM YGCLGFSYSRFYAKPLAALVTYKGREILMHTKDMVQKMNLEVIYGDTDSIMINTNSTNLE EVFKLGNKVKSEVNKLYKLLEIDIDAVFKSLLLLKKKKYAALVVEPTSDGNYITKQELKG LDIVRRDWCDLAKDTGNFVIGQILSDQSRDTIVENIQKRLIEIGENVLNGSVPVSQFEIN KALTKDPQDYPDRKSLPHVHVALWINSQGGRKVKAGDTVSYVICQDGSNLTATQRAYAPE QLQKLDNLAIDTQYYLAQQIHPVVARICEPIDGIDAVLIALWLGLDSTQFRVHQYHKDEE NDALLGGPAQLTDEEKYKDCEKFKCLCPSCGTENIYDNVFEGSGLDMEPSLYRCSNVDCK VSPLTFMVQLSNKLIMDIRRCIKKYYDGWLICEEPTCCSRLRRLPLHFSRNGPLCPVCMK AVLRPEYSDKSLYTQLCFYRYIFDADCALEKLTEHEKDKLKKQFFPLRVLQDYRKVKNIA EQFLSWSGYSEVNLSKLFANYAGKS >ENSMUSP00000020452.5 pep:known chromosome:GRCm38:10:76207227:76237837:-1 gene:ENSMUSG00000020230.14 transcript:ENSMUST00000020452.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt2 description:protein arginine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:1316652] MEAPGEGPCSESQVIPVLEEDPVDYGCEMQLLQDGAQLQLQLQPEEFVAIADYTATDETQ LSFLRGEKILILRQTTADWWWGERAGCCGYIPANHLGKQLEEYDPEDTWQDEEYFDSYGT LKLHLEMLADQPRTTKYHSVILQNKESLKDKVILDVGCGTGIISLFCAHHARPKAVYAVE ASDMAQHTSQLVLQNGFADTITVFQQKVEDVVLPEKVDVLVSEWMGTCLLFEFMIESILY ARDTWLKGDGIIWPTTAALHLVPCSAEKDYHSKVLFWDNAYEFNLSALKSLAIKEFFSRP KSNHILKPEDCLSEPCTILQLDMRTVQVPDLETMRGELRFDIQKAGTLHGFTAWFSVYFQ SLEEGQPQQVLSTGPLHPTTHWKQTLFMMDDPVPVHTGDVVTGSVVLQRNPVWRRHMSVS LSWVVTSALDPTSQRVGEKVFPIWR >ENSMUSP00000137707.1 pep:known chromosome:GRCm38:10:76207232:76237830:-1 gene:ENSMUSG00000020230.14 transcript:ENSMUST00000128099.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prmt2 description:protein arginine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:1316652] MEAPGEGPCSESQVIPVLEEDPVDYGCEMQLLQDGAQLQLQLQPEEFVAIADYTATDETQ LSFLRGEKILILRQTTADWWWGERAGCCGYIPANHLGKQLEEYDPEDTWQDEEYFDSYGT LKLHLEMLADQPRTTKYHSVILQNKESLKDKVILDVGCGTGIISLFCAHHARPKAVYAVE ASDMAQHTSQLVLQNGFADTITVFQQKVEDVVLPEKVDVLVSEWMGTCLLRVEQTPSSRL CFPGPAGIVSGLSS >ENSMUSP00000097167.3 pep:known chromosome:GRCm38:10:76207232:76237836:-1 gene:ENSMUSG00000020230.14 transcript:ENSMUST00000099572.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt2 description:protein arginine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:1316652] MEAPGEGPCSESQVIPVLEEDPVDYGCEMQLLQDGAQLQLQLQPEEFVAIADYTATDETQ LSFLRGEKILILRQTTADWWWGERAGCCGYIPANHLGKQLEEYDPEDTWQDEEYFDSYGT LKLHLEMLADQPRTTKYHSVILQNKESLKDKVILDVGCGTGIISLFCAHHARPKAVYAVE ASDMAQHTSQLVLQNGFADTITVFQQKVEDVVLPEKVDVLVSEWMGTCLLFEFMIESILY ARDTWLKGDGIIWPTTAALHLVPCSAEKDYHSKVLFWDNAYEFNLSALKSLAIKEFFSRP KSNHILKPEDCLSEPCTILQLDMRTVQVPDLETMRGELRFDIQKAGTLHGFTAWFSVYFQ SLEEGQPQQVLSTGPLHPFLGRTGCQCRGPRWSCQMRPVGDRMLLSCSTTHWKQTLFMMD DPVPVHTGDVVTGSVVLQRNPVWRRHMSVSLSWVVTSALDPTSQRVGEKVFPIWR >ENSMUSP00000137725.1 pep:known chromosome:GRCm38:10:76207976:76237857:-1 gene:ENSMUSG00000020230.14 transcript:ENSMUST00000137857.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prmt2 description:protein arginine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:1316652] MEAPGEGPCSESQVIPVLEEDPVDYGCEMQLLQDGAQLQLQLQPEEFVAIADYTATDETQ LSFLRGEKILILRQTTADWWWGERAGCCGYIPANHLGKQLEEYDPEDTWQDEEYFDSYGT LKLHLEMLADQPRTTKYHSVILQNKESLKDKVILDVGCGTGIISLFCAHHARPKAVYAVE ASDMAQHTSQLVLQNGFADTITVFQQKVEDVVLPEKVDVLVSEWMGTCLLRVEQTPSSRL CFPGPAGIVSGLSS >ENSMUSP00000097166.3 pep:known chromosome:GRCm38:10:76207222:76237865:-1 gene:ENSMUSG00000020230.14 transcript:ENSMUST00000099571.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt2 description:protein arginine N-methyltransferase 2 [Source:MGI Symbol;Acc:MGI:1316652] MEAPGEGPCSESQVIPVLEEDPVDYGCEMQLLQDGAQLQLQLQPEEFVAIADYTATDETQ LSFLRGEKILILRQTTADWWWGERAGCCGYIPANHLGKQLEEYDPEDTWQDEEYFDSYGT LKLHLEMLADQPRTTKYHSVILQNKESLKDKVILDVGCGTGIISLFCAHHARPKAVYAVE ASDMAQHTSQLVLQNGFADTITVFQQKVEDVVLPEKVDVLVSEWMGTCLLFEFMIESILY ARDTWLKGDGIIWPTTAALHLVPCSAEKDYHSKVLFWDNAYEFNLSALKSLAIKEFFSRP KSNHILKPEDCLSEPCTILQLDMRTVQVPDLETMRGELRFDIQKAGTLHGFTAWFSVYFQ SLEEGQPQQVLSTGPLHPTTHWKQTLFMMDDPVPVHTGDVVTGSVVLQRNPVWRRHMSVS LSWVVTSALDPTSQRVGEKVFPIWR >ENSMUSP00000134012.1 pep:known chromosome:GRCm38:12:54986158:54986529:-1 gene:ENSMUSG00000092233.1 transcript:ENSMUST00000173803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20403 description:predicted gene 20403 [Source:MGI Symbol;Acc:MGI:5141868] MEDASDSSRGVAPLINNVALPGSSPSLPVSVTGCKSHPVANKKVEARSEKLLPTALPPSE PKVAQKLPGSAERRGSAGGTRSPRGARQWQRERRRPGETPGRGGPGPRGVPRGKVRRRAS ARR >ENSMUSP00000026029.1 pep:known chromosome:GRCm38:X:154482002:154484682:1 gene:ENSMUSG00000025051.1 transcript:ENSMUST00000026029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samt4 description:spermatogenesis associated multipass transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:1922435] MDLFTLDTQRFSKEKKSIFKLTGLFSSLSALVFEIAIASNQCWRLWEFEDDDVQFVSFGL WGAYYPKLFNISGTLVKMLVHNPIDSTWTISLEFQYAQNLILWAIFMKLVVLVFSVIAFR ISCMKDPFLMMQIYCYKFSTLVLGISSLFIFVAVSWNHMVDLYGQTTLDFPPKFPVQKEA LKNKYVTAVFPVGILTATMSLFGGIMFLSEISYLKIQSQVEAKSVSKVALQEA >ENSMUSP00000000028.7 pep:known chromosome:GRCm38:16:18780447:18811972:-1 gene:ENSMUSG00000000028.14 transcript:ENSMUST00000000028.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc45 description:cell division cycle 45 [Source:MGI Symbol;Acc:MGI:1338073] MFVTDFRKEFYETVHNQRVLLFVASDVDALCACKILQALFQCDHVQYTLVPVSGWQELET AYLEHKEQFSYFILINCGANVDLLDILQPDEDSIFFVCDTHRPVNVVNVYNDTQIKLLIK QEDDLEVPAYDDIFRDEAEDEDLSDSDGDGSEPSEKRTRLEEEIVERNRKRRQRREWEAR RKDILFDYEQYEYYGTSSAMVMFDLAWMMSKDLNDMLWWAIVGLTDQWVHDKITQMKYVT DVGILQRHVSRHNHRNEAEENMLSVDCTRISFEYDLCLVLYQHWSLHESLYNTSYTAARF KLWSVHGQKRLQEFLADMGLPLKQVKQKFQSMDVSLKGNLREMIEESANKFGMKDMRVQT FSIQFGFKHKFLASDVVFATMSLMESPEKDGSGTDHFIQALDSLSRSNLDKLYLGLELAK KHLQATQQTIASCLCTNLVTSQGPFLYCSLMEGTPDVTLFSKPASLSLLSRHLLKSFVYS TKNRRCKLLPLVMAAPLSVEQGTVTVVGIPPETDSSDRKNFFGRAFEKAAESTSSRTLHN YFDLSVIELKAEDRSKFLDALVSLLS >ENSMUSP00000094753.3 pep:known chromosome:GRCm38:16:18780453:18811626:-1 gene:ENSMUSG00000000028.14 transcript:ENSMUST00000096990.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc45 description:cell division cycle 45 [Source:MGI Symbol;Acc:MGI:1338073] MFVTDFRKEFYETVHNQRVLLFVASDVDALCACKILQALFQCDHVQYTLVPVSGWQELET AYLEHKEQIKLLIKQEDDLEVPAYDDIFRDEAEDEDLSDSDGDGSEPSEKRTRLEEEIVE RNRKRRQRREWEARRKDILFDYEQYEYYGTSSAMVMFDLAWMMSKDLNDMLWWAIVGLTD QWVHDKITQMKYVTDVGILQRHVSRHNHRNEAEENMLSVDCTRISFEYDLCLVLYQHWSL HESLYNTSYTAARFKLWSVHGQKRLQEFLADMGLPLKQVKQKFQSMDVSLKGNLREMIEE SANKFGMKDMRVQTFSIQFGFKHKFLASDVVFATMSLMESPEKDGSGTDHFIQALDSLSR SNLDKLYLGLELAKKHLQATQQTIASCLCTNLVTSQGPFLYCSLMEGTPDVTLFSKPASL SLLSRHLLKSFVYSTKNRRCKLLPLVMAAPLSVEQGTVTVVGIPPETDSSDRKNFFGRAF EKAAESTSSRTLHNYFDLSVIELKAEDRSKFLDALVSLLS >ENSMUSP00000111248.1 pep:known chromosome:GRCm38:16:18807356:18811987:-1 gene:ENSMUSG00000000028.14 transcript:ENSMUST00000115585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc45 description:cell division cycle 45 [Source:MGI Symbol;Acc:MGI:1338073] MFVTDFRKEFYETVHNQRVLLFVASDVDALCACKILQALFQCDHVQYTLVPVSGWQELET AYLEHKEQFSYFILINCGANVDLLDILQPDEDSIFFVCDTHRPVNVVNVYNDTQIKLLIK QEDDLEVPAYDDIFRD >ENSMUSP00000105071.2 pep:known chromosome:GRCm38:2:161521990:162661147:-1 gene:ENSMUSG00000053141.16 transcript:ENSMUST00000109445.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprt description:protein tyrosine phosphatase, receptor type, T [Source:MGI Symbol;Acc:MGI:1321152] MGSLGGLALCLLRLLLLGLQRPPLPGAGAQSAAGGCSFDEHYSNCGYSVALGTNGFTWEQ INTWEKPMLDPAVPTGSFMMVNSSGRASGQKAHLLLPTLKENDTHCIDFHYYFSSRDRSS PGALNVYVKVNGGPQGNPVWNVSGVVTEGWVKAELAISTFWPHFYQVIFESVSLKGHPGY IAVDEVRVLAHPCRKAPHFLRLQNVEVNVGQNATFQCIAGGKWSQHDKLWLQQWNGRDTA LMVTRVVNHRRFSATVSVADTSQRSISKYRCVIRSDGGSGVSNYAELIVKEPPTPIAPPE LLAVGATYLWIKPNANSIIGDGPIILKEVEYRTTTGTWAETHIVDSPNYKLWHLDPDVEY EIRVLLTRPGEGGTGPPGPPLTTRTKCADPVHGPQNVEIVDIRARQLTLQWEPFGYAVTR CHSYNLTVQYQYVFNQQQYEAEEVIQTSSHYTLRGLRPFMTIRLRLLLSNPEGRMESEEL VVQTEEDVPGAVPLESIQGGPFEEKIYIQWKPPNETNGVITLYEINYKAVGSLDPSADLS SQRGKVFKLRNETHHLFVGLYPGTTYSFTIKASTAKGFGPPVTTRIATKISAPSMPEYDA DTPLNETDTTITVMLKPAQSRGAPVSVYQLVVKEERLQKSRRAADIIECFSVPVSYRNAS NLDSLHYFAAELKPSNLPVTQPFTVGDNKTYNGYWNPPLSPLKSYSIYFQALSKANGETK INCVRLATKGASTQNSNTVEPEKQVDNTVKMAGVIAGLLMFIIILLGVMLTIKRRKLAKK QKETQSGAQREMGPVASTDKPTAKLGTNRNDEGFSSSSQDVNGFTDGSRGELSQPTLTIQ THPYRTCDPVEMSYPRDQFQPAIRVADLLQHITQMKRGQGYGFKEEYEALPEGQTASWDT AKEDENRNKNRYGNIISYDHSRVRLLVLDGDPHSDYINANYIDGYHRPRHYIATQGPMQE TVKDFWRMIWQENSASIVMVTNLVEVGRVKCVRYWPDDTEVYGDIKVTLIETEPLAEYVI RTFTVQKKGYHEIRELRLFHFTSWPDHGVPCYATGLLGFVRQVKFLNPPEAGPIVVHCSA GAGRTGCFIAIDTMLDMAENEGVVDIFNCVRELRAQRVNLVQTEEQYVFVHDAILEACLC GNTAIPVCEFRSLYYNISRLDPQTNSSQIKDEFQTLNIVTPRVRPEDCSIGLLPRNHDKN RSMDVLPLDRCLPFLISVDGESSNYINAALMDSHKQPAAFVVTQHPLPNTVADFWRLVFD YNCSSVVMLNEMDTAQLCMQYWPEKTSGCYGPIQVEFVSADIDEDIIHRIFRICNMARPQ DGYRIVQHLQYIGWPAYRDTPPSKRSLLKVVRRLEKWQEQYDGREGRTVVHCLNGGGRSG TFCAICSVCEMIQQQNIIDVFHIVKTLRNNKSNMVETLEQYKFVYEVALEYLSSF >ENSMUSP00000105069.1 pep:known chromosome:GRCm38:2:161521990:162661147:-1 gene:ENSMUSG00000053141.16 transcript:ENSMUST00000109443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprt description:protein tyrosine phosphatase, receptor type, T [Source:MGI Symbol;Acc:MGI:1321152] MGSLGGLALCLLRLLLLGLQRPPLPGAGAQSAAGGCSFDEHYSNCGYSVALGTNGFTWEQ INTWEKPMLDPAVPTGSFMMVNSSGRASGQKAHLLLPTLKENDTHCIDFHYYFSSRDRSS PGALNVYVKVNGGPQGNPVWNVSGVVTEGWVKAELAISTFWPHFYQVIFESVSLKGHPGY IAVDEVRVLAHPCRKAPHFLRLQNVEVNVGQNATFQCIAGGKWSQHDKLWLQQWNGRDTA LMVTRVVNHRRFSATVSVADTSQRSISKYRCVIRSDGGSGVSNYAELIVKEPPTPIAPPE LLAVGATYLWIKPNANSIIGDGPIILKEVEYRTTTGTWAETHIVDSPNYKLWHLDPDVEY EIRVLLTRPGEGGTGPPGPPLTTRTKCADPVHGPQNVEIVDIRARQLTLQWEPFGYAVTR CHSYNLTVQYQYVFNQQQYEAEEVIQTSSHYTLRGLRPFMTIRLRLLLSNPEGRMESEEL VVQTEEDVPGAVPLESIQGGPFEEKIYIQWKPPNETNGVITLYEINYKAVGSLDPSADLS SQRGKVFKLRNETHHLFVGLYPGTTYSFTIKASTAKGFGPPVTTRIATKISAPSMPEYDA DTPLNETDTTITVMLKPAQSRGAPVSVYQLVVKEERLQKSRRAADIIECFSVPVSYRNAS NLDSLHYFAAELKPSNLPVTQPFTVGDNKTYNGYWNPPLSPLKSYSIYFQALSKANGETK INCVRLATKGASTQNSNTVEPEKQVDNTVKMAGVIAGLLMFIIILLGVMLTIKRRRNAYS YSYYLKLAKKQKETQSGAQREMGPVASTDKPTAKLGTNRNDEGFSSSSQDVNGFTDGSRG ELSQPTLTIQTHPYRTCDPVEMSYPRDQFQPAIRVADLLQHITQMKRGQGYGFKEEYEAL PEGQTASWDTAKEDENRNKNRYGNIISYDHSRVRLLVLDGDPHSDYINANYIDGYHRPRH YIATQGPMQETVKDFWRMIWQENSASIVMVTNLVEVGRVKCVRYWPDDTEVYGDIKVTLI ETEPLAEYVIRTFTVQKKGYHEIRELRLFHFTSWPDHGVPCYATGLLGFVRQVKFLNPPE AGPIVVHCSAGAGRTGCFIAIDTMLDMAENEGVVDIFNCVRELRAQRVNLVQTEEQYVFV HDAILEACLCGNTAIPVCEFRSLYYNISRLDPQTNSSQIKDEFQTLNIVTPRVRPEDCSI GLLPRNHDKNRSMDVLPLDRCLPFLISVDGESSNYINAALMDSHKQPAAFVVTQHPLPNT VADFWRLVFDYNCSSVVMLNEMDTAQLCMQYWPEKTSGCYGPIQVEFVSADIDEDIIHRI FRICNMARPQDGYRIVQHLQYIGWPAYRDTPPSKRSLLKVVRRLEKWQEQYDGREGRTVV HCLNGGGRSGTFCAICSVCEMIQQQNIIDVFHIVKTLRNNKSNMVETLEQYKFVYEVALE YLSSF >ENSMUSP00000105068.1 pep:known chromosome:GRCm38:2:161526357:162661094:-1 gene:ENSMUSG00000053141.16 transcript:ENSMUST00000109442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprt description:protein tyrosine phosphatase, receptor type, T [Source:MGI Symbol;Acc:MGI:1321152] MGSLGGLALCLLRLLLLGLQRPPLPGAGAQSAAGGCSFDEHYSNCGYSVALGTNGFTWEQ INTWEKPMLDPAVPTGSFMMVNSSGRASGQKAHLLLPTLKENDTHCIDFHYYFSSRDRSS PGALNVYVKVNGGPQGNPVWNVSGVVTEGWVKAELAISTFWPHFYQVIFESVSLKGHPGY IAVDEVRVLAHPCRKAPHFLRLQNVEVNVGQNATFQCIAGGKWSQHDKLWLQQWNGRDTA LMVTRVVNHRRFSATVSVADTSQRSISKYRCVIRSDGGSGVSNYAELIVKEPPTPIAPPE LLAVGATYLWIKPNANSIIGDGPIILKEVEYRTTTGTWAETHIVDSPNYKLWHLDPDVEY EIRVLLTRPGEGGTGPPGPPLTTRTKCADPVHGPQNVEIVDIRARQLTLQWEPFGYAVTR CHSYNLTVQYQYVFNQQQYEAEEVIQTSSHYTLRGLRPFMTIRLRLLLSNPEGRMESEEL VVQTEEDVPGAVPLESIQGGPFEEKIYIQWKPPNETNGVITLYEINYKAVGSLDPSADLS SQRGKVFKLRNETHHLFVGLYPGTTYSFTIKASTAKGFGPPVTTRIATKISAPSMPEYDA DTPLNETDTTITVMLKPAQSRGAPVSVYQLVVKEERLQKSRRAADIIECFSVPVSYRNAS NLDSLHYFAAELKPSNLPVTQPFTVGDNKTYNGYWNPPLSPLKSYSIYFQALSKANGETK INCVRLATKAPMGSAQVTPGTPLCLLTTGASTQNSNTVEPEKQVDNTVKMAGVIAGLLMF IIILLGVMLTIKRRKLAKKQKETQSGAQREMGPVASTDKPTAKLGTNRNDEGFSSSSQDV NGFTDGSRGELSQPTLTIQTHPYRTCDPVEMSYPRDQFQPAIRVADLLQHITQMKRGQGY GFKEEYEALPEGQTASWDTAKEDENRNKNRYGNIISYDHSRVRLLVLDGDPHSDYINANY IDGYHRPRHYIATQGPMQETVKDFWRMIWQENSASIVMVTNLVEVGRVKCVRYWPDDTEV YGDIKVTLIETEPLAEYVIRTFTVQKKGYHEIRELRLFHFTSWPDHGVPCYATGLLGFVR QVKFLNPPEAGPIVVHCSAGAGRTGCFIAIDTMLDMAENEGVVDIFNCVRELRAQRVNLV QTEEQYVFVHDAILEACLCGNTAIPVCEFRSLYYNISRLDPQTNSSQIKDEFQTLNIVTP RVRPEDCSIGLLPRNHDKNRSMDVLPLDRCLPFLISVDGESSNYINAALMDSHKQPAAFV VTQHPLPNTVADFWRLVFDYNCSSVVMLNEMDTAQLCMQYWPEKTSGCYGPIQVEFVSAD IDEDIIHRIFRICNMARPQDGYRIVQHLQYIGWPAYRDTPPSKRSLLKVVRRLEKWQEQY DGREGRTVVHCLNGGGRSGTFCAICSVCEMIQQQNIIDVFHIVKTLRNNKSNMVETLEQY KFVYEVALEYLSSF >ENSMUSP00000105067.1 pep:known chromosome:GRCm38:2:161527509:162661147:-1 gene:ENSMUSG00000053141.16 transcript:ENSMUST00000109441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprt description:protein tyrosine phosphatase, receptor type, T [Source:MGI Symbol;Acc:MGI:1321152] MGSLGGLALCLLRLLLLGLQRPPLPGAGAQSAAGGCSFDEHYSNCGYSVALGTNGFTWEQ INTWEKPMLDPAVPTGSFMMVNSSGRASGQKAHLLLPTLKENDTHCIDFHYYFSSRDRSS PGALNVYVKVNGGPQGNPVWNVSGVVTEGWVKAELAISTFWPHFYQVIFESVSLKGHPGY IAVDEVRVLAHPCRKAPHFLRLQNVEVNVGQNATFQCIAGGKWSQHDKLWLQQWNGRDTA LMVTRVVNHRRFSATVSVADTSQRSISKYRCVIRSDGGSGVSNYAELIVKEPPTPIAPPE LLAVGATYLWIKPNANSIIGDGPIILKEVEYRTTTGTWAETHIVDSPNYKLWHLDPDVEY EIRVLLTRPGEGGTGPPGPPLTTRTKCADPVHGPQNVEIVDIRARQLTLQWEPFGYAVTR CHSYNLTVQYQYVFNQQQYEAEEVIQTSSHYTLRGLRPFMTIRLRLLLSNPEGRMESEEL VVQTEEDVPGAVPLESIQGGPFEEKIYIQWKPPNETNGVITLYEINYKAVGSLDPSADLS SQRGKVFKLRNETHHLFVGLYPGTTYSFTIKASTAKGFGPPVTTRIATKISAPSMPEYDA DTPLNETDTTITVMLKPAQSRGAPVSVYQLVVKEERLQKSRRAADIIECFSVPVSYRNAS NLDSLHYFAAELKPSNLPVTQPFTVGDNKTYNGYWNPPLSPLKSYSIYFQALSKANGETK INCVRLATKGASTQNSNTVEPEKQVDNTVKMAGVIAGLLMFIIILLGVMLTIKRRKLAKK QKETQSGAQREMGPVASTDKPTAKLGTNRNDEGFSSSSQDVNGFTDGSRGELSQPTLTIQ THPYRTCDPVEMSYPRDQFQPAIRVADLLQHITQMKRGQGYGFKEEYEALPEGQTASWDT AKEDENRNKNRYGNIISYDHSRVRLLVLDGDPHSDYINANYIDGYHRPRHYIATQGPMQE TVKDFWRMIWQENSASIVMVTNLVEVGRHPAEHTVGTATLGRAASPGMVKCVRYWPDDTE VYGDIKVTLIETEPLAEYVIRTFTVQKKGYHEIRELRLFHFTSWPDHGVPCYATGLLGFV RQVKFLNPPEAGPIVVHCSAGAGRTGCFIAIDTMLDMAENEGVVDIFNCVRELRAQRVNL VQTEEQYVFVHDAILEACLCGNTAIPVCEFRSLYYNISRLDPQTNSSQIKDEFQTLNIVT PRVRPEDCSIGLLPRNHDKNRSMDVLPLDRCLPFLISVDGESSNYINAALMDSHKQPAAF VVTQHPLPNTVADFWRLVFDYNCSSVVMLNEMDTAQLCMQYWPEKTSGCYGPIQVEFVSA DIDEDIIHRIFRICNMARPQDGYRIVQHLQYIGWPAYRDTPPSKRSLLKVVRRLEKWQEQ YDGREGRTVVHCLNGGGRSGTFCAICSVCEMIQQQNIIDVFHIVKTLRNNKSNMVETLEQ YKFVYEVALEYLSSF >ENSMUSP00000133478.1 pep:known chromosome:GRCm38:12:54893551:54986507:-1 gene:ENSMUSG00000035021.13 transcript:ENSMUST00000173433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz1a description:bromodomain adjacent to zinc finger domain 1A [Source:MGI Symbol;Acc:MGI:1309478] MPLLHRKPFVRQKPPGDLRPDEEVFYCKVTNEIFRHYDDFFERTILCNSLVWSCAVTGRP GLTYQEALESERKARQNLQSFPEPLIIPVLYLTNLTRRSRLHEICDDIFAYVKDRYFVEE TVEVIRNNGTRLQCRILEVLPPLHQNGFANGHLSSADGETIVISDSDDSETQSSSFHHGK KKDAIDPLLFRYRVQPTKKEMYESAVVKATQISRRKHLFSRDKLKLFLKQHCEAQDGVIK IKASSFSAYNIAEQDFSYFFPDDPPTFIFSPANRRRGRPPKRISFGQEDSIASKQTAARY RNKAIKERDKLLKQEEMRALAFEKAKLKRERADALEARKREKEDKEKKREELKKMVEEER LKKKEEKERLKIEREKEREKLREEKRKYMEYLKQWSKPREDMECDDLKELPEPTPVKTRL PPEVFGDALMVLEFLNAFGELFDLQDEFPEGVTLEVLEEALVGNDSEGPLCELLFFFLTA IFQAMAEEEEEVAKEQITDADTKDLTEALDEDADPTKSALSAVAALAAAWPQLHQGCSLK SLDLDSCTLSEILRLHILASGADVTSANAKYRYQKRGGFDATDDACMELRLSNPSLVKKL SSTSVYDLTPGEKMKILHALCGKLLTLVSTRDFIEDYVDVLRQAKQEFRELKAEQHRKER EATAARIRRRKEEKLKEQEQKMKEKQEKLKEDEQRNSAAVPGEEEREDFDTSTENKNIEQ KDLDPDVVTEDEDDPGSHKRSRRGKVGQTAVKQCIKQEEMNYCIKQEPLSADAEEALRQE QQQKEKELLDKIQSAIACTNIFPLGRDRLYRRYWIFPSIPGLFIEEDYSGLTEDMLLPRP SSFHNNAQPRDPQVSIKTEESFLSESTSSLDQGPFDDSVLLPKPVHKPNRWCFYSSCAQL DQLIDALNSRGHRESALKETLLQEKSRICAQLAHFSEEKFHFSDKPQADSKPVSSRGRSS GACDISQMSAERQLELRLRDFLLDIEDRIYQGTLGAIKVTDRQVWRSALENGRYELLSEE SKENGVIKTVNEDVEEMEMEQARVIVRDRLLGIKTETPSTISTSASTPQSVSNVVHYLAL ALFQIEQGIERRFLKAPLDGNDSGRSYKTVLDRWRESLLSSASLSQVFLHLSTLDRSVMW SKSILNARCKICRKKGDAENMVLCDGCDRGHHTYCVRPKLKAVPDGDWFCPECRPKQRSR RLSSRQRPSLESDEEMEEGMEDDDDEVDDDDEEGQSEEEEYEVEQDEEDSDDDEALSPPK RGRPQVRLPIKTKGRFGPSFPSRSQRQDPGRYPSRSQQSTPKNTAKSASKNLRKTRSAPP TETRSLRVGSRSTRHSPSALQDVFVELLSPHSKRRGRKGADHTPEHSPSFTNFRVSTSRS SRQLIPLNTAESLSLQHSESKRRGRKRQSTESSPVPLNRRSSGRQGGVHELSAFEQLVVE LVRHDDSWPFLKLVSKIQVPDYYDIIKKPIALNIIREKVNKCEYKLASEFIDDIELMFSN CFEYNPRNTSEAKAGTRLQAFFHIQAQKLGLHVSPSTVDQVSTPLAAKKSRI >ENSMUSP00000133324.1 pep:known chromosome:GRCm38:12:54923092:54930339:-1 gene:ENSMUSG00000035021.13 transcript:ENSMUST00000174225.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Baz1a description:bromodomain adjacent to zinc finger domain 1A [Source:MGI Symbol;Acc:MGI:1309478] XKLREEKRKYMEYLKQWSKPREDMECDDLKELPEPTPVKTRLPPEVFGDALMVLEFLNAF GELFDLQDEFPEGVTLGDG >ENSMUSP00000134207.1 pep:known chromosome:GRCm38:12:54940359:54944052:-1 gene:ENSMUSG00000035021.13 transcript:ENSMUST00000173176.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Baz1a description:bromodomain adjacent to zinc finger domain 1A [Source:MGI Symbol;Acc:MGI:1309478] XLFSRDKLKLFLKQHCEAQDGVIKIKASSFSAYNIAEQDFSYFFPDDPPTFIFSPANRRR GRPPKRISFGQVGKEKPKLPLAVNKAVLVNQ >ENSMUSP00000134384.1 pep:known chromosome:GRCm38:12:54954718:55014348:-1 gene:ENSMUSG00000035021.13 transcript:ENSMUST00000172875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz1a description:bromodomain adjacent to zinc finger domain 1A [Source:MGI Symbol;Acc:MGI:1309478] MPLLHRKPFVRQKPPGDLRPDEEVFYCKVTNEIFRHYDDFFERTILCNSLVWSCAVTGRP GLTYQEALESERKARQNLQSFPEPLIIPVLYLTNLTRRSRLHEICDDIFAYVKDRYFVEE TVEVIRNNGTRLQCRILEVLPPLHQNGFANGHLSSADGETIVISDSDDSETQ >ENSMUSP00000133796.1 pep:known chromosome:GRCm38:12:54975236:54985936:-1 gene:ENSMUSG00000035021.13 transcript:ENSMUST00000173177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz1a description:bromodomain adjacent to zinc finger domain 1A [Source:MGI Symbol;Acc:MGI:1309478] MPLLHRKPFVRQKPPGDLRPDEEVFYCKVTNEIFRHYDDFFERTILCNSLVWSCAVTGRP GLTYQEALESERKARQNLQSFPEPLI >ENSMUSP00000134445.1 pep:known chromosome:GRCm38:12:54975251:54999102:-1 gene:ENSMUSG00000035021.13 transcript:ENSMUST00000173529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz1a description:bromodomain adjacent to zinc finger domain 1A [Source:MGI Symbol;Acc:MGI:1309478] MPLLHRKPFVRQKPPGDLRPDEEVFYCKVTNEIFRHYDDFFERTILCNSLVWSCAVTGRP GLTYQEALESERKARQNLQSFP >ENSMUSP00000039757.6 pep:known chromosome:GRCm38:12:54892989:54986336:-1 gene:ENSMUSG00000035021.13 transcript:ENSMUST00000038926.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz1a description:bromodomain adjacent to zinc finger domain 1A [Source:MGI Symbol;Acc:MGI:1309478] MPLLHRKPFVRQKPPGDLRPDEEVFYCKVTNEIFRHYDDFFERTILCNSLVWSCAVTGRP GLTYQEALESERKARQNLQSFPEPLIIPVLYLTNLTRRSRLHEICDDIFAYVKDRYFVEE TVEVIRNNGTRLQCRILEVLPPLHQNGFANGHLSSADGETIVISDSDDSETQSSSFHHGK KKDAIDPLLFRYRVQPTKKEMYESAVVKATQISRRKHLFSRDKLKLFLKQHCEAQDGVIK IKASSFSAYNIAEQDFSYFFPDDPPTFIFSPANRRRGRPPKRISFGQEDSIASKQTAARY RNKAIKERDKLLKQEEMRALAFEKAKLKRERADALEARKREKEDKEKKREELKKMVEEER LKKKEEKERLKIEREKEREKLREEKRKYMEYLKQWSKPREDMECDDLKELPEPTPVKTRL PPEVFGDALMVLEFLNAFGELFDLQDEFPEGVTLAEVLEEALVGNDSEGPLCELLFFFLT AIFQAMAEEEEEVAKEQITDADTKDLTEALDEDADPTKSALSAVAALAAAWPQLHQGCSL KSLDLDSCTLSEILRLHILASGADVTSANAKYRYQKRGGFDATDDACMELRLSNPSLVKK LSSTSVYDLTPGEKMKILHALCGKLLTLVSTRDFIEDYVDVLRQAKQEFRELKAEQHRKE REATAARIRRRKEEKLKEQEQKMKEKQEKLKEDEQRNSAAVPGYGEEEREDFDTSTENKN IEQKDLDPDVVTEDEDDPGSHKRSRRGKVGQTAVKQCIKQEEMNYCIKQEPLSADAEEAL RQEQQQKEKELLDKIQSAIACTNIFPLGRDRLYRRYWIFPSIPGLFIEEDYSGLTEDMLL PRPSSFHNNAQPRDPQVSIKTEESFLSESTSSLDQGPFDDSVLLPKPVHKPNRWCFYSSC AQLDQLIDALNSRGHRESALKETLLQEKSRICAQLAHFSEEKFHFSDKPQADSKPVSSRG RSSGACDISQMSAERQLELRLRDFLLDIEDRIYQGTLGAIKVTDRQVWRSALENGRYELL SEESKENGVIKTVNEDVEEMEMEQARVIVRDRLLGIKTETPSTISTSASTPQSVSNVVHY LALALFQIEQGIERRFLKAPLDGNDSGRSYKTVLDRWRESLLSSASLSQVFLHLSTLDRS VMWSKSILNARCKICRKKGDAENMVLCDGCDRGHHTYCVRPKLKAVPDGDWFCPECRPKQ RSRRLSSRQRPSLESDEEMEEGMEDDDDEVDDDDEEGQSEEEEYEVEQDEEDSDDDEALS PPKRGRPQVRLPIKTKGRFGPSFPSRSQRQDPGRYPSRSQQSTPKNTAKSASKNLRKTRS APPTETRSLRVGSRSTRHSPSALQDVFVELLSPHSKRRGRKGADHTPEHSPSFTNFRVST SRSSRQLIPLNTAESLSLQHSESKRRGRKRQSTESSPVPLNRRSSGRQGGVHELSAFEQL VVELVRHDDSWPFLKLVSKIQVPDYYDIIKKPIALNIIREKVNKCEYKLASEFIDDIELM FSNCFEYNPRNTSEAKAGTRLQAFFHIQAQKLGLHVSPSTVDQVSTPLAAKKSRI >ENSMUSP00000098697.2 pep:known chromosome:GRCm38:X:154575228:154579360:-1 gene:ENSMUSG00000072888.2 transcript:ENSMUST00000101137.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samt2 description:spermatogenesis associated multipass transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:3646587] MDCYTLNTKRFAGLEWIFRVTGFICSLLSLGFGIILANSKYWRLWEFDNNVVQLVYIGLW EAYYHWEFNFSGTETIILVHSPVNSTWTISPEFQYARNLILLAMLIKPVVVIFSSAALRV SIIKASVPEIQIVCYKCSVLILILSSLCTIISVTWNHVVDLYGNTTLDFPPTFPVKKEAL IKKHNTHVFPMGLVTTTLSLFGVIMFLYEIRSLKVQKKLNAQHVSKQSDDRSINEHACVC QMCQETP >ENSMUSP00000117057.1 pep:known chromosome:GRCm38:12:4863072:4874358:-1 gene:ENSMUSG00000037336.14 transcript:ENSMUST00000137337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd2b description:major facilitator superfamily domain containing 2B [Source:MGI Symbol;Acc:MGI:3583946] MPWALGCMPLIALAYFFLWFLPPFTSLRGLWYTSFYCLFQALATFFQVPYTALTMILTPS PRERDSATAYRMTMEMAGTLMGATVHGLIVSSAHGSQRCEDTVHPRSPAVSPDVARLYCI AAAVVALTYPVCGSLLCLGVKEQPDTSAPASGQGLNFFTGLAITSQHPPYLSLVVSFLFI SAAVQVEQSYLVLFCTHASKLQDHVQNLVLIILVSAVLSTPLWEWVLQRFGKKTSAFGIC VMVPFSILLAAVPSAPVAYVVAFVSGVSIAVSLLLPWSMLPDVVDDFQLQHRCGPGVETI FYSSYVFFTKLSGAGALGISTLSLEFAGYEAGACQQAEEVVVTLKVLIGAVPTCMILIGL CILLVGPTPKMPRQDTSSQLSLRRRTSYSLA >ENSMUSP00000045315.7 pep:known chromosome:GRCm38:12:4863072:4874358:-1 gene:ENSMUSG00000037336.14 transcript:ENSMUST00000045921.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd2b description:major facilitator superfamily domain containing 2B [Source:MGI Symbol;Acc:MGI:3583946] MSVPHGPTPAPVAEPHTQEPGSDKRDGRLSVCTKVCYGIGGVPNQVASSASAFYLQLFLL DVAQIPAAQVSLALFGGKVSGAVADPVAGFFINKSRRTGSGRLMPWALGCMPLIALAYFF LWFLPPFTSLRGLWYTSFYCLFQALATFFQVPYTALTMILTPSPRERDSATAYRMTMEMA GTLMGATVHGLIVSSAHGSQRCEDTVHPRSPAVSPDVARLYCIAAAVVALTYPVCGSLLC LGVKEQPDTSAPASGQGLNFFTGLAITSQHPPYLSLVVSFLFISAAVQVEQSYLVLFCTH ASKLQDHVQNLVLIILVSAVLSTPLWEWVLQRFGKKTSAFGICVMVPFSILLAAVPSAPV AYVVAFVSGVSIAVSLLLPWSMLPDVVDDFQLQHRCGPGVETIFYSSYVFFTKLSGAGAL GISTLSLEFAGYEAGACQQAEEVVVTLKVLIGAVPTCMILIGLCILLVGPTPKMPRQDTS SQLSLRRRTSYSLA >ENSMUSP00000082945.5 pep:known chromosome:GRCm38:12:4862440:4874358:-1 gene:ENSMUSG00000037336.14 transcript:ENSMUST00000085790.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd2b description:major facilitator superfamily domain containing 2B [Source:MGI Symbol;Acc:MGI:3583946] MSVPHGPTPAPVAEPHTQEPGSDKRDGRLSVCTKVCYGIGGVPNQVASSASAFYLQLFLL DVAQIPAAQVSLALFGGKVSGAVADPVAGFFINKSRRTGSGRLMPWALGCMPLIALAYFF LWFLPPFTSLRGLWYTSFYCLFQALATFFQVPYTALTMILTPSPRERDSATAYRMTMEMA GTLMGATVHGLIVSSAHGSQRCEDTVHPRSPAVSPDVARLYCIAAAVVALTYPVCGSLLC LGVKEQPDTSAPASGQGLNFFTGLAITSQHPPYLSLVVSFLFISAAVQVEQSYLVLFCTH ASKLQDHVQNLVLIILVSAVLSTPLWEWVLQRFGKKTSAFGICVCRV >ENSMUSP00000114977.1 pep:known chromosome:GRCm38:12:4868948:4874359:-1 gene:ENSMUSG00000037336.14 transcript:ENSMUST00000147241.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd2b description:major facilitator superfamily domain containing 2B [Source:MGI Symbol;Acc:MGI:3583946] MSVPHGPTPAPVAEPHTQEPGSDKRDGRLSVCTKVCYGIGGVPNQVASSASAFYLQLFLL DVAQIPAAQVSLALFGGKVSGAVADPVAGFFINKSRRTGSGRLMPCCASTGRWAACP >ENSMUSP00000039357.7 pep:known chromosome:GRCm38:5:142562358:142608800:-1 gene:ENSMUSG00000039533.8 transcript:ENSMUST00000037048.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmd2 description:monocyte to macrophage differentiation-associated 2 [Source:MGI Symbol;Acc:MGI:1922354] MFTLARLLDFQKTKYARFMNDRVPAHKRYQPTEYEHAANCATHAFWIIPSILGSSNLYFL SDDDWETISAWIYGLGLCGLFVVSTIFHTVSWKKSHLRMVEHCLHMIDRMVIYFFIAASY APWLNLRELGPWASHMRWLVWIMASIGTIYVFFFHERYKLVELLCYVVMGFFPALVILSM PNTDGIWELMTGGAFYCLGMVFFKSDGRIPFAHAIWHLFVAFGAGTHYYAIWRYLYLPST LQTKVSK >ENSMUSP00000137329.1 pep:known chromosome:GRCm38:4:143511343:143517831:1 gene:ENSMUSG00000095409.1 transcript:ENSMUST00000178489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13043 description:predicted gene 13043 [Source:MGI Symbol;Acc:MGI:3649500] MSFQAPPTLLSLAVQSLAKNEALAINALQELPRELFPPLFKAAFTGRHTKILKEMVGAWP LPCLPVGALMNVPDMTILQAVLDGVDMQLTGNFHPRKRKLQVLDLRNVHHHFWDVSAGPE DGDGSAETVCQKQKATRPHSYALRRRLKVVTDLCLQFDLEEHQTYFLQWAQERRGSLNLC CVKMQIRELPLHTVRKILQIFQPYCIEELELHIDWTLSTLASFAPCLGQIKNLRKLHLTL VHEKLFTFLCTSTDIKEKSVTKFISQFSKLNSLQHLDLAGLYFLTGHMNELLVCLKTPLE FLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGVILHDLSLVPLGIFLENVANTLKTLEL EHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISMPVLTNLLHHTANLSQLIMELYPAPL ECYETGFVLRPERIVQLSSELMNILCTVRQPHSICFASQLCLICSQRCTYGLETRLCHCC Q >ENSMUSP00000055901.5 pep:known chromosome:GRCm38:16:62814676:62824346:1 gene:ENSMUSG00000047854.5 transcript:ENSMUST00000055557.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx19 description:syntaxin 19 [Source:MGI Symbol;Acc:MGI:1915409] MKDRLQELKQKTKEIELSRDGQVFVEEEQGVLVQQAVIYEREPVAERHLHEIQKLQENIN SFVDDVQRFGQQQKSLVASMRRFSLLKRDSTIAKEIKIQAEHINRALGDVVKEVKKSEVE NGPSSVVTRILKSQYAAMFRRFQQTMFLYNDTIALKQEKCKTFIVRQLEVAGKEVSEEEV NDMLHHGKWEVFNESLLTETSITKAQLSEIEQRHKELVNLENQVKDLRDLFIQISLLVEE QGESINSIEVMVNSTKDYVNNTKEKFGLAVKYKKRNPCRALCCCCCPRCGSK >ENSMUSP00000129205.1 pep:known chromosome:GRCm38:3:132684144:132841688:1 gene:ENSMUSG00000028030.12 transcript:ENSMUST00000169172.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbck description:TBC1 domain containing kinase [Source:MGI Symbol;Acc:MGI:2445052] MFPLKDAEMGAFTFFASALPHDVCGSNGLPLTPNSIKILGRFQILKTITHPRLCQYVDIS RGKHERLVVVAEHCERSLEDLLRERKPVSHSTVLCIAYEVLEGLHYLNKHGIVHRALSPH NILLDRKGHIKLAKFGLYHMTAHGDDVDFPIGYPSYLAPEVIAQGIPKTTDHVPSEKPLP SGPKSDVWSLGIILFELCVGRKLFQSLDVSERVKFLLTLGCVDDTIIVLAEEHGCLDIIK ELPENVINILKKCLTFHPSKRPTPDELMKDQVFSEVSPLYTPFIKPASLFSSSLRCADLT LPEDISDLCKDIDNDYLAERSIEEVYYLWCLAGGDLEKELINKEIIRSKPPVCTLPNFLF EDGESFGQGRDRSSLLDDTTVTLSLCQLRNRLKDVGGEAFYPLLEDDQSNLPHSNSNNEL SAAATLPLIIRERDTEYQLNRIILFDRLLKAYPYKKNQIWKEARVDIPPLMRGLTWAALL GVEGAIHAKYDAIDKDTPIPTDRQIEVDIPRCHQYDELLSSPEGHAKFRRVLKAWVVSHP DLVYWQGLDSLCAPFLYLNFNNEALAYACMSAFIPKYLYNFFLKDNSHVIQEYLTVFSQM IAFHDPELSNHLNEIGFIPDLYAIPWFLTMFTHVFPLHKIFHLWDTLLLGNSSFPFCIGV AILQQLRDRLLANGFNECILLFSDLPEIDIERCVRESVNLFCWTPKSATYRQHAQPPKPA SESSVVRSSAPYFSAECTDPPKTDLSRESIPLSDLKSEVSPRISAEDLIDLCELTVTGHF KTPTKKTKSSKPKLLVVDIRNSEDFVRGHIAGSINIPFSAAFTAEGELSQGPYTTMLHNF KGKVIVVVGHVAKQTAEFAAHLVKMKYPRVCILDGGINKIRPTGLLTVPSPQI >ENSMUSP00000142068.1 pep:known chromosome:GRCm38:3:15495751:15574985:-1 gene:ENSMUSG00000095028.6 transcript:ENSMUST00000192382.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1b description:signal-regulatory protein beta 1B [Source:MGI Symbol;Acc:MGI:3779828] MLLLDAWTHIPHSVLLLILLLGLKGAAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWYRGVGQSRLLIQSFTGEHFPRITSVSDVTKRNNLDFSIRISNVTPADSGTYYCVK FQRGSSESDREIQSGGGTELSVLAKPSSPMVSGPAARAVPKQTVTFTCRSHGFFPRNLTL KWFKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKGTATKS ELKTAGIAKIPVAVLLGSKILLLIAATVIYMHKKQNA >ENSMUSP00000088869.4 pep:known chromosome:GRCm38:3:15495751:15575065:-1 gene:ENSMUSG00000095028.6 transcript:ENSMUST00000091319.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1b description:signal-regulatory protein beta 1B [Source:MGI Symbol;Acc:MGI:3779828] MLLLDAWTHIPHSVLLLILLLGLKGAAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWYRGVGQSRLLIQSFTGEHFPRITSVSDVTKRNNLDFSIRISNVTPADSGTYYCVK FQRGSSESDREIQSGGGTELSVLAKPSSPMVSGPAARAVPKQTVTFTCRSHGFFPRNLTL KWFKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKELKTAG IAKIPVAVLLGSKILLLIAATVIYMHKKQNA >ENSMUSP00000141533.1 pep:known chromosome:GRCm38:3:15542936:15574991:-1 gene:ENSMUSG00000095028.6 transcript:ENSMUST00000195778.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1b description:signal-regulatory protein beta 1B [Source:MGI Symbol;Acc:MGI:3779828] MLLLDAWTHIPHSVLLLILLLGLKDVTKRNNLDFSIRISNVTPADSGTYYCVKFQRGSSE SDREIQSGGGTELSVLAKPSSPMVSGPAARAVPKQTVTFTCRSHGFFPRNLTLKWFKNGN EISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRGIAHISEF IQ >ENSMUSP00000002457.1 pep:known chromosome:GRCm38:4:123105165:123126091:1 gene:ENSMUSG00000002384.2 transcript:ENSMUST00000002457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp8b description:bone morphogenetic protein 8b [Source:MGI Symbol;Acc:MGI:107335] MAARPGLLWLLGLALCVLGGGHLSHPPHVFPQRRLGVREPRDMQREIREVLGLPGRPRSR APVGAAQQPASAPLFMLDLYRAMTDDSGGGTPQPHLDRADLIMSFVNIVERDRTLGYQEP HWKEFHFDLTQIPAGEAVTAAEFRIYKEPSTHPLNTTLHISMFEVVQEHSNRESDLFFLD LQTLRSGDEGWLVLDITAASDRWLLNHHKDLGLRLYVETEDGHSIDPGLAGLLGRQAPRS RQPFMVGFFRANQSPVRAPRTARPLKKKQLNQINQLPHSNKHLGILDDGHGSHGREVCRR HELYVSFRDLGWLDSVIAPQGYSAYYCAGECIYPLNSCMNSTNHATMQALVHLMKPDIIP KVCCVPTELSAISLLYYDRNNNVILRRERNMVVQACGCH >ENSMUSP00000086703.5 pep:known chromosome:GRCm38:16:62793685:62847040:-1 gene:ENSMUSG00000022911.10 transcript:ENSMUST00000089289.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl13b description:ADP-ribosylation factor-like 13B [Source:MGI Symbol;Acc:MGI:1915396] MFSLMANCCNLFKRWREPVRKVTLVMVGLDNAGKTATAKGIQGEHPEDVAPTVGFSKIDL RQGKFQVTIFDLGGGKRIRGIWKNYYAESYGVIFVVDSSDEERMEETKETMSEVLRHPRI SGKPILVLANKQDKEGALGEADVIECLSLEKLVNEHKCLCQIEPCSAVLGYGKKIDKSIK KGLYWLLHIIAKDFDALSERIQKDTTEQRALEEQEKRERAERVRKLREEREREQTELDGT SGLAEIDSGPVLANPFQPIAAVIIENEKKQEKEKKKQTVEKDSDVGLLEHKVEPEQAAPQ SEADCCLQNPDERVVDSYREALSQQLDSEDEQDQRGSESGENSKKKTKKLRMKRSHRVEP VNTDESTPKSPTPPQPPPPVGWGTPKVTRLPKLEPLGETRHNDFYGKPLPPLAVRQRPNG DAQDTIS >ENSMUSP00000089758.4 pep:known chromosome:GRCm38:2:49451486:49551948:1 gene:ENSMUSG00000069495.12 transcript:ENSMUST00000092123.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epc2 description:enhancer of polycomb homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1278321] MSKLSFRARALDAAKPLPIYRGKDMPDLNDCVSINRAVPQMPTGMEKEEESEHHLQRAIS AQQVFREKKESMVIPVPEAESNVNYYNRLYKGEFKQPKQFIHIQPFNLDNEQPDYDMDSE DETLLNRLNRKMEIKPLQFEIMIDRLEKASSNQLVTLQEAKLLLNEDDYLIKAVYDYWVR KRKNCRGPSLIPQIKQEKRDGSTNNDPYVAFRRRTEKMQTRKNRKNDEASYEKMLKLRRE FSRAITILEMIKRREKTKRELLHLTLEVVEKRYHLGDYGGEILNEVKVNRSEKELYASPA TLHNGNHHKVQECKTKHPHHLSLKEEASDVVRQKKKYPKKPKAEAGIAPQQPTPETLPVI NKSDIKQYDFQSSDEDEFPQVLSPASEAEEENDPDGSCAFRRRAGCQYYAPRLDQANNHM CENSELADLDKLRYKHCLTTLTVPRRCIGFARRRIGRGGRVIMDRISTEHDPVLKQIDPE MLNGFSSSSQTIDFSSNFSRTNASSKPCENRLSLSEILSNIRSCRLQCFQPRLLNVQDID SEECTSRKPGQTVSSKRVSAASVALLNTSKNGISVTGGITEEQFQTHQQQLVQMQRQQLA QLHQKQQSQHSSQQTHPKAQGSSTSDCMSKTLDSASAHFAASAVVSAPVPSRSEGSKEQN TGHNNMNGVVQPSGPSKTLYSTNMALSSSPGISAVQLVRTVGHTTTNHLIPALCTSSPQT LPMNNSCLTNAVHLNNVSVVSPVNVHINTRTSAPSPTALKLATVAASMDRVPKVTPSSAI SSIARENHEPERLGLNGLAETTVAMEVT >ENSMUSP00000119134.1 pep:known chromosome:GRCm38:2:49528875:49537081:1 gene:ENSMUSG00000069495.12 transcript:ENSMUST00000152485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epc2 description:enhancer of polycomb homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1278321] EKMLKLRREFSRAITILEMIKRREKTKRELLHLTLEVVEKRYHLGDYGGEILNEVKVNRS EKELYASPATLHNGNHHKVQECKTKVLSPASEAEEENDPDGSCAFRRRAGCQYYAPRLDQ ANNHMCENSELADLDKLRYKHCLTTLTVPRRCIGFARRRIGRGGRVIMDRISTEHDPVLK QIDPEMLNGFSSSSQTIDFSSNFSRTNASSKPCENRLSLSEILSNIRSCRLQCFQPRLLN VQDIDSEECT >ENSMUSP00000032479.4 pep:known chromosome:GRCm38:6:124996694:125003096:1 gene:ENSMUSG00000030329.11 transcript:ENSMUST00000032479.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pianp description:PILR alpha associated neural protein [Source:MGI Symbol;Acc:MGI:2441908] MWSAQLLSQLLPLWPLLLLSVLPPAQGSSHRSPPAPARPPCVRGGPSAPRHVCVWERAPP PSRSPRVPRSRRQVVPGTAPPATPSGFEEGPPSSQYPWAIVWGPTVSREDGGDPNSVNPG FLPLDYGFAAPHGLATPHPNSDSMRDDGDGLILGETPATLRPFLFGGRGEGVDPQLYVTI TISIIIVLVATGIIFKFCWDRSQKRRRPSGQQGALRQEESQQPLTDLSPAGVTVLGAFGD SPTPTPDHEEPRGGPRPGMPQPKGAPAFQLNRIPLVNL >ENSMUSP00000145297.1 pep:known chromosome:GRCm38:6:124996862:125003096:1 gene:ENSMUSG00000030329.11 transcript:ENSMUST00000162000.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pianp description:PILR alpha associated neural protein [Source:MGI Symbol;Acc:MGI:2441908] MWSAQLLSQLLPLWPLLLLSVLPPAQGSSHRSPPAPARPPCVRGGPSAPRHVCVWERAPP PSRSPRVPRSRRQVVPGTAPPATPSGFEEGPPSSQYPWAIVWGPTVSREDGGDPNSVNPG FLPLDYGFAAPHGLATPHPNSDSMRDDGDGLILGETPATLRPFLFGGRGEGVDPQLYVTI TISIIIVLVATGIIFKFCWDRSQKRRRPSGQQGALRQEESQQPLTDLSPAGVTVLGAFGD SPTPTPDHEEPRGGPRPGMPQPKGAPAFQLNR >ENSMUSP00000124024.1 pep:known chromosome:GRCm38:6:124997075:124999731:1 gene:ENSMUSG00000030329.11 transcript:ENSMUST00000159391.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pianp description:PILR alpha associated neural protein [Source:MGI Symbol;Acc:MGI:2441908] MWSAQLLSQLLPLWPLLLLSVLPPAQGSSHRSPPAPARPPCVRGGPSAPRHVCVWERAPP PSRSPRVPRSRRQVVPGTAPPATPSGFEEGPPSSQYPWAIVWGPTVSREDGGDPNSVNPG FLPLDYGFAAPHGLATPHPNSDSMRDDGDGLILGETPATLRPFLFGG >ENSMUSP00000123940.1 pep:known chromosome:GRCm38:6:124998437:125003096:1 gene:ENSMUSG00000030329.11 transcript:ENSMUST00000162170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pianp description:PILR alpha associated neural protein [Source:MGI Symbol;Acc:MGI:2441908] MWSAQLLSQLLPLWPLLLLSVLPPAQGSSHRSPPAPARPPCVRGGPSAPRHVCVWERAPP PSRSPRVPRSRRQVVPGTAPPATPSGFEEGPPSSQYPWAIVWGPTVSREDGGDPNSVNPG FLPLDYGFAAPHGLATPHPNSDSMRDDGDGLILGETPATLRPFLFGGRGEGVDPQLYVTI TISIIIVLVATGIIFKFCWDRSQKRRRPSGQQGALRQEESQQPLTDLSPAGVTVLGAFGD SPTPTPDHEEPRGGPRPGMPQPKGAPAFQLNRIPLVNL >ENSMUSP00000124160.1 pep:known chromosome:GRCm38:6:124998610:125002143:1 gene:ENSMUSG00000030329.11 transcript:ENSMUST00000160704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pianp description:PILR alpha associated neural protein [Source:MGI Symbol;Acc:MGI:2441908] MWSAQLLSQLLPLWPLLLLSVLPPAQGSSHRSPPAPARPPCVRGGPSAPRHVCVWERAPP PSRSPRVPRSRRQVVPGTAPPATPSGFEEGPPSSQYPWAIVWGPTVSREDGGDPNSVNPG FLPLDYGFAAPHGLATPHPNSDSMRDDGDGLILGETPATLRPFLFGGRGEGVDPQLYVTI TISIIIVLVATGIIFKFCWDRSQKRRRPSGQQGALRQEESQQPLTDLSPAGVTVLGAFGD SPTPTPDHEEPRGGPRPGMPQPKGAPAFQLNRIPLVNL >ENSMUSP00000125600.1 pep:known chromosome:GRCm38:6:124998639:124999445:1 gene:ENSMUSG00000030329.11 transcript:ENSMUST00000161292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pianp description:PILR alpha associated neural protein [Source:MGI Symbol;Acc:MGI:2441908] MWSAQLLSQLLPLWPLLLLSVLPPAQGSSHRSPPAPARPPCVRGGPSAPRHVCVWERAPP PSRSPRVPRSRR >ENSMUSP00000125328.1 pep:known chromosome:GRCm38:6:124999662:125001049:1 gene:ENSMUSG00000030329.11 transcript:ENSMUST00000160666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pianp description:PILR alpha associated neural protein [Source:MGI Symbol;Acc:MGI:2441908] RDDGDGLILGETPATLRPFLFGGRGEGVDPQLYVTITISIIIVLVATGIIFKFWASVQEE ENWELSLNT >ENSMUSP00000126984.2 pep:known chromosome:GRCm38:9:106368632:106375724:1 gene:ENSMUSG00000053716.9 transcript:ENSMUST00000172306.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp7 description:dual specificity phosphatase 7 [Source:MGI Symbol;Acc:MGI:2387100] MKNQLRGPPVRAHMSTSGAAAAAAAGGTRAGSEPGAGSGSGAGIGAGATTGAGAMPCKSA EWLQEELEARGGASLLLLDCRPHELFESSHIETAINLAIPGLMLRRLRKGNLPIRSIIPN HADKERFATRCKAATVLLYDEATAEWQPEPGAPASVLGLLLQKLRDDGCQAYYLQGGFNK FQTEYSEHCETNVDSSSSPSGSPPTSVLGLGGLRISSDCSDGESDRELPSSATESDGSPV PSSQPAFPVQILPYLYLGCAKDSTNLDVLGKYGIKYILNVTPNLPNAFEHGGEFTYKQIP ISDHWSQNLSQFFPEAISFIDEARSKKCGVLVHCLAGISRSVTVTVAYLMQKMNLSLNDA YDFVKRKKSNISPNFNFMGQLLDFERTLGLSSPCDNHAPSEQLYFSTPTNHNLFPINTLE ST >ENSMUSP00000133511.1 pep:known chromosome:GRCm38:9:106370744:106373856:1 gene:ENSMUSG00000053716.9 transcript:ENSMUST00000173748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp7 description:dual specificity phosphatase 7 [Source:MGI Symbol;Acc:MGI:2387100] XTNVDSSSSPSGSPPTSVLGLGGLRISSDCSDGESDRELPSSATESDGSPVPSSQPAFPV QILPYLYLGCAKDSTNLDVLGKYGIKYILNVTPNLPNAFEHGGEFTYKQIPISDHWSQNL SQFFPEAISFIGVPWRWLYSDPCPCVSDEARSKKCGVLVHCLAGISRSVTVTVAYLMQKM NLSLNDAYDFVKRKKSNISPNFNFMGQLLDFERTLGLSSP >ENSMUSP00000011445.6 pep:known chromosome:GRCm38:8:3871824:3878555:-1 gene:ENSMUSG00000031495.8 transcript:ENSMUST00000011445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209d description:CD209d antigen [Source:MGI Symbol;Acc:MGI:2157947] MSDSMESKTQQVVIPEDEECLMSGTRYSDISSRLQTKFGIKSLAEYTKQSRNPLVLQLLS FLFLAGLLLIILILVSKVPSSEVQNKIYQELMQLKAEVHDGLCQPCARDWTFFNGSCYFF SKSQRNWHNSTTACQELGAQLVIIETDEEQTFLQQTSKARGPTWMGLSDMHNEATWHWVD GSPLSPSFTRYWNRGEPNNVGDEDCAEFSGDGWNDLSCDKLLFWICKKVSTSSCTTK >ENSMUSP00000147198.1 pep:known chromosome:GRCm38:8:3871921:3878495:-1 gene:ENSMUSG00000031495.8 transcript:ENSMUST00000209176.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209d description:CD209d antigen [Source:MGI Symbol;Acc:MGI:2157947] MSDSMESKTQQVVIPEDEECLMSGTRYSDISSRLQTKFGIKSLAVSKVPSSEVQNKIYQE LMQLKAEVHDGLCQPCARDWTFFNGSCYFFSKSQRNWHNSTTACQELGAQLVIIETDEEQ TFLQQTSKARGPTWMGLSDMHNEATWHWVDGSPLSPSFTRYWNRGEPNNVGDEDCAEFSG DGWNDLSCDKLLFWICKKVSTSSCTTK >ENSMUSP00000058354.9 pep:known chromosome:GRCm38:5:37820485:37824583:-1 gene:ENSMUSG00000048450.10 transcript:ENSMUST00000063116.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msx1 description:msh homeobox 1 [Source:MGI Symbol;Acc:MGI:97168] MAPAAAMTSLPLGVKVEDSAFAKPAGGGVGQAPGAAAATATAMGTDEEGAKPKVPASLLP FSVEALMADHRKPGAKESVLVASEGAQAAGGSVQHLGTRPGSLGAPDAPSSPRPLGHFSV GGLLKLPEDALVKAESPEKLDRTPWMQSPRFSPPPARRLSPPACTLRKHKTNRKPRTPFT TAQLLALERKFRQKQYLSIAERAEFSSSLSLTETQVKIWFQNRRAKAKRLQEAELEKLKM AAKPMLPPAAFGLSFPLGGPAAVAAAAGASLYSASGPFQRAALPVAPVGLYTAHVGYSMY HLT >ENSMUSP00000042927.6 pep:known chromosome:GRCm38:1:84369839:84696221:-1 gene:ENSMUSG00000036766.12 transcript:ENSMUST00000049126.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dner description:delta/notch-like EGF repeat containing [Source:MGI Symbol;Acc:MGI:2152889] MPPRRAQAPGAPLLPVLALLPLLLGAGPQSGCLASPVSAAPLPAPGPCASQPCRNGGVCT PRSVTDQEHPAADAEPRYSCTCPAGVSGTYCQFVADPCASNPCHHGNCSSSSSSSSDSYL CICNDGYEGLNCEQPLPSIPTSGWTESTAPRQLQPVPATQEPDIILPRSQATVTLPTWQP KTGQKVVEMKWDQVEVVPDVACGNASSNNSAGGRLVSFEVPQNTSVKIRQDANSLLILLW KVTATGFQQCSLIDGRSVTPLQAPGGLVLLEEMLALGPNHFIGFVNDSVAKSIVALRLTL VVKASNCVPGDSHSNDLECSGKGKCATKPSEATFSCTCQDQYIGTFCEEFDACQRKPCQN EASCIDANEKQDGSNFTCLCLPGYTGELCQSKIDYCVLDPCRNGATCVSSLSGFTCQCLE GYFGSACEEKVDPCMSSPCQNNGTCYVDGVHFTCSCSPGFTGPTCAQLVDFCALSPCAHG MCRSVGTSYKCLCDPGYHGLYCEEEYNECLSAPCLNAATCRDLINGYECVCLAEYKGTHC ELYKDPCANISCLNGGTCDSEGLNGTCICAPGFTGEECDIDINECDSNPCHHAGTCLDQP NGYTCHCPHGWVGANCEIHLQWKSGHMAESLTNMPRHSLYIIIGALCVAFILMLIILIVG ICRISRIEYQGSSRPAYEEFYNCRSIDSEFSNAIASIRHARFGKKSRPAMYDVTPIAYED YSPDDKPLVTLIKTKDL >ENSMUSP00000140986.1 pep:known chromosome:GRCm38:1:84580715:84640485:-1 gene:ENSMUSG00000036766.12 transcript:ENSMUST00000185606.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dner description:delta/notch-like EGF repeat containing [Source:MGI Symbol;Acc:MGI:2152889] MQFRTFVADPCASNPCHHGNCSSSSSSSSDSYLCICNDGYEGLNCEQPLPSIPTSGWTES TAPRQLQPVPATQEPDIILPRSQATVTLPTWQPKTGQKVVEMKWDQVEVVPDVACGNASS NNSAGGRLVSFEVPQNTSVKIRQDANSLLILLWKVTATGFQQCSLIDGRSVTPLQA >ENSMUSP00000140662.1 pep:known chromosome:GRCm38:1:84583004:84623841:-1 gene:ENSMUSG00000036766.12 transcript:ENSMUST00000191546.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dner description:delta/notch-like EGF repeat containing [Source:MGI Symbol;Acc:MGI:2152889] MKWDQVEVVPDVACGNASSNNSAGGRLVSFEVPQNTSV >ENSMUSP00000120367.1 pep:known chromosome:GRCm38:12:105146014:105155009:1 gene:ENSMUSG00000060863.12 transcript:ENSMUST00000142230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcl1b2 description:T cell leukemia/lymphoma 1B, 2 [Source:MGI Symbol;Acc:MGI:1351609] MVAKLETCSHSPYCNKIETCVTVHLWQMTRYPQEPAPYNPMNYNFLPMTWRLASMNTYRG TDAMHWRLLNHSQVGDTVQLILMLE >ENSMUSP00000121354.1 pep:known chromosome:GRCm38:12:105147019:105155223:1 gene:ENSMUSG00000060863.12 transcript:ENSMUST00000146174.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcl1b2 description:T cell leukemia/lymphoma 1B, 2 [Source:MGI Symbol;Acc:MGI:1351609] MAAAGFYPPRLLPQVLISTGPGFYEDEHHRLWMVAKLETCSHSPYCNKIETCVTVHLWQM TRYPQEPAPYNPMNYNFLPMTWRLASMNTYRGTDAMHWRLLNHSQVGDTVQLILMLE >ENSMUSP00000080115.6 pep:known chromosome:GRCm38:12:105147033:105155225:1 gene:ENSMUSG00000060863.12 transcript:ENSMUST00000081379.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcl1b2 description:T cell leukemia/lymphoma 1B, 2 [Source:MGI Symbol;Acc:MGI:1351609] MAAAGFYPPRLLPQVLISTGPGFYEDEHHRLWMVAKLETCSHSPYCNKIETCVTVHLWQM TRYPQEPAPYNPMNYNFLPMTWRLASMNTYRGTDAMHWRLLNHSQVGDTVQLILMLE >ENSMUSP00000028882.1 pep:known chromosome:GRCm38:2:129299610:129309972:-1 gene:ENSMUSG00000027399.1 transcript:ENSMUST00000028882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1a description:interleukin 1 alpha [Source:MGI Symbol;Acc:MGI:96542] MAKVPDLFEDLKNCYSENEDYSSAIDHLSLNQKSFYDASYGSLHETCTDQFVSLRTSETS KMSNFTFKESRVTVSATSSNGKILKKRRLSFSETFTEDDLQSITHDLEETIQPRSAPYTY QSDLRYKLMKLVRQKFVMNDSLNQTIYQDVDKHYLSTTWLNDLQQEVKFDMYAYSSGGDD SKYPVTLKISDSQLFVSAQGEDQPVLLKELPETPKLITGSETDLIFFWKSINSKNYFTSA AYPELFIATKEQSRVHLARGLPSMTDFQIS >ENSMUSP00000113308.1 pep:known chromosome:GRCm38:16:90936092:91011308:-1 gene:ENSMUSG00000022973.17 transcript:ENSMUST00000121759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj1 description:synaptojanin 1 [Source:MGI Symbol;Acc:MGI:1354961] MRKSWTCWSGSDASGGCSGGGCGRRRRRSRRKRAASEERRMAFSKGFRIYHKLDPPPFSL IVETRHKEECLMFESGAVAVLSSAEKEAIKGTYAKVLDAYGLLGVLRLNLGDTMLHYLVL VTGCMSVGKIQESEVFRVTSTEFISLRVDASDEDRISEVRKVLNSGNFYFAWSASGVSLD LSLNAHRSMQEHTTDNRFFWNQSLHLHLKHYGVNCDDWLLRLMCGGVEIRTIYAAHKQAK ACLISRLSCERAGTRFNVRGTNDDGHVANFVETEQVIYLDDCVSSFIQIRGSVPLFWEQP GLQVGSHRVRMSRGFEANAPAFDRHFRTLKDLYGKQIVVNLLGSKEGEHMLSKAFQSHLK ASEHASDIHMVSFDYHQMVKGGKAEKLHSILKPQVQKFLDYGFFYFDGSEVQRCQSGTVR TNCLDCLDRTNSVQAFLGLEMLAKQLEALGLAEKPQLVTRFQEVFRSMWSVNGDSISKIY AGTGALEGKAKLKDGARSVTRTIQNNFFDSSKQEAIDVLLLGNTLNSDLADKARALLTTG SLRVSEQTLQSASSKVLKNMCENFYKYSKPKKIRVCVGTWNVNGGKQFRSIAFKNQTLTD WLLDAPKLAGIQEFQDKRSKPTDIFAIGFEEMVELNAGNIVNASTTNQKLWAVELQKTIS RDNKYVLLASEQLVGVCLFVFIRPQHAPFIRDVAVDTVKTGMGGATGNKGAVAIRMLFHT TSLCFVCSHFAAGQSQVKERNEDFVEIARKLSFPMGRMLFSHDYVFWCGDFNYRIDLPNE EVKELIRQQNWDSLIAGDQLINQKNAGQIFRGFLEGKVTFAPTYKYDLFSEDYDTSEKCR TPAWTDRVLWRRRKWPFDRSAEDLDLLNASFQDESKILYTWTPGTLLHYGRAELKTSDHR PVVALIDIDIFEVEAEERQKIYKEVIAVQGPPDGTVLVSIKSSAQESTFFDDALIDELLR QFAHFGEVILIRFVEDKMWVTFLEGSSALNALSLNGKELLNRTITITLKSPDWIKHLEEE MSLEKISVTLPSSASSTLLGEDAEVAADFDMEGDVDDYSAEVEELLPQHLQPSSSSGLGT SPSSSPRTSPCQSPTVPEYSAPSLPIRPSRAPSRTPGPPSSQGSPVDTQPAAQKDSSQTL EPKRPPPPRPVAPPARPAPPQRPPPPSGARSPAPARKEFGGVGAPPSPGVARREIEAPKS PGTARKDNIGRNQPSPQAGLAGPGPAGYGAARPTIPARAGVISAPQSQARVCAGRPTPDS QSKPSETLKGPAVLPEPLKPQAAFPQQPSLPTPAQKLQDPLVPIAAPTMPPSGPQPNLET PPQPPPRSRSSQSLPSDSSPQLQVKINGISGVKQEPTLKSDPFEDLSLSVLAVSKAQPSV QISPVLTPDPKMLIQLPSASQSQVNPLSSVSCMPTRPPGPEESKSQESMGSSANPFPSLP CRNPFTDRTAAPGNPFRVQSQESEATSWLSKEEPVPNSPFPPLMPLSHDTSKASSSLGGF EDNFDLQSQSTVKTSNPKGWVTFDEDDNFPTTGKSKSVCPDLVGNAPASFDDDWSKGASV SFCVLPARRPPPPPPPVPLLPPGTTSSAGPSTTLPSKAPSTLDFTER >ENSMUSP00000122675.1 pep:known chromosome:GRCm38:16:90936106:90950419:-1 gene:ENSMUSG00000022973.17 transcript:ENSMUST00000154276.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj1 description:synaptojanin 1 [Source:MGI Symbol;Acc:MGI:1354961] XPPPRPVAPPARPAPPQRPPPPSGARSPAPARKEFGAPKSPGTARKDNIGRNQPSPQAGL AGPGPAGYGAARPTIPARAGVISAPQSQARVCAGRPTPDSQSKPSETLKGPAVLPEPLKP QAAFPQQPSLPTPAQKLQDPLVPIAAPTMPPSGPQPNLETPPQPPPRSRSSQSLPSDSSP QLQQEQPTG >ENSMUSP00000113518.2 pep:known chromosome:GRCm38:16:90938240:91011113:-1 gene:ENSMUSG00000022973.17 transcript:ENSMUST00000118390.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj1 description:synaptojanin 1 [Source:MGI Symbol;Acc:MGI:1354961] XRRSRRKRAASEERRMAFSKGFRIYHKLDPPPFSLIVETRHKEECLMFESGAVAVLSSAE KEAIKGTYAKVLDAYGLLGVLRLNLGDTMLHYLVLVTGCMSVGKIQESEVFRVTSTEFIS LRVDASDEDRISEVRKVLNSGNFYFAWSASGVSLDLSLNAHRSMQEHTTDNRFFWNQSLH LHLKHYGVNCDDWLLRLMCGGVEIRTIYAAHKQAKACLISRLSCERAGTRFNVRGTNDDG HVANFVETEQVIYLDDCVSSFIQIRGSVPLFWEQPGLQVGSHRVRMSRGFEANAPAFDRH FRTLKDLYGKQIVVNLLGSKEGEHMLSKAFQSHLKASEHASDIHMVSFDYHQMVKGGKAE KLHSILKPQVQKFLDYGFFYFDGSEVQRCQSGTVRTNCLDCLDRTNSVQAFLGLEMLAKQ LEALGLAEKPQLVTRFQEVFRSMWSVNGDSISKIYAGTGALEGKAKLKDGARSVTRTIQN NFFDSSKQEAIDVLLLGNTLNSDLADKARALLTTGSLRVSEQTLQSASSKVLKNMCENFY KYSKPKKIRVCVGTWNVNGGKQFRSIAFKNQTLTDWLLDAPKLAGIQEFQDKRSKPTDIF AIGFEEMVELNAGNIVNASTTNQKLWAVELQKTISRDNKYVLLASEQLVGVCLFVFIRPQ HAPFIRDVAVDTVKTGMGGATGNKGAVAIRMLFHTTSLCFVCSHFAAGQSQVKERNEDFV EIARKLSFPMGRMLFSHDYVFWCGDFNYRIDLPNEEVKELIRQQNWDSLIAGDQLINQKN AGQIFRGFLEGKVTFAPTYKYDLFSEDYDTSEKCRTPAWTDRVLWRRRKWPFDRSAEDLD LLNASFQDESKILYTWTPGTLLHYGRAELKTSDHRPVVALIDIDIFEVEAEERQKIYKEV IAVQGPPDGTVLVSIKSSAQESTFFDDALIDELLRQFAHFGEVILIRFVEDKMWVTFLEG SSALNALSLNGKELLNRTITITLKSPDWIKHLEEEMSLEKISVTLPSSASSTLLGEDAEV AADFDMEGDVDDYSAEVEELLPQHLQPSSSSGLGTSPSSSPRTSPCQSPTVPEYSAPSLP IRPSRAPSRTPGPPSSQGSPVDTQPAAQKDSSQTLEPKRPPPPRPVAPPARPAPPQRPPP PSGARSPAPARKEFGGVGAPPSPGVARREIEAPKSPGTARKDNIGRNQPSPQAGLAGPGP AGYGAARPTIPARAGVISAPQSQARVCAGRPTPDSQSKPSETLKGPAVLPEPLKPQAAFP QQPSLPTPAQKLQDPLVPIAAPTMPPSGPQPNLETPPQPPPRSRSSQSLPSDSSPQLQQE QPTG >ENSMUSP00000123231.1 pep:known chromosome:GRCm38:16:90938803:90944871:-1 gene:ENSMUSG00000022973.17 transcript:ENSMUST00000129743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj1 description:synaptojanin 1 [Source:MGI Symbol;Acc:MGI:1354961] QSQARVCAGRPTPDSQSKPSETLKGPAVLPEPLKPQAAFPQQPSLPTPAQKLQDPLVPIA APTMPPSGPQPNLETPPQPPPRSRSSQSLPSDSSPQLQEQPTG >ENSMUSP00000120399.1 pep:known chromosome:GRCm38:16:90944476:90950424:-1 gene:ENSMUSG00000022973.17 transcript:ENSMUST00000125032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj1 description:synaptojanin 1 [Source:MGI Symbol;Acc:MGI:1354961] XRPPPPRPVAPPARPAPPQRPPPPSGRNQPSPQAGLAGPGPAGYGAARPTIPARAGVISA PQSQARVCAGRPTPDSQSKPSETLKGPAVLPEPLKPQAAFPQQPSLPTPAQKLQDPLVP >ENSMUSP00000119712.1 pep:known chromosome:GRCm38:16:90964264:91011060:-1 gene:ENSMUSG00000022973.17 transcript:ENSMUST00000130813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj1 description:synaptojanin 1 [Source:MGI Symbol;Acc:MGI:1354961] MAFSKGFRIYHKLDPPPFSLIVETRHKEECLMFESGAVAVLSSAEKEAIKGTYAKVLDAY GLLGVLRLNLGDTMLHYLVLVTGCMSVGKIQESEVFRVTSTEFISLRVDASDEDRISEVR KVLNSGNFYFAWSASGVSLDLSLNAHRSMQEHTTDNRFFWNQSLHLHLKHYGVNCDDWLL RLMCGGVEIRTIYAAHKQAKACLISRLSCERAGTRFNVRGTNDDGHVANFVETEQVIYLD DCVSSFIQIRGSVPLFWEQPGLQVGSHRVRMSRGFEANAPAFDRHFRTLKDLYGKQIVVN LLGSKEGEHMLSKAFQSHLKASEHASDIHMVSFDYHQMVKGGKAEKLHSILKPQVQKFLD YGFFYFDGSEVQRCQSGTVRTNCLDCLDRTNSVQAFLGLEMLAKQLEALGLAEKPQLVTR FQEVFRSMWSVNGDSISKIYAGTGALEGKAKAGKLKDGARSVTRTIQNNFFDSSKQEAID VLLLGNTLNSDLADKARALLTTGSLRASSKVLKNMCENFYKYSKPKKIRVCVGTWNVNGG KQFRSIAFKNQTLTDWLLDAPKLAGIQEFQDKRSKPTDIFAIGFEEMVELNAGNIVNAST TNQKLWAVELQKTISRDNKYVLLASEQLVGVCLFVFIRPQHAPFIRDVAVDTVKTGMGGA TGNKGAVAIRMLFHTTSLCFVCSHFAAGQSQVK >ENSMUSP00000128997.1 pep:known chromosome:GRCm38:16:90936101:91011095:-1 gene:ENSMUSG00000022973.17 transcript:ENSMUST00000170853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj1 description:synaptojanin 1 [Source:MGI Symbol;Acc:MGI:1354961] MAFSKGFRIYHKLDPPPFSLIVETRHKEECLMFESGAVAVLSSAEKEAIKGTYAKVLDAY GLLGVLRLNLGDTMLHYLVLVTGCMSVGKIQESEVFRVTSTEFISLRVDASDEDRISEVR KVLNSGNFYFAWSASGVSLDLSLNAHRSMQEHTTDNRFFWNQSLHLHLKHYGVNCDDWLL RLMCGGVEIRTIYAAHKQAKACLISRLSCERAGTRFNVRGTNDDGHVANFVETEQVIYLD DCVSSFIQIRGSVPLFWEQPGLQVGSHRVRMSRGFEANAPAFDRHFRTLKDLYGKQIVVN LLGSKEGEHMLSKAFQSHLKASEHASDIHMVSFDYHQMVKGGKAEKLHSILKPQVQKFLD YGFFYFDGSEVQRCQSGTVRTNCLDCLDRTNSVQAFLGLEMLAKQLEALGLAEKPQLVTR FQEVFRSMWSVNGDSISKIYAGTGALEGKAKLKDGARSVTRTIQNNFFDSSKQEAIDVLL LGNTLNSDLADKARALLTTGSLRVSEQTLQSASSKVLKNMCENFYKYSKPKKIRVCVGTW NVNGGKQFRSIAFKNQTLTDWLLDAPKLAGIQEFQDKRSKPTDIFAIGFEEMVELNAGNI VNASTTNQKLWAVELQKTISRDNKYVLLASEQLVGVCLFVFIRPQHAPFIRDVAVDTVKT GMGGATGNKGAVAIRMLFHTTSLCFVCSHFAAGQSQVKERNEDFVEIARKLSFPMGRMLF SHDYVFWCGDFNYRIDLPNEEVKELIRQQNWDSLIAGDQLINQKNAGQIFRGFLEGKVTF APTYKYDLFSEDYDTSEKCRTPAWTDRVLWRRRKWPFDRSAEDLDLLNASFQDESKILYT WTPGTLLHYGRAELKTSDHRPVVALIDIDIFEVEAEERQKIYKEVIAVQGPPDGTVLVSI KSSAQESTFFDDALIDELLRQFAHFGEVILIRFVEDKMWVTFLEGSSALNALSLNGKELL NRTITITLKSPDWIKHLEEEMSLEKISVTLPSSASSTLLGEDAEVAADFDMEGDVDDYSA EVEELLPQHLQPSSSSGLGTSPSSSPRTSPCQSPTVPEYSAPSLPIRPSRAPSRTPGPPS SQGSPVDTQPAAQKDSSQTLEPKRPPPPRPVAPPARPAPPQRPPPPSGARSPAPARKEFG GVGAPPSPGVARREIEAPKSPGTARKDNIGRNQPSPQAGLAGPGPAGYGAARPTIPARAG VISAPQSQARVCAGRPTPDSQSKPSETLKGPAVLPEPLKPQAAFPQQPSLPTPAQKLQDP LVPIAAPTMPPSGPQPNLETPPQPPPRSRSSQSLPSDSSPQLQQEQPTG >ENSMUSP00000146139.1 pep:known chromosome:GRCm38:7:127479592:127491502:1 gene:ENSMUSG00000042423.8 transcript:ENSMUST00000206394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbrs description:fibrosin [Source:MGI Symbol;Acc:MGI:104648] MHVRVAYMILRHQEKMKGDSHKLDFRNDLLPCLPGPYGALPPGQELSHPASLFTATGAVH AAANPFTTAPGAHGPFLSPSTHIDPFGRPTSFASLAALSNGAFGGLGSPTFNSSAVFAQK ESPGAPPAFASPPDPWGRLHRSPLAFPAWVRPPETARTPGSDKERPMERREPSVTKEEKD RDLPFSRPQLRVSPATPKARAGEEGARPAKESVRVKEERKEEAAAAAAAAAAAAAAAAAA AAAAAATTGPQGLHLLLERPRPPPFLGPSLPERCAGFPEPTWLAGPPRLARPPRFYEAGE ELTGPGAMAAARLYSLDPAHPLLYSRLAPPPPPTATPGTPHLLSKTPPGALLGAPPPLVP APRPSSPPRAPGPARADR >ENSMUSP00000145783.1 pep:known chromosome:GRCm38:7:127484606:127491506:1 gene:ENSMUSG00000042423.8 transcript:ENSMUST00000205432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbrs description:fibrosin [Source:MGI Symbol;Acc:MGI:104648] MFEKYPGKMEGLFRHNPYMAFPPAVPGLPPGLPPAVSFGSLQGAFQPKNTNPELPPRLGP VLSGLPQKGTQIPDHFRPPLRKPGKWCAMHVRVAYMILRHQEKMKGDSHKLDFRNDLLPC LPGPYGALPPGQELSHPASLFTATGAVHAAANPFTTAPGAHGPFLSPSTHIDPFGRPTSF ASLAALSNGAFGGLGSPTFNSSAVFAQKESPGAPPAFASPPDPWGRLHRSPLAFPAWVRP PETARTPGSDKERPMERREPSVTKEEKDRDLPFSRPQLRVSPATPKARAGEEGARPAKES VRVKEERKEEAAAAAAAAAAAAAAAAAAAAAAAATTGPQGLHLLLERPRPPPFLGPSLPE RCAGFPEPTWLAGPPRLARPPRFYEAGEELTGPGAMAAARLYSLDPAHPLLYSRLAPPPP PTATPGTPHLLSKTPPGALLGAPPPLVPAPRPSSPPRAPGPARADR >ENSMUSP00000145666.1 pep:known chromosome:GRCm38:7:127485641:127489729:1 gene:ENSMUSG00000042423.8 transcript:ENSMUST00000205803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbrs description:fibrosin [Source:MGI Symbol;Acc:MGI:104648] XPPAVSFGSLQGAFQPKNTNPELPPRLGPVLSGLPQKGTQIPDHFRPPLRVSLKPGKWCA MHVRVAYMILRHQEKMKGDSHKLDFRNDLLPCLPGPYGALPPGQELSHPASLFTATGAVH AAANPFTTAPGAHGPFLSPSTHIDPFGRPTSFASLAALSNGAFGGLGSPTFNSSAVFAQK ESPGAPPAFASPPDPWGRLHRSPLAFPAWVRPPETARTPGSDKERPMERREPSVTKEEKD RDLPFSRPQLRVSP >ENSMUSP00000145748.1 pep:known chromosome:GRCm38:7:127485647:127490139:1 gene:ENSMUSG00000042423.8 transcript:ENSMUST00000206484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbrs description:fibrosin [Source:MGI Symbol;Acc:MGI:104648] XAVSFGSLQGAFQPKNTNPELPPRLGPVLSGLPQKGTQIPDHFRPPLRKPGKWCAMHVRV AYMILRHQEKMKGDSHKLDFRNDLLPCLPGPYGALPPGQELSHPASLFTATGAVHAAANP FTTAPGAHGPFLSPSTHIDPFGRPTSFASLAALSNGAFGGLGSPTFNPWGRLHRSPLAFP AWVRPPETARTPGSDKERPMERREPSVTKEEKDRDLPFSRPQLRVSPATPKARAGEEGAR PAKESVRVKEERKEEAAAAAAAAAAAAAAAAAAAAAAAATTGPQGLHLLLERPRPPPFLG PSLPERCAGFPEPTWLAGPPRLARPPRFYEAGEELTGPGAMAAARLYSLDPAHPLLYSRL APPP >ENSMUSP00000043682.6 pep:known chromosome:GRCm38:7:127485221:127491513:1 gene:ENSMUSG00000042423.8 transcript:ENSMUST00000048896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbrs description:fibrosin [Source:MGI Symbol;Acc:MGI:104648] MFEKYPGKMEGLFRHNPYMAFPPAVPGLPPGLPPAVSFGSLQGAFQPKNTNPELPPRLGP VLSGLPQKGTQIPDHFRPPLRKPGKWCAMHVRVAYMILRHQEKMKGDSHKLDFRNDLLPC LPGPYGALPPGQELSHPASLFTATGAVHAAANPFTTAPGAHGPFLSPSTHIDPFGRPTSF ASLAALSNGAFGGLGSPTFNSSAVFAQKESPGAPPAFASPPDPWGRLHRSPLAFPAWVRP PETARTPGSDKERPMERREPSVTKEEKDRDLPFSRPQLRVSPATPKARAGEEGARPAKES VRVKEERKEEAAAAAAAAAAAAAAAAAAAAAAAATTGPQGLHLLLERPRPPPFLGPSLPE RCAGFPEPTWLAGPPRLARPPRFYEAGEELTGPGAMAAARLYSLDPAHPLLYSRLAPPPP PTATPGTPHLLSKTPPGALLGAPPPLVPAPRPSSPPRAPGPARADR >ENSMUSP00000107160.1 pep:known chromosome:GRCm38:6:149157147:149188712:-1 gene:ENSMUSG00000068250.13 transcript:ENSMUST00000111535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amn1 description:antagonist of mitotic exit network 1 [Source:MGI Symbol;Acc:MGI:2442933] MPLSGVVSQLLELCLQYLVINISRYISDIKYLPSNIKDRLIKIMSIRGRITDSNISEVLH PEVQRLDLRSCDISDVALQHLCKCRKLKALNLKSCREHRNSITSEGIKAVASSCSDLHEI YLKGCCSVTDEGVLALALNCHLLKIIDLGGCLGITDVSLHALGKNCPFLQCVDISTTQVS DNGVVALVSGPCAKQLEEINMRYCINLTDKAVEAALTACPRICILLFHGCPLITDRSQEV LEQLIGSRKLKQVTWSVY >ENSMUSP00000092957.3 pep:known chromosome:GRCm38:6:149157578:149188685:-1 gene:ENSMUSG00000068250.13 transcript:ENSMUST00000095319.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amn1 description:antagonist of mitotic exit network 1 [Source:MGI Symbol;Acc:MGI:2442933] MSIRGRITDSNISEVLHPEVQRLDLRSCDISDVALQHLCKCRKLKALNLKSCREHRNSIT SEGIKAVASSCSDLHEIYLKGCCSVTDEGVLALALNCHLLKIIDLGGCLGITDVSLHALG KNCPFLQCVDISTTQVSDNGVVALVSGPCAKQLEEINMRYCINLTDKAVEAALTACPRIC ILLFHGCPLITDRSQEVLEQLIGSRKLKQVTWSVY >ENSMUSP00000145210.1 pep:known chromosome:GRCm38:6:149157599:149183489:-1 gene:ENSMUSG00000068250.13 transcript:ENSMUST00000156864.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Amn1 description:antagonist of mitotic exit network 1 [Source:MGI Symbol;Acc:MGI:2442933] XDVALQHLCKCRKLKALNLKSCREHRNSITSEGIKAVASSCSDLHEIYLKGCCSVTDEGV LALALNCHLLKIIDLGGCLGITDVSLHALGKNCPFLQCVDISTTQEKSTIIDGQGTRKQL CTLGSPPTFT >ENSMUSP00000116060.1 pep:known chromosome:GRCm38:6:149170874:149188687:-1 gene:ENSMUSG00000068250.13 transcript:ENSMUST00000141346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amn1 description:antagonist of mitotic exit network 1 [Source:MGI Symbol;Acc:MGI:2442933] MSIRGRITDSNISEVLHPEVQRLDLRSCDISDVALQHLCKCRKLKALNLKSCREHRNSIT SEGIKAVASSCSDLHEIYLKGCCSVTDEGVLALALNCHLLKIIDLGGCLGITDVSLHALG K >ENSMUSP00000033898.9 pep:known chromosome:GRCm38:8:11198423:11312826:-1 gene:ENSMUSG00000031502.10 transcript:ENSMUST00000033898.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a1 description:collagen, type IV, alpha 1 [Source:MGI Symbol;Acc:MGI:88454] MGPRLSVWLLLLFAALLLHEERSRAAAKGDCGGSGCGKCDCHGVKGQKGERGLPGLQGVI GFPGMQGPEGPHGPPGQKGDAGEPGLPGTKGTRGPPGAAGYPGNPGLPGIPGQDGPPGPP GIPGCNGTKGERGPLGPPGLPGFSGNPGPPGLPGMKGDPGEILGHVPGTLLKGERGFPGI PGMPGSPGLPGLQGPVGPPGFTGPPGPPGPPGPPGEKGQMGSSFQGPKGDKGEQGVSGPP GVPGQAQVKEKGDFAPTGEKGQKGEPGFPGVPGYGEKGEPGKQGPRGKPGKDGEKGERGS PGIPGDSGYPGLPGRQGPQGEKGEAGLPGPPGTVIGTMPLGEKGDRGYPGAPGLRGEPGP KGFPGTPGQPGPPGFPTPGQAGAPGFPGERGEKGDQGFPGVSLPGPSGRDGAPGPPGPPG PPGQPGHTNGIVECQPGPPGDQGPPGTPGQPGLTGEVGQKGQKGESCLACDTEGLRGPPG PQGPPGEIGFPGQPGAKGDRGLPGRDGLEGLPGPQGSPGLIGQPGAKGEPGEIFFDMRLK GDKGDPGFPGQPGMPGRAGTPGRDGHPGLPGPKGSPGSIGLKGERGPPGGVGFPGSRGDI GPPGPPGVGPIGPVGEKGQAGFPGGPGSPGLPGPKGEAGKVVPLPGPPGAAGLPGSPGFP GPQGDRGFPGTPGRPGIPGEKGAVGQPGIGFPGLPGPKGVDGLPGEIGRPGSPGRPGFNG LPGNPGPQGQKGEPGIGLPGLKGQPGLPGIPGTPGEKGSIGGPGVPGEQGLTGPPGLQGI RGDPGPPGVQGPAGPPGVPGIGPPGAMGPPGGQGPPGSSGPPGIKGEKGFPGFPGLDMPG PKGDKGSQGLPGLTGQSGLPGLPGQQGTPGVPGFPGSKGEMGVMGTPGQPGSPGPAGTPG LPGEKGDHGLPGSSGPRGDPGFKGDKGDVGLPGMPGSMEHVDMGSMKGQKGDQGEKGQIG PTGDKGSRGDPGTPGVPGKDGQAGHPGQPGPKGDPGLSGTPGSPGLPGPKGSVGGMGLPG SPGEKGVPGIPGSQGVPGSPGEKGAKGEKGQSGLPGIGIPGRPGDKGDQGLAGFPGSPGE KGEKGSAGTPGMPGSPGPRGSPGNIGHPGSPGLPGEKGDKGLPGLDGVPGVKGEAGLPGT PGPTGPAGQKGEPGSDGIPGSAGEKGEQGVPGRGFPGFPGSKGDKGSKGEVGFPGLAGSP GIPGVKGEQGFMGPPGPQGQPGLPGTPGHPVEGPKGDRGPQGQPGLPGHPGPMGPPGFPG INGPKGDKGNQGWPGAPGVPGPKGDPGFQGMPGIGGSPGITGSKGDMGLPGVPGFQGQKG LPGLQGVKGDQGDQGVPGPKGLQGPPGPPGPYDVIKGEPGLPGPEGPPGLKGLQGPPGPK GQQGVTGSVGLPGPPGVPGFDGAPGQKGETGPFGPPGPRGFPGPPGPDGLPGSMGPPGTP SVDHGFLVTRHSQTTDDPLCPPGTKILYHGYSLLYVQGNERAHGQDLGTAGSCLRKFSTM PFLFCNINNVCNFASRNDYSYWLSTPEPMPMSMAPISGDNIRPFISRCAVCEAPAMVMAV HSQTIQIPQCPNGWSSLWIGYSFVMHTSAGAEGSGQALASPGSCLEEFRSAPFIECHGRG TCNYYANAYSFWLATIERSEMFKKPTPSTLKAGELRTHVSRCQVCMRRT >ENSMUSP00000146554.1 pep:known chromosome:GRCm38:8:11244363:11279656:-1 gene:ENSMUSG00000031502.10 transcript:ENSMUST00000208095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a1 description:collagen, type IV, alpha 1 [Source:MGI Symbol;Acc:MGI:88454] MQGPEGPHGPPGQKGDAGEPGLPGTKGTRGPPGAAGYPGNPGLPGIPGQDGPPGPPGIPG CNGTKGERGPLGPPGLPGFSGNPGPPGLPGMKGDPGEILGHVPGTLLKGERGFPGIPGMP GSPGLPGLQGPVGP >ENSMUSP00000031749.8 pep:known chromosome:GRCm38:6:43303674:43309554:-1 gene:ENSMUSG00000029736.15 transcript:ENSMUST00000031749.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nobox description:NOBOX oogenesis homeobox [Source:MGI Symbol;Acc:MGI:108011] MEPTEKLCKKMQGQEAGDKPRTAALETEGPLQDSALPIQDDQDKQSSLPRASLGKRPLSK TSEELMDAGTCRVHKAPTAAACGPQSEEEGCSPPERKAESLKPSISAVPGQATAGSLNSH EGDLKKESLEVTCQFRKKTRTLYRSDQLEELERIFQEDHYPDSDKRHEISQMVGVTPQRI MVWFQNRRAKWRKVEKLNEKETKNGPAAPSADSSQHRSAPELLDPMPTDLEPGPVPPENI LDVFPEPPMLLTSEQTLTPFQNNEGAERVAVTPPLLSPPPIRRANLPLPLGPVQTPQVLP PMRDVPGSDSIYKDKAYVSWGTSIASPPTYSNLEDLGSQDYQASSQLGSFQLSQAPHLPL FPSLQSQFPYLPPFPYPIPSSMPFLPPEDSLFSFPFGFSGDSSQDYCPGPPPGQILLQPP AENMGTGPWSGHCLPEPPFPRPHYPQALGQPLGAEGYFPNLLPTPYALTMSKQSSLGLNG LLEGTRVETGSSLSKMSDEQTSSSLEQPALEEVRDKNKNSHAAGAKE >ENSMUSP00000133353.2 pep:known chromosome:GRCm38:6:43303898:43307236:-1 gene:ENSMUSG00000029736.15 transcript:ENSMUST00000172554.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nobox description:NOBOX oogenesis homeobox [Source:MGI Symbol;Acc:MGI:108011] XKESLEVTCQFRKKTRTLYRSDQLEELERIFQEDHYPDSDKRHEISQMVGVTPQRIMVWF QNRRAKWRKVEKLNEKETKNGPAAPSADSSQHRSAPELLDPMPTDLEPGPVPPENILDVF PEPPMLLTSEQTLTPFQNNEGAERVAVTPPLLSPPPIRRANLPLPLGPVQTPQVLPPMRD VPGSDSIYKDKAYVSWGTSGDSSQDYCPGPPPGQILLQPPAENMGTGPWSGHCLPEPPFP RPHYPQALGQPLGAEGYFPNLLPTPYALTMSKQSSLGLNGLLEGTRVETGSSLSKMSDEQ TSSSLEQPALEEVRDKNKNSHAAGAKE >ENSMUSP00000039429.7 pep:known chromosome:GRCm38:3:118562129:119432924:1 gene:ENSMUSG00000033308.16 transcript:ENSMUST00000039177.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpyd description:dihydropyrimidine dehydrogenase [Source:MGI Symbol;Acc:MGI:2139667] MAGVLSRDAPDIESILALNPRVQAHATLRSTAAKKLDKKHWKRNTDKNCFTCEKLESNFD DIKHTTLGERGALREAVRCLKCADAPCQKSCPTSLDIKSFITSIANKNYYGAAKLIFSDN PLGLTCGMVCPTSDLCVGGCNLHAAEEGPINIGGLQQFATEVFKAMNIPQIRNPSLPPPE HMPEAYSAKIALFGAGPASISCASFLARLGYSNITIFEKQEYVGGLSTSEIPQFRLPYDV VNFEIELMKDLGVKIICGKSLSTDEMTLSSLKENGYRAAFIGIGLPEPKKDHIFQGLTQV QGFYTSKDFLPLVAKSSKTGMCACHSPLPSIRGAVIVLGAGDTAFDCATSALRCGALRVF IVFRKGFVNIRAVPEEMELAKEEKCEFLPFLSPRKVIVKDGKIVAMQFVRTEQDETGNWV EDEEQTVRLKADVVISAFGSVLEDPKVKEALSPIKFNRWGLPEVNPETMQTSEPWVFAGG DVVGMANTTVESVNDGKQASWYIHKHIQAQYGTSVPSQPTMPLFYTPVDLVDISVEMAGL RFPNPFGLASATPATSTPMIRRAFEAGWGFALTKTFSLDKDIVTNVSPRIIRGTTSGPLY GPGQSSFLNIELISEKTAAYWCHSVTELKADFPDNILIASIMCSYNKSDWMELSKMAEAS GADALELNLSCPHGMGERGMGLACGQDPELVRNICRWVRQAVRVPFFAKLTPNVTDIVSI ARAAKEGGADGVTATNTVSGLMGLKADGTPWPAVGIGRRTTYGGVSGTAIRPIALRAVTA IARALPGFPILATGGIDSAESGLQFLHSGASVLQVCSAIQNQDFTVIEDYCTGLKALLYL KSIEELADWDGQSPPIISHQKGKPVPRVAELMGQKLPSFGPYLEQRKKIIAASKIRQKDQ NTACSPLQRKHFNSQKPIPAIKDVIGKSLQYLGTFGEMSIMEQVVALIDEEMCINCGKCY MTCNDSGYQAIQFDPETHLPTVSDTCTGCTLCLSVCPIMDCIRMVSRATPYQPKRGLPLA VKPVC >ENSMUSP00000143022.1 pep:known chromosome:GRCm38:3:118562180:118674773:1 gene:ENSMUSG00000033308.16 transcript:ENSMUST00000149101.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpyd description:dihydropyrimidine dehydrogenase [Source:MGI Symbol;Acc:MGI:2139667] MAGVLSRDAPDIESILALNPRVQAHATLRSTAAKKLDKKHWKRNTDKNCFTCEKLESNFD DIKHTTLGERGALREAVR >ENSMUSP00000144103.1 pep:known chromosome:GRCm38:5:53697776:53707253:-1 gene:ENSMUSG00000029193.7 transcript:ENSMUST00000200691.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cckar description:cholecystokinin A receptor [Source:MGI Symbol;Acc:MGI:99478] MRTVTNIFLLSLAVSDLMLCLFCMPFNLIPNLLKDFIFGSAVCKTTTYFMGTSVSVSTFN LVAISLERYGAICRPLQSRVWQTKSHALKVIAATWCLSFTIMTPYPIYSNLVPFTKNNNQ TANMCRFLLPSDAMQQSWQTFLLLILFLIPGVVMVVAYGLISLELYQGIKFDASQKKSAK EKRLSSGGGGGGGSSSSRYEDSDGCYLQKSRPPRKLELQQLSTSSSGGRINRIRSSGSAA NLIAKKRVIRMLIVIVVLFFLCWMPIFSANAWRAYDTVSAEKHLSGTPISFILLLSYTSS CVNPIIYCFMNKRFRLGFMATFPCCPNPGPTGVRGEVGEEEDGRTIRASLSRYSYSHMST SAPPH >ENSMUSP00000031093.3 pep:known chromosome:GRCm38:5:53698485:53707705:-1 gene:ENSMUSG00000029193.7 transcript:ENSMUST00000031093.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cckar description:cholecystokinin A receptor [Source:MGI Symbol;Acc:MGI:99478] MDVVDSLLMNGSNITPPCELGLENETLFCLDQPQPSKEWQSAVQILLYSFIFLLSVLGNT LVITVLIRNKRMRTVTNIFLLSLAVSDLMLCLFCMPFNLIPNLLKDFIFGSAVCKTTTYF MGTSVSVSTFNLVAISLERYGAICRPLQSRVWQTKSHALKVIAATWCLSFTIMTPYPIYS NLVPFTKNNNQTANMCRFLLPSDAMQQSWQTFLLLILFLIPGVVMVVAYGLISLELYQGI KFDASQKKSAKEKRLSSGGGGGGGSSSSRYEDSDGCYLQKSRPPRKLELQQLSTSSSGGR INRIRSSGSAANLIAKKRVIRMLIVIVVLFFLCWMPIFSANAWRAYDTVSAEKHLSGTPI SFILLLSYTSSCVNPIIYCFMNKRFRLGFMATFPCCPNPGPTGVRGEVGEEEDGRTIRAS LSRYSYSHMSTSAPPH >ENSMUSP00000140856.1 pep:known chromosome:GRCm38:1:10038006:10047534:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000191012.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] MADSLDEFIEEQKAKLAKDKAELESDPPYMEMKGKASEKLSENSKILISMAKENIPPSSQ QQPKGPLGMV >ENSMUSP00000068804.5 pep:known chromosome:GRCm38:1:10038217:10136768:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000071087.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] MADSLDEFIEEQKAKLAKDKAELESDPPYMEMKGKASEKLSENSKILISMAKENIPPSSQ QQPKGPLGIEYGLSLPLGEDYEQKKHKLKEELRQDYRRYLTQKNFLSTGETDPSTLGVSL PIDERLSAKERLKLERNREYNQFLRGKAESTEKVRQVEKNIEPKSQRNKNPISQGKSDLP LQIQTAYTHSEGPWLSRQEEGLYRQLDGEIELRSRRPLKQTKEEVGISGAEHPSLSGSAG VPERRARRANGERVLDRQHCRADRDPGVSEDMDERFRFESDFDRRLLRVYTNGRPHGSRR GYVDGDDVPEEPNTQISAAENKSVHCNGPPRSADLDITSPFAGMLFGGEDRELTKRRKEK YRQELLEQIAEQQKKKRREKDLAFGITTSGVQDPEKSPDRLKQFSLTPRHFEEMPPERPR VAFQTPPPPFSAPSSPSVPPVHSAPSHNEDLHSGLGSTLGELAHPRVLPVPLNPPPPPLL APPASNYRTPYDDAYYFYGARNTLDPNIVYYGSGMIGGQPAPHVSAPVTHQVAPPAVNTV GQNEQKVLSDGLRNSGLVFEDKPKPSTQSLQSYQEALQEQIREREARRKKERLEKEEYEA KLEAEMRIYNPWGKGGGGAPLRDAKGNLITDLNRMHRQNIDAYHNPDARTYEDKRAVVSI DQNLATSNAENLEDSANKNSGPLQTQSSPFARGNTFGEPLSELQIKQQELYKNFLRFQIE EKRQREEAEREKLRVAEEKEEKRLAEQRARIQQEYEEEQERRREKEEEQRLKNEELIRLA EERRKEAERKKKEEEEKHNLQLQHYYERENIIGDETKHLRQPSPVVPALQNKIASKLQRP PSVDTIISSFIHESSMSRAQSPPVPARKNQLRAEEEKKNVIMELSEMRKQLRSEERRLQG RLLHLDSDDEIPMRKRERNPMDIFDMARHRVQAPVRRPSPKGLDATTFQNIHDFNELRER DSDTRVDLRLMYPDPPRDHHTLEIQQQALLREQQKRLNRIKMRRDAGADLDTICTDNAQG RRMPRDDTNDFLKNSLLESDSAFIGAYGETYPVIEDNAFPPPSQLPSARERRRNKLKGLD FDSSRLHTPQDGLSLKSISSVNVDQVRMRNEDRMRRLTEQQKKPTNTDDEGSLVDPDDIM RHLSDDGRNSAATEPWLRPGTSESLKRFMAEHLNEEQHKGPGKPGTFTWQGLSAAHA >ENSMUSP00000112800.1 pep:known chromosome:GRCm38:1:10038262:10067118:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000117415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] MADSLDEFIEEQKAKLAKDKAELESDPPYMEMKGKASEKLSENSKILISMAKENIPPSSQ QQPKGPLGIEYGLSLPLGEDYEQKKHKLKEELRQDYRRYLTQKNFLSTGETDPSTLGVSL PIDERLSAKERLKLERNREYNQFLRGKAESTEKVRQVEKNIEPKSQRNKNPISQGKSDLP LQIQTAYTHSEGPWLSRQEEGLYRQLDGEIELRSRRPLKQTKEEVGISGAEHPSLSGSAG VPERRARRANGERVLDRQHCRADRDPGVSEDMDERFRFESDFDRRLLRVYTNGRYLHLHF SSLYLLNTGVL >ENSMUSP00000112476.1 pep:known chromosome:GRCm38:1:10039773:10067976:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000118263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] MADSLDEFIEEQKAKLAKDKAELESDPPYMEMKGKASEKLSENSKILISMAKENIPPSSQ QQPKGPLGIEYGLSLPLGEDYEQKKHKLKEELRQDYRRYLTQKNFLSTGETDPSTLGVSL PIDERLSAKERLKLERNREYNQFLRGKAESTEKVRQVEKNIEPKSQRNKNPISQGKSDLP LQIQTAYTHSEGPWLSRQEEGLYRQLDGEIELRSRRPLKQTKEEVGISGAEHPSLSGSAG VPERRARRANGERVLDRQHCRADRDPGVSEDMDERFRFESDFDRRLLRVYTNGRYLHLHF SSLYLLNTGVL >ENSMUSP00000113663.1 pep:known chromosome:GRCm38:1:10039773:10068333:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000122156.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] MADSLDEFIEEQKAKLAKDKAELESDPPYMEMKGKASEKLSENSKILISMAKENIPPSSQ QQPKGPLGIEYGLSLPLGEDYEQKKHKLKEELRQDYRRYLTQGITQAKRKKNFLSTGETD PSTLGVSLPIDERLSAKERLKLERNREYNQFLRGKAESTEKVRQVEKNIEPKSQRNKNPI SQGKSDLPLQIQTAYTHSEGPWLSRQEEGLYRQLDGEIELRSRRPLKQTKEEVGISGAEH PSLSGSAGVPERRARRANGERVLDRQHCRADRDPGVSEDMDERFRFESDFDRRLLRVYTN GRYLHLHFSSLYLLNTGVL >ENSMUSP00000114091.1 pep:known chromosome:GRCm38:1:10039785:10067102:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000119714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] MADSLDEFIEEQKAKLAKDKAELESDPPYMEMKGKASEKLSENSKILISMAKENIPPSSQ QQPKGPLGIEYGLSLPLGEDYEQKKHKLKEELRQDYRRYLTQKNFLSTGETDPSTLGVSL PIDERLSAKERLKLERNREYNQFLRGKAESTEKVRQVEKNIEPKSQRNKNPISQGKSDLP LQIQTAYTHSEGPWLSRQEEGLYRQLDGEIELRSRRPLKQTKEEVGISGAEHPSLSGSAG VPERRARRANGERVLDRQHCRADRDPGVSEDMDERFRFESDFDRRLLRVYTNGRYLHLHF SSLYLLNTGVL >ENSMUSP00000117726.1 pep:known chromosome:GRCm38:1:10040155:10058823:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000155974.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] MADSLDEFIEEQKAKLAKDKAELESDPPYMEMKGKASEKLSENSKILISMAKENIPPSSQ QQPKGPLGIEYGLSLPLGEDYEQKKHKLKEELRQDYRRYLTQ >ENSMUSP00000139775.1 pep:known chromosome:GRCm38:1:10046493:10136145:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000186294.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] MDLNCYPHLVAGHAHSYLIWRGKASEKLSENSKILISMAKENIPPSSQQQPKGPLGIEYG LSLPLGEDYEQKKHKLKEELRQDYRRYLTQGITQAKRKKNFLSTGETDPSTLGVSLPIDE RLSAKERLKLERNREYNQFLRGKAESTEKVRQVEKNIEPKSQRNKNPISQGKSDLPLQIQ TAYTHSEGPWLSRQEEGLYRQLDGEIELRSRRPLKQTKEEVGISGAEHPSLSGSAGVPER RARRANGERVLDRQHCRADRDPGVSEDMDERFRFESDFDRRLLRVYTNGRPHGSRRGYVD GDDVPEEPNTQISAAENKSVHCNGPPRSADLDITSPFAGMLFGGEDRELTKRRKEKYRQE LLEQIAEQQKKKRREKDLAFGITTSGVQDPEKSPDRLKQFSLTPRHFEEMPPERPRVAFQ TPPPPFSAPSSPSVPPVHSAPSHNEDLHSGLGSTLGELAHPRVLPVPLNPPPPPLLAPPA SNYRTPYDDAYYFYGARNTLDPNIVYYGSGMIGGQPAPHVSAPVTHQVAPPAVNTVGQNE QKVLSDGLRNSGLVFEDKPKPSTQSLQSYQEALQEQIREREARRKKERLEKEEYEAKLEA EMRIYNPWGKGGGGAPLRDAKGNLISPLQTQSSPFARGNTFGEPLSELQIKQQELYKNFL RFQIEEKRQREEAEREKLRVAEEKEEKRLAEQRARIQQEYEEEQERRREKEEEQRLKNEE LIRLAEERRKEAERKKKEEEEKHNLQLQHYYERENIIGDETKHLRQPSPVVPALQNKIAS KLQRPPSVDTIISSFIHESSMSRAQSPPVPARKNQLRAEEEKKNVIMELSEMRKQLRSEE RRLQGRLLHLDSDDEIPMRKRERNPMDIFDMARHRVQAPVRRPSPKGLDATTFQNIHDFN ELRERDSDTRVDLRLMYPDPPRDHHTLEIQQQALLREQQKRLNRIKMRRDAGADLDTICT DNAQGRRMPRDDTNDFLKNSLLESDSAFIGAYGETYPVIEDNAFPPPSQLPSARERRRNK LKGLDFDSSRLHTPQDGLSLKSISSVNVDQVRMRNEDRMRRLTEQQKKPTNTDDEGSLVD PDDIMRHLSDDGRNSAATEPWLRPGTSESLKRFMAEHLNEEQHKGPGKPGTFTWQGLSAA HA >ENSMUSP00000119258.1 pep:known chromosome:GRCm38:1:10056924:10066575:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000149214.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] XNHQEQQPRIEYGLSLPLGEDYEQKKHKLKEELRQDYRRYLTQKNFLSTGETDPSTLGVS LPIDERLSAKERLKLERNREYNQFLRGKAESTEKVRQVEKNIEPKSQRNKNPISQGKSDL PLQIQTAYTHSEGPWLSRQEEGLYRQLDGEIEL >ENSMUSP00000140725.1 pep:known chromosome:GRCm38:1:10074913:10090255:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000188449.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] XNTQISAAENKSVHCNGPPRSADLDITSPFAGMLFGGEDRELTKRRKEKYRQELLEQIAE QQKKKRREKDLAFGITTSGVQDPEKSGSACASESTPASAPCPSSF >ENSMUSP00000140076.1 pep:known chromosome:GRCm38:1:10112876:10134173:1 gene:ENSMUSG00000056763.16 transcript:ENSMUST00000187226.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cspp1 description:centrosome and spindle pole associated protein 1 [Source:MGI Symbol;Acc:MGI:2681832] QRLKNEELIRLAEERRKEAERKKKEEEEKHNLQLQHYYERENIIGDETKHLRQPSPVVPA LQNKIASKLQRPPSVDTIISSFIHESSMSRAQSPPVPARKNQLRAEEEKKNVIMELSEMR KQLRSEERRLQGRLLHLDSDDEIPMRKRERNPMDIFDMARHRVQAPVRRPSPKGLDATTF QNIHDFNELRERDSDTRVDLRLMYPDPPRDHHTLEIQQQALLREQQKRLNRIKMRRDAGA DLDTICTDNAQGRRMVSNQFKFLS >ENSMUSP00000054837.8 pep:known chromosome:GRCm38:1:118834132:119053619:-1 gene:ENSMUSG00000048402.14 transcript:ENSMUST00000062483.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gli2 description:GLI-Kruppel family member GLI2 [Source:MGI Symbol;Acc:MGI:95728] METSAPAPALEKKEAKSGLLEDSSFPDPGKKACPLAVAAAVAAHGVPQQLLPAFHAPLPI DMRHQEGRYHYDPHSVHSVHGPPTLSGSPVISDISLIRLSPHPAGPGESPFSAHHPYVNP HMEHYLRSVHSSPTLSMISAARGLSPADVAHEHLKERGLFSLAAPGTNPSDYYHQMTLMA SHPTPYGDLLMQSGGAASAPHLHDYLNPVDASRFSSPRVTPRLSRKRALSISPLSDASLD LQRMIRTSPNSLVAYINNSRSSSAASGSYGHLSAGALSPAFTFPHPINPVAYQQILSQQR GLGSAFGHTPPLIQPSPTFLAQQPMTLTSISTMPTQLSSSSSNCLNDANQNKQNSESAVS STVNPITIHKRSKVKTEAEGLRPASPLGLTQEQLADLKEDLDRDDCKQEAEVVIYETNCH WADCTKEYDTQEQLVHHINNEHIHGEKKEFVCRWQACTREQKPFKAQYMLVVHMRRHTGE KPHKCTFEGCSKAYSRLENLKTHLRSHTGEKPYVCEHEGCNKAFSNASDRAKHQNRTHSN EKPYICKIPGCTKRYTDPSSLRKHVKTVHGPDAHVTKKQRNDVHVRAPLLKENGDNEASA EPGGRGPEESVEASSTSHTVEDCLHIKAIKTESSGLCQSSPGAQSSCSSEPSPLGSAPNN DSGMEMPGTGPGSLGDLTALADTCPGADTSALAAPSTGGLQLRKHMSTVHRFEQLKREKL KSLKDSCSWAGPAPHTRNTKLPPLPVNGSVLENFNNTGGGGPAGLLPSQRLPELTEVTML SQLQERRDSSTSTMSSAYTVSRRSSGISPYFSSRRSSEASPLGGLRPHNASSADSYDPIS TDASRRSSEASQCSGGGPGLLNLTPAQQYNLRAKYAAATGGPPPTPLPGLDRVSLRTRLA LLDAPERALPGACPHPLGPRRGSDGPTYSHGHGHGYAGAAPAFPHEGPNSSTRRASDPVR RPDPLILPRVQRFHSTHNMNPGSLPPCADRRGLHVQSHPSVDSNLTRNAYSPRPPSINEN VVMEAVAAGVDGPGLECDLGLVEDELVLPDDVVQYIKAHTGGTLDDGIRQGYPTEGTGFP ENSKLPSPGLQGHRRLAAADSNMGPSAPGLGGCQLSYSPSSNLNKSNMPVQWNEVSSGTV DALPTQVKPPPFPHSNLAVVQQKPAFGQYPGYNPQSVQSSSGGLDSTQPHLQLRGAPSAS RGSYTQQPRQPAAGSQCLGMSAAMSPQASYSQAHPQLSPNIVSGSLNQFSPSCSNMAAKP SHLGLPQQMEVVPNATIMNGHQREHGVPNSSLAAVSQPHPVLSYPQQDSYQQGSNLLSSH QPGFMESQQNAGFGLMQPRPPLEPNTASRHRGVRSGQQQLYARTTGQAMVTSANQETAEA MPKGPAGTMVSLAPQPSQDTGRAQDQNTLYYYGQIHMYEQNGGCPAVQPQPPQPQACSDS IQPEPLPSPGVNQVSSTVDSQLLEPPQIDFDAIMDDGDHSSLFSGALSPTLLHNLSQNSS RLTTPRNSLTLPSIPAGISNMAVGDMSSMLTSLAEESKFLNMMT >ENSMUSP00000125342.1 pep:known chromosome:GRCm38:1:118848460:119053339:-1 gene:ENSMUSG00000048402.14 transcript:ENSMUST00000161301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gli2 description:GLI-Kruppel family member GLI2 [Source:MGI Symbol;Acc:MGI:95728] METSAPAPALEKKEAKSGLLEDSSFPDPGKKACPLAVAAAVAAHGGNASCVKLTIINRTV RDPVPRVERDRPGHPISPLTVTVPQQLLPAFHAPLPIDMRHQEGRYHYDPHSVHSVHGPP TLSGSPVISDISLIRLSPHPAGPGESPFSAHHPYVNPHMEHYLRSVHSSPTLSMISAARG LSPADVAHEHLKERGLFSLAAPGTNPSDYYHQMTLMASHPTPYGDLLMQSGGAASAPHLH DYLNPVDASRFSSPRVTPRLSRKRALSISPLSDASLDLQRMIRTSPNSLVAYINNSRSSS AASGSYGHLSAGALSPAFTFPHPINPVAYQQILSQQRGLGSAFGHTPPLIQPSPTFLAQQ PMTLTSISTMPTQLSSSSSNCLNDANQNKQNSESAVSSTVNPITIHKRSKVKTEAEGLRP ASPLGLTQEQLADLKEDLDRDDCKQEA >ENSMUSP00000125059.1 pep:known chromosome:GRCm38:1:118848460:119053339:-1 gene:ENSMUSG00000048402.14 transcript:ENSMUST00000162552.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gli2 description:GLI-Kruppel family member GLI2 [Source:MGI Symbol;Acc:MGI:95728] METSAPAPALEKKEAKSGLLEDSSFPDPGKKACPLAVAAAVAAHGVPQQLLPAFHAPLPI DMRHQEGRYHYDPHSVHSVHGITIL >ENSMUSP00000124768.1 pep:known chromosome:GRCm38:1:118848460:119053339:-1 gene:ENSMUSG00000048402.14 transcript:ENSMUST00000161056.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gli2 description:GLI-Kruppel family member GLI2 [Source:MGI Symbol;Acc:MGI:95728] METSAPAPALEKKEAKSGLLEDSSFPDPGKKACPLAVAAAVAAHGVPQQLLPAFHAPLPI DMRHQEGRYHYDPHSVHSVHGGPRTSEREGTL >ENSMUSP00000125634.1 pep:known chromosome:GRCm38:1:118848460:119053339:-1 gene:ENSMUSG00000048402.14 transcript:ENSMUST00000160991.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gli2 description:GLI-Kruppel family member GLI2 [Source:MGI Symbol;Acc:MGI:95728] METSAPAPALEKKEAKSGLLEDSSFPDPGKKACPLAVAAAVAAHGGLPP >ENSMUSP00000125661.1 pep:known chromosome:GRCm38:1:118848460:119053339:-1 gene:ENSMUSG00000048402.14 transcript:ENSMUST00000159839.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gli2 description:GLI-Kruppel family member GLI2 [Source:MGI Symbol;Acc:MGI:95728] METSAPAPALEKKEAKSGLLEDSSFPDPGKKACPLAVAAAVAAHGVPQQLLPAFHAPLPI DMRHQEGRYHYDPHSVHSVHGITIL >ENSMUSP00000124132.1 pep:known chromosome:GRCm38:1:118848462:119053339:-1 gene:ENSMUSG00000048402.14 transcript:ENSMUST00000161451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gli2 description:GLI-Kruppel family member GLI2 [Source:MGI Symbol;Acc:MGI:95728] METSAPAPALEKKEAKSGLLEDSSFPDPGKKACPLAVAAAVAAHGASRFSSPRVTPRLSR KRALSISPLSDASLDLQRMIRTSPNSLVAYINNSRSSSAASGSYGHLSAGALSPAFTFPH PINPVAYQQILSQQRGLGSAFGHTPPLIQPSPTFLAQQPMTLTSISTMPTQLSSSSSNCL NDANQNKQNSESAVSSTVNPITIHKRSKVKTEAEGLRPASPLGLTQEQLADLKEDLDRDD CKQEA >ENSMUSP00000123808.2 pep:known chromosome:GRCm38:1:118848462:119053339:-1 gene:ENSMUSG00000048402.14 transcript:ENSMUST00000162607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gli2 description:GLI-Kruppel family member GLI2 [Source:MGI Symbol;Acc:MGI:95728] MLEANFCLLVAHEHLKERGLFSLAAPGTNPSDYYHQMTLMASHPTPYGDLLMQSGGAASA PHLHDYLNPVDASRFSSPRVTPRLSRKRALSISPLSDASLDLQRMIRTSPNSLVAYINNS RSSSAASGSYGHLSAGALSPAFTFPHPINPVAYQQILSQQRGLGSAFGHTPPLIQPSPTF LAQQPMTLTSISTMPTQLSSSSSNCLNDANQNKQNSESAVSSTVNPITIHKRSKVKTEAE GLRPASPLGLTQEQLADLKEDLDRDDCKQEA >ENSMUSP00000077857.5 pep:known chromosome:GRCm38:16:33056453:33060189:1 gene:ENSMUSG00000060636.13 transcript:ENSMUST00000078804.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl35a description:ribosomal protein L35A [Source:MGI Symbol;Acc:MGI:1928894] MSGRLWCKAIFAGYKRGLRNQREHTALLKIEGVYARDETEFYLGKRCAYVYKAKNNTVTP GGKPNKTRVIWGKVTRAHGNSGMVRAKFRSNLPAKAIGHRIRVMLYPSRI >ENSMUSP00000110731.1 pep:known chromosome:GRCm38:16:33056466:33060176:1 gene:ENSMUSG00000060636.13 transcript:ENSMUST00000115079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl35a description:ribosomal protein L35A [Source:MGI Symbol;Acc:MGI:1928894] MSGRLWCKAIFAGYKRGLRNQREHTALLKIEGVYARDETEFYLGKRCAYVYKAKNNTVTP GGKPNKTRVIWGKVTRAHGNSGMVRAKFRSNLPAKAIGHRIRVMLYPSRI >ENSMUSP00000110730.1 pep:known chromosome:GRCm38:16:33056527:33060165:1 gene:ENSMUSG00000060636.13 transcript:ENSMUST00000115078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl35a description:ribosomal protein L35A [Source:MGI Symbol;Acc:MGI:1928894] MSGRLWCKAIFAGYKRGLRNQREHTALLKIEGVYARDETEFYLGKRCAYVYKAKNNTVTP GGKPNKTRVIWGKVTRAHGNSGMVRAKFRSNLPAKAIGHRIRVMLYPSRI >ENSMUSP00000110728.1 pep:known chromosome:GRCm38:16:33056806:33060175:1 gene:ENSMUSG00000060636.13 transcript:ENSMUST00000115076.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl35a description:ribosomal protein L35A [Source:MGI Symbol;Acc:MGI:1928894] MSGRLWCKAIFAGYKRGLRNQREHTALLKIEGVYARDETEFYLGKRCAYVYKAKNNTVTP GGKPNKTRVIWGKVTRAHGNSGMVRAKFRSNLPAKAIGHRIRVMLYPSRI >ENSMUSP00000110727.1 pep:known chromosome:GRCm38:16:33057018:33060156:1 gene:ENSMUSG00000060636.13 transcript:ENSMUST00000115075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl35a description:ribosomal protein L35A [Source:MGI Symbol;Acc:MGI:1928894] MSGRLWCKAIFAGYKRGLRNQREHTALLKIEGVYARDETEFYLGKRCAYVYKAKNNTVTP GGKPNKTRVIWGKVTRAHGNSGMVRAKFRSNLPAKAIGHRIRVMLYPSRI >ENSMUSP00000005616.8 pep:known chromosome:GRCm38:8:83669762:83699179:-1 gene:ENSMUSG00000057672.15 transcript:ENSMUST00000005616.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkn1 description:protein kinase N1 [Source:MGI Symbol;Acc:MGI:108022] MAGDAVQSEPRSWSLLEQLGLAGADLAAPGVQQQLELERERLKREIRKELKLKEGAENLR RATTDLGRSLAPVELLLRGSARRLDLLHQQLQELHAHVVLPDPAAGSDATQSLAEGSPIC SSTNLSRVAGLEKQLAIELKVKQGAENMIQTYSNGSSKDRKLLLTAQQMLQDSKTKIDII RMQLRRALQALQAGELESQAAPDEAQGDPELGAVELRIEELRHHFRVEHAVAEGAKNVLR LLSGAKAPDRKAVSEAQEKLTESNQKLGLLRESLERRLGELPADHPKGRLLREELTAASS SAFSAILPGPFPATHYSTLSKPAPLTGTLEVRVVGCKNLPETIPWSPPPSVGASGTPESR TPFLSRPARGLYSRSGSLSGRSSLRGEAENATEVSTVLKLDNTVVGQTAWKPCGPNAWDQ SFTLELERARELELAVFWRDQRGLCALKFLKLEDFLDNERHEVQLDMEPQGCLVAEVTFR NPIIERIPRLQRQKKIFSKQQGKAFQRARQMNIDVATWVRLLRRLIPSAVATGTFSPNAS PGAEIRHTGDISMEKLNLGADSDSSSQKSPPGLPSTSCSLSSPTHESTTSPELPSETQET PGPGLCSPLRKSPLTLEDFKFLAVLGRGHFGKVLLSEFRSSGELFAIKALKKGDIVARDE VESLMCEKRILAAVTRAGHPFLVNLFGCFQTPEHVCFVMEYSAGGDLMLHIHSDVFSEPR AVFYSACVVLGLQFLHEHKIVYRDLKLDNLLLDTEGYVKIADFGLCKEGMGYGDRTSTFC GTPEFLAPEVLTDTSYTRAVDWWGLGVLLYEMLVGESPFPGDDEEEVFDSIVNDEVRYPR FLSAEAIGIMRRLLRRNPERRLGSTERDAEDVKKQPFFRSLGWDVLLARRLPPPFVPTLS GRTDVSNFDEEFTGEAPTLSPPRDARPLTAAEQAAFRDFDFVAGGY >ENSMUSP00000116235.1 pep:known chromosome:GRCm38:8:83670091:83694060:-1 gene:ENSMUSG00000057672.15 transcript:ENSMUST00000144258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkn1 description:protein kinase N1 [Source:MGI Symbol;Acc:MGI:108022] MAADPPLDSELESEPRSWSLLEQLGLAGADLAAPGVQQQLELERERLKREIRKELKLKEG AENLRRATTDLGRSLAPVELLLRGSARRLDLLHQQLQELHAHVVLPDPAAGSDATQSLAE GSPICSSTNLSRVAGLEKQLAIELKVKQGAENMIQTYSNGSSKDRKLLLTAQQMLQDSKT KIDIIRMQLRRALQALQAGELESQAAPDEAQGDPELGAVELRIEELRHHFRVEHAVAEGA KNVLRLLSGAKAPDRKAVSEAQEKLTESNQKLGLLRESLERRLGELPADHPKGRLLREEL TAASSSAFSAILPGPFPATHYSTLSKPAPLTGTLEVRVVGCKNLPETIPWSPPPSVGASG TPESRTPFLSRPARGLYSRSGSLSGRSSLRGEAENATEVSTVLKLDNTVVGQTAWKPCGP NAWDQSFTLELERARELELAVFWRDQRGLCALKFLKLEDFLDNERHEVQLDMEPQGCLVA EVTFRNPIIERIPRLQRQKKIFSKQQGKAFQRARQMNIDVATWVRLLRRLIPSAVATGTF SPNASPGAEIRHTGDISMEKLNLGADSDSSSQKSPPGLPSTSCSLSSPTHESTTSPELPS ETQETPGPGLCSPLRKSPLTLEDFKFLAVLGRGHFGKVLLSEFRSSGELFAIKALKKGDI VARDEVESLMCEKRILAAVTRAGHPFLVNLFGCFQTPEHVCFVMEYSAGGDLMLHIHSDV FSEPRAVFYSACVVLGLQFLHEHKIVYRDLKLDNLLLDTEGYVKIADFGLCKEGMGYGDR TSTFCGTPEFLAPEVLTDTSYTRAVDWWGLGVLLYEMLVGESPFPGDDEEEVFDSIVNDE VRYPRFLSAEAIGIMRRLLRRNPERRLGSTERDAEDVKKQPFFRSLGWDVLLARRLPPPF VPTLSGRTDVSNFDEEFTGEAPTLSPPRDARPLTAAEQAAFRDFDFVAGGY >ENSMUSP00000115054.1 pep:known chromosome:GRCm38:8:83670099:83699097:-1 gene:ENSMUSG00000057672.15 transcript:ENSMUST00000132945.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pkn1 description:protein kinase N1 [Source:MGI Symbol;Acc:MGI:108022] MACRPCRGAGRIWCCRSHKSEPRSWSLLEQLGLAGADLAAPGVQQQLELERERLKREIRK ELKLKEGAENLRRATTDLGRSLAPVELLLRGSARRLDLLHQQLQELHAHVVLPDPAAGSD ATQSLAEGSPICSSTNLSRVAGLEKQLAIELKVKQGAENMIQTYSNGSSKDRKLLLTAQQ MLQDSKTKIDIIRMQLRRALQALQAGELESQAAPDEAQGDPELGAVELRIEELRHHFRVE HAVAEGAKNVLRLLSGAKAPDRKAVSEAQEKLTESNQKLGLLRESLERRLGELPADHPKG RLLREELTAASSSAFSAILPGPFPATHYSTLSKPAPLTGTLEVRVVGCKNLPETIPWSPP PSVGASGTPESRTPFLSRPARGLYSRSGSLSGRSSLRGEAENATEVSTVLKLDNTVVGQT AWKPCGPNAWDQSFTLELERARELELAVFWRDQRGLCALKFLKLEDFLDNERHEVQLDME PQGCLVAEVTFRNPIIERIPRLQRQKKIFSKQQGKAFQRARQMNIDVATWVRLLRRLIPS AVATGTFSPNASPGAEIRHTGDISMEKLNLGADSDSSSQKSPPGLPSTSCSLSSPTHEST TSPELPSETQETPGPGLCSPLRKSPLTLEDFKFLAVLGRGHFGKVLLSEFRSSGELFAIK ALKKGDIVARDEVESLMCEKRILAAVTRAGHPFLVNLFGCFQTPEHVCFVMEYSAGGDLM LHIHSDVFSEPRAVFYSACVVLGLQFLHEHKIVYRDGLWGPDQHVLRNSGVPGAGSAHRH ILHASSGLVGTGRAAL >ENSMUSP00000103753.2 pep:known chromosome:GRCm38:3:37404910:37419580:-1 gene:ENSMUSG00000050174.15 transcript:ENSMUST00000108118.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt6 description:nudix (nucleoside diphosphate linked moiety X)-type motif 6 [Source:MGI Symbol;Acc:MGI:2387618] MWWVRRTRYWFSTLLYAGGARLRPGRRTASGGLETPGSCGAELQGELDRFGGVSVHLSRH HTLHGLDAAAFRRLLQGAVFDVSTRKVLVVQDRNKLKNMWKFPGGLSEPGEDIADTAVRE VFEETGVKSEFRSLLSIRQQHRSPGAFGMSDMYLVCRLQPRSFTINFCQQECLKCEWIDL ENLARTKHTTPITSRVARLLLYGLREGFDKIDLSMEELPAVYTGLFYKLYHRELPESYKA ATGAD >ENSMUSP00000103752.2 pep:known chromosome:GRCm38:3:37404910:37419580:-1 gene:ENSMUSG00000050174.15 transcript:ENSMUST00000108117.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt6 description:nudix (nucleoside diphosphate linked moiety X)-type motif 6 [Source:MGI Symbol;Acc:MGI:2387618] MWWVRRTRYWFSTLLYAGGARLRPGRRTASGGLETPGSCGAELQGELDRFGGVSVHLSRH HTLHGLDAAAFRRLLQVEKHVEVSRRPVGARRRYCRHSSPRGF >ENSMUSP00000056219.6 pep:known chromosome:GRCm38:3:37404910:37419596:-1 gene:ENSMUSG00000050174.15 transcript:ENSMUST00000052645.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt6 description:nudix (nucleoside diphosphate linked moiety X)-type motif 6 [Source:MGI Symbol;Acc:MGI:2387618] MWWVRRTRYWFSTLLYAGGARLRPGRRTASGGLETPGSCGAELQGELDRFGGVSVHLSRH HTLHGLDAAAFRRLLQAAIQQWRSEGRIAAWLHIPILQSHFIAPAASLGFCFHHAKPHSS TLTLWLGEGPSRLPGYATHQVGVAGAVFDVSTRKVLVVQDRNKLKNMWKFPGGLSEPGED IADTAVREVFEETGVKSEFRSLLSIRQQHRSPGAFGMSDMYLVCRLQPRSFTINFCQQEC LKCEWIDLENLARTKHTTPITSRVARLLLYGLREGFDKIDLSMEELPAVYTGLFYKLYHR ELPESYKAATGAD >ENSMUSP00000142653.1 pep:known chromosome:GRCm38:3:37404910:37420186:-1 gene:ENSMUSG00000050174.15 transcript:ENSMUST00000146324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt6 description:nudix (nucleoside diphosphate linked moiety X)-type motif 6 [Source:MGI Symbol;Acc:MGI:2387618] MWKFPGGLSEPGEDIADTAVREVFEETGVKSEFRSLLSIRQQHRSPGAFGMSDMYLVCRL QPRSFTINFCQQECLKCEWIDLENLARTKHTTPITSRVARLLLYGLREGFDKIDLSMEEL PAVYTGLFYKLYHRELPESYKAATGAD >ENSMUSP00000142588.1 pep:known chromosome:GRCm38:3:37404982:37420211:-1 gene:ENSMUSG00000050174.15 transcript:ENSMUST00000141438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt6 description:nudix (nucleoside diphosphate linked moiety X)-type motif 6 [Source:MGI Symbol;Acc:MGI:2387618] MSDMYLVCRLQPRSFTINFCQQECLKCEWIDLENLARTKHTTPITSRVARLLLYGLREGF DKIDLSMEELPAVYTGLFYKLYHRELPESYKAATGAD >ENSMUSP00000096733.2 pep:known chromosome:GRCm38:3:37404986:37419590:-1 gene:ENSMUSG00000050174.15 transcript:ENSMUST00000099130.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt6 description:nudix (nucleoside diphosphate linked moiety X)-type motif 6 [Source:MGI Symbol;Acc:MGI:2387618] MWWVRRTRYWFSTLLYAGGARLRPGRRTASGGLETPGSCGAELQGELDRFGGVSVHLSRH HTLHGLDAAAFRRLLQAAIQQWRSEGRIAAWLHIPILQSHFIAPAASLGFCFHHAKPHSS TLTLWLGEGPSRLPGYATHQVGVAGAVFDVSTRKVLVVQDRNKLKNMWKFPGGLSEPGED IDTAVREVFEETGVKSEFRSLLSIRQQHRSPGAFGMSDMYLVCRLQPRSFTINFCQQECL KCEWIDLENLARTKHTTPITSRVARLLLYGLREGFDKIDLSMEELPAVYTGLFYKLYHRE LPESYKAATGAD >ENSMUSP00000116572.1 pep:known chromosome:GRCm38:3:37405356:37419573:-1 gene:ENSMUSG00000050174.15 transcript:ENSMUST00000149449.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt6 description:nudix (nucleoside diphosphate linked moiety X)-type motif 6 [Source:MGI Symbol;Acc:MGI:2387618] MWWVRRTRYWFSTLLYAGGARLRPGRRTASGGLETPGSCGAELQGELDRFGGVSVHLSRH HTLHGLDAAAFRRLLQAAIQQWRSEGRIAAWLHIPILQSHFIAPAASLGFCFHHAKPHSS TLTLWLGEGPSRLPGYATHQVGVAADTAVREVFEETGVKSEFRSLLSIRQQHRSPGAFGM SDMYLVCRLQP >ENSMUSP00000142436.1 pep:known chromosome:GRCm38:3:37409508:37419557:-1 gene:ENSMUSG00000050174.15 transcript:ENSMUST00000198158.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nudt6 description:nudix (nucleoside diphosphate linked moiety X)-type motif 6 [Source:MGI Symbol;Acc:MGI:2387618] XRTRYWFSTLLYAGGARLRPGRRTASGGLETPGSCGAELQGELDRFGGVSVHLSRHHTLH GLDAAAFRRLLQAAIQQWRSEGRIAAWLHIPILQSHFIAPAASLGFCFHHAKPHSSTLTL WLGEGPSRLPGYATHQVGVAAVATAVPRKSLSS >ENSMUSP00000118428.1 pep:known chromosome:GRCm38:3:37412371:37419566:-1 gene:ENSMUSG00000050174.15 transcript:ENSMUST00000138949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt6 description:nudix (nucleoside diphosphate linked moiety X)-type motif 6 [Source:MGI Symbol;Acc:MGI:2387618] XWVRRTRYWFSTLLYAGGARLRPGRRTASGGLETPGSCGAELQAAIQQWRSEGRIAAWLH IPILQSHFIAPAASLGFCFHHAKPHSSTLTLWLGEGPSRLPGYATHQVGVAGAVFDVSTR KVLVVQ >ENSMUSP00000030742.4 pep:known chromosome:GRCm38:4:131843470:131867786:1 gene:ENSMUSG00000028910.12 transcript:ENSMUST00000030742.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecr description:mitochondrial trans-2-enoyl-CoA reductase [Source:MGI Symbol;Acc:MGI:1349441] MLVSQRVTGARARAPQLAGLLEAWYRHGRTTSSYSALSEPSRVRALVYGNHGDPAKVVQL KNLELTAVEGSDVHVRMLAAPINPSDINMIQGNYGLLPKLPAVGGNEGVGQVIAVGSSVS ALKPGDWVIPANAGLGTWRTEAVFSEEALIGIPKDIPLQSAATLGVNPCTAYRMLVDFEQ LQPGDSVIQNASNSGVGQAVIQIASALRLKTINVVRDRPDIKKLTDRLKDLGADYVLTEE ELRMPETKTIFKDLPLPRLALNCVGGKSSTELLRHLAPGGTMVTYGGMAKQPVTASVSLL IFKDLKLRGFWLSQWKKNHSPDEFKELILTLCNLIRQGRLTAPSCSEVPLQGYQQALEAS MKPFVSSKQILTM >ENSMUSP00000114543.1 pep:known chromosome:GRCm38:4:131843493:131860863:1 gene:ENSMUSG00000028910.12 transcript:ENSMUST00000137321.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mecr description:mitochondrial trans-2-enoyl-CoA reductase [Source:MGI Symbol;Acc:MGI:1349441] MLVSQRVTGARARAPQLAGLLEAWYRHGRTTSSYSALSEPSRVRALVYGNHGDPAKVVHA GVTGTGLTTCDMSAEDRKSGPCVPHRTLRAARRLKNLELTAVEGSDVHVRMLAAPINPSD INMIQGNYGLLPKLPAVGGNEGVGQVIAVGSSVSALKPGDWVIPANAGLGTWRTEAVFSE EALIGIPKDIPLQSAATLGVNPCTAYRMLVDFEQLQPGDSVIQNASNSGVGQAVIQIASA LRLKTINVVRD >ENSMUSP00000039307.7 pep:known chromosome:GRCm38:11:97013394:97024734:1 gene:ENSMUSG00000038560.7 transcript:ENSMUST00000047997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp6 description:trans-acting transcription factor 6 [Source:MGI Symbol;Acc:MGI:1932575] MLTAVCGSLGSQHTDAPHASPPRLDLQPLQTYQGHTSPEAGDYPSPLQPGELQSLPLGPE VDFSQGYELPGASSRVTCEDLESDSPLAPGPFSKLLQPDMSHHYESWFRPTHPGTEDGSW WDLHPGTSWMDLPHTQGALTSPGHPGALQPALGGYVGDHQLCAPPPHPHPHHLLPAAGGQ HLLGPPDGAKALEAAAQESQGLDSSLDAASRPKGSRRSVPRSSGQTVCRCPNCLEAERLG APCGPDGGKKKHLHNCHIPGCGKAYAKTSHLKAHLRWHSGDRPFVCNWLFCGKRFTRSDE LQRHLQTHTGTKKFPCAVCSRVFMRSDHLAKHMKTHEGAKEEAAAAAQGEGKAGGVVEPP GGKGKREAEGSSASSN >ENSMUSP00000103248.1 pep:known chromosome:GRCm38:11:97018733:97024731:1 gene:ENSMUSG00000038560.7 transcript:ENSMUST00000107622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp6 description:trans-acting transcription factor 6 [Source:MGI Symbol;Acc:MGI:1932575] MLTAVCGSLGSQHTDAPHASPPRLDLQPLQTYQGHTSPEAGDYPSPLQPGELQSLPLGPE VDFSQGYELPGASSRVTCEDLESDSPLAPGPFSKLLQPDMSHHYESWFRPTHPGTEDGSW WDLHPGTSWMDLPHTQGALTSPGHPGALQPALGGYVGDHQLCAPPPHPHPHHLLPAAGGQ HLLGPPDGAKALEAAAQESQGLDSSLDAASRPKGSRRSVPRSSGQTVCRCPNCLEAERLG APCGPDGGKKKHLHNCHIPGCGKAYAKTSHLKAHLRWHSGDRPFVCNWLFCGKRFTRSDE LQRHLQTHTGTKKFPCAVCSRVFMRSDHLAKHMKTHEGAKEEAAAAAQGEGKAGGVVEPP GGKGKREAEGSSASSN >ENSMUSP00000072636.6 pep:known chromosome:GRCm38:5:74204816:74531749:-1 gene:ENSMUSG00000062110.14 transcript:ENSMUST00000072857.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scfd2 description:Sec1 family domain containing 2 [Source:MGI Symbol;Acc:MGI:2443446] MSAAGILAFAQQGWEQVLAKVKWSVVYLDAACAESLHWSCGSSRLLEAVKGPACHLREFE PQAIGGGAKQPRAVFVLSSPLKGRIVDTLQSIICRSHFQHCVVVTAVSHAVHLTANHVPA AAAAELEGQQPVFEQLEEKLCEWMGNENYTAEVLHVPLFLAPVASHLAFTPAFATLFPLL PQDVHALNSARPDKRRLSSLGEVDATALTPELLLYIRCLVSGLSSLCEHLGVREECFAVG PLSRVIATDLANYAPAKNRKKTATGRASVVFVDRTLDLTGAVGHHGDNLVEKIMSVLPQL PGHTHDVMVNMAELTAVQAVEENQNVIAPGCLAPSNEASAKALWEALLNSKHKEAVMEVR RHLVEAASRENLPIKMSMGRVTPGQLMSYIQLFKNNLRALRNHCGLLQLGMATVQTLKHP QTAKWDNFLAFERLLLQSLGDSTMAGVLNQLLPMIKSSSQRTSDDLNPEELLILLIYIYS VPGDVTLDRDLGDVEEKVKKALAHVLSEESELSPLLQKITGCDSAVDLTLPKSQIAVNDV FMALREIAGARNLMRQFKSVYVPGNNTHQASYKPLLKQVVEEIFNPEKSDPIDIEHMSSG LTDLLKTGFSMFMKVSRPHPSDHPLLILFVVGGVTVAEAKMVKDLVASLKPGTQVMVLST RLLKPLNIPELLFATDRLHPDLGF >ENSMUSP00000109170.2 pep:known chromosome:GRCm38:5:74206092:74531752:-1 gene:ENSMUSG00000062110.14 transcript:ENSMUST00000113542.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scfd2 description:Sec1 family domain containing 2 [Source:MGI Symbol;Acc:MGI:2443446] MSAAGILAFAQQGWEQVLAKVKWSVVYLDAACAESLHWSCGSSRLLEAVKGPACHLREFE PQAIGGGAKQPRAVFVLSSPLKGRIVDTLQSIICRSHFQHCVVVTAVSHAVHLTANHVPA AAAAELEGQQPVFEQLEEKLCEWMGNENYTAEVLHVPLFLAPVASHLAFTPAFATLFPLL PQDVHALNSARPDKRRLSSLGEVDATALTPELLLYIRCLVSGLSSLCEHLGVREECFAVG PLSRVIATDLANYAPAKNRKKTATGRASVVFVDRTLDLTGAVGHHGDNLVEKIMSVLPQL PGHTHDVMVNMAELTAVQAVEENQNVIAPGCLAPSNEASAKALWEALLNSKHKEAVMEVR RHLVEAASRENLPIKMSMGRVTPGQLMSYIQLFKNNLRALRNHCGLLQLGMATVQTLKHP QTAKWDNFLAFERLLLQSLGDSTMAGVLNQLLPMIKSSSQRTSDDLNPEELLILLIYIYS VPGDVTLDRDLGDVEEKVKKALAHVLSEESELSPLLQKITGCDSAVDLTLPKSQIAVNDV FMALREIAGARNLMRQFKSVYVPGNNTHQASYKPLLKQVVEEIFNPEKSDPIDIEHMSSG LTDLLKTGFSMFMKVMVLSTRLLKPLNIPELLFATDRLHPDLGF >ENSMUSP00000144640.1 pep:known chromosome:GRCm38:5:74395133:74397738:-1 gene:ENSMUSG00000062110.14 transcript:ENSMUST00000148994.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scfd2 description:Sec1 family domain containing 2 [Source:MGI Symbol;Acc:MGI:2443446] DVEEKVKKALAHVLSEESELSPLLQKITGLHQAVFSQLLLGRTSTDSRIWI >ENSMUSP00000075245.6 pep:known chromosome:GRCm38:5:74447780:74531729:-1 gene:ENSMUSG00000062110.14 transcript:ENSMUST00000075848.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scfd2 description:Sec1 family domain containing 2 [Source:MGI Symbol;Acc:MGI:2443446] MSAAGILAFAQQGWEQVLAKVKWSVVYLDAACAESLHWSCGSSRLLEAVKGPACHLREFE PQAIGGGAKQPRAVFVLSSPLKGRIVDTLQSIICRSHFQHCVVVTAVSHAVHLTANHVPA AAAAELEGQQPVFEQLEEKLCEWMGNENYTAEVLHVPLFLAPVASHLAFTPAFATLFPLL PQDVHALNSARPDKRRLSSLGEVDATALTPELLLYIRCLVSGLSSLCEHLGVREECFAVG PLSRVIATDLANYAPAKNRKKTATGRASVVFVDRTLDLTGAVGHHGDNLVEKIMSVLPQL PGHTHDVMVNMAELTAVQAVEENQNVIAPGCLAPSNEASAKALWEALLNSKHKEAVMEVR RHLVEAASRENLPIKMSMGRVTPGQLMSYIQLFKNNLRALRNHCGLLQLGMATVQTLKHP QTAKWDNFLAFERLLLQCSFVLRACGHLLHSCHGTMLPPVPRQPPWVHPPHGCPFIP >ENSMUSP00000121098.1 pep:known chromosome:GRCm38:5:74456260:74531759:-1 gene:ENSMUSG00000062110.14 transcript:ENSMUST00000151474.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scfd2 description:Sec1 family domain containing 2 [Source:MGI Symbol;Acc:MGI:2443446] MSAAGILAFAQQGWEQVLAKVKWSVVYLDAACAESLHWSCGSSRLLEAVKGPACHLREFE PQAIGGGAKQPRAVFVLSSPLKGRIVDTLQSIICRSHFQHCVVVTAVSHAVHLTANHVPA AAAAELEGQQPVFEQLEEKLCEWMGNENYTAEVLHVPLFLAPVASHLAFTPAFATLFPLL PQDVHALNSARPDKRRLSSLGEVDATALTPELLLYIRCLVSGLSSLCEHLGVREECFAVG PLSRVIATDLANYAPAKNRKKTATGRASVVFVDRTLDLTGAVGHHGDNLVEKIMSVLPQL PGHTHDVMVNMAELTAVQAVEENQNVIAPGCLAPSNEASAKALWEALLNSKHKEAVMEVR RHLVEAASRENLPIKMSMGRVTPGQLMSYIQLFKNNLRALRNHCGLLQLGMATVQTLKHP QTAKWDNFLAFERLLLQCSFVLRACGHLLHSCHGTMLPPVPRQPPWVHPPHGCPFIP >ENSMUSP00000080820.6 pep:known chromosome:GRCm38:X:95899033:95928230:1 gene:ENSMUSG00000035045.17 transcript:ENSMUST00000082183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h12b description:zinc finger CCCH-type containing 12B [Source:MGI Symbol;Acc:MGI:2442133] MTATAAVETPKMEKSASKEEKQQPKQEDSTDRGNADPEEWANSESDPEQMSCKSGHTSYQ ATDIQLKKKEMPSKPHRPLCRSPCLDRPSFSQSSILQDGKLDLEKEYQAKMDFALKLGYA EEQIQSVLNKLGPESLINDVLAELVRLGNKGDSEAQVNLSLLLPRGASSREIASPELSLE DEIDNSDNLRPIVIDGSNVAMSHGNKEEFSCRGIQLAVDWFLDKGHKDITVFVPAWRKEQ SRPDAPITDQDILRKLEKEKILVFTPSRRVQGRRVVCYDDRFIVKLAFDSDGIIVSNDNY RDLQVEKPEWKKFIEERLLMYSFVNDKFMPPDDPLGRHGPSLENFLRKRPVVPEHKKQPC PYGKKCTYGHKCKYYHPERANQPQRSVADELRISAKLSTVKIMSEDTLAKCGAGMSTAKG EITSEIKRVASKRQSDPSIRSVAVEPEEWLSIARKPEASSVPSLVTALSVPTIPPPKSHA VGALNTRSASSPVPGSSHFPHQKASLEHMVSMQYPPILVTNSHGTPINYTEQYPKFETMG DHDYYSVLSDFSKLSINNMHNHEYYMAEANQGVYVRNPGLCTDSHMSHTRNDNYSSYNNL YLAVADAHPEGTLKLHRSASHNHLQPFSHGYHEALARVQSYGSEDSKQAPHKQSVPHLAV HTQNPATGAHSSCPGDYTMPPSIHPVGPSQPGRALVMTRMDSISDSRLYDSNPMRQRRPP LCREQHASWDPLPCTADSYGYHSYPLGNSLMQPCYEPVMVRSMPEKMEQLWRNPWVGMCN DSREHMIPEHQYQTYKNLCNIFPSNIVLAVMEKNPHTADAQQLAALIVAKLRAAR >ENSMUSP00000138252.1 pep:known chromosome:GRCm38:X:95899120:95932637:1 gene:ENSMUSG00000035045.17 transcript:ENSMUST00000145362.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zc3h12b description:zinc finger CCCH-type containing 12B [Source:MGI Symbol;Acc:MGI:2442133] TPKMEKSASKEEKQQPKQEDSTDRGNADPEEWANSESDPEQMSCKSGHTSYQATDIQLKK KEMPSKPHRPLCRSPCLDRPSFSQKSLINDVLAELVRLGNKGDSEAQLQRDCKP >ENSMUSP00000121099.2 pep:known chromosome:GRCm38:X:95922390:95927097:1 gene:ENSMUSG00000035045.17 transcript:ENSMUST00000127461.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h12b description:zinc finger CCCH-type containing 12B [Source:MGI Symbol;Acc:MGI:2442133] QLAVDWFLDKGHKDITVFVPAWRKEQSRPDAPITDLCRQMILLDAMVRALRIS >ENSMUSP00000027517.7 pep:known chromosome:GRCm38:1:87853287:87944490:1 gene:ENSMUSG00000070738.10 transcript:ENSMUST00000027517.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkd description:diacylglycerol kinase, delta [Source:MGI Symbol;Acc:MGI:2138334] MAAAAGAPPPGPPQPPPPPPPEESSDSEPEAEPGSPQKLIRKVSTSGQIRQKTILKEGML TKQNNSFQRSKRRYFKLRGRTLYYAKTAKSIIFDEVDLTDASVAESSTKNVNNSFTVITP CRKLILCADNRKEMEDWIAALKTVQNKEHFEPTQYSMDHFSGMHNWYACSHARPTYCNVC REVLSGVTSHGLSCEVCKFKAHKRCAVRATSNCKWTTLASIGKDIIEDEDGIAMPHQWLE GNLPVSAKCIVCDKTCGSVLRLQDWRCLWCKAMVHTSCKESLVMKCPLGLCKVSVIPPTA LNSIDSDGFWKATCPPSCTSPLLVFVNSKSGDNQGVKFLRRFKQLLNPAQVFDLMNGGPH LGLRLFQKFDTFRILVCGGDGSVGWVLSEIDSLNLHKQCQLGVLPLGTGNDLARVLGWGS ACDDDTQLPQILAKLERASTKMLDRWSVMAYETKLPRQASSSTVTEDFSEDSEVQQILFY EDSVAAHLSKILTSDQHSVVISSAKVLCETVKDFVARVGKAYEKTTESSQESEVMAKKCS VLKEKLDSLLKTLDDESQASSSLSNPPPTIAEEAEDGDGSGNICSSTGDHLVGSACPSRP QIFRPREQLMLRANSLKKAIRQIIEHTEKAVDEQNAQTQEQQGFVLGLSESEKKDLKTDN RVCTSSVHSESCVIAKGRSQRKASRAPCEKLVSKGLSLGSSASLPPGTGSRDSLPALNTK ILYPSVRAGMSGSLPGGSVISRLLINADPFNAEPENLEYYTEKCVMNNYFGIGLDAKISL DFNNKRDEHPEKCRSRTKNMMWYGVLGTKELLHRTYRNLEQKVLLECDGRPIPLPSLQGI AVLNIPSYAGGTNFWGGTKEDDTFAAPSFDDKILEVVAVFGSMQMAVSRVIKLQHHRIAQ CRTVKISILGDEGVPVQVDGEAWIQPPGYIRIVHKNRAQTLTRDRAFENTLKSWEDKQKC ELSRPPSFSLHPEILSEEEATQMDQFGQAAGGLIHSIREIAQSHRAMEQELAHAVNASSK AMERVYGKPRTAEGLNCSFVLEMVNNIRALRSETELLLAGKMALQLDPPQKERLGAALIE MDQQLRKLTDTPWLCQPLEPGEEESLQQNVMLDLTKRSRSGKFRLVTKFKKEKNNKNKEV HSNLGGPVHLWGTEEVAAWLEHLSLCEYKDIFTRHDIRGSELLHLERRDLKDLGVTKVGH MKRILCGIKELSRSSPAAEA >ENSMUSP00000140070.1 pep:known chromosome:GRCm38:1:87931982:87938253:1 gene:ENSMUSG00000070738.10 transcript:ENSMUST00000185260.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkd description:diacylglycerol kinase, delta [Source:MGI Symbol;Acc:MGI:2138334] XDAKISLDFNNKRDEHPEKCRSRTKNMMWYGVLGTKELLHRTYRNLEQKVLLECDGRPIP LPSLQGIAVLNIPSYAGGTNFWGGTKEDDCRTVKISILGDEGVPVQVDGEAWIQPPGYIR IVHKNRAQTLTRDRAFENTLKSWEDKQKCELSRPPSFSLHPEILSEEEATQMDQFGQAAG GLIHSIREIAQSHRAMEQELAHAVNASSKAMERVYGKP >ENSMUSP00000139658.1 pep:known chromosome:GRCm38:1:87934397:87945180:1 gene:ENSMUSG00000070738.10 transcript:ENSMUST00000190061.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkd description:diacylglycerol kinase, delta [Source:MGI Symbol;Acc:MGI:2138334] DGRPIPLPSLQGIAVLNIPSYAGGTNFWGGTKEDDTFAAPSFDDKILEVVAVFGSMQMAV SRVIKLQHHRIAQCRTVKISILGDEGVPVQVDGEAWIQPPGYIRIVHKNRAQTLTRDRAF ENTLKSWEDKQKCELSRPPSFSLHPEILSEEEATQMDQFGQAAGGLIHSIREIAQSHRAM EQELAHAVNASSKAMERVYGKPRTAEGLNCSFVLEMVNNIRALRSETELLLAGKMALQLD PPQKERLGAALIEMDQQLRKLTDTPWLCQPLEPGEEESLQQNVMLDLTKRSRSGKFRLVT KFKKEKNNKNKEVHSNLGGPGPWCDQSGPYEEDPLWDQGAEPELPCRRGLASAFSACILR SSRD >ENSMUSP00000139626.1 pep:known chromosome:GRCm38:1:87938385:87945178:1 gene:ENSMUSG00000070738.10 transcript:ENSMUST00000189448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkd description:diacylglycerol kinase, delta [Source:MGI Symbol;Acc:MGI:2138334] IRALRSETELLLAGKMALQLDPPQKERLGAALIEMDQQLRKLTDTPWLCQPLEPGEEESL QQNVMLDLTKRSRSGKFRLVTKFKKEKNNKNKEVHSNLGGPVSIPVLISVLTVASGSEDS VADLVAIKQNRAVIFQGSADRQFSQCSSGLVTSNNKNFWTAIAINASCQGAVIHLHTWMV SLLNCCAPAEKKESCAA >ENSMUSP00000033023.4 pep:known chromosome:GRCm38:7:123462291:123468004:1 gene:ENSMUSG00000030762.11 transcript:ENSMUST00000033023.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp8 description:aquaporin 8 [Source:MGI Symbol;Acc:MGI:1195271] MSGETPMCSMDLPEVKVKTSMAGRCRVFWYEQYVQPCIVELVGSALFIFIGCLSVIENSP NTGLLQPALAHGLALGLIIATLGNISGGHFNPAVSLAVTVIGGLKTMLLIPYWISQLFGG LIGAALAKVVSPEERFWNASGAAFAIVQEQEQVAEALGIEIILTMLLVLAVCMGAVNEKT MGPLAPFSIGFSVIVDILAGGSISGACMNPARAFGPAVMAGYWDFHWIYWLGPLLAGLFV GLLIRLLIGDEKTRLILKSR >ENSMUSP00000095664.5 pep:known chromosome:GRCm38:7:123462312:123467986:1 gene:ENSMUSG00000030762.11 transcript:ENSMUST00000098056.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp8 description:aquaporin 8 [Source:MGI Symbol;Acc:MGI:1195271] MSGEQTPMCSMDLPEVKVKTSMAGRCRVFWYEQYVQPCIVELVGSALFIFIGCLSVIENS PNTGLLQPALAHGLALGLIIATLGNISGGHFNPAVSLAVTVIGGLKTMLLIPYWISQLFG GLIGAALAKVVSPEERFWNASGAAFAIVQEQEQVAEALGIEIILTMLLVLAVCMGAVNEK TMGPLAPFSIGFSVIVDILAGGSISGACMNPARAFGPAVMAGYWDFHWIYWLGPLLAGLF VGLLIRLLIGDEKTRLILKSR >ENSMUSP00000117884.1 pep:known chromosome:GRCm38:8:25513654:25555568:1 gene:ENSMUSG00000031565.17 transcript:ENSMUST00000155564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1 description:fibroblast growth factor receptor 1 [Source:MGI Symbol;Acc:MGI:95522] MWGWKCLLFWAVLVTATLCTARPAPTLPEQAQPWGVPVEVESLLVHPGDLL >ENSMUSP00000081041.5 pep:known chromosome:GRCm38:8:25518792:25575714:1 gene:ENSMUSG00000031565.17 transcript:ENSMUST00000084027.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1 description:fibroblast growth factor receptor 1 [Source:MGI Symbol;Acc:MGI:95522] MWGWKCLLFWAVLVTATLCTARPAPTLPEQAQPWGVPVEVESLLVHPGDLLQLRCRLRDD VQSINWLRDGVQLVESNRTRITGEEVEVRDSIPADSGLYACVTSSPSGSDTTYFSVNVSD ALPSSEDDDDDDDSSSEEKETDNTKPNRRPVAPYWTSPEKMEKKLHAVPAAKTVKFKCPS SGTPNPTLRWLKNGKEFKPDHRIGGYKVRYATWSIIMDSVVPSDKGNYTCIVENEYGSIN HTYQLDVVERSPHRPILQAGLPANKTVALGSNVEFMCKVYSDPQPHIQWLKHIEVNGSKI GPDNLPYVQILKTAGVNTTDKEMEVLHLRNVSFEDAGEYTCLAGNSIGLSHHSAWLTVLE ALEERPAVMTSPLYLEIIIYCTGAFLISCMLGSVIIYKMKSGTKKSDFHSQMAVHKLAKS IPLRRQVTVSADSSASMNSGVLLVRPSRLSSSGTPMLAGVSEYELPEDPRWELPRDRLVL GKPLGEGCFGQVVLAEAIGLDKDKPNRVTKVAVKMLKSDATEKDLSDLISEMEMMKMIGK HKNIINLLGACTQDGPLYVIVEYASKGNLREYLQARRPPGLEYCYNPSHNPEEQLSSKDL VSCAYQVARGMEYLASKKCIHRDLAARNVLVTEDNVMKIADFGLARDIHHIDYYKKTTNG RLPVKWMAPEALFDRIYTHQSDVWSFGVLLWEIFTLGGSPYPGVPVEELFKLLKEGHRMD KPSNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRIVALTSNQEYLDLSIPLDQYSPSF PDTRSSTCSSGEDSVFSHEPLPEEPCLPRHPTQLANSGLKRR >ENSMUSP00000113909.2 pep:known chromosome:GRCm38:8:25518795:25574781:1 gene:ENSMUSG00000031565.17 transcript:ENSMUST00000117179.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1 description:fibroblast growth factor receptor 1 [Source:MGI Symbol;Acc:MGI:95522] MWGWKCLLFWAVLVTATLCTARPAPTLPEQAQPWGVPVEVESLLVHPGDLLQLRCRLRDD VQSINWLRDGVQLVESNRTRITGEEVEVRDSIPADSGLYACVTSSPSGSDTTYFSVNVSD ALPSSEDDDDDDDSSSEEKETDNTKPNPVAPYWTSPEKMEKKLHAVPAAKTVKFKCPSSG TPNPTLRWLKNGKEFKPDHRIGGYKVRYATWSIIMDSVVPSDKGNYTCIVENEYGSINHT YQLDVVERSPHRPILQAGLPANKTVALGSNVEFMCKVYSDPQPHIQWLKHIEVNGSKIGP DNLPYVQILKTAGVNTTDKEMEVLHLRNVSFEDAGEYTCLAGNSIGLSHHSAWLTVLEAL EERPAVMTSPLYLEIIIYCTGAFLISCMLGSVIIYKMKSGTKKSDFHSQMAVHKLAKSIP LRRQVTVSADSSASMNSGVLLVRPSRLSSSGTPMLAGVSEYELPEDPRWELPRDRLVLGK PLGEGCFGQVVLAEAIGLDKDKPNRVTKVAVKMLKSDATEKDLSDLISEMEMMKMIGKHK NIINLLGACTQDGPLYVIVEYASKGNLREYLQARRPPGLEYCYNPSHNPEEQLSSKDLVS CAYQVARGMEYLASKKCIHRDLAARNVLVTEDNVMKIADFGLARDIHHIDYYKKTTNGRL PVKWMAPEALFDRIYTHQSDVWSFGVLLWEIFTLGGSPYPGVPVEELFKLLKEGHRMDKP SNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRIVALTSNQEYLDLSIPLDQYSPSFPD TRSSTCSSGEDSVFSHEPLPEEPCLPRHPTQLANSGLKRR >ENSMUSP00000113855.2 pep:known chromosome:GRCm38:8:25519319:25574779:1 gene:ENSMUSG00000031565.17 transcript:ENSMUST00000119398.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1 description:fibroblast growth factor receptor 1 [Source:MGI Symbol;Acc:MGI:95522] MWGWKCLLFWAVLVTATLCTARPAPTLPEQDALPSSEDDDDDDDSSSEEKETDNTKPNRR PVAPYWTSPEKMEKKLHAVPAAKTVKFKCPSSGTPNPTLRWLKNGKEFKPDHRIGGYKVR YATWSIIMDSVVPSDKGNYTCIVENEYGSINHTYQLDVVERSPHRPILQAGLPANKTVAL GSNVEFMCKVYSDPQPHIQWLKHIEVNGSKIGPDNLPYVQILKTAGVNTTDKEMEVLHLR NVSFEDAGEYTCLAGNSIGLSHHSAWLTVLEALEERPAVMTSPLYLEIIIYCTGAFLISC MLGSVIIYKMKSGTKKSDFHSQMAVHKLAKSIPLRRQVTVSADSSASMNSGVLLVRPSRL SSSGTPMLAGVSEYELPEDPRWELPRDRLVLGKPLGEGCFGQVVLAEAIGLDKDKPNRVT KVAVKMLKSDATEKDLSDLISEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNL REYLQARRPPGLEYCYNPSHNPEEQLSSKDLVSCAYQVARGMEYLASKKCIHRDLAARNV LVTEDNVMKIADFGLARDIHHIDYYKKTTNGRLPVKWMAPEALFDRIYTHQSDVWSFGVL LWEIFTLGGSPYPGVPVEELFKLLKEGHRMDKPSNCTNELYMMMRDCWHAVPSQRPTFKQ LVEDLDRIVALTSNQEYLDLSIPLDQYSPSFPDTRSSTCSSGEDSVFSHEPLPEEPCLPR HPTQLANSGLKRR >ENSMUSP00000116564.1 pep:known chromosome:GRCm38:8:25519837:25555729:1 gene:ENSMUSG00000031565.17 transcript:ENSMUST00000124228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1 description:fibroblast growth factor receptor 1 [Source:MGI Symbol;Acc:MGI:95522] MWGWKCLLFWAVLVTATLCTARPAPTLPEQAQPWGVPVEVESLLVHPGDLLQLRCRLRDD VQSINWLRDGVQLVESNRTRITGEEVEVRDSIPADSGLYACVTS >ENSMUSP00000131343.1 pep:known chromosome:GRCm38:8:25532281:25574577:1 gene:ENSMUSG00000031565.17 transcript:ENSMUST00000167764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1 description:fibroblast growth factor receptor 1 [Source:MGI Symbol;Acc:MGI:95522] MWGWKCLLFWAVLVTATLCTARPAPTLPEQDALPSSEDDDDDDDSSSEEKETDNTKPNPV APYWTSPEKMEKKLHAVPAAKTVKFKCPSSGTPNPTLRWLKNGKEFKPDHRIGGYKVRYA TWSIIMDSVVPSDKGNYTCIVENEYGSINHTYQLDVVERSPHRPILQAGLPANKTVALGS NVEFMCKVYSDPQPHIQWLKHIEVNGSKIGPDNLPYVQILKHSGINSSDAEVLTLFNVTE AQSGEYVCKVSNYIGEANQSAWLTVTRPVAKALEERPAVMTSPLYLEIIIYCTGAFLISC MLGSVIIYKMKSGTKKSDFHSQMAVHKLAKSIPLRRQVTVSADSSASMNSGVLLVRPSRL SSSGTPMLAGVSEYELPEDPRWELPRDRLVLGKPLGEGCFGQVVLAEAIGLDKDKPNRVT KVAVKMLKSDATEKDLSDLISEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNL REYLQARRPPGLEYCYNPSHNPEEQLSSKDLVSCAYQVARGMEYLASKKCIHRDLAARNV LVTEDNVMKIADFGLARDIHHIDYYKKTTNGRLPVKWMAPEALFDRIYTHQSDVWSFGVL LWEIFTLGGSPYPGVPVEELFKLLKEGHRMDKPSNCTNELYMMMRDCWHAVPSQRPTFKQ LVEDLDRIVALTSNQEYLDLSIPLDQYSPSFPDTRSSTCSSGEDSVFSHEPLPEEPCLPR HPTQLANSGLKRR >ENSMUSP00000136640.1 pep:known chromosome:GRCm38:8:25518772:25575714:1 gene:ENSMUSG00000031565.17 transcript:ENSMUST00000179592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1 description:fibroblast growth factor receptor 1 [Source:MGI Symbol;Acc:MGI:95522] MWGWKCLLFWAVLVTATLCTARPAPTLPEQVGSSSWPLWVAAAAQPWGVPVEVESLLVHP GDLLQLRCRLRDDVQSINWLRDGVQLVESNRTRITGEEVEVRDSIPADSGLYACVTSSPS GSDTTYFSVNVSDALPSSEDDDDDDDSSSEEKETDNTKPNPVAPYWTSPEKMEKKLHAVP AAKTVKFKCPSSGTPNPTLRWLKNGKEFKPDHRIGGYKVRYATWSIIMDSVVPSDKGNYT CIVENEYGSINHTYQLDVVERSPHRPILQAGLPANKTVALGSNVEFMCKVYSDPQPHIQW LKHIEVNGSKIGPDNLPYVQILKTAGVNTTDKEMEVLHLRNVSFEDAGEYTCLAGNSIGL SHHSAWLTVLEALEERPAVMTSPLYLEIIIYCTGAFLISCMLGSVIIYKMKSGTKKSDFH SQMAVHKLAKSIPLRRQVTVSADSSASMNSGVLLVRPSRLSSSGTPMLAGVSEYELPEDP RWELPRDRLVLGKPLGEGCFGQVVLAEAIGLDKDKPNRVTKVAVKMLKSDATEKDLSDLI SEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLQARRPPGLEYCYNPSH NPEEQLSSKDLVSCAYQVARGMEYLASKKCIHRDLAARNVLVTEDNVMKIADFGLARDIH HIDYYKKTTNGRLPVKWMAPEALFDRIYTHQSDVWSFGVLLWEIFTLGGSPYPGVPVEEL FKLLKEGHRMDKPSNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRIVALTSNQEYLDL SIPLDQYSPSFPDTRSSTCSSGEDSVFSHEPLPEEPCLPRHPTQLANSGLKRR >ENSMUSP00000137515.1 pep:known chromosome:GRCm38:8:25518772:25575714:1 gene:ENSMUSG00000031565.17 transcript:ENSMUST00000178276.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr1 description:fibroblast growth factor receptor 1 [Source:MGI Symbol;Acc:MGI:95522] MWGWKCLLFWAVLVTATLCTARPAPTLPEQDALPSSEDDDDDDDSSSEEKETDNTKPNPV APYWTSPEKMEKKLHAVPAAKTVKFKCPSSGTPNPTLRWLKNGKEFKPDHRIGGYKVRYA TWSIIMDSVVPSDKGNYTCIVENEYGSINHTYQLDVVERSPHRPILQAGLPANKTVALGS NVEFMCKVYSDPQPHIQWLKHIEVNGSKIGPDNLPYVQILKHSGINSSDAEVLTLFNVTE AQSGEYVCKVSNYIGEANQSAWLTVTRPVAKALEERPAVMTSPLYLEIIIYCTGAFLISC MLGSVIIYKMKSGTKKSDFHSQMAVHKLAKSIPLRRQVTVSADSSASMNSGVLLVRPSRL SSSGTPMLAGVSEYELPEDPRWELPRDRLVLGKPLGEGCFGQVVLAEAIGLDKDKPNRVT KVAVKMLKSDATEKDLSDLISEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNL REYLQARRPPGLEYCYNPSHNPEEQLSSKDLVSCAYQVARGMEYLASKKCIHRDLAARNV LVTEDNVMKIADFGLARDIHHIDYYKKTTNGRLPVKWMAPEALFDRIYTHQSDVWSFGVL LWEIFTLGGSPYPGVPVEELFKLLKEGHRMDKPSNCTNELYMMMRDCWHAVPSQRPTFKQ LVEDLDRIVALTSNQEYLDLSIPLDQYSPSFPDTRSSTCSSGEDSVFSHEPLPEEPCLPR HPTQLANSGLKRR >ENSMUSP00000101159.1 pep:known chromosome:GRCm38:10:24637914:24712158:-1 gene:ENSMUSG00000037370.13 transcript:ENSMUST00000105520.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp1 description:ectonucleotide pyrophosphatase/phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:97370] MERDGDQAGHGPRHGSAGNGRELESPAAASLLAPMDLGEEPLEKAERARPAKDPNTYKVL SLVLSVCVLTTILGCIFGLKPSCAKEVKSCKGRCFERTFSNCRCDAACVSLGNCCLDFQE TCVEPTHIWTCNKFRCGEKRLSRFVCSCADDCKTHNDCCINYSSVCQDKKSWVEETCESI DTPECPAEFESPPTLLFSLDGFRAEYLHTWGGLLPVISKLKNCGTYTKNMRPMYPTKTFP NHYSIVTGLYPESHGIIDNKMYDPKMNASFSLKSKEKFNPLWYKGQPIWVTANHQEVKSG TYFWPGSDVEIDGILPDIYKVYNGSVPFEERILAVLEWLQLPSHERPHFYTLYLEEPDSS GHSHGPVSSEVIKALQKVDRLVGMLMDGLKDLGLDKCLNLILISDHGMEQGSCKKYVYLN KYLGDVNNVKVVYGPAARLRPTDVPETYYSFNYEALAKNLSCREPNQHFRPYLKPFLPKR LHFAKSDRIEPLTFYLDPQWQLALNPSERKYCGSGFHGSDNLFSNMQALFIGYGPAFKHG AEVDSFENIEVYNLMCDLLGLIPAPNNGSHGSLNHLLKKPIYNPSHPKEEGFLSQCPIKS TSNDLGCTCDPWIVPIKDFEKQLNLTTEDDDIYHMTVPYGRPRILLKQHRVCLLQQQQFL TGYSLDLLMPLWASYTFLSNDQFSRDDFSNCLYQDLRIPLSPVHKCSYYKSNSKLSYGFL TPPRLNRVSNHIYSEALLTSNIVPMYQSFQVIWHYLHDTLLQRYAHERNGINVVSGPVFD FDYDGRYDSLEILKQNSRVIRSQEILIPTHFFIVLTSCKQLSETPLECSALESSAYILPH RPDNIESCTHGKRESSWVEELLTLHRARVTDVELITGLSFYQDRQESVSELLRLKTHLPI FSQED >ENSMUSP00000046090.6 pep:known chromosome:GRCm38:10:24641435:24712159:-1 gene:ENSMUSG00000037370.13 transcript:ENSMUST00000039882.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Enpp1 description:ectonucleotide pyrophosphatase/phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:97370] MERDGDQAGHGPRHGSAGNGRELESPAAASLLAPMDLGEEPLEKAERARPAKDPNTYKVL SLVLSVCVLTTILGCIFGLKPSCAKEVKSCKGRCFERTFSNCRCDAACVSLGNCCLDFQE TCVEPTHIWTCNKFRCGEKRLSRFVCSCADDCKTHNDCCINYSSVCQDKKSWVEETCESI DTPECPAEFESPPTLLFSLDGFRAEYLHTWGGLLPVISKLKNCGTYTKNMRPMYPTKTFP NHYSIVTGLYPESHGIIDNKMYDPKMNASFSLKSKEKFNPLWYKGQPIWVTANHQEVKSG TYFWPGSDVEIDGILPDIYKVYNGSVPFEERILAVLEWLQLPSHERRTRFFRAFTWTSQQ RGHQGLAEG >ENSMUSP00000114273.1 pep:known chromosome:GRCm38:10:24641806:24711991:-1 gene:ENSMUSG00000037370.13 transcript:ENSMUST00000135846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp1 description:ectonucleotide pyrophosphatase/phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:97370] MERDGDQAGHGPRHGSAGNGRELESPAAASLLAPMDLGEEPLEKAERARPAKDPNTYKVL SLVLSVCVLTTILGCIFGLKPSCAKEVKSCKGRCFERTFSNCRCDAACVSLGNCCLDFQE TCVEPTHIWTCNKFRCGEKRLSRFVCSCADDCKTHNDCCINYSSVCQDKKSWVEETCESI DTPECPAEFESPPTLLFSLDGFRAEYLHTWGGLLPVISKLKNCGTYTKNMRPMYPTKTFP NHYSIVTGLYPESHGIIDNKMYDPKMNASFSLKSKEKFNPLWYKGQPIWVTANHQEVKSG TYFWPGSDVEIDGILPDIYKVYNGSVPFEERILAVLEWLQLPSHERPHFYTLYLEEPDSS GHSHGPVSSEVIKALQKVDRLVGMLMDGLKDLGLDKCLNLILISDHGMEQGSCKKYVYLN KYLGDVNNVKVVYGPAARLRPTDVPETYYSFNYEALAKNLSCREPNQHFRPYLKPFLPKR LHFAKSDRIEPLTFYLDPQWQLALNPSERKYCGSGFHGSDNLFSNMQALFIGYGPAFKHG AEVDSFENIEVYNLMCDLLGLIPAPNNGSHGSLNHLLKKPIYNPSHPKEEGFLSQCPIKS TSNDLGCTCDPWIVPIKDFEKQLNLTTEDVDDIYHMTVPYGRPRILLKQHRVCLLQQQQF LTGYSLDLLMPLWASYTFLSNDQFSRDDFSNCLYQDLRIPLSPVHKCSYYKSNSKLSYGF LTPPRLNRVSNHIYSEALLTSNIVPMYQSFQVIWHYLHDTLLQRYAHERNGINVVSGPVF DFDYDGRYDSLEILKQNSRVIRSQEILIPTHFFIVLTSCKQLSETPLECSALESSAYILP HRPDNIESCTHGKRESSWVEELLTLHRARVTDVELITGLSFYQDRQESVSELLRLKTHLP IFSQED >ENSMUSP00000124452.1 pep:known chromosome:GRCm38:3:135348029:135371979:1 gene:ENSMUSG00000050150.16 transcript:ENSMUST00000159658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9b1 description:solute carrier family 9, subfamily B (NHA1, cation proton antiporter 1), member 1 [Source:MGI Symbol;Acc:MGI:1921696] MSKDPDSFHEETVEPKPELKEPEPKEPEPKEPERKEPERKEPERKEPERKEPERKVPGRR ETQTKETQTTEIERKETKKKRGTNSYCPPQGTINKTITDGAALIALWTLLWALIGQEVLP GGNLFGLVVIFYSAFLGGKILEFI >ENSMUSP00000077644.5 pep:known chromosome:GRCm38:3:135348034:135397827:1 gene:ENSMUSG00000050150.16 transcript:ENSMUST00000078568.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9b1 description:solute carrier family 9, subfamily B (NHA1, cation proton antiporter 1), member 1 [Source:MGI Symbol;Acc:MGI:1921696] MSEHDVESNKKDDGFQSSVTVEMSKDPDSFHEETVEPKPELKEPEPKEPEPKEPERKEPE RKEPERKEPERKEPERKVPGRRETQTKETQTTEIERKETKKKRGTNSYCPPQGTINKTIT DGAALIALWTLLWALIGQEVLPGGNLFGLVVIFYSAFLGGKILEFIRIPVVPPLPPLIGM LLAGFTIRNVPIIYEFVHIPTTWSSALRNTALTIILVRAGLGLDPQALKHLKGVCLRLSF GPCFLEACSAALFSHFIMNFPWQWGFLLGFVLGAVSPAVVVPNMLMLQENGYGVEKGIPT LLVAASSMDDIVAITGFNTFLSIVFSSGSVISNILSSLRDVLIGVLVGIVMGVFVQYFPS GDQERLTQRRAFLVLSMCISAVLGCQHIGLHGSGGLVTLVLSFMAAKRWAEEKVGIQKIV ANTWNVFQPLLFGLVGTEVSVESLESKTIGMCLATLGLALSVRILSTFVLMSFANFRFKE KVFIALSWIPKATVQAVLGPLALETARVMAPHLEGYAKAVMTVAFLAILITAPNGALLIG ILGPKILEQSEVTFPLKVELSNFHH >ENSMUSP00000125203.1 pep:known chromosome:GRCm38:3:135382750:135397824:1 gene:ENSMUSG00000050150.16 transcript:ENSMUST00000161417.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc9b1 description:solute carrier family 9, subfamily B (NHA1, cation proton antiporter 1), member 1 [Source:MGI Symbol;Acc:MGI:1921696] GYGVEKGIPTLLVAASSMDDIVAITGFNTFLSIVFSSGSVISNILSSLRDVLIGVLVGIV MGVFVQYFPSGDQAASTLAYMDLEDWSH >ENSMUSP00000105620.2 pep:known chromosome:GRCm38:11:3917192:3932159:-1 gene:ENSMUSG00000020432.12 transcript:ENSMUST00000109993.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcn2 description:transcobalamin 2 [Source:MGI Symbol;Acc:MGI:98534] MELLKALLLLSGVFGALAEFCVIPRIDSQLVEKLGQRLLPWMDRLSSEQLNPSVFVGLRL SSMQAGTKEDLYLHSLKIHYQQCLLRSTSSDDNSSCQPKLSGGSLALYLLALRANCEFFG SRKGDRLISQLKWFLEDEKKAIGHNHEGHPNTNYYQYGLSILALCVHQKRLHDSVVGKLL YAVEHDYFTYQGHVSVDTEAMAGLALTCLERFNFNSDLRPRITMAIETVREKILKSQAPE GYFGNIYSTPLALQMLMTSPASGVGLGTACIKAGTSLLLSLQDGAFQNPLMISQLLPILN HKTYLDLIFPDCQASRVMLVPAVEDPVHISEVISVTLKVASALSPYEQTFFVFAGSSLED VLKLAQDGGGFTYGTQASLSGPYLTSVLGKDAGDREYWQLLRAPDTPLLQGIADYKPQDG ETIELRLVRW >ENSMUSP00000105616.3 pep:known chromosome:GRCm38:11:3917192:3932044:-1 gene:ENSMUSG00000020432.12 transcript:ENSMUST00000109989.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcn2 description:transcobalamin 2 [Source:MGI Symbol;Acc:MGI:98534] MELLKALLLLSGVFGALAEFCVIPRIDSQLVEKLGQRLLPWMDRLSSEQLNPSVFVGLRL SSMQAGTKEDLYLHSLKIHYQQCLLRSTSSDDNSSCQPKLSGGSLALYLLALRANCEFFG SRKGDRLISQLKWFLEDEKKAIGHNHEGHPNTNYYQYGLSILALCVHQKRLHDSVVGKLL YAVEHDYFTYQGHVSVDTEAMAGLALTCLERFNFNSDLRPRITMAIETVREKILKSQAPE GYFGNIYSTPLALQMLMTSPASGVGLGTACIKAGTSLLLSLQDGAFQNPLMISQLLPILN HKTYLDLIFPDCQASRVMLVPAVEDPVHISEVISVTLKVASALSPYEQTFFVFAGSSLED VLKLAQDGGGFTYGTQASLSGPYLTSVLGKDAGDREYWQLLRAPDTPLLQGIADYKPQDG ETIELRLVRW >ENSMUSP00000105617.1 pep:known chromosome:GRCm38:11:3917192:3931960:-1 gene:ENSMUSG00000020432.12 transcript:ENSMUST00000109990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcn2 description:transcobalamin 2 [Source:MGI Symbol;Acc:MGI:98534] MELLKALLLLSGVFGALAEFCVIPRIDSQLVEKLGQRLLPWMDRLSSEQLNPSVFVGLRL SSMQAGTKEDLYLHSLKIHYQQCLLRSTSSDDNSSCQPKLSGGSLALYLLALRANCEFFG SRKGDRLISQLKWFLEDEKKAIGHNHEGHPNTNYYQYGLSILALCVHQKRLHDSVVGKLL YAVEHDYFTYQGHVSVDTEAMAGLALTCLERFNFNSDLRPRITMAIETVREKILKSQAPE GYFGNIYSTPLALQMLMTSPASGVGLGTACIKAGTSLLLSLQDGAFQNPLMISQLLPILN HKTYLDLIFPDCQASRVMLVPAVEDPVHISEVISVTLKVASALSPYEQTFFVFAGSSLED VLKLAQDGGGFTYGTQASLSGPYLTSVLGKDAGDREYWQLLRAPDTPLLQGIADYKPQDG ETIELRLVRW >ENSMUSP00000105619.1 pep:known chromosome:GRCm38:11:3917192:3931789:-1 gene:ENSMUSG00000020432.12 transcript:ENSMUST00000109992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcn2 description:transcobalamin 2 [Source:MGI Symbol;Acc:MGI:98534] MELLKALLLLSGVFGALAEFCVIPRIDSQLVEKLGQRLLPWMDRLSSEQLNPSVFVGLRL SSMQAGTKEDLYLHSLKIHYQQCLLRSTSSDDNSSCQPKLSGGSLALYLLALRANCEFFG SRKGDRLISQLKWFLEDEKKAIGHNHEGHPNTNYYQYGLSILALCVHQKRLHDSVVGKLL YAVEHDYFTYQGHVSVDTEAMAGLALTCLERFNFNSDLRPRITMAIETVREKILKSQAPE GYFGNIYSTPLALQMLMTSPASGVGLGTACIKAGTSLLLSLQDGAFQNPLMISQLLPILN HKTYLDLIFPDCQASRVMLVPAVEDPVHISEVISVTLKVASALSPYEQTFFVFAGSSLED VLKLAQDGGGFTYGTQASLSGPYLTSVLGKDAGDREYWQLLRAPDTPLLQGIADYKPQDG ETIELRLVRW >ENSMUSP00000105618.1 pep:known chromosome:GRCm38:11:3917192:3932078:-1 gene:ENSMUSG00000020432.12 transcript:ENSMUST00000109991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcn2 description:transcobalamin 2 [Source:MGI Symbol;Acc:MGI:98534] MELLKALLLLSGVFGALAEFCVIPRIDSQLVEKLGQRLLPWMDRLSSEQLNPSVFVGLRL SSMQAGTKEDLYLHSLKIHYQQCLLRSTSSDDNSSCQPKLSGGSLALYLLALRANCEFFG SRKGDRLISQLKWFLEDEKKAIGHNHEGHPNTNYYQYGLSILALCVHQKRLHDSVVGKLL YAVEHDYFTYQGHVSVDTEAMAGLALTCLERFNFNSDLRPRITMAIETVREKILKSQAPE GYFGNIYSTPLALQMLMTSPASGVGLGTACIKAGTSLLLSLQDGAFQNPLMISQLLPILN HKTYLDLIFPDCQASRVMLVPAVEDPVHISEVISVTLKVASALSPYEQTFFVFAGSSLED VLKLAQDGGGFTYGTQASLSGPYLTSVLGKDAGDREYWQLLRAPDTPLLQGIADYKPQDG ETIELRLVRW >ENSMUSP00000020710.4 pep:known chromosome:GRCm38:11:3917192:3931995:-1 gene:ENSMUSG00000020432.12 transcript:ENSMUST00000020710.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcn2 description:transcobalamin 2 [Source:MGI Symbol;Acc:MGI:98534] MELLKALLLLSGVFGALAEFCVIPRIDSQLVEKLGQRLLPWMDRLSSEQLNPSVFVGLRL SSMQAGTKEDLYLHSLKIHYQQCLLRSTSSDDNSSCQPKLSGGSLALYLLALRANCEFFG SRKGDRLISQLKWFLEDEKKAIGHNHEGHPNTNYYQYGLSILALCVHQKRLHDSVVGKLL YAVEHDYFTYQGHVSVDTEAMAGLALTCLERFNFNSDLRPRITMAIETVREKILKSQAPE GYFGNIYSTPLALQMLMTSPASGVGLGTACIKAGTSLLLSLQDGAFQNPLMISQLLPILN HKTYLDLIFPDCQASRVMLVPAVEDPVHISEVISVTLKVASALSPYEQTFFVFAGSSLED VLKLAQDGGGFTYGTQASLSGPYLTSVLGKDAGDREYWQLLRAPDTPLLQGIADYKPQDG ETIELRLVRW >ENSMUSP00000105615.1 pep:known chromosome:GRCm38:11:3917192:3931804:-1 gene:ENSMUSG00000020432.12 transcript:ENSMUST00000109988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcn2 description:transcobalamin 2 [Source:MGI Symbol;Acc:MGI:98534] MELLKALLLLSGVFGALAEFCVIPRIDSQLVEKLGQRLLPWMDRLSSEQLNPSVFVGLRL SSMQAGTKEDLYLHSLKIHYQQCLLRSTSSDDNSSCQPKLSGGSLALYLLALRANCEFFG SRKGDRLISQLKWFLEDEKKAIGHNHEGHPNTNYYQYGLSILALCVHQKRLHDSVVGKLL YAVEHDYFTYQGHVSVDTEAMAGLALTCLERFNFNSDLRPRITMAIETVREKILKSQAPE GYFGNIYSTPLALQMLMTSPASGVGLGTACIKAGTSLLLSLQDGAFQNPLMISQLLPILN HKTYLDLIFPDCQASRVMLVPAVEDPVHISEVISVTLKVASALSPYEQTFFVFAGSSLED VLKLAQDGGGFTYGTQASLSGPYLTSVLGKDAGDREYWQLLRAPDTPLLQGIADYKPQDG ETIELRLVRW >ENSMUSP00000138255.1 pep:known chromosome:GRCm38:9:123852315:123862029:-1 gene:ENSMUSG00000060509.4 transcript:ENSMUST00000182350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xcr1 description:chemokine (C motif) receptor 1 [Source:MGI Symbol;Acc:MGI:1346338] MDSESDALSIPASRVQMESSTAFYDYHDKLSLLCENNVIFFSTISTIVLYSLVFLLSLVG NSLVLWVLVKYENLESLTNIFILNLCLSDLMFSCLLPVLISAQWSWFLGDFFCKFFNMIF GISLYSSIFFLTIMTIHRYLSVVSPISTLGIHTLRCRVLVTSCVWAASILFSIPDAVFHK VISLNCKYSEHHGFLASVYQHNIFFLLSMGIILFCYVQILRTLFRTRSRQRHRTVRLIFT VVVAYFLSWAPYNLTLFLKTGIIQQSCESLQQLDIAMIICRHLAFSHCCFNPVLYVFVGI KFRRHLKHLFQQVWLCRKTSSTVPCSPGTFTYEGPSFY >ENSMUSP00000029566.2 pep:known chromosome:GRCm38:3:89271733:89279645:-1 gene:ENSMUSG00000027954.9 transcript:ENSMUST00000029566.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efna1 description:ephrin A1 [Source:MGI Symbol;Acc:MGI:103236] MEFLWAPLLGLCCSLAAADRHIVFWNSSNPKFREEDYTVHVQLNDYLDIICPHYEDDSVA DAAMERYTLYMVEHQEYVACQPQSKDQVRWNCNRPSAKHGPEKLSEKFQRFTPFILGKEF KEGHSYYYISKPIYHQESQCLKLKVTVNGKITHNPQAHVNPQEKRLQADDPEVQVLHSIG YSAAPRLFPLVWAVLLLPLLLLQSQ >ENSMUSP00000112904.1 pep:known chromosome:GRCm38:3:89271736:89281142:-1 gene:ENSMUSG00000027954.9 transcript:ENSMUST00000118587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efna1 description:ephrin A1 [Source:MGI Symbol;Acc:MGI:103236] MERYTLYMVEHQEYVACQPQSKDQVRWNCNRPSAKHGPEKLSEKFQRFTPFILGKEFKEG HSYYYISKPIYHQESQCLKLKVTVNGKITHNPQAHVNPQEKRLQADDPEVQVLHSIGYSA APRLFPLVWAVLLLPLLLLQSQ >ENSMUSP00000113098.1 pep:known chromosome:GRCm38:3:89271740:89279641:-1 gene:ENSMUSG00000027954.9 transcript:ENSMUST00000118860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efna1 description:ephrin A1 [Source:MGI Symbol;Acc:MGI:103236] MEFLWAPLLGLCCSLAAADRHIVFWNSSNPKFREEDYTVHVQLNDYLDIICPHYEDDSVA DAAMERYTLYMVEHQEYVACQPQSKDQVRWNCNRPSAKHGPEKLSEKFQRFTPFILGKEF KEGHSYYYISHNPQAHVNPQEKRLQADDPEVQVLHSIGYSAAPRLFPLVWAVLLLPLLLL QSQ >ENSMUSP00000099553.1 pep:known chromosome:GRCm38:11:76902159:76916587:1 gene:ENSMUSG00000020839.16 transcript:ENSMUST00000102495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmigd1 description:transmembrane and immunoglobulin domain containing 1 [Source:MGI Symbol;Acc:MGI:1913851] MVWKITGPLQACQLLLVVLSLPQGRTSSVLTVNGRTENYILDTQHGVQASLECAVQNHTE DEELLWYREDGIVDLKNGNKINISSVCVSPINESDNGVRFTCKLQRDQTVSVTVVLNVTF PPLLSGNGFQTVEENSDVSLVCNVKSNPQAQMMWYKNNSALVLEKGRHQIHQTRESFQLS ITKVKKSDNGTYSCIASSSLKMETMDFHLLVKDKVFVMPAEPIIAACVVVVLTMAFALFS RRKRIMKLCGKKNDPNSETAL >ENSMUSP00000121222.1 pep:known chromosome:GRCm38:11:76904475:76910108:1 gene:ENSMUSG00000020839.16 transcript:ENSMUST00000142166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmigd1 description:transmembrane and immunoglobulin domain containing 1 [Source:MGI Symbol;Acc:MGI:1913851] MVWKITGPLQACQLLLVVLSLPQGRTSSVLTVNGRTENYILDTQHGVQASLECAVQNHTE DEELLWYREDGIVDLKNGNKINISSVCVSPINESDNGVRFTCKLQRDQTVSVTVVLNVTF PPLLSGNGFQTVEENSDVSL >ENSMUSP00000072427.3 pep:known chromosome:GRCm38:11:76904545:76916586:1 gene:ENSMUSG00000020839.16 transcript:ENSMUST00000072633.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmigd1 description:transmembrane and immunoglobulin domain containing 1 [Source:MGI Symbol;Acc:MGI:1913851] MVWKITGPLQACQLLLVVLSLPQGRTSSVLTVNGRTENYILDTQHGVQASLECAVQNHTE DEELLWYREDGIVDLKNGNKINISSVCVSPINESDNGVRFTCKLQRDQTVSVTVVLNVTF PPLLSGNGFQTVEENSDVSLVCNVKSNPQAQMMWYKNNSALVLEKGRHQIHQTRESFQLS ITKVKKSDNGTYSCIASSSLKMETMDFHLLVKDKVFVMPAEPIIAACVVVVLTMAFALFS RRKRIMKLCGKKNDPNSETAL >ENSMUSP00000138814.1 pep:known chromosome:GRCm38:14:50357914:50363663:1 gene:ENSMUSG00000051969.7 transcript:ENSMUST00000185091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr11 description:toll-like receptor 11 [Source:MGI Symbol;Acc:MGI:3045226] MLKESMPRMERHQFCSVLLILILLTLVSLTLTGWAWTIPDCIIADSLLFPNLSYYIPFCT SAPGLHLLASCSNVKNLNQTLKRVPRNTEVLCLQGMVPTLPAKAFIRFHSLQLLRLQLRT TSVTSRTFQGLDQLQYLFFDHHAPCCLSLFLSPNCFESLRSLSSLSFQGYCLTYSQSIYL PTSLRHLTLRNSCLTKFQDLQRLFPDLLLSTSSTPNIKPGAPFLETLDLSYNLQLKQAGV RDLYGLTLHSLILDGTPLKALDLTDSGLLHLHFLSLVGTGIEKVPASLTGYSELRALDLG KNQIQNILENGEIPGYKALEFLSLHDNHLQTLPTRFLHTLPQLQKLNLSMNKLGPILELP EGLFSTNLKVLDLSYNQLCDVPHGALSLLSQLQELWLSGNNISSLSNESLQGLRQLRTLD LSWNQIKVLKPGWLSHLPALTTLNLLGTYLEYILGIQLQGPKMLRHLQLGSYPILDIYPP WPPTLLSLEIQAESCIQFMIHSGQPFLFLENLTLETSILLLKPDNITIHFPSLRRLTLRG YSFIFSTSQLQRFFPQQLPLLEHFFIWCENSYAVDLYLFGMPRLRVLELGYLNFFYESST MKLEMLLKEVPQLQVLALSHLNLRNLSVSSFKSLQDLKLLLFNSERALEMNSNLQEFIPQ MPQYVYFSDVTFTCQCEASWLESWATRAPNTFVYGLEKSICIANASDYSKTLLFSFLATN CPHGTEFWGFLTSFILLLLLIILPLISCPKWSWLHHLWTLFHTCWWKLCGHRLRGQFNYD VFISYCEEDQAWVLEELVPVLEKAPPEGEGLRLCLPARDFGIGNDRMESMIASMGKSRAT LCVLTGQALASPWCNLELRLATYHLVARPGTTHLLLLFLEPLDRQRLHSYHRLSRWLQKE DYFDLSQGKVEWNSFCEQLKRRLSKAGQERD >ENSMUSP00000068906.5 pep:known chromosome:GRCm38:14:50360502:50363494:1 gene:ENSMUSG00000051969.7 transcript:ENSMUST00000063570.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr11 description:toll-like receptor 11 [Source:MGI Symbol;Acc:MGI:3045226] MPRMERHQFCSVLLILILLTLVSLTLTGWAWTIPDCIIADSLLFPNLSYYIPFCTSAPGL HLLASCSNVKNLNQTLKRVPRNTEVLCLQGMVPTLPAKAFIRFHSLQLLRLQLRTTSVTS RTFQGLDQLQYLFFDHHAPCCLSLFLSPNCFESLRSLSSLSFQGYCLTYSQSIYLPTSLR HLTLRNSCLTKFQDLQRLFPDLLLSTSSTPNIKPGAPFLETLDLSYNLQLKQAGVRDLYG LTLHSLILDGTPLKALDLTDSGLLHLHFLSLVGTGIEKVPASLTGYSELRALDLGKNQIQ NILENGEIPGYKALEFLSLHDNHLQTLPTRFLHTLPQLQKLNLSMNKLGPILELPEGLFS TNLKVLDLSYNQLCDVPHGALSLLSQLQELWLSGNNISSLSNESLQGLRQLRTLDLSWNQ IKVLKPGWLSHLPALTTLNLLGTYLEYILGIQLQGPKMLRHLQLGSYPILDIYPPWPPTL LSLEIQAESCIQFMIHSGQPFLFLENLTLETSILLLKPDNITIHFPSLRRLTLRGYSFIF STSQLQRFFPQQLPLLEHFFIWCENSYAVDLYLFGMPRLRVLELGYLNFFYESSTMKLEM LLKEVPQLQVLALSHLNLRNLSVSSFKSLQDLKLLLFNSERALEMNSNLQEFIPQMPQYV YFSDVTFTCQCEASWLESWATRAPNTFVYGLEKSICIANASDYSKTLLFSFLATNCPHGT EFWGFLTSFILLLLLIILPLISCPKWSWLHHLWTLFHTCWWKLCGHRLRGQFNYDVFISY CEEDQAWVLEELVPVLEKAPPEGEGLRLCLPARDFGIGNDRMESMIASMGKSRATLCVLT GQALASPWCNLELRLATYHLVARPGTTHLLLLFLEPLDRQRLHSYHRLSRWLQKEDYFDL SQGKVEWNSFCEQLKRRLSKAGQERD >ENSMUSP00000092098.5 pep:known chromosome:GRCm38:4:143539672:143542013:1 gene:ENSMUSG00000070616.13 transcript:ENSMUST00000094521.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13040 description:predicted gene 13040 [Source:MGI Symbol;Acc:MGI:3649498] MSFQAPPTLLSLAVQSLAKNEALAINALQELPRELFPPLFKAAFTGRHTKILKEMVGAWP LPCLPVGALMNVPDMTILQAVLDGVDMQLTGNFHPRKRKLQVLDLRNVHHHFWDVSAGPE DGDGSAETVCQKQKATRPHSYALRRRLKVVTDLCLQFDLEEHQTYFLQWAQERRGSLNLC CVKMQIRELPLHTVRKILQIFQPYCIEELELHIDWTLSTLASFAPCLGQIKNLRKLHLTL VHEKLFTFLCTSTDIKEKSVTKFISQFSKLNSLQHLDLAGLYFLTGHMNELLVCLKTPLE FLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGVILHDLSLVPLGIFLENVANTLKTLEL EHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISMPVLTNLLHHTANLSQLIMELYPAPL ECYETGFVLRPERIVQLSSELMNILCTVRQPHSICFASQLCLICSQRCTYGLETRLCHCC Q >ENSMUSP00000127296.1 pep:known chromosome:GRCm38:4:143535954:143542471:1 gene:ENSMUSG00000070616.13 transcript:ENSMUST00000169056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13040 description:predicted gene 13040 [Source:MGI Symbol;Acc:MGI:3649498] MRISDQVYSLYRFIRMSFQAPPTLLSLAVQSLAKNEALAINALQELPRELFPPLFKAAFT GRHTKILKEMVGAWPLPCLPVGALMNVPDMTILQAVLDGVDMQLTGNFHPRKRKLQVLDL RNVHHHFWDVSAGPEDGDGSAETVCQKQKATRPHSYALRRRLKVVTDLCLQFDLEEHQTY FLQWAQERRGSLNLCCVKMQIRELPLHTVRKILQIFQPYCIEELELHIDWTLSTLASFAP CLGQIKNLRKLHLTLVHEKLFTFLCTSTDIKEKSVTKFISQFSKLNSLQHLDLAGLYFLT GHMNELLVCLKTPLEFLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGVILHDLSLVPLG IFLENVANTLKTLELEHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISMPVLTNLLHHT ANLSQLIMELYPAPLECYETGFVLRPERIVQLSSELMNILCTVRQPHSICFASQLCLICS QRCTYGLETRLCHCCQ >ENSMUSP00000102192.2 pep:known chromosome:GRCm38:11:114890041:114904654:1 gene:ENSMUSG00000034652.12 transcript:ENSMUST00000106582.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300a description:CD300A antigen [Source:MGI Symbol;Acc:MGI:2443411] MTQLASAVWLPTLLLLLLLFWLPGCVPLHGPSTMSGSVGESLSVSCRYEEKFKTKDKYWC RVSLKILCKDIVKTSSSEEARSGRVTIRDHPDNLTFTVTYESLTLEDADTYMCAVDISLF DGSLGFDKYFKIELSVVPSEDPVSSPGPTLETPVVSTSLPTKGPALGSNTEGHREHDYSQ GLRLPALLSVLALLLFLLVGTSLLAWRMFQKRLVKADRHPELSQNLRQASEQNECQYVNL QLHTWSLREEPVLPSQVEVVEYSTLALPQEELHYSSVAFNSQRQDSHANGDSLHQPQDQK AEYSEIQKPRKGLSDLYL >ENSMUSP00000036773.5 pep:known chromosome:GRCm38:11:114890041:114904654:1 gene:ENSMUSG00000034652.12 transcript:ENSMUST00000045151.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300a description:CD300A antigen [Source:MGI Symbol;Acc:MGI:2443411] MTQLASAVWLPTLLLLLLLFWLPGCVPLHGPSTMSGSVGESLSVSCRYEEKFKTKDKYWC RVSLKILCKDIVKTSSSEEARSGRVTIRDHPDNLTFTVTYESLTLEDADTYMCAVDISLF DGSLGFDKYFKIELSVVPSEDPGPTLETPVVSTSLPTKGPALGSNTEGHREHDYSQGLRL PALLSVLALLLFLLVGTSLLAWRMFQKRLVKADRHPELSQNLRQASEQNECQYVNLQLHT WSLREEPVLPSQVEVVEYSTLALPQEELHYSSVAFNSQRQDSHANGDSLHQPQDQKAEYS EIQKPRKGLSDLYL >ENSMUSP00000023497.2 pep:known chromosome:GRCm38:16:33062521:33127695:1 gene:ENSMUSG00000022802.2 transcript:ENSMUST00000023497.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmln description:leishmanolysin-like (metallopeptidase M8 family) [Source:MGI Symbol;Acc:MGI:2444736] MAAAGSGGAGGPGPGPRGRWGGCLWVRGVLLVLGGLPAGAGAAPVSLGTSPPCRHHVLSD TEVINKVHLKTNHVTKRDADGHLRIKTIYDQSIEELLPEKRYLVKNKLFPQAISYLEKTF QVRRPAGRILLSRQCATNQYLRKENDPHRYCTGECAVHTKCGPVIVPEEHLQQCRVCREG KWPCGAVGVLDPEGVRDADFVLYVGALATERCSHENIISYAAYCQQEAKMDRPIAGYANL CPNMISTQPQEFIGMLSTVKHEIIHALGFSAGLFAFYHDQDGNPLTSRSADGLPPFNYSL GLYQWSDKVVRKVERLWNVRDNKIVRHTVYLLVTPRVVEEARKHFNCPVLEGMELENQGG MGTELNHWEKRLLENEAMTGSHTQNRVLSRITLALMEDTGWYKANYSMAEKLDWGRGLGC EFVRKSCKFWIDQHRQRRQVPSPYCDTLRSNPLQLTCRQDQRAVAVCNLQRFPNPLPPEY QYFDELTGIPAEDLPYYGGSVEIADYCPFSQEFSWHLSGEYQRSSDCRILENQPELFKNY GAEQYGPHSVCLLQKSAFIMEQCERKLSYPDWGSGCYQVSCSPQGLKVWVQDTSYLCSRA GQVLPVRIQMNGWIHNGNLLCPSCWDFCEQCPPETDPPAANLTRALPLDLCSCSSSLVVT LWLLLGNLFPLLAGFLLCVWH >ENSMUSP00000101402.1 pep:known chromosome:GRCm38:4:143551709:143558234:1 gene:ENSMUSG00000096154.1 transcript:ENSMUST00000105776.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13057 description:predicted gene 13057 [Source:MGI Symbol;Acc:MGI:3649690] MRISDQVYSLYRFIRMSFQAPPTLLSLAVQSLAKNEALAINALQELPRELFPPLFKAAFT GRHTKILKEMVGAWPLPCLPVGALMNVPDMTILQAVLDGVDMQLTGNFHPRKRKLQVLDL RNVHHHFWDVSAGPEDGDGSAETVCQKQKATRPHSYALRRRLKVVTDLCLQFDLEEHQTY FLQWAQERRGSLNLCCVKMQIRELPLHTVRKILQIFQPYCIEELELHIDWTLSTLASFAP CLGQIKNLRKLHLTLVHEKLFTFLCTSTDIKEKSVTKFISQFSKLNSLQHLDLAGLYFLT GHMNELLVCLKTPLEFLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGVILHDLSLVPLG IFLENVANTLKTLELEHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISMPVLTNLLHHT ANLSQLIMELYPAPLECYETGFVLRPERIVQLSSELMNILCTVRQPHSICFASQLCLICS QRCTYGLETRLCHCCQ >ENSMUSP00000021249.4 pep:known chromosome:GRCm38:11:97029938:97033958:1 gene:ENSMUSG00000020877.11 transcript:ENSMUST00000021249.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrn2 description:secernin 2 [Source:MGI Symbol;Acc:MGI:1343092] MASSSPDAPCSCDCFVSVPPASAIPAVIFAKNSDRPRDEVQEVVFIPAGTHVPGSRLQCT YIEVEQVGKTHAVILSRPSWLWGAEMGANELGVCIGNEAVWTKEPVGQGEALLGMDLLRL ALERSSTAQEAVHVIAGLLDRYGQGGSCREDPEPFCYHNTFLLADRTEAWVLETAGSLWA AQRIQGGARNISNQLSIGTDISAEHPELRSHAKAQGWWTGQGLFDFAEVFSLTQQPVRME AAKARFRAGCEMLQRHQGNITAEVMMGILRDKESGICMDSGGFRTTASMVSVLPQDPTKP CVHFLTATPDPSRSVFKPFIFEVGVSQSPQVLSPTFGAQDPVRILPRFQTRVDRRHSLYR GHQAALGLMEDEQEQAQQLRKKQQRLEQEGLEALRGLLTGEQTPPAQGLGSLFQAFVERE EQAYA >ENSMUSP00000116606.1 pep:known chromosome:GRCm38:11:97030134:97032276:1 gene:ENSMUSG00000020877.11 transcript:ENSMUST00000153482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrn2 description:secernin 2 [Source:MGI Symbol;Acc:MGI:1343092] MASSSPDAPCSCDCFVSVPPASAIPAVIFAKNSDRPRDEVQEVVFIPAGTHVPGSRLQCT YIEVEQVGKTHAVILSRPSWLWGAEMGANELGVCIGNEAVWTKEPVGQGEALLGMDLLRL ALERSSTAQEAVHVIAGLLDRYGQGGSCREDPEPFCYHNTFLLADRTEAWVLETAGSLWA A >ENSMUSP00000101400.1 pep:known chromosome:GRCm38:4:143567475:143573798:1 gene:ENSMUSG00000070618.10 transcript:ENSMUST00000105774.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC080695 description:cDNA sequence BC080695 [Source:MGI Symbol;Acc:MGI:3525148] MSFQAPPTLLSLAVQSLAKNEALAINALQELPRELYPPLFKAAFIDRHTRILKEMVGAWP LPCLPVGALMNVPDMTVLQAVLDGVDMQLTGKFHPRKRKLQVLDLRNVHHHFWDVSAGPE DGDGSAETVCQKQKATRPHRYALRRRLKVVTDLCLQFYLEEHQTYFLQWAQERRGSLNLC CVKMQIRELPMHTVRKILQIFQPYCIEELELHIGWTQSTLACFAPCLGQMKNLRKLHLTL VHEKLFTFLCTSTDIQEKSVTKFISQFSKLNSLRHLDLAGLYFLTGHMNELLGCLKTPLE FLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGVILHDLSLVPLGIFLENVANTLKTLEL EHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISMPVLTNLLHHTANLSQLIMELYPAPL ECYETGFVLRPERIVQLSSELMNILCTVRQPHSICFASQLCLICSQRCTYGLETRLCHCC Q >ENSMUSP00000101391.3 pep:known chromosome:GRCm38:4:143551700:143573797:1 gene:ENSMUSG00000070618.10 transcript:ENSMUST00000105765.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC080695 description:cDNA sequence BC080695 [Source:MGI Symbol;Acc:MGI:3525148] MSFQAPPTLLSLAVQSLAKNEALAINALQELPRELYPPLFKAAFIDRHTRILKEMVGAWP LPCLPVGALMNVPDMTVLQAVLDGVDMQLTGKFHPRKRKLQVLDLRNVHHHFWDVSAGPE DGDGSAETVCQKQKATRPHRYALRRRLKVVTDLCLQFYLEEHQTYFLQWAQERRGSLNLC CVKMQIRELPMHTVRKILQIFQPYCIEELELHIGWTQSTLACFAPCLGQMKNLRKLHLTL VHEKLFTFLCTSTDIQEKSVTKFISQFSKLNSLRHLDLAGLYFLTGHMNELLGCLKTPLE FLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGVILHDLSLVPLGIFLENVANTLKTLEL EHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISMPVLTNLLHHTANLSQLIMELYPAPL ECYETGFVLRPERIVQLSSELMNILCTVRQPHSICFASQLCLICSQRCTYGLETRLCHCC Q >ENSMUSP00000101833.2 pep:known chromosome:GRCm38:7:128439777:128461672:-1 gene:ENSMUSG00000030846.15 transcript:ENSMUST00000106226.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tial1 description:Tia1 cytotoxic granule-associated RNA binding protein-like 1 [Source:MGI Symbol;Acc:MGI:107913] MMEDDGQPRTLYVGNLSRDVTEVLILQLFSQIGPCKSCKMITEQPDSRRVNSSVGFSVLQ HTSNDPYCFVEFYEHRDAAAALAAMNGRKILGKEVKVNWATTPSSQKKDTSNHFHVFVGD LSPEITTEDIKSAFAPFGKISDARVVKDMATGKSKGYGFVSFYNKLDAENAIVHMGGQWL GGRQIRTNWATRKPPAPKSTQETNTKQLRFEDVVNQSSPKNCTVYCGGIASGLTDQLMRQ TFSPFGQIMEIRVFPEKGYSFVRFSTHESAAHAIVSVNGTTIEGHVVKCYWGKESPDMTK NFQQVDYSQWGQWSQVYGNPQQYGQYMANGWQVPPYGVYGQPWNQQGFGVDQSPSAAWMG GFGAQPPQGQAPPPVIPPPNQAGYGMASFPTQ >ENSMUSP00000101835.2 pep:known chromosome:GRCm38:7:128441403:128461673:-1 gene:ENSMUSG00000030846.15 transcript:ENSMUST00000106228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tial1 description:Tia1 cytotoxic granule-associated RNA binding protein-like 1 [Source:MGI Symbol;Acc:MGI:107913] MITEHTSNDPYCFVEFYEHRDAAAALAAMNGRKILGKEVKVNWATTPSSQKKDTSNHFHV FVGDLSPEITTEDIKSAFAPFGKISDARVVKDMATGKSKGYGFVSFYNKLDAENAIVHMG GQWLGGRQIRTNWATRKPPAPKSTQETNTKQLRFEDVVNQSSPKNCTVYCGGIASGLTDQ LMRQTFSPFGQIMEIRVFPEKGYSFVRFSTHESAAHAIVSVNGTTIEGHVVKCYWGKESP DMTKNFQQVDYSQWGQWSQVYGNPQQYGQYMANGWQVPPYGVYGQPWNQQGFGVDQSPSA AWMGGFGAQPPQGQAPPPVIPPPNQAGYGMASFPTQ >ENSMUSP00000145943.1 pep:known chromosome:GRCm38:7:128442209:128444905:-1 gene:ENSMUSG00000030846.15 transcript:ENSMUST00000133444.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tial1 description:Tia1 cytotoxic granule-associated RNA binding protein-like 1 [Source:MGI Symbol;Acc:MGI:107913] NTKQLRFEDVVNQSSPKNCTVYCGGIASGLTDQLMRQTFSPFGQIMEIRVFPEKGYSFVR FSTHESAAHAIVSVNGTTIEGHVVKCYWGKESPDMTKNFQQVSPPQ >ENSMUSP00000145770.1 pep:known chromosome:GRCm38:7:128442280:128461209:-1 gene:ENSMUSG00000030846.15 transcript:ENSMUST00000205835.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tial1 description:Tia1 cytotoxic granule-associated RNA binding protein-like 1 [Source:MGI Symbol;Acc:MGI:107913] MMEDDGQPRTLYVGNLSRDVTEVLILQLFSQIGPCKSCKMITESIPFCCLDGWIWCSASS RTSPSPCNTSSKPSWVWNGKLPDTVSWDSKHDCNSPQAAVSCHTLKT >ENSMUSP00000033135.7 pep:known chromosome:GRCm38:7:128442280:128461355:-1 gene:ENSMUSG00000030846.15 transcript:ENSMUST00000033135.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tial1 description:Tia1 cytotoxic granule-associated RNA binding protein-like 1 [Source:MGI Symbol;Acc:MGI:107913] MMEDDGQPRTLYVGNLSRDVTEVLILQLFSQIGPCKSCKMITEHTSNDPYCFVEFYEHRD AAAALAAMNGRKILGKEVKVNWATTPSSQKKDTSNHFHVFVGDLSPEITTEDIKSAFAPF GKISDARVVKDMATGKSKGYGFVSFYNKLDAENAIVHMGGQWLGGRQIRTNWATRKPPAP KSTQETNTKQLRFEDVVNQSSPKNCTVYCGGIASGLTDQLMRQTFSPFGQIMEIRVFPEK GYSFVRFSTHESAAHAIVSVNGTTIEGHVVKCYWGKESPDMTKNFQQVDYSQWGQWSQVY GNPQQYGQYMANGWQVPPYGVYGQPWNQQGFGVDQSPSAAWMGGFGAQPPQGQAPPPVIP PPNQAGYGMASFPTQ >ENSMUSP00000116921.1 pep:known chromosome:GRCm38:7:128442280:128461717:-1 gene:ENSMUSG00000030846.15 transcript:ENSMUST00000123666.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tial1 description:Tia1 cytotoxic granule-associated RNA binding protein-like 1 [Source:MGI Symbol;Acc:MGI:107913] MMEDDGQPRTLYVGNLSRDVTEVLILQLFSQIGPCKSCKMITEHTSNDPYCFVEFYEHRD AAAALAAMNGRKILGKEVKVNWATTPSSQKKDTSNHFHVFVGDLSPEITTEDIKSAFAPF GKISIAHKNGQDLEG >ENSMUSP00000145933.1 pep:known chromosome:GRCm38:7:128442311:128461346:-1 gene:ENSMUSG00000030846.15 transcript:ENSMUST00000141126.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tial1 description:Tia1 cytotoxic granule-associated RNA binding protein-like 1 [Source:MGI Symbol;Acc:MGI:107913] MATGKSKGYGFVSFYNKLDAENAIVHMGGQWLGGRQIRTNWATRKPPAPKSTQETNTKQL RFEDVVNQSSPKNCTVYCGGIASGLTDQLMRQTFSPFGQIMEIRVFPEKGYSFVRFSTHE SAAHAIVSVNGTTIEGHVVKCYWGKESPDMTKNFQQVDYSQWGQWSQVYGNPQQYGQYMA NGWQVPPYGVYGQPWNQQGFGVDQSPSAAWMGGFGAQPPQGQAPPPVIPPPNQAGYGMAS FPTQ >ENSMUSP00000146031.1 pep:known chromosome:GRCm38:7:128443814:128448346:-1 gene:ENSMUSG00000030846.15 transcript:ENSMUST00000133089.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tial1 description:Tia1 cytotoxic granule-associated RNA binding protein-like 1 [Source:MGI Symbol;Acc:MGI:107913] XSNHFHVFVGDLSPEITTEDIKSAFAPFGKISCPNSKMMIEN >ENSMUSP00000145908.1 pep:known chromosome:GRCm38:7:128444812:128455028:-1 gene:ENSMUSG00000030846.15 transcript:ENSMUST00000205278.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tial1 description:Tia1 cytotoxic granule-associated RNA binding protein-like 1 [Source:MGI Symbol;Acc:MGI:107913] VGNLSRDVTEVLILQLFSQIGPCKSCKMITEQPDSRRVNSSVGFSVLQHTSNDPYCFVEF YEHRDAAAALAAMNGRKILGKEVKVNWATTPSSQKKDTSNHFHVFVGDLSPEITTEDIKS AFAPFGKISIAHKNGQDLEG >ENSMUSP00000126458.1 pep:known chromosome:GRCm38:7:128441795:128461323:-1 gene:ENSMUSG00000030846.15 transcript:ENSMUST00000165023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tial1 description:Tia1 cytotoxic granule-associated RNA binding protein-like 1 [Source:MGI Symbol;Acc:MGI:107913] MMEDDGQPRTLYVGNLSRDVTEVLILQLFSQIGPCKSCKMITEHTSNDPYCFVEFYEHRD AAAALAAMNGRKILGKEVKVNWATTPSSQKKDTSNHFHVFVGDLSPEITTEDIKSAFAPF GKISDARVVKDMATGKSKGYGFVSFYNKLDAENAIVHMGGQWLGGRQIRTNWATRKPPAP KSTQETNTKQLRFEDVVNQSSPKNCTVYCGGIASGLTDQLMRQTFSPFGQIMEIRVFPEK GYSFVRFSTHESAAHAIVSVNGTTIEGHVVKCYWGKESPDMTKNFQQVDYSQWGQWSQVY GNPQQYGQYMANGWQVPPYGVYGQPWNQQGFGVDQSPSAAWMGGFGAQPPQGQAPPPVIP PPNQAGYGMASFPTQ >ENSMUSP00000020043.5 pep:known chromosome:GRCm38:10:103214399:103236322:-1 gene:ENSMUSG00000019892.12 transcript:ENSMUST00000020043.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrriq1 description:leucine-rich repeats and IQ motif containing 1 [Source:MGI Symbol;Acc:MGI:1922228] MEDSDDSEAKLREEIEAELDKISISSLENDEVENDSVSDTQSDSSDTDLLELPESVLHYI NVIKNKSKTAEELILQDVEDTDIFSDYKKGCNHGTVTDSHMHLRTGSLPESKANAEQLMK ILSVIEKEEFMRSLAPSARCVSVREIITPDTPMDEYILPDEADLSFGYFEVEERCRKSFE AWQDKQQELEEKDKETLEAQNEREKRTFQEEDEKRQCWMRQFEVEKKHLEDLQKQDQDKM NDELHKEEKIWKEKYRQHEEHIRNLHLKMEEERTRLSELQEKEKARLFKLRYDAAVKIQA TYRASVTYRKYSPIIKEQMEKKRRRAQELKEKEAKIRQKEEEKRRRLEEEQRVEEEKKKK MLEERRRREREYEEKKSILRQEREEQRSKEVIRLREHAHSPLIITCALKKGDCHGKQQAI AHVPKGKGTIAKESVDSNSKKQEDACLAQQLNKRENTHVQQLAMKKSTGIKLKPNQAILV ELKMNEKNESLPKLKINENLSKNQCSEQPSDQEFNAENVDQKNELENSNLKESVNEQYPW QGLESDTQTEEHVEHVREEKVGQETEKLFGFNQEVSAEDSKQAQGVMEETREGLAEEIEI KEMTQQGGPSDENNSSPISMQKSLPSLTPDNPEPVERSVTLEEDQETDLKSERIEEIPEE GVLSCDAAVINADASVHTEGEADLQDSASGKLAPSEEAGSHSANNLLATEEVEDSPKSEI QEALEKGQQTKAEADGVLTCSVSQLTVLSSVEERRLAWVKTFKPWAEIFEQNQHKKIVKK RRLVKCPPNTMPPLDPSAILQYGPWKSLKQVFII >ENSMUSP00000119783.1 pep:known chromosome:GRCm38:10:103215329:103236293:-1 gene:ENSMUSG00000019892.12 transcript:ENSMUST00000123364.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrriq1 description:leucine-rich repeats and IQ motif containing 1 [Source:MGI Symbol;Acc:MGI:1922228] MEDSDDSEAKLREEIEAELDKISISSLENDEVENDSVSDTQSDSSDTDLLELPESVLHYI NVIKNKSKTAEELILQDVEDTDIFSDYKKGCNHGTVTDSHMHLRTGSLPESKANAEQLMK ILSVIEKEEFMRSLAPSARCVSVREIITPDTPMDEYILPDEADLSFGYFEVEERCRKSFE AWQDKQQELEEKDKETLEAQNEREKRTFQEEDEKRQCWMRQFEVEKKHLEDLQKQDQDKM NDELHKEEKIWKEKYRQHEEHIRNLHLKMEEERTRLSELQEKEKARLFKLRYDAAVKIQA TYRASVTYRKYSPIIKEQMEKKRRRAQELKEKEAKIRQKEEEKRRRLEEEQRVEEEKKKK MLEERRRREREYEEKKSILRQEREEQRSKEVIRLREHAHSPLIITCALKKGDCHGKQQAI AHVPKGKGTIAKESVDSNSKKQEDACLAQQLNKRENTHVQQLAMKKSTGIKLKPNQAILV ELKMNEKNESLPKLKINENLSKNQCSEQPSDQEFNAENVD >ENSMUSP00000131419.1 pep:known chromosome:GRCm38:10:103063198:103236322:-1 gene:ENSMUSG00000019892.12 transcript:ENSMUST00000166240.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrriq1 description:leucine-rich repeats and IQ motif containing 1 [Source:MGI Symbol;Acc:MGI:1922228] MEDSDDSEAKLREEIEAELDKISISSLENDEVENDSVSDTQSDSSDTDLLELPESVLHYI NVIKNKSKTAEELILQDVEDTDIFSDYKKGCNHGTVTDSHMHLRTGSLPESKANAEQLMK ILSVIEKEEFMRSLAPSARCVSVREIITPDTPMDEYILPDEADLSFGYFEVEERCRKSFE AWQDKQQELEEKDKETLEAQNEREKRTFQEEDEKRQCWMRQFEVEKKHLEDLQKQDQDKM NDELHKEEKIWKEKYRQHEEHIRNLHLKMEEERTRLSELQEKEKARLFKLRYDAAVKIQA TYRASVTYRKYSPIIKEQMEKKRRRAQELKEKEAKIRQKEEEKRRRLEEEQRVEEEKKKK MLEERRRREREYEEKKSILRQEREEQRSKEVIRLREHAHSPLIITCALKKGDCHGKQQAI AHVPKGKGTIAKESVDSNSKKQEDACLAQQLNKRENTHVQQLAMKKSTGIKLKPNQAILV ELKMNEKNESLPKLKINENLSKNQCSEQPSDQEFNAENVDQKNELENSNLKESVNEQYPW QGLESDTQTEEHVEHVREEKVGQETEKLFGFNQEVSAEDSKQAQGVMEETREGLAEEIEI KEMTQQGGPSDENNSSPISMQKSLPSLTPDNPEPVERSVTLEEDQETDLKSERIEEIPEE GVLSCDAAVINADASVHTEGEADLQDSASGKLAPSEEAGSHSANNLLATEEVEDSPKSEI QEALEKGQQTKAEADGVLTCSVSQLTVLSSVEERRLAWVKTFKPWAEIFEQNQHKKIVKK RRLVKCPPNTMPPLDPSAILQYGPWKSLKQVPVITFQGLPGCSLSTLAECSNLQILSLRR CGLTSLQGLSHCTRLKYIDAQENHIEAISCENLENLSVVLLNNNLLTSIHGFDGCTNLQS LELSHNKITRISGLESLKYLQELTVDHNQLISTKGLCEAPTIVYLDCSHNHLTGIDGIGN CGLLQIIKLQGNYLREPPSLRNHVLLRELHLDDNSISSVEGLSSCWLPLLQYLSISQNSL ATIVPLFHLVSLEKLDVSNNCLSDLTNVMCWFNACYSLRELCLTGNPVLQEINWRDSILK TLPALRVLNGDMLNSYANDRIEEHYHQDLRCLLALCQYQLQEFNLLPEKYITQKRDILTL HAVDRLSQYYKDLMKLSHECRRAHEQGDVNTTERSAAETNKNHPDFSNTDSALQNKTLHA QTNSCEADSPATSPNPLDTVFRPSTSHCEELRGRNQEKLMAHKSEQSRISSRSNSRASFI EMKMADSPMSNHHNAERSSPTKAAMVIQAQWRSYIAHRQINCSAEMHPTTTEPLQDPLIN NQTTSNEERRKTNMDIQEQREKAALHIQAVWKGFILRKKLATARKAIKDEESGEEYEEID LEDFEFDEDALEKDWPALDSTGFPSQTLPLSNQLPWPKNSRTLRHDETSPTIPVRPAQAW LCNEKENVMSSEYTQLSSRSESGILSWTPDSKTSRKNLLQSEKEEKISEEWGFKDISTAQ QMLKRAKKMKSKKLRKKLEPSVRLALFKKAKNKVSVTKSSKKTQLRRDNYFEAHISSQDE EEEAVSKATAAKEKLERSQEYTYQWLHTQVGFPEATSSRNLKCNHFLPELDPDVLNGGRV QLVARLVSREDTDLDLFSMTSASALSVNKDKKSQTHRYSTGSSSKLWFPSELI >ENSMUSP00000108225.1 pep:known chromosome:GRCm38:1:123321471:124045559:-1 gene:ENSMUSG00000036815.16 transcript:ENSMUST00000112606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp10 description:dipeptidylpeptidase 10 [Source:MGI Symbol;Acc:MGI:2442409] MTAMKQEQQPTPGARATQSQPADQELGSNSPPQRNWKGIAIALLVILVVCSLITMSVILL TPDELTNSSETRLSLEELLGKGFGLHNPEPRWINDTVVVYKTNNGHVMKLNTESNASTLL LDNSTFVTFKASRHSLSPDLKYVLLAYDVKQIFHYSFTASYLIYNIHTGEVWELNPPEVE DSVLQYAAWGVQGQQLIYIFENNIYYQPDIKSSSLRLTSSGKEGIIFNGIADWLYEEELL HSHIAHWWSPDGERLAFLMINDSLVPNMIIPRFTGALYPKAKQYPYPKAGQANPSVKLYV VNLYGPTHTLELMPPDIFKSREYYITMVKWVSNTRTVVRWLNRPQNISILTLCESTTGAC SRKYEMTSDTWLSKQNEEPVFSRDGSKFFMTVPVKQGGRGEFHHIAMFLVQSKSEQITVR HLTSGNWEVIRILAYDETTQKIYFLSTESSPQGRQLYSASTEGLLNRDCISCNFMKEDCT YFDASFSPMNQHFLLFCEGPKVPVVSLHITDNPSRYFLLENNSVMKETIQKKKLAKRETR ILHIDDYELPLQLSFPKDFMEKNQYALLLIMDEEPGGQMVTDKFHVDWDSVLIDTDNVIV ARFDGRGSGFQGLKVLQEIHRRIGSVEAKDQVAAVKYLLKQPYIDSKRLSIFGKGYGGYI ASMILKSDEKFFKCGAVVAPISDMKLYASAFSERYLGMPSKEESTYQASSVLHNIHGLKE ENLLIIHGTADTKVHFQHSAELIKHLIKAGVNYTLQVYPDEGYHISDKSKHHFYSTILRF FSDCLKEEVSVLPQEPEEDE >ENSMUSP00000108222.2 pep:known chromosome:GRCm38:1:123332142:124045163:-1 gene:ENSMUSG00000036815.16 transcript:ENSMUST00000112603.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp10 description:dipeptidylpeptidase 10 [Source:MGI Symbol;Acc:MGI:2442409] MLKVESRGEAGREELGSNSPPQRNWKGIAIALLVILVVCSLITMSVILLTPDELTNSSET RLSLEELLGKGFGLHNPEPRWINDTVVVYKTNNGHVMKLNTESNASTLLLDNSTFVTFKA SRHSLSPDLKYVLLAYDVKQIFHYSFTASYLIYNIHTGEVWELNPPEVEDSVLQYAAWGV QGQQLIYIFENNIYYQPDIKSSSLRLTSSGKEGIIFNGIADWLYEEELLHSHIAHWWSPD GERLAFLMINDSLVPNMIIPRFTGALYPKAKQYPYPKAGQANPSVKLYVVNLYGPTHTLE LMPPDIFKSREYYITMVKWVSNTRTVVRWLNRPQNISILTLCESTTGACSRKYEMTSDTW LSKQNEEPVFSRDGSKFFMTVPVKQGGRGEFHHIAMFLVQSKSEQITVRHLTSGNWEVIR ILAYDETTQKIYFLSTESSPQGRQLYSASTEGLLNRDCISCNFMKEDCTYFDASFSPMNQ HFLLFCEGPKVPVVSLHITDNPSRYFLLENNSVMKETIQKKKLAKRETRILHIDDYELPL QLSFPKDFMEKNQYALLLIMDEEPGGQMVTDKFHVDWDSVLIDTDNVIVARFDGRGSGFQ GLKVLQEIHRRIGSVEAKDQVAAVKYLLKQPYIDSKRLSIFGKGYGGYIASMILKSDEKF FKCGAVVAPISDMKLYASAFSERYLGMPSKEESTYQASSVLHNIHGLKEENLLIIHGTAD TKVHFQHSAELIKHLIKAGVNYTLQVYPDEGYHISDKSKHHFYSTILRFFSDCLKEEVSV LPQEPEEDE >ENSMUSP00000060774.2 pep:known chromosome:GRCm38:3:141210004:141212355:-1 gene:ENSMUSG00000047674.2 transcript:ENSMUST00000057860.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdha2 description:pyruvate dehydrogenase E1 alpha 2 [Source:MGI Symbol;Acc:MGI:97533] MRKMLTAVLSHVFSGMVQKPALRGLLSSLKFSNDATCDIKKCDLYRLEEGPPTSTVLTRA EALKYYRTMQVIRRMELKADQLYKQKFIRGFCHLCDGQEACCVGLEAGINPTDHVITSYR AHGFCYTRGLSVKSILAELTGRKGGCAKGKGGSMHMYGKNFYGGNGIVGAQVPLGAGVAF ACKYLKNGQVCLALYGDGAANQGQVFEAYNMSALWKLPCVFICENNLYGMGTSNERSAAS TDYHKKGFIIPGLRVNGMDILCVREATKFAADHCRSGKGPIVMELQTYRYHGHSMSDPGI SYRSREEVHNVRSKSDPIMLLRERIISNNLSNIEELKEIDADVKKEVEDAAQFATTDPEP AVEDIANYLYHQDPPFEVRGAHKWLKYKSHS >ENSMUSP00000129031.1 pep:known chromosome:GRCm38:7:30763756:30781059:1 gene:ENSMUSG00000060962.12 transcript:ENSMUST00000165887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmkn description:dermokine [Source:MGI Symbol;Acc:MGI:1920962] MKLQGSLACLLLALCLGGGAANPLHSGGEGTGASAAHGAGDAISHGIGEAVGQGAKEAAS SGIQNALGQGHGEEGGSTLMGSRGDVFEHRLGEAARSLGNAGNEIGRQAEDIIRQGVDAV HNAGSWGTSGGHGAYGSQGGAGVQGNPGPQGTPWASGGNYGTNSLGGSVGQGGNGGPLNY ETNAQGAVAQPGYGTVRGNNQNSGCTNPPPSGSHESFSNSGGSSNDGSRGSQGSHGSNGQ GSSGRGGGQGNSDNNGSSSSSSGSNSGNSNSGNSGNSNSGNSGNSGSGSRDIETSNFDEG YSVSRGTGSRGGSGGSGGSGGGNKPECNNPGNDVRMAGGSGSQESKESSHLLGGSHDYQG HGSNGGNIQKEAVNGLNTMNSDASTLPFNIDNFWENLKSKTRFINWDAINKGHAPSPSTR ALLYFRKLWENFKRSTPFFNWKQIEGSDLSSLQKRAGGADQFSKPEARQDLSADSSKNYY NNQQVNPTYNWQYYTKTTAKAGVTPSSSSASRAQPGLLKWLKFW >ENSMUSP00000082834.4 pep:known chromosome:GRCm38:7:30763763:30781058:1 gene:ENSMUSG00000060962.12 transcript:ENSMUST00000085691.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmkn description:dermokine [Source:MGI Symbol;Acc:MGI:1920962] MKLQGSLACLLLALCLGGGAANPLHSGGEGTGASAAHGAGDAISHGIGEAVGQGAKEAAS SGIQNALGQGHGEEGGSTLMGSRGDVFEHRLGEAARSLGNAGNEIGRQAEDIIRQGVDAV HNAGSWGTSGGHGAYGSQGGAGVQGNPGPQGTPWASGGNYGTNSLGGSVGQGGNGGPLNY ETNAQGAVAQPGYGTVRGNNQNSGCTNPPPSGSHESFSNSGGSSNDGSRGSQGSHGSNGQ GSSGRGGGQGNSDNNGSSSSSSGSNSGNSNSGNSGNSNSGNSGNSGSGSRDIETSNFDEG YSVSRGTGSRGGSGGSGGSGGGNKPECNNPGNDVRMAGGSGSQGHGSNGGNIQKEAVNGL NTMNSDASTLPFNIDNFWENLKSKTRFINWDAINKGHAPSPSTRALLYFRKLWENFKRST PFFNWKQIEGSDLSSLQKRAGGADQFSKPEARQDLSADSSKNYYNNQQVNPTYNWQYYTK TTAKAGVTPSSSSASRAQPGLLKWLKFW >ENSMUSP00000082831.4 pep:known chromosome:GRCm38:7:30763799:30781058:1 gene:ENSMUSG00000060962.12 transcript:ENSMUST00000085688.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmkn description:dermokine [Source:MGI Symbol;Acc:MGI:1920962] MKLQGSLACLLLALCLGGGAANPLHSGGEGTGASAAHGAGDAISHGIGEAVGQGAKEAAS SGIQNALGQGHGEEGGSTLMGSRGDVFEHRLGEAARSLGNAGNEIGRQAEDIIRQGVDAV HNAGSWGTSGGHGAYGSQGGAGVQGNPGPQGTPWASGGNYGTNSLGGSVGQGGNGGPLNY ETNAQGAVAQPGYGTVRGNNQNSGCTNPPPSGSHESFSNSGGSSNDGSRGSQGSHGSNGQ GSSGRGGGQGNSDNNGSSSSSSGSNSGNSNSGNSGNSNSGNSGNSGSGSRGTGSRGGSGG SGGSGGGNKPECNNPGNDVRMAGGSGSQGHGSNGGNIQKEAVNGLNTMNSDASTLPFNID NFWENLKSKTRFINWDAINKGHAPSPSTRALLYFRKLWENFKRSTPFFNWKQIEGSDLSS LQKRAGGADQFSKPEARQDLSADSSKNYYNNQQVNPTYNWQYYTKTTAKAGVTPSSSSAS RAQPGLLKWLKFW >ENSMUSP00000140196.1 pep:known chromosome:GRCm38:7:30765057:30780804:1 gene:ENSMUSG00000060962.12 transcript:ENSMUST00000188578.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmkn description:dermokine [Source:MGI Symbol;Acc:MGI:1920962] NPPPSGSHESFSNSGGSSNDGSRGSQGSHGSNGQGSSGRGGGQGNSDNNGSSSSSSGSNS GNSNSGNSGNSNSGNSGNSGSGSRGTGSRGGSGGSGGSGGGNKPECNNPGNDVRMAGGSG SQESKESSHLLGGSHDYQGHGSNGGNIQKEAVNGLNTMNSDASTLPFNIDNFWENLKSKT RFINWDAINKGHAPSPSTRALLYFRKLWENFKRSTPFFNWKQIEGSDLSSLQKRAGGADQ FSKPEARQDLSADSSKNYYNNQQVNPTYNWQYYTKTTAKAGVTPSSSSASRAQPGLLKWL KFW >ENSMUSP00000042300.7 pep:known chromosome:GRCm38:7:30776401:30781063:1 gene:ENSMUSG00000060962.12 transcript:ENSMUST00000041703.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmkn description:dermokine [Source:MGI Symbol;Acc:MGI:1920962] MKPVTASALLLILLGVAWRGDSHSWGSDLSSLQKRAGGADQFSKPEARQDLSADSSKNYY NNQQVNPTYNWQYYTKTTAKAGVTPSSSSASRAQPGLLKWLKFW >ENSMUSP00000060362.6 pep:known chromosome:GRCm38:7:30763763:30781058:1 gene:ENSMUSG00000060962.12 transcript:ENSMUST00000054427.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmkn description:dermokine [Source:MGI Symbol;Acc:MGI:1920962] MKLQGSLACLLLALCLGGGAANPLHSGGEGTGASAAHGAGDAISHGIGEAVGQGAKEAAS SGIQNALGQGHGEEGGSTLMGSRGDVFEHRLGEAARSLGNAGNEIGRQAEDIIRQGVDAV HNAGSWGTSGGHGAYGSQGGAGVQGNPGPQGTPWASGGNYGTNSLGGSVGQGGNGGPLNY ETNAQGAVAQPGYGTVRGNNQNSGCTNPPPSGSHESFSNSGGSSNDGSRGSQGSHGSNGQ GSSGRGGGQGNSDNNGSSSSSSGSNSGNSNSGNSGNSNSGNSGNSGSGSRDIETSNFDEG YSVSRQRFKRKGEGGTGSRGGSGGSGGSGGGNKPECNNPGNDVRMAGGSGSQGHGSNGGN IQKEAVNGLNTMNSDASTLPFNIDNFWENLKSKTRFINWDAINKGHAPSPSTRALLYFRK LWENFKRSTPFFNWKQIEGSDLSSLQKRAGGADQFSKPEARQDLSADSSKNYYNNQQVNP TYNWQYYTKTTAKAGVTPSSSSASRAQPGLLKWLKFW >ENSMUSP00000053727.3 pep:known chromosome:GRCm38:7:100537100:100540369:1 gene:ENSMUSG00000044881.7 transcript:ENSMUST00000054310.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coa4 description:cytochrome c oxidase assembly factor 4 [Source:MGI Symbol;Acc:MGI:1915435] MSTSVPQGHNWTRPVKKDDDEEDPLDQLITRSGCAASHFAVQECMAQHQDWRQCQPQVQA FRDCMSAQQARRREELQRRKEQASAQH >ENSMUSP00000114098.1 pep:known chromosome:GRCm38:7:100537232:100539779:1 gene:ENSMUSG00000044881.7 transcript:ENSMUST00000120454.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coa4 description:cytochrome c oxidase assembly factor 4 [Source:MGI Symbol;Acc:MGI:1915435] MSTSVPQGHNWTRPVKKDDDEEDPLDQLITRSGCAASHFAVQECMAQHQDWRQCQPQVQA FRDCMSAQQARRREELQRRKEQASAQH >ENSMUSP00000140240.1 pep:known chromosome:GRCm38:1:87945119:87975898:-1 gene:ENSMUSG00000005501.14 transcript:ENSMUST00000189409.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp40 description:ubiquitin specific peptidase 40 [Source:MGI Symbol;Acc:MGI:2443184] XMNELNWLQVKNFCQSESEEKQVQIAVTMHTSQTVALWRKQR >ENSMUSP00000038533.4 pep:known chromosome:GRCm38:1:87945121:88008551:-1 gene:ENSMUSG00000005501.14 transcript:ENSMUST00000040783.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp40 description:ubiquitin specific peptidase 40 [Source:MGI Symbol;Acc:MGI:2443184] MFGNLFEEDYSSVSSSQYGRGKKLKTKGLEPPAPREFTNLSGIRNQGGTCYLSSLLQTLH FTPEFREALFSLGPEELGSLEDKDKPDAKVRIIPLQLQRLFAQLLLLDQEAASTIDLTDS FGWTNDEEMRQHDVQELNRILFSALETSLVGTSGHDLIHRLYHGTIVNQIVCKECKNISE RQEDFLDLTVAVKNVSGLEDELCNMYVEEEIFDYDNLYHCGTCDRLVKAAKSAKLRKLPP FLTISLLRFNFDFVKCERYKDTSCYTFPLRINLKPFCEQSELDDMEYMYDLFSVIIHKGG CYGGHYHVYIKDVDHLGNWQCQEEISDTNVNVKAPQSEEEANDPLVVLKTILLQEEANQI PVDQLGQKLLKKTGISWNKKYRKQHGPLRKFLQLHPQIFLLSTDESTVSLLRNHLTQAPS DLQSCEQILHTLASESPGLNDDTSCPHWFDINDSKVHPIREKDITQQFQGKESAYMLFYR KATLQRPPEARANPRYRVPCHLLKEMDAANILLQMRRAECDSANSTFELHLHLGPHYRFF NGALHPAVSETESVWDLTFDKRKTLGDLRQSIFQLLECWEGDMVLSVAKRVPAGLHVYHT LDGDDLTLCEAEVADGEDIFVWNGVEVGGVQIQTGFDCEPLLLNILHLELSGEGSKCEQL VESPHVFPANAEVGAVFTALGTPAGAILMNSVESADGECWTAVPKEDMKKTFREQGLRNG SLILVQDSDSDNNSLLPKQGRWTNSMNELNWLQVKNFCQSESEEKQVQIAVTMHTVVFDI RIKAIKELKLMKELGEIWHLRKMDWCYEAGEPLCEEDATLKELMIRSGDTLLLTEGKLPP PGHLKMPIWWYQPARLSGHCESRDHLNCAFSQDSTWRAAPTQGAPGPEPAEVSLLYLGDM EISEEATLVELKSQALALPSVSKLAVQSTALLRVWTVESKRPSRLLRTNWRQLKEYRLGR RAELCLELLQKEEDLGPRDVLLRTQLRIPGERAYSLATDLIWDTTRGWTAGSLRQRVADF YSLPVEKIEIAKYFPEKFEWLPISSWNQQVAKRKKKKNQDTLQGGPYYLKDGDTIGIKNL LFDDNDDFSTIRDDIGKENQKRLALEKKKSREVHRAQSSDLFSNAGVPARFRGPEASLSI HVASFR >ENSMUSP00000140107.1 pep:known chromosome:GRCm38:1:87946443:88008534:-1 gene:ENSMUSG00000005501.14 transcript:ENSMUST00000187758.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp40 description:ubiquitin specific peptidase 40 [Source:MGI Symbol;Acc:MGI:2443184] MFGNLFEEDYSSVSSSQYGRGKKLKTKGLEPPAPREFTNLSGIRNQGGTCYLSSLLQTLH FTPEFREALFSLGPEELGSLEDKDKPDAKVRIIPLQLQRLFAQLLLLDQEAASTIDLTDS FGWTNDEEMRQHDVQELNRILFSALETSLVGTSGHDLIHRLYHGTIVNQIVCKECKNISE RQEDFLDLTVAVKNVSGLEDELCNMYVEEEIFDYDNLYHCGTCDRLVKAAKSAKLRKLPP FLTISLLRFNFDFVKCERYKDTSCYTFPLRINLKPFCEQSELDDMEYMYDLFSVIIHKGG CYGGHYHVYIKDVDHLGNWQCQEEISDTNVNVKAPQSEEEANDPLVVLKTILLQEEANQI PVDQLGQKLLKKTGISWNKKYRKQHGPLRKFLQLHPQIFLLSTDESTVSLLRNHLTQAPS DLQSCEQILHTLASESPGLNDDTSCPHWFDINDSKVHPIREKDITQQFQGKESAYMLFYR KATLQRPPEARANPRYRVPCHLLKEMDAANILLQMRRAECDSANSTFELHLHLGPHYRFF NGALHPAVSETESVWDLTFDKRKTLGDLRQSIFQLLECWEGDMVLSVAKRVPAGLHVYHT LDGDDLTLCEAEVADGEDIFVWNGVEVGGVQIQTGFDCEPLLLNILHLELSGEGSKCEQL VESPHVFPANAEVGAVFTALGTPAGAILMNSVESADGECWTAVPKEDMKKTFREQGLRNG SLILVQDSDSDNNSLLPKQGRWTNSMNELNWLQVKNFCQSESEEKQVQIAVTMHTVVFDI RIKAIKELKLMKELAENSCLRPIDRNGKLLCPVPDSSTLEEAEVKMGSSVGLCLGKAPTS SQLFLFFALGTDIHPGAEMDIIVEETLSVRDCLKIMLEKSGQQGEIWHLRKMDWCYEAGE PLCEEDATLKELMIRSGDTLLLTEGKLPPPGHLKMPIWWYQPARLSGHCESRDHLNCAFS QDSTWRAAPTQGAPGPEPAEVSLLYLGDMEISEEATLVELKSQALALPSVSKLAVQSTAL LRVWTVESKRPSRLLRTNWRQLKEYRLGRRAELCLELLQKEEDLGPRDVLLRTQLRIPGE RAYSLATDLIWDTTRGWTAGSLRQRVADFYSLPVEKIEIAKYFPEKFEWLPISSWNQQVA KRKKKKNQDTLQGGPYYLKDGDTIGIKNLLFDDNDDFSTIRDDIGKENQKRLALEKKKSR EVHRAQSSDLFSNAGVPARFRGPEASLSIHVASFR >ENSMUSP00000140574.1 pep:known chromosome:GRCm38:1:87946443:88008534:-1 gene:ENSMUSG00000005501.14 transcript:ENSMUST00000188332.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp40 description:ubiquitin specific peptidase 40 [Source:MGI Symbol;Acc:MGI:2443184] MFGNLFEEDYSSVSSSQYGRGKKLKTKGLEPPAPREFTNLSGIRNQGGTCYLSSLLQTLH FTPEFRGFSAE >ENSMUSP00000146976.1 pep:known chromosome:GRCm38:18:32458083:32462472:-1 gene:ENSMUSG00000109238.1 transcript:ENSMUST00000207669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-254M13.1 MHLVLLICLLAGTTGKSCLRCWPELLAMMDYDLQVLWGSPGPPTELSQSLHSFFLENNTI FLPWYLARDNLDEETATFFTQVDNAIKKLRDDKPALLNEIRVQKSLLDERLKEKSQDLMQ KVCNESCDILSEMEVTACADCRKFYLSCNDSTLCTARVTWSYKWVVVLFTILMFLAVAGI GGYFFWLQKRSAEADKRRDSKLLPSGNDQQLGQQPEQLPEPMLSESCLWPW >ENSMUSP00000146790.1 pep:known chromosome:GRCm38:18:32458083:32462472:-1 gene:ENSMUSG00000109238.1 transcript:ENSMUST00000207749.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:RP24-254M13.1 MHLVLLICLLAGTTGKSCLRCWPELLAMMDYDLQVLWGSPGPPTELSQSLHSFFLENNTI FLPWYLARDNLDEETATFFTQVDNAIKKLRDDKPALLNEIRVQKSLLDERLKEKSQDLMQ KGSLQ >ENSMUSP00000018739.4 pep:known chromosome:GRCm38:X:136757674:136780141:-1 gene:ENSMUSG00000018595.6 transcript:ENSMUST00000018739.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glra4 description:glycine receptor, alpha 4 subunit [Source:MGI Symbol;Acc:MGI:95750] MTTLVPASLFLLLWTLPGKVLLSVALAKEDVKSGLKGSQPMSPSDFLDKLMGRTSGYDAR IRPNFKGPPVNVTCNIFINSFGSVTETTMDYRVNVFLRQQWNDPRLAYREYPDDSLDLDP SMLDSIWKPDLFFANEKGANFHEVTTDNKLLRIFKNGNVLYSIRLTLILSCPMDLKNFPM DIQTCTMQLESFGYTMNDLMFEWLEDAPAVQVAEGLTLPQFILRDEKDLGYCTKHYNTGK FTCIEVKFHLERQMGYYLIQMYIPSLLIVILSWVSFWINMDAAPARVGLGITTVLTMTTQ SSGSRASLPKVSYVKAIDIWMAVCLLFVFAALLEYAAVNFVSRQHKEFMRLRRRQRRQRM EEDIIRESRFYFRGYGLGHCLQARDGGPMEGSSIYSPQPPTPLLKEGETMRKLYVDRAKR IDTISRAVFPFTFLVFNIFYWVVYKVLRSEDIHQAL >ENSMUSP00000114092.1 pep:known chromosome:GRCm38:1:157099139:157108650:-1 gene:ENSMUSG00000026592.13 transcript:ENSMUST00000118207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex35 description:testis expressed 35 [Source:MGI Symbol;Acc:MGI:1920685] MSAKNAELKKTNLKKNYKAVCMEPKLTQIYDFKGFKQEGLLIRKGMTRELKNELREVREQ LTEKMEEIKQIKDIMDKDFDKLYEFVEIMKEMQQDMDEKMDVLINNQKNNKLPFQNQAKE QQKFWQLGKMDKGSQAMITEEPDGAPLACDKNVVPPKPTRNPLESLHPCQSCCEKCLLCA LKTNRNQGRRPSHHAWVPFSPLSSGAAF >ENSMUSP00000027884.6 pep:known chromosome:GRCm38:1:157099139:157108650:-1 gene:ENSMUSG00000026592.13 transcript:ENSMUST00000027884.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex35 description:testis expressed 35 [Source:MGI Symbol;Acc:MGI:1920685] MSAKNAELKKTNLKKNYKAVCMEPKLTQIYDFKGFKQEGLLIRKGMTRELKNELREVREQ LTEKMEEIKQIKDIMDKDFDKLYEFVEIMKEMQQDMDEKMDVLINNQKNNKLPFQNQAKE QQKFWQLGKMDKGSQAMITEEPDGAPLACDKNVVPPKPTRNPLESLHPCQSCCEKCLLCA LKTNRNQGRPSHHAWVPFSPLSSGAAF >ENSMUSP00000139460.1 pep:known chromosome:GRCm38:1:157099147:157108649:-1 gene:ENSMUSG00000026592.13 transcript:ENSMUST00000187546.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex35 description:testis expressed 35 [Source:MGI Symbol;Acc:MGI:1920685] MSAKNAELKKTNLKKNYKAVCMEPKLTQIYDFKGFKQEGLLIRKGMTRELKNELREVREQ LTEKMEEIKQIKDIMDKDFDKLYEFVEIMKEMQQDMDEKMDVLINNQKNNKLPFQNQAKE QQKFWQLGKMDKGSQAMITEEPDGAPLACDKNVVPPKPTRNPLESLHPCQSCCETFTPCL GAFFTLVVWSCFLIYLYFNFAEVEHVLPT >ENSMUSP00000113622.1 pep:known chromosome:GRCm38:1:157099154:157108680:-1 gene:ENSMUSG00000026592.13 transcript:ENSMUST00000121911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex35 description:testis expressed 35 [Source:MGI Symbol;Acc:MGI:1920685] MEPKLTQIYDFKGFKQEGLLIRKGMTRELKNELREVREQLTEKMEEIKQIKDIMDKDFDK LYEFVEIMKEMQQDMDEKMDVLINNQKNNKLPFQNQAKEQQKFWQLGKMDKGSQAMITEE PDGAPLACDKNVVPPKPTRNPLESLHPCQSCCEKCLLCALKTNRNQGRRPSHHAWVPFSP LSSGAAF >ENSMUSP00000113325.1 pep:known chromosome:GRCm38:1:157099313:157108388:-1 gene:ENSMUSG00000026592.13 transcript:ENSMUST00000119526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex35 description:testis expressed 35 [Source:MGI Symbol;Acc:MGI:1920685] MEPKLTQIYDFKGFKQEGLLIRKGMTRELKNELREVREQLTEKMEEIKQIKDIMDKDFDK LYEFVEIMKEMQQDMDEKMDVLINNQKNNKLPFQNQAKEQQKFWQLGKMDKGSQAMITEE PDGAPLACDKNVVPPKPTRNPLESLHPCQSCCEKCLLCALKTNRNQGRPSHHAWVPFSPL SSGAAF >ENSMUSP00000116924.1 pep:known chromosome:GRCm38:1:157099315:157108645:-1 gene:ENSMUSG00000026592.13 transcript:ENSMUST00000148649.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex35 description:testis expressed 35 [Source:MGI Symbol;Acc:MGI:1920685] MSAKNAELKKTNLVKEECCRARWGLCGLLLNGGGTERLLEVILKRTTRLFAWNRS >ENSMUSP00000054421.9 pep:known chromosome:GRCm38:18:43475418:43477796:-1 gene:ENSMUSG00000043424.10 transcript:ENSMUST00000057110.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3j2 description:eukaryotic translation initiation factor 3, subunit J2 [Source:MGI Symbol;Acc:MGI:3704486] MAAAAAAAAAAAAGDSDSWDADTFSMEDPVRKVAGGGTAGGDRWEGEDEDEDVKDNWDDD DDENKEEAEVKPEVKISEKKKIAEKIKEKERQQKKRQEEIKKRLEEPEESKVLTPEEQLA DKLRLKKLQEESDLELAKETFGVNNTVYGIDAMNPSSRDDFTEFGKLLKDKITQYEKSLY YASFLEALVRDVCISLEIDDLKKITNSLTVLCSEKQKQEKQSKAKKKKKGVVPGGGLKAT MKDDLADYGGYEGGYVQDYEDFM >ENSMUSP00000094943.1 pep:known chromosome:GRCm38:17:36042961:36058645:1 gene:ENSMUSG00000073407.1 transcript:ENSMUST00000097331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6034 description:predicted gene 6034 [Source:MGI Symbol;Acc:MGI:3646212] MGHRTPPEGNIPGPMLAIASFLVEFTGDLATTAPMMITAPKTTRAAMITMLESVDGRGSG KDMKRTRELREGCSSNYITYLSVAELPPTICGVSPRMCPLGRPCIPLPLQPPPICVE >ENSMUSP00000083169.5 pep:known chromosome:GRCm38:7:24193947:24208149:-1 gene:ENSMUSG00000087598.9 transcript:ENSMUST00000086006.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp111 description:zinc finger protein 111 [Source:MGI Symbol;Acc:MGI:1929114] MTKLQEAVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGGQSPNKMEIVDA TGLRCLSLGQLLCWGETSHNINKLARALEAVINTQGKCSHSLEQCHSSCHRVSEEPPRDS EDSSSPDLTNDHSSITENQEFLSGRAQGSWGKRRLRKRQNHQKNCLQTLMKNKPQLLAQH SPVHSTQEDTRHSFSVPVQESIYPGRKRYRCQECGKAFRQSSALQTHQRVHTGEKPYRGN SRGKNFSRSSDLNIHRQVHTREKPYTCKVCKKRFMQCSLLQAHKRIHTGEKPYKCGDCGK RFSCISNFHIHQRVHTKSKPSKCDYGKPFNLRCNFHSHQRVHTGEKPYKCEECGKGFTSA SSFQRHQRVHTGEKPFVCSVCGKGFSRTSYLQTHQRVHSGDKPYQCDSCGKGFTQLSHLQ AHERIHTDQKPYKCGDCGKRFSCSSNLHTHQRVHTGEKPYKCEECGKGFSLVSGLQAHQR VETGKKPFKCNACQKRFSQAWNLHAHQRVHTGEKPYKCDTCGKAFGQRSGLQIHQRIHTG EKPFKCEECGKEFSLNSGLIAHRRVHTGEKPYECKDCGKGFSLASSLRTHQRVHTGEKPF QCNECQKRFSQVSHLQSHQRVHTGEKPYKCDRCGKAFSQKSGLQVHKRIHTGEKPFKCEE CGKEFIWSSGLSAHRKVHTGEKPYRRRQYGKGLIRPHTSD >ENSMUSP00000129484.1 pep:known chromosome:GRCm38:7:24196877:24203583:-1 gene:ENSMUSG00000087598.9 transcript:ENSMUST00000056683.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp111 description:zinc finger protein 111 [Source:MGI Symbol;Acc:MGI:1929114] MCLPSQEAVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGGQSPNKMEIVD ATGLRCLSLGQLLCWGETSHNINKLARALEAVINTQGKCSHSLEQCHSSCHRVSEEPPRD SEDSSSPDLTNDHSSITENQEFLSGRAQGSWGKRRLRKRQNHQKNCLQTLMKNKPQLLAQ HSPVHSTQEDTRHSFSVPVQESIYPGRKRYRCQECGKAFRQSSALQTHQRVHTGEKPYRG NSRGKNFSRSSDLNIHRQVHTREKPYTCKVCKKRFMQCSLLQAHKRIHTGEKPYKCGDCG KRFSCISNFHIHQRVHTKSKPSKCDYGKPFNLRCNFHSHQRVHTGEKPYKCEECGKGFTS ASSFQRHQRVHTGEKPFVCSVCGKGFSRTSYLQTHQRVHSGDKPYQCDSCGKGFTQLSHL QAHERIHTDQKPYKCGDCGKRFSCSSNLHTHQRVHTGEKPYKCEECGKGFSLVSGLQAHQ RVETGKKPFKCNACQKRFSQAWNLHAHQRVHTGEKPYKCDTCGKAFGQRSGLQIHQRIHT GEKPFKCEECGKEFSLNSGLIAHRRVHTGEKPYECKDCGKGFSLASSLRTHQRVHTGEKP FQCNECQKRFSQVSHLQSHQRVHTGEKPYKCDRCGKAFSQKSGLQVHKRIHTGEKPFKCE ECGKEFIWSSGLSAHRKVHTGEKPYRRRQYGKGLIRPHTSD >ENSMUSP00000083174.6 pep:known chromosome:GRCm38:7:24198082:24211443:-1 gene:ENSMUSG00000087598.9 transcript:ENSMUST00000073833.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp111 description:zinc finger protein 111 [Source:MGI Symbol;Acc:MGI:1929114] MTKLQEAVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGGQSPNKMEIVDA TGLRCLSLGQLLCWGETSHNINKLARALEAVINTQGKCSHSLEQCHSSCHRVSEEPPRDS EDSSSPDLTNDHSSITENQEFLSGRAQGSWGKRRLRKRQNHQKNCLQTLMKNKPQLLAQH SPVHSTQEDTRHSFSVPVQESIYPGRKRYRCQECGKAFRQSSALQTHQRVHTGEKPYRGN SRGKNFSRSSDLNIHRQVHTREKPYTCKVCKKRFMQCSLLQAHKRIHTGEKPYKCGDCGK RFSCISNFHIHQRVHTKSKPSKCDYGKPFNLRCNFHSHQRVHTGEKPYKCEECGKGFTSA SSFQRHQRVHTGEKPFVCSVCGKGFSRTSYLQTHQRVHSGDKPYQCDSCGKGFTQLSHLQ AHERIHTDQKPYKCGDCGKRFSCSSNLHTHQRVHTGEKPYKCEECGKGFSLVSGLQAHQR VETGKKPFKCNACQKRFSQAWNLHAHQRVHTGEKPYKCDTCGKAFGQRSGLQIHQRIHTG EKPFKCEECGKEFSLNSGLIAHRRVHTGEKPYECKDCGKGFSLASSLRTHQRVHTGEKPF QCNECQKRFSQVSHLQSHQRVHTGEKPYKCDRCGKAFSQKSGLQVHKRIHTGEKPFKCEE CGKEFIWSSGLSAHRKVHTGEKPYRRRQYGKGLIRPHTSD >ENSMUSP00000023491.6 pep:known chromosome:GRCm38:16:32914100:33015647:1 gene:ENSMUSG00000022801.13 transcript:ENSMUST00000023491.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch3 description:leucine-rich repeats and calponin homology (CH) domain containing 3 [Source:MGI Symbol;Acc:MGI:1917394] MAAAGLVAVVAAAEYSGPVASGGNLSGATCGPSPGLGPGPGPGSWSRSVDRALEEAAVTG VLSLSGRKLREFPRGAANHDLTDTTRADLSRNRLSEIPMEACHFVSLESLNLYQNCIRYI PEAVLNLQALTFLNISRNQLSTLPVHLCNLPLKVLIASNNKLVSLPEEIGHLRHLTELDV SCNEIQTVPSQIGNLEALRDFNVRRNHLLRLPEELAEVPLIRLDFSCNKITVIPVCYRNL RHLQVITLDNNPLQSPPAQICIKGKIHIFKYLNIQACKIAPDLPDYERRPLGFGSCHEEL YSGRPYGALDSGFNSVDSGDKRWSGNEPTDEFSDLPLRVAEITKEQRLRRESQYQENRSS VAVTNGGVEHDLDQIDYIDSCTTEEEENDVKQPKSLDTNSLSSQFMAYIEQRRISHEVSP VKPIAVREFQKTEDMKRYSHQNRVPVEPSLVLSMPPSHNQLSHSDLELHQRREQSIECTR REAQLAALQYEEEKIRTKQIQRDAVLDFVKQKASHNPQRQQPPGNGECSFPSRRSQHTDD SALLVSLSGLDGVSCVATRPHSSAFTPLKSENRVDVTSSFPMTETVHHSPAYSFPAATQR NQPQRPESFLFRAAVRAEANKGRASPLLLSSAPATDPTDAITRQREEELKLIDQLRKHIE YRLKVSLPCDLGAALTDGVVLCHLANHVRPRSVPSIHVPSPAVPKLTMAKCRRNVENFLD ACRKIGVPQEQLCLPLHILEEKGLGQVAVTVQALLELAPPKQPPPQQPQQQQPQLSAV >ENSMUSP00000127547.1 pep:known chromosome:GRCm38:16:32914122:33013143:1 gene:ENSMUSG00000022801.13 transcript:ENSMUST00000170899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch3 description:leucine-rich repeats and calponin homology (CH) domain containing 3 [Source:MGI Symbol;Acc:MGI:1917394] MAAAGLVAVVAAAEYSGPVASGGNLSGATCGPSPGLGPGPGPGSWSRSVDRALEEAAVTG VLSLSGRKLREFPRGAANHDLTDTTRADLSRNRLSEIPMEACHFVSLESLNLYQNCIRYI PEAVLNLQALTFLNISRNQLSTLPVHLCNLPLKVLIASNNKLVSLPEEIGHLRHLTELDV SCNEIQTVPSQIGNLEALRDFNVRRNHLLRLPEELAEVPLIRLDFSCNKITVIPVCYRNL RHLQVITLDNNPLQSPPAQICIKGKIHIFKYLNIQACKIAPDLPDYERRPLGFGSCHEEL YSGRPYGALDSGFNSVDSGDKRWSGNEPTDEFSDLPLRVAEITKEQRLRRESQYQENRSS VAVTNGGVEHDLDQIDYIDSCTTEEEENDVKQPKSLDTNSLSSQFMAYIEQRRISHEVSP VKPIAVREFQKTEDMKRYSHQNRVPVEPSLVLSMPPSHNQQKASHNPQRQQPPGNGECSF PSRRSQHTDDSALLVSLSGLDGVSCVATRPHSSAFTPLKSENRVDVTSSFPMTETGRASP LLLSSAPATDPTDAITRQREEELKLIDQLRKHIEYRLKVSLPCDLGAALTDGVVLCHLAN HVRPRSVPSIHVPSPAVPKLTMAKCRRNVENFLDACRKIGVPQEQLCLPLHILEEKGLGQ VAVTVQALLELAPPKQPPPQQPQQQQPQLSAV >ENSMUSP00000126964.1 pep:known chromosome:GRCm38:16:32914122:33013143:1 gene:ENSMUSG00000022801.13 transcript:ENSMUST00000170201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch3 description:leucine-rich repeats and calponin homology (CH) domain containing 3 [Source:MGI Symbol;Acc:MGI:1917394] MAAAGLVAVVAAAEYSGPVASGGNLSGATCGPSPGLGPGPGPGSWSRSVDRALEEAAVTG VLSLSGRKLREFPRGAANHDLTDTTRADLSRNRLSEIPMEACHFVSLESLNLYQNCIRYI PEAVLNLQALTFLNISRNQLSTLPVHLCNLPLKVLIASNNKLVSLPEEIGHLRHLTELDV SCNEIQTVPSQIGNLEALRDFNVRRNHLLRLPEELAEVPLIRLDFSCNKITVIPVCYRNL RHLQVITLDNNPLQSPPAQICIKGKIHIFKYLNIQACKIAPDLPDYERRPLGFGSCHEEL YSGRPYGALDSGFNSVDSGDKRWSGNEPTDEFSDLPLRVAEITKEQRLRRESQYQENRSS VAVTNGGVEHDLDQIDYIDSCTTEEEENDVKQPKSLDTNSLSSQFMAYIEQRRISHEVSP VKPIAVREFQKTEDMKRYSHQNRVPVEPSLVLSMPPSHNQLSHSDLELHQRREQSIECTR REAQLAALQYEEEKIRTKQIQRDAVLDFVKQKASHNPQRQQPPGNGECSFPSRRSQHTDD SALLVSLSGLDGVSCVATRPHSSAFTPLKSENRVDVTSSFPMTETGRASPLLLSSAPATD PTDAITRQREEELKLIDQLRKHIEYRLKVSLPCDLGAALTDGVVLCHLANHVRPRSVPSI HVPSPAVPKLTMAKCRRNVENFLDACRKIGVPQEQLCLPLHILEEKGLGQVAVTVQALLE LAPPKQPPPQQPQQQQPQLSAV >ENSMUSP00000131416.1 pep:known chromosome:GRCm38:16:32914127:32973924:1 gene:ENSMUSG00000022801.13 transcript:ENSMUST00000164486.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrch3 description:leucine-rich repeats and calponin homology (CH) domain containing 3 [Source:MGI Symbol;Acc:MGI:1917394] MAAAGLVAVVAAAEYSGPVASGGNLSGATCGPSPGLGPGPGPGSWSRSVDRALEEAAVTG VLSLSGRKLREFPRGAANHDLTDTTRAGCKLQ >ENSMUSP00000130009.1 pep:known chromosome:GRCm38:16:32914142:33012490:1 gene:ENSMUSG00000022801.13 transcript:ENSMUST00000165616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch3 description:leucine-rich repeats and calponin homology (CH) domain containing 3 [Source:MGI Symbol;Acc:MGI:1917394] MAAAGLVAVVAAAEYSGPVASGGNLSGATCGPSPGLGPGPGPGSWSRSVDRALEEAAVTG VLSLSGRKLREFPRGAANHDLTDTTRAELAEVPLIRLDFSCNKITVIPVCYRNLRHLQVI TLDNNPLQSPPAQICIKGKIHIFKYLNIQACKIAPDLPDYERRPLGFGSCHEELYSGRPY GALDSGFNSVDSGDKRWSGNEPTDEFSDLPLRVAEITKEQRLRRESQYQENRSSVAVTNG GVEHDLDQIDYIDSCTTEEEENDVSPVKPIAVREFQKTEDMKRYSHQNRVPVEPSLVLSM PPSHNQLSHSDLELHQRREQSIECTRREAQLAALQYEEEKIRTKQIQRDAVLDFVKQKAS HNPQRQQPPGNGECSFPSRRSQHTDDSALLVSLSGLDGVSCVATRPHSSAFTPLKSENRV DVTSSFPMTETVHHSPAYSFPAATQRNQPQRPESFLFRAAVRAEANKGRASPLLLSSAPA TDPTDAITRQREEELKLIDQLRKHIEYRLKVSLPCDLGAALTDGVVLCHLANHVRPRSVP SIHVPSPAVPKLTMAKCRRNVENFLDACRKIGVPQEQLCLPLHILEEKGLGQVAVTVQAL LELAPPKQPPPQQPQQQQPQLSAV >ENSMUSP00000130708.1 pep:known chromosome:GRCm38:16:32914142:33012699:1 gene:ENSMUSG00000022801.13 transcript:ENSMUST00000135193.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch3 description:leucine-rich repeats and calponin homology (CH) domain containing 3 [Source:MGI Symbol;Acc:MGI:1917394] MAAAGLVAVVAAAEYSGPVASGGNLSGATCGPSPGLGPGPGPGSWSRSVDRALEEAAVTG VLSLSGRKLREFPRGAANHDLTDTTRADLSRNRLSEIPMEACHFVSLESLNLYQNCIRYI PEAVLNLQALTFLNISRNQLSTLPVHLCNLPLKVLIASNNKLVSLPEEIGHLRHLTELDV SCNEIQTVPSQIGNLEALRDFNVRRNHLLRLPEELAEVPLIRLDFSCNKITVIPVCYRNL RHLQVITLDNNPLQSPPAQICIKGKIHIFKYLNIQACKIAPDLPDYERRPLGFGSCHEEL YSGRPYGALDSGFNSVDSGDKRWSGNEPTDEFSDLPLRVAEITKEQRLRRESQYQENRSS VAVTNGGVEHDLDQIDYIDSCTTEEEENDVKQPKSLDTNSLSSQFMAYIEQRRISHEVSP VKPIAVREFQKTEDMKRYSHQNRVPVEPSLVLSMPPSHNQLSHSDLELHQRREQSIECTR REAQLAALQYEEEKIRTKQIQRDAVLDFVKQKASHNPQRQQPPGNGECSFPSRRSQHTDD SALLVSLSGLDGVSCVATRPHSSAFTPLKSENRVDVTSSFPMTETVHHSPAYSFPAATQR NQPQRPESFLFRAAVRAEANKGRASPLLLSSAPATDPTDAITRQREEELKLIDQLRKHIE YRLKVSLPCDLGAALTDGVVLCHLANHVRPRSVPSIHVPSPAVPKLTMAKCRRNVENFLD ACRKIGVPQDNLCSPSDILQLNLSLKRTVETLLSLGARAEESSFVSLSVQLLGFVAFYCS LMLILCVLYYRVFTYS >ENSMUSP00000133034.1 pep:known chromosome:GRCm38:16:32979397:32998578:1 gene:ENSMUSG00000022801.13 transcript:ENSMUST00000163428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch3 description:leucine-rich repeats and calponin homology (CH) domain containing 3 [Source:MGI Symbol;Acc:MGI:1917394] XEHDLDQIDYIDSCTTEEEENDVKQPKSLDTNSLSSQFMAYIEQRRISHEVSPVKPIAVR EFQKTEDMKRYSHQNRVPVEPSLVLSMPPSHNQQKASHNPQRQQPPGNGECSFPSRRSQH TDDSALLVSLSGLDGVSCVATRPHSSAFTPLKSENRVDVTSSFPMTETVHHSPAYSFPAA TQRNQPQRPESFLFRAAVRAEANKGRASPLLLSSAPATDPTDAITRQREEELKLIDQLRK HIEYRLKVSLPCDLGAALTDGVVLC >ENSMUSP00000126308.1 pep:known chromosome:GRCm38:16:32979425:32998520:1 gene:ENSMUSG00000022801.13 transcript:ENSMUST00000165826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch3 description:leucine-rich repeats and calponin homology (CH) domain containing 3 [Source:MGI Symbol;Acc:MGI:1917394] IDSCTTEEEENDVKQPKSLDTNSLSSQFMAYIEQRRISHEVSPVKPIAVREFQKTEDMKR YSHQNRVPVEPSLVLSMPPSHNQLSHSDLELHQRREQSIECTRREAQLAALQYEEEKIRT KQIQRDAVLDFVKQKASHNPQRQQPPGNGECSFPSRRSQHTDDSALLVSLSGLDGVSCVA TRPHSSAFTPLKSENRVDVTSSFPMTETGRASPLLLSSAPATDPTDAITRQREEELKLID QLRKHIEYR >ENSMUSP00000117302.2 pep:known chromosome:GRCm38:16:32986003:32998631:1 gene:ENSMUSG00000022801.13 transcript:ENSMUST00000142290.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch3 description:leucine-rich repeats and calponin homology (CH) domain containing 3 [Source:MGI Symbol;Acc:MGI:1917394] XSMPPSHNQLSHSDLELHQRREQSIECTRREAQLAALQYEEEKIRTKQIQRDAVLDFVKQ KASHNPQRQQPPGNGECSFPSRRSQHTDDSALLVSLSGLDGVSCVATRPHSSAFTPLKSE NRVDVTSSFPMTETGNRNKVHHSPAYSFPAATQRNQPQRPESFLFRAAVRAEANKGRASP LLLSSAPATDPTDAITRQREEELKLIDQLRKHIEYRLKVSLPCDLGAALTDGVVLCHLAN HVRPRSVPSIHVPS >ENSMUSP00000124282.1 pep:known chromosome:GRCm38:8:127063893:127611970:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000162309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGGILD LDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQ ATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFL KQNTAGSPKTCDRKKDENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDE EGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLG LLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPA ANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPR LPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDV TIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTV SLLVFRQEEAFHPREMNAEPSQMQTPKETKAEDEDVVLTPDGTREFLTFEVPLNDSGSAG LGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAME TLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYS GIEGLDESPTRNAALSRIMGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSSSHD DVGFIMTEAGTWAKATISDSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFSDASQLD FVKTRKSKSMDLVADETKLNTVDDQRAGSPSRDVGPSLGLKKSSSLESLQTAVAEVTLNG NIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPMVDDDDEGMETLEEDTEESSRSGRESVS TSSDQPSYSLERQMNGDPEKRDKTERKKDKAGKDKKKDREKEKDKLKAKKGMLKGLGDMF RFGKHRKDDKMEKMGRIKIQDSFTSEEDRVRMKEEQERIQAKTREFRERQARERDYAEIQ DFHRTFGCDDELLYGGMSSYEGCLALNARPQSPREGHLMDTLYAQVKKPRSSKPGDSNRS TPSNHDRIQRLRQEFQQAKQDEDVEDRRRTYSFEQSWSSSRPASQSGRHSVSVEVQVQRQ RQEERESFQQAQRQYSSLPRQSRKNASSISQDSWEQNYAPGEGFQSAKENPRYSSYQGSR NGYLGGHGFNARVMLETQELLRQEQRRKEQQLKKQPPADGVRGPFRQDVPPSPSQVARLN RLQTPEKGRPFYS >ENSMUSP00000125453.1 pep:known chromosome:GRCm38:8:127064056:127612286:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000160272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGGILD LDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQ ATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFL KQNTAGSPKTCDRKKDENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDE EGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLG LLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPA ANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPR LPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDV TIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTV SLLVFRQEEAFHPREMNAEPSQMQTPKETKAEDEDVVLTPDGTREFLTFEVPLNDSGSAG LGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAME TLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYS GIEGLDESPTRNAALSRIMGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSSSHD DVGFIMTEAGTWAKATISDSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFSDASQLD FVKTRKSKSMDLGIADETKLNTVDDQRAGSPSRDVGPSLGLKKSSSLESLQTAVAEVTLN GNIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPMVDDDDEGMETLEEDTEESSRSGRESV STSSDQPSYSLERQMNGDPEKRDKTERKKDKAGKDKKKDREKEKDKLKAKKGMLKGLGDM FRFGKHRKDDKMEKMGRIKIQDSFTSEEDRVRMKEEQERIQAKTREFRERQARERDYAEI QDFHRTFGCDDELLYGGMSSYEGCLALNARPQSPREGHLMDTLYAQVKKPRSSKPGDSNR STPSNHDRIQRLRQEFQQAKQDEDVEDRRRTYSFEQSWSSSRPASQSGRHSVSVEVQVQR QRQEERESFQQAQRQYSSLPRQSRKNASSISQDSWEQNYAPGEGFQSAKENPRYSSYQGS RNGYLGGHGFNARVMLETQELLRQEQRRKEQQLKKQPPADGVRGPFRQDVPPSPSQVARL NRLQTPEKGRPFYS >ENSMUSP00000124319.1 pep:known chromosome:GRCm38:8:127064070:127402577:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000162907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGGILD LDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQ ATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFL KQNTAGSPKTCDRKKDENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDE EGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLG LLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPA ANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPR LPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDV TIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTV SLLVFRQEEAFHPREMNAEPSQMQTPKETKAEDEDVVLTPDGTREFLTFEVPLNDSGSAG LGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAME TLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYS GIEGLDESPTRNAALSRIMGT >ENSMUSP00000125612.1 pep:known chromosome:GRCm38:8:127299585:127402577:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000160717.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFLKQNTAGSPKTCDRKK DENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDEEGTEEDSSRVEPVGH ADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLGLLVKRLEKGGKAEQE NLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPAANKEQYEQLSQREKN NYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPRLPHSAHASTKPPAAP ALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDVTIGGSAPIYVKNILP RGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTVSLLVFRQEEAFHPRE MNAEPSQMQTPKETKAEDEDVVLTPDGTREFLTFEVPLNDSGSAGLGVSVKGNRSKENHA DLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAMETLRRSMSTEGNKRGM IQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYSGIEGLDESPTRNAAL SRIMGT >ENSMUSP00000026921.6 pep:known chromosome:GRCm38:8:127064295:127610805:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000026921.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGGILD LDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQ ATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFL KQNTAGSPKTCDRKKDENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDE EGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLG LLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPA ANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPR LPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDV TIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTV SLLVFRQEEAFHPREMNAEPSQMQTPKETKAEDEDVVLTPDGTREFLTFEVPLNDSGSAG LGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAME TLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYS GIEGLDESPTRNAALSRIMGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSSSHD DVGFIMTEAGTWAKATISDSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFSDASQLD FVKTRKSKSMDLGSSPSRDVGPSLGLKKSSSLESLQTAVAEVTLNGNIPFHRPRPRIIRG RGCNESFRAAIDKSYDKPMVDDDDEGMETLEEDTEESSRSGRESVSTSSDQPSYSLERQM NGDPEKRDKTERKKDKAGKDKKKDREKEKDKLKAKKGMLKGLGDMFRFGKHRKDDKMEKM GRIKIQDSFTSEEDRVRMKEEQERIQAKTREFRERQARERDYAEIQDFHRTFGCDDELLY GGMSSYEGCLALNARPQSPREGHLMDTLYAQVKKPRSSKPGDSNRSTPSNHDRIQRLRQE FQQAKQDEDVEDRRRTYSFEQSWSSSRPASQSGRHSVSVEVQVQRQRQEERESFQQAQRQ YSSLPRQSRKNASSISQDSWEQNYAPGEGFQSAKENPRYSSYQGSRNGYLGGHGFNARVM LETQELLRQEQRRKEQQLKKQPPADGVRGPFRQDVPPSPSQVARLNRLQTPEKGRPFYS >ENSMUSP00000124162.1 pep:known chromosome:GRCm38:8:127299612:127433144:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000162456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFLKQNTAGSPKTCDRKK DENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDEEGTEEDSSRVEPVGH ADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLGLLVKRLEKGGKAEQE NLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPAANKEQYEQLSQREKN NYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPRLPHSAHASTKPPAAP ALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDVTIGGSAPIYVKNILP RGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTVSLLVFRQEEAFHPRE MNAEPSQMQTPKETKAEDEDVVLTPDGTREFLTFEVPLNDSGSAGLGVSVKGNRSKENHA DLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAMETLRRSMSTEGNKRGM IQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYSGIEGLDESPTRNAAL SRIMGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSSSHDDVGFIMTEAGTWAKA TISDSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFSDASQLDFVKTRKSKSMDLGIA DETKLNTVDDQRAGSPSRDVGPSLGLKKSSSLESLQTAVAEVTLNGNIPFHRPRPRIIRG RGCNESFRAAIDKSYDKPMVDDDDEGMETLEEDTEESSRSGRESVSTSSDQPSYSLERQM NGDPEKRDKTERKKDKAGKDKKKDREKEKDKLKAKKGMLKGLGDMFSLAKLKPEKR >ENSMUSP00000124718.1 pep:known chromosome:GRCm38:8:127064295:127610805:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000162665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] XCVQSPGPAPLRPRTARDGGMKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAK DPNYWIQVHRLEHGDGGILDLDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQS PEIFGSELGTNNVSAFQPYQATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNF SSEEPSRKNPTRWSTTAGFLKQNTAGSPKTCDRKKDENYRSLPRDPSSWSNQFQRDNARS SLSASHPMVDRWLEKQEQDEEGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPND GGPLGIHVVPFSARGGRTLGLLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQA QHMFRQAMRARVIWFHVVPAANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQ ALPRAPRLSQPPEQLDAHPRLPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRL NIQLKKGTEGLGFSITSRDVTIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLA GKSQEEVVSLLRSTKMEGTVSLLVFRQEEAFHPREMNAEPSQMQTPKETKAEDEDVVLTP DGTREFLTFEVPLNDSGSAGLGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVND QLIAVNGESLLGKANQEAMETLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAAPE LPIETELDDRERRISHSLYSGIEGLDESPTRNAALSRIMGKCQLSPTVNMPHDDTVMIED DRLPVLPPHLSDQSSSSSHDDVGFIMTEAGTWAKATISDSADCSLSPDVDPVLAFQREGF GRQIADETKLNTVDDQRAGSPSRDVGPSLGLKKSSSLESLQTAVAEVTLNGNIPFHRPRP RIIRGRGCNESFRAAIDKSYDKPMVDDDDEGMETLEEDTEESSRSGRESVSTSSDQPSYS LERQMNGDPEKRDKTERKKDKAGKDKKKDREKEKDKLKAKKGMLKGLGDMFRFGKHRKDD KMEKMGRIKIQDSFTSEEDRVRMKEEQERIQAKTREFRERQARERDYAEIQDFHRTFGCD DELLYGGMSSYEGCLALNARPQSPREGHLMDTLYAQVKKPRSSKPGDSNRSTPSNHDRIQ RLRQEFQQAKQDEDVEDRRRTYSFEQSWSSSRPASQSGRHSVSVEVQVQRQRQEERESFQ QAQRQYSSLPRQSRKNASSISQDSWEQNYAPGEGFQSAKENPRYSSYQGSRNGYLGGHGF NARVMLETQELLRQEQRRKEQQLKKQPPADGVRGPFRQDVPPSPSQVARLNRLQTPEKGR PFYS >ENSMUSP00000123951.1 pep:known chromosome:GRCm38:8:127405445:127433141:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000161348.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] XPVLPPHLSDQSSSSSHDDVGFIMTEAGTWAKATISDSADCSLSPDVDPVLAFQREGFGR QSMSEKRTKQFSDASQLDFVKTRKSKSMDLGIADETKLNTVDDQRAGSPSRDVGPSLGLK KSSSLESLQTAVAEVTLNGNIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPMVDDDDEGM ETLEEDTEESSRSGRESVSTSSDQPSYSLERQMNGDPEKRDKTERKKDKAGKDKKKDREK EKDKLKAKKGMLKGLGDMFSLAKLKPEKR >ENSMUSP00000123944.1 pep:known chromosome:GRCm38:8:127357311:127398632:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000162176.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] EPVGHADTGLENMPNFSLETLGLLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFE QAQHMFRQAMRARVIWFHVVPAANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNA PQALPRAPRLSQPPEQLDAHPRLPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGK RLNIQLKKGTEGLGFSITSRDVTIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVD LAGKSQEEVVSLLRSTKMEGTVSLLVFRQEEAFHPREMNAEPSQMQTPKETKAEDEDVVL TPDGTREFLTFEVPLNDSGSAGLGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRV NDQLIAVNGESLLGKANQEAMETLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAA PE >ENSMUSP00000124934.1 pep:known chromosome:GRCm38:8:127064347:127426684:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000159537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGGILD LDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQ ATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFL KQNTAGSPKTCDRKDEEGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPL GIHVVPFSARGGRTLGLLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMF RQAMRARVIWFHVVPAANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPR APRLSQPPEQLDAHPRLPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQL KKGTEGLGFSITSRDVTIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQ EEVVSLLRSTKMEGTVSLLVFRQEEAFHPREMKAEDEDVVLTPDGTREFLTFEVPLNDSG SAGLGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQE AMETLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHS LYSGIEGLDESPTRNAALSRIMGESGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQS SSSSHDDVGFIMTEAGTWAKATISDSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFS DASQLDFVKTRKSKSMDLVADETKLNTVDDQRAGSPSRDVGPSLGLKKSSSLESLQTAVA EVTLNGNIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPMVDDDDEGMETLEEDTEESSRS GRESVSTSSDQPSYSLERQMNGDPEKRDKTERKKDKAGKDKKK >ENSMUSP00000124789.1 pep:known chromosome:GRCm38:8:127073240:127426684:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000161277.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] XHLTEMSQRCLLEEHYDPNYWIQVHRLEHGDGGILDLDDILCDVADDKDRLVAVFDEQDP HHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQATSEIEVTPSVLRANMPLHVRRSS DPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFLKQNTAGSPKTCDRKDEEGTEEDSS RVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLGLLVKRLEK GGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPAANKEQYEQ LSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPRLPHSAHAS TKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDVTIGGSAPI YVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTVSLLVFRQE EAFHPREMKAEDEDVVLTPDGTREFLTFEVPLNDSGSAGLGVSVKGNRSKENHADLGIFV KSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAMETLRRSMSTEGNKRGMIQLIVA RRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYSGIEGLDESPTRNAALSRIMGE SGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSSSHDDVGFIMTEAGTWAKATIS DSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFSDASQLDFVKTRKSKSMDLVADETK LNTVDDQRAGSPSRDVGPSLGLKKSSSLESLQTAVAEVTLNGNIPFHRPRPRIIRGRGCN ESFRAAIDKSYDKPMVDDDDEGMETLEEDTEESSRSGRESVSTSSDQPSYSLERQMNGDP EKRDKTERKKDKAGKDKKK >ENSMUSP00000124533.1 pep:known chromosome:GRCm38:8:127064345:127611970:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000160766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGGILD LDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQ ATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFL KQNTAGSPKTCDRKDEEGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPL GIHVVPFSARGGRTLGLLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMF RQAMRARVIWFHVVPAANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPR APRLSQPPEQLDAHPRLPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQL KKGTEGLGFSITSRDVTIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQ EEVVSLLRSTKMEGTVSLLVFRQEEAFHPREMKAEDEDVVLTPDGTREFLTFEVPLNDSG SAGLGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQE AMETLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHS LYSGIEGLDESPTRNAALSRIMGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSS SHDDVGFIMTEAGTWAKATISDSADCSLSPDVDPVLAFQREGFGRQIADETKLNTVDDQR AGSPSRDVGPSLGLKKSSSLESLQTAVAEVTLNGNIPFHRPRPRIIRGRGCNESFRAAID KSYDKPMVDDDDEGMETLEEDTEESSRSGRESVSTSSDQPSYSLERQMNGDPEKRDKTER KKDKAGKDKKKDREKEKDKLKAKKGMLKGLGDMFRFGKHRKDDKMEKMGRIKIQDSFTSE EDRVRMKEEQERIQAKTREFRERQARERDYAEIQDFHRTFGCDDELLYGGMSSYEGCLAL NARPQSPREGHLMDTLYAQVKKPRSSKPGDSNRSTPSNHDRIQRLRQEFQQAKQDEDVED RRRTYSFEQSWSSSRPASQSGRHSVSVEVQVQRQRQEERESFQQAQRQYSSLPRQSRKNA SSISQDSWEQNYAPGEGFQSAKENPRYSSYQGSRNGYLGGHGFNARVMLETQELLRQEQR RKEQQLKKQPPADGVRGPFRQDVPPSPSQVARLNRLQTPEKGRPFYS >ENSMUSP00000125450.1 pep:known chromosome:GRCm38:8:127064345:127426753:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000162602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGGILD LDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQ ATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFL KQNTAGSPKTCDRKKDENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDE EGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLG LLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPA ANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPR LPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDV TIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTV SLLVFRQEEAFHPREMNAEPSQMQTPKETKAEDEDVVLTPDGTREFLTFEVPLNDSGSAG LGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAME TLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYS GIEGLDESPTRNAALSRIMGESGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSS SHDDVGFIMTEAGTWAKATISDSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFSDAS QLDFVKTRKSKSMDLGIADETKLNTVDDQRAGSPSRDVGPSLGLKKSSSLESLQTAVAEV TLNGNIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPMVDDDDEGMETLEEDTEESSRSGR ESVSTSSDQPSYSLERQMNGDPEKRDKTERKKDKAGKDKKKDREKEKDKLKAKKGMLKGL GDMF >ENSMUSP00000125610.1 pep:known chromosome:GRCm38:8:127064345:127474606:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000162531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGGILD LDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQ ATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFL KQNTAGSPKTCDRKKDENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDE EGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLG LLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPA ANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPR LPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDV TIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTV SLLVFRQEEAFHPREMKAEDEDVVLTPDGTREFLTFEVPLNDSGSAGLGVSVKGNRSKEN HADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAMETLRRSMSTEGNKR GMIQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYSGIEGLDESPTRNA ALSRIMGESGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSSSHDDVGFIMTEAG TWAKATISDSADCSLSPDVDPVLAFQREGFGRQIADETKLNTVDDQRAGSPSRDVGPSLG LKKSSSLESLQTAVAEVTLNGNIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPMVDDDDE GMETLEEDTEESSRSGRESVSTSSDQPSYSLERQMNGDPEKRDKTERKKDKAGKDKKKDR EKEKDKLKAKKGMLKGLGDMFRFGKHRKDDKMEKMGRIKIQDSFTSEEDRVRMKEEQERI QAKTREFRERQARERDYAEIQDFHRTFGCDDELLYGGMSSYEGCLALNARPQSPREGHLM DTLYAQVKKPRSSKP >ENSMUSP00000124141.1 pep:known chromosome:GRCm38:8:127064345:127426753:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000160581.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] DGGMKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGG ILDLDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQ PYQATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTA GFLKQNTAGSPKTCDRKKDENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQE QDEEGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGR TLGLLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHV VPAANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDA HPRLPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITS RDVTIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKME GTVSLLVFRQEEAFHPREMKAEDEDVVLTPDGTREFLTFEVPLNDSGSAGLGVSVKGNRS KENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAMETLRRSMSTEG NKRGMIQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYSGIEGLDESPT RNAALSRIMGESGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSSSHDDVGFIMT EAGTWAKATISDSADCSLSPDVDPVLAFQREGFGRQIADETKLNTVDDQRAGSPSRDVGP SLGLKKSSSLESLQTAVAEVTLNGNIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPMVDD DDEGMETLEEDTEESSRSGRESVSTSSDQPSYSLERQMNGDPEKRDKTERKKDKAGKDKK KDREKEKDKLKAKKGMLKGLGDMF >ENSMUSP00000125212.1 pep:known chromosome:GRCm38:8:127064245:127611970:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000162536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGGILD LDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQ ATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFL KQNTAGSPKTCDRKDEEGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPL GIHVVPFSARGGRTLGLLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMF RQAMRARVIWFHVVPAANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPR APRLSQPPEQLDAHPRLPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQL KKGTEGLGFSITSRDVTIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQ EEVVSLLRSTKMEGTVSLLVFRQEEAFHPREMNAEPSQMQTPKETKAEDEDVVLTPDGTR EFLTFEVPLNDSGSAGLGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIA VNGESLLGKANQEAMETLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAAPELPIE TELDDRERRISHSLYSGIEGLDESPTRNAALSRIMGKCQLSPTVNMPHDDTVMIEDDRLP VLPPHLSDQSSSSSHDDVGFIMTEAGTWAKATISDSADCSLSPDVDPVLAFQREGFGRQS MSEKRTKQFSDASQLDFVKTRKSKSMDLVADETKLNTVDDQRAGSPSRDVGPSLGLKKSS SLESLQTAVAEVTLNGNIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPMVDDDDEGMETL EEDTEESSRSGRESVSTSSDQPSYSLERQMNGDPEKRDKTERKKDKAGKDKKKDREKEKD KLKAKKGMLKGLGDMFRFGKHRKDDKMEKMGRIKIQDSFTSEEDRVRMKEEQERIQAKTR EFRERQARERDYAEIQDFHRTFGCDDELLYGGMSSYEGCLALNARPQSPREGHLMDTLYA QVKKPRSSKPGDSNRSTPSNHDRIQRLRQEFQQAKQDEDVEDRRRTYSFEQSWSSSRPAS QSGRHSVSVEVQVQRQRQEERESFQQAQRQYSSLPRQSRKNASSISQDSWEQNYAPGEGF QSAKENPRYSSYQGSRNGYLGGHGFNARVMLETQELLRQEQRRKEQQLKKQPPADGVRGP FRQDVPPSPSQVARLNRLQTPEKGRPFYS >ENSMUSP00000125064.1 pep:known chromosome:GRCm38:8:127064345:127426753:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000161355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKDPNYWIQVHRLEHGDGGILD LDDILCDVADDKDRLVAVFDEQDPHHGGDGTSASSTGTQSPEIFGSELGTNNVSAFQPYQ ATSEIEVTPSVLRANMPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFL KQNTAGSPKTCDRKKDENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDE EGTEEDSSRVEPVGHADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLG LLVKRLEKGGKAEQENLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPA ANKEQYEQLSQREKNNYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPR LPHSAHASTKPPAAPALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDV TIGGSAPIYVKNILPRGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTV SLLVFRQEEAFHPREMNAEPSQMQTPKETKAEDEDVVLTPDGTREFLTFEVPLNDSGSAG LGVSVKGNRSKENHADLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAME TLRRSMSTEGNKRGMIQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYS GIEGLDESPTRNAALSRIMGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSSSHD DVGFIMTEAGTWAKATISDSADCSLSPDVDPVLAFQREGFGRQTDETKLNTVDDQRAGSP SRDVGPSLGLKKSSSLESLQTAVAEVTLNGNIPFHRPRPRIIRGRGCNESFRAAIDKSYD KPMVDDDDEGMETLEEDTEESSRSGRESVSTSSDQPSYSLERQMNGDPEKRDKTERKKDK AGKDKKKDREKEKDKLKAKKGMLKGLGDMF >ENSMUSP00000124733.1 pep:known chromosome:GRCm38:8:127064259:127277105:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000159141.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKPRQPQTYSRSVSVSCVLRL >ENSMUSP00000124339.1 pep:known chromosome:GRCm38:8:127064259:127370372:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000159818.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MKVTVCFGRTRVVVPCGDGRMKVFSLIQQAVTRYRKAVAKFTVI >ENSMUSP00000124359.1 pep:known chromosome:GRCm38:8:127389317:127400677:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000162727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] XSSQDGRLRVNDQLIAVNGESLLGKANQEAMETLRRSMSTEGNKRGMIQLIVARRISRCN ELRSPGSPAAPELPIETELDDRERRISHSLYSGIEGLDESPTRNAALSRIMGESGT >ENSMUSP00000124441.1 pep:known chromosome:GRCm38:8:127447746:127474513:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000159511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] XLRNPRLCIFWRLPKDHNFITFGKHRKDDKMEKMGRIKIQDSFTSEEDRVRMKEEQERIQ AKTREFRERQARERDYAEIQDFHRTFGCDDELLYGGMSSYEG >ENSMUSP00000078710.5 pep:known chromosome:GRCm38:8:127064056:127432825:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000079777.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFLKQNTAGSPKTCDRKK DENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDEEGTEEDSSRVEPVGH ADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLGLLVKRLEKGGKAEQE NLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPAANKEQYEQLSQREKN NYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPRLPHSAHASTKPPAAP ALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDVTIGGSAPIYVKNILP RGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTVSLLVFRQEEAFHPRE MNAEPSQMQTPKETKAEDEDVVLTPDGTREFLTFEVPLNDSGSAGLGVSVKGNRSKENHA DLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAMETLRRSMSTEGNKRGM IQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYSGIEGLDESPTRNAAL SRIMGKCQLSPTVNMPHDDTVMIEDDRLPVLPPHLSDQSSSSSHDDVGFIMTEAGTWAKA TISDSADCSLSPDVDPVLAFQREGFGRQSMSEKRTKQFSDASQLDFVKTRKSKSMDLGIA DETKLNTVDDQRAGSPSRDVGPSLGLKKSSSLESLQTAVAEVTLNGNIPFHRPRPRIIRG RGCNESFRAAIDKSYDKPMVDDDDEGMETLEEDTEESSRSGRESVSTSSDQPSYSLERQM NGDPEKRDKTERKKDKAGKDKKKDREKEKDKLKAKKGMLKGLGDMFSLAKLKPEKR >ENSMUSP00000104383.3 pep:known chromosome:GRCm38:8:127306310:127402059:1 gene:ENSMUSG00000025812.17 transcript:ENSMUST00000108752.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3 description:par-3 family cell polarity regulator [Source:MGI Symbol;Acc:MGI:2135608] MPLHVRRSSDPALTGLSTSVSDNNFSSEEPSRKNPTRWSTTAGFLKQNTAGSPKTCDRKK DENYRSLPRDPSSWSNQFQRDNARSSLSASHPMVDRWLEKQEQDEEGTEEDSSRVEPVGH ADTGLENMPNFSLDDMVKLVQVPNDGGPLGIHVVPFSARGGRTLGLLVKRLEKGGKAEQE NLFHENDCIVRINDGDLRNRRFEQAQHMFRQAMRARVIWFHVVPAANKEQYEQLSQREKN NYSPGRFSPDSHCVANRSVANNAPQALPRAPRLSQPPEQLDAHPRLPHSAHASTKPPAAP ALAPPSVLSTNVGSVYNTKKVGKRLNIQLKKGTEGLGFSITSRDVTIGGSAPIYVKNILP RGAAIQDGRLKAGDRLIEVNGVDLAGKSQEEVVSLLRSTKMEGTVSLLVFRQEEAFHPRE MNAEPSQMQTPKETKAEDEDVVLTPDGTREFLTFEVPLNDSGSAGLGVSVKGNRSKENHA DLGIFVKSIINGGAASKDGRLRVNDQLIAVNGESLLGKANQEAMETLRRSMSTEGNKRGM IQLIVARRISRCNELRSPGSPAAPELPIETELDDRERRISHSLYSGIEGLDESPTRNAAL SRIMGT >ENSMUSP00000109371.2 pep:known chromosome:GRCm38:17:36055816:36058371:-1 gene:ENSMUSG00000079492.2 transcript:ENSMUST00000113742.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11127 description:predicted gene 11127 [Source:MGI Symbol;Acc:MGI:3779381] MGTLTSCPLLLLLLATALAPTQAGKSSHSLRYFATAISRPGFGEPRFTAVGYVDDTQFMR FDSDSENPRAEPCKPWVEQMEPEYWEQETRKFKEHTQNFRTCLYNLLHLYNQSQDGPHTI QDMHGCYVGPDGQFLHGHYQHAYDGHDYITLNEDLSSWTAADAVAQITQHKWEEAGVAEE YKAYLEGTCVETLHRLLEEAQQHSDPPNTHVTRHPRPEGDVTLRCWALGFYPADITLTWQ LNGEELTQDMELVETRPAGDGTFQKWAAVVVPLGKEQNYTCHVHHEGLPEPLTLRWEPLP STDSNMVIIAALVVFGAVIIIGAVVAFMMKRRNTGGKGGVYCWEPRPHSPLQDGADSCVL SGKQIICACAKGIL >ENSMUSP00000109362.3 pep:known chromosome:GRCm38:1:74588289:74592124:1 gene:ENSMUSG00000026172.12 transcript:ENSMUST00000113733.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcs1l description:BCS1-like (yeast) [Source:MGI Symbol;Acc:MGI:1914071] MPFSDFVLALKDNPYFGAGFGLVGVGTALAMARKGAQLGLVAFRRHYMITLEVPARDRSY AWLLSWLTRHSTRTQHLSVETSYLQHESGRISTKFEFIPSPGNHFIWYQGKWIRVERNRD MQMVDLQTGTPWESVTFTALGTDRKVFFNILEEARALALQQEEGKTVMYTAVGSEWRTFG YPRRRRPLDSVVLQQGLADRIVKDIREFIDNPKWYIDRGIPYRRGYLLYGPPGCGKSSFI TALAGELEHSICLLSLTDSSLSDDRLNHLLSVAPQQSLVLLEDVDAAFLSRDLAVENPIK YQGLGRLTFSGLLNALDGVASTEARIVFMTTNYIDRLDPALIRPGRVDLKEYVGYCSHWQ LTQMFQRFYPGQAPSLAENFAEHVLKATSEISPAQVQGYFMLYKNDPMGAVHNIESLR >ENSMUSP00000027358.4 pep:known chromosome:GRCm38:1:74588300:74592443:1 gene:ENSMUSG00000026172.12 transcript:ENSMUST00000027358.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcs1l description:BCS1-like (yeast) [Source:MGI Symbol;Acc:MGI:1914071] MPFSDFVLALKDNPYFGAGFGLVGVGTALAMARKGAQLGLVAFRRHYMITLEVPARDRSY AWLLSWLTRHSTRTQHLSVETSYLQHESGRISTKFEFIPSPGNHFIWYQGKWIRVERNRD MQMVDLQTGTPWESVTFTALGTDRKVFFNILEEARALALQQEEGKTVMYTAVGSEWRTFG YPRRRRPLDSVVLQQGLADRIVKDIREFIDNPKWYIDRGIPYRRGYLLYGPPGCGKSSFI TALAGELEHSICLLSLTDSSLSDDRLNHLLSVAPQQSLVLLEDVDAAFLSRDLAVENPIK YQGLGRLTFSGLLNALDGVASTEARIVFMTTNYIDRLDPALIRPGRVDLKEYVGYCSHWQ LTQMFQRFYPGQAPSLAENFAEHVLKATSEISPAQVQGYFMLYKNDPMGAVHNIESLR >ENSMUSP00000109361.1 pep:known chromosome:GRCm38:1:74588374:74592189:1 gene:ENSMUSG00000026172.12 transcript:ENSMUST00000113732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcs1l description:BCS1-like (yeast) [Source:MGI Symbol;Acc:MGI:1914071] MPFSDFVLALKDNPYFGAGFGLVGVGTALAMARKGAQLGLVAFRRHYMITLEVPARDRSY AWLLSWLTRHSTRTQHLSVETSYLQHESGRISTKFEFIPSPGNHFIWYQGKWIRVERNRD MQMVDLQTGTPWESVTFTALGTDRKVFFNILEEARALALQQEEGKTVMYTAVGSEWRTFG YPRRRRPLDSVVLQQGLADRIVKDIREFIDNPKWYIDRGIPYRRGYLLYGPPGCGKSSFI TALAGELEHSICLLSLTDSSLSDDRLNHLLSVAPQQSLVLLEDVDAAFLSRDLAVENPIK YQGLGRLTFSGLLNALDGVASTEARIVFMTTNYIDRLDPALIRPGRVDLKEYVGYCSHWQ LTQMFQRFYPGQAPSLAENFAEHVLKATSEISPAQVQGYFMLYKNDPMGAVHNIESLR >ENSMUSP00000123924.1 pep:known chromosome:GRCm38:1:164115264:164150026:1 gene:ENSMUSG00000026580.16 transcript:ENSMUST00000162746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selp description:selectin, platelet [Source:MGI Symbol;Acc:MGI:98280] MAGCPKGSWTPRLRSVILGGAQLIWFSALISELVNQKEVAAWTYNYSTKAYSWNNSRVFC RRHFTDLVAIQNKNEIAHLNDVIPFFNSYYWIGIRKINNKWTWVGTNKTLTEEAENWADN EPNNKKNNQDCVEIYIKSNSAPGKWNDEPCFKRKRALCYTASCQDMSCSNQGECIETIGS YTCSCYPGFYGPECEYVKECGKVNIPQHVLMNCSHPLGEFSFNSQCTFSCAEGYELDGPG ELQCLASGIWTNNPPKCDAVQCQSLEAPPHGTMACMHPIAAFAYDSSCKFECQPGYRARG SNTLHCTGSGQWSEPLPTCEAIACEPPEIPIHGSMDCVPSTGTFGYNSSCTFLCAEGFVL KGNDAIQCADSGQWTAPAPFCEALQCPEFPVPSKAQVNCSDPFGTLTYQSVCSFSCDEGS LLVGASVIRCLATGHWNGAPPECQAVSCAPMLSPENGSMTCVQPLGNSTYKSTCQFMCDE GFYLSGPERLDCSPSGHWTGTPPTCEAIKCPGIFAPEQGNLDCSHVHGEFGVGSICHFSC NEDFELLGSENVECTVSGRWSAPPPTCKGITSLPAPAVRCPALTTPGQGTMSCQHHLGSF GPNTTCYFGCKTGFTLRGANSLRCRASGQWTAVTPMCRAVKCSELHMDTAVAMNCSNPWG NFSYGSTCTFQCPEGQSLNGSVRATCREDGHWSDAMPTCQAGTLTIQEALTYLGGAVAST TGLAVGGTLLALLRKRLRKKDDGKCPLNPHSHLGTYGVFTNAAYDPTP >ENSMUSP00000124231.1 pep:known chromosome:GRCm38:1:164141877:164149141:1 gene:ENSMUSG00000026580.16 transcript:ENSMUST00000161152.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Selp description:selectin, platelet [Source:MGI Symbol;Acc:MGI:98280] XHCSFTAKQGHGFYFIFHVELGPWRNTSPNKAGELRHLSVIGVAFC >ENSMUSP00000103747.3 pep:known chromosome:GRCm38:3:37419896:37579096:1 gene:ENSMUSG00000027722.14 transcript:ENSMUST00000108112.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata5 description:spermatogenesis associated 5 [Source:MGI Symbol;Acc:MGI:1927170] MSSKKNRKRQKEGAEGASPSLSAAPSRSGTSTPFAQPSPAAPGMLVVTNFLEKVDGKVPK TFQNSLVHLGLNTMKSANICIGRPVLLTSLDGKQEVYTAWPVAGFPGGKVGLSEMAQKNV GVRAGETIQVQPLLGAVLQAQEMDLALSDKDKEINEEELTGCILRKLDGKIVLPGNFLYC TFYGRLCKLQVLQVKGTDGTTLGKLQSASGTDAQGMASEHSSMESSDVDLSFQLSQLDLK EPQSPSSQSTPCKPTNDRTVNKAGEVLLDVTQSPRDGSGLGLEESTGLKCSFDSSKEGNT QPVSEEKLLKPASAGTKSNTDTFYFISSTTRINLRKICTNSKEQDSQFKVTYDMIGGLNS QLKAIREIIELPLKQPELFKSYGIPAPRGLLLYGPPGTGKTMIARAVANEVGAYVSVING PEIISKFYGETEARLRQIFAEATLRHPSIIFIDELDALCPKREGAQSEVEKRVVASLLTL MDGIGSEGSEGRVLVLGATNRPQALDAALRRPGRFDKEIEIGIPNAQDRLDILQKLLRRV PHLLTKAELLRLANNAHGYVGADLKALCNEAGLHALRRVLRKQPNLPDSKVAGMVKITLN DFLQGMNDIRPSAMREVAIDVPNVSWSDIGGLENIKLKLKQAVEWPLKHPKSFNRMGIQP PKGVLLYGPPGCSKTMIAKALANESGLNFLAIKGPELMNKYVGESERAVREIFRKARAVA PSIIFFDELDALAVERGSSSGAGNVADRVLAQLLTEMDGIEQLKNVTVLAATNRPDRIDK ALMRPGRIDRIIYVPLPDAATRREILNLQFHSMPISNEVDLDELVLQTDTYSGAEIIAVC KEAALLALEENIKADCIMKRHFTQALSIVTPRIPESLRRFYEDYQEKSGLHTV >ENSMUSP00000029277.8 pep:known chromosome:GRCm38:3:37420298:37579096:1 gene:ENSMUSG00000027722.14 transcript:ENSMUST00000029277.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata5 description:spermatogenesis associated 5 [Source:MGI Symbol;Acc:MGI:1927170] MSSKKNRKRQKEGAEGASPSLSAAPSRSGTSTPFAQPSPAAPGMLVVTNFLEKDGKVPKT FQNSLVHLGLNTMKSANICIGRPVLLTSLDGKQEVYTAWPVAGFPGGKVGLSEMAQKNVG VRAGETIQVQPLLGAVLQAQEMDLALSDKDKEINEEELTGCILRKLDGKIVLPGNFLYCT FYGRLCKLQVLQVKGTDGTTLGKLQSASGTDAQGMASEHSSMESSDVDLSFQLSQLDLKE PQSPSSQSTPCKPTNDRTVNKAGEVLLDVTQSPRDGSGLGLEESTGLKCSFDSSKEGNTQ PVSEEKLLKPASAGTKSNTDTFYFISSTTRINLRKICTNSKEQDSQFKVTYDMIGGLNSQ LKAIREIIELPLKQPELFKSYGIPAPRGLLLYGPPGTGKTMIARAVANEVGAYVSVINGP EIISKFYGETEARLRQIFAEATLRHPSIIFIDELDALCPKREGAQSEVEKRVVASLLTLM DGIGSEGSEGRVLVLGATNRPQALDAALRRPGRFDKEIEIGIPNAQDRLDILQKLLRRVP HLLTKAELLRLANNAHGYVGADLKALCNEAGLHALRRVLRKQPNLPDSKVAGMVKITLND FLQGMNDIRPSAMREVAIDVPNVSWSDIGGLENIKLKLKQAVEWPLKHPKSFNRMGIQPP KGVLLYGPPGCSKTMIAKALANESGLNFLAIKGPELMNKYVGESERAVREIFRKARAVAP SIIFFDELDALAVERGSSSGAGNVADRVLAQLLTEMDGIEQLKNVTVLAATNRPDRIDKA LMRPGRIDRIIYVPLPDAATRREILNLQFHSMPISNEVDLDELVLQTDTYSGAEIIAVCK EAALLALEENIKADCIMKRHFTQALSIVTPRIPESLRRFYEDYQEKSGLHTV >ENSMUSP00000143349.1 pep:known chromosome:GRCm38:3:37420312:37579096:1 gene:ENSMUSG00000027722.14 transcript:ENSMUST00000198968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata5 description:spermatogenesis associated 5 [Source:MGI Symbol;Acc:MGI:1927170] MSSKKNRKRQKEGAEGASPSLSAAPSRSGTSTPFAQPSPAAPGMLVVTNFLEKVDGKVPK TFQNSLVHLGLNTMKSANICIGRPVLLTSLDGKQEVYTAWPVAGFPGGKVGLSEMAQKNV GVRAGETIQVQPLLGAVLQAQEMDLALSDKDKEINEEELTGCILRKLDGKIVLPGNFLYC TFYGRLCKLQVLQVKGTDGTTLGKLQSASGTDAQGMASEHSSMESSDVDLSFQLSQLDLK EPQSPSSQSTPCKPTNDRTVNKAGEVLLDVTQSPRDGSGLGLEESTGLKCSFDSSKEGNT QPVSEEKLLKPASAGTKSNTDTFYFISSTTRINLRKICTNSKEQDSQFKVTYDMIGGLNS QLKAIREIIELPLKQPELFKSYGIPAPRGLLLYGPPGTGKTMIARAVANEVGAYVSVING PEIISKFYGETEARLRQIFAEATLRHPSIIFIDELDALCPKREGAQSEVEKRVVASLLTL MDGIGSEGSEGRVLVLGATNRPQALDAALRRPGRFDKEIEIGIPNAQDRLDILQKLLRRV PHLLTKAELLRLANNAHGYVGADLKALCNEAGLHALRRVLRKQPNLPDSKVAGMVKITLN DFLQGMNDIRPSAMREVAIDVPNVSWSDIGGLENIKLKLKQAVEWPLKHPKSFNRMGIQP PKGVLLYGPPGCSKTMIAKALANESGLNFLAIKGPELMNKYVGESERAVREIFRKARAVA PSIIFFDELDALAVERGSSSGAGNVADRVLAQLLTEMDGIEQLKNVTVLAATNRPDRIDK ALMRPGRIDRIIYVPLPDAATRREILNLQFHSMPISNEVDLDELVLQTDTYSGAESDNSA TV >ENSMUSP00000134868.1 pep:known chromosome:GRCm38:10:82619851:82622926:-1 gene:ENSMUSG00000063320.11 transcript:ENSMUST00000176200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1190007I07Rik description:RIKEN cDNA 1190007I07 gene [Source:MGI Symbol;Acc:MGI:3698433] MPGGVPWSAYLKMLSSSLLAMCAGAQVVHWYYRPDLTIPEIPPKPGELKTELLGLKERRH EPHVSQQ >ENSMUSP00000078593.4 pep:known chromosome:GRCm38:10:82619851:82623196:-1 gene:ENSMUSG00000063320.11 transcript:ENSMUST00000079648.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1190007I07Rik description:RIKEN cDNA 1190007I07 gene [Source:MGI Symbol;Acc:MGI:3698433] MPGGVPWSAYLKMLSSSLLAMCAGAQVVHWYYRPDLTIPEIPPKPGELKTELLGLKERRH EPHVSQQ >ENSMUSP00000139244.1 pep:known chromosome:GRCm38:10:82619942:82623228:-1 gene:ENSMUSG00000063320.11 transcript:ENSMUST00000185168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1190007I07Rik description:RIKEN cDNA 1190007I07 gene [Source:MGI Symbol;Acc:MGI:3698433] MPGGVPWSAYLKMLSSSLLAMCAGAQVVHWYYRPDLTIPEIPPKPGELKTELLGLKERRH EPHVSQQ >ENSMUSP00000139234.1 pep:known chromosome:GRCm38:10:82620026:82623190:-1 gene:ENSMUSG00000063320.11 transcript:ENSMUST00000183363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1190007I07Rik description:RIKEN cDNA 1190007I07 gene [Source:MGI Symbol;Acc:MGI:3698433] MPGGVPWSAYLKMLSSSLLAMCAGAQVVHWYYRPDLTIPEIPPKPGELKTELLGLKERRH EPHVSQQ >ENSMUSP00000139041.1 pep:known chromosome:GRCm38:10:82622361:82623137:-1 gene:ENSMUSG00000063320.11 transcript:ENSMUST00000183416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1190007I07Rik description:RIKEN cDNA 1190007I07 gene [Source:MGI Symbol;Acc:MGI:3698433] MPGGVPWSAYLKMLSSSLLAMCAGAQVVHWYYRPDLVSAVGRVPILGVRPSRSVHFAQSL LTFRLSPVFGYLARS >ENSMUSP00000041821.7 pep:known chromosome:GRCm38:7:123475384:123500449:-1 gene:ENSMUSG00000030757.13 transcript:ENSMUST00000042470.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan2 description:zinc finger with KRAB and SCAN domains 2 [Source:MGI Symbol;Acc:MGI:2444060] MATVMNPQIDVEVEECLIMKVEKDSEWVSEPILERSGSTECESFRKCFRQFCYEDVTGPH EAFSKLWELCCRWLKPEMRSKEQILEQLVIEQFLTILPEKIQTWAQKQCPESGEEAVALV VHLEKETRRLRKQVSSPLPSEKQAPPRTVWEVADFEPEQLETQHRVVSLEEAGSLHSGHQ EQLNQKREHRPLPKNAHSSVWVPVPSDEWNALDEEVTTQEPIRDVHTERSFSYKKSVQQV PAHRGLYHEIRKESAGSMVSLGDSVSAPNKIAQLEQKKEPWSVGLHSSNKRNSVLQSNYI KEKSVHAVQIPARNAGKVWREQQQWGLEDEKIAGVHWSYEETKTFLAILKESRFYETLQA CPRNSQVYGAVAEWLRECGFLRTPEQCRTKFKSLQKSYRKVRNGHMLEPCAFFEDMDALL NPAAHTSSTERPKVVISLPLKRTAISAKEQVSLVEEEEGAEDSDGDEVGIEFIRKSEIRA APVLFQNLSGVHWGYEETKTFLDILQETRFYEALQACHRKSKLYGVVAEQLRECGFLRTP EQCRTKFKSLQKSYRKVKNGHVLESCAFYKEMDALVNCRPSSLSTNTSEEVPSLSRQERG HIEVEPREPTTWEPVETPQEAVLEDSGSERLSEEEMIEEPELEGPSGLLQSPAGFEIGTI IKEDPTQVMFKDTEPHRVLMEKPKRLVSQNTNPSKYCKREYFSGRHCENLQGVRQGKLMS QPRDTGKAVVHQRPCVGRRPYRLLKYGENFGRSARLVCRMTHQKENPFKCGVCGKCFGRS RSLIRHQRIHTGEKPFKCPDCGKSFNDSSNFGAHQRVHTGEKPYRCRECGKCFSQSSSLI IHQRTHTGEKPYQCEECGKSFTNSSHFSAHRRIHTEENPYKCGDCEKSFNNCARFREHQR IHNGEKPYTCAQCGKHFNKSSFLTKHREVHMREKLLPYSPSVYSPENLLKGKSAELRKTF >ENSMUSP00000122270.1 pep:known chromosome:GRCm38:7:123480142:123484977:-1 gene:ENSMUSG00000030757.13 transcript:ENSMUST00000125356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan2 description:zinc finger with KRAB and SCAN domains 2 [Source:MGI Symbol;Acc:MGI:2444060] XLEGPSGLLQSPADEFETSLIINRSLLKVLKLELLLRKTQHR >ENSMUSP00000134381.1 pep:known chromosome:GRCm38:7:123494214:123500449:-1 gene:ENSMUSG00000030757.13 transcript:ENSMUST00000128217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan2 description:zinc finger with KRAB and SCAN domains 2 [Source:MGI Symbol;Acc:MGI:2444060] MATVMNPQIDVEVEECLIMKVEKDSEWVSEPILERSGSTECESFRKCFRQFCYEDVTGPH EAFSKLWELCCRWLKPEMRSKEQILEQLVIEQFLTILPEKIQTWAQKQCPESGEEAVALV VHLEKETRRLRKQVSSPLPSEKQAPPRTVWEVADFEPEQLETQHRVVSLEEAGSLHSGHQ EQLNQKREHRPLPKNAHSSVWVPVPSDEWNALDEEVTTQVMYSQVKCNCPPCLPSREFSQ VFPVLAYIVYTSSKRLFLHFFCRRGWTQSSQ >ENSMUSP00000040140.7 pep:known chromosome:GRCm38:18:65980754:66002637:-1 gene:ENSMUSG00000041891.15 transcript:ENSMUST00000048260.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lman1 description:lectin, mannose-binding, 1 [Source:MGI Symbol;Acc:MGI:1917611] MAVSRRRVPQAGARSFFCALLLSFSQFTGSDGTGGDAAAPGAAGTQAELPHRRFEYKYSF KGPHLVQSDGTVPFWAHAGNAIPSADQIRIAPSLKSQRGSVWTKAKAAFENWEVEVTFRV TGRGRIGADGLAIWYTENQGLDGPVFGSADTWNGVGIFFDSFDNDGKKNNPAIVVIGNNG QINYDHQNDGATQALASCQRDFRNKPYPVRAKITYYQKTLTVMINNGFTPDKNDYEFCAK VENMVIPTQGHFGISAATGGLADDHDVLSFLTFQLTEPGKEPPTAEKDISEKEKEKYQEE FEHFQQELDKKKEEFQKGHPDLQGQPADDIFESIGDRELRQVFEGQNRIHLEIKQLNRQL DMILDEQRRYVSSLTEEISRRGAGTPGQPGQVSQQELDTVVKSQQEILRQVNEVKNSMSE TVRLVSGIQHPGSAGVYETTQHFMDIKEHLHVVKRDIDSLAQRSMPSNEKPKCPDLPPFP SCLSTIHFVIFVVVQTVLFVGYIMYRTQQEAAAKKFF >ENSMUSP00000113326.1 pep:known chromosome:GRCm38:18:65983207:66002621:-1 gene:ENSMUSG00000041891.15 transcript:ENSMUST00000120461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lman1 description:lectin, mannose-binding, 1 [Source:MGI Symbol;Acc:MGI:1917611] MAVSRRRVPQAGARSFFCALLLSFSQFTGSDGTGGDAAAPGAAGTQAELPHRRFEYKYSF KGPHLVQSDGTVPFWAHAGNAIPSADQIRIAPSLKSQRGSVWTKAKAAFENWEVEVTFRV TGRGRIGADGLAIWYTENQGLDGPVFGSADTWNGVGIFFDSFDNDGKKNNPAIVVIGNNG QINYDHQNDGATQALASCQRDFRNKPYPVRAKITYYQKTLTVMINNGFTPDKNDYEFCAK VENMVIPTQGHFGISAATGGLADDHDVLSFLTFQLTEPGKEPPTAEKDISEKEKEKYQEE FEHFQQELDKKKEEFQKGHPDLQGQPADDIFESIGDRELRQVFEGQNRIHLEIKQLNRQL DMILDEQRRYVSSLTEEISRRGAGTPGQPGQVSQQELDTVVKSQQEILRQVNEVKNSMSE TVRLVSGIQHPGSAGVYETTQHFMDIKEHLHVVKRDIDSLAQRSMPSNEKPKCPDLPPFP SCLSTIHFVIFVVVQTVLFVGYIMYRTQQEAAAKKFF >ENSMUSP00000116433.1 pep:known chromosome:GRCm38:18:65993138:66022580:-1 gene:ENSMUSG00000041891.15 transcript:ENSMUST00000143990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lman1 description:lectin, mannose-binding, 1 [Source:MGI Symbol;Acc:MGI:1917611] MFQLPGPFQNWDGMEAWGKPPADQCLASQRESLPQALWKWLAISCGKGSSPNVPHTGKHE VQQDAIPSADQIRIAPSLKSQRGSVWTKAKAAFENWEVEVTFRVTGRGRIGADGLAIWYT ENQGLDGPVFGSADTWNGVGIFFDSFDNDGKKNNPAIVVIGNNGQINYDHQNDGATQALA SCQRDFRNKPYPVRAKITYYQKTLTVMINNGFTPDKNDYEFCAKVENMVIPTQGHFGISA ATGGLADDHDVLSFLTFQLTEPGKEPPTAEKDISEKEKEKYQEEFEHFQ >ENSMUSP00000121505.1 pep:known chromosome:GRCm38:4:129676355:129696796:-1 gene:ENSMUSG00000053730.15 transcript:ENSMUST00000125445.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem39b description:transmembrane protein 39b [Source:MGI Symbol;Acc:MGI:2682939] MGGRRGPNRTSYYRNPLCEPGSSGASGGGHSSSASVSSVRSRSRTTSGTGLSSPPLAAQT VVPLQHCKIPELPVQASILFELQLFFCQLIALFVHYINIYKTVWWYPPSHPPSHTSLGLS HFPSLGPVLLL >ENSMUSP00000099648.3 pep:known chromosome:GRCm38:4:129676355:129696838:-1 gene:ENSMUSG00000053730.15 transcript:ENSMUST00000102588.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem39b description:transmembrane protein 39b [Source:MGI Symbol;Acc:MGI:2682939] MGGRRGPNRTSYYRNPLCEPGSSGASGGGHSSSASVSSVRSRSRTTSGTGLSSPPLAAQT VVPLQHCKIPELPVQASILFELQLFFCQLIALFVHYINIYKTVWWYPPSHPPSHTSLNFH LIDFNLLMVTAIVLGRRFIGSIVKEASQRGKVSLFRSILLFLTRFTVLTATGWSLCRSLI HLFRTYSFLNLLFLCYPFGMYIPFLQLNYDLRKTNLFTHMASMGPREAVSGLARSRDYFL TLRETWKQHTRQLYGPEAMPTHACCLSPSLIRNEVEFLKMDFNWRMKEVLVSSMLSAYYV AFVPVWFVKNTHYYDKRWSCELFLLVSISTSVILMQHLLPASYCDLLHKAAAHLGCWQKV DPALCSNVLQHPWTEECMWPQGVLVKHSKNVYKAVGHYNVAIPSDVSHFRFHFFFSNPLR ILNILLLLEGAVIVYQLYSLMSSEKWHQTISLALILFSNYYAFFKLLRDRLVLGKAYSYS ASPQRDLDHRFS >ENSMUSP00000115156.1 pep:known chromosome:GRCm38:4:129691968:129696620:-1 gene:ENSMUSG00000053730.15 transcript:ENSMUST00000137640.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem39b description:transmembrane protein 39b [Source:MGI Symbol;Acc:MGI:2682939] MVTAIVLGRRFIGSIVKEASQRGKVSLFRSILLFLTRFTVLTATGWSLCRSLIHLFRTYS FLNLLFLCYP >ENSMUSP00000120048.1 pep:known chromosome:GRCm38:4:129692044:129696744:-1 gene:ENSMUSG00000053730.15 transcript:ENSMUST00000147668.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem39b description:transmembrane protein 39b [Source:MGI Symbol;Acc:MGI:2682939] MGGRRGPNRTSYYRNPLCEPGSSGASGGGHSSSASVSSVRSRSRTTSGTGLSSPPLAAQT VVPLQHCKIPELPVQASILFELQLFFCQLIALFVHYINIYKTVWWYPPSHPPSHTSLVIS WPESAGGQ >ENSMUSP00000088334.5 pep:known chromosome:GRCm38:2:72285637:72442610:1 gene:ENSMUSG00000004085.14 transcript:ENSMUST00000090824.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zak description:sterile alpha motif and leucine zipper containing kinase AZK [Source:MGI Symbol;Acc:MGI:2443258] MSSLGASFVQIKFDDLQFFENCGGGSFGSVYRAKWISQDKEVAVKKLLKIEKEAEILSVL SHRNIIQFYGVILEPPNYGIVTEYASLGSLYDYINSNRSEEMDMEHIMTWATDVAKGMHY LHMEAPVKVIHRDLKSRNVVIAADGVLKICDFGASRFHNHTTHMSLVGTFPWMAPEVIQS LPVSETCDTYSYGVVLWEMLTREVPFKGLEGLQVAWLVVEKNERLTIPSSCPRSFAELLH QCWEADAKKRPSFKQIISILESMSNDTNLPDQCNSFLHNKAEWRCEIEATLERLKKLERD LSFKEQELKERERRLKMWEQKLTEQSNTPLLPSFEIGAWTEDDVYFWVQQLVRKGESSVE MSGYASLFKENNITGKRLLLLEEEDLKDMGIVSKGHIIHFKSAIEKLTHDYLNLFHFPPL IKDSGGEPEENEEKIVNLELVFGFHLKPGTGPQDCKWKMYMEMDGDEVAITYIKDVTFNT SLPDAEILKMTKPPFVMEKWIVGIAEDQTVECTVTYENDVRTPKLTKHVHSIQWDRTKPQ DEVKAVQLAIQTLFSSSEGNPGSRSDSSADCQWLDTLRMRQIASHTSLQRSQSNPILGSP FFPYFANQDSYAAAVRRTQTPVKYQQITPSINPSRSSSPTQYGLSRNFSSLNLSSRDSGF SSLNDSSSERGRYSDRSRNKYYRGSVSLNSSPKGRYGGKSQHSTPSRERYSGKFYRLPQS ALNTHQSPDFKRSPNDHDRRVPRTIPGMPLHPETASKAGEEESRVSEGGWTKVEYRKKTH RQLSAKTSKERTRGNYRGRRNF >ENSMUSP00000118983.1 pep:known chromosome:GRCm38:2:72285728:72407502:1 gene:ENSMUSG00000004085.14 transcript:ENSMUST00000135469.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zak description:sterile alpha motif and leucine zipper containing kinase AZK [Source:MGI Symbol;Acc:MGI:2443258] MSSLGASFVQIKFDDLQFFENCGGGSFGSVYRAKWISQDKEVAVKKLLKIEKEAEILSVL SHRNIIQFYGVILEPPNYGIVTEYASLGSLYDYINSNRSEEMDMEHIMTWATDVAKGMHY LHMEAPVKVIHRDLKSRNVVIAADGVLKICDFGASRFHNHTTHMSLVGTFPWMAPEVIQS LPVSETCDTYSYGVVLWEMLTREVPFKGLEGLQVAWLVVEKNERLTIPSSCPRSFAELLH QCWEADAKKRPSFKQIISILESMSNDTNLPDQCNSFLHNKAEWRCEIEATLERLKKLERD LSFKEQELKERERRLKMWEQKLTEQSNTPLLLPLSARMSEESYFESKTEESNSAEMSCQI TAASNGEGHGMNPGLQAMMLMGFGDVFSMNKAGAVLHSGMQINMQAKQNSSKTTCKRRGK KVNMALGFSDFDLSEGDDDDHDGDDAENDVDNSE >ENSMUSP00000119340.1 pep:known chromosome:GRCm38:2:72297901:72355622:1 gene:ENSMUSG00000004085.14 transcript:ENSMUST00000144111.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zak description:sterile alpha motif and leucine zipper containing kinase AZK [Source:MGI Symbol;Acc:MGI:2443258] MSSLGASFVQIKFDDLQFFENCGGGSFGSVYRAKWISQDKEVAVKKLLKIEKEAEILSVL SHRNIIQFYGVILEP >ENSMUSP00000109673.2 pep:known chromosome:GRCm38:X:77864758:77870033:1 gene:ENSMUSG00000079522.2 transcript:ENSMUST00000114039.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14744 description:predicted gene 14744 [Source:MGI Symbol;Acc:MGI:3709306] MVKFLLIALALGVSCAHHESLDISPSEVNGDWRTLYIAADKVEKVKMNGDLRAYFEHMEC NDDCGTLKIKFHVQMNGKCQTHTVVGEKQEDGRYTTDYSGRNYFEVVMKEDGALFFHNVN VDESGQETNVILVAGKGETLSKAQKQELGKLVKEYNIPKENIQHLAPTDTCNQ >ENSMUSP00000098076.3 pep:known chromosome:GRCm38:5:140419328:140443360:1 gene:ENSMUSG00000056076.13 transcript:ENSMUST00000100507.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3b description:eukaryotic translation initiation factor 3, subunit B [Source:MGI Symbol;Acc:MGI:106478] MQDAENVAVPEAAEERAEPARQQPASESPPTDEAAGSGGSEVGQTEDAEEDAEAGPEPEV RAKPAAQSEEETATSPAASPTPQSAERSPSQEPSAPGKAEAVGEQARGHPSAGAEEEGGS DGSAAEAEPRALENGEADEPSFSDPEDFVDDVSEEELLGDVLKDRPQEADGIDSVIVVDN VPQVGPDRLEKLKNVIHKIFSKFGKIINDYYPEEDGKTKGYIFLEYASPAHAVDAVKNAD GYKLDKQHTFRVNLFTDFDKYMTISDEWDIPEKQPFKDLGNLRYWLEEAECRDQYSVIFE SGDRTSIFWNDVKDPVSIEERARWTETYVRWSPKGTYLATFHQRGIALWGGDKFKQIQRF SHQGVQLIDFSPCERYLVTFSPLMDTQDDPQAIIIWDILTGHKKRGFHCESSAHWPIFKW SHDGKFFARMTLDTLSIYETPSMGLLDKKSLKISGIKDFSWSPGGNIIAFWVPEDKDIPA RVTLMQLPTRQEIRVRNLFNVVDCKLHWQKNGDYLCVKVDRTPKGTQGVVTNFEIFRMRE KQVPVDVVEMKETIIAFAWEPNGSKFAVLHGEAPRISVSFYHVKSNGKIELIKMFDKQQA NTIFWSPQGQFVVLAGLRSMNGALAFVDTSDCTVMNIAEHYMASDVEWDPTGRYVVTSVS WWSHKVDNAYWLWTFQGRLLQKNNKDRFCQLLWRPRPPTLLSQDQIKQIKKDLKKYSKIF EQKDRLSQSKASKELVERRRTMMEDFRQYRKMAQELYMKQKNERLELRGGVDTDELDSNV DDWEEETIEFFVTEEVIPLGSQE >ENSMUSP00000143425.1 pep:known chromosome:GRCm38:5:140439942:140442697:1 gene:ENSMUSG00000056076.13 transcript:ENSMUST00000199377.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3b description:eukaryotic translation initiation factor 3, subunit B [Source:MGI Symbol;Acc:MGI:106478] XSDCTVMNIAEHYMASDVEWDPTGRYVVTSVSWWSHKVDNAYWLWTFQGRLLQKNNKDRF CQLLWRPRPPTLLSQDQIKQIKKDLKKYSKIFEQKDRLSQSKASKELVERRRTMMEDFRQ YRKMAQELYMKQKNERLELRGGVDTDELDSNVDDWEEETIEFFVTEEVIPLGSQE >ENSMUSP00000033899.7 pep:known chromosome:GRCm38:8:11312805:11449287:1 gene:ENSMUSG00000031503.13 transcript:ENSMUST00000033899.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a2 description:collagen, type IV, alpha 2 [Source:MGI Symbol;Acc:MGI:88455] MDRVRFKASGPPLRGWLLLATVTVGLLAQSVLGGVKKLDVPCGGRDCSGGCQCYPEKGAR GQPGAVGPQGYNGPPGLQGFPGLQGRKGDKGERGVPGPTGPKGDVGARGVSGFPGADGIP GHPGQGGPRGRPGYDGCNGTRGDAGPQGPSGSGGFPGLPGPQGPKGQKGEPYALSKEDRD KYRGEPGEPGLVGYQGPPGRPGPIGQMGPMGAPGRPGPPGPPGPKGQPGNRGLGFYGQKG EKGDIGQPGPNGIPSDITLVGPTTSTIHPDLYKGEKGDEGEQGIPGVISKGEEGIMGFPG IRGFPGLDGEKGVVGQKGSRGLDGFQGPSGPRGPKGERGEQGPPGPSVYSPHPSLAKGAR GDPGFQGAHGEPGSRGEPGEPGTAGPPGPSVGDEDSMRGLPGEMGPKGFSGEPGSPARYL GPPGADGRPGPQGVPGPAGPPGPDGFLFGLKGSEGRVGYPGPSGFPGTRGQKGWKGEAGD CQCGQVIGGLPGLPGPKGFPGVNGELGKKGDQGDPGLHGIPGFPGFKGAPGVAGAPGPKG IKGDSRTITTKGERGQPGIPGVHGMKGDDGVPGRDGLDGFPGLPGPPGDGIKGPPGDAGL PGVPGTKGFPGDIGPPGQGLPGPKGERGFPGDAGLPGPPGFPGPPGPPGTPGQRDCDTGV KRPIGGGQQVVVQPGCIEGPTGSPGQPGPPGPTGAKGVRGMPGFPGASGEQGLKGFPGDP GREGFPGPPGFMGPRGSKGTTGLPGPDGPPGPIGLPGPAGPPGDRGIPGEVLGAQPGTRG DAGLPGQPGLKGLPGETGAPGFRGSQGMPGMPGLKGQPGFPGPSGQPGQSGPPGQHGFPG TPGREGPLGQPGSPGLGGLPGDRGEPGDPGVPGPVGMKGLSGDRGDAGMSGERGHPGSPG FKGMAGMPGIPGQKGDRGSPGMDGFQGMLGLKGRQGFPGTKGEAGFFGVPGLKGLPGEPG VKGNRGDRGPPGPPPLILPGMKDIKGEKGDEGPMGLKGYLGLKGIQGMPGVPGVSGFPGL PGRPGFIKGVKGDIGVPGTPGLPGFPGVSGPPGITGFPGFTGSRGEKGTPGVAGVFGETG PTGDFGDIGDTVDLPGSPGLKGERGITGIPGLKGFFGEKGAAGDIGFPGITGMAGAQGSP GLKGQTGFPGLTGLQGPQGEPGRIGIPGDKGDFGWPGVPGLPGFPGIRGISGLHGLPGTK GFPGSPGVDAHGDPGFPGPTGDRGDRGEANTLPGPVGVPGQKGERGTPGERGPAGSPGLQ GFPGISPPSNISGSPGDVGAPGIFGLQGYQGPPGPPGPNALPGIKGDEGSSGAAGFPGQK GWVGDPGPQGQPGVLGLPGEKGPKGEQGFMGNTGPSGAVGDRGPKGPKGDQGFPGAPGSM GSPGIPGIPQKIAVQPGTLGPQGRRGLPGALGEIGPQGPPGDPGFRGAPGKAGPQGRGGV SAVPGFRGDQGPMGHQGPVGQEGEPGRPGSPGLPGMPGRSVSIGYLLVKHSQTDQEPMCP VGMNKLWSGYSLLYFEGQEKAHNQDLGLAGSCLARFSTMPFLYCNPGDVCYYASRNDKSY WLSTTAPLPMMPVAEEEIKPYISRCSVCEAPAVAIAVHSQDTSIPHCPAGWRSLWIGYSF LMHTAAGDEGGGQSLVSPGSCLEDFRATPFIECNGGRGTCHYFANKYSFWLTTIPEQNFQ STPSADTLKAGLIRTHISRCQVCMKNL >ENSMUSP00000114737.1 pep:known chromosome:GRCm38:8:11404908:11421254:1 gene:ENSMUSG00000031503.13 transcript:ENSMUST00000145295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a2 description:collagen, type IV, alpha 2 [Source:MGI Symbol;Acc:MGI:88455] MHVFVSLQGPPGPPGPKGQPGNRGLGFYGQKGEKGDIGQPGPNGIPSDITLVGPTTSTIH PDLYKGEKGDEGEQGIPGVISKGEEGIMGFPGIRGFPGLDGEKGVVGQKGSRGLDGFQGP SGPRGPKGERGEQGPPGPSVYSPHPSLAKGARGDPGFQGAHGEPGSRGEPGEPGTAGPPG PSVGDEDSMRGLPGEMGPKGFSGEPGSPARYLGPPGADGRPGPQGVPGPAGPPGPDGFLF GLKGSEGRVGYPGPSGFPGTRGQKGWKGEAGDCQCGQVIGGLPGLPGPKGFPGVNG >ENSMUSP00000033854.3 pep:known chromosome:GRCm38:8:19445769:19447606:-1 gene:ENSMUSG00000031471.3 transcript:ENSMUST00000033854.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb8 description:defensin beta 8 [Source:MGI Symbol;Acc:MGI:2654206] MRIHYLLFTFLLVLLSPLAAFSQKINDPVTYIRNGGICQYRCIGLRHKIGTCGSPFKCCK >ENSMUSP00000140218.1 pep:known chromosome:GRCm38:Y:26750605:26776799:-1 gene:ENSMUSG00000100055.1 transcript:ENSMUST00000189518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20890 description:predicted gene, 20890 [Source:MGI Symbol;Acc:MGI:5434246] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDISEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000101428.1 pep:known chromosome:GRCm38:4:139061108:139075570:-1 gene:ENSMUSG00000028747.10 transcript:ENSMUST00000105802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr6 description:5-hydroxytryptamine (serotonin) receptor 6 [Source:MGI Symbol;Acc:MGI:1196627] MVPEPGPVNSSTPAWGPGPPPAPGGSGWVAAALCVVIVLTAAANSLLIALICTQPALRNT SNFFLVSLFTSDLMVGLVVMPPAMLNALYGRWVLARGLCLLWTAFDVMCCSASILNLCLI SLDRYLLILSPLRYKLRMTAPRALALILGAWSLAALASFLPLLLGWHELGKARTSAPGQC RLLASLPYVLVASGVTFFLPSGAICFTYCRILLAARKQAVQVASLTTGTATAGQALETLQ VPRTPRPGMESADSRRLTTKHSRKALKASLTLGILLSMFFVTWLPFFVASIAQAVCDCIS PGLFDVLTWLGYCNSTMNPIIYPLFMRDFKRALGRFVPCVHCPPEHRASPASPSMWTSHS GARPGLSLQQVLPLPLPPNSDSDSASGGTSGLQLTAQLLLPGEATRDPPPPTRAPTVVNF FVTDSVEPEIRQHPLGSPMN >ENSMUSP00000068333.1 pep:known chromosome:GRCm38:4:139061409:139074789:-1 gene:ENSMUSG00000028747.10 transcript:ENSMUST00000068036.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr6 description:5-hydroxytryptamine (serotonin) receptor 6 [Source:MGI Symbol;Acc:MGI:1196627] MVPEPGPVNSSTPAWGPGPPPAPGGSGWVAAALCVVIVLTAAANSLLIALICTQPALRNT SNFFLVSLFTSDLMVGLVVMPPAMLNALYGRWVLARGLCLLWTAFDVMCCSASILNLCLI SLDRYLLILSPLRYKLRMTAPRALALILGAWSLAALASFLPLLLGWHELGKARTSAPGQC RLLASLPYVLVASGVTFFLPSGAICFTYCRILLAARKQAVQVASLTTGTATAGQALETLQ VPRTPRPGMESADSRRLTTKHSRKALKASLTLGILLSMFFVTWLPFFVASIAQAVCDCIS PGLFDVLTWLGYCNSTMNPIIYPLFMRDFKRALGRFVPCVHCPPEHRASPASPSMWTSHS GARPGLSLQQVLPLPLPPNSDSDSASGGTSGLQLTAQLLLPGEATRDPPPPTRAPTVVNF FVTDSVEPEIRQHPLGSPMN >ENSMUSP00000108720.1 pep:known chromosome:GRCm38:X:136732942:136743690:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000113097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPPSGSVRKTRKNKQKAPGNGDGGSTSEVPQPPRKKRARADPTVESEEAFKSRME VKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDNKEYAVN EVVGGIKEYFNVMLGTQLLYKFERPQYAEILLAHPDAPMSQIYGAPHLLRLFVRIGAMLA YTPLDEKSLALLLGYLHDFLKYLAKNSASLFTASDYKVASADYHRKAL >ENSMUSP00000033797.6 pep:known chromosome:GRCm38:X:136732942:136741661:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000033797.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPPSGSVRKTRKNKQKAPGNGDGGSTSEVPQPPRKKRARADPTVESEEAFKSRME VKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDNKEYAVN EVVGGIKEYFNVMLGTQLLYKFERPQYAEILLAHPDAPMSQIYGAPHLLRLFVRIGAMLA YTPLDEKSLALLLGYLHDFLKYLAKNSASLFTASDYKVASADYHRKAL >ENSMUSP00000108722.3 pep:known chromosome:GRCm38:X:136732953:136741352:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000080411.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPPSGSVRKTRKNKQKAPGNGDGGSTSEVPQPPRKKRARADPTVESEEAFKSRME VKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDNKEYAVN EVVGGIKEYFNVMLGTQLLYKFERPQYAEILLAHPDAPMSQIYGAPHLLRLFVRIGAMLA YTPLDEKSLALLLGYLHDFLKYLAKNSASLFTASDYKVASADYHRKAL >ENSMUSP00000115911.1 pep:known chromosome:GRCm38:X:136734302:136742918:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000152150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGR >ENSMUSP00000121412.1 pep:known chromosome:GRCm38:X:136734274:136742889:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000136650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPP >ENSMUSP00000115303.1 pep:known chromosome:GRCm38:X:136733864:136742861:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000137605.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPPSGSVRKTRKNKQKAPGNGDGGSTSEVPQPPRKKRARADPTVESEEAFKSRME VKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDNKEYAVN EVVGGIKEYFNVMLGTQLLYKFERP >ENSMUSP00000121632.1 pep:known chromosome:GRCm38:X:136733835:136741349:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000155207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPPSGSVRKTRKNKQKAPGNGDGGSTSEVPQPPRKKRARADPTVESEEAFKSRME VKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDNKEYAVN EVVGGIKEYFNVMLGTQLLYKFERPQYAEILLAH >ENSMUSP00000108718.1 pep:known chromosome:GRCm38:X:136733954:136741155:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000113095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPPSGSVRKTRKNKQKAPGNGDGGSTSEVPQPPRKKRARADPTVESEEAFKSRME VKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDN >ENSMUSP00000120404.1 pep:known chromosome:GRCm38:X:136734430:136740483:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000131923.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSA >ENSMUSP00000119517.1 pep:known chromosome:GRCm38:X:136734300:136740487:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000135731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRW >ENSMUSP00000129774.1 pep:known chromosome:GRCm38:X:136732953:136742918:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000164609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPPSGSVRKTRKNKQKAPGNGDGGSTSEVPQPPRKKRARADPTVESEEAFKSRME VKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDNKEYAVN EVVGGIKEYFNVMLGTQLLYKFERPQYAEILLAHPDAPMSQIYGAPHLLRLFVRIGAMLA YTPLDEKSLALLLGYLHDFLKYLAKNSASLFTASDYKVASADYHRKAL >ENSMUSP00000132643.1 pep:known chromosome:GRCm38:X:136732953:136741352:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000169418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPPSGSVRKTRKNKQKAPGNGDGGSTSEVPQPPRKKRARADPTVESEEAFKSRME VKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDNKEYAVN EVVGGIKEYFNVMLGTQLLYKFERPQYAEILLAHPDAPMSQIYGAPHLLRLFVRIGAMLA YTPLDEKSLALLLGYLHDFLKYLAKNSASLFTASDYKVASADYHRKAL >ENSMUSP00000131909.1 pep:known chromosome:GRCm38:X:136732953:136743686:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000166478.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPPSGSVRKTRKNKQKAPGNGDGGSTSEVPQPPRKKRARADPTVESEEAFKSRME VKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDNKEYAVN EVVGGIKEYFNVMLGTQLLYKFERPQYAEILLAHPDAPMSQIYGAPHLLRLFVRIGAMLA YTPLDEKSLALLLGYLHDFLKYLAKNSASLFTASDYKVASADYHRKAL >ENSMUSP00000126363.1 pep:known chromosome:GRCm38:X:136732953:136741155:-1 gene:ENSMUSG00000031422.16 transcript:ENSMUST00000166930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l2 description:mortality factor 4 like 2 [Source:MGI Symbol;Acc:MGI:1927167] MSSRKQASQTRGQQSAEEDNFKKPTRSNMQRSKMRGAASGKKSAGSQPKNLDPALPGRWG GRSAENPPSGSVRKTRKNKQKAPGNGDGGSTSEVPQPPRKKRARADPTVESEEAFKSRME VKVKIPEELKPWLVEDWDLVTRQKQLFQLPAKKNVDAILEEYANCKKSQGNVDNKEYAVN EVVGGIKEYFNVMLGTQLLYKFERPQYAEILLAHPDAPMSQIYGAPHLLRLFVRIGAMLA YTPLDEKSLALLLGYLHDFLKYLAKNSASLFTASDYKVASADYHRKAL >ENSMUSP00000064041.7 pep:known chromosome:GRCm38:7:99219084:99238619:-1 gene:ENSMUSG00000052396.7 transcript:ENSMUST00000064231.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mogat2 description:monoacylglycerol O-acyltransferase 2 [Source:MGI Symbol;Acc:MGI:2663253] MVEFAPLLVPWERRLQTFAVLQWVFSFLALAQLCIVIFVGLLFTRFWLFSVLYATWWYLD WDKPRQGGRPIQFFRRLAIWKYMKDYFPVSLVKTAELDPSRNYIAGFHPHGVLAAGAFLN LCTESTGFTSLFPGIRSYLMMLTVWFRAPFFRDYIMSGGLVSSEKVSADHILSRKGGGNL LAIIVGGAQEALDARPGAYRLLLKNRKGFIRLALMHGAALVPIFSFGENNLFNQVENTPG TWLRWIQNRLQKIMGISLPLFHGRGVFQYSFGLMPFRQPITTIVGKPIEVQMTPQPSREE VDRLHQRYIKELCKLFEEHKLKFNVPEDQHLEFC >ENSMUSP00000124008.1 pep:known chromosome:GRCm38:17:25369273:25374440:1 gene:ENSMUSG00000033200.16 transcript:ENSMUST00000160377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpsg1 description:tryptase gamma 1 [Source:MGI Symbol;Acc:MGI:1349391] MYTGSPGPPGSSGDIALVQLSSPVALSSQVQPVCLPEASADFYPGMQCWVTGWGYTGEGE PLKPPYNLQEAKVSVVDVKTCSQAYNSPNGSLIQPDMLCARGPGDACQDDSGGPLVCQVA GTWQQAGVVSWGEGCGRPDRPGVYARVTAYVNWIHHHIPEAGGSGMQGLPWAPLLAALFW PSLFLLLVSGVLMAKYWLSSPSHAASEL >ENSMUSP00000124721.1 pep:known chromosome:GRCm38:17:25369289:25374149:1 gene:ENSMUSG00000033200.16 transcript:ENSMUST00000160485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpsg1 description:tryptase gamma 1 [Source:MGI Symbol;Acc:MGI:1349391] MYTGSPGPPGSSGDIALVQLSSPVALSSQVQPVCLPEASADFYPGMQCWVTGWGYTGEGE PLKPPYNLQEAKVSVVDVKTCSQAYNSPNGSLIQPDMLCARGPGDACQDDSGGPLVCQVA GTWQQAGVVSWGEGCGRPDRPGVYARVTAYVNWIHHHIPEAGGSGMQGLPWA >ENSMUSP00000125180.1 pep:known chromosome:GRCm38:17:25370553:25374440:1 gene:ENSMUSG00000033200.16 transcript:ENSMUST00000162021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpsg1 description:tryptase gamma 1 [Source:MGI Symbol;Acc:MGI:1349391] MALGPYCGILLFLAVSEPLKPPYNLQEAKVSVVDVKTCSQAYNSPNGSLIQPDMLCARGP GDACQDDSGGPLVCQVAGTWQQAGVVSWGEGCGRPDRPGVYARVTAYVNWIHHHIPEAGG SGMQGLPWAPLLAALFWPSLFLLLVSGVLMAKYWLSSPSHAASEL >ENSMUSP00000024999.8 pep:known chromosome:GRCm38:17:25370584:25374442:1 gene:ENSMUSG00000033200.16 transcript:ENSMUST00000024999.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpsg1 description:tryptase gamma 1 [Source:MGI Symbol;Acc:MGI:1349391] MALGPYCGILLFLAVSGCGHPQVSNSGSRIVGGHAAPAGTWPWQASLRLHKVHVCGGSLL SPEWVLTAAHCFSGSVNSSDYQVHLGELTVTLSPHFSTVKRIIMYTGSPGPPGSSGDIAL VQLSSPVALSSQVQPVCLPEASADFYPGMQCWVTGWGYTGEGEPLKPPYNLQEAKVSVVD VKTCSQAYNSPNGSLIQPDMLCARGPGDACQDDSGGPLVCQVAGTWQQAGVVSWGEGCGR PDRPGVYARVTAYVNWIHHHIPEAGGSGMQGLPWAPLLAALFWPSLFLLLVSGVLMAKYW LSSPSHAASEL >ENSMUSP00000123828.1 pep:known chromosome:GRCm38:17:25373009:25374385:1 gene:ENSMUSG00000033200.16 transcript:ENSMUST00000160920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpsg1 description:tryptase gamma 1 [Source:MGI Symbol;Acc:MGI:1349391] MSVNSSDYQVHLGELTVTLSPHFSTVKRIIMYTGSPGPPGSSGDIALVQLSSPVALSSQV QPVCLPEASADFYPGMQCWVTGWGYTGEGEPLKPPYNLQEAKVSVVDVKTCSQAYNSPNG SLIQPDMLCARGPGDACQDDSGGPLVCQVAGTWQQAGVVSWGEGCGRPDRPGVYARVTAY VNWIHHHIPEAGGSGMQGLPWAPLLAALFWPSLFLLLVSGVLMAKYWLSSPSHAASEL >ENSMUSP00000101478.1 pep:known chromosome:GRCm38:4:135968224:135971929:-1 gene:ENSMUSG00000028670.14 transcript:ENSMUST00000105852.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypla2 description:lysophospholipase 2 [Source:MGI Symbol;Acc:MGI:1347000] MCGNTMSVPLLTDAATVSGAERETAAVIFLHGLGDTGHSWADALSTIRLPHVKYICPHAP RIPVTLNMKMVMPSWFDLMGLSPDAPEDEAGIKKAAENIKALIEHEMKNGIPANRIVLGG FSQGGALSLYTALTCPHPLAGIVALSCWLPLHRNFPQAANGSAKDLAILQCHGELDPMVP VRFGALTAEKLRTVVTPARVQFKTYPGVMHSSCPQEMAAVKEFLEKLLPPV >ENSMUSP00000064204.4 pep:known chromosome:GRCm38:4:135968224:135972626:-1 gene:ENSMUSG00000028670.14 transcript:ENSMUST00000067567.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypla2 description:lysophospholipase 2 [Source:MGI Symbol;Acc:MGI:1347000] MCGNTMSVPLLTDAATVSGAERETAAVIFLHGLGDTGHSWADALSTIRLPHVKYICPHAP RIPVTLNMKMVMPSWFDLMGLSPDAPEDEAGIKKAAENIKALIEHEMKNGIPANRIVLGG FSQGGALSLYTALTCPHPLAGIVALSCWLPLHRNFPQAANGSAKDLAILQCHGELDPMVP VRFGALTAEKLRTVVTPARVQFKTYPGVMHSSCPQEMAAVKEFLEKLLPPV >ENSMUSP00000115270.1 pep:known chromosome:GRCm38:11:117782076:117784150:1 gene:ENSMUSG00000050106.17 transcript:ENSMUST00000127080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc8 description:transmembrane channel-like gene family 8 [Source:MGI Symbol;Acc:MGI:2669037] MFRQWSVQSGPAPRRPESQAASEELWEQEVERLCASRTPVRMLPYAMADKRFIRELREPE GVKTTFWQRWHRPRRVARQHLREAEQRLARGFGLWEGALYEIGGLFGTGIQSYFTFLRFL LLLNLLTMLLTACFVLLPLVWLRPPELGPALKLRLQCSSSPLPQSD >ENSMUSP00000051878.7 pep:known chromosome:GRCm38:11:117782297:117793028:1 gene:ENSMUSG00000050106.17 transcript:ENSMUST00000050874.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc8 description:transmembrane channel-like gene family 8 [Source:MGI Symbol;Acc:MGI:2669037] MFRQWSVQSGPAPRRPESQAASEELWEQEVERLCASRTPVRMLPYAMADKRFIRELREPE GVKTTFWQRWHRPRRVARQHLREAEQRLARGFGLWEGALYEIGGLFGTGIQSYFTFLRFL LLLNLLTMLLTACFVLLPLVWLRPPELGPALKLRLQCSSSPLPQSDIPRFHNPLWNILTG RAFNNTYLFYGAYRAGPESSSEYSIRLAYLLSPMVCLLLCFCGILQRMAEGLPQQTLLGQ RYRTPLSAKVFSSWDFCIRVWEAATIKKHEISNELKMELEEGRRVELAQQQTRAQKACRL LTYLRTNILIVLLVVGAISAIFWATKYSQDNKEESLFLVLQYLPPGVISLVNFLGPQLFT VLIQLENYPPGTEVNLTLIWCVVLKLASLGMFSFSLGQTVLCIGRNKTSCESYGYNACDY QCWENSVGEELYKLIIFNFLLTVAFAFLVSLPRRLLVERFSGWFWTWLDREEFLVPKNVL DIVAAQTVTWMGLFYCPLLPLLNSVFLFLTFYIKKYTLLRNSRASPRRFRASSSTFFFHL VLLLGLLLAAVPLAYVISSTHSSWDCGLFTNYSAPWQVVPELVALQLPLPSQRALRYLSS HAFSFPLLILLSIVLTVCISQSRANARAIQGLRKQLVWQVQEKWHLVDDLSRLLPELSPE PGSPHSRASRPRSFCPGFPCPGSPGPRTPRLAPSNRLSSSSLGAPSASVPASRFHFPSRT EL >ENSMUSP00000101941.2 pep:known chromosome:GRCm38:11:117782315:117793110:1 gene:ENSMUSG00000050106.17 transcript:ENSMUST00000106334.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc8 description:transmembrane channel-like gene family 8 [Source:MGI Symbol;Acc:MGI:2669037] MFRQWSVQSGPAPRRPESQAASEELWEQEVERLCASRTPVRMLPYAMADKRFIRELREPE GVKTTFWQRWHRPRRVARQHLREAEQRLARGFGLWEGALYEIGGLFGTGIQSYFTFLRFL LLLNLLTMLLTACFVLLPLVWLRPPELGPALKLTGLQCSSSPLPQSDIPRFHNPLWNILT GRAFNNTYLFYGAYRAGPESSSEYSIRLAYLLSPMVCLLLCFCGILQRMAEGLPQQTLLG QRYRTPLSAKVFSSWDFCIRVWEAATIKKHEISNELKMELEEGRRVELAQQQTRAQKACR LLTYLRTNILIVLLVVGAISAIFWATKYSQDNKEESLFLVLQYLPPGVISLVNFLGPQLF TVLIQLENYPPGTEVNLTLIWCVVLKLASLGMFSFSLGQTVLCIGRNKTSCESYGYNACD YQCWENSVGEELYKLIIFNFLLTVAFAFLVSLPRRLLVERFSGWFWTWLDREEFLVPKNV LDIVAAQTVTWMGLFYCPLLPLLNSVFLFLTFYIKKYTLLRNSRASPRRFRASSSTFFFH LVLLLGLLLAAVPLAYVISSTHSSWDCGLFTNYSAPWQVVPELVALQLPLPSQRALRYLS SHAFSFPLLILLSIVLTVCISQSRANARAIQGLRKQLVWQVQEKWHLVDDLSRLLPELSP EPGSPHSRASRPRSFCPGFPCPGSPGPRTPRLAPSNRLSSSSLGAPSASVPASRFHFPSR TEL >ENSMUSP00000113570.1 pep:known chromosome:GRCm38:11:117782385:117792883:1 gene:ENSMUSG00000050106.17 transcript:ENSMUST00000117781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc8 description:transmembrane channel-like gene family 8 [Source:MGI Symbol;Acc:MGI:2669037] MFRQWSVQSGPAPRRPESQAASEELWEQEVERLCASRTPVRMLPYAMADKRFIRELREPE GVKTTFWQRWHRPRRVARQHLREAEQRLARGFGLWEGALYEIGGLFGTGIQSYFTFLRFL LLLNLLTMLLTACFVLLPLVWLRPPELGPALKLRLQCSSSPLPQSDIPRFHNPLWNILTG RAFNNTYLFYGAYRAGPESSSEYSIRLAYLLSPMVCLLLCFCGILQRMAEGLPQQTLLGQ RYRTPLSAKVFSSWDFCIRVWEAATIKKHEISNELKVCLEEGRRVELAQQQTRAQKACRL LTYLRTNILIVLLVVGAISAIFWATKYSQDNKEESLFLVLQYLPPGVISLVNFLGPQLFT VLIQLENYPPGTEVNLTLIWCVVLKLASLGMFSFSLGQTVLCIGRNKTSCESYGYNACDY QCWENSVGEELYKLIIFNFLLTVAFAFLVSLPRRLLVERFSGWFWTWLDREEFLVPKNVL DIVAAQTVTWMGLFYCPLLPLLNSVFLFLTFYIKKYTLLRNSRASPRRFRASSSTFFFHL VLLLGLLLAAVPLAYVISSTHSSWDCGLFTNYSAPWQVVPELVALQLPLPSQRALRYLSS HAFSFPLLILLSIVLTVCISQSRANARAIQGLRKQLVWQVQEKWHLVDDLSRLLPELSPE PGSPHSRASRPRSFCPGFPCPGSPGPRTPRLAPSNRLSSSSLGAPSASVPASRFHFPSRT EL >ENSMUSP00000113628.1 pep:known chromosome:GRCm38:11:117782658:117793083:1 gene:ENSMUSG00000050106.17 transcript:ENSMUST00000119455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc8 description:transmembrane channel-like gene family 8 [Source:MGI Symbol;Acc:MGI:2669037] MFRQWSVQSGPAPRRPESQAASEELWEQEVERLCASRTPVRMLPYAMADKRFIRELREPE GVKTTFWQRWHRPRRVARQHLREAEQRLARGFGLWEGALYEIGGLFGTGIQSYFTFLRFL LLLNLLTMLLTACFVLLPLVWLRPPELGPALKLTGLQCSSSPLPQSDIPRFHNPLWNILT GRAFNNTYLFYGAYRAGPESSSEYSIRLAYLLSPMVCLLLCFCGILQRMAEGLPQQTLLG QRYRTPLSAKVFSSWDFCIRVWEAATIKKHEISNELKMELEEGRRVELAQQQTRAQKACR LLTYLRTNILIVLLVVGAISAIFWATKYSQDNKEESLFLVLQYLPPGVISLVNFLGPQLF TVLIQLENYPPGTEVNLTLIWCVVLKLASLGMFSFSLGQTVLCIGRNKTSCESYGYNACD YQCWENSVGEELYKLIIFNFLLTVAFAFLVSLPRRLLVERFSGWFWTWLDREEFLVPKNV LDIVAAQTVTWMGLFYCPLLPLLNSVFLFLTFYIKKYTLLRNSRASPRRFRASSSTFFFH LVLLLGLLLAAVPLAYVISSTHSSWDCGLFTNYSAPWQVVPELVALQLPLPSQRALRYLS SHAFSFPLLILLSIVLTVCISQSRANARAIQGLRKQLVWQVQEKWHLVDDLSRLLPELSP EPGSPHSRASRPRSFCPGFPCPGSPGPRTPRLAPSNRLSSSSLGAPSASVPASRFHFPSR TEL >ENSMUSP00000112948.1 pep:known chromosome:GRCm38:11:24080670:24173558:1 gene:ENSMUSG00000000861.15 transcript:ENSMUST00000118955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl11a description:B cell CLL/lymphoma 11A (zinc finger protein) [Source:MGI Symbol;Acc:MGI:106190] MNFPLGDILIFIEHKRKQCNGSLCLEKGVDKPPSPSPIEMKKASNPVEVGIQVTPEDDDC LSTSSRGICPKQEHIADKLLHWRGLSSPRSAHGALIPTPGMSAEYAPQGICKDEPSSYTC TTCKQPFTSAWFLLQHAQNTHGLRIYLESEHGSPLTPRVLHTPPFGVVPRELKMCGSFRM EAQEPLSSEKL >ENSMUSP00000000881.6 pep:known chromosome:GRCm38:11:24078056:24173194:1 gene:ENSMUSG00000000861.15 transcript:ENSMUST00000000881.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl11a description:B cell CLL/lymphoma 11A (zinc finger protein) [Source:MGI Symbol;Acc:MGI:106190] MSRRKQGKPQHLSKREFSPEPLEAILTDDEPDHGPLGAPEGDHDLLTCGQCQMNFPLGDI LIFIEHKRKQCNGSLCLEKGVDKPPSPSPIEMKKASNPVEVGIQVTPEDDDCLSTSSRGI CPKQEHIADKLLHWRGLSSPRSAHGALIPTPGMSAEYAPQGICKDEPSSYTCTTCKQPFT SAWFLLQHAQNTHGLRIYLESEHGSPLTPRVGIPSGLGAECPSQPPLHGIHIADNNPFNL LRIPGSVSREASGLAEGRFPPTPPLFSPPPRHHLDPHRIERLGAEEMALATHHPSAFDRV LRLNPMAMEPPAMDFSRRLRELAGNTSSPPLSPGRPSPMQRLLQPFQPGSKPPFLATPPL PPLQSAPPPSQPPVKSKSCEFCGKTFKFQSNLVVHRRSHTGEKPYKCNLCDHACTQASKL KRHMKTHMHKSSPMTVKSDDGLSTASSPEPGTSDLVGSASSALKSVVAKFKSENDPNLIP ENGDEEEEEDDEEEEEEEEEEEEELTESERVDYGFGLSLEAARHHENSSRGAVVGVGDEG RALPDVMQGMVLSSMQHFSEAFHQVLGEKHKRSHLAEAEGHRDTCDEDSVAGESDRIDDG TVNGRGCSPGESASGGLSKKLLLGSPSSLSPFSKRIKLEKEFDLPPAAMPNTENVYSQWL AGYAASRQLKDPFLTFGDSRQSPFASSSEHSSENGSLRFSTPPGELDGGISGRSGTGSGG STPHISGPGPGRPSSKEGRRSDTCPSHTPVRRSTPRAQDVWQFSDGSSRTLKF >ENSMUSP00000105142.1 pep:known chromosome:GRCm38:11:24078117:24174123:1 gene:ENSMUSG00000000861.15 transcript:ENSMUST00000109516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl11a description:B cell CLL/lymphoma 11A (zinc finger protein) [Source:MGI Symbol;Acc:MGI:106190] MSRRKQGKPQHLSKREFSPEPLEAILTDDEPDHGPLGAPEGDHDLLTCGQCQMNFPLGDI LIFIEHKRKQCNGSLCLEKGVDKPPSPSPIEMKKASNPVEVGIQVTPEDDDCLSTSSRGI CPKQEHIADKLLHWRGLSSPRSAHGALIPTPGMSAEYAPQGICKDEPSSYTCTTCKQPFT SAWFLLQHAQNTHGLRIYLESEHGSPLTPRVLHTPPFGVVPRELKMCGSFRMEAQEPLSS EKL >ENSMUSP00000105140.1 pep:known chromosome:GRCm38:11:24078173:24168429:1 gene:ENSMUSG00000000861.15 transcript:ENSMUST00000109514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl11a description:B cell CLL/lymphoma 11A (zinc finger protein) [Source:MGI Symbol;Acc:MGI:106190] MSRRKQGKPQHLSKREFSPEPLEAILTDDEPDHGPLGAPEGDHDLLTCGQCQMNFPLGDI LIFIEHKRKQCNGSLCLEKGVDKPPSPSPIEMKKASNPVEVGIQVTPEDDDCLSTSSRGI CPKQEHIADKLLHWRGLSSPRSAHGALIPTPGMSAEYAPQGICKDEPSSYTCTTCKQPFT SAWFLLQHAQNTHGLRIYLESEHGSPLTPRVGIPSGLGAECPSQPPLHGIHIADNNPFNL LRIPGSVSREASGLAEGRFPPTPPLFSPPPRHHLDPHRIERLGAEEMALATHHPSAFDRV LRLNPMAMEPPAMDFSRRLRELAGNTSSPPLSPGRPSPMQRLLQPFQPGSKPPFLATPPL PPLQSAPPPSQPPVKSKSCEFCGKTFKFQSNLVVHRRSHTGEKPYKCNLCDHACTQASKL KRHMKTHMHKSSPMTVKSDDGLSTASSPEPGTSDLVGSASSALKSVVAKFKSENDPNLIP ENGDEEEEEDDEEEEEEEEEEEEELTESERVDYGFGLSLEAARHHENSSRGAVVGVGDEG RALPDVMQGMVLSSMQHFSEAFHQVLGEKHKRSHLAEAEGHRDTCDEDSVAGESDRIDDG TVNGRGCSPGESASGGLSKKLLLGSPSSLSPFSKRIKLEKEFDLPPAAMPNTENVYSQWL AGYAASRQLKDPFLTFGDSRQSPFASSSEHSSENGSLRFSTPPGELDGGISGRSGTGSGG STPHISGPGPGRPSSKEGRRSDTCEYCGKVFKNCSNLTVHRRSHTGERPYKCELCNYACA QSSKLTRHMKTHGQVGKDVYKCEICKMPFSVYSTLEKHMKKWHSDRVLNNDIKTE >ENSMUSP00000083204.5 pep:known chromosome:GRCm38:1:164151838:164220277:1 gene:ENSMUSG00000026579.8 transcript:ENSMUST00000086040.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F5 description:coagulation factor V [Source:MGI Symbol;Acc:MGI:88382] MLLVCPCFFLLVVLGTRWAGWGSHQAEAAQLRQFYVAAQGILWNYHPEPTDPSLNSIPSF KKIVYREYEQYFKKEKPRSSNSGLLGPTLYAEVGDVIKVHFRNKADKPLSIHPQGIKYSK FSEGASYADHTFPAERKDDAVAPGEEYTYEWIVSEDSGPTPDDPPCLTHIYYSYENLTQD FNSGLIGPLLICKKGTLTEDGTQKMFDKQHVLLFAVFDESKSRSQSPSLMYTINGFVNKT MPDITVCAHDHVSWHLIGMSSGPELFSIHFNGQVLEQNQHKVSTVTLVSATSTTANMTMS PEGRWIVSSLIPKHYQAGMQAYIDIKNCPKKTRSPKTLTREQRRYMKRWEYFIAAEEVIW NYAPVIPANMDKIYRSQHLDNFSNQIGKHYKKVIYRQYEEETFTKRTDNPSIKQSGILGP VIRAQVRDTLKIVFKNMASRPYSIYPHGVTFSPYEDGINSSSTSGSHTTIRPVQPGETFT YKWNILEFDEPTENDAQCLTRPYYSDVDVTRDIASGLIGLLLICKSRSLDQRGVQRVADI EQQAVFAVFDENKSWYIEDNINKFCENPDEVKRDDPKFYESNIMSTINGYVPESISTLGF CFDDTVQWHFCSVGTHDDILTIHFTGHSFIYGRRHEDTLTLFPMRGESVTVTMDNVGTWM LTTMNSNPKRRNLRLRFRDVKCNRDYDNEDSYEIYEPPAPTSMTTRRIHDSLENEFGIDN EDDDYQYLLASSLGIRSFKNSSLNPEENEFNLTALALENSSEFISPSTDRVVDSNSSRIL SKIINNNLKDFQRTLPGSGATVAGTLLRNLIGLDENFVLNSSTEHRSSSYHENDMENPQS NITMVYLLPLGPKGSGNREQDKPKTIKTGRPHMMKHRFSWMKAPAGKTGRHSNPKNSYSG MKSEEDIPSELIPLKQKITSKFLNRRWRVASEKGSYEIIAANGEDTDVDKLTNSPQNQNI TVPRGESTSHTNTTRKPSDLPTFSGVGHKSPHVRQEEENSGFQKRQLFIRTRKKKKNKKL ALHSPLSPRGFDPLRGHNHSPFPDRRLLNHSLLLHKSNETALSPDLNQTSPSMSTDRSLP DYNQYSKNDTEQMSSSLDLYQSVPAEEHSPTFPAQDPDQTHSTTDPSYRSSPPELSQGLD YDLSHDFYPDDIGLTSFFPDQSQKSSFSSDDDQAIPSSDLSLFTISPELDQTIIYPDLDQ LLLSPEDNQKTSSPDLGQVPLSPDDNQKTSSPDLGQVSLSPDDNQKTSSPDLGQVPLSLD DNQKTTSPDLGQVPLSPDDNQMITSPDLGQVPLSSDNQKTSSPDLGQVPLFPEDNQNYFL DLSQVPLSSDQNQETSSTDLLTLSPDFGQTVLSPDLDQLPLPSDNSQVTVSPDLSLLTLS PDFNEIILAPDLGQVTLSPDLIQTNPALNHGHKASSADPDQASYPPDSGQASSLPELNRT LPHPDLTHIPPPSPSPTLNNTSLSRKFNPLVVVGLSRVDGDDVEIVPSEEPERIDEDYAE DDFVTYNDPYRTDTRTDVNSSRNPDTIAAWYLRGHGGHKKFYYIAAEEITWNYAEFAQSE MDHEDTGHTPKDTTYKKVVFRKYLDSTFTSRDPRAEYEEHLGILGPVIRAEVDDVIQVRF KNLASRPYSLHAHGLSYEKSSEGKTYEDESPEWFQEDDAVQPNSSYTYVWHATKRSGPEN PGSACRAWAYYSAVNVERDIHSGLIGPLLICRKGTLHMERNLPMDMREFVLLFMVFDEKK SWYYEKSKGSRRIESPEEKNAHKFYAINGMIYNLPGLRMYEQEWVRLHLLNMGGSRDIHV VHFHGQTLLDNRTKQHQLGVWPLLPGSFKTLEMKASKPGWWLLDTEVGENQVAGMQTPFL IIDKECKMPMGLSTGVISDSQIKASEYLTYWEPRLARLNNAGSYNAWSIEKTALDFPIKP WIQVDMQKEVVVTGIQTQGAKHYLKSCFTTEFQVAYSSDQTNWQIFRGKSGKSVMYFTGN SDGSTIKENRLDPPIVARYIRIHPTKSYNRPTLRLELQGCEVNGCSTPLGLEDGRIQDKQ ITASSFKKSWWGDYWEPSLARLNAQGRVNAWQAKANNNKQWLQVDLLKIKKVTAIVTQGC KSLSSEMYVKSYSIQYSDQGVAWKPYRQKSSMVDKIFEGNSNTKGHMKNFFNPPIISRFI RIIPKTWNQSIALRLELFGCDIY >ENSMUSP00000101843.2 pep:known chromosome:GRCm38:3:141465216:141834924:1 gene:ENSMUSG00000059921.15 transcript:ENSMUST00000106236.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5c description:unc-5 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1095412] MRKGLRATAARCGLGLGYLLQMLVLPALALLSASGTGSAAQDDEFFHELPETFPSDPPEP LPHFLIEPEEAYIVKNKPVNLYCKASPATQIYFKCNSEWVHQKDHVVDERVDETSGLIVR EVSIEISRQQVEELFGPEDYWCQCVAWSSAGTTKSRKAYVRIAYLRKTFEQEPLGKEVSL EQEVLLQCRPPEGIPVAEVEWLKNEDIIDPAEDRNFYITIDHNLIIKQARLSDTANYTCV AKNIVAKRKSTTATVIVYVNGGWSTWTEWSVCNSRCGRGYQKRTRTCTNPAPLNGGAFCE GQSVQKIACTTLCPVDGRWTSWSKWSTCGTECTHWRRRECTAPAPKNGGKDCDGLVLQSK NCTDGLCMQAAPDSDDVALYVGIVIAVTVCLAITVVVALFVYRKNHRDFESDIIDSSALN GGFQPVNIKAARQDLLAVPPDLTSAAAMYRGPVYALHDVSDKIPMTNSPILDPLPNLKIK VYNSSGAVTPQDDLAEFSSKLSPQMTQSLLENEALNLKNQSLARQTDPSCTAFGTFNSLG GHLIIPNSGVSLLIPAGAIPQGRVYEMYVTVHRKENMRPPMEDSQTLLTPVVSCGPPGAL LTRPVILTLHHCADPSTEDWKIQLKNQAVQGQWEDVVVVGEENFTTPCYIQLDAEACHIL TENLSTYALVGQSTTKAAAKRLKLAIFGPLCCSSLEYSIRVYCLDDTQDALKEVLQLERQ MGGQLLEEPKALHFKGSIHNLRLSIHDIAHSLWKSKLLAKYQEIPFYHIWSGSQRNLHCT FTLERLSLNTVELVCKLCVRQVEGEGQIFQLNCTVSEEPTGIDLPLLDPASTITTVTGPS AFSIPLPIRQKLCSSLDAPQTRGHDWRMLAHKLNLDRYLNYFATKSSPTGVILDLWEAQN FPDGNLSMLAAVLEEMGRHETVVSLAAEGQY >ENSMUSP00000074758.3 pep:known chromosome:GRCm38:3:141465574:141829469:1 gene:ENSMUSG00000059921.15 transcript:ENSMUST00000075282.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5c description:unc-5 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1095412] MRKGLRATAARCGLGLGYLLQMLVLPALALLSASGTGSAAQDDEFFHELPETFPSDPPEP LPHFLIEPEEAYIVKNKPVNLYCKASPATQIYFKCNSEWVHQKDHVVDERVDETSGLIVR EVSIEISRQQVEELFGPEDYWCQCVAWSSAGTTKSRKAYVRIAYLRKTFEQEPLGKEVSL EQEVLLQCRPPEGIPVAEVEWLKNEDIIDPAEDRNFYITIDHNLIIKQARLSDTANYTCV AKNIVAKRKSTTATVIVYVNGGWSTWTEWSVCNSRCGRGYQKRTRTCTNPAPLNGGAFCE GQSVQKIACTTLCPVDGRWTSWSKWSTCGTECTHWRRRECTAPAPKNGGKDCDGLVLQSK NCTDGLCMQGFIYPISTEHRPQNEYGFSSAPDSDDVALYVGIVIAVTVCLAITVVVALFV YRKNHRDFESDIIDSSALNGGFQPVNIKAARQDLLAVPPDLTSAAAMYRGPVYALHDVSD KIPMTNSPILDPLPNLKIKVYNSSGAVTPQDDLAEFSSKLSPQMTQSLLENEALNLKNQS LARQTDPSCTAFGTFNSLGGHLIIPNSGVSLLIPAGAIPQGRVYEMYVTVHRKENMRPPM EDSQTLLTPVVSCGPPGALLTRPVILTLHHCADPSTEDWKIQLKNQAVQGQWEDVVVVGE ENFTTPCYIQLDAEACHILTENLSTYALVGQSTTKAAAKRLKLAIFGPLCCSSLEYSIRV YCLDDTQDALKEVLQLERQMGGQLLEEPKALHFKGSIHNLRLSIHDIAHSLWKSKLLAKY QEIPFYHIWSGSQRNLHCTFTLERLSLNTVELVCKLCVRQVEGEGQIFQLNCTVSEEPTG IDLPLLDPASTITTVTGPSAFSIPLPIRQKLCSSLDAPQTRGHDWRMLAHKLNLDRYLNY FATKSSPTGVILDLWEAQNFPDGNLSMLAAVLEEMGRHETVVSLAAEGQY >ENSMUSP00000117487.1 pep:known chromosome:GRCm38:3:141465599:141829421:1 gene:ENSMUSG00000059921.15 transcript:ENSMUST00000130636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5c description:unc-5 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1095412] MRKGLRATAARCGLGLGYLLQMLVLPALALLSASGTGSAAQGLIVREVSIEISRQQVEEL FGPEDYWCQCVAWSSAGTTKSRKAYVRIAYLRKTFEQEPLGKEVSLEQEVLLQCRPPEGI PVAEVEWLKNEDIIDPAEDRNFYITIDHNLIIKQARLSDTANYTCVAKNIVAKRKSTTAT VIVYVNGGWSTWTEWSVCNSRCGRGYQKRTRTCTNPAPLNGGAFCEGQSVQKIACTTLCP VDGRWTSWSKWSTCGTECTHWRRRECTAPAPKNGGKDCDGLVLQSKNCTDGLCMQGFIYP ISTEHRPQNEYGFSSAPDSDDVALYVGIVIAVTVCLAITVVVALFVYRKNHRDFESDIID SSALNGGFQPVNIKAARQDLLAVPPDLTSAAAMYRGPVYALHDVSDKIPMTNSPILDPLP NLKIKVYNSSGAVTPQDDLAEFSSKLSPQMTQSLLENEALNLKNQSLARQTDPSCTAFGT FNSLGGHLIIPNSGVSLLIPAGAIPQGRVYEMYVTVHRKENMRPPMEDSQTLLTPVVSCG PPGALLTRPVILTLHHCADPSTEDWKIQLKNQAVQGQWEDVVVVGEENFTTPCYIQLDAE ACHILTENLSTYALVGQSTTKAAAKRLKLAIFGPLCCSSLEYSIRVYCLDDTQDALKEVL QLERQMGGQLLEEPKALHFKGSIHNLRLSIHDIAHSLWKSKLLAKYQEIPFYHIWSGSQR NLHCTFTLERLSLNTVELVCKLCVRQVEGEGQIFQLNCTVSEEPTGIDLPLLDPASTITT VTGPSAFSIPLPIRQKLCSSLDAPQTRGHDWRMLAHKLNLDRYLNYFATKSSPTGVILDL WEAQNFPDGNLSMLAAVLEEMGRHETVVSLAAEGQY >ENSMUSP00000118212.1 pep:known chromosome:GRCm38:3:141465671:141830538:1 gene:ENSMUSG00000059921.15 transcript:ENSMUST00000142762.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unc5c description:unc-5 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:1095412] MRKGLRATAARCGLGLGYLLQMLVLPALALLSASGTGSAAQDDEFFHELPETFPSDPPEP LPHFLIEPEEAYIVKNKPVNLYCKASPATQIYFKCNSEWVHQKDHVVDERVDETSGLIVR EVSIEISRQQVEELFGPEDYWCQCVAWSSAGTTKSRKAYVRIAYLRKTFEQEPLGKEVSL EQEVLLQCRPPEGIPVAEVEWLKNEDIIDPAEDRNFYITIDHNLIIKQARLSDTANYTCV AKNIVAKRKSTTATVIVYVNGGWSTWTEWSVCNSRCGRGYQKRTRTCTNPAPLNGGAFCE GQSVQKIACTTLCPVDGRWTSWSKWSTCGTECTHWRRRECTAPAPKNGGKDCDGLVLQSK NCTDGLCMQGFIYPISTEHRPQNEYGFSSAPDSDDVALYVGIVIAVTVCLAITVVVALFV YRKNHRDFESDIIDSSALNGGFQPVNIKAARQDLLAVPPDLTSAAAMYRGPVYALHDVSD KIPMTNSPILDPLPNLKIKVYNSSGAVTPQDDLAEFSSKLSPQMTQSLLENEALNLKNQS LARQTDPSCTAFGTFNSLGGHLIIPNSGVSLLIPAGAIPQGRVYEMYVTVHRKENMRPPM EDSQTLLTPVVSCGPPGALLTRPVILTLHHCADPSTEDWKIQLKNQAVQGQWEDVVVVGE ENFTTPCYIQLDAEACHILTENLSTYALVGQSTTKAAAKRLKLAIFGPLCCSSLEYSIRV YCLDDTQDALKEVLQLERQMGGQLLEEPKALHFKGSIHNLRLSIHDIAHSLWKSKLLAKY QEIPFYHIWSGSQRNLHCTFTLERLSLNTVELVCKLCVRQVEGEGQIFQLNCTVSEEPTG IDLPLLDPASTITTVTGPSAFSIPLPIRQKLCSSLDAPQTRGHDWRMLAHKLNLDRYLNY FATKSSPTGVILDLWEAQNFPDGNLSMLAAVLEEMGRHETVVSLAAEGQY >ENSMUSP00000140476.1 pep:known chromosome:GRCm38:18:43764289:43767399:1 gene:ENSMUSG00000038791.14 transcript:ENSMUST00000187157.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb3a2 description:secretoglobin, family 3A, member 2 [Source:MGI Symbol;Acc:MGI:2153470] MKLVSIFLLVTIGICGYSATALLINRLPVVDKLPVPLDDIIPSFDPLKMLLKTLGISVEH LVTGLKKCVDELGPEASEAVKKLLEALSHLV >ENSMUSP00000038872.6 pep:known chromosome:GRCm38:18:43764327:43767399:1 gene:ENSMUSG00000038791.14 transcript:ENSMUST00000043803.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb3a2 description:secretoglobin, family 3A, member 2 [Source:MGI Symbol;Acc:MGI:2153470] MKLVSIFLLVTIGICGYSATALLINRLPVVDKLPVPLDDIIPSFDPLKMLLKTLGISVEH LVTGLKKCVDELGPEASEAVKKLLVIIICSYFPGRSLCYVNNLPSFVSVLFLPMICAYPR DSKKQTFAFIERVFEQSKL >ENSMUSP00000140375.1 pep:known chromosome:GRCm38:18:43764327:43767399:1 gene:ENSMUSG00000038791.14 transcript:ENSMUST00000189750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb3a2 description:secretoglobin, family 3A, member 2 [Source:MGI Symbol;Acc:MGI:2153470] MKLVSIFLLVTIGICGYSATALLINRLPVVDKLPVPLDDIIPSFDPLKMLLKTLGISVEH LVTGLKKCVDELGPEASEAVKKLLVIIICSYFPGRSLCYVNNLPSFEALSHLV >ENSMUSP00000091678.2 pep:known chromosome:GRCm38:7:102096879:102102845:-1 gene:ENSMUSG00000070424.11 transcript:ENSMUST00000094128.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art5 description:ADP-ribosyltransferase 5 [Source:MGI Symbol;Acc:MGI:107948] MILEDLLMVLSCLSLHALWKVRAVPILPLSLVPDTFDDAYVGCSEEMEEKAGLLLKEEMA RHALLRESWEAAQEAWAHRRHKLTLPPGFKAQHGVAIMVYTNSSNTLYWELNQAVRTGGG SRELYMRHFPFKALHFYLTRALQLLRGSGGCSRGPGEVVFRGVGSLHFEPKRLGDSVRLG QFTSSSVDERVARRFGNATFFNLRTCFGAPIQALSVFPEEREVLIPPHEVFLVTGFSQDG AQSIVTLWSYDQTCSHFNCAYLGGEKRRGCVSSRAVGQPEAPSTEALALQSGKTLLLDPR KLQLSRAGP >ENSMUSP00000102550.1 pep:known chromosome:GRCm38:7:102096880:102100229:-1 gene:ENSMUSG00000070424.11 transcript:ENSMUST00000106937.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art5 description:ADP-ribosyltransferase 5 [Source:MGI Symbol;Acc:MGI:107948] MILEDLLMVLSCLSLHALWKVRAVPILPLSLVPDTFDDAYVGCSEEMEEKAGLLLKEEMA RHALLRESWEAAQEAWAHRRHKLTLPPGFKAQHGVAIMVYTNSSNTLYWELNQAVRTGGG SRELYMRHFPFKALHFYLTRALQLLRGSGGCSRGPGEVVFRGVGSLHFEPKRLGDSVRLG QFTSSSVDERVARRFGNATFFNLRTCFGAPIQALSVFPEEREVLIPPHEVFLVTGFSQDG AQSIVTLWSYDQTCSHFNCAYLGGEKRRGCVSSRAVGQPEAPSTEALALQSGKTLLLDPR KLQLSRAGP >ENSMUSP00000102548.1 pep:known chromosome:GRCm38:7:102097114:102099493:-1 gene:ENSMUSG00000070424.11 transcript:ENSMUST00000106935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art5 description:ADP-ribosyltransferase 5 [Source:MGI Symbol;Acc:MGI:107948] MILEDLLMVLSCLSLHALWKVRAVPILPLSLVPDTFDDAYVGCSEEMEEKAGLLLKEEMA RHALLRESWEAAQEAWAHRRHKLTLPPGFKAQHGVAIMVYTNSSNTLYWELNQAVRTGGE KRRGCVSSRAVGQPEAPSTEALALQSGKTLLLDPRKLQLSRAGP >ENSMUSP00000102547.1 pep:known chromosome:GRCm38:7:102097114:102099939:-1 gene:ENSMUSG00000070424.11 transcript:ENSMUST00000106934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art5 description:ADP-ribosyltransferase 5 [Source:MGI Symbol;Acc:MGI:107948] MILEDLLMVLSCLSLHALWKVRAVPILPLSLVPDTFDDAYVGCSEEMEEKAGLLLKEEMA RHALLRESWEAAQEAWAHRRHKLTLPPGFKAQHGVAIMVYTNSSNTLYWELNQAALSVFP EEREVLIPPHEVFLVTGFSQDGAQSIVTLWSYDQTCSHFNCAYLGGEKRRGCVSSRAVGQ PEAPSTEALALQSGKTLLLDPRKLQLSRAGP >ENSMUSP00000097254.3 pep:known chromosome:GRCm38:10:67266689:67285305:-1 gene:ENSMUSG00000075000.11 transcript:ENSMUST00000077839.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrbf2 description:nuclear receptor binding factor 2 [Source:MGI Symbol;Acc:MGI:1354950] MEVMEGPLNLAHQQSRRADRLLAAGKYEEAISCHRKATTYLSEAMKLTESEQAHLSLELQ RDSHMKQLLLIQERWKRAKREERLKAQQSTERDGAPHLQAPPRPSEDAEGQSPLLSQPYI PSTERRLPEVQGVFDRDPDTLLFLLQQKNEPSEPCIGSKAPKDDKTIIEEQATKIADLKR HVEFLVAENERLRKENKQLKAEKARLLKGTAEKELDVDADFVEKSELWGLPSHSESAAAS STWQKFAANTGKAKDIPIPNLPPLDFPSPELPLMELSEDILKGFMND >ENSMUSP00000125678.1 pep:known chromosome:GRCm38:10:67267841:67285180:-1 gene:ENSMUSG00000075000.11 transcript:ENSMUST00000159002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrbf2 description:nuclear receptor binding factor 2 [Source:MGI Symbol;Acc:MGI:1354950] MEVMEGPLNLAHQQSRRADRLLAAGKYEEAISCHRKATTPEINFDKGKTARTSKHISLKP >ENSMUSP00000019608.5 pep:known chromosome:GRCm38:8:83666863:83672753:1 gene:ENSMUSG00000019464.5 transcript:ENSMUST00000019608.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptger1 description:prostaglandin E receptor 1 (subtype EP1) [Source:MGI Symbol;Acc:MGI:97793] MSPCGLNLSLADEAATCATPRLPNTSVVLPTGDNGTSPALPIFSMTLGAVSNVLALALLA QVAGRMRRRRSAATFLLFVASLLAIDLAGHVIPGALVLRLYTAGRAPAGGACHFLGGCMV FFGLCPLLLGCGMAVERCVGVTQPLIHAARVSVARARLALAVLAAMALAVALLPLVHVGR YELQYPGTWCFISLGPRGGWRQALLAGLFAGLGLAALLAALVCNTLSGLALLRARWRRRR SRRFRKTAGPDDRRRWGSRGPRLASASSASSITSATATLRSSRGGGSARRVHAHDVEMVG QLVGIMVVSCICWSPLLVLVVLAIGGWNSNSLQRPLFLAVRLASWNQILDPWVYILLRQA MLRQLLRLLPLRVSAKGGPTELGLTKSAWEASSLRSSRHSGFSHL >ENSMUSP00000090844.6 pep:known chromosome:GRCm38:8:109778554:109864204:1 gene:ENSMUSG00000031731.16 transcript:ENSMUST00000093157.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1g1 description:adaptor protein complex AP-1, gamma 1 subunit [Source:MGI Symbol;Acc:MGI:101919] MPAPIRLRELIRTIRTARTQAEEREMIQKECAAIRSSFREEDNTYRCRNVAKLLYMHMLG YPAHFGQLECLKLIASQKFTDKRIGYLGAMLLLDERQDVHLLMTNCIKNDLNHSTQFVQG LALCTLGCMGSSEMCRDLAGEVEKLLKTSNSYLRKKAALCAVHVIRKVPELMEMFLPATK NLLNEKNHGVLHTSVVLLTEMCERSPDMLAHFRKNEKLVPQLVRILKNLIMSGYSPEHDV SGISDPFLQVRILRLLRILGRNDDDSSEAMNDILAQVATNTETSKNVGNAILYETVLTIM DIKSESGLRVLAINILGRFLLNNDKNIRYVALTSLLKTVQTDHNAVQRHRSTIVDCLKDL DVSIKRRAMELSFALVNGNNIRGMMKELLYFLDSCEPEFKADCASGIFLAAEKYAPSKRW HIDTIMRVLTTAGSYVRDDAVPNLIQLITNSVEMHAYTVQRLYKAILGDYSQQPLVQVAA WCIGEYGDLLVSGQCEEEEPIQVTEDEVLDILESVLISNMSTSVTRGYALTAIMKLSTRF TCTVNRIKKVVSIYGSSIDVELQQRAVEYNALFKKYDHMRSALLERMPVMEKVTTNGPSE IVQTNGETEPAPLETKPPPSGPQPTSQANDLLDLLGGNDITPVIPTAPTSKPASAGGELL DLLGDITLTGAPAAAPTPASVPQISQPPFLLDGLSSQPLFNDIAPGIPSITAYSKNGLKI EFTFERSNTNPSVTVITIQASNSTELDMTDFVFQAAVPKTFQLQLLSPSSSVVPAFNTGT ITQVIKVLNPQKQQLRMRIKLTYNHKGSAMQDLAEVNNFPPQSWQ >ENSMUSP00000034171.8 pep:known chromosome:GRCm38:8:109778906:109861358:1 gene:ENSMUSG00000031731.16 transcript:ENSMUST00000034171.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1g1 description:adaptor protein complex AP-1, gamma 1 subunit [Source:MGI Symbol;Acc:MGI:101919] MPAPIRLRELIRTIRTARTQAEEREMIQKECAAIRSSFREEDNTYRCRNVAKLLYMHMLG YPAHFGQLECLKLIASQKFTDKRIGYLGAMLLLDERQDVHLLMTNCIKNDLNHSTQFVQG LALCTLGCMGSSEMCRDLAGEVEKLLKTSNSYLRKKAALCAVHVIRKVPELMEMFLPATK NLLNEKNHGVLHTSVVLLTEMCERSPDMLAHFRKLVPQLVRILKNLIMSGYSPEHDVSGI SDPFLQVRILRLLRILGRNDDDSSEAMNDILAQVATNTETSKNVGNAILYETVLTIMDIK SESGLRVLAINILGRFLLNNDKNIRYVALTSLLKTVQTDHNAVQRHRSTIVDCLKDLDVS IKRRAMELSFALVNGNNIRGMMKELLYFLDSCEPEFKADCASGIFLAAEKYAPSKRWHID TIMRVLTTAGSYVRDDAVPNLIQLITNSVEMHAYTVQRLYKAILGDYSQQPLVQVAAWCI GEYGDLLVSGQCEEEEPIQVTEDEVLDILESVLISNMSTSVTRGYALTAIMKLSTRFTCT VNRIKKVVSIYGSSIDVELQQRAVEYNALFKKYDHMRSALLERMPVMEKVTTNGPSEIVQ TNGETEPAPLETKPPPSGPQPTSQANDLLDLLGGNDITPVIPTAPTSKPASAGGELLDLL GDITLTGAPAAAPTPASVPQISQPPFLLDGLSSQPLFNDIAPGIPSITAYSKNGLKIEFT FERSNTNPSVTVITIQASNSTELDMTDFVFQAAVPKTFQLQLLSPSSSVVPAFNTGTITQ VIKVLNPQKQQLRMRIKLTYNHKGSAMQDLAEVNNFPPQSWQ >ENSMUSP00000136724.1 pep:known chromosome:GRCm38:8:109863108:109864203:1 gene:ENSMUSG00000031731.16 transcript:ENSMUST00000179104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1g1 description:adaptor protein complex AP-1, gamma 1 subunit [Source:MGI Symbol;Acc:MGI:101919] MLEVREYRFCGSTLFSSTLEYISAVKTWGRRSLNVYFSFPTECILTTEP >ENSMUSP00000057993.3 pep:known chromosome:GRCm38:7:82632960:82648523:-1 gene:ENSMUSG00000038570.15 transcript:ENSMUST00000056728.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saxo2 description:stablizer of axonemal microtubules 2 [Source:MGI Symbol;Acc:MGI:1914618] MRNWCLCQICTCGSDYRPYEIVKQPRHIPEEYKPKQGKIDLGTTYKRDFNPYKVQPLIKV RPVERQQVKKGKLDTVPTYKDDYRSWDIQKCELCKPEQAYHPPDVKFGNSTTFQDDYVPQ EIKPRQSFKPCSVVKCSVGPFNGDTSHRRDYVPHQLEVKFARPKEIYKPTDQPFEDLTTH RNDFQGLAGETAKICRPAYTRVTQNIQFKGSTEFRDSFQPWEIPPPKVKKVAEYVPPSGS MQLNSTSHLDYVPYQASRVVAIRPVSHRRQSNFPFQGKSTTKEDFPAWEICRQGLIKQQQ QIPNPSGKFEGLSTFRSHFVPHELIPTESCKPLNEALKSSVPLDDVTMYSIQFTPKKQEI CPASYPSPPGYIFENTNSQGHKFFRKIIPAVKAF >ENSMUSP00000147203.1 pep:known chromosome:GRCm38:7:82633783:82648496:-1 gene:ENSMUSG00000038570.15 transcript:ENSMUST00000207693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saxo2 description:stablizer of axonemal microtubules 2 [Source:MGI Symbol;Acc:MGI:1914618] MRNWCLCQICTCGLFVCTDGIAVHMEPQGFMNILTYLAPRRSIWKNIQNMAVFFHLRALS QSKDFKHTVVKWKE >ENSMUSP00000146351.1 pep:known chromosome:GRCm38:7:82646168:82648528:-1 gene:ENSMUSG00000038570.15 transcript:ENSMUST00000126478.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saxo2 description:stablizer of axonemal microtubules 2 [Source:MGI Symbol;Acc:MGI:1914618] MYVTYALCSWIFQVSWTLHRTKSLQHFPAPPLQQTLLSLMSLLTLEFPRHGGLEELSNQD ASQQLPAPLSLGLYCILCPTKNK >ENSMUSP00000125541.1 pep:known chromosome:GRCm38:17:25374285:25433783:-1 gene:ENSMUSG00000024112.16 transcript:ENSMUST00000159610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1h description:calcium channel, voltage-dependent, T type, alpha 1H subunit [Source:MGI Symbol;Acc:MGI:1928842] MTEGTLAADEVRVPLGASPSAPAAPVRASPASPGVPGREEQRGSGSSVLAPESPGTECGA DLGADEEQPVPYPALAATVFFCLGQTTRPRSWCLRLVCNPWFEHISMLVIMLNCVTLGMF RPCEDVECRSERCSILEAFDDFIFAFFAVEMVIKMVALGLFGQKCYLGDTWNRLDFFIVM AGMMEYSLDGHNVSLSAIRTVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFV FFIFGIVGVQLWAGLLRNRCFLDSAFVRNNNLTFLRPYYQTEEGEENPFICSSRRDNGMQ KCSHIPSRRELRVQCTLGWEAYGQPQAEDGGAGRNACINWNQYYNVCRSGEFNPHNGAIN FDNIGYAWIAIFQVITLEGWVDIMYYVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIAT QFSETKQRENQLMREQRARYLSNDSTLASFSEPGSCYEELLKYVGHIFRKVKRRSLRLYA RWQSRWRKKVDPSSTLHGQGPRRRPRRAGRRTASVHHLVYHHHHHHHHHYHFSHGGPRRP SPEPGAGDTRLVRACVPPSPPSPGHGPPDSESVHSIYHADCHVEGPQERARVAHTIATAA SLKLASGLGTMNYPTILPSGAVNSKGSTSSRPKGLRSAGTPGATAHSPLSLGSPSPYEKI QHVVGEQGLGRASSHLSGLSVPCPLPSPQAGTLTCELKSCPYCASALEDPEFEFSGSESG DSDAHGVYEFTQDVRHGDCRDPVQQPHEGGTPGHGNERWRPPLRTASQPGGLGRLWASFS SKLRRIVDSKYFNRGIMAAILVNTLSMGVEYHEQPDELTNALEISNIVFTSMFALEMLLK LLACGPLGYIRNPYNIFDGIVVIISVWEIVGQADGGLSVLRTFRLLRVLKLVRFLPALRR QLVVLMRTMDNVATFCMLLMLFIFIFSILGMHLFGCKFSLKTDSGDTVPDRKNFDSLLWA IVTVFQILTQEDWNVVLYNGMASTSSWAALYFVALMTFGNYVLFNLLVAILVEGFQAEGD ATRSDTDEDKTSTHLEEDFDKLRDVQATEMKMYSLAVTPNGHLEGRGSLPPPLITHTAAT PMPTPKSSPHLDMAHTLLDSRRSSSGSVDPQLGDQKSLASLRSSPCAPWGPNSAGSSRRS SWNSLGRAPSLKRRSQCGERESLLSGEGKGSTDDEAEDSRPNSGTHPGASPGPRATPLRR AESLGHRSTMDLCPPRPATLLPTKFRDCNGQMVALPSEFFLRIDSHKEDAAEFDDDIEDS CCFRLHKVLEPYAPQWCSSRESWALYLFPPQNRLRVSCQKVIAHKMFDHVVLVFIFLNCI TIALERPDIDPGSTERAFLSVSNYIFTAIFVVEMMVKVVALGLLWGEHAYLQSSWNVLDG LLVLVSLVDIIVAVASAGGAKILGVLRVLRLLRTLRPLRVISRAPGLKLVVETLISSLRP IGNIVLICCAFFIIFGILGVQLFKGKFYYCEGTDTRNITTKAECHAAHYRWVRRKYNFDN LGQALMSLFVLSSKDGWVNIMYDGLDAVGIDQQPVQNHNPWMLLYFISFLLIVSFFVLNM FVGVVVENFHKCRQHQEAEEARRREEKRLRRLERRRRKAQRRPYYADYSHTRRSIHSLCT SHYLDLFITFIICLNVITMSMEHYNQPKSLDEALKYCNYVFTIVFVFEAALKLVAFGFRR FFKDRWNQLDLAIVLLSIMGIALEEIEMNAALPINPTIIRIMRVLRIARVLKLLKMATGM RALLDTVVQALPQVGNLGLLFMLLFFIYAALGVELFGRLECSEDNPCEGLSRHATFTNFG MAFLTLFRVSTGDNWNGIMKDTLRECTREDKHCLSYLPALSPVYFVTFVLVAQFVLVNVV VAVLMKHLEESNKEAREDAEMDAEIELEIAQGSTAQPPSTAQESQGTEPDTPNLLVVRKV SVSRMLSLPNDSYMFRPVAPAAAPHSHPLQEVEMETYTGPVTSAHSPSLEPRTSFQVPSA ASSPARVSDPLCALSPRDTPRSLSLSRILCRQEAMHAESLEGQIDDAGEDSIPDYTEPAE NISMSQAPLGTLRSPPCSPRPASVRTRKHTFGQHCISSRPPTLGGDDAEAADPADEEVSH ITSSAHPWPATEPHSPEASPTASPAKGTVGSGRDPHRFCSVDAQSFLDKPGRPDAQRWSS VELDNGDGHLESGEVRARASELEPALGARRKKKMSPPCISIDPPTEDEGSSRPPAAEGGN TTLRRRTPSCEAALHRDCPESTEGPGTGGDPVAKGERWGQASCRAEHLTVPNFAFEPLDM GGPGGDCFLDSDQSVTPEPRVSSLGAIVPLILETELSMPSGDPPEKEQGLYLTVPQTPLK KPGSPPATPAPDDSGDEPV >ENSMUSP00000123741.1 pep:known chromosome:GRCm38:17:25374288:25433783:-1 gene:ENSMUSG00000024112.16 transcript:ENSMUST00000159048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1h description:calcium channel, voltage-dependent, T type, alpha 1H subunit [Source:MGI Symbol;Acc:MGI:1928842] MLVIMLNCVTLGMFRPCEDVECRSERCSILEAFDDFIFAFFAVEMVIKMVALGLFGQKCY LGDTWNRLDFFIVMAGMMEYSLDGHNVSLSAIRTVRVLRPLRAINRVPSMRILVTLLLDT LPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNRCFLDSAFVRNNNLTFLRPYYQTEEGEE NPFICSSRRDNGMQKCSHIPSRRELRVQCTLGWEAYGQPQAEDGGAGRNACINWNQYYNV CRSGEFNPHNGAINFDNIGYAWIAIFQVITLEGWVDIMYYVMDAHSFYNFIYFILLIIVG SFFMINLCLVVIATQFSETKQRENQLMREQRARYLSNDSTLASFSEPGSCYEELLKYVGH IFRKVKRRSLRLYARWQSRWRKKVDPSSTLHGQGPRRRPRRAGRRTASVHHLVYHHHHHH HHHYHFSHGGPRRPSPEPGAGDTRLVRACVPPSPPSPGHGPPDSESVHSIYHADCHVEGP QERARVAHTIATAASLKLASGLGTMNYPTILPSGAVNSKGSTSSRPKGLRSAGTPGATAH SPLSLGSPSPYEKIQHVVGEQGLGRASSHLSGLSVPCPLPSPQAGTLTCELKSCPYCASA LEDPEFEFSGSESGDSDAHGVYEFTQDVRHGDCRDPVQQPHEGGTPGHGNERWRPPLRTA SQPGGLGRLWASFSSKLRRIVDSKYFNRGIMAAILVNTLSMGVEYHEQPDELTNALEISN IVFTSMFALEMLLKLLACGPLGYIRNPYNIFDGIVVIISVWEIVGQADGGLSVLRTFRLL RVLKLVRFLPALRRQLVVLMRTMDNVATFCMLLMLFIFIFSILGMHLFGCKFSLKTDSGD TVPDRKNFDSLLWAIVTVFQILTQEDWNVVLYNGMASTSSWAALYFVALMTFGNYVLFNL LVAILVEGFQAEGDATRSDTDEDKTSTHLEEDFDKLRDVQATEMKMYSLAVTPNGHLEGR GSLPPPLITHTAATPMPTPKSSPHLDMAHTLLDSRRSSSGSVDPQLGDQKSLASLRSSPC APWGPNSAGSSRRSSWNSLGRAPSLKRRSQCGERESLLSGEGKGSTDDEAEDSRPNSGTH PGASPGPRATPLRRAESLGHRSTMDLCPPRPATLLPTKFRDCNGQMVALPSEFFLRIDSH KEDAAEFDDDIEDSCCFRLHKVLEPYAPQWCSSRESWALYLFPPQNRLRVSCQKVIAHKM FDHVVLVFIFLNCITIALERPDIDPGSTERAFLSVSNYIFTAIFVVEMMVKVVALGLLWG EHAYLQSSWNVLDGLLVLVSLVDIIVAVASAGGAKILGVLRVLRLLRTLRPLRVISRAPG LKLVVETLISSLRPIGNIVLICCAFFIIFGILGVQLFKGKFYYCEGTDTRNITTKAECHA AHYRWVRRKYNFDNLGQALMSLFVLSSKDGWVNIMYDGLDAVGIDQQPVQNHNPWMLLYF ISFLLIVSFFVLNMFVGVVVENFHKCRQHQEAEEARRREEKRLRRLERRRRKAQRRPYYA DYSHTRRSIHSLCTSHYLDLFITFIICLNVITMSMEHYNQPKSLDEALKYCNYVFTIVFV FEAALKLVAFGFRRFFKDRWNQLDLAIVLLSIMGIALEEIEMNAALPINPTIIRIMRVLR IARVLKLLKMATGMRALLDTVVQALPQVGNLGLLFMLLFFIYAALGVELFGRLECSEDNP CEGLSRHATFTNFGMAFLTLFRVSTGDNWNGIMKDTLRECTREDKHCLSYLPALSPVYFV TFVLVAQFVLVNVVVAVLMKHLEESNKEAREDAEMDAEIELEIAQGSTAQPPSTAQESQG TEPDTPNLLVVRKVSVSRMLSLPNDSYMFRPVAPAAAPHSHPLQEVEMETYTGPISCVLP SQGQRPPLCPLTPGYAPLSEPLTDTLQTGGHARRVPGRADR >ENSMUSP00000123906.1 pep:known chromosome:GRCm38:17:25376884:25380651:-1 gene:ENSMUSG00000024112.16 transcript:ENSMUST00000161035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1h description:calcium channel, voltage-dependent, T type, alpha 1H subunit [Source:MGI Symbol;Acc:MGI:1928842] XNQLDLAIVLLSIMGIALEEIEMNAALPINPTIIRIMRVLRIARVLKLLKMATGMRALLD TVVQALPQLFGRLECSEDNPCEGLSRHATFTNFGMAFLTLFRVSTGDNWNGIMKDTLREC TREDKHCLSYLPALSPVYFVTFVLVAQFVLVNVVVAVLMKHLEESNKEAREDAEMDAEIE LEIAQGSTAQPPSTAQESQGTEPDTPNLLVVRKVSVSRMLSLPNDSYMFRPVAPAAAPHS HPLQEVEMETYTGPVTSAHSPSLEPRTSFQVPSAASSPARVSDPLCALSPRDT >ENSMUSP00000124883.1 pep:known chromosome:GRCm38:17:25377196:25380279:-1 gene:ENSMUSG00000024112.16 transcript:ENSMUST00000161658.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cacna1h description:calcium channel, voltage-dependent, T type, alpha 1H subunit [Source:MGI Symbol;Acc:MGI:1928842] XMATGMRALLDTVVQALPQVGNLGLLFMLLFFIYAALGVELFGRLGYSP >ENSMUSP00000125664.1 pep:known chromosome:GRCm38:17:25397625:25433278:-1 gene:ENSMUSG00000024112.16 transcript:ENSMUST00000159623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1h description:calcium channel, voltage-dependent, T type, alpha 1H subunit [Source:MGI Symbol;Acc:MGI:1928842] MTEGTLAADEVRVPLGASPSAPAAPVRASPASPGVPGREEQRGSGSSVLAPESPGTECGA DLGADEEQPVPYPALAATVFFCLGQTTRPRSWCLRLVCNPWFEHISMLVIMLNCVTLGMF RPCEDVECRSERCSILEAFDDFIFAFF >ENSMUSP00000077586.5 pep:known chromosome:GRCm38:17:25374295:25433783:-1 gene:ENSMUSG00000024112.16 transcript:ENSMUST00000078496.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1h description:calcium channel, voltage-dependent, T type, alpha 1H subunit [Source:MGI Symbol;Acc:MGI:1928842] MTEGTLAADEVRVPLGASPSAPAAPVRASPASPGVPGREEQRGSGSSVLAPESPGTECGA DLGADEEQPVPYPALAATVFFCLGQTTRPRSWCLRLVCNPWFEHISMLVIMLNCVTLGMF RPCEDVECRSERCSILEAFDDFIFAFFAVEMVIKMVALGLFGQKCYLGDTWNRLDFFIVM AGMMEYSLDGHNVSLSAIRTVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFV FFIFGIVGVQLWAGLLRNRCFLDSAFVRNNNLTFLRPYYQTEEGEENPFICSSRRDNGMQ KCSHIPSRRELRVQCTLGWEAYGQPQAEDGGAGRNACINWNQYYNVCRSGEFNPHNGAIN FDNIGYAWIAIFQVITLEGWVDIMYYVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIAT QFSETKQRENQLMREQRARYLSNDSTLASFSEPGSCYEELLKYVGHIFRKVKRRSLRLYA RWQSRWRKKVDPSSTLHGQGPRRRPRRAGRRTASVHHLVYHHHHHHHHHYHFSHGGPRRP SPEPGAGDTRLVRACVPPSPPSPGHGPPDSESVHSIYHADCHVEGPQERARVAHTIATAA SLKLASGLGTMNYPTILPSGAVNSKGSTSSRPKGLRSAGTPGATAHSPLSLGSPSPYEKI QHVVGEQGLGRASSHLSGLSVPCPLPSPQAGTLTCELKSCPYCASALEDPEFEFSGSESG DSDAHGVYEFTQDVRHGDCRDPVQQPHEGGTPGHGNERWRPPLRTASQPGGLGRLWASFS SKLRRIVDSKYFNRGIMAAILVNTLSMGVEYHEQPDELTNALEISNIVFTSMFALEMLLK LLACGPLGYIRNPYNIFDGIVVIISVWEIVGQADGGLSVLRTFRLLRVLKLVRFLPALRR QLVVLMRTMDNVATFCMLLMLFIFIFSILGMHLFGCKFSLKTDSGDTVPDRKNFDSLLWA IVTVFQILTQEDWNVVLYNGMASTSSWAALYFVALMTFGNYVLFNLLVAILVEGFQAEGD ATRSDTDEDKTSTHLEEDFDKLRDVQATEMKMYSLAVTPNGHLEGRGSLPPPLITHTAAT PMPTPKSSPHLDMAHTLLDSRRSSSGSVDPQLGDQKSLASLRSSPCAPWGPNSAGSSRRS SWNSLGRAPSLKRRSQCGERESLLSGEGKGSTDDEAEDSRPNSGTHPGASPGPRATPLRR AESLGHRSTMDLCPPRPATLLPTKFRDCNGQMVALPSEFFLRIDSHKEDAAEFDDDIEDS CCFRLHKVLEPYAPQWCSSRESWALYLFPPQNRLRVSCQKVIAHKMFDHVVLVFIFLNCI TIALERPDIDPGSTERAFLSVSNYIFTAIFVVEMMVKVVALGLLWGEHAYLQSSWNVLDG LLVLVSLVDIIVAVASAGGAKILGVLRVLRLLRTLRPLRVISRAPGLKLVVETLISSLRP IGNIVLICCAFFIIFGILGVQLFKGKFYYCEGTDTRNITTKAECHAAHYRWVRRKYNFDN LGQALMSLFVLSSKDGWVNIMYDGLDAVGIDQQPVQNHNPWMLLYFISFLLIVSFFVLNM FVGVVVENFHKCRQHQEAEEARRREEKRLRRLERRRRKAQRRPYYADYSHTRRSIHSLCT SHYLDLFITFIICLNVITMSMEHYNQPKSLDEALKYCNYVFTIVFVFEAALKLVAFGFRR FFKDRWNQLDLAIVLLSIMGIALEEIEMNAALPINPTIIRIMRVLRIARVLKLLKMATGM RALLDTVVQALPQVGNLGLLFMLLFFIYAALGVELFGRLECSEDNPCEGLSRHATFTNFG MAFLTLFRVSTGDNWNGIMKDTLRECTREDKHCLSYLPALSPVYFVTFVLVAQFVLVNVV VAVLMKHLEESNKEAREDAEMDAEIELEIAQGSTAQPPSTAQESQGTEPDTPNLLVVRKV SVSRMLSLPNDSYMFRPVAPAAAPHSHPLQEVEMETYTGPVTSAHSPSLEPRTSFQVPSA ASSPARVSDPLCALSPRDTPRSLSLSRILCRQEAMHAESLEGQIDDAGEDSIPDYTEPAE NISMSQAPLGTLRSPPCSPRPASVRTRKHTFGQHCISSRPPTLGGDDAEAADPADEEVSH ITSSAHPWPATEPHSPEASPTASPAKGTVGSGRDPHRFCSVDAQSFLDKPGRPDAQRWSS VELDNGDGHLESGEVRARASELEPALGARRKKKMSPPCISIDPPTEDEGSSRPPAAEGGN TTLRRRTPSCEAALHRDCPESTEGPGTGGDPVAKGERWGQASCRAEHLTVPNFAFEPLDM GGPGGDCFLDSDQSVTPEPRVSSLGAIVPLILETELSMPSGDPPEKEQGLYLTVPQTPLK KPGSPPATPAPDDSGDEPV >ENSMUSP00000120712.2 pep:known chromosome:GRCm38:18:49979427:50091001:1 gene:ENSMUSG00000062210.13 transcript:ENSMUST00000148989.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8 description:tumor necrosis factor, alpha-induced protein 8 [Source:MGI Symbol;Acc:MGI:2147191] MATDVFNSKNLAVQAQKKILGKMVSKSIATTLIDDTSSEVLDELYRVTKEYTQNKKEAER VIKNLIKTVIKLAVLHRNNQFNQDELALMEKFKKKVHQLAMTVVSFHQVEYTFDRNVLSR LLNECRELLHEIIQRHLTAKSHGRVNNVFDHFSDCDFLAALYNPFGKFKPHLQKLCDGIN KMLDEENI >ENSMUSP00000136030.1 pep:known chromosome:GRCm38:18:49979529:50093229:1 gene:ENSMUSG00000062210.13 transcript:ENSMUST00000179937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8 description:tumor necrosis factor, alpha-induced protein 8 [Source:MGI Symbol;Acc:MGI:2147191] MATDVFNSKNLAVQAQKKILGKMVSKSIATTLIDDTSSEVLDELYRVTKEYTQNKKEAER VIKNLIKTVIKLAVLHRNNQFNQDELALMEKFKKKVHQLAMTVVSFHQIVIDLQELNLRQ SNRMLRKAKKTVHLKS >ENSMUSP00000121372.2 pep:known chromosome:GRCm38:18:50019567:50090794:1 gene:ENSMUSG00000062210.13 transcript:ENSMUST00000126666.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8 description:tumor necrosis factor, alpha-induced protein 8 [Source:MGI Symbol;Acc:MGI:2147191] MTNSTSETKSFQSRACTSHGEVTSVATDVFNSKNLAVQAQKKILGKMVSKSIATTLIDDT SSEVLDELYRVTKEYTQNKKEAERVIKNLIKTVIKLAVLHRNNQFNQDELALMEKFKKKV HQLAMTVVSFHQVEYTFDRNVLSRLLNECRELLHEIIQRHLTAKSHGRVNNVFDHFSDCD FLAALYNPFGKFKPHLQKLCDGINKMLDEENI >ENSMUSP00000136665.1 pep:known chromosome:GRCm38:18:50031018:50090851:1 gene:ENSMUSG00000062210.13 transcript:ENSMUST00000145726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8 description:tumor necrosis factor, alpha-induced protein 8 [Source:MGI Symbol;Acc:MGI:2147191] MEKFKKKVHQLAMTVVSFHQVEYTFDRNVLSRLLNECRELLHEIIQRHLTAKSHGRVNNV FDHFSDCDFLAALYNPFGKFKPHLQKLCDGINKMLDEENI >ENSMUSP00000136152.1 pep:known chromosome:GRCm38:18:50031029:50090686:1 gene:ENSMUSG00000062210.13 transcript:ENSMUST00000128377.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8 description:tumor necrosis factor, alpha-induced protein 8 [Source:MGI Symbol;Acc:MGI:2147191] MPRLVATDVFNSKNLAVQAQKKILGKMVSKSIATTLIDDTSSEVLDELYRVTKEYTQNKK EAERVIKNLIKTVIKLAVLHRNNQFNQDELALMEKFKKKVHQLAMTVVSFHQVEYTFDRN VLSRLLNECRELLHEIIQRHLTAKSHGRVNNVFDHFSDCDFLAALY >ENSMUSP00000119533.1 pep:known chromosome:GRCm38:18:50051718:50090357:1 gene:ENSMUSG00000062210.13 transcript:ENSMUST00000134348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8 description:tumor necrosis factor, alpha-induced protein 8 [Source:MGI Symbol;Acc:MGI:2147191] MSVAVAPVAVHPDSMLSEAEEPREVATDVFNSKNLAVQAQKKILGKMVSKSIATTLIDDT SSEVLDELYRVTKEYTQ >ENSMUSP00000115396.2 pep:known chromosome:GRCm38:18:50051721:50090470:1 gene:ENSMUSG00000062210.13 transcript:ENSMUST00000153873.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8 description:tumor necrosis factor, alpha-induced protein 8 [Source:MGI Symbol;Acc:MGI:2147191] MSVAVAPVAVHPDSMLSEAEEPREVATDVFNSKNLAVQAQKKILGKMVSKSIATTLIDDT SSEVLDELYRVTKEYTQNKKEAERVIKNLIKTVIKLAVLHRNNQFNQDELALME >ENSMUSP00000119041.2 pep:known chromosome:GRCm38:18:50053282:50091782:1 gene:ENSMUSG00000062210.13 transcript:ENSMUST00000148159.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8 description:tumor necrosis factor, alpha-induced protein 8 [Source:MGI Symbol;Acc:MGI:2147191] MSVAVAPVAVHPDSMLSEAEEPREVATDVFNSKNLAVQAQKKILGKMVSKSIATTLIDDT SSEVLDELYRVTKEYTQNKKEAERVIKNLIKTVIKLAVLHRNNQFNQDELALMEKFKKKV HQLAMTVVSFHQVEYTFDRNVLSRLLNECRELLHEIIQRHLTAKSHGRVNNVFDHFSDCD FLAALYNPFGKFKPHLQKLCDGINKMLDEENI >ENSMUSP00000136682.1 pep:known chromosome:GRCm38:18:50046740:50047152:1 gene:ENSMUSG00000062210.13 transcript:ENSMUST00000180305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8 description:tumor necrosis factor, alpha-induced protein 8 [Source:MGI Symbol;Acc:MGI:2147191] MWSMTLGFQILFRNLQNKTKVQHTHTHTHTHTHTLSLSLSPLLDAVSLDSLLSLSWRSSV APRSEHKVERGGDYWGSQAEPLASERFTVINHRCAPAISAGKLHKLRLHAATVSQPVRGG LS >ENSMUSP00000036066.8 pep:known chromosome:GRCm38:12:105216750:105222737:-1 gene:ENSMUSG00000041359.14 transcript:ENSMUST00000041316.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcl1 description:T cell lymphoma breakpoint 1 [Source:MGI Symbol;Acc:MGI:1097166] MATQRAHRAETPAHPNRLWIWEKHVYLDEFRRSWLPVVIKSNEKFQVILRQEDVTLGEAM SPSQLVPYELPLMWQLYPKDRYRSCDSMYWQILYHIKFRDVEDMLLELIDSESNDE >ENSMUSP00000098632.3 pep:known chromosome:GRCm38:12:105216758:105222716:-1 gene:ENSMUSG00000041359.14 transcript:ENSMUST00000101071.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcl1 description:T cell lymphoma breakpoint 1 [Source:MGI Symbol;Acc:MGI:1097166] MATQRAHRAETPAHPNRLWIWEKHVYLDEFRRSWLPVVIKSNEKFQVILRQEDVTLGEAM SPSQLVPYELPLMWQLYPKDRYRSCDSMYWQILYHIKVLQLLSALPRPGPTGEMLVLMFC LFASHVLFPLHWAPRRKLWPDAAPSHAAVACSAALVGPSALLTPWQGAPEKIPVLLTSSR CSVVSVPLMILAD >ENSMUSP00000135069.1 pep:known chromosome:GRCm38:12:105217308:105222706:-1 gene:ENSMUSG00000041359.14 transcript:ENSMUST00000176579.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcl1 description:T cell lymphoma breakpoint 1 [Source:MGI Symbol;Acc:MGI:1097166] MATQRAHRAETPAHPNRLWIWEKHVYLDEFRRSWLPVVIKSNEKFQVILRQEDVTLGEAM SPSQLVPYELPLMWQLYPKDSLETWRTCCLN >ENSMUSP00000134811.1 pep:known chromosome:GRCm38:12:105217498:105222793:-1 gene:ENSMUSG00000041359.14 transcript:ENSMUST00000175652.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcl1 description:T cell lymphoma breakpoint 1 [Source:MGI Symbol;Acc:MGI:1097166] MATQRAHRAETPAHPNRLWIWEKHVYLDEFRRSWLPVVIKFRDVEDMLLELIDSESNDE >ENSMUSP00000134903.1 pep:known chromosome:GRCm38:12:105217594:105222696:-1 gene:ENSMUSG00000041359.14 transcript:ENSMUST00000177521.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcl1 description:T cell lymphoma breakpoint 1 [Source:MGI Symbol;Acc:MGI:1097166] MATQRAHRAETPAHPNRLWIWEKHVYLDEFRRSWLPVVIKSNEKFQVILRQEDVTLGEAM SPSQLVPYELPLMWQLYPKDRYRSCDSMYWQILYHIKASTAFPKDRG >ENSMUSP00000020283.4 pep:known chromosome:GRCm38:10:61738665:61784147:-1 gene:ENSMUSG00000020086.6 transcript:ENSMUST00000020283.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afy2 description:H2A histone family, member Y2 [Source:MGI Symbol;Acc:MGI:3037658] MSGRSGKKKMSKLSRSARAGVIFPVGRLMRYLKKGTFKYRISVGAPVYMAAVIEYLAAEI LELAGNAARDNKKARIAPRHILLAVANDEELNQLLKGVTIASGGVLPRIHPELLAKKRGT KGKSETILSPPPEKRGRKAASGKKGGKKSKATKPRTSKKSKAKDSDKEGTSNSTSEDGPG DGFTILSSKSLVLGQKLSLTQSDISHIGSMRVEGIVHPTTAEIDLKEEIGKALEKAGGKE FLETVKELRKSQGPLEVAEAAVSQSSGLAAKFVIHCHIPQWGSDKCEEQLEETIKNCLSA AEDKKLKSVAFPPFPSGRNCFPKQTAAQVTLKAISAHFDDSSSSSLKNVYFLLFDSESIG IYVQEMAKLDTK >ENSMUSP00000071859.2 pep:known chromosome:GRCm38:5:114622152:114658421:-1 gene:ENSMUSG00000014158.11 transcript:ENSMUST00000071968.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv4 description:transient receptor potential cation channel, subfamily V, member 4 [Source:MGI Symbol;Acc:MGI:1926945] MADPGDGPRAAPGEVAEPPGDESGTSGGEAFPLSSLANLFEGEEGSSSLSPVDASRPAGP GDGRPNLRMKFQGAFRKGVPNPIDLLESTLYESSVVPGPKKAPMDSLFDYGTYRHHPSDN KRWRRKVVEKQPQSPKAPAPQPPPILKVFNRPILFDIVSRGSTADLDGLLSFLLTHKKRL TDEEFREPSTGKTCLPKALLNLSNGRNDTIPVLLDIAERTGNMREFINSPFRDIYYRGQT SLHIAIERRCKHYVELLVAQGADVHAQARGRFFQPKDEGGYFYFGELPLSLAACTNQPHI VNYLTENPHKKADMRRQDSRGNTVLHALVAIADNTRENTKFVTKMYDLLLLKCSRLFPDS NLETVLNNDGLSPLMMAAKTGKIGVFQHIIRREVTDEDTRHLSRKFKDWAYGPVYSSLYD LSSLDTCGEEVSVLEILVYNSKIENRHEMLAVEPINELLRDKWRKFGAVSFYINVVSYLC AMVIFTLTAYYQPLEGTPPYPYRTTVDYLRLAGEVITLFTGVLFFFTSIKDLFTKKCPGV NSLFVDGSFQLLYFIYSVLVVVSAALYLAGIEAYLAVMVFALVLGWMNALYFTRGLKLTG TYSIMIQKILFKDLFRFLLVYLLFMIGYASALVTLLNPCTNMKVCDEDQSNCTVPTYPAC RDSETFSAFLLDLFKLTIGMGDLEMLSSAKYPVVFILLLVTYIILTFVLLLNMLIALMGE TVGQVSKESKHIWKLQWATTILDIERSFPVFLRKAFRSGEMVTVGKSSDGTPDRRWCFRV DEVNWSHWNQNLGIINEDPGKSEIYQYYGFSHTVGRLRRDRWSSVVPRVVELNKNSSADE VVVPLDNLGNPNCDGHQQGYAPKWRTDDAPL >ENSMUSP00000107844.1 pep:known chromosome:GRCm38:5:114622154:114658418:-1 gene:ENSMUSG00000014158.11 transcript:ENSMUST00000112225.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv4 description:transient receptor potential cation channel, subfamily V, member 4 [Source:MGI Symbol;Acc:MGI:1926945] MADPGDGPRAAPGEVAEPPGDESGTSGGEAFPLSSLANLFEGEEGSSSLSPVDASRPAGP GDGRPNLRMKFQGAFRKGVPNPIDLLESTLYESSVVPGPKKAPMDSLFDYGTYRHHPSDN KRWRRKVVEKQPQSPKAPAPQPPPILKVFNRPILFDIVSRGSTADLDGLLSFLLTHKKRL TDEEFREPSTGKTCLPKALLNLSNGRNDTIPVLLDIAERTGNMREFINSPFRDIYYRGQT SLHIAIERRCKHYVELLVAQGADVHAQARGRFFQPKDEGGYFYFGELPLSLAACTNQPHI VNYLTENPHKKADMRRQDSRGNTVLHALVAIADNTRENTKFVTKMYDLLLLKCSRLFPDS NLETVLNNDGLSPLMMAAKTGKIGVFQHIIRREVTDEDTRHLSRKFKDWAYGPVYSSLYD LSSLDTCGEEVSVLEILVYNSKIENRHEMLAVEPINELLRDKWRKFGAVSFYINVVSYLC AMVIFTLTAYYQPLEGTPPYPYRTTVDYLRLAGEVITLFTGVLFFFTSIKDLFTKKCPGV NSLFVDGSFQLLYFIYSVLVVVSAALYLAGIEAYLAVMVFALVLGWMNALYFTRGLKLTG TYSIMIQKILFKDLFRFLLVYLLFMIGYASALVTLLNPCTNMKVCDEDQSNCTVPTYPAC RDSETFSAFLLDLFKLTIGMGDLEMLSSAKYPVVFILLLVTYIILTFVLLLNMLIALMGE TVGQVSKESKHIWKLQWATTILDIERSFPVFLRKAFRSGEMVTVGKSSDGTPDRRWCFRV DEVNWSHWNQNLGIINEDPGKSEIYQYYGFSHTVGRLRRDRWSSVVPRVVELNKNSSADE VVVPLDNLGNPNCDGHQQGYAPKWRTDDAPL >ENSMUSP00000107840.1 pep:known chromosome:GRCm38:5:114622653:114644899:-1 gene:ENSMUSG00000014158.11 transcript:ENSMUST00000112222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv4 description:transient receptor potential cation channel, subfamily V, member 4 [Source:MGI Symbol;Acc:MGI:1926945] MADPGDGPRAAPGEVAEPPGDESGTSGGEAFPLSSLANLFEGEEGSSSLSPVDASRPAGP GDGRPNLRMKFQGAFRKGVPNPIDLLESTLYESSVVPGPKKAPMDSLFDYGTYRHHPSDN KRWRRKVVEKQPQSPKAPAPQPPPILKVFNRPILFDIVSRGSTADLDGLLSFLLTHKKRL TDEEFREPSTGKTCLPKALLNLSNGRNDTIPVLLDIAERTGNMREFINSPFRDIYYRGEL PLSLAACTNQPHIVNYLTENPHKKADMRRQDSRGNTVLHALVAIADNTRENTKFVTKMYD LLLLKCSRLFPDSNLETVLNNDGLSPLMMAAKTGKIGVFQHIIRREVTDEDTRHLSRKFK DWAYGPVYSSLYDLSSLDTCGEEVSVLEILVYNSKIENRHEMLAVEPINELLRDKWRKFG AVSFYINVVSYLCAMVIFTLTAYYQPLEGTPPYPYRTTVDYLRLAGEVITLFTGVLFFFT SIKDLFTKKCPGVNSLFVDGSFQLLYFIYSVLVVVSAALYLAGIEAYLAVMVFALVLGWM NALYFTRGLKLTGTYSIMIQKILFKDLFRFLLVYLLFMIGYASALVTLLNPCTNMKVCDE DQSNCTVPTYPACRDSETFSAFLLDLFKLTIGMGDLEMLSSAKYPVVFILLLVTYIILTF VLLLNMLIALMGETVGQVSKESKHIWKLQWATTILDIERSFPVFLRKAFRSGEMVTVGKS SDGTPDRRWCFRVDEVNWSHWNQNLGIINEDPGKSEIYQYYGFSHTVGRLRRDRWSSVVP RVVELNKNSSADEVVVPLDNLGNPNCDGHQQGYAPKWRTDDAPL >ENSMUSP00000107838.1 pep:known chromosome:GRCm38:5:114622653:114644899:-1 gene:ENSMUSG00000014158.11 transcript:ENSMUST00000112219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv4 description:transient receptor potential cation channel, subfamily V, member 4 [Source:MGI Symbol;Acc:MGI:1926945] MADPGDGPRAAPGEVAEPPGDESGTSGGEAFPLSSLANLFEGEEGSSSLSPVDASRPAGP GDGRPNLRMKFQGAFRKGVPNPIDLLESTLYESSVVPGPKKAPMDSLFDYGTYRHHPSDN KRWRRKVVEKQPQSPKAPAPQPPPILKVFNRPILFDIVSRGSTADLDGLLSFLLTHKKRL TDEEFREPSTGKTCLPKALLNLSNGRNDTIPVLLDIAERTGNMREFINSPFRDIYYRGEL PLSLAACTNQPHIVNYLTENPHKKADMRRQDSRGNTVLHALVAIADNTRENTKFVTKMYD LLLLKCSRLFPDSNLETVLNNDGLSPLMMAAKTGKIGNRHEMLAVEPINELLRDKWRKFG AVSFYINVVSYLCAMVIFTLTAYYQPLEGTPPYPYRTTVDYLRLAGEVITLFTGVLFFFT SIKDLFTKKCPGVNSLFVDGSFQLLYFIYSVLVVVSAALYLAGIEAYLAVMVFALVLGWM NALYFTRGLKLTGTYSIMIQKILFKDLFRFLLVYLLFMIGYASALVTLLNPCTNMKVCDE DQSNCTVPTYPACRDSETFSAFLLDLFKLTIGMGDLEMLSSAKYPVVFILLLVTYIILTF VLLLNMLIALMGETVGQVSKESKHIWKLQWATTILDIERSFPVFLRKAFRSGEMVTVGKS SDGTPDRRWCFRVDEVNWSHWNQNLGIINEDPGKSEIYQYYGFSHTVGRLRRDRWSSVVP RVVELNKNSSADEVVVPLDNLGNPNCDGHQQGYAPKWRTDDAPL >ENSMUSP00000107836.1 pep:known chromosome:GRCm38:5:114622653:114644899:-1 gene:ENSMUSG00000014158.11 transcript:ENSMUST00000112217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv4 description:transient receptor potential cation channel, subfamily V, member 4 [Source:MGI Symbol;Acc:MGI:1926945] MADPGDGPRAAPGEVAEPPGDESGTSGGEAFPLSSLANLFEGEEGSSSLSPVDASRPAGP GDGRPNLRMKFQGAFRKGVPNPIDLLESTLYESSVVPGPKKAPMDSLFDYGTYRHHPSDN KRWRRKVVEKQPQSPKAPAPQPPPILKVFNRPILFDIVSRGSTADLDGLLSFLLTHKKRL TDEEFREPSTGKTCLPKALLNLSNGRNDTIPVLLDIAERTGNMREFINSPFRDIYYRGQT SLHIAIERRCKHYVELLVAQGADVHAQARGRFFQPKDEGGYFYFGELPLSLAACTNQPHI VNYLTENPHKKADMRRQDSRGNTVLHALVAIADNTRENTKFVTKMYDLLLLKCSRLFPDS NLETVLNNDGLSPLMMAAKTGKIGNRHEMLAVEPINELLRDKWRKFGAVSFYINVVSYLC AMVIFTLTAYYQPLEGTPPYPYRTTVDYLRLAGEVITLFTGVLFFFTSIKDLFTKKCPGV NSLFVDGSFQLLYFIYSVLVVVSAALYLAGIEAYLAVMVFALVLGWMNALYFTRGLKLTG TYSIMIQKILFKDLFRFLLVYLLFMIGYASALVTLLNPCTNMKVCDEDQSNCTVPTYPAC RDSETFSAFLLDLFKLTIGMGDLEMLSSAKYPVVFILLLVTYIILTFVLLLNMLIALMGE TVGQVSKESKHIWKLQWATTILDIERSFPVFLRKAFRSGEMVTVGKSSDGTPDRRWCFRV DEVNWSHWNQNLGIINEDPGKSEIYQYYGFSHTVGRLRRDRWSSVVPRVVELNKNSSADE VVVPLDNLGNPNCDGHQQGYAPKWRTDDAPL >ENSMUSP00000050330.4 pep:known chromosome:GRCm38:6:48676129:48678699:1 gene:ENSMUSG00000051124.6 transcript:ENSMUST00000054050.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap9 description:GTPase, IMAP family member 9 [Source:MGI Symbol;Acc:MGI:3511744] MAGQGDTEVRIILVGKTGNGKSATANTILGRRQFDSKICANAVTKTCQRAYREWKGKNLV VVDTPGLFDTKETMKTTCFEISRCVLYSCPGPHAIILVLRLDRYTEEEQKTVALIKGLFG EAALKYMIILFTHKEDLEDQSLDNFVSDAGEKLNNIISQCGKRYLAFNNKAALDEQENQV QQLIELTEKMVAQNGGSYFSDKIYKDIDSRLNHCLEELKETYAQQLTSEIERIEKEYAAK LEKGKAAQIVFAQRNHDEKLRNLKEKAEETVFMYIFQKIKEILSKLWDELW >ENSMUSP00000122830.1 pep:known chromosome:GRCm38:6:48677511:48679114:1 gene:ENSMUSG00000051124.6 transcript:ENSMUST00000147936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap9 description:GTPase, IMAP family member 9 [Source:MGI Symbol;Acc:MGI:3511744] IILVGKTGNGKSATANTILGRRQFDSKICANAVTKTCQRAYREWKGKNLVVVDTPGLFDT KETMKTTCFEISRCVLYSCPGPHAIILVLRLDRYTEEEQKTVALIKGLFGEAALKYMIIL FTHKEDLEDQSLDNFQST >ENSMUSP00000074264.2 pep:known chromosome:GRCm38:4:60135932:60139897:-1 gene:ENSMUSG00000078688.11 transcript:ENSMUST00000074700.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup2 description:major urinary protein 2 [Source:MGI Symbol;Acc:MGI:97234] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVQKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000126948.2 pep:known chromosome:GRCm38:4:60136848:60139857:-1 gene:ENSMUSG00000078688.11 transcript:ENSMUST00000107490.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup2 description:major urinary protein 2 [Source:MGI Symbol;Acc:MGI:97234] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVQKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000144728.1 pep:known chromosome:GRCm38:11:114922781:114934371:-1 gene:ENSMUSG00000063193.8 transcript:ENSMUST00000149663.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300lb description:CD300 antigen like family member B [Source:MGI Symbol;Acc:MGI:2685099] MEMLRQNDTDTYWCGIEKFGTDRGTRVKVNVYSVGKDTMSTSNQLPWPTVDGSTDMVSSD LQKRTYYMLLVFVKVPALLILVGAVLWLKRSTQKVPEEQWRHTLCSDLDSELLAKDISP >ENSMUSP00000102191.2 pep:known chromosome:GRCm38:11:114922781:114934386:-1 gene:ENSMUSG00000063193.8 transcript:ENSMUST00000106581.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300lb description:CD300 antigen like family member B [Source:MGI Symbol;Acc:MGI:2685099] MSKTLRGNLDKQNESAEISRALGIPLRFGFAAGCSSRSAAKWEGRTMWLSPALLLLSFPG CLSIQGPALVRGPEQGSVTVQCRYSSRWQTNKKWWCRGASWSTCRVLIRSTGSEKETKSG RLSIRDNQKNHSFQVTMEMLRQNDTDTYWCGIEKFGTDRGTRVKVNVYSVGKDTMSTSNQ LPWPTVDGSTDMVSSDLQKRTYYMLLVFVKVPALLILVGAVLWLKRSTQKVPEEQWRHTL CSDLDSELLAKDISP >ENSMUSP00000100021.1 pep:known chromosome:GRCm38:14:26413168:26534931:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000102956.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] MPSALAIFTCRPNSHPFQERHVYLDEPIKIGRSVARCRPAQNNATFDCKVLSRNHALVWF DHKTSKFYLQDTKSSNGTFINSQRLSRGSEESPPCEILSGDIIQFGVDVTENTRKVTHGC IVSTIKLFLPDGMEARLRSDVIHAPLPSPVDKVAANTPSMYSQELFQLSQYLQEALHREQ MLEQKLATLQRLLAITQEASDTSWQALIDEDRLLSRLEVMGNQLQACSKNQTEDSLRKEL IALQEDKHSYETTAKESLRRVLQEKIEVVRKLSEVERSLSNTEDECTHLKEMNERTQEEL RELANKYNGAVNEIKDLSDKLKVAEGKQEEIQQKGQAEKKELQTKIDEMEEKEQELQAKI EALQADNDFTNERLTALQVRLEHLQEKTLKECSSLGIQVDDFLPKINGSTEKEKLMVQGH LTKVVEESKLSKENQAKAKESDLSDTLSPSKEKSSDDTTDAQMDEQDLNEPLAKVSLLKD DLQGTQSETEAKQDIQHLRKELVEAQELARTSKQKCFELQALLEEERKAYRNQVEESAKQ IQVLQVQLQKLHMDMENLQEEKDTEISSTRDKLLSAQDEILLLRQAAAEAVSERDTDFVS LQEELKKVRAELEGWRKAASEYENEIRSLQSSFQLRCQQCEDQQREEATRLQGELEKLKK EWDVLETECHSLKKENVLLSSELQRQEKELHNSQKQSFELTSDLSILQMTRKELEKQVGS LKEQHLRDAADLKTLLSKAENQAKDVQKEYEKTQTVLSELKLKFEMTEQEKQSITDELKQ CKDNLKLLREKGNNPSILQPVPAVFIGLFLAFLFWCFGPLW >ENSMUSP00000107950.2 pep:known chromosome:GRCm38:14:26413173:26473954:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000112331.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] VSTIKLFLPDGMEARLRSDVIHAPLPSPVDKVAANTPSMYSQELFQLSQYLQEALHREQM LEQKLATLQRLLAITQEASDTSWQALIDEDRLLSRLEVMGNQLQACSKNQTEDSLRKELI ALQEDKHSYETTAKESLRRVLQEKIEVVRKLSEVERSLSNTEDECTHLKEMNERTQEELR ELANKYNGAVNEIKDLSDKLKVAEGKQEEIQQKGQAEKKELQTKIDEMEEKEQELQAKIE ALQADNDFTNERLTALQEHLLSKSGGDCTFIHQFLECQKKLMVQGHLTKVVEESKLSKEN QAKAKESDLSDTLSPSKEKSSDDTTDAQMDEQDLNEPLAKVSLLKDDLQGTQSETEAKQD IQHLRKELVEAQELARTSKQKCFELQALLEEERKAYRNQVEESAKQIQVLQVQLQKLHMD MENLQEEKDTEISSTRDKLLSAQDEILLLRQAAAEAVSERDTDFVSLQEELKKVRAELEG WRKAASEYENEIRSLQSSFQLRCQQCEDQQREEATRLQGELEKLKKEWDVLETECHSLKK ENVLLSSELQRQEKELHNSQKQSFELTSDLSILQMTRKELEKQVGSLKEQHLRDAADLKT LLSKAENQAKDVQKEYEKTQTVLSELKLKFEMTEQEKQSITDELKQCKDNLKLLREKGNN KPWPWMPMLAALVAVTAMVLYVPGLARASP >ENSMUSP00000117276.1 pep:known chromosome:GRCm38:14:26413174:26460185:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000145738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] XQQKGQAEKKELQTKIDEMEEKEQELQAKIEALQADNDFTNERLTALQVRLEHLQEKTLK ECSSLEKLMVQGHLTKVVEESKLSKENQAKAKESDLSDTLSPSKEKSSDDTTDAQMDEQD LNEPLAKVSLLKDDLQGTQSETEAKQDIQHLRKELVEAQELARTSKQKCFELQALLEEER KAYRNQVEESAKQIQVLQVQLQKLHMDMENLQEEKDTEISSTRDKLLSAQDEILLLRQAA AEAVSERDTDFVSLQEELKKVRAELEGWRKAASEYENEIRSLQSSFQLRCQQCEDQQREE ATRLQGELEKLKKEWDVLETECHSLKKENVLLSSELQRQEKELHNSQKQSFELTSDLSIL QMTRKELEKQVGSLKEQHLRDAADLKTLLSKAENQAKDVQKEYEKTQTVLSELKLKFEMT EQEKQSITDELKQCKDNLKLLREKGNNKPWPWMPMLAALVAVTAMVLYVPGLARASP >ENSMUSP00000087836.4 pep:known chromosome:GRCm38:14:26413400:26533618:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000090359.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] MPSALAIFTCRPNSHPFQERHVYLDEPIKIGRSVARCRPAQNNATFDCKVLSRNHALVWF DHKTSKFYLQDTKSSNGTFINSQRLSRGSEESPPCEILSGDIIQFGVDVTENTRKVTHGC IVSTIKLFLPDGMEARLRSDVIHAPLPSPVDKVAANTPSMYSQELFQLSQYLQEALHREQ MLEQKLATLQRLLAITQEASDTSWQALIDEDRLLSRLEVMGNQLQACSKNQTEDSLRKEL IALQEDKHSYETTAKESLRRVLQEKIEVVRKLSEVERSLSNTEDECTHLKEMNERTQEEL RELANKYNGAVNEIKDLSDKLKVAEGKQEEIQQKGQAEKKELQTKIDEMEEKEQELQAKI EALQADNDFTNERLTALQVRLEHLQEKTLKECSSLEHLLSKSGGDCTFIHQFLECQKKLM VQGHLTKVVEESKLSKENQAKAKESDLSDTLSPSKEKSSDDTTDAQMDEQDLNEPLAKVS LLKDDLQGTQSETEAKQDIQHLRKELVEAQELARTSKQKCFELQALLEEERKAYRNQVEE SAKQIQVLQVQLQKLHMDMENLQEEKDTEISSTRDKLLSAQDEILLLRQAAAEAVSERDT DFVSLQEELKKVRAELEGWRKAASEYENEIRSLQSSFQLRCQQCEDQQREEATRLQGELE KLKKEWDVLETECHSLKKENVLLSSELQRQEKELHNSQKQSFELTSDLSILQMTRKELEK QVGSLKEQHLRDAADLKTLLSKAENQAKDVQKEYEKTQTVLSELKLKFEMTEQEKQSITD ELKQCKDNLKLLREKGNNKPWPWMPMLAALVAVTAMVLYVPGLARASP >ENSMUSP00000107949.1 pep:known chromosome:GRCm38:14:26413400:26533618:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000112330.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] MPSALAIFTCRPNSHPFQERHVYLDEPIKIGRSVARCRPAQNNATFDCKVLSRNHALVWF DHKTSKFYLQDTKSSNGTFINSQRLSRGSEESPPCEILSGDIIQFGVDVTENTRKVTHGC IVSTIKLFLPDGMEARLRSDVIHAPLPSPVDKVAANTPSMYSQELFQLSQYLQEALHREQ MLEQKLATLQRLLAITQEASDTSWQALIDEDRLLSRLEVMGNQLQACSKNQTEDSLRKEL IALQEDKHSYETTAKESLRRVLQEKIEVVRKLSEVERSLSNTEDECTHLKEMNERTQEEL RELANKYNGAVNEIKDLSDKLKVAEGKQEEIQQKGQAEKKELQTKIDEMEEKEQELQAKI EALQADNDFTNERLTALQEKLMVQGHLTKVVEESKLSKENQAKAKESDLSDTLSPSKEKS SDDTTDAQMDEQDLNEPLAKVSLLKDDLQGTQSETEAKQDIQHLRKELVEAQELARTSKQ KCFELQALLEEERKAYRNQVEESAKQIQVLQVQLQKLHMDMENLQEEKDTEISSTRDKLL SAQDEILLLRQAAAEAVSERDTDFVSLQEELKKVRAELEGWRKAASEYENEIRSLQSSFQ LRCQQCEDQQREEATRLQGELEKLKKEWDVLETECHSLKKENVLLSSELQRQEKELHNSQ KQSFELTSDLSILQMTRKELEKQVGSLKEQHLRDAADLKTLLSKAENQAKDVQKEYEKTQ TVLSELKLKFEMTEQEKQSITDELKQCKDNLKLLREKGNNKPWPWMPMLAALVAVTAMVL YVPGLARASP >ENSMUSP00000117816.1 pep:known chromosome:GRCm38:14:26414296:26534895:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000139075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] MPSALAIFTCRPNSHPFQERHVYLDEPIKIGRSVARCRPAQNNATFDCKVLSRNHALVWF DHKTSKFYLQDTKSSNGTFINSQRLSRGSEESPPCEILSGDIIQFGVDVTENTRKVTHGC IVSTIKLFLPDGMEARLRSDVIHAPLPSPVDKVAANTPSMYSQELFQLSQYLQEALHREQ MLEQKLATLQRLLAITQEASDTSWQALIDEDRLLSRLEVMGNQLQACSKNQTEDSLRKEL IALQEDKHSYETTAKESLRRVLQEKIEVVRKLSEVERSLSNTEDECTHLKEMNERTQEEL RELANKYNGAVNEIKDLSDKLKVAEGKQEEIQQKGQAEKKELQTKIDEMEEKEQELQAKI EALQADNDFTNERLTALQVRLEHLQEKTLKECSSLGIQVDDFLPKINGSTEKEHLLSKSG GDCTFIHQFLECQKKLMVQGHLTKVVEESKLSKENQAKAKESDLSDTLSPSKEKSSDDTT DAQMDEQDLNEPLAKVSLLKDDLQGTQSETEAKQDIQHLRKELVEAQELARTSKQKCFEL QALLEEERKAYRNQVEESAKQIQVLQVQLQKLHMDMENLQEEKDTEISSTRDKLLSAQDE ILLLRQAAAEAVSERDTDFVSLQEELKKVRAELEGWRKAASEYENEIRSLQSSFQLRCQQ CEDQQREEATRLQGELEKLKKEWDVLETECHSLKKENVLLSSELQRQEKELHNSQKQSFE LTSDLSILQMTRKELEKQVGSLKEQHLRDAADLKTLLSKAENQAKDVQKEYEKTQTVLSE LKLKFEMTEQEKQSITDELKQCKDNLKLLREKGNNKPWPWMPMLAALVAVTAMVLYVPGL ARASP >ENSMUSP00000123072.1 pep:known chromosome:GRCm38:14:26414730:26460172:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000142679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] XQAEKKELQTKIDEMEEKEQELQAKIEALQADNDFTNERLTALQENQAKAKESDLSDTLS PSKEKSSDDTTDAQMDEQDLNEPLAKVSLLKDDLQGTQSETEAKQDIQHLRKELVEAQEL ARTSKQKCFELQALLEEERKAYRNQVEESAKQIQVLQVQLQKLHMDMENLQEEKDTEISS TRDKLLSAQDEILLLRQAAAEAVSERDTDFVSLQEELKKVRAELEGWRKAASEYENEIRS LQSSFQLRCQQCEDQQREEATRLQGELEKLKKEWDVLETECHSLKKENVLLSSELQRQEK ELHNSQKQSFELTSDLSILQMTRKELEKQVGSLKEQHLRDAADLKTLLSKAENQAKDVQK EYEKTQTVLSELKLKFEMTEQEKQSITDELKQCKDNLKLLREKGNNPSILQPVPAVFIGL FLAFLFWCFGPLW >ENSMUSP00000114601.1 pep:known chromosome:GRCm38:14:26422450:26442824:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000136635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] MVQGHLTKVVEESKLSKENQAKAKESDLSDTLSPSKEKSSDDTTDAQMDEQDLNEPLAKV SLLKALLEEERKAYRNQVEESAKQIQVLQVQLQKLHMDMENLQEEKDTEISSTRDKLLSA QDEILLLRQAAAEAVSERDTDFVSLQEELKKVRAELEGWRKAASEYENEIRSLQSSFQLR CQQCEDQQREEATRLQGELEKLKKEWDVLETECHSLKKENVLLSSELQRQEKELHNSQKQ SFELTSDLSILQMTRKELEKQVGSLKEQHLRDAADLKTLLSKA >ENSMUSP00000123199.1 pep:known chromosome:GRCm38:14:26427548:26442890:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000125437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] MVQGHLTKVVEESKLSKENQAKAKESDLSDTLSPSKEKSSDDTTDAQMDEQDLNEPLAKV SLLKDDLQGTQSETEAKQDIQHLRKELVEAQELARTSKQKCFELQALLEEERKAYRNQVE ESAKQIQVLQVQL >ENSMUSP00000123344.1 pep:known chromosome:GRCm38:14:26442624:26463694:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000146438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] XKELIALQEDKHSYETTAKESLRRVLQEKIEVVRKLSEVERSLSNTEDECTHLKEMNERT QEELRELANKYNGAVNEIKDLSDKLKVAEGKQEEIQQKGQAEKKELQTKIDEMEEKEQEL QAKIEALQADNDFTNERLTALQGIQVDDFLPKINGSTEKEHLLSKSGGDCTFIHQFLECQ KKLM >ENSMUSP00000046956.3 pep:known chromosome:GRCm38:14:26413175:26533740:-1 gene:ENSMUSG00000021870.17 transcript:ENSMUST00000038522.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmap description:sarcolemma associated protein [Source:MGI Symbol;Acc:MGI:1933549] MPSALAIFTCRPNSHPFQERHVYLDEPIKIGRSVARCRPAQNNATFDCKVLSRNHALVWF DHKTSKFYLQDTKSSNGTFINSQRLSRGSEESPPCEILSGDIIQFGVDVTENTRKVTHGC IVSTIKLFLPDGMEARLRSDVIHAPLPSPVDKVAANTPSMYSQELFQLSQYLQEALHREQ MLEQKLATLQRLLAITQEASDTSWQALIDEDRLLSRLEVMGNQLQACSKNQTEDSLRKEL IALQEDKHSYETTAKESLRRVLQEKIEVVRKLSEVERSLSNTEDECTHLKEMNERTQEEL RELANKYNGAVNEIKDLSDKLKVAEGKQEEIQQKGQAEKKELQTKIDEMEEKEQELQAKI EALQADNDFTNERLTALQVRLEHLQEKTLKECSSLGIQVDDFLPKINGSTEKEKLMVQGH LTKVVEESKLSKENQAKAKESDLSDTLSPSKEKSSDDTTDAQMDEQDLNEPLAKVSLLKD DLQGTQSETEAKQDIQHLRKELVEAQELARTSKQKCFELQALLEEERKAYRNQVEESAKQ IQVLQVQLQKLHMDMENLQEEKDTEISSTRDKLLSAQDEILLLRQAAAEAVSERDTDFVS LQEELKKVRAELEGWRKAASEYENEIRSLQSSFQLRCQQCEDQQREEATRLQGELEKLKK EWDVLETECHSLKKENVLLSSELQRQEKELHNSQKQSFELTSDLSILQMTRKELEKQVGS LKEQHLRDAADLKTLLSKAENQAKDVQKEYEKTQTVLSELKLKFEMTEQEKQSITDELKQ CKDNLKLLREKGNNPSILQPVPAVFIGLFLAFLFWCFGPLW >ENSMUSP00000032704.5 pep:known chromosome:GRCm38:7:35392152:35396836:-1 gene:ENSMUSG00000030493.13 transcript:ENSMUST00000032704.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faap24 description:Fanconi anemia core complex associated protein 24 [Source:MGI Symbol;Acc:MGI:2142208] MERNPPDGTGPVHVPLGHIVASEKWRGSQLAQEMQGKVRLIFEEGLASADFYLSSKSCIL YVTEADLVAGHGYRKRLARFRNSSHLQGIIIVEKTQMSEQYFPAVQKFTVLDLGMVLLPV ASQSEASCLIIHLVQEQTREPSKNPFLRKKRSMLSELSLVQTVQQIPGVGKVKAPLLLQK FPSIQQLSNASVQELEEVVGPAAAQQIHTFFTQPKRQQPRS >ENSMUSP00000115766.1 pep:known chromosome:GRCm38:7:35392696:35396708:-1 gene:ENSMUSG00000030493.13 transcript:ENSMUST00000154597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faap24 description:Fanconi anemia core complex associated protein 24 [Source:MGI Symbol;Acc:MGI:2142208] MERNPPDGTGPVHVPLGHIVASEKWRGSQLAQEMQGKVRLIFEEGLASADFYLSSKSCIL YVTEADLVAGHGYRKRLARFRNSSHLQGIIIVEKTQMSEQYFPAVQKFTVLDLGMVLLPV ASQSEASCLIIHLVQEQTREPSKNPFLRKKRSMLSELSLVQTVQQIPGVGKVKAPLLLQK FPSIQQLSNASVQELEEVVGPAAAQQIHTFFTQPKRQQPRS >ENSMUSP00000101095.2 pep:known chromosome:GRCm38:10:61715263:61738653:1 gene:ENSMUSG00000020085.15 transcript:ENSMUST00000105455.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aifm2 description:apoptosis-inducing factor, mitochondrion-associated 2 [Source:MGI Symbol;Acc:MGI:1918611] MGSQVSVDTGAVHVVIVGGGFGGIAAASQLQALNVPFMLVDMKDSFHHNVAALRASVESG FAKKTFISYSATFKDNFRQGKVIGIDLKNRMVLLQGGEALPFSHLILATGSTGPFPGKFN EVSCQQAAIQAYEDMVKQIQRSQFIVVVGGGSAGVEMAAEIKTEYPEKEVTLIHSRVPLA DKELLPCVRQEVKEILLRKGVQLLLSERVSNLEELPRNEYREYIKVETDKGTEVATNMVI VCNGIKINSSAYRSAFESRLASNGALKVNEFLQVEGYSNIYAIGDCADTKEPKMAYHAGL HANVAVANIVNSMKQRPLKAYKPGALTFLLSMGRNDGVGQISGFYVGRLMVRLAKSRDLL ISTSWKTMRQSPP >ENSMUSP00000070054.4 pep:known chromosome:GRCm38:10:61715311:61739260:1 gene:ENSMUSG00000020085.15 transcript:ENSMUST00000067857.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aifm2 description:apoptosis-inducing factor, mitochondrion-associated 2 [Source:MGI Symbol;Acc:MGI:1918611] MGSQVSVDTGAVHVVIVGGGFGGIAAASQLQALNVPFMLVDMKDSFHHNVAALRASVESG FAKKTFISYSATFKDNFRQGKVIGIDLKNRMVLLQGGEALPFSHLILATGSTGPFPGKFN EVSCQQAAIQAYEDMVKQIQRSQFIVVVGGGSAGVEMAAEIKTEYPEKEVTLIHSRVPLA DKELLPCVRQEVKEILLRKGVQLLLSERVSNLEELPRNEYREYIKVETDKGTEVATNMVI VCNGIKINSSAYRSAFESRLASNGALKVNEFLQVEGYSNIYAIGDCADTKEPKMAYHAGL HANVAVANIVNSMKQRPLKAYKPGALTFLLSMGRNDGVGQISGFYVGRLMVRLAKSRDLL ISTSWKTMRQSPP >ENSMUSP00000097297.2 pep:known chromosome:GRCm38:10:61715323:61738647:1 gene:ENSMUSG00000020085.15 transcript:ENSMUST00000099706.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aifm2 description:apoptosis-inducing factor, mitochondrion-associated 2 [Source:MGI Symbol;Acc:MGI:1918611] MGSQVSVDTGAVHVVIVGGGFGGIAAASQLQALNVPFMLVDMKDSFHHNVAALRASVESG FAKKTFISYSATFKDNFRQGKVIGIDLKNRMVLLQGGEALPFSHLILATGSTGPFPGKFN EVSCQQAAIQAYEDMVKQIQRSQFIVVVGGGSAGVEMAAEIKTEYPEKEVTLIHSRVPLA DKELLPCVRQEVKEILLRKGVQLLLSERVSNLEELPRNEYREYIKVETDKGTEVATNMVI VCNGIKINSSAYRSAFESRLASNGALKVNEFLQVEGYSNIYAIGDCADTKEPKMAYHAGL HANVAVANIVNSMKQRPLKAYKPGALTFLLSMGRNDGVGQISGFYVGRLMVRLAKSRDLL ISTSWKTMRQSPP >ENSMUSP00000078998.5 pep:known chromosome:GRCm38:10:61720649:61738653:1 gene:ENSMUSG00000020085.15 transcript:ENSMUST00000080099.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aifm2 description:apoptosis-inducing factor, mitochondrion-associated 2 [Source:MGI Symbol;Acc:MGI:1918611] MGSQVSVDTGAVHVVIVGGGFGGIAAASQLQALNVPFMLVDMKDSFHHNVAALRASVESG FAKKTFISYSATFKDNFRQGKVIGIDLKNRMVLLQGGEALPFSHLILATGSTGPFPGKFN EVSCQQAAIQAYEDMVKQIQRSQFIVVVGGGSAGVEMAAEIKTEYPEKEVTLIHSRVPLA DKELLPCVRQEVKEILLRKGVQLLLSERVSNLEELPRNEYREYIKVETDKGTEVATNMVI VCNGIKINSSAYRSAFESRLASNGALKVNEFLQVEGYSNIYAIGDCADTKEPKMAYHAGL HANVAVANIVNSMKQRPLKAYKPETDQPPAALSPALLLWTPARKLTLSEGRINYLQEAGH VRTLQSMAGLFSDRPCVISL >ENSMUSP00000129178.1 pep:known chromosome:GRCm38:15:102326116:102331873:1 gene:ENSMUSG00000001289.12 transcript:ENSMUST00000166658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn5 description:prefoldin 5 [Source:MGI Symbol;Acc:MGI:1928753] MAQSINITELNLPQLEMLKNQLDQEVEFLSTSIAQLKVVQTKYVEAKDCLNVLNKSNEGK ELLVPLTSSMYVPGKLHDVEHVLIDVGTGYYVEKTAEDAKDFFKRKIDFLTKQMEKIQPA LQEKHAMKQAVMEMMSQKIQQLTALGAAQATVKA >ENSMUSP00000126970.1 pep:known chromosome:GRCm38:15:102326148:102331410:1 gene:ENSMUSG00000001289.12 transcript:ENSMUST00000062492.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn5 description:prefoldin 5 [Source:MGI Symbol;Acc:MGI:1928753] MYVPGKLHDVEHVLIDVGTGYYVEKTAEDAKDFFKRKIDFLTKQMEKIQPALQEKHAMKQ AVMEMMSQKIQQLTALGAAQATVKA >ENSMUSP00000131245.1 pep:known chromosome:GRCm38:15:102326189:102331349:1 gene:ENSMUSG00000001289.12 transcript:ENSMUST00000170627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn5 description:prefoldin 5 [Source:MGI Symbol;Acc:MGI:1928753] MAQSINITELNLPQLEMLKNQLDQMYVPGKLHDVEHVLIDVGTGYYVEKTAEDAKDFFKR KIDFLTKQMEKIQPALQEKHAMKQAVMEMMSQKIQQLTALGAAQATVKA >ENSMUSP00000001335.6 pep:known chromosome:GRCm38:15:102326189:102331486:1 gene:ENSMUSG00000001289.12 transcript:ENSMUST00000001335.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfdn5 description:prefoldin 5 [Source:MGI Symbol;Acc:MGI:1928753] MAQSINITELNLPQLEMLKNQLDQEVEFLSTSIAQLKVVQTKYVEAKDCLNVLNKSNEDV RPREATRCGACAY >ENSMUSP00000128263.1 pep:known chromosome:GRCm38:15:102326383:102328817:1 gene:ENSMUSG00000001289.12 transcript:ENSMUST00000169637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn5 description:prefoldin 5 [Source:MGI Symbol;Acc:MGI:1928753] MYVPGKLHDVEHVLIDVGTGYYVEKTAEDAKDFFKRKIDFLTKQMEKIQPALQEKHAMKQ >ENSMUSP00000128526.1 pep:known chromosome:GRCm38:15:102326391:102331462:1 gene:ENSMUSG00000001289.12 transcript:ENSMUST00000165671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn5 description:prefoldin 5 [Source:MGI Symbol;Acc:MGI:1928753] MYVPGKLHDVEHVLIDVGTGYYVEKTAEDAKDFFKRKIDFLTKQMEKIQPALQEKHAMKQ AVMEMMSQKIQQLTALGAAQATVKA >ENSMUSP00000132441.1 pep:known chromosome:GRCm38:15:102326410:102331307:1 gene:ENSMUSG00000001289.12 transcript:ENSMUST00000165717.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn5 description:prefoldin 5 [Source:MGI Symbol;Acc:MGI:1928753] MYVPGKLHDVEHVLIDVGTGYYVEKTAEDAKDFFKRKIDFLTKQMEKIQPALQEKHAMKQ AVMEMMSQKIQQ >ENSMUSP00000092624.1 pep:known chromosome:GRCm38:1:43047200:43098622:-1 gene:ENSMUSG00000070939.9 transcript:ENSMUST00000095014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbrap1 description:transforming growth factor, beta receptor associated protein 1 [Source:MGI Symbol;Acc:MGI:2447427] MMNIKAFTLVSAVERELLMGDRDHISIECVECCGRNLYVGTNDCFIYHFLLEEKAMPTGT ATFVATKQLHRHLGFKKPVNELCAASALNRLLVLCDNSITLVNMLNLEPVPSGARIKGAT TFAVNESPVNGDPFCVEVCIISVKRRTVQMFLVYEDRVQIVKEVSTPEQPLAVAVDGYFL CLALTTQYIILNYSTGLSQDLFPYCSEEKPPIVKRIGRQEFLLAGPGGLGMFATVAGISQ RAPVHWSENVIGAAVCFPYVIALDDEFITVHSMLDQQQKQTLPFKEGHILQDFEGRVIVA TSKGVYILVPLPLEKQIQDLLANRRVEEALVLAKGARRNIPKEKFQVMYRRILQQAGFIQ FAQLQFLEAKELFRSSQLDVRELISLYPFLLPTSSSFTRSHPPLHEYADLNQLTQGDQEK MAKCKRFLMSYLNEIRSTEVANGYKEDIDTALLKLYAEADHDSLLDLLVTENFCLLTDSA AWLEKHKKYFALGLLYHYNKQDASAVQLWVNIVNGDIQDSTRSDLYEYIVDFLTYCLDQE LVWTHADWLLQKSEEIGVQIFTKRPLDEQQQTSFNPDNIISSLKKYPKALVKYLEHLVID RRLQKEEYHTHLAILYLEEVLRQRVSTGGKDVEATETQAKLRRLLQKSDLYRVHLLKEKV QGAGLPMESAILHGKLGEHEKALHILVHEMGDFSAAEDYCLWSSEGQGAACRQRLFHTLL AMYLRAGPSAQDLTVAAVDLLNHHAREFDVTQVLQLLPDTWSVQLLCPFLMGAMRDSIHA RRTTQVALGLAKSENLIYMYDKMKLKGNAVRLSERELCQLCQNPFGEPVFVRYPNGGLVH THCAASRHTAPSSPSPGTRT >ENSMUSP00000140132.1 pep:known chromosome:GRCm38:1:43047220:43092729:-1 gene:ENSMUSG00000070939.9 transcript:ENSMUST00000186694.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbrap1 description:transforming growth factor, beta receptor associated protein 1 [Source:MGI Symbol;Acc:MGI:2447427] MMNIKAFTLVSAVERELLMGDRDHISIECVECCGRNLYVGTNDCFIYHFLLEEKAMPTGT ATFVATKQLHRHLGFKKPVNELCAASALNRLLVLCDNSITLVNMLNLEPVPSGARIKGAT TFAVNESPVNGDPFCVEVCIISVKRRTVQMFLVYEDRVQIVKEVSTPEQPLAVAVDGYFL CLALTTQYIILNYSTGLSQDLFPYCSEEKPPIVKRIGRQEFLLAGPGGLGMFATVAGISQ RAPVHWSENVIGAAVCFPYVIALDDEFITVHSMLDQQQKQTLPFKEGHILQDFEGRVIVA TSKGVYILVPLPLEKQIQDLLANRRVEEALVLAKGARRNIPKEKFQVMYRRILQQAGFIQ FAQLQFLEAKELFRSSQLDVRELISLYPFLLPTSSSFTRSHPPLHEYADLNQLTQGDQEK MAKCKRFLMSYLNEIRSTEVANGYKEDIDTALLKLYAEADHDSLLDLLVTENFCLLTDSA AWLEKHKKYFALGLLYHYNKQDASAVQLWVNIVNGDIQDSTRSDLYEYIVDFLTYCLDQE LVWTHADWLLQKSEEIGVQIFTKRPLDEQQQTSFNPDNIISSLKKYPKALVKYLEHLVID RRLQKEEYHTHLAILYLEEVLRQRVSTGGKDVEATETQAKLRRLLQKSDLYRVHLLKEKV QGAGLPMESAILHGKLGEHEKALHILVHEMGDFSAAEDYCLWSSEGQGAACRQRLFHTLL AMYLRAGPSAQDLTVAAVDLLNHHAREFDVTQVLQLLPDTWSVQLLCPFLMGAMRDSIHA RRTTQVALGLAKSENLIYMYDKMKLKGNAVRLSERELCQLCQNPFGEPVFVRYPNGGLVH THCAASRHTAPSSPSPGTRT >ENSMUSP00000140169.1 pep:known chromosome:GRCm38:1:43059891:43092447:-1 gene:ENSMUSG00000070939.9 transcript:ENSMUST00000188728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbrap1 description:transforming growth factor, beta receptor associated protein 1 [Source:MGI Symbol;Acc:MGI:2447427] MFATVAGISQRAPVHWSENVIGAAVCFPYVIALDDEFITVHSMLDQQQKQTLPFKEGHIL QDFEGRVIVATSKGVYILVPLPLEKQIQDLLANRRVEEALVLAKGARRNIPKEKFQVMYR RILQQAGFIQFAQLQFLEAKELFRSSQLDVRELISLYPFLLPTSSSFTRSHPPLHEYADL NQLTQGDQEKMAKCKRFLMSYLNEIRSTEVANGYKEDIDTALLKLYAEADHDSLLDLLVT ENFCLLTDSAAWLE >ENSMUSP00000140384.1 pep:known chromosome:GRCm38:1:43071496:43098608:-1 gene:ENSMUSG00000070939.9 transcript:ENSMUST00000190427.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbrap1 description:transforming growth factor, beta receptor associated protein 1 [Source:MGI Symbol;Acc:MGI:2447427] MFATVAGISQRAPVHWSENVIGAAVCFPYVIALDDEFITVHSMLDQQQKQTLP >ENSMUSP00000140413.1 pep:known chromosome:GRCm38:1:43075616:43098364:-1 gene:ENSMUSG00000070939.9 transcript:ENSMUST00000189010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbrap1 description:transforming growth factor, beta receptor associated protein 1 [Source:MGI Symbol;Acc:MGI:2447427] MMNIKAFTLVSAVERELLMGDRDHISIECVECCGRNLYVGTNDCFIYHFLLEEKAMPTGT ATFVATKQLHRHLGFKKPVNELCAASALNRLLVLCDNSITLVNMLNL >ENSMUSP00000021963.4 pep:known chromosome:GRCm38:13:55623005:55632411:1 gene:ENSMUSG00000021501.4 transcript:ENSMUST00000021963.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caml description:calcium modulating ligand [Source:MGI Symbol;Acc:MGI:104728] MEPVPAATDGGERPATPSGLSASQRRAELRRRKLLMNSEQRINRIMGFHRPGSGSEEENQ TKSKPQDSDKLNSLSIPSVSKRVVLGDSVDGGGADQLGGVAEVRGTQLGDKLDSFIKAPE CSSKDGAELRQRTRGDLTADPAQRASHHGLEQYLSRFEEAMKLRKQLISEKPSQEDGSTA EEFDSFRIFRLVGCALLALGVRAFVCKYLSIFAPFLTLQLAYMGLYKYFPKGEKKVKTTV LTAALLLSGIPAEVINRSMDTYSKMGEVFTDLCVYFFTFIFCHELLDYWGPEVP >ENSMUSP00000112588.1 pep:known chromosome:GRCm38:9:31280538:31330618:1 gene:ENSMUSG00000042496.17 transcript:ENSMUST00000117389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm10 description:PR domain containing 10 [Source:MGI Symbol;Acc:MGI:2682952] MDPKDESAHVWPTSADHEQSTAQVHFVPDAGTVAQIVYTDDQVRPPQQVVYTADGASYTS VDGPEHTLVYIHPVEAAQTLFTDPAQVAYVQQDATAQQVLPSIESVHGSDPLATLQNPIA RLDAKEEEEEEEDEDEDTEEEEEEDAEDTDVDDWQPDPPRPFDPHDLWCEECNNAHSSVC PKHGPLHPIPNRPVLTRARASLPLVLYIDRFLGGVFSKRRIPKRTQFGPVEGPLVRGSEL KDCYIHLKVSLDKGDRKDRDLHEDLWFELSDETLCNWMMFVRPAQNHLEQNLVAYQYGHH VYYTTIKNVEPKQELKVQNWIHSCLPARVMIRALSYKRILP >ENSMUSP00000074104.3 pep:known chromosome:GRCm38:9:31315107:31378543:1 gene:ENSMUSG00000042496.17 transcript:ENSMUST00000074510.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm10 description:PR domain containing 10 [Source:MGI Symbol;Acc:MGI:2682952] MASCGTVLQMLQAWLLFPQVHFVPDAGTVAQIVYTDDQVRPPQQVVYTADGASYTSVDGP EHTLVYIHPVEAAQTLFTDPAQVAYVQQDATAQQVSTFFIGSRGFEAFDFSLSSLSLAGC EECNNAHSSVCPKHGPLHPIPNRPVLTRARASLPLVLYIDRFLGGVFSKRRIPKRTQFGP VEGPLVRGSELKDCYIHLKVSLDKGDRKDRDLHEDLWFELSDETLCNWMMFVRPAQNHLE QNLVAYQYGHHVYYTTIKNVEPKQELKVWYAASYAEFVNQKIHDISEEERKVLREQEKNW PCYECNRRFISSEQLQQHLNSHDEKLDVFTRTRGRGRGRGKRRFGPGRRPGRPPKFIRLE ITSENGEKSDDGTQDLLHFPTKEQFDEAEPATLNGLDQPEQASIPIPQLPQETPPSLEQE PETHTLHLQPQQEESLVPTQTTLTADDMRRAKRIRNAALQHLFIRKSFRPFKCLQCGKAF REKDKLDQHLRFHGREGNCPLTCDLCNKGFISSASLESHMKLHSDQKTYSCIFCPESFDR LDLLKDHVAIHVNDGCFTCPTCKKRFPDFIQVKKHVRSFHSEKIYQCTECDKAFCRPDKL RLHMLRHSDRKDFLCSTCGKQFKRKDKLREHMQRMHNPEREAKKADRISRSKTFKPRITS TDYDSFTFKCRLCMMGFRRRGMLVNHLSKRHPDMKIEEVPELTLPIIKPNRDYFCQYCDK NEMSYFALSKKVALYIAFMVKYLTLQVYKSASKRKAHILKNHPGAELPPSIRKLRPAGPG EPDPMLSTHTQLTGTIATPPVCCPHCSKQYSSKTKMVQHIRKKHPEYAQLPNTIHTPLTT AVISATPAVLTTDSATGETVVTTDLLTQAMTELSQTLTTDYRTPQGDYQRIQYIPVSQSA SGLQQPQHIQLQVVQVAPATSPHQSQQSTVDVGQLHDPQTYTQHAIQVQHIQVTEPAPAA PSASQVAGQPLSPSAQQVQQGLSPSHIQGSSSTQGQALQQQQNSSVQHTYLPNAWNSFRG YSAVSAGDTSHESASEIQMMTLPPGQFVITDSGVATPVTSGQVKAVTPGHYVLSESQPEL EEKQASALSGAVQVQPSAHSDSLDSTGPSQQQTTQYIITTTTNGNGGSEVHITKP >ENSMUSP00000030394.2 pep:known chromosome:GRCm38:4:119205107:119218217:-1 gene:ENSMUSG00000028642.6 transcript:ENSMUST00000030394.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930538K18Rik description:RIKEN cDNA 4930538K18 gene [Source:MGI Symbol;Acc:MGI:1922430] MLGTGDPNLHSSCILSRKKGRQGHHIMKHEQIGSQMTEFSWKDIINALALANMILGLFSI FCSFSRKSYCASWMLLISFLLDIAIGTMTKHLNIPHKLGLELNDFAIFTTFGLASALLLG VDGPLNGFLAIIYVLTTSFRMCFYSTGGATSGYKGLPCPYASCVLASTCLLTKGNTFILC CMASLMILFMIDQSCYPHDEILDSDNWKKIVYIGGVILLFFSPFPLTAFYCLTWSLSYIF SPETLWGRGVRIKP >ENSMUSP00000045608.6 pep:known chromosome:GRCm38:4:139082284:139092993:-1 gene:ENSMUSG00000041120.6 transcript:ENSMUST00000042844.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbl1 description:neuroblastoma, suppression of tumorigenicity 1 [Source:MGI Symbol;Acc:MGI:104591] MLWVLVGAVLPVMLLAAPPPINKLALFPDKSAWCEAKNITQIVGHSGCEAKSIQNRACLG QCFSYSVPNTFPQSTESLVHCDSCMPAQSMWEIVTLECPGHEEVPRVDKLVEKIVHCSCQ ACGKEPSHEGLNVYVQGEDSPGSQPGPHSHAHPHPGGQTPEPEEPPGAPQVEEEGAED >ENSMUSP00000037561.5 pep:known chromosome:GRCm38:1:164249046:164265385:1 gene:ENSMUSG00000040918.12 transcript:ENSMUST00000044021.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a2 description:solute carrier family 19 (thiamine transporter), member 2 [Source:MGI Symbol;Acc:MGI:1928761] MDVPARVSRRAAAAAARMLLRTARVPRECWFLPTALLCAYGFFANLRPSEPFLTPYLLGP DKNLTERQVYNEIYPVWTYSYLLLLFPVFLATDYLRYKPVILLQGLSLIVTWFMLLYAQG LLAIQFLEFFYGIATATEIAYYSYIYTVVDLGMYQKVTSYCRSATLVGFTVGSVLGQILV SVVGWSLFSLNVISLTCVSVAFAVAWFLPMPQKSLFFHHIPSSCHGVNGLKVQNGGIVTD TPAANHLPGWEDIESKIPLNLDEPPVEEPEEPKPDRLRVFRVLWNDFLMCYSSRPLLCWS VWWALSTCGYFQVVNYAQGLWEKVMPSQNADIYNGGVEAVSTLLGASAVFAVGYIKLSWS TWGEMTLFLCSLLIAAAVYVMDTVQSIWVCYASYVVFRIIYMVLITIATFQIAANLSMER YALVFGVNTFIALALQTLLTLIVVDARGLGLCITTQFLIYASYFAAISVVFLANGIVSII KKCRKQEDPSSSPQASTS >ENSMUSP00000123870.1 pep:known chromosome:GRCm38:1:164249055:164265369:1 gene:ENSMUSG00000040918.12 transcript:ENSMUST00000159230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a2 description:solute carrier family 19 (thiamine transporter), member 2 [Source:MGI Symbol;Acc:MGI:1928761] MDVPARVSRRAAAAAARMLLRTARVPRECWFLPTALLCAYGFFANLRPSEPFLTPYLLGP DKNLTERQVYNEIYPVWTYSYLLLLFPVFLATDYLRYKPVILLQGLSLIVTWFMLLYAQG LLAIQFLEFFYGIATATEIAYYSYIYTVVDLGMYQKVTSYCRSATLVGFTVGSVLGQILV SVVGWSLFSLNVISLTCVSVAFAVAWFLPMPQKSLFFHHIPSSCHGVNGLKEEPKPDRLR VFRVLWNDFLMCYSSRPLLCWSVWWALSTCGYFQVVNYAQGLWEKVMPSQNADIYNGGVE AVSTLLGASAVFAVGYIKLSWSTWGEMTLFLCSLLIAAAVYVMDTVQSIWVCYASYVVFR IIYMVLITIATFQIAANLSMERYALVFGVNTFIALALQTLLTLIVVDARGLGLCITTQFL IYASYFAAISVVFLANGIVSIIKKCRKQEDPSSSPQASTS >ENSMUSP00000131327.1 pep:known chromosome:GRCm38:1:164249253:164263518:1 gene:ENSMUSG00000040918.12 transcript:ENSMUST00000169394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a2 description:solute carrier family 19 (thiamine transporter), member 2 [Source:MGI Symbol;Acc:MGI:1928761] MDVPARVSRRAAAAAARMLLRTARVPRECWFLPTALLCAYGFFANLRPSEPFLTPYLLGP DKNLTERQEEPKPDRLRVFRVLWNDFLMCYSSRPLLCWSVWWALSTCGYFQVVNYAQGLW EKVMPSQNADIYNGGVEAVSTLLGASAVFAVGYIKLSWSTWGEMTLFLCSLLIAAAVYVM DTVQSIWVCYASYVVFRIIYMVLITIATFQIAANLSMERYALVFGVNTFIALALQTLLTL IVVDARGLGLCITTQFLIYASYFAAISVVFLANGIVSIIKKCRKQEDPSSSPQASTS >ENSMUSP00000032705.6 pep:known chromosome:GRCm38:7:35334170:35392289:1 gene:ENSMUSG00000030494.13 transcript:ENSMUST00000032705.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhpn2 description:rhophilin, Rho GTPase binding protein 2 [Source:MGI Symbol;Acc:MGI:1289234] MTDTLLPAAPQPLEKEGDDYFRKGCNPLAQTGRSKLQNQRAALNQQILKAVRMRTGAENL LKVATNQKVREQVRLELSFVNSDLQMLKEELEGLNISVGVYQGTEEAFTIPLIPLGLKET KEVDFSIVFKDFILEHYSEDSYLYEDDIADLMDLRQACRTPSRDEAGVELLMSYFIQLGF VESRFFPPTRHMGLLFTWYDSFTGVPVSQQTLLLEKASVLFNIGALYTQIGTRCNRQTQA GLESAVDAFQRAAGVLNYLKETFTHTPSYDMSPAMLSVLVKMMLAQAQESVFEKVCLPGI QNEFFVLVKVAQEAAKVAEAYRQLHAAMSQEPVKENIPYSWASVAYVKAYHYGALAHYFA ATLLIDHQLKPGADEDHQEKCLSQLYDRMPEGMTPLATLKNAGQRVLLGKGHLHRAIGFH EESLREANLCKKLRDIQVLRDVLSAAHQRTQLKHTQHREDDDLLNLIDAPDVLPKTEREV KITFPDFSKVTVTDFFQKLGPLSVFSASKRWSPPRGIHFTVEEGDLGFTLRGNTPVQVHF LDPHCSASLAGAKEGDYIVSIQGVDCKWLTVSEVMKLLKSFGGEEVEMKVVSLLDSTSSM HNKCATYSVGMQKTYSMICLSMDDDDKADKTKKISKKLSFLSWGTSKNRQKSASTLCLPE VGLARSQNKKKLPTPFSLLNSDSSLY >ENSMUSP00000082692.3 pep:known chromosome:GRCm38:7:35334330:35392285:1 gene:ENSMUSG00000030494.13 transcript:ENSMUST00000085556.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhpn2 description:rhophilin, Rho GTPase binding protein 2 [Source:MGI Symbol;Acc:MGI:1289234] MTDTLLPAAPQPLEKEGDDYFRKGCNPLAQTGRSKLQNQRAALNQQILKAVRMRTGAENL LKVATNQKVREQVRLELSFVNSDLQMLKEELEGLNISVGVYQGTEEAFTIPLIPLGLKET KEVDFSIVFKDFILEHYSEDSYLYEDDIADLMDLRQACRTPSRDEAGVELLMSYFIQLGF VESRFFPPTRHMGLLFTWYDSFTGVPVSQQTLLLEKASVLFNIGALYTQIGTRCNRQTQA GLESAVDAFQRAAGVLNYLKETFTHTPSYDMSPAMLSVLVKMMLAQAQESVFEKVCLPGI QNEFFVLVKVAQEAAKVAEAYRQLHAAMSQEPVKENIPYSWASVAYVKAYHYGALAHYFA ATLLIDHQLKPGADEDHQEKCLSQLYDRMPEGMTPLATLKNAGQRVLLGKGHLHRAIGFH EESLREANLCKKLRDIQVLRDVLSAAHQRTQLKHTQHREDDDLLNLIDAPDVLPKTEREV KITFPDFSKVTVTDFFQKLGPLSVFSASKRWSPPRGIHFTVEEGDLGFTLRGNTPVQVHF LDPHCSASLAGAKEGDYIVSIQGVDCKWLTVSEVMKLLKSFGGEEVEMKVVSLLDSTSSM HNKCATYSVGMQKTYSMICLSMDDDDKADKTKKISKKLSFLSWGTSKNRQKSASTLCLPE VGLARSQNKKKLPTPFSLLNSDSSLY >ENSMUSP00000045201.9 pep:known chromosome:GRCm38:5:142629542:142670588:1 gene:ENSMUSG00000029578.15 transcript:ENSMUST00000036872.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipi2 description:WD repeat domain, phosphoinositide interacting 2 [Source:MGI Symbol;Acc:MGI:1923831] MNLASQSGEAGAGQLLFANFNQDNTSLAVGSKSGYKFFSLSSVDKLEQIYECTDTEDVCI VERLFSSSLVAIVSLKAPRKLKVCHFKKGTEICNYSYSNTILAVKLNRQRLIVCLEESLY IHNIRDMKVLHTIRETPPNPAGLCALSINNDNCYLAYPGSASIGEVQVFDTINLRAANMI PAHDSPLAALAFDASGTKLATASEKGTVIRVFSIPEGQKLFEFRRGVKRCVSICSLAFSM DGMFLSASSNTETVHIFKLEAVREKPPEEPTTWTGYFGKVLMASTSYLPSQVTEMFNQGR AFATVRLPFCGHKNICSLTTIQKIPRLLVGASDGYLYMYNLDPQEGGECALMRQHRLDGS METTSEIVDSASHDCPLATQTYGTAAAKGAYVPSSPTRLGKGQDANLEAYTDDLGAVGGA CLEDEASALRLDEDSEHPPMILRTD >ENSMUSP00000106405.1 pep:known chromosome:GRCm38:5:142629593:142667487:1 gene:ENSMUSG00000029578.15 transcript:ENSMUST00000110778.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipi2 description:WD repeat domain, phosphoinositide interacting 2 [Source:MGI Symbol;Acc:MGI:1923831] MNLASQSGEAGAGQLLFANFNQDNTSLAVGSKSGYKFFSLSSVDKLEQIYECTDTEDVCI VERLFSSSLVAIVSLKAPRKLKVCHFKKGTEICNYSYSNTILAVKLNRQRLIVCLEESLY IHNIRDMKVLHTIRETPPNPAGLCALSINNDNCYLAYPGSASIGEVQVFDTINLRAANMI PAHDSPLAALAFDASGTKLATASEKGTVIRVFSIPEGQKLFEFRRGVKRCVSICSLAFSM DGMFLSASSNTETVHIFKLEAVREKPPEEPTTWTGYFGKVLMASTSYLPSQVTEMFNQGR AFATVRLPFCGHKNICSLTTIQKIPRLLVGASDGYLYMYNLDPQEGGECALMRQHRLDGS METTSEIVDSASHDCPLATQTYGTAAAKAYTDDLGAVGGACLEDEASALRLDEDSEHPPM ILRTD >ENSMUSP00000031349.8 pep:known chromosome:GRCm38:5:124483134:124491124:1 gene:ENSMUSG00000029402.8 transcript:ENSMUST00000031349.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp35 description:small nuclear ribonucleoprotein 35 (U11/U12) [Source:MGI Symbol;Acc:MGI:1923417] MSDWMPIAKEYDPLKAGSIDGTDEDPHDRAVWRAMLARYVPNKGVTGDPLLTLFVARLNL QTKEEKLKEVFSRYGDIRRLRLVRDLVTGFSKGYAFIEYKEERALMKAYRDADGLVIDQH EIFVDYELERTLRGWIPRRLGGGLGGKKESGQLRFGGRDRPFRKPINLPVVKNEPHREGK RERRERSRSRDRHWDPRPRERDHDRGREKHWQERARVWPENDWEREREFREERVKSRDKR DRSK >ENSMUSP00000121120.1 pep:known chromosome:GRCm38:5:124483202:124490490:1 gene:ENSMUSG00000029402.8 transcript:ENSMUST00000136567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp35 description:small nuclear ribonucleoprotein 35 (U11/U12) [Source:MGI Symbol;Acc:MGI:1923417] MSDWMPIAKEYDPLKAGSIDGTDEDPHDRAVWRAMLARYVPNKGVTGDPLLTLFVARLNL QTKEEKLKEVFSRYGDIRRLRLVRDLVTGFSKGYAFIEYKEERALMKAYRDADGLVIDQH E >ENSMUSP00000107080.1 pep:known chromosome:GRCm38:5:124483458:124491107:1 gene:ENSMUSG00000029402.8 transcript:ENSMUST00000111453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp35 description:small nuclear ribonucleoprotein 35 (U11/U12) [Source:MGI Symbol;Acc:MGI:1923417] MSDWMPIAKEYDPLKAGSIDGTDEDPHDRAVWRAMLARYVPNKGVTGDPLLTLFVARLNL QTKEEKLKEVFSRYGDIRRLRLVRDLVTGFSKGYAFIEYKEERALMKAYRDADGLVIDQH EIFVDYELERTLRGWIPRRLGGGLGGKKESGQLRFGGRDRPFRKPINLPVVKNEPHREGK RERRERSRSRDRHWDPRPRERDHDRGREKHWQERARVWPENDWEREREFREERVKSRDKR DRSK >ENSMUSP00000000087.6 pep:known chromosome:GRCm38:4:120405307:120530184:1 gene:ENSMUSG00000000085.16 transcript:ENSMUST00000000087.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scmh1 description:sex comb on midleg homolog 1 [Source:MGI Symbol;Acc:MGI:1352762] MLVCYSVLACESLWDLPCSIMGSPLGHFTWDKYLKETCSVPAPVHCFKQSYTPPSNEFKI SMKLEAQDPRNTTSTCIATVVGLTGARLRLRLDGSDNKNDFWRLVDSSEIQPIGNCEKNG GMLQPPLGFRLNASSWPMFLLKTLNGAEMAPIKIFHKEPPSPSHNFFKMGMKLEAVDRKN PHFICPATIGEVRGAEVLVTFDGWRGAFDYWCRFDSRDIFPVGWCSLTGDNLQPPGTKVV IPKNPSPSSDVSTEKPSIHSTKTVLEHQPGQRGRKPGKKRGRTPKILIPHPTSTPSKSAE PLKFPKKRGPKPGSKRKPRTLLSPPPTSPTTSTPEPDTSTVPQDAATVPSSAMQAPTVCI YLNKSGSTGPHLDKKKIQQLPDHFGPARASVVLQQAVQACIDCAYHQKTVFSFLKQGHGG EVISAVFDREQHTLNLPAVNSITYVLRFLEKLCHNLRSDNLFGNQPFTQTHLSLTATEYN HNHDRYLPGETFVLGNSLARSLETHSDLMDSALKPANLVSTSQNLRTPGYRPLLPSCGLP LSTVSAVRRLCSKGVLKGKKERRDVESFWKLNHSPGSDRHLESRDPPRLSGRDPSSWTVE DVMQFVREADPQLGSHADLFRKHEIDGKALLLLRSDMMMKYMGLKLGPALKLSFHIDRLK QGKF >ENSMUSP00000101908.1 pep:known chromosome:GRCm38:4:120447147:120530184:1 gene:ENSMUSG00000000085.16 transcript:ENSMUST00000106301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scmh1 description:sex comb on midleg homolog 1 [Source:MGI Symbol;Acc:MGI:1352762] MLVCYSVLACESLWDLPCSIMGSPLGHFTWDKYLKETCSVPAPVHCFKQSYTPPSNEFKI SMKLEAQDPRNTTSTCIATVVGLTGARLRLRLDGSDNKNDFWRLVDSSEIQPIGNCEKNG GMLQPPLGFRLNASSWPMFLLKTLNGAEMAPIKIFHKEPPSPSHNFFKMGMKLEAVDRKN PHFICPATIGEVRGAEVLVTFDGWRGAFDYWCRFDSRDIFPVGWCSLTGDNLQPPGTKVV IPKNPSPSSDVSTEKPSIHSTKTVLEHQPGQRGRKPGKKRGRTPKILIPHPTSTPSKSAE PLKFPKKRGPKPGSKRKPRTLLSPPPTSPTTSTPEPDTSTVPQDAATVPSSAMQAPTVCI YLNKSGSTGPHLDKKKIQQLPDHFGPARASVVLQQAVQACIDCAYHQKTVFSFLKQGHGG EVISAVFDREQHTLNLPAVNSITYVLRFLEKLCHNLRSDNLFGNQPFTQTHLSLTATEYN HNHDRYLPGETFVLGNSLARSLETHSDLMDSALKPANLVSTSQNLRTPGYRPLLPSCGLP LSTVSAVRRLCSKGVLKGKKERRDVESFWKLNHSPGSDRHLESRDPPRLSGRDPSSWTVE DVMQFVREADPQLGSHADLFRKHEIDGKALLLLRSDMMMKYMGLKLGPALKLSFHIDRLK QVFWKRETILWSREGLSREVWPISEDTALGHFFSGMDKVFGSLSKR >ENSMUSP00000115343.1 pep:known chromosome:GRCm38:4:120405428:120463084:1 gene:ENSMUSG00000000085.16 transcript:ENSMUST00000132116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scmh1 description:sex comb on midleg homolog 1 [Source:MGI Symbol;Acc:MGI:1352762] MLVCYSVLACESLWDLPCSIMGSPLGHFT >ENSMUSP00000120950.1 pep:known chromosome:GRCm38:4:120505405:120522556:1 gene:ENSMUSG00000000085.16 transcript:ENSMUST00000122860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scmh1 description:sex comb on midleg homolog 1 [Source:MGI Symbol;Acc:MGI:1352762] XRGRTPKILIPHPTSTPSKSAEPLKFPKKRGPKPGSKRKPRTLLSPPPTSPTTSTPEPDT STVPQDAATVPSSAMQAPTAVFDREQHTLNLPAVNSITYVLRFLEKLCHNLRSDNLFGNQ PFTQTHLSLTATEYNHNHDRYLPGETFVLGNSLARSLETHSDLMDSALKPANLVSTS >ENSMUSP00000101905.3 pep:known chromosome:GRCm38:4:120462080:120529259:1 gene:ENSMUSG00000000085.16 transcript:ENSMUST00000106298.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scmh1 description:sex comb on midleg homolog 1 [Source:MGI Symbol;Acc:MGI:1352762] MLVCYSVLACESLWDLPCSIMGSPLGHFTWDKYLKETCSVPAPVHCFKQSYTPPSNEFKI SMKLEAQDPRNTTSTCIATVVGLTGARLRLRLDGSDNKNDFWRLVDSSEIQPIGNCEKNG GMLQPPLGFRLNASSWPMFLLKTLNGAEMAPIKIFHKEPPSPSHNFFKMGMKLEAVDRKN PHFICPATIGEVRGAEVLVTFDGWRGAFDYWCRFDSRDIFPVGWCSLTGDNLQPPGTKVV IPKNPSPSSDVSTEKPSIHSTKTVLEHQPGQRGRKPGKKRGRTPKILIPHPTSTPSKSAE PLKFPKKRGPKPGSKRKPRTLLSPPPTSPTTSTPEPDTSTVPQDAATVPSSAMQAPTVCI YLNKSGSTGPHLDKKKIQQLPDHFGPARASVVLQQAVQACIDCAYHQKTVFSFLKQGHGG EVISAVFDREQHTLNLPAVNSITYVLRFLEKLCHNLRSDNLFGNQPFTQTHLSLTATEYN HNHDRYLPGETFVLGNSLARSLETHSDLMDSALKPANLVSTSQNLRTPGYRPLLPSCGLP LSTVSAVRRLCSKGVLKGKKERRDVESFWKLNHSPGSDRHLESRDPPRLSGRDPSSWTVE DVMQFVREADPQLGSHADLFRKHEIDGKALLLLRSDMMMKYMGLKLGPALKLSFHIDRLK QGKF >ENSMUSP00000069813.6 pep:known chromosome:GRCm38:4:120453243:120530162:1 gene:ENSMUSG00000000085.16 transcript:ENSMUST00000064991.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scmh1 description:sex comb on midleg homolog 1 [Source:MGI Symbol;Acc:MGI:1352762] MLVCYSVLACESLWDLPCSIMGSPLGHFTWDKYLKETCSVPAPVHCFKQSYTPPSNEFKI SMKLEAQDPRNTTSTCIATVVGLTGARLRLRLDGSDNKNDFWRLVDSSEIQPIGNCEKNG GMLQPPLGFRLNASSWPMFLLKTLNGAEMAPIKIFHKEPPSPSHNFFKMGMKLEAVDRKN PHFICPATIGEVRGAEVLVTFDGWRGAFDYWCRFDSRDIFPVGWCSLTGDNLQPPGTKVV IPKNPSPSSDVSTEKPSIHSTKTVLEHQPGQRGRKPGKKRGRTPKILIPHPTSTPSKSAE PLKFPKKRGPKPGSKRKPRTLLSPPPTSPTTSTPEPDTSTVPQDAATVPSSAMQAPTVCI YLNKSGSTGPHLDKKKIQQLPDHFGPARASVVLQQAVQACIDCAYHQKTVFSFLKQGHGG EVISAVFDREQHTLNLPAVNSITYVLRFLEKLCHNLRSDNLFGNQPFTQTHLSLTATEYN HNHDRYLPGETFVLGNSLARSLETHSDLMDSALKPANLVSTSQNLRTPGYRPLLPSCGLP LSTVSAVRRLCSKGVLKGKKERRDVESFWKLNHSPGSDRHLESRDPPRLSGRDPSSWTVE DVMQFVREADPQLGSHADLFRKHEIDGKALLLLRSDMMMKYMGLKLGPALKLSFHIDRLK QVFWKRETILWSREGLSREVWPISEDTALGHFFSGMDKVFGSLSKR >ENSMUSP00000115729.1 pep:known chromosome:GRCm38:4:119219842:119232712:-1 gene:ENSMUSG00000078584.9 transcript:ENSMUST00000141112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU022252 description:expressed sequence AU022252 [Source:MGI Symbol;Acc:MGI:2140466] MADPGVPGRYESGTGNLGVKEAAGPGGALVELTPTPGGLALVSPYHTHRVGDPLDLVALA EQVQKADEFIRANATNKLTVIAEQIQHLQEQARKVLEDARRDADLHHAACNMVKKPGNIY YLYQRESGQQYFSIISPEEWGTGCPHDFLGAYKLQHDMSWTPYEDVEKQDAKIGMMDKLL SQPMALPPCTEPTFQGLPH >ENSMUSP00000134317.1 pep:known chromosome:GRCm38:18:32528322:32560034:-1 gene:ENSMUSG00000090523.2 transcript:ENSMUST00000174459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gypc description:glycophorin C [Source:MGI Symbol;Acc:MGI:1098566] MSSPVSKPPPELLEPNPGKSYGIMEIAIIAAVITAVALVLVCLLFLMLRYLYRHKGTYHT NEAKGTEFAESADAALQSDPALQDAGDTSKKEYFI >ENSMUSP00000133874.1 pep:known chromosome:GRCm38:18:32528325:32559980:-1 gene:ENSMUSG00000090523.2 transcript:ENSMUST00000174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gypc description:glycophorin C [Source:MGI Symbol;Acc:MGI:1098566] MSSPVSKPPPELLAVITAVALVLVCLLFLMLRYLYRHKGTYHTNEAKGTEFAESADAALQ SDPALQDAGDTSKKEYFI >ENSMUSP00000128514.2 pep:known chromosome:GRCm38:18:32529792:32535418:-1 gene:ENSMUSG00000090523.2 transcript:ENSMUST00000172434.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gypc description:glycophorin C [Source:MGI Symbol;Acc:MGI:1098566] MEIAIIAAVITAVALVLVCLLFLMLRYLYRHKGTYHTNEAKGTEFAESADAALQSDPALQ DAGDTSKKEYFI >ENSMUSP00000090123.5 pep:known chromosome:GRCm38:11:114956268:114960417:-1 gene:ENSMUSG00000058728.14 transcript:ENSMUST00000092466.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300c description:CD300C antigen [Source:MGI Symbol;Acc:MGI:3032626] MNPRVIRLWLPSAVLLSLVSGHFPVRGPSTVTGTVGESLSVSCQYEKKLKTKKKIWCKWK SNVLCKDIVKTSASEEARNGRVSIRDHPDNLTFTVTLENLTLEDAGTYMCMVDIGFFYDA YLQIDKSFKVEVFVVPGKPPFKGSRPGNGINILASPTSSAVHTQPNVTTDDTIPAPSPEL RSLLSSPHFWILVSLKLPLFLSMLGALLWVNRPQRCSGGSSAWPCYENQ >ENSMUSP00000052647.3 pep:known chromosome:GRCm38:11:114956278:114960417:-1 gene:ENSMUSG00000058728.14 transcript:ENSMUST00000061637.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300c description:CD300C antigen [Source:MGI Symbol;Acc:MGI:3032626] MNPRVIRLWLPSAVLLSLVSGHFPVRGPSTVTGTVGESLSVSCQYEKKLKTKKKIWCKWK SNVLCKDIVKTSASEEARNGRVSIRDHPDNLTFTVTLENLTLEDAGTYMCMVDIGFFYDA YLQIDKSFKVEVFVVPGKPPVKGSRPGNGINILASPTSSAVHTQPNVTTDDTIPAPSPEL RSLLSSPHFWILVSLKLPLFLSMLGALLWVNRPQRCSGGSSAWPCYENQ >ENSMUSP00000146043.1 pep:known chromosome:GRCm38:7:24227572:24237560:-1 gene:ENSMUSG00000074283.5 transcript:ENSMUST00000206362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp109 description:zinc finger protein 109 [Source:MGI Symbol;Acc:MGI:1929099] MTKLQEAVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGGQVPNKMENLHT TGVRCLSLGQLPCGHMASHDANKLARAPEGVINTQGMVSYFPEQCHFSCHRGPEEPPWAS KDNGCLEILTNDHSSITESQKFLSGIAQCSWSKKHLSKRQNYQKHCVQTPVKTKPRRLLA PGIDRMSCVSHQDNNTLHKGDKGQSNSDFDKLTFPVSPVTPHHVYTERKSYKCSKAQEAF IDRPTLELHQQDLVGKKSPVRSTYEVSRHSSIQKSVHAGTKRYWCHECGKAFSQSSALQT HRRVHTGEKPYRCDSCGKAFTQWSVLHAHQRIHTGEKPYKCGDCGRRFRFSSNLHIHQRV HTGEKPYKCHVCGKCFRFRFYSHQRVHTGEKPYKCDECGKGFTSASNVKVHQRVHTGVKP FCCNVCGKCFSRSFHLHTHQRVHTGEKLYKCDACGKAFSQRSSLQVHRLIHTGEKPYKCE ECGKGFTSASSFQGHQRVHTGEKPFHCDVCGKDFSRSSYLQIHQRMHTGEKPYKCDSCGK AFSQRAHLQVHQRTHTGEKPFKCEECGKEFRQRSGLSSHQIVHKEEKPYTCWECGKGFSQ PSLFERHRRVHTGERPYICGTCCKGFSQRSHLVKHQRVHSAANL >ENSMUSP00000145925.1 pep:known chromosome:GRCm38:7:24227981:24237225:-1 gene:ENSMUSG00000074283.5 transcript:ENSMUST00000206960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp109 description:zinc finger protein 109 [Source:MGI Symbol;Acc:MGI:1929099] MHAGMETFWRLFQEAVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGGQVP NKMENLHTTGVRCLSLGQLPCGHMASHDANKLARAPEGVINTQGMVSYFPEQCHFSCHRG PEEPPWASKDNGCLEILTNDHSSITESQKFLSGIAQCSWSKKHLSKRQNYQKHCVQTPVK TKPRRLLAPGIDRMSCVSHQDNNTLHKGDKGQSNSDFDKLTFPVSPVTPHHVYTERKSYK CSKAQEAFIDRPTLELHQQDLVGKKSPVRSTYEVSRHSSIQKSVHAGTKRYWCHECGKAF SQSSALQTHRRVHTGEKPYRCDSCGKAFTQWSVLHAHQRIHTGEKPYKCGDCGRRFRFSS NLHIHQRVHTGEKPYKCHVCGKCFRFRFYSHQRVHTGEKPYKCDECGKGFTSASNVKVHQ RVHTGVKPFCCNVCGKCFSRSFHLHTHQRVHTGEKLYKCDACGKAFSQRSSLQVHRLIHT GEKPYKCEECGKGFTSASSFQGHQRVHTGEKPFHCDVCGKDFSRSSYLQIHQRMHTGEKP YKCDSCGKAFSQRAHLQVHQRTHTGEKPFKCEECGKEFRQRSGLSSHQIVHKEEKPYTCW ECGKGFSQPSLFERHRRVHTGERPYICGTCCKGFSQRSHLVKHQRVHSAANL >ENSMUSP00000045498.6 pep:known chromosome:GRCm38:7:24228048:24236632:-1 gene:ENSMUSG00000074283.5 transcript:ENSMUST00000037448.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp109 description:zinc finger protein 109 [Source:MGI Symbol;Acc:MGI:1929099] MTKLQEAVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGGQVPNKMENLHT TGVRCLSLGQLPCGHMASHDANKLARAPEGVINTQGMVSYFPEQCHFSCHRGPEEPPWAS KDNGCLEILTNDHSSITESQKFLSGIAQCSWSKKHLSKRQNYQKHCVQTPVKTKPRRLLA PGIDRMSCVSHQDNNTLHKGDKGQSNSDFDKLTFPVSPVTPHHVYTERKSYKCSKAQEAF IDRPTLELHQQDLVGKKSPVRSTYEVSRHSSIQKSVHAGTKRYWCHECGKAFSQSSALQT HRRVHTGEKPYRCDSCGKAFTQWSVLHAHQRIHTGEKPYKCGDCGRRFRFSSNLHIHQRV HTGEKPYKCHVCGKCFRFRFYSHQRVHTGEKPYKCDECGKGFTSASNVKVHQRVHTGVKP FCCNVCGKCFSRSFHLHTHQRVHTGEKLYKCDACGKAFSQRSSLQVHRLIHTGEKPYKCE ECGKGFTSASSFQGHQRVHTGEKPFHCDVCGKDFSRSSYLQIHQRMHTGEKPYKCDSCGK AFSQRAHLQVHQRTHTGEKPFKCEECGKEFRQRSGLSSHQIVHKEEKPYTCWECGKGFSQ PSLFERHRRVHTGERPYICGTCCKGFSQRSHLVKHQRVHSAANL >ENSMUSP00000021251.6 pep:known chromosome:GRCm38:11:97034602:97041407:-1 gene:ENSMUSG00000020878.6 transcript:ENSMUST00000021251.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc46 description:leucine rich repeat containing 46 [Source:MGI Symbol;Acc:MGI:1916547] MPGDEQEAKKAAQRTEEGVHITEALITKRNLTFPGDEDLSEKMFHTLGELETVRLDGEGI TCIGNLEKLRNIHSLYLQSNKIQRIENLACITSLRFLSLARNQIRHVENLLDLQYLQFLD LSENLIETLKLDELPESLLILNLCGNPCTNQEGYRKMVIGALPLLLDLDKQPILERWTSD EEDKSSDDDDEFPELNGPFCAERGFFKDLEQELHQHQERRQQAALTEHLSRMETQPVLTD LPLLPAVPMAGDCSSTATDQPGKESAPKATSSTQTASTTKKQVSKNQKSSVQARKGALAA TTSKTSQAATPSMTKMTNKKSTK >ENSMUSP00000130112.1 pep:known chromosome:GRCm38:9:124101950:124113557:1 gene:ENSMUSG00000049103.13 transcript:ENSMUST00000171719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr2 description:chemokine (C-C motif) receptor 2 [Source:MGI Symbol;Acc:MGI:106185] MEDNNMLPQFIHGILSTSHSLFTRSIQELDEGATTPYDYDDGEPCHKTSVKQIGAWILPP LYSLVFIFGFVGNMLVIIILIGCKKLKSMTDIYLLNLAISDLLFLLTLPFWAHYAANEWV FGNIMCKVFTGLYHIGYFGGIFFIILLTIDRYLAIVHAVFALKARTVTFGVITSVVTWVV AVFASLPGIIFTKSKQDDHHYTCGPYFTQLWKNFQTIMRNILSLILPLLVMVICYSGILH TLFRCRNEKKRHRAVRLIFAIMIVYFLFWTPYNIVLFLTTFQESLGMSNCVIDKHLDQAM QVTETLGMTHCCINPVIYAFVGEKFRRYLSIFFRKHIAKRLCKQCPVFYRETADRVSSTF TPSTGEQEVSVGL >ENSMUSP00000132453.1 pep:known chromosome:GRCm38:9:124102158:124109140:1 gene:ENSMUSG00000049103.13 transcript:ENSMUST00000168841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr2 description:chemokine (C-C motif) receptor 2 [Source:MGI Symbol;Acc:MGI:106185] MEDNNMLPQFIHGILSTSHSLFTRSIQELDEGATTPYDYDDGEPCHKTSVKQIGAWILPP LYSLVFIFGFVGNMLVIIILIGCKKLKSMTDIYLLNLAISDLLFLLTLPFWAHYAANEWV FGNIMCKVFTGLYHIGYFGGIFFIILLTIDRYLAIVHAVFALKARTVTFGVITSVVTWVV AVFASLPGIIFTKSKQDDHHYTCGPYFTQLWKNFQTIMRNILSLILPLLVMVICYSGILH TLFRCRNEKKRHRAVRLIFAIMIVYFLFWTPYNIVLFLTTFQESLGMSNCVIDKHLDQAM QVTETLGMTHCCINPVIYAFVGEKFRRYLSIFFRKHIAKRLCKQCPVFYRETADRVSSTF TPSTGEQEVSVGL >ENSMUSP00000049909.6 pep:known chromosome:GRCm38:9:124102183:124108550:1 gene:ENSMUSG00000049103.13 transcript:ENSMUST00000055918.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr2 description:chemokine (C-C motif) receptor 2 [Source:MGI Symbol;Acc:MGI:106185] MEDNNMLPQFIHGILSTSHSLFTRSIQELDEGATTPYDYDDGEPCHKTSVKQIGAWILPP LYSLVFIFGFVGNMLVIIILIGCKKLKSMTDIYLLNLAISDLLFLLTLPFWAHYAANEWV FGNIMCKVFTGLYHIGYFGGIFFIILLTIDRYLAIVHAVFALKARTVTFGVITSVVTWVV AVFASLPGIIFTKSKQDDHHYTCGPYFTQLWKNFQTIMRNILSLILPLLVMVICYSGILH TLFRCRNEKKRHRAVRLIFAIMIVYFLFWTPYNIVLFLTTFQESLGMSNCVIDKHLDQAM QVTETLGMTHCCINPVIYAFVGEKFRRYLSIFFRKHIAKRLCKQCPVFYRETADRVSSTF TPSTGEQEVSVGL >ENSMUSP00000128734.2 pep:known chromosome:GRCm38:9:124105626:124109140:1 gene:ENSMUSG00000049103.13 transcript:ENSMUST00000165984.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr2 description:chemokine (C-C motif) receptor 2 [Source:MGI Symbol;Acc:MGI:106185] MEDNNMLPQFIHGILSTSHSLFTRSIQELDEGATTPYDYDDGEPCHKTSVKQIGAWILPP LYSLVFIFGFVGNMLVIIILIGCKKLKSMTDIYLLNLAISDLLFLLTLPFWAHYAANEWV FGNIMCKVFTGLYHIGYFGGIFFIILLTIDRYLAIVHAVFALKARTVTFGVITSVVTWVV AVFASLPGIIFTKSKQDDHHYTCGPYFTQLWKNFQTIMRNILSLILPLLVMVICYSGILH TLFRCRNEKKRHRAVRLIFAIMIVYFLFWTPYNIVLFLTTFQESLGMSNCVIDKHLDQAM QVTETLGMTHCCINPVIYAFVGEKFRRYLSIFFRKHIAKRLCKQCPVFYRETADRVSSTF TPSTGEQEVSVGL >ENSMUSP00000060907.9 pep:known chromosome:GRCm38:7:126272619:126295016:1 gene:ENSMUSG00000042978.10 transcript:ENSMUST00000056028.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbk1 description:SH3-binding kinase 1 [Source:MGI Symbol;Acc:MGI:2135937] MSVGCPEPEPLHSLPCCGPGAAPVPGAGVPLLTEDMQALTLRTLAASDVTKHYELVRELG KGTYGKVDLVAYKGTGTKMALKFVNKSKTKLKNFLREVSITNSLSSSPFIIKVFDVVFET EECYVFAQEYAPAGDLFDIIPPQVGLPEDTVKRCVQQLGLALDFMHSRQLVHRDIKPENV LLFDRECRRVKLADFGMTRRVGCRVKRVSGTIPYTAPEVCQAGRADGFAVDTGVDVWAFG VLIFCVLTGNFPWEAASGADAFFEEFVRWQRGRLPGLPSQWRRFTEPALRMFQRLLALEP ERRGPAKEVFRFLKHELTSELRRRPSHRARKPPGDRLPGSLRLEAPGPLKRTVLTESGSG SRPSPPSVGPVVPVPVPVPVPVPEAGLAPPAPPGRTDGRTDKSKGQVVLATAIEICV >ENSMUSP00000145733.1 pep:known chromosome:GRCm38:7:126273400:126289931:1 gene:ENSMUSG00000042978.10 transcript:ENSMUST00000206424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbk1 description:SH3-binding kinase 1 [Source:MGI Symbol;Acc:MGI:2135937] MSVGCPEPEPLHSLPCCGPGAAP >ENSMUSP00000145750.1 pep:known chromosome:GRCm38:7:126291080:126292820:1 gene:ENSMUSG00000042978.10 transcript:ENSMUST00000206541.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbk1 description:SH3-binding kinase 1 [Source:MGI Symbol;Acc:MGI:2135937] XTKLKNFLREVSITNSLSSSPFIIKVFRFLKHELTSELRRRPSHRARKPPGDRLPGSLRL EAPGPLKRTVLTESGSGSRPSPPSVGPVVPVPVPVPVPVPEAGLAPPAPPGRTDGRTDKS KGQVVLATAIEICV >ENSMUSP00000028829.6 pep:known chromosome:GRCm38:2:117121374:117182279:1 gene:ENSMUSG00000027351.14 transcript:ENSMUST00000028829.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spred1 description:sprouty protein with EVH-1 domain 1, related sequence [Source:MGI Symbol;Acc:MGI:2150016] MSEETATSDNDNSYARVRAVVMTRDDSSGGWLPLGGSGLSSVTVFRVPHQEENGCADFFI RGERLRDKMVVLECMLKKDLIYNKVTPTFHHWKIDDKKFGLTFQSPADARAFDRGIRRAI EDISLGCPASKTEAEGGDDDLQTTEEDTSRSLVKDHFFQQETVVTSEPYRSSDIRPLPFE DLNARRVYLQSQVSQIPFSQQGLDIQSRSMEYVQRQISKECGSLKSQTRVPLKSIRHVSF QDEDEIVRINPRDILIRRYADYRHPDMWKNDLERDDTDSSVPFSKQDSKKSDYLYHCGDE TKLSSLKDSVVFKTQPPSLKFKSKRRKEDGERSRCVYCQERFNHEENARGKCQDAPDPVK RCIYQVSCMLCAESMLYHCMSDSEGDFSDPCSCDTSDDKFCLRWLALVALSFIVPCMCCY VPLRMCHRCGEACGCCGGKHKAAG >ENSMUSP00000106526.1 pep:known chromosome:GRCm38:2:117121638:117172854:1 gene:ENSMUSG00000027351.14 transcript:ENSMUST00000110901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spred1 description:sprouty protein with EVH-1 domain 1, related sequence [Source:MGI Symbol;Acc:MGI:2150016] MSEETATSDNDNSYARVRAVVMTRDDSSGGWLPLGGSGLSSVTVFRVPHQEENGCADFFI RGERLRDKMVVLECMLKKDLIYNKVTPTFHHWKIDDKKFGLTFQSPADARAFDRGIRRAI EDISLGCPASKTEAEGGDDDLQTTEEDTSRSLVKDHFFQQETVVTSEPYRSSDIRPLPFE DLNARRVYLQSQVSQVRRRILFPCIAYIEKLGVLQNTKALRSFPCYETI >ENSMUSP00000057721.7 pep:known chromosome:GRCm38:3:100825459:100896922:1 gene:ENSMUSG00000051076.8 transcript:ENSMUST00000054791.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vtcn1 description:V-set domain containing T cell activation inhibitor 1 [Source:MGI Symbol;Acc:MGI:3039619] MASLGQIIFWSIINIIIILAGAIALIIGFGISGKHFITVTTFTSAGNIGEDGTLSCTFEP DIKLNGIVIQWLKEGIKGLVHEFKEGKDDLSQQHEMFRGRTAVFADQVVVGNASLRLKNV QLTDAGTYTCYIRTSKGKGNANLEYKTGAFSMPEINVDYNASSESLRCEAPRWFPQPTVA WASQVDQGANFSEVSNTSFELNSENVTMKVVSVLYNVTINNTYSCMIENDIAKATGDIKV TDSEVKRRSQLQLLNSGPSPCVFSSAFVAGWALLSLSCCLMLR >ENSMUSP00000107069.1 pep:known chromosome:GRCm38:9:124121543:124127182:1 gene:ENSMUSG00000079227.9 transcript:ENSMUST00000111442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr5 description:chemokine (C-C motif) receptor 5 [Source:MGI Symbol;Acc:MGI:107182] MDFQGSVPTYSYDIDYGMSAPCQKINVKQIAAQLLPPLYSLVFIFGFVGNMMVFLILISC KKLKSVTDIYLLNLAISDLLFLLTLPFWAHYAANEWVFGNIMCKVFTGLYHIGYFGGIFF IILLTIDRYLAIVHAVFALKVRTVNFGVITSVVTWAVAVFASLPEIIFTRSQKEGFHYTC SPHFPHTQYHFWKSFQTLKMVILSLILPLLVMVICYSGILHTLFRCRNEKKRHRAVRLIF AIMIVYFLFWTPYNIVLLLTTFQEFFGLNNCSSSNRLDQAMQATETLGMTHCCLNPVIYA FVGEKFRSYLSVFFRKHMVKRFCKRCSIFQQDNPDRASSVYTRSTGEHEVSTGL >ENSMUSP00000129848.1 pep:known chromosome:GRCm38:9:124121573:124131901:1 gene:ENSMUSG00000079227.9 transcript:ENSMUST00000168179.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccr5 description:chemokine (C-C motif) receptor 5 [Source:MGI Symbol;Acc:MGI:107182] MDFQGSVPTYSYDIDYVWTEAYPEQLRVFDTQLFLTASEEQEEPQLRIQVDTLK >ENSMUSP00000127328.2 pep:known chromosome:GRCm38:9:124121581:124124731:1 gene:ENSMUSG00000079227.9 transcript:ENSMUST00000171499.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr5 description:chemokine (C-C motif) receptor 5 [Source:MGI Symbol;Acc:MGI:107182] MDFQGSVPTYSYDIDYGMSAPCQKINVKQIAAQLLPPLYSLVFIFGFVGNMMVFLILISC KKLKSVTDIYLLNLAISDLLFLLTLPFWAHYAANEWVFGNIMCKVFTGLYHIGYFGGIFF IIL >ENSMUSP00000096458.3 pep:known chromosome:GRCm38:7:4518305:4522528:-1 gene:ENSMUSG00000035458.15 transcript:ENSMUST00000098859.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni3 description:troponin I, cardiac 3 [Source:MGI Symbol;Acc:MGI:98783] MADESSDAAGEPQPAPAPVRRRSSANYRAYATEPHAKKKSKISASRKLQLKTLMLQIAKQ EMEREAEERRGEKGRVLRTRCQPLELDGLGFEELQDLCRQLHARVDKVDEERYDVEAKVT KNITEIADLTQKIYDLRGKFKRPTLRRVRISADAMMQALLGTRAKESLDLRAHLKQVKKE DIEKENREVGDWRKNIDALSGMEGRKKKFEG >ENSMUSP00000115015.1 pep:known chromosome:GRCm38:7:4520438:4522794:-1 gene:ENSMUSG00000035458.15 transcript:ENSMUST00000140424.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni3 description:troponin I, cardiac 3 [Source:MGI Symbol;Acc:MGI:98783] MADESSDAAGEPQPAPAPVRRRSSANYRAYATEPHAKKKSKISASRKLQLKTLMLQIAKQ EMEREAEERRGEKGRVLRTRCQPLELDGLGFEELQDLCRQLHARVDKVDEERYDVEAKVT KNITE >ENSMUSP00000122916.1 pep:known chromosome:GRCm38:7:4520477:4524229:-1 gene:ENSMUSG00000035458.15 transcript:ENSMUST00000154913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni3 description:troponin I, cardiac 3 [Source:MGI Symbol;Acc:MGI:98783] MADESSDAAGEPQPAPAPVRRRSSANYRAYATEPHAKKKSKISASRKLQLKTLMLQIAKQ EMEREAEERRGEKGRVLRTRCQPLELDGLGFEELQDLCRQLHARVDKVDEER >ENSMUSP00000146966.1 pep:known chromosome:GRCm38:7:4520506:4522427:-1 gene:ENSMUSG00000035458.15 transcript:ENSMUST00000209148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni3 description:troponin I, cardiac 3 [Source:MGI Symbol;Acc:MGI:98783] MADEYVNLRSLGVETSTGRGAVGLEGATRLDTCPERVGGKGGWISVEMRSSDAVSCELRS GVGNLRSRVGAAGRGLGTSGQGNLPLEKKSKISASRKLQLKTLMLQIAKQEMEREAEERR GEKGRVLRTRCQPLELDGLGFEELQDLCRQLH >ENSMUSP00000047860.2 pep:known chromosome:GRCm38:4:106733889:106748292:1 gene:ENSMUSG00000034871.2 transcript:ENSMUST00000047620.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam151a description:family with sequence simliarity 151, member A [Source:MGI Symbol;Acc:MGI:2657115] MSCKKWCSSSQAKWILAGSVTVTLVLAISLILGLTLHQGTQPGCENDAICGPDADMLDYL MGMGQISHRDGLLVTWYHAANSKKEMAAALNSDVMVLEADVTVEGFNTANETKVPIMAHP PAIYSDNTLQEWLEAVLASSQKGIKLDFKSLKAVGPSLDLLRQLTEAGRIRRPVWINADI LRGPNVPISIEINATQFLTLVQEKYPKATISPGFTTLYVPQLPNSTYTQAMVETMQELVG ALPQKVTFPVRAVMTRAAWPHFSWLLSQSERYSLTLWQGASDPVSVEDLLFIRDNSAAHQ IYYDLFEPVLSQFKQLALNTTRKRTYYTGGSLIPLLQQPKGDGLEVEWLVLEVNGSGRRA AITVPDREGMILLDIGLQEPEAGNPVPILHTPGGPALTLESCLLRLAVHPRRWGIHVNIV EPEALRPSLATLAHLSTLGHLPWPVWVGSTVSHGSFVVPGHIAGRELLTAVAEVFPHVTV APGWPEEMLDSGYQEQMVTDMLELCQGLRQPVSFQLQAGPLSQSPANTVARLLASSPRAT VTVYHSTAGNSHVDLWAGLWAARAVDRTRVYYRISQEYWKDLQADVSSNRPSSRIGPSSV EGFPGESR >ENSMUSP00000101399.1 pep:known chromosome:GRCm38:4:143615003:143618595:1 gene:ENSMUSG00000066688.4 transcript:ENSMUST00000105773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13083 description:predicted gene 13083 [Source:MGI Symbol;Acc:MGI:3650232] MKYFFPLVISLSSAYLKATMSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFK DAFTSRHPNILRQMVAAWPFPTLPVGALMKIPHLETLKAVLDGLDLLMSQKDRPSRWNLQ VLDLRDAHQDFWDGWAGLLHEVCSQDVFGKNQPVGNHPILGENQTVTIKMNLSLMSRRPS KYLKYLYRWAKERKNGIQVICEKLEFGAIPAYDPLNILKVFDAASIQELAINTRWDIYTL ASLAPGVGRMKNLQKLLFKQICIPWDRPWDLEKEACCVTEIFSQFSKLHKLQHLYLNDVY FLTERLDQMLRCFKGPLETLAITHCILSESDMMYLSQCPSTHQLKHLDLSGVTFILSHPF LGSLLERLTATLQTLKLKGCMLMDWQISDLLPALSQCSQLTEVNFVNNFLSMDSLKKLLQ HTANLTQLTLEKYPAPDEVYDDRDGVIPDRFVRLCSELMYTLKGVRQPKQVYFVSKMFSY CWNFCIYSFVGNIEGEVIARL >ENSMUSP00000094615.4 pep:known chromosome:GRCm38:X:154886805:154890267:-1 gene:ENSMUSG00000072100.4 transcript:ENSMUST00000096852.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34b1 description:claudin 34B1 [Source:MGI Symbol;Acc:MGI:3648403] MPLKKCHGQMGGFALTTVAWLLCCISTGLPQWQVWHYEDPVVLKPTVALVGMWRACVFHT DRNSSNTRVCYQYNYDGSIPLYIRGNQHLLLVSSFLGLFGKITTIIALSNVHMGRVRRNA TCNPFRLSGILNIIASSFLYLAVLFNYIAIMSKWGIAFPPSFNLPFQPDTRKMGSAMALA IIAAVLFQLSGTICLSSNLDIGKMPRSKM >ENSMUSP00000032955.5 pep:known chromosome:GRCm38:7:43811294:43816359:1 gene:ENSMUSG00000030713.6 transcript:ENSMUST00000032955.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk7 description:kallikrein related-peptidase 7 (chymotryptic, stratum corneum) [Source:MGI Symbol;Acc:MGI:1346336] MGVWLLSLITVLLSLALETAGQGERIIDGYKCKEGSHPWQVALLKGNQLHCGGVLVDKYW VLTAAHCKMGQYQVQLGSDKIGDQSAQKIKATKSFRHPGYSTKTHVNDIMLVRLDEPVKM SSKVEAVQLPEHCEPPGTSCTVSGWGTTTSPDVTFPSDLMCSDVKLISSRECKKVYKDLL GKTMLCAGIPDSKTNTCNGDSGGPLVCNDTLQGLVSWGTYPCGQPNDPGVYTQVCKYKRW VMETMKTHR >ENSMUSP00000123264.1 pep:known chromosome:GRCm38:11:117765988:117779648:-1 gene:ENSMUSG00000025572.17 transcript:ENSMUST00000131606.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmc6 description:transmembrane channel-like gene family 6 [Source:MGI Symbol;Acc:MGI:1098686] MSRRPRETKGRGDQTLPGLEGAPALSSATLRILASMPSRTIGRSRGAIISQYYNRTVRLR RRSSRPLLGNVVPSARPSLRLYDLELDSTILEEDEKRSLLVKELQGLSAAQRDHMVRNMP LSLGEKRCLRLFIAWGWHCSQACMLPGRGAML >ENSMUSP00000026659.3 pep:known chromosome:GRCm38:11:117765988:117780639:-1 gene:ENSMUSG00000025572.17 transcript:ENSMUST00000026659.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc6 description:transmembrane channel-like gene family 6 [Source:MGI Symbol;Acc:MGI:1098686] MAQSLALALDVPETTGDEGLEPSPYEESEVHDSFHQLIQEQSLRVAEEGLELLPLGLGRG DQTLPGLEGAPALSSATLRILASMPSRTIGRSRGAIISQYYNRTVRLRRRSSRPLLGNVV PSARPSLRLYDLELDSTILEEDEKRSLLVKELQGLSAAQRDHMVRNMPLSLGEKRCLREK SWSPKGKRRHLQGRSGAFSCCSRLRYTCMLALHSLGLALLSGLYAARPWRYALKQIGGQF GSSVLSYFLFLKTLLAFNALMLLPLLAFLVGVQAAFPPDPAGPVPTFSGLELLTGGGRFT HTVMYYGYYSNSTLSPSCDAPREGGQCSPRLGSLPYNMPLAYLFTMGATFFLTCIILVYS MSHSFGESYRVGSTKGIHALTVFCSWDYKVTQKRASRVQQDSICTQLKELLAEWHLRKRP RSVCGQLRQVVVLGLGWLLCLGSTMGCTVAVLTFSEVMIQRPASGGQGVEALALPLVVSV LNLGASYLFRGLATLERHDSPVLEVYMAICRNLILKMAVLGVLCYHWLGRRVATLQGQCW EDFVGQELYRFMVVDFIFMLLDSLFGELVWRLISEKKLKRGQKPEFDIARNVLDLIYGQT LTWLGVLFSPLLPAVQILRLLFLFHIKKASLMANCQAPRRPWLASHMSTVFLTLLCFPSF LGAAVFLCYAVWQVRPSSTCGPFRTLNTMYEAGTVWVRRLEHAGSGASWLPWLHHFLVEN TFFLFLASALLLAVIYFNIQVVKGQRKVICLLKEQIRNEGEDKIFLINKLHSVYEEEGRS RPGRTQDTTEPPAWHEDGGDQKEPCNPRSP >ENSMUSP00000116521.1 pep:known chromosome:GRCm38:11:117770078:117773043:-1 gene:ENSMUSG00000025572.17 transcript:ENSMUST00000149589.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmc6 description:transmembrane channel-like gene family 6 [Source:MGI Symbol;Acc:MGI:1098686] XVLNLGASYLFRGLATLERHDSPVLEVYMAICRNLILKMAVLGVLCYHWLGRRVATLQGQ CWEDFVGQELYRFMVVDFIFMLLDSLFGELVWRGSSVQAHLREEAQEGAEA >ENSMUSP00000099314.3 pep:known chromosome:GRCm38:11:117775357:117780621:-1 gene:ENSMUSG00000025572.17 transcript:ENSMUST00000103025.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc6 description:transmembrane channel-like gene family 6 [Source:MGI Symbol;Acc:MGI:1098686] MAQSLALALDVPETTGDEGLEPSPYEESEVHDSFHQLIQEQSLRVAEEGLELLPLGLGRG DQTLPGLEGAPALSSATLRILASMPSRTIGRSRGAIISQYYNRTVRLRRRSSRPLLGNVV PSARPSLRLYDLELDSTILEEDEKRSLLVKELQGLSAAQRDHMVRNMPLSLGEKRCLREK SWSPKGKRRHLQGRSGAFSCCSRLRYTCMLALHSLGLALLSGLYAARPWRYALKQIGGQF GSSVLSYFLFLKTLLAFNALMLLPLLAFLVGVQAAFPPDPAGPVPTFSGLELLTGGVRPS APALAKNWCQACPDSPGGLLPVPRYLYG >ENSMUSP00000117566.1 pep:known chromosome:GRCm38:11:117775717:117779650:-1 gene:ENSMUSG00000025572.17 transcript:ENSMUST00000143406.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc6 description:transmembrane channel-like gene family 6 [Source:MGI Symbol;Acc:MGI:1098686] MAQSLALALDVPETTGDEGLEPSPYEESEVHDSFHQLIQEQSLRVAEEGLELLPLGLGRG DQTLPGLEGAPALSSATLRILASMPSRTIGRSRGAIISQYYNRTVRLRRRSSRPLLGNVV PSARPSLRLYDLELDSTILEEDEKRSLLVKELQGLSAAQRDHMVRNMPLSLGEKRCLREK SWSPKGKRRHLQGRSGAFSCCSRLRYTCMLALHSLGLALLSGLYAARPWRYALKQIGGQF GSSVLSYFLFLKT >ENSMUSP00000118068.1 pep:known chromosome:GRCm38:11:117778742:117780944:-1 gene:ENSMUSG00000025572.17 transcript:ENSMUST00000136729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc6 description:transmembrane channel-like gene family 6 [Source:MGI Symbol;Acc:MGI:1098686] MAQSLALALDVPETTGDEGLEPSPYEESEVHDSFHQLIQEQSLRVAEEGLELLPLGLGRG DQTLPGLEGAPALSSAT >ENSMUSP00000122495.1 pep:known chromosome:GRCm38:11:117778938:117782198:-1 gene:ENSMUSG00000025572.17 transcript:ENSMUST00000152304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc6 description:transmembrane channel-like gene family 6 [Source:MGI Symbol;Acc:MGI:1098686] MAQSLALALDVPETTGDEGLEPSPYEESEVHDSFHQLIQEQSLRVAEEGLELLPLG >ENSMUSP00000116613.1 pep:known chromosome:GRCm38:11:117779192:117780658:-1 gene:ENSMUSG00000025572.17 transcript:ENSMUST00000127227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc6 description:transmembrane channel-like gene family 6 [Source:MGI Symbol;Acc:MGI:1098686] MAQSLALAL >ENSMUSP00000105995.1 pep:known chromosome:GRCm38:2:127574662:127587094:-1 gene:ENSMUSG00000034800.15 transcript:ENSMUST00000110366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp661 description:zinc finger protein 661 [Source:MGI Symbol;Acc:MGI:1919430] MAAVSPPTRCQALVTFEDVAVTFTDDEWKRLVPVQRALYKAVMLENYESIISLGLPVPRP DVILQFKRRGEPWIRGFHGSEEKTWPESVSLDLETKPETLDASRGTLREIHRKQSSLCPK REIQTLTGGPEPEKESPKARTCKKPLSLDKGLHQMSAPSKKALTKHQDQECSECGKTFFD HSSLIRHQRTHTGEKPYDCPECGKAFSHRSSLSRHLMFHTGESPYECDACGKAFFDRSSL TVHQRIHTGEKPFKCNDCGKAFFDRSSLTRHQRIHTGESPYECQQCGKAFSQKSILTRHL LTHTGRKPYECRDCGKAFYGVTSLNRHQKVHTEEPRYQCSECGKAFFDRSSLTQHQKIHT GDKPYECGECGKAFSQRCRLTRHQRVHTGEKPFECSVCGKEFSSKSSIIQHQRRYAKQGI DRGGSMS >ENSMUSP00000105997.2 pep:known chromosome:GRCm38:2:127575533:127584669:-1 gene:ENSMUSG00000034800.15 transcript:ENSMUST00000110368.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp661 description:zinc finger protein 661 [Source:MGI Symbol;Acc:MGI:1919430] MAAVSPPTRCQALVTFEDVAVTFTDDEWKRLVPVQRALYKAVMLENYESIISLGLPVPRP DVILQFKRRGEPWIRGFHGSEEKTWPESVSLDLETKPETLDASRGTLREIHRKQSSLCPK REIQTLTGGPEPEKESPKARTCKKPLSLDKGLHQMSAPSKKALTKHQDQECSECGKTFFD HSSLIRHQRTHTGEKPYDCPECGKAFSHRSSLSRHLMFHTGESPYECDACGKAFFDRSSL TVHQRIHTGEKPFKCNDCGKAFFDRSSLTRHQRIHTGESPYECQQCGKAFSQKSILTRHL LTHTGRKPYECRDCGKAFYGVTSLNRHQKVHTEEPRYQCSECGKAFFDRSSLTQHQKIHT GDKPYECGECGKAFSQRCRLTRHQRVHTGEKPFECSVCGKEFSSKSSIIQHQRRYAKQGI DRGGSMS >ENSMUSP00000076637.5 pep:known chromosome:GRCm38:2:127575540:127584677:-1 gene:ENSMUSG00000034800.15 transcript:ENSMUST00000077422.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp661 description:zinc finger protein 661 [Source:MGI Symbol;Acc:MGI:1919430] MAAVSPPTRCQALVTFEDVAVTFTDDEWKRLVPVQRALYKAVMLENYESIISLGLPVPRP DVILQFKRRGEPWIRGFHGSEEKTWPESVSLDLETKPETLDASRGTLREIHRKQSSLCPK REIQTLTGGPEPEKESPKARTCKKPLSLDKGLHQMSAPSKKALTKHQDQECSECGKTFFD HSSLIRHQRTHTGEKPYDCPECGKAFSHRSSLSRHLMFHTGESPYECDACGKAFFDRSSL TVHQRIHTGEKPFKCNDCGKAFFDRSSLTRHQRIHTGESPYECQQCGKAFSQKSILTRHL LTHTGRKPYECRDCGKAFYGVTSLNRHQKVHTEEPRYQCSECGKAFFDRSSLTQHQKIHT GDKPYECGECGKAFSQRCRLTRHQRVHTGEKPFECSVCGKEFSSKSSIIQHQRRYAKQGI DRGGSMS >ENSMUSP00000132820.1 pep:known chromosome:GRCm38:2:127576935:127581508:-1 gene:ENSMUSG00000034800.15 transcript:ENSMUST00000164551.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp661 description:zinc finger protein 661 [Source:MGI Symbol;Acc:MGI:1919430] MAAVSPPTRCQALVTFEDVAVTFTDDEWKRLVPVQRALYKAVMLENYESIISLGLPVPRP DVILQFKRRGEPWIRGFHGSEEKTWPESVSLDLETKPETLDASRGTLREIHRKQSSLCPK REIQTLTGGPEPEKESPKARTCKKPLSLDKGLHQMSAPSKKALTKHQDQECSECGKTFFD HSSLIRHQRTHTGEKPYDCPECGKAFSHRSSLSRHLMFHTGESPYECDACGKAFFDRSSL TVHQRIHTGEKPFKCNDCGKAFFDRSSLTRHQRIHTGESPYECQQCGKAFSQKSILTRHL LTHTGRKPYECRDCGKAFYGVTSLNRHQKVHTEEPRYQCSECGKAFFDRSSLTQHQKIHT GDKPYECGECGKAFSQRCRLTRHQRVHTGEKPFECSVCGKEFSSKSSIIQHQRRYAKQGI DRGGSMS >ENSMUSP00000119065.1 pep:known chromosome:GRCm38:2:162931528:162937121:1 gene:ENSMUSG00000016921.14 transcript:ENSMUST00000130411.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf6 description:serine/arginine-rich splicing factor 6 [Source:MGI Symbol;Acc:MGI:1915246] MPRVYIGRLSYNVREKDIQRFFSGYGRLLEIDLKNGYGFVEFEDSRDADDAVYELNSKEL CGERVIVEHARGPRRDRDGYSYGSRSGGGGYSSRRTSGRDKYGPPVRTEYRLIVENLSSR CSWQDLKDFMRQAGEVTYADAHKERTNEGVIEFRSYSDMKRALDKLDGTEINGRNIRLIE DKPRTSHRRSYSGSRSRSRSRRRSRSRSRRSSRSRSRSISKSRSRSRSRSKGRSRSRSKG RKSRSKSKSKPKSDRGSHSHSRSRSKDKYGKSRSRSRSRSPKENGKGDIKSKSRSRSQSR SHSPLPAPPSKARSMSPPPKRASRSRSRSRSRSRSSSRD >ENSMUSP00000142183.1 pep:known chromosome:GRCm38:2:162931562:162935026:1 gene:ENSMUSG00000016921.14 transcript:ENSMUST00000017065.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf6 description:serine/arginine-rich splicing factor 6 [Source:MGI Symbol;Acc:MGI:1915246] MPRVYIGRLSYNVREKDIQRFFSGYGRLLEIDLKNGYGFVEFEDSRDADDAVYELNSKEL CGERVIVEHARGPRRDRDGYSYGSRMTNGAEAVSTEAKMTAFPDWPWLFHTLCDPCPMTL WLTLPEAMTTAAFCH >ENSMUSP00000141349.1 pep:known chromosome:GRCm38:2:162931661:162933509:1 gene:ENSMUSG00000016921.14 transcript:ENSMUST00000126163.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf6 description:serine/arginine-rich splicing factor 6 [Source:MGI Symbol;Acc:MGI:1915246] MPRVYIGRLSYNVREKDIQRFFSGYGRLLEIDLKNGYGFVEFEDSRDADDAVYELNSKEL CGERVIVEHARGPRRDRDGYSYGSRMTNGAEAVSTEAKMTAFPDWPWLFHTLCDPCPMTL WLTLPEAMTTAAFCH >ENSMUSP00000074655.1 pep:known chromosome:GRCm38:6:89714203:89715135:1 gene:ENSMUSG00000096051.1 transcript:ENSMUST00000075158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r40 description:vomeronasal 1 receptor 40 [Source:MGI Symbol;Acc:MGI:2148518] MNKANMLRTDKDMQIILFSEVSVGISANSILFIAHVCMILGENRPKPIDLYIAFLSLTQL MLLITMGLIAVDMFLSQGIWDSTTCQSLIYLHRLLRGLSLCATCLLNILWTITLSSRSFC STKFKHKSPHHISGAFIFFCVLYMSFSSHLFISIIATHNLTSENFIYVTQSCSLLPLSYS RTSMFSAPMAIREAFLVSLMALSSGYMVALLWRHKKQAQHLHSTSLSSKASPEQRATRTI LLLMSFFVVLYILENAVFYSRIKFKDGSILYCVQIILCHSYATVNPFVFICTEKHIIKFW ESKCGRIVNI >ENSMUSP00000071349.6 pep:known chromosome:GRCm38:3:37307749:37312446:-1 gene:ENSMUSG00000045031.18 transcript:ENSMUST00000071400.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn4 description:centrin 4 [Source:MGI Symbol;Acc:MGI:2677454] MASSQRITLDQWKKKAAKVELNDTQKQEIKEAFDLFDIDGSGTIDLKELKSEKDEKEEIL KAFKLFDDDATGSISLNNIKRVAKELGENLTEDELQEMLDEADRDGDGEINEEEFLKMMK KTSLY >ENSMUSP00000100020.4 pep:known chromosome:GRCm38:3:37308622:37312446:-1 gene:ENSMUSG00000045031.18 transcript:ENSMUST00000102955.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn4 description:centrin 4 [Source:MGI Symbol;Acc:MGI:2677454] MASSQRITLDQWKKKAAKVELNDTQKQEIKEAFDLFDIDGSGTIDLKELKIAMRALGFEP KKEEVKQLIAEIDKEGTGTICFEDFFAIMSVKMSEKDEKEEILKAFKLFDDDATGSISLN NIKRVAKELGENLTEDELQEMLDEADRDGDGEINEEEFLKMMKKTSLY >ENSMUSP00000132689.1 pep:known chromosome:GRCm38:3:37308622:37312446:-1 gene:ENSMUSG00000045031.18 transcript:ENSMUST00000140956.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn4 description:centrin 4 [Source:MGI Symbol;Acc:MGI:2677454] MRALGFEPKKEEVKQLIAEIDKEGTGTICFEDFFAIMSVKMSEKDEKEEILKAFKLFDDD ATGSISLNNIKRVAKELGENLTEDELQEMLDEADRDGDGEINEEEFLKMMKKTSLY >ENSMUSP00000074975.6 pep:known chromosome:GRCm38:3:37308760:37312420:-1 gene:ENSMUSG00000045031.18 transcript:ENSMUST00000075537.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn4 description:centrin 4 [Source:MGI Symbol;Acc:MGI:2677454] MASSQRITLDQWKKKAAKVELNDTQKQEIKEAFDLFDIDGSGTIDLKELKIAMRALGFEP KKEEVKQLIAEIDKEGTGTICFEDFFAIMSVKMEMLDEADRDGDGEINEEEFLKMMKKTS LY >ENSMUSP00000129410.1 pep:known chromosome:GRCm38:3:37309345:37312708:-1 gene:ENSMUSG00000045031.18 transcript:ENSMUST00000125252.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn4 description:centrin 4 [Source:MGI Symbol;Acc:MGI:2677454] MRALGFEPKKEEVKQLIAEIDKEGTGTICFEDFFAIMSVKMSEKDEKEEILKAFKLFDDD ATGSISLNNIKRVAKELGENLTEDELQ >ENSMUSP00000145199.1 pep:known chromosome:GRCm38:6:89740753:89747414:1 gene:ENSMUSG00000094586.3 transcript:ENSMUST00000204949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r41 description:vomeronasal 1 receptor 41 [Source:MGI Symbol;Acc:MGI:2148520] MMNKANLLYTDTNMKTFLFSEVSVGISANSMLFIVHICILLGENRPKPINLYIAFLSLTQ LMLLITMGLIVADMFMSQGIWDSITCQSLIYLHRLLRGLTLCATCLLNVLWTITLSPRSS CLTKFKHKSPHHISGAFLFFCALYMSFSSHLFLSIIATPNLTSEDFMYVTQSCSLLSMSY SRTSMFSTAIAIREAFLISLFALCSGYMVALLWRHKKQAWDLHSTNVSSKSSPEQRATRT IMLLMSFFVVLYVLENAVFHSRMKFKDGSMLYCVQIIVSHSYATVSPFVLICTEKRMIKF WGSMFGRIVNI >ENSMUSP00000087371.3 pep:known chromosome:GRCm38:16:22468461:22657173:-1 gene:ENSMUSG00000022861.16 transcript:ENSMUST00000089925.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkg description:diacylglycerol kinase, gamma [Source:MGI Symbol;Acc:MGI:105060] MSEEQWVSLSSEEFDQLQKYSEYSSKKIKDVLAEFNEGGSLRQYDPHKPISYDVFKLFMR AYLEVDLPQPLSTHLFLAFSQKPRQETPDHPKEGASSSEPNVSDYNSDNAAKADEACAPD TESKTTKTQAPSKELEAAAPWEDPGALASSSDAPVVYLKDVVCYLSLMETGRPQDKLEFM FRLYDSDENGLLDQAEMDQIVSQMLHVAQYLEWDPTELRPILKEMLQGMDYDKDGFVSLQ EWINGGMTTIPLLVLLGMDDSGSKGDGRHAWTLKHFKKPTYCNFCRAMLMGVGKQGLCCI YCKYTVHQRCVSKTIHGCVKTNSKAKRSGEVMQHAWVEGNSSVKCDRCHKSIKCYQSVTA RHCVWCRMTFHRKCELSTVCDGGELKDHILLPTSICPVSGDRQGGKSDGSVAAKGELVTQ YKIIPSPGTHPLLVLVNPKSGGRQGERILRKFHYLLNPEQVFNLDNGGPTPGLNFFHDTP DFRVLACGGDGTVGWILDCIDKANFTKHPPVAVLPLGTGNDLARCLRWGGGYEGGSLTKI LKEIEQSPLVMLDRWYLEVMPREEVENGDQVPYNIMNNYFSIGVDASIAHRFHMMREKHP EKFNSRMKNKLWYFEFGTSETFAATCKKLHDHIELECDGVEVDLSNIFLEGIAILNIPSM YGGTNLWGETKKNRAVIRESRKSVTDPKELKCCVQDLSDQLLEVVGLEGAMEMGQIYTGL KSAGRRLAQCSSVTIRTNKLLPMQVDGEPWMQPQCTIKITHKNQAPMMMGPPQKSSFFSL RRKSRSKD >ENSMUSP00000114441.1 pep:known chromosome:GRCm38:16:22548486:22657188:-1 gene:ENSMUSG00000022861.16 transcript:ENSMUST00000137311.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgkg description:diacylglycerol kinase, gamma [Source:MGI Symbol;Acc:MGI:105060] MSEEQWVSLSSEEFDQLQKYSEYSSKKIKDVLAEFNEGGSLRQYDPHKPISYDVFKLFMR AYLEVDLPQPLSTHLFLAFSQKPRQETPDHPKEGASSSEPNVSDYNSDNAAKADEACAPD TESKTTKTQAPSKELEAAAPWEDPGALASSSDAPVVYLKDVVCYLSLMETGRPQDKLEFM FRLYDSDENGLLDQAEMDQIVSQMLHVAQYLEWDPTELRPILKEMLQGMDYDKDGFVSLQ EWINGGMTTIPLLVLLGMDDSGSKGDGRHAWTLKHFKKPTYCNFCRAMLMGVGKQGLCCI YCKYTVHQRCVSKTIHGCVKTNSKAKRSGEVGDTYGLIVLHAASGATHPSSRIAAQEGAQ RAFSGSFSASRTLEPLHSSINPFSTSLKPTVC >ENSMUSP00000023578.7 pep:known chromosome:GRCm38:16:22469222:22657221:-1 gene:ENSMUSG00000022861.16 transcript:ENSMUST00000023578.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkg description:diacylglycerol kinase, gamma [Source:MGI Symbol;Acc:MGI:105060] MSEEQWVSLSSEEFDQLQKYSEYSSKKIKDVLAEFNEGGSLRQYDPHKPISYDVFKLFMR AYLEVDLPQPLSTHLFLAFSQKPRQETPDHPKEGASSSEPNVSDYNSDNAAKADEACAPD TESKTTKTQAPSKELEAAAPWEDPGALASSSDAPVVYLKDVVCYLSLMETGRPQDKLEFM FRLYDSDENGLLDQAEMDQIVSQMLHVAQYLEWDPTELRPILKEMLQGMDYDKDGFVSLQ EWINGGMTTIPLLVLLGMDDSGSKGDGRHAWTLKHFKKPTYCNFCRAMLMGVGKQGLCCI YCKYTVHQRCVSKTIHGCVKTNSKAKRSGEFHRKCELSTVCDGGELKDHILLPTSICPVS GDRQGGKSDGSVAAKGELVTQYKIIPSPGTHPLLVLVNPKSGGRQGERILRKFHYLLNPE QVFNLDNGGPTPGLNFFHDTPDFRVLACGGDGTVGWILDCIDKANFTKHPPVAVLPLGTG NDLARCLRWGGGYEGGSLTKILKEIEQSPLVMLDRWYLEVMPREEVENGDQVPYNIMNNY FSIGVDASIAHRFHMMREKHPEKFNSRMKNKLWYFEFGTSETFAATCKKLHDHIELECDG VEVDLSNIFLEGIAILNIPSMYGGTNLWGETKKNRAVIRESRKSVTDPKELKCCVQDLSD QLLEVVGLEGAMEMGQIYTGLKSAGRRLAQCSSVTIRTNKLLPMQVDGEPWMQPQCTIKI THKNQAPMMMGPPQKSSFFSLRRKSRSKD >ENSMUSP00000117834.1 pep:known chromosome:GRCm38:9:106429454:106431568:1 gene:ENSMUSG00000048758.13 transcript:ENSMUST00000150576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl29 description:ribosomal protein L29 [Source:MGI Symbol;Acc:MGI:99687] MAKSKNHTTHNQSRKWHRNGIKKPRSQRYESLKGVDPKFLRNMRFAKKHNKKGLKKMQAN NAKAVSARAEAIKALVKPQAIKPKMPKGPKLKRLAFIAHPKLGKRIRSYMAKGQRLCQPK PKVQTKAGAKAPAKAQASAPAQAPKGAQAPKGAQAPVKAP >ENSMUSP00000080203.5 pep:known chromosome:GRCm38:9:106429539:106431567:1 gene:ENSMUSG00000048758.13 transcript:ENSMUST00000059802.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl29 description:ribosomal protein L29 [Source:MGI Symbol;Acc:MGI:99687] MAKSKNHTTHNQSRKWHRNGIKKPRSQRYESLKGVDPKFLRNMRFAKKHNKKGLKKMQAN NAKAVSARAEAIKALVKPQAIKPKMPKGPKLKRLAFIAHPKLGKRIRSYMAKGQRLCQPK PKVQTKAGAKAPAKAQASAPAQAPKGAQAPKGAQAPVKAP >ENSMUSP00000109312.2 pep:known chromosome:GRCm38:15:102331709:102338139:1 gene:ENSMUSG00000001285.12 transcript:ENSMUST00000113682.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myg1 description:melanocyte proliferating gene 1 [Source:MGI Symbol;Acc:MGI:1929864] MGRRFLRGILTLPLRSVLQAQHRMLGSEQDPPAKRPRNNLMAPPRIGTHNGTFHCDEALA CALLRLLPEYANAEIVRTRDPEKLASCDIVVDVGGEYNPQSHRYDHHQRTFTETMSSLCP GKPWQTKLSSAGLVYLHFGRKLLAQLLGTSEEDSVVDTIYDKMYENFVEEVDAVDNGISQ WAEGEPRYAMTTTLSARVARLNPTWNQPNQDTEAGFRRAMDLVQEEFLQRLNFYQHSWLP ARALVEEALAQRFKVDSSGEIVELAKGGCPWKEHLYHLESELSPKVAITFVIYTDQAGQW RVQCVPKEPHSFQSRLPLPEPWRGLRDKALDQVSGIPGCIFVHASGFIGGHHTREGALNM ARATLAQRPAPVPLANAVVQ >ENSMUSP00000001331.6 pep:known chromosome:GRCm38:15:102331750:102337834:1 gene:ENSMUSG00000001285.12 transcript:ENSMUST00000001331.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myg1 description:melanocyte proliferating gene 1 [Source:MGI Symbol;Acc:MGI:1929864] RFLRGILTLPLRSVLQAQHRMLGSEQDPPAKRPRNNLMAPPRIGTHNGTFHCDEALACAL LRLLPEYANAEIVRTRDPEKLASCDIVVDVGGEYNPQSHRYDHHQRTFTETMSSLCPGKP WQTKLSSAGLVYLHFGRKLLAQLLGTSEEDSVVDTIYDKAGFRRAMDLVQEEFLQRLNFY QHSWLPARALVEEALAQRFKVDSSGEIVELAKGGCPWKEHLYHLESELSPKVAITFVIYT DQAGQWRVQCVPKEPHSFQSRLPLPEPWRGLRDKALDQVSGIPGCIFVHASGFIGGHHTR EGALNMARATLAQRPAPVPLANAVVQ >ENSMUSP00000129494.1 pep:known chromosome:GRCm38:15:102331755:102337746:1 gene:ENSMUSG00000001285.12 transcript:ENSMUST00000171244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myg1 description:melanocyte proliferating gene 1 [Source:MGI Symbol;Acc:MGI:1929864] XLRGILTLPLRSVLQAQHRMLGSEQDPPAKRPRNNLMAPPRIGTHNGTFHCDEALACALL RLLPEYANAEIVRTRDPEKLASCDIVVDVGGEYNPQSHRYDHHQRTFTETMSSLCPGKPW QTKLSSAGLVYLHFGRKLLAQLLGTSEEDSVVDTIYDKMYENFVEEVDAVDNGISQWAEG EPRYAMTTTLSARVARLNPTWNQPNQDTEVDSSGEIVELAKGGCPWKEHLYHLESELSPK VAITFVIYTDQAGQWRVQCVPKEPHSFQSRLPLPEPWRGLRDKALDQVSGIPGCIFVHAS GFIGGH >ENSMUSP00000102722.2 pep:known chromosome:GRCm38:11:102393403:102401754:1 gene:ENSMUSG00000006575.14 transcript:ENSMUST00000107105.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rundc3a description:RUN domain containing 3A [Source:MGI Symbol;Acc:MGI:1858752] MEASFVQTTMALGLPSKKASSRNVIVERRNLITVCRFSVKTLLEKYTAEPIDDSSEEFVN FAAILEQILSHRFKACAPAGPASWFSSDGQRGFWDYIRLACSKVPNNCVSSIENMENIST ARAKGRAWIRVALMEKRMSEYITTALRDNRTTRRFYDSGAIMLREEATVLTGMLIGLSAI DFSFCLKGEVLDGKTPVVIDYTPYLKFTQSYDYLTDEEERHSAESSTSEDNSPEHPYLPL VTDEDSWYNKWHKMEQKFRIVYAQKGYLEELVRLRESQLKDLEAENRRLQLQLEEAAAQN QREKRELEGVILELQEQLPDPPPPPRTGLIPGDHAPLAQGSKELTTSLVNQWPSLSTLHR PEGASNSKLYRRHSFMSTEPLSAEASLSSDSQRLGEAKRDEEPWGPIGSSEPN >ENSMUSP00000102719.1 pep:known chromosome:GRCm38:11:102393403:102401754:1 gene:ENSMUSG00000006575.14 transcript:ENSMUST00000107102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rundc3a description:RUN domain containing 3A [Source:MGI Symbol;Acc:MGI:1858752] MEASFVQTTMALGLPSKKASSRNVIVERRNLITVCRFSVKTLLEKYTAEPIDDSSEEFVN FAAILEQILSHRFKACAPAGPASWFSSDGQRGFWDYIRLACSKVPNNCVSSIENMENIST ARAKGRAWIRVALMEKRMSEYITTALRDNRTTRRFYDSGAIMLREEATVLTGMLIGLSAI DFSFCLKGEVLDGKTPVVIDYTPYLKFTQSYDYLTDEEERHSAESSTSEDNSPEHPYLPL VTDEDSWYNKWHKMEQKFRIVYAQKGYLEELVRLRESQLKDLEAENRRLQLQLEEAAAQN QREKRELEGVILELQEQLTGLIPGDHAPLAQGSKELTTSLVNQWPSLSTLHRPEGASNSK LYRRHSFMSTEPLSAEASLSSDSQRLGEAKRDEEPWGPIGSSEPN >ENSMUSP00000102720.1 pep:known chromosome:GRCm38:11:102393403:102401754:1 gene:ENSMUSG00000006575.14 transcript:ENSMUST00000107103.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rundc3a description:RUN domain containing 3A [Source:MGI Symbol;Acc:MGI:1858752] MEASFVQTTMALGLPSKKASSRNVIVERRNLITVCRFSVKTLLEKYTAEPIDDSSEEFVN FAAILEQILSHRFKGPASWFSSDGQRGFWDYIRLACSKVPNNCVSSIENMENISTARAKG RAWIRVALMEKRMSEYITTALRDNRTTRRFYDSGAIMLREEATVLTGMLIGLSAIDFSFC LKGEVLDGKTPVVIDYTPYLKFTQSYDYLTDEEERHSAESSTSEDNSPEHPYLPLVTDED SWYNKWHKMEQKFRIVYAQKGYLEELVRLRESQLKDLEAENRRLQLQLEEAAAQNQREKR ELEGVILELQEQLTGLIPGDHAPLAQGSKELTTSLVNQWPSLSTLHRPEGASNSKLYRRH SFMSTEPLSAEASLSSDSQRLGEAKRDEEPWGPIGSSEPN >ENSMUSP00000006750.7 pep:known chromosome:GRCm38:11:102393403:102402555:1 gene:ENSMUSG00000006575.14 transcript:ENSMUST00000006750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rundc3a description:RUN domain containing 3A [Source:MGI Symbol;Acc:MGI:1858752] MEASFVQTTMALGLPSKKASSRNVIVERRNLITVCRFSVKTLLEKYTAEPIDDSSEEFVN FAAILEQILSHRFKACAPAGPASWFSSDGQRGFWDYIRLACSKVPNNCVSSIENMENIST ARAKGRAWIRVALMEKRMSEYITTALRDNRTTRRFYDSGAIMLREEATVLTGMLIGLSAI DFSFCLKGEVLDGKTPVVIDYTPYLKFTQSYDYLTDEEERHSAESSTSEDNSPEHPYLPL VTDEDSWYNKWHKMEQKFRIVYAQKGYLEELVRLRESQLKDLEAENRRLQLQLEEAAAQN QREKRELEGVILELQEQLTGLIPGDHAPLAQGSKELTTSLVNQWPSLSTLHRPEGASNSK LYRRHSFMSTEPLSAEASLSSDSQRLGEAKRDEEPWGPIGKDPTPSMLGLCGSLASIPSC KSLASFKSNECLVSDSPEGSPALSPS >ENSMUSP00000028466.5 pep:known chromosome:GRCm38:2:84988215:84993886:1 gene:ENSMUSG00000027072.13 transcript:ENSMUST00000028466.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prg3 description:proteoglycan 3 [Source:MGI Symbol;Acc:MGI:1858200] MKQPLILSFLLLGMVSAFHLETAHLENPKREESLKQEADGSREQGRELALTQETKQTEGE EVEGSQHQDIFEDEEAMESDPDALNKDSACPKEEDTTHFQGTPGCKSCNYVLVRTPETFD KAQRVCRRCYRGNLASVHSYSFNYQIQNLARKINQSIVWIGGILRGWFWKKFCWMDGSCW DFGYWAPGQPGSGGGHCVTLCTKGGHWRRASCKSHLPFICSF >ENSMUSP00000050014.7 pep:known chromosome:GRCm38:5:124493080:124531391:-1 gene:ENSMUSG00000029392.12 transcript:ENSMUST00000062153.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rilpl1 description:Rab interacting lysosomal protein-like 1 [Source:MGI Symbol;Acc:MGI:1922945] MEEPLGSPPAALSALEKNVAELTVMDVYDIASLVGHEFERVIDQHGCESIARLMPKVVRV LEILEVLVSRHHVAPELDELRLELDRLRVERMDRIEKERKHQKELELVEDVWRGEAQDLL SQIAQLQEENKQLMTNLNHKDVGFSEEEFQKQEGMSERERQVMKRLKEVVDKQRDELRAK DRELGLKNEDVEALQQQQTRLMKINHDLRHRVTVVEAQGKALIEQKVELEADLQTKEQEM GSLRAELGKLRERLQGEHSQNGEEEEAEIQPQPDGEESISDAEKAALDLKDPNRPRFTLQ ELRDVLHERNELKSKVFLLQEELAYYKSEEIEEENRIPQPPPITHPRTSPQPESGIKRLF SFFSRDKKRLANTQRPTHIHESFGQWAITQRDDGYTEQGQEALQHL >ENSMUSP00000142757.1 pep:known chromosome:GRCm38:5:124493537:124503971:-1 gene:ENSMUSG00000029392.12 transcript:ENSMUST00000200202.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rilpl1 description:Rab interacting lysosomal protein-like 1 [Source:MGI Symbol;Acc:MGI:1922945] XTSRCPGCRASEGAFARSGNRWWLASTSREKLKRRSSRSQMERNRFQMQRRPPWTSRTPT ARGSRSRSCGTSCTRGTSSSPRCFCCKRNWPTIRVKK >ENSMUSP00000143250.1 pep:known chromosome:GRCm38:5:124493652:124514659:-1 gene:ENSMUSG00000029392.12 transcript:ENSMUST00000199766.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rilpl1 description:Rab interacting lysosomal protein-like 1 [Source:MGI Symbol;Acc:MGI:1922945] LIEQKVELEADLQTKEQEMGSLRAELGKLRERLQGEHSQNGEEEEAEIQPQPDGEESISD AEKAALDLKDPNRPRFTLQELRDVLHERNELKSKVFLLQEELAYYKSEEIEEENRIPQPP PITHPRTSPQPESGIKRLKEGPLSDGFSFFSRDKKRLANTQRPTHIHESFGQWAITQRDD GYTEQGQEALQHL >ENSMUSP00000142887.1 pep:known chromosome:GRCm38:5:124493664:124514743:-1 gene:ENSMUSG00000029392.12 transcript:ENSMUST00000199125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rilpl1 description:Rab interacting lysosomal protein-like 1 [Source:MGI Symbol;Acc:MGI:1922945] LQQQQTRLMKINHDLRHRVTVVEAQGKALIEQKVELEADLQTKEQEMGSLRAELGKLRER LQGEHSQNGEEEEAEIQPQPDGEESISDAEKAALDLKDPNRPRFTLQELRDVLHERNELK SKVFLLQEELAYYKSEEIEEENRIPQPPPITHPRTSPQPESGIKRLLFTAVMPMVAAGLI LDDPTLQPVRRLVSLV >ENSMUSP00000101397.1 pep:known chromosome:GRCm38:4:143653760:143657246:-1 gene:ENSMUSG00000078513.2 transcript:ENSMUST00000105771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13088 description:predicted gene 13088 [Source:MGI Symbol;Acc:MGI:3649971] MHRLLREEALAISSLKDLPNMLFPVLFEEAFIFGYTKILKAMIPEWPFPYLSIAVLIDNC NLESLKAVLEGLDILLAQKVHSSRCKLKEISWRDTNHGLDGIRPGSHEVEGLSEFMEQKH PNCVEKKELKVTTELSVMNGRLNESDTYLLEWTQQRKDSIHLFCRKLVIQSLTKATVIEI FKIVNADCIPELELCSLCLEDLAFLNPYLRQMDNLLELTLDHITDSLSIGDSEMLEERMI TLVSQLPTFPCLQKLCINDVYFIYGNLKEFLGCLKKPLVSFCISNCELSQSDLDYLPYCL NIFELKCLYIINIHLQYLCLEPLGFLLESVRHTLGCLALKSCNMGEPHFKSLLPALSQCF HLTDVNFQENELSLLSLKQLLQHTSKLTQLSYEMYPAPHECYDDRGVILSHRIEQFCPEV LDMLRAKRQPKDINFVTTQCSKCGIYYVYDLETQRCRFLK >ENSMUSP00000137258.2 pep:known chromosome:GRCm38:9:124291804:124312696:-1 gene:ENSMUSG00000074829.10 transcript:ENSMUST00000177714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010315B03Rik description:RIKEN cDNA 2010315B03 gene [Source:MGI Symbol;Acc:MGI:1919321] MNAMTYADVHVNFTWEEWALLNPSQKSLYKEVMLETYENLTAIGYNWEDHYIEEQFQSSR RDGRHKRGHTGEKPYECNHCGTAFARHGHLQRHKGTHTGEKLYECNRCGKVFAWNCHLRI HKRTHSGEKPYECDQCGTAFASHCRLQRHKRTHTGEKPYECNQCGKAFTQHSTLQVHKRT HTGEKPYECSQCGKAYSRHSHLQRHKRTHTGEKPYVCNQCGKAFAYQNSLQYHKRTHTGE KSYECKQCGKFFPCRKHLQIHKRTHAGQKGYECNKCGKAFAFHSHFQRHKRHIGEKPYEC NHCDKAFASHNNLQKHIRRHTGEKPYKCNLCDKAYAHHSHLQTHERVHTEEKPYKCNQCD KAFSQQSSLQVHKRIHTGEKPYECNQCGKAFTCHRYLQRHKRTHTGEKPYECNQFGKIFA QHSTVHSIKKGNI >ENSMUSP00000071269.7 pep:known chromosome:GRCm38:9:124293641:124312642:-1 gene:ENSMUSG00000074829.10 transcript:ENSMUST00000071300.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010315B03Rik description:RIKEN cDNA 2010315B03 gene [Source:MGI Symbol;Acc:MGI:1919321] MGYLDGLQFLTIMNSYYEHSTQNAMTYADVHVNFTWEEWALLNPSQKSLYKEVMLETYEN LTAIGYNWEDHYIEEQFQSSRRDGRHKRGHTGEKPYECNHCGTAFARHGHLQRHKGTHTG EKLYECNRCGKVFAWNCHLRIHKRTHSGEKPYECDQCGTAFASHCRLQRHKRTHTGEKPY ECNQCGKAFTQHSTLQVHKRTHTGEKPYECSQCGKAYSRHSHLQRHKRTHTGEKPYVC >ENSMUSP00000140738.1 pep:known chromosome:GRCm38:9:124293831:124311899:-1 gene:ENSMUSG00000074829.10 transcript:ENSMUST00000189915.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010315B03Rik description:RIKEN cDNA 2010315B03 gene [Source:MGI Symbol;Acc:MGI:1919321] MTYADVHVNFTWEEWALLNPSQKSLYKEVMLETYENLTAIGYNWEDHYIEEQFQSSRRDG RHKRGHTGEKPYECNHCGTAFARHGHLQRHKGTHTGEKLYECNRCGKVFAWNCHLRIHKR THSGEKPYECDQCGTAFASHCRLQRHKRTHT >ENSMUSP00000140144.1 pep:known chromosome:GRCm38:9:124293843:124312671:-1 gene:ENSMUSG00000074829.10 transcript:ENSMUST00000185949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010315B03Rik description:RIKEN cDNA 2010315B03 gene [Source:MGI Symbol;Acc:MGI:1919321] MYLEDSPATSFRLGPGWSVQRRRHQRNAMTYADVHVNFTWEEWALLNPSQKSLYKEVMLE TYENLTAIGYNWEDHYIEEQFQSSRRDGRHKRGHTGEKPYECNHCGTAFARHGHLQRHKG THTGEKLYECNRCGKVFAWNCHLRIHKRTHSGEKPYECDQCGTAFASHCRLQRHK >ENSMUSP00000085175.5 pep:known chromosome:GRCm38:X:104482818:104507490:1 gene:ENSMUSG00000073016.3 transcript:ENSMUST00000087867.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uprt description:uracil phosphoribosyltransferase (FUR1) homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685620] MASELQRPDSMPCHNRQVNSTSSPSPEHLLAEDRVLDHAEENNAAMAKLTLLPGHAHSSV LSERDSPACCSTNLHSENHSDSSDSGNYDAPVGGDSLLGDCELSRQIGAQLKLLPMNDQI RELQTIIRDKTASRGDFMFSADRLIRLVVEEGLNQLPYKECMVTTPTGHKYEGVKFEKGN CGVSIMRSGEAMEQGLRDCCRSIRIGKILIQSDEETQRAKVYYAKFPPDIHRRKVLLMYP ILSTGNTVIEAVKVLIEHGVQPSVIILLSLFSTPHGAKSIIQEFPEITILTTEVHPVAPT HFGQKYFGTD >ENSMUSP00000122669.1 pep:known chromosome:GRCm38:10:127011572:127030803:-1 gene:ENSMUSG00000040521.11 transcript:ENSMUST00000152054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsfm description:Ts translation elongation factor, mitochondrial [Source:MGI Symbol;Acc:MGI:1913649] MSLLRSLRFFPVACTGRSARAVLLQPSQPWLTFHAGPSLSSAASSKELLMKLRRKTGYSF VNCKKALETCGGDLKQAEDWLHKQAQKEGWSKAAKLHGRKTKEGLIGLLQEGNTAVLVEV VLCLSPQQKDVVGVERGSRGRDLHCFGVGFVVPVDLEEPYWRVRLLVSLPGSPALKSRVQ TFRVLRELAAMNVRWTVYHLCPSGSP >ENSMUSP00000042134.4 pep:known chromosome:GRCm38:10:127022332:127030840:-1 gene:ENSMUSG00000040521.11 transcript:ENSMUST00000040560.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsfm description:Ts translation elongation factor, mitochondrial [Source:MGI Symbol;Acc:MGI:1913649] MSLLRSLRFFPVACTGRSARAVLLQPSQPWLTFHAGPSLSSAASSKELLMKLRRKTGYSF VNCKKALETCGGDLKQAEDWLHKQAQKEGWSKAAKLHGRKTKEGLIGLLQEGNTAVLVEV NCETDFVSRNLKFQQLVQQVALGTMAHCQNLTDRLSTYSKGFLNSSELSELAAGPDREGS LKDQLALAIGKLGENMILKRAAWVKVPSGFYVGSYVHGVTQSPSLQNLVLGKYGALVICE TPEQIANLEEVGRRLGQHVVGMAPLSVGSLDDEPGGETETRMLPQPYLLDPSITLGQYVQ PQGVTVVDFVRFECGEDEQVAEAE >ENSMUSP00000113446.1 pep:known chromosome:GRCm38:10:127024235:127030799:-1 gene:ENSMUSG00000040521.11 transcript:ENSMUST00000120547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsfm description:Ts translation elongation factor, mitochondrial [Source:MGI Symbol;Acc:MGI:1913649] MSLLRSLRFFPVACTGRSARAVLLQPSQPWLTFHAGPSLSSAASSKELLMKLRRKTGYSF VNCKKALETCGGDLKQAEDWLHKQAQKEGWSKAAKLHGRKTKEGLIGLLQEGNTAVLVEV NCETDFVSRNLKFQQLVQQVALGTMAHCQNLTDRLSTYSKGFLNSSELSELAAGPDREGS LKDQLALAIGEYL >ENSMUSP00000038490.8 pep:known chromosome:GRCm38:4:148240264:148287965:-1 gene:ENSMUSG00000041544.11 transcript:ENSMUST00000047720.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptchd2 description:patched domain containing 2 [Source:MGI Symbol;Acc:MGI:2444403] MDSEDDPLLQDVWLEEEQPEDEACRGIPGPGLQSGAQGCWRRWTLPSRPPTLGFWSTLGW AFTNPCCAGLVLFLGCSIPMVLSAFMFLYYPPLDIDISYNAFEIRNHEASQRFDALALAL KSQFGSWGRNRRDLADFTSETLQRLISEQLQQLHLGNHSRPASRAPRSAPRDTVATQTSA ANSSERRRREAPSPEGQVTNQSRARRGASRWDYSRTYVSANTQTHAHWRIELIFLARGDA ERNIFTSERLVTIHEIERKIMDHPGFREFCWKPHEVLKDLPLGSYSYCSPPSSLMTYFFP TERGGKIYYDGMGQDLADIRGSLELAMTHPEFYWYVDEGLSVDNLKSSLLRSEILFGAPL PNYYSVDDRWEEQRAKFQSFVVTYVAMLAKQSTSKVQVLYGGTDLFDYEVRRTFNNDMLL AFISSSCIAALVYILTSCSVFLSFFGIASIGLSCLVALFLYHVVFGIQYLGILNGVAAFV IVGIGVDDVFVFINTYRQATHLEDPQLRMIHTIQTAGKATFFTSLTTAAAYAANVFSQIP AVHDFGLFMSLIVTCCWLAVLFTMPAALGLWSLYMAPLESSCQNSCHQKCGRKSSLHFPG DLFTAPERAGGGPAQGPLPYLDDDIPLLNVEDEPASLELGDVSLVSVHCEGLQPTPDANS RGQLLAQLQELLHHWVLWAAVKSRWVIVGLFASILILSLVFASRLRPASRAPLLFRPDTN IQVLLDLKYNLSAEGISCITCSGLFQEKPHSLQNNVRTSLEKKKRGSGVSWASRTETTAQ ESMSTVYISKVKSKGHPAVYRLSLNASLPAPWQAVSPGDGEVPSFQVYRAPFGDFTKKLT ACMSTVGLLQAASPSRKWMVTALACDARRGWKFDFSFYVATKEQQHTRKLYFAQSHKPPF HGRLCVAPPGCLLSSSPDGPTKGFFYVPSDKVPKARISATFGFNPCVNTGCGKPAVRPLV DTGAMVFVVFGIIGLNRTQQMDNHVIGDPGSVIYDSSFDLFKEIGHLCRLCKAIAGNSEL VKPGGAQCLPSGYSISSFLQMLHPECKELPEPNLLPGQLSHGAVGVKEGRVQWISMAFES TTYKGKSSFQTYSDYLRWESFLRQQLQTFPEGSALHRGFQTCEHWKQIFMEIIGVQSALY GLVLSLLICVAAVAVFTTHVLLLLPVLLSILGIVCLVVTIMYWSGWEMGAVEAISLSILV GSSVDYCVHLVEGYLLAGENLPPQQAEDPSSQRQWRTLEAVRHVGVAIVSSALTTVIATV PLFFCIIAPFAKFGKIVALNTGVSILYTLTVSTALLGIMAPGSFTRTRTSFLKALGAVLL AGALGLGACLVLLRSGYKIPLPSGATL >ENSMUSP00000065322.2 pep:known chromosome:GRCm38:17:37085191:37086236:-1 gene:ENSMUSG00000056600.3 transcript:ENSMUST00000070808.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr90 description:olfactory receptor 90 [Source:MGI Symbol;Acc:MGI:2177473] MVNQSSPVGFLLLGFSEHPQLEKVLFVVVLCSYLLTLLGNTLILLLSTLDPRLHSPMYFF LSNLSFLDLCFTTTCVPQMLFNLWGPEKTISFLGCFVQLFIFLSLGTTECILLAVMAFDR YVAVCQPLHYATIIHPRLCCQLAAVAWTIGLVESVVQTPSTLRLPFCPHHQVDDFVCEVP ALIRLSCGDTTYNEIQMAIASVFILVVPLSLILVSYGAIARAVLRISSAKGRRKAFGTCS SHLIVVTLFYSSVIAVYLQPKNPYARERGKFFGLFYAVGTPSLNPLIYTLRNKEVKRAFR RLLWKEVKPG >ENSMUSP00000146397.1 pep:known chromosome:GRCm38:17:37085694:37088451:-1 gene:ENSMUSG00000056600.3 transcript:ENSMUST00000207101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr90 description:olfactory receptor 90 [Source:MGI Symbol;Acc:MGI:2177473] MVNQSSPVGFLLLGFSEHPQLEKVLFVVVLCSYLLTLLGNTLILLLSTLDPRLHSPMYFF LSNLSFLDLCFTTTCVPQMLFNLWGPEKTISFLGCFVQLFIFLSLGTTECILLAVMAFDR YVAVCQPLHYATIIHPRLCCQLAAVAWTIGLVESVV >ENSMUSP00000099823.3 pep:known chromosome:GRCm38:4:106744555:106799846:-1 gene:ENSMUSG00000034853.16 transcript:ENSMUST00000102762.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot11 description:acyl-CoA thioesterase 11 [Source:MGI Symbol;Acc:MGI:1913736] MIQNVGNHLRRGFASMFSNRTSRKSISHPESGDPPTMAEGEGYRNPTEVQMSQLVLPCHT NHRGELSIGQLLKWIDTTACLSAERHAGCPCVTASMDDIYFDHTISVGQVVNIKAKVNRA FNSSMEVGIQVVSEDLCSEKQWSVCKALATFVAHRELSKVKLKQVIPLTEEEKTEHGVAA ERRRMRLVYADTIKDLLTHCVIQDDLDKDCSNMVPAEKTRVESVELVLPPHANHQGNTFG GQIMAWMENVATIAASRLCHAHPTLKAIEMFHFRGPSQVGDRLVLKAIVNNAFKHSMEVG VCVEAYRQEAETQRRHINSAFMTFVVLDKDDQPQKLPWIRPQPGEGERRYREASARKKIR LDRKYLVSCKQAEVALSVPWDPSNQVYLSYYNVSSLKTLMAKDNWVLSVEISEVRLYILE EDFLSFHLEMVVNVDAAQVFQLLSDLRRRPEWDKHYRSVELVQQVDEDDAIYHVISPALS GNTKPQDFVILASRRKPCDNGDPYVIALRSVTLPTHHETPEYQRGETLCSGFCLWREGDQ MTKVSYYNQATPGFLNYVTTNVSGLSSEFYNTFKACESFLLDNRNDLAPSLQTL >ENSMUSP00000069636.6 pep:known chromosome:GRCm38:4:106747235:106804998:-1 gene:ENSMUSG00000034853.16 transcript:ENSMUST00000065253.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot11 description:acyl-CoA thioesterase 11 [Source:MGI Symbol;Acc:MGI:1913736] MRARRSSPSGKADVSLASQLRRSPPCTFLGQGFASMFSNRTSRKSISHPESGDPPTMAEG EGYRNPTEVQMSQLVLPCHTNHRGELSIGQLLKWIDTTACLSAERHAGCPCVTASMDDIY FDHTISVGQVVNIKAKVNRAFNSSMEVGIQVVSEDLCSEKQWSVCKALATFVAHRELSKV KLKQVIPLTEEEKTEHGVAAERRRMRLVYADTIKDLLTHCVIQDDLDKDCSNMVPAEKTR VESVELVLPPHANHQGNTFGGQIMAWMENVATIAASRLCHAHPTLKAIEMFHFRGPSQVG DRLVLKAIVNNAFKHSMEVGVCVEAYRQEAETQRRHINSAFMTFVVLDKDDQPQKLPWIR PQPGEGERRYREASARKKIRLDRKYLVSCKQAEVALSVPWDPSNQVYLSYYNVSSLKTLM AKDNWVLSVEISEVRLYILEEDFLSFHLEMVVNVDAAQVFQLLSDLRRRPEWDKHYRSVE LVQQVDEDDAIYHVISPALSGNTKPQDFVILASRRKPCDNGDPYVIALRSVTLPTHHETP EYQRGETLCSGFCLWREGDQMTKVSYYNQATPGFLNYVTTNVSGLSSEFYNTFKACESFL LDNRNDLAPSLQTL >ENSMUSP00000124567.1 pep:known chromosome:GRCm38:4:106747391:106771556:-1 gene:ENSMUSG00000034853.16 transcript:ENSMUST00000140541.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acot11 description:acyl-CoA thioesterase 11 [Source:MGI Symbol;Acc:MGI:1913736] GFASMFSNRTSRKSISHPESGDPPTMAEGEGYRNPTEVQMSQLVLPCHTNHRGELSIGQL LKWIDTTACLSVSAKW >ENSMUSP00000123942.1 pep:known chromosome:GRCm38:4:106761998:106799843:-1 gene:ENSMUSG00000034853.16 transcript:ENSMUST00000156567.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acot11 description:acyl-CoA thioesterase 11 [Source:MGI Symbol;Acc:MGI:1913736] MIQNVGNHLRRGFASMFSNRTSRKSISHPESGDPPTMAEGEGYRNPTEVQMSQLVLPCHT NHRGELSIGQLLKWIDTTACLSGGNPGGL >ENSMUSP00000125123.1 pep:known chromosome:GRCm38:4:106763406:106799790:-1 gene:ENSMUSG00000034853.16 transcript:ENSMUST00000145061.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot11 description:acyl-CoA thioesterase 11 [Source:MGI Symbol;Acc:MGI:1913736] MFSNRTSRKSISHPESGDPPTMAEGEGYRNPTEVQMSQLVLPCHTNHRGELSIGQLLKWI DTTACLSAERHAGCPCVTASMDDIYFDHTISVGQVVNIKAKVNRAFN >ENSMUSP00000124385.1 pep:known chromosome:GRCm38:4:106763449:106800249:-1 gene:ENSMUSG00000034853.16 transcript:ENSMUST00000148688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot11 description:acyl-CoA thioesterase 11 [Source:MGI Symbol;Acc:MGI:1913736] MFSNRTSRKSISHPESGDPPTMAEGEGYRNPTEVQMSQLVLPCHTNHRGELSIGQLLKWI DTTACLSAERHAGCPCVTASMDDIYFDHTISVG >ENSMUSP00000140421.1 pep:known chromosome:GRCm38:2:92382918:92383589:1 gene:ENSMUSG00000044916.10 transcript:ENSMUST00000191292.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700029I15Rik description:RIKEN cDNA 1700029I15 gene [Source:MGI Symbol;Acc:MGI:1916742] MVLAMLGALYPRAGLSLFLFYLILAGALLRPQPQR >ENSMUSP00000051464.1 pep:known chromosome:GRCm38:2:92382918:92383603:1 gene:ENSMUSG00000044916.10 transcript:ENSMUST00000054316.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700029I15Rik description:RIKEN cDNA 1700029I15 gene [Source:MGI Symbol;Acc:MGI:1916742] MVLAMLGALYPRAGLSLFLFYLILAGALLRPQPQRSQQSVPEEFSAPLELLQPLSGLVDD YGLRPKHPRPGGPRPLLSQAQQRKRDGPNMADYYYDVNL >ENSMUSP00000106911.2 pep:known chromosome:GRCm38:2:92382918:92385708:1 gene:ENSMUSG00000044916.10 transcript:ENSMUST00000111280.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700029I15Rik description:RIKEN cDNA 1700029I15 gene [Source:MGI Symbol;Acc:MGI:1916742] MVLAMLGALYPRAGLSLFLFYLILAGALLRPQPQSTEDIIALVIRNLDRPQELHPELVNP VAVFGKGWKASIQGGV >ENSMUSP00000129446.1 pep:known chromosome:GRCm38:17:37092934:37093872:-1 gene:ENSMUSG00000095377.1 transcript:ENSMUST00000087144.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr91 description:olfactory receptor 91 [Source:MGI Symbol;Acc:MGI:2177474] MVNQSSPVGFLLLGFSEHPQLEKVLFVVVLCSYLLTLLGNTLILLLSTLDPRLHSPMYFF LSNLSFLDLCFTTTCVPQMLFNLWGPAKTISFLGCSVQLFIFLSLGTTECILLTVMSFDR YVAVCQPLHYATVIHPRLCWKLAAVAWMMGLLQSIVQTPPTLKLPFCPHRQIDDFLCEVP SLIRLSCGDTTFNEIQLAVSSVILVVVPLSLILVSYGAIARAVMRINSTEAWKKALRTCS SHLIVVTLFYSSVIAVYLQPKNPYAQERGKFFGLFYAVGTPTLNPLIYTLRNKEVKRAFW RLLGKDGDSKNT >ENSMUSP00000047022.2 pep:known chromosome:GRCm38:11:114982274:114989922:-1 gene:ENSMUSG00000034641.2 transcript:ENSMUST00000045075.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300ld description:CD300 molecule-like family member d [Source:MGI Symbol;Acc:MGI:2442358] MWQFSALLLFFLPGCCTAQDSVTGPEEVSGQEQGSLTVQCRYSSYWKGYKKYWCRGVPQR SCDILVETDKSEQLVKKNRVSIRDNQRDFIFTVTMEDLRMSDAGIYWCGITKGGPDPMFK VNVNIDQAPKSSMMTTTATVLKSIQPSAENTGKEQVTQSKEVTQSRPHTRSLLSSIYFLL MVFVELPLLLSMLSAVLWVTRPQRCFGRGENDLVKTHSPVA >ENSMUSP00000092498.4 pep:known chromosome:GRCm38:7:4522933:4532453:-1 gene:ENSMUSG00000055809.7 transcript:ENSMUST00000094897.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaaf3 description:dynein, axonemal assembly factor 3 [Source:MGI Symbol;Acc:MGI:3588207] MTTPAGSGTGYGSVSWWGLSPALDLQAESPPVDPDSQSKTERKVPELDALLLGSVDGRHM LRTLARAALWPLRSFNFYVLENNLEAVARHMLIFSLALEEPEKMGLQERSETFLELWGNA LLRPSVAAFLRAQASHLANLVLEPDRLEEQLPWLSLRLLKFRERDALEAVFRFWSGGEKG PEVFPMSRLWDSRLRHYLGSRYDARRGVADWDLRMKLHDRGAQVIHIQEFRRWRDTGVAF ELRDLSAYHVPNRTLASGRLLSHRGERVAARGYWGDIATGPFMAFGIEADDQSLLRTRNG QPVKTASEITQHNVTELFREVAAWRGPRAIQGNVEETESPEPDVPAQEPFTIHFLPLDSS QTLHHKTCYQGRFQLLYVSCGMVHLLSPELGACVAPGGNLVVELARYLVDLRPKELKAFS DRVVEIARVAGFAPHTATKPSETFARFYKLGDSTPGGGDSAVESGPAPSKVESTRAPLPE SISPPQANQAPSLEAMSPPLADLAPPLETMCPPQANQAPPLEALSPSKADQIPPLEAMSP PQAKLVLPVEAISLPQADLASPPEVISPLQEAMATSWVNAPPKHVT >ENSMUSP00000034136.5 pep:known chromosome:GRCm38:8:85492576:85527560:1 gene:ENSMUSG00000031700.11 transcript:ENSMUST00000034136.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpt2 description:glutamic pyruvate transaminase (alanine aminotransferase) 2 [Source:MGI Symbol;Acc:MGI:1915391] MQRAAVLVRRGSCPRASGPWGRSHSSAAAEASAALKVRPERSPRDRILTLESMNPQVKAV EYAVRGPIVLKAGEIEMELQRGIKKPFTEVIRANIGDAHAMGQQPITFLRQVMALCTYPN LLNSPSFPEDAKKRARRILQACGGNSLGSYSASQGVNCIREDVAAFITRRDGVPADPDNI YLTTGASDGISTILKLLVSGGGKSRTGVMIPIPQYPLYSAVISELDAVQVNYYLDEENCW ALNVDELRRALRQAKDHCDPKVLCIINPGNPTGQVQSRKCIEDVIHFAWEEKLFLLADEV YQDNVYSPDCRFHSFKKVLYQMGHEYSSNVELASFHSTSKGYMGECGYRGGYMEVINLHP EIKGQLVKLLSVRLCPPVSGQAAMDIVVNPPEPGEESFEQFSREKEFVLGNLAKKAKLTE DLFNQVPGIQCNPLQGAMYAFPRILIPAKAVEAAQSHKMAPDMFYCMKLLEETGICVVPG SGFGQREGTYHFRMTILPPVDKLKTVLHKVKDFHLKFLEQYS >ENSMUSP00000115968.1 pep:known chromosome:GRCm38:8:85492607:85524834:1 gene:ENSMUSG00000031700.11 transcript:ENSMUST00000132932.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpt2 description:glutamic pyruvate transaminase (alanine aminotransferase) 2 [Source:MGI Symbol;Acc:MGI:1915391] MQRAAVLVRRGSCPRASGPWGRSHSSAAAEASAALKVRPERSPRDRILTLESMNPQVKAV EYAVRGPIVLKAGEIEMELQRGIKKPFTEVIRANIGDAHAMGQQPITFLRQVMALCTYPN LLNSPSFPEDAKKRARRILQACGGNSLGEAQLARPSRM >ENSMUSP00000027867.6 pep:known chromosome:GRCm38:1:164275585:164287847:1 gene:ENSMUSG00000026578.6 transcript:ENSMUST00000027867.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc181 description:coiled-coil domain containing 181 [Source:MGI Symbol;Acc:MGI:1922145] MDEDKDIDSKESGEYEDDFEKDLEWLINDKEKSNGSTIEMACKKEDDLDQVLKENETETE LGQQLSDPDNSPKDEALPRRNDFISVPSIQPLDPISDSDSENSFQDSKPENQKDLEDEED EEVRRYIMEKIIEANKLLQTQEPVNDKRERKLKFKDKLVDLEVPPLEDSDTCKALLENET NMSGKLSQLCISGDLEQESVLVSVTDGSCEENDRKILVERDGKFELMNLQDIESQGFLPP ISSANSVEHESSQLPLRAPNPSVGGIKKEESEAKGHVLPISPAGEPLAQVPQLLPNPKNR PSSAANPDVTKKARRSNHRIQSAGVSPVTSTYCLSPRQKELQKQLERKREKLKREEEQRK LEEENEKKKENEMVFKAWLQKKREQVIEMRRVQRAKQIEDMSSRQVNRDPQQAFRLWLKK KHEEQMKERKTEELRKQEECLFFLRGTEGRERAFRQWLRRKQIEKIAEQQAVKERARQLR LEARRSKQLQSSLYSIPEAKAFRFTDHYN >ENSMUSP00000099785.4 pep:known chromosome:GRCm38:4:109676588:109963960:1 gene:ENSMUSG00000010517.7 transcript:ENSMUST00000102724.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faf1 description:Fas-associated factor 1 [Source:MGI Symbol;Acc:MGI:109419] MASNMDREMILADFQACTGIENIDEAITLLEQNNWDLVAAINGVIPQENGILQSDFGGET MPGPTFDPASHPAPASTPSSSAFRPVMPSRQIVERQPRMLDFRVEYRDRNVDVVLEDSCT VGEIKQILENELQIPVPKMLLKGWKTGDVEDSTVLKSLHLPKNNSLYVLTPDLPPPSSSS HAGALQESLNQNFMLIITHREVQREYNLNFSGSSTVQEVKRNVYDLTSIPVRHQLWEGWP ASATDDSMCLAESGLSYPCHRLTVGRRTSPVQTREQSEEQSTDVHMVSDSDGDDFEDASE FGVDDGEVFGMASSTLRKSPMMPENAENEGDALLQFTAEFSSRYGDCHPVFFIGSLEAAF QEAFYVKARDRKLLAIYLHHDESVLTNVFCSQMLCAESIVSYLSQNFITWAWDLTKDTNR ARFLTMCNRHFGSVIAQTIRTQKTDQFPLFLIIMGKRSSNEVLNVIQGNTTVDELMMRLM AAMEIFSAQQQEDIKDEDEREARENVKREQDEAYRLSLEADRAKREAHEREMAEQFRLEQ IRKEQEEEREAIRLSLEQALPPEPKEENAEPVSKLRIRTPSGEFLERRFLASNKLQIVFD FVASKGFPWDEFKLLSTFPRRDVTQLDPNKSLLEVNLFPQETLFLQAKE >ENSMUSP00000137742.1 pep:known chromosome:GRCm38:10:104142987:104145456:1 gene:ENSMUSG00000091779.8 transcript:ENSMUST00000181634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21293 description:predicted gene, 21293 [Source:MGI Symbol;Acc:MGI:5434648] MADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGMTRN PGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQKQQQ QLSAEELDAQLDAYQEMMDTS >ENSMUSP00000138043.1 pep:known chromosome:GRCm38:10:104143402:104145456:1 gene:ENSMUSG00000091779.8 transcript:ENSMUST00000180664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21293 description:predicted gene, 21293 [Source:MGI Symbol;Acc:MGI:5434648] MADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGMTRN PGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQKQQQ QLSAEELDAQLDAYQEMMDTS >ENSMUSP00000127137.1 pep:known chromosome:GRCm38:10:104144317:104153674:1 gene:ENSMUSG00000091779.8 transcript:ENSMUST00000170919.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21293 description:predicted gene, 21293 [Source:MGI Symbol;Acc:MGI:5434648] MADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGMTRN PGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQQKQQQKQQ QKQQQQLSAEELDAQLDAYQEMMDTS >ENSMUSP00000128393.1 pep:known chromosome:GRCm38:17:37111042:37111980:-1 gene:ENSMUSG00000096477.1 transcript:ENSMUST00000168659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr92 description:olfactory receptor 92 [Source:MGI Symbol;Acc:MGI:2177475] MVNQSSPVVFFLLGFSDNPQLEKVLFVVVLCSYLLTLLGNTLILLLSTLDPRLHSPMYFF LSNLSFLDLCFTTTCVPQMLFNLWGPAKTISFLGCFVQLFIFMSLGTTECILLTVMAFDR YVAVCQPLHYATKINPHLCRQLAGIAWAIGLVQSIVQTPPTLKLPFCSHRQIDNFLCEVP SLIQLSCGDTTYNEIQMAVASIFIVVVPLSLILVSYGAIARAVLKISSAKGRRKAFGTCS SHLIVVTLFYSSVIAVYLQPKNLYARERGKFFGLFYAVGTPTLNPLVYTLRNKEVKRAFW KLLRKDEDSEES >ENSMUSP00000137957.2 pep:known chromosome:GRCm38:10:104151497:104153965:1 gene:ENSMUSG00000097427.5 transcript:ENSMUST00000181287.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6763 description:predicted gene 6763 [Source:MGI Symbol;Acc:MGI:3643573] MTTMADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGM TRNPGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQK QQQQLSAEELDAQLDAYQEMMDTS >ENSMUSP00000137740.2 pep:known chromosome:GRCm38:10:104151912:104153289:1 gene:ENSMUSG00000097427.5 transcript:ENSMUST00000180692.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6763 description:predicted gene 6763 [Source:MGI Symbol;Acc:MGI:3643573] MTTMADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAQVHFERKADALKAMREY >ENSMUSP00000032272.6 pep:known chromosome:GRCm38:6:119353150:119417704:-1 gene:ENSMUSG00000030168.13 transcript:ENSMUST00000032272.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adipor2 description:adiponectin receptor 2 [Source:MGI Symbol;Acc:MGI:93830] MNEPAKHRLGCTRTPEPDIRLRKGHQLDDTRGSNNDNYQGDLEPSLETPVCSSYYENSPE EPECHDDNSQEDEGFMGMSPLLQAHHAMERMEEFVCKVWEGRWRVIPHDVLPDWLKDNDF LLHGHRPPMPSFRACFKSIFRIHTETGNIWTHLLGCVFFLCLGIFYMFRPNISFVAPLQE KVVFGLFFLGAILCLSFSWLFHTVYCHSEGVSRLFSKLDYSGIALLIMGSFVPWLYYSFY CNPQPCFIYLIVICVLGIAAIIVSQWDMFATPQYRGVRAGVFVGLGLSGIIPTLHYVISE GFLKAATIGQIGWLMLMASLYITGAALYAARIPERFFPGKCDIWFHSHQLFHIFVVAGAF VHFHGVSNLQEFRFMIGGGCTEEDAL >ENSMUSP00000126138.1 pep:known chromosome:GRCm38:6:119353765:119388686:-1 gene:ENSMUSG00000030168.13 transcript:ENSMUST00000169744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adipor2 description:adiponectin receptor 2 [Source:MGI Symbol;Acc:MGI:93830] MNEPAKHRLGCTRTPEPDIRLRKGHQLDDTRGSNNDNYQGDLEPSLETPVCSSYYENSPE EPECHDDNSQEDEGFMGMSPLLQAHHAMERMEEFVCKVWEGRWRVIPHDVLPDWLKDNDF LLHGHRPPMPSFRACFKSIFRIHTETGNIWTHLLGCVFFLCLGIFYMFRPNISFVAPLQE KVVFGLFFLGAILCLSFSWLFHTVYCHSEGVSRLFSKLDYSGIALLIMGSFVPWLYYSFY CNPQPCFIYLIVICVLGIAAIIVSQWDMFATPQYRGVRAGVFVGLGLSGIIPTLHYVISE GFLKAATIGQIGWLMLMASLYITGAALYAARIPERFFPGKCDIWFHSHQLFHIFVVAGAF VHFHGVSNLQEFRFMIGGGCTEEDAL >ENSMUSP00000139703.1 pep:known chromosome:GRCm38:6:119355466:119390248:-1 gene:ENSMUSG00000030168.13 transcript:ENSMUST00000187699.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adipor2 description:adiponectin receptor 2 [Source:MGI Symbol;Acc:MGI:93830] MNEPAKHRLGCTRTPEPDIRLRKGHQLDDTRGSNNDNYQGDLEPSLETPVCSSYYENSPE EPECHDDNSQEDEGFMGMSPLLQAHHAMERMEEFVCKEVLEAVLCATWDN >ENSMUSP00000139895.1 pep:known chromosome:GRCm38:6:119370151:119417475:-1 gene:ENSMUSG00000030168.13 transcript:ENSMUST00000189710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adipor2 description:adiponectin receptor 2 [Source:MGI Symbol;Acc:MGI:93830] MNEPAKHRLGCTRTPEPDIRLRKGHQLDDTRGSNNDNYQGDLEPSLETPVCSSYYE >ENSMUSP00000106910.2 pep:known chromosome:GRCm38:2:92383676:92392731:-1 gene:ENSMUSG00000027223.15 transcript:ENSMUST00000111279.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip1 description:mitogen-activated protein kinase 8 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1309464] MQLVLKMDSSPDNDSWLEDQWEHWLTHDISLEEFEDEDLSEITDECGISLQCKDTLSLRP PRAGLLSAGSSGSAGSRLQAEMLQMDLIDAAGDTPGAEDDEEEEDDELAAQRPGVGPPKA ESNQDPAPRSQGQGPGTGSGDTYRPKRPTTLNLFPQVPRSQDTLNNNSLGKKHSWQDRVS RSSSPLKTGEQTPPHEHICLSDELPPQGSPVPTQDRGTSTDSPCRRSAATQMAPPSGPPA TAPGGRGHSHRDRIHYQADVRLEATEEIYLTPVQRPPDPAEPTSTFMPPTESRMSVSSDP DPAAYSVTAGRPHPSISEEDEGFDCLSSPERAEPPGGGWRGSLGEPPPPPRASLSSDTSA LSYDSVKYTLVVDEHAQLELVSLRPCFGDYSDESDSATVYDNCASASSPYESAIGEEYEE APQPRPPTCLSEDSTPDEPDVHFSKKFLNVFMSGRSRSSSAESFGLFSCVINGEEHEQTH RAIFRFVPRHEDELELEVDDPLLVELQAEDYWYEAYNMRTGARGVFPAYYAIEVTKEPEH MAALAKNSDWIDQFRVKFLGSVQVPYHKGNDVLCAAMQKIATTRRLTVHFNPPSSCVLEI SVRGVKIGVKADDALEAKGNKCSHFFQLKNISFCGYHPKNNKYFGFITKHPADHRFACHV FVSEDSTKALAESVGRAFQQFYKQFVEYTCPTEDIYLE >ENSMUSP00000050773.2 pep:known chromosome:GRCm38:2:92383680:92401263:-1 gene:ENSMUSG00000027223.15 transcript:ENSMUST00000050312.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8ip1 description:mitogen-activated protein kinase 8 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1309464] MAERESGLGGGAASPPAASPFLGLHIASPPNFRLTHDISLEEFEDEDLSEITDECGISLQ CKDTLSLRPPRAGLLSAGSSGSAGSRLQAEMLQMDLIDAAGDTPGAEDDEEEEDDELAAQ RPGVGPPKAESNQDPAPRSQGQGPGTGSGDTYRPKRPTTLNLFPQVPRSQDTLNNNSLGK KHSWQDRVSRSSSPLKTGEQTPPHEHICLSDELPPQGSPVPTQDRGTSTDSPCRRSAATQ MAPPSGPPATAPGGRGHSHRDRIHYQADVRLEATEEIYLTPVQRPPDPAEPTSTFMPPTE SRMSVSSDPDPAAYSVTAGRPHPSISEEDEGFDCLSSPERAEPPGGGWRGSLGEPPPPPR ASLSSDTSALSYDSVKYTLVVDEHAQLELVSLRPCFGDYSDESDSATVYDNCASASSPYE SAIGEEYEEAPQPRPPTCLSEDSTPDEPDVHFSKKFLNVFMSGRSRSSSAESFGLFSCVI NGEEHEQTHRAIFRFVPRHEDELELEVDDPLLVELQAEDYWYEAYNMRTGARGVFPAYYA IEVTKEPEHMAALAKNSDWIDQFRVKFLGSVQVPYHKGNDVLCAAMQKIATTRRLTVHFN PPSSCVLEISVRGVKIGVKADDALEAKGNKCSHFFQLKNISFCGYHPKNNKYFGFITKHP ADHRFACHVFVSEDSTKALAESVGRAFQQFYKQFVEYTCPTEDIYLE >ENSMUSP00000140113.1 pep:known chromosome:GRCm38:9:124422623:124423583:-1 gene:ENSMUSG00000093803.2 transcript:ENSMUST00000188509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3d description:protein phosphatase 2 (formerly 2A), regulatory subunit B'', delta [Source:MGI Symbol;Acc:MGI:1335093] MPERPPIRALRRDPDDPAVRQALASLARGSDLVFPSRFQKWLRDFRQVHAHRKEEPPPQS PPPGHSVPAFYFPCGRPPPRPQDTEDAIALVECAFEGLPRGRAGLGDMAVVAKVCG >ENSMUSP00000137530.2 pep:known chromosome:GRCm38:9:124438995:124440897:-1 gene:ENSMUSG00000093803.2 transcript:ENSMUST00000180233.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3d description:protein phosphatase 2 (formerly 2A), regulatory subunit B'', delta [Source:MGI Symbol;Acc:MGI:1335093] XVLLTCHDDAARFVRLLGHPGCSGLIQEDFVPFLQDVVNSHPGLAFLRAAKDFHSRYITT VIQRIFYTVNRSWSGMISREELRRSSFLQAVSQLEVEPDINRMTSFFSYEHFYVIYCKFW ELDLDRDLTIDRSDLARHGDG >ENSMUSP00000140915.1 pep:known chromosome:GRCm38:9:124441770:124442748:-1 gene:ENSMUSG00000093803.2 transcript:ENSMUST00000189707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3d description:protein phosphatase 2 (formerly 2A), regulatory subunit B'', delta [Source:MGI Symbol;Acc:MGI:1335093] XARLPRKVGKLSYADFVWFLLSEEDKTTPTSTEYWFRCMDLDGDGALSMFELEFFYEEQA QRMAARGVEPLPFHDLARQVLDLVAPRCPGRITLRDLKQCGLAGEFFDAFFNVDKYLARE QREQAGTPQ >ENSMUSP00000139691.1 pep:known chromosome:GRCm38:9:124476608:124476861:-1 gene:ENSMUSG00000093803.2 transcript:ENSMUST00000187126.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3d description:protein phosphatase 2 (formerly 2A), regulatory subunit B'', delta [Source:MGI Symbol;Acc:MGI:1335093] XSDPIDLYGLADEDCDDLEPL >ENSMUSP00000136995.1 pep:known chromosome:GRCm38:9:124422622:124424154:-1 gene:ENSMUSG00000093803.2 transcript:ENSMUST00000180270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3d description:protein phosphatase 2 (formerly 2A), regulatory subunit B'', delta [Source:MGI Symbol;Acc:MGI:1335093] MAPLTPRLQLKVDELFRRWLGDPHTQRALSHALRRIRDPGTTSDPAAAATPDADPGNTTS DPNPASRPLPRPALRTTGPRTVHAHRKEEPPPQSPPPGHSVPAFYFPCGRPPPRPQDTED AIALVECAFEGLPRGRAGLGDMAVVAKVCG >ENSMUSP00000124877.1 pep:known chromosome:GRCm38:18:70508680:70530138:-1 gene:ENSMUSG00000038425.17 transcript:ENSMUST00000161542.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poli description:polymerase (DNA directed), iota [Source:MGI Symbol;Acc:MGI:1347081] MISNPELKDRPLGVQQKYLVVTCNYEARKLGVRKLMNVRDAKEKCPQLVLVNGEDLSRYR EMSYKVTELLEEFSPAVERLGFDENFVDLTEMVEKRLQQLPSEEVPSVTVFGHVYNNQSV NLHNIMHRRLVVGSQIAAEMREAMYNQLGLTGCAGVAPNKLLAKLVSGVFKPNQQTVLLP ESCQHLIHSLNHIKEIPGIGYKTAKRLEVLGINSVHDLQTFPIKTLEKELGIAIAQRIQQ LSFGEDKSPVTPSGPPQSFSEEDTFKKCSSEVEAKAKIEELLSSLLTRVCQDGRKPHTVR LVIRRYSDKHCNRESRQCPIPSHVIQKLGTGNHDSMPPLIDILMKLFRNMVNVKMPFHLT LMSVCFCNLKALSSAKKGPMDCYLTSLSTPAYTDKRAFKVKDTHTEDSHKEKEANWDCLP SRRIESTGTGESPLDATCFPKEKDTSDLPLQALPEGVDQEVFKQLPADIQEEILSGKSRE NLKGKGSLSCPLHASRGVLSFFSTKQMQASRLSPRDTALPSKRVSAASPCEPGTSGLSPG STSHPSCGKDCSYYIDSQLKDEQTSQGPTESQGCQFSSTNPAVSGFHSFPNLQTEQLFST HRTVDSHKQTATASHQGLESHQGLESRELDSAEEKLPFPPDIDPQVFYELPEEVQKELMA EWERAGAARPSAHR >ENSMUSP00000112563.2 pep:known chromosome:GRCm38:18:70508683:70530620:-1 gene:ENSMUSG00000038425.17 transcript:ENSMUST00000121674.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poli description:polymerase (DNA directed), iota [Source:MGI Symbol;Acc:MGI:1347081] MGVESEEEGGPAEEEDAPRAMEPLHAGAAGSSRAVCSQGPPTQISSSRVIVHVDLDCFYA QVEMISNPELKDRPLGVQQKYLVVTCNYEARKLGVRKLMNVRDAKEKCPQLVLVNGEDLS RYREMSYKVTELLEEFSPAVERLGFDENFVDLTEMVEKRLQQLPSEEVPSVTVFGHVYNN QSVNLHNIMHRRLVVGSQIAAEMREAMYNQLGLTGCAGVAPNKLLAKLVSGVFKPNQQTV LLPESCQHLIHSLNHIKEIPGIGYKTAKRLEVLGINSVHDLQTFPIKTLEKELGIAIAQR IQQLSFGEDKSPVTPSGPPQSFSEEDTFKKCSSEVEAKAKIEELLSSLLTRVCQDGRKPH TVRLVIRRYSDKHCNRESRQCPIPSHVIQKLGTGNHDSMPPLIDILMKLFRNMVNVKMPF HLTLMSVCFCNLKALSSAKKGPMDCYLTSLSTPAYTDKRAFKVKDTHTEDSHKEKEANWD CLPSRRIESTGTGESPLDATCFPKEKDTSDLPLQALPEGVDQEVFKQLPADIQEEILSGK SRENLKGKGSLSCPLHASRGVLSFFSTKQMQASRLSPRDTALPSKRVSAASPCEPGTSGL SPGSTSHPSCGKDCSYYIDSQLKDEQTSQGPTESQGCQFSSTNPAVSGFHSFPNLQTEQL FSTHRTVDSHKQTATASHQGLESHQGLESRELDSAEEKLPFPPDIDPQVFYELPEEVQKE LMAEWERAGAARPSAHR >ENSMUSP00000123964.1 pep:known chromosome:GRCm38:18:70508685:70530138:-1 gene:ENSMUSG00000038425.17 transcript:ENSMUST00000159389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poli description:polymerase (DNA directed), iota [Source:MGI Symbol;Acc:MGI:1347081] MISNPELKDRPLGVQQKYLVVTCNYEARKLGVRKLMNVRDAKEKCPQLVLVNGEDLSRYR EMSYKVTEMVEKRLQQLPSEEVPSVTVFGHVYNNQSVNLHNIMHRRLVVGSQIAAEMREA MYNQLGLTGCAGVAPNKLLAKLVSGVFKPNQQTVLLPESCQHLIHSLNHIKEIPGIGYKT AKRLEVLGINSVHDLQTFPIKTLEKELGIAIAQRIQQLSFGEDKSPVTPSGPPQSFSEED TFKKCSSEVEAKAKIEELLSSLLTRVCQDGRKPHTVRLVIRRYSDKHCNRESRQCPIPSH VIQKLGTGNHDSMPPLIDILMKLFRNMVNVKMPFHLTLMSVCFCNLKALSSAKKGPMDCY LTSLSTPAYTDKRAFKVKDTHTEDSHKEKEANWDCLPSRRIESTGTGESPLDATCFPKEK DTSDLPLQALPEGVDQEVFKQLPADIQEEILSGKSRENLKGKGSLSCPLHASRGVLSFFS TKQMQASRLSPRDTALPSKRVSAASPCEPGTSGLSPGSTSHPSCGKDCSYYIDSQLKDEQ TSQGPTESQGCQFSSTNPAVSGFHSFPNLQTEQLFSTHRTVDSHKQTATASHQGLESHQG LESRELDSAEEKLPFPPDIDPQVFYELPEEVQKELMAEWERAGAARPSAHR >ENSMUSP00000125467.1 pep:known chromosome:GRCm38:18:70508687:70530268:-1 gene:ENSMUSG00000038425.17 transcript:ENSMUST00000160713.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Poli description:polymerase (DNA directed), iota [Source:MGI Symbol;Acc:MGI:1347081] MISNPELKDRPLGVQQKYLVVTCNYEARKLGVRKLMNVRDAKEKCPQLVLVNGEDLSRYR EMSYKVTELLEEFSPAVERLGFDENFVDLTEMVEKRLQQLPSEEVPSVTVFGHVYNNQCE CFLRAPSVTVSAHIP >ENSMUSP00000039869.8 pep:known chromosome:GRCm38:18:70508684:70530434:-1 gene:ENSMUSG00000038425.17 transcript:ENSMUST00000043286.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poli description:polymerase (DNA directed), iota [Source:MGI Symbol;Acc:MGI:1347081] MISNPELKDRPLGVQQKYLVVTCNYEARKLGVRKLMNVRDAKEKCPQLVLVNGEDLSRYR EMSYKVTELLEEFSPAVERLGFDENFVDLTEMVEKRLQQLPSEEVPSVTVFGHVYNNQSV NLHNIMHRRLVVGSQIAAEMREAMYNQLGLTGCAGVAPNKLLAKLVSGVFKPNQQTVLLP ESCQHLIHSLNHIKEIPGIGYKTAKRLEVLGINSVHDLQTFPIKTLEKELGIAIAQRIQQ LSFGEDKSPVTPSGPPQSFSEEDTFKKCSSEVEAKAKIEELLSSLLTRVCQDGRKPHTVR LVIRRYSDKHCNRESRQCPIPSHVIQKLGTGNHDSMPPLIDILMKLFRNMVNVKMPFHLT LMSVCFCNLKALSSAKKGPMDCYLTSLSTPAYTDKRAFKVKDTHTEDSHKEKEANWDCLP SRRIESTGTGESPLDATCFPKEKDTSDLPLQALPEGVDQEVFKQLPADIQEEILSGKSRE NLKGKGSLSCPLHASRGVLSFFSTKQMQASRLSPRDTALPSKRVSAASPCEPGTSGLSPG STSHPSCGKDCSYYIDSQLKDEQTSQGPTESQGCQFSSTNPAVSGFHSFPNLQTEQLFST HRTVDSHKQTATASHQGLESHQGLESRELDSAEEKLPFPPDIDPQVFYELPEEVQKELMA EWERAGAARPSAHR >ENSMUSP00000024171.7 pep:known chromosome:GRCm38:6:49395604:49469501:1 gene:ENSMUSG00000023403.14 transcript:ENSMUST00000024171.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk31 description:serine threonine kinase 31 [Source:MGI Symbol;Acc:MGI:1924735] MWGQRLFAGTAVAQSVSFPGLVQMDEDTHYNKVEDVVGSHVEDAVTFWAQNVSKNKDIMK IGCSLSEVCPLANSVFGNLDPKKIYGGLFSEDKCWYRCKVLKTISDDKCLVRYIDYGNTE ILNRSDIVEIPPELQFSSIAKKYRLWGLQIPSGQEVTQFDQGRTFLGSLIFEKEIKMRIK ATYQDGTVIAQAEYGTVDIGEEVAKKGFAEKCRLTSGIDACEAKKPDPNQLALRSLKNPI PLWGRRSNQSTFSRPKGHFNGRLTLDVKYETSAGNHVTFPKESLAAGDFNLGSNVSLAKI KQDQKLIEENEKLKTEKEVLLENYKALELKVEQTAQELQQEKTATMDLTKHLESTLKTCV GTRLKNLAAKVELLKEIRHINISIRFGNDLSDAMQVLDEGSFTTLASLNELEKIWAEYNV AQEKIQTCLNENEGNILIAERNEVQQKLFVAVDVFILEVDDLPLDKRLKTLQDLATSLES VYGKAKEGTNNSEETLRKFYDWQCTKREEFASIRSETEASLQHLVAWFQSSQKVFDLSLD EPLTSEDLIGNIDEILEKTESCVCKELELSLIEQGVIDKEIILSTYSQVLQKIHSEEKFI ATLLSKYKDSVEFKKQMIDCLNKNPNVDYLLSIKKTLKGLKAQLRWKLVEKSNLEESDDH DGTEIEKIKQEITQLRNSVFQEIYHEREEYEKLNSLTQKWFPELPLLYPEIGLLKYMNSG GLLTMSLERDLLDTEPMKELSSKRPLVCSEVNGQPVLLKGYSVDVDTEGRVIQRAASYHR ACGYAKEESGLLPLIFLFLCKSDPVAYLMVPYYPKANLSAVQASMPLTSEEALKVMKGVA RGLHTLHSANIIHGSLHQNNVFALNREQGIVGDYDFTKSESQRASVNAMVGGLSLLSPEL KTGKPPSASSDLYAYGCLFLWLSVQNQEFETNEDGIPKVDQFHLDDNVKSLLCSLIYFRS SMTAEQVLNAECFLLPKGKSVPIPEKEIECTQHSREDESKMESLDRYSEKTRNGEANP >ENSMUSP00000127545.1 pep:known chromosome:GRCm38:6:49395635:49469352:1 gene:ENSMUSG00000023403.14 transcript:ENSMUST00000163954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk31 description:serine threonine kinase 31 [Source:MGI Symbol;Acc:MGI:1924735] MWGQRLFAGTAVAQSVSFPGLVQMDEDTHYNKVEDVVGSHVEDAVTFWAQNVSKNKDIMK IGCSLSEVCPLANSVFGNLDPKKIYGGLFSEDKCWYRCKVLKTISDDKCLVRYIDYGNTE ILNRSDIVEIPPELQFSSIAKKYRLWGLQIPSGQEVTQFDQGRTFLGSLIFEKEIKMRIK ATYQDGTVIAQAEYGTVDIGEEVAKKGFAEKCRLTSGIDACEAKKPDPNQLALRSLKNPI PLWGRRSNQSTFSRPKGHFNGRLTLDVKYETSAGNHVTFPKESLAAGDFNLGSNVSLAKI KQDQKLIEENEKLKTEKEVLLENYKALELKVEQTAQELQQEKTATMDLTKHLESTLKTCV GTRLKNLAAKVELLKEIRHINISIRFGNDLSDAMQVLDEGSFTTLASLNELEKIWAEYNV AQEKIQTCLNENEGNILIAERNEVQQKLFVAVDVFILEVDDLPLDKRLKTLQDLATSLES VYGKAKEGTNNSEETLRKFYDWQCTKREEFASIRSETEASLQHLVAWFQSSQKVFDLSLD EPLTSEDLIGNIDEILEKTESCVCKELELSLIEQGVIDKEIILSTYSQVLQKIHSEEKFI ATLLSKYKDSVEFKKQMIDCLNKNPNVDYLLSIKKTLKGLKAQLRWKLVEKSNLEESDDH DGTEIEKIKQEITQLRNSVFQEIYHEREEYEKLNSLTQKWFPELPLLYPEIGLLKYMNSG GLLTMSLERDLLDTEPMKELSSKRPLVCSEVNGQPVLLKGYSVDVDTEGRVIQRAASYHR ACGYAKEESGLLPLIFLFLCKSDPVAYLMVPYYPKANLSAVQASMPLTSEEALKVMKGVA RGLHTLHSANIIHGSLHQNNVFALNREQGIVGDYDFTKSESQRASVNAMVGGLSLLSPEL KTGKPPSASSDLYAYGCLFLWDDNVKSLLCSLIYFRSSMTAEQVLNAECFLLPKGKSVPI PEKEIECTQHSREDESKMESLDRYSEKTRNGEANP >ENSMUSP00000132896.1 pep:known chromosome:GRCm38:6:49395635:49469352:1 gene:ENSMUSG00000023403.14 transcript:ENSMUST00000172459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk31 description:serine threonine kinase 31 [Source:MGI Symbol;Acc:MGI:1924735] MWGQRLFAGTAVAQSVSFPGLVQMDEDTHYNKVEDVVGSHVEDAVTFWAQNVSKNKDIMK IGCSLSEVCPLANSVFGNLDPKKIYGGLFSEDKCWYRCKVLKTISDDKCLVRYIDYGNTE ILNRSDIVEIPPELQFSSIAKKYRLWGLQIPSGQEVTQFDQGRTFLGSLIFEKEIKMRIK ATYQDGTVIAQAEYGTVDIGEEVAKKGFAEKCRLTSGIDACEAKKPDPNQLALRSLKNPI PLWGRRSNQSTFSRPKGHFNGRLTLDVKYETSAGNHVTFPKESLAAGDFNLGSNVSLAKI KQDQKLIEENEKLKTEKEVLLENYKALELKVEQTAQELQQEKTATMDLTKHLESTLKTCV GTRLKNLAAKVELLKEIRHINISIRFGNDLSDAMQVLDEGSFTTLASLNELEKIWAEYNV AQEKIQTCLNENEGNILIAERNEVQQKLFVAVDVFILECTKREEFASIRSETEASLQHLV AWFQSSQKVFDLSLDEPLTSEDLIGNIDEILEKTESCVCKELELSLIEQGVIDKEIILST YSQVLQKIHSEEKFIATLLSKYKDSVEFKKQMIDCLNKNPNVDYLLSIKKTLKGLKAQLR WKLVEKSNLEESDDHDGTEIEKIKQEITQLRNSVFQEIYHEREEYEKLNSLTQKWFPELP LLYPEIGLLKYMNSGGLLTMSLERDLLDTEPMKELSSKRPLVCSEVNGQPVLLKGYSVDV DTEGRVIQRAASYHRACGYAKEESGLLPLIFLFLCKSDPVAYLMVPYYPKANLSAVQASM PLTSEEALKVMKGVARGLHTLHSANIIHGSLHQNNVFALNREQGIVGDYDFTKSESQRAS VNAMVGGLSLLSPELKTGKPPSASSDLYAYGCLFLWLSVQNQEFETNEDGIPKVDQFHLD DNVKSLLCSLIYFRSSMTAEQVLNAECFLLPKGKSVPIPEKEIECTQHSREDESKMESLD RYSEKTRNGEANP >ENSMUSP00000130561.1 pep:known chromosome:GRCm38:6:49438564:49469500:1 gene:ENSMUSG00000023403.14 transcript:ENSMUST00000167846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk31 description:serine threonine kinase 31 [Source:MGI Symbol;Acc:MGI:1924735] XEFKKQMIDCLNKNPNVDYLLSIKKTLKGLKAQLRWKLVEKSNLEESDDHDGTEIEKIKQ EITQLRNSVFQEIYHEREEYEKLNSLTQKWFPELPLLYPEIGLLKYMNSGGLLTMSLERD LLDTEPMKELSSKRPLVCSEVNGQPVLLKGYSVDVDTEGRVIQRAASYHRACGYAKEESG LLPLIFLFLCKSDPVAYLMVPYYPKANLSAVQASMPLTSEEALKVMKGVARGLHTLHSAN IIHGSLHQNNVFALNREQGIVGDYDFTKSELSVQNQEFETNEDGIPKVDQFHLDDNVKSL LCSLIYFRSSMTAEQVLNAECFLLPKGKSVPIPEKEIECTQHSREDESKMESLDRYSEKT RNGEANP >ENSMUSP00000026500.5 pep:known chromosome:GRCm38:10:127000709:127020994:1 gene:ENSMUSG00000025432.11 transcript:ENSMUST00000026500.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avil description:advillin [Source:MGI Symbol;Acc:MGI:1333798] MSLSSAFRAVSNDPRIITWRIEKMELALVPLSAHGNFYEGDCYIVLSTRRVGSLLSQNIH FWIGKDSSQDEQSCAAIYTTQLDDYLGGSPVQHREVQYHESDTFRGYFKQGIIYKKGGVA SGMKHVETNTYDVKRLLHVKGKRNIQATEVEMSWDSFNRGDVFLLDLGMVIIQWNGPESN SGERLKAMLLAKDIRDRERGGRAEIGVIEGDKEAASPGLMTVLQDTLGRRSMIKPAVSDE IMDQQQKSSIMLYHVSDTAGQLSVTEVATRPLVQDLLNHDDCYILDQSGTKIYVWKGKGA TKVEKQAAMSKALDFIKMKGYPSSTNVETVNDGAESAMFKQLFQKWSVKDQTTGLGKIFS TGKIAKIFQDKFDVSLLHTKPEVAAQERMVDDGKGQVEVWRIENLELVPVEYQWHGFFYG GDCYLVLYTYDVNGKPHYILYIWQGRHASRDELAASAYRAVEVDQQFDGAPVQVRVSMGK EPRHFMAIFKGKLVIYEGGTSRKGNEEPDPPVRLFQIHGNDKSNTKAVEVSASASSLNSN DVFLLRTQAEHYLWYGKGSSGDERAMAKELVDLLCDGNADTVAEGQEPPEFWDLLGGKTA YANDKRLQQETLDVQVRLFECSNKTGRFLVTEVTDFTQEDLSPGDVMLLDTWDQVFLWIG AEANATEKKGALSTAQEYLVTHPSGRDPDTPILIIKQGFEPPTFTGWFLAWDPHIWSEGK SYEQLKNELGDATAIVRITADMKNATLYLNPSDGEPKYYPVEVLLKGQNQELPEDVDPAK KENYLSEQDFVSVFGITRGQFTALPGWKQLQLKKERGLF >ENSMUSP00000117667.1 pep:known chromosome:GRCm38:10:127000739:127006516:1 gene:ENSMUSG00000025432.11 transcript:ENSMUST00000142698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avil description:advillin [Source:MGI Symbol;Acc:MGI:1333798] MSLSSAFRAVSNDPRIITWRIEKMELALVPLSAHGNFYEGDCYIVLSTRRVGSL >ENSMUSP00000115018.1 pep:known chromosome:GRCm38:10:127003001:127006588:1 gene:ENSMUSG00000025432.11 transcript:ENSMUST00000126816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avil description:advillin [Source:MGI Symbol;Acc:MGI:1333798] MSLSSAFRAVSNDPRIITWRIEKMELALVPLSAHGNFYEGDCYIVLSTRRVGSLLSQNIH FWIGKDSSQDEQSCAAIY >ENSMUSP00000123405.1 pep:known chromosome:GRCm38:10:127003135:127020778:1 gene:ENSMUSG00000025432.11 transcript:ENSMUST00000129173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avil description:advillin [Source:MGI Symbol;Acc:MGI:1333798] MSLSSAFRAVSNDPRIITWRIEKMELALVPLSAHGNFYEGDCYIVLSTRRVGSLLSQNIH FWIGKDSSQDEQSCAAIYTTQLDDYLGGSPVQHREVQYHESDTFRGYFKQGIIYKKGGVA SGMKHVETNTYDVKRLLHVKGKRNIQATEVEMSWDSFNRGDVFLLDLGMVIIQWNGPESN SGERLKAMLLAKDIRDRERGGRAEIGVIEGDKEAASPGLMTVLQDTLGRRSMIKPAVSDE IMDQQQKSSIMLYHVSDTAGQLSVTEVATRPLVQDLLNHDDCYILDQSGTKIYVWKGKGA TKVEKQAAMSKALDFIKMKGYPSSTNVETVNDGAESAMFKQLFQKWSVKDQTTGLGKIFS TGKIAKIFQDKFDVSLLHTKPEVAAQERMVDDGKGQVEVWRIENLELVPVEYQWHGFFYG GDCYLVLYTYDVNGKPHYILYIWQGRHASRDELAASAYRAVEVDQQFDGAPVQVRVSMGK EPRHFMAIFKGKLVIYEGGTSRKGNEEPDPPVRLFQIHGNDKSNTKAVEVSASASSLNSN DVFLLRTQAEHYLWYGKGSSGDERAMAKELVDLLCDGNADTVAEGQEPPEFWDLLGGKTA YANDKRLQQETLDVQVRLFECSNKTGRFLVTEVTDFTQEDLSPGDVMLLDTWDQVFLWIG AEANATEKKGALSTAQEYLVTHPSGRDPDTPILIIKQGFEPPTFTGWFLAWDPHIWSEGK SYEQLKNELGDATAIVRITADMKNATLYLNPSDGEPKYYPVEVLLKGQNQELPEDVDPAK KENYLSEQDFVSVFGITRGQFTALPGWKQLQLKKERGLF >ENSMUSP00000031344.6 pep:known chromosome:GRCm38:5:124250959:124327972:-1 gene:ENSMUSG00000038126.17 transcript:ENSMUST00000031344.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mphosph9 description:M-phase phosphoprotein 9 [Source:MGI Symbol;Acc:MGI:2443138] MEDFDLVENLQKTSPSVESDIKSAPQSLGLSLHANRSSPHLSTNGVSSFSGKTGPPVIQG TVEVLTALRQDLQNSGRTDSELWKSCERIQEEIRKLVKLQLSHASRPSCSSSSVSEQVSA ETQMGFFSENSERNESVVSSPASKEPETQPASSTSYPDCHVDSSSVSSGYGTFCILDMNT HKAKEPTEPLEPGAASQGQHPASVVQAHGPAGGAAAINFFTQTPEELCASLKEDGSTFPG EFDRNFLGENKISEVYSGKANSGKSVTSWAQRLKQNQSKQAHTEDDCSGPKPGSELNWKP PADTFDLAADAARPCAFYINKPAESPSSWLSDSGTGLTYWKLEEKDMYHSLPETLEKTFA PSPAERPLSQVLTLDPGAIRMKPKEHVAGIQAHGFLHALDDRISFSPDSVLEPSLSRHSD TDSSSQASHNPSQVSGFSKYPSTTRASPVDTWKNHAFQRESRTSSTIPSRYTITSNDISV KTVDEENTVTVASVSQSQLPGTANSVPECISLASLEDPVMLSKIRQNLKEKHARHVADLR AYYESEISSLKQKLEAKDISAVEEWKKKNEILADRCGQLDSALNEATSRVRTLEKNNNLL EIEVSDLRERFNAASSASKVLQERIEEMRTSNKEKDNTITRLKCRLQDLEEAFENAYKLS DDKEARLRQENKMFQDLLGEYESLGKEHGRVKDTLNTTENKLLDAHTQISDLKRTISKLE AQVKQAEHESMLSLRNGAKVPERPSRSNSVATSDVSRRKWLIPGAEYSIFTGQPLDPRDR KLDKQLEEALVPGYHSPPEKDSSLGSSPASLLVKKKRDTPDTPPIIKALKELDEERVFKS WGTQTEKEDSSSKLVNSRQTEPSVNTGRSPEKCAQQRPKRQTSASQRSSSLPPSSRKANT PTKREIMLTPVTVAYSPKRSPKENLSPGFSHLLSKNESSPVRFDILLDDLDTVPVSTLQQ TTAKKQLQFLLDDSEEKKYSEKNSDDPVNPSSCPEHSPNGLKKVSTRQAWEKSKSVSLEQ CQPGSAAPQDNGFEYTAKIRTLAETERFFDELTKEKDQIEAALSRMPSPGGRITLQTRLN QEALEDRLEKINRELGSVRMTLKKFHVLRSSANL >ENSMUSP00000114795.1 pep:known chromosome:GRCm38:5:124252670:124327849:-1 gene:ENSMUSG00000038126.17 transcript:ENSMUST00000147737.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mphosph9 description:M-phase phosphoprotein 9 [Source:MGI Symbol;Acc:MGI:2443138] MEDFDLVENLQKTSPSVESDIKSAPQSLGLSLHANREDRATCHSRDSGSPHCFKTRPTEQ WPD >ENSMUSP00000138982.1 pep:known chromosome:GRCm38:5:124253668:124327852:-1 gene:ENSMUSG00000038126.17 transcript:ENSMUST00000184951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mphosph9 description:M-phase phosphoprotein 9 [Source:MGI Symbol;Acc:MGI:2443138] MEDFDLVENLQKTSPSVESDIKSAPQSLGLSLHANRSSPHLSTNGVSSFSGKTGPPVIQG TVEVLTALRQDLQNSGRTDSELWKSCEARWLQLFSVVEQHCQEQIVAQQEQFHRQIRRIQ EEIRKLVKLQLSHASRPSCSSSSVSEQVSAETQMGFFSENSERNESVVSSPASKEPETQP ASSTSYPDCHVDSSSVSSGYGTFCILDMNTHKAKEPTEPLEPGAASQGQHPASVVQAHGP AGGAAAINFFTQTPEELCASLKEDGSTFPGEFDRNFLGENKISEVYSGKANSGKSVTSWA QRLKQNQSKQAHTEDDCSGPKPGSELNWKPPADTFDLAADAARPCAFYINKPAESPSSWL SDSGTGLTYWKLEEKDMYHSLPETLEKTFAPSPAERPLSQVLTLDPGAIRMKPKEHVAGI QAHGFLHALDDRISFSPDSVLEPSLSRHSDTDSSSQASHNPSQVSGFSKYPSTTRASPVD TWKNHAFQRESRTSSTIPSRYTITSNDISVKTVDEENTVTVASVSQSQLPGTANSVPECI SLASLEDPVMLSKIRQNLKEKHARHVADLRAYYESEISSLKQKLEAKDISAVEEWKKKNE ILADRCGQLDSALNEATSRVRTLEKNNNLLEIEVSDLRERFNAASSASKVLQERIEEMRT SNKEKDNTITRLKCRLQDLEEAFENAYKLSDDKEARLRQENKMFQDLLGEYESLGKEHGR VKDTLNTTENKLLDAHTQISDLKRTISKLEAQVKQAEHESMLSLRNGAKVPERPSRSNSV ATSDVSRRKWLIPGAEYSIFTGQPLDPRDRKLDKQLEEALVPGYHSPPEKDSSLGSSPAS LLVKKKRDTPDTPPIIKALKELDEERVFKSWGTQTEKEDSSSKLVNSRQTEPSVNTGRSP EKCAQQRPKRQTSASQRSSSLPPSSRKANTPTKREIMLTPVTVAYSPKRSPKENLSPGFS HLLSKNESSPVRFDILLDDLDTVPVSTLQQTTAKKQLQFLLDDSEEKKYSEKNSDDPVNP SSCPEHSPNGLKKVSTRQAWEKSKSVSLEQCQPGSAAPQDNGFEYTAKIRTLAETERFFD ELTKEKDQIEAALSRMPSPGGRITLQTRLNQEALEDRLEKINRELGSVRMTLKKFHVLRS SANL >ENSMUSP00000120827.1 pep:known chromosome:GRCm38:5:124290981:124327870:-1 gene:ENSMUSG00000038126.17 transcript:ENSMUST00000130502.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mphosph9 description:M-phase phosphoprotein 9 [Source:MGI Symbol;Acc:MGI:2443138] MEDFDLVENLQKTSPSVESDIKSAPQSLGLSLHANRPGGCSSSVWLSSTARSRLSPSRSS SIGRSGEYRRRSGS >ENSMUSP00000117437.1 pep:known chromosome:GRCm38:5:124298515:124325127:-1 gene:ENSMUSG00000038126.17 transcript:ENSMUST00000141203.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mphosph9 description:M-phase phosphoprotein 9 [Source:MGI Symbol;Acc:MGI:2443138] MEDFDLVENLQKTSPSVESDIKSAPQSLGLSLHANREDRATCHSRDSGSPHCFKTRPTEQ WPD >ENSMUSP00000109092.3 pep:known chromosome:GRCm38:17:46428926:46431776:1 gene:ENSMUSG00000023968.15 transcript:ENSMUST00000113465.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crip3 description:cysteine-rich protein 3 [Source:MGI Symbol;Acc:MGI:2152434] MSWTCPRCQQPVYFAEKVSSLGKNWHRFCLKCERCHSILSPGGHAEHNGRPYCHKPCYGA LFGPRGVNIGGVGCYLYNLPTPPPASRISLSPSNFSPPRPRTGLSRAKKRPPYLKTFTGE TSLCPGCGDPVFFAEKVMSLGRNWHRPCLRCQRCRKTLTAGSHAEHDGMPYCHIPCYGYL FGPKGGQSDPRHWTYENVWTTSLVV >ENSMUSP00000024764.5 pep:known chromosome:GRCm38:17:46428942:46431771:1 gene:ENSMUSG00000023968.15 transcript:ENSMUST00000024764.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crip3 description:cysteine-rich protein 3 [Source:MGI Symbol;Acc:MGI:2152434] MSWTCPRCQQPVYFAEKVSSLGKNWHRFCLKCERCHSILSPGGHAEHNGRPYCHKPCYGA LFGPRGVNIGGVGCYLYNLPTPPPASRISLSPSNFSPPRPRTGLSRAKKRPPYLKTFTGE TSLCPGCGDPVFFAEKVMSLGRNWHRPCLRCQRCRKTLTAGSHAEHDGMPYCHIPCYGYL FGPKGVNIGDVGCYIYDPVDIRSK >ENSMUSP00000130072.1 pep:known chromosome:GRCm38:17:46428951:46431415:1 gene:ENSMUSG00000023968.15 transcript:ENSMUST00000165993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crip3 description:cysteine-rich protein 3 [Source:MGI Symbol;Acc:MGI:2152434] MSWTCPRCQQPVYFAEKVSSLGKNWHRFCLKCERCHSILSPGGHAEHNGRPYCHKPCYGA LFGPRGVNIGGVGCYLYNLPTPPPASRISLSPSNFSPPRPRTGLSRAKKRPPYLKTFTGE TSLCPGCGDPVFFAEKVMSLGRNWHRPCLRCQRCRKTLTAGSHAEHDGMPYCHIPCYGYL FGPKGGQSDPRHWTYENVWTTSLVVRHLDPSPIPSDLHSLANKALGDRALSFRCEHWRCG LLHL >ENSMUSP00000097144.3 pep:known chromosome:GRCm38:13:46669522:46678056:1 gene:ENSMUSG00000069237.8 transcript:ENSMUST00000099547.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam8a1 description:family with sequence similarity 8, member A1 [Source:MGI Symbol;Acc:MGI:2145496] MAEGPEEARSRPPEQDGSGGDHEPVPSEPGSPTTTVAATAAAPRSPALASVASAVSEPEP QCELRKRRETGAEPPEAGDCEASDGPGRLSAREYSRQVHEWLWQSYCGYLTWHSGLAALP AYCGPPPPAATAPQPAVPSPSSPPTPSSPPPPPPPQLAYYNPFYFLSAAGPGPGAATGGA TPTAVAGLTARAPHVQPSARAAPGTRVGSAAPARTASDTGRQAGREYVIPSLAHRFMAEM VDFFILFFIKATIVLSIMHLSGIKDISKFAMHYIIEEIDEDTSMEDLQKMMIVALIYRLL VCFYEIICIWGAGGATPGKFLLGLRVVTCDTSVLIAPSRVLVIPSSNVSITTSTIRALIK NFSIASFFPAFITLLFFQHNRTAYDIVAGTIVVKRNGVR >ENSMUSP00000146548.1 pep:known chromosome:GRCm38:17:37140423:37151508:-1 gene:ENSMUSG00000091601.2 transcript:ENSMUST00000208003.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Olfr93 description:olfactory receptor 93 [Source:MGI Symbol;Acc:MGI:2177476] IVQIPPTLTLPFCSHRQIDDFLCEVPSLIRLSCGDTTFNEIQLSVAGVIFLLVPLSLIIV SYGVIARAVLKTNSSKGRRKAFGTCSSHLIVVTLFYSSVIAVYLQPKNPYAQERSKFFGL FYAVGTPTLNPLVYTLRNKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE >ENSMUSP00000125907.1 pep:known chromosome:GRCm38:17:37151007:37152051:-1 gene:ENSMUSG00000091601.2 transcript:ENSMUST00000171679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr93 description:olfactory receptor 93 [Source:MGI Symbol;Acc:MGI:2177476] MVNQSTPVGFLLLGFSEHPQLEKVLFVVVLCSYLLTLLGNTLILLLSTLDPRLHSPMYFF LSNLSFLDLCFTTTCVPQMLFNLWGPTKTISFLGCSVQLFIFMLLGTTECILLTVMAFDR YVAVCQPLHYATIIHPRLCRQLAGVAWAIGLVQSIVQIPPTLTLPFCSHRQIDDFLCEVP SLIRLSCGDTTFNEIQLSVAGVIFLLVPLSLIIVSYGVIARAVLKTNSSKGRRKAFGTCS SHLIVVTLFYSSVIAVYLQPKNPYAQERSKFFGLFYAVGTPTLNPLVYTLRNKEVKRAFW RLLGKDAASGRN >ENSMUSP00000044918.5 pep:known chromosome:GRCm38:1:119415465:119422248:-1 gene:ENSMUSG00000037035.5 transcript:ENSMUST00000038765.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inhbb description:inhibin beta-B [Source:MGI Symbol;Acc:MGI:96571] MDGLPGRALGAACLLLLVAGWLGPEAWGSPTPPPSPAAPPPPPPPGAPGGSQDTCTSCGG GGGGFRRPEELGRVDGDFLEAVKRHILSRLQLRGRPNITHAVPKAAMVTALRKLHAGKVR EDGRVEIPHLDGHASPGADGQERVSEIISFAETDGLASSRVRLYFFVSNEGNQNLFVVQA SLWLYLKLLPYVLEKGSRRKVRVKVYFQEQGHGDRWNVVEKKVDLKRSGWHTFPITEAIQ ALFERGERRLNLDVQCDSCQELAVVPVFVDPGEESHRPFVVVQARLGDSRHRIRKRGLEC DGRTSLCCRQQFFIDFRLIGWNDWIIAPTGYYGNYCEGSCPAYLAGVPGSASSFHTAVVN QYRMRGLNPGPVNSCCIPTKLSSMSMLYFDDEYNIVKRDVPNMIVEECGCA >ENSMUSP00000113797.1 pep:known chromosome:GRCm38:8:62951009:63356852:1 gene:ENSMUSG00000054162.15 transcript:ENSMUST00000117377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock3 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 3 [Source:MGI Symbol;Acc:MGI:1920152] MLKVSALLCVCAAAWCSQTLAAAAAVAVAGGRSDGGNFLDEKQWLTTISQYDKEVGQWNK FRDDDYFRTWNPGKPFDQALDPAKDPCLKTKCSRHKVCITQDAQTALCISHRRLTHSMKE VGGSHKQWRGLPSSTCKPCPIAYASPVCGSDGHSYSSQCKLEYQACVLGKQISIKCEGRC PCPSDKSMNIGRNVKRACSDLEFREVANRLRDWFKALHESGSQNKKTKALLRPERSRFDT SILPICKDSLGWMFNRLDTNYDLLLDQSELGSIYLDKNEQCTKAFFNSCDTYKDSLISNN EWCYCFQRQQDPPCHTELSNIQKRQGIKKLLGQYIPLCDEDGYYKPTQCHGSVGQCWCVD RYGNEVVGSRINGVADCAIDFEISGDFASGDFREWTDDEGEEDDIMNDKDDIEDDDEDEG DDDDDGDVHDGYI >ENSMUSP00000113683.1 pep:known chromosome:GRCm38:8:62951232:63356860:1 gene:ENSMUSG00000054162.15 transcript:ENSMUST00000118003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock3 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 3 [Source:MGI Symbol;Acc:MGI:1920152] MLKVSALLCVCAAAWCSQTLAAAAAVAVAGGRSDGGNFLDEKQWLTTISQYDKEVGQWNK FRDEVEDDYFRTWNPGKPFDQALDPAKDPCLKTKCSRHKVCITQDAQTALCISHRRLTHS MKEVGGSHKQWRGLPSSTCKPCPIAYASPVCGSDGHSYSSQCKLEYQACVLGKQISIKCE GRCPCPSDKSMNIGRNVKRACSDLEFREVANRLRDWFKALHESGSQNKKTKALLRPERSR FDTSILPICKDSLGWMFNRLDTNYDLLLDQSELGSIYLDKNEQCTKAFFNSCDTYKDSLI SNNEWCYCFQRQQDPPCHTELSNIQKRQGIKKLLGQYIPLCDEDGYYKPTQCHGSVGQCW CVDRYGNEVVGSRINGVADCAIDFEISGDFASGDFREWTDDEGEEDDIMNDKDDIEDDDE DEGDDDDDGDVHDGYI >ENSMUSP00000112930.1 pep:known chromosome:GRCm38:8:62951361:63357103:1 gene:ENSMUSG00000054162.15 transcript:ENSMUST00000119068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock3 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 3 [Source:MGI Symbol;Acc:MGI:1920152] MLKVSALLCVCAAAWCSQTLAAAAAVAVAGGRSDGGNFLDEKQWLTTISQYDKEVGQWNK FRDEVEDDYFRTWNPGKPFDQALDPAKDPCLKTKCSRHKVCITQDAQTALCISHRRLTHS MKEVGGSHKQWRGLPSSTCKPCPIAYASPVCGSDGHSYSSQCKLEYQACVLGKQISIKCE GRCPCPSDKSMNIGRNVKRACSDLEFREVANRLRDWFKALHESGSQNKKTKALLRPERSR FDTSILPICKDSLGWMFNRLDTNYDLLLDQSELGSIYLDKNEQCTKAFFNSCDTYKDSLI SNNEWCYCFQRQQDPPCHTELSNIQKRQGIKKLLGQYIPLCDEDGYYKPTQCHGSVGQCW CVDRYGNEVVGSRINGVADCAIDFEISGDFASGDFREWTDDEGEEDDIMNDKDDIEDDDE DEGDDDDDGDVHDGYI >ENSMUSP00000091192.4 pep:known chromosome:GRCm38:8:62951800:63357094:1 gene:ENSMUSG00000054162.15 transcript:ENSMUST00000093480.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock3 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 3 [Source:MGI Symbol;Acc:MGI:1920152] MLKVSALLCVCAAAWCSQTLAAAAAVAVAGGRSDGGNFLDEKQWLTTISQYDKEVGQWNK FRDEVEDDYFRTWNPGKPFDQALDPAKDPCLKTKCSRHKVCITQDAQTALCISHRRLTHS MKEVGGSHKQWRGLPSSTCKPCPIAYASPVCGSDGHSYSSQCKLEYQACVLGKQISIKCE GRCPCPSDKSMNIGRNVKRACSDLEFREVANRLRDWFKALHESGSQNKKTKALLRPERSR FDTSILPICKDSLGWMFNRLDTNYDLLLDQSELGSIYLDKNEQCTKAFFNSCDTYKDSLI SNNEWCYCFQRQQDPPCHTELSNIQKRQGIKKLLGQYIPLCDEDGYYKPTQCHGSVGQCW CVDRYGNEVVGSRINGVADCAIDFEISGDFASGDFREWTDDEGEEDDIMNDKDDIEDDDE DEGDDDDDGDVHDGYI >ENSMUSP00000140117.1 pep:known chromosome:GRCm38:7:33518481:33520458:-1 gene:ENSMUSG00000099900.1 transcript:ENSMUST00000185407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b21 description:secretoglobin, family 2B, member 21 [Source:MGI Symbol;Acc:MGI:3648283] MKGTLLLLGLLVTGELSFQTTEACVPFFEGYASVVSGSRVWMYQEFQAFDATAEEKVALE KIQGCYREESLRNILLEPKIMEAMVASPECLSYYSSNNIRCILDLLSKLLGQ >ENSMUSP00000122227.2 pep:known chromosome:GRCm38:3:37348346:37405377:1 gene:ENSMUSG00000037225.13 transcript:ENSMUST00000138563.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf2 description:fibroblast growth factor 2 [Source:MGI Symbol;Acc:MGI:95516] MAASGITSLPALPEDGGAAFPPGHFKDPKRLYCKNGGFFLRIHPDGRVDGVREKSDPHVK LQLQAEERGVVSIKGVCANRYLAMKEDGRLLASKCVTEECFFFERLESNNYNTYRSRKYS SWYVALKRTGQYKLGSKTGPGQKAILFLPMSAKS >ENSMUSP00000143094.1 pep:known chromosome:GRCm38:3:37348653:37410108:1 gene:ENSMUSG00000037225.13 transcript:ENSMUST00000200585.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf2 description:fibroblast growth factor 2 [Source:MGI Symbol;Acc:MGI:95516] MAASGITSLPALPEDGGAAFPPGHFKDPKRLYCKNGGFFLRIHPDGRVDGVREKSDPHVK LQLQAEERGVVSIKGVCANRYLAMKEDGRLLASKCVTEECFFFERLESNNYNTYRSRKYS SWYVALKRTGQYKLGSKTGPGQKAILFLPMSAKS >ENSMUSP00000037694.4 pep:known chromosome:GRCm38:3:37348850:37404797:1 gene:ENSMUSG00000037225.13 transcript:ENSMUST00000038885.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf2 description:fibroblast growth factor 2 [Source:MGI Symbol;Acc:MGI:95516] MAASGITSLPALPEDGGAAFPPGHFKDPKRLYCKNGGFFLRIHPDGRVDGVREKSDPHVK LQLQAEERGVVSIKGVCANRYLAMKEDGRLLASCVTEECFFFERLESNNYNTYRSRKYSS WYVALKRTGQYKLGSKTGPGQKAILFLPMSAKS >ENSMUSP00000103755.1 pep:known chromosome:GRCm38:3:37380755:37404797:1 gene:ENSMUSG00000037225.13 transcript:ENSMUST00000108120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf2 description:fibroblast growth factor 2 [Source:MGI Symbol;Acc:MGI:95516] MKGFHVGDHKLNAIKLQLQAEERGVVSIKGVCANRYLAMKEDGRLLASKCVTEECFFFER LESNNYNTYRSRKYSSWYVALKRTGQYKLGSKTGPGQKAILFLPMSAKS >ENSMUSP00000088742.1 pep:known chromosome:GRCm38:3:37380755:37404797:1 gene:ENSMUSG00000037225.13 transcript:ENSMUST00000091203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf2 description:fibroblast growth factor 2 [Source:MGI Symbol;Acc:MGI:95516] MKGFHVGDHKLNASNTFKLQLQAEERGVVSIKGVCANRYLAMKEDGRLLASKCVTEECFF FERLESNNYNTYRSRKYSSWYVALKRTGQYKLGSKTGPGQKAILFLPMSAKS >ENSMUSP00000129611.1 pep:known chromosome:GRCm38:9:18404418:18411502:1 gene:ENSMUSG00000074502.3 transcript:ENSMUST00000164441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtfl1 description:upstream binding transcription factor, RNA polymerase I-like 1 [Source:MGI Symbol;Acc:MGI:3588290] MTSLDNQGLWSEKDILKLLECMEHNIPSDDSREFKKSQADLNWSKVAFGLFSGEMCKQKW MEISYNLRKFRTLTELVQEAKFSFTKKTHKNKILTEHPDRPKRPLTAYLRFYKEQRAKYC QMYPKYSNAQLTKILAEKYRQLPAEIKQRYIMDFKKEKEDFQKKMRQFKKRHPVSGHPKK SVVPQSHPTKVPTKSQGDIKNVKSLVKTESPRTVSSDMKFQGEPRKPPMNAYHKFHQESW SSPELRHLSFRKRWVEISRRWHQVPENEKEHYSNQVKRLQKQYRVKLDLWLKRLSPEEYA AYKEAKATCGKRKNMSMSGGRSSKFGRTEQSSSEKGLQIKPGEVEELLDPGTDSSGTIQG HHDGAQSSRQDFTDDSEEDDSSTSSDSSSTDEDD >ENSMUSP00000126540.1 pep:known chromosome:GRCm38:9:18404420:18411325:1 gene:ENSMUSG00000074502.3 transcript:ENSMUST00000169398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtfl1 description:upstream binding transcription factor, RNA polymerase I-like 1 [Source:MGI Symbol;Acc:MGI:3588290] MTSLDNQGLWSEKDILKLLECMEHNIPSDDSREFKKSQADLNWSKVAFGLFSGEMCKQKW MEISYNLRKFRTLTELVQEAKFSFTKKTHKNKILTEHPDRPKRPLTAYLRFYKEQRAKYC QMYPKYSNAQLTKILAEKYRQLPAEIKQRYIMDFKKEKEDFQKKMRQFKKRHPVSGHPKK SVVPQSHPTKVPTKSQGDIKNVKSLVKTESPRTVSSDMKFQGEPRKPPMNAYHKFHQESW SSPELRHLSFRKRWVEISRRWHQVPENEKEHYSNQVKRLQKQYRVKLDLWLKRLSPEEYA AYKEAKATCGKRKNMSMSGGRSSKFGRTEQSSSEKGLQIKPGEVEELLDPGTDSSGTIQG HHDGAQSSRQDFTDDSEEDDSSTSSDSSSTDEDD >ENSMUSP00000096572.2 pep:known chromosome:GRCm38:9:18409094:18410742:1 gene:ENSMUSG00000074502.3 transcript:ENSMUST00000098973.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtfl1 description:upstream binding transcription factor, RNA polymerase I-like 1 [Source:MGI Symbol;Acc:MGI:3588290] MTSLDNQGLWSEKDILKLLECMEHNIPSDDSREFKKSQADLNWSKVAFGLFSGEMCKQKW MEISYNLRKFRTLTELVQEAKFSFTKKTHKNKILTEHPDRPKRPLTAYLRFYKEQRAKYC QMYPKYSNAQLTKILAEKYRQLPAEIKQRYIMDFKKEKEDFQKKMRQFKKRHPVSGHPKK SVVPQSHPTKVPTKSQGDIKNVKSLVKTESPRTVSSDMKFQGEPRKPPMNAYHKFHQESW SSPELRHLSFRKRWVEISRRWHQVPENEKEHYSNQVKRLQKQYRVKLDLWLKRLSPEEYA AYKEAKATCGKRKNMSMSGGRSSKFGRTEQSSSEKGLQIKPGEVEELLDPGTDSSGTIQG HHDGAQSSRQDFTDDSEEDDSSTSSDSSSTDEDD >ENSMUSP00000030508.6 pep:known chromosome:GRCm38:4:139737062:139833528:-1 gene:ENSMUSG00000028736.13 transcript:ENSMUST00000030508.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax7 description:paired box 7 [Source:MGI Symbol;Acc:MGI:97491] MAALPGAVPRMMRPGPGQNYPRTGFPLEVSTPLGQGRVNQLGGVFINGRPLPNHIRHKIV EMAHHGIRPCVISRQLRVSHGCVSKILCRYQETGSIRPGAIGGSKPRQVATPDVEKKIEE YKRENPGMFSWEIRDRLLKDGHCDRSTVPSVSSISRVLRIKFGKKEDDEEGDKKEEDGEK KAKHSIDGILGDKGNRLDEGSDVESEPDLPLKRKQRRSRTTFTAEQLEELEKAFERTHYP DIYTREELAQRTKLTEARVQVWFSNRRARWRKQAGANQLAAFNHLLPGGFPPTGMPTLPP YQLPDSTYPTTTISQDGGSTVHRPQPLPPSTMHQGGLAAAAAAADTSSAYGARHSFSSYS DSFMNPGAPSNHMNPVSNGLSPQVMSILSNPSAVPPQPQADFSISPLHGGLDSASSISAS CSQRADSIKPGDSLPTSQSYCPPTYSTTGYSVDPVAGYQYSQYGQTAVDYLAKNVSLSTQ RRMKLGEHSAVLGLLPVETGQAY >ENSMUSP00000133536.1 pep:known chromosome:GRCm38:4:139740676:139833007:-1 gene:ENSMUSG00000028736.13 transcript:ENSMUST00000174681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax7 description:paired box 7 [Source:MGI Symbol;Acc:MGI:97491] MAALPGAVPRMMRPGPGQNYPRTGFPLEVSTPLGQGRVNQLGGVFINGRPLPNHIRHKIV EMAHHGIRPCVISRQLRVSHGCVSKILCRYQETGSIRPGAIGGSKPRQVATPDVEKKIEE YKRENPGMFSWEIRDRLLKDGHCDRSTVPSGLVSSISRVLRIKFGKKEDDEEGDKKEEDG EKKAKHSIDGILGDKGNRLDEGSDVESEPDLPLKRKQRRSRTTFTAEQLEELEKAFERTH YPDIYTREELAQRTKLTEARVQVWFSNRRARWRKQAGANQLAAFNHLLPGGFPPTGMPTL PPYQLPDSTYPTTTISQDGGSTVHRPQPLPPSTMHQGGLAAAAAAADTSSAYGARHSFSS YSDSFMNPGAPSNHMNPVSNGLSPQVMSILSNPSAVPPQPQADFSISPLHGGLDSASSIS ASCSQRADSIKPGDSLPTSQSYCPPTYSTTGYSVDPVAGYQYSQYGQTAVDYLAKNVSLS TQRRMKLGEHSAVLGLLPVETGQAY >ENSMUSP00000051457.6 pep:known chromosome:GRCm38:15:74742764:74746693:-1 gene:ENSMUSG00000075605.3 transcript:ENSMUST00000057932.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2300005B03Rik description:RIKEN cDNA 2300005B03 gene [Source:MGI Symbol;Acc:MGI:1916712] MRLPFWFLLAVVLSMELAVTQGLQCHLCKGFGGCSRPSSCPWSSTHCVIIATRSPISFTD LPLVTKMCYSGCPDVSSLGLGPHVSIACCQSNLCNRD >ENSMUSP00000110592.2 pep:known chromosome:GRCm38:2:6097607:6130211:-1 gene:ENSMUSG00000045319.13 transcript:ENSMUST00000114942.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proser2 description:proline and serine rich 2 [Source:MGI Symbol;Acc:MGI:2442238] MPGNQQKPESLETDSDTSPSCGLSDLSRGGSLESRCSSSRSRSFTMDDESLKHLTHEEKD VILFFEETLDSLEYDFDEPALCDSGIHCHSPQSLEESPSSHSEPEDVIDLVQPAPASGEA ESLPDMPQVTGAPSDTKHGTPFLEGGKQAAENSLPPPDSRGPEVFPLPPSLPVPAPSAPR KELMSPSPPAEHPKLLRSVPTPLVIAQKISEKLAGNEALSPTSPSKEGRPGEWRTPTSPA SRNGDHVGVWHRHTTQSAPKVHRFPSNISVTNSAGKDFNKTISKAAVNVQERKAQVLANI NGMSFIAAGDTSSEERWQKAEEQRSGSADGARTLGRAGMAGEPGAPCAGVPARAQQSRAV QTEQPPALANGFQSVHEALRSEPSSFVPTSKTITFRPDPAVTGKLARQNASRSLYEPRPD GSQDARKRTGSLPRAVGFRPQGITVQFSGRGSTEEARREALRKLGLLKENL >ENSMUSP00000060780.5 pep:known chromosome:GRCm38:2:6100025:6130146:-1 gene:ENSMUSG00000045319.13 transcript:ENSMUST00000054254.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proser2 description:proline and serine rich 2 [Source:MGI Symbol;Acc:MGI:2442238] MPGNQQKPESLETDSDTSPSCGLSDLSRGGSLESRCSSSRSRSFTMDDESLKHLTHEEKD VILFFEETLDSLEYDFDEPALCDSGIHCHSPQSLEESPSSHSEPEDVIDLVQPAPASGEA ESLPDMPQVTGAPSDTKHGTPFLEGGKQAAENSLPPPDSRGPEVFPLPPSLPVPAPSAPR KELMSPSPPAEHPKLLRSVPTPLVIAQKISEKLAGNEALSPTSPSKEGRPGEWRTPTSPA SRNGDHVGVWHRHTTQSAPKVHRFPSNISVTNSAGKDFNKTISKAAVNVQERKAQVLANI NGMSFIAAGDTSSEERWQKAEEQRSGSADGARTLGRAGMAGEPGAPCAGVPARAQQSRAV QTEQPPALANGFQSVHEALRSEPSSFVPTSKTITFRPDPAVTGKLARQNASRSLYEPRPD GSQDARKRTGSLPRAVGFRPQGITVQFSGRGSTEEARREALRKLGLLKENL >ENSMUSP00000115240.1 pep:known chromosome:GRCm38:2:6101190:6130139:-1 gene:ENSMUSG00000045319.13 transcript:ENSMUST00000126551.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proser2 description:proline and serine rich 2 [Source:MGI Symbol;Acc:MGI:2442238] MPGNQQKPESLETDSDTSPSCGLSDLSRGGSLESRCSSSRSRSFTMDDESLKHLTHEEKD VILFFEETLDSLEYDFDEPALCDSGIHCHSPQSLEESPSSHSEPEDVIDLVQPAPASGEA ESLPDMPQVTGAPSDTKHGTPFLEGGKQAAENSLPPPDSRGPEVFPLPPSLPVPAPSAPR KELMSPS >ENSMUSP00000090121.3 pep:known chromosome:GRCm38:11:114996721:115001880:-1 gene:ENSMUSG00000044811.13 transcript:ENSMUST00000092464.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AF251705 description:cDNA sequence AF251705 [Source:MGI Symbol;Acc:MGI:2153249] MIPRVIRLWLPSALFLSQVPGCVPLHGPSTITGAVGESLSVSCQYEEKFKTKDKFWCRGS LKVLCKDIVKTSSSEEVRNGRVTIRDHPDNLTFTVTYESLTLEDADTYMCAVDISLFDGS LGFDKYFKIELSVVPSEDPVTGSSLESGRDILESPTSSVGHTHPSVTTDDTIPAPCPQPR SLRSSLYFWVLVSLKLFLFLSMLGAVLWVNRPQRCSGGSSSRPCYENQ >ENSMUSP00000124035.1 pep:known chromosome:GRCm38:11:114996722:115001880:-1 gene:ENSMUSG00000044811.13 transcript:ENSMUST00000141188.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:AF251705 description:cDNA sequence AF251705 [Source:MGI Symbol;Acc:MGI:2153249] MIPRVIRLWLPSALFLSQVPETRGFLRTDQREDLLPSSCQGAELKLLFSRLCPTAWPQHY HRRCWGIAQCVMSIRGEIQD >ENSMUSP00000065631.7 pep:known chromosome:GRCm38:6:43345001:43666278:-1 gene:ENSMUSG00000029735.17 transcript:ENSMUST00000067888.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpk1 description:thiamine pyrophosphokinase [Source:MGI Symbol;Acc:MGI:1352500] MEHAFTPLEPLLPTGNLKYCLVVLNQPLDARFRHLWKKALLRACADGGANHLYDLTEGER ESFLPEFVSGDFDSIRPEVKEYYTKKGCDLISTPDQDHTDFTKCLQVLQRKIEEKELQVD VIVTLGGLGGRFDQIMASVNTLFQATHITPVPIIIIQKDSLIYLLQPGKHRLHVDTGMEG SWCGLIPVGQPCNQVTTTGLKWNLTNDVLGFGTLVSTSNTYDGSGLVTVETDHPLLWTMA IKS >ENSMUSP00000110291.2 pep:known chromosome:GRCm38:6:43345274:43666175:-1 gene:ENSMUSG00000029735.17 transcript:ENSMUST00000114644.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpk1 description:thiamine pyrophosphokinase [Source:MGI Symbol;Acc:MGI:1352500] MEHAFTPLEPLLPTGNLKYCLVVLNQPLDARFRHLWKKALLRACADGGANHLYDLTEGER ESFLPEFVSGDFDSIRPEVKEYYTKKGCDLISTPDQDHTDFTKCLQVLQRKIEEKELQGK HRLHVDTGMEGSWCGLIPVGQPCNQVTTTGLKWNLTNDVLGFGTLVSTSNTYDGSGLVTV ETDHPLLWTMAIKS >ENSMUSP00000142832.1 pep:known chromosome:GRCm38:3:129532355:129634971:1 gene:ENSMUSG00000041220.10 transcript:ENSMUST00000199910.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl6 description:ELOVL family member 6, elongation of long chain fatty acids (yeast) [Source:MGI Symbol;Acc:MGI:2156528] MNMSVLTLQEYEFEKQFNENEAIQWMQENWKKSFLFSALYAAFIFGGRHLMNKRAKFELR KPLVLWSLTLAVFSIFGALRTGAYMLYILMTKGLKQSVCDQSFYNGPVSKFWAYAFVLSK APELGDTIFIILRKQKLIFLHWYHHITVLLYSWYSYKDMVAGGGWFMTMNYGVHAVMYSY YALRAAGFRVSRKFAMFITLSQITQMLMGCVINYLVFNWMQHDNDQCYSHFQNIFWSSLM YLSYLVLFCHFFFEAYIGKVKKATKAE >ENSMUSP00000143131.1 pep:known chromosome:GRCm38:3:129532367:129634952:1 gene:ENSMUSG00000041220.10 transcript:ENSMUST00000197070.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl6 description:ELOVL family member 6, elongation of long chain fatty acids (yeast) [Source:MGI Symbol;Acc:MGI:2156528] MNMSVLTLQEYEFEKQFNENEAIQWMQENWKKSFLFSALYAAFIFGGRHLMNKRAKFELR KPLVLWSLTLAVFR >ENSMUSP00000071351.2 pep:known chromosome:GRCm38:3:129532386:129638495:1 gene:ENSMUSG00000041220.10 transcript:ENSMUST00000071402.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl6 description:ELOVL family member 6, elongation of long chain fatty acids (yeast) [Source:MGI Symbol;Acc:MGI:2156528] MNMSVLTLQEYEFEKQFNENEAIQWMQENWKKSFLFSALYAAFIFGGRHLMNKRAKFELR KPLVLWSLTLAVFSIFGALRTGAYMLYILMTKGLKQSVCDQSFYNGPVSKFWAYAFVLSK APELGDTIFIILRKQKLIFLHWYHHITVLLYSWYSYKDMVAGGGWFMTMNYGVHAVMYSY YALRAAGFRVSRKFAMFITLSQITQMLMGCVINYLVFNWMQHDNDQCYSHFQNIFWSSLM YLSYLVLFCHFFFEAYIGKVKKATKAE >ENSMUSP00000143143.1 pep:known chromosome:GRCm38:3:129532727:129633437:1 gene:ENSMUSG00000041220.10 transcript:ENSMUST00000197235.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl6 description:ELOVL family member 6, elongation of long chain fatty acids (yeast) [Source:MGI Symbol;Acc:MGI:2156528] MNKRAKFELRKPLVLWSLTLAVFSIFGALRTGAYMLYILMTKGLKQSVCDQSFYNGPVSK FWAYAFVLSKAPELGDTIFIILRKQKLIFLHWYHHITVLLYSWYSYKDMVAGGGWFMTMN YGVHAVMYSYYALRAAGFRVSRKFAMFITLSQITQMLMGCVINYLVFNWMQHDNDQCYSH FQNIFWSSLMYLSYLVLFCH >ENSMUSP00000143736.1 pep:known chromosome:GRCm38:3:129552380:129628319:1 gene:ENSMUSG00000041220.10 transcript:ENSMUST00000198369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl6 description:ELOVL family member 6, elongation of long chain fatty acids (yeast) [Source:MGI Symbol;Acc:MGI:2156528] MNKRAKFELRKPLVLWSLTLAVFSIFGALRTGAYML >ENSMUSP00000035808.6 pep:known chromosome:GRCm38:17:37193892:37196095:1 gene:ENSMUSG00000035186.6 transcript:ENSMUST00000038844.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubd description:ubiquitin D [Source:MGI Symbol;Acc:MGI:1344410] MASVRTCVVRSDQWRLMTFETTENDKVKKINEHIRSQTKVSVQDQILLLDSKILKPHRKL SSYGIDKETTIHLTLKVVKPSDEELPLFLVESKNEGQRHLLRVRRSSSVAQVKEMIESVT SVIPKKQVVNCNGKKLEDGKIMADYNIKSGSLLFLTTHCTGG >ENSMUSP00000110752.1 pep:known chromosome:GRCm38:16:33015385:33056190:-1 gene:ENSMUSG00000035578.15 transcript:ENSMUST00000115100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcg description:IQ motif containing G [Source:MGI Symbol;Acc:MGI:1916957] MDEEEVEVVESPSEVLQPEVTVVVTGEPPEAAEEDLDYEEEEETSPEVIETLSLLDVLRV SAVMEDVIDQLSILGYIIPVQYERRQSLTQKASHEGASMITTTPKKSTSLLTKEKSMMAE NKQRGQDFTFKKPTKQTMMTLETLKKIQNDRQYFSDVIANAMMEMQDSGSFTSLLKALGK ERDSKMNFHDVITREEKGRKQIKTLQKQLLDVKRERQMQVQNGNEYIAHLRDQLQEMKAK TNLENLYMKRNAELQISQTQKKCNRAEELLLEEIEKLRMKTEEENRVHTEIEMFLKKQQQ KLEEKLEFWMEKFDKDTEAKQNELNALKAAKASDLVHLQDLAKMIREYEQVIIEDRIEKE KTRKKLEQDDLELRSIVKLQAWWRGSVVRKEIGNFKMPKKDKDDSKDSKGKEKEKRRKK >ENSMUSP00000041686.8 pep:known chromosome:GRCm38:16:33040769:33056218:-1 gene:ENSMUSG00000035578.15 transcript:ENSMUST00000040309.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcg description:IQ motif containing G [Source:MGI Symbol;Acc:MGI:1916957] MEDVIDQLSILGYIIPVQYERRQSLTQKASHEGASMITTTPKKSTSLLTKEKSMMAENKQ RGQDFTFKKPTKQTMMTLETLKKIQNDRQYFSDVIANAMMEMQDSGSFTSLLKALGKERD SKMNFHDVI >ENSMUSP00000041199.4 pep:known chromosome:GRCm38:14:32991382:33015292:1 gene:ENSMUSG00000041673.11 transcript:ENSMUST00000038956.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc18 description:leucine rich repeat containing 18 [Source:MGI Symbol;Acc:MGI:1914830] MAKGGKGPKGKKITLNVAKNCIKITFDGRKRLDLSKMGITTFPKCILRLSDIDELDLSRN MIRKIPDSIAKFQNLRWLDLHSNYIDKLPESIGQMTSLLFLNVSNNRLTTNGLPVELNQL KNIRTVNLGLNHLDSVPTTLGALKELHEVGLHDNLLTTIPASIAKLPKLKKLNIKRNPFP NADESEMFVDSIKRLENLYLVEEKDMCSSCLQRCQQARDKLNKIKSMAPSAPRKALFSNL VSPNSTAKDAQEEWRLRSPSTF >ENSMUSP00000113608.1 pep:known chromosome:GRCm38:14:32991398:33009396:1 gene:ENSMUSG00000041673.11 transcript:ENSMUST00000120866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc18 description:leucine rich repeat containing 18 [Source:MGI Symbol;Acc:MGI:1914830] MAKGGKGPKGKKITLNVAKNCIKITFDGRKRLDLSKMGITTFPKCILRLSDIDELDLSRN MIRKIPDSIAKFQNLRWLDLHSNYIDKLPESIGQMTSLLFLNVSNNRLTTNGLPVELNQL KNIRTVNLGLNHLDSVPTTLGALKELHEVGLHDNLLTTIPASIAKLPKLKKLNIKRNPFP NADESEMFVDSIKRLENLYLVEEKDMCSSCLQRCQQARDKLNKIKSMAPSAPRKALFSNL VSPNSTAKDAQEEWR >ENSMUSP00000113825.1 pep:known chromosome:GRCm38:14:32991403:33008678:1 gene:ENSMUSG00000041673.11 transcript:ENSMUST00000120588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc18 description:leucine rich repeat containing 18 [Source:MGI Symbol;Acc:MGI:1914830] MAKGGKGPKGKKITLNVAKNCIKITFDGRKRLDLSKMGITTFPKCILRLSDIDELDL >ENSMUSP00000123178.1 pep:known chromosome:GRCm38:14:32991446:33008839:1 gene:ENSMUSG00000041673.11 transcript:ENSMUST00000123822.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc18 description:leucine rich repeat containing 18 [Source:MGI Symbol;Acc:MGI:1914830] MAKGGKGPKGKKITLNVAKNCIKITFDGRKRLDLSKMGITTFPKCILRLSDIDELDLSRN MIRKIPDSIAKFQNLRWLDLHSNYIDKLPESIGQMTSLLFLNVSNNRLTTN >ENSMUSP00000113965.1 pep:known chromosome:GRCm38:14:32991458:33014155:1 gene:ENSMUSG00000041673.11 transcript:ENSMUST00000120951.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc18 description:leucine rich repeat containing 18 [Source:MGI Symbol;Acc:MGI:1914830] MAKGGKGPKGKKITLNVAKNCIKITFDGRKRLDLSKMGITTFPKCILRLSDIDELDLSRN MIRKIPDSIAKFQNLRWLDLHSNYIDKLPESIGQMTSLLFLNVSNNRLTTNGLPVELNQL KNIRTVNLGLNHLDSVPTTLGALKELHEVGLHDNLLTTIPASIAKLPKLKKLNIKRNPFP NADESEMFVDSIKRLENLYLVEEKDMCSSCLQRCQQARDKLNKIKSMAPSAPRKALFSNL VSPNSTAKDAQEEWSVLRQKRLRAQCCLDILGMVCMRTQSVTSELPGEVWMVASFLQNCT VTLTIHLLE >ENSMUSP00000115392.1 pep:known chromosome:GRCm38:13:55727368:55776830:1 gene:ENSMUSG00000021496.12 transcript:ENSMUST00000124968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbd2 description:pterin 4 alpha carbinolamine dehydratase/dimerization cofactor of hepatocyte nuclear factor 1 alpha (TCF1) 2 [Source:MGI Symbol;Acc:MGI:1919812] MSSDAQWLTAEERDQLIPGLKAAGWSELSERDAIYKEFSFKNFNQAFGFMSRVALQAEKM NHHPEWFNVYNKVQITLTSHDCGGLTKRDVKLAQFIEKAAASL >ENSMUSP00000021958.5 pep:known chromosome:GRCm38:13:55727368:55776828:1 gene:ENSMUSG00000021496.12 transcript:ENSMUST00000021958.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbd2 description:pterin 4 alpha carbinolamine dehydratase/dimerization cofactor of hepatocyte nuclear factor 1 alpha (TCF1) 2 [Source:MGI Symbol;Acc:MGI:1919812] MVAAAAVAVAAVGARSAGRWLAALRSPGASRAAMSSDAQWLTAEERDQLIPGLKAAGWSE LSERDAIYKEFSFKNFNQAFGFMSRVALQAEKMNHHPEWFNVYNKVQITLTSHDCGGLTK RDVKLAQFIEKAAASL >ENSMUSP00000084065.3 pep:known chromosome:GRCm38:5:102907950:103058386:-1 gene:ENSMUSG00000046709.16 transcript:ENSMUST00000086854.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk10 description:mitogen-activated protein kinase 10 [Source:MGI Symbol;Acc:MGI:1346863] MSLHFLYYCSEPTLDVKIAFCQGFDKHVDVSSIAKHYNMSKSKVDNQFYSVEVGDSTFTV LKRYQNLKPIGSGAQGIVCAAYDAVLDRNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNH KNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIQMELDHERMSYLLYQMLCGIKHL HSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSFMMTPYVVTRYYRAPEVILGMGY KENVDLWSVGCIMGEMVKGTVLFPGTDHIDQWNKVIEQLGTPCPEFMKKLQPTVRNYVEN RPKYAGLTFPKLFPDSLFPADSEHNKLKASQARDLLSKMLVIDPAKRISVDDALQHPYIN VWYDPAEVEAPPPQIYDKQLDEREHTIEEWKELIYKEVMNSEEKTKNGVVKGQPSPSGAA VNSSESLPPSSSVNDISSMSTDQTLASDTDSSLEASAGPLGCCR >ENSMUSP00000108469.1 pep:known chromosome:GRCm38:5:102907950:103100081:-1 gene:ENSMUSG00000046709.16 transcript:ENSMUST00000112848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk10 description:mitogen-activated protein kinase 10 [Source:MGI Symbol;Acc:MGI:1346863] MGDTGVSGAPMKEMAQVMRIAEVTEDGRVFMSLHFLYYCSEPTLDVKIAFCQGFDKHVDV SSIAKHYNMSKSKVDNQFYSVEVGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAVLDRNV AIKKLSRPFQNQTHAKRAYRELVLMKCVNHKNIISLLNVFTPQKTLEEFQDVYLVMELMD ANLCQVIQMELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGL ARTAGTSFMMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMGEMVRHKILFPGRDYID QWNKVIEQLGTPCPEFMKKLQPTVRNYVENRPKYAGLTFPKLFPDSLFPADSEHNKLKAS QARDLLSKMLVIDPAKRISVDDALQHPYINVWYDPAEVEAPPPQIYDKQLDEREHTIEEW KELIYKEVMNSEEKTKNGVVKGQPSPSGAAVNSSESLPPSSSVNDISSMSTDQTLASDTD SSLEASAGPLGCCR >ENSMUSP00000108468.2 pep:known chromosome:GRCm38:5:102907950:103211334:-1 gene:ENSMUSG00000046709.16 transcript:ENSMUST00000112847.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk10 description:mitogen-activated protein kinase 10 [Source:MGI Symbol;Acc:MGI:1346863] MSLHFLYYCSEPTLDVKIAFCQGFDKHVDVSSIAKHYNMSKSKVDNQFYSVEVGDSTFTV LKRYQNLKPIGSGAQGIVCAAYDAVLDRNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNH KNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIQMELDHERMSYLLYQMLCGIKHL HSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSFMMTPYVVTRYYRAPEVILGMGY KENVDIWSVGCIMGEMVRHKILFPGRDYIDQWNKVIEQLGTPCPEFMKKLQPTVRNYVEN RPKYAGLTFPKLFPDSLFPADSEHNKLKASQARDLLSKMLVIDPAKRISVDDALQHPYIN VWYDPAEVEAPPPQIYDKQLDEREHTIEEWKELIYKEVMNSEEKTKNGVVKGQPSPSGAA VNSSESLPPSSSVNDISSMSTDQTLASDTDSSLEASAGPLGCCR >ENSMUSP00000142798.1 pep:known chromosome:GRCm38:5:102913129:103205929:-1 gene:ENSMUSG00000046709.16 transcript:ENSMUST00000141573.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mapk10 description:mitogen-activated protein kinase 10 [Source:MGI Symbol;Acc:MGI:1346863] MSLHFLYYCSEPTLDVKIAFCQGFDKHVDVSSIAKHYNMSKSKVDNQFYSVEVGDSTFTV LKRYQNLKPIGSGAQGIVCAAYDAVLDRNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNH KNLLSDGTDGRQPVSGDSDGAGPRADVLLAVPDAVWHQAPPLRWDHPQGLKTQ >ENSMUSP00000108467.1 pep:known chromosome:GRCm38:5:102920278:103211273:-1 gene:ENSMUSG00000046709.16 transcript:ENSMUST00000112846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk10 description:mitogen-activated protein kinase 10 [Source:MGI Symbol;Acc:MGI:1346863] MSLHFLYYCSEPTLDVKIAFCQGFDKHVDVSSIAKHYNMSKSKVDNQFYSVEVGDSTFTV LKRYQNLKPIGSGAQGIVCAAYDAVLDRNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNH KNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIQMELDHERMSYLLYQMLCGIKHL HSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSFMMTPYVVTRYYRAPEVILGMGY KENVDIWSVGCIMGEMVRHKILFPGRDYIDQWNKVIEQLGTPCPEFMKKLQPTVRNYVEN RPKYAGLTFPKLFPDSLFPADSEHNKLKASQARDLLSKMLVIDPAKRISVDDALQHPYIN VWYDPAEVEAPPPQIYDKQLDEREHTIEEWKELIYKEVMNSEEKTKNGVVKGQPSPSA >ENSMUSP00000143448.1 pep:known chromosome:GRCm38:5:102987874:103026995:-1 gene:ENSMUSG00000046709.16 transcript:ENSMUST00000128869.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk10 description:mitogen-activated protein kinase 10 [Source:MGI Symbol;Acc:MGI:1346863] MNTWSAAYDAVLDRNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNHKNIISLLNVFTPQK TLEEFQDVYLVMELMDANLCQVIQMELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSN IVVKSDCTLKILDFGLARTAGTSFMMTPYVVTRYYRAPEVILGMGYKENGRDGSGTSI >ENSMUSP00000143609.1 pep:known chromosome:GRCm38:5:102988184:103211334:-1 gene:ENSMUSG00000046709.16 transcript:ENSMUST00000133069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk10 description:mitogen-activated protein kinase 10 [Source:MGI Symbol;Acc:MGI:1346863] MSLHFLYYCSEPTLDVKIAFCQGFDKHVDVSSIAKHYNMSKSKVDNQFYSVEVGDSTFTV LKRYQNLKPIGSGAQGIVCAAYDAVLDRNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNH KNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIQMELDHERMSYLLYQMLCGIKHL HSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSFMMTPYVVTRYYRAPEVILGMGY KENGRDGSGTSI >ENSMUSP00000127193.1 pep:known chromosome:GRCm38:5:102907948:103211334:-1 gene:ENSMUSG00000046709.16 transcript:ENSMUST00000170792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk10 description:mitogen-activated protein kinase 10 [Source:MGI Symbol;Acc:MGI:1346863] MSLHFLYYCSEPTLDVKIAFCQGFDKHVDVSSIAKHYNMSKSKVDNQFYSVEVGDSTFTV LKRYQNLKPIGSGAQGIVCAAYDAVLDRNVAIKKLSRPFQNQTHAKRAYRELVLMKCVNH KNIISLLNVFTPQKTLEEFQDVYLVMELMDANLCQVIQMELDHERMSYLLYQMLCGIKHL HSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSFMMTPYVVTRYYRAPEVILGMGY KENVDIWSVGCIMGEMVRHKILFPGRDYIDQWNKVIEQLGTPCPEFMKKLQPTVRNYVEN RPKYAGLTFPKLFPDSLFPADSEHNKLKASQARDLLSKMLVIDPAKRISVDDALQHPYIN VWYDPAEVEAPPPQIYDKQLDEREHTIEEWKELIYKEVMNSEEKTKNGVVKGQPSPSAQV QQ >ENSMUSP00000028825.4 pep:known chromosome:GRCm38:2:117249739:117271540:1 gene:ENSMUSG00000027349.5 transcript:ENSMUST00000028825.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam98b description:family with sequence similarity 98, member B [Source:MGI Symbol;Acc:MGI:1915465] MRGPELGPETSMEGDVLDTLEALGYKGPLLEEQALSKAAEGGLSSPEFSELCIWLGSQIK SLCNLEESITSAGRDDLESFQLEISGFLKEMACPYSVLVSGDIKERLTKKDDCLKLLLFL STELQALQILQKKKHKNSQLDKNSEICQEVQAVCDALGVPKSDTSDIPLLLSQVESKVKD ILCRVQKNHVGKPLLKVDLSSEQAEKLERINDALSCEYECRRRMLMKRLDVTVQSFGWSD RAKAKTDNIARIYQPKRYALSPKTTVTLAHLLAAREDLSKIIRTSSGISREKTACAINKV LMGRVPDRGGRPNEIEPPPPEMPPWQKRQEGGGRGGWGGGGGGRGGGGGGRGGWGGGGGW GGGGGSGGGWGGSGGGGGGRGGFQGRGDYGGRGDYGGRGGYGGRGGYGGRGYGDPYGGGG GGGGGYRRY >ENSMUSP00000055232.5 pep:known chromosome:GRCm38:17:37196708:37198011:-1 gene:ENSMUSG00000043827.7 transcript:ENSMUST00000055324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr94 description:olfactory receptor 94 [Source:MGI Symbol;Acc:MGI:2177477] MWLCNKTKTWACAEFIPYWRFLFVVVSGKTGFYYVALAGLELTEISGLCLPAQGPQHCLA LNIFVSPSEPSWSFPPQANHSSAERFLLLGFSDWPSLQPVLFALVLLCYLLTLTGNAALV LLAIRDPRLHTPMYYFLCHLALVDVGFTTSVVPPLLASLRGSMLQLPRAGCMAQLCSSLA LGSAECVLLAVMALDRAAAVCNPLRYTSLASPLLCRTLAGVSWLGGLANSAAQTALLAAR PLCAPRCLDHFICELPALLQLACRGGRSATERQMFAARVVILLVPSAVILASYIAVGRAV WGMHSSSGWRKAASTCGSHLTAVCLFYGSATYTYLQPTHSYNQGRGKFVSLFYTVVTPAL NPLIYTLRNKEVKGAALRLLRSLGRP >ENSMUSP00000055073.7 pep:known chromosome:GRCm38:6:113638467:113695026:1 gene:ENSMUSG00000060477.14 transcript:ENSMUST00000059286.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak2 description:interleukin-1 receptor-associated kinase 2 [Source:MGI Symbol;Acc:MGI:2429603] MACYIYQLPSWVLDDLCRNIDTLSEWDWMQFASYVITDLTQLRKIKSMERVQGVSITREL LWWWSMRQATVQQLVDLLCHLELYRAAQIVLSWKPVPESTSPLPAFPEAVKPGAVATSRR NLKDEQEKVRPVKPRSLLDTGPIMAGAQRQRPCEMDAPCSLKTDAPDSPQSKYCSTSTSA PKQERLLGLPGDRLFWSEADVVQATEDFDQSHRISEGTFADIYQGQRNGVAFAFKKLREV AGSSPGSMDRFLQAEMQLCLRCCHANVLPLLGFCTGRQFHSLIYPYMANGSLHDRLWAQG NSDMLPWPQRASICSGLLLAVEHLHSLDIIHSNVKSANVLLDQHLNPKLAHPVAHPHPDN KKTKYTVMRTHLFQASAAYLPEHFIRVGQLTKQVDIFSCGIVLAEVLTGIPAMDKDRSPV YLKDLLLSEIPNSTSSVCSRKTSMGKAVVKEICQRHVEKRAGLLPEACEEAWATAVSVCL RRRNASVEEARVSLAGVEEQLRGQLSLPWSRVSEATGSSSNTPEETDDVDNSSLSVPSLV MMASCPGAASSPLFTGHGAAQPSTSGRQEADSSSEACTGPQTPQNATETSWKIEINEAKR RLMENIVLYKEERLDSSELFGP >ENSMUSP00000086417.4 pep:known chromosome:GRCm38:6:113638488:113693798:1 gene:ENSMUSG00000060477.14 transcript:ENSMUST00000089023.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak2 description:interleukin-1 receptor-associated kinase 2 [Source:MGI Symbol;Acc:MGI:2429603] MACYIYQLPSWVLDDLCRNIDTLSEWDWMQFGKPVPESTSPLPAFPEAVKPGAVATSRRN LKDEQEKVRPVKPRSLLDTGPIMAGAQRQRPCEMDAPCSLKTDAPDSPQSKYCSTSTSAP KQERLLGLPGDRLFWSEADVVQATEDFDQSHRISEGTFADIYQGQRNGVAFAFKKLREVA GSSPGSMDRFLQAEMQLCLRCCHANVLPLLGFCTGRQFHSLIYPYMANGSLHDRLWAQGN SDMLPWPQRASICSGLLLAVEHLHSLDIIHSNVKSANVLLDQHLNPKLAHPVAHPHPDNK KTKYTVMRTHLFQASAAYLPEHFIRVGQLTKQVDIFSCGIVLAEVLTGIPAMDKDRSPVY LKDLLLSEIPNSTSSVCSRKTSMGKAVVKEICQRHVEKRAGLLPEACEEAWATAVSVCLR RRNASVEEQLRGQLSLPWSRVSEATGSSSNTPEETDDVDNSSLSVPSLVMMASCPGAASS PLFTGHGAAQPSTSGRQEADSSSEACTGPQTPQNATETSWKIEINEAKRRLMENIVLYKE ERLDSSELFGP >ENSMUSP00000086416.4 pep:known chromosome:GRCm38:6:113638528:113695009:1 gene:ENSMUSG00000060477.14 transcript:ENSMUST00000089022.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irak2 description:interleukin-1 receptor-associated kinase 2 [Source:MGI Symbol;Acc:MGI:2429603] MACYIYQLPSWVLDDLCRNIDTLSEWDWMQFASYVITDLTQLRKIKSMERVQGVSITREL LWWWSMRQATVQQLVDLLCHLELYRAAQIVLSWPIMAGAQRQRPCEMDAPCSLKTDAPDS PQSKYCSTSTSAPKQERLLGLPGDRLFWSEADVVQATEDFDQSHRISEGTFADIYQGQRN GVAFAFKKLREVAGSSPGSMDRFLQAEMQLCLRCCHANVLPLLGFCTGRQFHSLIYPYMA NGSLHDRLWAQGNSDMLPWPQRASICSGLLLAVEHLHSLDIIHSNVKSANVLLDQHLNPK LAHPVAHPHPDNKKTKYTVMRTHLFQASAAYLPEHFIRVGQLTKQVDIFSCGIVLAEVLT GIPAMDKDRSPVYLKDLLLSEIPNSTSSVCSRKTSMGKAVVKEICQRHVEKRAGLLPEAC EEAWATAVSVCLRRRNASVEEARVSLAGVEEQLRGQLSLPWSRVSEATGSSSNTPEETDD VDNSSLSVPSLVMMASCPGAASSPLFTGHGAAQPSTSGRQEADSSSEACTGPQTPQNATE TSWKIEINEAKRRLMENIVLYKEERLDSSELFGP >ENSMUSP00000144848.1 pep:known chromosome:GRCm38:6:113638536:113673033:1 gene:ENSMUSG00000060477.14 transcript:ENSMUST00000204744.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Irak2 description:interleukin-1 receptor-associated kinase 2 [Source:MGI Symbol;Acc:MGI:2429603] MACYIYQLPSWVLDDLCRNIDTLSEWDWMQFASYVITDLTQLRKIKSMERVQGGSRFLRA HLPSQPSQRL >ENSMUSP00000034198.8 pep:known chromosome:GRCm38:8:93810838:93969388:1 gene:ENSMUSG00000031748.15 transcript:ENSMUST00000034198.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnao1 description:guanine nucleotide binding protein, alpha O [Source:MGI Symbol;Acc:MGI:95775] MGCTLSAEERAALERSKAIEKNLKEDGISAAKDVKLLLLGAGESGKSTIVKQMKIIHEDG FSGEDVKQYKPVVYSNTIQSLAAIVRAMDTLGVEYGDKERKTDSKMVCDVVSRMEDTEPF SAELLSAMMRLWGDSGIQECFNRSREYQLNDSAKYYLDSLDRIGAGDYQPTEQDILRTRV KTTGIVETHFTFKNLHFRLFDVGGQRSERKKWIHCFEDVTAIIFCVALSGYDQVLHEDET TNRMHESLMLFDSICNNKFFIDTSIILFLNKKDLFGEKIKKSPLTICFPEYPGSNTYEDA AAYIQTQFESKNRSPNKEIYCHMTCATDTNNIQVVFDAVTDIIIANNLRGCGLY >ENSMUSP00000114144.1 pep:known chromosome:GRCm38:8:93810840:93968956:1 gene:ENSMUSG00000031748.15 transcript:ENSMUST00000125716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnao1 description:guanine nucleotide binding protein, alpha O [Source:MGI Symbol;Acc:MGI:95775] MGCTLSAEERAALERSKAIEKNLKEDGISAAKDVKLLLLGAGESGKSTIVKQMKIIHEDG FSGEDVKQYKPVVYSNTIQSLAAIVRAMDTLGVEYGDKERKTDSKMVCDVVSRMEDTEPF SAELLSAMMRLWGDSGIQECFNRSREYQLNDSAKYYLDSLDRIGAGDYQPTEQDILRTRV KTTGIVETHFTFKNLHFRLFDVGGQRSERKKWIHCFEDVTAIIFCVALSGYDQVLHEDET TNRMHESLMLFDSICNNKFFIDTSIILFLNKKDLFGEKIKKSPLTICFPEYPGSNTYEDA AAYIQTQFESKNRSPNKEIYCHMTCATDTNNIQVVFDAVTDIIIANNLRGCGLY >ENSMUSP00000115007.1 pep:known chromosome:GRCm38:8:93950405:93968081:1 gene:ENSMUSG00000031748.15 transcript:ENSMUST00000149530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnao1 description:guanine nucleotide binding protein, alpha O [Source:MGI Symbol;Acc:MGI:95775] QVLHEDETTNRMHESLMLFDSICNNKFFIDTSIILFLNKKDLFGEKIKKSPLTICFPEYP DCFMDSLLLMLISW >ENSMUSP00000119220.1 pep:known chromosome:GRCm38:8:93809966:93950403:1 gene:ENSMUSG00000031748.15 transcript:ENSMUST00000137202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnao1 description:guanine nucleotide binding protein, alpha O [Source:MGI Symbol;Acc:MGI:95775] MKIIHEDGFSGEDVKQYKPVVYSNTIQSLAAIVRAMDTLGVEYGDKERKTDSKMVCDVVS RMEDTEPFSAELLSAMMRLWGDSGIQECFNRSREYQLNDSAKYYLDSLDRIGAGDYQPTE QDILRTRVKTTGIVETHFTFKNLHFRLFDVGGQRSERKKWIHCFEDVTAIIFCVALSGY >ENSMUSP00000116826.1 pep:known chromosome:GRCm38:8:93896231:93968163:1 gene:ENSMUSG00000031748.15 transcript:ENSMUST00000127900.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gnao1 description:guanine nucleotide binding protein, alpha O [Source:MGI Symbol;Acc:MGI:95775] XIIHEDGFSGEDVKQYKPVVYSNTIQSLAAIVRAMDTLGVEYGDKERKTDSKMVCDVVSR MEDTEPFSAELLSAMMRLWGDSGIQECFNRSREYQLNDSAK >ENSMUSP00000118463.1 pep:known chromosome:GRCm38:8:93950405:93968060:1 gene:ENSMUSG00000031748.15 transcript:ENSMUST00000142466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnao1 description:guanine nucleotide binding protein, alpha O [Source:MGI Symbol;Acc:MGI:95775] QVLHEDETTNRMHESLMLFDSICNNKFFIDTSIILFLNKKDLFGEKIKKSPLTICFPEYP GSNTYEDAAAYIQTQFESKNRSPNKEIYCHMTCATDTNNIQTASWTLCC >ENSMUSP00000019997.4 pep:known chromosome:GRCm38:10:19000910:19015410:-1 gene:ENSMUSG00000019850.11 transcript:ENSMUST00000019997.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip3 description:tumor necrosis factor, alpha-induced protein 3 [Source:MGI Symbol;Acc:MGI:1196377] MAEQLLPQALYLSNMRKAVKIRERTPEDIFKPTNGIIYHFKTMHRYTLEMFRTCQFCPQF REIIHKALIDRSVQASLESQKKLNWCREVRKLVALKTNGDGNCLMHAACQYMWGVQDTDL VLRKALCSTLKETDTRNFKFRWQLESLKSQEFVETGLCYDTRNWNDEWDNLVKMASADTP AARSGLQYNSLEEIHIFVLSNILRRPIIVISDKMLRSLESGSNFAPLKVGGIYLPLHWPA QECYRYPIVLGYDSQHFVPLVTLKDSGPELRAVPLVNRDRGRFEDLKVHFLTDPENEMKE KLLKEYLIVMEIPVQGWDHGTTHLINAAKLDEANLPKEINLVDDYFELVQHEYKKWQENS DQARRAAHAQNPLEPSTPQLSLMDIKCETPNCPFFMSVNTQPLCHECSERRQKNQSKLPK LNSKLGPEGLPGVGLGSSNWSPEETAGGPHSAPPTAPSLFLFSETTAMKCRSPGCPFTLN VQHNGFCERCHARQINASHTADPGKCQACLQDVTRTFNGICSTCFKRTTAEPSSSLTSSI PASCHQRSKSDPSQLIQSLTPHSCHRTGNVSPSGCLSQAARTPGDRAGTSKCRKAGCMYF GTPENKGFCTLCFIEYRENKQSVTASEKAGSPAPRFQNNVPCLGRECGTLGSTMFEGYCQ KCFIEAQNQRFHEARRTEEQLRSSQHRDMPRTTQVASRLKCARASCKNILACRSEELCME CQHLSQRVGSVAHRGEPTPEEPPKQRCRAPACDHFGNAKCNGYCNECYQFKQMYG >ENSMUSP00000101167.1 pep:known chromosome:GRCm38:10:19000913:19011963:-1 gene:ENSMUSG00000019850.11 transcript:ENSMUST00000105527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip3 description:tumor necrosis factor, alpha-induced protein 3 [Source:MGI Symbol;Acc:MGI:1196377] MAEQLLPQALYLSNMRKAVKIRERTPEDIFKPTNGIIYHFKTMHRYTLEMFRTCQFCPQF REIIHKALIDRSVQASLESQKKLNWCREVRKLVALKTNGDGNCLMHAACQYMWGVQDTDL VLRKALCSTLKETDTRNFKFRWQLESLKSQEFVETGLCYDTRNWNDEWDNLVKMASADTP AARSGLQYNSLEEIHIFVLSNILRRPIIVISDKMLRSLESGSNFAPLKVGGIYLPLHWPA QECYRYPIVLGYDSQHFVPLVTLKDSGPELRAVPLVNRDRGRFEDLKVHFLTDPENEMKE KLLKEYLIVMEIPVQGWDHGTTHLINAAKLDEANLPKEINLVDDYFELVQHEYKKWQENS DQARRAAHAQNPLEPSTPQLSLMDIKCETPNCPFFMSVNTQPLCHECSERRQKNQSKLPK LNSKLGPEGLPGVGLGSSNWSPEETAGGPHSAPPTAPSLFLFSETTAMKCRSPGCPFTLN VQHNGFCERCHARQINASHTADPGKCQACLQDVTRTFNGICSTCFKRTTAEPSSSLTSSI PASCHQRSKSDPSQLIQSLTPHSCHRTGNVSPSGCLSQAARTPGDRAGTSKCRKAGCMYF GTPENKGFCTLCFIEYRENKQSVTASEKAGSPAPRFQNNVPCLGRECGTLGSTMFEGYCQ KCFIEAQNQRFHEARRTEEQLRSSQHRDMPRTTQVASRLKCARASCKNILACRSEELCME CQHLSQRVGSVAHRGEPTPEEPPKQRCRAPACDHFGNAKCNGYCNECYQFKQMYG >ENSMUSP00000116318.1 pep:known chromosome:GRCm38:10:19008266:19015657:-1 gene:ENSMUSG00000019850.11 transcript:ENSMUST00000122863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip3 description:tumor necrosis factor, alpha-induced protein 3 [Source:MGI Symbol;Acc:MGI:1196377] MAEQLLPQALYLSNMRKAVKIRERTPEDIFKPTNGIIYHFKTMHRYTLEMFRTCQFCPQF REIIHKALIDRSVQASLESQKKLNWCREVRKLVALKTNGDGNCLMHAACQYMWGVQDTDL VL >ENSMUSP00000120627.1 pep:known chromosome:GRCm38:10:19011516:19014582:-1 gene:ENSMUSG00000019850.11 transcript:ENSMUST00000146388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip3 description:tumor necrosis factor, alpha-induced protein 3 [Source:MGI Symbol;Acc:MGI:1196377] MAEQLLPQALYLSNMRKAVKIRERTPEDIFKPTNGIIYHFKTMHRYTLEMFRTCQFCPQF REIIHKALIDRSVQASLESQKKLNWCR >ENSMUSP00000058929.5 pep:known chromosome:GRCm38:17:37210894:37211867:-1 gene:ENSMUSG00000049561.7 transcript:ENSMUST00000060728.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr95 description:olfactory receptor 95 [Source:MGI Symbol;Acc:MGI:2177478] MGTNSSLVTEFVLVGFSRLVHLQGILFSLFLTVYLLTVAGNLLIVALVSTDAALQSPMYF FLRILSALEICYTSVTVPLLLHHLLTGRRHISRSGCALQMFFFLFFGATECCLLAAMAYD RYAAICEPLRYQVLLSRRVCVQLAGAAWSCGALVGLGHTSFIFSLPFCGPNAVPHFFCEI QPVLQLVCGDTSLNELQIILAAALIILCPFGLILSSYGRILVTIFRIPSAAGRRKAFSTC SSHLVVVSLFYGTAIFIYIRPKASYDPTTDPLLSLFYAVITPILNPVIYSLRNADVKAAL KRSIQKMGPSEI >ENSMUSP00000028123.3 pep:known chromosome:GRCm38:2:22622205:22693874:1 gene:ENSMUSG00000026787.3 transcript:ENSMUST00000028123.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gad2 description:glutamic acid decarboxylase 2 [Source:MGI Symbol;Acc:MGI:95634] MASPGSGFWSFGSEDGSADPENPGTARAWCQVAQKFTGGIGNKLCALLYGDSGKPAEGGG SVTSRAATGKVACTCDQKPCNCPKGDVNYAFLHATDLLPACDGERPTLAFLQDVMNILLQ YVVKSFDRSTKVIDFHYPNELLQEYNWELADQPQNLEEILTHCQTTLKYAIKTGHPRYFN QLSTGLDMVGLAADWLTSTANTNMFTYEIAPVFVLLEYVTLKKMREIIGWPGGSGDGIFS PGGAISNMYAMLIARYKMFPEVKEKGMAAVPRLIAFTSEHSHFSLKKGAAALGIGTDSVI LIKCDERGKMIPSDLERRILEVKQKGFVPFLVSATAGTTVYGAFDPLLAVADICKKYKIW MHVDAAWGGGLLMSRKHKWKLSGVERANSVTWNPHKMMGVPLQCSALLVREEGLMQSCNQ MHASYLFQQDKHYDLSYDTGDKALQCGRHVDVFKLWLMWRAKGTTGFEAHIDKCLELAEY LYTIIKNREGYEMVFDGKPQHTNVCFWFVPPSLRTLEDNEERMSRLSKVAPVIKARMMEY GTTMVSYQPLGDKVNFFRMVISNPAATHQDIDFLIEEIERLGQDL >ENSMUSP00000123250.1 pep:known chromosome:GRCm38:8:45658804:45827905:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000132139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAKGFRSVRPNLQDKRSP TQSQITINGNSGGAVSPVSYYQRPFSPSAYSLPASLNSSIIMQHGRSLDSAETYSQHAQS LDGTMGSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDESGIPTAIRTTVDRPKDWYKTMFK QIHMVHKPDEDTDMYNTPYTYNAGLYNSPYSAQSHPAAKTQTYRPLSKSHSDNGTDAFKE VPSPVPPPHVPPRPRDQSSTLKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERP PPLPPTPTPVPREPSRKPLSVSPSTDGLRSPSPPPRSCVPAPRPSAPDLSPTRPPKKALD YVQDHSSGVSNEVSIYQSSIDRSLERPSSSASMAGDFRKRRKSEPAVGPLRGLGDQSSSR TSPGRADLPGSSSTFTKSFISSSPSSPSRAQDHESPRSYSSTLTDLGRSASRERRGTPEK EKLPAKAVYDFKAQTSKELSFKKGDTVYILRKIDQNWYEGEHHGRVGIFPISYVEKLTPP EKAQPARPPPPVQPGEIGEAIAKYNFNADTNVELSLRKGDRIILLKRVDQNWYEGKIPGT NRQGIFPVSYVEVVKRNAKGAEDYPDPPLPHSYSSDRIYTLSSNKPQRPGFSHENIQGGG EPNEDELELRESDVVDVMEKCDDGWFVGTSRRTKFFGTFPGNYVKRL >ENSMUSP00000117544.1 pep:known chromosome:GRCm38:8:45507818:45776882:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000141039.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAKGFRSVRPNLQDKRSP TQSQITINGNSGGAVSPVSYYQRPFSPSAYSLPASLNSSIIMQHGRSLDSAETYSQHAQS LDGTMGSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDESGIPTAIRTTVDRPKDWYKTMFK QIHMVHKPDEDTDMYNTPYTYNAGLYNSPYSAQSHPAAKTQTYRPLSKSHSDNGTDAFKE VPSPVPPPHVPPRPRDQSSTLKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERP RSVSSRPL >ENSMUSP00000118353.1 pep:known chromosome:GRCm38:8:45658292:45821141:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000153798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTGRESQSPDSAWRSYNDRNPETLNGDATYSSLAAKGFRSVRPNLQDKRSPTQSQITIN GNSGGAVSPVSYYQRPFSPSAYSLPASLNSSIIMQHGRSLDSAETYSQHAQSLDGTMGSS IPLYRSSEEEKRVTVIKAPHYPGIGPVDESGIPTAIRTTVDRPKDWYKTMFKQIHMVHKP DEDTDMYNTPYTYNAGLYNSPYSAQSHPAAKTQTYRPLSKSHSDNGTDAFKEVPSPVPPP HVPPRPRDQSSTLKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERPVSIYQSSI DRSLERPSSSASMAGDFRKRRKSEPAVGPLRGLGDQSSSRTSPGRADLPGSSSTFTKSFI SSSPSSPSRAQDHESPRSYSSTLTDLGRSASRERRGTPEKEKLPAKAVYDFKAQTSKELS FKKGDTVYILRKIDQNWYEGEHHGRVGIFPISYVEKLTPPEKAQPARPPPPVQPGEIGEA IAKYNFNADTNVELSLRKGDRIILLKRVDQNWYEGKIPGTNRQGIFPVSYVEVVKRNAKG AEDYPDPPLPHSYSSDRIYTLSSNKPQRPGFSHENIQGGGEPLQ >ENSMUSP00000121235.1 pep:known chromosome:GRCm38:8:45658676:45827903:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000139869.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTGRESQSPDSAWRSYNDRNPETLNGDATYSSLAAKGFRSVRPNLQDKRSPTQSQITIN GNSGGAVSPVSYYQRPFSPSAYSLPASLNSSIIMQHGRSLDSAETYSQHAQSLDGTMGSS IPLYRSSEEEKRVTVIKAPHYPGIGPVDESGIPTAIRTTVDRPKDWYKTMFKQIHMVHKP GLYNSPYSAQSHPAAKTQTYRPLSKSHSDNGTDAFKEVPSPVPPPHVPPRPRDQSSTLKH DWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERPVSIYQSSIDRSLERPSSSASMAG DFRKRRKSEPAVGPLRGLGDQSSSRTSPGRADLPGSSSTFTKSFISSSPSSPSRAQDHES PRSYSSTLTDLGRSASRERRGTPEKEVKLPAKAVYDFKAQTSKELSFKKGDTVYILRKID QNWYEGEHHGRVGIFPISYVEKLTPPEKAQPARPPPPVQPGEIGEAIAKYNFNADTNVEL SLRKGDRIILLKRVDQNWYEGKIPGTNRQGIFPVSYVEVVKRNAKGAEDYPDPPLPHSYS SDRIYTLSSNKPQRPGFSHENIQGGGEPFQALYNYTPRNEDELELRESDVVDVMEKCDDG WFVGTSRRTKFFGTFPGNYVKRL >ENSMUSP00000121619.1 pep:known chromosome:GRCm38:8:45661996:45827592:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000130011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTGRESQSPDSAKGFRSVRPNLQDKRSPTQSQITINGNSGGAVSPVSYYQRPFSPSAYS LPASLNSSIIMQHGRSLDSAETYSQHAQSLDGTMGSSIPLYRSSEEEKRVTVIKAPHYPG IGPVDESGIPTAIRTTVDRPKDWYKTMFKQIHMVHKPGLYNSPYSAQSHPAAKTQTYRPL SKSHSDNGTDAFKEVPSPVPPPHVPPRPRDQSSTLKHDWDPPDRKVDTRKFRSEPRSIFE YEPGKSSILQHERPPPLPPTPTPVPREPSRKPLSVSPSTDGLRSPSPPPRSCVPAPRPSA PDLSPTRVSIYQSSIDRSLERPSSSASMAGDFRKRRKSEPAVGPLRGLGDQSSSRTSPGR ADLPGSSSTFTKSFISSSPSSPSRAQDHESPRSYSSTLTDLGRSASRERRGTPEKEKLPA KAVYDFKAQTSKELSFKKGDTVYILRKIDQNWYEGEHHGRVGIFPISYVEKLTPPEKAQP ARPPPPVQPGEIGEAIAKYNFNADTNVELSLRKGDRIILLKRVDQNWYEGKIPGTNRQGI FPVSYVEVVKRNAKGAEDYPDPPLPHSYSSDRIYTLSSNKPQRPGFSHENIQGGGEPFQA LYNYTPRNEDELELRESDVVDVMEKCDDGWFVGTSRRTKFFGTFPGNYVKRL >ENSMUSP00000116768.1 pep:known chromosome:GRCm38:8:45763108:45827904:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000125295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] KRVTVIKAPHYPGIGPVDESGIPTAIRTTVDRPKDWYKTMFKQIHMVHKPDEDTDMYNTP YTYNAGLYNSPYSAQSHPAAKTQTYRPLSKSHSDNGTDAFKEVPSPVPPPHVPPRPRDQS STLKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERPPPLPPTPTPVPREPSRKP LSVSPSTDGLRSPSPPPRSCVPAPRPSAPDLSPTRTGRINPADIDLENEPWYKFFSELEF GHPPPKKALDYVQDHSSGVSNEVSIYQSSIDRSLERPSSSASMAGDFRKRRKSEPAVGPL RGLGDQSSSRTSPGRADLPGSSSTFTKSFISSSPSSPSRAQGGDDSKMCPPLCSYSGLNG TPSGELECCNAYRQHLDVPGDSQRAITFKNGWQMARQNAEIWSSTEETVSPKIKSRSCDD LLNDDCDSFPDPKTKSESMGSLLCEEDSKESCPMTWASPYIQEVCGNSRSRLKHRSAHNA PGFLKMYKKMHRINRKDLMNSEVICSVKSRILQYEKEQQHRGLLHGWSQSSTEEVPRDVV PTRISEFEKLIQKSKSMPNLGDEMLSPITLEPPQNGLCPKRRFSIESLLEEETQVRHPSQ GQRSCKSNTLVPIHIEVTSDEQPRTHMEFSDSDQDGVVSDHSDYVHVEGSSFCSESDFDH FSFTSSESFYGSSHHHHHHHHHHRHLISSCKGRCPASYTRFTTMLKHERAKHENMDRPRR QEMDPGLSKLAFLVSPVPFRRKKILTPQKQTEKAKCKASVVEALDSALKDICDQIKAEKR RGSLPDNSILHRLISELLPQIPERNSSLHALKRSPMHQPFHPLPPDGASHCPLYQNDCGR MPHSASFPDVDTTSNYHAQDYGSALSLQDHESPRSYSSTLTDLGRSASRERRGTPEKEKL PAKAVYDFKAQTSKELSFKKGDTVYILRKIDQNWYEGEHHGRVGIFPISYVEKLTPPEKA QPARPPPPVQPGEIGEAIAKYNFNADTNVELSLRKGDRIILLKRVDQNWYEGKIPGTNRQ GIFPVSYVEVVKRNAKGAEDYPDPPLPHSYSSDRIYTLSSNKPQRPGFSHENIQGGGEPF QALYNYTPRNEDELELRESDVVDVMEKCDDGWFVGTSRRTKFFGTFPGNYVKRL >ENSMUSP00000116536.1 pep:known chromosome:GRCm38:8:45627972:45745829:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000145458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAKGFRSVRPNLQDKR >ENSMUSP00000121073.1 pep:known chromosome:GRCm38:8:45661993:45759092:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000149752.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAKGFRSVRPNLQDKRSP TQSQITINGNSGGAV >ENSMUSP00000119380.1 pep:known chromosome:GRCm38:8:45688337:45759101:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000139103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTGRESQSPDSAKGFRSVRPNLQDKRSPTQSQITINGNSGGAVSPV >ENSMUSP00000114951.1 pep:known chromosome:GRCm38:8:45688333:45759141:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000150102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAKGFRSVRPNLQDKRSP TQSQITINGNSGGAVSPVSYYQRPFSPSAYSL >ENSMUSP00000119539.1 pep:known chromosome:GRCm38:8:45658804:45772653:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000143820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTGRESQSPDSAKGFRSVRPNLQDKRSPTQSQITINGNSGGAVSPVSYYQRPFSPSAYS LPASLNSSIIMQHGRSLDSAETYSQHAQSLDGTMGSSIPLYRSSEEEKRVTVIKAPHYPG IGPVDESGIPTAIRTTVDRPKDWYKTMFKQIHMVHKPDEDTDMYNTPYTYNAGLYNSPYS AQSHPAAKTQTYRPLSKSHSDNGTDAFKEVPS >ENSMUSP00000123195.1 pep:known chromosome:GRCm38:8:45628201:45746632:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000130850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTGRESQSPDSAKGFRSVRPNLQDKRSPTQAPPPPARKESFDSQSLINNQSKGDIL >ENSMUSP00000118562.1 pep:known chromosome:GRCm38:8:45658709:45746589:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000126067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTGRESQSPDSAKGFRSVRPNLQDKRSPTQAPPPPARKESF >ENSMUSP00000114158.1 pep:known chromosome:GRCm38:8:45769839:45792885:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000140088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] XPKDWYKTMFKQIHMVHKPGLYNSPYSAQSHPAAKTQTYRPLSKSHSDNGTDAFKEVPSP VPPPHVPPRPRDQSSTLKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERPPPKK ALDYVQDHSSGVSNEVSIYQSSIDRSLERPSSSASMAGDFRKRRKSEPAVGPLRGLGDQS SSRTSPG >ENSMUSP00000115842.1 pep:known chromosome:GRCm38:8:45627745:45745807:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000134321.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAKGFRSVR >ENSMUSP00000122820.1 pep:known chromosome:GRCm38:8:45770555:45792853:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000155858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] XDMYNTPYTYNAGLYNSPYSAQSHPAAKTQTYRPLSKSHSDNGTDAFKEVPSPVPPPHVP PRPRDQSSTLKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERPPPLPPTPTPVP REPSRKPLSVSPSTDGLRSPSPPPRSCVPAPRPSAPDLSPTRTGRINPADIDLENEPWYK FFSELEFGHPVSIYQSSIDRSLERPSSSASMAGDFRKRRKSEPAVGPLRGL >ENSMUSP00000120487.1 pep:known chromosome:GRCm38:8:45775669:45795086:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000146627.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] XGKSSILQHERPPPLPPTPTPVPREPSRKPLSVSPSTDGLRSPSPPPRSCVPAPRPSAPD LSPTRPPKKALDYVQDHSSGVSNEVSIYQSSIDRSLERPSSSASMAGDFRKRRKSEPAVG PLRGLGDQSSSRTSPGRADLPGSSSTFTKSFISSSPSSPSRAQGGDDSKMCPPLCSYSGL NGTPSGELECCNAYRQHLDV >ENSMUSP00000123503.1 pep:known chromosome:GRCm38:8:45507798:45795412:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000138049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAWRSYNDRNPETLNGDA TYSSLAAKGFRSVRPNLQDKRSPTQSQITINGNSGGAVSPVSYYQRPFSPSAYSLPASLN SSIIMQHGRSLDSAETYSQHAQSLDGTMGSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDE SGIPTAIRTTVDRPKDWYKTMFKQIHMVHKPGLYNSPYSAQSHPAAKTQTYRPLSKSHSD NGTDAFKEVPSPVPPPHVPPRPRDQSSTLKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKS SILQHERPVSIYQSSIDRSLERPSSSASMAGDFRKRRKSEPAVGPLRGLGDQSSSRTSPG RADLPGSSSTFTKSFISSSPSSPSRAQGGDDSKMCPPLCSYSGLNGTPSGELECCNAYRQ HLDVPGDSQRAITFKNGWQMARQNAEIWSSTEETVSPKIKSRSCDDLLNDDCDSFPDPKT KSESMGSLLCEEDSKESCPMTWASPYIQEVCGNSRSRLKHRSAHNAPGFLKM >ENSMUSP00000114286.1 pep:known chromosome:GRCm38:8:45627803:45827873:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000135336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAWRSYNDRNPETLNGDA TYSSLAAKGFRSVRPNLQDKRSPTQSQITINGNSGGAVSPVSYYQRPFSPSAYSLPASLN SSIIMQHGRSLDSAETYSQHAQSLDGTMGSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDE SGIPTAIRTTVDRPKDWYKTMFKQIHMVHKPDEDTDMYNTPYTYNAGLYNSPYSAQSHPA AKTQTYRPLSKSHSDNGTDAFKEVPSPVPPPHVPPRPRDQSSTLKHDWDPPDRKVDTRKF RSEPRSIFEYEPGKSSILQHERPVSIYQSSIDRSLERPSSSASMAGDFRKRRKSEPAVGP LRGLGDQSSSRTSPGRADLPGSSSTFTKSFISSSPSSPSRAQGGDDSKMCPPLCSYSGLN GTPSGELECCNAYRQHLDVPGDSQRAITFKNGWQMARQNAEIWSSTEETVSPKIKSRSCD DLLNDDCDSFPDPKTKSESMGSLLCEEDSKESCPMTWASPYIQEVCGNSRSRLKHRSAHN APGFLKMYKKMHRINRKDLMNSEVICSVKSRILQYEKEQQHRGLLHGWSQSSTEEVPRDV VPTRISEFEKLIQKSKSMPNLGDEMLSPITLEPPQNGLCPKRRFSIESLLEEETQVRHPS QGQRSCKSNTLVPIHIEVTSDEQPRTHMEFSDSDQDGVVSDHSDYVHVEGSSFCSESDFD HFSFTSSESFYGSSHHHHHHHHHHRHLISSCKGRCPASYTRFTTMLKHERAKHENMDRPR RQEMDPGLSKLAFLVSPVPFRRKKILTPQKQTEKAKCKASVVEALDSALKDICDQIKAEK RRGSLPDNSILHRLISELLPQIPERNSSLHALKRSPMHQPFHPLPPDGASHCPLYQNDCG RMPHSASFPDVDTTSNYHAQDYGSALSLQDHESPRSYSSTLTDLGRSASRERRGTPEKEQ KLPAKAVYDFKAQTSKELSFKKGDTVYILRKIDQNWYEGEHHGRVGIFPISYVEKLTPPE KAQPARPPPPVQPGEIGEAIAKYNFNADTNVELSLRKGDRIILLKRVDQNWYEGKIPGTN RQGIFPVSYVEVVKRNAKGAEDYPDPPLPHSYSSDRIYTLSSNKPQRPGFSHENIQGGGE PFQALYNYTPRNEDELELRESDVVDVMEKCDDGWFVGTSRRTKFFGTFPGNYVKRL >ENSMUSP00000118160.1 pep:known chromosome:GRCm38:8:45658670:45776882:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000134675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAKGFRSVRPNLQDKRSP TQSQITINGNSGGAVSPVSYYQRPFSPSAYSLPASLNSSIIMQHGRSLDSAETYSQHAQS LDGTMGSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDESGIPTAIRTTVDRPKDWYKTMFK QIHMVHKPDEDTDMYNTPYTYNAGLYNSPYSAQSHPAAKTQTYRPLSKSHSDNGTDAFKE VPSPVPPPHVPPRPRDQSSTLKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERP RSVSSRPL >ENSMUSP00000128000.2 pep:known chromosome:GRCm38:8:45507788:45827906:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000171337.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAWRSYNDRNPETLNGDA TYSSLAAKGFRSVRPNLQDKRSPTQSQITINGNSGGAVSPVSYYQRPFSPSAYSLPASLN SSIIMQHGRSLDSAETYSQHAQSLDGTMGSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDE SGIPTAIRTTVDRPKDWYKTMFKQIHMVHKPDEDTDMYNTPYTYNAGLYNSPYSAQSHPA AKTQTYRPLSKSHSDNGTDAFKEVPSPVPPPHVPPRPRDQSSTLKHDWDPPDRKVDTRKF RSEPRSIFEYEPGKSSILQHERPPPKKALDYVQDHSSGVSNEVSIYQSSIDRSLERPSSS ASMAGDFRKRRKSEPAVGPLRGLGDQSSSRTSPGRADLPGSSSTFTKSFISSSPSSPSRA QGGDDSKMCPPLCSYSGLNGTPSGELECCNAYRQHLDVPGDSQRAITFKNGWQMARQNAE IWSSTEETVSPKIKSRSCDDLLNDDCDSFPDPKTKSESMGSLLCEEDSKESCPMTWASPY IQEVCGNSRSRLKHRSAHNAPGFLKMYKKMHRINRKDLMNSEVICSVKSRILQYEKEQQH RGLLHGWSQSSTEEVPRDVVPTRISEFEKLIQKSKSMPNLGDEMLSPITLEPPQNGLCPK RRFSIESLLEEETQVRHPSQGQRSCKSNTLVPIHIEVTSDEQPRTHMEFSDSDQDGVVSD HSDYVHVEGSSFCSESDFDHFSFTSSESFYGSSHHHHHHHHHHRHLISSCKGRCPASYTR FTTMLKHERAKHENMDRPRRQEMDPGLSKLAFLVSPVPFRRKKILTPQKQTEKAKCKASV VEALDSALKDICDQIKAEKRRGSLPDNSILHRLISELLPQIPERNSSLHALKRSPMHQPF HPLPPDGASHCPLYQNDCGRMPHSASFPDVDTTSNYHAQDYGSALSLQDHESPRSYSSTL TDLGRSASRERRGTPEKEKLPAKAVYDFKAQTSKELSFKKGDTVYILRKIDQNWYEGEHH GRVGIFPISYVEKLTPPEKAQPARPPPPVQPGEIGEAIAKYNFNADTNVELSLRKGDRII LLKRVDQNWYEGKIPGTNRQGIFPVSYVEVVKRNAKGAEDYPDPPLPHSYSSDRIYTLSS NKPQRPGFSHENIQGGGEPFQALYNYTPRNEDELELRESDVVDVMEKCDDGWFVGTSRRT KFFGTFPGNYVKRL >ENSMUSP00000067641.7 pep:known chromosome:GRCm38:8:45507788:45827906:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000067107.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MNTDSGGCARKRAAMSVTLTSVKRVQSSPNLLAAGRESQSPDSAWRSYNDRNPETLNGDA TYSSLAAKGFRSVRPNLQDKRSPTQSQITINGNSGGAVSPVSYYQRPFSPSAYSLPASLN SSIIMQHGRSLDSAETYSQHAQSLDGTMGSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDE SGIPTAIRTTVDRPKDWYKTMFKQIHMVHKPDEDTDMYNTPYTYNAGLYNSPYSAQSHPA AKTQTYRPLSKSHSDNGTDAFKEVPSPVPPPHVPPRPRDQSSTLKHDWDPPDRKVDTRKF RSEPRSIFEYEPGKSSILQHERPVSIYQSSIDRSLERPSSSASMAGDFRKRRKSEPAVGP LRGLGDQSSSRTSPGRADLPGSSSTFTKSFISSSPSSPSRAQGGDDSKMCPPLCSYSGLN GTPSGELECCNAYRQHLDVPGDSQRAITFKNGWQMARQNAEIWSSTEETVSPKIKSRSCD DLLNDDCDSFPDPKTKSESMGSLLCEEDSKESCPMTWASPYIQEVCGNSRSRLKHRSAHN APGFLKMYKKMHRINRKDLMNSEVICSVKSRILQYEKEQQHRGLLHGWSQSSTEEVPRDV VPTRISEFEKLIQKSKSMPNLGDEMLSPITLEPPQNGLCPKRRFSIESLLEEETQVRHPS QGQRSCKSNTLVPIHIEVTSDEQPRTHMEFSDSDQDGVVSDHSDYVHVEGSSFCSESDFD HFSFTSSESFYGSSHHHHHHHHHHRHLISSCKGRCPASYTRFTTMLKHERAKHENMDRPR RQEMDPGLSKLAFLVSPVPFRRKKILTPQKQTEKAKCKASVVEALDSALKDICDQIKAEK RRGSLPDNSILHRLISELLPQIPERNSSLHALKRSPMHQPFHPLPPDGASHCPLYQNDCG RMPHSASFPDVDTTSNYHAQDYGSALSLQDHESPRSYSSTLTDLGRSASRERRGTPEKEV KLPAKAVYDFKAQTSKELSFKKGDTVYILRKIDQNWYEGEHHGRVGIFPISYVEKLTPPE KAQPARPPPPVQPGEIGEAIAKYNFNADTNVELSLRKGDRIILLKRVDQNWYEGKIPGTN RQGIFPVSYVEVVKRNAKGAEDYPDPPLPHSYSSDRIYTLSSNKPQRPGFSHENIQGGGE PFQALYNYTPRNEDELELRESDVVDVMEKCDDGWFVGTSRRTKFFGTFPGNYVKRL >ENSMUSP00000070720.7 pep:known chromosome:GRCm38:8:45507788:45827906:1 gene:ENSMUSG00000031626.16 transcript:ENSMUST00000067065.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs2 description:sorbin and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:1924574] MYNTPYTYNAGLYNSPYSAQSHPAAKTQTYRPLSKSHSDNGTDAFKEVPSPVPPPHVPPR PRDQSSTLKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERPPPLPPTPTPVPRE PSRKPLSVSPSTDGLRSPSPPPRSCVPAPRPSAPDLSPTRTGRINPADIDLENEPWYKFF SELEFGHPPPKKALDYVQDHSSGVSNEVSIYQSSIDRSLERPSSSASMAGDFRKRRKSEP AVGPLRGLGDQSSSRTSPGRADLPGSSSTFTKSFISSSPSSPSRAQGGDDSKMCPPLCSY SGLNGTPSGELECCNAYRQHLDVPGDSQRAITFKNGWQMARQNAEIWSSTEETVSPKIKS RSCDDLLNDDCDSFPDPKTKSESMGSLLCEEDSKESCPMTWASPYIQEVCGNSRSRLKHR SAHNAPGFLKMYKKMHRINRKDLMNSEVICSVKSRILQYEKEQQHRGLLHGWSQSSTEEV PRDVVPTRISEFEKLIQKSKSMPNLGDEMLSPITLEPPQNGLCPKRRFSIESLLEEETQV RHPSQGQRSCKSNTLVPIHIEVTSDEQPRTHMEFSDSDQDGVVSDHSDYVHVEGSSFCSE SDFDHFSFTSSESFYGSSHHHHHHHHHHRHLISSCKGRCPASYTRFTTMLKHERAKHENM DRPRRQEMDPGLSKLAFLVSPVPFRRKKILTPQKQTEKAKCKASVVEALDSALKDICDQI KAEKRRGSLPDNSILHRLISELLPQIPERNSSLHALKRSPMHQPFHPLPPDGASHCPLYQ NDCGRMPHSASFPDVDTTSNYHAQDYGSALSLQDHESPRSYSSTLTDLGRSASRERRGTP EKEKLPAKAVYDFKAQTSKELSFKKGDTVYILRKIDQNWYEGEHHGRVGIFPISYVEKLT PPEKAQPARPPPPVQPGEIGEAIAKYNFNADTNVELSLRKGDRIILLKRVDQNWYEGKIP GTNRQGIFPVSYVEVVKRNAKGAEDYPDPPLPHSYSSDRIYTLSSNKPQRPGFSHENIQG GGEPFQALYNYTPRNEDELELRESDVVDVMEKCDDGWFVGTSRRTKFFGTFPGNYVKRL >ENSMUSP00000041663.8 pep:known chromosome:GRCm38:5:140505550:140525724:1 gene:ENSMUSG00000036599.10 transcript:ENSMUST00000043050.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst12 description:carbohydrate sulfotransferase 12 [Source:MGI Symbol;Acc:MGI:1929064] MTKPRLFRLWLVLGSALMILLIIVYWDNVGTAHFYLHTSLSRPHILEPLPTQGLVEENVF TSDVDEFLDTLLSSDAKHNDLSRRKTEQPPVPAPSKPVLSHMEENVRGYDWSTHDAHQNP DRDRQQAERRSLLRDFCANASLAFPTKDRSFDDIPNYELNHLIVDDRHGVIYCYVPKVAC TNWKRVMIVLSESLLDRGSPYRDPLDIPREHVHNTSTHLTFNKFWRRYGKFSRHLMKVKL KKYTKFLFVRDPFVRLISAFRSKFELENEEFYRKFAVPMLRLYANHTSLPASVSEAFSAG LKVSFANFIQYLLDPHTEKLAPFNEHWRQVYRLCHPCQIDYDFVGKLETLDEDAAQLLRF LKVDSQLHFPPSYRNRTASSWEEDWFANIPLAWRQQLYKLYEADFVLFGYPKPENLLRD >ENSMUSP00000114835.1 pep:known chromosome:GRCm38:5:140505575:140524153:1 gene:ENSMUSG00000036599.10 transcript:ENSMUST00000124142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst12 description:carbohydrate sulfotransferase 12 [Source:MGI Symbol;Acc:MGI:1929064] MTKPRLFRLWLVLGSALMILLIIVYWDNVGTAHFYLHTSLSRPHILEPLPTQGLVEENVF TSDVDEFLDTLLSSDAKHNDLSRRKTEQPPVPAPSKPVLSHMEENVRGYDWSTHDAHQNP DRDRQQAERRSLLRDFCANASLAFPTKDRSFDDIPNYELNHLIVDDRHGVIYCYVPKV >ENSMUSP00000037356.8 pep:known chromosome:GRCm38:15:76671615:76694919:1 gene:ENSMUSG00000033819.15 transcript:ENSMUST00000037551.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r16a description:protein phosphatase 1, regulatory (inhibitor) subunit 16A [Source:MGI Symbol;Acc:MGI:1920312] MAEHLELLAEMPMVGRMSTQERLKHAQKRRAQQVKMWAQAEKEAHSKKGHGERPWKEVAG LRPRKHVLFPPSVALLEAAARNDLEEVRQFLTSGVSPNLANEDGLTALHQCCIDDFQEMA QQLLDAGADVNARDSECWTPLHAAATCGHLHLVELLISRGADLLAVNSDGNMPYDLCEDA QTLDCLETAMANQGITQEGIEEARAVPELCMLNDLQNRLQAGANLSDPLDHGATLLHIAA ANGFSEVATLLLEQGASLSAKDHDGWEPLHAAAYWGQVHLVELLVAHGADLNGKSLVDET PLDVCGDEEVRAKLLELKHKQDALLRAQGRQRSLLRRRTSSAGSRGKVVRRVSLTHRTNL YRKEHAQEAIVWQQPPPTSPEPLEDEDRQTDAELRLQPPEDDGPEVARPHNGQVGAPPGR HLYSKRLDRSVSYHLSPEENSAPDALVRDKAHHTLAELKRQRAAAKLQRPAPEGPETFEP GLSVDAGTSQPDCGFSTIGDPPLLKLTAPSEEASVEKRPCCLLM >ENSMUSP00000123458.1 pep:known chromosome:GRCm38:15:76690529:76694915:1 gene:ENSMUSG00000033819.15 transcript:ENSMUST00000150399.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1r16a description:protein phosphatase 1, regulatory (inhibitor) subunit 16A [Source:MGI Symbol;Acc:MGI:1920312] MAEHLELLAEMPMVGRMSTQERLKHAQKRRAQQVKMWAQAEKEAHSKKGHGERPWKEVAG LRPRKHVLFPPSVALLEAAARNDLEEVRQFLTSGVSPNLANEDGLTALHQCCIDDFQEMA QQLLDAGADVNARDSECWTPLHAAATCGHLHLVELLISRGADLLAVNSDGNMPYDLCEDA QTLDCLETAMANQGITQEGIEEARAVPELCMLNDLQNRLQAGANLSDPLDHGATLMYVVM RR >ENSMUSP00000124336.1 pep:known chromosome:GRCm38:15:76691448:76693513:1 gene:ENSMUSG00000033819.15 transcript:ENSMUST00000156920.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1r16a description:protein phosphatase 1, regulatory (inhibitor) subunit 16A [Source:MGI Symbol;Acc:MGI:1920312] XMPSKPHLWRHGQCCSFLVRDESASSLPVGLAPTWPMKMA >ENSMUSP00000027863.7 pep:known chromosome:GRCm38:1:164437109:164458355:-1 gene:ENSMUSG00000026576.12 transcript:ENSMUST00000027863.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1b1 description:ATPase, Na+/K+ transporting, beta 1 polypeptide [Source:MGI Symbol;Acc:MGI:88108] MARGKAKEEGSWKKFIWNSEKKEFLGRTGGSWFKILLFYVIFYGCLAGIFIGTIQVMLLT ISELKPTYQDRVAPPGLTQIPQIQKTEISFRPNDPKSYEAYVLNIIRFLEKYKDSAQKDD MIFEDCGNVPSEPKERGDINHERGERKVCRFKLDWLGNCSGLNDDSYGYREGKPCIIIKL NRVLGFKPKPPKNESLETYPLMMKYNPNVLPVQCTGKRDEDKDKVGNIEYFGMGGYYGFP LQYYPYYGKLLQPKYLQPLLAVQFTNLTVDTEIRVECKAYGENIGYSEKDRFQGRFDVKI EIKS >ENSMUSP00000141777.1 pep:known chromosome:GRCm38:1:164441459:164453777:-1 gene:ENSMUSG00000026576.12 transcript:ENSMUST00000193367.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1b1 description:ATPase, Na+/K+ transporting, beta 1 polypeptide [Source:MGI Symbol;Acc:MGI:88108] MLLTISELKPTYQDRVAPPGLTQIPQIQKTEISFRPNDPKSYEAYVLNIIRFLEKYKDSA QKDDMIFEDCGNVPSEPKERGDINHERGERKVCRFKLDWLGNCSGLNDDSYGYREGKPCI IIKLNRVLGFKP >ENSMUSP00000030614.2 pep:known chromosome:GRCm38:4:127927592:127970951:-1 gene:ENSMUSG00000028813.2 transcript:ENSMUST00000030614.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CK137956 description:cDNA sequence CK137956 [Source:MGI Symbol;Acc:MGI:3616080] MRAGGPQSLCLPALGGQRGFRKERKRMASGNGLPPSSALVAKRPSALGPFPRYVWIHQDT PQDSLDKICHDIWKRVQGLPESLQPRTSLEKHSAPVTAAVSFQEEALELSGGKDEISLLV EQEFLSLTKEHLILVQEGSGELATPIVTPQGTRELAPCFLVPPLGADSAEYAGSSVMAGD EIQEQKLSMPIIGSRQDSDSAMSTVTGILRSAKVKSVKGTKDKGHSLGASNSEISKLLAQ FPLKATEMSKAPDNKMVLEETKVIKDFLQNSMFNGSGPREPMGLGPFLLLPPPPPPALPD KLPESSPPKRQLPVFAKICSKTEADAALEGHHWIERSPGTKELTKSRESLFLNQWPQNRK DTCGEEGHSDPVGTISMTLPTKKPVWPAEKNLLYEFFGATKNPSGQLRLRTKVDMDGLDL KFNSPTTVPEKNNIKYAGNVFAPRFTTTLTSTTLNQPLWLNLNCPPPPVFSSHSTFPQYQ GLYPQRSTRMPYQQPIHPPLGCYSRQVTPYNPQQMGQQIFRSSYTPLMSYIPFVQPNYPY PQRTPQKLPANPRDPPPTAGDGPQFLFPQGYGFNSAPSGPLMNSPYFSSSGNGIRF >ENSMUSP00000052179.7 pep:known chromosome:GRCm38:3:37312554:37321450:1 gene:ENSMUSG00000051444.9 transcript:ENSMUST00000057975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs12 description:Bardet-Biedl syndrome 12 (human) [Source:MGI Symbol;Acc:MGI:2686651] MEMACRVINRRRHVGLQQLLSFAQTGRSFLGPVKATKFITDAECHESVLISSTVRLLEGL DLTCAVGHLLNEAVQAQNNTYKIGTSTLLFLVGAWSRAVEDCLHLGIPTTVIVSVMSEGL NSCIEAVVSLQVPIHNVFDHMDNTSTVYKLETVNATLCPFLQDPSGSGLLQEKRDFKDAT SPLLSTYSLSGRHAESPKFFKPQNNLETEKNTLQVLKNNLYTDSFCKKSALAHSRHFNRT DNSHWISRHDGFLEQLESTPKVLRCNDFGELAVGLSHGDHSSMALAKAAVRLQWQSLCLQ QANWMAPFMFDISRLLTCCIPGLPETFSRVGLGYVTFVTMSSITLIKELQDQPFRVILIE GDLTESYRHLGFNKSVNIKTKLDSGELSEDSAEELWTNHVLQVLIQFNVTLILVQGSVSE HLTEKCMHSKRLVIGAVNGSVLQAFAEATRAVPVAYVTQVNEDCVGSGVSVTFWMSPHDI NRSNRIAILLTAEGINLITAVLTSPASAQMETKEDRFWSCVYRLYHALKEEKVFLGGGAV EFLCLSHLQILAEQSLNRGNHACLGWLPDSSSWMASSLSVYRPTVLKSLAGGWHEFLSAI MCNTATHPSAVEARTFIQQHVQNAIDSGSPSSYILSEYSKLSSGVFHSGISDNLELVPRV YDTVTPKIEAWRRALDVVLLVLQTDSEIITGLVHTEMNSQELDGVLFL >ENSMUSP00000103756.3 pep:known chromosome:GRCm38:3:37312556:37321453:1 gene:ENSMUSG00000051444.9 transcript:ENSMUST00000108121.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs12 description:Bardet-Biedl syndrome 12 (human) [Source:MGI Symbol;Acc:MGI:2686651] MSEGLNSCIEAVVSLQVPIHNVFDHMDNTSTVYKLETVNATLCPFLQDPSGSGLLQEKRD FKDATSPLLSTYSLSGRHAESPKFFKPQNNLETEKNTLQVLKNNLYTDSFCKKSALAHSR HFNRTDNSHWISRHDGFLEQLESTPKVLRCNDFGELAVGLSHGDHSSMALAKAAVRLQWQ SLCLQQANWMAPFMFDISRLLTCCIPGLPETFSRVGLGYVTFVTMSSITLIKELQDQPFR VILIEGDLTESYRHLGFNKSVNIKTKLDSGELSEDSAEELWTNHVLQVLIQFNVTLILVQ GSVSEHLTEKCMHSKRLVIGAVNGSVLQAFAEATRAVPVAYVTQVNEDCVGSGVSVTFWM SPHDINRSNRIAILLTAEGINLITAVLTSPASAQMETKEDRFWSCVYRLYHALKEEKVFL GGGAVEFLCLSHLQILAEQSLNRGNHACLGWLPDSSSWMASSLSVYRPTVLKSLAGGWHE FLSAIMCNTATHPSAVEARTFIQQHVQNAIDSGSPSSYILSEYSKLSSGVFHSGISDNLE LVPRVYDTVTPKIEAWRRALDVVLLVLQTDSEIITGLVHTEMNSQELDGVLFL >ENSMUSP00000139644.1 pep:known chromosome:GRCm38:7:127519584:127567054:1 gene:ENSMUSG00000107023.2 transcript:ENSMUST00000189629.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm42715 description:predicted gene 42715 [Source:MGI Symbol;Acc:MGI:5662852] VSDGMTGSNPVSPASSSSPDSSGAGGISPQHIAQDSSLDGPPGPQDGTTVPLEGFSLSHA ADLVNRGQKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWSLKRLPKVPEPPRPKGHWDY LCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKEERARREEQAKLRRIASTMA KDVRQFWSNVEKVVQFKQQSRLEEKRKKALDLHLDFIVGQTEKYSDLLSQSLNQPPASSK AGSSPCLGSSSAASSPPPPVSRLDDEDGDFQPQEEEEEDDEETIEVEEQQEGNDAETQRR EIELLRHEGELPLEELLRSLPPQLLGGPFSPSQTPSHDSDTQDGPEENIEEEPSQDLEVH PPSSAVTQCNKQRWHPDEDDEEFTANEDEAEDEEDTIAAEEQLEGEVDHAMELSELAREG ELSMEELLQQYAGAYACDASAPASGDSEDEDEVEANSSDGELEETVEEAAQEDSSSQSDS AEECSEDEEDEHSEEEMSGSSQSEESESDESEDAQSQSQADEEQDDEGEDDDVDDDDDDG FGVEYLLARDDERSEVDGGSGPPTPGPTTTLGPKKEITDIAAAAESLQPKGYTLATTQVK TPIPLLLRGQLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIQTISLLAHLACEKGNW GPHLIIVPTSVMLNWEMELKRWCPSFKILTYYGAQKERKLKRQGWTKPNAFHVCITSYKL VLQDHQAFRRKNWRYLILDEAQNIKNFKSQRWQSLLNFNSQRRLLLTGTPLQNSLMELWS LMHFLMPHVFQSHREFKEWFSNPLTGMIEGSQEYNEGLVKRLHKVLRPFLLRRVKVDVEK QMPKKYEHVIRCRLSKRQRCLYDDFMAQTTTKETLATGHFMSVINILMQLRKVCNHPNLF DPRPVTSPFITPGICFSTASLVLRATEVHPLQRIDMGRFDLIGLEGRVSRYEADTFLPRH RLSHRILLEIATAPDPPPRPKPVKMKVNRMLQPVPKQEGRTVVVVNSPRPPLGPVPVRPP PGPEVSAQPALGPVPPVLPAPLMVSASPAGTPVVPASRPPGPVLLSPLQPNTGPLPQAGE VVSIGQLASLAQRPVTSAGGSKPLTFQIQGNKLTLTGAQVRQLAVGQPRPLQMPPSMVNN TGVVKIVVRQAPRDGLTPVPPLAPAPRPSSSGLPAVLTSRPTLTPSRLSSPTLGTTRTPI PTPTLVRPLLKLVHSPSPEVSASAPGAAPMTISSSLHMPSSLPGPASSPMTIHNSSPLSS PVSSTVSVPVSSSLPISVPTTLPVPTSAPLPIPITAPLPVSDLGPALLTSVTPALAVVSA ASGPPLASAGVSPSMSALALGLATTPALSPPQTSGHSLLLATTSSHVPGLNSAVAPACSP VLVSASALTSPFPVSPSSAPAQASLLAAAPSTSQALATSLAPMAASQTAILGPSPTPPLA PLPVLAASQTPLPAMTPPSMSGTPLPSSSLVSAPTSVLAPSSTQTLVSTPVSSPLSSLAS TQTLTLAPTLAPTLGGLSPSQTHSLGTGSPQGPFPIQTLSLTPASSLVPTPAQTLSLAPG GPVGPTQTLSLAPVPPLPPSSPVGPAPGHTLTLAPAASSASLLVPTSVQTLTLSPAPVPV PTLDLSATQTLALAPALTQAPASQASSLVSASGAASLPVTMVNRLPVPKDEPETLTLRSG PPSPLPTATSFSGPRPRRQPPPPPRSPFYLDSLEEKRKQQRSERLDRIFQLSEAHGALAP VYGTEVLDFCTLPQPVASPIGPRSPGPSHPIFWTYTEAARRAVLFPQQRLEQLSEIIERF IFVMPPVEAPPPSLHACHPPPWLAPHQAAFQEQLACELWPRARPLHRIVCNMRTQFPDLR LIQYDCGKLQTLAVLLRQLKAEGHRVLIFTQMTRMLDVLEQFLTYHGHLYLRLDGSTRVE QRQALMERFNADKRIFCFILSTRSGGVGVNLTGADTVVFYDSDWNPTMDAQAQDRCHRIG QTRDVHIYRLISERTVEENILKKANQKRMLGDMAIEGGNFTTAYFKQQTIRELFDMPLEE PPGSSVSSVPEDEEEAVASKQTHILEQALCRAEDEEDIRAATQAKAEQVAELAEFNENDG FPAGEGEEANRPGPGAEDEEMSRAEQEIAALVEQLTPIERYAMKFLEASLEEVSREELKQ AEEQVEAARKDLDQAKEEVFRLPHEEEEGPGAGDEMSCGSSGASHRRSKKIRAPERLGTR VSERLRGARAETQGANHTPVTSSHHTCNTFVPPQCSPVRERIPRPVPRPRPPPPPPAPPP PPAPPASPSPAPPPPPAPASPAPPAPPASPPAASPSPAPLPPAASVASAITSALATAPIP ISAPNPVTILPVHILPTPPLLQLPPSSSPASTPPSACTPLPTCTPLQAQTSVLTSSSPAP LDSLSVPISPGVTNLPLDSGEVGLCAQELAPVESLELPGMTNSEASLASLVSPKDLLPVA VDILPESEKTLPCIPAPSSTLEAESIPNGQQQEVPGPVEGTNTTLLPGSEELTMSLNESN GLELPPSAASAEPLDELLETHRNSGETREVQIPISNSEKPQELVRAEVTAPSTSSSATSS PEGPLPARPPRRRTSADVEIRGQGSGRTGQLPGPKVLRKLPGRLVTVVEEKELVKRRRHR APASTLVPGVSEVSASPRSPSIHSMSGPESSPPSSGPCEAAPTSSLSTPTQSTFIACRHN ELGTTTGGSPENGEGTELAITPPAVKRRRGRPPKKNRSPADAGRGVDEVPSSISKGKTNG VDPVPGPETVTVAEPDLRPQFVPGPQPPGPQPVHRPEPTILSPVEKRRRGRPPKARDLPI PGTISSPGGGNLESRTQSLPFPAPLTPLLACPTAVTNTVTTVTISTSPPKRKRGRPPKNP PSPRPSQHPVLDPDSSSILESCGLGVQRQPLGQAESEGSSSDEDGSRPLTRLARLRLEAE GMRGRKSEGSMVMAVIQDDLDLADSGPSGLELTPPVVSLTPKFRSTRLRPGSLVPPLETE KMPRKRSGAPVGSSGMAKRGRLQPPSPLGPEGSVEESEVEASGDEEEGDGTPRRRTGPRR LVGTTNQGDQRILRSSAPPHLCIPTISHRGRKAKT >ENSMUSP00000146316.1 pep:known chromosome:GRCm38:7:127560085:127563204:1 gene:ENSMUSG00000107023.2 transcript:ENSMUST00000206825.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm42715 description:predicted gene 42715 [Source:MGI Symbol;Acc:MGI:5662852] XPKRKRGRPPKNPPSPRPSQHPVLDPDSSSILESCGLGVQRQPLGQAESEGSSSDEDGSR PLTRLARLRLEAEGMRGRKSEGSMVMAVIQDDLDLADSGPSGLELTPPVVSLTPKFRSTR LRPGSLVPPLETEKMPRKRSGAPVGSSGMAKRGRLQPPSPLGPEGSVEESEVEASGDEEE GDGTPRRRTGPRRLVGTTNQGDQQSRHPPFAHALALLCSPSSQTGLSWPCPFPEPWALHM VAGPTTLPWLGLEP >ENSMUSP00000146651.1 pep:known chromosome:GRCm38:8:3122061:3159555:-1 gene:ENSMUSG00000005534.10 transcript:ENSMUST00000208839.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Insr description:insulin receptor [Source:MGI Symbol;Acc:MGI:96575] XTDYYRKGGKGLLPVRWMSPESLKDGVFTASSDMWSFGVVLWEITSLAEQPYQGLSNEQV LKFVMDGGYLDPPDNCPERLRYEIKTHWPP >ENSMUSP00000088837.4 pep:known chromosome:GRCm38:8:3150922:3279617:-1 gene:ENSMUSG00000005534.10 transcript:ENSMUST00000091291.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insr description:insulin receptor [Source:MGI Symbol;Acc:MGI:96575] MGFGRGCETTAVPLLVAVAALLVGTAGHLYPGEVCPGMDIRNNLTRLHELENCSVIEGHL QILLMFKTRPEDFRDLSFPKLIMITDYLLLFRVYGLESLKDLFPNLTVIRGSRLFFNYAL VIFEMVHLKELGLYNLMNITRGSVRIEKNNELCYLATIDWSRILDSVEDNYIVLNKDDNE ECGDVCPGTAKGKTNCPATVINGQFVERCWTHSHCQKVCPTICKSHGCTAEGLCCHKECL GNCSEPDDPTKCVACRNFYLDGQCVETCPPPYYHFQDWRCVNFSFCQDLHFKCRNSRKPG CHQYVIHNNKCIPECPSGYTMNSSNLMCTPCLGPCPKVCQILEGEKTIDSVTSAQELRGC TVINGSLIINIRGGNNLAAELEANLGLIEEISGFLKIRRSYALVSLSFFRKLHLIRGETL EIGNYSFYALDNQNLRQLWDWSKHNLTITQGKLFFHYNPKLCLSEIHKMEEVSGTKGRQE RNDIALKTNGDQASCENELLKFSFIRTSFDKILLRWEPYWPPDFRDLLGFMLFYKEAPYQ NVTEFDGQDACGSNSWTVVDIDPPQRSNDPKSQTPSHPGWLMRGLKPWTQYAIFVKTLVT FSDERRTYGAKSDIIYVQTDATNPSVPLDPISVSNSSSQIILKWKPPSDPNGNITHYLVY WERQAEDSELFELDYCLKGLKLPSRTWSPPFESDDSQKHNQSEYDDSASECCSCPKTDSQ ILKELEESSFRKTFEDYLHNVVFVPRPSRKRRSLEEVGNVTATTLTLPDFPNVSSTIVPT SQEEHRPFEKVVNKESLVISGLRHFTGYRIELQACNQDSPDERCSVAAYVSARTMPEAKA DDIVGPVTHEIFENNVVHLMWQEPKEPNGLIVLYEVSYRRYGDEELHLCVSRKHFALERG CRLRGLSPGNYSVRVRATSLAGNGSWTEPTYFYVTDYLDVPSNIAKIIIGPLIFVFLFSV VIGSIYLFLRKRQPDGPMGPLYASSNPEYLSASDVFPSSVYVPDEWEVPREKITLLRELG QGSFGMVYEGNAKDIIKGEAETRVAVKTVNESASLRERIEFLNEASVMKGFTCHHVVRLL GVVSKGQPTLVVMELMAHGDLKSHLRSLRPDAENNPGRPPPTLQEMIQMTAEIADGMAYL NAKKFVHRDLAARNCMVAHDFTVKIGDFGMTRDIYETDYYRKGGKGLLPVRWMSPESLKD GVFTASSDMWSFGVVLWEITSLAEQPYQGLSNEQVLKFVMDGGYLDPPDNCPERLTDLMR MCWQFNPKMRPTFLEIVNLLKDDLHPSFPEVSFFYSEENKAPESEELEMEFEDMENVPLD RSSHCQREEAGGREGGSSLSIKRTYDEHIPYTHMNGGKKNGRVLTLPRSNPS >ENSMUSP00000146818.1 pep:known chromosome:GRCm38:8:3174795:3192717:-1 gene:ENSMUSG00000005534.10 transcript:ENSMUST00000207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insr description:insulin receptor [Source:MGI Symbol;Acc:MGI:96575] RSNDPKSQTPSHPGWLMRGLKPWTQYAIFVKTLVTFSDERRTYGAKSDIIYVQTDATNPS VPLDPISVSNSSSQIILKWKPPSDPNGNITHYLVYWERQAEDSELFELDYCLKGLKLPSR TWSPPFESDDSQKHNQSEYDDSASECCSCPKTDSQILKELEESSFRKTFEDYLHNVVFVP RKTSSGNGAEDSRPSRKRRSLEEVGNVTATTLTLPDFPNVSSTI >ENSMUSP00000124728.1 pep:known chromosome:GRCm38:14:33162749:33185508:-1 gene:ENSMUSG00000051506.16 transcript:ENSMUST00000159606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy4 description:WD repeat and FYVE domain containing 4 [Source:MGI Symbol;Acc:MGI:3584510] MEAEDLSKTEDRPEDPGFQNEGQSPAVKPSFSLEGQSPGPSVLWDMLEQKFLDYQQLMPR NPEER >ENSMUSP00000117068.2 pep:known chromosome:GRCm38:14:32959570:33185066:-1 gene:ENSMUSG00000051506.16 transcript:ENSMUST00000130509.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy4 description:WD repeat and FYVE domain containing 4 [Source:MGI Symbol;Acc:MGI:3584510] MEAEDLSKTEDRPEDPGFQNEGQSPAVKPSFSLEGQSPGPSVLWDMLEQKFLDYQQLMPR NPEERRKNLLSLLPLFLKAWEHSVGIICFRSLQRLAEDVSDQLAQEIQQALAGKPAEQAR AAAGQLLQWKSDADQDGNLLLKSVYVLTGTDSETLGRVVDSGLPALLLQCLYLFFAFPVE KDDLLESDVQGQRMFVQMLLNICSESQGLEGLLSGSELQSLLIATTCLREHSCLFWKQPT FCVLRAISKAQSPSVIQYLRTADCVRLSVQNLSKLADTLPAPEVSEAVSLILNFVRDSYP ISSALLLEFENGEGYPLLLKVLLRYNGLTQGVVEPHLEELIELVMWLTTCGRSELKVFDS VTYPQLEGFKFHQEASGVTVKNLQAFQVLQNLFHRASDSVLCIQVLLAIKTMWAWNPRNF FLLEWTLQPISQFAEIIPLKPTPVQEHFFQLLETLVFKLLYVPHEVLAKVQRLIKESSEL SCTLVALRSILRITASDRLFTDIFRDSGLLGLLLAQLRKQAKIMRKSGNKECSPDVQDPE RELTYVMLSTVVTLLQGSVRNAVVLKDHGMVPFIKIFLDDECYRGPSLSILEQLSVINAE EYMSIIVGALCSSTQGELQLKLDLLKSLLRILETPKGHAAFRVSSGFNGLLSLLSDLEGS LQVPEVTTCGAVSPSQTLELVLHTLCVVSAALHLDPVNEHFFRSNGLFEKLAEDLCLLGC FGTPEEERTRWDSSSDMKARPFMDLLSCAFSSSCQFPPRLQSCLQILSFLESMASGTLHL RGDLMEPARAGQEPSVDAQKAEAGGRQGKFKQWPDMEDRMDEGDVMIMHPGIICIMVRLL PRLYLEDHPQLSEEIQCSVARHLLSLVKSEKNRQVMCEAGMLRTLMTFCPRTLSTGGSDL HSILIRIFEKLGSQAIEPDVLRQFLGLGIRPPRSAAVKSLHLPPGHEDNPGCSALQTTLS LISMTSPRNLQPQRAALTPSFVEFDMSSEGYGCLFTPTLSTVMGTSTEHSISGGTGSGAP RPFPPPGGLTFSCWFLISRQANVMEGHPLRFLTLVRHLARTEQPFVCFSISLCMDDLSLV VSTEEKEFQPLDAMEPEDEAEPSAGRQLQVRCSQLLTCGQWYHLAVVVSKEMKRNCSVTT YLDGQAIGSAKMLYIQALPGSFFSMDPSSFVDVYGYIGTPRVWKQKSSLTWRLGPAYLFE EDISADTLALIIKLGPRYCGNFQAVHLQGEDPDGEATPLIAEERVSFGLYVPSSSITSIM NIRNTYNEVDSRLIAKEMNISSRDNATPVFLLRNCAGHLSGPLRTLGAVAVGQLGVRVFH SSPAASSLDYIGGPAILLGLISLATDDHTMYAAMKVLHSVLTSNAMCDYLMQHICGYQIL AFLLRKKTSFLNHRIFQLILSVAGTAELGFRPSAVTNMCIFQHVLCNFELWTNTADNLEL TLFSHLLEILQSPREGPRNAEVAHQAQLLPKLLFLFNEPSLALSKVSTIIAILGCQLKGH FNIQDLLRVGLFVIYTLKPSSVNERQICLDGAQDPSRPAGSQTSGKAIWLRNQLLEMLFG VISSSQLHLTSELKEQVFLSLGPDWFLLLLQGHLHPSTTTLALKLLLYFLSSPPLRGRFR DGLSAGCWVENCMDGVDIVMDNLKSRPAVPDQSPCLLPGFRVLNDFLAYHVLIPEVYLIV SSFFLQTPLTELTNGPRENLDLMLQWLLQKHHQQEVLQAGLCIEGALLLLGMLKAIMNQP PAGSGDGAWEQTLPSSVLQFLRLVHRSYPQDSAWRTPDFLQTVAIITFPLETQKETTSES SRNTSSPGASAEASHAAEGFQASFQPHPALRQLREFMQVLLRELLLGGSNPKQWLPLEVI LEASPDGATSQQKRDFQTEVLLSTMDIFQVPSGDGMPTLRGSKEPLPNAEAGAVPSLASV SYFTQKLVEKIYSGVFSADPRHILLFITEHIIAVIENPSSQKDTVMSALYSSLNKVILHC LSKPQQSLSECLGLLTILDFLQEHWDIIFATYNSNVSFLLCLMHCLLLLNARSYPEGFGL EPKPRITPYHQVFLSPNEEVKDKKEEGLPSLGDVQHSIQKSVRALWQQLVAQRRQTLEDA FKIDLSVKAGEIEVKIEEITPLWEETMLRAWQHYLASEKKSLASRSSVMHHSKVTSWSGS LSSAMRLMPGRQAKDPECRAEDFVSCIENYRRKGQELYASIYKDYVQRRKSGSIKAATAW ARMREQLFGELGLWGQMTESTRCSRWELDGREGPARMRKRIRHLLAWEPLNLGYKESQEG KGDVSQTNTGNQVFMTADELTTEEAESRPDEVGVDCTQLTFFPALHESLHSEDFLELCRE RQVILQELLDGEKVSQKVPMVIVQGHLVSEGILLFGQHHFYICENFTLSPTGDVYCTHHC LSNISDPFIFNMCSKDRSSDHYSCQRHAYSDLRELRQARFLLQDIALEIFFQNGYSKLLV FYNSDRSKALKSFSTFQPSLKGKGTTEDPFNLRKHPGFDRTMLQRWQKREISNFEYLMYL NTLAGRTYNDYMQYPVFPWVLADYTSEMLNLTNPKTFRDLSKPMGAQTKERKLKFTQRFK DVEKIEGDMTVQCHYYTHYSSAIIVASYLVRMPPFTQAFCSLQGGSFDVADRMFHSVKST WESASKENMSDVRELTPEFFYLPEFLTNCNAVEFGCMQDGTTLGDVQLPPWADGDPRKFI SLHRQALESDFVSSNLHHWIDLIFGYKQQGPAAVEAVNTFHPYFYGDRIDLGSITDPLIK STILGFISNFGQVPKQIFTKPHPSRNTTGKNPGPGKDASTPVGLPGHSQSFLHSLPALRP SQVTVKDMYLFSLGSESPKGAIGHIVPTEKSILAVEKNKLLMPPLWNRTFSWGFDDFSCC LGSYGSDKILMTFENLAAWGPCLCAVCPSPTMIVTSGASAVVCIWELSLVKGRPRGLKLR QALYGHTQAVTCLTASVTFSLLVSGSQDRTCILWDLDHLSRVACLPVHREGISAIAISDV SGTIVSCAGAHLSLWNVNGQPLASITTAWGPEGTITCCCIVEGPAWDASHVIITGSKDGM VRIWKTEDVKMPVPRQAVMEEPSTEPLSPRGHKWAKNLALSRELDVSVALSGKPSKASPA VTALAITRNQSKLLVGDEKGRIFCWSADG >ENSMUSP00000120118.1 pep:known chromosome:GRCm38:14:33018284:33023337:-1 gene:ENSMUSG00000051506.16 transcript:ENSMUST00000132153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy4 description:WD repeat and FYVE domain containing 4 [Source:MGI Symbol;Acc:MGI:3584510] ELRQARFLLQDIALEIFFQNGYSKLLVFYNSDRSKALKSFSTFQPSLKGKGTTEDPFNLR >ENSMUSP00000057556.8 pep:known chromosome:GRCm38:14:32959547:33185066:-1 gene:ENSMUSG00000051506.16 transcript:ENSMUST00000061753.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy4 description:WD repeat and FYVE domain containing 4 [Source:MGI Symbol;Acc:MGI:3584510] MEAEDLSKTEDRPEDPGFQNEGQSPAVKPSFSLEGQSPGPSVLWDMLEQKFLDYQQLMPR NPEERRKNLLSLLPLFLKAWEHSVGIICFRSLQRLAEDVSDQLAQEIQQALAGKPAEQAR AAAGQLLQWKSDADQDGNLLLKSVYVLTGTDSETLGRVVDSGLPALLLQCLYLFFAFPVE KDDLLESDVQGQRMFVQMLLNICSESQGLEGLLSGSELQSLLIATTCLREHSCLFWKQPT FCVLRAISKAQSPSVIQYLRTADCVRLSVQNLSKLADTLPAPEVSEAVSLILNFVRDSYP ISSALLLEFENGEGYPLLLKVLLRYNGLTQGVVEPHLEELIELVMWLTTCGRSELKVFDS VTYPQLEGFKFHQEASGVTVKNLQAFQVLQNLFHRASDSVLCIQVLLAIKTMWAWNPRNF FLLEWTLQPISQFAEIIPLKPTPVQEHFFQLLETLVFKLLYVPHEVLAKVQRLIKESSEL SCTLVALRSILRITASDRLFTDIFRDSGLLGLLLAQLRKQAKIMRKSGNKECSPDVQDPE RELTYVMLSTVVTLLQGSVRNAVVLKDHGMVPFIKIFLDDECYRGPSLSILEQLSVINAE EYMSIIVGALCSSTQGELQLKLDLLKSLLRILETPKGHAAFRVSSGFNGLLSLLSDLEGS LQVPEVTTCGAVSPSQTLELVLHTLCVVSAALHLDPVNEHFFRSNGLFEKLAEDLCLLGC FGTPEEERTRWDSSSDMKARPFMDLLSCAFSSSCQFPPRLQSCLQILSFLESMASGTLHL RGDLMEPARAGQEPSVDAQKAEAGGRQGKFKQWPDMEDRMDEGDVMIMHPGIICIMVRLL PRLYLEDHPQLSEEIQCSVARHLLSLVKSEKNRQVMCEAGMLRTLMTFCPRTLSTGGSDL HSILIRIFEKLGSQAIEPDVLSPRPGGSQALRPSWASQYSATALQTTLSLISMTSPRNLQ PQRAALTPSFVEFDMSSEGYGCLFTPTLSTVMGTSTEHSISGGTGSGAPRPFPPPGGLTF SCWFLISRQANVMEGHPLRFLTLVRHLARTEQPFVCFSISLCMDDLSLVVSTEEKEFQPL DAMEPEDEAEPSAGRQLQVRCSQLLTCGQWYHLAVVVSKEMKRNCSVTTYLDGQAIGSAK MLYIQALPGSFFSMDPSSFVDVYGYIGTPRVWKQKSSLTWRLGPAYLFEEDISADTLALI IKLGPRYCGNFQAVHLQGEDPDGEATPLIAEERVSFGLYVPSSSITSIMNIRNTYNEVDS RLIAKEMNISSRDNATPVFLLRNCAGHLSGPLRTLGAVAVGQLGVRVFHSSPAASSLDYI GGPAILLGLISLATDDHTMYAAMKVLHSVLTSNAMCDYLMQHICGYQILAFLLRKKTSFL NHRIFQLILSVAGTAELGFRPSAVTNMCIFQHVLCNFELWTNTADNLELTLFSHLLEILQ SPREGPRNAEVAHQAQLLPKLLFLFNEPSLALSKVSTIIAILGCQLKGHFNIQDLLRVGL FVIYTLKPSSVNERQICLDGAQDPSRPAGSQTSGKAIWLRNQLLEMLFGVISSSQLHLTS ELKEQVFLSLGPDWFLLLLQGHLHPSTTTLALKLLLYFLSSPPLRGRFRDGLSAGCWVEN CMDGVDIVMDNLKSRPAVPDQSPCLLPGFRVLNDFLAYHVLIPEVYLIVSSFFLQTPLTE LTNGPRENLDLMLQWLLQKHHQQEVLQAGLCIEGALLLLGMLKAIMNQPPAGSGDGAWEQ TLPSSVLQFLRLVHRSYPQDSAWRTPDFLQTVAIITFPLETQKEPLPNAEAGAVPSLASV SYFTQKLVEKIYSGVFSADPRHILLFITEHIIAVIENPSSQKDTVMSALYSSLNKVILHC LSKPQQSLSECLGLLTILDFLQEHWDIIFATYNSNVSFLLCLMHCLLLLNARSYPEGFGL EPKPRITPYHQVFLSPNEEVKDKKEEGLPSLGDVQHSIQKSVRALWQQLVAQRRQTLEDA FKIDLSVKAGEIEVKIEEITPLWEETMLRAWQHYLASEKKSLASRSSVMHHSKVTSWSGS LSSAMRLMPGRQAKDPECRAEDFVSCIENYRRKGQELYASIYKDYVQRRKSGSIKAATAW ARMREQLFGELGLWGQMTESTRCSRWELDGREGPARMRKRIRHLLAWEPLNLGYKESQEG KGDVSQTNTGNQDELTTEEAESRPDEVGVDCTQLTFFPALHESLHSEDFLELCRERQVIL QELLDGEKVSQKVPMVIVQGHLVSEGILLFGQHHFYICENFTLSPTGDVYCTHHCLSNIS DPFIFNMCSKDRSSDHYSCQRHAYSDLRELRQARFLLQDIALEIFFQNGYSKLLVFYNSD RSKALKSFSTFQPSLKGKGTTEDPFNLRKHPGFDRTMLQRWQKREISNFEYLMYLNTLAG RTYNDYMQYPVFPWVLADYTSEMLNLTNPKTFRDLSKPMGAQTKERKLKFTQRFKDVEKI EGDMTVQCHYYTHYSSAIIVASYLVRMPPFTQAFCSLQGGSFDVADRMFHSVKSTWESAS KENMSDVRELTPEFFYLPEFLTNCNAVEFGCMQDGTTLGDVQLPPWADGDPRKFISLHRQ ALESDFVSSNLHHWIDLIFGYKQQGPAAVEAVNTFHPYFYGDRIDLGSITDPLIKSTILG FISNFGQVPKQIFTKPHPSRNTTGKNPGPGKDASTPVGLPGHSQSFLHSLPALRPSQVTV KDMYLFSLGSESPKGAIGHIVPTEKSILAVEKNKLLMPPLWNRTFSWGFDDFSCCLGSYG SDKILMTFENLAAWGPCLCAVCPSPTMIVTSGASAVVCIWELSLVKGRPRGLKLRQALYG HTQAVTCLTASVTFSLLVSGSQDRTCILWDLDHLSRVACLPVHREGISAIAISDVSGTIV SCAGAHLSLWNVNGQPLASITTAWGPEGTITCCCIVEGPAWDASHVIITGSKDGMVRIWK TEDVKMPVPRQAVMEEPSTEPLSPRGHKWAKNLALSRELDVSVALSGKPSKASPAVTALA ITRNQSKLLVGDEKGRIFCWSADG >ENSMUSP00000128848.2 pep:known chromosome:GRCm38:7:139943789:139945112:1 gene:ENSMUSG00000047751.9 transcript:ENSMUST00000168457.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utf1 description:undifferentiated embryonic cell transcription factor 1 [Source:MGI Symbol;Acc:MGI:1276125] MLLRPRRLPAFSPPSPASPDAELRSAGDVPVTTSDAFATSGGMAEPGSPKAPVSPDSAQR TPWSARETELLLGTLLQPAMWRSLLLDRRQTLPTYRRVSAALARQQVRRTPAQCRRRYKF LKDKLRDSQGQPSGPFDNQIRQLMGLLGDDGPPRVRRRSTGPGRPQRRGRSSLSALAPAP APVEQEAELPLAAENDEPAPALRFSSSTTKSAGAHRITSSPPLTSTDTLPPEPGHTFESS PTPTPDHDVETPNEPPGLSQGRASSPQVAPQSLNTALLQTLTHLGDISTVLGPLRDQLST LNQHVEHLRGSFDQTVSLAVGFILGSAASERGILGDLRQ >ENSMUSP00000028881.7 pep:known chromosome:GRCm38:2:129364570:129371139:-1 gene:ENSMUSG00000027398.13 transcript:ENSMUST00000028881.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1b description:interleukin 1 beta [Source:MGI Symbol;Acc:MGI:96543] MATVPELNCEMPPFDSDENDLFFEVDGPQKMKGCFQTFDLGCPDESIQLQISQQHINKSF RQAVSLIVAVEKLWQLPVSFPWTFQDEDMSTFFSFIFEEEPILCDSWDDDDNLLVCDVPI RQLHYRLRDEQQKSLVLSDPYELKALHLNGQNINQQVIFSMSFVQGEPSNDKIPVALGLK GKNLYLSCVMKDGTPTLQLESVDPKQYPKKKMEKRFVFNKIEVKSKVEFESAEFPNWYIS TSQAEHKPVFLGNNSGQDIIDFTMESVSS >ENSMUSP00000142649.1 pep:known chromosome:GRCm38:3:57657393:57692799:-1 gene:ENSMUSG00000074591.7 transcript:ENSMUST00000200665.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankub1 description:ankrin repeat and ubiquitin domain containing 1 [Source:MGI Symbol;Acc:MGI:2685256] MRIFIAFEGSFEAFDVEAHTSVGAIKQMIKTNKAGGILS >ENSMUSP00000142589.1 pep:known chromosome:GRCm38:3:57664635:57692535:-1 gene:ENSMUSG00000074591.7 transcript:ENSMUST00000197088.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankub1 description:ankrin repeat and ubiquitin domain containing 1 [Source:MGI Symbol;Acc:MGI:2685256] MRIFIAFEGSFEAFDVEAHTSVGAIKQMIKDYFHIPLSEDKQGRWYLELMYAGAALRNSW SLSDVGISFCSTLKCFVKKEDKPTLYVFNAVTQEMMPIMENMSLLDKKVSDLRMLVTLRC GFPVSVYCLRTPTGLEMYDCNTLKDYQTDIGTTLRLDVWDGWKEFLMGCLLGQKPKVQHY LSKEGPVLKYQKRVALYIAAFHGHTELTEWALKQGVQPHEAVGVHPYRAWCHEALHTDVS NCPIHAAAEAGQLLILKAFVNCSVLCLECKNAAGQSPLAIASKHQHKDCVLYLLSKMWST VSFLKLSVPMWIYIKIKQWILRAQSHRCHKSQLGRGRVSGAKVGDTVMVDGFTKPKMTSK SWYKTEDKSWQTAQSKLPPCKQQSSRKPVYSLPVSQRDTRKQIFTFPSLVDVKSFSEVRS HQQDNQKKMSAPARKEKHIKNPYLPQVPLPPVSRVDYAHPLFYCPPPRADCLLRSLFTSF SEHSGRTPRENAIYCLAVAR >ENSMUSP00000096689.3 pep:known chromosome:GRCm38:3:57666485:57692537:-1 gene:ENSMUSG00000074591.7 transcript:ENSMUST00000099091.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankub1 description:ankrin repeat and ubiquitin domain containing 1 [Source:MGI Symbol;Acc:MGI:2685256] MRIFIAFEGSFEAFDVEAHTSVGAIKQMIKDYFHIPLSEDKQGRWYLELMYAGAALRNSW SLSDVGISFCSTLKCFVKKEDKPTLYVFNAVTQEMMPIMENMSLLDKKVSDLRMLVTLRC GFPVSVYCLRTPTGLEMYDCNTLKDYQTDIGTTLRLDVWDGWKEFLMGCLLGQKPKVQHY LSKEGPVLKYGS >ENSMUSP00000138040.1 pep:known chromosome:GRCm38:1:74593748:74601372:-1 gene:ENSMUSG00000026171.12 transcript:ENSMUST00000135140.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf25 description:ring finger protein 25 [Source:MGI Symbol;Acc:MGI:1890215] MAASASTAAGEEDWVLPSEVEVLESIYLDELQVMKGNGSSQAPA >ENSMUSP00000027357.5 pep:known chromosome:GRCm38:1:74593748:74601397:-1 gene:ENSMUSG00000026171.12 transcript:ENSMUST00000027357.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf25 description:ring finger protein 25 [Source:MGI Symbol;Acc:MGI:1890215] MAASASTAAGEEDWVLPSEVEVLESIYLDELQVMKGNGRSPWEIFITLHPATAEVQDSQF VCFTLVLRIPVQYPHEVPQISIRNPRGLSDEQIHKISQALGHVAKEGLGTAMLYELIEKG KEILTDNNIPHGQCVICLYGFQEKEAFTKTPCYHYFHCHCLARYIQHMEQELTTQEQEQE RQHVVTKQKAVGVQCPVCREPLVYDLASLKAAPEPQQPMELYQPSAESLRQQEELKLLYQ RQQEKGGIIDLEAERNRYFISLQQPPAALEPESAVDVSREPQPPNALSAEQSTSLADQST LPTSLPMTTQYTYEKTSGAGPNQQRPGETQKSVLDPPRHGRGSWRQYDRRHPKGGECCTP KGTSEIHELPPPEKPLKETVDLKAEPRNKGLTGHPQEKGPGSWQGPSARRTRDCARWERS KNRTPGSCYPHLPRGQGAYRSGTRREPLGLESEEGS >ENSMUSP00000109350.1 pep:known chromosome:GRCm38:1:74593753:74601377:-1 gene:ENSMUSG00000026171.12 transcript:ENSMUST00000113721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf25 description:ring finger protein 25 [Source:MGI Symbol;Acc:MGI:1890215] MAASASTAAGEEDWVLPSEVEVLESIYLDELQVMKGNGRSPWEIFITLHPATAEVQDSQF VCFTLVLRIPVQYPHEVPQISIRNPRGLSDEQIHKISQALGHVAKEGLGTAMLYELIEKG KEILTDNNIPHGQCVICLYGFQEKEAFTKTPCYHYFHCHCLARYIQHMEQELTTQEQEQE RQHVVTKQAVGVQCPVCREPLVYDLASLKAAPEPQQPMELYQPSAESLRQQEELKLLYQR QQEKGGIIDLEAERNRYFISLQQPPAALEPESAVDVSREPQPPNALSAEQSTSLADQSTL PTSLPMTTQYTYEKTSGAGPNQQRPGETQKSVLDPPRHGRGSWRQYDRRHPKGGECCTPK GTSEIHELPPPEKPLKETVDLKAEPRNKGLTGHPQEKGPGSWQGPSARRTRDCARWERSK NRTPGSCYPHLPRGQGAYRSGTRREPLGLESEEGS >ENSMUSP00000116973.1 pep:known chromosome:GRCm38:1:74595209:74600564:-1 gene:ENSMUSG00000026171.12 transcript:ENSMUST00000127938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf25 description:ring finger protein 25 [Source:MGI Symbol;Acc:MGI:1890215] MLYELIEKGKEILTDNNIPHGQCVICLYGFQEKEAFTKTPCYHYFHCHCLARYIQHMEQE LTTQEQEQERQHVVTKQKAVGVQCPVCREPLVYDLASLKAAPEPQQPM >ENSMUSP00000120646.1 pep:known chromosome:GRCm38:1:74595885:74600599:-1 gene:ENSMUSG00000026171.12 transcript:ENSMUST00000154874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf25 description:ring finger protein 25 [Source:MGI Symbol;Acc:MGI:1890215] MKGNGRSPWEIFITLHPATAEVQDSQFVCFTLVLRIPVQYPHEVPQISIRNPRGLSDEQI HKISQALGHVAKEGLGTAMLYELIEKGKEILTDNNIPHGQCV >ENSMUSP00000117692.1 pep:known chromosome:GRCm38:1:74598363:74601345:-1 gene:ENSMUSG00000026171.12 transcript:ENSMUST00000136078.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf25 description:ring finger protein 25 [Source:MGI Symbol;Acc:MGI:1890215] XAAGEEDWSVSYIQGSGDLRVLPSEVEVLESIYLDELQVMKGNGRSPWEIFITLHPATAE VQDSQFVCFTLVLRIPVQYPHEVPQISIRNPRGLSDEQIHKISQALGHVAKEGLGTAMLY ELI >ENSMUSP00000115350.1 pep:known chromosome:GRCm38:1:74598733:74601365:-1 gene:ENSMUSG00000026171.12 transcript:ENSMUST00000132081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf25 description:ring finger protein 25 [Source:MGI Symbol;Acc:MGI:1890215] MKGNGRSPWEIFITLHPATAEVQDS >ENSMUSP00000137930.2 pep:known chromosome:GRCm38:10:104160006:104162473:1 gene:ENSMUSG00000097878.5 transcript:ENSMUST00000181179.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8764 description:predicted gene 8764 [Source:MGI Symbol;Acc:MGI:3643666] MTTMADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGM TRNPGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQK QQQQLSAEELDAQLDAYQEMMDTS >ENSMUSP00000138007.2 pep:known chromosome:GRCm38:10:104160421:104161797:1 gene:ENSMUSG00000097878.5 transcript:ENSMUST00000181166.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8764 description:predicted gene 8764 [Source:MGI Symbol;Acc:MGI:3643666] MTTMADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAQVHFERKADALKAMREY >ENSMUSP00000028314.2 pep:known chromosome:GRCm38:2:51948487:51973224:-1 gene:ENSMUSG00000026946.9 transcript:ENSMUST00000028314.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmi description:N-myc (and STAT) interactor [Source:MGI Symbol;Acc:MGI:1928368] MDADKDNIKQACDERSAEMDDMRGEQSMGLVHEIMSENKELDEEIKKLEAELQSDAREFQ IKENVPEKKLKLTSVESPKDGCHFSNSSCSFQVSSQILYELQEGQALITFEKEEVAQNVI SMGNHVVQMEGTPVKVSAHPVPLNTGVRFQVHVDISKMKINVTGIPDELSEEQTRDKLEL SFCKSRNGGGEVESVDYDRKSRSAVITFVETGVVDKILKKKTYPLYMNQKCHSVAVSPCI ERCLEKYQVFSAVSKKTVLLTGLEGIPVDEETGEDLLNIHFQRKNNGGGEVEVVKCSLDQ SFAAYFKEEARETI >ENSMUSP00000108325.2 pep:known chromosome:GRCm38:2:51948505:51973016:-1 gene:ENSMUSG00000026946.9 transcript:ENSMUST00000112705.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmi description:N-myc (and STAT) interactor [Source:MGI Symbol;Acc:MGI:1928368] MDADKDNIKQACDERSAEMDDMRGEQSMGLVHEIMSENKELDEEIKKLEAELQSDAREFQ IKENVPEKKLKLTSVESPKDGCHFSNSSCSFQVSSQILYELQEGQALITFEKEEVAQNVI SMGNHVVQMEGTPVKVSAHPVPLNTGVRFQVHVDISKMKINVTGIPDELSEEQTRDKLEL SFCKSRNGGGEVESVDYDRKSRSAVITFVETGVVDKILKKKTYPLYMNQKCHSVAVSPCI ERCLEKYQVFSAVSKKTVLLTGLEGIPVDEETGEDLLNIHFQRKNNGGGEVEVVKCSLDQ SFAAYFKEEARETI >ENSMUSP00000122318.1 pep:known chromosome:GRCm38:2:51956044:51973494:-1 gene:ENSMUSG00000026946.9 transcript:ENSMUST00000145656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmi description:N-myc (and STAT) interactor [Source:MGI Symbol;Acc:MGI:1928368] MDADKDNIKQACDERSAEMDDMRGEQSMGLVHEIMSENKELDEEIKKLEAELQSDAREFQ IKENVPEKKLKLTSV >ENSMUSP00000120647.1 pep:known chromosome:GRCm38:2:51948501:51973004:-1 gene:ENSMUSG00000026946.9 transcript:ENSMUST00000145481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmi description:N-myc (and STAT) interactor [Source:MGI Symbol;Acc:MGI:1928368] MDADKDNIKQACDERSAEMDDMRGEQSMGLVHEIMSENKELDEEIKKLEAELQSDAREFQ IKENVPEKKLKLTSVESPKDGCHFSNSSCSFQVSSQILYELQEGQALITFEKEEVAQNVI SMGNHVVQMEGTPVKVSAHPVPLNTGVRFQVHVDISKMKINVTGIPDELSEEQTRDKLEL SFCKSRNGGGEVESVDYDRKSRSAVITFVETGVVDKILKKKTYPLYMNQKCHSVAVSPCI ERCLEKYQVFSAVSKKTVLLTGLEGIPVDEETGEDLLNIHFQRKNNGGGEVEVVKCSLDQ SFAAYFKEEARETI >ENSMUSP00000115428.1 pep:known chromosome:GRCm38:2:51948525:51952577:-1 gene:ENSMUSG00000026946.9 transcript:ENSMUST00000142868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmi description:N-myc (and STAT) interactor [Source:MGI Symbol;Acc:MGI:1928368] ELSEEQTRDKLELSFCKSRNGGGEVESVDYDRKSRSAVITFVETGGVFSCVQKDSASDRI RRHSCR >ENSMUSP00000041227.8 pep:known chromosome:GRCm38:15:12205028:12272240:1 gene:ENSMUSG00000039458.14 transcript:ENSMUST00000038172.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr12 description:myotubularin related protein 12 [Source:MGI Symbol;Acc:MGI:2443034] MLGKGGVGGGGGTKAPKPSFVSYVRPEEIHTDEKEVTEKEVTLHLLPGEQLLCEASTVLK YVQEDSCQRGVYGRLVCTDFKISFLGDEDSALDNGGEAQFKNKIIGVNDVPLHCVDQIYG VFDEKKKPLFGQLKKYPEKLVIHCKDLRVLHFCLRYTKEEEVKRIVSGIIHHTQSPKLLK RLFLFSYAAAVHGTATDSRNCTVMFDTPKDWCWELERTKGSVKYRTVSVNEGYRVSDRLP AYFVVPTPLPEDDVRRFQGHGIPIWCWSCHNGSALLKMSALPKEQDDGALQVQKSFLDGI YKTIHRPPYEMVKTEDLSSNFLSLQEIQSAYCKFKQLFLIDNSSEFWDTDIKWFSLLESS SWLDIIRRCLKKAIEITECLEAQNVNVLLLEENASDLCCLLSSLVQVMMDAHCRTRTGFQ SLIQKEWVMGGHSFLDRCNHLHQSDKEEVPIFLLFLDCVWQLVHQYPPAFEFTETYLTVL SDSLYIPIFSTFFFNSPHQKDTNMGRENLDAQSKPLTLLTVWDWSVQFEPKAQTLLRNPL YVEKPKLDRGQQKGSRFKHQRQLSLPLTQSKSSPKRGFFREETDHLIKNLLGKRISKLIN SSDDLQDSSREFYDSWHSKPTDYHGLLLPHIEGPEIKVWAQRYLRWIPEAQILGGGRVAT MGKLLEMMEEVQSLQEKIEARHHRQEAVHAEAPGLLRNSVRLSSLFPFALLQRHSAKPVL PTSGWKALGGEDDLAKREDEFVDLGDV >ENSMUSP00000071883.6 pep:known chromosome:GRCm38:15:12205085:12271994:1 gene:ENSMUSG00000039458.14 transcript:ENSMUST00000071993.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr12 description:myotubularin related protein 12 [Source:MGI Symbol;Acc:MGI:2443034] MLGKGGVGGGGGTKAPKPSFVSYVRPEEIHTDEKEVTEKEVTLHLLPESSSWLDIIRRCL KKAIEITECLEAQNVNVLLLEENASDLCCLLSSLVQVMMDAHCRTRTGFQSLIQKEWVMG GHSFLDRCNHLHQSDKEEVPIFLLFLDCVWQLVHQYPPAFEFTETYLTVLSDSLYIPIFS TFFFNSPHQKDTNMGRENLDAQSKPLTLLTVWDWSVQFEPKAQTLLRNPLYVEKPKLDRG QQKGSRFKHQRQLSLPLTQSKSSPKRGFFREETDHLIKNLLGKRISKLINSSDDLQDSSR EFYDSWHSKPTDYHGLLLPHIEGPEIKVWAQRYLRWIPEAQILGGGRVATMGKLLEMMEE VQSLQEKIEARHHRQEAVHAEAPGLLRNSVRLSSLFPFALLQRHSAKPVLPTSGWKALGG EDDLAKREDEFVDLGDV >ENSMUSP00000133285.1 pep:known chromosome:GRCm38:15:12205104:12272119:1 gene:ENSMUSG00000039458.14 transcript:ENSMUST00000174418.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr12 description:myotubularin related protein 12 [Source:MGI Symbol;Acc:MGI:2443034] MLGKGGVGGGGGTKAPKPSFVSYVRPEEIHTDEKEVTEKEVTLHLLPGEQLLCEASTVLK YVQEDSCQRGVYGRLVCTDFKISFLGDEDSALDNGGEAQFKNKIIGVNDVPLHCVDQIYG VFDEKKKPLFGQLKKYPEKLVIHCKDLRVLHFCLRSSVA >ENSMUSP00000134293.1 pep:known chromosome:GRCm38:15:12205118:12272237:1 gene:ENSMUSG00000039458.14 transcript:ENSMUST00000174160.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr12 description:myotubularin related protein 12 [Source:MGI Symbol;Acc:MGI:2443034] MLGKGGVGGGGGTKAPKPSFVSYVRPEEIHTDEKEVTEKEVTLHLLPGEQLLCEASTVLK YVQEDSCQRGVYGRLVCTDFKISFLGDEDSALDNGGEAQFKNKIIGVNDVPLHCVDQIYG VFDEKKKPLFGQLKKYPEKLVIHCKDLRVLHFCLRYTKEEEVKRIVSGIIHHTQSPKLLK RLFLFSYAAAVHGTATDSRNCTVMFDTPKDWCWELERTKGSVKYRTVSVNEGYRVSDRLP AYFVVPTPLPEDDVRRFQGHGIPIWCWSCHNGSALLKMSALPKEQDDGALQVQKSFLDGI YKTIHRPPYEMVKTEDLSSNFLSLQEIQSAYCKFKQLFLIDNSSEFWDTDIKWFSLLESS SWLDIIRRCLKKAIEITECLEAQNVNVLLLEENASDLCCLLSSLVQVMMDAHCRTRTGFQ SLIQKEWVMGGHSFLDRCNHLHQSDKEEVPIFLLFLDCVWQLVHQYPPAFEFTETYLTVL SDSLYIPIFSTFFFNSPHQKDTNMGKPGCTKQAFDSAHRVGLVSTV >ENSMUSP00000004565.8 pep:known chromosome:GRCm38:1:119470305:119504794:-1 gene:ENSMUSG00000004451.14 transcript:ENSMUST00000004565.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralb description:v-ral simian leukemia viral oncogene homolog B (ras related) [Source:MGI Symbol;Acc:MGI:1927244] MAANKGKSQGSLVLHKVIMVGSGGVGKSALTLQFMYDEFVEDYEPTKADSYRKKVVLDGE EVQIDILDTAGQEDYAAIRDNYFRSGEGFLLVFSITEHESFTATAEFREQILRVKSEEDK IPLLVVGNKSDLEERRQVPVDEARGKAEEWGVQYVETSAKTRANVDKVFFDLMREIRAKK MSENKDKNGRKSSKSKKSFKERCCLL >ENSMUSP00000119849.2 pep:known chromosome:GRCm38:1:119472145:119478079:-1 gene:ENSMUSG00000004451.14 transcript:ENSMUST00000142945.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralb description:v-ral simian leukemia viral oncogene homolog B (ras related) [Source:MGI Symbol;Acc:MGI:1927244] XKVVLDGEEVQIDILDTAGQEDYAAIRDNYFRSGEGFLLVFSITEHESFTATAEFREQIL RVKSEEDKIPLLVVGNKSDLEERRQVPVDEARGKAEEWGVQYVETSAKTRANVDKVIVLS GRGLCLMRLPFTFLGNRRQTLTGHIPSNV >ENSMUSP00000027866.4 pep:known chromosome:GRCm38:1:164289800:164307484:-1 gene:ENSMUSG00000026577.13 transcript:ENSMUST00000027866.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blzf1 description:basic leucine zipper nuclear factor 1 [Source:MGI Symbol;Acc:MGI:1201607] MEKMTTLKSSENKGKQEMMKGILTSTPIRGAGDGMETEEPPKSVEVTHGVQPINQHVLPS PRKKVSSDSPGVLQLGKILNERTVEVEAVRIFVPKAAITHDIPTKNTKVKSLGHHREELH NQAEVVTDPRKELSEVKKVLEKLKNSERRLLQDKEGLSNQLRVQTEINRELKKLLVASVG DDPQYHFERLAREKNQLILENEALGRNTAQLSEQLERMSIQCDVWRSKFLASRVMADELT NFRVVLQRQNRDAQSAIQDLLSEREQFRQEMTSTQKFLEELLVSLQWGREQTYSPNTQPH STADLALTNHGLAQAIHAHLLGNVGISHQKKIPTTVEFCSTPAEKMAEKVLRILDPVACT ESSPDNQFAESSPTTLLTTKKNIGRFHPYTRYENITFNCCNHCQGELIAL >ENSMUSP00000113479.1 pep:known chromosome:GRCm38:1:164291389:164307489:-1 gene:ENSMUSG00000026577.13 transcript:ENSMUST00000120447.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blzf1 description:basic leucine zipper nuclear factor 1 [Source:MGI Symbol;Acc:MGI:1201607] MEKMTTLKSSENKGILTSTPIRGAGDGMETEEPPKSVEVTHGVQPINQHVLPSPRKKVSS DSPGVLQLGKILNERTVEVEAVRIFVPKAAITHDIPTKNTKVKSLGHHREELHNQAEVVT DPRKELSEVKKVLEKLKNSERRLLQDKEGLSNQLRVQTEINRELKKLLVASVGDDPQYHF ERLAREKNQLILENEALGRNTAQLSEQLERMSIQCDVWRSKFLASRVMADELTNFRVVLQ RQNRDAQSAIQDLLSEREQFRQEMTSTQKFLEELLVSLQWGREQTYSPNTQPHSTADLAL TNHGLAQAIHAHLLGNVGISHQKKIPTTVEFCSTPAEKMAEKVLRILDPVACTESSPDNQ FAESSPTTLLTTKKNIGRFHPYTRYENITFNCCNHCQGELIAL >ENSMUSP00000083196.3 pep:known chromosome:GRCm38:1:164292130:164307489:-1 gene:ENSMUSG00000026577.13 transcript:ENSMUST00000086032.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blzf1 description:basic leucine zipper nuclear factor 1 [Source:MGI Symbol;Acc:MGI:1201607] MEKMTTLKSSENKGILTSTPIRGAGDGMETEEPPKSVEVTHGVQPINQHVLPSPRKKVSS DSPGVLQLGKILNERTVEVEAVRIFVPKAAITHDIPTKNTKVKSLGHHREELHNQAEVVT DPRKELSEVKKVLEKLKNSERRLLQDKEGLSNQLRVQTEINRELKKLLVASVGDDPQYHF ERLAREKNQLILENEALGRNTAQLSEQLERMSIQCDVWRSKFLASRVMADELTNFRVVLQ RQNRDAQSAIQDLLSEREQFRQEMTSTQKFLEELLVSLQWGREQTYSPNTQPHSTADLAL TNHGLAQAIHAHLLGNVGISHQKKIPTTVEFCSTPAEKMAEKVLRILDPVACTESSPDNQ FAESSPTTLLTTKKNIGRFHPYTRYENITFNCCNHCQGELIAL >ENSMUSP00000140882.1 pep:known chromosome:GRCm38:7:127511983:127529322:1 gene:ENSMUSG00000053877.12 transcript:ENSMUST00000188124.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcap description:Snf2-related CREBBP activator protein [Source:MGI Symbol;Acc:MGI:2444036] MQSSPSTAHPQLPILQTQMVSDGMTGSNPVSPASSSSPDSSGAGGISPQHIAQDSSLDGP PGPQDGTTVPLEGFSLSHAADLVNRGQKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWS LKRLPKVPEPPRPKGHWDYLCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKE ERARREEQAKLRRIASTMAKDVRQFWSNVEKVVQFKQQSRLEEKRKKALDLHLDFIVGQT EKYSDLLSQSLNQPPASSKAGSSPCLGSSSAASSPPPPVSRLDDEDGDFQPQEEEEEDDE ETIEVEEQQEGNDAETQRREIELLRHEGELPLEELLRSLPPQLLGGPFSPSQTPSHDSDT QDGPEENIEEEPSQDLEVHPPSSAVTQCNKQRWHPDEDDEEFTANEDEEDEEDTIAAEEQ LEGEVDHAMELSELAREGDIYQIFY >ENSMUSP00000141098.1 pep:known chromosome:GRCm38:7:127512002:127522837:1 gene:ENSMUSG00000053877.12 transcript:ENSMUST00000189136.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcap description:Snf2-related CREBBP activator protein [Source:MGI Symbol;Acc:MGI:2444036] MQSSPSTAHPQLPILQTQMVSDGMTGSNPVSPASSSSPDSSGAGGISPQHIAQDSSLDGP PGPQDGTTVPLEGFSLSHAADLVNRGQKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWS LKRLPKVPEPPRPKGHWDYLCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKE ERARREEQAKLRRIASTMAKDVRQFWSNVEKVNHEAGKKGWPGVG >ENSMUSP00000140395.1 pep:known chromosome:GRCm38:7:127512465:127539236:1 gene:ENSMUSG00000053877.12 transcript:ENSMUST00000186672.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcap description:Snf2-related CREBBP activator protein [Source:MGI Symbol;Acc:MGI:2444036] MQSSPSTAHPQLPILQTQMVSDGMTGSNPVSPASSSSPDSSGAGGISPQHIAQDSSLDGP PGPQDGTTVPLEGFSLSHAADLVNRGQKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWS LKRLPKVPEPPRPKGHWDYLCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKE ERARREEQAKLRRIASTMAKDVRQFWSNVEKVVQFKQQSRLEEKRKKALDLHLDFIVGQT EKYSDLLSQSLNQPPASSKAGSSPCLGSSSAASSPPPPVSRLDDEDGDFQPQEEEEEDDE ETIEVEEQQEGNDAETQRREIELLRHEGELPLEELLRSLPPQLLGGPFSPSQTPSHDSDT QDGPEENIEEEPSQDLEVHPPSSAVTQCNKQRWHPDEDDEEFTANEDEAEDEEDTIAAEE QLEGEVDHAMELSELAREGELSMEELLQQYAGAYACDASAPASGDSEDEDEVEANSSDGE LEETVEEAAQEDSSSQSDSAEECSEDEEDEHSEEEMSGSSQSEESESDESEDAQSQSQAD EEQDDEGEDDDVDDDDDDGFGVEYLLARDDERSEVDGGSGPPTPGPTTTLGPKKEITDIA AAAESLQPKGYTLATTQVKTPIPLLLRGQLREYQHIGLDWLVTMYEKKLNGILADEMGLG KTIQTISLLAHLACEKGNWGPHLIIVPTSVMLNWEMELKRWCPSFKILTYYGAQKERKLK RQGWTKPNAFHVCITSYKLVLQDHQAFRRKNWRYLILDEAQNIKNFKSQRWQSLLNFNSQ RRLLLTGTPLQNSLMELWSLMHFLMPHVFQSHREFKEWFSNPLTGMIEGSQEYNEGLVKR LHKVLRPFLLRRVKVDVEKQMPKKYEHVIRCRLSKRQRCLYDDFMAQTTTKETLATGHFM SVINILMQLRKVCNHPNLFDPRPVTSPFITPGICFSTASLVLRATEVHPLQRIDMGRFDL IGLEGRVSRYEADTFLPRHRLSHRILLEIATAPDPPPRPKPVKMKVNRMLQPVPKQEGRT VVVVNSPRPPLGPVPVRPPPGPEVSAQPALGPVPPVLPAPLMVSASPAGTPVVPASRPPG PVLLSPLQPNTGPLPQVLPSPVGVMSGTSRPPTPTLSLKPAPPAPVRLSPAPPPGSSSLL KPLTVPPGYTLSPVAATTTSSTIATVTTTAVPAPNSTPQRLILSPEMQARLP >ENSMUSP00000140036.1 pep:known chromosome:GRCm38:7:127513018:127561219:1 gene:ENSMUSG00000053877.12 transcript:ENSMUST00000187040.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcap description:Snf2-related CREBBP activator protein [Source:MGI Symbol;Acc:MGI:2444036] MQSSPSTAHPQLPILQTQMVSDGMTGSNPVSPASSSSPDSSGAGGISPQHIAQDSSLDGP PGPQDGTTVPLEGFSLSHAADLVNRGQKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWS LKRLPKVPEPPRPKGHWDYLCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKE ERARREEQAKLRRIASTMAKDVRQFWSNVEKVVQFKQQSRLEEKRKKALDLHLDFIVGQT EKYSDLLSQSLNQPPASSKAGSSPCLGSSSAASSPPPPVSRLDDEDGDFQPQEEEEEDDE ETIEVEEQQEGNDAETQRREIELLRHEGELPLEELLRSLPPQLLGGPFSPSQTPSHDSDT QDGPEENIEEEPSQDLEVHPPSSAVTQCNKQRWHPDEDDEEFTANEDEAEDEEDTIAAEE QLEGEVDHAMELSELAREGELSMEELLQQYAGAYACDASAPASGDSEDEDEVEANSSDGE LEETVEEAAQEDSSSQSDSAEECSEDEEDEHSEEEMSGSSQSEESESDESEDAQSQSQAD EEQDDEGEDDDVDDDDDDGFGVEYLLARDDERSEVDGGSGPPTPGPTTTLGPKKEITDIA AAAESLQPKGYTLATTQVKTPIPLLLRGQLREYQHIGLDWLVTMYEKKLNGILADEMGLG KTIQTISLLAHLACEKGNWGPHLIIVPTSVMLNWEMELKRWCPSFKILTYYGAQKERKLK RQGWTKPNAFHVCITSYKLVLQDHQAFRRKNWRYLILDEAQNIKNFKSQRWQSLLNFNSQ RRLLLTGTPLQNSLMELWSLMHFLMPHVFQSHREFKEWFSNPLTGMIEGSQEYNEGLVKR LHKVLRPFLLRRVKVDVEKQMPKKYEHVIRCRLSKRQRCLYDDFMAQTTTKETLATGHFM SVINILMQLRKVCNHPNLFDPRPVTSPFITPGICFSTASLVLRATEVHPLQRIDMGRFDL IGLEGRVSRYEADTFLPRHRLSHRILLEIATAPDPPPRPKPVKMKVNRMLQPVPKQEGRT VVVVNSPRPPLGPVPVRPPPGPEVSAQPALGPVPPVLPAPLMVSASPAGTPVVPASRPPG PVLLSPLQPNTGPLPQVLPSPVGVMSGTSRPPTPTLSLKPAPPAPVRLSPAPPPGSSSLL KPLTVPPGYTLSPVAATTTSSTIATVTTTAVPAPNSTPQRLILSPEMQARLPSGEVVSIG QLASLAQRPVTSAGGSKPLTFQIQGNKLTLTGAQVRQLAVGQPRPLQRNVVHLVSAGGQH HLISQPAHVALVQAVAPTPGPTPVSVLPSTPSTTPAPTGLSLPLAANQVPPSMVNNTGVV KIVVRQAPRDGLTPVPPLAPAPRPSSSGLPAVLTSRPTLTPSRLSSPTLGTTRTPIPTPT LVRPLLKLVHSPSPEVSASAPGAAPMTISSSLHMPSSLPGPASSPMTIHNSSPLSSPVSS TVSVPVSSSLPISVPTTLPVPTSAPLPIPITAPLPVSDLGPALLTSVTPALAVVSAASGP PLASAGVSPSMSALALGLATTPALSPPQTSGHSLLLATTSSHVPGLNSAVAPACSPVLVS ASALTSPFPVSPSSAPAQASLLAAAPSTSQALATSLAPMAASQTAILGPSPTPPLAPLPV LAASQTPLPAMTPPSMSGTPLPSSSLVSAPTSVLAPSSTQTLVSTPVSSPLSSLASTQTL TLAPTLAPTLGGLSPSQTHSLGTGSPQGPFPIQTLSLTPASSLVPTPAQTLSLAPGGPVG PTQTLSLAPVPPLPPSSPVGPAPGHTLTLAPAASSASLLVPTSVQTLTLSPAPVPVPTLD LSATQTLALAPALTQAPASQASSLVSASGAASLPVTMVNRLPVPKDEPETLTLRSGPPSP LPTATSFSGPRPRRQPPPPPRSPFYLDSLEEKRKQQRSERLDRIFQLSEAHGALAPVYGT EVLDFCTLPQPVASPIGPRSPGPSHPIFWTYTEAARRAVLFPQQRLEQLSEIIERFIFVM PPVEAPPPSLHACHPPPWLAPHQAAFQEQLACELWPRARPLHRIVCNMRTQFPDLRLIQY DCGKLQTLAVLLRQLKAEGHRVLIFTQMTRMLDVLEQFLTYHGHLYLRLDGSTRVEQRQA LMERFNADKRIFCFILSTRSGGVGVNLTGADTVVFYDSDWNPTMDAQAQDRCHRIGQTRD VHIYRLISERTVEENILKKANQKRMLGDMAIEGGNFTTAYFKQQTIRELFDMPLEEPPGS SVSSVPEDEEEAVASKQTHILEQALCRAEDEEDIRAATQAKAEQVAELAEFNENDGFPAG EGEEANRPGPGAEDEEMSRAEQEIAALVEQLTPIERYAMKFLEASLEEVSREELKQAEEQ VEAARKDLDQAKEEVFRLPHEEEEGPGAGDEMSCGSSGASHRRSKKIRAPERLGTRVSER LRGARAETQGANHTPVTSSHHTCNTFVPPQCSPVRERIPRPVPRPRPPPPPPAPPPPPAP PASPSPAPPPPPAPASPAPPAPPASPPAASPSPAPLPPAASVASAITSALATAPIPISAP NPVTILPVHILPTPPLLQLPPSSSPASTPPSACTPLPTCTPLQAQTSVLTSSSPAPLDSL SVPISPGVTNLPLDSGEVGLCAQELAPVESLELPGMTNSEASLASLVSPKDLLPVAVDIL PESEKTLPCIPAPSSTLEAESIPNGQQQEVPGPVEGTNTTLLPGSEELTMSLNESNGLEL PPSAASAEPLDELLETHRNSGETREVQIPISNSEKPQELVRAEVTAPSTSSSATSSPEGP LPARPPRRRTSADVEIRGQGSGRTGQLPGPKVLRKLPGRLVTVVEEKELVKRRRHRAPAS TLVPGVSEVSASPRSPSIHSMSGPESSPPSSGPCEAAPTSSLSTPTQSTFIACRHNELGT TTGGSPENGEGTELAITPPAVKRRRGRPPKKNRSPADAGRGVDEVPSSISKGKTNGVDPV PGPETVTVAEPDLRPQFVPGPQPPGPQPVHRPEPTILSPVEKRRRGRPPKARDLPIPGTI SSPGGGNLESRTQSLPFPAPLTPLLACPTAVTNTVTTVTISTSPPKRKRGRPPKNPPSPR PSQHPVLDPDSSSILESCGLGVQRQPLGQAESEGSSSDEDGSRPLTRLARLRLEAEGMRG RKSEGSMVMAVIQDDLDLADSGPSGLELTPPVVSLTPKFRSTRLRPGSLVPPLETEKMPR KRSGAPVGSSGMAKRGRLQPPSPLGPEGSVEESEVEASGDEEEGDGTPRRRTGPRRLVGT TNQGDQRILRSSAPPHLCIPTISHRGRKAKT >ENSMUSP00000139399.1 pep:known chromosome:GRCm38:7:127516148:127560769:1 gene:ENSMUSG00000053877.12 transcript:ENSMUST00000190390.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcap description:Snf2-related CREBBP activator protein [Source:MGI Symbol;Acc:MGI:2444036] MQSSPSTAHPQLPILQTQMVSDGMTGSNPVSPASSSSPDSSGAGGISPQHIAQDSSLDGP PGPQDGTTVPLEGFSLSHAADLVNRGQKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWS LKRLPKVPEPPRPKGHWDYLCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKE ERARREEQAKLRRIASTMAKDVRQFWSNVEKVVQFKQQSRLEEKRKKALDLHLDFIVGQT EKYSDLLSQSLNQPPASSKAGSSPCLGSSSAASSPPPPVSRLDDEDGDFQPQEEEEEDDE ETIEVEEQQEGNDAETQRREIELLRHEGELPLEELLRSLPPQLLGGPFSPSQTPSHDSDT QDGPEENIEEEPSQDLEVHPPSSAVTQCNKQRWHPDEDDEEFTANEDEAEDEEDTIAAEE QLEGEVDHAMELSELAREGELSMEELLQQYAGAYACDASAPASGDSEDEDEVEANSSDGE LEETVEEAAQEDSSSQSDSAEECSEDEEDEHSEEEMSGSSQSEESESDESEDAQSQSQAD EEQDDEGEDDDVDDDDDDGFGVEYLLARDDERSEVDGGSGPPTPGPTTTLGPKKEITDIA AAAESLQPKGYTLATTQVKTPIPLLLRGQLREYQHIGLDWLVTMYEKKLNGILADEMGLG KTIQTISLLAHLACEKGNWGPHLIIVPTSVMLNWEMELKRWCPSFKILTYYGAQKERKLK RQGWTKPNAFHVCITSYKLVLQDHQAFRRKNWRYLILDEAQNIKNFKSQRWQSLLNFNSQ RRLLLTGTPLQNSLMELWSLMHFLMPHVFQSHREFKEWFSNPLTGMIEGSQEYNEGLVKR LHKVLRPFLLRRVKVDVEKQMPKKYEHVIRCRLSKRQRCLYDDFMAQTTTKETLATGHFM SVINILMQLRKVCNHPNLFDPRPVTSPFITPGICFSTASLVLRATEVHPLQRIDMGRFDL IGLEGRVSRYEADTFLPRHRLSHRILLEIATAPDPPPRPKPVKMKVNRMLQPVPKQEGRT VVVVNSPRPPLGPVPVRPPPGPEVSAQPALGPVPPVLPAPLMVSASPAGTPVVPASRPPG PVLLSPLQPNTGPLPQVLPSPVGVMSGTSRPPTPTLSLKPAPPAPVRLSPAPPPGSSSLL KPLTVPPGYTLSPVAATTTSSTIATVTTTAVPAPNSTPQRLILSPEMQARLPSGEVVSIG QLASLAQRPVTSAGGSKPLTFQIQGNKLTLTGAQVRQLAVGQPRPLQMPPSMVNNTGVVK IVVRQAPRDGLTPVPPLAPAPRPSSSGLPAVLTSRPTLTPSRLSSPTLGTTRTPIPTPTL VRPLLKLVHSPSPEVSASAPGAAPMTISSSLHMPSSLPGPASSPMTIHNSSPLSSPVSST VSVPVSSSLPISVPTTLPVPTSAPLPIPITAPLPVSDLGPALLTSVTPALAVVSAASGPP LASAGVSPSMSALALGLATTPALSPPQTSGHSLLLATTSSHVPGLNSAVAPACSPVLVSA SALTSPFPVSPSSAPAQASLLAAAPSTSQALATSLAPMAASQTAILGPSPTPPLAPLPVL AASQTPLPAMTPPSMSGTPLPSSSLVSAPTSVLAPSSTQTLVSTPVSSPLSSLASTQTLT LAPTLAPTLGGLSPSQTHSLGTGSPQGPFPIQTLSLTPASSLVPTPAQTLSLAPGGPVGP TQTLSLAPVPPLPPSSPVGPAPGHTLTLAPAASSASLLVPTSVQTLTLSPAPVPVPTLDL SATQTLALAPALTQAPASQASSLVSASGAASLPVTMVNRLPVPKDEPETLTLRSGPPSPL PTATSFSGPRPRRQPPPPPRSPFYLDSLEEKRKQQRSERLDRIFQLSEAHGALAPVYGTE VLDFCTLPQPVASPIGPRSPGPSHPIFWTYTEAARRAVLFPQQRLEQLSEIIERFIFVMP PVEAPPPSLHACHPPPWLAPHQAAFQEQLACELWPRARPLHRIVCNMRTQFPDLRLIQYD CGKLQTLAVLLRQLKAEGHRVLIFTQMTRMLDVLEQFLTYHGHLYLRLDGSTRVEQRQAL MERFNADKRIFCFILSTRSGGVGVNLTGADTVVFYDSDWNPTMDAQAQDRCHRIGQTRDV HIYRLISERTVEENILKKANQKRMLGDMAIEGGNFTTAYFKQQTIRELFDMPLEEPPGSS VSSVPEDEEEAVASKQTHILEQALCRAEDEEDIRAATQAKAEQVAELAEFNENDGFPAGE GEEANRPGPGAEDEEMSRAEQEIAALVEQLTPIERYAMKFLEASLEEVSREELKQAEEQV EAARKDLDQAKEEVFRLPHEEEEGPGAGDEMSCGSSGASHRRSKKIRAPERLGTRVSERL RGARAETQGANHTPVTSSHHTCNTFVPPQCSPVRERIPRPVPRPRPPPPPPAPPPPPAPP ASPSPAPPPPPAPASPAPPAPPASPPAASPSPAPLPPAASVASAITSALATAPIPISAPN PVTILPVHILPTPPLLQLPPSSSPASTPPSACTPLPTCTPLQAQTSVLTSSSPAPLDSLS VPISPGVTNLPLDSGEVGLCAQELAPVESLELPGMTNSEASLASLVSPKDLLPVAVDILP ESEKTLPCIPAPSSTLEAESIPNGQQQEVPGPVEGTNTTLLPGSEELTMSLNESNGLELP PSAASAEPLDELLETHRNSGETREVQIPISNSEKPQELVRAEVTAPSTSSSATSSPEGPL PARPPRRRTSADVEIRGQGSGRTGQLPGPKVLRKLPGRLVTVVEEKELVKRRRHRAPAST LVPGVSEVSASPRSPSIHSMSGPESSPPSSGPCEAAPTSSLSTPTQSTFIACRHNELGTT TGGSPENGEGTELAITPPAVKRRRGRPPKKNRSPADAGRGVDEVPSSISKGKTNGVDPVP GPETVTVAEPDLRPQFVPGPQPPGPQPVHRPEPTILSPVEKRRRGRPPKARDLPIPGTIS SPGGGNLESRTQSLPFPAPLTPLLACPTAVTNTVTTVTISTSPPKRKRGRPPKNPPSPRP SQHPVLDPDSSSILESCGLGVQRQPLGQAESEGSSSDEDGSRPLTRLARLRLEAEGMRGR KSEGSMVMAVIQDDLDLADSGPSGLELTPPVVSLTPKFRSTRLRPGSLVPPLETEKMPRK RSGAPVGSSGMAKRGRLQPPSPLGPEGSVEESEVEASGDEEEGDGTPRRRTGPRRLVGTT NQGDQRILRSSAPPHLCIPTISHRGRKAKT >ENSMUSP00000139536.1 pep:known chromosome:GRCm38:7:127516148:127560769:1 gene:ENSMUSG00000053877.12 transcript:ENSMUST00000186954.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcap description:Snf2-related CREBBP activator protein [Source:MGI Symbol;Acc:MGI:2444036] MQSSPSTAHPQLPILQTQMVSDGMTGSNPVSPASSSSPDSSGAGGISPQHIAQDSSLDGP PGPQDGTTVPLEGFSLSHAADLVNRGQKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWS LKRLPKVPEPPRPKGHWDYLCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKE ERARREEQAKLRRIASTMAKDVRQFWSNVEKVVQFKQQSRLEEKRKKALDLHLDFIVGQT EKYSDLLSQSLNQPPASSKAGSSPCLGSSSAASSPPPPVSRLDDEDGDFQPQEEEEEDDE ETIEVEEQQEGNDAETQRREIELLRHEGELPLEELLRSLPPQLLGGPFSPSQTPSHDSDT QDGPEENIEEEPSQDLEVHPPSSAVTQCNKQRWHPDEDDEEFTANEDEAEDEEDTIAAEE QLEGEVDHAMELSELAREGELSMEELLQQYAGAYACDASAPASGDSEDEDEVEANSSDGE LEETVEEAAQEDSSSQSDSAEECSEDEEDEHSEEEMSGSSQSEESESDESEDAQSQSQAD EEQDDEGEDDDVDDDDDDGFGVEYLLARDDERSEVDGGSGPPTPGPTTTLGPKKEITDIA AAAESLQPKGYTLATTQVKTPIPLLLRGQLREYQHIGLDWLVTMYEKKLNGILADEMGLG KTIQTISLLAHLACEKGNWGPHLIIVPTSVMLNWEMELKRWCPSFKILTYYGAQKERKLK RQGWTKPNAFHVCITSYKLVLQDHQAFRRKNWRYLILDEAQNIKNFKSQRWQSLLNFNSQ RRLLLTGTPLQNSLMELWSLMHFLMPHVFQSHREFKEWFSNPLTGMIEGSQEYNEGLVKR LHKVLRPFLLRRVKVDVEKQMPKKYEHVIRCRLSKRQRCLYDDFMAQTTTKETLATGHFM SVINILMQLRKVCNHPNLFDPRPVTSPFITPGICFSTASLVLRATEVHPLQRIDMGRFDL IGLEGRVSRYEADTFLPRHRLSHRILLEIATAPDPPPRPKPVKMKVNRMLQPVPKQEGRT VVVVNSPRPPLGPVPVRPPPGPEVSAQPALGPVPPVLPAPLMVSASPAGTPVVPASRPPG PVLLSPLQPNTGPLPQAGEVVSIGQLASLAQRPVTSAGGSKPLTFQIQGNKLTLTGAQVR QLAVGQPRPLQMPPSMVNNTGVVKIVVRQAPRDGLTPVPPLAPAPRPSSSGLPAVLTSRP TLTPSRLSSPTLGTTRTPIPTPTLVRPLLKLVHSPSPEVSASAPGAAPMTISSSLHMPSS LPGPASSPMTIHNSSPLSSPVSSTVSVPVSSSLPISVPTTLPVPTSAPLPIPITAPLPVS DLGPALLTSVTPALAVVSAASGPPLASAGVSPSMSALALGLATTPALSPPQTSGHSLLLA TTSSHVPGLNSAVAPACSPVLVSASALTSPFPVSPSSAPAQASLLAAAPSTSQALATSLA PMAASQTAILGPSPTPPLAPLPVLAASQTPLPAMTPPSMSGTPLPSSSLVSAPTSVLAPS STQTLVSTPVSSPLSSLASTQTLTLAPTLAPTLGGLSPSQTHSLGTGSPQGPFPIQTLSL TPASSLVPTPAQTLSLAPGGPVGPTQTLSLAPVPPLPPSSPVGPAPGHTLTLAPAASSAS LLVPTSVQTLTLSPAPVPVPTLDLSATQTLALAPALTQAPASQASSLVSASGAASLPVTM VNRLPVPKDEPETLTLRSGPPSPLPTATSFSGPRPRRQPPPPPRSPFYLDSLEEKRKQQR SERLDRIFQLSEAHGALAPVYGTEVLDFCTLPQPVASPIGPRSPGPSHPIFWTYTEAARR AVLFPQQRLEQLSEIIERFIFVMPPVEAPPPSLHACHPPPWLAPHQAAFQEQLACELWPR ARPLHRIVCNMRTQFPDLRLIQYDCGKLQTLAVLLRQLKAEGHRVLIFTQMTRMLDVLEQ FLTYHGHLYLRLDGSTRVEQRQALMERFNADKRIFCFILSTRSGGVGVNLTGADTVVFYD SDWNPTMDAQAQDRCHRIGQTRDVHIYRLISERTVEENILKKANQKRMLGDMAIEGGNFT TAYFKQQTIRELFDMPLEEPPGSSVSSVPEDEEEAVASKQTHILEQALCRAEDEEDIRAA TQAKAEQVAELAEFNENDGFPAGEGEEANRPGPGAEDEEMSRAEQEIAALVEQLTPIERY AMKFLEASLEEVSREELKQAEEQVEAARKDLDQAKEEVFRLPHEEEEGPGAGDEMSCGSS GASHRRSKKIRAPERLGTRVSERLRGARAETQGANHTPVTSSHHTCNTFVPPQCSPVRER IPRPVPRPRPPPPPPAPPPPPAPPASPSPAPPPPPAPASPAPPAPPASPPAASPSPAPLP PAASVASAITSALATAPIPISAPNPVTILPVHILPTPPLLQLPPSSSPASTPPSACTPLP TCTPLQAQTSVLTSSSPAPLDSLSVPISPGVTNLPLDSGEVGLCAQELAPVESLELPGMT NSEASLASLVSPKDLLPVAVDILPESEKTLPCIPAPSSTLEAESIPNGQQQEVPGPVEGT NTTLLPGSEELTMSLNESNGLELPPSAASAEPLDELLETHRNSGETREVQIPISNSEKPQ ELVRAEVTAPSTSSSATSSPEGPLPARPPRRRTSADVEIRGQGSGRTGQLPGPKVLRKLP GRLVTVVEEKELVKRRRHRAPASTLVPGVSEVSASPRSPSIHSMSGPESSPPSSGPCEAA PTSSLSTPTQSTFIACRHNELGTTTGGSPENGEGTELAITPPAVKRRRGRPPKKNRSPAD AGRGVDEVPSSISKGKTNGVDPVPGPETVTVAEPDLRPQFVPGPQPPGPQPVHRPEPTIL SPVEKRRRGRPPKARDLPIPGTISSPGGGNLESRTQSLPFPAPLTPLLACPTAVTNTVTT VTISTSPPKRKRGRPPKNPPSPRPSQHPVLDPDSSSILESCGLGVQRQPLGQAESEGSSS DEDGSRPLTRLARLRLEAEGMRGRKSEGSMVMAVIQDDLDLADSGPSGLELTPPVVSLTP KFRSTRLRPGSLVPPLETEKMPRKRSGAPVGSSGMAKRGRLQPPSPLGPEGSVEESEVEA SGDEEEGDGTPRRRTGPRRLVGTTNQGDQRILRSSAPPHLCIPTISHRGRKAKT >ENSMUSP00000095635.4 pep:known chromosome:GRCm38:7:127512027:127525323:1 gene:ENSMUSG00000053877.12 transcript:ENSMUST00000098025.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcap description:Snf2-related CREBBP activator protein [Source:MGI Symbol;Acc:MGI:2444036] MQSSPSTAHPQLPILQTQMVSDGMTGSNPVSPASSSSPDSSGAGGISPQHIAQDSSLDGP PGPQDGTTVPLEGFSLSHAADLVNRGQKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWS LKRLPKVPEPPALKDTGTICVKRCSGSLLTLLRNADGNEVWPGRWYVW >ENSMUSP00000063817.5 pep:known chromosome:GRCm38:7:127512992:127536376:1 gene:ENSMUSG00000053877.12 transcript:ENSMUST00000066582.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srcap description:Snf2-related CREBBP activator protein [Source:MGI Symbol;Acc:MGI:2444036] MQSSPSTAHPQLPILQTQMVSDGMTGISPQHIAQDSSLDGPPGPQDGTTVPLEGFSLSHA ADLVNRGQKWEKSHAEIAEQAKHEAEIETRIAELRKEGFWSLKRLPKVPEPPRPKGHWDY LCEEMQWLSADFAQERRWKRGVARKVVRMVIRHHEEQRQKEERARREEQAKLRRIASTMA KDVRQFWSNVEKVVQFKQQSRLEEKRKKALDLHLDFIVGQTEKYSDLLSQSLNQPPASSK AGSSPCLGSSSAASSPPPPVSRLDDEDGDFQPQEEEEEDDEETIEVEEQQEGNDAETQRR EIELLRHEGELPLEELLRSLPPQLLGGPFSPSQTPSHDSDTQDGPEENIEEEPSQDLEVH PPSSAVTQCNKQRWHPDEDDEEFTANEDEEDEEDTIAAEEQLEGEVDHAMELSELAREGE LSMEELLQQYAGAYACDASAPASGDSEDEDEVEANSSDGELEETVEEAAQEDSSSQSDSA EECSEDEEDEHSEEEMSGSSQSEESESDESEDAQSQSQADEEQDDEGEDDDVDDDDDDGF GVEYLLARDDERSEVDGGSGPPTPGPTTTLGPKKEITDIAAAAESLQPKGYTLATTQVKT PIPLLLRGQLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIQTISLLAHLACEKGNWG PHLIIVPTSVMLNWEMELKRWCPSFKILTYYGAQKERKLKRQGWTKPNAFHVCITSYKLV LQDHQAFRRKNWRYLILDEAQNIKNFKSQRWQSLLNFNSQRRLLLTGTPLQNSLMELWSL MHFLMPHVFQSHREFKEWFSNPLTGMIEGSQEYNEGLVKRLHKVLRPFLLRRVKVDVEKQ MPKKYEHVIRCRLSKRQRCLYDDFMAQTTTKETLATGHFMSVINILMQLRKVCNHPNLFD PRPVTSPFITPGICFSTASLVLRATEVHPLQVCSYP >ENSMUSP00000002127.7 pep:known chromosome:GRCm38:11:78343482:78349164:1 gene:ENSMUSG00000002058.13 transcript:ENSMUST00000002127.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc119 description:unc-119 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1328357] MKVKKGGGGTGSGAEPVPGASNRSAEPTREPGAEAESGSESEPEPGPGPRLGPLQGKQPI GPEDVLGLQRITGDYLCSPEENIYKIDFVRFKIRDMDSGTVLFEIKKPPVSERLPINRRD LDPNAGRFVRYQFTPAFLRLRQVGATVEFTVGDKPVNNFRMIERHYFRNQLLKSFDFHFG FCIPSSKNTCEHIYDFPPLSEELISEMIRHPYETQSDSFYFVDDRLVMHNKADYSYSGTP >ENSMUSP00000103930.1 pep:known chromosome:GRCm38:11:78343506:78349164:1 gene:ENSMUSG00000002058.13 transcript:ENSMUST00000108295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc119 description:unc-119 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1328357] MKVKKGGGGTGSGAEPVPGASNRSAEPTREPGAEAESGSESEPEPGPGPRLGPLQGKQPI GPEDVLGLQRITGDYLCSPEENIYKIDFVRFKIRDMDSGTVLFEIKKPPVSERLPINRRD LDPNAGRFVRYQFTPAFLRLRQVGATVEFTVGDKPVNNFRMIERHYFRNQLLKSFDFHFG FCIPSSKNTCEHIYDFPPLSEELILKSLQGLAQGPDLCPGWASLAVSEMIRHPYETQSDS FYFVDDRLVMHNKADYSYSGTP >ENSMUSP00000098318.3 pep:known chromosome:GRCm38:11:78346666:78348979:1 gene:ENSMUSG00000002058.13 transcript:ENSMUST00000100755.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc119 description:unc-119 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1328357] MNVDVMPQDYLCSPEENIYKIDFVRFKIRDMDSGTVLFEIKKPPVSERLPINRRDLDPNA GRFVRYQFTPAFLRLRQVGATVEFTVGDKPVNNFRMIERHYFRNQLLKSFDFHFGFCIPS SKNTCEHIYDFPPLSEELISEMIRHPYETQSDSFYFVDDRLVMHNKADYSYSGTP >ENSMUSP00000086840.2 pep:known chromosome:GRCm38:6:89844518:89845615:-1 gene:ENSMUSG00000068232.2 transcript:ENSMUST00000089419.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r42 description:vomeronasal 1 receptor 42 [Source:MGI Symbol;Acc:MGI:2148511] MGDILFSSPQSMFSHTMNKNSILHTHSIIGKTFFSEIGIGISGNSFLLLVHILKFIRGHR PRLTDLPIGLLSLIHLLMLLVAAFIATDIFISRRGWDDIICKFLVYLYRVLRGFSLCTTS MLSILQAIILSPRSSCLAKFKHISPHHISGAILFLSVLYMLIGSQLLVSIIATPNLTMND FIYVTQSCSILPLSYLMQSIYSTLLAIREFFLISLMVLSNWYMVALLSMHRKQTQHLHGT NLSPKKSPEQSATQTILMLISFFLLMTIYDTIVSCSRTMFLNDPTSYSIELFIMHIYATV SPFVFMSTEKHIVNFLRSLGKRVINFNLH >ENSMUSP00000107102.1 pep:known chromosome:GRCm38:5:124328089:124340122:1 gene:ENSMUSG00000047635.6 transcript:ENSMUST00000111477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810006K23Rik description:RIKEN cDNA 2810006K23 gene [Source:MGI Symbol;Acc:MGI:1919900] MSSRSTWALLRLPLPLIRICSGKWGLRLQEKPALLFPGMAASTVQVAGRKDYPALLPLNE SELEEQFVKGHGPGGQATNKTSNCVVLKHVPSGIVVKVETGGEPRSAATAGFSQWACPFW HGDTANSG >ENSMUSP00000076594.2 pep:known chromosome:GRCm38:5:124328098:124341844:1 gene:ENSMUSG00000047635.6 transcript:ENSMUST00000077376.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810006K23Rik description:RIKEN cDNA 2810006K23 gene [Source:MGI Symbol;Acc:MGI:1919900] MSSRSTWALLRLPLPLIRICSGKWGLRLQEKPALLFPGMAASTVQVAGRKDYPALLPLNE SELEEQFVKGHGPGGQATNKTSNCVVLKHVPSGIVVKCHQTRSVDQNRKIARKVLQEKVD VFYNGENSPVHKEKLEAERRKRERKKRAKETLEKKKLLKELREASQNITEKKADADGIPR GFQE >ENSMUSP00000025007.5 pep:known chromosome:GRCm38:17:26091734:26095508:-1 gene:ENSMUSG00000024177.8 transcript:ENSMUST00000025007.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme4 description:NME/NM23 nucleoside diphosphate kinase 4 [Source:MGI Symbol;Acc:MGI:1931148] MGSLFGRVAALRALLCGPRFQCLLVRPSSGGPPWPQERTLVAVKPDGVQRRLVGTVIQRF ERRGFKLVGMKMLQAPESILAEHYRDLQRKPFYPALISYMSSGPVVAMVWEGPNVVHISR AMIGHTDSTEAAPGTIRGDFSVHISRNVIHASDSVDGAQREIELWFQSSELLNWADGGHH SSCYPA >ENSMUSP00000086839.2 pep:known chromosome:GRCm38:6:89869461:89870529:-1 gene:ENSMUSG00000068231.4 transcript:ENSMUST00000089418.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r43 description:vomeronasal 1 receptor 43 [Source:MGI Symbol;Acc:MGI:2148510] MSKILFFSPCSLFSHTMNKNSRLHTNSNIGNTFFSEIGIGITGNSFLLLYHILKFIRGHR PRLTDLPIGLLSLIHLLMLLVAAFIATDIFISRRGWDDIICKFLVYLYRVLRGLSLCTTS MLSVLQAIILSPRSSCLSKFKHISLHHILCAILFLSVLYMLISSQLLVSIIATPNLTTND LTYVTQSCSILPLSYLVESINSTLLAIREYFLISLMFLSTWYIVALLCMHRKQTQHLQET RLSLKKSPEQSATQTILMLMTFFVLMTIYDNIVSCLRTMLLNDPTSYSIELFMIHIYATV SPFVFMSNEKHIVNFLRSMGKRMINLNLH >ENSMUSP00000077338.3 pep:known chromosome:GRCm38:17:37225091:37226141:1 gene:ENSMUSG00000064121.3 transcript:ENSMUST00000078209.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr96 description:olfactory receptor 96 [Source:MGI Symbol;Acc:MGI:2177479] MGILSTGNQTVTEFVLLGFHEVPGLHLLFFSVFTILYASIITGNMLIAVVVVSSQRLHTP MYFFLVNLSFIEIVYTSTVVPKMLEGFLQEATISVAGCLLQFFVFGSLATDECFLLAVMA YDRYLAICHPLRYPHLMGPQWCLGLVLTVWLSGFMVDGLVVALMAQLRFCGPNLVDHFYC DFSPLMVLACSDTQVAQVTTFVLSVVFLTVPFGLVLISYAQIVVTVLRVPSGTRRTKAFS TCSSHLAVVSTFYGTLMVLYIVPSAVHSQLLSKVIALLYTVVTPIFNPVIYTLRNQEVQQ ALRRLLYCKPTEM >ENSMUSP00000126973.1 pep:known chromosome:GRCm38:7:86148042:86171724:-1 gene:ENSMUSG00000090436.1 transcript:ENSMUST00000167830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r75 description:vomeronasal 2, receptor 75 [Source:MGI Symbol;Acc:MGI:3648311] MKNTFSLISVFWFLKISIIFCHLSDPRCFWRIKDAKNDLGDKETYCFFSIYTKQGYVKND YFSWNLDKKVTPKTNHLIFSVYLAMEEINKNGHILPNISLLVNIECGLELYGERTGLAFK SEEFIPNYYCRNHRKYLIVLTTPKWGVSTSLGPLLYISRVPELYCGHFHLLLNDNEQFPH LYQISPKDTSLPLAMVSLVVHFRWNWIGAIVTNDDHGIQFLSELRGEMQKHIVCLSVAII IQTEKFMALKEFRMNYNKIAMSSATVVIVYGDKDSPIQFTLIMWKSEGIWRIWVSVSQFD MITVIGDFLLYSSTGSFIFSHQQSEISGFEKFIQTVHPSNYSSEFSLAKLWWTYFTCSLP PSNCKKLKNCPIKTVFKWLFMTPIGMSMSDISYNLYNAMYAVAHSVHEMLLQQVDIWSTN AGTELEFDSWKMFSILKTLKFVNPAGDLVNMNQNLKQDTEYDIFYIPNFQKYYGLKMKIG RFSGYLPSGQQLYMSKEMMEWATDMDQILPSICSMPCRPGLRKSPQEEKDICCFVCNPCP ENEISNMTNMDQCVKCPEDQYANEDQTLCLQKVVDVLDYRDPLGKSLAGFALCFSVLTSI VLCVFLKHRESPIVKANNQTLSYVLLISLIFCFICSLLYIGHPTMFICILQQTAFAIAFT VAASTVLAKTITVILAFKITVPGRMRWLLVSGAPKYIIFVCTMIQLIFCGIWLGTSPPFV ETDVHMTHGHIIIVCNKGSVIAFYCVLGYMGSVALASFTVAFLSRKLPDTFNEAKLLTFS MLVFCSVWITFIPVYHSTKGKTMVAVEVFCILASSAGLLLCIYAPKCYIILLRPQKNSFY KFRKPHSKSENIS >ENSMUSP00000102135.2 pep:known chromosome:GRCm38:4:115737744:115774435:1 gene:ENSMUSG00000034210.13 transcript:ENSMUST00000106525.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab14 description:EF-hand calcium binding domain 14 [Source:MGI Symbol;Acc:MGI:2442397] MKKRKELNALIGLAGDHRRKKTKQGSGSHRLLRTEPPDSDSESSTDEEEFGAIGNRSRFV KGDYARCCKICCPLCAFVILAACVVASVGLVWMQMALKEDLDVLKEKFRTMESNQKSSFQ EIPKLNEELLSKQKQLEKIESGELGLSRVWINITEMNKQISLLSSAVNHLKASVKSAADL LSLPSTVEGLQKSVASIGNTLNSVHLAVEVIQKTVDEHRTTLGLLQGSMENNGSNQILPS PSPPSELDNKSHSESAKQDILYLHNSLEEVNSTVVEYQRQNDLKLKGMSETLSNLTQRLS LIESHVVALSKAEQRTNVSSSTMENRAATLKRESLVTNRSDTVQAQSMKKEDNSNSQVSE LREKLQLISALTNKPESNRPPETTDEEQVQNFTSDPSALPEFSQLLRNQIETQVKPLSLP GISSIKDLQDLFHKTGQDVDGMLTYQELWNSLGSAMPRPESLRAFDSNGDGRYSFLELRL ALGI >ENSMUSP00000102132.2 pep:known chromosome:GRCm38:4:115737779:115769091:1 gene:ENSMUSG00000034210.13 transcript:ENSMUST00000106522.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab14 description:EF-hand calcium binding domain 14 [Source:MGI Symbol;Acc:MGI:2442397] MKKRKELNALIGLAGDHRRKKTKQGSGSHRLLRTEPPDSDSESSTDEEEFGAIGNRSRFV KGDYARCCKICCPLCAFVILAACVVASVGLVWMQMALKEDLDVLKEKFRTMESNQKSSFQ EIPKLNEELLSKQKQLEKIESGELGLSRVWINITEMNKQISLLSSAVNHLKASVKSAADL LSLPSTVEGLQKSVASIGNTLNSVHLAVEVIQKTVDEHRTTLGLLQGSMENNGSNQILPS PSPPSELDNKSHSESAKQDILYLHNSLEEVNSTVVEYQRQNDLKLKGMSETLSNLTQRLS LIESHVVALSKAEQRTNVSSSTMENRAATLKRESLVTNRSDTVQAQSMKKEDNSNSQVSE LREKLQLISALTNKPESNRPPETTDEEQVQNFTSDPSALPEFSQLLRNQIETQVKPLSLP GISSIKGQKHQILLELELELQAVVNYLTRTLGTELWSAGGGVQTPDH >ENSMUSP00000074025.6 pep:known chromosome:GRCm38:4:115738073:115777327:1 gene:ENSMUSG00000034210.13 transcript:ENSMUST00000074425.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab14 description:EF-hand calcium binding domain 14 [Source:MGI Symbol;Acc:MGI:2442397] MKKRKELNALIGLAGDHRRKKTKQGSGSHRLLRTEPPDSDSESSTDEEEFGAIGNRSRFV KGDYARCCKICCPLCAFVILAACVVASVGLVWMQMALKEDLDVLKEKFRTMESNQKSSFQ EIPKLNEELLSKQKQLEKIESGELGLSRVWINITEMNKQISLLSSAVNHLKASVKSAADL LSLPSTVEGLQKSVASIGNTLNSVHLAVEVIQKTVDEHRTTLGLLQGSMENNGSNQILPS PSPPSELDNKSHSESAKQDILYLHNSLEEVNSTVVEYQRQNDLKLKGMSETLSNLTQRLS LIESHVVALSKAEQRTNVSSSTMENRAATLKRESLVTNRSDTVQAQSMKKEDNSNSQVSE LREKLQLISALTNKPESNRPPETTDEEQVQNFTSDPSALPEFSQLLRNQIETQVKPLSLP GISSIKDLQDLFHKTGQDVDGMLTYQELWNSLGSAMPRPESLRAFDSNGDGRYSFLELRL ALGCLKPKCGLMKQEIIFFLPNCSLKRSYGFSVQRIPHGAGVLGAGAFW >ENSMUSP00000102134.2 pep:known chromosome:GRCm38:4:115738443:115774078:1 gene:ENSMUSG00000034210.13 transcript:ENSMUST00000106524.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab14 description:EF-hand calcium binding domain 14 [Source:MGI Symbol;Acc:MGI:2442397] MKKRKELNALIGLAGDHRRKKTKQGSGSHRLLRTEPPDSDSESSTDEEEFGAIGNRSRFV KGDYARCCKICCPLCAFVILAACVVASVGLVWMQMALKEDLDVLKEKFRTMESNQKSSFQ EIPKLNEELLSKQKQLEKIESGELGLSRVWINITEMNKQISLLSSAVNHLKASVKSAADL LSLPSTVEGLQKSVASIGNTLNSVHLAVEVIQKTVDEHRTTLGLLQGSMENNGSNQILPS PSPPSELDNKSHSESAKQMENRAATLKRESLVTNRSDTVQAQSMKKEDNSNSQVSELREK LQLISALTNKPESNRPPETTDEEQVQNFTSDPSALPEFSQLLRNQIETQVKPLSLPGISS IKDLQDLFHKTGQDVDGMLTYQELWNSLGSAMPRPESLRAFDSNGDGRYSFLELRLALGI >ENSMUSP00000007533.8 pep:known chromosome:GRCm38:11:101253707:101258049:1 gene:ENSMUSG00000078656.11 transcript:ENSMUST00000007533.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps25 description:vacuolar protein sorting 25 (yeast) [Source:MGI Symbol;Acc:MGI:106354] MAMSFEWPWQYRFPPFFTLQPNVDTRQKQLAAWCSLVLSFCRLHKQSSMTVMEAQESPLF NNVKLQRKLPVESIQIVLEELRKKGNLEWLDKNKSSFLIMWRRPEEWGKLIYQWVSRSGQ NNSVFTLYELTSGEDTEDEASSRFVGVS >ENSMUSP00000042088.6 pep:known chromosome:GRCm38:11:101253707:101259549:1 gene:ENSMUSG00000078656.11 transcript:ENSMUST00000042477.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps25 description:vacuolar protein sorting 25 (yeast) [Source:MGI Symbol;Acc:MGI:106354] MAMSFEWPWQYRFPPFFTLQPNVDTRQKQLAAWCSLVLSFCRLHKQSSMTVMEAQESPLF NNVKLQRKLPVESIQIVLEELRKKGNLEWLDKNKSSFLIMWRRPEEWGKLIYQWVSRSGQ NNSVFTLYELTSGEDTEDEEFHGLDEATLLRALQALQQEHKAEIITVSDGRGVKFF >ENSMUSP00000097981.5 pep:known chromosome:GRCm38:11:101253729:101259545:1 gene:ENSMUSG00000078656.11 transcript:ENSMUST00000100414.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps25 description:vacuolar protein sorting 25 (yeast) [Source:MGI Symbol;Acc:MGI:106354] MAMSFEWPWQYRFPPFFTLQPNVDTRQKQLAAWCSLVLSFCRLHKQSSMTVMEAQESPLF NNVKLQRKLPVESIQIVLEELRKKGNLEWLDKNKSSFLIMWRRPEEWGKLIYQWVSRSGQ NNSVFTLYELTSGEDTEDEGMPRNCEAQLQQCTQHTNRLSWLWKSQLLAQPQ >ENSMUSP00000131026.1 pep:known chromosome:GRCm38:11:101253730:101258916:1 gene:ENSMUSG00000078656.11 transcript:ENSMUST00000144306.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps25 description:vacuolar protein sorting 25 (yeast) [Source:MGI Symbol;Acc:MGI:106354] MAMSFEWPWQYRFPPFFTLQPNVDTRQKQLAAWCSLVLSFCRLHKQSSMTVMEAQESPLF NNVKLQRKLPVESIQIVLEELRKKGFQEWPE >ENSMUSP00000102901.4 pep:known chromosome:GRCm38:11:101253735:101259323:1 gene:ENSMUSG00000078656.11 transcript:ENSMUST00000107280.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps25 description:vacuolar protein sorting 25 (yeast) [Source:MGI Symbol;Acc:MGI:106354] MAMSFEWPWQYRFPPFFTLQPNVDTRQKQLAAWCSLVLSFCRLHKQSSMTVMEAQESPLF NNVKLQRHSLNLKPGKLPVESIQIVLEELRKKGNLEWLDKNKSSFLIMWRRPEEWGKLIY QWVSRSGQNNSVFTLYELTSGEDTEDEEFHGLDEATLLRALQALQQEHKAEIITVSDGRG VKFF >ENSMUSP00000114100.1 pep:known chromosome:GRCm38:11:101253753:101259056:1 gene:ENSMUSG00000078656.11 transcript:ENSMUST00000121331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps25 description:vacuolar protein sorting 25 (yeast) [Source:MGI Symbol;Acc:MGI:106354] MTVMEAQESPLFNNVKLQRKLPVESIQIVLEELRKKGNLEWLDKNKSSFLIMWRRPEEWG KLIYQWVSRSGQNNSVFTLYELTSGEDTEDEEFHGLDEATLLRALQALQQEHKAEIITVS DGRGVKFF >ENSMUSP00000094937.2 pep:known chromosome:GRCm38:17:37231414:37232472:-1 gene:ENSMUSG00000058802.4 transcript:ENSMUST00000073667.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr97 description:olfactory receptor 97 [Source:MGI Symbol;Acc:MGI:2177480] MMNCSQAPGFILLGLSSNSEKWQPLFSIFLVLYLLGLLGNLLLLLAIGTDVHLHTPMYFF LSQLSLVDLCFITTTAPKMLETLWTGDGSISFSGCLTQLYFFAVFADMDNLLLAVMAIDR YAAICHPLLYPLLMTPCRCRVLVSGSWGVAHCVSLTHILLLSQLYFHTNQEIPHFFCDFG PLLLLSCSDAHLNESLMMALAGVLGISALLCIVSSYGCIFYAVAKVPSAQGKRKALATCS SHLSVVLLFYSTVFATYLKPPSSSRSSGEVVAAVMYTLVTPTLNPFIYSLRNKDVKSSLR RILNMVKSQD >ENSMUSP00000078336.5 pep:known chromosome:GRCm38:19:57032733:57216032:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000079360.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MPSLLGLKCLGKLCSSEIGKVPSPERASLRNSHRRLLIEDLSVPETPDPAHRRRGTVIHL VYLYSAGCGPPELRFSSYDPSVAHPQDPHHSSEKPVIHCHKCGEPCKGEVLRVQTKHFHI KCFTCKVCGCDLAQGGFFIKNGDYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPN CFACTICKRPFPPGDRVTFNGRDCLCQLCAQPMSSSPKEASCSSNCAGCGRDIKNGQALL ALDKQWHLGCFKCKSCGKVLTGEYISKDGSPYCEKDYQGLFGVKCEACHQFITGKVLEAG DKHYHPSCARCSRCNQMFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPPNIPRSSSDFFY PKSLIRRTGRSPALQLLSPPCLMNSNKNPRQPTRTSSESIYSRPGSSIPGSPGHTIYAKV DNEILDYKDLAAIPKVKAIYDIERPDLITYEPFYTSGYEDKQERQSLGESPRTLSPTPSA EGYQDVRDRMIHRSTSQGSINSPVYSRHSYTPTTSRSPQHFHRPELLSPGVHRWSPLRTS SFSSTHSDSRPNPPFRHHFLPHVKGNEPSSGRNSPLPYRPDSRPLTPTYAQAPKHFHVPD QGINIYRKPPIYKQHAALAAQSKASEDIIKFSKFPAAQAPDPNEIPKIETDHWPGPPSLA AVGTDPRRRSSGREEDEEELLRRRQLQEEQLMKLNSGLGQLILKEEMEKESRERASLASR YDSPLHSASHAPSSKTSSLPGYGKNGLHRPVSTDFAQYNSYGDISGGVRDYQTLPDGHMP AVRMDRGVSMPNMLEPKIFPYEMLMVTNRGRNKILRDVDRTRLERHLAPEVFWEIFGMSI QEFDKLPLWRRNDMKKKAKLF >ENSMUSP00000107154.1 pep:known chromosome:GRCm38:19:57032890:57119009:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000111529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPTRTSSESIYSRPGSSIPGSPGHTIYAKV DNEILDYKDLAAIPKVKAIYDIERPDLITYEPFYTSGYEDKQERQSLGESPRTLSPTPSA EGYQDVRDRMIHRSTSQGSINSPVYSRHSYTPTTSRSPQHFHRPDQGINIYRKPPIYKQH AALAAQSKASEDIIKFSKFPAAQAPDPNEIPKIETDHWPGPPSLAAVGTDPRRRSSGREE DEEELLRRRQLQEEQLMKLNSGLGQLILKEEMEKESRERASLASRYDSPLHSASHAPSSK TSSLPGYGKNGLHRPVSTDFAQYNSYGDISGGVRDYQTLPDGHMPAVRMDRGVSMPNMLE PKIFPYEMLMVTNRGRNKILRDVDRTRLERHLAPEVFWEIFGMSIQEFDKLPLWRRNDMK KKAKLF >ENSMUSP00000096897.2 pep:known chromosome:GRCm38:19:57033274:57197631:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000099294.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MVKEKVAHPQDPHHSSEKPVIHCHKCGEPCKGEVLRVQTKHFHIKCFTCKVCGCDLAQGG FFIKNGDYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPNCFACTICKRPFPPGDR VTFNGRDCLCQLCAQPMSSSPKEASCSSNCAGCGRDIKNGQALLALDKQWHLGCFKCKSC GKVLTGEYISKDGSPYCEKDYQGLFGVKCEACHQFITGKVLEAGDKHYHPSCARCSRCNQ MFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPTRTSSESIYSRPGSSIPGSPGHTIYAKV DNEILDYKDLAAIPKVKAIYDIERPDLITYEPFYTSGYEDKQERQSLGESPRTLSPTPSA EGYQDVRDRMIHRSTSQGSINSPVYSRHSYTPTTSRSPQHFHRPGNEPSSGRNSPLPYRP DSRPLTPTYAQAPKHFHVPDQGINIYRKPPIYKQHGTDPRRRSSGREEDEEELLRRRQLQ EEQLMKLNSGLGQLILKEEMEKESRERASLASRYDSPLHSASHAPSSKTSSLPGYGKNGL HRPVSTDFAQYNSYGDISGGVRDYQTLPDGHMPAVRMDRGVSMPNMLEPKIFPYEMLMVT NRGRNKILRDVDRTRLERHLAPEVFWEIFGMSIQEFDKLPLWRRNDMKKKAKLF >ENSMUSP00000107184.1 pep:known chromosome:GRCm38:19:57036283:57239329:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000111559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MPEMTAVVDPRHISEDCKVAHPQDPHHSSEKPVIHCHKCGEPCKGEVLRVQTKHFHIKCF TCKVCGCDLAQGGFFIKNGDYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPNCFA CTICKRPFPPGDRVTFNGRDCLCQLCAQPMSSSPKEASCSSNCAGCGRDIKNGQALLALD KQWHLGCFKCKSCGKVLTGEYISKDGSPYCEKDYQGLFGVKCEACHQFITGKVLEAGDKH YHPSCARCSRCNQMFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPTRTSSESIYSRPGSS IPGSPGHTIYAKVDNEILDYKDLAAIPKVKAIYDIERPDLITYEPFYTSGYEDKQERQSL GESPRTLSPTPSAEGYQDVRDRMIHRSTSQGSINSPVYSRHSYTPTTSRSPQHFHRPGNE PSSGRNSPLPYRPDSRPLTPTYAQAPKHFHVPDQGINIYRKPPIYKQHAALAAQSKASED IIKFSKFPAAQAPDPNEIPKIETDHWPGPPSLAAVGTDPRRRSSGREEDEEELLRRRQLQ EEQLMKLNSGLGQLILKEEMEKESRERASLASRYDSPLHSASHAPSSKTSSLPGYGKNGL HRPVSTDFAQYNSYGDISGGVRDYQTLPDGHMPAVRMDRGVSMPNMLEPKIFPYEMLMVT NRGRNKILRDVDRTRLERHLAPEVFWEIFGMSIQEFDKLPLWRRNDMKKKAKLF >ENSMUSP00000107153.1 pep:known chromosome:GRCm38:19:57036418:57119007:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000111528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPPNIPRSSSDFFYPKSLIRRTGRSPALQP TRTSSESIYSRPGSSIPGSPGHTIYAKVDNEILDYKDLAAIPKVKAIYDIERPDLITYEP FYTSGYEDKQERQSLGESPRTLSPTPSAEGYQDVRDRMIHRSTSQGSINSPVYSRHSYTP TTSRSPQHFHRPDQGINIYRKPPIYKQHAALAAQSKASEDIIKFSKFPAAQAPDPNEIPK IETDHWPGPPSLAAVGTDPRRRSSGREEDEEELLRRRQLQEEQLMKLNSGLGQLILKEEM EKESRERASLASRYDSPLHSASHAPSSKTSSLPGYGKNGLHRPVSTDFAQYNSYGDISGG VRDYQTLPDGHMPAVRMDRGVSMPNMLEPKIFPYEMLMVTNRGRNKILRDVDRTRLERHL APEVFWEIFGMSIQEFDKLPLWRRNDMKKKAKLF >ENSMUSP00000107151.1 pep:known chromosome:GRCm38:19:57036479:57118913:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000111526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRAKVDNEILDYKDLAAIPKVKAIYDIERPD LITYEPFYTSGYEDKQERQSLGESPRTLSPTPSAEGYQDVRDRMIHRSTSQGSINSPVYS RHSYTPTTSRSPQHFHRPDQGINIYRKPPIYKQHAALAAQSKASEDIIKFSKFPAAQAPD PNEIPKIETDHWPGPPSLAAVGTDPRRRSSGREEDEEELLRRRQLQEEQLMKLNSGLGQL ILKEEMEKESRERASLASRYDSPLHSASHAPSSKTSSLPGYGKNGLHRPVSTDFAQYNSY GDISGGVRDYQTLPDGHMPAVRMDRGVSMPNMLEPKIFPYEMLMVTNRGRNKILRDVDRT RLERHLAPEVFWEIFGMSIQEFDKLPLWRRNDMKKKAKLF >ENSMUSP00000107175.1 pep:known chromosome:GRCm38:19:57036684:57197456:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000111550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MVKEKVAHPQDPHHSSEKPVIHCHKCGEPCKGEVLRVQTKHFHIKCFTCKVCGCDLAQGG FFIKNGDYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPNCFACTICKRPFPPGDR VTFNGRDCLCQLCAQPMSSSPKEASCSSNCAGCGRDIKNGQALLALDKQWHLGCFKCKSC GKVLTGEYISKDGSPYCEKDYQGLFGVKCEACHQFITGKVLEAGDKHYHPSCARCSRCNQ MFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPPNIPRSSSDFFYPKSLIRRTGRSPALQP TRTSSESIYSRPGSSIPGSPGHTIYAKVDNEILDYKDLAAIPKVKAIYDIERPDLITYEP FYTSGYEDKQERQSLGESPRTLSPTPSAEGYQDVRDRMIHRSTSQGSINSPVYSRHSYTP TTSRSPQHFHRPGNEPSSGRNSPLPYRPDSRPLTPTYAQAPKHFHVPDQGINIYRKPPIY KQHGTDPRRRSSGREEDEEELLRRRQLQEEQLMKLNSGLGQLILKEEMEKESRERASLAS RYDSPLHSASHAPSSKTSSLPGYGKNGLHRPVSTDFAQYNSYGDISGGVRDYQTLPDGHM PAVRMDRGVSMPNMLEPKIFPYEMLMVTNRGRNKILRDVDRTRLERHLAPEVFWEIFGMS IQEFDKLPLWRRNDMKKKAKLF >ENSMUSP00000107183.1 pep:known chromosome:GRCm38:19:57036966:57239861:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000111558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MPEMTAVVDPRHISEDCKVAHPQDPHHSSEKPVIHCHKCGEPCKGEVLRVQTKHFHIKCF TCKVCGCDLAQGGFFIKNGDYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPNCFA CTICKRPFPPGDRVTFNGRDCLCQLCAQPMSSSPKEASCSSNCAGCGRDIKNGQALLALD KQWHLGCFKCKSCGKVLTGEYISKDGSPYCEKDYQGLFGVKCEACHQFITGKVLEAGDKH YHPSCARCSRCNQMFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPPNIPRSSSDFFYPKS LIRRTGRSPALQPTRTSSESIYSRPGSSIPGSPGHTIYAKVDNEILDYKDLAAIPKVKAI YDIERPDLITYEPFYTSGYEDKQERQSLGESPRTLSPTPSAEGYQDVRDRMIHRSTSQGS INSPVYSRHSYTPTTSRSPQHFHRPGNEPSSGRNSPLPYRPDSRPLTPTYAQAPKHFHVP DQGINIYRKPPIYKQHDAAALAAQSKASEDIIKFSKFPAAQAPDPNEIPKIETDHWPGPP SLAAVGTDPRRRSSGREEDEEELLRRRQLQEEQLMKLNSGLGQLILKEEMEKESRERASL ASRYDSPLHSASHAPSSKTSSLPGYGKNGLHRPVSTDFAQYNSYGDISGGVRDYQTLPDG HMPAVRMDRGVSMPNMLEPKIFPYEMLMVTNRGRNKILRDVDRTRLERHLAPEVFWEIFG MSIQEFDKLPLWRRNDMKKKAKLF >ENSMUSP00000107180.1 pep:known chromosome:GRCm38:19:57037004:57215965:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000111555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MPSLLGLKCLGKLCSSEIGKVPSPERASLRNSHRRLLIEDLSVPETPDPAHRRRGTVIHL VYLYSAGCGPPELRFSSYDPSVAHPQDPHHSSEKPVIHCHKCGEPCKGEVLRVQTKHFHI KCFTCKVCGCDLAQGGFFIKNGDYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPN CFACTICKRPFPPGDRVTFNGRDCLCQLCAQPMSSSPKEASCSSNCAGCGRDIKNGQALL ALDKQWHLGCFKCKSCGKVLTGEYISKDGSPYCEKDYQGLFGVKCEACHQFITGKVLEAG DKHYHPSCARCSRCNQMFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPTRTSSESIYSRP GSSIPGSPGHTIYAKVDNEILDYKDLAAIPKVKAIYDIERPDLITYEPFYTSGYEDKQER QSLGESPRTLSPTPSAEGYQDVRDRMIHRSTSQGSINSPVYSRHSYTPTTSRSPQHFHRP GNEPSSGRNSPLPYRPDSRPLTPTYAQAPKHFHVPDQGINIYRKPPIYKQHAALAAQSKA SEDIIKFSKFPAAQAPDPNEIPKIETDHWPGPPSLAAVGTDPRRRSSGREEDEEELLRRR QLQEEQLMKLNSGLGQLILKEEMEKESRERASLASRYDSPLHSASHAPSSKTSSLPGYGK NGLHRPVSTDFAQYNSYGDISGGVRDYQTLPDGHMPAVRMDRGVSMPNMLEPKIFPYEML MVTNRGRNKILRDVDRTRLERHLAPEVFWEIFGMSIQEFDKLPLWRRNDMKKKAKLF >ENSMUSP00000107172.1 pep:known chromosome:GRCm38:19:57037140:57197391:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000111546.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MVKEKVAHPQDPHHSSEKPVIHCHKCGEPCKGEVLRVQTKHFHIKCFTCKVCGCDLAQGG FFIKNGDYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPNCFACTICKRPFPPGDR VTFNGRDCLCQLCAQPMSSSPKEASCSSNCAGCGRDIKNGQALLALDKQWHLGCFKCKSC GKVLTGEYISKDGSPYCEKDYQGLFGVKCEACHQFITGKVLEAGDKHYHPSCARCSRCNQ MFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPTRTSSESIYSRPGSSIPGSPGHTIYAKV DNEILDYKDLAAIPKVKAIYDIERPDLITYEPFYTSGYEDKQERQSLGESPRTLSPTPSA EGYQDVRDRMIHRSTSQGSINSPVYSRHSYTPTTSRSPQHFHRPGNEPSSGRNSPLPYRP DSRPLTPTYAQAPKHFHVPDQGINIYRKPPIYKQHAALAAQSKASEDIIKFSKFPAAQAP DPNEIPKIETDHWPGPPSLAAVGTDPRRRSSGREEDEEELLRRRQLQEEQLMKLNSGLGQ LILKEEMEKESRERASLASRYDSPLHSASHAPSSKTSSLPGYGKNGLHRPVSTDFAQYNS YGDISGGVRDYQTLPDGHMPAVRMDRGVSMPNMLEPKIFPYEMLMVTNRGRNKILRDVDR TRLERHLAPEVFWEIFGMSIQEFDKLPLWRRNDMKKKAKLF >ENSMUSP00000107169.1 pep:known chromosome:GRCm38:19:57037182:57197496:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000111544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MVKEKVAHPQDPHHSSEKPVIHCHKCGEPCKGEVLRVQTKHFHIKCFTCKVCGCDLAQGG FFIKNGDYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPNCFACTICKRPFPPGDR VTFNGRDCLCQLCAQPMSSSPKEASCSSNCAGCGRDIKNGQALLALDKQWHLGCFKCKSC GKVLTGEYISKDGSPYCEKDYQGLFGVKCEACHQFITGKVLEAGDKHYHPSCARCSRCNQ MFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPTRTSSESIYSRPGSSIPGSPGHTIYAKV DNEILDYKDLAAIPKVKAIYDIERPDLITYEPFYTSGYEDKQERQSLGESPRTLSPTPSA EGYQDVRDRMIHRSTSQGSINSPVYSRHSYTPTTSRSPQHFHRPDQGINIYRKPPIYKQH DAAALAAQSKASEDIIKFSKFPAAQAPDPNEIPKIETDHWPGPPSLAAVGTDPRRRSSGR EEDEEELLRRRQLQEEQLMKLNSGLGQLILKEEMEKESRERASLASRYDSPLHSASHAPS SKTSSLPGYGKNGLHRPVSTDFAQYNSYGDISGGVRDYQTLPDGHMPAVRMDRGVSMPNM LEPKIFPYEMLMVTNRGRNKILRDVDRTRLERHLAPEVFWEIFGMSIQEFDKLPLWRRND MKKKAKLF >ENSMUSP00000107149.1 pep:known chromosome:GRCm38:19:57130606:57314911:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000111524.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MSTRVAHPQDPHHSSEKPVIHCHKCGEPCKGEVLRVQTKHFHIKCFTCKVCGCDLAQGGF FIKNGDYLCTLDYQRMYGTRCHGCGEFVEGEVVTALGKTYHPNCFACTICKRPFPPGDRV TFNGRDCLCQLCAQPMSSSPKEASCSSNCAGCGRDIKNGQALLALDKQWHLGCFKCKSCG KVLTGEYISKDGSPYCEKDYQGLFGVKCEACHQFITGKVLEAGVTEASRTWSHIDLRWQG RSEELRAWRHSIQSLRHQSAREWFALSA >ENSMUSP00000117798.1 pep:known chromosome:GRCm38:19:57130846:57182308:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000133369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] XTLQLAPANAGSCFHLPVWMRMLEAPGGVAHPQDPHHSSEKPVIHCHKCGEPCKGEVLRV QTKHFHIKCFTCKVCGCDLAQGGFFIKNGDYLCTLDYQRMYGTRCHGCGEFVEGEVVTAL GKTYHPNCFACTICKRPFPPGDRVTFNGRDCLCQLCAQPMSSSPKEASCSSNCAGCGRDI KNGQALLALDKQWHLGCFKCKSCGKVLTGEYISKDGSPYCEKDYQGLFGVKCEACHQFIT GKVLEAGVTEASRTWSHIDLRWQGRSEELRAWRHSIQSLRHQSAREWFALSA >ENSMUSP00000127818.1 pep:known chromosome:GRCm38:19:57033267:57119009:-1 gene:ENSMUSG00000025085.16 transcript:ENSMUST00000104902.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim1 description:actin-binding LIM protein 1 [Source:MGI Symbol;Acc:MGI:1194500] MFTEGEEMYLQGSTVWHPDCKQSTKTEEKLRPPNIPRSSSDFFYPKSLIRRTGRSPALQL LSPPCLMNSNKNPRQPTRTSSESIYSRPGSSIPGSPGHTIYAKVDNEILDYKDLAAIPKV KAIYDIERPDLITYEPFYTSGYEDKQERQSLGESPRTLSPTPSAEGYQDVRDRMIHRSTS QGSINSPVYSRHSYTPTTSRSPQHFHRPELLSPGVHRWSPLRTSSFSSTHSDSRPNPPFR HHFLPHVKGNEPSSGRNSPLPYRPDSRPLTPTYAQAPKHFHVPDQGINIYRKPPIYKQHA ALAAQSKASEDIIKFSKFPAAQAPDPNEIPKIETDHWPGPPSLAAVGTDPRRRSSGREED EEELLRRRQLQEEQLMKLNSGLGQLILKEEMEKESRERASLASRYDSPLHSASHAPSSKT SSLPGYGKNGLHRPVSTDFAQYNSYGDISGGVRDYQTLPDGHMPAVRMDRGVSMPNMLEP KIFPYEMLMVTNRGRNKILRDVDRTRLERHLAPEVFWEIFGMSIQEFDKLPLWRRNDMKK KAKLF >ENSMUSP00000139021.1 pep:known chromosome:GRCm38:1:119526160:119528983:1 gene:ENSMUSG00000098923.1 transcript:ENSMUST00000183952.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem185b description:transmembrane protein 185B [Source:MGI Symbol;Acc:MGI:1917634] MNPRGLFQDFNPSKFLIYACLLLFSVLLPLRLDGIIQWSYWAVFAPIWLWKLLVIVGASV GAGVWARNPRYRTEGEACVEFKAMLIAVGIHLLLLMFEILVCDRVERGTHFWLLVFMPLF FVSPVSVAACVWGFRHDRSLELEILCSVNILQFIFIALRLDRIIHWPWLVVFVPLWILMS FLCLVVLYYIVWSLLFLRSLDVVAEQRRTHVTMAISWITIVVPLLIFEVLLVHRLDDHNT FSYISIFIPLWLSLLTLMATTFRRKGGNHWWFGIRRDFCQFLLEVFPFLREYGNISYDLH HEDSEDAEDASVSEAPKIAPMFGKKARVVITQSPGKYVPPPPKLNIDMPD >ENSMUSP00000077021.6 pep:known chromosome:GRCm38:7:26757142:26772630:1 gene:ENSMUSG00000066704.6 transcript:ENSMUST00000077855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2b19 description:cytochrome P450, family 2, subfamily b, polypeptide 19 [Source:MGI Symbol;Acc:MGI:107303] MEFSVLLLLALTTGFLIFLVSQSQPKTHGHFPPGPRPLPFLGNLLQMDRRGLLSSFIQLQ EKYGDVFTVHLGPRPVVMLCGTDTIREALVNQAEAFSGRGTVAVLDPIVQGYGVIFSSGE RWKTLRRFSLATMRDFGMGKRSVEERIKEEAQCLVEELKKYKGAPLNPTFYFQCIVANII CSIVFGERFDYKDHQFLHLLNLIYQTFSLMSSLSSQVFELFSAILKYFPGAHRQISKNLQ EILDYIGHSVEKHRATLDPSAPRDFIDTYLLRMEKEKSNHHTEFHHQNLVISVLSLFFAG TETTSTTLRYSFLIMLKYPHVAEKVQKEIDQVIGSHRLPTLDDRTKMPYTDAVIHEIQRF TDLAPIGLPHKVTKDTLFRGYLIPKNTEVYPILSSALHDPRYFEQPDSFNPEHFLDANGA LKTNEAFMPFSTGKRICLGEGIARNELFLFFTTILQNFSLASPVAPENIDLIPNNSGATK TPPQYQIHFLSR >ENSMUSP00000025236.2 pep:known chromosome:GRCm38:18:33199355:33213862:-1 gene:ENSMUSG00000024378.9 transcript:ENSMUST00000025236.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard4 description:StAR-related lipid transfer (START) domain containing 4 [Source:MGI Symbol;Acc:MGI:2156764] MADPESPWSQIGRKIKLEGLSDVASISTKLQNTLIQYHSIEEDEWRVAKKAKDVTVWRKP SEEFNGYLYKAQGVMDDVVNNVIDHIRPGPWRLDWDRLMTSLDVLEHFEENCCVMRYTTA GQLLNIISPREFVDFSYTVGYEEGLLSCGVSVEWSETRPEFVRGYNHPCGWFCVPLKDSP SQSLLTGYIQTDLRGMIPQSAVDTAMASTLANFYSDLRKGLRKA >ENSMUSP00000114109.1 pep:known chromosome:GRCm38:18:33203405:33213766:-1 gene:ENSMUSG00000024378.9 transcript:ENSMUST00000119991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard4 description:StAR-related lipid transfer (START) domain containing 4 [Source:MGI Symbol;Acc:MGI:2156764] MADPESPWSQIGRKIKLEGLSDVASISTKLQNTLIQYHSIEEDEWRVAKKAKDVTVWRKP SEEFNGYLYKAQGVMDDVVNNVIDHIRPGPWRLDWDRLMTSLDVLEHFEEYHRHLGKRSP LPEHCRHPILMREDCECQFSRDMDLV >ENSMUSP00000114131.1 pep:known chromosome:GRCm38:18:33203599:33213772:-1 gene:ENSMUSG00000024378.9 transcript:ENSMUST00000118990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard4 description:StAR-related lipid transfer (START) domain containing 4 [Source:MGI Symbol;Acc:MGI:2156764] MADPESPWSQIGRKIKLEGLSDVASISTKLQNTLIQYHSIEEDEWRVAKKAKDVTVWRKP SEEFNGYLYKAQGVMDDVVNNVIDHIRPGPWRLDWDRLMTSLDVLEHFEEV >ENSMUSP00000123396.1 pep:known chromosome:GRCm38:3:123076519:123112810:-1 gene:ENSMUSG00000050315.13 transcript:ENSMUST00000139160.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo2 description:synaptopodin 2 [Source:MGI Symbol;Acc:MGI:2153070] XNTLDVMKHQPYQLNASLFTFQPPDSKDGLPQKSTVKENGRSLSLPGRSAPPIISASPWL YQSACNYSSKPTFELEKANKRPTPWEAAARSPLGLVDDAFRPRNIQESIVAHVVSAARRK VSPGSQEDWKERLSFVPQTQKTNMSFSERQEYPAPSPVNSHVSSHSLYSSQLPYVCYRQE SRNDLKTMSMETRSEYCLPLGGYDYNPHPRGWRQQP >ENSMUSP00000102034.1 pep:known chromosome:GRCm38:3:123076519:123236096:-1 gene:ENSMUSG00000050315.13 transcript:ENSMUST00000106426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo2 description:synaptopodin 2 [Source:MGI Symbol;Acc:MGI:2153070] MGTGDFICISMTGGAPWGFRLQGGKEEQQPLQVAKIRSQSKASGSGLREGDEVVSINGNP CADLTYPEVIKLMEGITDSLHLLVKRPSSGTSETLDSESETTNHQHLTHEGPMESTTLQI QQATETQSEDFFLAPVQTKVPLTEDQSNAWGYAECPKEEQAPPMLGSQEGHLVEEVILRQ KAEAGQPGHVVELQLSLSKERHQCTSGPIVTLQGNDKSTSPDPDWSSQLERTVHINSIPA PEKADTSLTSSTSSGRELRVIQGRDPGGAGLPQVEVILDCSDRLKAEECRLQTGRGCVAS PVEGGRSEAPPSLVSFAVSSEGTEHGEDQRSGKDQSRPHKHRARHARLRRSESLSEKQVK EAKSKCKSIALLLTDAPNPNSKGVLMFKKRRRRARKYTLVSYGTGELEREEEEEEDQEAG DKDEISEVAFLGTSESEVDEELLSDVDDNTQVVNFDWDSGLVDIEKRLNRGDKMEMLPDT TGKGALMFAKRRERMEQFTAQNEEEKTGGMAGGGPDALQTDGLRTMTSYQRKEESVRMQS SVSESSFQMGRSLASVPQQNGFSGVSETAGAQRMFPMNRTAKPFLGSMNQPAAPFSPTRS VTSPISDFPAPPPYSAVSPPPEAFSRGVSSPVAGPAQPPPWPQPAPWSQPAFYDSSEQIA SRDERIAVPAKRTGILQEAKRRGTTKPMFTFKETKVSPNPELLSLLQNAEGKRGTGGDSG PEEDYLSLGAEACNFMQSSAKQKTPPPVAPKPAVKSPSSSQPVAPVSPVWSPGVAPAQRP AFSTSNPPNPPQVTAVSSIKIAQPAAPPARPASALNLAGPFKGPQAVVVSHNYTPKPSAP TPLVNAAPAGAGGPSNELPGMSGKGAQLFAKRQSRMEKYVVDSDTVQAHTVRAQSPTPSL PASWKYSSNVRAPPPVAYNPIHSPSYPLAAIKSQPPGAQASKTSKKKGKKPLNTLDVMKH QPYQLNASLFTFQPPDSKDGLPQKSTVKVSSAPAMKQALPPRQANVGSPTNAQASSVYSV PAYTSQPNFFAAEATSPVSASPVPVSVPTSPKQESTSTSYFVAPRPKFSAKKSGVTVQEN GRSLSLPGRSAPPIISASPWLYQSACNYSSKPTFELEKANKRPTPWEAAARSPLGLVDDA FRPRNIQESIVAHVVSAARRKVSPGSQEDWKERLSFVPQTQKTNMSFSERQEYPAPSPVN SHVSSHSLYSSQLPYVCYRQESRNDLKTMSMETRSEYCLPLGGYDYNPHPRGWRQQP >ENSMUSP00000102035.1 pep:known chromosome:GRCm38:3:123076519:123236147:-1 gene:ENSMUSG00000050315.13 transcript:ENSMUST00000106427.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo2 description:synaptopodin 2 [Source:MGI Symbol;Acc:MGI:2153070] MGTGDFICISMTGGAPWGFRLQGGKEEQQPLQVAKIRSQSKASGSGLREGDEVVSINGNP CADLTYPEVIKLMEGITDSLHLLVKRPSSGTSETLDSESETTNHQHLTHEGPMESTTLQI QQATETQSEDFFLAPVQTKVPLTEDQSNAWGYAECPKEEQAPPMLGSQEGHLVEEVILRQ KAEAGQPGHVVELQLSLSKERHQCTSGPIVTLQGNDKSTSPDPDWSSQLERTVHINSIPA PEKADTSLTSSTSSGRELRVIQGRDPGGAGLPQVEVILDCSDRLKAEECRLQTGRGCVAS PVEGGRSEAPPSLVSFAVSSEGTEHGEDQRSGKDQSRPHKHRARHARLRRSESLSEKQVK EAKSKCKSIALLLTDAPNPNSKGVLMFKKRRRRARKYTLVSYGTGELEREEEEEEDQEAG DKDEISEVAFLGTSESEVDEELLSDVDDNTQVVNFDWDSGLVDIEKRLNRGDKMEMLPDT TGKGALMFAKRRERMEQFTAQNEEEKTGGMAGGGPDALQTDGLRTMTSYQRKEESVRMQS SVSESSFQMGRSLASVPQQNGFSGVSETAGAQRMFPMNRTAKPFLGSMNQPAAPFSPTRS VTSPISDFPAPPPYSAVSPPPEAFSRGVSSPVAGPAQPPPWPQPAPWSQPAFYDSSEQIA SRDERIAVPAKRTGILQEAKRRGTTKPMFTFKETKVSPNPELLSLLQNAEGKRGTGGDSG PEEDYLSLGAEACNFMQSSAKQKTPPPVAPKPAVKSPSSSQPVAPVSPVWSPGVAPAQRP AFSTSNPPNPPQVTAVSSIKIAQPAAPPARPASALNLAGPFKGPQAVVVSHNYTPKPSAP TPLVNAAPAGAGGPSNELPGMSGKGAQLFAKRQSRMEKYVVDSDTVQAHTVRAQSPTPSL PASWKYSSNVRAPPPVAYNPIHSPSYPLAAIKSQPPGAQASKTSKKKGKKPLNTLDVMKH QPYQLNASLFTFQPPDSKDGLPQKSTVKVSSAPAMKQALPPRQANVGSPTNAQASSVYSE NGRSLSLPGRSAPPIISASPWLYQSACNYSSKPTFELEKANKRPTPWEAAARSPLGLVDD AFRPRNIQESIVAHVVSAARRKVSPGSQEDWKERLSFVPQTQKTNMSFSERQEYPAPSPV NSHVSSHSLYSSQLPYVCYRQESRNDLKTMSMETRSEYCLPLGGYDYNPHPRGWRQQP >ENSMUSP00000142508.1 pep:known chromosome:GRCm38:3:123106603:123236147:-1 gene:ENSMUSG00000050315.13 transcript:ENSMUST00000198584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo2 description:synaptopodin 2 [Source:MGI Symbol;Acc:MGI:2153070] MGTGDFICISMTGGAPWGFRLQGGKEEQQPLQVAKIRSQSKASGSGLREGDEVVSINGNP CADLTYPEVIKLMEGITDSLHLLVKRPSSGTSETLDSESETTNHQHLTHEGPMESTTLQI QQATETQSEDFFLAPVQTKVPLTEDQSNAWGYAECPKEEQAPPMLGSQEGHLVEEVILRQ KAEAGQPGHVVELQLSLSKERHQCTSGPIVTLQGNDKSTSPDPDWSSQLERTVHINSIPA PEKADTSLTSSTSSGRELRVIQGRDPGGAGLPQVEVILDCSDRLKAEECRLQTGRGCVAS PVEGGRSEAPPSLVSFAVSSEGTEHGEDQRSGKDQSRPHKHRARHARLRRSESLSEKQVK EAKSKCKSIALLLTDAPNPNSKGVLMFKKRRRRARKYTLVSYGTGELEREEEEEEDQEAG DKDEISEVAFLGTSESEVDEELLSDVDDNTQVVNFDWDSGLVDIEKRLNRGDKMEMLPDT TGKGALMFAKRRERMEQFTAQNEEEKTGGMAGGGPDALQTDGLRTMTSYQRKEESVRMQS SVSESSFQMGRSLASVPQQNGFSGVSETAGAQRMFPMNRTAKPFLGSMNQPAAPFSPTRS VTSPISDFPAPPPYSAVSPPPEAFSRGVSSPVAGPAQPPPWPQPAPWSQPAFYDSSEQIA SRDERIAVPAKRTGILQEAKRRGTTKPMFTFKETKVSPNPELLSLLQNAEGKRGTGGDSG PEEDYLSLGAEACNFMQSSAKQKTPPPVAPKPAVKSPSSSQPVAPVSPVWSPGVAPAQRP AFSTSNPPNPPQVTAVSSIKIAQPAAPPARPASALNLAGPFKGPQAVVVSHNYTPKPSAP TPLVNAAPAGAGGPSNELPGMSGKGAQLFAKRQSRMEKYVVDSDTVQAHTVRAQSPTPSL PASWKYSSNVRAPPPVAYNPIHSPSYPLAAIKSQPPGAQASKTSKKKGKKPLNTLDVMKH QPYQLNASLFTFQPPDSKDGLPQKSTVKVSSAPAMKQALPPRQANVGSPTNAQASSVYSV PAYTSQPNFFAAEATSPVSASPVPVSVPTSPKQESTSTSYFVAPRPKFSAKKSGVTVQVW KPSVVEE >ENSMUSP00000142426.1 pep:known chromosome:GRCm38:3:123108683:123112986:-1 gene:ENSMUSG00000050315.13 transcript:ENSMUST00000184590.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo2 description:synaptopodin 2 [Source:MGI Symbol;Acc:MGI:2153070] QSPTPSLPASWKYSSNVRAPPPVAYNPIHSPSYPLAAIKSQPPGAQASKTSKKKGKKPLN TLDVMKHQPYQLNASLFTFQPPDSKDGLPQKSTVKVSSAPAMKQALPPRQANVGSPTNAQ ASSVYSVPAYTSQPNFFAAEATSPVSASPVPVSVPTSPKQESTSTSYFVAPRPKFSAKKS GVTVQ >ENSMUSP00000051570.6 pep:known chromosome:GRCm38:3:123110957:123114675:-1 gene:ENSMUSG00000050315.13 transcript:ENSMUST00000051443.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo2 description:synaptopodin 2 [Source:MGI Symbol;Acc:MGI:2153070] MIPCSHQFSTICVLSPGLRRSESLSEKQVKEAKSKCKSIALLLTDAPNPNSKGVLMFKKR RRRARKYTLVSYGTGELEREEEEEEDQEAGDKDEISEVAFLGTSESEVDEELLSDVDDNT QVVNFDWDSGLVDIEKRLNRGDKMEMLPDTTGKGALMFAKRRERMEQFTAQNEEEKTGGM AGGGPDALQTDGLRTMTSYQRKEESVRMQSSVSESSFQMGRSLASVPQQNGFSGVSETAG AQRMFPMNRTAKPFLGSMNQPAAPFSPTRSVTSPISDFPAPPPYSAVSPPPEAFSRGVSS PVAGPAQPPPWPQPAPWSQPAFYDSSEQIASRDERIAVPAKRTGILQEAKRRGTTKPMFT FKETKVSPNPELLSLLQNAEGKRGTGGDSGPEEDYLSLGAEACNFMQSSAKQKTPPPVAP KPAVKSPSSSQPVAPVSPVWSPGVAPAQRPAFSTSNPPNPPQVTAVSSIKIAQPAAPPAR PASALNLAGPFKGPQAVVVSHNYTPKPSAPTPLVNAAPAGAGGPSNELPGMSGKGAQLFA KRQSRMEKYVVDSDTVQAHTVRAQSPTPSLPASWKYSSNVRAPPPVAYNPIHSPSYPLAA IKSQPPGAQASKTSKKKGKKPLNTLDVMKHQPYQLNASLFTFQPPDSKDGLPQKSTVKVS SAPAMKQALPPRQANVGSPTNAQASSVYSVPAYTSQPNFFAAEATSPVSASPVPVSVPTS PKQESTSTSYFVAPRPKFSAKKSGVTVQVWKPSVVEE >ENSMUSP00000063217.8 pep:known chromosome:GRCm38:12:21111748:21270171:1 gene:ENSMUSG00000052632.15 transcript:ENSMUST00000064595.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap2 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain 2 [Source:MGI Symbol;Acc:MGI:2685438] MPDQISVSEFVAETHEDYKAPTASSFTTRTAQCRNTVAAIEEALDVDRMVLYKMKKSVKA INISGLAHVENEEQYTQALEKFGGNCVCRDDPDLGSAFLKFSVFTKELTALFKNLIQNMN NIISFPLDSLLKGDLKGVKGDLKKPFDKAWKDYETKITKIEKEKKEHAKLHGMIRTEISG AEIAEEMEKERRFFQLQMCEYLLKVNEIKVKKGVDLLQNLIKYFHAQCNFFQDGLKAVES LKPSIETLSTDLHTAQDEERRQLIQLRDILKSALQVEQKESRRDSQLRQSTAYSLHQPQG NKEHGTERNGNLYKKSDGIRKVWQKRKCSVKNGFLTISHGTANRPPAKLNLLTCQVKTNP EEKKCFDLISHDRTYHFQAEDEQECQIWMSVLQNSKEEALNNAFKGDDNTGENNIVQELT KEIISEVQRMTGNDVCCDCGAPDPTWLSTNLGILTCIECSGIHRELGVHYSRMQSLTLDV LGTSELLLAKNIGNAGFNEIMECCLPSEDPVKPNPGSDMIARKDYITAKYMERRYARKKH ADTAAKLHSLCEAVKTRDIFGLLQAYADGVDLTEKIPLANGHEPDETALHLAVRSVDRTS LHIVDFLVQNSGNLDKQTGKGSTALHYCCLTDNAECLKLLLRGKASIEIANESGETPLDI AKRLKHEHCEELLTQALSGRFNSHVHVEYEWRLLHEDLDESDDDVDEKLQPSPNRREDRP VSFYQLGSSQFQSNAVSLARDTANLTKDKQRGFGPSILQNETYGAILSGSPPSSQSIPPS TTSAPPLPPRNVGKVQTATSANTLWKTNSVGVDGISRQRSSSDLPAVHPPLPPLRVTSTN PLTTTPPPPVAKTSGTLEAMNQPSKSSQPGTSQSKPPPLPPQPPSRLPQKKPASGTDKPT PLTNKGQPRGPEASGPLSNAMALQPPAPMPRKSQATKSKPKRVKALYNCVADNPDELTFS EGDVIIVDGEEDQEWWIGHIDGEPSRKGAFPVSFVHFIAD >ENSMUSP00000099098.4 pep:known chromosome:GRCm38:12:21111748:21270171:1 gene:ENSMUSG00000052632.15 transcript:ENSMUST00000101562.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap2 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain 2 [Source:MGI Symbol;Acc:MGI:2685438] MPDQISVSEFVAETHEDYKAPTASSFTTRTAQCRNTVAAIEEALDVDRMVLYKMKKSVKA INISGLAHVENEEQYTQALEKFGGNCVCRDDPDLGSAFLKFSVFTKELTALFKNLIQNMN NIISFPLDSLLKGDLKGVKGDLKKPFDKAWKDYETKITKIEKEKKEHAKLHGMIRTEISG AEIAEEMEKERRFFQLQMCEYLLKVNEIKVKKGVDLLQNLIKYFHAQCNFFQDGLKAVES LKPSIETLSTDLHTIKQAQDEERRQLIQLRDILKSALQVEQKESRRDSQLRQSTAYSLHQ PQGNKEHGTERNGNLYKKSDGIRKVWQKRKCSVKNGFLTISHGTANRPPAKLNLLTCQVK TNPEEKKCFDLISHDRTYHFQAEDEQECQIWMSVLQNSKEEALNNAFKGDDNTGENNIVQ ELTKEIISEVQRMTGNDVCCDCGAPDPTWLSTNLGILTCIECSGIHRELGVHYSRMQSLT LDVLGTSELLLAKNIGNAGFNEIMECCLPSEDPVKPNPGSDMIARKDYITAKYMERRYAR KKHADTAAKLHSLCEAVKTRDIFGLLQAYADGVDLTEKIPLANGHEPDETALHLAVRSVD RTSLHIVDFLVQNSGNLDKQTGKGSTALHYCCLTDNAECLKLLLRGKASIEIANESGETP LDIAKRLKHEHCEELLTQALSGRFNSHVHVEYEWRLLHEDLDESDDDVDEKLQPSPNRRE DRPVSFYQLGSSQFQSNAVSLARDTANLTKDKQRGFGPSILQNETYGAILSGSPPSSQSI PPSTTSAPPLPPRNVGKDPLTTTPPPPVAKTSGTLEAMNQPSKSSQPGTSQSKPPPLPPQ PPSRLPQKKPASGTDKPTPLTNKGQPRGPEASGPLSNAMALQPPAPMPRKSQATKSKPKR VKALYNCVADNPDELTFSEGDVIIVDGEEDQEWWIGHIDGEPSRKGAFPVSFVHFIAD >ENSMUSP00000088344.6 pep:known chromosome:GRCm38:12:21111756:21270171:1 gene:ENSMUSG00000052632.15 transcript:ENSMUST00000090834.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap2 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain 2 [Source:MGI Symbol;Acc:MGI:2685438] MPDQISVSEFVAETHEDYKAPTASSFTTRTAQCRNTVAAIEEALDVDRMVLYKMKKSVKA INISGLAHVENEEQYTQALEKFGGNCVCRDDPDLGSAFLKFSVFTKELTALFKNLIQNMN NIISFPLDSLLKGDLKGVKGDLKKPFDKAWKDYETKITKIEKEKKEHAKLHGMIRTEISG AEIAEEMEKERRFFQLQMCEYLLKVNEIKVKKGVDLLQNLIKYFHAQCNFFQDGLKAVES LKPSIETLSTDLHTIKQAQDEERRQLIQLRDILKSALQVEQKEDSQLRQSTAYSLHQPQG NKEHGTERNGNLYKKSDGIRKVWQKRKCSVKNGFLTISHGTLAKNIGNAGFNEIMECCLP SEDPVKPNPGSDMIARKDYITAKYMERRYARKKHADTAAKLHSLCEAVKTRDIFGLLQAY ADGVDLTEKIPLANGHEPDETALHLAVRSVDRTSLHIVDFLVQNSGNLDKQTGKGSTALH YCCLTDNAECLKLLLRGKASIEIANESGETPLDIAKRLKHEHCEELLTQALSGRFNSHVH VEYEWRLLHEDLDESDDDVDEKLQPSPNRREDRPVSFYQLGSSQFQSNAVSLARDTANLT KDKQRGFGPSILQNETYGAILSGSPPSSQSIPPSTTSAPPLPPRNVGKDPLTTTPPPPVA KTSGTLEAMNQPSKSSQPGTSQSKPPPLPPQPPSRLPQKKPASGTDKPTPLTNKGQPRGP EASGPLSNAMALQPPAPMPRKSQATKSKPKRVKALYNCVADNPDELTFSEGDVIIVDGEE DQEWWIGHIDGEPSRKGAFPVSFVHFIAD >ENSMUSP00000054631.9 pep:known chromosome:GRCm38:12:21111954:21268179:1 gene:ENSMUSG00000052632.15 transcript:ENSMUST00000050990.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap2 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain 2 [Source:MGI Symbol;Acc:MGI:2685438] MPDQISVSEFVAETHEDYKAPTASSFTTRTAQCRNTVAAIEEALDVDRMVLYKMKKSVKA INISGLAHVENEEQYTQALEKFGGNCVCRDDPDLGSAFLKFSVFTKELTALFKNLIQNMN NIISFPLDSLLKGDLKGVKGDLKKPFDKAWKDYETKITKIEKEKKEHAKLHGMIRTEISG AEIAEEMEKERRFFQLQMCEYLLKVNEIKVKKGVDLLQNLIKYFHAQCNFFQDGLKAVES LKPSIETLSTDLHTIKQAQDEERRQLIQLRDILKSALQVEQKEDSQLRQSTAYSLHQPQG NKEHGTERNGNLYKKSDGIRKVWQKRKCSVKNGFLTISHGTANRPPAKLNLLTCQVKTNP EEKKCFDLISHDRTYHFQAEDEQECQIWMSVLQNSKEEALNNAFKGDDNTGENNIVQELT KEIISEVQRMTGNDVCCDCGAPDPTWLSTNLGILTCIECSGIHRELGVHYSRMQSLTLDV LGTSELLLAKNIGNAGFNEIMECCLPSEDPVKPNPGSDMIARKDYITAKYMERRYARKKH ADTAAKLHSLCEAVKTRDIFGLLQAYADGVDLTEKIPLANGHEPDETALHLAVRSVDRTS LHIVDFLVQNSGNLDKQTGKGSTALHYCCLTDNAECLKLLLRGKASIEIANESGETPLDI AKRLKHEHCEELLTQALSGRFNSHVHVEYEWRLLHEDLDESDDDVDEKLQPSPNRREDRP VSFYQLGSSQFQSNAVSLARDTANLTKDKQRGFGPSILQNETYGAILSGSPPSSQSIPPS TTSAPPLPPRNVGKDPLTTTPPPPVAKTSGTLEAMNQPSKSSQPGTSQSKPPPLPPQPPS RLPQKKPASGTDKPTPLTNKGQPRGPEASGPLSNAMALQPPAPMPRKSQATKSKPKRVKA LYNCVADNPDELTFSEGDVIIVDGEEDQEWWIGHIDGEPSRKGAFPVSFVHFIAD >ENSMUSP00000145928.1 pep:known chromosome:GRCm38:7:24254794:24262375:1 gene:ENSMUSG00000030486.9 transcript:ENSMUST00000206777.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp108 description:zinc finger protein 108 [Source:MGI Symbol;Acc:MGI:1891198] MTKLQEAVTFRDVAVVFSKEELGLLDAAQRKLYHDVMLENFRNLLAVGGQSPNKIETLHA MGVRCLSLERLPCWQMTSHDVNKLARTPEDGINTQGKGSHFLEPCHKGAEKPPWASEDDG CLERLTSNHSGITESQEFLSGGAQSSSSKSHPSERHDQWKHCPQTWVKNKAQLSALSADV LSCISHQDNNILHKRDKVPSSGDCDQVINPMSSLTQDCDYTQQKAYQCSKGQEVFSDSPS LELHQQTLLGKKSPVHSTHKDTRQSPSVLIQPSVHLGTKRYWCHECGKGFSHSSTLQTHQ RVHTGEKPYRCDSCGKGFSRSSDLNIHRRVHTGEKLYKCEVCGKGFTKWDHLQIHERIHT GEKPYKCGDCGKRFSCSWNLHTHQRVHTEEKPYECNECGKRFSLSFNLHSHQRVHTGEKP YKCEECGKDFSSASSFQRHQRVHTGEKPFVCSVCGKGFSQSSNFQAHQRVHTREKPYRCD VCGKHFTWSLHNHQRVHTGEKPYMCVECGKGFSHASSLQAHQSVHTGERPFKCNVCQKRF RQASILQDHERVHTGEKPYKCDTCGKVFSQRSGLQVHQRIHTGEKPFKCEECGKEFRWNS GLSSHQRVHTAEKPYMCQQCGKGFSQASHFHTHLKMHTTERI >ENSMUSP00000145844.1 pep:known chromosome:GRCm38:7:24255040:24262445:1 gene:ENSMUSG00000030486.9 transcript:ENSMUST00000205982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp108 description:zinc finger protein 108 [Source:MGI Symbol;Acc:MGI:1891198] MTKLQEAVTFRDVAVVFSKEELGLLDAAQRKLYHDVMLENFRNLLAVGGQSPNKIETLHA MGVRCLSLERLPCWQMTSHDVNKLARTPEDGINTQGKGSHFLEPCHKGAEKPPWASEDDG CLERLTSNHSGITESQEFLSGGAQSSSSKSHPSERHDQWKHCPQTWVKNKAQLSALSADV LSCISHQDNNILHKRDKVPSSGDCDQVINPMSSLTQDCDYTQQKAYQCSKGQEVFSDSPS LELHQQTLLGKKSPVHSTHKDTRQSPSVLIQPSVHLGTKRYWCHECGKGFSHSSTLQTHQ RVHTGEKPYRCDSCGKGFSRSSDLNIHRRVHTGEKLYKCEVCGKGFTKWDHLQIHERIHT GEKPYKCGDCGKRFSCSWNLHTHQRVHTEEKPYECNECGKRFSLSFNLHSHQRVHTGEKP YKCEECGKDFSSASSFQRHQRVHTGEKPFVCSVCGKGFSQSSNFQAHQRVHTREKPYRCD VCGKHFTWSLHNHQRVHTGEKPYMCVECGKGFSHASSLQAHQSVHTGERPFKCNVCQKRF RQASILQDHERVHTGEKPYKCDTCGKVFSQRSGLQVHQRIHTGEKPFKCEECGKEFRWNS GLSSHQRVHTAEKPYMCQQCGKGFSQASHFHTHLKMHTTERI >ENSMUSP00000072496.6 pep:known chromosome:GRCm38:7:24257644:24261914:1 gene:ENSMUSG00000030486.9 transcript:ENSMUST00000072713.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp108 description:zinc finger protein 108 [Source:MGI Symbol;Acc:MGI:1891198] MTRKQEAVTFRDVAVVFSKEELGLLDAAQRKLYHDVMLENFRNLLAVGGQSPNKIETLHA MGVRCLSLERLPCWQMTSHDVNKLARTPEDGINTQGKGSHFLEPCHKGAEKPPWASEDDG CLERLTSNHSGITESQEFLSGGAQSSSSKSHPSERHDQWKHCPQTWVKNKAQLSALSADV LSCISHQDNNILHKRDKVPSSGDCDQVINPMSSLTQDCDYTQQKAYQCSKGQEVFSDSPS LELHQQTLLGKKSPVHSTHKDTRQSPSVLIQPSVHLGTKRYWCHECGKGFSHSSTLQTHQ RVHTGEKPYRCDSCGKGFSRSSDLNIHRRVHTGEKLYKCEVCGKGFTKWDHLQIHERIHT GEKPYKCGDCGKRFSCSWNLHTHQRVHTEEKPYECNECGKRFSLSFNLHSHQRVHTGEKP YKCEECGKDFSSASSFQRHQRVHTGEKPFVCSVCGKGFSQSSNFQAHQRVHTREKPYRCD VCGKHFTWSLHNHQRVHTGEKPYMCVECGKGFSHASSLQAHQSVHTGERPFKCNVCQKRF RQASILQDHERVHTGEKPYKCDTCGKVFSQRSGLQVHQRIHTGEKPFKCEECGKEFRWNS GLSSHQRVHTAEKPYMCQQCGKGFSQASHFHTHLKMHTTERI >ENSMUSP00000145135.1 pep:known chromosome:GRCm38:6:89883622:89893484:1 gene:ENSMUSG00000068234.6 transcript:ENSMUST00000204656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r44 description:vomeronasal 1 receptor 44 [Source:MGI Symbol;Acc:MGI:2148517] MNKANLLHIDTNIKITLLAEVSVGISANSILFIAYLCMLLGENRHKPIDLYIAFLSLTQL MLLITMGLIA >ENSMUSP00000100706.2 pep:known chromosome:GRCm38:6:89893177:89894284:1 gene:ENSMUSG00000068234.6 transcript:ENSMUST00000089420.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r44 description:vomeronasal 1 receptor 44 [Source:MGI Symbol;Acc:MGI:2148517] MNKANLLHIDTNIKITLLAEVSVGISANSILFIAYLCMLLGENRHKPIDLYIAFLSLTQL MLLITMGLIAVDMFMPWGRWDSTTCQSLIYLHRFLRGLTLCATCLLNVLWTITLSSRNSC LAKFKHKYPHHISGAFLFLCVLYMSFSSHFLVSMTVTPNLTSENFMYVTQSCSLLPMSYS RTSMFSTPVAIRETFLISLMALSSGYMVALLWRHKKQAQHLRSTSLSSKASPEQRATRTI LLLMSFFVVFYILDTVIFHSRMKFKDGSILYCFQIIVSHSYVTVSPFVFICTEKHIIKFL RSMCGRIANI >ENSMUSP00000039263.6 pep:known chromosome:GRCm38:5:140563119:140565071:-1 gene:ENSMUSG00000036586.6 transcript:ENSMUST00000042993.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grifin description:galectin-related inter-fiber protein [Source:MGI Symbol;Acc:MGI:1925248] MTLQFEAFCAGGLAPGWSLTVQGHADAGEEKFEINFLTDAGDIAFHVKPRFSSATVVGNA FQGGRWGQEEVSSIFPLTLGEPFEMEVSADAEHFHIYAQEQKVLQFPHRHRPLATITRVR VLSEHRLAQVELAKRSLSWGDGGY >ENSMUSP00000127309.1 pep:known chromosome:GRCm38:7:86225206:86246201:-1 gene:ENSMUSG00000091239.1 transcript:ENSMUST00000165771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r76 description:vomeronasal 2, receptor 76 [Source:MGI Symbol;Acc:MGI:3761332] MIDMFSFISVFLVLKASMLFCSLNEPKCFLRIKDKKNKDGDKEVDCFFSIYTKHGHMKNE HFSGNLDKQLTTKNIHLILSLYFAIEEINMSPHLLPNISLLVKVECKLLADGSKVSLSSR RGDYFPNYNCGNHRRYLIVLTGPMWLPTAMLGPLLYISRTPEVRRLYYGPFHPLLSSFEH FPYLYQIAIKDTSVAIGMVSLVIYFGWNWVGLMISDDGLGLQFASELREEMQRYDICLAF VTIITYNTKLFLTMTDTYYNQIMMSLAKAVIIFGDKDSLLQVIFRLWQFLDIRRIWVTTS QWDIITSNGEFLFNSFHGTLSFSHHYSEIAGFKEFIQTAHPLNYSNTISLAKLWWLNFNC FLSSSNCKSLKTCSRKMLLKWLSRNHFEMSMSDTSYNLYNAVYAVAHSLNELLLQQANTL SNNVGKGLEFDSLQVLSSLKNIHFVNPAGDLVNLNQKENMNINYDIFYTGNFLQSFGIKV KIGKFFKYFTHPQELLMYEELVDWATDVRQTLPSICSIPCHPGFRKSPQEGKAVCCFDCI PCPENEISNMTDMDYCFKCPDNQYANPEGTHCLSKIVTFLSYEDPMGVVLACLALGFSAL TAAVFGIFLRNQDTPIVKANNRALSYCLLISLIYCFLCSLLFIGQPHILTCIMQQTIFAV VFTVAISTVLTKTITVVLAFRFTLPSKRMRWLMTSGASILIIPICTMIQLIICGIWLGTS PPFVDSDGHIEHGHILVVCNKGSVIAFYCVLGYLGSIALTSFTVAFLARNLPDTFNEAKF LTFSMLVFCSVWLTFLPVYHSTNGKALVAVEVFCILTSTAGIFLCIFAPKCYIILLKPEN KSFHKFRHKNANV >ENSMUSP00000025263.8 pep:known chromosome:GRCm38:17:35199381:35202007:-1 gene:ENSMUSG00000024401.14 transcript:ENSMUST00000025263.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnf description:tumor necrosis factor [Source:MGI Symbol;Acc:MGI:104798] MSTESMIRDVELAEEALPQKMGGFQNSRRCLCLSLFSFLLVAGATTLFCLLNFGVIGPQR DEKFPNGLPLISSMAQTLTLRSSSQNSSDKPVAHVVANHQVEEQLEWLSQRANALLANGM DLKDNQLVVPADGLYLVYSQVLFKGQGCPDYVLLTHTVSRFAISYQEKVNLLSAVKSPCP KDTPEGAELKPWYEPIYLGGVFQLEKGDQLSAEVNLPKYLDFAESGQVYFGVIAL >ENSMUSP00000126122.1 pep:known chromosome:GRCm38:17:35199507:35202004:-1 gene:ENSMUSG00000024401.14 transcript:ENSMUST00000167924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnf description:tumor necrosis factor [Source:MGI Symbol;Acc:MGI:104798] MSTESMIRDVELAEEALPQKMGGFQNSRRCLCLSLFSFLLVAGATTLFCLLNFGVIGPQR DEKFPNGLPLISSMAQTLTLTNHQVEEQLEWLSQRANALLANGMDLKDNQLVVPADGLYL VYSQVLFKGQGCPDYVLLTHTVSRFAISYQEKVNLLSAVKSPCPKDTPEGAELKPWYEPI YLGGVFQLEKGDQLSAEVNLPKYLDFAESGQVYFGVIAL >ENSMUSP00000052129.2 pep:known chromosome:GRCm38:4:40472180:40477168:1 gene:ENSMUSG00000046593.3 transcript:ENSMUST00000049655.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem215 description:transmembrane protein 215 [Source:MGI Symbol;Acc:MGI:2444167] MRPDDINPRTGLVVALVSVFLVFGFMFTVSGMKGETLGNIPLLAIGPAICLPGIAAIALA RKTEGCTKWPENELLWVRKLPCFRKPKDKEVVELLRTPSDLESGKGSSDELAKKAGLRGK QLPQGPGEVPMASSVTTPTPTEEGECQSLVQSGRQEETSRYLDGYCPSASSLAYSALDAK CSAWDRSDRPEPEDSIFFVPQDSIIVCSYKQNSPYDRYCCYINQSQGRWDHETIV >ENSMUSP00000136595.1 pep:known chromosome:GRCm38:4:40473130:40475653:1 gene:ENSMUSG00000046593.3 transcript:ENSMUST00000179526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem215 description:transmembrane protein 215 [Source:MGI Symbol;Acc:MGI:2444167] MRPDDINPRTGLVVALVSVFLVFGFMFTVSGMKGETLGNIPLLAIGPAICLPGIAAIALA RKTEGCTKWPENELLWVRKLPCFRKPKDKEVVELLRTPSDLESGKGSSDELAKKAGLRGK QLPQGPGEVPMASSVTTPTPTEEGECQSLVQSGRQEETSRYLDGYCPSASSLAYSALDAK CSAWDRSDRPEPEDSIFFVPQDSIIVCSYKQNSPYDRYCCYINQSQGRWDHETIV >ENSMUSP00000052804.3 pep:known chromosome:GRCm38:X:77919786:77925062:-1 gene:ENSMUSG00000044121.3 transcript:ENSMUST00000063127.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5430402E10Rik description:RIKEN cDNA 5430402E10 gene [Source:MGI Symbol;Acc:MGI:1918601] MVKFLLIVIALGVSCAHHESLDISPSEVNGDWRTLYIAADKVEKVKMNGDLRAYFEHMEC NDDCGTLKVKFHVQMNGKCQTHTVVGEKQEDGRYTTDYSGRNYFEVVMKEDGALFFHNVN VDESGQETNVILVAGKGETLSKAQKQELGKLVKEYNIPKENIQHLAPTDTCNQ >ENSMUSP00000102045.1 pep:known chromosome:GRCm38:7:123982869:124398989:1 gene:ENSMUSG00000078591.1 transcript:ENSMUST00000106437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st4 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 4 [Source:MGI Symbol;Acc:MGI:1333792] MARWPAPPPPPPPPPPLAAPPLLPGASTKGPPARKLLFMCTLSLSVTYLCYSLLGGSGSL QFPLALQEPPGSAAEPPPSLLSPSLPPPRVRPGASSPPPDNASRGPPPEPPERFTTPAAD GWGLASGGGARDAWPRSPPAPGDAVTEQDALLGRGAQEPGAAEELEGRRAANGSAERGPA STPDYGEKKLPQALIIGVKKGGTRALLEAIRVHPDVRAVGVEPHFFDRNYEKGLEWYRNV MPKTLDGQITMEKTPSYFVTNEAPKRIHSMAKDIKLIVVVRNPVTRAISDYTQTLSKKPE IPTFEVLAFKNRTLGLIDASWSAIRIGIYALHLENWLQYFPLSQILFVSGERLIVDPAGE MAKVQDFLGLKRVVTEKHFYFNKTKGFPCLKKPEDSSAPRCLGKSKGRTHPRIDPDVIHR LRKFYKPFNMMFYQMTGQDFQWEQEEGDK >ENSMUSP00000000365.2 pep:known chromosome:GRCm38:X:38600655:38613426:1 gene:ENSMUSG00000000355.13 transcript:ENSMUST00000000365.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcts1 description:malignant T cell amplified sequence 1 [Source:MGI Symbol;Acc:MGI:1916245] MFKKFDEKENVSNCIQLKTSVIKGIKNQLLEQFPGIEPWLNQIMPKKDPVKIVRCHEHIE ILTVNGELLFFRQREGPFYPTLRLLHKYPFILPHQQVDKGAIKFVLSGANIMCPGLTSPG AKLYPAAVDTIVAIMAEGKQHALCVGVMKMSAEDIEKVNKGIGIENIHYLNDGLWHMKTY K >ENSMUSP00000146646.1 pep:known chromosome:GRCm38:19:13583512:13585667:1 gene:ENSMUSG00000096289.2 transcript:ENSMUST00000208104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1484 description:olfactory receptor 1484 [Source:MGI Symbol;Acc:MGI:3031318] MILLILLDSRLHTPMYFFLSNLSLVDCVYASAVTPKVIEGFLTENKIISYNACAAQMFFF VAFAITECFILASMAYDR >ENSMUSP00000146782.1 pep:known chromosome:GRCm38:19:13583556:13586253:1 gene:ENSMUSG00000096289.2 transcript:ENSMUST00000207093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1484 description:olfactory receptor 1484 [Source:MGI Symbol;Acc:MGI:3031318] MMQNISELSEFILLGLTDAPFLQTPLFIIFTLIYLTTLFGNLGMILLILLDSRLHTPMYF FLSNLSLVDCVYASAVTPKVIEGFLTENKIISYNACAAQMFFFVAFAITECFILASMAYD RHAAVCKPLHYSTTMTTTICVLLLAGSYLSGLLQSSIHVSFTFHLSFCRSNVVNHFFCDI PPLLALSCSSIHINEIILFMLAGFNVVFSLLVILTSYLLISVAIVRMRSAESRKKAISTC ASHLTTVSIFYGTIIFMYLQPSSNHSMDTDKMASVFYTMVIPMLNPLVYSLRNKEVKNAV RKVAGKALFSLGLVN >ENSMUSP00000073811.1 pep:known chromosome:GRCm38:19:13585306:13586253:1 gene:ENSMUSG00000096289.2 transcript:ENSMUST00000074180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1484 description:olfactory receptor 1484 [Source:MGI Symbol;Acc:MGI:3031318] MMQNISELSEFILLGLTDAPFLQTPLFIIFTLIYLTTLFGNLGMILLILLDSRLHTPMYF FLSNLSLVDCVYASAVTPKVIEGFLTENKIISYNACAAQMFFFVAFAITECFILASMAYD RHAAVCKPLHYSTTMTTTICVLLLAGSYLSGLLQSSIHVSFTFHLSFCRSNVVNHFFCDI PPLLALSCSSIHINEIILFMLAGFNVVFSLLVILTSYLLISVAIVRMRSAESRKKAISTC ASHLTTVSIFYGTIIFMYLQPSSNHSMDTDKMASVFYTMVIPMLNPLVYSLRNKEVKNAV RKVAGKALFSLGLVN >ENSMUSP00000052123.3 pep:known chromosome:GRCm38:6:89931649:89940507:-1 gene:ENSMUSG00000044248.3 transcript:ENSMUST00000054202.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r45 description:vomeronasal 1 receptor 45 [Source:MGI Symbol;Acc:MGI:1333762] MSEILFFSPQPLFSHMMNKNSRLHTHSNIKNTFFSEIGIGILGNSFLLLFHILKFIRGHR LRLTDLPIGLLSLIHLLMLLLMAFIATDIFISRRGWDDIICKFLVYLYRVLRGLSLCTTS MLSVLQAIILSPRSSCLAKLKHKYPHHISCAIIFLSVLYMLISSHILLSIIATPNLTRND FLYVTQSCSILPLSYVMQSMYSTLLALREVFLISLMVLSTLYMVVLLCRHRKQAQHLQGT SLSPKASAEQRATQTILMLMTFFVLMSIFDSIVSCSRTMFLDDPTSYSIHIFVMHIYATV SPFVFMSTEKHIVNILRG >ENSMUSP00000137711.1 pep:known chromosome:GRCm38:10:104168515:104170983:1 gene:ENSMUSG00000097550.7 transcript:ENSMUST00000181059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21304 description:predicted gene, 21304 [Source:MGI Symbol;Acc:MGI:5434659] MADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGMTRN PGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQKQQQ QLSAEELDAQLDAYQEMMDTS >ENSMUSP00000137929.1 pep:known chromosome:GRCm38:10:104168930:104170983:1 gene:ENSMUSG00000097550.7 transcript:ENSMUST00000180568.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21304 description:predicted gene, 21304 [Source:MGI Symbol;Acc:MGI:5434659] MADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGMTRN PGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQKQQQ QLSAEELDAQLDAYQEMMDTS >ENSMUSP00000102188.1 pep:known chromosome:GRCm38:11:115010335:115016193:-1 gene:ENSMUSG00000089753.9 transcript:ENSMUST00000106578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11709 description:predicted gene 11709 [Source:MGI Symbol;Acc:MGI:3649405] MWQFPALLILFLPGCCTAQDPVTGPEEVSGQEQGSLTVQCRYDSGWKDYKKYWCRGAYWK SCEILVETDASEQLVKENRVSIRDDQTDFIFTVTMEDLRMSDADIYWCGITKSGYDPMFK VNVNIDPEISTTIMTTTATVLPSTVVPSTVLPCTVVPSTVLTSTVLTSTVLPSTVLTSTV LPSTVLTSTVLPSTVRTSTVLPSTVLPSTVRTSTVRTSTTPTMESIGTENIGQVTQNTLF IWSLLSSISFLLMVFVVVPLLLSRLCAVHWVNRPQRHYGEVEIGLVETHRSDAQDEEKHF PGDEK >ENSMUSP00000090120.6 pep:known chromosome:GRCm38:11:115013659:115016193:-1 gene:ENSMUSG00000089753.9 transcript:ENSMUST00000092463.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11709 description:predicted gene 11709 [Source:MGI Symbol;Acc:MGI:3649405] MWQFPALLILFLPGCCTAQDPVTGPEEVSGQEQGSLTVQCRYDSGWKDYKKYWCRGAYWK SCEILVETDASEQLVKENRVSIRDDQTDFIFTVTMEDLRMSDADIYWCGITKSGYDPMFK VNVNIDP >ENSMUSP00000022574.3 pep:known chromosome:GRCm38:14:75084303:75130881:-1 gene:ENSMUSG00000021997.4 transcript:ENSMUST00000022574.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc63 description:leucine rich repeat containing 63 [Source:MGI Symbol;Acc:MGI:1918109] MKKSIYRSLKTQQHPLLLRRPLPPKLPKVPLLKKKVRIVHTVKAREPKEETYGKFDPDES AFVKMDQNVSQSQRPTSTQTICLDYDHKALERVVNIFPHQHRVRRFHWKVPKSTAGSMFI PRCLSASSRIFKNTLSQMKKRAKKSSKKEVKEDGYLTKKTFNTLVLSKEFSKPSPTSYSR FIASKFKPLGTQFHPAPKISGYSQELPLLRDVKRAALSPVPSTSSVIPEPQWSERTHPSA APSKVVLNTGSLPPARSLPTPVLPRKPLRQAMIENAAAAAAAAAAAAAATTTTTTTTTTT TTAVTTTAAVSGKTEAHKPPETVQRTTRTIGPDAHVLRGEGFKAVAATRSETVLALTTLA IINCQIYGRNALNLKGFFLANCPDLTPVAFQLVYLNLSFNDLNQFPIEILYLQNLQVLKL RNNPIKEIPSEIHLLTYLRIFSIAFNYITKLPDGLFCLNYLEELDVSYNEIENISNEIQK LRSLEKLIVDGNPITSFPPGILKLNLIKLQIENTFTCSQFWLESSWNDPQQLTQICSLFL VKNKLLDYIPDAVRKSLKSTSECDWCHGPKFGEGFRIIRSCDIFGVSHVPIMFHVCSSTC YRDIRETSFVLEGFPSRRIALHMDWVKESKVSNVSFYL >ENSMUSP00000140938.1 pep:known chromosome:GRCm38:Y:47450960:47482990:1 gene:ENSMUSG00000094782.7 transcript:ENSMUST00000188881.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21256 description:predicted gene, 21256 [Source:MGI Symbol;Acc:MGI:5434611] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKW METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000135931.1 pep:known chromosome:GRCm38:Y:47452394:47480252:1 gene:ENSMUSG00000094782.7 transcript:ENSMUST00000179672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21256 description:predicted gene, 21256 [Source:MGI Symbol;Acc:MGI:5434611] MALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDV >ENSMUSP00000112530.1 pep:known chromosome:GRCm38:6:48684549:48691378:1 gene:ENSMUSG00000054435.16 transcript:ENSMUST00000118802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap4 description:GTPase, IMAP family member 4 [Source:MGI Symbol;Acc:MGI:1349656] MEVQCGGAGFIPESSRSSHELGNQDQGIPQLRIVLLGKTGAGKSSTGNKVMHEFQNRYCL FNNRASGAEKEEQKMQLLTLVQSMVRENGGRCFTNKMYESAECVIQKETLRMQELYREEL EREKARIRREYEEQIKDLRDELEREIRRARMEREFKEREAIFTKNQQNARKEVENTSMIL ELIIKAWEIASFIFNQFMKD >ENSMUSP00000068398.7 pep:known chromosome:GRCm38:6:48684578:48692060:1 gene:ENSMUSG00000054435.16 transcript:ENSMUST00000067506.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap4 description:GTPase, IMAP family member 4 [Source:MGI Symbol;Acc:MGI:1349656] MEVQCGGAGFIPESSRSSHELGNQDQGIPQLRIVLLGKTGAGKSSTGNSILGEKVFNSGI CAKSITKVCEKRVSTWDGKELVVVDTPGIFDTEVPDADTQREITRYVALTSPGPHALLLV VPLGRYTVEEHKATQKILDMFGKQARRFMILLLTRKDDLEDTDIHEYLEKAPKFFQEVMH EFQNRYCLFNNRASGAEKEEQKMQLLTLVQSMFLSSRMK >ENSMUSP00000113989.1 pep:known chromosome:GRCm38:6:48684578:48692060:1 gene:ENSMUSG00000054435.16 transcript:ENSMUST00000119575.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap4 description:GTPase, IMAP family member 4 [Source:MGI Symbol;Acc:MGI:1349656] MEVQCGGAGFIPESSRSSHELGNQDQGIPQLRIVLLGKTGAGKSSTGNMLTPPDAQML >ENSMUSP00000113016.1 pep:known chromosome:GRCm38:6:48684581:48691877:1 gene:ENSMUSG00000054435.16 transcript:ENSMUST00000121957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap4 description:GTPase, IMAP family member 4 [Source:MGI Symbol;Acc:MGI:1349656] MEVQCGGAGFIPESSRSSHELGNQDQGIPQLRIVLLGKTGAGKSSTGNKVMHEFQNRYCL FNNRASGAEKEEQKMQLLTLVQSMDEVMK >ENSMUSP00000122070.1 pep:known chromosome:GRCm38:6:48684581:48692057:1 gene:ENSMUSG00000054435.16 transcript:ENSMUST00000156770.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gimap4 description:GTPase, IMAP family member 4 [Source:MGI Symbol;Acc:MGI:1349656] MEVQCGGAGFIPESSRSSHELGNQDQGIPQLRIVLLGKTGAGKSSTGNSILGEKVFNSGI CAKSITKEG >ENSMUSP00000087524.4 pep:known chromosome:GRCm38:6:48684582:48692060:1 gene:ENSMUSG00000054435.16 transcript:ENSMUST00000090070.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap4 description:GTPase, IMAP family member 4 [Source:MGI Symbol;Acc:MGI:1349656] MEVQCGGAGFIPESSRSSHELGNQDQGIPQLRIVLLGKTGAGKSSTGNSILGEKVFNSGI CAKSITKVCEKRVSTWDGKELVVVDTPGIFDTEVPDADTQREITRYVALTSPGPHALLLV VPLGRYTVEEHKATQKILDMFGKQARRFMILLLTRKDDLEDTDIHEYLEKAPKFFQEVMH EFQNRYCLFNNRASGAEKEEQKMQLLTLVQSMVRENGGRCFTNKMYESAECVIQKETLRM QELYREELEREKARIRREYEEQIKDLRDELEREIRRARMEREFKEREAIFTKNQQNARKE VENTSMILELIIKAWEIASFIFNQFMKD >ENSMUSP00000117583.1 pep:known chromosome:GRCm38:4:139101814:139131113:-1 gene:ENSMUSG00000050608.11 transcript:ENSMUST00000143971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Minos1 description:mitochondrial inner membrane organizing system 1 [Source:MGI Symbol;Acc:MGI:1913628] MSESELGRKWDRCMADTVVKLGTGFGLGIVFSLTFFKRRMWPLAFGSGVGLGMAYSNCQH DFQAPYLLHGKYVKEQ >ENSMUSP00000080589.2 pep:known chromosome:GRCm38:7:86268730:86269811:-1 gene:ENSMUSG00000057540.2 transcript:ENSMUST00000081918.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr310 description:olfactory receptor 310 [Source:MGI Symbol;Acc:MGI:3030144] MANSTLVTEFFLEVFAEIWELRILITVLFLLVYLCSLLGNLTIIIVTTVDQTLNTPMYFF LRNLSILDMGYISITVPSTCINSLTNHRNMSVAGCAAQIFSFLFCACVEILVFSIMAQDR YVAICKPLLYPVIMNHQFCVQMTLASLLSSLVIASVHTFKTFQLSFCHSNVVPQFFCDLP ALLRLSCSDTFSNKLLILLTVIGVSGSCFVFIAISYIHILSTVLKIPVKGERGKAFSTCV PHIIVVSVFVSSAAFVYLRPPVITFEVVQEMVISVFYTMVPPFLNPIVYSLRNKQIKEAV RKVILRVFPIFEYKRNIYFSWYFRGKKTDKVR >ENSMUSP00000144888.1 pep:known chromosome:GRCm38:6:89969304:89977127:1 gene:ENSMUSG00000061653.2 transcript:ENSMUST00000205088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r46 description:vomeronasal 1 receptor 46 [Source:MGI Symbol;Acc:MGI:2148519] MNKANIFCTDTNMKVILFSEVSVGISANSILFISHLCMFLGESRPKPIDLYIAFFSLTHL MLLVTMGLIAVDMFMPGGRWDSTTCTFLMYLHIVLRGPTLCATCLLNVLWTITLSPRNSC LTKFKHKSPHHISGAFLFLCVLYMSLSSELLSITASLNLTSENFLYVSQSCSILPMSYSI KSMFSTKMAIREAFLIGLMVLSSGYMVALLWSHKKQAQHLHSNSLSLKASPEQRATRTIM LLMSFFVVFYILDSVIFYSRMKFKDDSIFVCVQIIVSHSYVTVSPFVFICTEKHIIKFFW SLCGRIVNI >ENSMUSP00000075197.1 pep:known chromosome:GRCm38:6:89976171:89977100:1 gene:ENSMUSG00000061653.2 transcript:ENSMUST00000075797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r46 description:vomeronasal 1 receptor 46 [Source:MGI Symbol;Acc:MGI:2148519] MNKANIFCTDTNMKVILFSEVSVGISANSILFISHLCMFLGESRPKPIDLYIAFFSLTHL MLLVTMGLIAVDMFMPGGRWDSTTCTFLMYLHIVLRGPTLCATCLLNVLWTITLSPRNSC LTKFKHKSPHHISGAFLFLCVLYMSLSSELLSITASLNLTSENFLYVSQSCSILPMSYSI KSMFSTKMAIREAFLIGLMVLSSGYMVALLWSHKKQAQHLHSNSLSLKASPEQRATRTIM LLMSFFVVFYILDSVIFYSRMKFKDDSIFVCVQIIVSHSYVTVSPFVFICTEKHIIKFFW SLCGRIVNI >ENSMUSP00000097811.2 pep:known chromosome:GRCm38:11:115020728:115027012:-1 gene:ENSMUSG00000069609.7 transcript:ENSMUST00000100240.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11710 description:predicted gene 11710 [Source:MGI Symbol;Acc:MGI:3702658] MWQFPALLILFLPGCCTAQDPVTGPEEVSGQEQGSLTVQCRYDSGWKDYKKYWCRGAYWK SCEILVETDASEQLVKENRVSIRDDQTDFIFTVTMEDLRMSDADIYWCGITKAGTDPMFK VNVNIDPEISTTIMTTTATVLPSTVLTSTVLTSTVLTPTTPTTESIGTENIGQVTQNSLF IWSLLSSISFLLMVFVVVPLLLSMLSAVLWVNRPQRHYGGGEIGLVETHRSDALDGEKHF PGDEK >ENSMUSP00000132594.1 pep:known chromosome:GRCm38:2:30471537:30474219:-1 gene:ENSMUSG00000089762.3 transcript:ENSMUST00000065134.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ier5l description:immediate early response 5-like [Source:MGI Symbol;Acc:MGI:1919750] MECALDAQSLISISLRKIHSSRTQRGGIKLHKNLLVSYVLRNARQLYLSERYAELYRRQQ QQQQQQPPHHQHQHLAYAAPGMPASAADFGPLQLGGGGDAEAREPVARHQLHQLHQLHQL HLQQQLHQHQHPAPRGCTAAAPVAVAGAPAGCAGALSELPGCAALQPPHGAPHRGQHLEP LQPGPAPLPPPAPAALCPRDPRVPAACSAPSVLPGAAPSTVAASSPPASTAPSSSTGFYR GAYPAPSDFGVHCSSQTTVLDLDTHVVTTVENGYLHQDCCASAHCPCCGQGAPGPGLASA AGCKRKYYPGQEEEDDEEDAGDLGAEPPGGTPFAPCKRARFEDFCPDSSPDASNISNLIS IFGSGFSGLVSRQPDSSEQPPPLNGQLCAKQALASLGAWTRAIVAF >ENSMUSP00000023259.8 pep:known chromosome:GRCm38:15:74747852:74753046:-1 gene:ENSMUSG00000022594.14 transcript:ENSMUST00000023259.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lynx1 description:Ly6/neurotoxin 1 [Source:MGI Symbol;Acc:MGI:1345180] MTHLLTVFLVALMGLPVAQALECHVCAYNGDNCFKPMRCPAMATYCMTTRTYFTPYRMKV RKSCVPSCFETVYDGYSKHASATSCCQYYLCNGAGFATPVTLALVPALLATFWSLL >ENSMUSP00000139494.1 pep:known chromosome:GRCm38:15:74751595:74753014:-1 gene:ENSMUSG00000022594.14 transcript:ENSMUST00000189128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lynx1 description:Ly6/neurotoxin 1 [Source:MGI Symbol;Acc:MGI:1345180] MTHLLTVFLVALMGLPVAQALECHVCAYNGDNCF >ENSMUSP00000074422.1 pep:known chromosome:GRCm38:6:90021888:90022820:1 gene:ENSMUSG00000060724.1 transcript:ENSMUST00000074881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r47 description:vomeronasal 1 receptor 47 [Source:MGI Symbol;Acc:MGI:2148509] MNENSRLHTHSNIRNTFFSEIGIGISGNSFLLLFHIIKFFRGHRPRLTDLPIGLLSLIHL LMLLVAAVIATDIFISWRGWNDIICKFLVYLYRSLRGLSLCTTSMLSVLQAIILSPRSYC LAKFKRKSSHNISCAIIFLSVLYMSISSHLFISITATLNLTMNNFLYVSQSCSLLPLSYL MQSMYSTLLVLREVFLIGLMVLSTSYMVALLCMHRKQAQNLQGTSLSLKASAEQRATQTI LMLMTFFVLMSIFDSIVSSSRAMFLDDSTCYSIYIFVMHIYATVSPFVFMSTEKHLVNFF RSMCEWIINM >ENSMUSP00000028831.8 pep:known chromosome:GRCm38:2:132816141:132843895:1 gene:ENSMUSG00000027353.14 transcript:ENSMUST00000028831.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm8 description:minichromosome maintenance deficient 8 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913884] MSGAYRGRGFGRGRFQSWKRGRGGGNFSGRWRERENRVDLNEASGKHASAQASQPLLQQS TLDQFIPYKGWKLYFSEVYSNNSPFIEKIQAFEKFFTRHIDLYDKDEIERKGSILVDFKE LTKADEITNLIPDIENALRDAPEKTLACMGLAIHQVLTKDLERHAAELQAQEGLSNGGET MVNVPHIYARVYNYEPLTHLKNIRATCYGKYISIRGTVVRVSNIKPLCTNMAFQCAACGE IQSFPLPDGKYTLPTKCPVPACRGRSFAPLRSSPLTVTLDWQLIKIQELMSDAQREAGRI PRTIECELVHDLVDSCVPGDTVTVTGIVKVSNSEEGSRNKNDKCMFLLYIEANSVSNSKG PKAQTAEDGCKHGTLMEFSLKDLYAIREIQAEENLLKLVVNSLCPVIFGHELVKAGLTLA LFGGSQKYADDKNRIPIRGDPHVLIVGDPGLGKSQMLQAACNVAPRGVYVCGNTTTSSGL TVTLSKDSSSGDFALEAGALVLGDQGICGIDEFDKMGNQHQALLEAMEQQSISLAKAGVV CSLPARTSIIAAANPVGGHYNKARTVSENLKMGSALLSRFDLVFILLDTPNEQHDHLLSE HVIAIRAGKQKAVSSATVTRVLSQDSNTSVLEVVSEKPLSERLKVAPGEQTDPIPHQLLR KYIGYARQYVHPRLSTDAAQALQDFYLELRKQSQRVGSSPITTRQLESLIRLTEARARLE LREEATREDAEDIIEIMKHSMLGTYSDEFGNLDFERSQHGSGMSNRSTAKRFISALNSIA ERTYNNIFQYHQLRQIAKELNIQVADFENFIGSLNDQGYLLKKGPKIYQLQTM >ENSMUSP00000066842.5 pep:known chromosome:GRCm38:2:132816141:132844197:1 gene:ENSMUSG00000027353.14 transcript:ENSMUST00000066559.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm8 description:minichromosome maintenance deficient 8 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913884] MSGAYRGRGFGRGRFQSWKRGRGGGNFSGRWRERENRVDLNEASGKHASVYSNNSPFIEK IQAFEKFFTRHIDLYDKDEIERKGSILVDFKELTKADEITNLIPDIENALRDAPEKTLAC MGLAIHQVLTKDLERHAAELQAQEGLSNGGETMVNVPHIYARVYNYEPLTHLKNIRATCY GKYISIRGTVVRVSNIKPLCTNMAFQCAACGEIQSFPLPDGKYTLPTKCPVPACRGRSFA PLRSSPLTVTLDWQLIKIQELMSDAQREAGRIPRTIECELVHDLVDSCVPGDTVTVTGIV KVSNSEEGSRNKNDKCMFLLYIEANSVSNSKGPKAQTAEDGCKHGTLMEFSLKDLYAIRE IQAEENLLKLVVNSLCPVIFGHELVKAGLTLALFGGSQKYADDKNRIPIRGDPHVLIVGD PGLGKSQMLQAACNVAPRGVYVCGNTTTSSGLTVTLSKDSSSGDFALEAGALVLGDQGIC GIDEFDKMGNQHQALLEAMEQQSISLAKAGVVCSLPARTSIIAAANPVGGHYNKARTVSE NLKMGSALLSRFDLVFILLDTPNEQHDHLLSEHVIAIRAGKQKAVSSATVTRVLSQDSNT SVLEVVSEKPLSERLKVAPGEQTDPIPHQLLRKYIGYARQYVHPRLSTDAAQALQDFYLE LRKQSQRVGSSPITTRQLESLIRLTEARARLELREEATREDAEDIIEIMKHSMLGTYSDE FGNLDFERSQHGSGMSNRSTAKRFISALNSIAERTYNNIFQYHQLRQIAKELNIQVADFE NFIGSLNDQGYLLKKGPKIYQLQTM >ENSMUSP00000051211.4 pep:known chromosome:GRCm38:11:97627389:97629702:-1 gene:ENSMUSG00000043439.5 transcript:ENSMUST00000052281.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130012A19Rik description:RIKEN cDNA E130012A19 gene [Source:MGI Symbol;Acc:MGI:2143991] METLCPPPRLAVPASPRGSPCSPTPLKPRRGTPEFSPLCLRALAFCALAKPRPSSLGLGP GELAPRTPVLLGPPASPCTGGWAADGLKHLGGQAGRPSDVSSPAREDADVAVCPGGGEEE EGGGGFPHFGAGSCAPPGRCPAPLRPQDSPTNPAWSPPRPARGLDAASSPPLEPGSPPPS PPAGLSPEPAPSEQPVPASEAPGGGDPAPTAPEAPALSPSTADAAPDPPRDLRQEHFNRL IRRSKLWCYAKGFALDTPSLRRGPERPAAKARGAAKKRRRPAPPPPSVQPRRPVPTLPTS STFSLLDCFPCPPALVVEENGDLGPASSLRLQGDAKPPPAHPLWKWQMGGPAVPEPPGLK SWWVNLEEL >ENSMUSP00000045362.2 pep:known chromosome:GRCm38:19:39992424:40012243:1 gene:ENSMUSG00000042248.4 transcript:ENSMUST00000049178.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c37 description:cytochrome P450, family 2. subfamily c, polypeptide 37 [Source:MGI Symbol;Acc:MGI:1306806] MDPILVLVLTLSCLFLLSLWRQSSERGKLPPGPTPLPIIGNILQIDVKDICQSFTNLSKV YGPVYTLYLGRKPTVVLHGYEAVKEALVDHGEEFAGRGRFPVFDKATNGMGLAFSKGNVW KNTRRFSLMTLRNLGMGKRSIEDRVQEEARCLVEELRKTNGSPCDPTFILGCAPCNVICS IIFQDRFDYKDRDFLNLMEKLNEITKILSSPWLQICNTYPALLDYCPGSHKQFFKNYASI KNFLLEKIKEHEESLDVTIPRDFIDYFLINGGQEDGNQPLQNRLEHLAITVTDLFSAGTE TTSTTLRYAILLLLKYPHVTAKVQEEIEHVIGKHRSPCMQDRSRMPYTDAMIHEVQRFID LIPNSLPHEVTSDIKFRNYFIPKGTTVITSLSSVLHDSTEFPNPEKFDPGHFLDENGKFK KSDYFIPFSTGKRICAGEGLARMELFLFLTSILQNFNLKPLVHPKDIDVTPMLIGLASVP PAFQLCFIPS >ENSMUSP00000025010.7 pep:known chromosome:GRCm38:17:26113316:26123254:1 gene:ENSMUSG00000024180.13 transcript:ENSMUST00000025010.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem8 description:transmembrane protein 8 (five membrane-spanning domains) [Source:MGI Symbol;Acc:MGI:1926283] MGRVGAGGTAREAATGSLLLLLLLLARPPPAAASNSKESEAGLVSEHFSQAPQKLSFYSW YGSTRLFHFRVPPDTVLLRWLLHVSQGSPSCTDEEITVHFRYGAPPVINPLGTSFPDNTL SHASFHIRALLSTLMLDNTSVNISHPAPGDWFLVAHLPPSSQKIQVKGFVPTCAYIFQPD MLVMRVVEVSTLEPDVPLPQTLLSYPSYLKIFVPEYTQELRLELQGCVSSVSPGCPVRVT VGATTLPRNFQRVLTCTGLAPSCHLLLSSPPWGRWLQVTFESLAEPHVTVGFTAKAVFTV CRPWSVTIHHLIQNNPNQTYDTSAIQLSQSAVHRDLGRSSRVDSGPFCLLNYPVLREDTD VVSVHFQPLNGAFVLVHSSMPSVMQLRLDTGMDSGGSFIIVLRTNKTEVTNGTLVAACVN AASPFLSFNTSLNCTTAFFQGYPMFLRASSHMANLIMPFPETDNWYLSLQLVCPESPEDC EQAVVRVETILYLVPCLNDCGPYGQCLLLRRYGYVYAGCSCKAGWRGWSCTDNSTAQTVA QQRAAALLLTLSNLMFLAPIAISLHRSFLVEASVYFYTMFFSTFYHACDQPGEAVLCILS YDTLQYCDFLGSGASTWVTILCMARLKTILKQVLLVLGTLVIAMSLQMDRRGIWNLMGPC VFAFVIMASMWIYRCGHRGQCYPTSWQRWVFYLLPGISMASVGIAMYTSMMTSDNYYYTH SIWHILLAGSAAFLLPPREEKAGSWACLQKFPCHYQICRNDRDELYTVT >ENSMUSP00000121651.1 pep:known chromosome:GRCm38:17:26119442:26123254:1 gene:ENSMUSG00000024180.13 transcript:ENSMUST00000128597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem8 description:transmembrane protein 8 (five membrane-spanning domains) [Source:MGI Symbol;Acc:MGI:1926283] LVPCLNDCGPYGQCLLLRRYGYVYAGCSCKAGWRGWSCTDNSTAQTVAQQRAAALLLTLS NLMFLAPIAISLHRSFLVEASVYFYTMFFSTFYHACDQPGEAVLCILSYDTLQYCDFLGS GASTWVTILCMARLKTILKQIYRCGHRGQCYPTSWQRWVFYLLPGISMASVGIAMYTSMM TSDNYYYTHSIWHILLAGSAAFLLPPREEKAGSWACLQKFPCHYQICRNDRDELYTVT >ENSMUSP00000054897.7 pep:known chromosome:GRCm38:17:69383319:69390750:1 gene:ENSMUSG00000049672.14 transcript:ENSMUST00000062369.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb14 description:zinc finger and BTB domain containing 14 [Source:MGI Symbol;Acc:MGI:1195345] MEFFISMSETIKYNDDDHKTLFLKTLNEQRLEGEFCDIAIVVEDVKFRAHRCVLAACSTY FKKLFKKLEVDSSSVIEIDFLRSDIFEEVLNYMYTAKISVKKEDVNLMMSSGQILGIRFL DKLCSQKRDVSSPDESNGQSKSKYCLKLNRPIGDAADAQDDDVEEIGDQDDSPSDDTVEG TPPSQEDGKSPTTTLRVQEAILKELGSEEVRKVNCYGQEVESMETPESKDLGSQTPQALT FNDGMSEVKDEQTPGWTTAASDMKFEYLLYGHHREQIACQACGKTFSDEGRLRKHEKLHT ADRPFVCEMCTKGFTTQAHLKEHLKIHTGYKPYSCEVCGKSFIRAPDLKKHERVHSNERP FACHMCDKAFKHKSHLKDHERRHRGEKPFVCGSCTKAFAKASDLKRHENNMHSERKQVTP SAIQSETEQLQAAAMAAEAEQQLETIACS >ENSMUSP00000108296.2 pep:known chromosome:GRCm38:17:69383840:69390750:1 gene:ENSMUSG00000049672.14 transcript:ENSMUST00000112676.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb14 description:zinc finger and BTB domain containing 14 [Source:MGI Symbol;Acc:MGI:1195345] MEFFISMSETIKYNDDDHKTLFLKTLNEQRLEGEFCDIAIVVEDVKFRAHRCVLAACSTY FKKLFKKLEVDSSSVIEIDFLRSDIFEEVLNYMYTAKISVKKEDVNLMMSSGQILGIRFL DKLCSQKRDVSSPDESNGQSKSKYCLKLNRPIGDAADAQDDDVEEIGDQDDSPSDDTVEG TPPSQEDGKSPTTTLRVQEAILKELGSEEVRKVNCYGQEVESMETPESKDLGSQTPQALT FNDGMSEVKDEQTPGWTTAASDMKFEYLLYGHHREQIACQACGKTFSDEGRLRKHEKLHT ADRPFVCEMCTKGFTTQAHLKEHLKIHTGYKPYSCEVCGKSFIRAPDLKKHERVHSNERP FACHMCDKAFKHKSHLKDHERRHRGEKPFVCGSCTKAFAKASDLKRHENNMHSERKQVTP SAIQSETEQLQAAAMAAEAEQQLETIACS >ENSMUSP00000108294.1 pep:known chromosome:GRCm38:17:69383050:69390750:1 gene:ENSMUSG00000049672.14 transcript:ENSMUST00000112674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb14 description:zinc finger and BTB domain containing 14 [Source:MGI Symbol;Acc:MGI:1195345] MEFFISMSETIKYNDDDHKTLFLKTLNEQRLEGEFCDIAIVVEDVKFRAHRCVLAACSTY FKKLFKKLEVDSSSVIEIDFLRSDIFEEVLNYMYTAKISVKKEDVNLMMSSGQILGIRFL DKLCSQKRDVSSPDESNGQSKSKYCLKLNRPIGDAADAQDDDVEEIGDQDDSPSDDTVEG TPPSQEDGKSPTTTLRVQEAILKELGSEEVRKVNCYGQEVESMETPESKDLGSQTPQALT FNDGMSEVKDEQTPGWTTAASDMKFEYLLYGHHREQIACQACGKTFSDEGRLRKHEKLHT ADRPFVCEMCTKGFTTQAHLKEHLKIHTGYKPYSCEVCGKSFIRAPDLKKHERVHSNERP FACHMCDKAFKHKSHLKDHERRHRGEKPFVCGSCTKAFAKASDLKRHENNMHSERKQVTP SAIQSETEQLQAAAMAAEAEQQLETIACS >ENSMUSP00000022293.7 pep:known chromosome:GRCm38:14:21831269:21845879:1 gene:ENSMUSG00000021771.13 transcript:ENSMUST00000022293.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdac2 description:voltage-dependent anion channel 2 [Source:MGI Symbol;Acc:MGI:106915] MAECCVPVCPRPMCIPPPYADLGKAARDIFNKGFGFGLVKLDVKTKSCSGVEFSTSGSSN TDTGKVSGTLETKYKWCEYGLTFTEKWNTDNTLGTEIAIEDQICQGLKLTFDTTFSPNTG KKSGKIKSAYKRECINLGCDVDFDFAGPAIHGSAVFGYEGWLAGYQMTFDSAKSKLTRSN FAVGYRTGDFQLHTNVNNGTEFGGSIYQKVCEDFDTSVNLAWTSGTNCTRFGIAAKYQLD PTASISAKVNNSSLIGVGYTQTLRPGVKLTLSALVDGKSFNAGGHKLGLALELEA >ENSMUSP00000115560.1 pep:known chromosome:GRCm38:14:21831271:21840435:1 gene:ENSMUSG00000021771.13 transcript:ENSMUST00000153320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdac2 description:voltage-dependent anion channel 2 [Source:MGI Symbol;Acc:MGI:106915] MAECCVPVCPRPMCIPPPYADLGKAARDIFNKGFGFGLVKLDVKTKSCSGVEFSTSGSSN TDTGKVSGTLETKYKWCEYGLTFTEKWNTDNTLGTEIAIEDQICQGLKLTFDTTFSPNTG KKSGKIKSAYKRECINLGCDVDFDFAGPAIHGSAVFGYEGWLAGYQMTFDSAKSKLTRSN FAVGYRTGDFQLHTNVNNGTE >ENSMUSP00000134023.1 pep:known chromosome:GRCm38:14:21831566:21845237:1 gene:ENSMUSG00000021771.13 transcript:ENSMUST00000173456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdac2 description:voltage-dependent anion channel 2 [Source:MGI Symbol;Acc:MGI:106915] MCIPPPYADLGKAARDIFNKGFGFGLVKLDVKTKSCSGVEFSTSGSSNTDTGKVSGTLET KYKWCEYGLTFTEKWNTDNTLGTEIAIEDQICQGLKLTFDTTFSPNTGKKSGKIKSAYKR ECINLGCDVDFDFAGPAIHGSAVFGYEGWLAGYQMTFDSAKSKLTRSNFAVGYRTGDFQL HTNVNNGTEFGGSIYQKVCEDFDTSVNLAWTSGTNCTRFGIAAKYQLDPTASISAKVNNS SLIGVGYTQTLRPGVKLTLSALVDGKSFNAGGHKLGLALELEA >ENSMUSP00000123032.1 pep:known chromosome:GRCm38:14:21831726:21837817:1 gene:ENSMUSG00000021771.13 transcript:ENSMUST00000152562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdac2 description:voltage-dependent anion channel 2 [Source:MGI Symbol;Acc:MGI:106915] MAECCVPVCPRPMCIPPPYADLGKAARDIFNKGFGFGLVKLDVKTKSCSGVEFSTSGSSN TDTGKVSGTLETKYK >ENSMUSP00000133525.1 pep:known chromosome:GRCm38:14:21832447:21845237:1 gene:ENSMUSG00000021771.13 transcript:ENSMUST00000172727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdac2 description:voltage-dependent anion channel 2 [Source:MGI Symbol;Acc:MGI:106915] MCIPPPYADLGKAARDIFNKGFGFGLVKLDVKTKSCSGVEFSTSGSSNTDTGKVSGTLET KYKWCEYGLTFTEKWNTDNTLGTEIAIEDQICQGLKLTFDTTFSPNTGKKSGKIKSAYKR ECINLGCDVDFDFAGPAIHGSAVFGYEGWLAGYQMTFDSAKSKLTRSNFAVGYRTGDFQL HTNVNNGTEFGGSIYQKVCEDFDTSVNLAWTSGTNCTRFGIAAKYQLDPTASISAKVNNS SLIGVGYTQTLRPGVKLTLSALVDGKSFNAGGHKLGLALELEA >ENSMUSP00000134377.1 pep:known chromosome:GRCm38:7:86306185:86313509:-1 gene:ENSMUSG00000054054.2 transcript:ENSMUST00000174158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr309 description:olfactory receptor 309 [Source:MGI Symbol;Acc:MGI:3030143] MMNFSIVSEFMILGLTQKSELQGILFIVFLFIYLVALLGNMLIVVAIIYNTTLHTPMYIL LLALAVVDIICTTSIIPKMLGTMLTSKNSISYGGCMSQLFFFTWSLGAEMVLFTTMAYDR YVAICFPLRYSTIMNHYTCVGLLSIVMAIAVTNSWVHTGLILRLTFCGPNIIDHFFCEIP PLLALSCSPVRVNEVMVYVADITLAVGDFTLTCISYGFIIAAILRIRTTEGKKKAFSTCS SHLMVVSLYYSPVIYTYIRPASSYTFDKDKVVAALYTLVTPTLNPIVYSFRNKEMQSGIK KVFAFLKG >ENSMUSP00000061929.2 pep:known chromosome:GRCm38:7:86306185:86307111:-1 gene:ENSMUSG00000054054.2 transcript:ENSMUST00000055690.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr309 description:olfactory receptor 309 [Source:MGI Symbol;Acc:MGI:3030143] MMNFSIVSEFMILGLTQKSELQGILFIVFLFIYLVALLGNMLIVVAIIYNTTLHTPMYIL LLALAVVDIICTTSIIPKMLGTMLTSKNSISYGGCMSQLFFFTWSLGAEMVLFTTMAYDR YVAICFPLRYSTIMNHYTCVGLLSIVMAIAVTNSWVHTGLILRLTFCGPNIIDHFFCEIP PLLALSCSPVRVNEVMVYVADITLAVGDFTLTCISYGFIIAAILRIRTTEGKKKAFSTCS SHLMVVSLYYSPVIYTYIRPASSYTFDKDKVVAALYTLVTPTLNPIVYSFRNKEMQSGIK KVFAFLKG >ENSMUSP00000034541.5 pep:known chromosome:GRCm38:9:35211155:35217014:1 gene:ENSMUSG00000032042.11 transcript:ENSMUST00000034541.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpr description:signal recognition particle receptor ('docking protein') [Source:MGI Symbol;Acc:MGI:1914648] MLDFFTIFSKGGLVLWCFQGVSDSCTGPVNALIRSVLLQERGGNNSFTHEALTLKYKLDN QFELVFVVGFQKILTLTYVDKLIDDVHRLFRDKYRTEIQQQSALSLLNGTFDFQNDFLRL LREAEESSKIRAPTTMKKFEDSEKAKKPVRSMIETRGEKTKEKAKNNKKRGAKKEGSDGT LATSKTAPAEKSGLSAGPENGELSKEELIRRKREEFIQKHGKGLDKSSKSTKSDTPKEKG KKAPRVWELGGCANKEVLDYSTPTTNGTPEAALSEDINLIRGTGPGGQLQDLDCSSSDDE GATQNTKPSATKGTLGGMFGMLKGLVGSKSLSREDMESVLDKMRDHLIAKNVAADIAVQL CESVANKLEGKVMGTFSTVTSTVKQALQESLVQILQPQRRVDMLRDIMDAQRRQRPYVVT FCGVNGVGKSTNLAKISFWLLENGFSVLIAACDTFRAGAVEQLRTHTRRLTALHPPEKHG GRTMVQLFEKGYGKDAAGIAMEAIAFARNQGFDVVLVDTAGRMQDNAPLMTALAKLITVN TPDLVLFVGEALVGNEAVDQLVKFNRALADHSMAQTPRLIDGIVLTKFDTIDDKVGAAIS MTYITSKPIVFVGTGQTYCDLRSLNAKAVVAALMKA >ENSMUSP00000115104.1 pep:known chromosome:GRCm38:9:35214899:35247973:1 gene:ENSMUSG00000032042.11 transcript:ENSMUST00000132799.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpr description:signal recognition particle receptor ('docking protein') [Source:MGI Symbol;Acc:MGI:1914648] LRTHTRRLTALHPPEKHGGRTMVQLFEKGYGKDAAGIAMEAIAFARNQGFDVVLVDTAGR MQDNAPLMTALAKLITVNTPDLVLFVGEALVGNEAVDQLVKFNRALADHSMAQTPRLIDG IVLTKFDTIDDKDLAEGSFQGLKFKETKTLNRF >ENSMUSP00000113183.1 pep:known chromosome:GRCm38:7:99267447:99337136:1 gene:ENSMUSG00000055407.14 transcript:ENSMUST00000122101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map6 description:microtubule-associated protein 6 [Source:MGI Symbol;Acc:MGI:1201690] MAWPCITRACCIARFWNQLDKADIAVPLVFTKYSEATEHPGAPPQPPAPLQPALAPPSRA VAIETQPAQGESDAVARATGPAPGPSVDRETVAAPGRSGLGLGAASASTSGSGPADSVMR QDYRAWKVQRPEPSCRPRSEYQPSDAPFERETQYQKDFRAWPLPRRGDHPWIPKPVQIPA TSQPSQPVLGVPKRRPQSQERGPMQLSADARDPEGAGGAGVLAAGKASGVDQRDTRRKAG PAWMVTRNEGHEEKPLPPAQSQTQEGGPAAGKASGADQRDTRRKAGPAWMVTRSEGHEEK PLPPAQSQTQEGGPAAGKASGADQRDTRRKAGPAWMVTRTEGHEETPLPPAQSQTQEGGP AAGKASGADERDTRRKAGPAWMVRRSEGHEQTPAAHAQGTGPEGGKGRAVADALNRQIRE EVASTVSSSYRNEFRAWTDIKPVKPIKAKPQYKPPDDKMVHETSYSAQFKGEANKPSAAD NKAMDRRRIRSLYSEPFKECPKEPGQTHQ >ENSMUSP00000146585.1 pep:known chromosome:GRCm38:7:99267447:99337137:1 gene:ENSMUSG00000055407.14 transcript:ENSMUST00000207883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map6 description:microtubule-associated protein 6 [Source:MGI Symbol;Acc:MGI:1201690] MAWPCITRACCIARFWNQLDKADIAVPLVFTKYSEATEHPGAPPQPPAPLQPALAPPSRA VAIETQPAQGESDAVARATGPAPGPSVDRETVAAPGRSGLGLGAASASTSGSGPADSVMR QDYRAWKVQRPEPSCRPRSEYQPSDAPFERETQYQKDFRAWPLPRRGDHPWIPKPVQIPA TSQPSQPVLGVPKRRPQSQERGPMQLSADARDPEGAGGAGVLAAGKASGVDQRDTRRKAG PAWMVTRNEGHEEKPLPPAQSQTQEGGPAAGKASGADQRDTRRKAGPAWMVTRSEGHEEK PLPPAQSQTQEGGPAAGKASGADQRDTRRKAGPAWMVTRTEGHEETPLPPAQSQTQEGGP AAGKASGADERDTRRKAGPAWMVRRSEGHEQTPAAHAQGTGPEGGKGRAVADALNRQIRE EVASTVSSSYRNEFRAWTDIKPVKPIKAKPQYKPPDDKMVHETSYSAQFKGEANKPSAAD NKAMDRRRIRSLYSEPFKECPKVEKPSVQSSKPKKTSTSHKPPRKAKDKQVVSGQAAKKK TTEGPSATKPDDKEQSKEMNNKLAEAKESRVKPTSDASKNRGPVTKEPHKDQGSVAPGLP KGQEPLKDQGPVVPGLPKDQVPVVPGSLKGQSPTAPGPTKDQGAVLLGPVKDLGPVAPAP IKVQDHIASELLKNKDSVPLAPAKAQSPLLPEPLKNQSPVVPASTKDQSFPTPAPRKDPG PVIPEPEKDRAPTVPERRKDQHVSIMASLKNEAPMVPESVKNQGLAGPELVKDTGTDTTA PRYLKGHDSVFVAPVKNQGPVIPEPVKSQDPIIPALAKDQGPMLPEPPKNQSPVVLGPIK NQDPIIPVPLKGQDPLVPAPTKDQGPTAPDPLKTQGPKGTQLPTVSPSPPVMIPTVPHTE YIEGSP >ENSMUSP00000146340.1 pep:known chromosome:GRCm38:7:99267489:99320352:1 gene:ENSMUSG00000055407.14 transcript:ENSMUST00000127492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map6 description:microtubule-associated protein 6 [Source:MGI Symbol;Acc:MGI:1201690] MAWPCITRACCIARFWNQLDKADIAVPLVFTKYSEATEHPGAPPQPPAPLQPALAPPSRA VAIETQPAQGESDAVARATGPAPGPSVDRETVAAPGRSGLGLGAASASTSGSGPADSVMR QDYRAWKVQRPEPSCRPRSEYQPSDAPFERETQYQKDFRAWPLPRRGDHPWIPKPVQIPA TSQPSQPVLGVPKRRPQSQERGPMQLSADARDPEGAGGAGVLAAGKASGVDQRDTRRKAG PAWMVTRNEGHEEKPLPPAQSQTQEGGPAAGKASGADQRDTRRKAGPAWMVTRSEGHEEK PLPPAQSQTQEGGPAAGKASGADQRDTRRKAGPAWMVTRTEGHEETPLPPAQSQTQEGGP AAGKASGADERDTRRKAGPAWMVRRSEGHEQTPAAHAQGTGPEGGKGRAVADALNRQIRE EVASTVSSSYRNEFRAWTDIKPVKPIKAKPQYKPPDDKMVHETSYSAQFKGEANKPSAAD NKAMDRRRIRSLYSEPFKECPKVEKPSVQSSKPKKTSTSHKPPRKAKDKQVVSGQAAKKK TTEGPSATKPDDKEQSKEMNNKLAEAKE >ENSMUSP00000146954.1 pep:known chromosome:GRCm38:7:99267972:99337137:1 gene:ENSMUSG00000055407.14 transcript:ENSMUST00000208924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map6 description:microtubule-associated protein 6 [Source:MGI Symbol;Acc:MGI:1201690] MQLSADARDPEGAGGAGVLAAGKASGVDQRDTRRKAGPAWMVTRNEGHEEKPLPPAQSQT QEGGPAAGKASGADQRDTRRKAGPAWMVTRSEGHEEKPLPPAQSQTQEGGPAAGKASGAD QRDTRRKAGPAWMVTRTEGHEETPLPPAQSQTQEGGPAAGKASGADERDTRRKAGPAWMV RRSEGHEQTPAAHAQGTGPEGGKGRAVADALNRQIREEVASTVSSSYRNEFRAWTDIKPV KPIKAKPQYKPPDDKMVHETSYSAQFKGEANKPSAADNKAMDRRRIRSLYSEPFKECPKV EKPSVQSSKPKKTSTSHKPPRKAKDKQVVSGQAAKKKTTEGPSATKPDDKEQSKEMNNKL AEAKESRVKPTSDASKNRGPVTKEPHKDQGSVAPGLPKGQEPLKDQGPVVPGLPKDQVPV VPGSLKGQSPTAPGPTKDQGAVLLGPVKDLGPVAPAPIKVQDHIASELLKNKDSVPLAPA KAQSPLLPEPLKNQSPVVPASTKDQSFPTPAPRKDPGPVIPEPEKDRAPTVPERRKDQHV SIMASLKNEAPMVPESVKNQGLAGPELVKDTGTDTTAPRYLKGHDSVFVAPVKNQGPVIP EPVKSQDPIIPALAKDQGPMLPEPPKNQSPVVLGPIKNQDPIIPVPLKGQDPLVPAPTKD QGPTAPDPLKTQGPKGTQLPTVSPSPPVMIPTVPHTEYIEGSP >ENSMUSP00000146897.1 pep:known chromosome:GRCm38:7:99268406:99337137:1 gene:ENSMUSG00000055407.14 transcript:ENSMUST00000208605.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map6 description:microtubule-associated protein 6 [Source:MGI Symbol;Acc:MGI:1201690] MQLSADARDPEGAGGAGVLAAGKASGVDQRDTRRKAGPAWMVTRNEGHEEKPLPPAQSQT QEGGPAAGKASGADQRDTRRKAGPAWMVTRSEGHEEKPLPPAQSQTQEGGPAAGKASGAD QRDTRRKAGPAWMVTRTEGHEETPLPPAQSQTQEGGPAAGKASGADERDTRRKAGPAWMV RRSEGHEQTPAAHAQGTGPEGGKGRAVADALNRQIREEVASTVSSSYRNEFRAWTDIKPV KPIKAKPQYKPPDDKMVHETSYSAQFKGEANKPSAADNKAMDRRRIRSLYSEPFKECPKE PGQTHQ >ENSMUSP00000064787.4 pep:known chromosome:GRCm38:7:99267447:99337136:1 gene:ENSMUSG00000055407.14 transcript:ENSMUST00000068973.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map6 description:microtubule-associated protein 6 [Source:MGI Symbol;Acc:MGI:1201690] MAWPCITRACCIARFWNQLDKADIAVPLVFTKYSEATEHPGAPPQPPAPLQPALAPPSRA VAIETQPAQGESDAVARATGPAPGPSVDRETVAAPGRSGLGLGAASASTSGSGPADSVMR QDYRAWKVQRPEPSCRPRSEYQPSDAPFERETQYQKDFRAWPLPRRGDHPWIPKPVQIPA TSQPSQPVLGVPKRRPQSQERGPMQLSADARDPEGAGGAGVLAAGKASGVDQRDTRRKAG PAWMVTRNEGHEEKPLPPAQSQTQEGGPAAGKASGADQRDTRRKAGPAWMVTRSEGHEEK PLPPAQSQTQEGGPAAGKASGADQRDTRRKAGPAWMVTRTEGHEETPLPPAQSQTQEGGP AAGKASGADERDTRRKAGPAWMVRRSEGHEQTPAAHAQGTGPEGGKGRAVADALNRQIRE EVASTVSSSYRNEFRAWTDIKPVKPIKAKPQYKPPDDKMVHETSYSAQFKGEANKPSAAD NKAMDRRRIRSLYSEPFKECPKVEKPSVQSSKPKKTSTSHKPPRKAKDKQVVSGQAAKKK TTEGPSATKPDDKEQSKEMNNKLAEAKESRVKPTSDASKNRGPVTKEPHKDQGSVAPGLP KGQEPLKDQGPVVPGLPKDQVPVVPGSLKGQSPTAPGPTKDQGAVLLGPVKDLGPVAPAP IKVQDHIASELLKNKDSVPLAPAKAQSPLLPEPLKNQSPVVPASTKDQSFPTPAPRKDPG PVIPEPEKDRAPTVPERRKDQHVSIMASLKNEAPMVPESVKNQGLAGPELVKDTGTDTTA PRYLKGHDSVFVAPVKNQGPVIPEPVKSQDPIIPALAKDQGPMLPEPPKNQSPVVLGPIK NQDPIIPVPLKGQDPLVPAPTKDQGPTAPDPLKTQGPKGTQLPTVSPSPPVMIPTVPHTE YIEGSP >ENSMUSP00000102717.2 pep:known chromosome:GRCm38:7:99268346:99337137:1 gene:ENSMUSG00000055407.14 transcript:ENSMUST00000107100.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map6 description:microtubule-associated protein 6 [Source:MGI Symbol;Acc:MGI:1201690] MQLSADARDPEGAGGAGVLAAGKASGVDQRDTRRKAGPAWMVTRNEGHEEKPLPPAQSQT QEGGPAAGKASGADQRDTRRKAGPAWMVTRSEGHEEKPLPPAQSQTQEGGPAAGKASGAD QRDTRRKAGPAWMVTRTEGHEETPLPPAQSQTQEGGPAAGKASGADERDTRRKAGPAWMV RRSEGHEQTPAAHAQGTGPEGGKGRAVADALNRQIREEVASTVSSSYRNEFRAWTDIKPV KPIKAKPQYKPPDDKMVHETSYSAQFKGEANKPSAADNKAMDRRRIRSLYSEPFKECPKE PGQTHQ >ENSMUSP00000141771.1 pep:known chromosome:GRCm38:1:164304121:164437725:1 gene:ENSMUSG00000026575.15 transcript:ENSMUST00000193808.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nme7 description:NME/NM23 family member 7 [Source:MGI Symbol;Acc:MGI:2449121] MRACQQGRSSSLVSPYMAPKNQSERFAFIAEWYDPNASLLRRYELLFYPTDGSVEMHDVK NRRTFLKRTKYEDLRLEDLFIGNKVNVFSRQLVLIDYGDQYTARQLGSRKEKTLALIKPD AVSKAGEIIEMINKSGFTITKLRMMTLTRKEAADFHVDHHSRPFYNELIQFITSGPVIAM EILRDDAICEWKRLLGPANSGLSRTDAPGSIRALFGTDGVRNAAHGPDTFASAAREMELF FPSSGGCGPANTAKFTNCTCCIIKPHAISEGMLGKILIAIRDACFGMSAIQMFNLDRANV EEFYEVYKGVVSEYNDMVTELCSGPCVAIEIQQSNPTKTFREFCGPADPEIARHLRPETL RAIFGKTKVQNAVHCTDLPEDGLLEVQYFFKILDN >ENSMUSP00000141963.1 pep:known chromosome:GRCm38:1:164307672:164437300:1 gene:ENSMUSG00000026575.15 transcript:ENSMUST00000193683.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme7 description:NME/NM23 family member 7 [Source:MGI Symbol;Acc:MGI:2449121] MRACQQGRSSSLVSPYMAPKNQSERFAFIAEWYDPNASLLRRYELLFYPTDGSVEMHDVK NRRTFLKRTKYEDLRLEDLFIGNKVNVFSRQLVLIDYGDQYTARQLGSRKEKTLALIKPD AVSKAGEIIEMINKSGFTITKLRMMTLTRKEAADFHVDHHSRPFYNELIQFITSGPVIAM EILRDDAICEWKRLLGPANSGLSRTDAPGSIRALFGTDGVRNAAHGPDTFASAAREMELF FPSSGGCGPANTAKFTNCTCCIIKPHAISEGMLGKILIAIRDACFGMSAIQMFNLDRANV EEFYEVYKGVVSEYNDMVTELCSGPCVAIEIQQSNPTKTFREFCGPADPEIARHLRPETL RAIFGKTKVQNAVHCTDLPEDGLLEVQYFFKILDN >ENSMUSP00000141431.1 pep:known chromosome:GRCm38:1:164308073:164437294:1 gene:ENSMUSG00000026575.15 transcript:ENSMUST00000191947.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme7 description:NME/NM23 family member 7 [Source:MGI Symbol;Acc:MGI:2449121] MRACQQGRSSSLVSPYMAPKNQSERFAFIAEWYDPNASLLRRYELLFYPTDGSVEMHDVK NRRTFLKRTKYEDLRLEDLFIGNKVNVFSRQLVLIDYGDQYTARQLGSRKEKTLALIKPD AVSKAGEIIEMINKSGFTITKLRMMTLTRKEAADFHVDHHSRPFYNELIQFITSGPVIAM EILRDDAICEWKRLLGPANSGLSRTDAPGSIRALFGTDGVRNAAHGPDTFASAAREMELF FPSSGGCGPANTAKFTNCTCCIIKPHAISEGMLGKILIAIRDACFGMSAIQMFNLDRANV EEFYEVYKGVVSEYNDMVTELCSGPCVAIEIQQSNPTKTFREFCGPADPEIARHLRPETL RAIFGKTKVQNAVHCTDLPEDGLLEVQYFFKILDN >ENSMUSP00000083192.5 pep:known chromosome:GRCm38:1:164312879:164348181:1 gene:ENSMUSG00000026575.15 transcript:ENSMUST00000086028.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme7 description:NME/NM23 family member 7 [Source:MGI Symbol;Acc:MGI:2449121] MRACQQGRSSSLVSPYMAPKNQSERFAFIAEWYDPNASLLRRYELLFYPTDGSVEMHDVK NRRTFLKRTKYEDLRLEDLFIGNKVNVFSRQLVLIDYGDQYTARQLGSRKEKTLALIKPD AVSKAGEIIEMINKSGFTITKLRMMTLTRKEAADFHVDHHSRPFYNELIQFITSGPVIAM EILRDDAICEWKRLLGPANSGLSRTDAPGSIRALFGTDGVRNAAHGPDTFASAAREMELF FPSSGGCGPANTAKFTNCTCCIIKPHAISEDLFIHYM >ENSMUSP00000053033.8 pep:known chromosome:GRCm38:11:115603925:115608036:1 gene:ENSMUSG00000046756.10 transcript:ENSMUST00000058109.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps7 description:mitchondrial ribosomal protein S7 [Source:MGI Symbol;Acc:MGI:1354367] MAAPALRAPLRWSGLALGVRCAVWNLPGLTQVRGSRYAPEFREPLIDKEYYRKPVAELTE EEKYDQELKKTQFIKAAAATETSSVFADPVISKFTNMMMKGGNKVLARSLMAQTLEAVKR KQFEKYRAASAEEQATIERNPYRIFHEALKNCEPVIGLVPILKGGHFYQVPVPLADRRRR FLAMKWMITECRENKPRRTLMPEKLSHELLEAFHNRGPVIKRKHNMHKMAEANRALAHYR WW >ENSMUSP00000073701.4 pep:known chromosome:GRCm38:18:70568189:70626131:1 gene:ENSMUSG00000024513.16 transcript:ENSMUST00000074058.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd2 description:methyl-CpG binding domain protein 2 [Source:MGI Symbol;Acc:MGI:1333813] MRAHPGGGRCCPEQEEGESAAGGSGAGGDSAIEQGGQGSALAPSPVSGVRREGARGGGRG RGRWKQAARGGGVCGRGRGRGRGRGRGRGRGRGRGRPQSGGSGLGGDGGGGAGGCGGGSG GGVAPRRDPVPFPSGSSGPGPRGPRATESGKRMDCPALPPGWKKEEVIRKSGLSAGKSDV YYFSPSGKKFRSKPQLARYLGNAVDLSSFDFRTGKMMPSKLQKNKQRLRNDPLNQNKGKP DLNTTLPIRQTASIFKQPVTKFTNHPSNKVKSDPQRMNEQPRQLFWEKRLQGLSASDVTE QIIKTMELPKGLQGVGPGSNDETLLSAVASALHTSSAPITGQVSAAVEKNPAVWLNTSQP LCKAFIVTDEDIRKQEERVQQVRKKLEEALMADILSRAADTEEVDIDMDSGDEA >ENSMUSP00000110596.3 pep:known chromosome:GRCm38:18:70568334:70582912:1 gene:ENSMUSG00000024513.16 transcript:ENSMUST00000114946.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd2 description:methyl-CpG binding domain protein 2 [Source:MGI Symbol;Acc:MGI:1333813] MRAHPGGGRCCPEQEEGESAAGGSGAGGDSAIEQGGQGSALAPSPVSGVRREGARGGGRG RGRWKQAARGGGVCGRGRGRGRGRGRGRGRGRGRGRPQSGGSGLGGDGGGGAGGCGGGSG GGVAPRRDPVPFPSGSSGPGPRGPRATESGKRMDCPALPPGWKKEEVIRKSGLSAGKSDV YYFSPSGKKFRSKPQLARYLGNAVDLSSFDFRTGKMMPSKLQKNKQRLRNDPLNQNKFRL IKKQTLIGL >ENSMUSP00000120508.1 pep:known chromosome:GRCm38:18:70580789:70622721:1 gene:ENSMUSG00000024513.16 transcript:ENSMUST00000127260.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mbd2 description:methyl-CpG binding domain protein 2 [Source:MGI Symbol;Acc:MGI:1333813] NDPLNQNKGKPDLNTTLPIRQTASIFKQPVTKFTNHPSNKVKSDPQRMNEQPRQVSQENR RCVEPHWISILL >ENSMUSP00000049454.5 pep:known chromosome:GRCm38:7:86320995:86322050:-1 gene:ENSMUSG00000054498.4 transcript:ENSMUST00000044256.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr308 description:olfactory receptor 308 [Source:MGI Symbol;Acc:MGI:3030142] MITENWTLAQDFLLLGFPGSQVLQFSLFLFFLVMYLLTIGGNMAILLLVSTSHQLQTPMY FFLSNLSFLEIWYTTAAVPKALAILVGKSQSISFLGCLFQMYLVFSLGCTEYFLLAAMAY DRYLAICFPLHYQTIMNSLLSAQLALSSWICGFLAISVPAALISTLSFCGTHAINHFFCD IAPWIALACTSTQGVETVAFVIAFVVILSSCLITLISYAYIIRTILRIPSTSGRRKAFST CSSHLTVVLIWYGSTIFLHVRTSIKDDLQLTKAVHVLNTVVTPALNPFIYTLRNKEVREI LGKKWKRK >ENSMUSP00000140933.1 pep:known chromosome:GRCm38:Y:89052806:89079015:1 gene:ENSMUSG00000102045.1 transcript:ENSMUST00000186443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21294 description:predicted gene, 21294 [Source:MGI Symbol;Acc:MGI:5434649] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEINNPAIGKDENILPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000070414.4 pep:known chromosome:GRCm38:6:72097592:72154571:1 gene:ENSMUSG00000056091.12 transcript:ENSMUST00000069994.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal5 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 5 [Source:MGI Symbol;Acc:MGI:1339963] MHTEAVGGAARRPQKLRSQAAAPACRAMPSEFTSAKLRSDCSRTSLQWYTRTQHKMRRPS LLIKDICKCTLVAFGVWLLYILILNYTAEECDMKRMHYVDPDRIKRAQSYAQEVLQKECR PRYAKTAMALLFEDRYSINLEPFVQKVPTASEAELKYDPPFGFRKFSSKVQSLLDMLPEH DFPEHLRAKACKRCVVVGNGGILHGLELGHALNQFDVVIRLNSAPVEGYSEHVGNKTTIR MTYPEGAPLSDVEYYANDLFVTVLFKSVDFKWLQAMVKNESLPFWVRLFFWKQVAEKVPL QPKHFRILNPVIIKETAFDILQYSEPQSRFWGHDKNIPTIGVIAVVLATHLCDEVSLAGF GYDLSQPRTPLHYFDSQCMGAMHWQVMHNVTTETKFLLKLLKEGVVEDLSGGIH >ENSMUSP00000109747.1 pep:known chromosome:GRCm38:6:72097623:72154571:1 gene:ENSMUSG00000056091.12 transcript:ENSMUST00000114112.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal5 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 5 [Source:MGI Symbol;Acc:MGI:1339963] MPSEFTSAKLRSDCSRTSLQWYTRTQHKMRRPSLLIKDICKCTLVAFGVWLLYILILNYT AEECDMKRMHYVDPDRIKRAQSYAQEVLQKECRPRYAKTAMALLFEDRYSINLEPFVQKV PTASEAELKYDPPFGFRKFSSKVQSLLDMLPEHDFPEHLRAKACKRCVVVGNGGILHGLE LGHALNQFDVVIRLNSAPVEGYSEHVGNKTTIRMTYPEGAPLSDVEYYANDLFVTVLFKS VDFKWLQAMVKNESLPFWVRLFFWKQVAEKVPLQPKHFRILNPVIIKETAFDILQYSEPQ SRFWGHDKNIPTIGVIAVVLATHLCDEVSLAGFGYDLSQPRTPLHYFDSQCMGAMHWQVM HNVTTETKFLLKLLKEGVVEDLSGGIH >ENSMUSP00000146063.1 pep:known chromosome:GRCm38:6:72097757:72147150:1 gene:ENSMUSG00000056091.12 transcript:ENSMUST00000187007.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal5 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 5 [Source:MGI Symbol;Acc:MGI:1339963] XQAAAPACRGTWRSPQSAERTPGSPDSGDPPLRYPRPSGSSPALRRRTRLLGDTGGGSRP LAAYMGAPGAACAGVDAALRRAQSYAQEVLQKECRPRYAKTAMALLFEDRYSINLEPFVQ KVPTASEAELKYDPPFGFRKFSSKVQSLLDMLPEHDFPEHLRAKACKRCVVVGNGGILHG LELGHALNQFDVVIRLNSAPVEGYSEHVGNKTTIRMTYPEGA >ENSMUSP00000145599.1 pep:known chromosome:GRCm38:6:72115232:72142271:1 gene:ENSMUSG00000056091.12 transcript:ENSMUST00000188366.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal5 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 5 [Source:MGI Symbol;Acc:MGI:1339963] MPSEFTSAKLRSDCSRTSLQWYTRTQHKMRRPSLLIKDICKCTLVAFGVWLLYILILNYT AEECDMKRMHYVDPDRIKRAQSYAQEVLQKECRPRYAKTAMALLFEDRYSINLEPFVQKV PT >ENSMUSP00000028852.6 pep:known chromosome:GRCm38:2:127587222:127606829:1 gene:ENSMUSG00000027374.12 transcript:ENSMUST00000028852.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps5 description:mitochondrial ribosomal protein S5 [Source:MGI Symbol;Acc:MGI:1924971] MAAAVRAAGCLPALCSLQAGHFLSRQLSLNAFPVAATSFLAVKTALSHGSLSSRETRRNH CLTSLSHVLQTQCCVSSPGNWTGQQCRPYSFFTKLTAEELWKGALAETGAGARKGRGKRT KKKKRKDLNRGQIIGEGRSGFLWPGLNVPLIKSGVVQNIGQRSKEEQQKVEATMVEQREE WDRKRKIKVKRERGWSGNTWGGVSIGPPDPGPNGETYEDFDTRILEVRNVFNMTAKEGRK KSVRVLVAVGNGNGAAGFAIGKAADRGDAFRKAKNRAIHYLHYIERYEGHTIFHDISLRF KRTQIRMKKQPRGYGLRCHRAIITICRLIGIKDMYARVTGSMNMLNLTRGLFHGLARQET HQHLADKKGLHVVEFREECGPLPIVVASPHGALSKEPEPEPEVPDTKLDWQDVKAMQGLK RSVWFNLKRPAT >ENSMUSP00000119674.1 pep:known chromosome:GRCm38:2:127590441:127595695:1 gene:ENSMUSG00000027374.12 transcript:ENSMUST00000146131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps5 description:mitochondrial ribosomal protein S5 [Source:MGI Symbol;Acc:MGI:1924971] MEGPARTAGGAGHFLSRQLSLNAFPVAATSFLAVKTALSHGSLSSRETRRNHCLTSLSHV LQTQCCVSSPGNWTGQQCRPYSFFTKLTAEELWKGALAETGAGARKGRGKRTKKKKRKDL NRGQIIGEGRSGFLWPGLN >ENSMUSP00000095584.2 pep:known chromosome:GRCm38:7:139972303:139978755:-1 gene:ENSMUSG00000073795.10 transcript:ENSMUST00000097970.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430531B16Rik description:RIKEN cDNA 6430531B16 gene [Source:MGI Symbol;Acc:MGI:2685939] MLSASKPSSASFLPTPGDIHNLCAWLDRLPLSRPKRHLARDFSDGVLVAEIVKHFRPRLV DLHSYVPACSTDQKLSNWSLLNRKVFRKLHLCISDNDIQRVVSNRPGVIESILCALREKM EARTVHVGSAGTADPRHSAVDAVRPWRDLTPNPHTSKMQDPDVDNSSLSEPLWDSGGEHH SVPESWVHDVELPNLQKKAGLQSPPAPSSTKILQNQRDLEKMGCCACRGDSIEGLWDHLV SIQQQLEDKEQALAILQETVKILQLKVMRLEHLVQLKDQRIWELMRTGPEEHQSWRRPHP ESLRPRS >ENSMUSP00000113338.1 pep:known chromosome:GRCm38:7:139975497:139978723:-1 gene:ENSMUSG00000073795.10 transcript:ENSMUST00000121412.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430531B16Rik description:RIKEN cDNA 6430531B16 gene [Source:MGI Symbol;Acc:MGI:2685939] MLSASKPSSASFLPTPGDIHNLCAWLDRLPLSRPKRHLARDFSDGVLVAEIVKHFRPRLV DLHSYVPACSTDQKLSNWSLLNRKVFRKLHLCISDNDIQRVVSNRPGVIESILCALREKM EARTVHVGSAGTADPRHSAVDAVRPWRDLTPNPHTSKMQDPDVDNSSLSEPLWDSGGEHH SVPESWVHDVELPNLQKKAGLQSPPAPSSTKILQNQRDLEKMGCWTQLKGSGITWSASSS SWRIRSKH >ENSMUSP00000076664.7 pep:known chromosome:GRCm38:11:80209019:80266812:1 gene:ENSMUSG00000017686.16 transcript:ENSMUST00000077451.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhot1 description:ras homolog gene family, member T1 [Source:MGI Symbol;Acc:MGI:1926078] MRAGRVRPLRASDMKKDVRILLVGEPRVGKTSLIMSLVSEEFPEEVPPRAEEITIPADVT PERVPTHIVDYSEAEQSDEQLHQEISQANVICIVYAVNNKHSIDKVTSRWIPLINERTDK DSRLPLILVGNKSDLVEYSSMETILPIMNQYTEIETCVECSAKNLKNISELFYYAQKAVL HPTGPLYCPEEKEMKPACIKALTRIFKISDQDNDGTLNDAELNFFQRICFNTPLAPQALE DVKNVVRKHLSDGVADSGLTLRGFLFLHTLFIQRGRHETTWTVLRRFGYDDDLDLTPEYL FPLLKIPPDCTTELNHHAYLFLQSTFDKHDLDRDCALSPDELKDLFQVFPYIPWGPDVNN TVCTNERGWITYQGFLSQWTLTTYLDVQRCLEYLGYLGYSILTEQESQASAITVTRDKKI DLQKKQTQRNVFRCNVIGVKGCGKTGVLQSLLGRNLMRQKKIRDDHKSYYAINTVYVYGQ EKYLLLHDISESEFLTEAETICDVVCLVYDVTNPKSFEYCARIFKQHFMDSRIPCLIVAA KSDLHEVKQEHSISPTDFCRKHKMPPPQAFTCNTADAPSKDIFVKLTTMAMYPEDHYRGS LSRDMGSTDRIENLRKIWVFLKTAFHARLRCMCTCNRCTFCICQNFLNSDLLQSVKNKIF TAVLNRHVTQADLKSSTFWLRASFGATVFAVVGFAMYRALLKQR >ENSMUSP00000090533.6 pep:known chromosome:GRCm38:11:80209084:80267486:1 gene:ENSMUSG00000017686.16 transcript:ENSMUST00000092857.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhot1 description:ras homolog gene family, member T1 [Source:MGI Symbol;Acc:MGI:1926078] MRAGRVRPLRASDMKKDVRILLVGEPRVGKTSLIMSLVSEEFPEEVPPRAEEITIPADVT PERVPTHIVDYSEAEQSDEQLHQEISQANVICIVYAVNNKHSIDKVTSRWIPLINERTDK DSRLPLILVGNKSDLVEYSSMETILPIMNQYTEIETCVECSAKNLKNISELFYYAQKAVL HPTGPLYCPEEKEMKPACIKALTRIFKISDQDNDGTLNDAELNFFQRICFNTPLAPQALE DVKNVVRKHLSDGVADSGLTLRGFLFLHTLFIQRGRHETTWTVLRRFGYDDDLDLTPEYL FPLLKIPPDCTTELNHHAYLFLQSTFDKHDLDRDCALSPDELKDLFQVFPYIPWGPDVNN TVCTNERGWITYQGFLSQWTLTTYLDVQRCLEYLGYLGYSILTEQESQASAITVTRDKKI DLQKKQTQRNVFRCNVIGVKGCGKTGVLQSLLGRNLMRQKKIRDDHKSYYAINTVYVYGQ EKYLLLHDISESEFLTEAETICDVVCLVYDVTNPKSFEYCARIFKQHFMDSRIPCLIVAA KSDLHEVKQEHSISPTDFCRKHKMPPPQAFTCNTADAPSKDIFVKLTTMAMYPHVTQADL KSSTFWLRASFGATVFAVVGFAMYRALLKQR >ENSMUSP00000017831.8 pep:known chromosome:GRCm38:11:80209119:80266767:1 gene:ENSMUSG00000017686.16 transcript:ENSMUST00000017831.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhot1 description:ras homolog gene family, member T1 [Source:MGI Symbol;Acc:MGI:1926078] MRAGRVRPLRASDMKKDVRILLVGEPRVGKTSLIMSLVSEEFPEEVPPRAEEITIPADVT PERVPTHIVDYSEAEQSDEQLHQEISQANVICIVYAVNNKHSIDKVTSRWIPLINERTDK DSRLPLILVGNKSDLVEYSSMETILPIMNQYTEIETCVECSAKNLKNISELFYYAQKAVL HPTGPLYCPEEKEMKPACIKALTRIFKISDQDNDGTLNDAELNFFQRICFNTPLAPQALE DVKNVVRKHLSDGVADSGLTLRGFLFLHTLFIQRGRHETTWTVLRRFGYDDDLDLTPEYL FPLLKIPPDCTTELNHHAYLFLQSTFDKHDLDRDCALSPDELKDLFQVFPYIPWGPDVNN TVCTNERGWITYQGFLSQWTLTTYLDVQRCLEYLGYLGYSILTEQESQASAITVTRDKKI DLQKKQTQRNVFRCNVIGVKGCGKTGVLQSLLGRNLMRQKKIRDDHKSYYAINTVYVYGQ EKYLLLHDISESEFLTEAETICDVVCLVYDVTNPKSFEYCARIFKQHFMDSRIPCLIVAA KSDLHEVKQEHSISPTDFCRKHKMPPPQAFTCNTADAPSKDIFVKLTTMAMYPEDHYRGS LSRDMGSTDRIENLRKIWVFLKTALHVTQADLKSSTFWLRASFGATVFAVVGFAMYRALL KQR >ENSMUSP00000117941.1 pep:known chromosome:GRCm38:11:80242611:80266744:1 gene:ENSMUSG00000017686.16 transcript:ENSMUST00000134894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhot1 description:ras homolog gene family, member T1 [Source:MGI Symbol;Acc:MGI:1926078] XEDVKNVVRKHLSDGVADSGLTLRGFLFLHTLFIQRGRHETTWTVLRRFGYDDDLDLTPE YLFPLLKIPPDCTTELNHHAYLFLQSTFDKHDLDRDCALSPDELKDLFQVFPYIPWGPDV NNTVCTNERGWITYQGFLSQWTLTTYLDVQRCLEYLGYLGYSILTEQESQASAITVTRDK KIDLQKKQTQRNVFRCNVIGVKGCGKTGVLQSLLGRNLMRQKKIRDDHKSYYAINTVYVY GQEKYLLLHDISESEFLTEAETICDVVCLVYDVTNPKSFEYCARIFKQHFMDSRIPCLIV AAKSDLHEVKQEHSISPTDFCRKHKMPPPQAFTCNTADAPSKDIFVKLTTMAMYPHARLR CMCTCNRCTFCICQNFLNSDLLQSVKNKIFTAVLNRHVTQADLKSSTFWLRASFGATVFA VVGFAMYRALLKQR >ENSMUSP00000057669.9 pep:known chromosome:GRCm38:11:80209055:80267907:1 gene:ENSMUSG00000017686.16 transcript:ENSMUST00000055056.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhot1 description:ras homolog gene family, member T1 [Source:MGI Symbol;Acc:MGI:1926078] MRAGRVRPLRASDMKKDVRILLVGEPRVGKTSLIMSLVSEEFPEEVPPRAEEITIPADVT PERVPTHIVDYSEAEQSDEQLHQEISQANVICIVYAVNNKHSIDKVTSRWIPLINERTDK DSRLPLILVGNKSDLVEYSSMETILPIMNQYTEIETCVECSAKNLKNISELFYYAQKAVL HPTGPLYCPEEKEMKPACIKALTRIFKISDQDNDGTLNDAELNFFQRICFNTPLAPQALE DVKNVVRKHLSDGVADSGLTLRGFLFLHTLFIQRGRHETTWTVLRRFGYDDDLDLTPEYL FPLLKIPPDCTTELNHHAYLFLQSTFDKHDLDRDCALSPDELKDLFQVFPYIPWGPDVNN TVCTNERGWITYQGFLSQWTLTTYLDVQRCLEYLGYLGYSILTEQESQASAITVTRDKKI DLQKKQTQRNVFRCNVIGVKGCGKTGVLQSLLGRNLMRQKKIRDDHKSYYAINTVYVYGQ EKYLLLHDISESEFLTEAETICDVVCLVYDVTNPKSFEYCARIFKQHFMDSRIPCLIVAA KSDLHEVKQEHSISPTDFCRKHKMPPPQAFTCNTADAPSKDIFVKLTTMAMYPHARLRCM CTCNRCTFCICQNFLNSDLLQSVKNKIFTAVLNRHVTQADLKSSTFWLRASFGATVFAVV GFAMYRALLKQR >ENSMUSP00000092375.3 pep:known chromosome:GRCm38:4:124741850:124801511:1 gene:ENSMUSG00000028894.18 transcript:ENSMUST00000094782.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5b description:inositol polyphosphate-5-phosphatase B [Source:MGI Symbol;Acc:MGI:103257] MDQSVAIQETLVEGEYCVIAVQGVLCKGDSRQSRLLGLVRYRLENDAQEHALFLYTHRRM AITGDDVSLDQIVPLSKDFMLEEVSPDGELYILGSDVTVQLNTAELKLVFQLPFGSHTRT FLQEVARACPGFDPETRDPEFEWLSRHTCAEPDAESPKPREWNSDPGTRSGFAPIGGSRH QSRNARRGLEDVLPRGPGYILLWGGAAEEPEFLLAEEMHEGGPVRGRRPLAGRRDEALEE ADWEMSAGGGSRERDCAGVSNVDSSRPNGRGPDQPSGARCPEKPENSLTRQNKSKSDMSE KVRSATVTVSDKAHILSVQKFGLRDTIVRSHLVQKEENYTYIQNFRFFVGTYNVNGQSPK ECLRPWLSHSALAPDVYCVGFQELDLSKEAFFFHDTPKEEEWFKAVSESLHPDAKYAKVK FVRLVGIMLLLYVKQEHAAYISEVEAETVGTGIMGRMGNKGGVAIRFQLHNTSICVVNSH LAAHTEEYERRNQDYRDICSRMQFPQVDPSQPPLTINKHDVILWLGDLNYRIEELDVGKV KKLVEEKAFQTLYAHDQLKIQVAARTIFDGFTEGEITFQPTYKYDTGSDDWDTSEKCRAP AWCDRILWKGKNITQLSYQSHMALKTSDHKPVSSVFDIGVRVVNEELYRKTLEEIVRSLD KMENANIPSVTLSKREFCFENVKYMQLQTESFTIHNSQVPCQFEFINKPDEESYCKQWLT ARPSKGFLLPDSHVEIELELFVNKSTATKLNSGKDTIEDILVLHLERGKDYFLSVSGNYL PSCFGSPIHTLCYMREPILDLPLKTVSDLTLMSVQTADDRSQLENPMEIPKELWMMVDYL YRNAVQQEDLFQQPGLRPEFDHIRDCLDTGMIDQLCANNHSVAEALLLFLESLPEPVICY SAYHSCLECSGNYAASKQIILTLPSFHKNVFNYLMAFLQELLKNSANNHLDENILASIFG SLLLRNPARHQKLDMAEKKKAQEFIHQFLCGPL >ENSMUSP00000122568.1 pep:known chromosome:GRCm38:4:124741858:124751479:1 gene:ENSMUSG00000028894.18 transcript:ENSMUST00000153837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5b description:inositol polyphosphate-5-phosphatase B [Source:MGI Symbol;Acc:MGI:103257] MDQSVAIQETLVEGEYCVIAVQGVLCKGDSRQSRLLGLVRYRLENDAQEHALFLYTHRRM AITGDDVSLDQIVPLSKDFMLEEVSPDGELYILGSDVTVQLNTAELKLVFQLPFGSHTRT FLQEVARACPGFDPETRDPEFEWLSRHTCAEPDAESPKPREWNSDPGTRSGFAPIGGSRH QSRNAR >ENSMUSP00000139221.1 pep:known chromosome:GRCm38:4:124741872:124801505:1 gene:ENSMUSG00000028894.18 transcript:ENSMUST00000184454.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Inpp5b description:inositol polyphosphate-5-phosphatase B [Source:MGI Symbol;Acc:MGI:103257] MDQSVAIQETLVEGEYCVIAVQGVLCKGDSRQSRLLGLVRYRLENDAQEHALFLYTHRRM AITGDDVSLDQIVPLSKDFMLEEVSPDGELYILGSDVTVQLNTAELKLVFQLPFGSHTRT FLQEVARACPGFDPETRDPEFEWLSRHTCAEPDAESPKPREWNSDPGTRSGFAPIGGSRH QSRNARRGLEDVLPRGPGYILLWGGAAEEPEFLLAEEMHEGGPVRGRRPLAGRRDEALEE ADWEMSAGGGSRERDCAGVSNVDSSRPNGRGPDQPSGARCPEKPENSLTRQNKSKSDMSE KVRSATVTVSDKAHILSVQKFGLRDTIVRSHLVQKEENYTYIQNFRFFVGTYNVNGQSPK ECLRPWLSHSALAPDVYCVGFQELDLSKEAFFFHDTPKEEEWFKAVSESLHPDAKYAKVK FVRLVGIMLLLYVKQEHAAYISEVEAETVGTGIMGRMGNKGGVAIRFQLHNTSICVVNSH LAAHTEEYERRNQDYRDICSRMQFPQVDPSQPPLTINKHDVILWLGDLNYRIEELDVGKV KKLVEEKAFQTLYAHDQLKIQVAARTIFDGFTEGEITFQPTYKYDTGSDDWDTSEKCRAP AWCDRILWKGKNITQLSYQSHMALKTSDHKPVSSVFDIGVRVVNEELYRKTLEEIVRSLD KMENANIPSVTLSKREFCFENVKYMQLQTESFTIHNSQVPCQFEFINKPDEESYCKQWLT ARPSKGFLLPDSHVEIELELFVNKSTATKLNSGKDTIEDILVLHLERGKDYFLSVSGNYL PSCFGSPIHTLCYMREPILDLPLKTVSDLTPGCQCNGAAAVLARG >ENSMUSP00000115176.1 pep:known chromosome:GRCm38:4:124741878:124751441:1 gene:ENSMUSG00000028894.18 transcript:ENSMUST00000154229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5b description:inositol polyphosphate-5-phosphatase B [Source:MGI Symbol;Acc:MGI:103257] MDQSVAIQETLVEGEYCVIISFPVMRKTQRGEVVCQWERERQRGGVGRMGSFALWLSVSL HSEQQAVQGVLCKGDSRQSRLLGLVRYRLENDAQEHALFLYTHRRMAITGDDVSLDQIVP LSKDFMLEEVSPDGELYILGSDVTVQLNTAELKLVFQLPFGSHTRTFLQEVARACPGFDP ETRDPEFEWLSRHTCAEPDAESPKPREWNSDPGTRSGFAP >ENSMUSP00000018880.7 pep:known chromosome:GRCm38:11:68821434:68853135:-1 gene:ENSMUSG00000018736.14 transcript:ENSMUST00000018880.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndel1 description:nuclear distribution gene E-like homolog 1 (A. nidulans) [Source:MGI Symbol;Acc:MGI:1932915] MDGEDIPDFSSLKEETAYWKELSLKYKQSFQEARDELVEFQEGSRELEAELEAQLVQAEQ RNRDLQADNQRLKYEVEALKEKLEHQYAQSYKQVSVLEDDLSQTRAIKEQLHKYVRELEQ ANDDLERAKRATIVSLEDFEQRLNQAIERNAFLESELDEKESLLVSVQRLKDEARDLRQE LAVRERQQEVTRKSAPSSPTLDCEKMDSAVQASLSLPATPVGKGTENSFPSPKAIPNGFG TSPLTPSARISALNIVGDLLRKVGALESKLAACRNFAKDQASRKSYVPGSVNCGVMNSNG PECPRSGRATFFHKGAVNGFDPAPPPPGLGSSRPSSAPGMLPLSV >ENSMUSP00000098579.2 pep:known chromosome:GRCm38:11:68829303:68871858:-1 gene:ENSMUSG00000018736.14 transcript:ENSMUST00000101017.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndel1 description:nuclear distribution gene E-like homolog 1 (A. nidulans) [Source:MGI Symbol;Acc:MGI:1932915] MDGEDIPDFSSLKEETAYWKELSLKYKQSFQEARDELVEFQEGSRELEAELEAQLVQAEQ RNRDLQADNQRLKYEVEALKEKLEHQYAQSYKQVSVLEDDLSQTRAIKEQLHKYVRELEQ ANDDLERAKRATIVSLEDFEQRLNQAIERNAFLESELDEKESLLVSVQRLKDEARDLRQE LAVRERQQEVTRKSAPSSPTLDCEKMDSAVQASLSLPATPVGKGTENSFPSPKAIPNGFG TSPLTPSARISALNIVGDLLRKVGALESKLAACRNFAKDQASRKSYVPGSVNCGVMNSNG PECPRSGRATFFHKG >ENSMUSP00000104312.1 pep:known chromosome:GRCm38:11:68829318:68853041:-1 gene:ENSMUSG00000018736.14 transcript:ENSMUST00000108672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndel1 description:nuclear distribution gene E-like homolog 1 (A. nidulans) [Source:MGI Symbol;Acc:MGI:1932915] MDGEDIPDFSSLKEETAYWKELSLKYKQSFQEARDELVEFQEGSRELEAELEAQLVQAEQ RNRDLQADNQRLKYEVEALKEKLEHQYAQSYKQVSVLEDDLSQTRAIKEQLHKYVRELEQ ANDDLERAKRATIVSLEDFEQRLNQAIERNAFLESELDEKESLLVSVQRLKDEARDLRQE LAVRERQQEVTRKSAPSSPTLDCEKMDSAVQASLSLPATPVGKGTENSFPSPKAIPNGFG TSPLTPSARISALNIVGDLLRKVGALESKLAACRNFAKDQASRKSYVPGSVNCGVMNSNG PECPRSGRATFFHKG >ENSMUSP00000102116.2 pep:known chromosome:GRCm38:11:115607918:115612503:-1 gene:ENSMUSG00000020743.15 transcript:ENSMUST00000106507.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mif4gd description:MIF4G domain containing [Source:MGI Symbol;Acc:MGI:1916924] MSEASRDDYKIQSFDAETQQLLKTALKDPGAVDLERVANVIVDHSLQDCVFSKEAGRMCY AIIQAESKQAGQSVFRRGLLNRLQKEYDAREQLRACSLQGWVCYVTFICNIFDYLRVNNM PMMALVNPVYDCLFQLAQPESLSREEEVDCLVLQLHRVGEQLEKMNGQRMDELFILIRDG FLLPTDLSSLARLLLLEMIEFRAAGWKTTPAAHKYYYSEVSD >ENSMUSP00000021087.7 pep:known chromosome:GRCm38:11:115607918:115612969:-1 gene:ENSMUSG00000020743.15 transcript:ENSMUST00000021087.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mif4gd description:MIF4G domain containing [Source:MGI Symbol;Acc:MGI:1916924] MSEASRDDYKIQSFDAETQQLLKTALKDPGAVDLERVANVIVDHSLQDCVFSKEAGRMCY AIIQAESKQAGQSVFRRGLLNRLQKEYDAREQLRACSLQGWVCYVTFICNIFDYLRVNNM PMMALVNPVYDCLFQLAQPESLSREEEVDCLVLQLHRVGEQLEKMNGQRMDELFILIRDG FLLPTDLSSLARLLLLEMIEFRAAGWKTTPAAHKYYYSEVSD >ENSMUSP00000102115.1 pep:known chromosome:GRCm38:11:115608149:115612878:-1 gene:ENSMUSG00000020743.15 transcript:ENSMUST00000106506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mif4gd description:MIF4G domain containing [Source:MGI Symbol;Acc:MGI:1916924] MSEASRDDYKIQSFDAETQQLLKTALKDPGAVDLERVANVIVDHSLQDCVFSKEAGRMCY AIIQAESKQAGQSVFRRGLLNRLQKEYDAREQLRACSLQGWVCYVTFICNIFDYLRLAQP ESLSREEEVDCLVLQLHRVGEQLEKMNGQRMDELFILIRDGFLLPTDLSSLARLLLLEMI EFRAAGWKTTPAAHKYYYSEVSD >ENSMUSP00000119643.1 pep:known chromosome:GRCm38:11:115608512:115612126:-1 gene:ENSMUSG00000020743.15 transcript:ENSMUST00000148574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mif4gd description:MIF4G domain containing [Source:MGI Symbol;Acc:MGI:1916924] MSEASRDDYKIQSFDAETQQLLKTALKDPGAVDLERVANVIVDHSLQDCVFSKEAGRMCY AIIQAESKQAGQSVFRRGLLNRLQKEYDAREQLRACSLQGWVCYVTFICNIFDYLRLAQP ESLSREEEVDCLVLQLHRVGEQLEKMNGQRMDELFILIRDGF >ENSMUSP00000113537.1 pep:known chromosome:GRCm38:9:35217020:35267777:-1 gene:ENSMUSG00000050471.16 transcript:ENSMUST00000121564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam118b description:family with sequence similarity 118, member B [Source:MGI Symbol;Acc:MGI:1924483] MASTGSQASDKNKIFGFFNDGEPPTKKPRKLLPSLKTKKPRELVLVIGTGISAAVAPQVP ALKSWKGLIQALLDAAIDFDLLEDEESKKFQKCLHEDKNLVHVAHDLIQKLSPRTSNVRS TFFKDCLYEVFDDLESKMEDSGKQLLQSVLHLMENGALVLTTNFDNLLELYAADQGKQLE SLDLTDEKKVLEWAQEKRKLSVLHIHGVYTNPSGIVLHPAGYQNVLRNTEVMREIQKLYE NKSFLFLGCGWTVDDTTFQALFLEAVKHKSDLEHFMLVRRGDVDEFKKLRENMLDKGIKV ISYGNDYADLPEYFKRLTCEISTRGRSAGMAREGQLNGSSAAHGEIRGCST >ENSMUSP00000058377.7 pep:known chromosome:GRCm38:9:35217020:35267805:-1 gene:ENSMUSG00000050471.16 transcript:ENSMUST00000059057.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam118b description:family with sequence similarity 118, member B [Source:MGI Symbol;Acc:MGI:1924483] MASTGSQASDKNKIFGFFNDGEPPTKKPRKLLPSLKTKKPRELVLVIGTGISAAVAPQVP ALKSWKGLIQALLDAAIDFDLLEDEESKKFQKCLHEDKNLVHVAHDLIQKLSPRTSNVRS TFFKDCLYEVFDDLESKMEDSGKQLLQSVLHLMENGALVLTTNFDNLLELYAADQGKQLE SLDLTDEKKVLEWAQEKRKLSVLHIHGVYTNPSGIVLHPAGYQNVLRNTEVMREIQKLYE NKSFLFLGCGWTVDDTTFQALFLEAVKHKSDLEHFMLVRRGDVDEFKKLRENMLDKGIKV ISYGNDYADLPEYFKRLTCEISTRGRSAGMAREGQLNGSSAAHGEIRGCST >ENSMUSP00000066281.5 pep:known chromosome:GRCm38:9:35216965:35267780:-1 gene:ENSMUSG00000050471.16 transcript:ENSMUST00000063782.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam118b description:family with sequence similarity 118, member B [Source:MGI Symbol;Acc:MGI:1924483] MASTGSQASDKNKIFGFFNDGEPPTKKPRKLLPSLKTKKPRELVLVIGTGISAAVAPQVP ALKSWKGLIQALLDAAIDFDLLEDEESKKFQKCLHEDKNLVHVAHDLIQKLSPVLEWAQE KRKLSVLHIHGVYTNPSGIVLHPAGYQNVLRNTEVMREIQKLYENKSFLFLGCGWTVDDT TFQALFLEAVKHKSDLEHFMLVRRGDVDEFKKLRENMLDKGIKVISYGNDYADLPEYFKR LTCEISTRGRSAGMAREGQLNGSSAAHGEIRGCST >ENSMUSP00000121690.1 pep:known chromosome:GRCm38:9:35217235:35235445:-1 gene:ENSMUSG00000050471.16 transcript:ENSMUST00000133739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam118b description:family with sequence similarity 118, member B [Source:MGI Symbol;Acc:MGI:1924483] XLVLVIGTGISAAVAPQVPALKSWKGLIQALLDAAIDFDLLEDEESKKFQKCLHEDKNLV HVAHDLIQKLSPVLEWAQEKRKLSVLHIHGVYTNPSGIVLHPAGYQNVLRNTEVMQGWQE KVS >ENSMUSP00000119747.1 pep:known chromosome:GRCm38:9:35221558:35267767:-1 gene:ENSMUSG00000050471.16 transcript:ENSMUST00000125087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam118b description:family with sequence similarity 118, member B [Source:MGI Symbol;Acc:MGI:1924483] MASTGSQASDKNKIFGFFNDGEPPTKKPRKLLPSLKTKKPRELVLVIGTGISAAVAPQVP ALKSWKGLIQALLDAAIDFDLLEDEESKKFQKCLHEDKNLVHVAHDLIQKLSPRTSNVRS TFFKDCLYEVFDDLESKMEDSGKQLLQSVLHLMENGALVLTTNFDNLLELYAADQGKQLE SLDLTDEKKVLEWAQEKRKLSVLHIHGVYTNPSGIVLHPAGYQNVLRNTEVMREIQKLYE NKSFLFLGCGWTVDDTTFQALFLEAVKH >ENSMUSP00000110989.2 pep:known chromosome:GRCm38:X:21028939:21062003:-1 gene:ENSMUSG00000054737.11 transcript:ENSMUST00000115333.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp182 description:zinc finger protein 182 [Source:MGI Symbol;Acc:MGI:2442220] MAKPQEPVTFEDVAVDFTQEEWQYLNSPQRTLYRDVMLETYSNLVSVCEVASIGSEDQGG ISHLSSLEQQVTKPDLIIKLEVEEPDPEDGEIPVWSFPEVCQINEQFERQHQDKQDKYLL MQVRLPNDNIITKSGQNYTDFRNAFHLSTGLLVPMQRSHKFESFGNTMVDNLNLLTGSST ENKHDTGCAKFFFHTEYENPNFIVKPCGYKEYEKTLRQKKGLSLHQRIKNGERPFECTAC QKTFSKKSHLIVHWRTHTGEKPFECSECGKAFSQKSQLIIHLRTHTGERPFACPECGKAF REKSTVIIHYRTHTGEKPYECNQCGKAFTQKSNLIVHQKTHTGEKTYECTKCGESFIQKL DLIIHHSTHTGKKPHECSECKKTFSDKSTLVIHQRTHTGEKPHKCTECGKSFNEKSTLIV HQRIHTGEKPYECDVCGKTFTQKSNLGVHQRTHSGEKPFECNECEKAFSQKSYLMLHQRG HTGEKPYECNECEKAFSQKSYLIIHQRTHTEEKPYKCNECGKAFREKSKLIIHQRIHTGE KPYECLVCWKAFSQKSQLIIHQRTHTGEKPYECTECGKAFREKSTFTVHQRTHTGEKPYK CIECGKAFTQKSNLIVHQRTHTVKKAH >ENSMUSP00000110990.1 pep:known chromosome:GRCm38:X:21028943:21062052:-1 gene:ENSMUSG00000054737.11 transcript:ENSMUST00000115334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp182 description:zinc finger protein 182 [Source:MGI Symbol;Acc:MGI:2442220] MRKCFSRPFTERSTQHPAKNLVDSAFIHLFSQEKQEMAKPQEPVTFEDVAVDFTQEEWQY LNSPQRTLYRDVMLETYSNLVSVCEVASIGSEDQGGISHLSSLEQQVTKPDLIIKLEVEE PDPEDGEIPVWSFPEVCQINEQFERQHQDKQDKYLLMQVRLPNDNIITKSGQNYTDFRNA FHLSTGLLVPMQRSHKFESFGNTMVDNLNLLTGSSTENKHDTGCAKFFFHTEYENPNFIV KPCGYKEYEKTLRQKKGLSLHQRIKNGERPFECTACQKTFSKKSHLIVHWRTHTGEKPFE CSECGKAFSQKSQLIIHLRTHTGERPFACPECGKAFREKSTVIIHYRTHTGEKPYECNQC GKAFTQKSNLIVHQKTHTGEKTYECTKCGESFIQKLDLIIHHSTHTGKKPHECSECKKTF SDKSTLVIHQRTHTGEKPHKCTECGKSFNEKSTLIVHQRIHTGEKPYECDVCGKTFTQKS NLGVHQRTHSGEKPFECNECEKAFSQKSYLMLHQRGHTGEKPYECNECEKAFSQKSYLII HQRTHTEEKPYKCNECGKAFREKSKLIIHQRIHTGEKPYECLVCWKAFSQKSQLIIHQRT HTGEKPYECTECGKAFREKSTFTVHQRTHTGEKPYKCIECGKAFTQKSNLIVHQRTHTVK KAH >ENSMUSP00000045005.5 pep:known chromosome:GRCm38:X:21029589:21061986:-1 gene:ENSMUSG00000054737.11 transcript:ENSMUST00000040628.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp182 description:zinc finger protein 182 [Source:MGI Symbol;Acc:MGI:2442220] MAKPQEPVTFEDVAVDFTQEEWQYLNSPQRTLYRDVMLETYSNLVSVCEVASIGSEDQGG ISHLSSLEQQVTKPDLIIKLEVEEPDPEDGEIPVWSFPEVCQINEQFERQHQDKQDKYLL MQVRLPNDNIITKSGQNYTDFRNAFHLSTGLLVPMQRSHKFESFGNTMVDNLNLLTGSST ENKHDTGCAKFFFHTEYENPNFIVKPCGYKEYEKTLRQKKGLSLHQRIKNGERPFECTAC QKTFSKKSHLIVHWRTHTGEKPFECSECGKAFSQKSQLIIHLRTHTGERPFACPECGKAF REKSTVIIHYRTHTGEKPYECNQCGKAFTQKSNLIVHQKTHTGEKTYECTKCGESFIQKL DLIIHHSTHTGKKPHECSECKKTFSDKSTLVIHQRTHTGEKPHKCTECGKSFNEKSTLIV HQRIHTGEKPYECDVCGKTFTQKSNLGVHQRTHSGEKPFECNECEKAFSQKSYLMLHQRG HTGEKPYECNECEKAFSQKSYLIIHQRTHTEEKPYKCNECGKAFREKSKLIIHQRIHTGE KPYECLVCWKAFSQKSQLIIHQRTHTGEKPYECTECGKAFREKSTFTVHQRTHTGEKPYK CIECGKAFTQKSNLIVHQRTHTVKKAH >ENSMUSP00000132608.1 pep:known chromosome:GRCm38:11:76924809:76951136:1 gene:ENSMUSG00000020840.10 transcript:ENSMUST00000140781.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blmh description:bleomycin hydrolase [Source:MGI Symbol;Acc:MGI:1345186] MRLPFMKKFNIEEFEFSQSYLFFWDKVERCYFFLNAFVDTAQKKEPEDGRLVQYLLM >ENSMUSP00000021197.3 pep:known chromosome:GRCm38:11:76945504:76987379:1 gene:ENSMUSG00000020840.10 transcript:ENSMUST00000021197.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blmh description:bleomycin hydrolase [Source:MGI Symbol;Acc:MGI:1345186] MNNAGLNSEKVSALIQKLNSDPQFVLAQNVGTTHDLLDICLRRATVQGAQHVFQHVVPQE GKPVTNQKSSGRCWIFSCLNVMRLPFMKKFNIEEFEFSQSYLFFWDKVERCYFFLNAFVD TAQKKEPEDGRLVQYLLMNPTNDGGQWDMLVNIVEKYGVVPKKCFPESHTTEATRRMNDI LNHKMREFCIRLRNLVHSGATKGEISSTQDAMMEEIFRVVCICLGNPPETFTWEYRDKDK NYHKIGPITPLQFYKEHVKPLFNMEDKICFVNDPRPQHKYNKLYTVDYLSNMVGGRKTLY NNQPIDFLKKMVAASIKDGEAVWFGCDVGKHFNGKLGLSDMNVYDHELVFGVSLKNMNKA ERLAFGESLMTHAMTFTAVSEKDNQEGTFVKWRVENSWGEDHGHKGYLCMTDEWFSEYVY EVVVDKKHVPEEVLAVLEQEPIVLPAWDPMGALAE >ENSMUSP00000132739.1 pep:known chromosome:GRCm38:11:76945821:76966878:1 gene:ENSMUSG00000020840.10 transcript:ENSMUST00000125145.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blmh description:bleomycin hydrolase [Source:MGI Symbol;Acc:MGI:1345186] MNPTNDGGQWDMLVNIVEKYGVVPKKCFPESHTTEATRRMNDILNHKMREFCIRLRNLVH SGATKGEISSTQDAMMEEIFRVVCICLGNPPETFTWEYRDKDKNYHKIGPITPLQFYKEH VKPLFNMEDKICFVNDPRPQHKYNKLYTVDYLSNMVGGRKTLYNNQPIDFLKKMVAASI >ENSMUSP00000130370.1 pep:known chromosome:GRCm38:11:76945851:76986829:1 gene:ENSMUSG00000020840.10 transcript:ENSMUST00000168124.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Blmh description:bleomycin hydrolase [Source:MGI Symbol;Acc:MGI:1345186] MNNAGLNSEKVSALIQKLNSDPQFVLAQNVGTTHDLLDICLRRATVQGAQHVFQHVVPQE GKPVTNQKSSG >ENSMUSP00000128505.1 pep:known chromosome:GRCm38:11:76946047:76954589:1 gene:ENSMUSG00000020840.10 transcript:ENSMUST00000155053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blmh description:bleomycin hydrolase [Source:MGI Symbol;Acc:MGI:1345186] MRLPFMKKFNIEEFEFSQSYLFFWDKVERCYFFLNAFVDTAQKKEPEDGRLVQYLLMNPT NDGGQWDMLVNIVEKYGVVPKKCFPESHTTEATRRMNDILNHKMREFCIRLRNLVHSGAT KGEISSTQDA >ENSMUSP00000118243.2 pep:known chromosome:GRCm38:11:76946068:76952529:1 gene:ENSMUSG00000020840.10 transcript:ENSMUST00000146197.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blmh description:bleomycin hydrolase [Source:MGI Symbol;Acc:MGI:1345186] MPGEGFLVQHSAGCSCEVKSRIYGDPILKLSPSASLGGDIIIMAFDLPTGLNSEKVSALI QKLNSDPQFVLAQNVGTTHDLLDICLRRATVQGAQHVFQHVVPQEGKPVTNQKSSGRCWI FSCLNVMRLPFMKKFNIEEFEFSQSYLFFWDKVERCYFFLNAFVDTAQKKEPEDGRLVQY LLMNPTNDGGQWDMLVNIVEKYGVVPKKC >ENSMUSP00000064986.5 pep:known chromosome:GRCm38:14:13284780:13615459:1 gene:ENSMUSG00000056296.16 transcript:ENSMUST00000070323.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpr description:synaptoporin [Source:MGI Symbol;Acc:MGI:1919253] MDPVSQVASAGTFRALKEPLAFLRALELLFAMFAFATCGGYSGGLRLSVDCVNKTESNLS IDIAFAYPFRLQQVTFEVPTCEGKEQQKLALVGDSSSSAEFFVTVAVFAFLYSLAATVVY IFFQNKYRENNRGPLIDFIVTVVFSFLWLVGSSAWAKGLSDVKVATDPKEVLLLMSACKQ PSNKCMAVHSPVMSSLNTSVVFGFLNFILWAGNIWFVFKETGWHSSGQRYLSDPMEKHSS SYNQGRYNQESYGSSGGYSQQANLGPTSDEFGQQPSGPTSFNNQI >ENSMUSP00000108275.2 pep:known chromosome:GRCm38:14:13454057:13615459:1 gene:ENSMUSG00000056296.16 transcript:ENSMUST00000112656.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpr description:synaptoporin [Source:MGI Symbol;Acc:MGI:1919253] MCMVIFAPLFAMFAFATCGGYSGGLRLSVDCVNKTESNLSIDIAFAYPFRLQQVTFEVPT CEGKEQQKLALVGDSSSSAEFFVTVAVFAFLYSLAATVVYIFFQNKYRENNRGPLIDFIV TVVFSFLWLVGSSAWAKGLSDVKVATDPKEVLLLMSACKQPSNKCMAVHSPVMSSLNTSV VFGFLNFILWAGNIWFVFKETGWHSSGQRYLSDPMEKHSSSYNQGRYNQESYGSSGGYSQ QANLGPTSDEFGQQPSGPTSFNNQI >ENSMUSP00000116342.1 pep:known chromosome:GRCm38:14:13453958:13563045:1 gene:ENSMUSG00000056296.16 transcript:ENSMUST00000153954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpr description:synaptoporin [Source:MGI Symbol;Acc:MGI:1919253] MCMVIFAPLFAMFAFATCGGYSGGLRLSVDCVNKTESNLSIDIAFAYPFRCLSAVSWSLR QASDWHSLSTLQLSLGLYKGDLRLQQVTFEVPTCEGKEQQKLALVGDSSSSAEFFVTVAV FAFLY >ENSMUSP00000071517.6 pep:known chromosome:GRCm38:8:23153269:23158948:1 gene:ENSMUSG00000063672.7 transcript:ENSMUST00000071588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx6-3 description:NK6 homeobox 3 [Source:MGI Symbol;Acc:MGI:1921811] MESNLQGTFLLNNTQLAQFSEMKAPMCQYSVQNSFYKLSPPGLGPQLAAGTPHGITDILS RPVATPNSSLLSGYPHVAGFGGLSSQGVYYGPQVGSFSKAGNEYPTRTRNCWADTGQDWR GSARPCSNTPDPLSDTIHKKKHTRPTFTGHQIFALEKTFEQTKYLAGPERARLAYSLGMT ESQVKVWFQNRRTKWRKKSALEPSSSTPRAPGGASGDRAASENEDDEYNKPLDPDSDDEK IRLLLRKHRAAFSVLSLGAHSV >ENSMUSP00000025385.6 pep:known chromosome:GRCm38:18:50128201:50196269:1 gene:ENSMUSG00000024507.6 transcript:ENSMUST00000025385.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b4 description:hydroxysteroid (17-beta) dehydrogenase 4 [Source:MGI Symbol;Acc:MGI:105089] MASPLRFDGRVVLVTGAGGGLGRAYALAFAERGALVIVNDLGGDFKGIGKGSSAADKVVA EIRRKGGKAVANYDSVEAGEKLVKTALDTFGRIDVVVNNAGILRDRSFSRISDEDWDIIH RVHLRGSFQVTRAAWDHMKKQNYGRILMTSSASGIYGNFGQANYSAAKLGILGLCNTLAI EGRKNNIHCNTIAPNAGSRMTETVLPEDLVEALKPEYVAPLVLWLCHESCEENGGLFEVG AGWIGKLRWERTLGAIVRKRNQPMTPEAVRDNWEKICDFSNASKPQTIQESTGGIVEVLH KVDSEGISPNRTSHAAPAATSGFVGAVGHKLPSFSSSYTELQSIMYALGVGASVKNPKDL KFVYEGSADFSCLPTFGVIVAQKSMMNGGLAEVPGLSFNFAKALHGEQYLELYKPLPRSG ELKCEAVIADILDKGSGVVIVMDVYSYSGKELICYNQFSVFVVGSGGFGGKRTSEKLKAA VAVPNRPPDAVLRDATSLNQAALYRLSGDWNPLHIDPDFASVAGFEKPILHGLCTFGFSA RHVLQQFADNDVSRFKAIKVRFAKPVYPGQTLQTEMWKEGNRIHFQTKVHETGDVVISNA YVDLVPASGVSTQTPSEGGELQSALVFGEIGRRLKSVGREVVKKANAVFEWHITKGGTVA AKWTIDLKSGSGEVYQGPAKGSADVTIIISDEDFMEVVFGKLDPQKAFFSGRLKARGNIM LSQKLQMILKDYAKL >ENSMUSP00000097887.3 pep:known chromosome:GRCm38:2:25657952:25661501:1 gene:ENSMUSG00000026937.12 transcript:ENSMUST00000100312.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn5 description:lipocalin 5 [Source:MGI Symbol;Acc:MGI:1277241] MCSVARHMESIMLFTLLGLCVGLAAGTEAAVVKDFDVNKFLGFWYEIALASKMGAYGLAH KEEKMGAMVVELKENLLALTTTYYNEGHCVLEKVAATQVDGSAKYKVTRISGEKEVVVVA TDYMTYTVIDITSLVAGAVHRAMKLYSRSLDNNGEALNNFQKIALKHGFSETDIHILKHD YIEIKALPKPGS >ENSMUSP00000028306.6 pep:known chromosome:GRCm38:2:25657955:25661645:1 gene:ENSMUSG00000026937.12 transcript:ENSMUST00000028306.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn5 description:lipocalin 5 [Source:MGI Symbol;Acc:MGI:1277241] MCSVARHMESIMLFTLLGLCVGLAAGTEAAVVKDFDVNKFLGFWYEIALASKMGAYGLAH KEEKMGAMVVELKENLLALTTTYYNEGHCVLEKVAATQVDGSAKYKVTRISGEKEVVVVA TDYMTYTVIDITSLVAGAVHRAMKLYSRSLDNNGEALNNFQKIALKHGFSETDIHILKHD LTCVNALQSGQI >ENSMUSP00000097888.3 pep:known chromosome:GRCm38:2:25657955:25661977:1 gene:ENSMUSG00000026937.12 transcript:ENSMUST00000100313.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn5 description:lipocalin 5 [Source:MGI Symbol;Acc:MGI:1277241] MCSVARHMESIMLFTLLGLCVGLAAGTEAAVVKDFDVNKFLGFWYEIALASKMGAYGLAH KEEKMGAMVVELKENLLALTTTYYNEGHCVLEKVAATQVDGSAKYKVTRISGEKEVVVVA TDYMTYTVIDITSLVAGAVHRAMKLYSRSLDNNGEALNNFQKIALKHGFSETDIHILKHD >ENSMUSP00000116007.1 pep:known chromosome:GRCm38:6:48718607:48752600:1 gene:ENSMUSG00000098715.6 transcript:ENSMUST00000127537.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28053 description:predicted gene, 28053 [Source:MGI Symbol;Acc:MGI:5547789] MGGRKMARDEEDAYEENGTPSEEHIWNYSSRTRSPLCTRI >ENSMUSP00000145065.1 pep:known chromosome:GRCm38:6:48739057:48752644:1 gene:ENSMUSG00000098715.6 transcript:ENSMUST00000204408.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28053 description:predicted gene, 28053 [Source:MGI Symbol;Acc:MGI:5547789] MGGRKMARDEEDAYEENGTPSEEHIWNYSSRTRSPLCTRI >ENSMUSP00000001485.3 pep:known chromosome:GRCm38:11:97041560:97049213:1 gene:ENSMUSG00000001445.10 transcript:ENSMUST00000001485.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl10 description:mitochondrial ribosomal protein L10 [Source:MGI Symbol;Acc:MGI:1333801] MAAAVAGILRGGLPPRAAWLPTLQTVRHGSKAVTRHWRVMHFQRQKLMAITEYIPPKPAI NPRCLPPPPKPPKEESGLVRLLRQDIVAVFRDNRMIAVCQNVALSAEDKLLLRHQLRKHK IFIKVFPSQVLKPFLENSKYRNLLPLFVGHNLLLVSEEPKVKEMVRVLKSVPFLPLLGGC VDDTILSRQGLVDYAKLPSLDQLQGQLVGGLTHLMAQTRYLLQHQPVQLTSLLDQYVKEQ NEGDCATSANEKLHPPDPAPDA >ENSMUSP00000103244.1 pep:known chromosome:GRCm38:11:97041595:97048518:1 gene:ENSMUSG00000001445.10 transcript:ENSMUST00000054252.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl10 description:mitochondrial ribosomal protein L10 [Source:MGI Symbol;Acc:MGI:1333801] MAAAVAGILRGGLPPRAAWLPTLQTVRHGSKAVTRHWRVMHFQRQKLMAITEYIPPKPAI NPRCLPPPPKPPKEESGLVRLLRQDIVAVFRDNRMIAVCQNVALSAEDKLLLRHQLRKHK IFIKVFPSQVLKPFLENSKYRNLLQHQPVQLTSLLDQYVKEQNEGDCATSANEKLHPPDP APDA >ENSMUSP00000073121.1 pep:known chromosome:GRCm38:6:90035933:90036841:-1 gene:ENSMUSG00000057592.1 transcript:ENSMUST00000073415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r48 description:vomeronasal 1 receptor 48 [Source:MGI Symbol;Acc:MGI:2148508] MNENSRLHTHSNIRNTFFSEIGIGISGNSFLLLFHIIKFFRGHRPRLTDLPIGLLSLIHL LMLLVAAVIATDIFISWRGWNDIICKFLVYLYRSLRGLSLCTTSMLSVLQAIILSPRSYC LAKFKRKSSHNISCAIIFLSVLYMSISSHLLISITATPNLTMNDFLYVSQSCSLLPLSYL MQSIYSTLLVLREVFLIGLMVLSTSYMVALLYMHRKQAQNLQGTSLSLKASAEQRATQTI LMLMTFFVLMSIFDSIVSCSRTMFLDDPTSYSIHIFVMHIYATVSPFVFISTEKHIVNIL RG >ENSMUSP00000091590.3 pep:known chromosome:GRCm38:3:100922202:100931702:1 gene:ENSMUSG00000033233.17 transcript:ENSMUST00000094048.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim45 description:tripartite motif-containing 45 [Source:MGI Symbol;Acc:MGI:1918187] MWTLLNLLDDSDVTLEDDMAPNCEARPFRTDPELCSLQPQIGILCPVCDAQVDLPLGGVK ALTVDHLAMNDVLLENLRGEGQGLVCDLCSDREVEKRCQTCKANLCHFCCQAHRRQKKTT YHTMVDLKDLKGYSQVGKPILCPSHPAEELRLFCELCDRPVCRDCVVGEHREHPYDFTSN VIHKHGDSVRELLRDTQPHVEALEDALAQIKSVNNALQERVEAVAADVRTFSEGYIKAIE EHRDKLLQQLDDIRIQRETALQLQKAQLEQLLADMRTGVEFTEHLLTSGSDLEILITKGV VVERLRKLNKVEYSARPGVNHKICFSPQEKAGQCQGYEVYGAINTQEVDPAQCVLQGEDL HRAREKQTASFTLFCKDASGQSMGRGGDNVHVEVVPKDKKDSPIRTVVQDNKDGSYRVSY TPKEPGIYTVWVCIREQHVQGSPFNVTVRRKHRPHPGVFHCCTFCSSGGQKAARCACGGT MPGGYLGCGHGHKGHPGRPHWSCCGKFIEKSECSFTSGQGAPRSLLRTVAL >ENSMUSP00000043389.6 pep:known chromosome:GRCm38:3:100922475:100934011:1 gene:ENSMUSG00000033233.17 transcript:ENSMUST00000037409.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim45 description:tripartite motif-containing 45 [Source:MGI Symbol;Acc:MGI:1918187] MSEIRKPLLGFVHKLQDANASGSSGKTHCPTCLRLFKVPRLLPCLHTVCTTCLEKLDPFS VVDIRGGDSDTSSEGSVFQDPELCSLQPQIGILCPVCDAQVDLPLGGVKALTVDHLAMND VLLENLRGEGQGLVCDLCSDREVEKRCQTCKANLCHFCCQAHRRQKKTTYHTMVDLKDLK GYSQVGKPILCPSHPAEELRLFCELCDRPVCRDCVVGEHREHPYDFTSNVIHKHGDSVRE LLRDTQPHVEALEDALAQIKSVNNALQERVEAVAADVRTFSEGYIKAIEEHRDKLLQQLD DIRIQRETALQLQKAQLEQLLADMRTGVEFTEHLLTSGSDLEILITKGVVVERLRKLNKV EYSARPGVNHKICFSPQEKAGQCQGYEVYGAINTQEVDPAQCVLQGEDLHRAREKQTASF TLFCKDASGQSMGRGGDNVHVEVVPKDKKDSPIRTVVQDNKDGSYRVSYTPKEPGIYTVW VCIREQHVQGSPFNVTVRRKHRPHPGVFHCCTFCSSGGQKAARCACGGTMPGGYLGCGHG HKGHPGRPHWSCCGKFIEKSECSFTSGQGAPRSLLRTVAL >ENSMUSP00000102593.2 pep:known chromosome:GRCm38:3:100922493:100936920:1 gene:ENSMUSG00000033233.17 transcript:ENSMUST00000106980.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim45 description:tripartite motif-containing 45 [Source:MGI Symbol;Acc:MGI:1918187] MSEIRKPLLGFVHKLQDANASGSSGKTHCPTCLRLFKVPRLLPCLHTVCTTCLEKLDPFS VVDIRGGDSDTSSEGSVFQDPELCSLQPQIGILCPVCDAQVDLPLGGVKALTVDHLAMND VLLENLRGEGQGLVCDLCSDREVEKRCQTCKANLCHFCCQAHRRQKKTTYHTMVDLKDLK GYSQVGKPILCPSHPAEELRLFCELCDRPVCRDCVVGEHREHPYDFTSNVIHKHGDSVRE LLRDTQPHVEALEDALAQIKSVNNALQERVEAVAADVRTFSEGYIKAIEEHRDKLLQQLD DIRIQRETALQLQKAQLEQLLADMRTGVEFTEHLLTSGSDLEILITKGVVVERLRKLNKV EYSARPGVNHKICFSPQEKAGQCQGYEVYGAINTQEVDPAQCVLQGEDLHRAREKQTASF TLFCKDASGQSMGRGGDNVHVEVVPKDKKDSPIRTVVQDNKDGSYRVSYTPKEPGIYTVW VCIREQHVQGSPFNVTVRRKHRPHPGVFHCCTFCSSGGQKAARCACGGTMPGGYLGCGHG HKGHPGRPHWSCCGKFIEKSECSFTSGQGAPRSLLRTVAL >ENSMUSP00000115669.2 pep:known chromosome:GRCm38:3:100922912:100932057:1 gene:ENSMUSG00000033233.17 transcript:ENSMUST00000134993.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim45 description:tripartite motif-containing 45 [Source:MGI Symbol;Acc:MGI:1918187] MSEIRKPLLGFVHKLQDANASGSSGKTHCPTCLRLFKVPRLLPCLHTVCTTCLEKLDPFS VVDIRGGDSDTSSEGSVFQDPELCSLQPQIGILCPVCDAQVDLPLGGVKALTVDHLAMND VLLENLRGEGQGLVCDLCSDREVEKRCQTCKANLCHFCCQAHRRQKKTTYHTMVDLKDLK GYSQVGKPILCPSHPAEELRLFCELCDRPVCRDCVVGEHREHPYDFTSNVIHKHGDSVRE LLRDTQPHVEALEDALAQIKSVNNALQERVEAVAADVRTFSEGYIKAIEEHRDKLLQQLD DIRIQRETALQLQKAQLEQLLADMRTGVEFTEHLLTSGSDLEILITKGVVVERLRKLNKV EYSARPGVNHKICFSPQEKAGQCQGYEVYGAINTQEVDPAQCVLQGEDLHRAREKQTASF TLFCKDASGQSMGRGGDNVHVEVVPKDKKDSPIRTVVQDNKDGSYRVSYTPKEPGIYTVW VCIREQHVQGSPFNVTVRRKHRPHPGVFHCCTFCSSGGQKAARCACGGTMPARRPKQQQA RVRPFAASQVDTLAVATDTKATRAVHTGLAVGSSLRSPSARSRVGRAPRGVCLGPWRSDA SWGQVGPGNPEASITSAMQRTQSLSSSKETDRIKDEVLSLTSDFKCFLCGHLSCTMAECR PPLHRSSRQAADLLHGKLSCFIRALRCLCHGTMSSWSREDFMPSFPLCCSLSGLPRARVS GLLLEDETPLAHHLFVVYFQWFPSTQFMMIITVLPSR >ENSMUSP00000059371.4 pep:known chromosome:GRCm38:6:48701582:48708225:-1 gene:ENSMUSG00000047867.11 transcript:ENSMUST00000053661.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap6 description:GTPase, IMAP family member 6 [Source:MGI Symbol;Acc:MGI:1918876] MDWLYRKTLGSIGSCSIETFPWPFYSFFQRIYISTPPGKPENSPETSATEVGEQRPSCLS ASPVVEEEECEHRPEKNPTRQWPLDSGQGLTKGLKEKKLTPKRLQLLLVGKTGSGKSATG NSILGRQAFESKISARPVTTTFQKGTREFEGKELEVIDTPDIFSPQNQPEATAKKICDLL ASPGPHAVLLVIQVGRYTAEDQAVARCLQEIFGNTILAYTILVFTRKEDLAEGSLEEYIQ ENNNKSLDVLDVACERRHCGFNNKAQGDEQEAQLKKLMEEVELILWENEGHCYTMEFPNV PSKTL >ENSMUSP00000113918.1 pep:known chromosome:GRCm38:6:48701583:48708213:-1 gene:ENSMUSG00000047867.11 transcript:ENSMUST00000119315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap6 description:GTPase, IMAP family member 6 [Source:MGI Symbol;Acc:MGI:1918876] MDWLYRKTLGSIGSCSIETFPWPFYSFFQRIYISTPPGKPENSPETSATEVGEQRPSCLS ASPVVEEEECEHRPEKNPTRQWPLDSGQGLTKGILLS >ENSMUSP00000145325.1 pep:known chromosome:GRCm38:6:48703734:48708196:-1 gene:ENSMUSG00000047867.11 transcript:ENSMUST00000126422.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap6 description:GTPase, IMAP family member 6 [Source:MGI Symbol;Acc:MGI:1918876] MDWLYRKTLGSIGSCSIETFPWPFYSFFQRIYISTPPGKPENSPETSATEVGEQRPSCLS ASPVVEEEECEHRPEKNPTRQWPLDSGQGLTKVPGMSRGKKTQGTVNNTG >ENSMUSP00000026546.9 pep:known chromosome:GRCm38:7:139978932:139992548:-1 gene:ENSMUSG00000025473.16 transcript:ENSMUST00000026546.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam8 description:a disintegrin and metallopeptidase domain 8 [Source:MGI Symbol;Acc:MGI:107825] MLGLWLLSVLWTPVAPGPPLPHVKQYEVVWPRRLAASRSRRALPSHWGQYPESLSYALGT SGHVFTLHLRKNRDLLGSSYTETYSAANGSEVTEQLQEQDHCLYQGHVEGYEGSAASIST CAGLRGFFRVGSTVHLIEPLDADEEGQHAMYQAKHLQQKAGTCGVKDTNLNDLGPRALEI YRAQPRNWLIPRETRYVELYVVADSQEFQKLGSREAVRQRVLEVVNHVDKLYQELSFRVV LVGLEIWNKDKFYISRYANVTLENFLSWREQNLQGQHPHDNVQLITGVDFIGSTVGLAKV SALCSRHSGAVNQDHSKNSIGVASTMAHELGHNLGMSHDEDIPGCYCPEPREGGGCIMTE SIGSKFPRIFSRCSKIDLESFVTKPQTGCLTNVPDVNRFVGGPVCGNLFVEHGEQCDCGT PQDCQNPCCNATTCQLVKGAECASGTCCHECKVKPAGEVCRLSKDKCDLEEFCDGRKPTC PEDAFQQNGTPCPGGYCFDGSCPTLAQQCRDLWGPGARVAADSCYTFSIPPGCNGRMYSG RINRCGALYCEGGQKPLERSFCTFSSNHGVCHALGTGSNIDTFELVLQGTKCEEGKVCMD GSCQDLRVYRSENCSAKCNNHGVCNHKRECHCHKGWAPPNCVQRLADVSDEQAASTSLPV SVVVVLVILVAAMVIVAGIVIYRKAPRQIQRRSVAPKPISGLSNPLFYTRDSSLPAKNRP PDPSETVSTNQPPRPIVKPKRPPPAPPGAVSSSPLPVPVYAPKIPNQFRPDPPTKPLPEL KPKQVKPTFAPPTPPVKPGTGGTVPGATQGAGEPKVALKVPIQKR >ENSMUSP00000101684.2 pep:known chromosome:GRCm38:7:139978932:139992562:-1 gene:ENSMUSG00000025473.16 transcript:ENSMUST00000106069.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam8 description:a disintegrin and metallopeptidase domain 8 [Source:MGI Symbol;Acc:MGI:107825] MLGLWLLSVLWTPAVAPGPPLPHVKQYEVVWPRRLAASRSRRALPSHWGQYPESLSYALG TSGHVFTLHLRKNRDLLGSSYTETYSAANGSEVTEQLQEQDHCLYQGHVEGYEGSAASIS TCAGLRGFFRVGSTVHLIEPLDADEEGQHAMYQAKHLQQKAGTCGVKDTNLNDLGPRALE IYRAQPRNWLIPRETRYVELYVVADSQEFQKLGSREAVRQRVLEVVNHVDKLYQELSFRV VLVGLEIWNKDKFYISRYANVTLENFLSWREQNLQGQHPHDNVQLITGVDFIGSTVGLAK VSALCSRHSGAVNQDHSKNSIGVASTMAHELGHNLGMSHDEDIPGCYCPEPREGGGCIMT ESIGSKFPRIFSRCSKIDLESFVTKPQTGCLTNVPDVNRFVGGPVCGNLFVEHGEQCDCG TPQDCQNPCCNATTCQLVKGAECASGTCCHECKVKPAGEVCRLSKDKCDLEEFCDGRKPT CPEDAFQQNGTPCPGGYCFDGSCPTLAQQCRDLWGPGARVAADSCYTFSIPPGCNGRMYS GRINRCGALYCEGGQKPLERSFCTFSSNHGVCHALGTGSNIDTFELVLQGTKCEEGKVCM DGSCQDLRVYRSENCSAKCNNHGVCNHKRECHCHKGWAPPNCVQRLADVSDEQAASTSLP VSVVVVLVILVAAMVIVAGIVIYRKAPRQIQRRSVAPKPISGLSNPLFYTRDSSLPAKNR PPDPSETVSTNQPPRPIVKPKRPPPAPPGAVSSSPLPVPVYAPKIPNQFRPDPPTKPLPE LKPKQVKPTFAPPTPPVKPGTGGTVPGATQGAGEPKVALKVPIQKR >ENSMUSP00000117858.1 pep:known chromosome:GRCm38:7:139983854:139992562:-1 gene:ENSMUSG00000025473.16 transcript:ENSMUST00000148670.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam8 description:a disintegrin and metallopeptidase domain 8 [Source:MGI Symbol;Acc:MGI:107825] MLGLWLLSVLWTPVAPGPPLPHVKQYEVVWPRRLAASRSRRALPSHWGQYPESLSYALGT SGHVFTLHLRKNRDLLGSSYTETYSAANGSEVTEQLQEQDHCLYQGHVEGYEGSAASIST CAGLRGFFRVGSTVHLIEPLDADEEGQHAMYQAKHLQQKAGTCGVKDTNLNDLGPRALEI YRAQPRNWLIPRETRYVELYVVADSQEFQKLGSREAVRQRVLEVVNHVDKLYQELSFRVV LVGLEIWNKDKFYISRYANVTLENFLSWREQNLQGQHPHDNVQLITGVDFIGSTVGLAKV SALCSRHSGAVNQDHSKNSIGVASTMAHELGHNLGMSHDEDIPGCYCPEPREGGGCIMTE SIGSKFPRIFSRCSKIDLESFVTKPQTGCLTNVPDVNRFVGGPVCGNLFVEHGEQCDCGT PQDCQNPCCNATTCQLVKGAECASGTCCHECKVKPAGEVCRLSKDKCDLEEFCDGRKPTC PEDAFQQNGTPCPGGYCFDGSCPTLAQQCRDLWGPGARVAADSCYTFSIPPGCNGRMYSG SLPSVCRINRCGALYCEGGQKPLERSFCTFSSNHGVCHALGTGSNIDTFELVLQGTKCEE GKVCMDGSCQDLRVYRSENCSAKCNNHGVCNHKRECHCHKGWAPPNCVQRLADVSDEQAA STSLPVSVVVVLVILVAAMVIVAGIVIYRKAPRQIQRRSVAPKPISGLSNPLFYTRDSSL PAKNRPPDPSETVSTNQPPRPIVKP >ENSMUSP00000133673.1 pep:known chromosome:GRCm38:7:139989419:139992508:-1 gene:ENSMUSG00000025473.16 transcript:ENSMUST00000173209.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam8 description:a disintegrin and metallopeptidase domain 8 [Source:MGI Symbol;Acc:MGI:107825] MLGLWLLSVLWTPVAPGPPLPHVKQYEVVWPRRLAASRSRRALPSHWGPAGLKLHRDLLS CQWL >ENSMUSP00000087474.5 pep:known chromosome:GRCm38:11:97050628:97068904:1 gene:ENSMUSG00000038534.17 transcript:ENSMUST00000090020.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl7 description:oxysterol binding protein-like 7 [Source:MGI Symbol;Acc:MGI:1918490] MPSGDCAPHFQVIWYHSVLSPPESRGAELSGSPELGGRRQRRRGRWGDPAGGEAPTSPSF LVPAVAAATAQFCEELAGDSETVSGTAAYGSRGSGVHGSWGFPQDKVTFSPTHPALPSSS GSNFFLMDFQERDTPSLAESTQSSKPSSTQQASELWEVMEEPRGRLGADDIMPERQEGHL LKKRKWPLKGWHKRYFVLEDGILHYATTRQDITKGKVHGSIDVRLSVMSINKKAQRIDLD TEDNIYHLKIKSQDLFHSWVAQLRAHRLAQRLDMPSATHRKAPGTQMLTAGSASALPGVG PREKVSSWLRDSDGLDRCSHALSECQGKLQELHRLLQSLESLHRIPSAPVIPTHQTSVTT ERPKKGKRTSRMWCTQGFAKDDTIGRVGRLHGSVPNLSRYLESRDTSGPRGLPPPDYAHL QRSFWALAQKVHNSLSSVLVALTTERDRLRDLHQGSELSRMVVSEAPDGQRRLHSLSISS DTTADSFSSLNPEEQEALYMKGRELTPQLSQSSVLSLADSHTEFFDACEVLLSASSSENE GSEEEESCASEITTSLSEEVLDIRGADRYQKGPCVPGIAVGPPRRRCLPAASGPGTDVSL WNILRNNIGKDLSKVSMPVQLNEPLNTLQRLCEELEYSSLLDQASRMADPCERMVYIAAF AVSAYSSTYHRAGCKPFNPVLGETYECERPDRGFRFISEQVSHHPPISACHAESENFIFW QDMKWKNKFWGKSLEIVPVGTVNVSLPRFGDHFEWNKVTSCIHNILSGQRWIEHYGEVLI RNTQDSSCHCKITFCKAKYWSSNIHEVQGAVLSRSGRVLHRLFGKWHEGLYRGPPPGGQC IWKPNSMPPDHERNFGFTQFALELNELTSELKRTLPSTDTRLRPDQRYLEEGNIQAAEAQ KRRIEQLQRDRRRVMEENNIVHQARFFRRQTDSSGKEWWVTNHTYWRLRAEPGYGNLDGA VLW >ENSMUSP00000126902.1 pep:known chromosome:GRCm38:11:97050820:97068904:1 gene:ENSMUSG00000038534.17 transcript:ENSMUST00000168565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl7 description:oxysterol binding protein-like 7 [Source:MGI Symbol;Acc:MGI:1918490] MPERQEGHLLKKRKWPLKGWHKRYFVLEDGILHYATTRQDITKGKVHGSIDVRLSVMSIN KKAQRIDLDTEDNIYHLKIKSQDLFHSWVAQLRAHRLAQRLDMPSATHRKAPGTQMLTAG SASALPGVGPREKVSSWLRDSDGLDRCSHALSECQGKLQELHRLLQSLESLHRIPSAPVI PTHQTSVTTERPKKGKRTSRMWCTQGFAKDDTIGRVGRLHGSVPNLSRYLESRDTSGPRG LPPPDYAHLQRSFWALAQKVHNSLSSVLVALTTERDRLRDLHQGSELSRMVVSEAPDGQR RLHSLSISSDTTADSFSSLNPEEQEALYMKGRELTPQLSQSSVLSLADSHTEFFDACEVL LSASSSENEVREEGSCASEITTSLSEEVLDIRGADRYQKGPCVPGIAVGPPRRRCLPAAS GPGTDVSLWNILRNNIGKDLSKVSMPVQLNEPLNTLQRLCEELEYSSLLDQASRMADPCE RMVYIAAFAVSAYSSTYHRAGCKPFNPVLGETYECERPDRGFRFISEQVSHHPPISACHA ESENFIFWQDMKWKNKFWGKSLEIVPVGTVNVSLPRFGDHFEWNKVTSCIHNILSGQRWI EHYGEVLIRNTQDSSCHCKITFCKAKYWSSNIHEVQGAVLSRSGRVLHRLFGKWHEGLYR GPPPGGQCIWKPNSMPPDHERNFGFTQFALELNELTSELKRTLPSTDTRLRPDQRYLEEG NIQAAEAQKRRIEQLQRDRRRVMEENNIVHQARFFRRQTDSSGKEWWVTNHTYWRLRAEP GYGNLDGAVLW >ENSMUSP00000056820.5 pep:known chromosome:GRCm38:6:48746197:48754210:1 gene:ENSMUSG00000043505.8 transcript:ENSMUST00000055558.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap5 description:GTPase, IMAP family member 5 [Source:MGI Symbol;Acc:MGI:2442232] MEHLQKSTYGTIVQGPEAHCVQESSCLRILLVGKSGCGKSATGNSILRRPAFQSRLRGQS VTRTSQAETGTWEGRSILVVDTPPIFESKAQNQDMDKDIGDCYLLCAPGPHVLLLVTQLG RFTAEDAMAVRMVKEVFGVGVMRHMIVLFTRKEDLEEKSLEEFVTHTDNRSLRSLTQECG RRYCAFNNRASGEEQQGQLAELMALVRRLEQECEGSFHSNDLFLHAEALLREGYSVHQEA YRCYLAKVRQEVEKQRRELEEQEGSWIAKMICTVKSCWSSHTAACALLIVLGLTLLTTFI NLCISRCK >ENSMUSP00000118279.1 pep:known chromosome:GRCm38:11:101316213:101320008:1 gene:ENSMUSG00000078652.9 transcript:ENSMUST00000142640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme3 description:proteaseome (prosome, macropain) activator subunit 3 (PA28 gamma, Ki) [Source:MGI Symbol;Acc:MGI:1096366] MASLLKVDQEVKLKMEKWILKKMKYLQSGGLSPSNYNFKVDSFRERITSEAEDLVANFFP KKLLELDSFLKEPILNIHDLTQIHSDMNLPVPDPILLTNSHDGLDGPTYKKRRLDECEEA FQGTKVFVMPNGMLKSNQQLVDIIEKVKPEIRLL >ENSMUSP00000019470.7 pep:known chromosome:GRCm38:11:101316213:101323537:1 gene:ENSMUSG00000078652.9 transcript:ENSMUST00000019470.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme3 description:proteaseome (prosome, macropain) activator subunit 3 (PA28 gamma, Ki) [Source:MGI Symbol;Acc:MGI:1096366] MASLLKVDQEVKLKVDSFRERITSEAEDLVANFFPKKLLELDSFLKEPILNIHDLTQIHS DMNLPVPDPILLTNSHDGLDGPTYKKRRLDECEEAFQGTKVFVMPNGMLKSNQQLVDIIE KVKPEIRLLIEKCNTVKMWVQLLIPRIEDGNNFGVSIQEETVAELRTVESEAASYLDQIS RYYITRAKLVSKIAKYPHVEDYRRTVTEIDEKEYISLRLIISELRNQYVTLHDMILKNIE KIKRPRSSNAETLY >ENSMUSP00000116996.1 pep:known chromosome:GRCm38:11:101316977:101320594:1 gene:ENSMUSG00000078652.9 transcript:ENSMUST00000151385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme3 description:proteaseome (prosome, macropain) activator subunit 3 (PA28 gamma, Ki) [Source:MGI Symbol;Acc:MGI:1096366] MEKWILKKMKYLQSGGLSPSNYNFKVDSFRERITSEAEDLVANFFPKKLLELDSFLKEPI LNIHDLTQIHSDMNLPVPDPILLTNSHDGLDGPTYKKRRLDECEEAFQGTKVFVMPNGML KSNQQLVDIIEKVKPEIRLLIEKCNTVKMWVQLLIPRIEDGNNFGVSIQEETVAELRTVE SEAASYLDQISRYYITRAKLVSK >ENSMUSP00000063548.7 pep:known chromosome:GRCm38:16:8513429:8621568:1 gene:ENSMUSG00000057880.12 transcript:ENSMUST00000065987.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abat description:4-aminobutyrate aminotransferase [Source:MGI Symbol;Acc:MGI:2443582] MAFLLITRRLACSSQKNLHLFIPGSRYISQAAAKVDIEFDYDGPLMKTEVPGPRSKELMK QLNTIQNAEAVHFFCNYEESRGNYLVDVDGNRMLDLYSQISSVPIGYNHPALAKLVQQPQ NASTFINRPALGILPPENFVDKLQESLMSVAPRGMSQLITMACGSCSNENAFKTIFMWYR SKERGQRGFSKEELETCMVNQSPGCPDYSILSFMGAFHGRTMGCLATTHSKAIHKIDIPS FDWPIAPFPRLKYPLEEFTTDNQQEEARCLEEVEDLIVKYRKKKRTVAGIIVEPIQSEGG DNHASDDFFRKLRDIARKHGCAFLVDEVQTGGGCTGKFWAHEHWGLDDPADVMTFSKKMM TGGFFHKEEFRPSAPYRIFNTWLGDPSKNLLLAEVINIIKREDLLNNVARVGKTLLTGLL DLQAQYPQFISRVRGRGTFCSFDTPDEAIRNKLILIARNKGVVLGGCGDKSIRFRPTLVF RDHHAHLFLSIFSGILADFK >ENSMUSP00000111504.1 pep:known chromosome:GRCm38:16:8513481:8603047:1 gene:ENSMUSG00000057880.12 transcript:ENSMUST00000115838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abat description:4-aminobutyrate aminotransferase [Source:MGI Symbol;Acc:MGI:2443582] MAFLLITRRLACSSQKNLHLFIPGSRYISQAAAKVDIEFDYDGPLMKTEVPGPRSKELMK QLNTIQNAEAVHFFCNYEESRGNYLVDVDGNRMLDLYSQISSVPIGYNHPALAKLVQQPQ NASTFINRPALGILPPENFVDKLQESLMSVAPRGMSQLITMACGSCSNENAFKTIFMWYR VSYGAGAPALSPLPPPRVFHLDII >ENSMUSP00000121881.1 pep:known chromosome:GRCm38:16:8583627:8603047:1 gene:ENSMUSG00000057880.12 transcript:ENSMUST00000144444.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abat description:4-aminobutyrate aminotransferase [Source:MGI Symbol;Acc:MGI:2443582] XNRKWPLSLAGYNHPALAKLVQQPQNASTFINRPALGILPPENFVDKLQESLMSVAPRGM SQLITMACGSCSNENAFKTIFMWYRVSYGAGAPALSPLPPPRVFHLDII >ENSMUSP00000111505.2 pep:known chromosome:GRCm38:16:8513485:8619570:1 gene:ENSMUSG00000057880.12 transcript:ENSMUST00000115839.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abat description:4-aminobutyrate aminotransferase [Source:MGI Symbol;Acc:MGI:2443582] MAFLLITRRLACSSQKNLHLFIPGSRYISQAAAKVDIEFDYDGPLMKTEVPGPRSKELMK QLNTIQNAEAVHFFCNYEESRGNYLVDVDGNRMLDLYSQISSVPIGYNHPALAKLVQQPQ NASTFINRPALGILPPENFVDKLQESLMSVAPRGMSQLITMACGSCSNENAFKTIFMWYR SKERGQRGFSKEELETCMVNQSPGCPDYSILSFMGAFHGRTMGCLATTHSKAIHKIDIPS FDWPIAPFPRLKYPLEEFTTDNQQEEARCLEEVEDLIVKYRKKKRTVAGIIVEPIQSEGG DNHASDDFFRKLRDIARKPYRIFNTWLGDPSKNLLLAEVINIIKREDLLNNVARVGKTLL TGLLDLQAQYPQFISRVRGRGTFCSFDTPDEAIRNKLILIARNKGVVLGGCGDKSIRFRP TLVFRDHHAHLFLSIFSGILADFK >ENSMUSP00000116686.1 pep:known chromosome:GRCm38:16:8572902:8605633:1 gene:ENSMUSG00000057880.12 transcript:ENSMUST00000138987.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abat description:4-aminobutyrate aminotransferase [Source:MGI Symbol;Acc:MGI:2443582] RRLACSSQKNLHLFIPGSRYISQAAAKVDIEFDYDGPLMKTEVPGPRSKELMKQLNTIQN AEAVHFFCNYEESRGYNHPALAKLVQQPQNASTFINRPALGILPPENFVDKLQESLMSVA PRGMSQLITMACGSCSNENAFKTIFMWYRSKERGQRGFSKEELETCMVNQSPGCPDYSIL SFMGAFHGRTMGCLATTHSKAIHKIDIPSFDWPIAPFPRLKYPLEEFTTDNQ >ENSMUSP00000031291.7 pep:known chromosome:GRCm38:5:112384354:112392213:-1 gene:ENSMUSG00000029348.11 transcript:ENSMUST00000031291.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asphd2 description:aspartate beta-hydroxylase domain containing 2 [Source:MGI Symbol;Acc:MGI:1920148] MWLEWLVAWSWSLDGLRDCIATGIQSVRDCDGTAVITVACLLILFVWYCYHVGREQPRPH VSVNSLLQGVDANGLQNGSMYCQSPECARCTHHEGLNQKLYHNLQEYAKRYSWSGMGRIH KGIREQGRYLSSQPSIQKPEVFFLPDLPTTPYFSRDAQKHDVELLERNFQAILCEFETLY KAFSNCSLPQGWKVNSTPSGEWFTFDFVSQGVCVPRNCRKCPRTYRLLGSLRTCIGNNVF GNACISVLSPGTVITEHYGPTNIRIRCHLGLKTPNGCELVVGGEPQCWAEGRCLLFDDSF LHTAFHEGSAEDGPRVVFMVDLWHPNVAAAERQALDFIFAPGR >ENSMUSP00000142729.1 pep:known chromosome:GRCm38:5:112391499:112394024:-1 gene:ENSMUSG00000029348.11 transcript:ENSMUST00000200227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asphd2 description:aspartate beta-hydroxylase domain containing 2 [Source:MGI Symbol;Acc:MGI:1920148] MWLEWLVAWSWSLDGLRDCIATGIQSVRDCDGTAVITVACLLILFVWYCYHVGREQPRPH VSVNSLLQGVDANGLQNGSMYCQSPECARCTHHEGLNQKLYHNLQEYAKRYSWSGMGRIH KGIREQGRYLSSQPSIQKPEVFFLPDLPTTPYFSR >ENSMUSP00000143290.1 pep:known chromosome:GRCm38:5:112391688:112394866:-1 gene:ENSMUSG00000029348.11 transcript:ENSMUST00000196256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asphd2 description:aspartate beta-hydroxylase domain containing 2 [Source:MGI Symbol;Acc:MGI:1920148] MWLEWLVAWSWSLDGLRDCIATGIQSVRDCDGTAVITVACLLILFVWYCYHVGREQPRPH VSVNSLLQGVDANGLQNGSMYCQSPECARCTH >ENSMUSP00000142332.1 pep:known chromosome:GRCm38:5:112391776:112393958:-1 gene:ENSMUSG00000029348.11 transcript:ENSMUST00000199906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asphd2 description:aspartate beta-hydroxylase domain containing 2 [Source:MGI Symbol;Acc:MGI:1920148] MWLEWLVAWSWSLDGLRDCIATGIQSVRDCDGTAVITVACLLILFVWYCYHVGREQPRPH VSV >ENSMUSP00000142418.1 pep:known chromosome:GRCm38:5:112391811:112394301:-1 gene:ENSMUSG00000029348.11 transcript:ENSMUST00000197585.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asphd2 description:aspartate beta-hydroxylase domain containing 2 [Source:MGI Symbol;Acc:MGI:1920148] MWLEWLVAWSWSLDGLRDCIATGIQSVRDCDGTAVITVACLLILFVWYCYHV >ENSMUSP00000142842.1 pep:known chromosome:GRCm38:5:112391881:112393930:-1 gene:ENSMUSG00000029348.11 transcript:ENSMUST00000199095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asphd2 description:aspartate beta-hydroxylase domain containing 2 [Source:MGI Symbol;Acc:MGI:1920148] MWLEWLVAWSWSLDGLRDCIATGIQSVR >ENSMUSP00000057293.9 pep:known chromosome:GRCm38:5:105699969:105815215:1 gene:ENSMUSG00000046079.16 transcript:ENSMUST00000060531.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8d description:leucine rich repeat containing 8D [Source:MGI Symbol;Acc:MGI:1922368] MFTLAEVASLNDIQPTYRILKPWWDVFMDYLAVVMLMVAIFAGTMQLTKDQVVCLPVLPS PANSKAHTPPGNADITTEVPRMETATHQDQNGQTTTNDVAFGTSAVTPDIPLQATHPHAE STLPNQEAKKEKRDPTGRKTNLDFQQYVFINQMCYHLALPWYSKYFPYLALIHTIILMVS SNFWFKYPKTCSKVEHFVSILGKCFESPWTTKALSETACEDSEENKQRITGAQTLPKHVS TSSDEGSPSASTPMINKTGFKFSAEKPVIEVPSMTILDKKDGEQAKALFEKVRKFRAHVE DSDLIYKLYVVQTLIKTAKFIFILCYTANFVNAISFEHVCKPKVEHLTGYEVFECTHNMA YMLKKLLISYISIICVYGFICLYTLFWLFRIPLKEYSFEKVREESSFSDIPDVKNDFAFL LHMVDQYDQLYSKRFGVFLSEVSENKLREISLNHEWTFEKLRQHVSRNAQDKQELHLFML SGVPDAVFDLTDLDVLKLELIPEAKIPAKISQMTNLQELHLCHCPAKVEQTAFSFLRDHL RCLHVKFTDVAEIPAWVYLLKNLRELYLIGNLNSENNKMIGLESLRELRHLKILHVKSNL TKVPSNITDVAPHLTKLVIHNDGTKLLVLNSLKKMMNVAELELQNCELERIPHAIFSLSN LQELDLKSNNIRTIEEIISFQHLKRLTCLKLWHNKIVAIPPSITHVKNLESLYFSNNKLE SLPTAVFSLQKLRCLDVSYNNISTIPIEIGLLQNLQHLHITGNKVDILPKQLFKCVKLRT LNLGQNCIASLPEKISQLTQLTQLELKGNCLDRLPAQLGQCRMLKKSGLVVEDQLFDTLP LEVKEALNQDVNVPFANGI >ENSMUSP00000113603.1 pep:known chromosome:GRCm38:5:105700789:105815210:1 gene:ENSMUSG00000046079.16 transcript:ENSMUST00000120847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8d description:leucine rich repeat containing 8D [Source:MGI Symbol;Acc:MGI:1922368] MFTLAEVASLNDIQPTYRILKPWWDVFMDYLAVVMLMVAIFAGTMQLTKDQVVCLPVLPS PANSKAHTPPGNADITTEVPRMETATHQDQNGQTTTNDVAFGTSAVTPDIPLQATHPHAE STLPNQEAKKEKRDPTGRKTNLDFQQYVFINQMCYHLALPWYSKYFPYLALIHTIILMVS SNFWFKYPKTCSKVEHFVSILGKCFESPWTTKALSETACEDSEENKQRITGAQTLPKHVS TSSDEGSPSASTPMINKTGFKFSAEKPVIEVPSMTILDKKDGEQAKALFEKVRKFRAHVE DSDLIYKLYVVQTLIKTAKFIFILCYTANFVNAISFEHVCKPKVEHLTGYEVFECTHNMA YMLKKLLISYISIICVYGFICLYTLFWLFRIPLKEYSFEKVREESSFSDIPDVKNDFAFL LHMVDQYDQLYSKRFGVFLSEVSENKLREISLNHEWTFEKLRQHVSRNAQDKQELHLFML SGVPDAVFDLTDLDVLKLELIPEAKIPAKISQMTNLQELHLCHCPAKVEQTAFSFLRDHL RCLHVKFTDVAEIPAWVYLLKNLRELYLIGNLNSENNKMIGLESLRELRHLKILHVKSNL TKVPSNITDVAPHLTKLVIHNDGTKLLVLNSLKKMMNVAELELQNCELERIPHAIFSLSN LQELDLKSNNIRTIEEIISFQHLKRLTCLKLWHNKIVAIPPSITHVKNLESLYFSNNKLE SLPTAVFSLQKLRCLDVSYNNISTIPIEIGLLQNLQHLHITGNKVDILPKQLFKCVKLRT LNLGQNCIASLPEKISQLTQLTQLELKGNCLDRLPAQLGQCRMLKKSGLVVEDQLFDTLP LEVKEALNQDVNVPFANGI >ENSMUSP00000116346.1 pep:known chromosome:GRCm38:5:105731389:105811837:1 gene:ENSMUSG00000046079.16 transcript:ENSMUST00000156630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8d description:leucine rich repeat containing 8D [Source:MGI Symbol;Acc:MGI:1922368] MFTLAEVASLNDIQPTYRILKPWWDVFMDYLAVVMLM >ENSMUSP00000117072.1 pep:known chromosome:GRCm38:5:105731784:105811848:1 gene:ENSMUSG00000046079.16 transcript:ENSMUST00000127686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8d description:leucine rich repeat containing 8D [Source:MGI Symbol;Acc:MGI:1922368] MFTLAEVASLNDIQPTYRILKPWWDVFMDYLAVVMLMVAIF >ENSMUSP00000114662.1 pep:known chromosome:GRCm38:5:105732063:105812004:1 gene:ENSMUSG00000046079.16 transcript:ENSMUST00000154807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8d description:leucine rich repeat containing 8D [Source:MGI Symbol;Acc:MGI:1922368] MFTLAEVASLNDIQPTYRILKPWWDVFMDYLAVVMLMVAIFAGTMQLTKDQVVCLPVLPS PANSKAHTPPGNADITTEVPRMETATHQDQNGQ >ENSMUSP00000122072.1 pep:known chromosome:GRCm38:11:101246028:101248250:1 gene:ENSMUSG00000001240.13 transcript:ENSMUST00000129680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ramp2 description:receptor (calcitonin) activity modifying protein 2 [Source:MGI Symbol;Acc:MGI:1859650] MAPLRVERAPGGSRLGVTRAQRPTALCLPPLLLLLLLLLGAVSASPESLNQSLPESQNQS HPTEDSLVSKGKMEDYETHVLPCWYEYKSCMDSVKDWCNWTLISRHYSDLQNCLEYNADK FGLGFPNPLAENIILEAHLIHFANCSLVQPTFSDPPEDVLLAMIIAPICLIPFLVTLVVW RSKDSDAQA >ENSMUSP00000127718.1 pep:known chromosome:GRCm38:11:101246391:101259546:1 gene:ENSMUSG00000001240.13 transcript:ENSMUST00000128260.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ramp2 description:receptor (calcitonin) activity modifying protein 2 [Source:MGI Symbol;Acc:MGI:1859650] MAPLRVERAPGGSRLGVTRAQRPTALCLPPLLLLLLLLLGAVSASPESLNQSLPESQNQS HPTEDSLVSKDSSRLVTRTVPIPLRRLPRGVRNLSAVLSARTC >ENSMUSP00000114061.1 pep:known chromosome:GRCm38:11:101246429:101248250:1 gene:ENSMUSG00000001240.13 transcript:ENSMUST00000122006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ramp2 description:receptor (calcitonin) activity modifying protein 2 [Source:MGI Symbol;Acc:MGI:1859650] MAPLRVERAPGGSRLGVTRAQRPTALCLPPLLLLLLLLLGAVSASPESLNQSLPESQNQS HPTEDSLVSKGKMEDYETHVLPCWYEYKSCMDSVKDWCNWTLISR >ENSMUSP00000116331.1 pep:known chromosome:GRCm38:11:101246432:101248250:1 gene:ENSMUSG00000001240.13 transcript:ENSMUST00000149585.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ramp2 description:receptor (calcitonin) activity modifying protein 2 [Source:MGI Symbol;Acc:MGI:1859650] MAPLRVERAPGGSRLGVTRAQRPTALCLPPLLLLLLLLLGAVSASPESLNQSLPESQNQS HPTEDSLVSKGSLRH >ENSMUSP00000123150.1 pep:known chromosome:GRCm38:11:101246567:101247636:1 gene:ENSMUSG00000001240.13 transcript:ENSMUST00000151830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ramp2 description:receptor (calcitonin) activity modifying protein 2 [Source:MGI Symbol;Acc:MGI:1859650] MGPEYISGLAQAGYFPLNAASYLKAGVPAQTGRRAVSASPESLNQSLPESQNQSHPTEDS LVSKGKMEDYETHVLPCWYEY >ENSMUSP00000102903.3 pep:known chromosome:GRCm38:11:101247093:101248064:1 gene:ENSMUSG00000001240.13 transcript:ENSMUST00000107282.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ramp2 description:receptor (calcitonin) activity modifying protein 2 [Source:MGI Symbol;Acc:MGI:1859650] PESQNQSHPTEDSLVSKAYVPTGKMEDYETHVLPCWYEYKSCMDSVKDWCNWTLISRHYS DLQNCLEYNADKFGLGFPNPLAENIILEAHLIHFANCSLVQPTFSDPPEDVLLAMIIAPI CLIPFLVTLVVWRSKDSDAQA >ENSMUSP00000062108.4 pep:known chromosome:GRCm38:6:48739054:48743790:1 gene:ENSMUSG00000090019.5 transcript:ENSMUST00000054368.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap1 description:GTPase, IMAP family member 1 [Source:MGI Symbol;Acc:MGI:109368] MGGRKMARDEEDAYGSEDSRAPQMPQLRLILVGRTGTGKSATGNSILGQKCFLSRLGAVP VTRSCTLASRMWAGWQVEVVDTPDIFSSEIPRTDPGCVETARCFVLSAPGPHALLLVTQL GRFTMQDSQALAAVKRLFGKQVMARTVVVFTRQEDLAGDSLQDYVHCTDNRALRDLVAEC GGRVCALNNRATGSEREAQAEQLLGMVACLVREHGGAHYSNEVYELVQDTRCADPQDQVA KVAEIVAERMQRRTRLLAGLWGWRKFYWKGWRRGFSVFLGVAILIYLLFYRKGFGDQNNR >ENSMUSP00000118345.2 pep:known chromosome:GRCm38:6:48739073:48742723:1 gene:ENSMUSG00000090019.5 transcript:ENSMUST00000140054.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap1 description:GTPase, IMAP family member 1 [Source:MGI Symbol;Acc:MGI:109368] MGGRKMARDEEDAYAGASAGAQRIQMQKGETGKNLSSENPKQMGAPGFQGEQAMWVLPLY AEGLNTSLSQRKACVSDSMLPHLILRLRGLQGPADAPAEAHPSGQDWDRQECHWQQHPGS EVLPVQAGGGACHQKLHFGQQNVGRLAGGGGGHPGYLQLR >ENSMUSP00000145479.1 pep:known chromosome:GRCm38:6:48739076:48743794:1 gene:ENSMUSG00000090019.5 transcript:ENSMUST00000204168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap1 description:GTPase, IMAP family member 1 [Source:MGI Symbol;Acc:MGI:109368] MGGRKMARDEEDAYGSEDSRAPQMPQLRLILVGRTGTGKSATGNSILGQKCFLSRLGAVP VTRSCTLASRMWAGWQVEVVDTPDIFSSEIPRTDPGCVETARCFVLSAPGPHALLLVTQL GRFTMQDSQALAAVKRLFGKQVMARTVVVFTRQEDLAGDSLQDYVHCTDNRALRDLVAEC GGRVCALNNRATGSEREAQAEQLLGMVACLVREHGGAHYSNEVYELVQDTRCADPQDQVA KVAEIVAERMQRRTRLLAGLWGWRKFYWKGWRRGFSVFLGVAILIYLLFYRKGFGDQNNR >ENSMUSP00000030306.7 pep:known chromosome:GRCm38:4:95967240:96025413:1 gene:ENSMUSG00000028572.13 transcript:ENSMUST00000030306.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hook1 description:hook microtubule tethering protein 1 [Source:MGI Symbol;Acc:MGI:1925213] MEDPQPLPQSELPLCDSLIIWLQTFKTASPCQDVKQLTNGVTMAQVLHQIDVAWFSESWL SRIKDDVGDNWRIKASNLKKVLHGITSYYHEFLGQQISEELIPDLNQITECADPVELGRL LQLILGCAVNCEKKQEHIKNIMTLEESVQHVVMTAIQELMSKEIVISPASDTVGELEQQL KRALEELQEAIAEKEELKQRCQELDMQVTTLQDEKNSLVSENEMMNEKLDQLDGSFDDPN TMVAKKYFHVQLQLEQLQEENYRLEAAKDDYRVHCEELEKQLIEFQHRNDELTSLAEETR ALKDEIDVLRATSDKANKLESTVEVYRQKLQDLNDLRKQVKSLQETNMMYMHNTVSLEEE LKKANAARAQLETYKRQVQDLHTKLSSESKRADTLAFEMKRLEEKHETLLKEKERLIEQR DTLKETNEELRCSKAQQDHLNQADASATKSYENLAAEIMPVEYREVFIRLQHENKMLRLQ QEGTENERIEQLQEQLEQKHRKMNELETEQRLSKERIGELQQQIEDLQKSLQEQGSKSEG ESSSKLKQKLEAHMEKLTEVHEELQKKQELIEDLQPDISQNAQKISELEAALQKKDEDMK AMEERYKMYLEKARNVIKTLDPKLNPASAEIMLLRKQLAEKERRIEILESECKVAKLRDY EEKLIVSAWYNKSLAFQKLGMESRLVSGASACKDSVAAAPARSFLAQQRHITNTRRNLSV KVPAAASD >ENSMUSP00000102698.1 pep:known chromosome:GRCm38:4:95967369:95999538:1 gene:ENSMUSG00000028572.13 transcript:ENSMUST00000107083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hook1 description:hook microtubule tethering protein 1 [Source:MGI Symbol;Acc:MGI:1925213] MEDPQPLPQSELPLCDSLIIWLQTFKTASPCQDVKQLTNGVTMAQVLHQIDVAWFSESWL SRIKDDVGDNWRIKASNLKKVLHGITSYYHEFLGQQISEELIPDLNQITECADPVELGRL LQLILGCAVNCEKKQEHIKNIMTLEESVQHVVMTAIQELMSKEIVISPASDTVGELEQQL KRALEELQEAIAEKEELKQRCQELDMQVWTEKPECRRVLCK >ENSMUSP00000097810.2 pep:known chromosome:GRCm38:11:115031486:115037769:-1 gene:ENSMUSG00000089722.2 transcript:ENSMUST00000100239.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11711 description:predicted gene 11711 [Source:MGI Symbol;Acc:MGI:3702661] MWQFPALLILFLPGCCTAQDPVTGPEEVSGQEQGSLTVQCRYDSGWKDYKKYWCRGAYWK SCEILVETDASEQLVKENRVSIRDDQTDFIFTVTMEDLRMSDADIYWCGITKAGTDPMFK VNVNIDPEISTTIMTTTATVLPSTVLTSTVLTSTVLTPTTPTTESIGTENIGQVTQNSLF IWSLLSSISFLLMVFVVVPLLLSMLSAVLWVNRPQRHYGGGEIGLVETHRSDALDGEKHF PGDEK >ENSMUSP00000021803.8 pep:known chromosome:GRCm38:13:46679905:46727940:-1 gene:ENSMUSG00000021374.9 transcript:ENSMUST00000021803.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup153 description:nucleoporin 153 [Source:MGI Symbol;Acc:MGI:2385621] MASEAGGIGGGGGGGKIRTRRCHQGPVKPYQQGRPQHQGILSRVTESVKNIVPGWLQRYF NKSENACSCSPDADEVPPWPENREDEHAIYADENTNTDDGRITPDPAGSNTEEPSTTSTA SNYPDVLTRPSLHRSHLNFSVLESPALHCQPSTSSAFPIGSSGFSLVKEIKDSTSQHDDD NISTTSGFSSRASEKDIAVSKNTSLPPLWSPEAERSHSLSQHTAISSKKPAFNLSAFGTL STSLGNSSILKTSQLGDSPFYPGKTTYGGAAAAVRQNKVRSTPYQAPVRRQMKAKQLNAQ SYGVTSSTARRILQSLEKMSSPLADAKRIPSAVSSPLNSPLDRSGIDNTVFQAKKEKVDS QYPPVQRLMTPKPVSIATNRTVYFKPSLTPSGDLRKTNQRIDKKNSTVDEKSISRQNREQ ESGFSYPNFSIPAANGLSSGVGGGGGKMRRERTHFVAPKPPENEEVEAPLLPQISLPISS SSLPTFSFSSPVTSASPSPVSSSQPLPNKVQMTSLGSTGSPVFTFSSPIVKSTQAAVLPP ASIGFTFSVPLAKTEFSGSNSSSETVLSSSAQDITAVNSSSYKKRSAPCEDPFTPAKILR EGSVLDILKTPGFASPKVDSPALQPTTTSSIVYTRPAISTFSSSGIEYGESLKAGSSWQC DTCLLQNKVTDNKCIACQAAKLPLKETAKQTGTGTPSKSDKPASTSGTGFGDKFKPAIGT WDCDTCLVQNKPEAVKCVACETPKPGTGVKRALTLTVASESPVTASSSTTVTTGTLGFGD KFKRPVGSWECPVCCVCNKAEDNRCVSCTSEKPGLVSASSSSPAPVSLSSGGCLGLDKFK KPEGSWDCEVCLVQNKADSAKCIACESAKPGTKSEFKGFGTSSSLNPAPSAFKFGIPSSS SGLSQTLTSTGNFKFGDQGGFKLGTSSDSGSTNTMNTNFKFSKPTGDFKFGVLSDSKPEE VKNDNKNDNFQFGSSSGLTNPASSAPFQFGVSTLGQQEKKEELPKSSPAGFSFGAGVNNP PNAAIDTTATSENKSGFNFGTLDTKSVSVTPFTYKTTEAKKEDAPATKGGFTFGKVGSSS LPSSSMFVLGRTEEKQQEPVTSTSLVFGKKADSEEPKCQPVFSFGNSEQTKDESSKPTFS FSVAKPSGKESEQLAKATFAFGNQTNTTTDQGAAKPVFSFLNSSSSSSSAPATSSSGGIF GSSTSSSNPPVAAFVFGQASNPVSSSAFGNAAESSTSQSLLFPQESEPATTSSTAPAASP FVFGTGASSNSVSSGFTFGATTTSSSSGSSFVFGTGHSAPSASPAFGANQTPTFGQSQGA SQPNPPSFGSISSSTALFSAGSQPVPPPIFGTVSSSSQPPVFGQQPSQSAFGSGTANASS VFQFGSSTTNFNFTNNNPSGVFTFGASPSTPAASAQPSGSGVFSFSQSPASFTVGSNGKN MFSSSGTSVSGRKIKTAVRRKK >ENSMUSP00000045523.3 pep:known chromosome:GRCm38:8:19495097:19497775:1 gene:ENSMUSG00000037790.3 transcript:ENSMUST00000047851.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb7 description:defensin beta 7 [Source:MGI Symbol;Acc:MGI:2179200] MRIHYVLFAFLLVLLSPFAAFSQDINSKRACYREGGECLQRCIGLFHKIGTCNFRFKCCK FQIPEKKTKIL >ENSMUSP00000044038.5 pep:known chromosome:GRCm38:2:162943474:162974522:1 gene:ENSMUSG00000035576.13 transcript:ENSMUST00000035751.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl1 description:l(3)mbt-like (Drosophila) [Source:MGI Symbol;Acc:MGI:2676663] MEGHTDMEILRTVKGSSTGEVNVHLVARDSAGPHPQLPTTAFIIPTNAATLGLPSTALDV PYPREPVHVGALERVAGSEPVTATILPQLSTGTGTNSTVRLLDWTGVSAPLPGSGMRFRI NEYAPLNMIGVERPRSPEQRHEGGMARRDAGIQHPDVHQDRQDITSLEPPVDASSCKCQA CGPQQSSGLDVGSSGDRCSQPFQKRSVIVENSGCTIASELLKPMKKRKHKEYQSPSEESE PEAVKQGEGKDAEREPTPSTPENEEWSRSQLVSSEKKDGWSWESYLEEQKAVTAPVSLFQ DSQAVTHNKNGFKLGMKLEGIDPQHPSMYFILTVAEVCGYRLRLHFDGYSECHDFWVNAN SPDIHPAGWFEKTGHKLQLPKGYKEEEFSWSQYLRSTKAQAAPKHLFVSQSHSTPPVGFQ VGMKLEAVDRMNPSLVCVASVTDVVDSRFLVHFDDWGDTYDYWCDPSSPYIHPVGWCQKQ GKPLTPPQDYPDPDSFCWEKYLEETGTSAVPNWAFKVRPPHSFLVNMKLEAVDRRNPALI RVASVEDVEDHRIKLHFDGWSHNYDFWIDADHPDIHPAGWCSKTGHPLEPPLRPRESSSV SPGGCPPLSHRSPPHTKTSKYNFHHRKCPTPGCDGSGHVTGKFTAHHCLSGCPLAEKNQS RLKAELSDSETAARKKNPSNLSPRKKPRHQGRIGRPPKYRKIPEEDLQALPPSVVHQSLF MSTLPTHADRPLSVCWEQHCKLLPGVAGISASTVSKWTIEEVFGFVQTLTGSEDQARLFK DEMIDGEAFLLLTQADIVKIMSVKLGPALKIYNAILMFKNTDDAFK >ENSMUSP00000123217.1 pep:known chromosome:GRCm38:2:162943475:162948887:1 gene:ENSMUSG00000035576.13 transcript:ENSMUST00000156954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl1 description:l(3)mbt-like (Drosophila) [Source:MGI Symbol;Acc:MGI:2676663] MEGHTDMEILRTVKGSSTGEVNVHLVARDSAGPHPQLPTTAFIIPTNAATLGLPSTALDV PYPREPVHVGALERVAGSEPVTATILPQLSTGTGTNSTVRLLDWTGVSAPLPGSGMRFRI NEYAPLNMIGVERPRSPEQRHEGGMARRDAGIQHPDVHQDRQDITSLEPPVDASSCKCQA CGPQQSSGLDVGSSGDRCSQPFQKRSVIVENSGCTIASELLKPMKKRKHKEYQSPSEESE PEAV >ENSMUSP00000116118.1 pep:known chromosome:GRCm38:2:162948005:162949539:1 gene:ENSMUSG00000035576.13 transcript:ENSMUST00000124264.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl1 description:l(3)mbt-like (Drosophila) [Source:MGI Symbol;Acc:MGI:2676663] MIGVERPRSPEQRHEGGMARRDAGIQHPDVHQDRQDITSLEPPVDASSCKCQACGPQQSS GLDVGSSGDRCSQPFQKRSVIVENSGCTIASELLKPMKKRKHKEYQSPSEESEPEAVKQG EGKDAEREPTPSTPENEEWSRSQL >ENSMUSP00000057143.7 pep:known chromosome:GRCm38:6:48718621:48724636:1 gene:ENSMUSG00000043931.10 transcript:ENSMUST00000052503.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap7 description:GTPase, IMAP family member 7 [Source:MGI Symbol;Acc:MGI:1349657] MAEPSDNSLRIVLVGKTGSGKSATANTILGQKRFVSRIAPHAVTQNCQSDSRRWKERDLL VVDTPGLFDTKVKLETTCLEISRCVLQSCPGPHAIILVLQLNRFTVEEQETVIRIKAIFG EEVMKYMIVLFTRKDDLEDQSLSDFIADSDTNLKSIIKECGNRCLAINNKAERAERETQV QELMGLVETLVQNNGGLYFSHPVYKDAERRLKKQVEILRKIYTDLPEKEIRIVEEEYALR KFSAQEREKKIQAIRENYNLKIRNLREEAEKNIFNQIIEEVKKVLLKIWHLFS >ENSMUSP00000145238.1 pep:known chromosome:GRCm38:6:48718657:48723739:1 gene:ENSMUSG00000043931.10 transcript:ENSMUST00000204785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap7 description:GTPase, IMAP family member 7 [Source:MGI Symbol;Acc:MGI:1349657] MAEPSDNSLRIVLVGKTGSGKSATANTILGQKRFVSRIAPHAVTQNCQSDSRRWKERDLL VVDTPGLFDTKVKLETTCLEISRCVL >ENSMUSP00000137961.1 pep:known chromosome:GRCm38:10:104177024:104179491:1 gene:ENSMUSG00000094144.8 transcript:ENSMUST00000180889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21312 description:predicted gene, 21312 [Source:MGI Symbol;Acc:MGI:5434667] MADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGMTRN PGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQKQQQ QLSAEELDAQLDAYQEMMDTS >ENSMUSP00000137776.1 pep:known chromosome:GRCm38:10:104177439:104179491:1 gene:ENSMUSG00000094144.8 transcript:ENSMUST00000181239.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21312 description:predicted gene, 21312 [Source:MGI Symbol;Acc:MGI:5434667] MADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGMTRN PGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQKQQQ QLSAEELDAQLDAYQEMMDTS >ENSMUSP00000136519.1 pep:known chromosome:GRCm38:10:104178378:104179172:1 gene:ENSMUSG00000094144.8 transcript:ENSMUST00000179258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21312 description:predicted gene, 21312 [Source:MGI Symbol;Acc:MGI:5434667] MTTMADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGM TRNPGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQK QQQQLSAEELDAQLDAYQEMMDTS >ENSMUSP00000081611.5 pep:known chromosome:GRCm38:7:127561390:127565270:1 gene:ENSMUSG00000106715.3 transcript:ENSMUST00000084563.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem265 description:transmembrane protein 265 [Source:MGI Symbol;Acc:MGI:5613213] MEDKEKAAESLVSNMEAAHSPSPIHCRWLRLQYLAATSIICGCSCLGIMALVFAIKAEER HKAGQSEEAICLRARARRLILASFAVWLAVLFLGPLLLWLLSYTIAQAE >ENSMUSP00000144111.1 pep:known chromosome:GRCm38:7:127561579:127566940:1 gene:ENSMUSG00000106715.3 transcript:ENSMUST00000190278.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem265 description:transmembrane protein 265 [Source:MGI Symbol;Acc:MGI:5613213] MEDKEKAAESLVSNMEAAHSPSPIHCRWLRLQYLAATSIICGCSCLGIMALVFAIKPSKS >ENSMUSP00000099917.3 pep:known chromosome:GRCm38:2:30595040:30608943:1 gene:ENSMUSG00000047363.4 transcript:ENSMUST00000102853.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstad description:CSA-conditional, T cell activation-dependent protein [Source:MGI Symbol;Acc:MGI:1925867] MRWGLPPLLQTCLEFGAQLSHNGGSQGRDPVKHRGCTLRSWGVARYLPGEFCTGRLSQLL RQSCACLHGFQEHLLGDLAHHIVSLRAIMSWYSQWPAFQVQGQP >ENSMUSP00000090116.3 pep:known chromosome:GRCm38:11:115042131:115048409:-1 gene:ENSMUSG00000069607.4 transcript:ENSMUST00000092459.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300lh description:CD300 antigen like family member H [Source:MGI Symbol;Acc:MGI:2687214] MWQFPALLFLFLPGCCTAQDPVTGPEEVSGQEQGSLTVQCRYDSGWKDYKKYWCRGAYWK SCEILVETDASEQLVKENRVSIRDDQTDFIFTVTMEDLRMSDADIYWCGITKAGTDPMFK VNVNIDPEISTTIMTTTATVLPSTVLTSTVLTSTVLTPTTPTTESIGTENIGQVTQNSLF IWSLLSSISFLLMVFVVVPLLLSMLSAVLWVNRPQRHYGGGEIGLVETHRSDALDGEKHF PGDEK >ENSMUSP00000119330.1 pep:known chromosome:GRCm38:14:21845861:21848977:-1 gene:ENSMUSG00000021773.10 transcript:ENSMUST00000124549.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comtd1 description:catechol-O-methyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916406] MAQPVPRLSIPAALALGSAALGAAFATGLLLGKRWPPWGSRRQERLLPPEDNPLWQYLLS RSMREHPALRSLRLLTLEQPQGDSMMTCEQAQLLANLARLIKAKKALDLGTFTGYSALAL ALALPEAGRVVTCEVDAEPPKLGRPMWKQAEVEQKIDLRLQPALQTLDELLAAGEAGTFD IAVVDADKENCTAYYERCLQLLRPGGVLAVLRVLWRGEVLQPQPRNKTVECVRNLNERIL RDARVYISLLPLDDGLSLAFKI >ENSMUSP00000134830.1 pep:known chromosome:GRCm38:14:21847275:21848687:-1 gene:ENSMUSG00000021773.10 transcript:ENSMUST00000177527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comtd1 description:catechol-O-methyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916406] MREHPALRSLRLLTLEQPQGDSMMTCEQAQLLANLARLIKAKKALDLGTFTGYSALALAL ALPEAGRVVTCEVDAEPPKLGRPMWKQAEVEQKIDLRLQPALQTLDELLAAGEAGTFDIA VVDADKENCTAYYERCLQLLRPGGVLAVLRVLWRGEVLQPQPRNKTVECVRNLNERILRD ARVYISLLPLDDGLSLAFKI >ENSMUSP00000137878.1 pep:known chromosome:GRCm38:10:104185533:104188000:1 gene:ENSMUSG00000095022.8 transcript:ENSMUST00000181703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20765 description:predicted gene, 20765 [Source:MGI Symbol;Acc:MGI:5434121] MADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGMTRN PGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQKQQQ QLSAEELDAQLDAYQEMMDTS >ENSMUSP00000137866.1 pep:known chromosome:GRCm38:10:104185948:104188000:1 gene:ENSMUSG00000095022.8 transcript:ENSMUST00000181707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20765 description:predicted gene, 20765 [Source:MGI Symbol;Acc:MGI:5434121] MADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGMTRN PGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQKQQQ QLSAEELDAQLDAYQEMMDTS >ENSMUSP00000137128.1 pep:known chromosome:GRCm38:10:104186887:104187681:1 gene:ENSMUSG00000095022.8 transcript:ENSMUST00000177792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20765 description:predicted gene, 20765 [Source:MGI Symbol;Acc:MGI:5434121] MTTMADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGM TRNPGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQK QQQQLSAEELDAQLDAYQEMMDTS >ENSMUSP00000050461.5 pep:known chromosome:GRCm38:2:156091958:156111956:-1 gene:ENSMUSG00000089824.10 transcript:ENSMUST00000059647.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm12 description:RNA binding motif protein 12 [Source:MGI Symbol;Acc:MGI:1922960] MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELGEAFIVFATDEDARLGMMRT GGTIKGSKVTLLLSSKTEMQNMIELSRRRFETANLDIPPANASRSGPPPSSGMSSRVNLP AIVPNFNNPSPSVVTATTSVHESNKNIQTFSTASVGTAPPSMGTSFGSPTFSSTIPSTAS PMNTVPPPPIPPIPAMPSLPPLPSIPPIPVPPPVPTLPPVPPVPPIPPVPSVPPMTTLPP MSGMPPLNPPPVAPLPAGMNGSGAPIGLNNNMNPVFLGPLNPVNSIQMNSQSSVKSLPIN PDDLYVSVHGMPFSAMENDVREFFHGLRVDAVHLLKDHVGRNNGNGLVKFLSPQDTFEAL KRNRMLMIQRYVEVSPATERQWVAAGGHITFKQSMGPSGQAHPPPQTLPRSKSPSGQKRS RSRSPHEAGFCVYLKGLPFEAENKHVIDFFKKLDIVEDSIYIAYGPNGKATGEGFVEFRN DADYKAALCRHKQYMGNRFIQVHPITKKGMLEKIDMIRKRLQNFSYDQRELVLNPEGEVS SAKVCAHITNIPFSITKMDVLQFLEGIPVDESAVHVLVDNNGQGLGQALVQFKTEDDAHK SEHLHRKKLNGREAFVHIVTLEDMREIEKNPPAQGKKGLKISVPGNPAVPVIPSAGMPAA GIPTAGIPGAGLPSAGMPGAGMPSSGMPGPGMPGPGIPGAGIPGPAMPGPAMPGPAMPGP AMPGPAMPGPAMPGPAMPGPAMPGPAIPGPAIPGPAIPGAGIPSAGGEEHVFLTVGSKEA NNGPPFNFPGNFGGPNAFGPPLPPPGLGGAFGDVRPVMPSVGNSGLPGLGLEVPGFGGAP NNISGPSGFGGIPQNFGNGPGSLNAPPGFGSGPPGLGSVPGHLSGPPAFGPGPGPGPIHI GGPPGFGASSGKPGPTIIKVQNMPFTVSIDEILDFFYGYQVIPGSVCLKYNEKGMPTGEA MVAFESRDEATAAVIDLNDRPIGSRKVKLVLG >ENSMUSP00000105233.1 pep:known chromosome:GRCm38:2:156091958:156111956:-1 gene:ENSMUSG00000089824.10 transcript:ENSMUST00000109604.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm12 description:RNA binding motif protein 12 [Source:MGI Symbol;Acc:MGI:1922960] MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELGEAFIVFATDEDARLGMMRT GGTIKGSKVTLLLSSKTEMQNMIELSRRRFETANLDIPPANASRSGPPPSSGMSSRVNLP AIVPNFNNPSPSVVTATTSVHESNKNIQTFSTASVGTAPPSMGTSFGSPTFSSTIPSTAS PMNTVPPPPIPPIPAMPSLPPLPSIPPIPVPPPVPTLPPVPPVPPIPPVPSVPPMTTLPP MSGMPPLNPPPVAPLPAGMNGSGAPIGLNNNMNPVFLGPLNPVNSIQMNSQSSVKSLPIN PDDLYVSVHGMPFSAMENDVREFFHGLRVDAVHLLKDHVGRNNGNGLVKFLSPQDTFEAL KRNRMLMIQRYVEVSPATERQWVAAGGHITFKQSMGPSGQAHPPPQTLPRSKSPSGQKRS RSRSPHEAGFCVYLKGLPFEAENKHVIDFFKKLDIVEDSIYIAYGPNGKATGEGFVEFRN DADYKAALCRHKQYMGNRFIQVHPITKKGMLEKIDMIRKRLQNFSYDQRELVLNPEGEVS SAKVCAHITNIPFSITKMDVLQFLEGIPVDESAVHVLVDNNGQGLGQALVQFKTEDDAHK SEHLHRKKLNGREAFVHIVTLEDMREIEKNPPAQGKKGLKISVPGNPAVPVIPSAGMPAA GIPTAGIPGAGLPSAGMPGAGMPSSGMPGPGMPGPGIPGAGIPGPAMPGPAMPGPAMPGP AMPGPAMPGPAMPGPAMPGPAMPGPAIPGPAIPGPAIPGAGIPSAGGEEHVFLTVGSKEA NNGPPFNFPGNFGGPNAFGPPLPPPGLGGAFGDVRPVMPSVGNSGLPGLGLEVPGFGGAP NNISGPSGFGGIPQNFGNGPGSLNAPPGFGSGPPGLGSVPGHLSGPPAFGPGPGPGPIHI GGPPGFGASSGKPGPTIIKVQNMPFTVSIDEILDFFYGYQVIPGSVCLKYNEKGMPTGEA MVAFESRDEATAAVIDLNDRPIGSRKVKLVLG >ENSMUSP00000120731.1 pep:known chromosome:GRCm38:2:156097485:156111916:-1 gene:ENSMUSG00000089824.10 transcript:ENSMUST00000131377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm12 description:RNA binding motif protein 12 [Source:MGI Symbol;Acc:MGI:1922960] MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELGEAFIVFATDEDARLGMMRT GGTIKGSKVTLLLSSKTEMQNMIELSRRRFETANLDIPPANASRSGPPPSSGMSSRVNLP AIVPNFNNPSPSVVTATTSVHESNKNIQTFSTASVGTAPPSMGTSFGSPTFSSTIPSTAS PMNTVPPPPIPPIPAMPSLPPLPSIPPIPVPPPVPTLPPVPPVPPIPPVPSVPPMTTLPP MSGMPPLNPPPVAPLPAGMNGSGAPIGLNNNMNPVFLGPLNPVNSIQM >ENSMUSP00000118067.1 pep:known chromosome:GRCm38:2:156097921:156111978:-1 gene:ENSMUSG00000089824.10 transcript:ENSMUST00000128499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm12 description:RNA binding motif protein 12 [Source:MGI Symbol;Acc:MGI:1922960] MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELGEAFIVFATDEDARLGMMRT GGTIKGSKVTLLLSSKTEMQNMIELSRRRFETANLDIPPANASRSGPPPSSGMSSRVNLP AIVPNFNNPSPSVVTATTSVHES >ENSMUSP00000119519.1 pep:known chromosome:GRCm38:2:156097950:156111961:-1 gene:ENSMUSG00000089824.10 transcript:ENSMUST00000138068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm12 description:RNA binding motif protein 12 [Source:MGI Symbol;Acc:MGI:1922960] MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELGEAFIVFATDEDARLGMMRT GGTIKGSKVTLLLSSKTEMQNMIELSRRRFETANLDIPPANASRSGPPPSSGMSSRVNLP AIVPNFNNPSPSVV >ENSMUSP00000031513.7 pep:known chromosome:GRCm38:5:115327177:115333080:1 gene:ENSMUSG00000029538.13 transcript:ENSMUST00000031513.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf9 description:serine/arginine-rich splicing factor 9 [Source:MGI Symbol;Acc:MGI:104896] MSSGWADERGGEGDGRIYVGNLPSDVREKDLEDLFYKYGRIREIELKNRHGLVPFAFVRF EDPRDAEDAIYGRNGYDYGQCRLRVEFPRTYGGRGGWPRGARNGPPTRRSDFRVLVSGLP PSGSWQDLKDHMREAGDVCYADVQKDGMGMVEYLRKEDMEYALRKLDDTKFRSHEGETSY IRVYPERSTSYGYSRSRSGSRGRDSPYQSRGSPHYFSPFRPY >ENSMUSP00000121845.1 pep:known chromosome:GRCm38:5:115330578:115333009:1 gene:ENSMUSG00000029538.13 transcript:ENSMUST00000149510.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf9 description:serine/arginine-rich splicing factor 9 [Source:MGI Symbol;Acc:MGI:104896] TEVGVGGPVVQGTGLLQDGQISEFLFQEMAAVPSCAQQTQPLRAGAHFPFMTPGLPPSGS WQDLKDHMREAGDVCYADVQKDGMGMVEYLRKEDMEYALRKLDDTKFRSHEGETSYIRVY PERSTSYGYSRSRSGSRGRDSPYQSRGSPHYFSPFRPY >ENSMUSP00000110395.2 pep:known chromosome:GRCm38:5:30367062:30461902:-1 gene:ENSMUSG00000062372.13 transcript:ENSMUST00000114747.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otof description:otoferlin [Source:MGI Symbol;Acc:MGI:1891247] MALIVHLKTVSELRGKGDRIAKVTFRGQSFYSRVLENCEGVADFDETFRWPVASSIDRNE VLEIQIFNYSKVFSNKLIGTFCMVLQKVVEENRVEVTDTLMDDSNAIIKTSLSMEVRYQA TDGTVGPWDDGDFLGDESLQEEKDSQETDGLLPGSRPSTRISGEKSFRSKGREKTKGGRD GEHKAGRSVFSAMKLGKTRSHKEEPQRQDEPAVLEMEDLDHLAIQLGDGLDPDSVSLASV TALTSNVSNKRSKPDIKMEPSAGRPMDYQVSITVIEARQLVGLNMDPVVCVEVGDDKKYT SMKESTNCPYYNEYFVFDFHVSPDVMFDKIIKISVIHSKNLLRSGTLVGSFKMDVGTVYS QPEHQFHHKWAILSDPDDISAGLKGYVKCDVAVVGKGDNIKTPHKANETDEDDIEGNLLL PEGVPPERQWARFYVKIYRAEGLPRMNTSLMANVKKAFIGENKDLVDPYVQVFFAGQKGK TSVQKSSYEPLWNEQVVFTDLFPPLCKRMKVQIRDSDKVNDVAIGTHFIDLRKISNDGDK GFLPTLGPAWVNMYGSTRNYTLLDEHQDLNEGLGEGVSFRARLMLGLAVEILDTSNPELT SSTEVQVEQATPVSESCTGRMEEFFLFGAFLEASMIDRKNGDKPITFEVTIGNYGNEVDG MSRPLRPRPRKEPGDEEEVDLIQNSSDDEGDEAGDLASVSSTPPMRPQITDRNYFHLPYL ERKPCIYIKSWWPDQRRRLYNANIMDHIADKLEEGLNDVQEMIKTEKSYPERRLRGVLEE LSCGCHRFLSLSDKDQGRSSRTRLDRERLKSCMRELESMGQQAKSLRAQVKRHTVRDKLR SCQNFLQKLRFLADEPQHSIPDVFIWMMSNNKRIAYARVPSKDLLFSIVEEELGKDCAKV KTLFLKLPGKRGFGSAGWTVQAKLELYLWLGLSKQRKDFLCGLPCGFEEVKAAQGLGLHS FPPISLVYTKKQAFQLRAHMYQARSLFAADSSGLSDPFARVFFINQSQCTEVLNETLCPT WDQMLVFDNLELYGEAHELRDDPPIIVIEIYDQDSMGKADFMGRTFAKPLVKMADEAYCP PRFPPQLEYYQIYRGSATAGDLLAAFELLQIGPSGKADLPPINGPVDMDRGPIMPVPVGI RPVLSKYRVEVLFWGLRDLKRVNLAQVDRPRVDIECAGKGVQSSLIHNYKKNPNFNTLVK WFEVDLPENELLHPPLNIRVVDCRAFGRYTLVGSHAVSSLRRFIYRPPDRSAPNWNTTGE VVVSMEPEEPVKKLETMVKLDATSDAVVKVDVAEDEKERKKKKKKGPSEEPEEEEPDESM LDWWSKYFASIDTMKEQLRQHETSGTDLEEKEEMESAEGLKGPMKSKEKSRAAKEEKKKK NQSPGPGQGSEAPEKKKAKIDELKVYPKELESEFDSFEDWLHTFNLLRGKTGDDEDGSTE EERIVGRFKGSLCVYKVPLPEDVSREAGYDPTYGMFQGIPSNDPINVLVRIYVVRATDLH PADINGKADPYIAIKLGKTDIRDKENYISKQLNPVFGKSFDIEASFPMESMLTVAVYDWD LVGTDDLIGETKIDLENRFYSKHRATCGIAQTYSIHGYNIWRDPMKPSQILTRLCKEGKV DGPHFGPHGRVRVANRVFTGPSEIEDENGQRKPTDEHVALSALRHWEDIPRVGCRLVPEH VETRPLLNPDKPGIEQGRLELWVDMFPMDMPAPGTPLDISPRKPKKYELRVIVWNTDEVV LEDDDFFTGEKSSDIFVRGWLKGQQEDKQDTDVHYHSLTGEGNFNWRYLFPFDYLAAEEK IVMSKKESMFSWDETEYKIPARLTLQIWDADHFSADDFLGAIELDLNRFPRGAKTAKQCT MEMATGEVDVPLVSIFKQKRVKGWWPLLARNENDEFELTGKVEAELHLLTAEEAEKNPVG LARNEPDPLEKPNRPDTAFVWFLNPLKSIKYLICTRYKWLIIKIVLALLGLLMLALFLYS LPGYMVKKLLGA >ENSMUSP00000073803.6 pep:known chromosome:GRCm38:5:30367062:30461932:-1 gene:ENSMUSG00000062372.13 transcript:ENSMUST00000074171.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otof description:otoferlin [Source:MGI Symbol;Acc:MGI:1891247] MALIVHLKTVSELRGKGDRIAKVTFRGQSFYSRVLENCEGVADFDETFRWPVASSIDRNE VLEIQIFNYSKVFSNKLIGTFCMVLQKVVEENRVEVTDTLMDDSNAIIKTSLSMEVRYQA TDGTVGPWDDGDFLGDESLQEEKDSQETDGLLPGSRPSTRISGEKSFRRAGRSVFSAMKL GKTRSHKEEPQRQDEPAVLEMEDLDHLAIQLGDGLDPDSVSLASVTALTSNVSNKRSKPD IKMEPSAGRPMDYQVSITVIEARQLVGLNMDPVVCVEVGDDKKYTSMKESTNCPYYNEYF VFDFHVSPDVMFDKIIKISVIHSKNLLRSGTLVGSFKMDVGTVYSQPEHQFHHKWAILSD PDDISAGLKGYVKCDVAVVGKGDNIKTPHKANETDEDDIEGNLLLPEGVPPERQWARFYV KIYRAEGLPRMNTSLMANVKKAFIGENKDLVDPYVQVFFAGQKGKTSVQKSSYEPLWNEQ VVFTDLFPPLCKRMKVQIRDSDKVNDVAIGTHFIDLRKISNDGDKGFLPTLGPAWVNMYG STRNYTLLDEHQDLNEGLGEGVSFRARLMLGLAVEILDTSNPELTSSTEVQVEQATPVSE SCTGRMEEFFLFGAFLEASMIDRKNGDKPITFEVTIGNYGNEVDGMSRPLRPRPRKEPGD EEEVDLIQNSSDDEGDEAGDLASVSSTPPMRPQITDRNYFHLPYLERKPCIYIKSWWPDQ RRRLYNANIMDHIADKLEEGLNDVQEMIKTEKSYPERRLRGVLEELSCGCHRFLSLSDKD QGRSSRTRLDRERLKSCMRELESMGQQAKSLRAQVKRHTVRDKLRSCQNFLQKLRFLADE PQHSIPDVFIWMMSNNKRIAYARVPSKDLLFSIVEEELGKDCAKVKTLFLKLPGKRGFGS AGWTVQAKLELYLWLGLSKQRKDFLCGLPCGFEEVKAAQGLGLHSFPPISLVYTKKQAFQ LRAHMYQARSLFAADSSGLSDPFARVFFINQSQCTEVLNETLCPTWDQMLVFDNLELYGE AHELRDDPPIIVIEIYDQDSMGKADFMGRTFAKPLVKMADEAYCPPRFPPQLEYYQIYRG SATAGDLLAAFELLQIGPSGKADLPPINGPVDMDRGPIMPVPVGIRPVLSKYRVEVLFWG LRDLKRVNLAQVDRPRVDIECAGKGVQSSLIHNYKKNPNFNTLVKWFEVDLPENELLHPP LNIRVVDCRAFGRYTLVGSHAVSSLRRFIYRPPDRSAPNWNTTVRLLRGCHRLRNGGPSS RPTGEVVVSMEPEEPVKKLETMVKLDATSDAVVKVDVAEDEKERKKKKKKGPSEEPEEEE PDESMLDWWSKYFASIDTMKEQLRQHETSGTDLEEKEEMESAEGLKGPMKSKEKSRAAKE EKKKKNQSPGPGQGSEAPEKKKAKIDELKVYPKELESEFDSFEDWLHTFNLLRGKTGDDE DGSTEEERIVGRFKGSLCVYKVPLPEDVSREAGYDPTYGMFQGIPSNDPINVLVRIYVVR ATDLHPADINGKADPYIAIKLGKTDIRDKENYISKQLNPVFGKSFDIEASFPMESMLTVA VYDWDLVGTDDLIGETKIDLENRFYSKHRATCGIAQTYSIHGYNIWRDPMKPSQILTRLC KEGKVDGPHFGPHGRVRVANRVFTGPSEIEDENGQRKPTDEHVALSALRHWEDIPRVGCR LVPEHVETRPLLNPDKPGIEQGRLELWVDMFPMDMPAPGTPLDISPRKPKKYELRVIVWN TDEVVLEDDDFFTGEKSSDIFVRGWLKGQQEDKQDTDVHYHSLTGEGNFNWRYLFPFDYL AAEEKIVMSKKESMFSWDETEYKIPARLTLQIWDADHFSADDFLGAIELDLNRFPRGAKT AKQCTMEMATGEVDVPLVSIFKQKRVKGWWPLLARNENDEFELTGKVEAELHLLTAEEAE KNPVGLARNEPDPLEKPNRPDTSFIWFLNPLKSARYFLWHTYRWLLLKFLLLFLLLLLFA LFLYSLPGYLAKKILGA >ENSMUSP00000135435.1 pep:known chromosome:GRCm38:9:35184551:35200291:-1 gene:ENSMUSG00000032041.15 transcript:ENSMUST00000175765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tirap description:toll-interleukin 1 receptor (TIR) domain-containing adaptor protein [Source:MGI Symbol;Acc:MGI:2152213] MVSLEACTMASSSSVPASSTPSKKPRDKIADWFRQALLKKPKKMPISQESHLYDGSQTAT QDGLSPSSCSSPPSHSSPESRSSPSSCSSGMSPTSPPTHVDSSSSSSGRWSKDYDVCVCH SEEDLEAAQELVSYLEGSQASLRCFLQLRDAAPGGAIVSELCQALSRSHCRVLLITPGFL RDPWCKYQMLQALTEAPASEGCTIPLLSGLSRAAYPPELRFMYYVDGRGKDGGFYQVKEA VIHYLETLS >ENSMUSP00000135224.1 pep:known chromosome:GRCm38:9:35186785:35199928:-1 gene:ENSMUSG00000032041.15 transcript:ENSMUST00000176531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tirap description:toll-interleukin 1 receptor (TIR) domain-containing adaptor protein [Source:MGI Symbol;Acc:MGI:2152213] MVSLEACTMASSSSVPASSTPSKKPRDKIADWFRQALLKKPKKMPISQESHLYDGSQTAT QDGLSPSSCSSPPSHSSPESRSSPSSCSSGMSPTSPPTHVDSSSSSSGRWSKDYDVCVCH SEEDLEAAQELVSYLEGSQASLRCFLQLRDAAPGGAIVSELCQALSRSHCRVLLITPGFL RDPWCKYQMLQALTEAPASEGCTIPLLSGLSRAAYPPELRFMYYVDGRGKDGGFYQVKEA VIHYLETLS >ENSMUSP00000135462.1 pep:known chromosome:GRCm38:9:35186785:35199988:-1 gene:ENSMUSG00000032041.15 transcript:ENSMUST00000177129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tirap description:toll-interleukin 1 receptor (TIR) domain-containing adaptor protein [Source:MGI Symbol;Acc:MGI:2152213] MVSLEACTMASSSSVPASSTPSKKPRDKIADWFRQALLKKPKKMPISQESHLYDGSQTAT QDGLSPSSCSSPPSHSSPESRSSPSSCSSGMSPTSPPTHVDSSSSSSGRWSKDYDVCVCH SEEDLEAAQELVSYLEGSQASLRCFLQLRDAAPGGAIVSELCQALSRSHCRVLLITPGFL RDPWCKYQMLQALTEAPASEGCTIPLLSGLSRAAYPPELRFMYYVDGRGKDGGFYQVKEA VIHYLETLS >ENSMUSP00000135876.1 pep:known chromosome:GRCm38:9:35187344:35199970:-1 gene:ENSMUSG00000032041.15 transcript:ENSMUST00000176685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tirap description:toll-interleukin 1 receptor (TIR) domain-containing adaptor protein [Source:MGI Symbol;Acc:MGI:2152213] MVSLEACTMASSSSVPASSTPSKKPRDKIADWFRQALLKKPKKMPISQESHLYDGSQTAT QDGLSPSSCSSPPSHSSPESRSSPSSCSSGMSPTSPPTHVDSSSSSSGRWSKDYDVCVCH SEEDLEAAQELVSYLEGSQASLRCFLQLRDAAPGGAIVSELCQALSRSHCRVLLITPGFL RDPWCKYQMLQALTEAPASEGCTIPLLSGLSRAAYPPELRFMYYVDGRGKDGGFYQVKEA VIHYLETLS >ENSMUSP00000135738.1 pep:known chromosome:GRCm38:9:35188729:35199883:-1 gene:ENSMUSG00000032041.15 transcript:ENSMUST00000176021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tirap description:toll-interleukin 1 receptor (TIR) domain-containing adaptor protein [Source:MGI Symbol;Acc:MGI:2152213] MVSLEACTMASSSSVPASSTPSKKPRDKIADWFRQALLKKPKKMPISQESHLYDGSQTAT QDGLSPSSCSSPPSHSSPESRSSPSSCSSGMSPTSPPTHVDSSSSSSGRWSKDYDVCVCH SEEDLEAAQELVSYLEGSQASLRCFLQLRDAAPGGAIVSELCQALSRSHCRVLLITPGFL RDPWCKYQMLQALTEAPASEGCTIPLLSGLSRAAYPPEL >ENSMUSP00000134984.1 pep:known chromosome:GRCm38:9:35189026:35191400:-1 gene:ENSMUSG00000032041.15 transcript:ENSMUST00000176611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tirap description:toll-interleukin 1 receptor (TIR) domain-containing adaptor protein [Source:MGI Symbol;Acc:MGI:2152213] MVSLEACTMASSSSVPASSTPSKKPRDKIADWFRQALLKKPKKMPISQESHLYDGSQTAT QDGLSPSSCSSPPSHSSPESRSSPSSCSSGMSPTSPPTHVDSSSSSSGRWSKDYDVCVCH >ENSMUSP00000135429.1 pep:known chromosome:GRCm38:9:35191037:35199499:-1 gene:ENSMUSG00000032041.15 transcript:ENSMUST00000177052.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tirap description:toll-interleukin 1 receptor (TIR) domain-containing adaptor protein [Source:MGI Symbol;Acc:MGI:2152213] MVSLEACTMASSSSVPASSTPSKK >ENSMUSP00000092198.4 pep:known chromosome:GRCm38:5:90561107:90597871:1 gene:ENSMUSG00000070690.7 transcript:ENSMUST00000094615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5830473C10Rik description:RIKEN cDNA 5830473C10 gene [Source:MGI Symbol;Acc:MGI:1923342] MEVLKALPFIVFFSYSKCESLQTSLLHAARQHVSGQDHLEENLGVSVSIMVAQFLQDAAY DQVQTIAKELLKLAEKCKRLKPESPSECAHQLVAAFLIHICNNQGLVDSHVFTDCCKMKT AARLRCFLSYKKDDADSHDTPLIPRPELTCEVAAENNVSLKERFSYEISRRHPFLYGPTI LSMSACYDTAVQSCCQEENETECLRTKLEPIRKYIRGISARHHHLCEIGTKFNEKVASAV ELILLTKKQPKANFSEIAKLTMDVKNLHETCCEGNTLVCALGRRQLMNYTCSNQAILSSK FAECCEQPEPFRGECIVNSENDDKPDLSPLPLTRFTEDWSVCQQFSGNQDSFLQEFLYEY SRRHLELAVPVILRVFETYKRVLEKCCKLENSLECHQPGKEMFQKVILESRDQVKTYCDL QKQLGSSSFQDRLTVLYTKKAPQLSAQELVVFVKKMAAVARRCCPLRDELQSACVEDQTK LILGELCRRHGGKPVNAGVGHCCDDSYAFRKPCFDDLRVDGTYISPPLSCDQAISLKEDL CQAQDEELQMEKQKLLSTLVKQKPHAAEDVFHSIGENFVQLVEKCCGAQRRDVCFQEEGP QLITKCQSLLEASSSQSGLM >ENSMUSP00000144527.1 pep:known chromosome:GRCm38:5:90561217:90578605:1 gene:ENSMUSG00000070690.7 transcript:ENSMUST00000200765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5830473C10Rik description:RIKEN cDNA 5830473C10 gene [Source:MGI Symbol;Acc:MGI:1923342] MEVLKALPFIVFFSYSKCESLQTSLLHAARQHVSGQDHLEENLGVSVSIMVAQFLQDAAY DQVQTIAKELLKLAEKCKRLKPESPSECAHQLVAAFLIHICNNQGLVDSHVFTDCCKMKT AARLRCFLSYKKDDADSHDTPLIPRPELTCEVAAENNVSLKERFSYEISRRHPFLYGPTI LSMSACYDTAVQSCCQEENETECLRTKLEPIRKYIRGISARHHHLCEIGTKFNEKVASAV ELILLTKKQPKANFSEIAKLTMDVKNLHETCCEGNTLVCALGRRQLMNYTCSNQAILSSK FAECCEQPEPFRGECIVNSENDDKPDLSPLPLTRFTEDWSVCQQFSGNQDSFLQE >ENSMUSP00000031555.1 pep:known chromosome:GRCm38:5:140607320:140615545:1 gene:ENSMUSG00000029570.5 transcript:ENSMUST00000031555.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lfng description:LFNG O-fucosylpeptide 3-beta-N-acetylglucosaminyltransferase [Source:MGI Symbol;Acc:MGI:1095413] MLQRCGRRLLLALVGALLACLLVLTADPPPTPMPAERGRRALRSLAGSSGGAPASGSRAA VDPGVLTREVHSLSEYFSLLTRARRDADPPPGVASRQGDGHPRPPAEVLSPRDVFIAVKT TRKFHRARLDLLFETWISRHKEMTFIFTDGEDEALAKLTGNVVLTNCSSAHSRQALSCKM AVEYDRFIESGKKWFCHVDDDNYVNLRALLRLLASYPHTQDVYIGKPSLDRPIQATERIS EHKVRPVHFWFATGGAGFCISRGLALKMGPWASGGHFMSTAERIRLPDDCTIGYIVEALL GVPLIRSGLFHSHLENLQQVPTTELHEQVTLSYGMFENKRNAVHIKGPFSVEADPSRFRS VHCHLYPDTPWCPRSAIF >ENSMUSP00000019135.7 pep:known chromosome:GRCm38:11:115584255:115604051:-1 gene:ENSMUSG00000020740.13 transcript:ENSMUST00000019135.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gga3 description:golgi associated, gamma adaptin ear containing, ARF binding protein 3 [Source:MGI Symbol;Acc:MGI:2384159] MAEAEGESLESWLNKATNPSNRQEDWEYIIGFCDQINKELEGPQIAVRLLAHKIQSPQEW EAVQALTVLEACMKNCGRRLHNEVGKFRFLNELIKVVSPKYLGDRVSEKVKTKVIELLFS WTLALPEEAKIKDAYHMLKRQGIVQSDPPIPMDRTLIPSPPPRPKNPVFDDEEKSKLLAR LLKSKNPDDLQEANRLIKSMVKEDEARIQKVTKRLHTLEEVNNNVKLLHEMLLHYSQEYS SDADKELMKELFDRCENKRRTLFKLASETEDNDNSLGDILQASDNLSRVINSYKTIIEGQ IVNGEVTTSTMPDSEGNSHCGNQGALIDLAELDAPSNSSPALAPPTSGIPILPPPPQTSG PPRSRSSSQAEAPPGSDSTNNALSLLDEELLCLGLTDPAPTAPKESPGSSQWHLFQNEPP SDLDFFSPRPVPAASCPSDGPQLPPPVSTSSMSQAPLPAAFPAPVVPASAPTHSTGSFMF SSGPAPALAPKAEPKGPEYPSSSTSHRLDALDQLLEEAKVTSGLVKPVSCFSPGPTASPL LPASAPARPLLPFSTGPGSPLFQSQGSPQKGPELSLASVHVPLESIKPSSALPVTAYDKN GFRILFHFAKECPPGRPDVLVVVVSMLNTAPLPVKSIVLQAAVPKSMKVKLQPPSGTELS PFSPIQPPAAITQVMLLANPMKEKVRLRYKLTFALGEQLSTELGEVDQFPPVEQWGNL >ENSMUSP00000102117.3 pep:known chromosome:GRCm38:11:115584255:115604051:-1 gene:ENSMUSG00000020740.13 transcript:ENSMUST00000106508.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gga3 description:golgi associated, gamma adaptin ear containing, ARF binding protein 3 [Source:MGI Symbol;Acc:MGI:2384159] MAEAEGESLESWLNKATNPSNRQEDWEYIIGFCDQINKELEGPQIAVRLLAHKIQSPQEW EAVQALTVLEACMKNCGRRLHNEVGKFRFLNELIKVVSPKYLGDRVSEKVKTKVIELLFS WTLALPEEAKIKDAYHMLKRQGIVQSDPPIPMDRTLIPSPPPRPKNPVFDDEEKSKLLAR LLKSKNPDDLQEANRLIKSMVKEDEARIQKVTKRLHTLEEVNNNVKLLHEMLLHYSQEYS SDADKELMKELFDRCENKRRTLFKLASETEDNDNSLGDILQASDNLSRVINSYKTIIEGQ IVNGEVTTSTMPDSEGLTDPAPTAPKESPGSSQWHLFQNEPPSDLDFFSPRPVPAASCPS DGPQLPPPVSTSSMSQAPLPAAFPAPVVPASAPTHSTGSFMFSSGPAPALAPKAEPKGPE YPSSSTSHRLDALDQLLEEAKVTSGLVKPVSCFSPGPTASPLLPASAPARPLLPFSTGPG SPLFQSQGSPQKGPELSLASVHVPLESIKPSSALPVTAYDKNGFRILFHFAKECPPGRPD VLVVVVSMLNTAPLPVKSIVLQAAVPKSMKVKLQPPSGTELSPFSPIQPPAAITQVMLLA NPMKEKVRLRYKLTFALGEQLSTELGEVDQFPPVEQWGNL >ENSMUSP00000138597.1 pep:known chromosome:GRCm38:11:115584255:115604051:-1 gene:ENSMUSG00000020740.13 transcript:ENSMUST00000156173.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gga3 description:golgi associated, gamma adaptin ear containing, ARF binding protein 3 [Source:MGI Symbol;Acc:MGI:2384159] MAEAEGESLESWLNKATNPSNRQEDWEYIIGFCDQINKELEGPQIAVRLLAHKIQSPQEW EAVQALTVLEACMKNCGRRLHNEVGKFRFLNELIKVVSPKYLGDRVSEKVKTKVIELLFS WTLALPEEAKIKDAYHMLKRQGIVQSDPPIPMDRTLIPSPPPRPKNPVFDDEEKSKLLAR LLKSKNPDDLQEANRLIKSMVKEDEARIQKVTKRLHTLEEVNNNVKLLHEMLLHYSQEYS SDADKELMKELFDRCENKRRTLFKLASETEDNDNSLGDILQASDNLSRVINSYKTIIEGQ IVNGEVTTSTMPDSEGNSHCGNQGALIDLAELDAPSNSSPALAPPTSGIPILPPPPQTSG PPRSRSSSQAEAPPGSDSTNNALSLLDEELLCLGLTDPAPTAPKESPGSSQWHLFQNEPP SDLDFFSPRPVPAASCPSDGPQLPPPVSTSSMSQAPLPAAFPAPVVPASAPTHSTGSFMF SSGPAPALAPKAEPKGPEYPSSSTSHRLDALDQLLEEAKVTSGLVKPVSCFSPGPTASPL LPASAPARPLLPFSTGPGSPLFQSQGSPQKGPELSLASVHVPLESIKPRVSARTA >ENSMUSP00000118024.1 pep:known chromosome:GRCm38:11:115591642:115603893:-1 gene:ENSMUSG00000020740.13 transcript:ENSMUST00000125097.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gga3 description:golgi associated, gamma adaptin ear containing, ARF binding protein 3 [Source:MGI Symbol;Acc:MGI:2384159] MAEAEGESLESWLNKATNPSNRQEDWEYIIGFCDQINKELEGPQIAVRLLAHKIQSPQEW EAVQALTVLEACMKNCGRRLHNEVGKFRFLNELIKVVSPKLDTGLAGRSKDQRCLPYV >ENSMUSP00000006221.7 pep:known chromosome:GRCm38:11:21239281:21321136:1 gene:ENSMUSG00000020128.15 transcript:ENSMUST00000006221.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps54 description:vacuolar protein sorting 54 (yeast) [Source:MGI Symbol;Acc:MGI:2178798] MASSHSSSPVPQGSSSDVFFKKEVDPTKHIRPVQSLPDVCPKEPTGDSHTLCVAPSLVTD QHRWTVYHSKVNLPAALNDPTLAKRESDFFTKTWGLDFVDTEVIPSLYLPQISKENFIAY QQEISQREKIHERCKNICPPKDTFDRTLLHIHDKSRTDLEQVPKIFMKPDFALDDSLTFN SVLPWSHFNTAGGKGSRDAASSKLLQEKLSHYLDIVEVNIAHQISLRSEAFFHAMTSQHE LQDYLKKTTQAVKMLRDKIAQIDKVMCEGSLQILRLALTRNNCVKVYNKLKLMATVHQTQ PTVQVLLSTSEFVGALDLIATTQEVLQQELQGIHSFRHLGSQLCELEKLIDKMMIAEFST YSHSDLNRPLEGECQVLEEERLVSLVFGLLKQRKLNFLEIYGEEMIITAKNIIKERVINK VSQIEEIDTDVVVKLADQMRMLNFPQWIDLLKDIFSKFTVFLQRVKATLNIIHSVVLSVL EKSQRTRELEEIPQQRSAGKDSSLDTDVAYLTHEGWFISDAFSEGEPASAAVDTTSQRNT SPHSEPCSSDSVSEPECTTDSSSSKEQTSACAPPGGIEIIVSEDMRLTDLELGKLASNIQ ELLCNASDVCHDRAVKFLMSRAKDGFLEKLNSTEFIALSRLMETFIVDTEQICGRKSTSL LGALQSQANKFVNRFHEERRTKLSLLLDNERWKQADVPAEFQDLVDSIADGKIALPEKKP VVTEERKPADVLVVEGHQYAVVGTVLLLIRIILEYCQCVDNIPSVTTDMLTRLTDLLKYF NSRSCQLVLGAGALQVVGLKTITTKNLALSSRCLQLIVHYIPVIRAHFEARLPPKQWSML RHFDHITKDYHDHIAEISAKLVAIMDSLFDKLLSKYEVKAPVPSPCFRNICKQMTKMHEA IFDLLPEEQTQMLFLRINASYKLHLKKQLSHLNVINDGGPQNGLVTADVAFYTGNLQALK GLKDLDLNMAEIWEQKR >ENSMUSP00000105206.1 pep:known chromosome:GRCm38:11:21239281:21321122:1 gene:ENSMUSG00000020128.15 transcript:ENSMUST00000109578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps54 description:vacuolar protein sorting 54 (yeast) [Source:MGI Symbol;Acc:MGI:2178798] MASSHSSSPVPQGSSSDVFFKKEVDPTKHIRPVQSLPDVCPKEPTVTDQHRWTVYHSKVN LPAALNDPTLAKRESDFFTKTWGLDFVDTEVIPSLYLPQISKENFIAYQQEISQREKIHE RCKNICPPKDTFDRTLLHIHDKSRTDLEQVPKIFMKPDFALDDSLTFNSVLPWSHFNTAG GKGSRDAASSKLLQEKLSHYLDIVEVNIAHQISLRSEAFFHAMTSQHELQDYLKKTTQAV KMLRDKIAQIDKVMCEGSLQILRLALTRNNCVKVYNKLKLMATVHQTQPTVQVLLSTSEF VGALDLIATTQEVLQQELQGIHSFRHLGSQLCELEKLIDKMMIAEFSTYSHSDLNRPLEG ECQVLEEERLVSLVFGLLKQRKLNFLEIYGEEMIITAKNIIKERVINKVSQIEEIDTDVV VKLADQMRMLNFPQWIDLLKDIFSKFTVFLQRVKATLNIIHSVVLSVLEKSQRTRELEEI PQQRSAGKDSSLDTDVAYLTHEGWFISDAFSEGEPASAAVDTTSQRNTSPHSEPCSSDSV SEPECTTDSSSSKEQTSACAPPGGIEIIVSEDMRLTDLELGKLASNIQELLCNASDVCHD RAVKFLMSRAKDGFLEKLNSTEFIALSRLMETFIVDTEQICGRKSTSLLGALQSQANKFV NRFHEERRTKLSLLLDNERWKQADVPAEFQDLVDSIADGKIALPEKKPVVTEERKPADVL VVEGHQYAVVGTVLLLIRIILEYCQCVDNIPSVTTDMLTRLTDLLKYFNSRSCQLVLGAG ALQVVGLKTITTKNLALSSRCLQLIVHYIPVIRAHFEARLPPKQWSMLRHFDHITKDYHD HIAEISAKLVAIMDSLFDKLLSKYEVKAPVPSPCFRNICKQMTKMHEAIFDLLPEEQTQM LFLRINASYKLHLKKQLSHLNVINDGGPQNGLVTADVAFYTGNLQALKGLKDLDLNMAEI WEQKR >ENSMUSP00000116739.1 pep:known chromosome:GRCm38:11:21268785:21320324:1 gene:ENSMUSG00000020128.15 transcript:ENSMUST00000132017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps54 description:vacuolar protein sorting 54 (yeast) [Source:MGI Symbol;Acc:MGI:2178798] REKIHERCKNICPPKDTFDRTLLHIHDKSRTDLEQVPKIFMKPDFALDDSLTFNSVLPWS HFNTAGGKGSRDAASSKLLQEKLSHYLDIVEVNIAHQISLRSEAFFHAMTSQHELQDYLK KTTQAVKMLRDKIAQIDKVMCEGSLQILRLALTRNNCVKVYNKLKLMATVHQTQPTVQVL LSTSEFVGALDLIATTQEVLQQELQGIHSFRHLGSQLCELEKLIDKMMIAEFSTYSHSDL NRPLEGECQVLEERVINKVSQIEEIDTDVVVKLADQMRMLNFPQWIDLLKDIFSKFTVFL QRVKATLNIIHSVVLSVLEKSQRTRELEEIPQQRSAGKDSSLDTDVAYLTHEGWFISDAF SEGEPASAAVDTTSQRNTSPHSEPCSSDSVSEPECTTDSSSSKEQTSACAPPGGIEIIVS EDMRLTDLELGKLASNIQELLCNASDVCHDRAVKFLMSRAKDGFLEKLNSTEFIALSRLM ETFIVDTEQICGRKSTSLLGALQSQANKFVNRFHEERRTKLSLLLDNERWKQADVPAEFQ DLVDSIADGKIALPEKKPVVTEERKPADVLVVEGHQYAVVGTVLLLIRIILEYCQCVDNI PSVTTDMLTRLTDLLKYFNSRSCQLVLGAGALQVVGLKTITTKNLALSSRCLQLIVHYIP VIRAHFEARLPPKQWSMLRHFDHITKDYHDHIAEISAKLVAIMDSLFDKLLSKYEVKAPV PSPCFRNICKQMTKMHEAIFDLLPEEQTQMLFLRINASYKLHLKKQLSHLNVINDGGPQN GLVTADVAFYTGNLQALKGLKDLDLNMAEIWEQKR >ENSMUSP00000145773.1 pep:known chromosome:GRCm38:7:126363824:126369541:-1 gene:ENSMUSG00000030742.7 transcript:ENSMUST00000206793.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lat description:linker for activation of T cells [Source:MGI Symbol;Acc:MGI:1342293] MEADALSPVGLGLLLLPFLVTLLAALCVRCRELPVSYDSTSTESLYPRSILIKPPQITVP RTPAVSYPLVTSFPPLRQPDLLPIPRSPQPLGGSHRMPSSQQNSDDEPACKNVDADEDED DYPNGYLVVLPDSSPAAVPVVSSAPVPSNPDLGDSAFSVESCEDYVNVPESEESAEASLD GSREYVNVSPEQQPVTRAELASVNSQEVEDEGEEEGVDGEEAPDYENLQELN >ENSMUSP00000032997.6 pep:known chromosome:GRCm38:7:126363827:126369534:-1 gene:ENSMUSG00000030742.7 transcript:ENSMUST00000032997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lat description:linker for activation of T cells [Source:MGI Symbol;Acc:MGI:1342293] MEADALSPVGLGLLLLPFLVTLLAALCVRCRELPVSYDSTSTESLYPRSILIKPPQITVP RTPAVSYPLVTSFPPLRQPDLLPIPRSPQPLGGSHRMPSSQQNSDDANSVASYENQEPAC KNVDADEDEDDYPNGYLVVLPDSSPAAVPVVSSAPVPSNPDLGDSAFSVESCEDYVNVPE SEESAEASLDGSREYVNVSPEQQPVTRAELASVNSQEVEDEGEEEGVDGEEAPDYENLQE LN >ENSMUSP00000146191.1 pep:known chromosome:GRCm38:7:126368099:126369494:-1 gene:ENSMUSG00000030742.7 transcript:ENSMUST00000205642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lat description:linker for activation of T cells [Source:MGI Symbol;Acc:MGI:1342293] MEADALSPVGLGLLLLPFLVTLLAALCVRCRELPVSYDSTSTESLYPRSILIKPPLTSFP PLRQPDLLPIPRSPQPLGGSHRMPSSQQNSDDANSVASYENQEPA >ENSMUSP00000047615.5 pep:known chromosome:GRCm38:X:104536969:104671064:-1 gene:ENSMUSG00000033906.5 transcript:ENSMUST00000042070.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc15 description:zinc finger, DHHC domain containing 15 [Source:MGI Symbol;Acc:MGI:1915336] MRRGWKMALSGGLRCCRRVLSWVPVLVIVLVVLWSYYAYVFELCLVTVLSPAEKVIYLIL YHAIFVFFAWTYWKSIFTLPQQPNQKFHLSYTDKERYKNEERPEVQKQMLVDMAKKLPVY TRTGSGAVRFCDRCHLIKPDRCHHCSVCAMCVLKMDHHCPWVNNCIGFSNYKFFLQFLAY SVLYCLYIATTVFSYFIKYWRGELPSVRSKFHVLFLLFVACMFFVSLVILFGYHCWLVSR NKTTLEAFCTPVFTSGPEKNGFNLGFIKNIQQVFGDNKKFWLIPIGSSPGDGHSFPMRSM NESQNPLLANEEPWEDNEDDSRDYPEGSSSLAVESET >ENSMUSP00000034723.5 pep:known chromosome:GRCm38:9:71215789:71296243:1 gene:ENSMUSG00000013584.5 transcript:ENSMUST00000034723.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1a2 description:aldehyde dehydrogenase family 1, subfamily A2 [Source:MGI Symbol;Acc:MGI:107928] MTSSEIAMPGEVKADPAALMASLQLLPSPTPNLEIKYTKIFINNEWQNSESGRVFPVCNP ATGEQVCEVQEADKVDIDKAVQAARLAFSLGSVWRRMDASERGRLLDKLADLVERDRATL ATMESLNGGKPFLQAFYIDLQGVIKTLRYYAGWADKIHGMTIPVDGDYFTFTRHEPIGVC GQIIPWNFPLLMFTWKIAPALCCGNTVVIKPAEQTPLSALYMGALIKEAGFPPGVVNILP GYGPTAGAAIASHIGIDKIAFTGSTEVGKLIQEAAGRSNLKRVTLELGGKSPNIIFADAD LDYAVEQAHQGVFFNQGQCCTAGSRIFVEESIYEEFVKRSVERAKRRIVGSPFDPTTEQG PQIDKKQYNKVLELIQSGVAEGAKLECGGKGLGRKGFFIEPTVFSNVTDDMRIAKEEIFG PVQEILRFKTMDEVIERANNSDFGLVAAVFTNDINKALMVSSAMQAGTVWINCYNALNAQ SPFGGFKMSGNGREMGEFGLREYSEVKTVTVKIPQKNS >ENSMUSP00000139310.1 pep:known chromosome:GRCm38:9:73044854:73097629:1 gene:ENSMUSG00000032202.11 transcript:ENSMUST00000184146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab27a description:RAB27A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1861441] MSDGDYDYLIKFLALGDSGVGKTSVLYQYTDGKFNSKFITTVGIDFREKRVVYRANGPDG AVGRGQRIHLQLWDTAGQERFRSLTTAFFRDAMGFLLLFDLTNEQSFLNVRNWISQLQMH AYCENPDIVLCGNKSDLEDQRAVKEEEARELAEKYGIPYFETSAANGTNISHAIEMLLDL IMKRMERCVDKSWIPEGVVRSNGHTSADQLSEEKEKGLCGC >ENSMUSP00000034722.3 pep:known chromosome:GRCm38:9:73044857:73097592:1 gene:ENSMUSG00000032202.11 transcript:ENSMUST00000034722.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab27a description:RAB27A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1861441] MSDGDYDYLIKFLALGDSGVGKTSVLYQYTDGKFNSKFITTVGIDFREKRVVYRANGPDG AVGRGQRIHLQLWDTAGQERFRSLTTAFFRDAMGFLLLFDLTNEQSFLNVRNWISQLQMH AYCENPDIVLCGNKSDLEDQRAVKEEEARELAEKYGIPYFETSAANGTNISHAIEMLLDL IMKRMERCVDKSWIPEGVVRSNGHTSADQLSEEKEKGLCGC >ENSMUSP00000063538.4 pep:known chromosome:GRCm38:7:86335406:86336417:-1 gene:ENSMUSG00000055610.4 transcript:ENSMUST00000069279.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr307 description:olfactory receptor 307 [Source:MGI Symbol;Acc:MGI:3030141] MPNITAFTGFLLTAFFDSQELQTLWGVFFLGIYLEALMSNLIIITLITLDLQLQTPMYFF LKNLSLLDVFFVSVPIPKFVVNSLIHNNSISVLACAFQVFLMTSFSSGEVFVLTAMSYDR YVAICFPLNYGAIMNNHTCVLMMGVSWATGMLFGAIYTAGTFSMPFCGSNVIPQFFCDVP SLLRISCSETLVAIYSCLGIGVCLGMSCFICVVISYFYIFSTVLKIPTTKGQSKAFATCI PHLTVFTVFLVTACFVYLKPFTNTLSISERLFSVLYTVLPPALNPLIYSLRNTDVKSALR RLQQNLCLRRLLI >ENSMUSP00000098643.4 pep:known chromosome:GRCm38:X:164980592:164997269:1 gene:ENSMUSG00000047757.16 transcript:ENSMUST00000101082.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancb description:Fanconi anemia, complementation group B [Source:MGI Symbol;Acc:MGI:2448558] MPFNEQAKFLCYHGEILVFQLSRGERADLEMPTDPLVLGVKRMMFDRETSTFLLISTVFL NINEKDSHLKILCCNCVSDLRTRINLPCVLIQCRKYNSEAFKYCILLLHNLNRVERLLSF ELNHALDENTKIFDGPIVFWQYLNQFFYISSAIGKVTTISLMLSSIEWIGEIENFGLGFL GLAEPSEDKCTQKLSESDYEFSNSSLCAYALKSQEMLSNGYLIPLAYSTMVTHVHVWAAE MVDHQLRTSLIALTRKNQLILFQNGIPVRACQLPFPGPRSVQILDAGKRNRFFIVSFPSK ACAVSEKKFKVVAKWEQLSLVLVNDFAGVGTEQVLVVFEDSLDADQLTSFTVTDFVKIWY STKPLDCCEDPLAEEEHENYYLVLPALEGQLDNSFIFLNKIQQHISFKDKFIAKSWKALL NAVYGKGDSLPSDEMVKLDQSSILTFFFKDGLVPFCDEGEDSVPTPEENLPDNFPEPEHI VEQTWCHVLDDDLVVGAKVTSLKESNEMTLSLIMNQGNRSSFHLMKCHSQVISLSMNSFP EAYLMSKEAGPDSERMQLVSSSVEAESSFCGQSSKAESTRIITAVTSLSPLLVFNQLCCT VLLNISDRDNPKATVHDYIVCGNLDFNLQDLFSKNHLLAFPKKESVEHTEDLFSLLGVLP KYPFCVTSPTHAPNFMKVWLLKHMKCERIQECTEIYLYKKLRNCGALFSWEQRTASEGIL TIYCRSQGVLFQCLDHLIKVLPEICSFKYLKVENEDFLVDHLSSTLEAELVTFCSVSTSA FEYVRGGYNCRIRRTDNRAMTFLGRRAKIRQSKRKVQRERILKHLNMTVNGSSYAEMTLA LAEIQLKSDLIVKTLANFVIAL >ENSMUSP00000051402.6 pep:known chromosome:GRCm38:X:164980712:164997272:1 gene:ENSMUSG00000047757.16 transcript:ENSMUST00000057150.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancb description:Fanconi anemia, complementation group B [Source:MGI Symbol;Acc:MGI:2448558] MPFNEQAKFLCYHGEILVFQLSRGERADLEMPTDPLVLGVKRMMFDRETSTFLLISTVFL NINEKDSHLKILCCNCVSDLRTRINLPCVLIQCRKYNSEAFKYCILLLHNLNRVERLLSF ELNHALDENTKIFDGPIVFWQYLNQFFYISSAIGKVTTISLMLSSIEWIGEIENFGLGFL GLAEPSEDKCTQKLSESDYEFSNSSLCAYALKSQEMLSNGYLIPLAYSTMVTHVHVWAAE MVDHQLRTSLIALTRKNQLILFQNGIPVRACQLPFPGPRSVQILDAGKRNRFFIVSFPSK ACAVSEKKFKVVAKWEQLSLVLVNDFAGVGTEQVLVVFEDSLDADQLTSFTVTDFVKIWY STKPLDCCEDPLAEEEHENYYLVLPALEGQLDNSFIFLNKIQQHISFKDKFIAKSWKALL NAVYGKGDSLPSDEMVKLDQSSILTFFFKDGLVPFCDEGEDSVPTPEENLPDNFPEPEHI VEQTWCHVLDDDLVVGAKVTSLKESNEMTLSLIMNQGNRSSFHLMKCHSQVWLLKHMKCE RIQECTEIYLYKKLRNCGALFSWEQRTASEGILTIYCRSQGVLFQCLDHLIKVLPEICSF KYLKVENEDFLVDHLSSTLEAELVTFCSVSTSAFEYVRGGYNCRIRRTDNRAMTFLGRRA KIRQSKRKVQRERILKHLNMTVNGSSYAEMTLALAEIQLKSDLIVKTLANFVIAL >ENSMUSP00000128141.1 pep:known chromosome:GRCm38:X:164980592:164997269:1 gene:ENSMUSG00000047757.16 transcript:ENSMUST00000167446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancb description:Fanconi anemia, complementation group B [Source:MGI Symbol;Acc:MGI:2448558] MPFNEQAKFLCYHGEILVFQLSRGERADLEMPTDPLVLGVKRMMFDRETSTFLLISTVFL NINEKDSHLKILCCNCVSDLRTRINLPCVLIQCRKYNSEAFKYCILLLHNLNRVERLLSF ELNHALDENTKIFDGPIVFWQYLNQFFYISSAIGKVTTISLMLSSIEWIGEIENFGLGFL GLAEPSEDKCTQKLSESDYEFSNSSLCAYALKSQEMLSNGYLIPLAYSTMVTHVHVWAAE MVDHQLRTSLIALTRKNQLILFQNGIPVRACQLPFPGPRSVQILDAGKRNRFFIVSFPSK ACAVSEKKFKVVAKWEQLSLVLVNDFAGVGTEQVLVVFEDSLDADQLTSFTVTDFVKIWY STKPLDCCEDPLAEEEHENYYLVLPALEGQLDNSFIFLNKIQQHISFKDKFIAKSWKALL NAVYGKGDSLPSDEMVKLDQDGLVPFCDEGEDSVPTPEENLPDNFPEPEHIVEQTWCHVL DDDLVVGAKVTSLKESNEMTLSLIMNQGNRSSFHLMKCHSQVISLSMNSFPEAYLMSKEA GPDSERMQLVSSSVEAESSFCGQSSKAESTRIITAVTSLSPLLVFNQLCCTVLLNISDRD NPKATVHDYIVCGNLDFNLQDLFSKNHLLAFPKKESVEHTEDLFSLLGVLPKYPFCVTSP THAPNFMKVWLLKHMKCERIQECTEIYLYKKLRNCGALFSWEQRTASEGILTIYCRSQGV LFQCLDHLIKVLPEICSFKYLKVENEDFLVDHLSSTLEAELVTFCSVSTSAFEYVRGGYN CRIRRTDNRAMTFLGRRAKIRQSKRKVQRERILKHLNMTVNGSSYAEMTLALAEIQLKSD LIVKTLANFVIAL >ENSMUSP00000116832.1 pep:known chromosome:GRCm38:12:4880390:4907439:-1 gene:ENSMUSG00000020634.12 transcript:ENSMUST00000141360.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ubxn2a description:UBX domain protein 2A [Source:MGI Symbol;Acc:MGI:2442310] MKEVDNLDSIKEEWACETGPPDSQPLNDNQQKDCEYFVDSLFEEAGKAGAKCLSPTEQKK QGITFRIMGNF >ENSMUSP00000020962.5 pep:known chromosome:GRCm38:12:4879032:4907705:-1 gene:ENSMUSG00000020634.12 transcript:ENSMUST00000020962.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn2a description:UBX domain protein 2A [Source:MGI Symbol;Acc:MGI:2442310] MKEVDNLDSIKEEWACETGPPDSQPLNDNQQKDCEYFVDSLFEEAGKAGAKCLSPTEQKK QVDVNIKLWKNGFTVNDDFRSYSDGASQQFLNSIKKGELPSELWGIFDKEEVDVKVEDKK NEVCMSTKPVFQPFSGQGHRLGSATPRIVSKAKSVEVDNKSTLSAVSLNNLEPITRIQIW LANGERTVQRFNVSHRVSHIKDFIEKYQGSQRSPPFALATALPFLRFLDETLTLEEADLK NAVIIQRLQKTAEPFRKL >ENSMUSP00000118834.1 pep:known chromosome:GRCm38:12:4879349:4907264:-1 gene:ENSMUSG00000020634.12 transcript:ENSMUST00000142867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn2a description:UBX domain protein 2A [Source:MGI Symbol;Acc:MGI:2442310] MKEVDNLDSIKEEWACETGPPDSQPLNDNQQKDCEYFVDSLFEEAGKAGAKCLSPTEQKK QVDVNIKLWKNGFTVNDDFRSYSDGASQQFLNSIKKGELPSELWGIFDKEEVDVKVEDKK NEVCMSTKPVFQPFSGQGHRLGSATPRIVSKAKSVEVDNKSTLSAVSLNNLEPITRIQIW LANGERTVQRFNVSHRVSHIKDFIEKYQGSQRSPPFALATALPFLRFLDETLTLEEADLK NAVIIQRLQKTAEPFRKL >ENSMUSP00000047419.6 pep:known chromosome:GRCm38:15:74762056:74763620:-1 gene:ENSMUSG00000034634.7 transcript:ENSMUST00000040404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6d description:lymphocyte antigen 6 complex, locus D [Source:MGI Symbol;Acc:MGI:96881] MKTALLVLLVLAVATSPAWALRCHVCTNSANCKNPQVCPSNFYFCKTVTSVEPLNGNLVR KECANSCTSDYSQQGHVSSGSEVTQCCQTDLCNERLVSAAPGHALLSSVTLGLATSLSLL TVMALCL >ENSMUSP00000115469.1 pep:known chromosome:GRCm38:1:133045667:133067229:1 gene:ENSMUSG00000026447.16 transcript:ENSMUST00000153707.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2b description:phosphoinositide-3-kinase, class 2, beta polypeptide [Source:MGI Symbol;Acc:MGI:2685045] MSSTQGNGEHWKSLESVGISRKELAMAEALQMEYDALSRLRHHKEESRAKQNTEPSLISW DEPALDFYSKPAGRRTDLKLLRGLSGSDPTLNYNSISPPEGLPNSTSQDPQPGPDPWPKG SLSGDYLYIFDGSEGRCSLSPGSGDTDGSCKKLSPPPLPPRVSIWDAPPLPPRKGSPSPS KISQPNDINSFSSAEQPPDKLLVAQDPEEGELPDGRGQGHTLGSVDYDGINDAITRLNLK STYDSEISSDATRGWKEGRGPLDFNKDTSGKPVARSKTMPPQVPPRTYTSRYANRKNATP GNNRRISAAP >ENSMUSP00000076911.5 pep:known chromosome:GRCm38:1:133046012:133108687:1 gene:ENSMUSG00000026447.16 transcript:ENSMUST00000077730.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2b description:phosphoinositide-3-kinase, class 2, beta polypeptide [Source:MGI Symbol;Acc:MGI:2685045] MSSTQGNGEHWKSLESVGISRKELAMAEALQMEYDALSRLRHHKEESRAKQNTEPSLISW DEPALDFYSKPAGRRTDLKLLRGLSGSDPTLNYNSISPPEGLPNSTSQDPQPGPDPWPKG SLSGDYLYIFDGSEGRCSLSPGSGDTDGSCKKLSPPPLPPRVSIWDAPPLPPRKGSPSPS KISQPNDINSFSSAEQPPDKLLVAQDPEEGELPDGRGQGHTLGSVDYDGINDAITRLNLK STYDSEISSDATRGWKEGRGPLDFNKDTSGKPVARSKTMPPQVPPRTYTSRYANRKNATP GNNRRISAAPVGSRPHTVANGHELFEVSEERDEEVAAFCHMLDILRTGSDIQDYSLTGCV WSTVTPSPEHLGDEVNLKVTVLCDSLREPLTFTCNCSSTVDLLIHQTLCYTHDELREVDV GDFVLKPCGLEEFLQNKHALGSHEYIQYCRKFDISIRLQLMEQKAIRSDLARTVNDDQSP STLNYLIHLQERPVKQTISRQALSLLFDTYHNEVDAFLLADGDFPLKADRVVQSVKAICN ALAAVETPEITSALNQLPPCPSRMQPKIQKDPSVLSVRENREKVVEALTAAILDLVELYC STFNADFQTAVPGSRKHDLVQEACHFPGALAFTVYGTHRIPIIWATSYEDFYLSCSLSHG GKELCSPLQTRRAHFSKYLFHLIIWDQQICFPVQVNRLPRETLLCATLYALPVPPPGGSS EANKQKRVPEALGWVTTPLFNFRQVLTCGRKLLGLWPATQENSGARWSAPNFHQPDSVIL QIDFPSSAFDIKFTSPPGDKFSPRYEFGSLREEDQRKLKDITQKESLYWLTDADKKQLWE KRYYCHTEVSSLPLVLASAPSWEWACLPDIYALLQQWTHMNHQDALGLLHATFPDQEVRR MAVQWIGSLSDAELLDYLPQLVQALKYECYLDSPLVRFLLKRAISDLRVTHYFFWLLKDS LKDSQFSIRYQYLLAALLCCCGKGLREEFNRQCWLVNTLAKLAQQVREATPSARQGILRV GLEEVKQFFALNGSCRLPLSPSLLVKGIVPRDCSYFNSNAVPLKLAFQNVDPLGENIRVI FKCGDDLRQDMLTLQMIRIMSKIWVQEGLDMRMVIFRCFSTGRGKGMVEMIPNAETLRKI QVEHGVTGSFKDRPLADWLQKHNPGEDEYEKAVENFIYSCAGCCVATYILGICDRHNDNI MLKTTGHMFHIDFGRFLGHAQMFGNIKRDRAPFVFTSDMAYVINGGDKPSSRFHDFVDLC CQAYNLIRKHTHLFLNLLGLMLSCGIPELSDLEDLKYVYDALRPQDTEANATTYFTRLIE SSLGSVATKLNFFIHNLAQMKFTGSDDRLTLSFAPRTHTLKSSGRIRDVFLCRHEKIFHP SKGYVYVVKVMRENAHEATYIQRTFEEFQELHNKLRLLFPSSFLPSFPSRFVIGRSRGEA VAERRKEELNGYIWHLIHAAPEVAECDLVYTFFHPLPRDEKASGPSPAPKSSDGTWARPV GKVGGEVKLSISYKNNKLFIMVMHIRGLQPLQDGNDPDPYVKIYLLPDPQKTTKRKTKVA RKTCNPTYNEMLVYDGIPKGDLQQRELQLSVLSEQGFWENLLLGEVHIRLRELDLAQEKT GWFGLGSRGHGT >ENSMUSP00000113216.1 pep:known chromosome:GRCm38:3:159495433:159529955:1 gene:ENSMUSG00000028175.15 transcript:ENSMUST00000120272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc1a description:DEP domain containing 1a [Source:MGI Symbol;Acc:MGI:1923381] MERQGAPLGPYRATKLWNEVTTSFRVGMPLRKHRQHLKKYSNCFTAVEAIDWLYDLLRSN SNFGPEVTRQQTIQLLRKFLKNHVIEDIKGRWGSESLDDNNQLFRFPATSPLKTFPQRHT EIKKTNTEYFSKDKDGIFKLRNLSQKTSKKRGLHFSQENTEKINHERITNEDLEIAPDNQ EISQEDVEEVWRYVIMIYLQTILSLPSIEELLNPNQVIPQYIMYNMANTSKHGVVILQDK SDDLPHWVLSAMKCLANWPRSNDTNNLTYVGFERDVFKTIADYFLNLPEPLLTFEYYELF VNILVVCGYITVSDRTSGIHKIQDDPRSSKIHDLSNLNSFKSTECLLLSLLYKDKSNEEL DSTKRLQRNDQGFQERCAKKMQLDNLRNRRASANDIMGGSCHNLIGLSNTNALSSNIKPR CSSLEGIVDRPVNSSEKKSSIFYQSVLNIEEQNSKQSLVSAPKQTPLFNLHSDENAQQPH CVGFNRTSALTVQDQEELCNEKYKSKQLCRSQSLLLRSSTRQNSCINKPVAEIIMKPNVG QGSTSELGESSTTINKRLCKSTIELSEKSLPPAASVLTGTQSLLQPHLERVAINALQLCC LLLPPPNRRKLQLLMRMISRMSQNVDMPKLHEQIGTRSLMINTFSRCVLCCAEEVDLDEL LASRLVSFLMDHHQEILQVPTYLQAAVEKHLDYIKKGNVKNHGDGLVVPLPTYSYCKQIS AKEFDEQKISTSQAAIAELLENIVRSKSLSLKEKRRKLKQFQKEYPLIYQKRFPTTESEA ALFDDKPTIKQPMLNLRNPKLHSLRY >ENSMUSP00000029825.7 pep:known chromosome:GRCm38:3:159495451:159529576:1 gene:ENSMUSG00000028175.15 transcript:ENSMUST00000029825.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc1a description:DEP domain containing 1a [Source:MGI Symbol;Acc:MGI:1923381] MERQGAPLGPYRATKLWNEVTTSFRVGMPLRKHRQHLKKYSNCFTAVEAIDWLYDLLRSN SNFGPEVTRQQTIQLLRKFLKNHVIEDIKGRWGSESLDDNNQLFRFPATSPLKTFPQRHT EIKKTNTEYFSKDKDGIFKLRNLSQKTSKKRGLHFSQENTEKINHERITNEDLEIAPDNQ EISQEDVEEVWRYVIMIYLQTILSLPSIEELLNPNQVIPQYIMYNMANTSKHGVVILQDK SDDLPHWVLSAMKCLANWPRSNDTNNLTYVGFERDVFKTIADYFLNLPEPLLTFEYYELF VNILVVCGYITVSDRTSGIHKIQDDPRSSKIHDLSNLNSFKSTECLLLSLLYKDKSNEEL DSTKRLQRNDQGFQERCAKKMQLDNLRNRRASANDIMGGSCHNLIGLSNTNALSSNIKPR CSSLEGIVDRPVNSSEKKSSIFYQSVLNIEEQNSKQSLVSAPKQTPLFNLHSDENAQQPH CVGFNRTSALTVQDQEELCNEKYKSKQLCRSQSLLLRSSTRQNSCINKPVAEIIMKPNVG QGSTSELGESSTTINKRLCKSTIELSEKSLPPAASVLTGTQSLLQPHLERVAINALQLCC LLLPPPNRRKLQLLMRMISRMSQNVDMPKLHEQIGTRSLMINTFSRCVLCCAEEVDLDEL LASRLVSFLMDHHQEILQVPTYLQAAVEKHLDYIKKGNVKNHGDGLVVPLPTYSYCKQIS AKEFDEQKISTSQAAIAELLENIVRSKSLSLKEKRRKLKQKEYPLIYQKRFPTTESEAAL FDDKPTIKQPMLNLRNPKLHSLRY >ENSMUSP00000101656.2 pep:known chromosome:GRCm38:3:159495458:159529459:1 gene:ENSMUSG00000028175.15 transcript:ENSMUST00000106041.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc1a description:DEP domain containing 1a [Source:MGI Symbol;Acc:MGI:1923381] MERQGAPLGPYRATKLWNEVTTSFRVGMPLRKHRQHLKKYSNCFTAVEAIDWLYDLLRSN SNFGPEVTRQQTIQLLRKFLKNHVIEDIKGRWGSESLDDNNQLFRFPATSPLKTFPQRHT EIKKTNTEYFSKDKDGIFKLRNLSQKTSKKRGLHFSQENTEKINHERITNEDLEIAPDNQ EISQEDVEEVWRYVIMIYLQTILSLPSIEELLNPNQVIPQYIMYNMANTSKHGVVILQDK SDDLPHWVLSAMKCLANWPRSNDTNNLTYVGFERDVFKTIADYFLNLPEPLLTFEYYELF VNILGLLQPHLERVAINALQLCCLLLPPPNRRKLQLLMRMISRMSQNVDMPKLHEQIGTR SLMINTFSRCVLCCAEEVDLDELLASRLVSFLMDHHQEILQVPTYLQAAVEKHLDYIKKG NVKNHGDGLVVPLPTYSYCKQISAKEFDEQKISTSQAAIAELLENIVRSKSLSLKEKRRK LKQFQKEYPLIYQKRFPTTESEAALFDDKPTIKQPMLNLRNPKLHSLRY >ENSMUSP00000094936.2 pep:known chromosome:GRCm38:17:37262711:37263749:-1 gene:ENSMUSG00000063660.4 transcript:ENSMUST00000080759.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr98 description:olfactory receptor 98 [Source:MGI Symbol;Acc:MGI:2177481] MNCSQAPTFILLGLSSDAEKWQPLFSIFLVLYLLGLLGNLLLLLAIGTDVHLHTPMYFFL SQLSLVDLCFITTTAPKMLEALWTGDGSISFSGCLTQLYFFAVFADMDNLLLAVMAIDRY AAICHPLLYPLLMTPCRCRVLVSGSWGVAHCVSLTHTLLFSKLYFHNNQEIPHFFCDFGP LLLLSCSDTYLNESLMMALSGLLAISAFLCIVSSYGCIFYAVAKVPSAQGKRKALATCSS HLSVVLLFYSTVFATYLKPPSSSHSSQEVVAAVMYTLVTPTLNPFIYSLRNKDVKSSLRR ILNMVKSQD >ENSMUSP00000108804.2 pep:known chromosome:GRCm38:5:90518932:90553543:1 gene:ENSMUSG00000029369.17 transcript:ENSMUST00000113179.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afm description:afamin [Source:MGI Symbol;Acc:MGI:2429409] MRHLKLTGFIFFLLPLTESLALPTKPQDVDHFNATQKFIDENTTYLAIIAFSQYVQEASF DEVETLVKVMLDYRDRCWADNTLPECSKTANDAIQDMLCDMEGLPQKHNFSHCCGKAGFP RRLCFFYNKKANVGFLPPFPTLDPEEKCQAYKNNSESFLHLYMYEVARRNPFVFAPVLLA VAAWFEEAATTCCEQQQKATCFQAKAAPITQYLKASSSYQRNVCGALIKFGPKVLNSINV AVFSKKFPKIGFKDLTTLLEDVSSMYEGCCEGDVVHCIRSQSQVVNHICSKQDSISSKIK VCCEKKTLEREACIINANKDDRPEGLSLREAKFTESENVCQERDSDPDKFFAEFIYEYSR RHPDLSTPELLRITKVYMDFLEDCCSRENPAGCYRHVEDKFNETTQRSLAMVQQECKQFQ ELGKDTLQRHFLVKFTKAAPQLPMEELVSLSKEMVAALTTCCTLSDEFACVDNLADLVLG ELCGVNTNRTINPAVDHCCKTDFAFRRHCFEHLKADTTYELPSVSALVSALHTDWCQPRK EDLQNKKHRFLVNLVKWMPGITDEEWLCLFTKFTAAREECSEVQEPESCFSPESSKTGDE SQATEKQR >ENSMUSP00000117180.1 pep:known chromosome:GRCm38:5:90518949:90549057:1 gene:ENSMUSG00000029369.17 transcript:ENSMUST00000128740.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afm description:afamin [Source:MGI Symbol;Acc:MGI:2429409] MRHLKLTGFIFFLLPLTESLALPTKPQDVDHFNATQKFIDENTTYLAIIAFSQYVQEASF DEVETLVKVMLDYRDRCWADNTLPECSKTANDAIQDMLCDMEGLPQKHNFSHCCGKAGFP RRLCFFYNKKANVGFLPPFPTLDPEEKCQAYKNNSESFLHLYMYEVARRNPFVFAPVLLA VAAWFEEAATTCCEQQQKATCFQAKAAPITQYLKASSSYQRNVCGALIKFGPKVLNSINV AVFSKKFPKIGFKDLTTLLEDVSSMYEGCCEGDVVHCIRSQSQVVNHICSKQDSISSKIK VCCEKKTLEREACIINANKDDRPEGLSLREAKFTESENVCQERDSDPDKFFAEFIYEYSR RHPDLSTPELLRITKVYMDFLEDCCSRENPAGCYRHVEDKFNETTQRSLAMVQQECKQFQ ELGKDTLQRQ >ENSMUSP00000063508.2 pep:known chromosome:GRCm38:10:39118804:39133906:-1 gene:ENSMUSG00000051736.8 transcript:ENSMUST00000063204.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam229b description:family with sequence similarity 229, member B [Source:MGI Symbol;Acc:MGI:1913587] MPFRFGTQPRRFPVEGGDSSIELESGLSSSASCTGKETSPNRQLRRCPGSHCLTITDVPI TVYATMRKPPAQSSKEMHPK >ENSMUSP00000123540.1 pep:known chromosome:GRCm38:10:39118819:39133900:-1 gene:ENSMUSG00000051736.8 transcript:ENSMUST00000124941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam229b description:family with sequence similarity 229, member B [Source:MGI Symbol;Acc:MGI:1913587] MPFRFGTQPRRFPVEGGDSSIELESGLSSSASCTGKETSPNRQLRRCPGSHCLTITDVPI TVYATMRKPPAQSSKEMHPK >ENSMUSP00000119802.1 pep:known chromosome:GRCm38:10:39118810:39123102:-1 gene:ENSMUSG00000051736.8 transcript:ENSMUST00000137132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam229b description:family with sequence similarity 229, member B [Source:MGI Symbol;Acc:MGI:1913587] MPFRFGTQPRRFPVEGGDSSIELESGLSSSASCTGKETSPNRQLRRCPGSHCLTITDVPI TVYATMRKPPAQSSKEMHPK >ENSMUSP00000118928.1 pep:known chromosome:GRCm38:10:39118824:39133914:-1 gene:ENSMUSG00000051736.8 transcript:ENSMUST00000135785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam229b description:family with sequence similarity 229, member B [Source:MGI Symbol;Acc:MGI:1913587] MPFRFGTQPRRFPVEGGDSSIELESGLSSSASCTGKETSPNRQLRRCPGSHCLTITDVPI TVYATMRKPPAQSSKEMHPK >ENSMUSP00000121946.1 pep:known chromosome:GRCm38:10:39118818:39133900:-1 gene:ENSMUSG00000051736.8 transcript:ENSMUST00000149949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam229b description:family with sequence similarity 229, member B [Source:MGI Symbol;Acc:MGI:1913587] MPFRFGTQPRRFPVEGGDSSIELESGLSSSASCTGKETSPNRQLRRCPGSHCLTITDVPI TVYATMRKPPAQSSKEMHPK >ENSMUSP00000118858.1 pep:known chromosome:GRCm38:10:39118810:39133848:-1 gene:ENSMUSG00000051736.8 transcript:ENSMUST00000134279.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam229b description:family with sequence similarity 229, member B [Source:MGI Symbol;Acc:MGI:1913587] MPFRFGTQPRRFPVEGGDSSIELESGLSSSASCTGKETSPNRQLRRCPGSHCLTITDVPI TVYATMRKPPAQSSKEMHPK >ENSMUSP00000118545.1 pep:known chromosome:GRCm38:10:39118808:39133900:-1 gene:ENSMUSG00000051736.8 transcript:ENSMUST00000139743.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam229b description:family with sequence similarity 229, member B [Source:MGI Symbol;Acc:MGI:1913587] MPFRFGTQPRRFPVEGGDSSIELESGLSSSASCTGKETSPNRQLRRCPGSHCLTITDVPI TVYATMRKPPAQSSKEMHPK >ENSMUSP00000121597.1 pep:known chromosome:GRCm38:10:39118820:39122314:-1 gene:ENSMUSG00000051736.8 transcript:ENSMUST00000136546.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam229b description:family with sequence similarity 229, member B [Source:MGI Symbol;Acc:MGI:1913587] MPFRFGTQPRRFPVEGGDSSIELESGLSSSASCTGKETSPNRQLRRCPGSHCLTITDVPI TVYATMRKPPAQSSKEMHPK >ENSMUSP00000121708.1 pep:known chromosome:GRCm38:10:39118868:39133900:-1 gene:ENSMUSG00000051736.8 transcript:ENSMUST00000125042.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam229b description:family with sequence similarity 229, member B [Source:MGI Symbol;Acc:MGI:1913587] MPFRFGTQPRRFPVEGGDSSIELESGLSSSASCTGKETSPNRWTFCQLLAIISNSEDALE VTA >ENSMUSP00000012186.4 pep:known chromosome:GRCm38:3:138164078:138186673:-1 gene:ENSMUSG00000012042.8 transcript:ENSMUST00000012186.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930579F01Rik description:RIKEN cDNA 4930579F01 gene [Source:MGI Symbol;Acc:MGI:1914991] MAPVDTGGHGRRRERASTNGAGLNNIPICTVNDDDTCPRSLYISDQFSPSERNETSVAKF TNPPSTASPESLRVGGLSPAPAKLPARPQSEPCRKSSQCFKTSKDNPLVKNKVEHKAKRP LPSARMCSAAAFQSSDAMSNRMDGNENTVHIPNYLDQEIKILAKLSEILHTDSLAEVLNW LLQASNKEKEWVSALVHSELAEINLLTRHRANTPTEPAAEPRKPYTSGTPTTKLLQNSRA KLKALTGSRERQLHRTSSQGSKGNKLVSHGAETPLFIRRNKKQTPVTEYLNPESPLGSNS VASRSPNPGSARSAQGYSPQRVFYP >ENSMUSP00000142940.1 pep:known chromosome:GRCm38:3:138164135:138186713:-1 gene:ENSMUSG00000012042.8 transcript:ENSMUST00000199293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930579F01Rik description:RIKEN cDNA 4930579F01 gene [Source:MGI Symbol;Acc:MGI:1914991] MCSAAAFQSSDAMSNRMDGNENTVHIPNYLDQEIKILAKLSEILHTDSLAEVLNWLLQAS NKEKEWVSALVHSELAEINLLTRHRANTPTEPAAEPRKPYTSGTPTTKLLQNSRAKLKAL TGSRERQLHRTSSQGSKGNKLVSHGAETPLFIRRNKKQTPVTEYLNPESPLGSNSVASRS PNPGSARSAQGYSPQRVFYP >ENSMUSP00000027299.3 pep:known chromosome:GRCm38:1:34498410:34503063:1 gene:ENSMUSG00000026125.9 transcript:ENSMUST00000027299.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss39 description:protease, serine 39 [Source:MGI Symbol;Acc:MGI:1270856] MWGSRAQQSGPDRGGACLLAAFLLCFSLLHAQDYTPSQTPPPTSNTSLKPRGRVQKELCG KTKFQGKIYGGQIAKAERWPWQASLIFRGRHICGAVLIDKTWLLSAAHCFQRSLTPSDYR ILLGYNQLSNPSNYSRQMTVNKVILHEDYSKLSRLEKNIVLIQLHHPVIYSTHIFPACVP DGTTKVSPNNLCWISGWGMLSADKFLQAPFPLLDAEVSLIDEEECTTFFQTPEVSITEYD VIKDDVLCAGDLTNQKSSCRGDSGGPLVCFLNSFWYVVGLANWNGACLEPIHSPNIFTKV SYFSDWIKQKKANTPAADVSSAPLEEMASSLRGWGNYSAGITLKPRISTTLLSSQALLLQ SIWLRIL >ENSMUSP00000140460.1 pep:known chromosome:GRCm38:1:34498421:34500132:1 gene:ENSMUSG00000026125.9 transcript:ENSMUST00000191604.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prss39 description:protease, serine 39 [Source:MGI Symbol;Acc:MGI:1270856] MWGSRAQQSGPDRGGACLLAAFLLCFSLLHAQDYTPSQTPPPTSNTSLKPRGRVQKELCG KTKFQGKIYGGQIAKAERWPWQASLIFRGRHICGAVLIDKTWLLSAAHCFQRSS >ENSMUSP00000032571.9 pep:known chromosome:GRCm38:7:18925888:18965319:1 gene:ENSMUSG00000030411.15 transcript:ENSMUST00000032571.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nova2 description:neuro-oncological ventral antigen 2 [Source:MGI Symbol;Acc:MGI:104296] MRMMAAGAVHGLFTASAAPQPPPPPPPPPPPQPQPPQQPSPPPQQPPPPPQPPQQQQPPP QAPPMEPEAPDSRKRPLETPPEVVCTKRSNTGEEGEYFLKVLIPSYAAGSIIGKGGQTIV QLQKETGATIKLSKSKDFYPGTTERVCLVQGTAEALNAVHSFIAEKVREIPQAMTKPEVV NILQPQTTMNPDRAKQAKLIVPNSTAGLIIGKGGATVKAVMEQSGAWVQLSQKPEGINLQ ERVVTVSGEPEQVHKAVSAIVQKVQEDPQSSSCLNISYANVAGPVANSNPTGSPYASPAD VLPAAAAASAAAASGLLGPAGLAGVGAFPAALPAFSGTDLLAISTALNTLASYGYNTNSL SLGLNSAAASGVLAAVAAGANPAAAAAANLLASYAGDAGAGPGAGAAPPPPPPPGALGSF ALAAAANGYLGAGAGGAAGAGGAPLVAAAAAAGAAGGFLTAEKLAAESAKELVEIAVPEN LVGAILGKGGKTLVEYQELTGARIQISKKGEFLPGTRNRRVTITGSPAATQAAQYLISQR VTYEQGVRASNPQKVG >ENSMUSP00000085531.1 pep:known chromosome:GRCm38:X:78085505:78091374:-1 gene:ENSMUSG00000067684.1 transcript:ENSMUST00000088201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obp1a description:odorant binding protein IA [Source:MGI Symbol;Acc:MGI:1277949] MAKFLLLALTFGLAHAAMEGPWKTVAIAADRVDKIERGGELRIYCRSLTCEKECKEMKVT FYVNENGQCSLTTITGYLQEDGKTYKTQFQGNNRYKLVDESPENLTFYSENVDRADRKTK LLFILGHGPLTSEQKEKFAELAEEKGIPAGNIREVLITDYCPE >ENSMUSP00000047329.5 pep:known chromosome:GRCm38:11:69420809:69544556:-1 gene:ENSMUSG00000005237.14 transcript:ENSMUST00000035539.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah2 description:dynein, axonemal, heavy chain 2 [Source:MGI Symbol;Acc:MGI:107731] MASKAEKKRKVAGRGGARAGRVVRAPQSTAGPGATEASLLPDGQEPEPESGKEDSVLGLQ AFASWRLTPALHGEANTPPTLLHPQPLFHRRLTTLNLILSCSRAGTRDLALKPFFLSRTM LTGLADATWTGEHDMVLEHFVQDPAVPALTIFIDPVFGLKLELGMPVQTQNQIVYFIRQA PVPITPENFEETVQYGTVRGAYIPALLRLLSGVYVPQIFMNKSWPESIRNHFVSHLHRFL ASLTDTRYKLEGHTVLYIPAEAVQMDPEVVVKDKELVQRLETSMIHWTRQIKEVLSAQES VETGENLGPLEEIEFWHNRCMDLSSISKQLVKKGVKHIESILFLAKSSYLTPFRKLAQQI QDGSRQAQSNLTFLSILREPYQELAFMKPKDISEKLPKLISLIRIIWVNSPHYNTRERLT ALFRKVCECQYHFARWEDGKQGPLPCFFGAQGPQITRNLLEIEDIFHKNLQTLRAVRGGI LDVKNTSWHEDYNKFRGGIKDLEVMTQNLITSAFELVRDVEHGVLLLDTFHRLATREAIM RTYEKKAVDLYMLFNSELALVNRELNKKWPYLEPYMTQYSGQAHWVRILRRRIDRVMNCL SGAHFLPHIGTGEESIHTYQQMAQAIDEMVRKTFQEWTATLDKDCIRRLDMSLLRISQEK VGMLDVNFDKTLLILFAEIDYWERLLFETPHYVMNVAERAEDLRILRENLLLVARDYNRI IAMLSPDEQALFKERIRFLDKKIHPGLKKLNWALKGASAFFITECRMHASKVQMIVNDFK ASTLTIGWKAQEMSELLLVHITGKQVYRDLEFEEAQREHRMAAQQKLVKLHQDVVNIMTN SYEVFKNDGPEIQQQWLLYTIRLDHMMEDALRLNVKWSLLELSKAINGDGKTTPNPLFRV LVILQNDVRGGGSQVEFSPTLQTLASVVNDIGSHLFATISVFRHLPDILTKRKMNREPIY VLVERDEDIRKIQAQISSGMTNNASLLQNYLKTWDMYREIWEINKDSFIRRYQRLNPPVS SFDADIARYTEVANNVQKEETVLNIQFVMLDCSHLKFSLVQHCNEWQNKFTTLLKEMAAG RLADLHSYLKDNAEKISHPPQTLEELGVSLQLMDTLQHDLPNLETQIPPIHEQFTILEKY EVPVPDTVLEMLESLNGEWLTFQQILLDSEQMLKKHKEKFKTGLIHAADDFKKKAHNLLE DFEFKGPFTSTVGHTAALDQIAQMRAMLMAMRDEENNLRSNLGIFKIEQPVSKDLQILEK ELDALQQVWEITRDWEESWNQWKMGCFQTLQTEAMESMAHGLFRRLTRLAKEYKDRNWEI IETTRSKIEQFKRTMPLISDLRNPALRERHWDQVKEEVQREFDQESESFTLEQIVKLGMD QHVEKIAEISASATKELAIEVGLQNIAKTWDSTQLDIVPYKDKGHHRLRGTEEVFQALED NQVALSTMKASRFVKAFEKDVDHWERCLSLILEVIEMVLTVQRQWMYLENIFLGEDIRKQ LPNESALFDQVNNNWKAIMDRMNKDNNALRSTHYPGLLETLIEMNAILEDIQKSLDMYLE TKRHIFPRFYFLSNDDLLEILGQSRNPEAVQPHLKKCFDNIKLLKIQKVGGSSSKWEAVG MFSGDGEYIDFLHPVLLEGPVESWLGDVERAMRMTLRDLLRNCRVALKKFLNKRDKWVKD WAGQVVITASQIQWTADVTKCLMTAKERSDKKILKVSILNKYSEAIRGNLTKIMRLKIVA LVTIEIHARDVLEKLYKSGLMDVSSFDWLSQLRFYWEKDVDDCIIRQTNTQFQYGYEYLG NSGRLVITPLTDRCYMTLTTALHLHRGGSPKGPAGTGKTETVKDLGKALGIYVIVVNCSE GLDYKSMGRMYSGLAQSGAWGCFDEFNRINIEVLSVVAQQILSILSALTANLTRFYFEGF EINLVWSCGIFITMNPGYAGRTELPENLKSMFRPIAMVVPDSTLIAEIILFGEGFGNCKI LAKKVYTLYSLAVQQLSRQDHYDFGLRALTSLLRYAGKKRRLQPDLSDEEVLLLSMRDMN IAKLTSVDVPLFNAIVQDLFPNIELPVIDYGKLRDTIEQEIREMGLQITPFTLTKVLQLY ETKNSRHSTMIVGGTGSSKTTSWKILQASLTSLCRAGEPNYNIVREFPLNPKALSLGELY GEYDLNTNEWTDGILSSVMRVACADEKPDEKWILFDGPVDTLWIESMNSVMDDNKVLTLI NGERIAMPEQVSLLFEVENLAVASPATVSRCGMVYTDYVDLGWKPYVQSWLEKRPKTEVE PLQRMFEKFINKILSFKKDNCNELVPVPEYSGIISLCKLYTVLATPENGVNPADAENYSF MVEMTFVFSMIWSVCASVDEDGRKKIDSYLREIEGSFPNKDTVYEYYVNPKMRTWTSFEE KLPKSWRYPPNAPFYKIMVPTVDTVRYNYLVSTLVANQNPVLLVGPVGTGKTSIAQSVLQ SLPSSQWSVLVVNMSAQTTSNNVQSIIESRVEKRTKGVYVPFGGKSMITFMDDLNMPAKD MFGSQPPLELIRLWIDYGFWYDRVKQSIKHIRDMFLMAAMGPPGGGRTVISPRLQSRFNI INMTFPTESQIIRIFGTMINQKLQDFEEEVKPIGNVVTEATLDVYNTVVQRFLPTPAKIH YLFNLRDISKVFQGMLRANKDFHDTKASITRLWIHECFRVFSDRLVDTADMEAFMGILSD KLGTFFDLTFHHLCPNKRPPIFGDFLKEPKVYEDLVDLTVLKTAMETALNEYNLSPSVVP MQLVLFREAIEHITRIVRVIGQPRGNMLLVGIGGSGRQSLARLASSICDYNTFQIEVTKH YRKQEFRDDIKRLYRQAGVELQTTSFLFVDTQIADESFLEDINNILSSGEVPNLYKSDEF EEIQNHIIDQARAEQIPESSDSLFAYLIERVRNNLHIVLCLSPVGDPFRNWIRQYPALVN CTTINWFSEWPREALLEVAEKYIIGVDLGTQENIHRKVAQIFVTMHWSVAQYSQKMLLEL RRYNYVTPTNYLELVSGYKKLLGEKRQELLDQANKLRTGLFKIDETREKVEVMSLELEDA KKKVAEFQKQCEEYLVIIVQQKREADEQQKAVTANSEKIAIEEVKCQALADNAQKDLEEA LPALEEAMRALESLNKKDIGEIKSYGRPPAQVEIVMQAVMILRGNEPTWAEAKRQLGEQN FIKSLINFDKDNISDKVLKKIGAYCAQPDFQPDIIGRVSLAAKSLCMWVRAMELYGRLYR VVEPKRIRMNAAMAQLQEKQAALAEAQEKLREVAEKLEMLKKQYDEKLAQKEELRKKSEE MELKLERAGMLVSGLAGEKARWEETVQGLEEDLGYLVGDCLIAAAFLSYMGPFLTNYRDE IINQIWIRKIRELQVPCSPRFAIDNFLTNPTKVRDWNIQGLPSDAFSTENGIIVTRGNRW ALMIDPQGQALKWIKNMEGNQGLKIIDLQMHDYLRVLEHAIQFGFPVLLQNVQEYLDPTL NPVLNKSVARIGGRMLIRIGDKEVEYNPNFRFYLTTKLSNPHYNPETSAKTTIVNFAVKE QGLEAQLLGIVVRKERPELEEQKDSLVINIAAGKRKLKELEDEILRLLNEATGSLLDDVQ LVNTLQTSKITATEVTEQLETSETTEINIDLAREAYRPCAQRASVLFFVLNDMGRIDPMY QFSLDAYIGLFILSIDKSHRSNKLEDRIEYLNDYHTYAVYRYTCRTLFERHKLLFSFHMC AKILETSGKLNMDEYNFFLRGGVVLDREGQMDNPCTSWLADAYWDNITELDKLTNFHGLM NSFEQYPRDWHLWYTNSSPEKAMLPGEWENACNEMQRMLIVRSLRQDRVAFCVTSFIVSN LGSRFIEPPVLNMKSVMEDSTPRSPLVFILSPGVDPTSALLQLAEHTGMAHRFHALSLGQ GQAPIAARLLREGVNQGHWVFLANCHLSLSWMPNLDKLVEQLQVEDPHPSFRLWLSSSPH PDFPISILQASIKMTTEPPKGLKANMTRLYQLMTEAQFTHCSKPAKYKKLLFALCFFHSI LLERKKFLQLGWNIIYGFNDSDFEVSENLLSLYLDEYEETPWDALKYLIAGVNYGGHVTD DWDRRLLTTYINDYFCDLSLTTPFYRLSVLDTYYIPKDGSLASYKEYISMLPSMDPPEAF GQHPNADVASQITEARTLFETLLSLQPQITPTRVGGQSREEKVLELAADVKQKIPEMIDY EGTRKLLALDPSPLNVVLLQEIQRYNKLMKTILFSLTDLEKGIQGLIVMSTSLEEIFNCI FDAHVPPLWGKVYPSQKPLASWTRDLAVRVEQFETWASRARPPVLFWLSGFTFPTGFLTA VLQSAARQNNISVDSLSWEFIVSTVDDSNLVYPPKDGVWVRGLYLEGAGWDRKNSCLVEA EPMQLVCLMPTIHFRPAESRKKSAKGMYSCPCYYYPNRAGSTDRASFVIGIDLRSGSMTS DHWIKRGTALLMSLDS >ENSMUSP00000146424.1 pep:known chromosome:GRCm38:11:69515982:69518389:-1 gene:ENSMUSG00000005237.14 transcript:ENSMUST00000208777.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah2 description:dynein, axonemal, heavy chain 2 [Source:MGI Symbol;Acc:MGI:107731] KPKDISEKLPKLISLIRIIWVNSPHYNTRERLTALFRKMSNEIIRLCCHSISLDRIFEGY VNSSKEDLEGCISCCQAWKEHYLRAVQMHTQFSNRGWVLDQTSIFAQVDAFVQRCKDLIE VCECQYHFARWEDGKQGPLPCFFGAQGPQITRNLLEIEDIFHKNLQTLRAVRGGILDVKN TSWHEDYNKFRGGIKDLEVMTQNLITSAFELVRDVEHGVLLLDTFHRLATRE >ENSMUSP00000104299.1 pep:known chromosome:GRCm38:11:69420809:69549108:-1 gene:ENSMUSG00000005237.14 transcript:ENSMUST00000108659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah2 description:dynein, axonemal, heavy chain 2 [Source:MGI Symbol;Acc:MGI:107731] MASKAEKKRKVAGRGGARAGRVVRAPQSTAGPGATEASLLPDGQEPEPESGKEDSVLGLQ AFASWRLTPALHGEANTPPTLLHPQPLFHRRLTTLNLILSCSRAGTRDLALKPFFLSRTM LTGLADATWTGEHDMVLEHFVQDPAVPALTIFIDPVFGLKLELGMPVQTQNQIVYFIRQA PVPITPENFEETVQYGTVRGAYIPALLRLLSGVYVPQIFMNKSWPESIRNHFVSHLHRFL ASLTDTRYKLEGHTVLYIPAEAVQMDPEVVVKDKELVQRLETSMIHWTRQIKEVLSAQES VETGENLGPLEEIEFWHNRCMDLSSISKQLVKKGVKHIESILFLAKSSYLTPFRKLAQQI QDGSRQAQSNLTFLSILREPYQELAFMKPKDISEKLPKLISLIRIIWVNSPHYNTRERLT ALFRKVCECQYHFARWEDGKQGPLPCFFGAQGPQITRNLLEIEDIFHKNLQTLRAVRGGI LDVKNTSWHEDYNKFRGGIKDLEVMTQNLITSAFELVRDVEHGVLLLDTFHRLATREAIM RTYEKKAVDLYMLFNSELALVNRELNKKWPYLEPYMTQYSGQAHWVRILRRRIDRVMNCL SGAHFLPHIGTGEESIHTYQQMAQAIDEMVRKTFQEWTATLDKDCIRRLDMSLLRISQEK VGMLDVNFDKTLLILFAEIDYWERLLFETPHYVMNVAERAEDLRILRENLLLVARDYNRI IAMLSPDEQALFKERIRFLDKKIHPGLKKLNWALKGASAFFITECRMHASKVQMIVNDFK ASTLTIGWKAQEMSELLLVHITGKQVYRDLEFEEAQREHRMAAQQKLVKLHQDVVNIMTN SYEVFKNDGPEIQQQWLLYTIRLDHMMEDALRLNVKWSLLELSKAINGDGKTTPNPLFRV LVILQNDVRGGGSQVEFSPTLQTLASVVNDIGSHLFATISVFRHLPDILTKRKMNREPIY VLVERDEDIRKIQAQISSGMTNNASLLQNYLKTWDMYREIWEINKDSFIRRYQRLNPPVS SFDADIARYTEVANNVQKEETVLNIQFVMLDCSHLKFSLVQHCNEWQNKFTTLLKEMAAG RLADLHSYLKDNAEKISHPPQTLEELGVSLQLMDTLQHDLPNLETQIPPIHEQFTILEKY EVPVPDTVLEMLESLNGEWLTFQQILLDSEQMLKKHKEKFKTGLIHAADDFKKKAHNLLE DFEFKGPFTSTVGHTAALDQIAQMRAMLMAMRDEENNLRSNLGIFKIEQPVSKDLQILEK ELDALQQVWEITRDWEESWNQWKMGCFQTLQTEAMESMAHGLFRRLTRLAKEYKDRNWEI IETTRSKIEQFKRTMPLISDLRNPALRERHWDQVKEEVQREFDQESESFTLEQIVKLGMD QHVEKIAEISASATKELAIEVGLQNIAKTWDSTQLDIVPYKDKGHHRLRGTEEVFQALED NQVALSTMKASRFVKAFEKDVDHWERCLSLILEVIEMVLTVQRQWMYLENIFLGEDIRKQ LPNESALFDQVNNNWKAIMDRMNKDNNALRSTHYPGLLETLIEMNAILEDIQKSLDMYLE TKRHIFPRFYFLSNDDLLEILGQSRNPEAVQPHLKKCFDNIKLLKIQKVGGSSSKWEAVG MFSGDGEYIDFLHPVLLEGPVESWLGDVERAMRMTLRDLLRNCRVALKKFLNKRDKWVKD WAGQVVITASQIQWTADVTKCLMTAKERSDKKILKVMKKKQVSILNKYSEAIRGNLTKIM RLKIVALVTIEIHARDVLEKLYKSGLMDVSSFDWLSQLRFYWEKDVDDCIIRQTNTQFQY GYEYLGNSGRLVITPLTDRCYMTLTTALHLHRGGSPKGPAGTGKTETVKDLGKALGIYVI VVNCSEGLDYKSMGRMYSGLAQSGAWGCFDEFNRINIEVLSVVAQQILSILSALTANLTR FYFEGFEINLVWSCGIFITMNPGYAGRTELPENLKSMFRPIAMVVPDSTLIAEIILFGEG FGNCKILAKKVYTLYSLAVQQLSRQDHYDFGLRALTSLLRYAGKKRRLQPDLSDEEVLLL SMRDMNIAKLTSVDVPLFNAIVQDLFPNIELPVIDYGKLRDTIEQEIREMGLQITPFTLT KVLQLYETKNSRHSTMIVGGTGSSKTTSWKILQASLTSLCRAGEPNYNIVREFPLNPKAL SLGELYGEYDLNTNEWTDGILSSVMRVACADEKPDEKWILFDGPVDTLWIESMNSVMDDN KVLTLINGERIAMPEQVSLLFEVENLAVASPATVSRCGMVYTDYVDLGWKPYVQSWLEKR PKTEVEPLQRMFEKFINKILSFKKDNCNELVPVPEYSGIISLCKLYTVLATPENGVNPAD AENYSFMVEMTFVFSMIWSVCASVDEDGRKKIDSYLREIEGSFPNKDTVYEYYVNPKMRT WTSFEEKLPKSWRYPPNAPFYKIMVPTVDTVRYNYLVSTLVANQNPVLLVGPVGTGKTSI AQSVLQSLPSSQWSVLVVNMSAQTTSNNVQSIIESRVEKRTKGVYVPFGGKSMITFMDDL NMPAKDMFGSQPPLELIRLWIDYGFWYDRVKQSIKHIRDMFLMAAMGPPGGGRTVISPRL QSRFNIINMTFPTESQIIRIFGTMINQKLQDFEEEVKPIGNVVTEATLDVYNTVVQRFLP TPAKIHYLFNLRDISKVFQGMLRANKDFHDTKASITRLWIHECFRVFSDRLVDTADMEAF MGILSDKLGTFFDLTFHHLCPNKRPPIFGDFLKEPKVYEDLVDLTVLKTAMETALNEYNL SPSVVPMQLVLFREAIEHITRIVRVIGQPRGNMLLVGIGGSGRQSLARLASSICDYNTFQ IEVTKHYRKQEFRDDIKRLYRQAGVELQTTSFLFVDTQIADESFLEDINNILSSGEVPNL YKSDEFEEIQNHIIDQARAEQIPESSDSLFAYLIERVRNNLHIVLCLSPVGDPFRNWIRQ YPALVNCTTINWFSEWPREALLEVAEKYIIGVDLGTQENIHRKVAQIFVTMHWSVAQYSQ KMLLELRRYNYVTPTNYLELVSGYKKLLGEKRQELLDQANKLRTGLFKIDETREKVEVMS LELEDAKKKVAEFQKQCEEYLVIIVQQKREADEQQKAVTANSEKIAIEEVKCQALADNAQ KDLEEALPALEEAMRALESLNKKDIGEIKSYGRPPAQVEIVMQAVMILRGNEPTWAEAKR QLGEQNFIKSLINFDKDNISDKVLKKIGAYCAQPDFQPDIIGRVSLAAKSLCMWVRAMEL YGRLYRVVEPKRIRMNAAMAQLQEKQAALAEAQEKLREVAEKLEMLKKQYDEKLAQKEEL RKKSEEMELKLERAGMLVSGLAGEKARWEETVQGLEEDLGYLVGDCLIAAAFLSYMGPFL TNYRDEIINQIWIRKIRELQVPCSPRFAIDNFLTNPTKVRDWNIQGLPSDAFSTENGIIV TRGNRWALMIDPQGQALKWIKNMEGNQGLKIIDLQMHDYLRVLEHAIQFGFPVLLQNVQE YLDPTLNPVLNKSVARIGGRMLIRIGDKEVEYNPNFRFYLTTKLSNPHYNPETSAKTTIV NFAVKEQGLEAQLLGIVVRKERPELEEQKDSLVINIAAGKRKLKELEDEILRLLNEATGS LLDDVQLVNTLQTSKITATEVTEQLETSETTEINIDLAREAYRPCAQRASVLFFVLNDMG RIDPMYQFSLDAYIGLFILSIDKSHRSNKLEDRIEYLNDYHTYAVYRYTCRTLFERHKLL FSFHMCAKILETSGKLNMDEYNFFLRGGVVLDREGQMDNPCTSWLADAYWDNITELDKLT NFHGLMNSFEQYPRDWHLWYTNSSPEKAMLPGEWENACNEMQRMLIVRSLRQDRVAFCVT SFIVSNLGSRFIEPPVLNMKSVMEDSTPRSPLVFILSPGVDPTSALLQLAEHTGMAHRFH ALSLGQGQAPIAARLLREGVNQGHWVFLANCHLSLSWMPNLDKLVEQLQVEDPHPSFRLW LSSSPHPDFPISILQASIKMTTEPPKGLKANMTRLYQLMTEAQFTHCSKPAKYKKLLFAL CFFHSILLERKKFLQLGWNIIYGFNDSDFEVSENLLSLYLDEYEETPWDALKYLIAGVNY GGHVTDDWDRRLLTTYINDYFCDLSLTTPFYRLSVLDTYYIPKDGSLASYKEYISMLPSM DPPEAFGQHPNADVASQITEARTLFETLLSLQPQITPTRVGGQSREEKVLELAADVKQKI PEMIDYEGTRKLLALDPSPLNVVLLQEIQRYNKLMKTILFSLTDLEKGIQGLIVMSTSLE EIFNCIFDAHVPPLWGKVYPSQKPLASWTRDLAVRVEQFETWASRARPPVLFWLSGFTFP TGFLTAVLQSAARQNNISVDSLSWEFIVSTVDDSNLVYPPKDGVWVRGLYLEGAGWDRKN SCLVEAEPMQLVCLMPTIHFRPAESRKKSAKGMYSCPCYYYPNRAGSTDRASFVIGIDLR SGSMTSDHWIKRGTALLMSLDS >ENSMUSP00000145107.1 pep:known chromosome:GRCm38:6:90072086:90078498:-1 gene:ENSMUSG00000095932.2 transcript:ENSMUST00000203791.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r49 description:vomeronasal 1, receptor 49 [Source:MGI Symbol;Acc:MGI:1344384] MSKANLLHTDNNMKIILFSEVSVGISANTILFFARLCKLLGENKPKPIDLYIAFLSLTQL MLLITMGLIAVDMFMPWGRWNSTTCQSLIYLHRLLRGLALSATCLLNVLWTITLSSRSSC LTKFKHKSPHHISGAFLFFYVLYMSFSSHVLVSIIVTPNLTSEDFMYVTQSCSLLPMSYS RESRFSTLMAIRETFLISLMALSSGYMVALLWRHKKQAQHLRSTSLSSKASPEQRATRTI MLLTSLFVVLYILERVVFQSRLKFKDCSVFYFVHIIMSHSYATVSPFVFICTEKHIIKFW ESIFGRIVNI >ENSMUSP00000071762.1 pep:known chromosome:GRCm38:6:90072086:90073018:-1 gene:ENSMUSG00000095932.2 transcript:ENSMUST00000071865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r49 description:vomeronasal 1, receptor 49 [Source:MGI Symbol;Acc:MGI:1344384] MSKANLLHTDNNMKIILFSEVSVGISANTILFFARLCKLLGENKPKPIDLYIAFLSLTQL MLLITMGLIAVDMFMPWGRWNSTTCQSLIYLHRLLRGLALSATCLLNVLWTITLSSRSSC LTKFKHKSPHHISGAFLFFYVLYMSFSSHVLVSIIVTPNLTSEDFMYVTQSCSLLPMSYS RESRFSTLMAIRETFLISLMALSSGYMVALLWRHKKQAQHLRSTSLSSKASPEQRATRTI MLLTSLFVVLYILERVVFQSRLKFKDCSVFYFVHIIMSHSYATVSPFVFICTEKHIIKFW ESIFGRIVNI >ENSMUSP00000054883.7 pep:known chromosome:GRCm38:11:115051917:115062177:-1 gene:ENSMUSG00000048498.7 transcript:ENSMUST00000062787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300e description:CD300e antigen [Source:MGI Symbol;Acc:MGI:2387602] MRLCAGLLLLCFQGCLSLTGPGSVSGYVGGSLRVQCQYSPSYKGYMKYWCRGPHDTTCKT IVETDGSEKEKRSGPVSIRDHASNSTITVIMEDLSEDNAGSYWCKIQTSFIWDSWSRDPS VSVRVNVFPATTPTLPATTAILPLVNSGQNLRISTNVMFIFQLWSLLSSIQFQVLVFLKL PLFLSMLCAIFWVNRL >ENSMUSP00000131058.1 pep:known chromosome:GRCm38:17:32536558:32551798:1 gene:ENSMUSG00000048440.15 transcript:ENSMUST00000169591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f16 description:cytochrome P450, family 4, subfamily f, polypeptide 16 [Source:MGI Symbol;Acc:MGI:1917351] MLRLSVSGLDLGSVVTSSWHLLLLGVASWILARILAWTYSFYENCSRLSCFPQPPKKNWF SGHLGMIQSNEEGMQLVTEMGQTFQDVHLFWLGPVIPVLRIVDPAFVAPLLQAPALVAPK DMTFLRFLKPWLGDGLFLSSGDKWSRHRRLLTPAFHFDILKPYVKIFNQSVNIMHAKWKH LSSEGSARLEMFEHISLMTLDSLQKCLFGFDSNCQESPSEYISAILELSSLIIKRSLQLF LFVDFLYYHTADGRRFRKACDLVHNFTDAVIRERRHTLSSQNHDEFLKSKTKSKTLDFID VLLLAKDEHGKELSDEDIRAEADTFMFGGHDTTASALSWILYNLARHPEYQERCRQEVQE LLRDREPEEIEWDDLAQLPFLTMCIKESLRLHSPVIDLLRRCTRDIVLPDGRVIPKGNIC VISIFGIHHNPSVWPDPEVYDPFRFDPENPQKRSPLAFIPFSAGPRNCIGQTFAMSEMKV ALALTLLRFRILPDDKEPRRKPEIILRAEGGLWLRVEPLSKGAQ >ENSMUSP00000003416.8 pep:known chromosome:GRCm38:17:32536569:32551797:1 gene:ENSMUSG00000048440.15 transcript:ENSMUST00000003416.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f16 description:cytochrome P450, family 4, subfamily f, polypeptide 16 [Source:MGI Symbol;Acc:MGI:1917351] MLRLSVSGLDLGSVVTSSWHLLLLGVASWILARILAWTYSFYENCSRLSCFPQPPKKNWF SGHLGMIQSNEEGMQLVTEMGQTFQDVHLFWLGPVIPVLRIVDPAFVAPLLQAPALVAPK DMTFLRFLKPWLGDGLFLSSGDKWSRHRRLLTPAFHFDILKPYVKIFNQSVNIMHAKWKH LSSEGSARLEMFEHISLMTLDSLQKCLFGFDSNCQESPSEYISAILELSSLIIKRSLQLF LFVDFLYYHTADGRRFRKACDLVHNFTDAVIRERRHTLSSQNHDEFLKSKTKSKTLDFID VLLLAKDEHGKELSDEDIRAEADTFMFGGHDTTASALSWILYNLARHPEYQERCRQEVQE LLRDREPEEIEWDDLAQLPFLTMCIKESLRLHSPVIDLLRRCTRDIVLPDGRVIPKGNIC VISIFGIHHNPSVWPDPEVYDPFRFDPENPQKRSPLAFIPFSAGPRNCIGQTFAMSEMKV ALALTLLRFRILPDDKEPRRKPEIILRAEGGLWLRVEPLSKGAQ >ENSMUSP00000126845.1 pep:known chromosome:GRCm38:17:32536577:32551798:1 gene:ENSMUSG00000048440.15 transcript:ENSMUST00000165515.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp4f16 description:cytochrome P450, family 4, subfamily f, polypeptide 16 [Source:MGI Symbol;Acc:MGI:1917351] MLRLSVSGLDLGSVVTSSWHLLLLGVASWILARILAWTYSFYENCSRLSCFPQPPKKNWF SGHLGMLWWPQRT >ENSMUSP00000128349.1 pep:known chromosome:GRCm38:17:32536617:32546711:1 gene:ENSMUSG00000048440.15 transcript:ENSMUST00000169252.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp4f16 description:cytochrome P450, family 4, subfamily f, polypeptide 16 [Source:MGI Symbol;Acc:MGI:1917351] MLRLSVSGLDLGSVVTSSWHLLLLGVASWILARILAWTYSFYENCSRLSCFPQPPKKNWF SGHLGMGMGSS >ENSMUSP00000114923.1 pep:known chromosome:GRCm38:2:156071845:156098085:-1 gene:ENSMUSG00000098950.7 transcript:ENSMUST00000127956.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28036 description:predicted gene, 28036 [Source:MGI Symbol;Acc:MGI:5547772] XFETANLDIPPANASRSGPPPSSGMSSRVNLPAIVPNFNNPSPSVVTATTSVHESNKNIQ TFSTASVGTAPPSMGTSFGSPTFSSTIPSTASPMNTVPPPPIPPIPAMPSLPPLPSIPPI PVPPPVPTLPPVPPVPPIPPVPSVPPMTTLPPMSGMPPLNPPPVAPLPAGMNGSGAPIGL NNNMNPVFLGPLNPVNSIQMNSQSSVKSLPINPDDLYVSVHGMPFSAMENDVREFFHGLR VDAVHLLKDHVGRNNGNGLVKFLSPQDTFEALKRNRMLMIQRYVEVSPATERQWVAAGGH ITFKQSMGPSGQAHPPPQTLPRSKSPSGQKRSRSRSPHEAGFCVYLKGLPFEAENKHVID FFKKLDIVEDSIYIAYGPNGKATGEGFVEFRNDADYKAALCRHKQYMGNRFIQVHPITKK GMLEKIDMIRKRLQNFSYDQRELVLNPEGEVSSAKVCAHITNIPFSITKMDVLQFLEGIP VDESAVHVLVDNNGQGLGQALVQFKTEDDAHKSEHLHRKKLNGREAFVHIVTLEDMREIE KNPPAQGKKGLKISVPDGSLRDLGPALRVL >ENSMUSP00000139175.1 pep:known chromosome:GRCm38:2:156071845:156111901:-1 gene:ENSMUSG00000098950.7 transcript:ENSMUST00000132494.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28036 description:predicted gene, 28036 [Source:MGI Symbol;Acc:MGI:5547772] MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELGEAFIVFATDEDARLGMMRT GGTIKGSKVTLLLSSKTEMQNMIELSRRRFETANLDIPPANASRSGPPPSSGMSSRVNLP AIVPNFNNPSPSVVTATTSVHESNKNIQTFSTASVGTAPPSMGTSFGSPTFSSTIPSTAS PMNTVPPPPIPPIPAMPSLPPLPSIPPIPVPPPVPTLPPVPPVPPIPPVPSVPPMTTLPP MSGMPPLNPPPVAPLPAGMNGSGAPIGLNNNMNPVFLGPLNPVNSIQMNSQSSVKSLPIN PDDLYVSVHGMPFSAMENDVREFFHGLRVDAVHLLKDHVGRNNGNGLVKFLSPQDTFEAL KRNRMLMIQRYVEVSPATERQWVAAGGHITFKQSMGPSGQAHPPPQTLPRSKSPSGQKRS RSRSPHEAGFCVYLKGLPFEAENKHVIDFFKKLDIVEDSIYIAYGPNGKATGEGFVEFRN DADYKAALCRHKQYMGNRFIQVHPITKKGMLEKIDMIRKRLQNFSYDQRELVLNPEGEVS SAKVCAHITNIPFSITKMDVLQFLEGIPVDESAVHVLVDNNGQGLGQALVQFKTEDDAHK SEHLHRKKLNGREAFVHIVTLEDMREIEKNPPAQGKKGLKISVPDGSLRDLGPALRVL >ENSMUSP00000125190.1 pep:known chromosome:GRCm38:2:156078929:156127092:-1 gene:ENSMUSG00000098950.7 transcript:ENSMUST00000162612.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28036 description:predicted gene, 28036 [Source:MGI Symbol;Acc:MGI:5547772] XCINLSFAYVEGESLLMALKDVALSSGSACTSASLEPSYVLRAIGTDEDLAHSSIRFGIG RFTTEEEVDYTAEKCIHHVKRLREMSLKVGFNWLPTGLTWPLLLKKIHLLFYRCVWFQRS MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELALPD >ENSMUSP00000124858.1 pep:known chromosome:GRCm38:2:156078929:156127092:-1 gene:ENSMUSG00000098950.7 transcript:ENSMUST00000160165.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28036 description:predicted gene, 28036 [Source:MGI Symbol;Acc:MGI:5547772] XCINLSFAYVEGESLLMALKDVALSSGSTLLYQVWHRPLHYRRGGGLHGGEVHPPREAPS RNESKSWF >ENSMUSP00000124101.1 pep:known chromosome:GRCm38:2:156078929:156127092:-1 gene:ENSMUSG00000098950.7 transcript:ENSMUST00000159952.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28036 description:predicted gene, 28036 [Source:MGI Symbol;Acc:MGI:5547772] XCINLSFAYVEGESLLMALKDVALSSGSACTSASLEPSYVLRAIGTDEDLAHSSIRFGIG RFTTEEEVDYTAEKCIHHVKRLREMSLKVGFNWLPTGLTWPLLLKKIHLLFYRCVWFQRS MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELDGSLRDLGPALRVL >ENSMUSP00000139177.1 pep:known chromosome:GRCm38:2:156079091:156111926:-1 gene:ENSMUSG00000098950.7 transcript:ENSMUST00000184899.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28036 description:predicted gene, 28036 [Source:MGI Symbol;Acc:MGI:5547772] MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELGEAFIVFATDEDARLDGSLR DLGPALRVL >ENSMUSP00000138995.1 pep:known chromosome:GRCm38:2:156079097:156096928:-1 gene:ENSMUSG00000098950.7 transcript:ENSMUST00000183972.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28036 description:predicted gene, 28036 [Source:MGI Symbol;Acc:MGI:5547772] FVEFRNDADYKAALCRHKQYMGNRFIQVHPITKKDGSLRDLGPALRVL >ENSMUSP00000139010.1 pep:known chromosome:GRCm38:2:156079424:156111931:-1 gene:ENSMUSG00000098950.7 transcript:ENSMUST00000183518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28036 description:predicted gene, 28036 [Source:MGI Symbol;Acc:MGI:5547772] MAVVIRLQGLPIVAGTMDIRHFFSGLTIPDGGVHIVGGELDGSLRDLGPALRVL >ENSMUSP00000004943.1 pep:known chromosome:GRCm38:5:108777235:108795363:-1 gene:ENSMUSG00000004821.1 transcript:ENSMUST00000004943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed11 description:transmembrane emp24 protein transport domain containing [Source:MGI Symbol;Acc:MGI:1914616] MQIQTILLCFSFSFSAAFYFHAGEREEKCIIEDIPSDTLITGTFKVQQWDIVRHDFLESA PGLGMFVTVTTYNDEVLLSKLYGAQGTFYFTSHSSGEHIICLESNSTQFVSFGGSKLRIH LDIRVGEHDLDAAIVQAKDKVNEVTFKLQHLIEQVEQILKEQDYQRDREENFRITSEDTN RNVLWWAFAQILIFISVGIFQMKHLKDFFIAKKLV >ENSMUSP00000023884.6 pep:known chromosome:GRCm38:4:130209109:130222401:-1 gene:ENSMUSG00000023120.9 transcript:ENSMUST00000023884.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm853 description:predicted gene 853 [Source:MGI Symbol;Acc:MGI:2685699] MNTPSEVKKDLLGVAEHLRPSEPITLGPGASAWQLVLKKIKELSISGRQDAFMVADLDVL VSRHRTFLQALPRVQPFYAVKCNSNPWVLLVLAALGTGFDCASQGELEQVLGLGVAPSRI IFANPCKAVSHIQFAARCGVQLLTFDNEEELIKLARYHPRARLVLRIQTLDSQSTFPLHT KFGAHLEACGHLLQVARELGLAVVGASFHVGSDCHTPESYRQAIADCHRVFEMGCKAGHH MSLLDLGGGFPGVKGSEAKFEEVARVINTALAQYFPEETGIEVIAEPGRFYAGSVCTAAV NIIVKKSSLDPGGHRKLAYYLNEGHYGVFRLFLRDPVPRIPIVVKEFPSEPPLFPCTLYG PTCDAYDRLFSTEVQLPELDVGDWLIFPDMGAYSSSMSSTFNGFPIATVYDAMSPQLRSL LETVP >ENSMUSP00000140350.1 pep:known chromosome:GRCm38:15:74770908:74778824:-1 gene:ENSMUSG00000101026.1 transcript:ENSMUST00000186014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D730001G18Rik description:RIKEN cDNA D730001G18 gene [Source:MGI Symbol;Acc:MGI:1925975] XVLLLLAVVCASLARALHCHVCCGHENCESLVECALTDKYCVITRATNPGGILVMKSCAP TCPNSTVSSDGRALSVSCCQGSQCNRSAASGLTGSLGAIWASASISLLWALLRAA >ENSMUSP00000140804.1 pep:known chromosome:GRCm38:15:74770909:74778859:-1 gene:ENSMUSG00000101026.1 transcript:ENSMUST00000191407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D730001G18Rik description:RIKEN cDNA D730001G18 gene [Source:MGI Symbol;Acc:MGI:1925975] MMRPLLVLLLLAVVCASLANPGGILVMKSCAPTCPNSTVSSDGRALSVSCCQGSQCNRSA ASGLTGSLGAIWASASISLLWALLRAA >ENSMUSP00000015277.7 pep:known chromosome:GRCm38:7:66258745:66388350:-1 gene:ENSMUSG00000015133.17 transcript:ENSMUST00000015277.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrk1 description:leucine-rich repeat kinase 1 [Source:MGI Symbol;Acc:MGI:2142227] MAGTSQRPPSMYWCVGTEGLAVCPGPAMETHNGAEDMGSKLSLPGGSSTVQCPSMEEIHT AYKQRNLSRARDLLRGVCEESESSQEKGQLLSIAAAHGDLETVQFLLTEKRVELPTEPTD DNPAVVAAHFGHAEVVRELLESLPGPCTPQRLLNWMLALACQRGHLEVVKLLVLTHGADP ENYAVRKNEFPVIVRLPLYAAIKAGNEDIAIFLLRHGAYFCSYILLDSPEPSKHLLRKYF IEASALPSSYPGKIALRVKWSHLKLPWVDLDWLLDISCQITELDLSANCLPSLPSIIPWG LINLKKLNLSNNQLGELPCVQSSDEIICSRLLEIDISSNKLSHLPPGFLHLSKLQKLTAS KNYLERLFEEENATNWIGLRKLQELDLADNRLTELPVQFMHSFKSLTSLNVSRNNLKSFP DPWSCPLKCCKASKNALESLPDKMAVFWKSHLRDADFSENSLKEVPLGLFQLDALMFLRL QGNQLLSLPPQEKWTCTQLKTLDLSRNQLGKNEDGLKTKRISLFTTRGRQRSGTETASML EFPAFLSESLEVLCLNDNHLDAVPPSVCLLKNLSELYLGNNPGLRELPPELGQLGNLWQL DIEDLNISNVPAEVRKEGPKATLSFLRAQLRKAEKCKLMKMILVGPPRQGKSTLLEILQT GKAPQLAHSEATIRTTKWELQRPAGSKAKVESVEFNVWDIGGPASMATVNQCFFTDKALY VVVWNLALGEEAVANLQFWLLNIEAKAPNAVVLVVGTHLDLIEAKFRVERIATLRAYVLA LCRSPSGSRATGFPDITFKHLHEISCKNLEGQEGLRQLIFHVTCNMKDVGSTIGCQKLAG RLIPRSYISLQEAVLAEQQRRSLGDQVQYLTDRQLDQLVEQTPGNDIKDYEDLQSAISFL IETGTLLHFPDTSHGLRNLYFLDPIWLSECLQRIFNIKGSRSVAKNGVIQAEDLRMLLVG TGFTQQTEEQYFQFLAKFEIALPVANDSYLLPHLLPSKPGLDTHSMRHPMANTIQRVFKM SFVPVGFWQRFIARMLISLAEMDLQLFENKKNTKSRNRKVTIYSFTGSQRNRCSTFRVRR NQTIYWQEGLLVTFDGGYLSVESSDVNWKKKKSGGIKIICQSEMRDFSAMAFITDHVNSL IDQWFPALTATESDGTPLMEQYVPCPVCEASWAQHADPNERSESVQYFDMEDCVLTAIER DFISCPRHPDLPVPLQELVPELFMTDFPARLFLENSKLEHTEGENSILGQGGSGTVIYQA RYQGQPVAVKRFHIKKFKNSANAPADTMLRHLRAMDAMKNFSDFRQEASMLHALQHPCIV SLIGISIHPLCFALELAPLGSLNTVLSENAKDSSFMPLGHMLTQKIAYQIASGLAYLHKK NIIFCDLKSDNILVWSLSAKEHINIKLSDYGISRQSFHEGALGVEGTPGYQAPEIRPRIV YDEKVDMFSYGMVLYELLSGQRPALGHHQLQIVKKLSKGIRPVLGQPEEVQFHRLQALMM ECWDTKPEKRPLALSVVSQMKDPTFATFMYMLPCGKQSAFFSSQSQEYTVVFWDGKEESR NYTVVNTEKGLLEVQRMTCPGMKLSCQLKVQSSVWIATEDQKIYIYSLKGMCPLSVPQQA LDTPAVVTCFLAVPVIKKNSFLVLAGLADGLVAVFPVARGTPKESCSYLCSHTANRSKFC IPDEDARQNPYPVKAMEVVNSGSEVWYSNGPGLLVIDCTILDISRRLEPYAAPSMVTSLV CSSDCRGEEMVWCLDDKANCLVMYHSATYQLCARYFCGDPNPLRDTFSVQPSVLETPGSH KTTSKGPVEECIADVSIMYSEELGTQILTHQDSLTDYCSMSSYSSSPPHQDPRSPSSLPS SLTSYSSVPFSANYEDSDRLQEPSVTSDRTEHDLSPMDGETFSQHLQAVKVLAVKDLIWV PRHGGDIIVIGLEKDSGAQRGRVIAVLKARELNRHGVLVDAAVVAKDTVVCSFANENTEW CLAVWRGWGAREFDIFYQSYEELGRLEACTRKRR >ENSMUSP00000114938.1 pep:known chromosome:GRCm38:7:66259184:66282217:-1 gene:ENSMUSG00000015133.17 transcript:ENSMUST00000145954.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrk1 description:leucine-rich repeat kinase 1 [Source:MGI Symbol;Acc:MGI:2142227] WQEGLLVTFDGGYLSVESSDVNWKKKKSGGIKIICQSEMRDFSAMAFITDHVNSLIDQWF PALTATESDGTPLMEQYVPCPVCEASWAQHADPNERSESVQYFDMEDCVLTAIERDFISC PRHPDLPVPLQELVPELFMTDFPARLFLENSKLEHTEGENSILGQGGSGTVIYQARYQGQ PVAVKRFHIKKFKNSANAPADTMLRHLRAMDAMKNFSDFRQEASMLHALQHPCIVSLIGI SIHPLCFALELAPLGSLNTVLSENAKDSSFMPLGHMLTQKIAYQIASGLAYLHKKNIIFC DLKSDNILVWSLSAKEHINIKLSDYGISRQSFHEGALGVEGTPGYQAPEIRPRIVYDEKV DMFSYGMVLYELLSGQRPALGHHQLQIVKKLSKGIRPVLGQPEEVQFHRLQALMMECWDT KPEKRPLALSVVSQMKDPTFATFMYMLPCGKQSAFFSSQSQEYTVVFWDGKEESSLFWFQ LFFIEKWQEVRMGNEISEKKREGPKWSP >ENSMUSP00000018012.7 pep:known chromosome:GRCm38:2:162987330:163014127:1 gene:ENSMUSG00000017868.16 transcript:ENSMUST00000018012.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk2 description:serum/glucocorticoid regulated kinase 2 [Source:MGI Symbol;Acc:MGI:1351318] MASSPVGVPSPQPSRANGNINLGPSANPNARPTDFDFLKVIGKGNYGKVLLAKRKSDGAF YAVKVLQKKSILKNKEQNHIMAERNVLLKNVRHPFLVGLRYSFQTPEKLYFVLDYVNGGE LFFHLQRERRFLEPRARFYTAEVASAIGYLHSLNIIYRDLKPENILLDCQGHVVLTDFGL CKECVEPEETTSTFCGTPEYLAPEVLRKEPYDRAVDWWCLGAVLYEMLHGLPPFFNTDVA QMYENILHQPLQIPGGRTVAACDLLQGLLHKDQRQRLGSKEDFLDIKNHMFFSPINWDDL YHKRLTPPFNPNVEGPADLKHFDPEFTQEAVSKSIGCTPDTVASSSGASSAFLGFSYAQD DDDILDS >ENSMUSP00000112468.1 pep:known chromosome:GRCm38:2:162987502:163014126:1 gene:ENSMUSG00000017868.16 transcript:ENSMUST00000117123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk2 description:serum/glucocorticoid regulated kinase 2 [Source:MGI Symbol;Acc:MGI:1351318] MASSPVGVPSPQPSRANGNINLGPSANPNARPTDFDFLKVIGKGNYGKVLLAKRKSDGAF YAVKVLQKKSILKNKEQNHIMAERNVLLKNVRHPFLVGLRYSFQTPEKLYFVLDYVNGGE LFFHLQRERRFLEPRARFYTAEVASAIGYLHSLNIIYRDLKPENILLDCQYLAPEVLRKE PYDRAVDWWCLGAVLYEMLHGLPPFFNTDVAQMYENILHQPLQIPGGRTVAACDLLQGLL HKDQRQRLGSKEDFLDIKNHMFFSPINWDDLYHKRLTPPFNPNVEGPADLKHFDPEFTQE AVSKSIGCTPDTVASSSGASSAFLGFSYAQDDDDILDS >ENSMUSP00000058502.2 pep:known chromosome:GRCm38:4:148434495:148444771:-1 gene:ENSMUSG00000047719.2 transcript:ENSMUST00000051633.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubiad1 description:UbiA prenyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:1918957] MAAVQAPGEKINILAGETAKVGDPQKNEWPEQDRLPERSWRHKCASYVLALRPWSFSASL TPVALGSALAYRSQGVLDPRLLLGCAVAVLAVHGAGNLVNTYYDFSKGIDHKKSDDRTLV DRILEPQDVVRFGVFLYTLGCVCAACLYYLSALKLEHLALIYFGGLSGSFLYTGGIGFKY VALGDLVILITFGPLAVMFAYAVQVGSLAIFPLIYAIPLALSTEAILHSNNTRDMESDRE AGIVTLAILIGPTFSYVLYNTLLFVPYLIFTILATHCSISLALPLLTIPMAFSLERQFRS QAFNKLPQRTAKLNLLLGLFYVFGIILAPAGSLPRL >ENSMUSP00000137824.2 pep:known chromosome:GRCm38:10:104194042:104196522:1 gene:ENSMUSG00000090854.9 transcript:ENSMUST00000181615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4340 description:predicted gene 4340 [Source:MGI Symbol;Acc:MGI:3782524] MTTMADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGM TRNPGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQK QQQKQQQQLSAEELDAQLDAYQEMMDTS >ENSMUSP00000137984.1 pep:known chromosome:GRCm38:10:104194457:104196522:1 gene:ENSMUSG00000090854.9 transcript:ENSMUST00000181036.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4340 description:predicted gene 4340 [Source:MGI Symbol;Acc:MGI:3782524] MADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGMTRN PGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQKQQQ KQQQQLSAEELDAQLDAYQEMMDTS >ENSMUSP00000130793.2 pep:known chromosome:GRCm38:10:104195397:104196203:1 gene:ENSMUSG00000090854.9 transcript:ENSMUST00000163113.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4340 description:predicted gene 4340 [Source:MGI Symbol;Acc:MGI:3782524] MTTMADKMDMSLEDIIKLTKIQQRRHDRPDSRVNRGTGSKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAQVHFERKADALKAMREYNGAPLDGRPMNIQLATSQIDRQGRPAQSKNRGGM TRNPGSGVLSGGGTKKWTLGGSQGRGRGTIRNSKLQQQQQQQKQKQQQQQQKQQQKQQQK QQQKQQQQLSAEELDAQLDAYQEMMDTS >ENSMUSP00000117040.1 pep:known chromosome:GRCm38:5:51454250:51553922:-1 gene:ENSMUSG00000029167.13 transcript:ENSMUST00000132734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppargc1a description:peroxisome proliferative activated receptor, gamma, coactivator 1 alpha [Source:MGI Symbol;Acc:MGI:1342774] MAWDMCSQDSVWSDIECAALVGEDQPLCPDLPELDLSELDVNDLDTDSFLGGLKWCSDQS EIISNQYNNEPANIFEKIDEENEANLLAVLTETLDSLPVDEDGLPSFDALTDGAVTTDNE ASPSSMPDGTPPPQEAEEPSLLKKLLLAPANTQLSYNECSGLSTQNHAANHTHRIRTNPA IVKTENSWSNKAKSICQQQKPQRRPCSELLKYLTTNDDPPHTKPTENRNSSRDKCASKKK SHTQPQSQHAQAKPTTLSLPLTPESPNDPKGSPFENKTIERTLSVELSGTAGLTPPTTPP HKANQDNPFKASPKLKPSCKTVVPPPTKRARYSECSGTQGSHSTKKGPEQSELYAQLSKS SGLSRGHEERKTKRPSLRLFGDHDYCQSLNSKTDILINISQELQDSRQLDFKDASCDWQG HICSSTDSGQCYLRETLEASKQVSPCSTRKQLQDQEIRAELNKHFGHPCQAVFDDKSDKT SELRDGDFSNEQFSKLPVFINSGLAMDGLFDDSEDESDKLSYPWDGTQPYSLFDVSPSCS SFNSPCRDSVSPPKSLFSQRPQRMRSRSRSFSRHRSCSRSPYSRSRSRSPGSRSSSRSCY YYESSHYRHRTHRNSPLYVRSRSRSPYSRRPRYDSYEAYEHERLKRDEYRKEHEKRESER AKQRERQKQKAIEERRVIYVGKIRPDTTRTELRDRFEVFGEIEECTVNLRDDGDSYGFIT YRYTCDAFAALENGYTLRRSNETDFELYFCGRKQFFKSNYADLDTNSDDFDPASTKSKYD SLDFDSLLKEAQRSLRR >ENSMUSP00000138397.1 pep:known chromosome:GRCm38:5:51457653:51553871:-1 gene:ENSMUSG00000029167.13 transcript:ENSMUST00000031059.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppargc1a description:peroxisome proliferative activated receptor, gamma, coactivator 1 alpha [Source:MGI Symbol;Acc:MGI:1342774] MAWDMCSQDSVWSDIECAALVGEDQPLCPDLPELDLSELDVNDLDTDSFLGGLKWCSDQS EIISNQYNNEPANIFEKIDEENEANLLAVLTETLDSLPVDEDGLPSFDALTDGAVTTDNE ASPSSMPDGTPPPQEAEEPSLLKKLLLAPANTQLSYNECSGLSTQNHAANHTHRIRTNPA IVKTENSWSNKAKSICQQQKPQRRPCSELLKYLTTNDDPPHTKPTENRNSSRDKCASKKK SHTQPQSQHAQAKPTTLSLPLTPESPNLFL >ENSMUSP00000143553.1 pep:known chromosome:GRCm38:5:51457655:51553891:-1 gene:ENSMUSG00000029167.13 transcript:ENSMUST00000196968.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppargc1a description:peroxisome proliferative activated receptor, gamma, coactivator 1 alpha [Source:MGI Symbol;Acc:MGI:1342774] MAWDMCSQDSVWSDIECAALVGEDQPLCPDLPELDLSELDVNDLDTDSFLGGLKWCSDQS EIISNQYNNEPANIFEKIDEENEANLLAVLTETLDSLPVDEDGLPSFDALTDGAVTTDNE ASPSSMPDGTPPPQEAEEPSLLKKLLLAPANTQLSYNECSGLSTQNHAANHTHRIRTNPA IVKTENSWSNKAKSICQQQKPQRRPCSELLKYLTTNDDPPHTKPTENRNSSRDKCASKKK SHTQPQSQHAQAKPTTLSLPLTPESPNDPKGSPFENKTIERTLSVELSGTAGLTPPTTPP HKANQDNPFKASPKLKPSCKTVVPPPTKRARYSECSGTQGSHSTKKGPEQSELYAQLSKS SGLSRGHEERKTKRPSLRLFGDHDYCQSLNSKTDILINISQELQDSRQLDFKDASCDWQG HICSSTDSGQCYLRETLEASKQVSPCSTRKQLQDQEIRAELNKHFGHPCQAVFDDKSDKT SELRDGDFSNEQFSKLPVFINSGLAMDGLFDDSEDESDKLSYPWDGTQPYSLFDVSPSCS SFNSPCRDSVSPPKSLFSQRPQRMRSRSRSFSRHRSCSRSPYSRSRSRSPGSRSSSRSCY YYESSHYRHRTHRNSPLYVRSRSRSPYSRRPRYDSYEAYEHERLKRDEYRKEHEKRESER AKQRERQKQKAIIPTQTILTLLPPRASMTLWILIVY >ENSMUSP00000116566.2 pep:known chromosome:GRCm38:5:51458180:51567704:-1 gene:ENSMUSG00000029167.13 transcript:ENSMUST00000151104.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppargc1a description:peroxisome proliferative activated receptor, gamma, coactivator 1 alpha [Source:MGI Symbol;Acc:MGI:1342774] MLGLSSMDSILKCAALVGEDQPLCPDLPELDLSELDVNDLDTDSFLGGLKWCSDQSEIIS NQYNNEPANIFEKIDEENEANLLAVLTETLDSLPVDEDGLPSFDALTDGAVTTDNEASPS SMPDGTPPPQEAEEPSLLKKLLLAPANTQLSYNECSGLSTQNHAANHTHRIRTNPAIVKT ENSWSNKAKSICQQQKPQRRPCSELLKYLTTNDDPPHTKPTENRNSSRDKCASKKKSHTQ PQSQHAQAKPTTLSLPLTPESPNLFL >ENSMUSP00000115586.2 pep:known chromosome:GRCm38:5:51495780:51567726:-1 gene:ENSMUSG00000029167.13 transcript:ENSMUST00000127135.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppargc1a description:peroxisome proliferative activated receptor, gamma, coactivator 1 alpha [Source:MGI Symbol;Acc:MGI:1342774] MLGLSSMDSILKCAALVGEDQPLCPDLPELDLSELDVNDLDTDSFLGGLKWCSDQSEIIS NQYNNEPANIFEKIDEENEANLLAVLTETLDSLPVDEDGLPSFDALTDGAVTTDNEASPS SMPDGTPPPQEAEEPSLLKKLL >ENSMUSP00000126953.1 pep:known chromosome:GRCm38:5:108797193:108808754:-1 gene:ENSMUSG00000090961.1 transcript:ENSMUST00000172140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r8 description:vomeronasal 2, receptor 8 [Source:MGI Symbol;Acc:MGI:3642986] MKKLRTFTISFLLLKFSLILCHVTDPICFWRIKNNEENDGDLRSDCNFLLWTDYESTEFN FYNIVDFRIPARRYEYFLVLFFATDEINKNPDLLPNMSLIVWLLSGQCGDEWSVLHKNYS QENINVKFINYDCLSPACYIDLTGPSWKTSLKMSIQSRTPKVFFGPFNPDLRDHDQFPFV HQVATKDTYLSHAMVSLMHHFRWMWIGLVISDDDQGIQFLSDLREEMQRHGICLAFVNMI PENMQIYMTRATIYDKQIMESTAKVVIIYGEMNSTLEVSFRRWEDLGVRRIWITTSQWDV ITNKNDFSLDFFHGTVTFEHHHSEIAKFKNFMKTMNTDKYPVNISQSIVGWNYFNCSTSM NSFSKMDHLTFNNTLEWTALHNFDMVLSEEGYNLYNAVYAVAHTYHELILLQVESQQTEV PKGIFTDCQQVASMLKSRIFTNPIGELVNMKHRENQCADYDIFIIWNFPQGLGLKVKIGS YLHCFSQSQQLHISEDLEWATGGTSVPSSLCSVTCTAGFRKIHQNETADCCFDCDQCPEN EVSNETADMEQCVRCPDDKYANLEKTHCLQRAVSFLAYEDPWGMALGCMALFLSALTVLV LVTFVKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIGHPNQATCILQQTTFGVFFTV AISTVLAKTITVLMAFKLTTPGRRMRGMLASGAPNLVIPICTLIQLFLCGIWLVTSPPFI DRETQSEYGKTIIICNKGSVIAFHFVLGYLGSLALGSFTVAFLARNLPDRFNEAKFLTFS MLVFCSVWITFLPVYHSTRGTVMVVVEVFSILASSAGLLGCIFLPKCCVILVRLDSNFLQ KYKDKLPS >ENSMUSP00000074356.5 pep:known chromosome:GRCm38:X:161162750:161256952:1 gene:ENSMUSG00000000037.16 transcript:ENSMUST00000074802.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml2 description:sex comb on midleg-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1340042] MGQTANEDHFDWDKYLKETGSISAPSEYFRQSKTPPTNEFKIGMKLEARDPRNIDSVCVA SVIGITGARLRLRLDGSDNKNDFWRLVDSSDIQPVGTCEQGGDLLQPPLGYTLNTSSWPM FLLRVLTGSELAPAVFFKEEPPRPLQNNFIVGMKIEAVDRKNPFMICPATIGAVCGDQLH ITFDGWSGAFDYWCDYDSRDIFPVGWCRLTGDVLQPPGKIVEKRPRRKRRTRLWRLRTAL LGNEEEAPEAAEEPGTSVLTFGDENRTLKDCRGEAAEEPGTSAFTFGDENRTLKDCQGGW KKPKGRGFIKPGKDETRPGKHDQGAPAGKKPRGRGFTQPLEDEARPGRDVQVAPAEKKRK GKTVTTPWTEDPRLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGAAPAEKKRKGKTV TTPWTEDPRLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKRKGKTVTTPW TEDPRLFADQGAAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKPKGKRVTKSRKDQA QFLADEEAMPALSVSSTERTPPSSSEQPKSSTSGKTKSTSRGAQTSRKSPRKTSVVQPVP KTSKKAGKSKSTGNTSSPKKGITIKIVLPKKKGGKSGKKEKSIPVISSTSSASLSTLMKS SSSNKTSAGPSKIVMSTVCVYINKHGDCGPFLDPQKVQQLPNHFGPGPVNVILQRTVQAC VNCAFQAKDVFLFLKTDNRGGEMITAFFDGKVHTVQLPPVNSASFALRFLENFCQSLQCD NFLSSQPFRREAQVPTPDTGTDQSKPENGEPKEKRSLKRLSLHPHRSAPVSSKVPRKSGQ ASKGN >ENSMUSP00000019101.4 pep:known chromosome:GRCm38:X:161162772:161258196:1 gene:ENSMUSG00000000037.16 transcript:ENSMUST00000019101.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml2 description:sex comb on midleg-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1340042] MGQTANEDHFDWDKYLKETGSISAPSEYFRQSKTPPTNEFKIGMKLEARDPRNIDSVCVA SVIGITGARLRLRLDGSDNKNDFWRLVDSSDIQPVGTCEQGGDLLQPPLGYTLNTSSWPM FLLRVLTGSELAPAVFFKEEPPRPLQNNFIVGMKIEAVDRKNPFMICPATIGAVCGDQLH ITFDGWSGAFDYWCDYDSRDIFPVGWCRLTGDVLQPPGKIVEKRPRRKRRTRLWRLRTAL LGNEEEAPEAAEEPGTSVLTFGDENRTLKDCRGEAAEEPGTSAFTFGDENRTLKDCQGGW KKPKGRGFIKPGKDETRPGKHDQGAPAGKKPRGRGFTQPLEDEARPGRDVQVAPAEKKRK GKTVTTPWTEDPRLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKRKGKTV TTPWTEDPRLFADQGAAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKRKGKTVTTPW TEDPRLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGAAPAEKKRKGKTVTTPWTEDP RLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKPKGKRVTKSRKDQAQFLA DEEAMPALFSALSVSSTERTPPSSSEQPKSSTSGKTKSTSRGAQTSRKSPRKTSVVQPVP KTSKKAGKSKSTGNTSSPKKGITIKIVLPKKKGGKSGKKEKSIPVISSTSSASLSTLMKS SSSNKTSAGPSKIVMSTVCVYINKHGDCGPFLDPQKVQQLPNHFGPGPVNVILQRTVQAC VNCAFQAKDVFLFLKTDNRGGEMITAFFDGKVHTVQLPPVNSASFALRFLENFCQSLQCD NFLSSQPFRREAQVPTPDTGTDQSKPENGEPKEKRSLKRLSLHPHRSAPVSSKVPRKSGQ ASKGN >ENSMUSP00000076593.4 pep:known chromosome:GRCm38:X:161163060:161255659:1 gene:ENSMUSG00000000037.16 transcript:ENSMUST00000077375.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml2 description:sex comb on midleg-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1340042] MGQTANEDHFDWDKYLKETGSISAPSEYFRQSKTPPTNEFKIGMKLEARDPRNIDSVCVA SVIGITGARLRLRLDGSDNKNDFWRLVDSSDIQPVGTCEQGGDLLQPPLGYTLNTSSWPM FLLRVLTGSELAPAVFFKEEPPRPLQNNFIVGMKIEAVDRKNPFMICPATIGAVCGDQLH ITFDGWSGAFDYWCDYDSRDIFPVGWCRLTGDVLQPPGKIVEKRPRRKRRTRLWRLRTAL LGNEEEAPAAEEPGTSVLTFGDENRTLKDCRGEAAEEPGTSAFTFGDENRTLKDCQGGWK KPKGRGFIKPGKDETRPGKHDQGAPAGKKPRGRGFTQPLEDEARPGRDVQVAPAEKKRKG KTVTTPWTEDPRLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKRKGKTVT TPWTEDPRLFADQGAAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKRKGKTVTTPWT EDPRLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGAAPAEKKRKGKTVTTPWTEDPR LFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKPKGKRVTKSRKDQAQFLAD EEAMPALFSALSVSSTERTPPSSSEQPKSSTSGKTKSTSRGAQTSRKSPRKTSVVQPVPK TSKKAGKSKSTGNTSSPKKGITIKIVLPKKKGGKSGKKEKSIPVISSTSSASLSTLMKSS SSNKTSAGPSKIVMSTVCVYINKHGDCGPFLDPQKVQQLPNHFGPGPVNVILQRTVQACV NCAFQAKDVFLFLKTDNRGGEMITAFFDGKVHTVQLPPVNSASFALRFLENFCQSLQCDN FLSSQPFRREAQVPTPDTGTDQSKPENGEPKEKRSLKRLSLHPHRSAPVSSKVPRKSGQA SKASSYITVPDPSVLKQGFCKDPSTWSVDEVIQFMKHTDPQISGPLADLFRQHVTYLLIR FSCCPNASK >ENSMUSP00000084325.3 pep:known chromosome:GRCm38:X:161124268:161256886:1 gene:ENSMUSG00000000037.16 transcript:ENSMUST00000087090.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml2 description:sex comb on midleg-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1340042] MAEPATAEGTSGLGQQVTKQGHPSTGEMEPATGVQLAGSGELVAEPGPSSTEARENTEEA NTMGQTANEDHFDWDKYLKETGSISAPSEYFRQSKTPPTNEFKIGMKLEARDPRNIDSVC VASVIGITGARLRLRLDGSDNKNDFWRLVDSSDIQPVGTCEQGGDLLQPPLGYTLNTSSW PMFLLRVLTGSELAPAVFFKEEPPRPLQNNFIVGMKIEAVDRKNPFMICPATIGAVCGDQ LHITFDGWSGAFDYWCDYDSRDIFPVGWCRLTGDVLQPPGKIVEKRPRRKRRTRLWRLRT ALLGNEEEAPEAAEEPGTSVLTFGDENRTLKDCRGEAAEEPGTSAFTFGDENRTLKDCQG GWKKPKGRGFIKPGKDETRPGKHDQGAPAGKKPRGRGFTQPLEDEARPGRDVQVAPAEKK RKGKTVTTPWTEDPRLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKRKGK TVTTPWTEDPRLFADQGDAPAEKKPKGKRVTKSRKDQAQFLADEEAMPALFSALSVSSTE RTPPSSSEQPKSSTSGKTKSTSRGAQTSRKSPRKTSVVQPVPKTSKKAGKSKSTGNTSSP KKGITIKIVLPKKKGGKSGKKEKSIPVISSTSSASLSTLMKSSSSNKTSAGPSKIVMSTV CVYINKHGDCGPFLDPQKVQQLPNHFGPGPVNVILQRTVQACVNCAFQAKDVFLFLKTDN RGGEMITAFFDGKVHTVQLPPVNSASFALRFLENFCQSLQCDNFLSSQPFRREAQVPTPD TGTDQSKPENGEPKEKRSLKRLSLHPHRSAPVSSKVPRKSGQASKGN >ENSMUSP00000098672.2 pep:known chromosome:GRCm38:X:161117193:161256900:1 gene:ENSMUSG00000000037.16 transcript:ENSMUST00000101113.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml2 description:sex comb on midleg-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1340042] MAEPATGVQLAGSGELVAEPGPSSTEAREPATAEGTSGLGQQVTKQGHPSTGEMEPATGV QLAGSGELVAEPGPSSTEARENTEEANTMGQTANEDHFDWDKYLKETGSISAPSEYFRQS KTPPTNEFKIGMKLEARDPRNIDSVCVASVIGITGARLRLRLDGSDNKNDFWRLVDSSDI QPVGTCEQGGDLLQPPLGYTLNTSSWPMFLLRVLTGSELAPAVFFKEEPPRPLQNNFIVG MKIEAVDRKNPFMICPATIGAVCGDQLHITFDGWSGAFDYWCDYDSRDIFPVGWCRLTGD VLQPPGKIVEKRPRRKRRTRLWRLRTALLGNEEEAPEAAEEPGTSVLTFGDENRTLKDCR GEAAEEPGTSAFTFGDENRTLKDCQGGWKKPKGRGFIKPGKDETRPGKHDQGAPAGKKPR GRGFTQPLEDEARPGRDVQVAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKRKGKTV TTPWTEDPRLFADQGDAPAEKKPKGKRVTKSRKDQAQFLADEEAMPALFSALSVSSTERT PPSSSEQPKSSTSGKTKSTSRGAQTSRKSPRKTSVVQPVPKTSKKAGKSKSTGNTSSPKK GITIKIVLPKKKGGKSGKKEKSIPVISSTSSASLSTLMKSSSSNKTSAGPSKIVMSTVCV YINKHGDCGPFLDPQKVQQLPNHFGPGPVNVILQRTVQACVNCAFQAKDVFLFLKTDNRG GEMITAFFDGKVHTVQLPPVNSASFALRFLENFCQSLQCDNFLSSQPFRREAQVPTPDTG TDQSKPENGEPKEKRSLKRLSLHPHRSAPVSSKVPRKSGQASKGN >ENSMUSP00000107964.1 pep:known chromosome:GRCm38:X:161162772:161258213:1 gene:ENSMUSG00000000037.16 transcript:ENSMUST00000112345.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml2 description:sex comb on midleg-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1340042] MGQTANEDHFDWDKYLKETGSISAPSEYFRQSKTPPTNEFKIGMKLEARDPRNIDSVCVA SVIGITGARLRLRLDGSDNKNDFWRLVDSSDIQPVGTCEQGGDLLQPPLGYTLNTSSWPM FLLRVLTGSELAPAVFFKEEPPRPLQNNFIVGMKIEAVDRKNPFMICPATIGAVCGDQLH ITFDGWSGAFDYWCDYDSRDIFPVGWCRLTGDVLQPPGKIVEKRPRRKRRTRLWRLRTAL LGNEEEAPEAAEEPGTSVLTFGDENRTLKDCRGEAAEEPGTSAFTFGDENRTLKDCQGGW KKPKGRGFIKPGKDETRPGKHDQGAPAGKKPRGRGFTQPLEDEARPGRDVQVAPAEKKRK GKTVTTPWTEDPRLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKRKGKTV TTPWTEDPRLFADQGAAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKRKGKTVTTPW TEDPRLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGAAPAEKKRKGKTVTTPWTEDP RLFADQGDAPAEKKRKGKTVTTPWTEDPRLFADQGDAPAEKKPKGKRVTKSRKDQAQFLA DEEAMPALSVSSTERTPPSSSEQPKSSTSGKTKSTSRGAQTSRKSPRKTSVVQPVPKTSK KAGKSKSTGNTSSPKKGITIKIVLPKKKGGKSGKKEKSIPVISSTSSASLSTLMKSSSSN KTSAGPSKIVMSTVCVYINKHGDCGPFLDPQKVQQLPNHFGPGPVNVILQRTVQACVNCA FQAKDVFLFLKTDNRGGEMITAFFDGKVHTVQLPPVNSASFALRFLENFCQSLQCDNFLS SQPFRREAQVPTPDTGTDQSKPENGEPKEKRSLKRLSLHPHRSAPVSSKVPRKSGQASKG N >ENSMUSP00000062092.2 pep:known chromosome:GRCm38:14:13670876:13683148:1 gene:ENSMUSG00000044772.2 transcript:ENSMUST00000061045.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sntn description:sentan, cilia apical structure protein [Source:MGI Symbol;Acc:MGI:3045373] MGGCMHSTWDHALHSRGEPRPSEAPASISAPSKMPKSVSISKQLASIKALKKGSDLEKAI ATIALVFRNASDPDGKLGKATAKKLLQTQFKKFTEREETKPKYQDILSELDEHTENKLDF EDFVILLLSLAIMSDLLRNMWNENTMK >ENSMUSP00000027498.7 pep:known chromosome:GRCm38:1:93619751:93635722:-1 gene:ENSMUSG00000026277.13 transcript:ENSMUST00000027498.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk25 description:serine/threonine kinase 25 (yeast) [Source:MGI Symbol;Acc:MGI:1891699] MAHLRGFAHQHSRVDPEELFTKLDRIGKGSFGEVYKGIDNHTKEVVAIKIIDLEEAEDEI EDIQQEITVLSQCDSPYITRYFGSYLKSTKLWIIMEYLGGGSALDLLKPGPLEETYIATI LREILKGLDYLHSERKIHRDIKAANVLLSEQGDVKLADFGVAGQLTDTQIKRNTFVGTPF WMAPEVIKQSAYDFKADIWSLGITAIELAKGEPPNSDLHPMRVLFLIPKNNPPTLEGHHS KPFKEFVEACLNKDPRFRPTAKELLKHKFITRYTKKTSFLTELIDRYKRWKSEGHGEESS SEDSDIDGEAEDGEQGPIWTFPPTIRPSPHSKLHKGTALHSSQKPAEPIKRQPRSQCLST LVRPVFGELKEKHKQSGGSVGALEELENAFSLAEESCPGISDKLMVHLVERVQRFSHSRN HLTSTR >ENSMUSP00000117765.1 pep:known chromosome:GRCm38:1:93625850:93635479:-1 gene:ENSMUSG00000026277.13 transcript:ENSMUST00000133769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk25 description:serine/threonine kinase 25 (yeast) [Source:MGI Symbol;Acc:MGI:1891699] MAHLRGFAHQHSRVDPEELFTKLDRIGKGSFGEVYKGIDNHTKEVVAIKIIDLEEAEDEI EDIQQEITVLSQCDSPYITRYFGSYLKSTKLWIIMEYLGGGSALDLLKPGPLEETYIATI LREILKGLDYLHSERKIHRDIKAANVLLSEQGDVKLADFGVAGQLTDTQIKRNTFVGTPF WMAPEVIKQSAYDFKADIWSLGITAIELAKGEPPNSDLHPMRVLFLIPKNNPPT >ENSMUSP00000140396.1 pep:known chromosome:GRCm38:1:93627132:93658659:-1 gene:ENSMUSG00000026277.13 transcript:ENSMUST00000186287.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk25 description:serine/threonine kinase 25 (yeast) [Source:MGI Symbol;Acc:MGI:1891699] MAHLRGFAHQHSRVDPEELFTKLDRIGKGSFGEVYKGIDNHTKEVVAIKIIDLEEAEDEI EDIQQEITVLSQCDSPYITRYFGSYLKSTKLWIIMEYLGGGSALDLL >ENSMUSP00000142655.1 pep:known chromosome:GRCm38:5:140620578:140649025:-1 gene:ENSMUSG00000036565.7 transcript:ENSMUST00000197452.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttyh3 description:tweety homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1925589] MAGVSYAAPWWVSLLHRLPHFDLRWEATSSQFRPEDADYQQALLLLGATALACLALDLLF LLFYSFWLCCRRRKTDEHLDADCCCTAWCVIITTLVCSAGIAVGFYGNGETSDGIHRATY SLRHANRTVAGVQDRVWDTAAALNRTAEPNLQSLERQLAGRQEPLRAVQRLQTLLGTLLG YTAAIPFWRNPGVSLEVLAEQVDLYDWYRWLGYLGLLLLDVIICLLVLVGLIRSSKGILV GVCLLGVLALVISWGALGLELAVSVGSSDFCVDPDTFVTKMVEEHSVLSGDILQYYLACS PRATNPFQQKLSGSHKALVEMQDVVAELLRNVPREHPATKDPLLRVQEVLNGTEVNLQHL TALVDCRSLHLDYVQALTGFCYDGVEGLIYLALFSFVTALMFSSIVCSIPHTWQQKRGPD DDGEEETAPGPRQAHDSLYRVHMPSLYSCGSSYGSEASIPAAAHTVSNAPVTEYITPPA >ENSMUSP00000037447.3 pep:known chromosome:GRCm38:5:140620578:140649031:-1 gene:ENSMUSG00000036565.7 transcript:ENSMUST00000042661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttyh3 description:tweety homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1925589] MAGVSYAAPWWVSLLHRLPHFDLRWEATSSQFRPEDADYQQALLLLGATALACLALDLLF LLFYSFWLCCRRRKTDEHLDADCCCTAWCVIITTLVCSAGIAVGFYGNGETSDGIHRATY SLRHANRTVAGVQDRVWDTAAALNRTAEPNLQSLERQLAGRQEPLRAVQRLQTLLGTLLG YTAAIPFWRNPGVSLEVLAEQVDLYDWYRWLGYLGLLLLDVIICLLVLVGLIRSSKGILV GVCLLGVLALVISWGALGLELAVSVGSSDFCVDPDTFVTKMVEEHSVLSGDILQYYLACS PRATNPFQQKLSGSHKALVEMQDVVAELLRNVPREHPATKDPLLRVQEVLNGTEVNLQHL TALVDCRSLHLDYVQALTGFCYDGVEGLIYLALFSFVTALMFSSIVCSIPHTWQQKRGPD DDGEEETAPGPRQAHDSLYRVHMPSLYSCGSSYGSEASIPAAAHTVSNAPVTEYMSQNAN FQNPRCENTPLIGRESPPPSYTSSMRAKYLATSQPRPDSSGSGH >ENSMUSP00000143587.1 pep:known chromosome:GRCm38:5:140623084:140627287:-1 gene:ENSMUSG00000036565.7 transcript:ENSMUST00000199157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttyh3 description:tweety homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1925589] XDDGEEETAPGPRQAHDSLYRVHMPSLYSCGSSYGSEASIPAAAHTVSNAPVTEYMSQNA NFQNPRCENTPLIGRESPPPSRYLAALDSGSHAGWQFKPMDSARTLW >ENSMUSP00000001592.8 pep:known chromosome:GRCm38:11:100370619:100397749:-1 gene:ENSMUSG00000001552.14 transcript:ENSMUST00000001592.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jup description:junction plakoglobin [Source:MGI Symbol;Acc:MGI:96650] MEVMNLIEQPIKVTEWQQTYTYDSGIHSGVNTCVPSVSSKGIMDEDDACGRQYTLKKTTT YTQGVPQNQGDLEYQMSTTARAKRVREAMCPGVSGEDSSLLLATQVEGQTTNLQRLAEPS QLLKSAIVHLINYQDDAELATRALPELTKLLNDEDPVVVTKAAMIVNQLSKKEASRRALM GSPQLVAAVVRTMQNTSDLDTARCTTSILHNLSHHREGLLAIFKSGGIPALVRMLSSPVE SVLFYAITTLHNLLLYQEGAKMAVRLADGLQKMVPLLNKNNPKFLAITTDCLQLLAYGNQ ESKLIILANGGPQGLVQIMRNYSYEKLLWTTSRVLKVLSVCPSNKPAIVEAGGMQALGKH LTSNSPRLVQNCLWTLRNLSDVATKQEGLESVLKILVNQLSVDDVNVLTCATGTLSNLTC NNSKNKTLVTQNSGVEALIHAILRAGDKDDITEPAVCALRHLTSRHPEAEMAQNSVRLNY GIPAIVKLLNQPNQWPLVKATIGLIRNLALCPANHAPLQEAAVIPRLVQLLVKAHQDAQR HVAAGTQQPYTDGVRMEEIVEGCTGALHILARDPMNRMEIFRLNTIPLFVQLLYSSVENI QRVAAGVLCELAQDKEAADAIDAEGASAPLMELLHSRNEGTATYAAAVLFRISEDKNPDY RKRVSVELTNSLFKHDPAAWEAAQSMIPINEPYADDMDATYRPMYSSDVPLDPLDMHMDL DGDYPMDTYSDGLRPPYPTADHMLA >ENSMUSP00000103026.1 pep:known chromosome:GRCm38:11:100372296:100397749:-1 gene:ENSMUSG00000001552.14 transcript:ENSMUST00000107403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jup description:junction plakoglobin [Source:MGI Symbol;Acc:MGI:96650] MEVMNLIEQPIKVTEWQQTYTYDSGIHSGVNTCVPSVSSKGIMDEDDACGRQYTLKKTTT YTQGVPQNQGDLEYQMSTTARAKRVREAMCPGVSGEDSSLLLATQVEGQTTNLQRLAEPS QLLKSAIVHLINYQDDAELATRALPELTKLLNDEDPVVVTKAAMIVNQLSKKEASRRALM GSPQLVAAVVRTMQNTSDLDTARCTTSILHNLSHHREGLLAIFKSGGIPALVRMLSSPVE SVLFYAITTLHNLLLYQEGAKMAVRLADGLQKMVPLLNKNNPKFLAITTDCLQLLAYGNQ ESKLIILANGGPQGLVQIMRNYSYEKLLWTTSRVLKVLSVCPSNKPAIVEAGGMQALGKH LTSNSPRLVQNCLWTLRNLSDVATKQEGLESVLKILVNQLSVDDVNVLTCATGTLSNLTC NNSKNKTLVTQNSGVEALIHAILRAGDKDDITEPAVCALRHLTSRHPEAEMAQNSVRLNY GIPAIVKLLNQPNQWPLVKATIGLIRNLALCPANHAPLQEAAVIPRLVQLLVKAHQDAQR HVAAGTQQPYTDGVRMEEIVEGCTGALHILARDPMNRMEIFRLNTIPLFVQLLYSSVENI QRVAAGVLCELAQDKEAADAIDAEGASAPLMELLHSRNEGTATYAAAVLFRISEDKNPDY RKRVSVELTNSLFKHDPAAWEAAQSMIPINEPYADDMDATYRPMYSSDVPLDPLDMHMDL DGDYPMDTYSDGLRPPYPTADHMLA >ENSMUSP00000010434.7 pep:known chromosome:GRCm38:1:43098710:43115947:1 gene:ENSMUSG00000010290.7 transcript:ENSMUST00000010434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI597479 description:expressed sequence AI597479 [Source:MGI Symbol;Acc:MGI:2138299] MAGDVGGRSCTDAELLLHPELLSQEFLLLTLEQKNIAVENEVRVNKDNLTDLYVQHAIPL PQRDLPKNRWGKMMEKKREHHEVKNDTKRSSAVDGLRKRPLIVFDGSSTSTSIKVKRTEN GADDRLKPLAQIGSTSDAFWKSPNSSSRISPLVLFSNLPVNHKMEHNNNDTQQNHDLMNR KSPSGPVKSPPLSPVGTTPVKLKRAAPKEEAEATNHLKPPEVKRKIQHVTWP >ENSMUSP00000115090.1 pep:known chromosome:GRCm38:5:115333239:115341178:-1 gene:ENSMUSG00000029536.8 transcript:ENSMUST00000139167.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatc description:glutamyl-tRNA(Gln) amidotransferase, subunit C [Source:MGI Symbol;Acc:MGI:1923776] MWSRAASVRFRAPLDAGRSFASKANPQGKVQAAGLGTQAPRLVPQGSGRVSPAVIEHLER LALVNFGSREAVDRLEKAIAFADQLHAVDTDGVEPLESVLEDRCLYLRSDNVAEGSCAEE LLQNSNHVVEEYFVAPPGNISLPDMVNKIPSSTAE >ENSMUSP00000021692.7 pep:known chromosome:GRCm38:12:108792973:108816632:1 gene:ENSMUSG00000021264.11 transcript:ENSMUST00000021692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yy1 description:YY1 transcription factor [Source:MGI Symbol;Acc:MGI:99150] MASGDTLYIATDGSEMPAEIVELHEIEVETIPVETIETTVVGEEEEEDDDDEDGGGGDHG GGGGGHGHAGHHHHHHHHHHHHPPMIALQPLVTDDPTQVHHHQEVILVQTREEVVGGDDS DGLRAEDGFEDQILIPVPAPAGGDDDYIEQTLVTVAAAGKSGGGASSGGGRVKKGGGKKS GKKSYLGGGAGAAGGGGADPGNKKWEQKQVQIKTLEGEFSVTMWSSDEKKDIDHETVVEE QIIGENSPPDYSEYMTGKKLPPGGIPGIDLSDPKQLAEFARMKPRKIKEDDAPRTIACPH KGCTKMFRDNSAMRKHLHTHGPRVHVCAECGKAFVESSKLKRHQLVHTGEKPFQCTFEGC GKRFSLDFNLRTHVRIHTGDRPYVCPFDGCNKKFAQSTNLKSHILTHAKAKNNQ >ENSMUSP00000039301.7 pep:known chromosome:GRCm38:6:8209222:8236274:1 gene:ENSMUSG00000042447.13 transcript:ENSMUST00000040017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mios description:missing oocyte, meiosis regulator, homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2182066] MSGTKPDILWAPHQVDRFVVCDSELSLYHVESAVNSELKAGSLRLSEDSAATLLSINSDT PYMKCVAWYLNYDPECLLAVGQANGRVVLTSLGQDHNSKFKDLIGKEFVPKHARQCNTLA WNPLDSNWLAAGLDKHRADFSVLIWDICSKYTPDIVPMEKVRLSAGEAETTLLVTKPLYE LGQNDASLSLCWLPRDQKLLLAGMHRNLAIFDLRNTSQKMFVNTKAVQGVTVDPYFHDRV ASFYEGQVAIWDLRKFEKPVLTLTEQPKPLTKVAWCPTRTGLLATLTRDSNIIRLYDMQH TPTPIGDETEPTIIERSVQPCDNYIASFAWHPTSQNRMIVVTPNRTMSDFTVFERISLAW SPITSLMWACGRHLYECAEEESDNSLEKDIATKMRLRALSRYGLDTEQVWRNHILAGNED PQLKSLWYTLHFMKQYTEDNDQKSPGNKGSLVYAGIKSIVKSSLGMVESSRHNWSGLDKQ TDIQNLNEERILALQLCGWIKKGTDVDVGPFLNSLVQEGEWERAAAVALFNLDIRRAIQI LNEGASSEKGDLNLNVVAMALSGYTDEKNSLWREMCSTLRLQLNNPYLCVMFAFLTSEAG AYDGVLYENKVAVRDRVAFACKFLGDAQLNKYIEKLTNEMKEAGNLEGILLTGLTKDGVD LMESYVDRTGDVQTASYCMLQGSPLDVLKDERVQYWIENYRNLLDAWRFWHKRAEFDIHR SKLDPSSKPLAQVFVSCNFCGKSISYSCSSVPHQGRGFSQYGVSGSPTKSKVTSCPGCRK PLPRCALCLINMGTPVSSCPGGSKSDEKVDLSKDKKLAQFNNWFTWCHNCRHGGHAGHML SWFRDHAECPVSACTCKCMQLDTTGNLVPAETVQP >ENSMUSP00000075609.4 pep:known chromosome:GRCm38:12:21269806:21286237:-1 gene:ENSMUSG00000062352.13 transcript:ENSMUST00000076260.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb1bp1 description:integrin beta 1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1306802] MFRKGKKRHSSSSSQSSEISTKSKSVDSSLGGLSRSSTVASLDTDSTKSSGQSNSNLDTC AEFRIKYVGAIEKLAVSEGKSLEGPLDLINYIDVAQQDGKLPFVPLEEEFILGVSKYGIK VSTTDQHGVLHRHALYLIIRMVCYDDGLGAGKSLLALKTTDASNEEYSLWVYQCNSLEQA QAICKVLSTAFDSVLTSDKS >ENSMUSP00000134627.1 pep:known chromosome:GRCm38:12:21270093:21286097:-1 gene:ENSMUSG00000062352.13 transcript:ENSMUST00000173729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb1bp1 description:integrin beta 1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1306802] MFRKGKKRHSSSSSQSSEISTKSKSVDSSLGGLSRSSTVASLDTDSTKSSGQSNSNLDTC AEFRIKYVGAIEKLAVSEGKSLEGPLDLINYIDVAQQDGKLPFVPLEEEFILGVSKYGIK VSTTDQHGVLHRHALYLIIRMVCYDDGLGAGKSLLALKTTDASNEEYSLWVYQCNSLEQA QAICKVLSTAFDSVLTSDKS >ENSMUSP00000133557.1 pep:known chromosome:GRCm38:12:21270704:21272123:-1 gene:ENSMUSG00000062352.13 transcript:ENSMUST00000173688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb1bp1 description:integrin beta 1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1306802] XLEEEFILGVSKYGIKVSTTDQHGVLHRHALYLIIRMVCYDDGLGAGKSLLALKTTDASN EEYSLWVYQCNSLVRDLGLFLLNR >ENSMUSP00000134508.1 pep:known chromosome:GRCm38:12:21271288:21286185:-1 gene:ENSMUSG00000062352.13 transcript:ENSMUST00000172834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb1bp1 description:integrin beta 1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1306802] MFRKGKKRHSSSSSQSSEISTKSKSVDSSLGGLSRSSTVASLDTDSTKSSGQSNSNLDTC AEFRIKYVGAIEKLAVSEGKSLEGPLDLINYIDVAQQDGKLPFVPLEEEFILGVSKYGIK VSTTDQHGVLHRHALYLIIRMVCYDDGLGAGKSLLALKTTDASNEEYSLWVYQCNSLVRD LGLFLLNR >ENSMUSP00000029147.9 pep:known chromosome:GRCm38:2:156123639:156144186:-1 gene:ENSMUSG00000027618.17 transcript:ENSMUST00000029147.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfs1 description:nitrogen fixation gene 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1316706] MVGSVAGNMLLRAAWRRASLAATSLALGRSSVPTRGLRLRVVDHGPHSPVHSEAEAVLRP LYMDVQATTPLDPRVLDAMLPYLVNYYGNPHSRTHAYGWESEAAMERARQQVASLIGADP REIIFTSGATESNNIAIKGVARFYRSRKKHLVTTQTEHKCVLDSCRSLEAEGFRVTYLPV QKSGIIDLKELEAAIQPDTSLVSVMTVNNEIGVKQPIAEIGQICSSRKVYFHTDAAQAVG KIPLDVNDMKIDLMSISGHKLYGPKGVGAIYIRRRPRVRVEALQSGGGQERGMRSGTVPT PLVVGLGAACELAQQEMEYDHKRISKLAERLVQNIMKNLPDVVMNGDPKQHYPGCINLSF AYVEGESLLMALKDVALSSGSACTSASLEPSYVLRAIGTDEDLAHSSIRFGIGRFTTEEE VDYTAEKCIHHVKRLREMSPLWEMVQDGIDLKSIKWTQH >ENSMUSP00000139294.1 pep:known chromosome:GRCm38:2:156123650:156139809:-1 gene:ENSMUSG00000027618.17 transcript:ENSMUST00000184469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfs1 description:nitrogen fixation gene 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1316706] VASLIGADPREIIFTSGATESNNIAIKGVARFYRSRKKHLVTTQTEHKCVLDSCRSLEAE GPTCAEMEELEEGSRFKDCFSVNHYSSCSAELAPLGTGINKLYFNLFCGFERHLFVSTSA EEHQVVLKSCFLWGLIFFPLF >ENSMUSP00000105229.1 pep:known chromosome:GRCm38:2:156141787:156144180:-1 gene:ENSMUSG00000027618.17 transcript:ENSMUST00000109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfs1 description:nitrogen fixation gene 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1316706] MVGSVAGNMLLRAAWRRASLAATSLALGRSSVPTRGLRLRVVDHGPHSPVHSEAEAVLRP LYMDVQATTPLDPRVLDAMLPYLVNYYGNPHSRTHAYGWESEAAMERARQVSTKDCEEFQ SVVYVTYFFLTDGNSCFSRRDSGSSSGI >ENSMUSP00000064594.6 pep:known chromosome:GRCm38:6:30401868:30453288:1 gene:ENSMUSG00000029775.14 transcript:ENSMUST00000068240.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc10 description:kelch domain containing 10 [Source:MGI Symbol;Acc:MGI:1924038] MSAAQGWDRNRRRGGGAAGGASGVSGAGAAGGGRGTGQLNRFVQLSGRPHLPGHRPPPAR SGHRCVADNTNLYVFGGYNPDYDESGGPDNEDYPLFRELWRYHFATGVWHQMGTDGYMPR ELASMSLVLHGNNLLVFGGTGIPFGESNGNDVHVCNVKYKRWALLSCRGKRPSRIYGQAM ALINGSLYVFGGTTGYIYSTDLHKLDLNTMVWTQLKPNNLSCDLPEERYRHEIAHDGQRI YILGGGTSWTAYSLNKIHAYNLETNAWEEIATKPHEKIGFPAARRCHSCVQIKNDVFICG GYNGEVILGDIWKLNLQTFQWVKLPATMPEPVYFHCAAVTPAGCMYIHGGVVNIHENKRT GSLFKIWLVVPSLLELAWEKLLAAFPNLANLSRTQLLHLGLTQELIERLK >ENSMUSP00000069669.6 pep:known chromosome:GRCm38:6:30401896:30455179:1 gene:ENSMUSG00000029775.14 transcript:ENSMUST00000068259.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc10 description:kelch domain containing 10 [Source:MGI Symbol;Acc:MGI:1924038] MSAAQGWDRNRRRGGGAAGGASGVSGAGAAGGGRGTGQLNRFVQLSGRPHLPGKKKIRWD PVRRRFIQSCPIIRIPNRFLRGHRPPPARSGHRCVADNTNLYVFGGYNPDYDESGGPDNE DYPLFRELWRYHFATGVWHQMGTDGYMPRELASMSLVLHGNNLLVFGGTGIPFGESNGND VHVCNVKYKRWALLSCRGKRPSRIYGQAMALINGSLYVFGGTTGYIYSTDLHKLDLNTMV WTQLKPNNLSCDLPEERYRHEIAHDGQRIYILGGGTSWTAYSLNKIHAYNLETNAWEEIA TKPHEKIGFPAARRCHSCVQIKNDVFICGGYNGEVILGDIWKLNLQTFQWVKLPATMPEP VYFHCAAVTPAGCMYIHGGVVNIHENKRTGSLFKIWLVVPSLLELAWEKLLAAFPNLANL SRTQLLHLGLTQELIERLK >ENSMUSP00000143839.1 pep:known chromosome:GRCm38:6:30401998:30450562:1 gene:ENSMUSG00000029775.14 transcript:ENSMUST00000132581.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc10 description:kelch domain containing 10 [Source:MGI Symbol;Acc:MGI:1924038] RLPRAGTGTAGGEEARPAGPAGLAGPARPAGVGAPDSSTDSCSSRDGRTCQATDLPQPAV DTVVWQTIPISMCLEGITQTMMNREGRITKTTLSSGSFGGIILQQACGTRWVQMATCPGN WHQCHAMALINGSLYVFGGTTGYIYSTDLHKLDLNTMVWTQLKPNNLSCDLPEERYRHEI AHDGQRIYILGGGTSWTAYSLNKIHAYNLETNAWEEIATKPHEKIGFPAARRCHSCVQIK NDVFICGGYNGEVILGDIWKLNLQTFQWVKLPATMPEPVYFHCAAVTPAGCMYIHGGVVN IHENKRTGSLFKI >ENSMUSP00000145063.1 pep:known chromosome:GRCm38:6:30417036:30450814:1 gene:ENSMUSG00000029775.14 transcript:ENSMUST00000144272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc10 description:kelch domain containing 10 [Source:MGI Symbol;Acc:MGI:1924038] MGTDGYMPRELASMSLVLHGNNLLVFGGTGIPFGESNGNDVHVCNVKYKRWALLSCRGKR PSRIYGQAMALINGSLYVFGGTTGYIYSTDLHKLDLNTMVWTQLKPNNLSCDLPEERYRH EIAHDGQRIYILGGGTSWTAYSLNKIHAYNLETNAWEEIATKPHEKIGFPAARRCHSCVQ IKNDVFICGGYNGEVILGDIWKLNLQTFQWVKLPATMPEPVYFHCAAVTPAGCMYIHGGV VNIHENKRTGSLFKIWLVVPSLLELAWEKLLAAFPNLANLSRTQLLHLGLTQELIERLK >ENSMUSP00000145442.1 pep:known chromosome:GRCm38:6:30417045:30447447:1 gene:ENSMUSG00000029775.14 transcript:ENSMUST00000123494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc10 description:kelch domain containing 10 [Source:MGI Symbol;Acc:MGI:1924038] MGTDGYMPRELASMSLVLHGNNLLVFGGTGIPFGESNGNDVHVCNVKYKRWALLSCRGKR PSRIYGQAMALINGSLYVFGGTTGYIYSTDLHKLDLNTMVWTQLKPNNLSCDLPEERYRH EIAHDGQRIYILGGGTSWTAYSLNKIHAYNLETNAWE >ENSMUSP00000144878.1 pep:known chromosome:GRCm38:6:30427396:30441892:1 gene:ENSMUSG00000029775.14 transcript:ENSMUST00000135566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc10 description:kelch domain containing 10 [Source:MGI Symbol;Acc:MGI:1924038] MGTDGYMPRELASMSLVLHGNNLLVFGGTGIPFGESNGNDVHVCNVKYKRWALL >ENSMUSP00000123496.1 pep:known chromosome:GRCm38:9:35204206:35210936:-1 gene:ENSMUSG00000039048.14 transcript:ENSMUST00000154691.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Foxred1 description:FAD-dependent oxidoreductase domain containing 1 [Source:MGI Symbol;Acc:MGI:2446262] MFRRALRLGLGPGLPYRGLRTRKGGFTLGKAEGSSGSGEPKPSIDVTFKTGMQRCLTLRR RLIPSCLERNMKCCMTPATCPQNRRMWSS >ENSMUSP00000038924.8 pep:known chromosome:GRCm38:9:35204208:35210980:-1 gene:ENSMUSG00000039048.14 transcript:ENSMUST00000043805.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxred1 description:FAD-dependent oxidoreductase domain containing 1 [Source:MGI Symbol;Acc:MGI:2446262] MFRRALRLGLGPGLPYRGLRTRKGGFTLDWDAKVSDFKKKVDSILPGKKYEVLYDTSHLP PEQADVVIIGGGILGLSVAFWLKKLESRRGAIRVLVVEQDHTYSRASSTGPSVGGIWQQF SVPENVQLSLFSINFLRNINEYLAVVDAPPVELQFNPSGCLLLASEKDAATLENNVKMQR QEGAKVCLMSPEQLQTKFPWINVEGVALASYGLEDEGWFDAWSLLQGLRRKVQSMGVFFC QGEVTRFITSSTPMKTPTGEHVVLRRINNVHVKMDKSLEYQPVECAVVINAAGAWSGKIA ELAGVGKGLPGTLQGTKLPVEPRKRYVHLWHCPQGPGLETPLVADISGVYFRREGLGSNY LGGCSPTEEEEPDPTNLNVDHDFFQNKVWPHLVQRVPSFKTLEHLLHLQVQSAWAGYYDY NTFDQNGVVGPHPLVVNMYFATGFSGRGLQHAPGIGRAVAEIMLEGHFKTIDMSPFLFTR FYLGEKLQEYNIL >ENSMUSP00000120284.1 pep:known chromosome:GRCm38:9:35204208:35211001:-1 gene:ENSMUSG00000039048.14 transcript:ENSMUST00000151658.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Foxred1 description:FAD-dependent oxidoreductase domain containing 1 [Source:MGI Symbol;Acc:MGI:2446262] MFRRALRLGLGPGLPYRGLRTRKGGFTLDWDAKVSDFKKKVDSILPGKKYEVLYDTSHLP PEQADVVIIGGGILGLSVAFWLKKLESRRGAIRVLVVEQDHTVSIHGLPPQGLLWAGFGS SFPCLRMSSSHSFQSTFSGT >ENSMUSP00000118037.1 pep:known chromosome:GRCm38:9:35204208:35211055:-1 gene:ENSMUSG00000039048.14 transcript:ENSMUST00000127996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxred1 description:FAD-dependent oxidoreductase domain containing 1 [Source:MGI Symbol;Acc:MGI:2446262] MFRRALRLGLGPGLPYRGLRTRKGGFTLDWDAKVSDFKKKVDSILPGKKYEVLYDTSHLP PEQADVVIIGGGILGLSVAFWLKKLESRRGAIRVLVVEQDHTYSRASSTGPSVGGIWQQF SVPENVQLSLFSINFLRNINEYLAVVDAPPVELQFNPSGCLLLASEKDAATLENNVKMQR QEGAKVCLMSPEQLQTKFPWINVEGVALASYGLEDEGWFDAWSLLQGLRRKVQSMGVFFC QGEVTRFITSSTPMKTPTGEHVVLRRINNVHVKMDKSLEYQPVECAVVINAAGAWSGKIA ELAGVGKGLPGTLQGTKLPVEPRKRYVHLWHCPQGPGLETPLVADISGVYFRREGLGSNY LGGCSPTEEEEPDPTNLNVDHDFFQNKVWPHLVQRVPSFKTLEVQSAWAGYYDYNTFDQN GVVGPHPLVVNMYFATGFSGRGLQHAPGIGRAVAEIMLEGHFKTIDMSPFLFTRFYLGEK LQEYNIL >ENSMUSP00000115301.1 pep:known chromosome:GRCm38:9:35205185:35206234:-1 gene:ENSMUSG00000039048.14 transcript:ENSMUST00000135054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxred1 description:FAD-dependent oxidoreductase domain containing 1 [Source:MGI Symbol;Acc:MGI:2446262] NNVHVKMDKSLEYQPVECAVVINAAGAWSGKIAELAGVGKGLPGTLQGTKLPVEPRKRYV HLWHCPQGPGLETPLVADISGVYFRREGLGSNYLGGCSPTEEEEPDPTNLNVDHDFFQNK VWPHLVQRVPSFKTLEVTKGE >ENSMUSP00000117147.1 pep:known chromosome:GRCm38:9:35206223:35210996:-1 gene:ENSMUSG00000039048.14 transcript:ENSMUST00000142595.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxred1 description:FAD-dependent oxidoreductase domain containing 1 [Source:MGI Symbol;Acc:MGI:2446262] MFRRALRLGLGPGLPYRGLRTRKGGFTLDWDAKVSDFKKKVDSILPGKKYEVLYDTSHLP PEQADVVIIGGGILGLSVAFWLKKLESRRGAIRVLVVEQDHTYSRASSTGPSVGGIWQQF SVPENVQLSLFSINFLRNINEYLAVVDAPPVELQFNPSGCLLLASEKDAATLENNVKMQR FHHFIYPNEDPNWGTCSLEKDQQCP >ENSMUSP00000122535.1 pep:known chromosome:GRCm38:9:35207538:35210922:-1 gene:ENSMUSG00000039048.14 transcript:ENSMUST00000139703.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Foxred1 description:FAD-dependent oxidoreductase domain containing 1 [Source:MGI Symbol;Acc:MGI:2446262] MFRRALRLGLGPGLPYRGLRTRKGGFTLDWDAKVSDFKKKVDSILPGKKYEVLYDTSHLP PEQADVVIIGGGILGLSVAFWLKKLESRRGAIRVLVVEQDHTYSRASSTGPSVGGIWQQF SVPENVQLSLFSINFLRNINEYLAVVDAPPVELQFNPSGCLLLASEKDAATLENNVKMQR KEPKSA >ENSMUSP00000120556.1 pep:known chromosome:GRCm38:9:35207548:35210897:-1 gene:ENSMUSG00000039048.14 transcript:ENSMUST00000138692.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Foxred1 description:FAD-dependent oxidoreductase domain containing 1 [Source:MGI Symbol;Acc:MGI:2446262] MFRRALRLGLGPGLPYRGLRTRKGGFTLGKAEGSSGSGEPKPSIDVTFKTGMQRCLTLRR RLIPSCLERNMKCCMTPATCPQNRRMWSS >ENSMUSP00000116028.1 pep:known chromosome:GRCm38:9:75071188:75223688:1 gene:ENSMUSG00000034593.16 transcript:ENSMUST00000123128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5a description:myosin VA [Source:MGI Symbol;Acc:MGI:105976] MAASELYTKFARVWIPDPEEVWKSAELLKDYKPGDKVLLLHLEEGKDLEYRLDPKTGELP HLRNPDILVGENDLTALSYLHEPAVLHNLRVRFIDSKLIYTYCGIVLVAINPYEQLPIYG EDIINAYSGQNMGDMDPHIFAVAEEAYKQMARDERNQSIIVSGESGAGKTVSAKYAMRYF ATVSGSASEANVEEKVLASNPIMESIGNAKTTRNDNSSRFGKYIEIGFDKRYRIIGANMR TYLLEKSRVVFQAEEERNYHIFYQLCASAKLPEFKMLRLGNADSFHYTKQGGSPMIEGVD DAKEMAHTRQACTLLGISESYQMGIFRILAGILHLGNVGFASRDSDSCTIPPKHEPLTIF CDLMGVDYEEMCHWLCHRKLATATETYIKPISKLQATNARDALAKHIYAKLFNWIVDHVN QALHSAVKQHSFIGVLDIYGFETFEINSFEQFCINYANEKLQQQFNMHVFKLEQEEYMKE QIPWTLIDFYDNQPCINLIESKLGILDLLDEECKMPKGTDDTWAQKLYNTHLNKCALFEK PRMSNKAFIIKHFADKVEYQCEGFLEKNKDTVFEEQIKVLKSSKFKMLPELFQDDEKAIS PTSATSSGRTPLTRVPVKPTKGRPGQTAKEHKKTVGHQFRNSLHLLMETLNATTPHYVRC IKPNDFKFPFTFDEKRAVQQLRACGVLETIRISAAGFPSRWTYQEFFSRYRVLMKQKDVL GDRKQTCKNVLEKLILDKDKYQFGKTKIFFRAGQVAYLEKLRADKLRAACIRIQKTIRGW LLRKRYLCMQRAAITVQRYVRGYQARCYAKFLRRTKAATTIQKYWRMYVVRRRYKIRRAA TIVIQSYLRGYLTRNRYRKILREYKAVIIQKRVRGWLARTHYKRTMKAIVYLQCCFRRMM AKRELKKLKIEARSVERYKKLHIGMENKIMQLQRKVDEQNKDYKCLMEKLTNLEGVYNSE TEKLRNDVERLQLSEEEAKVATGRVLSLQEEIAKLRKDLEQTRSEKKSIEERADKYKQET DQLVSNLKEENTLLKQEKETLNHRIVEQAKEMTETMERKLVEETKQLELDLNDERLRYQN LLNEFSRLEERYDDLKEEMTLMLNVPKPGHKRTDSTHSSNESEYTFSSEFAETEDIAPRT EEPIEKKVPLDMSLFLKLQKRVTELEQEKQLMQDELDRKEEQVFRSKAKEEERPQIRGAE LEYESLKRQELESENKKLKNELNELRKALSEKSAPEVTAPGAPAYRVLMEQLTSVSEELD VRKEEVLILRSQLVSQKEAIQPKDDKNTMTDSTILLEDVQKMKDKGEIAQAYIGLKETNR LLESQLQSQKRSHENEAEALRGEIQSLKEENNRQQQLLAQNLQLPPEARIEASLQHEITR LTNENLYFEELYADDPKKYQSYRISLYKRMIDLMEQLEKQDKTVRKLKKQLKVFAKKIGE LEVGQMENISPGQIIDEPIRPVNIPRKEKDFQGMLEYKREDEQKLVKNLILELKPRGVAV NLIPGLPAYILFMCVRHADYLNDDQKVRSLLTSTINSIKKVLKKRGDDFETVSFWLSNTC RFLHCLKQYSGEEGFMKHNTSRQNEHCLTNFDLAEYRQVLSDLAIQIYQQLVRVLENILQ PMIVSGMLEHETIQGVSGVKPTGLRKRTSSIADEGTYTLDSILRQLNSFHSVMCQHGMDP ELIKQVVKQMFYIVGAITLNNLLLRKDMCSWSKGMQIRYNVSQLEEWLRDKNLMNSGAKE TLEPLIQAAQLLQVKKKTDDDAEAICSMCNALTTAQIVKVLNLYTPVNEFEERVSVSFIR TIQMRLRDRKDSPQLLMDAKHIFPVTFPFNPSSLALETIQIPASLGLGFIARV >ENSMUSP00000117493.2 pep:known chromosome:GRCm38:9:75071386:75218550:1 gene:ENSMUSG00000034593.16 transcript:ENSMUST00000155282.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5a description:myosin VA [Source:MGI Symbol;Acc:MGI:105976] MAASELYTKFARVWIPDPEEVWKSAELLKDYKPGDKVLLLHLEEGKDLEYRLDPKTGELP HLRNPDILVGENDLTALSYLHEPAVLHNLRVRFIDSKLIYTYCGIVLVAINPYEQLPIYG EDIINAYSGQNMGDMDPHIFAVAEEAYKQMARDERNQSIIVSGESGAGKTVSAKYAMRYF ATVSGSASEANVEEKVLASNPIMESIGNAKTTRNDNSSRFGKYIEIGFDKRYRIIGANMR TYLLEKSRVVFQAEEERNYHIFYQLCASAKLPEFKMLRLGNADSFHYTKQGGSPMIEGVD DAKEMAHTRQACTLLGISESYQMGIFRILAGILHLGNVGFASRDSDSCTIPPKHEPLTIF CDLMGVDYEEMCHWLCHRKLATATETYIKPISKLQATNARDALAKHIYAKLFNWIVDHVN QALHSAVKQHSFIGVLDIYGFETFEINSFEQFCINYANEKLQQQFNMHVFKLEQEEYMKE QIPWTLIDFYDNQPCINLIESKLGILDLLDEECKMPKGTDDTWAQKLYNTHLNKCALFEK PRMSNKAFIIKHFADKVEYQCEGFLEKNKDTVFEEQIKVLKSSKFKMLPELFQDDEKAIS PTSATSSGRTPLTRVPVKPTKGRPGQTAKEHKKTVGHQFRNSLHLLMETLNATTPHYVRC IKPNDFKFPFTFDEKRAVQQLRACGVLETIRISAAGFPSRWTYQEFFSRYRVLMKQKDVL GDRKQTCKNVLEKLILDKDKYQFGKTKIFFRAGQVAYLEKLRADKLRAACIRIQKTIRGW LLRKRYLCMQRAAITVQRYVRGYQARCYAKFLRRTKAATTIQKYWRMYVVRRRYKIRRAA TIVIQSYLRGYLTRNRYRKILREYKAVIIQKRVRGWLARTHYKRTMKAIVYLQCCFRRMM AKRELKKLKIEARSVERYKKLHIGMENKIMQLQRKVDEQNKDYKCLMEKLTNLEGVYNSE TEKLRNDVERLQLSEEEAKVATGRVLSLQEEIAKLRKDLEQTRSEKKSIEERADKYKQET DQLVSNLKEENTLLKQEKETLNHRIVEQAKEMTETMERKLVEETKQLELDLNDERLRYQN LLNEFSRLEERYDDLKEEMTLMLNVPKPGHKRTDSTHSSNESEYTFSSEFAETEDIAPRT EEPIEKKVPLDMSLFLKLQKRVTELEQEKQLMQDELDRKEEQVFRSKAKEEERPQIRGAE LEYESLKRQELESENKKLKNELNELRKALSEKSAPEVTAPGAPAYRVLMEQLTSVSEELD VRKEEVLILRSQLVSQKEAIQPKDDKNTMTDSTILLEDVQKMKDKGEIAQAYIGLKETNR SSTMDYQELNEDGELWMVYEGLKQANRLLESQLQSQKRSHENEAEALRGEIQSLKEENNR QQQLLAQNLQLPPEARIEASLQHEITRLTNENLDLMEQLEKQDKTVRKLKKQLKVFAKKI GELEVGQMENISPGQIIDEPIRPVNIPRKEKDFQGMLEYKREDEQKLVKNLILELKPRGV AVNLIPGLPAYILFMCVRHADYLNDDQKVRSLLTSTINSIKKVLKKRGDDFETVSFWLSN TCRFLHCLKQYSGEEGFMKHNTSRQNEHCLTNFDLAEYRQVLSDLAIQIYQQLVRVLENI LQPMIVSGMLEHETIQGVSGVKPTGLRKRTSSIADEGTYTLDSILRQLNSFHSVMCQHGM DPELIKQVVKQMFYIVGAITLNNLLLRKDMCSWSKGMQIRYNVSQLEEWLRDKNLMNSGA KETLEPLIQAAQLLQVKKKTDDDAEAICSMCNALTTAQIVKVLNLYTPVNEFEERVSVSF IRTIQMRLRDRKDSPQLLMDAKHIFPVTFPFNPSSLALETIQIPASLGLGFIARV >ENSMUSP00000122773.1 pep:known chromosome:GRCm38:9:75071565:75176557:1 gene:ENSMUSG00000034593.16 transcript:ENSMUST00000123531.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myo5a description:myosin VA [Source:MGI Symbol;Acc:MGI:105976] MAASELYTKFARVWIPDPEEVWKSAELLKDYKPGDKVLLLHLEEGKE >ENSMUSP00000120444.1 pep:known chromosome:GRCm38:9:75071600:75218953:1 gene:ENSMUSG00000034593.16 transcript:ENSMUST00000136731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5a description:myosin VA [Source:MGI Symbol;Acc:MGI:105976] MAASELYTKFARVWIPDPEEVWKSAELLKDYKPGDKVLLLHLEEGKDLEYRLDPKTGELP HLRNPDILVGENDLTALSYLHEPAVLHNLRVRFIDSKLIYTYCGIVLVAINPYEQLPIYG EDIINAYSGQNMGDMDPHIFAVAEEAYKQMARDERNQSIIVSGESGAGKTVSAKYAMRYF ATVSGSASEANVEEKVLASNPIMESIGNAKTTRNDNSSRFGKYIEIGFDKRYRIIGANMR TYLLEKSRVVFQAEEERNYHIFYQLCASAKLPEFKMLRLGNADSFHYTKQGGSPMIEGVD DAKEMAHTRQACTLLGISESYQMGIFRILAGILHLGNVGFASRDSDSCTIPPKHEPLTIF CDLMGVDYEEMCHWLCHRKLATATETYIKPISKLQATNARDALAKHIYAKLFNWIVDHVN QALHSAVKQHSFIGVLDIYGFETFEINSFEQFCINYANEKLQQQFNMHVFKLEQEEYMKE QIPWTLIDFYDNQPCINLIESKLGILDLLDEECKMPKGTDDTWAQKLYNTHLNKCALFEK PRMSNKAFIIKHFADKVEYQCEGFLEKNKDTVFEEQIKVLKSSKFKMLPELFQDDEKAIS PTSATSSGRTPLTRVPVKPTKGRPGQTAKEHKKTVGHQFRNSLHLLMETLNATTPHYVRC IKPNDFKFPFTFDEKRAVQQLRACGVLETIRISAAGFPSRWTYQEFFSRYRVLMKQKDVL GDRKQTCKNVLEKLILDKDKYQFGKTKIFFRAGQVAYLEKLRADKLRAACIRIQKTIRGW LLRKRYLCMQRAAITVQRYVRGYQARCYAKFLRRTKAATTIQKYWRMYVVRRRYKIRRAA TIVIQSYLRGYLTRNRYRKILREYKAVIIQKRVRGWLARTHYKRTMKAIVYLQCCFRRMM AKRELKKLKIEARSVERYKKLHIGMENKIMQLQRKVDEQNKDYKCLMEKLTNLEGVYNSE TEKLRNDVERLQLSEEEAKVATGRVLSLQEEIAKLRKDLEQTRSEKKSIEERADKYKQET DQLVSNLKEENTLLKQEKETLNHRIVEQAKEMTETMERKLVEETKQLELDLNDERLRYQN LLNEFSRLEERYDDLKEEMTLMLNVPKPGHKRTDSTHSSNESEYTFSSEFAETEDIAPRT EEPIEKKVPLDMSLFLKLQKRVTELEQEKQLMQDELDRKEEQVFRSKAKEEERPQIRGAE LEYESLKRQELESENKKLKNELNELRKALSEKSAPEVTAPGAPAYRVLMEQLTSVSEELD VRKEEVLILRSQLVSQKEAIQPKDDKNTMTDSTILLEDVQKMKDKGEIAQAYIGLKETNR LLESQLQSQKRSHENEAEALRGEIQSLKEENNRQQQLLAQNLQLPPEARIEASLQHEITR LTNENLDLMEQLEKQDKTVRKLKKQLKVFAKKIGELEVGQMENISPGQIIDEPIRPVNIP RKEKDFQGMLEYKREDEQKLVKNLILELKPRGVAVNLIPGLPAYILFMCVRHADYLNDDQ KVRSLLTSTINSIKKVLKKRGDDFETVSFWLSNTCRFLHCLKQYSGEEGFMKHNTSRQNE HCLTNFDLAEYRQVLSDLAIQIYQQLVRVLENILQPMIVSGMLEHETIQGVSGVKPTGLR KRTSSIADEGTYTLDSILRQLNSFHSVMCQHGMDPELIKQVVKQMFYIVGAITLNNLLLR KDMCSWSKGMQIRYNVSQLEEWLRDKNLMNSGAKETLEPLIQAAQLLQVKKKTDDDAEAI CSMCNALTTAQIVKVLNLYTPVNEFEERVSVSFIRTIQMRLRDRKDSPQLLMDAKHIFPV TFPFNPSSLALETIQIPASLGLGFIARV >ENSMUSP00000118881.1 pep:known chromosome:GRCm38:9:75185895:75196200:1 gene:ENSMUSG00000034593.16 transcript:ENSMUST00000129281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5a description:myosin VA [Source:MGI Symbol;Acc:MGI:105976] RQELESENKKLKNELNELRKALSEKSAPEVTAPGAPAYRVLMEQLTSVSEELDVRKEEVL ILRSQLVSQKEAIQPKNTMTDSTILLEDVQKMKDKGEIAQAYIGLKETNRSSTMDYQELN EDGELWMVYEGLKQANRLLESQLQSQKRSHENEAEALRGEIQSLKEENNRQQQLLAQNLQ LPPEARIEASLQHEITRLTNENLYFEELYADDPKKYQSYRISLYKRMI >ENSMUSP00000121158.1 pep:known chromosome:GRCm38:9:75185994:75217993:1 gene:ENSMUSG00000034593.16 transcript:ENSMUST00000148144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5a description:myosin VA [Source:MGI Symbol;Acc:MGI:105976] GAPAYRVLMEQLTSVSEELDVRKEEVLILRSQLVSQKEAIQPKNTMTDSTILLEDVQKMK DKGEIAQAYIGLKETNRLLESQLQSQKRSHENEAEALRGEIQSLKEENNRQQQLLAQNLQ LPPEARIEASLQHEITRLTNENLDLMEQLEKQDKTVRKLKKQLKVFAKKIGELEVGQMEN ISPGQIIDEPIRPVNIPRKEKDFQGMLEYKREDEQKLVKNLILELKPRGVAVNLIPGLPA YILFMCVRHADYLNDDQKVRSLLTSTINSIKKVLKKRGDDFETVSFWLSNTCRFLHCLKQ YSGEEGFMKHNTSRQNEHCLTNFDLAEYRQVLSDLAIQIYQQLVRVLENILQPMIVSGML EHETIQGVSGVKPTGLRKRTSSIADEGTYTLDSILRQLNSFHSVMCQHGMDPELIKQVVK QMFYIVGAITLNNLLLRKDMCSWSKGMQIRYNVSQLEEWLRDKNLMNSGAKETLEPLIQA AQLLQVKKKTDDDAEAICSMCNALTTAQIVKVLNLYTPVNEFEERVSVSFIRTIQMRLRD RKDSPQLLMDAKHIFPVTFPFNPSSLALETIQIPASLGLGFIARV >ENSMUSP00000114803.1 pep:known chromosome:GRCm38:9:75185996:75201319:1 gene:ENSMUSG00000034593.16 transcript:ENSMUST00000130384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5a description:myosin VA [Source:MGI Symbol;Acc:MGI:105976] XAPAYRVLMEQLTSVSEELDVRKEEVLILRSQLVSQKEAIQPKNTMTDSTILLEDVQKMK DKGEIAQAYIGLKETNRSSTMDYQELNEDGELWMVYEGLKQANRLLESQLQSQKRSHENE AEALRGEIQSLKEENNRQQQLLAQNLQLPPEARIEASLQHEITRLTNENLDLMEQLEKQD KTVRKLKKQLKVFAKKIGELEVGQMENISPGQIIDEP >ENSMUSP00000126804.1 pep:known chromosome:GRCm38:14:105106751:105130337:-1 gene:ENSMUSG00000022119.15 transcript:ENSMUST00000172122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm26 description:RNA binding motif protein 26 [Source:MGI Symbol;Acc:MGI:1921463] KTLEILTKNITKLKDEVKSTSPGRCLPKSIKTKTQMQKELLDTELDLYKKMQAGEEVTEL RRKYTELQLEAAKRGILSSGRGRGIHTRGRGTAHGRGRGRGRGRGVPGHAVVDHRPRALE ISAFTESDREDLLPHFAQYGEIEDCQIDDASLHAIITFKTRAEAEAAAIHGARFKGQDLK LAWNKPIANMSAVDTEEAEPDEEERENITA >ENSMUSP00000126414.1 pep:known chromosome:GRCm38:14:105114965:105176860:-1 gene:ENSMUSG00000022119.15 transcript:ENSMUST00000163545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm26 description:RNA binding motif protein 26 [Source:MGI Symbol;Acc:MGI:1921463] MVSKMIIENFEALKSWLSKTLEPICDADPSALAKYVLALVKKDKSEKELKALCIDQLDVF LQKETQIFVEKLFDAVNTKSYLPPPEQPSSGSLKVDFLQHQEKDIKKEELTKEEEREKKF SRRLNHSPPQSSSRYRDNRSRDERKKDDRSRKRDYDRNPPRRDSYRDRYNRRRGRSRSYS RSRSRSWSKERLRDRDRDRSRTRSRSRTRSRERDLVKPKYDLDRTDPLENNYTPVSSVSN ISSGHYPVPTLSSTITVIAPTHHGNNTTESWSEFHEDQVDHNSYVRPPMPKKRCRDYDEK GFCMRGDMCPFDHGSDPVVVEDVNLPGMLPFPAQPPVVEGPPPPGLPPPPPILTPPPVNL RPPVPPPGPLPPSLPPVTGPPPPLPPLQPSGMDAPPNSATSSVPTVVTTGIHHQPPPAPP SLFTAVFVLPDTYDTDGYNPEAPSITNTSRPMYRHRVHAQRPNLIGLTSGDMDLPPREKP PNKSSMRIVVDSESRKRTIGSGEPGVSTKKTWFDKPNFNRTNSPGFQKKVQFGNENTKLE LRKVPPELNNISKLNEHFSRFGTLVNLQVAYNGDPEGALIQFATYEEAKKAISSTEAVLN NRFIKVYWHREGTTQQLQTTSPKPLVQQPILPVVKQSVKERLGPVPSATTEPAEAQSATS ELPQNVTKLSVKDRLGFVSKPSVSATEKVLSTSTGLTKTVYNPAALKAAQKTLSVSTPAV DNNEAQKKKQEALKLQQDVRKRKQEILEKHIETQKMLISKLEKNKTMKSEDKAEIMKTLE ILTKNITKLKDEVKSTSPGRCLPKSIKTKTQMQKELLDTELDLYKKMQAGEEVTELRRKY TELQLEAAKRGILSSGRGRGIHTRGRGTAHGRGRGRGRGRGVPGHAVVDHRPRALEISAF TESDREDLLPHFAQYGEIEDCQIDDASLHAIITFKTRAEAEAAAIHGARFKGQDLKLAWN KPIANMSAVDTEEAEPDEEEFQEESLVDDSLLQDDDEEEEDNESRSWRR >ENSMUSP00000097901.3 pep:known chromosome:GRCm38:14:105114986:105177323:-1 gene:ENSMUSG00000022119.15 transcript:ENSMUST00000100327.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm26 description:RNA binding motif protein 26 [Source:MGI Symbol;Acc:MGI:1921463] MVSKMIIENFEALKSWLSKTLEPICDADPSALAKYVLALVKKDKSEKELKALCIDQLDVF LQKETQIFVEKLFDAVNTKSYLPPPEQPSSGSLKVDFLQHQEKDIKKEELTKEEEREKKF SRRLNHSPPQSSSRYRDNRSRDERKKDDRSRKRDYDRNPPRRDSYRDRYNRRRGRSRSYS RSRSRSWSKERLRDRDRDRSRTRSRSRTRSRERDLVKPKYDLDRTDPLENNYTPVSSVSN ISSGHYPVPTLSSTITVIAPTHHGNNTTESWSEFHEDQVDHNSYVRPPMPKKRCRDYDEK GFCMRGDMCPFDHGSDPVVVEDVNLPGMLPFPAQPPVVEGPPPPGLPPPPPILTPPPVNL RPPVPPPGPLPPSLPPVTGPPPPLPPLQPSGMDAPPNSATSSVPTVVTTGIHHQPPPAPP SLFTADTYDTDGYNPEAPSITNTSRPMYRHRVHAQRPNLIGLTSGDMDLPPREKPPNKSS MRIVVDSESRKRTIGSGEPGVSTKKTWFDKPNFNRTNSPGFQKKVQFGNENTKLELRKVP PELNNISKLNEHFSRFGTLVNLQVAYNGDPEGALIQFATYEEAKKAISSTEAVLNNRFIK VYWHREGTTQQLQTTSPKVIQPLVQQPILPVVKQSVKERLGPVPSATTEPAEAQSATSEL PQVLSTSTGLTKTVYNPAALKAAQKTLSVSTPAVDNNEAQKKKQEALKLQQDVRKRKQEI LEKHIETQKMLISKLEKNKTMKSEDKAEIMKTLEILTKNITKLKDEVKSTSPGRCLPKSI KTKTQMQKELLDTELDLYKKMQAGEEVTELRRKYTELQLEAAKRGILSSGRGRGIHTRGR GTAHGRGRGRGRGRGVPGHAVVDHRPRALEISAFTESDREDLLPHFAQYGEIEDCQIDDA SLHAIITFKTRAEAEAAAIHGARFKGQDLKLAWNKPIANMSAVDTEEAEPDEEEFQEESL VDDSLLQDDDEEEEDNESRSWRR >ENSMUSP00000022715.7 pep:known chromosome:GRCm38:14:105115063:105177327:-1 gene:ENSMUSG00000022119.15 transcript:ENSMUST00000022715.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm26 description:RNA binding motif protein 26 [Source:MGI Symbol;Acc:MGI:1921463] MVSKMIIENFEALKSWLSKTLEPICDADPSALAKYVLALVKKDKSEKELKALCIDQLDVF LQKETQIFVEKLFDAVNTKSYLPPPEQPSSGSLKVDFLQHQEKDIKKEELTKEEEREKKF SRRLNHSPPQSSSRYRDNRSRDERKKDDRSRKRDYDRNPPRRDSYRDRYNRRRGRSRSYS RSRSRSWSKERLRDRDRDRSRTRSRSRTRSRERDLVKPKYDLDRTDPLENNYTPVSSVSN ISSGHYPVPTLSSTITVIAPTHHGNNTTESWSEFHEDQVDHNSYVRPPMPKKRCRDYDEK GFCMRGDMCPFDHGSDPVVVEDVNLPGMLPFPAQPPVVEGPPPPGLPPPPPILTPPPVNL RPPVPPPGPLPPSLPPVTGPPPPLPPLQPSGMDAPPNSATSSVPTVVTTGIHHQPPPAPP SLFTADTYDTDGYNPEAPSITNTSRPMYRHRVHAQRPNLIGLTSGDMDLPPREKPPNKSS MRIVVDSESRKRTIGSGEPGVSTKKTWFDKPNFNRTNSPGFQKKVQFGNENTKLELRKVP PELNNISKLNEHFSRFGTLVNLQVAYNGDPEGALIQFATYEEAKKAISSTEAVLNNRFIK VYWHREGTTQQLQTTSPKVIQPLVQQPILPVVKQSVKERLGPVPSATTEPAEAQSATSEL PQNVTKLSVKDRLGFVSKPSVSATEKVLSTSTGLTKTVYNPAALKAAQKTLSVSTPAVDN NEAQKKKQEALKLQQDVRKRKQEILEKHIETQKMLISKLEKNKTMKSEDKAEIMKTLEIL TKNITKLKDEVKSTSPGRCLPKSIKTKTQMQKELLDTELDLYKKMQAGEEVTELRRKYTE LQLEAAKRGILSSGRGRGIHTRGRGTAHGRGRGRGRGRGVPGHAVVDHRPRALEISAFTE SDREDLLPHFAQYGEIEDCQIDDASLHAIITFKTRAEAEAAAIHGARFKGQDLKLAWNKP IANMSAVDTEEAEPDEEEFQEESLVDDSLLQDDDEEEEDNESRSWRR >ENSMUSP00000128197.1 pep:known chromosome:GRCm38:14:105116135:105177264:-1 gene:ENSMUSG00000022119.15 transcript:ENSMUST00000163499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm26 description:RNA binding motif protein 26 [Source:MGI Symbol;Acc:MGI:1921463] MVSKMIIENFEALKSWLSKTLEPICDADPSALAKYVLALVKKDKSEKELKALCIDQLDVF LQKETQIFVEKLFDAVNTKSYLPPPEQPSSGSLKVDFLQHQEKDIKKEELTKEEEREKKF SRRLNHSPPQSSSRYRDNRSRDERKKDDRSRKRDYDRNPPRRDSYRDRYNRRRGRSRSYS RSRSRSWSKERLRDRDRDRSRTRSRSRTRSRERDLVKPKYDLDRTDPLENNYTPVSSVSN ISSGHYPVPTLSSTITVIAPTHHGNNTTESWSEFHEDQVDHNSYVRPPMPKKRCRDYDEK GFCMRGDMCPFDHGSDPVVVEDVNLPGMLPFPAQPPVVEGPPPPGLPPPPPILTPPPVNL RPPVPPPGPLPPSLPPVTGPPPPLPPLQPSGMDAPPNSATSSVPTVVTTGIHHQPPPAPP SLFTAVFVLPDTYDTDGYNPEAPSITNTSRPMYRHRVHAQRPNLIGLTSGDMDLPPREKP PNKSSMRIVVDSESRKRTIGSGEPGVSTKKTWFDKPNFNRTNSPGFQKKVQFGNENTKLE LRKVPPELNNISKLNEHFSRFGTLVNLQVAYNGDPEGALIQFATYEEAKKAISSTEAVLN NRFIKVYWHREGTTQQLQTTSPKVIQPLVQQPILPVVKQSVKERLGPVPSATTEPAEAQS ATSELPQNVTKLSVKDRLGFVSKPSVSATEKVLSTSTGLTKTVYNPAALKAAQKTLSVST PAVDNNEAQKKKQEALKLQQDVRKRKQEILEKHIETQKMLISKLEKNKTMKSEDKAEIMK TLEILTKNITKLKDEVKSTSPGRCLPKSIKTKTQMQKELLDTELDLYKKMQAGEEVTELR RKYTELQLEAAKRGILSSGRGRGIHTRGRGTAHGRGRGRGRGRGVPGHAVVDHRPRALEI SAFTESDREDLLPHFAQYGEIEDCQIDDASLHAIITFKTRAEAEAAAIHGARFKGQDLKL AWNKPIANMSAVDTEEAEPDEEEVGFFFFSLVFPSLFIVLL >ENSMUSP00000087633.5 pep:known chromosome:GRCm38:3:138217760:138233382:1 gene:ENSMUSG00000055301.8 transcript:ENSMUST00000090171.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adh7 description:alcohol dehydrogenase 7 (class IV), mu or sigma polypeptide [Source:MGI Symbol;Acc:MGI:87926] MGTAGKVIKCKAAVLWGVNQPFSIEEIEVAPPKAKEVRVKILATGICRTDDHIIKGSMVS KFPVIVGHEAVGVVESVGEGVTTVRPGDKVIPLFLPQCRECNACLNPEGNLCIRSDLTGR GVLADGTTRFTCKGKPVQHFMNTSTFTEYTVLDESSVAKVDGAAPPEKACLIGCGFSTGY GAAVKTAKVTPGSTCVVFGLGGVGLSVIMGCKAAGASRIIGIDINKDKFQKALAVGATEC ISPKDSTKPISEVLSDMTGNTIQYTFEVIGRLETMVDALSSCHMNYGTSVVVGAPPSAKM LTYDPMLLFTGRTWKGCVFGGWKSRDDVPKLVTEFLEKKFDLDQLITHTLPFNNINEGFE LLYSGKSIRTVLTF >ENSMUSP00000112725.1 pep:known chromosome:GRCm38:1:93512079:93621976:1 gene:ENSMUSG00000034066.13 transcript:ENSMUST00000120301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Farp2 description:FERM, RhoGEF and pleckstrin domain protein 2 [Source:MGI Symbol;Acc:MGI:2385126] MGEIEGTYRALPTSGTRLGGQTAIGVSTLEPEQSLSPRMQEKHMRIRVKLLDSTVELFDI EPKCDGQVLLTQVWKHLNLIECDYFGLEFKNVQSYWIWLEPMKPIIRQVRKPKNAVLRLA VKFFPPDPGQLQEEYTRYLFALQLKRDLLEERLTCTANTAALLISHLLQSEIGDYDETLD REHLKANEYLPNQEKSLEKILDFHQRHTGQTPAESDFQVLEIARKLEMYGIRFHMASDRE GTKINLAVSHMGVLVFQGTTKINTFNWSKVRKLSFKRKRFLIKLHPEVHGPYQDTLEFLL GSRDECKNFWKICVEYHTFFRLSDQPKPKAKAVFFSRGSSFRYSGRTQKQLVDYVKDGGM KRIPYERRHSKTRTSLHALTVDLPKQSVSFTDGLRTSASLSSANVSFYPPPSSSLSPPGL PNLKDSSSSLVDPQAPVIKSTAAERSSGPSSSDGPSTQSAHLPGPPVLRPGPGFSMDSPQ PSPSSLKSHLSLCPELQAALSTAEQGASPVLSPVLSGAGTARMDNQEEQKHKHMPEDEAY FIAKEILATERTYLKDLEVITVWFRSVLIKEEAMPAALMALLFSNIDPVYEFHRGFLHEV EQRLALWEGPSSAHLKGDHQRIGDILLRNMRQLKEFTSYFQRHDEVLTELEKATKHCKKL EAVYKEFELQKVCYLPLNTFLLKPVQRLVHYRLLLSRLCAHYSPGHRDYADCHEALKAIT EVTTELQQSLTRLENLQKLTELQRDLVGVENLIAPGREFIREGCLHKLTKKGLQQRMFFL FSDMLLYTSKSVTGASHFRIRGFLPLRGMLVEESENEWSVPHCFTIYAAQKTIVVAASTR LEKEKWMQDLNAAIQAAKTIGDSPPVLLGGPVYTRTPRSSDEVSLEESEDGRGNRGSLEG NSQHRANTTMHVCWYRNTSVSRADHSAAVENQLSGYLLRKFKNSNGWQKLWVVFTNFCLF FYKTHQDDYPLASLPLLGYSVSLPREADSIHKDYVFKLQFKSHVYFFRAESKYTFERWMD VIKRASSSPGRPPSFTQDCSHHSPGLEAEIREKEACPSPCLDKNL >ENSMUSP00000113790.1 pep:known chromosome:GRCm38:1:93512112:93581296:1 gene:ENSMUSG00000034066.13 transcript:ENSMUST00000122402.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Farp2 description:FERM, RhoGEF and pleckstrin domain protein 2 [Source:MGI Symbol;Acc:MGI:2385126] MGEIEGTYRALPTSGTRLGGQTAIGVSTLEPEQSLSPRMQEKHMRIRVKLLDSTVELFDI EPKCDGQVLLTQVWKHLNLIECDYFGLEFKNVQSYWIWLEPMKPIIRQVRKPKNAVLRLA VKFFPPDPGQLQEEYTRYLFALQLKRDLLEERLTCTANTAALLISHLLQSEIGDYDETLD REHLKANEYLPNQEKSLEKILDFHQRHTGQTPAESDFQVLEIARKLEMYGIRFHMASDRE GTKINLAVSHMGVLVFQGTTKINTFNWSKVRKLSFKRKRFLIKLHPEVHGPYQDTLEFLL GSRDECKNFWKICVEYHTFFRLSDQPKPKAKAVFFSRGSSFRYSGRTQKQLVDYVKDGGM KRIPYERRHSKTRTSLHALTVDLPKQSVSFTDGLRTSASLSSANVSFYPPPSSSLSPPGL PNLKDSSSSLVDPQAPVIKSTAAERSSGPSSSDGPSTQSAHLPGPPVLRPGPGAGFCGVN SHGSACPRGQSLNAVDISKGRTSRGLKAVRRKDSSEDEFSAGSFLPSGEYQGGQQCLRGV PVFTLTNAQLQVSEEFIDDDPADISFFAGGSEAFSFPYSSLVLQESLLPVQSTGSPALCG AESAQSSPDRYSTEAVDMSVEDDFEFGEGSDFNGNVHPSDTSELFEVKAQASLMQSLLSP SETSSLRNNQSENSSLNNMPLHGGFSLHTSSSANQSEASSMVNFPACSVRSESSSAFQFS DIIDQLEQLSYPPTTAEGSSSTDSDSWDSEAEAPLDMNLFFSNPFAQSSGERVPFSFQPN LKSSTPGKQIDKNPS >ENSMUSP00000029257.8 pep:known chromosome:GRCm38:3:35754134:35856276:1 gene:ENSMUSG00000037400.16 transcript:ENSMUST00000029257.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11b description:ATPase, class VI, type 11B [Source:MGI Symbol;Acc:MGI:1923545] MWRWVRQQLGFDPPHQSDTRTIYIANRFPQNGLYTPQKFIDNRIISSKYTIWNFVPKNLF EQFRRVANFYFLIIFLVQLMIDTPTSPITSGLPLFFVITVTAIKQGYEDWLRHNSDNEVN GAPVYVVRSGGLVKTRSKNIRVGDIVRIAKDEIFPADLVLLSSDRLDGSCHVTTASLDGE TNLKTHVSVPETAVLQTVANLDSLIAVIECQQPEADLYRFMGRMIITQQMEEIVRPLGPE SLLLRGARLKNTKEIFGVAVYTGMETKMALNYKSKSQKRSAVEKSMNTFLIIYLIILISE AIISTILKYTWQAEEKWDEPWYNQKTEHQRNSSKILRFISDFLAFLVLYNFIIPISLYVT VEMQKFLGSFFIGWDLDLYHEESDQKAQVNTSDLNEELGQVEYVFTDKTGTLTENEMQFR ECSINGLKYQEINGKLVPEGPSPDSTEGEVPFLGSLSHLSNSAHLTATSLRTSPESETEL IKEHDLFFKAVSLCHTVQISNVQTDGIGDGPWQPNLAPAQLEYYASSPDEKALVEAAARA GIIFVGISEETMEVKVLGRLERYKLLHILEFDSDRRRMSVIVQAPSGEKLLFAKGAESSI LPKCIGGEIAKTRIHVDEFALKGLRTLCIAYRQFTAKEYEDVDRRLFEARTALQHREEKL ADAFQYIEKDLILLGATAVEDRLQDKVRETIEALRMAGIKVWVLTGDKHETAVSVSLSCG HFHRTMNILELINQKSDSGCAEQLRQLARRITEDHVIQHGLVVDGTSLSLALREHEKLFM EVCRNCSAVLCCRMAPLQKAKVIRLIKISPEKPITLAVGDGANDVSMIQEAHVGIGIMGK EGRQAARNSDYAIARFKFLSKLLFVHGHFYYIRIATLVQYFFYKNVCFITPQFLYQFYCL FSQQTLYDSVYLTLYNICFTSLPVLIYSLVEQHIDPHVLQSKPTLYRDISKNGLLSIKAF LYWTVLGFSHAFIFFFGSYFLVGKDTSLLGNGQMFGNWTFGTLVFTVMVITVTVKMALET HFWTWINHLVTWGSIIFYFIFSLFYGGILWPFLGSQNMYFVFIQLLSSGSAWFAILLMVV TCLFIDVVKKVFDRQLHPTSTEKAQLAEAHSSVKCLDSVCCFPGETPCASVGRMLERVIG RCSPNHISRLWNASDPFYTNDRSILTLSPMDSSTC >ENSMUSP00000142724.1 pep:known chromosome:GRCm38:3:35788115:35806968:1 gene:ENSMUSG00000037400.16 transcript:ENSMUST00000199892.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11b description:ATPase, class VI, type 11B [Source:MGI Symbol;Acc:MGI:1923545] XDNEVNGAPVYVVRSGGLVKTRSKNIRVGDIVRIAKDEIFPADLVLLSSDRLDGSCHVTT ASLDGETNLKTHVSVPETAVLQTVANLDSLIAVIECQQPEADLYRFMGRMIITQQMEEIV RPLGPESLLLRGARLKNTKEIFGVAVYTGMETKMALNYKSKSQKRSAVEKSMNTFLIIYL IILISEAIISTILKYTWQAEEKWDEPWYNQKTEHQRNSIEMQKFLGSFFIGWDLDLYHEE SDQKAQVNTSDLNEELGQV >ENSMUSP00000142676.1 pep:known chromosome:GRCm38:3:35789606:35856274:1 gene:ENSMUSG00000037400.16 transcript:ENSMUST00000198599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11b description:ATPase, class VI, type 11B [Source:MGI Symbol;Acc:MGI:1923545] LDSLIAVIECQQPEADLYRFMGRMIITQQMEEIVRPLGPESLLLRGARLKNTKEIFGVAV YTGMETKMALNYKSKSQKRSAVEKSMNTFLIIYLIILISEAIISTILKYTWQAEEKWDEP WYNQKTEHQRNSSKILRFISDFLAFLVLYNFIIPISLYVTVEMQKFLGSFFIGWDLDLYH EESDQKAQVNTSDLNEELGQVEYVFTDKTGTLTENEMQFRECSINGLKYQEINGKLVPEG PSPDSTEGEVPFLGSLSHLSNSAHLTATSLRTSPESETELIKEHDLFFKAVSLCHTVQIS NVQTDGIGDGPWQPNLAPAQLEYYASSPDEKALVEAAARAGIIFVGISEETMEVKVLGRL ERYKLLHILEFDSDRRRMSVIVQAPSGEKLLFAKGAESSILPKCIGGEIAKTRIHVDEFA LKGLRTLCIAYRQFTAKEYEDVDRRLFEARTALQHREEKLADAFQYIEKDLILLGATAVE DRLQDKVRETIEALRMAGIKVWVLTGDKHETAVSVSLSCGHFHRTMNILELINQKSDSGC AEQLRQLARRITEDHVIQHGLVVDGTSLSLALREHEKLFMEVCRNCSAVLCCRMAPLQKA KVIRLIKISPEKPITLAVGDGANDVSMIQEAHVGIGIMGKEGRQAARNSDYAIARFKFLS KLLFVHGHFYYIRIATLVQYFFYKNVCFITPQFLYQFYCLFSQQTLYDSVYLTLYNICFT SLPVLIYSLVEQHIDPHVLQSKPTLYRDISKNGLLSIKAFLYWTVLGFSHAFIFFFGSYF LVGKDTSLLGNGQMFGNWTFGTLVFTVMVITVTVKMALETHFWTWINHLVTWGSIIFYFI FSLFYGGILWPFLGSQNMYFVFIQLLSSGSAWFAILLMVVTCLFIDVVKKVFDRQLHPTS TEKAQMYSNTVALSDEFIALQPLSRARNQLGKLSLLKLIPVSSAWTPCAVSQERPRVRLL EECWSES >ENSMUSP00000142988.1 pep:known chromosome:GRCm38:3:35839054:35856276:1 gene:ENSMUSG00000037400.16 transcript:ENSMUST00000197764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11b description:ATPase, class VI, type 11B [Source:MGI Symbol;Acc:MGI:1923545] XLGSQNMYFVFIQLLSSGSAWFAILLMVVTCLFIDVVKKVFDRQLHPTSTEKAQLAEAHS SVKCLDSVCCFPGETPCASVGRMLERVIGRCSPNHISRLWNASDPFYTNDRSILTLSPMD SSTC >ENSMUSP00000066345.6 pep:known chromosome:GRCm38:8:105427640:105464084:1 gene:ENSMUSG00000054320.14 transcript:ENSMUST00000067305.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc36 description:leucine rich repeat containing 36 [Source:MGI Symbol;Acc:MGI:2448585] MLTPWLSPPDDRAVRDSERRAAKLHFSQLGNSENFLLEVEKSSREKTMKNCVTDEGPASH VSPEVDARMETDANKGLFIPFPNREIKDSLTSICAAQGSGTPAQKLDVFPLGTQMQEATR RETSDLHQEDELRLYPPPQSTVRSPEKMTRDGYRVSFLDTKSSGSSPEKDLIPKPDAYPC THDASLGKRLDVGDSNQILPCQLPSEVCLDHYGNQYSQTLCLHGSLVKRAQKGKNYREHS IKPSQDKKATTSHPCGDLLTSLSNPDSSTGRLLRLSSDLYATTHFNSDPALLANVEQQLS SLRDFTPAPGSFPSSPALGNSLRTLLLPPGTPENREIPTKRSLSPSRRGFKRKDGILANP SLKRGFQDATGSEAQPLSSDLGSLHGLSGNHSPPISARTPHVATVLRQLLELVDKHWNGS GSLLLDKKFLGPARDLLLSLVVPAPSQQWRRSKLDDKAGKALCWRETELKEAGLLVPNDV ESLKQKLVKVLEENLVLSEKIQQLEGTAATSIVSGHPSHTHDELLRKNQQLTIQVACLTQ ELTQLKRLEETVALLHESQRSLVVTNEYLLQQLHKEQKGYSGKSLLPPEKSHPLGRSSPF GKSTLSSSSPMVHDTGQYLIQSVSEADPEPSLWS >ENSMUSP00000104979.2 pep:known chromosome:GRCm38:8:105413622:105464086:1 gene:ENSMUSG00000054320.14 transcript:ENSMUST00000109355.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc36 description:leucine rich repeat containing 36 [Source:MGI Symbol;Acc:MGI:2448585] MAEQWDLDEECLRRLGALTLEQPELVESLSLQGSYAGKIHSIGDAFRNFKSLRSLDLSRN LITSLKGIQYLCSLQELNLYYNNIPSLVEVSRLQPLPFLKELDLRLNPVVRKDTDYRLFA VYTLQTLEKLDDRAVRDSERRAAKLHFSQLGNSENFLLEVEKSSREKTMKNCVTDEGPAS HVSPEVDARMETDANKGLFIPFPNREIKDSLTSICAAQGSGTPAQKLDVFPLGTQMQEAT RRETSDLHQEDGLELRLYPPPQSTVRSPEKMTRDGYRVSFLDTKSSGSSPEKDLIPKPDA YPCTHDASLGKRLDVGDSNQILPCQLPSEVCLDHYGNQYSQTLCLHGSLVKRAQKGKNYR EHSIKPSQDKKATTSHPCGDLLTSLSNPDSSTGRLLRLSSDLYATTHFNSDPALLANVEQ QLSSLRDFTPAPGSFPSSPALGNSLRTLLLPPGTPENREIPTKRSLSPSRRGFKRKDGIL ANPSLKRGFQDATGSEAQPLSSDLGSLHGLSGNHSPPISARTPHVATVLRQLLELVDKHW NGSGSLLLDKKFLGPARDLLLSLVVPAPSQQWRRSKLDDKAGKALCWRETELKEAGLLVP NDVESLKQKLVKVLEENLVLSEKIQQLEGTAATSIVSGHPSHTHDELLRKNQQLTIQVAC LTQELTQLKRLEETVALLHESQRSLVVTNEYLLQQLHKEQKGYSGKSLLPPEKSHPLGRS SPFGKSTLSSSSPMVHDTGQYLIQSVSEADPEPSLWS >ENSMUSP00000108181.1 pep:known chromosome:GRCm38:X:154924012:154935987:-1 gene:ENSMUSG00000063728.10 transcript:ENSMUST00000112562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea6 description:melanoma antigen, family A, 6 [Source:MGI Symbol;Acc:MGI:1333837] MADFHNTQYCNLEESAQAQQESDNDQETMETSEEEEDTTTSNKVYGSAIPSPPQSPQRAY SPCVALASIPDSPSEEASIKGSGGLEDPLYLLHSAQNTKVYDLVDFLVLNYEMKAFPTKA EMLESIGREYEEYFPVIFSEASECLKMVFGLDMVEVDPSVHSYMLVTALGITYDGMMTDV QGMPKTGILIAVLSVIFMKGNYVSEEVIWEMLNNIGLCGGRDPYIHKDPRKLISEEFVQE GYLEYRQVPNSDPPIYGFLWGPRALAETSKMKVLQFFASINKTHPRAYPEKYAEALRDEI ERTKAWILSRCSNFSDHSSVLPFPF >ENSMUSP00000076250.3 pep:known chromosome:GRCm38:X:154924012:154926115:-1 gene:ENSMUSG00000063728.10 transcript:ENSMUST00000076986.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea6 description:melanoma antigen, family A, 6 [Source:MGI Symbol;Acc:MGI:1333837] MADFHNTQYCNLEESAQAQQESDNDQETMETSEEEEDTTTSNKVYGSAIPSPPQSPQRAY SPCVALASIPDSPSEEASIKGSGGLEDPLYLLHSAQNTKVYDLVDFLVLNYEMKAFPTKA EMLESIGREYEEYFPVIFSEASECLKMVFGLDMVEVDPSVHSYMLVTALGITYDGMMTDV QGMPKTGILIAVLSVIFMKGNYVSEEVIWEMLNNIGLCGGRDPYIHKDPRKLISEEFVQE GYLEYRQVPNSDPPIYGFLWGPRALAETSKMKVLQFFASINKTHPRAYPEKYAEALRDEI ERTKAWILSRCSNFSDHSSVLPFPF >ENSMUSP00000028835.6 pep:known chromosome:GRCm38:2:132846666:132866785:1 gene:ENSMUSG00000027357.16 transcript:ENSMUST00000028835.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crls1 description:cardiolipin synthase 1 [Source:MGI Symbol;Acc:MGI:1913836] MLAWRVARGAWGPLRVALRPPGARLGRGGSRRALLPPAACCLGCLAERWRLRPAAFALRL PGAGPRTHCSGAGKAAPEPAAGGGGAAAQAPSARWVPASAASSYENPWTIPNLLSMTRIG LAPVLGYLILEEDFNVALGVFALAGLTDLLDGFIARNWANQKSALGSALDPLADKVLISI LYISLTYADLIPVPLTYMIISRDVMLIAAVFYVRYRTLPTPRTLAKYFNPCYATARLKPT FISKVNTAVQLILVAASLAAPVFNYADSIYLQILWCCTAFTTAASAYSYYHYGRKTVQVI KGK >ENSMUSP00000105749.3 pep:known chromosome:GRCm38:2:132846676:132864135:1 gene:ENSMUSG00000027357.16 transcript:ENSMUST00000110122.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crls1 description:cardiolipin synthase 1 [Source:MGI Symbol;Acc:MGI:1913836] MLAWRVARGAWGPLRVALRPPGARLGRGGSRRALLPPAACCLGCLAERWRLRPAAFALRL PGAGPRTHCSGAGKAAPEPAAGGGGAAAQAPSARWVPASAASSYENPWTIPNLLSMTRIG LAPVLGYLILEEDFNVALGVFALAGLTDLRTLAKYFNPCYATARLKPTFISKVNTAVQLI LVAASLA >ENSMUSP00000129509.1 pep:known chromosome:GRCm38:2:132846688:132866785:1 gene:ENSMUSG00000027357.16 transcript:ENSMUST00000124834.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crls1 description:cardiolipin synthase 1 [Source:MGI Symbol;Acc:MGI:1913836] MLAWRVARGAWGPLRVALRPPGARLGRGGSRRALLPPAACCLGCLAERWRLRPAAFALRL PGAGPRTHCSGAGKAAPEPAAGGGGAAAQAPSARWVPASAASSYENPWTIPNLLSMTRIG LAPVLGYLILEEDFNVALGVFALAGLTDLSHSLT >ENSMUSP00000132682.1 pep:known chromosome:GRCm38:2:132847734:132866441:1 gene:ENSMUSG00000027357.16 transcript:ENSMUST00000124836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crls1 description:cardiolipin synthase 1 [Source:MGI Symbol;Acc:MGI:1913836] MTRIGLAPVLGYLILEEDFNVALGVFALAGLTDLLDGFIARNWANQKSALGSALDPLADK VLISILYISLTYADLIPVPLTYMIISRDVMLIAAVFYVRYRTLPTPRTLAKYFNPCYATA RLKPTFISKVNTAVQLILVAASLAAPVFNYADSIYLQILWCCTAFTTAASAYSYYHYGRK TVQVIKGK >ENSMUSP00000129137.1 pep:known chromosome:GRCm38:2:132847738:132865887:1 gene:ENSMUSG00000027357.16 transcript:ENSMUST00000154160.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crls1 description:cardiolipin synthase 1 [Source:MGI Symbol;Acc:MGI:1913836] MPQYENPWTIPNLLSMTRIGLAPVLGYLILEEDFNVALGVFALAGLTDLLDGFIARNWAN QKSALGSALDPLADKVLISILYISLTYADLIPVPLTYMIISRDVMLIAAVFYVRYRTLPT PRTLAKYFNPCYATARLKPTFISKVNTAVQLILVAASLAAPVFNYADSIYLQILWCCTAF TTAASAYSYYH >ENSMUSP00000145513.1 pep:known chromosome:GRCm38:6:83867109:83929122:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000204415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] MPGAGVPAAASSPKSGASGSSQVSDSLRRFVLFLENFAPLVNSLSLGIASPLLLGPSPLR FAQIETQLALQQLNAVASHGSTPPYTFLNQAFLKVAMSRPRFNPRGTFPLQRPRAPNPPG MRPPGPFVRPGSMGLPRFYPAGRARGIPHRFPGHGSYQNMGPQRMNVQVTQHRTDPRLTK EKLDF >ENSMUSP00000145241.1 pep:known chromosome:GRCm38:6:83867110:83943021:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000203273.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] MPGAGVPAAASSPKSGASGSSQVSDSLRRTGFSIKIPPPTLRAVGS >ENSMUSP00000144989.1 pep:known chromosome:GRCm38:6:83914367:83989550:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000204751.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] MSRPRFNPRGTFPLQRPRAPNPPGMRPPGPFVRPGSMGLPRFYPAGRARGIPHRFPGHGS YQNMGPQRMNVQVTQHRTDPRLTKEKLDFPEAQQKKGKPHGSRWDDESHITPPVEVKQSS VTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMR KMSRRLPNLPSHSRNKETLSNETVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVKN EFQPQQSISATVSTPNVICNSVFPGGDMFRQMDFPGESSSQSFFPVESGTKMSGLHISGQ SVLEPVKSISQSISQTVSQTTSQSLNPPSMNQVPFTFELDAVLRQQERISQKSVISSADA HGGPTESKKDYQSEADLPIRSPFGIVKASWLPKFTQAGAQKMKRLPTPSMMNDYYAASPR IFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNESNRKENE TPRRRSHSPSPRHSRRSSSGHRIRRSRSPVRYIYRPRSRSPRICHRFISKYRSRSRSRSR SRSPYRSRNLLRRSPKSYRSASPERTSRKSVRSDRKKALEDGGQRSVHGTEVTKQKHTET VDKGLSPAQKPKLASGTKPSAKSLSSVKSDSHLGAYSAHKSENLEDDTLPEGKQESGKSA LAQRKPQKDQSLSSNSILLVSELPEDGFTEEDIRKAFLPFGKISDVLLVPCRNEAYLEME LRKAVTSIMKYIETMPLVIKGKSVKVCVPGKKKPQNKEMKKKPSDIKKSSASALKKETDA SKTMETVSSSSSAKSGQIKSSTVKVNKCAGKSAGSVKSVVTVAAKGKASIKTAKSGKKSL EAKKSGNIKNKDSNKPVTVPANSEIKASSEDKATGKSAEESPSGTLEATEKEPVNKESEE MSVVFISNLPNKGYSTEEIYNLAKPFGALKDILVLSSHKKAYIEINKKSADSMVKFYTCF PISMDGNQLSISMAPEHVDLKDEEALFTTLIQENDPEANIDKIYNRFVHLDNLPEDGLQC VLCVGHQFGKVDRYMFMSNKNKVILQLESPESALSMYNFLKQNPQNIGEHVLTCTLSPKT DSEVQRKNDLELGKGSTFSPDLKNSPVDESEVQTAADSSSVKPSEVEEETTSNIGTETSV HQEELGKEEPKQALCESDFAIQTLELEAQGAEVSIEIPLVASTPANIELFSENIDESALN QQMYTSDFEKEEAEVTNPETELAVSDSVFIEERNIKGIIEDSPSETEDIFSGIVQPMVDA IAEVDKHETVSEVLPSACNVTQAPGSYIEDEKVVSKKDIAEKVILDEKEEDEFNVKETRM DLQVKTEKAEKNEAIIFKEKLEKIIAAIREKPIESSVIKADPTKGVDQTSKPDETGKSSV LTVSNVYSSKSSIKATVVSSPKAKSTPSKTESHSTFPKPVLREQIKAEKKVSAKEFGLLK NTRSGLAESNSKSKPTQIGVNRGCSGRISALQCKDSKVDYKDITKQSQETETKPPIMKRD DSNNKALALQNTKNSKSTTDRSSKSKEEPLFTFNLDEFVTVDEVIEEVNPSQAKQNPLKG KRKEALKISPSPELNLKKKKGKTSVPHSVEGELSFVTLDEIGEEEDATVQALVTVDEVID EEELNMEEMVKNSNSLLTLDELIDQDDCIPHSGPKDVTVLSMAEEQDLQQERLVTVDEIG EVEESADITFATLNAKRDKRDSIGFISSQMPEDPSTLVTVDEIQDDSSDFHLMTLDEVTE EDENSLADFNNLKEELNFVTVDEVGDEEDGDNDSKVELARGKIEHHTDKKGNRKRRAVDP KKSKLDSFSQVGPGSETVTQKDLKTMPERHLAVDDAELKDSEPDEKRRKTQDSSVGKSMT SDVPGDLDFLVPKAGFFCPICSLFYSGEKAMANHCKSTRHKQNTEKFMAKQRKEKEQNET EERSSR >ENSMUSP00000145170.1 pep:known chromosome:GRCm38:6:83914373:83956762:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000204202.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] MSRPRFNPRGTFPLQRPRAPNPPGMRPPGPFVRPGSMGLPRFYPAGRARGIPHRFPGHGS YQNMGPQRMNVQVTQHRTDPRLTKEKLDFPEAQQKKGKPHGSRWDDESHITPPVEVKQSS VTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMR KMSRRLPNLPSHSRNKETLSNETVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVKN EFQPQQSISATVSTPNVICNSVFPGGDMFRQMDFPGESSSQSFFPVESGTKMSGLHISGQ SVLEPVKSISQSISQTVSQTTSQSLNPPSMNQVPFTFELDAVLRQQERISQKSVISSADA HGGPTESKKDYQSEADLPIRSPFGIVKASWLPKFTQAGAQKMKRLPTPSMMNDYYAASPR IFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNESNRKENE TPRRRSHSPSPRHSRRSSSGHRIRRSRSPVRYIYRPRSRSPRICHRFISKYRSRSRSRSR SRSPYRSRNLLRRSPKSYRSASPERTSRKSVRSDRKKALEDGGQRSVHGTEVTKQKHTET VDKGLSPAQKPKLASGTKPSAKSLSSVKSDSHLGAYSAHKSENLEDDTLPEGKQESGKSA LAQRKPQKDQSLSSNSILLVSELPEDGFTEEDIRKAFLPFGKISDVLLVPCRNEAYLEME LRKAVTSIMKYIETMPLVIKGKSVKVCVPGKKKPQNKEMKKKPSDIKKSSASALKKETDA SKTMETVSSSSSAKSGQIKSSTVKVNKCAGKSAGSVKSVVTVAAKGKASIKT >ENSMUSP00000145047.1 pep:known chromosome:GRCm38:6:83914374:83965534:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000203455.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] MSRPRFNPRGTFPLQRPRAPNPPGMRPPGPFVRPGSMGLPRFYPAGRARGIPHRFPGHGS YQNMGPQRMNVQVTQHRTDPRLTKEKLDFPEAQQKKGKPHGSRWDDESHITPPVEVKQSS VTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMR KMSRRLPNLPSHSRNKETLSNETVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVKN EFQPQQSISATVSTPNVICNSVFPGGDMFRQMDFPGESSSQSFFPVESGTKMSGLHISGQ SVLEPVKSISQSISQTVSQTTSQSLNPPSMNQVPFTFELDAVLRQQERISQKSVISSADA HGGPTESKKDYQSEADLPIRSPFGIVKASWLPKFTQAGAQKMKRLPTPSMMNDYYAASPR IFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNESNRKENE TPRRRSHSPSPRHSRRSSSGHRIRRSRSPVRYIYRPRSRSPRICHRFISKYRSRSRSRSR SRSPYRSRNLLRRSPKSYRSASPERTSRKSVRSDRKKALEDGGQRSVHGTEVTKQKHTET VDKGLSPAQKPKLASGTKPSAKSLSSVKSDSHLGAYSAHKSENLEDDTLPEGKQESGKSA LAQRKPQKDQSLSSNSILLVSELPEDGFTEEDIRKAFLPFGKISDVLLVPCRNEAYLEME LRKAVTSIMKYIETMPLVIKGKSVKVCVPGKKKPQNKEMKKKPSDIKKSSASALKKETDA SKTMETVSSSSSAKSGQIKSSTVKVNKCAANSEIKASSEDKATGKSAEESPSGTLEATEK EPVNKESEEMSVVFISNLPNKGYSTEEIYNLAKPFGALKDILVLSSHKKAY >ENSMUSP00000109466.3 pep:known chromosome:GRCm38:6:83914390:83986871:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000113835.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] MSRPRFNPRGTFPLQRPRAPNPPGMRPPGPFVRPGSMGLPRFYPAGRARGIPHRFPGHGS YQNMGPQRMNVQVTQHRTDPRLTKEKLDFPEAQQKKGKPHGSRWDDESHITPPVEVKQSS VTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMR KMSRRLPNLPSHSRNKETLSNETVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVKN EFQPQQSISATVSTPNVICNSVFPGGDMFRQMDFPGESSSQSFFPVESGTKMSGLHISGQ SVLEPVKSISQSISQTVSQTTSQSLNPPSMNQVPFTFELDAVLRQQERISQKSVISSADA HGGPTESKKDYQSEADLPIRSPFGIVKASWLPKFTQAGAQKMKRLPTPSMMNDYYAASPR IFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNESNRKENE TPRRRSHSPSPRHSRRSSSGHRIRRSRSPVRYIYRPRSRSPRICHRFISKYRSRSRSRSR SRSPYRSRNLLRRSPKSYRSASPERTSRKSVRSDRKKALEDGGQRSVHGTEVTKQKHTET VDKGLSPAQKPKLASGTKPSAKSLSSVKSDSHLGAYSAHKSENLEDDTLPEGKQESGKSA LAQRKPQKDQSLSSNSILLVSELPEDGFTEEDIRKAFLPFGKISDVLLVPCRNEAYLEME LRKAVTSIMKYIETMPLVIKGKSVKVCVPGKKKPQNKEMKKKPSDIKKSSASALKKETDA SKTMETVSSSSSAKSGQIKSSTVKVNKCAAKSGKKSLEAKKSGNIKNKDSNKPVTVPANS EIKASSEDKATGKSAEESPSGTLEATEKEPVNKESEEMSVVFISNLPNKGYSTEEIYNLA KPFGALKDILVLSSHKKAYIEINKKSADSMVKFYTCFPISMDGNQLSISMAPEHVDLKDE EALFTTLIQENDPEANIDKIYNRFVHLDNLPEDGLQCVLCVGHQFGKVDRYMFMSNKNKV ILQLESPESALSMYNFLKQNPQNIGEHVLTCTLSPKTDSEVQRKNDLELGKGSTFSPDLK NSPVDESEVQTAADSSSVKPSEVEEETTSNIGTETSVHQEELGPGSETVTQKDLKTMPER HLAAKTPMKRVRIGKSSPSQKVAEPTKGEEAFQMSEGVDDAELKDSEPDEKRRKTQDSSV GKSMTSDVPGDLDFLVPKAGFFCPICSLFYSGEKAMANHCKSTRHKQNTEKFMAKQRKEK EQNETEERSSR >ENSMUSP00000032088.8 pep:known chromosome:GRCm38:6:83914392:83986649:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000032088.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] MSRPRFNPRGTFPLQRPRAPNPPGMRPPGPFVRPGSMGLPRFYPAGRARGIPHRFPGHGS YQNMGPQRMNVQVTQHRTDPRLTKEKLDFPEAQQKKGKPHGSRWDDESHITPPVEVKQSS VTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMR KMSRRLPNLPSHSRNKETLSNETVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVKN EFQPQQSISATVSTPNVICNSVFPGGDMFRQMDFPGESSSQSFFPVESGTKMSGLHISGQ SVLEPVKSISQSISQTVSQTTSQSLNPPSMNQVPFTFELDAVLRQQERISQKSVISSADA HGGPTESKKDYQSEADLPIRSPFGIVKASWLPKFTQAGAQKMKRLPTPSMMNDYYAASPR IFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNESNRKENE TPRRRSHSPSPRHSRRSSSGHRIRRSRSPVRYIYRPRSRSPRICHRFISKYRSRSRSRSR SRSPYRSRNLLRRSPKSYRSASPERTSRKSVRSDRKKALEDGGQRSVHGTEVTKQKHTET VDKGLSPAQKPKLASGTKPSAKSLSSVKSDSHLGAYSAHKSENLEDDTLPEGKQESGKSA LAQRKPQKDQSLSSNSILLVSELPEDGFTEEDIRKAFLPFGKISDVLLVPCRNEAYLEME LRKAVTSIMKYIETMPLVIKGKSVKVCVPGKKKPQNKEMKKKPSDIKKSSASALKKETDA SKTMETVSSSSSAKSGQIKSSTVKVNKCAGKSAGSVKSVVTVAAKGKASIKTAKSGKKSL EAKKSGNIKNKDSNKPVTVPANSEIKASSEDKATGKSAEESPSGTLEATEKEPVNKESEE MSVVFISNLPNKGYSTEEIYNLAKPFGALKDILVLSSHKKAYIEINKKSADSMVKFYTCF PISMDGNQLSISMAPEHVDLKDEEALFTTLIQENDPEANIDKIYNRFVHLDNLPEDGLQC VLCVGHQFGKVDRYMFMSNKNKVILQLESPESALSMYNFLKQNPQNIGEHVLTCTLSPKT DSEVQRKNDLELGKGSTFSPDLKNSPVDESEVQTAADSSSVKPSEVEEETTSNIGTETSV HQEELGKEEPKQALCESDFAIQTLELEAQGAEVSIEIPLVASTPANIELFSENIDESALN QQMYTSDFEKEEAEVTNPETELAVSDSVFIEERNIKGIIEDSPSETEDIFSGIVQPMVDA IAEVDKHETVSEVLPSACNVTQAPGSYIEDEKVVSKKDIAEKVILDEKEEDEFNVKETRM DLQVKTEKAEKNEAIIFKEKLEKIIAAIREKPIESSVIKADPTKGVDQTSKPDETGKSSV LTVSNVYSSKSSIKATVVSSPKAKSTPSKTESHSTFPKPVLREQIKAEKKVSAKEFGLLK NTRSGLAESNSKSKPTQIGVNRGCSGRISALQCKDSKVDYKDITKQSQETETKPPIMKRD DSNNKALALQNTKNSKSTTDRSSKSKEEPLFTFNLDEFVTVDEVIEEVNPSQAKQNPLKG KRKEALKISPSPELNLKKKKGKTSVPHSVEGELSFVTLDEIGEEEDATVQALVTVDEVID EEELNMEEMVKNSNSLLTLDELIDQDDCIPHSGPKDVTVLSMAEEQDLQQERLVTVDEIG EVEESADITFATLNAKRDKRDSIGFISSQMPEDPSTLVTVDEIQDDSSDFHLMTLDEVTE EDENSLADFNNLKEELNFVTVDEVGDEEDGDNDSKVELARGKIEHHTDKKGNRKRRAVDP KKSKLDSFSQVGPGSETVTQKDLKTMPERHLAAKTPMKRVRIGKSSPSQKVAEPTKGEEA FQMSEGVDDAELKDSEPDEKRRKTQDSSVGKSMTSDVPGDLDFLVPKAGFFCPICSLFYS GEKAMANHCKSTRHKQNTEKFMAKQRKEKEQNETEERSSR >ENSMUSP00000145124.1 pep:known chromosome:GRCm38:6:83928855:83986623:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000203324.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] MSRPRFNPRGTFPLQRPRAPNPPGMRPPGPFVRPGSMGLPRFYPAGRARGIPHRFPGHGS YQNMGPQRMNVQVTQHRTDPRLTKEKLDFPEAQQKKGKPHGSRWDDESHITPPVEVKQSS VTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMR KMSRRLPNLPSHSRNKETLSNETVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVKN EFQPQQSISATVSTPNVICNSVFPGGDMFRQMDFPGESSSQSFFPVESGTKMSGLHISGQ SVLEPVKSISQSISQTVSQTTSQSLNPPSMNQVPFTFELDAVLRQQERISQKSVISSADA HGGPTESKKDYQSEADLPIRSPFGIVKASWLPKFTQAGAQKMKRLPTPSMMNDYYAASPR IFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNESNRKENE TPRRRSHSPSPRHSRRSSSGHRIRRSRSPVRYIYRPRSRSPRICHRFISKYRSRSRSRSR SRSPYRSRNLLRRSPKSYRSASPERTSRKSVRSDRKKALEDGGQRSVHGTEVTKQKHTET VDKGLSPAQKPKLASGTKPSAKSLSSVKSDSHLGAYSAHKSENLEDDTLPEGKQESGKSA LAQRKPQKDQSLSSNSILLVSELPEDGFTEEDIRKAFLPFGKISDVLLVPCRNEAYLEME LRKAVTSIMKYIETMPLVIKGKSVKVCVPGKKKPQNKEMKKKPSDIKKSSASALKKETDA SKTMETVSSSSSAKSGQIKSSTVKVNKCAGKSAGSVKSVVTVAAKGKASIKTAKSGKKSL EAKKSGNIKNKDSNKPVTVPANSEIKASSEDKATGKSAEESPSGTLEATEKEPVNKESEE MSVVFISNLPNKGYSTEEIYNLAKPFGALKDILVLSSHKKAYIEINKKSADSMVKFYTCF PISMDGNQLSISMAPEHVDLKDEEALFTTLIQENDPEANIDKIYNRFVHLDNLPEDGLQC VLCVGHQFGKVDRYMFMSNKNKVILQLESPESALSMYNFLKQNPQNIGEHVLTCTLSPKT DSEVQRKNDLELGKGSTFSPDLKNSPVDESEVQTAADSSSVKPSEVEEETTSNIGTETSV HQEELAKTPMKRVRIGKSSPSQKVAEPTKGEEAFQMSEGVDDAELKDSEPDEKRRKTQDS SVGKSMTSDVPGDLDFLVPKAGFFCPICSLFYSGEKAMANHCKSTRHKQNTEKFMAKQRK EKEQNETEERSSR >ENSMUSP00000144939.1 pep:known chromosome:GRCm38:6:83928855:83986871:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000203891.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] MSRPRFNPRGTFPLQRPRAPNPPGMRPPGPFVRPGSMGLPRFYPAGRARGIPHRFPGHGS YQNMGPQRMNVQVTQHRTDPRLTKEKLDFPEAQQKKGKPHGSRWDDESHITPPVEVKQSS VTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMR KMSRRLPNLPSHSRNKETLSNETVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVKN EFQPQQSISATVSTPNVICNSVFPGGDMFRQMDFPGESSSQSFFPVESGTKMSGLHISGQ SVLEPVKSISQSISQTVSQTTSQSLNPPSMNQVPFTFELDAVLRQQERISQKSVISSADA HGGPTESKKDYQSEADLPIRSPFGIVKASWLPKFTQAGAQKMKRLPTPSMMNDYYAASPR IFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNESNRKENE TPRRRSHSPSPRHSRRSSSGHRIRRSRSPVRYIYRPRSRSPRICHRFISKYRSRSRSRSR SRSPYRSRNLLRRSPKSYRSASPERTSRKSVRSDRKKALEDGGQRSVHGTEVTKQKHTET VDKGLSPAQKPKLASGTKPSAKSLSSVKSDSHLGAYSAHKSENLEDDTLPEGKQESGKSA LAQRKPQKDQSLSSNSILLVSELPEDGFTEEDIRKAFLPFGKISDVLLVPCRNEAYLEME LRKAVTSIMKYIETMPLVIKGKSVKVCVPGKKKPQNKEMKKKPSDIKKSSASALKKETDA SKTMETVSSSSSAKSGQIKSSTVKVNKCAGKSAGSVKSVVTVAAKGKASIKTAKSGKKSL EAKKSGNIKNKDSNKPVTVPANSEIKASSEDKATGKSAEESPSGTLEATEKEPVNKESEE MSVVFISNLPNKGYSTEEIYNLAKPFGALKDILVLSSHKKAYIEINKKSADSMVKFYTCF PISMDGNQLSISMAPEHVDLKDEEALFTTLIQENDPEANIDKIYNRFVHLDNLPEDGLQC VLCVGHQFGKVDRYMFMSNKNKVILQLESPESALSMYNFLKQNPQNIGEHVLTCTLSPKT DSEVQRKNDLELGKGSTFSPDLKNSPVDESEVQTAADSSSVKPSEVEEETTSNIGTETSV HQEELVDDAELKDSEPDEKRRKTQDSSVGKSMTSDVPGDLDFLVPKAGFFCPICSLFYSG EKAMANHCKSTRHKQNTEKFMAKQRKEKEQNETEERSSR >ENSMUSP00000144762.1 pep:known chromosome:GRCm38:6:83947898:83969231:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000205166.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] XKSVKVCVPGKKKPQNKEMKKKPSDIKKSSASALKKETDASKTMETVSSSSSAKSGQIKS STVKVNKCAGTLEATEKEPVNKESEEMSVVFISNLPNKGYSTEEIYNLAKPFGALKDILV LSSHKKAYIEINKKSADSMVKFYTCFPISMDGNQLSISMAPEHVDLKDEEALFTTLIQEN DPEANIDKIYNRFVHLDNLPEDGLQCVLCVGHQFGKVDRYMFMSNKNKVILQLESPESAL SMYNFLKQNPQNIGEHVLTCTLSPKT >ENSMUSP00000109467.3 pep:known chromosome:GRCm38:6:83914390:83986869:1 gene:ENSMUSG00000030016.14 transcript:ENSMUST00000113836.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp638 description:zinc finger protein 638 [Source:MGI Symbol;Acc:MGI:1203484] MSRPRFNPRGTFPLQRPRAPNPPGMRPPGPFVRPGSMGLPRFYPAGRARGIPHRFPGHGS YQNMGPQRMNVQVTQHRTDPRLTKEKLDFPEAQQKKGKPHGSRWDDESHITPPVEVKQSS VTQVTEQSPKVQSRYTKESASSILASFGLSNEDLEELSRYPDEQLTPENMPLILRDIRMR KMSRRLPNLPSHSRNKETLSNETVSSNVIDYGHASKYGYTEDPLEVRIYDPEIPTDEVKN EFQPQQSISATVSTPNVICNSVFPGGDMFRQMDFPGESSSQSFFPVESGTKMSGLHISGQ SVLEPVKSISQSISQTVSQTTSQSLNPPSMNQVPFTFELDAVLRQQERISQKSVISSADA HGGPTESKKDYQSEADLPIRSPFGIVKASWLPKFTQAGAQKMKRLPTPSMMNDYYAASPR IFPHLCSLCNVECSHLKDWIQHQNTSTHIESCRQLRQQYPDWNPEILPSRRNESNRKENE TPRRRSHSPSPRHSRRSSSGHRIRRSRSPVRYIYRPRSRSPRICHRFISKYRSRSRSRSR SRSPYRSRNLLRRSPKSYRSASPERTSRKSVRSDRKKALEDGGQRSVHGTEVTKQKHTET VDKGLSPAQKPKLASGTKPSAKSLSSVKSDSHLGAYSAHKSENLEDDTLPEGKQESGKSA LAQRKPQKDQSLSSNSILLVSELPEDGFTEEDIRKAFLPFGKISDVLLVPCRNEAYLEME LRKAVTSIMKYIETMPLVIKGKSVKVCVPGKKKPQNKEMKKKPSDIKKSSASALKKETDA SKTMETVSSSSSAKSGQIKSSTVKVNKCAGKSAGSVKSVVTVAAKGKASIKTAKSGKKSL EAKKSGNIKNKDSNKPVTVPANSEIKASSEDKATGKSAEESPSGTLEATEKEPVNKESEE MSVVFISNLPNKGYSTEEIYNLAKPFGALKDILVLSSHKKAYIEINKKSADSMVKFYTCF PISMDGNQLSISMAPEHVDLKDEEALFTTLIQENDPEANIDKIYNRFVHLDNLPEDGLQC VLCVGHQFGKVDRYMFMSNKNKVILQLESPESALSMYNFLKQNPQNIGEHVLTCTLSPKT DSEVQRKNDLELGKGSTFSPDLKNSPVDESEVQTAADSSSVKPSEVEEETTSNIGTETSV HQEELGKAKTPMKRVRIGKSSPSQKVAEPTKGEEAFQMSEGVDDAELKDSEPDEKRRKTQ DSSVGKSMTSDVPGDLDFLVPKAGFFCPICSLFYSGEKAMANHCKSTRHKQNTEKFMAKQ RKEKEQNETEERSSR >ENSMUSP00000028600.7 pep:known chromosome:GRCm38:2:104426481:104494446:-1 gene:ENSMUSG00000027177.14 transcript:ENSMUST00000028600.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk3 description:homeodomain interacting protein kinase 3 [Source:MGI Symbol;Acc:MGI:1314882] MASQVLVYPPYVYQTQSSAFCSVKKLKVEPSGCVFQERTYPQIHVNGRNFGNSHPSTKGS AFQTKIPFTKPRGHSFSLQAGAIVVKDTAGATKVLAAQAQQAGVEAPRAVVWRNRLHFLE GPQRCGLKRKSEELENHSGAMQIVDELSILPAMLQTNMGNPVTVVTATTGSKQNCTSGEG DYQLVQHEVLCSMKNTYEVLDFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQGQI EVSILARLSTENADEYNFVRAYECFQHRNHTCLVFEMLEQNLYDFLKQNKFSPLPLKVIR PVLQQVATALKKLKSLGLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKTVCSTYL QSRYYRAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGALEYDQIRYISQTQGLPGE QLLNVGTKSTRFFCRETDMSHSGWRLKTLEEHEAETGMKSKEARKYIFNSLDDIVHVNTV MDLEGGDLLAEKADRREFVNLLKKMLLIDADLRITPIETLNHPFVNMKHLLDFPHSNHVK SCFHIMDICKSPSSCETNNHSKMSLLRPVASNGTAALAANFTKVGTLRSQALTTSAHSVV HHGIPLQAGTAQFGCGDAFHQTLIICPPAIQGIPAAHGKPTSYSIRVDNTVPLVTQAPAV QPLQIRPGVLSQQTWSGRTQQMLIPAWQQVTPMAPAAATLTSEGMAGSQRLGDWGKMIPH SNHYNSVMPPPLLTNQITLSAPQPISVGIAHVVWPQPATTKKNKLCQNRSNSLQNTNIPH SAFISPKIISGKEVEEVSCVDTQDNHTSEGEAGTCREASVRQDSSVSDKQRQTIIIADSP SPAVSVITISSDSDDEETSPRPSLRECKGSLDCEACQSTLNIDRMCSLSSPDSTLSTSSS GQSSPSPCKRPNSMSDDEQESGCETVDGSPTSDSSGHDSPFAENSFVEDAHQNTELGTCA GPEAKPAVGTAVEPPVGRESGLSVDEHMANTDSTCQPLRKGQPAPGKLHQPPALGARQQK PAAAFPQQHLNLSQVQHFGTGHQEWNGNFGHRRQQAYIPTSVTSNPFTLSHGSPNHTAVH AHLAGSTHLGGQPTLLPYPSSASLSSAAPVAHLLASPCTSRPMLQHPTYNISHPSGIVHQ VPVGINPRLLPSPTIHQTQYKPIFPPHSYIAASPAYTGFPLSPTKLSQYPYM >ENSMUSP00000106753.1 pep:known chromosome:GRCm38:2:104429781:104493690:-1 gene:ENSMUSG00000027177.14 transcript:ENSMUST00000111124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk3 description:homeodomain interacting protein kinase 3 [Source:MGI Symbol;Acc:MGI:1314882] MASQVLVYPPYVYQTQSSAFCSVKKLKVEPSGCVFQERTYPQIHVNGRNFGNSHPSTKGS AFQTKIPFTKPRGHSFSLQAGAIVVKDTAGATKVLAAQAQQAGVEAPRAVVWRNRLHFLE GPQRCGLKRKSEELENHSGAMQIVDELSILPAMLQTNMGNPVTVVTATTGSKQNCTSGEG DYQLVQHEVLCSMKNTYEVLDFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQGQI EVSILARLSTENADEYNFVRAYECFQHRNHTCLVFEMLEQNLYDFLKQNKFSPLPLKVIR PVLQQVATALKKLKSLGLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKTVCSTYL QSRYYRAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGALEYDQIRYISQTQGLPGE QLLNVGTKSTRFFCRETDMSHSGWRLKTLEEHEAETGMKSKEARKYIFNSLDDIVHVNTV MDLEGGDLLAEKADRREFVNLLKKMLLIDADLRITPIETLNHPFVNMKHLLDFPHSNHVK SCFHIMDICKSPSSCETNNHSKMSLLRPVASNGTAALAANFTKVGTLRSQALTTSAHSVV HHGIPLQAGTAQFGCGDAFHQTLIICPPAIQGIPAAHGKPTSYSIRVDNTVPLVTQAPAV QPLQIRPGVLSQQTWSGRTQQMLIPAWQQVTPMAPAAATLTSEGMAGSQRLGDWGKMIPH SNHYNSVMPPPLLTNQITLSAPQPISVGIAHVVWPQPATTKKNKLCQNRSNSLQNTNIPH SAFISPKIISGKEVEEVSCVDTQDNHTSEGEAGTCREASVRQDSSVSDKQRQTIIIADSP SPAVSVITISSDSDDEETSPRPSLRECKGSLDCEACQSTLNIDRMCSLSSPDSTLSTSSS GQSSPSPCKRPNSMSDDEQESGCETVDGSPTSDSSGHDSPFAENSFVEDAHQNTELGTCA GPEAKPAVGTAVEPPVGRESGLSVDEHMANTDSTCQPLRKGQPAPGKLHQPPALGARQQK PAAAFPQQHLNLSQVQHFGTGHQEWNGNFGHRRQQAYIPTSVTSNPFTLSHGSPNHTAVH AHLAGSTHLGGQPTLLPYPSSASLSSAAPVAHLLASPCTSRPMLQHPTYNISHPSGIVHQ VPVGINPRLLPSPTIHQTQYKPIFPPHSYIAASPAYTGFPLSPTKLSQYPYM >ENSMUSP00000106754.2 pep:known chromosome:GRCm38:2:104429855:104493954:-1 gene:ENSMUSG00000027177.14 transcript:ENSMUST00000111125.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk3 description:homeodomain interacting protein kinase 3 [Source:MGI Symbol;Acc:MGI:1314882] MASQVLVYPPYVYQTQSSAFCSVKKLKVEPSGCVFQERTYPQIHVNGRNFGNSHPSTKGS AFQTKIPFTKPRGHSFSLQAGAIVVKDTAGATKVLAAQAQQAGVEAPRAVVWRNRLHFLE GPQRCGLKRKSEELENHSGAMQIVDELSILPAMLQTNMGNPVTVVTATTGSKQNCTSGEG DYQLVQHEVLCSMKNTYEVLDFLGRGTFGQVVKCWKRGTNEIVAIKILKNHPSYARQGQI EVSILARLSTENADEYNFVRAYECFQHRNHTCLVFEMLEQNLYDFLKQNKFSPLPLKVIR PVLQQVATALKKLKSLGLIHADLKPENIMLVDPVRQPYRVKVIDFGSASHVSKTVCSTYL QSRYYRAPEIILGLPFCEAIDMWSLGCVIAELFLGWPLYPGALEYDQIRYISQTQGLPGE QLLNVGTKSTRFFCRETDMSHSGWRLKTLEEHEAETGMKSKEARKYIFNSLDDIVHVNTV MDLEGGDLLAEKADRREFVNLLKKMLLIDADLRITPIETLNHPFVNMKHLLDFPHSNHVK SCFHIMDICKSPSSCETNNHSKMSLLRPVASNGTAALAANFTKVGTLRSQALTTSAHSVV HHGIPLQAGTAQFGCGDAFHQTLIICPPAIQGIPAAHGKPTSYSIRVDNTVPLVTQAPAV QPLQIRPGVLSQQTWSGRTQQMLIPAWQQVTPMAPAAATLTSEGMAGSQRLGDWGKMIPH SNHYNSVMPPPLLTNQITLSAPQPISVGIAHVVWPQPATTKKNKLCQNRGILVRLMEWEP GREEINAFRWSNSLQNTNIPHSAFISPKIISGKEVEEVSCVDTQDNHTSEGEAGTCREAS VRQDSSVSDKQRQTIIIADSPSPAVSVITISSDSDDEETSPRPSLRECKGSLDCEACQST LNIDRMCSLSSPDSTLSTSSSGQSSPSPCKRPNSMSDDEQESGCETVDGSPTSDSSGHDS PFAENSFVEDAHQNTELGTCAGPEAKPAVGTAVEPPVGRESGLSVDEHMANTDSTCQPLR KGQPAPGKLHQPPALGARQQKPAAAFPQQHLNLSQVQHFGTGHQEWNGNFGHRRQQAYIP TSVTSNPFTLSHGSPNHTAVHAHLAGSTHLGGQPTLLPYPSSASLSSAAPVAHLLASPCT SRPMLQHPTYNISHPSGIVHQVPVGINPRLLPSPTIHQTQYKPIFPPHSYIAASPAYTGF PLSPTKLSQYPYM >ENSMUSP00000113188.1 pep:known chromosome:GRCm38:6:119432531:119449386:-1 gene:ENSMUSG00000030170.14 transcript:ENSMUST00000117171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt5b description:wingless-type MMTV integration site family, member 5B [Source:MGI Symbol;Acc:MGI:98959] MPSLLLVVVAALLSSWAQLLTDANSWWSLALNPVQRPEMFIIGAQPVCSQLPGLSPGQRK LCQLYQEHMSYIGEGAKTGIRECQHQFRQRRWNCSTVDNTSVFGRVMQIGSRETAFTYAV SAAGVVNAISRACREGELSTCGCSRAARPKDLPRDWLWGGCGDNVEYGYRFAKEFVDARE REKNFAKGSEEQGRALMNLQNNEAGRRAVYKMADVACKCHGVSGSCSLKTCWLQLAEFRK VGDRLKEKYDSAAAMRITRQGKLELANSRFNQPTPEDLVYVDPSPDYCLRNETTGSLGTQ GRLCNKTSEGMDGCELMCCGRGYDRFKSVQVERCHCRFHWCCFVRCKKCTEVVDQYVCK >ENSMUSP00000112819.1 pep:known chromosome:GRCm38:6:119432533:119467211:-1 gene:ENSMUSG00000030170.14 transcript:ENSMUST00000118120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt5b description:wingless-type MMTV integration site family, member 5B [Source:MGI Symbol;Acc:MGI:98959] MFIIGAQPVCSQLPGLSPGQRKLCQLYQEHMSYIGEGAKTGIRECQHQFRQRRWNCSTVD NTSVFGRVMQIGSRETAFTYAVSAAGVVNAISRACREGELSTCGCSRAARPKDLPRDWLW GGCGDNVEYGYRFAKEFVDAREREKNFAKGSEEQGRALMNLQNNEAGRRAVYKMADVACK CHGVSGSCSLKTCWLQLAEFRKVGDRLKEKYDSAAAMRITRQGKLELANSRFNQPTPEDL VYVDPSPDYCLRNETTGSLGTQGRLCNKTSEGMDGCELMCCGRGYDRFKSVQVERCHCRF HWCCFVRCKKCTEVVDQYVCK >ENSMUSP00000112448.1 pep:known chromosome:GRCm38:6:119432534:119544318:-1 gene:ENSMUSG00000030170.14 transcript:ENSMUST00000119369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt5b description:wingless-type MMTV integration site family, member 5B [Source:MGI Symbol;Acc:MGI:98959] MLVPGHWDGLRPAMPSLLLVVVAALLSSWAQLLTDANSWWSLALNPVQRPEMFIIGAQPV CSQLPGLSPGQRKLCQLYQEHMSYIGEGAKTGIRECQHQFRQRRWNCSTVDNTSVFGRVM QIGSRETAFTYAVSAAGVVNAISRACREGELSTCGCSRAARPKDLPRDWLWGGCGDNVEY GYRFAKEFVDAREREKNFAKGSEEQGRALMNLQNNEAGRRAVYKMADVACKCHGVSGSCS LKTCWLQLAEFRKVGDRLKEKYDSAAAMRITRQGKLELANSRFNQPTPEDLVYVDPSPDY CLRNETTGSLGTQGRLCNKTSEGMDGCELMCCGRGYDRFKSVQVERCHCRFHWCCFVRCK KCTEVVDQYVCK >ENSMUSP00000137065.1 pep:known chromosome:GRCm38:6:119432531:119544347:-1 gene:ENSMUSG00000030170.14 transcript:ENSMUST00000178696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt5b description:wingless-type MMTV integration site family, member 5B [Source:MGI Symbol;Acc:MGI:98959] MPSLLLVVVAALLSSWAQLLTDANSWWSLALNPVQRPEMFIIGAQPVCSQLPGLSPGQRK LCQLYQEHMSYIGEGAKTGIRECQHQFRQRRWNCSTVDNTSVFGRVMQIGSRETAFTYAV SAAGVVNAISRACREGELSTCGCSRAARPKDLPRDWLWGGCGDNVEYGYRFAKEFVDARE REKNFAKGSEEQGRALMNLQNNEAGRRAVYKMADVACKCHGVSGSCSLKTCWLQLAEFRK VGDRLKEKYDSAAAMRITRQGKLELANSRFNQPTPEDLVYVDPSPDYCLRNETTGSLGTQ GRLCNKTSEGMDGCELMCCGRGYDRFKSVQVERCHCRFHWCCFVRCKKCTEVVDQYVCK >ENSMUSP00000067767.3 pep:known chromosome:GRCm38:11:61223417:61267185:-1 gene:ENSMUSG00000010025.19 transcript:ENSMUST00000066277.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3a2 description:aldehyde dehydrogenase family 3, subfamily A2 [Source:MGI Symbol;Acc:MGI:1353452] MERQVLRLRQAFRSGRSRPLRFRLQQLEALRRMVQEREKEILAAIAADLSKSELNAYSHE VITILGEIDFMLGNLPELASARPAKKNLLTMMDEAYVQPEPLGVVLIIGAWNYPFVLTMQ PLVGAIAAGNAAIVKPSELSENTAKILAELLPQYLDQDLYAIVNGGIPETTELLKQRFDH ILYTGNTAVGKIVMEAAAKHLTPVTLELGGKSPCYIDRDCDLDVACRRIAWGKYMNCGQT CIAPDYILCEASLQNQIVQKIKETVKDFYGENIKASPDYERIINLRHFKRLQSLLKGQKI AFGGEMDEATRYLAPTILTDVDPNSKVMQEEIFGPILPIVSVKNVDEAINFINDREKPLA LYVFSRNNKLIKRVIDETSSGGVTGNDVIMHFTVNSLPFGGVGASGMGAYHGKYSFDTFS HQRPCLLKGLKGESVNKLRYPPNSESKVSWAKFFLLKQFNKGRLGMLLFVCLVAVAAVIV KHPVRSRNPDSVQSSLRDADLVDFPVSSSPCLGDTGVSCQLNRQHLEVNFLW >ENSMUSP00000147199.1 pep:known chromosome:GRCm38:11:61244853:61251010:-1 gene:ENSMUSG00000010025.19 transcript:ENSMUST00000208086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3a2 description:aldehyde dehydrogenase family 3, subfamily A2 [Source:MGI Symbol;Acc:MGI:1353452] XGGVTGNDVIMHFTVNSLPFGGVGASGMGAYHGKYSFDTFSHQRPCLLKGLKGESVNKLR YPPNSESKVSWAKFFLLKQFNKGRLGMLLFVCLVAVAAVIVKKYQALPRGKALLASLIVH RRRWSSKH >ENSMUSP00000073764.7 pep:known chromosome:GRCm38:11:61244853:61267185:-1 gene:ENSMUSG00000010025.19 transcript:ENSMUST00000074127.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3a2 description:aldehyde dehydrogenase family 3, subfamily A2 [Source:MGI Symbol;Acc:MGI:1353452] MERQVLRLRQAFRSGRSRPLRFRLQQLEALRRMVQEREKEILAAIAADLSKSELNAYSHE VITILGEIDFMLGNLPELASARPAKKNLLTMMDEAYVQPEPLGVVLIIGAWNYPFVLTMQ PLVGAIAAGNAAIVKPSELSENTAKILAELLPQYLDQDLYAIVNGGIPETTELLKQRFDH ILYTGNTAVGKIVMEAAAKHLTPVTLELGGKSPCYIDRDCDLDVACRRIAWGKYMNCGQT CIAPDYILCEASLQNQIVQKIKETVKDFYGENIKASPDYERIINLRHFKRLQSLLKGQKI AFGGEMDEATRYLAPTILTDVDPNSKVMQEEIFGPILPIVSVKNVDEAINFINDREKPLA LYVFSRNNKLIKRVIDETSSGGVTGNDVIMHFTVNSLPFGGVGASGMGAYHGKYSFDTFS HQRPCLLKGLKGESVNKLRYPPNSESKVSWAKFFLLKQFNKGRLGMLLFVCLVAVAAVIV KDQL >ENSMUSP00000104355.2 pep:known chromosome:GRCm38:11:61247527:61267185:-1 gene:ENSMUSG00000010025.19 transcript:ENSMUST00000108715.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3a2 description:aldehyde dehydrogenase family 3, subfamily A2 [Source:MGI Symbol;Acc:MGI:1353452] MERQVLRLRQAFRSGRSRPLRFRLQQLEALRRMVQEREKEILAAIAADLSKSELNAYSHE VITILGEIDFMLGNLPELASARPAKKNLLTMMDEAYVQPEPLGVVLIIGAWNYPFVLTMQ PLVGAIAAGNAAIVKPSELSENTAKILAELLPQYLDQDLYAIVNGGIPETTELLKQRFDH ILYTGNTAVGKIVMEAAAKHLTPVTLELGGKSPCYIDRDCDLDVACRRIAWGKYMNCGQT CIAPDYILCEASLQNQIVQKIKETVKDFYGENIKASPDYERIINLRHFKRLQSLLKGQKI AFGGEMDEATRYLAPTILTDVDPNSKVMQEEIFGPILPIVSVKNVDEAINFINDREKPLA LYVFSRNNKLIKRVIDETSSGGVTGNDVIMHFTVNSLPFGGVGASGMGAYHGKYSFDTFS HQRPCLLKGLKGESVNKLRYPPNSESKVSWAKFFLLKQFNKGRLGMLLFVCLVAVAAVIV KFVLERA >ENSMUSP00000126946.1 pep:known chromosome:GRCm38:9:18455575:18478263:-1 gene:ENSMUSG00000074500.11 transcript:ENSMUST00000159596.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp558 description:zinc finger protein 558 [Source:MGI Symbol;Acc:MGI:1921681] MAATIIPLIYAQSSLYPLSPEEGQMGKKGLATEFLTSLLEELVSFEDVTVQFTQEEWALL DPLQRTLYRNVTLENWKNLASLGSLLIIPHISFHDLIPWILRRQAAP >ENSMUSP00000132305.1 pep:known chromosome:GRCm38:9:18456192:18473559:-1 gene:ENSMUSG00000074500.11 transcript:ENSMUST00000034647.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp558 description:zinc finger protein 558 [Source:MGI Symbol;Acc:MGI:1921681] MAATIIPLIYAQSSLYPLSPEEGQMGKKGLATEFLTSLLEELVSFEDVTVQFTQEEWALL DPLQRTLYRNVTLENWKNLASLGQHLDKPNLISQLEEEDKVIREDRGIIPGIHPDLEKVL KAKWLTPKNLIFSKEHDNGGKTVQLEERGHHGMKVNECNQCFKVFSTKSNLTQHKRIHTG EKPYDCTQCGKSFRSKSYLTVHRRIHNGEKPFECNHCGKAFSDPSSLRLHVRIHTGEKPY ECSQCFHVFRTSCNLKSHKRIHTGGENQHECSQCGKAFSTRSSLTGHNSIHTGEKPFECQ ECGKTFRKSSYLTQHLRTHTGEKPYECNECGKSFSSSFSLTVHKRIHTGEKPYECSHCGK AFNNLSAVKKHLMTHTGQKPYGCNHCGKSFTSNSYLSVHKRVHNRWI >ENSMUSP00000139640.1 pep:known chromosome:GRCm38:1:77367189:77515079:-1 gene:ENSMUSG00000026235.14 transcript:ENSMUST00000188952.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Epha4 description:Eph receptor A4 [Source:MGI Symbol;Acc:MGI:98277] MAGIFYFILFSFLFGICDAVTGSRVYPANEVTLLDSRSVQGELGWIASPLEGGWEEVSIM DEKNTPIRTYQVCNVMEASQNNWLRTDWITREGAQRVYIEIKFTLRDCNSLPGVMGTCKE TFNLYYYESDNDKERFIRESQFGKIDTIAADESFTQVDIGDRIMKLNTEIRDVGPLSKKG FYLAFQDVGACIALVSVRVFYKKCPLTVRNLAQFPDTITGADTSSLVEVRGSCVNNSEEK DVPKMYCGADGEWLVPIGNCLCNAGHEEQNGECQACKIGYYKALSTDASCAKCPPHSYSV WEGATSCTCDRGFFRADNDAASMPCTRPPSAPLNLISNVNETSVNLEWSSPQNTGGRQDI SYNVVCKKCGAGDPSKCRPCGSGVHYTPQQNGLKTTRVSITDLLAHTNYTFEIWAVNGVS KYNPSPDQSVSVTVTTNQAAPSSIALVQAKEVTRYSVALAWLEPDRPNGVILEYEVKYYE KDQNERSYRIVRTAARNTDIKGLNPLTSYVFHVRARTAAGYGDFSEPLEVTTNTVPSRII GDGANSTVLLVSVSGSVVLVVILIAAFVISRRRSKYSKAKQEADEEKHLNQGVRTYVDPF TYEDPNQAVREFAKEIDASCIKIEKVIGVGEFGEVCSGRLKVPGKREICVAIKTLKAGYT DKQRRDFLSEASIMGQFDHPNIIHLEGVVTKCKPVMIITEYMENGSLDAFLRKNDGRFTV IQLVGMLRGIGSGMKYLSDMSYVHRDLAARNILVNSNLVCKVSDFGMSRVLEDDPEAAYT TRGGKIPIRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSYGERPYWDMSNQDVIKAIEEG YRLPPPMDCPIALHQLMLDCWQKERSDRPKFGQIVNMLDKLIRNPNSLKRTGSESSRPNT ALLDPSSPEFSAVVSVGDWLQAIKMDRYKDNFTAAGYTTLEAVVHMSQDDLARIGITAIT HQNKILSSVQAMRTQMQQMHGRMVPV >ENSMUSP00000140954.1 pep:known chromosome:GRCm38:1:77373139:77515088:-1 gene:ENSMUSG00000026235.14 transcript:ENSMUST00000188797.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha4 description:Eph receptor A4 [Source:MGI Symbol;Acc:MGI:98277] MAGIFYFILFSFLFGICDAVTGSRVYPANEVTLLDSRSVQGELGWIASPLEGGWEEVSIM DEKNTPIRTYQVCNVMEASQNNWLRTDWITREGAQRVYIEIKFTLRDCNSLPGVMGTCKE TFNLYYYESDNDKERFIRESQFGKIDTIAADESFTQVDIGDRIMKLNTEIRDVGPLSKKG FYLAFQDVGACIALVSVRVFYKKCPLTVRNLAQFPDTITGADTSSLVEVRGSCVNNSEEK DVPKMYCGADGEWLVPIGNCLCNAGHEEQNGECQACKIGYYKALSTDASCAKCPPHSYSV WEGATSCTCDRGFFRADNDAASMPCTRPPSAPLNLISNVNETSVNLEWSSPQNTGGRQDI SYNVVCKKCGAGDPSKCRPCGSGVHYTPQQNGLKTTRVSITDLLAHTNYTFEIWAVNGVS KYNPSPDQSVSVTVTTNQAAPSSIALVQAKEVTRYSVALAWLEPDRPNGVILEYEVKYYE KDQNERSYRIVRTAARNTDIKGLNPLTSYVFHVRARTAAGYGDFSEPLEVTTNTVPSRII GDGANSTVLLVSVSGSVVLVVILIAAFVISRRRSKYSKAKQEADEEKHLNQGVRTYVDPF TYEDPNQAVREFAKEIDASCIKIEKVIGVGEFGEVCSGRLKVPGKREICVAIKTLKAGYT DKQRRDFLSEASIMGQFDHPNIIHLEGVVTKCKPVMIITEYMENGSLDAFLRKNDGRFTV IQLVGMLRGIGSGMKYLSDMSYVHRDLAARNILVNSNLVCKVSDFGMSRVLEDDPEAAYT TRGGKIPIRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSYGERPYWDMSNQDVIKAIEEG YRLPPPMDCPIALHQLMLDCWQKERSDRPKFGQIVNMLDKLIRNPNSLKRTGSESSRPNT ALLDPSSPEFSAVVSVGDWLQAIKMDRYKDNFTAAGYTTLEAVVHMSQDDLARIGITAIT HQNKILSSVQAMRTQMQQMHGRMVPV >ENSMUSP00000140631.1 pep:known chromosome:GRCm38:1:77373613:77377607:-1 gene:ENSMUSG00000026235.14 transcript:ENSMUST00000187346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha4 description:Eph receptor A4 [Source:MGI Symbol;Acc:MGI:98277] VIKAIEEGYRLPPPMDCPIALHQLMLDCWQKERSDRPKFGQIVNMLDKLIRNPNSLKRTG SESSRPNTALLDPSSPEFSAVVSVGDWLQAIKMDRYKDNFTAAGYTTLEAVVHMSQE >ENSMUSP00000140408.1 pep:known chromosome:GRCm38:1:77373631:77515079:-1 gene:ENSMUSG00000026235.14 transcript:ENSMUST00000190149.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Epha4 description:Eph receptor A4 [Source:MGI Symbol;Acc:MGI:98277] MAGIFYFILFSFLFGICDAVTGSRVYPANEVGGSEHYG >ENSMUSP00000140370.1 pep:known chromosome:GRCm38:1:77401223:77515083:-1 gene:ENSMUSG00000026235.14 transcript:ENSMUST00000186930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha4 description:Eph receptor A4 [Source:MGI Symbol;Acc:MGI:98277] MAGIFYFILFSFLFGICDAVTGSRVYPANEGPPSAPLNLISNVNETSVNLEWSSPQNTGG RQDISYNVVCKKCGAGDPSKCRPCGSGVHYTPQQNGLKTTRVSITDLLAHTNYTFEIWAV NGVSKYNPSPDQSVSVTVTTNQAAPSSIALVQAKEVTRYSVALAWLEPDRPNGVILE >ENSMUSP00000027451.6 pep:known chromosome:GRCm38:1:77367185:77515088:-1 gene:ENSMUSG00000026235.14 transcript:ENSMUST00000027451.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha4 description:Eph receptor A4 [Source:MGI Symbol;Acc:MGI:98277] MAGIFYFILFSFLFGICDAVTGSRVYPANEVTLLDSRSVQGELGWIASPLEGGWEEVSIM DEKNTPIRTYQVCNVMEASQNNWLRTDWITREGAQRVYIEIKFTLRDCNSLPGVMGTCKE TFNLYYYESDNDKERFIRESQFGKIDTIAADESFTQVDIGDRIMKLNTEIRDVGPLSKKG FYLAFQDVGACIALVSVRVFYKKCPLTVRNLAQFPDTITGADTSSLVEVRGSCVNNSEEK DVPKMYCGADGEWLVPIGNCLCNAGHEEQNGECQACKIGYYKALSTDASCAKCPPHSYSV WEGATSCTCDRGFFRADNDAASMPCTRPPSAPLNLISNVNETSVNLEWSSPQNTGGRQDI SYNVVCKKCGAGDPSKCRPCGSGVHYTPQQNGLKTTRVSITDLLAHTNYTFEIWAVNGVS KYNPSPDQSVSVTVTTNQAAPSSIALVQAKEVTRYSVALAWLEPDRPNGVILEYEVKYYE KDQNERSYRIVRTAARNTDIKGLNPLTSYVFHVRARTAAGYGDFSEPLEVTTNTVPSRII GDGANSTVLLVSVSGSVVLVVILIAAFVISRRRSKYSKAKQEADEEKHLNQGVRTYVDPF TYEDPNQAVREFAKEIDASCIKIEKVIGVGEFGEVCSGRLKVPGKREICVAIKTLKAGYT DKQRRDFLSEASIMGQFDHPNIIHLEGVVTKCKPVMIITEYMENGSLDAFLRKNDGRFTV IQLVGMLRGIGSGMKYLSDMSYVHRDLAARNILVNSNLVCKVSDFGMSRVLEDDPEAAYT TRGGKIPIRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSYGERPYWDMSNQDVIKAIEEG YRLPPPMDCPIALHQLMLDCWQKERSDRPKFGQIVNMLDKLIRNPNSLKRTGSESSRPNT ALLDPSSPEFSAVVSVGDWLQAIKMDRYKDNFTAAGYTTLEAVVHMSQDDLARIGITAIT HQNKILSSVQAMRTQMQQMHGRMVPV >ENSMUSP00000018568.3 pep:known chromosome:GRCm38:11:60454591:60468754:1 gene:ENSMUSG00000020537.9 transcript:ENSMUST00000018568.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drg2 description:developmentally regulated GTP binding protein 2 [Source:MGI Symbol;Acc:MGI:1342307] MGILEKISEIEKEIARTQKNKATEYHLGLLKAKLAKYRAQLLEPSKSASSKGEGFDVMKS GDARVALIGFPSVGKSTFLSLMTSTASEAASYEFTTLTCIPGVIEYKGANIQLLDLPGII EGAAQGRGRGRQVIAVARTADVVVMMLDATKGDVQRSLLEKELESVGIRLNKHKPNIYFK PKKGGGISFNSTVTLTQCSEKLVQLILHEYKIFNAEVLFREDCSPDDFIDVIVGNRVYMP CLYVYNKIDQISMEEVDRLARKPNSVVISCGMKLNLDYLLEMLWEYLALTCIYTKKRGQR PDFTDAIILRKGASVEHVCHRIHRSLASQFKYALVWGTSTKYSPQRVGLTHTMEHEDVIQ IVKK >ENSMUSP00000102171.1 pep:known chromosome:GRCm38:11:115116214:115133992:-1 gene:ENSMUSG00000047798.15 transcript:ENSMUST00000106561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300lf description:CD300 antigen like family member F [Source:MGI Symbol;Acc:MGI:2442359] MHLSLLVPFLFWITGCCTAEDPVTGPEEVSGQEQGSLTVQCRYTSGWKDYKKYWCQGVPQ RSCKTLVETDASEQLVKKNRVSIRDNQRDFIFTVTMEDLRMSDAGIYWCGITKGGLDPMF KVTVNIGPAIQVPITVPTMPPITSTTTIFTVTTTVKETSMFPTLTSYYSDNGHGGGDSGG GEDGVGDGFLDLSVLLPVISAVLLLLLLVASLFAWRMVRRQKKAAGPPSEQAQSLEGDLC YADLSLKQPRTSPGSSWKKGSSMSSSGKDHQEEVEYVTMAPFPREEVSYAALTLAGLGQE PTYGNTGCPITHVPRTGLEEETTEYSSIRRPLPAAMP >ENSMUSP00000053983.7 pep:known chromosome:GRCm38:11:115116214:115133992:-1 gene:ENSMUSG00000047798.15 transcript:ENSMUST00000051264.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300lf description:CD300 antigen like family member F [Source:MGI Symbol;Acc:MGI:2442359] MHLSLLVPFLFWITGCCTAEDPVTGPEEVSGQEQGSLTVQCRYTSGWKDYKKYWCQGVPQ RSCKTLVETDASEQLVKKNRVSIRDNQRDFIFTVTMEDLRMSDAGIYWCGITKGGLDPMF KVTVNIGPVPTMPPITSTTTIFTVTTTVKETSMFPTLTSYYSDNGHGGGDSGGGEDGVGD GFLDLSVLLPVISAVLLLLLLVASLFAWRMVRRQKKAAGPPSEQAQSLEGDLCYADLSLK QPRTSPGSSWKKGSSMSSSGKDHQEEVEYVTMAPFPREEVSYAALTLAGLGQEPTYGNTG CPITHVPRTGLEEETTEYSSIRRPLPAAMP >ENSMUSP00000102172.2 pep:known chromosome:GRCm38:11:115116214:115133992:-1 gene:ENSMUSG00000047798.15 transcript:ENSMUST00000106562.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300lf description:CD300 antigen like family member F [Source:MGI Symbol;Acc:MGI:2442359] MHLSLLVPFLFWITGCCTAEDPVTGPEEVSGQEQGSLTVQCRYTSGWKDYKKYWCQGVPQ RSCKTLVETDASEQLVKKNRVSIRDNQRDFIFTVTMEDLRMSDAGIYWCGITKGGLDPMF KVTVNIGPGKRHGGGDSGGGEDGVGDGFLDLSVLLPVISAVLLLLLLVASLFAWRMVRRQ KKAAGPPSEQAQSLEGDLCYADLSLKQPRTSPGSSWKKGSSMSSSGKDHQEEVEYVTMAP FPREEVSYAALTLAGLGQEPTYGNTGCPITHVPRTGLEEETTEYSSIRRPLPAAMP >ENSMUSP00000034049.4 pep:known chromosome:GRCm38:8:46206797:46211284:-1 gene:ENSMUSG00000031633.4 transcript:ENSMUST00000034049.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a4 description:solute carrier family 25 (mitochondrial carrier, adenine nucleotide translocator), member 4 [Source:MGI Symbol;Acc:MGI:1353495] MGDQALSFLKDFLAGGIAAAVSKTAVAPIERVKLLLQVQHASKQISAEKQYKGIIDCVVR IPKEQGFLSFWRGNLANVIRYFPTQALNFAFKDKYKQIFLGGVDRHKQFWRYFAGNLASG GAAGATSLCFVYPLDFARTRLAADVGKGSSQREFNGLGDCLTKIFKSDGLKGLYQGFSVS VQGIIIYRAAYFGVYDTAKGMLPDPKNVHIIVSWMIAQSVTAVAGLVSYPFDTVRRRMMM QSGRKGADIMYTGTLDCWRKIAKDEGANAFFKGAWSNVLRGMGGAFVLVLYDEIKKYV >ENSMUSP00000032094.5 pep:known chromosome:GRCm38:6:119479668:119482630:1 gene:ENSMUSG00000030019.6 transcript:ENSMUST00000032094.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl14 description:F-box and leucine-rich repeat protein 14 [Source:MGI Symbol;Acc:MGI:2141676] METHISCLFPELLAMIFGYLDVRDKGRAAQVCTAWRDAAYHKSVWRGVEAKLHLRRANPS LFPSLQARGIRRVQILSLRRSLSYVIQGMANIESLNLSGCYNLTDNGLGHAFVQEIGSLR ALNLSLCKQITDSSLGRIAQYLKGLEVLELGGCSNITNTGLLLIAWGLQRLKSLNLRSCR HLSDVGIGHLAGMTRSAAEGCLGLEQLTLQDCQKLTDLSLKHISRGLTGLRLLNLSFCGG ISDAGLLHLSHMGSLRSLNLRSCDNISDTGIMHLAMGSLRLSGLDVSFCDKVGDQSLAYI AQGLDGLKSLSLCSCHISDDGINRMVRQMHGLRTLNIGQCVRITDKGLELIAEHLSQLTG IDLYGCTRITKRGLERITQLPCLKVLNLGLWQMTDSEKVR >ENSMUSP00000140827.1 pep:known chromosome:GRCm38:11:105994675:106005443:1 gene:ENSMUSG00000101605.1 transcript:ENSMUST00000190995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ace3 description:angiotensin I converting enzyme (peptidyl-dipeptidase A) 3 [Source:MGI Symbol;Acc:MGI:3644400] MNLPWALLLVLLSHRQLLPWLRTVGETSLNDFYSEAQAKLFLQFYEQTAQVVLNEFMEAT WNYVTNITKQNQKNMLQKEADRSQFMLYFSTRARMFRTDHFLNQDVKRMLRKLQNIDKSA LPTEDLLEYNRLLTYMETAYNRAEVCLDEGPCLTLEPDLQEIMATSRDQKELLWAWQGWR DAVGRQLRPVFEDYVRLSNKAAQYNGYKDMGALWRSKYESDTLEEDLEQLYKELQPLYLN LHAYVRRSLYRYYGPELIDLRGPIPAHLLGNMWAQSWNNILDLVLPYPTKAPEDITAIMK IQHWRPEKMFEEANLFFTSMGMLPAPPAFWIKSMMEKPADGREVECHTSSWNFYKFNDFR VKKCTEVTLEDLLSVFHQMGHIQYFLQYQNLSVIYQEGASPAFEEAVGSVIALSVSSHKY LLARGLLSQPHQDSEEEVNFLLGIALEKIAFIPFSYLVDKFRWKIFDGTISKITYNQEWW NFRLKYQGLCPPVPRSDDDFDPGAKFHIPANVPYIRYFLGLILQFQLHEALCEASGHVGP LHQCDNYNSKVAGKILGDLLKLGSSRPWREVLQEVTGESNISTKAFLTYFKPLMDWLVTE NVKQGDTLGWPDFSCSFEEKITSKVSFLGTDTEPEQAYLGQWVLLSMSFFMLVLILALGF RLHYLEKQLLDEDTMILKTLPYSYFLGIAMEPHQAARKQWLLLGLCCILMLCCIGLLIRI VTQNTENTPWMKNEGQS >ENSMUSP00000138888.1 pep:known chromosome:GRCm38:2:156071842:156111935:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000184265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGRGTITVSAQELKDSRVFPFISLYYFIHISFSVTCSQTVC >ENSMUSP00000105236.2 pep:known chromosome:GRCm38:2:156071845:156111939:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000109607.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGRGTITVSAQELKDSRVVTMEVEARNLDKKDFLGKSDPFLEFFRQGDGKWQLAYRTEVV KNNLNPTWKRFSVSLQHFCGGDLSTPIQVRCSDYDSDGSHDLIGTFHTTLAQLQAVPAEF ECVHPEKQQRKKNYRNSGTVRVKTCRVETEYSFLDYVMGGCQINFTVGVDFTGSNGDPSS PDSLHYLSPTGVNEYLTALWSVGSVVQDYDSDKLFPAFGFGAQVPPDWQVSHEFALNFNP SNPYCAGIQGIVDAYRQALPQVRLYGPTNFAPIINHVARFAAQAAQQRSASQYFVLLLLT DGAVTDVEATCKAVVDASKLPMSVIIVGVGGADFEVMEQLDADGGPLRTRSGEAAARDIV QFVPYRRFQNAPRETLAQTVLAEVPTQMVSYFRAQGWAPLKAPPTPGKGPAQAPQA >ENSMUSP00000105237.2 pep:known chromosome:GRCm38:2:156071847:156111943:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000109608.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGRGTITVSAQELKDSRVVTMEVEARNLDKKDFLGKSDPFLEFFRQGDGKWQLAYRTEVV KNNLNPTWKRFSVSLQHFCGGDLSTPIQVRCSDYDSDGSHDLIGTFHTTLAQLQAVPAEF ECVHPEKQQRKKNYRNSGTVRVKTCRVETEYSFLDYVMGGCQINFTVGVDFTGSNGDPSS PDSLHYLSPTGVNEYLTALWSVGSVVQDYDSDKLFPAFGFGAQVPPDWQVSHEFALNFNP SNPYCAGIQGIVDAYRQALPQVRLYGPTNFAPIINHVARFAAQAAQQRSASQYFVLLLLT DGAVTDVEATCKAVVDASKLPMSVIIVGVGGADFEVMEQLDADGGPLRTRSGEAAARDIV QFVPYRRFQNAPRETLAQTVLAEVPTQMVSYFRAQGWAPLKAPPTPGKGPAQAPQA >ENSMUSP00000078292.3 pep:known chromosome:GRCm38:2:156073355:156111901:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000079312.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGRGTITVSAQELKDSRVVTMEVEARNLDKKDFLGKSDPFLEFFRQGDGKWQLAYRTEVV KNNLNPTWKRFSVSLQHFCGGDLSTPIQVRCSDYDSDGSHDLIGTFHTTLAQLQAVPAEF ECVHPEKQQRKKNYRNSGTVRVKTCRVETEYSFLDYVMGGCQINFTVGVDFTGSNGDPSS PDSLHYLSPTGVNEYLTALWSVGSVVQDYDSDKLFPAFGFGAQVPPDWQVSHEFALNFNP SNPYCAGIQGIVDAYRQALPQVRLYGPTNFAPIINHVARFAAQAAQQRSASQYFVLLLLT DGAVTDVEATCKAVAPRETLAQTVLAEVPTQMVSYFRAQGWAPLKAPPTPGKGPAQAPQA >ENSMUSP00000121998.1 pep:known chromosome:GRCm38:2:156073389:156076254:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000140109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] XNPYCAGIQGIVDAYRQALPQVRLYGPTNFAPIINHVARFAAQAAQQRSASQYFVLLLLT DGAVTDVEATCKAVVDASKLPMSVIIVGVGGADFEVMEQLDADGGPLRTRSGEAAARDIV QFVPYRRFQNVSSPGDTRTDRTRRSAHSDGFLLQSPRLGPVKGTSNPRQGPRTGPTGIGT RGGVGSNQSSACSLLHPQGRDQACTFFPFHS >ENSMUSP00000116982.1 pep:known chromosome:GRCm38:2:156073508:156111904:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000147627.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGRGTITVSAQELKDSRVVTMEVEARNLDKKDFLGKSDPFLEFFRQGDGKWQLAYRTEVV KNNLNPTWKRFSVSLQHFCGGDLSTPIQVRCSDYDSDGSHDLIGTFHTTLAQLQAVPAEF ECVHPEKQQRKKNYRNSGTVRVKTCRVETEYSFLDYVMGGCQINFTVGVDFTGSNGDPSS PDSLHYLSPTGVNEYLTALWSVGSVVQDYDSDKLFPAFGFGAQVPPDWQV >ENSMUSP00000139230.1 pep:known chromosome:GRCm38:2:156073516:156078160:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000183733.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] XQAVPAEFECVHPEKQQRKKNYRNSGTVRVKTCRVETEYSFLDYVMGGCQINFTVGVDFT GSNGDPSSPDSLHYLSPTGVNEYLTALWSVGSVVQDYDSDKLFPAFGFGAQVPPDWQVSH EFALNFNPSNPYCAGIQGIVDAYRQALPQVRLYGPTNFAPIINHVARFAAQAAQQRSASM WRPHVRQWWTPQSCPCP >ENSMUSP00000122994.1 pep:known chromosome:GRCm38:2:156074201:156111965:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000136296.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGRGTITDFLGKSDPFLEFFRQGDGKWQLAYRTEVVKNNLNPTWKRFSVSLQHFCGGDLS TPIQVRCSDYDSDGSHDLIGTFHTTLAQLQAVPAEFECVHPEKQQRKKNYRNSGTVRVKT CRVETEYSFLDYVMGGCQINFTVGVDFTGSNGDPSSPDSLHYLSPTGVNEYLTALWSVGS VVQDYDSDKLFPAFGFGAQVPPDWQVSHEFALNFNPSNPYCAGIQGIVDAYRQALPQVRL YGPTNFAPIINHVARFAA >ENSMUSP00000115167.1 pep:known chromosome:GRCm38:2:156077399:156111909:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000153634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGRGTITDFLGKSDPFLEFFRQGDGKWQLAYRTEVVKNNLNPTWKRFSVSLQHFCGGDLS TPIQVRCSDYDSDGSHDLIGTFHTTLAQLQAVPAEFECVHPEKQQRKKNYRNSGTVRVKT CRVETEYSFLDYVMGGCQINFTVGVDFTGSNGDPSSPDSLHYLSPTGVNEYLTALWSVGS VVQDYDSDKLFPAFGFGAQVPPDWQ >ENSMUSP00000121299.2 pep:known chromosome:GRCm38:2:156078167:156111959:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000142960.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGRGTITVSAQELKDSRVVTMEVEARNLDKKDFLGKSDPFLEFFRQGDGKWQLAYRTEVV KNNLNPTWKRFSVSLQHFCGGDLSTPIQVRCSDYDSDGSHDLIGTFHTTL >ENSMUSP00000122644.1 pep:known chromosome:GRCm38:2:156078574:156111909:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000133921.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGRGTITVSAQELKDSRVVTMEVEARNLDKKDFLGKSDPFLEFFRQGDGKWQLAYRTE >ENSMUSP00000118140.1 pep:known chromosome:GRCm38:2:156078900:156111863:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000154889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGRGTIT >ENSMUSP00000139035.1 pep:known chromosome:GRCm38:2:156078911:156102628:-1 gene:ENSMUSG00000074643.12 transcript:ENSMUST00000184152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne1 description:copine I [Source:MGI Symbol;Acc:MGI:2386621] MAHCVTLVQLSVSCEHLIDKDIGSKSDPLCVLLQDVGGAWAELCRTERVRNCSSPEFSKT LQIEYHFETVQKLRFGIYDIDNKTPELGDDDFLGGAECSLGQIVSSQTLTLPLMLKPGKP AGR >ENSMUSP00000054082.4 pep:known chromosome:GRCm38:2:37331749:37332732:1 gene:ENSMUSG00000049018.6 transcript:ENSMUST00000053990.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr368 description:olfactory receptor 368 [Source:MGI Symbol;Acc:MGI:3030202] MNSENFTRSRVMPAEFILLGITNRWDMRVTLFLIFLPIYLVSLLGNVGMVLLIRIDARLH TPMYFFLANLSLLDAFYSSAIGPKMLVDLLLSRATIPYVACALQMFVFAGLADAECCLLA VMAYDRYVAIGNPLLYTTVMSPRLCLALLGASGLGGAVSAFVHTTFTFRLSFCGSLEVNS FFCDIPPLLAISCNDTSLNELLLFAVCGFIQTTTVLAIAVSYGFIAVAVIRMQSAEGRRR AASTCGSHLTAVSILYGTLIFMYLRPSSSYALDTDKMASVFYTLVIPALNPLIYSLRNKE VKEAFQRTWHRFCCPGRSTRDWPQEAH >ENSMUSP00000108528.1 pep:known chromosome:GRCm38:X:142317993:142390344:-1 gene:ENSMUSG00000031278.12 transcript:ENSMUST00000112907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl4 description:acyl-CoA synthetase long-chain family member 4 [Source:MGI Symbol;Acc:MGI:1354713] MNLKLNVLTIILLPVHLLITIYSALIFIPWYFLTNAKKKNAMAKRIKAKPTSDKPGSPYR SVTHFDSLAVIDIPGADTLDKLFDHAVAKFGKKDSLGTREILSEENEMQPNGKVFKKLIL GNYKWINYLEVNCRVNNFGSGLTALGLKPKNTIAIFCETRAEWMIAAQTCFKYNFPLVTL YATLGREAVVHGLNESEASYLITSVELLESKLKAALVDINCVKHIIYVDNKTINRAEYPE GLEIHSMQSVEELGAKPENLSVPPSRPTPSDMAIVMYTSGSTGRPKGVMMHHSNLIAGMT GQCERIPGLGPKDTYIGYLPLAHVLELTAEISCFTYGCRIGYSSPLTLSDQSSKIKKGSK GDCTVLKPTLMAAVPEIMDRIYKNVMSKVQEMNYVQKTLFKIGYDYKLEQIKKGYDAPLC NLILFKKVKALLGGNVRMMLSGGAPLSPQTHRFMNVCFCCPIGQGYGLTESCGAGTVTEV TDYTTGRVGAPLICCEIKLKDWQEGGYTVHDKPNPRGEIVIGGQNISMGYFKNEEKTAED YCVDENGQRWFCTGDIGEFHPDGCLQIIDRKKDLVKLQAGEYVSLGKVEAALKNCPLIDN ICAFAKSDQSYVISFVVPNQKKLTLLAQQKGVEGSWVDICNNPAMEAEILKEIREAANAM KLERFEIPIKVRLSPEPWTPETGLVTDAFKLKRKELKNHYLKDIERMYGGK >ENSMUSP00000108525.1 pep:known chromosome:GRCm38:X:142317993:142390504:-1 gene:ENSMUSG00000031278.12 transcript:ENSMUST00000112904.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl4 description:acyl-CoA synthetase long-chain family member 4 [Source:MGI Symbol;Acc:MGI:1354713] MAKRIKAKPTSDKPGSPYRSVTHFDSLAVIDIPGADTLDKLFDHAVAKFGKKDSLGTREI LSEENEMQPNGKVFKKLILGNYKWINYLEVNCRVNNFGSGLTALGLKPKNTIAIFCETRA EWMIAAQTCFKYNFPLVTLYATLGREAVVHGLNESEASYLITSVELLESKLKAALVDINC VKHIIYVDNKTINRAEYPEGLEIHSMQSVEELGAKPENLSVPPSRPTPSDMAIVMYTSGS TGRPKGVMMHHSNLIAGMTGQCERIPGLGPKDTYIGYLPLAHVLELTAEISCFTYGCRIG YSSPLTLSDQSSKIKKGSKGDCTVLKPTLMAAVPEIMDRIYKNVMSKVQEMNYVQKTLFK IGYDYKLEQIKKGYDAPLCNLILFKKVKALLGGNVRMMLSGGAPLSPQTHRFMNVCFCCP IGQGYGLTESCGAGTVTEVTDYTTGRVGAPLICCEIKLKDWQEGGYTVHDKPNPRGEIVI GGQNISMGYFKNEEKTAEDYCVDENGQRWFCTGDIGEFHPDGCLQIIDRKKDLVKLQAGE YVSLGKVEAALKNCPLIDNICAFAKSDQSYVISFVVPNQKKLTLLAQQKGVEGSWVDICN NPAMEAEILKEIREAANAMKLERFEIPIKVRLSPEPWTPETGLVTDAFKLKRKELKNHYL KDIERMYGGK >ENSMUSP00000108524.1 pep:known chromosome:GRCm38:X:142317993:142390504:-1 gene:ENSMUSG00000031278.12 transcript:ENSMUST00000112903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl4 description:acyl-CoA synthetase long-chain family member 4 [Source:MGI Symbol;Acc:MGI:1354713] MAKRIKAKPTSDKPGSPYRSVTHFDSLAVIDIPGADTLDKLFDHAVAKFGKKDSLGTREI LSEENEMQPNGKVFKKLILGNYKWINYLEVNCRVNNFGSGLTALGLKPKNTIAIFCETRA EWMIAAQTCFKYNFPLVTLYATLGREAVVHGLNESEASYLITSVELLESKLKAALVDINC VKHIIYVDNKTINRAEYPEGLEIHSMQSVEELGAKPENLSVPPSRPTPSDMAIVMYTSGS TGRPKGVMMHHSNLIAGMTGQCERIPGLGPKDTYIGYLPLAHVLELTAEISCFTYGCRIG YSSPLTLSDQSSKIKKGSKGDCTVLKPTLMAAVPEIMDRIYKNVMSKVQEMNYVQKTLFK IGYDYKLEQIKKGYDAPLCNLILFKKVKALLGGNVRMMLSGGAPLSPQTHRFMNVCFCCP IGQGYGLTESCGAGTVTEVTDYTTGRVGAPLICCEIKLKDWQEGGYTVHDKPNPRGEIVI GGQNISMGYFKNEEKTAEDYCVDENGQRWFCTGDIGEFHPDGCLQIIDRKKDLVKLQAGE YVSLGKVEAALKNCPLIDNICAFAKSDQSYVISFVVPNQKKLTLLAQQKGVEGSWVDICN NPAMEAEILKEIREAANAMKLERFEIPIKVRLSPEPWTPETGLVTDAFKLKRKELKNHYL KDIERMYGGK >ENSMUSP00000033634.4 pep:known chromosome:GRCm38:X:142317993:142390535:-1 gene:ENSMUSG00000031278.12 transcript:ENSMUST00000033634.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl4 description:acyl-CoA synthetase long-chain family member 4 [Source:MGI Symbol;Acc:MGI:1354713] MNLKLNVLTIILLPVHLLITIYSALIFIPWYFLTNAKKKNAMAKRIKAKPTSDKPGSPYR SVTHFDSLAVIDIPGADTLDKLFDHAVAKFGKKDSLGTREILSEENEMQPNGKVFKKLIL GNYKWINYLEVNCRVNNFGSGLTALGLKPKNTIAIFCETRAEWMIAAQTCFKYNFPLVTL YATLGREAVVHGLNESEASYLITSVELLESKLKAALVDINCVKHIIYVDNKTINRAEYPE GLEIHSMQSVEELGAKPENLSVPPSRPTPSDMAIVMYTSGSTGRPKGVMMHHSNLIAGMT GQCERIPGLGPKDTYIGYLPLAHVLELTAEISCFTYGCRIGYSSPLTLSDQSSKIKKGSK GDCTVLKPTLMAAVPEIMDRIYKNVMSKVQEMNYVQKTLFKIGYDYKLEQIKKGYDAPLC NLILFKKVKALLGGNVRMMLSGGAPLSPQTHRFMNVCFCCPIGQGYGLTESCGAGTVTEV TDYTTGRVGAPLICCEIKLKDWQEGGYTVHDKPNPRGEIVIGGQNISMGYFKNEEKTAED YCVDENGQRWFCTGDIGEFHPDGCLQIIDRKKDLVKLQAGEYVSLGKVEAALKNCPLIDN ICAFAKSDQSYVISFVVPNQKKLTLLAQQKGVEGSWVDICNNPAMEAEILKEIREAANAM KLERFEIPIKVRLSPEPWTPETGLVTDAFKLKRKELKNHYLKDIERMYGGK >ENSMUSP00000083341.5 pep:known chromosome:GRCm38:9:31386192:31421333:1 gene:ENSMUSG00000042185.13 transcript:ENSMUST00000086167.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfrkb description:nuclear factor related to kappa B binding protein [Source:MGI Symbol;Acc:MGI:2442410] MDSLDHMLTDPLELGPCGDGHSTGIMEDCLLGGTRVSLPEDLLEDPEIFFDVVSLSTWQE VLSDSQREHLQQFLPRFPADSVEQQRELILALFSGENFRFGNPLHIAQKLFRDGHFNPEV VKYRQLCFKSQYKRYLNSQQQYFHRLLKQILASRSDLLEMARRSGPALPFPHKHHSPSRS PEEREWRTQQRYLKVLREVKEECGDTALSSDEEDLSSWLPSSPARSPSPAVPLRVVPTLS TTDMKTADKIELGDSDLKLMLKKHHEKRKHQPDHPDLLTGDLTLSDIMTRVNAGRKGSLA ALYDLAVLKKKVKEKEEKKKKKIKLIKSEAEDLAEPLSSTEGVPTLSQAPSPLAISSIKE EPLEDIKPCLGINEISSSFFSLLLEILLLESQASLPMLEDRVLDWQSSPASSLNSWFSAA PNWAELVLPALQYLAGESRAVPSSFSPFVEFKEKTQQWKLLGQSQDNEKELAALFHLWLE TKDQAFCKENEDSSDAMTPVPRVRTDYVVRPSTGEEKRVFQEQERYRYSQPHKAFTFRMH GFESVVGPVKGVFDKETSLNKAREHSLLRSDRPAYVTILSLVRDAAARLPNGEGTRAEIC ELLKDSQFLAPDVTSTQVNTVVSGALDRLHYEKDPCVKYDIGRKLWIYLHRDRSEEEFER IHQAQAAAAKARKALQQKPKPPSKVKSSNKEGSTKGLSGPSEQSQMSLSDSSMPPTPVTP VTPTTPALPTPISPPPVSAVNRSGSSTVSEPAQSSSGVLLVSSPTMPQLGTMLSPASIQT PPSSQATARVVSHSSSAGLPQVRVVAQPSLPAVSQQSVGPAQPLPQMPAGPQIRVPVTAT QTKVVPQAVMATVPVKGQTAAASVQRPGPGQTGLTVTNLPAAVSPVSKTAMSSPGNSAPS ASTTAVIQNVTGQNIIKQVSITGQLGVKPQTGSSIPLTATNFRIQGKDVLRLPPSSITTD AKGQTVLRITPDMMATLAKSQVTTVKLTQDLFGAGSGTAGKGISATLHVTSNPVHAADSP AKAPSASVPSSAPAGTTVVKVTPDLKPTETANSAFRLMPALGVSVADQKGKNTVASSEAK PAATIRIVQGLGVMPPKAGQTITVAAHAKQGASVAGGSGTVHSSTVSLPSINAAVSKTVA VASGATSTPISIGTGAPTVRQVPVNTTVVSTSQSGKLPTRITVPLSVISQPMKGKSVVTA PIIKGNLGANLSGLGRNIILTTMPAGTKLIAGNKPVSFLTAQQLQQLQQQGQATQVRIQT VPASHLQQGTASGSSKAVSTVVVTTAPSPKQAPEQQ >ENSMUSP00000116404.1 pep:known chromosome:GRCm38:9:31386197:31421333:1 gene:ENSMUSG00000042185.13 transcript:ENSMUST00000132329.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nfrkb description:nuclear factor related to kappa B binding protein [Source:MGI Symbol;Acc:MGI:2442410] MDSLDHMLTDPLELGPCGDGHSTGIMEDCLLGGTRVSLPEDLLEDPEIFFDVVSLSTWQE VLSDSQREHLQQFLPRFPADSVEQQRELILALFSGENFRFGNPLHIAQKLFRDGHFNPEV VKYRQLCFKSQYKRYLNSQQQYFHRLLKQILASRSDLLEMARRSGPALPFPHKHHSPSRS PEEREWRTQQRYLKVLREVKEECGDTALSSDEEGE >ENSMUSP00000119025.1 pep:known chromosome:GRCm38:9:31386213:31421333:1 gene:ENSMUSG00000042185.13 transcript:ENSMUST00000152593.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nfrkb description:nuclear factor related to kappa B binding protein [Source:MGI Symbol;Acc:MGI:2442410] MDSLDHMLTDPLELGPCGDGHSTGIMEDCLLGGTRVSLPEDLLEDPEIFFDVVSLSTWQE VLSDSQREHLQQFLPRFPADSVEQQRELILALFSGENFRFGNPLHIAQKLFRDGHFNPEV VKYRQLCFKSQYKRYLNSQQQYFHRLLKQILASRSDLLEMARRSGPALPFPHKHHSPSRS PEEREWRTQQRYLKVLREVKEECGDTALSSDEEDLSSWLPSSPARSPSPAVPLRVVPTLS TTDMKTAGENRADSTAAS >ENSMUSP00000119622.1 pep:known chromosome:GRCm38:9:31386204:31394745:1 gene:ENSMUSG00000042185.13 transcript:ENSMUST00000131540.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nfrkb description:nuclear factor related to kappa B binding protein [Source:MGI Symbol;Acc:MGI:2442410] MDSLDHMLTDPLELGPCGDGHSTGIMEDCLLGGTRVSLPEDLLEDPEIFFDVVSLSTWQE VLSDSQREHLQQFLPRFPADSVEQQRELILALFSGENFRFGNPLHIAQKLFRGL >ENSMUSP00000080301.6 pep:known chromosome:GRCm38:2:83644435:83664622:1 gene:ENSMUSG00000027091.14 transcript:ENSMUST00000081591.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h15 description:zinc finger CCCH-type containing 15 [Source:MGI Symbol;Acc:MGI:1919747] MPPKKQAQAGGSKKAEQKKKEKIIEDKTFGLKNKKGAKQQKFIKAVTHQVKFGQQNPRQV AQSEAEKKLKKDDKKKELQELNELFKPVVAAQKISKGADPKSVVCAFFKQGQCTKGDKCK FSHDLTLERKCEKRSVYIDARDEELEKDTMDNWDEKKLEEVVNKKHGEAEKKKPKTQIVC RHFLEAIENNKYGWFWVCPGGGDNCMYRHALPPGFVLKKDKKKEEKEDEISLEDLIERER SALGPNVTKITLESFLAWKKRKRQEKIDKLEQDMERRKADFKAGKALVISGREVFEFRPE LVNDDDEEADDTRYIQGTGGDEVDDSMGVNDIDISLYVPRDVEETGITVASVERFSTYAP DKDENKLSEASGGLAENGERSDLDEDSGGGGQENGSIDAVPVDENLFTGEDLDELEEELN TLDLEE >ENSMUSP00000103929.1 pep:known chromosome:GRCm38:11:78357577:78386558:-1 gene:ENSMUSG00000002057.4 transcript:ENSMUST00000108294.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn1 description:forkhead box N1 [Source:MGI Symbol;Acc:MGI:102949] MVSLLPPQSDVTLPGSTRLEGEPQGDLMQAPGLPDSPAPQNKHANFSCSSFVPDGPPERT PSLPPHSPSIASPDPEQIQGHCTAGPGPGSFRLSPSEKYPGFGFEEGPAGSPGRFLKGNH MPFHPYKRHFHEDIFSEAQTAMALDGHSFKTQGALEAFEEIPVDMGDAEAFLPSFPAEAW CNKLPYPSQEHNQILQGSEVKVKPQALDSGPGMYCYQPPLQHMYCSSQPAFHQYSPGGGS YPVPYLGSPHYPYQRIAPQANAEGHQPLFPKPIYSYSILIFMALKNSKTGSLPVSEIYNF MTEHFPYFKTAPDGWKNSVRHNLSLNKCFEKVENKSGSSSRKGCLWALNPSKIDKMQEEL QKWKRKDPIAVRKSMAKPEELDSLIGDKREKLGSPLLGCPPPGLAGPGPIRPMAPSAGLS QPLHPMHPAPGPMPGKNPLQDLLGGHAPSCYGQTYPHLSPSLAPSGHQQPLFPQPDGHLE LQAQPGTPQDSPLPAHTPPSHGAKLMAEPSSARTMHDTLLPDGDLGTDLDAINPSLTDFD FQGNLWEQLKDDSLALDPLVLVTSSPTSSSMLPPPPAAHCFPPGPCLAETGNEAGELAPP GSGGSGALGDMHLSTLYSAFVELESTPSSAAAGPAVYLSPGSKPLALA >ENSMUSP00000024031.6 pep:known chromosome:GRCm38:9:106432981:106438319:-1 gene:ENSMUSG00000023262.12 transcript:ENSMUST00000024031.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acy1 description:aminoacylase 1 [Source:MGI Symbol;Acc:MGI:87913] MTTKDPESEHPSVTLFRQYLRICTVQPNPDYGGAITFLEERARQLGLSCQKIEVVPGFVI TVLTWPGTNPSLPSILLNSHTDVVPVFKEHWHHDPFEAFKDSEGYIYARGSQDMKSVSIQ YLEAVRRLKSEGHRFPRTIHMTFVPDEEVGGHKGMELFVKRPEFQALRAGFVLDEGLANP TDAFTVFYSERSPWWVQVTSTGKPGHASRFIEDTAAEKLHKVISSILAFREKERQRLQAN PHLKEGAVTSVNLTKLEGGVAYNVVPATMSASFDFRVAPDVDMKAFEKQLQRWCQEAGEG VTFEFAQKFTEPRMTPTDDSDPWWAAFSGACKAMNLTLEPEIFPAATDSRFIRAVGIPAL GFSPMNRTPVLLHDHNERLHEDIFLRGVDIYTGLLSALASVPTLPSES >ENSMUSP00000139931.1 pep:known chromosome:GRCm38:9:106434965:106438202:-1 gene:ENSMUSG00000023262.12 transcript:ENSMUST00000190803.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acy1 description:aminoacylase 1 [Source:MGI Symbol;Acc:MGI:87913] MTTKDPESEHPSVTLFRQYLRICTVQPNPDYGTLASRPL >ENSMUSP00000139953.1 pep:known chromosome:GRCm38:9:106435096:106438319:-1 gene:ENSMUSG00000023262.12 transcript:ENSMUST00000190972.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acy1 description:aminoacylase 1 [Source:MGI Symbol;Acc:MGI:87913] MTTKDPESEHPSVTLFRQYLRICTVQPNPDYGGAITFLEERARQLGLSCQKIEVVPGFVI TVLTWPGTNPSLPSILLNSHTDVVPVFKEHWHHDPFEAFKDSEGYIYARGSQDMKSVSIQ YLEAVRRLKSEGHRFPRTIHMTFVPDEEVGGHKGMELFVKRPEFQALRAGFVLDEGLANP TDAFTVFYSERSPWWVQVTSTGKPGHASRFIEDTAAEKL >ENSMUSP00000140582.1 pep:known chromosome:GRCm38:9:106436897:106438187:-1 gene:ENSMUSG00000023262.12 transcript:ENSMUST00000190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acy1 description:aminoacylase 1 [Source:MGI Symbol;Acc:MGI:87913] MTTKDPESEHPSVTLFRQYLRICTVQPNPDYGGAITFLEERARQLGLSCQ >ENSMUSP00000041053.7 pep:known chromosome:GRCm38:10:67537869:67542188:1 gene:ENSMUSG00000037868.15 transcript:ENSMUST00000048289.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr2 description:early growth response 2 [Source:MGI Symbol;Acc:MGI:95296] MMTAKAVDKIPVTLSGFMHQLPDSLYPVEDLAASSVTIFPNGELGGPFDQMNGVAGDGMI NIDMTGEKRPLDLPYPSSFAPISAPRNQTFTYMGKFSIDPQYPGASCYPEGIINIVSAGI LQGVTPPASTTASSSVTSASPNPLATGPLGVCTMSQTQPELDHLYSPPPPPPPYSGCTGD LYQDPSAFLSPPSTTSTSSLAYQPPPSYPSPKPAMDPGLIPMIPDYPGFFPSPCQRDPHG AAGPDRKPFPCPLDSLRVPPPLTPLSTIRNFTLGGPGAGVTGPGASGGGEGPRLPGSGSA AVTATPYNPHHLPLRPILRPRKYPNRPSKTPVHERPYPCPAEGCDRRFSRSDELTRHIRI HTGHKPFQCRICMRNFSRSDHLTTHIRTHTGEKPFACDYCGRKFARSDERKRHTKIHLRQ KERKSSAPSAPPSAQSSASGPGGSQAGGSLCGNSAIGGPLASCTSRTRTP >ENSMUSP00000101078.2 pep:known chromosome:GRCm38:10:67537870:67542188:1 gene:ENSMUSG00000037868.15 transcript:ENSMUST00000105438.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr2 description:early growth response 2 [Source:MGI Symbol;Acc:MGI:95296] MNGVAGDGMINIDMTGEKRPLDLPYPSSFAPISAPRNQTFTYMGKFSIDPQYPGASCYPE GIINIVSAGILQGVTPPASTTASSSVTSASPNPLATGPLGVCTMSQTQPELDHLYSPPPP PPPYSGCTGDLYQDPSAFLSPPSTTSTSSLAYQPPPSYPSPKPAMDPGLIPMIPDYPGFF PSPCQRDPHGAAGPDRKPFPCPLDSLRVPPPLTPLSTIRNFTLGGPGAGVTGPGASGGGE GPRLPGSGSAAVTATPYNPHHLPLRPILRPRKYPNRPSKTPVHERPYPCPAEGCDRRFSR SDELTRHIRIHTGHKPFQCRICMRNFSRSDHLTTHIRTHTGEKPFACDYCGRKFARSDER KRHTKIHLRQKERKSSAPSAPPSAQSSASGPGGSQAGGSLCGNSAIGGPLASCTSRTRTP >ENSMUSP00000116799.1 pep:known chromosome:GRCm38:10:67538683:67542188:1 gene:ENSMUSG00000037868.15 transcript:ENSMUST00000127820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr2 description:early growth response 2 [Source:MGI Symbol;Acc:MGI:95296] MINIDMTGEKRPLDLPYPSSFAPISAPRNQTFTYMGKFSIDPQYPGASCYPEGIINIVSA GILQGVTPPASTTASSSVTSASPNPLATGPLGVCTMSQTQPELDHLYSPPPPPPPYSGCT GDLYQDPSAFLSPPSTTSTSSLAYQPPPSYPSPKPAMDPGLIPMIPDYPGFFPSPCQRDP HGAAGPDRKPFPCPLDSLRVPPPLTPLSTIRNFTLGGPGAGVTGPGASGGGEGPRLPGSG SAAVTATPYNPHHLPLRPILRPRKYPNRPSKTPVHERPYPCPAEGCDRRFSRSDELTRHI RIHTGHKPFQCRICMRNFSRSDHLTTHIRTHTGEKPFACDYCGRKFARSDERKRHTKIHL RQKERKSSAPSAPPSAQSSASGPGGSQAGGSLCGNSAIGGPLASCTSRTRTP >ENSMUSP00000116502.1 pep:known chromosome:GRCm38:10:67537870:67538380:1 gene:ENSMUSG00000037868.15 transcript:ENSMUST00000130933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr2 description:early growth response 2 [Source:MGI Symbol;Acc:MGI:95296] MHQLPDSLYPVEDLAASSVTIFPNGELGGPFDQMNG >ENSMUSP00000116621.1 pep:known chromosome:GRCm38:10:67535475:67540246:1 gene:ENSMUSG00000037868.15 transcript:ENSMUST00000145754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr2 description:early growth response 2 [Source:MGI Symbol;Acc:MGI:95296] MRVGLPTEASSCLWSARGPRDWPERRRSGLAHVLPDSLYPVEDLAASSVTIFPNGELGGP FDQMNGVAGDGMINIDMTGEKRPLDLPYPSSFAPISAPRNQTFTYMGKFSIDPQYPGASC YPEGIINIVSAGILQGVTPPASTTASSSVTSASPNPLATGPLGVCTMSQTQPELDHLYSP PPPPPPYSGCTGDLYQDPSAFLSPPSTTSTSSLAYQPPPSYPSPKPAMDPGLIPMIP >ENSMUSP00000115709.1 pep:known chromosome:GRCm38:10:67535583:67540381:1 gene:ENSMUSG00000037868.15 transcript:ENSMUST00000145936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr2 description:early growth response 2 [Source:MGI Symbol;Acc:MGI:95296] MRVGLPTEASSCLWSARGPRDWPERRRSGLAHVLPDSLYPVEDLAASSVTIFPNGELGGP FDQMNGVAGDGMINIDMTGEKRPLDLPYPSSFAPISAPRNQTFTYMGKFSIDPQYPGASC YPEGIINIVSAGILQGVTPPASTTASSSVTSASPNPLATGPLGVCTMSQTQPELDHLYSP PPPPPPYSGCTGDLYQDPSAFLSPPSTTSTSSLAYQPPPSYPSPKPAMDPGLIPMIPDYP GFFPSPCQRDPHGAAGPDRKPFPCPLDSLRVPPPLTPLSTIR >ENSMUSP00000118941.1 pep:known chromosome:GRCm38:10:67537930:67539941:1 gene:ENSMUSG00000037868.15 transcript:ENSMUST00000146986.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr2 description:early growth response 2 [Source:MGI Symbol;Acc:MGI:95296] MNGVAGDGMINIDMTGEKRPLDLPYPSSFAPISAPRNQTFTYMGKFSIDPQYPGASCYPE GIINIVSAGILQ >ENSMUSP00000100960.1 pep:known chromosome:GRCm38:10:81346048:81350480:-1 gene:ENSMUSG00000020232.17 transcript:ENSMUST00000105323.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmg20b description:high mobility group 20B [Source:MGI Symbol;Acc:MGI:1341190] MSHGPRQPGAATAPAGGKTPGQHGAFVVAVKQERSEGSRAGEKGPQEEEPVKKRGWPKGK KRKKILPNGPKAPVTGYVRFLNERREQIRTRHPDLPFPEITKMLGAEWSKLQPAEKQRYL DEAEKEKQQYLKELWAYQQSEAYKVCTEKIQENKIKKEDSSSGLMNTLLNGHKGVDCDGF STFDVPIFTEEFLDQNKAREAELRRLRKMNVAFEEQNAVLQRHTQSMSSARERLEQELAL EERRTLALQQQLQAVRQALTASFASLPVPGTGETPTLGTLDFYMARLHGAIERDPAQHER LIARVKEILARVASEHL >ENSMUSP00000137861.1 pep:known chromosome:GRCm38:10:81346051:81350191:-1 gene:ENSMUSG00000020232.17 transcript:ENSMUST00000122993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmg20b description:high mobility group 20B [Source:MGI Symbol;Acc:MGI:1341190] MLGAEWSKLQPAEKQRYLDEAEKEKQQYLKELWAYQQSEAYKVCTEKIQENKIKKEDSSS GLMNTLLNGHKGVDCDGFSTFDVPIFTEEFLDQNKAREAELRRLRKMNVAFEEQNAVLQR HTQSMSSARERLEQELALEERRTLALQQQLQAVRQALTASFASLPVPGTGETPTLGTLDF YMARLHGAIERDPAQHERLIARVKEILARVASEHL >ENSMUSP00000020454.4 pep:known chromosome:GRCm38:10:81346051:81350424:-1 gene:ENSMUSG00000020232.17 transcript:ENSMUST00000020454.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmg20b description:high mobility group 20B [Source:MGI Symbol;Acc:MGI:1341190] MSHGPRQPGAATAPAGGKTPGQHGAFVVAVKQERSEGSRAGEKGPQEEEPVKKRGWPKGK KRKKILPNGPKAPVTGYVRFLNERREQIRTRHPDLPFPEITKMLGAEWSKLQPAEKQRYL DEAEKEKQQYLKELWAYQQSEAYKVCTEKIQENKIKKEDSSSGLMNTLLNGHKGVDCDGF STFDVPIFTEEFLDQNKAREAELRRLRKMNVAFEEQNAVLQRHTQSMSSARERLEQELAL EERRTLALQQQLQAVRQALTASFASLPVPGTGETPTLGTLDFYMARLHGAIERDPAQHER LIARVKEILARVASEHL >ENSMUSP00000100961.2 pep:known chromosome:GRCm38:10:81346051:81350426:-1 gene:ENSMUSG00000020232.17 transcript:ENSMUST00000105324.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmg20b description:high mobility group 20B [Source:MGI Symbol;Acc:MGI:1341190] MSHGPRQPGAATAPAGGKTPGQHGAFVVAVKQERSEGSRAGEKGPQEEEPVKKRGWPKGK KRKKILPNGPKAPVTGYVRFLNERREQIRTRHPDLPFPEITKMLGAEWSKLQPAEKQRYL DEAEKEKQQYLKELWAYQQSEAYKVCTEKIQENKIKKEDSSSGLMNTLLNGHKGVDCDGF STFDVPIFTEEFLDQNKAREAELRRLRKMNVAFEEQNAVLQRHTQSMSSARERLEQELAL EERRTLALQQQLQAVRQALTASFASLPVPGTGETPTLGTLDFYMARLHGAIERDPAQHER LIARVKEILARVASEHL >ENSMUSP00000117322.1 pep:known chromosome:GRCm38:10:81346759:81349085:-1 gene:ENSMUSG00000020232.17 transcript:ENSMUST00000141171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmg20b description:high mobility group 20B [Source:MGI Symbol;Acc:MGI:1341190] XKKRGWPKGKKRKKILPNGPKAPVTGYVRFLNERREQIRTRHPDLPFPEITKMLGAEWSK LQPAEKQRYLDEAEKEKQQYLKELWAYQQSEAYKVCTEKIQENKIKKEDSSSGLMNTLLN GHKGVDCDGFSTFDVPIFTEEFLDQNKAREAELRRLRKMNVAFEEQNAVLQRHTQSMSSA RERLEQELALEERRTLALQQQLQAVRQALTASFASLPVPGAQPRPHLRSRPHFSIRPRPS SDPPPR >ENSMUSP00000115459.1 pep:known chromosome:GRCm38:10:81346997:81350443:-1 gene:ENSMUSG00000020232.17 transcript:ENSMUST00000154609.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmg20b description:high mobility group 20B [Source:MGI Symbol;Acc:MGI:1341190] MSHGPRQPGAATAPAGGKTPGQHGAFVVAVKQERSEGSRAGEKGPQEEEPVKKRGWPKGK KRKKILPNGPKAPVTGYVRFLNERREQIRTRHPDLPFPEITKMLGAEWSKLQPAEKQRYL DEAEKEKQQYLKELWAYQQSEAYKVCTEKIQENKIKKEDSSSGLMNTLLNGHKATQAPEM WVPTELIAMSQGVDCDGFSTFDVPIFTEEFLDQNKAREAELRRLRKMNVAFEEQN >ENSMUSP00000128807.1 pep:known chromosome:GRCm38:10:81346052:81350327:-1 gene:ENSMUSG00000020232.17 transcript:ENSMUST00000167481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmg20b description:high mobility group 20B [Source:MGI Symbol;Acc:MGI:1341190] MSHGPRQPGAATAPAGGKTPGQHGAFVVAVKQERSEGSRAGEKGPQEEEPVKKRGWPKGK KRKKILPNGPKAPVTGYVRFLNERREQIRTRHPDLPFPEITKMLGAEWSKLQPAEKQRYL DEAEKEKQQYLKELWAYQQSEAYKVCTEKIQENKIKKEDSSSGLMNTLLNGHKGVDCDGF STFDVPIFTEEFLDQNKAREAELRRLRKMNVAFEEQNAVLQRHTQSMSSARERLEQELAL EERRTLALQQQLQAVRQALTASFASLPVPGTGETPTLGTLDFYMARLHGAIERDPAQHER LIARVKEILARVASEHL >ENSMUSP00000027861.4 pep:known chromosome:GRCm38:1:164796644:164824266:1 gene:ENSMUSG00000026574.5 transcript:ENSMUST00000027861.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpt description:dermatopontin [Source:MGI Symbol;Acc:MGI:1928392] MDLTLLWVLLPLVTTAWGQYGGYGYPYQQYQDYGDDGWVNLNRQGFSYQCPHGQVVVAVR SIFSKKEGSDRQWNYACMPTPQSLGEPTECWWEEINRAGMEWYQKCSNNGLVAGFQSRYF ESVLDREWQFYCCRYSKRCPYSCWMTTEYPSHYGEDMDMISYDYDFYMRGATTTFSAVER DRQWKFIMCRMTDYDCEFENV >ENSMUSP00000068039.6 pep:known chromosome:GRCm38:19:40089688:40113950:1 gene:ENSMUSG00000054827.12 transcript:ENSMUST00000068094.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c50 description:cytochrome P450, family 2, subfamily c, polypeptide 50 [Source:MGI Symbol;Acc:MGI:2147497] MDPILVLVFTLSCLFLLSLWRQSSERGKLPPGPTPLPIIGNILQINVKDICQSFTNLSKV YGPVYTLYLGRKPTVVLHGYEAVKEALVDHGEEFAGRGRLPVFDKATNGMGIIFSKGNVW KNTRRFSLTTLRNLGMGKRSIEDRVQEEARCLVEELRKTNGSPCDPTFILGCAPCNVICS IIFQDRFDYKDRDFLNLMEKLNEITKIMSTPWLQENGNYPLKNRLEHLAITVTDLFSAGT ETTSTTLRYALLLLLKYPHVTAKVQEEIEHVIGKHRRPCMQDRSHMPYTDAMIHEVQRFI DLVPNSLPHEVTCDIKFRNYFIPKGTNVITSLSSVLRDSKEFPNPEKFDPGHFLDENGKF KKSDYFMPFSTGKRICAGEGLARMELFLFLTSILQNFNLKPLVHPKDIDVTPMLIGLASV PPAFQLCFIPS >ENSMUSP00000079065.2 pep:known chromosome:GRCm38:19:40089696:40113950:1 gene:ENSMUSG00000054827.12 transcript:ENSMUST00000080171.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c50 description:cytochrome P450, family 2, subfamily c, polypeptide 50 [Source:MGI Symbol;Acc:MGI:2147497] MDPILVLVFTLSCLFLLSLWRQSSERGKLPPGPTPLPIIGNILQINVKDICQSFTNLSKV YGPVYTLYLGRKPTVVLHGYEAVKEALVDHGEEFAGRGRLPVFDKATNGMGIIFSKGNVW KNTRRFSLTTLRNLGMGKRSIEDRVQEEARCLVEELRKTNGSPCDPTFILGCAPCNVICS IIFQDRFDYKDRDFLNLMEKLNEITKIMSTPWLQVCNTFPVLLDYCPGSHNKVFKNYACI KNFLLEKIKEHEESLDVTIPRDFIDYFLINGGQENGNYPLKNRLEHLAITVTDLFSAGTE TTSTTLRYALLLLLKYPHVTAKVQEEIEHVIGKHRRPCMQDRSHMPYTDAMIHEVQRFID LVPNSLPHEVTCDIKFRNYFIPKGTNVITSLSSVLRDSKEFPNPEKFDPGHFLDENGKFK KSDYFMPFSTGKRICAGEGLARMELFLFLTSILQNFNLKPLVHPKDIDVTPMLIGLASVP PAFQLCFIPS >ENSMUSP00000106163.1 pep:known chromosome:GRCm38:13:14063792:14199362:1 gene:ENSMUSG00000039219.17 transcript:ENSMUST00000110534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid4b description:AT rich interactive domain 4B (RBP1-like) [Source:MGI Symbol;Acc:MGI:2137512] MKALDEPPYLTVGTDVSAKYRGAFCEAKIKTAKRLVKVKVTFRHDSSTVEVQDDHIKGPL KVGAIVEVKNLDGAYQEAVINKLTDASWYTVVFDDGDEKTLRRSSLCLKGERHFAESETL DQLPLTNPEHFGTPVIGKKTNRGRRSNHIPEEESSSSSSDDDEEERKQTDELLGKVVCVD YVSLEKKKAMWFPALVVCPDCSDEIAVKKDNILVRSFKDGKFTSVPRKDVHEITSDTVPK PDAVLKQAFDQALEFHKSRAIPANWKTELKEDSSSSEAEEEEEEEDDEKEKEDNSSEEEE EIEPFPEERENFLQQLYKFMEDRGTPINKRPVLGYRNLNLFKLFRLVHKLGGFDNIESGA VWKQVYQDLGIPVLNSAAGYNVKCAYKKYLYGFEEYCRSANIDFQMALPEKVLNKPCKDC ENKEVKVKEESETEIKEVNVEDSKNVMPKEETPAEDESERKENIKPSLGSKKSLLECIPA QSDEEKEAHITKLEENENLEDKDGGRARTEEAFSTEVDGEEEQARSGDETNKEEDEDDEE IEEEEEEDEEEDEDEDDDDNNEEEEFECYPPGMKVQVRYGRGKNQKMYEASIKDSDVEGG EALYLVHYCGWNVRYDEWIKADKIVRPADKNVPKIKHRKKIKNKLDKEKDRDEKYSPKNC KLRRLSKSPFQSNPSPEMVSKLDLADAKNSDTAHIKSIEITSILNGLQASESSAEDSEQE DERCTQDVDNIGKDESKVEHSTHSRNELISKEEQSSPSLLEENKVHTDLVIAKTVSKSPE RLRKDMEAISEDTDFEEEDEITKKRKDVKKDTTDKALKPQTKRGKRRYCSADECLQTGSP GKKEDRTKSKEPLCTENSSNSSSDEDEEEKSKAKMTPTKKYNGLEEKRKSLRTTSFYSGF SEVAEKRIKLLNNSDERLQNNRAKDRKDVWSSIQGQWPKKTLKELFSDSDTEAAASPPHP APDEGAVEESLQTVAEEESCSPIMELEKPLPASVDNKPIEEKPLEVSDRKTEFPSSGSNS VLNTPPTTPESPSSVTITEASQQQSSVTVSVPLPPNQEEVRSIKSETDSTIEVDSVVGEL QDLQSEGNSSPAGFDASVSSSSSNQPEPDNPEKACTGQKRVKDTQGVGSSSKKQKRSHKA TVVNNKKKGKGTNSSDSEELSAGESVTKTQTIKSVPTGMKTHNSKSPARVQSPGKGGRNG DKDPDLKEPSNRLPKVYKWSFQTSDLENMTSAERISILQEKLQEIRKHYLSLKSEVASID RRRKRLKKKERESAATSSSSSSPSSSSITAAVMLTLAEPSMSSASQNGMSVECR >ENSMUSP00000118687.1 pep:known chromosome:GRCm38:13:14063784:14169960:1 gene:ENSMUSG00000039219.17 transcript:ENSMUST00000129488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid4b description:AT rich interactive domain 4B (RBP1-like) [Source:MGI Symbol;Acc:MGI:2137512] MKALDEPPYLTVGTDVSAKYRGAFCEAKIKTAKRLVKVKVTFRHDSSTVEVQDDHIKGPL KVGAIVEVKNLDGAYQEAVINKLTDASWYTVVFDDGDEKTLRRSSLCLKGERHFAESETL DQLPLTNPEHFGTPVIGKKTNRGRRSNHIPEEESSSSSSDDDEEERKQTDELLGKVVCVD YVSLEKKKAMWFPALVVCPDCSDEIAVKKDNILVRSFKDGKFTSVPRKDVHEITSDTVPK PDAVLKQAFDQALEFHKSRAIPANWKTELKEDSSSSEAEEEEEEEDDEKEKEDNSSEEEE EIEPFPEERENFLQQLYKFMEDRGTPINKRPVLGYRNLNLFKLFRLVHKLGGFDNIESGA VWKQVYQDLGIPVLNSAAGYNVKCAYKKYLYGFEEYCRSANIDFQMALPEKVLNKPCKDC ENKEVKVKEESETEIKEVNVEDSKNVMPKEETPAEDESERKENIKPSLGSKKSLLECIPA QSDEEKEAHITKLEENENLEDKDGGRARTEEAFSTEVDGEEEQARSGDETNKEEDEDDEE >ENSMUSP00000106162.1 pep:known chromosome:GRCm38:13:14063799:14165682:1 gene:ENSMUSG00000039219.17 transcript:ENSMUST00000110533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid4b description:AT rich interactive domain 4B (RBP1-like) [Source:MGI Symbol;Acc:MGI:2137512] MKALDEPPYLTVGTDVSAKYRGAFCEAKIKTAKRLVKVKVTFRHDSSTVEVQDDHIKGPL KVGAIVEVKNLDGAYQEAVINKLTDASWYTVVFDDGDEKTLRRSSLCLKGERHFAESETL DQLPLTNPEHFGTPVIGKKTNRGRRSNHIPEEESSSSSSDDDEEERKQTDELLGKVVCVD YVSLEKKKAMWFPALVVCPDCSDEIAVKKDNILVRSFKDGKFTSVPRKDVHEITSDTVPK PDAVLKQAFDQALEFHKSRAIPANWKTELKEDSSSSEAEEEEEEEDDEKEKEDNSSEEEE EIEPFPEERENFLQQLYKFMEDRGTPINKRPVLGYRNLNLFKLFRLVHKLGGFDNIESGA VWKQVYQDLGIPVLNSAAGYNVKCAYKKYLYGFEEYCRSANIDFQMALPEKVLNKPCKDC ENKEVKVKEESETEIKEVNVEDSKNVMPKEETPAEDESERKENIKPSLVTSYC >ENSMUSP00000106165.1 pep:known chromosome:GRCm38:13:14063785:14199367:1 gene:ENSMUSG00000039219.17 transcript:ENSMUST00000110536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid4b description:AT rich interactive domain 4B (RBP1-like) [Source:MGI Symbol;Acc:MGI:2137512] MKALDEPPYLTVGTDVSAKYRGAFCEAKIKTAKRLVKVKVTFRHDSSTVEVQDDHIKGPL KVGAIVEVKNLDGAYQEAVINKLTDASWYTVVFDDGDEKTLRRSSLCLKGERHFAESETL DQLPLTNPEHFGTPVIGKKTNRGRRSNHIPEEESSSSSSDDDEEERKQTDELLGKVVCVD YVSLEKKKAMWFPALVVCPDCSDEIAVKKDNILVRSFKDGKFTSVPRKDVHEITSDTVPK PDAVLKQAFDQALEFHKSRAIPANWKTELKEDSSSSEAEEEEEEEDDEKEKEDNSSEEEE EIEPFPEERENFLQQLYKFMEDRGTPINKRPVLGYRNLNLFKLFRLVHKLGGFDNIESGA VWKQVYQDLGIPVLNSAAGYNVKCAYKKYLYGFEEYCRSANIDFQMALPEKVLNKPCKDC ENKEVKVKEESETEIKEVNVEDSKNVMPKEETPAEDESERKENIKPSLGSKKSLLECIPA QSDEEKEAHITKLEENENLEDKDGGRARTEEAFSTEVDGEEEQARSGYDEWIKADKIVRP ADKNVPKIKHRKKIKNKLDKEKDRDEKYSPKNCKLRRLSKSPFQSNPSPEMVSKLDLADA KNSDTAHIKSIEITSILNGLQASESSAEDSEQEDERCTQDVDNIGKDESKVEHSTHSRNE LISKEEQSSPSLLEENKVHTDLVIAKTVSKSPERLRKDMEAISEDTDFEEEDEITKKRKD VKKDTTDKALKPQTKRGKRRYCSADECLQTGSPGKKEDRTKSKEPLCTENSSNSSSDEDE EEKSKAKMTPTKKYNGLEEKRKSLRTTSFYSGFSEVAEKRIKLLNNSDERLQNNRAKDRK DVWSSIQGQWPKKTLKELFSDSDTEAAASPPHPAPDEGAVEESLQTVAEEESCSPIMELE KPLPASVDNKPIEEKPLEVSDRKTEFPSSGSNSVLNTPPTTPESPSSVTITEASQQQSSV TVSVPLPPNQEEVRSIKSETDSTIEVDSVVGELQDLQSEGNSSPAGFDASVSSSSSNQPE PDNPEKACTGQKRVKDTQGVGSSSKKQKRSHKATVVNNKKKGKGTNSSDSEELSAGESVT KTQTIKSVPTGMKTHNSKSPARVQSPGKGGRNGDKDPDLKEPSNRLPKVYKWSFQTSDLE NMTSAERISILQEKLQEIRKHYLSLKSEVASIDRRRKRLKKKERESAATSSSSSSPSSSS ITAAVMLTLAEPSMSSASQNGMSVECR >ENSMUSP00000119147.1 pep:known chromosome:GRCm38:13:14169979:14193725:1 gene:ENSMUSG00000039219.17 transcript:ENSMUST00000149579.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid4b description:AT rich interactive domain 4B (RBP1-like) [Source:MGI Symbol;Acc:MGI:2137512] XDEEEDEDEDDDDNNEEEEFECYPPGMKVQVRYDEWIKADKIVRPADKNVPKIKHRKKIK NKLDKEKDRDEKYSPKNCKLRRLSKSPFQSNPSPEMVSKLDLADAKNSDTAHIKSIEITS ILNGLQASESSAEDSEQEDERCTQDVDNIGKDESKVEHSTHSRNELISKEEQSSPSLLEE NKVHTDLVIAKTVSKSPERLRKDMEAISEDTDFEEEDEITKKRKDVKKDTTDKALKPQTK RGKRRYCSADECLQTGSPGKKEDRTKSKEPLCTENSSNSSSDEDEEEKSKAKMTPTKKYN GLEEKRKSLRTTSFYSGFSEVAEKRIKLLNNSDERLQNNRAKDRKDVWSSIQGQWPKKTL KELFSDSDTEAAASPPHPAPDEGAVEESLQTVAEEESCSPIMELEKPLPASVDNKPIEEK PLEVSDRKTEFPSSGSNSVLNTPPTTPESPSSVTITEASQQQSSVTVSVPLPPNQEEVRS IKSETDSTIEVDSVVGELQDLQSEGNSSPAGFDASVSSSSSNQPEPDNPEKACTGQKRVK DTQGVGSSSKKQKRSHKATVVNNKKKGKGSKYKICFEASRSKNNSCPPPQRLFDYRIILH FFPHNLKSHTGWTVGAHL >ENSMUSP00000043889.8 pep:known chromosome:GRCm38:13:14063792:14199603:1 gene:ENSMUSG00000039219.17 transcript:ENSMUST00000039538.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid4b description:AT rich interactive domain 4B (RBP1-like) [Source:MGI Symbol;Acc:MGI:2137512] MKALDEPPYLTVGTDVSAKYRGAFCEAKIKTAKRLVKVKVTFRHDSSTVEVQDDHIKGPL KVGAIVEVKNLDGAYQEAVINKLTDASWYTVVFDDGDEKTLRRSSLCLKGERHFAESETL DQLPLTNPEHFGTPVIGKKTNRGRRSNHIPEEESSSSSSDDDEEERKQTDELLGKVVCVD YVSLEKKKAMWFPALVVCPDCSDEIAVKKDNILVRSFKDGKFTSVPRKDVHEITSDTVPK PDAVLKQAFDQALEFHKSRAIPANWKTELKEDSSSSEAEEEEEEEDDEKEKEDNSSEEEE EIEPFPEERENFLQQLYKFMEDRGTPINKRPVLGYRNLNLFKLFRLVHKLGGFDNIESGA VWKQVYQDLGIPVLNSAAGYNVKCAYKKYLYGFEEYCRSANIDFQMALPEKVLNKPCKDC ENKEVKVKEESETEIKEVNVEDSKNVMPKEETPAEDESERKENIKPSLGSKKSLLECIPA QSDEEKEAHITKLEENENLEDKDGGRARTEEAFSTEVDGEEEQARSGYDEWIKADKIVRP ADKNVPKIKHRKKIKNKLDKEKDRDEKYSPKNCKLRRLSKSPFQSNPSPEMVSKLDLADA KNSDTAHIKSIEITSILNGLQASESSAEDSEQEDERCTQDVDNIGKDESKVEHSTHSRNE LISKEEQSSPSLLEENKVHTDLVIAKTVSKSPERLRKDMEAISEDTDFEEEDEITKKRKD VKKDTTDKALKPQTKRGKRRYCSADECLQTGSPGKKEDRTKSKEPLCTENSSNSSSDEDE EEKSKAKMTPTKKYNGLEEKRKSLRTTSFYSGFSEVAEKRIKLLNNSDERLQNNRAKDRK DVWSSIQGQWPKKTLKELFSDSDTEAAASPPHPAPDEGAVEESLQTVAEEESCSPIMELE KPLPASVDNKPIEEKPLEVSDRKTEFPSSGSNSVLNTPPTTPESPSSVTITEASQQQSSV TVSVPLPPNQEEVRSIKSETDSTIEVDSVVGELQDLQSEGNSSPAGFDASVSSSSSNQPE PDNPEKACTGQKRVKDTQGVGSSSKKQKRSHKATVVNNKKKGKGTNSSDSEELSAGESVT KTQTIKSVPTGMKTHNSKSPARVQSPGKGGRNGDKDPDLKEPSNRLPKVYKWSFQTSDLE NMTSAERISILQEKLQEIRKHYLSLKSEVASIDRRRKRLKKKERESAATSSSSSSPSSSS ITAAVMLTLAEPSMSSASQNGMSVECR >ENSMUSP00000082313.5 pep:known chromosome:GRCm38:9:96119362:96180190:1 gene:ENSMUSG00000041440.18 transcript:ENSMUST00000085217.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gk5 description:glycerol kinase 5 (putative) [Source:MGI Symbol;Acc:MGI:2443336] MSGQQERAERQREELSASASPPSRFVLGLDVGSTVIRCHVYDQTARVRGSSAQKVENVYP QPGWVEIDPDSLWAQFVAVIKDAVKAAGVQMNQIVGLGISTQRATFITWNKKTGHHFHNF ISWQDLRAAELVKSWNNSLIMKLLHGATRVLHFFSRSKVMLTVSRFNFSTQHATLRLTWI LQNLSEVKRAVEEDNCCFGTIDTWLLYKLTKGSSYATDYSNASTTGFFDPYAMRWSRLIT TMVSIPLSILPPVKDTSYNFGSVDEKIFGVPIPVVALVGDQQSAMFGECCFETGDVKLTM GTGTFLDINTGKNLQHVNGGFYPLIGWKIGQELVCLAEGNAGDTGTAIMWAQKLDLFTDA AETEKMALSLEDSEGVYFVPSFSGLQAPLNDPCACASFMGLKHSTNKYHLVRAILESIAF RNKQLYDMLQREIQIPVTNIRADGGVCNNAFVMQMTSDLINEKIDRPAHFDMSCLGAASL AGLAVGFWADKEELQKLRQSEMVFKPQKKWQEYEVNMENWVKAVKRSMNWYNKT >ENSMUSP00000112717.1 pep:known chromosome:GRCm38:9:96119375:96184608:1 gene:ENSMUSG00000041440.18 transcript:ENSMUST00000122383.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gk5 description:glycerol kinase 5 (putative) [Source:MGI Symbol;Acc:MGI:2443336] MSGQQERAERQREELSASASPPSRFVLGLDVGSTVIRCHVYDQTARVRGSSAQKVENVYP QPGWVEIDPDSLWAQFVAVIKDAVKAAGVQMNQIVGLGISTQRATFITWNKKTGHHFHNF ISWQDLRAAELVKSWNNSLIMKLLHGATRVLHFFSRSKVMLTVSRFNFSTQHATLRLTWI LQNLSEVKRAVEEDNCCFGTIDTWLLYKLTKGSSYATDYSNASTTGFFDPYAMRWSRLIT TMVSIPLSILPPVKDTSYNFGSVDEKIFGVPIPVVALVGDQQSAMFGECCFETGDVKLTM GTGTFLDINTGKNLQHVNGGFYPLIGWKIGQELVCLAEGNAGDTGTAIMWAQKLDLFTDA AETEKMALSLEDSEGVYFVPSFSGLQAPLNDPCACASFMGLKHSTNKYHLVRAILESIAF RNKQLYDMLQREIQIPVTNIRADGGVCNNAFVMQMTSDLINEKIDRPAHFDMSCLGAASL AGLAVGFWADKEELQKLRQSEMVFKPQKKWQEYEAF >ENSMUSP00000123594.1 pep:known chromosome:GRCm38:9:96119432:96182810:1 gene:ENSMUSG00000041440.18 transcript:ENSMUST00000129774.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gk5 description:glycerol kinase 5 (putative) [Source:MGI Symbol;Acc:MGI:2443336] MSGQQERAERQREELSASASPPSRFVLGLDVGSTVIRCHVYDQTARVRGSSAQKLQGCR >ENSMUSP00000031492.8 pep:known chromosome:GRCm38:5:115631908:115647736:1 gene:ENSMUSG00000029518.15 transcript:ENSMUST00000031492.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab35 description:RAB35, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1924657] MARDYDHLFKLLIIGDSGVGKSSLLLRFADNTFSGSYITTIGVDFKIRTVEINGEKVKLQ IWDTAGQERFRTITSTYYRGTHGVIVVYDVTSAESFVNVKRWLHEINQNCDDVCRILVGN KNDDPERKVVETEDAYKFAGQMGIQLFETSAKENVNVEEMFNCITELVLRAKKDNLAKQQ QQQQNDVVKLTKNSKRKKRCC >ENSMUSP00000138402.1 pep:known chromosome:GRCm38:5:115632141:115646119:1 gene:ENSMUSG00000029518.15 transcript:ENSMUST00000138885.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab35 description:RAB35, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1924657] MARDYDHLFKLLIIGDSGVGKSSLLLRFADNTFSATSPQSEWISRFGLWRSTGRR >ENSMUSP00000027860.7 pep:known chromosome:GRCm38:1:164931644:164935527:-1 gene:ENSMUSG00000026573.7 transcript:ENSMUST00000027860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xcl1 description:chemokine (C motif) ligand 1 [Source:MGI Symbol;Acc:MGI:104593] MRLLLLTFLGVCCLTPWVVEGVGTEVLEESSCVNLQTQRLPVQKIKTYIIWEGAMRAVIF VTKRGLKICADPEAKWVKAAIKTVDGRASTRKNMAETVPTGAQRSTSTAITLTG >ENSMUSP00000044280.3 pep:known chromosome:GRCm38:X:93675102:93749951:1 gene:ENSMUSG00000035246.16 transcript:ENSMUST00000045898.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyt1b description:phosphate cytidylyltransferase 1, choline, beta isoform [Source:MGI Symbol;Acc:MGI:2147987] MPVLTTDAESETGIPKSLSNEPPSETMEEIEHTCPQPRLTLTAPAPFADESSCQCQAPHE KLTVAQARLGTPVDRPVRVYADGIFDLFHSGHARALMQAKTLFPNSYLLVGVCSDDLTHK FKGFTVMNEAERYEALRHCRYVDEVIRDAPWTLTPEFLEKHKIDFVAHDDIPYSSAGSDD VYKHIKEAGMFVPTQRTEGISTSDIITRIVRDYDVYARRNLQRGYTAKELNVSFINEKKY RFQNQVDKMKEKVKNVEERSKEFVNRVEEKSHDLIQKWEEKSREFIGNFLELFGPDGAWK QMFQERSSRMLQALSPKQSPVSSPTRSRSPSRSPSPTFSWLPNKTSPPSSPKAASASISS MSEGDEDEK >ENSMUSP00000109566.2 pep:known chromosome:GRCm38:X:93654863:93749951:1 gene:ENSMUSG00000035246.16 transcript:ENSMUST00000113933.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcyt1b description:phosphate cytidylyltransferase 1, choline, beta isoform [Source:MGI Symbol;Acc:MGI:2147987] MDKDEFSRKTLTAPAPFADESSCQCQAPHEKLTVAQARLGTPVDRPVRVYADGIFDLFHS GHARALMQAKTLFPNSYLLVGVCSDDLTHKFKGFTVMNEAERYEALRHCRYVDEVIRDAP WTLTPEFLEKHKIDFVAHDDIPYSSAGSDDVYKHIKEAGMFVPTQRTEGISTSDIITRIV RDYDVYARRNLQRGYTAKELNVSFINEKKYRFQNQVDKMKEKVKNVEERSKEFVNRVEEK SHDLIQKWEEKSREFIGNFLELFGPDGAWKQMFQERSSRMLQALSPKQSPVSSPTRSRSP SRSPSPTFSWLPNKTSPPSSPKAASASISSMSEGDEDEK >ENSMUSP00000127842.1 pep:known chromosome:GRCm38:7:44900373:44929496:-1 gene:ENSMUSG00000060279.14 transcript:ENSMUST00000166972.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2a1 description:adaptor-related protein complex 2, alpha 1 subunit [Source:MGI Symbol;Acc:MGI:101921] MPAVSKGDGMRGLAVFISDIRNCKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVC KLLFIFLLGHDIDFGHMEAVNLLSSNKYTEKQIGYLFISVLVNSNSELIRLINNAIKNDL ASRNPTFMCLALHCIANVGSREMGEAFAADIPRILVAGDSMDSVKQSAALCLLRLYKASP DLVPMGEWTARVVHLLNDQHMGVVTAAVSLITCLCKKNPDDFKTCISLAVSRLSRIVSSA STDLQDYTYYFVPAPWLSVKLLRLLQCYPPPEDAAVKGRLVECLETVLNKAQEPPKSKKV QHSNAKNAILFETISLIIHYDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSE FSHEAVKTHIDTVINALKTERDVSVRQRAADLLYAMCDRSNAKQIVSEMLRYLETADYAI REEIVLKVAILAEKYAVDYSWYVDTILNLIRIAGDYVSEEVWYRVLQIVTNRDDVQGYAA KTVFEALQAPACHENMVKVGGYILGEFGNLIAGDPRSSPPVQFSLLHSKFHLCSVATRAL LLSTYIKFINLFPETKATIQGVLRAGSQLRNADVELQQRAVEYLTLSSVASTDVLATVLE EMPPFPERESSILAKLKRKKGPGAASALDDSRRDTSSNDINGGVEPTPSTVSTPSPSADL LGLRAAPPPAAPPAPVGGNLLVDVFSDGPTAQPSLGPTPEEAFLSELEPPAPESPMALLA DPAPAADPGPEDIGPPIPEADELLNKFVCKNSGVLFENQLLQIGVKSEFRQNLGRMYLFY GNKTSVQFQNFLPTVVHPGDLQTQLAVQTKRVAAQVDGGAQVQQVLNIECLRDFLTPPLL SVRFRYGGTAQSLTLKLPVTINKFFQPTEMAAQDFFQRWKQLSLPLQEAQKIFKANHPMD AEVTKAKLLGFGSALLDNVDPNPENFVGAGIIQTKALQVGCLLRLEPNAQAQMYRLTLRT SKEPVSRHLCELLAQQF >ENSMUSP00000103489.3 pep:known chromosome:GRCm38:7:44900385:44929426:-1 gene:ENSMUSG00000060279.14 transcript:ENSMUST00000107857.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2a1 description:adaptor-related protein complex 2, alpha 1 subunit [Source:MGI Symbol;Acc:MGI:101921] MPAVSKGDGMRGLAVFISDIRNCKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVC KLLFIFLLGHDIDFGHMEAVNLLSSNKYTEKQIGYLFISVLVNSNSELIRLINNAIKNDL ASRNPTFMCLALHCIANVGSREMGEAFAADIPRILVAGDSMDSVKQSAALCLLRLYKASP DLVPMGEWTARVVHLLNDQHMGVVTAAVSLITCLCKKNPDDFKTCISLAVSRLSRIVSSA STDLQDYTYYFVPAPWLSVKLLRLLQCYPPPEDAAVKGRLVECLETVLNKAQEPPKSKKV QHSNAKNAILFETISLIIHYDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSE FSHEAVKTHIDTVINALKTERDVSVRQRAADLLYAMCDRSNAKQIVSEMLRYLETADYAI REEIVLKVAILAEKYAVDYSWYVDTILNLIRIAGDYVSEEVWYRVLQIVTNRDDVQGYAA KTVFEALQAPACHENMVKVGGYILGEFGNLIAGDPRSSPPVQFSLLHSKFHLCSVATRAL LLSTYIKFINLFPETKATIQGVLRAGSQLRNADVELQQRAVEYLTLSSVASTDVLATVLE EMPPFPERESSILAKLKRKKGPGAASALDDSRRDTSSNDINGGVEPTPSTVSTPSPSADL LGLRAAPPPAAPPAPVGGNLLVDVFSDGPTAQPSLGPTPEEAFLSPGPEDIGPPIPEADE LLNKFVCKNSGVLFENQLLQIGVKSEFRQNLGRMYLFYGNKTSVQFQNFLPTVVHPGDLQ TQLAVQTKRVAAQVDGGAQVQQVLNIECLRDFLTPPLLSVRFRYGGTAQSLTLKLPVTIN KFFQPTEMAAQDFFQRWKQLSLPLQEAQKIFKANHPMDAEVTKAKLLGFGSALLDNVDPN PENFVGAGIIQTKALQVGCLLRLEPNAQAQMYRLTLRTSKEPVSRHLCELLAQQF >ENSMUSP00000146814.1 pep:known chromosome:GRCm38:7:44900992:44903884:-1 gene:ENSMUSG00000060279.14 transcript:ENSMUST00000208472.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2a1 description:adaptor-related protein complex 2, alpha 1 subunit [Source:MGI Symbol;Acc:MGI:101921] XADLLGLRAAPPPAAPPAPVGGNLLVDVFSDGPTAQPSLGPTPEEAFLSPGPEDIGPPIP EADELLNKFVCKNSGVLFENQLLQIGVKSEFRQNLGVSWWPRGGIGVLGRGGVVGSIPWL GWWQGMGSEELGWSPHPPAAGRMYLFYGNKTSVQFQNFLPTVVHPGDLQTQLAVQTKRVA AQVDGGAQVQQVLNIECLRDFLTPPLLSVRFRYGGTAQSLTLKLPVTINKFFQPTEMAAQ DFFQRWKQLSLPLQEAQKIFKANHPMDAEVTKAKLLGFGSALLDNVDPNPENFVGAGIIQ TKALQVGCLLRLEPNAQ >ENSMUSP00000146338.1 pep:known chromosome:GRCm38:7:44906114:44909828:-1 gene:ENSMUSG00000060279.14 transcript:ENSMUST00000207814.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap2a1 description:adaptor-related protein complex 2, alpha 1 subunit [Source:MGI Symbol;Acc:MGI:101921] XDSMDSVKQSAALCLLRLYKASPDLVPMGEWTARVVHLLNDQHMGFPSDTQHEVPHA >ENSMUSP00000146398.1 pep:known chromosome:GRCm38:7:44916001:44929466:-1 gene:ENSMUSG00000060279.14 transcript:ENSMUST00000208405.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap2a1 description:adaptor-related protein complex 2, alpha 1 subunit [Source:MGI Symbol;Acc:MGI:101921] MPAVSKGDGMRGLAVFISDIRNWFLCVSLAVLEFDLAE >ENSMUSP00000127497.1 pep:known chromosome:GRCm38:7:44900374:44929490:-1 gene:ENSMUSG00000060279.14 transcript:ENSMUST00000167930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2a1 description:adaptor-related protein complex 2, alpha 1 subunit [Source:MGI Symbol;Acc:MGI:101921] MPAVSKGDGMRGLAVFISDIRNCKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVC KLLFIFLLGHDIDFGHMEAVNLLSSNKYTEKQIGYLFISVLVNSNSELIRLINNAIKNDL ASRNPTFMCLALHCIANVGSREMGEAFAADIPRILVAGDSMDSVKQSAALCLLRLYKASP DLVPMGEWTARVVHLLNDQHMGVVTAAVSLITCLCKKNPDDFKTCISLAVSRLSRIVSSA STDLQDYTYYFVPAPWLSVKLLRLLQCYPPPEDAAVKGRLVECLETVLNKAQEPPKSKKV QHSNAKNAILFETISLIIHYDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSE FSHEAVKTHIDTVINALKTERDVSVRQRAADLLYAMCDRSNAKQIVSEMLRYLETADYAI REEIVLKVAILAEKYAVDYSWYVDTILNLIRIAGDYVSEEVWYRVLQIVTNRDDVQGYAA KTVFEALQAPACHENMVKVGGYILGEFGNLIAGDPRSSPPVQFSLLHSKFHLCSVATRAL LLSTYIKFINLFPETKATIQGVLRAGSQLRNADVELQQRAVEYLTLSSVASTDVLATVLE EMPPFPERESSILAKLKRKKGPGAASALDDSRRDTSSNDINGGVEPTPSTVSTPSPSADL LGLRAAPPPAAPPAPVGGNLLVDVFSDGPTAQPSLGPTPEEAFLSPGPEDIGPPIPEADE LLNKFVCKNSGVLFENQLLQIGVKSEFRQNLGRMYLFYGNKTSVQFQNFLPTVVHPGDLQ TQLAVQTKRVAAQVDGGAQVQQVLNIECLRDFLTPPLLSVRFRYGGTAQSLTLKLPVTIN KFFQPTEMAAQDFFQRWKQLSLPLQEAQKIFKANHPMDAEVTKAKLLGFGSALLDNVDPN PENFVGAGIIQTKALQVGCLLRLEPNAQAQMYRLTLRTSKEPVSRHLCELLAQQF >ENSMUSP00000082519.6 pep:known chromosome:GRCm38:7:44900374:44929490:-1 gene:ENSMUSG00000060279.14 transcript:ENSMUST00000085399.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap2a1 description:adaptor-related protein complex 2, alpha 1 subunit [Source:MGI Symbol;Acc:MGI:101921] MPAVSKGDGMRGLAVFISDIRNCKSKEAEIKRINKELANIRSKFKGDKALDGYSKKKYVC KLLFIFLLGHDIDFGHMEAVNLLSSNKYTEKQIGYLFISVLVNSNSELIRLINNAIKNDL ASRNPTFMCLALHCIANVGSREMGEAFAADIPRILVAGDSMDSVKQSAALCLLRLYKASP DLVPMGEWTARVVHLLNDQHMGVVTAAVSLITCLCKKNPDDFKTCISLAVSRLSRIVSSA STDLQDYTYYFVPAPWLSVKLLRLLQCYPPPEDAAVKGRLVECLETVLNKAQEPPKSKKV QHSNAKNAILFETISLIIHYDSEPNLLVRACNQLGQFLQHRETNLRYLALESMCTLASSE FSHEAVKTHIDTVINALKTERDVSVRQRAADLLYAMCDRSNAKQIVSEMLRYLETADYAI REEIVLKVAILAEKYAVDYSWYVDTILNLIRIAGDYVSEEVWYRVLQIVTNRDDVQGYAA KTVFEALQAPACHENMVKVGGYILGEFGNLIAGDPRSSPPVQFSLLHSKFHLCSVATRAL LLSTYIKFINLFPETKATIQGVLRAGSQLRNADVELQQRAVEYLTLSSVASTDVLATVLE EMPPFPERESSILAKLKRKKGPGAASALDDSRRDTSSNDINGGVEPTPSTVSTPSPSADL LGLRAAPPPAAPPAPVGGNLLVDVFSDGPTAQPSLGPTPEEAFLSELEPPAPESPMALLA DPAPAADPGPEDIGPPIPEADELLNKFVCKNSGVLFENQLLQIGVKSEFRQNLGRMYLFY GNKTSVQFQNFLPTVVHPGDLQTQLAVQTKRVAAQVDGGAQVQQVLNIECLRDFLTPPLL SVRFRYGGTAQSLTLKLPVTINKFFQPTEMAAQDFFQRWKQLSLPLQEAQKIFKANHPMD AEVTKAKLLGFGSALLDNVDPNPENFVGAGIIQTKALQVGCLLRLEPNAQAQMYRLTLRT SKEPVSRHLCELLAQQF >ENSMUSP00000063562.3 pep:known chromosome:GRCm38:9:8900468:8965425:1 gene:ENSMUSG00000031870.16 transcript:ENSMUST00000070463.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgr description:progesterone receptor [Source:MGI Symbol;Acc:MGI:97567] MTELQAKDPQVLHTSGASPSPPHIGSPLLARLDSGPFQGSQHSDVSSVVSPIPISLDGLL FPRSCRGPELPDGKTGDQQSLSDVEGAFSGVEATHREGGRNSRAPEKDSRLLDSVLDSLL TPSGTEQSHASPPACEAITSWCLFGPELPEDPRSVPATKGLLSPLMSRPEIKAGDSSGTG AGQKVLPKGLSPPRQLLLPTSGSAHWPGAGVKPSPQPAAGEVEEDSGLETEGSAAPLLKS KPRALEGTGSGGGVAANAASAAPGGVTLVPKEDSRFSAPRVSLEQDSPIAPGRSPLATTV VDFIHVPILPLNHALLAARTRQLLEGDSYDGGATAQGPFAPPRGSPSAPSPPVPCGDFPD CTYPLEGDPKEDVFPLYGDFQTPGLKIKEEEEGADAAVRSPRPYLSAGASSSTFPDFPLA PAPQRAPSSRPGEAAVAGGPSSAAVSPASSSGSALECILYKAEGAPPTQGSFAPLPCKPP AAGSCLLPRDSLPAAPATAAAPAIYQPLGLNGLPQLGYQAAVLKDSLPQVYPPYLNYLRP DSEASQSPQYGFDSLPQKICLICGDEASGCHYGVLTCGSCKVFFKRAMEGQHNYLCAGRN DCIVDKIRRKNCPACRLRKCCQAGMVLGGRKFKKFNKVRVMRTLDGVALPQSVGLPNESQ ALGQRITFSPNQEIQLVPPLINLLMSIEPDVVYAGHDNTKPDTSSSLLTSLNQLGERQLL SVVKWSKSLPGFRNLHIDDQITLIQYSWMSLMVFGLGWRSYKHVSGQMLYFAPDLILNEQ RMKELSFYSLCLTMWQIPQEFVKLQVTHEEFLCMKVLLLLNTIPLEGLRSQSQFEEMRSS YIRELIKAIGLRQKGVVPSSQRFYQLTKLLDSLHDLVKQLHLYCLNTFIQSRTLAVEFPE MMSEVIAAQLPKILAGMVKPLLFHKK >ENSMUSP00000096584.3 pep:known chromosome:GRCm38:9:8900468:8965425:1 gene:ENSMUSG00000031870.16 transcript:ENSMUST00000098986.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgr description:progesterone receptor [Source:MGI Symbol;Acc:MGI:97567] MSRPEIKAGDSSGTGAGQKVLPKGLSPPRQLLLPTSGSAHWPGAGVKPSPQPAAGEVEED SGLETEGSAAPLLKSKPRALEGTGSGGGVAANAASAAPGGVTLVPKEDSRFSAPRVSLEQ DSPIAPGRSPLATTVVDFIHVPILPLNHALLAARTRQLLEGDSYDGGATAQGPFAPPRGS PSAPSPPVPCGDFPDCTYPLEGDPKEDVFPLYGDFQTPGLKIKEEEEGADAAVRSPRPYL SAGASSSTFPDFPLAPAPQRAPSSRPGEAAVAGGPSSAAVSPASSSGSALECILYKAEGA PPTQGSFAPLPCKPPAAGSCLLPRDSLPAAPATAAAPAIYQPLGLNGLPQLGYQAAVLKD SLPQVYPPYLNYLRPDSEASQSPQYGFDSLPQKICLICGDEASGCHYGVLTCGSCKVFFK RAMEGQHNYLCAGRNDCIVDKIRRKNCPACRLRKCCQAGMVLGGRKFKKFNKVRVMRTLD GVALPQSVGLPNESQALGQRITFSPNQEIQLVPPLINLLMSIEPDVVYAGHDNTKPDTSS SLLTSLNQLGERQLLSVVKWSKSLPGFRNLHIDDQITLIQYSWMSLMVFGLGWRSYKHVS GQMLYFAPDLILNEQRMKELSFYSLCLTMWQIPQEFVKLQVTHEEFLCMKVLLLLNTIPL EGLRSQSQFEEMRSSYIRELIKAIGLRQKGVVPSSQRFYQLTKLLDSLHDLVKQLHLYCL NTFIQSRTLAVEFPEMMSEVIAAQLPKILAGMVKPLLFHKK >ENSMUSP00000140124.1 pep:known chromosome:GRCm38:9:8899833:8968611:1 gene:ENSMUSG00000031870.16 transcript:ENSMUST00000189181.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgr description:progesterone receptor [Source:MGI Symbol;Acc:MGI:97567] MTELQAKDPQVLHTSGASPSPPHIGSPLLARLDSGPFQGSQHSDVSSVVSPIPISLDGLL FPRSCRGPELPDGKTGDQQSLSDVEGAFSGVEATHREGGRNSRAPEKDSRLLDSVLDSLL TPSGTEQSHASPPACEAITSWCLFGPELPEDPRSVPATKGLLSPLMSRPEIKAGDSSGTG AGQKVLPKGLSPPRQLLLPTSGSAHWPGAGVKPSPQPAAGEVEEDSGLETEGSAAPLLKS KPRALEGTGSGGGVAANAASAAPGGVTLVPKEDSRFSAPRVSLEQDSPIAPGRSPLATTV VDFIHVPILPLNHALLAARTRQLLEGDSYDGGATAQGPFAPPRGSPSAPSPPVPCGDFPD CTYPLEGDPKEDVFPLYGDFQTPGLKIKEEEEGADAAVRSPRPYLSAGASSSTFPDFPLA PAPQRAPSSRPGEAAVAGGPSSAAVSPASSSGSALECILYKAEGAPPTQGSFAPLPCKPP AAGSCLLPRDSLPAAPATAAAPAIYQPLGLNGLPQLGYQAAVLKDSLPQVYPPYLNYLRP DSEASQSPQYGFDSLPQKICLICGDEASGCHYGVLTCGSCKVFFKRAMEGQHNYLCAGRN DCIVDKIRRKNCPACRLRKCCQAGMVLGGRKFKKFNKVRVMRTLDGVALPQSVGLPNESQ ALGQRITFSPNQEIQLVPPLINLLMSIEPDVVYAGHDNTKPDTSSSLLTSLNQLGERQLL SVVKWSKSLPGFRNLHIDDQITLIQYSWMSLMVFGLGWRSYKHVSGQMLYFAPDLILNEQ RMKELSFYSLCLTMWQIPQEFVKLQVTHEEFLCMKVLLLLNTIPLEGLRSQSQFEEMRSS YIRELIKAIGLRQKGVVPSSQRFYQLTKLLDSLHDLVKQLHLYCLNTFIQSRTLAVEFPE MMSEVIAAQLPKILAGMVKPLLFHKK >ENSMUSP00000103602.3 pep:known chromosome:GRCm38:7:43824499:43832030:1 gene:ENSMUSG00000050063.18 transcript:ENSMUST00000107968.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk6 description:kallikrein related-peptidase 6 [Source:MGI Symbol;Acc:MGI:1343166] MPMKMLTMKMLALCLVLAKSAWSEEQEKVVHGGPCLKDSHPFQAALYTSGHLLCGGVLID PQWVLTAAHCKKPNLQVILGKHNLRQTETFQRQISVDRTIVHPRYNPETHDNDIMMVHLK NPVKFSKKIQPLPLKNDCSEENPNCQILGWGKMENGDFPDTIQCADVHLVPREQCERAYP GKITQSMVCAGDMKEGNDSCQGDSGGPLVCGGRLRGLVSWGDMPCGSKEKPGVYTDVCTH IRWIQNILRNKWL >ENSMUSP00000103600.3 pep:known chromosome:GRCm38:7:43824558:43831786:1 gene:ENSMUSG00000050063.18 transcript:ENSMUST00000107966.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk6 description:kallikrein related-peptidase 6 [Source:MGI Symbol;Acc:MGI:1343166] MPMKMLTMKMLALCLVLAKSAWSEEQEKVVHGGPCLKDSHPFQAALYTSGHLLCGGVLID PQWVLTAAHCKKPNLQVILGKHNLRQTETFQRQISVDRTIVHPRYNPETHDNDIMMVHLK NPVKFSKKIQPLPLKNDCSEENPNCQILGWGKMENGDFPDTIQCADVHLVPREQCERAYP GKITQSMVCAGDMKEGNDSCQGDSGGPLVCGGRLRGLVSWGDMPCGSKEKPGVYTDVCTH IRWIQNILRNKWL >ENSMUSP00000135591.1 pep:known chromosome:GRCm38:7:43824570:43828594:1 gene:ENSMUSG00000050063.18 transcript:ENSMUST00000177514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk6 description:kallikrein related-peptidase 6 [Source:MGI Symbol;Acc:MGI:1343166] MPMKMLTMKMLALCLVLAKSAWSEEQEKVVHGGPCLKDSHPFQAALYTSGHLLCGGVLID PQWVLTAAHCKKPNLQVILGKHNLRQTETFQRQISVDRTIVHPRYNPETHDNDIMMVHLK NPVKFSKKI >ENSMUSP00000103601.1 pep:known chromosome:GRCm38:7:43825395:43831786:1 gene:ENSMUSG00000050063.18 transcript:ENSMUST00000107967.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk6 description:kallikrein related-peptidase 6 [Source:MGI Symbol;Acc:MGI:1343166] MPMKMLTMKMLALCLVLAKSAWSEEQEKVVHGGPCLKDSHPFQAALYTSGHLLCGGVLID PQWVLTAAHCKKPNLQVILGKHNLRQTETFQRQISVDRTIVHPRYNPETHDNDIMMVHLK NPVKFSKKIQPLPLKNDCSEENPNCQILGWGKMENGDFPDTIQCADVHLVPREQCERAYP GKITQSMVCAGDMKEGNDSCQGDSGGPLVCGGRLRGLVSWGDMPCGSKEKPGVYTDVCTH IRWIQNILRNKWL >ENSMUSP00000058699.5 pep:known chromosome:GRCm38:7:49910146:49963856:1 gene:ENSMUSG00000039728.15 transcript:ENSMUST00000056442.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a5 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 5 [Source:MGI Symbol;Acc:MGI:105090] MNKQPANILEAAVPGHRDSPRAPRTSPEQDLPAEAPTATVQPPRVPRSASTGAQTFQSAD ARACEAQQSGVGFCNLSSPRAQATSAALRDLSEGHSAQANPPSGPAGAGNALHCKIPALR GPEEDANVSVGKGTLEHNNTPAVGWVNMSQSTVVLGTDGIASVLPGSVATTTIPEDEQGD ENKARGNWSSKLDFILSMVGYAVGLGNVWRFPYLAFQNGGGAFLIPYLMMLALAGLPIFF LEVSLGQFASQGPVSVWKAIPALQGCGIAMLIISVLIAIYYNVIICYTLFYLFASFVSVL PWGSCNNPWNTPECKDKTKLLLDSCVIGDHPKIQIKNSTFCMTAYPNLTMVNFTSQTNKT FVSGSEEYFKYFVLKISAGIEYPGEIRWPLAFCLFLAWVIVYASLAKGIKSSGKVVYFTA TFPYVVLVILLIRGVTLPGAGAGIWYFITPKWEKLTDATVWKDAATQIFFSLSAAWGGLI TLSSYNKFHNNCYRDTLIVTCTNSATSIFAGFVIFSVIGFMANERKVNIENVADQGPGIA FVVYPEALTRLPLSPFWAIIFFLMLLTLGLDTMFATIETIVTSISDEFPKYLRTHKPVFT LGCCICFFIMGFPMITQGGIYMFQLVDTYAASYALVIIAIFELVGISYVYGLQRFCEDIE MMIGFKPNIFWKVCWAFVTPTILTFILCFSFYQWEPMTYGSYRYPNWSMVLGWLMLACSV IWIPIMFVIKMYLAPGRFIERLKLVCSPQPDWGPFLAQHRGERYKNMIDPLGTSSLGLKL PVKDLELGTQC >ENSMUSP00000146430.1 pep:known chromosome:GRCm38:7:49910299:49959492:1 gene:ENSMUSG00000039728.15 transcript:ENSMUST00000209172.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a5 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 5 [Source:MGI Symbol;Acc:MGI:105090] MNKQPANILEAAVPGHRDSPRAPRTSPEQDLPAEAPTATVQPPRVPRSASTGAQTFQSAD ARACEAQQSGVGFCNLSSPRAQATSAALRDLSEGHSAQANPPSGPAGAGNALHCKIPALR GPEEDANVSVGKGTLEHNNTPAVGWVNMSQSTVVLGTDGIASVLPGSVATTTIPARSSSL T >ENSMUSP00000146917.1 pep:known chromosome:GRCm38:7:49910769:49963856:1 gene:ENSMUSG00000039728.15 transcript:ENSMUST00000207753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a5 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 5 [Source:MGI Symbol;Acc:MGI:105090] MNKQPANILEAAVPGHRDSPRAPRTSPEQDLPAEAPTATVQPPRVPRSASTGAQTFQSAD ARACEAQQSGVGFCNLSSPRAQATSAALRDLSEGHSAQANPPSGPAGAGNALHCKIPALR GPEEDANVSVGKGTLEHNNTPAVGWVNMSQSTVVLGTDGIASVLPGSVATTTIPEDEQGD ENKARGNWSSKLDFILSMVGYAVGLGNVWRFPYLAFQNGGGAFLIPYLMMLALAGLPIFF LEVSLGQFASQGPVSVWKAIPALQGCGIAMLIISVLIAIYYNVIICYTLFYLFASFVSVL PWGSCNNPWNTPECKDKTKLLLDSCVIGDHPKIQIKNSTFCMTAYPNLTMVNFTSQTNKT FVSGSEEYFKYFVLKISAGIEYPGEIRWPLAFCLFLAWVIVYASLAKGIKSSGKVVYFTA TFPYVVLVILLIRGVTLPGAGAGIWYFITPKWEKLTDATVWKDAATQIFFSLSAAWGGLI TLSSYNKFHNNCYRDTLIVTCTNSATSIFAGFVIFSVIGFMANERKVNIENVADQGPGIA FVVYPEALTRLPLSPFWAIIFFLMLLTLGLDTMFATIETIVTSISDEFPKYLRTHKPVFT LGCCICFFIMGFPMITQGGIYMFQLVDTYAASYALVIIAIFELVGISYVYGLQRFCEDIE MMIGFKPNIFWKVCWAFVTPTILTFILCFSFYQWEPMTYGSYRYPNWSMVLGWLMLACSV IWIPIMFVIKMYLAPGRFIERLKLVCSPQPDWGPFLAQHRGERYKNMIDPLGTSSLGLKL PVKDLELGTQC >ENSMUSP00000103230.2 pep:known chromosome:GRCm38:7:49911700:49959493:1 gene:ENSMUSG00000039728.15 transcript:ENSMUST00000107605.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a5 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 5 [Source:MGI Symbol;Acc:MGI:105090] MNKQPANILEAAVPGHRDSPRAPRTSPEQDLPAEAPTATVQPPRVPRSASTGAQTFQSAD ARACEAQQSGVGFCNLSSPRAQATSAALRDLSEGHSAQANPPSGPAGAGNALHCKIPALR GPEEDANVSVGKGTLEHNNTPAVGWVNMSQSTVVLGTDGIASVLPGSVATTTIPEDEQGD ENKARGNWSSKLDFILSMVGYAVGLGNVWRFPYLAFQNGGGAFLIPYLMMLALAGLPIFF LEVSLGQFASQGPVSVWKAIPALQGCGIAMLIISVLIAIYYNVIICYTLFYLFASFVSVL PWGSCNNPWNTPECKDKTKLLLDSCVIGDHPKIQIKNSTFCMTAYPNLTMVNFTSQTNKT FVSGSEEYFKYFVLKISAGIEYPGEIRWPLAFCLFLAWVIVYASLAKGIKSSGKVVYFTA TFPYVVLVILLIRGVTLPGAGAGIWYFITPKWEKLTDATVWKDAATQIFFSLSAAWGGLI TLSSYNKFHNNCYRDTLIVTCTNSATSIFAGFVIFSVIGFMANERKVNIENVADQGPGIA FVVYPEALTRLPLSPFWAIIFFLMLLTLGLDTMFATIETIVTSISDEFPKYLRTHKPVFT LGCCICFFIMGFPMITQGGIYMFQLVDTYAASYALVIIAIFELVGISYVYGLQRFCEDIE MMIGFKPNIFWKVCWAFVTPTILTFILCFSFYQWEPMTYGSYRYPNWSMVLGWLMLACSV IWIPIMFVIKMYLAPGRFIERLKLVCSPQPDWGPFLAQHRGERYKNMIDPLGTSSLGLKL PVKDLELGTQC >ENSMUSP00000018002.6 pep:known chromosome:GRCm38:2:163017354:163046141:1 gene:ENSMUSG00000017858.12 transcript:ENSMUST00000018002.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift52 description:intraflagellar transport 52 [Source:MGI Symbol;Acc:MGI:2387217] MEKELRSTILFNAYKKEVFTTNTGYKSLQKRLRSNWKIQSLKDEITSEKLIGVKLWITAG PREKFTAAEFEVLKKYLDSGGDILVMLGEGGESRFDTNINFLLEEYGIMVNNDAVVRNVY YKYFHPKEALVSDGVLNREISRAAGKAVPGVIDEENSGNNAQALTFVYPFGATLSVMKPA VAVLSTGSVCFPLNRPILAFYHSKNQGFGKLAVLGSCHMFSDQYLDKEENSKIMDVVFQW LTTGDIHLNQIDAEDPEISDYTMVPDTATLSEQLRVCLQEGDENPRDFTTLFDLSIYQLD TTCLPKVIKAHEELNVKHEPLQLVQPQFEMPLPALQPAVFPPSFRELPPPPLELFDLDET FSSEKARLAQITNKCTDEDLEFYVRKCGDILGVTSKLPKDQQDAKHILEHIFFQVVEFKK LNQEAH >ENSMUSP00000121943.1 pep:known chromosome:GRCm38:2:163017491:163031270:1 gene:ENSMUSG00000017858.12 transcript:ENSMUST00000150396.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift52 description:intraflagellar transport 52 [Source:MGI Symbol;Acc:MGI:2387217] MEKELRSTILFNAYKKEVFTTNTGYKSLQKRLRSNWKIQSLKDEITSEKLIGVKLWITAG PREKFTAAEFEVLKKYLDSGGDILVMLGEGGESRFDTNINFLLEEYGIMVNNDAVVRNVY YKYFHPKEALVSDGVLNREISRAAGKAVPGVIDEENSGNNAQALTFVYPFGATLSVMKPA VAVLSTGSVCFPLNRPILAFYHSKNQGFGKLAVLGSCHMFSDQYLDKEENSKIM >ENSMUSP00000109346.1 pep:known chromosome:GRCm38:2:29965560:30031451:1 gene:ENSMUSG00000057738.13 transcript:ENSMUST00000113717.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptan1 description:spectrin alpha, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98386] MDPSGVKVLETAEDIQERRQQVLDRYHRFKELSTLRRQKLEDSYRFQFFQRDAEELEKWI QEKLQVASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDETGNLMISEGHFASETI RTRLMELHRQWELLLEKMREKGIKLLQAQKLVQYLRECEDVMDWINDKEAIVTSEELGQD LEHVEVLQKKFEEFQTDLAAHEERVNEVSQFAAKLIQEQHPEEELIKTKQDEVNAAWQRL KGLALQRQGKLFGAAEVQRFNRDVDETIGWIKEKEQLMASDDFGRDLASVQALLRKHEGL ERDLAALEDKVKALCAEADRLQQSHPLSASQIQVKREELITNWEQIRTLAAERHARLDDS YRLQRFLADFRDLTSWVTEMKALINADELANDVAGAEALLDRHQEHKGEIDAHEDSFKSA DESGQALLAASHYASDEVREKLSILSEERTALLELWELRRQQYEQCMDLQLFYRDTEQVD NWMSKQEAFLLNEDLGDSLDSVEALLKKHEDFEKSLSAQEEKITALDEFATKLIQNNHYA MEDVATRRDALLSRRNALHERAMHRRAQLADSFHLQQFFRDSDELKSWVNEKMKTATDEA YKDPSNLQGKVQKHQAFEAELSANQSRIDALEKAGQKLIDVNHYAKEEVAARMNEVISLW KKLLEATELKGIKLREANQQQQFNRNVEDIELWLYEVEGHLASDDYGKDLTNVQNLQKKH ALLEADVAAHQDRIDGITIQARQFQDAGHFDAENIKKKQEALVARYEALKEPMVARKQKL ADSLRLQQLFRDVEDEETWIREKEPIAASTNRGKDLIGVQNLLKKHQALQAEIAGHEPRI KAVTQKGNAMVEEGHFAAEDVKAKLSELNQKWEALKAKASQRRQDLEDSLQAQQYFADAN EAESWMREKEPIVGSTDYGKDEDSAEALLKKHEALMSDLSAYGSSIQALREQAQSCRQQV APMDDETGKELVLALYDYQEKSPREVTMKKGDILTLLNSTNKDWWKVEVNDRQGFVPAAY VKKLDPAQSASRENLLEEQGSIALRQGQIDNQYQSLLELGEKRKGMLEKSCKKFMLFREA NELQQWITEKEAALTNEEVGADLEQVEVLQKKFDDFQKDLKANESRLKDINKVAEDLESE GLMAEEVQAVQQQEVYGAMPRDEADSKTASPWKSARLMVHTVATFNSIKELNERWRSLQQ LAEERSQLLGSAHEVQRFHRDADETKEWIEEKNQALNTDNYGHDLASVQALQRKHEGFER DLAALGDKVNSLGETAQRLIQSHPESAEDLKEKCTELNQAWTSLGKRADQRKAKLGDSHD LQRFLSDFRDLMSWINGIRGLVSSDELAKDVTGAEALLERHQEHRTEIDARAGTFQAFEQ FGQQLLAHGHYASPEIKEKLDILDQERTDLEKAWVQRRMMLDHCLELQLFHRDCEQAENW MAAREAFLNTEDKGDSLDSVEALIKKHEDFDKAINVQEEKIAALQAFADQLIAVDHYAKG DIANRRNEVLDRWRRLKAQMIEKRSKLGESQTLQQFSRDVDEIEAWISEKLQTASDESYK DPTNIQLSKLLSKHQKHQAFEAELHANADRIRGVIDMGNSLIERGACAGSEDAVKARLAA LADQWQFLVQKSAEKSQKLKEANKQQNFNTGIKDFDFWLSEVEALLASEDYGKDLASVNN LLKKHQLLEADISAHEDRLKDLNSQADSLMTSSAFDTSQVKEKRDTINGRFQKIKSMATS RRAKLSESHRLHQFFRDMDDEESWIKEKKLLVSSEDYGRDLTGVQNLRKKHKRLEAELAA HEPAIQGVLDTGKKLSDDNTIGQEEIQQRLAQFVEHWKELKQLAAARGQRLEESLEYQQF VANVEEEEAWINEKMTLVASEDYGDTLAAIQGLLKKHEAFETDFTVHKDRVNDVCTNGQD LIKKNNHHEENISSKMKGLNGKVSDLEKAAAQRKAKLDENSAFLQFNWKADVVESWIGEK ENSLKTDDYGRDLSSVQTLLTKQETFDAGLQAFQQEGIANITALKDQLLAAKHIQSKAIE ARHASLMKRWTQLLANSATRKKKLLEAQSHFRKVEDLFLTFAKKASAFNSWFENAEEDLT DPVRCNSLEEIKALREAHDAFRSSLSSAQADFNQLAELDRQIKSFRVASNPYTWFTMEAL EETWRNLQKIIKERELELQKEQRRQEENDKLRQEFAQHANAFHQWIQETRTYLLDGSCMV EESGTLESQLEATKRKHQEIRAMRSQLKKIEDLGAAMEEALILDNKYTEHSTVGLAQQWD QLDQLGMRMQHNLEQQIQARNTTGVTEEALKEFSMMFKHFDKDKSGRLNHQEFKSCLRSL GYDLPMVEEGEPDPEFEAILDTVDPNRDGHVSLQEYMAFMISRETENVKSSEEIESAFRA LSSEGKPYVTKEELYQNLTREQADYCVSHMKPYVDGKGRELPTAFDYVEFTRSLFVN >ENSMUSP00000109370.2 pep:known chromosome:GRCm38:2:29965680:29983730:1 gene:ENSMUSG00000057738.13 transcript:ENSMUST00000113741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptan1 description:spectrin alpha, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98386] MLSSFRKRRAQKMDPSGVKVLETAEDIQERRQQVLDRYHRFKELSTLRRQKLEDSYRFQF FQRDAEELEKWIQEKLQVASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDETGNL MISEGHFASETIRTRLMELHRQWELLLEKMREKGIKLLQAQKLVQYLRECEDVMDWINDK EAIVTSEELGQDLEHVEVLQKKFEEFQTDLAAHEERVNE >ENSMUSP00000097797.2 pep:known chromosome:GRCm38:2:29965680:30031445:1 gene:ENSMUSG00000057738.13 transcript:ENSMUST00000100225.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptan1 description:spectrin alpha, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98386] MDPSGVKVLETAEDIQERRQQVLDRYHRFKELSTLRRQKLEDSYRFQFFQRDAEELEKWI QEKLQVASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDETGNLMISEGHFASETI RTRLMELHRQWELLLEKMREKGIKLLQAQKLVQYLRECEDVMDWINDKEAIVTSEELGQD LEHVEVLQKKFEEFQTDLAAHEERVNEVSQFAAKLIQEQHPEEELIKTKQDEVNAAWQRL KGLALQRQGKLFGAAEVQRFNRDVDETIGWIKEKEQLMASDDFGRDLASVQALLRKHEGL ERDLAALEDKVKALCAEADRLQQSHPLSASQIQVKREELITNWEQIRTLAAERHARLDDS YRLQRFLADFRDLTSWVTEMKALINADELANDVAGAEALLDRHQEHKGEIDAHEDSFKSA DESGQALLAASHYASDEVREKLSILSEERTALLELWELRRQQYEQCMDLQLFYRDTEQVD NWMSKQEAFLLNEDLGDSLDSVEALLKKHEDFEKSLSAQEEKITALDEFATKLIQNNHYA MEDVATRRDALLSRRNALHERAMHRRAQLADSFHLQQFFRDSDELKSWVNEKMKTATDEA YKDPSNLQGKVQKHQAFEAELSANQSRIDALEKAGQKLIDVNHYAKEEVAARMNEVISLW KKLLEATELKGIKLREANQQQQFNRNVEDIELWLYEVEGHLASDDYGKDLTNVQNLQKKH ALLEADVAAHQDRIDGITIQARQFQDAGHFDAENIKKKQEALVARYEALKEPMVARKQKL ADSLRLQQLFRDVEDEETWIREKEPIAASTNRGKDLIGVQNLLKKHQALQAEIAGHEPRI KAVTQKGNAMVEEGHFAAEDVKAKLSELNQKWEALKAKASQRRQDLEDSLQAQQYFADAN EAESWMREKEPIVGSTDYGKDEDSAEALLKKHEALMSDLSAYGSSIQALREQAQSCRQQV APMDDETGKELVLALYDYQEKSPREVTMKKGDILTLLNSTNKDWWKVEVNDRQGFVPAAY VKKLDPAQSASRENLLEEQGSIALRQGQIDNQTRITKEAGSVSLRMKQVEELYQSLLELG EKRKGMLEKSCKKFMLFREANELQQWITEKEAALTNEEVGADLEQVEVLQKKFDDFQKDL KANESRLKDINKVAEDLESEGLMAEEVQAVQQQEVYGAMPRDEADSKTASPWKSARLMVH TVATFNSIKELNERWRSLQQLAEERSQLLGSAHEVQRFHRDADETKEWIEEKNQALNTDN YGHDLASVQALQRKHEGFERDLAALGDKVNSLGETAQRLIQSHPESAEDLKEKCTELNQA WTSLGKRADQRKAKLGDSHDLQRFLSDFRDLMSWINGIRGLVSSDELAKDVTGAEALLER HQEHRTEIDARAGTFQAFEQFGQQLLAHGHYASPEIKEKLDILDQERTDLEKAWVQRRMM LDHCLELQLFHRDCEQAENWMAAREAFLNTEDKGDSLDSVEALIKKHEDFDKAINVQEEK IAALQAFADQLIAVDHYAKGDIANRRNEVLDRWRRLKAQMIEKRSKLGESQTLQQFSRDV DEIEAWISEKLQTASDESYKDPTNIQLSKLLSKHQKHQAFEAELHANADRIRGVIDMGNS LIERGACAGSEDAVKARLAALADQWQFLVQKSAEKSQKLKEANKQQNFNTGIKDFDFWLS EVEALLASEDYGKDLASVNNLLKKHQLLEADISAHEDRLKDLNSQADSLMTSSAFDTSQV KEKRDTINGRFQKIKSMATSRRAKLSESHRLHQFFRDMDDEESWIKEKKLLVSSEDYGRD LTGVQNLRKKHKRLEAELAAHEPAIQGVLDTGKKLSDDNTIGQEEIQQRLAQFVEHWKEL KQLAAARGQRLEESLEYQQFVANVEEEEAWINEKMTLVASEDYGDTLAAIQGLLKKHEAF ETDFTVHKDRVNDVCTNGQDLIKKNNHHEENISSKMKGLNGKVSDLEKAAAQRKAKLDEN SAFLQFNWKADVVESWIGEKENSLKTDDYGRDLSSVQTLLTKQETFDAGLQAFQQEGIAN ITALKDQLLAAKHIQSKAIEARHASLMKRWTQLLANSATRKKKLLEAQSHFRKVEDLFLT FAKKASAFNSWFENAEEDLTDPVRCNSLEEIKALREAHDAFRSSLSSAQADFNQLAELDR QIKSFRVASNPYTWFTMEALEETWRNLQKIIKERELELQKEQRRQEENDKLRQEFAQHAN AFHQWIQETRTYLLDGSCMVEESGTLESQLEATKRKHQEIRAMRSQLKKIEDLGAAMEEA LILDNKYTEHSTVGLAQQWDQLDQLGMRMQHNLEQQIQARNTTGVTEEALKEFSMMFKHF DKDKSGRLNHQEFKSCLRSLGYDLPMVEEGEPDPEFEAILDTVDPNRDGHVSLQEYMAFM ISRETENVKSSEEIESAFRALSSEGKPYVTKEELYQNLTREQADYCVSHMKPYVDGKGRE LPTAFDYVEFTRSLFVN >ENSMUSP00000092697.4 pep:known chromosome:GRCm38:2:29965680:30031451:1 gene:ENSMUSG00000057738.13 transcript:ENSMUST00000095083.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptan1 description:spectrin alpha, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98386] MDPSGVKVLETAEDIQERRQQVLDRYHRFKELSTLRRQKLEDSYRFQFFQRDAEELEKWI QEKLQVASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDETGNLMISEGHFASETI RTRLMELHRQWELLLEKMREKGIKLLQAQKLVQYLRECEDVMDWINDKEAIVTSEELGQD LEHVEVLQKKFEEFQTDLAAHEERVNEVSQFAAKLIQEQHPEEELIKTKQDEVNAAWQRL KGLALQRQGKLFGAAEVQRFNRDVDETIGWIKEKEQLMASDDFGRDLASVQALLRKHEGL ERDLAALEDKVKALCAEADRLQQSHPLSASQIQVKREELITNWEQIRTLAAERHARLDDS YRLQRFLADFRDLTSWVTEMKALINADELANDVAGAEALLDRHQEHKGEIDAHEDSFKSA DESGQALLAASHYASDEVREKLSILSEERTALLELWELRRQQYEQCMDLQLFYRDTEQVD NWMSKQEAFLLNEDLGDSLDSVEALLKKHEDFEKSLSAQEEKITALDEFATKLIQNNHYA MEDVATRRDALLSRRNALHERAMHRRAQLADSFHLQQFFRDSDELKSWVNEKMKTATDEA YKDPSNLQGKVQKHQAFEAELSANQSRIDALEKAGQKLIDVNHYAKEEVAARMNEVISLW KKLLEATELKGIKLREANQQQQFNRNVEDIELWLYEVEGHLASDDYGKDLTNVQNLQKKH ALLEADVAAHQDRIDGITIQARQFQDAGHFDAENIKKKQEALVARYEALKEPMVARKQKL ADSLRLQQLFRDVEDEETWIREKEPIAASTNRGKDLIGVQNLLKKHQALQAEIAGHEPRI KAVTQKGNAMVEEGHFAAEDVKAKLSELNQKWEALKAKASQRRQDLEDSLQAQQYFADAN EAESWMREKEPIVGSTDYGKDEDSAEALLKKHEALMSDLSAYGSSIQALREQAQSCRQQV APMDDETGKELVLALYDYQEKSPREVTMKKGDILTLLNSTNKDWWKVEVNDRQGFVPAAY VKKLDPAQSASRENLLEEQGSIALRQGQIDNQTRITKEAGSVSLRMKQVEELYQSLLELG EKRKGMLEKSCKKFMLFREANELQQWITEKEAALTNEEVGADLEQVEVLQKKFDDFQKDL KANESRLKDINKVAEDLESEGLMAEEVQAVQQQEVYGAMPRDEADSKTASPWKSARLMVH TVATFNSIKELNERWRSLQQLAEERSQLLGSAHEVQRFHRDADETKEWIEEKNQALNTDN YGHDLASVQALQRKHEGFERDLAALGDKVNSLGETAQRLIQSHPESAEDLKEKCTELNQA WTSLGKRADQRKAKLGDSHDLQRFLSDFRDLMSWINGIRGLVSSDELAKDVTGAEALLER HQEHRTEIDARAGTFQAFEQFGQQLLAHGHYASPEIKEKLDILDQERTDLEKAWVQRRMM LDHCLELQLFHRDCEQAENWMAAREAFLNTEDKGDSLDSVEALIKKHEDFDKAINVQEEK IAALQAFADQLIAVDHYAKGDIANRRNEVLDRWRRLKAQMIEKRSKLGESQTLQQFSRDV DEIEAWISEKLQTASDESYKDPTNIQSKHQKHQAFEAELHANADRIRGVIDMGNSLIERG ACAGSEDAVKARLAALADQWQFLVQKSAEKSQKLKEANKQQNFNTGIKDFDFWLSEVEAL LASEDYGKDLASVNNLLKKHQLLEADISAHEDRLKDLNSQADSLMTSSAFDTSQVKEKRD TINGRFQKIKSMATSRRAKLSESHRLHQFFRDMDDEESWIKEKKLLVSSEDYGRDLTGVQ NLRKKHKRLEAELAAHEPAIQGVLDTGKKLSDDNTIGQEEIQQRLAQFVEHWKELKQLAA ARGQRLEESLEYQQFVANVEEEEAWINEKMTLVASEDYGDTLAAIQGLLKKHEAFETDFT VHKDRVNDVCTNGQDLIKKNNHHEENISSKMKGLNGKVSDLEKAAAQRKAKLDENSAFLQ FNWKADVVESWIGEKENSLKTDDYGRDLSSVQTLLTKQETFDAGLQAFQQEGIANITALK DQLLAAKHIQSKAIEARHASLMKRWTQLLANSATRKKKLLEAQSHFRKVEDLFLTFAKKA SAFNSWFENAEEDLTDPVRCNSLEEIKALREAHDAFRSSLSSAQADFNQLAELDRQIKSF RVASNPYTWFTMEALEETWRNLQKIIKERELELQKEQRRQEENDKLRQEFAQHANAFHQW IQETRTYLLDGSCMVEESGTLESQLEATKRKHQEIRAMRSQLKKIEDLGAAMEEALILDN KYTEHSTVGLAQQWDQLDQLGMRMQHNLEQQIQARNTTGVTEEALKEFSMMFKHFDKDKS GRLNHQEFKSCLRSLGYDLPMVEEGEPDPEFEAILDTVDPNRDGHVSLQEYMAFMISRET ENVKSSEEIESAFRALSSEGKPYVTKEELYQNLTREQADYCVSHMKPYVDGKGRELPTAF DYVEFTRSLFVN >ENSMUSP00000047792.7 pep:known chromosome:GRCm38:2:29965683:30031451:1 gene:ENSMUSG00000057738.13 transcript:ENSMUST00000046257.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptan1 description:spectrin alpha, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98386] MDPSGVKVLETAEDIQERRQQVLDRYHRFKELSTLRRQKLEDSYRFQFFQRDAEELEKWI QEKLQVASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDETGNLMISEGHFASETI RTRLMELHRQWELLLEKMREKGIKLLQAQKLVQYLRECEDVMDWINDKEAIVTSEELGQD LEHVEVLQKKFEEFQTDLAAHEERVNEVSQFAAKLIQEQHPEEELIKTKQDEVNAAWQRL KGLALQRQGKLFGAAEVQRFNRDVDETIGWIKEKEQLMASDDFGRDLASVQALLRKHEGL ERDLAALEDKVKALCAEADRLQQSHPLSASQIQVKREELITNWEQIRTLAAERHARLDDS YRLQRFLADFRDLTSWVTEMKALINADELANDVAGAEALLDRHQEHKGEIDAHEDSFKSA DESGQALLAASHYASDEVREKLSILSEERTALLELWELRRQQYEQCMDLQLFYRDTEQVD NWMSKQEAFLLNEDLGDSLDSVEALLKKHEDFEKSLSAQEEKITALDEFATKLIQNNHYA MEDVATRRDALLSRRNALHERAMHRRAQLADSFHLQQFFRDSDELKSWVNEKMKTATDEA YKDPSNLQGKVQKHQAFEAELSANQSRIDALEKAGQKLIDVNHYAKEEVAARMNEVISLW KKLLEATELKGIKLREANQQQQFNRNVEDIELWLYEVEGHLASDDYGKDLTNVQNLQKKH ALLEADVAAHQDRIDGITIQARQFQDAGHFDAENIKKKQEALVARYEALKEPMVARKQKL ADSLRLQQLFRDVEDEETWIREKEPIAASTNRGKDLIGVQNLLKKHQALQAEIAGHEPRI KAVTQKGNAMVEEGHFAAEDVKAKLSELNQKWEALKAKASQRRQDLEDSLQAQQYFADAN EAESWMREKEPIVGSTDYGKDEDSAEALLKKHEALMSDLSAYGSSIQALREQAQSCRQQV APMDDETGKELVLALYDYQEKSPREVTMKKGDILTLLNSTNKDWWKVEVNDRQGFVPAAY VKKLDPAQSASRENLLEEQGSIALRQGQIDNQYQSLLELGEKRKGMLEKSCKKFMLFREA NELQQWITEKEAALTNEEVGADLEQVEVLQKKFDDFQKDLKANESRLKDINKVAEDLESE GLMAEEVQAVQQQEVYGAMPRDEADSKTASPWKSARLMVHTVATFNSIKELNERWRSLQQ LAEERSQLLGSAHEVQRFHRDADETKEWIEEKNQALNTDNYGHDLASVQALQRKHEGFER DLAALGDKVNSLGETAQRLIQSHPESAEDLKEKCTELNQAWTSLGKRADQRKAKLGDSHD LQRFLSDFRDLMSWINGIRGLVSSDELAKDVTGAEALLERHQEHRTEIDARAGTFQAFEQ FGQQLLAHGHYASPEIKEKLDILDQERTDLEKAWVQRRMMLDHCLELQLFHRDCEQAENW MAAREAFLNTEDKGDSLDSVEALIKKHEDFDKAINVQEEKIAALQAFADQLIAVDHYAKG DIANRRNEVLDRWRRLKAQMIEKRSKLGESQTLQQFSRDVDEIEAWISEKLQTASDESYK DPTNIQSKHQKHQAFEAELHANADRIRGVIDMGNSLIERGACAGSEDAVKARLAALADQW QFLVQKSAEKSQKLKEANKQQNFNTGIKDFDFWLSEVEALLASEDYGKDLASVNNLLKKH QLLEADISAHEDRLKDLNSQADSLMTSSAFDTSQVKEKRDTINGRFQKIKSMATSRRAKL SESHRLHQFFRDMDDEESWIKEKKLLVSSEDYGRDLTGVQNLRKKHKRLEAELAAHEPAI QGVLDTGKKLSDDNTIGQEEIQQRLAQFVEHWKELKQLAAARGQRLEESLEYQQFVANVE EEEAWINEKMTLVASEDYGDTLAAIQGLLKKHEAFETDFTVHKDRVNDVCTNGQDLIKKN NHHEENISSKMKGLNGKVSDLEKAAAQRKAKLDENSAFLQFNWKADVVESWIGEKENSLK TDDYGRDLSSVQTLLTKQETFDAGLQAFQQEGIANITALKDQLLAAKHIQSKAIEARHAS LMKRWTQLLANSATRKKKLLEAQSHFRKVEDLFLTFAKKASAFNSWFENAEEDLTDPVRC NSLEEIKALREAHDAFRSSLSSAQADFNQLAELDRQIKSFRVASNPYTWFTMEALEETWR NLQKIIKERELELQKEQRRQEENDKLRQEFAQHANAFHQWIQETRTYLLDGSCMVEESGT LESQLEATKRKHQEIRAMRSQLKKIEDLGAAMEEALILDNKYTEHSTVGLAQQWDQLDQL GMRMQHNLEQQIQARNTTGVTEEALKEFSMMFKHFDKDKSGRLNHQEFKSCLRSLGYDLP MVEEGEPDPEFEAILDTVDPNRDGHVSLQEYMAFMISRETENVKSSEEIESAFRALSSEG KPYVTKEELYQNLTREQADYCVSHMKPYVDGKGRELPTAFDYVEFTRSLFVN >ENSMUSP00000121116.2 pep:known chromosome:GRCm38:2:29978380:30031184:1 gene:ENSMUSG00000057738.13 transcript:ENSMUST00000129241.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptan1 description:spectrin alpha, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98386] MDPSGVKVLETAEDIQERRQQVLDRYHRFKELSTLRRQKLEDSYRFQFFQRDAEELEKWI QEKLQVASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDETGNLMISEGHFASETI RTRLMELHRQWELLLEKMREKGIKLLQAQKLVQYLRECEDVMDWINDKEAIVTSEELGQD LEHVEVLQKKFEEFQTDLAAHEERVNEVSQFAAKLIQEQHPEEELIKTKQDEVNAAWQRL KGLALQRQGKLFGAAEVQRFNRDVDETIGWIKEKEQLMASDDFGRDLASVQALLRKHEGL ERDLAALEDKVKALCAEADRLQQSHPLSASQIQVKREELITNWEQIRTLAAERHARLDDS YRLQRFLADFRDLTSWVTEMKALINADELANDVAGAEALLDRHQEHKGEIDAHEDSFKSA DESGQALLAASHYASDEVREKLSILSEERTALLELWELRRQQYEQCMDLQLFYRDTEQVD NWMSKQEAFLLNEDLGDSLDSVEALLKKHEDFEKSLSAQEEKITALDEFATKLIQNNHYA MEDVATRRDALLSRRNALHERAMHRRAQLADSFHLQQFFRDSDELKSWVNEKMKTATDEA YKDPSNLQGKVQKHQAFEAELSANQSRIDALEKAGQKLIDVNHYAKEEVAARMNEVISLW KKLLEATELKGIKLREANQQQQFNRNVEDIELWLYEVEGHLASDDYGKDLTNVQNLQKKH ALLEADVAAHQDRIDGITIQARQFQDAGHFDAENIKKKQEALVARYEALKEPMVARKQKL ADSLRLQQLFRDVEDEETWIREKEPIAASTNRGKDLIGVQNLLKKHQALQAEIAGHEPRI KAVTQKGNAMVEEGHFAAEDVKAKLSELNQKWEALKAKASQRRQDLEDSLQAQQYFADAN EAESWMREKEPIVGSTDYGKDEDSAEALLKKHEALMSDLSAYGSSIQALREQAQSCRQQV APMDDETGKELVLALYDYQEKSPREVTMKKGDILTLLNSTNKDWWKVEVNDRQGFVPAAY VKKLDPAQSASRENLLEEQGSIALRQGQIDNQTRITKEAGSVSLRMKQVEELYQSLLELG EKRKGMLEKSCKKFMLFREANELQQWITEKEAALTNEEVGADLEQVEVLQKKFDDFQKDL KANESRLKDINKVAEDLESEGLMAEEVQAVQQQEVYGAMPRDEADSKTASPWKSARLMVH TVATFNSIKELNERWRSLQQLAEERSQLLGSAHEVQRFHRDADETKEWIEEKNQALNTDN YGHDLASVQALQRKHEGFERDLAALGDKVNSLGETAQRLIQSHPESAEDLKEKCTELNQA WTSLGKRADQRKAKLGDSHDLQRFLSDFRDLMSWINGIRGLVSSDELAKDVTGAEALLER HQEHRTEIDARAGTFQAFEQFGQQLLAHGHYASPEIKEKLDILDQERTDLEKAWVQRRMM LDHCLELQLFHRDCEQAENWMAAREAFLNTEDKGDSLDSVEALIKKHEDFDKAINVQEEK IAALQAFADQLIAVDHYAKGDIANRRNEVLDRWRRLKAQMIEKRSKLGESQTLQQFSRDV DEIEAWISEKLQTASDESYKDPTNIQLSKLLSKHQKHQAFEAELHANADRIRGVIDMGNS LIERGACAGSEDAVKARLAALADQWQFLVQKSAEKSQKLKEANKQQNFNTGIKDFDFWLS EVEALLASEDYGKDLASVNNLLKKHQLLEADISAHEDRLKDLNSQADSLMTSSAFDTSQV KEKRDTINGRFQKIKSMATSRRAKLSESHRLHQFFRDMDDEESWIKEKKLLVSSEDYGRD LTGVQNLRKKHKRLEAELAAHEPAIQGVLDTGKKLSDDNTIGQEEIQQRLAQFVEHWKEL KQLAAARGQRLEESLEYQQFVANVEEEEAWINEKMTLVASEDYGDTLAAIQGLLKKHEAF ETDFTVHKDRVNDVCTNGQDLIKKNNHHEENISSKMKGLNGKVSDLEKAAAQRKAKLDEN SAFLQFNWKADVVESWIGEKENSLKTDDYGRDLSSVQTLLTKQETFDAGLQAFQQEGIAN ITALKDQLLAAKHIQSKAIEARHASLMKRWTQLLANSATRKKKLLEAQSHFRKVEDLFLT FAKKASAFNSWFENAEEDLTDPVRCNSLEEIKALREAHDAFRSSLSSAQADFNQLAELDR QIKSFRVASNPYTWFTMEALEETWRNLQKIIKERELELQKEQRRQEENDKLRQEFAQHAN AFHQWIQETRTYLLDGIAYRRVIRVCQYEVGDDLSGRSCMVEESGTLESQLEATKRKHQE IRAMRSQLKKIEDLGAAMEEALILDNKYTEHSTVGLAQQWDQLDQLGMRMQHNLEQQIQA RNTTGVTEEALKEFSMMFKHFDKDKSGRLNHQEFKSCLRSLGYDLPMVEEGEPDPEFEAI LDTVDPNRDGHVSLQEYMAFMISRETENVKSSEEIESAFRALSSEGKPYVTKEELYQNLT REQADYCVSHMKPYVDGKGRELPTAFDYVEFTRSLFVN >ENSMUSP00000109348.2 pep:known chromosome:GRCm38:2:29965560:30031448:1 gene:ENSMUSG00000057738.13 transcript:ENSMUST00000113719.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptan1 description:spectrin alpha, non-erythrocytic 1 [Source:MGI Symbol;Acc:MGI:98386] MDPSGVKVLETAEDIQERRQQVLDRYHRFKELSTLRRQKLEDSYRFQFFQRDAEELEKWI QEKLQVASDENYKDPTNLQGKLQKHQAFEAEVQANSGAIVKLDETGNLMISEGHFASETI RTRLMELHRQWELLLEKMREKGIKLLQAQKLVQYLRECEDVMDWINDKEAIVTSEELGQD LEHVEVLQKKFEEFQTDLAAHEERVNEVSQFAAKLIQEQHPEEELIKTKQDEVNAAWQRL KGLALQRQGKLFGAAEVQRFNRDVDETIGWIKEKEQLMASDDFGRDLASVQALLRKHEGL ERDLAALEDKVKALCAEADRLQQSHPLSASQIQVKREELITNWEQIRTLAAERHARLDDS YRLQRFLADFRDLTSWVTEMKALINADELANDVAGAEALLDRHQEHKGEIDAHEDSFKSA DESGQALLAASHYASDEVREKLSILSEERTALLELWELRRQQYEQCMDLQLFYRDTEQVD NWMSKQEAFLLNEDLGDSLDSVEALLKKHEDFEKSLSAQEEKITALDEFATKLIQNNHYA MEDVATRRDALLSRRNALHERAMHRRAQLADSFHLQQFFRDSDELKSWVNEKMKTATDEA YKDPSNLQGKVQKHQAFEAELSANQSRIDALEKAGQKLIDVNHYAKEEVAARMNEVISLW KKLLEATELKGIKLREANQQQQFNRNVEDIELWLYEVEGHLASDDYGKDLTNVQNLQKKH ALLEADVAAHQDRIDGITIQARQFQDAGHFDAENIKKKQEALVARYEALKEPMVARKQKL ADSLRLQQLFRDVEDEETWIREKEPIAASTNRGKDLIGVQNLLKKHQALQAEIAGHEPRI KAVTQKGNAMVEEGHFAAEDVKAKLSELNQKWEALKAKASQRRQDLEDSLQAQQYFADAN EAESWMREKEPIVGSTDYGKDEDSAEALLKKHEALMSDLSAYGSSIQALREQAQSCRQQV APMDDETGKELVLALYDYQEKSPREVTMKKGDILTLLNSTNKDWWKVEVNDRQGFVPAAY VKKLDPAQSASRENLLEEQGSIALRQGQIDNQYQSLLELGEKRKGMLEKSCKKFMLFREA NELQQWITEKEAALTNEEVGADLEQVEVLQKKFDDFQKDLKANESRLKDINKVAEDLESE GLMAEEVQAVQQQEVYGAMPRDEADSKTASPWKSARLMVHTVATFNSIKELNERWRSLQQ LAEERSQLLGSAHEVQRFHRDADETKEWIEEKNQALNTDNYGHDLASVQALQRKHEGFER DLAALGDKVNSLGETAQRLIQSHPESAEDLKEKCTELNQAWTSLGKRADQRKAKLGDSHD LQRFLSDFRDLMSWINGIRGLVSSDELAKDVTGAEALLERHQEHRTEIDARAGTFQAFEQ FGQQLLAHGHYASPEIKEKLDILDQERTDLEKAWVQRRMMLDHCLELQLFHRDCEQAENW MAAREAFLNTEDKGDSLDSVEALIKKHEDFDKAINVQEEKIAALQAFADQLIAVDHYAKG DIANRRNEVLDRWRRLKAQMIEKRSKLGESQTLQQFSRDVDEIEAWISEKLQTASDESYK DPTNIQLSKLLSKHQKHQAFEAELHANADRIRGVIDMGNSLIERGACAGSEDAVKARLAA LADQWQFLVQKSAEKSQKLKEANKQQNFNTGIKDFDFWLSEVEALLASEDYGKDLASVNN LLKKHQLLEADISAHEDRLKDLNSQADSLMTSSAFDTSQVKEKRDTINGRFQKIKSMATS RRAKLSESHRLHQFFRDMDDEESWIKEKKLLVSSEDYGRDLTGVQNLRKKHKRLEAELAA HEPAIQGVLDTGKKLSDDNTIGQEEIQQRLAQFVEHWKELKQLAAARGQRLEESLEYQQF VANVEEEEAWINEKMTLVASEDYGDTLAAIQGLLKKHEAFETDFTVHKDRVNDVCTNGQD LIKKNNHHEENISSKMKGLNGKVSDLEKAAAQRKAKLDENSAFLQFNWKADVVESWIGEK ENSLKTDDYGRDLSSVQTLLTKQETFDAGLQAFQQEGIANITALKDQLLAAKHIQSKAIE ARHASLMKRWTQLLANSATRKKKLLEAQSHFRKVEDLFLTFAKKASAFNSWFENAEEDLT DPVRCNSLEEIKALREAHDAFRSSLSSAQADFNQLAELDRQIKSFRVASNPYTWFTMEAL EETWRNLQKIIKERELELQKEQRRQEENDKLRQEFAQHANAFHQWIQETRTYLLDGIAYR RVIRVCQYEVGDDLSGRSCMVEESGTLESQLEATKRKHQEIRAMRSQLKKIEDLGAAMEE ALILDNKYTEHSTVGLAQQWDQLDQLGMRMQHNLEQQIQARNTTGVTEEALKEFSMMFKH FDKDKSGRLNHQEFKSCLRSLGYDLPMVEEGEPDPEFEAILDTVDPNRDGHVSLQEYMAF MISRETENVKSSEEIESAFRALSSEGKPYVTKEELYQNLTREQADYCVSHMKPYVDGKGR ELPTAFDYVEFTRSLFVN >ENSMUSP00000021406.5 pep:known chromosome:GRCm38:12:55045661:55080110:-1 gene:ENSMUSG00000062198.4 transcript:ENSMUST00000021406.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700097O09Rik description:RIKEN cDNA 2700097O09 gene [Source:MGI Symbol;Acc:MGI:1919908] MDFQSARRAVLQLLGTTAPADVPALLHWMRTTRDFDEFTHDNNDIMLKNIADDLRKCLPV EAVLCSEHLALQKIRQQPEPTVHVDAFLYDEDFIDTLCEEGKMSRNYCTVCGSHRTAPLG FISHSFSLTELKFIYHHVLPDLSGKVLVDVGSRLGTVLYGGYLYSSAVQLCGVELNGQFC QLQEMIIKKYQFGDRIKVLHADICTQSSLLQTADVIVMNNVFEYFLTEAQQASAWNYIIH NVRKQGSLLVTVPSLQESLMGLQINVQLSSWVEEIPLSLDVYSQRDIDQEALQQIHLYKV L >ENSMUSP00000096113.4 pep:known chromosome:GRCm38:7:38216972:38228016:-1 gene:ENSMUSG00000074170.5 transcript:ENSMUST00000098513.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhf1 description:pleckstrin homology domain containing, family F (with FYVE domain) member 1 [Source:MGI Symbol;Acc:MGI:1919537] MVDHLANTEINSQRIAAVESCFGASGQPLALPGRVLLGEGVLTKECRKKAKPRIFFLFND ILVYGSIVLSKRKYRSQHIIPLEEVTLEPLPETLQAKNRWMIKTAKKSFVVSAASTTERQ EWISHIEECVRRQLLATGRQPTTEHAAPWIPDKATDICMRCTQTRFSALTRRHHCRKCGF VVCAECSRERFLLPRLSPKPLRVCSLCYRELAAQKLREEAREGIGGSPPQLSHLGGTVCG ASSGDDDDSDEDREGNGDGDWPTQVEFYASGVSWSAFHS >ENSMUSP00000112935.1 pep:known chromosome:GRCm38:8:23241353:23257021:-1 gene:ENSMUSG00000015341.16 transcript:ENSMUST00000121783.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga7 description:golgi autoantigen, golgin subfamily a, 7 [Source:MGI Symbol;Acc:MGI:1931029] MRPQQAPVSGKVFIQRDYSSGTRCQFQTKFPAELENRIDRQQFEETVRTLNNLYAEAEKL GGQSYLEGCLACLTAYTIFLCMETHYEKVLKKVSKYIQEQNEKIYAPQGLLLTDPIERGL RVIEITIYEDRGVSSGR >ENSMUSP00000062983.6 pep:known chromosome:GRCm38:8:23241353:23257074:-1 gene:ENSMUSG00000015341.16 transcript:ENSMUST00000051094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga7 description:golgi autoantigen, golgin subfamily a, 7 [Source:MGI Symbol;Acc:MGI:1931029] MRPQQAPVSGKVFIQRDYSSGTRCQFQTKFPAELENRIDRQQFEETVRTLNNLYAEAEKL GGQSYLEGCLACLTAYTIFLCMETHYEKVLKKVSKYIQEQNEKIYAPQGLLLTDPIERGL RVIEITIYEDRGVSSGR >ENSMUSP00000057062.3 pep:known chromosome:GRCm38:1:133131143:133139783:1 gene:ENSMUSG00000046062.5 transcript:ENSMUST00000052529.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r15b description:protein phosphatase 1, regulatory (inhibitor) subunit 15b [Source:MGI Symbol;Acc:MGI:2444211] METGTHRARKRPGPRLGSWFRLPFLRRSHACSSEFPPPSSRQNPGNSALPERRTRYWTKL LSQLLALLPSLFQKLLLWSQLFGGLIPTRWLDFAASYSALRALRGREESAAPTVQKSLSS LRLDSSEDLVVSSLDWLEEGLQWQCSSSDLELKLKAQERALDSAAPTFLLEQQLWGVELL PSSLQAGLVSHRELDSSSSGPLSVQSLGNFKVVSYLLNPSYLDYLPQLGLRCQSSAGGGQ FVGFRTLTPESCYLSEDGCHPQPLRAEMSATAWRRCPPLSTEGLPEIHHLRMKRLEFLQA NKGQELPTPDQDNGYHSLEEEHNLLRMDPQHCTDNPAQAVSPAADRPEPTEKKPELVIQE VSQSPQGSSLFCELPVEKECEEDHTNATDLSDRGESLPVSTRPVCSNKLIDYILGGAPSD LEASSDSESEDWGEEPEDDGFDSDGSLSESDVEQDSEGLHLWNSFHSVDPYNPQNFTATI QTAARIAPRDPSDSGTSWSGSCGVGSCQEGPLPETPDHSSGEEDDWEPSADEAENLKLWN SFCHSEDPYNLLNFKAPFQPSGKNWKGRQDSKASSEATVAFSGHHTLLSCKAQLLESQED NCPGCGLGEALAGERYTHIKRKKVTFLEEVTEYYISGDEDRKGPWEEFARDGCRFQKRIQ ETEVAIGYCLAFEHREKMFNRLRIESKDLLLYSNVKK >ENSMUSP00000133871.1 pep:known chromosome:GRCm38:1:66175273:66380617:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000156636.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQG >ENSMUSP00000109650.1 pep:known chromosome:GRCm38:1:66175313:66442028:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000114017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGAGEGLSRNANGFPYREEEEGAFGE HRSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQP AALPLAAEETANLPPSPPPSPASEQTATVEEAASGDLAQAPGAFKQAKDKVTDGISKSPE KRSSLPRPSSILPPRRGVSGDREENSFSLNSSISSARRTTRSEPIRRAGKSGTSTPTTPG STAITPGTPPSYSSRTPGTPGTPSYPRTPGTPKSGILVPSEKKVAIIRTPPKSPATPKQL RLINQPLPDLKNVKSKIGSTDNIKYQPKGGQVRILNKKIDFSKVQSRCGSKDNIKHSAGG GNVQIVTKKIDLSHVTSKCGSLKNIRHRPGGGRVKIESVKLDFKEKAQAKVGSLDNAHHV PGGGNVKIDSQKLNFREHAKARVDHGAEIITQSPSRSSVASPRRLSNVSSSGSINLLESP QLATLAEDVTAALAKQGL >ENSMUSP00000109648.1 pep:known chromosome:GRCm38:1:66175316:66442583:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000114015.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGAGEGLSRNANGFPYREEEEGAFGE HRSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQP AALPLAAEETANLPPSPPPSPASEQTATVEEAASGDLAQAPGAFKQAKDKVTDGISKSPE KRSSLPRPSSILPPRRGVSGDREENSFSLNSSISSARRTTRSEPIRRAGKSGTSTPTTPG STAITPGTPPSYSSRTPGTPGTPSYPRTPGTPKSGILVPSEKKVAIIRTPPKSPATPKQL RLINQPLPDLKNVKSKIGSTDNIKYQPKGGQVQIVTKKIDLSHVTSKCGSLKNIRHRPGG GRVKIESVKLDFKEKAQAKVGSLDNAHHVPGGGNVKIDSQKLNFREHAKARVDHGAEIIT QSPSRSSVASPRRLSNVSSSGSINLLESPQLATLAEDVTAALAKQGL >ENSMUSP00000109646.1 pep:known chromosome:GRCm38:1:66321719:66439188:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000114013.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGAGEGLSRNANGFPYREEEEGAFGE HRSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQP AALPLAAEETANLPPSPPPSPASEQTATVEEDLLTASKMEFPEQEKFPSSFAEPLDKGEM EFKMPSKPGEDFEHAALVPDTSKTPQDKKDLQGMEGEKLPPVPFAQTFGTNLEDRKQSTE PSIVMPSIGLSAEPPAPKEPKDWFIEMPTESKKDEWGLAAPISPGPLTPMREKDVLEDIP RWEGKQFDSPMPSPFHGGSFTLPLDTMKNERVSEGPRPFAPVFFQSDDKVSLQDPSALAT SKESSKDEEPLKDKADKVADVSISEVTTLLGNVHSPVVEGYVGENISGEVKVTTDQEKKE TSAPSVQEPTLTETEPQTKLDEKSTVSIEEAVAKKEESFKLRDDKTGVIQTSTEQSFSKE DQKGQEHTIDELKQDSFPISLEQAVTDAAMTSKTLGKVTSEPEAVSERREIQGLFEEKTA DKNKLEGAGSATIAEVEMPFYEDKSGMSKYFETSALKEDMTRSTELGSDYYELSDSRGSA QESLDTISPKNQHDEKELQAKASQPSPPAQEAGYSTLAQSYTPDHPSELPEEPSSPQERM FTIDPKVYGEKRDLHSKNKDDLTLSRSLGLGGRSAIEQRSMSINLPMSCLDSIALGFNFG RGHDLSPLASDILTNTSGSMDEGDDYLPPTTPAVEKMPCFPIESKEEEDKAEQAKVTGGQ TIQVETSSESPFPAKEYYKNGTVMAPDLPEMLDLAGTRSRLASVSADAEVARRKSVPSEA MLAESSTSLPPVADESPVTVKPDSQLEDMGYCVFNKYTVPLPSPVQDSENLSGESGSFYE GTDDKVRRDLATDLSLIEVKLAAAGRVKDEFTAEKEASPPTSADKSRLSREFDHDRKAND KLDTVLEKSEEHIDSKEHAKESEEMGGKVELFGLGITYDQASTKELITTKDTSPEKTEKG LSSVPEVAEVEPTTKADQGLDFAATKAEPSQLDIKVSDFGQMASGMNVDAGKAIELKFEV AQELTLSSEAPQEADSFMGVESGHIKEGGKVNETEVKEKVTKPDLVHQEAVDKEESYESS GEHESLTMESLKPDEGKKETSPETSLIQDEVALKLSVEIPCPPPVSEADLSTDEKGEVQM EFIQLPKEESTETPDIPAIPSDVTQPQPEAIVSEPAEVPSEEEEIEAGGEYDKLLFRSDT LQISDLLVSESREEFVETCPGELKGVVESVVTIEDDFITVVQTTTDEGESGSHSVRFAAP AQPEEERRPRPHDEELEIEMAAEAQAEPKDGSPDAPATPEKEEVAFSEYKTETYDDYKDE TTIDDSIMDADSLWVDTQDDDRSILTEQLETIPKEERAEKDARRPSLEKHRKEKPFKTGR GRISTPERKVAKKEPSTVSRDEVRRKKAVYKKAELAKKSEVQAHSPSRKLILKPAIKYTR PTHLSCVKRKTTAASGDLAQAPGAFKQAKDKVTDGISKSPEKRSSLPRPSSILPPRRGVS GDREENSFSLNSSISSARRTTRSEPIRRAGKSGTSTPTTPGSTAITPGTPPSYSSRTPGT PGTPSYPRTPGTPKSGILVPSEKKVAIIRTPPKSPATPKQLRLINQPLPDLKNVKSKIGS TDNIKYQPKGGQVQIVTKKIDLSHVTSKCGSLKNIRHRPGGGRVKIESVKLDFKEKAQAK VGSLDNAHHVPGGGNVKIDSQKLNFREHAKARVDHGAEIITQSPSRSSVASPRRLSNVSS SGSINLLESPQLATLAEDVTAALAKQGL >ENSMUSP00000119341.1 pep:known chromosome:GRCm38:1:66322102:66401486:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000123647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGAGEGLSRNANGFPYREEEEGAFGE HRSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQP AALPLAAEETANLPPSPPPS >ENSMUSP00000076577.5 pep:known chromosome:GRCm38:1:66364655:66442583:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000077355.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGAGEGLSRNANGFPYREEEEGAFGE HRSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQP AALPLAAEETANLPPSPPPSPASEQTATVEEAASGDLAQAPGAFKQAKDKVTDGISKSPE KRSSLPRPSSILPPRRGVSGDREENSFSLNSSISSARRTTRSEPIRRAGKSGTSTPTTPG STAITPGTPPSYSSRTPGTPGTPSYPRTPGTPKSGILVPSEKKVAIIRTPPKSPATPKQL RLINQPLPDLKNVKSKIGSTDNIKYQPKGGQVQIVTKKIDLSHVTSKCGSLKNIRHRPGG GRVKIESVKLDFKEKAQAKVGSLDNAHHVPGGGNVKIDSQKLNFREHAKARVDHGAEIIT QSPSRSSVASPRRLSNVSSSGSINLLESPQLATLAEDVTAALAKQGL >ENSMUSP00000109645.1 pep:known chromosome:GRCm38:1:66364658:66442583:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000114012.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGAGEGLSRNANGFPYREEEEGAFGE HRSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQP AALPLAEETANLPPSPPPSPASEQTATVEEAASGDLAQAPGAFKQAKDKVTDGISKSPEK RSSLPRPSSILPPRRGVSGDREENSFSLNSSISSARRTTRSEPIRRAGKSGTSTPTTPGS TAITPGTPPSYSSRTPGTPGTPSYPRTPGTPKSGILVPSEKKVAIIRTPPKSPATPKQLR LINQPLPDLKNVKSKIGSTDNIKYQPKGGQVQIVTKKIDLSHVTSKCGSLKNIRHRPGGG RVKIESVKLDFKEKAQAKVGSLDNAHHVPGGGNVKIDSQKLNFREHAKARVDHGAEIITQ SPSRSSVASPRRLSNVSSSGSINLLESPQLATLAEDVTAALAKQGL >ENSMUSP00000134471.1 pep:known chromosome:GRCm38:1:66380512:66413401:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000173855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGAGEGLSRNANGFPYREEEEGAFGE HRSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQP AALPLAAEETANLPPSPPPSPASEQTATVEEEEETLEGTMAEEMKPAALPGNECGAAKPS DNPQGLSEGQAESSAEAQIVPEDSALAGAPHEKSVKEVTEVASEVKIPSSVKEDLLTASK MEFPEQEKFPSSFAEPLDKGEMEFKMPSKPGEDFEHAALVPDTSKTPQDKKDLQGMEGEK LPPVPFAQTFGTNLEDRKQSTEPSIVMPSIGLSAEPPAPKEPKDWFIEMPTESKKDEWGL AAPISPGPLTPMREKDVLEDIPRWEGKQFDSPMPSPFHGGSFTLPLDTMKNERVSEGPRP FAPVFFQSDDKVSLQDPSALATSKESSKDEEPLKDKADKVADVSISEVTTLLGNVHSPVV EGYVGENISGEVKVTTDQEKKETSAPSVQEPTLTETEPQTKLDEKSTVSIEEAVAKKEES FKLRDDKTGVIQTSTEQSFSKEDQK >ENSMUSP00000117996.1 pep:known chromosome:GRCm38:1:66380702:66412930:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000141148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] XGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQPAAL PLAEETANLPPSPPPSPASEQTATVEEDLLTASKMEFPEQEKFPSSFAEPLDKGEMEFKM PSKPGEDFEHAALVPDTSKTPQDKKDLQGMEGEKLPPVPFAQTFGTNLEDRKQSTEPSIV MPSIGLSAEPPAPKEPKDWFIEMPTESKKDEWGLAAPISPGPLTPMREKDVLEDIPRWEG KQFDSPMPSPFHGGSFTLPLDT >ENSMUSP00000134538.1 pep:known chromosome:GRCm38:1:66386978:66414251:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000145419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MEFPEQEKFPSSFAEPLDKGEMEFKMPSKPGEDFEHAALVPDTSKTPQDKKDLQGMEGEK LPPVPFAQTFGTNLEDRKQSTEPSIVMPSIGLSAEPPAPKEPKDWFIEMPTESKKDEWGL AAPISPGPLTPMREKDVLEDIPRWEGKQFDSPMPSPFHGGSFTLPLDTMKNERVSEGPRP FAPVFFQSDDKVSLQDPSALATSKESSKDEEPLKDKADKVADVSISEVTTLLGNVHSPVV EGYVGENISGEVKVTTDQEKKETSAPSVQEPTLTETEPQTKLDEKSTVSIEEAVAKKEES FKLRDDKTGVIQTSTEQSFSKEDQKGQEHTIDELKQDSFPISLEQAVTDAAMTSKTLGKV TSEPEAVSERREIQGLFEEKTADKNKLEGAGSATIAEVEMPFYEDKSGMSKYFETSALKE DMTRSTELGSDYYELSDSRGSAQESLDTISPKNQHDEKELQAKASQPSPPAQEAGYSTLA QSYTPDHPSELPEEPSSPQERMFTIDPKVYGEKRDLHSKNKDDLTLSRSLGLGGRSAIEQ RSMSINLPMSCLDSIALGFNFGRGHDLSPLASDILTNTSGSMDEGDDYLPPTTPAVEKMP CFPIESKE >ENSMUSP00000133446.1 pep:known chromosome:GRCm38:1:66416111:66438304:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000172886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] IMDADSLWVDTQDDDRSILTEQLETIPKEERAEKDARRPSLEKHRKEKPFKTGRGRISTP ERKVAKKEPSTVSRDEVRRKKAASGDLAQAPGAFKQAKDKVTDGISKSPEKRSSLPRPSS ILPPRRGVSGDREENSFSLNSSISSARRTTRSEPIRRAGKSGTSTPTTPGSTAITPGTPP SYSSRTPGTPGTPSYPRTPGTPKSGILVPSEKKVAIIRTPPKSPATPKQLRLINQPLPDL KNVKSKIGSTDNIKYQPKGGQVRILNKKIDFSKVQSRCGSKDNIKHSAGGGNVQIVTKKI DLSHVTSKCGSLKNIRHRPGGGRVKIESVKLDFKEK >ENSMUSP00000134651.1 pep:known chromosome:GRCm38:1:66416111:66438304:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000173778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] IMDADSLWVDTQDDDRSILTEQLETIPKEERAEKDARRPSLEKHRKEKPFKTGRGRISTP ERKVAKKEPSTVSRDEVRRKKAVYKKAELAKKSEVQAHSPSRKLILKPAIKYTRPTHLSC VKRKTTAASGDLAQAPGAFKQAKDKVTDGISKSPEKRSSLPRPSSILPPRRGVSGDREEN SFSLNSSISSARRTTRSEPIRRAGKSGTSTPTTPGSTAITPGTPPSYSSRTPGTPGTPSY PRTPGTPKSGILVPSEKKVAIIRTPPKSPATPKQLRLINQPLPDLKNVKSKIGSTDNIKY QPKGGQVRILNKKIDFSKVQSRCGSKDNIKHSAGGGNVQIVTKKIDLSHVTSKCGSLKNI RHRPGGGRVKIESVKLDFKEK >ENSMUSP00000134518.1 pep:known chromosome:GRCm38:1:66416791:66438281:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000173800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] RKLILKPAIKYTRPTHLSCVKRKTTASGDLAQAPGAFKQAKDKVTDGISKSPEKRSSLPR PSSILPPRRGVSGDREENSFSLNSSISSARRTTRSEPIRRAGKSGTSTPTTPGSTAITPG TPPSYSSRTPGTPGTPSYPRTPGTPKSGILVPSEKKVAIIRTPPKSPATPKQLRLINQPL PDLKNVKSKIGSTDNIKYQPKGGQVQIVTKKIDLSHVTSKCGSLKNIRHRPGGGRVKIES V >ENSMUSP00000134187.1 pep:known chromosome:GRCm38:1:66433575:66439453:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000172486.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] XNIRHRPGGGRVKIESVKLDFKEKAQAKVGSLDNAHHVPGGPAWHHPDDSATSHLLEAST CSNPLSLPLWLRMSLRRLLSRACEYFSFSTGVTFRHELLAGVGSEQVSYSFFTNHREIIS SPNCSNCYNFI >ENSMUSP00000024639.5 pep:known chromosome:GRCm38:1:66251662:66442581:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000024639.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGAGEGLSRNANGFPYREEEEGAFGE HRSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQP AALPLAAEETANLPPSPPPSPASEQTATVEEAASGDLAQAPGAFKQAKDKVTDGISKSPE KRSSLPRPSSILPPRRGVSGDREENSFSLNSSISSARRTTRSEPIRRAGKSGTSTPTTPG STAITPGTPPSYSSRTPGTPGTPSYPRTPGTPKSGILVPSEKKVAIIRTPPKSPATPKQL RLINQPLPDLKNVKSKIGSTDNIKYQPKGGQVQIVTKKIDLSHVTSKCGSLKNIRHRPGG GRVKIESVKLDFKEKAQAKVGSLDNAHHVPGGGNVKIDSQKLNFREHAKARVDHGAEIIT QSPSRSSVASPRRLSNVSSSGSINLLESPQLATLAEDVTAALAKQGL >ENSMUSP00000109651.3 pep:known chromosome:GRCm38:1:66251647:66442581:1 gene:ENSMUSG00000015222.17 transcript:ENSMUST00000114018.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2 description:microtubule-associated protein 2 [Source:MGI Symbol;Acc:MGI:97175] MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGAGEGLSRNANGFPYREEEEGAFGE HRSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQP AALPLAAEETANLPPSPPPSPASEQTATVEEAASGDLAQAPGAFKQAKDKVTDGISKSPE KRSSLPRPSSILPPRRGVSGDREENSFSLNSSISSARRTTRSEPIRRAGKSGTSTPTTPG STAITPGTPPSYSSRTPGTPGTPSYPRTPGTPKSGILVPSEKKVAIIRTPPKSPATPKQL RLINQPLPDLKNVKSKIGSTDNIKYQPKGGQVRILNKKIDFSKVQSRCGSKDNIKHSAGG GNVQIVTKKIDLSHVTSKCGSLKNIRHRPGGGRVKIESVKLDFKEKAQAKVGSLDNAHHV PGGGNVKIDSQKLNFREHAKARVDHGAEIITQSPSRSSVASPRRLSNVSSSGSINLLESP QLATLAEDVTAALAKQGL >ENSMUSP00000065016.4 pep:known chromosome:GRCm38:11:115091431:115162236:1 gene:ENSMUSG00000020732.13 transcript:ENSMUST00000067754.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab37 description:RAB37, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1929945] MDLQRPDSYQGGAGPHFSEHVLHKTILVGDSGVGKTSLLVQFDQGKFIPGSFSATVGIGF TNKVVTVDGARVKLQIWDTAGQERFRSVTHAYYRDAQALLLLYDITNQSSFDNIRAWLTE IHEYAQRDVVIMLLGNKADVSSERVIRSEDGETLAREYGVPFMETSAKTGMNVELAFLAI AKELKYRAGRQPDEPSFQIRDYVESQKKRSSCCSFV >ENSMUSP00000021076.5 pep:known chromosome:GRCm38:11:115154157:115162236:1 gene:ENSMUSG00000020732.13 transcript:ENSMUST00000021076.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab37 description:RAB37, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1929945] MTGTPGAATAGDGEAPERSPPFSPNYDLTGKVMLLGDSGVGKTCFLIQFKDGAFLSGTFI ATVGIDFRNKVVTVDGARVKLQIWDTAGQERFRSVTHAYYRDAQALLLLYDITNQSSFDN IRAWLTEIHEYAQRDVVIMLLGNKADVSSERVIRSEDGETLAREYGVPFMETSAKTGMNV ELAFLAIAKELKYRAGRQPDEPSFQIRDYVESQKKRSSCCSFV >ENSMUSP00000109287.1 pep:known chromosome:GRCm38:6:87042846:87055424:1 gene:ENSMUSG00000029992.14 transcript:ENSMUST00000113657.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfpt1 description:glutamine fructose-6-phosphate transaminase 1 [Source:MGI Symbol;Acc:MGI:95698] MCGIFAYLNYHVPRTRREILETLIKGLQRLEYRGYDSAGVGLDGGNDKDWEANACKIQLI KKKGKVKALDEEVHKQQDMDLDIEFDVHLGIAHTRWATHGEPNPVNSHPQRSDKNNGRSR LRVL >ENSMUSP00000109288.1 pep:known chromosome:GRCm38:6:87042846:87092197:1 gene:ENSMUSG00000029992.14 transcript:ENSMUST00000113658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfpt1 description:glutamine fructose-6-phosphate transaminase 1 [Source:MGI Symbol;Acc:MGI:95698] MCGIFAYLNYHVPRTRREILETLIKGLQRLEYRGYDSAGVGLDGGNDKDWEANACKIQLI KKKGKVKALDEEVHKQQDMDLDIEFDVHLGIAHTRWATHGEPNPVNSHPQRSDKNNEFIV IHNGIITNYKDLKKFLESKGYDFESETDTETIAKLVKYMYDNWESQDVSFTTLVERVIQQ LEGAFALVFKSVHFPGQAVGTRRGSPLLIGVRSEHKLSTDHIPILYRTGKDKKGSCGLSR VDSTTCLFPVEEKAVEYYFASDASAVIEHTNRVIFLEDDDVAAVVDGRLSIHRIKRTAGD HPGRAVQTLQMELQQIMKGNFSSFMQKEIFEQPESVVNTMRGRVNFDDYTVNLGGLKDHI KEIQRCRRLILIACGTSYHAGVATRQVLEELTELPVMVELASDFLDRNTPVFRDDVCFFI SQSGETADTLMGLRYCKERGALTVGITNTVGSSISRETDCGVHINAGPEIGVASTKAYTS QFVSLVMFALMMCDDRISMQERRKEIMLGLKRLPDLIKEVLSMDDEIQKLATELYHQKSV LIMGRGYHYATCLEGALKIKEITYMHSEGILAGELKHGPLALVDKLMPVIMIIMRDHTYA KCQNALQQVVARQGRPVVICDKEDTETIKNTKRTIKVPHSVDCLQGILSVIPLQLLAFHL AVLRGYDVDFPRNLAKSVTVE >ENSMUSP00000109285.1 pep:known chromosome:GRCm38:6:87042850:87055424:1 gene:ENSMUSG00000029992.14 transcript:ENSMUST00000113655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfpt1 description:glutamine fructose-6-phosphate transaminase 1 [Source:MGI Symbol;Acc:MGI:95698] MCGIFAYLNYHVPRTRREILETLIKGLQRLEYRGYDSAEHLLAVLATLLLLEMTFTVQVW DLTEAMTKTGKPTPAKSSSLRRKEKLRHWMKKFTNNKIWTWI >ENSMUSP00000032057.7 pep:known chromosome:GRCm38:6:87042891:87088242:1 gene:ENSMUSG00000029992.14 transcript:ENSMUST00000032057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfpt1 description:glutamine fructose-6-phosphate transaminase 1 [Source:MGI Symbol;Acc:MGI:95698] MCGIFAYLNYHVPRTRREILETLIKGLQRLEYRGYDSAGVGLDGGNDKDWEANACKIQLI KKKGKVKALDEEVHKQQDMDLDIEFDVHLGIAHTRWATHGEPNPVNSHPQRSDKNNEFIV IHNGIITNYKDLKKFLESKGYDFESETDTETIAKLVKYMYDNWESQDVSFTTLVERVIQQ LEGAFALVFKSVHFPGQAVGTRRGSPLLIGVRSEHKLSTDHIPILYRTARTQIGSTWWGS QAERGKDKKGSCGLSRVDSTTCLFPVEEKAVEYYFASDASAVIEHTNRVIFLEDDDVAAV VDGRLSIHRIKRTAGDHPGRAVQTLQMELQQIMKGNFSSFMQKEIFEQPESVVNTMRGRV NFDDYTVNLGGLKDHIKEIQRCRRLILIACGTSYHAGVATRQVLEELTELPVMVELASDF LDRNTPVFRDDVCFFISQSGETADTLMGLRYCKERGALTVGITNTVGSSISRETDCGVHI NAGPEIGVASTKAYTSQFVSLVMFALMMCDDRISMQERRKEIMLGLKRLPDLIKEVLSMD DEIQKLATELYHQKSVLIMGRGYHYATCLEGALKIKEITYMHSEGILAGELKHGPLALVD KLMPVIMIIMRDHTYAKCQNALQQVVARQGRPVVICDKEDTETIKNTKRTIKVPHSVDCL QGILSVIPLQLLAFHLAVLRGYDVDFPRNLAKSVTVE >ENSMUSP00000100648.2 pep:known chromosome:GRCm38:4:139960220:139968026:-1 gene:ENSMUSG00000078234.6 transcript:ENSMUST00000105031.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc7a description:kelch domain containing 7A [Source:MGI Symbol;Acc:MGI:2444612] MLPTGEGAEGQDWHLDMQLPSKVVLSAAALLLVTAAYKLYKSRPAPVGQAGRNNKDHKAE NETEALGQLAFQEAPPGTLPRGRRRRKASKGAGTSLDYSLVDPEDPCILDISRSEEATRK GSDESQGRQCPDSQQVPPPCGGQEAGTDVRGKPNPPHLPHSGCEPTSSSGRLIPGVGGSC VGDKLSPWPDSRPPEETGSGDLEAPNGWTDLTLVNGDMNQSWIFTHMTGVSRGEAGVLQA AADMGLATQQQEGATNASHTFSSVARIRMEENIIQKAEGPGLKGRVYDYFVESTSKADSR PVPCPAALADAPSPGPGPEPLVTGAASRDEAANTAGGGASEAASPQPVASPSAPGFSRKV SLLQIAENPELQLQPEGFRMPLPAHLDQRAQLSSACSHGEPHVQLVAGTNFFHIPLTPAS ALDVRLDLGNCYEMLTLAKRQGLETLKEAAYKVLSDNYLQVLRSPDIYGGLSGAERELIL QRRFRGHKRLVVADMCPQDDSGRLCCYDNVQDAWHPLAQLPPEAMSRGCALCTLFNYLFV VSGCQEPGGQPSNRVFCYNPLTAIWSEVCPLNQARPHCRLVALEGHLYAIGGECLNTVER YDPRLDRWTFAPPLPNDTFALAHTATVCANEIFVTGGSLRYLLLRFSTQEQRWWAGPTGG SKDRTAEMVAVNGFLYRFDLNRSLGISVYRCSASTRLWYECATYRLPYPDAFQCAVVDDH IYCVGRRRMLCFLADHISPRFVSKELKGFPSARGTLLPAVLTLPVPDVPQTPV >ENSMUSP00000047968.7 pep:known chromosome:GRCm38:10:76253853:76261159:1 gene:ENSMUSG00000033208.7 transcript:ENSMUST00000036387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100b description:S100 protein, beta polypeptide, neural [Source:MGI Symbol;Acc:MGI:98217] MSELEKAMVALIDVFHQYSGREGDKHKLKKSELKELINNELSHFLEEIKEQEVVDKVMET LDEDGDGECDFQEFMAFVAMVTTACHEFFEHE >ENSMUSP00000058047.5 pep:known chromosome:GRCm38:2:129458359:129536602:-1 gene:ENSMUSG00000043727.5 transcript:ENSMUST00000050309.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F830045P16Rik description:RIKEN cDNA F830045P16 gene [Source:MGI Symbol;Acc:MGI:3045317] MQKTLAPAALPYVTDRETEAQKRRWIFLLLFVGKPFITLIGPDQRTTPSSSVFFLCTAAP FRSMNLSVNWLRNNNEHPASTTHVVHVNNDSYSLRSKAWVTLTKQDISSQITCEVTHGDL KEPLRMTINLSQVLRVIPTLKITTEDPEIQDHVHQKVNLTCHVNHFYPQNMDLIWTKNGH KIPTMELPQTTRNSDGTYSLQHTLQVDAILNKTDFVCWVIQDDQPPVKNSITLGAPRKIR GRTEYSHHLEGPLQRFPPGASIQLKYTSSALQTRQVTVTWLKNNHTRLQTQTNVFSNGET YNVTSTAIVSLESDDILSLVLCLVEHKSLVVFQKVIYLGQYLCVPPAVRVSQSSTVSSLV TVTCHVQRFYPQEVYLSWLEDCHVIRRIEQPTPKRNEDGSYTLEVLQLVNTSVERSDQVL TCKVEHEEHPPIQASIVLSAASFPTSKAIRSLSSEKSIHVFVASLLCLKLLLVVSLLVIY VYRRWSL >ENSMUSP00000143510.1 pep:known chromosome:GRCm38:3:119718742:119783804:-1 gene:ENSMUSG00000028134.11 transcript:ENSMUST00000200097.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp2 description:polypyrimidine tract binding protein 2 [Source:MGI Symbol;Acc:MGI:1860489] MDGIVTEVAVGVKRGSDELLSGSVLSSPNSNMSGMVVTANGNDSKKFKGEDKMDGAPSRV LHIRKLPGEVTETEVIALGLPFGKVTNILMLKGKNQAFLELATEEAAITMVNYYSAVTPH LRNQPIYIQYSNHKELKTDNTLNQRAQVVLQAVTAVQTANTPLSGTTVSESAVTPAQSPV LRIIIDNMYYPVTLDVLHQIFSKFGAVLKIITFTKNNQFQALLQYGDPVNAQQAKLALDG QNIYNACCTLRIDFSKLVNLNVKYNNDKSRDYTRPDLPSGDGQPALDPAIAAAFAKETSL LAVPGALSPLAIPNAAAAAAAAAAGRVGMPGVSAGGNTVLLVSNLNEEMVTPQSLFTLFG VYGDVQRVKILYNKKDSALIQMADGNQSQLAMNHLNGQKMYGKIIRVTLSKHQTVQLPRE GLDDQGLTKDFGNSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSVAEEDLRTLFANTGG TVKAFKFFQDHKMALLQMATVEEAIQALIDLHNYNLGENHHLRVSFSKSTI >ENSMUSP00000143719.1 pep:known chromosome:GRCm38:3:119720320:119783234:-1 gene:ENSMUSG00000028134.11 transcript:ENSMUST00000197833.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptbp2 description:polypyrimidine tract binding protein 2 [Source:MGI Symbol;Acc:MGI:1860489] MDGIVTEVAVGVKRGSDELLSGSVLSSPNSNMSGMVVTANGNDSKKFKGEDKMDGAPSRV LHIRKLPGEVTETEVIALGLPFGKVTNILMLKGKNQAFLELATEEAAITMVNYYSAVTPH LRNQPIYIQYSNHKELKTDNTLNQRAQVVLQAVTAVQTANTPLSGTTVSESAVTPAQSPV LRIIIDNMYYPVTLDVLHQIFSKFGAVLKIITFTKNNQFQALLQYGDPVNAQQAKLALDG QNIYNACCTLRIDFSKLVNLNVKYNNDKSRDYTRPDLPSGDGQPALDPAIAAAFAKETSL LAVPGALSPLAIPNAAAAAAAAAAGRVGMPGVSAGGNTVLLVSNLNEEVFMEMCSA >ENSMUSP00000142711.1 pep:known chromosome:GRCm38:3:119720345:119726140:-1 gene:ENSMUSG00000028134.11 transcript:ENSMUST00000197387.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptbp2 description:polypyrimidine tract binding protein 2 [Source:MGI Symbol;Acc:MGI:1860489] AGRVGMPGVSAGGNTVLLVSNLNEEVFMEMCSA >ENSMUSP00000143325.1 pep:known chromosome:GRCm38:3:119724107:119740510:-1 gene:ENSMUSG00000028134.11 transcript:ENSMUST00000195902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp2 description:polypyrimidine tract binding protein 2 [Source:MGI Symbol;Acc:MGI:1860489] ALDGQNIYNACCTLRIDFSKLVNLNVKYNNDKSRDYTRPDLPSGDGQPALDPAIAAAFAK ETSLLGLPVAAVPGALSPLAIPNAAAAAAAAAAGRVGMPGVSAGGNTVLLVSNLNEEMVT PQSLFTLFGVYGDVQRVKILYNKKDSALIQMADGN >ENSMUSP00000143281.1 pep:known chromosome:GRCm38:3:119746635:119783286:-1 gene:ENSMUSG00000028134.11 transcript:ENSMUST00000197464.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp2 description:polypyrimidine tract binding protein 2 [Source:MGI Symbol;Acc:MGI:1860489] MDGIVTEVAVGVKRGSDELLSGSVLSSPNSNMSGMVVTANGNDSKKFKGEDKMDGAPSRV LHIRKLPGEVTETEVIALGLPFGKVTNILMLKGKNQAFLELATEEAAITMVNYYSAVTPH LRNQPIYIQYSNHKELKTDNTLNQRAQVVLQAVTAVQTANTPLSGTTVSESAVTPAQSPV LRIIIDNMYYPVTLDVLHQIFSKFGAVLKIITFTKNNQFQALLQYGDPVNAQQAKLVSRG S >ENSMUSP00000142664.1 pep:known chromosome:GRCm38:3:119760556:119783307:-1 gene:ENSMUSG00000028134.11 transcript:ENSMUST00000198403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp2 description:polypyrimidine tract binding protein 2 [Source:MGI Symbol;Acc:MGI:1860489] MDGIVTEVAVGVKRGSDELLSGSVLSSPNSNMSGMVVTGHKRMEHTSFS >ENSMUSP00000029780.7 pep:known chromosome:GRCm38:3:119718742:119783388:-1 gene:ENSMUSG00000028134.11 transcript:ENSMUST00000029780.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp2 description:polypyrimidine tract binding protein 2 [Source:MGI Symbol;Acc:MGI:1860489] MDGIVTEVAVGVKRGSDELLSGSVLSSPNSNMSGMVVTANGNDSKKFKGEDKMDGAPSRV LHIRKLPGEVTETEVIALGLPFGKVTNILMLKGKNQAFLELATEEAAITMVNYYSAVTPH LRNQPIYIQYSNHKELKTDNTLNQRAQVVLQAVTAVQTANTPLSGTTVSESAVTPAQSPV LRIIIDNMYYPVTLDVLHQIFSKFGAVLKIITFTKNNQFQALLQYGDPVNAQQAKLALDG QNIYNACCTLRIDFSKLVNLNVKYNNDKSRDYTRPDLPSGDGQPALDPAIAAAFAKETSL LAVPGALSPLAIPNAAAAAAAAAAGRVGMPGVSAGGNTVLLVSNLNEEMVTPQSLFTLFG VYGDVQRVKILYNKKDSALIQMADGNQSQLAMNHLNGQKMYGKIIRVTLSKHQTVQLPRE GLDDQGLTKDFGNSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSVAEEDLRTLFANTGG TVKAFKFFQRDHKMALLQMATVEEAIQALIDLHNYNLGENHHLRVSFSKSTI >ENSMUSP00000034802.8 pep:known chromosome:GRCm38:9:85320439:85327124:-1 gene:ENSMUSG00000032265.14 transcript:ENSMUST00000034802.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam46a description:family with sequence similarity 46, member A [Source:MGI Symbol;Acc:MGI:2670964] MHQRYFWTDQGQVAFGGHYMAEGEGYFAMAEDELTGGPYIPLGGDFGGGGSSFGDRCSDY CESPTAHCNVLNWEQVQRLDGILSETIPIHGRGNFPTLELQPSLIVKVVRRRLEEKGIGV RDVRLNGSAASHVLHQDSGLGYKDLDLIFCADLRGEEEFQTVKDVVLDCLLDFLPEGVNK EKITPLTLKEAYVQKMVKVCNDSDRWSLISLSNNSGKNVELKFVDSLRRQFEFSVDSFQI KLDSLLLFYECSENPMTETFHPTIIGESVYGDFHEAFDHLCNKIIATRNPEEIRGGGLLK YCNLLVRGFRPASEEIKTLQRYMCSRFFIDFSDIGEQQRKLESYLQNHFVGLEDRKYDYL MTLHGVVNESTVCLMGHERRQTLNLITMLAIRVLADQNVIPNVANVTCYYQPAPYVADAN FSNYYIAQVQPVFTCQQQTYSTWLPCN >ENSMUSP00000140869.1 pep:known chromosome:GRCm38:9:85320439:85327348:-1 gene:ENSMUSG00000032265.14 transcript:ENSMUST00000187711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam46a description:family with sequence similarity 46, member A [Source:MGI Symbol;Acc:MGI:2670964] MAEGEGYFAMAEDELTGGPYIPLGGDFGGGGSSFGDRCSDYCESPTAHCNVLNWEQVQRL DGILSETIPIHGRGNFPTLELQPSLIVKVVRRRLEEKGIGVRDVRLNGSAASHVLHQDSG LGYKDLDLIFCADLRGEEEFQTVKDVVLDCLLDFLPEGVNKEKITPLTLKEAYVQKMVKV CNDSDRWSLISLSNNSGKNVELKFVDSLRRQFEFSVDSFQIKLDSLLLFYECSENPMTET FHPTIIGESVYGDFHEAFDHLCNKIIATRNPEEIRGGGLLKYCNLLVRGFRPASEEIKTL QRYMCSRFFIDFSDIGEQQRKLESYLQNHFVGLEDRKYDYLMTLHGVVNESTVCLMGHER RQTLNLITMLAIRVLADQNVIPNVANVTCYYQPAPYVADANFSNYYIAQVQPVFTCQQQT YSTWLPCN >ENSMUSP00000047150.7 pep:known chromosome:GRCm38:7:26808892:26821205:1 gene:ENSMUSG00000049685.8 transcript:ENSMUST00000040944.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2g1 description:cytochrome P450, family 2, subfamily g, polypeptide 1 [Source:MGI Symbol;Acc:MGI:109612] MMLGGAFSIFMALCLSCLLILIAWKRTSKGGKLPPGPTPIPFLGNFLQVRTDATFQSFQK LQKKYGSVFTVYFGPRPVVVLCGHEAVKEALVDQADDFSGRGEMPTLEKNFQGYGLALSN GERWKILRRFSLTVLRNFGMGKRSIEERIQEEAGYLLEELHKVKGAPIDPTLYLSRTVSN VICSVVFGKRFDYQDQRFQSLMRMINESFVEMSKPWAQLYDMYWKVMQYFPGRHNYLYNL IEDLKDFIASRVKINEASFDPSNPRDFIDCFLIKMHQDKSDPHTEFNLKNLVLTTLNLFF AGTETVSSTLRYGFLLLLKYPEVEAKIHEEINQVIGTHRTPRVDDRAKMPYTDAVIHEIQ RLTDIVPLGVPHNVTRDTHFRGYLLPKGTDVYPLFGSVLKDPKYFRYPDAFYPQHFLDEQ GRFKKNDAFVVFSSGKRICVGEALARMELFLYFTSILQRFSLRSLVPPADIDIAHKISGF GNIPPVYELCFMAR >ENSMUSP00000139870.1 pep:known chromosome:GRCm38:Y:65377690:65403917:1 gene:ENSMUSG00000093993.7 transcript:ENSMUST00000190282.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20736 description:predicted gene, 20736 [Source:MGI Symbol;Acc:MGI:5434092] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKCSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKN >ENSMUSP00000140139.1 pep:known chromosome:GRCm38:Y:65377702:65403917:1 gene:ENSMUSG00000093993.7 transcript:ENSMUST00000185550.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20736 description:predicted gene, 20736 [Source:MGI Symbol;Acc:MGI:5434092] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKCSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000136973.1 pep:known chromosome:GRCm38:Y:65379124:65403721:1 gene:ENSMUSG00000093993.7 transcript:ENSMUST00000178198.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20736 description:predicted gene, 20736 [Source:MGI Symbol;Acc:MGI:5434092] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKCSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKN >ENSMUSP00000008280.7 pep:known chromosome:GRCm38:1:43123074:43163961:-1 gene:ENSMUSG00000008136.14 transcript:ENSMUST00000008280.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl2 description:four and a half LIM domains 2 [Source:MGI Symbol;Acc:MGI:1338762] MTERFDCHHCNESLYGKKYILKEENPHCVACFEELYANTCEECGTPIGCDCKDLSYKDRH WHEGCFHCSRCGSSLVDKPFAAKEEQLLCTDCYSNEYSSKCQECKKTIMPGTRKMEYKGS SWHETCFTCQRCQQPIGTKSFIPKENQNFCVPCYEKQYALQCVQCKKPITTGGVTYREQP WHKECFVCTACKKQLSGQRFTARDEFPYCLTCFCDLYAKKCAGCTNPISGLGGTKYISFE ERQWHNDCFNCKKCSLSLVGRGFLTERDDILCPDCGKDI >ENSMUSP00000141170.1 pep:known chromosome:GRCm38:1:43123290:43196984:-1 gene:ENSMUSG00000008136.14 transcript:ENSMUST00000185893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl2 description:four and a half LIM domains 2 [Source:MGI Symbol;Acc:MGI:1338762] MTERFDCHHCNESLYGKKYILKEENPHCVACFEELYANTCEECGTPIGCDCKDLSYKDRH WHEGCFHCSRCGSSLVDKPFAAKEEQLLCTDCYSNEYSSKCQECKKTIMPGTRKMEYKGS SWHETCFTCQRCQQPIGTKSFIPKENQNFCVPCYEKQYALQCVQCKKPITTGGVTYREQP WHKECFVCTACKKQLSGQRFTARDEFPYCLTCFCDLYAKKCAGCTNPISGLGGTKYISFE ERQWHNDCFNCKKCSLSLVGRGFLTERDDILCPDCGKDI >ENSMUSP00000140420.1 pep:known chromosome:GRCm38:1:43131764:43196761:-1 gene:ENSMUSG00000008136.14 transcript:ENSMUST00000187357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl2 description:four and a half LIM domains 2 [Source:MGI Symbol;Acc:MGI:1338762] MPGTRKMEYKGSSWHETCFTC >ENSMUSP00000125614.1 pep:known chromosome:GRCm38:10:76259429:76265508:-1 gene:ENSMUSG00000020231.15 transcript:ENSMUST00000162524.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dip2a description:disco interacting protein 2 homolog A [Source:MGI Symbol;Acc:MGI:2385920] IAECAVFTWTNLLVVVVELDGLEQDALDLVALVTNVVLEEHYLVVGVVVIVDPGVIPINS RGEKQRMHLRDGFLADQLDPIYVAYNM >ENSMUSP00000125184.1 pep:known chromosome:GRCm38:10:76262736:76345291:-1 gene:ENSMUSG00000020231.15 transcript:ENSMUST00000160048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dip2a description:disco interacting protein 2 homolog A [Source:MGI Symbol;Acc:MGI:2385920] MADRGCPLEAAPLPAEVLESLAELELELSEGDITQKGYEKKRAKLLARYIPLIQDVHTEA VQAALAKYKERKMPMPSKRRSALVHSSVETYTPPDTSSASEDEGSLRRPGRLTSTLLQSH SGIEPWLDRVIQGSSTSSSASSTSSHPGGRPAAAPSASTALAGLTAHAHIDLHSAPPDVT TGLVEHSSYERPQMASVRGIPRGHGRNVLETADGVPVNSRVSSKIQQLLNTLKRPKRPPL KEFFVDDFEELLEVQQPDPNQPKPEGDQMAVLKGEPLSVGTNGPLSLLAALQLWGTTQPK APCLTALDTAGKATCTLTYGKLWSRSLKLAYTLLNKLTSKNEPLLNPGDRVALVFPNSDP VMFMVAFYGCLLAELVPVPIEVPLTRKDAGSQQVGFLLGSCGVTLALTTDACQKGLPKAP TGEVATFKGWPPLAWLVIDGKHLTRPPKDWYPLAQDTGSRTAYIEYKTSKEGSTVGVTVS HSSLLAQCQALTQACGYTEAETLTNVLDFKRDAGLWHGVLTSVMNRMHVITIPYALMKVN PLSWIQKVCSYKARAALVKSRDMHWSLLAQRGQRDVCLSSLRMLIVADGANPWSISSCDA FLNVFQSRGLRPEVICPCASSPEALTVAIRRPPDLGGPPPRKAVLSMNGLSYGVIRVDTE EKLSVLTVQDVGQVMPGASVCVVKVDGAPYLCKTDEIGEICVNSVATGTAYYGLLGITKN TFETVPVTADGVPVSDRPFTRTGLLGFIGPDNLVFVVGKLDGLMVVGVRRHNADDIVATA LAVEPMKFVYRGRIAVFSVTVLHDDRIVLVAEQRPDASEEDSFQWMSRVLQAIDSIHQVG VYCLALVPANTLPKAPLGGIHISETKQRFLEGTLHPCNVLMCPHTCVTNLPKPRQKQPEV GPASMIVGNLVAGKRIAQASGRELAHLEDSDQARKFLFLADVLQWRAHTTPDHPLFLLLN AKGTVTSTATCIQLHKRAERVAAALMEKGRLDAGDHVALVYPPGVDLIAAFYGCLYCGCV PVTVRPPHPQNLGTTLPTVKMIVEVSKSACVLSTQAITRLLKSKEAAAAVDVRTWPTILD TDDIPKKKVASIFRPPSPDVLAYLDFSVSTTGILAGVKMSHAATSALCRSIKLQCELYPS RQIAICLDPYCGLGFALWCLCSVYSGHQSVLVPPLELESNVSLWLSAVSQYKARVTFCSY SVMEMCTKGLGAQTGALRMKGVNLSCVRTCMVVAEERPRISLTQSFSKLFKDLGLPARAV STTFGCRVNVAICLQGTTGPDPTTVYVDMRALRHDRVRLVERGSPHSLPLMESGKILPGV KVIIAHTETKGPLGDSHLGEIWVSSPHNATGYYTVYGEETLHADHFSARLSFGDTQTIWA RTGYLGFLRRTELTDASGERHDALYVVGSLDETLELRGMRYHPIDIETSVIRAHRSIAEC AVFTWTNLLVVVVELDGLEQDALDLVALVTNVVLEEHYLVVGVVVIVDPGVIPINSRGEK QRMHLRDGFLADQLDPIYVAYNM >ENSMUSP00000043710.7 pep:known chromosome:GRCm38:10:76263051:76345260:-1 gene:ENSMUSG00000020231.15 transcript:ENSMUST00000036033.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dip2a description:disco interacting protein 2 homolog A [Source:MGI Symbol;Acc:MGI:2385920] MADRGCPLEAAPLPAEVLESLAELELELSEGDITQKGYEKKRAKLLARYIPLIQGVDPCL QTENRIPGPLLTAATAKPQKSRATNSRDERFRSDVHTEAVQAALAKYKERKMPMPSKRRS ALVHSSVETYTPPDTSSASEDEGSLRRPGRLTSTLLQSHSGIEPWLDRVIQGSSTSSSAS STSSHPGGRPAAAPSASTALAGLTAHAHIDLHSAPPDVTTGLVEHSSYERPQMASVRGIP RGHGRNVLETADGVPVNSRVSSKIQQLLNTLKRPKRPPLKEFFVDDFEELLEVQQPDPNQ PKPEGDQMAVLKGEPLSVGTNGPLSLLAALQLWGTTQPKAPCLTALDTAGKATCTLTYGK LWSRSLKLAYTLLNKLTSKNEPLLNPGDRVALVFPNSDPVMFMVAFYGCLLAELVPVPIE VPLTRKDAGSQQVGFLLGSCGVTLALTTDACQKGLPKAPTGEVATFKGWPPLAWLVIDGK HLTRPPKDWYPLAQDTGSRTAYIEYKTSKEGSTVGVTVSHSSLLAQCQALTQACGYTEAE TLTNVLDFKRDAGLWHGVLTSVMNRMHVITIPYALMKVNPLSWIQKVCSYKARAALVKSR DMHWSLLAQRGQRDVCLSSLRMLIVADGANPWSISSCDAFLNVFQSRGLRPEVICPCASS PEALTVAIRRPPDLGGPPPRKAVLSMNGLSYGVIRVDTEEKLSVLTVQDVGQVMPGASVC VVKVDGAPYLCKTDEIGEICVNSVATGTAYYGLLGITKNTFETVPVTADGVPVSDRPFTR TGLLGFIGPDNLVFVVGKLDGLMVVGVRRHNADDIVATALAVEPMKFVYRGRIAVFSVTV LHDDRIVLVAEQRPDASEEDSFQWMSRVLQAIDSIHQVGVYCLALVPANTLPKAPLGGIH ISETKQRFLEGTLHPCNVLMCPHTCVTNLPKPRQKQPEVGPASMIVGNLVAGKRIAQASG RELAHLEDSDQARKFLFLADVLQWRAHTTPDHPLFLLLNAKGTVTSTATCIQLHKRAERV AAALMEKGRLDAGDHVALVYPPGVDLIAAFYGCLYCGCVPVTVRPPHPQNLGTTLPTVKM IVEVSKSACVLSTQAITRLLKSKEAAAAVDVRTWPTILDTDDIPKKKVASIFRPPSPDVL AYLDFSVSTTGILAGVKMSHAATSALCRSIKLQCELYPSRQIAICLDPYCGLGFALWCLC SVYSGHQSVLVPPLELESNVSLWLSAVSQYKARVTFCSYSVMEMCTKGLGAQTGALRMKG VNLSCVRTCMVVAEERPRISLTQSFSKLFKDLGLPARAVSTTFGCRVNVAICLQGTTGPD PTTVYVDMRALRHDRVRLVERGSPHSLPLMESGKILPGVKVIIAHTETKGPLGDSHLGEI WVSSPHNATGYYTVYGEETLHADHFSARLSFGDTQTIWARTGYLGFLRRTELTDASGERH DALYVVGSLDETLELRGMRYHPIDIETSVIRAHRSIAECAVFTWTNLLVVVVELDGLEQD ALDLVALVTNVVLEEHYLVVGVVVIVDPGVIPINSRGEKQRMHLRDGFLADQLDPIYVAY NM >ENSMUSP00000125744.1 pep:known chromosome:GRCm38:10:76282571:76292424:-1 gene:ENSMUSG00000020231.15 transcript:ENSMUST00000160442.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dip2a description:disco interacting protein 2 homolog A [Source:MGI Symbol;Acc:MGI:2385920] SISSCDAFLNVFQSRGLRPEVICPCASSPEALTVAIRRPPDLGGPPPRKAVLSMNGLSYG VIRVDTEEKLSVLTVQDVGQVMPGASVCVVKVDGAPYLCKTDEIGEICVNSVATGTAYYG LLGITKNTFESVSCLLPDILSPVLADRPGHCGRSPCL >ENSMUSP00000101057.3 pep:known chromosome:GRCm38:10:76263051:76345291:-1 gene:ENSMUSG00000020231.15 transcript:ENSMUST00000105417.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dip2a description:disco interacting protein 2 homolog A [Source:MGI Symbol;Acc:MGI:2385920] MADRGCPLEAAPLPAEVLESLAELELELSEGDITQKGYEKKRAKLLARYIPLIQGVDPCL QTENRIPGPLLTAATAKPQKSRATNSRDERFRSDVHTEAVQAALAKYKERKMPMPSKRRS ALVHSSVETYTPPDTSSASEDEGSLRRPGRLTSTLLQSHSGIEPWLDRVIQGSSTSSSAS STSSHPGGRPAAAPSASTALAGLTAHAHIDLHSAPPDVTTGLVEHSSYERPQMASVRGIP RGHGRNVLETADGVPVNSRVSSKIQQLLNTLKRPKRPPLKEFFVDDFEELLEVQQPDPNQ PKPEGDQMAVLKGEPLSVGTNGPLSLLAALQLWGTTQPKAPCLTALDTAGKATCTLTYGK LWSRSLKLAYTLLNKLTSKNEPLLNPGDRVALVFPNSDPVMFMVAFYGCLLAELVPVPIE VPLTRKDAGSQQVGFLLGSCGVTLALTTDACQKGLPKAPTGEVATFKGWPPLAWLVIDGK HLTRPPKDWYPLAQDTGSRTAYIEYKTSKEGSTVGVTVSHSSLLAQCQALTQACGYTEAE TLTNVLDFKRDAGLWHGVLTSVMNRMHVITIPYALMKVNPLSWIQKVCSYKARAALVKSR DMHWSLLAQRGQRDVCLSSLRMLIVADGANPWSISSCDAFLNVFQSRGLRPEVICPCASS PEALTVAIRRPPDLGGPPPRKAVLSMNGLSYGVIRVDTEEKLSVLTVQDVGQVMPGASVC VVKVDGAPYLCKTDEIGEICVNSVATGTAYYGLLGITKNTFETVPVTADGVPVSDRPFTR TGLLGFIGPDNLVFVVGKLDGLMVVGVRRHNADDIVATALAVEPMKFVYRGRIAVFSVTV LHDDRIVLVAEQRPDASEEDSFQWMSRVLQAIDSIHQVGVYCLALVPANTLPKAPLGGIH ISETKQRFLEGTLHPCNVLMCPHTCVTNLPKPRQKQPEVGPASMIVGNLVAGKRIAQASG RELAHLEDSDQARKCRCEAPLSAQFLFLADVLQWRAHTTPDHPLFLLLNAKGTVTSTATC IQLHKRAERVAAALMEKGRLDAGDHVALVYPPGVDLIAAFYGCLYCGCVPVTVRPPHPQN LGTTLPTVKMIVEVSKSACVLSTQAITRLLKSKEAAAAVDVRTWPTILDTDDIPKKKVAS IFRPPSPDVLAYLDFSVSTTGILAGVKMSHAATSALCRSIKLQCELYPSRQIAICLDPYC GLGFALWCLCSVYSGHQSVLVPPLELESNVSLWLSAVSQYKARVTFCSYSVMEMCTKGLG AQTGALRMKGVNLSCVRTCMVVAEERPRISLTQSFSKLFKDLGLPARAVSTTFGCRVNVA ICLQGTTGPDPTTVYVDMRALRHDRVRLVERGSPHSLPLMESGKILPGVKVIIAHTETKG PLGDSHLGEIWVSSPHNATGYYTVYGEETLHADHFSARLSFGDTQTIWARTGYLGFLRRT ELTDASGERHDALYVVGSLDETLELRGMRYHPIDIETSVIRAHRSIAECAVFTWTNLLVV VVELDGLEQDALDLVALVTNVVLEEHYLVVGVVVIVDPGVIPINSRGEKQRMHLRDGFLA DQLDPIYVAYNM >ENSMUSP00000054834.4 pep:known chromosome:GRCm38:5:124540695:124550506:1 gene:ENSMUSG00000029390.13 transcript:ENSMUST00000060226.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed2 description:transmembrane emp24 domain trafficking protein 2 [Source:MGI Symbol;Acc:MGI:1929269] MVTLAELLALLAALLATASGYFVSIDAHAEECFFERVTSGTKMGLIFEVAEGGFLDIDVE ITGPDNKGIYKGDRESSGKYTFAAHMDGTYKFCFSNRMSTMTPKIVMFTIDIGEAPKGQD METEAHQNKLEEMINELAVAMTAVKHEQEYMEVRERIHRAINDNTNSRVVLWSFFEALVL VAMTLGQIYYLKRFFEVRRVV >ENSMUSP00000142701.1 pep:known chromosome:GRCm38:5:124540955:124549192:1 gene:ENSMUSG00000029390.13 transcript:ENSMUST00000200031.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmed2 description:transmembrane emp24 domain trafficking protein 2 [Source:MGI Symbol;Acc:MGI:1929269] XASSSGAASWTSTWRSQDQIIKESIKETGSPAGSTHLQPTWMGHTSSALAIGCPL >ENSMUSP00000115169.1 pep:known chromosome:GRCm38:5:124541329:124549410:1 gene:ENSMUSG00000029390.13 transcript:ENSMUST00000124529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed2 description:transmembrane emp24 domain trafficking protein 2 [Source:MGI Symbol;Acc:MGI:1929269] XAACHSAREGATRRGSPRSLQGSHGAAWRGNKLCRITGPDNKGIYKGDRESSGKYTFAAH MDGTYKFCFSNRMSTMTPKIVMFTIDIGEAPKGQDMETEAHQNKLEEMINELAVAMTAVK HEQEYMEVRERIHRAINDNTNSRVVLWSFFEALVLVAMTLGQIYYLKRFFEVRRVV >ENSMUSP00000120809.1 pep:known chromosome:GRCm38:5:124543080:124550496:1 gene:ENSMUSG00000029390.13 transcript:ENSMUST00000135464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed2 description:transmembrane emp24 domain trafficking protein 2 [Source:MGI Symbol;Acc:MGI:1929269] RMSTMTPKIVMFTIDIGEAPKGQDMETEGGGDSWDAHQNKLEEMINELAVAMTAVKHEQE YMEVRERIHRAINDNTNSRVVLWSFFEALVLVAMTLGQIYYLKRFFEVRRVV >ENSMUSP00000132129.1 pep:known chromosome:GRCm38:15:74796874:74799533:-1 gene:ENSMUSG00000044678.11 transcript:ENSMUST00000168815.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6k description:lymphocyte antigen 6 complex, locus K [Source:MGI Symbol;Acc:MGI:1923736] MAFLVALLVVLGLQLVQSNALTCHVCEAQNSYACSNPSQCPGEKKFCLLAVTRIFERFFY VSKQCTRRCPTPVVSPPSTNPPSEPKEFLIEKPMPFLFYKCCQWDSCNGEGPPTDQLLKE QPGKASGRRHRYIELLLTGFMVLTANGLSALCLL >ENSMUSP00000052599.5 pep:known chromosome:GRCm38:15:74796874:74799986:-1 gene:ENSMUSG00000044678.11 transcript:ENSMUST00000060301.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6k description:lymphocyte antigen 6 complex, locus K [Source:MGI Symbol;Acc:MGI:1923736] MAFLVALLVVLGLQLVQSNALTCHVCEAQNSYACSNPSQCPGEKKFCLLAVTRIFERFFY VSKQCTRRCPTPVVSPPSTNPPSEPKEFLIEKPMPFLFYKCCQWDSCNGEGPPTDQLLKE QPGKASGRRHRYIELLLTGFMVLTANGLSALCLL >ENSMUSP00000142674.1 pep:known chromosome:GRCm38:5:142692512:142712907:1 gene:ENSMUSG00000050822.11 transcript:ENSMUST00000198728.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a4 description:solute carrier family 29 (nucleoside transporters), member 4 [Source:MGI Symbol;Acc:MGI:2385330] MGSIGSQRLKEPCVAATSDQSVVTSFSFDNFQLETTAEGAQDPGIRVRGVPTFTDSAVEE PVPDDRYHAIYFAMLLAGVGFLLPYNSFITDVDYLHHKYPGTSIVFD >ENSMUSP00000059896.7 pep:known chromosome:GRCm38:5:142702101:142722490:1 gene:ENSMUSG00000050822.11 transcript:ENSMUST00000058418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a4 description:solute carrier family 29 (nucleoside transporters), member 4 [Source:MGI Symbol;Acc:MGI:2385330] MGSIGSQRLKEPCVAATSDQSVVTSFSFDNFQLETTAEGAQDPGIRVRGVPTFTDSAVEE PVPDDRYHAIYFAMLLAGVGFLLPYNSFITDVDYLHHKYPGTSIVFDMSLTYILVALAAV LLNNVVVERLNLHTRITTGYLLALGPLLFISICDVWLQLFSHDQAYAINLAAVGTVAFGC TVQQSSFYGYTGLLPKRYTQGVMTGESTAGVMISLSRILTKLLLPDERASTIIFFLVSAG LELLCFLLHLLVRRSRFVLYYTTRPRDSRPVQAGYRVHHDVASGDIHFEHQTPALSSSRS PKESPAHEVTHSNSGVYMRFDVPRPRVKRSWPTFRALLLHRYVVARVIWADMLSIAVTYF ITLCLFPGLESEIRHCVLGEWLPILVMAVFNLSDFVGKILAALPVEWRGTHLLACSCLRV VFIPLFILCVYPSGMPALRHPAWPCVFSLLMGISNGYFGSVPMILAAGKVSPKQRELAGN TMTVSYMSGLTLGSAVAYCTYSLTRDAHGSCFQTATAAAANDSIPVGP >ENSMUSP00000146516.1 pep:known chromosome:GRCm38:19:13617425:13619627:1 gene:ENSMUSG00000094846.2 transcript:ENSMUST00000208347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1487 description:olfactory receptor 1487 [Source:MGI Symbol;Acc:MGI:3031321] MLDAPFLQIPLFIIFTLIYLTTLFGNLGMILLILLDSRLHTPMYFFLSNLSLVDCVYASA VTPKVMEGFLTGNKIISYNACAAQMFFFAAFATVESFMLASMAYDRHAAVCKPLHYSTTM TTTICVLLLAGSYVSGLLQS >ENSMUSP00000146726.1 pep:known chromosome:GRCm38:19:13617438:13619525:1 gene:ENSMUSG00000094846.2 transcript:ENSMUST00000209005.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1487 description:olfactory receptor 1487 [Source:MGI Symbol;Acc:MGI:3031321] MILLILLDSRLHTPMYFFLSNLSLVDCVYASAVTPKVMEGFLTGNKIISYNACAAQMFFF AAFATVESFMLASMAYDR >ENSMUSP00000076128.1 pep:known chromosome:GRCm38:19:13619164:13620111:1 gene:ENSMUSG00000094846.2 transcript:ENSMUST00000076856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1487 description:olfactory receptor 1487 [Source:MGI Symbol;Acc:MGI:3031321] MIQNISELSEFILVGLTDAPFLQIPLFIIFTLIYLTTLFGNLGMILLILLDSRLHTPMYF FLSNLSLVDCVYASAVTPKVMEGFLTGNKIISYNACAAQMFFFAAFATVESFMLASMAYD RHAAVCKPLHYSTTMTTTICVLLLAGSYVSGLLQSSIHVSFTFQLSFCHSNVVNHFFCDI PPLLALSCSSIHTNEIILFMLAAFNVAFTLLVILSSYLLIFVAILRMRSAESRKKAISTC ASHLTTVSIFYGTIIFMYLQPSSNHSMDTDKMASVFYTMVIPMLNPLVYSLRNKEVKNAF KKVAGKAVLSLGLVN >ENSMUSP00000100705.3 pep:known chromosome:GRCm38:6:90101556:90108207:1 gene:ENSMUSG00000094553.2 transcript:ENSMUST00000089417.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r50 description:vomeronasal 1 receptor 50 [Source:MGI Symbol;Acc:MGI:2148515] MSKANLLHTDNNMKITLFSEVSVGISANSILFVVHLCKLLHENKPKPIDLYIAFFSITQL MLLITMGLIAVDMFMPWGRWDSTTCQSLIYLHRLLRGLTFCATCLLNVLWTITLSPRSSC LTKFKHKSPHHISGAFLFFCVLYMSFSSHLLVSIIATFNSTSDNFLYVTQSCSILPVSYS RTSILSTMMTMREAFLIGLMALSSGYVVVLLWRHKKQARHLHSTSLSSKASPEQRATSTI MLLMGFFVVLYILDTVIFQARLKFKDVSTFFCVKIIISHSYATFSPFVFICNDKYMIKFV TSMCGRIVNV >ENSMUSP00000080407.1 pep:known chromosome:GRCm38:6:90107275:90108207:1 gene:ENSMUSG00000094553.2 transcript:ENSMUST00000081706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r50 description:vomeronasal 1 receptor 50 [Source:MGI Symbol;Acc:MGI:2148515] MSKANLLHTDNNMKITLFSEVSVGISANSILFVVHLCKLLHENKPKPIDLYIAFFSITQL MLLITMGLIAVDMFMPWGRWDSTTCQSLIYLHRLLRGLTFCATCLLNVLWTITLSPRSSC LTKFKHKSPHHISGAFLFFCVLYMSFSSHLLVSIIATFNSTSDNFLYVTQSCSILPVSYS RTSILSTMMTMREAFLIGLMALSSGYVVVLLWRHKKQARHLHSTSLSSKASPEQRATSTI MLLMGFFVVLYILDTVIFQARLKFKDVSTFFCVKIIISHSYATFSPFVFICNDKYMIKFV TSMCGRIVNV >ENSMUSP00000052456.5 pep:known chromosome:GRCm38:7:33737190:33739312:-1 gene:ENSMUSG00000046438.5 transcript:ENSMUST00000055444.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b24 description:secretoglobin, family 2B, member 24 [Source:MGI Symbol;Acc:MGI:2655741] MKGTLLLLALLMIGELGFHTTEACVPFFAGYAGVISGSRLWLYHELSAFNGTPKETVAYE KIQDCYKEQGVKSQTLEPQILASILVTPECLQYYSEETFTKIKDALKKISQH >ENSMUSP00000031508.4 pep:known chromosome:GRCm38:5:115341225:115343569:1 gene:ENSMUSG00000029535.4 transcript:ENSMUST00000031508.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triap1 description:TP53 regulated inhibitor of apoptosis 1 [Source:MGI Symbol;Acc:MGI:1916326] MNSVGEACTDMKREYDQCFNRWFAEKFLKGDGSGDPCTDLFKRYQQCVQKAIKEKEIPIE GLEFMGHGKEKPENSS >ENSMUSP00000106643.2 pep:known chromosome:GRCm38:8:3917655:3926844:-1 gene:ENSMUSG00000065987.12 transcript:ENSMUST00000111014.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd209b description:CD209b antigen [Source:MGI Symbol;Acc:MGI:1916415] MSDSTEAKMQPLSSMDDDELMVSGSRYSIKSSRLRPNSGIKCLAGCSGHSQVPLVLQLLS FLFLAGLLLIILFQVSKTPNTERQKEQEKILQELTQLTDELTSRIPISQGKNESMQAKIT EQLMQLKTELLSRIPIFQGQNESIQEKISEQLMQLKAELLSKISSFPVKDDSKQEKIYQQ LVQMKTELYLPAADF >ENSMUSP00000081104.2 pep:known chromosome:GRCm38:8:3917657:3926833:-1 gene:ENSMUSG00000065987.12 transcript:ENSMUST00000084086.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209b description:CD209b antigen [Source:MGI Symbol;Acc:MGI:1916415] MSDSTEAKMQPLSSMDDDELMVSGSRYSIKSSRLRPNSGIKCLAGCSGHSQVPLVLQLLS FLFLAGLLLIILFQVSKTPNTERQKEQEKILQELTQLTDELTSRIPISQGKNESMQAKIT EQLMQLKTELLSRIPIFQGQNESIQEKISEQLMQLKAELLSKISSFPVKDDSKQEKIYQQ LVQMKTELFRLCRLCPWDWTFLLGNCYFFSKSQRNWNDAVTACKEVKAQLVIINSDEEQT FLQQTSKAKGPTWMGLSDLKKEATWLWVDGSTLSSRFQKYWNRGEPNNIGEEDCVEFAGD GWNDSKCELKKFWICKKSATPCTEG >ENSMUSP00000126070.1 pep:known chromosome:GRCm38:8:3918606:3926833:-1 gene:ENSMUSG00000065987.12 transcript:ENSMUST00000171635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209b description:CD209b antigen [Source:MGI Symbol;Acc:MGI:1916415] MSDSTEAKMQPLSSMDDDELMVSGSRYSIKSSRLRPNSGIKCLAVSKTPNTERQKEQEKI LQELTQLTDELTSRIPISQGKNESMQAKITEQLMQLKTELLSRIPIFQGQNESIQEKISE QLMQLKAELLSKISSFPVKDDSKQEKIYQQLVQMKTELFRLCRLCPWDWTFLLGNCYFFS KSQRNWNDAVTACKEVKAQLVIINSDEEQTFLQQTSKAKGPTWMGLSDLKKEATWLWVDG STLSSRFQKYWNRGEPNNIGEEDCVEFAGDGWNDSKCELKKFWICKKSATPCTEG >ENSMUSP00000140695.1 pep:known chromosome:GRCm38:8:3918677:3926813:-1 gene:ENSMUSG00000065987.12 transcript:ENSMUST00000188386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209b description:CD209b antigen [Source:MGI Symbol;Acc:MGI:1916415] MSDSTEAKMQPLSSMDDDELMVSGSRYSIKSSRLRPNSGIKCLAVSKTPNTERQKEQEKI LQELTQLTDELTSRIPISQGKNESMQAKITEQLMQLKTELLSRIPIFQGQNESIQEKISE QLMQLKAELLSKISSFPVKDDSKQEKIYQQLVQMKTELFRLCRLCPWDWTFLLGNCYFFS KSQRNWNDAVTACKEVKAQLVIINSDEEQTFLQQTSKAKGPTWMGLSDLKKEATWLWVDG STLSSSRPRHAPISRGRPIYNMHSGRRFQKYWNRGEPNNIGEEDCVEFAGDGWNDSKCEL KKFWICKKSATPCTEG >ENSMUSP00000116090.1 pep:known chromosome:GRCm38:7:100545751:100583102:-1 gene:ENSMUSG00000030706.17 transcript:ENSMUST00000150042.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl48 description:mitochondrial ribosomal protein L48 [Source:MGI Symbol;Acc:MGI:1289321] MSGTLGKVLGVWTNTVSKQGFSLLRFRSLGENPIFSAGGILWTSRHYKTKPTRGIGRYRH LVKVQEPKKKKAKVELRAINVGTDYEYGVLNIHLTAYDMSLAESYAQYVHRLCNRLSIKV EESYAMPTKTMEVMRLPDQGNKMVLDSILTTHERVVQISGLSATFAEIFLEVLQSNLPEG VRLSVREHTEEDFKGRFKARPELEELLAKLN >ENSMUSP00000146539.1 pep:known chromosome:GRCm38:7:100548709:100608301:-1 gene:ENSMUSG00000030706.17 transcript:ENSMUST00000146003.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl48 description:mitochondrial ribosomal protein L48 [Source:MGI Symbol;Acc:MGI:1289321] MSLAESYAQYVHRLCNRLSIKVEESYAMPTKTMEVMRLPDQGNKMVLDSILTTHERVVQI SGLSATFAEIFLEVLQSNLPEGVRLSVREHTEEDFKGRFKARPELEELLAKLN >ENSMUSP00000146654.1 pep:known chromosome:GRCm38:7:100549237:100574514:-1 gene:ENSMUSG00000030706.17 transcript:ENSMUST00000107053.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl48 description:mitochondrial ribosomal protein L48 [Source:MGI Symbol;Acc:MGI:1289321] VLGVWTNTVSKQGFSLLRFRSLGENPIFSAEKKIPKKDEWQD >ENSMUSP00000146371.1 pep:known chromosome:GRCm38:7:100550583:100591426:-1 gene:ENSMUSG00000030706.17 transcript:ENSMUST00000137777.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl48 description:mitochondrial ribosomal protein L48 [Source:MGI Symbol;Acc:MGI:1289321] MSLAESYAQYVHRLCNRLSIKVEESYAMPTKTMEVMRLPDQGNKMVLDSILTTHERVVQI SGLSATFAEIFLEVL >ENSMUSP00000146661.1 pep:known chromosome:GRCm38:7:100552579:100583110:-1 gene:ENSMUSG00000030706.17 transcript:ENSMUST00000132888.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl48 description:mitochondrial ribosomal protein L48 [Source:MGI Symbol;Acc:MGI:1289321] MSLAESYAQYVHRLCNRLSIKVEESYAMPTKTMEVMRLPDQGNKMVLDSILTTHERVVQ >ENSMUSP00000146663.1 pep:known chromosome:GRCm38:7:100552601:100607994:-1 gene:ENSMUSG00000030706.17 transcript:ENSMUST00000138448.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl48 description:mitochondrial ribosomal protein L48 [Source:MGI Symbol;Acc:MGI:1289321] MSLAESYAQYVHRLCNRLSIKVEESYAMPTKTMEVMRLPDQGNKMVLDSILT >ENSMUSP00000117055.2 pep:known chromosome:GRCm38:7:100559790:100583097:-1 gene:ENSMUSG00000030706.17 transcript:ENSMUST00000152876.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl48 description:mitochondrial ribosomal protein L48 [Source:MGI Symbol;Acc:MGI:1289321] MSGRTEDISGLKGENRRGNWEAPRTTLTHMICLALALACFLLGGILWTSRHYKTKPTRGI GRYRHLVKVQEPKKKKAKVELRAINVGTDYEYGVLNIHLTAYDMSLAESYAQYV >ENSMUSP00000067290.2 pep:known chromosome:GRCm38:7:100545756:100547620:-1 gene:ENSMUSG00000030706.17 transcript:ENSMUST00000064334.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl48 description:mitochondrial ribosomal protein L48 [Source:MGI Symbol;Acc:MGI:1289321] MYTISVWIHSSSLWVSKVSSSRMQSGHFTAGGQEGRGPYSSSKMHYSASLYQAQGQVPRQ GPCREELTHESSLSLLKRATRLRSPVIRVLGKSSPTLTTPAG >ENSMUSP00000133870.1 pep:known chromosome:GRCm38:6:90122643:90130990:1 gene:ENSMUSG00000062818.6 transcript:ENSMUST00000174204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r51 description:vomeronasal 1 receptor 51 [Source:MGI Symbol;Acc:MGI:1333759] MMRGAELPGVMNEILFFSPQPLFSHMMNENSRVHTHSNLRHIFFSEIGIGISGNSFLLLF HILKFIHGHRSRLSDLPIGLLSLIHLLMLLVMAFIATDIFISWRGWDDIICKFLVYLYRV LRGLSLCTTSMLSVLQAIILSPRSSCLAKFKRKSLHHISCAILFLSVLYMLIGSQLLVSI IATPNLTTNDFIYVTQSCSILPLSYVMQSMFSTLLVIRDVFLISLMVLSTWYMVALLCRH RKKTQHLQGISLSPKTSPKQRATQTLLMLMSFFVLMTIYDTIVSCSRTMFLNDPTSYNMQ IFVVHIYATVSPFVFMSTEKHIVNCLRSV >ENSMUSP00000072637.5 pep:known chromosome:GRCm38:6:90128424:90130990:1 gene:ENSMUSG00000062818.6 transcript:ENSMUST00000072859.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r51 description:vomeronasal 1 receptor 51 [Source:MGI Symbol;Acc:MGI:1333759] MMRGAELPGVMNEILFFSPQPLFSHMMNENSRVHTHSNLRHIFFSEIGIGISGNSFLLLF HILKFIHGHRSRLSDLPIGLLSLIHLLMLLVMAFIATDIFISWRGWDDIICKFLVYLYRV LRGLSLCTTSMLSVLQAIILSPRSSCLAKFKRKSLHHISCAILFLSVLYMLIGSQLLVSI IATPNLTTNDFIYVTQSCSILPLSYVMQSMFSTLLVIRDVFLISLMVLSTWYMVALLCRH RKKTQHLQGISLSPKTSPKQRATQTLLMLMSFFVLMTIYDTIVSCSRTMFLNDPTSYNMQ IFVVHIYATVSPFVFMSTEKHIVNCLRSV >ENSMUSP00000121887.1 pep:known chromosome:GRCm38:14:13814949:13914478:-1 gene:ENSMUSG00000084902.1 transcript:ENSMUST00000144914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm281 description:predicted gene 281 [Source:MGI Symbol;Acc:MGI:2685127] MLPSQLFFVLLAASGVQAAPNFVVENAGPLLENASYHTRAATVTMSLSPHTSLVGDPVIL NASPTAHPFVLSYRSSHVWDIIITGVPKLDFEVVALYSLTIYAKDNHGATASQTIFVQIE DVNEPPVFIGSLTQKNQVTEIYITEDTAYGTIIYRAAAEDPEGAVLEYSISPESSGFTID STGAISTARDLDFESGMRSFSLIIKVVDPGGLFATGNLKIFLVNVNNKDPILACSLFNIE NGVLRVTFTNSTLHRKVNITLDEEIPVGKMIAMCQATDEDNLGGLTFGLDTVDDYFAVDK EKGTVVTTNHLDVEKTGFASALSFSIKACDGDQRCTVIPVATYIRGINDNSPFCDQYLIR YIGKEEIAKDTVVAKLSCHDLDKPPDTIHYAPSSGPVGSGQLFEQVPDTKNFIQVTKDLD YENPEVVAAGHMYEMMISVFDDLHPSHTGNHYTVTVTILVEIAPENDFSPKFQALHYVFS VPEPAFYKVGQVTAIDEDHPPDCLTYKIIKGHSQAVQMFWIHPFSGVIELTTQPDYESVR QYNLTVEAVDVTVDIEDENDEAPVCTPYLYTAIIPDNVIAGTNVNGLKLNCHDRDSQDFE MRFEMASGNENLHFQLDPTRGSNTPKLVVKNPFHFESGTELQLQYHLVVHVIDDNLQYGK AVKSKTGTAVIDINVMRTSTPIPPTSSEVKTINTYKSSDWYVPFIFTLMAVFFVGLVSWM CFLLWRYGNIKTKSQRMTKEVSKSKFKYVDFLFLFKKPLYMRLCLMEKPLIQVSGNMYAY NSRTGARKWKTLEHQEESFGSIYFVSESSPLEESKHLKIPLQQ >ENSMUSP00000048721.5 pep:known chromosome:GRCm38:X:21068406:21077963:1 gene:ENSMUSG00000037167.5 transcript:ENSMUST00000040437.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spaca5 description:sperm acrosome associated 5 [Source:MGI Symbol;Acc:MGI:2685564] MKVCSIVVVILAVLLIAKLDAKIYERCELAKKLEEAGLDGFKGYTVGDWLCVAHYESGFD TSFVDHNPDGSSEYGIFQLNSAWWCNNGITPTQNLCNIDCNDLLNRHILDDIICAKRVAS SHKSMKAWDSWTQHCAGHDLSEWLKGCSVRLKTDSSYNNW >ENSMUSP00000047661.8 pep:known chromosome:GRCm38:5:115345642:115348981:-1 gene:ENSMUSG00000041697.8 transcript:ENSMUST00000040154.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6a1 description:cytochrome c oxidase subunit VIa polypeptide 1 [Source:MGI Symbol;Acc:MGI:103099] MMASAVLSASRVSRPLGRALPGLRRPMSSGAHGEEGSARMWKALTYFVALPGVGVSMLNV FLKSRHEEHERPPFVAYPHLRIRTKPFPWGDGNHTLFHNPHVNPLPTGYEDE >ENSMUSP00000027859.5 pep:known chromosome:GRCm38:1:165137855:165160773:-1 gene:ENSMUSG00000026572.11 transcript:ENSMUST00000027859.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx19 description:T-box 19 [Source:MGI Symbol;Acc:MGI:1891158] MSELATQKAGEGTVSRLLNVVESELQAGREKGDPTEKQLQIILEDAPLWQRFKEVTNEMI VTKNGRRMFPVLKISVTGLDPNAMYSLLLDFVRTDSHRWKYVNGEWVPAGKPEVSSHSCV YIHPDSPNFGAHWMKAPISFSKVKLTNKLNGGGQIMLNSLHKYEPQVHIVRVGGAHRMVM NCSFPETQFIAVTAYQNEEITALKIKYNPFAKAFLDAKERNHLKDVPEAISESQHVTYSH LGGWILSNPDGVCTAANSNYQYATPLPLPAPHTHHGCEHYAGLRGHRQAPYPSAYMHRNH SPSVNLIESSSNNLQVFSGPDSWTSLSSTPHASILSVPHSNGPINPGPSPYPCLWTISNG GGGPVASGSEVHASTSGTILLGNPAVTSPSSLLPTQATTSAGVEVLGEPSLTSIAVSTWT AVASHPLPGWGGPGGAGRHSSSSLDS >ENSMUSP00000123909.1 pep:known chromosome:GRCm38:1:165138947:165153726:-1 gene:ENSMUSG00000026572.11 transcript:ENSMUST00000161144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx19 description:T-box 19 [Source:MGI Symbol;Acc:MGI:1891158] XRMFPVLKISVTGLDPNAMYSLLLDFVRTDSHRWKYVNGEWVPAGKPEVSSHSCVYIHPD SPNFGAHWMKAPISFSKVKLTNKLNGGGQIMLNSLHKYEPQVHIVRVGGAHRMVMNCSFP ETQFIAVTAYQNEEITALKIKYNPFAKAFLDAKERNHLKDVPEAISESQHVTYSHLNLIE SSSNNLQVFSGPDSWTSLSSTPHASILSVPHSNGPINPGPSPYPCLWTISNGGGGPVASG SEVHASTSGTILLGNPAVTSPSSLLPTQATTSAGVEVLGEPSLTSIAVSTWTAVASHPLP GWGGPGGAGRHSSSSLDS >ENSMUSP00000032877.9 pep:known chromosome:GRCm38:7:92857525:92874247:-1 gene:ENSMUSG00000030641.10 transcript:ENSMUST00000032877.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddias description:DNA damage-induced apoptosis suppressor [Source:MGI Symbol;Acc:MGI:1921291] MNKRRKFLFASVLAVQNWNFIYPSCQRCFSKIILESKRFTCPKCGSSGDTGSTNYRYKLS LKVAESSKLFFITVFGSCLDTFFGLTATGLHRYLEDSIKNLETLDSGRTQSLLTTAVEKC FVGQSFVFGVTNFGDVCGHDSDSSNFQQPCCKLRGEVRTLVASQIILPSPHVKGFTVIAY LHPLLHKKPHCGSQEHSSQSLTSDDSDSDLNNIQGSGNTSWFSESSVGEDFFRFWEPSLE LTSSDSQVTSSDDFPASKQIMASGTPNQNRHCISVSEVTSSKNCHDSLQSLWSLHSCMDK NNTTGKLGEELGLPSPVCNSCHESRLSDSHFFPSQMQEPFEEHNLECYSKAGKDDYSQYD IACYQHYEVNTTPILQQRSSAFSLSSLKPEETANPSQNSDSLIWDDLPLSESLNKFLAVV ESEVAVTEIDAKNRKQGMDKSIDKCHKNYSRLSLTPWKNTRPLNTSSFSLRSSQAMMKED SGKEALFSNCKSNASPHIQKESKADKTEAAVSIAGSRSDISEDFLPDTCSSALFTSSKDR EAFITQKRTSGVLQQKNEISCRPSTSISDCSDLRSRYFTGCGQKLHSGTKGKLAIQNCSK KYSDASDLHKLENKHRWPKKEDDNFTICRKLTYPLETLCSSPESINTSKEMPCRPSNNNL TPSSSGDHEGSYNASADLFDYIANDKDIGTEITKIPQDNLLPLEVPCTENYPINENRGQP SQKLSLQSISPSRYSRPRSQSDSECDFEESQDFVPCSQSTPVAGFHQRIHGLNGASKILP SIYSNPNANYKNRKNSPLTGQYEATSACSKNVKAFRQKPESPFVSSLTQANVFNHCPAAE SLENDVDEWVPPTTKKVFISDILGFRVMGLRKCLDLHYSPDPKELPRKKLIKVTHKTNI >ENSMUSP00000146512.1 pep:known chromosome:GRCm38:7:92860149:92874237:-1 gene:ENSMUSG00000030641.10 transcript:ENSMUST00000208356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddias description:DNA damage-induced apoptosis suppressor [Source:MGI Symbol;Acc:MGI:1921291] MNKRRKFLFASVLAVQNWNFIYPSCQRCFSKIILESKRFTCPKCGSSGDTGSTNYRYKLS LKVAESSKLFFITVFGSCLDTFFGLTATGLHRYLEDSIKNLETLDSGRTQSLLTTAVEKC FVGQSFVFGVTNFGDVCGHDSDSSNFQQPCCKLRGEVRTLVASQIILPSPHVKGFTVIAY LHPLL >ENSMUSP00000146534.1 pep:known chromosome:GRCm38:7:92862453:92874232:-1 gene:ENSMUSG00000030641.10 transcript:ENSMUST00000209074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddias description:DNA damage-induced apoptosis suppressor [Source:MGI Symbol;Acc:MGI:1921291] MNKRRKFLFASVLAVQNWNFIYPSCQRCFSKIILESKRFTCPKCGSSGD >ENSMUSP00000022051.7 pep:known chromosome:GRCm38:13:73818534:73847631:-1 gene:ENSMUSG00000021567.14 transcript:ENSMUST00000022051.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkd2 description:naked cuticle 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1919543] MGKFQSKHAAAACKRRESPEGDSFVVPAYGSGRRGAEETDRRAGSGVEHRSRDKQELLNG DPKEGPFWDDKGSLEVVLPPEKSEGHEGQGQLFSTDDGEKAASREGPLRLSKKHLNIDAL QCDVSVEEDNRQEWTFTLYDFDNSGKVTREDMSSLMHTIYEVVDASVNHSSGSSKTLRVK LTVSPEPSSKKECPLTGQDREPTRGRTEIELTDEPRVADRRLSAYSRKPNADPQPCSVRV PYCVDENTERRNHYLDLAGIENYTSKFGPGSPPEQARQEHHGRATHIPSRSRSQESDAHA IHHRRSQVLAEHVIPANEPATRALAAQPRIKGQEKQFLRSPKGPGKPLGTPGSGKPGKAL SYCLQAVPLPQSAQDGHHLPQPPPQPPPQPYGHKRYRQKAREGHSPLKGHGQPTMVEHEV VRDLPPMLGPEGYVMPVVQRHEHHHHHEHHHHHHHHHFHPS >ENSMUSP00000113794.1 pep:known chromosome:GRCm38:13:73819694:73847612:-1 gene:ENSMUSG00000021567.14 transcript:ENSMUST00000118096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkd2 description:naked cuticle 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1919543] MGKFQSKHGDSFVVPAYGSGRRGAEETDRRAGSGVEHRSRDKQELLNGDPKEGPFWDDKG SLEVVLPPEKSEGHEGQGQLFSTDDGEKAASREGPLRLSKKHLNIDALQCDVSVEEDNRQ EWTFTLYDFDNSGKVTREDMSSLMHTIYEVVDASVNHSSGSSKTLRVKLTVSPEPSSKKE CPLTGQDREPTRGRTEIELTDEPRVADRRLSAYSRKPNADPQPCSVRVPYCVDENTERRN HYLDLAGIENYTSKFGPGSPPEQARQEHHGRATHIPSRSRSQESDAHAIHHRRSQVLAEH VIPANEPATRALAAQPRIKGQEKQFLRSPKGPGKPLGTPGSGKPGKALSYCLQAVPLPQS AQDGHHLPQPPPQPPPQPYGHKRYRQKAREGHSPLKGHGQPTMVEHEVVRDLPPMLGPEG YVMPVVQRHEHHHHHEHHHHHHHHHFHPS >ENSMUSP00000121393.1 pep:known chromosome:GRCm38:7:35397035:35438687:1 gene:ENSMUSG00000023072.14 transcript:ENSMUST00000141704.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep89 description:centrosomal protein 89 [Source:MGI Symbol;Acc:MGI:1919390] MLLSFRRNRRSQFNHIIHGFLPAASIAPKPAVPRTPPPRSPNPSPERPRSALAAAILATT LTGQTVAIPQPRQRSRSESDASDIEKDSFIKPYATTSELRLRQSWQNEPRRTSLPSFEML GYGEDEDAETQVSTSCRESESTWKDVGDGRDATYTVPHRDQVLPSQKLVRKDDAPQPDWL SDSSSSSSSSTPQHTQQKDVKHSVLNLEGEKVRLHEKPPPSPDVAGRIHQRYTEITKEKF AELKEETVHLYSANQALSCELSALRQAMKDLQLKLKLVEKDNRKLKETEKASCQEGVTPE LLCLRKQSQDLVDENEGLKMIVHRLNVELSRYQTKFRPLSEEESSHIQGLPSKGPTPPWL VDIKYLSPLLLAYEDRMKEKDKLSTALEEEMKTFRLRVQEVVKENEALHQELTKRSPVTV EEWRQLQTQAELVLDENKLLIEQLEIQQAKARDTHQAHLQDVSKLTKQLVLLEAKTQGQE KQLVESTEQLESLQAKCTELKAQLDSKIAVDVHTSIVNELKSQLQKEEEKDSAEMEELMA KLTALQVQKKSLLLEKSSWATRNRALEAELERTRKANRRYQKRIDVLRKQVEKAMGKEMS AHQYLANLVGLAETVTKERDSLKYLMLEKRTASKNLARF >ENSMUSP00000078383.5 pep:known chromosome:GRCm38:7:35397055:35438689:1 gene:ENSMUSG00000023072.14 transcript:ENSMUST00000079414.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep89 description:centrosomal protein 89 [Source:MGI Symbol;Acc:MGI:1919390] MLLSFRRNRRSQFNHIIHGFLPAASIAPKPAVPRTPPPRSPNPSPERPRSALAAAILATT LTGQTVAIPQPRQRSRSESDASDIEKDSFIKPYATTSELRLRQSWQNEPRRTSLPSFEML GYGEDEDAETQVSTSCRESESTWKDVGDGRDATYTVPHRDQVLPSQKLVRKDDAPQPDWL SDSSSSSSSSTPQHTQQKDVKHSVLNLEGEKVRLHEKPPPSPDVAGRIHQRYTEITKEKF AELKEETVHLYSANQALSCELSALRQAMKDLQLKLKLVEKDNRKLKETEKASCQEGVTPE LLCLRKQSQDLVDENEGLKMIVHRLNVELSRYQTKFRPLSEEESSHIQGLPSKGPTPPWL VDIKYLSPLLLAYEDRMKEKDKLSTALEEEMKTFRLRVQEVVKENEALHQELTKRSPVTV EEWRQLQTQAELVLDENKLLIEQLEIQQAKARDTHQAHLQDVSKLTKQLVLLEAKTQGQE KQLVESTEQLESLQAKCTELKAQLDSKIAVDVHTSIVNELKSQLQKEEEKDSAEMEELMA KLTALQVQKKSLLLEKSSWATRNRALEAELERTRKANRRYQKRIDVLRKQVEKAMGKEMS AHQYLANLVGLAETVTKERDSLKYLAQCLESEKHGVLNKILKGNIRLGKLEERVKGYKKQ AALKLGDIHHRLKEQQEDFAGKAAQYQKEVKHLHRMLQEKQEVLDEALQQKRNMEGELEM VLESTAKENRRMRSLLQATLERRSTQHVTAPPDTCLRRSSQGDLLIGHDFSYGDVQLLAT TNRQSLGESMA >ENSMUSP00000145536.1 pep:known chromosome:GRCm38:7:35397130:35409663:1 gene:ENSMUSG00000023072.14 transcript:ENSMUST00000206854.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep89 description:centrosomal protein 89 [Source:MGI Symbol;Acc:MGI:1919390] MLLSFRRNRRSQTTSSMASSPQPASLRSQRCHAHPLLGAPTLLQRGPGLLWLQPSWQPR >ENSMUSP00000145618.1 pep:known chromosome:GRCm38:7:35417788:35428214:1 gene:ENSMUSG00000023072.14 transcript:ENSMUST00000206230.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep89 description:centrosomal protein 89 [Source:MGI Symbol;Acc:MGI:1919390] QLKLKLVEKDNRKLKETEKASCQEGVTPELLCLRKQSQDLVDENEGLKMIVHRLNVELSR YQTKFRPLSEEESSHIQGLPSKGPTPPWLVDIKYLSPLLLAYEDRMKEKDKLSTALEFLS >ENSMUSP00000121422.1 pep:known chromosome:GRCm38:7:35428322:35438681:1 gene:ENSMUSG00000023072.14 transcript:ENSMUST00000150421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep89 description:centrosomal protein 89 [Source:MGI Symbol;Acc:MGI:1919390] XRALEAELERTRKANRRYQKRIDVLRKQVEKAMGKEMSAHQYLANLVGLAETVTKERDSL KYLGYKKQAALKLGDIHHRLKEQQEDFAGKAAQYQKEVKHLHRMLQEKQEVLDEALQQKR NMEGELEMVLESTAKENRRMRSLLQATLERRSTQHVTAPPDTCLRRSSQGDLLIGHDFSY GDVQLLATTNRQSLGESMA >ENSMUSP00000078760.2 pep:known chromosome:GRCm38:6:90178716:90179645:1 gene:ENSMUSG00000060816.2 transcript:ENSMUST00000079832.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r52 description:vomeronasal 1 receptor 52 [Source:MGI Symbol;Acc:MGI:2148512] MNKDHTLYCSVYIRNAFFSEIGIGISANSCLLLFHTFMFIRGHRPRLTDLPIGFVALIHL VMLLLAAYITEDFFMSSGGWDDITCKLVIFLHRFFRSLSVCATCLLSVFQAIILCPQSSH LAKLKQNSPHQLSYFFIFLSIFYTSISSHILIAAIPTQNITFVNLIYITNSCSFLPLSSS MQHTFSTLLAFRNVFVIGLMGLSTCYMATLLCRHKTRSQRLQNSKLSPKATPEQRALRTI LMLMSFFLLMSTFDSIISYSRTILQGNPLPFCFQILVAHSYAAVSPLLVLSNEKRITNLL ISMYEKIVL >ENSMUSP00000075455.2 pep:known chromosome:GRCm38:6:90223317:90224438:-1 gene:ENSMUSG00000057697.2 transcript:ENSMUST00000076086.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r53 description:vomeronasal 1 receptor 53 [Source:MGI Symbol;Acc:MGI:2148516] MNKANLLHTDINLKITLFSEVSVGISANSILIFAHLCMLLGENRPKPIDLYIAFFSLTQL MLLITMGLIAVDMFMPWGRWDSTTCQSLIYLHRLLRGLTLSATCLLNVLWTITLSPRSSY LTKFKHKSLQHISCAFLFLCVLYMSFNSHLFISIIAYPNLTLENFMYVTQSCSLIPLSYF RKSMFSIPMAIREALLIGLMALSGGYMVAHLWRHKKQAQHLHRTSLSSKASPEQRATRTI MLLMSFFVVLYILDLVIFHSRMKFKDGSILYGVQIIVSHSYATVSPFVFICTEKRITNFL RSMCGRIVNI >ENSMUSP00000122103.1 pep:known chromosome:GRCm38:2:71271063:71367749:-1 gene:ENSMUSG00000027010.16 transcript:ENSMUST00000151937.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a12 description:solute carrier family 25 (mitochondrial carrier, Aralar), member 12 [Source:MGI Symbol;Acc:MGI:1926080] MAVKVHTTKRGDPHELRNIFLQYASTEVDGEHYMTPEDFVQRYLGLYNDPNSNPKIVQLL AGVADQTKDGLISYQEFLAFESVLCAPDSMFIVAFQLFDKSGNGEVTFENVKEIFGQTII HHHIPFNWDCEFIRLHFGHNRKKHLNYVEFTQFLQELQLEHARQAFALKDKSKSGMISGL DFSDVMVTIRSHMLTPFVEENLVSAAGGGTSHQVSFSYFNAFNSLLNNMELVRKIYSTLA GTRKDIEVTKEEFAQSAIRYGQVTPLEIDILYQLADLYNASGRLTLADIERIAPLAEGAL PYNLAELQRQQSPGLGRPIWLQIAESAYRFTLGSVAGAVGATAVYPIDLVKTRMQNQRGT GSVVGELMYKNSFDCFKKVLRYEGFFGLYRGLIPQLIGVAPEKAIKLTVNDFVRDKFTKR DGSIPLPAEILAGGCAGGSQVIFTNPLEIVKIRLQVAGEITTGPRVSALNVLQDLGLFGL YKGAKACFLRDIPFSAIYFPVYAHCKLLLADENGRVGGINLLTAGALAGVPAASLVTPAD VIKTRLQVAARAGQTTYSGVVDCFRKILREEGPSAFWKGTAARVFRSSPQFGVTLVTYEL LQRWFYIDFGGLKPSGSEPTPKSRIADLPPANPDHIGGYRLATATFAGIENKFGLYLPKF KSPSVAVAQPKAAAAAQ >ENSMUSP00000139371.1 pep:known chromosome:GRCm38:2:71274690:71367533:-1 gene:ENSMUSG00000027010.16 transcript:ENSMUST00000184169.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a12 description:solute carrier family 25 (mitochondrial carrier, Aralar), member 12 [Source:MGI Symbol;Acc:MGI:1926080] MAVKVHTTKRGDPHELRNIFLQYASTEVDGEHYMTPEDFVQRYLGLYNDPNSNPKIVQLL AGVADQTKDGKCQGDFWADYYPSPHPF >ENSMUSP00000069765.7 pep:known chromosome:GRCm38:7:18987400:18988962:1 gene:ENSMUSG00000051965.8 transcript:ENSMUST00000063563.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nanos2 description:nanos homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2676627] MDLPPFDMWRDYFNLSQVVMDIIQSRKQRQEGEVAEEPNSRPQEKSEQDLEGYPGCLPTI CNFCKHNGESRHVYTSHQLKTPEGVVVCPILRHYVCPLCGATGDQAHTLKYCPLNSSQQS LYRRSGRNSAGRRVKR >ENSMUSP00000046558.8 pep:known chromosome:GRCm38:4:140026846:140246784:-1 gene:ENSMUSG00000040972.8 transcript:ENSMUST00000039331.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf21 description:immunoglobulin superfamily, member 21 [Source:MGI Symbol;Acc:MGI:2681842] MQAAPSLRRASCLLLAAILDLARGYLTVNIEPLPPVVAGDAVTLKCNFKTDGRMREIVWY RVTDGGTIKQKIFTFDAMFSTNYSHMENYRKREDLVYQSTVRLPEVRISDNGPYECHVGI YDRATREKVVLASGNIFLNVMAPPTSIEVVAADSPAPFSRYQAQNFTLVCIVSGGKPAPM VYFKRDGEPIDAVPLTELPAASSGPVQDSRPFRSLLHRDVDDTKMQKSLSLLDTEYRAGR PYTERPARSLTQDPSLFVQPTTENIPETVVSREFPRWVHSAEPVYFLRHSRTPGSDGTVE VRALLTWTLNPQIDNEALFSCEVKHPALSMPMQAEVTLVAPKGPKIMMTPSRARVGDTVR ILVHGFQNEVFPEPMFTWTRVGSRLLDGSAEFDGKELVLERVPAELNGSMYRCTAQNPLG STDTHTRLIVFENPNIPRGTEDSRGSASGPAGVRLTLVLALTVILELT >ENSMUSP00000139372.1 pep:known chromosome:GRCm38:17:80029487:80062227:-1 gene:ENSMUSG00000024095.15 transcript:ENSMUST00000184635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpll description:heterogeneous nuclear ribonucleoprotein L-like [Source:MGI Symbol;Acc:MGI:1919942] MSSSSSSSPKEETYEEDREFESQAKRLKTEEGEIVYSAEESENRQEATPQAGSDSDSGGG DGGDGDGGSGGGGDGEEGEGGEEGDEGDGDEGGSGGDEGGSGGGPRSMPLSTEGGGSHHK VSVSPVVHVRGLCESVVEADLVEALEKFGTICYVMMMPFKRQALVEFENIDSAKECVTFA ADVPVYIAGQQAFFNYSTSKRITRPGNTDDPSGGNKVLLLSIQNPLYPITVDVLYTVCNP VGKVQRIVIFKRNGIQAMVEFESVLCAQKAKAALNGADIYAGCCTLKIEYARPTRLNVIR NDNDSWDYTKPYLGRRDRGKGRQRQAILGDHPSSFRHDGYGSHGPLLPLPSRYRMGSRDT PELVAYPLPQASSSYMHGGSPSGSVVMVSGLHQLKMNCSRVFNLFCLYGNIEKVKFMKTI PGTALVEMGDEYAVERAVTHLNNVKLFGKRLNVCVSKQHSVVPSQIFELEDGTSSYKDFA MSKNNRFTSAGQASKNIIQPPSCVLHYYNVPLCVTEETFTKLCNDHEVLPFIKYKVFDAK ASAKTLSGLLEWKCKTDAVEALTALNHYQIRVPNGSNPYTLKLCFSTSSHL >ENSMUSP00000139075.1 pep:known chromosome:GRCm38:17:80029837:80062227:-1 gene:ENSMUSG00000024095.15 transcript:ENSMUST00000184297.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpll description:heterogeneous nuclear ribonucleoprotein L-like [Source:MGI Symbol;Acc:MGI:1919942] MSSSSSSSPKEETYEEDREFESQAKRLKTEEGEIVYSAEESENRQEATPQAGSDSDSGGG DGGDGDGGSGGGGDGEEGEGGEEGDEGDGDEGGSGGDEGGSGGGPRSMPLSTEGGGSHHK VSVSPVVHVRGLCESVVEADLVEALEKFGTICYVMMMPFKRQALVEFENIDSAKECVTFA ADVPVYIAGQQAFFNYSTSKRITRPGNTDDPSGGNKVLLLSIQNPLYPITVDVLYTVCNP VGKVQRIVIFKRNGIQAMVEFESVLCAQKAKAALNGADIYAGCCTLKIEYARPTRLNVIR NDNDSWDYTKPYLGRRGCCWLMLKLLERSPL >ENSMUSP00000058308.7 pep:known chromosome:GRCm38:17:80029487:80062334:-1 gene:ENSMUSG00000024095.15 transcript:ENSMUST00000061331.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpll description:heterogeneous nuclear ribonucleoprotein L-like [Source:MGI Symbol;Acc:MGI:1919942] MSSSSSSSPKEETYEEDREFESQAKRLKTEEGEIVYSAEESENRQEATPQAGSDSDSGGG DGGDGDGGSGGGGDGEEGEGGEEGDEGDGDEGGSGGDEGGSGGGPRSMPLSTEGGGSHHK VSVSPVVHVRGLCESVVEADLVEALEKFGTICYVMMMPFKRQALVEFENIDSAKECVTFA ADVPVYIAGQQAFFNYSTSKRITRPGNTDDPSGGNKVLLLSIQNPLYPITVDVLYTVCNP VGKVQRIVIFKRNGIQAMVEFESVLCAQKAKAALNGADIYAGCCTLKIEYARPTRLNVIR NDNDSWDYTKPYLGRRDRGKGRQRQAILGDHPSSFRHDGYGSHGPLLPLPSRYRMGSRDT PELVAYPLPQASSSYMHGGSPSGSVVMVSGLHQLKMNCSRVFNLFCLYGNIEKVKFMKTI PGTALVEMGDEYAVERAVTHLNNVKLFGKRLNVCVSKQHSVVPSQIFELEDGTSSYKDFA MSKNNRFTSAGQASKNIIQPPSCVLHYYNVPLCVTEETFTKLCNDHEVLPFIKYKVFDAK ASAKTLSGLLEWKCKTDAVEALTALNHYQIRVPNGSNPYTLKLCFSTSSHL >ENSMUSP00000077479.4 pep:known chromosome:GRCm38:6:90202793:90203682:1 gene:ENSMUSG00000062546.4 transcript:ENSMUST00000078371.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:V1ra8 description:vomeronasal 1 receptor, A8 [Source:MGI Symbol;Acc:MGI:2148513] MNKDHTLYCSVYIRNAFFSEIGIGISANSCLLLFHTFMFIRGHRPRLTDLPIGFVALIHL VMLLLAAYITEDFFMSSGGWDDITCKLVIFLHRFFRSLSVCATCLLSVFQAIILCPQSSH LAKLKQNSPHQLSYFFIFLSIFYTSISSQILIAAIPTQNITFVNLIYITNSCSFLPLSSS MQHTFSTLLTFRNVFVIGLMGLSTCYMATLLCRHKTRSQRLQNSKLSPKATPEQRALRTI LMLMSFFLLMSTFDSIISYSRTIITGKSTALLCPDSCRS >ENSMUSP00000101287.1 pep:known chromosome:GRCm38:4:152072498:152115390:1 gene:ENSMUSG00000039713.16 transcript:ENSMUST00000105662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg5 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 5 [Source:MGI Symbol;Acc:MGI:2652860] MDKGRAAKVCHHADCQQLHHRGPLNLCETCDSKFHSTLHYDGHVRFDLPPQGSVLARNVS TRSCPPRTSPAADLEEEEEGCTDGKGDRKSAGLKISKKKARRRHTDDPSKECFTLKFDLN VDIETEIVPAMKKKSLGEVLLPVFERKGIALGKVDIYLDQSNTPLSLTFEAYRFGGHYLR VKAKPGDEGKVEQGVKDSKSLSLPALRPSGAGPPVSERVDPQSRRESSLDILAPGRRRKN MSEFLGEAGIPGHEPPAPSSCSLPVGSSGGTSSGINESWKNRAASRFSGFFSSSPSTSAF SREVDKMEQLESKLHAYSLFGLPRMPRRLRFDHDSWEEEEEDDEEDEESSGLRLEDSWRE LTDGHEKLTRRQCHQQEAVWELLHTEVSYIRKLRVITNLFLCCLLNLQESGLLCEVEAER LFSNIPEIAKLHRGLWGSVMVPVLEKARRTRALLQPSDFLKGFKMFGSLFKPYIRYCMEE EGCMEYMRGLLRDNDLFRAYVTWAEKHQQCQRLKLSDMLAKPHQRLTKYPLLLKSVLRKT DDPRTKEAIVTMISSVERFIHHVNTCMRQRQERQRLAGVVSRIDAYEVVEGSNDEVDKLL KEFLHLDLTAPMPGTSPEETRQLLLEGSLRMKEGKDSKMDVYCFLFTDLLLVTKAVKKAE RTKVIRPPLLVDKIVCRELRDPGSFLLIYLNEFHSAVGAYTFQASSQALCRSWVDTIYNA QNQLQQLRAQLSAQEHPGSQHLQSLEEEEDEQEEEGEESGTSAASSPTILRKSSNSLDSE HCTSDGSTETLAMVVVEPGATLSSPEFEGGPVSSQSDESSLSNTASSVTPTSELLPLGPV DGRSCSMDSAYGTLSPTSLQDFVAPHPVVEPAPVPQTPSPQPSPRLRRRTPVQLLPRPPR LLKSKSEASLLQLLSGTPAARGVPPAPSRSLSELCLISVAPGVRTQRPLQEGGPGWNGPG MCDPCHGPQLSESENRPSHMTGGPADSARRRCREMPSGTMSRVQSEPPSGVSAQHRKLTL AQLYRIRTTLLLNSTLTASEV >ENSMUSP00000112707.1 pep:known chromosome:GRCm38:4:152086858:152115390:1 gene:ENSMUSG00000039713.16 transcript:ENSMUST00000118648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg5 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 5 [Source:MGI Symbol;Acc:MGI:2652860] MEDQSPAEEKGLRCQNPACMDKGRAAKVCHHADCQQLHHRGPLNLCETCDSKFHSTLHYD GHVRFDLPPQGSVLARNVSTRSCPPRTSPAADLEEEEEGCTDGKGDRKSAGLKISKKKAR RRHTDDPSKECFTLKFDLNVDIETEIVPAMKKKSLGEVLLPVFERKGIALGKVDIYLDQS NTPLSLTFEAYRFGGHYLRVKAKPGDEGKVEQGVKDSKSLSLPALRPSGAGPPVSERVDP QSRRESSLDILAPGRRRKNMSEFLGEAGIPGHEPPAPSSCSLPVGSSGGTSSGINESWKN RAASRFSGFFSSSPSTSAFSREVDKMEQLESKLHAYSLFGLPRMPRRLRFDHDSWEEEEE DDEEDEESSGLRLEDSWRELTDGHEKLTRRQCHQQEAVWELLHTEVSYIRKLRVITNLFL CCLLNLQESGLLCEVEAERLFSNIPEIAKLHRGLWGSVMVPVLEKARRTRALLQPSDFLK GFKMFGSLFKPYIRYCMEEEGCMEYMRGLLRDNDLFRAYVTWAEKHQQCQRLKLSDMLAK PHQRLTKYPLLLKSVLRKTDDPRTKEAIVTMISSVERFIHHVNTCMRQRQERQRLAGVVS RIDAYEVVEGSNDEVDKLLKEFLHLDLTAPMPGTSPEETRQLLLEGSLRMKEGKDSKMDV YCFLFTDLLLVTKAVKKAERTKVIRPPLLVDKIVCRELRDPGSFLLIYLNEFHSAVGAYT FQASSQALCRSWVDTIYNAQNQLQQLRAQLSAQEHPGSQHLQSLEEEEDEQEEEGEESGT SAASSPTILRKSSNSLDSEHCTSDGSTETLAMVVVEPGATLSSPEFEGGPVSSQSDESSL SNTASSVTPTSELLPLGPVDGRSCSMDSAYGTLSPTSLQDFVAPHPVVEPAPVPQTPSPQ PSPRLRRRTPVQLLPRPPRLLKSKSEASLLQLLSGTPAARGVPPAPSRSLSELCLISVAP GVRTQRPLQEGGPGWNGPGMCDPCHGPQLSESENRPSHMTGGPADSARRRCREMPSGTMS RVQSEPPSGVSAQHRKLTLAQLYRIRTTLLLNSTLTASEV >ENSMUSP00000101286.3 pep:known chromosome:GRCm38:4:152096719:152115390:1 gene:ENSMUSG00000039713.16 transcript:ENSMUST00000105661.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg5 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 5 [Source:MGI Symbol;Acc:MGI:2652860] MGTGPGVSGRRAAARPSSELPSPDSQLLWVGGHAHSSDSQVCHHADCQQLHHRGPLNLCE TCDSKFHSTLHYDGHVRFDLPPQGSVLARNVSTRSCPPRTSPAADLEEEEEGCTDGKGDR KSAGLKISKKKARRRHTDDPSKECFTLKFDLNVDIETEIVPAMKKKSLGEVLLPVFERKG IALGKVDIYLDQSNTPLSLTFEAYRFGGHYLRVKAKPGDEGKVEQGVKDSKSLSLPALRP SGAGPPVSERVDPQSRRESSLDILAPGRRRKNMSEFLGEAGIPGHEPPAPSSCSLPVGSS GGTSSGINESWKNRAASRFSGFFSSSPSTSAFSREVDKMEQLESKLHAYSLFGLPRMPRR LRFDHDSWEEEEEDDEEDEESSGLRLEDSWRELTDGHEKLTRRQCHQQEAVWELLHTEVS YIRKLRVITNLFLCCLLNLQESGLLCEVEAERLFSNIPEIAKLHRGLWGSVMVPVLEKAR RTRALLQPSDFLKGFKMFGSLFKPYIRYCMEEEGCMEYMRGLLRDNDLFRAYVTWAEKHQ QCQRLKLSDMLAKPHQRLTKYPLLLKSVLRKTDDPRTKEAIVTMISSVERFIHHVNTCMR QRQERQRLAGVVSRIDAYEVVEGSNDEVDKLLKEFLHLDLTAPMPGTSPEETRQLLLEGS LRMKEGKDSKMDVYCFLFTDLLLVTKAVKKAERTKVIRPPLLVDKIVCRELRDPGSFLLI YLNEFHSAVGAYTFQASSQALCRSWVDTIYNAQNQLQQLRAQLSAQEHPGSQHLQSLEEE EDEQEEEGEESGTSAASSPTILRKSSNSLDSEHCTSDGSTETLAMVVVEPGATLSSPEFE GGPVSSQSDESSLSNTASSVTPTSELLPLGPVDGRSCSMDSAYGTLSPTSLQDFVAPHPV VEPAPVPQTPSPQPSPRLRRRTPVQLLPRPPRLLKSKSEASLLQLLSGTPAARGVPPAPS RSLSELCLISVAPGVRTQRPLQEGGPGWNGPGMCDPCHGPQLSESENRPSHMTGGPADSA RRRCREMPSGTMSRVQSEPPSGVSAQHRKLTLAQLYRIRTTLLLNSTLTASEV >ENSMUSP00000081132.3 pep:known chromosome:GRCm38:4:152096719:152115400:1 gene:ENSMUSG00000039713.16 transcript:ENSMUST00000084115.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg5 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 5 [Source:MGI Symbol;Acc:MGI:2652860] MGTGPGVSGRRAAARPSSELPSPDSQLLWVGGHAHSSDSQVCHHADCQQLHHRGPLNLCE TCDSKFHSTLHYDGHVRFDLPPQGSVLARNVSTRSCPPRTSPAADLEEEEEGCTDGKGDR KSAGLKISKKKARRRHTDDPSKECFTLKFDLNVDIETEIVPAMKKKSLGEVLLPVFERKG IALGKVDIYLDQSNTPLSLTFEAYRFGGHYLRVKAKPGDEGKVEQGVKDSKSLSLPALRP SGAGPPVSERVDPQSRRESSLDILAPGRRRKNMSEFLGEAGIPGHEPPAPSSCSLPVGSS GGTSSGINESWKNRAASRFSGFFSSSPSTSAFSREVDKMEQLESKLHAYSLFGLPRMPRR LRFDHDSWEEEEEDDEEDEESSGLRLEDSWRELTDGHEKLTRRQCHQQEAVWELLHTEVS YIRKLRVITNLFLCCLLNLQESGLLCEVEAERLFSNIPEIAKLHRGLWGSVMVPVLEKAR RTRALLQPSDFLKGFKMFGSLFKPYIRYCMEEEGCMEYMRGLLRDNDLFRAYVTWAEKHQ QCQRLKLSDMLAKPHQRLTKYPLLLKSVLRKTDDPRTKEAIVTMISSVERFIHHVNTCMR QRQERQRLAGVVSRIDAYEVVEGSNDEVDKLLKEFLHLDLTAPMPGTSPEETRQLLLEGS LRMKEGKDSKMDVYCFLFTDLLLVTKAVKKAERTKVIRPPLLVDKIVCRELRDPGSFLLI YLNEFHSAVGAYTFQASSQALCRSWVDTIYNAQNQLQQLRAQLSAQEHPGSQHLQSLEEE EDEQEEEGEESGTSAASSPTILRKSSNSLDSEHCTSDGSTETLAMVVVEPGATLSSPEFE GGPVSSQSDESSLSNTASSVTPTSELLPLGPVDGRSCSMDSAYGTLSPTSLQDFVAPHPV VEPAPVPQTPSPQPSPRLRRRTPVQLLPRPPRLLKSKSEASLLQLLSGTPAARGVPPAPS RSLSELCLISVAPGVRTQRPLQEGGPGWNGPGMCDPCHGPQLSESENRPSHMTGGPADSA RRRCREMPSGTMSRVQSEPPSGVSAQHRKLTLAQLYRIRTTLLLNSTLTAS >ENSMUSP00000101134.1 pep:known chromosome:GRCm38:10:42860667:42934361:1 gene:ENSMUSG00000044770.14 transcript:ENSMUST00000105495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml4 description:sex comb on midleg-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2446140] MQTQRVPGRKRGRPPLHSTRVQMAVHNLYSASAASVPAVTIPKKRGRKPRYKIKSPVLMT PLALSPPRSTPEPDLSSIPQDAATIPSLVVPEALTVCLYINKQADVGPYLERRKLQQLPE RLGPERPATVLQQAVQACIDCAHQPRLVFSLVKQGYRGELVSDMAKERPWNNHKVLN >ENSMUSP00000053157.7 pep:known chromosome:GRCm38:10:42860370:42960780:1 gene:ENSMUSG00000044770.14 transcript:ENSMUST00000063063.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml4 description:sex comb on midleg-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2446140] MQTQRVPGRKRGRPPLHSTRVQMAVHNLYSASAASVPAVTIPKKRGRKPRYKIKSPVLMT PLALSPPRSTPEPDLSSIPQDAATIPSLVVPEALTVCLYINKQADVGPYLERRKLQQLPE RLGPERPATVLQQAVQACIDCAHQPRLVFSLVKQGYRGELVSVSASFDGKQHLRSLPVVN SVGYVLRFLTKLCRSLLCDNLFSHLPFPGSIGASDKAQEREDGRTESAKVATAEECLANA VGMNRYAMDFSHRGSVTHSSSLYKRLTCGDSHLAGGPATTTSGSRTNPVPSGGSSSPGLR LPASSPKRNGTAIEGNRCAPSPSPEVQDTRRPSSRNPSTWTVEDVVRFVKDADPEALGPH VELFRKHEIDGNALLLLRSDMIMKYLGLKLGPALKLCYHIDKLKQAKF >ENSMUSP00000101133.1 pep:known chromosome:GRCm38:10:42860793:42960778:1 gene:ENSMUSG00000044770.14 transcript:ENSMUST00000105494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml4 description:sex comb on midleg-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2446140] MTPLALSPPRSTPEPDLSSIPQDAATIPSLVVPEALTVCLYINKQADVGPYLERRKLQQL PERLGPERPATVLQQAVQACIDCAHQPRLVFSLVKQGYRGELVSVSASFDGKQHLRSLPV VNSVGYVLRFLTKLCRSLLCDNLFSHLPFPGSIGASDKAQEREDGRTESAKVATAEECLA NAVGMNRYAMDFSHRGSVTHSSSLYKRLTCGDSHLAGGPATTTSGSRTNPVPSGGSSSPG LRLPASSPKRNGTAIEGNRCAPSPSPEVQDTRRPSSRNPSTWTVEDVVRFVKDADPEALG PHVELFRKHEIDGNALLLLRSDMIMKYLGLKLGPALKLCYHIDKLKQAKF >ENSMUSP00000115811.1 pep:known chromosome:GRCm38:10:42865514:42930419:1 gene:ENSMUSG00000044770.14 transcript:ENSMUST00000136841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml4 description:sex comb on midleg-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2446140] XFAWQPMPLWARQGRNREETHGGSQRQGFMLLIFGNEIRSLCNGSIPSDLAISGLLPYWM RDLFFVCLDQVSSAHDSLGPVTSTEYPGTRSQLHPSGCSHHSQPGGPRGSHSMPLHK >ENSMUSP00000118910.1 pep:known chromosome:GRCm38:10:42902473:42935139:1 gene:ENSMUSG00000044770.14 transcript:ENSMUST00000125576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml4 description:sex comb on midleg-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2446140] MQTQRVPGRKRGRPPLHSTRVQMAVHNLYSASAASVPAVTIPKKRGRKPRYKIKSPVLMT PLALSPPRSTPEPDLSSIPQDAATIPSLVVPEALTVCLYINKQADVGPYLERRKLQQLPE RLGPERPATVLQQAVQACIDCAHQPRLVFSLVKQGYRGELVSVSASFDGKQHLRSLPVVN SVGYVLRFLTKLCRSLLCDNLFSHLPFPGSIGASDKAQEREDGRT >ENSMUSP00000122585.1 pep:known chromosome:GRCm38:10:42860543:42930554:1 gene:ENSMUSG00000044770.14 transcript:ENSMUST00000157071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scml4 description:sex comb on midleg-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2446140] MIKSPVLMTPLALSPPRSTPEPDLSSIPQDAATIPSLVVPEALTVCLYINKQADVGPYLE RRKLQQLPERLGPERPATVLQQAVQACIDCAHQPR >ENSMUSP00000076971.6 pep:known chromosome:GRCm38:2:85037234:85047109:1 gene:ENSMUSG00000027067.16 transcript:ENSMUST00000077798.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssrp1 description:structure specific recognition protein 1 [Source:MGI Symbol;Acc:MGI:107912] MAETLEFNDIFQEVKGSMNDGRLRLSRQGIIFKNSKTGKVDNIQAGELTEGIWRRVALGH GLKLLTKNGHVYKYDGFRESEFEKLSDFFKTHYRLELMEKDLCVKGWNWGTVKFGGQLLS FDIGDQPVFEIPLSNVSQCTTGKNEVTLEFHQNDDAEVSLMEVRFYVPPTQEDGVDPVEA FAQNVLSKADVIQATGDAICIFRELQCLTPRGRYDIRIYPTFLHLHGKTFDYKIPYTTVL RLFLLPHKDQRQMFFVISLDPPIKQGQTRYHFLILLFSKDEDISLTLNMNEEEVEKRFEG RLTKNMSGSLYEMVSRVMKALVNRKITVPGNFQGHSGAQCITCSYKASSGLLYPLERGFI YVHKPPVHIRFDEISFVNFARGTTTTRSFDFEIETKQGTQYTFSSIEREEYGKLFDFVNA KKLNIKNRGLKEGINPGYDDYADSDEDQHDAYLERMKEEGKIREENANDSSDDSGEETDE SFNPGEEEEDVAEEFDSNASASSSSNEGDSDREEKKREQLKRAKMAKDRKSRRKSSEAKK GKDPNAPKRPMSAYMLWLNASREKIKSDHPGISITDLSKKAGEIWKGMSKEKKEEWDRKA EDARREYEKAMKEYEGGRGDSSKRDKSKKKKKVKAKMEKKSTPSRGSSSKSSSRQLSDSF KSKEFVSSDESSSGENKSKKKRRRSEDSEEELASTPPSSEDSASGSDE >ENSMUSP00000121639.1 pep:known chromosome:GRCm38:2:85037455:85045772:1 gene:ENSMUSG00000027067.16 transcript:ENSMUST00000130729.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssrp1 description:structure specific recognition protein 1 [Source:MGI Symbol;Acc:MGI:107912] MAETLEFNDIFQEVKGSMNDGRLRLSRQGIIFKNSKTGKVDNIQAGELTEGIWRRVALGH GLKLLTKNGHVYKYDGFRESEFEKLSDFFKTHYRLELMEKDLCVKGWNWGTVKFGGQLLS FDIGDQPVFEIPLSNVSQCTTGKNEVTLEFHQNDDAEVSLMEVRFYVPPTQEDGVDPVEA FAQNVLSKADVIQATGDAICIFRELQCLTPRGRYDIRIYPTFLHLHGKTFDYKIPYTTVL RLFLLPHKDQRQMFFVISLDPPIKQGQTRYHFLILLFSKDEDISLTLNMNEEEVEKRFEG RLTKNMSGSLYEMVSRVMKALVNRKITVPGNFQGHSGAQCITCSYKASSGLLYPLERGFI YVHKPPVHIRFDEISFVNFARGTTTTRSFDFEIETKQGTQYTFSSIEREEYGKLFDFVNA KKLNIKNRGLKEGINPGYDDYADSDEDQHDAYLERMKEEGKIREENANDSSDDSGEETDE SFNPGEEEEDVAEEFDSNASASSSSNEGDSDREEKKREQLKRAKMAKDRKSRRKSSEAKK GKDPNAPKRPMSAYMLWLNASREKIKSDHPGISITDLSKKAGEIWKGMSKEKKEEWDRKA EDARREYEKAMKEYEGGRGDSSK >ENSMUSP00000127058.1 pep:known chromosome:GRCm38:2:85037451:85047106:1 gene:ENSMUSG00000027067.16 transcript:ENSMUST00000168266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssrp1 description:structure specific recognition protein 1 [Source:MGI Symbol;Acc:MGI:107912] MAETLEFNDIFQEVKGSMNDGRLRLSRQGIIFKNSKTGKVDNIQAGELTEGIWRRVALGH GLKLLTKNGHVYKYDGFRESEFEKLSDFFKTHYRLELMEKDLCVKGWNWGTVKFGGQLLS FDIGDQPVFEIPLSNVSQCTTGKNEVTLEFHQNDDAEVSLMEVRFYVPPTQEDGVDPVEA FAQNVLSKADVIQATGDAICIFRELQCLTPRGRYDIRIYPTFLHLHGKTFDYKIPYTTVL RLFLLPHKDQRQMFFVISLDPPIKQGQTRYHFLILLFSKDEDISLTLNMNEEEVEKRFEG RLTKNMSGSLYEMVSRVMKALVNRKITVPGNFQGHSGAQCITCSYKASSGLLYPLERGFI YVHKPPVHIRFDEISFVNFARGTTTTRSFDFEIETKQGTQYTFSSIEREEYGKLFDFVNA KKLNIKNRGLKEGINPGYDDYADSDEDQHDAYLERMKEEGKIREENANDSSDDSGEETDE SFNPGEEEEDVAEEFDSNASASSSSNEGDSDREEKKREQLKRAKMAKDRKSRRKSSEAKK GKDPNAPKRPMSAYMLWLNASREKIKSDHPGISITDLSKKAGEIWKGMSKEKKEEWDRKA EDARREYEKAMKEYEGGRGDSSKRDKSKKKKKVKAKMEKKSTPSRGSSSKSSSRQLSDSF KSKEFVSSDESSSGENKSKKKRRRSEDSEEELASTPPSSEDSASGSDE >ENSMUSP00000103722.2 pep:known chromosome:GRCm38:7:33743799:33744982:1 gene:ENSMUSG00000078753.3 transcript:ENSMUST00000108087.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b24 description:secretoglobin, family 1B, member 24 [Source:MGI Symbol;Acc:MGI:3649643] MKLAGALLLLRAALLLHSGVYCGICPAIKQDVHLFFHRTSEEYVEYVKQYKDDPEILENT EKIKKCVDSTLTDEDKTHATAFIEKIEARPAC >ENSMUSP00000110913.2 pep:known chromosome:GRCm38:X:36195904:36199150:1 gene:ENSMUSG00000036699.12 transcript:ENSMUST00000115258.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc12 description:zinc finger, CCHC domain containing 12 [Source:MGI Symbol;Acc:MGI:1919943] MASILSRLGSSRGQNSPLPPWAHSMLRSLGRSLGPLMASMAERNMRLFSGRAEPAQGEET FENWLSQVTGVLPDWHMPEEEKVRRLMRTLRGPAREVMRLLQAANPGLDVEDFLRAMKLV FGESESSVTAHSKFVNTVQEHGEKPSLYVIRLEVQLQNAIQAGVFAEREANQARLHQLLV GAEMSTDLRFRLKNLLRVYANEPERLPNFLELIRMIREEEEWEETFINPKRPRRAESVME RALSPMAFQSSPPIMISSIDCNVIEIDDSPDDSDEDVILVEPEDPPLPSSSASSFLGRAV SEDQVLVIESPNIFEIQAPSTSSGAGRKNNRGFGELRRARKRKHTIHCSHCGEEGHSKET CDNESDKGQVFENLIITLQELTHAEERARGAPGEPIGLSEPQ >ENSMUSP00000044550.3 pep:known chromosome:GRCm38:X:36195946:36199158:1 gene:ENSMUSG00000036699.12 transcript:ENSMUST00000048067.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc12 description:zinc finger, CCHC domain containing 12 [Source:MGI Symbol;Acc:MGI:1919943] MASILSRLGSSRGQNSPLPPWAHSMLRSLGRSLGPLMASMAERNMRLFSGRAEPAQGEET FENWLSQVTGVLPDWHMPEEEKVRRLMRTLRGPAREVMRLLQAANPGLDVEDFLRAMKLV FGESESSVTAHSKFVNTVQEHGEKPSLYVIRLEVQLQNAIQAGVFAEREANQARLHQLLV GAEMSTDLRFRLKNLLRVYANEPERLPNFLELIRMIREEEEWEETFINPKRPRRAESVME RALSPMAFQSSPPIMISSIDCNVIEIDDSPDDSDEDVILVEPEDPPLPSSSASSFLGRAV SEDQVLVIESPNIFEIQAPSTSSGAGRKNNRGFGELRRARKRKHTIHCSHCGEEGHSKET CDNESDKGQVFENLIITLQELTHAEERARGAPGEPIGLSEPQ >ENSMUSP00000110912.1 pep:known chromosome:GRCm38:X:36195959:36199157:1 gene:ENSMUSG00000036699.12 transcript:ENSMUST00000115257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc12 description:zinc finger, CCHC domain containing 12 [Source:MGI Symbol;Acc:MGI:1919943] MASILSRLGSSRGQNSPLPPWAHSMLRSLGRSLGPLMASMAERNMRLFSGRAEPAQGEET FENWLSQVTGVLPDWHMPEEEKVRRLMRTLRGPAREVMRLLQAANPGLDVEDFLRAMKLV FGESESSVTAHSKFVNTVQEHGEKPSLYVIRLEVQLQNAIQAGVFAEREANQARLHQLLV GAEMSTDLRFRLKNLLRVYANEPERLPNFLELIRMIREEEEWEETFINPKRPRRAESVME RALSPMAFQSSPPIMISSIDCNVIEIDDSPDDSDEDVILVEPEDPPLPSSSASSFLGRAV SEDQVLVIESPNIFEIQAPSTSSGAGRKNNRGFGELRRARKRKHTIHCSHCGEEGHSKET CDNESDKGQVFENLIITLQELTHAEERARGAPGEPIGLSEPQ >ENSMUSP00000110911.1 pep:known chromosome:GRCm38:X:36195986:36199157:1 gene:ENSMUSG00000036699.12 transcript:ENSMUST00000115256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc12 description:zinc finger, CCHC domain containing 12 [Source:MGI Symbol;Acc:MGI:1919943] MASILSRLGSSRGQNSPLPPWAHSMLRSLGRSLGPLMASMAERNMRLFSGRAEPAQGEET FENWLSQVTGVLPDWHMPEEEKVRRLMRTLRGPAREVMRLLQAANPGLDVEDFLRAMKLV FGESESSVTAHSKFVNTVQEHGEKPSLYVIRLEVQLQNAIQAGVFAEREANQARLHQLLV GAEMSTDLRFRLKNLLRVYANEPERLPNFLELIRMIREEEEWEETFINPKRPRRAESVME RALSPMAFQSSPPIMISSIDCNVIEIDDSPDDSDEDVILVEPEDPPLPSSSASSFLGRAV SEDQVLVIESPNIFEIQAPSTSSGAGRKNNRGFGELRRARKRKHTIHCSHCGEEGHSKET CDNESDKGQVFENLIITLQELTHAEERARGAPGEPIGLSEPQ >ENSMUSP00000028854.8 pep:known chromosome:GRCm38:2:127633226:127656695:-1 gene:ENSMUSG00000027375.14 transcript:ENSMUST00000028854.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mal description:myelin and lymphocyte protein, T cell differentiation protein [Source:MGI Symbol;Acc:MGI:892970] MAPAAASGGSTLPSGFSVFTTFPDLLFVCEFVFGGLVWILIASSLVPLPLAQGWVMFVSV FCFVATTSLMILYIIGTHGGETSWITLDAAYHCVAALFYLSASVLEALATISMFDGFTYK HYHENIAAVVFAYVVTLIYVVHAVFSLIRWKSS >ENSMUSP00000028853.6 pep:known chromosome:GRCm38:2:127634874:127656219:-1 gene:ENSMUSG00000027375.14 transcript:ENSMUST00000028853.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mal description:myelin and lymphocyte protein, T cell differentiation protein [Source:MGI Symbol;Acc:MGI:892970] MAPAAASGGSTLPSGFSVFTTFPDLLFVCEFDAAYHCVAALFYLSASVLEALATISMFDG FTYKHYHENIAAVVFAYVVTLIYVVHAVFSLIRWKSS >ENSMUSP00000117651.2 pep:known chromosome:GRCm38:5:142724661:142788523:-1 gene:ENSMUSG00000039477.16 transcript:ENSMUST00000152247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc18 description:trinucleotide repeat containing 18 [Source:MGI Symbol;Acc:MGI:3648294] EGRQDRGSSRLAERLSPFLAEVKAKGALQPSALSLCNGVVDAGLVAELGRGGAKEVARQE ENARLLRRAEALLPAARPCGSPLPPPPPLPPKGPPAPPSSTPAGVYTVFREPGREHRVVA PTFVPSVEAFDERVGPIQIASQARDVRAREREPGRPGVLQGPPGSPRLERPEVLREKSSV IRSLKRPPPSDGPPAARSSRSSPDARAYLPPKELLKPEADPRPCERAPRGPSASAAQQAA KLFGLEPSRPPGPEHKWKPFELGNFATTQMAVLAAQHHHASRAEEEAAVATASKKAYLDP GGAMPRASATCGRPGADLHSAAHGPGEASAMQSLIKYSGSFAREAVAVRPGGCGKKSPFG GLGTMKPEPTPTSAGPPRAQARLTHPGVPTAGGGRQLKRDPERPESAKAFGREGSGAQGE AEVRHPPVGIAVAVARQKDSGSSSRLGPGLGDQERTLSLNNVKGHGRTDDECDRARHRED RLLGTRLDRDQEKLLRESKELADLARLHPTSCAPNGLNPNLMVTGGPTLAGSGRWSADPA AHLATNPWLPRSGSTSMWLAGHPYGLGPPSLHQGMAPAFPPGLGGSLPSAYQFVRDPQSG QLVVIPSDHLPHFAELMERAAVPPLWPALYPPGRSPLHHAQQLQLFSQQHFLRQQELLYL QQQAAQALELQRSAQLVQERLKAQEHRTEMEEKISKRSLETTGKAGLSAAGPGLLPRKSA GLANGPAGSHGKAVSPPPSPRASPVTSLKAKVIQKVEDVSKPPAYTYPATPSSHPSSPPP ASPPPTPGLTRKEEAPENVVEKKDLELEKETPSPFQALFTDIPPRYPFQALPPHYGRPYP FLLQPAAASDADGLAPDVPLPADGPERLALSPEDKPICLSPSKIPEPPRDSPEEEQLADR EVKAEVEDIEEGPTELPPLESPLALPVPETMVAVSPAGGCGGSPLEAQALSTAGPGCREP SEVSDFAQVAEPQIELPSKTEHRMTALELGTQLTPEPLVETKEEPVEVPLDVPMEEPTTE AGPEDSLPQPSLTEPQPSLELSDCDLPVPEGQCLNLEAQEAVPAPASTCYLEETHSESLL PGLDDPLAGMNALAAAAELPQARPLPSLGPGVPAGEKLDTAPSLVLEHSFLQGITLLSEI AELELDRRGQEAADPEPNLVVRPSLESLLAASSHMLKEVLESPFSDPLKNLRLPRELNSN KKYSWMQKKEERMFAMKSSLEDMDALELDFRMRLAEVQRRYKEKQRELVKLQRRRDSGLS SKSLLTSDDYDLGAGIRKRHKGPEEEQEALMGMGKARSRNQSWDDHDSSSDFMSQLKIKK KKMASDQEQLASKLDRALSLTKQDKLKSPFKFSDGPGGKPKTGGGCGRFLTQYDSLLGKD RKALAKGLGLSLKPSREGKHKRASKARKMEGGFQARGQPKSVHSPFASEVSSQSYNTDSD EDEDFLKNEWSAQGPSSSKLTSSLLCGMVPKNSKPATGPKLTKRGLAGPRTLKPKVVTSR KQSFCLLLREAEARSSFSDSSEEDSFDQDDSSEEEEEELEEEEEDEEEEGIGSYRLGAGE QALSPSLEESGLGLLARFAASALPSPVVGPPLSVVQLEAEQKARKKEERQSLLGTEFEYT DSESEVKVPKQSAAGLLRTKKGVGEPGQSLAAPGPGSRASGPSSPDKAKLVSEKGRKARK IRGPKEPGFEAGPEASDDDLWTRRRSERIFLHDASAAVQATSNTAPATKPSRCGRGGAPS PRKDTGRAKDRKDPRKKKRGKEAGSAATLPPPRVSTLPDSRAPHPGALATAKRSKAKARG KEAKKENRGKGGAVSKLMECMAAEEDFEANQDSSFSEDEHLPRGGATERPLTPAPRSCII DKEELKDGLRVLIPLDDKLLYAGHVQTVHSPDIYRVVVEGERGNRPHIYCLEQLLQEAII DVRPASTRFLPQGTRIAAYWSQQYRCLYPGTVVRGLLDLEDDGDLITVEFDDGDTGRIPL SHIRLLPPDYKIQCAEPSPALLVPSAKRRSRKTSKDTGEVKEGAATGPQEATGGKARGRG RKPSTKAKADRAVVLEEGAATNEVPSAPLALEPISTPNSKKSTPEPVDKRARAPKARSIS AQPSPVPPTFSSCPAPEPFGELPTPATAPLVTMPVTMPATRPKPKKARAAEGSGAKGPRR PGEDDELLVKLDHEGVMSPKSKKAKEALLLREDPGPGGWPESTGLLSLGSYSPAVGSSEP KATWPKGLDGDLTQEPGPGLPLEDPGNSKNPDKAQAEQDGAEESETTSSSSSSSSSSSSS SSSSSSSSSSSSGSETEGEEDAEKNREDGRGAGGRTCSAASSRASSPASSSSSSSSSSSS SSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSTTDEDSSCSSDEEAAPAPAAGPSTQPAL PTKVSKPPSKARSSAHSPGKKAPTTTQPPPQPPPQPQQTLQPKTQAGAGAKSRPKKREGV HLPTTKELAKRQRLPSVENRPKIAAFLPARQLWKWFGKPTQRRGMKGKARKLFYKAIVRG KEMIRIGDCAVFLSAGRPNLPYIGRIQSMWESWGNNMVVRVKWFYHPEETSPGKQFHEGQ HWDQKSGHSLPAALRASSQRKDFMERALYQSSHVDENDVQTVSHKCLVVGLEQYEQMLKT KKYQDSEGLYYLAGTYEPTTGMIFSTDGVPVLC >ENSMUSP00000114769.2 pep:known chromosome:GRCm38:5:142754789:142817662:-1 gene:ENSMUSG00000039477.16 transcript:ENSMUST00000151477.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc18 description:trinucleotide repeat containing 18 [Source:MGI Symbol;Acc:MGI:3648294] MDGRDFGPQRSVHGPPPPLLSGLAMDSHRVGAATAGRLPSSGLPGPPPPGKYMAGLNLHP HPGFSHLPSGLYPSYLHLNHLDPPSSGSPLLSQLGQPSIFDTQKDGFYLPAPGTLHAHTP SSRTPSGHSSGGPAKGSSREGTGKDRAGRGGDPPPLFGKKDPRAREEVSGPRGVVDLTQE ARAEGRQDRGSSRLAERLSPFLAEVKAKGALQPSALSLCNGVVDAGLVAELGRGGAKEVA RQEENARLLRRAEALLPAARPCGSPLPPPPPLPPKGPPAPPSSTPAGVYTVFREPGREHR VVAPTFVPSVEAFDERVGPIQIASQARDVRAREREPGRPGVLQGPPGSPRLERPEVLREK SSVIRSLKRPPPSDGPPAARSSRSSPDARAYLPPKELLKPEADPRPCERAPRGPSASAAQ QAAKLFGLEPSRPPGPEHKWKPFELGNFATTQMAVLAAQHHHASRAEEEAAVATASKKAY LDPGGAMPRASATCGRPGADLHSAAHGPGEASAMQSLIKYSGSFAREAVAVRPGGCGKKS PFGGLGTMKPEPTPTSAGPPRAQARLTHPGVPTAGGGRQLKRDPERPESAKAFGREGSGA QGEAEVRHPPVGIAVAVARQKDSGSSSRLGPGLGDQERTLSLNNVKGHGRTDDECDRARH REDRLLGTRLDRDQEKLLRESKELADLARLHPTSCAPNGLNPNLMVTGGPTLAGSGRWSA DPAAHLATNPWLPRSGSTSMWLAGHPYGLGPPSLHQGMAPAFPPGLGGSLPSAYQFVRDP QSGQLVVIPSDHLPHFAELMERAAVPPLWPALYPPGRSPLHHAQQLQLFSQQHFLRQQEL LYLQQQAAQALELQRSAQLVERLKAQEHRTEMEEKISKRSLETTGKAGLSAAGPGLLPRK SAGLANGPAGSHGKAVSPPPSPRASPVTSLKAKVIQKVEDVSKPPAYTYPATPSSHPSSP PPASPPPTPGLTRKEEAPENVVEKKDLELEKETPSPFQALFTDIPPRYPFQALPPHYGRP YPFLLQPAAASDADGLAPDVPLPADGPERLALSPEDKPICLSPSKIPEPPRDSPEEEQLA DREVKAEVEDIEEGPTELPPLESPLALPVPETMVAVSPAGGCGGSPLEAQALSTAGPGCR EPSEVSDFAQVAEPQIELPSKTEHRMTALELGTQLTPEPLVETKEEPVEVPLDVPMEEPT TEAGPEDSLPQPSLTEPQPSLELSDCDLPVPEGQCLNLEAQEAVPAPASTCYLEETHSES LLPGLDDPLAGMNALAAAAELPQARPLPSLGPGVPAGEKLDTAPSLVLEHSFLQGITLLS EIAELELDRRGQEAADPEPNLVVRPSLESLLAASSHMLKEVLESPFSDPLKNLRLPRELN SNKKYSWMQKKEERMFAMKSSLEDMDALELDFRMRLAEVQRRYKEKQRELVKLQRRRDSG DRHEDAHRSLARRGPGRPRKRTHTLSALSPPCKRGKSHSSSGKLSSKSLLTSDDYDLGAG IRKRHKGPEEEQEALMGMGKARSRNQSWDDHDSSSDFMSQLKIKKKKMASDQEQLASKLD RALSLTKQDKLKSPFKFSDGPGGKPKTGGGCGRFLTQYDSLLGKDRKALAKGLGLSLKPS REGKHKRASKARKMEGGFQARGQPKSVHSPFASEVSSQSYNTDSDEDEDFLKNEWSAQGP SSSKLTSSLLCGMVPKNSKPATGPKLTKRGLAGPRTLKPKVVTSRKQSFCLLLREAEARS SFSDSSEEDSFDQGY >ENSMUSP00000143178.1 pep:known chromosome:GRCm38:5:142793005:142817380:-1 gene:ENSMUSG00000039477.16 transcript:ENSMUST00000198181.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc18 description:trinucleotide repeat containing 18 [Source:MGI Symbol;Acc:MGI:3648294] MDGRDFGPQRSVHGPPPPLLSGLAMDSHRVGAATAGRLPSSGLPGPPPPGKYMAGLNLHP HPGEAFLGSFVSSGMGPSASSQGSPVSLPSDLSFHSPTPSNLPMVQLWAAHAHE >ENSMUSP00000058718.8 pep:known chromosome:GRCm38:7:18991245:19001764:1 gene:ENSMUSG00000048481.15 transcript:ENSMUST00000059331.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mypop description:Myb-related transcription factor, partner of profilin [Source:MGI Symbol;Acc:MGI:2446472] MASATAAAAPGEAEETTRLRKPRFSFEENQILIREVRAHYPQLYGAQSRRVSVAERRRVW DSIATKINGITSWKRTGQEVQKRWNDFKRRTKEKLARVPHSTQGAGPAAEDAFSAEEETI FAILGPGVAGPGAGSGAEESRAAASSQPQASTASTQRYVLSEDRRQDRRADTPAQSKGGS SSPESWARPSCNPQEAKERESTSPAAMQPVQLPRLALSPPLPAPPPPPTALAQVAPSSPS PTPPRPTSAPEQSLDFLRAQQETANAIRELAGTLRQGLAKLSEALSALLPLLPGTPADPL PPPPPPPPPPPPKPVLPPSAPKVELAPEPVSVVAAVVDGAVVAARGVIISPRSEEGVPKP LPPAPPLPLHDSPPHKRRKGFPTRKRRGRWKSP >ENSMUSP00000117357.1 pep:known chromosome:GRCm38:7:18991319:19001766:1 gene:ENSMUSG00000048481.15 transcript:ENSMUST00000131087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mypop description:Myb-related transcription factor, partner of profilin [Source:MGI Symbol;Acc:MGI:2446472] MASATAAAAPGEAEETTRLRKPRFSFEENQILIREVRAHYPQLYGAQSRRVSVAERRRVW DSIATKINGITSWKRTGQEVQKRWNDFKRRTKEKLARVPHSTQGAGPAAEDAFSAEEETI FAILGPGVAGPGAGSGAEESRAAASSQPQASTASTQRYVLSEDRRQDRRADTPAQSKGGS SSPESWARPSCNPQEAKERESTSPAAMQPVQLPRLALSPPLPAPPPPPTALAQVAPSSPS PTPPRPTSAPEQSLDFLRAQQETANAIRELAGTLRQGLAKLSEALSALLPLLPGTPADPL PPPPPPPPPPPPKPVLPPSAPKVELAPEPVSVVAAVVDGAVVAARGVIISPRSEEGVPKP LPPAPPLPLHDSPPHKRRKGFPTRKRRGRWKSP >ENSMUSP00000121317.1 pep:known chromosome:GRCm38:1:36471620:36508764:1 gene:ENSMUSG00000037408.10 transcript:ENSMUST00000153128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnnm4 description:cyclin M4 [Source:MGI Symbol;Acc:MGI:2151060] MAPGGGGGRRDGWPARGRLLLAALLLLWTRAASGQSSPQQSVILGMRLASCNKSCGMNPD GIIFVSEGSTVNLRLYGHSLGDISSNLISFTEVDDAEAVHNSTNCLELTKDLVVQRLVNV SRGNTSGMLVVITKFLRRSENMKLYALCTRPRADGPWTRWTDKDSLLFMVEEHGRFLPLW LHILLVMVLLVLSGIFSGLNLGLMALDPMELRIVQNCGTEKERKYARKIEPIRRKGNYLL CSLLLGNVLVNTSLTILLDNLIGSGIMAVASSTIGIVIFGEILPQALCSRHGLAVGANTI VLTKVFMLLTFPLSFPISKLLDFVLGQEIRTVYNREKLMEMLKVTEPYNDLVKEELNMIQ GALELRTKTVEDIMTQLHDCFMIRSDAILDFNTMSEIMESGYTRIPVFEDEQSNIVDILY VKDLAFVDPDDCTPLKTITRFYNHPVHFVFHDTKLDAMLEEFKKGKSHLAIVQKVNNEGE GDPFYEVLGLVTLEDVIEEIIKSEILDESDMYTDNRTRKRVSVKNKRDFSAFKDTDNELK VKISPQLLLAAHRFLATEVPQFSPSLMSEKILLRLLKYPDVIQELRFNEHNRYCVRHYLY TRNKPADCFVLILQGKVEVEAGKENMKFETGAFSYYGTMALSVAPPDRSPALPTPLSRSA SLSYPDRNTDLTSTSLAGSNQFGSCILGQYVSDFSVRALTDLQYIKITRQQYQNGLMASR MDNSPQPTFDGCATCSENFMERPELPPVDETTTLLNERNSLLHRASEEETI >ENSMUSP00000018005.3 pep:known chromosome:GRCm38:2:163054687:163084688:1 gene:ENSMUSG00000017861.11 transcript:ENSMUST00000018005.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybl2 description:myeloblastosis oncogene-like 2 [Source:MGI Symbol;Acc:MGI:101785] MSRRTRCEDLDELHYQDVDSDLLEQRDNRCKVKWTHEEDEQLRALVRQFGQQDWKFLASH FPNRTDQQCQYRWLRVLNPDLVKGPWTKEEDQKVIELVKKYGTKQWTLIAKHLKGRLGKQ CRERWHNHLNPEVKKSCWTEEEDRIICEAHKVLGNRWAEIAKMLPGRTDNAVKNHWNSTI KRKVDTGGFPAESRDCKPVYLLLELEDKEQHQGVQPVDGQGSLVSSWPLVPSIVKEESSE EEIAIAATSAKELGHEPVPADLGEVRTPEPPESLKREYQEFSSPETSLPYKWVVEAANLL IPAVGSSLSEALDLIESDPDAWCDLSKFDLPEEPSTEGSVVSSPVQPQTSQQQQEEALQS SQQAATPGPSVTEYRLDGHTISDLSRSSRGELIPISPSTEFGGSGIGTPPSVLKRQKKRR VALSPVTENSASLSFLDSCNSLTPKSTPVKTLPFSPSQFLNFWNKQDTLELESPSLTSTP VCSQKVVVTTPLHRDKTPLHQKYPSSEVLPDQKYSMDNTPHTPTPFKNALEKYGPLKPLP QTPHLEEDLKEVLRSEAGMELIIEDDMRPEKQKRKPGLRRSPIKKVRKSLALDIMDEDGK LMSSTMPKPLSLPTSVTPSSCGFTSPGSKEGNSLLNQGFLQAKPEKVVAAQKTRSHIPTP APMTHAWKTVACGGTKDQLFMQEKARQLLSRLKSSHTSRTLILS >ENSMUSP00000114710.1 pep:known chromosome:GRCm38:2:163074745:163082699:1 gene:ENSMUSG00000017861.11 transcript:ENSMUST00000142729.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybl2 description:myeloblastosis oncogene-like 2 [Source:MGI Symbol;Acc:MGI:101785] CNSLTPKSTPVKTLPFSPSQFLNFWNKQDTLELESPSLTSTPVCSQKVVVTTPLHRDKTP LHQKYPSSVSQKLQSARAVVAHAFNPSTWEAEAGGFLSSRPAWSKESEVLPDQKYSMDNT PHTPTPFKNALEKYGPLKPLPQTPHLEEDLKEVLRSEAGMELIIEDDMRPEKQKRKPGLR RSPIKKVRKSLALDIMDEDGKLMSSTMPKPLSLPTSVTPSSCGFTSPGSKEGNSLLNQGF LQAKPEKVVAAQKTRSHIPTPAP >ENSMUSP00000049625.7 pep:known chromosome:GRCm38:5:134237834:134314760:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000059042.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGI SFIIKRPFLEPKKHLGGRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSGISLEMAAVTVK EESEDPDYYQYNIQGPSETDGVDEKLPLSKALQGSHHSSEGNEGTEVEVPAEDSTQHVPS ETSEDPEVEVTIEDDDYSPPTKRLKSTEPPPPPPVPEPANAGKRKVREFNFEKWNARITD LRKQVEELFERKYAQAIKAKGPVTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLE RILLAKERIRFVIKKHELLNSTREDLQLDKPASGVKEEWYARITKLRKMVDQLFCKKFAE ALGSTEAKAVPYQKFEAHPNDLYVEGLPENIPFRSPSWYGIPRLEKIIQVGNRIKFVIKR PELLTHSTTEVTQPRTNTPVKEDWNVRITKLRKQVEEIFNLKFAQALGLTEAVKVPYPVF ESNPEFLYVEGLPEGIPFRSPTWFGIPRLERIVRGSNKIKFVVKKPELVVSYLPPGMASK INTKALQSPKRPRSPGSNSKVPEIEVTVEGPNNSSPQTSAVRTPTQTNGSNVPFKPRGRE FSFEAWNAKITDLKQKVENLFNEKCGEALGLKQAVKVPFALFESFPEDFYVEGLPEGVPF RRPSTFGIPRLEKILRNKAKIKFIIKKPEMFETAIKESTSSKSPPRKINSSPNVNTTASG VEDLNIIQVTIPDDDNERLSKVEKARQLREQVNDLFSRKFGEAIGMGFPVKVPYRKITIN PGCVVVDGMPPGVSFKAPSYLEISSMRRILDSAEFIKFTVIRPFPGLVINNQLVDQNESE GPVIQESAEASQLEVPVTEEIKETDGSSQIKQEPDPTW >ENSMUSP00000133444.1 pep:known chromosome:GRCm38:5:134237839:134314720:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000173341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGI SFIIKRPFLEPKKHLGGRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSGISLEMAAVTVK EESEDPDYYQYNIQGSHHSSEGNEGTEVEVPAEDDDYSPPTKRLKSTEPPPPPPVPEPAN AGKRKVREFNFEKWNARITDLRKQVEELFERKYAQAIKAKGPVTIPYPLFQSHVEDLYVE GLPEGIPFRRPSTYGIPRLERILLAKERIRFVIKKHELLNSTREDLQLDKPASGVKEEWY ARITKLRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHPNDLYVEGLPENIPFRSPSWYG IPRLEKIIQVGNRIKFVIKRPELLTHSTTEVTQPRTNTPVKEDWNVRITKLRKQVEEIFN LKFAQALGLTEAVKVPYPVFESNPEFLYVEGLPEGIPFRSPTWFGIPRLERIVRGSNKIK FVVKKPELVVSYLPPGMASKINTKALQSPKRPRSPGSNSKVPEIEVTVEGPNNSSPQTSA VRTPTQTNGSNVPFKPRGREFSFEAWNAKITDLKQKVENLFNEKCGEALGLKQAVKVPFA LFESFPEDFYVEGLPEGVPFRRPSTFGIPRLEKILRNKAKIKFIIKKPEMFETAIKESTS SKSPPIFLSGKINSSPNVNTTASGVEDLNIIQVTIPDDDNERLSKVEKARQLREQVNDLF SRKFGEAIGMGFPVKVPYRKITINPGCVVVDGMPPGVSFKAPSYLEISSMRRILDSAEFI KFTVIRPFPGLVINNQLVDQNESEGPVIQESAEASQLEVPVTEEIKETDGSSQIKQEPDP TW >ENSMUSP00000134035.1 pep:known chromosome:GRCm38:5:134237842:134314682:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000172715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGI SFIIKRPFLEPKKHLGGRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSDDDYSPPTKRLK STEPPPPPPVPEPANAGKRKVREFNFEKWNARITDLRKQVEELFERKYAQAIKAKGPVTI PYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLERILLAKERIRFVIKKHELLNSTRED LQLDKPASGVKEEWYARITKLRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHPNDLYVE GLPENIPFRSPSWYGIPRLEKIIQVGNRIKFVIKRPELLTHSTTEVTQPRTNTPVKEDWN VRITKLRKQVEEIFNLKFAQALGLTEAVKVPYPVFESNPEFLYVEGLPEGIPFRSPTWFG IPRLERIVRGSNKIKFVVKKPELVVSYLPPGMASKINTKALQSPKRPRSPGSNSKVPEIE VTVEGPNNSSPQTSAVRTPTQTNGSNVPFKPRGREFSFEAWNAKITDLKQKVENLFNEKC GEALGLKQAVKVPFALFESFPEDFYVEGLPEGVPFRRPSTFGIPRLEKILRNKAKIKFII KKPEMFETAIKESTSSKSPPRKINSSPNVNTTASGVEDLNIIQVTIPDDDNERLSKVEKA RQLREQVNDLFSRKFGEAIGMGFPVKVPYRKITINPGCVVVDGMPPGVSFKAPSYLEISS MRRILDSAEFIKFTVIRPFPGLVINNQLVDQNESEGPVIQESAEASQLEVPVTEEIKETD GSSQIKQEPDPTW >ENSMUSP00000134440.1 pep:known chromosome:GRCm38:5:134237843:134314693:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000174354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGI SFIIKRPFLEPKKHLGGRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSGISLEMAAVTVK EESEDPDYYQYNIQGSHHSSEGNEGTEVEVPAEDSTQHVPSETSEDPEVEVTIEDDDYSP PTKRLKSTEPPPPPPVPEPANAGKRKVREFNFEKWNARITDLRKQVEELFERKYAQAIKA KGPVTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLERILLAKERIRFVIKKHELL NSTREDLQLDKPASGVKEEWYARITKLRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHP NDLYVEGLPENIPFRSPSWYGIPRLEKIIQVGNRIKFVIKRPELLTHSTTEVTQPRTNTP VKEDWNVRITKLRKQVEEIFNLKFAQALGLTEAVKVPYPVFESNPEFLYVEGLPEGIPFR SPTWFGIPRLERIVRGSNKIKFVVKKPELVVSYLPPGMASKINTKALQSPKRPRSPGSNS KVPEIEVTVEGPNNSSPQTSAVRTPTQTNGSNVPFKPRGREFSFEAWNAKITDLKQKVEN LFNEKCGEALGLKQAVKVPFALFESFPEDFYVEGLPEGVPFRRPSTFGIPRLEKILRNKA KIKFIIKKPEMFETAIKESTSSKSPPRKINSSPNVNTTASGVEDLNIIQVTIPDDDNERL SKVEKARQLREQVNDLFSRKFGEAIGMGFPVKVPYRKITINPGCVVVDGMPPGVSFKAPS YLEISSMRRILDSAEFIKFTVIRPFPGLVINNQLVDQNESEGPVIQESAEASQLEVPVTE EIKETDGSSQIKQEPDPTW >ENSMUSP00000133566.1 pep:known chromosome:GRCm38:5:134237843:134314693:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000174155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGI SFIIKRPFLEPKKHLGGRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSGISLEMAAVTVK EESEDPDYYQYNIQGPSETDGVDEKLPLSKALQGSHHSSEGNEGTEVEVPAEDSTQHVPS ETSEDPEVEVTIEDDDYSPPTKRLKSTEPPPPPPVPEPANAGKRKVREFNFEKWNARITD LRKQVEELFERKYAQAIKAKGPVTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLE RILLAKERIRFVIKKHELLNSTREDLQLDKPASGVKEEWYARITKLRKMVDQLFCKKFAE ALGSTEAKAVPYQKFEAHPNDLYVEGLPENIPFRSPSWYGIPRLEKIIQVGNRIKFVIKR PELLTHSTTEVTQPRTNTPVKEDWNVRITKLRKQVEEIFNLKFAQALGLTEAVKVPYPVF ESNPEFLYVEGLPEGIPFRSPTWFGIPRLERIVRGSNKIKFVVKKPELVVSYLPPGMASK INTKALQSPKRPRSPGSNSKVPEIEVTVEGPNNSSPQTSAVRTPTQTNGSNVPFKPRGRE FSFEAWNAKITDLKQKVENLFNEKCGEALGLKQAVKVPFALFESFPEDFYVEGLPEGVPF RRPSTFGIPRLEKILRNKAKIKFIIKKPEMFETAIKESTSSKSPPRKINSSPNVNTTASG VEDLNIIQVTIPDDDNERLSKVEKARQLREQVNDLFSRKFGEAIGMGFPVKVPYRKITIN PGCVVVDGMPPGVSFKAPSYLEISSMRRILDSAEFIKFTVIRPFPGLVINNQLVDQNESE GPVIQESAEASQLEVPVTEEIKETDGSSQIKQEPDPTW >ENSMUSP00000133489.1 pep:known chromosome:GRCm38:5:134237843:134314693:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000174513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGI SFIIKRPFLEPKKHLGGRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSGISLEMAAVTVK EESEDPDYYQYNIQGSHHSSEGNEGTEVEVPAEDDDYSPPTKRLKSTEPPPPPPVPEPAN AGKRKVREFNFEKWNARITDLRKQVEELFERKYAQAIKAKGPVTIPYPLFQSHVEDLYVE GLPEGIPFRRPSTYGIPRLERILLAKERIRFVIKKHELLNSTREDLQLDKPASGVKEEWY ARITKLRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHPNDLYVEGLPENIPFRSPSWYG IPRLEKIIQVGNRIKFVIKRPELLTHSTTEVTQPRTNTPVKEDWNVRITKLRKQVEEIFN LKFAQALGLTEAVKVPYPVFESNPEFLYVEGLPEGIPFRSPTWFGIPRLERIVRGSNKIK FVVKKPELVVSYLPPGMASKINTKALQSPKRPRSPGSNSKVPEIEVTVEGPNNSSPQTSA VRTPTQTNGSNVPFKPRGREFSFEAWNAKITDLKQKVENLFNEKCGEALGLKQAVKVPFA LFESFPEDFYVEGLPEGVPFRRPSTFGIPRLEKILRNKAKIKFIIKKPEMFETAIKESTS SKSPPRKINSSPNVNTTASGVEDLNIIQVTIPDDDNERLSKVEKARQLREQVNDLFSRKF GEAIGMGFPVKVPYRKITINPGCVVVDGMPPGVSFKAPSYLEISSMRRILDSAEFIKFTV IRPFPGLVINNQLVDQNESEGPVIQESAEASQLEVPVTEEIKETDGSSQIKQEPDPTW >ENSMUSP00000133740.1 pep:known chromosome:GRCm38:5:134237843:134314693:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000174772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGI SFIIKRPFLEPKKHLGGRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSGISLEMAAVTVK EESEDPDYYQYNIQGPSETDGVDEKLPLSKALQGSHHSSEGNEGTEVEVPAEDDDYSPPT KRLKSTEPPPPPPVPEPANAGKRKVREFNFEKWNARITDLRKQVEELFERKYAQAIKAKG PVTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLERILLAKERIRFVIKKHELLNS TREDLQLDKPASGVKEEWYARITKLRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHPND LYVEGLPENIPFRSPSWYGIPRLEKIIQVGNRIKFVIKRPELLTHSTTEVTQPRTNTPVK EDWNVRITKLRKQVEEIFNLKFAQALGLTEAVKVPYPVFESNPEFLYVEGLPEGIPFRSP TWFGIPRLERIVRGSNKIKFVVKKPELVVSYLPPGMASKINTKALQSPKRPRSPGSNSKV PEIEVTVEGPNNSSPQTSAVRTPTQTNGSNVPFKPRGREFSFEAWNAKITDLKQKVENLF NEKCGEALGLKQAVKVPFALFESFPEDFYVEGLPEGVPFRRPSTFGIPRLEKILRNKAKI KFIIKKPEMFETAIKESTSSKSPPRKINSSPNVNTTASGVEDLNIIQVTIPDDDNERLSK VEKARQLREQVNDLFSRKFGEAIGMGFPVKVPYRKITINPGCVVVDGMPPGVSFKAPSYL EISSMRRILDSAEFIKFTVIRPFPGLVINNQLVDQNESEGPVIQESAEASQLEVPVTEEI KETDGSSQIKQEPDPTW >ENSMUSP00000133526.1 pep:known chromosome:GRCm38:5:134238497:134243562:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000173485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] XNTTASGVEDLNIIQVTIPDDDNERLSKVEKARQLREQVNDLFSRKFGEAIGMGFPVKVP YRKITINPGCVVVDGMPPGVSFKAPSYLEISSMRRILDSAEFIKFTVIRPFPGLVINNQL VDQNESEGPVIQESAEASQLEVPVTEVRPVSSVRGGEELWDPFLPAL >ENSMUSP00000133969.1 pep:known chromosome:GRCm38:5:134239513:134295608:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000173888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGI SFIIKRPFLEPKKHLGGRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSGISLEMAAVTVK EESEDPDYYQYNIQDDDYSPPTKRLKSTEPPPPPPVPEPANAGKRKVREFNFEKWNARIT DLRKQVEELFERKYAQAIKAKGPVTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRL ERILLAKERIRFVIKKHELLNSTREDLQLDKPASGVKEEWYARITKLRKMVDQLFCKKFA EALGSTEAKAVPYQKFEAHPNDLYVEGLPENIPFRSPSWYGIPRLEKIIQVGNRIKFVIK RPELLTHSTTEVTQPRTNTPVKEDWNVRITKLRKQVEEIFNLKFAQALGLTEAVKVPYPV FESNPEFLYVEGLPEGIPFRSPTWFGIPRLERIVRGSNKIKFVVKKPELVVSYLPPGMAS KINTKALQSPKRPRSPGSNSKVPEIEVTVEGPNNSSPQTSAVRTPTQTNGSNVPFKPRGR EFSFEAWNAKITDLKQKVENLFNEKCGEALGLKQAVKVPFALFESFPEDFYVEGLPEGVP FRRPSTFGIPRLEKILRNKAKIKFIIKKPEMFETAIKESTSSKSPPRKINSSPNVNTTAS GVEDLNIIQVTIPDDDNERLSKVEKARQLREQVNDLFSRKFGEAIGMGFPVKVPYRKITI NPGCVVVDGMPPGVSFKAPSYLEISSMRRILDSAEFIKFTVIRPFPGLVINNQLVDQNES EGPVIQESAEASQLEVPVTEEIKETDGSSQIKQEPDPTW >ENSMUSP00000134111.2 pep:known chromosome:GRCm38:5:134255886:134295558:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000174133.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] XESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTERGRAFVNTRKDFQKDF VKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYFCFCYGKALGKSTVVPV PYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGISFIIKRPFLEPKKHLG GRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSGSHHSSEGNEGTEVEVPAEDDDYSPPTK RLKSTEPPPPPPVPEPANAGKRKVREFNFEKWNARITDLRKQVEELFERKYAQAIKAKGP VTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLERILLAKERIRFVIKKHELLNST REDLQLDKPASGVKEEWYARITKLRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHPNDL YVEGLPENIPFRSPSWY >ENSMUSP00000133435.1 pep:known chromosome:GRCm38:5:134286909:134314378:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000174867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLAT >ENSMUSP00000134636.1 pep:known chromosome:GRCm38:5:134286940:134311727:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000174188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAF >ENSMUSP00000133375.2 pep:known chromosome:GRCm38:5:134286940:134312022:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000173651.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKRRKGRRDA >ENSMUSP00000134042.1 pep:known chromosome:GRCm38:5:134286966:134314676:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000173504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVV >ENSMUSP00000133905.1 pep:known chromosome:GRCm38:5:134287020:134314727:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000173263.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKY >ENSMUSP00000106892.4 pep:known chromosome:GRCm38:5:134237837:134314746:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000111261.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGI SFIIKRPFLEPKKHLGGRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSGISLEMAAVTVK EESEDPDYYQYNIQGSHHSSEGNEGTEVEVPAEDSTQHVPSETSEDPEVEVTIEDDDYSP PTKRLKSTEPPPPPPVPEPANAGKRKVREFNFEKWNARITDLRKQVEELFERKYAQAIKA KGPVTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLERILLAKERIRFVIKKHELL NSTREDLQLDKPASGVKEEWYARITKLRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHP NDLYVEGLPENIPFRSPSWYGIPRLEKIIQVGNRIKFVIKRPELLTHSTTEVTQPRTNTP VKEDWNVRITKLRKQVEEIFNLKFAQALGLTEAVKVPYPVFESNPEFLYVEGLPEGIPFR SPTWFGIPRLERIVRGSNKIKFVVKKPELVVSYLPPGMASKINTKALQSPKRPRSPGSNS KVPEIEVTVEGPNNSSPQTSAVRTPTQTNGSNVPFKPRGREFSFEAWNAKITDLKQKVEN LFNEKCGEALGLKQAVKVPFALFESFPEDFYVEGLPEGVPFRRPSTFGIPRLEKILRNKA KIKFIIKKPEMFETAIKESTSSKSPPRKINSSPNVNTTASGVEDLNIIQVTIPDDDNERL SKVEKARQLREQVNDLFSRKFGEAIGMGFPVKVPYRKITINPGCVVVDGMPPGVSFKAPS YLEISSMRRILDSAEFIKFTVIRPFPGLVINNQLVDQNESEGPVIQESAEASQLEVPVTE EIKETDGSSQIKQEPDPTW >ENSMUSP00000080714.3 pep:known chromosome:GRCm38:5:134237837:134314746:-1 gene:ENSMUSG00000060261.15 transcript:ENSMUST00000082057.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2i description:general transcription factor II I [Source:MGI Symbol;Acc:MGI:1202722] MAQVVMSALPAEDEESSESRMVVTFLMSALESMCKELAKSKAEVACIAVYETDVFVVGTE RGRAFVNTRKDFQKDFVKYCVEEEEKAAEMHKMKSTTQANRMSVDAVEIETLRKTVEDYF CFCYGKALGKSTVVPVPYEKMLRDQSAVVVQGLPEGVAFKHPEHYDLATLKWILENKAGI SFIIKRPFLEPKKHLGGRVLAAEAERSMLSPSGSCGPIKVKTEPTEDSGISLEMAAVTVK EESEDPDYYQYNIQGPSETDGVDEKLPLSKALQGSHHSSEGNEGTEVEVPAEDDDYSPPT KRLKSTEPPPPPPVPEPANAGKRKVREFNFEKWNARITDLRKQVEELFERKYAQAIKAKG PVTIPYPLFQSHVEDLYVEGLPEGIPFRRPSTYGIPRLERILLAKERIRFVIKKHELLNS TREDLQLDKPASGVKEEWYARITKLRKMVDQLFCKKFAEALGSTEAKAVPYQKFEAHPND LYVEGLPENIPFRSPSWYGIPRLEKIIQVGNRIKFVIKRPELLTHSTTEVTQPRTNTPVK EDWNVRITKLRKQVEEIFNLKFAQALGLTEAVKVPYPVFESNPEFLYVEGLPEGIPFRSP TWFGIPRLERIVRGSNKIKFVVKKPELVVSYLPPGMASKINTKALQSPKRPRSPGSNSKV PEIEVTVEGPNNSSPQTSAVRTPTQTNGSNVPFKPRGREFSFEAWNAKITDLKQKVENLF NEKCGEALGLKQAVKVPFALFESFPEDFYVEGLPEGVPFRRPSTFGIPRLEKILRNKAKI KFIIKKPEMFETAIKESTSSKSPPRKINSSPNVNTTASGVEDLNIIQVTIPDDDNERLSK VEKARQLREQVNDLFSRKFGEAIGMGFPVKVPYRKITINPGCVVVDGMPPGVSFKAPSYL EISSMRRILDSAEFIKFTVIRPFPGLVINNQLVDQNESEGPVIQESAEASQLEVPVTEEI KETDGSSQIKQEPDPTW >ENSMUSP00000110593.3 pep:known chromosome:GRCm38:18:71258738:72351069:-1 gene:ENSMUSG00000060534.15 transcript:ENSMUST00000114943.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcc description:deleted in colorectal carcinoma [Source:MGI Symbol;Acc:MGI:94869] MENSLGCVWVPKLAFVLFGASLLSAHLQVTGFQIKPFTSLHFVSEPSDAVTMRGGNVLLN CSAESDRGVPVIKWKKDGLILALGMDDRKQQLPNGSLLIQNILHSRHHKPDEGLYQCEAS LADSGSIISRTAKVTVAGPLRFLSQTESITAFMGDTVLLKCEVIGEPMPTIHWQKNQQDL NPLPGDSRVVVLPSGALQISRLQPGDSGVYRCSARNPASIRTGNEAEVRILSDPGLHRQL YFLQRPSNVIAIEGKDAVLECCVSGYPPPSFTWLRGEEVIQLRSKKYSLLGGSNLLISNV TDDDSGTYTCVVTYKNENISASAELTVLVPPWFLNHPSNLYAYESMDIEFECAVSGKPVP TVNWMKNGDVVIPSDYFQIVGGSNLRILGVVKSDEGFYQCVAENEAGNAQSSAQLIVPKP AIPSSSILPSAPRDVLPVLVSSRFVRLSWRPPAEAKGNIQTFTVFFSREGDNRERALNTT QPGSLQLTVGNLKPEAMYTFRVVAYNEWGPGESSQPIKVATQPELQVPGPVENLHAVSTS PTSILITWEPPAYANGPVQGYRLFCTEVSTGKEQNIEVDGLSYKLEGLKKFTEYTLRFLA YNRYGPGVSTDDITVVTLSDVPSAPPQNISLEVVNSRSIKVSWLPPPSGTQNGFITGYKI RHRKTTRRGEMETLEPNNLWYLFTGLEKGSQYSFQVSAMTVNGTGPPSNWYTAETPENDL DESQVPDQPSSLHVRPQTNCIIMSWTPPLNPNIVVRGYIIGYGVGSPYAETVRVDSKQRY YSIERLESSSHYVISLKAFNNAGEGVPLYESATTRSITDPTDPVDYYPLLDDFPTSGPDV STPMLPPVGVQAVALTHEAVRVSWADNSVPKNQKTSDVRLYTVRWRTSFSASAKYKSEDT TSLSYTATGLKPNTMYEFSVMVTKNRRSSTWSMTAHATTYEAAPTSAPKDLTVITREGKP RAVIVSWQPPLEANGKITAYILFYTLDKNIPIDDWIMETISGDRLTHQIMDLSLDTMYYF RIQARNVKGVGPLSDPILFRTLKVEHPDKMANDQGRHGDGGYWPVDTNLIDRSTLNEPPI GQMHPPHGSVTPQKNSNLLVITVVTVGVLTVLVVVIVAVICTRRSSAQQRKKRATHSVSK RKGSQKDLRPPDLWIHHEEMEMKNIEKPTGTDPAGRDSPIQSCQDLTPVSHSQSETQMGS KSASHSGQDTEDAGSSMSTLERSLAARRATRAKLMIPMEAQSSNPAVVSAIPVPTLESAQ YPGILPSPTCGYPHPQFTLRPVPFPTLSVDRGFGAGRTQSVSEGPTTQQQPMLPPAQPEH PSSEEAPSRTIPTACVRPTHPLRSFANPLLPPPMSAIEPKVPYTPLLSQPGPTLPKTHVK TASLGLAGKARSPLLPVSVPTAPEVSEESHKPTEDPASVYEQDDLSEQMASLEGLMKQLN AITGSAF >ENSMUSP00000073094.5 pep:known chromosome:GRCm38:18:71258990:72351017:-1 gene:ENSMUSG00000060534.15 transcript:ENSMUST00000073379.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcc description:deleted in colorectal carcinoma [Source:MGI Symbol;Acc:MGI:94869] MENSLGCVWVPKLAFVLFGASLLSAHLQVTGFQIKPFTSLHFVSEPSDAVTMRGGNVLLN CSAESDRGVPVIKWKKDGLILALGMDDRKQQLPNGSLLIQNILHSRHHKPDEGLYQCEAS LADSGSIISRTAKVTVAGPLRFLSQTESITAFMGDTVLLKCEVIGEPMPTIHWQKNQQDL NPLPGDSRVVVLPSGALQISRLQPGDSGVYRCSARNPASIRTGNEAEVRILSDPGLHRQL YFLQRPSNVIAIEGKDAVLECCVSGYPPPSFTWLRGEEVIQLRSKKYSLLGGSNLLISNV TDDDSGTYTCVVTYKNENISASAELTVLVPPWFLNHPSNLYAYESMDIEFECAVSGKPVP TVNWMKNGDVVIPSDYFQIVGGSNLRILGVVKSDEGFYQCVAENEAGNAQSSAQLIVPKP AIPSSSILPSAPRDVLPVLVSSRFVRLSWRPPAEAKGNIQTFTVFFSREGDNRERALNTT QPGSLQLTVGNLKPEAMYTFRVVAYNEWGPGESSQPIKVATQPELQVPGPVENLHAVSTS PTSILITWEPPAYANGPVQGYRLFCTEVSTGKEQNIEVDGLSYKLEGLKKFTEYTLRFLA YNRYGPGVSTDDITVVTLSDVPSAPPQNISLEVVNSRSIKVSWLPPPSGTQNGFITGYKI RHRKTTRRGEMETLEPNNLWYLFTGLEKGSQYSFQVSAMTVNGTGPPSNWYTAETPENDL DESQVPDQPSSLHVRPQTNCIIMSWTPPLNPNIVVRGYIIGYGVGSPYAETVRVDSKQRY YSIERLESSSHYVISLKAFNNAGEGVPLYESATTRSITDVSTPMLPPVGVQAVALTHEAV RVSWADNSVPKNQKTSDVRLYTVRWRTSFSASAKYKSEDTTSLSYTATGLKPNTMYEFSV MVTKNRRSSTWSMTAHATTYEAAPTSAPKDLTVITREGKPRAVIVSWQPPLEANGKITAY ILFYTLDKNIPIDDWIMETISGDRLTHQIMDLSLDTMYYFRIQARNVKGVGPLSDPILFR TLKVEHPDKMANDQGRHGDGGYWPVDTNLIDRSTLNEPPIGQMHPPHGSVTPQKNSNLLV ITVVTVGVLTVLVVVIVAVICTRRSSAQQRKKRATHSVSKRKGSQKDLRPPDLWIHHEEM EMKNIEKPTGTDPAGRDSPIQSCQDLTPVSHSQSETQMGSKSASHSGQDTEDAGSSMSTL ERSLAARRATRAKLMIPMEAQSSNPAVVSAIPVPTLESAQYPGILPSPTCGYPHPQFTLR PVPFPTLSVDRGFGAGRTQSVSEGPTTQQQPMLPPAQPEHPSSEEAPSRTIPTACVRPTH PLRSFANPLLPPPMSAIEPKVPYTPLLSQPGPTLPKTHVKTASLGLAGKARSPLLPVSVP TAPEVSEESHKPTEDPASVYEQDDLSEQMASLEGLMKQLNAITGSAF >ENSMUSP00000061919.8 pep:known chromosome:GRCm38:10:105187663:105574435:-1 gene:ENSMUSG00000036019.8 transcript:ENSMUST00000061506.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc2 description:transmembrane and tetratricopeptide repeat containing 2 [Source:MGI Symbol;Acc:MGI:1914057] MIAELVSSALGLALYLNTLSADFCYDDSRAIKTNQDLLPETPWTHIFYNDFWGTLLTHSG SHKSYRPLCTLSFRLNHAIGGLNPWSYHLVNVLLHAAVTGLFTRFSKALLGDGYWTFMAG LMFASHPIHTEAVAGIVGRADVGASLFFLLSLLCYIKHCSTRGYSARTWGWFLGTGLCAG CSMLWKEQGVTVLAVSAVYDVFVFHRLKMKQILPTIYKRKNLSLFLSISLLTFWGTCLLG ARLYWMGNKPPSFSNSDNPAADSDSLLARTLTFLYLPTKNLWLLLCPDTLSFDWSMDAVP LLKTVCDWRNLHTVAFYSGLLLLAYCGLKNPSLEGECNGKALTNGKQNANGHSCHSDVEY RNSEMKPSFASKVENGIKNCVPQRTQLPSTENIVILSLSLLIIPFIPATNLFFYVGFVIA ERVLYIPSMGFCLLITVGARALYVKVQKRFLKSLVFYATATLIVFYGVKTAIRNGDWQNE EMLYRSGIKVNPAKAWGNLGNVLKSQSKISEAESAYRNALFYRSNMADMLYNLGLLLQEN SRFAEALHYYKLAIGSRPTLASAYLNTGIILMNQGKTEEARRTFLKCSEIPDENLKDPHA HKSSVTSCLYNLGKLYHEQGRYEEALSVYREAIQKMPRHFAPQSLYNMMGEAYMRLSKLP EAEHWYMESLRSKTDHIPAHLTYGKLLALTGRKSEAEKFFLKAIELDPTKGNCYMHYGQF LLEESRLTEAAEMAKKAAELDNTEFDVVFNAAHMLRQASLNEAAEKYYDLAARLRPNYPA ALMNLGAILHLNGRLQKAEANYLRALQLKPDDVITQSNLRKLWNIMEKQGLKTSKT >ENSMUSP00000102663.1 pep:known chromosome:GRCm38:7:100607410:100641268:1 gene:ENSMUSG00000030704.14 transcript:ENSMUST00000107048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab6a description:RAB6A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:894313] MYDSFDNTYQATIGIDFLSKTMYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSAAAVVVY DITNVNSFQQTTKWIDDVRTERGSDVIIMLVGNKTDLADKRQVSIEEGERKAKELNVMFI ETSAKAGYNVKQLFRRVAAALPGMESTQDRSREDMIDIKLEKPQEQPVNEGGCSC >ENSMUSP00000032946.3 pep:known chromosome:GRCm38:7:100607586:100641268:1 gene:ENSMUSG00000030704.14 transcript:ENSMUST00000032946.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab6a description:RAB6A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:894313] MSAGGDFGNPLRKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDR TVRLQLWDTAGQERFRSLIPSYIRDSTVAVVVYDITNVNSFQQTTKWIDDVRTERGSDVI IMLVGNKTDLADKRQVSIEEGERKAKELNVMFIETSAKAGYNVKQLFRRVAAALPGMEST QDRSREDMIDIKLEKPQEQPVNEGGCSC >ENSMUSP00000095852.4 pep:known chromosome:GRCm38:7:100607690:100641268:1 gene:ENSMUSG00000030704.14 transcript:ENSMUST00000098252.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab6a description:RAB6A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:894313] MSAGGDFGNPLRKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDR TIRLQLWDTAGQERFRSLIPSYIRDSAAAVVVYDITNVNSFQQTTKWIDDVRTERGSDVI IMLVGNKTDLADKRQVSIEEGERKAKELNVMFIETSAKAGYNVKQLFRRVAAALPGMEST QDRSREDMIDIKLEKPQEQPVNEGGCSC >ENSMUSP00000078440.3 pep:known chromosome:GRCm38:11:59541568:59566955:1 gene:ENSMUSG00000032691.14 transcript:ENSMUST00000079476.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp3 description:NLR family, pyrin domain containing 3 [Source:MGI Symbol;Acc:MGI:2653833] MTSVRCKLAQYLEDLEDVDLKKFKMHLEDYPPEKGCIPVPRGQMEKADHLDLATLMIDFN GEEKAWAMAVWIFAAINRRDLWEKAKKDQPEWNDTCTSHSSMVCQEDSLEEEWMGLLGYL SRISICKKKKDYCKMYRRHVRSRFYSIKDRNARLGESVDLNSRYTQLQLVKEHPSKQERE HELLTIGRTKMRDSPMSSLKLELLFEPEDGHSEPVHTVVFQGAAGIGKTILARKIMLDWA LGKLFKDKFDYLFFIHCREVSLRTPRSLADLIVSCWPDPNPPVCKILRKPSRILFLMDGF DELQGAFDEHIGEVCTDWQKAVRGDILLSSLIRKKLLPKASLLITTRPVALEKLQHLLDH PRHVEILGFSEAKRKEYFFKYFSNELQAREAFRLIQENEVLFTMCFIPLVCWIVCTGLKQ QMETGKSLAQTSKTTTAVYVFFLSSLLQSRGGIEEHLFSDYLQGLCSLAADGIWNQKILF EECDLRKHGLQKTDVSAFLRMNVFQKEVDCERFYSFSHMTFQEFFAAMYYLLEEEAEGET VRKGPGGCSDLLNRDVKVLLENYGKFEKGYLIFVVRFLFGLVNQERTSYLEKKLSCKISQ QVRLELLKWIEVKAKAKKLQWQPSQLELFYCLYEMQEEDFVQSAMDHFPKIEINLSTRMD HVVSSFCIKNCHRVKTLSLGFFHNSPKEEEEERRGGRPLDQVQCVFPDTHVACSSRLVNC CLTSSFCRGLFSSLSTNRSLTELDLSDNTLGDPGMRVLCEALQHPGCNIQRLWLGRCGLS HQCCFDISSVLSSSQKLVELDLSDNALGDFGIRLLCVGLKHLLCNLQKLWLVSCCLTSAC CQDLALVLSSNHSLTRLYIGENALGDSGVQVLCEKMKDPQCNLQKLGLVNSGLTSICCSA LTSVLKTNQNFTHLYLRSNALGDTGLRLLCEGLLHPDCKLQMLELDNCSLTSHSCWNLST ILTHNHSLRKLNLGNNDLGDLCVVTLCEVLKQQGCLLQSLQLGEMYLNRETKRALEALQE EKPELTIVFEISW >ENSMUSP00000114231.1 pep:known chromosome:GRCm38:11:59542756:59548118:1 gene:ENSMUSG00000032691.14 transcript:ENSMUST00000149126.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp3 description:NLR family, pyrin domain containing 3 [Source:MGI Symbol;Acc:MGI:2653833] MTSVRCKLAQYLEDLEDVDLKKFKMHLEDYPPEKGCIPVPRGQMEKADHLDLATLMIDFN GEEKAWAMAVWIFAAINRRDLWEKAKKDQPEWNDTCTSHSSMVCQEDSLEEEWMGLLGYL SRISICKKKKDYCKMYRRHVRSRFYSIKDRNARLGESVDLNSRYTQLQLVKEH >ENSMUSP00000098707.2 pep:known chromosome:GRCm38:11:59542686:59566956:1 gene:ENSMUSG00000032691.14 transcript:ENSMUST00000101148.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp3 description:NLR family, pyrin domain containing 3 [Source:MGI Symbol;Acc:MGI:2653833] MTSVRCKLAQYLEDLEDVDLKKFKMHLEDYPPEKGCIPVPRGQMEKADHLDLATLMIDFN GEEKAWAMAVWIFAAINRRDLWEKAKKDQPEWNDTCTSHSSMVCQEDSLEEEWMGLLGYL SRISICKKKKDYCKMYRRHVRSRFYSIKDRNARLGESVDLNSRYTQLQLVKEHPSKQERE HELLTIGRTKMRDSPMSSLKLELLFEPEDGHSEPVHTVVFQGAAGIGKTILARKIMLDWA LGKLFKDKFDYLFFIHCREVSLRTPRSLADLIVSCWPDPNPPVCKILRKPSRILFLMDGF DELQGAFDEHIGEVCTDWQKAVRGDILLSSLIRKKLLPKASLLITTRPVALEKLQHLLDH PRHVEILGFSEAKRKEYFFKYFSNELQAREAFRLIQENEVLFTMCFIPLVCWIVCTGLKQ QMETGKSLAQTSKTTTAVYVFFLSSLLQSRGGIEEHLFSDYLQGLCSLAADGIWNQKILF EECDLRKHGLQKTDVSAFLRMNVFQKEVDCERFYSFSHMTFQEFFAAMYYLLEEEAEGET VRKGPGGCSDLLNRDVKVLLENYGKFEKGYLIFVVRFLFGLVNQERTSYLEKKLSCKISQ QVRLELLKWIEVKAKAKKLQWQPSQLELFYCLYEMQEEDFVQSAMDHFPKIEINLSTRMD HVVSSFCIKNCHRVKTLSLGFFHNSPKEEEEERRGGRPLDQVQCVFPDTHVACSSRLVNC CLTSSFCRGLFSSLSTNRSLTELDLSDNTLGDPGMRVLCEALQHPGCNIQRLWLGRCGLS HQCCFDISSVLSSSQKLVELDLSDNALGDFGIRLLCVGLKHLLCNLQKLWLVSCCLTSAC CQDLALVLSSNHSLTRLYIGENALGDSGVQVLCEKMKDPQCNLQKLGLVNSGLTSICCSA LTSVLKTNQNFTHLYLRSNALGDTGLRLLCEGLLHPDCKLQMLELDNCSLTSHSCWNLST ILTHNHSLRKLNLGNNDLGDLCVVTLCEVLKQQGCLLQSLQLGEMYLNRETKRALEALQE EKPELTIVFEISW >ENSMUSP00000045445.5 pep:known chromosome:GRCm38:11:97663414:97685463:1 gene:ENSMUSG00000038437.11 transcript:ENSMUST00000044730.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt6 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 6 [Source:MGI Symbol;Acc:MGI:1935145] MKEMVGGCCVCSDERGWAENPLVYCDGHACSVAVHQACYGIVQVPTGPWFCRKCESQERA ARVRCELCPHKDGALKRTDNGGWAHVVCALYIPEVQFANVLTMEPIVLQYVPHDRFNKTC YICEEQGRESKAASGACMTCNRHGCRQAFHVTCAQMAGLLCEEEVLEVDNVKYCGYCKYH FSKMKTSRHSSGGGGGAGGGSSGGGGGGSSSASGGGGGTGGGSGNSFLSGRRSRSASPST QPEKHPTHHEKGQKKSRKDKERLKQKHKKRPESPPSVLAPPAVPTADKVSSATSSSHHEA STQETSESSRDSKGRKSSSHSLSHKDKKLGTGKGSSLQSSPDFAAFPKLEQPEEDKYPKP ADPTPPAPPSPTAPEPPKADLFEQKVVFSGFGPIMRFTTTASSSSRARAPSPGDYKSPHI TGAGASAGTHKRMPALSATLGPAEEAPETTLKEKKHKASKRSRHGPGRPKGSRGKEGASG PTASLPAAQLAGFTATAASPFSGGSLVSAGLGGLASRTFGPSGSLPSLSLESSLLGAGIY TSNKDPISHGGGMLRAVCSTPLSSSLLGPTGTSALPRLSRSPFTSTLASSSASISTTQVF SLAGSTFSLPSSHIFGTPMGTVNPLLTQAESSHTEPDLEDCSFRCHGTSPQESLSSMSPI SSLPALFDQTSAPCAGGQLDSTAPGTTNMEQLLEKQGDGEAGVNIVEMLKALHALQKENQ RLQEQILSLTAKKERLQVLNVQLSVPFPALPAALPATNGPIPGPYGLLPQAGSSDSLSVS KSPPGKNSLGLDNSLSTSSEDPHSGCPSRSSSSLSFHSTPPPLPLLQQSPATLPLALPGA PAPLPPQPQNGLGRAPGATGLGAMPMAEGLLGGLAGSGSLPLNGLLGGLNGAAAPNPAGL SQAGGAPTLQLPGCLNSLTEQQRHLLQQQEQQLQQLQQLLASPQLTPEHQTVVYQMIQQM QQKRELQRLQMAGGSQLPMASLLAGSSTPLLSAGTPGLLPTASAPPLLPAGALVAPSLGS NTSLMAAAAAAAAVAAAGGPPVLTAQTNPFLSLPGADASGNGPKGGTADKGASTSQEKG >ENSMUSP00000103212.2 pep:known chromosome:GRCm38:11:97663572:97685463:1 gene:ENSMUSG00000038437.11 transcript:ENSMUST00000107586.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt6 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 6 [Source:MGI Symbol;Acc:MGI:1935145] MKEMVGGCCVCSDERGWAENPLVYCDGHACSVAVHQACYGIVQVPTGPWFCRKCESQERA ARVRCELCPHKDGALKRTDNGGWAHVVCALYIPEVQFANVLTMEPIVLQYVPHDRFNKTC YICEEQGRESKAASGACMTCNRHGCRQAFHVTCAQMAGLLCEEEVLEVDNVKYCGYCKYH FSKMKTSRHSSGGGGGAGGGSSGGGGGGSSSASGGGGGTGGGSGNSFLSGRRSRSASPST QPEKHPTHHEKGQKKSRKDKERLKQKHKKRPESPPSVLAPPAVPTADKVSSATSSSHHEA STQETSESSRDSKGRKSSSHSLSHKDKKLGTGKGVSSFTSASSSSSSSSSSSSSSSGGPF QPAGSSLQSSPDFAAFPKLEQPEEDKYPKPADPTPPAPPSPTAPEPPKADLFEQKVVFSG FGPIMRFTTTASSSSRARAPSPGDYKSPHITGAGASAGTHKRMPALSATLGPAEEAPETT LKEKKHKASKRSRHGPGRPKGSRGKEGASGPTASLPAAQLAGFTATAASPFSGGSLVSAG LGGLASRTFGPSGSLPSLSLESSLLGAGIYTSNKDPISHGGGMLRAVCSTPLSSSLLGPT GTSALPRLSRSPFTSTLASSSASISTTQVFSLAGSTFSLPSSHIFGTPMGTVNPLLTQAE SSHTEPDLEDCSFRCHGTSPQESLSSMSPISSLPALFDQTSAPCAGGQLDSTAPGTTNME QLLEKQGDGEAGVNIVEMLKALHALQKENQRLQEQILSLTAKKERLQVLNVQLSVPFPAL PAALPATNGPIPGPYGLLPQAGSSDSLSVSKSPPGKNSLGLDNSLSTSSEDPHSGCPSRS SSSLSFHSTPPPLPLLQQSPATLPLALPGAPAPLPPQPQNGLGRAPGATGLGAMPMAEGL LGGLAGSGSLPLNGLLGGLNGAAAPNPAGLSQAGGAPTLQLPGCLNSLTEQQRHLLQQQE QQLQQLQQLLASPQLTPEHQTVVYQMIQQMQQKRELQRLQMAGGSQLPMASLLAGSSTPL LSAGTPGLLPTASAPPLLPAGALVAPSLGSNTSLMAAAAAAAAVAAAGGPPVLTAQTNPF LSLPGADASGNGPKGGTADKGASTSQEKG >ENSMUSP00000129520.1 pep:known chromosome:GRCm38:5:108842947:108852510:-1 gene:ENSMUSG00000091624.1 transcript:ENSMUST00000170419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r9 description:vomeronasal 2, receptor 9 [Source:MGI Symbol;Acc:MGI:3643093] MKKLRTFTISFWLLKFSLIFCHVTEPICFWRIKNNEENDGDLRSDCNFFLWTYDESTEIN FYNIVDFRIPARRYEFFLVLFFATDEINKNPDLLPNMSLIVWLLGGQCGDEWSVLYKNYS QENINVEFINYDCLSPDCYIHLTGPSWKTSLKMSIQSRTPKLFFGPFNPNLSDHDQFPYV HQVATKDTHLSHAMVSLMLHFRWAWIGLVISDDDQGIQFLSDLREEMQRHGICLAFVNMI PKDMQIYMTRATIHDKQIMESTAKVVIIYGEMNSTLEVSFRRWEDLGARRIWITTSQWDV ITNKNDFSLDFFHGTVTFEHHHSEIAKFKNFMKTMNTDKYPVNISQSIGGWNYFNCSTSM NSYSKMDHLTFNNTSEWTALHNFDIVLSEEGYNLYNAVYAVAHTYHELILLQVESQQTEV RKGIFNDCQQVSSMLKSRIFTNPVGELVNMKHRENQCAEYDIFIIWNFPQGLGLKVKIGS YLPCFQQSQQLHISEDLDWATEGTSVPSSMCSVTCTAGFRKIHQNETADCCFDCDRCPEN EVSNETADMEQCVSCTGDKYANLEKTHCLQRAVSFLAYEDPLGMSLGCIALFLSALTSLV LVTFVKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIGHPNQATCILQQTTFGVFFTV AISTVLAKTITVLMAFKLTTPGRRMRGMLASGAPNLVIPICTLIQLVFCGIWLVTSPPFI DRDTQSEYGKTIIICNKGSVIAFHFVLGYLGSLALGSFTVAFLARNLPDRFNEAKFLTFS MLVFCSVWITFLPVYHSTRGTVMVVVEVFSILASSAGLLGCIFLPKCCAILVRLDSNFLQ KYKDKLPS >ENSMUSP00000119683.1 pep:known chromosome:GRCm38:7:102210335:102226385:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000140631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MTWSDKMYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFF >ENSMUSP00000114016.1 pep:known chromosome:GRCm38:7:102210362:102238567:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000120879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGVPNYL PSVSSAIGGEVPQRYVWRFCIGLHSAPRFLTAFAYWNHYLSCASPCPGYRLLCRINFSLN VVENLALLVLTYVSSSEDFTIHENAFIVFIAASLGYMLLTCILWRLTKKHTDRKSYSWKQ RLFVINFISFFSALAVYFRHNMYCEAGVYTIFAILEYTVVLTNMAFHMTAWWDFGNKELL ITSQPEEKRF >ENSMUSP00000118677.1 pep:known chromosome:GRCm38:7:102210372:102226445:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000146996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCG >ENSMUSP00000117450.1 pep:known chromosome:GRCm38:7:102222440:102236167:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000143541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGVPNYL PSVSSAIGGEVPQRYVWRF >ENSMUSP00000123570.1 pep:known chromosome:GRCm38:7:102222644:102237228:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000153020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGVPNYL PSVSSAIGGEVPQRYVWRFCIGLHSAPRFLTAFAYWNHYLSCASPCPGYRLLCRINFSLN VVENLALLVLTYVSSSEDFTIHENAFIVFIAASLGYMLLTCILWRLTKKHTVSQEDRKSY SWKQRLFVINFISFFSALAVYFRHNMYCEAG >ENSMUSP00000121521.1 pep:known chromosome:GRCm38:7:102223028:102238567:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000156529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGVPNYL PSVSSAIGGEVPQRYVWRFCIGLHSAPRFLTAFAYWNHYLSCASPCPGYRLLCRINFSLN VVENLALLVLTYVSSSEDFTIHENAFIVFIAASLGYMLLTCILWRLTKKHTDRKSYSWKQ RLFVINFISFFSALAVYFRHNMYCEAGVYTIFAILEYTVVLTNMAFHMTAWWDFGNKELL ITSQPEEKRF >ENSMUSP00000115590.1 pep:known chromosome:GRCm38:7:102223045:102237226:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000138479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGVPNYL PSVSSAIGGEVPQRYVWRFCIGLHSAPRFLTAFAYWNHYLSCASPCPGYRLLCRINFSLN VVENLALLVLTYVSSSEDFTIHENAFIVFIAASLGYMLLTCILWRLTKKHTVSQEDRKSY SWKQRLFVINFISFFSALAVYFRHNMYCEA >ENSMUSP00000119692.1 pep:known chromosome:GRCm38:7:102223050:102237777:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000129340.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGPSTKM LSLCLSRHPSVTCSSPAFSGG >ENSMUSP00000114853.1 pep:known chromosome:GRCm38:7:102223053:102236233:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000126914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGVPNYL PSVSSAIGGEVPQRYVWRFCIGLHSAPRFLTAFAYWNHYLS >ENSMUSP00000033292.7 pep:known chromosome:GRCm38:7:102223069:102237763:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000033292.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGVPNYL PSVSSAIGGEVPQRYVWRFCIGLHSAPRFLTAFAYWNHYLSCASPCPGYRLLCRINFSLN VVENLALLVLTYVSSSEDFTIHENAFIVFIAASLGYMLLTCILWRLTKKHTVSQEDRKSY SWKQRLFVINFISFFSALAVYFRHNMYCEAGVYTIFAILEYTVVLTNMAFHMTAWWDFGN KELLITSQPEEKRF >ENSMUSP00000123444.1 pep:known chromosome:GRCm38:7:102223075:102226354:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000146559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVAL >ENSMUSP00000123523.1 pep:known chromosome:GRCm38:7:102223084:102237620:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000145352.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGHPLHI GAPA >ENSMUSP00000113261.2 pep:known chromosome:GRCm38:7:102223085:102237733:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000119816.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGHPLHI GAPA >ENSMUSP00000116858.1 pep:known chromosome:GRCm38:7:102223282:102236298:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000138753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGVPNYL PSVSSAIGGEVPQRYVWRFCIGLHSAPRFLTAFAYWNHYLSCASPCPGYRLLCRINFSLN VVE >ENSMUSP00000121988.1 pep:known chromosome:GRCm38:7:102225818:102236335:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000142873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGVPNYL PSVSSAIGGEVPQRYVWRFCIGLHSAPRFLTAFAYWNHYLSCASPCPGYRLLCRINFSLN VVENLALLVLTYVSSS >ENSMUSP00000122482.1 pep:known chromosome:GRCm38:7:102225831:102237552:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000140058.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MYQVPLTLDRDGTLVRLRFTMVALITVCCPLVAFFFCILWSLLFHFKETTSTHCGPSTKM LSLCLSRHPSVTCSSPAFSGG >ENSMUSP00000113574.1 pep:known chromosome:GRCm38:7:102229999:102238560:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000120119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] MFSAALDPDGTVFRLRFTAFVWWVITFPLFGFFFCIIWSLVFHFEYTVATDCGVPNYLPS VSSAIGGEVPQRYVWRFCIGLHSAPRFLTAFAYWNHYLSCASPCPGYRLLCRINFSLNVV ENLALLVLTYVSSSEDFTIHENAFIVFIAASLGYMLLTCILWRLTKKHTVSQEDRKSYSW KQRLFVINFISFFSALAVYFRHNMYCEAGVYTIFAILEYTVVLTNMAFHMTAWWDFGNKE LLITSQPEEKRF >ENSMUSP00000122379.1 pep:known chromosome:GRCm38:7:102235854:102237530:1 gene:ENSMUSG00000030990.17 transcript:ENSMUST00000137303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap2 description:post-GPI attachment to proteins 2 [Source:MGI Symbol;Acc:MGI:2385286] XENLPKRVPNYLPSVSSAIGGEVPQRYVWRFCIGLHSAPRFLTAFAYWNHYLSCASPCPG YRLLCRINFSLNVVENLALLVLTYVSSSEDFTIHENAFIVFIAASLGYMLLTCILWRLTK KHTDRKSYSWKQRLFVINFISFFSALAVYFRHNMYCEAGVYTIFAILEYTVVLTNMAFHM TAWWDFGNKELLITSQPEEKRF >ENSMUSP00000051487.2 pep:known chromosome:GRCm38:4:123183227:123194701:1 gene:ENSMUSG00000046093.9 transcript:ENSMUST00000059667.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpcal4 description:hippocalcin-like 4 [Source:MGI Symbol;Acc:MGI:2157521] MGKNNSKLAPEVLEDLVQNTEFSEQELKQWYKGFLKDCPSGILNLEEFQQLYIKFFPYGD ASKFAQHAFRTFDKNGDGTIDFREFICALSVTSRGSFEQKLNWAFEMYDLDGDGRITRLE MLEIIEAIYKMVGTVIMMRMNQDGLTPQQRVDKIFKKMDQDKDDQITLEEFKEAAKSDPS IVLLLQCDMQK >ENSMUSP00000101853.1 pep:known chromosome:GRCm38:4:123183239:123191513:1 gene:ENSMUSG00000046093.9 transcript:ENSMUST00000106246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpcal4 description:hippocalcin-like 4 [Source:MGI Symbol;Acc:MGI:2157521] MGKNNSKLAPEVLEDLVQNTEFSEQELKQWYKGFLKDCPSGILNLEEFQQLYIKFFPYGD ASKFAQHAFRTFDKNGDGTIDFREFICALSVTSRGSFEQKLNWAFEMYDLDGDGRITRLE MLEIIEAIYKMVGTVIMMRMNQDGLTPQQRVDKIFKKMDQDKDDQITLEEFKEAAKSDPS IVLLLQCDMQK >ENSMUSP00000122104.1 pep:known chromosome:GRCm38:4:123183499:123188798:1 gene:ENSMUSG00000046093.9 transcript:ENSMUST00000126995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpcal4 description:hippocalcin-like 4 [Source:MGI Symbol;Acc:MGI:2157521] MGKNNSKLAPEVLEDLVQNTEFSEQ >ENSMUSP00000120066.1 pep:known chromosome:GRCm38:4:123183729:123190744:1 gene:ENSMUSG00000046093.9 transcript:ENSMUST00000152194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpcal4 description:hippocalcin-like 4 [Source:MGI Symbol;Acc:MGI:2157521] MGKNNSKLAPEVLEDLVQNTEFSEQELKQWYKGFLKDCPSGILNLEEFQQLYIKFFPYGD ASKFAQHAFRTFDKNGDGTIDFREFICALSVTSRGSFEQKLNWAFEMYDLDGDGRITRLE MLEIIEAIYKMVGTVIMMRMNQDGLTPQQRVDKIF >ENSMUSP00000046514.6 pep:known chromosome:GRCm38:X:21079174:21089229:-1 gene:ENSMUSG00000031079.12 transcript:ENSMUST00000040667.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp300 description:zinc finger protein 300 [Source:MGI Symbol;Acc:MGI:3045326] MQLQESVSFEDVSVDFTQEEWNQLDPIQRSLYRDVMLENYSHLVSLGYPITKPEVIASLE KGVLGIIKREIPSSSCTEDGQAEYNLAWHQKNQNMHLEQAISIIEKNVTEERSYEYDGFV SPLSQSTWVVSSGPTPYMNNSFGESIKNNLGLVNPSSMSFAAQECYECNRCRKLLIPGRH EISDRMKSQEHNMFGKEHIYNFVQHDLTQLGDKTYECPECRITLSPSSFLVVHQITHIGE KPYEWARCREAFNNTAHLSLHQTMHTGDKLFECNECGKSFREESTLHIHQRTHTGEKPYI CTECGNAFQEKTNLIIHRRTHKGEKPYKCTDCEKTFNQKAHLNVHQRTHTGEKPFECTNC GKYFREKSTLNIHQRTHTGEKPYVCNECGKAFREKTKLIIHQRTHTGEKPYECSECGRAF NQKAHLSVHQRTHTGEKPFECNECGKAFREKSTLRRHQRIHTGEKPYVCSECGRAFTLKL SLSAHQRIHTGEKTDGCTVCGKVFSRKSYLMLHQRAHTKERLEKSYECNECDKAFLQKSY LIIHQRVHAGEKPYECNICEKSFSQKSYLIIHQRIHTGEKPYQCDKCSRAFREKSKLTVH QRTHIGEKPYDCPELERNFPEIKVPHGLENSEMKENKELKMENLS >ENSMUSP00000124592.1 pep:known chromosome:GRCm38:1:181173228:181211552:-1 gene:ENSMUSG00000038733.13 transcript:ENSMUST00000162819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr26 description:WD repeat domain 26 [Source:MGI Symbol;Acc:MGI:1923825] MQANGAGGGGGGGGGGQGQTPELACLSAQNGESSPSATSAGDLAHANGLLPAAPSAAGNN SNSLSVNNGVPGGAAAASATAAAAQATPELGSSLKKKKRLSQSDEDVIRLIGQHLNGLGL NQTVDLLMQESGCRLEHPSATKFRNHVMEGDWDKAENDLNELKPLVHSPHAIVVRGALEI SQTLLGIIVRMKFLLLQQKYLEYLEDGKVLEALQVLRCELTPLKYNTERIHVLSGYLMCS HAEDLRAKAEWEGKGTASRSKLLDKLQTYLPPSVMLPPRRLQTLLRQAVELQRDRCLYHN TKLDNNLDSVSLLIDHVCSRRQFPCYTQQILTEHCNEVWFCKFSNDGTKLATGSKDTTVI IWQVDPDTHLLKLLKTLEGHAYGVSYIAWSPDDSYLVACGPDDCSELWLWNVQTGELRTK MSQSHEDSLTSVAWNPDGKRFVTGGQRGQFYQCDLDGNLLDSWEGVRVQCLWCLSDGKTV LASDTHQRVRGYNFEDLTDRNIVQEDHPIMSFTISKNGRLALLNVATQGVHLWDLQDRVL VRKYQGVTQGFYTIHSCFGGHNEDFIASGSEDHKVYIWHKRSELPIAELTGHTRTVNCVS WNPQIPSMMASASDDGTVRIWGPAPFIDHQNIEEECSSMDS >ENSMUSP00000124186.1 pep:known chromosome:GRCm38:1:181173232:181211437:-1 gene:ENSMUSG00000038733.13 transcript:ENSMUST00000162963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr26 description:WD repeat domain 26 [Source:MGI Symbol;Acc:MGI:1923825] MQANGAGGGGGGGGGGQGQTPELACLSAQNGESSPSATSAGDLAHANGLLPAAPSAAGNN SNSLSVNNGVPGGAAAASATAAAAQATPELGSSLKKKKRLSQSDEDVIRLIGQHLNGLGL NQTVDLLMQESGCRLEHPSATKFRNHVMEGDWDKAENDLNELKPLVHSPHAIVRMKFLLL QQKYLEYLEDGKVLEALQVLRCELTPLKYNTERIHVLSGYLMCSHAEDLRAKAEWEGKGT ASRSKLLDKLQTYLPPSVMLPPRRLQTLLRQAVELQRDRCLYHNTKLDNNLDSVSLLIDH VCSRRQFPCYTQQILTEHCNEVWFCKFSNDGTKLATGSKDTTVIIWQVDPDTHLLKLLKT LEGHAYGVSYIAWSPDDSYLVACGPDDCSELWLWNVQTGELRTKMSQSHEDSLTSVAWNP DGKRFVTGGQRGQFYQCDLDGNLLDSWEGVRVQCLWCLSDGKTVLASDTHQRVRGYNFED LTDRNIVQEDHPIMSFTISKNGRLALLNVATQGVHLWDLQDRVLVRKYQGVTQGFYTIHS CFGGHNEDFIASGSEDHKVYIWHKRSELPIAELTGHTRTVNCVSWNPQIPSMMASASDDG TVRIWGPAPFIDHQNIEEECSSMDS >ENSMUSP00000045177.5 pep:known chromosome:GRCm38:1:181177097:181211426:-1 gene:ENSMUSG00000038733.13 transcript:ENSMUST00000036329.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr26 description:WD repeat domain 26 [Source:MGI Symbol;Acc:MGI:1923825] XGAGGGGGGGGGGQGQTPELACLSAQNGESSPSATSAGDLAHANGLLPAAPSAAGNNSNS LSVNNGVPGGAAAASATAAAAQATPELGSSLKKKKRLSQSDEDVIRLIGQHLNGLGLNQT VDLLMQESGCRLEHPSATKFRNHVMEGDWDKAENDLNELKPLVHSPHAIVAQTFSETSSN FFP >ENSMUSP00000125023.1 pep:known chromosome:GRCm38:1:181177675:181184266:-1 gene:ENSMUSG00000038733.13 transcript:ENSMUST00000159673.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr26 description:WD repeat domain 26 [Source:MGI Symbol;Acc:MGI:1923825] XTDRNIVQEDHPIMSFTISKNGRLALLNVATQGVHLWDLQDRVLVRKYQGVTQGFYTIHS CFGGHNEDFIASGSEDHKVYIWHKRSELPIAELTGHTRTVNCVSWNPQIPSMMASASDDG TVRIWGPAPFIDHQNIEGKEPKYGRAR >ENSMUSP00000123863.1 pep:known chromosome:GRCm38:1:181180407:181183739:-1 gene:ENSMUSG00000038733.13 transcript:ENSMUST00000159290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr26 description:WD repeat domain 26 [Source:MGI Symbol;Acc:MGI:1923825] XVATQGVHLWDLQDRVLVRKYQGVTQGFYTIHSCFGGHNEDFIASGSEDHKVYIWHKRSE LPIAELTGHTRTVNCVSWNPQIPSMMASASDDGTVRIWGPAPFIDHQNIEGKEPKYGRAR >ENSMUSP00000073224.4 pep:known chromosome:GRCm38:4:143696500:143702711:-1 gene:ENSMUSG00000070617.2 transcript:ENSMUST00000073532.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13089 description:predicted gene 13089 [Source:MGI Symbol;Acc:MGI:3649972] MNVNSPPTLMKLASQRLLREEALAISALKDLPNMLFPVMFEEAFINGHTKILKAMIPLWP FPYFSLGMMIKNLTLDTLKAVLQEIDILISKVVHSSRCKLKEIKWKNTDYGLQGIWPGSH EFDGLSEFMEQKQPDCGVKKELKVITHLKLMEGSLDECATYLLQWAQQRKDSIHLCCRKL KIQGSINATVIEIFKIVHADCIQELELCCLCLEDLDFLNPYLKQINNLIRLKLDEIKGTV SMGESRNIDEEKVITLISQLPTLQHLEKLCINDVSFIEGNLKECLRCLKKTLEILCITNC YLLQSDLDYLPYCLNILELKCLDFSNIPLSHLFLEHLRFLFERVRHTLECPILKSCEMGE SQFNSLLPAISQCCQLTEVNFYDNELSLIFLKKFLHHTAKLSQLTYELYPAPLECYDNRD IILSHRLENFCPELLNILRAKKTAQGGHLCYNPML >ENSMUSP00000060827.8 pep:known chromosome:GRCm38:X:165129017:165327393:-1 gene:ENSMUSG00000018589.8 transcript:ENSMUST00000058787.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glra2 description:glycine receptor, alpha 2 subunit [Source:MGI Symbol;Acc:MGI:95748] MYRQLVNILTALFAFFLGTNHFREAFCKDHDSRSGKHPSQTLSPSDFLDKLMGRTSGYDA RIRPNFKGPPVNVTCNIFINSFGSVTETTMDYRVNIFLRQQWNDSRLAYSEYPDDSLDLD PSMLDSIWKPDLFFANEKGANFHDVTTDNKLLRISKNGKVLYSIRLTLTLSCPMDLKNFP MDVQTCTMQLESFGYTMNDLIFEWLSDGPVQVAEGLTLPQFILKEEKELGYCTKHYNTGK FTCIEVKFHLERQMGYYLIQMYIPSLLIVILSWVSFWINMDAAPARVALGITTVLTMTTQ SSGSRASLPKVSYVKAIDIWMAVCLLFVFAALLEYAAVNFVSRQHKEFLRLRRRQKRQNK EEDVTRESRFNFSGYGMGHCLQMKDGTAVKATPANPLPQPPKDADAIKKKFVDRAKRIDT ISRAAFPLAFLIFNIFYWITYKIIRHEDVHKK >ENSMUSP00000025014.8 pep:known chromosome:GRCm38:17:26123520:26126613:1 gene:ENSMUSG00000024181.8 transcript:ENSMUST00000025014.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl28 description:mitochondrial ribosomal protein L28 [Source:MGI Symbol;Acc:MGI:1915861] MPLHRYPVHLWQKLRLRQGICARLPAHFLRSLEEERTPTPVHYKPHGTKFKINPKNGQRE RVEDVPIPVHYPPESQQGLWGGEGLILGYRYANNDKLSKRVKKVWKPQLFTRELYSEILD KKFTVTVTMRTLDLIDEAYGFDFYILKTPKEDLGSKFGMDLKRGMLLRLARQDPHLHPEN PERRAAIYDKYRSFVIPEAEAEWVGLTLEEALEKQRLLEEKDPVPLFKVYVEELVQRLQE QVLSRPAVVQKRAGDHA >ENSMUSP00000115369.1 pep:known chromosome:GRCm38:17:26125261:26126482:1 gene:ENSMUSG00000024181.8 transcript:ENSMUST00000127647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl28 description:mitochondrial ribosomal protein L28 [Source:MGI Symbol;Acc:MGI:1915861] XKEDLGSKFGMDLKRGMLLRLARQDPHLHPENPERRAAIYDKYRRPSGLA >ENSMUSP00000022377.4 pep:known chromosome:GRCm38:14:45134448:45219394:-1 gene:ENSMUSG00000021830.13 transcript:ENSMUST00000022377.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc16 description:thioredoxin domain containing 16 [Source:MGI Symbol;Acc:MGI:1917811] MMSSGFSVFRAGVALVLMCSFYKSTEDSLPELTPQQYFSTLQPGKASLVYFCQVGSLSNS VFLEELKEAVKPLQDYGISVAKVTCVEEEASRYCGEEEGLMKAYLFRGNILLREFPTDIL FDVNAIIAHVLFALLFNEVKYITTLEDLHSIENSLKGKSNMIFSYVEAIGTPEHRAVMEA AFVYGTSYQFALTTEIALLENIGSESIEHAHLYFFHCKLVLDLTEHCRRTLMEQPLTTLN IHVFVKTMNAPLLMEVAEDPQQVSTVHLQLGLPLVFIISQRATQEADRRTAEWVAWHLLG KAGVLLLLRDSMDVNIPQHANVAFRRAEKDVPVEFLVLNDVELIISHVKNNMHIEEIQED EGEDMEGPDLAVEDDEVAGTVYRDRKKPLPLELSVELTEETFNTTVMTSDSIVLFYATWH AVSMAFLQSYIDVAIKLKGRSTILLTRINCADWSDICTKQNVTAFPVVKLYKEGESPVSY AGMLATKDLLKFIQLNKISCPVNIASIQEAEKYLRGELYKDLPSSASVSVLGLFSPAMAS AKELFREAGKQLRGSVITGIYSEDDVWILSNKYATTLPALLLARPKEGRIESVPLDTTLV QDMAQILANALLEAFPEITVENLPTYLRFQRPLLLLFSGGSINPQYRNTILALVRQKQLD SFTPCWLNLKNTPVGRGILKAYFGRLPPLPQLLLVNLHSGGQVYAFPSSQSVTEQSLVLW LKHLQAGLENPIILSAQEWKPPLPAFDFLNMMDAPTSQAPTKKVLECQKEAEVQESAELQ PGDRSTARREPVEMLRIKRWNTANWPKDTQEPFHHDKEL >ENSMUSP00000120287.1 pep:known chromosome:GRCm38:14:45134899:45219425:-1 gene:ENSMUSG00000021830.13 transcript:ENSMUST00000139526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc16 description:thioredoxin domain containing 16 [Source:MGI Symbol;Acc:MGI:1917811] MMSSGFSVFRAGVALVLMCSFYKSTEDSLPELTPQQYFSTLQPGKASLVYFCQVGSLSNS VFLEELKEAVKPLQDYGISVAKVTCVEEEASRYCGEEEGLMKAYLFRGNILLREFPTDIL FDVNAIIAHVLFALLFNEVKYITTLEDLHSIENSLKGKSNMIFSYVEAIGTPEHRAVMEA AFVYGTSYQFALTTEIALLENIGSESIEHAHLYFFHCKLVLDLTEHCRRTLMEQPLTTLN IHVFVKTMNAPLLMEVAEDPQQVSTVHLQLGLPLVFIISQRATQEADRRTAEWVAWHLLG KAGVLLLLRDSMDVNIPQHANVAFRRAEKDVPVEFLVLNDVELIISHVKNNMHIEEIQED EGEDMEGPDLAVEDDEVAGTVYRDRKKPLPLELSVELTEETFNTTVMTSDSIVLFYATWH AVSMAFLQSYIDVAIKLKGRSTILLTRINCADWSDICTKQNVTAFPVVKLYKEGESPVSY AGMLATKDLLKFIQLNKISCPVNIASIQEAEKYLRGELYKDLPSSASVSVLGLFSPAMAS AKELFREAGKQLRGSVITGIYSEDDVWILSNKYATTLPALLLARPKEGRIESVPLDTTLV QDMAQILANALLEAFPEITVENLPTYLRFQRPLLLLFSGGSINPQYRNTILALVRQKQLD SFTPCWLNLKNTPVGRGILKAYFGRLPPLPQLLLVNLHSGGQVYAFPSSQSVTEQSLVLW LKHLQAGLENPITVLSAQEWKPPLPAFDFLNMMDAPTSQAPTKKVLECQKEAEVQESAEL QPGDRSTARREPVEMLRIKRWNTANWPKDTQEPFHHDKEL >ENSMUSP00000123023.1 pep:known chromosome:GRCm38:14:45134907:45220328:-1 gene:ENSMUSG00000021830.13 transcript:ENSMUST00000123879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc16 description:thioredoxin domain containing 16 [Source:MGI Symbol;Acc:MGI:1917811] MMSSGFSVFRAGVALVLMCSFYKSTEDSLPELTPQQYFSTLQPGKASLVYFCQVGSLSNS VFLEELKEAVKPLQDYGISVAKVTCVEEEASRYCGEEEGLMKAYLFRGNILLREFPTDIL FDVNAIIAHVLFALLFNEVKYITTLEDLHSIENSLKGKSNMIFSYVEAIGTPEHRAVMEA AFVYGTSYQFALTTEIALLENIGSESIEHAHLYFFHCKLVLDLTEHCRRTLMEQPLTTLN IHVFVKTMNAPLLMEVAEDPQQVSTVHLQLGLPLVFIISQRATQEADRRTAEWVAWHLLG KAGVLLLLRDSMDVNIPQHANVAFRRAEKDVPVEFLVLNDVELIISHVKNNMHIEEIQED EGEDMEGPDLAVEDDEVAGTVYRDRKKPLPLELSVELTEETFNTTVMTSDSIVLFYATWH AVSMAFLQSYIDVAIKLKGRSTILLTRINCADWSDICTKQNVTAFPVVKLYKEGESPVSY AGMLATKDLLKFIQLNKISCPVNIASIQEAEKYLRGELYKDLPSSASVSVLGLFSPAMAS AKELFREAGKQLRGSVITGIYSEDDVWILSNKYATTLPALLLARPKEGRIESVPLDTTLV QDMAQILANALLEAFPEITVENLPTYLRFQRPLLLLFSGGSINPQYRNTILALVRQKQLD SFTPCWLNLKNTPVGRGILKAYFGRLPPLPQLLLVNLHSGGQVYAFPSSQSVTEQSLVLW LKHLQAGLENPITVLSAQEWKPPLPAFDFLNMMDAPTSQAPTKKVLECQKEAEVQESAEL QPGDRSTARREPVEMLRIKRWNTANWPKDTQEPFHHDKEL >ENSMUSP00000122128.1 pep:known chromosome:GRCm38:14:45206748:45219397:-1 gene:ENSMUSG00000021830.13 transcript:ENSMUST00000143609.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc16 description:thioredoxin domain containing 16 [Source:MGI Symbol;Acc:MGI:1917811] MMSSGFSVFRAGVALVLMCSFYKSTEDSLPELTPQQYFSTLQPGKASLVYFCQVGSLSNS VFLEELKEAVKPLQDYGISVAKVTCVEEEASRYCGEEEGL >ENSMUSP00000077761.4 pep:known chromosome:GRCm38:4:143719455:143729158:1 gene:ENSMUSG00000046435.7 transcript:ENSMUST00000078695.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13078 description:predicted gene 13078 [Source:MGI Symbol;Acc:MGI:3650231] MSFKDPPTLQQLARRSLLKDEALTISALPNLPMQLFPPLFKDAFTNKHPNILRQMVVAWP FPTLPVGSLMKIPHLETLKAVLDGLDLLMSQKDRPSRWNLQVLDLRDAHKDFWDGWAGLL HEVCSQDVFGKNQPVGNHPILGGKQTMTIKMNLSLMSRRPSKYLKYLYRWAKERKNGIQV ICEKLEFGAIPAYDPLDVLKLCDAASIQELAINTCWDIYTLASLALGVGQMKNLQKLLFK EICISWDRPWDLEKEARIVTEIFSEFSKLHKLQHLYLNDVYFLTERLDQMLRCFESPLET LAITHCTLSESDMSYLSQCPSIHQLKHLDLSGVTLILSHPFLQSLLERLTATLQTLKLKG CKLMDWQISDLLPALSQCSQLTEVDFVENFFSMDSLKKLLQNTANLTQLTLEKYPAPDEV YDDSDGVIPDRFLQLCSELIDTLKVVRQPKQVYFVSKRCLYCRNFCIYSFVGNI >ENSMUSP00000110212.1 pep:known chromosome:GRCm38:1:50909962:50979920:1 gene:ENSMUSG00000026109.14 transcript:ENSMUST00000114565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmeff2 description:transmembrane protein with EGF-like and two follistatin-like domains 2 [Source:MGI Symbol;Acc:MGI:1861735] MVLWESPRQCSSWTLCEGFCWLLLLPVTLLIIARPVKLAAFPTSLSDCQTPTGWNCSGYD DRENDLFLCDTNTCKFDGECLRIGDTVTCVCQFKCNSDYVPVCGSNGESYQNECYLRQAA CKQQSEILVVSEGSCATDTGSGSGDGEKFSKVMLILKPCTASSLEETNSKEIILCNPSNT HLLKKNENANLTCWSEPFLQAYGLMRTWNSRQREAAKGITETRFLPPPSAYCLGIFIGAN VKINSEALFRQWTVLKGTIPVP >ENSMUSP00000080533.3 pep:known chromosome:GRCm38:1:50927519:51187270:1 gene:ENSMUSG00000026109.14 transcript:ENSMUST00000081851.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmeff2 description:transmembrane protein with EGF-like and two follistatin-like domains 2 [Source:MGI Symbol;Acc:MGI:1861735] MVLWESPRQCSSWTLCEGFCWLLLLPVTLLIIARPVKLAAFPTSLSDCQTPTGWNCSGYD DRENDLFLCDTNTCKFDGECLRIGDTVTCVCQFKCNSDYVPVCGSNGESYQNECYLRQAA CKQQSEILVVSEGSCATDTGSGSGDGVHEGSGETSQKETSTCDICQFGAECDEDAEDVWC VCNIDCSQTNFNPLCASDGKSYDNACQIKEASCQKQEKIEVMSLGRCQDNTTTTTKSEDG HYARTDYAENANKLEESAREHHIPCPEHYNGFCMHGKCEHSINMQEPSCRCDAGYTGQHC EKKDYSVLYVVPGPVRFQYVLIAAVIGTIQIAVICVVVLCITRKCPRSNRIHRQKQNTGH YSSDNTTRASTRLI >ENSMUSP00000078793.4 pep:known chromosome:GRCm38:X:154948543:154949505:-1 gene:ENSMUSG00000094196.2 transcript:ENSMUST00000079867.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea3 description:melanoma antigen, family A, 3 [Source:MGI Symbol;Acc:MGI:1333832] MADSHNTQYCNLEESAQAQQELDNDQETMETSEEEEDTTTSNKVYGSAIPSPPQSPQRAY SPCVALASIPDSPSEEASIKGSEGLEDPLHLLHNAQNTKVYDLVDFLVLNYQMKAFTTKA EMLENIGREYEEYYPLIFSEASECLKMVFGLDMVEVDSSVHTYMLVTALGITYDGMMTDV QGMPKTGILIAVLSVIFMKGNYVSEEIIWEMLNNIGLCGGRDPYIHKDPRKLISEEFVQE GYLEYRQVPNSDPPSYGFLWGPRAFAETSKMKVLQFFASINKTHPRAYPEKYAEALQDEI DRTKTWILNRCSNSSDLHTF >ENSMUSP00000035687.6 pep:known chromosome:GRCm38:17:37270220:37274484:1 gene:ENSMUSG00000016206.6 transcript:ENSMUST00000038580.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M3 description:histocompatibility 2, M region locus 3 [Source:MGI Symbol;Acc:MGI:95915] MGSSSNRALLHMVVVSLAVTQTGSGSHSLRYFHTAVSRPGRGEPQYISVGYVDDVQFQRC DSIEEIPRMEPRAPWMEKERPEYWKELKLKVKNIAQSARANLRTLLRYYNQSEGGSHILQ WMVSCEVGPDMRLLGAHYQAAYDGSDYITLNEDLSSWTAVDMVSQITKSRLESAGTAEYF RAYVEGECLELLHRFLRNGKEILQRADPPKAHVAHHPRPKGDVTLRCWALGFYPADITLT WQKDEEDLTQDMELVETRPSGDGTFQKWAAVVVPSGEEQRYTCYVHHEGLTEPLALKWGR SSQSSVVIMVIVASLVLLGGVITIVVVCKRRGAGER >ENSMUSP00000043537.4 pep:known chromosome:GRCm38:1:165174337:165194438:-1 gene:ENSMUSG00000040848.11 transcript:ENSMUST00000043338.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sft2d2 description:SFT2 domain containing 2 [Source:MGI Symbol;Acc:MGI:1917362] MDKLKKVLSGQDTEDRSGLSEVVEASSLSWGTRIKGFIACFALGILCSVLGTLLLWVPRK GLGLFAVFYTLGNIMSIGSTVFLMGPLKQLKRMFEPTRLIATILVLLCFALTLCSAFLWN KGLALIFCILQSLALTWYSLSYIPYARDAVKKCFAVCLA >ENSMUSP00000141801.1 pep:known chromosome:GRCm38:1:165175871:165194321:-1 gene:ENSMUSG00000040848.11 transcript:ENSMUST00000192185.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sft2d2 description:SFT2 domain containing 2 [Source:MGI Symbol;Acc:MGI:1917362] MDKLKKVLSGQDTEDRSGLSEVVEASSLSWGTRIKGFIACFALGILCSVLYRLPHGAAEA AEAHV >ENSMUSP00000142241.1 pep:known chromosome:GRCm38:1:165179238:165194317:-1 gene:ENSMUSG00000040848.11 transcript:ENSMUST00000192565.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sft2d2 description:SFT2 domain containing 2 [Source:MGI Symbol;Acc:MGI:1917362] MDKLKKVLSGQDTEDRSGLSEVVEASSLSWGTRIKGFIACFALGILCSVLGTLLLWVPRK GLGLFAVFYTLGNIMSIGRWNPNRAAGISLSIPMLLLRPCSLSAALPTSLLPSL >ENSMUSP00000063052.2 pep:known chromosome:GRCm38:6:90269106:90270053:1 gene:ENSMUSG00000047203.2 transcript:ENSMUST00000058039.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r54 description:vomeronasal 1 receptor 54 [Source:MGI Symbol;Acc:MGI:2148514] MNKMNRLSHNTEIRNAIYSGVGIGISGNSFLLLFHIFKYIRGQRSRHIDLPIGLLSLIHL VMLIAMSLVATDIFMPWGRWGDTTCKCVISLYRFCRSLSLCATSLLSILQAVTLNPRNSC LEKFKRKSPHYMLGCLLFLSVFYTFISSPLATYITAKSNLTSPSFTYITTSCSLAPMSYS FHLTVFILLTSRDVIFVGLMLLSSGYMVTFLGRHKKQSQFLHITSFSLKPSAEKRAMRTI LCLMSFFVLMYTLDSIVSYIRSIDDGQIFYCVHIFTAHGYATVSPFLILSTEKYIINIFR STFGRMVTIILLRNR >ENSMUSP00000108998.1 pep:known chromosome:GRCm38:X:114474333:114560829:1 gene:ENSMUSG00000025597.13 transcript:ENSMUST00000113371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl4 description:kelch-like 4 [Source:MGI Symbol;Acc:MGI:2442829] MSVSGKKEFDVKQILRLRWRWFSHPSQGSPNTGSCLQQEGYEHRGTPVQGRLKNHSRDRN GLKKSNSPVHHDILTPVPGPAPTHQRVLQNWHQQNVIVHLQANEDTPKAVPEGNLLKEAC EKRSRDLEMMGDDNIEDMRAGPCVEEPEQMTTSSNDHYRSRSHADYTLCKMESYLKERKL CDVLLIAGPLKIPAHRLVLSAVSDYFAAMFTNDVLEARQEEVKIEGVDPNALNSLVQYAY TGVLQLREDTIENLLSAACLLQLTQVIDVCCNFLIKQLHPSNCLGIRSFGDAQGCMELQN VAHKYAMEHFIDVIKNQEFLLLPANEISKLLCSDDINVPDEETIFHALMQWVGHDAQARQ RDLAKLLSYIRLPLLSPQLLADLENSSFFSGNLECQKLLMEAMKYHLLPERRSMLQSPRT KPRKSTVGALYAVGGTTTIEKYDLRTNSWIHIGTMSGRRLQFGVAVVDNKLYVVGGRDGL KTLNTVECFNPVTKTWVVMPPMSTHRHGLGVATLEGPMYAVGGHDGWSYLNTVERWDPDG RQWNYVASMSTPRSTVGVVALNNRLYAIGGRDGSSCLKSMEFFDPHTNKWSLCAPMSKRR GGVGVATHNGYLYVVGGHDAPAPNHCSRLSDCVERYDPKGDSWSTVAPLSVPRDAVAVCP LGDKLYVVGGYDGHTYLNTVESYDAQKDEWKEEVPVNIGRAGACVVVVKLQ >ENSMUSP00000038080.5 pep:known chromosome:GRCm38:X:114474333:114560829:1 gene:ENSMUSG00000025597.13 transcript:ENSMUST00000040504.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl4 description:kelch-like 4 [Source:MGI Symbol;Acc:MGI:2442829] MSVSGKKEFDVKQILRLRWRWFSHPSQGSPNTGSCLQQEGYEHRGTPVQGRLKNHSRDRN GLKKSNSPVHHDILTPVPGPAPTHQRVLQNWHQQNVIVHLQANEDTPKAVPEGNLLKEAC EKRSRDLEMMGDDNIEDMRAGPCVEEPEQMTTSSNDHYRSRSHADYTLCKMESYLKERKL CDVLLIAGPLKIPAHRLVLSAVSDYFAAMFTNDVLEARQEEVKIEGVDPNALNSLVQYAY TGVLQLREDTIENLLSAACLLQLTQVIDVCCNFLIKQLHPSNCLGIRSFGDAQGCMELQN VAHKYAMEHFIDVIKNQEFLLLPANEISKLLCSDDINVPDEETIFHALMQWVGHDAQARQ RDLAKLLSYIRLPLLSPQLLADLENSSFFSGNLECQKLLMEAMKYHLLPERRSMLQSPRT KPRKSTVGALYAVGGMDAAKGTTTIEKYDLRTNSWIHIGTMSGRRLQFGVAVVDNKLYVV GGRDGLKTLNTVECFNPVTKTWVVMPPMSTHRHGLGVATLEGPMYAVGGHDGWSYLNTVE RWDPDGRQWNYVASMSTPRSTVGVVALNNRLYAIGGRDGSSCLKSMEFFDPHTNKWSLCA PMSKRRGGVGVATHNGYLYVVGGHDAPAPNHCSRLSDCVERYDPKGDSWSTVAPLSVPRD AVAVCPLGDKLYVVGGYDGHTYLNTVESYDAQKDEWKEEVPVNIGRAGACVVVVKLQ >ENSMUSP00000118072.1 pep:known chromosome:GRCm38:X:114474604:114560508:1 gene:ENSMUSG00000025597.13 transcript:ENSMUST00000133447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl4 description:kelch-like 4 [Source:MGI Symbol;Acc:MGI:2442829] GLKKSNSPVHHDILTPVPGPAPTHQRVLQNWHQQNVIVHLQANEDTPKAVPEGNLLKEAC EKRSRDLEMMGDDNIEDMRAGPCVEEPEQMTTSSNDHYRSRSHADYTLCKMESYLKERKL CDVLLIAGPLKIPAHRLVLSAVSDYFAAMFTNDVLEARQEEVKIEGVDPNALNSLVQYAY TGVLQLREDTIENLLSAACLLQLTQVIDVCCNFLIKQLHPSNCLGIRSFGDAQGCMELQN VAHKYAMEHFIDVIKNQEFLLLPANEISKLLCSDDINVPDEETIFHALMQWLLADLENSS FFSGNLECQKLLMEAMKYHLLPERRSMLQSPRTKPRKSTVGALYAVGGTTTIEKYDLRTN SWIHIGTMSGRRLQFGVAVVDNKLYVVGGRDGLKTLNTVECFNPVTKTWVVMPPMSTHRH GLGVATLEGPMYAVGGHDGWSYLNTVERWDPDGRQWNYVASMSTPRSTVGVVALNNRLYA IGGRDGSSCLKSMEFFDPHTNKWSLCAPMSKRRGGVGVATHNGYLYVVGGHDAPAPNHCS RLSDCVERYDPKGDSWSTVAPLSVPRDAVAVCPLGDKLYVVGGYDGHTYLNTVESYDAQK DEWKEEVPVNIGRAGACVVVVKLQ >ENSMUSP00000143670.1 pep:known chromosome:GRCm38:5:137518548:137520376:1 gene:ENSMUSG00000029714.11 transcript:ENSMUST00000197624.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf1 description:GRB10 interacting GYF protein 1 [Source:MGI Symbol;Acc:MGI:1888677] MAAETLNFGPEWLRALSSGGSVASPPPSPAMPKYKLADYRYGREEMLALYVKENKVPEEL QDKEFAAVLQEEPLQPLALEPLTEEEQRNFSLSVNSVAVLRLMGKGAGPPLPATSRGRGS TRSRGRGRGDSCFYQRSIEEGDGAFGRNPREIQRSQSWDDRGERRFEKPARRDGVRSGFE EGGAGPRKEHARSDSENWRSLREEQEDDGSWRLGAGPRRDGDRWRSTSP >ENSMUSP00000031727.7 pep:known chromosome:GRCm38:5:137518878:137527935:1 gene:ENSMUSG00000029714.11 transcript:ENSMUST00000031727.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gigyf1 description:GRB10 interacting GYF protein 1 [Source:MGI Symbol;Acc:MGI:1888677] MAAETLNFGPEWLRALSSGGSVASPPPSPAMPKYKLADYRYGREEMLALYVKENKVPEEL QDKEFAAVLQEEPLQPLALEPLTEEEQRNFSLSVNSVAVLRLMGKGAGPPLPATSRGRGS TRSRGRGRGDSCFYQRSIEEGDGAFGRNPREIQRSQSWDDRGERRFEKPARRDGVRSGFE EGGAGPRKEHARSDSENWRSLREEQEDDGSWRLGAGPRRDGDRWRSTSPDGGPRSAGWRE HGERRRKFDFDLRGERGGCGEEDGRVGGGNSHLRRCRGLDGFEDDKDGLPEWCLEDEDEE MGTFDASGAFLPLKKGPKEAIPEEQELDFRGLEEEEEEEEEPSEGVDEERPEAGGKEATP LPPPENSSSPSSLPALGPLWTTNEEGGEAVEKELPPAEGDELRGLSLSPRISSPPGPPGD LEDEEGLKHLQQEAEKLVASLQDSSLEEEQFTAAMQTQGLRHSTAATALPLSHGAARKWF YKDPQGEIQGPFTTQEMAEWFQAGYFSMSLLVKRGCDEGFQPLGEVIKMWGRVPFAPGPS PPPLLGNMDQERLKKQQELAAAALYQQLQHQHFLQLVGSRQLPQCTTLREKAAMGDLTPP QQQQLTTFLQQLQALKTPRGGDQNLLPTMSRSLSVPDSGPLWDLHTSASSQSGGEASLWD IPINSSTQGPILEQLQLQHKFQERREVELRAKREEEERKRREEKRRQQQQQQEEQKRRQE EEELFRRKQVRQQELLLKLLQQQQATNVPVPPAPSSPPPLWAGLAKQGLSMKTLLELQME SERQLHKQAAPREPLRAQAPNHRVQLGGLGSAPLNQWVSEAGPLWGGPDKSGGSSGGNLG LWEDTLKSGGSLARSLGLKSSRSSPSLSDSYSHLSGRPVRKKTEEEEKLLKLLQGIPRPQ DGFTQWCEQMLHTLSTAGSLDVPMAVAILKEVESPYDVHDYIRSCLGDTLEAKEFAKQFL ERRAKQKASQQRQQQQQQQQQQQQEAWLSSTSLQTAFQANHSTKLGPGEGSKAKRRALML HSDPSILGYSLHGPSGEIESVDDY >ENSMUSP00000040255.7 pep:known chromosome:GRCm38:11:101325063:101329702:1 gene:ENSMUSG00000078651.8 transcript:ENSMUST00000041095.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aoc2 description:amine oxidase, copper containing 2 (retina-specific) [Source:MGI Symbol;Acc:MGI:2668431] MNLKVLLLLLGLSFLTVFALVYVLLTRQGSFSQSPRCPSIPPRIHPWTHPSQSQLFADLT PEELTAVMSFLTKHLGPGLVDAAQARPSDNCVFSVELQLPAKAAALAHLDRGGPPPVREA LAIIFFGGQPKPNVSELVVGPLPHPSYMRDVTVERHGGPLPYYRRPMQKTEFVQIWRHLK EVELPKAPTFLASVLNYNGSTLAPLHSTASGFHAGDRATWIALYHNISGLGVFLHPVGLE LLLDHGALDPADWVVQQVFYLGHYYADLAQLEWEFKVGRLEVIRVPLPTPGGASSLRPRV TPDPPLPPLQFSLQGPQYNIQGNSVTSPLWTFTFGHGVFSGLRIFDIRFKGERVAYEVSV QECLTVYGADSPKTMTIRYLDSSYGLGLNSRALVRGVDCPYQATMVDIHVLVGTGSVQLL PGAVCVFEEAQGLPLRRHHNGIGGHFYGGLASSSLVVRSVSSVGNYDYIWDFMLHPTGAL EARVHATGYINTAFMSGGAESLLFGNRVGERVLGAVHTHAFHFKLDLDVAGLKNWVIAED AVFKPVAAPWNPELQLQRPQLTRQVLSREDLAAFPWGSPLPRYLYLATNQTNAWGHQRGY RIQIHSPPGVHVPLESSEERALSWGRYQLVVTQRKEAEPHSSSIYYQNDMRSPATVFADF INNETLLGEDLVAWVTASFLHIPHAEDIPNTVTVGNRVGFLLRPYNFFNEDPSIFSPGSV YFERDQDAGLCSINPVACTQQLADCVPNLPSFSYEGL >ENSMUSP00000102885.1 pep:known chromosome:GRCm38:11:101325087:101329494:1 gene:ENSMUSG00000078651.8 transcript:ENSMUST00000107264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aoc2 description:amine oxidase, copper containing 2 (retina-specific) [Source:MGI Symbol;Acc:MGI:2668431] MNLKVLLLLLGLSFLTVFALVYVLLTRQGSFSQSPRCPSIPPRIHPWTHPSQSQLFADLT PEELTAVMSFLTKHLGPGLVDAAQARPSDNCVFSVELQLPAKAAALAHLDRGGPPPVREA LAIIFFGGQPKPNVSELVVGPLPHPSYMRDVTVERHGGPLPYYRRPMQKTEFVQIWRHLK EVELPKAPTFLASVLNYNGSTLAPLHSTASGFHAGDRATWIALYHNISGLGVFLHPVGLE LLLDHGALDPADWVVQQVFYLGHYYADLAQLEWEFKVGRLEVIRVPLPTPGGASSLRPRV TPDPPLPPLQFSLQGPQYNIQGNSVTSPLWTFTFGHGVFSGLRIFDIRFKGERVAYEVSV QECLTVYGADSPKTMTIRYLDSSYGLGLNSRALVRGVDCPYQATMVDIHVLVGTGSVQLL PGAVCVFEEAQGLPLRRHHNGIGGHFYGGLASSSLVVRSVSSVGNYDYIWDFMLHPTGAL EARVHATGYINTAFMSGGAESLLFGNRVGERVLGAVHTHAFHFKLDLDVAGLKNWVIAED AVFKPVAAPWNPELQLQRPQLTRQVLSREDLAAFPWGSPLPRYLYLATNQTNAWGHQRGY QLVVTQRKEAEPHSSSIYYQNDMRSPATVFADFINNETLLGEDLVAWVTASFLHIPHAED IPNTVTVGNRVGFLLRPYNFFNEDPSIFSPGSVYFERDQDAGLCSINPVACTQQLADCVP NLPSFSYEGL >ENSMUSP00000126916.1 pep:known chromosome:GRCm38:9:106440054:106447678:-1 gene:ENSMUSG00000042210.16 transcript:ENSMUST00000171925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14a description:abhydrolase domain containing 14A [Source:MGI Symbol;Acc:MGI:1915894] MVQKKFMSRYQAALLGLGLLLVFLLYMGLPGPPEQTSRLWRGPNVTVLTGLTRGNSRIFY REVLPIQQARRAEVVFLHGKAFNSHTWEQLGTLQLLSERGYRAVAIDLPGDPEHFVAPMR LCLLLFLGFGNSAPSEEVSTEAGRVELLERVFQDLQVQNTVLVSPSLSGSYALPFLMQNH HQLRGFVPIAPTSTRNYAQEQFGAVKTPTLILYGELDHTLARESLQQLRHLPNHSVVKLH DAGHACYLHKPEAFHLALLAFLDHLP >ENSMUSP00000126101.1 pep:known chromosome:GRCm38:9:106440058:106447563:-1 gene:ENSMUSG00000042210.16 transcript:ENSMUST00000171678.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14a description:abhydrolase domain containing 14A [Source:MGI Symbol;Acc:MGI:1915894] MVQKKFMSRYQAALLGLGLLLVFLLYMGLPGPPEQTSRLWRGPNVTVLTGLTRGNSRIFY REVLPIQQARRAEVVFLHGKAFNSHTWEQLGTLQLLSERGYRAVAIDLPGFGNSAPSEEV STEAGRVELLERVFQDLQVQNTVLVSPSLSGSYALPFLMQNHHQLRGFVPIAPTSTRNYA QEQFGAVKTPTLILYGELDHTLARESLQQLRHLPNHSVVKLHDAGHACYLHKPEAFHLAL LAFLDHLP >ENSMUSP00000140345.1 pep:known chromosome:GRCm38:9:106440110:106447443:-1 gene:ENSMUSG00000042210.16 transcript:ENSMUST00000185334.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14a description:abhydrolase domain containing 14A [Source:MGI Symbol;Acc:MGI:1915894] MVQKKFMSRYQAALLGLGLLLVFLLYMGLPGPPEQTSRLWRGPNVTVLTGLTRGNSRIFY REVLPIQQARRAEVVFLHGKAFNSHTWEQLGTLQLLSERGYRAVAIDLPGFGNSAPSEEV STEAGRVELLERVFQDLQVQNTVLVSPSLSGSYALPFLMQNHHQLRGFVPIAPTSTRNYA QEQFGAVKTPTLILYGELDHTLARESLQQLRHLPNHSVVKLHDAGHACYLHKPEAFHLAL LAFLDHLP >ENSMUSP00000140901.1 pep:known chromosome:GRCm38:9:106440382:106444855:-1 gene:ENSMUSG00000042210.16 transcript:ENSMUST00000187983.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14a description:abhydrolase domain containing 14A [Source:MGI Symbol;Acc:MGI:1915894] MVQKKFMSRYQAALLGLGLLLVFLLYMGLPGPPEQTSRLWRGPNVTVLTGLTRGNSRIFY REVLPIQQARRAEVVFLHGKAFNSHTWEQLGTLQLLSERGYRAVAIDLPGEYPCIQGFGN SAPSEEVSTEAGRVELLERVFQDLQVQNTVLVSPSLSGSYALPFLMQNHHQLRGFVPIAP TSTRNYAQEQFGAVKTPTLILYG >ENSMUSP00000141096.1 pep:known chromosome:GRCm38:9:106440714:106447620:-1 gene:ENSMUSG00000042210.16 transcript:ENSMUST00000190798.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14a description:abhydrolase domain containing 14A [Source:MGI Symbol;Acc:MGI:1915894] MVQKKFMSRYQAALLGLGLLLVFLLYMGLPGPPEQTSRLWRGPNVTVLTGLTRGNSRIFY REVLPIQQARRAEVVFLHGKAFNSHTWEQLGTLQLLSERGYRAVAIDLPGFGNSAPSEEV STEAGRVELLERVFQDLQVQNTVLVSPSLSGSYALPF >ENSMUSP00000140042.1 pep:known chromosome:GRCm38:9:106440794:106447517:-1 gene:ENSMUSG00000042210.16 transcript:ENSMUST00000187001.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14a description:abhydrolase domain containing 14A [Source:MGI Symbol;Acc:MGI:1915894] MVQKKFMSRYQAALLGLGLLLVFLLYMGLPGPPEQTSRLWRGPNVTVLTGLTRGNSRIFY REVLPIQQARRAEVVFLHGKAFNSHTWEQLGTLQLLSERGYRAVAIDLPGEYPCIQGFGN SAPSEEVSTEAGRVELL >ENSMUSP00000047322.6 pep:known chromosome:GRCm38:9:106440051:106447678:-1 gene:ENSMUSG00000042210.16 transcript:ENSMUST00000048685.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14a description:abhydrolase domain containing 14A [Source:MGI Symbol;Acc:MGI:1915894] MVQKKFMSRYQAALLGLGLLLVFLLYMGLPGPPEQTSRLWRGPNVTVLTGLTRGNSRIFY REVLPIQQARRAEVVFLHGKAFNSHTWEQLGTLQLLSERGYRAVAIDLPGFGNSAPSEEV STEAGRVELLERVFQDLQVQNTVLVSPSLSGSYALPFLMQNHHQLRGFVPIAPTSTRNYA QEQFGAVKTPTLILYGELDHTLARESLQQLRHLPNHSVVKLHDAGHACYLHKPEAFHLAL LAFLDHLP >ENSMUSP00000021693.3 pep:known chromosome:GRCm38:12:108825873:108835883:-1 gene:ENSMUSG00000021265.3 transcript:ENSMUST00000021693.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a29 description:solute carrier family 25 (mitochondrial carrier, palmitoylcarnitine transporter), member 29 [Source:MGI Symbol;Acc:MGI:2444911] MALDFLAGCAGGVAGVIVGHPFDIVKVRLQVQSTEKPQYRGTLHCFQSIIKQESVLGLYK GLGSPLMGLTFINALVFGVQGNTLRALGQDSPLNQFLAGAAAGAIQCVICCPMELAKTRL QLQAVGPARTYKGSLDCLVQIYRHEGLRGINRGMVSTLLRETPSFGVYFLTYDVMTRAMG CEPGDRLLVPKLLLAGGTSGITSWLSTYPMDVVKSRLQADGLQGTPRYRGIVDCMRQSYQ AEGWQVFTRGLASTLLRAFPVNAATFATVTVVLTYTRGEEAQVDSEAALGTSPTPAGSAL AQPSSL >ENSMUSP00000020188.6 pep:known chromosome:GRCm38:10:19591949:19610229:1 gene:ENSMUSG00000020009.12 transcript:ENSMUST00000020188.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifngr1 description:interferon gamma receptor 1 [Source:MGI Symbol;Acc:MGI:107655] MGPQAAAGRMILLVVLMLSAKVGSGALTSTEDPEPPSVPVPTNVLIKSYNLNPVVCWEYQ NMSQTPIFTVQVKVYSGSWTDSCTNISDHCCNIYEQIMYPDVSAWARVKAKVGQKESDYA RSKEFLMCLKGKVGPPGLEIRRKKEEQLSVLVFHPEVVVNGESQGTMFGDGSTCYTFDYT VYVEHNRSGEILHTKHTVEKEECNETLCELNISVSTLDSRYCISVDGISSFWQVRTEKSK DVCIPPFHDDRKDSIWILVVAPLTVFTVVILVFAYWYTKKNSFKRKSIMLPKSLLSVVKS ATLETKPESKYSLVTPHQPAVLESETVICEEPLSTVTAPDSPEAAEQEELSKETKALEAG GSTSAMTPDSPPTPTQRRSFSLLSSNQSGPCSLTAYHSRNGSDSGLVGSGSSISDLESLP NNNSETKMAEHDPPPVRKAPMASGYDKPHMLVDVLVDVGGKESLMGYRLTGEAQELS >ENSMUSP00000129309.1 pep:known chromosome:GRCm38:10:19592031:19603754:1 gene:ENSMUSG00000020009.12 transcript:ENSMUST00000164591.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ifngr1 description:interferon gamma receptor 1 [Source:MGI Symbol;Acc:MGI:107655] MGPQAAAGRMILLVVLMLSAKVGSGALTSTEDPEPPSVPVPTNVLIKSYNLNPVVCWEYQ NMSQTPIFTVQGFLD >ENSMUSP00000127219.1 pep:known chromosome:GRCm38:10:19592053:19601403:1 gene:ENSMUSG00000020009.12 transcript:ENSMUST00000171772.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ifngr1 description:interferon gamma receptor 1 [Source:MGI Symbol;Acc:MGI:107655] MGPQAAAGRMILLVVLMLSAKVGSGALTSTEDPEPPSVPVPTNVLIKSYNLNPVVCWEYQ NMSQTPIFTVQVKVYSDFKTTPVMTPPTVDWALPHQSRIKKTLKL >ENSMUSP00000127484.1 pep:known chromosome:GRCm38:10:19592062:19603774:1 gene:ENSMUSG00000020009.12 transcript:ENSMUST00000172253.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ifngr1 description:interferon gamma receptor 1 [Source:MGI Symbol;Acc:MGI:107655] MGPQAAAGRMILLVVLMLSAKVGSGALTSTEDPEPPSGVPGLIPAPTFLIIVVISMNKLC ILMYLPGPELKLRLDKKNLTMHGQKSSLCA >ENSMUSP00000039751.3 pep:known chromosome:GRCm38:3:108970997:109027273:-1 gene:ENSMUSG00000040339.10 transcript:ENSMUST00000046924.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam102b description:family with sequence similarity 102, member B [Source:MGI Symbol;Acc:MGI:3036259] MRLLDGGSFTAESSREVVQANCVHWRKKFSFMCKMSASASTGILDPCIYRVSVRKELKGG KAYAKLGFADLNLAEFAGSGNTTRRCLLEGYDTKNTRQDNSILKVLISMQLMSGDPCFKT PPSTSMSIPIAGESESLEEDRKGGETLKVHLGIADLSAKSASVPDELGAWGHSRTSSYAS QQSKVSGYSTCHSRSSSFSEFCHRRNTSVGSTSTGIESILEPCDETEPITAEPSPDPTAA AATATTTTAKEEEASEKLARCPVKQDSVESQLKRVDDTRVDADDIVEKILQSQDFSLDSS AEEEGLRLFVGPGGSTTFGSHHLPNRVGSGAYEQVVIKR >ENSMUSP00000131904.1 pep:known chromosome:GRCm38:3:108970997:109027607:-1 gene:ENSMUSG00000040339.10 transcript:ENSMUST00000171143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam102b description:family with sequence similarity 102, member B [Source:MGI Symbol;Acc:MGI:3036259] MMKKKKFKFKVDFELEELSSVPFVNGVLFCKMRLLDGGSFTAESSREVVQANCVHWRKKF SFMCKMSASASTGILDPCIYRVSVRKELKGGKAYAKLGFADLNLAEFAGSGNTTRRCLLE GYDTKNTRQDNSILKVLISMQLMSGDPCFKTPPSTSMSIPIAGESESLEEDRKGGETLKV HLGIADLSAKSASVPDELGAWGHSRTSSYASQQSKVSGYSTCHSRSSSFSEFCHRRNTSV GSTSTGIESILEPCDETEPITAEPSPDPTAAAATATTTTAKEEEASEKLARCPVKQDSVE SQLKRVDDTRVDADDIVEKILQSQDFSLDSSAEEEGLRLFVGPGGSTTFGSHHLPNRVGS GAYEQVVIKR >ENSMUSP00000062444.3 pep:known chromosome:GRCm38:19:13632944:13634054:1 gene:ENSMUSG00000045678.6 transcript:ENSMUST00000053113.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1489 description:olfactory receptor 1489 [Source:MGI Symbol;Acc:MGI:3031323] MHNISEVTEFILVGLTDAPGLQVPLFIIFTFIYLTTLFGNLGMIVLILLDSSLHTPMYFF LSNLSLVDCVYASAVTPKVIEGFLTEKKIISYNACATQMFFLIGFAIIEGFLLASMAYDR HAAVCKPLYYSTSMTTTICTLLIVGSYISGLLQSSIHVAFTFHLSFCHSNVVNHFFCDIP PLLALSCSSVYINEIVLFILAALNIALTILVIVNSYVLIFVAILRMRSTDGQKKAISTCA SHLTTVSIFYGTIIFMYLQPSSTHSMDTDKVASVFYTMIIPMLNPLVYSLRNKEVKNAFK KVTRKVLFSLGLV >ENSMUSP00000117636.1 pep:known chromosome:GRCm38:18:66060967:66291838:-1 gene:ENSMUSG00000046318.15 transcript:ENSMUST00000130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccbe1 description:collagen and calcium binding EGF domains 1 [Source:MGI Symbol;Acc:MGI:2445053] MVPPPLPSRGGAAKRQLGKSLGPLLLLLALGHTWTYREEPEDRDREVCSENKITTTKYPC LKSSGELTTCFRKKCCKGYKFVLGQCIPEDYDICAQAPCEQQCTDNFGRVLCTCYPGYRY DRERHQKRERPYCLDIDECATSNTTLCAHICINTMGSYHCECREGYILEDDGRTCTRGDK YPNDTGHEEKSENEVKAGTCCATCKEFSQMKQTVLQLKQKMALLPNNAAELGKYVNGDKV LASNAYLPGPPGLPGGQGPPGSPGPKGSPGFPGMPGPPGQPGPRGSMGPMGPSPDLSHIK QGRRGPVGPPGAPGRHGSKGERGAPGPPGSPGPPGSFDFLLLVLADIRNDIAELQEKVFG HRTHSSAEDFPLPQEFSSYPETLDFGSGDDYSRRTEARDPEAPRNFYP >ENSMUSP00000052011.7 pep:known chromosome:GRCm38:18:66056855:66291788:-1 gene:ENSMUSG00000046318.15 transcript:ENSMUST00000061103.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccbe1 description:collagen and calcium binding EGF domains 1 [Source:MGI Symbol;Acc:MGI:2445053] MVPPPLPSRGGAAKRQLGKSLGPLLLLLALGHTWTYREEPEDRDREVCSENKITTTKYPC LKSSGELTTCFRKKCCKGYKFVLGQCIPEDYDICAQAPCEQQCTDNFGRVLCTCYPGYRY DRERHQKRERPYCLDIDECATSNTTLCAHICINTMGSYHCECREGYILEDDGRTCTRGDK YPNDTGHEEKSENEVKAGTCCATCKEFSQMKQTVLQLKQKMALLPNNAAELGKYVNGDKV LASNAYLPGPPGLPGGQGPPGSPGPKGSPGFPGMPGPPGQPGPRGSMGPMGPSPDLSHIK QGRRGPVGPPGAPGRHGSKGERGAPGPPGSPGPPGSFDFLLLVLADIRNDIAELQEKVFG HRTHSSAEDFPLPQEFSSYPETLDFGSGDDYSRRTEARDPEAPRNFYP >ENSMUSP00000052258.6 pep:known chromosome:GRCm38:8:93971588:94012663:-1 gene:ENSMUSG00000031751.13 transcript:ENSMUST00000053766.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amfr description:autocrine motility factor receptor [Source:MGI Symbol;Acc:MGI:1345634] MPLLFLERFPWPSLRTYTGLSGLALLGTIVSAYRALSQPEDGSGEPEPLTAPLQPEARLT AGGPRARDVAQYLLSDSLFVWVLVNTACCVLMLVAKLIQCIVFGPLRVSERQHLKDKFWN FIFYKFIFIFGVLNVQTVEEVVMWCLWFAGLVFLHLMVQLCKDRFEYLSFSPTTPMSSHG RVLSLLIAMLLSCCGLAVVCCVTGYTHGMHTLAFMAAESLLVTVRTAHVILRYVIHLWDL NHEGTWEGKGTYVYYTDFVMELALLSLDLMHHIHMLLFGNIWLSMASLVIFMQLRYLFHE VQRRIRRHKNYLRVVGNMEARFAVATPEELAVNNDDCAICWDSMQAARKLPCGHLFHNSC LRSWLEQDTSCPTCRMSLNIADGSRAREDHQGENLDENLVPVAAAEGRPRLNQHNHFFHF DGSRIASWLPSFSVEVMHTTNILGITQASNSQLNAMAHQIQEMFPQVPYHLVLQDLQMTR SVEITTDNILEGRIQVPFPTQRSDSLRPALNSPVERPSPDLEEGEASVQTERVPLDLSPR LEETLDFSEVELEPIEVEDFEARGSRFSKSADERQRMLVQRKDDLLQQARKRFLNKSSED DGASERLLPSEGTSSDPVTLRRRMLAAAAERRLQRQRTT >ENSMUSP00000134924.1 pep:known chromosome:GRCm38:8:93999215:94012127:-1 gene:ENSMUSG00000031751.13 transcript:ENSMUST00000143265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amfr description:autocrine motility factor receptor [Source:MGI Symbol;Acc:MGI:1345634] MPGRTVEVLVNTACCVLMLVAKLIQCIVFGPLRVSERQHLKDKFWNFIFYKFIFIFGVLN VQTVEEVVMWCLWFAGLVFLHLMVQLCKDRFEYLSFSPTTPMSSH >ENSMUSP00000146396.1 pep:known chromosome:GRCm38:8:3353415:3389408:1 gene:ENSMUSG00000074497.5 transcript:ENSMUST00000208363.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:A430078G23Rik description:RIKEN cDNA A430078G23 gene [Source:MGI Symbol;Acc:MGI:2442135] MGRWFSEAPQREHRRLQPGYGSPSGQRVPPGSRLRGPF >ENSMUSP00000096566.3 pep:known chromosome:GRCm38:8:3353437:3390299:1 gene:ENSMUSG00000074497.5 transcript:ENSMUST00000098966.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A430078G23Rik description:RIKEN cDNA A430078G23 gene [Source:MGI Symbol;Acc:MGI:2442135] MGSEPKPYAQPLDSAAAASTTKGSCGPRKPENPDFFSTVEDEQADGFLRHLSESTEDFSL DMGALQGSEYLRDLGLGAPSDLHQSEVIMDPETHRQEARRESSHTSCEGASALPQRRSWE RSRSCSGSCRRLSLDASTVDKGACLPRTLASLALNLSGNGQKIWTQGCLPVSGTPAPSSK ECSSPEKRLRSKSVPVSCEISCMELASDSDVCTSPVQGLEPPVLECLEKDHVEPEHVLIV QQVLQELRQYHGARQRARMSTSPGGAHSNLTWFEFLSESEDGACKIEKPGKSTRVKRSLS SLRSRVTRQKEKGKSPAHLKDKTQDLPGKRECVNGHQLMRGTFSGHSSCPLCGEPLLNSA LGPGWPRSCYLQVSGFSTPFLHILVALQPRDSIILQCSCVHTGVPNSLVSFSFHCMCAYS RVHLKVLVYEHQRTSLAVFPRMPFHHGLMCDLCPGESMYIHAHMSSCMWRLGINSGYLPL SLST >ENSMUSP00000030119.3 pep:known chromosome:GRCm38:4:40682382:40703194:-1 gene:ENSMUSG00000028411.15 transcript:ENSMUST00000030119.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aptx description:aprataxin [Source:MGI Symbol;Acc:MGI:1913658] MRVCWLVRQDSRHQRIKLPHLEAVVIGRSPETKITDKKCSRQQVQLKAECNKGYVKVQQM GVNPTSIDSGVIGKDQEKKLLPGQVLHMVNGLYPYIVEFEEVAESPNLTQRKRKRSDCDS EEMEAESGTGLAPGSSPSQCSVSPKKDKNGATKKESLGHWSQGLKMSMKDPKMQVYKDDQ VVVIKDKYPKARHHWLVLPWASISSLKVVTSEHLELLKHMHAVGEKVIADFAGSSKLRFR LGYHAIPSMSHVHLHVISQDFDSPCLKNKKHWNSFNTEYFLESQAVIKMVQEAGRVTVKD GTCELLKLPLRCHECQQLLPSIPQLKEHLRKHWGG >ENSMUSP00000116966.2 pep:known chromosome:GRCm38:4:40686242:40703187:-1 gene:ENSMUSG00000028411.15 transcript:ENSMUST00000129021.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aptx description:aprataxin [Source:MGI Symbol;Acc:MGI:1913658] MRVCWLVRQDSRHQRIKLPHLEAVVIGRSPETKITDKKCSRQQVESRV >ENSMUSP00000103738.2 pep:known chromosome:GRCm38:4:40684924:40703162:-1 gene:ENSMUSG00000028411.15 transcript:ENSMUST00000108103.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aptx description:aprataxin [Source:MGI Symbol;Acc:MGI:1913658] MRVCWLVRQDSRHQRIKLPHLEAVVIGRSPETKITDKKCSRQQVQLKAECNKGYVKVQQE SLGHWSQGLKMSMKDPKMQVYKDDQVVVIKDKYPKARHHWLVLPWASISSLKVVTSEHLE LLKHMHAVGEKVIADFAGSSKLRFRLGYHAIPSMSHVHLHVISQDFDSPCLKNKKHWNSF NTEYFLESQAVIKMVQEAGRVTVKDGTCELLKLPLRCHECQQLLPSIPQLKEHLRKHWGG >ENSMUSP00000124264.1 pep:known chromosome:GRCm38:4:40682382:40702914:-1 gene:ENSMUSG00000028411.15 transcript:ENSMUST00000068125.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aptx description:aprataxin [Source:MGI Symbol;Acc:MGI:1913658] MPEAVAKMRVCWLVRQDSRHQRIKLPHLEAVVIGRSPETKITDKKCSRQQVQLKAECNKG YVKVQQMGVNPTSIDSGVIGKDQEKKLLPGQVLHMVNGLYPYIVEFEEVAESPNLTQRKR KRSDCDSEEMEAESGTGLAPGSSPSQCSVSPKKDKNGATKKESLGHWSQGLKMSMKDPKM QVYKDDQVVVIKDKYPKARHHWLVLPWASISSLKVVTSEHLELLKHMHAVGEKVIADFAG SSKLRFRLGYHAIPSMSHVHLHVISQDFDSPCLKNKKHWNSFNTEYFLESQAVIKMVQEA GRVTVKDGTCELLKLPLRCHECQQLLPSIPQLKEHLRKHWGG >ENSMUSP00000124769.1 pep:known chromosome:GRCm38:4:40695020:40702716:-1 gene:ENSMUSG00000028411.15 transcript:ENSMUST00000155710.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aptx description:aprataxin [Source:MGI Symbol;Acc:MGI:1913658] MRVCWLVRQDSRHQRIKLPHLEAVVIGRSPETKITDKKCSRQQVESRV >ENSMUSP00000116271.1 pep:known chromosome:GRCm38:14:75136332:75230842:1 gene:ENSMUSG00000021998.16 transcript:ENSMUST00000145303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcp1 description:lymphocyte cytosolic protein 1 [Source:MGI Symbol;Acc:MGI:104808] MARGSVSDEEMMELREAFAKVDTDGNGYISCNELNDLFKAACLPLPGYRVREITENLMAT GDLDQDGKISFDEFIKVFHGLKSTEVAKTFRKAINKKEGICAIGGTSEQSSVGTQHSYSE EEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFNAVGDGIVLCKMINLSVPDTIDERTI NKKKLTPFTIQENLNLALNSASAIGCHVVNIGAEDLKEGKPYLVLGLLWQVIKIGLFADI ELSRNEALIALLREGESLEDLMKLSPEELLLRWANYHLENAGCTKITNFSTDIKDSKAYY HLLEQVAPKGDEEGIPAVVIDMSGLREKDDIQRAECMLQQAERLGCRQFVTATDVVRGNP KLNLAFIANLFNKYPALHKPENQDIDWGALEGETREERTFRNWMNSLGVNPRVNHLYSDL SDALVIFQLYEKIKVPVDWNRVNKPPYPKLGGNMKKLENCNYAVDLGKNQAKFSLVGIAG QDLNEGNRTLTLALVWQLMRRYTLNILEDIGGGQKVNDDIIVNWVNTTLKEAQKSSSIAS FKDPKISTSLPVLDLIDAIQPGSINYDLLKTENLDDEEKLNNAKYAISMARKIGARVYAL PEDLVEVNPKMVMTVFACLMGKGMKRV >ENSMUSP00000117137.1 pep:known chromosome:GRCm38:14:75190329:75230816:1 gene:ENSMUSG00000021998.16 transcript:ENSMUST00000131802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcp1 description:lymphocyte cytosolic protein 1 [Source:MGI Symbol;Acc:MGI:104808] MARGSVSDEEMMELREAFAKVDTDGNGYISCNELNDLFKAACLPLPGYRVREITENLMAT GDLDQDGKISFDEFIKVFHGLKSTEVAKTFRKAINKKEGICAIGGTSEQSSVGTQHSYSE EEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFNAVGDGIVLCKMINLSVPDTIDERTI NKKKLTPFTIQENLNLALNSASAIGCHVVNIGAEDLKEGKPYLVLGLLWQVIKIGLFADI ELSRNEALIALLREGESLEDLMKLSPEELLLRWANYHLENAGCTKITNFSTDIKDSKAYY HLLEQVAPKGDEEGIPAVVIDMSGLREKDDIQRAECMLQQAERLGCRQFVTATDVVRGNP KLNLAFIANLFNKYPALHKPENQDIDWGALEGETREERTFRNWMNSLGVNPRVNHLYSDL SDALVIFQLYEKIKVPVDWNRVNKPPYPKLGGNMKKLENCNYAVDLGKNQAKFSLVGIAG QDLNEGNRTLTLALVWQLMRRYTLNILEDIGGGQKVNDDIIVNWVNTTLKEAQKSSSIAS FKDPKISTSLPVLDLIDAIQPGSINYDLLKTENLDDEEKLNNAKYAISMARKIGARVYAL PEDLVEVNPKMVMTVFACLMGKGMKRV >ENSMUSP00000118721.1 pep:known chromosome:GRCm38:14:75131101:75199444:1 gene:ENSMUSG00000021998.16 transcript:ENSMUST00000143539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcp1 description:lymphocyte cytosolic protein 1 [Source:MGI Symbol;Acc:MGI:104808] MARGSVSDEEMMELREAFAKVDTDGNGYISCNELNDLFKAACLPLPGYRVREITENLMAT GDLDQDGKISFDEFIK >ENSMUSP00000116033.1 pep:known chromosome:GRCm38:14:75131499:75200463:1 gene:ENSMUSG00000021998.16 transcript:ENSMUST00000125833.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcp1 description:lymphocyte cytosolic protein 1 [Source:MGI Symbol;Acc:MGI:104808] MARGSVSDEEMMELREAFAKVDTDGNGYISCNELNDLFKAACLPLPGYRVREITENLMAT GDLDQDGKISFDEFIKVFHGLKSTEVAKTFRKAINKKEGICAIG >ENSMUSP00000121201.1 pep:known chromosome:GRCm38:14:75131554:75229796:1 gene:ENSMUSG00000021998.16 transcript:ENSMUST00000124499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcp1 description:lymphocyte cytosolic protein 1 [Source:MGI Symbol;Acc:MGI:104808] MARGSVSDEEMMELREAFAKVDTDGNGYISCNELNDLFKAACLPLPGYRVREITENLMAT GDLDQDGKISFDEFIKVFHGLKSTEVAKTFRKAINKKEGICAIGGTSEQSSVGTQHSYSE EEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFNAVGDGIVLCKMINLSVPDTIDERTI NKKKLTPFTIQENLNLALNSASAIGCHVVNIGAEDLKEGKPYLVLGLLWQVIKIGLFADI ELSRNEALIALLREGESLEDLMKLSPEELLLRWANYHLENAGCTKITNFSTDIKDSKAYY HLLEQVAPKGDEEGIPAVVIDMSGLREKDDIQRAECMLQQAERLGCRQFVTATDVVRGNP KLNLAFIANLFNKYPALHKPENQDIDWGALEGETREERTFRNWMNSLGVNPRVNHLYSDL SDALVIFQLYEKIKVPVDWNRVNKPPYPKLGGNMKKLENCNYAVDLGKNQAKFSLVGIAG QDLNEGNRTLTLALVWQLMRRYTLNILEDIGGGQKVNDDIIVNWVNTTLKEAQKSSSIAS FKDPKISTSLPVLDLIDAIQPGSINYDLLKTENLDDEEKLNNAKYAISMARKIGARVYAL PEDLVEVNPKMVMTVFACLMGKGMKRV >ENSMUSP00000117984.1 pep:known chromosome:GRCm38:14:75136475:75200454:1 gene:ENSMUSG00000021998.16 transcript:ENSMUST00000122840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcp1 description:lymphocyte cytosolic protein 1 [Source:MGI Symbol;Acc:MGI:104808] MARGSVSDEEMMELREAFAKVDTDGNGYISCNELNDLFKAACLPLPGYRVREITENLMAT GDLDQDGKISFDEFIKVFHGLKSTEVAKTFRKAINKKEGIC >ENSMUSP00000121376.1 pep:known chromosome:GRCm38:14:75131159:75200514:1 gene:ENSMUSG00000021998.16 transcript:ENSMUST00000134114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcp1 description:lymphocyte cytosolic protein 1 [Source:MGI Symbol;Acc:MGI:104808] MARGSVSDEEMMELREAFAKVDTDGNGYISCNELNDLFKAACLPLPGYRVREITENLMAT GDLDQDGKISFDEFIKVFHGLKSTEVAKTFRKAINKKEGICAIGGTSEQSSVGTQHSYSG K >ENSMUSP00000029815.7 pep:known chromosome:GRCm38:3:135406412:135423925:-1 gene:ENSMUSG00000028165.9 transcript:ENSMUST00000029815.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cisd2 description:CDGSH iron sulfur domain 2 [Source:MGI Symbol;Acc:MGI:1914256] MVLDSVARIVKVQLPAYLKQLPVPDSITGFARLTVSDWLRLLPFLGVLALLGYLAVRPFF PKKKQQKDSLINLKIQKENPKVVNEINIEDLCLTKAAYCRCWRSKTFPACDGSHNKHNEL TGDNVGPLILKKKEV >ENSMUSP00000113842.1 pep:known chromosome:GRCm38:3:135410012:135423407:-1 gene:ENSMUSG00000028165.9 transcript:ENSMUST00000120988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cisd2 description:CDGSH iron sulfur domain 2 [Source:MGI Symbol;Acc:MGI:1914256] MVLDSVARIVKVQLPAYLKQLPVPDSITGFARLTVSDWLRLLPFLGVLALLGYLAVRPFF PKKKQQKDSLINLKIQKENPKVVNEINIEDLCLTKAAYCRCWRSKTVR >ENSMUSP00000134648.1 pep:known chromosome:GRCm38:10:70440880:70558729:1 gene:ENSMUSG00000043259.14 transcript:ENSMUST00000173042.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam13c description:family with sequence similarity 13, member C [Source:MGI Symbol;Acc:MGI:1918971] MFSCFCFSLQDNSFGSAAVTECDEDTVSVHEDEDDCSGLRDEDNKENYPQVAARLDELAL PSHEAQQHVEQTLPVDGVLRTSMGNFKSRKPKSILRAESGRNHGESQETEHVVPSQSECQ GRAGTPAHESPQSSIFRCQETVRLQPRIDQRATIWPKDAFGTQQDLHENSLLKLQALESG LCSAFLTTQEEDGQVHGVKDPAPASTQSAPADSADPADPMPAHKDAPGDADGTSEDLQSA GTSRLLYHITDGDNPLLSPRCSIFSQSQRFNLDPESAPSPPSSQQFMMPRSSSRCGSGDG KEPQTITQLTKHIQSLKRKIRKFEEKFEQEKKYRPSHGDKTSNPEVLKWMNDLAKGRKQL KELKLKLSEEQGSTPKGPRRNLSCEQPPVPRENGKSEAVGPEPGSSGEETSDAVVPEKRE QTPPQDDGKGTKQDKNLIKPLYDRCRGIKQILPTPSLIPTIEEEDSDEDCPQGSQQPSLP DPVSRLPVGDHLIYSETEPVRTLLPDEKKEGKQPALSMSNLHEATMPVLLDHLRETRADK KRLRKALREFEEQFFKQTGRSPQKEDRMPMADEYCEYKHIKAKLRLLEVLISKQDVAKTI >ENSMUSP00000051375.6 pep:known chromosome:GRCm38:10:70440926:70558731:1 gene:ENSMUSG00000043259.14 transcript:ENSMUST00000062883.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam13c description:family with sequence similarity 13, member C [Source:MGI Symbol;Acc:MGI:1918971] MFSCFCFSLQDNSFGSAAVTECDEDTVSVHEDEDDCSGLRDEDNKENYPQVAARLDELAL PSHEAQQHVEQTLPVDGVLRTSMGNFKSRKPKSILRAESGRNHGESQETEHVVPSQSECQ GRAGTPAHESPQSSIFRCQETVRLQPRIDQRATIWPKDAFGTQQDLHENSLLKLQALESG LCSAFLTTQEEDGQVHGVKDPAPASTQSAPADSADPADPMPAHKDAPGDADGTSEDLQSA GTSRLLYHITDGDNPLLSPRCSIFSQSQRFNLDPESAPSPPSSQQFMMPRSSSRCGSGDG KEPQTITQLTKHIQSLKRKIRKFEEKFEQEKKYRPSHGDKTSNPEVLKWMNDLAKGRKQL KELKLKLSEEQGSTPKGPRRNLSCEQPPVPRENGKSEAVGPEPGSSGEETSDAVVPEKRE QTPPQDDGKGTKQDKNLIKPLYDRCRGIKQILPTPSLIPTIQEEEDSDEDCPQGSQQPSL PDPVSRLPVGDHLIYSETEPVRTLLPDEKKEGKQPALSMSNLHEATMPVLLDHLRETRAD KKRLRKALREFEEQFFKQTGRSPQKEDRMPMADEYCEYKHIKAKLRLLEVLISKQDVAKT I >ENSMUSP00000101076.2 pep:known chromosome:GRCm38:10:70440668:70558729:1 gene:ENSMUSG00000043259.14 transcript:ENSMUST00000105436.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam13c description:family with sequence similarity 13, member C [Source:MGI Symbol;Acc:MGI:1918971] MGNFKSRKPKSILRAESGRNHGESQETEHVVPSQSECQGRAGTPAHESPQSSIFRCQETV RLQPRIDQRATIWPKDAFGTQQDLHENSLLKLQALESGLCSAFLTTQEEDGQVHGVKDPA PASTQSAPADSADPADPMPAHKDAPGDADGTSEDLQSAGTSRLLYHITDGDNPLLSPRCS IFSQSQRFNLDPESAPSPPSSQQFMMPRSSSRCGSGDGKEPQTITQLTKHIQSLKRKIRK FEEKFEQEKKYRPSHGDKTSNPEVLKWMNDLAKGRKQLKELKLKLSEEQGSTPKGPRRNL SCEQPPVPRENGKSEAVGPEPGSSGEETSDAVVPEKREQTPPQDDGKGTKQDKNLIKPLY DRCRGIKQILPTPSLIPTIQEEEDSDEDCPQGSQQPSLPDPVSRLPVGDHLIYSETEPVR TLLPDEKKEGKQPALSMSNLHEATMPVLLDHLRETRADKKRLRKALREFEEQFFKQTGRS PQKEDRMPMADEYCEYKHIKAKLRLLEVLISKQDVAKTI >ENSMUSP00000146547.1 pep:known chromosome:GRCm38:19:13654431:13655396:1 gene:ENSMUSG00000061387.2 transcript:ENSMUST00000208667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1490 description:olfactory receptor 1490 [Source:MGI Symbol;Acc:MGI:3031324] MAVDSMTWKNHSLFMEFVFLAYPKRPELRMLCFFGVSLAYGLIISGNILIVVSIQTETRL HTPMYYFLGSLSGIELCYTAVVVPHILANNFQSEKTISLLSCATQMVFLIGLGSADCFLL AIMAYDRYVAICHPLQYPLIMTITLCVRLVVASVVIGLFLSLQLVVFIFCLPFCQDRGIE HFFCDAPPLMRLVCATSHIHELSVLMAATLAIAVPFFFIATTYALIVAAVLKLHSAAGRH RAFNTCSSHLTVVLLQYGCCAFMYLRPVSSYHPKQDQFVSLVYTLGTPFLNPLIYTLRNS EMKGAIGKVLTRKYLSWKMIG >ENSMUSP00000079057.1 pep:known chromosome:GRCm38:19:13654446:13655396:1 gene:ENSMUSG00000061387.2 transcript:ENSMUST00000080162.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1490 description:olfactory receptor 1490 [Source:MGI Symbol;Acc:MGI:3031324] MTWKNHSLFMEFVFLAYPKRPELRMLCFFGVSLAYGLIISGNILIVVSIQTETRLHTPMY YFLGSLSGIELCYTAVVVPHILANNFQSEKTISLLSCATQMVFLIGLGSADCFLLAIMAY DRYVAICHPLQYPLIMTITLCVRLVVASVVIGLFLSLQLVVFIFCLPFCQDRGIEHFFCD APPLMRLVCATSHIHELSVLMAATLAIAVPFFFIATTYALIVAAVLKLHSAAGRHRAFNT CSSHLTVVLLQYGCCAFMYLRPVSSYHPKQDQFVSLVYTLGTPFLNPLIYTLRNSEMKGA IGKVLTRKYLSWKMIG >ENSMUSP00000104077.3 pep:known chromosome:GRCm38:7:24270420:24277794:1 gene:ENSMUSG00000055305.15 transcript:ENSMUST00000108438.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp93 description:zinc finger protein 93 [Source:MGI Symbol;Acc:MGI:107611] MTKLQEMVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGCQSPNKMAPLDT TGIRCLPLGQLPCWQMTSHDVNKLARAPEDGINTPGKGPHLLEQCHSSCHWGAEQPSQAP EDDGCLENLPSNHSSSSDNQEFLSGRAQSSWSKAHFSERWNHEKHCPQTLVKTKSQLLAP GVNILGCISHHDHNILHKRDKVPSSGDCDQVIFPMTLLTQHCVYREQKAYQCSRGQEVFS DSPSLELHQQTLLGKKSPVHSTHKDTRHSPSVPIQPSVHPGRKRYWCHECGKGFRQSSAL QTHQRVHTGEKPYRCDSCGKGFSRSSDLNIHRRVHTGEKPYKCEVCGKGFTQWAHLQAHE RIHTGEKPYKCGDCGKRFSCSSNLHTHQRVHTEEKPYECNECGKRFSLSGNLDIHQRVHT GEKPYKCEECGKGFSSASSFQSHQRVHTGEKPFHCSVCGKNFSRSSHFLDHQRIHTGEKP YRCEVCGKRFPWSLSLHSHQSVHTGKKPYKCGECGKGFSHASSLQAHHSVHTGEKPFKCN VCQKQFSKTSNLQAHQRVHTGEKPYKCDTCGKAFSQKSSLQVHQRIHTGEKPFKCEECGK EFRWSVGLSSHQRVHTGEKPYTCQQCGKGFSQASYFHMHQRVHTI >ENSMUSP00000032696.6 pep:known chromosome:GRCm38:7:24271568:24276827:1 gene:ENSMUSG00000055305.15 transcript:ENSMUST00000032696.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp93 description:zinc finger protein 93 [Source:MGI Symbol;Acc:MGI:107611] MTKLQEMVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRNLLAVGCQSPNKMAPLDT TGIRCLPLGQLPCWQMTSHDVNKLARAPEDGINTPGKGPHLLEQCHSSCHWGAEQPSQAP EDDGCLENLPSNHSSSSDNQEFLSGRAQSSWSKAHFSERWNHEKHCPQTLVKTKSQLLAP GVNILGCISHHDHNILHKRDKVPSSGDCDQVIFPMTLLTQHCVYREQKAYQCSRGQEVFS DSPSLELHQQTLLGKKSPVHSTHKDTRHSPSVPIQPSVHPGRKRYWCHECGKGFRQSSAL QTHQRVHTGEKPYRCDSCGKGFSRSSDLNIHRRVHTGEKPYKCEVCGKGFTQWAHLQAHE RIHTGEKPYKCGDCGKRFSCSSNLHTHQRVHTEEKPYECNECGKRFSLSGNLDIHQRVHT GEKPYKCEECGKGFSSASSFQSHQRVHTGEKPFHCSVCGKNFSRSSHFLDHQRIHTGEKP YRCEVCGKRFPWSLSLHSHQSVHTGKKPYKCGECGKGFSHASSLQAHHSVHTGEKPFKCN VCQKQFSKTSNLQAHQRVHTGEKPYKCDTCGKAFSQKSSLQVHQRIHTGEKPFKCEECGK EFRWSVGLSSHQRVHTGEKPYTCQQCGKGFSQASYFHMHQRVHTI >ENSMUSP00000092237.4 pep:known chromosome:GRCm38:2:163087034:163087855:-1 gene:ENSMUSG00000070708.5 transcript:ENSMUST00000094653.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtsf1l description:gametocyte specific factor 1-like [Source:MGI Symbol;Acc:MGI:1915486] MEPESIEICPYNPHHRIPLSRFQYHLASCRKKNPKKAKKMASCKYNACHVVPIRKLAEHE ATCVNRSSVEEEDTLGPLQVSLPQPQNQDTLQVRWLSNPDIWNVDGANCHPMFVLKSFVP QKLVCESDIQESRGGDQCPEDPQTRTRKANF >ENSMUSP00000066341.6 pep:known chromosome:GRCm38:X:154985775:154995850:-1 gene:ENSMUSG00000079350.2 transcript:ENSMUST00000070141.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea8 description:melanoma antigen, family A, 8 [Source:MGI Symbol;Acc:MGI:1333827] MADSHNIQYCNLQESAQAQQELDNDQETMVTSQEEEVTTTSNKVYGSGIPSPPQSPQRAY SPCVALASIPDSPSEEASIKGSEGLEDPLYLLHNAQNTKVYDLVDFLVLNYEMKAFPTKA EMLESIGREYEEYYPLIFSEASECLKMVFGLDMVEVDPFVHSYMLVTALGITYDGMMTDV QGMPKTGILIAVLSVIFMKGNYVSEEIIWEMLNNIGLCGGRNPYIHKDPRKLISEEFVQE GYLEYRQVPNSDPPSYGFLWGPRAFAETSKMKVLQFFASINKTHPRAYPEKYAEALQDEI DRTKAWILNRCSNFSDLLTF >ENSMUSP00000058966.6 pep:known chromosome:GRCm38:1:119545037:119648936:-1 gene:ENSMUSG00000026383.14 transcript:ENSMUST00000052404.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l5 description:erythrocyte membrane protein band 4.1 like 5 [Source:MGI Symbol;Acc:MGI:103006] MLSFLRRTLGRRSMRKHAEKERLREAQRAATHIPAAGDAKSIITCRVSLLDGTDVSVDLP KKAKGQELFDQIMYHLDLIESDYFGLRFMDSAQVAHWLDGTKSIKKQVKIGSPYCLHLRV KFYSSEPNNLREELTRYLFVLQLKQDILSGKLECPFDTAVQLAAYNLQAELGDYDLAEHS PELVSEFRFVPIQTEEMELAIFEKWKEYRGQTPAQAETNYLNKAKWLEMYGVDMHVVKAR DGNDYSLGLTPTGVLVFEGETKIGLFFWPKITRLDFKKNKLTLVVVEDDDQGKEQEHTFV FRLDHPKACKHLWKCAVEHHAFFRLRGPVQKSSHRSGFIRLGSRFRYSGKTEYQTTKTNK ARRSTSFERRPSKRYSRRTLQMKASTTQPEDLGVLNASAQKSDSQQAWGVMSPVPVTSSS SCGAVQVEIENLPQTSATEQHDRKCLPLSVDLLNSPDLLETTIGDVTRTSETSAPFPAPD TINVATRSNELEEFKAECETLKDDTEKLKQLETEQTILPSLRPTIDINVNSQEEVVKLTE KCLNNAIENPALNAVKVPPDFKSNILKAQVEAVHKVTREDSLLTHKNASVQDAATNSTAF NENDVPVCKDSLTPVHGTAADSASVLKDATDELDALLLSLTENLMDHTVTPQVSSPSMIT PRWIIPQSATISNGLAGYGASLAGTDECSQKDGFSLISPPAPFLVDAVTSSAPPLPEDST LKQKCLLTTEL >ENSMUSP00000128374.1 pep:known chromosome:GRCm38:1:119548131:119648934:-1 gene:ENSMUSG00000026383.14 transcript:ENSMUST00000163147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l5 description:erythrocyte membrane protein band 4.1 like 5 [Source:MGI Symbol;Acc:MGI:103006] MLSFLRRTLGRRSMRKHAEKERLREAQRAATHIPAAGDAKSIITCRVSLLDGTDVSVDLP KKAKGQELFDQIMYHLDLIESDYFGLRFMDSAQVAHWLDGTKSIKKQVKIGSPYCLHLRV KFYSSEPNNLREELTRYLFVLQLKQDILSGKLECPFDTAVQLAAYNLQAELGDYDLAEHS PELVSEFRFVPIQTEEMELAIFEKWKEYRGQTPAQAETNYLNKAKWLEMYGVDMHVVKAR DGNDYSLGLTPTGVLVFEGETKIGLFFWPKITRLDFKKNKLTLVVVEDDDQGKEQEHTFV FRLDHPKACKHLWKCAVEHHAFFRLRGPVQKSSHRSGFIRLGSRFRYSGKTEYQTTKTNK ARRSTSFERRPSKRYSRRTLQMKASTTQPEDLGRFSSLLYSVLNASAQKSDSQQAWGVMS PVPVTSSSSCGAVQVEIENLPQTSATEQHDRKCLPLSVDLLNSPDLLETTIGDVTRTSET SAPFPAPDTINVATRSNELEEFKAECETLKDDTEKLKQLETEQTILPSLRPTIDINVNSQ EEVVKLTEKCLNNAIENPALNAVKVPPDFKSNILKAQVEAVHKVTREDSLLTHKNASVQD AATNSTAFNENDVPVCKDSLTPVHGTAADSASVLKDATDELDALLLSLTENLMDHTVTPQ VSSPSMITPRWIIPQSATISNGLAGYGASLAGTDECSQKDGFSLISPPAPFLVDAVTSSA PPLPEDSTLKQKCLLTTEL >ENSMUSP00000140227.1 pep:known chromosome:GRCm38:1:119590742:119649000:-1 gene:ENSMUSG00000026383.14 transcript:ENSMUST00000191046.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l5 description:erythrocyte membrane protein band 4.1 like 5 [Source:MGI Symbol;Acc:MGI:103006] MLSFLRRTLGRRSMRKHAEKERLREAQRAATHIPAAGDAKSIITCRVSLLDGTDVSVDLP KKAKGQELFDQIMYHLDLIESDYFGLRFMDSAQVAHWLDGTKSIKKQVKIGSPYCLHLRV KFYSSEPNNLREELTRYLFVLQLKQDILSGKLECPFDTAVQLAAYNLQAELGDYDLAEHS PELVSEFRFVPIQTEEMELAIFEKWKEYRGQTPAQAETNYLNKAKWLEMYGVDMHVVKAR DGNDYSLGLTPTGVLVFEGETKIGLFFWPKITRLDFKKNKLTLVVVEDDDQGKEQEHTFV FRLDHPKACKHLWKCAVEHHAFFRLRGPVQKSSHRSGFIRLGSRFRYSGKTEYQTTKTNK ARRSTSFERRPSKRYSRRTLQMKASTTQPEDLGVLNASAQKSDSQQAWGVMSPVPVTSSS SCGAVQVEIENLPQTSATEQHDRKWLSATSDRCQRGGNQWNPRALPPPQTAYRNYTDFVH EHNVKNAGAHHDAQLSGRAAMTEI >ENSMUSP00000027632.7 pep:known chromosome:GRCm38:1:119595074:119648617:-1 gene:ENSMUSG00000026383.14 transcript:ENSMUST00000027632.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l5 description:erythrocyte membrane protein band 4.1 like 5 [Source:MGI Symbol;Acc:MGI:103006] MLSFLRRTLGRRSMRKHAEKERLREAQRAATHIPAAGDAKSIITCRVSLLDGTDVSVDLP KKAKGQELFDQIMYHLDLIESDYFGLRFMDSAQVAHWLDGTKSIKKQVKIGSPYCLHLRV KFYSSEPNNLREELTRYLFVLQLKQDILSGKLECPFDTAVQLAAYNLQAELGDYDLAEHS PELVSEFRFVPIQTEEMELAIFEKWKEYRGQTPAQAETNYLNKAKWLEMYGVDMHVVKAR DGNDYSLGLTPTGVLVFEGETKIGLFFWPKITRLDFKKNKLTLVVVEDDDQGKEQEHTFV FRLDHPKACKHLWKCAVEHHAFFRLRGPVQKSSHRSGFIRLGSRFRYSGKTEYQTTKTNK ARRSTSFERRPSKRYSRRTLQMKASTTQPEDLGVLNASAQKSDSQQAWGVMSPVPVTSSS SCGAVQVEIENLPQTSATEQHDRKWLSATSDRCQRGGNQWNPRALPPPQTAYRNYTDFVH EHNVKNAGAHHDAQLSGRAAMTEI >ENSMUSP00000139683.1 pep:known chromosome:GRCm38:1:119621951:119648624:-1 gene:ENSMUSG00000026383.14 transcript:ENSMUST00000187194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l5 description:erythrocyte membrane protein band 4.1 like 5 [Source:MGI Symbol;Acc:MGI:103006] MLSFLRRTLGRRSMRKHAEKERLREAQRAATHIPAAGDAKSIITCRVSLLDGTDVSVDLP KKAKGQELFDQIMYHLDLIESDYFGLRFMDSAQVAHWLDGTKSIKKQVKIGSPY >ENSMUSP00000034973.3 pep:known chromosome:GRCm38:9:63646767:63757994:-1 gene:ENSMUSG00000032402.12 transcript:ENSMUST00000034973.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad3 description:SMAD family member 3 [Source:MGI Symbol;Acc:MGI:1201674] MSSILPFTPPIVKRLLGWKKGEQNGQEEKWCEKAVKSLVKKLKKTGQLDELEKAITTQNV NTKCITIPRSLDGRLQVSHRKGLPHVIYCRLWRWPDLHSHHELRAMELCEFAFNMKKDEV CVNPYHYQRVETPVLPPVLVPRHTEIPAEFPPLDDYSHSIPENTNFPAGIEPQSNIPETP PPGYLSEDGETSDHQMNHSMDAGSPNLSPNPMSPAHNNLDLQPVTYCEPAFWCSISYYEL NQRVGETFHASQPSMTVDGFTDPSNSERFCLGLLSNVNRNAAVELTRRHIGRGVRLYYIG GEVFAECLSDSAIFVQSPNCNQRYGWHPATVCKIPPGCNLKIFNNQEFAALLAQSVNQGF EAVYQLTRMCTIRMSFVKGWGAEYRRQTVTSTPCWIELHLNGPLQWLDKVLTQMGSPSIR CSSVS >ENSMUSP00000116790.1 pep:known chromosome:GRCm38:9:63654799:63711969:-1 gene:ENSMUSG00000032402.12 transcript:ENSMUST00000154323.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad3 description:SMAD family member 3 [Source:MGI Symbol;Acc:MGI:1201674] MLSKSLDGRLQVSHRKGLPHVIYCRLWRWPDLHSHHELRAMELCEFAFNMKKDEVCVNPY HYQRVETPVLPPVLVPRHTEIPAEFPPLDDYSHSIPENTNFPAGIEPQSNIPETPPPGYL SEDGETSDHQMNHSMDAGSPNLSPNPMSPAHNNLDLQPVTYCEPAFWCSISYYELNQRVG ETFHASQPSMTVDGFTDPSNSERFCLGLLSNVNRNAAVELTRRHIGRGVRLYY >ENSMUSP00000122217.1 pep:known chromosome:GRCm38:9:63666148:63751077:-1 gene:ENSMUSG00000032402.12 transcript:ENSMUST00000133108.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad3 description:SMAD family member 3 [Source:MGI Symbol;Acc:MGI:1201674] XWQGCSWGRRSLDGRLQVSHRKGLPHVIYCRLWRWPDLHSHHELRAMELCEFAFNMKKDE VCVNPYHYQRVETPVLPPVLVPRHTEIPAEFPPLDDYSHSIPENTNFPAGIEPQSNIPET PPPGYLSEDGETSDHQMNHSMDA >ENSMUSP00000121671.1 pep:known chromosome:GRCm38:9:63654771:63666547:-1 gene:ENSMUSG00000032402.12 transcript:ENSMUST00000137713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad3 description:SMAD family member 3 [Source:MGI Symbol;Acc:MGI:1201674] MNHSMDAGSPNLSPNPMSPAHNNLDLQPVTYCEPAFWCSISYYELNQRVGETFHASQPSM TVDGFTDPSNSERFCLGLLSNVNRNAAVELTRRHIGRGVRLYYIGGEVFAECL >ENSMUSP00000057902.1 pep:known chromosome:GRCm38:19:13704829:13705788:1 gene:ENSMUSG00000051156.1 transcript:ENSMUST00000052737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1491 description:olfactory receptor 1491 [Source:MGI Symbol;Acc:MGI:3031325] MLTGKLVLNQSGTPEFVFRVFTNAPEFQALLFTLFLLLYLMIFCGNTAIIWVVCTHTSLH TPMYFFLSSLSFLEICYTTDVVPLMLSNIFGTQKPISLAGCGTQMFFFLTLGGTDCFLLA IMAYDRYVAICHPLHYNLIMTKKLCVQMVMGSLSLALFLSLQLTALIFTLPFCGHYLEIN HFLCDVPPVLRLACADIHVHQAVLYVVGILVLTVPFLLIFISYVFIVSTILRMRSAEGRQ RAFSTCSSHLTVVLLQYGGCSLVYLRPRSSSSDDEDRQIALVYTFVTPLLNPLIYTLRNK DVKGALRNSIFCKSASHCS >ENSMUSP00000126311.1 pep:known chromosome:GRCm38:14:42111963:42117369:-1 gene:ENSMUSG00000095490.1 transcript:ENSMUST00000171388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7951 description:predicted gene 7951 [Source:MGI Symbol;Acc:MGI:3648771] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSAGAASP QAPTINDQEKRHERLEKLKRELQNVKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNTETKILCKEGSQKIKDHYTKQQQV >ENSMUSP00000031723.6 pep:known chromosome:GRCm38:5:137483020:137485816:-1 gene:ENSMUSG00000029711.13 transcript:ENSMUST00000031723.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epo description:erythropoietin [Source:MGI Symbol;Acc:MGI:95407] MGVPERPTLLLLLSLLLIPLGLPVLCAPPRLICDSRVLERYILEAKEAENVTMGCAEGPR LSENITVPDTKVNFYAWKRMEVEEQAIEVWQGLSLLSEAILQAQALLANSSQPPETLQLH IDKAISGLRSLTSLLRVLGAQKELMSPPDTTPPAPLRTLTVDTFCKLFRVYANFLRGKLK LYTGEVCRRGDR >ENSMUSP00000106667.1 pep:known chromosome:GRCm38:5:137483020:137533242:-1 gene:ENSMUSG00000029711.13 transcript:ENSMUST00000111038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epo description:erythropoietin [Source:MGI Symbol;Acc:MGI:95407] MGVPERPTLLLLLSLLLIPLGLPVLCAPPRLICDSRVLERYILEAKEAENVTMGCAEGPR LSENITVPDTKVNFYAWKRMEVEEQAIEVWQGLSLLSEAILQAQALLANSSQPPETLQLH IDKAISGLRSLTSLLRVLGAQKELMSPPDTTPPAPLRTLTVDTFCKLFRVYANFLRGKLK LYTGEVCRRGDR >ENSMUSP00000106668.1 pep:known chromosome:GRCm38:5:137483026:137485816:-1 gene:ENSMUSG00000029711.13 transcript:ENSMUST00000111039.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epo description:erythropoietin [Source:MGI Symbol;Acc:MGI:95407] MGVPERPTLLLLLSLLLIPLGLPVLCAPPRLICDSRVLERYILEAKEAENVTMGCAEGPR LSENITVPDTKVNFYAWKRMEVEEQAIEVWQGLSLLSEAILQAQALLANSSQPPETLQLH IDKAISGLRSLTSLLRVLGAQELMSPPDTTPPAPLRTLTVDTFCKLFRVYANFLRGKLKL YTGEVCRRGDR >ENSMUSP00000138958.1 pep:known chromosome:GRCm38:4:128058962:128567656:1 gene:ENSMUSG00000028804.18 transcript:ENSMUST00000184063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csmd2 description:CUB and Sushi multiple domains 2 [Source:MGI Symbol;Acc:MGI:2386401] XQNCTFHLQGPNGTVESPGFPYGYPNYANCTWTITAEDQHRIQLVFQSFALEEDFDVLSV FDGPPQAENLRTRLTGFQLPATIVSAASTLSLSLISDYAVSAQGFRASYEVLPSHTCGNP GRLPNGIQQGSTFNLGDKVRYSCNPGFFLEGHAVLTCHASSENSATWDFPLPSCRADDAC GGTLRGQSGIISSPHFPAEYHNNADCTWTILAELGDTIALVFIDFQLEDGYDFLEVTGTE GSSLWFTGASLPAPVISSKNWLRLHFTSDGNHRQRGFSAQYQVKKQIELKSRGVKLMPSK DNNQKTSVLTQVGVSQGHNMCPDPGIPEKGKRLGSDFRLGSSVQFTCNEGYDLQGSKRIT CMKVSDMFAAWSDHRPVCRARMCDAHLRGPSGIITSPNFPIQYDNNAHCVWIITALNPAK VIKLAFEEFDLERGYDTLTVGDGGQDGDQKTVLYILTGTSVPDLIVSTHHQMWLLFQSDS SGSSLGFKASYEEIEQGSCGDPGIPAYGQREGSRFRHGDTLEFECQPAFELVGQKSITCQ KNNQWSAKKPGCVFSCFFNFTSPSGIVLSPNYPEDYGNHLHCVWLILARPESRIHLAFND IDVEPQFDFLVIKDGATAEAPVLGTFSGNQLPSSITSSGHVARLEFQTDHSTGKRGFNIT FTTFRHNECPDPGVPVNGKRFGDSLQLGSSISFLCDEGFLGTQGSETITCVLKEGSVVWN SAVLRCEAPCGGHLTSPSGTILSPGWPGFYKDALSCAWVIEAQPGYPIKITFDRFKTEVN YDTLEVRDGRTYSAPLIGVYHGTQVPQFLISTSNYLYLLFSTDKSHSDIGFQLRYETITL QSDHCLDPGIPVNGQRHGNDFYVGALVTFSCDSGYTLSDGEPLECEPNFQWSHALPSCEA LCGGFIQGSSGTILSPGFPDFYPNNLNCTWVIETSHGKGVFFTFHTFHLESGHDYLLITE NGSFSQPLRQLTGSRLPAPISAGLYGNFTAQVRFISDFSMSYEGFNITFSEYDLEPCEEP EVPAYSIRKGLQFGVGDTLTFSCFPGYRLEGTARITCLGGRRRLWSSPLPRCVAECGNSV TGTQGTLLSPNFPVNYNNNHECIYSIQTQPGKGIQLKAKAFELAEGDLLKVYDGTNNSAR LLGVFSRSEMLGVTLNSTSSSLWLDFITDAENTSKGFELQFSSFELIRCEDPGTPQFGYK VHDGGHFAGSSVTFSCDPGYSLRGSEELMCLSGERRTWDRPLPTCVAECGGTVRGEVSGQ VLSPGYPAPYEHNLNCIWTIEADAGCTIGLHFLVFDTEEVHDVLRIWDGPVESGVLLKEL SGPMLPKDLHSTFNSVVLQFSTDFFTSKQGFAIQFSVSTATSCNDPGVPQNGSRSGDSWE AGDSTVFQCDPGYALQGSAEISCVKIQNRFFWQPSPPTCIAPCGGDLTGPSGVILSPNYP EPYPPGKECDWKVTVSPDYVIALVFNTFNLEPGYDFLHIYDGRDSLSPLIGSFYGSQLPS RIESSSNSLFLAFRSDASVSNAGFVIDYTENPRESCFDPGSIKNGTRVGSDLKLGSSVTY YCHGGYEVEGASTLSCILGPDGKPMWNNPRPVCTAPCGGQYVGSDGVVLSPNYPQNYTSG QTCLYFVTVPKDYVVFGQFAFFHTALNDIVEVHDGYSQHSRLLSSLSGSHTGESLPLATS NQVLIKFSAKGQVSARGFHFVYQAVPRTSATQCSSVPEPRYGKRLGSDFSVGAIIRFECN SGYALQGSPEIECLPVPGALAQWNVSAPTCVVPCGGNLTERRGTILSPGFPEPYLNSLNC VWKIMVPEGAGIQIQVISFVTEQNWDSLEVFDGADNTVTMLGSFSGTTVPALLNSTSNQL YLHFYSDISVSAAGFHLEYKTVGLSSCPEPAVPSNGVKTGERYLVNDVVSFQCEPGYALQ GHAHISCMPGTVRRWNYPPPLCIAQCGGAVEEMEGVILSPGFPGNYPSNMDCSWKISLPV GFGAHIQFLNFSTEPNHDFLEIRSGPSETSRMMGRFSGSELPGSLLSTSHDSIVYFHSDH SQNRPGFKLEYQAYELQECPDPEPFANGIVRGAGYNVGQSVTFECLPGYQLTGQPVLTCQ HGTNRNWDHPLPRCEVPCGGNITSFNGTVYSPGYPSPYSSSQDCVWQITVPIGHGVHLNL SLLQIEPFGDYITVWDGPQQTSLQLGVFTRSLSKKIAHSSSNQVLLKFHRDTATGGIFAI AFSAYPLTKCPPPTILPNAEVVTENEEFNIGDIVRYRCLPGFTLVGSEILTCKLGTYLQF EGPPPICEVHCPTNELLTDSTGVILSQSYPGSYPQFQTCSWLVRVEPEYNISITVEYFLS EKQYDEFEIFDGPSGQSPLLKALSGNYSAPLIVTSSSNSVYLRWSSDHAYNRKGFKIRYS APYCSLPKAPLHGFILGQTTTQPGGSIHFGCNTGYRLVGHSMAICTRHPQGYHLWSEAIP LCQALSCGLPDAPKNGIVFGKEYTVGTKAVYSCNEGYHLQAGAEATAECLDTGLWSNSNV PPQCVPVTCPDISSISVEHGRWRLIFETQYQFQAQLMLICDPGYYYTGQRVIRCQANGRW SLGESMPTCQIISCGELPTPPSGHRIGTMSVYGATAIFSCNSGYTLVGSRVRECMANGLW SGSEVRCLAGHCGTPEPIVNGHINGENFNYRGSVVYQCRAGFRLIGMSVRICQQDHHWSG KTPFCVLVSCGHPGSPPHAQMSGDSYIVGAVVRYSCTGKRTLVGNSTRMCGLDGHWTGSL PHCSGTSTGVCGDPGIPAHGIRLGDSFAPGSVMRFSCDAGHVLRGSSERMCQANGSWSGS QPECGVISCGNPGTPSNARVVFSDGLVFSSSVVYECREGYYATGLLSRHCSVNGTWTGSD PECTVINCGDPGIPANGIRLGNDFRYNKTVTYQCVPGYVMESHRVSVLSCTKDRTWNGTK PVCKAIMCKPPQLIPNGKVVGSDFTWGSSVSYACLEGYQLSLPAVLTCEGNGSWTGELPQ CFPVFCGDPGVPPRGRREDRGFSYRSSVSFSCHAPLVLVGSPRRFCQSDGTWSGTQPSCI DPTLTTCADPGMPQFGIQNSSQGYQVGSTVLFRCQKGYLLQGSTTRTCLPNLTWSGTPPD CVPHHCKQPETPTHANVGALDLPSMGYTLIYSCQEGFSLRGGSEHRTCKADGSWTGKPPV CLEVRPSGRPINTAREPMLTQASVPGDVFAKNSLWKGAYEYQGKKQPAMLRVTGFQVANS KVNATMIDHSGVELHLAGNYKKEDFRLLLQVYQVTGPVESFVNKFKDDHWALDGHVSSES SGGTFVYQGSVKGQGFGQFGFQRLDLRLLESDPESIGRHFASNSSSVAAAILVPFIALII AGFVLYLYKHRRRPKVPFNGYAGHENTNVRATFENPMYDRNIQPTDIMSNEAEFTVSTVC TAV >ENSMUSP00000058483.2 pep:known chromosome:GRCm38:6:90301219:90305449:1 gene:ENSMUSG00000049694.13 transcript:ENSMUST00000054799.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048671 description:cDNA sequence BC048671 [Source:MGI Symbol;Acc:MGI:2679261] MDSKGHKKPCRHKKKEIKTYERFVKKFPYRLPHLTEPSTSCPPSCKAKSNSLKDKLPLQK NLVPTRSIPIPGLGAPQVAWPFSFYSPPPHPPLCNLWELKLLQLRFPTQDLPRLPPLQTP DNQPLTSGISKPPESIP >ENSMUSP00000132052.2 pep:known chromosome:GRCm38:6:90302116:90305449:1 gene:ENSMUSG00000049694.13 transcript:ENSMUST00000167550.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048671 description:cDNA sequence BC048671 [Source:MGI Symbol;Acc:MGI:2679261] MDSKGHKKPCRHKKKEIKTYERFVKKFPYRLPHLTEPSTSCPPSCKAKSNSLKDKLPLQK NLVPTRSIPIPGLGAPQVAWPFSFYSPPPHPPLCNLWELKLLQLRFPTQDLPRLPPLQTP DNQPLTSGISKPPESIP >ENSMUSP00000086354.2 pep:known chromosome:GRCm38:6:125009179:125037637:1 gene:ENSMUSG00000038346.18 transcript:ENSMUST00000054553.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp384 description:zinc finger protein 384 [Source:MGI Symbol;Acc:MGI:2443203] MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS LSSGISMDTESKSEQLTPHSQASVTQNITVVPVPSTGLMTAALQVVPDLSKKVASTLTEE GGGGGGGGGTVAPPKPPRGRKKKRMLESGLPEMNDPYVLAPGDDDDHQKDGKTYRCRMCS LTFYSKSEMQIHSKSHTETKPHKCPHCSKTFANSSYLAQHIRIHSGAKPYSCNFCEKSFR QLSHLQQHTRIHTGDRPYKCAHPGCEKAFTQLSNLQSHRRQHNKDKPFKCHNCHRAYTDA ASLEAHLSTHTVKHAKVYTCTICSRAYTSETYLMKHMRKHNPPDLQQQVQAAAAAAAVAQ AQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQASQASQQQQQQQPPPQPPHFQSPGAAPQ GGGGGDSNPNPPPQCSFDLTPYKPAEHHKDICLTVTTSTIQVEHLASS >ENSMUSP00000108047.1 pep:known chromosome:GRCm38:6:125009238:125037865:1 gene:ENSMUSG00000038346.18 transcript:ENSMUST00000112428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp384 description:zinc finger protein 384 [Source:MGI Symbol;Acc:MGI:2443203] MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS LSSGISMDTESKSEQLTPHSQASVTQNITVVPVPSTGLMTAGVSCSQRWRREGSQSRGPG LVITSPSGSLVTTASAAQTFPISAPMIVSALPPGSQALQVVPDLSKKVASTLTEEGGGGG GGGGTVAPPKPPRGRKKKRMLESGLPEMNDPYVLAPGDDDDHQKDGKTYRSEGNCGTGNG QSLGLMDSVPGSTTNLLCDPGCRMCSLTFYSKSEMQIHSKSHTETKPHKCPHCSKTFANS SYLAQHIRIHSGAKPYSCNFCEKSFRQLSHLQQHTRIHTGDRPYKCAHPGCEKAFTQLSN LQSHRRQHNKDKPFKCHNCHRAYTDAASLEAHLSTHTVKHAKVYTCTICSRAYTSETYLM KHMRKHNPPDLQQQVQAAAAAAAVAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAS QASQQQQQQQPPPQPPHFQSPGAAPQGGGGGDSNPNPPPQCSFDLTPYKPAEHHKDICLT VTTSTIQVEHLASS >ENSMUSP00000108046.1 pep:known chromosome:GRCm38:6:125009283:125037760:1 gene:ENSMUSG00000038346.18 transcript:ENSMUST00000112427.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp384 description:zinc finger protein 384 [Source:MGI Symbol;Acc:MGI:2443203] MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS LSSGISMDTESKSEQLTPHSQASVTQNITVVPVPSTGLMTAGVSCSQRWRREGSQSRGPG LVITSPSGSLVTTASAAQTFPISAPMIVSALPPGSQALQVVPDLSKKVASTLTEEGGGGG GGGGTVAPPKPPRGRKKKRMLESGLPEMNDPYVLAPGDDDDHQKDGKTYRCRMCSLTFYS KSEMQIHSKSHTETKPHKCPHCSKTFANSSYLAQHIRIHSGAKPYSCNFCEKSFRQLSHL QQHTRIHSKMHTETIKPHKCPHCSKTFANTSYLAQHLRIHSGAKPYNCSYCQKAFRQLSH LQQHTRIHTGDRPYKCAHPGCEKAFTQLSNLQSHRRQHNKDKPFKCHNCHRAYTDAASLE AHLSTHTVKHAKVYTCTICSRAYTSETYLMKHMRKHNPPDLQQQVQAAAAAAAVAQAQAQ AQAQAQAQAQAQAQAQAQAQAQAQAQAQASQASQQQQQQQPPPQPPHFQSPGAAPQGGGG GDSNPNPPPQCSFDLTPYKPAEHHKDICLTVTTSTIQVEHLASS >ENSMUSP00000117330.1 pep:known chromosome:GRCm38:6:125009715:125024913:1 gene:ENSMUSG00000038346.18 transcript:ENSMUST00000152752.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp384 description:zinc finger protein 384 [Source:MGI Symbol;Acc:MGI:2443203] MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS LSSGISMDTESKSEQLTPHSQASVTQNITVVPVPSTGLMTAALPPGSQALQVVPDLSKKV ASTLT >ENSMUSP00000085648.3 pep:known chromosome:GRCm38:6:125009735:125037637:1 gene:ENSMUSG00000038346.18 transcript:ENSMUST00000088308.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp384 description:zinc finger protein 384 [Source:MGI Symbol;Acc:MGI:2443203] MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS LSSGISMDTESKSEQLTPHSQASVTQNITVVPVPSTGLMTAGVSCSQRWRREGSQSRGPG LVITSPSGSLVTTASAAQTFPISAPMIVSALPPGSQALQVVPDLSKKVASTLTEEGGGGG GGGGTVAPPKPPRGRKKKRMLESGLPEMNDPYVLAPGDDDDHQKDGKTYRCRMCSLTFYS KSEMQIHSKSHTETKPHKCPHCSKTFANSSYLAQHIRIHSGAKPYSCNFCEKSFRQLSHL QQHTRIHSKMHTETIKPHKCPHCSKTFANTSYLAQHLRIHSGAKPYNCSYCQKAFRQLSH LQQHTRIHTGDRPYKCAHPGCEKAFTQLSNLQSHRRQHNKDKPFKCHNCHRAYTDAASLE AHLSTHTVKHAKVYTCTICSRAYTSETYLMKHMRKHNPPDLQQQVQAAAAAAAVAQAQAQ AQAQAQAQAQAQAQAQAQAQAQAQAQAQASQASQQQQQQQPPPQPPHFQSPGAAPQGGGG GDSNPNPPPQCSFDLTPYKPAEHHKDICLTVTTSTIQVEHLASS >ENSMUSP00000108044.1 pep:known chromosome:GRCm38:6:125009736:125037637:1 gene:ENSMUSG00000038346.18 transcript:ENSMUST00000112425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp384 description:zinc finger protein 384 [Source:MGI Symbol;Acc:MGI:2443203] MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS LSSGISMDTESKSEQLTPHSQASVTQNITVVPVPSTGLMTAGVSCSQRWRREGSQSRGPG LVITSPSGSLVTTASAAQTFPISAPMIVSALPPGSQALQVVPDLSKKVASTLTEEGGGGG GGGGTVAPPKPPRGRKKKRMLESGLPEMNDPYVLAPGDDDDHQKDGKTYRCRMCSLTFYS KSEMQIHSKSHTETKPHKCPHCSKTFANSSYLAQHIRIHSGAKPYSCNFCEKSFRQLSHL QQHTRIHTGDRPYKCAHPGCEKAFTQLSNLQSHRRQHNKDKPFKCHNCHRAYTDAASLEA HLSTHTVKHAKVYTCTICSRAYTSETYLMKHMRKHNPPDLQQQVQAAAAAAAVAQAQAQA QAQAQAQAQAQAQAQAQAQAQAQAQAQASQASQQQQQQQPPPQPPHFQSPGAAPQGGGGG DSNPNPPPQCSFDLTPYKPAEHHKDICLTVTTSTIQVEHLASS >ENSMUSP00000081296.5 pep:known chromosome:GRCm38:6:125009805:125037637:1 gene:ENSMUSG00000038346.18 transcript:ENSMUST00000084275.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp384 description:zinc finger protein 384 [Source:MGI Symbol;Acc:MGI:2443203] MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS LSSGISMDTESKSEQLTPHSQASVTQNITVVPVPSTGLMTAGVSCSQRWRREGSQSRGPG LVITSPSGSLVTTASAAQTFPISAPMIVSALPPGSQALQVVPDLSKKVASTLTEEGGGGG GGGGTVAPPKPPRGRKKKRMLESGLPEMNDPYVLAPGDDDDHQKDGKTYRCRMCSLTFYS KSEMQIHSKSHTETKPHKCPHCSKTFANSSYLAQHIRIHSGAKPYSCNFCEKSFRQLSHL QQHTRIHSKMHTETIKPHKCPHCSKTFANTSYLAQHLRIHSGAKPYNCSYCQKAFRQLSH LQQHTRIHTGDRPYKCAHPGCEKAFTQLSNLQSHRRQHNKDKPFKCHNCHRAYTDAASLE AHLSTHTVKHAKVYTCTICSRAYTSETYLMKHMRKHNPPDLQQQVQAAAAAAAVAQAQAQ AQAQAQAQAQAQAQAQAQAQAQAQAQAQASQASQQQQQQQPPPQPPHFQSPGAAPQGGGG GDSNPNPPPQCSFDLTPYKPAEHHKDICLTVTTSTIQVEHLASS >ENSMUSP00000108043.1 pep:known chromosome:GRCm38:6:125010392:125037637:1 gene:ENSMUSG00000038346.18 transcript:ENSMUST00000112424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp384 description:zinc finger protein 384 [Source:MGI Symbol;Acc:MGI:2443203] MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS LSSGISMDTESKSEQLTPHSQASVTQNITVVPVPSTGLMTAGPGLVITSPSGSLVTTASA AQTFPISAPMIVSALPPGSQALQVVPDLSKKVASTLTEEGGGGGGGGGTVAPPKPPRGRK KKRMLESGLPEMNDPYVLAPGDDDDHQKDGKTYRCRMCSLTFYSKSEMQIHSKSHTETKP HKCPHCSKTFANSSYLAQHIRIHSGAKPYSCNFCEKSFRQLSHLQQHTRIHSKMHTETIK PHKCPHCSKTFANTSYLAQHLRIHSGAKPYNCSYCQKAFRQLSHLQQHTRIHTGDRPYKC AHPGCEKAFTQLSNLQSHRRQHNKDKPFKCHNCHRAYTDAASLEAHLSTHTVKHAKVYTC TICSRAYTSETYLMKHMRKHNPPDLQQQVQAAAAAAAVAQAQAQAQAQAQAQAQAQAQAQ AQAQAQAQAQAQASQASQQQQQQQPPPQPPHFQSPGAAPQGGGGGDSNPNPPPQCSFDLT PYKPAEHHKDICLTVTTSTIQVEHLASS >ENSMUSP00000037986.10 pep:known chromosome:GRCm38:6:125009669:125037870:1 gene:ENSMUSG00000038346.18 transcript:ENSMUST00000046064.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp384 description:zinc finger protein 384 [Source:MGI Symbol;Acc:MGI:2443203] MEESHFNSNPYFWPSIPTVSGQIENTMFINKMKDQLLPEKGCGLAPPHYPTLLTVPASVS LSSGISMDTESKSEQLTPHSQASVTQNITVVPVPSTGLMTAGVSCSQRWRREGSQSRGPG LVITSPSGSLVTTASAAQTFPISAPMIVSALPPGSQALQVVPDLSKKVASTLTEEGGGGG GGGGTVAPPKPPRGRKKKRMLESGLPEMNDPYVLAPGDDDDHQKDGKTYRSEGNCGTGNG QSLGLMDSVPGSTTNLLCDPGCRMCSLTFYSKSEMQIHSKSHTETKPHKCPHCSKTFANS SYLAQHIRIHSGAKPYSCNFCEKSFRQLSHLQQHTRIHTGDRPYKCAHPGCEKAFTQLSN LQSHRRQHNKDKPFKCHNCHRAYTDAASLEAHLSTHTVKHAKVYTCTICSRAYTSETYLM KHMRKHNPPDLQQQVQAAAAAAAVAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAS QASQQQQQQQPPPQPPHFQSPGAAPQGGGGGDSNPNPPPQCSFDLTPYKPAEHHKDICLT VTTSTIQVEHLASS >ENSMUSP00000037514.5 pep:known chromosome:GRCm38:1:165212286:165236943:-1 gene:ENSMUSG00000040843.10 transcript:ENSMUST00000043235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiprl description:TIP41, TOR signalling pathway regulator-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915087] MMIHGFQSSHQDFSFGPWKLTASKTHIMKSADVEKLADELHMPSLPEMMFGDNVLRIQHG SGFGIEFNATDALRCVNNYQGMLKVACAEEWQESRTEGEHSKEVIKPYDWTYTTDYKGTL LGESLKLKVVPTTDHIDTEKLKAREQIKFFEEVLLFEDELHDHGVSSLSVKIRVMPSSFF LLLRFFLRIDGVLIRMNDTRLYHEADKTYMLREYTSRESKIANLMHVPPSLFTEPNEISQ YLPIKEAVCEKLVFPERIDPNPVDSQSTPSE >ENSMUSP00000141708.1 pep:known chromosome:GRCm38:1:165215726:165236996:-1 gene:ENSMUSG00000040843.10 transcript:ENSMUST00000192436.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tiprl description:TIP41, TOR signalling pathway regulator-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915087] MMIHGFQSSHQDFSFGPWKLTASKTHIMKSADVENCQPSFQKDQ >ENSMUSP00000142327.1 pep:known chromosome:GRCm38:1:165227505:165236513:-1 gene:ENSMUSG00000040843.10 transcript:ENSMUST00000195248.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiprl description:TIP41, TOR signalling pathway regulator-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915087] MLKVACAEEWQESRTEGEHSKEVIKPYDWTYTTDYKGTLLGESLKLK >ENSMUSP00000076781.2 pep:known chromosome:GRCm38:17:37279501:37280418:-1 gene:ENSMUSG00000061972.2 transcript:ENSMUST00000077585.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr99 description:olfactory receptor 99 [Source:MGI Symbol;Acc:MGI:2177482] MNCSQAPTLILLGLSSDAEKWQPLFSIFLVIYLLGLLGNLLLLLAIGTDVHLHTPMYFFL SQLSFVDLCFITTTAPKMLEALWTGDGSISFSGCLTQLYFFAVFADMDNLLLAVMAIDRY AAICHPLRYSALMTPFRCGVLVSGSWGVTNCVSLTHTLLLSKLYFHTNQEIPHFFCEFGP LLLLSCSDTHLNKILVIILVGILGISAVLCIVSSYGCIFYAVAKVPSAQGKRKALSTCSS HLSVVLLFYSTVFATYLKPPSSSRSSEEVVAAVMYSLVTPTLNPFIYSLRNKDVKSSLRR ILNME >ENSMUSP00000146561.1 pep:known chromosome:GRCm38:19:13726263:13727213:1 gene:ENSMUSG00000109520.1 transcript:ENSMUST00000207208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1493-ps1 description:olfactory receptor 1493, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031327] MTWENHSVLMEFVFLAYPNRLELRMFCFLGISLAYALIISGNILIMVSIQTETRLHSPMY YFLGSLSGIELCYTAVVVPHILANTLKSEKNITLLSCATQMVFFIGLGSADCFLLASMAY DRYVAICHPLQYPLIMTVTLCVRLVLASVVIGLVLSLQLVVFIFCLPFCQDRGIEHFFCD VPPVMRLVCATSHIHELSVLVAAALAIAVPFFFIATTYALIVAAVLKLHSAAGRHRAFNT CSSHLTVVLLQYGCCAFMYLRPNSSYHPKKDQFISLVYTLGTPFLNPLIYTLRNNEMKGA IEKVLTRNYFSQKNIQ >ENSMUSP00000077323.4 pep:known chromosome:GRCm38:X:155088686:155089793:-1 gene:ENSMUSG00000096644.1 transcript:ENSMUST00000078193.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea1 description:melanoma antigen, family A, 1 [Source:MGI Symbol;Acc:MGI:1333834] MADSRNTQYCSLQESAQAQQELDNDQETMETSEEEEDTTTSNKVYGSGIPSPPQSPQRAY SPCVALASIPDSPSEEASIKGSGGLEDPLYLLHNAQNTKVYDLVDFLVLNYQMKAFTTKA EMLESIGREYEEYYPLIFSEASECLKMVFGLDMVEVDPSVHSYILVTALGITYDGMMTDV QGMPKTGILIAVLSVIFMKGNYVSEEIIWEMVNNIGLCGGRDPYIHKDPRKLISEEFVQE GCLKYRQVPNSDPPSYGFLWGPRAFAETSKMKVLQFFASINKTHPRAYPEKYAEALRDEI YRTKAWILNRCSNFSDLLTF >ENSMUSP00000121007.1 pep:known chromosome:GRCm38:4:45018583:45033692:1 gene:ENSMUSG00000028318.14 transcript:ENSMUST00000133157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1e description:polymerase (RNA) I polypeptide E [Source:MGI Symbol;Acc:MGI:1929022] MATLESPGMDDQAGDTETEALQSARWLYCGEPDDRQKAVLVQFSNGKLQNPGDMRFTLYN STDLVNPRQRSHRIVAAETDRLSYVGNNFGTGALKCNALCRHFVGILNKTSGQMEVYDAE LFNMQPLFADDAIEREPPLENQNKTFRDKLDSCIEAFGSTKQKRSLNSRRMNKVGSESLN LSVAKAAESIIDTKGVNALVSDAMQDDLQDGVLYLPPCYADAAKPEDVYRFEDILSPAEY DALESPSEAFRKVTSEDILKMIEENSHCSYVIEMLKSLPIDEVHRNRQARSIWFLDALIR FRAQKVIKGKRALGPGIPHIINTKLLKQFTCLTYNNGRLQNLISSSMRAKITSYAIILAL HINNFQVDLTALQKDLKLSEKRMIEIAKAMRLKISKQKVSLADGREESHRLGTLSVPLPP AQNSDRQSKRRKMN >ENSMUSP00000029999.8 pep:known chromosome:GRCm38:4:45018662:45032365:1 gene:ENSMUSG00000028318.14 transcript:ENSMUST00000029999.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1e description:polymerase (RNA) I polypeptide E [Source:MGI Symbol;Acc:MGI:1929022] MATLESPGMDDQAGDTETEALQSARWLYCGEPDDRQKAVLVQFSNGKLQNPGDMRFTLYN STDLVNPRQRSHRIVAAETDRLSYVGNNFGTGALKCNALCRHFVGILNKTSGQMEVYDAE LFNMQPLFAGMGTEVIKLGGQHLYLLAFCQPSKNLAEAGDLLLSRHRQGHCIAVLLDDDA IEREPPLENQNKTFRDKLDSCIEAFGSTKQKRSLNSRRMNKVGSESLNLSVAKAAESIID TKGVNALVSDAMQDDLQDGVLYLPPCYADAAKPEDVYRFEDILSPAEYDALESPSEAFRK VTSEDILKMIEENSHCSYVIEMLKSLPIDEVHRNRQARSIWFLDALIRFRAQKVIKGKRA LGPGIPHIINTKLLKQFTCLTYNNGRLQNLISSSMRAKITSYAIILALHINNFQVDLTAL QKDLKLSEKRMIEIAKAMRLKISKQKVSLADGREESHRLGTLSVPLPPAQNSDRQSKRRK MN >ENSMUSP00000103444.3 pep:known chromosome:GRCm38:4:45018663:45036565:1 gene:ENSMUSG00000028318.14 transcript:ENSMUST00000107814.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1e description:polymerase (RNA) I polypeptide E [Source:MGI Symbol;Acc:MGI:1929022] MATLESPGMDDQAGDTETEALQSARWLYCGEPDDRQKAVLVQFSNGKLQNPGDMRFTLYN STDLVNPRQRSHRIVAAETDRLSYVGNNFGTGALKCNALCRHFVGILNKTSGQMEVYDAE LFNMQPLFADDAIEREPPLENQNKTFRDKLDSCIEAFGSTKQKRSLNSRRMNKVGSESLN LSVAKAAESIIDTKGVNALVSDAMQDDLQDGVLYLPPCYADAAKPEDVYRFEDILSPAEY DALESPSEAFRKVTSEDILKMIEENSHCSYVIEMLKSLPIDEVHRNRQARSIWFLDALIR FRAQKVIKGKRALGPGIPHIINTKLLKQFTCLTYNNGRLQNLISSSMRAKITSYAIILAL HINNFQVDLTALQKDLKLSEKRPSTSSSSWIWI >ENSMUSP00000059941.8 pep:known chromosome:GRCm38:4:45019235:45032112:1 gene:ENSMUSG00000028318.14 transcript:ENSMUST00000054723.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr1e description:polymerase (RNA) I polypeptide E [Source:MGI Symbol;Acc:MGI:1929022] XGPRLLFLAYTLTVQFSNGKLQNPGDMRFTLYNSTDLVNPRQRSHRIVAAETDRLSYVGN NFGTGALKCNALCRHFVGILNKTSGQMEVYDAELFNMQPLFADDAIEREPPLENQNKTFR DKLDSCIEAFGSTKQKRSLNSRRMNKVGSESLNLSVAKAAESIIDTKGVNALVSDAMQDD LQDGVLYLPPCYADAAKPEDVYRFEDILSPAEYDALESPSEAFRKVTSEDILKMIEENSH CSYVIEMLKSLPIDEVHRNRQARSIWFLDALIRFRAQKVIKGKRALGPGIPHIINTKLLK QFTCLTYNNGRLQNLISSSMRAKITSYAIILALHINNFQVDLTALQKDLKLSEKRMIEIA KAMRLKISKQKVSLADGREESHRLGTLSVPLPPAQNSDRQSKRRKMN >ENSMUSP00000021961.5 pep:known chromosome:GRCm38:13:55784568:55808967:1 gene:ENSMUSG00000021499.12 transcript:ENSMUST00000021961.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsper3 description:cation channel, sperm associated 3 [Source:MGI Symbol;Acc:MGI:1924106] MSQHFHHNPVRVKSGSLFATASEALQARLSKIKRKDKECQAYFRKVIKSTFFQIVMITTV TTNSFLLVLGTNYDIQFEFFRTFEVSELFFVSVYVCEFLMKVYVDPITYWKDGYNILDVI ILIILTIPYLLRKIKGNHSAYLHFADGIQSLRILKLISYSRGIRTLIIAVGETVYTVASV LTLLFLLMFVFAILGFCLFGVTDRGDLENWGNLASAFFTLFSLATVDGWTDLQEELDKRK FTVSRAFTILFILLASFIFLNMFVGVMIMHTEDSMKKFERDLTLERNLAIMEEKQIILKR QQEEVNRLMNTQKSGSMNFIDMVEGFKKTLRHTDPMVLDDFSTSLSFIDIYLVTLDNQDV IVSKLQELYCEIVNVLSLMLEDMPKESSSSLSGLS >ENSMUSP00000105524.2 pep:known chromosome:GRCm38:13:55784579:55808998:1 gene:ENSMUSG00000021499.12 transcript:ENSMUST00000109898.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsper3 description:cation channel, sperm associated 3 [Source:MGI Symbol;Acc:MGI:1924106] MSQHFHHNPVRVKSGSLFATASEALQARLSKIKRKDKECQAYFRKVIKSTFFQIVMITTV TTNSFLLVLGTNYDIQFEFFRTFEFLMKVYVDPITYWKDGYNILDVIILIILTIPYLLRK IKGNHSAYLHFADGIQSLRILKLISYSRGIRTLIIAVGETVYTVASVLTLLFLLMFVFAI LGFCLFGVTDRGDLENWGNLASAFFTLFSLATVDGWTDLQEELDKRKFTVSRAFTILFIL LASFIFLNMFVGVMIMHTEDSMKKFERDLTLERNLAIMEEKQIILKRQQEEVNRLMNTQK SGSMNFIDMVEGFKKTLRHTDPMVLDDFSTSLSFIDIYLVTLDNQDVIVSKLQELYCEIV NVLSLMLEDMPKESSSSLSGLS >ENSMUSP00000020997.8 pep:known chromosome:GRCm38:12:30911668:30960162:1 gene:ENSMUSG00000020669.15 transcript:ENSMUST00000020997.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3yl1 description:Sh3 domain YSC-like 1 [Source:MGI Symbol;Acc:MGI:1346118] MNNPIPSNLKSEAKKAAKILREFTEITSRNGPDKIIPAHVIAKAKGLAVLSVIKAGFLVT ARGGSGIVLARLPDGKWSAPSAIGIAGLGGGFEIGIEVSDLVIILNYDRAVEAFAKGGNL TLGGNFTVAVGPLGRNLEGNVSLRSSAAVFTYCKSRGLFAGISLEGSCLIERKETNRKFY CQDIRAYDILFGDVPQPAQAEDLYEILNSFTEKYETEGQRINLKKVAREQRKAKELPPKP SSRPQPAHPPVQLNAGSQGNRNEYKLYPELSSYHEKTGNLNQPIEVTALYSFEGQQPGDL NFQAGDRIIVISKTDSNFDWWEGKLRGQTGIFPANYVTMN >ENSMUSP00000106504.2 pep:known chromosome:GRCm38:12:30911692:30960151:1 gene:ENSMUSG00000020669.15 transcript:ENSMUST00000110880.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3yl1 description:Sh3 domain YSC-like 1 [Source:MGI Symbol;Acc:MGI:1346118] MNNPIPSNLKSEAKKAAKILREFTEITSRNGPDKIIPEWSAPSAIGIAGLGGGFEIGIEV SDLVIILNYDRAVEAFAKGGNLTLGGNFTVAVGPLGRNLEGNVSLRSSAAVFTYCKSRGL FAGISLEGSCLIERKETNRKFYCQDIRAYDILFGDVPQPAQAEDLYEILNSFTEKYETEG QRINLKKVAREQRKAKELPPKPSSRPQPAHPPVQLNAGSQGNRNEYKLYPELSSYHEKTG NLNQPIEVTALYSFEGQQPGDLNFQAGDRIIVISKTDSNFDWWEGKLRGQTGIFPANYVT MN >ENSMUSP00000108178.1 pep:known chromosome:GRCm38:X:155027484:155032500:-1 gene:ENSMUSG00000055746.9 transcript:ENSMUST00000112559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea2 description:melanoma antigen, family A, 2 [Source:MGI Symbol;Acc:MGI:1333793] MADSHNTQYCSLQESAQAQQELDNDQETMETSEEEEDTTTSNKVYGSGIPSPPQSPQRAY SPCVALASIPDSPSEEASIKGSGGLEDPLYLLHNAQNTKVYDLVDFLVLNYQMKAFTTKA EMLESIGREYEEYYPLIFSEASECLKMVFGLDMVEVDPSVHSYILVTALGITYDGMMTDV LGMPKTGILIAVLSVIFMKGNYVSEEIIWEMVNNIGLCGGRDPYIHKDPRKLISEEFVQE GCLKYRQVPNSDPPSYGFLWGPRAFAETSKMKVLQFFASINKTHPRAYPEKYAEALQDEI DRTKAWILNRCSNSSDLLTF >ENSMUSP00000136214.1 pep:known chromosome:GRCm38:X:155027487:155093666:-1 gene:ENSMUSG00000055746.9 transcript:ENSMUST00000178950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea2 description:melanoma antigen, family A, 2 [Source:MGI Symbol;Acc:MGI:1333793] MADSHNTQYCSLQESAQAQQELDNDQETMETSEEEEDTTTSNKVYGSGIPSPPQSPQRAY SPCVALASIPDSPSEEASIKGSGGLEDPLYLLHNAQNTKVYDLVDFLVLNYQMKAFTTKA EMLESIGREYEEYYPLIFSEASECLKMVFGLDMVEVDPSVHSYILVTALGITYDGMMTDV LGMPKTGILIAVLSVIFMKGNYVSEEIIWEMVNNIGLCGGRDPYIHKDPRKLISEEFVQE GCLKYRQVPNSDPPSYGFLWGPRAFAETSKMKVLQFFASINKTHPRAYPEKYAEALQDEI DRTKAWILNRCSNSSDLLTF >ENSMUSP00000069832.1 pep:known chromosome:GRCm38:X:155027201:155033292:-1 gene:ENSMUSG00000055746.9 transcript:ENSMUST00000069477.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea2 description:melanoma antigen, family A, 2 [Source:MGI Symbol;Acc:MGI:1333793] MADSHNTQYCSLQESAQAQQELDNDQETMETSEEEEDTTTSNKVYGSGIPSPPQSPQRAY SPCVALASIPDSPSEEASIKGSGGLEDPLYLLHNAQNTKVYDLVDFLVLNYQMKAFTTKA EMLESIGREYEEYYPLIFSEASECLKMVFGLDMVEVDPSVHSYILVTALGITYDGMMTDV LGMPKTGILIAVLSVIFMKGNYVSEEIIWEMVNNIGLCGGRDPYIHKDPRKLISEEFVQE GCLKYRQVPNSDPPSYGFLWGPRAFAETSKMKVLQFFASINKTHPRAYPEKYAEALQDEI DRTKAWILNRCSNSSDLLTF >ENSMUSP00000061042.5 pep:known chromosome:GRCm38:17:37299446:37300484:-1 gene:ENSMUSG00000092077.1 transcript:ENSMUST00000058046.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr101 description:olfactory receptor 101 [Source:MGI Symbol;Acc:MGI:2177484] MSNQTSVTEFLLLGVTDIQELNPILFVIFFTIYFINITGNGAILMIVILDPRLHSPMYFF LGNLACLDISYSTVTVPKLLQNLLSTSKAISFLGCITQLHFFHFLGSTETMLLPVMAFDR FVAICRPLHYSVIMNHQLCIHMTVTIWTLGFFHALLHSVMTSRLSFCGPNHVHHFFCDIK PLLDLACGNTELNLWLLNTVTGTIALTSFFLIFLSYFYIITNLLLKTRSCSMLHKALSTC ASHFMVVVLFYAPVLFTYIRPASGSSLDQDTIIAIMYSVVTPALNPLIYTLRNKEVRSAL NRKVRRWL >ENSMUSP00000028241.6 pep:known chromosome:GRCm38:2:35313986:35336976:-1 gene:ENSMUSG00000026880.11 transcript:ENSMUST00000028241.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stom description:stomatin [Source:MGI Symbol;Acc:MGI:95403] MSDKRQSSHVQSQRIPESFRENSKTELGACGWILVAASFFFVIITFPISIWICIKIVKEY ERVIIFRLGRILQGGAKGPGLFFILPCTDSLIKVDMRTISFDIPPQEVLTKDSVTISVDG VVYYRVQNATLAVANITNADSATRLLAQTTLRNALGTKNLSQILSDREEIAHHMQSTLDD ATDDWGIKVERVEIKDVKLPVQLQRAMAAEAEAAREARAKVIAAEGEMNASRALKEASMV ITESPAALQLRYLQTLTTIAAEKNSTIVFPLPVDMLQGIMGSNH >ENSMUSP00000146563.1 pep:known chromosome:GRCm38:19:13740077:13750055:1 gene:ENSMUSG00000050865.4 transcript:ENSMUST00000207836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1494 description:olfactory receptor 1494 [Source:MGI Symbol;Acc:MGI:3031328] MLTGKSVLNQSGTTEFVFRVFTTVPEFQALLFLLFLLLYLMILCGNAAIIWVVCTHSALH TPMYFFLSSLSVLEIFYTTDVVPLMLSNIFGAQKPISLAGCGTQMFFFVTLGSTDCFLLA VMAYDRYVAICHPLHYSLIMTKKLCVQMVMGSWSLALFLSLQLTALIFTLPFCGHDQEIN HFLCDVPPVLRLACADIHVHQAVLYVVGILVLTVPFLLICISYVFIASTILRMRSAEGRQ RAFSTCSSHLTVVLLQYGCCSLVYLRPRSSTSEDEDRQIALVYTFVTPLLNPLIYTLRNK DVKGALKNSIFHKAV >ENSMUSP00000056291.3 pep:known chromosome:GRCm38:19:13749108:13750055:1 gene:ENSMUSG00000050865.4 transcript:ENSMUST00000051768.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1494 description:olfactory receptor 1494 [Source:MGI Symbol;Acc:MGI:3031328] MLTGKSVLNQSGTTEFVFRVFTTVPEFQALLFLLFLLLYLMILCGNAAIIWVVCTHSALH TPMYFFLSSLSVLEIFYTTDVVPLMLSNIFGAQKPISLAGCGTQMFFFVTLGSTDCFLLA VMAYDRYVAICHPLHYSLIMTKKLCVQMVMGSWSLALFLSLQLTALIFTLPFCGHDQEIN HFLCDVPPVLRLACADIHVHQAVLYVVGILVLTVPFLLICISYVFIASTILRMRSAEGRQ RAFSTCSSHLTVVLLQYGCCSLVYLRPRSSTSEDEDRQIALVYTFVTPLLNPLIYTLRNK DVKGALKNSIFHKAV >ENSMUSP00000065852.3 pep:known chromosome:GRCm38:X:155053345:155063145:-1 gene:ENSMUSG00000079349.9 transcript:ENSMUST00000069556.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea5 description:melanoma antigen, family A, 5 [Source:MGI Symbol;Acc:MGI:1333838] MADFHNTQYCNLQESAQAQQELDNDQETMETSEEEEDTTTSNKVYGSGIPSPPQSPQRAY SPCVALASIPDSPSEEASIKGSEGLEDPLYLLHNAQNTKVYDLVDFLVLKYQMKAFTTKA EMLESIGREYEEYYPLIFSEASECLKMVFGLDMVEIDPSVHSYILVTALGITYDGMMTDV QGMPKTGILIAVLSVIFMKGNYVSEEIIWEMLNNIGLYGGRNPYIHKDPRKLISEEFVQE GYLEYRQVPNSDPPSYGFLWGPRAFAETSKMKVLQFFASINKTHPRAYPEKYAEALQDEI DRTKAWILNRCSNSSDLLTF >ENSMUSP00000132644.1 pep:known chromosome:GRCm38:X:155053061:155061553:-1 gene:ENSMUSG00000079349.9 transcript:ENSMUST00000169540.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea5 description:melanoma antigen, family A, 5 [Source:MGI Symbol;Acc:MGI:1333838] MADFHNTQYCNLQESAQAQQELDNDQETMETSEEEEDTTTSNKVYGSGIPSPPQSPQRAY SPCVALASIPDSPSEEASIKGSEGLEDPLYLLHNAQNTKVYDLVDFLVLKYQMKAFTTKA EMLESIGREYEEYYPLIFSEASECLKMVFGLDMVEIDPSVHSYILVTALGITYDGMMTDV QGMPKTGILIAVLSVIFMKGNYVSEEIIWEMLNNIGLYGGRNPYIHKDPRKLISEEFVQE GYLEYRQVPNSDPPSYGFLWGPRAFAETSKMKVLQFFASINKTHPRAYPEKYAEALQDEI DRTKAWILNRCSNSSDLLTF >ENSMUSP00000126737.1 pep:known chromosome:GRCm38:17:37313411:37314437:-1 gene:ENSMUSG00000091531.1 transcript:ENSMUST00000169373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr102 description:olfactory receptor 102 [Source:MGI Symbol;Acc:MGI:2177485] MSNQTSVTEFLLLGVTDIQELNPILFVVFFTIYFVNITGNGAILMIVILDPRLHSPMYFF LGNLACLDICFSTVTLPKMLQNLLSTSKAISFLGCITQLHFFHFLGSTEAMLLPVMAFDR FVAICRPLHYSVIMNHQLCIHMTVTIWTLGFFHALLHSVMTSRLSFCGPNHVHHFFCDIK PLLDLACGNTELNLWLLNTVTGTIALTPFFLTFLSYFYIITYLFLKTRSCSMLHKALSTC ASHFMVVILLYVPVLFTYIRPASGSSLDQDRIIAIMYSVVTPALNPLIYTLRNKEVRSAL NRKVRRWL >ENSMUSP00000134539.1 pep:known chromosome:GRCm38:17:37336289:37339678:-1 gene:ENSMUSG00000049618.5 transcript:ENSMUST00000173472.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr103 description:olfactory receptor 103 [Source:MGI Symbol;Acc:MGI:2177486] MLNQTSVTEFILLGVRDIQEPQPFLFAIFFTIYFVNITGNGAILMIVILDPRLHSPMYFF LGNLACLDISYSTVTVPKMLENLLSTNKAISLLGCITQLHFFHFLGTTESLLLAVMAFDR FVAICRPLHYSVIMNWQVCILMAVTIWTIAFLHALLHSVMTSRLSFCGLNHIHHFFCDVK PLLELACGNTELNLWLLNTVTGTIASVPFFLTFLSYFYIITYLFLKTRSCSMLHKALSTC ASHFMVVVLFYAPVLFTYIRPTSGSSLDQDRIIAIMYSVVTPALNPLIYTLRNKEVRSAL NRKVRRCLLLEEI >ENSMUSP00000094934.1 pep:known chromosome:GRCm38:17:37336289:37337230:-1 gene:ENSMUSG00000049618.5 transcript:ENSMUST00000058826.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr103 description:olfactory receptor 103 [Source:MGI Symbol;Acc:MGI:2177486] MLNQTSVTEFILLGVRDIQEPQPFLFAIFFTIYFVNITGNGAILMIVILDPRLHSPMYFF LGNLACLDISYSTVTVPKMLENLLSTNKAISLLGCITQLHFFHFLGTTESLLLAVMAFDR FVAICRPLHYSVIMNWQVCILMAVTIWTIAFLHALLHSVMTSRLSFCGLNHIHHFFCDVK PLLELACGNTELNLWLLNTVTGTIASVPFFLTFLSYFYIITYLFLKTRSCSMLHKALSTC ASHFMVVVLFYAPVLFTYIRPTSGSSLDQDRIIAIMYSVVTPALNPLIYTLRNKEVRSAL NRKVRRCLLLEEI >ENSMUSP00000029575.5 pep:known chromosome:GRCm38:3:116007462:116029017:1 gene:ENSMUSG00000027963.14 transcript:ENSMUST00000029575.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Extl2 description:exostoses (multiple)-like 2 [Source:MGI Symbol;Acc:MGI:1889574] MMRGCHICKLPGRVMGIRVLRFSLVVILVLLLVAGALTNLLPNIKEDKMLTLRREIKSPS KSALDSFTLIMQTYNRTDLLLRLLNHYQAVPSLHKVIVVWNNVGEKGPEELWNSLGPHPI PVIFKPQTANKMRNRLQVFPEVETNAVLMVDDDTLISAQDLVFAFSIWQQFPDQIIGFVP RKHVSTSSGIYSYGGFELQTPGPGNGDQYSMVLIGASFFNSKYLELFQKQPAAVHALIDE TQNCDDIAMNFLVTRHTGKPSGIFVKPINMVNLEKETNGYSGMWHRAEHFLQRSYCINKL VNIYDGMPLKYSNIMISQFGFPYANHKSKM >ENSMUSP00000102110.1 pep:known chromosome:GRCm38:3:116007478:116028995:1 gene:ENSMUSG00000027963.14 transcript:ENSMUST00000106501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Extl2 description:exostoses (multiple)-like 2 [Source:MGI Symbol;Acc:MGI:1889574] MGIRVLRFSLVVILVLLLVAGALTNLLPNIKEDKMLTLRREIKSPSKSALDSFTLIMQTY NRTDLLLRLLNHYQAVPSLHKVIVVWNNVGEKGPEELWNSLGPHPIPVIFKPQTANKMRN RLQVFPEVETNAVLMVDDDTLISAQDLVFAFSIWQQFPDQIIGFVPRKHVSTSSGIYSYG GFELQTPGPGNGDQYSMVLIGASFFNSKYLELFQKQPAAVHALIDETQNCDDIAMNFLVT RHTGKPSGIFVKPINMVNLEKETNGYSGMWHRAEHFLQRSYCINKLVNIYDGMPLKYSNI MISQFGFPYANHKSKM >ENSMUSP00000102111.1 pep:known chromosome:GRCm38:3:116008220:116028960:1 gene:ENSMUSG00000027963.14 transcript:ENSMUST00000106502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Extl2 description:exostoses (multiple)-like 2 [Source:MGI Symbol;Acc:MGI:1889574] MMRGCHICKLPGRVMGIRVLRFSLVVILVLLLVAGALTNLLPNIKEDKMLTLRREIKSPS KSALDSFTLIMQTYNRTDLLLRLLNHYQAVPSLHKVIVVWNNVGEKGPEELWNSLGPHPI PVIFKPQTANKMRNRLQVFPEVETNAVLMVDDDTLISAQDLVFAFSIWQQFPDQIIGFVP RKHVSTSSGIYSYGGFELQTPGPGNGDQYSMVLIGASFFNSKYLELFQKQPAAVHALIDE TQNCDDIAMNFLVTRHTGKPSGIFVKPINMVNLEKETNGYSGMWHRAEHFLQRSYCINKL VNIYDGMPLKYSNIMISQFGFPYANHKSKM >ENSMUSP00000106664.1 pep:known chromosome:GRCm38:5:137501438:137502425:-1 gene:ENSMUSG00000029715.5 transcript:ENSMUST00000111035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pop7 description:processing of precursor 7, ribonuclease P family, (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1921347] MAENREPRCAIEAELDPVEYTLRKRLPHRLPRRPNDIYVNMKTDFKAQLARCQKLLDGGT RGQNACTEIYIHGLGLAINRAINIALQLQAGSFGSLQVAANTSTVELVDELEPETDSREP LTRVRNNSAIHIRVFRVTPK >ENSMUSP00000031728.4 pep:known chromosome:GRCm38:5:137501439:137502518:-1 gene:ENSMUSG00000029715.5 transcript:ENSMUST00000031728.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pop7 description:processing of precursor 7, ribonuclease P family, (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1921347] MAENREPRCAIEAELDPVEYTLRKRLPHRLPRRPNDIYVNMKTDFKAQLARCQKLLDGGT RGQNACTEIYIHGLGLAINRAINIALQLQAGSFGSLQVAANTSTVELVDELEPETDSREP LTRVRNNSAIHIRVFRVTPK >ENSMUSP00000099394.3 pep:known chromosome:GRCm38:11:101330605:101339430:1 gene:ENSMUSG00000019326.14 transcript:ENSMUST00000103105.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aoc3 description:amine oxidase, copper containing 3 [Source:MGI Symbol;Acc:MGI:1306797] MTQKTTLVLLALAVITIFALVCVLLAGRSGDGGGLSQPLHCPSVLPSVQPRTHPSQSQPF ADLSPEELTAVMSFLTKHLGPGLVDAAQARPSDNCVFSVELQLPAKAAALAHLDRGGPPP VREALAIIFFGGQPKPNVSELVVGPLPHPSYMRDVTVERHGGPLPYYRRPVLDREYQDIE EMIFHRELPQASGLLHHCCFYKHQGQNLLTMTTAPRGLQSGDRATWFGLYYNLSGAGFYP HPIGLELLIDHKALDPALWTIQKVFYQGRYYESLTQLEDQFEAGLVNVVLVPNNGTGGSW SLKSSVPPGPAPPLQFHPQGPRFSVQGSQVSSSLWAFSFGLGAFSGPRIFDIRFQGERVA YEISVQEAIALYGGNSPASMSTCYVDGSFGIGKYSTPLIRGVDCPYLATYVDWHFLLESQ APKTLRDAFCVFEQNQGLPLRRHHSDFYSHYFGGVVGTVLVVRSVSTLLNYDYIWDMVFH PNGAIEVKFHATGYISSAFFFGAGEKFGNRVGAHTLGTVHTHSAHFKVDLDVAGLKNWAW AEDMAFVPTIVPWQPEYQMQRLQVTRKLLETEEEAAFPLGGATPRYLYLASNHSNKWGHR RGYRIQILSFAGKPLPQESPIEKAFTWGRYHLAVTQRKEEEPSSSSIFNQNDPWTPTVNF TDFISNETIAGEDLVAWVTAGFLHIPHAEDIPNTVTAGNSVGFFLRPYNFFDEDPSFHSA DSIYFREGQDATACEVNPLACLSQTATCAPEIPAFSHGGFAYRDN >ENSMUSP00000017316.6 pep:known chromosome:GRCm38:11:101331069:101341938:1 gene:ENSMUSG00000019326.14 transcript:ENSMUST00000017316.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aoc3 description:amine oxidase, copper containing 3 [Source:MGI Symbol;Acc:MGI:1306797] VLPSVQPRTHPSQSQPFADLSPEELTAVMSFLTKHLGPGLVDAAQARPSDNCVFSVELQL PAKAAALAHLDRGGPPPVREALAIIFFGGQPKPNVSELVVGPLPHPSYMRDVTVERHGGP LPYYRRPVLDREYQDIEEMIFHRELPQASGLLHHCCFYKHQGQNLLTMTTAPRGLQSGDR ATWFGLYYNLSGAGFYPHPIGLELLIDHKALDPALWTIQKVFYQGRYYESLTQLEDQFEA GLVNVVLVPNNGTGLKNWAWAEDMAFVPTIVPWQPEYQMQRLQVTRKLLETEEEAAFPLG GATPRYLYLASNHSNKWGHRRGYRIQILSFAGKPLPQESPIEKAFTWGRYHLAVTQRKEE EPSSSSIFNQNDPWTPTVNFTDFISNETIAGEDLVAWVTAGFLHIPHAEDIPNTVTAGNS VGFFLRPYNFFDEDPSFHSADSIYFREGQDATACELDLMEEFSQGRLLSL >ENSMUSP00000029673.5 pep:known chromosome:GRCm38:3:89313899:89322965:-1 gene:ENSMUSG00000028039.11 transcript:ENSMUST00000029673.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efna3 description:ephrin A3 [Source:MGI Symbol;Acc:MGI:106644] MAAAPLLLLLLLVPVPLLPLLAQGPGGALGNRHAVYWNSSNQHLRREGYTVQVNVNDYLD IYCPHYNSSGPGGGAEQYVLYMVNLSGYRTCNASQGSKRWECNRQHASHSPIKFSEKFQR YSAFSLGYEFHAGQEYYYISTPTHNLHWKCLRMKVFVCCASTSHSGEKPVPTLPQFTMGP NVKINVLEDFEGENPQVPKLEKSISGTSPKREHLPLAVGIAFFLMTLLAS >ENSMUSP00000143539.1 pep:known chromosome:GRCm38:3:89315364:89322887:-1 gene:ENSMUSG00000028039.11 transcript:ENSMUST00000200436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efna3 description:ephrin A3 [Source:MGI Symbol;Acc:MGI:106644] MAAAPLLLLLLLVPVPLLPLLAQGPGGALGNRHAVYWNSSNQHLRREGYTVQVNVNDYLD IYCPHYNSSGPGGGAEQYVLYMVNLSGYRTCNASQGSKRWECNRQHASHSPIKFSEKFQR YSAFSLGYEFHAGQEYYYISTPTHNLHWKCLRMKVFVCCASKDFEGENPQVPKLEKSISG TSPKREHLPLAVGIAFFLMTLLAS >ENSMUSP00000057468.2 pep:known chromosome:GRCm38:19:13768307:13769395:1 gene:ENSMUSG00000047207.2 transcript:ENSMUST00000061669.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1495 description:olfactory receptor 1495 [Source:MGI Symbol;Acc:MGI:3031329] MVDRNPFFNKSGPPEFVFRVLTNVPEFQAILFTLFFLLYLMILCGNTTIIWVVCNHSSLH TPMYFFLGSLSFVEICYITDVVPLILSNIFGDQKPISLAGCGTQMFFFSVFGCTDCFLLT VMAYDRYVAICHPLHYNLIMTQKLCVQMVIGSLSLALLLSLELTAFTFTLPFCRHRLEIN HFLCDVAPIMRLACADIHVNQAVLYVVSILVLTVPFLLIFISYVFIASTILRMHSAEGRQ RAFSTCSSHLTVVLLQYGCCSLVYLRPRSSTSEDEDRQIALVYIFGTPLLNPLIYTLRNK DIKDALRNSFFHVPASDTS >ENSMUSP00000082018.6 pep:known chromosome:GRCm38:11:70432637:70440674:1 gene:ENSMUSG00000060216.15 transcript:ENSMUST00000084954.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb2 description:arrestin, beta 2 [Source:MGI Symbol;Acc:MGI:99474] MGEKPGTRVFKKSSPNCKLTVYLGKRDFVDHLDKVDPVVFVTLTCAFRYGREDLDVLGLS FRKDLFIATYQAFPPMPNPPRPPTRLQDRLLKKLGQHAHPFFFTIPQNLPCSVTLQPGPE DTGKACGVDFEIRAFCAKSIEEKSHKRNSVRLIIRKVQFAPETPGPQPSAETTRHFLMSD RRSLHLEASLDKELYYHGEPLNVNVHVTNNSAKTVKKIRVSVRQYADICLFSTAQYKCPV AQLEQDDQVSPSSTFCKVYTITPLLSDNREKRGLALDGQLKHEDTNLASSTIVKEGANKE VLGILVSYRVKVKLVVSRGGDVSVELPFVLMHPKPHDHITLPRPQSAPIHPPLLCPSAPR ETDVPVDTNLIEFDTNYATDDDIVFEDFARLRLKGMKDDDCDDQFC >ENSMUSP00000104208.3 pep:known chromosome:GRCm38:11:70432637:70440674:1 gene:ENSMUSG00000060216.15 transcript:ENSMUST00000108568.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb2 description:arrestin, beta 2 [Source:MGI Symbol;Acc:MGI:99474] MGEKPGTRVFKKSSPNCKLTVYLGKRDFVDHLDKVDPVDGVVLVDPDYLKDRKVFVTLTC AFRYGREDLDVLGLSFRKDLFIATYQAFPPMPNPPRPPTRLQDRLLKKLGQHAHPFFFTI PQNLPCSVTLQPGPEDTGKACGVDFEIRAFCAKSIEEKSHKRNSVRLIIRKVQFAPETPG PQPSAETTRHFLMSDRRSLHLEASLDKELYYHGEPLNVNVHVTNNSAKTVKKIRVSVRQY ADICLFSTAQYKCPVAQLEQDDQVSPSSTFCKVYTITPLLSDNREKRGLALDGQLKHEDT NLASSTIVKEGANKEVLGILVSYRVKVKLVVSRGGDVSVELPFVLMHPKPHDHITLPRPQ SAPIHPPLLCPSAPRETDVPVDTNLIEFDTNYATDDDIVFEDFARLRLKGMKDDDCDDQF C >ENSMUSP00000078065.2 pep:known chromosome:GRCm38:11:70432645:70440828:1 gene:ENSMUSG00000060216.15 transcript:ENSMUST00000079056.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb2 description:arrestin, beta 2 [Source:MGI Symbol;Acc:MGI:99474] MGEKPGTRVFKKSSPNCKLTVYLGKRDFVDHLDKVDPVDGVVLVDPDYLKDRKVFVTLTC AFRYGREDLDVLGLSFRKDLFIATYQAFPPMPNPPRPPTRLQDRLLKKLGQHAHPFFFTI PQNLPCSVTLQPGPEDTGKACGVDFEIRAFCAKSIEEKSHKRNSVRLIIRKVQFAPETPG PQPSAETTRHFLMSDRRSLHLEASLDKELYYHGEPLNVNVHVTNNSAKTVKKIRVSVRQY ADICLFSTAQYKCPVAQLEQDDQVSPSSTFCKVYTITPLLSDNREKRGLALDGQLKHEDT NLASSTIVKEGANKEVLGILVSYRVKVKLVVSRGGDVSVELPFVLMHPKPHDHITLPRPQ SAPIHPPLLCPSAPRETDVPVDTNLIEFDTNYATDDDIVFEDFARLRLKGMKDDDCDDQF C >ENSMUSP00000099624.4 pep:known chromosome:GRCm38:11:70432653:70440794:1 gene:ENSMUSG00000060216.15 transcript:ENSMUST00000102564.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb2 description:arrestin, beta 2 [Source:MGI Symbol;Acc:MGI:99474] MGEKPGTRVFKKSSPNCKLTVYLGKRDFVDHLDKVDPVDGVVLVDPDYLKDRKVFVTLTC AFRYGREDLDVLGLSFRKDLFIATYQAFPPMPNPPRPPTRLQDRLLKKLGQHAHPFFFTI PQNLPCSVTLQPGPEDTGKACGVDFEIRAFCAKSIEEKSHKRNSVRLIIRKVQFAPETPG PQPSAETTRHFLMSDRRSLHLEASLDKELYYHGEPLNVNVHVTNNSAKTVKKIRVSVRQY ADICLFSTAQYKCPVAQLEQDDQVSPSSTFCKVYTITPLLSDNREKRGLALDGQLKHEDT NLASSTIVKEGANKEVLGILVSYRVKVKLVVSRGGDVSVELPFVLMHPKPHDHITLPRPQ SAPRETDVPVDTNLIEFDTNYATDDDIVFEDFARLRLKGMKDDDCDDQFC >ENSMUSP00000136978.1 pep:known chromosome:GRCm38:11:70432677:70437605:1 gene:ENSMUSG00000060216.15 transcript:ENSMUST00000124943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb2 description:arrestin, beta 2 [Source:MGI Symbol;Acc:MGI:99474] MPNPPRPPTRLQDRLLKKLGQHAHPFFFTIPQNLPCSVTLQPGPEDTGKACGVDFEIRAF CAKSIEEKSHKRNSVRLIIRKVQ >ENSMUSP00000136601.1 pep:known chromosome:GRCm38:11:70432682:70436752:1 gene:ENSMUSG00000060216.15 transcript:ENSMUST00000150076.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb2 description:arrestin, beta 2 [Source:MGI Symbol;Acc:MGI:99474] MPNPPRPPTRLQDRLLKKLGQHAHPFF >ENSMUSP00000134349.1 pep:known chromosome:GRCm38:11:70432682:70438747:1 gene:ENSMUSG00000060216.15 transcript:ENSMUST00000128748.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arrb2 description:arrestin, beta 2 [Source:MGI Symbol;Acc:MGI:99474] MGEKPGTSGVFLSVLLGSSRSRALTASSPCTWASATL >ENSMUSP00000099623.1 pep:known chromosome:GRCm38:11:70432698:70440823:1 gene:ENSMUSG00000060216.15 transcript:ENSMUST00000102563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb2 description:arrestin, beta 2 [Source:MGI Symbol;Acc:MGI:99474] MGEKPGTRVFKKSSPNCKLTVYLGKRDFVDHLDKVDPVDGVVLVDPDYLKDRKVFVTLTC AFRYGREDLDVLGLSFRKDLFIATYQAFPPMPNPPRPPTRLQDRLLKKLGQHAHPFFFTI PQNLPCSVTLQPGPEDTGKACGVDFEIRAFCAKSIEEKSHKRNSVRLIIRKVQFAPETPG PQPSAETTRHFLMSDRRSLHLEASLDKELYYHGEPLNVNVHVTNNSAKTVKKIRVSVRQY ADICLFSTAQYKCPVAQLEQDDQVSPSSTFCKVYTITPLLSDNREKRGLALDGQLKHEDT NLASSTIVKEGANKEVLGILVSYRVKVKLVVSRGGDVSVELPFVLMHPKPHDHITLPRPQ SAPRETDVPVDTNLIEFDTNYATDDDIVFEDFARLRLKGMKDDDCDDQFC >ENSMUSP00000105055.2 pep:known chromosome:GRCm38:2:163203125:163324170:1 gene:ENSMUSG00000074607.11 transcript:ENSMUST00000109428.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tox2 description:TOX high mobility group box family member 2 [Source:MGI Symbol;Acc:MGI:3611233] MDVRLYPSAPAVGARPGAEPAGLAHLDYYHCGKFDGDSAYVGMSDGNPELLSTSQTYNSQ GESNEDYEIPPITPPNLPEPSLLHLGDHEAGYHSLCHGLAPNGLLPAYSYQAMDLPAIMV SNMLAQDGHLLSGQLPTIQEMVHSEVAAYDSGRPGPLLGRPAMLASHMSALSQSQLISQM GIRSGIAHGSPSPPGSKSATPSPSSSTQEEESDAHFKISGEKRPSVDPGKKAKNPKKKKK KDPNEPQKPVSAYALFFRDTQAAIKGQNPSATFGDVSKIVASMWDSLGEEQKQAYKRKTE AAKKEYLKALAAYRASLVSKSPPDQGEAKNTQANPPAKMLPPKQPMYAMPGLASFLTPSD LQAFRSGASPASLARTLGSKALLPGLSTSPPPPSFPLSPSLHQQLPLPPHAQGTLLSPPL SMSPAPQPPVLPASMALQVQLAMSPSPPGPQDFPHISDFSSGSGSRSPGPSNPSSSGDWD GSYPSGERGLGTCSLLPRDKSLYLT >ENSMUSP00000122344.1 pep:known chromosome:GRCm38:2:163204553:163276098:1 gene:ENSMUSG00000074607.11 transcript:ENSMUST00000128999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tox2 description:TOX high mobility group box family member 2 [Source:MGI Symbol;Acc:MGI:3611233] MLSGCCSSRASGRSLGLRGWASLQVHPQALRERLRPGALCSSPWSGRRGPRRPGRPGAEF DGDSAYVGMSDGNPELLSTSQTYNSQGESNEDYEIPPITPPNLPEPSLLHLGDHEAGYHS LCHG >ENSMUSP00000096710.3 pep:known chromosome:GRCm38:2:163225363:163323302:1 gene:ENSMUSG00000074607.11 transcript:ENSMUST00000099110.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tox2 description:TOX high mobility group box family member 2 [Source:MGI Symbol;Acc:MGI:3611233] MGGGGAGPPANRGPRVVGRLIKLLFLAFFSLSVLIMQQHLTEAVAGAFSRCLGFRGMRLR LLTRHWCIAGASLQKFDGDSAYVGMSDGNPELLSTSQTYNSQGESNEDYEIPPITPPNLP EPSLLHLGDHEAGYHSLCHGLAPNGLLPAYSYQAMDLPAIMVSNMLAQDGHLLSGQLPTI QEMVHSEVAAYDSGRPGPLLGRPAMLASHMSALSQSQLISQMGIRSGIAHGSPSPPGSKS ATPSPSSSTQEEESDAHFKISGEKRPSVDPGKKAKNPKKKKKKDPNEPQKPVSAYALFFR DTQAAIKGQNPSATFGDVSKIVASMWDSLGEEQKQAYKRKTEAAKKEYLKALAAYRASLV SKSPPDQGEAKNTQANPPAKMLPPKQPMYAMPGLASFLTPSDLQAFRSGASPASLARTLG SKALLPGLSTSPPPPSFPLSPSLHQQLPLPPHAQGTLLSPPLSMSPAPQPPVLPASMALQ VQLAMSPSPPGPQDFPHISDFSSGSGSRSPGPSNPSSSGDWDGSYPSGERGLGTCSLLPR DKSLYLT >ENSMUSP00000118219.1 pep:known chromosome:GRCm38:2:163320378:163323102:1 gene:ENSMUSG00000074607.11 transcript:ENSMUST00000148599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tox2 description:TOX high mobility group box family member 2 [Source:MGI Symbol;Acc:MGI:3611233] XAMPGLASFLTPSDLQAFRSGASPASLARTLGSKALLPGLSTSPPPPSFPLSPSLHQQLP LPPHAQGTLLSPPLSMSPAPQPPVLPASMALQVQLAMSPSPPGPQDFPHISDFSSGSGSR SPGPSNPSSSGDWDGSYPSGERGLGTCRLCRGSPPPTTSPKNLQEPSAR >ENSMUSP00000126243.1 pep:known chromosome:GRCm38:2:163225454:163323102:1 gene:ENSMUSG00000074607.11 transcript:ENSMUST00000165937.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tox2 description:TOX high mobility group box family member 2 [Source:MGI Symbol;Acc:MGI:3611233] MQQHLTEAVAGAFSRCLGFRGMRLRLLTRHWCIAGASLQKFDGDSAYVGMSDGNPELLST SQTYNSQGESNEDYEIPPITPPNLPEPSLLHLGDHEAGYHSLCHGLAPNGLLPAYSYQAM DLPAIMVSNMLAQDGHLLSGQLPTIQEMVHSEVAAYDSGRPGPLLGRPAMLASHMSALSQ SQLISQMGIRSGIAHGSPSPPGSKSATPSPSSSTQEEESDAHFKISGEKRPSVDPGKKAK NPKKKKKKDPNEPQKPVSAYALFFRDTQAAIKGQNPSATFGDVSKIVASMWDSLGEEQKQ AYKRKTEAAKKEYLKALAAYRASLVSKSPPDQGEAKNTQANPPAKMLPPKQPMYAMPGLA SFLTPSDLQAFRSGASPASLARTLGSKALLPGLSTSPPPPSFPLSPSLHQQLPLPPHAQG TLLSPPLSMSPAPQPPVLPASMALQVQLAMSPSPPGPQDFPHISDFSSGSGSRSPGPSNP SSSGDWDGSYPSGERGLGTCRLCRGSPPPTTSPKNLQEPSAR >ENSMUSP00000109664.2 pep:known chromosome:GRCm38:X:78125467:78130403:1 gene:ENSMUSG00000079521.2 transcript:ENSMUST00000114030.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5938 description:predicted gene 5938 [Source:MGI Symbol;Acc:MGI:3648846] MAKFLLLALAFGLAHAAMEGPWKTVAIAADRVDKIERGGKLRIYCRSLTCEKECKEMKVT FYVLENGQCSLTTITGYLQEDGKTYKTQYQGDNHYELVKETPENLVFYSENVDRADRKTK LIFVLGNKPLTSEENERLVKYAVSSHIPPENIRHVLGTDTCPE >ENSMUSP00000135274.1 pep:known chromosome:GRCm38:5:108993412:109006471:-1 gene:ENSMUSG00000067010.3 transcript:ENSMUST00000176594.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vmn2r10 description:vomeronasal 2, receptor 10 [Source:MGI Symbol;Acc:MGI:1316730] MKNLCVFTLSFFLLEFSLILCHLTEPICFWRINNNEDNDGDLRSDCGFFLAAVEGPTDDS YNISDLRFSLDHLILS >ENSMUSP00000078162.3 pep:known chromosome:GRCm38:5:108995539:109006436:-1 gene:ENSMUSG00000067010.3 transcript:ENSMUST00000079163.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r10 description:vomeronasal 2, receptor 10 [Source:MGI Symbol;Acc:MGI:1316730] MKNLCVFTLSFFLLEFSLILCHLTEPICFWRINNNEDNDGDLRSDCGFFLAAVEGPTDDS YNISDLRIPARRYEFFLVMFFATDEINKNPYLLPNMSLIFPINHGQCEKTLGDLDKIYSE KNYTVEFTDYICVSFGTCFIALIGPSWKTSIKLSINSGTPRVFFGPFNPKLSDHDQFPYV HQVATKDTRLSHAMVSLMFHFTWIWIGMVISDDDQSIQFLSDMREEMQRHGICLAFVNMI PEDMQLYMTRATIYDKQIMESTAKVVMIYGEMNSTLEVSFRRWEDLSIRRIWITTSQWDV ITNKNDFSLDFFQGTVTFAHHVGEIANFRNFLQTMNSEKYTVNISESRLGWNYFNCSISK NSNKKDHFTFNNTLEWTTLHKYDMVLSEEGYNLYNAVYAVAHTYHELVLQQVESQQMTVP KGTFTDCQQVSSMLKSRIFTNPVGELVNMKHRENQCTEYDIFIIWNFPQGLGLKVKIGSY LPCFQQSQQLHISEDLEWATGGSSVPPSLCSVTCTAGFRKIHQKQTADCCFDCDQCPENA VSNETVDMEQCMRCPDDKYANLEKTHCLQRAVSFLAYEDPLGMALGCTALSFSALTVLVL VTFVKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIGHPNQATCILQQTTFGVFFTVA ISTVLAKTITVLMAFKLTTPGRRMRGMLASGAPNIVIPICTLIQLVLCGIWLVTSPPFID RDMQSEHGKTIIICNKGSVIAFHFVLGYLGALALGSFTVAFLARNLPDRFNEAKFLTFSM LVFCSVWITFLPVYHSTQGTVMVVVEVFSILASSAGLLGCIFLPKCCVLLRIQNSNFLHK YKHELHS >ENSMUSP00000036604.6 pep:known chromosome:GRCm38:X:93764607:93832201:-1 gene:ENSMUSG00000035232.8 transcript:ENSMUST00000045748.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdk3 description:pyruvate dehydrogenase kinase, isoenzyme 3 [Source:MGI Symbol;Acc:MGI:2384308] MRLFYRLLKQPVPKQIERYSRFSPSPLSIKQFLDFGRDNACEKTSYMFLRKELPVRLANT MREVNLLPDNLLNRPSVGLVQSWYMQSFLELLEYENKSPEDPRVLDNFLNVLINIRNRHN DVVPTMAQGVIEYKEKFGFDPFISSNIQYFLDRFYTNRISFRMLINQHTLLFGGDTNPAH PKHIGSIDPTCNVADVVKDAYETAKMLCEQYYLVAPELEVEEFNAKAPNKPIQVVYVPSH LFHMLFELFKNSMRATVELHEDKKEGYPAVKTLVTLGKEDLSIKISDLGGGVPLRKIDRL FNYMYSTAPRPSLEPTRAAPLAGFGYGLPISRLYARYFQGDLKLYSMEGVGTDAVIYLKA LSSESFERLPVFNKSAWRHYKTTPEADDWSNPSSEPRDASKYKAKQDKIKSNRTF >ENSMUSP00000031726.8 pep:known chromosome:GRCm38:5:137528127:137533510:-1 gene:ENSMUSG00000029713.15 transcript:ENSMUST00000031726.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2 description:guanine nucleotide binding protein (G protein), beta 2 [Source:MGI Symbol;Acc:MGI:95784] MSELEQLRQEAEQLRNQIRDARKACGDSTLTQITAGLDPVGRIQMRTRRTLRGHLAKIYA MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNI CSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITSSGDTTCALWDIETGQQTVGF AGHSGDVMSLSLAPDGRTFVSGACDASIKLWDVRDSMCRQTFIGHESDINAVAFFPNGYA FTTGSDDATCRLFDLRADQELLMYSHDNIICGITSVAFSRSGRLLLAGYDDFNCNIWDAM KGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000126823.1 pep:known chromosome:GRCm38:5:137528131:137533231:-1 gene:ENSMUSG00000029713.15 transcript:ENSMUST00000143495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2 description:guanine nucleotide binding protein (G protein), beta 2 [Source:MGI Symbol;Acc:MGI:95784] MTCAYAPSGNFVACGGLDNICSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITS SGDTTCALWDIETGQQTVGFAGHSGDVMSLSLAPDGRTFVSGACDASIKLWDVRDSMCRQ TFIGHESDINAVAFFPNGYAFTTGSDDATCRLFDLRADQELLMYSHDNIICGITSVAFSR SGRLLLAGYDDFNCNIWDAMKGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000106652.1 pep:known chromosome:GRCm38:5:137528148:137533301:-1 gene:ENSMUSG00000029713.15 transcript:ENSMUST00000111023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2 description:guanine nucleotide binding protein (G protein), beta 2 [Source:MGI Symbol;Acc:MGI:95784] MRTRRTLRGHLAKIYAMHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCA YAPSGNFVACGGLDNICSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITSSGDT TCALWDIETGQQTVGFAGHSGDVMSLSLAPDGRTFVSGACDASIKLWDVRDSMCRQTFIG HESDINAVAFFPNGYAFTTGSDDATCRLFDLRADQELLMYSHDNIICGITSVAFSRSGRL LLAGYDDFNCNIWDAMKGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000106656.1 pep:known chromosome:GRCm38:5:137528157:137531758:-1 gene:ENSMUSG00000029713.15 transcript:ENSMUST00000111027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2 description:guanine nucleotide binding protein (G protein), beta 2 [Source:MGI Symbol;Acc:MGI:95784] MGCSRPGMGIIPGLGPAARSCLPQPPSRGPQPPPTPPHGPGAMSELEQLRQEAEQLRNQI RDARKACGDSTLTQITAGLDPVGRIQMRTRRTLRGHLAKIYAMHWGTDSRLLVSASQDGK LIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNICSIYSLKTREGNVRVSRE LPGHTGYLSCCRFLDDNQIITSSGDTTCALWDIETGQQTVGFAGHSGDVMSLSLAPDGRT FVSGACDASIKLWDVRDSMCRQTFIGHESDINAVAFFPNGYAFTTGSDDATCRLFDLRAD QELLMYSHDNIICGITSVAFSRSGRLLLAGYDDFNCNIWDAMKGDRAGVLAGHDNRVSCL GVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000106649.1 pep:known chromosome:GRCm38:5:137528158:137533297:-1 gene:ENSMUSG00000029713.15 transcript:ENSMUST00000111020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2 description:guanine nucleotide binding protein (G protein), beta 2 [Source:MGI Symbol;Acc:MGI:95784] MRTRRTLRGHLAKIYAMHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCA YAPSGNFVACGGLDNICSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITSSGDT TCALWDIETGQQTVGFAGHSGDVMSLSLAPDGRTFVSGACDASIKLWDVRDSMCRQTFIG HESDINAVAFFPNGYAFTTGSDDATCRLFDLRADQELLMYSHDNIICGITSVAFSRSGRL LLAGYDDFNCNIWDAMKGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000129353.1 pep:known chromosome:GRCm38:5:137528503:137531297:-1 gene:ENSMUSG00000029713.15 transcript:ENSMUST00000150063.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2 description:guanine nucleotide binding protein (G protein), beta 2 [Source:MGI Symbol;Acc:MGI:95784] MSELEQLRQEAEQLRNQIRDARKACGDSTLTQITAGLDPVGRIQMRTRRTLRGHLAKIYA MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNI CSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITSSGDTTCALWDIETGQQTVGF AGHSGDVMSLSLAPDGRTFVSGACDASIKLWDVRDSMCRQTFIGHESDINAVAFFPNGYA FTTGSDDATCRLFDLRADQELLMYSHDNIICGITSVAFSRSGRLLLAGYDDFNCNIWDAM KGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000132908.1 pep:known chromosome:GRCm38:5:137528503:137533184:-1 gene:ENSMUSG00000029713.15 transcript:ENSMUST00000168746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2 description:guanine nucleotide binding protein (G protein), beta 2 [Source:MGI Symbol;Acc:MGI:95784] MTCAYAPSGNFVACGGLDNICSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITS SGDTTCALWDIETGQQTVGFAGHSGDVMSLSLAPDGRTFVSGACDASIKLWDVRDSMCRQ TFIGHESDINAVAFFPNGYAFTTGSDDATCRLFDLRADQELLMYSHDNIICGITSVAFSR SGRLLLAGYDDFNCNIWDAMKGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000128038.1 pep:known chromosome:GRCm38:5:137528503:137533204:-1 gene:ENSMUSG00000029713.15 transcript:ENSMUST00000170293.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2 description:guanine nucleotide binding protein (G protein), beta 2 [Source:MGI Symbol;Acc:MGI:95784] MRTRRTLRGHLAKIYAMHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCA YAPSGNFVACGGLDNICSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITSSGDT TCALWDIETGQQTVGFAGHSGDVMSLSLAPDGRTFVSGACDASIKLWDVRDSMCRQTFIG HESDINAVAFFPNGYAFTTGSDDATCRLFDLRADQELLMYSHDNIICGITSVAFSRSGRL LLAGYDDFNCNIWDAMKGDRAGVLAGHDNRVSCLGVTDDGMAVATGSWDSFLKIWN >ENSMUSP00000119725.1 pep:known chromosome:GRCm38:5:137529020:137531038:-1 gene:ENSMUSG00000029713.15 transcript:ENSMUST00000132525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2 description:guanine nucleotide binding protein (G protein), beta 2 [Source:MGI Symbol;Acc:MGI:95784] MSELEQLRQEAEQLRNQIRDARKACGDSTLTQITAGLDPVGRIQMRTRRTLRGHLAKIYA MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNI CSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITSSGDTTCALWDIETGQQTVGF AGHSGDVMSLSLAPDGRTFVSGACDASIKLWDVRDSMCRQTFIGHES >ENSMUSP00000121942.1 pep:known chromosome:GRCm38:5:137529138:137531952:-1 gene:ENSMUSG00000029713.15 transcript:ENSMUST00000140139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2 description:guanine nucleotide binding protein (G protein), beta 2 [Source:MGI Symbol;Acc:MGI:95784] MSELEQLRQEAEQLRNQIRDARKACGDSTLTQITAGLDPVGRIQMRTRRTLRGHLAKIYA MHWGTDSRLLVSASQDGKLIIWDSYTTNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNI CSIYSLKTREGNVRVSRELPGHTGYLSCCRFLDDNQIITSSGDTTCALWDIETGQQTVGF AGHSGDVM >ENSMUSP00000144358.1 pep:known chromosome:GRCm38:5:95182624:95194966:1 gene:ENSMUSG00000095996.4 transcript:ENSMUST00000201663.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16513 description:predicted gene, Gm16513 [Source:MGI Symbol;Acc:MGI:2141041] MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYIPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000098585.4 pep:known chromosome:GRCm38:5:95190874:95195342:1 gene:ENSMUSG00000095996.4 transcript:ENSMUST00000101023.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16513 description:predicted gene, Gm16513 [Source:MGI Symbol;Acc:MGI:2141041] MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYIPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000045807.3 pep:known chromosome:GRCm38:10:70557682:70599291:-1 gene:ENSMUSG00000037747.9 transcript:ENSMUST00000046513.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhipl description:phytanoyl-CoA hydroxylase interacting protein-like [Source:MGI Symbol;Acc:MGI:1918161] MEVPRLDHALSSPSSPCEEIKNLSLEAIQLCDRDGNKSQDSGIAEMEELPVPHNIKINNI TCDSFKISWDMDSKSKDRITHYFIDLNKKENKNSNKFKHKDVPTKLVAKAVPLPMTVRGH WFLSPRTEYTVAVQTASKQVDGDYVVSEWSEIIEFCTADYSKVHLTQLLEKADVIAGRML KFSVFYRNQHKEYFDYVREHYGNAMQPSIKDNSGSHGSPISGKLEGIFFSCSTEFNTGKP PQDSPYGRYRFEIAAEKLFNPNTNLYFGDFYCMYTAYHYVILVIAPVGSPGDEFCKQRLP QLNSKDNNFLTCTEEDGVLVYHHAQDVILEVIYTDPVALSLGTVAEITGHQLMSLSTANA KKDPSCKTCNISVGR >ENSMUSP00000125179.1 pep:known chromosome:GRCm38:10:70558877:70592820:-1 gene:ENSMUSG00000037747.9 transcript:ENSMUST00000162251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhipl description:phytanoyl-CoA hydroxylase interacting protein-like [Source:MGI Symbol;Acc:MGI:1918161] MEELPVPHNIKINNITCDSFKISWDMDSKSKDRITHYFIDLNKKENKNSNKFKHKDVPTK LVAKAVPLPMTVRGHWFLSPRTEYTVAVQTASKQVDGDYVVSEWSEIIEFCTADYSKVHL TQLLEKADVIAGRMLKFSVFYRNQHKEYFDYVREHYGNAMQPSIKDNSGSHGSPISGKLE GIFFSCSTEFNTGKPPQDSPYGRYRFEIAAEKLFNPNTNLYFGDFYCMYTAYHYVILVIA PVGSPGDEFCKQRLPQLNSKDNNFLTCTEEDGVLVYHHAQDVILEVIYTDPVALSLGTVA EITGHQLMSLSTANAKKDPSCKTCNISVGR >ENSMUSP00000124828.1 pep:known chromosome:GRCm38:10:70559703:70655958:-1 gene:ENSMUSG00000037747.9 transcript:ENSMUST00000162144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhipl description:phytanoyl-CoA hydroxylase interacting protein-like [Source:MGI Symbol;Acc:MGI:1918161] XCCYFEEKAVTKPSREGFMNVPRNKSQDSGIAEMEELPVPHNIKINNITCDSFKISWDMD SKSKDRITHYFIDLNKKENKNSNKFKHKDVPTKLVAKAVPLPMTVRGHWFLSPRTEYTVA VQTASKQVDGDYVVSEWSEIIEFCTADYSKVHLTQLLEKADVIAGRMLKFSVFYRNQHKE YFDYVREHYGNAMQPSIKDNSGSHGSPISGKL >ENSMUSP00000124655.1 pep:known chromosome:GRCm38:10:70570909:70655965:-1 gene:ENSMUSG00000037747.9 transcript:ENSMUST00000162793.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhipl description:phytanoyl-CoA hydroxylase interacting protein-like [Source:MGI Symbol;Acc:MGI:1918161] MAQAGNKSQDSGIAEMEELPVPHNIKINNITCDSFKISWDM >ENSMUSP00000064897.4 pep:known chromosome:GRCm38:6:90308349:90325185:-1 gene:ENSMUSG00000056643.5 transcript:ENSMUST00000070890.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst13 description:carbohydrate (chondroitin 4) sulfotransferase 13 [Source:MGI Symbol;Acc:MGI:1919047] MGRRSCCRRPLPVVASLGAALLLLCALRPAFENKALGSSWFGGVRKSPLQLLRDLDQGPR SAMAEVHQQRRELLRRACSRHTRRQRLLQPEDLRHVLVDDAHRLLYCYVPKVACTNWKRV MLALRGRGDPSAIPAHEAHAPGLLPSLADFAPAEVNWRLRDYLTFLFVREPFERLASAYR NKLARPHSAAFQRRYGTRIVRRLRPHAQPDALARGHDVRFAEFLAYLLDPRTRRHEPFNE HWERAHALCHPCLVRYDVVGKFETIADDAAFVLDLVGEPGLRFPAPPLRPEKDLTREQAR RLFQDISPFYQRRLFNLYKMDFLLFNYSAPSYLRLQ >ENSMUSP00000054924.4 pep:known chromosome:GRCm38:19:13780548:13781614:1 gene:ENSMUSG00000048356.4 transcript:ENSMUST00000057390.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1496 description:olfactory receptor 1496 [Source:MGI Symbol;Acc:MGI:3031330] MDQENQTSISEFILLGLSNQAEKQKLIFVIFLSMYLVTVIGNSLIILAIGLDIHLHTPMY LFLANLSFADISSSSTSVPKMLMNIQTNSQSISYEGCITQMYFSIVFVVIDNFLLGVMAY DRYVAICHPLNYTNIMHPRFCLLLSFCPWALSNIVALTHTLLANQLIFCNHNTIQHFFCD LAPLIKLSCSDAMINELVKFVVGLSVITFPFALILFSYVCIIRDVLRISSTEGKWKAFST CGSHLTIVFLFYGTIVGVYFFPSSTHPEDTDKIGAVLFTVVTPMLNPFIYSLRNKDMKGA LRKLINKSHLLPLMS >ENSMUSP00000107240.1 pep:known chromosome:GRCm38:2:84998642:85037462:-1 gene:ENSMUSG00000027071.14 transcript:ENSMUST00000111613.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx3 description:purinergic receptor P2X, ligand-gated ion channel, 3 [Source:MGI Symbol;Acc:MGI:1097160] MNCISDFFTYETTKSVVVKSWTIGIINRAVQLLIISYFVGWVFLHEKAYQVRDTAIESSV VTKVKGFGRYANRVMDVSDYVTPPQGTSVFVIITKMIVTENQMQGFCPENEEKYRCVSDS QCGPERFPGILTGRCVNYSSVRRTCEIQGWCPTEVDTVEMPIMMEAENFTIFIKNSIRFP LFNFEKGNLLPNLTDKDIKKCRFHPEKAPFCPILRVGDVVKFAGQDFAKLARTGGVLGIK IGWVCDLDKAWDQCIPKYSFTRLDGVSEKSSVSPGYNFRFAKYYKMENGSEYRTLLKAFG IRFDVLVYGNAGKFNIIPTIISSVAAFTSVGVGTVLCDIILLNFLKGADHYKARKFEEVT ETTLKGTASTNPVFTSDQATVEKQSTDSGAYSIGH >ENSMUSP00000028465.7 pep:known chromosome:GRCm38:2:84998583:85035487:-1 gene:ENSMUSG00000027071.14 transcript:ENSMUST00000028465.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx3 description:purinergic receptor P2X, ligand-gated ion channel, 3 [Source:MGI Symbol;Acc:MGI:1097160] MNCISDFFTYETTKSVVVKSWTIGIINRAVQLLIISYFVGWVFLHEKAYQVRDTAIESSV VTKVKGFGRYANRVMDVSDYVTPPQGTSVFVIITKMIVTENQMQGFCPENEEKYRCVSDS QCGPERFPGGGILTGRCVNYSSVRRTCEIQGWCPTEVDTVEMPIMMEAENFTIFIKNSIR FPLFNFEKGNLLPNLTDKDIKKCRFHPEKAPFCPILRVGDVVKFAGQDFAKLARTGGVLG IKIGWVCDLDKAWDQCIPKYSFTRLDGVSEKSSVSPGYNFRFAKYYKMENGSEYRTLLKA FGIRFDVLVYGNAGKFNIIPTIISSVAAFTSVGVGTVLCDIILLNFLKGADHYKARKFEE VTETTLKGTASTNPVFTSDQATVEKQSTDSGAYSIGH >ENSMUSP00000107243.2 pep:known chromosome:GRCm38:2:84998843:85035322:-1 gene:ENSMUSG00000027071.14 transcript:ENSMUST00000111616.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx3 description:purinergic receptor P2X, ligand-gated ion channel, 3 [Source:MGI Symbol;Acc:MGI:1097160] MNCISDFFTYETTKSVVVKSWTIGIINRAVQLLIISYFVGWVFLHEKAYQVRDTAIESSV VTKVKGFGRYANRVMDVSDYVTPPQNEEKYRCVSDSQCGPERFPGGGILTGRCVNYSSVR RTCEIQGWCPTEVDTVEMPIMMEAENFTIFIKNSIRFPLFNFEKGNLLPNLTDKDIKKCR FHPEKAPFCPILRVGDVVKFAGQDFAKLARTGGVLGIKIGWVCDLDKAWDQCIPKYSFTR LDGVSEKSSVSPGYNFRFAKYYKMENGSEYRTLLKAFGIRFDVLVYGNAGKFNIIPTIIS SVAAFTSVGVGTVLCDIILLNFLKGADHYKARKFEEVTETTLKGTASTNPVFTSDQATVE KQSTDSGAYSIGH >ENSMUSP00000128698.1 pep:known chromosome:GRCm38:9:71479325:71592265:-1 gene:ENSMUSG00000092137.7 transcript:ENSMUST00000166843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcom1 description:GRINL1A complex locus [Source:MGI Symbol;Acc:MGI:5141967] MLRSTSTVTLFSGGGAKSPGTPSRRANVCRLRLTVPPENPVPQQTEKKIERKDQPPELSN GESTKRLPQGVVYGVVRRSDPNQQKEMVVYGWSTNQLKEEMNYIKDVRATLEKVRKRMYG DYDEMRQKIRQLTQDLSVSHAQQDYLDSHIQAQASALDSFNAMNAALASDSVGLQKTLVD VTLENSHIKDQIRHLQQTYEASMDKLREKQRQLEAAQMENQLLKMRVESSQEANAEVMRE MTRKLYSQYEEKLQEAQRKHSAEKEVLLEETNSFLKAIEEANKKMEAAELSLEEKDQKIG ELDRLIERMEKERHQLQLQLLEHETEMSGEMADSDKNRYQQLEEASASLRERIRHLDDMV HCQQKKVKQMVEEIESLKKKVQQKQLLILQLLEKISFLEGENNELQSRLDYLTETQPKTE VETREIGVGCDLLPRRCRQSSQHRNWPRD >ENSMUSP00000131353.1 pep:known chromosome:GRCm38:9:71479325:71592265:-1 gene:ENSMUSG00000092137.7 transcript:ENSMUST00000164962.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gcom1 description:GRINL1A complex locus [Source:MGI Symbol;Acc:MGI:5141967] MLRSTSTVTLFSGGGAKSPGTPSRRANVCRLRLTVPPENPVPQQTEKKIERKDQPPELSN GESTKRLPQGVVYGVVRRSDPNQQKEMVVYGWSTNQLKEEMNYIKDVRATLEKVRKRMYG DYDEMRQKIRQLTQDLSVSHAQQDYLDSHIQAQASALDSFNAMNAALASDSVGLQKTLVD VTLENSHIKDQIRHLQQTYEASMDKLREKQRQLEAAQMENQLLKMRVESSQEANAEVMRE MTRKLYSQYEEKLQEAQRKHSAEKEVLLEETNSFLKAIEEANKKMEAAELSLEEKDQKIG ELDRLIERMEKERHQLQLQLLEHETEMSGEMADSDKNRYQQLEEASASLRERIRHLDDMV HCQQKKVKQMVEEIESLKKKVQQKQLLILQLLEKISFLEGEVTHTTE >ENSMUSP00000127356.1 pep:known chromosome:GRCm38:9:71479325:71592265:-1 gene:ENSMUSG00000092137.7 transcript:ENSMUST00000165936.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gcom1 description:GRINL1A complex locus [Source:MGI Symbol;Acc:MGI:5141967] MLRSTSTVTLFSGGGAKSPGTPSRRANVCRLRLTVPPENPVPQQTEKKIERKDQPPELSN GESTKRLPQGVVYGVVRRSDPNQQKEMVVYGWSTNQLKEEMNYIKDVRATLEKVRKRMYG DYDEMRQKIRQLTQDLSVSHAQQDYLDSHIQAQASALDSFNAMNAALASDSVGLQKTLVD VTLENSHIKDQIRHLQQTYEASMDKLREKQRQLEAAQMENQLLKMRVESSQEANAEVMRE MTRKLYSQYEEKLQEAQRKHSAEKEVLLEETNSFLKAIEEANKKMEAAELSLEEKDQKIG ELDRLIERMEKERHQLQLQLLEHETEMSGEMADSDKNRYQQLEEASASLRERIRHLDDMV HCQQKKVKQMVEEVTHTTE >ENSMUSP00000131267.1 pep:known chromosome:GRCm38:9:71479325:71592265:-1 gene:ENSMUSG00000092137.7 transcript:ENSMUST00000163998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcom1 description:GRINL1A complex locus [Source:MGI Symbol;Acc:MGI:5141967] MLRSTSTVTLFSGGGAKSPGTPSRRANVCRLRLTVPPENPVPQQTEKKIERKDQPPELSN GESTKRLPQGVVYGVVRRSDPNQQKEMVVYGWSTNQLKEEMNYIKDVRATLEKVRKRMYG DYDEMRQKIRQLTQDLSVSHAQQDYLDSHIQAQASALDSFNAMNAALASDSVGLQKTLVD VTLENSHIKDQIRHLQQTYEASMDKLREKQRQLEAAQMENQLLKMRVESSQEANAEVMRE MTRKLYSQYEEKLQEAQRKHSAEKEVLLEETNSFLKAIEEANKKMEAAELSLEEKDQKIG ELDRLIERMEKERHQLQLQLLEHETEMSGEMADSDKNRYQQLEEASASLRERIRHLDDMV HCQQKKVKQMVEEIESLKKKVQQKQLLILQLLEKISFLEGENNELQSRLDYLTETQPKTE VETREIGVGCDLLPRLPTQQSDSPSHCQRGQSPASSEEQRRRARQHLDDITAARLLPLHH LPAQLLSIEESLALQREQKQNYEEMQAKLAAQKLAERLNIKMQSYNPEGESSGRYREVRD EADAQSSDEC >ENSMUSP00000109347.1 pep:known chromosome:GRCm38:X:100838648:101059667:-1 gene:ENSMUSG00000009670.11 transcript:ENSMUST00000113718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex11 description:testis expressed gene 11 [Source:MGI Symbol;Acc:MGI:1933237] MDRITDFYFLDFRESVKTLIITGNSWRLQEMIDRFFTNISNFNRESLTEIQNIQIEEIAV NLWNWAVTKRVELSVRKNQAAKLCYIACKLVYMHGISVSSEEAIQRQILMNIKTGKEWLY TGNAQIADEFFQAAMTDLERLYVRLMQSCYTEANVCVYKMIVEKGIFHVLSYQAESAVAQ GDFKKASMCVLRCKDMLMRLPNMTKYLHVLCYNLGIEASKRNKYKESSFWLGQSYEIGKM DRRSVEPQMLAKTLRLLATIYLNCGGEAYYTKAFIAILIANKEHLHPAGLFLKMRILMKG NSCNEELLEAAKEILYLAMPLEFYLSIIQFLIDNKRESVGFRFLRIISDNFKSPEDRKRI LLFYIDTLLQKDQDMIAEEKIKDVLKGYQTRSRLSRDLVNWLHNILWGKASRSVKVQKYA DALHWYSYSLKLYEYDKADLDLIKLKRNMVSCYLSLKQLDKAKEAIAEVEQKDPTHVFTR YYIFKIAIMEGDAFRALQVVSALKKSLMDGESEDRGLIEAGVSTLTILSLSIDFALENGQ QFVAERALEYLCQLSKDPKEVLGGLKCLMRIILPQAFHMPESEYKKKEMGRLWNYLNTAL LKFSEYFNEAPSTLDYMVNDANWFRKIAWNLAVQSEKDLEAMKNFFMVSYKLSLFCPLDQ GLLIAQKTCLLVAAAVDLDRGRKAPTICEQNMLLRTALEQIKKCKKVWNLLKKTGDFSGD DCGVLLLLYEFEVKTKTNDPSLSRFVDSVWKMPDLECRTLETMALLAMDKPAYYPTIAHK AMKKLLLMYRKQEPVDVLKYSVCMHNLIKLLVADEVWNISLYPLKEVQSHFKNTLSIIRQ NEGYPEEEIVWLMIKSWNIGILMSSKNKYISAERWAAMALDFLGHLSTLKTSYEAKVNLL YANLMEILDKKTDLRSTEMTEQLRALIVPPEDQGSVSSTNVAAQNHL >ENSMUSP00000109345.2 pep:known chromosome:GRCm38:X:100877882:101059667:-1 gene:ENSMUSG00000009670.11 transcript:ENSMUST00000113716.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex11 description:testis expressed gene 11 [Source:MGI Symbol;Acc:MGI:1933237] MDRITDFYFLDFRESVKTLIITGNSWRLQEMIDRFFTNISNFNRESLTEIQNIQIEEIAV NLWNWAVTKRVELSVRKNQAAKLCYIACKLVYMHGISVSSEEAIQRQILMNIKTGKEWLY TGNAQIADEFFQAAMTDLERLYVRLMQSCYTEANVCVYKMIVEKGIFHVLSYQAESAVAQ GDFKKASMCVLRCKDMLMRLPNMTKYLHVLCYNLGIEASKRNKYKESSFWLGQSYEIGKM DRRSVEPQMLAKTLRLLATIYLNCGGEAYYTKAFIAILIANKEHLHPAGLFLKMRILMKG NSCNEELLEAAKEILYLAMPLEFYLSIIQFLIDNKRESVGFRFLRIISDNFKSPEDRKRI LLFYIDTLLQKDQDMIAEEKIKDVLKGYQTRSRLSRDLVNWLHNILWGKASRSVKVQKYA DALHWYSYSLKLYEYDKADLDLIKLKRNMVSCYLSLKQLDKAKEAIAEVEQKDPTHVFTR YYIFKIAIMEGDAFRALQVVSALKKSLMDGESEDRGLIEAGVSTLTILSLSIDFALENGQ QFVAERALEYLCQLSKDPKEVLGGLKCLMRIILPQAFHMPESEYKKKEMGRLWNYLNTAL LKFSEYFNEAPSTLDYMVNDANWFRKIAWNLAVQSEKDLEAMKNFFMVSYKLSLFCPLDQ GLLIAQKTCLLVAAAVDLDRGRKAPTICEQVSTKCKRGHQTFWNYRW >ENSMUSP00000009814.3 pep:known chromosome:GRCm38:X:100838648:101059639:-1 gene:ENSMUSG00000009670.11 transcript:ENSMUST00000009814.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex11 description:testis expressed gene 11 [Source:MGI Symbol;Acc:MGI:1933237] MDRITDFYFLDFRESVKTLIITGNSWRLQEMIDRFFTNISNFNRESLTEIQNIQIEEIAV NLWNWAVTKRVELSVRKNQAAKLCYIACKLVYMHGISVSSEEAIQRQILMNIKTGKEWLY TGNAQIADEFFQAAMTDLERLYVRLMQSCYTEANVCVYKMIVEKGIFHVLSYQAESAVAQ GDFKKASMCVLRCKDMLMRLPNMTKYLHVLCYNLGIEASKRNKYKESSFWLGQSYEIGKM DRRSVEPQMLAKTLRLLATIYLNCGGEAYYTKAFIAILIANKEHLHPAGLFLKMRILMKG NSCNEELLEAAKEILYLAMPLEFYLSIIQFLIDNKRESVGFRFLRIISDNFKSPEDRKRI LLFYIDTLLQKDQDMIAEEKIKDVLKGYQTRSRLSRDLVNWLHNILWGKASRSVKVQKYA DALHWYSYSLKLYEYDKADLDLIKLKRNMVSCYLSLKQLDKAKEAIAEVEQKDPTHVFTR YYIFKIAIMEGDAFRALQVVSALKKSLMDGESEDRGLIEAGVSTLTILSLSIDFALENGQ QFVAERALEYLCQLSKDPKEVLGGLKCLMRIILPQAFHMPESEYKKKEMGRLWNYLNTAL LKFSEYFNEAPSTLDYMVNDANWFRKIAWNLAVQSEKDLEAMKNFFMVSYKLSLFCPLDQ GLLIAQKTCLLVAAAVDLDRGRKAPTICEQNMLLRTALEQIKKCKKVWNLLKKTGDFSGD DCGVLLLLYEFEVKTKTNDPSLSRFVDSVWKMPDLECRTLETMALLAMDKPAYYPTIAHK AMKKLLLMYRKQEPVDVLKYSVCMHNLIKLLVADEVWNISLYPLKEVQSHFKNTLSIIRQ NEGYPEEEIVWLMIKSWNIGILMSSKNKYISAERWAAMALDFLGHLSTLKTSYEAKVNLL YANLMEILDKKTDLRSTEMTEQLRALIVPPEDQGSVSSTNVAAQNHL >ENSMUSP00000071194.7 pep:known chromosome:GRCm38:7:44896079:44900624:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000071207.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] MGDEGPGSPVHLLCLAASSGVPLFCRSSSGGAPSRQQLPFSVIGSLNGVHMFGQNLDVQL NSARTEDTTVVWKNFHDSITLIVLSSEEGTSELRLERMLHMVFGAMVLIVGLEELTNIRN VERLKKELRASYCLIDSFLGNSELIGDLTQCVDCVIPPEGSAMQETLSGFAEATGTAFVS LLVSGRVVAATEGWWRLGMPEAVLLPWLVGSLPPQAARDYPVYLPHGSPTVPHRLLTLTL LRGLELCLLCGPRPPLGQLDPQLMERWWQPLLEPLRACLPLGPRALPEGFPLHSDILGLL LLHLELRRCLFTVEPSKDKEPSPEQRRRLLRNFYTLVATTHFPPEPGPAEKQEDTVYPAQ MPRACYLVLGPGMGWQLVAVQLGLRLLLLLLSPHTPTHGLRSLATRTLQALTPLL >ENSMUSP00000146696.1 pep:known chromosome:GRCm38:7:44896085:44899463:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000208600.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] MGDEGPGSPVHLLCLAASSGVPLFCRSSSGGAPSRQQLPFSVIGSLNGVHMFGQNLDVQL NSARTEDTTVVWKNFHDSITLIVLSSEEGTSELRLERMLHMVFGAMVLIVGLEELTNIRN VERLKKELRASYCLIDSFLGNSELIGDLTQCVDCVIPPEGSAMQGLQRPLAQPLSACLYQ AEWWQRQRAGGA >ENSMUSP00000146434.1 pep:known chromosome:GRCm38:7:44896093:44897826:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000209132.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] MGDEGPGSPVHLLCLAASSGVPLFCRSSSGGAPSRQQLPFSVIGSLNGVHMFGQNLDVQL NSARTEDTTVVWKNFHDSITLIVLSSEEGTSELRLERMLHMVFGAMVLIVGLEELTNIRN VERLKKELRASYCLIDSFLGNSELIGDLTQCVDCVIPPEGSAMQVLGQL >ENSMUSP00000146404.1 pep:known chromosome:GRCm38:7:44896096:44897546:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000207069.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] MGDEGPGSPVHLLCLAASSGVPLFCRSSSGGAPSRQQVLIVGLEELTNIRNVERLKKELR ASYCLIDSF >ENSMUSP00000146681.1 pep:known chromosome:GRCm38:7:44896106:44900604:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000209039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] MGDEGPGSPVHLLCLAASSGVPLFCRSSSGGAPSRQQLPFSVIGSLNGVHMFGQNLDVQL NSARTEDTTVVWKNFHDSITLIVLSSEEGTSELRLERMLHMVFGAMVLIVGLEELTNIRN VERLKKELRASYCLIDSFLGNSELIGDLTQCVDCVIPPEGSAMQVPHRLLTLTLLRGLEL CLLCGPRPPLGQLDPQLMERWWQPLLEPLRACLPLGPRALPEGFPLHSDILGLLLLHLEL RRCLFTVEPSKDKEPSPEQRRRLLRNFYTLVATTHFPPEPGPAEKQEDTVYPAQMPRACY LVLGPGMGWQLVAVQLGLRLLLLLLSPHTPTHGLRSLATRTLQALTPLL >ENSMUSP00000147105.1 pep:known chromosome:GRCm38:7:44896146:44898639:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000207154.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] MGDEGPGSPVHLLCLAASSGVPLFCRSSSGGAPSRQQLPFSVIGSLNGVHMFGQNLDVQL NSARTEDTTVVWKNFHDRSLGGSKGTRSGQWFLA >ENSMUSP00000146820.1 pep:known chromosome:GRCm38:7:44896252:44898777:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000207939.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] MRGREARCICCASQPLAGFPCFVGVAAAAPPPVNSITLIVLSSEEGTSELRLERMLHMVF GAMVLIVGLEELTNIRNVERLKKELRASYCLIDSFLGNSELIGDLTQCVDCVIPPEGSAM QETLSGFAEATGTAFVSLLVSGRVVAATEGWWRLGMPEAVLLPWLVGSLPPQAARDYPVY LPHGSPTV >ENSMUSP00000147169.1 pep:known chromosome:GRCm38:7:44896252:44900347:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000207485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] MGDEGPGSPVHLLCLAASSGVPLFCRSSSGGAPSRQQLPFSVIGSLNGVHMFGQNLDVQL NSARTEDTTVVLIVGLEELTNIRNVERLKKELRASYCLIDSFLGNSELIGDLTQCVDCVI PPEGSAMQETLSGFAEATGTAFVSLLVSGRVVAATEGWWRLGMPEAVLLPWLVGSLPPQA ARDYPVYLPHGSPTVPHRLLTLTLLRGLELCLLCGPRPPLGQLDPQLMERWWQPLLEPLR ACLPLGPRALPEGFPLHSDILGLLLLHLELRRCLFTVEPSKDKEPSPEQRRRLLRNFYTL VATTHFPPEPGPAEKQEDTVYPAQMPRACYLVLGPGMGWQLVAVQLGLRLLLLLLSPHTP THGLRSLATRTLQALTPLL >ENSMUSP00000146768.1 pep:known chromosome:GRCm38:7:44896252:44900414:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000208179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] MGDEGPGSPVHLLCLAASSGVPLFCRSSSGGAPSRQQLPFSVIGSLNGVHMFGQNLDVQL NSARTEDTTVVWKNFHDSITLIVLSSEEGTSELRLERMLHMVFGAMVLIVGLEELTNIRN VERLKKELRASYCLIDSFLGNSELIGDLTQCVDCVIPPEGSAMQETLSGFAEATGTAFVS LLVSGRVVAATEGWWRLGMPEAVLLPWLVGSLPPQAARDYPVYLPHGSPTVPHRLLTLTL LRGLELCLLCGPRPPLGQLDPQLMERWWQPLLEPLRACLPLGPRALPEGFPLHSDILGLL LLHLELRRCLFTVEPSKDKEPSPEQRRRLLRNFYTLVATTHFPPEPGPAEKQEDTVYPAQ MPRACYLVLGPGMGWGNISVSLFIRSQ >ENSMUSP00000146725.1 pep:known chromosome:GRCm38:7:44896280:44899037:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000208908.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] XHLLCLAASSGVPLFCRSSSGGAPSRQQLPFSVIGSLNGVHMFGQNLDVQLNSARTEDTT VVWKNFHDRFSLWDLRS >ENSMUSP00000146977.1 pep:known chromosome:GRCm38:7:44897297:44898673:1 gene:ENSMUSG00000011658.15 transcript:ENSMUST00000209163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuz description:fuzzy planar cell polarity protein [Source:MGI Symbol;Acc:MGI:1917550] RNVERLKKELRVRLWDASYCLIDSFLGNSELIGDLTQCVDCVIPPEGSAMQETLSGFAEA TGTAFVSLLVSGRVVAATEGWWRLGMPEAVLLPWLVGSLPPQAARDYP >ENSMUSP00000053465.2 pep:known chromosome:GRCm38:19:13794665:13795609:-1 gene:ENSMUSG00000044040.2 transcript:ENSMUST00000061993.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1497 description:olfactory receptor 1497 [Source:MGI Symbol;Acc:MGI:3031331] MAGRNYTFVTEFFLTAFTEHPEWGLPLFLLFLSFYLATLLGNTGMIILIQKNRRLQTPMY FFLSHLSFVDICYSSVIIPQMLAVLWEHGSTISQVRCAVQFFLFTFFASIDCYLLAIMAY DRYVAVCQPLLYVTIMTEKARVGLVTGAYVAGFSSGFIRTVTAFTLSFCGNNEINFIFCD LPPLLKLVCGDSYIQEVVIIVFAIFVMPACIVVISVSYLFIIVAIMQIRSAGGRAKTFST CTSHLTAVALFFGTLIFMYLRDNTDQFSERDRVVSVFYTVVTPLLNPLIYSLRNKEVKEA ITKSLRRSKISRAP >ENSMUSP00000128369.1 pep:known chromosome:GRCm38:14:42157290:42162622:-1 gene:ENSMUSG00000093926.1 transcript:ENSMUST00000171202.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17027 description:predicted gene 17027 [Source:MGI Symbol;Acc:MGI:4937854] XRYSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPTAHHGGKGQETTGRGEGCAGEASI QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCQLLIESNLIQHKVRMLRKENRQLLR EHIALEECNIETKTLCNEGSQKIKDHYTKQQQV >ENSMUSP00000133218.1 pep:known chromosome:GRCm38:5:109046873:109059452:-1 gene:ENSMUSG00000091450.1 transcript:ENSMUST00000164875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r11 description:vomeronasal 2, receptor 11 [Source:MGI Symbol;Acc:MGI:3643806] MKKLRTFTISFWLLKFSLILCHVTEPICFWRIKNNKDNDGDLRSDCNYFLWTFEETTEIN FYNIVDFRIPTRRYEFFLVLFFATDEINKNPDLLPNISLIFWLFGGQCEDEWGVLDTNYS QNNINVKFINYDCLSPNCYIDLTGPSWKTSLKLPNILTIIVLMWMSHPLFYILQVFFGSF NPNLSDHDQFPYVHQVATKDTLLSHAMVSLMLHFRWTWIGMVISDDDQGIQFLSDLREEM QRHGICLAFVNMIPENMQIYMTRAKIYDKQIMESTAKVAIIYGEMNSSLEVSFRRWEDLG VRRIWITTSQWDVITNKNDFSLDFFHGTVTFEHHHSEIAKFKNFMQTINTDKYPVNISES ILGWNYFNCSTSMNSYSKMDHLTFNNTSEWTALHKYDMALSEEGYNLYNAVYAVAHTYHE LILLQVESQQMAVPKGTFTDCQQVSSMLKSRIFTNPVGELVNMKHRENQCADYDIFIIWN FSQGLGLKVKIGSYLHCYPRSQQLHISEDLEWVTGGSSVPSSMCSMTCTAGFRKIHQNET ADCCFDCVQCPENEVSNETADMEQCVRCPDDKYANLEKTHCLQRAVSFLAYEDPLGIALG CMALFLSALTVLVLVTFVKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIGHPNQATC ILQQTTFGVFFTVAISTVLAKTITVLMAFKLTTPGRRMRGMLASGAPNLVIPICTLIQLV FCGIWLVTSPPFIDRDTQSEYGKTIIICNKGSVIAFHFVLGYLGSLALGSFTVAFLARNL PDRFNEAKFLTFSMLVFCSVWITFLPVYHSTRGTVMVVVEVFSILASSAGLLGCIFLPKC CVILVRLDSNFLQKYEHKLPS >ENSMUSP00000127245.1 pep:known chromosome:GRCm38:7:75114894:75309262:-1 gene:ENSMUSG00000053025.13 transcript:ENSMUST00000165175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sv2b description:synaptic vesicle glycoprotein 2 b [Source:MGI Symbol;Acc:MGI:1927338] MDDYRYRDNYEGYAPSDGYYRSNEQNQEEDAQSDVTEGHDEEDEIYEGEYQGIPHPDDVK SKQTKMAPSRADGLGGQADLMAERMEDEEELAHQYETIIDECGHGRFQWTLFFVLGLALM ADGVEIFVVSFALPSAEKDMCLSSSKKGMLGLIVYLGMMAGAFILGGLADKLGRKKVLSM SLAINASFASLSSFVQGYGAFLFCRLISGIGIGGSLPIVFAYFSEFLSREKRGEHLSWLG IFWMTGGIYASAMAWSIIPHYGWGFSMGTNYHFHSWRVFVIVCALPATVSMVALKFMPES PRFLLEMGKHDEAWMILKQVHDTNMRAKGTPEKVFTVSHIKTPKQMDEFIEIQSSTGTWY QRWLVRFMTIFKQVWDNALYCVMGPYRMNTLILAVVWFTMALSYYGLTVWFPDMIRYFQD EEYKSKMKVFFGEHVHGATINFTMENQIHQHGKLVNDKFIKMYFKHVLFEDTFFDKCYFE DVTSTDTYFKNCTIESTTFYNTDLYKHKFINCRFINSTFLEQKEGCHMDFEEDNDFLIYL VSFLGSLSVLPGNIISALLMDRIGRLKMIGGSMLISAVCCFFLFFGNSESAMIGWQCLFC GTSIAAWNALDVITVELYPTNQRATAFGILNGLCKFGAILGNTIFASFVGITKVVPILLA AASLVGGGLIALRLPETREQVLM >ENSMUSP00000082254.5 pep:known chromosome:GRCm38:7:75114897:75308379:-1 gene:ENSMUSG00000053025.13 transcript:ENSMUST00000085164.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sv2b description:synaptic vesicle glycoprotein 2 b [Source:MGI Symbol;Acc:MGI:1927338] MDDYRYRDNYEGYAPSDGYYRSNEQNQEEDAQSDVTEGHDEEDEIYEGEYQGIPHPDDVK SKQTKMAPSRADGLGGQADLMAERMEDEEELAHQYETIIDECGHGRFQWTLFFVLGLALM ADGVEIFVVSFALPSAEKDMCLSSSKKGMLGLIVYLGMMAGAFILGGLADKLGRKKVLSM SLAINASFASLSSFVQGYGAFLFCRLISGIGIGGSLPIVFAYFSEFLSREKRGEHLSWLG IFWMTGGIYASAMAWSIIPHYGWGFSMGTNYHFHSWRVFVIVCALPATVSMVALKFMPES PRFLLEMGKHDEAWMILKQVHDTNMRAKGTPEKVFTVSHIKTPKQMDEFIEIQSSTGTWY QRWLVRFMTIFKQVWDNALYCVMGPYRMNTLILAVVWFTMALSYYGLTVWFPDMIRYFQD EEYKSKMKVFFGEHVHGATINFTMENQIHQHGKLVNDKFIKMYFKHVLFEDTFFDKCYFE DVTSTDTYFKNCTIESTTFYNTDLYKHKFINCRFINSTFLEQKEGCHMDFEEDNDFLIYL VSFLGSLSVLPGNIISALLMDRIGRLKMIGGSMLISAVCCFFLFFGNSESAMIGWQCLFC GTSIAAWNALDVITVELYPTNQRATAFGILNGLCKFGAILGNTIFASFVGITKVVPILLA AASLVGGGLIALRLPETREQVLM >ENSMUSP00000146049.1 pep:known chromosome:GRCm38:7:75116333:75220019:-1 gene:ENSMUSG00000053025.13 transcript:ENSMUST00000206344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sv2b description:synaptic vesicle glycoprotein 2 b [Source:MGI Symbol;Acc:MGI:1927338] MDDYRYRDNYEGYAPSDGYYRSNEQNQEEDAQSDVTEGHDEEDEIYEGEYQGIPHPDDVK SKQTKMAPSRADGLGGQADLMAERMEDEEELAHQYETIIDECGHGRFQWTLFFVLGLALM ADGVEIFVVSFALPSAEKDMCLSSSKKGMLGLIVYLGMMAGAFILGGLADKLGRKKVLSM SLAINASFASLSSFVQGYGAFLFCRLISGIGIGGSLPIVFAYFSEFLSREKRGEHLSWLG IFWMTGGIYASAMAWSIIPHYGWGFSMGTNYHFHSWRVFVIVCALPATVSMVALKFMPES PRFLLEMGKHDEAWMILKQVHDTNMRAKGTPEKVFTVSHIKTPKQMDEFIEIQSSTGTWY QRWLVRFMTIFKQVWDNALYCVMGPYRMNTLILAVVWFTMALSYYGLTVWFPDMIRYFQD EEYKSKMKVFFGEHVHGATINFTMENQIHQHGKLVNDKFIKMYFKHVLFEDTFFDKCYFE DVTSTDTYFKNCTIESTTFYNTDLYKHKFINCRFINSTFLEQKEGCHMDFEEDNDFLIYL VSFLGSLSVLPGNIISALLMDRIGRLKMIGGSMLISAVCCFFLFFGNSESAMIGWQCLFC GTSIAAWNALDVITVELYPTNQRATAFGILNGLCKFGAILGNTIFASFVGITKVVPILLA AASLVGGGLIALRLPETREQVLM >ENSMUSP00000146277.1 pep:known chromosome:GRCm38:7:75119941:75147726:-1 gene:ENSMUSG00000053025.13 transcript:ENSMUST00000207001.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sv2b description:synaptic vesicle glycoprotein 2 b [Source:MGI Symbol;Acc:MGI:1927338] XWANMMKPG >ENSMUSP00000009174.8 pep:known chromosome:GRCm38:2:37350074:37359332:-1 gene:ENSMUSG00000009030.14 transcript:ENSMUST00000009174.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcl description:phosducin-like [Source:MGI Symbol;Acc:MGI:1914716] MTTLDDKLLGEKLQYYYSTSEDEDSDHEDKDRGRGAPAISSTPAEAELAGEGISINTGPK GVINDWRRFKQLETEQREEQCREMERLIKKLSMSCRSHLDEEEEQQKQKDLQEKISGKMT LKEFGTKDKNLDDEEFLQQYRKQRMEEMRQQFHKGPQFKQVFEIPSGEGFLDMIDKEQKS TLIMVHIYEDGVPGTEAMNGCMICLATEYPAVKFCRVRSSVIGASSRFTRNALPALLIYK AGELIGNFVRVTDQLGEDFFAVDLEAFLQEFGLLPEKEVLVLTSVRNSATCHSEDSDLEI D >ENSMUSP00000108562.1 pep:known chromosome:GRCm38:2:37350588:37359253:-1 gene:ENSMUSG00000009030.14 transcript:ENSMUST00000112940.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcl description:phosducin-like [Source:MGI Symbol;Acc:MGI:1914716] MTTLDDKLLGEKLQYYYSTSEDEDSDHEDKDRGRGAPAISSTPAEAELAGEGISINTGPK GVINDWRRFKQLETEQREEQCREMERLIKKLSMSCRSHLDEEEEQQKQKDLQEKISGKMT LKEFGTKDKNLDDEEFLQQYRKQRMEEMRQQFHKGPQFKQVFEIPSGEGFLDMIDKEQKS TLIMVHIYEDGVPGTEAMNGCMICLATEYPAVKFCRVRSSVIGASSRFTRNALPALLIYK AGELIGNFVRVTDQLGEDFFAVDLEAFLQEFGLLPEKEVLVLTSVRNSATCHSEDSDLEI D >ENSMUSP00000145483.1 pep:known chromosome:GRCm38:2:37352119:37359189:-1 gene:ENSMUSG00000009030.14 transcript:ENSMUST00000138314.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdcl description:phosducin-like [Source:MGI Symbol;Acc:MGI:1914716] MTTLDDKLLGEKLQYYYSTSEDEDSDHEDKDRGRGAPAISSTPAEAELAGEGISINTVLG IKSWTL >ENSMUSP00000119372.1 pep:known chromosome:GRCm38:2:37352158:37359196:-1 gene:ENSMUSG00000009030.14 transcript:ENSMUST00000147703.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcl description:phosducin-like [Source:MGI Symbol;Acc:MGI:1914716] MTTLDDKLLGEKLQYYYSTSEDEDSDHEDKDRGRGAPAISSTPAEAELAGEGISINTGPK GVINDWRRFKQLETEQREEQCREMERLIKKLSMSCRSHLDEEEEQQKQKDLQEKISGKMT LKEFGTKDKNLDDEEFLQQYRKQRMEEMRQQFHKGPQFKQVFEIPSGEGFLDMIDKEQKS TLIMVHIYEDGVP >ENSMUSP00000059625.3 pep:known chromosome:GRCm38:4:128260212:128260957:-1 gene:ENSMUSG00000048686.4 transcript:ENSMUST00000053830.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb4 description:high-mobility group box 4 [Source:MGI Symbol;Acc:MGI:1916567] MGEKDQLRPKVNVSSYIHFMLNFRNKFKEQQPNTYLGFKEFSRKCSEKWRSISKHEKAKY EALAELDKARYQQEMMNYIGKRRKRRKRDPKAPRKPPSSFLLFSRDHYAMLKQENPDWTV VQVAKAAGKMWSTTDEAEKKPYEQKAALMRAKYFEEQEAYRNQCQGRKGNFLESAKTSLK Q >ENSMUSP00000144603.1 pep:known chromosome:GRCm38:5:95268493:95272768:-1 gene:ENSMUSG00000096066.4 transcript:ENSMUST00000201031.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10424 description:predicted gene 10424 [Source:MGI Symbol;Acc:MGI:3704250] MTVQTPSTLQNLALQALLRDEALALSCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYAQRKQLKVVADLCLRPRRDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQVLDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTANWNKMNVEQYPAPL ECYNELGHVSVERFAQLCQELMDTLRAIRQPKSLSFATRICHKCGEPCVYGQGGRLCFCW R >ENSMUSP00000136878.1 pep:known chromosome:GRCm38:5:95269019:95271588:-1 gene:ENSMUSG00000096066.4 transcript:ENSMUST00000180232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10424 description:predicted gene 10424 [Source:MGI Symbol;Acc:MGI:3704250] MTVQTPSTLQNLALQALLRDEALALSCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYAQRKQLKVVADLCLRPRRDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQVLDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTAN >ENSMUSP00000136621.2 pep:known chromosome:GRCm38:1:165295789:165321797:1 gene:ENSMUSG00000040836.15 transcript:ENSMUST00000178700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr161 description:G protein-coupled receptor 161 [Source:MGI Symbol;Acc:MGI:2685054] MDFVQHALLTASRGALTMSLNSSLSYRKELSNLTATEGGEGGAVSEFIAIIIITVLVCLG NLVIVVTLYKKSYLLTLSNKFVFSLTLSNFLLSVLVLPFVVTSSIRREWIFGVVWCNFSA LLYLLISSASMLTLGVIAIDRYYAVLYPMVYPMKITGNRAVMALVYIWLHSLIGCLPPLF GWSSVEFDEFKWMCVAAWHQEPGYTIFWQIWCALFPFLIMLVCYGFIFRVARVKARKVHC GTVVTVEEDSQRSGRKNSSTSTSSSGSRRNALQGVVYSANQCKALITILVVIGAFMVTWG PYMVVITSEALWGKNCVSPTLETWATWLSFTSAICHPLIYGLWNKTVRKELLGMCFGDRY YRESFVQRQRTSRLFSISNRITDLGLSPHLTALMAGGQSLGHSSSTGDTGFSYSQDSGTD VMLLEDGTSEDNPPQHCTCPPKRRSSVTFEDEVEQIKEAAKNSLLHVKAEVHKSLDSYAA SLAKAIEAEAKINLFGEEALPGVLFTARTVPGAGFGGRRGSRTLVNQRLQLQSIKEGNVL AAEQR >ENSMUSP00000107077.2 pep:known chromosome:GRCm38:1:165302623:165326745:1 gene:ENSMUSG00000040836.15 transcript:ENSMUST00000111450.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr161 description:G protein-coupled receptor 161 [Source:MGI Symbol;Acc:MGI:2685054] MSLNSSLSYRKELSNLTATEGGEGGAVSEFIAIIIITVLVCLGNLVIVVTLYKKSYLLTL SNKFVFSLTLSNFLLSVLVLPFVVTSSIRREWIFGVVWCNFSALLYLLISSASMLTLGVI AIDRYYAVLYPMVYPMKITGNRAVMALVYIWLHSLIGCLPPLFGWSSVEFDEFKWMCVAA WHQEPGYTIFWQIWCALFPFLIMLVCYGFIFRVARVKARKVHCGTVVTVEEDSQRSGRKN SSTSTSSSGSRRNALQGVVYSANQCKALITILVVIGAFMVTWGPYMVVITSEALWGKNCV SPTLETWATWLSFTSAICHPLIYGLWNKTVRKELLGMCFGDRYYRESFVQRQRTSRLFSI SNRITDLGLSPHLTALMAGGQSLGHSSSTGDTGFSYSQDSGTDVMLLEDGTSEDNPPQHC TCPPKRRSSVTFEDEVEQIKEAAKNSLLHVKAEVHKSLDSYAASLAKAIEAEAKINLFGE EALPGVLFTARTVPGAGFGGRRGSRTLVNQRLQLQSIKEGNVLAAEQR >ENSMUSP00000006611.8 pep:known chromosome:GRCm38:4:148591503:148594619:1 gene:ENSMUSG00000006442.10 transcript:ENSMUST00000006611.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srm description:spermidine synthase [Source:MGI Symbol;Acc:MGI:102690] MEPGPDGPAAPGPAAIREGWFRETCSLWPGQALSLQVEQLLHHRRSRYQDILVFRSKTYG NVLVLDGVIQCTERDEFSYQEMIANLPLCSHPNPRKVLIIGGGDGGVLREVVKHPSVESV VQCEIDEDVIEVSKKFLPGMAVGFSSSKLTLHVGDGFEFMKQNQDAFDVIITDSSDPMGP AESLFKESYYQLMKTALKEDGILCCQGECQWLHLDLIKEMRHFCKSLFPVVDYAYCSIPT YPSGQIGFMLCSKNPSTNFREPVQQLTQAQVEQMQLKYYNSDMHRAAFVLPEFTRKALND IS >ENSMUSP00000034720.5 pep:known chromosome:GRCm38:9:71478437:71485935:-1 gene:ENSMUSG00000032199.12 transcript:ENSMUST00000034720.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2m description:polymerase (RNA) II (DNA directed) polypeptide M [Source:MGI Symbol;Acc:MGI:107282] MFSLPRGFEPPAPEDLGRQSSAELRERLRRQERLLRNEKFICKLPDKGKKISDTVAKLKA AISEREEVRGRSELFHPVSVDCKLRQKATTRADTDVDKAQSSDLMLDTSSLDPDCSSIDI KSSKSTSETQGPTHLTHRGNEETLEAGYTVNSSPAAHIRARAPSSEVKEHLPQHSVSSQE EEISSSIDSLFITKLQKITIADQSEPSEENTSTENFPELQSETPKKPHYMKVLEMRARNP VPPPHKFKTNVLPTQQSDSPSHCQRGQSPASSEEQRRRARQHLDDITAARLLPLHHLPAQ LLSIEESLALQREQKQNYEEMQAKLAAQKLAERLNIKMQSYNPEGESSGRYREVRDEADA QSSDEC >ENSMUSP00000132335.1 pep:known chromosome:GRCm38:9:71478471:71484958:-1 gene:ENSMUSG00000032199.12 transcript:ENSMUST00000163972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2m description:polymerase (RNA) II (DNA directed) polypeptide M [Source:MGI Symbol;Acc:MGI:107282] MLDTSSLDPDCSSIDIKSSKSTSETQGPTHLTHRGNEETLEAGYTVNSSPAAHIRARAPS SEVKEHLPQHSVSSQEEEISSSIDSLFITKLQKITIADQSEPSEENTSTENFPELQSETP KKPHYMKVLEMRARNPVPPPHKFKTNVLPTQQSDSPSHCQRGQSPASSEEQRRRARQHLD DITAARLLPLHHLPAQLLSIEESLALQREQKQNYEEMQAKLAAQKLAERLNIKMQSYNPE GESSGRYREVRDEADAQSSDEC >ENSMUSP00000126403.1 pep:known chromosome:GRCm38:9:71481472:71485910:-1 gene:ENSMUSG00000032199.12 transcript:ENSMUST00000169804.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polr2m description:polymerase (RNA) II (DNA directed) polypeptide M [Source:MGI Symbol;Acc:MGI:107282] MFSLPRGFEPPAPEDLGRQSSAELRERLRRQERLLRNES >ENSMUSP00000093612.3 pep:known chromosome:GRCm38:5:109085849:109097864:-1 gene:ENSMUSG00000090688.1 transcript:ENSMUST00000095922.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r12 description:vomeronasal 2, receptor 12 [Source:MGI Symbol;Acc:MGI:3761377] MKKLCVFILFFMLLKFSLISHHLTEPICFWRIKNNEDNDGDLRSDCGFFLAAVEGPIDQI YNISNFRIPARRYEFFLVLFFATDEINKNPYLLPNMSLISPIASGQCEKTLENLDKIYSE KNHTVQFTDNICVFYETCYISLIGPSWKTSVKLSIHHGTPRVFFGPFNPKLSNHDLFPNV HQVATKDTHLSHGMVSLMLHFRWTWIGLVISDDDQCIQFLSDLREEMQGHGICLAFVNMI PEDMQLYMTRAEIYDKQIMESTAKVVIIYGEMNSTLEVSFRRWEDKGVRRIWIATLQWDV ITNKKDFTLDFFQGTVTFAHHVGDIANFRNFLQTMNNEKYPINISESILQWNSFNCSFSK NSNKKDHFTFNNTLEWTALHIYNMVLSEEGYNLYNAVYAVAHTYHELILQQVESQQTEVP KGTFTDCQQVSSMLKSRIFTNPFGELVNMKHQESQCADYDIFIIWNFPKGLGLKVKIGYY LPCYQRSQQLHIYDLEWATGGTLVPSSMCSVACTAGFRKIHRKQTADCCFDCVQCPENEV SNETADMEQCVRCPDDKYANLEKTHCLQRAVSFLAYEDPLGMALGCMALFLSALMVLVLV TFVKYKDTPIVKANNRILSYILLISLVFCFLCPLLFIGHPNLATCILQQTTFGVFFTVAI STVLAKTITVLMAFKLTTPGRRMRGMLASGAPNLVIPICTLIQLVLCGIWLVTSPPFIDR DTQSEYGKTIIICNKGSVIAFHFVLGYLGALALGSFTVAFLARNLPDRFNEAKFLTFSML VYCSVWITFLPVYHSTRGAVMVVVEVFSILASSAGLLGCIFLPKCCVILLD >ENSMUSP00000121909.1 pep:known chromosome:GRCm38:7:82648614:82674555:1 gene:ENSMUSG00000038563.14 transcript:ENSMUST00000141726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eftud1 description:elongation factor Tu GTP binding domain containing 1 [Source:MGI Symbol;Acc:MGI:2141969] MVLSGVDKMIRLQKNTANIRNICVLAHVDHGKTTLADCLISSNGIISSRLAGKLRYMDSR EDEQVRGITMKSSAISLHYAEGHEEYLINLIDSPGHVDFSSEVSTAVRICDGCIIVVDAV EGVCPQTQAVLRQAWLENIRPVLVINKIDRLIVELKFTPQEAYSHLKNILEQINALTGTL FTSKVLEERAERETESQAKPHSEQGEQVYDWSAGLEDVDDSQLYFSPEQGNVVFT >ENSMUSP00000046046.3 pep:known chromosome:GRCm38:7:82648641:82777852:1 gene:ENSMUSG00000038563.14 transcript:ENSMUST00000039881.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eftud1 description:elongation factor Tu GTP binding domain containing 1 [Source:MGI Symbol;Acc:MGI:2141969] MVLSGVDKMIRLQKNTANIRNICVLAHVDHGKTTLADCLISSNGIISSRLAGKLRYMDSR EDEQVRGITMKSSAISLHYAEGHEEYLINLIDSPGHVDFSSEVSTAVRICDGCIIVVDAV EGVCPQTQAVLRQAWLENIRPVLVINKIDRLIVELKFTPQEAYSHLKNILEQINALTGTL FTSKVLEERAERETESQAKPHSEQGEQVYDWSAGLEDVDDSQLYFSPEQGNVVFTSAIDG WGFGIEHFARIYSQKIGIKKEVLLKTLWGDYYINMKAKKIMKVDQAKGKKPLFVQLILEN IWSLYDAVLKKDKEKIDKIVTSLGLKIGAREARHSDPKVQINAICSQWLPISHAVLAMVC HKLPSPLDMTSERVEKLLCTGSQTFESLPPETQALKAAFMKCGSEDTAPVIIFVSKMFAV DVKALPQNKPRPLTQEEMAQRRERARQRHAEKLAAAQGQTSQGPTQDGGALETSPHEDEP RGDEPDVASVSRQPVSQEESSQEAFIAFARVFSGIARRGKKIFVLGPKYSPVDFLQRVPL GFSAPLEDLPPVPHMACCTLENLYLLMGRELEDLEEVPPGNVLGIGGLQDFVLKSATLCS LPSCPPFIPLNFEATPIVRVAVEPKHPSEMPQLVKGMKLLNQADPCVQVLIQETGEHVLV TAGEVHLQRCLDDLRERFAKIHISVSEPIIPFRETITKPPKVDMVNEEIGRQQKVAVIHQ TKEEQSKIPEGIHVDSDGLITIPTPNKLATLSVRAIPLPEEVTRILEENSDLIRSMELLT SSLNEGRNTQAIHQKTQEKIWEFKGKLEKHLTGRKWRNTVDQIWSFGPRKCGPNILVSRS EDFQNSVWSGPAGRESKEASRFRDFGNSIVSGFQLATLSGPMCEEPLMGVCFVLEKWELN KCAEQGASDKQHQGQCDLAGEGQGGGKTCHVGDENQEQQDVCSEPFEETSQKGDSPVIDC YGPFSGQLIATMKEACRYALQVKPQRLMAAMYTCDIMATSDVLGRVYAVLSKREGRVLQE EMKEGTDMFIIKAVLPVAESFGFADEIRKRTSGLASPQLVFSHWEVIPSDPFWVPTTEEE YLHFGEKADSENQARKYMNAVRKRKGLYVEEKIVEHAEKQRTLSKNK >ENSMUSP00000146350.1 pep:known chromosome:GRCm38:7:82649133:82659026:1 gene:ENSMUSG00000038563.14 transcript:ENSMUST00000207868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eftud1 description:elongation factor Tu GTP binding domain containing 1 [Source:MGI Symbol;Acc:MGI:2141969] MVLSGVDKMIRLQKNTANIRNICVLAHVDHGKTTLADCLISSNGIISSRLAGKLRYMDSR EDEQVRGITMKSSAISLHYAEGKPSVFCLFVLIFRALPLLFLN >ENSMUSP00000137061.1 pep:known chromosome:GRCm38:7:82648614:82777852:1 gene:ENSMUSG00000038563.14 transcript:ENSMUST00000179489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eftud1 description:elongation factor Tu GTP binding domain containing 1 [Source:MGI Symbol;Acc:MGI:2141969] MVLSGVDKMIRLQKNTANIRNICVLAHVDHGKTTLADCLISSNGIISSRLAGKLRYMDSR EDEQVRGITMKSSAISLHYAEGHEEYLINLIDSPGHVDFSSEVSTAVRICDGCIIVVDAV EGVCPQTQAVLRQAWLENIRPVLVINKIDRLIVELKFTPQEAYSHLKNILEQINALTGTL FTSKVLEERAERETESQAKPHSEQGEQVYDWSAGLEDVDDSQLYFSPEQGNVVFTSAIDG WGFGIEHFARIYSQKIGIKKEVLLKTLWGDYYINMKAKKIMKVDQAKGKKPLFVQLILEN IWSLYDAVLKKDKEKIDKIVTSLGLKIGAREARHSDPKVQINAICSQWLPISHAVLAMVC HKLPSPLDMTSERVEKLLCTGSQTFESLPPETQALKAAFMKCGSEDTAPVIIFVSKMFAV DVKALPQNKPRPLTQEEMAQRRERARQRHAEKLAAAQGQTSQGPTQDGGALETSPHEDEP RGDEPDVASVSRQPVSQEESSQEAFIAFARVFSGIARRGKKIFVLGPKYSPVDFLQRVPL GFSAPLEDLPPVPHMACCTLENLYLLMGRELEDLEEVPPGNVLGIGGLQDFVLKSATLCS LPSCPPFIPLNFEATPIVRVAVEPKHPSEMPQLVKGMKLLNQADPCVQVLIQETGEHVLV TAGEVHLQRCLDDLRERFAKIHISVSEPIIPFRETITKPPKVDMVNEEIGRQQKVAVIHQ TKEEQSKIPEGIHVDSDGLITIPTPNKLATLSVRAIPLPEEVTRILEENSDLIRSMELLT SSLNEGRNTQAIHQKTQEKIWEFKGKLEKHLTGRKWRNTVDQIWSFGPRKCGPNILVSRS EDFQNSVWSGPAGRESKEASRFRDFGNSIVSGFQLATLSGPMCEEPLMGVCFVLEKWELN KCAEQGASDKQHQGQCDLAGEGQGGGKTCHVGDENQEQQDVCSEPFEETSQKGDSPVIDC YGPFSGQLIATMKEACRYALQVKPQRLMAAMYTCDIMATSDVLGRVYAVLSKREGRVLQE EMKEGTDMFIIKAVLPVAESFGFADEIRKRTSGLASPQLVFSHWEVIPSDPFWVPTTEEE YLHFGEKADSENQARKYMNAVRKRKGLYVEEKIVEHAEKQRTLSKNK >ENSMUSP00000033591.5 pep:known chromosome:GRCm38:X:107397099:107403376:-1 gene:ENSMUSG00000031239.5 transcript:ENSMUST00000033591.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itm2a description:integral membrane protein 2A [Source:MGI Symbol;Acc:MGI:107706] MVKIAFNTPTAVQKEEARQDVEALVSRTVRAQILTGKELRVVPQEKDGSSGRCMLTLLGL SFILAGLIVGGACIYKYFMPKSTIYHGEMCFFDSEDPVNSIPGGEPYFLPVTEEADIRED DNIAIIDVPVPSFSDSDPAAIIHDFEKGMTAYLDLLLGNCYLMPLNTSIVMTPKNLVELF GKLASGKYLPHTYVVREDLVAVEEIRDVSNLGIFIYQLCNNRKSFRLRRRDLLLGFNKRA IDKCWKIRHFPNEFIVETKICQE >ENSMUSP00000022256.3 pep:known chromosome:GRCm38:14:14112184:14120984:-1 gene:ENSMUSG00000021737.3 transcript:ENSMUST00000022256.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd6 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 6 [Source:MGI Symbol;Acc:MGI:1913663] MPLENLEEEGLPKNPDLRIAQLRFLLSLPEHRGDAAVREELMAAVRENNMAPYYEALCKS LDWQMDVDLLSKMKKANEEELKRLDEELEDAEKNLGESEIRDAMMAKAEYLCQIGDKEGA LTAFRKTYDKTVALGHRLDIVFYLLRIGLFYMDNDLITRNTEKAKSLIEEGGDWDRRNRL KVYQGLYCVAIRDFKQAAELFLDTVSTFTSYELMDYKTFVTYTVYVSMIALERPDLREKV IKGAEILEVLHSLPAVRQYLFSLYECRYSVFFQSLAIVEQEMKKDWLFAPHYRYYVREMR IHAYSQLLESYRSLTLGYMAEAFGVGVDFIDQELSRFIAAGRLHCKIDKVNEIVETNRPD SKNWQYQETIKKGDLLLNRVQKLSRVINM >ENSMUSP00000059224.7 pep:known chromosome:GRCm38:X:38630785:38635087:-1 gene:ENSMUSG00000048970.9 transcript:ENSMUST00000058265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1galt1c1 description:C1GALT1-specific chaperone 1 [Source:MGI Symbol;Acc:MGI:1913493] MLSESSSFLKGVMLGSIFCALITMLGHIRIGNRMHHHEHHHLQAPNKDDISKISEAERME LSKSFRVYCIVLVKPKDVSLWAAVKETWTKHCDKAEFFSSENVKVFESINMDTNDMWLMM RKAYKYAYDQYRDQYNWFFLARPTTFAVIENLKYFLLKKDQSQPFYLGHTVKSGDLEYVS VDGGIVLSIESMKRLNSLLSVPEKCPEQGGMIWKISEDKQLAVCLKYAGVFAENAEDADG KDVFNTKSVGLFIKEAMTNQPNQVVEGCCSDMAVTFNGLTPNQMHVMMYGVYRLRAFGHV FNDALVFLPPNGSEND >ENSMUSP00000094131.3 pep:known chromosome:GRCm38:15:74813452:74817473:-1 gene:ENSMUSG00000068349.12 transcript:ENSMUST00000096400.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gml description:glycosylphosphatidylinositol anchored molecule like [Source:MGI Symbol;Acc:MGI:3644767] MMLPFFLSILMGLPWVDTSINNTSGLVFDNTTGGLDNAIEPRWTPQMRCHSCQEHNTFYC PHIHYCDMDIRRCLTVAIRVNLRLLYVYKHCTKDCTFIYRRHVPPELPRVLKDVKSFYFV LCCGSVVCNEGGPRNMERDLLGETSIEEEVIARAVSLGWVNLLLCLALILSSIILT >ENSMUSP00000140245.1 pep:known chromosome:GRCm38:15:74813812:74818813:-1 gene:ENSMUSG00000068349.12 transcript:ENSMUST00000185253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gml description:glycosylphosphatidylinositol anchored molecule like [Source:MGI Symbol;Acc:MGI:3644767] MMLPFFLSILMGLPWVDTSINNTSGLVFDNTTGGLDNAIEPRWTPQMRCHSCQEHNTFYC PHIHYCDMDIRRCLTVAIRVNLRLLYVYKHCTKDCTFIYRRHVPPELP >ENSMUSP00000128174.1 pep:known chromosome:GRCm38:15:74813454:74818815:-1 gene:ENSMUSG00000068349.12 transcript:ENSMUST00000164026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gml description:glycosylphosphatidylinositol anchored molecule like [Source:MGI Symbol;Acc:MGI:3644767] MMLPFFLSILMGLPWVDTSINNTSGLVFDNTTGGLDNAIEPRWTPQMRCHSCQEHNTFYC PHIHYCDMDIRRCLTVAIRVNLRLLYVYKHCTKDCTFIYRRHVPPELPRVLKDVKSFYFV LCCGSVVCNEGGPRNMERDLLGETSIEEEVIARAVSLGWVNLLLCLALILSSIILT >ENSMUSP00000037467.7 pep:known chromosome:GRCm38:7:79743163:79785950:1 gene:ENSMUSG00000039099.7 transcript:ENSMUST00000035622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr93 description:WD repeat domain 93 [Source:MGI Symbol;Acc:MGI:3646885] MSSFKGNQAQKRRLSVFPKGPLEIPSPTEADWPKDDEKDFVFKDLDQELDSLPQPYRMIN KLVDHLFNRSWEIIEERDSLREVEKNWIVPAIYHPVAEIQLDKMPGGMAVSHDYLFIGGL KGFSIYNLHNCKRIYVLEKFKADVISIWATDLGNDVLIVPIDEMGIVRLFYLCKDSLYHI KAINEVDDSSKQSTCLKMEISQNGDFAAFLFQGAGDVWLEVYKLPKEIWLKEMEHPQSTL NQKKKAKQLQLSTPDSAVTESIETSSGPSVSSNSVQDLNISFKSDLKLSLPVFVMKIKPP KPIAGTTFKSPLEVFAKVEDYVGLGSGQNHFIKDVQWEQHMETFYASYKKHLEGEWEEEP LSMATFHFFYTNSLTTMSMDVKSSSGIACVLGIHWNGRHNLFFYSLNKTQKDKTEYENVW PCAAPIVMSQISSFSSYLALVCEDGVLILWDLAEGFLFGVVALPEGCFCQSIHFLRFFLV HEGQNVYPDYPVKFEVMCVVLCTDASLHLVTASGTQGPTSKVLVGRPVMHMEEAICAVAP VPALPGMVLIFSRSQSVTLMDVAKAEVLCAFSAPTCHPQALPWKPLFAVSPHHPYFLLHG AHPHGQTTSTEDPKKSTDSVFYFNFEDYLLLKDISKKCTISQMAVNFSQMLPVEKRCEQV FQKSIQMTKTQMKGREQWSRLRKYSIMLQKELLKR >ENSMUSP00000052977.8 pep:known chromosome:GRCm38:5:109156068:109192107:-1 gene:ENSMUSG00000091635.1 transcript:ENSMUST00000053253.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r13 description:vomeronasal 2, receptor 13 [Source:MGI Symbol;Acc:MGI:3761379] MKELCVFILCFLLLKFSLILCHLTEPICFWRIKSNEDNDGDLRSDCGFFLAAVEGPIDQI YNISDFRIPARRYEFFLVLFFATDEINKNPYLLPNMSLISPITSGQCEKTLGDLDKIYSE KNITVEFTDNICVFYGACYISFIGPSWKTSVKLSIHTGTPRVFFGPFNPKLSDHDQFPYV HQVATKDTHLSHGMVSLMLHFRWTWIGLVISDDDQGIQFLSDMREEMQRDGICLAFVNMI PGNMQLYMTRAKIYDKQIMESTAKVVIIYGEMNSTLEVGFRRWIHLGVRKIWITTSQWDV VTNKKDFSFNFFHGTVTFAHHIGELVKFRNFLQTMNNEKYPVNISETRLGWNSFNCSISK NSNKKDHFTFNNTLEWTARNNFDMVLSEEGYNLYNAVYAVAHTYHELILQQVESQQMAVP KGIFTDCQQVASLLKTRVFTNPVGELVNMKHRENQCADYDIFIIWNFPKGLGLKVKIGSY LPCFHQSQQLHISEDLEWATGGTSVPSSMCSVTCTAGFRKIHQKQTADCCFDCVQCPENE VSNETADMEQCVRCPDDKYANVEKTHCLQRVVSFLAYEDPLGMALGCMALSFSALTVLIL VTFVKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIGHPNQATCILQQITFGVFFTVA ISTVLAKTITVVLAFKLTTPGRRMRGMLASGAPNLAIPICTLIQLVLSGIWLVKSPPFID RDTQSEHGKTIIICNKGSVIAFHFVLGYLGSLALGSFTVAFLARNLPDRFNEAKFLTFSM LVFCSVWITFLPVYHSTRGTVMVVVEVFSILASSAGLLGCIFLPKCCVILD >ENSMUSP00000138118.1 pep:known chromosome:GRCm38:12:105603085:105605339:1 gene:ENSMUSG00000041347.5 transcript:ENSMUST00000182899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdkrb1 description:bradykinin receptor, beta 1 [Source:MGI Symbol;Acc:MGI:88144] MASQASLKLQPSNQSQQAPPNITSCEGAPEAWDLLCRVLPGFVITVCFFGLLGNLLVLSF FLLPWRRWWQQRRQRLTIAEIYLANLAASDLVFVLGLPFWAENVGNRFNWPFGSDLCRVV SGVIKANLFISIFLVVAISQDRYRLLVYPMTSWGNRRRRQAQVTCLLIWVAGGLLSTPTF LLRSVKVVPDLNISACILLFPHEAWHFVRMVELNVLGFLLPLAAILYFNFHILASLRGQK EASRTRCGGPKDSKTMGLILTLVASFLVCWAPYHFFAFLDFLVQVRVIQDCFWKELTDLG LQLANFFAFVNSCLNPLIYVFAGRLFKTRVLGTL >ENSMUSP00000138216.1 pep:known chromosome:GRCm38:12:105603119:105604982:1 gene:ENSMUSG00000041347.5 transcript:ENSMUST00000183086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdkrb1 description:bradykinin receptor, beta 1 [Source:MGI Symbol;Acc:MGI:88144] MASQASLKLQPSNQSQQAPPNITSCEGAPEAWDLLCRVLPGFVITVCFFGLLGNLLVLSF FLLPWRRWWQQRRQRLTIAEIYLANLAASDLVFVLGLPFWAENVGNRFNWPFGSDLCRVV SGVIKANLFISIFLVVAISQDRYRLLVYPMTSWGNRRRRQAQVTCLLIWVAGGLLSTPTF LLRSVKVVPDLNISACILLFPHEAWHFVRMVELNVLGFLLPLAAILYFNFHILASLRGQK EASRTRCGGPKDSKTMGLILTLVASFLV >ENSMUSP00000045335.4 pep:known chromosome:GRCm38:12:105604091:105605428:1 gene:ENSMUSG00000041347.5 transcript:ENSMUST00000041229.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdkrb1 description:bradykinin receptor, beta 1 [Source:MGI Symbol;Acc:MGI:88144] MASQASLKLQPSNQSQQAPPNITSCEGAPEAWDLLCRVLPGFVITVCFFGLLGNLLVLSF FLLPWRRWWQQRRQRLTIAEIYLANLAASDLVFVLGLPFWAENVGNRFNWPFGSDLCRVV SGVIKANLFISIFLVVAISQDRYRLLVYPMTSWGNRRRRQAQVTCLLIWVAGGLLSTPTF LLRSVKVVPDLNISACILLFPHEAWHFVRMVELNVLGFLLPLAAILYFNFHILASLRGQK EASRTRCGGPKDSKTMGLILTLVASFLVCWAPYHFFAFLDFLVQVRVIQDCFWKELTDLG LQLANFFAFVNSCLNPLIYVFAGRLFKTRVLGTL >ENSMUSP00000089518.5 pep:known chromosome:GRCm38:18:52646216:52663733:1 gene:ENSMUSG00000024532.13 transcript:ENSMUST00000091904.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700034E13Rik description:RIKEN cDNA 1700034E13 gene [Source:MGI Symbol;Acc:MGI:1925664] MIRRPPTIVCYICGREYGTKSISIHEPQCLKKWHNENNLLPKELRRPEPKKPEVRTITAK GFYDLDALNEAAWTSAQSQLVPCNVCGRTFLPDRLIVHQRSCKPKASK >ENSMUSP00000112845.1 pep:known chromosome:GRCm38:18:52646209:52663733:1 gene:ENSMUSG00000024532.13 transcript:ENSMUST00000118724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700034E13Rik description:RIKEN cDNA 1700034E13 gene [Source:MGI Symbol;Acc:MGI:1925664] MIRRPPTIVCYICGREYGTKSISIHEPQCLKKWHNENNLLPKELRRPEPKKPEVRTITAK GFYDLDALNEAAWTSAQSQLVPCNVCGRTFLPDRLIVHQRSCKPKASK >ENSMUSP00000017961.4 pep:known chromosome:GRCm38:2:163336242:163397949:-1 gene:ENSMUSG00000017817.11 transcript:ENSMUST00000017961.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jph2 description:junctophilin 2 [Source:MGI Symbol;Acc:MGI:1891496] MSGGRFDFDDGGAYCGGWEGGKAHGHGLCTGPKGQGEYSGSWNFGFEVAGVYTWPSGNTF EGYWSQGKRHGLGIETKGRWLYKGEWTHGFKGRYGIRQSTNSGAKYEGTWNNGLQDGYGT ETYADGGTYQGQFTNGMRHGYGVRQSVPYGMAVVVRSPLRTSLSSLRSEHSNGTVAPDSP AADGPMLPSPPVPRGGFALTLLATAEAARPQGLFTRGTLLGRLRRSESRTSLGSQRSRLS FLKSELSSGASDAASTGSLAEGAEGPDDAAAPFDADIDATTTETYMGEWKNDKRSGFGVS ERSSGLRYEGEWLDNLRHGYGRTTLPDGHREEGKYRHNVLVKGTKRRVLPLKSSKVRQKV EHGVEGAQRAAAIARQKAEIAASRTSHAKAKAEAAEQAALAANQESNIARTLAKELAPDF YQPGPEYQKRRLLQEILENSESLLEPPERGLGTGLPERPRESPQLHERETPQPEGGPPSP AGTPPQPKRPRPGASKDGLLSPGSWNGEPGGEGSRPATPSDGAGRRSPARPASEHMAIEA LQPPPAPSQEPEVAMYRGYHSYAVRTGPPEPPPLEDEQEPEPEPEPEVRRSDSAPPSPVS ATVPEEEPPAPRSPVPAKQATLEPKPIVPKAEPKAKARKTEARGLSKAGAKKKGRKEVAQ AKEAEVEVEEVPNTVLICMVILLNIGLAILFVHLLT >ENSMUSP00000105052.2 pep:known chromosome:GRCm38:2:163336242:163397993:-1 gene:ENSMUSG00000017817.11 transcript:ENSMUST00000109425.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jph2 description:junctophilin 2 [Source:MGI Symbol;Acc:MGI:1891496] MSGGRFDFDDGGAYCGGWEGGKAHGHGLCTGPKGQGEYSGSWNFGFEVAGVYTWPSGNTF EGYWSQGKRHGLGIETKGRWLYKGEWTHGFKGRYGIRQSTNSGAKYEGTWNNGLQDGYGT ETYADGGTYQGQFTNGMRHGYGVRQSVPYGMAVVVRSPLRTSLSSLRSEHSNGTVAPDSP AADGPMLPSPPVPRGGFALTLLATAEAARPQGLFTRGTLLGRLRRSESRTSLGSQRSRLS FLKSELSSGASDAASTGSLAEGAEGPDDAAAPFDADIDATTTETYMGEWKNDKRSGFGVS ERSSGLRYEGEWLDNLRHGYGRTTLPDGHREEGKYRHNVLVKGTKRRVLPLKSSKVRQKV EHGVEGAQRAAAIARQKAEIAASRTSHAKAKAEAAEQAALAANQESNIARTLAKELAPDF YQPGPEYQKRRLLQEILENSESLLEPPERGLGTGLPERPRESPQLHERETPQPEGGPPSP AGTPPQPKRPRPGASKDGLLSPGSWNGEPGGEGSRPATPSDGAGRRSPARPASEHMAIEA LQPPPAPSQEPEVAMYRGYHSYAVRTGPPEPPPLEDEQEPEPEPEPEVRRSDSAPPSPVS ATVPEEEPPAPRSPVPAKQATLEPKPIVPKAEPKAKARKTEARGLSKAGAKKKGRKEVAQ AKEAEVEVEEVPNTVLICMVILLNIGLAILFVHLLT >ENSMUSP00000032585.6 pep:known chromosome:GRCm38:7:38261996:38271423:-1 gene:ENSMUSG00000030423.7 transcript:ENSMUST00000032585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pop4 description:processing of precursor 4, ribonuclease P/MRP family, (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913411] MKAAIYHAFSHKEAKDHDVQELGSQRAEAFVRAFLKQSIPHMSQEDCESHLQRKAVILEY FTRLKPRPRPKKKSKGLSAKQRRDMRLFDIKPEQQRYSLFLPLHELWKQYIRDLCNGLKP DTQPQMIQAKLLKADLHGAIISVTKSKCPSYVGVTGILLQETKHVFKIITREDHLKVIPK LNCVFTIEIDDFISYIYGSKFQLRASERSAKKFKAKGSIDL >ENSMUSP00000145810.1 pep:known chromosome:GRCm38:7:38264328:38271327:-1 gene:ENSMUSG00000030423.7 transcript:ENSMUST00000206221.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pop4 description:processing of precursor 4, ribonuclease P/MRP family, (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913411] MKAAIYHAFSHKEAKDHDVQEMAACAHLKPVLLLLLYPGQCSAHFLSGCYKFCLDVSWCF VIWATASPVAPKENIALKSRF >ENSMUSP00000146204.1 pep:known chromosome:GRCm38:7:38264398:38271369:-1 gene:ENSMUSG00000030423.7 transcript:ENSMUST00000205899.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pop4 description:processing of precursor 4, ribonuclease P/MRP family, (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913411] MKAAIYHAFSHKEAKDHDVQGTRVSSEGLGLLDFPSSPTLQEMAACAHLKPVLLLLLYPG AGKPAG >ENSMUSP00000146119.1 pep:known chromosome:GRCm38:7:38266091:38271373:-1 gene:ENSMUSG00000030423.7 transcript:ENSMUST00000205753.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pop4 description:processing of precursor 4, ribonuclease P/MRP family, (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913411] MKAAIYHAFSHKEAKDHDVQEMAACAHLKPVLLLLLYPGQCSAHFLSGCYKFCLDVSWCF VIWATASPVAPKENIALKSRF >ENSMUSP00000131355.1 pep:known chromosome:GRCm38:8:111154421:111259216:-1 gene:ENSMUSG00000003316.14 transcript:ENSMUST00000169020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glg1 description:golgi apparatus protein 1 [Source:MGI Symbol;Acc:MGI:104967] MAVCGRVRGMFRLSAALPLLLLAAAGAQNGHGQGQGPGTNFGPFPGQGGGGSPAGQQPPQ QPQLSQQQQQPPPQQQQQQQQQSLFAAGGLPARRGGAGPGGTGGGWKLAEEESCREDVTR VCPKHTWSNNLAVLECLQDVREPENEISSDCNHLLWNYKLNLTTDPKFESVAREVCKSTI SEIKECAEEPVGKGYMVSCLVDHRGNITEYQCHQYITKMTAIIFSDYRLICGFMDDCKND INLLKCGSIRLGEKDAHSQGEVVSCLEKGLVKEAEEKEPKIQVSELCKKAILRVAELSSD DFHLDRHLYFACRDDRERFCENTQAGEGRVYKCLFNHKFEESMSEKCREALTTRQKLIAQ DYKVSYSLAKSCKSDLKKYRCNVENLPRSREARLSYLLMCLESAVHRGRQVSSECQGEML DYRRMLMEDFSLSPEIILSCRGEIEHHCSGLHRKGRTLHCLMKVVRGEKGNLGMNCQQAL QTLIQETDPGADYRIDRALNEACESVIQTACKHIRSGDPMILSCLMEHLYTEKMVEDCEH RLLELQYFISRDWKLDPVLYRKCQGDASRLCHTHGWNETSELMPPGAVFSCLYRHAYRTE EQGRRLSRECRAEVQRILHQRAMDVKLDPALQDKCLIDLGKWCSEKTETGQELECLQDHL DDLAVECRDIVGNLTELESEDIQIEALLMRACEPIIQNFCHDVADNQIDSGDLMECLIQN KHQKDMNEKCAIGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKVDVVICLSTTV RNDTLQEAKEHRVSLKCRKQLRVEELEMTEDIRLEPDLYEACKSDIKNYCSTVQYGNAQI IECLKENKKQLSTRCHQKVFKLQETEMMDPELDYTLMRVCKQMIKRFCPEADSKTMLQCL KQNKNSELMDPKCKQMITKRQITQNTDYRLNPVLRKACKADIPKFCHGILTKAKDDSELE GQVISCLKLRYADQRLSSDCEDQIRIIIQESALDYRLDPQLQLHCSDEIANLCAEEAAAQ EQTGQVEECLKVNLLKIKTELCKKEVLNMLKESKADIFVDPVLHTACALDIKHHCAAITP GRGRQMSCLMEALEDKRVRLQPECKKRLNDRIEMWSYAAKVAPADGFSDLAMQVMTSPSK NYILSVISGSICILFLIGLMCGRITKRVTRELKDR >ENSMUSP00000130327.1 pep:known chromosome:GRCm38:8:111157525:111177776:-1 gene:ENSMUSG00000003316.14 transcript:ENSMUST00000168741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glg1 description:golgi apparatus protein 1 [Source:MGI Symbol;Acc:MGI:104967] RECRAEVQRILHQRAMDVKLDPALQDKCLIDLGKWCSEKTETGQELECLQDHLDDLAVEC RDIVGNLTELESEDIQIEALLMRACEPIIQNFCHDVADNQIDSGDLMECLIQNKHQKDMN EKCAIGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKVDVVICLSTTVRNDTLQE AKEHRVSLKCRKQLRVEELEMTEDIRLEPDLYEACKSDIKNYCSTVQYGNAQIIECLKEN KKQLSTRCHQKVFKLQETEMMDPELDYTLMRVCKQMIKRFCPEADSKTMLQCLKQNKNSE LMDPKCKQMITKRQITQNTDYRLNPVLRKACKADIPKFCHGILTKAKDDSELEGQVISCL KLRYADQRLSSDCEDQIRIIIQESALDYRLDPQLQLHCSDEIANLCAEEAAAQEQTGQVE ECLKVNLLKIKTELCKKSITTTDWIPLFYKALSTMNFPFQEVLNMLKESKADIFVDPVLH TACALDIKHHCAAITPGRGRQMSCLMEALEDKRVRLQPECKKRLNDRIEMWSYAAKVAPA DGFSDLAMQVMTSPSKNYILSVISGSICILFLIGLMCGRITKRVTRELKDR >ENSMUSP00000131659.1 pep:known chromosome:GRCm38:8:111157565:111259200:-1 gene:ENSMUSG00000003316.14 transcript:ENSMUST00000164283.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glg1 description:golgi apparatus protein 1 [Source:MGI Symbol;Acc:MGI:104967] MAVCGRVRGMFRLSAALPLLLLAAAGAQNGHGQGQGPGTNFGPFPGQGGGGSPAGQQPPQ QPQLSQQQQQPPPQQQQQQQQQSLFAAGGLPARRGGAGPGGTGGGWKLAEEESCREDVTR VCPKHTWSNNLAVLECLQDVREPENEISSDCNHLLWNYKLNLTTDPKFESVAREVCKSTI SEIKECAEEPVGKGYMVSCLVDHRGNITEYQCHQYITKMTAIIFSDYRLICGFMDDCKND INLLKCGSIRLGEKDAHSQDMPTAQKSKEGGSHENVELKSRGSCTSEPWMLSWILPSRTS AS >ENSMUSP00000003404.8 pep:known chromosome:GRCm38:8:111157898:111259216:-1 gene:ENSMUSG00000003316.14 transcript:ENSMUST00000003404.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glg1 description:golgi apparatus protein 1 [Source:MGI Symbol;Acc:MGI:104967] MAVCGRVRGMFRLSAALPLLLLAAAGAQNGHGQGQGPGTNFGPFPGQGGGGSPAGQQPPQ QPQLSQQQQQPPPQQQQQQQQQSLFAAGGLPARRGGAGPGGTGGGWKLAEEESCREDVTR VCPKHTWSNNLAVLECLQDVRELLWNYKLNLTTDPKFESVAREVCKSTISEIKECAEEPV GKGYMVSCLVDHRGNITEYQCHQYITKMTAIIFSDYRLICGFMDDCKNDINLLKCGSIRL GEKDAHSQGEVVSCLEKGLVKEAEEKEPKIQVSELCKKAILRVAELSSDDFHLDRHLYFA CRDDRERFCENTQAGEGRVYKCLFNHKFEESMSEKCREALTTRQKLIAQDYKVSYSLAKS CKSDLKKYRCNVENLPRSREARLSYLLMCLESAVHRGRQVSSECQGEMLDYRRMLMEDFS LSPEIILSCRGEIEHHCSGLHRKGRTLHCLMKVVRGEKGNLGMNCQQALQTLIQETDPGA DYRIDRALNEACESVIQTACKHIRSGDPMILSCLMEHLYTEKMVEDCEHRLLELQYFISR DWKLDPVLYRKCQGDASRLCHTHGWNETSELMPPGAVFSCLYRHAYRTEEQGRRLSRECR AEVQRILHQRAMDVKLDPALQDKCLIDLGKWCSEKTETGQELECLQDHLDDLAVECRDIV GNLTELESEDIQIEALLMRACEPIIQNFCHDVADNQIDSGDLMECLIQNKHQKDMNEKCA IGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKVDVVICLSTTVRNDTLQEAKEH RVSLKCRKQLRVEELEMTEDIRLEPDLYEACKSDIKNYCSTVQYGNAQIIECLKENKKQL STRCHQKVFKLQETEMMDPELDYTLMRVCKQMIKRFCPEADSKTMLQCLKQNKNSELMDP KCKQMITKRQITQNTDYRLNPVLRKACKADIPKFCHGILTKAKDDSELEGQVISCLKLRY ADQRLSSDCEDQIRIIIQESALDYRLDPQLQLHCSDEIANLCAEEAAAQEQTGQVEECLK VNLLKIKTELCKKEVLNMLKESKADIFVDPVLHTACALDIKHHCAAITPGRGRQMSCLME ALEDKRVRLQPECKKRLNDRIEMWSYAAKVAPADGFSDLAMQVMTSPSKNYILSVISGSI CILFLIGLMCGRITKRVTRELKD >ENSMUSP00000120324.1 pep:known chromosome:GRCm38:2:129592839:129616257:1 gene:ENSMUSG00000037902.18 transcript:ENSMUST00000153491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpa description:signal-regulatory protein alpha [Source:MGI Symbol;Acc:MGI:108563] MEPAGPAPGRLGPLLLCLLLSASCFCTGATGKELKVTQPEKSVSVAAGDSTVLNCTLTSL LPVGPIRWYRGVGPSRLLIYSFAGEYVPRIRNVSDTTKRNNMDFSIRISNVTPADAGIYY CVKFQKGSSEPDTEIQSGGGTEVYVLVSPTVKVTQQSP >ENSMUSP00000124048.1 pep:known chromosome:GRCm38:2:129592839:129632220:1 gene:ENSMUSG00000037902.18 transcript:ENSMUST00000161620.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpa description:signal-regulatory protein alpha [Source:MGI Symbol;Acc:MGI:108563] MEPAGPAPGRLGPLLLCLLLSASCFCTGATGKELKVTQPEKSVSVAAGDSTVLNCTLTSL LPVGPIRWYRGVGPSRLLIYSFAGEYVPRIRNVSDTTKRNNMDFSIRISNVTPADAGIYY CVKFQKGSSEPDTEIQSGGGTEVYVLAKPSPPEVSGPADRGIPDQKVNFTCKSHGFSPRN ITLKWFKDGQELHPLETTVNPSGKNVSYNISSTVRVVLNSMDVNSKVICEVAHITLDRSP LRGIANLSNFIRVSPTVKVTQQSPTSMNQVNLTCRAERFYPEDLQLIWLENGNVSRNDTP KNLTKNTDGTYNYTSLFLVNSSAHREDVVFTCQVKHDQQPAITRNHTVLGFAHSSDQGSM QTFPDNNATHNWNVFIGVGVACALLVVLLMAALYLLRIKQKKAKGSTSSTRLHEPEKNAR EITQVQSLIQDTNDINDITYADLNLPKEKKPAPRAPEPNNHTEYASIETGKVPRPEDTLT YADLDMVHLSRAQPAPKPEPSFSEYASVQVQRK >ENSMUSP00000099492.1 pep:known chromosome:GRCm38:2:129592914:129632228:1 gene:ENSMUSG00000037902.18 transcript:ENSMUST00000103203.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpa description:signal-regulatory protein alpha [Source:MGI Symbol;Acc:MGI:108563] MEPAGPAPGRLGPLLLCLLLSASCFCTGATGKELKVTQPEKSVSVAAGDSTVLNCTLTSL LPVGPIRWYRGVGPSRLLIYSFAGEYVPRIRNVSDTTKRNNMDFSIRISNVTPADAGIYY CVKFQKGSSEPDTEIQSGGGTEVYVLAKPSPPEVSGPADRGIPDQKVNFTCKSHGFSPRN ITLKWFKDGQELHPLETTVNPSGKNVSYNISSTVRVVLNSMDVNSKVICEVAHITLDRSP LRGIANLSNFIRVSPTVKVTQQSPTSMNQVNLTCRAERFYPEDLQLIWLENGNVSRNDTP KNLTKNTDGTYNYTSLFLVNSSAHREDVVFTCQVKHDQQPAITRNHTVLGFAHSSDQGSM QTFPDNNATHNWNVFIGVGVACALLVVLLMAALYLLRIKQKKAKGSTSSTRLHEPEKNAR EITQIQDTNDINDITYADLNLPKEKKPAPRAPEPNNHTEYASIETGKVPRPEDTLTYADL DMVHLSRAQPAPKPEPSFSEYASVQVQRK >ENSMUSP00000099491.3 pep:known chromosome:GRCm38:2:129593205:129632228:1 gene:ENSMUSG00000037902.18 transcript:ENSMUST00000103202.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpa description:signal-regulatory protein alpha [Source:MGI Symbol;Acc:MGI:108563] MEPAGPAPGRLGPLLLCLLLSASCFCTGATGKELKVTQPEKSVSVAAGDSTVLNCTLTSL LPVGPIRWYRGVGPSRLLIYSFAGEYVPRIRNVSDTTKRNNMDFSIRISNVTPADAGIYY CVKFQKGSSEPDTEIQSGGGTEVYVLAKPSPPEVSGPADRGIPDQKVNFTCKSHGFSPRN ITLKWFKDGQELHPLETTVNPSGKNVSYNISSTVRVVLNSMDVNSKVICEVAHITLDRSP LRGIANLSNFIRVSPTVKVTQQSPTSMNQVNLTCRAERFYPEDLQLIWLENGNVSRNDTP KNLTKNTDGTYNYTSLFLVNSSAHREDVVFTCQVKHDQQPAITRNHTVLGFAHSSDQGSM QTFPDNNATHNWNVFIGVGVACALLVVLLMAALYLLRIKQKKAKGSTSSTRLHEPEKNAR EITQIQDTNDINDITYADLNLPKEKKPAPRAPEPNNHTEYASIETGKVPRPEDTLTYADL DMVHLSRAQPAPKPEPSFSEYASVQVQRK >ENSMUSP00000049022.7 pep:known chromosome:GRCm38:2:129593555:129632228:1 gene:ENSMUSG00000037902.18 transcript:ENSMUST00000049262.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpa description:signal-regulatory protein alpha [Source:MGI Symbol;Acc:MGI:108563] MEPAGPAPGRLGPLLLCLLLSASCFCTGATGKELKVTQPEKSVSVAAGDSTVLNCTLTSL LPVGPIRWYRGVGPSRLLIYSFAGEYVPRIRNVSDTTKRNNMDFSIRISNVTPADAGIYY CVKFQKGSSEPDTEIQSGGGTEVYVLAKPSPPEVSGPADRGIPDQKVNFTCKSHGFSPRN ITLKWFKDGQELHPLETTVNPSGKNVSYNISSTVRVVLNSMDVNSKVICEVAHITLDRSP LRGIANLSNFIRVSPTVKVTQQSPTSMNQVNLTCRAERFYPEDLQLIWLENGNVSRNDTP KNLTKNTDGTYNYTSLFLVNSSAHREDVVFTCQVKHDQQPAITRNHTVLGFAHSSDQGSM QTFPDNNATHNWNVFIGVGVACALLVVLLMAALYLLRIKQKKAKGSTSSTRLHEPEKNAR EITQVQSLIQDTNDINDITYADLNLPKEKKPAPRAPEPNNHTEYASIETGKVPRPEDTLT YADLDMVHLSRAQPAPKPEPSFSEYASVQVQRK >ENSMUSP00000124888.1 pep:known chromosome:GRCm38:2:129593568:129630297:1 gene:ENSMUSG00000037902.18 transcript:ENSMUST00000163034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpa description:signal-regulatory protein alpha [Source:MGI Symbol;Acc:MGI:108563] MEPAGPAPGRLGPLLLCLLLSASCFCTDNNATHNWNVFIGVGVACALLVVLLMAALYLLR IKQKKAKGSTSSTRLHEPEKNAREITQIQDTNDINDITYADLNLPKEKKPAPRAPEPNNH TEYASIETGKVPRPEDTLTYADLDMVHLSRAQPAPKPEPSFSEYASVQVQRK >ENSMUSP00000125004.1 pep:known chromosome:GRCm38:2:129593613:129630184:1 gene:ENSMUSG00000037902.18 transcript:ENSMUST00000160276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpa description:signal-regulatory protein alpha [Source:MGI Symbol;Acc:MGI:108563] MEPAGPAPGRLGPLLLCLLLSASCFCTGATGKELKVTQPEKSVSVAAGDSTVLNCTLTSL LPVGPIRWYRGVGPSRLLIYSFAGEYVPRIRNVSDTTKRNNMDFSIRISNVTPADAGIYY CVKFQKGSSEPDTEIQSGGGTEVYVLDNNATHNWNVFIGVGVACALLVVLLMAALYLLRI KQKKAKGSTSSTRLHEPEKNAREITQIQDTNDINDITYADLNLPKEKKPAPRAPEPNNHT EYASIETGKVPRPEDTLTYADLDMVHLSRAQPAPKPEPSFSEYASVQVQRK >ENSMUSP00000096713.3 pep:known chromosome:GRCm38:2:129593195:129632220:1 gene:ENSMUSG00000037902.18 transcript:ENSMUST00000099113.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpa description:signal-regulatory protein alpha [Source:MGI Symbol;Acc:MGI:108563] MEPAGPAPGRLGPLLLCLLLSASCFCTGATGKELKVTQPEKSVSVAAGDSTVLNCTLTSL LPVGPIRWYRGVGPSRLLIYSFAGEYVPRIRNVSDTTKRNNMDFSIRISNVTPADAGIYY CVKFQKGSSEPDTEIQSGGGTEVYVLDNNATHNWNVFIGVGVACALLVVLLMAALYLLRI KQKKAKGSTSSTRLHEPEKNAREITQVQSLIQDTNDINDITYADLNLPKEKKPAPRAPEP NNHTEYASIETGKVPRPEDTLTYADLDMVHLSRAQPAPKPEPSFSEYASVQVQRK >ENSMUSP00000137611.1 pep:known chromosome:GRCm38:2:129592839:129632220:1 gene:ENSMUSG00000037902.18 transcript:ENSMUST00000179001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpa description:signal-regulatory protein alpha [Source:MGI Symbol;Acc:MGI:108563] MEPAGPAPGRLGPLLLCLLLSASCFCTGATGKELKVTQPEKSVSVAAGDSTVLNCTLTSL LPVGPIRWYRGVGPSRLLIYSFAGEYVPRIRNVSDTTKRNNMDFSIRISNVTPADAGIYY CVKFQKGSSEPDTEIQSGGGTEVYVLAKPSPPEVSGPADRGIPDQKVNFTCKSHGFSPRN ITLKWFKDGQELHPLETTVNPSGKNVSYNISSTVRVVLNSMDVNSKVICEVAHITLDRSP LRGIANLSNFIRVSPTVKVTQQSPTSMNQVNLTCRAERFYPEDLQLIWLENGNVSRNDTP KNLTKNTDGTYNYTSLFLVNSSAHREDVVFTCQVKHDQQPAITRNHTVLGFAHSSDQGSM QTFPDNNATHNWNVFIGVGVACALLVVLLMAALYLLRIKQKKAKGSTSSTRLHEPEKNAR EITQIQDTNDINDITYADLNLPKEKKPAPRAPEPNNHTEYASIETGKVPRPEDTLTYADL DMVHLSRAQPAPKPEPSFSEYASVQVQRK >ENSMUSP00000122221.1 pep:known chromosome:GRCm38:X:21118372:21121192:1 gene:ENSMUSG00000062814.9 transcript:ENSMUST00000133987.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxa1 description:synovial sarcoma, X member A, breakpoint 1 [Source:MGI Symbol;Acc:MGI:2446782] MKRRSHSVNLGKTKHKPEETCQAFEDISKYFSKEEWKKLSRSEKITYVYMKRNYTTMTNL GLRAHLPDFMESKERVTKSVLSDSDEVSSHESQGLRRPVSHQLREKKKPVIYEEISDLEE EDEDEEE >ENSMUSP00000072395.2 pep:known chromosome:GRCm38:X:21115820:21121192:1 gene:ENSMUSG00000062814.9 transcript:ENSMUST00000072593.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssxa1 description:synovial sarcoma, X member A, breakpoint 1 [Source:MGI Symbol;Acc:MGI:2446782] MKRRSHSVNLGKTKHKPEETCQAFEDISKYFSKEEWKKLSRSEKITYVYMKRNYTTMTNL GLRAHLPDFMESKERVTKSVLSDSDEVSSHESQGLRRPVSHQLREKKKPVIYEEISDLEE EDEDEEE >ENSMUSP00000124611.1 pep:known chromosome:GRCm38:1:181352628:181459909:1 gene:ENSMUSG00000026514.13 transcript:ENSMUST00000161880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnih3 description:cornichon family AMPA receptor auxiliary protein 3 [Source:MGI Symbol;Acc:MGI:1920228] MAFTFAAFCYMLSLVLCAALIFFAIWHIIAFDELRTDFKSPIDQCNPVHARERLRNIERI CFLLRKVRGVPPGGRRKGRRERGQQLVLPEYSIHSLFCIMFLCAQEWLTLGLNVPLLFYH FWRYFHCPADSSELAYDPPVVMNADTLSYCQKEAWCKLAFYLLSFFYYLYCMIYTLVSS >ENSMUSP00000027795.7 pep:known chromosome:GRCm38:1:181352630:181460641:1 gene:ENSMUSG00000026514.13 transcript:ENSMUST00000027795.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnih3 description:cornichon family AMPA receptor auxiliary protein 3 [Source:MGI Symbol;Acc:MGI:1920228] MAFTFAAFCYMLSLVLCAALIFFAIWHIIAFDELRTDFKSPIDQCNPVHARERLRNIERI CFLLRKLVLPEYSIHSLFCIMFLCAQEWLTLGLNVPLLFYHFWRYFHCPADSSELAYDPP VVMNADTLSYCQKEAWCKLAFYLLSFFYYLYCMIYTLVSS >ENSMUSP00000124247.1 pep:known chromosome:GRCm38:1:181353198:181459287:1 gene:ENSMUSG00000026514.13 transcript:ENSMUST00000162685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnih3 description:cornichon family AMPA receptor auxiliary protein 3 [Source:MGI Symbol;Acc:MGI:1920228] MAFTFAAFCYMLSLVLCAALIFFAIWHIIAFDELRTDFKSPIDQCNPVHARERLRNIERI CFLLRKLVLPEYSIHSLFCIMFLCAQEWLTLGLNVPLLFYHFWSMIYTLVSS >ENSMUSP00000120015.1 pep:known chromosome:GRCm38:14:45219993:45281230:1 gene:ENSMUSG00000049092.10 transcript:ENSMUST00000146150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr137c description:G protein-coupled receptor 137C [Source:MGI Symbol;Acc:MGI:1917963] MRVSVSGPAAAAVPTAGREPSTSGGGAVAASGAAVPGSVQLALSVLHALLYAALFAFAYL QLWRLLLYRERRLSYQSLCLFLCLAWAALRTTLFSAAFSLSGSLPLLRPPSRLHFFPHWL LYCFPSCLQFSTLCLLNLYLAEVICKVRCATELDKHKILLHLGFILASLLFLAVNLTCAM LVHGDVPENQLKWTVFIRALINDSLFILCAISLVSYICKITKMSSANVYLESKGMSLCQT VIVGSVVILLYSSRACYNLVVITISQDTLESPFNYGWDNLSDKAQGEDGNGGDYIVFGMV LFLWEHVPAWSVVLFFRAQRLNQNLAPAGMISSHSYSSRAFFFDNPRRYDSDDDLPRLGN SREGSLSNSQTLGWYGTMTGCGGGTYTVTPPLNGPVTDTAPLLFTCSNLDIRNHHSLYVT PQN >ENSMUSP00000009693.8 pep:known chromosome:GRCm38:2:118475850:118479711:-1 gene:ENSMUSG00000009549.14 transcript:ENSMUST00000009693.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp14 description:signal recognition particle 14 [Source:MGI Symbol;Acc:MGI:107169] MVLLESEQFLTELTRLFQKCRSSGSVFITLKKYDGRTKPIPRKSSVEGLEPAENKCLLRA TDGKRKISTVVSSKEVNKFQMAYSNLLRANMDGLKKRDKKNKSKKSKPAQ >ENSMUSP00000106486.1 pep:known chromosome:GRCm38:2:118478565:118479635:-1 gene:ENSMUSG00000009549.14 transcript:ENSMUST00000110862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp14 description:signal recognition particle 14 [Source:MGI Symbol;Acc:MGI:107169] MVLLESEQFLTELTRLFQKCRSSGSVFITLKKYDGRTKPIPRKSSVEGLEPAENKCLLRA TDGKRKISTVVSWRPFLRLCFLLSWPGRLRKSQFSLK >ENSMUSP00000009102.8 pep:known chromosome:GRCm38:3:95111022:95123051:1 gene:ENSMUSG00000008958.14 transcript:ENSMUST00000009102.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps72 description:vacuolar protein sorting 72 (yeast) [Source:MGI Symbol;Acc:MGI:1202305] MSLAGGRAPRKTAGNRLSGLLEAEEEDEFYQTTYGGFTEESGDDEYQGDQSDTEDEVDSD FDIDEGDEPSSDGEAEEPRRKRRVVTKAYKEPLKSLRPRKVSTPASSSQKAREEKTLLPL ELQDDGSDSRKSMRQSTAEHTRQTFLRVQERQGQSRRRKGPHCERPLTQEELLREAKITE ELNLRSLETYERLEADKKKQVHKKRKCPGPIITYHSVTVPLVGEPGPKEENVDVEGLDPA PTASALAPHAGTGTGAAAATPPAHCSRTFITFSDDATFEEWFPQGRPPKVPVREVCPVTH RPALYRDPVTDIPYATARAFKIIREAYKKYITAHGLPPTASALGPGPPPPEPLPGSGPRA LRQKIVIK >ENSMUSP00000091342.1 pep:known chromosome:GRCm38:9:71504347:71592360:-1 gene:ENSMUSG00000041361.13 transcript:ENSMUST00000093823.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myzap description:myocardial zonula adherens protein [Source:MGI Symbol;Acc:MGI:2142908] MLRSTSTVTLFSGGGAKSPGTPSRRANVCRLRLTVPPENPVPQQTEKKIERKDQPPELSN GESTKRLPQGVVYGVVRRSDPNQQKEMVVYGWSTNQLKEEMNYIKDVRATLEKVRKRMYG DYDEMRQKIRQLTQDLSVSHAQQDYLDSHIQAQASALDSFNAMNAALASDSVGLQKTLVD VTLENSHIKDQIRHLQQTYEASMDKLREKQRQLEAAQMENQLLKMRVESSQEANAEVMRE MTRKLYSQYEEKLQEAQRKHSAEKEVLLEETNSFLKAIEEANKKMEAAELSLEEKDQKIG ELDRLIERMEKERHQLQLQLLEHETEMSGEMADSDKNRYQQLEEASASLRERIRHLDDMV HCQQKKVKQMVEEIESLKKKVQQKQLLILQLLEKISFLEGENNELQSRLDYLTETQPKTE VETREIGVGCDLLPSPTGRTREITMPSRSYTPYTRVLELSSKKTLT >ENSMUSP00000127028.1 pep:known chromosome:GRCm38:9:71505058:71592265:-1 gene:ENSMUSG00000041361.13 transcript:ENSMUST00000169573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myzap description:myocardial zonula adherens protein [Source:MGI Symbol;Acc:MGI:2142908] MLRSTSTVTLFSGGGAKSPGTPSRRANVCRLRLTVPPENPVPQQTEKKIERKDQPPELSN GESTKRLPQGVVYGVVRRSDPNQQKEMVVYGWSTNQLKEEMNYIKDVRATLEKVRKRMYG DYDEMRQKIRQLTQDLSVSHAQQDYLDSHIQAQASALDSFNAMNAALASDSVGLQKTLVD VTLENSHIKDQIRHLQQTYEASMDKLREKQRQLEAAQMENQLLKMRVESSQEANAEVMRE MTRKLYSQYEEKLQEAQRKHSAEKEVLLEETNSFLKAIEEANKKMEAAELSLEEKDQKIG ELDRLIERMEKERHQLQLQLLEHETEMSGEMADSDKNRYQQLEEASASLRERIRHLDDMV HCQQKKVKQMVEENNELQSRLDYLTETQPKTEVETREIGVGCDLLPSPTGRTREITMPSR SYTPYTRVLELSSKKTLT >ENSMUSP00000131906.1 pep:known chromosome:GRCm38:9:71561038:71579885:-1 gene:ENSMUSG00000041361.13 transcript:ENSMUST00000171977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myzap description:myocardial zonula adherens protein [Source:MGI Symbol;Acc:MGI:2142908] MLVLARWCPSSVIRMANVCRLRLTVPPENPVPQQTEKKIERKDQPPELSNGESTKRLPQG VVYGVVRRSDPNQQKEMVVYGWSTNQLKEEMNYIKDVRA >ENSMUSP00000131643.1 pep:known chromosome:GRCm38:9:71564492:71592342:-1 gene:ENSMUSG00000041361.13 transcript:ENSMUST00000166112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myzap description:myocardial zonula adherens protein [Source:MGI Symbol;Acc:MGI:2142908] MLRSTSTVTLFSGGGAKSPGTPSRREGDFEDSGRIPKEFQANVCRLRLTVPPENPVPQQT EKKIERKDQPPELSNGESTKRLPQGVVYGVVRRS >ENSMUSP00000117294.1 pep:known chromosome:GRCm38:17:8311102:8327442:1 gene:ENSMUSG00000073468.11 transcript:ENSMUST00000154553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sft2d1 description:SFT2 domain containing 1 [Source:MGI Symbol;Acc:MGI:1918689] MEKLRRVLSGQDDEEQGLTAQVLDASSLSFNTRLKWFVICFVAGIFFSFLGTGLLWLPNG MKLFAVFYTLGNLAALASTCFLMGPVKQLKKMFETTRLLATIIMLLCLVFTLCAALWWRK KGLALLFCILQFLSMTWYSLSYIPYARDAVLKCCSSLLG >ENSMUSP00000115640.1 pep:known chromosome:GRCm38:17:8311122:8327055:1 gene:ENSMUSG00000073468.11 transcript:ENSMUST00000130782.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sft2d1 description:SFT2 domain containing 1 [Source:MGI Symbol;Acc:MGI:1918689] MEKLRRVLSGQDDEEQGLTAQYMLFNGTCEATEENV >ENSMUSP00000115979.1 pep:known chromosome:GRCm38:17:8311169:8327440:1 gene:ENSMUSG00000073468.11 transcript:ENSMUST00000145276.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sft2d1 description:SFT2 domain containing 1 [Source:MGI Symbol;Acc:MGI:1918689] MEKLRRVLSGQDDEEQGLTAQYMLFNGTCEATEENV >ENSMUSP00000112535.1 pep:known chromosome:GRCm38:4:130253495:130275218:-1 gene:ENSMUSG00000023232.17 transcript:ENSMUST00000122374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc2 description:serine incorporator 2 [Source:MGI Symbol;Acc:MGI:1919132] MLSPGVESQLYKLPWVCEDRTQQPLVLQGPLDCGSLLGFRAVYRMCFATAAFFFFFMLLM ICVRSSRDPRAAIQNGFWFFKFLILVGITVGAFYIPDGSFPKIWFYFGVVGSFLFILIQL ILFVDFAHSWNQRWLCKAEECDSPAWYAGLFFFTFLFYLLSIAAVALMFVYYTESGACHE GKVFISLNLTFCVCVSIIAVLPKVQDAQPNSGLLQASVITLYTMFVTWSALSNVPDQKCN PHLPTKNGTGQVDLEDYSTVWWDAPSIVGLVIFILCTFFISLRSSDHRQVNSLMQTEECP AEMVQQQQVAVSDGRAYDNEQDGVTYSYSFFHFCLVLASLHVMMTLTNWYSPGETRKMIS TWTSVWVKICASWAGLFLYLWTLVAPLLLPNRDFS >ENSMUSP00000101618.1 pep:known chromosome:GRCm38:4:130253495:130275586:-1 gene:ENSMUSG00000023232.17 transcript:ENSMUST00000105996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc2 description:serine incorporator 2 [Source:MGI Symbol;Acc:MGI:1919132] MGACLGACSLLSCASCLCGSAPCILCGCCPSTRNSTVSRLLFTSFLFLGVLVSIIMLSPG VESQLYKLPWVCEDRTQQPLVLQGPLDCGSLLGFRAVYRMCFATAAFFFFFMLLMICVRS SRDPRAAIQNGFWFFKFLILVGITVGAFYIPDGSFPKIWFYFGVVGSFLFILIQLILFVD FAHSWNQRWLCKAEECDSPAWYAGLFFFTFLFYLLSIAAVALMFVYYTESGACHEGKVFI SLNLTFCVCVSIIAVLPKVQDAQPNSGLLQASVITLYTMFVTWSALSNVPDQKCNPHLPT KNGTGQVDLEDYSTVWWDAPSIVGLVIFILCTFFISLRSSDHRQVNSLMQTEECPAEMVQ QQQVAVSDGRAYDNEQDGVTYSYSFFHFCLVLASLHVMMTLTNWYSPGETRKMISTWTSV WVKICASWAGLFLYLWTLVAPLLLPNRDFS >ENSMUSP00000113044.2 pep:known chromosome:GRCm38:4:130253899:130279205:-1 gene:ENSMUSG00000023232.17 transcript:ENSMUST00000120126.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc2 description:serine incorporator 2 [Source:MGI Symbol;Acc:MGI:1919132] MDGRMMRSVRLKEEEVPGQDYTASCLCGSAPCILCGCCPSTRNSTVSRLLFTSFLFLGVL VSIIMLSPGVESQLYKLPWVCEDRTQQPLVLQGPLDCGSLLGFRAVYRMCFATAAFFFFF MLLMICVRSSRDPRAAIQNGFWFFKFLILVGITVGAFYIPDGSFPKIWFYFGVVGSFLFI LIQLILFVDFAHSWNQRWLCKAEECDSPAWYAGLFFFTFLFYLLSIAAVALMFVYYTESG ACHEGKVFISLNLTFCVCVSIIAVLPKVQDAQPNSGLLQASVITLYTMFVTWSALSNVPD QKCNPHLPTKNGTGQVDLEDYSTVWWDAPSIVGLVIFILCTFFISLRSSDHRQVNSLMQT EECPAEMVQQQQVAVSDGRAYDNEQDGVTYSYSFFHFCLVLASLHVMMTLTNWYSPGETR KMISTWTSVWVKICASWAGLFLYLWTLVAPLLLPNRDFS >ENSMUSP00000115198.1 pep:known chromosome:GRCm38:4:130263028:130275532:-1 gene:ENSMUSG00000023232.17 transcript:ENSMUST00000146478.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc2 description:serine incorporator 2 [Source:MGI Symbol;Acc:MGI:1919132] MLSPGVESQLYKLPWVCEDRTQQPLVLQGPLDCGSLLGFRAVYRMCFATAAFFFFFMLLM ICVRSSRDPRAAIQNGFWFFKFLILVGITVGAFYIPDGSFPKIWFYFGVVGSFLFILIQL ILFVDFAHSWNQRWLCKAEECDSPAWYA >ENSMUSP00000116586.1 pep:known chromosome:GRCm38:4:130263643:130275545:-1 gene:ENSMUSG00000023232.17 transcript:ENSMUST00000154846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc2 description:serine incorporator 2 [Source:MGI Symbol;Acc:MGI:1919132] MLSPGVESQLYKLPWVCEDRTQQPLVLQGPLDCGSLLGFRAVYRMCFATAAFFFFFMLLM ICVRSSRDPRAAIQNGFWFFKF >ENSMUSP00000004684.6 pep:known chromosome:GRCm38:8:3393038:3456601:1 gene:ENSMUSG00000004568.12 transcript:ENSMUST00000004684.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef18 description:rho/rac guanine nucleotide exchange factor (GEF) 18 [Source:MGI Symbol;Acc:MGI:2142567] MTISQKGGLQPTPSPAGSGVRLGPIAGDMDEADSVFLKLKQTADDSLSLTSSNAESVFIE DPYIASLRCEIESDAHEFEAESWSLSVDLAYAKKQKKEVVKRQDVLYELMQTEAHHVRTL KIMLKVYSRALQEELQFSGQAVSRLFPCADDLLDMHSHFLARLKERRQEFLEEGSDRNYV IQKIGDVLVQQFSGETGERMKEKYAVFCSGHNDAVGQYKLLLQQSKKFQNLIKKIGNFSI VRRLGVQECILLVTQRITKYPVLVERIIQNTEAGTEDYKDLSQALSLIKDIISQVDAKVS EYEKDQRLKEIAAKTDQKSSGKLKNGLTFRKEDMLQQRQLHLEGALCWKSTSGRLKDVLA VLLTDVLLLLQEKDQKYVFASVDSKPPVISLQKLIVREVANEEKAMFLISASMQGPEMYE MYTSSKEDRNIWMAHIRRAVESCPDEEEDVFSEAEEKKIAEARTMKLQEFQERLSLKDQL IAQSLLEKQQIYLEMAQLSGLEESAQNRGLFRGGGDPSETLRGEQILRSAMSEIEGIQSL ICQRHLGSTSSQVEEGSVSAGLPRRAETFGGYDSVGSPSKGGSFKRKVSNSDLRPQDWQG PASSPDSRPCDNSAPSGCCEESPQAVEMPSTESLPTVLELELVHRVQTLSQLLLSLQAVI AQQDSYVEMQRTAIQEREKQFRLQSTRGNLLLEQERQRNFEKQREERAGVEKLQSQLRQE QQRWERERARQQQELELAGARLQEREGEARQMRQRLDQERTELERQRQAYQHDLERLREA QRAVDRERERLELLRRFKKQNTVPGALPPEVLAEAQPASHPPSFNGDGLEGHSAPAKAPG TQGSAMLHGTGPDNVERPEVARWDSAPPESRPAKSDVPIQLLSATNQIQRQTAVQQQIPT KLAASTKGGKEKGSKSRGSQRWESSASFDLKQQLLLSKFIGKDESASRNRRSLSPVLPAA HGSAPASDPCFPAPSPAPAATPPEAFKFGGTSLPPVSPASSLPTTPLATTDEVSKEDVIF F >ENSMUSP00000118410.1 pep:known chromosome:GRCm38:8:3393073:3429639:1 gene:ENSMUSG00000004568.12 transcript:ENSMUST00000145394.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef18 description:rho/rac guanine nucleotide exchange factor (GEF) 18 [Source:MGI Symbol;Acc:MGI:2142567] MTISQKGGLQPTPSPAGSGVRLGPIAGDMDEADSVFLKLKQTADDSLSLTSSNAESVFIE DPYIASLRCEIESDAHEFEAESWSLSVDLAYAKKQKKEVVKRQDVLY >ENSMUSP00000126390.1 pep:known chromosome:GRCm38:7:99345376:99353114:-1 gene:ENSMUSG00000070436.12 transcript:ENSMUST00000169437.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinh1 description:serine (or cysteine) peptidase inhibitor, clade H, member 1 [Source:MGI Symbol;Acc:MGI:88283] MRSLLLGTLCLLAVALAAEVKKPLEAAAPGTAEKLSSKATTLAERSTGLAFSLYQAMAKD QAVENILLSPLVVASSLGLVSLGGKATTASQAKAVLSAEKLRDEEVHTGLGELLRSLSNS TARNVTWKLGSRLYGPSSVSFADDFVRSSKQHYNCEHSKINFRDKRSALQSINEWASQTT DGKLPEVTKDVERTDGALLVNAMFFKPHWDEKFHHKMVDNRGFMVTRSYTVGVTMMHRTG LYNYYDDEKEKLQMVEMPLAHKLSSLIILMPHHVEPLERLEKLLTKEQLKAWMGKMQKKA VAISLPKGVVEVTHDLQKHLAGLGLTEAIDKNKADLSRMSGKKDLYLASVFHATAFEWDT EGNPFDQDIYGREELRSPKLFYADHPFIFLVRDNQSGSLLFIGRLVRPKGDKMRDEL >ENSMUSP00000091706.4 pep:known chromosome:GRCm38:7:99345389:99353239:-1 gene:ENSMUSG00000070436.12 transcript:ENSMUST00000094154.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinh1 description:serine (or cysteine) peptidase inhibitor, clade H, member 1 [Source:MGI Symbol;Acc:MGI:88283] MRSLLLGTLCLLAVALAAEVKKPLEAAAPGTAEKLSSKATTLAERSTGLAFSLYQAMAKD QAVENILLSPLVVASSLGLVSLGGKATTASQAKAVLSAEKLRDEEVHTGLGELLRSLSNS TARNVTWKLGSRLYGPSSVSFADDFVRSSKQHYNCEHSKINFRDKRSALQSINEWASQTT DGKLPEVTKDVERTDGALLVNAMFFKPHWDEKFHHKMVDNRGFMVTRSYTVGVTMMHRTG LYNYYDDEKEKLQMVEMPLAHKLSSLIILMPHHVEPLERLEKLLTKEQLKAWMGKMQKKA VAISLPKGVVEVTHDLQKHLAGLGLTEAIDKNKADLSRMSGKKDLYLASVFHATAFEWDT EGNPFDQDIYGREELRSPKLFYADHPFIFLVRDNQSGSLLFIGRLVRPKGDKMRDEL >ENSMUSP00000146969.1 pep:known chromosome:GRCm38:7:99345390:99353114:-1 gene:ENSMUSG00000070436.12 transcript:ENSMUST00000208119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinh1 description:serine (or cysteine) peptidase inhibitor, clade H, member 1 [Source:MGI Symbol;Acc:MGI:88283] MRSLLLGTLCLLAVALAAEVKKPLEAAAPGTAEKLSSKATTLAERSTGLAFSLYQAMAKD QAVENILLSPLVVASSLGLVSLGGKATTASQAKAVLSAEKLRDEEVHTGLGELLRSLSNS TARNVTWKLGSRLYGPSSVSFADDFVRSSKQHYNCEHSKINFRDKRSALQSINEWASQTT DGKLPEVTKDVERTDGALLVNAMFFKPHWDEKFHHKMVDNRGFMVTRSYTVGVTMMHRTG LYNYYDDEKEKLQMVEMPLAHKLSSLIILMPHHVEPLERLEKLLTKEQLKAWMGKMQKKA VAISLPKGVVEVTHDLQKHLAGLGLTEAIDKNKADLSRMSGKKDLYLASVFHATAFEWDT EGNPFDQDIYGREELRSPKLFYADHPFIFLVRDNQSGSLLFIGRLVRPKGDKMRDEL >ENSMUSP00000147064.1 pep:known chromosome:GRCm38:7:99345395:99353114:-1 gene:ENSMUSG00000070436.12 transcript:ENSMUST00000207849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinh1 description:serine (or cysteine) peptidase inhibitor, clade H, member 1 [Source:MGI Symbol;Acc:MGI:88283] MRSLLLGTLCLLAVALAAEVKKPLEAAAPGTAEKLSSKATTLAERSTGLAFSLYQAMAKD QAVENILLSPLVVASSLGLVSLGGKATTASQAKAVLSAEKLRDEEVHTGLGELLRSLSNS TARNVTWKLGSRLYGPSSVSFADDFVRSSKQHYNCEHSKINFRDKRSALQSINEWASQTT DGKLPEVTKDVERTDGALLVNAMFFKPHWDEKFHHKMVDNRGFMVTRSYTVGVTMMHRTG LYNYYDDEKEKLQMVEMPLAHKLSSLIILMPHHVEPLERLEKLLTKEQLKAWMGKMQKKA VAISLPKGVVEVTHDLQKHLAGLGLTEAIDKNKADLSRMSGKKDLYLASVFHATAFEWDT EGNPFDQDIYGREELRSPKLFYADHPFIFLVRDNQSGSLLFIGRLVRPKGDKMRDEL >ENSMUSP00000146515.1 pep:known chromosome:GRCm38:7:99348811:99353103:-1 gene:ENSMUSG00000070436.12 transcript:ENSMUST00000208749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinh1 description:serine (or cysteine) peptidase inhibitor, clade H, member 1 [Source:MGI Symbol;Acc:MGI:88283] MRSLLLGTLCLLAVALAAEVKKPLEAAAPGTAEKLSSKATTLAERSTGLAFSLYQAMAKD QAVENILLSPLVVASSLGLVSLGGKATTASQAKAVLSAEKLRDEEVHTGLGELLRSLSNS TARNVTWKLGSRLYGPSSVSFADDFVRSSKQHYNCEHSKINFRDKRSALQSINEWASQTT DGKLPEVTKDVERTDGALLVNAM >ENSMUSP00000146444.1 pep:known chromosome:GRCm38:7:99349077:99353090:-1 gene:ENSMUSG00000070436.12 transcript:ENSMUST00000207989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinh1 description:serine (or cysteine) peptidase inhibitor, clade H, member 1 [Source:MGI Symbol;Acc:MGI:88283] MRSLLLGTLCLLAVALAAEVKKPLEAAAPGTAEKLSSKATTLAERSTGLAFSLYQAMAKD QAVENILLSPLVVASSLGLVSLGGKATTASQAKAVLSAEKLRDEEVHTGLGELLR >ENSMUSP00000146373.1 pep:known chromosome:GRCm38:7:99349262:99352997:-1 gene:ENSMUSG00000070436.12 transcript:ENSMUST00000208292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinh1 description:serine (or cysteine) peptidase inhibitor, clade H, member 1 [Source:MGI Symbol;Acc:MGI:88283] MRSLLLGTLCLLAVALAAEVKKPLEAAAPGTAEKLSSKATTLAERSTGLAFSL >ENSMUSP00000039190.3 pep:known chromosome:GRCm38:2:163405822:163419528:-1 gene:ENSMUSG00000035399.12 transcript:ENSMUST00000046908.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oser1 description:oxidative stress responsive serine rich 1 [Source:MGI Symbol;Acc:MGI:1913930] MKSEAKDGEEESLQTAFKKLRVDASGSIISLSVGEGPSVRASARTAADDTKPKTMCASKD SWHGSTRKSSRGAVRTQRRRRSKSPVLHPPKFIHCSTTAPPSSSQLKHRSQTEPPDGISG RGISTPKEFNAGENSTSLDVNHTGAAIEPLRSSVLRLPSESKTEELSDATQVSQESLTAN DLSDFQSVSKLSQGKPCVCVGKECQCKRWHDMEVYSFSGLQNVPPLAPERRSLEDYSQSL HTRTLSGSPRSCSEQARVYVDDVTIEDLAGYMEYYLYIPKKMSHMAEMMYT >ENSMUSP00000123124.1 pep:known chromosome:GRCm38:2:163407070:163417096:-1 gene:ENSMUSG00000035399.12 transcript:ENSMUST00000127038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oser1 description:oxidative stress responsive serine rich 1 [Source:MGI Symbol;Acc:MGI:1913930] MKSEAKDGEEESLQTAFKKLRVDASGSIISLSVGEGPSVRASARTAADDTKPKTMCASKD SWHGSTRKSS >ENSMUSP00000131932.1 pep:known chromosome:GRCm38:2:163405853:163424697:-1 gene:ENSMUSG00000035399.12 transcript:ENSMUST00000104954.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oser1 description:oxidative stress responsive serine rich 1 [Source:MGI Symbol;Acc:MGI:1913930] MKSEAKDGEEESLQTAFKKLRVDASGSIISLSVGEGPSVRASARTAADDTKPKTMCASKD SWHGSTRKSSRGAVRTQRRRRSKSPVLHPPKFIHCSTTAPPSSSQLKHRSQTEPPDGISG RGISTPKEFNAGENSTSLDVNHTGAAIEPLRSSVLRLPSESKTEELSDATQVSQESLTAN DLSDFQSVSKLSQGKPCVCVGKECQCKRWHDMEVYSFSGLQNVPPLAPERRSLEDYSQSL HTRTLSGSPRSCSEQARVYVDDVTIEDLAGYMEYYLYIPKKMSHMAEMMYT >ENSMUSP00000021968.5 pep:known chromosome:GRCm38:13:55825051:55831425:-1 gene:ENSMUSG00000021506.7 transcript:ENSMUST00000021968.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx1 description:paired-like homeodomain transcription factor 1 [Source:MGI Symbol;Acc:MGI:107374] MDAFKGGMSLERLPEGLRPPPPPPHDMGPSFHLARAADPREPLENSASESSDADLPDKER GGEAKGPEDGGAGSAGCGGGAEDPAKKKKQRRQRTHFTSQQLQELEATFQRNRYPDMSMR EEIAVWTNLTEPRVRVWFKNRRAKWRKRERNQQLDLCKGGYVPQFSGLVQPYEDVYAAGY SYNNWAAKSLAPAPLSTKSFTFFNSMSPLSSQSMFSAPSSISSMTMPSSMGPGAVPGMPN SGLNNINNLTGSSLNSAMSPGACPYGTPASPYSVYRDTCNSSLASLRLKSKQHSSFGYGG LQGPASGLNACQYNS >ENSMUSP00000134609.1 pep:known chromosome:GRCm38:13:55828474:55836192:-1 gene:ENSMUSG00000021506.7 transcript:ENSMUST00000173618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitx1 description:paired-like homeodomain transcription factor 1 [Source:MGI Symbol;Acc:MGI:107374] MDAFKGGMSLERLPEGLRPPPPPPHDMGPSFHLARAADPREPLENSASESSDADLPDKER GGEAKGPEDGGAGSAGCGGGAEDPAKKKKQRRQRTHFTSQQLQELEATFQRNRYPDMSMR EEIA >ENSMUSP00000073974.3 pep:known chromosome:GRCm38:17:26138688:26195811:1 gene:ENSMUSG00000024182.16 transcript:ENSMUST00000074370.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axin1 description:axin 1 [Source:MGI Symbol;Acc:MGI:1096327] MQSPKMNVQEQGFPLDLGASFTEDAPRPPVPGEEGELVSTDSRPVNHSFCSGKGTSIKSE TSTATPRRSDLDLGYEPEGSASPTPPYLRWAESLHSLLDDQDGISLFRTFLKQEGCADLL DFWFACSGFRKLEPCDSNEEKRLKLARAIYRKYILDSNGIVSRQTKPATKSFIKDCVMKQ QIDPAMFDQAQTEIQSTMEENTYPSFLKSDIYLEYTRTGSESPKVCSDQSSGSGTGKGMS GYLPTLNEDEEWKCDQDADEDDGRDPLPPSRLTQKLLLETAAPRAPSSRRYNEGRELRYG SWREPVNPYYVNSGYALAPATSANDSEQQSLSSDADTLSLTDSSVDGIPPYRIRKQHRRE MQESIQVNGRVPLPHIPRTYRMPKEIRVEPQKFAEELIHRLEAVQRTREAEEKLEERLKR VRMEEEGEDGEMPSGPMASHKLPSVPAWHHFPPRYVDMGCSGLRDAHEENPESILDEHVQ RVMRTPGCQSPGPGHRSPDSGHVAKTAVLGGTASGHGKHVPKLGLKLDTAGLHHHRHVHH HVHHNSARPKEQMEAEVARRVQSSFSWGPETHGHAKPRSYSENAGTTLSAGDLAFGGKTS APSKRNTKKAESGKNANAEVPSTTEDAEKNQKIMQWIIEGEKEISRHRKAGHGSSGLRKQ QAHESSRPLSIERPGAVHPWVSAQLRNSVQPSHLFIQDPTMPPNPAPNPLTQLEEARRRL EEEEKRANKLPSKQRYVQAVMQRGRTCVRPACAPVLSVVPAVSDLELSETETKSQRKAGG GSAPPCDSIVVAYYFCGEPIPYRTLVRGRAVTLGQFKELLTKKGSYRYYFKKVSDEFDCG VVFEEVREDEAVLPVFEEKIIGKVEKVD >ENSMUSP00000132000.1 pep:known chromosome:GRCm38:17:26138950:26195294:1 gene:ENSMUSG00000024182.16 transcript:ENSMUST00000163421.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axin1 description:axin 1 [Source:MGI Symbol;Acc:MGI:1096327] MQSPKMNVQEQGFPLDLGASFTEDAPRPPVPGEEGELVSTDSRPVNHSFCSGKGTSIKSE TSTATPRRSDLDLGYEPEGSASPTPPYLRWAESLHSLLDDQDGISLFRTFLKQEGCADLL DFWFACSGFRKLEPCDSNEEKRLKLARAIYRKYILDSNGIVSRQTKPATKSFIKDCVMKQ QIDPAMFDQAQTEIQSTMEENTYPSFLKSDIYLEYTRTGSESPKVCSDQSSGSGTGKGMS GYLPTLNEDEEWKCDQDADEDDGRDPLPPSRLTQKLLLETAAPRAPSSRRYNEGRELRYG SWREPVNPYYVNSGYALAPATSANDSEQQSLSSDADTLSLTDSSVDGIPPYRIRKQHRRE MQESIQVNGRVPLPHIPRTYRMPKEIRVEPQKFAEELIHRLEAVQRTREAEEKLEERLKR VRMEEEGEDGEMPSGPMASHKLPSVPAWHHFPPRYVDMGCSGLRDAHEENPESILDEHVQ RVMRTPGCQSPGPGHRSPDSGHVAKTAVLGGTASGHGKHVPKLGLKLDTAGLHHHRHVHH HVHHNSARPKEQMEAEVARRVQSSFSWGPETHGHAKPRSYSENAGTTLSAGDLAFGGKTS APSKRNTKKAESGKNANAEVPSTTEDAEKNQKIMQWIIEGEKEISRHRKAGHGSSGLRKQ QAHESSRPLSIERPGAVHPWVSAQLRNSVQPSHLFIQDPTMPPNPAPNPLTQLEEARRRL EEEEKRANKLPSKQRTKSQRKAGGGSAPPCDSIVVAYYFCGEPIPYRTLVRGRAVTLGQF KELLTKKGSYRYYFKKVSDEFDCGVVFEEVREDEAVLPVFEEKIIGKVEKVD >ENSMUSP00000127182.1 pep:known chromosome:GRCm38:17:26143347:26184314:1 gene:ENSMUSG00000024182.16 transcript:ENSMUST00000168282.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axin1 description:axin 1 [Source:MGI Symbol;Acc:MGI:1096327] SPKVCSDQSSGSGTGKGMSGYLPTLNEDEEWKCDQDADEDDGRDPLPPSRLTQKLLLETA APRAPSSRRYNEGRELRDGIPPYRIRKQHRREMQESIQVNGRVPLPHIPRTYRMPKEIRV EPQKFAEELIHRLEAVQRTREAEEKLEERLKRVRM >ENSMUSP00000113756.2 pep:known chromosome:GRCm38:17:26138828:26195241:1 gene:ENSMUSG00000024182.16 transcript:ENSMUST00000118904.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axin1 description:axin 1 [Source:MGI Symbol;Acc:MGI:1096327] MQSPKMNVQEQGFPLDLGASFTEDAPRPPVPGEEGELVSTDSRPVNHSFCSGKGTSIKSE TSTATPRRSDLDLGYEPEGSASPTPPYLRWAESLHSLLDDQDGISLFRTFLKQEGCADLL DFWFACSGFRKLEPCDSNEEKRLKLARAIYRKYILDSNGIVSRQTKPATKSFIKDCVMKQ QIDPAMFDQAQTEIQSTMEENTYPSFLKSDIYLEYTRTGSESPKVCSDQSSGSGTGKGMS GYLPTLNEDEEWKCDQDADEDDGRDPLPPSRLTQKLLLETAAPRAPSSRRYNEGRELRYG SWREPVNPYYVNSGYALAPATSANDSEQQSLSSDADTLSLTDSSVDGIPPYRIRKQHRRE MQESIQVNGRVPLPHIPRTYRMPKEIRVEPQKFAEELIHRLEAVQRTREAEEKLEERLKR VRMEEEGEDGEMPSGPMASHKLPSVPAWHHFPPRYVDMGCSGLRDAHEENPESILDEHVQ RVMRTPGCQSPGPGHRSPDSGHVAKTAVLGGTASGHGKHVPKLGLKLDTAGLHHHRHVHH HVHHNSARPKEQMEAEVARRVQSSFSWGPETHGHAKPRSYSENAGTTLSAGDLAFGGKTS APSKRNTKKAESGKNANAEVPSTTEDAEKNQKIMQWIIEGEKEISRHRKAGHGSSGLRKQ QAHESSRPLSIERPGAVHPWVSAQLRNSVQPSHLFIQDPTMPPNPAPNPLTQLEEARRRL EEEEKRANKLPSKQRTKSQRKAGGGSAPPCDSIVVAYYFCGEPIPYRTLVRGRAVTLGQF KELLTKKGSYRYYFKKVSDEFDCGVVFEEVREDEAVLPVFEEKIIGKVEKVD >ENSMUSP00000112103.3 pep:known chromosome:GRCm38:12:86361117:86521628:1 gene:ENSMUSG00000021255.17 transcript:ENSMUST00000116402.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrrb description:estrogen related receptor, beta [Source:MGI Symbol;Acc:MGI:1346832] MLLNRMSSEDRHLGSSCGSFIKTEPSSPSSGIDALSHHSPSGSSDASGGFGIALSTHANG LDSPPMFAGAGLGGNPCRKSYEDCTSGIMEDSAIKCEYMLNAIPKRLCLVCGDIASGYHY GVASCEACKAFFKRTIQGNIEYNCPATNECEITKRRRKSCQACRFMKCLKVGMLKEGVRL DRVRGGRQKYKRRLDSENSPYLNLPISPPAKKPLTKIVSNLLGVEQDKLYAMPPNDIPEG DIKALTTLCELADRELVFLINWAKHIPGFPSLTLGDQMSLLQSAWMEILILGIVYRSLPY DDKLAYAEDYIMDEEHSRLVGLLDLYRAILQLVRRYKKLKVEKEEFMILKALALANSDSM YIENLEAVQKLQDLLHEALQDYELSQRHEEPRRAGKLLLTLPLLRQTAAKAVQHFYSVKL QGKVPMHKLFLEMLEAKV >ENSMUSP00000105832.1 pep:known chromosome:GRCm38:12:86421644:86515522:1 gene:ENSMUSG00000021255.17 transcript:ENSMUST00000110203.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrrb description:estrogen related receptor, beta [Source:MGI Symbol;Acc:MGI:1346832] MDVSELCIPDPLGYHNQLLNRMSSEDRHLGSSCGSFIKTEPSSPSSGIDALSHHSPSGSS DASGGFGIALSTHANGLDSPPMFAGAGLGGNPCRKSYEDCTSGIMEDSAIKCEYMLNAIP KRLCLVCGDIASGYHYGVASCEACKAFFKRTIQGNIEYNCPATNECEITKRRRKSCQACR FMKCLKVGMLKEGVRLDRVRGGRQKYKRRLDSENSPYLNLPISPPAKKPLTKIVSNLLGV EQDKLYAMPPNDIPEGDIKALTTLCELADRELVFLINWAKHIPGFPSLTLGDQMSLLQSA WMEILILGIVYRSLPYDDKLAYAEDYIMDEEHSRLVGLLDLYRAILQLVRRYKKLKVEKE EFMILKALALANSGKGGT >ENSMUSP00000105833.2 pep:known chromosome:GRCm38:12:86421644:86521625:1 gene:ENSMUSG00000021255.17 transcript:ENSMUST00000110204.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrrb description:estrogen related receptor, beta [Source:MGI Symbol;Acc:MGI:1346832] MDVSELCIPDPLGYHNQLLNRMSSEDRHLGSSCGSFIKTEPSSPSSGIDALSHHSPSGSS DASGGFGIALSTHANGLDSPPMFAGAGLGGNPCRKSYEDCTSGIMEDSAIKCEYMLNAIP KRLCLVCGDIASGYHYGVASCEACKAFFKRTIQGNIEYNCPATNECEITKRRRKSCQACR FMKCLKVGMLKEGVRLDRVRGGRQKYKRRLDSENSPYLNLPISPPAKKPLTKIVSNLLGV EQDKLYAMPPNDIPEGDIKALTTLCELADRELVFLINWAKHIPGFPSLTLGDQMSLLQSA WMEILILGIVYRSLPYDDKLAYAEDYIMDEEHSRLVGLLDLYRAILQLVRRYKKLKVEKE EFMILKALALANSDSMYIENLEAVQKLQDLLHEALQDYELSQRHEEPRRAGKLLLTLPLL RQTAAKAVQHFYSVKLQGKVPMHKLFLEMLEAKV >ENSMUSP00000021680.5 pep:known chromosome:GRCm38:12:86421883:86519800:1 gene:ENSMUSG00000021255.17 transcript:ENSMUST00000021680.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrrb description:estrogen related receptor, beta [Source:MGI Symbol;Acc:MGI:1346832] MSSEDRHLGSSCGSFIKTEPSSPSSGIDALSHHSPSGSSDASGGFGIALSTHANGLDSPP MFAGAGLGGNPCRKSYEDCTSGIMEDSAIKCEYMLNAIPKRLCLVCGDIASGYHYGVASC EACKAFFKRTIQGNIEYNCPATNECEITKRRRKSCQACRFMKCLKVGMLKEGVRLDRVRG GRQKYKRRLDSENSPYLNLPISPPAKKPLTKIVSNLLGVEQDKLYAMPPNDIPEGDIKAL TTLCELADRELVFLINWAKHIPGFPSLTLGDQMSLLQSAWMEILILGIVYRSLPYDDKLA YAEDYIMDEEHSRLVGLLDLYRAILQLVRRYKKLKVEKEEFMILKALALANSDSMYIENL EAVQKLQDLLHEALQDYELSQRHEEPRRAGKLLLTLPLLRQTAAKAVQHFYSVKLQGKVP MHKLFLEMLEAKV >ENSMUSP00000131335.1 pep:known chromosome:GRCm38:12:86470117:86519065:1 gene:ENSMUSG00000021255.17 transcript:ENSMUST00000167891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrrb description:estrogen related receptor, beta [Source:MGI Symbol;Acc:MGI:1346832] MSSEDRHLGSSCGSFIKTEPSSPSSGIDALSHHSPSGSSDASGGFGIALSTHANGLDSPP MFAGAGLGGNPCRKSYEDCTSGIMEDSAIKCEYMLNAIPKRLCLVCGDIASGYHYGVASC EACKAFFKRTIQGNIEYNCPATNECEITKRRRKSCQACRFMKCLKVGMLKEGVRLDRVRG GRQKYKRRLDSENSPYLNLPISPPAKKPLTKIVSNLLGVEQDKLYAMPPNDIPEGDIKAL TTLCELADRELVFLINWAKHIPGFPSLTLGDQMSLLQSAWMEILILGIVYRSLPYDDKLA YAEDYIMDEEHSRLVGLLDLYRAILQLVRRYKKLKVEKEEFMILKALALANSDSMYIENL EAVQKLQDLLHEALQDYELSQRHEEPRRAGKLLLTLPLLRQTAAKAVQHFYSVKLQGKVP MHKLFLEMLEAKV >ENSMUSP00000143741.1 pep:known chromosome:GRCm38:5:45434021:45450176:-1 gene:ENSMUSG00000015806.12 transcript:ENSMUST00000198258.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qdpr description:quinoid dihydropteridine reductase [Source:MGI Symbol;Acc:MGI:97836] MMWKQSMWTSTISSHLATKHLKEGGLLTLAGAKAALDGTPGMIGYGMAKGAVHQLCQSLA GKNSGMPPGAAAIAVLPVTLDTPMNRKSMPEADFSSWTPLEFLVETFHDWITGNKRPNSG SLIQVVTTDGKTELTPAYF >ENSMUSP00000143584.1 pep:known chromosome:GRCm38:5:45434028:45450121:-1 gene:ENSMUSG00000015806.12 transcript:ENSMUST00000197946.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qdpr description:quinoid dihydropteridine reductase [Source:MGI Symbol;Acc:MGI:97836] MAASGEARRVLVYGGRGALGSRCVQAFRARNWWVASIDVVENEEASASVVVKMTDSFTEQ ADQVTADVGKLLGDQKVDAILCVAGGWAGGNAKSKSLFKNCDMMWKQSMWTSTISSHLAT KHLKEGGLLTLAGAKAALDGTPGMIGYGMAKGAVHQLCQSLAGKNSGMPPGAAAIAVLPT FHDWITGNKRPNSGSLIQVVTTDGKTELTPAYF >ENSMUSP00000112469.1 pep:known chromosome:GRCm38:5:45434053:45448173:-1 gene:ENSMUSG00000015806.12 transcript:ENSMUST00000117425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qdpr description:quinoid dihydropteridine reductase [Source:MGI Symbol;Acc:MGI:97836] MTDSFTEQADQVTADVGKLLGDQKVDAILCVAGGWAGGNAKSKSLFKNCDMMWKQSMWTS TISSHLATKHLKEGGLLTLAGAKAALDGTPGMIGYGMAKGAVHQLCQSLAGKNSGMPPGA AAIAVLPVTLDTPMNRKSMPEADFSSWTPLEFLVETFHDWITGNKRPNSGSLIQVVTTDG KTELTPAYF >ENSMUSP00000113203.1 pep:known chromosome:GRCm38:5:45434053:45449965:-1 gene:ENSMUSG00000015806.12 transcript:ENSMUST00000120867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qdpr description:quinoid dihydropteridine reductase [Source:MGI Symbol;Acc:MGI:97836] MTDSFTEQADQVTADVGKLLGDQKVDAILCVAGGWAGGNAKSKSLFKNCDMMWKQSMWTS TISSHLATKHLKEGGLLTLAGAKAALDGTPGMIGYGMAKGAVHQLCQSLAGKNSGMPPGA AAIAVLPVTLDTPMNRKSMPEADFSSWTPLEFLVETFHDWITGNKRPNSGSLIQVVTTDG KTELTPAYF >ENSMUSP00000113958.1 pep:known chromosome:GRCm38:5:45434053:45450171:-1 gene:ENSMUSG00000015806.12 transcript:ENSMUST00000118097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qdpr description:quinoid dihydropteridine reductase [Source:MGI Symbol;Acc:MGI:97836] MTDSFTEQADQVTADVGKLLGDQKVDAILCVAGGWAGGNAKSKSLFKNCDMMWKQSMWTS TISSHLATKHLKEGGLLTLAGAKAALDGTPGMIGYGMAKGAVHQLCQSLAGKNSGMPPGA AAIAVLPVTLDTPMNRKSMPEADFSSWTPLEFLVETFHDWITGNKRPNSGSLIQVVTTDG KTELTPAYF >ENSMUSP00000015950.5 pep:known chromosome:GRCm38:5:45434053:45450236:-1 gene:ENSMUSG00000015806.12 transcript:ENSMUST00000015950.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qdpr description:quinoid dihydropteridine reductase [Source:MGI Symbol;Acc:MGI:97836] MAASGEARRVLVYGGRGALGSRCVQAFRARNWWVASIDVVENEEASASVVVKMTDSFTEQ ADQVTADVGKLLGDQKVDAILCVAGGWAGGNAKSKSLFKNCDMMWKQSMWTSTISSHLAT KHLKEGGLLTLAGAKAALDGTPGMIGYGMAKGAVHQLCQSLAGKNSGMPPGAAAIAVLPV TLDTPMNRKSMPEADFSSWTPLEFLVETFHDWITGNKRPNSGSLIQVVTTDGKTELTPAY F >ENSMUSP00000122081.1 pep:known chromosome:GRCm38:5:45439343:45450154:-1 gene:ENSMUSG00000015806.12 transcript:ENSMUST00000154962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qdpr description:quinoid dihydropteridine reductase [Source:MGI Symbol;Acc:MGI:97836] MAASGEARRVLVYGGRGALGSRCVQAFRARNWERPREGSASCVLCLPILKSSGGSLRKPE KQKVTADVGKLLGDQKVDAILCVAGGWAGGNAKSKSLFKNCDMMWKQSMWTSTISSHLAT KHLKEGGLLTLAGAKAALDGTPGMIGYGMAKGAVHQLCQ >ENSMUSP00000115453.1 pep:known chromosome:GRCm38:5:45443505:45450138:-1 gene:ENSMUSG00000015806.12 transcript:ENSMUST00000127562.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qdpr description:quinoid dihydropteridine reductase [Source:MGI Symbol;Acc:MGI:97836] MAASGEARRVLVYGGRGALGSRCVQAFRARNWVDTDIWVFSLKDLEVSEWVASIDVVENE EASASVVVKMTDSFTEQADQVTADVGKLLGDQKVDAILCVAGGWAGGNAKSKSLFKNCDM MWKQSMWTSTISSHLAT >ENSMUSP00000140353.1 pep:known chromosome:GRCm38:7:47185707:47204920:-1 gene:ENSMUSG00000052303.6 transcript:ENSMUST00000186456.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpra6 description:MAS-related GPR, member A6 [Source:MGI Symbol;Acc:MGI:3033107] MGESSTSAGFWALNTSTSATALTTTNPVHETMHRSISIRILITNLMIVILGLVGLTGNAI VFWLLLFRLRRNAFSIYILNLALADFLFLLCHIIASTEHILTFSSPNSIFINCLYTFRVL LYIAGLNMLSAISIERCLSVMCPIWYRCHRPEHTSTVMCAMIWVLSLLLCILYRYFCGFL DTKYEDDYGCLAMNFLTTAYLMFLFVVLCVSSLALLARLFCGAGRMKLTRLYVTITLTLL VFLLCGLPCGFYWFLLSKIKNVFMYLNLVFI >ENSMUSP00000073463.4 pep:known chromosome:GRCm38:7:47185717:47189416:-1 gene:ENSMUSG00000052303.6 transcript:ENSMUST00000073793.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpra6 description:MAS-related GPR, member A6 [Source:MGI Symbol;Acc:MGI:3033107] MHRSISIRILITNLMIVILGLVGLTGNAIVFWLLLFRLRRNAFSIYILNLALADFLFLLC HIIASTEHILTFSSPNSIFINCLYTFRVLLYIAGLNMLSAISIERCLSVMCPIWYRCHRP EHTSTVMCAMIWVLSLLLCILYRYFCGFLDTKYEDDYGCLAMNFLTTAYLMFLFVVLCVS SLALLARLFCGAGRMKLTRLYVTITLTLLVFLLCGLPCGFYWFLLSKIKNVFSVFEFSLY LTSVVLTAINSCANPIIYFFVGSFRHRLKHQTLKMVLQSALQDTPETPENMVEMSRNKAE L >ENSMUSP00000032760.5 pep:known chromosome:GRCm38:7:79792241:79793788:-1 gene:ENSMUSG00000030544.5 transcript:ENSMUST00000032760.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mesp1 description:mesoderm posterior 1 [Source:MGI Symbol;Acc:MGI:107785] MAQPLCEPRSESWILSPAGRQPPMPSDGNSVCSPAWSSDPWDGAQASSPAPPCARPARRA GTPGRRGTHGSRLGSGQRQSASEREKLRMRTLARALHELRRFLPPSVAPTGQNLTKIETL RLAIRYIGHLSAVLGLSEDNLRRQRHAVSPRGCPLCPDSDLAQSQSLGPRLSPAVCSGVS WGSPPAYPRPRVAAESWDPSFLYAETASQERQEMEPSPSSPLFSSDMLALLETWTPPQEW PPA >ENSMUSP00000127488.1 pep:known chromosome:GRCm38:14:42185391:42190791:-1 gene:ENSMUSG00000091792.1 transcript:ENSMUST00000168673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3573 description:predicted gene 3573 [Source:MGI Symbol;Acc:MGI:3781750] MGSQAGSFRKASPQTPNIDENEKRIKRLEKLKRDLQNIKNERDILQGILAKYKDLNDRTN FETFMLEMQHNQMMTDLKRMSQDISEALYKCQHLTKENQLYCCRNCHLLIESNLIQHKVR MLWKENRQLLRKQIALEECNIKTKILCKEGSQKIKDEYSRQAAGKQITVVPGSKEEAAMS NPCA >ENSMUSP00000072389.2 pep:known chromosome:GRCm38:11:69913888:69916576:1 gene:ENSMUSG00000023170.14 transcript:ENSMUST00000072581.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gps2 description:G protein pathway suppressor 2 [Source:MGI Symbol;Acc:MGI:1891751] MPALLERPKLSNAMARALHRHIMMERERKRQEEEEVDKMMEQKMKEEQERRKKKEMEERM SLEETKEQILKLQEKLSALQEEKHQLFLQLKKVLHEEEKRRRKEQSDLTTLTSAAYQQSL TVHTGTHLLSMQGSPGGHNRPGTLMAADRAKQMFGPQVLTTRHYVGSAAAFAGTPEHGQF QGSPGGAYGTAQPPPHYGPTQPAYSPSQQLRAPSAFPAVQYLSQPQPQPYAVHGHFQPTQ TGFLQPGSTLSLQKQMEHANQQTSFSDSSSLRPMHPQALHPAPGLLASPQLPVQIQAAGK SGFATTSQPGPRLPFIQHSQNPRFYHK >ENSMUSP00000054072.5 pep:known chromosome:GRCm38:11:69914192:69916591:1 gene:ENSMUSG00000023170.14 transcript:ENSMUST00000057884.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gps2 description:G protein pathway suppressor 2 [Source:MGI Symbol;Acc:MGI:1891751] MPALLERPKLSNAMARALHRHIMMERERKRQEEEEVDKMMEQKMKEEQERRKKKEMEERM SLEETKEQILKLQEKLSALQEEKHQLFLQLKKVLHEEEKRRRKEQSDLTTLTSAAYQQSL TVHTGTHLLSMQGSPGGHNRPGTLMAADRAKQMFGPQVLTTRHYVGSAAAFAGTPEHGQF QGSPGGAYGTAQPPPHYGPTQPAYSPSQQLRAPSAFPAVQYLSQPQPQPYAVHGHFQPTQ TGFLQPGSTLSLQKQMEHANQQTSFSDSSSLRPMHPQALHPAPGLLASPQLPVQIQAAGK SGFATTSQPGPRLPFIQHSQNPRFYHK >ENSMUSP00000136921.1 pep:known chromosome:GRCm38:11:69916041:69916556:1 gene:ENSMUSG00000023170.14 transcript:ENSMUST00000134581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gps2 description:G protein pathway suppressor 2 [Source:MGI Symbol;Acc:MGI:1891751] QPGSTLSLQKQMEHANQQTSFSDSSSLRPMHPQALHPAPGLLASPQLPVQIQAAGKVRLA IKPTYTTRERLTSYCNCPLPLVLSAGIPTH >ENSMUSP00000112062.1 pep:known chromosome:GRCm38:11:69914050:69916590:1 gene:ENSMUSG00000023170.14 transcript:ENSMUST00000116358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gps2 description:G protein pathway suppressor 2 [Source:MGI Symbol;Acc:MGI:1891751] MPALLERPKLSNAMARALHRHIMMERERKRQEEEEVDKMMEQKMKEEQERRKKKEMEERM SLEETKEQILKLQEKLSALQEEKHQLFLQLKKVLHEEEKRRRKEQSDLTTLTSAAYQQSL TVHTGTHLLSMQGSPGGHNRPGTLMAADRAKQMFGPQVLTTRHYVGSAAAFAGTPEHGQF QGSPGGAYGTAQPPPHYGPTQPAYSPSQQLRAPSAFPAVQYLSQPQPQPYAVHGHFQPTQ TGFLQPGSTLSLQKQMEHANQQTSFSDSSSLRPMHPQALHPAPGLLASPQLPVQIQAAGK SGFATTSQPGPRLPFIQHSQNPRFYHK >ENSMUSP00000066214.7 pep:known chromosome:GRCm38:18:43963235:44022501:1 gene:ENSMUSG00000055561.9 transcript:ENSMUST00000069245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink5 description:serine peptidase inhibitor, Kazal type 5 [Source:MGI Symbol;Acc:MGI:1919682] MKTATVPMLLTLAFYLTQDAAGEKGNQDPCMKFQAQMKNGTLTCPKGNNSSQSLNDIIFQ SECILCKRALEQGAPTKIMNVKVLSRANRATDPAKLNCESFKQRRKDGDFICPSDTSSVC GTDGKTYRSRCELCAENAKSQNHVDVKSEGECGSSHLETDMCSDFRAYVQDGRLGCTRES DPILGPDGRTHGNRCAMCAELFLKEAKENATRNRESRIRRDAEKELCKEFENQVRNGRLF CTRESDPIRGPDGKMHGNKCALCAEIFMRQFTEEKGKAEKNQKDAEERAKAKMEIQKRCS EFQDRARNGTLFCTRENDPIRGLDGKTHGNLCSMCQAFFKTEAEEKKAEAGSRNRRGSEE SETYAKLCDEYRKARKNGQLYCTRENAPIRGPDGKIHGNTCSMCQAFFIQEDKARAKVKR EAAKEMCSEFRNQARNGMLMCTRENDPVVGPDGKRHSNKCAMCASVFLLEEEEKKKDDKT EKVDAGKAKKEAVQELCRKYHTQLRNGPLRCTRRNNPIEGLDGKMYKNACFMCWAFFQQE AKKSGAGFRPKVKREVKVDCSEYLALSKRGEIFCTRENDPVRGPDGKTHGNKCAMCKAVF KKENEERKRKEGENQRITSGESSSGGNPKAKDECAQYRESMKHGQLSCTRESDPVRGVDG EHYNNKCVMCKELLQKEMEETNKNSASRSNGTGSATGKDVCDQFRSQMKNGKLLCTRESD PTRGPDGAMHGNKCAMCKERLEKEAAEKKKKEDEEKRNTETNKSDKEDKCHEYRSMQLDG RLICTRENDPVRDADGKMHVNKCAMCQMMFEREANERKMREENSRSQPTNEAKDQCGEVH NSVEDAKPRPARSSLPSIRGISKDECSEFQNLMKNEKLTCPETDDPVRGADGTFYQNKCH MCRDVLKNEAMKRSGLQEKSSDIRSTKEGDPEFSSSSRDSDMCKNYRILPRMGYLCPKNL NPVCGDDGQTYSNPCMLCHENLMRQTNTRIHKQGACEESSNLKTVSTGTPASEKMMQ >ENSMUSP00000033952.7 pep:known chromosome:GRCm38:8:23411502:23449632:1 gene:ENSMUSG00000031548.7 transcript:ENSMUST00000033952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfrp1 description:secreted frizzled-related protein 1 [Source:MGI Symbol;Acc:MGI:892014] MGVGRSARGRGGAASGVLLALAAALLAAGSASEYDYVSFQSDIGSYQSGRFYTKPPQCVD IPVDLRLCHNVGYKKMVLPNLLEHETMAEVKQQASSWVPLLNKNCHMGTQVFLCSLFAPV CLDRPIYPCRWLCEAVRDSCEPVMQFFGFYWPEMLKCDKFPEGDVCIAMTPPNTTEASKP QGTTVCPPCDNELKSEAIIEHLCASEFALRMKIKEVKKENGDKKIVPKKKKPLKLGPIKK KELKRLVLFLKNGADCPCHQLDNLSHNFLIMGRKVKSQYLLTAIHKWDKKNKEFKNFMKR MKNHECPTFQSVFK >ENSMUSP00000068650.3 pep:known chromosome:GRCm38:7:86363376:86364335:-1 gene:ENSMUSG00000055571.3 transcript:ENSMUST00000069236.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr305 description:olfactory receptor 305 [Source:MGI Symbol;Acc:MGI:3030139] MPNVTAVTGFILMGFSDIHELQILCGVLFLVLYLGILMSNLLIIILITVDLKLQTPMYFF LKNLSLLDVFLVSVTIPNFFVNSLMHKNSISILGCAFQVFFMALLGSGEVFVLTTMSYDR YVAICSPLHYEVIMNSVTCVVMMSVSWGTGLFFGVMYTAGTFSMTFCGSNVIPQIFCDVP SLLRISCSGSLMIIYISLGIGVCLGMSCFICVVISYIYIFSTVLKIPTTKGQSKAFGTCI PHLTVFSVFIATACFVYLKPPSNSASLTDRLFSVLYTVLPPALNPVIYSLRNNDVDSALK RLLQNLYSRDFLHVIHQNV >ENSMUSP00000105474.3 pep:known chromosome:GRCm38:12:108860030:108894174:-1 gene:ENSMUSG00000021266.16 transcript:ENSMUST00000109848.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wars description:tryptophanyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:104630] MADMPSGESCTSPLELFNSIATQGELVRSLKAGNAPKDEIDSAVKMLLSLKMSYKAAMGE EYKAGCPPGNPTAGRNCDSDATKASEDFVDPWTVRTSSAKGIDYDKLIVQFGSSKIDKEL INRIERATGQRPHRFLRRGIFFSHRDMNQILDAYENKKPFYLYTGRGPSSEAMHLGHLVP FIFTKWLQDVFNVPLVIQMSDDEKYLWKDLTLEQAYSYTVENAKDIIACGFDINKTFIFS DLEYMGQSPGFYRNVVKIQKHVTFNQVKGIFGFTDSDCIGKISFPAVQAAPSFSNSFPKI FRDRTDIQCLIPCAIDQDPYFRMTRDVAPRIGHPKPALLHSTFFPALQGAQTKMSASDPN SSIFLTDTAKQIKSKVNKHAFSGGRDTVEEHRQFGGNCEVDVSFMYLTFFLEDDDRLEQI RKDYTSGAMLTGELKKTLIDVLQPLIAEHQARRKAVTEETVKEFMTPRQLSFHFQ >ENSMUSP00000124649.1 pep:known chromosome:GRCm38:12:108860030:108894137:-1 gene:ENSMUSG00000021266.16 transcript:ENSMUST00000160477.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wars description:tryptophanyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:104630] MADMPSGESCTSPLELFNSIATQGELVRSLKAGNAPKDC >ENSMUSP00000125320.1 pep:known chromosome:GRCm38:12:108860030:108893198:-1 gene:ENSMUSG00000021266.16 transcript:ENSMUST00000161410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wars description:tryptophanyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:104630] MADMPSGESCTSPLELFNSIATQGELVRSLKAGNAPKDEIDSAVKMLLSLKMSYKAAMGE EYKAGCPPGNPTAGRNCDSDATKASEDFVDPWTVRTSSAKGIDYDKLIVQFGSSKIDKEL INRIERATGQRPHRFLRRGIFFSHRDMNQILDAYENKKPFYLYTGRGPSSEAMHLGHLVP FIFTKWLQDVFNVPLVIQMSDDEKYLWKDLTLEQAYSYTVENAKDIIACGFDINKTFIFS DLEYMGQSPGFYRNVVKIQKHVTFNQVKGIFGFTDSDCIGKISFPAVQAAPSFSNSFPKI FRDRTDIQCLIPCAIDQDPYFRMTRDVAPRIGHPKPALLHSTFFPALQGAQTKMSASDPN SSIFLTDTAKQIKSKVNKHAFSGGRDTVEEHRQFGGNCEVDVSFMYLTFFLEDDDRLEQI RKDYTSGAMLTGELKKTLIDVLQPLIAEHQARRKAVTEETVKEFMTPRQLSFHFQ >ENSMUSP00000124625.1 pep:known chromosome:GRCm38:12:108860034:108893197:-1 gene:ENSMUSG00000021266.16 transcript:ENSMUST00000161154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wars description:tryptophanyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:104630] MADMPSGESCTSPLELFNSIATQGELVRSLKAGNAPKDEIDSAVKMLLSLKMSYKAAMGE EYKAGCPPGNPTAGRNCDSDATKASEDFVDPWTVRTSSAKGIDYDKLIVQFGSSKIDKEL INRIERATGQRPHRFLRRGIFFSHRDMNQILDAYENKKPFYLYTGRGPSSEAMHLGHLVP FIFTKWLQDVFNVPLVIQMSDDEKYLWKDLTLEQAYSYTVENAKDIIACGFDINKTFIFS DLEYMGQSPGFYRNVVKIQKHVTFNQVKGIFGFTDSDCIGKISFPAVQAAPSFSNSFPKI FRDRTDIQCLIPCAIDQDPYFRMTRDVAPRIGHPKPALLHSTFFPALQGAQTKMSASDPN SSIFLTDTAKQIKSKVNKHAFSGGRDTVEEHRQFGGNCEVDVSFMYLTFFLEDDDRLEQI RKDYTSGAMLTGELKKTLIDVLQPLIAEHQARRKAVTEETVKEFMTPRQLSFHFQCFCFD T >ENSMUSP00000125102.1 pep:known chromosome:GRCm38:12:108875114:108894101:-1 gene:ENSMUSG00000021266.16 transcript:ENSMUST00000162748.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wars description:tryptophanyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:104630] MADMPSGESCTSPLELFNSIATQGELVRSLKAGNAPKVGDLSGSPKHAPQPPRLCSCLG >ENSMUSP00000035823.7 pep:known chromosome:GRCm38:3:123267455:123365641:1 gene:ENSMUSG00000039234.11 transcript:ENSMUST00000047923.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec24d description:Sec24 related gene family, member D (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916858] MSQQGYVATPPYSQSQPGMGISPPHYGHYGDPSHASSPPGVMKPLGPSAAPSGMLPPGPL PPGPPQFGPNGAHTPGHPPQRFPGPPPVNSVAPSYASGQTLPQSSYPGPGSTSSVTQLGS QFSSMQINSYGVGATPQSQGPPGPQSAGAFQGPPQPAQPSILQPGHQVPPPPPTALNGPG ASPMSPPTHRQDGLPGPAPLNAQYQPPPPPGQTLGPGYPPQQATNYGPQMGGAQMSYPGG FPGGPAQMAGPAPQLQRKLDPDSIPSPIQVIENDRATRGGQVYTTNTRGQVPPLVTTECV IQDQGHSSPRYIRCTTYCFPCTSDMAKQAQIPLAAVIKPFADIPPNETPLYLVNHGESGP VRCNRCKAYMCPFMQFIEGGRRYQCGFCSCVNEVPPFYFQHLDHIGRRLDHYEKPELSLG SYEYVATLDYCRKNKPPSPPAFIFMIDVSYSNIKNGLVKLICEELKTALKRLPKEEHEET SAIRVGFITYNKVLHFFNVKSNLAQPQMMVVTDVGEVFVPLLDGFLVNYEESQSVIHNLL DQIPEMFADSNENETVFAPVIQAGMEALKAAECPGKLFIFHSSLPTAEAPGKLKNRDDKK LVNTDKEKILFQPQTAVYESLAKDCVANSCSVTLFLFPSQFVDVASLGLVPLLTGGTLYK YNVFQIHSDSQRFLTDLRNDIEKKIGFDAIMRVRTSTGFRATDFFGGIFMNNTTDVEMAA IDCDKAVTVEFKHDDKLSEDVGALIQCAVLYTTISGQRRLRIHNLALNCSTQLADLYKSC ETDALINFFAKSAFKAVLNQPLKAIREILVNQTAHMLACYRKHCASPSAASQLILPDSMK VLPVYMNSLLKNCVLLSRSEISPDERAYQRQLVMTMGVADSQLFFYPLLLPIHTLDVKSA ALPPAVRCSESRLSEEGIFLLANGLNMFLWFGVGSPPELIQGIFNVPSFAHINTDMTSLP EVGSPHSQQLRMIMNNIQQKKPYSMKLIVVKQREQREMAFRQFLVEDKGLYGGSSYVDFL CCVHKEICQLLN >ENSMUSP00000143588.1 pep:known chromosome:GRCm38:3:123267490:123274398:1 gene:ENSMUSG00000039234.11 transcript:ENSMUST00000200333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec24d description:Sec24 related gene family, member D (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916858] MSQQGYVATPPYSQSQPGMGISPPHYGHYGDPSHASSPPVPASRFLP >ENSMUSP00000062700.3 pep:known chromosome:GRCm38:14:50392758:50393696:1 gene:ENSMUSG00000047716.3 transcript:ENSMUST00000058965.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr736 description:olfactory receptor 736 [Source:MGI Symbol;Acc:MGI:3030570] MTSARNASHTVSHFILLGFPCRREIQIFLFSIFFMIYILTLLGNMAIVYAVYWDHRLHTP MYILLANFSFLEICYVNSDVPNMLVNFLSTTKTISFTRCLLQLYFFFSLGTTECLFLSIM AYDRFLAICRPLHYPTVMTTMFCGNLVIFCWVYGFLWFLIPVILITQLPFCGPNVIDDFL CDLGPLLALASVCVPIPGTVLICGTMSSLLIFGTFFYIIGSYTLVLRAVIRMPSSAGSKK AFSTCSSHLAVVFLFYGSVMITYVSPGSGQAKGMQKFTTLFYSVMTPFFNPMIYSLRNKE MKDALKKVVGGS >ENSMUSP00000089463.4 pep:known chromosome:GRCm38:18:73573044:73592575:1 gene:ENSMUSG00000037253.8 transcript:ENSMUST00000091852.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mex3c description:mex3 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:2652843] MPSGSSAALALALAAAPAPLPQPPPLPPPPPAGGPELEGDGLLLRERLAALGLDDPSPAE PGAPALRAAAVAAAAAAQCQARRATGLAPEEPGRLATSETAELELEVDEEEGEEAELDGE LLEEEELEEAEEEDRPSLLLLSPPAATASQTQPIPGGPLGSVLLPAAGFDAREAAAAGVL YGGDDAQGMMAAMLSHAYGPGGGGAAAAALNGEQAALLRRKSVNTTECVPVPSSEHVAEI VGRQGCKIKALRAKTNTYIKTPVRGEEPIFVVTGRKEDVAMAKREILSAAEHFSMIRASR NKNGPALGGLSCSPNLPGQTTVQVRVPYRVVGLVVGPKGATIKRIQQQTHTYIVTPSRDK EPVFEVTGMPENVDRAREEIEMHIAMRTGNYIELNEENDFHYNGTDVSFEGGTLGSAWLS SNPVPPSRARMMSNYRNDSSSSLGSGSTDSYFGSNRLADFSPTSPFSTGNFWFGDTLPSV GSEDLTVDSPAFDSLPTSAQTIWTPFEPVNPLSGFGSDPSGNMKTQRRGSQPSTPRLSPT FPESIEHPLARRVRSDPPSTGNHVGLPIYIPAFSNGTNSYSSSNGGSTSSSPPESRRKHD CVICFENEVIAALVPCGHNLFCMECANKICEKRTPSCPVCQTAVTQAIQIHS >ENSMUSP00000076449.2 pep:known chromosome:GRCm38:7:86385657:86386658:-1 gene:ENSMUSG00000062426.2 transcript:ENSMUST00000077210.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr304 description:olfactory receptor 304 [Source:MGI Symbol;Acc:MGI:3030138] MPNDTRVTGFILMGFSAAPELQTVCGLFFLVMYLAVIMSNLLIITVITLDLKLQTPMYFF LKNLSLLDVFLVSIPIPKFIINNLTHNNYISILGCAFQILLMTSFSAGEIFVLTAMSYDR YVAICSPLCYEAIMSSGNCVLMVGVSWATGILFGALYTAGTFSMPFCGSMVIPQFFCDVP SLLRISCSGSLMIIYISLGIGMCLCMSCFYCVMISYFYIISTVLKIPTTRGQSKAFATCI PHLTVFSVFIATACFVYLKPPSDIPSITDRLFSVLYTVLPPALNPVIYSLRNSDVKCSLR RLQQNLCPRDSYYLTVQRFCQCYSASQVTSKCF >ENSMUSP00000061776.5 pep:known chromosome:GRCm38:X:38772671:38962686:1 gene:ENSMUSG00000051361.7 transcript:ENSMUST00000050744.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6030498E09Rik description:RIKEN cDNA 6030498E09 gene [Source:MGI Symbol;Acc:MGI:1925133] MRSRFYTSNGSGRFPQRRRVRAVSQKSNVPGLCLYTILCFGLGLGLGLILGLGLGLGLGL GLNSGFGLSLGLGLGTGLSIRLGRNLDMPQMASLDAVHQVSTDNVAIEDDSVGSIMKEMD ENIGQISDIRAISKYECDNWEDIHEIAADEIVKEGAEEGGQDNHQTKAT >ENSMUSP00000132324.2 pep:known chromosome:GRCm38:X:38958084:38959555:1 gene:ENSMUSG00000051361.7 transcript:ENSMUST00000168144.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6030498E09Rik description:RIKEN cDNA 6030498E09 gene [Source:MGI Symbol;Acc:MGI:1925133] MRSRFYTSNGSGRFPQRRRVRAVSQKSNVPGLCLYTILCFGLGLGLGLILGLGLGLGLGL GLNSGFGLSLGLGLGTGLSIRLGRNLDMPQMASLDAVHQVSTDNVAIEDDSVGSIMKEMD ENIGQISDIRAISKYECDNWEDIHEIAADEIVKEGAEEGGQDNHQTKAT >ENSMUSP00000062459.5 pep:known chromosome:GRCm38:7:86394496:86395535:-1 gene:ENSMUSG00000039608.5 transcript:ENSMUST00000053958.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr303 description:olfactory receptor 303 [Source:MGI Symbol;Acc:MGI:3030137] MDVKNQTAVTEFIFLGFPGSSSLQLPLFMMFLTVYLLSLMGNTLIIFLILVDSTLQTPMY IFLGNLSFLEIWYTTATVPKLLATCVTKVVTIPVAGCITQYYFFFSLGATECILLAVMAY DRHVAVCRPLHYSLLMSVHICLRFSAASWVGGFLAPLLPTILISQLNFCGPQKINHFFCD SDPIFKLSCSDTFLVEALGYTCSSVVILSSFLLTMSSYGNIVVTIIRLSSREARKKTFST CASHLTVVTIYYGTIIFAYVRPPAKYNFTIGKVVSVFYCVITPLVNPLIYTLRNKDVMKA FQKFLSQKKFLMGKNMHGL >ENSMUSP00000085329.6 pep:known chromosome:GRCm38:19:10208272:10240748:-1 gene:ENSMUSG00000036098.15 transcript:ENSMUST00000088013.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myrf description:myelin regulatory factor [Source:MGI Symbol;Acc:MGI:2684944] MEVVDETEALQRFFEGHDISGALEPSNIDTSILEEYIGKEDASDLCFPEISAPASTASFP HGPPAIPGSSGLHHLSPPGSGPSPGRHGPLPPPTYGTPLNCNNNNGMGTAPKPFLGGSGP PIKAEPKAPYAPGTLPDSPPDSGSEAYSPQQVNDPHLLRTITPETLCHVGVSSRLEHPPP PPAHLPGPPPPPPPPPHYPVLQRDLYMKAEPPVPPYAAMGPGLVPPELHHTQQTQVLHQL LQQHGAELPPHPSKKRKHSESPPNTLNAQMLNGMIKQEPGTVTALPPHPARAPSPPWPPQ GPLSPGTGSLPLSIARAQTPPWHPPGAPSPGLLQDSDSLSGSYLDPNYQSIKWQPHQQNK WATLYDANYKELPMLTYRVDADKGFNFSVGDDAFVCQKKNHFQVTVYIGMLGEPKYVKTP EGLKPLDCFYLKLHGVKLEALNQSINIEQSQSDRSKRPFNPVTVNLPPEQVTKVTVGRLH FSETTANNMRKKGKPNPDQRYFMLVVALQAHAQNQNYTLAAQISERIIVRASNPGQFESD SDVLWQRAQLPDTVFHHGRVGINTDRPDEALVVHGNVKVMGSLMHPSDLRAKEHVQEVDT TEQLKRISRMRLVHYRYKPEFAASAGIEATAPETGVIAQEVKEILPEAVKDTGDVVFANG KTIENFLVVNKERIFMENVGAVKELCKLTDNLETRIDELERWSHKLAKLRRLDSLKSTGS SGAFSHAGSQFSRAGSVPHKKRPPKLANKSSPAVPDQACISQRFLQGTIIALVVVMAFSV VSMSTLYVLSLRSEEDLVDADGSLAVSTSCLLALLRPQDPGGSEAMCPWSSQSFGTTQLR QSSMTTGLPGTQPSLLLVTKSASGPALRALDLCSSQPCPIVCCSPPVSSPATDPALGPTL TPTPSPSSNPKHSGPGQMAPLPVTNIRAKSWGISANGISYSKHSKSLEPLASPVVPFPGG QSKTKNSPSFNLQSRARRGAPQPSPSPAQFTQTQGQLDPAPSLTSIQLLENSMPITSQYC VPEGACRLGNFTYHIPVSSSTPLHLSLTLQMNSSTPVSVVLCSLTSEEEPCEEGGFLQRF HPHQDTQGTSHQWPVTILSFREFTYHFRVTLLGQANCSSEAIVQPATDYYFHFYRLCD >ENSMUSP00000140871.1 pep:known chromosome:GRCm38:19:10209704:10240745:-1 gene:ENSMUSG00000036098.15 transcript:ENSMUST00000186056.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myrf description:myelin regulatory factor [Source:MGI Symbol;Acc:MGI:2684944] MEVVDETEALQRFFEGHDISGALEPSNIDTSILEEYIGKEDASDLLPPHPSKKRKHSESP PNTLNAQMLNGMIKQEPGTVTALPPHPARAPSPPWPPQGPLSPGTGSLPLSIARAQTPPW HPPGAPSPGLLQDSDSLSGSYLDPNYQSIKWQPHQQNKWATLYDANYKELPMLTYRVDAD KGFNFSVGDDAFVCQKKNHFQVTVYIGMLGEPKYVKTPEGLKPLDCFYLKLHGVKLEALN QSINIEQSQSDRSKRPFNPVTVNLPPEQVTKVTVGRLHFSETTANNMRKKGKPNPDQRYF MLVVALQAHAQNQNYTLAAQISERIIVRASNPGQFESDSDVLWQRAQLPDTVFHHGRVGI NTDRPDEALVVHGNVKVMGSLMHPSDLRAKEHVQEVDTTEQLKRISRMRLVHYRYKPEFA ASAGIEATAPETGVIAQEVKEILPEAVKDTGDVVFANGKTIENFLVVNKERIFMENVGAV KELCKLTDNLETRIDELERWSHKLAKLRRLDSLKSTGSSGAFSHAGSQFSRAGSVPHKKR PPKLANKSSPAVPDQACISQRFLQGTIIALVVVMAFSVVSMSTLYVLSLRSEEDLVDADG SLAVSTSCLLALLRPQDPGGSEAMCPCRSSQSFGTTQLRQSSMTTGLPGTQPSLLLVTKS ASGPALRALDLCSSQPCPIVCCSPPVSSPATDPALGPTLTPTPSPSSNPKHSGPGQMAPL PVTNIRAKSWGISANGISYSKHSKSLEPLASPVVPFPGGQSKTKNSPSFNLQSRARRGAP QPSPSPAQFTQTQGQLDPAPSLTSIQLLENSMPITSQYCVPEGACRLGNFTYHIPVSSST PLHLSLTLQMNSSTPVSVVLCSLTSEEEPCEEGGFLQRFHPHQDTQGTSHQWPVTILSFR EFTYHFRVTLLGQANCSSEAIVQPATDYYFHFYRLCD >ENSMUSP00000140838.1 pep:known chromosome:GRCm38:19:10210300:10217528:-1 gene:ENSMUSG00000036098.15 transcript:ENSMUST00000186854.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myrf description:myelin regulatory factor [Source:MGI Symbol;Acc:MGI:2684944] XVIAQEVKEILPEAVKDTGDVVFANGKTIENFLVVNKERIFMENVGAVKELCKLTDNLET RIDELERWSHKLAKLRRLDSLKSTGSSGAFSHAGSQFSRAGSVPHKKRPPKLANKSSPAV PDQACISQRFLQGTIIALVVVMAFSVVSMSTLYVLSLRSEEDLVDADGSSQSFGTTQLRQ SSMTTGLPGTQPSLLLVTKSASGPALRALDLCSSQPCPIVCCSPPVSSPATDPALGPTLT PTPSPSSNPKHSGPGQMAPLPVTNIRAKSWGISANGISYSKHSKSLEPLASPVVPFPGGQ SKTKNSPSFNLQSRARRGAPQPSPSPAQFTQTQGQLDPAPSLTSIQLLENSMPITSQYCV PEGACRLGNFTYHIPVSSSTPLHLSLTLQMNSSTPVSVVLCSLTSEEEPCEEGGFLQRFH PHQDTQGTSHQWPVTILSFREFTYHFRVTLLGQANCSSEAIVQPATDYYFHFYRLCD >ENSMUSP00000139601.1 pep:known chromosome:GRCm38:19:10210385:10240604:-1 gene:ENSMUSG00000036098.15 transcript:ENSMUST00000189897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myrf description:myelin regulatory factor [Source:MGI Symbol;Acc:MGI:2684944] MEVVDETEALQRFFEGHDISGALEPSNIDTSILEEYIGKEDASDLCFPEISAPASTASFP HGPPAIPGSSGLHHLSPPGSGPSPGRHGPLPPPTYGTPLNCNNNNGMGTAPKPFLGGSGP PIKAEPKAPYAPGTLPDSPPDSGSEAYSPQQVNDPHLLRTITPETLCHVGVSSRLEHPPP PPAHLPGPPPPPPPPPHYPVLQRDLYMKAEPPVPPYAAMGPGLVPPELHHTQQTQVLHQL LQQHGAELPPHPSKKRKHSESPPNTLNAQMLNGMIKQEPGTVTALPPHPARAPSPPWPPQ GPLSPGTGSLPLSIARAQTPPWHPPGAPSPGLLQDSDSLSGSYLDPNYQSIKWQPHQQNK WATLYDANYKELPMLTYRVDADKGFNFSVGDDAFVCQKKNHFQVTVYIGMLGEPKYVKTP EGLKPLDCFYLKLHGVKLEALNQSINIEQSQSDRSKRPFNPVTVNLPPEQVTKVTVGRLH FSETTANNMRKKGKPNPDQRYFMLVVALQAHAQNQNYTLAAQISERIIVRASNPGQFESD SDVLWQRAQLPDTVFHHGRVGINTDRPDEALVVHGNVKVMGSLMHPSDLRAKEHVQEVDT TEQLKRISRMRLVHYRYKPEFAASAGIEATAPETGVIAQEVKEILPEAVKDTGDVVFANG KTIENFLVVNKERIFMENVGAVKELCKLTDNLETRIDELERWSHKLAKLRRLDSLKSTGS SGAFSHAGSQFSRAGSVPHKKRPPKLANKSSPAVPDQACISQRFLQGTIIALVVVMAFSV VSMSTLYVLSLRSEEDLVDADGRSSQSFGTTQLRQSSMTTGLPGTQPSLLLVTKSASGPA LRALDLCSSQPCPIVCCSPPVSSPATDPALGPTLTPTPSPSSNPKHSGPGQMAPLPVTNI RAKSWGISANGISYSKHSKSLEPLASPVVPFPGGQSKTKNSPSFNLQSRARRGAPQPSPS PAQFTQTQGQLDPAPSLTSIQLLENSMPITSQYCVPEGACRLGNFTYHIPVSSSTPLHLS LTLQMNSSTPVSVVLCSLTSEEEPCEEGGFLQRFHPHQDTQGTSHQWPVTILSFREFTYH FRVTLLGQANCSSEAIVQPATDYYFHFYRLCD >ENSMUSP00000136396.2 pep:known chromosome:GRCm38:7:47234861:47252828:-1 gene:ENSMUSG00000074111.4 transcript:ENSMUST00000179005.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpra9 description:MAS-related GPR, member A9 [Source:MGI Symbol;Acc:MGI:3033148] MGESSTSAGFLALNISASTMALTTTNPMDETIPGSTSIKILIPNLMIIIFGLVGLTGNAI VFWLLGFHLRRNAFSVYILNLALADFLFLLCRIIASTQKLLTFSSPNITFLICLYTFRVI LYIAGLSMLTAISIERCLSVLCPIWYRCHRPEHTSTVMCAAIWVLSLLICILNRYFCGFL DTKYVNDYGCMASNFFNAAYLMFLFVVLCVSSLALLARLFCGTGRMKLTRLYVTIMLTIL VFLLCGLPCGLYWFLLFWIKNGFAVFDFNFYLASTVLSAINSSANPIIYFFVGSFRHRLK HQTLKMVLQSALQDTPETAENMVEMSRSKAEP >ENSMUSP00000096035.3 pep:known chromosome:GRCm38:7:47234919:47252848:-1 gene:ENSMUSG00000074111.4 transcript:ENSMUST00000098436.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpra9 description:MAS-related GPR, member A9 [Source:MGI Symbol;Acc:MGI:3033148] MGESTSAGFLALNISASTMALTTTNPMDETIPGSTSIKILIPNLMIIIFGLVGLTGNAIV FWLLGFHLRRNAFSVYILNLALADFLFLLCRIIASTQKLLTFSSPNITFLICLYTFRVIL YIAGLSMLTAISIERCLSVLCPIWYRCHRPEHTSTVMCAAIWVLSLLICILNRYFCGFLD TKYVNDYGCMASNFFNAAYLMFLFVVLCVSSLALLARLFCGTGRMKLTRLYVTIMLTILV FLLCGLPCGLYWFLLFWIKNGFAVFDFNFYLASTVLSAINSSANPIIYFFVGSFRHRLKH QTLKMVLQSALQDTPETAENMVEMSRSKAEP >ENSMUSP00000019469.2 pep:known chromosome:GRCm38:11:101367561:101377903:1 gene:ENSMUSG00000078650.2 transcript:ENSMUST00000019469.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6pc description:glucose-6-phosphatase, catalytic [Source:MGI Symbol;Acc:MGI:95607] MEEGMNILHDFGIQSTRYLQVNYQDSQDWFILVSVIADLRNAFYVLFPIWFHLKETVGIN LLWVAVVGDWFNLVFKWILFGQRPYWWVLDTDYYSNSSVPIIKQFPVTCETGPGSPSGHA MGAAGVYYVMVTSTLAIFRGKKKPTYGFRCLNVILWLGFWAVQLNVCLSRIYLAAHFPHQ VVAGVLSGIAVAETFSHIRGIYNASLRKYCLITIFLFGFALGFYLLLKGLGVDLLWTLEK AKRWCERPEWVHLDTTPFASLFKNLGTLLGLGLALNSSMYRKSCKGELSKLLPFRFACIV ASLVLLHLFDSLKPPSQVELIFYILSFCKSATVPFASVSLIPYCLARILGQTHKKSL >ENSMUSP00000040416.3 pep:known chromosome:GRCm38:14:47387779:47418407:-1 gene:ENSMUSG00000037544.13 transcript:ENSMUST00000043296.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap5 description:discs, large (Drosophila) homolog-associated protein 5 [Source:MGI Symbol;Acc:MGI:2183453] MLVSRFASRFRKDSSTEMVRTNLAHRKSLSQKENRHRVYERNRHFGLKDVNIPLEGRELG NIHETSQDLSPEKASSKTRSVKMVLSDQRKQLLQKYKEEKQLQKLKEQREKAKRGVFKVG LYRPAAPGFLVTDQRGAKAEPEKAFPHTGRITRSKTKEYMEQTKIGSRNVPKATQSDQRQ TSEKQPLDRERKVMQPVLFTSGKGTESAATQRAKLMARTVSSTTRKPVTRATNEKGSERM RPSGGRPAKKPEGKPDKVIPSKVERDEKHLDSQTRETSEMGPLGVFREVESLPATAPAQG KERKSFAPKHCVFQPPCGLKSYQVAPLSPRSANAFLTPNCDWNQLRPEVFSTTTQDKANE ILVQQGLESLTDRSKEHVLNQKGASTSDSNHASVKGVPCSEASEGQTSQPPHDVPYFRKI LQSETDRLTSHCQEWEGKLDLDIPDEAKGLIRTTVGQTRLLIKERFRQFEGLVDNCEYKR GEKETTCTDLDGFWDMVSFQVDDVNQKFNNLIKLEASGWKDSNNPSKKVLRKKIVPGRTS KAKQDDDGRAAARSRLAAIKNAMKGRPQQEVQAHAAAPENTKEVDKIVFDAGFFRIESPV KSFSVLSSERRSQRFGTPLSASKVVPEGRAAGDLLRQKMPLKKPDPQSSKSEHVDRTFSD GLESRCHVEDTPCPGEQDSSDIEHDVNKINVKMDCFSVETNLPLPAGDANTNQKEAISAV EGASSAVTSQDLLMSNPETNTSSQSNTSQEEAEASQSVLLHKSLTSECHLLEPPGLSCTS PCTREETRQPDRSRQFSFGGDLILFSPL >ENSMUSP00000137382.1 pep:known chromosome:GRCm38:14:47387788:47394253:-1 gene:ENSMUSG00000037544.13 transcript:ENSMUST00000177822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap5 description:discs, large (Drosophila) homolog-associated protein 5 [Source:MGI Symbol;Acc:MGI:2183453] XEGRAAGDLLRQKMPLKKPDPQSSKSEHVDRTFSDGLESRCHVEDTPCPGEQDSSDIEHD VNKINVKMDCFSVETNLPLPAGDANTNQKEAISAVEGASSAVTSQDLLMSNPETNTSSQS NTSQEEAEASQSGLSCTSPCTREETRQPDRSRQFSFGGDLILFSPL >ENSMUSP00000107408.3 pep:known chromosome:GRCm38:14:47398497:47411666:-1 gene:ENSMUSG00000037544.13 transcript:ENSMUST00000111778.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap5 description:discs, large (Drosophila) homolog-associated protein 5 [Source:MGI Symbol;Acc:MGI:2183453] XRKVMQPVLFTSGKGTESAATQRAKLMARTVSSTTRKPVTRATNEKGSERMRPSGGRPAK KPEGKPDKVIPSKVERDEKHLDSQTRETSEMGPLGVFREVESLPATAPAQGKERKSFAPK HCVFQPPCGLKSYQVAPLSPRSANAFLTPNCDWNQLRPEVFSTTTQDKANEILVQQGLES LTDRSKDSNHASVKGVPCSEASEGQTSQPPHDVPYFRKILQSETDRLTSHCQEWEGKLDL DIPDEAKGLIRTTVGQTRLLIKERFRQFEGLVDNCEYKRGEKETTCTDLDGFWDMVSFQV DDV >ENSMUSP00000058233.6 pep:known chromosome:GRCm38:4:45034247:45084604:-1 gene:ENSMUSG00000048232.12 transcript:ENSMUST00000052236.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo10 description:F-box protein 10 [Source:MGI Symbol;Acc:MGI:2686937] METGGLPLELWRMILAYLHLPDLGRCSLVCRAWYELILSLDSTRWRQLCLGCTECRHPNW PNQPDVEPESWREAFKQHYLASKTWTKNALDLESSICFSLFRRKKERRTLSVGPGHEFDS LGSALAMASLYDRIVLFPGVYEEQGEIILKVPVEIVGQGKLGEVALLASIDQHCSTTRVC NLVFMPAWFSPIMYKTTSGHIQFDNCNFENGHIQVHGPGTCQVKFCTFKNTHVFLHNVPL CMLENCEFVGSENNCVTVEGHPSADKNWAYKYLLGLIKSSPIFLPAEDHDFLMSLDLESR DQAWSPRTCDIVIEGSQSPTSPVCSSPKPGSKEAEVGSDGERVAQTPDSSDGGLSPSGED EDDEQLTYRLSYQVQGPRPVLGGSFLGPPLPGASIQLPSCLVLNSLHQELQKDKEAMALA SSVQGCLIRKCLFRDGKGGVFVCSYGRAKMEGNVFRNLTYAVRCIHNSKIVMLRNDIYRC RASGIFLRLEGGGLIAGNNIYHNAEAGVDIRKKSNPLILCNQIHHGLRSGIVVLGNGKGV IRNNQIFSNKEAGIYILYHGNPIVSGNHIFKGRAAGIAVNENGKGLITENVIRENQWGGV DIRRGGVPILRSNLICFGYSDGVVVGDEGKGLIEGNTIYANKGCGVWMMSSSLPHVTSNH VSYNGLYGVAVFSQKDGEFPGGHGAQENFSEDGDAILWEAELEKEDDPLRRPITVALVES NSINHNGASGIFVQSSEALQVVANVIHANGDRGITIVQSSQLTRVANNSISCNRQSGVKV EFQCKVELRGNGIYDNRGHGIITKGDGTAVVENDIIGNRGSGLQLLPRSDTKVLKNRIHS FRAYGIAVRGRVKALVQENIIFQGKTNKTIFQQITNNRECIMQNNKFLVFKKKSDTWRLV NPPARPHLENSLRGSSAAHSGHKVTAMATRITARVEGGYHSNRSIFCTIL >ENSMUSP00000119862.1 pep:known chromosome:GRCm38:4:45034248:45062004:-1 gene:ENSMUSG00000048232.12 transcript:ENSMUST00000140008.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo10 description:F-box protein 10 [Source:MGI Symbol;Acc:MGI:2686937] XSTTRVCNLVFMPAWFSPIMYKTTSGHIQFDNCNFENGHIQVHGPGTCQVKFCTFKNTHV FLHNVPLCMLENCEFVGSENNCVTVEGHPSADKNWAYKYLLGLIKSSPIFLPAEDHDFLM SLDLESRDQAWSPRTCDIVIEGSQSPTSPVCSSPKPGSKEAEVGSDGERVAQTPDSSDGG LSPSGEDEDDEQLTYRLSYQVQGPRPVLGGSFLGPPLPGASIQLPSCLVLNSLHQELQKD KEAMALASSVQGCLIRKCLFRDGKGGVFVCSYGRAKMEGNVFRNLTYAVRCIHNSKIVML RNDIYRCRASGIFLRLEGGGLIAGNNIYHNAEAGVDIRKKSNPLILCNQIHHGLRSGIVV LGNGKGVIRNNQIFSNKEAGIYILYHGNPIVSGNHIFKGRAAGIAVNENGKGLITENVIR ENQWGGVDIRRGGVPILRSNLICFGYSDGVVVGDEGKGLIEGNTIYANKGCGVWMMSSSL PHVTSNHVSYNGLYGVAVFSQKDGEFPGGHGAQENFSEDGDAILWEAELEKEDDPLRRPI TVALVESNSINHNGASGIFVQSSEALQVVANVIHANGDRGITIVQSSQLTRVANNSISCN RQSGVKVEFQCKVELRGNGIYDNRGHGIITKGDGTAVVENDIIGNRGSGLQLLPRSDTKV LKNRIHSFRAYGIAVRGRVKALVQENIIFQGKTNKTIFQQITNNRECIMQNNKFLVFKKN CPRNDKGTCYK >ENSMUSP00000099760.1 pep:known chromosome:GRCm38:4:116807723:116819431:1 gene:ENSMUSG00000028687.17 transcript:ENSMUST00000102699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mutyh description:mutY homolog (E. coli) [Source:MGI Symbol;Acc:MGI:1917853] MKKLQASVRSHKKQPANHKRRRTRALSSSQAKPSSLDGLAKQKREELLQASVSPYHLFSD VADVTAFRSNLLSWYDQEKRDLPWRNLAKEEANSDRRAYAVWVSEVMLQQTQVATVIDYY TRWMQKWPKLQDLASASLEEVNQLWSGLGYYSRGRRLQEGARKVVEELGGHMPRTAETLQ QLLPGVGRYTAGAIASIAFDQVTGVVDGNVLRVLCRVRAIGADPTSTLVSHHLWNLAQQL VDPARPGDFNQAAMELGATVCTPQRPLCSHCPVQSLCRAYQRVQRGQLSALPGRPDIEEC ALNTRQCQLCLTSSSPWDPSMGVANFPRKASRRPPREEYSATCVVEQPGAIGGPLVLLVQ RPDSGLLAGLWEFPSVTLEPSEQHQHKALLQELQRWCGPLPAIRLQHLGEVIHIFSHIKL TYQVYSLALDQAPASTAPPGARWLTWEEFCNAAVSTAMKKVFRMYEDHRQGTRKGSKRSQ VCPPSSRKKPSLGQQVLDTFFQRHIPTDKPNSTTQ >ENSMUSP00000122549.1 pep:known chromosome:GRCm38:4:116807725:116815656:1 gene:ENSMUSG00000028687.17 transcript:ENSMUST00000130359.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mutyh description:mutY homolog (E. coli) [Source:MGI Symbol;Acc:MGI:1917853] MKKLQASVRSHKKQPANHKRRRTRALSSSQAKPSSLDGLAKQKREELLQASVSPYHLFSD VADVTAFR >ENSMUSP00000122777.1 pep:known chromosome:GRCm38:4:116814319:116819383:1 gene:ENSMUSG00000028687.17 transcript:ENSMUST00000155346.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mutyh description:mutY homolog (E. coli) [Source:MGI Symbol;Acc:MGI:1917853] MKKLQASVRSHKKQPANHKRRRTRALSSSQAKPSSLDGLAKQKREELLQASVSPYHLFSD VADVTAFRSNLLSWYDQEKRDLPWRNLAKEEANSDRRAYAEVAKASRPGQRFPGGGEPAL VWPGLLFSRPSATRRS >ENSMUSP00000027856.7 pep:known chromosome:GRCm38:1:165328698:165460465:-1 gene:ENSMUSG00000026571.12 transcript:ENSMUST00000027856.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf6 description:DDB1 and CUL4 associated factor 6 [Source:MGI Symbol;Acc:MGI:1921356] MARSGSCPHLLWDVRKRSLGLEDPSRLRSRYLGRREFIQRLKLEATLNVHDGCVNTICWN DTGEYILSGSDDTKLVISNPYSRKVLTTIRSGHRANIFSAKFLPCTDDKQIVSCSGDGVI FYTNIEQDAETNRQCQFTCHYGTTYEIMTVPNDPYTFLSCGEDGTVRWFDTRIKTSCTKE DCKDDILINCRRAATSVAICPPVPYYLAVGCSDSSVRIYDRRMLGTRATGNYAGRGTTGM VARFIPSHLSNKSCRVTSLCYSEDGQEILVSYSSDYIYLFDPKDDTARELKTPSAEERRE ELRQPPVKRLRLRGDWSDTGPRARPESERERDGEQSPNVSLMQRMSDMLSRWFEEASEVA QSNRGRGRPRPRGGTNQPDVSTLPTVPSSPNLEVCETAMDVDMPAALLQPSTSSTDPVQA QAATAAIESPRSSSLLSCPDSEPRQSVEASGHHAHHQSDNSNERLSPKPGTGEPVLSLHY STEGTTTSTIKLNFTDEWSSTASSSRGNGSHCKSEGQEECLVPPSSVQPPEGDSETRAPE ELSEKGTLPENLTQNQIDTAQLDNFPAEPLDSNSGEKNNPSQDSPCGLPEEGTLSETDRE TCEQASTESATRHASTKPELPSQTEAIEQASTESATRHTSANPELPSQTEAIAPLAHEDP SARDSALQDTDDSDDDPVLIPGARYRTGPGDRRSAVARIQEFFRRRKERKEMEELDTLNI RRPLVKMVYKGHRNSRTMIKEANFWGANFVMSGSDCGHIFIWDRHTAEHLMLLEADNHVV NCLQPHPFDPILASSGIDYDIKIWSPLEESRIFNRKLADEVITRNELMLEETRNTITVPA SFMLRMLASLNHIRADRLEGDRSEGSGQENENEDEE >ENSMUSP00000141401.1 pep:known chromosome:GRCm38:1:165351058:165388746:-1 gene:ENSMUSG00000026571.12 transcript:ENSMUST00000194869.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf6 description:DDB1 and CUL4 associated factor 6 [Source:MGI Symbol;Acc:MGI:1921356] XPRSSSLLSCPDSEPRQSVEASGHHAHHQSEFLRGPEIALLRKRLQQLRLKKAEQQRQQE LAQAQHQPPTSTSDPPPHEGSSRDPRASDSPSSVVNKQLGSMSLDEQQDNSNERLSPKPG TGEPVLSLHYSTEGTTTSTIKLNFTDEWSSTASSSRGNGSHCKSEGQEECLVPPSSVQPP EGDSETRAPEELSEKGTLPENLTQNQIDTAQLDNFPAEPLDSNSGEKNNPSQDSPCGLPE EGTLSETDRETCEQASTESATRHASTKPELPSQTEAIEQASTE >ENSMUSP00000141292.1 pep:known chromosome:GRCm38:1:165351488:165388761:-1 gene:ENSMUSG00000026571.12 transcript:ENSMUST00000195220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf6 description:DDB1 and CUL4 associated factor 6 [Source:MGI Symbol;Acc:MGI:1921356] XAAIESPRSSSLLSCPDSEPRQSVEASGHHAHHQSDSPSSVVNKQLGSMSLDEQQDNSNE RLSPKPGTGEPVLSLHYSTEGTTTSTIKLNFTDEWSSTASSSRGNGSHCKSEGQEECLVP PSSVQPPEGDSETRAPEELSEKGTLPENLTQ >ENSMUSP00000143985.1 pep:known chromosome:GRCm38:5:53809606:53846273:1 gene:ENSMUSG00000039178.9 transcript:ENSMUST00000202528.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d19 description:TBC1 domain family, member 19 [Source:MGI Symbol;Acc:MGI:1914499] MLQEESDLSLIIAQIVQKLKGSNLYAQLERQAWSCLQRPEIRLESLKEDIKEFFKISGWE KKLQNAVYSELNV >ENSMUSP00000040585.6 pep:known chromosome:GRCm38:5:53809627:53903965:1 gene:ENSMUSG00000039178.9 transcript:ENSMUST00000037337.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d19 description:TBC1 domain family, member 19 [Source:MGI Symbol;Acc:MGI:1914499] MLQEESDLSLIIAQIVQKLKGSNLYAQLERQAWSCLQRPEIRLESLKEDIKEFFKISGWE KKLQNAVYSELNVFPLPSHPSAPPEHLKEPLVYMRKAQGSWEKRILKSLNSMCTELSIPL ARKRPAMEQKELLNKWNEMGTDEPDLSLFRPVYAPKDFLEVLINLRNPNYENGDSLSFRT HLGLIQVPLKVKDIPELKECFVELGLNTGQLGIDDSTQVPPELFENEHVRIGQKVLAQQD SAAAQQYIRQGSPTALRAELWALILNISSQPEDILYYEQLKTNVIQHDLLVDSLIYKDVK LTASNDDYYFVFEDYLYQVLLCFSRDTSVLGHFAYNSASPPKSYIRGKLGLEEYAVFYPP NGVIPFHGFSMYVAPLCFLYHEPYKLYQIFREMYVRFFFRLHSISSHPSGIVSLCLLFET LLQTYLPQLFYHLREIGAQPLRISFKWMVRAFSGYLATDQLLLLWDRILGYNSLEILAVL AAAVFAFRAVNLMEVTSLAAAEAVLADLSTLKVMPLLQIFLFATVT >ENSMUSP00000144558.1 pep:known chromosome:GRCm38:5:53809654:53903963:1 gene:ENSMUSG00000039178.9 transcript:ENSMUST00000201958.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d19 description:TBC1 domain family, member 19 [Source:MGI Symbol;Acc:MGI:1914499] MLQEESDLSLIIAQIVQKLKGSNLYAQLERQAWSCLQRPEIRLESLKEDIKEFFKISGWE KKLQNAVYSELNVSFAKSSFCTP >ENSMUSP00000144033.1 pep:known chromosome:GRCm38:5:53809679:53838196:1 gene:ENSMUSG00000039178.9 transcript:ENSMUST00000202599.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d19 description:TBC1 domain family, member 19 [Source:MGI Symbol;Acc:MGI:1914499] MLQEESDLSLIIAQIVQKLKGSNLYAQLERQAWSCLQRPEIRLESLKEDIKEFFKISGWE KKLQNAVYSELNVGLLTVSEGEFMAILVGTKTAGGLAQCWSNS >ENSMUSP00000052294.4 pep:known chromosome:GRCm38:1:181509633:181511451:-1 gene:ENSMUSG00000050625.4 transcript:ENSMUST00000058825.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc121 description:coiled-coil domain containing 121 [Source:MGI Symbol;Acc:MGI:2685601] MLAQSPGDAPPPTPHLTILNTYLKPKLLTSLEKRVKRKTVVAMKELSQQIQETKCRRERL LKDSRQLLEEKYRVQAENQLFMEYLRKNKEQCEKKQEELWKQYVQECGEIERERQELASR YNQRNAALQAQLLQGRKTQKDLKQQLQALKPVYKIKEGQDVKIQTLEKEQEKVRSETATK DREAHFQFLREKALMEKELQEWHLMELGQINTTGLTRKYKALALAAKQAHSEFCGSLHRE NQQLRKELQQLSQEYGRLDAVRSQLEKHRQLAKEQQWYLEALTRGRQRLQAERERHHRGH NPRLKEQRASKTMLSTKSKASSK >ENSMUSP00000076954.7 pep:known chromosome:GRCm38:7:24291039:24299675:-1 gene:ENSMUSG00000050605.17 transcript:ENSMUST00000077780.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp61 description:zinc finger protein 61 [Source:MGI Symbol;Acc:MGI:99663] MEAVTFKDVAVVFTKEEFRLLDSAQRTLYQDVMVENFRNLLSVEYQLFKRDKPYLEREEK PQMRRAAPRERDSGINGQSARGAVRGRGSPEALPCWQIWQWVTDDLTTSQNSVVNTPQLQ GKAPCQSRPRPSAPVSVDENYIKSHTISVPIHSGRPPLPTLRAQGTSLTERQTRKDQYHQ GSHRDEAGQRKRDAHPTSWIPRPRSDHRVCKSKGRCSPSDHGRDGVEVVPFDQNGGIHTR QKSSQHREAVTDLSSSDPHQVLDSGGRACACVECGRGSPCGTDGCIHQGGRTGEERKEFG HQRVCTPVKPYTCEQCGKVFTCRSALNVHVKVHTRERPYNCETCGSAFSQASHLQDHQRL HTGEKPFKCDACGKSFSRSSHLRSHQRVHTGEKPYKCGECGKSFICSSNLYIHQRVHTGE KPYKCVDCGKEFSRPSSLQAHQGIHTGEKSYVCTMCGKGYTLNSNLQVHLRVHTGEKPYS CDVCGKGFSRSSQLQSHQRVHTGEKPYKCDVCGKSFGWRSNLIIHHRIHSSGKPYKSKRD DKNIKESTQEKCSIK >ENSMUSP00000117077.1 pep:known chromosome:GRCm38:7:24292162:24299310:-1 gene:ENSMUSG00000050605.17 transcript:ENSMUST00000145131.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp61 description:zinc finger protein 61 [Source:MGI Symbol;Acc:MGI:99663] MEAVTFKDVAVVFTKEEFRLLDSAQRTLYQDVMVENFRNLLSVGINGQSARGAVRGRGSP EALPCWQIWQWVTDDLTTSQNSVVNTPQLQGKAPCQSRPRPSAPVSVDENYIKSHTISVP IHSGRPPLPTLRAQGTSLTERQTRKDQYHQGSHRDEAG >ENSMUSP00000132551.1 pep:known chromosome:GRCm38:7:24291057:24295981:-1 gene:ENSMUSG00000050605.17 transcript:ENSMUST00000165241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp61 description:zinc finger protein 61 [Source:MGI Symbol;Acc:MGI:99663] MEAVTFKDVAVVFTKEEFRLLDSAQRTLYQDVMVENFRNLLSVEYQLFKRDKPYLEREEK PQMRRAAPRERDSGINGQSARGAVRGRGSPEALPCWQIWQWVTDDLTTSQNSVVNTPQLQ GKAPCQSRPRPSAPVSVDENYIKSHTISVPIHSGRPPLPTLRAQGTSLTERQTRKDQYHQ GSHRDEAGQRKRDAHPTSWIPRPRSDHRVCKSKGRCSPSDHGRDGVEVVPFDQNGGIHTR QKSSQHREAVTDLSSSDPHQVLDSGGRACACVECGRGSPCGTDGCIHQGGRTGEERKEFG HQRVCTPVKPYTCEQCGKVFTCRSALNVHVKVHTRERPYNCETCGSAFSQASHLQDHQRL HTGEKPFKCDACGKSFSRSSHLRSHQRVHTGEKPYKCGECGKSFICSSNLYIHQRVHTGE KPYKCVDCGKEFSRPSSLQAHQGIHTGEKSYVCTMCGKGYTLNSNLQVHLRVHTGEKPYS CDVCGKGFSRSSQLQSHQRVHTGEKPYKCDVCGKSFGWRSNLIIHHRIHSSGKPYKSKRD DKNIKESTQEKCSIK >ENSMUSP00000053547.6 pep:known chromosome:GRCm38:5:115648175:115731621:-1 gene:ENSMUSG00000041609.16 transcript:ENSMUST00000055408.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc64 description:coiled-coil domain containing 64 [Source:MGI Symbol;Acc:MGI:1922915] MSAFCLGLAGRASAPAEPDSACCMELPAGAGDAVRSPATAAALVSFPGGPGELELALEEE LALLAAGERSSEPGEHPQAEPESPVEGHGPPLPPPPTQDPELLSVIRQKEKDLVLAARLG KALLERNQDMSRQYEQMHKELTDKLEHLEQEKHELRRRFENREGEWEGRVSELETDVKQL QDELERQQLHLREADREKTRAVQELSEQNQRLLDQLSRASEVERQLSMQVHALKEDFREK NSSTNQHIIRLESLQAEIKMLSDRKRELEHRLSATLEENDLLQGTVEELQDRVLILERQG HDKDLQLHQSQLELQEVRLSYRQLQGKVEELTEERSLQSSAATSTSLLSEIEQSMEAEEL EQEREQLRLQLWEAYCQVRYLCSHLRGNDSADSAVSTDSSMDESSETSSAKDVPAGSLRT ALNDLKRLIQSIVDGVEPTVTLLSVEMTALKEERDRLRVTSEDKEPKEQLQKAIRDRDEA IAKKNAVELELAKCKMDMMSLNSQLLDAIQQKLNLSQQLEAWQDDMHRVIDRQLMDTHLK EQSRPAAAAFPRGHGVGRGQEPSTADGKRLFSFFRKI >ENSMUSP00000112842.1 pep:known chromosome:GRCm38:5:115648177:115652986:-1 gene:ENSMUSG00000041609.16 transcript:ENSMUST00000118576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc64 description:coiled-coil domain containing 64 [Source:MGI Symbol;Acc:MGI:1922915] MDMMSLNSQLLDAIQQKLNLSQQLEAWQDDMHRVIDRQLMDTHLKEQSRPAAAAFPRGHG VGRGQEPSTADGKRLFSFFRKI >ENSMUSP00000112949.1 pep:known chromosome:GRCm38:5:115648180:115652981:-1 gene:ENSMUSG00000041609.16 transcript:ENSMUST00000121746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc64 description:coiled-coil domain containing 64 [Source:MGI Symbol;Acc:MGI:1922915] MDMMSLNSQLLDAIQQKLNLSQQLEAWQDDMHRVIDRQLMDTHLKEQSRPAAAAFPRGHG VGRGQEPSTADGKRLFSFFRKI >ENSMUSP00000120038.1 pep:known chromosome:GRCm38:5:115649267:115652986:-1 gene:ENSMUSG00000041609.16 transcript:ENSMUST00000141976.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc64 description:coiled-coil domain containing 64 [Source:MGI Symbol;Acc:MGI:1922915] MDMMSLNSQLLDAIQQKLNLSQQLEAWQFASSGLFTIWLLWFLI >ENSMUSP00000119664.1 pep:known chromosome:GRCm38:5:115651819:115670264:-1 gene:ENSMUSG00000041609.16 transcript:ENSMUST00000148276.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc64 description:coiled-coil domain containing 64 [Source:MGI Symbol;Acc:MGI:1922915] HRLSATLEENDLLQGTVEELQDRVLILERQGHDKDLQLHQSQLELQEVRLSYRQLQGKVE ELTEERSLQSSAATSTSLLSEIEQSMEAEELEQEREQLRLQLWEAYCQVRYLCSHLRGND SADSAVSTDSSMDESSETSSAKDVPAGSLRTALNDLKRLIQSIVDGVEPTSTRRMDDDSL EEQIRQTSEDSRALRELMEGERGKLRQSLEELQQLHSQVTLLSVEMTALKEERDRLRVTS EDKEPKEQLQKAIRDRDEAIAKKNAVELELAKCKMDMMSLNSQLLDAIQQKLNLSQQLEA WQ >ENSMUSP00000119015.1 pep:known chromosome:GRCm38:5:115670185:115731367:-1 gene:ENSMUSG00000041609.16 transcript:ENSMUST00000141950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc64 description:coiled-coil domain containing 64 [Source:MGI Symbol;Acc:MGI:1922915] AAGERSSEPGEHPQAEPESPVEGHGPPLPPPPTQDPELLSVIRQKEKDLVLAARLGKALL ERNQDMSRQYEQMHKELTDKLEHLEQEKHELRRRFENREGEWEGRVSELETDVKQLQDEL ERQQLHLREADREKTRAVQELSEQNQRLLDQLSRASEVERQLSMQVHALKEDFREKNSST NQHIIRLESLQAEQVLEIKMLSDRKRELEHRLSATLEENDLLQGTVEELQDRVLIL >ENSMUSP00000076267.3 pep:known chromosome:GRCm38:17:37405477:37406509:1 gene:ENSMUSG00000063188.3 transcript:ENSMUST00000077008.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr107 description:olfactory receptor 107 [Source:MGI Symbol;Acc:MGI:2177490] MNCSKTPGFILLGLSSDPEKWQPLFNIFLCLYLLGLLGNLLLLLAIGTDVHLHTPMYFFL SQLSLVDLCFITTTAPKMLEALWTGDGSISFSGCLTQFYFFAVFADMDNLLLAVMAIDRY AAICHPLFYPFLMTPCRCEVLASGSWGIAHCVSLFYTLLLSQFYYHTNQGIPHFFCDSRP LLLLSCSDTHLSEGLMMALSGVLGMSSVLCLVSSYGCIFYAVARVPSAQGKRKALATCSS HLSVVLLFYSTVFATYLKPPSTSHSSAEVVAAVMYTLVTPTLNPFIYSLRNKDVKSSLRK ILNMDKFQG >ENSMUSP00000129978.1 pep:known chromosome:GRCm38:7:47334875:47354240:-1 gene:ENSMUSG00000050650.7 transcript:ENSMUST00000098438.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpra1 description:MAS-related GPR, member A1 [Source:MGI Symbol;Acc:MGI:3033095] MGESSTCAGFLALNTSASPTAPTTTNPMDNTIPGGINITILIPNLMIIIFGLVGLTGNGI VFWLLGFCLHRNAFSVYILNLALADFFFLLGHIIDSILLLLNVFYPITFLLCFYTIMMVL YIAGLSMLSAISTERCLSVLCPIWYHCHRPEHTSTVMCAVIWVLSLLICILNSYFCGFLN TQYKNENGCLALSFFTAAYLMFLFVVLCLSSLALVARLFCGTGQIKLTRLYVTIILSILV FLLCGLPFGIHWFLLFKIKDDFHVFDLGFYLASVVLTAINSCANPIIYFFVGSFRHRLKH QTLKMVLQNALQDTPETAKIMVEMSRSKSEP >ENSMUSP00000054556.7 pep:known chromosome:GRCm38:2:181147653:181157014:-1 gene:ENSMUSG00000016349.10 transcript:ENSMUST00000055990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1a2 description:eukaryotic translation elongation factor 1 alpha 2 [Source:MGI Symbol;Acc:MGI:1096317] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVL DKLKAERERGITIDISLWKFETTKYYITIIDAPGHRDFIKNMITGTSQADCAVLIVAAGV GEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPAYSEKRYDEIVKEVSAYIKK IGYNPATVPFVPISGWHGDNMLEPSPNMPWFKGWKVERKEGNASGVSLLEALDTILPPTR PTDKPLRLPLQDVYKIGGIGTVPVGRVETGILRPGMVVTFAPVNITTEVKSVEMHHEALS EALPGDNVGFNVKNVSVKDIRRGNVCGDSKADPPQEAAQFTSQVIILNHPGQISAGYSPV IDCHTAHIACKFAELKEKIDRRSGKKLEDNPKSLKSGDAAIVEMVPGKPMCVESFSQYPP LGRFAVRDMRQTVAVGVIKNVEKKSGGAGKVTKSAQKAQKAGK >ENSMUSP00000004232.9 pep:known chromosome:GRCm38:3:138277494:138290698:1 gene:ENSMUSG00000074207.10 transcript:ENSMUST00000004232.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adh1 description:alcohol dehydrogenase 1 (class I) [Source:MGI Symbol;Acc:MGI:87921] MSTAGKVIKCKAAVLWELHKPFTIEDIEVAPPKAHEVRIKMVATGVCRSDDHVVSGTLVT PLPAVLGHEGAGIVESVGEGVTCVKPGDKVIPLFSPQCGECRICKHPESNFCSRSDLLMP RGTLREGTSRFSCKGKQIHNFISTSTFSQYTVVDDIAVAKIDGASPLDKVCLIGCGFSTG YGSAVKVAKVTPGSTCAVFGLGGVGLSVIIGCKAAGAARIIAVDINKDKFAKAKELGATE CINPQDYSKPIQEVLQEMTDGGVDFSFEVIGRLDTMTSALLSCHAACGVSVVVGVPPNAQ NLSMNPMLLLLGRTWKGAIFGGFKSKDSVPKLVADFMAKKFPLDPLITHVLPFEKINEAF DLLRSGKSIRTVLTF >ENSMUSP00000124688.1 pep:known chromosome:GRCm38:3:138277651:138290698:1 gene:ENSMUSG00000074207.10 transcript:ENSMUST00000159159.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adh1 description:alcohol dehydrogenase 1 (class I) [Source:MGI Symbol;Acc:MGI:87921] MSTAGKVIKCKAAVIKSFHSFPLSVENAGFASTRKATFVAEAIC >ENSMUSP00000014990.6 pep:known chromosome:GRCm38:8:105467493:105471526:-1 gene:ENSMUSG00000014846.12 transcript:ENSMUST00000014990.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tppp3 description:tubulin polymerization-promoting protein family member 3 [Source:MGI Symbol;Acc:MGI:1915221] MAASTDIAGLEESFRKFAIHGDPKASGQEMNGKNWAKLCKDCKVADGKAVTGTDVDIVFS KVKAKSARVINYEEFKKALEELATKRFKGKSKEEAFDAICQLIAGKEPANIGVTKAKTGG AVDRLTDTSKYTGSHKERFDESGKGKGIAGRQDILDDSGYVSAYKNAGTYDAKVKK >ENSMUSP00000135040.1 pep:known chromosome:GRCm38:8:105467669:105469410:-1 gene:ENSMUSG00000014846.12 transcript:ENSMUST00000177126.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tppp3 description:tubulin polymerization-promoting protein family member 3 [Source:MGI Symbol;Acc:MGI:1915221] MAASTDIAGLEESFRKFAIHGDPKASGQEMNGKNWAKLCKDCKVADGKAVTGTDVDIVFS KVKAKSARVINYEEFKKALEELATKRFKGKSKEEAFDAICQLIAGKEPANIGVTKAKTGG AVDRLTDTSKYTGSHKERFDESGKGKGIAGRQDILDDSGYVSAYKNAGTYDAKVKK >ENSMUSP00000134807.1 pep:known chromosome:GRCm38:8:105467683:105468662:-1 gene:ENSMUSG00000014846.12 transcript:ENSMUST00000176419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tppp3 description:tubulin polymerization-promoting protein family member 3 [Source:MGI Symbol;Acc:MGI:1915221] MAASTDIAGLEESFRKFAIHGDPKASGQEMNGKNWAKLCKDCKVADGKAVTGTDVDIVFS KVKAKSARVINYEEFKKALEELATKRFKGKSKEEAFDAICQLIAGKEPANIGVTKAKTGG AVDRLTDTSKYTGSHKERFDESGKGKGIAGRQDILDDSGYVSAYKNAGTYDAKVKK >ENSMUSP00000092538.4 pep:known chromosome:GRCm38:4:106316234:106441322:1 gene:ENSMUSG00000028514.15 transcript:ENSMUST00000094933.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp24 description:ubiquitin specific peptidase 24 [Source:MGI Symbol;Acc:MGI:1919936] MESEEEQHMTTLLCMGFSDPATIRKALRLAKNDINEAVALLTNERPGLDYGGYEPMDSGG PSPGPGGGPRGDSGSDGSGPSRGGSTGGGGGFDPPPAYHEVVDAEKNDENGNCSGEGIEF PTTNLYELESRVLTDHWSIPYKREESLGKCLLASTYLARLGLSESDENCKRFMERCMPEA FKKLLTSSAVHKWGTEIHEGIYNMLMLLIELVAERMKQDPIPIGLLGVLTMAFNPDNEYH FKNRMKVSQRNWAEVFGEGNMFAISPVSTFQKEPHGWVVDLVNKFGELGGFAAIQAKLHS EDIELGAVSALVQPLGVCAEYLNSSVVQPMLDPVILTTIQDVRSVEEKDLKDKRLVSIPE LLSAIKLLCMRFQPALVTTVDALRLDILLRMLKSPHFSAKMNSLKEVTKLIEDSTLSKSV KNAIDTDRLLDWLVENSVLSIALEGNIDQAQYCDRIKGIIELLGSKLSLDELTKIWKIQS GQSSTVIENIHTIIAAAAVKFNADQLNHLFVLIQKSWETESDRVRQKLLSLIGRIGREAR FEATSGKVLDVLWELAHLPTLPSSLIQQALEEHLTILSDAYAVKEAVKRSYIIKCIEDIK RPGEWSSLEKNKKDGFKSSQLNNPQFVWVVPALRQLHEITRSFIKQTYQKQDKSIIQDLK KNFEIVKLVTGSLLACHRLAAAVAGPGGLTGLTLVDGRYTYREYLEAHLKFLAFFLQEAT LYLGWNRAKEIWECLVTGQDVCELDREMCFEWFTKGQHDLESDVQQQLFKEKILKLESYE ITMNGFNLFKTFFENVNLCDHRLKRQGAQLYVEKLELVGMDFIWKIAMESPDEEIANEAI QLIINYSYINLNPRLKKDSVSLHKKFIADCYTRLEAASSALGGPTLTHAVTRATKMLTAT AMPTVATSVQSPYRSTKLVIIERLLLLAERYVITIEDFYSVPRTILPHGASFHGHLLTLN VTYESTKDTFTVEAHSNETIGSVRWKIAKQLCSPVDNIQIFTNDSLLTVNKDQKLLHQLG FSDEQVLTVKTSGSGTPSGSSADSSTSSSSSSSGAFSSSYAMEQEKSLPGVVMALVCNVF DMLYQLANLEEPRITLRVRKLLLLIPTDPAIQEALDQLDSLGRKKTLLSETSSQSSKSPS LSSKQQHQPSASSILESLFRSFAPGMSTFRVLYNLEVLSSKLMPTADDDMARSCAKSFCE NFLKAGGLSLVVNVMQRDSIPSEVDYETRQGVYSICLQLARFLLVGQTMPTSLDEDLTKD GIEALSSRPFRNVSRQTSRQMSLCGTPEKSSYRQLSVSDRSSIRVEEIIPAARVAIQTME ASDFTATVACFMRLSWAAAAGRLDLVGSSQPIKESNSLFPAGIRSRLSSSGSNCSSSSEG EPAALHAGICVRQQSVSTKDALIAGEALSLLVTCLQLRSQQLASFYSLPCVADFIIDILL GSPSAEIRRVACDQLYTLSQTDTSAHPEVQKPNQFLLGVILTAQLPLWSPTSIMRGVNQR LLSQCMEYFDLRCQLLDDLTTSEMDQLRISPATMLEDEITWLDNFEPNRTADCETSEADN ILLAGHLRLIKTLLSLCGAEKEMLGSSLIKPLLDDFLFRASRIIVNSHSPASSAAISQQD FHPKCSTVNSRLAAYEVLVMLADSSPSNLQIITKELLSMHHQPDPALTKEFDYLPPVDSR SSSGFVGLRNGGATCYMNAVFQQLYMQPGLPESLLSVDDDTDNPDDSVFYQVQSLFGHLM ESKLQYYVPENFWKIFKMWNKELYVREQQDAYEFFTSLIDQMDEYLKKMGREQIFKNTFQ GIYSDQKICKDCPHRYEREEAFMALNLGVTSCQSLEISLDQFVRGEVLEGSNAYYCEKCK EKRITVKRTCIKSLPSVLVIHLMRFGFDWESGRSIKYDEQIRFPWMLNMEPYTVAGMARQ DSSSEVGENGRNMDQGGGGSPRKKVALTENYELVGVIVHSGQAHAGHYYSFIKDRRGCGK GKWYKFNDTVIEEFDLNDETLEYECFGGEYRPKVYDQTNPYTDVRRRYWNAYMLFYQRVS DQNSPVLPKKSRVSVVRQEAEDLSLSAPSSPEISPQSSPRPHRPNNDRLSILTKLVKKGE KKGLFVEKMPARIYQMVRDENLKFMKNRDVYSSDYFSFVLSLASLNATKLKHPYYPCMAK VSLQLAIQFLFQTYLRTKKKLRVDTEEWIATIEALLSKSLDACQWLVEYFISSEGRELVK VFLLECSVREVRVAVATILEKTLDSALFYQDKLKSLHQLLEVLLALLDKDVPENCKNCAQ YFSLFNTFVQKQGIRAGDLLLRHSALRHMISFLLGVSRQNSQIRRWSSAQAREFGNLHNT VALLVLHSDVSSQRNVAPGIFKQRPPISVAPSSPLLPLHEEVEALLFLSEGKPYLLEVMF ALRELTGSLLALMEMVVYCCFCNEHFSFTMLHFIKNQLETAPPHELKNTFQLLHEVLVIE DPIQVERVKFVFETENGLLALMHHSNHVDSSRCYQCVKFLVTLAQKCPAAKEYFKENSHH WSWAVQWLQKKMSEHYWTPQSNVSNETSTGKTFQRTISAQDTLAYATALLNEKEQSGSSN GSESSPANENGERHLQQGSESPMMIGELRSDLDDVDP >ENSMUSP00000133095.1 pep:known chromosome:GRCm38:4:106316213:106441317:1 gene:ENSMUSG00000028514.15 transcript:ENSMUST00000165709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp24 description:ubiquitin specific peptidase 24 [Source:MGI Symbol;Acc:MGI:1919936] MESEEEQHMTTLLCMGFSDPATIRKALRLAKNDINEAVALLTNERPGLDYGGYEPMDSGG PSPGPGGGPRGDSGSDGSGPSRGGSTGGGGGFDPPPAYHEVVDAEKNDENGNCSGEGIEF PTTNLYELESRVLTDHWSIPYKREESLGKCLLASTYLARLGLSESDENCKRFMERCMPEA FKKLLTSSAVHKWGTEIHEGIYNMLMLLIELVAERMKQDPIPIGLLGVLTMAFNPDNEYH FKNRMKVSQRNWAEVFGEGNMFAISPVSTFQKEPHGWVVDLVNKFGELGGFAAIQAKLHS EDIELGAVSALVQPLGVCAEYLNSSVVQPMLDPVILTTIQDVRSVEEKDLKDKKRLVSIP ELLSAIKLLCMRFQPALVTTVDALRLDILLRMLKSPHFSAKMNSLKEVTKLIEDSTLSKS VKNAIDTDRLLDWLVENSVLSIALEGNIDQAQYCDRIKGIIELLGSKLSLDELTKIWKIQ SGQSSTVIENIHTIIAAAAVKFNADQLNHLFVLIQKSWETESDRVRQKLLSLIGRIGREA RFEATSGKVLDVLWELAHLPTLPSSLIQQALEEHLTILSDAYAVKEAVKRSYIIKCIEDI KRPGEWSSLEKNKKDGFKSSQLNNPQFVWVVPALRQLHEITRSFIKQTYQKQDKSIIQDL KKNFEIVKLVTGSLLACHRLAAAVAGPGGLTGLTLVDGRYTYREYLEAHLKFLAFFLQEA TLYLGWNRAKEIWECLVTGQDVCELDREMCFEWFTKGQHDLESDVQQQLFKEKILKLESY EITMNGFNLFKTFFENVNLCDHRLKRQGAQLYVEKLELVGMDFIWKIAMESPDEEIANEA IQLIINYSYINLNPRLKKDSVSLHKKFIADCYTRLEAASSALGGPTLTHAVTRATKMLTA TAMPTVATSVQSPYRSTKLVIIERLLLLAERYVITIEDFYSVPRTILPHGASFHGHLLTL NVTYESTKDTFTVEAHSNETIGSVRWKIAKQLCSPVDNIQIFTNDSLLTVNKDQKLLHQL GFSDEQVLTVKTSGSGTPSGSSADSSTSSSSSSSGAFSSSYAMEQEKSLPGVVMALVCNV FDMLYQLANLEEPRITLRVRKLLLLIPTDPAIQEALDQLDSLGRKKTLLSETSSQSSKSP SLSSKQQHQPSASSILESLFRSFAPGMSTFRVLYNLEVLSSKLMPTADDDMARSCAKSFC ENFLKAGGLSLVVNVMQRDSIPSEVDYETRQGVYSICLQLARFLLVGQTMPTSLDEDLTK DGIEALSSRPFRNVSRQTSRQMSLCGTPEKSSYRQLSVSDRSSIRVEEIIPAARVAIQTM EASDFTATVACFMRLSWAAAAGRLDLVGSSQPIKESNSLFPAGIRSRLSSSGSNCSSSSE GEPAALHAGICVRQQSVSTKDALIAGEALSLLVTCLQLRSQQLASFYSLPCVADFIIDIL LGSPSAEIRRVACDQLYTLSQTDTSAHPEVQKPNQFLLGVILTAQLPLWSPTSIMRGVNQ RLLSQCMEYFDLRCQLLDDLTTSEMDQLRISPATMLEDEITWLDNFEPNRTADCETSEAD NILLAGHLRLIKTLLSLCGAEKEMLGSSLIKPLLDDFLFRASRIIVNSHSPASSAAISQQ DFHPKCSTVNSRLAAYEVLVMLADSSPSNLQIITKELLSMHHQPDPALTKEFDYLPPVDS RSSSGFVGLRNGGATCYMNAVFQQLYMQPGLPESLLSVDDDTDNPDDSVFYQVQSLFGHL MESKLQYYVPENFWKIFKMWNKELYVREQQDAYEFFTSLIDQMDEYLKKMGREQIFKNTF QGIYSDQKICKDCPHRYEREEAFMALNLGVTSCQSLEISLDQFVRGEVLEGSNAYYCEKC KEKRITVKRTCIKSLPSVLVIHLMRFGFDWESGRSIKYDEQIRFPWMLNMEPYTVAGMAR QDSSSEVGENGRNMDQGGGGSPRKKVALTENYELVGVIVHSGQAHAGHYYSFIKDRRGCG KGKWYKFNDTVIEEFDLNDETLEYECFGGEYRPKVYDQTNPYTDVRRRYWNAYMLFYQRV SDQNSPVLPKKSRVSVVRQEAEDLSLSAPSSPEISPQSSPRPHRPNNDRLSILTKLVKKG EKKGLFVEKMPARIYQMVRDENLKFMKNRDVYSSDYFSFVLSLASLNATKLKHPYYPCMA KVSLQLAIQFLFQTYLRTKKKLRVDTEEWIATIEALLSKSLDACQWLVEYFISSEGRELV KVFLLECSVREVRVAVATILEKTLDSALFYQDKLKSLHQLLEVLLALLDKDVPENCKNCA QYFSLFNTFVQKQGIRAGDLLLRHSALRHMISFLLGVSRQNSQIRRWSSAQAREFGNLHN TVALLVLHSDVSSQRNVAPGIFKQRPPISVAPSSPLLPLHEEVEALLFLSEGKPYLLEVM FALRELTGSLLALMEMVVYCCFCNEHFSFTMLHFIKNQLETAPPHELKNTFQLLHEVLVI EDPIQVERVKFVFETENGLLALMHHSNHVDSSRCYQCVKFLVTLAQKCPAAKEYFKENSH HWSWAVQWLQKKMSEHYWTPQSNVSNETSTGKTFQRTISAQDTLAYATALLNEKEQSGSS NGSESSPANENGERHLQQGSESPMMIGELRSDLDDVDP >ENSMUSP00000018449.4 pep:known chromosome:GRCm38:11:75486816:75509447:1 gene:ENSMUSG00000020850.14 transcript:ENSMUST00000018449.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf8 description:pre-mRNA processing factor 8 [Source:MGI Symbol;Acc:MGI:2179381] MAGVFPYRGPGNPVPGPLAPLPDYMSEEKLQEKARKWQQLQAKRYAEKRKFGFVDAQKED MPPEHVRKIIRDHGDMTNRKFRHDKRVYLGALKYMPHAVLKLLENMPMPWEQIRDVPVLY HITGAISFVNEIPWVIEPVYISQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYAD NILDVEPLEAIQLELDPEEDAPVLDWFYDHQPLRDSRKYVNGSTYQRWQFTLPMMSTLYR LANQLLTDLVDDNYFYLFDLKAFFTSKALNMAIPGGPKFEPLVRDINLQDEDWNEFNDIN KIIIRQPIRTEYKIAFPYLYNNLPHHVHLTWYHTPNVVFIKTEDPDLPAFYFDPLINPIS HRHSVKSQEPLPDDDEEFELPEFVEPFLKDTPLYTDNTANGIALLWAPRPFNLRSGRTRR ALDIPLVKNWYREHCPAGQPVKVRVSYQKLLKYYVLNALKHRPPKAQKKRYLFRSFKATK FFQSTKLDWVEVGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRF GNAFHLCREVLRLTKLVVDSHVQYRLGNVDAFQLADGLQYIFAHVGQLTGMYRYKYKLMR QIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAAGWRVWLFFMRGITPLLERWLGNLLARQF EGRHSKGVAKTVTKQRVESHFDLELRAAVMHDILDMMPEGIKQNKARTILQHLSEAWRCW KANIPWKVPGLPTPIENMILRYVKAKADWWTNTAHYNRERIRRGATVDKTVCKKNLGRLT RLYLKAEQERQHNYLKDGPYITAEEAVAVYTTTVHWLESRRFSPIPFPPLSYKHDTKLLI LALERLKEAYSVKSRLNQSQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMD LYSHLVPVYDVEPLEKITDAYLDQYLWYEADKRRLFPPWIKPADTEPPPLLVYKWCQGIN NLQDVWETSEGECNVMLESRFEKMYEKIDLTLLNRLLRLIVDHNIADYMTAKNNVVINYK DMNHTNSYGIIRGLQFASFIVQYYGLVMDLLVLGLHRASEMAGPPQMPNDFLSFQDIATE AAHPIRLFCRYIDRIHIFFRFTADEARDLIQRYLTEHPDPNNENIVGYNNKKCWPRDARM RLMKHDVNLGRAVFWDIKNRLPRSVTTVQWENSFVSVYSKDNPNLLFNMCGFECRILPKC RTSYEEFTHKDGVWNLQNEVTKERTAQCFLRVDDESMQRFHNRVRQILMASGSTTFTKIV NKWNTALIGLMTYFREAVVNTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVVFYTPK ELGGLGMLSMGHVLIPQSDLRWSKQTDVGITHFRSGMSHEEDQLIPNLYRYIQPWESEFI DSQRVWAEYALKRQEAIAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRT DFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDMIQALGGVEGILEHTLFKGTYFPTWEG LFWEKASGFEESMKWKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGI FMHGKIPTLKISLIQIFRAHLWQKIHESIVMDLCQVFDQELDALEIETVQKETIHPRKSY KMNSSCADILLFASYKWNVSRPSLLADSKDVMDSTTTQKYWIDIQLRWGDYDSHDIERYA RAKFLDYTTDNMSIYPSPTGVLIAIDLAYNLHSAYGNWFPGSKPLIQQAMAKIMKANPAL YVLRERIRKGLQLYSSEPTEPYLSSQNYGELFSNQIIWFVDDTNVYRVTIHKTFEGNLTT KPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQ IIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKVEKFGDLILKATEPQMVLFNLY DDWLKTISSYTAFSRLILILRALHVNNDRAKVILKPDKTTVTEPHHIWPTLTDEEWIKVE VQLKDLILADYGKKNNVNVASLTQSEIRDIILGMEISAPSQQRQQIAEIEKQTKEQSQLT ATQTRTVNKHGDEIITSTTSNYETQTFSSKTEWRVRAISAANLHLRTNHIYVSSDDIKET GYTYILPKNVLKKFICISDLRAQIAGYLYGVSPPDNPQVKEIRCIVMVPQWGTHQTVHLP SQLPQHEYLKEMEPLGWIHTQPNESPQLSPQDVTTHAKIMADNPSWDGEKTIIITCSFTP GSCTLTAYKLTPSGYEWGRQNTDKGNNPKGYLPSHYERVQMLLSDRFLGFFMVPAQSSWN YNFMGVRHDPNMKYELQLANPKEFYHEVHRPSHFLNFALLQEGEVYSADREDLYA >ENSMUSP00000099568.1 pep:known chromosome:GRCm38:11:75486820:75509449:1 gene:ENSMUSG00000020850.14 transcript:ENSMUST00000102510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf8 description:pre-mRNA processing factor 8 [Source:MGI Symbol;Acc:MGI:2179381] MAGVFPYRGPGNPVPGPLAPLPDYMSEEKLQEKARKWQQLQAKRYAEKRKFGFVDAQKED MPPEHVRKIIRDHGDMTNRKFRHDKRVYLGALKYMPHAVLKLLENMPMPWEQIRDVPVLY HITGAISFVNEIPWVIEPVYISQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYAD NILDVEPLEAIQLELDPEEDAPVLDWFYDHQPLRDSRKYVNGSTYQRWQFTLPMMSTLYR LANQLLTDLVDDNYFYLFDLKAFFTSKALNMAIPGGPKFEPLVRDINLQDEDWNEFNDIN KIIIRQPIRTEYKIAFPYLYNNLPHHVHLTWYHTPNVVFIKTEDPDLPAFYFDPLINPIS HRHSVKSQEPLPDDDEEFELPEFVEPFLKDTPLYTDNTANGIALLWAPRPFNLRSGRTRR ALDIPLVKNWYREHCPAGQPVKVRVSYQKLLKYYVLNALKHRPPKAQKKRYLFRSFKATK FFQSTKLDWVEVGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRF GNAFHLCREVLRLTKLVVDSHVQYRLGNVDAFQLADGLQYIFAHVGQLTGMYRYKYKLMR QIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAAGWRVWLFFMRGITPLLERWLGNLLARQF EGRHSKGVAKTVTKQRVESHFDLELRAAVMHDILDMMPEGIKQNKARTILQHLSEAWRCW KANIPWKVPGLPTPIENMILRYVKAKADWWTNTAHYNRERIRRGATVDKTVCKKNLGRLT RLYLKAEQERQHNYLKDGPYITAEEAVAVYTTTVHWLESRRFSPIPFPPLSYKHDTKLLI LALERLKEAYSVKSRLNQSQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMD LYSHLVPVYDVEPLEKITDAYLDQYLWYEADKRRLFPPWIKPADTEPPPLLVYKWCQGIN NLQDVWETSEGECNVMLESRFEKMYEKIDLTLLNRLLRLIVDHNIADYMTAKNNVVINYK DMNHTNSYGIIRGLQFASFIVQYYGLVMDLLVLGLHRASEMAGPPQMPNDFLSFQDIATE AAHPIRLFCRYIDRIHIFFRFTADEARDLIQRYLTEHPDPNNENIVGYNNKKCWPRDARM RLMKHDVNLGRAVFWDIKNRLPRSVTTVQWENSFVSVYSKDNPNLLFNMCGFECRILPKC RTSYEEFTHKDGVWNLQNEVTKERTAQCFLRVDDESMQRFHNRVRQILMASGSTTFTKIV NKWNTALIGLMTYFREAVVNTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVVFYTPK ELGGLGMLSMGHVLIPQSDLRWSKQTDVGITHFRSGMSHEEDQLIPNLYRYIQPWESEFI DSQRVWAEYALKRQEAIAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRT DFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDMIQALGGVEGILEHTLFKGTYFPTWEG LFWEKASGFEESMKWKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGI FMHGKIPTLKISLIQIFRAHLWQKIHESIVMDLCQVFDQELDALEIETVQKETIHPRKSY KMNSSCADILLFASYKWNVSRPSLLADSKDVMDSTTTQKYWIDIQLRWGDYDSHDIERYA RAKFLDYTTDNMSIYPSPTGVLIAIDLAYNLHSAYGNWFPGSKPLIQQAMAKIMKANPAL YVLRERIRKGLQLYSSEPTEPYLSSQNYGELFSNQIIWFVDDTNVYRVTIHKTFEGNLTT KPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQ IIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKVEKFGDLILKATEPQMVLFNLY DDWLKTISSYTAFSRLILILRALHVNNDRAKVILKPDKTTVTEPHHIWPTLTDEEWIKVE VQLKDLILADYGKKNNVNVASLTQSEIRDIILGMEISAPSQQRQQIAEIEKQTKEQSQLT ATQTRTVNKHGDEIITSTTSNYETQTFSSKTEWRVRAISAANLHLRTNHIYVSSDDIKET GYTYILPKNVLKKFICISDLRAQIAGYLYGVSPPDNPQVKEIRCIVMVPQWGTHQTVHLP SQLPQHEYLKEMEPLGWIHTQPNESPQLSPQDVTTHAKIMADNPSWDGEKTIIITCSFTP GSCTLTAYKLTPSGYEWGRQNTDKGNNPKGYLPSHYERVQMLLSDRFLGFFMVPAQSSWN YNFMGVRHDPNMKYELQLANPKEFYHEVHRPSHFLNFALLQEGEVYSADREDLYA >ENSMUSP00000115635.1 pep:known chromosome:GRCm38:11:75486855:75495820:1 gene:ENSMUSG00000020850.14 transcript:ENSMUST00000131283.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf8 description:pre-mRNA processing factor 8 [Source:MGI Symbol;Acc:MGI:2179381] MAGVFPYRGPGNPVPGPLAPLPDYMSEEKLQEKARKWQQLQAKRYAEKRKFGFVDAQKED MPPEHVRKIIRDHGDMTNRKFRHDKRVYLGSMWIMMRREKRDRRHFKRMRFPPFDDEEPP LDYADNILDVEPLEAIQLELDPEEDAPVLDWFYDHQPLRDSRKYVNGSTYQRWQFTLPMM STLYRLANQLLTDLVDDNYFYLFDLKAFFTSKALNMAIPGGPKFEPLVRDINLQDEDWNE FNDINKIIIRQPIRTEYKIAFPYLYNNLPHHVHLTWYHTPNVVFIKTEDPDLPAFYFDPL INPISHRHSVKSQEPLPDDDEEFELPEFVEPFLKDTPLYTDNTANGIALLWAPRPFNLRS GRTRRALDIPLVKNWYREHCPAGQPVKVRVSYQKLLKYYVLNALKHRPPKAQKKRYLFRS FKATKFFQSTKLDWVEVGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKER KKSRFGNAFHLCREVLRLTKLVVDSHVQYRLGNVDAFQLADGLQYIFAHVGQLTGMYRYK YKLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAAGWRVWLFFMRGITPLLERWLGNL LARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDILDMMPEGIKQNKARTILQHLSE AWRCWKANIPWKVPGLPTPIENMILRYVKAKADWWTNTAHYNRERIRRGATVDKTVCKKN LGRLTRLYLKAEQERQHNYLKDGPYITAEEAVAVYTTTVHWLESRRFSPIPFPPLSYKHD TKLLILALERLKEAYSVKSRLNQSQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVG IEFMDLYSHLVPVYDVEPLEKITDAYLDQYLWYEADKRRLFPPWIKPADTEPPPLLVYKW CQGINNLQDVWETSEGECNVMLESRFEKMYEKIDLTLLNRLLRLIVDHNIADYMTAKNNV VINYKDMNHTNSYGIIRGLQFASFIVQYYGLVMDLLVLGLHRASEMAGPPQMPNDFLSFQ DIATEAAHPIRLFCRYIDRIHIFF >ENSMUSP00000040424.9 pep:known chromosome:GRCm38:6:90333284:90364551:1 gene:ENSMUSG00000034456.15 transcript:ENSMUST00000046128.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uroc1 description:urocanase domain containing 1 [Source:MGI Symbol;Acc:MGI:2385332] MSSLQELCSGLPLRPLPENRGRWAGVPHAPVRTPNLSPEEEQLALRNALRYFPPDVQKLL ALEFAQELRQFGHIYMYRFCPSIEMRAYPIDQYPCRTRAAAAIMHMIMNNLDPAVAQFPQ ELVTYGGNGQVFSNWAQFRLTMSYLSKMTEEQTLVMYSGHPLGLFPSSPRAPRLVITNGM VIPNYSSRTEYEKLFALGVTMYGQMTAGSYCYIGPQGIVHGTVLTVLNAGRRYLGIENLA GKVFVTSGLGGMSGAQAKAAAIVGCIGVIAEVDKAALVKRHRQGWLMEVTDSLDRCIARL REARKKKEVLSLGYHGNVVDLWERLVHELDTTGELLVDLGSDQTSCHNPFNGGYYPVQLS FSEAQSLMSSNPAAFKHLVQESLRRHVAAINRLAQEKFFFWDYGNAFLLEAQRAGADVEK KGANKMEFRYPSYVQHIMGDIFSQGFGPFRWVCTSGDPQDLAVTDHLATSVLEKAIADGV KASVKLQYMDNIRWIREAAKHQLVVGSQARILYSDQKGRVAIAVAINQAIASGKIKAPVV LSRDHHDVSGTDSPFRETSNIYDGSAFCADMAVQNFVGDACRGATWVALHNGGGVGWGEV INGGFGLVLDGTAEAEQKARMMLSWDVSNGVARRCWSGNPKAYEIICQTMQENSGLVVTL PHEVADEQVLQQALRP >ENSMUSP00000127114.1 pep:known chromosome:GRCm38:6:90333289:90364546:1 gene:ENSMUSG00000034456.15 transcript:ENSMUST00000164761.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uroc1 description:urocanase domain containing 1 [Source:MGI Symbol;Acc:MGI:2385332] MSSLQELCSGLPLRPLPENRGRWAGVPHAPVRTPNLSPEEEQLALRNALRYFPPDVQKLL ALEFAQELRQFGHIYMYRFCPSIEMRAYPIDQYPCRTRAAAAIMHMIMNNLDPAVAQFPQ ELVTYGGNGQVFSNWAQFRLTMSYLSKMTEEQTLVMYSGHPLGLFPSSPRAPRLVITNGM VIPNYSSRTEYEKLFALGVTMYGQMTAGSYCYIGPQGIVHGTVLTVLNAGRRYLGIENLA GKVFVTSGLGGMSGAQAKAAAIVGCIGVIAEVDKAALVKRHRQGWLMEVTDSLDRCIARL RYGLAVWDPEHLTLSTGSRGESMTWAIQCLMFDHREARKKKEVLSLGYHGNVVDLWERLV HELDTTGELLVDLGSDQTSCHNPFNGGYYPVQLSFSEAQSLMSSNPAAFKHLVQESLRRH VAAINRLAQEKFFFWDYGNAFLLEAQRAGADVEKKGANKMEFRYPSYVQHIMGDIFSQGF GPFRWVCTSGDPQDLAVTDHLATSVLEKAIADGVKASVKLQYMDNIRWIREAAKHQLVVG SQARILYSDQKGRVAIAVAINQAIASGKIKAPVVLSRDHHDVSGTDSPFRETSNIYDGSA FCADMAVQNFVGDACRGATWVALHNGGGVGWGEVINGGFGLVLDGTAEAEQKARMMLSWD VSNGVARRCWSGNPKAYEIICQTMQENSGLVVTLPHEVADEQVLQQALRP >ENSMUSP00000108033.1 pep:known chromosome:GRCm38:6:125049689:125054194:1 gene:ENSMUSG00000072770.9 transcript:ENSMUST00000112414.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acrbp description:proacrosin binding protein [Source:MGI Symbol;Acc:MGI:1859515] MMNLAAGFLLMLLEVLLLPGTPLSAEESPASTPGSPLSSTEYERFFALLTPTWKAETTCR LRATHGCRNPTLVQLDQYENHGLVPDGAVCSDLPYASWFESFCQFAQYRCSNHVYYAKRV RCSQPVSILSPNTLKEVESSAEVPPTSMTTPIVSHATATEHQAFQPWPERLNNNVEELLQ SSLSLGGKDQQSSRRPGQEQRKQEQIQEHKLEEAQEQEEQEEEEEEEEAKQEEGQGTEAG LESVSRLQSDSEPKFQSQSLSSNPSFFTPRVREVESAPLMMKNIQELIRSAQEMDEMNEL YDDSWRSQSTGRYRKL >ENSMUSP00000108032.1 pep:known chromosome:GRCm38:6:125049947:125051497:1 gene:ENSMUSG00000072770.9 transcript:ENSMUST00000112413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acrbp description:proacrosin binding protein [Source:MGI Symbol;Acc:MGI:1859515] MMNLAAGFLLMLLEVLLLPGTPLSAEESPASTPGSPLSSTEYERFFALLTPTWKAETTCR LRATHGCRNPTLVQLDQYENHGLVPDGAVCSDLPYASWFESFCQFAQYRCSNHVYYAKRV RCSQPVSILSPNTLKEVESSAEVPPTSMTTPIVSHATGETSQSGDRSSQP >ENSMUSP00000085632.5 pep:known chromosome:GRCm38:6:125049959:125063267:1 gene:ENSMUSG00000072770.9 transcript:ENSMUST00000088294.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acrbp description:proacrosin binding protein [Source:MGI Symbol;Acc:MGI:1859515] MMNLAAGFLLMLLEVLLLPGTPLSAEESPASTPGSPLSSTEYERFFALLTPTWKAETTCR LRATHGCRNPTLVQLDQYENHGLVPDGAVCSDLPYASWFESFCQFAQYRCSNHVYYAKRV RCSQPVSILSPNTLKEVESSAEVPPTSMTTPIVSHATATEHQAFQPWPERLNNNVEELLQ SSLSLGGKDQQSSRRPGQEQRKQEQIQEHKLEEAQEQEEQEEEEEEEEAKQEEGQGTEAG LESVSRLQSDSEPKFQSQSLSSNPSFFTPRVREVESAPLMMKNIQELIRSAQEMDEMNEL YDDSWRSQSTGSLQQLPHMETLMVLCYSIMENTCTMTPTAKAWSYMEEEILGFGDSVCDN LGRRHTAACPLCAFCSLKLEQCHSEASVLRQKCDASHKIPFISPLLSAQSISTGNQARIP DKGRFAGLEMYGGLSSEFWCNRLAMKGCEDDRVSNWLKAEFLSFQEGDFPTKICDTNYIQ YPNYCSFKSQQCLLRNQNRKMSRMRCMLNERYNVLSLAKSEEVILRWSQEFSTLAIGQFG >ENSMUSP00000032481.7 pep:known chromosome:GRCm38:6:125049967:125051547:1 gene:ENSMUSG00000072770.9 transcript:ENSMUST00000032481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acrbp description:proacrosin binding protein [Source:MGI Symbol;Acc:MGI:1859515] MMNLAAGFLLMLLEVLLLPGTPLSAEESPASTPGSPLSSTEYERFFALLTPTWKAETTCR LRATHGCRNPTLVQLDQYENHGLVPDGAVCSDLPYASWFESFCQFAQYRCSNHVYYAKVR PPRVGTAVPSPSLAACKSVTPVLGDPTPPSGLHKHCMNPGKTPIHIK >ENSMUSP00000037371.2 pep:known chromosome:GRCm38:2:6188465:6213033:-1 gene:ENSMUSG00000039063.5 transcript:ENSMUST00000042658.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echdc3 description:enoyl Coenzyme A hydratase domain containing 3 [Source:MGI Symbol;Acc:MGI:1915106] MAVVAGLRAFGVKWPSWLRRNPWAPLSAGFCSPGSAGPAGSESEPRLTSTRQQDGIRNIV LSNPRRRNALSLAMLKSLRSDILHEAESEDLKVIIISAEGPVFSSGHDLKELTDAQGRDY HAEVFQTCSEVMMLIRNHPVPILAMVNGLATAAGCQLVASCDIAVASDKSSFATPGVNVG LFCSTPAVALGRAVPRKVALEMLFTGEPISAQEALRHGLISKVVPEEQLEAETMRIAKKI SSLSRSVVALGKATFYKQLPQDLRTAYFLASQAMVDNLALQDGQEGIEAFIQKRKPIWSH >ENSMUSP00000087848.8 pep:known chromosome:GRCm38:3:123368297:123385931:-1 gene:ENSMUSG00000028114.16 transcript:ENSMUST00000090371.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl14 description:methyltransferase like 14 [Source:MGI Symbol;Acc:MGI:2442926] MDSRLQEIRERQKLRRQLLAQQLGAESADSIGAVLNSKDEQREIAETRETCRASYDTSAP NSKRKCLDEGETDEDKVEEYKDELEMQQEEENLPYEEEIYKDSSTFLKGTQSLNPHNDYC QHFVDTGHRPQNFIRDVGLADRFEEYPKLRELIRLKDELIAKSNTPPMYLQADIEAFDIR ELTPKFDVILLEPPLEEYYRETGITANEKCWTWDDVR >ENSMUSP00000134198.1 pep:known chromosome:GRCm38:3:123368297:123385985:-1 gene:ENSMUSG00000028114.16 transcript:ENSMUST00000174323.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl14 description:methyltransferase like 14 [Source:MGI Symbol;Acc:MGI:2442926] MDSRLQEIRERQKLRRQLLAQQLGAESADSIGAVLNSKDEQREIAETRETCRASYDTSAP NSKRKCLDEGETDEDKVEEYKDELEMQQEEENLPYEEEIYKDSSTFLKGTQSLNPHNDYC QHFVDTGHRPQNFIRDVGLADRFEEYPKLRELIRLKDELIAKSNTPPMYLQADIEAFDIR ELTPKFDVILLEPPLEEYYRETGITANEKCWTWDDIMKLEIDEIAAPRSFIFLWCGSGEG LDLGRVCLRKWGYRRCEDICWIKTNKNNPGKTKTLDPKAVFQRTKEHCLMGIKGTVKRST DGDFIHANVDIDLIITEEPEIGNIEKPVEIFHIIEHFCLGRRRLHLFGRDSTIRPGETSV LIKDSFFLEMWILSYRT >ENSMUSP00000029759.9 pep:known chromosome:GRCm38:3:123368297:123386108:-1 gene:ENSMUSG00000028114.16 transcript:ENSMUST00000029759.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl14 description:methyltransferase like 14 [Source:MGI Symbol;Acc:MGI:2442926] MDSRLQEIRERQKLRRQLLAQQLGAESADSIGAVLNSKDEQREIAETRETCRASYDTSAP NSKRKCLDEGETDEDKVEEYKDELEMQQEEENLPYEEEIYKDSSTFLKGTQSLNPHNDYC QHFVDTGHRPQNFIRDVGLADRFEEYPKLRELIRLKDELIAKSNTPPMYLQADIEAFDIR ELTPKFDVILLEPPLEEYYRETGITANEKCWTWDDIMKLEIDEIAAPRSFIFLWCGSGEG LDLGRVCLRKWGYRRCEDICWIKTNKNNPGKTKTLDPKAVFQRTKEHCLMGIKGTVKRST DGDFIHANVDIDLIITEEPEIGNIEKPVEIFHIIEHFCLGRRRLHLFGRDSTIRPGWLTV GPTLTNSNYNAETYASYFSAPNSYLTGCTEEIERLRPKSPPPKSKSDRGGGAPRGGGRGG TSAGRGRERNRSNFRGERGGFRGGRGGTHRGGFTPR >ENSMUSP00000133741.2 pep:known chromosome:GRCm38:3:123369472:123374831:-1 gene:ENSMUSG00000028114.16 transcript:ENSMUST00000174006.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl14 description:methyltransferase like 14 [Source:MGI Symbol;Acc:MGI:2442926] DVILLEPPLEEYYRETGITANEKCWTWDDCLRKWGYRRCEDICWIKTNKNNPGKTKTLDP KAVFQRTKEHCLMGIKGTVKRSTDGDFIHANVDIDLIITEEPEIGNIEKPVEIFHIIEHF CLGRRRLHLFGRDSTIRPGWLTVGPTLTNSNYNAETYASYFSAPNSYLTGCTEEIERLRP KSPPPKSKSDRGGGAPRGGGRGGTSAGRGRE >ENSMUSP00000001166.7 pep:known chromosome:GRCm38:1:36511867:36528237:1 gene:ENSMUSG00000001138.13 transcript:ENSMUST00000001166.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnnm3 description:cyclin M3 [Source:MGI Symbol;Acc:MGI:2151055] MAAAAAAVVGWLGWVLAAFCLGSTAGEAAPAPGAGLLNFCTEEDSAPGAGSLRGRAAPEA TLCLRLFCSGLANSSWTWVAAEGAGCPEGGRATEPEEAAAPTGEWRALLRLRAEAGHPRS ALLAVRVEPGGGAAEEAAPPWALGLGAAGLLALAAVARGLQLSALALAPAEVQVLRESGS EAERAAARRLEPARRWAGCALGALLLLASLAQAALAVLLYGAAGQRAVPAVLGCAGLVFL VGEVLPAAVSGRWALALAPRALGLSRLAVLLTLPVALPVGQLLELAARPGRLRERVLELA RGGGDPYSDLSKGVLRSRTVEDVLTPLEDCFMLDSGTVLDFSVLASIMQSGHTRIPVYEE ERSNIVDMLYLKDLAIVEPEDCTPLSTITRFYNHPLHFVFNDTKLDAVLEEFKRGKSHLA IVQKVNNEGEGDPFYEVLGLVTLEDVIEEIIKSEILDESEDYSDTKVRKKTVALGAPLKR KEEFSLFKVSDDEYKVKISPQLLLATQRFLSREVDVFSPLRVSEKVLLHLLKHPSVNQEV TFDESNRLAAHHYLYQRSQPVDYFILILQGRVEVEIGKEGLKFENGAFTYYGVSALTAPS SAHQSPVSSRQLIRHDVQPEPADGTRSCTYCPDYTVRALSDLQLIKVTRLQYLNALLATR AQSLPPSPENAELQAIPGSQTRLLGDKSRETAGSTNSRPSIPVEESPGRNPGV >ENSMUSP00000095383.3 pep:known chromosome:GRCm38:1:36511867:36528237:1 gene:ENSMUSG00000001138.13 transcript:ENSMUST00000097776.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnnm3 description:cyclin M3 [Source:MGI Symbol;Acc:MGI:2151055] MAAAAAAVVGWLGWVLAAFCLGSTAGEAAPAPGAGLLNFCTEEDSAPGAGSLRGRAAPEA TLCLRLFCSGLANSSWTWVAAEGAGCPEGGRATEPEEAAAPTGEWRALLRLRAEAGHPRS ALLAVRVEPGGGAAEEAAPPWALGLGAAGLLALAAVARGLQLSALALAPAEVQVLRESGS EAERAAARRLEPARRWAGCALGALLLLASLAQAALAVLLYGAAGQRAVPAVLGCAGLVFL VGEVLPAAVSGRWALALAPRALGLSRLAVLLTLPVALPVGQLLELAARPGRLRERVLELA RGGGDPYSDLSKGVLRSRTVEDVLTPLEDCFMLDSGTVLDFSVLASIMQSGHTRIPVYEE ERSNIVDMLYLKDLAIVEPEDCTPLSTITRFYNHPLHFVFNDTKLDAVLEEFKRGKSHLA IVQKVNNEGEGDPFYEVLGLVTLEDVIEEIIKSEILDESEDYSDTKVRKKTVALGAPLKR KEEFSLFKVSDDEYKVKISPQLLLATQRFLSREVDVFSPLRVSEKVLLHLLKHPSVNQEV TFDESNRLAAHHYLYQRSQPVDYFILILQGRVEVEIGKEGLKFENGAFTYYGVSALTAPS SAHQSPVSSRQLIRHDVQPEPADGTRSCTYCPDYTVRALSDLQLIKVTRLQYLNALLATR AQSLPPSPENAELQAIPGSQTRLLGDKSRETAAFPVHLSLFGTLGNCS >ENSMUSP00000071835.2 pep:known chromosome:GRCm38:11:59570695:59571813:-1 gene:ENSMUSG00000059610.4 transcript:ENSMUST00000071943.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr222 description:olfactory receptor 222 [Source:MGI Symbol;Acc:MGI:3030056] MRGDNHSCFWDTPKDFILLGISDRPWLELPVFAVLLVFYILAMLGNISIILVSQLDPQLH SPMYIFLSHLSFLDLCYTTTTVPQMLFNMGSSQKTISYGGCTVQYAIFHWLGCTECVVLA AMALDRYVAICEPLRYAIIMHRPLCQQLVAMAWLSGFGNSLVQVILTVQLPFCGRQVLNN FFCEVPAMIKLSCADTTANDATLAVLVAFFVLVPLALILLSYGFIARAVMRIQSSRGRHK AFGTCSSHLLVVSLFYLPAIYMYLQPPSSYSQEQGKFISLFYSIITPTLNPFIYTLRNKD VKGALRRLLARTGRLCGR >ENSMUSP00000115402.1 pep:known chromosome:GRCm38:4:129703164:129742297:-1 gene:ENSMUSG00000028790.13 transcript:ENSMUST00000129342.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Khdrbs1 description:KH domain containing, RNA binding, signal transduction associated 1 [Source:MGI Symbol;Acc:MGI:893579] MQRRDDPASRLTRSSGRSCSKDPSGAHPSVRLTPSRPSPLPHRPRGGGGGPRGGARASPA TQPPPLLPPSTPGPDATVVGSAPTPLLPPSATAAVKMEPENKYLPELMAEKDSLDPSFTH AMQLLSVEIEKIQKGESKKDDEENYLDLFSHKNMKLKERVLIPVKQYPKFNFVGKILGPQ GNTIKRLQEETGAKISVLGKGSMRDKAKEEELRKGGDPKYAHLNMDLHVFIEVFGPPCEA YALMAHAMEEVKKFLVPDMMDDICQEQFLELSYLNGVPEPSRGRGVSVRGRGAAPPPPPV PRGRGVGPPRGALVRGTPVRGSITRGATVTRGVPPPPTVRGAPTPRARTAGIQRIPLPPT PAPETYEDYGYDDTYAEQSYEGYEGYYSQSQGESEYYDYGHGELQDSYEAYGQDDWNGTR PSLKAPPARPVKGAYREHPYGRY >ENSMUSP00000066516.5 pep:known chromosome:GRCm38:4:129713819:129742296:-1 gene:ENSMUSG00000028790.13 transcript:ENSMUST00000066257.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdrbs1 description:KH domain containing, RNA binding, signal transduction associated 1 [Source:MGI Symbol;Acc:MGI:893579] MQRRDDPASRLTRSSGRSCSKDPSGAHPSVRLTPSRPSPLPHRPRGGGGGPRGGARASPA TQPPPLLPPSTPGPDATVVGSAPTPLLPPSATAAVKMEPENKYLPELMAEKDSLDPSFTH AMQLLSVEIEKIQKGESKKDDEENYLDLFSHKNMKLKERVLIPVKQYPKFNFVGKILGPQ GNTIKRLQEETGAKISVLGKGSMRDKAKEEELRKGGDPKYAHLNMDLHVFIEVFGPPCEA YALMAHAMEEVKKFLVPDMMDDICQEQFLELSYLNGVPEPSRGRGVSVRGRGAAPPPPPV PRGRGVGPPRGALVRGTPVRGSITRGATVTRGVPPPPTVRGAPTPRARTAGIQRIPLPPT PAPETYEDYGYDDTYAEQSYEGYEGYYSQSQGESEYYDYGHGELQDSYEAYGQDDWNGTR PSLKAPPARPVKGAYREHPYGRY >ENSMUSP00000048262.6 pep:known chromosome:GRCm38:3:84087933:84155786:-1 gene:ENSMUSG00000033752.7 transcript:ENSMUST00000047368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mnd1 description:meiotic nuclear divisions 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924165] MSKKRGLSGEEKRTRMMEIFFETKDVFQLKDLEKLAPKEKGITAMSVKEVLQSLVDDGMV DCERIGTSNYYWAFPSKALHARKRKLEALNSQLSEGSQKHADLQKSIEKARVGRQETEER AMLAKELSSFRDQRQQLKAEVEKYRECDPQVVEEIREANKVAKEAANRWTDNIFAIKSWA KRKFGFEESKIDKNFGIPEDFDYID >ENSMUSP00000044533.7 pep:known chromosome:GRCm38:5:129895723:129903623:1 gene:ENSMUSG00000034173.13 transcript:ENSMUST00000041466.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbed5 description:zinc finger, BED type containing 5 [Source:MGI Symbol;Acc:MGI:1919220] MPRGSRIRTSRVTLLAGRAPQMRAAPRRAPAAQPPAAAAPSAVGSPAAAPRQPGLMAQMA TTAAGVAVGSAVGHTLGHAITGGFSGGGSAEPAKPDITYQEPQGAQLQNQQSFGPCSLEI KQFLECAQNQSDVKLCEGFNEVLRQCRIANVDHPRPVDHGERNVKRRKYNEGFLQYGFTS TITVGIERPQCVICGVVLSAESMKPNKLKRHFESKHSSFAGKDTNYFRSKADGLKKARPD TGSKSNKQNVAAVETSYLVALRIARDMKPHTFAEHLLFPVAKDRVRVMIGDEFVTKLSAV SLSNDTVRRRIHDMSADILDQVVQEIKSAPLPICSIQLDESTDVANCLQLMVYVRYINDG DFKDEFLCCKPLERTATALDVFEAVDSFLRQHEISWKSICGVCTDGAPATLGCQSGFQRL VLNESPKAIGAHCMLHLQTLAMKTLPQDFQEVMKSVLSSVNFVKASSLNSRLFLQLCSDL DEPSKTLLLHTEGRWLSRGKVLKRIFELRDELKMFFNQKAIRQFEALFSDNSALQKVAYL VDIFTILNELNLSLQGPNSTCLDLSEKIHSFQMKLQLWQKKLDENKFYMLPTLSAFFEEH DIEQHKRITVVISVKEHLDMLASEISWYFPNLPEIPFALARSPFSVKAEDVPETAQEDFT RLTNSDAARADFSTMPVTQFWVKCLQSYPVLSEMVLRLLLPFPTTYLCETGFSSLLVIKS KYRSRLVVEDDLRCALAKTTPRISDLVRKKQSQPSH >ENSMUSP00000116455.1 pep:known chromosome:GRCm38:5:129895778:129901825:1 gene:ENSMUSG00000034173.13 transcript:ENSMUST00000077320.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbed5 description:zinc finger, BED type containing 5 [Source:MGI Symbol;Acc:MGI:1919220] MRAAPRRAPAAQPPAAAAPSAVGSPAAAPRQPGLMAQMATTAAGVAVGSAVGHTLGHAIT GGFSGGGSAEPAKPDITYQEPQGAQLQNQQSFGPCSLEIKQFLECAQNQSDVKLCEGFNE VLRQCRIANVDHPRPVDHGERNVKRRKYNEGFLQYGFTSTITVGIERPQCVICGVVLSAE SMKP >ENSMUSP00000066108.7 pep:known chromosome:GRCm38:14:70303469:70351425:-1 gene:ENSMUSG00000022094.15 transcript:ENSMUST00000068044.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a14 description:solute carrier family 39 (zinc transporter), member 14 [Source:MGI Symbol;Acc:MGI:2384851] MKRLHPALPSCLLLVLFGIWRTAPQTHASSAGLPPLSATSFLEDLMDRYGKNDSLTLTQL KSLLDHLHVGVGRDNVSQPKEGPRNLSTCFSSGDLFAAHNLSERSQIGASEFQEFCPTIL QQLDSQACTSENQKSEENEQTEEGKPSAIEVWGYGFLCVTVISLCSLMGASVVPFMKKTF YKRLLLYFIALAIGTLYSNALFQLIPEAFGFNPQDNYVSKSAVVFGGFYLFFFTEKILKM LLKQKNEHHHGHNHFTSETLPSKKDQEEGVTEKLQNGDLDHMIPQHCNSELDGKAPGTDE KVIVNSMSVQDLQASQSACYWLKGVRYSDIGTLAWMITLSDGLHNFIDGLAIGASFTVSV FQGISTSVAILCEEFPHELGDFVILLNAGMSIQQALFFNFLSACCCYLGLAFGILAGSHF SANWIFALAGGMFLYIALADMFPEMNEVCQEDEKNDSFLVPFVIQNLGLLTGFSIMLVLT MYSGQIQIG >ENSMUSP00000022688.3 pep:known chromosome:GRCm38:14:70306297:70351425:-1 gene:ENSMUSG00000022094.15 transcript:ENSMUST00000022688.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a14 description:solute carrier family 39 (zinc transporter), member 14 [Source:MGI Symbol;Acc:MGI:2384851] MKRLHPALPSCLLLVLFGIWRTAPQTHASSAGLPPLSATSFLEDLMDRYGKNDSLTLTQL KSLLDHLHVGVGRDNVSQPKEGPRNLSTCFSSGDLFAAHNLSERSQIGASEFQEFCPTIL QQLDSQACTSENQKSEENEQTEEGKPSAIEVWGFGFLSVSLINLASLLGVLVLPCTEKAF FSRVLTYFIALSIGTLLSNALFQLIPEAFGFNPQDNYVSKSAVVFGGFYLFFFTEKILKM LLKQKNEHHHGHNHFTSETLPSKKDQEEGVTEKLQNGDLDHMIPQHCNSELDGKAPGTDE KVIVNSMSVQDLQASQSACYWLKGVRYSDIGTLAWMITLSDGLHNFIDGLAIGASFTVSV FQGISTSVAILCEEFPHELGDFVILLNAGMSIQQALFFNFLSACCCYLGLAFGILAGSHF SANWIFALAGGMFLYIALADMFPEMNEVCQEDEKNDSFLVPFVIQNLGLLTGFSIMLVLT MYSGQIQIG >ENSMUSP00000119040.1 pep:known chromosome:GRCm38:14:70306283:70348431:-1 gene:ENSMUSG00000022094.15 transcript:ENSMUST00000152067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a14 description:solute carrier family 39 (zinc transporter), member 14 [Source:MGI Symbol;Acc:MGI:2384851] MKRLHPALPSCLLLVLFGIWRTAPQTHASSAGLPPLSATSFLEDLMDRYGKNDSLTLTQL KSLLDHLHVGVGRDNVSQPKEGPRNLSTCFSSGDLFAAHNLSERSQIGASEFQEFCPTIL QQLDSQACTSENQKSEENEQTEEGKPSAIEVWGFGFLSVSLINLASLLGVLVLPCTEKAF FSRVLTYFIALSIGTLLSNALFQLIPEAFGFNPQDNYVSKSAVVFGGFYLFFFTEKILKM LLKQKNEHHHGHNHFTSETLPSKKDQEEGVTEKLQNGDLDHMIPQHCNSELDGKAPGTDE KVIVNSMSVQDLQASQSACYWLKGVRYSDIGTLAWMITLSDGLHNFIDGLAIGASFTVSV FQGISTSVAILCEEFPHELGDFVILLNAGMSIQQALFFNFLSACCCYLGLAFGILAGSHF SANWIFALAGGMFLYIALADMFPEMNEVCQEDEKNDSFLVPFVIQNLGLLTGFSIMLVLT MYSGQIQIG >ENSMUSP00000117792.1 pep:known chromosome:GRCm38:14:70316561:70351415:-1 gene:ENSMUSG00000022094.15 transcript:ENSMUST00000127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a14 description:solute carrier family 39 (zinc transporter), member 14 [Source:MGI Symbol;Acc:MGI:2384851] MKRLHPALPSCLLLVLFGIWRTAPQTHASSAGLPPLSATSFLEDLMDRYGKNDSLTLTQL KSLLDHLHVGVGRDNVSQPKEGPRNLSTCFSSGDLFAAHNLSERSQIGA >ENSMUSP00000117010.1 pep:known chromosome:GRCm38:14:70315793:70334198:-1 gene:ENSMUSG00000022094.15 transcript:ENSMUST00000152442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a14 description:solute carrier family 39 (zinc transporter), member 14 [Source:MGI Symbol;Acc:MGI:2384851] MKRLHPALPSCLLLVLFGIWRTAPQTHASSAGLPPLSATSFLEDLMDRYGKNDSLTLTQL KSLLDHLHVGVGRDNVSQPKEGPRNLSTCFSSGDLFAAHNLSERSQIGASEFQEFCPTIL QQLDSQACTSENQKSEENEQTEEGKPSAIEVWGFGFLSVSLINL >ENSMUSP00000118319.1 pep:known chromosome:GRCm38:14:70316500:70323814:-1 gene:ENSMUSG00000022094.15 transcript:ENSMUST00000151011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a14 description:solute carrier family 39 (zinc transporter), member 14 [Source:MGI Symbol;Acc:MGI:2384851] MKRLHPALPSCLLLVLFGIWRTAPQTHASSAGLPPLSATSFLEDLMDRYGKNDSLTLTQL KSLLDHLHVGVGRDNVSQPKEGPRNLSTCFSSGDLFAAHNLSERSQIGASEFQEFCPTIL QQLDSQACTS >ENSMUSP00000122615.1 pep:known chromosome:GRCm38:14:70318733:70331518:-1 gene:ENSMUSG00000022094.15 transcript:ENSMUST00000139284.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a14 description:solute carrier family 39 (zinc transporter), member 14 [Source:MGI Symbol;Acc:MGI:2384851] MKRLHPALPSCLLLVLFGIWRTAPQTHASSAGLPPLSATSFLEDLMDRYGKNDSLTLTQL KSLL >ENSMUSP00000122816.1 pep:known chromosome:GRCm38:14:70316466:70351394:-1 gene:ENSMUSG00000022094.15 transcript:ENSMUST00000143153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a14 description:solute carrier family 39 (zinc transporter), member 14 [Source:MGI Symbol;Acc:MGI:2384851] MKRLHPALPSCLLLVLFGIWRTAPQTHASSWIPRPAPQKTRSLRRMNR >ENSMUSP00000085528.3 pep:known chromosome:GRCm38:X:78186777:78192292:1 gene:ENSMUSG00000067679.3 transcript:ENSMUST00000088198.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obp1b description:odorant binding protein IB [Source:MGI Symbol;Acc:MGI:1277948] MMVKFLLLALVFGLAHVHAHDHPELQGQWKTTAIMADNIDKIETSGPLELFVREITCDEG CQKMKVTFYVKQNGQCSLTTVTGYKQEDGKTFKNQYEGENNYKLLKATSENLVFYDENVD RASRKTKLLYILGKGEALTHEQKERLTELATQKGIPAGNLRELAHEDTCPE >ENSMUSP00000105048.3 pep:known chromosome:GRCm38:2:163438478:163453952:1 gene:ENSMUSG00000017943.15 transcript:ENSMUST00000109421.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdap1l1 description:ganglioside-induced differentiation-associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:2385163] MATPNNLTPTNCSWWPISALESDAAKPVETPDAPEASSPAHWPKESLVLYHWTQSFSSQK RLQVRLVIAEKGLACEERDVSLPQSEHKEPWFMRLNLGEEVPVIIHRDNIISDYDQIIDY VERTFTGEHVVALMPEAGSPQHARVLQYRELLDALPMDAYTHGCILHPELTTDSMIPKYA TAEIRRHLANATTDLMKLDHEEEPQLSEPYLSKQKKLMAKILEHDDVSYLKKILGELAMV LDQIEAELEKRKLENEGQTCELWLCGCAFTLADVLLGATLHRLKFLGLSKKYWEDGSRPN LQSFFERVQRRFAFRKVLGDIHTTLLSAVIPNAFRLVKRKPPSFFGASFLMGSLGGMGYF AYWYLKKKYI >ENSMUSP00000018087.6 pep:known chromosome:GRCm38:2:163438478:163454975:1 gene:ENSMUSG00000017943.15 transcript:ENSMUST00000018087.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdap1l1 description:ganglioside-induced differentiation-associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:2385163] MATPNNLTPTNCSWWPISALESDAAKPVETPDAPEASSPAHWPKESLVLYHWTQSFSSQK VRLVIAEKGLACEERDVSLPQSEHKEPWFMRLNLGEEVPVIIHRDNIISDYDQIIDYVER TFTGEHVVALMPEAGSPQHARVLQYRELLDALPMDAYTHGCILHPELTTDSMIPKYATAE IRRHLANATTDLMKLDHEEEPQLSEPYLSKQKKLMAKILEHDDVSYLKKILGELAMVLDQ IEAELEKRKLENEGQTCELWLCGCAFTLADVLLGATLHRLKFLGLSKKYWEDGSRPNLQS FFERVQRRFAFRKVLGDIHTTLLSAVIPNAFRLVKRKPPSFFGASFLMGSLGGMGYFAYW YLKKKYI >ENSMUSP00000119421.1 pep:known chromosome:GRCm38:2:163438533:163453797:1 gene:ENSMUSG00000017943.15 transcript:ENSMUST00000137070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdap1l1 description:ganglioside-induced differentiation-associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:2385163] MATPNNLTPTNCSWWPISALESDAAKPVETPDAPEASSPAHWPKESLVLYHWTQSFSSQK VRLVIAEKGLACEERDVSLPQSEHKEPWFMRLNLGEEVPVIIHRDNIISDYDQIIDYVER TFTGGHLANATTDLMKLDHEEEPQLSEPYLSKQKKLMAKILEHDDVSYLKKILGELAMVL DQIEAELEKRKLENEGQTCELWLCGCAFTLADVLLGATLHRLKFLGLSKKYWEDGSRPNL QSFFERVQRRFAFRKVLGDIHTTLLSA >ENSMUSP00000105047.3 pep:known chromosome:GRCm38:2:163438476:163455324:1 gene:ENSMUSG00000017943.15 transcript:ENSMUST00000109420.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdap1l1 description:ganglioside-induced differentiation-associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:2385163] MATPNNLTPTNCSWWPISALESDAAKPVETPDAPEASSPAHWPKESLVLYHWTQSFSSQK VRLVIAEKGLACEERDVSLPQSEHKEPWFMRLNLGEEVPVIIHRDNIISDYDQIIDYVER TFTGEHVVALMPEAGSPQHARVLQYRELLDALPMDAYTHGCILHPELTTDSMIPKYATAE IRRHLANATTDLMKLDHEEEPQLSEPYLSKQKKLMAKILEHDDVSYLKKILGELAMVLDQ IEAELEKRKLENEGQTCELWLCGCAFTLADVLLGATLHRLKFLGLSKKYWEDGSRPNLQS FFERVQRRFAFRKVLGDIHTTLLSAVIPNAFRLVKRKPPSFFGASFLMGSLGGMGYFAYW YLKKKYI >ENSMUSP00000073226.2 pep:known chromosome:GRCm38:17:28177339:28205886:1 gene:ENSMUSG00000024220.13 transcript:ENSMUST00000073534.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp523 description:zinc finger protein 523 [Source:MGI Symbol;Acc:MGI:2687278] MESLGLQTVRLSDGTTAYVQQAVKGEKLLEGQVIQLEDGTTAYIHQVTIQKESFSFEDGQ PVQLEDGSMAYIHHTPKEGCDPSALEAVQLEDGSTAYIHHPVPVPSDSAILAVQTEAGLE DLAAEDEEGFGTDTVVALEQYASKVLHDSPASHNGKGQQVGDRAFRCGYKGCGRLYTTAH HLKVHERAHTGDRPYRCDFPSCGKAFATGYGLKSHVRTHTGEKPYKCPEELCSKAFKTSG DLQKHVRTHTGERPFRCPFEGCGRSFTTSNIRKVHVRTHTGERPYTCPEPHCGRGFTSAT NYKNHVRIHTGEKPYVCTVPGCGKRFTEYSSLYKHHVVHTHCKPYTCSSCGKTYRQTSTL AMHKRSAHGELEATEESEQALYEQQQLEAASAAEESPPPKPTHIAYLSEVKEESSAIPTQ VAMVTEEDGPPQVALITQDGTQQVSLSPEDLQALGSAISVVTQHGSTTLTIPGHHEELAT SGTHTVTMVSADGTQTQPVTIITSGALVTEDSSVASLHHQQVALLATANGTHIAVQLEDQ QTLEEAISVATAAMQQGAVTLETTESGC >ENSMUSP00000002318.1 pep:known chromosome:GRCm38:17:28177418:28205884:1 gene:ENSMUSG00000024220.13 transcript:ENSMUST00000002318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp523 description:zinc finger protein 523 [Source:MGI Symbol;Acc:MGI:2687278] MESLGLQTVRLSDGTTAYVQQAVKGEKLLEGQVIQLEDGTTAYIHQVTIQKESFSFEDGQ PVQLEDGSMAYIHHTPKEGCDPSALEAVQLEDGSTAYIHHPVPVPSDSAILAVQTEAGLE DLAAEDEEGFGTDTVVALEQYASKVLHDSPASHNGKGQQVGDRAFRCGYKGCGRLYTTAH HLKVHERAHTGDRPYRCDFPSCGKAFATGYGLKSHVRTHTGEKPYKCPEELCSKAFKTSG DLQKHVRTHTGERPFRCPFEGCGRSFTTSNIRKVHVRTHTGERPYTCPEPHCGRGFTSAT NYKNHVRIHTGEKPYVCTVPGCGKRFTEYSSLYKHHVVHTHCKPYTCSSCGKTYRQTSTL AMHKRSAHGELEATEESEQALYEQQQLEAASAAEESPPPKPTHIAYLSEVKEESSAIPTQ VAMVTEEDGPPQVALITQDGTQQVSLSPEDLQALGSAISVVTQHGSTTLTIPGHHEELAT SGTHTVTMVSADGTQTQPVTIITSGALVTEDSSVASLHHQQVALLATANGTHIAVQLEDQ QTLEEAISVATAAMQQGAVTLETTESGC >ENSMUSP00000123127.1 pep:known chromosome:GRCm38:17:28197785:28202478:1 gene:ENSMUSG00000024220.13 transcript:ENSMUST00000133868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp523 description:zinc finger protein 523 [Source:MGI Symbol;Acc:MGI:2687278] PSALEAVQLEDGSTAYIHHPVPVPSDSAILAVQTEAGLEDLAAEDEEGFGTDTVVALEQY ASKVLHDSPASHNGKGQQVGDRAFRCGYKGCGRLYTTAHHLKVHERAHTGDRPYRCDFPS CGKAFATGYGLKSHVRTHTGEKPYKCPEELCSKAFKTSGDLQKHVRTHTGERPYTCPEPH CGRGFTSATNYKNHVRIHTGEKPYVCTVPGCGKRFTEYSSLYKHHVVHTHCKPYTCSSCG KTYRQTSTLAMHKRSAHGELEATEESEQALYEQQQLEAASAAEESPPPKPTH >ENSMUSP00000117730.1 pep:known chromosome:GRCm38:17:28202291:28205162:1 gene:ENSMUSG00000024220.13 transcript:ENSMUST00000155030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp523 description:zinc finger protein 523 [Source:MGI Symbol;Acc:MGI:2687278] ELEATEESEQALYEQQQLEGSLFLAASAAEESPPPKPTHIAYLSEVKEESSAIPTQVAMV TEEDGPPQVALITQDGTQQVSLSPEDLQALGSAISVVTQHGSTTLTIPGHHEELATSGTH TVTMVSADGTQTQPVTIITSGALVTEDSSVASLHHQQVALLATANGTHIAVQLEDQQTLE EAISVATAA >ENSMUSP00000125689.1 pep:known chromosome:GRCm38:17:28202466:28205886:1 gene:ENSMUSG00000024220.13 transcript:ENSMUST00000129522.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp523 description:zinc finger protein 523 [Source:MGI Symbol;Acc:MGI:2687278] XKPTHIAYLSEVKEESSAIPTQVAMVTEEDGPPQVALITQDGTQQVSLSPEDLQALGSAI SVVTQHGSTTLTIPGHHEELATSGTHTVTMVSADGTQTQPV >ENSMUSP00000145790.1 pep:known chromosome:GRCm38:6:72206177:72234537:-1 gene:ENSMUSG00000037621.8 transcript:ENSMUST00000206425.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atoh8 description:atonal bHLH transcription factor 8 [Source:MGI Symbol;Acc:MGI:1918343] SPRKRPGEATAASTEIKALQQTRRLLANARERTRVHTISAAFEALRKQACCVQCLPSTES PLPCEVSFVPSVQGLSEYVIHWDVRWAESEPGIEPRRQRCRATPMGRSSPNWPS >ENSMUSP00000036981.7 pep:known chromosome:GRCm38:6:72206177:72235577:-1 gene:ENSMUSG00000037621.8 transcript:ENSMUST00000042646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atoh8 description:atonal bHLH transcription factor 8 [Source:MGI Symbol;Acc:MGI:1918343] MKHIPVLEDGPWKTVCVKELNGLKKLKRKGKEPVRRANGYKTFRLDLEAPELGATVSTTA ATNGLRDRTQPFPIATPVPASVAPAVPPGGGTDTAREFRGIRAPEVSDARKRGFALGTVG PGLPTPPPPPASQSLAPGDPEAHSFREQALRPRILLCAPPARPTQSAPLAPPAAPQESPV RPAPPTRPGESSYSSISHVIYNNHPDSSASPRKRPGEATAASTEIKALQQTRRLLANARE RTRVHTISAAFEALRKQVPCYSYGQKLSKLAILRIACNYILSLARLADLDYSADHSNLSF SECVQRCTRTLQAEGRAKKRKE >ENSMUSP00000092668.4 pep:known chromosome:GRCm38:4:60218622:60222580:-1 gene:ENSMUSG00000078687.9 transcript:ENSMUST00000095058.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup8 description:major urinary protein 8 [Source:MGI Symbol;Acc:MGI:3709619] MKMLLLLCVGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIRVLENSLVLKVHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000128910.1 pep:known chromosome:GRCm38:4:60218621:60222599:-1 gene:ENSMUSG00000078687.9 transcript:ENSMUST00000163931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup8 description:major urinary protein 8 [Source:MGI Symbol;Acc:MGI:3709619] MKMLLLLCVGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIRVLENSLVLKVHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNAMDLVPEHV LVLTRQIAASRPENEEWPEPPVLSGDFSPGLHHHPFLSIQHPQYKFCDLHSILSH >ENSMUSP00000143463.1 pep:known chromosome:GRCm38:3:103809573:103838648:1 gene:ENSMUSG00000105053.1 transcript:ENSMUST00000199710.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm43064 description:predicted gene 43064 [Source:MGI Symbol;Acc:MGI:5663201] MPYLGSEDVVKELKKALCNPHIQADRLRYRNVIQRVIRMPGVQEYIQQPVVNGLRDKASY VRRVAVLGCAKMHNLHGDSEVDGALVNELYSLLRDQDPIVVVNCLRSLEEILKQEGGVVI NKPIAHHLLNRMSKLDQWGQAEVLNFLLRYQPRSEEELFDILNLLDSYLKSSSTGVVMGA TKLFLILAKKFPHVQTDVLVRVKGPLLAACSSESRELCFAALCHVRQVLHSLPGHFSSHY KKFFCSYSEPHYIKLQKVEVLCELVNDENVQQVLEELRGYCTDVAADFAQAAIFAIGSIA KTYTDQCVQILTELLGLRQEHITTVVVQTFRDLVWLCPQCTEAVCQALPGCEENIQDSEG KQALIWLLGVHGEKIPNAPYVLEDFVDNVKSETFPAVKMELLTALMRLVLSRPAECQDML GRLLHYCIEEEKDMAVRDRGLFYYRLLLVGIDKVKQILCSPKSDPSLGLLEDQPERPVNS WASDFNTLAPVYGRAHWATISKCQQVERHRLELPHNASFATSGHLISEENKEGAQEPDSD TLMLVPNLQLTAEYFEKTWLSLRVSYQQVFPWQGEVQPDTLQMALKVVNIQTIAMSRAGA QPWKAYLSAQDDTGGLFLAELLLKPENSEMQISVKQSKARTESLHGFVSVLETVIGTVGD IKS >ENSMUSP00000054824.2 pep:known chromosome:GRCm38:11:59589067:59590177:-1 gene:ENSMUSG00000048919.4 transcript:ENSMUST00000061293.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr223 description:olfactory receptor 223 [Source:MGI Symbol;Acc:MGI:3030057] MQVTTERQNVSFPDTFVLVGFSDHPWLEMPLFGVLLISYIFTMIGNSSIIVLSLVEPRLQ TPMYFFLDNLSLLDLCVTCTIVPQLLVNLWGPEKTIASWSCIAQAYLFHWTSCTESALLA VMAFDRYVAICCPLRYVLIMHLWACVWLAAVCWASGLANSLVQATLTLYLTLCAKNTLDH FFCEVPALIKLACSDTTTNDLSLALGAIPFGIVSPLTVLISYIFIARAVLKLPSAEGRRK ALSTCTSHLLVVTMYFGPGMYTYLQPPGNNTQSEFLSLFYCVFTPLLNPLIYTLRNKDVK EAWKKVLTSQSKGISLKGQ >ENSMUSP00000120527.1 pep:known chromosome:GRCm38:3:15795145:15838643:-1 gene:ENSMUSG00000074677.11 transcript:ENSMUST00000148194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c description:signal-regulatory protein beta 1C [Source:MGI Symbol;Acc:MGI:3807521] XQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTLKWFKNGNEISH LETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRGIAHISEFIQVP PTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTLIVNKDGTYNWI SCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKGTATKSEAPNGNKC >ENSMUSP00000103991.1 pep:known chromosome:GRCm38:3:15795145:15848419:-1 gene:ENSMUSG00000074677.11 transcript:ENSMUST00000108354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c description:signal-regulatory protein beta 1C [Source:MGI Symbol;Acc:MGI:3807521] MLLLDAWTHIPHCVLLLILLLGLKGAAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWFKGVGQSRLLIYSFTGERFPRITNVSDVTKRSNLDFSIRISNVTPADSGTYYCVK FQRGPSEPDMEIQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTL KWFKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKEAPNGN KC >ENSMUSP00000103989.2 pep:known chromosome:GRCm38:3:15795145:15848447:-1 gene:ENSMUSG00000074677.11 transcript:ENSMUST00000108352.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c description:signal-regulatory protein beta 1C [Source:MGI Symbol;Acc:MGI:3807521] MLLLDAWTHIPHCVLLLILLLGLKGAAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWFKGVGQSRLLIYSFTGERFPRITNVSDVTKRSNLDFSIRISNVTPADSGTYYCVK FQRGPSEPDMEIQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTL KWFKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKGTATKS ELKTAGIAKIPVAVLLGSKILLLIAATVIYMRKKQNA >ENSMUSP00000061216.4 pep:known chromosome:GRCm38:3:15795145:15848528:-1 gene:ENSMUSG00000074677.11 transcript:ENSMUST00000050623.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c description:signal-regulatory protein beta 1C [Source:MGI Symbol;Acc:MGI:3807521] MLLLDAWTHIPHCVLLLILLLGLKGAAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWFKGVGQSRLLIYSFTGERFPRITNVSDVTKRSNLDFSIRISNVTPADSGTYYCVK FQRGPSEPDMEIQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTL KWFKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKELKTAG IAKIPVAVLLGSKILLLIAATVIYMRKKQNA >ENSMUSP00000103987.1 pep:known chromosome:GRCm38:3:15830800:15848491:-1 gene:ENSMUSG00000074677.11 transcript:ENSMUST00000108350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c description:signal-regulatory protein beta 1C [Source:MGI Symbol;Acc:MGI:3807521] MLLLDAWTHIPHCVLLLILLLGLKGAAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWFKGVGQSRLLIYSFTGERFPRITNVSDVTKRSNLDFSIRISNVTPADSGTYYCVK FQRGPSEPDMEIQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTL KWFKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKGTATKS GEVFTPPLCLNVNWASFFMYKVTFLIIVALS >ENSMUSP00000103986.1 pep:known chromosome:GRCm38:3:15831241:15848443:-1 gene:ENSMUSG00000074677.11 transcript:ENSMUST00000108349.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c description:signal-regulatory protein beta 1C [Source:MGI Symbol;Acc:MGI:3807521] MLLLDAWTHIPHCVLLLILLLGLKAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPVGP IRWFKGVGQSRLLIYSFTGERFPRITNVSDVTKRSNLDFSIRISNVTPADSGTYYCVKFQ RGPSEPDMEIQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTLKW FKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRGIA HISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTLIV NKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKGTATKSGE VFTPPLCLNVNWASFFMYKVTFLIIVALS >ENSMUSP00000026617.6 pep:known chromosome:GRCm38:5:129863421:129879083:-1 gene:ENSMUSG00000025537.12 transcript:ENSMUST00000026617.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phkg1 description:phosphorylase kinase gamma 1 [Source:MGI Symbol;Acc:MGI:97579] MTRDDALPDSHSAQTFYENYEPKEILGRGVSSVVRRCIHKPTCQEYAVKIIDITGGGSFS SEEVQELREATLKEVDILQKVSGHPNIIQLKDTYETNTFFFLVFDLMKRGELFDYLTEKV TLTEKETRKIMRALLEVICTLHKLNIVHRDLKPENILLDDNMNIKLTDFGFSCQLQPGEK LREVCGTPSYLAPEIIQCSMDDGHPGYGKEVDMWSTGVIMYTLLAGSPPFWHRKQMLMLR MIMDGKYQFGSPEWDDYSDTVKDLVSRFLVVQPQDRCSAEEALAHPFFQEYVVEEVRHFS PRGKFKVICLTVLASVKIYYQYRRVKPVTREIVIRDPYALRPLRRLIDAYAFRIYGHWVK KGQQQNRAALFENTPKAVLLSLAEEEDF >ENSMUSP00000122040.2 pep:known chromosome:GRCm38:5:129866230:129874105:-1 gene:ENSMUSG00000025537.12 transcript:ENSMUST00000154932.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phkg1 description:phosphorylase kinase gamma 1 [Source:MGI Symbol;Acc:MGI:97579] MKRGELFDYLTEKVTLTEKETRKIMRALLEVICTLHKLNIVHRDLKPENILLDDNMNIKL TDFGFSCQLQPGEKLREVCGTPSYLAPEIIQCSMD >ENSMUSP00000117510.1 pep:known chromosome:GRCm38:5:129866978:129898549:-1 gene:ENSMUSG00000025537.12 transcript:ENSMUST00000140667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phkg1 description:phosphorylase kinase gamma 1 [Source:MGI Symbol;Acc:MGI:97579] MTRDDALPDSHSAQTFYENYEPKEILGRGVSSVVRRCIHKPTCQEYAVKIIDITGGGSFS SEEVQELREATLKEVDILQKVSGHPNIIQLKDTYETNTFFFLVFDLMKRGELFDYLTEKV TLTEKETRKIMRALLEVICTLHKL >ENSMUSP00000095303.1 pep:known chromosome:GRCm38:1:74362108:74369321:1 gene:ENSMUSG00000073650.8 transcript:ENSMUST00000097697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catip description:ciliogenesis associated TTC17 interacting protein [Source:MGI Symbol;Acc:MGI:2685062] MTRRSDGAGLEDMLLFFPETLAILSDTGEPQGELTIEVQRGKYKDDIGILTHCLLVHASS RGFLDKSLCGSSLLGYLNNNLELMEQHSQEFIKFPVLPMERKMSVLKQDGQFVVTRSVKE GEETKTGVSVFPYKTFKGFVSSAANVVLLRVMAWQQSVPSGARFLALDSEGKLCHCTYKS LGFQTIQVGNQQAKMFIVEQTIHSEEGIPFSCQYYLLPDGHLAKRVQVGSPGCCIITKMP LIREEDVIESPPTFDKKPLVWGEDLELYSKFLDRKEQLRLSHASYLRHHPEAQALVSDFL LFLLLRRPEDVVTFAAEHFRPFAALRSPIPALRSSHQPSPFRTLENEEEEEAKEEEEKEE EEEEEEEIAGEIEGEEEEEEIEEGDDYLYMDEDEDIEDYTYYENYYYNYDEEVDSYDDVN NYDDDDIANVDDNDDIDNYDDDNDDDDDDDDNDDEDDVDDNDDDDVDKVRAKVDNLSVDN DDNDVVNSDNVDVDNDNNNQG >ENSMUSP00000117442.1 pep:known chromosome:GRCm38:1:74362366:74367798:1 gene:ENSMUSG00000073650.8 transcript:ENSMUST00000128445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catip description:ciliogenesis associated TTC17 interacting protein [Source:MGI Symbol;Acc:MGI:2685062] VSKAKDQHHLGQLQQQQQQRQQQQPFPEANAEAISFLNSFCEPDGGAGVGRRGLEDMLLF FPETLAILSDTGEPQGELTIEVQRGKYKDDIGILTHCLLVHASSRGFLDKSLCGSSLLGY LNNNLELMEQHSQEFIKFPVLPMERKMSVLKQDGQFVVTRSVKEGEETKTGVSVFPYKTF KGFVSSAANVVLLRVMAWQQSVPSGARFLALDSEGKLCHCTYKSLGFQTIQVGNQQAKMF IVEQTIHSEEGIPFSCQYYLLPDGHLA >ENSMUSP00000141181.1 pep:known chromosome:GRCm38:1:74362442:74369150:1 gene:ENSMUSG00000073650.8 transcript:ENSMUST00000191010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catip description:ciliogenesis associated TTC17 interacting protein [Source:MGI Symbol;Acc:MGI:2685062] MGDVTRGEWVVWGLEAGPGETASLHHPGLEDMLLFFPETLAILSDTGEPQGELTIEVQRG KYKDDIGILTHCLLVHASSRGFLDKSLCGSSLLGYLNNNLELMEQHSQEFIKFPVLPMER KMSVLKQDGQFVVTRSVKEGEETKTGVSVFPYKTFKGFVSSAANVVLLRVMAWQQSVPSG ARFLALDSEGKLCHCTYKSLGFQTIQVGNQQAKMFIVEQTIHSEEGIPFSCQYYLLPDGH LAKRVQVGSPGCCIITKMPLIREEDVIESPPTFDKKPLVWGEDLELYSKFLDRKEQLRLS HASYLRHHPEAQALVSDFLLFLLLRRPEDVVTFAAEHFRPFAALRSPIPALRSSHQPSPF RTLENEEEEEAKEEEEKEEEEEEEEEIAGEIEGEEEEEEIEEGDDYLYMDEDEDIEDYTY YENYYYNYDEEVDSYDDVNNYDDDDIANVDDNDDIDNYDDDNDDDDDDDDNDDEDDVDDN DDDDVDKVRAKVDNLSVDNDDNDVVNSDNVDVDNDNNNQG >ENSMUSP00000052210.5 pep:known chromosome:GRCm38:3:103832601:103838648:1 gene:ENSMUSG00000044165.12 transcript:ENSMUST00000062945.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l15 description:BCLl2-like 15 [Source:MGI Symbol;Acc:MGI:2685412] MSKMKNPRTFEEQTECIVNSLLKDFRTPLSHAANRNLSGADEPCSGEDYSFDVAIIVGRL RILGDQFNGELEASANNIIAVTIGGQAGSTVLNDTVQSLSRTWCTQDPTLVFERAFLAVS VKLLEYVVRKAPNVARQVANYVTGMINGNTAIREFIQGQGGWENLES >ENSMUSP00000102435.1 pep:known chromosome:GRCm38:3:103832771:103854618:1 gene:ENSMUSG00000044165.12 transcript:ENSMUST00000106822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l15 description:BCLl2-like 15 [Source:MGI Symbol;Acc:MGI:2685412] MSKMKNPRTFEEQTECIVNSLLKDFRTPLSHAANRNLSGADEPCSGEDYSFDVAIIVGRL RILGDQFNGELEASANNIIAVTIGGQAGSTVLNDTVQSLSRTWCTQDPTLVFERAFLAVS VKLLEYVVRKAPNVARQVANYVTGMINGNTAIREFIQGQGGWLII >ENSMUSP00000070709.7 pep:known chromosome:GRCm38:4:130308595:130315463:1 gene:ENSMUSG00000028773.8 transcript:ENSMUST00000070532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp3 description:fatty acid binding protein 3, muscle and heart [Source:MGI Symbol;Acc:MGI:95476] MADAFVGTWKLVDSKNFDDYMKSLGVGFATRQVASMTKPTTIIEKNGDTITIKTQSTFKN TEINFQLGIEFDEVTADDRKVKSLVTLDGGKLIHVQKWNGQETTLTRELVDGKLILTLTH GSVVSTRTYEKEA >ENSMUSP00000031843.6 pep:known chromosome:GRCm38:6:49822710:49829507:1 gene:ENSMUSG00000029819.6 transcript:ENSMUST00000031843.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npy description:neuropeptide Y [Source:MGI Symbol;Acc:MGI:97374] MLGNKRMGLCGLTLALSLLVCLGILAEGYPSKPDNPGEDAPAEDMARYYSALRHYINLIT RQRYGKRSSPETLISDLLMKESTENAPRTRLEDPSMW >ENSMUSP00000046509.5 pep:known chromosome:GRCm38:14:14175095:14176117:-1 gene:ENSMUSG00000052417.5 transcript:ENSMUST00000035250.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr720 description:olfactory receptor 720 [Source:MGI Symbol;Acc:MGI:3030554] MDGNKTFPSDFTFVGLFTHNKASGFLFSVICATFFMAILANGVMIFLIHIDPHLHTPMYF LLSHLSFIDMMYISTIVPKILVDYIVGKGIISFAACTAQYFLYMGFVGAEFFLLGLMAYD RYVAICNPLRYPVLMSRRVCWFILASSWFGGALDSFLLTPITMSLPFCASHKINHFFCEA PTMLRLACGDKAIYEMVMYICCVVMLLVPFSVVITSYAQILVTVHHIKSEEGRKKAFATC SSHVIVVTLFYGAALYTYMLPQAYHTPLKDKIFSAFYTILTPLLNPVIYSLRNRDVAGAL KRVIARHRGACSVERK >ENSMUSP00000146132.1 pep:known chromosome:GRCm38:14:14175697:14186650:-1 gene:ENSMUSG00000052417.5 transcript:ENSMUST00000206298.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr720 description:olfactory receptor 720 [Source:MGI Symbol;Acc:MGI:3030554] MDGNKTFPSDFTFVGLFTHNKASGFLFSVICATFFMAILANGVMIFLIHIDPHLHTPMYF LLSHLSFIDMMYISTIVPKILVDYIVGKGIISFAACTAQYFLYMGFVGAEFFLLGLMAYD RYVAICNP >ENSMUSP00000006704.7 pep:known chromosome:GRCm38:14:30929180:30943277:-1 gene:ENSMUSG00000006529.14 transcript:ENSMUST00000006704.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih1 description:inter-alpha trypsin inhibitor, heavy chain 1 [Source:MGI Symbol;Acc:MGI:96618] MEGATGLRVLLCLLPLLTLQARPALGLATGRPRGSEKRHAVDTYYLQSVNGVSIKSLKVN CKVTSRFAHYVITSQVVNNADKAREVAFDVEIPKTAFISDFAITSDGKAFIGDIKDKVTA WKQYRKAAVLGESAGLVRASGRNMEQFTIHITVGAQSKATFRLTYEEVLKRRLMQYDITI KVRPKQLVQHFEIDVDIFEPQGISKLDAQASFLSEELAAQTIKKSFSGKKGHVLFRPTVS QQQSCPTCSTSLLNGEFKVTYDVNRDKLCDLLVANNYFTHFFAPKNLTNMSKNLVFVIDI SGSMEGQKVRQTKEALLKILEDMRPVDNFDLVLFGSKVQSWKGSLVPASNANLQAAQDFV RRFSLAGATNLNGGLLRGIEILNKAQGSHPELSSPASILIMLTDGEPTEGETDRSQILKN VRNAIRGRFPLYNLGFGHDLDFSFLEVMSTENNGWAQRIYEDHDATQQLQGFYNQVANPL LTDVELQYPQDAVLALTQHRHKQYYDGSEIVVAGRIANHKLNTFKADVRARGEKQEFRAT CLVDEEEMKKLLRERGHVLENHVERLWAYLTIQELLAKRMKTEGEERANLSSQVLKMSLD YHFVTPLTSLTIRGLTDEDGLEPTIDKPLEDSQPLEMVGPRRTFVLSAIQPSPTAHPIDS KLPLRVTGVDTDPHFIIYVPSKEDSLCFNINEEPGVILNLVQDPDTGFTVNGQLIGNKAS SPGQHESTYFGRLGISSPTSDFQLEVTPQNITLNPSSSGSMFSWRDQAVLQKDGVVVTIN KKRNLVVSVDDGATFEIVLHRTWKGSAVHQDFLGFYVLDSFRMSARTKGLLGQFFSPLDF EVFDLHPGSDPTKTDATMVVKNRQLTVTRGLQKDYSKDPRHGAEVPCWFVHDNGAGLIDG VHTDYVVSDIF >ENSMUSP00000126449.1 pep:known chromosome:GRCm38:14:30929180:30943289:-1 gene:ENSMUSG00000006529.14 transcript:ENSMUST00000163118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itih1 description:inter-alpha trypsin inhibitor, heavy chain 1 [Source:MGI Symbol;Acc:MGI:96618] MEGATGLRVLLCLLPLLTLQARPALGLATGRPRGSEKRHAVDTSVNGVSIKSLKVNCKVT SRFAHYVITSQVVNNADKAREVAFDVEIPKTAFISDFAITSDGKAFIGDIKDKVTAWKQY RKAAVLGESAGLVRASGRNMEQFTIHITVGAQSKATFRLTYEEVLKRRLMQYDITIKVRP KQLVQHFEIDVDIFEPQGISKLDAQASFLSEELAAQTIKKSFSGKKGHVLFRPTVSQQQS CPTCSTSLLNGEFKVTYDVNRDKLCDLLVANNYFTHFFAPKNLTNMSKNLVFVIDISGSM EGQKVRQTKEALLKILEDMRPVDNFDLVLFGSKVQSWKGSLVPASNANLQAAQDFVRRFS LAGATNLNGGLLRGIEILNKAQGSHPELSSPASILIMLTDGEPTEGETDRSQILKNVRNA IRGRFPLYNLGFGHDLDFSFLEVMSTENNGWAQRIYEDHDATQQLQGFYNQVANPLLTDV ELQYPQDAVLALTQHRHKQYYDGSEIVVAGRIANHKLNTFKADVRARGEKQEFRATCLVD EEEMKKLLRERGHVLENHVERLWAYLTIQELLAKRMKTEGEERANLSSQVLKMSLDYHFV TPLTSLTIRGLTDEDGLEPTIDKPLEDSQPLEMVGPRRTFVLSAIQPSPTAHPIDSKLPL RVTGVDTDPHFIIYVPSKEDSLCFNINEEPGVILNLVQDPDTGFTVNGQLIGNKASSPGQ HESTYFGRLGISSPTSDFQLEVTPQNITLNPSSSGSMFSWRDQAVLQKDGVVVTINKKRN LVVSVDDGATFEIVLHRTWKGSAVHQDFLGFYVLDSFRMSARTKGLLGQFFSPLDFEVFD LHPGSDPTKTDATMVVKNRQLTVTRGLQKDYSKDPRHGAEVPCWFVHDNGAGLIDGVHTD YVVSDIF >ENSMUSP00000133815.1 pep:known chromosome:GRCm38:17:34956436:34959238:-1 gene:ENSMUSG00000090877.3 transcript:ENSMUST00000172753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa1b description:heat shock protein 1B [Source:MGI Symbol;Acc:MGI:99517] MAKNTAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVA LNPQNTVFDAKRLIGRKFGDAVVQSDMKHWPFQVVNDGDKPKVQVNYKGESRSFFPEEIS SMVLTKMKEIAEAYLGHPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAA IAYGLDRTGKGERNVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVSH FVEEFKRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQASLEIDSLFEGIDFYTSITRA RFEELCSDLFRGTLEPVEKALRDAKMDKAQIHDLVLVGGSTRIPKVQKLLQDFFNGRDLN KSINPDEAVAYGAAVQAAILMGDKSENVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTI PTKQTQTFTTYSDNQPGVLIQVYEGERAMTRDNNLLGRFELSGIPPAPRGVPQIEVTFDI DANGILNVTATDKSTGKANKITITNDKGRLSKEEIERMVQEAERYKAEDEVQRDRVAAKN ALESYAFNMKSAVEDEGLKGKLSEADKKKVLDKCQEVISWLDSNTLADKEEFVHKREELE RVCSPIISGLYQGAGAPGAGGFGAQAPPKGASGSGPTIEEVD >ENSMUSP00000075709.4 pep:known chromosome:GRCm38:3:96172332:96177564:1 gene:ENSMUSG00000068856.3 transcript:ENSMUST00000076372.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3b4 description:splicing factor 3b, subunit 4 [Source:MGI Symbol;Acc:MGI:109580] MAAGPISERNQDATVYVGGLDEKVSEPLLWELFLQAGPVVNTHMPKDRVTGQHQGYGFVE FLSEEDADYAIKIMNMIKLYGKPIRVNKASAHNKNLDVGANIFIGNLDPEIDEKLLYDTF SAFGVILQTPKIMRDPDTGNSKGYAFINFASFDASDAAIEAMNGQYLCNRPITVSYAFKK DSKGERHGSAAERLLAAQNPLSQADRPHQLFADAPPPPSAPNPVVSSLGSGLPPPGMPPP GSFPPPVPPPGALPPGIPPAMPPPPMPPGAGGHGPPAAGTPGAGHPGHGHSHPHPFPPGG MPHPGMSQMQLAHHGPHGLGHPHAGPPGSGGQPPPRPPPGMPHPGPPPMGMPPRGPPFGS PMGHPGPMPPHGMRGPPPLMPPHGYTGPPRPPPYGYQRGPLPPPRPTPRPPVPPRGPLRG PLPQ >ENSMUSP00000001122.5 pep:known chromosome:GRCm38:11:78397087:78422217:-1 gene:ENSMUSG00000001095.5 transcript:ENSMUST00000001122.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc13a2 description:solute carrier family 13 (sodium-dependent dicarboxylate transporter), member 2 [Source:MGI Symbol;Acc:MGI:1276558] MATCWQALWAYRSYLIVLCLPIFLLPLPLIVQTKEAYCAYSIILMALLWCTEALPLAVTA LFPIILFPLMGIMEASKVCLEYFKDTNILFVGGLMVAIAVEHWNLHKRIALGVLLIIGVR PALLLLGFMLVTAFLSMWISNTATTAMMLPIGYAVLEQLQGSQKDVEEGNSNPSFELQEA SPQKEETKLDNGQAVSVSSEPRAQKTKEHHRFSQGLSLCICYSASIGGIATLTGTTPNLV LQGQVNSIFPENSNVVNFASWFGFAFPTMVILLLLAWLWLQVLFLGVNFRKNFGFGEGEE ERKQAAFQVIKTQHRLLGPMSFAEKAVTFLFVLLVVLWFTREPGFFPGWGDTAFANKKGQ SMVSDGTVAIFISLIMFIIPSKIPGLTEDPKKPGKLKAPPAILTWKTVNDKMPWNILILL GGGFALAKGSEESGLSKWLGDKLTPLQHVPPSATVLILSLLVAIFTECTSNVATTTLFLP ILASMAQAICLHPLYVMLPCTLAASLAFMLPVATPPNAIVFSFGGLKVSDMARAGFLLNI IGVLTITLSINSWSIPIFKLDTFPTWAYSNTSQCLLNPPNSTVPGH >ENSMUSP00000121519.1 pep:known chromosome:GRCm38:6:125039760:125049002:1 gene:ENSMUSG00000030330.15 transcript:ENSMUST00000140131.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing4 description:inhibitor of growth family, member 4 [Source:MGI Symbol;Acc:MGI:107307] MAAGMYLEHYLDSIENLPFELQRNFQLMRDLDQRTEDLKAEIDKLATEYMSSARSLSSEE KLALLRQIQEAYGKCKEFGDDKVQLAMQTYEMVDKHIRRLDTDLARFEADLKEKQIESSD YDSSSSKGKKKGRTQKEKKAARARSKGKNSDEEAPKAAQKKLKLVRTSPEYGMPSVTFGS VHPSDVLDMPVDPNEPTYCLCHQVSYGEMIGCDNPDCSIEWFHFACVGLTTKPRGKWFCP RCSQERKKK >ENSMUSP00000032480.7 pep:known chromosome:GRCm38:6:125039836:125049264:1 gene:ENSMUSG00000030330.15 transcript:ENSMUST00000032480.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing4 description:inhibitor of growth family, member 4 [Source:MGI Symbol;Acc:MGI:107307] MAAGMYLEHYLDSIENLPFELQRNFQLMRDLDQRTEDLKAEIDKLATEYMSSARSLSSEE KLALLRQIQEAYGKCKEFGDDKVQLAMQTYEMVDKHIRRLDTDLARFEADLKEKQIESSD YDSSSSKGKKSRTQKEKKAARARSKGKNSDEEAPKAAQKKLKLVRTSPEYGMPSVTFGSV HPSDVLDMPVDPNEPTYCLCHQVSYGEMIGCDNPDCSIEWFHFACVGLTTKPRGKWFCPR CSQERKKK >ENSMUSP00000108036.2 pep:known chromosome:GRCm38:6:125039861:125049265:1 gene:ENSMUSG00000030330.15 transcript:ENSMUST00000112417.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ing4 description:inhibitor of growth family, member 4 [Source:MGI Symbol;Acc:MGI:107307] MAAGMYLEHYLDSIENLPFELQRNFQLMRDLDQRTEDLKAEIDKLATEYMSSARSLSSEE KLALLRQIQEAYGKCKEFGDDKVQLAMQTYEMVDKHIRRLDTDLARFEADLKEKQIESSD YDSSSSKGRTQKEKKAARARSKGKNSDEEAPKAAQKKLKLVRTSPMER >ENSMUSP00000120807.2 pep:known chromosome:GRCm38:4:130315383:130359943:-1 gene:ENSMUSG00000028772.19 transcript:ENSMUST00000134159.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc17 description:zinc finger, CCHC domain containing 17 [Source:MGI Symbol;Acc:MGI:1919955] MNSGRPETMENLPALYTIFQGEVAMVTDYGAFIKIPGCRKQGLVHRTHMSSCRVDKPSEI VDVGDKVWVKLIGREMKNDRIKVSLSMKVVNQGTGKDLDPNNVVIEQEERRRRSFQDYTG QKITLEAVLNTTCKKCGCKGHFAKDCFMQPGGTKYSLIPEEEEEKEEAKAEGLEKPDPTK NSSRKRKKEKKKKKHRDRKSSDCDSSDSESDTGKKARHSSKDSKATKKKKKKKKHKKKHK E >ENSMUSP00000082763.3 pep:known chromosome:GRCm38:7:33943002:33945040:-1 gene:ENSMUSG00000066586.3 transcript:ENSMUST00000085623.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b26 description:secretoglobin, family 2B, member 26 [Source:MGI Symbol;Acc:MGI:87864] MKGTLLLLALLVIGELGFQTTEACLSFARTYGAILTLRRTFLHGDLSQFYATVAERVAFE KIQDCFREEGQKTIILNPQIMLSLYLSPECKKYYGNDLLKKIQDFLNQSNIH >ENSMUSP00000109837.1 pep:known chromosome:GRCm38:2:25676786:25681608:1 gene:ENSMUSG00000045684.10 transcript:ENSMUST00000114199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn6 description:lipocalin 6 [Source:MGI Symbol;Acc:MGI:3045364] MKVILLTAALLALVSIPWLQAVWLGRLDPKQLLGPWYVLAVASRAKDFMVEKDMKNVEGV VVTLTPDNKLRVESSRHGPGGCHQSTVELLKQESRWVFENPSLGILDYRVLGTNFKDYAV VFTQLEFGDEVFNTVSLYSRTEMASHEAMQLFTKWSQGLGFLSQQQAQLQKDLTCAHKIL Q >ENSMUSP00000055660.2 pep:known chromosome:GRCm38:2:25679266:25681605:1 gene:ENSMUSG00000045684.10 transcript:ENSMUST00000059693.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn6 description:lipocalin 6 [Source:MGI Symbol;Acc:MGI:3045364] MTLRGPSHIFPRPGGCHQSTVELLKQESRWVFENPSLGILDYRVLGTNFKDYAVVFTQLE FGDEVFNTVSLYSRTEMASHEAMQLFTKWSQGLGFLSQQQAQLQKDLTCAHKILQ >ENSMUSP00000109835.2 pep:known chromosome:GRCm38:2:25679961:25681601:1 gene:ENSMUSG00000045684.10 transcript:ENSMUST00000114197.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn6 description:lipocalin 6 [Source:MGI Symbol;Acc:MGI:3045364] MTLRGPSHIFPRPGGCHQSTVELLKQESRWVFENPSLGILDYRVLGTNFKDYAVVFTQLE FGDEVFNTVSLYSRTEMASHEAMQLFTKWSQGLGFLSQQQAQLQKDLSSTEACGWDPGNC YSPILTTGSLLLQSLVHTRSSSECHTLDIAPQHPGILVEVGRHGLCTVAGGTGADWLLS >ENSMUSP00000133726.1 pep:known chromosome:GRCm38:17:34969912:34972136:1 gene:ENSMUSG00000092609.1 transcript:ENSMUST00000173680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20481 description:predicted gene 20481 [Source:MGI Symbol;Acc:MGI:5141946] MVGPEPEAPLGAWAPKPPAPGAPAPWYSPLMMGLHTRSSSSRLCTNSSLSASVLESSQEM TSWHLSSTFFLSASLSLPLRPSSSTALFMLKAALRGVDAPGKVALRGRDAPGKVALRGRD ALGKAGPGRGSGTRRSLCFSCLRLSLDGTRFGS >ENSMUSP00000084586.2 pep:known chromosome:GRCm38:17:34969190:34972156:-1 gene:ENSMUSG00000091971.3 transcript:ENSMUST00000087328.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa1a description:heat shock protein 1A [Source:MGI Symbol;Acc:MGI:96244] MAKNTAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVA LNPQNTVFDAKRLIGRKFGDAVVQSDMKHWPFQVVNDGDKPKVQVNYKGESRSFFPEEIS SMVLTKMKEIAEAYLGHPVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAA IAYGLDRTGKGERNVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLVSH FVEEFKRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQASLEIDSLFEGIDFYTSITRA RFEELCSDLFRGTLEPVEKALRDAKMDKAQIHDLVLVGGSTRIPKVQKLLQDFFNGRDLN KSINPDEAVAYGAAVQAAILMGDKSENVQDLLLLDVAPLSLGLETAGGVMTALIKRNSTI PTKQTQTFTTYSDNQPGVLIQVYEGERAMTRDNNLLGRFELSGIPPAPRGVPQIEVTFDI DANGILNVTATDKSTGKANKITITNDKGRLSKEEIERMVQEAERYKAEDEVQRDRVAAKN ALESYAFNMKSAVEDEGLKGKLSEADKKKVLDKCQEVISWLDSNTLADKEEFVHKREELE RVCSPIISGLYQGAGAPGAGGFGAQAPKGASGSGPTIEEVD >ENSMUSP00000062341.9 pep:known chromosome:GRCm38:3:96162004:96171718:1 gene:ENSMUSG00000045934.15 transcript:ENSMUST00000054356.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr11 description:myotubularin related protein 11 [Source:MGI Symbol;Acc:MGI:2652817] MWWGGRGQSFNIAPQKEEPEMGLSGPKSNPGNRMPEPSSHQLGSCLASGCLPGEHILAWA PGRRKGPGLDLPGTLICTNFRVTFQPCGWQRKQDTPLSSENDFALINIGRLEAVSGLSRV QLLRPGSQLKFIPEELLLHGRDFRLLRVGFEAGGLAPQAFQVTMAIIQARAQSSQVQQYR GITLSKVGKVSGSRKPPIPLLETLEDWETECKKQGARGWRVSTVNERFDVATSLSGYFWV PNRILDSEVRRAFAHFHQGRGPRLSWHHPGGSDLLRCGGFYIASDPNKEDIRAVESMLQA GHSDVVLVETMDEMPSLADIQLAHLKLRALCLPDSSVAEDKWLSALEGTRWLDYVRSCLR KASDISVLVTSRVRSVVLQELGDRDFNGLLSSLVQLLLAPEARTLFGFQSLVQREWVAAG HPFLTRLGDTGASEEAPVFPLFLDCAWQLLQQFPAEFEFSEFFLLALHDSIRVPDTLTFL RNTPWERGKKSGQFNSYTQVYTPEYSQPLAGSSANLHLSVWDWDLRYSKEQISQFLNPGY DPEHCPDSRFSRQQQSLMVPGPPSSMWLFSRGTLTPLNQLCPWQDSSSLLAVSSHWLPRP ARSSESLADQEWGLPSHWGACPLPPGLLLPGYLGPQIRFWKRCYLRGRPEVQMGSSALTV SALQDELSHLQELLRQWTPRISPEDQSKKRDPNTILSQIC >ENSMUSP00000118258.1 pep:known chromosome:GRCm38:3:96162085:96171718:1 gene:ENSMUSG00000045934.15 transcript:ENSMUST00000129925.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr11 description:myotubularin related protein 11 [Source:MGI Symbol;Acc:MGI:2652817] MWWGGRGQSFNIAPQKEEPEMGLSGPKSNPGNRMPEPSSHQLGSCLASGCLPGEHILAWA PGRRKGPGLDLPGTLICTNFRVTFQPCGWQRKQDTPLSSENDFALINIGRLEAVSGLSRV QLLRPGSQLKFIPEELLLHGRDFRLLRVGFEAGGLAPQAFQVTMAIIQARAQSSQVQQYR GITLSKVGKVSGSRKPPIPLLETLEDWETECKKQGARGWRVSTVNERFDVATSLSGYFWV PNRILDSEVRRAFAHFHQGRGPSSGVDATGWAL >ENSMUSP00000075904.1 pep:known chromosome:GRCm38:3:103809520:103822023:1 gene:ENSMUSG00000032952.11 transcript:ENSMUST00000076599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap4b1 description:adaptor-related protein complex AP-4, beta 1 [Source:MGI Symbol;Acc:MGI:1337130] MPYLGSEDVVKELKKALCNPHIQADRLRYRNVIQRVIRHMTQGLDMSDVFMEMVKASATV DIVQKKLVYLYMGTYAPLKPDLALLAINTLCKDCSDPNPMVRGLALRSMCSLRMPGVQEY IQQPVVNGLRDKASYVRRVAVLGCAKMHNLHGDSEVDGALVNELYSLLRDQDPIVVVNCL RSLEEILKQEGGVVINKPIAHHLLNRMSKLDQWGQAEVLNFLLRYQPRSEEELFDILNLL DSYLKSSSTGVVMGATKLFLILAKKFPHVQTDVLVRVKGPLLAACSSESRELCFAALCHV RQVLHSLPGHFSSHYKKFFCSYSEPHYIKLQKVEVLCELVNDENVQQVLEELRGYCTDVA ADFAQAAIFAIGSIAKTYTDQCVQILTELLGLRQEHITTVVVQTFRDLVWLCPQCTEAVC QALPGCEENIQDSEGKQALIWLLGVHGEKIPNAPYVLEDFVDNVKSETFPAVKMELLTAL MRLVLSRPAECQDMLGRLLHYCIEEEKDMAVRDRGLFYYRLLLVGIDKVKQILCSPKSDP SLGLLEDQPERPVNSWASDFNTLAPVYGRAHWATISKCQQVERHRLELPHNASFATSGHL ISEENKEGAQEPDSDTLMLVPNLQLTAEYFEKTWLSLRVSYQQVFPWQGEVQPDTLQMAL KVVNIQTIAMSRAGAQPWKAYLSAQDDTGGLFLAELLLKPENSEMQISVKQSKARTESLH GFVSVLETVIGTVGDIKS >ENSMUSP00000102437.1 pep:known chromosome:GRCm38:3:103809593:103821828:1 gene:ENSMUSG00000032952.11 transcript:ENSMUST00000106824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap4b1 description:adaptor-related protein complex AP-4, beta 1 [Source:MGI Symbol;Acc:MGI:1337130] MPYLGSEDVVKELKKALCNPHIQADRLRYRNVIQRVIRMPGVQEYIQQPVVNGLRDKASY VRRVAVLGCAKMHNLHGDSEVDGALVNELYSLLRDQDPIVVVNCLRSLEEILKQEGGVVI NKPIAHHLLNRMSKLDQWGQAEVLNFLLRYQPRSEEELFDILNLLDSYLKSSSTGVVMGA TKLFLILAKKFPHVQTDVLVRVKGPLLAACSSESRELCFAALCHVRQVLHSLPGHFSSHY KKFFCSYSEPHYIKLQKVEVLCELVNDENVQQVLEELRGYCTDVAADFAQAAIFAIGSIA KTYTDQCVQILTELLGLRQEHITTVVVQTFRDLVWLCPQCTEAVCQALPGCEENIQDSEG KQALIWLLGVHGEKIPNAPYVLEDFVDNVKSETFPAVKMELLTALMRLVLSRPAECQDML GRLLHYCIEEEKDMAVRDRGLFYYRLLLVGIDKVKQILCSPKSDPSLGLLEDQPERPVNS WASDFNTLAPVYGRAHWATISKCQQVERHRLELPHNASFATSGHLISEENKEGAQEPDSD TLMLVPNLQLTAEYFEKTWLSLRVSYQQVFPWQGEVQPDTLQMALKVVNIQTIAMSRAGA QPWKAYLSAQDDTGGLFLAELLLKPENSEMQISVKQSKARTESLHGFVSVLETVIGTVGD IKS >ENSMUSP00000102436.1 pep:known chromosome:GRCm38:3:103809600:103822023:1 gene:ENSMUSG00000032952.11 transcript:ENSMUST00000106823.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap4b1 description:adaptor-related protein complex AP-4, beta 1 [Source:MGI Symbol;Acc:MGI:1337130] MPYLGSEDVVKELKKALCNPHIQADRLRYRNVIQRVIRHMTQGLDMSDVFMEMVKASATV DIVQKKLVYLYMGTYAPLKPDLALLAINTLCKDCSDPNPMVRGLALRSMCSLRMPGVQEY IQQPVVNGLRDKASYVRRVAVLGCAKMHNLHGDSEVDGALVNELYSLLRDQDPIVVVNCL RSLEEILKQEGGVVINKPIAHHLLNRMSKLDQWGQAEVLNFLLRYQPRSEEELFDILNLL DSYLKSSSTGVVMGATKLFLILAKKFPHVQTDVLVRVKGPLLAACSSESRELCFAALCHV RQVLHSLPGHFSSHYKKFFCSYSEPHYIKLQKVEVLCELVNDENVQQVLEELRGYCTDVA ADFAQAAIFAIVVVQTFRDLVWLCPQCTEAVCQALPGCEENIQDSEGKQALIWLLGVHGE KIPNAPYVLEDFVDNVKSETFPAVKMELLTALMRLVLSRPAECQDMLGRLLHYCIEEEKD MAVRDRGLFYYRLLLVGIDKVKQILCSPKSDPSLGLLEDQPERPVNSWASDFNTLAPVYG RAHWATISKCQQVERHRLELPHNASFATSGHLISEENKEGAQEPDSDTLMLVPNLQLTAE YFEKTWLSLRVSYQQVFPWQGEVQPDTLQMALKVVNIQTIAMSRAGAQPWKAYLSAQDDT GGLFLAELLLKPENSEMQISVKQSKARTESLHGFVSVLETVIGTVGDIKS >ENSMUSP00000044262.2 pep:known chromosome:GRCm38:3:103809628:103822025:1 gene:ENSMUSG00000032952.11 transcript:ENSMUST00000047285.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap4b1 description:adaptor-related protein complex AP-4, beta 1 [Source:MGI Symbol;Acc:MGI:1337130] MPYLGSEDVVKELKKALCNPHIQADRLRYRNVIQRVIRHMTQGLDMSDVFMEMVKASATV DIVQKKLVYLYMGTYAPLKPDLALLAINTLCKDCSDPNPMVRGLALRSMCSLRMPGVQEY IQQPVVNGLRDKASYVRRVAVLGCAKMHNLHGDSEVDGALVNELYSLLRDQDPIVVVNCL RSLEEILKQEGGVVINKPIAHHLLNRMSKLDQWGQAEVLNFLLRYQPRSEEELFDILNLL DSYLKSSSTGVVMGATKLFLILAKKFPHVQTDVLVRVKGPLLAACSSESRELCFAALCHV RQVLHSLPGHFSSHYKKFFCSYSEPHYIKLQKVEVLCELVNDENVQQVLEELRGYCTDVA ADFAQAAIFAIGSIAKTYTDQCVQILTELLGLRQEHITTVVVQTFRDLVWLCPQCTEAVC QALPGCEENIQDSEGKQALIWLLGVHGEKIPNAPYVLEDFVDNVKSETFPAVKMELLTAL MRLVLSRPAECQDMLGRLLHYCIEEEKDMAVRDRGLFYYRLLLVGIDKVKQILCSPKSDP SLGLLEDQPERPVNSWASDFNTLAPVYGRAHWATISKCQQVERHRLELPHNASFATSGHL ISEENKEGAQEPDSDTLMLVPNLQLTAEYFEKTWLSLRVSYQQVFPWQGEVQPDTLQMAL KVVNIQTIAMSRAGAQPWKAYLSAQDDTGGLFLAELLLKPENSEMQISVKQSKARTESLH GFVSVLETVIGTVGDIKS >ENSMUSP00000143355.1 pep:known chromosome:GRCm38:3:103809836:103821761:1 gene:ENSMUSG00000032952.11 transcript:ENSMUST00000200377.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap4b1 description:adaptor-related protein complex AP-4, beta 1 [Source:MGI Symbol;Acc:MGI:1337130] MPYLGSEDVVKELKKALCNPHIQADRLRYRNVIQRVIRMSKLDQWGQAEVLNFLLRYQPR SEEELFDILNLLDSYLKSSSTGVVMGATKLFLILAKKFPHVQTDVLVRVKGPLLAACSSE SRELCFAALCHVRQVLHSLPGHFSSHYKKFFCSYSEPHYIKLQKVEVLCELVNDENVQQV LEELRGYCTDVAADFAQAAIFAIGSIAKTYTDQCVQILTELLGLRQEHITTVVVQTFRDL VWLCPQCTEAVCQALPGCEENIQDSEGKQALIWLLGVHGEKIPNAPYVLEDFVDNVKSET FPAVKMELLTALMRLVLSRPAECQDMLGRLLHYCIEEEKDMAVRDRGLFYYRLLLVGIDK VKQILCSPKSDPSLGLLEDQPERPVNSWASDFNTLAPVYGRAHWATISKCQQVERHRLEL PHNASFATSGHLISEENKEGAQEPDSDTLMLVPNLQLTAEYFEKTWLSLRVSYQQVFPWQ GEVQPDTLQMALKVVNIQTIAMSRAGAQPWKAYLSAQDDTGGLFLAELLLKPENSEMQIS VKQSKARTESLHGFVSVLETVIGTVGDIKS >ENSMUSP00000142457.1 pep:known chromosome:GRCm38:3:103815058:103820684:1 gene:ENSMUSG00000032952.11 transcript:ENSMUST00000199686.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap4b1 description:adaptor-related protein complex AP-4, beta 1 [Source:MGI Symbol;Acc:MGI:1337130] XCSSESRELCFAALCHVRQVLHSLPGHFSSHYKKFFCSYSEPHYIKLQKVEVLCELVNDE NVQQVLEELRGYCTDVAADFAQAAIFAIGSIAKTYTDQCVQILTELLGLRQEHITTGETG TYLVTWGPRGKNPQCSLCVGGLCRQREVRDISRC >ENSMUSP00000102444.1 pep:known chromosome:GRCm38:7:104356850:104366179:-1 gene:ENSMUSG00000052749.8 transcript:ENSMUST00000106831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim30b description:tripartite motif-containing 30B [Source:MGI Symbol;Acc:MGI:4821256] MASSILEMIKDEVTCPICLELLKEPVSTDCDHSFCRACITLNYESSKSRGTEEGSCPVCR VRVPFGNLRTNRQMANIVERLKGFKSIPEGEQKVNVCAQHGEKLQLFCKKDMMAICWVCE RSQEHHGHQTALIEEVDHEYKEKLQTALQKLMENEKRCDEWQDDLQQQRADWENQIQSSV ENVQRQFNGLREFLDSKENGELHRLKEEKEEVVKRLGESENELVQQRQWVRDLISDVEHQ LELSTMEMLQNVNNVLRRSQTLRLKQPQMIPKKTYQPPDLNSMLQSFQGLMMSSDTGCNN NSSFSILPKKQLFHL >ENSMUSP00000131288.1 pep:known chromosome:GRCm38:7:104355398:104358646:-1 gene:ENSMUSG00000052749.8 transcript:ENSMUST00000171830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim30b description:tripartite motif-containing 30B [Source:MGI Symbol;Acc:MGI:4821256] MQHANSYRRNLQISEIYHFVVLGYPTIGTGEQYLEVDMSRSDAWLLGLNHGPHAAPQLCS MNEMFPNVKFHDTDIQHETYQSKYGYWIIGMKYRSVYAFDKCPVTYNSVSWPSLCLVLSV MLEFSCPGKLGLSHFMMFLPMKLSSIGSMTLPSLIRSIF >ENSMUSP00000131747.1 pep:known chromosome:GRCm38:7:104361411:104369782:-1 gene:ENSMUSG00000052749.8 transcript:ENSMUST00000164410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim30b description:tripartite motif-containing 30B [Source:MGI Symbol;Acc:MGI:4821256] MASSILEMIKDEVTCPICLELLKEPVSTDCDHSFCRACITLNYESSKSRGTEEGSCPVCR VRVPFGNLRTNRQMANIVERLKGFKSIPEGEQKVNVCAQHGEKLQLFCKKDMMAICWVCE RSQEHHGHQTALIEEVDHEYKEKLQTALQKLMENEKRCDEWQDDLQQQRADWEASGTLLK GVSLMPKRDLGKKLLGSLLPGIW >ENSMUSP00000090316.6 pep:known chromosome:GRCm38:10:24869986:24913681:1 gene:ENSMUSG00000019984.16 transcript:ENSMUST00000092646.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med23 description:mediator complex subunit 23 [Source:MGI Symbol;Acc:MGI:1917458] MVPMETQLQSIFEEVVKTEIIEEAFPGMFMDTPEDEKTKLISCLAAFRQFWSGLSQESHE QCVQWIVKFIHGQHSPKRISFLYDCLAMAVETGLLPPRMVCESLINSDSLEWERTQLWAL TFKLVRKIIGGVDYKGVRDLLKAILEKILTIPNTVSSAVVQQLLAAREVIAYILERNACL LPAYFAVTEIRKLYPEGKLPHWLLGNLVSDFVDTFRPTARINSICGRCSLLPVVNNSGAI CNSWKLDPATLRFPLKGLLPYDKDLFEPQTALLRYVLEQPYSRDMVCNMLGLNKQTLNIA QHKQRCPVLEDQLVDLVVYAMERSETEEKFDDGGTSQLLWQHLSSQLIFFVLFQFASFPH MVLSLHQKLAGRGLIKGRDHLMWVLLQFISGSIQKNALADFLPVMKLFDLLYPEKECIPV PDINKPQSTHAFAMTCIWIHLNRKAQNGDSTLQIPIPHSLKLHHEFLQQSLRNKSLQMND YKIALLCNAYSTNSECFTLPMGALVETIYGNGIMRVPLPGTSCLASASVTPLPMNLLDSL TVHAKMSLIHSIATRVIKLAHTKSSVALAPALVETYSRLLVYMEIESLGIKGFISQLLPT VFKSHAWGILHTLLEMFSHRMHHIQPHYRVQLLSHLHTLAAVAQTNQNQLHLCVESTALR LITALGSSEVQPQFTRFLNDPKTVLSAESEELNRALILTLARATHVTDFFTGSDSIQGTW CKDILQTIMNFTPHNWASHTLSCFPAPLQAFFKQNNVPQESRFNLKKNVEEEYRKWKSMT DENEIITQFSVQGFPPLFLCLLWKMLLETDHISQIGYKVLERIGARALVAHVRTFADFLV YEFSTSAGGQQLNKCIEILNDMVWKYNIVTLDRLILCLAMRSHEGNEAQVCYFIIQLLLL KPNDFRNRVSDFVKENSPEHWLQSDWHTKHMSYHKKYPEKLYFEGLAEQVDPPVPIQSPY LPIYFGNVCLRFLPVFDIVIHRFLELLPVSKSLETLLDHLGGLYKFHDRPVTYLYNTLHY YEMCLRNRDHLKRKLVHAIIGSLKDNRPQGWCLSDTYLKHAMNAREDNPWVPEDSYYCKL IGRLVDTMAGKSPGPFPNCDWRFNEFPNPAAHALHVTCVELMALAVPGKDVGNALLNVVL KSQPLVPRENITAWMNAIGLIITALPEPYWIVLHDRIVNVISSSSLTSETEWVGYPFRLF DFTACHQSYSEMSCSYTLALAHAVWHHSSIGQLSLIPKFLTEALLPVVKTEFQLLYVYHL VGPFLQRFQQERTRCMIEIGVAFYDMLLNVDQCSTHLNYMDPICDFLYHMKYMFTGDSVK EQVEKIICNLKPALKLRLRFITHISKMEPAVPPQALNSGSPAPQSNQVPASLPVTQ >ENSMUSP00000134866.1 pep:known chromosome:GRCm38:10:24870037:24906765:1 gene:ENSMUSG00000019984.16 transcript:ENSMUST00000177232.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Med23 description:mediator complex subunit 23 [Source:MGI Symbol;Acc:MGI:1917458] MVPMETQLQSIFEEVVKTEIIEEAFPGMFMDTPEDEKTKLISCLAAFRQFWSGLSQIV >ENSMUSP00000020159.8 pep:known chromosome:GRCm38:10:24870047:24913460:1 gene:ENSMUSG00000019984.16 transcript:ENSMUST00000020159.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med23 description:mediator complex subunit 23 [Source:MGI Symbol;Acc:MGI:1917458] MVPMETQLQSIFEEVVKTEIIEEAFPGMFMDTPEDEKTKLISCLAAFRQFWSGLSQESHE QCVQWIVKFIHGQHSPKRISFLYDCLAMAVETGLLPPRMVCESLINSDSLEWERTQLWAL TFKLVRKIIGGVDYKGVRDLLKAILEKILTIPNTVSSAVVQQLLAAREVIAYILERNACL LPAYFAVTEIRKLYPEGKLPHWLLGNLVSDFVDTFRPTARINSICGRCSLLPVVNNSGAI CNSWKLDPATLRFPLKGLLPYDKDLFEPQTALLRYVLEQPYSRDMVCNMLGLNKQHKQRC PVLEDQLVDLVVYAMERSETEEKFDDGGTSQLLWQHLSSQLIFFVLFQFASFPHMVLSLH QKLAGRGLIKGRDHLMWVLLQFISGSIQKNALADFLPVMKLFDLLYPEKECIPVPDINKP QSTHAFAMTCIWIHLNRKAQNGDSTLQIPIPHSLKLHHEFLQQSLRNKSLQMNDYKIALL CNAYSTNSECFTLPMGALVETIYGNGIMRVPLPGTSCLASASVTPLPMNLLDSLTVHAKM SLIHSIATRVIKLAHTKSSVALAPALVETYSRLLVYMEIESLGIKGFISQLLPTVFKSHA WGILHTLLEMFSHRMHHIQPHYRVQLLSHLHTLAAVAQTNQNQLHLCVESTALRLITALG SSEVQPQFTRFLNDPKTVLSAESEELNRALILTLARATHVTDFFTGSDSIQGTWCKDILQ TIMNFTPHNWASHTLSCFPAPLQAFFKQNNVPQESRFNLKKNVEEEYRKWKSMTDENEII TQFSVQGFPPLFLCLLWKMLLETDHISQIGYKVLERIGARALVAHVRTFADFLVYEFSTS AGGQQLNKCIEILNDMVWKYNIVTLDRLILCLAMRSHEGNEAQVCYFIIQLLLLKPNDFR NRVSDFVKENSPEHWLQSDWHTKHMSYHKKYPEKLYFEGLAEQVDPPVPIQSPYLPIYFG NVCLRFLPVFDIVIHRFLELLPVSKSLETLLDHLGGLYKFHDRPVTYLYNTLHYYEMCLR NRDHLKRKLVHAIIGSLKDNRPQGWCLSDTYLKHAMNAREDNPWVPEDSYYCKLIGRLVD TMAGKSPGPFPNCDWRFNEFPNPAAHALHVTCVELMALAVPGKDVGNALLNVVLKSQPLV PRENITAWMNAIGLIITALPEPYWIVLHDRIVNVISSSSLTSETEWVGYPFRLFDFTACH QSYSEMSCSYTLALAHAVWHHSSIGQLSLIPKFLTEALLPVVKTEFQLLYVYHLVGPFLQ RFQQERTRCMIEIGVAFYDMLLNVDQCSTHLNYMDPICDFLYHMKYMFTGDSVKEQVEKI ICNLKPALKLRLRFITHISKMEPAVPPQALNSGSPAPQSNQVPASLPVTQ >ENSMUSP00000135751.1 pep:known chromosome:GRCm38:10:24870310:24878456:1 gene:ENSMUSG00000019984.16 transcript:ENSMUST00000176313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med23 description:mediator complex subunit 23 [Source:MGI Symbol;Acc:MGI:1917458] MFMDTPEDEKTKLISCLAAFRQFWSGLSQESHEQCVQWIVKFIHGQHSPKRISFLYDCLA MAVETGLLPPRMVCESLINSDSLEWERTQLWALTFKLVRKIIGGVDYKGVRDLLKAILEK ILTIPNTVSSAVVQQLLAAREVIAYILERNACLLPAYFAVTEIRKLYPEGKLPHWLLGNL VSDFVDTFRPTARINSI >ENSMUSP00000134836.1 pep:known chromosome:GRCm38:10:24876946:24892593:1 gene:ENSMUSG00000019984.16 transcript:ENSMUST00000176502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med23 description:mediator complex subunit 23 [Source:MGI Symbol;Acc:MGI:1917458] AYILERNACLLPAYFAVTEIRKLYPEGKLPHWLLGNLVSDFVDTFRPTARINSICGRCSL LPVVNNSGAICNSWKLDPATLRFPLKGLLPYDKHKQRCPVLEDQLVDLVVYAMERSETEE KFDDGGTSQLLWQHLSSQLIFFVLFQFASFPHMVLSLHQKLAGRGLIKGRDHLMWVLLQF ISGSIQKNALADFLPVMKLFDLLYPEKECIPVPDINKPQSTHAFAMTCIWIHLN >ENSMUSP00000135232.1 pep:known chromosome:GRCm38:10:24888451:24913020:1 gene:ENSMUSG00000019984.16 transcript:ENSMUST00000176285.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med23 description:mediator complex subunit 23 [Source:MGI Symbol;Acc:MGI:1917458] YAMERSETEEKFDDGGTSQLLWQHLSSQLIFFVLFQFASFPHMVLSLHQKCIPVPDINKP QSTHAFAMTCIWIHLNRKAQNGDSTLQIPIPHSLKLHHEFLQQSLRNKSLQMNDYKIALL CNAYSTNSECFTLPMGALVETIYGNGIMRVPLPGTSCLASASVTPLPMNLLDSLTVHAKM SLIHSIATRVIKLAHTKSSVALAPALVETYSRLLVYMEIESLGIKGFISQLLPTVFKSHA WGILHTLLEMFSHRMHHIQPHYRVQLLSHLHTLAAVAQTNQNQLHLCVESTALRLITALG SSEVQPQFTRFLNDPKTVLSAESEELNRALILTLARATHVTDFFTGSDSIQGTWCKDILQ TIMNFTPHNWASHTLSCFPAPLQAFFKQNNVPQESRFNLKKNVEEEYRKWKSMTDENEII TQFSVQGFPPLFLCLLWKMLLETDHISQIGYKVLERIGARALVAHVRTFADFLVYEFSTS AGGQQLNKCIEILNDMVWKYNIVTLDRLILCLAMRSHEGNEAQVCYFIIQLLLLKPNDFR NRVSDFVKENSPEHWLQSDWHTKHMSYHKKYPEKLYFEGLAEQVDPPVPIQSPYLPIYFG NVCLRFLPVFDIVIHRFLELLPVSKSLETLLDHLGGLYKFHDRPVTYLYNTLHYYEMCLR NRDHLKRKLVHAIIGSLKDNRPQGWCLSDTYLKHAMNAREDNPWVPEDSYYCKLIGRLVD TMAGKSPGPFPNCDWRFNEFPNPAAHALHVTCVELMALAVPGKDVGNALLNVVLKSQPLV PRENITAWMNAIGLIITALPEPYWIVLHDRIVNVISSSSLTSETEWVGYPFRLFDFTACH QSYSEMSCSYTLALAHAVWHHSSIGQLSLIPKFLTEALLPVVKTEFQLLYVYHLVGPFLQ RFQQERTRCMIEIGVAFYDMLLNVDQCSTHLNYMDPICDFLYHMKYMFTGDSVKEQVEKI ICNLKPALKLRLRFITHISKMEPAVPPQALNSGSPAPQSNQVPASLPVTQ >ENSMUSP00000032151.2 pep:known chromosome:GRCm38:6:99625135:99666771:-1 gene:ENSMUSG00000093661.1 transcript:ENSMUST00000032151.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e3 description:eukaryotic translation initiation factor 4E member 3 [Source:MGI Symbol;Acc:MGI:1914142] MALPPAAAPPGANEPLDKALSALPPEPGGVPLHSPWTFWLDRSLPGATAAECASNLKKIY TVQTVQIFWSVYNNIPPVTSLPLRCSYHLMRGERRPLWEEESNAKGGVWKMKVPKDSTST VWKELLLATIGEQFTDCAAADDEIIGVSVSVRDREDVVQVWNVNASLVGEATVLEKIHQL LPHIAFKAVFYKPHEEHHAFEGGRGKH >ENSMUSP00000055694.6 pep:known chromosome:GRCm38:1:51289126:51302960:1 gene:ENSMUSG00000045954.7 transcript:ENSMUST00000051572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdpr description:serum deprivation response [Source:MGI Symbol;Acc:MGI:99513] MGEDAAQAEKFQHPNTDMLQEKPSSPSPMPSSTPSPSLNLGSTEEAIRDNSQVNAVTVHT LLDKLVNMLDAVRENQHNMEQRQINLEGSVKGIQNDLTKLSKYQASTSNTVSKLLEKSRK VSAHTRAVRERLERQCVQVKRLENNHAQLLRRNHFKVLIFQEESEIPASVFVKEPVPSAA EGKEELADENKSLEETLHNVDLSSDDELPRDEEALEDSAEEKMEESRAEKIKRSSLKKVD SLKKAFSRQNIEKKMNKLGTKIVSVERREKIKKSLTPNHQKASSGKSSPFKVSPLSFGRK KVREGESSVENETKLEDQMQEDREEGSFTEGLSEASLPSGLMEGSAEDAEKSARRGNNSA VGSNADLTIEEDEEEEPVALQQAQQVRYESGYMLNSEEMEEPSEKQVQPAVLHVDQTA >ENSMUSP00000116798.1 pep:known chromosome:GRCm38:8:19682268:19696502:1 gene:ENSMUSG00000087153.7 transcript:ENSMUST00000153710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6483 description:predicted gene 6483 [Source:MGI Symbol;Acc:MGI:3644574] MTTLQSFCMKSIIPMCLRGPMWVGTSVIQVTASDADNPTYGKSAKLVYSILEGQPYFSVE AQTGIIRTALPNMDREAKEEYHVVIQAKDMGGHMGGLSGTTKVTITLTDVNDNSAKFPQS KESKLVFDNDHCSARASSFHSLLIYPAFKRKSLEIQESFS >ENSMUSP00000119559.1 pep:known chromosome:GRCm38:8:19682270:19697482:1 gene:ENSMUSG00000087153.7 transcript:ENSMUST00000127799.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6483 description:predicted gene 6483 [Source:MGI Symbol;Acc:MGI:3644574] MTTLQSFCMKSIIPMCLRGPMWVGTSVIQVTASDADNPTYGKSAKLVYSILEGQPYFSVE AQTGIIRTALPNMDREAKEEYHVVIQAKDMGGHMGGLSGTTKVTITLTDVNDNSAKFPQS KESKLVFDNDHCSARASSFHSLLIYPAFKRKSLEIQESFS >ENSMUSP00000007248.3 pep:known chromosome:GRCm38:17:34972703:34979285:1 gene:ENSMUSG00000007033.4 transcript:ENSMUST00000007248.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa1l description:heat shock protein 1-like [Source:MGI Symbol;Acc:MGI:96231] MAANKGMAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQ VAMNPQNTVFDAKRLIGRKFNDPVVQSDMKLWPFQVINEAGKPKVMVSYKGEKKAFYPEE ISSMVLTKMKETAEAFLGHNVTNAVITVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTA AAIAYGLDKGSHGERHVLIFDLGGGTFDVSILTIDDGIFEVKATAGDTHLGGEDFDNRLV SHFVEEFKRKHKKDISQNKRAVRRLRTACERAKRTLSSSTQANLEIDSLYEGIDFYTSIT RARFEELCADLFRGTLEPVEKSLRDAKMDKAKIHDIVLVGGSTRIPKVQKLLQDYFNGRD LNKSINPDEAVAYGAAVQAAILMGDKSEKVQDLLLLDVAPLSLGLETAGGVMTVLIKRNS TIPTKQTQIFTTYSDNQPGVLIQVYEGERAMTRDNNLLGRFDLTGIPPAPRGVPQIEVTF DIDANGILNVTAMDKSTGKANKITITNDKGRLSKEEIERMVQEAERYKAEDEGQREKIAA KNALESYAFNMKSAVGDEGLKDKISESDKKKILDKCNEVLSWLEANQLAEKDEFDHKRKE LENMCNPIITKLYQSGCTGPTCTPGYTPGRAATGPTIEEVD >ENSMUSP00000146305.1 pep:known chromosome:GRCm38:14:14322910:14329066:1 gene:ENSMUSG00000072707.2 transcript:ENSMUST00000206009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr31 description:olfactory receptor 31 [Source:MGI Symbol;Acc:MGI:109304] MEGDNTSSTDFTFMGLFNTEETSGLVFATISVIFLTALVANGIMIFLIHTDAHLHTPMYF LLSHLSFIDMMYISTIVPKMLVDYLLGQRTISFVGCTAQHFLYLTLVGAEFFLLGLMAYD RYVAICNPLRYPVLMSRRICWIIIAGSWFGGSLDGFLLTPITMSFPFCRSREINHFFCEA PAVLKLACADTALYETVMYVCCVLMLLIPFSVVISSYARILATVYHMSSVEGRKKAFATC SSHMTVVTLFYGAAIYTYMVPHSYHSPSQDKIFSVFYTILTPMLNPLIYSMRNKDVSGGL RRALGKIGSSQRVSKDF >ENSMUSP00000098434.1 pep:known chromosome:GRCm38:14:14328113:14329066:1 gene:ENSMUSG00000072707.2 transcript:ENSMUST00000100872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr31 description:olfactory receptor 31 [Source:MGI Symbol;Acc:MGI:109304] MEGDNTSSTDFTFMGLFNTEETSGLVFATISVIFLTALVANGIMIFLIHTDAHLHTPMYF LLSHLSFIDMMYISTIVPKMLVDYLLGQRTISFVGCTAQHFLYLTLVGAEFFLLGLMAYD RYVAICNPLRYPVLMSRRICWIIIAGSWFGGSLDGFLLTPITMSFPFCRSREINHFFCEA PAVLKLACADTALYETVMYVCCVLMLLIPFSVVISSYARILATVYHMSSVEGRKKAFATC SSHMTVVTLFYGAAIYTYMVPHSYHSPSQDKIFSVFYTILTPMLNPLIYSMRNKDVSGGL RRALGKIGSSQRVSKDF >ENSMUSP00000105045.1 pep:known chromosome:GRCm38:2:163466379:163472629:-1 gene:ENSMUSG00000048486.6 transcript:ENSMUST00000109418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fitm2 description:fat storage-inducing transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:2444508] MEHLERCAWFLRGTLVRATVRRHLPWALVAAMLAGSVVKELSPLPESYLSNKRNVLNVYF VKLAWAWTVCLLLPFIALTNYHLTGKTSLVLRRLSTLLVGTAIWYICTALFSNIEHYTGS CYQSPALEGIRQEHRSKQQCHREGGFWHGFDISGHSFLLTFCALMIVEEMAVLHEVKTDR GHHLHAAITTLVVALGFLTFIWVWMFLCTAVYFHDLTQKVFGTMFGLLGWYGTYGYWYLK SFSPGLPPQSCSLTLKRDTYKK >ENSMUSP00000126036.1 pep:known chromosome:GRCm38:5:129846986:129864050:1 gene:ENSMUSG00000025538.15 transcript:ENSMUST00000171300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumf2 description:sulfatase modifying factor 2 [Source:MGI Symbol;Acc:MGI:1915152] MRSEFWFPSMGSLLPPVLLLWLLSCPRLQLGHAQDPAMVHLPGGRFLMGTDAPDGRDGEG PAREVTVKPFAIDIFPVTNKDFREFVREKKYQTEAEAFGWSFVFEDFVSPELRKQENLMP AVHWWQPVPKAFWRQPAGPGSGIREKLELPVVHVSWNDAGAYCAWRGRRLPTEEEWEFAA RGGLKGQVYPWGNRFQPNRTNLWQGKFPKGDKAEDGFHGLSPVNAFPPQNNYGLYDLMGN VWEWTASTYQPAGQDMRVLRGASWIDTADGSANHRARVTTRMGNTPDSASDNLGFRCASS AGRPKEDL >ENSMUSP00000144230.1 pep:known chromosome:GRCm38:5:129847011:129854748:1 gene:ENSMUSG00000025538.15 transcript:ENSMUST00000201874.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumf2 description:sulfatase modifying factor 2 [Source:MGI Symbol;Acc:MGI:1915152] WFPSMGSLLPPVLLLWLLSCPRLQLGHAQDPAMVHLPGGRFLMGTDAPDGRDGEGPAREV TVKPFAIDIFPVTNKDFREFVREKKYQTEAEAFGWSFVFEDFVSPELRKQENLMPPAGPG SGIREKLELPVVHVS >ENSMUSP00000144155.1 pep:known chromosome:GRCm38:5:129847020:129863432:1 gene:ENSMUSG00000025538.15 transcript:ENSMUST00000137357.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sumf2 description:sulfatase modifying factor 2 [Source:MGI Symbol;Acc:MGI:1915152] MGSLLPPVLLLWLLSCPRLQLGHAQDPAMVHLPGGRFLMGTDAPDGRDGEGPAREVTVKP FAIDIFPVTNKDFREFVREKKYQTEAEAFGWSFVFEDFVSPELRKQENLMPAVHWWQPVP KAFWRQPRFLLPQPSECWKSSLQVPALASERNWSFPWYT >ENSMUSP00000121312.1 pep:known chromosome:GRCm38:10:75568656:75586200:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000134503.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEEGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARHGFPVGKGLAIALDKK RDVIEKTPALCEVFCRQGKVLQEGETVTMPKLADTLQILAQEGAKAFYNGSLTAQIVKDI QEAGGIMTVEDLNNYRAELIEHPMSIGLGDATLYVPSAPLSGPVLILILNILKGYNFSPK SVATPEQKALTYHRIVEAFRFAYAKRTMLGDPKFVDVSQVIRNMSSEFYATQLRARITDE TTHPAAYYEPEFYLQDDGGTAHLSAVSEDGSAVAATSTINLYFGSKVLSRVSGILFNDEM DDFSSPNFINQFRVAPSPANFIKPGKQPLSSMCPSIILDKDGQVRMVVGASGGTQITTSV ALAIINSLWFGYDVKRAVEEPRLHNQLLPNTTTVEKDIDQVVTAGLKIRHHHTEVTPTFI AVVQAVVRASGGWAAASDSRKGGEPAGY >ENSMUSP00000006508.3 pep:known chromosome:GRCm38:10:75573448:75586182:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000006508.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEEGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARHGFPVGKGLAIALDKK RDVIEKTPALCEVFCRQGKVLQEGETVTMPKLADTLQILAQEGAKAFYNGSLTAQIVKDI QEAGGIMTVEDLNNYRAELIEHPMSIGLGDATLYVPSAPLSGPVLILILNILKGYNFSPK SVATPEQKALTYHRIVEAFRFAYAKRTMLGDPKFVDVSQVIRNMSSEFYATQLRARITDE TTHPAAYYEPEFYLQDDGGTAHLSAVSEDGSAVAATSTINLYFGSKVLSRVSGILFNDEM DDFSSPNFINQFRVAPSPANFIKPGKQPLSSMCPSIILDKDGQVRMVVGASGGTQITTSV ALAIINSLWFGYDVKRAVEEPRLHNQLLPNTTTVEKDIDQVVTAGLKIRHHHTEVTPTFI AVVQAVVRASGGWAAASDSRKGGEPAGY >ENSMUSP00000115818.1 pep:known chromosome:GRCm38:10:75564155:75576255:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000152657.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAP >ENSMUSP00000115483.1 pep:known chromosome:GRCm38:10:75561604:75576255:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000145079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAP >ENSMUSP00000118870.1 pep:known chromosome:GRCm38:10:75561655:75576302:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000140219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEE >ENSMUSP00000117851.1 pep:known chromosome:GRCm38:10:75566298:75579365:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000143792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEEGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARHGFPVGKGLAIALDKK RDVIEKTPALCEVFCRQGKVLQEGETVTMPKLADTLQILAQEGAKAFYNGSLTAQIV >ENSMUSP00000117968.1 pep:known chromosome:GRCm38:10:75568657:75576054:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000125770.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGL >ENSMUSP00000118852.1 pep:known chromosome:GRCm38:10:75568634:75579282:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000145928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEEGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARHGFPVGKGLAIALDKK RDVIEKTPALCEVFCRQGKVLQEGETVTMP >ENSMUSP00000119387.1 pep:known chromosome:GRCm38:10:75568674:75575969:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000151212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG >ENSMUSP00000119844.1 pep:known chromosome:GRCm38:10:75568641:75576302:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000131565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEE >ENSMUSP00000118261.1 pep:known chromosome:GRCm38:10:75568662:75576302:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000128886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEE >ENSMUSP00000115115.1 pep:known chromosome:GRCm38:10:75571526:75578920:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000143226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEEGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARHGFPVGKGLAIALDKK RDVIEKTPAL >ENSMUSP00000122616.1 pep:known chromosome:GRCm38:10:75571531:75579360:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000124259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEEGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARHGFPVGKGLAIALDKK RDVIEKTPALCEVFCRQGKVLQEGETVTMPKLADTLQILAQEGAKAFYNGSLTAQ >ENSMUSP00000121774.1 pep:known chromosome:GRCm38:10:75573886:75579383:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000139459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEEGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARHGFPVGKGLAIALDKK RDVIEKTPALCEVFCRQGKVLQEGETVTMPKLADTLQILAQEGAKAFYNGSLTAQIVKDI QEA >ENSMUSP00000118825.1 pep:known chromosome:GRCm38:10:75576076:75584903:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000129020.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] XTGKVEVINAREVAPRLANTTMFNNSKDSEEGGLSVAVPGEIRGYELAHQRHGRLPWARL FQPSIQLARHGFPVGKGLAIALDKKRDVIEKTPALCEVFCRQGKVLQEGETVTMPKLADT LQILAQEGAKAFYNGSLTAQIVKDIQEAGGIMTVEDLNNYRAELIEHPMSIGLGDATLYV PSAPLSGPVLILILNILKATPEQKALTYHRIVEAFRFAYAKRTMLGDPKFVDVSQVIRNM SSEFYATQLRARITDETTHPAAY >ENSMUSP00000123017.1 pep:known chromosome:GRCm38:10:75581370:75586158:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000155186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] XFRFAYAKRTMLGDPKFVDVSQVIRNMSSEFYATQLRARITDETTHPAAYYEPEFYLQDD GGTAHLSAVSEDGSAVAATSTINLYFGSKVLSRVSGILFNDEMDDFSSPNFINQFRVAPS PANFIKPAFT >ENSMUSP00000115118.1 pep:known chromosome:GRCm38:10:75566293:75578917:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000129232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTSGKPDHVYSRAAVATDAKRCSEIGRDILQEG GSVVDAAIASLLCMGLMNAHSMGIGGGLFFTIYNSTTGKVEVINAREVAPRLANTTMFNN SKDSEEGGLSVAVPGEIRGYELAHQRHGRLPWARLFQPSIQLARHGFPVGKGLAIALDKK RDVIEKTPA >ENSMUSP00000120686.1 pep:known chromosome:GRCm38:10:75564148:75574326:1 gene:ENSMUSG00000006345.10 transcript:ENSMUST00000141062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt1 description:gamma-glutamyltransferase 1 [Source:MGI Symbol;Acc:MGI:95706] MKNRFLVLGLVAVVLVFVIIGLCIWLPYTS >ENSMUSP00000025393.7 pep:known chromosome:GRCm38:18:73639009:73703780:-1 gene:ENSMUSG00000024515.13 transcript:ENSMUST00000025393.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad4 description:SMAD family member 4 [Source:MGI Symbol;Acc:MGI:894293] MDNMSITNTPTSNDACLSIVHSLMCHRQGGESETFAKRAIESLVKKLKEKKDELDSLITA ITTNGAHPSKCVTIQRTLDGRLQVAGRKGFPHVIYARLWRWPDLHKNELKHVKYCQYAFD LKCDSVCVNPYHYERVVSPGIDLSGLTLQSNAPSMLVKDEYVHDFEGQPSLPTEGHSIQT IQHPPSNRASTETYSAPALLAPAESNATSTTNFPNIPVASTSQPASILAGSHSEGLLQIA SGPQPGQQQNGFTAQPATYHHNSTTTWTGSRTAPYTPNLPHHQNGHLQHHPPMPPHPGHY WPVHNELAFQPPISNHPAPEYWCSIAYFEMDVQVGETFKVPSSCPVVTVDGYVDPSGGDR FCLGQLSNVHRTEAIERARLHIGKGVQLECKGEGDVWVRCLSDHAVFVQSYYLDREAGRA PGDAVHKIYPSAYIKVFDLRQCHRQMQQQAATAQAAAAAQAAAVAGNIPGPGSVGGIAPA ISLSAAAGIGVDDLRRLCILRMSFVKGWGPDYPRQSIKETPCWIEIHLHRALQLLDEVLH TMPIADPQPLD >ENSMUSP00000110589.1 pep:known chromosome:GRCm38:18:73640001:73678231:-1 gene:ENSMUSG00000024515.13 transcript:ENSMUST00000114939.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad4 description:SMAD family member 4 [Source:MGI Symbol;Acc:MGI:894293] MDNMSITNTPTSNDACLSIVHSLMCHRQGGESETFAKRAIESLVKKLKEKKDELDSLITA ITTNGAHPSKCVTIQRTLDGRLQVAGRKGFPHVIYARLWRWPDLHKNELKHVKYCQYAFD LKCDSVCVNPYHYERVVSPGIDLSGLTLQSNAPSMLVKDEYVHDFEGQPSLPTEGHSIQT IQHPPSNRASTETYSAPALLAPAESNATSTTNFPNIPVASTSQPASILAGSHSEGLLQIA SGPQPGQQQNGFTAQPATYHHNSTTTWTGSRTAPYTPNLPHHQNGHLQHHPPMPPHPGHY WPVHNELAFQPPISNHPAPEYWCSIAYFEMDVQVGETFKVPSSCPVVTVDGYVDPSGGDR FCLGQLSNVHRTEAIERARLHIGKGVQLECKGEGDVWVRCLSDHAVFVQSYYLDREAGRA PGDAVHKIYPSAYIKVFDLRQCHRQMQQQAATAQAAAAAQAAAVAGNIPGPGSVGGIAPA ISLSAAAGIGVDDLRRLCILRMSFVKGWGPDYPRQSIKETPCWIEIHLHRALQLLDEVLH TMPIADPQPLD >ENSMUSP00000136138.1 pep:known chromosome:GRCm38:X:54531208:54548016:1 gene:ENSMUSG00000096468.7 transcript:ENSMUST00000180150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16405 description:predicted gene 16405 [Source:MGI Symbol;Acc:MGI:3647662] MALKKLWAIPKDGYLLLLDYDDEDDDINFLEEAHSEENVSFSEEWQRFASSVETPIENRN LLSGEQQDGNASKLDLMEEQNPVTHDDENEIPEEIVGDTREMINNKSCEQYKTTFQKFDM DVQNFNEQQEKSVGLMNLETNNSDMLFDVDGELRK >ENSMUSP00000136048.1 pep:known chromosome:GRCm38:X:54532797:54547866:1 gene:ENSMUSG00000096468.7 transcript:ENSMUST00000169247.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16405 description:predicted gene 16405 [Source:MGI Symbol;Acc:MGI:3647662] MALKKLWAIPKDGYLLLLDYDDEDDDINFLEEAHSEENVSFSEEWQRFASSVETPIENRN LLSGEQQDGNASKLDLMEEQNPVTHDDENEIPEEIVGDTREMINNKSCEQYKTTFQKFDM DVQNFNEQQEKSVGLMNLETNNSDMLFDVDGELRK >ENSMUSP00000146843.1 pep:known chromosome:GRCm38:1:181576559:181814741:1 gene:ENSMUSG00000047369.15 transcript:ENSMUST00000208001.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah14 description:dynein, axonemal, heavy chain 14 [Source:MGI Symbol;Acc:MGI:2444525] METFIPIDLTVQKPNVNRKDHKSRRRRSIHKGRQCIHGRSAMAVGKKIPSESSSSEGTED DQDYRPGYLEQKFSPQCVGVSKPFFPDIRNAKVKPEQTAHTTRKRSRIQPYDRAEPEEAD AIKHIFRLREKLGWETPSFLRSLKYHPKTVLPRCSLKITKTGLNMEDVELMPTMDWLYER HYFYLLQKFKIFYNFRLNKAFVTWKLNVKRRKTETSRSYLYNHLFCADELFQTSLLYVRG LCEDALTLKKKNKDNSSTICLIKVDTSRTHVLEKFCEEQLQQATQAVAQLEEIRSKAIVE IKKSALKVAEKKNVKEYFESKPSEINTTHFKLPQYRNLLETNLRFLKLIDYLFQELIRQL MNSALTQLLDLFTGSARMPFSKEKKNESLMRDRKIFSRKESDDDEFVLSSLTAPSFQSSQ PKLDTDVKETFNSHRVAMDLKKTYAPIFEISLCLRLPTMNDSPEDLEGNNQKSENCEESL VCDEEELENRKRTSSEAMLSQYNQPSKFGTLLKRILSDSGLQLESDQERFYNKFFEFPTN LFMEPNRLDFSTQFQNMIATIEKYVTTIIPLHQDPRLSILVDLVSVPDLSNETKTKSVVK YKKQTRWPDFYILFDTDTDYQNKIVTLLTIIGNSVGLVSDYSCRFLKYCYIVEKAKVMTT KLPFMTNLTSIEFKRIIHKFRSYLKQIVTMTIEIRIGIFYVNSLDYQLACLPYVDGIIQM SYNLLQCTIEEKSANLLETLDSLLHQLDTEPVELEEFVEHLNFLDDIFSSLTEIEKDFCT ISELYSIVRHYQINVSEEQIAIYKIIFMKFNHLKTSMKFVAMNRETSLTKFKNGLEAYIV SLRVDVSNLKDKIRTPLLLAASTPIPKAKEIVQSLEAEAESLTLKVKTYSNYQNYYDQAY FRMNAINTNEMSHIVLSEISEIECDLMLRKLLWEAQEEWGKYFWEWRNCTLQSIDIDLVK NNVSKWLHIIIVLEKGLPKNDMVPLLKQSVLDFRQELPIITALGNACLKARHWETLQGIT GRSTSLNKNLSIEKLLALKLFPYERKINEISISATNEAALEKMLFKIIDLWNTTPLHLVP HLTEGRSILIISSTDDLITQLEDSQAILASIKGSSYLRPIKQLVIKWNQNLTLFSQTIDE WVTCQRTWLSLEPIFQSLEIQKQLAAEAKLFSQVLVMWKEIMSRVLNKLNALHITISTGI LGVLKSCNSHLESIKKSLEEYLELKRMSFPRFFFLSNDELLDILANSKNPESVQPHLVKC FENIRRILLWKQDVGPPSVKMLISAEGEGLVMPKKIRVRASVEQWMVNVEKSMFDVLKKF IIQGIEDWKYHTFSDWVMSHPGQVVITVIQIMFYNDCLKGFMSYNPREILEAVQARLLRH LEDIAVLVTLNTSNQRTKTMLAATLIICVHCRDIMSDVLVKKIFSIQDFEWTRHLRYKWN GKQKLCYVLQANTSFVYGYEYLGCAPRLVITPLTERCWLSLSEALYFNLGGCTAGPAGTG KTETVKDLAKSFGKHCVVFNCFEDLDYKIIGKLFFGLVQSGAWCCFDEFNRISIEVLSVI ASQILAIKIAKSSYSVRFVLDGREIRINMSCAVLITINPGTTGRVEIPDNLKYLFRLVSI MVPHYKMITEVILFSYGFKSSRSLSGKIVHLYDLADKQLSKQEHYDFGLRTLKTVLIMTE KKILEHKCGRGEHLSELDESLILIEAIREASLSKLLPEDVLPFEKIIEDVFPRITVSKIN HLTLEKAISTATEQLGFQHWPSQKEKIIQFYQQLQVCVGVILVGPTGGGKTTVRKILEKA LVTLPVTSAMLMKERQSDSKSTGKKGKVDVCVLNPKCITLSELYGQLNINTMEWNDGLLS AAIRNYVHMNTADYSKIDNDFEMSGITDLSNIFQVGFSTIADMDNNIFMGELEKSFKTPE IHDFDWQWIVLDGPIDSLWIENLNTVLDETRTLCLANSERISLTNKIRIVFEADSLFDAT PSVITRCAMVYMDPVDLGWEPYMKSWLLKSSKSISQQGIQCLEMMINRSVTKGMKFLKKH RKFLPYPIQDVTVVITLCRILDAFFEFMHIKQGTPKSHEAMDSESPTETKVKVKFKEETS HHKDEGSWFLERNPEKLPMMIQKIFVFAFTWAFGGILKREDQHEEDILFRKSFEHDSLAE VNYNFDIFVREVFEDDSERDTGISLPGSERSVFGYFVDVQQCEFMPWSDLVPTAHTLIQK ETSLLTDFQGSSENVLRMNEYGECVNYMATRDTICLSFLMSLFLRNSYPVLLTGDCGVGK SVAINKMLEKLEGRGTLNIKYGSILGKVLLHNDIKRSSLRQNINILIGDPTKIIGAGLEQ SAKKEEAKANDSTVINDKGIIVSTINFTSTMTAAKTKELLLRKLVRRTKDILGAPKNNRI VVFIDDLNMPIVDACGTQPPLELIRQLLDMGGVYDTERNMWKSIQDLSLIAAYTSSVSGK EISPRLLKHFSTLVLPHPPQSALCTVFQLLLGLMQADKNIINSKEMAALLLVHEASRVFH DRLTDQSEKSLFYQILSTELQNHLQIYWSHERLMNKPVLFVDFMDINKPHRKRVYQNTDN YNELLSILTKFQQKLSSSSLEMSHSVVFFKEAIDHITRTTRALRQSGSHMLLLGIDGCGK ETYATIACYLAEYKVCKVPISHNYAISEFKEMFKKVFIQTGLEETPTVVMVTNLQEEHVS FLEDLNYIINAGKISNMFENEELDSIVMRVGTFAEQLTCIEDRKYLLSLFQRRVSKNLHI CMISATGPNFRHNCRVYPSMISSCTIDWFQRWPDEALLVVASSYLKEKLKVEDKEDKIRE FAPTCVEIHKSMKDLSAKYFEETGKHYYITPSCYFKFLETFTHSLRIRQEEMQTKRNRFY MGLSKILEATVLVTDMQEELLVIGPQIEQKAKEKEILMEKLRKDSQIVEKVQMLVKQDEE IVAEQVKIVEEYAQKTSNELKNVLPSLDKAIVALNALDKSDISELRVYTRPPYLVLTVMN AVCILLEKKPNWATAKLLLSDTSFLKRLINIDKDSIPDKVFLRLKKILNLPDFNPNKIAM VSVACCSMCQWVIALNNYHEVQKVVRPKQAQVAEAQNVLQIAKQRLLEKQRGLQLIEDHL QFLHTSYRDIVTEKHQLASRKKLATKRLQCASVLLTVLEDEKIRWQETINEIDCKLKGVC GDTFLSSACLAYIGVLTPEFRELVIQKWKDFCTRAEIILSPNFSLIDVMAEKNEIRRWHN QGLPLGQHLAENAILMKRTKQWPLVIDPHKQALHWIRQMEGPRLQEISAQDSNYTKKLVI AMQAGDPVLLQNVPETFPPNLRAMLKKDVCQKRGQYYIKIDDTEIEFNKNFRLYLSTELE NPNFFPSVYNFVTIINFTVTFQGLQDELLSTVVSHEVPHLENQRAQLLESISLDAITLEE LEDKTLTLLQKAEGSVLDDEEIVETLRKCKMTSNEISKRIKETEKAESKIQATRKNYLPI ATRGALLYFVVAGLSHVEKMYQFSLEWLRGVFVSFTVSKTKEEKHDWKTDETSQEKADEV SLSSSEQENLESERIPLAENIKNAIETLTRNVFKVVSSALFNEHKLCFSFALCTTIMRGN SSDTLMADDIGFLPEEEWNIFLYTSILINIKNILSKPRLNSIFEIRRNGHLQWVPDLRWR QCQYISNQMEPFSLLCTSLLSNEQQWNAFKDAMAVYSLMSRPFFSDAPLPQKKPRSAKEA ELLDESDEMLDPVTFPWEKLTQFQRLILIKILRPDRLENSIKKFITEKMGGEYIPDTTVN LKESFSESTAQTPLILTHSYGIDLNNLLLRFAEEFKGTTPKVTMISLGPDQENKAEEIIF KAVRKAHQWVFLQNCHLAESFMPRLTAIIDSFSSPDMVLDPDFRLWLSSKASGFFPITIL QKSVKIAVEPPQGLKSNLLQMFGYGGSGEVTEEMFEKPDCGPWWKKILFSICLFSAVLIE RKAYGTLGWNIPYRFSSSDLEVSLKVLGNVLSGRSEVPWKEVNYLISEVTFGGRVVDEWD MRCLKTLFDKFCNPEMLKDDYSFSSNEMYQPVPSSASLKDCINIIQSFPDDDSPEILGIH PEATHTGSEIKAQKYIENLIYMQPKDAPGYLMIKPMQSNDSVVMEVLSDIMSQLPLTVES EDVSEPGLQSTFSFIVSSPIWERLHENIQGYDPLIHSVLIPFLKKEIERFNKLLSVIHKS LKSLQLAIKGESILTPDLEETYDSFLRARVPMLWQKNAYKSCKPLSIWVSNLIQRVNFFN TWAKVAYTAIHHRYMLFITTWRQLGQKSRHPTDFKTEITDGFPARYWLSAFFSPQAFLTA MLQDYGRAQGISMDTLTFTHKVIYNISVTQEDDISKLLQKRLNIVRRAFQQHQDHSPKGV YIFGLFMEGARWDPEQEVLEDSLPSQLCCEFPDILFLPTKITEPSASQDSDQTASDLQTF ECPVYYTPERSRNMIGLPTTVLTSVHLPTKKPPSHWITMQVALLCEKNE >ENSMUSP00000146735.1 pep:known chromosome:GRCm38:1:181806001:181814741:1 gene:ENSMUSG00000047369.15 transcript:ENSMUST00000195458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah14 description:dynein, axonemal, heavy chain 14 [Source:MGI Symbol;Acc:MGI:2444525] XKSRHPTDFKTEITDGFPARYWLSAFFSPQDY >ENSMUSP00000146744.1 pep:known chromosome:GRCm38:1:181809055:181814719:1 gene:ENSMUSG00000047369.15 transcript:ENSMUST00000192602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah14 description:dynein, axonemal, heavy chain 14 [Source:MGI Symbol;Acc:MGI:2444525] XLQKRLNIVRRAFQQHQDHSPKGVYIFGLFMEGARWDPEQEVLEDSLPSQLCCEFPDILF LPTKITEPSASQDSDQTASDLQTFECPVYYTPERSRNMIGLPTTVLTSVHLPTKKPPSHW ITMQVALLCEKNE >ENSMUSP00000109660.2 pep:known chromosome:GRCm38:X:78240462:78245921:-1 gene:ENSMUSG00000079519.2 transcript:ENSMUST00000114026.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14743 description:predicted gene 14743 [Source:MGI Symbol;Acc:MGI:3705225] MMVKFLLLALVFGLAHVHAHDHPELQGQWKTTAIMADNIDKIETSGPLELFVREITCDEG CQKMKVTFYVKQNGQCSLTTVTGYKQEDGKTFKNQYEGENNYKLLKATSENLVFYDENVD RASRKTKLLYILGKGEALTHEQKERLTELATQKGIPAGNLKELAHEDTCPE >ENSMUSP00000125105.1 pep:known chromosome:GRCm38:1:5588493:5606131:1 gene:ENSMUSG00000025905.13 transcript:ENSMUST00000160777.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprk1 description:opioid receptor, kappa 1 [Source:MGI Symbol;Acc:MGI:97439] MESPIQIFRGDPGPTCSPSACLLPNSSSWFPNWAESDSNGSVGSEDQQLESAHISPAIPV IITAVYSVVFVVGLVGNSLVMFVIIRYTKMKTATNIYIFNLALADALVTTTMPFQSAVYL MNSWPFGDVLCKIVISIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPLKAKIINI CIWLLASSVGISAIVLGGTKVREDVDVIECSLQFPDDEYSWWDLFMKICVFVFAFVIPVL IIIVCYTLMILRLKSVRLLSGSREKDRNLRRITKLVLVVVAVFIICWTPIHIFILVEALG STSHSTAALSSYYFCIALGYTNSSLNPVLYAFLDENFKRCFRDFCFPIKMRMERQSTNRV RNTVQDPASMRDVGGMNKPV >ENSMUSP00000027038.4 pep:known chromosome:GRCm38:1:5588557:5602866:1 gene:ENSMUSG00000025905.13 transcript:ENSMUST00000027038.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprk1 description:opioid receptor, kappa 1 [Source:MGI Symbol;Acc:MGI:97439] MESPIQIFRGDPGPTCSPSACLLPNSSSWFPNWAESDSNGSVGSEDQQLESAHISPAIPV IITAVYSVVFVVGLVGNSLVMFVIIRYTKMKTATNIYIFNLALADALVTTTMPFQSAVYL MNSWPFGDVLCKIVISIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPLKAKIINI CIWLLASSVGISAIVLGGTKVREDVDVIECSLQFPDDEYSWWDLFMKICVFVFAFVIPVL IIIVCYTLMILRLKSVRLLSGSREKDRNLRRITKLVLVVVAVFIICWTPIHIFILVEALG STSHSTAALSSYYFCIALGYTNSSLNPVLYAFLDENFKRCFRDFCFPIKMRMERQSTNRV RNTVQDPASMRDVGGMNKPV >ENSMUSP00000124030.1 pep:known chromosome:GRCm38:1:5588941:5604014:1 gene:ENSMUSG00000025905.13 transcript:ENSMUST00000160339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprk1 description:opioid receptor, kappa 1 [Source:MGI Symbol;Acc:MGI:97439] MESPIQIFRGDPGPTCSPSACLLPNSSSWFPNWAESDSNGSVGSEDQQLESAHISPAIPV IITAVYSVVFVVGLVGNSLVMFVIIRYTKMKTATNIYIFNLALADALVTTTMPFQSAVYL MNSWPFGDVLCKIVISIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPLKAKIINI CIWLLASSVGISAIVLGGTKVREDVDVIECSLQFPDDEYSWWDLFMKICVFVFAFVIPVL IIIVCYTLMILRLKSVRLLSGSREKDRNLRRITKLVLVVVAVFIICWTPIHIFILVEALG STSHSTAALSSYYFCIALGYTNSSLNPVLYAFLDENFKRCFRDFCFPIKMRMERQSTNRV RNTVQDPASMRDVGGMNKPV >ENSMUSP00000098577.3 pep:known chromosome:GRCm38:5:95305957:95343917:1 gene:ENSMUSG00000079424.8 transcript:ENSMUST00000101015.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3259 description:predicted gene 3259 [Source:MGI Symbol;Acc:MGI:3781437] MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRQTNLLKAMVAAWPFPCLHV GSLMKKPNLETLQALLDGIDMRLTREFHPRRAKLQVLDMRNMYHAFWNIRADANDSDYKS KTLDEKQLVKVLPRYARRQRLKVIVNLSISSHFNKSKAYFLNWAKQRIGSLYFCCIKMKI WDPPDQVIRDIFNVFDPEHITELELNTDWTLLQLAHFAPYFGHMKNLQKVFLAPLHKNTS PIINITSATEAKCVHKIISQFSQFNCLQHIFMKHVHFLRDYMNQVLGCLMTPLETLSITQ CLISQRDFDLFSCSQNLFKLKHLEIRGMILYALDLMPLRGLLQKVADTLEILDFQWCRMK DSQINALLPALSQCTQLNQINFYNNDFSMCTLKALFQHTANWSKMNVEQYPAPLQCYNEL GHVSVERFVQLCQELMYTLRAIRQPKSISFATDNCQKCGKPCVYDQGPIFCSCFQ >ENSMUSP00000124254.1 pep:known chromosome:GRCm38:5:95305966:95343860:1 gene:ENSMUSG00000079424.8 transcript:ENSMUST00000160045.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3259 description:predicted gene 3259 [Source:MGI Symbol;Acc:MGI:3781437] MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRQTNLLKAMVAAWPFPCLHV GSLMKKPNLETLQALLDGIDMRLTREFHPRCLMTPLETLSITQCLISQRDFDLFSCSQNL FKLKHLEIRGMILYALDLMPLRGLLQKVADTLEILDFQWCRMKDSQINALLPALSQCTQL NQINFYNNDFSMCTLKALFQHTANWSKMNVEQYPAPLQCYNELGHVSVERFVQLCQELMY TLRAIRQPKSISFATDNCQKCGKPCVYDQGPIFCSCFQ >ENSMUSP00000141099.1 pep:known chromosome:GRCm38:5:95340209:95342587:1 gene:ENSMUSG00000079424.8 transcript:ENSMUST00000185432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3259 description:predicted gene 3259 [Source:MGI Symbol;Acc:MGI:3781437] MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRQTNLLKAMVAAWPFPCLHV GSLMKKPNLETLQALLDGIDMRLTREFHPRRAKLQVLDMRNMYHAFWNIRADANDSDYKS KTLDEKQLVKVLPRYARRQRLKVIVNLSISSHFNKSKAYFLNWAKQRIGSLYFCCIKMKI WDPPDQVIRDIFNVFDPEHITELELNTDWTLLQLAHFAPYFGHMKNLQKVFLAPLHKNTS PIINITSATEAKCVHKIISQFSQFNCLQHIFMKHVHFLRDYMNQVLGCLMTPLETLSITQ CLISQRDFDLFSCSQNLFKLKHLEIRGMILYALDLMPLRGLLQKVADTLEILDFQWCRM >ENSMUSP00000103209.2 pep:known chromosome:GRCm38:11:97685953:97688621:1 gene:ENSMUSG00000078695.8 transcript:ENSMUST00000107583.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cisd3 description:CDGSH iron sulfur domain 3 [Source:MGI Symbol;Acc:MGI:101788] MGFRRLSFPTDFIFLFPNHICLPALSKPYQRREISSWLARWFPKDPAKPVVAQKTPIRLE LVAGKTYRWCVCGRSKNQPFCDGSHFFQRTGLSPLKFKAQETRTVALCTCKATQRPPYCD GTHKSEQVQKAEVGSPL >ENSMUSP00000103210.1 pep:known chromosome:GRCm38:11:97685826:97688621:1 gene:ENSMUSG00000078695.8 transcript:ENSMUST00000107584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cisd3 description:CDGSH iron sulfur domain 3 [Source:MGI Symbol;Acc:MGI:101788] MRGVWPYQRREISSWLARWFPKDPAKPVVAQKTPIRLELVAGKTYRWCVCGRSKNQPFCD GSHFFQRTGLSPLKFKAQETRTVALCTCKATQRPPYCDGTHKSEQVQKAEVGSPL >ENSMUSP00000103211.2 pep:known chromosome:GRCm38:11:97685843:97688621:1 gene:ENSMUSG00000078695.8 transcript:ENSMUST00000107585.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cisd3 description:CDGSH iron sulfur domain 3 [Source:MGI Symbol;Acc:MGI:101788] MRGVWVSVPSLVTPGRSAPPPPAQPYQRREISSWLARWFPKDPAKPVVAQKTPIRLELVA GKTYRWCVCGRSKNQPFCDGSHFFQRTGLSPLKFKAQETRTVALCTCKATQRPPYCDGTH KSEQVQKAEVGSPL >ENSMUSP00000113741.1 pep:known chromosome:GRCm38:4:60418046:60421934:-1 gene:ENSMUSG00000078686.11 transcript:ENSMUST00000122381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup9 description:major urinary protein 9 [Source:MGI Symbol;Acc:MGI:3782918] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000113461.1 pep:known chromosome:GRCm38:4:60418046:60421938:-1 gene:ENSMUSG00000078686.11 transcript:ENSMUST00000118759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup9 description:major urinary protein 9 [Source:MGI Symbol;Acc:MGI:3782918] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNAMDLVPEHV LVLTLQIAASRPENEEWPEPPVLSGDFSPGLHHHPFLSIQHPQYKFCDLHSILSH >ENSMUSP00000103130.2 pep:known chromosome:GRCm38:4:60418977:60421933:-1 gene:ENSMUSG00000078686.11 transcript:ENSMUST00000107506.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup9 description:major urinary protein 9 [Source:MGI Symbol;Acc:MGI:3782918] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000139730.1 pep:known chromosome:GRCm38:4:60418977:60421944:-1 gene:ENSMUSG00000078686.11 transcript:ENSMUST00000132829.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup9 description:major urinary protein 9 [Source:MGI Symbol;Acc:MGI:3782918] MVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLMAHLINEKDGETFQLMGLYGREPDLSS DIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000070322.5 pep:known chromosome:GRCm38:7:4539765:4546567:-1 gene:ENSMUSG00000004961.7 transcript:ENSMUST00000065957.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt5 description:synaptotagmin V [Source:MGI Symbol;Acc:MGI:1926368] MFPEPPTLGSPAPKTPPDSSRIRQGAVPAWVLATIVLGSGLLVFSSCFCLYRKRCRRRMG KKSQAQAQVHLQEVKELGRSYIDKVQPEIEELDRSPSMPGQQVSDKHQLGRLQYSLDYDF QTGQLLVGILQAQGLAALDLGGSSDPYVSVYLLPDKRRRHETKVHRQTLNPHFGETFAFK VPYVELGGRVLVMAVYDFDRFSRNDAIGEVRVPMSSVNLGRPVQAWRELQVAPKEEQEKL GDICFSLRYVPTAGKLTVIVLEAKNLKKMDVGGLSDPYVKVHLLQGGKKVRKKKTTIKKN TLNPYYNEAFSFEVPCDQVQKVQVELTVLDYDKLGKNEAIGRVAVGAAVGGAGLRHWADM LANPRRPIAQWHSLRPPDRARPIPAP >ENSMUSP00000145723.1 pep:known chromosome:GRCm38:7:4545688:4547541:-1 gene:ENSMUSG00000004961.7 transcript:ENSMUST00000206023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt5 description:synaptotagmin V [Source:MGI Symbol;Acc:MGI:1926368] MFPEPPTLGSPAPKTPPDSSRIRQGAVPAWVLATIVLGSGLLVFSSCF >ENSMUSP00000145980.1 pep:known chromosome:GRCm38:7:4546293:4546973:-1 gene:ENSMUSG00000004961.7 transcript:ENSMUST00000206933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt5 description:synaptotagmin V [Source:MGI Symbol;Acc:MGI:1926368] MGGATVDAQITGRSRCATGSRTWVPWTGTARTSFLCVSPSRCLGNRLVAQQPALLSPCLE PGGRSGQQWSAGSHACLRPLPVQALGKSKEGEAPQDPDAMFPEPP >ENSMUSP00000027853.5 pep:known chromosome:GRCm38:1:165461037:165481214:1 gene:ENSMUSG00000026568.6 transcript:ENSMUST00000027853.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpc2 description:mitochondrial pyruvate carrier 2 [Source:MGI Symbol;Acc:MGI:1917706] MAAAGARGLRATYHRLMDKVELLLPKKLRPLYNHPAGPRTVFFWAPIMKWGLVCAGLADM ARPAEKLSTAQSTVLMATGFIWSRYSLVIIPKNWSLFAVNFFVGSAGASQLFRIWRYNQE LKSKGIQ >ENSMUSP00000142329.1 pep:known chromosome:GRCm38:1:165461365:165481108:1 gene:ENSMUSG00000026568.6 transcript:ENSMUST00000193575.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpc2 description:mitochondrial pyruvate carrier 2 [Source:MGI Symbol;Acc:MGI:1917706] MAAAGARGLRATYHRLMDKVELLLPKKLRPLYNHPAGPTVS >ENSMUSP00000067364.4 pep:known chromosome:GRCm38:11:68888553:68895777:1 gene:ENSMUSG00000046490.7 transcript:ENSMUST00000065213.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf222 description:ring finger protein 222 [Source:MGI Symbol;Acc:MGI:2443227] MSEGGSKDSSGSECPVCYEKFRDLDGASRTLSCGHVFCHDCLVKYLLSTRVDGQVQRTIV CPICRYVTFLSKKSSRWPSMLDKSSQTLTVPVGLPSTPSPDRGGHTNPLVISHQVWRQSS SQGSQVPLDLLPSLPRESQIFIISRHGMPLGEQDSVLPRRSLAEISEASPAPSATRSFCC RSRALLLITLIAVVAVVAAILPWVLLVRKQA >ENSMUSP00000111939.2 pep:known chromosome:GRCm38:10:127031004:127041513:-1 gene:ENSMUSG00000080115.3 transcript:ENSMUST00000116231.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl21b description:methyltransferase like 21B [Source:MGI Symbol;Acc:MGI:3645330] MASSRTDPETEPESVFPREIRLFTDSYSESSRFCFCGHELSITQNFGSRLGVAARVWDAA LSLCDYFESQNVDFRGKKVIELGAGTGIVGILAALQGGDVTITDLPVALEQIQDNVHANV PPGGRARVCALSWGIDQHVFPGNYDLVLGADIVYLEPTFPLLLGTLRHLCGPHGTIYLAS KMRAEHGAETFFRRLLPQHFHLELAQRDEDVNVNIYRARHREVAPAGQHPFC >ENSMUSP00000102516.1 pep:known chromosome:GRCm38:11:106008124:106034107:1 gene:ENSMUSG00000001901.15 transcript:ENSMUST00000106903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh6 description:potassium voltage-gated channel, subfamily H (eag-related), member 6 [Source:MGI Symbol;Acc:MGI:2684139] MPVRRGHVAPQNTYLDTIIRKFEGQSRKFLIANAQMENCAIIYCNDGFCELFGYSRVEVM QRPCTCDFLTGPNTPSSAVSRLAQALLGAEECKVDILYYRKDASSFRCLVDVVPVKNEDG AVIMFILNFEDLAQLLAKSSSRSLTQRLLSHSFLGSEGSHSRPSGQGPGPGRGKYGTVSQ IPQFTLSFVEFNLEKHRSGSTTEIEIIAPHKVVERTQNVTEKVTQVLSLGADVLPEYKLQ APRIHRGTILHYSPFKAVWDWLILLLVIYTAVFTPYSAAFLLSDQDDSQRGTCGYTCSPL TVVDLIVDIMFVVDIVINFRTTYVNTNDEVVSHPRRIAVHYFKGWFLIDMVAAIPFDLLI FRTGSDETTTLIGLLKTARLLRLVRVARKLDRYSEYGAAVLFLLMCTFALIAHWLACICS LTSVGFGNVSPNTNSEKVFSICVMLIGSLMYASIFGNVSAIIQRLYSGTARYHTQMLRVK EFIRFHQIPNPLRQRLEEYFQHAWSYTNGIDMNAVLKGFPECLQADICLHLHRALLQHCP AFRGASKGCLRALAVKFKTTHAPPGDTLVHLGDVLSTLYFISRGSIEILRDDVVVAILGK NDIFGEPASLHARPGKSSADVRALTYCDLHKIHRADLLEVLDMYPAFADTFWNKLEVTFN LRDADGGLHSTPRQAPGNQDPQGFFLNDSQSGAAPSLSISDTSGLWPELLQQMPPSPPNP REKLDCWPRELGFKLEQLQAQMNRLESRVSSDLSRILQLLQHPQGHPGYILGAPASSDLA LFPETSVTQSSESMLLVGHLPSAQTLSYGDLDKHIRMPRNFSPRIPHVAAAMDKSLVPSS EQEQPKGLLSPLASPLCPLEVQGLGGPHFSSLPEHLSSVPKQLEFQRHGSDPGFTRS >ENSMUSP00000001965.7 pep:known chromosome:GRCm38:11:106008173:106034549:1 gene:ENSMUSG00000001901.15 transcript:ENSMUST00000001965.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh6 description:potassium voltage-gated channel, subfamily H (eag-related), member 6 [Source:MGI Symbol;Acc:MGI:2684139] MPVRRGHVAPQNTYLDTIIRKFEGQSRKFLIANAQMENCAIIYCNDGFCELFGYSRVEVM QRPCTCDFLTGPNTPSSAVSRLAQALLGAEECKVDILYYRKDASSFRCLVDVVPVKNEDG AVIMFILNFEDLAQLLAKSSSRSLTQRLLSHSFLGSEGSHSRPSGQGPGPGRGKYGTVSQ IPQFTLSFVEFNLEKHRSGSTTEIEIIAPHKVVERTQNVTEKVTQVLSLGADVLPEYKLQ APRIHRGTILHYSPFKAVWDWLILLLVIYTAVFTPYSAAFLLSDQDDSQRGTCGYTCSPL TVVDLIVDIMFVVDIVINFRTTYVNTNDEVVSHPRRIAVHYFKGWFLIDMVAAIPFDLLI FRTGSDETTTLIGLLKTARLLRLVRVARKLDRYSEYGAAVLFLLMCTFALIAHWLACIWY AIGNVERPYLEPKIGWLDSLGAQLGKHYNGSDPASGPSVQDKYVTALYFTFSSLTSVGFG NVSPNTNSEKVFSICVMLIGSLMYASIFGNVSAIIQRLYSGTARYHTQMLRVKEFIRFHQ IPNPLRQRLEEYFQHAWSYTNGIDMNAVLKGFPECLQADICLHLHRALLQHCPAFRGASK GCLRALAVKFKTTHAPPGDTLVHLGDVLSTLYFISRGSIEILRDDVVVAILGKNDIFGEP ASLHARPGKSSADVRALTYCDLHKIHRADLLEVLDMYPAFADTFWNKLEVTFNLRDADGG LHSTPRQAPGNQDPQGFFLNDSQSGAAPSLSISDTSGLWPELLQQMPPSPPNPREKLDCW PRELGFKLEQLQAQMNRLESRVSSDLSRILQLLQHPQGHPGYILGAPASSDLALFPETSV TQSSESMLLVGHLPSAQTLSYGDLDKHIRMPRNFSPRIPHVAAAMDKSLVPSSEQEQPKG LLSPLASPLCPLEVQGLGGPHFSSLPEHLSSVPKQLEFQRHGSDPGFTRS >ENSMUSP00000137675.1 pep:known chromosome:GRCm38:11:106008203:106034064:1 gene:ENSMUSG00000001901.15 transcript:ENSMUST00000145539.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcnh6 description:potassium voltage-gated channel, subfamily H (eag-related), member 6 [Source:MGI Symbol;Acc:MGI:2684139] MPVRRGHVAPQNTYLDTIIRKFEGQSRKFLIANAQMENCAIIYCNDGFCELFGYSRVEVM QRPCTCDFLTGPNTPSSAVSRLAQALLGAEECKVDILYYRKDASSFRCLVDVVPVKNEDG AVIMFILNFEDLAQLLAKSSSRSLTQRLLSHSFLGSEGSHSRPSGQGPGPGRGKYGTVSQ IPQFTLSFVEFNLEKHRSGSTTEIEIIAPHKVVERTQNVTEKVTQVLSLGADVLPEYKLQ APRIHRGTILHYSPFKAVWDWLILLLVIYTAVFTPYSAAFLLSDQDDSQRGTCGYTCSPL TVVDLIVDIMFVVDIVINFRTTYVNTNDEVVSHPRRIAVHYFKGWFLIDMVAAIPFDLLI FRTGSDETTTLIGLLKTARLLRLVRVARKLDRYSEYGAAVLFLLMCTFALIAHWLACIWY AIGNVERPYLEPKIGWLDSLGAQLGKHYNGSDPASGPSVQDKYVTALYFTFSSLTSVGFG NVSPNTNSEKVFSICVMLIGSLMYASIFGNVSAIIQRLYSGTARYHTQMLRVKEFIRFHQ IPNPLRQRLEEYFQHAWSYTNGIDMNAVLKGFPECLQADICLHLHRALLQHCPAFRGASK GCLRALAVKFKTTHAPPGDTLVHLGDVLSTLYFISRGSIEILRDDVVVAILGKNDIFGEP ASLHARPGKSSADVRALTYCDLHKIHRADLLEVLDMYPAFADTFWNKLEVTFNLRDPVTR VTEGTLGTGRNKERDPVPALVPVTCCSCSLQGRWGSPLNTPTGPRQPRPPRLLPQ >ENSMUSP00000068483.5 pep:known chromosome:GRCm38:X:111388192:111463043:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000065976.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MLVLGPGSFFSRAPEAAMLPFAPVEDPWDQEDMEVFGSTSSSEPQVVFTMKNAATVMREH ERKEVNDLKMVDEPMEEGEPVSCRREELVKEIPITQHVKEGYEKADPAQFDLLKVLGQGS FGKVFLVRKKTGPDAGQLYAMKVLRKASLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQ TEGKLYLILDFLRGGDVFTRLSKEVLFTEEDVKFYLAELALALDHLHRLGIVYRDLKPEN ILLDEIGHIKLTDFGLSKESVDQEKKAYSFCGTVEYMAPEVVNRRGHSQSADWWSYGVLM FEMLTGTLPFQGKDRNETMNMILKAKLGMPQFLSAEAQSLLRMLFKRNPANRLGSEGVEE VKRHAFFASIDWNKLYKREVQPPFRPASGKPDDTFCFDPEFTAKTPKDSPGLPASANAHQ LFKGFSFVATSIAEEYKITPVTSSNVLPIVQINGNAAQFSEAYELKEDIGIGSYSVCKRC IHSASNVEFAVKIIDKNKRDPSEEIEILMRYGQHPNIISLKEVFDDGKYVYLVTDLMKGG ELLDRILKKKCFSEQEASNVLYVITKTVECLHSQGVVHRDLKPSNILYMDESAHPDSIKI CDFGFAKQLRGENGLLLTPCYTANFVAPEVLTQQGYDAACDIWSLGVLLYTMLAGYTPFS NGPNDTPEEILLRIGNGRFSLSGGIWDNISRGAKEAVAAPYSVLARNPNRHHPILEPVTA SRLAQRRNMKKRTSTGL >ENSMUSP00000094073.3 pep:known chromosome:GRCm38:X:111388192:111463195:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000096348.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MLVLGPGSFFSRAPEAAMLPFAPVEDPWDQEDMEVFGSTSSSEPQVVFTMKNAATVMREH ERKEVNDLKMVDEPMEEGEPVSCRREELVKEIPITQHVKEGYEKADPAQFDLLKVLGQGS FGKVFLVRKKTGPDAGQLYAMKVLRKASLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQ TEGKLYLILDFLRGGDVFTRLSKEVLFTEEDVKFYLAELALALDHLHRLGIVYRDLKPEN ILLDEIGHIKLTDFGLSKESVDQEKKAYSFCGTVEYMAPEVVNRRGHSQSADWWSYGVLM FEMLTGTLPFQGKDRNETMNMILKAKLGMPQFLSAEAQSLLRMLFKRNPANRLGSEGVEE VKRHAFFASIDWNKLYKREVQPPFRPASGKPDDTFCFDPEFTAKTPKDSPGLPASANAHQ LFKGFSFVATSIAEEYKITPVTSSNVLPIVQINGNAAQFSEAYELKEDIGIGSYSVCKRC IHSASNVEFAVKIIDKNKRDPSEEIEILMRYGQHPNIISLKEVFDDGKYVYLVTDLMKGG ELLDRILKKKCFSEQEASNVLYVITKTVECLHSQGVVHRDLKPSNILYMDESAHPDSIKI CDFGFAKQLRGENGLLLTPCYTANFVAPEVLTQQGYDAACDIWSLGVLLYTMLAGYTPFS NGPNDTPEEILLRIGNGRFSLSGGIWDNISRGAKDLLSHMLHMDPHQRYTAEQVLKHPWI TQREQLPRHQPNSDEPPQEAVAAPYSVLARNPNRHHPILEPVTASRLAQRRNMKKRTSTG L >ENSMUSP00000109055.2 pep:known chromosome:GRCm38:X:111388193:111463195:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000113428.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MLVLGPGSFFSRAPEAAMLPFAPVEDPWDQEDMEVFGSTSSSEPQVVFTMKNAATVMREH ERKEVNDLKMVDEPMEEGEPVSCRREELVKEIPITQHVKEGYEKADPAQFDLLKVLGQGS FGKVFLVRKKTGPDAGQLYAMKVLRKASLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQ TEGKLYLILDFLRGGDVFTRLSKEVLFTEEDVKFYLAELALALDHLHRLGIVYRDLKPEN ILLDEIGHIKLTDFGLSKESVDQEKKAYSFCGTVEYMAPEVVNRRGHSQSADWWSYGVLM FEMLTGTLPFQGKDRNETMNMILKAKLGMPQFLSAEAQSLLRMLFKRNPANRLGSEGVEE VKRHAFFASIDWNKLYKREVQPPFRPASGKPDDTFCFDPEFTAKTPKDSPGLPASANAHQ LFKGFSFVATSIAEEYKITPVTSSNVLPIVQINGNAAQFSEAYELKEDIGIGSYSVCKRC IHSASNVEFAVKIIDKNKRDPSEEIEILMRYGQHPNIISLKEVFDDGKYVYLVTDLMKGG ELLDRILKKKCFSEQEASNVLYVITKTVECLHSQGVVHRDLKPSNILYMDESAHPDSIKI CDFGFAKQLRGENGLLLTPCYTANFVAPEGYDAACDIWSLGVLLYTMLAGYTPFSNGPND TPEEILLRIGNGRFSLSGGIWDNISRGAKDLLSHMLHMDPHQRYTAEQVLKHPWITQREQ LPRHQPNSDEPPQEAVAAPYSVLARNPNRHHPILEPVTASRLAQRRNMKKRTSTGL >ENSMUSP00000121722.2 pep:known chromosome:GRCm38:X:111389780:111463105:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000137712.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MLVLGPGSFFSRAPEAAMLPFAPVEDPWDQEDMEVFGSTSSSEVNDLKMVDEPMEEGEPV SCRREELVKEIPITQHVKEGYEKADPAQFDLLKVLGQGSFGKVFLVRKKTGPDAGQLYAM KVLRKASLKVRDRVRTKMERDILVEVNHPFIVKLHYAFQTEGKLYLILDFLRGGDVFTRL SKEVLFTEEDVKFYLAELALALDHLHRLGIVYRDLKPENILLDEIGHIKLTDFGLSKESV DQEKKAYSFCGTVEYMAPEVVNRRGHSQSADWWSYGVLMFEMLTGTLPFQGKDRNETMNM ILKAKLGMPQFLSAEAQSLLRMLFKRNPANRLGSEGVEEVKRHAFFASIDWNKLYKREVQ PPFRPASGKPDDTFCFDPEFTAKTPKDSPGLPASANAHQLFKGFSFVATSIAEEYKITPV TSSNVLPIVQINGNAAQFSEAYELKEDIGIGSYSVCKRCIHSASNVEFAVKIIDKNKRDP SEEIEILMRYGQHPNIISLKEVFDDGKYVYLVTDLMKGGELLDRILKKKCFSEQEASNVL YVITKTVECLHSQGVVHRDLKPSNILYMDESAHPDSIKICDFGFAKQLRGENGLLLTPCY TANFVAPEVLTQQGYDAACDIWSLGVLLYTMLAGYTPFSNGPNDTPEEILLRIGNGRFSL SGGIWDNISRGAKDLLSHMLHMDPHQRYTAEQVLKHPWITQREQLPRHQPNSDEPPQEAV AAPYSVLARNPNRHHPILEPVTASRLAQRRNMKKRTSTGL >ENSMUSP00000121489.1 pep:known chromosome:GRCm38:X:111434327:111486761:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000138645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] RHTPSGHRSNSNLNLFCCLPFFGCRRRSKSRPQVVFTMKNAATVMREHERKEVNDLKMVD EPMEEGEPVSCRREELVKEIPITQHVKEGYEKADPAQFDLLKVLGQGSFGKVFLVRKKTG PDAGQLYAMKVLRKASLKVRDRVRTKMERDILVEVNHPFIVK >ENSMUSP00000118075.1 pep:known chromosome:GRCm38:X:111434359:111463455:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000123213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MLVLGPGSFFSRAPEAAMLPFAPVEDPWDQEDMEVFGSTSSSEPQVVFTMKNAATVMREH ERKEVNDLKMVDEPMEEGEPVSCRREELVKEIPITQHVKEGYEKADPAQFDLLKVLGQGS FGKVFLVRKKTGPDAGQLYAMKVLRKASLKVRDRVRTKMERDI >ENSMUSP00000117228.1 pep:known chromosome:GRCm38:X:111435265:111531176:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000128819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MLNFRRTRHTPSGHRSNSNLNLFCCLPFFGCRRRSKSRQRAGTPVVPLLRYPRLARSAVT QRETWSFEDDQEPAQQTGCMLVLGPGSFFSRAPEAAMLPFAPVEDPWDQEDMEVFGSTSS SEPQVVFTMKNAATVMREHERKEVNDLKMVDEPMEEGEPVSCRREELVKEIPITQHVKEG YEKADPAQFDLLKVLGQGSFGKV >ENSMUSP00000120004.1 pep:known chromosome:GRCm38:X:111439180:111462639:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000123102.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MKSGKQKHTKVTNSGDFHPRRRRFLATGCVYHEERSNGYAGA >ENSMUSP00000120611.1 pep:known chromosome:GRCm38:X:111439257:111537947:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000132319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MLNFRRTRHTPSGHRSNSNLNLFCCLPFFGCRRRSKSRRAGTPVVPLLRYPRLARSAVTQ RETWSFEDDQEPAQQTGCMLVLGPGSFFSRAPEAAMLPFAPVEDPWDQEDMEVFGSTSSS EPQVVFTMKNAATVMREHERKEVNDLKMVDEPMEEGEPVSCRREEL >ENSMUSP00000115975.1 pep:known chromosome:GRCm38:X:111446238:111537959:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000123951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MLNFRRTRHTPSGHRSNSNLNLFCCLPFFGCRRRSKSRQRAGTPVVPLLRYPRLARSAVT QRETWSFEDDQEPAQQTGCMLVLGPGSFFSRAPEAAMLPFAPVEDPWDQEDMEVFGSTSS SEPQVVFTMKNAATVMREHERKEVNDLKMVDEPMEEGEPVS >ENSMUSP00000121075.1 pep:known chromosome:GRCm38:X:111450331:111536342:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000156639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MLNFRRTRHTPSGHRSNSNLNLFCCLPFFGCRRRSKSRQRAGTPVVPLLRYPRLARSAVT QRETWSFEDDQEPAQQTGCMLVLGPGSFFSRAPEAAMLPFAPVEDPWDQEDMEVFGSTSS SEPQVVFTMK >ENSMUSP00000080694.6 pep:known chromosome:GRCm38:X:111388193:111486782:-1 gene:ENSMUSG00000025665.16 transcript:ENSMUST00000082034.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka6 description:ribosomal protein S6 kinase polypeptide 6 [Source:MGI Symbol;Acc:MGI:1914321] MLNFRRTRHTPSGHRSNSNLNLFCCLPFFGCRRRSKSRQRAGTPVVPLLRYPRLARSAVT QRETWSFEDDQEPAQQTGCMLVLGPGSFFSRAPEAAMLPFAPVEDPWDQEDMEVFGSTSS SEPQVVFTMKNAATVMREHERKEVNDLKMVDEPMEEGEPVSCRREELVKEIPITQHVKEG YEKADPAQFDLLKVLGQGSFGKVFLVRKKTGPDAGQLYAMKVLRKASLKVRDRVRTKMER DILVEVNHPFIVKLHYAFQTEGKLYLILDFLRGGDVFTRLSKEVLFTEEDVKFYLAELAL ALDHLHRLGIVYRDLKPENILLDEIGHIKLTDFGLSKESVDQEKKAYSFCGTVEYMAPEV VNRRGHSQSADWWSYGVLMFEMLTGTLPFQGKDRNETMNMILKAKLGMPQFLSAEAQSLL RMLFKRNPANRLGSEGVEEVKRHAFFASIDWNKLYKREVQPPFRPASGKPDDTFCFDPEF TAKTPKDSPGLPASANAHQLFKGFSFVATSIAEEYKITPVTSSNVLPIVQINGNAAQFSE AYELKEDIGIGSYSVCKRCIHSASNVEFAVKIIDKNKRDPSEEIEILMRYGQHPNIISLK EVFDDGKYVYLVTDLMKGGELLDRILKKKCFSEQEASNVLYVITKTVECLHSQGVVHRDL KPSNILYMDESAHPDSIKICDFGFAKQLRGENGLLLTPCYTANFVAPEVLTQQGYDAACD IWSLGVLLYTMLAGYTPFSNGPNDTPEEILLRIGNGRFSLSGGIWDNISRGAKDLLSHML HMDPHQRYTAEQVLKHPWITQREQLPRHQPNSDEPPQEAVAAPYSVLARNPNRHHPILEP VTASRLAQRRNMKKRTSTGL >ENSMUSP00000108952.2 pep:known chromosome:GRCm38:6:98925338:99266515:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000113326.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ QQQQQQQQQQQQQQQVSGLKSPKRNDKQPALQVPVSVAMMTPQVITPQQMQQILQQQVLS PQQLQVLLQQQQALMLQQQLQEFYKKQQEQLQLQLLQQQHAGKQPKEQQVATQQLAFQQQ LLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLAQGMIPTELQQLWKEVTSAHTAEET TSSNHSSLDLTSTCVSSSAPSKSSLIMNPHASTNGQLSVHTPKRESLSHEEHPHSHPLYG HGVCKWPGCEAVCDDFPAFLKHLNSEHALDDRSTAQCRVQMQVVQQLELQLAKDKERLQA MMTHLHVKSTEPKAAPQPLNLVSSVTLSKSASEASPQSLPHTPTTPTAPLTPVTQGPSVI TTTSMHTVGPIRRRYSDKYNVPISSADIAQNQEFYKNAEVRPPFTYASLIRQAILESPEK QLTLNEIYNWFTRMFAYFRRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEVEFQKR RPQKISGNPSLIKNMQSSHAYCTPLNAALQASMAENSIPLYTTASMGNPTLGSLASAIRE ELNGAMEHTNSNESDSSPGRSPMQAVHPIHVKEEPLDPEEAEGPLSLVTTANHSPDFDHD RDYEDEPVNEDME >ENSMUSP00000135181.1 pep:known chromosome:GRCm38:6:98929189:99520997:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000176565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ ALQVARQLLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQVSGLKSPKRNDKQ PALQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQLQEFYKKQQ EQLQLQLLQQQHAGKQPKEQQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQ PALPLQPLAQGMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLIM NPHASTNGQLSVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCDDFPAFLKHLNSEH ALDDRSTAQCRVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTL SKSASEASPQSLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSDI AQNQEFYKNAEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKNA VRHNLSLHKCFVRVENVKGAVWTVDEVEFQKRRPQKISGNPSLIKNMQSSHAYCTPLNAA LQASMAENSIPLYTTASMGNPTLGSLASAIREELNGAMEHTNSNESDSSPGRSPMQAVHP IHVKEEPLDPEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME >ENSMUSP00000135809.1 pep:known chromosome:GRCm38:6:98929466:99028258:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000177437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQLQEFYKKQQEQLQLQLLQQ QHAGKQPKEQQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLAQ GMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLIMNPHASTNGQL SVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCDDFPAFLKHLNSEHALDDRSTAQC RVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTLSKSASEASPQ SLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSADIAQNQEFYKN AEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKNAVRHNLSLHK CFVRVENVKGAVWTVDEVEFQKRRPQKISGNPSLIKNMQSSHAYCTPLNAALQASMAENS IPLYTTASMGNPTLGSLASAIREELNGAMEHTNSNESDSSPGRSPMQAVHPIHVKEEPLD PEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME >ENSMUSP00000134817.1 pep:known chromosome:GRCm38:6:98930090:99028259:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000177229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQQLQEFYKKQQEQLQLQLLQ QQHAGKQPKEQQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLA QGMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLIMNPHASTNGQ LSVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCDDFPAFLKHLNSEHALDDRSTAQ CRVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTLSKSASEASP QSLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSADIAQNQEFYK NAEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKNAVRHNLSLH KCFVRVENVKGAVWTVDEVEFQKRRPQKISGNPSLIKNMQSSHAYCTPLNAALQASMAEN SIPLYTTASMGNPTLGSLASAIREELNGAMEHTNSNESDSSPGRSPMQAVHPIHVKEEPL DPEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME >ENSMUSP00000073953.5 pep:known chromosome:GRCm38:6:98930090:99163018:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000074346.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ ALQVARQLLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQVSGLKSPKRNDKQ PALQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQLQEFYKKQQ EQLQLQLLQQQHAGKQPKEQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQP ALPLQPLAQGMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLIMN PHASTNGQLSVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCDDFPAFLKHLNSEHA LDDRSTAQCRVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTLS KSASEASPQSLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSADI AQNQEFYKNAEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKNA VRHNLSLHKCFVRVENVKGAVWTVDEVEFQKRRPQKISGNPSLIKNMQSSHAYCTPLNAA LQASMAENSIPLYTTASMGNPTLGSLASAIREELNGAMEHTNSNESDSSPGRSPMQAVHP IHVKEEPLDPEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME >ENSMUSP00000108954.2 pep:known chromosome:GRCm38:6:98930090:99163018:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000113328.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ ALQVARQLLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQVSGLKSPKRNDKQ PALQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQLQEFYKKQQ EQLQLQLLQQQHAGKQPKEQQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQ PALPLQPLAQGMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLIM NPHASTNGQLSVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCDDFPAFLKHLNSEH ALDDRSTAQCRVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTL SKSASEASPQSLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSAD IAQNQEFYKNAEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKN AVRHNLSLHKCFVRVENVKGAVWTVDEVEFQKRRPQKISGNPSLIKNMQSSHAYCTPLNA ALQASMAENSIPLYTTASMGNPTLGSLASAIREELNGAMEHTNSNESDSSPGRSPMQAVH PIHVKEEPLDPEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME >ENSMUSP00000108950.2 pep:known chromosome:GRCm38:6:98930090:99163018:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000113324.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ ALQVARQLLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQVSGLKSPKRNDKQ PALQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQQLQEFYKKQ QEQLQLQLLQQQHAGKQPKEQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQ PALPLQPLAQGMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLIM NPHASTNGQLSVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCDDFPAFLKHLNSEH ALDDRSTAQCRVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTL SKSASEASPQSLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSAD IAQNQEFYKNAEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKN AVRHNLSLHKCFVRVENVKGAVWTVDEVEFQKRRPQKISGNPSLIKNMQSSHAYCTPLNA ALQASMAENSIPLYTTASMGNPTLGSLASAIREELNGAMEHTNSNESDSSPGRSPMQAVH PIHVKEEPLDPEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME >ENSMUSP00000108955.3 pep:known chromosome:GRCm38:6:98930090:99163018:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000113329.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ ALQVARQLLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQVSGLKSPKRNDKQ PALQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQLQEFYKKQQ EQLQLQLLQQQHAGKQPKEQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQP ALPLQPLAQGMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLIMN PHASTNGQLSVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCDDFPAFLKHLNSEHA LDDRSTAQCRVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTLS KSASEASPQSLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSADI AQNQEFYKNAEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKAS MAENSIPLYTTASMGNPTLGSLASAIREELNGAMEHTNSNESDSSPGRSPMQAVHPIHVK EEPLDPEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME >ENSMUSP00000135098.1 pep:known chromosome:GRCm38:6:98930090:99163018:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000177230.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ QQQQQQQQQQQQQQQVSGLKSPKRNDKQPALQVPVSVAMMTPQVITPQQMQQILQQQVLS PQQLQVLLQQQQALMLQQQQLQEFYKKQQEQLQLQLLQQQHAGKQPKEQQQVATQQLAFQ QQLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLAQGMIPTELQQLWKEVTSAHTAE ETTSSNHSSLDLTSTCVSSSAPSKSSLIMNPHASTNGQLSVHTPKRESLSHEEHPHSHPL YGHGVCKWPGCEAVCDDFPAFLKHLNSEHALDDRSTAQCRVQMQVVQQLELQLAKDKERL QAMMTHLHVKSTEPKAAPQPLNLVSSVTLSKSASEASPQSLPHTPTTPTAPLTPVTQGPS VITTTSMHTVGPIRRRYSDKYNVPISSADIAQNQEFYKNAEVRPPFTYASLIRQAILESP EKQLTLNEIYNWFTRMFAYFRRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEVEFQ KRRPQKISGVPFAPTSHCISALSE >ENSMUSP00000135764.1 pep:known chromosome:GRCm38:6:98930090:99163018:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000177307.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ ALQVARQLLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQVSGLKSPKRNDKQ PALQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQQLQEFYKKQ QEQLQLQLLQQQHAGKQPKEQQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPG QPALPLQPLAQGMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLI MNPHASTNGQLSVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCDDFPAFLKHLNSE HALDDRSTAQCRVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVT LSKSASEASPQSLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSD IAQNQEFYKNAEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKN AVRHNLSLHKCFVRVENVKGAVWTVDEVEFQKRRPQKISGNPSLIKNMQSSHAYCTPLNA ALQASMAENSIPLYTTASMGNPTLGSLASAIREELNGAMEHTNSNESDSSPGRSPMQAVH PIHVKEEPLDPEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME >ENSMUSP00000135041.1 pep:known chromosome:GRCm38:6:98930090:99435345:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000176850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ QQQQQQQQQQQQQQQVSGLKSPKRNDKQPALQVPVSVAMMTPQVITPQQMQQILQQQVLS PQQLQVLLQQQQALMLQQQQLQEFYKKQQEQLQLQLLQQQHAGKQPKEQQQVATQQLAFQ QQLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLAQGMIPTELQQLWKEVTSAHTAE ETTSSNHSSLDLTSTCVSSSAPSKSSLIMNPHASTNGQLSVHTPKRESLSHEEHPHSHPL YGHGVCKWPGCEAVCDDFPAFLKHLNSEHALDDRSTAQCRVQMQVVQQLELQLAKDKERL QAMMTHLHVKSTEPKAAPQPLNLVSSVTLSKSASEASPQSLPHTPTTPTAPLTPVTQGPS VITTTSMHTVGPIRRRYSDKYNVPISSADIAQNQEFYKNAEVRPPFTYASLIRQAILESP EKQLTLNEIYNWFTRMFAYFRRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEVEFQ KRRPQKISGNPSLIKNMQSSHAYCTPLNAALQASMAENSIPLYTTASMGNPTLGSLASAI REELNGAMEHTNSNESDSSPGRSPMQAVHPIHVKEEPLDPEEAEGPLSLVTTANHSPDFD HDRDYEDEPVNEDME >ENSMUSP00000135635.1 pep:known chromosome:GRCm38:6:98930090:99435345:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000176632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ QQQQQQQQQQQQQQQVSGLKSPKRNDKQPALQVPVSVAMMTPQVITPQQMQQILQQQVLS PQQLQVLLQQQQALMLQQQLQEFYKKQQEQLQLQLLQQQHAGKQPKEQQQVATQQLAFQQ QLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLAQGMIPTELQQLWKEVTSAHTAEE TTSSNHSSLDLTSTCVSSSAPSKSSLIMNPHASTNGQLSVHTPKRESLSHEEHPHSHPLY GHGVCKWPGCEAVCDDFPAFLKHLNSEHALDDRSTAQCRVQMQVVQQLELQLAKDKERLQ AMMTHLHVKSTEPKAAPQPLNLVSSVTLSKSASEASPQSLPHTPTTPTAPLTPVTQGPSV ITTTSMHTVGPIRRRYSDKYNVPISSADIAQNQEFYKNAEVRPPFTYASLIRQAILESPE KQLTLNEIYNWFTRMFAYFRRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEVEFQK RRPQKISGNPSLIKNMQSSHAYCTPLNAALQASMAENSIPLYTTASMGNPTLGSLASAIR EELNGAMEHTNSNESDSSPGRSPMQAVHPIHVKEEPLDPEEAEGPLSLVTTANHSPDFDH DRDYEDEPVNEDME >ENSMUSP00000120244.1 pep:known chromosome:GRCm38:6:98945534:99028313:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000124058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQQLQEFYKKQQEQLQLQLLQ QQHAGKQPKEQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLAQ GMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLIMNPHASTNGQL SVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCDDFPAFLKHLNSEHALDDRSTAQC RVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTLSKSASEASPQ SLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISS >ENSMUSP00000121404.2 pep:known chromosome:GRCm38:6:99003200:99028942:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000154163.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQQLQEFYKKQQEQLQLQLLQ QQHAGKQPKEQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLAQ GMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLIMNPHASTNGQL SVHTPKRE >ENSMUSP00000117550.1 pep:known chromosome:GRCm38:6:99003393:99044459:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000123992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQQLQEFYKKQQEQLQLQLLQ QQHAGKQPKEQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQPALPLQPLAQ GMIP >ENSMUSP00000135517.1 pep:known chromosome:GRCm38:6:99009933:99096205:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000175886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQQLQEFYKKQQEQLQLQLLQ QQHAGKQPKEQQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQP >ENSMUSP00000134869.1 pep:known chromosome:GRCm38:6:99009944:99044442:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000177507.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQLQEFYKKQQEQLQLQLLQQ QHAGKQPKEQQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQ >ENSMUSP00000135237.1 pep:known chromosome:GRCm38:6:99016550:99027991:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000175670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMTPQVITPQQMQQILQQQVLSPQQLQVLLQQ >ENSMUSP00000135187.1 pep:known chromosome:GRCm38:6:99075962:99248336:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000177208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ ALQVARQLLLQQQQQQQQQQQQQQQQQQ >ENSMUSP00000135229.1 pep:known chromosome:GRCm38:6:99162929:99521162:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000177227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECG >ENSMUSP00000108948.2 pep:known chromosome:GRCm38:6:98925342:99435345:-1 gene:ENSMUSG00000030067.17 transcript:ENSMUST00000113322.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp1 description:forkhead box P1 [Source:MGI Symbol;Acc:MGI:1914004] MMQESGSETKSNGSAIQNGSSGGNHLLECGALRDTRSNGEAPAVDLGAADLAHVQQQQQQ ALQVARQLLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQVSGLKSPKRNDKQ PALQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQVLLQQQQALMLQQQLQEFYKKQQ EQLQLQLLQQQHAGKQPKEQQVATQQLAFQQQLLQMQQLQQQHLLSLQRQGLLTIQPGQP ALPLQPLAQGMIPTELQQLWKEVTSAHTAEETTSSNHSSLDLTSTCVSSSAPSKSSLIMN PHASTNGQLSVHTPKRESLSHEEHPHSHPLYGHGVCKWPGCEAVCDDFPAFLKHLNSEHA LDDRSTAQCRVQMQVVQQLELQLAKDKERLQAMMTHLHVKSTEPKAAPQPLNLVSSVTLS KSASEASPQSLPHTPTTPTAPLTPVTQGPSVITTTSMHTVGPIRRRYSDKYNVPISSADI AQNQEFYKNAEVRPPFTYASLIRQAILESPEKQLTLNEIYNWFTRMFAYFRRNAATWKNA VRHNLSLHKCFVRVENVKGAVWTVDEVEFQKRRPQKISGNPSLIKNMQSSHAYCTPLNAA LQASMAENSIPLYTTASMGNPTLGSLASAIREELNGAMEHTNSNESDSSPGRSPMQAVHP IHVKEEPLDPEEAEGPLSLVTTANHSPDFDHDRDYEDEPVNEDME >ENSMUSP00000127888.1 pep:known chromosome:GRCm38:15:74834125:74841643:-1 gene:ENSMUSG00000075604.5 transcript:ENSMUST00000170259.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp11b1 description:cytochrome P450, family 11, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88583] MTMALRVTTDVWLARPWQCLHRTRALGTTATLAPKTLQPFEAIPQYSRNKWLKMIQILRE QGQENLHLEMHQVFRELGPIFRHSVGKTQIVFVTLPEDVEKLYQVESTHPCRMPLESWIV HRELRGLGRGVFLLNGPEWYFNRLQLNPNVLSPKAVQKFVPLVDGIARDFVDNLKKKMLE SVHGSFSMDFQSSVFNYTIEASHFVLFGERLGLIGRDLSPDSLKFLHTLHSMFKTTTQLL YLPRSLTRWTSTRVWKENLESWDFISEYVTKCIKNVYRELAEGRPQSWSVTAELVAERTL SMDAIQANSMELIAGSTDTTSTPLVMTFFELARNPDVQQALRQESLAAEASIAANPQKAM SDLPLLRAALKETLRLYPVGTFLERILSSDLVLQNYHVPAGTVLNVNLYSMGRNPAVFPR PERYMPQRWLERKRSFKHLAFGFGVRQCLGRRLAEAEMMLLLHHVLKSFHVETQEKEDVR MAYRFVLMPSSSPLLTFRPVN >ENSMUSP00000069422.5 pep:known chromosome:GRCm38:4:123201504:123216275:1 gene:ENSMUSG00000054958.6 transcript:ENSMUST00000068262.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c1a description:5'-nucleotidase, cytosolic IA [Source:MGI Symbol;Acc:MGI:2155700] MEPGQPREAREPGPGAETAAVPRWEEAKTFYDNLSSKKKPKSPKPQNAVTIAVSSRALFR MDEEQRIYTEQGVEEYVRYQLEHENEPFSPGPAFPFVKALEAVNKRLRELYPDSEDIFDI VLMTNNHAQVGVRLINSINHYDLFIERFCMTGGNSPICYLKAYHTNLYLSADADKVREAI DEGIAAATIFSPSRDVVVSQSQLRVAFDGDAVLFSDESERIVKAHGLDRFFEHEKAHENK PLAQGPLKGFLEALGRLQKKFYSKGLRLECPIRTYLVTARSAASSGARALKTLRSWGLET DEALFLAGAPKGPLLEKIRPHIFFDDQMFHVAGAQEMGTVAAHVPYGVAQNPRRAAAAKQ SLGAQ >ENSMUSP00000118282.1 pep:known chromosome:GRCm38:10:127041414:127043182:1 gene:ENSMUSG00000006732.14 transcript:ENSMUST00000152960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl1 description:methyltransferase like 1 [Source:MGI Symbol;Acc:MGI:1339986] MDWSELYPEFFAPLIQNKSHDDPKDEKEKHSGAQV >ENSMUSP00000006915.7 pep:known chromosome:GRCm38:10:127041932:127046365:1 gene:ENSMUSG00000006732.14 transcript:ENSMUST00000006915.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl1 description:methyltransferase like 1 [Source:MGI Symbol;Acc:MGI:1339986] MMAGAEAPQPQKRYYRQRAHSNPMADHTLRYPVKPEEMDWSELYPEFFAPLIQNKSHDDP KDEKEKHSGAQVEFADIGCGYGGLLVALSPLFPDTLILGLEIRVKVSDYVQDRIRALRAA PGGGFQNIACLRSNAMKHLPNFFRKGQLAKMFFLFPDPHFKRTKHKWRIISPTLLAEYAY VLRVGGLVYTVTDVPELHEWMCTHFEEHPLFERVPLEELSEDPIVEHLGSSTEEGKKVLR NGGKNFPAVFRRIQDPLLQAVTPNPTLP >ENSMUSP00000113030.1 pep:known chromosome:GRCm38:10:127041958:127045615:1 gene:ENSMUSG00000006732.14 transcript:ENSMUST00000120542.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl1 description:methyltransferase like 1 [Source:MGI Symbol;Acc:MGI:1339986] MMAGAEAPQPQKRYYRQRAHSNPMADHTLRYPVKPEEMDWSELYPEFFAPLIQNKSHDDP KDEKEKHSGAQVEFADIGCGYGGLLVALSPLFPDTLILGLEIRVKVSDYVQDRIRALRAA PGGGFQNIACLRSNAMKHLPNFFRKGQLAKMFFLFPDPHFKRTKHKWRIISPTLLAEYAY VLRVGPCLQHCRSSRAWCTPSPTCRSCMSGCAPTLKNTHCLSVCLLKS >ENSMUSP00000118885.1 pep:known chromosome:GRCm38:10:127041983:127045899:1 gene:ENSMUSG00000006732.14 transcript:ENSMUST00000139486.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl1 description:methyltransferase like 1 [Source:MGI Symbol;Acc:MGI:1339986] XAGAEAPQPQKRYYRQRAHSNPMADHTLRYPVKPEEMDWSELYPEFFAPLIQNKSHDDPK DEKEKHSGAQVEFADIGCGYGGLLAGKDVLPLPGPTL >ENSMUSP00000042642.7 pep:known chromosome:GRCm38:10:19621998:19634681:1 gene:ENSMUSG00000039760.8 transcript:ENSMUST00000036564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il22ra2 description:interleukin 22 receptor, alpha 2 [Source:MGI Symbol;Acc:MGI:2665114] MMPKHCLLGLLIILLSSATEIQPARVSLTPQKVRFQSRNFHNILHWQAGSSLPSNNSIYF VQYKMYGQSQWEDKVDCWGTTALFCDLTNETLDPYELYYGRVMTACAGRHSAWTRTPRFT PWWETKLDPPVVTITRVNASLRVLLRPPELPNRNQSGKNASMETYYGLVYRVFTINNSLE KEQKAYEGTQRAVEIEGLIPHSSYCVVAEMYQPMFDRRSPRSKERCVHIP >ENSMUSP00000127131.1 pep:known chromosome:GRCm38:18:34220924:34313506:1 gene:ENSMUSG00000005871.14 transcript:ENSMUST00000171187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apc description:adenomatosis polyposis coli [Source:MGI Symbol;Acc:MGI:88039] MYAFLRSGPVAALPASVPPVTLGARSSGGGRGRVPGEGRRPGGARACGRGASVWQEVLKQ LQGSIEDETMTSGQIDLLERLKEFNLDSNFPGVKLRSKMSLRSYGSREGSVSSRSGECSP VPMGSFPRRTFVNGSRESTGYLEELEKERSLLLADLDKEEKEKDWYYAQLQNLTKRIDSL PLTENFSLQTDMTRRQLEYEARQIRAAMEEQLGTCQDMEKRAQRSSQSRHDAASHEAGRQ HEGHGVAESNTAASSSGQSPATRVDHETASVLSSSGTHSAPRRLTSHLGTKVEMVYSLLS MLGTHDKDDMSRTLLAMSSSQDSCISMRQSGCLPLLIQLLHGNDKDSVLLGNSRGSKEAR ARASAALHNIIHSQPDDKRGRREIRVLHLLEQIRAYCETCWEWQEAHEQGMDQDKNPMPA PVEHQICPAVCVLMKLSFDEEHRHAMNELGGLQAIAELLQVDCEMYGLTNDHYSVTLRRY AGMALTNLTFGDVANKATLCSMKGCMRALVAQLKSESEDLQQVIASVLRNLSWRADVNSK KTLREVGSVKALMECALEVKKESTLKSVLSALWNLSAHCTENKADICAVDGALAFLVGTL TYRSQTNTLAIIESGGGILRNVSSLIATNEDHRQILRENNCLQTLLQHLKSHSLTIVSNA CGTLWNLSARNPKDQEALWDMGAVSMLKNLIHSKHKMIAMGSAAALRNLMANRPAKYKDA NIMSPGSSLPSLHVRKQKALEAELDAQHLSETFDNIDNLSPKASHRSKQRHKQNLYGDYA FDANRHDDSRSDNFNTGNMTVLSPYLNTTVLPSSSSSRGSLDSSRSEKDRSLERERGIGL SAYHPTTENAGTSSKRGLQITTTAAQIAKVMEEVSAIHTSQDDRSSASTTEFHCVADDRS AARRSSASHTHSNTYNFTKSENSNRTCSMPYAKVEYKRSSNDSLNSVTSSDGYGKRGQMK PSVESYSEDDESKFCSYGQYPADLAHKIHSANHMDDNDGELDTPINYSLKYSDEQLNSGR QSPSQNERWARPKHVIEDEIKQNEQRQARSQNTSYPVYSENTDDKHLKFQPHFGQQECVS PYRSRGTSGSETNRMGSSHAINQNVNQSLCQEDDYEDDKPTNYSERYSEEEQH >ENSMUSP00000078337.5 pep:known chromosome:GRCm38:18:34220984:34318608:1 gene:ENSMUSG00000005871.14 transcript:ENSMUST00000079362.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apc description:adenomatosis polyposis coli [Source:MGI Symbol;Acc:MGI:88039] MAAASYDQLLKQVEALKMENSNLRQELEDNSNHLTKLETEASNMKEVLKQLQGSIEDETM TSGQIDLLERLKEFNLDSNFPGVKLRSKMSLRSYGSREGSVSSRSGECSPVPMGSFPRRT FVNGSRESTGYLEELEKERSLLLADLDKEEKEKDWYYAQLQNLTKRIDSLPLTENFSLQT DMTRRQLEYEARQIRAAMEEQLGTCQDMEKRAQRRIARIQQIEKDILRVRQLLQSQAAEA ERSSQSRHDAASHEAGRQHEGHGVAESNTAASSSGQSPATRVDHETASVLSSSGTHSAPR RLTSHLGTKVEMVYSLLSMLGTHDKDDMSRTLLAMSSSQDSCISMRQSGCLPLLIQLLHG NDKDSVLLGNSRGSKEARARASAALHNIIHSQPDDKRGRREIRVLHLLEQIRAYCETCWE WQEAHEQGMDQDKNPMPAPVEHQICPAVCVLMKLSFDEEHRHAMNELGGLQAIAELLQVD CEMYGLTNDHYSVTLRRYAGMALTNLTFGDVANKATLCSMKGCMRALVAQLKSESEDLQQ VIASVLRNLSWRADVNSKKTLREVGSVKALMECALEVKKESTLKSVLSALWNLSAHCTEN KADICAVDGALAFLVGTLTYRSQTNTLAIIESGGGILRNVSSLIATNEDHRQILRENNCL QTLLQHLKSHSLTIVSNACGTLWNLSARNPKDQEALWDMGAVSMLKNLIHSKHKMIAMGS AAALRNLMANRPAKYKDANIMSPGSSLPSLHVRKQKALEAELDAQHLSETFDNIDNLSPK ASHRSKQRHKQNLYGDYAFDANRHDDSRSDNFNTGNMTVLSPYLNTTVLPSSSSSRGSLD SSRSEKDRSLERERGIGLSAYHPTTENAGTSSKRGLQITTTAAQIAKVMEEVSAIHTSQD DRSSASTTEFHCVADDRSAARRSSASHTHSNTYNFTKSENSNRTCSMPYAKVEYKRSSND SLNSVTSSDGYGKRGQMKPSVESYSEDDESKFCSYGQYPADLAHKIHSANHMDDNDGELD TPINYSLKYSDEQLNSGRQSPSQNERWARPKHVIEDEIKQNEQRQARSQNTSYPVYSENT DDKHLKFQPHFGQQECVSPYRSRGTSGSETNRMGSSHAINQNVNQSLCQEDDYEDDKPTN YSERYSEEEQHEEEEERPTNYSIKYNEEKHHVDQPIDYSLKYATDISSSQKPSFSFSKNS SAQSTKPEHLSPSSENTAVPPSNAKRQNQLRPSSAQRNGQTQKGTTCKVPSINQETIQTY CVEDTPICFSRCSSLSSLSSADDEIGCDQTTQEADSANTLQTAEVKENDVTRSAEDPATE VPAVSQNARAKPSRLQASGLSSESTRHNKAVEFSSGAKSPSKSGAQTPKSPPEHYVQETP LVFSRCTSVSSLDSFESRSIASSVQSEPCSGMVSGIISPSDLPDSPGQTMPPSRSKTPPP PPQTVQAKREVPKSKVPAAEKRESGPKQTAVNAAVQRVQVLPDVDTLLHFATESTPDGFS CSSSLSALSLDEPFIQKDVELRIMPPVQENDNGNETESEQPEESNENQDKEVEKPDSEKD LLDDSDDDDIEILEECIISAMPTKSSRKAKKLAQTASKLPPPVARKPSQLPVYKLLPAQN RLQAQKHVSFTPGDDVPRVYCVEGTPINFSTATSLSDLTIESPPNELATGDGVRAGIQSG EFEKRDTIPTEGRSTDDAQRGKISSIVTPDLDDNKAEEGDILAECINSAMPKGKSHKPFR VKKIMDQVQQASSTSSGANKNQVDTKKKKPTSPVKPMPQNTEYRTRVRKNTDSKVNVNTE ETFSDNKDSKKPSLQTNAKAFNEKLPNNEDRVRGSFALDSPHHYTPIEGTPYCFSRNDSL SSLDFDDDDVDLSREKAELRKGKESKDSEAKVTCRPEPNSSQQAASKSQASIKHPANRAQ SKPVLQKQPTFPQSSKDGPDRGAATDEKLQNFAIENTPVCFSRNSSLSSLSDIDQENNNN KESEPIKEAEPANSQGEPSKPQASGYAPKSFHVEDTPVCFSRNSSLSSLSIDSEDDLLQE CISSAMPKKKRPSRLKSESEKQSPRKVGGILAEDLTLDLKDLQRPDSEHAFSPDSENFDW KAIQEGANSIVSSLHQAAAAAACLSRQASSDSDSILSLKSGISLGSPFHLTPDQEEKPFT SNKGPRILKPGEKSTLEAKKIESENKGIKGGKKVYKSLITGKIRSNSEISSQMKQPLPTN MPSISRGRTMIHIPGLRNSSSSTSPVSKKGPPLKTPASKSPSEGPGATTSPRGTKPAGKS ELSPITRQTSQISGSNKGSSRSGSRDSTPSRPTQQPLSRPMQSPGRNSISPGRNGISPPN KLSQLPRTSSPSTASTKSSGSGKMSYTSPGRQLSQQNLTKQASLSKNASSIPRSESASKG LNQMSNGNGSNKKVELSRMSSTKSSGSESDRSERPALVRQSTFIKEAPSPTLRRKLEESA SFESLSPSSRPDSPTRSQAQTPVLSPSLPDMSLSTHPSVQAGGWRKLPPNLSPTIEYNDG RPTKRHDIARSHSESPSRLPINRAGTWKREHSKHSSSLPRVSTWRRTGSSSSILSASSES SEKAKSEDERHVSSMPAPRQMKENQVPTKGTWRKIKESDISPTGMASQSASSGAASGAES KPLIYQMAPPVSKTEDVWVRIEDCPINNPRSGRSPTGNTPPVIDSVSEKGSSSIKDSKDT HGKQSVGSGSPVQTVGLETRLNSFVQVEAPEQKGTEAKPGQSNPVSIAETAETCIAERTP FSSSSSSKHSSPSGTVAARVTPFNYNPSPRKSSADSTSARPSQIPTPVSTNTKKRDSKTD STESSGAQSPKRHSGSYLVTSV >ENSMUSP00000129095.1 pep:known chromosome:GRCm38:18:34221252:34276591:1 gene:ENSMUSG00000005871.14 transcript:ENSMUST00000163295.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Apc description:adenomatosis polyposis coli [Source:MGI Symbol;Acc:MGI:88039] XGRGASVWQFFLGTEDALTRT >ENSMUSP00000064214.6 pep:known chromosome:GRCm38:18:34247698:34290345:1 gene:ENSMUSG00000005871.14 transcript:ENSMUST00000066133.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apc description:adenomatosis polyposis coli [Source:MGI Symbol;Acc:MGI:88039] MAAASYDQLLKQVEALKMENSNLRQELEDNSNHLTKLETEASNMKEVLKQLQGSIEDETM TSGQIDLLERLKEFNLDSNFPGVKLRSKMSLRSYGSREGSVSSRSGECSPVPMGSFPRRT FVNGSRESTGYLEELEKERSLLLADLDKEEKEKDWYYAQLQNLTKRIDSLPLTENFSLQT DMTRRQLEYEARQIRAAMEEQLGTCQDMEKRAQRRIARIQQIEKDILRVRQLLQSQAAEA ERSSQSRHDAASHEAGRQHEGHGVAESNTAASSSGQRHFLNVLEHFLLGSHVRGLQLLPC AFWREFTSEGLTLVLHVSTQFCSP >ENSMUSP00000128327.1 pep:known chromosome:GRCm38:18:34299966:34314326:1 gene:ENSMUSG00000005871.14 transcript:ENSMUST00000165590.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Apc description:adenomatosis polyposis coli [Source:MGI Symbol;Acc:MGI:88039] XPAPVEHQICPAVCVLMKLSFDEEHRHAMNELGINPQKRFECLMEPVCTLH >ENSMUSP00000111447.3 pep:known chromosome:GRCm38:18:34220984:34322189:1 gene:ENSMUSG00000005871.14 transcript:ENSMUST00000115781.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apc description:adenomatosis polyposis coli [Source:MGI Symbol;Acc:MGI:88039] MAAASYDQLLKQVEALKMENSNLRQELEDNSNHLTKLETEASNMKEVLKQLQGSIEDETM TSGQIDLLERLKEFNLDSNFPGVKLRSKMSLRSYGSREGSVSSRSGECSPVPMGSFPRRT FVNGSRESTGYLEELEKERSLLLADLDKEEKEKDWYYAQLQNLTKRIDSLPLTENFSLQT DMTRRQLEYEARQIRAAMEEQLGTCQDMEKRAQRRIARIQQIEKDILRVRQLLQSQAAEA ERSPATRVDHETASVLSSSGTHSAPRRLTSHLGTKVEMVYSLLSMLGTHDKDDMSRTLLA MSSSQDSCISMRQSGCLPLLIQLLHGNDKDSVLLGNSRGSKEARARASAALHNIIHSQPD DKRGRREIRVLHLLEQIRAYCETCWEWQEAHEQGMDQDKNPMPAPVEHQICPAVCVLMKL SFDEEHRHAMNELGGLQAIAELLQVDCEMYGLTNDHYSVTLRRYAGMALTNLTFGDVANK ATLCSMKGCMRALVAQLKSESEDLQQVIASVLRNLSWRADVNSKKTLREVGSVKALMECA LEVKKESTLKSVLSALWNLSAHCTENKADICAVDGALAFLVGTLTYRSQTNTLAIIESGG GILRNVSSLIATNEDHRQILRENNCLQTLLQHLKSHSLTIVSNACGTLWNLSARNPKDQE ALWDMGAVSMLKNLIHSKHKMIAMGSAAALRNLMANRPAKYKDANIMSPGSSLPSLHVRK QKALEAELDAQHLSETFDNIDNLSPKASHRSKQRHKQNLYGDYAFDANRHDDSRSDNFNT GNMTVLSPYLNTTVLPSSSSSRGSLDSSRSEKDRSLERERGIGLSAYHPTTENAGTSSKR GLQITTTAAQIAKVMEEVSAIHTSQDDRSSASTTEFHCVADDRSAARRSSASHTHSNTYN FTKSENSNRTCSMPYAKVEYKRSSNDSLNSVTSSDGYGKRGQMKPSVESYSEDDESKFCS YGQYPADLAHKIHSANHMDDNDGELDTPINYSLKYSDEQLNSGRQSPSQNERWARPKHVI EDEIKQNEQRQARSQNTSYPVYSENTDDKHLKFQPHFGQQECVSPYRSRGTSGSETNRMG SSHAINQNVNQSLCQEDDYEDDKPTNYSERYSEEEQHEEEEERPTNYSIKYNEEKHHVDQ PIDYSLKYATDISSSQKPSFSFSKNSSAQSTKPEHLSPSSENTAVPPSNAKRQNQLRPSS AQRNGQTQKGTTCKVPSINQETIQTYCVEDTPICFSRCSSLSSLSSADDEIGCDQTTQEA DSANTLQTAEVKENDVTRSAEDPATEVPAVSQNARAKPSRLQASGLSSESTRHNKAVEFS SGAKSPSKSGAQTPKSPPEHYVQETPLVFSRCTSVSSLDSFESRSIASSVQSEPCSGMVS GIISPSDLPDSPGQTMPPSRSKTPPPPPQTVQAKREVPKSKVPAAEKRESGPKQTAVNAA VQRVQVLPDVDTLLHFATESTPDGFSCSSSLSALSLDEPFIQKDVELRIMPPVQENDNGN ETESEQPEESNENQDKEVEKPDSEKDLLDDSDDDDIEILEECIISAMPTKSSRKAKKLAQ TASKLPPPVARKPSQLPVYKLLPAQNRLQAQKHVSFTPGDDVPRVYCVEGTPINFSTATS LSDLTIESPPNELATGDGVRAGIQSGEFEKRDTIPTEGRSTDDAQRGKISSIVTPDLDDN KAEEGDILAECINSAMPKGKSHKPFRVKKIMDQVQQASSTSSGANKNQVDTKKKKPTSPV KPMPQNTEYRTRVRKNTDSKVNVNTEETFSDNKDSKKPSLQTNAKAFNEKLPNNEDRVRG SFALDSPHHYTPIEGTPYCFSRNDSLSSLDFDDDDVDLSREKAELRKGKESKDSEAKVTC RPEPNSSQQAASKSQASIKHPANRAQSKPVLQKQPTFPQSSKDGPDRGAATDEKLQNFAI ENTPVCFSRNSSLSSLSDIDQENNNNKESEPIKEAEPANSQGEPSKPQASGYAPKSFHVE DTPVCFSRNSSLSSLSIDSEDDLLQECISSAMPKKKRPSRLKSESEKQSPRKVGGILAED LTLDLKDLQRPDSEHAFSPDSENFDWKAIQEGANSIVSSLHQAAAAAACLSRQASSDSDS ILSLKSGISLGSPFHLTPDQEEKPFTSNKGPRILKPGEKSTLEAKKIESENKGIKGGKKV YKSLITGKIRSNSEISSQMKQPLPTNMPSISRGRTMIHIPGLRNSSSSTSPVSKKGPPLK TPASKSPSEGPGATTSPRGTKPAGKSELSPITRQTSQISGSNKGSSRSGSRDSTPSRPTQ QPLSRPMQSPGRNSISPGRNGISPPNKLSQLPRTSSPSTASTKSSGSGKMSYTSPGRQLS QQNLTKQASLSKNASSIPRSESASKGLNQMSNGNGSNKKVELSRMSSTKSSGSESDRSER PALVRQSTFIKEAPSPTLRRKLEESASFESLSPSSRPDSPTRSQAQTPVLSPSLPDMSLS THPSVQAGGWRKLPPNLSPTIEYNDGRPTKRHDIARSHSESPSRLPINRAGTWKREHSKH SSSLPRVSTWRRTGSSSSILSASSESSEKAKSEDERHVSSMPAPRQMKENQVPTKGTWRK IKESDISPTGMASQSASSGAASGAESKPLIYQMAPPVSKTEDVWVRIEDCPINNPRSGRS PTGNTPPVIDSVSEKGSSSIKDSKDTHGKQSVGSGSPVQTVGLETRLNSFVQVEAPEQKG TEAKPGQSNPVSIAETAETCIAERTPFSSSSSSKHSSPSGTVAARVTPFNYNPSPRKSSA DSTSARPSQIPTPVSTNTKKRDSKTDSTESSGAQSPKRHSGSYLVTSV >ENSMUSP00000103017.1 pep:known chromosome:GRCm38:7:79810727:79813439:1 gene:ENSMUSG00000030543.10 transcript:ENSMUST00000107394.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mesp2 description:mesoderm posterior 2 [Source:MGI Symbol;Acc:MGI:1096325] MAQSPPPQSLQGLDHWVFSQGWGWAQQSDSTSPASSSDSSGSCPCYATRRPSQPAGPARS TRTTQATAPRRTRPAPAGGQRQSASEREKLRMRTLARALQELRRFLPPSVAPAGQSLTKI ETLRLAIRYIGHLSALLGLSEDSLRRRRRRSADAAFSHRCPQCPDGGSPSQAQMLGPSLG SAMSSGVSWGCPPACPGPLISPENLGNRISNVDPWVTPPYCPQIQSPLHQSLERAADSSP WAPPQACPGMQMSPEPRNKTGHWTQSTEPAELTKVYQSLSVSPEPCLSLGSPLLLPRPSC QRLQPQPQPQPQWGCWGHDAEVLSTSEDQGSSPALQLPVASPTPSSGLQLSGCPELWQED LEGPPLNIFY >ENSMUSP00000099662.1 pep:known chromosome:GRCm38:11:69323980:69325793:1 gene:ENSMUSG00000049299.14 transcript:ENSMUST00000102602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc1 description:trafficking protein particle complex 1 [Source:MGI Symbol;Acc:MGI:1098727] MTVHNLYLFDRNGVCLHYSEWHRKKQAGIPKEEEYKLMYGMLFSIRSFVSKMSPLDMKDG FLSFQTSRYKLHYYETPTGIKVVMNTDLGVGPIRDVLHHIYSALYVEFVVKNPLCPLGQT VQSELFRSRLDSYVRSLPFFSARAG >ENSMUSP00000099661.3 pep:known chromosome:GRCm38:11:69324063:69325793:1 gene:ENSMUSG00000049299.14 transcript:ENSMUST00000102601.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc1 description:trafficking protein particle complex 1 [Source:MGI Symbol;Acc:MGI:1098727] MTVHNLYLFDRNGVCLHYSEWHRKKQAGIPKEEEYKLMYGMLFSIRSFVSKMSPLDMKDG FLSFQTSRYKLHYYETPTGIKVVMNTDLGVGPIRDVLHHIYSALYVEFVVKNPLCPLGQT VQSELFRSRLDSYVRSLPFFSARAG >ENSMUSP00000050153.6 pep:known chromosome:GRCm38:11:69324075:69325793:1 gene:ENSMUSG00000049299.14 transcript:ENSMUST00000060956.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc1 description:trafficking protein particle complex 1 [Source:MGI Symbol;Acc:MGI:1098727] MTVHNLYLFDRNGVCLHYSEWHRKKQAGIPKEEEYKLMYGMLFSIRSFVSKMSPLDMKDG FLSFQTSRYKLHYYETPTGIKVVMNTDLGVGPIRDVLHHIYSASWLKHLPHPSEEPVTAW AHLTCYIESPSIYLSPRAFPRRPTTHCLQVIRMKLTGVYASFIPA >ENSMUSP00000104302.1 pep:known chromosome:GRCm38:11:69324157:69325793:1 gene:ENSMUSG00000049299.14 transcript:ENSMUST00000108662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc1 description:trafficking protein particle complex 1 [Source:MGI Symbol;Acc:MGI:1098727] MTVHNLYLFDRNGVCLHYSEWHRKKQAGIPKEEEYKLMYGMLFSIRSFVSKMSPLDIRYK LHYYETPTGIKVVMNTDLGVGPIRDVLHHIYSALYVEFVVKNPLCPLGQTVQSELFRSRL DSYVRSLPFFSARAG >ENSMUSP00000061474.3 pep:known chromosome:GRCm38:4:131873617:131901706:1 gene:ENSMUSG00000028911.16 transcript:ENSMUST00000053819.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf4 description:serine/arginine-rich splicing factor 4 [Source:MGI Symbol;Acc:MGI:1890577] MPRVYIGRLSYQARERDVERFFKGYGKILEVDLKNGYGFVEFDDLRDADDAVYELNGKDL CGERVIVEHARGPRRDGSYGSGRSGYGYRRSGRDKYGPPTRTEYRLIVENLSSRCSWQDL KDYMRQAGEVTYADAHKGRKNEGVIEFVSYSDMKRALEKLDGTEVNGRKIRLVEDKPGSR RRRSYSRSRSHSRSRSRSRHSRKSRSRSGSSKSSHSKSRSRSRSGSHSRSKSRSRSQSRS RSKKEKSRSPSKDNKSRSRSRSPDKSRSKSKDHAEDKLQNNDSAGKAKSHSPSRHDSKSR SRSQERRAEEERRRSVSRARSQEKSRSQEKSLLKSRSRSRSKVGSRSRSRSKDKRKGRKR SRDESRSRSRSKSERSRKHSSKRDSKVSSSSSKKKKDTDHSRSPSRSVSKEREHAKAESG QRESRAEGESEAPNPEPRARSRSTSKSKPNVPAESRSRSKSASKTRSRSKSPSRSASRSP SRSRSRSHSRS >ENSMUSP00000141468.1 pep:known chromosome:GRCm38:4:131873816:131892144:1 gene:ENSMUSG00000028911.16 transcript:ENSMUST00000129966.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf4 description:serine/arginine-rich splicing factor 4 [Source:MGI Symbol;Acc:MGI:1890577] XERFFKGYGKILEVDLKNGLLCSEPTHVFGRTRWLTLANGNQKTRVKVTRLSHAPA >ENSMUSP00000142148.1 pep:known chromosome:GRCm38:4:131884295:131897834:1 gene:ENSMUSG00000028911.16 transcript:ENSMUST00000134943.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf4 description:serine/arginine-rich splicing factor 4 [Source:MGI Symbol;Acc:MGI:1890577] THVFGRTRWLTLANGNQKTRVKVTRLSHAPA >ENSMUSP00000106394.1 pep:known chromosome:GRCm38:5:142866946:142895421:-1 gene:ENSMUSG00000066640.11 transcript:ENSMUST00000110766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl18 description:F-box and leucine-rich repeat protein 18 [Source:MGI Symbol;Acc:MGI:2444450] MPHPTWRPHRVHPGASGSTSRRAPQPRPLPGSLWSCGRAGFEHPYAGRLGDCEAAAPAAS SGEDMSSEEEAAAEAAGDTHLLGFSDEILLHILSHVPSTDLVLSVRRTCRKLAALCLDKS LVHTVLLQKDYQASEEKVKQLVKEIGREIQQLNMAGCYWLSGSTIEHVARCHSLVKVNLS GCHLTSLRLSKVLSALQHLRSLAIDVSPGFDASQLSSECKATLSRVQELKQTLFTPSYGV VPCCASLQKLLLYFEILDRTREGAVLSGQLMVGQSNVPHYQNLRVFYARLAPGYINQEVV RLYLAVLSDRTPENLHAFLISVPGSFAESGATKNLLDSMARNVALDALQLPKSWLNGSTL LQHMKFNNPFYFSFSRCTLSGGHLIQRLINGGKDLRSLASLNLSGCVHCLSADSLLRKAE DDIDSSILETLVESCCNLHHLNLSAAHHHSSDGLGRHLCQLLARLCHLRSLSLPVCSVAD SAPRPDRAPAPPAMHAVPRGFGKKVRIGVQTCPNPFVGQSAPQPASVFWSLLKKLPFLEH LELIGSNFSSAMPRNEPAIRNSLPPCSRAQNVGDSEVAAIGQLTFLRHLTLAQLPGMLTG SGLVSIGLQCQHLQSLSLANLGMMGKVVYMPALADMLKHCKRLKDLRLEQPYFNANAQFF QALGQCSSLQRLCLVSRSGTLQPDAVLAFMARCLQVVMCHMFTGESLTTCKSLQQSLLRS FQAERPALNVVIFPLLHEGLTDVIRDVPMLHLDEITLFKSRVAEEPPNLWW >ENSMUSP00000041700.7 pep:known chromosome:GRCm38:5:142871789:142895238:-1 gene:ENSMUSG00000066640.11 transcript:ENSMUST00000035985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl18 description:F-box and leucine-rich repeat protein 18 [Source:MGI Symbol;Acc:MGI:2444450] MSSEEEAAAEAAGDTHLLGFSDEILLHILSHVPSTDLVLSVRRTCRKLAALCLDKSLVHT VLLQKDYQASEEKVKQLVKEIGREIQQLNMAGCYWLSGSTIEHVARCHSLVKVNLSGCHL TSLRLSKVLSALQHLRSLAIDVSPGFDASQLSSECKATLSRVQELKQTLFTPSYGVVPCC ASLQKLLLYFEILDRTREGAVLSGQLMVGQSNVPHYQNLRVFYARLAPGYINQEVVRLYL AVLSDRTPENLHAFLISVPGSFAESGATKNLLDSMARNVALDALQLPKSWLNGSTLLQHM KFNNPFYFSFSRCTLSGGHLIQRLINGGKDLRSLASLNLSGCVHCLSADSLLRKAEDDID SSILETLVESCCNLHHLNLSAAHHHSSDGLGRHLCQLLARLCHLRSLSLPVCSVADSAPR PDRAPAPPAMHAVPRGFGKKVRIGVQTCPNPFVGQSAPQPASVFWSLLKKLPFLEHLELI GSNFSSAMPRNEPAIRNSLPPCSRAQNVGDSEVAAIGQLTFLRHLTLAQLPGMLTGSGLV SIGLQCQHLQSLSLANLGMMGKVVYMPALADMLKHCKRLKDLRLEQPYFNANAQFFQALG QCSSLQRLCLVSRSGTLQPDAVLAFMARCLQVVMCHMFTGESLTTCKSLQQSLLRSFQAE RPALNVVIFPLLHEGLTDVIRDVPMLHLDEITLFKSRVAEEPPNLWW >ENSMUSP00000036285.5 pep:known chromosome:GRCm38:9:63953076:64022059:-1 gene:ENSMUSG00000036867.7 transcript:ENSMUST00000041029.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad6 description:SMAD family member 6 [Source:MGI Symbol;Acc:MGI:1336883] MFRSKRSGLVRRLWRSRVVPDREEGSGGGGGVDEDGSLGSRAEPAPRAREGGGCSRSEVR SVAPRRPRDAVGPRGAAIAGRRRRTGGLPRPVSESGAGAGGSPLDVAEPGGPGWLPESDC ETVTCCLFSERDAAGAPRDSGDPQARQSPEPEEGGGPRSREARSRLLLLEQELKTVTYSL LKRLKERSLDTLLEAVESRGGVPGGCVLVPRADLRLGGQPAPPQLLLGRLFRWPDLQHAV ELKPLCGCHSFTAAADGPTVCCNPYHFSRLCGPESPPPPYSRLSPPDQYKPLDLSDSTLS YTETEATNSLITAPGEFSDASMSPDATKPSHWCSVAYWEHRTRVGRLYAVYDQAVSIFYD LPQGSGFCLGQLNLEQRSESVRRTRSKIGFGILLSKEPDGVWAYNRGEHPIFVNSPTLDA PGGRALVVRKVPPGYSIKVFDFERSGLLQHADAAHGPYDPHSVRISFAKGWGPCYSRQFI TSCPCWLEILLNNHR >ENSMUSP00000137442.1 pep:known chromosome:GRCm38:9:64021760:64022032:-1 gene:ENSMUSG00000036867.7 transcript:ENSMUST00000179458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad6 description:SMAD family member 6 [Source:MGI Symbol;Acc:MGI:1336883] MTPRRSSGGPQCAFEENKKERERREGERARELSPEKEPPGAASPNLAGTAGPPGGTLVKG GGGDLGSRGARSDPAQRGAGCDLCFGGLHF >ENSMUSP00000033010.2 pep:known chromosome:GRCm38:7:125444676:125462269:1 gene:ENSMUSG00000030752.8 transcript:ENSMUST00000033010.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm8 description:lysine (K)-specific demethylase 8 [Source:MGI Symbol;Acc:MGI:1924285] MSEDTTEPLVGSSTLWKELRTLLPDKEEELKLDLGEKVDRSVAALLRQAVGLFYAGHWQG CLQASEAVLDYSWEKLNTGPWRDVDKEWRRVYSFGCLLKALCLCQAPQKATTVVEALRVC DMGLLMGAAILEDILLKVVAVLQTHQLPGKQPARGPHQDQPATKKAKCDASPAPDVMLER MVPRLRCPPLQYFKQHFLVPGRPVILEGVADHWPCMKKWSLQYIQEIAGCRTVPVEVGSR YTDEDWSQTLMTVDEFIQKFILSEAKDVGYLAQHQLFDQIPELKRDISIPDYCCLGNGEE EEITINAWFGPQGTISPLHQDPQQNFLVQVLGRKYIRLYSPQESEAVYPHETHILHNTSQ VDVENPDLEKFPKFTEAPFLSCILSPGDTLFIPAKYWHYVRSLDLSFSVSFWWS >ENSMUSP00000114890.1 pep:known chromosome:GRCm38:7:125444684:125460021:1 gene:ENSMUSG00000030752.8 transcript:ENSMUST00000135129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm8 description:lysine (K)-specific demethylase 8 [Source:MGI Symbol;Acc:MGI:1924285] MLERMVPRLRCPPLQYFKQHFLVPGRPVILEGVADHWPCMKKWSLQYIQEIAGCRTVPVE VGSRYTDEDWSQTLMTVDEFIQKFILSEAKDVGYLAQHQLFDQIPELKRDISIPDYCCLG NGEEEEITINAWFGPQGTISPLHQDPQQNFLV >ENSMUSP00000048859.8 pep:known chromosome:GRCm38:1:37754776:37865079:-1 gene:ENSMUSG00000060771.14 transcript:ENSMUST00000041815.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsga10 description:testis specific 10 [Source:MGI Symbol;Acc:MGI:2685063] MMRNRSKSPRRPSPTSRAANCDVELLKSTARDREELKCMLEKYERHLAEIQGNVKVLTSE RDKTFLLYEQAQEEIARLRREMMKSCKSPKSTTAHAILRRVETERDVAFTDLRRMTTERD SLRERLKIAQETAFNEKAHLEQRIEELECTVHNLDDERMEQMANMTLMKETITTVEKEMK SLARKAMDTESELGRQKAENNSLRLLYENTEKDLSDTQRHLAKKKYELQLTQEKIMCLDE KIDNFTRQNIAQREEISILGATLNDLAKEKECLQACLDKKSENIASLGESLAMKEKTISG MKNIIAEMEQASRQSTEALIMCEQDISRMRRQLDETNDELGQIARERDILAHENDNLQEQ FAKVKQENQALSKKLNDTHNELSDIKQKVQDTNLEVNKLKNILKSEESENRQIMEQLRKA NEDAENWENKARQTEAENNTLKLELITAEAEGNRLKEKVDALNREVEQHLNAERSYKSQI ATLHKSLVKMEEELQKVQFEKVSALADLSSTRELCIKLDSSKELLNRQLVAKDQEIEMME NELDSARSEIELLRSQMTNERISMQNLEALLVANRDKEYQSQIALQEKESEIQLLKEHLC LAENKMAIQSRDVAQFRNVVTQLEADLDITKRQLGTERFERERAVQELRRQNYSSNAYNL GPMKPNTKCHSPERAHHRSPDRGLDRSLEENLCYRDF >ENSMUSP00000110552.1 pep:known chromosome:GRCm38:1:37760823:37855374:-1 gene:ENSMUSG00000060771.14 transcript:ENSMUST00000114902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsga10 description:testis specific 10 [Source:MGI Symbol;Acc:MGI:2685063] MMRNRSKSPRRPSPTSRAANCDVELLKSTARDREELKCMLEKYERHLAEIQGNVKVLTSE RDKTFLLYEQAQEEIARLRREMMKSCKSPKSTTAHAILRRVETERDVAFTDLRRMTTERD SLRERLKIAQETAFNEKAHLEQRIEELECTVHNLDDERMEQMANMTLMKETITTVEKEMK SLARKAMDTESELGRQKAENNSLRLLYENTEKDLSDTQRHLAKKKYELQLTQEKIMCLDE KIDNFTRQNIAQREEISILGATLNDLAKEKECLQACLDKKSENIASLGESLAMKEKTISG MKNIIAEMEQASRQSTEALIMCEQDISRMRRQLDETNDELGQIARERDILAHENDNLQEQ FAKVKQENQALSKKLNDTHNELSDIKQKVQDTNLEVNKLKNILKSEESENRQIMEQLRKA NEDAENWENKARQTEAENNTLKLELITAEAEGNRLKEKVDALNREVEQHLNAERSYKSQI ATLHKSLVKMEEELQKVQFEKVSALADLSSTRELCIKLDSSKELLNRQLVAKDQEIEMME NELDSARSEIELLRSQMTNERISMQNLEALLVANRDKEYQSQIALQEKESEIQLLKEHLC LAENKMAIQSRDVAQFRNVVTQLEADLDITKRQLGTERFERERAVQELRRQNYSSNAYNL GPMKPNTKCHSPERAHHRSPDRGLDRSLEE >ENSMUSP00000085391.3 pep:known chromosome:GRCm38:1:37760823:37866429:-1 gene:ENSMUSG00000060771.14 transcript:ENSMUST00000088072.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsga10 description:testis specific 10 [Source:MGI Symbol;Acc:MGI:2685063] MMRNRSKSPRRPSPTSRAANCDVELLKSTARDREELKCMLEKYERHLAEIQGNVKVLTSE RDKTFLLYEQAQEEIARLRREMMKSCKSPKSTTAHAILRRVETERDVAFTDLRRMTTERD SLRERLKIAQETAFNEKAHLEQRIEELECTVHNLDDERMEQMANMTLMKETITTVEKEMK SLARKAMDTESELGRQKAENNSLRLLYENTEKDLSDTQRHLAKKKYELQLTQEKIMCLDE KIDNFTRQNIAQREEISILGATLNDLAKEKECLQACLDKKSENIASLGESLAMKEKTISG MKNIIAEMEQASRQSTEALIMCEQDISRMRRQLDETNDELGQIARERDILAHENDNLQEQ FAKVKQENQALSKKLNDTHNELSDIKQKVQDTNLEVNKLKNILKSEESENRQIMEQLRKA NEDAENWENKARQTEAENNTLKLELITAEAEGNRLKEKVDALNREVEQMENELDSARSEI ELLRSQMTNERISMQNLEALLVANRDKEYQSQIALQEKESEIQLLKEHLCLAENKMAIQS RDVAQFRNVVTQLEADLDITKRQLGTERFERERAVQELRRQNYSSNAYNLGPMKPNTKCH SPERAHHRSPDRGLDRSLEE >ENSMUSP00000120554.1 pep:known chromosome:GRCm38:1:37801463:37855347:-1 gene:ENSMUSG00000060771.14 transcript:ENSMUST00000151735.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsga10 description:testis specific 10 [Source:MGI Symbol;Acc:MGI:2685063] MMRNRSKSPRRPSPTSRAANCDVELLKSTARDREELKCMLEKYERHLAEIQGNVKVLTSE RDKTFLLYEQAQEEIARLRREMMKSCKSPKSTTAHAILRRVETERDVAFTDLRRMTTERD SLRERLKIAQETAFNEKAHLEQRIEELECTVHNLDDERMEQMANMTLMKETITTVEKEMK SLARKAMDTESELGRQKAENNSLRLLYENTEKDLSDTQRHLAKKKYELQLTQEKIMCLDE KIGKNHFRHEEYHC >ENSMUSP00000141261.1 pep:known chromosome:GRCm38:1:37832403:37864836:-1 gene:ENSMUSG00000060771.14 transcript:ENSMUST00000123082.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsga10 description:testis specific 10 [Source:MGI Symbol;Acc:MGI:2685063] MMRNRSKSPRRPSPTSRAANCDVELLKSTARDREELKCMLEKYERHLAEIQGNVKVLTSE RDKTFLLYEQAQEEIARLRREMMKSCKSPKSTTAHAILRRVETERDVAFTDLRRMTTERD SLRERLKIAQETAFNEKAHLEQRIEELECTVHNVKQNPCSYSLCASLSLCTVLGRVLVVS YDCVCSPELRKVVCMICYFLNPTSPVHTVSLL >ENSMUSP00000141630.1 pep:known chromosome:GRCm38:1:37833435:37865073:-1 gene:ENSMUSG00000060771.14 transcript:ENSMUST00000155852.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsga10 description:testis specific 10 [Source:MGI Symbol;Acc:MGI:2685063] MMRNRSKSPRRPSPTSRAANCDVELLKSTARDREELKCMLEKYERHLAEIQGNVKVLTSE RDKTFLLYEQAQEEIARLRREMMKSCKSPKSTTAHAILRRVETERDVAFTDLRRMTTERD SLRERLKIAQETAFNEKAHLEQRIEELECTVHNVKQNPCSYSLCASLSLCTVLGRVLVVS YDCVCSPELRKVVCMICYFLNPTSPVHTVSLL >ENSMUSP00000142218.1 pep:known chromosome:GRCm38:1:37834271:37865073:-1 gene:ENSMUSG00000060771.14 transcript:ENSMUST00000193669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsga10 description:testis specific 10 [Source:MGI Symbol;Acc:MGI:2685063] MMRNRSKSPRRPSPTSRAANCDVELLKSTARDREELKCMLEKYERHLAEIQGNVKVLTSE RDKTFLLYEQAQEEIARLRREMMKSCKSPKSTTAHAILRRVETERDVAFTDLRRMTTERD SLRERLKIAQETAFNEKAHLEQRIEELECTVHNVKQNPCSYSLCASLSLCTVLGRVLVVS YDCVCSPELRKVVCMICYFLNPTSPVHTVSLL >ENSMUSP00000094130.1 pep:known chromosome:GRCm38:15:74819071:74824436:1 gene:ENSMUSG00000068600.10 transcript:ENSMUST00000096399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gml2 description:glycosylphosphatidylinositol anchored molecule like 2 [Source:MGI Symbol;Acc:MGI:1341831] MMLPFFLSILMGLPWVDTSINNTSGLVFDNTTGGLDNAIEPRWSPVLTCHKCYISNTFSC PKLSECPSNLRRCMTVSFRVNIRLLYVLKDCTKDCTFIYREHVPPELPRVLKDVKNFYFV MCCSSITCNVGGPTNLERDLLDETSIEEEVVARAECLGWVNLLLCFALILSSIILT >ENSMUSP00000141185.1 pep:known chromosome:GRCm38:15:74819088:74834871:1 gene:ENSMUSG00000068600.10 transcript:ENSMUST00000188180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gml2 description:glycosylphosphatidylinositol anchored molecule like 2 [Source:MGI Symbol;Acc:MGI:1341831] MMLPFFLSILMGLPWVDTSINNTSGLVFDNTTGGLDNAIEPRWSPVLTCHKCYISNTFSC PKLSECPSNLRRCMTVSFHVCDGCPRSLEGVSYP >ENSMUSP00000060441.3 pep:known chromosome:GRCm38:4:109978053:109983687:1 gene:ENSMUSG00000047143.3 transcript:ENSMUST00000061187.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrta2 description:doublesex and mab-3 related transcription factor like family A2 [Source:MGI Symbol;Acc:MGI:2653629] MELRSELPSVPGAATAAATATGPPVASVASVAAAAAAAASLPVSVAGGLLRAPPLLLRAA EKYPRTPKCARCRNHGVVSALKGHKRYCRWKDCLCAKCTLIAERQRVMAAQVALRRQQAQ EENEARELQLLYGTAEGLALAAANGIIPPRPAYEVFGSVCATDGGGPGAGAPAGSAGGAG GAEAKLQKFDLFPKTLLQAGRPDSPQPPPGKPLSPDGADSGPRTSSPEVRPGSGSENGDG ESFSGSPLARASKEAGGSCPGSAGAGGGGEEDSPGSSSPLGSESGSEADKEEAEAAPTPG LGGGPGPRQRTPLDILTRVFPGHRRGVLELVLQGCGGDVVQAIEQVLNHHRGGLAAGLGP AAPLEKAAVSAAVEDAWPGRVEAAAAGGAGLPAPLQTGPTAPPHHRPLLAGAMTPGALGS LSSRSAFSPLQPNASHFGADAGAYPLGAPLGLSPLRLAYSAAAAHSRGLAFMAPYSTAGL VPTLGFRPPMDYAFSDLMRDRSAAAAAAVHKEPGYGGGLYGPMVNGTPEKQ >ENSMUSP00000005003.6 pep:known chromosome:GRCm38:1:181815335:181842379:-1 gene:ENSMUSG00000004880.11 transcript:ENSMUST00000005003.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbr description:lamin B receptor [Source:MGI Symbol;Acc:MGI:2138281] MPSRKFVEGEVVRGRWPGSSLYYEVEILSHDNKSQLYTVKYKDGTELELKESDIKPLKSF KQRKSGSISSSPSRRRGSRSRSRSRSRSRSPGRAPKGSRRSVSASHEGDVKEKKEKEMRR EILQVKLTPLVLKPFGNSVSVYNGEPEHMEKNATPYKDKQERIILSTEDRYIVTQYSLRP RREEVKAKEIESEEQNLVTKGPAPLGTFQVTTPQRKDLEFGGVPGAVLIMLGLPACVLLL LLQCRQKDPGLLHFPPPLPALHELWEPRVCGVYLLWFFVQALFHLLPVGKVAEGTPLVDG RRLQYRLNGLYAFILTSAALGAAVFWGVELCYLYTHFLQLALAATGFSVLLSAYLYVRSL RAPREELSPASSGNAVYDFFIGRELNPRLGAFDLKFFCELRPGLIGWVVINLVMLLMEMK IQERAAPSLAMILVNSFQLLYVVDALWNEEALLTSMDIMHDGFGFMLAFGDLVWVPFTYS LQAFYLVSHPHDLSWPLASVIIALKLCGYVIFRCANSQKNAFRKNPTDPKLAHLKTIHTS TGKSLLVSGWWGFVRHPNYLGDLIMALAWSLPCGFNHLLPYFYIIYFTALLIHREARDEH QCRRKYGLAWEKYCQRVPYRIFPYIY >ENSMUSP00000142232.1 pep:known chromosome:GRCm38:1:181819880:181828886:-1 gene:ENSMUSG00000004880.11 transcript:ENSMUST00000194415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbr description:lamin B receptor [Source:MGI Symbol;Acc:MGI:2138281] XLPACVLLLLLQCRQKDPGLLHFPPPLPALHELWEPRVCGVYLLWFFVQALFHLLPVGKV AEGTPLVDGRRLQYRLNALGAAVFWGVELCYLYTHFLQLALAATGFSVLLSAYLYVRSLR APREELSPASSGNAVYDFFIGRELNPRLGAFDLKFFCELRPGLIGWVVINLVMLLMEMKI QERAAPSLAMILVNSFQLLYVV >ENSMUSP00000141335.1 pep:known chromosome:GRCm38:1:181836058:181843046:-1 gene:ENSMUSG00000004880.11 transcript:ENSMUST00000193030.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbr description:lamin B receptor [Source:MGI Symbol;Acc:MGI:2138281] MPSRKFVEGEVVRGRWPGSSLYYEVEILSHDNKSQLYTVKYKDGTELELKESDIKPLKSF KQRKSGSISSSPSRRRGSRSRSRSRSRSRSPGRAPKGSRRSVSASHEGDVKEKKEKEMRR EILQVKLTPLVL >ENSMUSP00000142133.1 pep:known chromosome:GRCm38:1:181836087:181842361:-1 gene:ENSMUSG00000004880.11 transcript:ENSMUST00000191878.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbr description:lamin B receptor [Source:MGI Symbol;Acc:MGI:2138281] MPSRKFVEGEVVRGRWPGSSLYYEVEILSHDNKSQLYTVKYKDGTELELKESDIKPLKSF KQRKSGSISSSPSRRRGSRSRSRSRSRSRSPGRAPKGSRRSVSASHEGDVKEKKEKEMRR EI >ENSMUSP00000142167.1 pep:known chromosome:GRCm38:1:181836238:181842859:-1 gene:ENSMUSG00000004880.11 transcript:ENSMUST00000195299.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbr description:lamin B receptor [Source:MGI Symbol;Acc:MGI:2138281] MPSRKFVEGEVVRGRWPGSSLYYEVEILSHDNKSQLYTVKYKDGTELELKESDIKPLKSF KQRKSGSISSSP >ENSMUSP00000141671.1 pep:known chromosome:GRCm38:1:181838462:181842326:-1 gene:ENSMUSG00000004880.11 transcript:ENSMUST00000193028.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbr description:lamin B receptor [Source:MGI Symbol;Acc:MGI:2138281] MPSRKFVEGEVVRGRWPGSSLYYEVEI >ENSMUSP00000146190.1 pep:known chromosome:GRCm38:14:14407102:14408198:1 gene:ENSMUSG00000091809.3 transcript:ENSMUST00000206688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr721-ps1 description:olfactory receptor 721, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030555] METIYQNSTDFILLGLITHPKFPGLIFAVVFSIFVVAVTANLVIIMLIHVDSHLHTPMYF LLSQLSIMDTVYICITVPKMLQDLLSKEKTISFLGCALQIFFYLTLIGGEFLLLGLMAYD RFVAVCNPLRYQIIMNPRVCLLMVLGSWAGGSLDGFMLTPVTMSFPYCGSLEINHFFCEI PAVLKLSCRDTSLYETLMYACCVLMLLIPISFISVSYTRILITVYHMSSAEGRRKAFTTC SSHIIVVSIFYGAAFYTNVLPHSYHTPEKDKVVSAFYTILTPMLNPLIYSLRNRDVAVAL RKVLRKYASSQRLRVRHIPEKY >ENSMUSP00000133126.2 pep:known chromosome:GRCm38:14:14407224:14408198:1 gene:ENSMUSG00000091809.3 transcript:ENSMUST00000165291.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr721-ps1 description:olfactory receptor 721, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030555] VEMETIYQNSTDFILLGLITHPKFPGLIFAVVFSIFVVAVTANLVIIMLIHVDSHLHTPM YFLLSQLSIMDTVYICITVPKMLQDLLSKEKTISFLGCALQIFFYLTLIGGEFLLLGLMA YDRFVAVCNPLRYQIIMNPRVCLLMVLGSWAGGSLDGFMLTPVTMSFPYCGSLEINHFFC EIPAVLKLSCRDTSLYETLMYACCVLMLLIPISFISVSYTRILITVYHMSSAEGRRKAFT TCSSHIIVVSIFYGAAFYTNVLPHSYHTPEKDKVVSAFYTILTPMLNPLIYSLRNRDVAV ALRKVLRKYASSQRLRVRHIPEKY >ENSMUSP00000098441.2 pep:known chromosome:GRCm38:5:112449426:112451738:1 gene:ENSMUSG00000072722.2 transcript:ENSMUST00000100882.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6588 description:predicted gene 6588 [Source:MGI Symbol;Acc:MGI:3648937] MESQGQSYPKWNTKGRRATIFPQREGAKTLIPSAIPAQLEETAFLTPSYRTILSRKRALY DVRVRWPELVCKEQKVMGEDSYDVGFPYLDRKLTDVSSCSSEASISLKNQESSHSEFHNP SDFPGCLSEAPYVTILNSYLKPESMTRLEKKMRRRTLVLMNQLEQEKEAAKFRRAVLLKD TRELQNEKSYEEAENKAFLEFLMKKNQKTQEKYDSLWKDYIQQYQEIEDRRREMVSTFTS RTGSLQKQLKENKKLEASLKKKLKALAPIANIKESQDWEIETLELEKASIVADIPFLDRE AHLQFLKERAALAKQVEDLNLLESGEDITRELKKKTKAWDTAAKQAYEDFCHNINARNRQ LRTELQQLDWEFCNLEDRREKLERRKQRWKEQQWYLEALTRGRERLQQQEHRRQQREHRR QQWEHPALDHLLGARQKANPKKWLPRPRKQTGAEAGTLHRE >ENSMUSP00000141173.1 pep:known chromosome:GRCm38:Y:89713424:89745532:-1 gene:ENSMUSG00000100608.1 transcript:ENSMUST00000188269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21996 description:predicted gene 21996 [Source:MGI Symbol;Acc:MGI:5440224] MRKMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMDVLMNLGTKN >ENSMUSP00000129050.1 pep:known chromosome:GRCm38:14:42254659:42260048:-1 gene:ENSMUSG00000092152.1 transcript:ENSMUST00000166674.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17026 description:predicted gene 17026 [Source:MGI Symbol;Acc:MGI:4937853] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSAGAASP QAPTINDQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLQMQHD QVMTDLKRMPQDISEALYKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKILCKEGSQKIKDHYTKQQQI >ENSMUSP00000112314.3 pep:known chromosome:GRCm38:9:31846044:31913462:-1 gene:ENSMUSG00000032033.11 transcript:ENSMUST00000116615.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Barx2 description:BarH-like homeobox 2 [Source:MGI Symbol;Acc:MGI:109617] MHCHAELRLSSPGQLKAARRRYKTFMIDEILSKETCDYFEKLSLYSVCPSLVVRPKPLHS CTGSPSLRAYPLLSVITRQPTVISHLVPTGSGLTPVLTRHPVAAAEAAAAAAETPGGEAL ASSESETEQPTPRQKKPRRSRTIFTELQLMGLEKKFQKQKYLSTPDRLDLAQSLGLTQLQ VKTWYQNRRMKWKKMVLKGGQEAPTKPKGRPKKNSIPTSEEIEAEEKMNSQAQSQELLES SERQEEPCDTQEPKACLVPLEVAEPIHQPQELSEASSEPPPLS >ENSMUSP00000078454.7 pep:known chromosome:GRCm38:5:112419151:112576975:-1 gene:ENSMUSG00000058153.14 transcript:ENSMUST00000079491.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6l description:seizure related 6 homolog like [Source:MGI Symbol;Acc:MGI:1935121] MPVARPQAAGPDRISLFLVAFLLGSPAAAQAEDGGPEGEMHPSTAYLLPSASLESSLEEG VTSAAPGLLPSQEALEAMEESLPPALPDEASVQHTPALRKGLPSLKQLNSARRQLRPLAT PTTLQRLGSPASATTKLREPEDPEQPTAPAPLQIAPFTALATTLPHSPQPAQAPDDSSPG SPLDKGDNELTGSASEESQETTTSTIVTTTIITTEQAPALCGVSFSDPEGYIDSSDFPPQ PYSSFLECTYNVTVYTGYGVELQVKSVNLSEGELLSIRGVDGPTLTVLANQTLLVEGQVI RSPTNTISVYFRTFQDDGLGTFQLHYQAFMLSCPFPRRPDAGEVTVMDLHSGGVAHFHCH LGYELQGAKTLTCINASKPHWSSQEPVCSAPCGGAVHNATIGRVLSPSFPGTANGSQLCV WTIEAPEGQKLHLHLERLLLHEKDRMIVYSGRTNTSALLYDSLRTESVPFEGLLSEGSSI RIEFTSDQGQAASAFNIRFEAFEKGHCYEPYIQNGNFTTSDPTYNIGTIVEFTCDPGHSL EQGPAVIECVNVRDPYWNDTEPLCRAMCGGELSAVAGVVLSPNWPEPYAEGEDCVWKIHV GEEKRIFLDIQFLNLSNSDILTIYDGDEVVPHVLGQYFGHSSPQKLYSSTPDLTIQFHSD PAGLIFGKGQGFIMNYIEVSRNDSCSDLPEIQNGWKTTSHTELVRGARITYQCDPGYDIV GSDTLTCQWDLSWSSDPPFCEKIMYCTDPGEVEHSTRLISDPVLLVGTTIQYTCSPGFVL EGSSLLTCYSRETGTPIWTSRLPHCVSEESLACDNPGLPENGYQILYKRLYLPGESLTFM CYEGFELMGEVTIRCILGQPSHWSGPLPICKVNQDSFEHALEAEAAAESSLEGGNMALAI FIPVLLISLLLGGAYIYVTRCRQYSSLRLPLMYSHPYSQITVETEFDNPIYETGETREYE VSI >ENSMUSP00000143160.1 pep:known chromosome:GRCm38:5:112419155:112475127:-1 gene:ENSMUSG00000058153.14 transcript:ENSMUST00000200575.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6l description:seizure related 6 homolog like [Source:MGI Symbol;Acc:MGI:1935121] XDNELTGSASEESQETTTSTIVTTTIITTEQAPALCGVSFSDPEGYIDSSDFPPQPYSSF LECTYNVTVYTGYGVELQVKSVNLSEGELLSIRGVDGPTLTVLANQTLLVEGQVIRSPTN TISVYFRTFQDDGLGTFQLHYQAFMLSCPFPRRPDAGEVTVMDLHSGGVAHFHCHLGYEL QGAKTLTCINASKPHWSSQEPVCSAPCGGAVHNATIGRVLSPSFPGTANGSQLCVWTIEA PEGQKLHLHLERLLLHEKDRMIVYSGRTNTSALLYDSLRTESVPFEGLLSEGSSIRIEFT SDQGQAASAFNIRFEAFEKGHCYEPYIQNGNFTTSDPTYNIGTIVEFTCDPGHSLEQGPA VIECVNVRDPYWNDTEPLCRAMCGGELSAVAGVVLSPNWPEPYAEGEDCVWKIHVGEEKR IFLDIQFLNLSNSDILTIYDGDEVVPHVLGQYFGHSSPQKLYSSTPDLTIQFHSDPAGLI FGKGQGFIMNYIEVSRNDSCSDLPEIQNGWKTTSHTELVRGARITYQCDPGYDIVGSDTL TCQWDLSWSSDPPFCEKTEESLACDNPGLPENGYQILYKRLYLPGESLTFMCYEGFELMG EVTIRCILGQPSHWSGPLPICKVNQDSFEHALEAEAAAESSLEGGNMALAIFIPVLLISL LLGGAYIYVTRCRQYSSLRLPLMYSHPYSQITVETEFDNPIYETGETREYEVSI >ENSMUSP00000074847.6 pep:known chromosome:GRCm38:5:112419160:112577185:-1 gene:ENSMUSG00000058153.14 transcript:ENSMUST00000075387.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6l description:seizure related 6 homolog like [Source:MGI Symbol;Acc:MGI:1935121] MPVARPQAAGPDRISLFLVAFLLGSPAAAQAEDGGPEGEMHPSTAYLLPSASLESSLEEG VTSAAPGLLPSQEALEAMEESLPPALPDEASVQHTPALRKGLPSLKQLNSARRQLRPLAT PTTLQRLGSPASATTKLREPEDPEQPTAPAPLQIAPFTALATTLPHSPQPAQAPDDSSPG SPLDKGDNELTGSASEESQETTTSTIVTTTIITTEQAPALCGVSFSDPEGYIDSSDFPPQ PYSSFLECTYNVTVYTGYGVELQVKSVNLSEGELLSIRGVDGPTLTVLANQTLLVEGQVI RSPTNTISVYFRTFQDDGLGTFQLHYQAFMLSCPFPRRPDAGEVTVMDLHSGGVAHFHCH LGYELQGAKTLTCINASKPHWSSQEPVCSAPCGGAVHNATIGRVLSPSFPGTANGSQLCV WTIEAPEGQKLHLHLERLLLHEKDRMIVYSGRTNTSALLYDSLRTESVPFEGLLSEGSSI RIEFTSDQGQAASAFNIRFEAFEKGHCYEPYIQNGNFTTSDPTYNIGTIVEFTCDPGHSL EQGPAVIECVNVRDPYWNDTEPLCRAMCGGELSAVAGVVLSPNWPEPYAEGEDCVWKIHV GEEKRIFLDIQFLNLSNSDILTIYDGDEVVPHVLGQYFGHSSPQKLYSSTPDLTIQFHSD PAGLIFGKGQGFIMNYIEVSRNDSCSDLPEIQNGWKTTSHTELVRGARITYQCDPGYDIV GSDTLTCQWDLSWSSDPPFCEKIMYCTDPGEVEHSTRLISDPVLLVGTTIQYTCSPGFVL EGSSLLTCYSRETGTPIWTSRLPHCVSEESLACDNPGLPENGYQILYKRLYLPGESLTFM CYEGFELMGEVTIRCILGQPSHWSGPLPICKVNQDSFEHALEEAAAESSLEGGNMALAIF IPVLLISLLLGGAYIYVTRCRQYSSLRLPLMYSHPYSQITVETEFDNPIYETGETREYEV SI >ENSMUSP00000143395.1 pep:known chromosome:GRCm38:5:112421813:112577177:-1 gene:ENSMUSG00000058153.14 transcript:ENSMUST00000197425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6l description:seizure related 6 homolog like [Source:MGI Symbol;Acc:MGI:1935121] MPVARPQAAGPDRISLFLVAFLLGSPAAAQAEDGGPEGEMHPSTAYLLPSASLESSLEEG VTSAAPGLLPSQEALEAMEESLPPALPDEASVQHTPALRKGLPSLKQLNSARRQLRPLAT PTTLQRLGSPASATTKLREPEDPEQPTAPAPLQIAPFTALATTLPHSPQPAQAPDDSSPG SPLDKGDNELTGSASEESQETTTSTIVTTTIITTEQAPALCGVSFSDPEGYIDSSDFPPQ PYSSFLECTYNVTVYTGYGVELQVKSVNLSEGELLSIRGVDGPTLTVLANQTLLVEGQVI RSPTNTISVYFRTFQDDGLGTFQLHYQAFMLSCPFPRRPDAGEVTVMDLHSGGVAHFHCH LGYELQGAKTLTCINASKPHWSSQEPVCSAPCGGAVHNATIGRVLSPSFPGTANGSQLCV WTIEAPEGQKLHLHLERLLLHEKDRMIVYSGRTNTSALLYDSLRTESVPFEGLLSEGSSI RIEFTSDQGQAASAFNIRFEAFEKGHCYEPYIQNGNFTTSDPTYNIGTIVEFTCDPGHSL EQGPAVIECVNVRDPYWNDTEPLCRAMCGGELSAVAGVVLSPNWPEPYAEGEDCVWKIHV GEEKRIFLDIQFLNLSNSDILTIYDGDEVVPHVLGQYFGHSSPQKLYSSTPDLTIQFHSD PAGLIFGKGQGFIMNYIEVSRNDSCSDLPEIQNGWKTTSHTELVRGARITYQCDPGYDIV GSDTLTCQWDLSWSSDPPFCEKIMYCTDPGEVEHSTRLISDPVLLVGTTIQYTCSPGFVL EGSSLLTCYSRETGTPIWTSRLPHCVFNQDSFEHALEEAAAESSLEGGNMALAIFIPVLL ISLLLGGAYIYVTRCRQYSSLRLPLMYSHPYSQITVETEFDNPIYETGETREYEVSI >ENSMUSP00000113139.2 pep:known chromosome:GRCm38:3:41742611:41756331:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000120167.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] MDFKIEYTWDGFPVRHEPVCVRLSPCEQGVKMEVSAPLFNDPPSPLGEPGKPFSELWNYE VVEAFFLNDTTKQYLEVELCPHGQHLVLLLAGRRNVWKPSSRIFQAFQFQHTAWRRMEAA RTRPVVI >ENSMUSP00000103700.2 pep:known chromosome:GRCm38:3:41742614:41758930:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000108065.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] MDFKIEYTWDGFPVRHEPVCVRLSPCEQGVKMEVSAPLFNDPPSPLGEPGKPFSELWNYE VVEAFFLNDTTKQYLEVELCPHGQHLVLLLAGRRNVWKKELPLSFKASRGGTNWEGEAYI PWSYFPPNVTKFNSFAIHGSNDRRVYEALYPVPQHELQQGQKPDFHRLEYFKPFSFNTLL GEEWRQPEPDLW >ENSMUSP00000118749.1 pep:known chromosome:GRCm38:3:41742617:41759389:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000143841.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] MDFKIEYTWDGFPVRHEPVCVRLSPCEQGVKMEVSAPLFNDPPSPLGEPGKPFSELWNYE VVEAFFLNDTTKQYLEVELCPS >ENSMUSP00000112558.1 pep:known chromosome:GRCm38:3:41742654:41758939:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000119572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] MDFKIEYTWDGFPVRHEPVCVRLSPCEQGVKMEVSAPLFNDPPSPLGEPGKPFSELWNYE VVEAFFLNDTTKQYLEVELCPHRLEYFKPFSFNTLLGEEWRQPEPDLC >ENSMUSP00000026867.7 pep:known chromosome:GRCm38:3:41742663:41757660:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000026867.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] MDFKIEYTWDGFPVRHEPVCVRLSPCEQGVKMEVSAPLFNDPPSPLGEPGKPFSELWNYE VVEAFFLNDTTKQYLEVELCPHRLEYFKPFSFNTLLGEEWRQPEPDLW >ENSMUSP00000026868.7 pep:known chromosome:GRCm38:3:41742725:41753784:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000026868.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] MDFKIEYTWDGFPVRHEPVCVRLSPCEQGVKMEVSAPLFNDPPSPLGEPGKPFSELWNYE VVEAFFLNDTTKQYLEVELCPHGQHLVLLLAGRRNVWKKELPLSFKASRGGTNWEGEA >ENSMUSP00000141925.1 pep:known chromosome:GRCm38:3:41744670:41756155:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000194346.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] MDFKIEYTWDGFPVRHEPVCVRLSPCEQGVKMEVSAPLFNDPPSPLGEPGKPFSELWNYE VVEAFFLNDTTKQYLEVELCPHGQHLVLLLAGRRNVWKKELPLSFKASRGGTNWEGEAYI PWSYFPPNVTKFNSFAIHGSNDRRVYEALYPVPQHELQQGQKPDFHRLEYFKPFSFNTLL GEEWRQPEPDLW >ENSMUSP00000142037.1 pep:known chromosome:GRCm38:3:41746485:41756171:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000195882.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] MDFKIEYTWDGFPVRHEPVCVRLSPCEQGVKMEVSAPLFNDPPSPLGEPGKPFSELWNYE VVEAFFLNDTTKQYLEVELCPHGQHLVLLLAGRRNVWKKELPLSFKASRGGTNWEGEAYI PWSYFPPNVTKFNSFAIHGSNDRRVYEALYPVPQHELQQGQKPDFHRLEYFKPFSFNTLL GEEWRQPEPDLW >ENSMUSP00000141756.1 pep:known chromosome:GRCm38:3:41746712:41803320:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000193075.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] XLWNYEVVEAFFLNDTTKQYLEVELCPHGQHLVLLLAGRRNVWKKELPLSFKASRGGTNW EGEAYIPWSYFPPNVTKFNSFAIHGSNDRRVYEALYPVPQHELQQGQKPDFHRLEYFKPF SFNTLLGEEWRQPEPDLWTSWISYNQQVSTCPSA >ENSMUSP00000142198.1 pep:known chromosome:GRCm38:3:41746715:41758957:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000193228.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] XWNYEVVEAFFLNDTTKQYLEVELCPS >ENSMUSP00000142263.1 pep:known chromosome:GRCm38:3:41751196:41756492:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000195030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] LKELPLSFKASRGGTNWEAIV >ENSMUSP00000119049.1 pep:known chromosome:GRCm38:3:41742618:41758939:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000146165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] MDFKIEYTWDGFPVRHEPVCVRLSPCEQGVKMEVSAPLFNDPPSPLGEPGKPFSELWNYE VVEAFFLNDTTKQYLEVELCPHGQHLVLLLAGRRNVWKKELPLSFKASRGGTNWEGEAYI PWSYFPPNVTKFNSFAIHGSNDRRVYEALYPVPQHELQQGQKPDFHRLEYFKPFSFNTLL GEEWRQPEPDLW >ENSMUSP00000142092.1 pep:known chromosome:GRCm38:3:41742618:41758939:1 gene:ENSMUSG00000025766.14 transcript:ENSMUST00000192193.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd751e description:DNA segment, Chr 3, ERATO Doi 751, expressed [Source:MGI Symbol;Acc:MGI:1289213] MDFKIEYTWDGFPVRHEPVCVRLSPCEQGVKMEVSAPLFNDPPSPLGEPGKPFSELWNYE VVEAFFLNDTTKQYLEVELCPHRLEYFKPFSFNTLLGEEWRQPEPDLW >ENSMUSP00000091835.3 pep:known chromosome:GRCm38:5:129881156:129887470:-1 gene:ENSMUSG00000070493.3 transcript:ENSMUST00000094280.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd2 description:coiled-coil-helix-coiled-coil-helix domain containing 2 [Source:MGI Symbol;Acc:MGI:1261428] MPRGSRSRTSRVTPPASRAPQMRAAPRRAPAAQPPAAAAPSAVGSPAAAPRQPGLMAQMA TTAAGVAVGSAVGHTLGHAITGGFSGGGSAEPAKPDITYQEPQGAQLQNQQSFGPCSLEI KQFLECAQNQSDVKLCEGFNEVLRQCRIANGLM >ENSMUSP00000139597.1 pep:known chromosome:GRCm38:9:106447788:106451609:1 gene:ENSMUSG00000042073.14 transcript:ENSMUST00000187106.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14b description:abhydrolase domain containing 14b [Source:MGI Symbol;Acc:MGI:1923741] MAGVDQHEGTIKVQGQNLFFRETRPGSGQPVRFSVLLLHGIRFSSETWQNLGTLQRLAEA GYRAVAIDLPGLGRSKEAAAPAPIGEPAPGSFLAAVVDTLELGPPVVISPSLSGMYSLPF LVAPGSQLRGFVPVAPICTDKI >ENSMUSP00000140655.1 pep:known chromosome:GRCm38:9:106447792:106451634:1 gene:ENSMUSG00000042073.14 transcript:ENSMUST00000190167.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14b description:abhydrolase domain containing 14b [Source:MGI Symbol;Acc:MGI:1923741] MAGVDQHEGTIKVQGQNLFFRETRPGSGQPVRFSVLLLHGIRFSSETWQNLGTLQRLAEA GYRAVAIDLPGLGRSKEAAAPAPIGEPAPGSFLAAVVDTLELGPPVVISPSLSGMYSLPF LVAPGSQLRGFVPVAPICTDKINAVDYASVK >ENSMUSP00000141151.1 pep:known chromosome:GRCm38:9:106448204:106452112:1 gene:ENSMUSG00000042073.14 transcript:ENSMUST00000186361.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14b description:abhydrolase domain containing 14b [Source:MGI Symbol;Acc:MGI:1923741] MAGVDQHEGTIKVQGQNLFFRETRPGSGQPVRFSVLLLHGIRFSSETWQNLGTLQRLAEA GYRAVAIDLPGLGRSKEAAAPAPIGEPAPGSFLAAVVDTLELGPPVVISPSLSGMYSLPF LVAPGSQLRGFVPVAPICTDKINAVDYASVKTPALIVYGDQDPMGSSSFQHLKQLPNHRV LVMEGAGHPCYLDK >ENSMUSP00000038755.7 pep:known chromosome:GRCm38:9:106448640:106452922:1 gene:ENSMUSG00000042073.14 transcript:ENSMUST00000048527.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14b description:abhydrolase domain containing 14b [Source:MGI Symbol;Acc:MGI:1923741] MAGVDQHEGTIKVQGQNLFFRETRPGSGQPVRFSVLLLHGIRFSSETWQNLGTLQRLAEA GYRAVAIDLPGLGRSKEAAAPAPIGEPAPGSFLAAVVDTLELGPPVVISPSLSGMYSLPF LVAPGSQLRGFVPVAPICTDKINAVDYASVKTPALIVYGDQDPMGSSSFQHLKQLPNHRV LVMEGAGHPCYLDKPDEWHKGLLDFLQGLA >ENSMUSP00000140279.1 pep:known chromosome:GRCm38:9:106448675:106452080:1 gene:ENSMUSG00000042073.14 transcript:ENSMUST00000185347.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14b description:abhydrolase domain containing 14b [Source:MGI Symbol;Acc:MGI:1923741] MAGVDQHEGTIKVQGQNLFFRETRPGSGQPVRFSVLLLHGIRFSSETWQNLGTLQRLAEA GYRAVAIDLPGLGRSKEAAAPAPIGEPAPGSFLAAVVDTLELGPPVVISPSLSGMYSLPF LVAPGSQLRGFVPVAPICTDKINAVDYASVKTPALIVYGDQDPMGSSSFQHLKQLPNHRV LVM >ENSMUSP00000139760.1 pep:known chromosome:GRCm38:9:106448714:106452052:1 gene:ENSMUSG00000042073.14 transcript:ENSMUST00000185527.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd14b description:abhydrolase domain containing 14b [Source:MGI Symbol;Acc:MGI:1923741] MAGVDQHEGTIKVQGQNLFFRETRPGSGQPVRFSVLLLHGIRFSSETWQNLGTLQRLAEA GYRAVAIDLPGLGRSKEAAAPAPIGEPAPGSFLAAVVDTLELGPPVVISPSLSGMYSLPF LVAPGSQLRGFVPVAPICTDKINAVDYASVKTPALIVYGDQDPMGSSSFQHLKQ >ENSMUSP00000119479.1 pep:known chromosome:GRCm38:2:163506808:163552635:1 gene:ENSMUSG00000017950.16 transcript:ENSMUST00000143911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf4a description:hepatic nuclear factor 4, alpha [Source:MGI Symbol;Acc:MGI:109128] MVSVNAPLGAPVASPYDTSPSEGANLNSSNSLGVSALCAICGDRATGKHYGASSCDGCKG FFRRSVRKNHMYSCRFSRQCVVDKDKRNQCRYCRLKKCFR >ENSMUSP00000105038.1 pep:known chromosome:GRCm38:2:163547187:163572910:1 gene:ENSMUSG00000017950.16 transcript:ENSMUST00000109411.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf4a description:hepatic nuclear factor 4, alpha [Source:MGI Symbol;Acc:MGI:109128] MDMADYSAALDPAYTTLEFENVQVLTMGNDTSPSEGANLNSSNSLGVSALCAICGDRATG KHYGASSCDGCKGFFRRSVRKNHMYSCRFSRQCVVDKDKRNQCRYCRLKKCFRAGMKKEA VQNERDRISTRRSSYEDSSLPSINALLQAEVLSQQITSPISGINGDIRAKKIANITDVCE SMKEQLLVLVEWAKYIPAFCELLLDDQVALLRAHAGEHLLLGATKRSMVFKDVLLLGNDY IVPRHCPELAEMSRVSIRILDELVLPFQELQIDDNEYACLKAIIFFDPDAKGLSDPGKIK RLRSQVQVSLEDYINDRQYDSRGRFGELLLLLPTLQSITWQMIEQIQFIKLFGMAKIDNL LQEMLLGGSASDAPHTHHPLHPHLMQEHMGTNVIVANTMPSHLSNGQMCEWPRPRGQAAT PETPQPSPPSGSGSESYKLLPGAITTIVKPPSAIPQPTITKQEAI >ENSMUSP00000123511.1 pep:known chromosome:GRCm38:2:163550083:163559109:1 gene:ENSMUSG00000017950.16 transcript:ENSMUST00000137449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf4a description:hepatic nuclear factor 4, alpha [Source:MGI Symbol;Acc:MGI:109128] MYGQLREMMMLCLTDTSPSEGANLNSSNSLGVSALCAICGDRATGKHYGASSCDGCKGFF RRSVRKNHMYSCRFSRQCVVDKDKRNQCRYCRLKKCFRAGMKKEAVQNERDRISTRRSSY EDSSLPSINALLQAEVLSQQITSPISGINGDIRAKKIANITDVCESMKEQLLVLVEWAKY IPAFCELLLDD >ENSMUSP00000018094.6 pep:known chromosome:GRCm38:2:163547188:163572905:1 gene:ENSMUSG00000017950.16 transcript:ENSMUST00000018094.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf4a description:hepatic nuclear factor 4, alpha [Source:MGI Symbol;Acc:MGI:109128] MRLSKTLAGMDMADYSAALDPAYTTLEFENVQVLTMGNDTSPSEGANLNSSNSLGVSALC AICGDRATGKHYGASSCDGCKGFFRRSVRKNHMYSCRFSRQCVVDKDKRNQCRYCRLKKC FRAGMKKEAVQNERDRISTRRSSYEDSSLPSINALLQAEVLSQQITSPISGINGDIRAKK IANITDVCESMKEQLLVLVEWAKYIPAFCELLLDDQVALLRAHAGEHLLLGATKRSMVFK DVLLLGNDYIVPRHCPELAEMSRVSIRILDELVLPFQELQIDDNEYACLKAIIFFDPDAK GLSDPGKIKRLRSQVQVSLEDYINDRQYDSRGRFGELLLLLPTLQSITWQMIEQIQFIKL FGMAKIDNLLQEMLLGGSASDAPHTHHPLHPHLMQEHMGTNVIVANTMPSHLSNGQMCEW PRPRGQAATPETPQPSPPSGSGSESYKLLPGAITTIVKPPSAIPQPTITKQEAI >ENSMUSP00000046233.4 pep:known chromosome:GRCm38:1:5913707:5917398:-1 gene:ENSMUSG00000033774.4 transcript:ENSMUST00000044180.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npbwr1 description:neuropeptides B/W receptor 1 [Source:MGI Symbol;Acc:MGI:891989] MHNLTLFESGGDNVSCGGSSLGCPNGSSLAPLPLPQPLAVAVPVVYGVICAVGLAGNSAV LYVLLRTPRMKTVTNVFILNLAIADELFTLVLPINIADFLLRRWPFGEVMCKLIVAVDQY NTFSSLYFLAVMSADRYLVVLATAESRRVSGRTYGAARAVSLAVWALVTLVVLPFAVFAR LDEEQGRRQCVLVFPQPEAFWWRASRLYTLVLGFAIPVTTICALYTTLLCRLRAIQLDSH AKALDRAKKRVTLLVAAILAVCLLCWTPYHLSTIVALTTDLPQTPLVIGISYFITSLSYA NSCLNPFLYAFLDDSFRRSLRQLVSCRSA >ENSMUSP00000001484.2 pep:known chromosome:GRCm38:11:97098071:97115331:-1 gene:ENSMUSG00000001444.2 transcript:ENSMUST00000001484.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx21 description:T-box 21 [Source:MGI Symbol;Acc:MGI:1888984] MGIVEPGCGDMLTGTEPMPSDEGRGPGADQQHRFFYPEPGAQDPTDRRAGSSLGTPYSGG ALVPAAPGRFLGSFAYPPRAQVAGFPGPGEFFPPPAGAEGYPPVDGYPAPDPRAGLYPGP REDYALPAGLEVSGKLRVALSNHLLWSKFNQHQTEMIITKQGRRMFPFLSFTVAGLEPTS HYRMFVDVVLVDQHHWRYQSGKWVQCGKAEGSMPGNRLYVHPDSPNTGAHWMRQEVSFGK LKLTNNKGASNNVTQMIVLQSLHKYQPRLHIVEVNDGEPEAACSASNTHVFTFQETQFIA VTAYQNAEITQLKIDNNPFAKGFRENFESMYASVDTSVPSPPGPNCQLLGGDPFSPLLSN QYPVPSRFYPDLPGQPKDMISQPYWLGTPREHSYEAEFRAVSMKPTLLPSAPGPTVPYYR GQDVLAPGAGWPVAPQYPPKMSPAGWFRPMRTLPMDPGLGSSEEQGSSPSLWPEVTSLQP EPSDSGLGEGDTKRRRISPYPSSGDSSSPAGAPSPFDKETEGQFYNYFPN >ENSMUSP00000082760.2 pep:known chromosome:GRCm38:7:34011921:34013876:-1 gene:ENSMUSG00000066584.10 transcript:ENSMUST00000085620.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b27 description:secretoglobin, family 2B, member 27 [Source:MGI Symbol;Acc:MGI:87863] MKGTLLLLALLVTGELGFQTTEACAPFVGAYVKILGGNRLALNAYLSMFQATAAERVAFE KIQDCFNEEPLTTKLKSPQIMMSILFSSECKAYYPEDSVNKMADMFKLDSIN >ENSMUSP00000140711.1 pep:known chromosome:GRCm38:7:34011970:34013867:-1 gene:ENSMUSG00000066584.10 transcript:ENSMUST00000185399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b27 description:secretoglobin, family 2B, member 27 [Source:MGI Symbol;Acc:MGI:87863] MKGTLLLLALLVTGELGFQTTEACAPFVGAYVKILGGNRLALNAYLSMFQATAAERVAFE KIQDCFNEEPLTTKLKSPQIMT >ENSMUSP00000049335.7 pep:known chromosome:GRCm38:5:31253277:31291107:-1 gene:ENSMUSG00000038564.10 transcript:ENSMUST00000041565.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift172 description:intraflagellar transport 172 [Source:MGI Symbol;Acc:MGI:2682064] MQLKHLRTLLSPQDGAAKVTCMAWSQNNAKFAVCTVDRVVLLYDEHGERRDKFSTKPADM KYGRKSYMVKGMAFSPDSTKIAIGQTDNIIYVYKIGEDWGDKKVICNKFIQTSAVTCLQW PAEYVIVFGLAEGKVRLANTKTNKSSTIYGTESYVVALTTNCSGKGILSGHADGTIVRYF FDDEGSGESQGKLVNHPCPPYALAWATNSIVAAGCDRRIVAYGKEGHVLQTFDYSRDPQE REFTTAAASPGGQSVVLGSYDRLRVFNWSPRRSIWEEAKPKEIANLYTVTALAWKRDGSR LCAGTLCGGVEQFDCCLRRSIYKNKFELTYVGPSQVIVKNLSSGTRVVLKSHYGYEVEEV KILGKERYLVAHTSDTLLLGDLNTNRLSEIAWQGSGGNEKYFFENENVCMIFNAGELTLV EYGSNDSLGSVRTEFMNPHLISVRINERCQRGMEDNKKLAYLVDIKTIAIVDLIGGYNIG TISHESRVDWLELNETGHKLLFRDRKLRLHLYDIESCSKTMILNFCSYVQWVPGSDVLVA QNRNSLCVWYNIEAPERVTMSSIRGDVVGLERGGGKTEVMVTEGVTTVAYTLDEGLIEFG TAIDDGNYTRATAFLETLEMTPETEAMWKTLSKLALEARQLHTAERCFSALGHVAKARFL HETNEIADQVSREYGGEGTDFYQVRARLAMLEKNYKLAEMIFLEQNAVEEAMDMYQELHR WEECIAVAEAKGHPALEKLRRDYYQWLMDTQQEERAGELQESQGDGLAAISLYLKAGLPA KAARLVLTREELLANTELVEHITTALIKGELYERAGDLFEKIRNPQRALECYCKGNAFMK AVELARLAFPVEVVRLEEAWGDHLVQQKQLDAAINHYIEARCSIKAIEAALGARQWKKAI YILDLQDRNTASKYYPRVAQHYASLQEYEIAEELYTKGDRTKDAIDMYTQAGRWEQAHKL AMKCMRPEDVSVLYITQAQEMEKQGKYREAERLYVTVEEPDLAITMFKKHKLYDDMIRLV GKHHPDLLSDTHLHLGKELEAEGRLQEAEYHYLEAQEWKATVNMYRSSGLWEEAYRVAKA HGGANAHKHVAYLWAKSLGGEAAVRLLNKLGLLEATIDHAADNCSFEFAFELSRLAFKHK APEIHLKYAMYLEDEGKFEEAEAEFIRAGKPKEAVLMFVHNQDWEAAQRVAEAHDPDSVA EVLVGQARGALEEKDFQKAEGLLLRAQRPGLALNYYKEAGLWSDALRICKDYVPGQLEAL QEEYEREATKKGGRGVEGLVEQARQWEQAGEYSRAVDCYLKVRDSGSSGLMEKCWMKAAE LSIKFLPPQRSLEVVRVVGPQLIGIGKHSAAAELYLNLDLVKEAIDAFIEGEEWNKAKRV AKELDPRYEDYVDQHYKEFLKNQGKVDSLVGVDVVAALDLYVEQGQWDKCIETATKQNYK ILHKYVALYATHLIREGGYAQALALYVQHGAPANPQNFNIYKRIFTDMVSSPGTNNAEAY HSWADLRDVLFNLCENLVKSSEANSAAHEEFEMMLLISHYYATRSAAQSIKQLETVAARL SVSLLRHTQLLPADKAFYEAGTAAKEVGWENMAFIFLNRFLDLTDAIEEGTLDALDHSDF QDTDIPFEVPLPAKQHVPEAQREEVRDWVLTVSMDQRLEQVLPRDERGVYEASLVAASTG VRALPCLITGYPILRNKIEFKRPGKAANKDNWNKFLMAIKTSHSPVCQDVLKFISQWCGG LPSTSFSFQ >ENSMUSP00000144216.1 pep:known chromosome:GRCm38:5:31266339:31280419:-1 gene:ENSMUSG00000038564.10 transcript:ENSMUST00000202585.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift172 description:intraflagellar transport 172 [Source:MGI Symbol;Acc:MGI:2682064] VDLIGGYNIGTISHESRVDWLELNETGHKLLFRDRKLRLHLYDIESCSKTMILNFCSYVQ WVPGSDVLVAQNRNSLCVMVTEGVTTVAYTLDEGLIEFGTAIDDGNYTRATAFLETLEMT PETEAMWKTLSKLALEARQLHTAERCFSALGHVAKARFLHETNEIADQVSREYGGEGTDF YQVRARLAMLEKNYKLAEMIFLEQNAVEEAMDMYQELHRWEECIAVAEAKGHPALEKLRR DYYQWLMDTQQEERAGEL >ENSMUSP00000143995.1 pep:known chromosome:GRCm38:5:31271643:31281440:-1 gene:ENSMUSG00000038564.10 transcript:ENSMUST00000202589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift172 description:intraflagellar transport 172 [Source:MGI Symbol;Acc:MGI:2682064] XEEVKILGKERYLVAHTSDTLLLGDLNTNRLSEIAWQGSGGNEKYFFENENVCMIFNAGE LTLVEYGSNDSLGSVRTEFMNPHLISVRINERCQRGMEDNKKLAYLVDIKTIAIVDLIGG YNIGTISHESRVDWLELNETGHKLLFRDRKLRLHLYDIESCSKTMILNFCSYVQWVPGSD VLVAQNRNSLCVWYNIEAPERVTMSSIRGYSLLGDPGDDSRNRGNVENLEQTGPGGKAAS HC >ENSMUSP00000144425.1 pep:known chromosome:GRCm38:5:31286105:31291116:-1 gene:ENSMUSG00000038564.10 transcript:ENSMUST00000201809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift172 description:intraflagellar transport 172 [Source:MGI Symbol;Acc:MGI:2682064] MQLKHLRTLLSPQDGAAKVTCMAWSQNNAKFAVCTVDRVVLLYDEHGERRDKFSTKPADM KEKLHGERHGFLSRFHKNCHRTD >ENSMUSP00000074788.5 pep:known chromosome:GRCm38:15:12359711:12592556:-1 gene:ENSMUSG00000022197.15 transcript:ENSMUST00000075317.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd2 description:PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1922394] MPITQDNALLHLPLLYEWLQNSLREGGDSPEQRLCQAAIQKLQEYIQLNLAVEENTVPPE HSPPEMEICTVYLTKQLGDTETVGLSFGNIPVFGDYGEKRRGGKKRKTHQGPVLDVGCIW VTELRKNSPAGKSGKVRLRDEILSLNGQLMVGVDVSGASYLAEQCWNGGFIYLIMLRRFK QKAHLTYNGNSGNSSEPGETPTLELGDQTSKKGKRTRKFGVISRPAIIKAPEDSKSNSGC DTADDPSSELENGTDSELGNGHAFELENGPNSLKDVAGPHLERSEADREAELRVPKTEAP LSDSNDKRRFSKTGKTNFQSSDSLAREEVGRIWKMELLKESDGLGIQVSGGRGSKRSPHA IVVTQVKEGGAAHRDGRLSLGDELLVINGHLLVGLSHEEAVAILRSATGMVQLVVASKES SAEDLLKLTSKSLPDLTSSVEDISSWTDNEDQEAARDDEEGTGSAAVRGTMLGSEESQDV GSSEESKGNNLESPKQGNSKMKLKSRLSGGVHRLESVEEYNELMVRNGDPRIRMLEVSRD GRKHSLPQLLDSTGTSQEYHIVKKSTRSLSTTHVESPWRLIRPSVISIIGLYKEKGKGLG FSIAGGRDCVRGQMGIFVKTIFPNGSAAEDGRLKEGDEILDVNGIPIKGLTFQEAIHTFK QIRSGLFVLTVRTKLLSPSLTPCSTPTHMSRSSSPSFNSSGGAPAGGGQDEGGSASLGRK APGPKDRIVMEVTLNKEPRVGLGIGACCLALENSPPGIYIHSLAPGSVAKMESNLSRGDQ ILEVNSVNVRHAALSKVHAILSKCPPGPVRLVIGRHPNPKVSEQEMDEVIARSTYQESKE ANSSPSLGTPLKSPSLAKKDSLLSESELSQYFVHDGQGSLSDFVVAGSEDEDHPGSGYET SEDGSLLTVPSAYRETGKARANSLVTLGSQRGSGLLHKQVTVARQASLPGSPQVLRNPLL RQRRVRCYDSNDGSDDEDFDGEGDCVSLPGVLPGPGRPLVQDDTRFALATSSKSIDVNKQ DERLQKPLVSKACSVPLLGSSLDSEHSIHGGTAGTPPKAASLPGSVETPKNGPGGSRRKE MSGSRSSPKLEYRVPADTQSPRSPENGTSPPQKTENLVSRHKPVARISPHYKRSDAEEAP SETANGPCAQDLKVQASSVKDPVAGHQPGGTAEKELRGNPTPGDSSVPTNCGPASTPCHP NTGLPTENPQGAAPECGPHPGTGWDGSSDHPPSPGKSREVRPDSSETPAVTEQVLQPESL SQPVSPGTSEPESQGVSKMKPASQRSLSPREKASALPDSSRTWAGPGDSTPSTRRAAVPM SIGETRATAIPHPSLLGQERSRDPSGPSKALETPGHCVPTSLKDRALLEETASASGKLSH AGPSVPVTTDGTLSGSTESPVTDIDKFIGEAPEAWLSQSPQRADCRTHQDIFESQPPGGA GGGSSHHGKTGGTGSPPPPQWTFQPSVLDSVHPDKQLAGNKNFLNNYSRNFSSFHEDGVS EPSPSSMYGDAEDSSSDPESLVEDPRAAARNNWPAPPSHESSRKEGSSESEDEQIEICAP GGCPETPVPAQAPAQAAGGAVPSVQQTVREPVGDACEKACFMTGASNTCIPGSSQPFSFL DVSSLEHETWAGVQTSRSHMPGCAEETLAVSSTGSVVGDSQPSQTTRPCGNPPFVLRNPD MVNGLGQDLLDEGTPDKGADASHVMSSVYALGAEGPKNGEAVLANLHIAKGGNLDGLLQK PKTTSRRPIMTWFKDINKDSQGAHLQSKSEKEQPSMLAMSPGSKGNTVNPSHRKGMAVPK SPPPRQKSQENKDLSPKSPVETLSNCQKPKGSPKLKRLNSKSKASPEAPVASPAKGGRTD HRKSLPSPQAAHKMLSKAVSHRLHIADQEEPKNTAGDTSKPPQCVPESKPPLAASGSLRT SASDTSIRTITSPLTSPKPLPEQGANNRFHMAVYLESDTSCPATSRPPRYGPEGKVPHAN SGSVSPSASRTNIALAGVRQSKQFTHSRVDLVLTEAAQPQGISEKGTEKMASDPLERTNQ LKIIEISSERMPKNACGDKPPGSDRKGGFLTQSNCQEKSSVRLRQSAESSPKQPHFPPSQ ASQGEQEMRWSYSMARLASSSPSAPQLPSKMPDYSQGKSSQMPASVGVPKNGVPVGLAGE EPPYFTPRPATRTYSMPAQFSSHFGREGLSPPSPSHSPQDPQVTAMSGSLSEKSAKGVTN EQGVYSVKPLLETLRNPPPVDVGDVSAVPEASGLIPDKLKVTRRHYYCEQSWPHESTSFF SVKQRIKSFENLANSDRPAAKSATSPFLSVSSKPPVNRRSSGSITSGRPSDGTARSLRRS LSSCSENQSEASSLLPQMTKSPSSVTLTVSRQNPPETNNKGHSPDPKKSLVPVGIPISTG SPASPIKRNKSSVRHAQPSPVSRSKLQELRALSMPDLDKLCSGEDYSASPGAVLFRTQLE ITPRRSQGSPATSPASSPARGHADVNGSAFLSCPVNGGNRVYPKGNSSPTVPTGSREWGE SLRAMPSGKSWSVNLDQLLASVGYQQRLQAVLSLVGSKSPILPLIQEAKAQSENKEDICF IVLNKKEGSGLGFSVAGGADVEPESVLVHRVFSQGVASQEGTVSQGDFLLSVNGTSLAGL SHSEVTKVLHQAELHKHVLMIIKKGNDQPRPSFRQEPPSANGKAPFPRRTLPLEPGAGRN GAAHDALCVEVLKTSAGLGLSLDGGKSSIAGDGPLVIKRVYQGGAAEQAGTIEAGDEILA INGKPLVGLVHFDAWNIMKSVPEGPVQLVIRKHRDS >ENSMUSP00000140682.1 pep:known chromosome:GRCm38:15:12407282:12549278:-1 gene:ENSMUSG00000022197.15 transcript:ENSMUST00000190929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd2 description:PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1922394] MLRRFKQKAHLTYNGNSGNSSEPGETPTLELGDQTSKKGKRTRKFGVISRPAIIKAPEDS KSNSGCDTADDPSSELENGTDSELGNGHAFELENGPNSLKDVAGPHLERSEADREAELRV PKTEAPLSDSNDKRRFSKTGKTNFQSSDSLAREEVGRIWKMELLKESDGLGIQVSGGRGS KRSPHAIVVTQVKEGGAAHRDGRLSLGDELLVINGHLLVGLSHEEAVAILRSATGMVQLV VASKMLGSEESQDVGSSEESKGNNLESPKQGNSKMKLKSRLSGGVHRLESVEEYNELMVR NGDPRIRMLEVSRDGRKHSLPQLLDSTGTSQEYHIVKKSTRSLSTTHVESPWRLIRPSVI SIIG >ENSMUSP00000139994.1 pep:known chromosome:GRCm38:15:12458106:12493559:-1 gene:ENSMUSG00000022197.15 transcript:ENSMUST00000186113.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd2 description:PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1922394] MLRRFKQKAHLTYNGNSGNSSEPGETPTLELGDQTSKKGKRTRKFGVIS >ENSMUSP00000140939.1 pep:known chromosome:GRCm38:15:12458146:12549877:-1 gene:ENSMUSG00000022197.15 transcript:ENSMUST00000189324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd2 description:PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1922394] MLRRFKQKAHLTYNGNSGNSSEPGETPTLELGDQTS >ENSMUSP00000014290.8 pep:known chromosome:GRCm38:2:22774094:22875653:1 gene:ENSMUSG00000026786.14 transcript:ENSMUST00000014290.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1ip description:amyloid beta (A4) precursor protein-binding, family B, member 1 interacting protein [Source:MGI Symbol;Acc:MGI:1861354] MGESNEDIDQMFSTLLGEMDLLTQSLGVDTLPPPDPNPPREEFNYTVGFKDLNESLNALE DQDLDALMADLVADISEAEQRTIQAQKESSQNQDRFALLRASDGQGTASGGYGASAAAID VSHHEEALPPPPVEPMLDLLPPPPPPPPPELLSKEEEEAKAKADKIKLALEKLKEAKVKK LVVKVHMDDSSTKSLMVDERQLARDVLDNLFEKTHCDCNVDWCLYEIYPELQIERVFEDH ENVVEVLSDWTRDTENKVLFLEKEERYAVFKNPQNFYLDNKGKKENKETNEKMNAKNKEY LLEESFCGTSIIVPELEGALYLKEDGKKSWKRRYFLLRASGIYYVPKGKTKTSRDLACFI QFENVNIYYGIQCKMKYKAPTDHCFVLKHPQIQKESQYIKYLCCDDARTLSQWVMGIRIA KYGKTLYDNYQRAVARAGLASRWTNLGTVGTPMPAQPSTVSSGLKTGTSQPNGQMPQAIP SAGPPLQEAQTQIETTKDEKQGLGNHSPGATRENHRPKSSLPPPPPPVRRSSDTCGSPAL PSKVKGPGTCTFPHPPENFLPPPPPPPPEEDNSGLLPPPPPPPYLEEPPDFVPPPPPPAA VEDSALPPPPPPPPCLSQEITKSSPLPPKKPLVPPKRQENQGLPGAPGNSEQDFMSDLMK ALQKKRGNIP >ENSMUSP00000125690.1 pep:known chromosome:GRCm38:8:85840991:85937046:1 gene:ENSMUSG00000036879.15 transcript:ENSMUST00000160611.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phkb description:phosphorylase kinase beta [Source:MGI Symbol;Acc:MGI:97578] MANSPDAAFSSPALLRSGSVYEPLKSINLPRPDNETLWDKLDHYYRIVKSTMLMYQSPTT GLFPTKTCGGEEKSKVHESLYCAAGAWALALAYRRIDDDKGRTHELEHSAIKCMRGILYC YMRQADKVQQFKQDPRPTTCLHSVFSVHTGDELLSYEEYGHLQILYFLK >ENSMUSP00000050788.7 pep:known chromosome:GRCm38:8:85841002:86061376:1 gene:ENSMUSG00000036879.15 transcript:ENSMUST00000053771.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phkb description:phosphorylase kinase beta [Source:MGI Symbol;Acc:MGI:97578] MANSPDAAFSSPALLRSGSVYEPLKSINLPRPDNETLWDKLDHYYRIVKSTMLMYQSPTT GLFPTKTCGGEEKSKVHESLYCAAGAWALALAYRRIDDDKGRTHELEHSAIKCMRGILYC YMRQADKVQQFKQDPRPTTCLHSVFSVHTGDELLSYEEYGHLQINAVSLFLLYLVEMISS GLQIIYNTDEVSFIQNLVFCVERVYRVPDFGVWERGSKYNNGSTELHSSSVGLAKAALEA INGFNLFGNQGCSWSVIFVDLDAHNRNRQTLCSLLPRESRSHNTDAALLPCISYPAFALD DEALFSQTLDKVIRKLKGKYGFKRFLRDGYRTPLEDPNRRYYKPAEIKLFDGIECEFPIF FLYMMIDGVFRGNLEQVKEYQDLLTPLLHQTTEGYPVVPKYYYVPADFVECEKRNPGSQK RFPSNCGRDGKLFLWGQALYIIAKLLADELISPKDIDPVQRFVPLQNQRNVSMRYSNQGP LENDLVVHVALVAESQRLQVFLNTYGIQTQTPQQVEPIQIWPQQELVKAYFHLGINEKLG LSGRPDRPIGCLGTSKIYRILGKTVVCYPIIFDLSDFYMSQDVLLLIDDIKNALQFIKQY WKMHGRPLFLVLIREDNIRGSRFNPILDMLAAFKKGIIGGVKVHVDRLQTLISGAVVEQL DFLRISDTEKLPEFKSFEELEFPKHSKVKRQSSTADAPEAQHEPGITITEWKNKSTHEIL QKLNDCGCLAGQTILLGILLKREGPNFITMEGTVSDHIERVYRRAGSKKLWSVVRRAASL LNKVVDSLAPSITNVLVQGKQVTLGAFGHEEEVISNPLSPRVIKNIIYYKCNTHDEREAV IQQELVIHIGWIISNSPELFSGMLKIRIGWIIHAMEYELQVRGGDKPAVDLYQLSPSEVK QLLLDILQPQQSGRCWLNRRQIDGSLNRTPPEFYDRVWQILERTPNGIVVAGKHLPQQPT LSDMTMYEMNFSLLVEDMLGNIDQPKYRQIIVELLMVVSIVLERNPELEFQDKVDLDRLV KEAFHEFQKDESRLKEIEKQDDMTSFYNTPPLGKRGTCSYLTKVVMNSLLEGEVKPSNED SCLVS >ENSMUSP00000124939.1 pep:known chromosome:GRCm38:8:85841016:85922150:1 gene:ENSMUSG00000036879.15 transcript:ENSMUST00000161850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phkb description:phosphorylase kinase beta [Source:MGI Symbol;Acc:MGI:97578] MAGAEGLMAEVSWKLLERRARAKRSGSVYEPLKSINLPRPDNETLWDKLDHYYRIVKSTM LMYQSPTTGLFPTKTCGGEEKSKVHESLYCAAGAWALALAYRRIDDDKGRTHELEHSAIK CMRGILYCYMRQADKVQQFKQDPRPTTCLHSVFSVHTGDELLSYEEYGHLQINAVSLFLL YLVEMISSGLQIIYNTDEVSFIQNLV >ENSMUSP00000125197.1 pep:known chromosome:GRCm38:8:85842787:85896537:1 gene:ENSMUSG00000036879.15 transcript:ENSMUST00000162464.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phkb description:phosphorylase kinase beta [Source:MGI Symbol;Acc:MGI:97578] MANSPDAAFSSPALLRSGSVYEPLKSINLPRPDNETLWDKLDHYYRIVKSTMLMYQSPTT GLFPTKTCGGEEKSKVHESLYCAAGAWALALAYRRIDDDKGRTHELEHSAIKCMRGILYC YMRQADKVQQFKQDPRPTTCLHSVFSVHTGDELLSYEEYGHLQ >ENSMUSP00000124522.1 pep:known chromosome:GRCm38:8:85843051:85879812:1 gene:ENSMUSG00000036879.15 transcript:ENSMUST00000162981.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phkb description:phosphorylase kinase beta [Source:MGI Symbol;Acc:MGI:97578] MANSPDAAFSSPALLRSGLKSCVPKFLINVCSPFLSWLSL >ENSMUSP00000125051.1 pep:known chromosome:GRCm38:8:86017432:86030391:1 gene:ENSMUSG00000036879.15 transcript:ENSMUST00000160731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phkb description:phosphorylase kinase beta [Source:MGI Symbol;Acc:MGI:97578] XIFDLSDFYMSQDVLLLIDDIKNALQFIKQYWKMHGRPLFLVLIREDNIRGSRFNPILDM LAAFKKGIIGGVKVHVDRLQTLISGAVVEQLDFLRISDTEKLPEFKSFEELEFPKHSKVK RQSSTADAPEAQHEPGITITEWKNKSTHEILQKLNDCGCLAGQTILLGILLKREGPNFIT MEGTVSDHIERVYRRAGSKKLWSVVRRAASLLNKVVDSLAPSITNVLVQGKQVTLGAFGH EEEVISNPLSPRVIKNIIYYKCNTHDEREAVIQQELVIHIGWIISNSPELFSGMLKIRIG FGQEIERCQLSKHP >ENSMUSP00000061401.2 pep:known chromosome:GRCm38:4:107096891:107107748:1 gene:ENSMUSG00000047636.2 transcript:ENSMUST00000062495.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdcp2 description:CUB domain containing protein 2 [Source:MGI Symbol;Acc:MGI:3045328] MLAELGACLLLAMVLLDSDPGTQAMEGVKCGGVLSAPSGNFSSPNFPSLYPYNTECSWLI VVAEGSSVLLTFHAFDLEYHDTCGFDFLEIYNGASGDKGNLLGRFCGQVPPPPFTSSWHV MSVVFHSDKHVASRGFSAGYQKDVCGGVLTGLSGVLSSPEYPNNYPNNVECHWLIRASGP AAVKLVFVDFQVEGSDECMYDYVAVLGAPGPAHGHHYCGRTRPPTFVSLGHELQVVFKSD FNIGGRGFKAHYFSGECQEVFTAVRGNFSSPQYPGAYPNNIRCHWTIRLPPGYRVKVFIL DLGLEEPNSLTRTCDFDHLAAFDGASEEAQLLGKWCGHHLPPPVTSSRNQVLLLLHTDRS TSGRGFSVAYIGGQLGVCPWELCGPFLCPLRHSPSTDSSRPHSVAYPGDLGGHSSTALIY FHCHLFS >ENSMUSP00000069231.5 pep:known chromosome:GRCm38:2:52038009:52056686:1 gene:ENSMUSG00000053475.5 transcript:ENSMUST00000065927.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip6 description:tumor necrosis factor alpha induced protein 6 [Source:MGI Symbol;Acc:MGI:1195266] MVVLLCLCVLLWEEAHGWGFKNGIFHNSIWLEQAAGVYHREARAGRYKLTYAEAKAVCEF EGGRLATYKQLEAARKIGFHVCAAGWMAKGRVGYPIVKPGPNCGFGKTGIIDYGIRLNRS ERWDAYCYNPHAKECGGVFTDPKRIFKSPGFPNEYDDNQVCYWHIRLKYGQRIHLSFLDF DLEHDPGCLADYVEIYDSYDDVHGFVGRYCGDELPEDIISTGNVMTLKFLSDASVTAGGF QIKYVTVDPASKSSQAKNTSTTGNKKFLPGRFSHL >ENSMUSP00000111064.2 pep:known chromosome:GRCm38:6:18170687:18322767:1 gene:ENSMUSG00000041301.15 transcript:ENSMUST00000115405.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cftr description:cystic fibrosis transmembrane conductance regulator [Source:MGI Symbol;Acc:MGI:88388] MQKSPLEKASFISKLFFSWTTPILRKGYRHHLELSDIYQAPSADSADHLSEKLEREWDRE QASKKNPQLIHALRRCFFWRFLFYGILLYLGEVTKAVQPVLLGRIIASYDPENKVERSIA IYLGIGLCLLFIVRTLLLHPAIFGLHRIGMQMRTAMFSLIYKKTLKLSSRVLDKISIGQL VSLLSNNLNKFDEGLALAHFIWIAPLQVTLLMGLLWDLLQFSAFCGLGLLIILVIFQAIL GKMMVKYRDQRAAKINERLVITSEIIDNIYSVKAYCWESAMEKMIENLREVELKMTRKAA YMRFFTSSAFFFSGFFVVFLSVLPYTVINGIVLRKIFTTISFCIVLRMSVTRQFPTAVQI WYDSFGMIRKIQDFLQKQEYKVLEYNLMTTGIIMENVTAFWEEGFGELLEKVQQSNGDRK HSSDENNVSFSHLCLVGNPVLKNINLNIEKGEMLAITGSTGSGKTSLLMLILGELEASEG IIKHSGRVSFCSQFSWIMPGTIKENIIFGVSYDEYRYKSVVKACQLQQDITKFAEQDNTV LGEGGVTLSGGQRARISLARQRTRSPYLEIRIFLCLFLYTRMKVCATTPEQYIKMLICTY >ENSMUSP00000116957.1 pep:known chromosome:GRCm38:6:18170687:18322767:1 gene:ENSMUSG00000041301.15 transcript:ENSMUST00000140407.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cftr description:cystic fibrosis transmembrane conductance regulator [Source:MGI Symbol;Acc:MGI:88388] MQKSPLEKASFISKLFFSWTTPILRKGYRHHLELSDIYQAPSADSADHLSEKLEREWDRE QASKKNPQLIHALRRCFFWRFLFYGILLYLGEVTKAVQPVLLGRIIASYDPENKVERSIA IYLGIGLCLLFIVRTLLLHPAIFGLHRIGMQMRTAMFSLIYKKTLKLSSRVLDKISIGQL VSLLSNNLNKFDEGLALAHFIWIAPLQVTLLMGLLWDLLQFSAFCGLGLLIILVIFQAIL GKMMVKYRDQRAAKINERLVITSEIIDNIYSVKAYCWESAMEKMIENLREVELKMTRKAA YMRFFTSSAFFFSGFFVVFLSVLPYTVINGIVLRKIFTTISFCIVLRMSVTRQFPTAVQI WYDSFGMIRKIQDFLQKQEYKVLEYNLMTTGIIMENVTAFWEEGFGELLEKVQQSNGDRK HSSDENNVSFSHLCLVGNPVLKNINLNIEKGEMLAITGSTGSGKTSLLMLILGELEASEG IIKHSGRVSFCSQFSWIMPGTIKENIIFGVSYDEYRYKSVVKACQLQQDITKFAEQDNTV LGEGGVTLSGGQRARISLARFLICLQTKDKVSLFRN >ENSMUSP00000049228.5 pep:known chromosome:GRCm38:6:18170687:18322768:1 gene:ENSMUSG00000041301.15 transcript:ENSMUST00000045706.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cftr description:cystic fibrosis transmembrane conductance regulator [Source:MGI Symbol;Acc:MGI:88388] MQKSPLEKASFISKLFFSWTTPILRKGYRHHLELSDIYQAPSADSADHLSEKLEREWDRE QASKKNPQLIHALRRCFFWRFLFYGILLYLGEVTKAVQPVLLGRIIASYDPENKVERSIA IYLGIGLCLLFIVRTLLLHPAIFGLHRIGMQMRTAMFSLIYKKTLKLSSRVLDKISIGQL VSLLSNNLNKFDEGLALAHFIWIAPLQVTLLMGLLWDLLQFSAFCGLGLLIILVIFQAIL GKMMVKYRDQRAAKINERLVITSEIIDNIYSVKAYCWESAMEKMIENLREVELKMTRKAA YMRFFTSSAFFFSGFFVVFLSVLPYTVINGIVLRKIFTTISFCIVLRMSVTRQFPTAVQI WYDSFGMIRKIQDFLQKQEYKVLEYNLMTTGIIMENVTAFWEEGFGELLEKVQQSNGDRK HSSDENNVSFSHLCLVGNPVLKNINLNIEKGEMLAITGSTGSGKTSLLMLILGELEASEG IIKHSGRVSFCSQFSWIMPGTIKENIIFGVSYDEYRYKSVVKACQLQQDITKFAEQDNTV LGEGGVTLSGGQRARISLARAVYKDADLYLLDSPFGYLDVFTEEQVFESCVCKLMANKTR ILVTSKMEHLRKADKILILHQGSSYFYGTFSELQSLRPDFSSKLMGYDTFDQFTEERRSS ILTETLRRFSVDDSSAPWSKPKQSFRQTGEVGEKRKNSILNSFSSVRKISIVQKTPLCID GESDDLQEKRLSLVPDSEQGEAALPRSNMIATGPTFPGRRRQSVLDLMTFTPNSGSSNLQ RTRTSIRKISLVPQISLNEVDVYSRRLSQDSTLNITEEINEEDLKECFLDDVIKIPPVTT WNTYLRYFTLHKGLLLVLIWCVLVFLVEVAASLFVLWLLKNNPVNSGNNGTKISNSSYVV IITSTSFYYIFYIYVGVADTLLALSLFRGLPLVHTLITASKILHRKMLHSILHAPMSTIS KLKAGGILNRFSKDIAILDDFLPLTIFDFIQLVFIVIGAIIVVSALQPYIFLATVPGLVV FILLRAYFLHTAQQLKQLESEGRSPIFTHLVTSLKGLWTLRAFRRQTYFETLFHKALNLH TANWFMYLATLRWFQMRIDMIFVLFFIVVTFISILTTGEGEGTAGIILTLAMNIMSTLQW AVNSSIDTDSLMRSVSRVFKFIDIQTEESMYTQIIKELPREGSSDVLVIKNEHVKKSDIW PSGGEMVVKDLTVKYMDDGNAVLENISFSISPGQRVGLLGRTGSGKSTLLSAFLRMLNIK GDIEIDGVSWNSVTLQEWRKAFGVITQKVFIFSGTFRQNLDPNGKWKDEEIWKVADEVGL KSVIEQFPGQLNFTLVDGGYVLSHGHKQLMCLARSVLSKAKIILLDEPSAHLDPITYQVI RRVLKQAFAGCTVILCEHRIEAMLDCQRFLVIEESNVWQYDSLQALLSEKSIFQQAISSS EKMRFFQGRHSSKHKPRTQITALKEETEEEVQETRL >ENSMUSP00000115334.2 pep:known chromosome:GRCm38:6:18170771:18236655:1 gene:ENSMUSG00000041301.15 transcript:ENSMUST00000129452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cftr description:cystic fibrosis transmembrane conductance regulator [Source:MGI Symbol;Acc:MGI:88388] MQKSPLEKASFISKLFFSWTTPILRKGYRHHLELSDIYQAPSADSADHLSEKLEREWDRE QASKKNPQLIHALRRCFFWRFLFYGILLYLGEVTKAVQPVLLGRIIASYDPENKVERSIA IYLGIGLCLLFIVRTLLLHPAIFGLHRIGMQMRTAMFSLIYKKTLKLSSRVLDKISIGQL VSLLSNNLNKFDEGLALAHFIWIAPLQVTLLMGLLWDLLQFSAFCGLGLLIILVIFQAIL GKMMVKYRDQRAAKINERLVITSEIIDNIYSVKAYCWESAMEKMIENLREVELKMTRKAA YMRFFTSSAFFFSGFFVVFLSVLPYTVINGIVLRKIFTTISFCIVLRMSVTRQFPTAVQI WYDSFGMIRKIQDFLQKQEYKVLEYNLMTTGIIMENVTAFWEEGFGELLEKVQQSNGDRK HSSDENNVSFSHLCLVGNPVLKNINLNIEKGEMLAITGSTGSGKTSLLMLILGELEASEG IIKHSGRVSFCSQFSWIMPGTIKENIIFGVSYDEYRYKSVVKACQLQQG >ENSMUSP00000111065.1 pep:known chromosome:GRCm38:6:18170824:18321033:1 gene:ENSMUSG00000041301.15 transcript:ENSMUST00000115406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cftr description:cystic fibrosis transmembrane conductance regulator [Source:MGI Symbol;Acc:MGI:88388] MQKSPLEKASFISKLFFSWTTPILRKGYRHHLELSDIYQAPSADSADHLSEKLEREWDRE QASKKNPQLIHALRRCFFWRFLFYGILLYLGEVTKAVQPVLLGRIIASYDPENKVERSIA IYLGIGLCLLFIVRTLLLHPAIFGLHRIGMQMRTAMFSLIYKKGLALAHFIWIAPLQVTL LMGLLWDLLQFSAFCGLGLLIILVIFQAILGKMMVKYRDQRAAKINERLVITSEIIDNIY SVKAYCWESAMEKMIENLREVELKMTRKAAYMRFFTSSAFFFSGFFVVFLSVLPYTVING IVLRKIFTTISFCIVLRMSVTRQFPTAVQIWYDSFGMIRKIQDFLQKQEYKVLEYNLMTT GIIMENVTAFWEEGFGELLEKVQQSNGDRKHSSDENNVSFSHLCLVGNPVLKNINLNIEK GEMLAITGSTGSGKTSLLMLILGELEASEGIIKHSGRVSFCSQFSWIMPGTIKENIIFGV SYDEYRYKSVVKACQLQQDITKFAEQDNTVLGEGGVTLSGGQRARISLARAVYKDADLYL LDSPFGYLDVFTEEQVFESCVCKLMANKTRILVTSKMEHLRKADKILILHQGSSYFYGTF SELQSLRPDFSSKLMGYDTFDQFTEERRSSILTETLRRFSVDDSSAPWSKPKQSFRQTGE VGEKRKNSILNSFSSVRKISIVQKTPLCIDGESDDLQEKRLSLVPDSEQGEAALPRSNMI ATGPTFPGRRRQSVLDLMTFTPNSGSSNLQRTRTSIRKISLVPQISLNEVDVYSRRLSQD STLNITEEINEEDLKECFLDDVIKIPPVTTWNTYLRYFTLHKGLLLVLIWCVLVFLVEVA ASLFVLWLLKNNPVNSGNNGTKISNSSYVVIITSTSFYYIFYIYVGVADTLLALSLFRGL PLVHTLITASKILHRKMLHSILHAPMSTISKLKAGGILNRFSKDIAILDDFLPLTIFDFI QLVFIVIGAIIVVSALQPYIFLATVPGLVVFILLRAYFLHTAQQLKQLESEGRSPIFTHL VTSLKGLWTLRAFRRQTYFETLFHKALNLHTANWFMYLATLRWFQMRIDMIFVLFFIVVT FISILTTGEGEGTAGIILTLAMNIMSTLQWAVNSSIDTDSLMRSVSRVFKFIDIQTEESM YTQIIKELPREGSSDVLVIKNEHVKKSDIWPSGGEMVVKDLTVKYMDDGNAVLENISFSI SPGQRVGLLGRTGSGKSTLLSAFLRMLNIKGDIEIDGVSWNSVTLQEWRKAFGVITQKVF IFSGTFRQNLDPNGKWKDEEIWKVADEVGLKSVIEQFPGQLNFTLVDGGYVLSHGHKQLM CLARSVLSKAKIILLDEPSAHLDPITYQVIRRVLKQAFAGCTVILCEHRIEAMLDCQRFL VIEESNVWQYDSLQALLSEKSIFQQAISSSEKMRFFQGRHSSKHKPRTQITALKEETEEE VQETRL >ENSMUSP00000058761.5 pep:known chromosome:GRCm38:2:38931978:38963753:1 gene:ENSMUSG00000046618.7 transcript:ENSMUST00000057279.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfml2a description:olfactomedin-like 2A [Source:MGI Symbol;Acc:MGI:2444741] MEAAAVLPRYLQLRLLLVLLLLVLLRAGPVWPDSKVFSDLDQVRMTSEGSDCRCKCIMRP LSKDACSRVRSGRARVEDFYTVETVSSGADCRCSCTAPPSSLNPCENEWKMEKLKKQAPE LLKLQSMVDLLEGALYSMDLMKVHAYIQKVASQMNTLEESIKANLSLENKVVKDSVHHLS EQLKSYENQSAIMMSIKKELSSLGLQLLQRDAAAVPATAPASSPDSKAQDTAGGQGRDLN KYGSIQKSFSDKGLAKPPKEKLLKVEKLRKESIKGRIPQPTARPRALAQQQAVIRGFTYY KAGRQEARQEARQEAPKAAADSTLKGTSWLEKLPPKIEAKLPEPNSAKHDDVRLQASEGG NLTPDITTTTTSTSSSTTTTTGTTSTTSTTSTTSTTTPSPITTPWPTEPPLHPEVPSQGR EDSCEGTLRAVDPPVKHHSYGRHEGAWMKDPAALDDRIYVTNYYYGNSLVEFRNLENFKQ GRWSNMYKLPYNWIGTGHVVYQGAFYYNRAFTKNIIKYDLRQRFVASWALLPDVVYEDTT PWKWRGHSDIDFAVDESGLWVIYPAVDEHDETQHEVIVLSRLDPADLSVHRETTWKTRLR RNSYGNCFLVCGILYTVDTYNQHEGQVAYAFDTHTGTDAHPQLPFLNEYSYTTQVDYNPK ERVLYAWDNGHQLTYTLHFVV >ENSMUSP00000037594.6 pep:known chromosome:GRCm38:X:78369643:78371128:1 gene:ENSMUSG00000035651.7 transcript:ENSMUST00000035626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930480E11Rik description:RIKEN cDNA 4930480E11 gene [Source:MGI Symbol;Acc:MGI:1922160] MGDQRLPENQLPRLPRCKPVLKHKTEQQTSTPFMEDHHQHFSQEELDDFTTDYEPHEEIS SQTIQGTVFPRISHKLSSTATKMNQRKLPKDLGLFSSISMGQQGIRRPQYKLDHLSQMED DAEEYSINLKEQPKCDQNLKKWPSFKNKMKVNKNSKHGKKLHNLHPPENSRLGQKKFLPT HTSRNKNLLDPLYYRCTQRGGDNTHELNEAMGNLDVLQQFEMDYANQPTYDEFSDKIISL PPSKLKYFRGLTKENGIKVCKQISKFDMKVQKPHDLSLSTKEKFKHGPSYQKVKQLKTQA VKEPLNDPKSLPEIEGKNVCKPDVLENLYGAIAFKDFIVHKGYDMPGILQKLFMKKGWNY NSVNTPIPSVLKYHEMIQKKMDDEDDENSGNELY >ENSMUSP00000032994.8 pep:known chromosome:GRCm38:7:126370060:126377450:-1 gene:ENSMUSG00000030741.15 transcript:ENSMUST00000032994.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spns1 description:spinster homolog 1 [Source:MGI Symbol;Acc:MGI:1920908] MAGSDTAPFLSQADDPDDGPAPGHPGLPGPMGNPKSGELEVPDCEGLQRITGLSRGHSTL IVVVLCYINLLNYMDRFTVAGVLTDIEQFFNIGDGSTGLIQTVFISSYMVLAPVFGYLGD RYNRKYLMCGGIAFWSLVTLGSSFIPREHFWLLLLTRGLVGVGEASYSTIAPTLIADLFV ADQRSRMLSIFYFAIPVGSGLGYIAGSKVKDVAGDWHWALRVTPGLGVLAVLLLFLVVQE PPRGAVERHSGSPPLSPTSWWADLKALARNPSFVLSSLGFTSVAFVTGSLALWAPAFLLR SRVVLGETPPCLPGDSCSSSDSLIFGLITCLTGVLGVGLGVEISRRLRRFNPRADPLVCA AGLLGSAPFLFLALACARGSIVATYIFIFIGETLLSMNWAIVADILLYVVIPTRRSTAEA FQIVLSHLLGDAGSPYLIGLISDRLRRSWPPSFLSEFRALQFSLMLCAFVGALGGAAFLG TAMFIEDDRRRAQLHVQGLLHESGPSDDRIVVPQRGRSTRVPVSSVLI >ENSMUSP00000112954.1 pep:known chromosome:GRCm38:7:126370067:126377411:-1 gene:ENSMUSG00000030741.15 transcript:ENSMUST00000119846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spns1 description:spinster homolog 1 [Source:MGI Symbol;Acc:MGI:1920908] MAGSDTAPFLSQADDPDDGPAPGHPGLPGPMGNPKSGELEVPDCEGLQRITGLSRGHSTL IVVVLCYINLLNYMDRFTVAGVLTDIEQFFNIGDGSTGLIQTVFISSYMVLAPVFGYLGD RYNRKYLMCGGIAFWSLVTLGSSFIPREHFWLLLLTRGLVGVGEASYSTIAPTLIADLFV ADQRSRMLSIFYFAIPVGSGLGYIAGSKVKDVAGDWHWALRVTPGLGVLAVLLLFLVVQE PPRGAVERHSGSPPLSPTSWWADLKALARNLIFGLITCLTGVLGVGLGVEISRRLRRFNP RADPLVCAAGLLGSAPFLFLALACARGSIVATYIFIFIGETLLSMNWAIVADILLYVVIP TRRSTAEAFQIVLSHLLGDAGSPYLIGLISDRLRRSWPPSFLSEFRALQFSLMLCAFVGA LGGAAFLGTAMFIEDDRRRAQLHVQGLLHESGPSDDRIVVPQRGRSTRVPVSSVLI >ENSMUSP00000117803.1 pep:known chromosome:GRCm38:7:126370067:126377411:-1 gene:ENSMUSG00000030741.15 transcript:ENSMUST00000138141.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spns1 description:spinster homolog 1 [Source:MGI Symbol;Acc:MGI:1920908] MAGSDTAPFLSQADDPDDGPAPGHPGLPGPMGNPKSGELEVPDCEGLQRITGLSRGHSTL IVVVLCYINLLNYMDRFTVAGVLTDIEQFFNIGDGSTGLIQTVFISSYMVLAPVFGYLGD RYNRKYLMCGGIAFWSLVTLGSSFIPREMRKVRLREVKLFSQSHS >ENSMUSP00000112555.1 pep:known chromosome:GRCm38:7:126370067:126377411:-1 gene:ENSMUSG00000030741.15 transcript:ENSMUST00000119754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spns1 description:spinster homolog 1 [Source:MGI Symbol;Acc:MGI:1920908] MAGSDTAPFLSQADDPDDGPAPGHPGLPGPMGNPKSGELEVPDCEGLQRITGLSRGHSTL IVVVLCYINLLNYMDRFTVAGVLTDIEQFFNIGDGSTGLIQTVFISSYMVLAPVFGYLGD RYNRKYLMCGGIAFWSLVTLGSSFIPREHFWLLLLTRGLVGVGEASYSTIAPTLIADLFV ADQRSRMLSIFYFAIPVGSGLGYIAGSKVKDVAGDWHWALRVTPGLGVLAVLLLFLVVQE PPRGAVERHSGSPPLSPTSWWADLKALARNPSFVLSSLGFTSVAFVTGSLALWAPAFLLR SRVVLGETPPCLPGDSCSSSDSLIFGLITCLTGVLGVGLGVEISRRLRRFNPRADPLVCA AGLLGSAPFLFLALACARGSIVATYIFIFIGETLLSMNWAIVADILLYVVIPTRRSTAEA FQIVLSHLLGDAGSPYLIGLVCCMSLGPQMTGL >ENSMUSP00000146172.1 pep:known chromosome:GRCm38:7:126370735:126377029:-1 gene:ENSMUSG00000030741.15 transcript:ENSMUST00000205930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spns1 description:spinster homolog 1 [Source:MGI Symbol;Acc:MGI:1920908] DDGPAPGHPGLPGPMGNPKSGELEVPDCEGLQRITGLSRGHSTLIVVVLCYINLLNYMDR FTVAGVLTDIEQFFNIGDGSTGLIQTVFISSYMVLAPVFGYLGDRYNRKYLMCGGIAFWS LVTLGSSFIPREHFWLLLLTRGLVGVGEASYSTIAPTLIADLFVADQRSRMLSIFYFAIP VGSGLGYIAGSKVKDVAGDWHWALRISDRLRRSWPPSFLSEFRALQFSLMLCAFVGALGG AAFLGTAMFIEDDRRRAQLHVQ >ENSMUSP00000145761.1 pep:known chromosome:GRCm38:7:126371580:126377095:-1 gene:ENSMUSG00000030741.15 transcript:ENSMUST00000205366.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spns1 description:spinster homolog 1 [Source:MGI Symbol;Acc:MGI:1920908] MAGSDTAPFLSQADDPDDGPAPGHPGLPGPMGNPKSGELEVPDCEGLQRITGLSRGHSTL IVVVLCYINLLNYMDRFTVAGVLTDIEQFFNIGDGSTGLIQTVFISSYMVLAPVFGYLGD RYNRKYLMCGGIAFWSLVTLGSSFIPRETSGVGCSVSSTLPSLWAVV >ENSMUSP00000115152.1 pep:known chromosome:GRCm38:7:126372745:126377207:-1 gene:ENSMUSG00000030741.15 transcript:ENSMUST00000150476.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spns1 description:spinster homolog 1 [Source:MGI Symbol;Acc:MGI:1920908] MGNPKSGELEVPDCEGLQRITGLSRGHSTLIVVVLCYINLLNYMDRFTVAGVLTDIEQFF NIGDGSTGLIQTVFISSYMVLAPVFGYLGDRYNRKYLMCGGIAFWSLVTLGSSFIPREHF WLLLLTRGLVGVGEASYSTIAPTLIADLFVADQRSRMLSIFYFAIPVGSGLGYIAGSKVK DVAGDWHWALRVTPGLGVLAVLLLFLVVQEPPRGAVERHSGSPPLSPTSWWADLKALAR >ENSMUSP00000140600.1 pep:known chromosome:GRCm38:Y:725128:797405:-1 gene:ENSMUSG00000053211.10 transcript:ENSMUST00000189888.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfy1 description:zinc finger protein 1, Y-linked [Source:MGI Symbol;Acc:MGI:99212] MDEDEIELTPEEEKSFFDGIGADAVHMDSDQIVVEVQETVFLANSDVTVHNFVPDNPGSV IIQDVIENVLIEDVHCSHILEETDISDNVIIPEQVLNLGTAEEVSLAQFLIPDILTSGIT STSLTMPEHVLMSEAIHVSDVGHFEQVIHDSLVETEVITDPITADTSDILVADCVSEAVL DSSGMPLEQQDNDKINCEDYLMMSLDEPSKADLEGSSEVTMNAESGTDSSKLDEASPEVI KVCILKADSEVDELGETIHAVESETKNGNEAEVTDQSTSIRVPRVNIYMSASDSQKEEED TEVIVGDEDAGGTAADTPEHEQQMDVSEIKAAFLPIAWTAAYDNNSDEIEDQNVTASALL NQDESGGLDRVPKQKSKKKKRPESKQYQSAIFVAPDGQTLRVYPCMFCGKKFKTKRFLKR HTKNHPEYLANKKYHCTECDYSTNKKISLHNHMESHKLTIKTEKTTECDDCRKNLSHAGT LCTHKTMHTEKGVNKTCKCKFCDYETAEQTLLNHHLLVVHRKKFPHICGECGKGFRHPSA LKKHIRVHTGEKPYECQYCEYKSADSSNLKTHIKSKHSKEIPLKCGICLLTFSDNKEAQQ HAVLHQESRTHQCSHCNHKSSNSSDLKRHIISVHTKAYPHKCDMCSKGFHRPSELKKHVA THKSKKMHQCRHCDFNSPDPFLLSHHILSAHTKNVPFKCKRCKKEFQQQCELQTHMKTHS SRKVYQCEYCEYSTKDASGFKRHVISIHTKDYPHSCDFCKKGFRRPSEKNQHIMRHHKVG LP >ENSMUSP00000069364.4 pep:known chromosome:GRCm38:Y:725209:797409:-1 gene:ENSMUSG00000053211.10 transcript:ENSMUST00000065545.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfy1 description:zinc finger protein 1, Y-linked [Source:MGI Symbol;Acc:MGI:99212] MDEDEIELTPEEEKSFFDGIGADAVHMDSDQIVVEVQETVFLANSDVTVHNFVPDNPGSV IIQDVIENVLIEDVHCSHILEETDISDNVIIPEQVLNLGTAEEVSLAQFLIPDILTSGIT STSLTMPEHVLMSEAIHVSDVGHFEQVIHDSLVETEVITDPITADTSDILVADCVSEAVL DSSGMPLEQQDNDKINCEDYLMMSLDEPSKADLEGSSEVTMNAESGTDSSKLDEASPEVI KVCILKADSEVDELGETIHAVESETKNGNEAEVTDQSTSIRVPRVNIYMSASDSQKEEED TEVIVGDEDAGGTAADTPEHEQQMDVSEIKAAFLPIAWTAAYDNNSDEIEDQNVTASALL NQDESGGLDRVPKQKSKKKKRPESKQYQSAIFVAPDGQTLRVYPCMFCGKKFKTKRFLKR HTKNHPEYLANKKYHCTECDYSTNKKISLHNHMESHKLTIKTEKTTECDDCRKNLSHAGT LCTHKTMHTEKGVNKTCKCKFCDYETAEQTLLNHHLLVVHRKKFPHICGECGKGFRHPSA LKKHIRVHTGEKPYECQYCEYKSADSSNLKTHIKSKHSKEIPLKCGICLLTFSDNKEAQQ HAVLHQESRTHQCSHCNHKSSNSSDLKRHIISVHTKAYPHKCDMCSKGFHRPSELKKHVA THKSKKMHQCRHCDFNSPDPFLLSHHILSAHTKNVPFKCKRCKKEFQQQCELQTHMKTHS SRKVYQCEYCEYSTKDASGFKRHVISIHTKDYPHSCDFCKKGFRRPSEKNQHIMRHHKVG LP >ENSMUSP00000086412.4 pep:known chromosome:GRCm38:6:113697050:113711069:1 gene:ENSMUSG00000056952.13 transcript:ENSMUST00000089018.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tatdn2 description:TatD DNase domain containing 2 [Source:MGI Symbol;Acc:MGI:3576210] MASERRKVKYHWGSTSEGCPSKRSRPREPGNVAPSSRPGRRCASRPRGASSPQRLKVRRG DDVARAPRSSVRRRTSSSSSSSSLSSGSGVGEAASPGFLIASPRRLFTSKGSPLHPAHSS LEEMASLRKEACSVKVDSKDSSCRSTNSEFATEAEGQSDAMEEPNKFQKRKKDRPQDQGS RMIYLKAIQGILGKSMPKKKGEAATTQGKASLGDCPNQEGPSRKVEGPSKKVEGPARSRD GPSRSGEGRSRSREELVKSVEEGPAAKVTVTVSQKEESHTKHTDREVVMEHPSSGSDWSD VDELATVRFSQEEPVPLKYSAVSESSSFPTDCVMYPPHLYSSPWCDYASYWTSSHKTPGY PLVGSSNHDSAQAGKSSQDLLSVHSSKSQSISKGLDIAQEGRFQNSHSLRFSRSTEEEEV KGKRTFQEETPLHHTREHTSSSLPRSHRELSLEEGFIDTHCHLDMLYSKLSFKGTFTKFR KIYSSSFPKEFQGCISDFCDPRTLTDGLWEELLKEDLVWGAFGCHPHFARYYNESQERKL LHALRHPKAVAFGEMGLDYSHKCTTPVPEQHQVFERQLKLAVSLKKPLVIHCREADEDLL DIMRKFVPSDYKIHRHCFTGSYSVIEPLLKYFPNMSVGFTAVLTYSSAWEARDALRQIPL ERIIVETDAPYFLPRQVPRSLCQYAHPGLALHTVREIARVKEESLSHTLSVLRENTCRLY SL >ENSMUSP00000145308.1 pep:known chromosome:GRCm38:6:113697564:113710949:1 gene:ENSMUSG00000056952.13 transcript:ENSMUST00000204753.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tatdn2 description:TatD DNase domain containing 2 [Source:MGI Symbol;Acc:MGI:3576210] MASERRKVKYHWGSTSEGCPSKRSRPREPGNVAPSSRPGRRCASRPRGASSPQRLKVRRG DDVARAPRSSVRRRTSSSSSSSSLSSGSGVGEAASPGFLIASPRRLFTSKGSPLHPAHSS LEEMASLRKEACSVKVDSKDSSCRSTNSEFATEAEGQSDAMEEPNKFQKRKKDRPQDQGS RMIYLKAIQGILGKSMPKKKGEAATTQGKASLGDCPNQEGPSRKVEGPSKKVEGPARSRD GPSRSGEGRSRSREELVKSVEEGPAAKVTVTVSQKEESHTKVSVEKEASALDRSSFSDRR VIIDSVKKSSEELLGDRRTVIDKPSPALEFFDNSDSHADIQKHTDREVVMEHPSSGSDWS DVDELATVRFSQEEPVPLKYSAVSESSSFPTDCVMYPPHLYSSPWCDYASYWTSSHKTPG YPLVGSSNHDSAQAGKSSQDLLSVHSSKSQSISKGLDIAQEGRFQNSHSLRFSRSTEEEE VKGKRTFQEETPLHHTREHTSSSLPRSHRELSLEEGFIDTHCHLDMLYSKLSFKGTFTKF RKIYSSSFPKEFQGCISDFCDPRTLTDGLWEELLKEDLVWGAFGCHPHFARYYNESQERK LLHALRHPKAVAFGEMGLDYSHKCTTPVPEQHQVFERQLKLAVSLKKPLVIHCREADEDL LDIMRKFVPSDYKIHRHCFTGSYSVIEPLLKYFPNMSVGFTAVLTYSSAWEARDALRQIP LERIIVETDAPYFLPRQVPRSLCQYAHPGLALHTVREIARVKEESLSHTLSVLRENTCRL YSL >ENSMUSP00000108645.1 pep:known chromosome:GRCm38:6:113697673:113710205:1 gene:ENSMUSG00000056952.13 transcript:ENSMUST00000113022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tatdn2 description:TatD DNase domain containing 2 [Source:MGI Symbol;Acc:MGI:3576210] MASERRKVKYHWGSTSEGCPSKRSRPREPGNVAPSSRPGRRCASRPRGASSPQRLKVRRG DDVARAPRSSVRRRTSSSSSSSSLSSGSGVGEAASPGFLIASPRRLFTSKGSPLHPAHSS LEEMASLRKEACSVKVDSKDSSCRSTNSEFATEAEGQSDAMEEPNKFQKRKKDRPQDQGS RMIYLKAIQGILGKSMPKKKGEAATTQGKASLGDCPNQEGPSRKVEGPSKKVEGPARSRD GPSRSGEGRSRSREELVKSVEEGPAAKVTVTVSQKEESHTKVSVEKEASALDRSSFSDRR VIIDSVKKSSEELLGDRRTVIDKPSPALEFFDNSDSHADIQKHTDREVVMEHPSSGSDWS DVDELATVRFSQEEPVPLKYSAVSESSSFPTDCVMYPPHLYSSPWCDYASYWTSSHKTPG YPLVGSSNHDSAQAGKSSQDLLSVHSSKSQSISKGLDIAQEGRFQNSHSLRFSRSTEEEE VKGKRTFQEETPLHHTREHTSSSLPRSHRELSLEEGFIDTHCHLDMLYSKLSFKGTFTKF RKIYSSSFPKEFQGCISDFCDPRTLTDGLWEELLKEDLVWGAFGCHPHFARYYNESQERK LLHALRHPKAVAFGEMGLDYSHKCTTPVPEQHQVFERQLKLAVSLKKPLVIHCREADEDL LDIMRKFVPSDYKIHRHCFTGSYSVIEPLLKYFPNMSVGFTAVLTYSSAWEARDALRQIP LERIIVETDAPYFLPRQVPRSLCQYAHPGLALHTVREIARVKEESLSHTLSVLRENTCRL YSL >ENSMUSP00000123557.1 pep:known chromosome:GRCm38:6:113704817:113711066:1 gene:ENSMUSG00000056952.13 transcript:ENSMUST00000153661.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tatdn2 description:TatD DNase domain containing 2 [Source:MGI Symbol;Acc:MGI:3576210] ALRHPKAVAFGEMGLDYSHKCTTPVPEQHQVFERQLKLAVSLKKPLVIHCREADEDLLDI MRKFVPSDYKIHRCREVFASMLIQAWPCTQFERLPGSKRSHFPTLCQFSERILVASTVFE QGARAVIFWQRMTSGLIA >ENSMUSP00000116559.1 pep:known chromosome:GRCm38:6:113707276:113710449:1 gene:ENSMUSG00000056952.13 transcript:ENSMUST00000138420.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tatdn2 description:TatD DNase domain containing 2 [Source:MGI Symbol;Acc:MGI:3576210] XQLKLAVSLKKPLVIHCREADEDLLDIMRKFVPSDYKIHRHCFTGSYSVIEPLLKYFPNM SVGFTAVLTYSSAWEARDALRQIPLERIIVETDAPYFLPRQVPRSLCQYAHPGLALHTVR EIARVKEESLSHTLSVLRENTCRLYSL >ENSMUSP00000125319.1 pep:known chromosome:GRCm38:18:44028694:44032208:1 gene:ENSMUSG00000051050.9 transcript:ENSMUST00000162265.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink14 description:serine peptidase inhibitor, Kazal type 14 [Source:MGI Symbol;Acc:MGI:3646952] MVKYFQCSVLFSIMLHLVILAAPGARVWWPTHGLIKIKCPYKKVNLSWFNKTVDPCPDLK QPICGTNFVTYDNPCILCVESLKSGGRIRYYYNGRC >ENSMUSP00000057781.2 pep:known chromosome:GRCm38:18:44027869:44032208:1 gene:ENSMUSG00000051050.9 transcript:ENSMUST00000060328.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink14 description:serine peptidase inhibitor, Kazal type 14 [Source:MGI Symbol;Acc:MGI:3646952] MVKYFQCSVLFSIMLHLVILAAPGARVWWPTHGLIKIKCPYKKVNLSWFNKTVDPCPDLK QPICGTNFVTYDNPCILCVESLKSGGRIRYYYNGRC >ENSMUSP00000144072.1 pep:known chromosome:GRCm38:5:73322199:73338948:-1 gene:ENSMUSG00000029153.11 transcript:ENSMUST00000200830.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad2 description:OCIA domain containing 2 [Source:MGI Symbol;Acc:MGI:1916377] MLVTQGLVHQGYLAANPRFGSLPKVALAGLLGFGLGKASYIRVCQSKFHSFEDQLRGAGF GPEHNRHCLLTCEDCKTRRGLSEKAGSQPSAS >ENSMUSP00000084445.5 pep:known chromosome:GRCm38:5:73322199:73338992:-1 gene:ENSMUSG00000029153.11 transcript:ENSMUST00000087195.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad2 description:OCIA domain containing 2 [Source:MGI Symbol;Acc:MGI:1916377] MASVSTHGNQEKSPHLPPLSKQSLLFCPKSKLHIHRGEIAKIIRECQEESFWKRALPFSL ISMLVTQGLVHQGYLAANPRFGSLPKVALAGLLGFGLGKASYIRVCQSKFHSFEDQLRGA GFGPEHNRHCLLTCEDCKTRRGLSEKAGSQPSAS >ENSMUSP00000144012.1 pep:known chromosome:GRCm38:5:73322213:73338948:-1 gene:ENSMUSG00000029153.11 transcript:ENSMUST00000201908.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad2 description:OCIA domain containing 2 [Source:MGI Symbol;Acc:MGI:1916377] MASVSTHGNQEKSPHLPPLSKQSLLFCPKSKLHIHRGEIAKIIRECQEESFWKRALPFSL ISMLVTQGLVHQGYLAANPRFGSLPKVACTACLPVRTVKPGVD >ENSMUSP00000143984.1 pep:known chromosome:GRCm38:5:73322213:73338951:-1 gene:ENSMUSG00000029153.11 transcript:ENSMUST00000200776.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad2 description:OCIA domain containing 2 [Source:MGI Symbol;Acc:MGI:1916377] MLVTQGLVHQGYLAANPRFGSLPKVACTACLPVRTVKPGVD >ENSMUSP00000144293.1 pep:known chromosome:GRCm38:5:73323346:73338558:-1 gene:ENSMUSG00000029153.11 transcript:ENSMUST00000202012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad2 description:OCIA domain containing 2 [Source:MGI Symbol;Acc:MGI:1916377] MLVTQGLVHQGYLAANPRFGSLPKVALAGLLGFGLGKASYIRVCQSKFHSFEDQLRGAGF GPEHNRHCLLTCEDCKTRRGLSEKAGSQPSAS >ENSMUSP00000144201.1 pep:known chromosome:GRCm38:5:73327665:73341028:-1 gene:ENSMUSG00000029153.11 transcript:ENSMUST00000200821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ociad2 description:OCIA domain containing 2 [Source:MGI Symbol;Acc:MGI:1916377] MASVSTHGNQEKSPHLPPLSKQSLLFCPKSKLHIHRGEIAKIIRECQEESFWKRALPFSL ISMLVTQGLVHQGYLAANPRFGSLPKVA >ENSMUSP00000116500.2 pep:known chromosome:GRCm38:7:30458315:30486716:1 gene:ENSMUSG00000006649.17 transcript:ENSMUST00000126297.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphs1 description:nephrosis 1, nephrin [Source:MGI Symbol;Acc:MGI:1859637] MEKWRAWDPQSIQRRKTAKGLAQSPVPTSAPRGFWALSENLTVVEGSTVKLWCGVRAPGS VVQWAKDGLLLGPNPKIPGFPRYSLEGDSAKGEFHLLIEACDLSDDAEYECQVGRSELGP ELVSPSVILSILVSPKVLQLTPEAGSTVTWVAGQEYVVTCVSGDAKPAPDIIFIQGGRTV EDVSSSVNEGSEEKLFFTEAEARVTPQSSDNGQLLVCEGSNPALATPIKASFTMNILFPP GPPVIDWPGLNEGHVRAGENLELPCIARGGNPPATLQWLKNGKPVSIAWGTEHAQAVAHS VLVMTVRPEDHGARLSCQSYNSVSAETQERSITLQVTFPPSAVTILGSTSQSENKNVTLC CLTKSSRPRVLLRWWLGGRQLLPTDETVMDGLHGGHISMSNLTLLVKREDNGLSLTCEAF SDAFSKETFKKSLTLNVKYPAQKLWIEGPPEGQSIRTGTRVRLVCLAIGGNPEPSLTWLK DSRPVNDPRQSQEPRRVQLGSVEKSGSTFSRELVLIIGPPDNLAKFSCKAGQLSASTQLV VQFPPTNLTILANSSALRPGDALNLTCVSISSNPPVNLSLDKEGERLDDVAAKPQSAPFK GSAASRSVFLRVSSRDHGHRVTCRAHSEALRETVSSFYRLNVLYPPEFLGEQVRAVTVVE QGQALLPVSVSANPAPEAFNWTFRGYRLSPAGGPRHRILSGGALQLWNVTRADDGFYQLH CQNSEGTAEALLKLDVHYAPTIRALKDPTEVNVGGSVDIVCTVDANPILPEMFSWERLGE DEEELNLDDMEKMSKGSTGRLRIRQAKLSQAGAYQCIVDNGVAPAARGLVRLVVRFAPQV DHPTPLTKVAAAGDSTSSATLHCRARGVPNIDFTWTKNGVPLDLQDPRYTEHKYHQGVVH SSLLTIANVSAAQDYALFKCTATNALGSDHTNIQLVSISRPDPPLGLKVVSVSPHSVGLE WKPGFDGGLPQRFQIRYEALESPGFLYMDVLPAQATTFTLTGLKPSTRYRIWLLASNALG DSGLTDKGIQVSITTPGLDQAPEDTDQPLPTEQPPGPPRLPLLPVLFAVGGLLLLSNASC VGGLLWRRRLRRLAEEISEKTEAGSEEDRIRNEYEESQWTGDRDTRSSTVSTAEVDPHYY SMRDFSPQLPPTLEEVSYRQAFTGIEDEDMAFPGHLYDEVERVYGPPGVWGPLYDEVQMD PYDLRWPEVKYEDPRGIYDQVAADMDAGEPGSLPFELRGHLV >ENSMUSP00000006825.8 pep:known chromosome:GRCm38:7:30459725:30487223:1 gene:ENSMUSG00000006649.17 transcript:ENSMUST00000006825.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphs1 description:nephrosis 1, nephrin [Source:MGI Symbol;Acc:MGI:1859637] MGAKEATVRGPGASPVHRTCHLIPLLLAGMLTTGLAQSPVPTSAPRGFWALSENLTVVEG STVKLWCGVRAPGSVVQWAKDGLLLGPNPKIPGFPRYSLEGDSAKGEFHLLIEACDLSDD AEYECQVGRSELGPELVSPSVILSILVSPKVLQLTPEAGSTVTWVAGQEYVVTCVSGDAK PAPDIIFIQGGRTVEDVSSSVNEGSEEKLFFTEAEARVTPQSSDNGQLLVCEGSNPALAT PIKASFTMNILFPPGPPVIDWPGLNEGHVRAGENLELPCIARGGNPPATLQWLKNGKPVS IAWGTEHAQAVAHSVLVMTVRPEDHGARLSCQSYNSVSAETQERSITLQVTFPPSAVTIL GSTSQSENKNVTLCCLTKSSRPRVLLRWWLGGRQLLPTDETVMDGLHGGHISMSNLTLLV KREDNGLSLTCEAFSDAFSKETFKKSLTLNVKYPAQKLWIEGPPEGQSIRTGTRVRLVCL AIGGNPEPSLTWLKDSRPVNDPRQSQEPRRVQLGSVEKSGSTFSRELVLIIGPPDNLAKF SCKAGQLSASTQLVVQFPPTNLTILANSSALRPGDALNLTCVSISSNPPVNLSLDKEGER LDDVAAKPQSAPFKGSAASRSVFLRVSSRDHGHRVTCRAHSEALRETVSSFYRLNVLYPP EFLGEQVRAVTVVEQGQALLPVSVSANPAPEAFNWTFRGYRLSPAGGPRHRILSGGALQL WNVTRADDGFYQLHCQNSEGTAEALLKLDVHYAPTIRALKDPTEVNVGGSVDIVCTVDAN PILPEMFSWERLGEDEEELNLDDMEKMSKGSTGRLRIRQAKLSQAGAYQCIVDNGVAPAA RGLVRLVVRFAPQVDHPTPLTKVAAAGDSTSSATLHCRARGVPNIDFTWTKNGVPLDLQD PRYTEHKYHQGVVHSSLLTIANVSAAQDYALFKCTATNALGSDHTNIQLVSISRPDPPLG LKVVSVSPHSVGLEWKPGFDGGLPQRFQIRYEALESPGFLYMDVLPAQATTFTLTGLKPS TRYRIWLLASNALGDSGLTDKGIQVSITTPGLDQAPEDTDQPLPTEQPPGPPRLPLLPVL FAVGGLLLLSNASCVGGLLWRRRLRRLAEEISEKTEAGSEEDRIRNEYEESQWTGDRDTR SSTVSTAEVDPHYYSMRDFSPQLPPTLEEVSYRQAFTGIEDEDMAFPGHLYDEVERVYGP PGVWGPLYDEVQMDPYDLRWPEVKYEDPRGIYDQVAADMDAGEPGSLPFELRGHLV >ENSMUSP00000119601.1 pep:known chromosome:GRCm38:7:30477865:30486881:1 gene:ENSMUSG00000006649.17 transcript:ENSMUST00000149086.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nphs1 description:nephrosis 1, nephrin [Source:MGI Symbol;Acc:MGI:1859637] XQAPEDTDQPLPTEQPPAGLTLFCNSGDQAQVCACACHDPRGCPCCLCSLRLVVFCCFPM PPVLGDSSGGED >ENSMUSP00000013455.6 pep:known chromosome:GRCm38:3:138313286:138330415:1 gene:ENSMUSG00000053054.13 transcript:ENSMUST00000013455.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adh6a description:alcohol dehydrogenase 6A (class V) [Source:MGI Symbol;Acc:MGI:1916367] MNTLGKTITCRAAIAWAKNSPLSIEEVQVEPPKSGEVRIKMISSGICGSDDHVLKGELVV NFPLIPGHEGAGIVESVGDGVCSMKPGDKVLILIIPQCRECDACLHPKGNFCYKQDVLPC SGVMLDGTSRFSCRGQKIYHSFRTSSFTEYTVVPEIAAVKIDDAAPMDKVCLISCGFPTG YGAAVNSAKVTPGSTCVVFGLGGVGSAIVMGCKASGASRIIGVDINEEKFPRARALGVTD CLNPNKLEKPVQEVVMEMTGVGVDFAFEAIGLVDTMVAAWNSCNNSYGVCLIAGLAPSDA QLSLEAPKILSGKTLKGVCLGDYKTRDCIPQIVTDYLQNKINIDPLVTYQLPFNQLHEAL ELFHSGKA >ENSMUSP00000101854.1 pep:known chromosome:GRCm38:3:138313286:138331134:1 gene:ENSMUSG00000053054.13 transcript:ENSMUST00000106247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adh6a description:alcohol dehydrogenase 6A (class V) [Source:MGI Symbol;Acc:MGI:1916367] MNTLGKTITCRAAIAWAKNSPLSIEEVQVEPPKSGEVRIKMISSGICGSDDHVLKGELVV NFPLIPGHEGAGIVESVGDGVCSMKPGDKVLILIIPQCRECDACLHPKGNFCYKQDVLPC SGVMLDGTSRFSCRGQKIYHSFRTSSFTEYTVVPEIAAVKIDDAAPMDKVCLISCGFPTG YGAAVNSAKVTPGSTCVVFGLGGVGSAIVMGCKASGASRIIGVDINEEKFPRARALGVTD CLNPNKLEKPVQEVVMEMTGVGVDFAFEAIGLVDTMVAAWNSCNNSYGVCLIAGLAPSDA QLSLEAPKILSGKTLKGVCLGDYKTRDCIPQIVTDYLQNKINIDPLVTYQLPFNQLHEAL ELFHSGKAIRCVLLF >ENSMUSP00000031653.5 pep:known chromosome:GRCm38:5:147561604:147726011:-1 gene:ENSMUSG00000029648.13 transcript:ENSMUST00000031653.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flt1 description:FMS-like tyrosine kinase 1 [Source:MGI Symbol;Acc:MGI:95558] MVSCWDTAVLPYALLGCLLLTGYGSGSKLKVPELSLKGTQHVMQAGQTLFLKCRGEAAHS WSLPTTVSQEDKRLSITPPSACGRDNRQFCSTLTLDTAQANHTGLYTCRYLPTSTSKKKK AESSIYIFVSDAGSPFIEMHTDIPKLVHMTEGRQLIIPCRVTSPNVTVTLKKFPFDTLTP DGQRITWDSRRGFIIANATYKEIGLLNCEATVNGHLYQTNYLTHRQTNTILDVQIRPPSP VRLLHGQTLVLNCTATTELNTRVQMSWNYPGKATKRASIRQRIDRSHSHNNVFHSVLKIN NVESRDKGLYTCRVKSGSSFQSFNTSVHVYEKGFISVKHRKQPVQETTAGRRSYRLSMKV KAFPSPEIVWLKDGSPATLKSARYLVHGYSLIIKDVTTEDAGDYTILLGIKQSRLFKNLT ATLIVNVKPQIYEKSVSSLPSPPLYPLGSRQVLTCTVYGIPRPTITWLWHPCHHNHSKER YDFCTENEESFILDPSSNLGNRIESISQRMTVIEGTNKTVSTLVVADSQTPGIYSCRAFN KIGTVERNIKFYVTDVPNGFHVSLEKMPAEGEDLKLSCVVNKFLYRDITWILLRTVNNRT MHHSISKQKMATTQDYSITLNLVIKNVSLEDSGTYACRARNIYTGEDILRKTEVLVRDSE APHLLQNLSDYEVSISGSTTLDCQARGVPAPQITWFKNNHKIQQEPGIILGPGNSTLFIE RVTEEDEGVYRCRATNQKGAVESAAYLTVQGTSDKSNLELITLTCTCVAATLFWLLLTLF IRKLKRSSSEVKTDYLSIIMDPDEVPLDEQCERLPYDASKWEFARERLKLGKSLGRGAFG KVVQASAFGIKKSPTCRTVAVKMLKEGATASEYKALMTELKILTHIGHHLNVVNLLGACT KQGGPLMVIVEYCKYGNLSNYLKSKRDLFCLNKDAALHMELKKESLEPGLEQGQKPRLDS VSSSSVTSSSFPEDRSVSDVEGDEDYSEISKQPLTMEDLISYSFQVARGMEFLSSRKCIH RDLAARNILLSENNVVKICDFGLARDIYKNPDYVRRGDTRLPLKWMAPESIFDKVYSTKS DVWSYGVLLWEIFSLGGSPYPGVQMDEDFCSRLKEGMRMRTPEYATPEIYQIMLDCWHKD PKERPRFAELVEKLGDLLQANVQQDGKDYIPLNAILTRNSGFTYSTPTFSEDLFKDGFAD PHFHSGSSDDVRYVNAFKFMSLERIKTFEELSPNSTSMFEDYQLDTSTLLGSPLLKRFTW TETKPKASMKIDLRIASKSKEAGLSDLPRPSFCFSSCGHIRPVQDDESELGKESCCSPPP DYNSVVLYSSPPA >ENSMUSP00000106158.1 pep:known chromosome:GRCm38:5:147635159:147725988:-1 gene:ENSMUSG00000029648.13 transcript:ENSMUST00000110529.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flt1 description:FMS-like tyrosine kinase 1 [Source:MGI Symbol;Acc:MGI:95558] MVSCWDTAVLPYALLGCLLLTGYGSGSKLKVPELSLKGTQHVMQAGQTLFLKCRGEAAHS WSLPTTVSQEDKRLSITPPSACGRDNRQFCSTLTLDTAQANHTGLYTCRYLPTSTSKKKK AESSIYIFVSDAGSPFIEMHTDIPKLVHMTEGRQLIIPCRVTSPNVTVTLKKFPFDTLTP DGQRITWDSRRGFIIANATYKEIGLLNCEATVNGHLYQTNYLTHRQTNTILDVQIRPPSP VRLLHGQTLVLNCTATTELNTRVQMSWNYPGKATKRASIRQRIDRSHSHNNVFHSVLKIN NVESRDKGLYTCRVKSGSSFQSFNTSVHVYEKGFISVKHRKQPVQETTAGRRSYRLSMKV KAFPSPEIVWLKDGSPATLKSARYLVHGYSLIIKDVTTEDAGDYTILLGIKQSRLFKNLT ATLIVNVKPQIYEKSVSSLPSPPLYPLGSRQVLTCTVYGIPRPTITWLWHPCHHNHSKER YDFCTENEESFILDPSSNLGNRIESISQRMTVIEGTNKTVSTLVVADSQTPGIYSCRAFN KIGTVERNIKFYVTDVPNGFHVSLEKMPAEGEDLKLSCVVNKFLYRDITWILLRTVNNRT MHHSISKQKMATTQDYSITLNLVIKNVSLEDSGTYACRARNIYTGEDILRKTEVLVRGEH CGKKAIFSRISKFKSRRNDCTTQSHVKH >ENSMUSP00000142974.1 pep:known chromosome:GRCm38:3:135438149:135465237:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000196446.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV PEIARIYKTDRDKYNRISREWTQKYAM >ENSMUSP00000101898.3 pep:known chromosome:GRCm38:3:135438234:135466058:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000106291.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV PEIARIYKTDRDKYNRISREWTQKYAM >ENSMUSP00000143301.1 pep:known chromosome:GRCm38:3:135438316:135465286:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000199613.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV PEIARIYKTDRDKYNRISREWTQKYAM >ENSMUSP00000130096.1 pep:known chromosome:GRCm38:3:135438480:135466950:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000166033.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV PEIARIYKTDRDKYNRISREWTQKYAM >ENSMUSP00000143758.1 pep:known chromosome:GRCm38:3:135438533:135459995:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000196591.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRI >ENSMUSP00000142612.1 pep:known chromosome:GRCm38:3:135438543:135463041:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000198685.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV PEIARIYKTDRD >ENSMUSP00000143608.1 pep:known chromosome:GRCm38:3:135438565:135468198:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000197859.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV PEIARIYKTDRDKYNRISREWTQKYAM >ENSMUSP00000143013.1 pep:known chromosome:GRCm38:3:135438747:135455249:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000199582.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDD >ENSMUSP00000142763.1 pep:known chromosome:GRCm38:3:135438754:135465297:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000198748.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPLNESEQAPAV >ENSMUSP00000143065.1 pep:known chromosome:GRCm38:3:135439137:135466673:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000197539.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV PEIARIYKTDRDKYNRISREWTQKYAM >ENSMUSP00000143606.1 pep:known chromosome:GRCm38:3:135439211:135466675:1 gene:ENSMUSG00000078578.9 transcript:ENSMUST00000197134.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d3 description:ubiquitin-conjugating enzyme E2D 3 [Source:MGI Symbol;Acc:MGI:1913355] MALKRINKELSDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV PEIARIYKTDRDKYNRLAREWTEKYAML >ENSMUSP00000082232.4 pep:known chromosome:GRCm38:4:143789352:143795575:1 gene:ENSMUSG00000066031.13 transcript:ENSMUST00000085144.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13023 description:predicted gene 13023 [Source:MGI Symbol;Acc:MGI:3650478] MVICGHCPDQDESLEEVTEECYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPVIFEE AFTDGHIGILKAMIPEWPFPYLSLGKQINNCNLETLKAILEGLDILLAQKVQTSRCKLRV INWRDHDLNLWAGSHEGEGLSEFTTEKQPIENSPGCEVKKELKVMTEVLRMKGRLDESTT YLLQWAQQRTDSINLFCRKLVIEGLTKASVIEIFKTVHADCIQELILRRICIEELAFLNP YLKLMKRLFTLTLDHIIGTFSFGDSEKLDEEIIFSLISQLPTLHCLQKLYVNDVPFIKGN LKEYLRCLKKPLETLCISNCDLSQSDLDYLPYCLNICELKHLHLSDICLRDLLLEPLGFL LERVGDTLKILELESCCIVDFQFSALLPALSQCSHLREVTFYDNNFSLPFLKQLLHHTAL LSQLISECYPAPLECYDDSGVILTHRLESFCPELLDILKAKRQLQSVSFETTQCSKCGGC YIYDQETQCCHFVELLLA >ENSMUSP00000115387.1 pep:known chromosome:GRCm38:4:143789365:143794759:1 gene:ENSMUSG00000066031.13 transcript:ENSMUST00000149739.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13023 description:predicted gene 13023 [Source:MGI Symbol;Acc:MGI:3650478] MTEVLRMKGRLDESTTYLLQWAQQRTDSINLFCRKLVIEGLTKASVIEIFKTVHADCIQE LILRRICIEELAFLNPYLKLMKRLFTLTLDHIIGTFSFGDSEKLDEEIIFSLISQLPTLH CLQKLYVNDVPFIKGNLKEYLRCLKKPLETL >ENSMUSP00000101396.1 pep:known chromosome:GRCm38:4:143789365:143795039:1 gene:ENSMUSG00000066031.13 transcript:ENSMUST00000105770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13023 description:predicted gene 13023 [Source:MGI Symbol;Acc:MGI:3650478] MVICGHCPDQDESLEEVTEECYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPVIFEE AFTDGHIGILKAMIPEWPFPYLSLGKQINNCNLETLKAILEGLDILLAQKVQTSRCKLRV INWRDHDLNLWAGSHEGEGLSEFTTEKQPIENSPGCEVKKELKVPEKALGDTLHQ >ENSMUSP00000080025.5 pep:known chromosome:GRCm38:18:44104407:44108543:1 gene:ENSMUSG00000061144.5 transcript:ENSMUST00000081271.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink12 description:serine peptidase inhibitor, Kazal type 12 [Source:MGI Symbol;Acc:MGI:1925492] MFNAPNISVFSDLKILSAMKPAGAFLLLISLACLFLSVDAVSQGGFQAFCSNYEKTLAPD GKSCPKTHKPVCGTDGKTYQNRCAFCQTAMERSLGKLGFKHEGKC >ENSMUSP00000139123.1 pep:known chromosome:GRCm38:12:55299577:55382420:1 gene:ENSMUSG00000021023.14 transcript:ENSMUST00000184980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110008L16Rik description:RIKEN cDNA 1110008L16 gene [Source:MGI Symbol;Acc:MGI:1913382] MVLYHHPLPAADSIPGRQWKGQFTTIQKSGQCSGCGRTIEPIHLSPEEYEFLKEKIMRDV IDGGDQYKKTTPQELKRFESFVNSCPPFDIVIDGLNVAKMFPKGRESQNLLGVVSQLAQQ NLQLLVLGRKHMLRPSSQWRKEEMEQVRKQAHCFFADNISEDDPFLLYATLNSGNHCKFI TKDLLRDHKACLPDARTQRLFFKWQQGHQLAIMKGFQKSKLTFQHILSYDTVVQRTGDSW HIPYDEDLVQRSSCEVPTKWLCLQRKTPDPC >ENSMUSP00000021411.7 pep:known chromosome:GRCm38:12:55302637:55382496:1 gene:ENSMUSG00000021023.14 transcript:ENSMUST00000021411.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110008L16Rik description:RIKEN cDNA 1110008L16 gene [Source:MGI Symbol;Acc:MGI:1913382] MTFYLSGFRSIPKLWKSNPYFELGPATSSTPFFLCAIGNQQRWFSVKPTTPPNSKALNLL DTKARTHRKGNDNNGQVSSDPHYFAAGAAKKRSHIGANPQNQGHALPVRSSVQLPTKPLN SAEWDKLKEDFKGKASFEDFIISQMARNCCSVDVAKSLLAWVAAKNNGIVGYNLLVKYLY LCVFHKQTSEVIDVYEIMKAKYKSLESGGYTLLIRGLIHSDRWRESLLLLEDIKKVMVPS KKNYGDCIQGALLHQDVNTAWNLYQELIGHNLIPPLETLKAFFDYGKDINDDHYSDKLLD ILLYLRNNQLYPGESFAHSIKTWFESIPGRQWKGQFTTIQKSGQCSGCGRTIEPIHLSPE EYEFLKEKIMRDVIDGGDQYKKTTPQELKRFESFVNSCPPFDIVIDGLNVAKMFPKGRES QNLLGVVSQLAQQNLQLLVLGRKHMLRPSSQWRKEEMEQVRKQAHCFFADNISEDDPFLL YATLNSGNHCKFITKDLLRDHKACLPDARTQRLFFKWQQGHQLAIMKGFQKSKLTFQHIL SYDTVVQRTGDSWHIPYDEDLVQRSSCEVPTKWLCLQRKTPDPC >ENSMUSP00000139204.1 pep:known chromosome:GRCm38:12:55303243:55382513:1 gene:ENSMUSG00000021023.14 transcript:ENSMUST00000184766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110008L16Rik description:RIKEN cDNA 1110008L16 gene [Source:MGI Symbol;Acc:MGI:1913382] MTFYLSGFRSIPKLWKSNPYFELGPATSSTPFFLCAIGNQQRWFSVKPTTPPNSKALNLL DTKARTHRKGNDNNGQVSSDPHYFAAGAAKKRSHIGANPQNQGHALPVRSSVQLPTKPLN SAEWDKLKEDFKGKASFEDFIISQMARNCCSVDVAKSLLAWVAAKNNGIVGYNLLVKYLY LCVFHKQTSEVIDVYEIMKAKYKSLESGGYTLLIRGLIHSDRWRESLLLLEDIKKVMVPS KKNYGDCIQGALLHQDVNTAWNLYQELIGHNLIPPLETLKAFFDYGKDINDDHYSDKLLD ILLYLRNNQLYPGESFAHSIKTWFESIPGRQWKGQFTTIQKSGQCSGCGRTIEPIHLSPE EYEFLKEKIMRDVIDGGDQYKKTTPQELKRFESFVNSCPPFDIVIDGLNVAKMFPKGRES QNLLGVVSQLAQQNLQLLVLGRKHMLRPSSQWRKEEMEQVRKQAHCFFADNISEDDPFLL YATLNSGNHCKFITKDLLRDHKACLPDARTQRLFFKWQQGHQLAIMKGFQKSKLTFQHIL SYDTVVQRTGDSWHIPYDEDLVQRSSCEVPTKWLCLQRKTPDPC >ENSMUSP00000139252.1 pep:known chromosome:GRCm38:12:55303261:55382533:1 gene:ENSMUSG00000021023.14 transcript:ENSMUST00000183475.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110008L16Rik description:RIKEN cDNA 1110008L16 gene [Source:MGI Symbol;Acc:MGI:1913382] MTFYLSGFRSIPKLWKSNPYFELGPATSSTPFFLCAIGNQQRWFSVKPTTPPNSKALNLL DTKARTHRKGNDNNGQVSSDPHYFAAGAAKKRSHIGANPQNQGHALPVRSSVQLPTKPLN SAEWDKLKEDFKGKASFEDFIISQMARNCCSVDVAKSLLAWVAAKNNGIVGYNLLVKYLY LCVFHKQTSEVIDVYEIMKAKYKSLESGGYTLLIRGLIHSDRWRESLLLLEDIKKVMVPS KKNYGDCIQGALLHQDVNTAWNLYQELIGHNLIPPLETLKAFFDYGKDINDDHYSDKLLD ILLYLRNNQLYPGESFAHSIKTWFESGQCSGCGRTIEPIHLSPEEYEFLKEKIMRDVIDG GDQYKKTTPQELKRFESFVNSCPPFDIVIDGLNVAKMFPKGRESQNLLGVVSQLAQQNLQ LLVLGRKHMLRPSSQWRKEEMEQVRKQAHCFFADNISEDDPFLLYATLNSGNHCKFITKD LLRDHKACLPDARTQRLFFKWQQGHQLAIMKGFQKSKLTFQHILSYDTVVQRTGDSWHIP YDEDLVQRSSCEVPTKWLCLQRKTPDPC >ENSMUSP00000138821.1 pep:known chromosome:GRCm38:12:55303286:55382513:1 gene:ENSMUSG00000021023.14 transcript:ENSMUST00000183654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110008L16Rik description:RIKEN cDNA 1110008L16 gene [Source:MGI Symbol;Acc:MGI:1913382] MRDVIDGGDQYKKTTPQELKRFESFVNSCPPFDIVIDGLNVAKMFPKGRESQNLLGVVSQ LAQQNLQLLVLGRKHMLRPSSQWRKEEMEQVRKQAHCFFADNISEDDPFLLYATLNSGNH CKFITKDLLRDHKACLPDARTQRLFFKWQQGHQLAIMKGFQKSKLTFQHILSYDTVVQRT GDSWHIPYDEDLVQRSSCEVPTKWLCLQRKTPDPC >ENSMUSP00000139147.1 pep:known chromosome:GRCm38:3:89338542:89349982:-1 gene:ENSMUSG00000028041.17 transcript:ENSMUST00000184651.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam15 description:a disintegrin and metallopeptidase domain 15 (metargidin) [Source:MGI Symbol;Acc:MGI:1333882] MRLALLWALGLLGAGSPRPSPPLPNIGGTEEEQQASPERTLSGSMESRVVQDSPPMSLAD VLQTGLPEALRISLELDSESHVLELLQNRDLIPGRPTLVWYQPDGTRMVSEGYSLENCCY RGRVQGHPSSWVSLCACSGIRGLIVLSPERGYTLELGPGDLQRPVISRIQDHLLLGHTCA PSWHASVPTRAGPDLLLEQHHAHRLKRDVVTETKIVELVIVADNSEVRKYPDFQQLLNRT LEAALLLDTFFQPLNVRVALVGLEAWTQHNLIEMSSNPAVLLDNFLRWRRTDLLPRLPHD SAQLVTVTSFSGPMVGMAIQNSICSPDFSGGVNMDHSTSILGVASSIAHELGHSLGLDHD SPGHSCPCPGPAPAKSCIMEASTDFLPGLNFSNCSRQALEKALLEGMGSCLFERQPSLAP MSSLCGNMFVDPGEQCDCGFPDECTDPCCDHFTCQLRPGAQCASDGPCCQNCKLHPAGWL CRPPTDDCDLPEFCPGDSSQCPSDIRLGDGEPCASGEAVCMHGRCASYARQCQSLWGPGA QPAAPLCLQTANTRGNAFGSCGRSPGGSYMPCAPRDVMCGQLQCQWGRSQPLLGSVQDRL SEVLEANGTQLNCSWVDLDLGNDVAQPLLALPGTACGPGLVCIGHRCQPVDLLGAQECRR KCHGHGVCDSSGHCRCEEGWAPPDCMTQLKATSSLTTGLLLSLLLLLVLVLLGASYWHRA RLHQRLCQLKGSSCQYRAPQSCPPERPGPPQRAQQMTGTKQASVVSFPVPPSRPLPPNPV PKKLQAALADRSNPPTRPLPADPVVRRPKSQGPTKPPPPRKPLPANPQGQHPPGDLPGPG DGSLPLVVPSRPAPPPPAASSLYL >ENSMUSP00000103072.2 pep:known chromosome:GRCm38:3:89339640:89349989:-1 gene:ENSMUSG00000028041.17 transcript:ENSMUST00000107448.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam15 description:a disintegrin and metallopeptidase domain 15 (metargidin) [Source:MGI Symbol;Acc:MGI:1333882] MRLALLWALGLLGAGSPRPSPPLPNIGGTEEEQQASPERTLSGSMESRVVQDSPPMSLAD VLQTGLPEALRISLELDSESHVLELLQNRDLIPGRPTLVWYQPDGTRMVSEGYSLENCCY RGRVQGHPSSWVSLCACSGIRGLIVLSPERGYTLELGPGDLQRPVISRIQDHLLLGHTCA PSWHASVPTRAGPDLLLEQHHAHRLKRDVVTETKIVELVIVADNSEVRKYPDFQQLLNRT LEAALLLDTFFQPLNVRVALVGLEAWTQHNLIEMSSNPAVLLDNFLRWRRTDLLPRLPHD SAQLVTVTSFSGPMVGMAIQNSICSPDFSGGVNMDHSTSILGVASSIAHELGHSLGLDHD SPGHSCPCPGPAPAKSCIMEASTDFLPGLNFSNCSRQALEKALLEGMGSCLFERQPSLAP MSSLCGNMFVDPGEQCDCGFPDECTDPCCDHFTCQLRPGAQCASDGPCCQNCKLHPAGWL CRPPTDDCDLPEFCPGDSSQCPSDIRLGDGEPCASGEAVCMHGRCASYARQCQSLWGPGA QPAAPLCLQTANTRGNAFGSCGRSPGGSYMPCAPRDVMCGQLQCQWGRSQPLLGSVQDRL SEVLEANGTQLNCSWVDLDLGNDVAQPLLALPGTACGPGLVCIGHRCQPVDLLGAQECRR KCHGHGVCDSSGHCRCEEGWAPPDCMTQLKATSSLTTGLLLSLLLLLVLVLLGASYWHRA RLHQRLCQLKGSSCQYRAPQSCPPERPGPPQRAQQMTGTKAALADRSNPPTRPLPADPVV RRPKSQGPTKPPPPRKPLPANPQGQHPPGDLPGPGDGSLPLVVPSRPAPPPPAASSLYL >ENSMUSP00000029676.5 pep:known chromosome:GRCm38:3:89339640:89349996:-1 gene:ENSMUSG00000028041.17 transcript:ENSMUST00000029676.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam15 description:a disintegrin and metallopeptidase domain 15 (metargidin) [Source:MGI Symbol;Acc:MGI:1333882] MRLALLWALGLLGAGSPRPSPPLPNIGGTEEEQQASPERTLSGSMESRVVQDSPPMSLAD VLQTGLPEALRISLELDSESHVLELLQNRDLIPGRPTLVWYQPDGTRMVSEGYSLENCCY RGRVQGHPSSWVSLCACSGIRGLIVLSPERGYTLELGPGDLQRPVISRIQDHLLLGHTCA PSWHASVPTRAGPDLLLEQHHAHRLKRDVVTETKIVELVIVADNSEVRKYPDFQQLLNRT LEAALLLDTFFQPLNVRVALVGLEAWTQHNLIEMSSNPAVLLDNFLRWRRTDLLPRLPHD SAQLVTVTSFSGPMVGMAIQNSICSPDFSGGVNMDHSTSILGVASSIAHELGHSLGLDHD SPGHSCPCPGPAPAKSCIMEASTDFLPGLNFSNCSRQALEKALLEGMGSCLFERQPSLAP MSSLCGNMFVDPGEQCDCGFPDECTDPCCDHFTCQLRPGAQCASDGPCCQNCKLHPAGWL CRPPTDDCDLPEFCPGDSSQCPSDIRLGDGEPCASGEAVCMHGRCASYARQCQSLWGPGA QPAAPLCLQTANTRGNAFGSCGRSPGGSYMPCAPRDVMCGQLQCQWGRSQPLLGSVQDRL SEVLEANGTQLNCSWVDLDLGNDVAQPLLALPGTACGPGLVCIGHRCQPVDLLGAQECRR KCHGHGVCDSSGHCRCEEGWAPPDCMTQLKATSSLTTGLLLSLLLLLVLVLLGASYWHRA RLHQRLCQLKGSSCQYRAPQSCPPERPGPPQRAQQMTGTKQASVVSFPVPPSRPLPPNPV PKKLQAALADRSNPPTRPLPADPVVRRPKSQGPTKPPPPRKPLPANPQGQHPPGDLPGPG DGSLPLVVPSRPAPPPPAASSLYL >ENSMUSP00000103070.1 pep:known chromosome:GRCm38:3:89339644:89349959:-1 gene:ENSMUSG00000028041.17 transcript:ENSMUST00000107446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam15 description:a disintegrin and metallopeptidase domain 15 (metargidin) [Source:MGI Symbol;Acc:MGI:1333882] MRLALLWALGLLGAGSPRPSPPLPNIGGTEEEQQASPERTLSGSMESRVVQDSPPMSLAD VLQTGLPEALRISLELDSESHVLELLQNRDLIPGRPTLVWYQPDGTRMVSEGYSLENCCY RGRVQGHPSSWVSLCACSGIRGLIVLSPERGYTLELGPGDLQRPVISRIQDHLLLGHTCA PSWHASVPTRAGPDLLLEQHHAHRLKRDVVTETKIVELVIVADNSEVRKYPDFQQLLNRT LEAALLLDTFFQPLNVRVALVGLEAWTQHNLIEMSSNPAVLLDNFLRWRRTDLLPRLPHD SAQLVTVTSFSGPMVGMAIQNSICSPDFSGGVNMDHSTSILGVASSIAHELGHSLGLDHD SPGHSCPCPGPAPAKSCIMEASTDFLPGLNFSNCSRQALEKALLEGMGSCLFERHPPGDL PGPGDGSLPLVVPSRPAPPPPAASSLYL >ENSMUSP00000074167.6 pep:known chromosome:GRCm38:3:89339648:89349975:-1 gene:ENSMUSG00000028041.17 transcript:ENSMUST00000074582.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam15 description:a disintegrin and metallopeptidase domain 15 (metargidin) [Source:MGI Symbol;Acc:MGI:1333882] MRLALLWALGLLGAGSPRPSPPLPNIGGTEEEQQASPERTLSGSMESRVVQDSPPMSLAD VLQTGLPEALRISLELDSESHVLELLQNRDLIPGRPTLVWYQPDGTRMVSEGYSLENCCY RGRVQGHPSSWVSLCACSGIRGLIVLSPERGYTLELGPGDLQRPVISRIQDHLLLGHTCA PSWHASVPTRAGPDLLLEQHHAHRLKRDVVTETKIVELVIVADNSEVRKYPDFQQLLNRT LEAALLLDTFFQPLNVRVALVGLEAWTQHNLIEMSSNPAVLLDNFLRWRRTDLLPRLPHD SAQLVTVTSFSGPMVGMAIQNSICSPDFSGGVNMDHSTSILGVASSIAHELGHSLGLDHD SPGHSCPCPGPAPAKSCIMEASTDFLPGLNFSNCSRQALEKALLEGMGSCLFERQPSLAP MSSLCGNMFVDPGEQCDCGFPDECTDPCCDHFTCQLRPGAQCASDGPCCQNCKLHPAGWL CRPPTDDCDLPEFCPGDSSQCPSDIRLGDGEPCASGEAVCMHGRCASYARQCQSLWGPGA QPAAPLCLQTANTRGNAFGSCGRSPGGSYMPCAPRDVMCGQLQCQWGRSQPLLGSVQDRL SEVLEANGTQLNCSWVDLDLGNDVAQPLLALPGTACGPGLVCIGHRCQPVDLLGAQECRR KCHGHGVCDSSGHCRCEEGWAPPDCMTQLKATSSLTTGLLLSLLLLLVLVLLGASYWHRA RLHQRLCQLKGSSCQYRAPQSCPPERPGPPQRAQQMTGTKSQGPTKPPPPRKPLPANPQG QHPPGDLPGPGDGSLPLVVPSRPAPPPPAASSLYL >ENSMUSP00000040580.4 pep:known chromosome:GRCm38:17:80127471:80185101:1 gene:ENSMUSG00000035473.10 transcript:ENSMUST00000039205.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galm description:galactose mutarotase [Source:MGI Symbol;Acc:MGI:2442420] MVSVTRTVFGELPSGGGTVEKFQLRSDQLSVDIISWGCTITALQVKDRQGKASDVVLGFA ELEGYLQKQPYFGAVVGRVANRIAKGRFTIGGKEYHLPVNREPNSLHGGFTGFDKVLWTP QVLTNGVQFFRVSPDGEEGYPGELKVWVTYTLDGGELVINYRAQASQTTPVNLTNHSYFN LAGQGSPNIYDHEVTIAADAYLPVDETLIPTGVIAPVEGTAFDLRKPVELGTHLQDYHIH GFDHNFCLKESKEKKFCARVRHAASGRILEVYTTQPGVQFYTGNFLDGTLKGKNGAVYPK HSGLCLETQNWPDSVNQPQFPPALLRPGEEYNHTTWFKFSVA >ENSMUSP00000120018.1 pep:known chromosome:GRCm38:17:80145152:80183528:1 gene:ENSMUSG00000035473.10 transcript:ENSMUST00000133955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galm description:galactose mutarotase [Source:MGI Symbol;Acc:MGI:2442420] XTNHSYFNLAGQGSPNIYDHEVTIAADAYLPVDETLIPTGVIAPVEGTAFDLRKPVELGT HLQDYHIHGFDHNFCLKESKEKKFCARVRHAASGRILEVYTTQPGVQFYTGNFLDGTLKG KNGAVYPKHSGLCLETQNWPDSVNQVKPQARLSEWRRSTVMKPDRSQHSSGAAWLKSTAV LFHV >ENSMUSP00000016488.6 pep:known chromosome:GRCm38:2:181187247:181188771:1 gene:ENSMUSG00000016344.14 transcript:ENSMUST00000016488.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppdpf description:pancreatic progenitor cell differentiation and proliferation factor homolog (zebrafish)RIKEN cDNA 2700038C09 gene [Source:MGI Symbol;Acc:MGI:1913746] MAAIPSSGSLVATHDYYRRRLGSSSSSSSGGSAEYPGDAVLQSPGLPKADPGHWWASFFF GKSTLPFMTTVLESPERSAESPQVSRSPMTCGLTPETMKQQPVIHSGQTNPRDLS >ENSMUSP00000104469.1 pep:known chromosome:GRCm38:2:181187336:181188504:1 gene:ENSMUSG00000016344.14 transcript:ENSMUST00000108841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppdpf description:pancreatic progenitor cell differentiation and proliferation factor homolog (zebrafish)RIKEN cDNA 2700038C09 gene [Source:MGI Symbol;Acc:MGI:1913746] MAAIPSSGSLVATHDYYRRRLGSSSSSSSGGSAEYPGDAVLQSPGLPKADPGHWWASFFF GKSTLPFMTTVLESPERSAESPQVSRSPMTCGLTPETMKQQPVIHSGQTNPRDLS >ENSMUSP00000133780.1 pep:known chromosome:GRCm38:7:86403849:86413299:1 gene:ENSMUSG00000061549.5 transcript:ENSMUST00000174362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr301 description:olfactory receptor 301 [Source:MGI Symbol;Acc:MGI:3030135] MSNSTLVTEFMLEDFAENWELRILLSVLFLLVYLYSLIGNLIIIIATTVDQTLNTPMYFF LRNLSILDICYVSVTVPNACINSLTDHRNISVGGCAAQIFFVYICACVEILFLTIMAQDR YVAICKPLLYPVIMNHWFCVQMTLASLLSSLVLASVHTFKTFQLSFCHSNVVPQFFCDIP SLLRLSCSDTFNNKLLILLSAILVSGSCFVFIVISYVRILSTVLKVPVKGERGKAFSTCV PHIIVVSVFLSSAAYIYLKPPVVTLEVAKEMTLSVFYTIVPPFLNPIIYSLRNRQIKKAV SKLISRIFFLI >ENSMUSP00000137781.1 pep:known chromosome:GRCm38:18:44156395:44159873:-1 gene:ENSMUSG00000069385.9 transcript:ENSMUST00000181652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10267 description:predicted gene 10267 [Source:MGI Symbol;Acc:MGI:3642556] MFIFSRVQVIYITLSFLLCSESHFIRRAIYKHIVMCRGFSSKRICTREYFPVCATNGRTY FNKCIFCLAYRENDGSFIMSHLGKC >ENSMUSP00000089535.2 pep:known chromosome:GRCm38:18:44156404:44159303:-1 gene:ENSMUSG00000069385.9 transcript:ENSMUST00000091916.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10267 description:predicted gene 10267 [Source:MGI Symbol;Acc:MGI:3642556] MFIFSRVQVIYITLSFLLFSESHFIRRAIYKHIVMCRGFSSKRICTREYFPVCATNGRTY FNKCIFCLAYRENDGSFIMSHLGKC >ENSMUSP00000145643.1 pep:known chromosome:GRCm38:7:43841971:43845302:1 gene:ENSMUSG00000074155.2 transcript:ENSMUST00000205919.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk5 description:kallikrein related-peptidase 5 [Source:MGI Symbol;Acc:MGI:1915918] MARTGHPWKWAMATLITTLVLGVSEPVLAGDVSSCDNPSGTEPSGTNRDLSTDSKSGEDT RSDSSSRIV >ENSMUSP00000049339.6 pep:known chromosome:GRCm38:7:43842269:43851181:1 gene:ENSMUSG00000074155.2 transcript:ENSMUST00000048444.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk5 description:kallikrein related-peptidase 5 [Source:MGI Symbol;Acc:MGI:1915918] MARTGHPWKWAMATLITTLVLGVSEPVLAGDVSSCDNPSGTEPSGTNRDLSTDSKSGEDT RSDSSSRIVNGSDCQKDAQPWQGALLLGPNKLYCGAVLISPQWLLTAAHCRKPVFRIRLG HHSMSPVYESGQQMFQGIKSIPHPGYSHPGHSNDLMLIKMNRKIRDSHSVKPVEIACDCA TEGTRCMVSGWGTTSSSHNNFPKVLQCLNITVLSEERCKNSYPGQIDKTMFCAGDEEGRD SCQGDSGGPVVCNGKLQGLVSWGDFPCAQRNRPGVYTNLCEFVKWIKDTMNSN >ENSMUSP00000134339.1 pep:known chromosome:GRCm38:17:36121678:36129692:1 gene:ENSMUSG00000092277.1 transcript:ENSMUST00000173128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm19684 description:predicted gene, 19684 [Source:MGI Symbol;Acc:MGI:5011869] MGHRTPPEGNIPGPMLAIASFLEEFTGDPATTAPMMITAPKTTRAAMITMLESEDGGGSG KDMKRTRELREGCSSNYITYLSVAELPPTICGVSPRMCPLGRPCIPLPLQPPPICVE >ENSMUSP00000085981.4 pep:known chromosome:GRCm38:2:156144039:156145797:1 gene:ENSMUSG00000067847.13 transcript:ENSMUST00000088610.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Romo1 description:reactive oxygen species modulator 1 [Source:MGI Symbol;Acc:MGI:1914317] MPVAVGPYGQSQPSCFDRVKMGFVMGCAVGMAAGALFGTFSCLRIGMRGRELMGGIGKTM MQSGGTFGTFMAIGMGIRC >ENSMUSP00000105226.3 pep:known chromosome:GRCm38:2:156144233:156145794:1 gene:ENSMUSG00000067847.13 transcript:ENSMUST00000109597.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Romo1 description:reactive oxygen species modulator 1 [Source:MGI Symbol;Acc:MGI:1914317] MPVAVGPYGQSQPSCFDRVKMGFVMGCAVGMAAGALFGTFSCLRIGMRGRELMGGIGKTM MQSGGTFGTFMAIGMGIRC >ENSMUSP00000105227.3 pep:known chromosome:GRCm38:2:156144237:156145783:1 gene:ENSMUSG00000067847.13 transcript:ENSMUST00000109598.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Romo1 description:reactive oxygen species modulator 1 [Source:MGI Symbol;Acc:MGI:1914317] MPVAVGPYGQSQPSCFDRVKMGFVMGCAVGMAAGALFGTFSCLRIGMRGRELMGGIGKTM MQSGGTFGTFMAIGMGIRC >ENSMUSP00000113943.1 pep:known chromosome:GRCm38:2:156144240:156145794:1 gene:ENSMUSG00000067847.13 transcript:ENSMUST00000119950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Romo1 description:reactive oxygen species modulator 1 [Source:MGI Symbol;Acc:MGI:1914317] MPVAVGPYGQSQPSCFDRVKMGFVMGCAVGMAAGALFGTFSCLRIGMRGRELMGGIGKTM MQSGGTFGTFMAIGMGIRC >ENSMUSP00000133734.1 pep:known chromosome:GRCm38:17:36127479:36129425:-1 gene:ENSMUSG00000092243.8 transcript:ENSMUST00000173322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7030 description:predicted gene 7030 [Source:MGI Symbol;Acc:MGI:3647514] MGTLTSCPLLLLLLATALAPTRAGKSSHSLRYFATAMSRPGLGEPRFTAVGYVDDTQFMR FDSDSENPRAEPCKPWVEQMEPEYWEQETRKFKEHTQNFRTCLYNLLHLYNQSQDGPHTI QDMHGCYVGPDGQFLHGHYQHAYDGHDYITLNEDLSSWTAADAVAQITQHKWEEAGVAEE YKAYLEGTCVETLHRLLEEAQQHSDPPNTHVTRHPRPEGEVTLRCWALGFYPADITLTWQ LNGEELTQDMELVETRPAGDGTFQKWAAVVVPFGEEPRYTCHVYH >ENSMUSP00000133748.1 pep:known chromosome:GRCm38:17:36127609:36129425:-1 gene:ENSMUSG00000092243.8 transcript:ENSMUST00000172968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7030 description:predicted gene 7030 [Source:MGI Symbol;Acc:MGI:3647514] MGTLTSCPLLLLLLATALAPTRAGKSSHSLRYFATAMSRPGLGEPRFTAVGYVDDTQFMR FDSDSENPRAEPCKPWVEQMEPEYWEQETRKFKEHTQNFRTCLYNLLHLYNQSQDGPHTI QDMHGCYVGPDGQFLHGHYQHAYDGHDYITLNEDLSSWTAADAVAQITQHKWEEAGVAEE YKAYLEGTCVETLHRLLEEAQQHSDPPNTHVTRHPRPEGEVTLRCWALGFYPADITLTWQ LNGEELTQDMELVETRPAGDGTFQKWAAVVVPFGEEPRYTCHVYH >ENSMUSP00000040558.9 pep:known chromosome:GRCm38:17:36109719:36129425:-1 gene:ENSMUSG00000092243.8 transcript:ENSMUST00000046131.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7030 description:predicted gene 7030 [Source:MGI Symbol;Acc:MGI:3647514] MGTLTSCPLLLLLLATALAPTRAGKSSHSLRYFATAMSRPGLGEPRFTAVGYVDDTQFMR FDSDSENPRAEPCKPWVEQMEPEYWEQETRKFKEHTQNFRTCLYNLLHLYNQSQDGPHTI QDMHGCYVGPDGQFLHGHYQHAYDGHDYITLNEDLSSWTAADAVAQITQHKWEEAGVAEE YKAYLEGTCVETLHRLLEEAQQHSDPPNTHVTRHPRPEGEVTLRCWALGFYPADITLTWQ LNGEELTQDMELVETRPAGDGTFQKWAAVVVPFGEEPRYTCHVYH >ENSMUSP00000098066.4 pep:known chromosome:GRCm38:5:142903115:142906754:-1 gene:ENSMUSG00000029580.14 transcript:ENSMUST00000100497.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actb description:actin, beta [Source:MGI Symbol;Acc:MGI:87904] MDDDIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQS KRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMT QIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDL AGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSY ELPDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLS GGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQ EYDESGPSIVHRKCF >ENSMUSP00000127663.1 pep:known chromosome:GRCm38:5:142903501:142906702:-1 gene:ENSMUSG00000029580.14 transcript:ENSMUST00000167721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actb description:actin, beta [Source:MGI Symbol;Acc:MGI:87904] MDDDIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQIMFETFNTPAMYVAIQAVL SLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSF TTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITIGNERFRCPEA LFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLSGGTTMYPGIADRMQKEITAL APSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF >ENSMUSP00000130611.1 pep:known chromosome:GRCm38:5:142904351:142905821:-1 gene:ENSMUSG00000029580.14 transcript:ENSMUST00000171419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actb description:actin, beta [Source:MGI Symbol;Acc:MGI:87904] MDDDIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQS KRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMT QIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDL AGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSY ELPDGQVITIGNERFRCPEALFQPS >ENSMUSP00000132135.1 pep:known chromosome:GRCm38:5:142904672:142906722:-1 gene:ENSMUSG00000029580.14 transcript:ENSMUST00000163829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actb description:actin, beta [Source:MGI Symbol;Acc:MGI:87904] MDDDIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAPL NPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDG >ENSMUSP00000101823.2 pep:known chromosome:GRCm38:5:142904705:142905937:-1 gene:ENSMUSG00000029580.14 transcript:ENSMUST00000106216.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actb description:actin, beta [Source:MGI Symbol;Acc:MGI:87904] MFAMDDDIAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDE AQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANRE KMTQIMFETFNTPAMYVAIQAVLSLYASGR >ENSMUSP00000061234.3 pep:known chromosome:GRCm38:7:19004044:19006777:1 gene:ENSMUSG00000044030.4 transcript:ENSMUST00000053713.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf2bp1 description:interferon regulatory factor 2 binding protein 1 [Source:MGI Symbol;Acc:MGI:2442159] MASVQASRRQWCYLCDLPKMPWAMVWDFSEAVCRGCVNFEGADRIELLIDAARQLKRSHV LPEGRSPGPPALKHPTSKDLASTGSQGSQLPPPQAQAQPSGTGGSVSGPDRYDRATSSSR LALPSPALEYTLGSRLANGLGREEAVAEGARRALLGSIPSLMPPGLLAAAVSGLGGRALT LAPGLSPARPLFGSDFEKEKQQRNADCLAELNEAMRGRAEEWHGRPKAVREQLLALSACA PFNVRFKKDHGLVGRVFAFDATARPPGYEFELKLFTEYPCGSGNVYAGVLAVARQMFHDA LREPGKALASSGFKYLEYERRHGSGEWRQLGELLTDGVRSFREPAPAEALPQQYPEPAPA ALCGPPPRAPSRNLAPTPRRRKASPEPEGETAGKMTTEEQQQRHWVAPGGPYSSETPGVP SPIAALKNVAEALGHSPKDPGGGGGSVRAGGASPAASSTTQPPAQHRLVARNGEAEVSPT AGAEAVSGGGSGTGATPGAPLCCTLCRERLEDTHFVQCPSVPGHKFCFPCSREFIKAQGP AGEVYCPSGDKCPLVGSSVPWAFMQGEIATILAGDIKVKKERDP >ENSMUSP00000099013.3 pep:known chromosome:GRCm38:12:40035822:40038025:-1 gene:ENSMUSG00000047446.18 transcript:ENSMUST00000101472.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl4a description:ADP-ribosylation factor-like 4A [Source:MGI Symbol;Acc:MGI:99437] MGNGLSDQTSILSSLPSFQSFHIVILGLDCAGKTTVLYRLQFNEFVNTVPTKGFNTEKIK VTLGNSKTVTFHFWDVGGQEKLRPLWKSYTRCTDGIVFVVDSVDVERMEEAKTELHKITR ISENQGVPVLIVANKQDLRNSLSLSEIEKLLAMGELSSSTPWHLQPTCAIIGDGLKEGLE KLHDMIIKRRKMLRQQKKKR >ENSMUSP00000122987.1 pep:known chromosome:GRCm38:12:40005447:40037376:-1 gene:ENSMUSG00000047446.18 transcript:ENSMUST00000136441.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arl4a description:ADP-ribosylation factor-like 4A [Source:MGI Symbol;Acc:MGI:99437] MGNGLSDQTSILSSLPSFQSFHIVILGLDCAGKTTVLYRLQFNEFVNTVPTKGFNTEKIK THST >ENSMUSP00000122126.1 pep:known chromosome:GRCm38:12:40005447:40037367:-1 gene:ENSMUSG00000047446.18 transcript:ENSMUST00000144910.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arl4a description:ADP-ribosylation factor-like 4A [Source:MGI Symbol;Acc:MGI:99437] MGNGLSDQTSILSSLPSFQSFHIVILGLDCAGKTTVLYRLQFNEFVNTVPTKGFNTEKIK THST >ENSMUSP00000114458.1 pep:known chromosome:GRCm38:12:40033291:40037429:-1 gene:ENSMUSG00000047446.18 transcript:ENSMUST00000146905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl4a description:ADP-ribosylation factor-like 4A [Source:MGI Symbol;Acc:MGI:99437] MGNGLSDQTSILSSLPSFQSFHIVILGLDCAGKTTVLYRLQFNEFVNTVPTKGFNTEKIK VTLGNSKTVTFHFWDVGGQEKLRPLWKSYTRCTDGIVFVVDSVDVERMEEAKTELHKITR ISENQGVPVLIVANKQDLRNSLSLSEIEKLLAMGELSSSTPWHLQPTCAIIGDGLKEGLE KLHDMIIKRRKMLRQQKKKR >ENSMUSP00000145543.1 pep:known chromosome:GRCm38:7:4548612:4603141:-1 gene:ENSMUSG00000035429.13 transcript:ENSMUST00000206999.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptprh description:protein tyrosine phosphatase, receptor type, H [Source:MGI Symbol;Acc:MGI:3026877] MARAGGNCGVWRSLVLLGLYGCSVVRAAGTSVTVDRHAPASSYEFSMWVEKDGVSSSPQI PVTTAAPNPVRNLRVEGQNNISISLSWEPPDQSSLQGLTYWTQCSRHGGQTETRNTTDTS VTVDGLDPGSSYECSVWVEKDGLYSKNETLNTSTAPNPVRNLRVEGQNNISISLSWEPPD QPSLQGLTYWAQCSRHGGQTETRNTADTSVTVDGLDPGSSYECSVWVEKDGVYSTNETLN TSTAPNPVRNLRVEGQNNISISLSWEPPDQPSLQGLTYWAQCSRHGGQTETRNTTDTSIT VDGLDPGSSYECSVWVEKDGVYSTNETLSNTTAPNPVRNLRVKSQNNFSISLSWEPPDQP SLQGLIYWAQCSRHGGQTETRNTTDTSVTVDGLDPGFLYKCSVWVEKDGVYSTNETLNTS TVPISASNPVRNLRVEGQNNFSISLSWEPPDQSSLQGLTYWAQCSRHGGQTETRNTADTS VTVDGLDPGSSYECSVWVEKDGVYSTNETLNTSTVPAAVNITSCISTSGGYGVLLTWSCP SGGYESFEVKVGRKWRSENGSLCGKGVTVSDLEPAQSYTATVTTVFKDLKAQSLSTTCHT ESAAIIAGAIVGILLLFILVGLLIVFLKRRRKKRQPKEVPKDLVCSCPGDILAKDFADHV RENEKDSNCGFAEEYQQLALEGQGQSQITASALENRSKNRYRNVLPYDWSRVPLQPLQEE PGSDYINASFMPGLWSPKEFIATQGPLPNTVGDFWRMVWEQQSHTLVMLTNCMESGRVKC EHYWPLDAQPCIHGQLQVMLISEEASENWTVRHLQLFHMKEQQTLSLRQFHYLAWPDHGV PYSPDPLLAFRKMLRQWMDQTTDGGPPIVHCSAGVGRTGTLIALDVLLRQLECEGLVGPF SFVKKMRESRPLMVQTEAQYVFLHQCILKSLQKPAPALVPEEAMYENVASLVYENASAIM AHESEFSASGC >ENSMUSP00000125833.1 pep:known chromosome:GRCm38:7:4548992:4604041:-1 gene:ENSMUSG00000035429.13 transcript:ENSMUST00000166650.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprh description:protein tyrosine phosphatase, receptor type, H [Source:MGI Symbol;Acc:MGI:3026877] MARAGGNCGVWRSLVLLGLYGCSVVRAAGTSVTVDRHAPASSYEFSMWVEKDGVSSSPQI PVTTAAPNPVRNLRVEGQNNISISLSWEPPDQSSLQGLTYWTQCSRHGGQTETRNTTDTS VTVDGLDPGSSYECSVWVEKDGLYSKNETLNTSTAPNPVRNLRVEGQNNISISLSWEPPD QPSLQGLTYWAQCSRHGGQTETRNTADTSVTVDGLDPGSSYECSVWVEKDGVYSTNETLN TSTAPNPVRNLRVEGQNNISISLSWEPPDQPSLQGLTYWAQCSRHGGQTETRNTTDTSIT VDGLDPGSSYECSVWVEKDGVYSTNETLSNTTAPNPVRNLRVKSQNNFSISLSWEPPDQP SLQGLIYWAQCSRHGGQTETRNTTDTSVTVDGLDPGFLYKCSVWVEKDGVYSTNETLNTS TVPISASNPVRNLRVEGQNNFSISLSWEPPDQSSLQGLTYWAQCSRHGGQTETRNTADTS VTVDGLDPGSSYECSVWVEKDGVYSTNETLNTSTVPAAVNITSCISTSGGYGVLLTWSCP SGGYESFEVKVGRKWRSENGSLCGKGVTVSDLEPAQSYTATVTTVFKDLKAQSLSTTCHT ESAAIIAGAIVGILLLFILVGLLIVFLKRRRKKRQPKEVPKDLVCSCPGDILAKDFADHV RENEKDSNCGFAEEYQQLALEGQGQSQITASALENRSKNRYRNVLPYDWSRVPLQPLQEE PGSDYINASFMPGLWSPKEFIATQGPLPNTVGDFWRMVWEQQSHTLVMLTNCMESGRVKC EHYWPLDAQPCIHGQLQVMLISEEASENWTVRHLQLFHMKEQQTLSLRQFHYLAWPDHGV PYSPDPLLAFRKMLRQWMDQTTDGGPPIVHCSAGVGRTGTLIALDVLLRQLECEGLVGPF SFVKKMRESRPLMVQTEAQYVFLHQCILKSLQKPAPALVPEEAMYENVASLVYENASAIM AHESEFSASGC >ENSMUSP00000042396.7 pep:known chromosome:GRCm38:7:4548612:4603141:-1 gene:ENSMUSG00000035429.13 transcript:ENSMUST00000049113.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprh description:protein tyrosine phosphatase, receptor type, H [Source:MGI Symbol;Acc:MGI:3026877] MARAGGNCGVWRSLVLLGLYGCSVVRAAGTSVTVDRHAPASSYEFSMWVEKDGVSSSPQI PVTTAAPNPVRNLRVEGQNNISISLSWEPPDQSSLQGLTYWTQCSRHGGQTETRNTTDTS VTVDGLDPGSSYECSVWVEKDGLYSKNETLNTSTAPNPVRNLRVEGQNNISISLSWEPPD QPSLQGLTYWAQCSRHGGQTETRNTADTSVTVDGLDPGSSYECSVWVEKDGVYSTNETLN TSTAPNPVRNLRVEGQNNISISLSWEPPDQPSLQGLTYWAQCSRHGGQTETRNTTDTSIT VDGLDPGSSYECSVWVEKDGVYSTNETLSNTTAPNPVRNLRVKSQNNFSISLSWEPPDQP SLQGLIYWAQCSRHGGQTETRNTTDTSVTVDGLDPGFLYKCSVWVEKDGVYSTNETLNTS TVPISASNPVRNLRVEGQNNFSISLSWEPPDQSSLQGLTYWAQCSRHGGQTETRNTADTS VTVDGLDPGSSYECSVWVEKDGVYSTNETLNTSTVPAAVNITSCISTSGGYGVLLTWSCP SGGYESFEVKVGRKWRSENGSLCGKGVTVSDLEPAQSYTATVTTVFKDLKAQSLSTTCHT ESAAIIAGAIVGILLLFILVGLLIVFLKRRRKKRQPKEVPKDLVCSCPGDILAKDFADHV RENEKDSNCGFAEEYQQLALEGQGQSQITASALENRSKNRYRNVLPYDWSRVPLQPLQEE PGSDYINASFMPGLWSPKEFIATQGPLPNTVGDFWRMVWEQQSHTLVMLTNCMESGRVKC EHYWPLDAQPCIHGQLQVMLISEEASENWTVRHLQLFHMKEQQTLSLRQFHYLAWPDHGV PYSPDPLLAFRKMLRQWMDQTTDGGPPIVHCSAGVGRTGTLIALDVLLRQLECEGLVGPF SFVKKMRESRPLMVQTEAQYVFLHQCILKSLQKPAPALVPEEAMYENVASLVYENASAIM AHESEFSASGC >ENSMUSP00000105043.2 pep:known chromosome:GRCm38:2:163492318:163502612:1 gene:ENSMUSG00000078949.2 transcript:ENSMUST00000109416.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdml description:R3H domain containing-like [Source:MGI Symbol;Acc:MGI:3650937] MPLLSSIVGLTGLLLWMGHTVGALRMPNTTLVQGRPKNTAVWPLSGLGVPRHRRKRHISA RDMSALLDYHNHIRASVHPPAANMEYMVWDEQLARSAEAWATQCIWTHGPSQLMKYVGQN LSIHSGRFRSVVDLVRSWSEEKRHYSFPAPKDCTPHCPWLCSGPVCSHYTQMVWASSSRL GCAINTCSSINVWGNTWQQAVYLVCNYAIKGNWIGEAPYKAGKPCSACPPSYQGNCNSNM CFSGLKSNRLPWV >ENSMUSP00000058168.8 pep:known chromosome:GRCm38:11:106036872:106059324:1 gene:ENSMUSG00000049354.8 transcript:ENSMUST00000058438.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf7 description:DDB1 and CUL4 associated factor 7 [Source:MGI Symbol;Acc:MGI:1919083] MSLHGKRKEIYKYEAPWTVYAMNWSVRPDKRFRLALGSFVEEYNNKVQLVGLDEESSEFI CRNTFDHPYPTTKLMWIPDTKGVYPDLLATSGDYLRVWRVGETETRLECLLNNNKNSDFC APLTSFDWNEVDPYLLGTSSIDTTCTIWGLETGQVLGRVNLVSGHVKTQLIAHDKEVYDI AFSRAGGGRDMFASVGADGSVRMFDLRHLEHSTIIYEDPQHHPLLRLCWNKQDPNYLATM AMDGMEVVILDVRVPCTPVARLNNHRACVNGIAWAPHSSCHICTAADDHQALIWDIQQMP RAIEDPILAYTAEGEINNVQWASTQPDWIAICYNNCLEILRV >ENSMUSP00000034046.5 pep:known chromosome:GRCm38:8:46471041:46536051:1 gene:ENSMUSG00000018796.12 transcript:ENSMUST00000034046.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl1 description:acyl-CoA synthetase long-chain family member 1 [Source:MGI Symbol;Acc:MGI:102797] MEVHELFRYFRMPELIDIRQYVRTLPTNTLMGFGAFAALTTFWYATRPKALKPPCDLSMQ SVEIAGTTDGIRRSAVLEDDKLLVYYYDDVRTMYDGFQRGIQVSNNGPCLGSRKPNQPYE WISYKEVAELAECIGSGLIQKGFKPCSEQFIGLFSQNRPEWVIVEQGCFSYSMVVVPLYD TLGADAITYIVNKAELSVIFADKPEKAKLLLEGVENKLTPCLKIIVIMDSYGSDLVERGK KCGVEIISLKALEDLGRVNRVKPKPPEPEDLAIICFTSGTTGNPKGAMITHQNIINDCSG FIKATESAFIASTDDVLISFLPLAHMFETVVECVMLCHGAKIGFFQGDIRLLMDDLKVLQ PTIFPVVPRLLNRMFDRIFGQANTSLKRWLLDFASKRKEAELRSGIVRNNSLWDKLIFHK IQSSLGGKVRLMITGAAPVSATVLTFLRTALGCQFYEGYGQTECTAGCCLSLPGDWTAGH VGAPMPCNYVKLVDVEEMNYLASKGEGEVCVKGANVFKGYLKDPARTAEALDKDGWLHTG DIGKWLPNGTLKIIDRKKHIFKLAQGEYIAPEKIENIYLRSEAVAQVFVHGESLQAFLIA VVVPDVESLPSWAQKRGLQGSFEELCRNKDINKAILDDLLKLGKEAGLKPFEQVKGIAVH PELFSIDNGLLTPTLKAKRPELRNYFRSQIDELYATIKI >ENSMUSP00000106001.1 pep:known chromosome:GRCm38:8:46490974:46535581:1 gene:ENSMUSG00000018796.12 transcript:ENSMUST00000110372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl1 description:acyl-CoA synthetase long-chain family member 1 [Source:MGI Symbol;Acc:MGI:102797] MEVHELFRYFRMPELIDIRQYVRTLPTNTLMGFGAFAALTTFWYATRPKALKPPCDLSMQ SVEIAGTTDGIRRSAVLEDDKLLVYYYDDVRTMYDGFQRGIQVSNNGPCLGSRKPNQPYE WISYKEVAELAECIGSGLIQKGFKPCSEQFIGLFSQNRPEWVIVEQGCFSYSMVVVPLYD TLGADAITYIVNKAELSVIFADKPEKAKLLLEGVENKLTPCLKIIVIMDSYGSDLVERGK KCGVEIISLKALEDLGRVNRVKPKPPEPEDLAIICFTSGTTGNPKGAMITHQNIINDCSG FIKATESAFIASTDDVLISFLPLAHMFETVVECVMLCHGAKIGFFQGDIRLLMDDLKVLQ PTIFPVVPRLLNRMFDRIFGQANTSLKRWLLDFASKRKEAELRSGIVRNNSLWDKLIFHK IQSSLGGKVRLMITGAAPVSATVLTFLRTALGCQFYEGYGQTECTAGCCLSLPGDWTAGH VGAPMPCNYVKLVDVEEMNYLASKGEGEVCVKGANVFKGYLKDPARTAEALDKDGWLHTG DIGKWLPNGTLKIIDRKKHIFKLAQGEYIAPEKIENIYLRSEAVAQVFVHGESLQAFLIA VVVPDVESLPSWAQKRGLQGSFEELCRNKDINKAILDDLLKLGKEAGLKPFEQVKGIAVH PELFSIDNGLLTPTLKAKRPELRNYFRSQIDELYATIKI >ENSMUSP00000118845.1 pep:known chromosome:GRCm38:8:46531064:46534455:1 gene:ENSMUSG00000018796.12 transcript:ENSMUST00000152423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl1 description:acyl-CoA synthetase long-chain family member 1 [Source:MGI Symbol;Acc:MGI:102797] XDIGKWLPNGTLKIIDRKKHIFKLAQGEYIAPEKIENIYLRSEAVAQVFVHGESLQLWYP TLRAYRPGHRREAYKGPSKNCAGTRISIKLSWTTC >ENSMUSP00000106000.1 pep:known chromosome:GRCm38:8:46492832:46534480:1 gene:ENSMUSG00000018796.12 transcript:ENSMUST00000110371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl1 description:acyl-CoA synthetase long-chain family member 1 [Source:MGI Symbol;Acc:MGI:102797] MEVHELFRYFRMPELIDIRQYVRTLPTNTLMGFGAFAALTTFWYATRPKALKPPCDLSMQ SVEIAGTTDGIRRSAVLEDDKLLVYYYDDVRTMYDGFQRGIQVSNNGPCLGSRKPNQPYE WISYKEVAELAECIGSGLIQKGFKPCSEQFIGLFSQNRPEWVIVEQGCFSYSMVVVPLYD TLGADAITYIVNKAELSVIFADKPEKAKLLLEGVENKLTPCLKIIVIMDSYGSDLVERGK KCGVEIISLKALEDLGRVNRVKPKPPEPEDLAIICFTSGTTGNPKGAMITHQNIINDCSG FIKATESALTLNASDTQISYLPLAHMYEQQLQCVMLCHGAKIGFFQGDIRLLMDDLKVLQ PTIFPVVPRLLNRMFDRIFGQANTSLKRWLLDFASKRKEAELRSGIVRNNSLWDKLIFHK IQSSLGGKVRLMITGAAPVSATVLTFLRTALGCQFYEGYGQTECTAGCCLSLPGDWTAGH VGAPMPCNYVKLVDVEEMNYLASKGEGEVCVKGANVFKGYLKDPARTAEALDKDGWLHTG DIGKWLPNGTLKIIDRKKHIFKLAQGEYIAPEKIENIYLRSEAVAQVFVHGESLQAFLIA VVVPDVESLPSWAQKRGLQGSFEELCRNKDINKAILDDLLKLGKEAGLKPFEQVKGIAVH PELFSIDNGLLTPTLKAKRPELRNYFRSQIDELYATIKI >ENSMUSP00000114473.1 pep:known chromosome:GRCm38:8:46490974:46505712:1 gene:ENSMUSG00000018796.12 transcript:ENSMUST00000130563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl1 description:acyl-CoA synthetase long-chain family member 1 [Source:MGI Symbol;Acc:MGI:102797] MEVHELFRYFRMPELIDIRQYVRTLPTNTLMGFGAFAALTTFWYATRPKALKPPCDLSMQ SVEIAGTTDGIRRSAVLEDDKLLVYY >ENSMUSP00000117546.1 pep:known chromosome:GRCm38:8:46471177:46511391:1 gene:ENSMUSG00000018796.12 transcript:ENSMUST00000135955.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl1 description:acyl-CoA synthetase long-chain family member 1 [Source:MGI Symbol;Acc:MGI:102797] MEVHELFRYFRMPELIDIRQYVRTLPTNTLMGFGAFAALTTFWYATRPKALKPPCDLSMQ SVEIAGTTDGIRRSAVLEDDKLLVYYYDDVRTMYDGFQRGIQVSNNGPCLGSRKPNQPYE WISYKEVAELAECIGSG >ENSMUSP00000116465.2 pep:known chromosome:GRCm38:5:75574916:75656722:1 gene:ENSMUSG00000005672.12 transcript:ENSMUST00000144270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kit description:kit oncogene [Source:MGI Symbol;Acc:MGI:96677] MRGARGAWDLLCVLLVLLRGQTATSQPSASPGEPSPPSIHPAQSELIVEAGDTLSLTCID PDFVRWTFKTYFNEMVENKKNEWIQEKAEATRTGTYTCSNSNGLTSSIYVFVRDPAKLFL VGLPLFGKEDSDALVRCPLTDPQVSNYSLIECDGKSLPTDLTFVPNPKAGITIKNVKRAY HRLCVRCAAQRDGTWLHSDKFTLKVRAAIKAIPVVSVPETSHLLKKGDTFTVVCTIKDVS TSVNSMWLKMNPQPQHIAQVKHNSWHRGDFNYERQETLTISSARVDDSGVFMCYANNTFG SANVTTTLKVVEKGFINISPVKNTTVFVTDGENVDLVVEYEAYPKPEHQQWIYMNRTSAN KGKDYVKSDNKSNIRYVNQLRLTRLKGTEGGTYTFLVSNSDASASVTFNVYVNTKPEILT YDRLINGMLQCVAEGFPEPTIDWYFCTGAEQRCTTPVSPVDVQVQNVSVSPFGKLVVQSS IDSSVFRHNGTVECKASNDVGKSSAFFNFAFKEQIQAHTLFTPLLIGFVVAAGAMGIIVM VLTYKYLQKPMYEVQWKVVEEINGNNYVYIDPTQLPYDHKWEFPRNRLSFGKTLGAGAFG KVVEATAYGLIKSDAAMTVAVKMLKPSAHLTEREALMSELKVLSYLGNHMNIVNLLGACT VGGPTLVITEYCCYGDLLNFLRRKRDSFIFSKQEEQAEAALYKNLLHSTEPSCDSSNEYM DMKPGVSYVVPTKTDKRRSARIDSYIERDVTPAIMEDDELALDLDDLLSFSYQVAKGMAF LASKNCIHRDLAARNILLTHGRITKICDFGLARDIRNDSNYVVKGNARLPVKWMAPESIF SCVYTFESDVWSYGIFLWELFSLGSSPYPGMPVDSKFYKMIKEGFRMVSPEHAPAEMYDV MKTCWDADPLKRPTFKQVVQLIEKQISDSTKHIYSNLANCNPNPENPVVVDHSVRVNSVG SSASSTQPLLVHEDA >ENSMUSP00000005815.6 pep:known chromosome:GRCm38:5:75574955:75656722:1 gene:ENSMUSG00000005672.12 transcript:ENSMUST00000005815.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kit description:kit oncogene [Source:MGI Symbol;Acc:MGI:96677] MRGARGAWDLLCVLLVLLRGQTATSQPSASPGEPSPPSIHPAQSELIVEAGDTLSLTCID PDFVRWTFKTYFNEMVENKKNEWIQEKAEATRTGTYTCSNSNGLTSSIYVFVRDPAKLFL VGLPLFGKEDSDALVRCPLTDPQVSNYSLIECDGKSLPTDLTFVPNPKAGITIKNVKRAY HRLCVRCAAQRDGTWLHSDKFTLKVRAAIKAIPVVSVPETSHLLKKGDTFTVVCTIKDVS TSVNSMWLKMNPQPQHIAQVKHNSWHRGDFNYERQETLTISSARVDDSGVFMCYANNTFG SANVTTTLKVVEKGFINISPVKNTTVFVTDGENVDLVVEYEAYPKPEHQQWIYMNRTSAN KGKDYVKSDNKSNIRYVNQLRLTRLKGTEGGTYTFLVSNSDASASVTFNVYVNTKPEILT YDRLINGMLQCVAEGFPEPTIDWYFCTGAEQRCTTPVSPVDVQVQNVSVSPFGKLVVQSS IDSSVFRHNGTVECKASNDVGKSSAFFNFAFKGNNKEQIQAHTLFTPLLIGFVVAAGAMG IIVMVLTYKYLQKPMYEVQWKVVEEINGNNYVYIDPTQLPYDHKWEFPRNRLSFGKTLGA GAFGKVVEATAYGLIKSDAAMTVAVKMLKPSAHLTEREALMSELKVLSYLGNHMNIVNLL GACTVGGPTLVITEYCCYGDLLNFLRRKRDSFIFSKQEEQAEAALYKNLLHSTEPSCDSS NEYMDMKPGVSYVVPTKTDKRRSARIDSYIERDVTPAIMEDDELALDLDDLLSFSYQVAK GMAFLASKNCIHRDLAARNILLTHGRITKICDFGLARDIRNDSNYVVKGNARLPVKWMAP ESIFSCVYTFESDVWSYGIFLWELFSLGSSPYPGMPVDSKFYKMIKEGFRMVSPEHAPAE MYDVMKTCWDADPLKRPTFKQVVQLIEKQISDSTKHIYSNLANCNPNPENPVVVDHSVRV NSVGSSASSTQPLLVHEDA >ENSMUSP00000012627.4 pep:known chromosome:GRCm38:6:8255936:8259173:-1 gene:ENSMUSG00000012483.4 transcript:ENSMUST00000012627.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpa3 description:replication protein A3 [Source:MGI Symbol;Acc:MGI:1915490] MEDIMQLPKARVNASMLPQYIDRPVCFVGKLEKIHPTGKMFILSDGEGKNGTIELMEPLD EEISGIVEVVGKVTAKATVLCASYTLFKEDTNRFDLELYNEAVKIINELPQFFPVGLPQH E >ENSMUSP00000065278.6 pep:known chromosome:GRCm38:11:100408456:100414836:-1 gene:ENSMUSG00000006931.15 transcript:ENSMUST00000066489.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P3h4 description:prolyl 3-hydroxylase family member 4 (non-enzymatic) [Source:MGI Symbol;Acc:MGI:1913430] MARGAWGLLWLLLGSAGAQYEKYSFRGFPPEDLMPLATAYGHALEQYEGESWRESARYLE AALRLHRLLRDSEAFCHANCSGPATSQPRPASGPDGDHDGDGEDWARELRLFGHVLERAA CLRRCKKTLPAFQVPYPPRQLLRDFQSRLPYQYLHYAHFKANRLEKAVAAAYTFLQRNPK HELTAKYLNYYRGMLDIGDESLTDLEAQPYEAVFLRAVKLYNSGDFRSSTEDMERALAEY MTVFARCLAGCEGAHEQVDFKDFYPAIADLFAESLQCKVDCETNLTPNVGGFFVDKFVAT MYHYLQFAYYKLNDVRQAARSAASYMLFDPKDSVMQQNLVYYRFHRARWGLEDEDFQPRE EAMLYHNQTSELRELLDFTHMYLQSDDEMELEETESLPEPEEPLSDAEFEGEGDYEEGLY ADWWQEPEAKGDEAEAEPEPELT >ENSMUSP00000135217.1 pep:known chromosome:GRCm38:11:100409366:100411874:-1 gene:ENSMUSG00000006931.15 transcript:ENSMUST00000141840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P3h4 description:prolyl 3-hydroxylase family member 4 (non-enzymatic) [Source:MGI Symbol;Acc:MGI:1913430] XNDVRQAARSAASYMLFDPKDSVMQQNLEAMLYHNQTSELRELLDFTHMYLQSDDEMELE ETESLPEPEEPLSDAEFEGEGDYEEGLYADWWQEPEAKGDEAE >ENSMUSP00000099838.3 pep:known chromosome:GRCm38:4:101717404:101792258:1 gene:ENSMUSG00000057722.17 transcript:ENSMUST00000102777.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lepr description:leptin receptor [Source:MGI Symbol;Acc:MGI:104993] MMCQKFYVVLLHWEFLYVIAALNLAYPISPWKFKLFCGPPNTTDDSFLSPAGAPNNASAL KGASEAIVEAKFNSSGIYVPELSKTVFHCCFGNEQGQNCSALTDNTEGKTLASVVKASVF RQLGVNWDIECWMKGDLTLFICHMEPLPKNPFKNYDSKVHLLYDLPEVIDDSPLPPLKDS FQTVQCNCSLRGCECHVPVPRAKLNYALLMYLEITSAGVSFQSPLMSLQPMLVVKPDPPL GLHMEVTDDGNLKISWDSQTMAPFPLQYQVKYLENSTIVREAAEIVSATSLLVDSVLPGS SYEVQVRSKRLDGSGVWSDWSSPQVFTTQDVVYFPPKILTSVGSNASFHCIYKNENQIIS SKQIVWWRNLAEKIPEIQYSIVSDRVSKVTFSNLKATRPRGKFTYDAVYCCNEQACHHRY AELYVIDVNINISCETDGYLTKMTCRWSPSTIQSLVGSTVQLRYHRRSLYCPDSPSIHPT SEPKNCVLQRDGFYECVFQPIFLLSGYTMWIRINHSLGSLDSPPTCVLPDSVVKPLPPSN VKAEITVNTGLLKVSWEKPVFPENNLQFQIRYGLSGKEIQWKTHEVFDAKSKSASLLVSD LCAVYVVQVRCRRLDGLGYWSNWSSPAYTLVMDVKVPMRGPEFWRKMDGDVTKKERNVTL LWKPLTKNDSLCSVRRYVVKHRTAHNGTWSEDVGNRTNLTFLWTEPAHTVTVLAVNSLGA SLVNFNLTFSWPMSKVSAVESLSAYPLSSSCVILSWTLSPDDYSLLYLVIEWKILNEDDG MKWLRIPSNVKKFYIHDNFIPIEKYQFSLYPVFMEGVGKPKIINGFTKDAIDKQQNDAGL YVIVPIIISSCVLLLGTLLISHQRMKKLFWDDVPNPKNCSWAQGLNFQKVTV >ENSMUSP00000102534.2 pep:known chromosome:GRCm38:4:101717404:101813667:1 gene:ENSMUSG00000057722.17 transcript:ENSMUST00000106921.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lepr description:leptin receptor [Source:MGI Symbol;Acc:MGI:104993] MMCQKFYVVLLHWEFLYVIAALNLAYPISPWKFKLFCGPPNTTDDSFLSPAGAPNNASAL KGASEAIVEAKFNSSGIYVPELSKTVFHCCFGNEQGQNCSALTDNTEGKTLASVVKASVF RQLGVNWDIECWMKGDLTLFICHMEPLPKNPFKNYDSKVHLLYDLPEVIDDSPLPPLKDS FQTVQCNCSLRGCECHVPVPRAKLNYALLMYLEITSAGVSFQSPLMSLQPMLVVKPDPPL GLHMEVTDDGNLKISWDSQTMAPFPLQYQVKYLENSTIVREAAEIVSATSLLVDSVLPGS SYEVQVRSKRLDGSGVWSDWSSPQVFTTQDVVYFPPKILTSVGSNASFHCIYKNENQIIS SKQIVWWRNLAEKIPEIQYSIVSDRVSKVTFSNLKATRPRGKFTYDAVYCCNEQACHHRY AELYVIDVNINISCETDGYLTKMTCRWSPSTIQSLVGSTVQLRYHRRSLYCPDSPSIHPT SEPKNCVLQRDGFYECVFQPIFLLSGYTMWIRINHSLGSLDSPPTCVLPDSVVKPLPPSN VKAEITVNTGLLKVSWEKPVFPENNLQFQIRYGLSGKEIQWKTHEVFDAKSKSASLLVSD LCAVYVVQVRCRRLDGLGYWSNWSSPAYTLVMDVKVPMRGPEFWRKMDGDVTKKERNVTL LWKPLTKNDSLCSVRRYVVKHRTAHNGTWSEDVGNRTNLTFLWTEPAHTVTVLAVNSLGA SLVNFNLTFSWPMSKVSAVESLSAYPLSSSCVILSWTLSPDDYSLLYLVIEWKILNEDDG MKWLRIPSNVKKFYIHDNFIPIEKYQFSLYPVFMEGVGKPKIINGFTKDAIDKQQNDAGL YVIVPIIISSCVLLLGTLLISHQRMKKLFWDDVPNPKNCSWAQGLNFQKRTDTL >ENSMUSP00000037385.3 pep:known chromosome:GRCm38:4:101717404:101815352:1 gene:ENSMUSG00000057722.17 transcript:ENSMUST00000037552.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lepr description:leptin receptor [Source:MGI Symbol;Acc:MGI:104993] MMCQKFYVVLLHWEFLYVIAALNLAYPISPWKFKLFCGPPNTTDDSFLSPAGAPNNASAL KGASEAIVEAKFNSSGIYVPELSKTVFHCCFGNEQGQNCSALTDNTEGKTLASVVKASVF RQLGVNWDIECWMKGDLTLFICHMEPLPKNPFKNYDSKVHLLYDLPEVIDDSPLPPLKDS FQTVQCNCSLRGCECHVPVPRAKLNYALLMYLEITSAGVSFQSPLMSLQPMLVVKPDPPL GLHMEVTDDGNLKISWDSQTMAPFPLQYQVKYLENSTIVREAAEIVSATSLLVDSVLPGS SYEVQVRSKRLDGSGVWSDWSSPQVFTTQDVVYFPPKILTSVGSNASFHCIYKNENQIIS SKQIVWWRNLAEKIPEIQYSIVSDRVSKVTFSNLKATRPRGKFTYDAVYCCNEQACHHRY AELYVIDVNINISCETDGYLTKMTCRWSPSTIQSLVGSTVQLRYHRRSLYCPDSPSIHPT SEPKNCVLQRDGFYECVFQPIFLLSGYTMWIRINHSLGSLDSPPTCVLPDSVVKPLPPSN VKAEITVNTGLLKVSWEKPVFPENNLQFQIRYGLSGKEIQWKTHEVFDAKSKSASLLVSD LCAVYVVQVRCRRLDGLGYWSNWSSPAYTLVMDVKVPMRGPEFWRKMDGDVTKKERNVTL LWKPLTKNDSLCSVRRYVVKHRTAHNGTWSEDVGNRTNLTFLWTEPAHTVTVLAVNSLGA SLVNFNLTFSWPMSKVSAVESLSAYPLSSSCVILSWTLSPDDYSLLYLVIEWKILNEDDG MKWLRIPSNVKKFYIHDNFIPIEKYQFSLYPVFMEGVGKPKIINGFTKDAIDKQQNDAGL YVIVPIIISSCVLLLGTLLISHQRMKKLFWDDVPNPKNCSWAQGLNFQKPETFEHLFTKH AESVIFGPLLLEPEPISEEISVDTAWKNKDEMVPAAMVSLLLTTPDPESSSICISDQCNS ANFSGSQSTQVTCEDECQRQPSVKYATLVSNDKLVETDEEQGFIHSPVSNCISSNHSPLR QSFSSSSWETEAQTFFLLSDQQPTMISPQLSFSGLDELLELEGSFPEENHREKSVCYLGV TSVNRRESGVLLTGEAGILCTFPAQCLFSDIRILQERCSHFVENNLSLGTSGENFVPYMP QFQTCSTHSHKIMENKMCDLTV >ENSMUSP00000123654.1 pep:known chromosome:GRCm38:4:101717407:101733317:1 gene:ENSMUSG00000057722.17 transcript:ENSMUST00000145024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lepr description:leptin receptor [Source:MGI Symbol;Acc:MGI:104993] MMCQKFYVVLLHWEFLYVIAALNLAYPISP >ENSMUSP00000129118.1 pep:known chromosome:GRCm38:14:42291907:42297358:-1 gene:ENSMUSG00000095371.1 transcript:ENSMUST00000169972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9611 description:predicted gene 9611 [Source:MGI Symbol;Acc:MGI:3780019] XRSSWVPKQACCPCSSGYFGERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSAGEASP QAPTINEQEKRQERLQKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKCMPQDISEALYKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKILCNEGSQKIKDHYTKKQQV >ENSMUSP00000098263.3 pep:known chromosome:GRCm38:14:42293893:42294779:-1 gene:ENSMUSG00000095371.1 transcript:ENSMUST00000100698.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9611 description:predicted gene 9611 [Source:MGI Symbol;Acc:MGI:3780019] HRINFETFMLEMQHDQVMTDLKCMPQDISEALYKCKQLTKENQFYCFRNCHLLIESNLIQ HKVRMLRKENRQLLREQ >ENSMUSP00000105035.3 pep:known chromosome:GRCm38:2:163602322:163616500:1 gene:ENSMUSG00000017679.16 transcript:ENSMUST00000109408.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttpal description:tocopherol (alpha) transfer protein-like [Source:MGI Symbol;Acc:MGI:1923330] MSEESDSLRTSPSVASLSENELPLPPPDPPGYVCSLTEDLVTKAREELQEKPEWRLRDVQ ALRDMVRKEYPYLSTSLDDAFLLRFLRARKFDYDRALQLLVNYHGCRRSWPEVFSNLRPS ALKDVLNSGFLTVLPHTDPRGCHVLCIRPDRWIPSNYPITENIRAVYLTLEKLIQSEETQ VNGIVILADYKGVSLSKASHFGPFIAKKVIGILQDGFPIRIKAVHIVNEPRIFKGIFAII KPFLKEKIANRFFLHGSDLNSLHTNLPRNILPKEYGGTAGELDTASWNAVLLASEEDFVK EFCQPMPACDNLLGQPLLPEGLISDAQCDDSMRAMKSQLYSCY >ENSMUSP00000121669.1 pep:known chromosome:GRCm38:2:163602349:163607291:1 gene:ENSMUSG00000017679.16 transcript:ENSMUST00000152135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttpal description:tocopherol (alpha) transfer protein-like [Source:MGI Symbol;Acc:MGI:1923330] MSEESDSLRTSPSVASLSENEL >ENSMUSP00000105032.3 pep:known chromosome:GRCm38:2:163602351:163619013:1 gene:ENSMUSG00000017679.16 transcript:ENSMUST00000109405.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttpal description:tocopherol (alpha) transfer protein-like [Source:MGI Symbol;Acc:MGI:1923330] MSEESDSLRTSPSVASLSENELPLPPPDPPGYVCSLTEDLVTKAREELQEKPEWRLRDVQ ALRDMVRKEYPYLSTSLDDAFLLRFLRARKFDYDRALQLLVNYHGCRRSWPEVFSNLRPS ALKDVLNSGFLTVLPHTDPRGCHVLCIRPGWLPHSDKSSSHSERTSDI >ENSMUSP00000121527.1 pep:known chromosome:GRCm38:2:163602563:163611897:1 gene:ENSMUSG00000017679.16 transcript:ENSMUST00000156839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttpal description:tocopherol (alpha) transfer protein-like [Source:MGI Symbol;Acc:MGI:1923330] MSEESDSLRTSPSVASLSENELPLPPPDPPGYVCSLTEDLVTKAREELQEKPEWRLRDVQ ALRDMVRKEYPYLSTSLDDAFLLRFLRARKFDYDRALQLLVNYHGCRRSWPEVFSNLRPS ALKDVLNSGFLTVLPHTDPRGCHVLCIRPDRWIPSNYPITENIRAVYLTLEKLIQSEETQ VNGIVILADYKGVSLS >ENSMUSP00000128922.1 pep:known chromosome:GRCm38:2:163602314:163619012:1 gene:ENSMUSG00000017679.16 transcript:ENSMUST00000171696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttpal description:tocopherol (alpha) transfer protein-like [Source:MGI Symbol;Acc:MGI:1923330] MSEESDSLRTSPSVASLSENELPLPPPDPPGYVCSLTEDLVTKAREELQEKPEWRLRDVQ ALRDMVRKEYPYLSTSLDDAFLLRFLRARKFDYDRALQLLVNYHGCRRSWPEVFSNLRPS ALKDVLNSGFLTVLPHTDPRGCHVLCIRPDRWIPSNYPITENIRAVYLTLEKLIQSEETQ VNGIVILADYKGVSLSKASHFGPFIAKKVIGILQDGFPIRIKAVHIVNEPRIFKGIFAII KPFLKEKIANRFFLHGSDLNSLHTNLPRNILPKEYGGTAGELDTASWNAVLLASEEDFVK EFCQPMPACDNLLGQPLLPEGLISDAQCDDSMRAMKSQLYSCY >ENSMUSP00000103240.1 pep:known chromosome:GRCm38:11:97136173:97149712:-1 gene:ENSMUSG00000038517.15 transcript:ENSMUST00000107615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbkbp1 description:TBK1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1920424] MESMFEDDISILTQEALGPSEVWLDGPGDPSLGGDMCSASHFALITAYGDIKERLGGLER ENATLRRRLKVYEIKYPLITDFGEEHGFPLYELKDGSLLEVEKVSLQQRLNQFQHELQKS KEQEEQLGEMIQAYEKLCVEKSDLETELGEMRALVETHLRQICGLEKQLQQQQGLRDAAF SSLSPPAVPASACPDLDLHYLALRGGPALGHGWPGPTSVSVSELERRRLEEALEAAQGEA RGAQLREEQLQAECERLQGELKQLQETRAQDLASNQSECDMAWVKRVGDDQVNLALAYTE LTEELGRLRELSSLQGRILRTLLQEQARNAGQRHSPLSQRHSPAPACPSPSPPARPPPCA PCQSPAAQRRSPVPPCPSPQQRRSPASPSCPSPVPQRRSPVPPSCQSPSPQRRSPVPPSC PAPQPRPPPPPGERTLAERVYAKPPSHHAKAGFQGRRSYSELAEGAAYAGASPAWLQAEA ATLPKPRAYGGELYGRPLSPRRAFEGIRLRFEKQPSEEEEWAMPASPPSPEAGTIRCASF CAGFPIPESPAATAYAHAEHAQSWPSINLLMETVGSDIRSCPLCQLGFPVGYPDDALIKH IDSHLENSKI >ENSMUSP00000112396.1 pep:known chromosome:GRCm38:11:97136177:97150046:-1 gene:ENSMUSG00000038517.15 transcript:ENSMUST00000118375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbkbp1 description:TBK1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1920424] MESMFEDDISILTQEALGPSEVWLDGPGDPSLGGDMCSASHFALITAYGDIKERLGGLER ENATLRRRLKVYEIKYPLITDFGEEHGFPLYELKDGSLLEVEKVSLQQRLNQFQHELQKS KEQEEQLGEMIQAYEKLCVEKSDLETELGEMRALVETHLRQICGLEKQLQQQQGLRDAAF SSLSPPAVPASACPDLDLHYLALRGGPALGHGWPGPTSVSVSELERRRLEEALEAAQGEA RGAQLREEQLQAECERLQGELKQLQETRAQDLASNQSECDMAWVKRVGDDQVNLALAYTE LTEELGRLRELSSLQGRILRTLLQEQARNAGQRHSPLSQRHSPAPACPSPSPPARPPPCA PCQSPAAQRRSPVPPCPSPQQRRSPASPSCPSPVPQRRSPVPPSCQSPSPQRRSPVPPSC PAPQPRPPPPPGERTLAERVYAKPPSHHAKAGFQGRRSYSELAEGAAYAGASPAWLQAEA ATLPKPRAYGGELYGRPLSPRRAFEGIRLRFEKQPSEEEEWAMPASPPSPEAGTIRCASF CAGFPIPESPAATAYAHAEHAQSWPSINLLMETVGSDIRSCPLCQLGFPVGYPDDALIKH IDSHLENSKI >ENSMUSP00000103239.1 pep:known chromosome:GRCm38:11:97137099:97151036:-1 gene:ENSMUSG00000038517.15 transcript:ENSMUST00000107614.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbkbp1 description:TBK1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1920424] MESMFEDDISILTQEALGPSEVWLDGPGDPSLGGDMCSASHFALITAYGDIKERLGGLER ENATLRRRLKVYEIKYPLITDFGEEHGFPLYELKDGSLLEVEKVSLQQRLNQFQHELQKS KEQEEQLGEMIQAYEKLCVEKSDLETELGEMRALVETHLRQICGLEKQLQQQQGLRDAAF SSLSPPAVPASACPDLDLHYLALRGGPALGHAGWPGPTSVSVSELERRRLEEALEAAQGE ARGAQLREEQLQAECERLQGELKQLQETRAQDLASNQSECDMAWVKRVGDDQVNLALAYT ELTEELGRLRELSSLQGRILRTLLQEQARNAGQRHSPLSQRHSPAPACPSPSPPARPPPC APCQSPAAQRRSPVPPCPSPQQRRSPASPSCPSPVPQRRSPVPPSCQSPSPQRRSPVPPS CPAPQPRPPPPPGERTLAERVYAKPPSHHAKAGFQGRRSYSELAEGAAYAGASPAWLQAE AATLPKPRAYGGELYGRPLSPRRAFEGIRLRFEKQPSEEEEWAMPASPPSPEAGTIRCAS FCAGFPIPESPAATAYAHAEHAQSWPSINLLMETVGSDIRSCPLCQLGFPVGYPDDALIK HIDSHLENSKI >ENSMUSP00000103238.1 pep:known chromosome:GRCm38:11:97138406:97150048:-1 gene:ENSMUSG00000038517.15 transcript:ENSMUST00000107613.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbkbp1 description:TBK1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1920424] MESMFEDDISILTQEALGPSEVWLDGPGDPSLGGDMCSASHFALITAYGDIKERLGGLER ENATLRRRLKVYEIKYPLITDFGEEHGFPLYELKDGSLLEVEKVSLQQRLNQFQHELQKS KEQEEQLGEMIQAYEKLCVEKSDLETELGEMEL >ENSMUSP00000114460.1 pep:known chromosome:GRCm38:11:97148629:97151495:-1 gene:ENSMUSG00000038517.15 transcript:ENSMUST00000146374.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbkbp1 description:TBK1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1920424] MESMFEDDISILTQEALGPSEVWLDGPGDPSLGGDMCSASHFALITAYGDIKERLGGLER ENATLRRRLKVYEIKYPLITDFGEEHGFPLYELKDGSLLEVEKVSLQQRLNQFQHELQKS KEQEEQLGEMIQAYEKLCVEKSDLETELGEM >ENSMUSP00000065461.5 pep:known chromosome:GRCm38:11:97136171:97149712:-1 gene:ENSMUSG00000038517.15 transcript:ENSMUST00000066078.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbkbp1 description:TBK1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1920424] MESMFEDDISILTQEALGPSEVWLDGPGDPSLGGDMCSASHFALITAYGDIKERLGGLER ENATLRRRLKVYEIKYPLITDFGEEHGFPLYELKDGSLLEVEKVSLQQRLNQFQHELQKS KEQEEQLGEMIQAYEKLCVEKSDLETELGEMRALVETHLRQICGLEKQLQQQQGLRDAAF SSLSPPAVPASACPDLDLHYLALRGGPALGHAGWPGPTSVSVSELERRRLEEALEAAQGE ARGAQLREEQLQAECERLQGELKQLQETRAQDLASNQSECDMAWVKRVGDDQVNLALAYT ELTEELGRLRELSSLQGRILRTLLQEQARNAGQRHSPLSQRHSPAPACPSPSPPARPPPC APCQSPAAQRRSPVPPCPSPQQRRSPASPSCPSPVPQRRSPVPPSCQSPSPQRRSPVPPS CPAPQPRPPPPPGERTLAERVYAKPPSHHAKAGFQGRRSYSELAEGAAYAGASPAWLQAE AATLPKPRAYGGELYGRPLSPRRAFEGIRLRFEKQPSEEEEWAMPASPPSPEAGTIRCAS FCAGFPIPESPAATAYAHAEHAQSWPSINLLMETVGSDIRSCPLCQLGFPVGYPDDALIK HIDSHLENSKI >ENSMUSP00000007161.5 pep:known chromosome:GRCm38:7:43881160:43885804:1 gene:ENSMUSG00000006948.7 transcript:ENSMUST00000007161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk4 description:kallikrein related-peptidase 4 (prostase, enamel matrix, prostate) [Source:MGI Symbol;Acc:MGI:1861379] MMVTARTPWGWFLGCLILEVTGASASSVSSRIIQGQDCSPHSQPWQAALFSEDGFFCSGV LVHPQWVLSAAHCLQESYIVGLGLHNLKGSQEPGSRMLEAHLSIQHPNFNDPSFANDLML IKLNESVIESNTIRSIPVATQCPTPGDTCLVSGWGQLKNGKLPSLLQCVNLSVASEETCR LLYDPVYHLSMFCAGGGQDQKDSCNGDSGGPIVCNRSLQGLVSMGQGKCGQPGIPSVYTN LCKFTNWIQTIIQTN >ENSMUSP00000002048.7 pep:known chromosome:GRCm38:11:106066061:106073612:1 gene:ENSMUSG00000001983.7 transcript:ENSMUST00000002048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taco1 description:translational activator of mitochondrially encoded cytochrome c oxidase I [Source:MGI Symbol;Acc:MGI:1917457] MMSWAAASLRMTAVPCFRVRCLGFRVGPWGASQHANPGCGAAPHRTLHVSATASAGHNKW SKVRHIKGPKDMERSRIFSKLTLSIRLAVKEGGPNPENNSSLANILELCRSKNMPKSTIE SALKTEKNKGIYLLYEGRGPGGSSLLIEALSNSGPKCHLDIKYILNKNGGMMAEGARHFF DKKGVVVVGVEDREKKAVNLERALELAIEAGAEDVKEAEDEEEKNLFKFICDASSLHQVR KKLDSLGLCPVSCSMEFIPHSKVQLAEPELEQAAHLIQALNNYEDVIHVYDNIE >ENSMUSP00000028243.1 pep:known chromosome:GRCm38:2:35354218:35367729:-1 gene:ENSMUSG00000026882.1 transcript:ENSMUST00000028243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930568D16Rik description:RIKEN cDNA 4930568D16 gene [Source:MGI Symbol;Acc:MGI:1923109] MQYKKEALLLMLFAVLLALTQRFSYSRTKDHLQKMYACWKDHLEEPHLSTWFDPKKRPDV IATTGWLAPVLWEGTYNREVLEQYYKRLNITIGLAVFATGNFSKEPLRRFIKSADKYFMV GYNVIFYILADSTYNLPYFELGPLRTLKTWRLFEEEMCQDCNLRNMNNMHSKIIQCIQYE VNFLFMMAVNQTFKNNFGVETLGKSVAQLHAWWYFKKPRDFPYERRTKSAAFIPFEKGDF YYHRAIVGGTPLNVLNLIEQYIKGITDDNTNKLVSTFESHLNKYFFINKPARVLSPEYNW DPRFKTPPEIKHIKIAWKP >ENSMUSP00000085625.3 pep:known chromosome:GRCm38:1:33719895:33742564:1 gene:ENSMUSG00000004768.14 transcript:ENSMUST00000088287.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab23 description:RAB23, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:99833] MLEEDMEVAIKMVVVGNGAVGKSSMIQRYCKGIFTKDYKKTIGVDFLERQIQVNDEDVRL MLWDTAGQEEFDAITKAYYRGAQACVLVFSTTDRESFEAISSWREKVVAEVGDIPTALVQ NKIDLLDDSCIKNEEAEGLAKRLKLRFYRTSVKEDLNVSEVFKYLAEKHLQKLKQQITED PEQTHSSSNKIGVFNASVRSHLGQNSSSLNGGDVINLRPNKQRTKRTRNPFSSCSVP >ENSMUSP00000137896.1 pep:known chromosome:GRCm38:1:33719909:33742557:1 gene:ENSMUSG00000004768.14 transcript:ENSMUST00000138024.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab23 description:RAB23, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:99833] MLEEDMEVAIKMVVVGNGAVGKSSMIQRYCKGIFTKDYKKTIGVDFLERQIQYVPSALSL GSDL >ENSMUSP00000110828.1 pep:known chromosome:GRCm38:1:33720407:33742561:1 gene:ENSMUSG00000004768.14 transcript:ENSMUST00000115174.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab23 description:RAB23, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:99833] MLEEDMEVAIKMVVVGNGAVGKSSMIQRYCKGIFTKDYKKTIGVDFLERQIQVNDEDVRL MLWDTAGQEEFDAITKAYYRGAQACVLVFSTTDRESFEAISSWREKVVAEVGDIPTALVQ NKIDLLDDSCIKNEEAEGLAKRLKLRFYRTSVKEDLNVSEVFKYLAEKHLQKLKQQITED PEQTHSSSNKIGVFNASVRSHLGQNSSSLNGGDVINLRPNKQRTKRTRNPFSSCSVP >ENSMUSP00000040576.4 pep:known chromosome:GRCm38:4:123233556:123249875:1 gene:ENSMUSG00000032744.4 transcript:ENSMUST00000040821.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heyl description:hairy/enhancer-of-split related with YRPW motif-like [Source:MGI Symbol;Acc:MGI:1860511] MKRPRAPSGSDGESDGPIDVGQENDLSQMARPLTTPSPSQMQARKKRRGIIEKRRRDRIN SSLSELRRLVPTAFEKQGSSKLEKAEVLQMTVDHLKMLHASGGTGFFDARALAVDFRSIG FRECLTEVIRYLGVLEGPSSHADPVRIRLLSHLKSYAAEMEPSPTTTSALAFPVWPWSFL HSCPGLPSLNSQLAILGRVPGPVLPSISSPPYPISALRSAPVHRPAGTIPPTRRNLLPSR GVTSTQRAHLPERPAAPPPTALDARAARSIVPIPPCSPTTAPGAGKSDDNVSGSISSPCP SGPTGRPAGAVFYHSWVSEITEIGAF >ENSMUSP00000034015.4 pep:known chromosome:GRCm38:8:64718139:64733792:-1 gene:ENSMUSG00000031604.10 transcript:ENSMUST00000034015.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msmo1 description:methylsterol monoxygenase 1 [Source:MGI Symbol;Acc:MGI:1913484] MATNKSVGVFSSASLAVEYVDSLLPENPLQEPFKNAWVYMLDNYTKFQIATWGSLIVHEA IYFLFSLPGFLFQFIPYMRKYKIQKDKPETFEGQWKCLKKILFNHFFIQLPLICGTYYFT EFFNIPYDWERMPRWYLTLARCLGCAVIEDTWHYFLHRLLHHKRIYKYIHKVHHEFQAPF GIEAEYAHPLETLILGTGFFIGIVLLCDHVILLWAWVTIRLLETIDVHSGYDIPLNPLNL VPFYTGARHHDFHHMNFIGNYASTFTWWDKLFGTDAQYHAYIEKSKKLGKKSD >ENSMUSP00000121070.1 pep:known chromosome:GRCm38:8:64727650:64733548:-1 gene:ENSMUSG00000031604.10 transcript:ENSMUST00000141021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msmo1 description:methylsterol monoxygenase 1 [Source:MGI Symbol;Acc:MGI:1913484] MATNKSVGVFSSASLAVEYVDSLLPENPLQEPFKNAWVYMLDNYTKFQIATWGSLIVHEA IYFLFSLPGFLFQFIP >ENSMUSP00000117545.1 pep:known chromosome:GRCm38:8:64723665:64731059:-1 gene:ENSMUSG00000031604.10 transcript:ENSMUST00000147419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msmo1 description:methylsterol monoxygenase 1 [Source:MGI Symbol;Acc:MGI:1913484] MATNKSVGVFSSASLAVEYVDSLLPENPLQEPFKNAWVYMLDNYTKFQIATWGSLIVHEA IYFLFSLPGFLFQFIPYMRKYKIQKDKPETFEGQWKCLKKILFNHFFIQ >ENSMUSP00000053871.5 pep:known chromosome:GRCm38:7:55977567:56019954:-1 gene:ENSMUSG00000047037.5 transcript:ENSMUST00000052204.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipa1 description:non imprinted in Prader-Willi/Angelman syndrome 1 homolog (human) [Source:MGI Symbol;Acc:MGI:2442058] MGTAAAAAAAGEGARGPSPAAVSLGLGVAVVSSLVNGSTFVLQKKGIVRAKRRGTSYLTD IVWWAGTIAMAVGQIGNFLAYTAVPTVLVTPLGALGVPFGSILASYLLKEKLNILGKLGC LLSCAGSVVLIIHSPKSESVTTQAELEEKLTNPVFVGYLCIVLLMLLLLIFWIAPAHGPT NIMVYISICSLLGSFTVPSTKGIGLAAQDILHNNPSSQRALCLCLVLLAVLGCSIIVQFR YINKALECFDSSVFGAIYYVVFTTLVLLASAILFREWSNVGLVDFLGMACGFTTVSVGIV LIQVFKEFNFNLGEMNKSNMKTD >ENSMUSP00000101395.1 pep:known chromosome:GRCm38:4:143809245:143816089:-1 gene:ENSMUSG00000059218.14 transcript:ENSMUST00000105769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13084 description:predicted gene 13084 [Source:MGI Symbol;Acc:MGI:3650237] MMMCNHCPDQHDSLEEDRMNFYSPPTLMELAIQRLLRDEYLAISALKDLPNMMFPVMFKE AFIDGRTKILTAMIPVWPFPYLSVGTMLKNLNLDTLKAVLEGIDILISKPVLSSRCKLRE ITLSHDLVVVWAGSHEVEGLPEFMEQEKPVENSPGYGTKNKLKVTTELQFMEGHLDECST YLLQWAYQREDSIHLHCRKLKIYGLTKATVIEMFKIVHAEYIEDLELSCLCLEDLDFLNP YLKQMSNLLSLTLDEIIYTLNIDDYRNINEEKVITVISHLPTFHHLQELYVHGVIFIECL RCLRKPLEVLSFTDCDLSQSDLDYLPYCLNIFELRSLHLTDAGHWTCT >ENSMUSP00000074557.3 pep:known chromosome:GRCm38:4:143810000:143816093:-1 gene:ENSMUSG00000059218.14 transcript:ENSMUST00000075045.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13084 description:predicted gene 13084 [Source:MGI Symbol;Acc:MGI:3650237] MMMCNHCPDQHDSLEEDRMNFYSPPTLMELAIQRLLRDEYLAISALKDLPNMMFPVMFKE AFIDGRTKILTAMIPVWPFPYLSVGTMLKNLNLDTLKAVLEGIDILISKPVLSSRCKLRE ITLSHDLVVVWAGSHEVEGLPEFMEQEKPVENSPGYGTKNKLKVTTELQFMEGHLDECST YLLQWAYQREDSIHLHCRKLKIYGLTKATVIEMFKIVHAEYIEDLELSCLCLEDLDFLNP YLKQMSNLLSLTLDEIIYTLNIDDYRNINEEKVITVISHLPTFHHLQELYVHGVIFIECL RCLRKPLEVLSFTDCDLSQSDLDYLPYCLNIFELRSLHLTDVRLSNLLLEPLGFLLERVR HTLKSLQLMSCEMGETHFNALLPALSQCYQLTVVNFYGNELSLLFLKKLLHHTAKLSQLA DELYPAPQECYDNRDVVLSHRLEHFCSELLDILRAIREPKKVTFGTIKCSKCGGSYVYDL ETQCCFFEKNPPWA >ENSMUSP00000132956.1 pep:known chromosome:GRCm38:14:42310211:42325394:1 gene:ENSMUSG00000094157.7 transcript:ENSMUST00000171967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7995 description:predicted gene 7995 [Source:MGI Symbol;Acc:MGI:3779776] XSAGRTSSQNCNITNHMKNMNKLDDMKFYIRKINAELEELFRILDIDMNTDLNYRMNTEF TIIKSQHEKTMLDMQKMKQSISDSIDKYKEFIEDNDSYSIRHTHLLKECNQLKEKVRMLL NENRKLLVEQADQEASFGEEKSSVMRPARTYIPSAKQQQL >ENSMUSP00000087222.4 pep:known chromosome:GRCm38:14:42310210:42312273:1 gene:ENSMUSG00000094157.7 transcript:ENSMUST00000089789.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7995 description:predicted gene 7995 [Source:MGI Symbol;Acc:MGI:3779776] VSAGRTSSQNCNITNHMKNMNKLDDMKFYIRKINAELEELFRILDIDMNTDLNYRMNTEF TIIKSQHEKTMLDMQKMKQSISDSIDKYKEFIEDNDSYSIRHTHLLKECNQLKEKVRMLL NENRKLLVEQADQEASFGEEKSS >ENSMUSP00000082758.4 pep:known chromosome:GRCm38:7:34021483:34022881:1 gene:ENSMUSG00000066583.4 transcript:ENSMUST00000085618.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b27 description:secretoglobin, family 1B, member 27 [Source:MGI Symbol;Acc:MGI:87862] MKLTGALLLLGAALLLISEGDCGLCPALQRKVDLFLNGTTEEYVEYLKQFNENTKVLENA ANIKKCSDRTLTEEDKAQATSLINKITASRTC >ENSMUSP00000133764.1 pep:known chromosome:GRCm38:7:34021567:34022449:1 gene:ENSMUSG00000066583.4 transcript:ENSMUST00000173387.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b27 description:secretoglobin, family 1B, member 27 [Source:MGI Symbol;Acc:MGI:87862] MKLTGALLLLGAALLLISEGDCGLCPALQRKVDLFLNGTTEEYVEYLKQFNENTKVLENA ANIKKCSDRTLTEEDKAQATSLIVSLYVSC >ENSMUSP00000115573.1 pep:known chromosome:GRCm38:6:149309414:149326671:1 gene:ENSMUSG00000032712.16 transcript:ENSMUST00000130664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810474O19Rik description:RIKEN cDNA 2810474O19 gene [Source:MGI Symbol;Acc:MGI:1914496] MNWNTKQENVPKPPPYSKTQSSILQHFLMTSTTSQSSFNYSPHNQEASQTSFNYSLHNQE ACMYSGNSNSVSQPLLSGRNYITPQTQISVSNMPTRTIVASQSSMERVVSTNGKGPQQPN HNLQTVSSGIMQNVWLPSHTEATISHNPDGGTNMPYMHPPQNQLVTSDTYSMQLQMAPLH SGKVPMTHQGSQGLNHFIPDQLVDWTQYTSNELSYPEYRPPPKQYSYILPATTSLQVKNN QLPTYTQSLQSKHSVPLSSHQYAAEASKRLSALPYSCRYENQHVQNAQPVSKHLPMEVPQ SSEVHSSEKKKDTYRGFKQQWQNPNEKVSIGQFSEVKINIKQPYSESVRPSGDGVQALVQ NNQEKRKYTYNPNTNQVIDTNATKEKLVRDIKSLVEIKKKFSELA >ENSMUSP00000041180.6 pep:known chromosome:GRCm38:6:149309428:149335663:1 gene:ENSMUSG00000032712.16 transcript:ENSMUST00000046689.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810474O19Rik description:RIKEN cDNA 2810474O19 gene [Source:MGI Symbol;Acc:MGI:1914496] MNWNTKQENVPKPPPYSKTQSSILQHFLMTSTTSQSSFNYSPHNQEASQTSFNYSLHNQE ACMYSGNSNSVSQPLLSGRNYITPQTQISVSNMPTRTIVASQSSMERVVSTNGKGPQQPN HNLQTVSSGIMQNVWLPSHTEATISHNPDGGTNMPYMHPPQNQLVTSDTYSMQLQMAPLH SGKVPMTHQGSQGLNHFIPDQLVDWTQYTSNELSYPEYRPPPKQYSYILPATTSLQVKNN QLPTYTQSLQSKHSVPLSSHQYAAEASKRLSALPYSCRYENQHVQNAQPVSKHLPMEVPQ SSEVHSSEKKKDTYRGFKQQWQNPNEKVSIGQFSEVKINIKQPYSESVRPSGDGVQALVQ NNQEKRKYTYNPNTNQVIDTNATKEKLVRDIKSLVEIKKKFSELARKIKINKSLLMAAGC SKTANTSYTEPIQHSEFSAKEMSAKNGNDCSMELLATCLSLWKNQPSKTTEENVPKPLEE KQCNTSRISTTVVGSANPTNEVHVKSLCSGVGNSQKMMSSSQTVLPVLIPSCESSGVAVG KGTELQIAVVSPLVLSDTNTLPGKDSVPEVLPETLYPVVKEGSVCSLQTQPTETVALPFD VIGAVASNNISAEIPLPVDKEKQHKPIQGDPDIADSSLGKHSPLGTEVLPKPMDSTIVSG PMLQIESICSLAEGDVSYNSQIAEIFNSVQTEPQKPSPNQVIDSQQEQVYDTTENKDFSL QKDKCVQCTDVPHEVPEQPEPLQPEEPASSEYVEANREATEESCREYTGRKESTAKDVCL PAAIQQDPHPRETDMFSKSDHSLPAINEINDESEPISYLHDQLSELLKEFPYGIETFNRH EVSLDQQKTHKIVENQTGGKTSNVSGDSTDQIKITVLNSEQIKELFPEDDQPCDKLAEPE NKEIVAEVKSPCDSQIPREESHDLGMLDPEKDKIHCCALGWLSMVYEGVPQCHCSSTEKK EKDQCLDINSSKQGEQPCNSGITIFEINPVSNNSKTPLTQATEEGHFSAVHGEKTKASKT KDNREGQELACHFSAKCYKKDKKGNFKIRHDTSLKMEQKLKNISSKCDIPNPSKCNKIAA PEILHVTTSNSAKNMPFSKQASQESLQKKHTSQDLGPVKAPIELSSNTDPCRSNTSSVQS VSPEKKKLKFKAGGSRLKYFEKRKTDHVIIPDVEIKKKKYEKQEQNKNAGDTLKLCSILT ESNERASVQEKTVPSPESSDPKGSSSKSTRVITVQEYLQRQKDKQITGNNASRNICVETV LCDSGHTKTSKHSAAVSWGKLVEGQSISAETAKELEHNSSSHGKDFKIHHSEASRTHSVS NNNKGKFDGKQPDKMFKNKTSMNNESNQMPLQVKEQRKQYLNRVAFKCTERESICLTKLD SASKKLSIEKKSGEYTSKTKDTDKPSMLEFKLCPDVLLKNTSTVDKQDCPGPGPEKEQAP VQVSGIKSTKEDWLKCIPTRTKMPESSQRDSADSRLSKRSLSADEFEILQNPVKESNIMF RTYKKMYLEKRSRSLGSSPVK >ENSMUSP00000139624.1 pep:known chromosome:GRCm38:6:149309456:149328977:1 gene:ENSMUSG00000032712.16 transcript:ENSMUST00000190785.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810474O19Rik description:RIKEN cDNA 2810474O19 gene [Source:MGI Symbol;Acc:MGI:1914496] MNWNTKQENVPKPPPYSKTQSSILQHFLMTSTTSQSSFNYSPHNQEASQTSFNYSLHNQE ACMYSGNSNSVSQPLLSGRNYITPQTQISVSNMPTRTIVASQSSMERVVSTNGKGPQQPN HNLQTVSSGIMQNVWLPSHTEATISHNPDGGTNMPYMHPPQNQLVTSDTYSMQLQMAPLH SGKVPMTHQGSQGLNHFIPDQLVDWTQYTSNELSYPEYRPPPKQYSYILPATTSLQVKNN QLPTYTQSLQSKHSVPLSSHQYAAEASKRLSALPYSCRYENQHVQNAQPVSKHLPMEVPQ SSEVHSSEKKKDTYRGFKQQWQNPNEKVSIGQFSEVKINIKQPYSESVRPSGDGVQALVQ NNQEKRKYTYNPNTNQVIDTNATKEKLVRDIKSLVEIKKKFSELARKIKINKSLLMAAGC SKTANTSYTEPIQHSEFSAKEMSAKNGNDCSMELLATCLSLWKNQPSKTTEENVPKPLEE KQCNTSRISTTVVGSANPTNEVHVKSLCSGVGNSQKMMSSSQTVLPVLIPSCESSGVAVG KGTELQIAVVSPLVLSDTNTLPGKDSVPEVLPETLYPVVKEGSVCSLQTQPTETVALPFD VIGAVASNNISAEIPLPVDKEKQHKPIQGDPDIADSSLGKHSPLGTEVLPKPMDSTIVSG PMLQIESICSLAEGDVSYNSQIAEIFNSVQTEPQKPSPNQVIDSQQEQVYDTTENKDFSL QKDKCVQCTDVPHEVPEQPEPLQPEEPASSEYVEANREATEESCREYTGRKESTAKDVCL PAAIQQDPHPRETDMFSKSDHSLPAINEINDESEPISYLHDQLSELLKEFPYGIETFNRH EVSLDQQKTHKIVENQTGGKTSNVSGDSTDQIKITVLNSEQIKELFPEDDQPCDKLAEPE NKEIVAEVKSPCDSQIPREESHDLGMLDPEKDKIHCCALGWLSMVYEGVPQCHCSSTEKK EKDQCLDINSSKQGEQPCNSGITIFEINPVSNNSKTPLTQATEEGHFSAVHGEKTKASKT KDNREGQELACHFSAKCYKKDKKGNFKIRHDTSLKMEQKLKNISSKCDIPNPSKCNKIAA PEILHVTTSNSAKNMPFSKQASQESLQKKHTSQDLGPVKAPIELSSNTDPCRSNTSSVQS VSPEKKKLKFKAGGSRLKYFEKRKTDHVIIPDV >ENSMUSP00000140026.1 pep:known chromosome:GRCm38:6:149309474:149334930:1 gene:ENSMUSG00000032712.16 transcript:ENSMUST00000189932.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810474O19Rik description:RIKEN cDNA 2810474O19 gene [Source:MGI Symbol;Acc:MGI:1914496] MNWNTKQENVPKPPPYSKTQSSILQHFLMTSTTSQSSFNYSPHNQEASQTSFNYSLHNQE ACMYSGNSNSVSQPLLSGRNYITPQTQISVSNMPTRTIVASQSSMERVVSTNGKGPQQPN HNLQTVSSGIMQNVWLPSHTEATISHNPDGGTNMPYMHPPQNQLVTSDTYSMQLQMAPLH SGKVPMTHQGSQGLNHFIPDQLVDWTQYTSNELSYPEYRPPPKQYSYILPATTSLQVKNN QLPTYTQSLQSKHSVPLSSHQYAAEASKRLSALPYSCRYENQHVQNAQPVSKHLPMEVPQ SSEVHSSEKKKDTYRGFKQQWQNPNEKVSIGQFSEVKINIKQPYSESVRPSGDGVQALVQ NNQEKRKYTYNPNTNQVIDTNATKEKLVRDIKSLVEIKKKFSELARKIKINKSLLMAAGC SKTANTSYTEPIQHSEFSAKEMSAKNGNDCSMELLATCLSLWKNQPSKTTEENVPKPLEE KQCNTSRISTTVVGSANPTNEVHVKSLCSGVGNSQKMMSSSQTVLPVLIPSCESSGVAVG KGTELQIAVVSPLVLSDTNTLPGKDSVPEVLPETLYPVVKEGSVCSLQTQPTETVALPFD VIGAVASNNISAEIPLPVDKEKQHKPIQGDPDIADSSLGKHSPLGTEVLPKPMDSTIVSG PMLQIESICSLAEGDVSYNSQIAEIFNSVQTEPQKPSPNQVIDSQQEQVYDTTENKDFSL QKDKCVQCTDVPHEVPEQPEPLQPEEPASSEYVEANREATEESCREYTGRKESTAKDVCL PAAIQQDPHPRETDMFSKSDHSLPAINEINDESEPISYLHDQLSELLKEFPYGIETFNRH EVSLDQQKTHKIVENQTGGKTSNVSGDSTDQIKITVLNSEQIKELFPEDDQPCDKLAEPE NKEIVAEVKSPCDSQIPREESHDLGMLDPEKDKIHCCALGWLSMVYEGVPQCHCSSTEKK EKDQCLDINSSKQGEQPCNSGITIFEINPVSNNSKTPLTQATEEGHFSAVHGEKTKASKT KDNREGQELACHFSAKCYKKDKKGNFKIRHDTSLKMEQKLKNISSKCDIPNPSKCNKIAA PEILHVTTSNSAKNMPFSKQASQESLQKKHTSQDLGPVKAPIELSSNTDPCRSNTSSVQS VSPEKKKLKFKAGGSRLKYFEKRKTDHVIIPDVEIKKKKYEKQEQNKNAGDTLKLCSILT ESNERASVQEKTVPSPESSDPKGSSSKSTRVITVQEYLQRQKDKQITGNNASRNICVETV LCDSGHTKTSKHSAAVSWGKLVEGQSISAETAKELEHNSSSHGKDFKIHHSEASRTHSVS NNNKGKFDGKQPDKMFKNKTSMNNESNQMPLQVKEQRKQYLNRVAFKCTERESICLTKLD SASKKLSIEKKSGEYTSKTKDTDKPSMLEFKLCPDVLLKNTSTVDKQDCPGPGPEKEQAP VQVSGIKSTKEDWLKCIPTRTKMPESSQRDSADSRLSKRSLSADEFEILQNPVKESNIMF RTYKKMYLEKRSRSLGSSPVK >ENSMUSP00000098328.4 pep:known chromosome:GRCm38:6:149309474:149334930:1 gene:ENSMUSG00000032712.16 transcript:ENSMUST00000100765.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810474O19Rik description:RIKEN cDNA 2810474O19 gene [Source:MGI Symbol;Acc:MGI:1914496] MNWNTKQENVPKPPPYSKTQSSILQHFLMTSTTSQSSFNYSPHNQEASQTSFNYSLHNQE ACMYSGNSNSVSQPLLSGRNYITPQTQISVSNMPTRTIVASQSSMERVVSTNGKGPQQPN HNLQTVSSGIMQNVWLPSHTEATISHNPDGGTNMPYMHPPQNQLVTSDTYSMQLQMAPLH SGKVPMTHQGSQGLNHFIPDQLVDWTQYTSNELSYPEYRPPPKQYSYILPATTSLQVKNN QLPTYTQSLQSKHSVPLSSHQYAAEASKRLSALPYSCRYENQHVQNAQPVSKHLPMEVPQ SSEVHSSEKKKDTYRGFKQQWQNPNEKVSIGQFSEVKINIKQPYSESVRPSGDGVQALVQ NNQEKRKYTYNPNTNQVIDTNATKEKLVRDIKSLVEIKKKFSELARKIKINKSLLMAAGC SKTANTSYTEPIQHSEFSAKEMSAKNGNDCSMELLATCLSLWKNQPSKTTEENVPKPLEE KQCNTSRISTTVVGSANPTNEVHVKSLCSGVGNSQKMMSSSQTVLPVLIPSCESSGVAVG KGTELQIAVVSPLVLSDTNTLPGKDSVPEVLPETLYPVVKEGSVCSLQTQPTETVALPFD VIGAVASNNISAEIPLPVDKEKQHKPIQGDPDIADSSLGKHSPLGTEVLPKPMDSTIVSG PMLQIESICSLAEGDVSYNSQIAEIFNSVQTEPQKPSPNQVIDSQQEQVYDTTENKDFSL QKDKCVQCTDVPHEVPEQPEPLQPEEPASSEYVEANREATEESCREYTGRKESTAKDVCL PAAIQQDPHPRETDMFSKSDHSLPAINEINDESEPISYLHDQLSELLKEFPYGIETFNRH EVSLDQQKTHKIVENQTGGKTSNVSGDSTDQIKITVLNSEQIKELFPEDDQPCDKLAEPE NKEIVAEVKSPCDSQIPREESHDLGMLDPEKDKIHCCALGWLSMVYEGVPQCHCSSTEKK EKDQCLDINSSKQGEQPCNSGITIFEINPVSNNSKTPLTQATEEGHFSAVHGEKTKASKT KDNREGQELACHFSAKCYKKDKKGNFKIRHDTSLKMEQKLKNISSKCDIPNPSKCNKIAA PEILHVTTSNSAKNMPFSKQASQESLQKKHTSQDLGPVKAPIELSSNTDPCRSNTSSVQS VSPEKKKLKFKAGGSRLKYFEKRKTDHVIIPDVEIKKKKYEKQEQNKNAGDTLKLCSILT ESNERASVQEKTVPSPESSDPKGSSSKSTRVITVQEYLQRQKDKQITGNNASRNICVETV LCDSGHTKTSKHSAAVSWGKLVEGQSISAETAKELEHNSSSHGKDFKIHHSEASRTHSVS NNNKGKFDGKQPDKMFKNKTSMNNESNQMPLQVKEQRKQYLNRVAFKCTERESICLTKLD SASKKLSIEKKSGEYTSKTKDTDKPSMLEFKLCPDVLLKNTSTVDKQDCPGPGPEKEQAP VQVSGIKSTKEDWLKCIPTRTKMPESSQRDSADSRLSKRSLSADEFEILQNPVKESNIMF RTYKKMYLEKRSRSLGSSPVK >ENSMUSP00000139593.1 pep:known chromosome:GRCm38:6:149309728:149325489:1 gene:ENSMUSG00000032712.16 transcript:ENSMUST00000185930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810474O19Rik description:RIKEN cDNA 2810474O19 gene [Source:MGI Symbol;Acc:MGI:1914496] MNWNTKQENVP >ENSMUSP00000120770.1 pep:known chromosome:GRCm38:6:149317334:149325967:1 gene:ENSMUSG00000032712.16 transcript:ENSMUST00000127680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810474O19Rik description:RIKEN cDNA 2810474O19 gene [Source:MGI Symbol;Acc:MGI:1914496] MNWNTKQENVPKPPPYSKTQSSILQHFLMTSTTSQSSFNYSPHNQEASQTSFNYSLHNQE ACMYSGNSNSVSQPLLSGRNYITPQTQISVSNMPTRTIVASQSSMERVVSTNGKGPQQPN HNLQTVSSGIMQNVWLPSHTEATISHNPDGGTNMPYMHPPQNQLVTSDTY >ENSMUSP00000140491.1 pep:known chromosome:GRCm38:6:149324656:149325773:1 gene:ENSMUSG00000032712.16 transcript:ENSMUST00000187881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810474O19Rik description:RIKEN cDNA 2810474O19 gene [Source:MGI Symbol;Acc:MGI:1914496] MNWNTKQENVPKPPPYSKTQSSILQHFLMTSTTSQSSFNYSPHNQEASQTSFNYSLHNQE ACMYSGNSNSVSQPLLSGRNYITPQTQISVSNMPTRTIVASQSSM >ENSMUSP00000139660.1 pep:known chromosome:GRCm38:6:149325458:149335658:1 gene:ENSMUSG00000032712.16 transcript:ENSMUST00000189837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810474O19Rik description:RIKEN cDNA 2810474O19 gene [Source:MGI Symbol;Acc:MGI:1914496] MNWNTKQENVPKPPPYSKTQSSILQHFLMTSTTSQSSFNYSPHNQEASQTSFNYSLHNQE ACMYSGNSNSVSQPLLSGRNYITPQTQISVSNMPTRTIVASQSSMERVVSTNGKGPQQPN HNLQTVSSGIMQNVWLPSHTEATISHNPDGGTNMPYMHPPQNQLVTSDTYSMQLQMAPLH SGKVPMTHQGSQGLNHFIPDQLVDWTQYTSNELSYPEYRPPPKQYSYILPATTSLQVKNN QLPTYTQSLQSKHSVPLSSHQYAAEASKRLSALPYSCRYENQHVQNAQPVSKHLPMEVPQ SSEVHSSEKKKDTYRGFKQQWQNPNEKVSIGQFSEVKINIKQPYSESVRPSGDGVQALVQ NNQEKRKYTYNPNTNQVIDTNATKEKLVRDIKSLVEIKKKFSELARKIKINKSLLMAAGC SKTANTSYTEPIQHSEFSAKEMSAKNGNDCSMELLATCLSLWKNQPSKTTEENVPKPLEE KQCNTSRISTTVVGSANPTNEVHVKSLCSGVGNSQKMMSSSQTVLPVLIPSCESSGVAVG KGTELQIAVVSPLVLSDTNTLPGKDSVPEVLPETLYPVVKEGSVCSLQTQPTETVALPFD VIGAVASNNISAEIPLPVDKEKQHKPIQGDPDIADSSLGKHSPLGTEVLPKPMDSTIVSG PMLQIESICSLAEGDVSYNSQIAEIFNSVQTEPQKPSPNQVIDSQQEQVYDTTENKDFSL QKDKCVQCTDVPHEVPEQPEPLQPEEPASSEYVEANREATEESCREYTGRKESTAKDVCL PAAIQQDPHPRETDMFSKSDHSLPAINEINDESEPISYLHDQLSELLKEFPYGIETFNRH EVSLDQQKTHKIVENQTGGKTSNVSGDSTDQIKITVLNSEQIKELFPEDDQPCDKLAEPE NKEIVAEVKSPCDSQIPREESHDLGMLDPEKDKIHCCALGWLSMVYEGVPQCHCSSTEKK EKDQCLDINSSKQGEQPCNSGITIFEINPVSNNSKTPLTQATEEGHFSAVHGEKTKASKT KDNREGQELACHFSAKCYKKDKKGNFKIRHDTSLKMEQKLKNISSKCDIPNPSKCNKIAA PEILHVTTSNSAKNMPFSKQASQESLQKKHTSQDLGPVKAPIELSSNTDPCRSNTSSVQS VSPEKKKLKFKAGGSRLKYFEKRKTDHVIIPDVEIKKKKYEKQEQNKNAGDTLKLCSILT ESNERASVQEKTVPSPESSDPKGSSSKSTRVITVQEYLQRQKDKQITGNNASRNICVETV LCDSGHTKTSKHSAAVSWGKLVEGQSISAETAKELEHNSSSHGKDFKIHHSEASRTHSVS NNNKGKFDGKQPDKMFKNKTSMNNESNQMPLQVKEQRKQYLNRVAFKCTERESICLTKLD SASKKLSIEKKSGEYTSKTKDTDKPSMLEFKLCPDVLLKNTSTVDKQDCPGPGPEKEQAP VQGIKSTKEDWLKCIPTRTKMPESSQRDSADSRLSKRSLSADEFEILQNPVKESNIMFRT YKKMYLEKRSRSLGSSPVK >ENSMUSP00000101326.2 pep:known chromosome:GRCm38:4:148602554:148604417:1 gene:ENSMUSG00000028979.17 transcript:ENSMUST00000105701.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Masp2 description:mannan-binding lectin serine peptidase 2 [Source:MGI Symbol;Acc:MGI:1330832] MRLLIFLGLLWSLVATLLGSKWPEPVFGRLVSPGFPEKYADHQDRSWTLTAPPGYRLRLY FTHFDLELSYRCEYDFVKLSSGTKVLATLCGQESTDTEQAPGNDTFYSLGPSLKVTFHSD YSNEKPFTGFEAFYAAEDVDECRVSLGDSVPCDHYCHNYLGGYYCSCRAGYVLHQNKHTC SEQSL >ENSMUSP00000049729.6 pep:known chromosome:GRCm38:4:148602564:148615499:1 gene:ENSMUSG00000028979.17 transcript:ENSMUST00000052060.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Masp2 description:mannan-binding lectin serine peptidase 2 [Source:MGI Symbol;Acc:MGI:1330832] MRLLIFLGLLWSLVATLLGSKWPEPVFGRLVSPGFPEKYADHQDRSWTLTAPPGYRLRLY FTHFDLELSYRCEYDFVKLSSGTKVLATLCGQESTDTEQAPGNDTFYSLGPSLKVTFHSD YSNEKPFTGFEAFYAAEDVDECRVSLGDSVPCDHYCHNYLGGYYCSCRAGYVLHQNKHTC SALCSGQVFTGRSGYLSSPEYPQPYPKLSSCTYSIRLEDGFSVILDFVESFDVETHPEAQ CPYDSLKIQTDKGEHGPFCGKTLPPRIETDSHKVTITFATDESGNHTGWKIHYTSTARPC PDPTAPPNGSISPVQAIYVLKDRFSVFCKTGFELLQGSVPLKSFTAVCQKDGSWDRPMPE CSIIDCGPPDDLPNGHVDYITGPEVTTYKAVIQYSCEETFYTMSSNGKYVCEADGFWTSS KGEKLPPVCEPVCGLSTHTIGGRIVGGQPAKPGDFPWQVLLLGQTTAAAGALIHDNWVLT AAHAVYEKRMAASSLNIRMGILKRLSPHYTQAWPEEIFIHEGYTHGAGFDNDIALIKLKN KVTINGSIMPVCLPRKEAASLMRTDFTGTVAGWGLTQKGLLARNLMFVDIPIADHQKCTA VYEKLYPGVRVSANMLCAGLETGGKDSCRGDSGGALVFLDNETQRWFVGGIVSWGSINCG AADQYGVYTKVINYIPWIENIISNF >ENSMUSP00000120516.1 pep:known chromosome:GRCm38:5:115429599:115455698:1 gene:ENSMUSG00000054256.11 transcript:ENSMUST00000150779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msi1 description:musashi RNA-binding protein 1 [Source:MGI Symbol;Acc:MGI:107376] METDAPQPGLASPDSPHDPCKMFIGGLSWQTTQEGLREYFGQFGEVKECLVMRDPLTKRS RGFGFVTFMDQAGVDKVLAQSRHELDSKTIDPKVAFPRRAQPKMVTRTKKIFVGGLSVNT TVEDVKHYFEQFGKVDDAMLMFDKTTNRHRGFGFVTFESEDIVEKVCEIHFHEINNKMVE CKKAQPKEVMSPTGSARGRSRVMPYGMDAFMLGIGMLGYPGFQATTYASRSYTGLAPGYT YQFPEFRVERSPLPSAPVLPELTAIPLTAYGPMAAAAAAAAVVRGTGSHPWTMAPPPGST PSRTGGFLGTTSPGPMAELYGAANQDSGVSSYISAASPAPSTGFGHSLGGPLIATAFTNG YH >ENSMUSP00000070415.5 pep:known chromosome:GRCm38:5:115429997:115454080:1 gene:ENSMUSG00000054256.11 transcript:ENSMUST00000067168.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msi1 description:musashi RNA-binding protein 1 [Source:MGI Symbol;Acc:MGI:107376] XSWQTTQEGLREYFGQFGEVKECLVMRDPLTKRSRGFGFVTFMDQAGVDKVLAQSRHELD SKTIDPKVAFPRRAQPKMVTRTKKIFVGGLSVNTTVEDVKHYFEQFGKVDDAMLMFDKTT NRHRGFGFVTFESEDIVEKVCEIHFHEINNKMVECKKAQPKEVMSPTGSARGRSRVMPYG MDAFMLGIGMLGYPGFQATTYASRSYTGLAPGYTYQFPEFRVERSPLPSAPVLPELTAIP LTAYGPMAAAAAAAAVVRGTGSTPSRTGGFLGTTSPGPMAELYGAANQDSGVSSYISAAS PAPSTGFGHSLGGPLIATAFTNGYH >ENSMUSP00000144032.1 pep:known chromosome:GRCm38:5:115430605:115450906:1 gene:ENSMUSG00000054256.11 transcript:ENSMUST00000131079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msi1 description:musashi RNA-binding protein 1 [Source:MGI Symbol;Acc:MGI:107376] XECVVTEGLREYFGQFGEVKECLVMRDPLTKRSRGFGFVTFMDQAGVDKVLAQSRHELDS KTIDPKVAFPRRAQPKMVTRTKKIFVGGLSVNTTVEDVKHYFEQFGKVDDAMLMFDKTTN RHRGFGFVTFESEDIVEKVCEIHFHEINNKMVECKKAQPKEVMSPTGSARGRSRVMPYGM DAFMLGIGMLGYPGFQATTYASRSYTGLAPGYTYQFPAIPLTAYGPMAAAAAAAAVVRGT GSTPSRTGGFLGTTSPGPMAELYGAANQD >ENSMUSP00000143900.1 pep:known chromosome:GRCm38:5:115434996:115450883:1 gene:ENSMUSG00000054256.11 transcript:ENSMUST00000136586.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msi1 description:musashi RNA-binding protein 1 [Source:MGI Symbol;Acc:MGI:107376] MVTRTKKIFVGGLSVNTTVEDVKHYFEQFGKVDDAMLMFDKTTNRHRGFGFVTFESEDIV EKVCEIHFHEINNKMVECKKAQPKEVMSPTGSARGRSRVMPYGMDAFMLGIGMLGYPGFQ ATTYASRSYTGLAPGYTYQFPAIPLTAYGPMAAAAAAAAVVRGTGSHPWTMAPPPGSTPS RTGGFLGTTSPGPMAEL >ENSMUSP00000016511.5 pep:known chromosome:GRCm38:2:181193721:181202789:-1 gene:ENSMUSG00000038751.5 transcript:ENSMUST00000016511.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptk6 description:PTK6 protein tyrosine kinase 6 [Source:MGI Symbol;Acc:MGI:99683] MVSWDKAHLGPKYVGLWDFKARTDEELSFQAGDLLHVTKKEELWWWATLLDAEGKALAEG YVPHNYLAEKETVESEPWFFGCISRSEAMHRLQAEDNSKGAFLIRVSQKPGADYVLSVRD AQAVRHYRIWKNNEGRLHLNEAVSFSNLSELVDYHKTQSLSHGLQLSMPCWKHKTEPLPH WDDWERPREEFTLCKKLGAGYFGEVFEALWKGQVHVAVKVISRDNLLHQHTFQAEIQAMK KLRHKHILSLYAVATAGDPVYIITELMPKGNLLQLLRDSDEKALPILELVDFASQVAEGM CYLESQNYIHRDLAARNVLVTENNLCKVGDFGLARLVKEDIYLSHEHNVPYKWTAPEALS RGHYSIKSDVWSFGVLLHEIFSRGQMPYPGMSNHETFLRVDAGYRMPCPLECPPNIHKLM LSCWSRDPKQRPCFKDLCEKLTGITRYENLV >ENSMUSP00000145046.1 pep:known chromosome:GRCm38:6:113716119:113717692:-1 gene:ENSMUSG00000064177.8 transcript:ENSMUST00000204533.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghrl description:ghrelin [Source:MGI Symbol;Acc:MGI:1930008] MECEKKKLAFAKFNAPFDVGIKLSGAQYQQHGRALGKFLQDILWEEVKEAPADK >ENSMUSP00000069567.5 pep:known chromosome:GRCm38:6:113716119:113719880:-1 gene:ENSMUSG00000064177.8 transcript:ENSMUST00000064993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghrl description:ghrelin [Source:MGI Symbol;Acc:MGI:1930008] MLSSGTICSLLLLSMLWMDMAMAGSSFLSPEHQKAQQRKESKKPPAKLQPRALEGWLHPE DRGQAEETEEELEIRFNAPFDVGIKLSGAQYQQHGRALGKFLQDILWEEVKEAPADK >ENSMUSP00000145366.1 pep:known chromosome:GRCm38:6:113716124:113719458:-1 gene:ENSMUSG00000064177.8 transcript:ENSMUST00000203363.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghrl description:ghrelin [Source:MGI Symbol;Acc:MGI:1930008] MLSSGTICSLLLLSMLWMDMAMAGSSFLSPEHQKAQQRKESKKPPAKLQPRALEGWLHPE DRGQAEETEEELEIRRRQLTSNHGQA >ENSMUSP00000145281.1 pep:known chromosome:GRCm38:6:113716132:113719848:-1 gene:ENSMUSG00000064177.8 transcript:ENSMUST00000203770.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghrl description:ghrelin [Source:MGI Symbol;Acc:MGI:1930008] MLSSGTICSLLLLSMLWMDMAMAGSSFLSPEHQKAQQRKESKKPPAKLQPRALEGWLHPE DRGQAEETEEELEIRFNAPFDVGIKLSGAQYQQHGRALGKFLQDILWEEVKEAPADK >ENSMUSP00000145096.1 pep:known chromosome:GRCm38:6:113716172:113719447:-1 gene:ENSMUSG00000064177.8 transcript:ENSMUST00000204163.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghrl description:ghrelin [Source:MGI Symbol;Acc:MGI:1930008] MLSSGTICSLLLLSMLWMDMAMAGSSFLSPEHQKAQRKESKKPPAKLQPRALEGWLHPED RGQAEETEEELEIRFNAPFDVGIKLSGAQYQQHGRALGKFLQDILWEEVKEAPADK >ENSMUSP00000145514.1 pep:known chromosome:GRCm38:6:113716250:113719429:-1 gene:ENSMUSG00000064177.8 transcript:ENSMUST00000203588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghrl description:ghrelin [Source:MGI Symbol;Acc:MGI:1930008] MLSSGTICSLLLLSMLWMDMAMAGSSFLSPEHQKAQFNAPFDVGIKLSGAQYQQHGRALG KFLQDILWEEVKEAPADK >ENSMUSP00000038373.8 pep:known chromosome:GRCm38:13:47043499:47084600:1 gene:ENSMUSG00000038080.16 transcript:ENSMUST00000037025.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm1b description:lysine (K)-specific demethylase 1B [Source:MGI Symbol;Acc:MGI:2145261] MAASRGRSKKRSNLELSPDNLPLRSSGRQAKKKAVEIPDEDEDGSSEKKYRKCEKAGCTA AYPVCFASASERCAKNGYTSRWYHLSCGEHFCNECFDHYYRSHKDGYDKYSAWKRVWTSN GKTEPSPKAFMADQQLPYWVQCTKPECGKWRQLTKEIQLTPHMARTYRCGMKPNTITKPD TPDHCSFPEDLRVLEVSNHWWYPMLIQPPLLKDSVAAPLLSAYYPDCVGMSPSCTSTHRA TVTAATTTTGSASPGEMEPSKAAPSSLVLGMNRYFQPFYQPNECGKALCVRPDVMELDEL YEFPEYSRDPTMYLALRNLILALWYTNCKEALTPQKCIPHIIVRGLVRIRCVQEVERILY FMTRKGLINTGVLTVAAGQHLLPKHYHNKSVLVVGAGPAGLAAARQLHNFGMKVTVLEAK DRIGGRVWDDKSFKGVVVGRGPQIVNGCINNPVALMCEQLGISMRKLGERCDLIQEGGRI TDPTVDKRMDFHFNALLDVVSEWRKDKTLLQDVPLGEKIEEIYRAFVKESGIQFSELEGQ VLQFHLSNLEYACGSSLHQVSARSWDHNEFFAQFAGDHTLLTPGYSTIIEKLAEGLDIRL KSPVQSIDYTGDEVQVTTTDGMGHSAQKVLVTVPLAILQRGAIQFNPPLSEKKMKAINSL GAGIIEKIALQFPYRFWDSKVQGADFFGHVPPSASQRGLFAVFYDMDSQQSVLMSVITGE AVASLRTMDDKQVLQQCMGILRELFKEQEIPEPTKYFVTRWSTEPWIQMAYSFVKTFGSG EAYDIIAEEIQGTVFFAGEATNRHFPQTVTGAYLSGVREASKIAAF >ENSMUSP00000114999.2 pep:known chromosome:GRCm38:13:47063006:47084596:1 gene:ENSMUSG00000038080.16 transcript:ENSMUST00000143518.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm1b description:lysine (K)-specific demethylase 1B [Source:MGI Symbol;Acc:MGI:2145261] XGKALCVRPDVMELDELYEFPEYSRDPTMYLALRNLILALWYTNCKEALTPQKCIPHIIV RGLVRIRCVQEVERILYFMTRKGLINTGVLTVAAGQHLLPKHYHNKSVLVVGAGPAGLAA ARQLHNFGMKVTVLEAKDRIGGRVWDDKSFKGVVVGRGPQIVNGCINNPVALMCEQVSAR SWDHNEFFAQFAGDHTLLTPGYSTIIEKLAEGLDIRLKSPVQSIDYTGDEVQVTTTDGMG HSAQKVLVTVPLAILQRGAIQFNPPLSEKKMKAINSLGAGIIEKIALQFPYRFWDSKVQG ADFFGHVPPSASQRGLFAVFYDMDSQQSVLMSVITGEAVASLRTMDDKQVLQQCMGILRE LFKEQEIPEPTKYFVTRWSTEPWIQMAYSFVKTFGSGEAYDIIAEEIQGTVFFAGEATNR HFPQTVTGAYLSGVREASKIAAF >ENSMUSP00000117793.1 pep:known chromosome:GRCm38:13:47043570:47053730:1 gene:ENSMUSG00000038080.16 transcript:ENSMUST00000143868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm1b description:lysine (K)-specific demethylase 1B [Source:MGI Symbol;Acc:MGI:2145261] MAASRGRSKKRSNLELSPDNLPLRSSGRQAKKKAVEIPDEDEDGSSEKKYRKCEKAGCTA AYPVCFASASERCAKNGYTSRWYHLSCGEHFCNECFDHYYRSHKDGYDKYSAWKRVWTSN GKTEPSPKAFMADQQLPYWVQCTKPECGKWRQLTKEIQLTPHMARTYRCGMKPNTI >ENSMUSP00000129153.1 pep:known chromosome:GRCm38:14:42434156:42439673:-1 gene:ENSMUSG00000092148.1 transcript:ENSMUST00000167326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8005 description:predicted gene 8005 [Source:MGI Symbol;Acc:MGI:3645411] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPTAHHGGKGQETTGHGEGSAGEASQ QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTNLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEEGNTETKILCKEGSQKIKDHYTKQQQV >ENSMUSP00000140447.1 pep:known chromosome:GRCm38:10:87058045:87230589:1 gene:ENSMUSG00000047129.11 transcript:ENSMUST00000189456.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700113H08Rik description:RIKEN cDNA 1700113H08 gene [Source:MGI Symbol;Acc:MGI:1923890] MQKSFCYIPIVSSVTLWERGSPNTSPIPRCKTTASPCSRFLTHMETFSSSHKTDKLHFLP FPGPALRPFTAIGLCRSVSQNYSSQPISCKTSETVQEDKATASGSPTQTDSLTVCGSALG RGAVAITPETTLKHPHLPTERRPKAVISFPARVVKEPLPLLVGSSTRLFSKKLMKACSSV APRPPQDFHKACSQSLSKPVVNTHTNC >ENSMUSP00000130852.1 pep:known chromosome:GRCm38:10:87058046:87230588:1 gene:ENSMUSG00000047129.11 transcript:ENSMUST00000169849.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700113H08Rik description:RIKEN cDNA 1700113H08 gene [Source:MGI Symbol;Acc:MGI:1923890] MQKSFCYIPIVSSVTLWERGSPNTSPIPRCKTTASPCSRFLTHMETFSSSHKTDKLHFLP FPGSIGTHSPMTGKRLLCVHQYTIPKSPASTISLDEEIGGEARPSLSSSSEMEEDDLLFT INEEQKPPRRLPNQTWISPFLEMQAASKLLKKSHSVNTISLEAAGRHIPLQNHPLVNSKG DSGPALRPFTAIGLCRSVSQNYSSQPISCKTSETVQEDKATASGSPTQTDSLTVCGSALG RGAVAITPETTLKHPHLPTERRPKAVISFPARVVKEPLPLLVGSSTRLFSKKLMKACSSV APRPPQDFHKACSQSLSKPVVNTHTNC >ENSMUSP00000141184.1 pep:known chromosome:GRCm38:10:87205979:87230587:1 gene:ENSMUSG00000047129.11 transcript:ENSMUST00000189775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700113H08Rik description:RIKEN cDNA 1700113H08 gene [Source:MGI Symbol;Acc:MGI:1923890] MPGVWQIIHNDSQPTNGPALRPFTAIGLCRSVSQNYSSQPISCKTSETVQEDKATASGSP TQTDSLTVCGSALGRGAVAITPETTLKHPHLPTERRPKAVISFPARVVKEPLPLLVGSST RLFSKKLMKACSSVAPRPPQDFHKACSQSLSKPVVNTHTNC >ENSMUSP00000102441.1 pep:known chromosome:GRCm38:7:104382065:104390586:-1 gene:ENSMUSG00000078616.1 transcript:ENSMUST00000106828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim30c description:tripartite motif-containing 30C [Source:MGI Symbol;Acc:MGI:4821257] MMASSALAMAKEEVTCPICLELLKEPVSTDCGHSFCQTCIILNYVSNRRMDGVGSCPVCR VGYLFENLRPNQNMINIVKRIKELKSIPEEKEKVFYCAQHGEKLKLFCKEDRMAICWVCE RSQKHRGHQTALIEEVDQEYKEKLQAALKKLMENEKRCDKWQDDLQQQRADWENQIQRDV EYVQMELKGLRDLLDSKENEGLQELKKEKEEVMEKLEESENELREQTELVRDLISDVGHQ LALSTMEMLQGMNSVLTRIQVLRLKQPQTIPPKRRRTYQVQDQVQDLNVMLQAIQGLMYV RRYWVHVTLYANNHAVIAINKEKRQIQHTSYYKRNLQISKTYNLGVLGYPAICSGKHYWE VDVSRKKTWILGLNDGLCVQPQLHSISEMGFKVKYNSSVKQCGNYQHKYGYWVIGMKNWS VYNASDECSVTHNSSVLALSLSGPPSRVGVFLDREACTLSFYDVSNFGALIYRFYEPFFP HTVYPYFNPMECSEPMTVCGPSSSISVETQSKE >ENSMUSP00000089917.4 pep:known chromosome:GRCm38:10:82629828:82650324:1 gene:ENSMUSG00000034674.18 transcript:ENSMUST00000092266.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdg description:thymine DNA glycosylase [Source:MGI Symbol;Acc:MGI:108247] MMAEVPNMAVTTGQQVPAVAPNMATVTEQQVPEDAPVQEPAPEAPKRRKRKPRAAEPQEP VEPKKPATSKKSGKSTKSKEKQEKITDAFKVKRKVDRFNGVSEAELLTKTLPDILTFNLD IVIIGINPGLMAAYKGHHYPGPGNHFWKCLFMSGLSEVQLNHMDDHTLPGKYGIGFTNMV ERTTPGSKDLSSKEFREGGRILVQKLQKYQPRIAVFNGKCIYEIFSKEVFGVKVKNLEFG LQPHKIPDTETLCYVMPSSSARCAQFPRAQDKVHYYIKLKDLRDQLKGIERNADVQEVQY TFDLQLAQEDAKKMAVKEEKYDPGYEAAYGGAYGENPCNGEPCGIASNGLTAHSAEPRGE AAPSDVPNGQWMAQSFAEQIPSFNNCGTREQEEESHA >ENSMUSP00000121000.1 pep:known chromosome:GRCm38:10:82629859:82650799:1 gene:ENSMUSG00000034674.18 transcript:ENSMUST00000151390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdg description:thymine DNA glycosylase [Source:MGI Symbol;Acc:MGI:108247] MDAEAARSYSLEQVQALYSFPFQQMMAEVPNMAVTTGQQVPAVAPNMATVTEQQVPEDAP VQEPAPEAPKRRKRKPRAAEPQEPVEPKKPATSKKSGKSTKSKEKQEKITDAFKVKRKVD RFNGVSEAELLTKTLPDILTFNLDIVIIGINPGLMAAYKGHHYPGPGNHFWKCLFMSGLS EVQLNHMDDHTLPGKYGIGFTNMVERTTPGSKDLSSKEFREGGRILVQKLQKYQPRIAVF NGKCIYEIFSKEVFGVKVKNLEFGLQPHKIPDTETLCYVMPSSSARCAQFPRAQDKVHYY IKLKDLRDQLKGIERNADVQEVQYTFDLQLAQEDAKKMAVKEEKYDPGYEAAYGGAYGEN PCNGEPCGIASNGLTAHSAEPRGEAAPSDVPNGQWMAQSFAEQIPSFNNCGTREQEEESH A >ENSMUSP00000116707.1 pep:known chromosome:GRCm38:10:82630667:82644289:1 gene:ENSMUSG00000034674.18 transcript:ENSMUST00000145370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdg description:thymine DNA glycosylase [Source:MGI Symbol;Acc:MGI:108247] MMAEVPNMAVTTGQQVPAVAPNMATVTEQQVPEDAPVQEPAPEAPKRRKRKPRAAEPQEP VEPKKPATSKKSGKSTKSKEKQEKITDAFKVKRKVDRFNGVSEAELLTKTLPDILTFNLD IVIIGINPGLMAAYKGHHYPGPGNHFWKCLFMSGLSEVQLNHMDDHTLPGKYGIGFTNMV ERTTPG >ENSMUSP00000135883.1 pep:known chromosome:GRCm38:10:82641440:82648879:1 gene:ENSMUSG00000034674.18 transcript:ENSMUST00000176195.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tdg description:thymine DNA glycosylase [Source:MGI Symbol;Acc:MGI:108247] XITDAFKVKRKVDRFNGVSEAELLTKTLPDILTFNLDIVIIGINPGLMAAYKGHHYPGPG NHFWKCLFMSGLSEVQLNHMDDHTLPGKYGIGFTNMVERTTPGSKDLSRYL >ENSMUSP00000135621.1 pep:known chromosome:GRCm38:10:82641441:82648686:1 gene:ENSMUSG00000034674.18 transcript:ENSMUST00000177353.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdg description:thymine DNA glycosylase [Source:MGI Symbol;Acc:MGI:108247] ITDAFKVKRKVDRFNGVSEAELLTKTLPDILTFNLDIVIIGINPGLMAAYKGHHYPGPGN HFWKCLFMSGLSEVQLNHMDDHTLPGKYGIGFTNMVERTTPGSKDLSSKEFREGGRILVQ KLQKYQPRIAVFNGKCIYEIFSKEVFGVKVKNLEFGLQPHKIPDTETRTQRRWLLRKKSM IQAMRQLTAVPMGKTHVMGNLVALLQMG >ENSMUSP00000135009.1 pep:known chromosome:GRCm38:10:82644478:82649102:1 gene:ENSMUSG00000034674.18 transcript:ENSMUST00000176326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdg description:thymine DNA glycosylase [Source:MGI Symbol;Acc:MGI:108247] XLVQKLQKYQPRIAVFNGKCIYEIFSKEVFGVKVKNLEFGLQPHKIPDTETLCYVMPSSS ARCAQFPRAQDKVHYYIKLKDLRDQLKGIERNADVQEVQYTFDLQLAQEDAKKMAVKEEK YDPGYEAAYGGAYGENPCNGEPCGIASNGLTHSAEPRGEAAPSDVPNGQWMAQSFAEQIP SFNNCGTREQEEESHA >ENSMUSP00000129638.1 pep:known chromosome:GRCm38:15:102406143:102408235:1 gene:ENSMUSG00000001280.12 transcript:ENSMUST00000170884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp1 description:trans-acting transcription factor 1 [Source:MGI Symbol;Acc:MGI:98372] MDEVTAVVKIEKDVGGNNGGSGNGGGAAFSQTRSSSTGSSSSSGGGGGQESQPSP >ENSMUSP00000132401.1 pep:known chromosome:GRCm38:15:102406328:102432679:1 gene:ENSMUSG00000001280.12 transcript:ENSMUST00000165924.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp1 description:trans-acting transcription factor 1 [Source:MGI Symbol;Acc:MGI:98372] MSDQDHSMDEVTAVVKIEKDVGGNNGGSGNGGGAAFSQTRSSSTGSSSSSGGGGGQESQP SPLALLAATCSRIESPNENSNNSQGPSQSGGTGELDLTAAQLSQGANGWQIISSSSGATP TSKEQSGNSTNGSESSKNRTVSGGQYVVAATPNLQNQQVLTGLPGVMPNIQYQVIPQFQT VDGQQLQFAATGAQVQQDGSGQIQIIPGANQQIIPNRGSGGNIIAAMPNLLQQAVPLQGL ANNVLSGQTQYVTNVPVALNGNITLLPVNSVSAATLTPSSQAGTISSSGSQESSSQPVTS GTAISSASLVSSQASSSSFFTNANSYSTTTTTSNMGIMNFTSSGSSGTSSQGQTPQRVGG LQGSDSLNIQQNQTSGGSLQGSQQKEGEQSQQTQQQQILIQPQLVQGGQALQALQAAPLS GQTFTTQAISQETLQNLQLQAVQNSGPIIIRTPTVGPNGQVSWQTLQLQNLQVQNPQAQT ITLAPMQGVSLGQTSSSNTTLTPIASAASIPAGTVTVNAAQLSSMPGLQTINLSALGTSG IQVHQLPGLPLAIANTPGDHGTQLGLHGSGGDGIHDETAGGEGENSSDLQPQAGRRTRRE ACTCPYCKDSEGRASGDPGKKKQHICHIQGCGKVYGKTSHLRAHLRWHTGERPFMCNWSY CGKRFTRSDELQRHKRTHTGEKKFACPECPKRFMRSDHLSKHIKTHQNKKGGPGVALSVG TLPLDSGAGSEGTATPSALITTNMVAMEAICPEGIARLANSGINVMQVTELQSINISGNG F >ENSMUSP00000130747.1 pep:known chromosome:GRCm38:15:102406333:102432679:1 gene:ENSMUSG00000001280.12 transcript:ENSMUST00000163709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp1 description:trans-acting transcription factor 1 [Source:MGI Symbol;Acc:MGI:98372] MSDQDHSMDEVTAVVKIEKDVGGNNGGSGNGGGAAFSQTRSSSTGSSSSSGGGGGQQNQT SGGSLQGSQQKEGEQSQQTQQQQILIQPQLVQGGQALQALQAAPLSGQTFTTQAISQETL QNLQLQAVQNSGPIIIRTPTVGPNGQVSWQTLQLQNLQVQNPQAQTITLAPMQGVSLGQT SSSNTTLTPIASAASIPAGTVTVNAAQLSSMPGLQTINLSALGTSGIQVHQLPGLPLAIA NTPGDHGTQLGLHGSGGDGIHDETAGGEGENSSDLQPQAGRRTRREACTCPYCKDSEGRA SGDPGKKKQHICHIQGCGKVYGKTSHLRAHLRWHTGERPFMCNWSYCGKRFTRSDELQRH KRTHTGEKKFACPECPKRFMRSDHLSKHIKTHQNKKGGPGVALSVGTLPLDSGAGSEGTA TPSALITTNMVAMEAICPEGIARLANSGINVMQVTELQSINISGNGF >ENSMUSP00000001326.5 pep:known chromosome:GRCm38:15:102406380:102436404:1 gene:ENSMUSG00000001280.12 transcript:ENSMUST00000001326.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp1 description:trans-acting transcription factor 1 [Source:MGI Symbol;Acc:MGI:98372] MSDQDHSMDEVTAVVKIEKDVGGNNGGSGNGGGAAFSQTRSSSTGSSSSSGGGGGQESQP SPLALLAATCSRIESPNENSNNSQGPSQSGGTGELDLTAAQLSQGANGWQIISSSSGATP TSKEQSGNSTNGSESSKNRTVSGGQYVVAATPNLQNQQVLTGLPGVMPNIQYQVIPQFQT VDGQQLQFAATGAQVQQDGSGQIQIIPGANQQIIPNRGSGGNIIAAMPNLLQQAVPLQGL ANNVLSGQTQYVTNVPVALNGNITLLPVNSVSAATLTPSSQAGTISSSGSQESSSQPVTS GTAISSASLVSSQASSSSFFTNANSYSTTTTTSNMGIMNFTSSGSSGTSSQGQTPQRVGG LQGSDSLNIQQNQTSGGSLQGSQQKEGEQSQQTQQQQILIQPQLVQGGQALQALQAAPLS GQTFTTQAISQETLQNLQLQAVQNSGPIIIRTPTVGPNGQVSWQTLQLQNLQVQNPQAQT ITLAPMQGVSLGQTSSSNTTLTPIASAASIPAGTVTVNAAQLSSMPGLQTINLSALGTSG IQVHQLPGLPLAIANTPGDHGTQLGLHGSGGDGIHDETAGGEGENSSDLQPQAGRRTRRE ACTCPYCKDSEGRASGDPGKKKQHICHIQGCGKVYGKTSHLRAHLRWHTGERPFMCNWSY CGKRFTRSDELQRHKRTHTGEKKFACPECPKRFMRSDHLSKHIKTHQNKKGGPGVALSVG TLPLDSGAGSEGTATPSALITTNMVAMEAICPEGIARLANSGINVMQVTELQSINISGNG F >ENSMUSP00000126143.1 pep:known chromosome:GRCm38:15:102406755:102408617:1 gene:ENSMUSG00000001280.12 transcript:ENSMUST00000165837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp1 description:trans-acting transcription factor 1 [Source:MGI Symbol;Acc:MGI:98372] MDEVTAVVKIEKDVGGNNGGSGNGGGAAFSQTRSSSTGSSSSSGGGGGQESQPSPLALLA ATCSRIESPNENSNNSQGPSQSGGTGELDLTAAQLSQGANGWQIISSSSGATPTSKEQSG NSTNGSESSKNRTVSGGQYVVAATPNLQNQQVLTGLPGVMPNIQYQVIPQFQTVDGQQLQ FAA >ENSMUSP00000127714.1 pep:known chromosome:GRCm38:15:102407269:102408235:1 gene:ENSMUSG00000001280.12 transcript:ENSMUST00000169619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp1 description:trans-acting transcription factor 1 [Source:MGI Symbol;Acc:MGI:98372] MDEVTAVVKIEKDVGGNNGGSGNGGGAAFSQTRSSSTGSSSSSGGGGGQESQPSP >ENSMUSP00000127445.1 pep:known chromosome:GRCm38:15:102407588:102408385:1 gene:ENSMUSG00000001280.12 transcript:ENSMUST00000168802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp1 description:trans-acting transcription factor 1 [Source:MGI Symbol;Acc:MGI:98372] MDEVTAVVKIEKDVGGNNGGSGNGGGAAFSQTRSSSTGSSSSSGGGGGQESQPSPLALLA ATCSRIESPNENSNNSQGPSQSGGTGELDLTAAQLSQGANGWQIIS >ENSMUSP00000017851.3 pep:known chromosome:GRCm38:2:163623272:163645131:-1 gene:ENSMUSG00000017707.9 transcript:ENSMUST00000017851.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc3 description:serine incorporator 3 [Source:MGI Symbol;Acc:MGI:1349457] MGAVLGVFSLASWVPCLCSGASCLLCSCCPISKNSTVTRLIYAFILFLGTIVSCIMMTEG IQTQLKKIPGFCEGGFQIKMVDTKAEKDCDVLVGFKAVYRINFAVAIFFFAFFLLMLKVK TSKDPRAAVHNGFWFFKIAAIIGIMIGSFYIPGGSFTEVWFVAGMLGASFFIIIQLVLLV DMAHSWNELWVNRMEEGNPRLWYAALLSFTSLFYILSIVFAALLYVFYTKPDDCTENKVF ISLNLIFCVAVSIVSILPKVQEHQPRSGLLQSSIITLYTLYLTWSAMTNEPERSCNPSLM SIITHLTSPTVSPANSTTLAPAYAPPSQSGHFMNLDDIWGLIIFVFCLIYSSFRTSSNSQ VNKLTLSGSDSVILGDTTNGANDEEDGQPRRAVDNEKEGVQYSYSFFHLMLCCASLYIMM TITSWYSPDAKFQKVSSKWLAVWFKMGSSWLCLLLYLWTLVAPLVLTGRDFS >ENSMUSP00000070279.7 pep:known chromosome:GRCm38:5:124552864:124569660:1 gene:ENSMUSG00000029389.17 transcript:ENSMUST00000071057.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx55 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 55 [Source:MGI Symbol;Acc:MGI:1915098] MEHVTEGAWESLQVPLHPRVLGALRELGFPHMTPVQSATIPLFMKNKDVAAEAVTGSGKT LAFVIPILEILLRREEKLKKNQVGAIVITPTRELAIQIDEVLSHFTKHFPQFSQILWIGG RNPGEDVERFKQHGGNIIVATPGRLEDMFRRKAEGLDLASCVKSLDVLVLDEADRLLDMG FEASINTILEFLPKQRRTGLFSATQTQEVENLVRAGLRNPVRISVKEKGVAASSTQKTPS RLENHYMICKADEKFNQLVHFLRSRQQEKHLVFFSTCACVEYYGKALEALLKKVKILCIH GKMKYKRNKIFMEFRKLQSGILVCTDVMARGIDIPEVNWVLQYDPPSNASAFVHRCGRTA RIGHGGSALVFLLPMEEAYINFLAINQKCPLQEMSLQRNTIDLLPKLRAMALADRAVFEK GMKAFVSFVQAYAKHECSLIFRLKDLDFAGLARGFALLRMPRMPELRGKQFPDFVPVDID TDTIPFKDKIREKQRQKLLEQKRKERSENEGRKKFIKNKAWSKQKAKKERKKKMNAKRKK DEGSDIDDEDMEELLNDTRLLKKFKKGKITEEEFEKGLLTSAKRTVQLTDLGVSDLEEDS >ENSMUSP00000143462.1 pep:known chromosome:GRCm38:5:124552869:124567955:1 gene:ENSMUSG00000029389.17 transcript:ENSMUST00000131631.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddx55 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 55 [Source:MGI Symbol;Acc:MGI:1915098] MEHVTEGAWESLQVPLHPRVLGALRELGFPHMTPVQSATIPLFMKNKDVAAEAVTGSGKT LAFVIPILEILLRREEKLKKNQVRRAGWWSWRQEGSGRRKKLDKLESSPVWYLRSLFLDL SACYIPADAGALSWY >ENSMUSP00000107065.1 pep:known chromosome:GRCm38:5:124552914:124569660:1 gene:ENSMUSG00000029389.17 transcript:ENSMUST00000111438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx55 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 55 [Source:MGI Symbol;Acc:MGI:1915098] MEHVTEGAWESLQVPLHPRVLGALRELGFPHMTPVQSATIPLFMKNKDVAAEAVTGSGKT LAFVIPILEILLRREEKLKKNQVGAIVITPTRELAIQIDEVLSHFTKHFPQFSQILWIGG RNPGEDVERFKQHGGNIIVATPGRLEDMFRRKAEGLDLASCVKSLDVLVLDEADRLLDMG FEASINTILEFLPKQRRTGLFSATQTQEVENLVRAGLRNPVRISVKEKGVAASSTQKTPS RLENHYMICKADEKFNQLVHFLRSRQQEKHLVFFSTCACVEYYGKALEALLKKVKILCIH GKMKYKRNKIFMEFRKLQSGILVCTDVMARGIDIPEVNWVLQYDPPSNASAFVHRCGRTA RIGHGGSALVFLLPMEEAYINFLAINQKEMSLQRNTIDLLPKLRAMALADRAVFEKGMKA FVSFVQAYAKHECSLIFRLKDLDFAGLARGFALLRMPRMPELRGKQFPDFVPVDIDTDTI PFKDKIREKQRQKLLEQKRKERSENEGRKKFIKNKAWSKQKAKKERKKKMNAKRKKDEGS DIDDEDMEELLNDTRLLKKFKKGKITEEEFEKGLLTSAKRTVQLTDLGVSDLEEDS >ENSMUSP00000108633.2 pep:known chromosome:GRCm38:2:35375562:35397175:-1 gene:ENSMUSG00000079421.8 transcript:ENSMUST00000113010.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402F06Rik description:RIKEN cDNA 4930402F06 gene [Source:MGI Symbol;Acc:MGI:1922104] MRYKILILSLLVLMSLYAQYREYPFSIKKLQMTYQCWSLKNQPELQPSDWFNATMDSTHM GMRATNWSAPIVWTNTYNELVLASYYKKHPVTVGLIVFAVGRYLLYYLGHFLESADRFFM VNQKVIIYIMLDDFSYMPWVDLSRHRTLKIFKIKQERRWQDISMVRMKVISEHIAGHIQH EVDYVFCMDVDQIFKAKYGLETLGDSVAQLHTYWYKESPSKVPYERNKSSEAYIAVGEGD FYYHAAVFGGTTTQVFKITKECAKAIMKDKKNNIEAVWHDESHLNKYFFLHRPTKLLSPE YCWDLSKNKTSDIKTVKLAWNFKNYKFLRRRL >ENSMUSP00000108632.1 pep:known chromosome:GRCm38:2:35375582:35397057:-1 gene:ENSMUSG00000079421.8 transcript:ENSMUST00000113009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402F06Rik description:RIKEN cDNA 4930402F06 gene [Source:MGI Symbol;Acc:MGI:1922104] MTYQCWSLKNQPELQPSDWFNATMDSTHMGMRATNWSAPIVWTNTYNELVLASYYKKHPV TVGLIVFAVGRYLLYYLGHFLESADRFFMVNQKVIIYIMLDDFSYMPWVDLSRHRTLKIF KIKQERRWQDISMVRMKVISEHIAGHIQHEVDYVFCMDVDQIFKAKYGLETLGDSVAQLH TYWYKESPSKVPYERNKSSEAYIAVGEGDFYYHAAVFGGTTTQVFKITKECAKAIMKDKK NNIEAVWHDESHLNKYFFLHRPTKLLSPEYCWDLSKNKTSDIKTVKLAWNFKNYKFLRRR L >ENSMUSP00000110587.1 pep:known chromosome:GRCm38:2:6322667:6446390:1 gene:ENSMUSG00000039046.15 transcript:ENSMUST00000114937.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp6nl description:USP6 N-terminal like [Source:MGI Symbol;Acc:MGI:2138893] MNSDQDVALKLAQERAEIVAKYDRGREGAEIEPWEDADYLVYKVTDRFGFLHEEELPYHN AAADRQKQLEIERTSKWLKMLKKWERYKNTEKFHRRIYKGIPLQLRGEVWALLLEIPKMK EETRDLYSKLKHRARGCSPDIRQIDLDVNRTFRDHIMFRDRYGVKQQSLFHVLAAYSIYN TEVGYCQGMSQITALLLMYMNEEDAFWALVKLFSGPKHAMHGFFVQGFPKLLRFQEHHEK ILNKFLSKLKQHLDSQEIYTSFYTMKWFFQCFLDRTPFRLNLRIWDIYIFEGERVLTAMS YTILKLHKKHLMKLSMEELVEFLQETLAKDFFFEDDFVIEQLQVSMAELKRAKLDLPEPG KEDEYPKKPLGQLPPESACVNHLSNGQRSVGRPSPKTSSRREDGSPRKNHEHSPVHHSRN GTPERAGQSRRKSVDEGSKNLKHEAESQRKPSPGMQDSSRHYNHAAANQNSNAISNVRKE FMPKWRKPSDASAIERTTKYAVEGKSHSALPALPVAIPGSAETRLPNSRQKMKALDGGEG KRGSNASQYDNVPGGESEHGASAEEGPERTHPHSPRKHPEPSPSPPKVPNKFTFKVQPPS HVRYPPQLPEEDHRAAYPPSYSNPPVYHGNSPKHVPTAHSGFVSTQISPRPQINPSRRPY GSSLSVDTSPEKAYSRPTPVVLPSSRIEVLPIDMGARGYGSSGSPKNGQFILPPVDYLPE NRKWSEVSYTYRPEMHGQSWTRDAHRSHLSNLPNYAAFQHIPFQAHGLPEVSVDSPVRYK MSAAVEDASPPGYPYAGPSPSAHHYRNGEGLSVQESVLL >ENSMUSP00000043178.8 pep:known chromosome:GRCm38:2:6352733:6443494:1 gene:ENSMUSG00000039046.15 transcript:ENSMUST00000042503.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp6nl description:USP6 N-terminal like [Source:MGI Symbol;Acc:MGI:2138893] MIQVLQLVKELVTPSRQKAATAKEDSDQDVALKLAQERAEIVAKYDRGREGAEIEPWEDA DYLVYKVTDRFGFLHEEELPYHNAAADRQKQLEIERTSKWLKMLKKWERYKNTEKFHRRI YKGIPLQLRGEVWALLLEIPKMKEETRDLYSKLKHRARGCSPDIRQIDLDVNRTFRDHIM FRDRYGVKQQSLFHVLAAYSIYNTEVGYCQGMSQITALLLMYMNEEDAFWALVKLFSGPK HAMHGFFVQGFPKLLRFQEHHEKILNKFLSKLKQHLDSQEIYTSFYTMKWFFQCFLDRTP FRLNLRIWDIYIFEGERVLTAMSYTILKLHKKHLMKLSMEELVEFLQETLAKDFFFEDDF VIEQLQVSMAELKRAKLDLPEPGKEDEYPKKPLGQLPPESACVNHLSNGQRSVGRPSPKT SSRREDGSPRKNHEHSPVHHSRNGTPERAGQSRRKSVDEGSKNLKHEAESQRKPSPGMQD SSRHYNHAAANQNSNAISNVRKEFMPKWRKPSDASAIERTTKYAVEGKSHSALPALPVAI PGSAETRLPNSRQKMKALDGGEGKRGSNASQYDNVPGGESEHGASAEEGPERTHPHSPRK HPEPSPSPPKVPNKFTFKVQPPSHVRYPPQLPEEDHRAAYPPSYSNPPVYHGNSPKHVPT AHSGFVSTQISPRPQINPSRRPYGSSLSVDTSPEKAYSRPTPVVLPSSRIEVLPIDMGAR GYGSSGSPKNGQFILPPVDYLPENRKWSEVSYTYRPEMHGQSWTRDAHRSHLSNLPNYAA FQHIPFQAHGLPEVSVDSPVRYKMSAAVEDASPPGYPYAGPSPSAHHYRNGEGLSVQESV LL >ENSMUSP00000028856.2 pep:known chromosome:GRCm38:2:127704386:127729932:-1 gene:ENSMUSG00000027377.2 transcript:ENSMUST00000028856.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mall description:mal, T cell differentiation protein-like [Source:MGI Symbol;Acc:MGI:2385152] MASRDTPPATSYAPPDVPSGVAALFLTIPFAFFLPELVFGFWVWTLVAATHVAYPLLQGW VLYVSLTSFLISLMFLMSYLFGFYKRFESWRVLDSLYHGTTGILYMSASVLQAYATIISE GHNLSHYYINVAASFFAFLTTLLYILHAFSIYYH >ENSMUSP00000016498.4 pep:known chromosome:GRCm38:2:181205562:181213185:-1 gene:ENSMUSG00000027579.6 transcript:ENSMUST00000016498.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srms description:src-related kinase lacking C-terminal regulatory tyrosine and N-terminal myristylation sites [Source:MGI Symbol;Acc:MGI:101865] MRFRPQPGPLGMEPFLRKRLTFLSFFWDKIWPADESEEDIPRIQGHDDNPVPEQAAAVEP CSFPAPRARLFRALYDFTARCAEELSVSRGDRLYALKEEGDYIFAQRLSGPPSTGLVPVT YLAKATPEPPSDQPWYFSGISRAQAQQLLLSPANAPGAFLIRPSESSIGGYSLSVRAQAK VCHYRICMAPSGSLYLQEGQLFPSLDALLAYYKTNWKLIQNPLLQPCIPQIPLVQDEWER PRSEFVLRRKLGEGFFGEVWEGLWLGSIPVAVKVIKSADMKLADLTKEIEALKSLRHERL IRLHAICSLGEPVYIVTELMGKGNLQVYLGSSEGKALSLPHLLGFACQVAEGMSYLEERR VVHRDLAARNVLVGDDLTCKVADFGLARLLKDDVYSPSSGSKIPVKWTAPEAANYRVFSQ KSDVWSFGILLYEVFTYGQCPYEGMTNHETLQQISRGYRLPRPAVCPAEVYVLMVECWKG SPEERPTFAILREKLNAINRRLHLGLT >ENSMUSP00000140543.1 pep:known chromosome:GRCm38:Y:818649:843684:1 gene:ENSMUSG00000069053.11 transcript:ENSMUST00000190013.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba1y description:ubiquitin-activating enzyme, Chr Y [Source:MGI Symbol;Acc:MGI:98891] MSSSVLSKKRKVSGPDSSLDSSWSPTYSVMFGVPPGPTNEMSKNKEMDIDESLYSRQLYV LGHEAMKHLQASSVLISGLQGLGVEIAKNIILGGVKAVTLHDQGIAQWADLSSQFCLREE DIGKNRAEISQPRLAELNSYVPVFAYTGPLIEEFLSGFQVVVLTNTPLEYQLQVGEFCHS HGIKLVVADTRGLVGQLFCDFGEEMILTDSNGEQPLSAMVSMITKENPGIVTCLEDSRHG FESGDFISFTEVQGMSELNGIGPIEIKVLGPYTFSICDTSSFSEYIRGGIVSQVKVPRKI NFKPLLASLAEPEFVVTDFAKCCHPAQLHIGFQALHQFCTQHSRPPRPHNEEDAEELVTL AQSVNAQALPAVQQDCLDIDLIRKLAYVAAGDLAPMNAFFGGLAAQEVMKACSGKFMPIR QWLYFDALECLPEHRVAFMEDKCLPHQNRYDGQVAVFGSDLQEKLGKQKYFLVGAGAIGC ELLKNFAMIGLGCGEDGEITVTDMDTIEKSNLNRQFLFRPWDITKLKSETAAAAVRDINP HIRIFSHQNRVGPETEHVYDDDFFQKLDGVANALDNVDARLYVDRRCVYYRKPLLESGTL GTKGNVQVVVPFLTESYSSSQDPPEKSIPICTLKNFPNAIEHTVQWARDEFEGLFKQSAE NVNQYLTDPKFMERTLQLAGTQPLEVLEAIHCSLVLQRPQTWADCVTWAYQHWHTQYSHN IQQLLHNFPPAQLTSSGALFWSGPKRCPHPLTFDINNPLHLDYVMAAANLFAQTYGLGGS QDCAVVAKLLQSLPVPKFAPKSGIRIHVSEQELQSTSATTIDDSHLEELKTALPTPDKLL GFKMYPIDFEKDDDSNFHMDFIVAASNLRAENYGISPADRHKSKLIAGKIIPAIATTTSA IVGLVCLELYKVVQGHQQLESYKNSFINLALPLFSFSAPLAPECHQYYDQEWTLWDRFDV QGLQPSGEEMTLKQFLDYFKTEHKLEVIMLSQGVSMLYSVFMPASKLKERLDQPMTEIVS CVSKQKLGHHVKSLVFELCCNSDSGDDIEVPYVRYIIR >ENSMUSP00000111560.1 pep:known chromosome:GRCm38:Y:818713:844224:1 gene:ENSMUSG00000069053.11 transcript:ENSMUST00000115894.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba1y description:ubiquitin-activating enzyme, Chr Y [Source:MGI Symbol;Acc:MGI:98891] MSSSVLSKKRKVSGPDSSLDSSWSPTYSVMFGVPPGPTNEMSKNKEMDIDESLYSRQLYV LGHEAMKHLQASSVLISGLQGLGVEIAKNIILGGVKAVTLHDQGIAQWADLSSQFCLREE DIGKNRAEISQPRLAELNSYVPVFAYTGPLIEEFLSGFQVVVLTNTPLEYQLQVGEFCHS HGIKLVVADTRGLVGQLFCDFGEEMILTDSNGEQPLSAMVSMITKENPGIVTCLEDSRHG FESGDFISFTEVQGMSELNGIGPIEIKVLGPYTFSICDTSSFSEYIRGGIVSQVKVPRKI NFKPLLASLAEPEFVVTDFAKCCHPAQLHIGFQALHQFCTQHSRPPRPHNEEDAEELVTL AQSVNAQALPAVQQDCLDIDLIRKLAYVAAGDLAPMNAFFGGLAAQEVMKACSGKFMPIR QWLYFDALECLPEHRVAFMEDKCLPHQNRYDGQVAVFGSDLQEKLGKQKYFLVGAGAIGC ELLKNFAMIGLGCGEDGEITVTDMDTIEKSNLNRQFLFRPWDITKLKSETAAAAVRDINP HIRIFSHQNRVGPETEHVYDDDFFQKLDGVANALDNVDARLYVDRRCVYYRKPLLESGTL GTKGNVQVVVPFLTESYSSSQDPPEKSIPICTLKNFPNAIEHTVQWARDEFEGLFKQSAE NVNQYLTDPKFMERTLQLAGTQPLEVLEAIHCSLVLQRPQTWADCVTWAYQHWHTQYSHN IQQLLHNFPPAQLTSSGALFWSGPKRCPHPLTFDINNPLHLDYVMAAANLFAQTYGLGGS QDCAVVAKLLQSLPVPKFAPKSGIRIHVSEQELQSTSATTIDDSHLEELKTALPTPDKLL GFKMYPIDFEKDDDSNFHMDFIVAASNLRAENYGISPADRHKSKLIAGKIIPAIATTTSA IVGLVCLELYKVVQGHQQLESYKNSFINLALPLFSFSAPLAPECHQYYDQEWTLWDRFDV QGLQPSGEEMTLKQFLDYFKTEHKLEVIMLSQGVSMLYSVFMPASKLKERLDQPMTEIVS CVSKQKLGHHVKSLVFELCCNSDSGDDIEVPYVRYIIR >ENSMUSP00000076189.5 pep:known chromosome:GRCm38:7:104409025:104465193:-1 gene:ENSMUSG00000030921.16 transcript:ENSMUST00000076922.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim30a description:tripartite motif-containing 30A [Source:MGI Symbol;Acc:MGI:98178] MASSVLEMIKEEVTCPICLELLKEPVSADCNHSFCRACITLNYESNRNTDGKGNCPVCRV PYPFGNLRPNLHVANIVERLKGFKSIPEEEQKVNICAQHGEKLRLFCRKDMMVICWLCER SQEHRGHQTALIEEVDQEYKEKLQGALWKLMKKAKICDEWQDDLQLQRVDWENQIQINVE NVQRQFKGLRDLLDSKENEELQKLKKEKKEVMEKLEESENELEDQTELVRDLISDVEHHL ELSTLEMLQGANCVLRRSQSLSLQQPQTVPQKRKRTFQAPDLKGMLQVYQGLMDIQQYWV HMTLHARNNAVIAINKEKRQIQYRSYNTVPVSEIYHLGVLGYPALSSGKHYWEVDISRSD AWLLGLNDGKCAQPQLHSKEEMGIKKNLHSQIKQNVLFQPKCGYWVIGMKNPSVYKAFDE CSITHNSSILVISLPDRPSRVGVFLDRKAGTLSFYDVSNCGALIYRFYDPAFPVEVYPYF NPMKCSEPMTICGPPS >ENSMUSP00000137503.1 pep:known chromosome:GRCm38:1:125392906:125435547:-1 gene:ENSMUSG00000026341.16 transcript:ENSMUST00000178474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr3 description:ARP3 actin-related protein 3 [Source:MGI Symbol;Acc:MGI:1921367] MAGRLPACVVDCGTGYTKLGYAGNTEPQFIIPSCIAIKESAKVGDQAQRRVMKGVDDLDF FIGDEAIEKPTYATKWPIRHGIVEDWDLMERFMEQVIFKYLRAEPEDHYFLLTEPPLNTP ENREYTAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGTVIDSGDGVTHVIPV AEGYVIGSCIKHIPIAGRDITYFIQQLLRDREVGIPPEQSLETAKAVKERYSYVCPDLVK EFNKYDTDGSKWIKQYTGVNAISKKEFSIDVGYERFLGPEIFFHPEFANPDFTQPISEVV DEVIQNCPIDVRRPLYKNIVLSGGSTMFRDFGRRLQRDLKRTVDARLKLSEELSGGRLKP KPIDVQVITHHMQRYAVWFGGSMLASTPEFYQVCHTKKDYEEIGPSICRHNPVFGVMS >ENSMUSP00000139886.1 pep:known chromosome:GRCm38:1:125393890:125435481:-1 gene:ENSMUSG00000026341.16 transcript:ENSMUST00000191578.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Actr3 description:ARP3 actin-related protein 3 [Source:MGI Symbol;Acc:MGI:1921367] MAGRLPACVVDCGTGYTKLGYAGNTEPQFIIPSLANSPWYS >ENSMUSP00000140535.1 pep:known chromosome:GRCm38:1:125405880:125435727:-1 gene:ENSMUSG00000026341.16 transcript:ENSMUST00000188497.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr3 description:ARP3 actin-related protein 3 [Source:MGI Symbol;Acc:MGI:1921367] MAGRLPACVVDCGTGYTKLGYAGNTEPQFIIPSCIAIKESAKVGDQAQRRVMKGVDDLDF FIGDEAIEKPTYATKWPIRHGIVEDWDLMERFMEQVIFKYLRAEPEDHYFLLTEPPLNTP ENREYTAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGTVIDSGDGVTHVIPV AEGYVIGSCIKHIPIAGRDITYFIQQLLRDREVGIP >ENSMUSP00000139674.1 pep:known chromosome:GRCm38:1:125405910:125434646:-1 gene:ENSMUSG00000026341.16 transcript:ENSMUST00000191544.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr3 description:ARP3 actin-related protein 3 [Source:MGI Symbol;Acc:MGI:1921367] MKGVDDLDFFIGDEAIEKPTYATKWPIRHGIVEDWDLMERFMEQVIFKYLRAEPEDHYFL LTEPPLNTPENREYTAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGTVIDSG DGVTHVIPVAEGYVIGSCIKHIPIAGRDITYFIQQ >ENSMUSP00000140953.1 pep:known chromosome:GRCm38:1:125407292:125435454:-1 gene:ENSMUSG00000026341.16 transcript:ENSMUST00000191004.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr3 description:ARP3 actin-related protein 3 [Source:MGI Symbol;Acc:MGI:1921367] MKGVDDLDFFIGDEAIEKPTYATKWPIRHGIVEDWDLMERFMEQVIFKYLRAEPEDHYFL LTEPPLNTPENREYTAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGTVIDSG DGVTHVIPV >ENSMUSP00000140000.1 pep:known chromosome:GRCm38:1:125407349:125435707:-1 gene:ENSMUSG00000026341.16 transcript:ENSMUST00000187460.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr3 description:ARP3 actin-related protein 3 [Source:MGI Symbol;Acc:MGI:1921367] MAGRLPACVVDCGTGYTKLGYAGNTEPQFIIPSCIAIKESAKVGDQAQRRVMKGVDDLDF FIGDEAIEKPTYATKWPIRHGIVEDWDLMERFMEQVIFKYLRAEPEDHYFLLTEPPLNTP ENREYTAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGER >ENSMUSP00000140082.1 pep:known chromosome:GRCm38:1:125407358:125435470:-1 gene:ENSMUSG00000026341.16 transcript:ENSMUST00000185280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr3 description:ARP3 actin-related protein 3 [Source:MGI Symbol;Acc:MGI:1921367] MERFMEQVIFKYLRAEPEDHYFLLTEPPLNTPENREYTAEIMFESFNVPGLYIAVQAVLA LAASWTSRQV >ENSMUSP00000027579.10 pep:known chromosome:GRCm38:1:125392905:125435727:-1 gene:ENSMUSG00000026341.16 transcript:ENSMUST00000027579.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr3 description:ARP3 actin-related protein 3 [Source:MGI Symbol;Acc:MGI:1921367] MAGRLPACVVDCGTGYTKLGYAGNTEPQFIIPSCIAIKESAKVGDQAQRRVMKGVDDLDF FIGDEAIEKPTYATKWPIRHGIVEDWDLMERFMEQVIFKYLRAEPEDHYFLLTEPPLNTP ENREYTAEIMFESFNVPGLYIAVQAVLALAASWTSRQVGERTLTGTVIDSGDGVTHVIPV AEGYVIGSCIKHIPIAGRDITYFIQQLLRDREVGIPPEQSLETAKAVKERYSYVCPDLVK EFNKYDTDGSKWIKQYTGVNAISKKEFSIDVGYERFLGPEIFFHPEFANPDFTQPISEVV DEVIQNCPIDVRRPLYKNIVLSGGSTMFRDFGRRLQRDLKRTVDARLKLSEELSGGRLKP KPIDVQVITHHMQRYAVWFGGSMLASTPEFYQVCHTKKDYEEIGPSICRHNPVFGVMS >ENSMUSP00000108922.2 pep:known chromosome:GRCm38:X:133936385:133981783:-1 gene:ENSMUSG00000031255.14 transcript:ENSMUST00000113297.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl4 description:synaptotagmin-like 4 [Source:MGI Symbol;Acc:MGI:1351606] MSEILDLSFLSEMERDLILGVLQRDEELRKADEKRIRRLKNELLEIKRKGAKRGSQHYSD RTCARCQEGLGRLIPKSSTCVGCNHLVCRECRVLESNGSWRCKVCSKEIELKKATGDWFY DQKVNRFDYRTGSEIIRMSLRQKPAVNKRETAGQSLLQQTQMGDIWPGRRIIQEQQQREQ SVLFEVPKTRSGKSALEAESESLDSYTADSDSTSRRDSLDKSGLFPEWKKMSAPKSQVEK EIPPGNQNAVCGDEGDMVFKKNTKKVLRPSEYTKSVIDLRPEDVAQESGILGDRSKSVPG LSVDMEEEEEEEEDIDHLVKLHRQKLARGSMQSGSSMSTLGSIMSIYSEAGDFGNISVTG KIAFSLKFEQKTQTLVIHVKECHQLAYADEAKKRSNPYVKTYLLPDKSRQGKRKTSIKRD TINPLYDETFRYEISESLLAQRTLQFSVWHHGRFGRNTFLGEAEVHMDSWKLDKKLDHCL PLHGKGSVMAKWWTGWIRLVKK >ENSMUSP00000033608.8 pep:known chromosome:GRCm38:X:133936385:133981812:-1 gene:ENSMUSG00000031255.14 transcript:ENSMUST00000033608.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl4 description:synaptotagmin-like 4 [Source:MGI Symbol;Acc:MGI:1351606] MSEILDLSFLSEMERDLILGVLQRDEELRKADEKRIRRLKNELLEIKRKGAKRGSQHYSD RTCARCQEGLGRLIPKSSTCVGCNHLVCRECRVLESNGSWRCKVCSKEIELKKATGDWFY DQKVNRFDYRTGSEIIRMSLRQKPAVNKRETAGQSLLQQTQMGDIWPGRRIIQEQQQREQ SVLFEVPKTRSGKSALEAESESLDSYTADSDSTSRRDSLDKSGLFPEWKKMSAPKSQVEK EIPPGNQNAVCGDEGDMVFKKNTKKVLRPSEYTKSVIDLRPEDVAQESGILGDRSKSVPG LSVDMEEEEEEEEDIDHLVKLHRQKLARGSMQSGSSMSTLGSIMSIYSEAGDFGNISVTG KIAFSLKFEQKTQTLVIHVKECHQLAYADEAKKRSNPYVKTYLLPDKSRQGKRKTSIKRD TINPLYDETFRYEISESLLAQRTLQFSVWHHGRFGRNTFLGEAEVHMDSWKLDKKLDHCL PLHGKISTESSPGLPAHKGELVVSLKYIPASKLPVGGDRKKSKGGEGGELQVWIKEAKNL TAAKSGGTSDSFVKGYLLPMRNKASKRKTPVMKKTLSPHYNHTFVYNGVRLEDLQHMCLE LTVWDREPLASNDFLGGVRLGVGTGISNGEVVDWMDSTGEEVSLWQKMRQYPGSWAEGTL QLRSSMVKQKLGV >ENSMUSP00000108919.1 pep:known chromosome:GRCm38:X:133946448:133981812:-1 gene:ENSMUSG00000031255.14 transcript:ENSMUST00000113294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl4 description:synaptotagmin-like 4 [Source:MGI Symbol;Acc:MGI:1351606] MSEILDLSFLSEMERDLILGVLQRDEELRKADEKRIRRLKNELLEIKRKGAKRGSQHYSD RTCARCQEGLGRLIPKSSTCVGCNHLVCRECRVLESNGSWRCKVCSKEIELKKATGDWFY DQKVNRFDYRTGSEIIRMSLRQKPAVNKRETAGQSLLQQTQMGDIWPGRRIIQEQQQREQ SVLFEVPKTRSGKSALEAESESLDSYTADSDSTSRRDSLDKSGLFPEWKKMSAPKSQVEK EIPPGNQNAVCGDEGDMVFKKNTKKVLRPSEYTKSVIDLRPEDVAQESGILGDRSKSVPG LSVDMEEEEEEEEDIDHLVKLHRQKLARGSMQSGSSMSTLGSIMSIYSEAGDFGNISVTG KIAFSLKFEQKTQTLVIHVKECHQLAYADEAKKRSNPYVKTYLLPDKSRQGKRKTSIKRD TINPLYDETFRYEISESLLAQRTLQFSVWHHGRFGRNTFLGEAEVHMDSWKLDKKLDHCL PLHGKVVCFKGRSQLASQVNCL >ENSMUSP00000134030.1 pep:known chromosome:GRCm38:X:133952354:133981809:-1 gene:ENSMUSG00000031255.14 transcript:ENSMUST00000174542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl4 description:synaptotagmin-like 4 [Source:MGI Symbol;Acc:MGI:1351606] MSEILDLSFLSEMERDLILGVLQRDEELRKADEKRIRRLKNELLEIKRKGAKRGSQHYSD RTCARCQEGLGRLIPKSSTCVGCNHLVCRECRVLESNGSWRCKVCSKEIELKKATGDWFY DQKVNRFDYRTGSEIIRMSLRQKPAVNKRETAGQSLLQQTQMGDIWPGRRIIQEQQQREQ SVLFEV >ENSMUSP00000128015.1 pep:known chromosome:GRCm38:5:109215502:109224622:-1 gene:ENSMUSG00000091059.1 transcript:ENSMUST00000170341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r14 description:vomeronasal 2, receptor 14 [Source:MGI Symbol;Acc:MGI:3649151] MKNLRGFGLSFMLLKFSLIWCHLTEPICFWRIKNNEDNDGDLRSDCGFFLAAVEGPIDHT YNISDFRIPARRHEFFLVLFFATDEINKNPYLLPNMSLIFPEDPGQCEKLLGELDKIHSE AKFTVDFTDYICAGYGTCYIGLIGPSWKTSVKLSTNSGTPRVFFGPFNPKLSDHDQFPYV HQVATKDTHLSHGMITLMLHFRWTWIGLVISDDDQGIQFLSDLREEMQRHGICLAFVNMI PEDMQLYMTRAKIYDKQIMESTAKVVIIYGEMNSTLEVSFRRWEDLGARRIWITTSQWDV ITNKNDFSLDFFHGTVTFEYHHSEIAKFKNFMKTMNTDKYPVNISESILRWNYFNCSTSM NSYSKMGHFTFNNTLEWTALHNFDMALSEEGYNLYNAVYAVAHTYHELILLQVESQQTEE PKGTFTDCQQVSSTLKSRIFTNPVGELVNMKHRENQCADYDIFIIWNFPQGLGLKVKIGS YLPCFPQSQQLHISEDLDWATGGSSVPSSLCSVTCTAGFRKIHQKQTADCCFDCDQCPEN AVSNETADMEQCVRCPDDKYANLEKTHCLQRAVSFLAYEDPLGMALGCMALTFSALTVLV LVTFVKYKDTPIVKANNRILSNILLISLVFCFLCPLLFIGHPNKATCILQQTTFGVFFTV AISTVLAKTITVLMAFKLTSPGGKMRGMLASGAPNLVIPICTLVQLVLCGIWLVTSPPFI DRDTQSEHGKTIIICNKGSVIAFHFVLGYLGSLALGSFTVAFLARNLPDRFNEAKFLTFS MLVFCSVWITFLPVYHSTRGTVMVVVEVFSILASSAGLLGCIFVPKCFVILVRPDSNFLQ KYKDKLFY >ENSMUSP00000128769.1 pep:known chromosome:GRCm38:14:42463881:42472122:1 gene:ENSMUSG00000094954.1 transcript:ENSMUST00000172163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8011 description:predicted gene 8011 [Source:MGI Symbol;Acc:MGI:3648646] XCLLRSQSGMFSWLSRLFHRENGDQGETRPRQKESGIPSCKNRRMKSFWGRHMSAGKTSS QNCNITNHMKNMNKLDDMKFYIRKINAERLELFRILDIDMNTDLNYRMNTEFTIIKSQHE KTMLDMEKMTKSISDTIEKYKEFIEDKDSYSFIHTYLLKECNQLKEKVRMLLNENRKLLV EQADQETSYREEKMFCDEASKNIHPKC >ENSMUSP00000076871.5 pep:known chromosome:GRCm38:2:58821697:59160683:-1 gene:ENSMUSG00000036641.8 transcript:ENSMUST00000077687.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc148 description:coiled-coil domain containing 148 [Source:MGI Symbol;Acc:MGI:3039583] MLIKQHKQVWWQEQERLKGIRCKLESEIRSCLNEESIGSECFCELMNFEKELSEEWCAYL TAVIDPIQQLRTGLKRWYPTSQSAPCHEGSDATEVLEEVDFVKKQSKAAFERLHQEQWHL EEDLLDLSVKLLDHSSEEKPNLLSEQPMELVTLDCPYPDLKSSILNEFCNFTERYQEKLE DFDLQLEDIRSNFQLSAEEHWTYQAVLDQYPGNLLGRRALYLDMLQRYFPHKSRHHLVEH EKYCDQYHFAREQRRILIDNWSRSRKDFIQKAMLTLLEACAAHEMGSLLAKDRRRQQELC ADLKAKVSQWRAQQEELSRLEMEISARRREREEEKEKLWKKKELLRREETEIKIRKYWAM KQQKWQEMEKRDLRRLEELKKLMAEQSVKDRERVKYRQELLEKRLMERKKLALQEVQEEE ERERRLEALRKQVAVAVQSDPVRMMSETLAWKARTGSESEEEFILQKPLFTLTTYNEQQI ISDPRLRFELALREAGLHKTQYAKEMLPKIGPQKPPRKDTESTVFKV >ENSMUSP00000092099.1 pep:known chromosome:GRCm38:4:143846497:143853637:1 gene:ENSMUSG00000029451.14 transcript:ENSMUST00000094522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13103 description:predicted gene 13103 [Source:MGI Symbol;Acc:MGI:3650203] MVICQQCPDQDDSSEEETIDVYSPPTLLKLAIHRLLREEALAISSLKDLPNMLFPVLFEE AFIFGYTKILKAMIPEWPFSYLSIAVLIDNCNLESLKAVLEGLDILLAQKLHSSRCKLKE ISWRDKNHGLDGIRPGSHEVEGLSEFMEQKHPNCVEKKKLKVTTELSVMNGRLNESDTYL LEWTQQRKDSIHLFCRKLVIQSLTKATVIENFKIVNADCIPELELCSLCLQDLAFLNPYL RQMDNLLELTLDHITDSLSIGDSEMLEERMITLVSQLPTFPCLQKLCINDVYFIYGNLKE FLGCLKKPLVSFCISNCELSQSDLDYLPYCLNIFELKCLYIINIHLQYLCLEPLGFLLES VRHTLGCLALKSCNMGEPHFKSLLPALSQCSHLTDVNFQENELSLLSLKQLLQHTSKVTQ LSYEMYPGPLECYDDRGVILSHRIEQFCPEVLDIPRAKRQPKDINFVTTQCSKCGIYYVY DLETQRCRFLK >ENSMUSP00000123640.1 pep:known chromosome:GRCm38:4:143846507:143851726:1 gene:ENSMUSG00000029451.14 transcript:ENSMUST00000139747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13103 description:predicted gene 13103 [Source:MGI Symbol;Acc:MGI:3650203] MLFPVLFEEAFIFGYTKILKAMIPEWPFSYLSIAVLIDNCNLESLKAVLEGLDILLAQKL HSSRCKLKEISWRDKNHGLDGIRPGSHEVEGLSEFMEQKHPNCVEKKKLKVTTELSVMNG RLNESDTYLLEWTQ >ENSMUSP00000101394.1 pep:known chromosome:GRCm38:4:143846509:143853235:1 gene:ENSMUSG00000029451.14 transcript:ENSMUST00000105768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13103 description:predicted gene 13103 [Source:MGI Symbol;Acc:MGI:3650203] MVICQQCPDQDDSSEEETIDVYSPPTLLKLAIHRLLREEALAISSLKDLPNMLFPVLFEE AFIFGYTKILKAMIPEWPFSYLSIAVLIDNCNLESLKAVLEGLDILLAQKLHSSRCKLKE ISWRDKNHGLDGIRPGSHEVEGLSEFMEQKHPNCVEKKKLKVPEEAIGIILHQ >ENSMUSP00000136901.1 pep:known chromosome:GRCm38:X:54622211:54639019:1 gene:ENSMUSG00000094714.7 transcript:ENSMUST00000178145.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16430 description:predicted gene 16430 [Source:MGI Symbol;Acc:MGI:3643726] MALKKLWAIPKDGYLLLLDYDDEDDDINFLEEAHSEENVSFSEEWQRFASSVETPIENRN LLSGEQQDGNASKLDLMEEQNPVTHDDENEIPEEIVGDTREMINNKSCEQYKTTFQKFDM DVQNFNEQQEKSVGLMNLETNNSDMLFDVDGELRK >ENSMUSP00000132567.2 pep:known chromosome:GRCm38:X:54623800:54639016:1 gene:ENSMUSG00000094714.7 transcript:ENSMUST00000169006.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16430 description:predicted gene 16430 [Source:MGI Symbol;Acc:MGI:3643726] MALKKLWAIPKDGYLLLLDYDDEDDDINFLEEAHSEENVSFSEEWQRFASSVETPIENRN LLSGEQQDGNASKLDLMEEQNPVTHDDENEIPEEIVGDTREMINNKSCEQYKTTFQKFDM DVQNFNEQQEKSVGLMNLETNNSDMLFDVDGELRK >ENSMUSP00000075967.3 pep:known chromosome:GRCm38:X:155124917:155128412:-1 gene:ENSMUSG00000057402.5 transcript:ENSMUST00000076671.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34b2 description:claudin 34B2 [Source:MGI Symbol;Acc:MGI:1920597] MPLKKCHGQMGGFALTTVAWLLCCISTGLPQWQVWHYEDPVVLKPTVALVGMWRACVFHT DRNSSNTRVCYQYNYDGSIPLYIRGNQHLLLVSSFLGLFGKITTIIALSNVHMGRVRRNA TCNPFRLSGILNIIASSFLYLAVLFNYIAIMSKWGIAFPPSFNLPFQPDTRKMGSAMALA IIAAVFFLLSGTICLSSNLNIDKTPRSKM >ENSMUSP00000114725.1 pep:known chromosome:GRCm38:7:119607026:119636580:1 gene:ENSMUSG00000033533.14 transcript:ENSMUST00000126367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm1 description:acyl-CoA synthetase medium-chain family member 1 [Source:MGI Symbol;Acc:MGI:2152200] MQWLKSFQICKVLQGFSLSPTQLHRRLFSRVGAPRWNDHDSPEEFNFASDVLDYWAQMEE EGKRGPSPAFWWVNGQGDEIKWSFRKLRDLTCRTANVFEQICGLQQGDHLALILPRVPEW WLVTVGCMRTGIIFMPGTTQLKAKDILYRIQISRAKAIVTTASLVPEVESVASE >ENSMUSP00000036140.6 pep:known chromosome:GRCm38:7:119617781:119662515:1 gene:ENSMUSG00000033533.14 transcript:ENSMUST00000047929.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm1 description:acyl-CoA synthetase medium-chain family member 1 [Source:MGI Symbol;Acc:MGI:2152200] MQWLKSFQICKVLQGFSLSPTQLHRRLFSRVGAPRWNDHDSPEEFNFASDVLDYWAQMEE EGKRGPSPAFWWVNGQGDEIKWSFRKLRDLTCRTANVFEQICGLQQGDHLALILPRVPEW WLVTVGCMRTGIIFMPGTTQLKAKDILYRIQISRAKAIVTTASLVPEVESVASECPDLKT KLVVSDHSHEGWLDFCSLIKSASPDHTCIKSKMKDPMAIFFTSGTTGYPKMAKHNQGLAF RSYIPSCRKLLKLKTSDILWCMSDPGWILATVGCLIEPWTSGCTVFIHHLPQFDPKVIVE VLFKYPITQCLAAPGVYRMVLQQKTSNLRFPTLEHCTTGGESLLPEEYEQWKQRTGLSIH EVYGQSETGISSATLREMKIKRGSIGKAILPFDLQIIDEKGNILPPNTEGYIGIRIKPTR PLGLFMEYENSPESTSEVECGDFYNSGDRATIDEEGYIWFLGRGDDVINASGYRIGPVEV ENALAEHPAVAESAVVSSPDKDRGEVVKAFIVLNPEFLSHDQEQLIKELQHHVKSVTAPY KYPRKVEFVSELPKTVTGKIKRKELRNKEFGQL >ENSMUSP00000120146.1 pep:known chromosome:GRCm38:7:119617798:119662235:1 gene:ENSMUSG00000033533.14 transcript:ENSMUST00000135683.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm1 description:acyl-CoA synthetase medium-chain family member 1 [Source:MGI Symbol;Acc:MGI:2152200] MQWLKSFQICKVLQGFSLSPTQLHRRLFSRVGAPRWNDHDSPEEFNFASDVLDYWAQMEE EGKRGPSPAFWWVNGQGDEIKWSFRKLRDLTCRTANVFEQICGLQQGDHLALILPRVPEW WLVTVGCMRTGIIFMPGTTQLKAKDILYRIQISRAKAIVTTASLVPEVESVASECPDLKT KLVVSDHSHEGWLDFCSLIKSASPDHTCIKSKMKDPMAIFFTSGTTGYPKMAKHNQGLAF RSYIPSCRKLLKLKTSDILWCMSDPGWILATVGCLIEPWTSGCTVFIHHLPQFDPKVIVE VLFKYPITQCLAAPGVYRMVLQQKTSNLRFPTLEHCTTGGESLLPEEYEQWKQRTGLSIH EVYGQSETIIDEKGNILPPNTEGYIGIRIKPTRPLGLFMEYENSPESTSEVECGDFYNSG DRATIDEEGYIWFLGRGDDVINASGYRIGPVEVENALAEHPAVAESAVVSSPDKDRGEVV KAFIVLNPEFLSHDQEQLIKELQHHVKSVTAPYKYPRKVEFVSELPKTVTG >ENSMUSP00000110767.2 pep:known chromosome:GRCm38:X:39346267:39346963:1 gene:ENSMUSG00000079619.2 transcript:ENSMUST00000115114.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cypt15 description:cysteine-rich perinuclear theca 15 [Source:MGI Symbol;Acc:MGI:3616461] MAHVVKRTPKPTAYARATSQLKKCKVSCRLKCKYSIKNQHALCYKCCDEITGKGKRVFRL ASKVKGTIKLRIVKDTCHYAQELTAYQ >ENSMUSP00000140679.1 pep:known chromosome:GRCm38:Y:40290790:40316903:-1 gene:ENSMUSG00000093895.7 transcript:ENSMUST00000191443.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21865 description:predicted gene, 21865 [Source:MGI Symbol;Acc:MGI:5434029] MRKMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDGYISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000136800.1 pep:known chromosome:GRCm38:Y:40293538:40315451:-1 gene:ENSMUSG00000093895.7 transcript:ENSMUST00000177713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21865 description:predicted gene, 21865 [Source:MGI Symbol;Acc:MGI:5434029] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDGYISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000021077.3 pep:known chromosome:GRCm38:11:115163341:115181181:1 gene:ENSMUSG00000020733.3 transcript:ENSMUST00000021077.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a3r1 description:solute carrier family 9 (sodium/hydrogen exchanger), member 3 regulator 1 [Source:MGI Symbol;Acc:MGI:1349482] MSADAAAGEPLPRLCCLEKGPNGYGFHLHGEKGKVGQFIRLVEPGSPAEKSGLLAGDRLV EVNGENVEKETHQQVVSRIRAALNAVRLLVVDPETDERLKKLGVSIREELLRPQEKSEQA EPPAAADTHEAGDQNEAEKSHLRELRPRLCTMKKGPNGYGFNLHSDKSKPGQFIRAVDPD SPAEASGLRAQDRIVEVNGVCMEGKQHGDVVSAIKGGGDEAKLLVVDKETDEFFKKCKVI PSQEHLDGPLPEPFSNGEIQKESSREALVEPASESPRPALARSASSDTSEELNSQDSPKR QVSTEPSSTSSSSSDPILDLNISLAVAKERAHQKRSSKRAPQMDWSKKNELFSNL >ENSMUSP00000027499.6 pep:known chromosome:GRCm38:1:93685660:93695764:1 gene:ENSMUSG00000026278.14 transcript:ENSMUST00000027499.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bok description:BCL2-related ovarian killer [Source:MGI Symbol;Acc:MGI:1858494] MEVLRRSSVFAAEIMDAFDRSPTDKELVAQAKALGREYVHARLLRAGLSWSAPERASPAP GGRLAEVCTVLLRLGDELEQIRPSVYRNVARQLHIPLQSEPVVTDAFLAVAGHIFSAGIT WGKVVSLYSVAAGLAVDCVRQAQPAMVHALVDCLGEFVRKTLATWLRRRGGWTDVLKCVV STDPGFRSHWLVATLCSFGRFLKAAFFLLLPER >ENSMUSP00000144347.1 pep:known chromosome:GRCm38:1:93685702:93695210:1 gene:ENSMUSG00000026278.14 transcript:ENSMUST00000201863.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bok description:BCL2-related ovarian killer [Source:MGI Symbol;Acc:MGI:1858494] MEVLRRSSVFAAEIMDAFDRSPTDKELVAQAKALGREYVHARLLRAGLSWSAPERASPAP GGRLAEVCTVLLRLGDELEQIRPSVYRNVARQLHIPLQSEPVVTDAFLAVAGHIFSAGIT WGKVVSLYSVAAGLAVDCVRQAQPAMVHALVDCLGEFVRKTLATWLRRRGGWTDVLKCVV STDPGFRSHWLVATLCSFGRFLKAAFFLLLPER >ENSMUSP00000140412.1 pep:known chromosome:GRCm38:1:93686263:93694070:1 gene:ENSMUSG00000026278.14 transcript:ENSMUST00000188394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bok description:BCL2-related ovarian killer [Source:MGI Symbol;Acc:MGI:1858494] MEVLRRSSVFAAEIMDAFDRSPTDKELVAQAKALGREYVHARLLRAGLSWSAPERASPAP GGRLAEVCTVLLRLGDELEQIRPSVYRNVARQLHIPLQSEPVVTDAFLAVAGHIFSAGIT W >ENSMUSP00000144146.1 pep:known chromosome:GRCm38:1:93689208:93695469:1 gene:ENSMUSG00000026278.14 transcript:ENSMUST00000200888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bok description:BCL2-related ovarian killer [Source:MGI Symbol;Acc:MGI:1858494] XLHIPLQSEPVVTDAFLAVAGHIFSAGITWGKVVSLYSVAAGLAVDCVRQAQPAMVHALV DCLGEFVRKTLATWLRRRGGWLSGVLLRFWSRWTHSIRSQTPIRMSSSVWSAQILASAPT GSWPRSAALAAS >ENSMUSP00000029478.2 pep:known chromosome:GRCm38:3:109080469:109116687:1 gene:ENSMUSG00000027880.6 transcript:ENSMUST00000029478.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a54 description:solute carrier family 25, member 54 [Source:MGI Symbol;Acc:MGI:1921936] MLRRLQDFLLPSEACQNDYNRLAYEVLFEDLDHNGDGVVDITELRDGLKHWNSSFSEDTE KEIFMDADSNADSGLDFEEFVQYLQDHEKKMKLAFKSLDKNDDGVIDASEIVAAVRSLGI HISLSQAKDILKSMDFDGSMTVDWDEWRDFFFFHPAKNITDIVRFWKHSTIIDIGESISI PDEFTEQEKMSGEWWKRLVAAGIASAITRTCTAPLERLKVTMQVQSLKVNKMGLVHMFKQ MVKEGGFFSLWRGNGVNILKIAPETAIKIGAYEQYKKLLSFDGDHLGVLQRFTAGCMAGA TSQTCVYPMEVIKTRLNLSKTGEYSGLVDCVRKLLKREGIQAFSKGYVPNLLSIIPYAGL DLTIFELLKNHWLEHYAGNSVNPGIAIVLGCSTVSHTCGQLASFPLILVRTRMQAVMLEK ETVRMMQLIQEIYTKEGKKGFFRGFTPNVLKLLPAVGIGSVAHELVKRLFGLI >ENSMUSP00000124484.2 pep:known chromosome:GRCm38:3:109080499:109116582:1 gene:ENSMUSG00000027880.6 transcript:ENSMUST00000159926.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a54 description:solute carrier family 25, member 54 [Source:MGI Symbol;Acc:MGI:1921936] MLRRLQDFLLPSEACQNDYNRLAYEVLFEDLDHNGDGVVDITELRDGLKHWNSSFSEDTE KVSH >ENSMUSP00000139455.1 pep:known chromosome:GRCm38:1:74375195:74385755:1 gene:ENSMUSG00000026177.11 transcript:ENSMUST00000187516.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc11a1 description:solute carrier family 11 (proton-coupled divalent metal ion transporters), member 1 [Source:MGI Symbol;Acc:MGI:1345275] MISDKSPPRLSRPSYGSISSLPGPAPQPAPCRETYLSEKIPIPSADQLLWVLLWATVLGL LCQRLAARLGVVTGKDLGEVCHLYYPKVPRILLWLTIELAIVGSDMQEVIGTAISFNLLS AGRIPLWDGVLITIVDTFFFLFLDNYGLRKLEAFFGLLITIMALTFGYEYVVAHPSQGAL LKGLVLPTCPGCGQPELLQAVGIVGAIIMPHNIYLHSALVKSREVDRTRRVDVREANMYF LIEATIALSVSFIINLFVMAVFGQAFYQQTNEEAFNICANSSLQNYAKIFPRDNNTVSVD IYQGGVILGCLFGPAALYIWAVGLLAAGQSSTMTGTYAGQFVMEGFLKLRWSRFARVLLT RSCAILPTVLVAVFRDLKDLSGLNDLLNVLQSLLLPFAVLPILTFTSMPAVMQEFANGRM SKAITSCIMALVCAINLYFVISYLPSLPHPAYFGLVALFAIGYLGLTAYLAWTCCIAHGA TFLTHSSHKHFLYGLPNEEQGGVQGSG >ENSMUSP00000027368.5 pep:known chromosome:GRCm38:1:74375203:74386062:1 gene:ENSMUSG00000026177.11 transcript:ENSMUST00000027368.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc11a1 description:solute carrier family 11 (proton-coupled divalent metal ion transporters), member 1 [Source:MGI Symbol;Acc:MGI:1345275] MISDKSPPRLSRPSYGSISSLPGPAPQPAPCRETYLSEKIPIPSADQGTFSLRKLWAFTG PGFLMSIAFLDPGNIESDLQAGAVAGFKLLWVLLWATVLGLLCQRLAARLGVVTGKDLGE VCHLYYPKVPRILLWLTIELAIVGSDMQEVIGTAISFNLLSAGRIPLWDGVLITIVDTFF FLFLDNYGLRKLEAFFGLLITIMALTFGYEYVVAHPSQGALLKGLVLPTCPGCGQPELLQ AVGIVGAIIMPHNIYLHSALVKSREVDRTRRVDVREANMYFLIEATIALSVSFIINLFVM AVFGQAFYQQTNEEAFNICANSSLQNYAKIFPRDNNTVSVDIYQGGVILGCLFGPAALYI WAVGLLAAGQSSTMTGTYAGQFVMEGFLKLRWSRFARVLLTRSCAILPTVLVAVFRDLKD LSGLNDLLNVLQSLLLPFAVLPILTFTSMPAVMQEFANGRMSKAITSCIMALVCAINLYF VISYLPSLPHPAYFGLVALFAIGYLGLTAYLAWTCCIAHGATFLTHSSHKHFLYGLPNEE QGGVQGSG >ENSMUSP00000113077.1 pep:known chromosome:GRCm38:11:53785489:53859256:-1 gene:ENSMUSG00000081769.8 transcript:ENSMUST00000117316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12216 description:predicted gene 12216 [Source:MGI Symbol;Acc:MGI:3650088] MDSLLLKTCLEASPECWSAQRLQREQLRGVSS >ENSMUSP00000113458.1 pep:known chromosome:GRCm38:11:53809259:53859256:-1 gene:ENSMUSG00000081769.8 transcript:ENSMUST00000120776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12216 description:predicted gene 12216 [Source:MGI Symbol;Acc:MGI:3650088] MDSLLLKTCLEASPECWSAQRLQREQLRVVFAN >ENSMUSP00000113293.1 pep:known chromosome:GRCm38:11:53812961:53859256:-1 gene:ENSMUSG00000081769.8 transcript:ENSMUST00000121435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12216 description:predicted gene 12216 [Source:MGI Symbol;Acc:MGI:3650088] MDSLLLKTCLEASPECWSAQRLQREQLREAGSPRSDSPTSYGSHAVT >ENSMUSP00000057005.2 pep:known chromosome:GRCm38:2:132868305:132880891:-1 gene:ENSMUSG00000043110.2 transcript:ENSMUST00000049787.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrn4 description:leucine rich repeat neuronal 4 [Source:MGI Symbol;Acc:MGI:2445154] MRWTLMLQLLQLLLQLLMAQSQSLERISQDRIPLFRLTQQGDWDSLDRHPTDSLCVGLPA AGVTTLNLANRSLESLPSCLPRTLRSLDGSHNLLRALSEPVLGRLPELRVLTLHHNRISV LHWGRDTLAELRELDLSHNLLTELPPCAGPSGSSLRSLALAGNPLRALLPRTFACFPALR LLNLSCSELGHIAQEAFAGVDGGPLAALELLDLSGTSLERVESGWIRNLPKLKSLFLRKM PRLKTLEGDIFKMTPNLRQLDCGDSPALTSVHTEIFQDTPNLQVLQFQNCNLSSFGPWNS SQVLSVSLFGNPLICSCELAWLLVDVNKTVLHRAADTMCEPALGSTGPFSGPLSLSHLSN VCRSDQSTTLLPSNPGRFDHSVFAPRIQGPSIEQSTALSAQPGGSQQNITKVPSLTMTSP TQGSWMYKDASEETAQSTNSELVYSPSRALPGAASSGAEQTATHILEPNISSASTPLVSK YLEPLPTSPNPRSLPQTKQRTQATPRALHTDPPQDEIPVLLLDDDSEEEETRDQVAAPPQ DVSCEYHPCKHLQTPCAELQRRFRCRCPGLSGEDTTPDPPTLQGVSEVTDTSVLVHWCAP NSVVLWYQIHYVAEGRSGNQSVVDIYATARQHPLYKLTPGTTYHVCVLAANRAGLSQSQT SGWRRSCATFTTKPSSVVIFWGLCTASGLLLVSTLVLSVCLWRQRWKPHRQFYDTHLVAF KNPARAEEVTQWE >ENSMUSP00000075429.6 pep:known chromosome:GRCm38:7:92875252:92934583:1 gene:ENSMUSG00000061119.7 transcript:ENSMUST00000076052.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prcp description:prolylcarboxypeptidase (angiotensinase C) [Source:MGI Symbol;Acc:MGI:1919711] MGCRALLLLSFLLLGAATTIPPRLKTLGSPHLSASPTPDPAVARKYSVLYFEQKVDHFGF ADMRTFKQRYLVADKHWQRNGGSILFYTGNEGDIVWFCNNTGFMWDVAEELKAMLVFAEH RYYGESLPFGQDSFKDSQHLNFLTSEQALADFAELIRHLEKTIPGAQGQPVIAIGGSYGG MLAAWFRMKYPHIVVGALAASAPIWQLDGMVPCGEFMKIVTNDFRKSGPYCSESIRKSWN VIDKLSGSGSGLQSLTNILHLCSPLTSEKIPTLKGWIAETWVNLAMVNYPYACNFLQPLP AWPIKEVCQYLKNPNVSDTVLLQNIFQALSVYYNYSGQAACLNISQTTTSSLGSMGWSFQ ACTEMVMPFCTNGIDDMFEPFLWDLEKYSNDCFNQWGVKPRPHWMTTMYGGKNISSHSNI IFSNGELDPWSGGGVTRDITDTLVAINIHDGAHHLDLRAHNAFDPSSVLLSRLLEVKHMK KWILDFYSNIQ >ENSMUSP00000146597.1 pep:known chromosome:GRCm38:7:92875300:92934014:1 gene:ENSMUSG00000061119.7 transcript:ENSMUST00000207594.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prcp description:prolylcarboxypeptidase (angiotensinase C) [Source:MGI Symbol;Acc:MGI:1919711] MGCRALLLLSFLLLGAATTIPPRLKTLGSPHLSASPTPDPAVARKYSVLYFEQKVDHFGF ADMRTFKQRYLVADKHWQRNGGSILFYTGNEGDIVWFCNNTGFMWDVAEELKAMLVFAEH RYYGESLPFGQDSFKDSQHLNFLTSEQALADFAELIRHLEKTIPGAQGQPVIAIGGSYGG MLAAWFRMKYPHIVVGALAASAPIWQLDGMVPCGSGLQSLTNILHLCSPLTSEKIPTLKG WIAETWVNLAMVNYPYACNFLQPLPAWPIKEVCQYLKNPNVSDTVLLQNIFQALSVYYNY SGQAACLNISQTTTSSLGSMGWSFQACTEMVMPFCTNGIDDMFEPFLWDLEKYSNDCFNQ WGVKPRPHWMTTMYGGKNISSHSNIIFSNGELDPWSGGGVTRDITDTLVAINIHDGAHHL DLRAHNAFDPSSVLLSRLLEVKHMKKWILDFYSNIQ >ENSMUSP00000130803.3 pep:known chromosome:GRCm38:6:87104746:87112940:1 gene:ENSMUSG00000090891.3 transcript:ENSMUST00000170124.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D6Ertd527e description:DNA segment, Chr 6, ERATO Doi 527, expressed [Source:MGI Symbol;Acc:MGI:1261919] MMDYKFSRRRCSSSSRSSLSSRSSDTSTSSDTSSDTSTSTSTSTSTSHSNNSSSNSSRKP SNKGSSSLSSSSSNSSSKPSDTDSNSSSISCSSNSPSNTDSSSLSSSSSNSSRPSNTGSS SLSSSSSNSSRPSNTGSSSSSSSNSSNISNSSIRPSNRGSISNYDNSSNSSSPQPSSGNI SNRRPSNTGSSSNQVNSGPRPGNTVNISNYSNSGPRPSNTTTSSNSQSNSSPRPSNRGSI SNYSNSSLRPSNRGNISNYDNSSTRPSNRANISNYGNSSNSSSPQPSSSKISNRRPSNTG SSSNQVNSGPRPGNTVNISNYSNSGPRPSNTTSSSNSQSNSSPRSSNTDSSSSPGNSHTS SSSSSSSSSSSSSSSSRGNSGPRPSKTGSISSQSNSGPRSSNTDSSSSSSPGNIKTSSSS SSSNSSSSSHSSYSCSSSSHSSSSSRSHSSSHSHSSHSRTPWE >ENSMUSP00000145529.1 pep:known chromosome:GRCm38:6:87104748:87112996:1 gene:ENSMUSG00000090891.3 transcript:ENSMUST00000204927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D6Ertd527e description:DNA segment, Chr 6, ERATO Doi 527, expressed [Source:MGI Symbol;Acc:MGI:1261919] MMDYKFSSRRRCSSSSRSSLSSRSSDTSTSSDTSSDTSTSTSTSTSTSHSNNSSSNSSRK PSNKGSSSLSSSSSNSSSKPSDTDSNSSSISCSSNSPSNTDSSSLSSSSSNSSRPSNTGS SSLSSSSSNSSRPSNTGSSSSSSSNSSNISNSSIRPSNRGSISNYDNSSNSSSPQPSSGN ISNRRPSNTGSSSNQVNSGPRPGNTVNISNYSNSGPRPSNTTTSSNSQSNSSPRPSNRGS ISNYSNSSLRPSNRGNISNYDNSSTRPSNRANISNYGNSSNSSSPQPSSSKISNRRPSNT GSSSNQVNSGPRPGNTVNISNYSNSGPRPSNTTSSSNSQSNSSPRSSNTDSSSSPGNSHT SSSSSSSSSSSSSSSSSRGNSGPRPSKTGSISSQSNSGPRSSNTDSSSSSSPGNIKTSSS SSSSNSSSSSHSSYSCSSSSHSSSSSRSHSSSHSHSSHSRTPWE >ENSMUSP00000144761.1 pep:known chromosome:GRCm38:6:87104748:87112997:1 gene:ENSMUSG00000090891.3 transcript:ENSMUST00000203747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D6Ertd527e description:DNA segment, Chr 6, ERATO Doi 527, expressed [Source:MGI Symbol;Acc:MGI:1261919] MMDYNSSRRRCSSSSRSSLSSRSSDTSTSSDTSSDTSTSTSTSTSTSHSNNSSSNSSRKP SNKGSSSLSSSSSNSSSKPSDTDSNSSSISCSSNSPSNTDSSSLSSSSSNSSRPSNTGSS SLSSSSSNSSRPSNTGSSSSSSSNSSNISNSSIRPSNRGSISNYDNSSNSSSPQPSSGNI SNRRPSNTGSSSNQVNSGPRPGNTVNISNYSNSGPRPSNTTTSSNSQSNSSPRPSNRGSI SNYSNSSLRPSNRGNISNYDNSSTRPSNRANISNYGNSSNSSSPQPSSSKISNRRPSNTG SSSNQVNSGPRPGNTVNISNYSNSGPRPSNTTSSSNSQSNSSPRSSNTDSSSSPGNSHTS SSSSSSSSSSSSSSSSRGNSGPRPSKTGSISSQSNSGPRSSNTDSSSSSSPGNIKTSSSS SSSNSSSSSHSSYSCSSSSHSSSSSRSHSSSHSHSSHSRTPWE >ENSMUSP00000056320.6 pep:known chromosome:GRCm38:2:181220013:181222854:1 gene:ENSMUSG00000047841.8 transcript:ENSMUST00000050026.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051628 description:cDNA sequence BC051628 [Source:MGI Symbol;Acc:MGI:3051572] MNFQVTGLALDKMKLDSPHSFLDAEEVEEAEDQQLLEPEAWRTYMERRNVLREFLTTDLS PQLLKRHHARMQLLKKCSYYIEILPKHLALGDQNPLVLPNTMFQLIDPWKFQRMKKVGTA QTKIQLLLLGDLLEQLDHGRAALDALLEAPDPRPFLAGWGLVEQQLADLSVVMDNFLAMM VPGHLHVKHRLVSDIGATKIPHIRLMLSTKMPVMFDRKESVAHQDWVSLRWFVTIQPAAP EQYELRFKLLEPRTQQECTQCGIVPVAACTFDVHNLLPNRTYKFTIKRAESYTLVYEPWR DSLTLQTTPGPPEGPAPRLGEPPAGL >ENSMUSP00000104463.1 pep:known chromosome:GRCm38:2:181220037:181222449:1 gene:ENSMUSG00000047841.8 transcript:ENSMUST00000108835.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051628 description:cDNA sequence BC051628 [Source:MGI Symbol;Acc:MGI:3051572] MNFQVTGLALDKMKLDSPHSFLDAEEVEEAEDQQLLEPEAWRTYMERRNVLREFLTTDLS PQLLKRHHARMQLLKKCSYYIEILPKHLALGDQNPLVLPNTMFQLIDPWKFQRMKKVGTA QTKIQLLLLGDLLEQLDHGRAALDALLEAPDPRPFLAGWGLVEQQLADLSVVMDNFLAMM VPGHLHVKHRLVSDIGATKIPHIRLMLSTKMPVMFDRKESVAHQDWVSLRWFVTIQPAAP EQYELRFKLLEPRTQQECTQCGIVPVAACTFDVHNLLPNRTYKFTIKRAESYTLVYEPWR DSLTLQTTPGPPEGPAPRLGKPSLPLTTPSER >ENSMUSP00000004574.7 pep:known chromosome:GRCm38:16:22857845:22872388:1 gene:ENSMUSG00000004460.16 transcript:ENSMUST00000004574.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb11 description:DnaJ heat shock protein family (Hsp40) member B11 [Source:MGI Symbol;Acc:MGI:1915088] MAPQNLSTFCLLLLYLIGTVIAGRDFYKILGVPRSASIKDIKKAYRKLALQLHPDRNPDD PQAQEKFQDLGAAYEVLSDSEKRKQYDTYGEEGLKDGHQSSHGDIFSHFFGDFGFMFGGT PRQQDRNIPRGSDIIVDLEVTLEEVYAGNFVEVVRNKPVARQAPGKRKCNCRQEMRTTQL GPGRFQMTQEVVCDECPNVKLVNEERTLEVEIEPGVRDGMEYPFIGEGEPHVDGEPGDLR FRIKVVKHRIFERRGDDLYTNVTVSLVEALVGFEMDITHLDGHKVHISRDKITRPGAKLW KKGEGLPNFDNNNIKGSLIITFDVDFPKEQLTEEAKEGIKQLLKQGPVQKVYNGLQGY >ENSMUSP00000126828.2 pep:known chromosome:GRCm38:16:22857845:22879634:1 gene:ENSMUSG00000004460.16 transcript:ENSMUST00000166487.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb11 description:DnaJ heat shock protein family (Hsp40) member B11 [Source:MGI Symbol;Acc:MGI:1915088] MAPQNLSTFCLLLLYLIGTVIAGRDFYKILGVPRSASIKDIKKAYRKLALQLHPDRNPDD PQAQEKFQDLGAAYEVLSDSEKRKQYDTYGEEGLKDGHQSSHGDIFSHFFGDFGFMFGGT PRQQDRNIPRGSDIIVDLEVTLEEVYAGNFVEVVRNKPVARQAPGKRKCNCRQEMRTTQL GPGRFQMTQEVVCDECPNVKLVNEERTLEVEIEPGVRDGMEYPFIGEGEPHVDGEPGDLR FRIKVVKHRIFERRGDDLYTNVTVSLVEALVGFEMDITHLDGHKVHISRDKITRPGAKLW KKGEGLPNFDNNNIKGSLIITFDVDFPKEQLTEEAKEGIKQLLKQGPVQKVYNGLQGY >ENSMUSP00000137542.1 pep:known chromosome:GRCm38:16:22857845:22872465:1 gene:ENSMUSG00000004460.16 transcript:ENSMUST00000178320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb11 description:DnaJ heat shock protein family (Hsp40) member B11 [Source:MGI Symbol;Acc:MGI:1915088] MAPQNLSTFCLLLLYLIGTVIAGRDFYKILGVPRSASIKDIKKAYRKLALQLHPDRNPDD PQAQEKFQDLGAAYEVLSDSEKRKQYDTYGEEGLKDGHQSSHGDIFSHFFGDFGFMFGGT PRQQDRNIPRGSDIIVDLEVTLEEVYAGNFVEVVRNKPVARQAPGKRKCNCRQEMRTTQL GPGRFQMTQEVVCDECPNVKLVNEERTLEVEIEPGVRDGMEYPFIGEGEPHVDGEPGDLR FRIKVVKHRIFERRGDDLYTNVTVSLVEALVGFEMDITHLDGHKVHISRDKITRPGAKLW KKGEGLPNFDNNNIKGSLIITFDVDFPKEQLTEEAKEGIKQLLKQGPVQKVYNGLQGY >ENSMUSP00000021806.3 pep:known chromosome:GRCm38:13:47025170:47043210:-1 gene:ENSMUSG00000021376.10 transcript:ENSMUST00000021806.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpmt description:thiopurine methyltransferase [Source:MGI Symbol;Acc:MGI:98812] MSLDMKEHPDAEVQKNQVLTLEDWKEKWVTRHISFHQEQGHQLLKKHLDTFLKGQSGLRV FFPLCGKAVEMKWFADRGHTVVGVEISEIGIREFFAEQNLSYTEEPLAEIAGAKVFKSSS GSISLYCCSIFDLPRANIGKFDRIWDRGALVAINPGDHDRYADIILSLLRKEFQYLVAVL SYDPTKHAGPPFYVPSAELKRLFGTKCSMQCLEEVDALEERHKAWGLDYLFEKLYLLTEK >ENSMUSP00000105745.1 pep:known chromosome:GRCm38:13:47025179:47043124:-1 gene:ENSMUSG00000021376.10 transcript:ENSMUST00000110118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpmt description:thiopurine methyltransferase [Source:MGI Symbol;Acc:MGI:98812] MSLDMKEHPDAEVQKNQVLTLEDWKEKWVTRHISFHQEQGHQLLKKHLDTFLKGQSGLRV FFPLCGKAVEMKWFADRGHTVVGVEISEIGIREFFAEQNLSYTEEPLAEIAGAKVFKSSS GSISLYCCSIFDLPRANIGKFDRIWDRGALVAINPGDHDRYADIILSLLRKEFQYLVAVL SYDPTKHAGPPFYVPSAELKRLFEK >ENSMUSP00000121827.1 pep:known chromosome:GRCm38:13:47028901:47044737:-1 gene:ENSMUSG00000021376.10 transcript:ENSMUST00000154802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpmt description:thiopurine methyltransferase [Source:MGI Symbol;Acc:MGI:98812] MSLDMKEHPDAEVQKNQVLTLEDWKEKWVTRHISFHQEQGHQLLKKHLDTFLKGQSGLRV FFPLCGKAVEMKWFADRGHTVVGVEISEIGIREFFAEQNLSYTEEPLAEIAGAKVFKSSS GSISLYCCSIFDLPRANIGKFDRIWDRGALVAINPGDHDRYADIILSLLRKEFQYLVAVL SY >ENSMUSP00000120564.1 pep:known chromosome:GRCm38:13:47025368:47044664:-1 gene:ENSMUSG00000021376.10 transcript:ENSMUST00000151509.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpmt description:thiopurine methyltransferase [Source:MGI Symbol;Acc:MGI:98812] MSLDMKEHPDAEVQKNQVLTLEDWKEKWVTRHISFHQEQGHQLLKKHLDTFLKGQSGLRV FFPLCGKAVEMKC >ENSMUSP00000120081.1 pep:known chromosome:GRCm38:13:47028882:47043343:-1 gene:ENSMUSG00000021376.10 transcript:ENSMUST00000136864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpmt description:thiopurine methyltransferase [Source:MGI Symbol;Acc:MGI:98812] MSLDMKEHPDAEVQKNQVLTLEDWKEKWVTRHISFHQEQGHQLLKKHLDTFLKGQSGLRV FFPLCGKAVEMKWFADRGHTVVGVEISEIGIREFFAEQNLSYTEEPLAEIAGAKVFKSSS GSISLYCCSIFDLPRANIGKFDRIWDRGALVAINPGDHDRYADIILSLLRKEFQYLVAVL SYDPTKHA >ENSMUSP00000115361.1 pep:known chromosome:GRCm38:13:47040114:47043138:-1 gene:ENSMUSG00000021376.10 transcript:ENSMUST00000124948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpmt description:thiopurine methyltransferase [Source:MGI Symbol;Acc:MGI:98812] MSFHLGTGDTNLRDKNCLQPVDGTMSLDMKEHPDAEVQKNQVLTLEDWKEKWVTRHISFH QEQGHQLLKKHLDTFLKGQSGLRVFFPLCGKAV >ENSMUSP00000065020.6 pep:known chromosome:GRCm38:7:104470014:104507849:-1 gene:ENSMUSG00000057596.13 transcript:ENSMUST00000071069.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim30d description:tripartite motif-containing 30D [Source:MGI Symbol;Acc:MGI:3035181] MENEKRCDEWQDDLQQQRADWENQIHSDIEDIQIEFNGLRDLLDSKENEKLQELKKEKEE VMEKLEESEHELREQRELVRDLISYVQHQLELSVMEMKQGVNYVLTSIQTMTLKQPQIVP PKRRRGTSKAPHLKGMLQSFEGLMDVQQYWVHMTLHARNNAVIAINKEKRQIQYRSYNTV PVSEIYHLGVLGYPALSSGKHYWEVDISRSDAWLLGLNDGKCAQPQLHSKEEMGIKKKYH SHIKQNVTFQPKCGYWVIGMKNSSVYNAFDECSITHNSSVLALSLPDRPSRVGVFLDQEV CTLSFYDVSNSGALIYRFYDPSFPVEVYPYFNPMECSEPMTVCGPPS >ENSMUSP00000033211.7 pep:known chromosome:GRCm38:7:104471844:104507825:-1 gene:ENSMUSG00000057596.13 transcript:ENSMUST00000033211.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim30d description:tripartite motif-containing 30D [Source:MGI Symbol;Acc:MGI:3035181] MASSVLEMIKEEVTCPICLELLKESVSADCNHSFCRACITLHYESNRNPEGEGNCPVCRV PYLFENLRPNRHVANIVERLKGFKSIPEEEQKVNACVEHGEKLQLFCKEDMMAICWLCKQ SQEHSGHQTALIEEVNHEYKEKLQAALQKLMENEKRCDEWQDDLQQQRADWENQIHSDIE DIQIEFNGLRDLLDSKENEKLQELKKEKEEVMEKLEESEHELREQRELVRDLISYVQHQL ELSVMEMKQGVNYVLTSIQTMTLKQPQIVPPKRRRGTSKAPHLKGMLQSFEGLMDVQQYW VHMTLHARNNAVIAINKEKRQIQYRSYNTVPVSEIYHLGVLGYPALSSGKHYWEVDISRS DAWLLGLNDGKCAQPQLHSKEEMGIKKKYHSHIKQNVTFQPKCGYWVIGMKNSSVYNAFD ECSITHNSSVLALSLPDRPSRVGVFLDQEVCTLSFYDVSNSGALIYRFYDPSFPVEVYPY FNPMECSEPMTVCGPPS >ENSMUSP00000115684.1 pep:known chromosome:GRCm38:7:104472223:104483426:-1 gene:ENSMUSG00000057596.13 transcript:ENSMUST00000141063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim30d description:tripartite motif-containing 30D [Source:MGI Symbol;Acc:MGI:3035181] XEHELREQRELVRDLISYVQHQLELSVMEMKQGVNYVLTSIQTMTLKQPQIVPPKRRRGT SKAPHLKGMLQSFEVHMTLHARNNAVIAINKEKRQIQYRSYNTVPVSEIYHLGVLGYPAL SSGKHYWEVDISRSDAWLLGLNDGKCAQPQLHSKEEMGIKKKYHSHIKQNVTFQPKCGYW VIGMKNSSVYNAFDECSITHNSSVLALSLPDR >ENSMUSP00000031181.9 pep:known chromosome:GRCm38:5:86889767:86906937:-1 gene:ENSMUSG00000029260.15 transcript:ENSMUST00000031181.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2b34 description:UDP glucuronosyltransferase 2 family, polypeptide B34 [Source:MGI Symbol;Acc:MGI:2140962] MPVKMTAALLLLLLQLSGFFGSGTGGKVLVWPMEFSHWLNLKTILDELLKKGHEVMVLRP SASLSYEVDNTSAIEFETYPTSYSLSELEEIFWESLKKYIYELPKQSFWGYFLMLQEMVW VDSKYFESLCKDVVFNKELMTKLQKSRFDVILADPFIPCGDLLAEVLKIPLVYSLRFFPG STYEKYSGGLPLPPSYVPVVMSELSDRMTFMERVRNVIYMLCFDFWFQTFNEKNWNQLYT EVLGRPTTLSETMAKADIWLIRTYWDLEFPHPVLPNFDFIGGLHCRPAKPLPKEIEDFVQ SSGEHGVVVFSLGSMVGSITEERANVIAAGLAQIPQKVLWRFEGKKPETLGSNTRLYKWI PQNDLLGHSKTRAFITHGGTNGIYEAIYHGIPVVGIPLFGDQYDNIVHLKAKGAAVRLDF LTMSSTDLHTALKTVTNDPSYKENAMRLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLR VAAHDLSWVQYHSLDVLGFLLACVLTVMFILKKCCLFCCQKLTKAGRKKKGE >ENSMUSP00000108959.1 pep:known chromosome:GRCm38:5:86889767:86906937:-1 gene:ENSMUSG00000029260.15 transcript:ENSMUST00000113333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2b34 description:UDP glucuronosyltransferase 2 family, polypeptide B34 [Source:MGI Symbol;Acc:MGI:2140962] MPVKMTAALLLLLLQLSGFFGSGTGGKVLVWPMEFSHWLNLKTILDELLKKGHEVMVLRP SASLSYEVDNTSAIEFETYPTSYSLSELEEIFWESLKKYIYELPKQSFWGYFLMLQEMVW VDSKYFESLCKDVVFNKELMTKLQKSRFDVILADPFIPCGDLLAEVLKIPLVYSLRFFPG STYEKYSGGLPLPPSYVPVVMSELSDRMTFMERVRNVIYMLCFDFWFQTFNEKNWNQLYT EVLGRPTTLSETMAKADIWLIRTYWDLEFPHPVLPNFDFIGGLHCRPAKPLPKEIEDFVQ SSGEHGVVVFSLGSMVGSITEERANVIAAGLAQIPQKVLWRFEGKKPETLGSNTRLYKWI PQNDLLGHSKTRAFITHGGTNGIYEAIYHGIPVVGIPLFGDQYDNIVHLKAKGAAVRLDF LTMSSTDLHTALKTVTNDPS >ENSMUSP00000022053.8 pep:known chromosome:GRCm38:13:73911347:73937767:-1 gene:ENSMUSG00000021569.9 transcript:ENSMUST00000022053.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip13 description:thyroid hormone receptor interactor 13 [Source:MGI Symbol;Acc:MGI:1916966] MDEAVGDLKQALPCVAESPAVHVEVLQRSGSTAKKEDIKSSVYRLLNRHNIVFGDYVWTE FDDPFLSRNVQSVSIVDTELKAKDPQPIDLSACTIALHIFQLNEEGPSSENLDEETENII AASHWVLPAAEFHGLWDSLVYDVEVKSHLLDYVMTTVLFSDKNVDSNLITWNRVVLLHGP PGTGKTSLCKALAQKLTIRLSSRYRYGQLIEINSHSLFSKWFSESGKLVTKMFQKIQDLI DDKEALVFVLIDEVESLTAARNACRAGAEPSDAIRVVNAVLTQIDQIKRHSNVVILTTSN ITEKIDVAFVDRADIKQYIGPPSAAAIFKIYLSCLEELMKCQIIYPRQQLLTLRELEMIG FIENNVSKLSLLLSEISRKSEGLSGRVLRKLPFLAHALYIQAPSVTIEGFLQALSLAVDK QFEEKKKLSAYV >ENSMUSP00000081142.3 pep:known chromosome:GRCm38:4:148612382:148627019:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000084125.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPGGFGNQGGFGNSRGGGAGLG NNQGGNMGGGMNFGAFSINPAMMAAAQAALQSSWGMMGMLASQQNQSGPSGNNQSQGSMQ REPNQAFGSGNNSYSGSNSGAPLGWGSASNAGSGSGFNGGFGSSMDSKSSGWGM >ENSMUSP00000139476.1 pep:known chromosome:GRCm38:4:148612387:148626762:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000188134.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPVHLISNVYGRSTSLKVVL >ENSMUSP00000140989.1 pep:known chromosome:GRCm38:4:148612388:148618771:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000188488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] XEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPALFALLLSSSNMVTLKWFSGTSKD GQESWGSQTGGSFSPLELVQNPSASHTYLPASHWKSKNV >ENSMUSP00000139547.1 pep:known chromosome:GRCm38:4:148612485:148619276:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000186729.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] QQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVAQSLCGEDLIIKGISVHISNAEPKHNSN RQLERSGRFGVHLISNVYGRSTSLKVVL >ENSMUSP00000038113.7 pep:known chromosome:GRCm38:4:148615919:148626765:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000045180.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPVHLISNVYGRSTSLKVVL >ENSMUSP00000140333.1 pep:known chromosome:GRCm38:4:148616636:148618367:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000147391.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] XAPLGWGSASNAGSGSGFNGGFGSSMDSKSSGWGM >ENSMUSP00000141052.1 pep:known chromosome:GRCm38:4:148616716:148621990:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000190552.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGVHLISNVYGRSTSLKVVL >ENSMUSP00000135135.2 pep:known chromosome:GRCm38:4:148616721:148620690:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000140897.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] FVRFTEYETQVKVMSQRHMIDGRWCDCKLPNSKQSPDEPLRSRKVFVGRCTEDMTAEELQ QFFCQYGEVVDVFIPKPFRAFAFVTFADDKVAQSLCGEDLIIKGISVHISNAEPKHNSNR QLERSGRFGGNPGKSPFGRS >ENSMUSP00000140718.1 pep:known chromosome:GRCm38:4:148616725:148620685:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000191378.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] XRFTEYETQVKVMSQRHMIDGRWCDCKLPNSKQSPDEPLRSRKVFVGRCTEDMTAEELQQ FFCQYGEVVDVFIPKPFRAFAFVTFADDKVAQSLCGEDLIIKGISVHISNAEPKHNSNRQ LERSGRFGGNPVQYEAFI >ENSMUSP00000140832.1 pep:known chromosome:GRCm38:4:148616725:148626758:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000191450.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGKSPFGRS >ENSMUSP00000140859.1 pep:known chromosome:GRCm38:4:148616726:148619350:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000190287.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] XPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVAQS LCGEDLIIKGISVHESTI >ENSMUSP00000101324.1 pep:known chromosome:GRCm38:4:148616726:148626758:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000105699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGVHLISNVYGRSTSLKVVL >ENSMUSP00000140928.1 pep:known chromosome:GRCm38:4:148616863:148620612:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000187939.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] CKLPNSKQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTF ADDKVAQSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPGILLSTCFLIQEFV ITLHRLRL >ENSMUSP00000140057.1 pep:known chromosome:GRCm38:4:148617046:148618680:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000186711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] FGGNPGGFGNQGKSPFGRS >ENSMUSP00000140385.1 pep:known chromosome:GRCm38:4:148617308:148619286:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000190630.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] XEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVAQSLCGEDLIIKGISVHISNAEPK HNSNRQLERSGRFGGNPGKSPFGRS >ENSMUSP00000140364.1 pep:known chromosome:GRCm38:4:148617451:148625362:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000189048.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPVHLISNVYGRSTSLKVVL >ENSMUSP00000101325.1 pep:known chromosome:GRCm38:4:148617554:148625362:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000105700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPGILLSTCFLIQEFVITLHRL RL >ENSMUSP00000093386.3 pep:known chromosome:GRCm38:4:148617554:148625362:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000095719.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPGGFGNQVHLISNVYGRSTSL KVVL >ENSMUSP00000140110.1 pep:known chromosome:GRCm38:4:148617608:148622097:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000185824.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] XDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDLKDYFSTFGEVLMVQVKKDLKTGHS KGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNSKQSPDEPLRSRKVFVGRCTEDMTA EELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVAQSLCGEDLIIKGISVHISNAEPKH NSNRQLERSGRFGVQYEAFI >ENSMUSP00000139637.1 pep:known chromosome:GRCm38:4:148618035:148626770:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000190696.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKGQERS >ENSMUSP00000140529.1 pep:known chromosome:GRCm38:4:148618605:148626754:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000186947.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KVAQSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPGGFGNQGGFGNSRGGGA GLG >ENSMUSP00000141010.1 pep:known chromosome:GRCm38:4:148620688:148626323:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000185673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGF >ENSMUSP00000129342.1 pep:known chromosome:GRCm38:4:148612382:148626996:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000165113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPVHLISNVYGRSTSLKVVL >ENSMUSP00000130963.1 pep:known chromosome:GRCm38:4:148612382:148626996:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000172073.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPGGFGNQVHLISNVYGRSTSL KVVL >ENSMUSP00000101327.2 pep:known chromosome:GRCm38:4:148612382:148626996:-1 gene:ENSMUSG00000041459.15 transcript:ENSMUST00000105702.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tardbp description:TAR DNA binding protein [Source:MGI Symbol;Acc:MGI:2387629] MSEYIRVTEDENDEPIEIPSEDDGTVLLSTVTAQFPGACGLRYRNPVSQCMRGVRLVEGI LHAPDAGWGNLVYVVNYPKDNKRKMDETDASSAVKVKRAVQKTSDLIVLGLPWKTTEQDL KDYFSTFGEVLMVQVKKDLKTGHSKGFGFVRFTEYETQVKVMSQRHMIDGRWCDCKLPNS KQSPDEPLRSRKVFVGRCTEDMTAEELQQFFCQYGEVVDVFIPKPFRAFAFVTFADDKVA QSLCGEDLIIKGISVHISNAEPKHNSNRQLERSGRFGGNPGILLSTCFLIQEFVITLHRL RL >ENSMUSP00000116341.1 pep:known chromosome:GRCm38:3:95124476:95127653:1 gene:ENSMUSG00000005628.12 transcript:ENSMUST00000131597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmod4 description:tropomodulin 4 [Source:MGI Symbol;Acc:MGI:1355285] MSSYQKELEKYRDIDEDEILRTLSPEELEQLDCELQEMDPENMLLPAGLRQRDQTKKSPT GPLDRDALLQYLEQQALEVKERDDLVPYTGEKKGKPFIQPKREIPAQEQITLEPELEEAL SHATDAEMCDIAAILGMYTLMSNKQYYDAICSGEICNTEGISSVVQPDKYKPVPDEPPNP TNIE >ENSMUSP00000005769.6 pep:known chromosome:GRCm38:3:95124514:95129209:1 gene:ENSMUSG00000005628.12 transcript:ENSMUST00000005769.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmod4 description:tropomodulin 4 [Source:MGI Symbol;Acc:MGI:1355285] MSSYQKELEKYRDIDEDEILRTLSPEELEQLDCELQEMDPENMLLPAGLRQRDQTKKSPT GPLDRDALLQYLEQQALEVKERDDLVPYTGEKKGKPFIQPKREIPAQEQITLEPELEEAL SHATDAEMCDIAAILGMYTLMSNKQYYDAICSGEICNTEGISSVVQPDKYKPVPDEPPNP TNIEEMLKRVRSNDKELEEVNLNNIQDIPIPVLSDLCEAMKTNTYVRSFSLVATKSGDPI ANAVADMLRENRSLQSLNIESNFISSTGLMAVLKAVRENATLTELRVDNQRQWPGDAVEM EMATVLEQCPSIVRFGYHFTQQGPRARAAHAMTRNNELRRQQKKR >ENSMUSP00000102846.1 pep:known chromosome:GRCm38:3:95124515:95129205:1 gene:ENSMUSG00000005628.12 transcript:ENSMUST00000107227.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmod4 description:tropomodulin 4 [Source:MGI Symbol;Acc:MGI:1355285] MSSYQKELEKYRDIDEDEILRTLSPEELEQLDCELQEMDPENMLLPAGLRQRDQTKKSPT GPLDRDALLQYLEQQALEVKERDDLVPYTGEKKGKPFIQPKREIPAQEQITLEPELEEAL SHATDAEMCDIAAILGMYTLMSNKQYYDAICSGEICNTEGISSVVQPDKYKPVPDEPPNP TNIEEMLKRVRSNDKELEEVNLNNIQDIPIPVLSDLCEAMKTNTYVRSFSLVATKSGDPI ANAVADMLRENRSLQSLNIESNFISSTGLMAVLKAVRENATLTELRVDNQRQWPGDAVEM EMATVLEQCPSIVRFGYHFTQQGPRARAAHAMTRNNELRRQQKKR >ENSMUSP00000068610.5 pep:known chromosome:GRCm38:2:112454997:112472162:1 gene:ENSMUSG00000055943.5 transcript:ENSMUST00000069747.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc7 description:ER membrane protein complex subunit 7 [Source:MGI Symbol;Acc:MGI:1920274] MAGALWGFFSVLLLLLSGDAHSSEVPGAAAEGPGGSGVGLGDRFKIEGRAVVPGVKPQDW ISAARVLVDGEEHVGFLKTDGSFVVHDIPSGSYVVEVISPAYKFDPVRVDITSKGKMRAR YVNYIKTSEVVRLPYPLQMKSSGPPSYFIKRESWGWTDFLMNPMVMMMVLPLLIFVLLPK VVNTSDPDMRREMEQSMNMLNSNHELPDVSEFMTRLFSSKSSGKSSSGSSKTGKSGAGKR R >ENSMUSP00000147028.1 pep:known chromosome:GRCm38:11:72796254:72927118:1 gene:ENSMUSG00000055670.14 transcript:ENSMUST00000207107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zzef1 description:zinc finger, ZZ-type with EF hand domain 1 [Source:MGI Symbol;Acc:MGI:2444286] MGNAPSNSSEDEAAAAGGEGWSPHQDWAADSGTTPGPGPAAAVLPSAAALLEPARLREAA AALRPAPPCESLVSRHHGALLRWLEERLGRGEESVTLEQFRELLEARGAGCSGEQFEEAF AQFDAEGDGTVDAENMLEALKNSSGANLQGELSHVIRQLQACSLVPGFIDIFSESKEGLG IHSSMILRFLHRNRISSMVIPYPMLDHCNNMCTMRSSVLKESLDQLVQKEKESPGDLARS PEMDKLKSVTKCYAYIETSSNPADIYRMTNGETSSYWQSDGSARSHWIRLKMKPDVVLRH LSIAVAATDQSYMPQQVTVAVGRSASDLQEVRDVHIPSNVTGYVTLLENANISQLYVQIN IKRCLSDGCDTRIHGLRAVGFQRVKKSGVSVSDASAIWYWSLLTSLVTASMETNPAFVQT VLHNTQKALQHMPPLSLSPGSTDFSTFLSPNVLEEVDSFLIRITSCCSTPEVELTLLAFA LARGSIAKVMSSLCTITDHLDTQYDASSLISSMASVRQNLLLKYGKPLQLTLQACDVKGK EDKSGPENLLVEPWTRDGFLTETGKTRASTIFSTGSDSAFQVTQIRIMVRRGGIGAQCGL VFAYNSPSNKFHAEEHFKRFEKYDKWKLQELRQFVKSRIGCSSDDLGEDDPIGWFELEEE WDEADVKLQQCRVAKFLMVKFLCTRQESAERLGVQGLSISGYLRPARAEAEQSILYAHCR RDTENIHGATLLLRTLQFIQQLSHDLMQQKESGLKHKSFLDFAGLDLQIFWKFYSKLKQN RREECICAQTLLLKLLQSCFSVLQGDPQAASEEEKPTAQRSEGIQAAKELYTHLCNVVDK PNGNSMPMEILKQEVRNTLLNGAAIFFPDRQTRRSQLFTMMKSVTEHERKQSLQLTFHSL CTYFSDKDPGGLLLLPEKSDLATMNTSEVLAVMNTLLSVAARECELLMLNRSHGAVGSVL FSLFWSVQGSLLSWCFLQLKSTDAAAKELAMDLIEKYVGQFLASMRVILESLLSQYSGKT IVEKLCNSVFSMAARQLVIFLLDFCTLDVSHCTLLREFSTLTELLKKLCSDPEGGLSKLD VETWQQEQPVVLHTWTKESTHNYENNCHEVSVFISPGATYFEVEFDERCETEKRYDYLEF TDSRGGKTRYDTKVGTYKWPKKVTFKDGPRLQFLFHSDSSNNEWGYKFTVTAYGLPDVAV SWGLDLQLLVSRLMGRLASQCMALKSVHQLGSNMAVSQAKLTSVLNSPLWKPVFRHQICP ELELEASWPTHPHKDGKEVKNIPDDPCRHFLLDFAQSEPAQNFCGPYSELFKGFIQACRK QAPKTDIVAGSTIDQAVNATFAALVYRTPDLYEKLQKYVNSGGKIALTEEFSQVYSLADG IRIWMLEMKQKSLLSLGNDSEEKRGLEAAEVNPESLAKECIQKSLLLLKFLPMSKSSKEN CDKLETVDETDHLQPLDRRQRTSSVVEEHFQGSASPTEAATPAAGDRSPALEIQPKLLPS SGPCVAEVSTAEEPSPPSTPTRRPPFTRGRLRLLSFRSMEETRPVPTVKEKYPVLKDVMD FIKDQSLSHESVVKVLSLRKAQGQSILEVLRIIQYCTESLGQPHCFHPPYILFLLELLTC QKDFTNYFGHLEGCGADLHREIRDTYYQLVLFLVKAIKRFSSINDRSLLPALSCVQTALL HLLDMGWEPSDLAFFVDIQLPDLLMNMSQENISVHDSVISQWSEEDELADAKQNSEWMDE CQDGMFEAWYEKIAQEDPEKQRKMHMFIARYCDLLNVDISCDGCDEIAPWHRYRCLQCSD MDLCKTCFLGGVKPEGHGDDHEMVNMEFTCDHCQGLIIGRRMNCNVCDDFDLCYGCYTAK KYSYGHLPTHSITAHPMVTIRISDRQRLIQPYIHNYSWLLFAALALYSAHLTSTEQVDGE QLDPQARTNAATLRSQCMQLVGDCLMKAHQGKGLKALALLGVLPDGDSTSENQALPVTVS FQASEEQADAGLLVPCNGKRAADTEVRPLDYKQKKKAGEDLSIVKDPSCQTQVSDAPASA HVPPGLPDAEHPEVSAQVLVEEKAITPNPEQVFAECSQKRILGLLAAMLPPIKSGPTVPL IDLEHVLPLMFQVVISNAGHLNETYHLTLGLLGQLIIRLQPAEVDAAVMKVLSAKHNLFA TADNAVVPDGWKTTHLLFSLGAVCLDSRVGLDWACSMAEILRSLNNAPLWRDVIATFTDH CIKQLPFQLKHTNIFTLLVLVGFPQVLCVGTRCVYMDNANEPHNVIILKHFTEKNRAVIV DVKTRKRKTVKDYQLVQKGGGQECGTSQSQLSQYSQHFAFIASHLLQTSMDSHCPEAVEA TWVLSLALKGLYKTLKAHGFEETHATFLQTDLLKLLVKKCSKGTGFSKTWLLRDLEILSI MLYSSKKEINTLAEHGDLELDERGDQEEELDRPVSSPGEAEQKKLDPLENLDEPTRICFL MAHDALNAPLHILRAIYELQMKKTDSFFLEVQKRFDGDELTTDERIRSLAQRWQPSRSLR LEEQSAKAVDTDMIILPCLSRPARSDQATPESNPVTQKLISSTESELQQSYAKQRRSKSA ALLHKELNCKSKRAIRDYLFRVNEATSVLYARHVLASLLAEWPGHVPVSEDILELSGPAH MTYILDMFMQLEEKHQWEKILQKVLQGCRENMLGTMALAACQFMEEPGMEVQVRESKHPY NNNTSFEDKVHIPGAIYLSIKFDPQCNTEEGCDELAMSSSSDFQQDRHNFSGSQQKWKDF ELPGDTLYYRFTSDMSNTEWGYRFTVTAGHLGRFQTGFEILKQMLSEERVVPHLALGKIW EWLVGVACRQTGHQRLKAIHLLLRIVQCCSHSDLCDLGLLKPLWQLFTHMEYGLFEDVTQ PGILLPLHRALTELFFVTENRAQELGLLQEYLLALTTEDHLLRCAAQALQNIAAISLAIN YPNKATRLWNVEC >ENSMUSP00000068790.5 pep:known chromosome:GRCm38:11:72796226:72927120:1 gene:ENSMUSG00000055670.14 transcript:ENSMUST00000069395.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zzef1 description:zinc finger, ZZ-type with EF hand domain 1 [Source:MGI Symbol;Acc:MGI:2444286] MGNAPSNSSEDEAAAAGGEGWSPHQDWAADSGTTPGPGPAAAVLPSAAALLEPARLREAA AALRPAPPCESLVSRHHGALLRWLEERLGRGEESVTLEQFRELLEARGAGCSGEQFEEAF AQFDAEGDGTVDAENMLEALKNSSGANLQGELSHVIRQLQACSLVPGFIDIFSESKEGLG IHSSMILRFLHRNRISSMVIPYPMLDHCNNMCTMRSSVLKESLDQLVQKEKESPGDLARS PEMDKLKSVTKCYAYIETSSNPADIYRMTNGETSSYWQSDGSARSHWIRLKMKPDVVLRH LSIAVAATDQSYMPQQVTVAVGRSASDLQEVRDVHIPSNVTGYVTLLENANISQLYVQIN IKRCLSDGCDTRIHGLRAVGFQRVKKSGVSVSDASAIWYWSLLTSLVTASMETNPAFVQT VLHNTQKALQHMPPLSLSPGSTDFSTFLSPNVLEEVDSFLIRITSCCSTPEVELTLLAFA LARGSIAKVMSSLCTITDHLDTQYDASSLISSMASVRQNLLLKYGKPLQLTLQACDVKGK EDKSGPENLLVEPWTRDGFLTETGKTRASTIFSTGSDSAFQVTQIRIMVRRGGIGAQCGL VFAYNSPSNKFHAEEHFKRFEKYDKWKLQELRQFVKSRIGCSSDDLGEDDPIGWFELEEE WDEADVKLQQCRVAKFLMVKFLCTRQESAERLGVQGLSISGYLRPARAEAEQSILYAHCR RDTENIHGATLLLRTLQFIQQLSHDLMQQKESGLKHKSFLDFAGLDLQIFWKFYSKLKQN RREECICAQTLLLKLLQSCFSVLQGDPQAASEEEKPTAQRSEGIQAAKELYTHLCNVVDK PNGNSMPMEILKQEVRNTLLNGAAIFFPDRQTRRSQLFTMMKSVTEHERKQSLQLTFHSL CTYFSDKDPGGLLLLPEKSDLATMNTSEVLAVMNTLLSVAARECELLMLNRSHGAVGSVL FSLFWSVQGSLLSWCFLQLKSTDAAAKELAMDLIEKYVGQFLASMRVILESLLSQYSGKT IVEKLCNSVFSMAARQLVIFLLDFCTLDVSHCTLLREFSTLTELLKKLCSDPEGGLSKLD VETWQQEQPVVLHTWTKESTHNYENNCHEVSVFISPGATYFEVEFDERCETEKRYDYLEF TDSRGGKTRYDTKVGTYKWPKKVTFKDGPRLQFLFHSDSSNNEWGYKFTVTAYGLPDVAV SWGLDLQLLVSRLMGRLASQCMALKSVHQLGSNMAVSQAKLTSVLNSPLWKPVFRHQICP ELELEASWPTHPHKDGKEVKNIPDDPCRHFLLDFAQSEPAQNFCGPYSELFKGFIQACRK QAPKTDIVAGSTIDQAVNATFAALVYRTPDLYEKLQKYVNSGGKIALTEEFSQVYSLADG IRIWMLEMKQKSLLSLGNDSEEKRGLEAAEVNPESLAKECIQKSLLLLKFLPMSKSSKEN CDKLETVDETDHLQPLDRRQRTSSVVEEHFQGSASPTEAATPAAGDRSPALEIQPKLLPS SGPCVAEVSTAEEPSPPSTPTRRPPFTRGRLRLLSFRSMEETRPVPTVKEKYPVLKDVMD FIKDQSLSHESVVKVLSLRKAQGQSILEVLRIIQYCTESLGQPHCFHPPYILFLLELLTC QKDFTNYFGHLEGCGADLHREIRDTYYQLVLFLVKAIKRFSSINDRSLLPALSCVQTALL HLLDMGWEPSDLAFFVDIQLPDLLMNMSQENISVHDSVISQWSEEDELADAKQNSEWMDE CQDGMFEAWYEKIAQEDPEKQRKMHMFIARYCDLLNVDISCDGCDEIAPWHRYRCLQCSD MDLCKTCFLGGVKPEGHGDDHEMVNMEFTCDHCQGLIIGRRMNCNVCDDFDLCYGCYTAK KYSYGHLPTHSITAHPMVTIRISDRQRLIQPYIHNYSWLLFAALALYSAHLTSTEQVDGE QLDPQARTNAATLRSQCMQLVGDCLMKAHQGKGLKALALLGVLPDGDSTSENQALPVTVS FQASEEQADAGLLVPCNGKRAADTEVRPLDYKQKKKAGEDLSIVKDPSCQTQVSDAPASA HVPPGLPDAEHPEVSAQVLVEEKAITPNPEQVFAECSQKRILGLLAAMLPPIKSGPTVPL IDLEHVLPLMFQVVISNAGHLNETYHLTLGLLGQLIIRLQPAEVDAAVMKVLSAKHNLRV GLDWACSMAEILRSLNNAPLWRDVIATFTDHCIKQLPFQLKHTNIFTLLVLVGFPQVLCV GTRCVYMDNANEPHNVIILKHFTEKNRAVIVDVKTRKRKTVKDYQLVQKGGGQECGTSQS QLSQYSQHFAFIASHLLQTSMDSHCPEAVEATWVLSLALKGLYKTLKAHGFEETHATFLQ TDLLKLLVKKCSKGTGFSKTWLLRDLEILSIMLYSSKKEINTLAEHGDLELDERGDQEEE LDRPVSSPGEAEQKKLDPLENLDEPTRICFLMAHDALNAPLHILRAIYELQMKKTDSFFL EVQKRFDGDELTTDERIRSLAQRWQPSRSLRLEEQSAKAVDTDMIILPCLSRPARSDQAT PESNPVTQKLISSTESELQQSYAKQRRSKSAALLHKELNCKSKRAIRDYLFRVNEATSVL YARHVLASLLAEWPGHVPVSEDILELSGPAHMTYILDMFMQLEEKHQWEKILQKVLQGCR ENMLGTMALAACQFMEEPGMEVQCNTEEGCDELAMSSSSDFQQDRHNFSGSQQKWKDFEL PGDTLYYRFTSDMSNTEWGYRFTVTAGHLGRFQTGFEILKQMLSEERVVPHLALGKIWEW LVGVACRQTGHQRLKAIHLLLRIVQCCSHSDLCDLGLLKPLWQLFTHMEYGLFEDVTQPG ILLPLHRALTELFFVTENRAQELGLLQEYLLALTTEDHLLRCAAQALQNIAAISLAINYP NKATRLWNVEC >ENSMUSP00000130515.1 pep:known chromosome:GRCm38:11:72796226:72927120:1 gene:ENSMUSG00000055670.14 transcript:ENSMUST00000172220.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zzef1 description:zinc finger, ZZ-type with EF hand domain 1 [Source:MGI Symbol;Acc:MGI:2444286] MGNAPSNSSEDEAAAAGGEGWSPHQDWAADSGTTPGPGPAAAVLPSAAALLEPARLREAA AALRPAPPCESLVSRHHGALLRWLEERLGRGEESVTLEQFRELLEARGAGCSGEQFEEAF AQFDAEGDGTVDAENMLEALKNSSGANLQGELSHVIRQLQACSLVPGFIDIFSESKEGLG IHSSMILRFLHRNRISSMVIPYPMLDHCNNMCTMRSSVLKESLDQLVQKEKESPGDLARS PEMDKLKSVTKCYAYIETSSNPADIYRMTNGETSSYWQSDGSARSHWIRLKMKPDVVLRH LSIAVAATDQSYMPQQVTVAVGRSASDLQEVRDVHIPSNVTGYVTLLENANISQLYVQIN IKRCLSDGCDTRIHGLRAVGFQRVKKSGVSVSDASAIWYWSLLTSLVTASMETNPAFVQT VLHNTQKALQHMPPLSLSPGSTDFSTFLSPNVLEEVDSFLIRITSCCSTPEVELTLLAFA LARGSIAKVMSSLCTITDHLDTQYDASSLISSMASVRQNLLLKYGKPLQLTLQACDVKGK EDKSGPENLLVEPWTRDGFLTETGKTRASTIFSTGSDSAFQVTQIRIMVRRGGIGAQCGL VFAYNSPSNKFHAEEHFKRFEKYDKWKLQELRQFVKSRIGCSSDDLGEDDPIGWFELEEE WDEADVKLQQCRVAKFLMVKFLCTRQESAERLGVQGLSISGYLRPARAEAEQSILYAHCR RDTENIHGATLLLRTLQFIQQLSHDLMQQKESGLKHKSFLDFAGLDLQIFWKFYSKLKQN RREECICAQTLLLKLLQSCFSVLQGDPQAASEEEKPTAQRSEGIQAAKELYTHLCNVVDK PNGNSMPMEILKQEVRNTLLNGAAIFFPDRQTRRSQLFTMMKSVTEHERKQSLQLTFHSL CTYFSDKDPGGLLLLPEKSDLATMNTSEVLAVMNTLLSVAARECELLMLNRSHGAVGSVL FSLFWSVQGSLLSWCFLQLKSTDAAAKELAMDLIEKYVGQFLASMRVILESLLSQYSGKT IVEKLCNSVFSMAARQLVIFLLDFCTLDVSHCTLLREFSTLTELLKKLCSDPEGGLSKLD VETWQQEQPVVLHTWTKESTHNYENNCHEVSVFISPGATYFEVEFDERCETEKRYDYLEF TDSRGGKTRYDTKVGTYKWPKKVTFKDGPRLQFLFHSDSSNNEWGYKFTVTAYGLPDVAV SWGLDLQLLVSRLMGRLASQCMALKSVHQLGSNMAVSQAKLTSVLNSPLWKPVFRHQICP ELELEASWPTHPHKDGKEVKNIPDDPCRHFLLDFAQSEPAQNFCGPYSELFKGFIQACRK QAPKTDIVAGSTIDQAVNATFAALVYRTPDLYEKLQKYVNSGGKIALTEEFSQVYSLADG IRIWMLEMKQKSLLSLGNDSEEKRGLEAAEVNPESLAKECIQKSLLLLKFLPMSKSSKEN CDKLETVDETDHLQPLDRRQRTSSVVEEHFQGSASPTEAATPAAGDRSPALEIQPKLLPS SGPCVAEVSTAEEPSPPSTPTRRPPFTRGRLRLLSFRSMEETRPVPTVKEKYPVLKDVMD FIKDQSLSHESVVKVLSLRKAQGQSILEVLRIIQYCTESLGQPHCFHPPYILFLLELLTC QKDFTNYFGHLEGCGADLHREIRDTYYQLVLFLVKAIKRFSSINDRSLLPALSCVQTALL HLLDMGWEPSDLAFFVDIQLPDLLMNMSQENISVHDSVISQWSEEDELADAKQNSEWMDE CQDGMFEAWYEKIAQEDPEKQRKMHMFIARYCDLLNVDISCDGCDEIAPWHRYRCLQCSD MDLCKTCFLGGVKPEGHGDDHEMVNMEFTCDHCQGLIIGRRMNCNVCDDFDLCYGCYTAK KYSYGHLPTHSITAHPMVTIRISDRQRLIQPYIHNYSWLLFAALALYSAHLTSTEQVDGE QLDPQARTNAATLRSQCMQLVGDCLMKAHQGKGLKALALLGVLPDGDSTSENQALPVTVS FQASEEQADAGLLVPCNGKRAADTEVRPLDYKQKKKAGEDLSIVKDPSCQTQVSDAPASA HVPPGLPDAEHPEVSAQVLVEEKAITPNPEQVFAECSQKRILGLLAAMLPPIKSGPTVPL IDLEHVLPLMFQVVISNAGHLNETYHLTLGLLGQLIIRLQPAEVDAAVMKVLSAKHNLRV GLDWACSMAEILRSLNNAPLWRDVIATFTDHCIKQLPFQLKHTNIFTLLVLVGFPQVLCV GTRCVYMDNANEPHNVIILKHFTEKNRAVIVDVKTRKRKTVKDYQLVQKGGGQECGTSQS QLSQYSQHFAFIASHLLQTSMDSHCPEAVEATWVLSLALKGLYKTLKAHGFEETHATFLQ TDLLKLLVKKCSKGTGFSKTWLLRDLEILSIMLYSSKKEINTLAEHGDLELDERGDQEEE LDRPVSSPGEAEQKKLDPLENLDEPTRICFLMAHDALNAPLHILRAIYELQMKKTDSFFL EVQKRFDGDELTTDERIRSLAQRWQPSRSLRLEEQSAKAVDTDMIILPCLSRPARSDQAT PESNPVTQKLISSTESELQQSYAKQRRSKSAALLHKELNCKSKRAIRDYLFRVNEATSVL YARHVLASLLAEWPGHVPVSEDILELSGPAHMTYILDMFMQLEEKHQWEKILQKVLQGCR ENMLGTMALAACQFMEEPGMEVQVRESKHPYNNNTSFEDKVHIPGAIYLSIKFDPQCNTE EGCDELAMSSSSDFQQDRHNFSGSQQKWKDFELPGDTLYYRFTSDMSNTEWGYRFTVTAG HLGRFQTGFEILKQMLSEERVVPHLALGKIWEWLVGVACRQTGHQRLKAIHLLLRIVQCC SHSDLCDLGLLKPLWQLFTHMEYGLFEDVTQPGILLPLHRALTELFFVTENRAQELGLLQ EYLLALTTEDHLLRCAAQALQNIAAISLAINYPNKATRLWNVEC >ENSMUSP00000145211.1 pep:known chromosome:GRCm38:6:48764464:48770851:-1 gene:ENSMUSG00000039264.9 transcript:ENSMUST00000204036.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap3 description:GTPase, IMAP family member 3 [Source:MGI Symbol;Acc:MGI:1932723] METLQNVVTGGKKGGCTSGSRPLRILLVGKSGCGKSATGNSLLRRPAFESRLRGQSVTRT SQAETGTWEGRSILVVDTPPIFESKAQNQDMDKDIGDCYLLCAPGPHVLLLVTQLGRFTA EDVMAVRMVKEVFGVGVMRHMIVLFTRKEDLAEKSLEEFVTHTDNRSLRSLVQECGRRYC AFNNRASGEEQQGQLAELMALVRRLEQECEGSFHSNDLFLHAETLLREGYSVHQEAYRCY LAKVRQEVEKQRWELEEQEGSWVLKVLPIGKKLEVLHSDFCWYLVLAILIFFVFFFLLFY V >ENSMUSP00000047435.8 pep:known chromosome:GRCm38:6:48764466:48766958:-1 gene:ENSMUSG00000039264.9 transcript:ENSMUST00000038811.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gimap3 description:GTPase, IMAP family member 3 [Source:MGI Symbol;Acc:MGI:1932723] METLQNVVTGGKKGGCTSGSRPLRILLVGKSGCGKSATGNSLLRRPAFESRLRGQSVTRT SQAETGTWEGRSILVVDTPPIFESKAQNQDMDKDIGDCYLLCAPGPHVLLLVTQLGRFTA EDVMAVRMVKEVFGVGVMRHMIVLFTRKEDLAEKSLEEFVTHTDNRSLRSLVQECGRRYC AFNNRASGEEQQGQLAELMALVRRLEQECEGSFHSNDLFLHAETLLREGYSVHQEAYRCY LAKVRQEVEKQRWELEEQEGSWVLKVLPIGKKLEVLHSDFCWYLVLAILIFFVFFFLLFY V >ENSMUSP00000018653.1 pep:known chromosome:GRCm38:11:62524946:62539261:-1 gene:ENSMUSG00000018509.8 transcript:ENSMUST00000018653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpv description:centromere protein V [Source:MGI Symbol;Acc:MGI:1920389] MRRTRSAVATGPREQRRSGATGGLSGGESRAQRSRSRTRAGAGGGGGAVGPQPSAKPRPK PPPRAQEAAAEEPPPAVTPAASVSALDLGEQRERWETFQKRQRLSFEGAAKLLLDTFEYQ GLVKHTGGCHCGAVRFEVWASADLHIFDCNCSICKKKQNRHFIVPASRFKLLKGAESITT YTFNTHKAQHTFCKRCGVQSFYTPRSNPGGFGIAPHCLDEGTVRSVVTEEFNGSDWERAM KEHKTIKNMSKE >ENSMUSP00000124585.1 pep:known chromosome:GRCm38:11:62533887:62539003:-1 gene:ENSMUSG00000018509.8 transcript:ENSMUST00000123181.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpv description:centromere protein V [Source:MGI Symbol;Acc:MGI:1920389] XAAEEPPPAVTPAASVSALDLGEQRERWETFQKRQRLSFEGAAKLLLDTFEYQGLVKHTG GCHCGAVRFEVWASADLHIFDCKVGRGGSRNEVQEC >ENSMUSP00000002044.9 pep:known chromosome:GRCm38:11:106084613:106155446:1 gene:ENSMUSG00000020700.11 transcript:ENSMUST00000002044.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k3 description:mitogen-activated protein kinase kinase kinase 3 [Source:MGI Symbol;Acc:MGI:1346874] MDEQEALDSIMKDLVALQMSRRTRLSGYETMKNKDTGHPNRQSDVRIKFEHNGERRIIAF SRPVRYEDVEHKVTTVFGQPLDLHYMNNELSILLKNQDDLDKAIDILDRSSSMKSLRILL LSQDRNHTSSSPHSGVSRQVRIKPSQSAGDINTIYQAPEPRSRHLSVSSQNPGRSSPPPG YVPERQQHIARQGSYTSINSEGEFIPETSEQCMLDPLSSAENSLSGSCQSLDRSADSPSF RKSQMSRARSFPDNRKECSDRETQLYDKGVKGGTYPRRYHVSVHHKDYNDGRRTFPRIRR HQGNLFTLVPSSRSLSTNGENMGVAVQYLDPRGRLRSADSENALTVQERNVPTKSPSAPI NWRRGKLLGQGAFGRVYLCYDVDTGRELASKQVQFDPDSPETSKEVSALECEIQLLKNLQ HERIVQYYGCLRDRAEKILTIFMEYMPGGSVKDQLKAYGALTESVTRKYTRQILEGMSYL HSNMIVHRDIKGANILRDSAGNVKLGDFGASKRLQTICMSGTGIRSVTGTPYWMSPEVIS GEGYGRKADVWSLGCTVVEMLTEKPPWAEYEAMAAIFKIATQPTNPQLPSHISEHGRDFL RRIFVEARQRPSAEELLTHHFAQLVY >ENSMUSP00000091756.4 pep:known chromosome:GRCm38:2:181227616:181242025:-1 gene:ENSMUSG00000027580.17 transcript:ENSMUST00000094203.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helz2 description:helicase with zinc finger 2, transcriptional coactivator [Source:MGI Symbol;Acc:MGI:2385169] MASVGCSLRSASTSATNGPSLAGLCAKVDLYLGCSRCTQCLNESTYILREVEHTCPREIL LARFKQAAESKIWRKVGRRPSFPTPMRYQVCHYYRPGLGCRRHWNRCTFARSPEEALVWT FELKNNLPRLKLKEAVQGTRAPDRLQTPADTIRAEFGGHFQLLCAICFTCCPPCLCPVDP RGHCPKHQICPTLLIHVIVEGLKRQFVEVRPLPQRRHPLNYCMYVGRGVPCRHGASRCEY AHSAVEMAVWKAEQLDGLQRGDLLTYPLFGENKWKASPNPNPPVTKLYCHACLVTCNSQE AFENHCSSLEHAQMVAFDQAVPWKHRAPPMGLSKFDLCPRPDLCEHGEVCIKAHSKQELQ EWVQRAQDMELREQAAWQDGLVPYQARLLAEYQRSSKEVSVMAETIRGVSVTCHPPPVHQ AQEKIQHQWVFTIHSEDPLLHVALLNQEPGAAFSLVAPSLPPHQLYAQGKHFCVQSSPAQ YKVGVLVQAVAFGSFEQWVVFDFGRRPVLLQKLKLQLGQTHSQGLNGKPAPSHPQELECW HTGNRHVVLEVDWTPEQEALMAKYKLPSLALEFNQIVPDWGPISRSNYRQRMHKFLYEEE AAQQQLVAKLAMKGQVSLKTALETPALGMLFAPPGALYAKVPFHSSLLPDTDQGFLLSRA VSTALVAPVPAPNSTVYQVRLEARASSDHALWLLLPARCCMALGLQAQDSPILEVQFQID PMTFRFWHQAVDALLEEHLVVPDLPACTLPHPWPTPPSFRGNHKQKLAVGLIAGRRPEGT KHIPPLLIYGPFGTGKTYTLAMAALEVVQQPHTKVLICTHTNSAADIYIREYFHDYVSSG HPEATPLRVMYADRPPRQTDPTTLQYCCLTEDRQAFRPPTGPELVHHRLVVTTTSQAREL QVPAGFFSHIFIDEAAQMLECEALIPLSYALSLTRVVLAGDHMQVTPRLFSVPRDKSARH TLLHRLFLYYQQEAHKIAQQSRIIFHENYRSTAAIINFVSHHFYLAKGNPIQASGKVPRH PQHYPLMFCHVAGSPEQDMSMTSWLNSAEVTQVVEKVREIYNTWPHCWGPREQRHICAVS HGAQVSALRQELRRRNLGEVSVGSFEILPGREFRVVVLSSVHNRNSLLSPGAPTSEFFTE PRVLNTVMTRAQSQLVAVGDAVALCSSGACRNLWRSFIRECIEHHSAFPEELSLEQIEQG VAQRQNWASLTLKARGPETEQKSMAQGPQRLIAEGTMVTVKAETRAEAAAKAQTAAVAAE DTASGNSASRDAAAEVSTLEGGMSEEDSESDFWPSDWELNADDAILKELLDESQQVTVTV REDGLLDTVVCSAPQKAREYTNLPSSVLWKFLRSNSKQFRRCSFLQETFERALATPLDDM ASSPIQVRGRLNCGMAFTGDEVLVQILGPAGDDRCVPGSLQGRVMGVLKRRRHELAFVCR MDEWDPRIMIPINGSVTKIFVAEMKDPQQVPIHRLIQGQVQRVRHETLKPEDRSTRLFWV RIVLWRERFYYPLGIVLEVLPKAITWEQGLYILDLEHGLKAHTPDPASVSKALQRYRSEL NTAAGHREDYRHFLTFTVDPQGACNLDDALSVRDLGPVYEVAVHIADVASLVPKDGALDV EARQQGTVFYAPNREPVLMLPASLCQDALSLLPGQDRLAISLFLTMEKGGGQIKSLRFAP SIIRSDRQLSYEEAEELIKRHPGAGLELPAHLDSVEACVVAACYFSWMLRRQRLSAACYY EPPDEDSVLGFRTAHIMVQEYMIQFNSHVAEFLVSNKHTQTLTPLRWQPTPSRQQLDSVF KKYRGLVPLSLHLCHHSNTDYTPNKQLHLLTSLWKQVQLAAGTQDYSQMVDLIAADDMHP SLAPACLDLRRALGRSVFGRSSQGKQQPAVHHSLQVDWYTWATSPIRRYLDVVLQRLILL ALGHRGSTYSNRDIDGLCLDFSRQYASAQSYQRRAYSLHLAIQLKSQPQNKLGFVVDVEM GARCFKVLFPINRETLPDPCPIHYHSLQLAEHPQELVSQTGVRLVWRRRMYSVQASKLPL PLLGTSLDPHTQTVDAALWMKLLMLLKEQRWPEIAALIQEQDKRFHPREKVKIHQSRCGH FVEVVYELGSGDTLQVQLGSSLQRGFLAPTLKLWTVVPGFSLCLEHMERPGDCFSSHVHQ ALQDQYLQVGEYSGAWGPRCALESLTNAVTENDSIVLHDVHISWDTSQGQLQGTFQLEAA FLQEKCINIHFGCCYLCIRLEGLPLPLDSSLPGPSGLGPFLNIDPNTYTWVAHGLSGDWD HELAGGDWDQENVDDRQEAPKQVYFLIHHMTMEKVPEEVLRPSARFTVEVLSKQLPDLRK EEAVRQLKTASPLVISIALGLPIPEIRWPISGPRRLVSELRWPIPGPRRPVSEPHRPMSG PCGPISEPCRSIPEPCRGNWPRQHSFHKASTSRFLERQNYNIPAGHHKLNQSQDRAVRSA LQKQFTVIQGPPGTGKTVVGFHIVYWFHRSNQEQMPTDSSPSGEEQLGGPCVLYCGPSNK SVDVLGGLLLRRKTEMKPLRVYGEQAEATEFPLPGVSNRSLFGKTSQEGRPNQSLRSITL HHRIRQAPNPYAAEIRKFDAQLREGKIFSKEDLRVYRRVLGKARKHELERHSVILCTCSC AASKSLKILNVRQILIDEAGMATEPETLIPLVCFSKTVEKVVLLGDHKQLRPVVKSEQLQ SLGMDRSLFERYHRDAIMLDTQYRMHKDICSFPSVEFYGGKLKTWSDLRRLPSILGHTGK PSCSVIFGSVQGHEQKLLVSTEDGNENSRANPEEVTQVVRIIKQLTLDRTVDPKDIAVLT PYNAQAAAISRGLMQRGVTGVTVTSITKSQGSEWRYVIVSTVRTCPRSDVDQRPTKSWLK KFLGFVVDPHQVNVAITRAQEALCIIGDHLLLRCCPLWHRLLDFCEAQHSLVSAEKCDNP TPNPAEVRVAASEIYTRTSGASKAWLQNLL >ENSMUSP00000112917.1 pep:known chromosome:GRCm38:2:181227619:181241963:-1 gene:ENSMUSG00000027580.17 transcript:ENSMUST00000121484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helz2 description:helicase with zinc finger 2, transcriptional coactivator [Source:MGI Symbol;Acc:MGI:2385169] MASVGCSLRSASTSATNGPSLAGLCAKVDLYLGCSRCTQCLNESTYILREVEHTCPREIL LARFKQAAESKIWRKVGRRPSFPTPMRYQVCHYYRPGLGCRRHWNRCTFARSPEEALVWT FELKNNLPRLKLKEAVQGTRAPDRLQTPADTIRAEFGGHFQLLCAICFTCCPPCLCPVDP RGHCPKHQICPTLLIHVIVEGLKRQFVEVRPLPQRRHPLNYCMYVGRGVPCRHGASRCEY AHSAVEMAVWKAEQLDGLQRGDLLTYPLFGENKWKASPNPNPPVTKLYCHACLVTCNSQE AFENHCSSLEHAQMVAFDQAVPWKHRAPPMGLSKFDLCPRPDLCEHGEVCIKAHSKQELQ EWVQRAQDMELREQAAWQDGLVPYQARLLAEYQRSSKEVSVMAETIRGVSVTCHPPPVHQ AQEKIQHQWVFTIHSEDPLLHVALLNQEPGAAFSLVAPSLPPHQLYAQGKHFCVQSSPAQ YKVGVLVQAVAFGSFEQWVVFDFGRRPVLLQKLKLQLGQTHSQGLNGKPAPSHPQELECW HTGNRHVVLEVDWTPEQEALMAKYKLPSLALEFNQIVPDWGPISRSNYRQRMHKFLYEEE AAQQQLVAKLAMKGQVSLKTALETPALGMLFAPPGALYAKVPFHSSLLPDTDQGFLLSRA VSTALVAPVPAPNSTVYQVRLEARASSDHALWLLLPARCCMALGLQAQDSPILEVQFQID PMTFRFWHQAVDALLEEHLVVPDLPACTLPHPWPTPPSFRGNHKQKLAVGLIAGRRPEGT KHIPPLLIYGPFGTGKTYTLAMAALEVVQQPHTKVLICTHTNSAADIYIREYFHDYVSSG HPEATPLRVMYADRPPRQTDPTTLQYCCLTEDRQAFRPPTGPELVHHRLVVTTTSQAREL QVPAGFFSHIFIDEAAQMLECEALIPLSYALSLTRVVLAGDHMQVTPRLFSVPRDKSARH TLLHRLFLYYQQEAHKIAQQSRIIFHENYRSTAAIINFVSHHFYLAKGNPIQASGKVPRH PQHYPLMFCHVAGSPEQDMSMTSWLNSAEVTQVVEKVREIYNTWPHCWGPREQRHICAVS HGAQVSALRQELRRRNLGEVSVGSFEILPGREFRVVVLSSVHNRNSLLSPGAPTSEFFTE PRVLNTVMTRAQSQLVAVGDAVALCSSGACRNLWRSFIRECIEHHSAFPEELSLEQIEQG VAQRQNWASLTLKARGPETEQKSMAQGPQRLIAEGTMVTVKAETRAEAAAKAQTAAVAAE DTASGNSASRDAAAEVSTLEGGMSEEDSESDFWPSDWELNADDAILKELLDESQQVTVTV REDGLLDTVVCSAPQKAREYTNLPSSVLWKFLRSNSKQFRRCSFLQETFERALATPLDDM ASSPIQVRGRLNCGMAFTGDEVLVQILGPAGDDRCVPGSLQGRVMGVLKRRRHELAFVCR MDEWDPRIMIPINGSVTKIFVAEMKDPQQVPIHRLIQGQVQRVRHETLKPEDRSTRLFWV RIVLWRERFYYPLGIVLEVLPKAITWEQGLYILDLEHGLKAHTPDPASVSKALQRYRSEL NTAAGHREDYRHFLTFTVDPQGACNLDDALSVRDLGPVYEVAVHIADVASLVPKDGALDV EARQQGTVFYAPNREPVLMLPASLCQDALSLLPGQDRLAISLFLTMEKGGGQIKSLRFAP SIIRSDRQLSYEEAEELIKRHPGAGLELPAHLDSVEACVVAACYFSWMLRRQRLSAACYY EPPDEDSVLGFRTAHIMVQEYMIQFNSHVAEFLVSNKHTQTLTPLRWQPTPSRQQLDSVF KKYRGLVPLSLHLCHHSNTDYTPNKQLHLLTSLWKQVQLAAGTQDYSQMVDLIAADDMHP SLAPACLDLRRALGRSVFGRSSQGKQQPAVHHSLQVDWYTWATSPIRRYLDVVLQRLILL ALGHRGSTYSNRDIDGLCLDFSRQYASAQSYQRRAYSLHLAIQLKSQPQNKLGFVVDVEM GARCFKVLFPINRETLPDPCPIHYHSLQLAEHPQELVSQTGVRLVWRRRMYSVQASKLPL PLLGTSLDPHTQTVDAALWMKLLMLLKEQRWPEIAALIQEQDKRFHPREKVKIHQSRCGH FVEVVYELGSGDTLQVQLGSSLQRGFLAPTLKLWTVVPGFSLCLEHMERPGDCFSSHVHQ ALQDQYLQVGEYSGAWGPRCALESLTNAVTENDSIVLHDVHISWDTSQGQLQGTFQLEAA FLQEKCINIHFGCCYLCIRLEGLPLPLDSSLPGPSGLGPFLNIDPNTYTWVAHGLSGDWD HELAGGDWDQENVDDRQEAPKQVYFLIHHMTMEKVPEEVLRPSARFTVEVLSKQLPDLRK EEAVRQLKTASPLVISIALGLPIPEIRWPISGPRRLVSELRWPIPGPRRPVSEPHRPMSG PCGPISEPCRSIPEPCRGNWPRQHSFHKGTGKTVVGFHIVYWFHRSNQEQMPTDSSPSGE EQLGGPCVLYCGPSNKSVDVLGGLLLRRKTEMKPLRVYGEQAEATEFPLPGVSNRSLFGK TSQEGRPNQSLRSITLHHRIRQAPNPYAAEIRKFDAQLREGKIFSKEDLRVYRRVLGKAR KHELERHSVILCTCSCAASKSLKILNVRQILIDEAGMATEPETLIPLVCFSKTVEKVVLL GDHKQLRPVVKSEQLQSLGMDRSLFERYHRDAIMLDTQYRMHKDICSFPSVEFYGGKLKT WSDLRRLPSILGHTGKPSCSVIFGSVQGHEQKLLVSTEDGNENSRANPEEVTQVVRIIKQ LTLDRTVDPKDIAVLTPYNAQAAAISRGLMQRGVTGVTVTSITKSQGSEWRYVIVSTVRT CPRSDVDQRPTKSWLKKFLGFVVDPHQVNVAITRAQEALCIIGDHLLLRCCPLWHRLLDF CEAQHSLVSAEKVRVQRKSALSS >ENSMUSP00000104459.1 pep:known chromosome:GRCm38:2:181227615:181242027:-1 gene:ENSMUSG00000027580.17 transcript:ENSMUST00000108831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helz2 description:helicase with zinc finger 2, transcriptional coactivator [Source:MGI Symbol;Acc:MGI:2385169] MASVGCSLRSASTSATNGPSLAGLCAKVDLYLGCSRCTQCLNESTYILREVEHTCPREIL LARFKQAAESKIWRKVGRRPSFPTPMRYQVCHYYRPGLGCRRHWNRCTFARSPEEALVWT FELKNNLPRLKLKEAVQGTRAPDRLQTPADTIRAEFGGHFQLLCAICFTCCPPCLCPVDP RGHCPKHQICPTLLIHVIVEGLKRQFVEVRPLPQRRHPLNYCMYVGRGVPCRHGASRCEY AHSAVEMAVWKAEQLDGLQRGDLLTYPLFGENKWKASPNPNPPVTKLYCHACLVTCNSQE AFENHCSSLEHAQMVAFDQAVPWKHRAPPMGLSKFDLCPRPDLCEHGEVCIKAHSKQELQ EWVQRAQDMELREQAAWQDGLVPYQARLLAEYQRSSKEVSVMAETIRGVSVTCHPPPVHQ AQEKIQHQWVFTIHSEDPLLHVALLNQEPGAAFSLVAPSLPPHQLYAQGKHFCVQSSPAQ YKVGVLVQAVAFGSFEQWVVFDFGRRPVLLQKLKLQLGQTHSQGLNGKPAPSHPQELECW HTGNRHVVLEVDWTPEQEALMAKYKLPSLALEFNQIVPDWGPISRSNYRQRMHKFLYEEE AAQQQLVAKLAMKGQVSLKTALETPALGMLFAPPGALYAKVPFHSSLLPDTDQGFLLSRA VSTALVAPVPAPNSTVYQVRLEARASSDHALWLLLPARCCMALGLQAQDSPILEVQFQID PMTFRFWHQAVDALLEEHLVVPDLPACTLPHPWPTPPSFRGNHKQKLAVGLIAGRRPEGT KHIPPLLIYGPFGTGKTYTLAMAALEVVQQPHTKVLICTHTNSAADIYIREYFHDYVSSG HPEATPLRVMYADRPPRQTDPTTLQYCCLTEDRQAFRPPTGPELVHHRLVVTTTSQAREL QVPAGFFSHIFIDEAAQMLECEALIPLSYALSLTRVVLAGDHMQVTPRLFSVPRDKSARH TLLHRLFLYYQQEAHKIAQQSRIIFHENYRSTAAIINFVSHHFYLAKGNPIQASGKVPRH PQHYPLMFCHVAGSPEQDMSMTSWLNSAEVTQVVEKVREIYNTWPHCWGPREQRHICAVS HGAQVSALRQELRRRNLGEVSVGSFEILPGREFRVVVLSSVHNRNSLLSPGAPTSEFFTE PRVLNTVMTRAQSQLVAVGDAVALCSSGACRNLWRSFIRECIEHHSAFPEELSLEQIEQG VAQRQNWASLTLKARGPETEQKSMAQGPQRLIAEGTMVTVKAETRAEAAAKAQTAAVAAE DTASGNSASRDAAAEVSTLEGGMSEEDSESDFWPSDWELNADDAILKELLDESQQVTVTV REDGLLDTVVCSAPQKAREYTNLPSSVLWKFLRSNSKQFRRCSFLQETFERALATPLDDM ASSPIQVRGRLNCGMAFTGDEVLVQILGPAGDDRCVPGSLQGRVMGVLKRRRHELAFVCR MDEWDPRIMIPINGSVTKIFVAEMKDPQQVPIHRLIQGQVQRVRHETLKPEDRSTRLFWV RIVLWRERFYYPLGIVLEVLPKAITWEQGLYILDLEHGLKAHTPDPASVSKALQRYRSEL NTAAGHREDYRHFLTFTVDPQGACNLDDALSVRDLGPVYEVAVHIADVASLVPKDGALDV EARQQGTVFYAPNREPVLMLPASLCQDALSLLPGQDRLAISLFLTMEKGGGQIKSLRFAP SIIRSDRQLSYEEAEELIKRHPGAGLELPAHLDSVEACVVAACYFSWMLRRQRLSAACYY EPPDEDSVLGFRTAHIMVQEYMIQFNSHVAEFLVSNKHTQTLTPLRWQPTPSRQQLDSVF KKYRGLVPLSLHLCHHSNTDYTPNKQLHLLTSLWKQVQLAAGTQDYSQMVDLIAADDMHP SLAPACLDLRRALGRSVFGRSSQGKQQPAVHHSLQVDWYTWATSPIRRYLDVVLQRLILL ALGHRGSTYSNRDIDGLCLDFSRQYASAQSYQRRAYSLHLAIQLKSQPQNKLGFVVDVEM GARCFKVLFPINRETLPDPCPIHYHSLQLAEHPQELVSQTGVRLVWRRRMYSVQASKLPL PLLGTSLDPHTQTVDAALWMKLLMLLKEQRWPEIAALIQEQDKRFHPREKVKIHQSRCGH FVEVVYELGSGDTLQVQLGSSLQRGFLAPTLKLWTVVPGFSLCLEHMERPGDCFSSHVHQ ALQDQYLQVGEYSGAWGPRCALESLTNAVTENDSIVLHDVHISWDTSQGQLQGTFQLEAA FLQEKCINIHFGCCYLCIRLEGLPLPLDSSLPGPSGLGPFLNIDPNTYTWVAHGLSGDWD HELAGGDWDQENVDDRQEAPKQVYFLIHHMTMEKVPEEVLRPSARFTVEVLSKQLPDLRK EEAVRQLKTASPLVISIALGLPIPEIRWPISGPRRLVSELRWPIPGPRRPVSEPHRPMSG PCGPISEPCRSIPEPCRGNWPRQHSFHKASTSRFLERQNYNIPAGHHKLNQSQDRAVRSA LQKQFTVIQGPPGTGKTVVGFHIVYWFHRSNQEQMPTDSSPSGEEQLGGPCVLYCGPSNK SVDVLGGLLLRRKTEMKPLRVYGEQAEATEFPLPGVSNRSLFGKTSQEGRPNQSLRSITL HHRIRQAPNPYAAEIRKFDAQLREGKIFSKEDLRVYRRVLGKARKHELERHSVILCTCSC AASKSLKILNVRQILIDEAGMATEPETLIPLVCFSKTVEKVVLLGDHKQLRPVVKSEQLQ SLGMDRSLFERYHRDAIMLDTQYRMHKDICSFPSVEFYGGKLKTWSDLRRLPSILGHTGK PSCSVIFGSVQGHEQKLLVSTEDGNENSRANPEEVTQVVRIIKQLTLDRTVDPKDIAVLT PYNAQAAAISRGLMQRGVTGVTVTSITKSQGSEWRYVIVSTVRTCPRSDVDQRPTKSWLK KFLGFVVDPHQVNVAITRAQEALCIIGDHLLLRCCPLWHRLLDFCEAQHSLVSAEKVRVQ RKSALSS >ENSMUSP00000076208.5 pep:known chromosome:GRCm38:3:100938860:100969663:-1 gene:ENSMUSG00000033222.16 transcript:ENSMUST00000076941.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttf2 description:transcription termination factor, RNA polymerase II [Source:MGI Symbol;Acc:MGI:1921294] MDLVKCPEHGDACFLKTGVRDGPNKGKSFYVCRTNTCGFVQATDIPVSHCLLHEEFVVEL QGLFLPQDKKEWRLFFRCARTKAEGKQWCGNVPWRQDPNPKELSVTSKPQQPSESQLHSP SQPRNPFRVLNKNQKTLERKQFVEEGERKTADKKLRENNEQLLDQRKEQKPKSNSRMEKD PSSDLVATRQSGGDREEQEKSKFQPKTKKAEGMASKQGHGEVLQGIPKGPHMSESESRGV PNKPETLREKETQLLVPSVPGQNPESKVQKEGHVSREPLKNGEAPSAQVTQRGLAQGPLQ GPSKTWRPVPEAPAAPELCSGMAHHATSSSEDSEDDGVSSRPGSPLLFDSTVDSQKKGSL QHSDQSVQRQMPAASGVSKKGDSSDPAAQRANLTTQLKQKKGTLAAVNIQALPDKGEKLL KQIQALEDALSALALSPEQGTKEKCSAQEPEQSNITKAAAAPLHLVPPQPLPRPLIQPAS SLGLKAGRQETPEGASQCSGGHMNQHHLYNVWKITSEAIDELHRSLKSCPGETAVAEDPA GLKVPLLLHQKQALAWLLWRESQKPQGGILADDMGLGKTLTMIALILTKKNQQKSKEKER SEPVTWLSKNDSSVFTSSGTLIVCPASLIHHWKNEVEKRVTSNRLRIYLYHGPNRSRHAK VLSTYDIVITTYSLLAKEIPTTKQEGEVPGANLSVEGTSAPLLQVVWARIILDEAHNVKN PRVQTSIAVCKLQAQARWAVTGTPIQNNLLDMYSLMKFLRCSPFDEFSLWKSQVDNGSMK GGERLSILTKSLLLRRTKDQLDSTGKPLVALPARRCQLHRLKLSEDERAVYDIFLARSRS ALQSYLKRQEGRGSHHGRSPDNPFSRVAQEFGSSVSQGCPAADSQRPSTVHVLSQLLRLR QCCCHLSLLKSALDPTELESEGLVLSLEEQLSALTLSKVDVSEPSPTVSLNGTCFKAELF DDTRRSTKVSSLLAELEAIQKGPGSQKSVIVSQWTSMLQVVALHLKKNRLTYATIDGSVN PKQRMDLVEAFNHSQGPQVMLISLLAGGVGLNLTGGNHLFLLDMHWNPSLEDQACDRIYR VGQKKDVVIHRFVCEGTVEEKILQLQEKKKDLAKQVLSGSEGPVTKLTLADLKILFGI >ENSMUSP00000119032.1 pep:known chromosome:GRCm38:3:100947463:100952606:-1 gene:ENSMUSG00000033222.16 transcript:ENSMUST00000151697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttf2 description:transcription termination factor, RNA polymerase II [Source:MGI Symbol;Acc:MGI:1921294] XEIPTTKQEGEVPGANLSVEVALPARRCQLHRLKLSEDERAVYDIFLARSRSALQSYLKR QEGRGSHHGRSPDNPFSRVAQEFGSSVSQGCPAADSQRPSTVHVLSQLLRLRQCCCHLSL LKSVRDAPSVVISTVGCSRDPASGKRLALDPTELESEGLVLSLEEQLSALTLSKVDVSEP SP >ENSMUSP00000146659.1 pep:known chromosome:GRCm38:7:90130213:90208932:1 gene:ENSMUSG00000039361.10 transcript:ENSMUST00000207225.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Picalm description:phosphatidylinositol binding clathrin assembly protein [Source:MGI Symbol;Acc:MGI:2385902] MSGQSLTDRITAAQHSVTGSAVSKTVCKATTHEIMGPKKKHLDYLIQCTNEMNVNIPQLA DSLFERTTNSSWVVVFKSLITTHHLMVYGNERFIQYLASRNTLFNLSNFLDKSGLQGYDM STFIRRYSRYLNEKAVSYRQVAFDFTKVKRGADGVMRTMNTEKLLKTVPIIQNQMDALLD FNVNSNELTNGVINAAFMLLFKDAIRLFAAYNEGIINLLEKYFDMKKNQCKEGLDIYKKF LTRMTRISEFLKVAEQVGIDRGDIPDLSQAPSSLLDALEQHLASLEGKKIKDSTAASRAT TLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTTAASP VSTSAGGIMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPSVHAMSAAPQGASTWGG FSPSPVAQPHSSAGLNVDFESVFGNKSTNVAVDSGGGLLKPTVASQNQSLPVAKLPPNKL VSDDLDSSLANLVGNLGIGNGTTKNDVSWSQPGEKKLTGGSNWQPKVAPTTAWSAATMAP PVMAYPATTPTGMIGYGIPPQMGSVPVMTQPTLIYSQPVMRPPNPFGPVSGAQIQFM >ENSMUSP00000146501.1 pep:known chromosome:GRCm38:7:90130215:90213465:1 gene:ENSMUSG00000039361.10 transcript:ENSMUST00000207484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Picalm description:phosphatidylinositol binding clathrin assembly protein [Source:MGI Symbol;Acc:MGI:2385902] MSGQSLTDRITAAQHSVTGSAVSKTVCKATTHEIMGPKKKHLDYLIQCTNEMNVNIPQLA DSLFERTTNSSWVVVFKSLITTHHLMVYGNERFIQYLASRNTLFNLSNFLDKSGLQGYDM STFIRRYSRYLNEKAVSYRQVAFDFTKVKRGADGVMRTMNTEKLLKTVPIIQNQMDALLD FNVNSNELTNGVINAAFMLLFKDAIRLFAAYNEGIINLLEKYFDMKKNQCKEGLDIYKKF LTRMTRISEFLKVAEQVGIDRGDIPDLSQAPSSLLDALEQHLASLEGKKIKDSTAASRAT TLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTTAASP VSTSAGGIMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPSVHAMSAAPQGASTWGD PFSATLDAVEDAIPSLNPFLTKSSGDVHLPIASDVSTFTTRTPTHEMFVGFSPSPVAQPH SSAGLNVDFESVFGNKSTNVAVDSGGFDELGGLLKPTVASQNQSLPVAKLPPNKLVSDDL DSSLANLVGNLGIGNGTTKNDVSWSQPGEKKLTGGSNWQPKVAPTTAWSAATMNGMHFPQ YAPPVMAYPATTPTGMIGYGIPPQMGSVPVMTQPTLIYSQPVMRPPNPFGPVSGAQIQFM >ENSMUSP00000146386.1 pep:known chromosome:GRCm38:7:90130230:90208932:1 gene:ENSMUSG00000039361.10 transcript:ENSMUST00000209068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Picalm description:phosphatidylinositol binding clathrin assembly protein [Source:MGI Symbol;Acc:MGI:2385902] MSGQSLTDRITAAQHSVTGSAVSKTVCKATTHEIMGPKKKHLDYLIQCTNEMNVNIPQLA DSLFERTTNSSWVVVFKSLITTHHLMVYGNERFIQYLASRNTLFNLSNFLDKSGLQGYDM STFIRRYSRYLNEKAVSYRQVAFDFTKVKRGADGVMRTMNTEKLLKTVPIIQNQMDALLD FNVNSNELTNGVINAAFMLLFKDAIRLFAAYNEGIINLLEKYFDMKKNQCKEGLDIYKKF LTRMTRISEFLKVAEQVGIDRGDIPDLSQAPSSLLDALEQHLASLEGKKIKDSTAASRAT TLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTTAASP VSTSAGGIMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPSVHAMSAAPQGASTWGG FSPSPVAQPHSSAGLNVDFESVFGNKSTNVAVDSGGFDELGGLLKPTVASQNQSLPVAKL PPNKLVSDDLDSSLANLVGNLGIGNGTTKNDVSWSQPGEKKLTGGSNWQPKVAPTTAWSA ATMNGMHFPQYAPPVMAYPATTPTGMIGYGIPPQMGSVPVMTQPTLIYSQPVMRPPNPFG PVSGAQIQFM >ENSMUSP00000146541.1 pep:known chromosome:GRCm38:7:90130293:90208926:1 gene:ENSMUSG00000039361.10 transcript:ENSMUST00000208730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Picalm description:phosphatidylinositol binding clathrin assembly protein [Source:MGI Symbol;Acc:MGI:2385902] MSGQSLTDRITAAQHSVTGSAVSKTVCKATTHEIMGPKKKHLDYLIQCTNEMNVNIPQLA DSLFERTTNSSWVVVFKSLITTHHLMVYGNERFIQYLASRNTLFNLSNFLDKSGLQGYDM STFIRRYSRYLNEKAVSYRQVAFDFTKVKRGADGVMRTMNTEKLLKTVPIIQNQMDALLD FNVNSNELTNGVINAAFMLLFKDAIRLFAAYNEGIINLLEKYFDMKKNQCKEGLDIYKKF LTRMTRISEFLKVAEQVGIDRGDIPDLSQAPSSLLDALEQHLASLEGKKIKDSTAASRAT TLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTTAASP VSTSAGGIMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPSVHAMSAAPQGASTWGG FSPSPVAQPHSSAGLNVDFESVFGNKSTNVAVDSGGGLLKPTVASQNQSLPVAKLPPNKL VSDDLDSSLANLVGNLGIGNGTTKNDVSWSQPGEKKLTGGSNWQPKVAPTTAWSAATMNG MHFPQYAPPVMAYPATTPTGMIGYGIPPQMGSVPVMTQPTLIYSQPVMRPPNPFGPVSGA QIQFM >ENSMUSP00000147016.1 pep:known chromosome:GRCm38:7:90130504:90208930:1 gene:ENSMUSG00000039361.10 transcript:ENSMUST00000208742.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Picalm description:phosphatidylinositol binding clathrin assembly protein [Source:MGI Symbol;Acc:MGI:2385902] MSGQSLTDRITAAQHSVTGSAVSKTVCKATTHEIMGPKKKHLDYLIQCTNEMNVNIPQLA DSLFERTTNSSWVVVFKSLITTHHLMVYGNERFIQYLASRNTLFNLSNFLDKSGLQGYDM STFIRRYSRYLNEKAVSYRQVAFDFTKVKRGADGVMRTMNTEKLLKTVPIIQNQMDALLD FNVNSNELTNGVINAAFMLLFKDAIRLFAAYNEGIINLLEKYFDMKKNQCKEGLDIYKKF LTRMTRISEFLKVAEQVGIDRGDIPDLSQAPSSLLDALEQHLASLEGKKIKDSTAASRAT TLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTTAASP VSTSAGGIMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPSVHAMSAAPQGASTWGD PFSATLDAVEDAIPSLNPFLTKSSGDVHLPIASDVSTFTTRTPTHEMFVGFSPSPVAQPH SSAGLNVDFESVFGNKSTNVAVDSGGFDELGGLLKPTVASQNQSLPVAKLPPNKLVSDDL DSSLANLVGNLGIGNGTTKNDVSWSQPGEKKLTGGSNWQPKVAPTTAWSAATMAPPVMAY PATTPTGMIGYGIPPQMGSVPVMTQPTLIYSQPVMRPPNPFGPVSGAQIQFM >ENSMUSP00000051092.8 pep:known chromosome:GRCm38:7:90130516:90209100:1 gene:ENSMUSG00000039361.10 transcript:ENSMUST00000049537.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Picalm description:phosphatidylinositol binding clathrin assembly protein [Source:MGI Symbol;Acc:MGI:2385902] MSGQSLTDRITAAQHSVTGSAVSKTVCKATTHEIMGPKKKHLDYLIQCTNEMNVNIPQLA DSLFERTTNSSWVVVFKSLITTHHLMVYGNERFIQYLASRNTLFNLSNFLDKSGLQGYDM STFIRRYSRYLNEKAVSYRQVAFDFTKVKRGADGVMRTMNTEKLLKTVPIIQNQMDALLD FNVNSNELTNGVINAAFMLLFKDAIRLFAAYNEGIINLLEKYFDMKKNQCKEGLDIYKKF LTRMTRISEFLKVAEQVGIDRGDIPDLSQAPSSLLDALEQHLASLEGKKIKDSTAASRAT TLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTTAASP VSTSAGGIMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPSVHAMSAAPQGASTWGD PFSATLDAVEDAIPSLNPFLTKSSGDVHLPIASDVSTFTTRTPTHEMFVGFSPSPVAQPH SSAGLNVDFESVFGNKSTNVAVDSGGGLLKPTVASQNQSLPVAKLPPNKLVSDDLDSSLA NLVGNLGIGNGTTKNDVSWSQPGEKKLTGGSNWQPKVAPTTAWSAATMNGMHFPQYAPPV MAYPATTPTGMIGYGIPPQMGSVPVMTQPTLIYSQPVMRPPNPFGPVSGAQIQFM >ENSMUSP00000146408.1 pep:known chromosome:GRCm38:7:90131645:90165572:1 gene:ENSMUSG00000039361.10 transcript:ENSMUST00000208684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Picalm description:phosphatidylinositol binding clathrin assembly protein [Source:MGI Symbol;Acc:MGI:2385902] MNVNIPQLADSLFERTTNSSWVVVFKSLITTHHLMVYGNERFIQYLASRNTLFNLSNFLD KSGLQGYDMSTFIRRYSRYLNEKAV >ENSMUSP00000146509.1 pep:known chromosome:GRCm38:7:90165513:90208930:1 gene:ENSMUSG00000039361.10 transcript:ENSMUST00000207084.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Picalm description:phosphatidylinositol binding clathrin assembly protein [Source:MGI Symbol;Acc:MGI:2385902] GYDMSTFIRRYSRYLNEKAVSYRQVAFDFTKVKRGADGVMRTMNTEKLLKTVPIIQNQMD ALLDFNVNSNELTNGVINAAFMLLFKDAIRLFAAYNEGIINLLEKYFDMKKNQCKEGLDI YKKFLTRMTRISEFLKVAEQVGIDRGDIPDLSQAPSSLLDALEQHLASLEGKKIKDSTAA SRATTLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTT AASPVSTSAGGIMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPSVHAMSAAPQGAS TWGDPFSATLDAVEDAIPSLNPFLTKSSGDVHLPIASDVSTFTTRTPTHEMFVGFSPSPV AQPHSSAGLNVDFESVFGNKSTNVAVDSGGGLLKPTVASQNQSLPVAKLPPNKLVSDDLD SSLANLVGNLGIGNGTTKNDVSWSQPGEKKLTGGSNWQPKVAPTTAWSAATMAPPVMAYP ATTPTGMIGYGIPPQMGSVPVMTQPTLIYSQPVMRPPNPFGPVSGAQIQFM >ENSMUSP00000061906.4 pep:known chromosome:GRCm38:15:76715276:76722173:-1 gene:ENSMUSG00000033707.8 transcript:ENSMUST00000049956.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc24 description:leucine rich repeat containing 24 [Source:MGI Symbol;Acc:MGI:3605040] MALRAPTLLLLLLGLLLLPLLPGLPPRATGCPAACRCYSATVECGALRLRVVPPGIPPGT QTLFLQDNSIAHLEQGSLAPLAALRHLYLHNNTLRALESGAFRAQPRLLELALTGNRLRG LRGGAFVGLVQLRVLYLAGNQLAKLLDFTFLHLPRLQELHLQENSIELLEDQALAGLSSL ALLDLSRNQLGTISKEALQPLSSLQVLRLTENPWRCDCALHWLGSWIKEGGRRLLSSRDK KITCAEPPRLALQSLLEVSGGSLICIPPSVNVEPPEFTANLGEDLQVACQASGYPQPLVV WRKVPQPRDGKPQAQAQLEGGAPGLGGHGTRDTGSGMLFLTNITLAHAGKYECEAANAGG KARVPFHLLVNASRQQSQQLPDPQAPATRPVGHEPQHEAGSMAFRALGLATQTAITAAIA LLALTALLLAAMICRRRRRRKKVPAPSGEGTLFVNDYSDGPCTFAQLEELRDDHGHEMFV IDRSKPLFPEVLPEEAPEHNPPDGLKSGLRLPTRVAYEIHC >ENSMUSP00000039910.8 pep:known chromosome:GRCm38:15:76721466:76723845:-1 gene:ENSMUSG00000033707.8 transcript:ENSMUST00000036247.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc24 description:leucine rich repeat containing 24 [Source:MGI Symbol;Acc:MGI:3605040] MWPPSGAVRNLALVLARSQRARTCSGVERVSYTQGQSPEPRTREYFYYVDHQGQLFLDDS KMKNFITCFKDLQFLVTFFSRLRPNHSGRYEASFPFLSLCGRERNFLRCEDRPVVFTHLL ASDSESPRLSYCGGGEALAIPFEPARLLPLAANGRLYHPAPERAGGVGLVRSALAFELSA CFEYGPSSPTVPSHVHWQGRRIALTMDLAPLLPAAPPP >ENSMUSP00000101616.3 pep:known chromosome:GRCm38:4:130360132:130390026:1 gene:ENSMUSG00000074088.6 transcript:ENSMUST00000105994.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp40 description:small nuclear ribonucleoprotein 40 (U5) [Source:MGI Symbol;Acc:MGI:1913835] MIEQQKRKGPELPLVPVKRPRHELLLGAAGAGPGAGPQQATPGALLQAGPPRCSSLQAPI MLLSGHEGEVYCCKFHPNGSTLASAGFDRLILLWNVYGDCDNYATLKGHSGAVMELHYNT DGSMLFSASTDKTVAVWDSETGERVKRLKGHTSFVNSCYPARRGPQLVCTGSDDGTVKLW DIRKKAAVQTFQNTYQVLAVTFNDTSDQIISGGIDNDIKVWDLRQNKLTYTMRGHADSVT GLSLSSEGSYLLSNAMDNTVRVWDVRPFAPKERCVKIFQGNVHNFEKNLLRCSWSPDGSK IAAGSADRFVYVWDTTSRRVLYKLPGHAGSINEVAFHPDEPIILSASSDKRLYMGEIQ >ENSMUSP00000004994.9 pep:known chromosome:GRCm38:1:78101267:78196838:-1 gene:ENSMUSG00000004872.15 transcript:ENSMUST00000004994.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax3 description:paired box 3 [Source:MGI Symbol;Acc:MGI:97487] MTTLAGAVPRMMRPGPGQNYPRSGFPLEVSTPLGQGRVNQLGGVFINGRPLPNHIRHKIV EMAHHGIRPCVISRQLRVSHGCVSKILCRYQETGSIRPGAIGGSKPKQVTTPDVEKKIEE YKRENPGMFSWEIRDKLLKDAVCDRNTVPSVSSISRILRSKFGKGEEEEADLERKEAEES EKKAKHSIDGILSERASAPQSDEGSDIDSEPDLPLKRKQRRSRTTFTAEQLEELERAFER THYPDIYTREELAQRAKLTEARVQVWFSNRRARWRKQAGANQLMAFNHLIPGGFPPTAMP TLPTYQLSETSYQPTSIPQAVSDPSSTVHRPQPLPPSTVHQSTIPSNADSSSAYCLPSTR HGFSSYTDSFVPPSGPSNPMNPTIGNGLSPQVMGLLTNHGGVPHQPQTDYALSPLTGGLE PTTTVSASCSQRLEHMKNVDSLPTSQPYCPPTYSTAGYSMDPVTGYQYGQYGQSAFHYLK PDIA >ENSMUSP00000084320.6 pep:known chromosome:GRCm38:1:78101270:78197134:-1 gene:ENSMUSG00000004872.15 transcript:ENSMUST00000087086.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax3 description:paired box 3 [Source:MGI Symbol;Acc:MGI:97487] MTTLAGAVPRMMRPGPGQNYPRSGFPLEVSTPLGQGRVNQLGGVFINGRPLPNHIRHKIV EMAHHGIRPCVISRQLRVSHGCVSKILCRYQETGSIRPGAIGGSKPKQVTTPDVEKKIEE YKRENPGMFSWEIRDKLLKDAVCDRNTVPSVSSISRILRSKFGKGEEEEADLERKEAEES EKKAKHSIDGILSERASAPQSDEGSDIDSEPDLPLKRKQRRSRTTFTAEQLEELERAFER THYPDIYTREELAQRAKLTEARVQVWFSNRRARWRKQAGANQLMAFNHLIPGGFPPTAMP TLPTYQLSETSYQPTSIPQAVSDPSSTVHRPQPLPPSTVHQSTIPSNADSSSAYCLPSTR HGFSSYTDSFVPPSGPSNPMNPTIGNGLSPQVMGLLTNHGGVPHQPQTDYALSPLTGGLE PTTTVSASCSQRLEHMKNVDSLPTSQPYCPPTYSTAGYSMDPVTGYQYGQYGQSKPWTF >ENSMUSP00000045913.7 pep:known chromosome:GRCm38:5:140661827:140702378:-1 gene:ENSMUSG00000036555.14 transcript:ENSMUST00000041783.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqce description:IQ motif containing E [Source:MGI Symbol;Acc:MGI:1921489] MSLGTTDIASETGDDSLSAITFESDIESKTKRKSFHKPPSTSPKSPYYSKPRKVTSWRSL KTAGSMPLSSRMSLTPQKLWLGSSKQGSVAQPPSPTLTSEHAWTHPPSCTPDYLTEAVRA KRADLRRSGSHGHVSGTSVYREKEDMYDEIIELKKSLHMQKSDVDLMRTKLRRLEEENSR KDRQIEQLLDPSRGPDFVRTLAEKKPDTGWVITGLKQRIFRLEQQCKEKDNTINKLQTDM KTTNLEEMRIAMETYYEEIHRLQTLLASSEATGKKPMVEKKLGVKRQKKMSSALLNLTRS VQELTEENQSLKEDLDRMLSNSPTISKIKGYGDWSKPRLLRRIAELEKKVSSSESPKQST SELVNPNPLVRSPSNISVQKQPKGDQSPEDLPKVAPCEEQEHLQGTVKSLREELGALQEQ LLEKDLEMKQLLQSKIDLEKELETAREGEKGRQEQEQALREEVEALTKKCQELEEAKREE KNSFVAVTHEAHPELHAPSPCSRHSEPDSDNSAGEEGSSQPPAPCSEERREAAIRTLQAQ WKAHRRKKREAALDEAATVLQAAFRGHLARSKLVRSKVPDSRSPSLPGLLSPLNQSSPAP RVLSPISPAEENPTQEEAVIVIQSILRGYLAQARFIASCCREIAASSQRETVSLTPSGSA SPPSLRASPGVIRKELCASEELRETSASEPAPSVPYSAQGGHGDCPSSSSLEAVPSMKDA MCEERSSSPRSAGPSLAEPSPPELQPLSPPPVEDICSDDSDDIIFSPFLPRKKSPSPF >ENSMUSP00000077050.5 pep:known chromosome:GRCm38:5:140665280:140702339:-1 gene:ENSMUSG00000036555.14 transcript:ENSMUST00000077890.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqce description:IQ motif containing E [Source:MGI Symbol;Acc:MGI:1921489] MSLGTTDIASETGDDSLSAITFESDIESKTKRKSFHKPPSTSPKSPYYSKPRKVTSWRSL KTAGSMPLSSRMSLTPQKLWLGSSKQGHVSGTSVYREKEDMYDEIIELKKSLHMQKSDVD LMRTKLRRLEEENSRKDRQIEQLLDPSRGPDFVRTLAEKKPDTGWVITGLKQRIFRLEQQ CKEKDNTINKLQTDMKTTNLEEMRIAMETYYEEIHRLQTLLASSEATGKKPMVEKKLGVK RQKKMSSALLNLTRSVQELTEENQSLKEDLDRMLSNSPTISKIKGYGDWSKPRLLRRIAE LEKKVSSSESPKQSTSELVNPNPLVRSPSNISVQKQPKGDQSPEDLPKVAPCEEQEHLQG TVKSLREELGALQEQLLEKDLEMKQLLQSKIDLEKELETAREGEKGRQEQEQALREEVEA LTKKCQELEEAKREEKNSFVAVTHEAHPELHAPSPCSRHSEPDSDNSAGEEGSSQPPAPC SEERREAAIRTLQAQWKAHRRKKREAALDEAATVLQAAFRGHLARSKLVRSKVPDSRSPS LPGLLSPLNQSSPAPRVLSPISPAEENPTQEEAVIVIQSILRGYLAQARFIASCCREIAA SSQRETVSLTPSGSASPPSLRASPGKNSEASSGEAAKDEDEAEEPPDLQPYSGVIRKELC ASEELRETSASEPAPSVPYSAQGGHGDCPSSSSLEAVPSMKDAMCEERSSSPRSAGPSLA EPSPPELQPLSPPPVEDICSDDSDDIIFSPFLPRKKSPSPF >ENSMUSP00000121457.1 pep:known chromosome:GRCm38:5:140666029:140683495:-1 gene:ENSMUSG00000036555.14 transcript:ENSMUST00000129704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqce description:IQ motif containing E [Source:MGI Symbol;Acc:MGI:1921489] XSSALLNLTRSVQELTEENQSLKEDLDRMLSNSPTISKIKVSSSESPKQSTSELVNPNPL VRSPSNISVQKQPKGDQSPEDLPKVAPCEEQEHLQGTVKSLREELGALQEQLLEKDLEMK QLLQSKIDLEKELETAREGEKGRQEQEQALREEVEALTKKCQELEEAKREEKNSFVAVTH EKREAALDEAATVLQAAFRGHLARSKLVRSKVPDSRSPSLPGLLSPLNQSSPAPRVLSPI SPAEENPTQEEAVIVIQSILRGYLAQARFIASCCREIAASSQRETVSLTPSGSASPPSLR ASPEWGPSGKNSEASSGEAAKDEDEAEEPPDLQPYSGVIRKELCASEELRETSASEPAPS VPYSAQGGHGDCPSSSSLEAVPSMKDAMCEERSSSP >ENSMUSP00000120637.1 pep:known chromosome:GRCm38:5:140689980:140702378:-1 gene:ENSMUSG00000036555.14 transcript:ENSMUST00000142081.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqce description:IQ motif containing E [Source:MGI Symbol;Acc:MGI:1921489] MSLGTTDIASETGDDSLSAITFESDIESKTKRKSFHKPPSTSPKSPYYSKPRKVTSWRSL KTAGSMPLSSRMSLTPQKLWLGSSKQGIVAQPPSPTLTSEHAWTHPPSCTPDYLTEAVRA KRADLRRSGSHGHVSGTSVYREKEDMYDEIIELKKSLHMQKSDVDLMRTKLRRLEEENSR KDRQI >ENSMUSP00000143397.1 pep:known chromosome:GRCm38:5:140692881:140702300:-1 gene:ENSMUSG00000036555.14 transcript:ENSMUST00000135028.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqce description:IQ motif containing E [Source:MGI Symbol;Acc:MGI:1921489] MSLGTTDIASETGDDSLSAITFESDIESKTKRKSFHKPPSTSPKSPYYSKPRKVTSWRSL KTAGSMPLSSRMSLTPQKLWLGSSKQVWPNPRAPRLPRSTR >ENSMUSP00000118482.2 pep:known chromosome:GRCm38:X:166170454:166189804:1 gene:ENSMUSG00000040621.14 transcript:ENSMUST00000130880.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin8 description:gem (nuclear organelle) associated protein 8 [Source:MGI Symbol;Acc:MGI:2384300] MASNWRASASWYSHPVYARYWQHYHHAMLWMQGHQNAYRKFRDSYFTSPWLFPHGALPWN SPAYEAGHPWDSQGQHMAQQESPYRVSHPKSPGQPLRNSSRTQASTRGNEARCEEEELES DSDDEVECDLSNMEITEELRQYFAQTERHREERRRQQQLDAERLNDYVNADHGLYFNHRR SLEPPSEKPWERRQAEMKRLYGNSAPKILAMETAVQLSFDKHCDRKQPKYWPVIPLKF >ENSMUSP00000085137.3 pep:known chromosome:GRCm38:X:166170454:166190512:1 gene:ENSMUSG00000040621.14 transcript:ENSMUST00000056410.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin8 description:gem (nuclear organelle) associated protein 8 [Source:MGI Symbol;Acc:MGI:2384300] MASNWRASASWYSHPVYARYWQHYHHAMLWMQGHQNAYRKFRDSYFTSPWLFPHGALPWN SPAYEAGHPWDSQGQHMAQQESPYRVSHPKSPGQPLRNSSRTQASTRGNEARCEEEELES DSDDEVECDLSNMEITEELRQYFAQTERHREERRRQQQLDAERLNDYVNADHGLYFNHRR SLEPPSEKPWERRQAEMKRLYGNSAPKILAMETAVQLSFDKHCDRKQPKYWPVIPLKF >ENSMUSP00000093971.3 pep:known chromosome:GRCm38:X:166170492:166189820:1 gene:ENSMUSG00000040621.14 transcript:ENSMUST00000096252.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin8 description:gem (nuclear organelle) associated protein 8 [Source:MGI Symbol;Acc:MGI:2384300] MGHQNAYRKFRDSYFTSPWLFPHGALPWNSPAYEAGHPWDSQGQHMAQQESPYRVSHPKS PGQPLRNSSRTQASTRGNEARCEEEELESDSDDEVECDLSNMEITEELRQYFAQTERHRE ERRRQQQLDAERLNDYVNADHGLYFNHRRSLEPPSEKPWERRQAEMKRLYGNSAPKILAM ETAVQLSFDKHCDRKQPKYWPVIPLKF >ENSMUSP00000084414.4 pep:known chromosome:GRCm38:X:166170495:166190510:1 gene:ENSMUSG00000040621.14 transcript:ENSMUST00000087169.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin8 description:gem (nuclear organelle) associated protein 8 [Source:MGI Symbol;Acc:MGI:2384300] MASNWRASASWYSHPVYARYWQHYHHAMLWMQGHQNAYRKFRDSYFTSPWLFPHGALPWN SPAYEAGHPWDSQGQHMAQQESPYRVSHPKSPGQPLRNSSRTQASTRGNEARCEEEELES DSDDEVECDLSNMEITEELRQYFAQTERHREERRRQQQLDAERLNDYVNADHGLYFNHRR SLEPPSEKPWERRQAEMKRLYGNSAPKILAMETAVQLSFDKHCDRKQPKYWPVIPLKF >ENSMUSP00000128502.1 pep:known chromosome:GRCm38:X:166178402:166180792:1 gene:ENSMUSG00000040621.14 transcript:ENSMUST00000166440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin8 description:gem (nuclear organelle) associated protein 8 [Source:MGI Symbol;Acc:MGI:2384300] MASNWRASASWYSHPVYARYWQHYHHAMLWMQGHQNAYRKFRDSYFTSPWLFPHGALPWN SPAYEAGHPWDSQGQHMAQQESPYRVSHPKSPGQPLRNSSRTQASTRGNEARCEEEELES DSDDEVECDLSNMEITEELRQYFAQTE >ENSMUSP00000131284.1 pep:known chromosome:GRCm38:X:166178423:166189342:1 gene:ENSMUSG00000040621.14 transcript:ENSMUST00000152248.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin8 description:gem (nuclear organelle) associated protein 8 [Source:MGI Symbol;Acc:MGI:2384300] MASNWRASASWYSHPVYARYWQHYHHAMLWMQGHQNAYRKFRDSYFTSPWLFPHGALPWN SPAYEAGHPWDSQGQHMAQQESPYRVSHPKSPGQPLRNSSRTQASTRGNEARCEEEELES DSDDEVECDLSNMEITEELRQYFAQTERHREERRRQQQLDAERLNDYVNADHGLYFNHRR SLEPPS >ENSMUSP00000108250.2 pep:known chromosome:GRCm38:14:14820765:15001204:1 gene:ENSMUSG00000042567.19 transcript:ENSMUST00000112631.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek10 description:NIMA (never in mitosis gene a)- related kinase 10 [Source:MGI Symbol;Acc:MGI:2685128] MPDQDTKAKSTEKTADKQQGTTTRDYSDLKRLRCLLNVQSSKQQLPAINFDSAQNNMTKS EPTIRTGGHRARGQWHESTEAVELENFSINYKNERNFSKHPQHQLFQEIFTALVRNRLIC REWVNRAPSIHFLRVLICLRLLMRDPCYQEILHKLGGIEDLAQYMEIVANEYLGYAEEQH CVDKLVNMTYIFQKLAAVKDQREWVTASGAHKTLVSLLGARDTTVLLGALLALASLAESS ECREKISELNVVENLLMILHEYDLLSKRLTAELLRLLCAEPQIKEQVKLYEGIPILLSLL HSDHLKLLWSVIWILVQVCEDPETSVEIRIWGGIKQLLHILQGDRNFVSDRSSIGSLSSA NAAGRIQQLHLSEDLSPGEIEENTVSLQAACCAALTELALNDTNAHQVVQENGVYTIAKL ILPNKQSNAAQTNLLQCYAFRTLRFLFSMERNRPLFKRLFPTDLFETFIDIGHYVRDIGA YKDLVSQLNLLLEDELKQIAENIESINQKKAPLKYIGDYAVLDHLGSGAFGCVYKVRKRS GQNLLAMKEVNLHNPAFGKDKKDRDSSVKNIVSELTIIKEQLYHPNVVRYYKTFLENDRL YIVMELIEGAPLGEHFNSLKEKHHHFSEERLWKIFIQLCLALRYLHKEKRIVHRDLTPNN IMLGDKDKVTVTDFGLAKQKQESSKLTSMVGTILYSCPEVLKSEPYGEKADVWAAGCILY QMATLSPPFCSTNMLSLATKIVEAVYEPVPEGIYSEKVTDTIRRCLTPDAEARPDIVEVS SMISDVMMKYLDRLSTSQLALERKLERERRRTQRYFMEANRNAVTCHHELALLSQETFEK ASLSSSSSGAASLKSELSESAELPGEGCHIPCGKEEDRVCEEVLSEDNFQLESVEKDLYS ELDDELDVSDNCSSSSSSPLKESTFSILKRSFSASGRERHSQARDFIAGLGSRPRPGPQM STFVVESASAGIAVSQRKVRQICDPIQQILIQLHKVIYITQLPPALHHDLKRRVIERFKK SLFSQQSNPCNLKSEIKKLSQGSPEPIELNFLTSDYHSLRHSRAANNWSPSDPTGSPSSF EVEEGVTYEQMQTVIEEVLEESGYYNFTTKR >ENSMUSP00000123151.2 pep:known chromosome:GRCm38:14:14930575:15006743:1 gene:ENSMUSG00000042567.19 transcript:ENSMUST00000136826.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nek10 description:NIMA (never in mitosis gene a)- related kinase 10 [Source:MGI Symbol;Acc:MGI:2685128] XPEVLKSEPYGEKADVWAAGCILYQMATLSPPFCSTNMLSLATKIVEAVYEPVPEGIYSE KVTDTIRRCLTPDAEARPDIVEVSSMISDVMMKYLDRLSTSQLALERKLERERRRTQRYF MEANRNAVTCHHELALLSQETFEKASLSSSSSGAASLKSELSESAELPGEGCHIPCGKEE DRVCEEVLSEDNFQLESVEKDLYSELDDELDVSDNCSSSSSSPLKESTFSILKRSFSASG RERHSQARDFIAGLGSRPRPALLPLHLLGKVPHQCPGTT >ENSMUSP00000108249.2 pep:known chromosome:GRCm38:14:14820815:15006693:1 gene:ENSMUSG00000042567.19 transcript:ENSMUST00000112630.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek10 description:NIMA (never in mitosis gene a)- related kinase 10 [Source:MGI Symbol;Acc:MGI:2685128] MPDQDTKAKSTEKTADKQQGTTTRDYSDLKRLRCLLNVQSSKQQLPAINFDSAQNNMTKS EPTIRTGGHRARGQWHESTEAVELENFSINYKNERNFSKHPQHQLFQEIFTALVRNRLIC REWVNRAPSIHFLRVLICLRLLMRDPCYQEILHKLGGIEDLAQYMEIVANEYLGYAEEQH CVDKLVNMTYIFQKLAAVKDQREWVTASGAHKTLVSLLGARDTTVLLGALLALASLAESS ECREKISELNVVENLLMILHEYDLLSKRLTAELLRLLCAEPQIKEQVKLYEGIPILLSLL HSDHLKLLWSVIWILVQVCEDPETSVEIRIWGGIKQLLHILQGDRNFVSDRSSIGSLSSA NAAGRIQQLHLSEDLSPGEIEENTVSLQAACCAALTELALNDTNAHQVVQENGVYTIAKL ILPNKQSNAAQTNLLQCYAFRTLRFLFSMERNRPLFKRLFPTDLFETFIDIGHYVRDIGA YKDLVSQLNLLLEDELKQIAENIESINQKKAPLKYIGDYAVLDHLGSGAFGCVYKVRKRS GQNLLAMKEVNLHNPAFGKDKKDRDSSVKNIVSELTIIKEQLYHPNVVRYYKTFLENDRL YIVMELIEGAPLGEHFNSLKEKHHHFSEERLWKIFIQLCLALRYLHKEKRIVHRDLTPNN IMLGDKDKVTVTDFGLAKQKQESSKLTSMVGTILYSCPEVLKSEPYGEKADVWAAGCILY QMATLSPPFCSTNMLSLATKIVEAVYEPVPEGIYSEKVTDTIRRCLTPDAEARPDIVEVS SMISDVMMKYLDRLSTSQLALERKLERERRRTQRYFMEANRNAVTCHHELALLSQETFEK ASLSSSSSGAASLKSELSESAELPGEGCHIPCGKEEDRVCEEVLSEDNFQLESVEKDLYS ELDDELDVSDNCSSSSSSPLKESTFSILKRSFSASGRERHSQARDFIAGLGSRPRPASAG IAVSQRKVRQICDPIQQILIQLHKVIYITQLPPALHHDLKRRVIERFKKSLFSQQSNPCN LKSEIKKLSQGSPEPIELNFLTSDYHSLRHSRAANNWSPSDPTGSPSSFEVEEGVTYEQM QTVIEEVLEESGYYNFTTKRCHSFPWVTKSYTAKR >ENSMUSP00000123738.1 pep:known chromosome:GRCm38:6:8259288:8459470:1 gene:ENSMUSG00000089862.8 transcript:ENSMUST00000159335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umad1 description:UMAP1-MVP12 associated (UMA) domain containing 1 [Source:MGI Symbol;Acc:MGI:3840148] MFHFFRKPAESKKPSGPEPEADGFVLLGATANEVRCKTSEAEGSQALETGKEDTSSVTVS GPETENQTGQTLQNNSLTAELLSDVPFTLAPHVLAAQGTISDLPDHVLSYDVGDNLSRFC MTTLHLGKVPSSSPTVFHQLEEFPSIFLGPTVECLS >ENSMUSP00000125672.1 pep:known chromosome:GRCm38:6:8259350:8427138:1 gene:ENSMUSG00000089862.8 transcript:ENSMUST00000159378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umad1 description:UMAP1-MVP12 associated (UMA) domain containing 1 [Source:MGI Symbol;Acc:MGI:3840148] MFHFFRKPAESKKPSGPEPEADGFVLLGATANEVRCKTSEAEGSQALETGKEDTSSVTVS GPETENQTGQTLQNNSLTAELLSDVPFTLAPHVLAAQGTISDLP >ENSMUSP00000124306.1 pep:known chromosome:GRCm38:6:8259382:8428764:1 gene:ENSMUSG00000089862.8 transcript:ENSMUST00000162034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umad1 description:UMAP1-MVP12 associated (UMA) domain containing 1 [Source:MGI Symbol;Acc:MGI:3840148] MFHFFRKPAESKKPSGPEPEADGFVLLDRQRRHIQRDCIRPRDRESDRPDSAEQLTNS >ENSMUSP00000124993.1 pep:known chromosome:GRCm38:6:8259428:8427279:1 gene:ENSMUSG00000089862.8 transcript:ENSMUST00000160705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umad1 description:UMAP1-MVP12 associated (UMA) domain containing 1 [Source:MGI Symbol;Acc:MGI:3840148] MFHFFRKPAESKKPSGPEPEADGFVLLGATANEVRCKTSEAEGSQALEISALSSHHQRGS LWQQMGTDADTHSQTGKEDTSSVTVSGPETENQTGQTLQNNSLTAELLSDVPFTLAPHVL AAQGTISDLPDHVLSYDVGDNLSRFWYDFTLENSVLCDL >ENSMUSP00000124564.1 pep:known chromosome:GRCm38:6:8259430:8428760:1 gene:ENSMUSG00000089862.8 transcript:ENSMUST00000159433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umad1 description:UMAP1-MVP12 associated (UMA) domain containing 1 [Source:MGI Symbol;Acc:MGI:3840148] MFHFFRKPAESKKPSGPEPEADGFVLLGATANEVRCKTSEAEGSQALETGKEDTSSVTVS GPETENQTGQTLQNNSLTAELLSDVPFTLAPHVLAAQGTISDLPDHVLSYDVGDNLSRFW YDFTLENSVLCDL >ENSMUSP00000125134.1 pep:known chromosome:GRCm38:6:8270466:8428767:1 gene:ENSMUSG00000089862.8 transcript:ENSMUST00000159168.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umad1 description:UMAP1-MVP12 associated (UMA) domain containing 1 [Source:MGI Symbol;Acc:MGI:3840148] MFHFFRKPAESKKPSGPEPEADGFVLLGATANEVRCKTSEAEGSQALETGKEDTSSVTVS GPETENQTGQTLQNNSLTAELLSDVPFTLAPHVLAAQGTISDLPDHVLSYDVGDNLSRFW YDFTLENSVLCDL >ENSMUSP00000005685.8 pep:known chromosome:GRCm38:7:26835305:26843528:1 gene:ENSMUSG00000005547.14 transcript:ENSMUST00000005685.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2a5 description:cytochrome P450, family 2, subfamily a, polypeptide 5 [Source:MGI Symbol;Acc:MGI:88597] MLTSGLLLVAAVAFLSVLVLMSVWKQRKLSGKLPPGPTPLPFIGNFLQLNTEQMYNSLMK ISQRYGPVFTIYLGPRRIVVLCGQEAVKEALVDQAEEFSGRGEQATFDWLFKGYGVAFSS GERAKQLRRFSIATLRDFGVGKRGIEERIQEEAGFLIDSFRKTNGAFIDPTFYLSRTVSN VISSIVFGDRFDYEDKEFLSLLRMMLGSFQFTATSMGQLYEMFSSVMKHLPGPQQQAFKE LQGLEDFITKKVEHNQRTLDPNSPRDFIDSFLIRMLEEKKNPNTEFYMKNLVLTTLNLFF AGTETVSTTLRYGFLLLMKHPDIEAKVHEEIDRVIGRNRQPKYEDRMKMPYTEAVIHEIQ RFADMIPMGLARRVTKDTKFRDFLLPKGTEVFPMLGSVLKDPKFFSNPKDFNPKHFLDDK GQFKKNDAFVPFSIGKRYCFGEGLARMELFLFLTNIMQNFHFKSTQAPQDIDVSPRLVGF ATIPPTYTMSFLSR >ENSMUSP00000130640.1 pep:known chromosome:GRCm38:7:26835364:26841124:1 gene:ENSMUSG00000005547.14 transcript:ENSMUST00000168869.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp2a5 description:cytochrome P450, family 2, subfamily a, polypeptide 5 [Source:MGI Symbol;Acc:MGI:88597] MLTSGLLLVAAVAFLSVLVLMSVWKQRKLSGKLPPGPTPLPFIGNFLQLNTEQMYNSLMK A >ENSMUSP00000128865.1 pep:known chromosome:GRCm38:7:26840468:26843548:1 gene:ENSMUSG00000005547.14 transcript:ENSMUST00000169007.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2a5 description:cytochrome P450, family 2, subfamily a, polypeptide 5 [Source:MGI Symbol;Acc:MGI:88597] FLLLMKHPDIEAKVHEEIDRVIGRNRQPKYEDRMKMPYTEAVIHEIQRFADMIPMGLARR VTKDTKFRDFLLPKGTEVFPMLGSVLKDPKFFSNPKDFNPKHFLDDKGQGREFMLILLVN HILDLICP >ENSMUSP00000127829.1 pep:known chromosome:GRCm38:7:26840944:26843267:1 gene:ENSMUSG00000005547.14 transcript:ENSMUST00000170631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2a5 description:cytochrome P450, family 2, subfamily a, polypeptide 5 [Source:MGI Symbol;Acc:MGI:88597] XHEEIDRVIGRNRQPKYEDRMKMPYTEAVIHEIQRFADMIPMGLARRVTKDTKTSMCLLD SWALPRSHQPTL >ENSMUSP00000107067.1 pep:known chromosome:GRCm38:1:165485183:165575799:1 gene:ENSMUSG00000026567.16 transcript:ENSMUST00000111440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy10 description:adenylate cyclase 10 [Source:MGI Symbol;Acc:MGI:2660854] MSARRQELQDRAIVKIAAHLPDLIVYGDFSPERPSVKCFDGVLMFVDISGFTAMTEKFST AMYMDRGAEQLVEILNYYISAIVEKVLIFGGDILKFAGDALLALWKVERKQLKNIITVVI KCSLEIHGLFEAKEAEEGLDIRVKIGLAAGHITMLVFGDETRNYFLVIGQAVDDVRLAQN MAQMNDVILSPNCWQLCDRSMIEIERIPDQRAVKVSFLKPPPTFNFDEFFTKCMGFMDYY PSGDHKNFLRLACMLESDPELELSLQKYVMEIILKQIDDKQLRGYLSELRPVTIVFVNLM FKEQDKVEVIGSAIQAACVHITSVLKVFRGQINKVFMFDKGCSFLCVFGFPGEKAPDEIT HALESAVDIFDFCSQVHKIRTVSIGVASGIVFCGIVGHTVRHEYTVIGQKVNIAARMMMY YPGIVSCDSVTYDGSNLPAYFFKELPKKVMKGVADPGPVYQCLGLNEKVMFGMAYLICNR YEGYPLLGRVREIDYFMSTMKDFLMTNCSRVLMYEGLPGYGKSQVLMEIEYLASQHENHR AVAIALTKISFHQNFYTIQILMANVLGLDTCKHYKERQTNLQNRVKTLLDEKFHCLLNDI FHVQFPVSREMSRMSKIRKQKQLEALFMKILAQTVREERIIFIIDEAQFVDGTSWAFIEK LIRSMPIFIVMSLAPFSEVPCAAANAIMKNRNTTYITLGTMQPQEIRDKVCVDLSVSSIP RELDSYLVEGSCGIPYYCEELLKNLDHHRVLLFQQAETEQKTNVTWNNMFKHSVRPTDDM QLFTSISEGQKEVCYLVSGVRLNNLSPPASLKEISLVQLDSMSLSHQMLVRCAAIIGLTF TTELLFEILPCWNMKMMIKALATLVESNVFNCFRSSKDLQLALKQNVPTFEVHYRSLALK LKEGLTYGEEEELREMEGEVVECRILRFCRPIMQKTAYELWLKDQKKVLHLKCARFLEES AHRCNHCRNVDFIPYHHFIVDIRLNTLDMDTVKRMVTSQGFKIDEEEAIFSKSELPRKYK FPENLSITEIREKILHFFDNVILKMKSSPNDIIPLESCQCKELLQIVILPLAQHFVALEE NNKALYYFLELASAYLILGDNYNAYMYLGEGERLLKSLTNEDSWSQTFEYATFYSLKAEV CFNMGQMVLAKKMLRKALKLLNRMFPCNLLTLTFQMHVEKNRLSHFMNQHTQEGSVPGKK LAQLYLQASCFSLLWRIYSLNFFFHYKYYGHLAAMMEMNTSLETQNDFQIIKAYLDFSLY HHLAGYQGVWFKYEILVMEQLLNLPLKGEAIEIMAYTADTLGHIKFLMGHLDLAIELGSR AHRMWSLLRNPNKYQMVLCRLSKPLFLKSRYKHLVQVLGWLWDLSVTEEDIFSKAFFYFV CLDIMLYSGFIYRTFEECLEFIHHNEDNRILKFQSGLLLGLYSCIAVWYARLQEWDNFNK FSDRAKHLVTRRTPTVLYYEGISRYMEGQVLHLQKQIEEQAENAQDSGVEILKALETLVA QNTTGPVFYPRLYHLMAYVCILMGDGHSCDFFLNTALELSETHGNLLEKCWLSMSKEWWY SASELTGDQWLQTVLSLPSWDKIVSGKVTLQDVQKNKYLMRVNILDNPF >ENSMUSP00000137959.1 pep:known chromosome:GRCm38:1:165485183:165576404:1 gene:ENSMUSG00000026567.16 transcript:ENSMUST00000148550.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adcy10 description:adenylate cyclase 10 [Source:MGI Symbol;Acc:MGI:2660854] MSARRQELQDRAIVKIAAHLPDLIVYGDFSPERPSVKCFDGVLMFVDISGFTAMTEKFST AMYMDRGAEQLVEILNYYISAIVEKVLIFGGDILKFAGDALLALWKVERKQLKNIITVVI KCSLEIHGLFEAKEAEEGLDIRVKIGLAAGHITMLVFGDETRNYFLVIGQAVDDVRLAQN MAQMNDVILSPNCWQLCDRSMIEIERIPDQRAVKVSFLKPPPTFNFDEFFTKCMGFMDYY PSGDHKNFLRLACMLESDPELELSLQKYVMEIILKQIDDKQLRGYLSELRPVTIVFVNLM FKEQDKVEVIGSAIQAACVHITSVLKVFRGQINKVFMFDKGCSFLCVFGFPGEKAPDEIT HALESAVDIFDFCSQVHKIRTVSIGVASGIVFCGIVGHTVRHEYTVIGQKVNIAARMMMY YPGIVSCDSVTYDGSNLPAYFFKELPKKVMKGVADPGPVYQCLGLNEKVTC >ENSMUSP00000027852.8 pep:known chromosome:GRCm38:1:165485183:165576774:1 gene:ENSMUSG00000026567.16 transcript:ENSMUST00000027852.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy10 description:adenylate cyclase 10 [Source:MGI Symbol;Acc:MGI:2660854] MSARRQELQDRAIVKIAAHLPDLIVYGDFSPERPSVKCFDGVLMFVDISGFTAMTEKFST AMYMDRGAEQLVEILNYYISAIVEKVLIFGGDILKFAGDALLALWKVERKQLKNIITVVI KCSLEIHGLFEAKEAEEGLDIRVKIGLAAGHITMLVFGDETRNYFLVIGQAVDDVRLAQN MAQMNDVILSPNCWQLCDRSMIEIERIPDQRAVKVSFLKPPPTFNFDEFFTKCMGFMDYY PSGDHKNFLRLACMLESDPELELSLQKYVMEIILKQIDDKQLRGYLSELRPVTIVFVNLM FKEQDKVEVIGSAIQAACVHITSVLKVFRGQINKVFMFDKGCSFLCVFGFPGEKAPDEIT HALESAVDIFDFCSQVHKIRTVSIGVASGIVFCGIVGHTVRHEYTVIGQKVNIAARMMMY YPGIVSCDSVTYDGSNLPAYFFKELPKKVMKGVADPGPVYQCLGLNEKVMFGMAYLICNR YEGYPLLGRVREIDYFMSTMKDFLMTNCSRVLMYEGLPGYGKSQVLMEIEYLASQHENHR AVAIALTKISFHQNFYTIQILMANVLGLDTCKHYKERQTNLQNRVKTLLDEKFHCLLNDI FHVQFPVSREMSRMSKIRKQKQLEALFMKILAQTVREERIIFIIDEAQFVDGTSWAFIEK LIRSMPIFIVMSLAPFSEVPCAAANAIMKNRNTTYITLGTMQPQEIRDKVCVDLSVSSIP RELDSYLVEGSCGIPYYCEELLKNLDHHRVLLFQQAETEQKTNVTWNNMFKHSVRPTDDM QLFTSISEGQKEVCYLVSGVRLNNLSPPASLKEISLVQLDSMSLSHQMLVRCAAIIGLTF TTELLFEILPCWNMKMMIKALATLVESNVFNCFRSSKDLQLALKQNVPTFEVHYRSLALK LKEGLTYGEEEELREMEGEVVECRILRFCRPIMQKTAYELWLKDQKKVLHLKCARFLEES AHRCNHCRNVDFIPYHHFIVDIRLNTLDMDTVKRMVTSQGFKIDEEEAIFSKSELPRKYK FPENLSITEIREKILHFFDNVILKMKSSPNDIIPLESCQCKELLQIVILPLAQHFVALEE NNKALYYFLELASAYLILGDNYNAYMYLGEGERLLKSLTNEDSWSQTFEYATFYSLKAEV CFNMGQMVLAKKMLRKALKLLNRMFPCNLLTLTFQMHVEKNRLSHFMNQHTQEGSVPGKK LAQLYLQASCFSLLWRIYSLNFFFHYKYYGHLAAMMEMNTSLETQNDFQIIKAYLDFSLY HHLAGYQGVWFKYEILVMEQLLNLPLKGEAIEIMAYTADTLGHIKFLMGHLDLAIELGSR AHRMWSLLRNPNKYQMVLCRLSKPLFLKSRYKHLVQVLGWLWDLSVTEEDIFSKAFFYFV CLDIMLYSGFIYRTFEECLEFIHHNEDNRILKFQSGLLLGLYSCIAVWYARLQEWDNFNK FSDRAKHLVTRRTPTVLYYEGISRYMEGQVLHLQKQIEEQAENAQDSGVEILKALETLVA QNTTGPVFYPRLYHLMAYVCILMGDGHSCDFFLNTALELSETHGNLLEKCWLSMSKEWWY SASELTGDQWLQTVLSLPSWDKIVSGKGGQRKRSWSWFCPPNFSMVSWSQPQCA >ENSMUSP00000107066.1 pep:known chromosome:GRCm38:1:165485189:165575798:1 gene:ENSMUSG00000026567.16 transcript:ENSMUST00000111439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy10 description:adenylate cyclase 10 [Source:MGI Symbol;Acc:MGI:2660854] MSARRQELQDRAIVKIAAHLPDLIVYGDFSPERPSVKCFDGVLMFVDISGFTAMTEKFST AMYMDRGAEQLVEILNYYISAIVEKVLIFGGDILKFAGDALLALWKVERKQLKNIITVVI KCSLEIHGLFEAKEAEEGLDIRVKIGLAAGHITMLVFGDETRNYFLVIGQAVDDVRLAQN MAQMNDVILSPNCWQLCDRSMIEIERIPDQRAVKVSFLKPPPTFNFDEFFTKCMGFMDYY PSGDHKNFLRLACMLESDPELELSLQKYVMEIILKQIDDKQLRGYLSELRPVTIVFVNLM FKEQDKVEVIGSAIQAACVHITSVLKVFRGQINKVFMFDKGCSFLCVFGFPGEKAPDEIT HALESAVDIFDFCSQVHKIRTVSIGVASGIVFCGIVGHTVRHEYTVIGQKVNIAARMMMY YPGIVSCDSVTYDGSNLPAYFFKELPKKVMKGVADPGPVYQCLGLNEKVMFGMAYLICNR YEGYPLLGRVREIDYFMSTMKDFLMTNCSRVLMYEGLPGYGKSQVLMEIEYLASQHENHR AVAIALTKISFHQNFYTIQILMANVLGLDTCKHYKERQTNLQNRVKTLLDEKFHCLLNDI FHVQFPVSREMSRMSKIRKQKQLEALFMKILAQTVREERIIFIIDEAQFVDGTSWAFIEK LIRSMPIFIVMSLAPFSEVPCAAANAIMKNRNTTYITLGTMQPQEIRDKVCVDLSVSSIP RELDSYLVEGSCGIPYYCEELLKNLDHHRVLLFQQAETEQKTNVTWNNMFKHSVRPTDDM QLFTSISEGQKEVCYLVSGVRLNNLSPPASLKEISLVQLDSMSLSHQMLVRCAAIIGLTF TTELLFEILPCWNMKMMIKALATLVESNVFNCFRSSKDLQLALKQNVPTFEVHYRSLALK LKEGLTYGEEEELREMEGEVVECRILRFCRPIMQKTAYELWLKDQKKVLHLKCARFLEES AHRCNHCRNVDFIPYHHFIVDIRLNTLDMDTVKRMVTSQGFKIDEEEAIFSKSELPRKYK FPENLSITEIREKILHFFDNVILKMKSSPNDIIPLESCQCKELLQIVILPLAQHFVALEE NNKALYYFLELASAYLILGDNYNAYMYLGEGERLLKSLTNEDSWSQTFEYATFYSLKAEV CFNMGQMVLAKKMLRKALKLLNRMFPCNLLTLTFQMHVEKNRLSHFMNQHTQEGSVPGKK LAQLYLQASCFSLLWRIYSLNFFFHYKYYGHLAAMMEMNTSLETQNDFQIIKAYLDFSLY HHLAGYQGVWFKYEILVMEQLLNLPLKGEAIEIMAYTADTLGHIKFLMGHLDLAIELGSR AHRMWSLLRNPNKYQMVLCRLSKPLFLKSRYKHLVQVLGWLWDLSVTEEDIFSKAFFYFV CLDIMLYSGFIYRTFEECLEFIHHNEDNRILKFQSGLLLGLYSCIAVWYARLQEWDNFNK FSDRAKHLVTRRTPTVLYYEGISRYMEGQVLHLQKQIEEQAENAQDSGVEILKALETLVA QNTTGPVFYPRLYHLMAYVCILMGDGHSCDFFLNTALELSETHGNLLEKCWLSMSKEWWY SASELTGDQWLQTVLSLPSWDKIVSGKVTLQDVQKNKYLMRVNILDNPF >ENSMUSP00000137744.1 pep:known chromosome:GRCm38:1:165485211:165575796:1 gene:ENSMUSG00000026567.16 transcript:ENSMUST00000155216.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adcy10 description:adenylate cyclase 10 [Source:MGI Symbol;Acc:MGI:2660854] MSARRQELQDRAIVKIAAHLPDLIVYGDFSPERPSVKCFDGVLMFVDISGFTAMTEKFST AMYMDRGAEQLVEILNYYISAIVEKVLIFGGDILKFAG >ENSMUSP00000141999.1 pep:known chromosome:GRCm38:1:165503943:165567747:1 gene:ENSMUSG00000026567.16 transcript:ENSMUST00000193149.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy10 description:adenylate cyclase 10 [Source:MGI Symbol;Acc:MGI:2660854] XTGFTAMTEKFSTAMYMDRGAEQLVEILNYYISAIVEKVLIFGGDILKFAGDALLALWKV ERKQLKNIITVVIKCSLEIHGLFEAKEAEEGLDIRVKIGLAAGHITMLVFGDETRNYFLV IGQAVDDVRLAQNMAQMNDVILSPNCWQLCDRSMIEIERIPDQRAVKNI >ENSMUSP00000119670.1 pep:known chromosome:GRCm38:15:76710623:76713570:1 gene:ENSMUSG00000033728.14 transcript:ENSMUST00000136840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc14 description:leucine rich repeat containing 14 [Source:MGI Symbol;Acc:MGI:2445060] MHTLVFLSTRQVLQCQPAACQALPLLPRELFPLLFKVAFMDKKTLVLRELVHTWPFPLLS FQQLLQECAHCSRALLQERLSTESMQAVILGLTARIHTQETEAGTQPLCRKHALRVLDMT GLLDDGVEQDPETMSMWDCTAAVARTCIAQQQGGTAEPGLSPVPVE >ENSMUSP00000114921.1 pep:known chromosome:GRCm38:15:76710638:76717699:1 gene:ENSMUSG00000033728.14 transcript:ENSMUST00000127208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc14 description:leucine rich repeat containing 14 [Source:MGI Symbol;Acc:MGI:2445060] MHTLVFLSTRQVLQCQPAACQALPLLPRELFPLLFKVAFMDKKTLVLRELVHTWPFPLLS FQQLLQECAHCSRALLQERLSTESMQAVILGLTARIHTQETEAGTQPLCRKHALRVLDMT GLLDDGVEQDPETMSMWDCTAAVARTCIAQQQGGTAEPGLSPVPVEIRVDLRVNRASYTF LREALQSSVASPLRLCCRDLRAEDLPMRNTVALLQLLDAGCLRRIDLRFNNLGLRGLSVI IPHVARFQHLASLRLHYVHGDSRQPSVDGEDNFRYFLAQMGRFMCLRELSMGSSLLSGRL DQLLSTLQRPLESLELAFCALLPEDLRFLAQSSHAAHLKKLDLSGNDLSGNQLTPFQGLL QAVATTLLHLELTECQLADAQLLATLPTLTRCASLRYLGLYGNPLSMAGLKELLRDSVVQ AELRTVVHPFPVDCYEGLPWPPPASVLLEASINEEKFARVEAELHQLLLASGRAHVLWTT DIYGRLAADYFSL >ENSMUSP00000049466.8 pep:known chromosome:GRCm38:15:76710639:76715035:1 gene:ENSMUSG00000033728.14 transcript:ENSMUST00000036423.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc14 description:leucine rich repeat containing 14 [Source:MGI Symbol;Acc:MGI:2445060] MHTLVFLSTRQVLQCQPAACQALPLLPRELFPLLFKVAFMDKKTLVLRELVHTWPFPLLS FQQLLQECAHCSRALLQERLSTESMQAVILGLTARIHTQETEAGTQPLCRKHALRVLDMT GLLDDGVEQDPETMSMWDCTAAVARTCIAQQQGGTAEPGLSPVPVEIRVDLRVNRASYTF LREALQSSVASPLRLCCRDLRAEDLPMRNTVALLQLLDAGCLRRIDLRFNNLGLRGLSVI IPHVARFQHLASLRLHYVHGDSRQPSVDGEDNFRYFLAQMGRFMCLRELSMGSSLLSGRL DQLLSTLQRPLESLELAFCALLPEDLRFLAQSSHAAHLKKLDLSGNDLSGNQLTPFQGLL QAVATTLLHLELTECQLADAQLLATLPTLTRCASLRYLGLYGNPLSMAGLKELLRDSVVQ AELRTVVHPFPVDCYEGLPWPPPASVLLEASINEEKFARVEAELHQLLLASGRAHVLWTT DIYGRLAADYFSL >ENSMUSP00000117780.1 pep:known chromosome:GRCm38:15:76710643:76715052:1 gene:ENSMUSG00000033728.14 transcript:ENSMUST00000142610.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc14 description:leucine rich repeat containing 14 [Source:MGI Symbol;Acc:MGI:2445060] MHTLVFLSTRQVLQCQPAACQALPLLPRELFPLLFKVAFMDKKTLVLRELVHTWPFPLLS FQQLLQECAHCSRALLQERLSTESMQAVILGLTARIHTQETEAGTQPLCST >ENSMUSP00000121982.1 pep:known chromosome:GRCm38:15:76710643:76715084:1 gene:ENSMUSG00000033728.14 transcript:ENSMUST00000137649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc14 description:leucine rich repeat containing 14 [Source:MGI Symbol;Acc:MGI:2445060] MHTLVFLSTRQVLQCQPAACQALPLLPRELFPLLFKVAFMDKKTLVLRELVHTWPFPLLS FQQLLQECAHCSRALLQERLSTESMQAVILGLTARIHTQETEAGTQPLCRKHALRVLDMT GLLDDGVEQDPETMSMWDCTAAVARTCIAQQQGGTAEPGLSPVPVEIRVDLRVNRASYTF LREALQSSVASPLRLCCRDLRAEDLPMRNTVALLQLLDAGCLRRIDLRFNNLGLRGLSVI IPHVARFQHLASLRLHYVHGDSRQPSVDGEDNFRYFLAQMGRFMCLRELSMGSSLLSGRL DQLLSTLQRPLESLELAFCALLPEDLRFLAQSSHAAHLKKLDLSGNDLSGNQLTPFQGLL QAVATTLLHLELTECQLADAQLLATLPTLTRCASLRYLGLYGNPLSMAGLKELLRDSVVQ AELRTVVHPFPVDCYEGLPWPPPASVLLEASINEEKFARVEAELHQLLLASGRAHVLWTT DIYGRLAADYFSL >ENSMUSP00000122845.1 pep:known chromosome:GRCm38:15:76710649:76712923:1 gene:ENSMUSG00000033728.14 transcript:ENSMUST00000155225.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc14 description:leucine rich repeat containing 14 [Source:MGI Symbol;Acc:MGI:2445060] MHTLVFLSTR >ENSMUSP00000115446.1 pep:known chromosome:GRCm38:15:76710731:76715091:1 gene:ENSMUSG00000033728.14 transcript:ENSMUST00000155735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc14 description:leucine rich repeat containing 14 [Source:MGI Symbol;Acc:MGI:2445060] MHTLVFLSTRQVLQCQPAACQALPLLPRELFPLLFKVAFMDKKTLVLRELVHTWPFPLLS FQQLLQECAHCSRALLQERLSTESMQAVILGLTARIHTQETEAGTQPLCRKHALRVLDMT GLLDDGVEQDPETMSMWDCTAAVARTCIAQQQGGTAEPGLSPVPVEIRVDLRVNRASYTF LREALQSSVASPLRLCCRDLRAEDLPMRNTVALLQLLDAGCLRRIDLRFNNLGLRGLSVI IPHVARFQHLASLRLHYVHGDSRQPSVDGEDNFRYFLAQMGRFMCLRELSMGSSLLSGRL DQLLSTLQRPLESLELAFCALLPEDLRFLAQSSHAAHLKKLDLSGNDLSGNQLTPFQGLL QAVATTLLHLELTECQLADAQLLATLPTLTRCASLRYLGLYGNPLSMAGLKELLRDSVVQ AELRTVVHPFPVDCYEGLPWPPPASVLLEASINEEKFARVEAELHQLLLASGRAHVLWTT DIYGRLAADYFSL >ENSMUSP00000140558.1 pep:known chromosome:GRCm38:1:133181321:133301219:1 gene:ENSMUSG00000041757.15 transcript:ENSMUST00000187285.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha6 description:pleckstrin homology domain containing, family A member 6 [Source:MGI Symbol;Acc:MGI:2388662] MSNKTGGKRSATINSDIANHNMVSEVPPERPNIRATRTSRKAIAFGKRAHSMKRNPNAPV TKAGWLYKQASSGVKQWNKRWFVLVDRCLFYYKDEKQESILGSIPLLSFRVAAVQPSDNI SRKHTFKAEHAGVRTYFFSAESPEEQEAWIQAMGEAARVQIPPAQKSVPQPVRHSLEKPD SENIPPSKHHQQPPHNNLTKLEPEAKTRGEGDGRGCEKAERRPERPEVKKETLVKANGLP SGPETASEPGSPYPDGPRVPGGGEHPAQPNGWQYSSPSRPGSTAFPPHDGDSGGQRRSFP PRTDPDKIAQRKSSMNQLQQWVNLRRGVPPPEDLRSPSRFYPMPRRVPDYYNPYSSQYPD DYQYYPPGVRPDSICSMPAYDRISPPWALEDKRHSFRNGGGPTYQLHEWKESTSYGRQDG TVWIPSPSRQPVFYDELDAASGSLRRLSLQPRSHSVPRSPSQGSYSRARIYSPVRSPSAR FDRLPPRSEDIYADPAAYVMRRSISSPKVPAYPEVFRDGLHTFKLNEQDTDKLLGKLCEQ NKVVREQERLVQQLRAEKESLESALMGTHQELEMFGSQPAYPEKLLHKKESLQNQLINIR VELSQATTALTNSTVVYENLESEVSALHDELWEQLNLDIQNEVLNRQIQKEIWRIQDVME GLRKNNPSRGTDTAKHRGGLGPSATYSSNSPASPLSSASLTSPLSPFSMVSGSQGSPTKP GSSEPKTGYETSKKDPSQTSPLGTPRDINLVPTRQEVEAEKQAALNKVGIVPPRTKSPAE EELTPSAVVRRTTNGLTNGLSSRERPKSAVFSGEGKVKMSVEEQMDRMRRHQSGSMKEKR RSLQLPASPAPEPSTRPAYKVVRRHRSIHEVDISNLEAALRAEEPGGQAYETPREEIARL RKMELEPQHYDVDISKELSTPDKVLIPERYIDLEPDTPLSPEELKEKQKKVERIKTLIAK SSMQNVVPIGEGDSVDVPQDSESQLQEQEKRIEISCALATEASRRGRMLSVQCATPSPPT SPASPTPPVNPLSSDRPRGADSSHTMRV >ENSMUSP00000048214.8 pep:known chromosome:GRCm38:1:133246106:133303435:1 gene:ENSMUSG00000041757.15 transcript:ENSMUST00000038295.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha6 description:pleckstrin homology domain containing, family A member 6 [Source:MGI Symbol;Acc:MGI:2388662] MSNKTGGKRSATINSDIANHNMVSEVPPERPNIRATRTSRKAIAFGKRAHSMKRNPNAPV TKAGWLYKQASSGVKQWNKRWFVLVDRCLFYYKDEKQESILGSIPLLSFRVAAVQPSDNI SRKHTFKAEHAGVRTYFFSAESPEEQEAWIQAMGEAARVQIPPAQKSVPQPVRHSLEKPD SENIPPSKHHQQPPHNNLTKLEPEAKTRGEGDGRGCEKAERRPERPEVKKETLVKANGLP SGPETASEPGSPYPDGPRVPGGGEHPAQPNGWQYSSPSRPGSTAFPPHDGDSGGQRRSFP PRTDPDKIAQRKSSMNQLQQWVNLRRGVPPPEDLRSPSRFYPMPRRVPDYYNPYSSQYPD DYQYYPPGVRPDSICSMPAYDRISPPWALEDKRHSFRNGGGPTYQLHEWKESTSYGRQDG TVWIPSPSRQPVFYDELDAASGSLRRLSLQPRSHSVPRSPSQGSYSRARIYSPVRSPSAR FDRLPPRSEDIYADPAAYVMRRSISSPKYDYLGDRRPVPAGLFPYNYPSSPTVHDKMDEL LDLQLQRNLEYLDQQMSESETLISMVNRMVENSSPRAHLFMQVPAYPEVFRDGLHTFKLN EQDTDKLLGKLCEQNKVVREQERLVQQLRAEKESLESALMGTHQELEMFGSQPAYPEKLL HKKESLQNQLINIRVELSQATTALTNSTVVYENLESEVSALHDELWEQLNLDIQNEVLNR QIQKEIWRIQDVMEGLRKNNPSRGTDTAKHRGGLGPSATYSSNSPASPLSSASLTSPLSP FSMVSGSQGSPTKPGSSEEPGPPRPPLPKAYVPLESPPTVPPLPNESRFWPYPNSPSWHR SGETAKGQPKTGYETSKKDPSQTSPLGTPRDINLVPTRQEVEAEKQAALNKVGIVPPRTK SPAEEELTPSAVVRRTTNGLTNGLSSRQERPKSAVFSGEGKVKMSVEEQMDRMRRHQSGS MKEKRRSLQLPASPAPEPSTRPAYKVVRRHRSIHEVDISNLEAALRAEEPGGQAYETPRE EIARLRKMELEPQHYDVDISKELSTPDKVLIPERYIDLEPDTPLSPEELKEKQKKVERIK TLIAKSSMQNVVPIGEGDSVDVPQDSESQLQEQEKRIEISCALATEASRRGRMLSVQCAT PSPPTSPASPTPPVNPLSSDRPRGADSSHTMRV >ENSMUSP00000139794.1 pep:known chromosome:GRCm38:1:133259009:133301216:1 gene:ENSMUSG00000041757.15 transcript:ENSMUST00000186917.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha6 description:pleckstrin homology domain containing, family A member 6 [Source:MGI Symbol;Acc:MGI:2388662] MSNKTGGKRSATINSDIANHNMVSEVPPERPNIRATRTSRKAIAFGKRAHSMKRNPNAPV TKAGWLYKQASSGVKQWNKRWFVLVDRCLFYYKDEKQESILGSIPLLSFRVAAVQPSDNI SRKHTFKVTVHWVDEAGASSTHCLSPQAEHAGVRTYFFSAESPEEQEAWIQAMGEAARVQ IPPAQKSVPQPVRHSLEKPDSENIPPSKHHQQPPHNNLTKLEPEAKTRGEGDGRGCEKAE RRPERPEVKKETLVKANGLPSGPETASEPGSPYPDGPRVPGGGEHPAQPNGWQYSSPSRP GSTAFPPHDGDSGGQRRSFPPRTDPDKIAQRKSSMNQLQQWVNLRRGVPPPEDLRSPSRF YPMPRRVPDYYNPYSSQYPDDYQYYPPGVRPDSICSMPAYDRISPPWALEDKRHSFRNGG GPTYQLHEWKESTSYGRQDGTVWIPSPSRQPVFYDELDAASGSLRRLSLQPRSHSVPRSP SQGSYSRARIYSPVRSPSARFDRLPPRSEDIYADPAAYVMRRSISSPKVPAYPEVFRDGL HTFKLNEQDTDKLLGKLCEQNKVVREQERLVQQLRAEKESLESALMGTHQELEMFGSQPA YPEKLLHKKESLQNQLINIRVELSQATTALTNSTVVYENLESEVSALHDELWEQLNLDIQ NEVLNRQIQKEIWRIQDVMEGLRKNNPSRGTDTAKHRGGLGPSATYSSNSPASPLSSASL TSPLSPFSMVSGSQGSPTKPGSSEPKTGYETSKKDPSQTSPLGTPRDINLVPTRQEVEAE KQAALNKVGIVPPRTKSPAEEELTPSAVVRRTTNGLTNGLSSRQERPKSAVFSGEGKVKM SVEEQMDRMRRHQSGSMKEKRRSLQLPASPAPEPSTRPAYKVVRRHRSIHEVDISNLEAA LRAEEPGGQAYETPREEIARLRKMELEPQHYDVDISKELSTPDKVLIPERYIDLEPDTPL SPEELKEKQKKVERIKTLIAKSSMQNVVPIGEGDSVDVPQDSESQLQEQEKRIEISCALA TEASRRGRMLSVQCATPSPPTSPASPTPPVNPLSSDRPRGADSSHTMRV >ENSMUSP00000139584.1 pep:known chromosome:GRCm38:1:133272217:133301487:1 gene:ENSMUSG00000041757.15 transcript:ENSMUST00000190186.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha6 description:pleckstrin homology domain containing, family A member 6 [Source:MGI Symbol;Acc:MGI:2388662] XPDSENIPPSKHHQQPPHNNLTKLEPEAKTRGEGDGRGCEKAERRPERPEVKKETLVKAN GLPSGPETASEPGSPYPDGPRVPGGGEHPAQPNGWQYSSPSRPGSTAFPPHDGDSGGQRR SFPPRTDPDKIAQRKSSMNQLQQWVNLRRGVPPPEDLRSPSRFYPMPRRVPDYYNPYSSQ YPDDYQYYPPGVRPDSICSMPAYDRISPPWALEDKRHSFRNGGGPTYQLHEWKESTSYGR QDGTVWIPSPSRQPVFYDELDAASGSLRRLSLQPRSHSVPRSPSQGSYSRARIYSPVRSP SARFDRLPPRSEDIYADPAAYVMRRSISSPKYDYLGDRRPVPAGLFPYNYPSSPTVHDKM VPAYPEVFRDGLHTFKLNEQDTDKLLGKLCEQNKVVREQERLVQQLRAEKESLESALMGT HQELEMFGSQPAYPEKLLHKKESLQNQLINIRVELSQATTALTNSTVVYENLESEVSALH DELWEQLNLDIQNEVLNRQIQKEIWRIQDVMEGLRKNNPSRGTDTAKHRGGLGPSATYSS NSPASPLSSASLTSPLSPFSMVSGSQGSPTKPGSSEPKTGYETSKKDPSQTSPLGTPRDI NLVPTRQEVEAEKQAALNKVGIVPPRTKSPAEEELTPSAVVRRTTNGLTNGLSSRQERPK SAVFSGEGKVKMSVEEQMDRMRRHQSGSMKEKRRSLQLPASPAPEPSTRPAYKVVRRHRS IHEVDISNLEAALRAEEPGGQAYETPREEIARLRKMELEPQHYDVDISKELSTPDKVLIP ERYIDLEPDTPLSPEELKEKQKKVERIKTLIAKSSMQNVVPIGEGDSVDVPQDSESQLQE QEKRIEISCALATEASRRGRMLSVQCATPSPPTSPASPTPPVNPLSSDRPRGADSSHTMR V >ENSMUSP00000139958.1 pep:known chromosome:GRCm38:1:133274248:133281811:1 gene:ENSMUSG00000041757.15 transcript:ENSMUST00000187299.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha6 description:pleckstrin homology domain containing, family A member 6 [Source:MGI Symbol;Acc:MGI:2388662] XRARIYSPVRSPSARFDRLPPRSEDIYADPAAYVMRRSISSPKYDYLGDRRPVPAGLFPY NYPSSPTVHDKMDELLDLQLQRNLEYLDQQVPAYPEVFRDGLHTFKLNEQDTDKLLGKLC EQ >ENSMUSP00000139613.1 pep:known chromosome:GRCm38:1:133274254:133284955:1 gene:ENSMUSG00000041757.15 transcript:ENSMUST00000189598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha6 description:pleckstrin homology domain containing, family A member 6 [Source:MGI Symbol;Acc:MGI:2388662] XRIYSPVRSPSARFDRLPPRSEDIYADPAAYVMRRSISSPKKLLGKLCEQNKVVREQERL VQQLRAEKESLESALMGTHQELEMFGSQPAYPEKLLHKKESLQNQLINIRVELSQATTAL TNSTVVYENLESEVSALHDELWEQLNLDIQNEVLNRQIQKEIWRIQDVMEGLRKN >ENSMUSP00000100703.2 pep:known chromosome:GRCm38:1:133246097:133303435:1 gene:ENSMUSG00000041757.15 transcript:ENSMUST00000105082.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha6 description:pleckstrin homology domain containing, family A member 6 [Source:MGI Symbol;Acc:MGI:2388662] MSNKTGGKRSATINSDIANHNMVSEVPPERPNIRATRTSRKAIAFGKRAHSMKRNPNAPV TKAGWLYKQASSGVKQWNKRWFVLVDRCLFYYKDEKQESILGSIPLLSFRVAAVQPSDNI SRKHTFKVTVHWVDEAGASSTHCLSPQAEHAGVRTYFFSAESPEEQEAWIQAMGEAARVQ IPPAQKSVPQPVRHSLEKPDSENIPPSKHHQQPPHNNLTKLEPEAKTRGEGDGRGCEKAE RRPERPEVKKETLVKANGLPSGPETASEPGSPYPDGPRVPGGGEHPAQPNGWQYSSPSRP GSTAFPPHDGDSGGQRRSFPPRTDPDKIAQRKSSMNQLQQWVNLRRGVPPPEDLRSPSRF YPMPRRVPDYYNPYSSQYPDDYQYYPPGVRPDSICSMPAYDRISPPWALEDKRHSFRNGG GPTYQLHEWKESTSYGRQDGTVWIPSPSRQPVFYDELDAASGSLRRLSLQPRSHSVPRSP SQGSYSRARIYSPVRSPSARFDRLPPRSEDIYADPAAYVMRRSISSPKVPAYPEVFRDGL HTFKLNEQDTDKLLGKLCEQNKVVREQERLVQQLRAEKESLESALMGTHQELEMFGSQPA YPEKLLHKKESLQNQLINIRVELSQATTALTNSTVVYENLESEVSALHDELWEQLNLDIQ NEVLNRQIQKEIWRIQDVMEGLRKNNPSRGTDTAKHRGGLGPSATYSSNSPASPLSSASL TSPLSPFSMVSGSQGSPTKPGSSEPKTGYETSKKDPSQTSPLGTPRDINLVPTRQEVEAE KQAALNKVGIVPPRTKSPAEEELTPSAVVRRTTNGLTNGLSSRQERPKSAVFSGEGKVKM SVEEQMDRMRRHQSGSMKEKRRSLQLPASPAPEPSTRPAYKVVRRHRSIHEVDISNLEAA LRAEEPGGQAYETPREEIARLRKMELEPQHYDVDISKELSTPDKVLIPERYIDLEPDTPL SPEELKEKQKKVERIKTLIAKSSMQNVVPIGEGDSVDVPQDSESQLQEQEKRIEISCALA TEASRRGRMLSVQCATPSPPTSPASPTPPVNPLSSDRPRGADSSHTMRV >ENSMUSP00000077423.6 pep:known chromosome:GRCm38:1:157135182:157412595:-1 gene:ENSMUSG00000070565.11 transcript:ENSMUST00000078308.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal2 description:RAS protein activator like 2 [Source:MGI Symbol;Acc:MGI:2443881] MELSPSSGGAAEALSWPEMFTGLESDSPLPPEDLDAVVPVSGAVAGGMLDRILLESVCQQ QSWVRVFDVKGPPTHRLSCGQSPYTETTSWERKYCILTDSQLVLLNKEKEMPVEGQDQQT DSTKGRCLRRTVSVPSEGQFPEYPQEGTTKLEVPAERSPRRRSISGTSTSEKPNSMDTAN TSPFKVPGFFSKRLKGSIKRTKSQSKLDRNTSFRLPSLRNADDRSRGLPKLKESRSHESL LSPCSAVECLDLGRGEPVSVKPLHSSILGQDFCFEVTYLSGSKCFSCSSASERDKWMENL RRTVQPNKDNCRRAENVLRLWIIEAKDLAPKKKYFCELCLDDTLFARTTSKTKADNIFWG EHFEFYSLPPLHSITVHIYKDVEKKKKKDKNNYVGLVNIPTASVTGRQFVEKWYPVSTPT PNKGKTGGPSIRIKSRFQTITILPMEQYKEFAEFITSNYTMLCSVLEPVISVRNKEELAC ALVHILQSTGRAKDFLTDLVMSEVDRCGEHDVLIFRENTIATKSIEEYLKLVGQQYLHDA LGEFIKALYESDENCEVDPSKCSSSELMDHQSNLKMCCELAFCKIINSYCVFPRELKEVF ASWKQQCLNRGKQDISERLISASLFLRFLCPAIMSPSLFNLMQEYPDDRTSRTLTLIAKV IQNLANFAKFGNKEEYMAFMNDFLEHEWGGMKRFLLEISNPDTISNTPGFDGYIDLGREL SVLHSLLWEVVSQLDKGENSFLQATVAKLGPLPRVLADITKSLTNPTPIQQQLRRFAEHS SSPNVSGSLSSGLQRICEDPTDSDLHKLKSPSQDNTDSYFRGKTLLLVQQASSQSMTYSE KDEKENSLPNGRSISLMDLQDTHAAQAEHASVMLDVPMRLAGSQLSITQVASIKQLRETQ STPQSAPQVRRPLHPALNQPGSLQPLSFQNPVYHLNNPVPAMPKASADSSLENLSTASSR SQSNSEDFKLSGPSNSSMEDFTKRSSHSEDFSRRHTVPDRHIPLALPRQNSTGQSQIRKL DHSGLGARAKAPPSLPHSASLRSTGSMSVASAALMAEPVQNGSRSRQQSSSSRESPVPKV RAIQRQQTQQVQSPVDSATMSPVERTAAWVLNNGQYEEDVEETEQNQDEAKHAEKYEQEI TKLKERLRVSSRRLEEYERRLLVQEQQMQKLLLEYKARLEDSEERLRRQQEEKDSQMKSI ISRLMAVEEELKKDHAEMQAVIDAKQKIIDAQEKRIVSLDSANTRLMSALTQVKERYSMQ VRNGISPTNPTKLSITENGEFKNSSC >ENSMUSP00000114964.1 pep:known chromosome:GRCm38:1:157140534:157411934:-1 gene:ENSMUSG00000070565.11 transcript:ENSMUST00000132699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal2 description:RAS protein activator like 2 [Source:MGI Symbol;Acc:MGI:2443881] MFTGLESDSPLPPEDLDAVVPVSGAVAGGMLDRILLESVCQQQSWVRVFDVKGPPTHRLS CGQSPYTETTSWERKYCILTDSQLVLLNKEKEMPVEGQDQQTDSTKGRCLRRTVSVPSEG QFPEYPQEGTTKLEVPAERSPRRRSISGTSTSEKPNSMDTANTSPFKVPGFFSKRLKGSI KRTKSQSKLDRNTSFRLPSLRNADDRSRGLPKLKESRSHESLLSPCSAVECLDLGRGEPV SVKPLHSSILGQDFCFEVTYLSGSKCFSCSSASERDKWMENLRRTVQPNKDNCRRAENVL RLWIIEAKDLAPKKKYFCELCLDDTLFARTTSKTKADNIFWGEHFEFYSLPPLHSITVHI YKDVEKKKKKDKNNYVGLVNIPTASVTGRQFVEKWYPVSTPTPNKGKTGGPSIRIKSRFQ TITILPMEQYKEFAEFITSNYTMLCSVLEPVISVRNKEELACALVHILQSTGRAKDFLTD LVMSEVDRCGEHDVLIFRENTIATKSIEEYLKLVGQQYLHDALGEFIKALYESDENCEVD PSKCSSSELMDHQSNLKMCCELAFCKIINSYCVFPRELKEVFASWKQQCLNRGKQDISER LISASLFLRFLCPAIMSPSLFNLMQEYPDDRTSRTLTLIAKVIQNLANFAKFGNKEEYMA FMNDFLEHEWGGMKRFLLEISNPDTISNTPGFDGYIDLGRELSVLHSLLWEVVSQLDKAT VAKLGPLPRVLADITKSLTNPTPIQQQLRRFAEHSSSPNVSGSLSSGLQRICEDPTDSDL HKLKSPSQDNTDSYFRGKTLLLVQQASSQSMTYSEKDEKENSLPNGRSISLMDLQDTHAA QAEHASVMLDVPMRLAGSQLSITQVASIKQLRETQSTPQSAPQVRRPLHPALNQPGSLQP LSFQNPVYHLNNPVPAMPKASADSSLENLSTASSRSQSNSEDFKLSGPSNSSMEDFTKRS SHSEDFSRRHTVPDRHIPLALPRQNSTGQSQIRKLDHSGLGARAKAPPSLPHSASLRSTG SMSVASAALMAEPVQNGSRSRQQSSSSRESPVPKVRAIQRQQTQQVQSPVDSATMSPVER TAAWVLNNGQYEEDVEETEQNQDEAKHAEKYEQEITKLKERLRVSSRRLEEYERRLLVQE QQMQKLLLEYKARLEDSEERLRRQQEEKDSQMKSIISRLMAVEEELKKDHAEMQAVIDAK QKIIDAQEKRIVSLDSANTRLMSALTQVKERYSMQVRNGISPTNPTKLSITENGEFKNSS C >ENSMUSP00000118367.1 pep:known chromosome:GRCm38:1:157175979:157244490:-1 gene:ENSMUSG00000070565.11 transcript:ENSMUST00000129880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal2 description:RAS protein activator like 2 [Source:MGI Symbol;Acc:MGI:2443881] MVQRQNSEWREDHIFFHHYSLIHNFSTEPRLLQESSLFIHFFPLHHSVIVFCMEKFSGWR MSLLSPGDVSEWLDSSTEEEKSLLSFLEVPAERSPRRRSISGTSTSEKPNSMDTANTSPF KVPGFFSKRLKGSIKRTKSQSKLDRNTSFRLPSLRNADDRSRGLPKLKESRSHESLLSPC SAVECLDLGRGEPVSVKPLHSSILGQDFCFEVTYLSGSKCFSCSSASERDKWMENLRRTV QPNKDNCRRAENVLRLWIIEAKDLAPKKKYFCELCLDDTLFARTTSKTKADNIFWGEHFE FYSLPPLHSITVHIYKDVEKKKK >ENSMUSP00000119623.1 pep:known chromosome:GRCm38:1:157176172:157256682:-1 gene:ENSMUSG00000070565.11 transcript:ENSMUST00000134543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal2 description:RAS protein activator like 2 [Source:MGI Symbol;Acc:MGI:2443881] MQTPEVPAERSPRRRSISGTSTSEKPNSMDTANTSPFKVPGFFSKRLKGSIKRTKSQSKL DRNTSFRLPSLRNADDRSRGLPKLKESRSHESLLSPCSAVECLDLGRGEPVSVKPLHSSI LGQDFCFEVTYLSGSKCFSCSSASERDKWMENLRRTVQPNKDNCRRAENVLRLWII >ENSMUSP00000116974.1 pep:known chromosome:GRCm38:1:157179099:157231191:-1 gene:ENSMUSG00000070565.11 transcript:ENSMUST00000143358.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasal2 description:RAS protein activator like 2 [Source:MGI Symbol;Acc:MGI:2443881] MLSEKLDSYLSVSEVEMGSSCDKEVPAERSPRRRSISGTSTSEKPNSMDTANTSPFKVPG FFSKRLKGSIKRTKSQSKLDRNTSFRLPSLRNADDRSRGLPKLKESRSHESLLSPCSAVE CLDLGRGEPVSVKPLHSSILGQDFCFE >ENSMUSP00000101477.2 pep:known chromosome:GRCm38:4:135975460:135987264:-1 gene:ENSMUSG00000028669.15 transcript:ENSMUST00000105851.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pithd1 description:PITH (C-terminal proteasome-interacting domain of thioredoxin-like) domain containing 1 [Source:MGI Symbol;Acc:MGI:1913443] MSHGHSHGGGGCRCAAEREEPPEQRGLAYGLYLRIDLERLQCLNESREGSGRGVFKPWEE RTDRSKFVESDADEELLFNIPFTGNVKLKGVIIMGEDDDSHPSEMRLYKNIPQMSFDDTE REPEQTFSLNRDITGELEYATKISRFSNVYHLSIHISKNFGADTTKIFYIGLRGEWTELR RHEVTICNYEASANPADHRVHQVTPQTHFIS >ENSMUSP00000119005.1 pep:known chromosome:GRCm38:4:135976396:135986322:-1 gene:ENSMUSG00000028669.15 transcript:ENSMUST00000147458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pithd1 description:PITH (C-terminal proteasome-interacting domain of thioredoxin-like) domain containing 1 [Source:MGI Symbol;Acc:MGI:1913443] MGEDDDSHPSEMRLYKNIPQMSFDDTEREPEQTFSLNRDITGELEYATKISRFSNVYHLS IHISKNFGADTTKIFYIGLRGEWTELRRHEVTICNYEASANPADHRVHQVTPQTHFIS >ENSMUSP00000117150.1 pep:known chromosome:GRCm38:4:135977207:135986917:-1 gene:ENSMUSG00000028669.15 transcript:ENSMUST00000123404.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pithd1 description:PITH (C-terminal proteasome-interacting domain of thioredoxin-like) domain containing 1 [Source:MGI Symbol;Acc:MGI:1913443] MGEDDDSHPSEMRLYKNIPQMSFDDTEREPEQTFSLNRDITGELEYATKISRFSNVYHLS IHISK >ENSMUSP00000091419.4 pep:known chromosome:GRCm38:9:8994329:9239101:-1 gene:ENSMUSG00000050730.16 transcript:ENSMUST00000093893.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap42 description:Rho GTPase activating protein 42 [Source:MGI Symbol;Acc:MGI:1918794] MGLPTLEFSDSYLDSPDFRERLQCHEIELERTNKFIKELLKDGSLLIGALRNLSMAVQKF SQSLQDFQFECIGDAETDDEISIAQSLKEFARLLIAVEEERRRLIQNANDVLIAPLEKFR KEQIGAAKADSQIGREHQNFYEASLEYVFKIQEVQEKKKFEFVEPLLSFLQGLFTFYHEG YELAQEFAPYKQQLQFNLQNTRNNFESTRQEVERLMQRMKSANQDYRPPSQWTMEGYLYV QEKRPLGFTWTKHYCTYDKGSKMFTMSVSDVKASGKMNGLVTGSPEMFKLKSCIRRKTDS IDKRFCFDIEVVERHGIITLQAFSEANRKLWLEAMDGKEPIYTLPAIISKKEEMYLNEAG FNFVRKCIQAVEMRGITILGLYRIGGVNSKVQKLMNTTFSPKSPPDMDIDIELWDNKTIT SGLKNYLRCLAEPLMTYKLHKDFIIAVKSDDQNYRVEAVHALVHKLPEKNREMLDILIKH LLKVSLHSQQNLMTISNLGVIFGPTLMRAQEETVAAMMNIKFQNIVVEILIEHYEKIFHT APDPNIPLPQPQSRSGSRRTRAICLSTGSRKPRGRYTPCLAEPDSDSYSSSPDSTPMGSI ESLSSHSSEQNSTTKSTACQPREKSGGIPWITTPSSSNGQKSQGLWTTSPESSSREDATK TDVESDCQSVASITIPGNVSPPIDLVKKGPYGLSGLKRSSASSSLRSISAAEGNKSYSGS IQSLTSIGSKESPKAIPNPELPPKMCRRLRLDTASSNGYQRPGSVVAAKAQLFENAGSPK PVSSGRQAQAMYSCKAEHSHELSFPQGAIFSNVHPSVEPGWLKATYEGRTGLVPENYVVF L >ENSMUSP00000138500.1 pep:known chromosome:GRCm38:9:9147356:9239026:-1 gene:ENSMUSG00000050730.16 transcript:ENSMUST00000183182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap42 description:Rho GTPase activating protein 42 [Source:MGI Symbol;Acc:MGI:1918794] MGLPTLEFSDSYLDSPDFRERLQCHEIELERTNKFIKELLKDGSLLIGALRNLSMAVQKF SQSLQDFQFECIGDAETDDEISIAQSLKEFARLLIAVEEERRRLECECPRRPEDSRVLSY LMVGTIFQFSARTANSLDC >ENSMUSP00000023396.9 pep:known chromosome:GRCm38:16:8637674:8657531:1 gene:ENSMUSG00000022711.15 transcript:ENSMUST00000023396.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmm2 description:phosphomannomutase 2 [Source:MGI Symbol;Acc:MGI:1859214] MATLCLFDMDGTLTAPRQKITEEMDGFLQKLRQKTKIGVVGGSDFEKLQEQLGNDVVEKY DYVFPENGLVAYKDGKLLCKQNIQGHLGEDVIQDLINYCLSYIANIKLPKKRGTFIEFRN GMLNVSPIGRSCSQEERIEFYELDKKEHIRQKFVADLRKEFAGKGLTFSIGGQISIDVFP EGWDKRYCLRHLEHAGYKTIYFFGDKTMPGGNDHEIFTDPRTVGYTVTAPEDTRRICEGL FP >ENSMUSP00000133607.1 pep:known chromosome:GRCm38:X:107667964:107681173:1 gene:ENSMUSG00000031241.17 transcript:ENSMUST00000172682.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbx22 description:T-box 22 [Source:MGI Symbol;Acc:MGI:2389465] MDTEIPTAASSLPGMALSSRAHAFSVEALMGRPSKRKAQDPREEMQPELQEEQFVEEGEE ILRSPSRDSQQPDVCFLLFGSR >ENSMUSP00000128247.2 pep:known chromosome:GRCm38:X:107667964:107688975:1 gene:ENSMUSG00000031241.17 transcript:ENSMUST00000168174.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx22 description:T-box 22 [Source:MGI Symbol;Acc:MGI:2389465] MDTEIPTAASSLPGMALSSRAHAFSVEALMGRPSKRKAQDPREEMQPELQEEQFVEEGEE ILRSPSRDSQQPEKRLKAESSKTVFSCSDESNSQESLQEESVIQVELQGSDLWKRFHDIG TEMIITKAGRRMFPSVRIKVKGMDPVKQYYVILDVVPVDSKRYRYVYHSSQWMVAGNTDH SCITPRFYVHPDSPCSGENWMRQIISFDRVKLTNNEMDDKGHIILQSMHKYNPRVHVVEQ DSRIDLSLIESFPTEGVKTFSFKETEFTTVTAYQNQQITKLKIDRNPFAKGFRDPGRNRG VLDGFLETYPWMPSFSMDFKTFVTDTQSGSSGSSPVTSSGGAPSPLNSLLSPSCSPPMVY IPPSSFGMTYPDAYLHSVNIPFCYRICPTNNWRSQPFVLPTPERLPSFIIPQTLPPLMME VPVVSSRGIINPNSGLHEDCNGQCLQASHSANQMLYGLQNPGNIFHPNAIAQEAISYPFH PPNGCYRYTISMPPRLENVASHLSENGTSQISFTEGSCDHSHWYPAINHYL >ENSMUSP00000112544.1 pep:known chromosome:GRCm38:X:107676512:107686897:1 gene:ENSMUSG00000031241.17 transcript:ENSMUST00000118986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx22 description:T-box 22 [Source:MGI Symbol;Acc:MGI:2389465] MALSSRAHAFSVEALMGRPSKRKAQDPREEMQPELQEEQFVEEGEEILRSPSRDSQQPEK RLKAESSKTVFSCSDESNSQESLQEESVIQVELQGSDLWKRFHDIGTEMIITKAGRRMFP SVRIKVKGMDPVKQYYVILDVVPVDSKRYRYVYHSSQWMVAGNTDHSCITPRFYVHPDSP CSGENWMRQIISFDRVKLTNNEMDDKGHIILQSMHKYNPRVHVVEQDSRIDLSLIESFPT EGVKTFSFKETEFTTVTAYQNQQITKLKIDRNPFAKGFRDPGRNRGVLDGFLETYPWMPS FSMDFKTFVTDTQSGSSGSSPVTSSGGAPSPLNSLLSPSCSPPMVYIPPSSFGMTYPDAY LHSVNIPFCYRICPTNNWRSQPFVLPTPERLPSFIIPQTLPPLMMEVPVVSSRGIINPNS GLHEDCNGQCLQASHSANQMLYGLQNPGNIFHPNAIAQEAISYPFHPPNGCYRYTISMPP RLENVASHLSENGTSQISFTEGSCDHSHWYPAINHYL >ENSMUSP00000063611.5 pep:known chromosome:GRCm38:X:107678963:107688978:1 gene:ENSMUSG00000031241.17 transcript:ENSMUST00000068451.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx22 description:T-box 22 [Source:MGI Symbol;Acc:MGI:2389465] MSLLEEIILQSMHKYNPRVHVVEQDSRIDLSLIESFPTEGVKTFSFKETEFTTVTAYQNQ QITKLKIDRNPFAKGFRDPGRNRGVLDGFLETYPWMPSFSMDFKTFVTDTQSGSSGSSPV TSSGGAPSPLNSLLSPSCSPPMVYIPPSSFGMTYPDAYLHSVNIPFCYRICPTNNWRSQP FVLPTPERLPSFIIPQTLPPLMMEVPVVSSRGIINPNSGLHEDCNGQCLQASHSANQMLY GLQNPGNIFHPNAIAQEAISYPFHPPNGCYRYTISMPPRLENVASHLSENGTSQISFTEG SCDHSHWYPAINHYL >ENSMUSP00000127321.1 pep:known chromosome:GRCm38:X:107679015:107687622:1 gene:ENSMUSG00000031241.17 transcript:ENSMUST00000168403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx22 description:T-box 22 [Source:MGI Symbol;Acc:MGI:2389465] MSLLEEIILQSMHKYNPRVHVVEQDSRIDLSLIESFPTEGVKTFSFKETEFTTVTAYQNQ QITKLKIDRNPFAKGFRDPGRNRGVLDGFLETYPWMPSFSMDFKTFVTDTQSGSSGSSPV TSSGGAPSPLNSLLSPSCSPPMVYIPPSSFGMTYPDAYLHSVNIPFCYRICPTNNWRSQP FVLPTPERLPSFIIPQTLPPLMMEVPVVSSRGIINPNSGLHEDCNGQCLQASHSANQMLY GLQNPGNIFHPNAIAQEAISYPFHPPNGCYRYTISMPPRLENVASHLSENGTSQISFTEG SCDHSHWYPAINHYL >ENSMUSP00000125725.1 pep:known chromosome:GRCm38:6:8259450:8597480:1 gene:ENSMUSG00000107705.1 transcript:ENSMUST00000162564.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Umad1 description:UMAP1-MVP12 associated (UMA) domain containing 1 [Source:MGI Symbol;Acc:MGI:3840148] MFHFFRKPAESKKPSGPEPEADGFVLLGATANEVRCKTSEAEGSQALETGKEDTSSVTVS GPETENQTGQTLQNNSLTAELLSDVPFTLAPHVLAAQGTISDLPDHVLSYDVGDNLSRFC GQAKISTYSNL >ENSMUSP00000020485.3 pep:known chromosome:GRCm38:10:82650433:82690650:-1 gene:ENSMUSG00000020251.13 transcript:ENSMUST00000020485.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glt8d2 description:glycosyltransferase 8 domain containing 2 [Source:MGI Symbol;Acc:MGI:1922032] MHMAFLRKVNQVLLLLLVLTLCGILYKKVHKGAVLKDKADVDSESPEDMEEEIPVVICAA AGRMGAAMAAINSIYSNTDANLVFYVVGLRSTLPRIRKWIEHSKLREINFKIVEFNPTVL KGKIRPDSSRPELLQPLNFVRFYLPLLVHQHEKVIYLDDDVIVQGDIQELYDTTLALGHA AAFSDDCDLPSAQDIHRLVGLQNTYMGYLDYRKKTIKDLGISPSTCSFNPGVIVANMTEW KHQRITKQLEKWMQKNVEENLYSSSLGGGVATSPMLIVFHGKYSTINPLWHIRHLGWNPD ARYSEHFLQEAKLLHWNGRHKPWDFPSVHNDLWESWFVPDPAGIFKLHHNR >ENSMUSP00000115379.1 pep:known chromosome:GRCm38:10:82651561:82652980:-1 gene:ENSMUSG00000020251.13 transcript:ENSMUST00000150269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glt8d2 description:glycosyltransferase 8 domain containing 2 [Source:MGI Symbol;Acc:MGI:1922032] XENLYSSSLGGGVATSPMLIVFHGKYSTINPLWHIRHLDTCAKWKKEKDRPWSRLSLTLV NY >ENSMUSP00000069188.6 pep:known chromosome:GRCm38:10:82652543:82690617:-1 gene:ENSMUSG00000020251.13 transcript:ENSMUST00000065815.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glt8d2 description:glycosyltransferase 8 domain containing 2 [Source:MGI Symbol;Acc:MGI:1922032] MHMAFLRKVNQVLLLLLVLTLCGILYKKVHKGAVLKDKADVDSESPEDMEEEIPVVICAA AGRMGAAMAAINSIYSNTDANLVFYVVGLRSTLPRIRKWIEHSKLREINFKIVEFNPTVL KGKIRPDSSRPELLQPLNFVRFYLPLLVHQHEKVIYLDDDVIVQGDIQELYDTTLALGHA AAFSDDCDLPSAQDIHRLVGLQNTYMGYLDYRKKTIKDLGISPSTCSFNPGVIVANMTEW KHQRITKQLEKWMQKNVEENLYSSSLGGGVATSPMLIVFHGKYSTINPLWHIRHLGSESA NANGVFSCQREVSIPGFKILTRVGEMAPVRCAGCSLRRPRFNSQLPTVSNSSSRGSDTLM QYM >ENSMUSP00000135897.1 pep:known chromosome:GRCm38:10:82654772:82690617:-1 gene:ENSMUSG00000020251.13 transcript:ENSMUST00000125505.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glt8d2 description:glycosyltransferase 8 domain containing 2 [Source:MGI Symbol;Acc:MGI:1922032] MHMAFLRKVNQVLLLLLVLTLCGILYKKVHKGAVLKDKAENGSNILN >ENSMUSP00000134856.1 pep:known chromosome:GRCm38:10:82664637:82670468:-1 gene:ENSMUSG00000020251.13 transcript:ENSMUST00000155529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glt8d2 description:glycosyltransferase 8 domain containing 2 [Source:MGI Symbol;Acc:MGI:1922032] MEEEIPVVICAAAGRMGAAMAAINSIYSNTDANLVFYVVGLRSTL >ENSMUSP00000043173.5 pep:known chromosome:GRCm38:7:19013284:19023538:-1 gene:ENSMUSG00000040891.6 transcript:ENSMUST00000036018.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxa3 description:forkhead box A3 [Source:MGI Symbol;Acc:MGI:1347477] MLGSVKMEAHDLAEWSYYPEAGEVYSPVNPVPTMAPLNSYMTLNPLSSPYPPGGLQASPL PTGPLAPPAPTAPLGPTFPSLGTGGSTGGSASGYVAPGPGLVHGKEMAKGYRRPLAHAKP PYSYISLITMAIQQAPGKMLTLSEIYQWIMDLFPYYRENQQRWQNSIRHSLSFNDCFVKV ARSPDKPGKGSYWALHPSSGNMFENGCYLRRQKRFKLEEKAKKGNSATSASRNGTAGSAT SATTTAATAVTSPAQPQPTPSEPEAQSGDDVGGLDCASPPSSTPYFSGLELPGELKLDAP YNFNHPFSINNLMSEQTSTPSKLDVGFGGYGAESGEPGVYYQSLYSRSLLNAS >ENSMUSP00000018681.7 pep:known chromosome:GRCm38:11:97689820:97700497:-1 gene:ENSMUSG00000018537.16 transcript:ENSMUST00000018681.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf2 description:polycomb group ring finger 2 [Source:MGI Symbol;Acc:MGI:99161] MHRTTRIKITELNPHLMCALCGGYFIDATTIVECLHSFCKTCIVRYLETNKYCPMCDVQV HKTRPLLSIRSDKTLQDIVYKLVPGLFKDEMKRRRDFYAAYPLTEVPNGSNEDRGEVLEQ EKGALGDDEIVSLSIEFYEGVRDREEKKNLTENGDGDKEKTGVRFLRCPAAMTVMHLAKF LRNKMDVPSKYKVEILYEDEPLKEYYTLMDIAYIYPWRRNGPLPLKYRVQPACKRLTLPT VPTPSEGTNTSGASECESVSDKAPSPATLPATSSSLPSPATPSHGSPSSHGPPATHPTSP TPPSTAAGTTTATNGGTSNCLQTPSSTSRGRKMTVNGAPCPP >ENSMUSP00000099437.1 pep:known chromosome:GRCm38:11:97689820:97699641:-1 gene:ENSMUSG00000018537.16 transcript:ENSMUST00000103148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf2 description:polycomb group ring finger 2 [Source:MGI Symbol;Acc:MGI:99161] MHRTTRIKITELNPHLMCALCGGYFIDATTIVECLHSFCKTCIVRYLETNKYCPMCDVQV HKTRPLLSIRSDKTLQDIVYKLVPGLFKDEMKRRRDFYAAYPLTEVPNGSNEDRGEVLEQ EKGALGDDEIVSLSIEFYEGVRDREEKKNLTENGDGDKEKTGVRFLRCPAAMTVMHLAKF LRNKMDVPSKYKVEILYEDEPLKEYYTLMDIAYIYPWRRNGPLPLKYRVQPACKRLTLPT VPTPSEGTNTSGASECESVSDKAPSPATLPATSSSLPSPATPSHGSPSSHGPPATHPTSP TPPSTAAGTTTATNGGTSNCLQTPSSTSRGRKMTVNGAPCPP >ENSMUSP00000099438.2 pep:known chromosome:GRCm38:11:97689792:97692407:-1 gene:ENSMUSG00000018537.16 transcript:ENSMUST00000103149.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf2 description:polycomb group ring finger 2 [Source:MGI Symbol;Acc:MGI:99161] MDVPSKYKVEILYEDEPLKEYYTLMDIAYIYPWRRNGPLPLKYRVQPACKRLTLPTVPTP SEGTNTSGASECESVSDKAPSPATLPATSSSLPSPATPSHGSPSSHGPPATHPTSPTPPS TAAGTTTATNGGTSNCLQTPSSTSRGRKMTVNGAPCPP >ENSMUSP00000137517.1 pep:known chromosome:GRCm38:11:97688823:97699384:-1 gene:ENSMUSG00000018537.16 transcript:ENSMUST00000179765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf2 description:polycomb group ring finger 2 [Source:MGI Symbol;Acc:MGI:99161] MHRTTRIKITELNPHLMCALCGGYFIDATTIVECLHSFCKTCIVRYLETNKYCPMCDVQV HKTRPLLSIRSDKTLQDIVYKLVPGLFKDEMKRRRDFYAAYPLTEVPNGSNEDRGEVLEQ EKGALGDDEIVSLSIEFYEGVRDREEKKNLTENGDGDKEKTGVRFLRCPAAMTVMHLAKF LRNKMDVPSKYKVEILYEDEPLKEYYTLMDIAYIYPWRRNGPLPLKYRVQPACKRLTLPT VPTPSEGTNTSGASECESVSDKAPSPATLPATSSSLPSPATPSHGSPSSHGPPATHPTSP TPPSTAAGTTTATNGGTSNCLQTPSSTSRGRKMTVNGAPCPP >ENSMUSP00000126967.1 pep:known chromosome:GRCm38:11:97688823:97699648:-1 gene:ENSMUSG00000018537.16 transcript:ENSMUST00000169807.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf2 description:polycomb group ring finger 2 [Source:MGI Symbol;Acc:MGI:99161] MHRTTRIKITELNPHLMCALCGGYFIDATTIVECLHSFCKTCIVRYLETNKYCPMCDVQV HKTRPLLSIRSDKTLQDIVYKLVPGLFKDEMKRRRDFYAAYPLTEVPNGSNEDRGEVLEQ EKGALGDDEIVSLSIEFYEGVRDREEKKNLTENGDGDKEKTGVRFLRCPAAMTVMHLAKF LRNKMDVPSKYKVEILYEDEPLKEYYTLMDIAYIYPWRRNGPLPLKYRVQPACKRLTLPT VPTPSEGTNTSGASECESVSDKAPSPATLPATSSSLPSPATPSHGSPSSHGPPATHPTSP TPPSTAAGTTTATNGGTSNCLQTPSSTSRGRKMTVNGAPCPP >ENSMUSP00000069444.8 pep:known chromosome:GRCm38:6:8509600:8597548:1 gene:ENSMUSG00000029638.17 transcript:ENSMUST00000064285.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glcci1 description:glucocorticoid induced transcript 1 [Source:MGI Symbol;Acc:MGI:2179717] MSTASSSSSQTPHSAPQRMRRSTAGSPPAAAGSGTGPAGSCAPAAGAGRLLQPIRATVPY QLLRGSQHSPTRPAAAAATAAAALGSLSGPGGARGPSPSSPTPPPAAAPAEQAPRAKGRP RRSPESRRRSSSPERRSPGSPVCRVDRPKSQHIRTSSTIRRTSSLDTITGPYLTGQWPRD PHVHYPSCMRDKATQTPSCWAEEGAEKRSHQRSASWGSADQLKEIAKLRQQLQRSKQSSR HSKEKDRQSPLHGNHITISHTQAIGSRSVPMPLSNISVPKSSVSRVPCNVEGISPELEKV FIKENNGKEEVSKPLDIPDGRRAPLPAHYRSSSTRSIDTQTPSVQERSSSCSSHSPCVSP FCPPESQDGSPCSTEDLLYDRDKDSGSSSPLPKYASSPKPNNSYMFKREPPEGCERVKVF EEMASRQPISAPLFSCPDKNKVNFIPTGSAFCPVKLLGPLLPASDLMLKNSPNSGQSSAL ATLTVEQLSSRVSFTSLSDDTSTADSLEPSAQQPSQQQQLLQDLQVEEHVSTQNYVMI >ENSMUSP00000125260.1 pep:known chromosome:GRCm38:6:8511227:8579647:1 gene:ENSMUSG00000029638.17 transcript:ENSMUST00000162383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glcci1 description:glucocorticoid induced transcript 1 [Source:MGI Symbol;Acc:MGI:2179717] MRDKATQTPSCWAEEGAEKRSHQRSASWGSADQLKEIAKLRQQLQRSKQSSRHSKEKDRQ SPLHGNHITISHTQAIGSRSVPMPLSNISVPKSS >ENSMUSP00000125079.1 pep:known chromosome:GRCm38:6:8520020:8593621:1 gene:ENSMUSG00000029638.17 transcript:ENSMUST00000162567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glcci1 description:glucocorticoid induced transcript 1 [Source:MGI Symbol;Acc:MGI:2179717] MRDKATQTPSCWAEEGAEKRSHQRSASWGSADQLKEQIAKLRQQLQRSKQSSRHSKEKDR QSPLHGNHITISHTQAIGSRSVPMPLSNISVPKSSVSRVPCNVEGISPELEKVFIKENNG KEEVSKPLDIPDGRRAPLPAHYRSSSTRSIDTQTPSVQERSSSCSSHSPCVSPFCPPESQ DGSPCSTEDLLYDRDKDSGSSSPLPKYASSPKPNNSYMFKREPPEGCERVKVFEEMASRQ PISAPLFSCPDKNKVNFIPTGSAFCPVKLLGPLLPASDLMLKNSPNSGQSSALATLTVEQ LSSRVSFTSLSDDTSTADSLEPSAQQPSQQQQLLQDLQVEEHVSTQNYVMI >ENSMUSP00000124167.1 pep:known chromosome:GRCm38:6:8520058:8593512:1 gene:ENSMUSG00000029638.17 transcript:ENSMUST00000161217.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glcci1 description:glucocorticoid induced transcript 1 [Source:MGI Symbol;Acc:MGI:2179717] MRDKATQTPSCWAEEGAEKRSHQRSASWGSADQLKEIAKLRQQLQRSKQSSRHSKEKDRQ SPLHGNHITISHTQAIGSRSVPMPLSNISVPKSSVSRVPCNVEGISPELEKVFIKENNGK EEVSKPLDIPDGRRAPLPAHYRSSSTRSIDTQTPSVQERSSSCSSHSPCVSPFCPPESQD GSPCSTEDLLYDRDKDSGSSSPLPKYASSPKPNNSYMFKREPPEGCERVKVFEEMASRQP ISAPLFSCPDKNKVNFIPTGSAFCPVKLLGPLLPASDLMLKNSPNSGQSSALATLTVEQL SSRVSFTSLSDDTSTADSLEPSAQQPSQQQQLLQDLQVEEHVSTQNYVMI >ENSMUSP00000124595.1 pep:known chromosome:GRCm38:6:8537816:8594071:1 gene:ENSMUSG00000029638.17 transcript:ENSMUST00000161494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glcci1 description:glucocorticoid induced transcript 1 [Source:MGI Symbol;Acc:MGI:2179717] MRDKATQTPSCWAEEGAEKRSHQRSASWGSADQLKEQIAKLRQQLQRSKQSSRHSKEKDR QSPLHGNHITISHTQAIGSRSVPMPLSNISVPKSSVSRVPCNVEGISPELEKVFIKENNG KEEVSKPLDIPDGRRAPLPAHYRSSSTRSIDTQTPSVQERSSSCSSHSPCVSPFCPPESQ DGSPCSTEDLLYDRDKDSGSSSPLPKYASSPKPNNSYMFKREPPEGCERVKVFEEMA >ENSMUSP00000123158.1 pep:known chromosome:GRCm38:17:80207460:80217936:1 gene:ENSMUSG00000046196.4 transcript:ENSMUST00000134652.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39d description:tetratricopeptide repeat domain 39D [Source:MGI Symbol;Acc:MGI:1914987] MSRFQRHEDNDEYLLSTFSLSEGEHDRMVRVTRSHNLKDSEAEDKFEDAHEIIPVATTMS LLSSLEECTTGLYLFLNNRFSDAINLIHPWSKNSSYHALIYSMFMVVKAILTFEPQDIQI GMNAAKEALKTCNNFRKKPRIVTLSRLMSRQGIKSIKEEELHAEVCYAECLVLKSAITFI QDDSLLSFLKSGVNVGSSYQIYKDCQQVLELMPENQSKTHRHLIGGIKFGVGVFNLMFSL VPPKSLKLLNMVGYSGDREVGLALLHDSASEPHINNILSVFTLLFYYNYVRVVVGVEKAS TAATESLFLIYLEKFPNCVVLKFFRARFNMLNGNFESAKLKLQECIITQNEWKQVHHLCY WELMWCHIFLQNWKQAYNYASLLFQHSRWSKAIYIYSKAIILALIPPDCVDSENESLSSY FLRVDSLRIKILGSSVPIEKFIAEKSQRYGTTTGWFTAQPLLEFIYAWSGFRVMSKKIEL ISSWLLIIDKGKELLSENPNEEYGIDDMSLLNLLKGLCLKHLGKHLKAEHYFIRVIRKEK MLKYDHYLVPYSYYELGMLHYLKGDYANAMKNLDNIKNYKDYSMEARLQFRAHIALEQIA KLEK >ENSMUSP00000053781.3 pep:known chromosome:GRCm38:17:80215914:80217935:1 gene:ENSMUSG00000046196.4 transcript:ENSMUST00000053168.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39d description:tetratricopeptide repeat domain 39D [Source:MGI Symbol;Acc:MGI:1914987] MSRFQRHEDNDEYLLSTFSLSEGEHDRMVRVTRSHNLKDSEAEDKFEDAHEIIPVATTMS LLSSLEECTTGLYLFLNNRFSDAINLIHPWSKNSSYHALIYSMFMVVKAILTFEPQDIQI GMNAAKEALKTCNNFRKKPRIVTLSRLMSRQGIKSIKEEELHAEVCYAECLVLKSAITFI QDDSLLSFLKSGVNVGSSYQIYKDCQQVLELMPENQSKTHRHLIGGIKFGVGVFNLMFSL VPPKSLKLLNMVGYSGDREVGLALLHDSASEPHINNILSVFTLLFYYNYVRVVVGVEKAS TAATESLFLIYLEKFPNCVVLKFFRARFNMLNGNFESAKLKLQECIITQNEWKQVHHLCY WELMWCHIFLQNWKQAYNYASLLFQHSRWSKAIYIYSKAIILALIPPDCVDSENESLSSY FLRVDSLRIKILGSSVPIEKFIAEKSQRYGTTTGWFTAQPLLEFIYAWSGFRVMSKKIEL ISSWLLIIDKGKELLSENPNEEYGIDDMSLLNLLKGLCLKHLGKHLKAEHYFIRVIRKEK MLKYDHYLVPYSYYELGMLHYLKGDYANAMKNLDNIKNYKDYSMEARLQFRAHIALEQIA KLEK >ENSMUSP00000030939.7 pep:known chromosome:GRCm38:4:155562378:155590997:1 gene:ENSMUSG00000029063.16 transcript:ENSMUST00000030939.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadk description:NAD kinase [Source:MGI Symbol;Acc:MGI:2183149] MEMEQEKMNLSQELSADSASYNCSACHGDETWSYNHPIRGRAKSRSLSASPALGSTKEFR RTRSLHGPCPVTTFGPKACVLQNPQTIMHIQDPASQRLTWNKSPKSVLVIKKIRDASLLQ PFKELCIYLMEENNMIVYVEKKVLEDPAIVSDENFGPVKKKFCTFREDYDDISNQIDFII CLGGDGTLLYASSLFQGSVPPVMAFHLGSLGFLTPFNFENFQSQVNQVIEGNAAVILRSR LKVRVVKEPRDKKTAIHNGLSENGLDTEGGKQAMQYQVLNEVVIDRGPSSYLSNVDVYLD GHLITTVQGDGVIVSTPTGSTAYAAAAGASMVHPNVPAIMVTPICPHSLSFRPIVVPAGV ELKIMLSPEARNTAWVSFDGRKRQEIRHGDSISITTSCYPLPSICVCDPVSDWFESLAQC LHWNVRKKQAHFPEDEEDS >ENSMUSP00000101238.3 pep:known chromosome:GRCm38:4:155563700:155591001:1 gene:ENSMUSG00000029063.16 transcript:ENSMUST00000105613.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadk description:NAD kinase [Source:MGI Symbol;Acc:MGI:2183149] MEMEQEKMNLSQELSADSASYNCSACHGDETWSYNHPIRGRAKSRSLSASPALGSTKEFR RTRSLHGPCPVTTFGPKACVLQNPQTIMHIQDPASQRLTWNKSPKSVLVIKKIRDASLLQ PFKELCIYLMEENNMIVYVEKKVLEDPAIVSDENFGPVKKKFCTFREDYDDISNQIDFII CLGGDGTLLYASSLFQGSVPPVMAFHLGSLGFLTPFNFENFQSQVNQVIEGNAAVILRSR LKVRVVKEPRDKKTAIHNGLSENGLDTEGGKQAMQYQVLNEVVIDRGPSSYLSNVDVYLD GHLITTVQGDGVIVSTPTGSTAYAAAAGASMVHPNVPAIMVTPICPHSLSFRPIVVPAGV ELKIMLSPEARNTAWVSFDGRKRQEIRHGDSISITTSCYPLPSICVCDPVSDWFESLAQC LHWNVRKKQAHFPEDEEDS >ENSMUSP00000115732.1 pep:known chromosome:GRCm38:4:155563842:155577090:1 gene:ENSMUSG00000029063.16 transcript:ENSMUST00000143840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadk description:NAD kinase [Source:MGI Symbol;Acc:MGI:2183149] MEMEQEKMNLSQELSADSASYNCS >ENSMUSP00000117504.1 pep:known chromosome:GRCm38:4:155563908:155579386:1 gene:ENSMUSG00000029063.16 transcript:ENSMUST00000146080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadk description:NAD kinase [Source:MGI Symbol;Acc:MGI:2183149] MEMEQEKMNLSQELSADSASYNCSACHGDETWSYNHPIRGRAKSRSLSASPALGSTKEFR RTRSLHGPCPVTTFGPKACVLQNPQ >ENSMUSP00000114288.1 pep:known chromosome:GRCm38:4:155564072:155579340:1 gene:ENSMUSG00000029063.16 transcript:ENSMUST00000135429.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadk description:NAD kinase [Source:MGI Symbol;Acc:MGI:2183149] MEMEQEKMNLSQELSADSASYNCSACHGDETWSYNHPIRGRAKSRSLSASPALGSTKEFR RTRSLHGPCP >ENSMUSP00000101237.1 pep:known chromosome:GRCm38:4:155582495:155591001:1 gene:ENSMUSG00000029063.16 transcript:ENSMUST00000105612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadk description:NAD kinase [Source:MGI Symbol;Acc:MGI:2183149] MIHPHSLEPGVWHIQDPASQRLTWNKSPKSVLVIKKIRDASLLQPFKELCIYLMEENNMI VYVEKKVLEDPAIVSDENFGPVKKKFCTFREDYDDISNQIDFIICLGGDGTLLYASSLFQ GSVPPVMAFHLGSLGFLTPFNFENFQSQVNQVIEGNAAVILRSRLKVRVVKEPRDKKTAI HNGLSENGLDTEGGKQAMQYQVLNEVVIDRGPSSYLSNVDVYLDGHLITTVQGDGVIVST PTGSTAYAAAAGASMVHPNVPAIMVTPICPHSLSFRPIVVPAGVELKIMLSPEARNTAWV SFDGRKRQEIRHGDSISITTSCYPLPSICVCDPVSDWFESLAQCLHWNVRKKQAHFPEDE EDS >ENSMUSP00000128333.1 pep:known chromosome:GRCm38:5:109286269:109297556:-1 gene:ENSMUSG00000091375.1 transcript:ENSMUST00000167133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r15 description:vomeronasal 2, receptor 15 [Source:MGI Symbol;Acc:MGI:3649165] MKKLRVFTFSLLLLKCFLISCHLTEPICFWRLKNNEDNDGDLRSDCGVFLAAVEGPIDQI YNISDFRIPARRYEFFLVMFFATDEINKNPYLLPNMSLISPVDHGQCEETLGLLDEIYLE KNNSVEFTDYICVFYGTCYIGLIGPSWKTSVKLSIHSRTPRVRMCETRLVFFGPFNPNLS DHDQFPYVHQVAIKDTHLSHGMASLMLHFRWTWIGLVISDDDQSIQFLSDLREEMQRHGI CLAFVNMIPEDMQLYMTRAKIYDEEIMTSTAKVVIIYGEMNSTLQVSFRRWEDLGVRRIW ITTSQWDVITNKNDFSLDFFHGTVTFAHHVGKIAKFRNFLQTMNSDKYPVNISKSILGWN YFNCSVSKKGNKKDHFTFNNTLEWTALHNFDIVLSEEGYNLYNAVYAVAHTYHELILQQV ESQQTAVPKGIFTDCQQVSSMLKSRIFTNPVGELVNMKHRENQCADYDIFIIWNFPQGLG LKVKIGNYLPCYPWSQQLHISENLEWATGGSSVPSSMCSVTCTAGFRKIHQKQTADCCFD CDQCPENEVSNETADMEQCVRCPDDKYANLEKTQCLQRVVSFLAYEDPLGMTLGCMALTF SALTVLVLVIFVKYKDTPIVKANNRIVSYILLISLVFCFLCSLLFIGHPTQATCILQQTT FGVFFTVAISTVLAKTITVLMAFKLTTPGGRMRGMLASGAPNLVIPICTLIQLVLCGIWL VTSPPFIDRDIQSEHGKTIIICNKGSVIAFHFVLGYLGALALGSFTVAFLARNLPDRFNE AKFLTFSMLVFCSVWITFLPVYHSTRGTVMVVVEVFSILASSAGLLGCIFLPKCCVILLR PDLTFLQKYKDKLPS >ENSMUSP00000134799.1 pep:known chromosome:GRCm38:3:95588934:95619242:1 gene:ENSMUSG00000046519.15 transcript:ENSMUST00000177390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golph3l description:golgi phosphoprotein 3-like [Source:MGI Symbol;Acc:MGI:1917129] MCYSLSATKDQEVCTLCVAEKFFTYWNPPRVRETGALQNESANCSLRPTAAQEQRQVRMT TLTHRTRRTEVSKSSEKKIESEEDTNQERSPDNEDPGDSKDIRLTLMEEVLLLGLKDKEG YTSFWNDCISSGLRGGILIELAMRGRIYLEPPTMRKKRLLDRKVLLKSDSPTGDVLLDET LKHIKATEPTETVQTWIELLTGETWNPFKLQYQLRNVRERIAKNLVEKGILTTEKQNFLL FDMTTHPVTNTTEKQRLMKKLQDSVLERWVNDPQRMDRRTLALLVLAHSSDVLENVFSCL TDDKYDVAMNRTKDLVELDPEVEGTKHNATEMIWAVLAAFNKS >ENSMUSP00000134885.1 pep:known chromosome:GRCm38:3:95588969:95617564:1 gene:ENSMUSG00000046519.15 transcript:ENSMUST00000176674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golph3l description:golgi phosphoprotein 3-like [Source:MGI Symbol;Acc:MGI:1917129] XCYSLSATKDQEVCTLCVAEKFFTYWNPPRVRETGALQNESANCSLRPTAAQEQRQVRMT TLTHRTRRTEVSKSSEKKIESEEDTNQERSPDNEDPGDSKDIRLTLMEEVLLLGLKDKEV LLKSDSPTGDVLLDETLKHIKATEPTETVQTWIELLTGETWNPFKLQYQLRNVRERIAKN LVEKGILTTEKQNFLLFDMTTHPVTNTTEKQRLMKKLQDSVLERWVNDPQRMDRRTLALL VLAHSSDVLENVFSCLTDDKYDVAMNRTKDLVELDPEVEGTKHNATEMIWAVLAAFNKS >ENSMUSP00000135406.1 pep:known chromosome:GRCm38:3:95588969:95619241:1 gene:ENSMUSG00000046519.15 transcript:ENSMUST00000177389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golph3l description:golgi phosphoprotein 3-like [Source:MGI Symbol;Acc:MGI:1917129] XCYSLSATKDQEVCTLCVAEKFFTYWNPPRVRETGALQNESANCSLRPTAAQEQRQGYTS FWNDCISSGLRGGILIELAMRGRIYLEPPTMRKKRLLDRKVLLKSDSPTGDVLLDETLKH IKATEPTETVQTWIELLTGETWNPFKLQYQLRNVRERIAKNLVEKGILTTEKQNFLLFDM TTHPVTNTTEKQRLMKKLQDSVLERWVNDPQRMDRRTLALLVLAHSSDVLENVFSCLTDD KYDVAMNRTKDLVELDPEVEGTKHNATEMIWAVLAAFNKS >ENSMUSP00000134804.1 pep:known chromosome:GRCm38:3:95588974:95619240:1 gene:ENSMUSG00000046519.15 transcript:ENSMUST00000176755.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golph3l description:golgi phosphoprotein 3-like [Source:MGI Symbol;Acc:MGI:1917129] XSLSATKDQEVCTLCVAEKFFTYWNPPRVRETGALQNESANCSLRPTAAQEQRQVRMTTL THRTRRTEVSKSSEKKIESEEDTNQERSPDNEDPGDSKDIRLTLMEEVLLLGLKDKEGYT SFWNDCISSGLRGGILIELAMRGRIYLEPPTMRKKRLLDRKVLLKSDSPTGDVLLDETLK HIKATEPTETVQTWIELLTGETWNPFKLQYQLRNVRERIAKNLVEKGILTTEKQNFLLFD MTTHPVTNTTEKQRLMKKLQDSVLERLCFSALLSSDTS >ENSMUSP00000135056.1 pep:known chromosome:GRCm38:3:95588981:95619241:1 gene:ENSMUSG00000046519.15 transcript:ENSMUST00000176541.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Golph3l description:golgi phosphoprotein 3-like [Source:MGI Symbol;Acc:MGI:1917129] XSATKDQEVCTLCVAEKFFTYWNPPRVRETGALQNESANCSLRPTAAQEQRQVRMTTLTH RTRRTEVSKSSEKKIESEEDTNQERSPDNEDPGDSKDIRLTLMEEVLLLGLKDKEGYTSF WNDCISSGLRGGILIELAMRGRIYLEPPTMRKKRLLDRKVRHGTLSNYSTS >ENSMUSP00000134998.1 pep:known chromosome:GRCm38:3:95588990:95617495:1 gene:ENSMUSG00000046519.15 transcript:ENSMUST00000177399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golph3l description:golgi phosphoprotein 3-like [Source:MGI Symbol;Acc:MGI:1917129] XKDQEVCTLCVAEKFFTYWNPPRVRETGALQNESANCSLRPTAAQEQRQYQLRNVRERIA KNLVEKGILTTEKQNFLLFDMTTHPVTNTTEKQRLMKKLQDSVLERWVNDPQRMDRRTLA LLVLAHSSDVLENVFSCLTDDKYDVAMNRTKDLVELDPEVEGTKHNATEMIWAVLAAFNK S >ENSMUSP00000058654.5 pep:known chromosome:GRCm38:3:95588934:95619247:1 gene:ENSMUSG00000046519.15 transcript:ENSMUST00000060323.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golph3l description:golgi phosphoprotein 3-like [Source:MGI Symbol;Acc:MGI:1917129] MCYSLSATKDQEVCTLCVAEKFFTYWNPPRVRETGALQNESANCSLRPTAAQEQRQGYTS FWNDCISSGLRGGILIELAMRGRIYLEPPTMRKKRLLDRKVLLKSDSPTGDVLLDETLKH IKATEPTETVQTWIELLTGETWNPFKLQYQLRNVRERIAKNLVEKGILTTEKQNFLLFDM TTHPVTNTTEKQRLMKKLQDSVLERWVNDPQRMDRRTLALLVLAHSSDVLENVFSCLTDD KYDVAMNRTKDLVELDPEVEGTKHNATEMIWAVLAAFNKS >ENSMUSP00000096460.4 pep:known chromosome:GRCm38:3:95588934:95619247:1 gene:ENSMUSG00000046519.15 transcript:ENSMUST00000098861.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golph3l description:golgi phosphoprotein 3-like [Source:MGI Symbol;Acc:MGI:1917129] MCYSLSATKDQEVCTLCVAEKFFTYWNPPRVRETGALQNESANCSLRPTAAQEQRQVRMT TLTHRTRRTEVSKSSEKKIESEEDTNQERSPDNEDPGDSKDIRLTLMEEVLLLGLKDKEG YTSFWNDCISSGLRGGILIELAMRGRIYLEPPTMRKKRLLDRKVLLKSDSPTGDVLLDET LKHIKATEPTETVQTWIELLTGETWNPFKLQYQLRNVRERIAKNLVEKGILTTEKQNFLL FDMTTHPVTNTTEKQRLMKKLQDSVLERLCFSALLSSDTS >ENSMUSP00000067197.7 pep:known chromosome:GRCm38:10:67886103:67912662:-1 gene:ENSMUSG00000037855.15 transcript:ENSMUST00000064656.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp365 description:zinc finger protein 365 [Source:MGI Symbol;Acc:MGI:2143676] MQQTTFEESRYHWQDSLENVAVCLPFRCPRCGDHTRFRSLSSLRAHLEFSHSYEERTLLT KCSLLPSLKDTELLRSSELPKQGKVLRGHAKVTKQKSSYVNLYSISHGHSKDTKPFEMVA ERPVSYVQTYTAVDIRADSLDAPCASPGLPTQDTKAAFEAHVREKFNRMVEAVDRTIEKR IDKLTKELAQKTAELLEVRAAFAQLTQKKQEVQRRERALNKQVDVAVEMIAVLKQRLTES EEELLRKEEEVVTFNHFLEAAAEKEVQGKARLQDFIENLLQRVELAEKQLEYYQSQQASG FSCDTSEHMLTDIPSNRKPRCLSRGHQHSVCNHPEMRAHFHLKGRSYLKKAKDERAGMQP AKAIHEPAESPREFFRPAKKGEHLGLSRKGNFRPKMAKKKPTAIVNII >ENSMUSP00000033006.7 pep:known chromosome:GRCm38:7:125467640:125491596:-1 gene:ENSMUSG00000030750.13 transcript:ENSMUST00000033006.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmce1 description:non-SMC element 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914961] MASGVISLVCAPSNMQGSTRRAGAMTDVHRRFLQLLMTHGVLEEWEVRRLQNHCYQVHDR NATVDKLEDFINNINSVLESLYIEIKKGVTEDDGRPIYALVNLATTSVSKMATDFAENEL DLFRKALELIVDSETGFASSTNILNLVDQLKGKKMRKKEAEQVLQKFVQSKWLIEKEGEF TLHGRAILEMEQFIRESYPDSVKMCNICHGLLIQGQSCETCGIRMHLPCVAKYFQSIPEP HCPHCNDYWPHDIPEVYNPEKEREAGISKSSRKSLRTRQH >ENSMUSP00000118058.1 pep:known chromosome:GRCm38:7:125471113:125491399:-1 gene:ENSMUSG00000030750.13 transcript:ENSMUST00000138616.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmce1 description:non-SMC element 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914961] XFGVLRGPERASVGNGIDHGVGAGFGADEILKPAKAPSNMQGSTRRAGAMTDVHRRFLQL LMTHGVLEEWEVRRLQNHCYQVHDRNATVDKLEDFINNINSVLESLYIEIKKGVTEDDGR PIYALVNLATTSVSKMATDFAENELDLFRKALELIVDSETGFASSTNILNLVDQLKGKKM RKKEAEQVLQKFVQSKWLIE >ENSMUSP00000107820.1 pep:known chromosome:GRCm38:2:70661576:70691725:1 gene:ENSMUSG00000014959.12 transcript:ENSMUST00000112201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gorasp2 description:golgi reassembly stacking protein 2 [Source:MGI Symbol;Acc:MGI:2135962] MVQENSPGHRAGLEPFFDFIVSINGSRLNKDNDTLKDLLKANVEKPVKMLIYSSKTLELR EASVTPSNLWGGQGLLGVSIRFCSFDGANENVWHVLEVESNSPAALAGLRPHSDYIIGAD TVMNESEDLFSLIETHEAKPLKLYVYNTDTDNCREVIITPNSAWGGEGSLGCGIGYGYLH RIPTRPFEEGKKISLPGQMTGTPITPLKDGFTEVQLSSVSPPSLSPPGTTGVEQSLSGLS ISSAPPAVSNVLSTGVPTVPLLPPQVNQSLASMPPMNPATTLPSLMPLSAGLPSLPNLPS LSNFNLPAPHIMPGVGLPELGSPGLPPLPSLPPRNLPGIAPLPMLSDFLPSFPLVPEGSS AASAGEPLSSLPAMGPPSDPVMTTAKADASSLTVDVTSPASKVPTTVEDRVSDCTPAVEK PVSDADASEPS >ENSMUSP00000028509.4 pep:known chromosome:GRCm38:2:70661576:70691743:1 gene:ENSMUSG00000014959.12 transcript:ENSMUST00000028509.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gorasp2 description:golgi reassembly stacking protein 2 [Source:MGI Symbol;Acc:MGI:2135962] MGSSQSVEIPGGGTEGYHVLRVQENSPGHRAGLEPFFDFIVSINGSRLNKDNDTLKDLLK ANVEKPVKMLIYSSKTLELREASVTPSNLWGGQGLLGVSIRFCSFDGANENVWHVLEVES NSPAALAGLRPHSDYIIGADTVMNESEDLFSLIETHEAKPLKLYVYNTDTDNCREVIITP NSAWGGEGSLGCGIGYGYLHRIPTRPFEEGKKISLPGQMTGTPITPLKDGFTEVQLSSVS PPSLSPPGTTGVEQSLSGLSISSAPPAVSNVLSTGVPTVPLLPPQVNQSLASMPPMNPAT TLPSLMPLSAGLPSLPNLPSLSNFNLPAPHIMPGVGLPELGSPGLPPLPSLPPRNLPGIA PLPMLSDFLPSFPLVPEGSSAASAGEPLSSLPAMGPPSDPVMTTAKADASSLTVDVTSPA SKVPTTVEDRVSDCTPAVEKPVSDADASEPS >ENSMUSP00000107824.1 pep:known chromosome:GRCm38:2:70661590:70689360:1 gene:ENSMUSG00000014959.12 transcript:ENSMUST00000112205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gorasp2 description:golgi reassembly stacking protein 2 [Source:MGI Symbol;Acc:MGI:2135962] MGSSQSVEIPGGGTEGYHVLRVQENSPGHRAGLEPFFDFIVSINGSRLNKDNDTLKDLLK ANVEKPVKMLIYSSKTLELREASVTPSNLWGGQGLLGVSIRFCSFDGANENVWHVLEVES NSPAALAGLRPHSDYIIGADTVMNESEDLFSLIETHEAKPLKLYVYNTDTDNCREVIITP NSAWGGEGSLGCGIGYGYLHRIPTRPFEEGKKISLPGQMTGTPITPLKDGFTEVQLSSVS PPSLSPPGTTGVEQSLSGLSISSAPPAVSNVLSTGVPTVPLLPPQVNQSLASMPPMNPAT TLPSLMPLSAGLPSLPNLPSLSNFNLPAPHIMPGVGLPELGSPGMLHALPS >ENSMUSP00000121549.1 pep:known chromosome:GRCm38:2:70661576:70712636:1 gene:ENSMUSG00000014959.12 transcript:ENSMUST00000133432.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gorasp2 description:golgi reassembly stacking protein 2 [Source:MGI Symbol;Acc:MGI:2135962] MGSSQSVEIPGGGTEGYHVLRVQENSPGHRAGLEPFFDFIVSINGSRLNKDNDTLKDLLK ANVEKPVKMLIYSSKTLELREASVTPSNLWGGQGLLGVSIRFCSFDGANENVWHVLEVES NSPAALAGLRPHSDYIIGADTVMNESEDLFSLIETHEAKPLKLYVYNTDTDNCREVIITP NSAWGGEGSLGCGIGYGYLHRIPTRPFEEGKKISLPGQMTGTPITPLKDGFTEVQLSSVS PPSLSPPGTTGVEQSLSGLSISSAPPAVSNVLSTGVPTVPLLPPQVNQSLASMPPMNPAT TLPRLYCCEENHEQGDS >ENSMUSP00000086592.2 pep:known chromosome:GRCm38:X:21484544:21489164:1 gene:ENSMUSG00000068122.10 transcript:ENSMUST00000089188.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agtr2 description:angiotensin II receptor, type 2 [Source:MGI Symbol;Acc:MGI:87966] MKDNFSFAATSRNITSSRPFDNLNATGTNESAFNCSHKPSDKHLEAIPVLYYMIFVIGFA VNIVVVSLFCCQKGPKKVSSIYIFNLALADLLLLATLPLWATYYSYRYDWLFGPVMCKVF GSFLTLNMFASIFFITCMSVDRYQSVIYPFLSQRRNPWQASYVVPLVWCMACLSSLPTFY FRDVRTIEYLGVNACIMAFPPEKYAQWSAGIALMKNILGFIIPLIFIATCYFGIRKHLLK TNSYGKNRITRDQVLKMAAAVVLAFIICWLPFHVLTFLDALTWMGIINSCEVIAVIDLAL PFAILLGFTNSCVNPFLYCFVGNRFQQKLRSVFRVPITWLQGKRETMSCRKGSSLREMDT FVS >ENSMUSP00000127838.1 pep:known chromosome:GRCm38:5:109330381:109364481:1 gene:ENSMUSG00000092080.1 transcript:ENSMUST00000165180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r16 description:vomeronasal 2, receptor 16 [Source:MGI Symbol;Acc:MGI:3647194] MKKLHAFTISFSLLKFSLILYSLTEPNCFWRIQSNEDNDGDLRSDCGFVLFTYEGPIEEK FYNNVIHFRIPARRYEFLLVMYFATDEINRNPYLLPNMSLIFSLIVGMCEDTLGYIEEKY SPQKNRLNFINYNCGIPQTCNIQITGPSWTTSLKLAINSRRPKVFFGPFNPNLSDHDQFP YVHQVSTKDTHLSHAMVSLMLHFKWTWIGLVISDDEQGIQFLSGLREEMQTYAICLAFVN MIPETMQIYMTRADIYDKQIIESTAKVVIIYGEMNSTLEVSFRRWGYLGARRIWITTSQW DVITNEKDFSLDFFHGTITFAHHNGRIAKFNNFLQTMNTSKYPIDVTQTMQDWNYFNCSI FKNSVRKTGQFIFNNTLEWTTQHKIDMVLSEEGHNLYNAVYAVAHTYHELILQQVESQKM PKLKGVFSDCHQVASLLKTRVFTNPVGELVNMKHRENQCADYDIFNIWNFPQGLGLKVKI GSYFPCFPQSQQLCISENMEWATGGALVPTSMCSVTCAAGFRKIHQKHTADCCFDCVLCP ENEVSNETADMEQCVRCPDDKYANLEKTHCLQRAVSFLAYEDPLGMALGSMTMCFSALTV LVLVTFVKYKDTPIVKANNRILSYILLISLILCFLCSLFFIGQPNHATCILQQTTFGVFF TVAISTVLAKTITVVMAFKLTTPGRRMRGMLASGTPNLVIPICSLVQLVLCGIWLVTSPP FIDRDTQSEHGKTIIICNKGSVIAFHFVLGYLGSLALGSFTVAFLARNLPDRFNEAKFLT FSMLVFCSVWITFLPVYHSTRGTVMVVVEVFSILASSAGLLGCIFVPKCFVILVRPDSNF LQKYKDKLFY >ENSMUSP00000139688.1 pep:known chromosome:GRCm38:Y:90401248:90433263:1 gene:ENSMUSG00000096178.7 transcript:ENSMUST00000190558.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20837 description:predicted gene, 20837 [Source:MGI Symbol;Acc:MGI:5434193] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKGRNQKLERFCKMNERERKNINNKF CEQYITTFQKSYMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEV LMNLGTKN >ENSMUSP00000137363.1 pep:known chromosome:GRCm38:Y:90402688:90430527:1 gene:ENSMUSG00000096178.7 transcript:ENSMUST00000178446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20837 description:predicted gene, 20837 [Source:MGI Symbol;Acc:MGI:5434193] MALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDV >ENSMUSP00000144233.1 pep:known chromosome:GRCm38:16:20668313:20679395:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000154594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNQPPQIAPKRERKTIRIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPNGESP QVAVIIRPDDRSQGAAIGGRPGLPGPEHSPGTESQPSSPSPTPSPPPILEPGSESNLGVL SIPGDTMTT >ENSMUSP00000143861.1 pep:known chromosome:GRCm38:16:20668315:20678925:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000128840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MMIPSQISYSASQGAYYIPGQGRSTYVVPTQQYPVQPGAPGFYPGASPTEFGTYAGAYYP AQGVQQFPASVAPAPVLMNQPPQIAPKRERKTIRIRDPNQGGKDITEEIMSGARTASTPT PPQTGGSLEPQPNGESP >ENSMUSP00000047678.7 pep:known chromosome:GRCm38:16:20672723:20692884:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000044783.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNKAPQPTGPPPARSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQGGFRSLQHFYP SRAQPPSSAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQISYSASQGAYYIPGQGRSTY VVPTQQYPVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAPAPVLMNQPPQIAP KRERKTIRIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPNGESPQVAVIIRPD DRSQGAAIGGRPGLPGPEHSPGTESQPSSPSPTPSPPPILEPGSESNLGVLSIPGDTMTT GMIPMSVEESTPISCETGEPYCLSPEPTLAEPILEVEVTLSKPIPESEFSSSPLQVSTAL VPHKVETHEPNGVIPSEDLEPEVESSTEPAPPPLSPCASESLVPIAPTAQPEELLNGAPS PPAVDLSPVSEPEEQAKKVSSAALASILSPAPPVAPSDTSPAQEEEMEEDDDDEEGGEAE SEKGGEDVPLDSTPVPAQLSQNLEVAAATQVAVSVPKRRRKIKELNKKEAVGDLLDAFKE VDPAVPEVENQPPTGSNPSPESEGSMVPTQPEETEETWDSKEDKIHNAENIQPGEQKYEY KSDQWKPLNLEEKKRYDREFLLGFQFIFASMQKPEGLPHITDVVLDKANKTPLRQLDPSR LPGINCGPDFTPSFANLGRPALSNRGPPRGGPGGELPRGPAGLGPRRSQQGPRKETRKII SSVIMTEDIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSILNKLTPQMF QQLMKQVTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKVPTTEKPTVT VNFRKLLLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEARDIARRRSL GNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFAKAKPRMD QYFNQMEKIIKEKKTSSRIRFMLQDVLDLRQSNWVPRRGDQGPKTIDQIHKEAEMEEHRE HIKVQQLMAKGSDKRRGGPPGPPINRGLPLVDDGGWNTVPISKGSRPIDTSRLTKITKPG SIDSNNQLFAPGGRLSWGKGSSGGSGAKPSDTASEATRPATLNRFSALQQTLPAENTDNR RVVQRSSLSRERGEKAGDRGDRLERSERGGDRGDRLDRARTPATKRSFSKEVEERSRERP SQPEGLRKAASLTEDRGRDPVKREATLPPVSPPKAALSVDEVEKKSKAIIEEYLHLNDMK EAVQCVQELASPSLLFIFVRLGIESTLERSTIAREHMGRLLHQLLCAGHLSTAQYYQGLY ETLELAEDMEIDIPHVWLYLAELITPILQEDGVPMGELFREITKPLRPMGKATSLLLEIL GLLCKSMGPKKVGMLWREAGLSWREFLAEGQDVGSFVAEKKVEYTLGEESEAPGQRTLAF EELRRQLEKLLKDGGSNQRVFDWIDANLNEQQIASNTLVRALMTTVCYSAIIFETPLRVD VQVLKVRARLLQKYLCDEQKELQALYALQALVVTLEQPANLLRMFFDALYDEDVVKEDAF YSWESSKDPAEQQGKGVALKSVTAFFNWLREAEDEESDHN >ENSMUSP00000111123.1 pep:known chromosome:GRCm38:16:20672746:20692883:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000115463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNKAPQPTGPPPARSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQHFYPSRAQPPS SAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQISYSASQGAYYIPGQGRSTYVVPTQQY PVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAPAPVLMNQPPQIAPKRERKTI RIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPNGESPQVAVIIRPDDRSQGAA IGGRPGLPGPEHSPGTESQPSSPSPTPSPPPILEPGSESNLGVLSIPGDTMTTGMIPMSV EESTPISCETGEPYCLSPEPTLAEPILEVEVTLSKPIPESEFSSSPLQVSTALVPHKVET HEPNGVIPSEDLEPEVESSTEPAPPPLSPCASESLVPIAPTAQPEELLNGAPSPPAVDLS PVSEPEEQAKKVSSAALASILSPAPPVAPSDTSPAQEEEMEEDDDDEEGGEAESEKGGED VPLDSTPVPAQLSQNLEVAAATQVAVSVPKRRRKIKELNKKEAVGDLLDAFKEVDPAVPE VENQPPTGSNPSPESEGSMVPTQPEETEETWDSKEDKIHNAENIQPGEQKYEYKSDQWKP LNLEEKKRYDREFLLGFQFIFASMQKPEGLPHITDVVLDKANKTPLRQLDPSRLPGINCG PDFTPSFANLGRPALSNRGPPRGGPGGELPRGPAGLGPRRSQQGPRKETRKIISSVIMTE DIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSILNKLTPQMFQQLMKQV TQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKVPTTEKPTVTVNFRKLL LNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEARDIARRRSLGNIKFIG ELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFAKAKPRMDQYFNQME KIIKEKKTSSRIRFMLQDVLDLRQSNWVPRRGDQGPKTIDQIHKEAEMEEHREHIKVQQL MAKGSDKRRGGPPGPPINDGGWNTVPISKGSRPIDTSRLTKITKPGSIDSNNQLFAPGGR LSWGKGSSGGSGAKPSDTASEATRPATLNRFSALQQTLPAENTDNRRVVQRSSLSRERGE KAGDRGDRLERSERGGDRGDRLDRARTPATKRSFSKEVEERSRERPSQPEGLRKAASLTE DRGRDPVKREATLPPVSPPKAALSVDEVEKKSKAIIEEYLHLNDMKEAVQCVQELASPSL LFIFVRLGIESTLERSTIAREHMGRLLHQLLCAGHLSTAQYYQGLYETLELAEDMEIDIP HVWLYLAELITPILQEDGVPMGELFREITKPLRPMGKATSLLLEILGLLCKSMGPKKVGM LWREAGLSWREFLAEGQDVGSFVAEKKVEYTLGEESEAPGQRTLAFEELRRQLEKLLKDG GSNQRVFDWIDANLNEQQIASNTLVRALMTTVCYSAIIFETPLRVDVQVLKVRARLLQKY LCDEQKELQALYALQALVVTLEQPANLLRMFFDALYDEDVVKEDAFYSWESSKDPAEQQG KGVALKSVTAFFNWLREAEDEESDHN >ENSMUSP00000116029.1 pep:known chromosome:GRCm38:16:20672749:20683836:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000142344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNKAPQPTGPPPARSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQHFYPSRAQPPS SAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQISYSASQGAYYIPGQGRSTYVVPTQQY PVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAPAPVLMNQPPQIAPKRERKTI RIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPNGESPQVAVIIRPDDRSQGAA IGGRPGLPGPEHSPGTESQPSSPSPTPSPPPILEPGSESNLGVLSIPGDTMTTGMIPMSV EESTPISCETGEPYCLSPEPTLAEPILEVEVTLSKPIPESEFSSSPLQVSTALVPHKVET HEPNGVIPSEDLEPEVESSTEPAPPPLSPCASESLVPIAPTAQPEELLNGAPSPPAVDLS PVSEPEEQAKKVSSAALASILSPAPPVAPSDTSPAQEEEMEEDDDDEEGGEAESEKGGED VPLDSTPVPAQLSQNLEVAAATQVAVSVPKRRRKIKELNKKEAVGDLLDAFKEVDPAVPE VENQPPTGSNPSPESEGSMVPTQPEETEETWDSKEDKIHNAENIQPGEQKYEYKSDQWKP LNLEEKKRYDREFLLGFQFIFASMQKPEGLPHITDVVLDKANKTPLRQLDPSRLPGINCG PDFTPSFANLGRPALSNRGPPRGGPGGELPRGPQAGLGPRRSQQGPRKETRKIISSVIMT EDIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSILNKLTPQMFQQLMKQ VTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKVPTTEKPTVTVNFRKL LLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEARDIARRRSLGNIKFI GELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFAKAKPRMDQYFN >ENSMUSP00000144320.1 pep:known chromosome:GRCm38:16:20672755:20692883:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000143939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MTTGMIPMSVEESTPISCETGEPYCLSPEPTLAEPILEVEVTLSKPIPESEFSSSPLQVS TALVPHKVETHEPNGVIPSEDLEPEVESSTEPAPPPLSPCASESLVPIAPTAQPEELLNG APSPPAVDLSPVSEPEEQAKKVSSAALASILSPAPPVAPSDTSPAQEEEMEEDDDDEEGG EAESEKGGEDVPLDSTPVPAQLSQNLEVAAATQVAVSVPKRRRKIKELNKKEAVGDLLDA FKEVDPAVPEVENQPPTGSNPSPESEGSMVPTQPEETEETWDSKEDKIHNAENIQPGEQK YEYKSDQWKPLNLEEKKRYDREFLLGFQFIFASMQKPEGLPHITDVVLDKANKTPLRQLD PSRLPGINCGPDFTPSFANLGRPALSNRGPPRGGPGGELPRGPAGLGPRRSQQGPRKETR KIISSVIMTEDIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSILNKLTP QMFQQLMKQVTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKVPTTEKP TVTVNFRKLLLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEARDIARR RSLGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFAKAKP RMDQYFNQMEKIIKEKKTSSRIRFMLQDVLDLRQSNWVPRRGDQGPKTIDQIHKEAEMEE HREHIKVQQLMAKGSDKRRGGPPGPPINRGLPLVDDGGWNTVPISKGSRPIDTSRLTKIT KPGSIDSNNQLFAPGGRLSWGKGSSGGSGAKPSDTASEATRPATLNRFSALQQTLPAENT DNRRVVQRSSLSRERGEKAGDRGDRLERSERGGDRGDRLDRARTPATKRSFSKEVEERSR ERPSQPEGLRKAASLTEDRGRDPVKREATLPPVSPPKAALSVDEVEKKSKAIIEEYLHLN DMKEAVQCVQELASPSLLFIFVRLGIESTLERSTIAREHMGRLLHQLLCAGHLSTAQYYQ GLYETLELAEDMEIDIPHVWLYLAELITPILQEDGVPMGELFREITKPLRPMGKATSLLL EILGLLCKSMGPKKVGMLWREAGLSWREFLAEGQDVGSFVAEKKVEYTLGEESEAPGQRT LAFEELRRQLEKLLKDGGSNQRVFDWIDANLNEQQIASNTLVRALMTTVCYSAIIFETPL RVDVQVLKVRARLLQKYLCDEQKELQALYALQALVVTLEQPANLLRMFFDALYDEDVVKE DAFYSWESSKDPAEQQGKGVALKSVTAFFNWLREAEDEESDHN >ENSMUSP00000144594.1 pep:known chromosome:GRCm38:16:20672770:20683842:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000128594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNQPPQIAPKRERKTIRIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPNGESP QVAVIIRPDDRSQGAAIGGRPGLPGPEHSPGTESQPSSPSPTPSPPPILEPGSESNLGVL SIPGDTMTTGMIPMSVEESTPISCETGEPYCLSPEPTLAEPILEVEVTLSKPIPESEFSS SPLQVSTALVPHKVETHEPNGVIPSEDLEPEVESSTEPAPPPLSPCASESLVPIAPTAQP EELLNGAPSPPAVDLSPVSEPEEQAKKVSSAALASILSPAPPVAPSDTSPAQEEEMEEDD DDEEGGEAESEKGGEDVPLDSTPVPAQLSQNLEVAAATQVAVSVPKRRRKIKELNKKEAV GDLLDAFKEVDPAVPEVENQPPTGSNPSPESEGSMVPTQPEETEETWDSKEDKIHNAENI QPGEQKYEYKSDQWKPLNLEEKKRYDREFLLGFQFIFASMQKPEGLPHITDVVLDKANKT PLRQLDPSRLPGINCGPDFTPSFANLGRPALSNRGPPRGGPGGELPRGPAGLGPRRSQQG PRKETRKIISSVIMTEDIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSI LNKLTPQMFQQLMKQVTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKV PTTEKPTVTVNFRKLLLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEA RDIARRRSLGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLD FAKAKPRMDQYFNQM >ENSMUSP00000144107.1 pep:known chromosome:GRCm38:16:20672771:20683842:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000150333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNKAPQPTGPPPARSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQGRSTYVVPTQQ YPVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAPAPVLMNQPPQIAPKRERKT IRIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPNGESPQVAVIIRPDDRSQGA AIGGRPGLPGPEHSPGTESQPSSPSPTPSPPPILEPGSESNLGVLSIPGDTMTTGMIPMS VEESTPISCETGEPYCLSPEPTLAEPILEVEVTLSKPIPESEFSSSPLQVSTALVPHKVE THEPNGVIPSEDLEPEVESSTEPAPPPLSPCASESLVPIAPTAQPEELLNGAPSPPAVDL SPVSEPEEQAKKVSSAALASILSPAPPVAPSDTSPAQEEEMEEDDDDEEGGEAESEKGGE DVPLDSTPVPAQLSQNLEVAAATQVAVSVPKRRRKIKELNKKEAVGDLLDAFKEVDPAVP EVENQPPTGSNPSPESEGSMVPTQPEETEETWDSKEDKIHNAENIQPGEQKYEYKSDQWK PLNLEEKKRYDREFLLGFQFIFASMQKPEGLPHITDVVLDKANKTPLRQLDPSRLPGINC GPDFTPSFANLGRPALSNRGPPRGGPGGELPRGPAGLGPRRSQQGPRKETRKIISSVIMT EDIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSILNKLTPQMFQQLMKQ VTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKVPTTEKPTVTVNFRKL LLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEARDIARRRSLGNIKFI GELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFAKAKPRMDQYFNQM >ENSMUSP00000117587.1 pep:known chromosome:GRCm38:16:20672823:20679271:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000140576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNTPSQPRQGGFRSLQHFYPSRAQPPSSAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQ ISYSASQGAYYIPGQQYPVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAPAPV LMNQPPQIAPKRERKTIRIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPNGES PQVAVIIRPDDRSQGAAIGGRPGLPGPEH >ENSMUSP00000111117.1 pep:known chromosome:GRCm38:16:20672823:20692884:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000115457.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNTPSQPRQHFYPSRAQPPSSAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQISYSASQ GAYYIPGQGRSTYVVPTQQYPVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAP APVLMNQPPQIAPKRERKTIRIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPN GESPQVAVIIRPDDRSQGAAIGGRPGLPGPEHSPGTESQPSSPSPTPSPPPILEPGSESN LGVLSIPGDTMTTGMIPMSVEESTPISCETGEPYCLSPEPTLAEPILEVEVTLSKPIPES EFSSSPLQVSTALVPHKVETHEPNGVIPSEDLEPEVESSTEPAPPPLSPCASESLVPIAP TAQPEELLNGAPSPPAVDLSPVSEPEEQAKKVSSAALASILSPAPPVAPSDTSPAQEEEM EEDDDDEEGGEAESEKGGEDVPLDSTPVPAQLSQNLEVAAATQVAVSVPKRRRKIKELNK KEAVGDLLDAFKEVDPAVPEVENQPPTGSNPSPESEGSMVPTQPEETEETWDSKEDKIHN AENIQPGEQKYEYKSDQWKPLNLEEKKRYDREFLLGFQFIFASMQKPEGLPHITDVVLDK ANKTPLRQLDPSRLPGINCGPDFTPSFANLGRPALSNRGPPRGGPGGELPRGPAGLGPRR SQQGPRKETRKIISSVIMTEDIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRR VRSILNKLTPQMFQQLMKQVTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLM ALKVPTTEKPTVTVNFRKLLLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEE LEEARDIARRRSLGNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIG KDLDFAKAKPRMDQYFNQMEKIIKEKKTSSRIRFMLQDVLDLRQSNWVPRRGDQGPKTID QIHKEAEMEEHREHIKVQQLMAKGSDKRRGGPPGPPINRGLPLVDDGGWNTVPISKGSRP IDTSRLTKITKPGSIDSNNQLFAPGGRLSWGKGSSGGSGAKPSDTASEATRPATLNRFSA LQQTLPAENTDNRRVVQRSSLSRERGEKAGDRGDRLERSERGGDRGDRLDRARTPATKRS FSKEVEERSRERPSQPEGLRKAASLTEDRGRDPVKREATLPPVSPPKAALSVDEVEKKSK AIIEEYLHLNDMKEAVQCVQELASPSLLFIFVRLGIESTLERSTIAREHMGRLLHQLLCA GHLSTAQYYQGLYETLELAEDMEIDIPHVWLYLAELITPILQEDGVPMGELFREITKPLR PMGKATSLLLEILGLLCKSMGPKKVGMLWREAGLSWREFLAEGQDVGSFVAEKKVEYTLG EESEAPGQRTLAFEELRRQLEKLLKDGGSNQRVFDWIDANLNEQQIASNTLVRALMTTVC YSAIIFETPLRVDVQVLKVRARLLQKYLCDEQKELQALYALQALVVTLEQPANLLRMFFD ALYDEDVVKEDAFYSWESSKDPAEQQGKGVALKSVTAFFNWLREAEDEESDHN >ENSMUSP00000119215.1 pep:known chromosome:GRCm38:16:20673295:20692884:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000156226.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNKAPQPTGPPPARSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQHFYPSRAQPPS SAASRVQSAAPARPGPAPH >ENSMUSP00000115230.1 pep:known chromosome:GRCm38:16:20673398:20677798:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000154950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNKAPQPTGPPPARSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQGGFRSLQHFYP SRAQPPSSAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQISYSASQGAYYIPGQGRSTY VVPTQQYPVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAPAPVLMNQPPQIAP KRERKT >ENSMUSP00000111121.1 pep:known chromosome:GRCm38:16:20673420:20692883:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000115461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNKAPQPTGPPPARSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQHFYPSRAQPPS SAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQISYSASQGAYYIPGQGRSTYVVPTQQY PVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAPAPVLMNQPPQIAPKRERKTI RIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPNGESPQVAVIIRPDDRSQGAA IGGRPGLPGPEHSPGTESQPSSPSPTPSPPPILEPGSESNLGVLSIPGDTMTTGMIPMSV EESTPISCETGEPYCLSPEPTLAEPILEVEVTLSKPIPESEFSSSPLQVSTALVPHKVET HEPNGVIPSEDLEPEVESSTEPAPPPLSPCASESLVPIAPTAQPEELLNGAPSPPAVDLS PVSEPEEQAKKVSSAALASILSPAPPVAPSDTSPAQEEEMEEDDDDEEGGEAESEKGGED VPLDSTPVPAQLSQNLEVAAATQVAVSVPKRRRKIKELNKKEAVGDLLDAFKEVDPAVPE VENQPPTGSNPSPESEGSMVPTQPEETEETWDSKEDKIHNAENIQPGEQKYEYKSDQWKP LNLEEKKRYDREFLLGFQFIFASMQKPEGLPHITDVVLDKANKTPLRQLDPSRLPGINCG PDFTPSFANLGRPALSNRGPPRGGPGGELPRGPQAGLGPRRSQQGPRKETRKIISSVIMT EDIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSILNKLTPQMFQQLMKQ VTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKVPTTEKPTVTVNFRKL LLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEARDIARRRSLGNIKFI GELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFAKAKPRMDQYFNQM EKIIKEKKTSSRIRFMLQDVLDLRQSNWVPRRGDQGPKTIDQIHKEAEMEEHREHIKVQQ LMAKGSDKRRGGPPGPPINRGLPLVDDGGWNTVPISKGSRPIDTSRLTKITKPGSIDSNN QLFAPGGRLSWGKGSSGGSGAKPSDTASEATRPATLNRFSALQQTLPAENTDNRRVVQRS SLSRERGEKAGDRGDRLERSERGGDRGDRLDRARTPATKRSFSKEVEERSRERPSQPEGL RKAASLTEDRGRDPVKREATLPPVSPPKAALSVDEVEKKSKAIIEEYLHLNDMKEAVQCV QELASPSLLFIFVRLGIESTLERSTIAREHMGRLLHQLLCAGHLSTAQYYQGLYETLELA EDMEIDIPHVWLYLAELITPILQEDGVPMGELFREITKPLRPMGKATSLLLEILGLLCKS MGPKKVGMLWREAGLSWREFLAEGQDVGSFVAEKKVEYTLGEESEAPGQRTLAFEELRRQ LEKLLKDGGSNQRVFDWIDANLNEQQIASNTLVRALMTTVCYSAIIFETPLRVDVQVLKV RARLLQKYLCDEQKELQALYALQALVVTLEQPANLLRMFFDALYDEDVVKEDAFYSWESS KDPAEQQGKGVALKSVTAFFNWLREAEDEESDHN >ENSMUSP00000143999.1 pep:known chromosome:GRCm38:16:20673439:20678663:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000136713.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MMIPSQISYSASQGAYYIPGQGRSTYVVPTQQYPVQPGAPGFYPGASPTEFGTYAGAYYP AQGVQQFPASVAPAPVLMNQPPQIAPKRERKTIRIRDPNQGGKDITEEIMSGARTASTP >ENSMUSP00000111120.1 pep:known chromosome:GRCm38:16:20673517:20692881:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000115460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNKAPQPTGPPPARSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQGGFRSLQHFYP SRAQPPSSAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQISYSASQGAYYIPGQGRSTY VVPTQQYPVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAPAPVLMNQPPQIAP KRERKTIRIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPNGESPQVAVIIRPD DRSQGAAIGGRPGLPGPEHSPGTESQPSSPSPTPSPPPILEPGSESNLGVLSIPGDTMTT GMIPMSVEESTPISCETGEPYCLSPEPTLAEPILEVEVTLSKPIPESEFSSSPLQVSTAL VPHKVETHEPNGVIPSEDLEPEVESSTEPAPPPLSPCASESLVPIAPTAQPEELLNGAPS PPAVDLSPVSEPEEQAKKVSSAALASILSPAPPVAPSDTSPAQEEEMEEDDDDEEGGEAE SEKGGEDVPLDSTPVPAQLSQNLEVAAATQVAVSVPKRRRKIKELNKKEAVGDLLDAFKE VDPAVPEVENQPPTGSNPSPESEGSMVPTQPEETEETWDSKEDKIHNAENIQPGEQKYEY KSDQWKPLNLEEKKRYDREFLLGFQFIFASMQKPEGLPHITDVVLDKANKTPLRQLDPSR LPGINCGPDFTPSFANLGRPALSNRGPPRGGPGGELPRGPAGLGPRRSQQGPRKETRKII SSVIMTEDIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSILNKLTPQMF QQLMKQVTQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKVPTTEKPTVT VNFRKLLLNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEARDIARRRSL GNIKFIGELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFAKAKPRMD QYFNQMEKIIKEKKTSSRIRFMLQDVLDLRQSNWVPRRGDQGPKTIDQIHKEAEMEEHRE HIKVQQLMAKGSDKRRGGPPGPPINRGLPLVDDGGWNTVPISKGSRPIDTSRLTKITKPG SIDSNNQLFAPGGRLSWGKGSSGGSGAKPSDTASEATRPATLNRFSALQQTLPAENTDNR RVVQRSSLSRERGEKAGDRGDRLERSERGGDRGDRLDRARTPATKRSFSKEVEERSRERP SQPEGLRKAASLTEDRGRDPVKREATLPPVSPPKAALSVDEVEKKSKAIIEEYLHLNDMK EAVQCVQELASPSLLFIFVRLGIESTLERSTIAREHMGRLLHQLLCAGHLSTAQYYQGLY ETLELAEDMEIDIPHVWLYLAELITPILQEDGVPMGELFREITKPLRPMGKATSLLLEIL GLLCKSMGPKKVGMLWREAGLSWREFLAEGQDVGSFVAEKKVEYTLGEESEAPGQRTLAF EELRRQLEKLLKDGGSNQRVFDWIDANLNEQQIASNTLVRALMTTVCYSAIIFETPLRVD VQVLKVRARLLQKYLCDEQKELQALYALQALVVTLEQPANLLRMFFDALYDEDVVKEDAF YSWESSKDPAEQQGKGVALKSVTAFFNWLREAEDEESDHN >ENSMUSP00000120035.1 pep:known chromosome:GRCm38:16:20673814:20678659:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000141034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNKAPQPTGPPPARSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQGGFRSLQHFYP SRAQPPSSAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQISYSASQGAYYIPGQQYPVQ PGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAPAPVLMNQPPQIAPKRERKTIRIR DPNQGGKDITEEIMSGARTAST >ENSMUSP00000120698.1 pep:known chromosome:GRCm38:16:20674111:20678674:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000151679.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNTPSQPRQHFYPSRAQPPSSAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQISYSASQ GAYYIPGQGRSTYVVPTQQYPVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAP APVLMNQPPQIAPKRERKTIRIRDPNQGGKDITEEIMSGARTASTPTPPQ >ENSMUSP00000073506.5 pep:known chromosome:GRCm38:16:20672749:20692881:1 gene:ENSMUSG00000045983.15 transcript:ENSMUST00000073840.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g1 description:eukaryotic translation initiation factor 4, gamma 1 [Source:MGI Symbol;Acc:MGI:2384784] MNKAPQPTGPPPARSPGLPQPAFPPGQTAPVVFSTPQATQMNTPSQPRQHFYPSRAQPPS SAASRVQSAAPARPGPAPHVYPAGSQVMMIPSQISYSASQGAYYIPGQGRSTYVVPTQQY PVQPGAPGFYPGASPTEFGTYAGAYYPAQGVQQFPASVAPAPVLMNQPPQIAPKRERKTI RIRDPNQGGKDITEEIMSGARTASTPTPPQTGGSLEPQPNGESPQVAVIIRPDDRSQGAA IGGRPGLPGPEHSPGTESQPSSPSPTPSPPPILEPGSESNLGVLSIPGDTMTTGMIPMSV EESTPISCETGEPYCLSPEPTLAEPILEVEVTLSKPIPESEFSSSPLQVSTALVPHKVET HEPNGVIPSEDLEPEVESSTEPAPPPLSPCASESLVPIAPTAQPEELLNGAPSPPAVDLS PVSEPEEQAKKVSSAALASILSPAPPVAPSDTSPAQEEEMEEDDDDEEGGEAESEKGGED VPLDSTPVPAQLSQNLEVAAATQVAVSVPKRRRKIKELNKKEAVGDLLDAFKEVDPAVPE VENQPPTGSNPSPESEGSMVPTQPEETEETWDSKEDKIHNAENIQPGEQKYEYKSDQWKP LNLEEKKRYDREFLLGFQFIFASMQKPEGLPHITDVVLDKANKTPLRQLDPSRLPGINCG PDFTPSFANLGRPALSNRGPPRGGPGGELPRGPAGLGPRRSQQGPRKETRKIISSVIMTE DIKLNKAEKAWKPSSKRTAADKDRGEEDADGSKTQDLFRRVRSILNKLTPQMFQQLMKQV TQLAIDTEERLKGVIDLIFEKAISEPNFSVAYANMCRCLMALKVPTTEKPTVTVNFRKLL LNRCQKEFEKDKDDDEVFEKKQKEMDEAATAEERGRLKEELEEARDIARRRSLGNIKFIG ELFKLKMLTEAIMHDCVVKLLKNHDEESLECLCRLLTTIGKDLDFAKAKPRMDQYFNQME KIIKEKKTSSRIRFMLQDVLDLRQSNWVPRRGDQGPKTIDQIHKEAEMEEHREHIKVQQL MAKGSDKRRGGPPGPPINRGLPLVDDGGWNTVPISKGSRPIDTSRLTKITKPGSIDSNNQ LFAPGGRLSWGKGSSGGSGAKPSDTASEATRPATLNRFSALQQTLPAENTDNRRVVQRSS LSRERGEKAGDRGDRLERSERGGDRGDRLDRARTPATKRSFSKEVEERSRERPSQPEGLR KAASLTEDRGRDPVKREATLPPVSPPKAALSVDEVEKKSKAIIEEYLHLNDMKEAVQCVQ ELASPSLLFIFVRLGIESTLERSTIAREHMGRLLHQLLCAGHLSTAQYYQGLYETLELAE DMEIDIPHVWLYLAELITPILQEDGVPMGELFREITKPLRPMGKATSLLLEILGLLCKSM GPKKVGMLWREAGLSWREFLAEGQDVGSFVAEKKVEYTLGEESEAPGQRTLAFEELRRQL EKLLKDGGSNQRVFDWIDANLNEQQIASNTLVRALMTTVCYSAIIFETPLRVDVQVLKVR ARLLQKYLCDEQKELQALYALQALVVTLEQPANLLRMFFDALYDEDVVKEDAFYSWESSK DPAEQQGKGVALKSVTAFFNWLREAEDEESDHN >ENSMUSP00000142694.1 pep:known chromosome:GRCm38:3:89081939:89101099:-1 gene:ENSMUSG00000105204.1 transcript:ENSMUST00000200659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm43738 description:predicted gene 43738 [Source:MGI Symbol;Acc:MGI:5663875] MPLSCWLRSLGVFLLPAPCWAPRERWLGFLQRPSLAYGCPVLGAWHSARWWCQVWTEEPR AFSSSVRMNGNQKLDAYNQEKQNFIQHFSQIVKVLTEKELGHPEIGDAIARLKEVLEYNA LGGKYNRGLTVVQAFQELVEPKKQDAESLQRALTVGWCVELLQAFFLVSDDIMDSSLTRR GQICWYQKPGIGLDAINDALLLEASIYRLLKFYCREQPYYLNLLELFLQSSYQTEIGQTL DLMTAPQGHVDLGRYTEKRYKSIVKYKTAFYSFYLPIAAAMYMAGIDGEKEHANALKILM EMGEFFQVQENYGQKDPEKVARVKALYEALDLQSAFFKYEEDSYNRLKSLIEQCSAPLPP SIFMELANKIYKRRNSCSDLSPDESPVSVYSRDLPGNEDANPQPSTLELGSPLAPAVRSS WSFAGVPGAQRLWMAEAQSGTGQLQEQKKGLLIAVSASVDKIISHFGAARNLVQKAQLGD SRLSPDVGHLVLTTLCPALHALVADGLKPFRKDLITGQRRSSPWSVVEASVKPGSCTHSM GSLYSQVSRLAPLSSSRSRFHAFILGLLNTKQLELWFSSLQEDAGLLSLLYLPTGFFSLA RGSCPSLATELLLLLQPLSVLTFHLDLLFEHHHHLPVGLQQAPAPSCPPPALQQTMQAVL HWGERLAQSLRGTSGESTTDSSTPSARPPAGSWWDQLTQASRVYASGGTEGFPLLRWGPR RHGTTAEAAQEAPPPTEQTTPGRSVWLGRLFGVPGCPSETESGAFKSRRPSSWLPPTVSV LALVKRGTPPETPPEALVSSPGSVVQADRAVRALCDHTAAGPDQLSFQRGELLRVIATVD EDWLRCGRDGVEGLVPSAVSLA >ENSMUSP00000063554.6 pep:known chromosome:GRCm38:17:80224441:80228497:1 gene:ENSMUSG00000055760.12 transcript:ENSMUST00000069486.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin6 description:gem (nuclear organelle) associated protein 6 [Source:MGI Symbol;Acc:MGI:1914492] MSEWMKKSPLEWEDYVYKEVRVIACEKEYKGWLLTTDPVSANIVLVNFLEDGRLSVTGIM GHSVQTVETISEGDHRVREKLMHVFASGDCKGYSPEDLEEKRTSLKKWLEKNHIPVTEQG DAQRTLCVAGVLTIDPPYAPENCSSSNEIILSRIQDLIQGHLSASQ >ENSMUSP00000141191.1 pep:known chromosome:GRCm38:1:52457294:52500300:-1 gene:ENSMUSG00000002881.14 transcript:ENSMUST00000186764.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nab1 description:Ngfi-A binding protein 1 [Source:MGI Symbol;Acc:MGI:107564] MATALPRTLGELQLYRILQKANLLSYFDAFIQQGGDDVQQLCEAGEEEFLEIMALVGMAS KPLHVRRLQKALRDWVTNPGLFNQPLTSLPVSSIPIYKLPEGSPTWLGISCNSYERSSSS REPHLKIPKCAATTCVQSLGQGKSEVGSLALQSVSDSRLWQGHHATESEHSLSPADLGSP ASPKESSEALDAAAALSVAECVERMAPTLPKSDLSEVKELLKNNKKLAKMIGHIFEMSDE DPHKEEEIRKYSAIYGRFDSKRKDGKHLTLHELTVNEAAAQLCVKDNALLTRRDELFALA RQVSREVTYKYTYRTTRLKCGERDELSPKRIKIEDGFPDFQESVPTLFQQARAKSEELAG LGSQAEKGMAKQMELLCAQAGYERLQQERRLTAGLYRQSSGEQSPDGGLPSDSSDGQGER PLNLRIPSVQNRQPHHFVVDGELSRLYSSEAKSHSSESLGILKDYPHSAFTLEKKVIKTE PEDSR >ENSMUSP00000066696.7 pep:known chromosome:GRCm38:1:52457294:52500679:-1 gene:ENSMUSG00000002881.14 transcript:ENSMUST00000069792.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nab1 description:Ngfi-A binding protein 1 [Source:MGI Symbol;Acc:MGI:107564] MATALPRTLGELQLYRILQKANLLSYFDAFIQQGGDDVQQLCEAGEEEFLEIMALVGMAS KPLHVRRLQKALRDWVTNPGLFNQPLTSLPVSSIPIYKLPEGSPTWLGISCNSYERSSSS REPHLKIPKCAATTCVQSLGQGKSEVGSLALQSVSDSRLWQGHHATESEHSLSPADLGSP ASPKESSEALDAAAALSVAECVERMAPTLPKSDLSEVKELLKNNKKLAKMIGHIFEMSDE DPHKEEEIRKYSAIYGRFDSKRKDGKHLTLHELTVNEAAAQLCVKDNALLTRRDELFALA RQVSREVTYKYTYRTTRLKCGERDELSPKRIKIEDGFPDFQESVPTLFQQARAKSEELAG LGSQQAEKGMAKQMELLCAQAGYERLQQERRLTAGLYRQSSGEQSPDGGLPSDSSDGQGE RPLNLRIPSVQNRQPHHFVVDGELSRLYSSEAKSHSSESLGILKDYPHSAFTLEKKVIKT EPEDSR >ENSMUSP00000131239.1 pep:known chromosome:GRCm38:1:52459218:52490736:-1 gene:ENSMUSG00000002881.14 transcript:ENSMUST00000170269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nab1 description:Ngfi-A binding protein 1 [Source:MGI Symbol;Acc:MGI:107564] MATALPRTLGELQLYRILQKANLLSYFDAFIQQGGDDVQQLCEAGEEEFLEIMALVGMAS KPLHVRRLQKALRDWVTNPGLFNQPLTSLPVSSIPIYKLPEGSPTWLGISCNSYERSSSS REPHLKIPKCAATTCVQSLGQGKSEVGSLALQSVSDSRLWQGHHATESEHSLSPADLGSP ASPKESSEALDAAAALSVAECVERMAPTLPKSDLSEVKELLKNNKKLAKMIGHIFEMSDE DPHKEEEIRKYSAIYGRFDSKRKDGKHLTLHELTVNEAAAQLCVKDNALLTRRDELFALA RQVSREVTYKYTYRTTRLKCGERDELSPKRIKIEQAEKGMAKQMELLCAQAGYERLQQER RLTAGLYRQSSGEQSPDGGLPSDSSDGQGERPLNLRIPSVQNRQPHHFVVDGELSRLYSS EAKSHSSESLGILKDYPHSAFTLEKKVIKTEPEDSR >ENSMUSP00000053862.8 pep:known chromosome:GRCm38:16:8633884:8637712:-1 gene:ENSMUSG00000043140.9 transcript:ENSMUST00000052505.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem186 description:transmembrane protein 186 [Source:MGI Symbol;Acc:MGI:1913940] MAFLLRVVPRLQGPTAWRRPLQGLWCCSGQGDSKRWVGSRSPHSREKSPGTETETFHTIY RFRAIRAIGFLSRLKLAQTAVTVVALPPGFYCYSQGLMTLSSLCLLGGVASFALAMLCWM SHFFRRLVGILYVNESGTLLRVAHLTFWGWRQDTYCAVSDMIPLSESQERVQDVFVRIQQ YSGKQTFYLTLRYGRILDRERFAQVFGTLATLKNSK >ENSMUSP00000059353.2 pep:known chromosome:GRCm38:2:25682726:25686081:1 gene:ENSMUSG00000047356.2 transcript:ENSMUST00000058912.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn10 description:lipocalin 10 [Source:MGI Symbol;Acc:MGI:1925000] MKLEMALSIALALAVVSWTQEFFPKEAQTLNWSKFSGFWYIIAIATDTQGFLPARDKRKL GASVVKVHKTGQLRVVIAFSRPRGCQSREVTLKKDRKRPVFRNTLKGVKGFHVLSTDYTY GLVYLRLGRGGSNYKSLLLFNRQNISSFLSLREFLDTCHILQLTKQATILPKDDSCAHTI LP >ENSMUSP00000130477.1 pep:known chromosome:GRCm38:3:89083981:89090045:-1 gene:ENSMUSG00000041263.14 transcript:ENSMUST00000166687.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc1 description:RUN and SH3 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919546] MAEAQSGTGQLQEQKKGLLIAVSASVDKIISHFGAARNLVQKAQLGDSRLSPDVGHLVLT TLCPALHALVADGLKPFRKDLITGQRRSSPWSVVEASVKPGSCTHSMGSLYSQVSRLAPL SSSRSRFHAFILGLLNTKQLELWFSSLQEDAGLLSLLYLPTGFFSLARGSCPSLATELLL LLQPLSVLTFHLDLLFEHHHHLPVGLQQAPAPSCPPPALQQTMQAVLHWGERLAQSLRGT SGESTTDSSTPSARPPAGSWWDQLTQASRVYASGGTEGFPLLRWGPRRHGTTAEAAQEAP PPTEQTTPGRSVWLGRLFGVPGCPSETESGAFKSRRPSSWLPPTVSVLALVKRGTPPETP PEALVSSPGSVVQADRAVRALCDHTAAGPDQLSFQRGELLRVIATVDEDWLRCGRDGVEG LVPVGYTSLVL >ENSMUSP00000088447.5 pep:known chromosome:GRCm38:3:89083981:89093290:-1 gene:ENSMUSG00000041263.14 transcript:ENSMUST00000090929.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc1 description:RUN and SH3 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919546] MLSPQRALLCNLNHIHLQHVSLGLHLSRRPELREGPLSTPPPPGDTGGKESRGPCSGTLV DANSNSPAVPCRCCQEHGSSIENQQDPSQEEEAVSPSDPGCSSSLSSCSDLSPDESPVSV YSRDLPGNEDANPQPSTLELGSPLAPAGPSTCSPDSFCCSPDSCSGISSPPGPDLDSNCN ALTTCQDLPSPGLEEEEDSGEQDLATSELSETEDGRIDAGKAEPSWKINPIWKIDTEKTE AGWKTIEDSDSGRKTDENTNSSLKTESGKLASCLNTNSGSKIDAGKTDGGWRGDVSQEPV PHRTITSFHELAQKRKRGPGLPLVPQAKKDRSDWLIVFSPDTELPPTGSLGGSLAPPREV TTFKELRSRSRAQPPPVPPRDPPAGWALVPPRPPPPPVPPRRKKNRLGLQPIAEGLSEEG RAASPRAGEEASASQEPEEPRAHAVAGPSLFPRPPVFRFSADGRPLLEGGGAGAPGSLLF TPLTGWSNSRLRLLGAASPPEEQLLPVRLSPVGAYSPPTRGALPCLASPELALLLSPLFP RSSTFPAAAPLPRQVPAPPLPTPPCPPTAPRWTRRPPPPPRQLRSSWSFAGVPGAQRLWM AEAQSGTGQLQEQKKGLLIAVSASVDKIISHFGAARNLVQKAQLGDSRLSPDVGHLVLTT LCPALHALVADGLKPFRKDLITGQRRSSPWSVVEASVKPGSCTHSMGSLYSQVSRLAPLS SSRSRFHAFILGLLNTKQLELWFSSLQEDAGLLSLLYLPTGFFSLARGSCPSLATELLLL LQPLSVLTFHLDLLFEHHHHLPVGLQQAPAPSCPPPALQQTMQAVLHWGERLAQSLRGTS GESTTDSSTPSARPPAGSWWDQLTQASRVYASGGTEGFPLLRWGPRRHGTTAEAAQEAPP PTEQTTPGRSVWLGRLFGVPGCPSETESGAFKSRRPSSWLPPTVSVLALVKRGTPPETPP EALVSSPGSVVQADRAVRALCDHTAAGPDQLSFQRGELLRVIATVDEDWLRCGRDGVEGL VPVGYTSLVL >ENSMUSP00000056640.6 pep:known chromosome:GRCm38:3:89083981:89093311:-1 gene:ENSMUSG00000041263.14 transcript:ENSMUST00000052539.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc1 description:RUN and SH3 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919546] MLSPQRALLCNLNHIHLQHVSLGLHLSRRPELREGPLSTPPPPGDTGGKESRGPCSGTLV DANSNSPAVPCRCCQEHGSSIENQQDPSQEEEAVSPSDPGCSSSLSSCSDLSPDESPVSV YSRDLPGNEDANPQPSTLELGSPLAPAGPSTCSPDSFCCSPDSCSGISSPPGPDLDSNCN ALTTCQDLPSPGLEEEEDSGEQDLATSELSETEDGRIDAGKAEPSWKINPIWKIDTEKTE AGWKTIEDSDSGRKTDENTNSSLKTESGKLASCLNTNSGSKIDAGKTDGGWRGDVSQEPV PHRTITSFHELAQKRKRGPGLPLVPQAKKDRSDWLIVFSPDTELPPTGSLGGSLAPPREV TTFKELRSRSRAQPPPVPPRDPPAGWALVPPRPPPPPVPPRRKKNRLGLQPIAEGLSEEG RAASPRAGEEASASQEPEEPRAHAVVRSSWSFAGVPGAQRLWMAEAQSGTGQLQEQKKGL LIAVSASVDKIISHFGAARNLVQKAQLGDSRLSPDVGHLVLTTLCPALHALVADGLKPFR KDLITGQRRSSPWSVVEASVKPGSCTHSMGSLYSQVSRLAPLSSSRSRFHAFILGLLNTK QLELWFSSLQEDAGLLSLLYLPTGFFSLARGSCPSLATELLLLLQPLSVLTFHLDLLFEH HHHLPVGLQQAPAPSCPPPALQQTMQAVLHWGERLAQSLRGTSGESTTDSSTPSARPPAG SWWDQLTQASRVYASGGTEGFPLLRWGPRRHGTTAEAAQEAPPPTEQTTPGRSVWLGRLF GVPGCPSETESGAFKSRRPSSWLPPTVSVLALVKRGTPPETPPEALVSSPGSVVQADRAV RALCDHTAAGPDQLSFQRGELLRVIATVDEDWLRCGRDGVEGLVPVGYTSLVL >ENSMUSP00000143662.1 pep:known chromosome:GRCm38:3:89088221:89089975:-1 gene:ENSMUSG00000041263.14 transcript:ENSMUST00000196223.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc1 description:RUN and SH3 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919546] MAEAQSGTGQLQEQKKGLLIAVSASVDKIISHFGAARNLVQKAQLGDSRLSPDVGHLVLT TLCPALHALVADGLKPFRKDLITGQRRSSPWSVVEASVKPGSCTHSMGSLYSQVSRLAPL SSSRSRFHAFILGLLNTKQLELWFSSLQEDAGLLSLLYLPTGFFSLA >ENSMUSP00000142622.1 pep:known chromosome:GRCm38:3:89089239:89089975:-1 gene:ENSMUSG00000041263.14 transcript:ENSMUST00000196043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc1 description:RUN and SH3 domain containing 1 [Source:MGI Symbol;Acc:MGI:1919546] MAEAQSGTGQLQEQKKGLLIAVSASVDKIISHFGAARNLVQKAQLGDSRLSPDVGHLVLT TLCPALHALVADGLKPFRK >ENSMUSP00000131450.1 pep:known chromosome:GRCm38:5:109420013:109453387:1 gene:ENSMUSG00000091879.1 transcript:ENSMUST00000171841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r17 description:vomeronasal 2, receptor 17 [Source:MGI Symbol;Acc:MGI:3647193] MKKLCVFTISFLLMTFSLFLCSLTGPSCFWRIQNNEDNDGDLHRDCGFFLFTSEEPIKED FYHFCIDFRIPARRYEVFLVMFFATDEINRNPYLLPNMSLIFCLIVGMCGDTLGYLEETH SPNNTWNSINYNCEIRPICDIELTGPSWTTSVKLAINSRKPKVFFGPFNSHLSDHDQFPY IYQVATKDTHLSHGMVSLMLHFRWTWIGLVISDDDQSIQFLSDLREAMQRHGICLAFVNM IPENMQIYMTRGKIYDKQIMTSSAKVVIIYGEMNSTLEVSFRRWAYLCARRIWITTSQWD VITNKRDFSFDFFHGTVTFAHHNGEIVIFRNFLQTVNTSKYPLDISQTMQEWNYFNCSIS KNSNSKMDHFTFNNTLEWTALQKLNMVLSEEGYNLYNAVYAVAHTYHELILQQVEFQKMA EPKGIFTDCQQVASLLKTRVFTNPVGELVNMKHRENQCADYDIFNIWNFPQGLGLRVKIG SYYPCFPQNQQLHISEDLEWATGGTSVPTSMCSVTCTAGFRKIHQKQTADCCFDCAHCPE NEVSNETADMEQCVRCPDDKYANLEQTHCLQRAVSFLAYEDPLGMALGCMALCFSALTLL VFFTFVKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIGHPNQATCILQQTTFGVFFT VAISTVLAKTITVVMAFKLTAPGRRVRGMLVAGAPNLAIPICTLIQIVLCGIWLVTSPPF IGRDTKSEHGKTILICNKGSVIAFHFVLGYLGSLALGSFTVAFLARNLPDRFNEAKFLTF SMLMFCSVWITFLPVYHSTRGKAMVVVEVFSILASSAALLGFIFVPKCYVILVKSDSNFR EKYKNKFHY >ENSMUSP00000072063.4 pep:known chromosome:GRCm38:7:43950664:43954941:1 gene:ENSMUSG00000063089.4 transcript:ENSMUST00000072204.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b8 description:kallikrein 1-related peptidase b8 [Source:MGI Symbol;Acc:MGI:892018] MRFLILFLALSLGGIDAAPPLQSRVVGGFNCEKNSQPWQVAVYDNKEHICGGVLLERNWV LTAAHCYVDQYEVWLGKNKLFQEEPSAQHRLVSKSFPHPGFNMSLLTLKEIPPGADFSND LMLLRLSKPADITDAVKPITLPTKESKLGSTCLASGWGSITPTKWQKPDDLQCVFLKLLP IKNCIENHNVKVTDVMLCAGEMSGGKNICKGDSGGPLICDSVLQGITSTGPIPCGKPGVP AMYTNLIKFNSWIKDTMTKNS >ENSMUSP00000139031.1 pep:known chromosome:GRCm38:6:119570796:119848150:-1 gene:ENSMUSG00000030172.15 transcript:ENSMUST00000183703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erc1 description:ELKS/RAB6-interacting/CAST family member 1 [Source:MGI Symbol;Acc:MGI:2151013] MYGSARSVGKVEPSSQSPGRSPRLPRSPRLGHRRTNSTGGSSGNSVGGGSGKTLSMENIQ SLNAAYATSGPMYLSDHENVGAETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS SSMNSIKTFWSPELKKERALRKDEASKITIWKEQYRVVQEENQHMQMTIQALQDELRIQR DLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQ TLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENNML REEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSSEERE EEMKQMEVYRSHSKFMKNKVEQLKEELSSKDAQGEELKKRAAGLQSEIGQVKQELSRKDT ELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKETMLNK KTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVLQKKIENLQEQLRDKEKQMSSLKER VKSLQADTTNTDTALTTLEEALADKERTIERLKEQRDRDEREKQEEIDTYKKDLKDLREK VSLLQGDLSEKEASLLDIKEHASSLASSGLKKDSRLKTLEIALEQKKEECLKMESQLKKA HEATLEARASPEMSDRIQQLEREISRYKDESSKAQTEVDRLLEILKEVENEKNDKDKKIA ELESLTSRQVKDQNKKVANLKHKEQVEKKKSAQMLEEARRREDSLSDSSQQLQDSLRKKD DRIEELEEALRESVQITAEREMVLAQEESARTNAEKQVEELLMAMEKVKQELESMKAKLS STQQSLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKKTQE EVAALKREKDRLVQQLKQQTQNRMKLMADNYEDDHFRSSRSNQTNHKPSPDQIIQPLLEL DQNRSKLKLYIGHLTALCHDRDPLILRGLTPPASYNADGEQAAWENELQKMTQEQLQNEL EKVEGDNAELQEFANTILQQIADHCPDILEQVVNALEESS >ENSMUSP00000139152.1 pep:known chromosome:GRCm38:6:119572630:119848099:-1 gene:ENSMUSG00000030172.15 transcript:ENSMUST00000185139.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Erc1 description:ELKS/RAB6-interacting/CAST family member 1 [Source:MGI Symbol;Acc:MGI:2151013] MYGSARSVGKVEPSSQSPGRSPRLPRSPRLGHRRTNSTGGSSGNSVGGGSGKTLSMENIQ SLNAAYATSGPMYLSDHENVGAETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS SSMNSIKTFWSPELKKERALRKDEASKITIWKEQYRVVQEENQHMQMTIQALQDELRIQR DLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQ TLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENNML REEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSSEERE EEMKQMEVYRSHSKFMKNKIGQVKQELSRKDTELLALQTKLETLTNQFSDSKQHIEVLKE SLTAKEQRAAILQTEVDALRLRLEEKETMLNKKTKQIQDMAEEKGTQAGEIHDLKDMLDV KERKVNVLQKKIENLQEQLRDKEKQMSSLKERVKSLQADTTNTDTALTTLEEALADKERT IERLKEQRDRDEREKQEEIDTYKKDLKDLREKVSLLQGDLSEKEASLLDIKEHASSLASS GLKKDSRLKTLEIALEQKKEECLKMESQLKKAHEATLEARASPEMSDRIQQLEREISRYK DESSKAQTEVDRLLEILKEVENEKNDKDKKIAELESLTSRQVKDQNKKVANLKHKEQVEK KKSAQMLEEARRREDSLSDSSQQLQDSLRKKDDRIEELEEALRESVQITAEREMVLAQEE SARTNAEKQVEELLMAMEKVKQELESMKAKLSSTQQSLAEKETHLTNLRAERRKHLEEVL EMKQEALLAAISEKDANIALLELSSSKKKTQEEVAALKREKDRLVQQLKQQTQNRMKLMA DNYEDDHFRSSRSNQTNHKPSPDQDEEEGIWA >ENSMUSP00000139256.1 pep:known chromosome:GRCm38:6:119573728:119848059:-1 gene:ENSMUSG00000030172.15 transcript:ENSMUST00000184864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erc1 description:ELKS/RAB6-interacting/CAST family member 1 [Source:MGI Symbol;Acc:MGI:2151013] MYGSARSVGKVEPSSQSPGRSPRLPRSPRLGHRRTNSTGGSSGNSVGGGSGKTLSMENIQ SLNAAYATSGPMYLSDHENVGAETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS SSMNSIKTFWSPELKKERALRKDEASKITIWKEQYRVVQEENQHMQMTIQALQDELRIQR DLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQ TLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENNML REEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSSEERE EEMKQMEVYRSHSKFMKNKVEQLKEELSSKDAQGEELKKRAAGLQSEIGQVKQELSRKDT ELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKETMLNK KTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVLQKKIENLQEQLRDKEKQMSSLKER VKSLQADTTNTDTALTTLEEALADKERTIERLKEQRDRDEREKQEEIDTYKKDLKDLREK VSLLQGDLSEKEASLLDIKEHASSLASSGLKKDSRLKTLEIALEQKKEECLKMESQLKKA HEATLEARASPEMSDRIQQLEREISRYKDESSKAQTEVDRLLEILKEVENEKNDKDKKIA ELERQVKDQNKKVANLKHKEQVEKKKSAQMLEEARRREDSLSDSSQQLQDSLRKKDDRIE ELEEALRESVQITAEREMVLAQEESARTNAEKQVEELLMAMEKVKQELESMKAKLSSTQQ SLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKKTQEEVAA LKREKDRLVQQLKQQTQNRMKLMADNYEDDHFRSSRSNQTNHKPSPDQIIQPLLELDQNR SKLKLYIGHLTALCHDRDPLILRGLTPPASYNADGEQAAWENELQKMTQEQLQNELEKVE GDNAELQEFANTILQQIADHCPDILEQVVNALEESS >ENSMUSP00000138823.1 pep:known chromosome:GRCm38:6:119574577:119848115:-1 gene:ENSMUSG00000030172.15 transcript:ENSMUST00000183880.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Erc1 description:ELKS/RAB6-interacting/CAST family member 1 [Source:MGI Symbol;Acc:MGI:2151013] MYGSARSVGKVEPSSQSPGRSPRLPRSPRLGHRRTNSTGGSSGNSVGGGSGKTLSMENIQ SLNAAYATSGPMYLSDHENVGAETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS SSMNSIKTFWSPELKKERALRKDEASKITIWKEQYRVVQEENQHMQMTIQALQDELRIQR DLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQ TLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENNML REEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSSEERE EEMKQMEVYRSHSKFMKNKIGQVKQELSRKDTELLALQTKLETLTNQFSDSKQHIEVLKE SLTAKEQRAAILQTEVDALRLRLEEKETMLNKKTKQIQDMAEEKGTQAGEIHDLKDMLDV KERKVNVLQKKIENLQEQLRDKEKQMSSLKERVKSLQADTTNTDTALTTLEEALADKERT IERLKEQRDRDEREKQEEIDTYKKDLKDLREKVSLLQGDLSEKEASLLDIKEHASSLASS GLKKDSRLKTLEIALEQKKEECLKMESQLKKAHEATLEARASPEMSDRIQQLEREISRYK DESSKAQTEVDRLLEILKEVENEKNDKDKKIAELESLTSRQVKDQNKKVANLKHKEQVEK KKSAQMLEEARRREDSLSDSSQQLQVEELLMAMEKVKQELESMKAKLSSTQQSLAEKETH LTNLRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKKTQEEVAALKREKDRL VQQLKQQTQNRMKLMADNYEDDHFRSSRSNQTNHKPSPDQDEEEGIWA >ENSMUSP00000139207.1 pep:known chromosome:GRCm38:6:119575013:119743444:-1 gene:ENSMUSG00000030172.15 transcript:ENSMUST00000184320.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Erc1 description:ELKS/RAB6-interacting/CAST family member 1 [Source:MGI Symbol;Acc:MGI:2151013] XMSDRIQQLEREISRYKDESSKAQTEVDRLLEILKEVENEKNDKDKKIAELERQVKDQNK KVANLKHKEQVEKKKSAQMLEEARRREDSLSDSSQQLQDSLRKKDDRIEELEEALRESVQ ITAEREMVLAQEESARTNAEKQVEELLMAMEKVKQELESMKAKLSSTQQSLAEKETHLTN LRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKKTQEEVAALKREKDRLVQQ LKQQTQNRMKLMADNYEDDHFRSSRSNQTNHKPSPDQDEEEGIWA >ENSMUSP00000139030.1 pep:known chromosome:GRCm38:6:119575245:119848134:-1 gene:ENSMUSG00000030172.15 transcript:ENSMUST00000184838.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Erc1 description:ELKS/RAB6-interacting/CAST family member 1 [Source:MGI Symbol;Acc:MGI:2151013] MYGSARSVGKVEPSSQSPGRSPRLPRSPRLGHRRTNSTGGSSGNSVGGGSGKTLSMENIQ SLNAAYATSGPMYLSDHENVGAETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS SSMNSIKTFWSPELKKERALRKDEASKITIWKEQYRVVQEENQHMQMTIQALQDELRIQR DLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQ TLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENNML REEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSSEERE EEMKQMEVYRSHSKFMKNKVEQLKEELSSKDAQGEELKKRAAGLQSEIGQVKQELSRKDT ELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKETMLNK KTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVLQKKIENLQEQLRDKEKQMSSLKER VKSLQADTTNTDTALTTLEEALADKERTIERLKEQRDRDEREKQEEIDTYKKDLKDLREK VSLLQGDLSEKEASLLDIKEHASSLASSGLKKDSRLKTLEIALEQKKEECLKMESQLKKA HEATLEARASPEMSDRIQQLEREISRYKDESSKAQTEVDRLLEILKEVENEKNDKDKKIA ELESLTSRQVKDQNKKVANLKHKEQVEKKKSAQMLEEARRREDSLSDSSQQLQVEELLMA MEKVKQELESMKAKLSSTQQSLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDA NIALLELSSSKKKTQEEVAALKREKDRLVQQLKQQTQNRMKLMADNYEDDHFRSSRSNQT NHKPSPDQDEEEGIWA >ENSMUSP00000139118.1 pep:known chromosome:GRCm38:6:119575315:119848167:-1 gene:ENSMUSG00000030172.15 transcript:ENSMUST00000183911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erc1 description:ELKS/RAB6-interacting/CAST family member 1 [Source:MGI Symbol;Acc:MGI:2151013] MYGSARSVGKVEPSSQSPGRSPRLPRSPRLGHRRTNSTGGSSGNSVGGGSGKTLSMENIQ SLNAAYATSGPMYLSDHENVGAETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS SSMNSIKTFWSPELKKERALRKDEASKITIWKEQYRVVQEENQHMQMTIQALQDELRIQR DLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQ TLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENNML REEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSSEERE EEMKQMEVYRSHSKFMKNKIGQVKQELSRKDTELLALQTKLETLTNQFSDSKQHIEVLKE SLTAKEQRAAILQTEVDALRLRLEEKETMLNKKTKQIQDMAEEKGTQAGEIHDLKDMLDV KERKVNVLQKKIENLQEQLRDKEKQMSSLKERVKSLQADTTNTDTALTTLEEALADKERT IERLKEQRDRDEREKQEEIDTYKKDLKDLREKVSLLQGDLSEKEASLLDIKEHASSLASS GLKKDSRLKTLEIALEQKKEECLKMESQLKKAHEATLEARASPEMSDRIQQLEREISRYK DESSKAQTEVDRLLEILKEVENEKNDKDKKIAELERQVKDQNKKVANLKHKEQVEKKKSA QMLEEARRREDSLSDSSQQLQDSLRKKDDRIEELEEALRESVQITAEREMVLAQEESART NAEKQVEELLMAMEKVKQELESMKAKLSSTQQSLAEKETHLTNLRAERRKHLEEVLEMKQ EALLAAISEKDANIALLELSSSKKKTQEEVAALKREKDRLVQQLKQQTQNRMKLMADNYE DDHFRSSRSNQTNHKPSPDQIIQPLLELDQNRSKLKLYIGHLTALCHDRDPLILRGLTPP ASYNADGEQAAWENELQKMTQEQLQNELEKVEGDNAELQEFANTILQQIADHCPDILEQV VNALEESS >ENSMUSP00000138989.1 pep:known chromosome:GRCm38:6:119575530:119848089:-1 gene:ENSMUSG00000030172.15 transcript:ENSMUST00000185143.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Erc1 description:ELKS/RAB6-interacting/CAST family member 1 [Source:MGI Symbol;Acc:MGI:2151013] MYGSARSVGKVEPSSQSPGRSPRLPRSPRLGHRRTNSTGGSSGNSVGGGSGKTLSMENIQ SLNAAYATSGPMYLSDHENVGAETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS SSMNSIKTFWSPELKKERALRKDEASKITIWKEQYRVVQEENQVDALRLRLEEKETMLNK KTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVLQKKIENLQEQLRDKEKQMSSLKER VKSLQADTTNTDTALTTLEEALADKERTIERLKEQRDRDEREKQEEIDTYKKDLKDLREK VSLLQGDLSEKEASLLDIKEHASSLASSGLKKDSRLKTLEIALEQKKEECLKMESQLKKA HEATLEARASPEMSDRIQQLEREISRYKDESSKAQTEVDRLLEILKEVENEKNDKDKKIA ELESLTSRQVKDQNKKVANLKHKEQVEKKKSAQMLEEARRREDSLSDSSQQLQDSLRKKD DRIEELEEALRESVQITAEREMVLAQEESARTNAEKQVEELLMAMEKVKQELESMKAKLS STQQSLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKKTQE EVAALKREKDRLVQQLKQQTQNRMKLMADNYEDDHFRSSRSNQTNHKPSPDQDEEEGIWA >ENSMUSP00000078534.3 pep:known chromosome:GRCm38:6:119772706:119848102:-1 gene:ENSMUSG00000030172.15 transcript:ENSMUST00000079582.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erc1 description:ELKS/RAB6-interacting/CAST family member 1 [Source:MGI Symbol;Acc:MGI:2151013] MDLQTQLKEVLRENDLLRKDVEVKESKLSSSMNSIKTFWSPELKKERALRKDEASKITIW KEQYRVVQEENQHMQMTIQALQDELRIQRDLNQLFQQDSSSRTGEPCVAELTEENFQRLH AEHERQAKELFLLRKTLEEMELRIETQKQTLNARDESIKKLLEMLQSKGLSAKATEEDHE RTRRLAEAEMHVHHLESLLEQKEKENNMLREEMHRRFENAPDSAKTKALQTVIEMKDSKI SSMERGLRDLEEEIQMLKSNGALSSEEREEEMKQMEVYRSHSKFMKNKIGQVKQELSRKD TELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQRAAILQTEVKSFCDLCRIQSIPSF ILLYICYV >ENSMUSP00000032279.5 pep:known chromosome:GRCm38:6:119570804:119825226:-1 gene:ENSMUSG00000030172.15 transcript:ENSMUST00000032279.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erc1 description:ELKS/RAB6-interacting/CAST family member 1 [Source:MGI Symbol;Acc:MGI:2151013] MYGSARSVGKVEPSSQSPGRSPRLPRSPRLGHRRTNSTGGSSGNSVGGGSGKTLSMENIQ SLNAAYATSGPMYLSDHENVGAETPKSTMTLGRSGGRLPYGVRMTAMGSSPNIASSGVAS DTIAFGEHHLPPVSMASTVPHSLRQARDNTIMDLQTQLKEVLRENDLLRKDVEVKESKLS SSMNSIKTFWSPELKKERALRKDEASKITIWKEQYRVVQEENQHMQMTIQALQDELRIQR DLNQLFQQDSSSRTGEPCVAELTEENFQRLHAEHERQAKELFLLRKTLEEMELRIETQKQ TLNARDESIKKLLEMLQSKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENNML REEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSSEERE EEMKQMEVYRSHSKFMKNKVEQLKEELSSKDAQGEELKKRAAGLQSEIGQVKQELSRKDT ELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKETMLNK KTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVLQKKIENLQEQLRDKEKQMSSLKER VKSLQADTTNTDTALTTLEEALADKERTIERLKEQRDRDEREKQEEIDTYKKDLKDLREK VSLLQGDLSEKEASLLDIKEHASSLASSGLKKDSRLKTLEIALEQKKEECLKMESQLKKA HEATLEARASPEMSDRIQQLEREISRYKDESSKAQTEVDRLLEILKEVENEKNDKDKKIA ELESLTSRQVKDQNKKVANLKHKEQVEKKKSAQMLEEARRREDSLSDSSQQLQDSLRKKD DRIEELEEALRESVQITAEREMVLAQEESARTNAEKQVEELLMAMEKVKQELESMKAKLS STQQSLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKKTQE EVAALKREKDRLVQQLKQQTQNRMKLMADNYEDDHFRSSRSNQTNHKPSPDQIIQPLLEL DQNRSKLKLYIGHLTALCHDRDPLILRGLTPPASYNADGEQAAWENELQKMTQEQLQNEL EKVEGDNAELQEFANTILQQIADHCPDILEQVVNALEESS >ENSMUSP00000131503.1 pep:known chromosome:GRCm38:15:74851010:74856318:-1 gene:ENSMUSG00000022589.7 transcript:ENSMUST00000167634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp11b2 description:cytochrome P450, family 11, subfamily b, polypeptide 2 [Source:MGI Symbol;Acc:MGI:88584] MAMALRVTADVWLARPWQCLHRTRALGTTATLAPKTLQPFEAIPQYSRNKWLKMIQILRE QGQENLHLEMHQVFRELGPIFRHSVGKTQIVSVMLPEDAEKLHQVESMLPRRMHLEPWVA HRELRGLRRGVFLLNGPEWRLNRLRLNRNVLSPKAVQKFVPMVDMVARDFLETLKEKVLQ NARGSLTMDVQQSLFNYTIEASNFALFGERLGLLGHDLSPGSLKFIHALHSMFKSTSQLL FLPKSLTRWTSTRVWKEHFDAWDVISEYANRCIWKVHQELRLGSSQTYSGIVAELISQGS LPLDAIKANSMELTAGSVDTTAIPLVMTLFELARNPDVQKALRQESLAAEASIAANPQKA MSDLPLLRAALKETLRLYPVGGFLERILSSDLVLQNYHVPAGTLVLLYLYSMGRNPAVFP RPERYMPQRWLERKRSFQHLAFGFGVRQCLGRRLAEVEMMLLLHHILKTFQVETLRQEDV QMAYRFVLMPSSSPVLTFRPVS >ENSMUSP00000013304.6 pep:known chromosome:GRCm38:8:105524465:105566047:-1 gene:ENSMUSG00000013160.7 transcript:ENSMUST00000013304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0d1 description:ATPase, H+ transporting, lysosomal V0 subunit D1 [Source:MGI Symbol;Acc:MGI:1201778] MSFFPELYFNVDNGYLEGLVRGLKAGVLSQADYLNLVQCETLEDLKLHLQSTDYGNFLAN EASPLTVSVIDDKLKEKMVVEFRHMRNHAYEPLASFLDFITYSYMIDNVILLITGTLHQR SIAELVPKCHPLGSFEQMEAVNIAQTPAELYNAILVDTPLAAFFQDCISEQDLDEMNIEI IRNTLYKAYLESFYKFCTLLGGTTADAMCPILEFEADRRAFIITINSFGTELSKEDRAKL FPHCGRLYPEGLAQLARADDYEQVKNVADYYPEYKLLFEGAGSNPGDKTLEDRFFEHEVK LNKLAFLNQFHFGVFYAFVKLKEQECRNIVWIAECIAQRHRAKIDNYIPIF >ENSMUSP00000077879.2 pep:known chromosome:GRCm38:7:43966751:43971318:1 gene:ENSMUSG00000063133.2 transcript:ENSMUST00000078835.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b1 description:kallikrein 1-related peptidase b1 [Source:MGI Symbol;Acc:MGI:892019] MWFLILFLALSLGGIDAAPPVQSRIVGGFKCEKNSQPWHVAVYRYKEYICGGVLLDANWV LTAAHCYYEKNNVWLGKNNLYQDEPSAQHRLVSKSFLHPCYNMSLHRNRIQNPQDDYSYD LMLLRLSKPADITDVVKPIALPTEEPKLGSTCLASGWGSIIPVKFQYAKDLQCVNLKLLP NEDCDKAYVQKVTDVMLCAGVKGGGKDTCKGDSGGPLICDGVLQGLTSWGYNPCGEPKKP GVYTKLIKFTSWIKDTLAQNP >ENSMUSP00000015278.7 pep:known chromosome:GRCm38:7:66390890:66427517:-1 gene:ENSMUSG00000015134.15 transcript:ENSMUST00000015278.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1a3 description:aldehyde dehydrogenase family 1, subfamily A3 [Source:MGI Symbol;Acc:MGI:1861722] MATTNGAVENGQPDGKPPALPRPIRNLEVKFTKIFINNDWHESKSGRKFATYNPSTLEKI CEVEEGDKPDVDKAVEAAQAAFQRGSPWRRLDALSRGQLLHQLADLVERDRAILATLETM DTGKPFLHAFFVDLEGCIKTFRYFAGWADKIQGRTIPTDDNVVCFTRHEPIGVCGAITPW NFPLLMLAWKLAPALCCGNTVVLKPAEQTPLTALYLASLIKEVGFPPGVVNIVPGFGPTV GAAISSHPQINKIAFTGSTEVGKLVREAASRSNLKRVTLELGGKNPCIVCADADLDLAVE CAHQGVFFNQGQCCTAASRVFVEEQVYGEFVRRSVEFAKKRPVGDPFDAKTEQGPQIDQK QFDKILELIESGKKEGAKLECGGSAMEDRGLFIKPTVFSDVTDNMRIAKEEIFGPVQPIL KFKNLEEVIKRANSTDYGLTAAVFTKNLDKALKLAAALESGTVWINCYNAFYAQAPFGGF KMSGNGRELGEYALAEYTEVKTVTIKLEEKNP >ENSMUSP00000133370.1 pep:known chromosome:GRCm38:7:66402049:66419235:-1 gene:ENSMUSG00000015134.15 transcript:ENSMUST00000174701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1a3 description:aldehyde dehydrogenase family 1, subfamily A3 [Source:MGI Symbol;Acc:MGI:1861722] XDKAVEAAQAAFQRGSPWRRLDALSRGQLLHQLADLVERDRAILATLETMDTGKPFLHAF FVDLEGCIKTFRYFAGWADKIQGRTIPTDDNVVCFTRHEPIGVCGAITPWNFPLLMLAWK LAPALCCGNTVVLKPAEQTPLTALYLASLIKEVGKLVREAASRSNLKRVTLELGGKNPCI VCADADLDLAVECAHQGVFFNQGQCCTAASRVFVEEQVYGEFVRRSVEFAKKRPVGDPFD AKTEQGPQIDQKQFDKILELIESGKKEGAKLECGGSA >ENSMUSP00000146806.1 pep:known chromosome:GRCm38:7:66408511:66426589:-1 gene:ENSMUSG00000015134.15 transcript:ENSMUST00000174209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1a3 description:aldehyde dehydrogenase family 1, subfamily A3 [Source:MGI Symbol;Acc:MGI:1861722] MDTGKPFLHAFFVDLEGCIKTFRYFAGWADKIQGRTIPTDDNVVCFTRHEPIGVCGAITP WNFPLLMLAWKLAPALCCGNTVVLKPAEQTPLTALYLASLIKEVGFPPGVVNIVPGFGPT VGAAISSHPQINKIAFTGSTEVRPLCELLPETTTAPLFETHRRFLEKLKYYLLLFF >ENSMUSP00000146703.1 pep:known chromosome:GRCm38:7:66408957:66425701:-1 gene:ENSMUSG00000015134.15 transcript:ENSMUST00000174215.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1a3 description:aldehyde dehydrogenase family 1, subfamily A3 [Source:MGI Symbol;Acc:MGI:1861722] MDTGKPFLHAFFVDLEGCIKTFRYFAGWADKIQGRTIPTDDNVVCFTRHEPIGVCGAITP WNFPLLMLAWKLAPALCCGNTVVLKPAEQTPLTALYLASLIKEVGFPPGVVNIVPGFGPT VGAAISSHPQINKIAFTGSTEVRPLCELLPETTTAPLFETHRRFLEKLKYYLLLFF >ENSMUSP00000124631.1 pep:known chromosome:GRCm38:7:47426328:47452139:-1 gene:ENSMUSG00000093973.1 transcript:ENSMUST00000159004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpra2a description:MAS-related GPR, member A2A [Source:MGI Symbol;Acc:MGI:3821888] MGESNGEAFLAFKTSASPTAPVTTNPMDETLPGSINIRILIPKLMIIIFGLVGLMGNAIV FWLLGFHLRRNAFSVYILNLALADFLFLLSSIIASTLFLLKVSYLSIIFHLCFNTIIMVV YITGISMLSAISTECCLSVLCPTWYRCHRPVHTSTVMCAVIWVLSLLICILNSYFCAVLH TRYDNDNECLATNIFTASYMIFLLVVLCLSSLALLARLFCGAGQMKLTRFHVTILLTLLV FLLCGLPFVIYCILLFKIKDDFHVLDVNLYLALEVLTAINSCANPIIYFFVGSFRHRLKH QTLKMVLQSALQDTPETAENMVEMSSNKAEP >ENSMUSP00000074236.2 pep:known chromosome:GRCm38:17:8434423:8442496:1 gene:ENSMUSG00000062327.10 transcript:ENSMUST00000074667.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:T description:brachyury [Source:MGI Symbol;Acc:MGI:98472] MSSPGTESAGKSLQYRVDHLLSAVESELQAGSEKGDPTERELRVGLEESELWLRFKELTN EMIVTKNGRRMFPVLKVNVSGLDPNAMYSFLLDFVTADNHRWKYVNGEWVPGGKPEPQAP SCVYIHPDSPNFGAHWMKAPVSFSKVKLTNKLNGGGQIMLNSLHKYEPRIHIVRVGGPQR MITSHCFPETQFIAVTAYQNEEITALKIKYNPFAKAFLDAKERNDHKDVMEEPGDCQQPG YSQWGWLVPGAGTLCPPASSHPQFGGSLSLPSTHGCERYPALRNHRSSPYPSPYAHRNSS PTYADNSSACLSMLQSHDNWSSLGVPGHTSMLPVSHNASPPTGSSQYPSLWSVSNGTITP GSQTAGVSNGLGAQFFRGSPAHYTPLTHTVSAATSSSSGSPMYEGAATVTDISDSQYDTA QSLLIASWTPVSPPSM >ENSMUSP00000119581.1 pep:known chromosome:GRCm38:17:8434925:8436992:1 gene:ENSMUSG00000062327.10 transcript:ENSMUST00000136922.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:T description:brachyury [Source:MGI Symbol;Acc:MGI:98472] MFPVLKVNVSGLDPNAMYSFLLDFVTADNHRWKYVNGEWVPGGKPEPQAPSCVYIHPDSP NFGAHWMKAPVSFSKVKLTNKLNGGGQIMLNSLHKYEPRIHIVRVGGPQRMITSHCFPET QFIAVTAYQNEEITALK >ENSMUSP00000135526.1 pep:known chromosome:GRCm38:17:8435411:8441742:1 gene:ENSMUSG00000062327.10 transcript:ENSMUST00000177118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:T description:brachyury [Source:MGI Symbol;Acc:MGI:98472] FSKVKLTNKLNGGGQIMLNSLHKYEPRIHIVRVGGPQRMITSHCFPETQFIAVTAYQNEE ITALKIKYNPFAKAFLDAKERNDHKDVMEEPGDCQQPGYSQSGGWLVPGAGTLCPPASSH PQFGGSLSLPSTHGCERYPALRNHRSSPYPSPYAHRNSSPTYADNSSACLSMLQSHDNWS SLGVPGHTSMLPVSHNASPPTGSSQYPSLWSVSNGTITPGSQTAGVSNGLGAQFFRGSPA HYTPLTHTVSAATS >ENSMUSP00000095194.4 pep:known chromosome:GRCm38:18:44190045:44196177:-1 gene:ENSMUSG00000073573.5 transcript:ENSMUST00000097587.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink11 description:serine peptidase inhibitor, Kazal type 11 [Source:MGI Symbol;Acc:MGI:3588289] MCNEVEEQTVSTECWYIFISVFRFDKMSSTWIKFLFILTLVLLPYFVAESAVASPESLRK VPNCTLYKSESDCSRTLIPVCADNQMTYYNACYFCLEQLVSPIKYKYHGICTKE >ENSMUSP00000125880.1 pep:known chromosome:GRCm38:6:50110241:50198598:1 gene:ENSMUSG00000038388.14 transcript:ENSMUST00000166318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp6 description:membrane protein, palmitoylated 6 (MAGUK p55 subfamily member 6) [Source:MGI Symbol;Acc:MGI:1927340] MQQVLENLTELPSSTGAEEIDLIFLKGIMENPIVKSLAKAHERLEDSKLEAVSDNNLELV NEILEDITPLISVDENVAELVGILKEPHFQSLLEAHDIVASKCYDSPPSSPEMNIPSLNN QLPVDAIRILGIHKKAGEPLGVTFRVENNDLVIARILHGGMIDRQGLLHVGDIIKEVNGH EVGNNPKELQELLKNISGSVTLKILPSYRDTITPQQSYVNMERHPAHVRQVFVKCHFDYN PFNDNLIPCKEAGLKFSKGEILQIVNREDPNWWQASHVKEGGSAGLIPSQFLEEKRKAFV RRDWDNSGPFCGTISNKKKKKMMYLTTRNAEFDRHEIQIYEEVAKMPPFQRKTLVLIGAQ GVGRRSLKNRFIVLNPARFGTTVPFTSRKPREDEKDGQAYKFVSRSEMEADIKAGKYLEH GEYEGNLYGTKIDSILEVVQTGRTCILDVNPQALKVLRTSEFMPYVVFIAAPELETLRAM HKAVVDAGITTKLLTDSDLKKTVDESARIQRAYNHYFDLIIVNDNLDKAFEKLQTAIEKL RMEPQWVPISWVY >ENSMUSP00000039314.8 pep:known chromosome:GRCm38:6:50110249:50198587:1 gene:ENSMUSG00000038388.14 transcript:ENSMUST00000036236.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp6 description:membrane protein, palmitoylated 6 (MAGUK p55 subfamily member 6) [Source:MGI Symbol;Acc:MGI:1927340] MQQVLENLTELPSSTGAEEIDLIFLKGIMENPIVKSLAKAHERLEDSKLEAVSDNNLELV NEILEDITPLISVDENVAELVGILKEPHFQSLLEAHDIVASKCYDSPPSSPEMNIPSLNN QLPVDAIRILGIHKKAGEPLGVTFRVENNDLVIARILHGGMIDRQGLLHVGDIIKEVNGH EVGNNPKELQELLKNISGSVTLKILPSYRDTITPQQVFVKCHFDYNPFNDNLIPCKEAGL KFSKGEILQIVNREDPNWWQASHVKEGGSAGLIPSQFLEEKRKAFVRRDWDNSGPFCGTI SNKKKKKMMYLTTRNAEFDRHEIQIYEEVAKMPPFQRKTLVLIGAQGVGRRSLKNRFIVL NPARFGTTVPFTSRKPREDEKDGQAYKFVSRSEMEADIKAGKYLEHGEYEGNLYGTKIDS ILEVVQTGRTCILDVNPQALKVLRTSEFMPYVVFIAAPELETLRAMHKAVVDAGITTKLL TDSDLKKTVDESARIQRAYNHYFDLIIVNDNLDKAFEKLQTAIEKLRMEPQWVPISWVY >ENSMUSP00000144737.1 pep:known chromosome:GRCm38:6:50110278:50198939:1 gene:ENSMUSG00000038388.14 transcript:ENSMUST00000204545.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp6 description:membrane protein, palmitoylated 6 (MAGUK p55 subfamily member 6) [Source:MGI Symbol;Acc:MGI:1927340] MQQVLENLTELPSSTGAEEIDLIFLKGIMENPIVKSLAKAHERLEDSKLEAVSDNNLELV NEILEDITPLISVDENVAELVGILKEPHFQSLLEAHDIVASKCYDSPPSSPEMNIPSLNN QLPVDAIRILGIHKKAGEPLGVTFRVENNDLVIARILHGGMIDRQGLLHVGDIIKEVNGH EVGNNPKELQELLKNISGSVTLKILPSYRDTITPQQVFVKCHFDYNPFNDNLIPCKEAGL KFSKGEILQIVNREDPNWWQASHVKEGGSAGLIPSQFLEEKRKAFVRRDWDNSGPFCGTI SNKKKKKMMYLTTRNAEFDRHEIQIYEEVAKMPPFQRKTLVLIGAQGVGRRSLKNRFIVL NPARFGTTVPFTSRKPREDEKDGQAYKFVSRSEMEADIKAGKYLEHGEYEGNLYGTKIDS ILEVVQTGRTCILDVNPQALKVLRTSEFMPYVVFIAAPELETLRAMHKAVVDAGITTKLL TDSDLKKTVDESARIQRAYNHYFDLIIVNDNLDKAFEKLQTAIEKLRMEPQWVPISWVY >ENSMUSP00000038772.8 pep:known chromosome:GRCm38:6:50110383:50198424:1 gene:ENSMUSG00000038388.14 transcript:ENSMUST00000036225.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp6 description:membrane protein, palmitoylated 6 (MAGUK p55 subfamily member 6) [Source:MGI Symbol;Acc:MGI:1927340] MQQVLENLTELPSSTGAEEIDLIFLKGIMENPIVKSLAKAHERLEDSKLEAVSDNNLELV NEILEDITPLISVDENVAELVGILKEPHFQSLLEAHDIVASKCYDSPPSSPEMNIPSLNN QLPVDAIRILGIHKKAGEPLGVTFRVENNDLVIARILHGGMIDRQGLLHVGDIIKEVNGH EVGNNPKELQELLKNISGSVTLKILPSYRDTITPQQSYVNMERHPAHVRQVFVKCHFDYN PFNDNLIPCKEAGLKFSKGEILQIVNREDPNWWQASHVKEGGSAGLIPSQFLEEKRKAFV RRDWDNSGPFCGTISNKKKKKMMYLTTRNAEFDRHEIQIYEEVAKMPPFQRKTLVLIGAQ GVGRRSLKNRFIVLNPARFGTTVPFTSRKPREDEKDGQAYKFVSRSEMEADIKAGKYLEH GEYEGNLYGTKIDSILEVVQTGRTCILDVNPQALKVLRTSEFMPYVVFIAAPELETLRAM HKAVVDAGITTKLLTDSDLKKTVDESARIQRAYNHYFDLIIVNDNLDKAFEKLQTAIEKL RMEPQWVPISWVY >ENSMUSP00000129004.1 pep:known chromosome:GRCm38:6:50110407:50163635:1 gene:ENSMUSG00000038388.14 transcript:ENSMUST00000171601.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpp6 description:membrane protein, palmitoylated 6 (MAGUK p55 subfamily member 6) [Source:MGI Symbol;Acc:MGI:1927340] MQQVLENLTELPSSTGAEEIDLIFLKGIMENPIVKSLAKAYLLEKVWC >ENSMUSP00000129355.1 pep:known chromosome:GRCm38:6:50110860:50180613:1 gene:ENSMUSG00000038388.14 transcript:ENSMUST00000167628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp6 description:membrane protein, palmitoylated 6 (MAGUK p55 subfamily member 6) [Source:MGI Symbol;Acc:MGI:1927340] MQQVLENLTELPSSTGAEEIDLIFLKGIMENPIVKSLAKAHERLEDSKLEAVSDNNLELV NEILEDITPLISVDENVAELVGILKEPHFQSLLEAHDIVASKCYDSPPSSPEMNIPSLNN QLPVDAIRILGIHKKAGEPLGVTFRVENNDLVIARILHGGMIDRQGLLHVGDIIKEVNGH EVGNNPKELQELLKNISGSVTLKILPSYRDTITPQQSYVNMERHPAHVRQVFVKCHFDYN PFNDNLIPCKEAGLKFSKGEILQIVNREDPNWWQASHVKEGGSAGLIPSQFLEEKRKAFV RRDWDNSGPFCGTIS >ENSMUSP00000023590.8 pep:known chromosome:GRCm38:16:22951072:22961656:1 gene:ENSMUSG00000022877.8 transcript:ENSMUST00000023590.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrg description:histidine-rich glycoprotein [Source:MGI Symbol;Acc:MGI:2146636] MVDHSQGWFSTMKVLTTALLLVTLQCSHALSPTNCDASEPLAEKVLDLINKGRRSGYVFE LLRVSDAHLDRAGTATVYYLALDVIESDCWVLSTKAQDDCLPSRWQSEIVIGQCKVIATR YSNESQDLSVNGYNCTTSSVSSALRNTKDSPVLLDFFEDSELYRKQARKALDKYKTDNGD FASFRVERAERVIRARGGERTNYYVEFSMRNCSTQHFPRSPLVFGFCRALLSYSIETSDL ETPDSIDINCEVFNIEDHKDTSDMKPHWGHERPLCDKHLCKLSGSRDHHHTHKTDKLGCP PPPEGKDNSDRPRLQEGALPQLPPGYPPHSGANRTHRPSYNHSCNEHPCHGHRPHGHHPH SHHPPGHHSHGHHPHGHHPHSHHSHGHHPPGHHPHGHHPHGHHPHGHHPHGHHPHGHDFL DYGPCDPPSNSQELKGQYHRGYGPPHGHSRKRGPGKGLFPFHHQQIGYVYRLPPLNIGEV LTLPEANFPSFSLPNCNRSLQPEIQPFPQTASRSCPGKFESEFPQISKFFGYTPPK >ENSMUSP00000132236.1 pep:known chromosome:GRCm38:14:42496884:42502349:-1 gene:ENSMUSG00000090690.1 transcript:ENSMUST00000170174.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8020 description:predicted gene 8020 [Source:MGI Symbol;Acc:MGI:3647991] XRSSWVPKQACCPCSSGYFGERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSAGEASL QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEEGNTETKILCKEGSQKIKDHYTKQQQVLNILQKLEQIRDQDKI >ENSMUSP00000138695.1 pep:known chromosome:GRCm38:6:72304610:72314371:1 gene:ENSMUSG00000056370.15 transcript:ENSMUST00000183018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sftpb description:surfactant associated protein B [Source:MGI Symbol;Acc:MGI:109516] MAKSHLLQWLLLLPTLCCPGAAITSASSLECAQGPQFWCQSLEHAVQCRALGHCLQEVWG HAGANDLCQECEDIVHLLTKMTKEDAFQEAIRKFLEQECDILPLKLLVPRCRQVLDVYLP LVIDYFQSQINPKAICNHVGLCPRGQAKPEQNPGMPDAVPNPLLDKLVLPVLPGALLARP GPHTQDFSEQQLPIPLPFCWLCRTLIKRVQAVIPKCLAERYTVLLLDALLGRVVPQLVCG LVLRCSTEDAMGPALPAVEPLIEEWPLQDTECHFCKSVINQAWNTSEQAMPQAMHQACLR FWLDRQKCEQFVEQHMPQLLALVPRSQDAHITCQALGVCEAPASPLQCFQTPHL >ENSMUSP00000138204.1 pep:known chromosome:GRCm38:6:72304620:72314367:1 gene:ENSMUSG00000056370.15 transcript:ENSMUST00000182014.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sftpb description:surfactant associated protein B [Source:MGI Symbol;Acc:MGI:109516] MAKSHLLQWLLLLPTLCCPGAAITSASSLECAQGPQFWCQSLEHAVQCRALGHCLQEVWG HAGANDLCQECEDIVHLLTKMTKEDAFQEAIRKFLEQECDILPLKLLVPRCRQVLDVYLP LVIDYFQSQINPKAICNHVGLCPRGQAKPEQNPGMPDAVPNPLLDKLVLPVLPGALLARP GPHTQDFSEQQLPIPLPFCWLCRTLIKRVQAVIPKGVLAVAVSQVCHVVPLGGGWHLPVP G >ENSMUSP00000138485.1 pep:known chromosome:GRCm38:6:72304625:72312932:1 gene:ENSMUSG00000056370.15 transcript:ENSMUST00000183278.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sftpb description:surfactant associated protein B [Source:MGI Symbol;Acc:MGI:109516] MAKSHLLQWLLLLPTLCCPGAAITSASSLECAQGPQFWCQSLEHAVQCRALGHCLQEVWG HAGANDLCQECEDIVHLLTKMTKEDAFQEAIRKFLEQECDILPLKLLVPRCRQVLDVYLP LVIDYFQSQINPKAICNHVGLCPRGQAKPEQNPGMPDAVPNPLLDKLVLPVLPGALLARP GPHTQDFSEQQLPIPLPFCWLCRTLIKRVQAVIPKGVLAVAVSQVCHVVPLGGGWHLPVP G >ENSMUSP00000066805.8 pep:known chromosome:GRCm38:6:72304625:72312932:1 gene:ENSMUSG00000056370.15 transcript:ENSMUST00000070437.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sftpb description:surfactant associated protein B [Source:MGI Symbol;Acc:MGI:109516] MAKSHLLQWLLLLPTLCCPGAAITSASSLECAQGPQFWCQSLEHAVQCRALGHCLQEVWG HAGANDLCQECEDIVHLLTKMTKEDAFQEAIRKFLEQECDILPLKLLVPRCRQVLDVYLP LVIDYFQSQINPKAICNHVGLCPRGQAKPEQNPGMPDAVPNPLLDKLVLPVLPGALLARP GPHTQDFSEQQLPIPLPFCWLCRTLIKRVQAVIPKGVLAVAVSQVCHVVPVVGGICQCLA ERYTVLLLDALLGRVVPQLVCGLVLRCSTEDAMGPALPAVEPLIEEWPLQDTECHFCKSV INQAWNTSEQAMPQAMHQACLRFWLDRQKCEQFVEQHMPQLLALVPRSQDAHITCQALGV CEAPASPLQCFQTPHL >ENSMUSP00000140983.1 pep:known chromosome:GRCm38:Y:48311855:48343871:1 gene:ENSMUSG00000101653.1 transcript:ENSMUST00000189765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20792 description:predicted gene, 20792 [Source:MGI Symbol;Acc:MGI:5434148] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKW METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000060584.6 pep:known chromosome:GRCm38:19:40153353:40187286:-1 gene:ENSMUSG00000060613.10 transcript:ENSMUST00000051846.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c70 description:cytochrome P450, family 2, subfamily c, polypeptide 70 [Source:MGI Symbol;Acc:MGI:2385878] MALFIFLGIWLSCFLFLFLWNQHRGRGKLPPGPTPLPIVGNILQVDVKNISKSMGMLAKK YGPVFTVYLGMKPTVVLHGYKAMKEALIDQGDEFSDKTDSSLLSRTSQGLGIVFSNGETW KQTRRFSLMVLRSMGMGKKTIEDRIQEEILYMLDALRKTNGSPCDPSFLLACVPCNVIST VIFQHRFDYNDQTFQDFMENFHRKIEILASPWSQLCSAYPILYYLPGIHNRFLKDVTQQK KFILEEINRHQKSLDLSNPQDFIDYFLIKMEKEKHNQKSEFTMDNLVVSIGDLFGAGTET TSSTVKYGLLLLLKYPEVTAKIQEEIAHVIGRHRRPTMQDRNHMPYTDAVLHEIQRYIDF VPIPSPRKTTQDVEFRGYHIPKGTSVMACLTSVLNDDKEFPNPEKFDPGHFLDEKGNFKK SDYFVAFSAGRRACIGEGLARMEMFLILTNILQHFTLKPLVKPEDIDTKPVQTGLLHVPP PFELCFIPV >ENSMUSP00000129063.1 pep:known chromosome:GRCm38:19:40164393:40187286:-1 gene:ENSMUSG00000060613.10 transcript:ENSMUST00000171604.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp2c70 description:cytochrome P450, family 2, subfamily c, polypeptide 70 [Source:MGI Symbol;Acc:MGI:2385878] MALFIFLGIWLSCFLFLFLWNQHRGRGKLPPGPTPLPIVGNILQVDVKNISKSMGMASSS AMEKHGNKPGVSPSWS >ENSMUSP00000128691.1 pep:known chromosome:GRCm38:19:40165468:40187286:-1 gene:ENSMUSG00000060613.10 transcript:ENSMUST00000165102.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp2c70 description:cytochrome P450, family 2, subfamily c, polypeptide 70 [Source:MGI Symbol;Acc:MGI:2385878] MALFIFLGIWLSCFLFLFLWNQHRGRGKLPPGPTPLPIVGNILQVDVKNISKSMGMAPPV ILASFWPVFPAM >ENSMUSP00000079672.5 pep:known chromosome:GRCm38:2:39001580:39005624:-1 gene:ENSMUSG00000062997.6 transcript:ENSMUST00000080861.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl35 description:ribosomal protein L35 [Source:MGI Symbol;Acc:MGI:1913739] MAKIKARDLRGKKKEELLKQLDDLKVELSQLRVAKVTGGAASKLSKIRVVRKSIARVLTV INQTQKENLRKFYKGKKYKPLDLRPKKTRAMRRRLTKHEEKLKTKKQQRKERLYPLRKYA VKA >ENSMUSP00000066969.3 pep:known chromosome:GRCm38:7:43933740:43939639:1 gene:ENSMUSG00000055193.4 transcript:ENSMUST00000068625.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk15 description:kallikrein related-peptidase 15 [Source:MGI Symbol;Acc:MGI:2447533] MWLLLAFVLLVSAAQDGDKVLEGEECVPHSQPWQVALFERGRFNCGAFLISPRWVLTAAH CQTRFMRVRLGEHNLRKFDGPEQLRSVSRIIPHPGYEARTHRHDIMLLRLFKPARLTAYV RPVALPRRCPLIGEDCVVSGWGLLSDNNPGATGSQKSHVRLPDTLHCANISIISEASCNK DYPGRVLPTMVCAGVEGGGTDSCEGDSGGPLVCGGALQGIVSWGDVPCDTTTKPGVYTKV CSYLEWIWENVRRY >ENSMUSP00000145717.1 pep:known chromosome:GRCm38:7:43933793:43938730:1 gene:ENSMUSG00000055193.4 transcript:ENSMUST00000206955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk15 description:kallikrein related-peptidase 15 [Source:MGI Symbol;Acc:MGI:2447533] XLLVSAAQDGDKVLEGEECVPHSQPWQVALFERGRFNCGAFLISPRWVLTAAHCQTRFMR VRLGEHNLRKFDGPEQLRSVSRIIPHPGYEARTHRHDIMLLRLFKPARLTAYVRPVALPR RCPLIGEDCVVSGWGLLSDNNPGATGSQKSHGEWKDGTGDRNSSNVVPRGS >ENSMUSP00000076264.4 pep:known chromosome:GRCm38:15:74878339:74881704:-1 gene:ENSMUSG00000063522.5 transcript:ENSMUST00000077004.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010109I03Rik description:RIKEN cDNA 2010109I03 gene [Source:MGI Symbol;Acc:MGI:1914288] MRTHLLWLLPLILLGSSAQALKCHECSGIEDCYKPKTCSSQSLYCLTNWYTPPGQQTTVT KTCAYTCPDINHVTANSKSSCCNTDLCNSARNLHVSWGLLALGLCYIYLSQ >ENSMUSP00000027298.3 pep:known chromosome:GRCm38:1:34535648:34544313:1 gene:ENSMUSG00000026124.4 transcript:ENSMUST00000027298.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfc1 description:cripto, FRL-1, cryptic family 1 [Source:MGI Symbol;Acc:MGI:109448] MRANSPTQGISLKMHQARPLFLVTVALQLIGLGYSYQSEGDGAREVSNILSPVIPGTTLD RTLSNSSRKNDIPEGARLWDSLPDSSTLGESAVPVSRCCHNGGTCVLGSFCVCPAYFTGR YCEHDQRRRDCGALGHGAWTLHSCRLCRCIFSALYCLPHQTFSHCDLKSFLSSGARGSRE CSIPSLLLLVLCLLLQGVAGKG >ENSMUSP00000043834.1 pep:known chromosome:GRCm38:2:38997476:39006168:1 gene:ENSMUSG00000035295.10 transcript:ENSMUST00000039535.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr38 description:WD repeat domain 38 [Source:MGI Symbol;Acc:MGI:1923896] MEWAPMNIRAPTRLAVGRVRFYGQHHGEVNCSAFSPDGRTLLTASDDGCVYVWGTKSGRL LWRLAGHRGPVKSCCFSPDGRLIASSSSDHSIRLWDVARSKCLHVLKGHQRSVETVSFSP DSKQLASGGWDKRAIVWEVQSGRRVHLLVGHCDSIQSSDFSPTSDSLATGSWDSTVHIWD LRASTPVVSYHNLEGHTGNISCLCYSASGLLASGSWDKTICVWKPTTNNLPLQLKGHTIW VNSLAFSPDELKLASAGYSRTVKVWDCLTGKCLETLKGMLDVAHACIFTPDGKLLVSGAA VTR >ENSMUSP00000108493.1 pep:known chromosome:GRCm38:2:38998319:39001582:1 gene:ENSMUSG00000035295.10 transcript:ENSMUST00000112872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr38 description:WD repeat domain 38 [Source:MGI Symbol;Acc:MGI:1923896] MEWAPMNIRAPTRLAVGRVRFYGQHHGEVNCSAFSPDGRTLLTASDDGCVYVWGTKSGRL LWRLAGHRGPVKSCCFSPDGRLIASSSSDHSIRLWDVARSKCLHVLKGHQRSVETVSFSP DSKQLASGGWDKRAIVWEVQSGRRVHLLVGHCDSIQSSDFSPTSDSLATGSWDSTVHIWD LRASTPVVSYHNLEGHTGNISCLCYSASGLLASGSWDKTICVWKPTTNNLPLQLKGHTIW VNSLAFSPDELKLASAGYSRTVKVWDCLTGKCLETLKGMLDVAHACIFTPDGKLLVSGAA VTR >ENSMUSP00000001595.3 pep:known chromosome:GRCm38:11:100415697:100424824:1 gene:ENSMUSG00000001555.10 transcript:ENSMUST00000001595.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp10 description:FK506 binding protein 10 [Source:MGI Symbol;Acc:MGI:104769] MFLVGSSSHTLHRLRILPLLLLLQTLERGLGRASPAGAPLEDVVIERYHIPRACPREVQM GDFVRYHYNGTFEDGKKFDSSYDRSTLVAIVVGVGRLITGMDRGLMGMCVNERRRLIVPP HLGYGSIGVAGLIPPDATLYFDVVLLDVWNKADTVQSTILLRPPYCPRMVQNSDFVRYHY NGTLLDGTAFDNSYSRGGTYDTYIGSGWLIKGMDQGLLGMCPGEKRKIIIPPFLAYGEKG YGTVIPPQASLVFYVLLLDVHNPKDTVQLETLELPQGCVRRAVAGDFMRYHYNGSLMDGT LFDSSYSRNHTYNTYVGQGYIIPGMDQGLQGACIGERRRITVPPHLAYGENGTGDKIPGS AVLIFDVHVIDFHNPSDPVEIKTLSRPPENCNETSKIGDFIRYHYNCSLLDGTRLFSSHD YEAPQEITLGANKVIEGLDRGLQGMCVGERRQLIVPPHLAHGENGARGVPGSAVLLFEVE LVSREDGLPTGYLFVWYQDPSTSLFEDMDLNKDGEVPPEEFSSFIKAQVNEGKGRLMPGQ DPDKTISDMFQNQDRNQDGKITAEELKLKSDEDQERVHEEL >ENSMUSP00000103023.2 pep:known chromosome:GRCm38:11:100415766:100424112:1 gene:ENSMUSG00000001555.10 transcript:ENSMUST00000107400.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp10 description:FK506 binding protein 10 [Source:MGI Symbol;Acc:MGI:104769] MFLVGSSSHTLHRLRILPLLLLLQTLERGLGRASPAGAPLEDVVIERYHIPRACPREVQM GDFVRYHYNGTFEDGKKFDSSYDRSTLVAIVVGVGRLITGMDRGLMGMCVNERRRLIVPP HLGYGSIGVAGLIPPDATLYFDVVLLDVWNKADTVQSTILLRPPYCPRMVQNSDFVRYHY NGTLLDGTAFDNSYSRNHTYNTYVGQGYIIPGMDQGLQGACIGERRRITVPPHLAYGENG TGDKIPGSAVLIFDVHVIDFHNPSDPVEIKTLSRPPENCNETSKIGDFIRYHYNCSLLDG TRLFSSHDYEAPQEITLGANKVIEGLDRGLQGMCVGERRQLIVPPHLAHGENGARGVPGS AVLLFEVELVSREDGLPTGYLFVWYQDPSTSLFEDMDLNKDGEVPPEEFSSFIKAQVNEG KGRLMPGQDPDKTISDMFQNQDRNQDGKITAEELKLKSDEDQERVHEEL >ENSMUSP00000123577.1 pep:known chromosome:GRCm38:11:100415845:100422089:1 gene:ENSMUSG00000001555.10 transcript:ENSMUST00000134815.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fkbp10 description:FK506 binding protein 10 [Source:MGI Symbol;Acc:MGI:104769] XLLQTLERGLGRASPAGAPLEDVVIERYHIPRACPREVQMGDFVRYHYNGTFEDGKKFDS SGPHPP >ENSMUSP00000139425.1 pep:known chromosome:GRCm38:8:65028420:65037172:-1 gene:ENSMUSG00000079042.9 transcript:ENSMUST00000124790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apela description:apelin receptor early endogenous ligand [Source:MGI Symbol;Acc:MGI:3642370] MRFQPLFWVFFIFAMSLLFISEQKPVNFPRRRKLYRHNCFRRRCIPLHSRVPFP >ENSMUSP00000140510.1 pep:known chromosome:GRCm38:8:65028420:65037336:-1 gene:ENSMUSG00000079042.9 transcript:ENSMUST00000142822.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apela description:apelin receptor early endogenous ligand [Source:MGI Symbol;Acc:MGI:3642370] MRFQPLFWVFFIFAMSLLFISEQKPVNFPRRRKLYRHNCFRRRCIPLHSRVPFP >ENSMUSP00000077513.3 pep:known chromosome:GRCm38:8:65012976:65018688:-1 gene:ENSMUSG00000079042.9 transcript:ENSMUST00000078409.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apela description:apelin receptor early endogenous ligand [Source:MGI Symbol;Acc:MGI:3642370] MELAAGLADLQAEARCSVCKEYLKNPGTMECGHKVCLSCTSVFWEDLKGSVPCPSCHLNC PGRNFLSNQPPGEFTEVAILPPERTSRSGRQEGEHPWEKPQQVSCPLRSFPPNHFVWPVE NAIVCHRKQIDCYIKLWREMVEPIQKAISTQRKGSLELRKRTECRRERVRSQYEQNRLFL QVERKKILGHLQSEEMDGLSKLNKNLAKLSDHASLLKSLLKDLKSQCEKSELALLASVKD WYERYKQSGWPEMALVTLKGYDYRLPLQYSDLDRIIKRFQVDVILDPETANYKLTVSKDK KTVKYGSWERVPYSSRKFCFDPAVLGSEGYSSDRQYWEVDVELKMEWVLGVCREPFPRSR YAERFSDEQFSVQDGLWGVGLDNSQTYVALGGEKIHLLPKVSPTRIGIFLDSEMYEVSFY NLRDKSLLYRFSDLPRGTFWPYFYTGYDYEPLKICTVADLE >ENSMUSP00000080133.3 pep:known chromosome:GRCm38:7:43976061:43980376:1 gene:ENSMUSG00000059042.3 transcript:ENSMUST00000081399.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b9 description:kallikrein 1-related peptidase b9 [Source:MGI Symbol;Acc:MGI:95293] MRFLILFLALSLGGIDAAPPVHSRIVGGFKCEKNSQPWHVAVYRYNEYICGGVLLDANWV LTAAHCYYEENKVSLGKNNLYEEEPSAQHRLVSKSFLHPGYNRSLHRNHIRHPEYDYSND LMLLRLSKPADITDVVKPIALPTEEPKLGSTCLASGWGSTTPFKFQNAKDLQCVNLKLLP NEDCGKAHIEKVTDVMLCAGETDGGKDTCKGDSGGPLICDGVLQGITSWGFTPCGEPKKP GVYTKLIKFTSWIKDTMAKNL >ENSMUSP00000130005.1 pep:known chromosome:GRCm38:10:127048250:127052969:1 gene:ENSMUSG00000006724.12 transcript:ENSMUST00000165764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp27b1 description:cytochrome P450, family 27, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1098274] MTQAVKLASRVFHRIHLPLQLDASLGSRGSESVLRSLSDIPGPSTLSFLAELFCKGGLSR LHELQVHGAARYGPIWSGSFGTLRTVYVADPTLVEQLLRQESHCPERCSFSSWAEHRRRH QRACGLLTADGEEWQRLRSLLAPLLLRPQAAAGYAGTLDNVVRDLVRRLRRQRGRGSGLP GLVLDVAGEFYKFGLESIGAVLLGSRLGCLEAEVPPDTETFIHAVGSVFVSTLLTMAMPN WLHHLIPGPWARLCRDWDQMFAFAQRHVELREGEAAMRNQGKPEEDMPSGHHLTHFLFRE KVSVQSIVGNVTELLLAGVDTVSNTLSWTLYELSRHPDVQTALHSEITAGTRGSCAHPHG TALSQLPLLKAVIKEVLRLYPVVPGNSRVPDRDIRVGNYVIPQDTLVSLCHYATSRDPTQ FPDPNSFNPARWLGEGPTPHPFASLPFGFGKRSCIGRRLAELELQMALSQILTHFEVLPE PGALPIKPMTRTVLVPERSINLQFVDR >ENSMUSP00000127367.1 pep:known chromosome:GRCm38:10:127048250:127053006:1 gene:ENSMUSG00000006724.12 transcript:ENSMUST00000172069.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp27b1 description:cytochrome P450, family 27, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1098274] MTQAVKLASRVFHRIHLPLQLDASLGSRGSESVLRSLSDIPGPSTLSFLAELFCKGGLSR LHELQVFKCADSLRCMALRGTGQYGLAALGHFAQFTLPTLHLWSSSCDKKVTVQSAVVSH HGQSTVAATSVLADC >ENSMUSP00000018614.2 pep:known chromosome:GRCm38:11:69326258:69333042:1 gene:ENSMUSG00000018470.8 transcript:ENSMUST00000018614.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnab3 description:potassium voltage-gated channel, shaker-related subfamily, beta member 3 [Source:MGI Symbol;Acc:MGI:1336208] MQVSIACTEQNLRSRSSEDRLCGPRPGPGGGNGGPVGGGHGNPPGGGGPSSKSRAAVVPR PPAPAGALRESTGRGTGMKYRNLGKSGLRVSCLGLGTWVTFGSQISDETAEDLLTVAYEH GVNLFDTAEVYAAGKAERTLGNILKSKGWRRSSYVITTKIFWGGQAETERGLSRKHIIEG LQGSLDRLQLEYVDIVFANRSDPNSPMEEIVRAMTYVINQGLALYWGTSRWSAAEIMEAY SMARQFNLIPPVCEQAENHFFQREKVEMQLPELYHKIGVGSVTWSPLACGLITSKYDGRV PDTCKATVKGYQWLKEKVQSEEGKKQQARVMDLLPTARQLGCTVAQLAIAWCLRSEGVSS VLLGVSSAEQLMEHLGSLQVLSQLTPQTVVEIDALLGNKSHSKK >ENSMUSP00000058055.8 pep:known chromosome:GRCm38:2:30795301:30801707:-1 gene:ENSMUSG00000044320.14 transcript:ENSMUST00000050003.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001O22Rik description:RIKEN cDNA 1700001O22 gene [Source:MGI Symbol;Acc:MGI:1923631] MFRRQSMEGTPAYKPKGYPDGTTQRRPVKRLPMLLPMLEGRVGRDVDGAWRRDRKSQRLP CGSPESPNARRDRGTARALLLPSLQPSSSAREAGTRGNRQPAARDNPDSLGTLLGKLLPN KFRHFLHHLSAESADPEADPPSAPHNPRSTSNHCLASRSSSCLFLPDLWDKPLHWDNNFK EKTTLGLPRGELARAKKAHPPAGEGSRPRKRYCPFRVRFADETLQDTALRYWERNRAVRQ NIFPSEQTALPAVSVSERVLGSVGRWLDSLPRALYPRVQDTVAGSSFWTCPRVSAQEPQL YLSEDSSVSSHLPFISRATIPRPRGGLRTFLDTPNNVDQESFLPSLVLQSVLKQGRPKGY QLLLPSTTNRQQAHR >ENSMUSP00000066000.7 pep:known chromosome:GRCm38:4:124855239:124862171:-1 gene:ENSMUSG00000042763.9 transcript:ENSMUST00000064444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maneal description:mannosidase, endo-alpha-like [Source:MGI Symbol;Acc:MGI:2684896] MARRRRRACIALFLVLLFAFGTLMGLRTLKAPDGLPALGPGPELAPFERRPEGNPAPARA PAAPAAPPPPPPRTAAPRASLGPAEADPAPRQSLRVYSDLHAFYYSWYGSPRREGHYIHW DHVMVPHWDPKISASYPRGRHSPPDDLGSSFYPELGPYSSRDPDVLREHMTQLKEAAIGV LVLSWYPPGMADDNGEPTDDLVPAILDTAHQYNIQVAFHIQPYKGRDDITVHDNIKYIID TYGSHGAFYRYKNSMGKSLPLFYIYDSYLTSPEAWAHLLTQNGPHSIRNTPYDGVFIALL VEESHTHDILAAGFDGMYTYFASNGFSFGSSHQNWKAVKNFCDTNNLMFIPSVGPGYIDT SIRPWNNHNTRNRVNGKYYETALQAALTVRPEIVSITSFNEWHEGTQIEKAVPKTTPTRL YLDYLPHQSSLYLELTRRWAEHFIKEKEQWLM >ENSMUSP00000143927.1 pep:known chromosome:GRCm38:5:95473553:95485587:1 gene:ENSMUSG00000070677.8 transcript:ENSMUST00000202481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D5Ertd577e description:DNA segment, Chr 5, ERATO Doi 577, expressed [Source:MGI Symbol;Acc:MGI:1261918] MSGQTPPTLQKLARQTLLRDEALAISSLEELPAVVFPPLFQEAFAGRLNKLIKAMVAAWP FHDLPVGPLINMHNLETLQALLDGVDMRLTRKFHPWRPKLQVLDMRNVDHVFWNIWSDAN DSDSDAETLDEKQVVKAPRRYALRQRLKIIVDLSISSQLNEQKAYFLNWAKQRKGSINFC CTKMKIWDAPDEVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYIGQMKNLERVFLAP LHKNTSPIMNLTRDSKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLESLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTANWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000092174.3 pep:known chromosome:GRCm38:5:95456806:95485564:1 gene:ENSMUSG00000070677.8 transcript:ENSMUST00000094593.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D5Ertd577e description:DNA segment, Chr 5, ERATO Doi 577, expressed [Source:MGI Symbol;Acc:MGI:1261918] MSGQTPPTLQKLARQTLLRDEALAISSLEELPAVVFPPLFQEAFAGRLNKLIKAMVAAWP FHDLPVGPLINMHNLETLQALLDGVDMRLTRKFHPWRPKLQVLDMRNVDHVFWNIWSDAN DSDSDAETLDEKQVVKAPRRYALRQRLKIIVDLSISSQLNEQKAYFLNWAKQRKGSINFC CTKMKIWDAPDEVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYIGQMKNLERVFLAP LHKNTSPIMNLTRDSKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLESLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTANWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000110723.2 pep:known chromosome:GRCm38:1:34543968:34560943:-1 gene:ENSMUSG00000037529.13 transcript:ENSMUST00000115071.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prss40 description:protease, serine 40 [Source:MGI Symbol;Acc:MGI:1270857] MCGIRAKKSGLGGYGAGLLAALLGVSFLSQHAQTAEHVTNAANNTTIQIMKSTLSLSEGA WRLGLSLQYVARPNSKGRSMAVRLQGLSGGRGRPVCAYMAGTSVEQFSSTKTGYSALPTA SKDLKNQVTTMSC >ENSMUSP00000140885.1 pep:known chromosome:GRCm38:1:34552334:34558111:-1 gene:ENSMUSG00000037529.13 transcript:ENSMUST00000190790.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss40 description:protease, serine 40 [Source:MGI Symbol;Acc:MGI:1270857] DYHVMLGYTDLNSPTRYSRTMSVQKVIVHKDYNRFHTQGSDIVLLQLRSSVEYSSHILPA CVPEENIKIPKEKACWASGWGYLREDVRIPLPNELYEAELIIMSNDQCKGFFPPPVPGSG RSYYIYDDMVCAADYDMSKSICAGLLL >ENSMUSP00000045118.7 pep:known chromosome:GRCm38:1:34552334:34560920:-1 gene:ENSMUSG00000037529.13 transcript:ENSMUST00000047840.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss40 description:protease, serine 40 [Source:MGI Symbol;Acc:MGI:1270857] MCGIRAKKSGLGGYGAGLLAALLGVSFLSQHAQTAEHVTNAANNTTIQIMKSTLSLSEVC GKTKFQGKIYGGQIAGAERWPWQASLRLYGRHICGAVLIDKNWVLSAAHCFQRSQEPSDY HVMLGYTDLNSPTRYSRTMSVQKVIVHKDYNRFHTQGSDIVLLQLRSSVEYSSHILPACV PEENIKIPKEKACWASGWGYLREDVRIPLPNELYEAELIIMSNDQCKGFFPPPVPGSGRS YYIYDDMVCAADYDMSKSICAGDSGGPLVCLLEGSWYVVGLTSWSSTCEEPIVSPSVFAR VSYFDKWIKDNKKSSSNSKPGESPHHPGSPENENPEGDNKNQGAVIKPTVCTALLLSQIL LQQLI >ENSMUSP00000025002.1 pep:known chromosome:GRCm38:17:25471590:25476594:1 gene:ENSMUSG00000024175.1 transcript:ENSMUST00000025002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt4 description:tektin 4 [Source:MGI Symbol;Acc:MGI:1919090] MAQTGVLLTKEPAPQSIDVCELPRKEYEVACNTGAYTSSGLATAGFRTAKYLRDEWFQNS YARYHQAFADRDYSERQRHESGQLVAETGALAQRTQLDSTRKVGERLEDMHCWKSELQRE IDELSSETDLMMAQKLRLQRALDATSVPYSIATDNLQCRERRQHPDLVRDYVEVELLKET ELIRNIQELLKRTIGQAVDQIRLNREHKESCEMNWSDKVEVYNIDDTCSRYTNESTQVQF YPHSSKFEESASTPETWAKFNHDNLLRAERERLASVNLRKLIDCILRDTAEDLRLQCDAV NSAFSSRCQELDDSLQKLQYHLRKTLTEITDQEHQIAALKQAIKDKEAPLRVAQTRLYQR SHRPNVELCRDNAQFRLLSEVEELNMSLRALKEKLQDAEQALRNLEDSRMSLEKDIAVKT NSLFIDRQKCMTHRNRYPSVLQLAGYQ >ENSMUSP00000099688.4 pep:known chromosome:GRCm38:4:124850679:124855242:1 gene:ENSMUSG00000028889.17 transcript:ENSMUST00000102628.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yrdc description:yrdC domain containing (E.coli) [Source:MGI Symbol;Acc:MGI:2387201] MSTARPCAGLRAAVAAGMGLSDGPASSGRGCRLLRPPEPAPALPGARLLRLPESEPVEAA SPERSGWTEALRAAVAELRAGAVVAVPTDTLYGLACSASSSAALSCVYRLKGRSEAKPLA VCLGRVADVYRYCQVRVPRELLEDLFPGPVTLVMERSEELNKDLNPFTRLVGIRIPDHAF MLDLAQMFGGPLALTSANLSSQASSLSVEEFQDLWPHLSLVIDGGPIGDSQSPECRLGST VVDLSVPGKFGIIRPGCALENTTSILQQKYGLLPSQGSCS >ENSMUSP00000114150.2 pep:known chromosome:GRCm38:4:124851351:124854478:1 gene:ENSMUSG00000028889.17 transcript:ENSMUST00000144851.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yrdc description:yrdC domain containing (E.coli) [Source:MGI Symbol;Acc:MGI:2387201] MERSEELNKDLNPFTRLVGIRIPDHAFMLDLAQMFGGPLALTSANLSSQASSLSVEEFQD LWPHLSLVIDGGPIGDSQSPECRLGSTVVDLSVPGKFGIIRPGCA >ENSMUSP00000140162.1 pep:known chromosome:GRCm38:Y:82225227:82251419:1 gene:ENSMUSG00000101286.1 transcript:ENSMUST00000185636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21317 description:predicted gene, 21317 [Source:MGI Symbol;Acc:MGI:5434672] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000031978.8 pep:known chromosome:GRCm38:6:39801804:39810988:-1 gene:ENSMUSG00000029918.9 transcript:ENSMUST00000031978.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps33 description:mitochondrial ribosomal protein S33 [Source:MGI Symbol;Acc:MGI:1338046] MSPLSEYALRMSRLSARIFGEVARSTDSKSMKVVSLFSEQPLAKKKETYDWYPNHNTYFA LMGNLRFLGLYRDEHQDFKDEQRRLKKLRGKGKPRKGEGKRATKKK >ENSMUSP00000110445.1 pep:known chromosome:GRCm38:6:39802192:39810885:-1 gene:ENSMUSG00000029918.9 transcript:ENSMUST00000114797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps33 description:mitochondrial ribosomal protein S33 [Source:MGI Symbol;Acc:MGI:1338046] MSPLSEYALRMSRLSARIFGEVARSTDSKSMKVR >ENSMUSP00000144539.1 pep:known chromosome:GRCm38:6:39802384:39806042:-1 gene:ENSMUSG00000029918.9 transcript:ENSMUST00000201461.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps33 description:mitochondrial ribosomal protein S33 [Source:MGI Symbol;Acc:MGI:1338046] MSPLSEYALRMSRLSARIFGEVARSTDSKSMKVR >ENSMUSP00000024860.7 pep:known chromosome:GRCm38:17:73804841:73832093:1 gene:ENSMUSG00000024065.7 transcript:ENSMUST00000024860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehd3 description:EH-domain containing 3 [Source:MGI Symbol;Acc:MGI:1928900] MFSWLGNDDRRKKDPEVFQTVSDGLKKLYKTKLLPLEEYYRFHEFHSPALEDADFDNKPM VLLVGQYSTGKTTFIRYLLEQDFPGMRIGPEPTTDSFIAVMQGDVEGIIPGNALVVDPKK PFRKLNAFGNAFLNRFVCAQLPNAVLESISVIDTPGILSGEKQRISRGYDFAAVLEWFAE RVDRIILLFDAHKLDISDEFSEVIKALKNHEDKMRVVLNKADQIETQQLMRVYGALMWSL GKIVNTPEVIRVYIGSFWSHPLLIPDNRKLFEAEEQDLFRDIQSLPRNAALRKLNDLIKR ARLAKVHAYIISSLKKEMPSVFGKDTKKKELVNNLAEIYGRIEREHQISPGDFPNLKRMQ DQLQAQDFSKFQPLKSKLLEVVDDMLAHDIAQLMVLVRQEETQRPVQMVKGGAFEGTLQG PFGHGYGEGAGEGIDDAEWVVARDKPMYDEIFYTLSPVDGKITGANAKKEMVRSKLPNSV LGKIWKLADIDKDGMLDDEEFALANHLIKVKLEGHELPSELPAHLLPPSKRKVSE >ENSMUSP00000021681.3 pep:known chromosome:GRCm38:12:86678700:86692091:1 gene:ENSMUSG00000021256.5 transcript:ENSMUST00000021681.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vash1 description:vasohibin 1 [Source:MGI Symbol;Acc:MGI:2442543] MPGGKKVVPSGSSSASPNAAATTTAAAAAAAAAPHSGTKRLETTEGASAQRDEEPEEEGE EDLRDGGVPFFINRGGLPVDEATWERMWKHVAKIHPDGEKVALRIRGATDLPKIPIPSVP TFQPTTPVPERLEAVQRYIRELQYNHTGTQFFEIKKSRPLTGLMDLAKEMTKEALPIKCL EAVILGIYLTNSMPTLERFPISFKTYFSGNYFRHIVLGVNFGGRYGALGMSRREDLMYKP PAFRTLSELVLDYEAAYGRCWHVLKKVKLGQCVSHDPHSVEQIEWKHSVLDVERLGREDF RKELERHARDMRLKIGKGTGPPSPTKDRKKDVSSPQRAQSSPHRRNSRSERRPSGEKKPA EPKAMPDLSGYQIRV >ENSMUSP00000048953.1 pep:known chromosome:GRCm38:11:3937541:3976778:-1 gene:ENSMUSG00000034493.1 transcript:ENSMUST00000042344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930556J24Rik description:RIKEN cDNA 4930556J24 gene [Source:MGI Symbol;Acc:MGI:1922592] MLHGAPLGSGRTSGLQRVSCAFPVPPALSSDLPPVLSPPAEKGPSFTQPGQPFPPEDRDW SFLLDPMASRDEGSHSREKKWQPSVYSAVSSSSQPKTPERGSSWRHRVSHVLACSLSLAV SGFPMHCSLCLEHFLARDMVEASKIC >ENSMUSP00000108483.2 pep:known chromosome:GRCm38:2:39008076:39015877:1 gene:ENSMUSG00000026755.14 transcript:ENSMUST00000112862.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc5l description:actin related protein 2/3 complex, subunit 5-like [Source:MGI Symbol;Acc:MGI:1921442] MARNTLSSRFRRVDIDEFDENKFVDEHEEAAAAAGEPGPDPCEVDGLLRQGDMLRAFHAA LRNSPINTKNQAVKERAQGVVLKVLTNFKSSEIEQAVQSLDRNGIDLLMKYIYKGFEKPT ENSSAVLLQWHEKALAVGGLGSIIRVLTARKTV >ENSMUSP00000088516.6 pep:known chromosome:GRCm38:2:39008157:39015393:1 gene:ENSMUSG00000026755.14 transcript:ENSMUST00000090993.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arpc5l description:actin related protein 2/3 complex, subunit 5-like [Source:MGI Symbol;Acc:MGI:1921442] MARNTLSSRFRRVDIDEFDENKFVDEHEEAAAAAGEPGPDPCEGTCFGRSMQLCGTHQST PRIKL >ENSMUSP00000105612.1 pep:known chromosome:GRCm38:11:3963975:3980004:1 gene:ENSMUSG00000020430.12 transcript:ENSMUST00000109985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pes1 description:pescadillo homolog 1, containing BRCT domain (zebrafish) [Source:MGI Symbol;Acc:MGI:1890613] MGGLEKKKYERGSATNYITRNKARKKLQLSLPDFRRLCILKGIYPHEPKHKKKVNKGSTA ARTFYLIKDIKFLLHEPIVNKFREYKVFVRKLRKAYGKSEWNAVERLKDNKPCYKLDHIV KERYPTFIDALRDLDDALSMCFLFSTFPRTGKCHVQTIQLCRRLTVEFLHYVITARALRK LPPQVFLSIKGIYYQAEVLGQPIVWIAPYAFSHDHPTDVDYRVMATFTEFYTTLLGFVNF RLYQSLNLHYPPKLEGQAQAETKISEDTYALDSESSMEKLAALSASLARVVVPAIEEAEA DEFPTDGEVTAQEEDRKKELEAQEKHKKLFEGLKFFLNREVPREALAFIIRSFGGDVSWD KSLCIGATYDVTDSCITHQIVDRPGQQTPIIGRYYVQPQWVFDCVNARLLLPVAEYFPGM QLPPHLSPFVSEKEGDYIPPEKLKLLALQRGEDPGHLEEEEEEDEDDDNEGDVAAENEEE DVEVESEEEEEEEVHLSALEQHRLEEKKPQVMAGTVKLEDKQRLAQEEESEAKRLAIMMM KKREKYLYQKIMFGKRRKIREANKLAEKRKAHDDAVRSEKKAKRTRPV >ENSMUSP00000020705.4 pep:known chromosome:GRCm38:11:3963975:3980004:1 gene:ENSMUSG00000020430.12 transcript:ENSMUST00000020705.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pes1 description:pescadillo homolog 1, containing BRCT domain (zebrafish) [Source:MGI Symbol;Acc:MGI:1890613] MGGLEKKKYERGSATNYITRNKARKKLQLSLPDFRRLCILKGIYPHEPKHKKKVNKGSTA ARTFYLIKDIKFLLHEPIVNKFREYKVFVRKLRKAYGKSEWNAVERLKDNKPCYKLDHIV KERYPTFIDALRDLDDALSMCFLFSTFPRTGKCHVQTIQLCRRLTVEFLHYVITARALRK VFLSIKGIYYQAEVLGQPIVWIAPYAFSHDHPTDVDYRVMATFTEFYTTLLGFVNFRLYQ SLNLHYPPKLEGQAQAETKISEDTYALDSESSMEKLAALSASLARVVVPAIEEAEADEFP TDGEVTAQEEDRKKELEAQEKHKKLFEGLKFFLNREVPREALAFIIRSFGGDVSWDKSLC IGATYDVTDSCITHQIVDRPGQQTPIIGRYYVQPQWVFDCVNARLLLPVAEYFPGMQLPP HLSPFVSEKEGDYIPPEKLKLLALQRGEDPGHLEEEEEEDEDDDNEGDVAAENEEEDVEV ESEEEEEEEVHLSALEQHRLEEKKPQVMAGTVKLEDKQRLAQEEESEAKRLAIMMMKKRE KYLYQKIMFGKRRKIREANKLAEKRKAHDDAVRSEKKAKRTRPV >ENSMUSP00000003808.7 pep:known chromosome:GRCm38:8:70629393:70632095:-1 gene:ENSMUSG00000038508.7 transcript:ENSMUST00000003808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf15 description:growth differentiation factor 15 [Source:MGI Symbol;Acc:MGI:1346047] MAPPALQAQPPGGSQLRFLLFLLLLLLLLSWPSQGDALAMPEQRPSGPESQLNADELRGR FQDLLSRLHANQSREDSNSEPSPDPAVRILSPEVRLGSHGQLLLRVNRASLSQGLPEAYR VHRALLLLTPTARPWDITRPLKRALSLRGPRAPALRLRLTPPPDLAMLPSGGTQLELRLR VAAGRGRRSAHAHPRDSCPLGPGRCCHLETVQATLEDLGWSDWVLSPRQLQLSMCVGECP HLYRSANTHAQIKARLHGLQPDKVPAPCCVPSSYTPVVLMHRTDSGVSLQTYDDLVARGC HCA >ENSMUSP00000105730.1 pep:known chromosome:GRCm38:8:70629394:70632456:-1 gene:ENSMUSG00000038508.7 transcript:ENSMUST00000110103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf15 description:growth differentiation factor 15 [Source:MGI Symbol;Acc:MGI:1346047] MAPPALQAQPPGGSQLRFLLFLLLLLLLLSWPSQGDALAMPEQRPSGPESQLNADELRGR FQDLLSRLHANQSREDSNSEPSPDPAVRILSPEVRLGSHGQLLLRVNRASLSQGLPEAYR VHRALLLLTPTARPWDITRPLKRALSLRGPRAPALRLRLTPPPDLAMLPSGGTQLELRLR VAAGRGRRSAHAHPRDSCPLGPGRCCHLETVQATLEDLGWSDWVLSPRQLQLSMCVGECP HLYRSANTHAQIKARLHGLQPDKVPAPCCVPSSYTPVVLMHRTDSGVSLQTYDDLVARGC HCA >ENSMUSP00000029674.7 pep:known chromosome:GRCm38:3:89333390:89338028:-1 gene:ENSMUSG00000028040.7 transcript:ENSMUST00000029674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efna4 description:ephrin A4 [Source:MGI Symbol;Acc:MGI:106643] MRLLPLLRTVLWAALLGSRLPGCSSLRHPIYWNSSNPRLLRGDAVVELGFNDYLDIFCPH YESPGPPEGPETFALYMVDWSGYEACTAEGANAFQRWNCSMPFAPFSPVRFSEKIQRYTP FPLGFEFLPGETYYYISVPTPESPGRCLRLQVSVCCKESGSSHESAHPVGSPGESGTSGW RGGHAPSPLCLLLLLLLPILRLLRVL >ENSMUSP00000103021.1 pep:known chromosome:GRCm38:11:100422321:100441808:-1 gene:ENSMUSG00000017176.17 transcript:ENSMUST00000107398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c3b description:5'-nucleotidase, cytosolic IIIB [Source:MGI Symbol;Acc:MGI:1915356] MAEEVSSLMKATVLMRQPGRVQEIVGALRRGGGDRLQVISDFDMTLSRFAYNGQRCPSSH NILDNSKIISEDCRKELTELFHHYYPIEIDPHRTIKEKLPHMVQWWSKAHSLLCQQRIQK VQIAQVVGESTAMLREGYKTFFDTLYQNNIPLFIFSAGIGDILEEIIRQMKVFHPNIHIV SNYMDFSEDGFLKGFKGQLIHTYNKNSSVCENSSYFQQLQNKTNIILLGDSIGDLTMADG VPGVQNILKIGFLNDKLPEAQ >ENSMUSP00000090361.2 pep:known chromosome:GRCm38:11:100429356:100441020:-1 gene:ENSMUSG00000017176.17 transcript:ENSMUST00000092689.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c3b description:5'-nucleotidase, cytosolic IIIB [Source:MGI Symbol;Acc:MGI:1915356] MTLSRFAYNGQRCPSSHNILDNSKIISEDCRKELTELFHHYYPIEIDPHRTIKEKLPHMV QWWSKAHSLLCQQRIQKVQIAQVVGESTAMLREGYKTFFDTLYQNNIPLFIFSAGIGDIL EEIIRQMKVFHPNIHIVSNYMDFSEDGFLKGFKGQLIHTYNKNSSVCENSSYFQQLQNKT NIILLGDSIGDLTMADGVPGVQNILKIGFLNDKVEERRERYMDSYDIVLEKDETLDVVNG LLRHILYQGDCVELQGS >ENSMUSP00000103020.1 pep:known chromosome:GRCm38:11:100429359:100440928:-1 gene:ENSMUSG00000017176.17 transcript:ENSMUST00000107397.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c3b description:5'-nucleotidase, cytosolic IIIB [Source:MGI Symbol;Acc:MGI:1915356] MKATVLMRQPGRVQEIVGALRRGGGDRLQVISDFDMTLSRFAYNGQRCPSSHNILDNSKI ISEDCRKELTELFHHYYPIEIDPHRTIKEKLPHMVQWWSKAHSLLCQQRIQKVQIAQVVG ESTAMLREGYKTFFDTLYQNNIPLFIFSAGIGDILEEIIRQMKVFHPNIHIVSNYMDFSE DGFLKGFKGQLIHTYNKNSSVCENSSYFQQLQNKTNIILLGDSIGDLTMADGVPGVQNIL KIGFLNDKVEERRERYMDSYDIVLEKDETLDVVNGLLRHILYQGDCVELQGS >ENSMUSP00000090360.5 pep:known chromosome:GRCm38:11:100429353:100441089:-1 gene:ENSMUSG00000017176.17 transcript:ENSMUST00000092688.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c3b description:5'-nucleotidase, cytosolic IIIB [Source:MGI Symbol;Acc:MGI:1915356] MAEEVSSLMKATVLMRQPGRVQEIVGALRRGGGDRLQVISDFDMTLSRFAYNGQRCPSSH NILDNSKIISEDCRKELTELFHHYYPIEIDPHRTIKEKLPHMVQWWSKAHSLLCQQRIQK VQIAQVVGESTAMLREGYKTFFDTLYQNNIPLFIFSAGIGDILEEIIRQMKVFHPNIHIV SNYMDFSEDGFLKGFKGQLIHTYNKNSSVCENSSYFQQLQNKTNIILLGDSIGDLTMADG VPGVQNILKIGFLNDKVEERRERYMDSYDIVLEKDETLDVVNGLLRHILYQGDCVELQGS >ENSMUSP00000103022.2 pep:known chromosome:GRCm38:11:100422321:100441089:-1 gene:ENSMUSG00000017176.17 transcript:ENSMUST00000107399.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c3b description:5'-nucleotidase, cytosolic IIIB [Source:MGI Symbol;Acc:MGI:1915356] MAEEVSSLMKATVLMRQPGRVQEIVGALRRGGGDRLQVISDFDMTLSRFAYNGQRCPSSH NILDNSKIISEDCRKELTELFHHYYPIEIDPHRTIKEKLPHMVQWWSKAHSLLCQQRIQK VQIAQVVGESTAMLREGYKTFFDTLYQNNIPLFIFSAGIGDILEEIIRQMKVFHPNIHIV SNYMDFSEDGFLKGFKGQLIHTYNKNSSVCENSSYFQQLQNKTNIILLGDSIGDLTMADG VPGVQNILKIGFLNDKLPEAQ >ENSMUSP00000016081.6 pep:known chromosome:GRCm38:13:56073619:56135631:-1 gene:ENSMUSG00000015937.14 transcript:ENSMUST00000016081.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afy description:H2A histone family, member Y [Source:MGI Symbol;Acc:MGI:1349392] MSSRGGKKKSTKTSRSAKAGVIFPVGRMLRYIKKGHPKYRIGVGAPVYMAAVLEYLTAEI LELAGNAARDNKKGRVTPRHILLAVANDEELNQLLKGVTIASGGVLPNIHPELLAKKRGS KGKLEAIITPPPAKKAKSPSQKKPVAKKTGGKKGARKSKKKQGEVSKAASADSTTEGTPT DGFTVLSTKSLFLGQKLNLIHSEISNLAGFEVEAIINPTNADIDLKDDLGNTLEKKGGKE FVEAVLELRKKNGPLEVAGAAISAGHGLPAKFVIHCNSPVWGADKCEELLEKTVKNCLAL ADDRKLKSIAFPSIGSGRNGFPKQTAAQLILKAISSYFVSTMSSSIKTVYFMLFDSESIG IYVQEMAKLDAN >ENSMUSP00000038221.7 pep:known chromosome:GRCm38:13:56073619:56135631:-1 gene:ENSMUSG00000015937.14 transcript:ENSMUST00000045788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afy description:H2A histone family, member Y [Source:MGI Symbol;Acc:MGI:1349392] MSSRGGKKKSTKTSRSAKAGVIFPVGRMLRYIKKGHPKYRIGVGAPVYMAAVLEYLTAEI LELAGNAARDNKKGRVTPRHILLAVANDEELNQLLKGVTIASGGVLPNIHPELLAKKRGS KGKLEAIITPPPAKKAKSPSQKKPVAKKTGGKKGARKSKKKQGEVSKAASADSTTEGTPT DGFTVLSTKSLFLGQKLQVVQADIASIDSDAVVHPTNTDFYTGGEVGNTLEKKGGKEFVE AVLELRKKNGPLEVAGAAISAGHGLPAKFVIHCNSPVWGADKCEELLEKTVKNCLALADD RKLKSIAFPSIGSGRNGFPKQTAAQLILKAISSYFVSTMSSSIKTVYFMLFDSESIGIYV QEMAKLDAN >ENSMUSP00000062434.3 pep:known chromosome:GRCm38:7:86465413:86466405:1 gene:ENSMUSG00000020168.4 transcript:ENSMUST00000057734.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr299 description:olfactory receptor 299 [Source:MGI Symbol;Acc:MGI:3030133] MNNSTLVTEFLLEVFAESCELRILLSVLFLLVYLGSLFGNLIIIIVTTVDQTLNTPMYFF LRNLSIVDMCYVSVTVPNACFNSLTGQRNISVTGCAAQIFFVFFCACVEMFFLTIMAQDR YVAICKPLLYPVIMNHQFCVQMTLASLHSSLIIASVHTFKTFQLSFCHSNVVPQFFCDIP SLLKLSCSDTFNNKLLMLISAIIIGCSCFTFIAVSYFRILSTVLKVPVKGERGKAFSTCV PHIVVVSVFLSSSTYVYLRPPVPTLEVVKEMALSVSYTIVPPFLNPIIYSLRNRQIKEAV KKVILRISLVFEYKRNEYLLEFSRVRKLKK >ENSMUSP00000101799.1 pep:known chromosome:GRCm38:4:124802104:124849379:1 gene:ENSMUSG00000028890.13 transcript:ENSMUST00000106193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtf1 description:metal response element binding transcription factor 1 [Source:MGI Symbol;Acc:MGI:101786] MGEHSPDDNIIFFKGEEDDLTPHDKMLRFVDDNGLVPSSSGTVYDRTTVLIEQDPGTLED DEDDGQCGEPLPFLVEGEEGFLIDQEAMSQGYVQHIISPDQIHLTINPGSTPMPRNIEGA TLTLQSECPETKRKEVKRYQCTFEGCPRTYSTAGNLRTHQKTHRGEYTFVCNQEGCGKAF LTSYSLRIHVRVHTKEKPFECDVQGCEKAFNTLYRLKAHQRLHTGKTFNCESQGCSKYFT TLSDLRKHIRTHTGEKPFRCDHDGCGKAFAASHHLKTHVRTHTGERPFFCPSNGCEKTFS TQYSLKSHMKGHDNKGTAYSALPQHNGSEDTNHSLYLSELGLLSTDSELQENSSSTQDQD LSTISPAIIFESMFQNSDDPGIQDDPLQTAALIDSFNGDAESVIDVPPPAGNSASLSLPL VLQSGISEPPQPLLPATAPSAPPPAPSLGPGSQPAAFGSPPALLQPPEVPVPHSTQFAAN HQEFLPHPQAPPQTIVPGLSVVAGAPASAATVASAVAAPAPPQSTTEPLPAMVQTLPLGA NSVLTNNPTITITPTPNTAILQSSLVMGEQNLQWILNGATSSPQNQEQIQQASKVEQVYF ATAVPVASGTGSSVQQIGLSVPVIIIKQEEACQCQCACRDSAKERAAGRRKGCSSPPPPE PNPQPPDGPSLQLPP >ENSMUSP00000119352.1 pep:known chromosome:GRCm38:4:124802549:124820227:1 gene:ENSMUSG00000028890.13 transcript:ENSMUST00000138807.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtf1 description:metal response element binding transcription factor 1 [Source:MGI Symbol;Acc:MGI:101786] MGEHSPDDNIIFFKGEEDDLTPHDKMLRFVDDNGLVPSSSGTVYDRTTVLIEQDPGTLED DEDDGQCGEPLPFLVEGEEGFLIDQEAMSQGYVQHIISPDQIHLTINPGSTPMPRNIEGA TLTLQSECPETKRKEVKRYQCT >ENSMUSP00000135260.1 pep:known chromosome:GRCm38:4:124802678:124804740:1 gene:ENSMUSG00000028890.13 transcript:ENSMUST00000175875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtf1 description:metal response element binding transcription factor 1 [Source:MGI Symbol;Acc:MGI:101786] MGEHSPDDNI >ENSMUSP00000030723.2 pep:known chromosome:GRCm38:4:124802549:124849800:1 gene:ENSMUSG00000028890.13 transcript:ENSMUST00000030723.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtf1 description:metal response element binding transcription factor 1 [Source:MGI Symbol;Acc:MGI:101786] MGEHSPDDNIIFFKGEEDDLTPHDKMLRFVDDNGLVPSSSGTVYDRTTVLIEQDPGTLED DEDDGQCGEPLPFLVEGEEGFLIDQEAMSQGYVQHIISPDQIHLTINPGSTPMPRNIEGA TLTLQSECPETKRKEVKRYQCTFEGCPRTYSTAGNLRTHQKTHRGEYTFVCNQEGCGKAF LTSYSLRIHVRVHTKEKPFECDVQGCEKAFNTLYRLKAHQRLHTGKTFNCESQGCSKYFT TLSDLRKHIRTHTGEKPFRCDHDGCGKAFAASHHLKTHVRTHTGERPFFCPSNGCEKTFS TQYSLKSHMKGHDNKGTAYSALPQHNGSEDTNHSLYLSELGLLSTDSELQENSSSTQDQD LSTISPAIIFESMFQNSDDPGIQDDPLQTAALIDSFNGDAESVIDVPPPAGNSASLSLPL VLQSGISEPPQPLLPATAPSAPPPAPSLGPGSQPAAFGSPPALLQPPEVPVPHSTQFAAN HQEFLPHPQAPPQTIVPGLSVVAGAPASAATVASAVAAPAPPQSTTEPLPAMVQTLPLGA NSVLTNNPTITITPTPNTAILQSSLVMGEQNLQWILNGATSSPQNQEQIQQASKVEQVYF ATAVPVASGTGSSVQQIGLSVPVIIIKQEEACQCQCACRDSAKERAAGRRKGCSSPPPPE PNPQPPDGPSLQLPP >ENSMUSP00000034969.7 pep:known chromosome:GRCm38:9:64117147:64138118:1 gene:ENSMUSG00000032401.15 transcript:ENSMUST00000034969.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lctl description:lactase-like [Source:MGI Symbol;Acc:MGI:2183549] MKPVWVIILGWILLVPRVGTAWRGPPEEASFYYGTFPPGFSWGVGSSAYQTEGAWDEDGK GPSIWDAFTHGRKEQVLGGDTADTACDSYYKVQEDIALLKELQVSHYRFSLSWPRLLPTG VRAEQVNKRGIKFYSDFIDALLKSNITPVVTLHHWDLPQMLQVAYGGWQNVSMTRYFSDY ADLCFEVFGDRVKHWLTFSDPRTMVEKGYETGLHAPGLRLQGTGLYVAAHHIIKAHAQAW HSYNNTWRSKQHGLVGISLNCDWGEPVDIDNPDDIEAAERYLQFCLGWFANPIYAGDYPQ VMKDHIGTKSAEQGLEMSRLPTFSLQEKSYLKGTSDFLGLGHFTTRYITQRKYPSHQGPS YQNDRDLVELVDPNWPEMGSPWLYSVPWGFRRLLNFAQTQYGDPPIYVTESGAPQKLHCT QFCDEWRIQYLKGYINEMLKAIKDGVDIKGYTSWSLLDKFEWEKGYADKYGFYYVEFNVR NKPRYPKASVQYYKEIITASGFPNPQEVESWRLKALETCSINNQMLATEPLLRHMHVASE IVVPTVCALSILTAALMLTLLLRRRG >ENSMUSP00000120815.1 pep:known chromosome:GRCm38:9:64117259:64138114:1 gene:ENSMUSG00000032401.15 transcript:ENSMUST00000124020.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lctl description:lactase-like [Source:MGI Symbol;Acc:MGI:2183549] MKPVWVIILGWILLVPRVGTAWRGPPEEASFYYGTFPPGFSWGVGSSAYQTEGAWDEDGK GPSIWDAFTHGRKEQVLGGDTADTACDSYYKVQEDIALLKELQVSHYRFSLSWPRLLPTG VRAEQVNKRGIKFYSDFIDALLKSNITPVVTLHHWDLPQMLQVAYGGWQNVSMTRYFSDY ADLCFEVFGDRVKHWLTFSDPRTMVEKGYETGLHAPGLRLQGTGLYVAAHHIIKA >ENSMUSP00000112979.1 pep:known chromosome:GRCm38:9:64121606:64138105:1 gene:ENSMUSG00000032401.15 transcript:ENSMUST00000118215.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lctl description:lactase-like [Source:MGI Symbol;Acc:MGI:2183549] MLQVAYGGWQNVSMTRYFSDYADLCFEVFGDRVKHWLTFSDPRTMVEKGYETGLHAPGLR LQGTGLYVAAHHIIKAHAQAWHSYNNTWRSKQHGLVGISLNCDWGEPVDIDNPDDIEAAE RYLQFCLGWFANPIYAGDYPQVMKDHIGTKSAEQGLEMSRLPTFSLQEKSYLKGTSDFLG LGHFTTRYITQRKYPSHQGPSYQNDRDLVELVDPNWPEMGSPWLYSVPWGFRRLLNFAQT QYGDPPIYVTESGAPQKLHCTQFCDEWRIQYLKGYINEMLKAIKDGVDIKGYTSWSLLDK FEWEKGYADKYGFYYVEFNVRNKPRYPKASVQYYKEIITASGFPNPQEVESWRLKALETC SINNQMLATGDVAETGSPLHLHLSKHLFRITLLFCCRSSRDVYFSGSEN >ENSMUSP00000048222.4 pep:known chromosome:GRCm38:11:40679314:40692774:-1 gene:ENSMUSG00000042032.13 transcript:ENSMUST00000040167.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2b description:methionine adenosyltransferase II, beta [Source:MGI Symbol;Acc:MGI:1913667] MVGREKELSIHFVPGCCQLVEEEVNIPSRRVLITGATGLLGRAVYKEFQQSNWHTVGCGF RRARPKFEQVNLLDSEAVHHLIHDFQPHVIVHCAAERRPDVVESQPDAASQLNVGASGNL AKEAAAIGAFLIYISSDYVFDGTNPPYTEEDIPSPLNLYGKTKLDGEKAVLENNLGAAVL RIPVLYGEVEKLEESAVTVMFDKVQFSNKSANMDHWQQRFPTHVKDVASVCRQLAEKRML DPSIKGTFHWSGNEQMTKYEMACAIADAFNLPSSHLRPITDSPVIGAQRPKNAQLDCSKL ETLGIGQRTPFRTGIKESLWPFLIDKRWRQTVFH >ENSMUSP00000098901.3 pep:known chromosome:GRCm38:11:40679314:40695203:-1 gene:ENSMUSG00000042032.13 transcript:ENSMUST00000101347.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2b description:methionine adenosyltransferase II, beta [Source:MGI Symbol;Acc:MGI:1913667] MPEMPEAMEQEEVNIPSRRVLITGATGLLGRAVYKEFQQSNWHTVGCGFRRARPKFEQVN LLDSEAVHHLIHDFQPHVIVHCAAERRPDVVESQPDAASQLNVGASGNLAKEAAAIGAFL IYISSDYVFDGTNPPYTEEDIPSPLNLYGKTKLDGEKAVLENNLGAAVLRIPVLYGEVEK LEESAVTVMFDKVQFSNKSANMDHWQQRFPTHVKDVASVCRQLAEKRMLDPSIKGTFHWS GNEQMTKYEMACAIADAFNLPSSHLRPITDSPVIGAQRPKNAQLDCSKLETLGIGQRTPF RTGIKESLWPFLIDKRWRQTVFH >ENSMUSP00000123878.1 pep:known chromosome:GRCm38:11:40680656:40692621:-1 gene:ENSMUSG00000042032.13 transcript:ENSMUST00000141830.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mat2b description:methionine adenosyltransferase II, beta [Source:MGI Symbol;Acc:MGI:1913667] MVGREKELSIHFVPGCCQLVEEEVNIPSRRVLITGATGLLGRAVYKEFQQSNWHTVGCGF RRARPKFEQVNLLDSEAVHHLIHDFQREDLMLLRVSQMLLPS >ENSMUSP00000102813.2 pep:known chromosome:GRCm38:3:95241271:95251193:-1 gene:ENSMUSG00000028115.16 transcript:ENSMUST00000107195.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnipl description:BCL2/adenovirus E1B 19kD interacting protein like [Source:MGI Symbol;Acc:MGI:2384749] MGTRQETGRETLEGGAKEKAGASEPGATMKLGELELREEWQDEEFPRLLPEEPGSPGDPE DPQRGSQAGTPSSLALCGQRPMRKRLSAPEWQLSLTEGTGENGASPTRSASSSSAGSLDL EVDELETPSDSEQLDSGHEFEWEDDLPRAEGLGASEAAERLGRGCVCDVAGEDGHRWRVF RTGQREQRVDMTIIEPYKKVLSHGGYHGDGLNAVILFASCYLPRSSIPNYTYIMEHLFRY MVGTLELLVAENYLLVHLSGGTSRAQVPPLSWIRQCYRTLDRRLRKNLRALVVVHATWYV KAFLALVRPFISSKFTRKIRFLDSLGELAQLISLEQVHIPEVVRQLDRDLHGSKGT >ENSMUSP00000096468.4 pep:known chromosome:GRCm38:3:95241295:95251049:-1 gene:ENSMUSG00000028115.16 transcript:ENSMUST00000098871.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnipl description:BCL2/adenovirus E1B 19kD interacting protein like [Source:MGI Symbol;Acc:MGI:2384749] MGTRQETGRETLEGGLLPEEPGSPGDPEDPQRGSQAGTPSSLALCGQRPMRKRLSAPEWQ LSLTEGTGENGASPTRSASSSSAGSLDLEVDELETPSDSEQLDSGHEFEWEDDLPRAEGL GASEAAERLGRGCVCDVAGEDGHRWRVFRTGQREQRVDMTIIEPYKKVLSHGGYHGDGLN AVILFASCYLPRSSIPNYTYIMEHLFRLRKNLRALVVVHATWYVKAFLALVRPFISSKFT RKIRFLDSLGELAQLISLEQVHIPEVVRQLDRDLHGSKGT >ENSMUSP00000115197.2 pep:known chromosome:GRCm38:3:95241825:95251136:-1 gene:ENSMUSG00000028115.16 transcript:ENSMUST00000137250.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnipl description:BCL2/adenovirus E1B 19kD interacting protein like [Source:MGI Symbol;Acc:MGI:2384749] MKLGELELREEWQDEEFPRLLPEEPGSPGDPEDPQRGSQAGTPSSLALCGQRPMRKRLSA PEWQLSLTEGTGENGASPTRSASSSSAGSLDLEVDELETPSDSEQLDSGHEFEWEDDLPR AEGLGASEAAERLGRGCVCDVAGEDGHRWRVFRTGQREQRVDMTIIEPYKKVLSHGGYHG DGLNAVILFASCYLPRSSIPNYTYIMEHLFRYMVGTLELLVAENYLLVHLSGGTSRAQVP PLSWIRQCYRTLDRRLRKNLRALVVVHATWYVKAFLALVRPFISSKFTRKIRFLDSLGEL AQLISLEQVHIPEVVRQLDRDLHGSKGT >ENSMUSP00000120545.2 pep:known chromosome:GRCm38:3:95243060:95251148:-1 gene:ENSMUSG00000028115.16 transcript:ENSMUST00000125515.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnipl description:BCL2/adenovirus E1B 19kD interacting protein like [Source:MGI Symbol;Acc:MGI:2384749] MGTRQETGRETLEGGLLPEEPGSPGDPEDPQRGSQAGTPSSLALCGQRPMRKRLSAPEWQ LSLTEGTGENGASPTRSASSSSAGSLDLEVDELETPSDSEQLDSGHEFEWEDDLPRAEGL GASEAAERLGRGCVCDVAGEDGHRWRVFRTGQREQRVDMTIIEPYKKVLSHGGYHGDGLN AVILFASCYLPRSSIPNYTYIMEHLFRYMVGTLELLVAENYLLVHLSGGTSRAQVPPLSW IRQCYRTLDRRLRKNLRAL >ENSMUSP00000144438.1 pep:known chromosome:GRCm38:5:95510758:95522953:1 gene:ENSMUSG00000079423.6 transcript:ENSMUST00000201629.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3286 description:predicted gene 3286 [Source:MGI Symbol;Acc:MGI:3781464] MSGQTPPTLQKLARQTLLRDEALAISSLEELPAVVFPPLFQEAFAGRLNKLIKAMVAAWP FHDLPVGPLINMHNLETLQALLDGVDMRLTRKFHPWCLRTPLQTLSITHCLISQTDLDSF SCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLESLDFQWCSMKDSQLIVLLPAL SQCSQLNQINFYSNDFSMAILKDLLQHTANWSKMNVEQYPVPLECYDALGHVSRERFVEL CQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCLQ >ENSMUSP00000144419.1 pep:known chromosome:GRCm38:5:95517357:95522402:1 gene:ENSMUSG00000079423.6 transcript:ENSMUST00000200932.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3286 description:predicted gene 3286 [Source:MGI Symbol;Acc:MGI:3781464] MSGQTPPTLQKLARQTLLRDEALAISSLEELPAVVFPPLFQEAFAGRLNKLIKAMVAAWP FHDLPVGPLINMHNLETLQALLDGVDMRLTRKFHPWRPKLQVLDMRNVDHVFWNIWSDAN DSDSDAETLDEKQVVKAPRRYALRQRLKIIVDLSISSQLNEQKAYFLNWAKQRKGSINFC CTKMKIWDAPDEVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLERVFLAP LHKNTSPIMNLTRDSKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLESLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTANWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000132710.2 pep:known chromosome:GRCm38:5:95518668:95522402:1 gene:ENSMUSG00000079423.6 transcript:ENSMUST00000171752.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3286 description:predicted gene 3286 [Source:MGI Symbol;Acc:MGI:3781464] MSGQTPPTLQKLARQTLLRDEALAISSLEELPAVVFPPLFQEAFAGRLNKLIKAMVAAWP FHDLPVGPLINMHNLETLQALLDGVDMRLTRKFHPWCLRTPLQTLSITHCLISQTDLDSF SCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLESLDFQWCSMKDSQLIVLLPAL SQCSQLNQINFYSNDFSMAILKDLLQHTANWSKMNVEQYPVPLECYDALGHVSRERFVEL CQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCLQ >ENSMUSP00000031183.2 pep:known chromosome:GRCm38:5:86916638:86926530:-1 gene:ENSMUSG00000035836.2 transcript:ENSMUST00000031183.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2b1 description:UDP glucuronosyltransferase 2 family, polypeptide B1 [Source:MGI Symbol;Acc:MGI:1919023] MSMKQASVFLLIQFICYIRPGACGKVLVWPTEYSHWINMKIILDELVQRGHDVTVLISSA SILIGPSNESSINFEIYSAPLSKDDLEYAFEKWVGNWTYELKKLPFWTSYSKLQKISSEY SDMIESFCKAVVWNKSLMKKLQGSKFDVVLADALVPCGELLSELLKTPLVYSLRFCPGYK CEKYSGGLPLPPSYVPVVLSELSDHMTFAERVKNMLQVLLFDFWFQTFNEKSWNQFYSDV LGRPTTLTEMMGKADIWLVRTFWDLKFPHPFLPNFDFVGGLHCKPAKPLPKEMEEFVQSS GEHGVVVFSLGSMVKNIKEEKANVVASALAQIPQKVLWRFDGKKPDTLGSNTRLYKWIPQ NDLLGHPKTKAFIAHGGTNGIYEAIYHGIPIVGIPLFGDQPDNINHIVAKGAAVRVDFDT MSTTDLLTALKTVINDPSYKENAMRLSRIHHDQPMKPLDRAVFWIEYVMRNKGAKHLRPA LHDLTWFQYHSLDVIGFLLVCVVAVVFIIAKCCLFCCHKTANMGKKKKE >ENSMUSP00000059540.1 pep:known chromosome:GRCm38:14:50413546:50414481:1 gene:ENSMUSG00000094692.1 transcript:ENSMUST00000058972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr738 description:olfactory receptor 738 [Source:MGI Symbol;Acc:MGI:3030572] MKAFSSPSNSSIITGFILLGFPCPKEGQILLFVLFFIIYILTLMGNASIICAVCYDKKLH SPMYLLLANFSFLEIWYVTSTVPNMLANFLSDTKVISFSGCFLQFYFFFSLGSTECFFLA VMAFDRYLAICRPLHYPSLMTGRLCNILVISCWVLGFLWFPVPIIIISQMSFCGSRIIDH FLCDPGPLLALTCVRNSLIEMTSSTLSSLLLFVPFFFIMGSYALVMRAVLRVPSAAGRRK AFSTCGSHLTVVSLFYGSVMVMYVSPTSEHAAGVQKLVTLFYSVVTPLLNPVIYSLRNRD MKHAMKKLLKM >ENSMUSP00000045357.3 pep:known chromosome:GRCm38:11:106156256:106160142:-1 gene:ENSMUSG00000040699.13 transcript:ENSMUST00000045923.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limd2 description:LIM domain containing 2 [Source:MGI Symbol;Acc:MGI:1915053] MFQAAGAAQATPSHEAKGSSGSSTVQRSKSFSLRAQVKETCAACQKTVYPMERLVADKLI FHNSCFCCKHCHTKLSLGSYAAMHGEFYCRPHFQQLFKSKGNYDEGFGRKQHKELWAHKE VDSGTKTA >ENSMUSP00000067070.4 pep:known chromosome:GRCm38:11:106158431:106159927:-1 gene:ENSMUSG00000040699.13 transcript:ENSMUST00000064545.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limd2 description:LIM domain containing 2 [Source:MGI Symbol;Acc:MGI:1915053] MFQAAGAAQATPSHEAKGSSGSSTVQRSKSFSLRAQVKETCAACQKTVYPMERLVADKLI FHNSCFCCKHCHTKLSLGSYAAMHGEFYCRPHFQQLFKSKGNYDEGFGRKQHKELWAHKE VDSGTKTA >ENSMUSP00000102488.1 pep:known chromosome:GRCm38:11:106158651:106160860:-1 gene:ENSMUSG00000040699.13 transcript:ENSMUST00000106875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limd2 description:LIM domain containing 2 [Source:MGI Symbol;Acc:MGI:1915053] MFQAAGAAQATPSHEAKGSSGSSTVQRSKSFSLRAQVKETCAACQKTVYPMERLVADKLI FHNSCFCCKHCHTKLSLGSYAAMHGEFYCRPHFQQLFKSKGNYDEGFGRKQHKELWAHKE VDSGTKTA >ENSMUSP00000099566.3 pep:known chromosome:GRCm38:4:139192899:139291818:1 gene:ENSMUSG00000028745.18 transcript:ENSMUST00000102508.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capzb description:capping protein (actin filament) muscle Z-line, beta [Source:MGI Symbol;Acc:MGI:104652] MSDQQLDCALDLMRRLPPQQIEKNLSDLIDLVPSLCEDLLSSVDQPLKIARDKVVGKDYL LCDYNRDGDSYRSPWSNKYDPPLEDGAMPSARLRKLEVEANNAFDQYRDLYFEGGVSSVY LWDLDHGFAGVILIKKAGDGSKKIKGCWDSIHVVEVQEKSSGRTAHYKLTSTVMLWLQTN KSGSGTMNLGGSLTRQMEKDETVSDCSPHIANIGRLVEDMENKIRSTLNEIYFGKTKDIV NGLRSVQTFADKSKQEALKNDLVEALKRKQQC >ENSMUSP00000114973.1 pep:known chromosome:GRCm38:4:139192922:139280087:1 gene:ENSMUSG00000028745.18 transcript:ENSMUST00000131912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capzb description:capping protein (actin filament) muscle Z-line, beta [Source:MGI Symbol;Acc:MGI:104652] MSDQQLDCALDLMRRLPPQQIEKNLSDLIDLVPSLCEDLLSSVDQPLKIARDKVVGKDYL LCDYNRDGDSYRSPWSNKYDPPLEDGAMPSARLRKLEVEANNAFDQYRDLSVGFLVLTEP EEEVLPAPHRARLLLDSIARHSECVVMSTGLSDSLPERYFEGGVSSVYLWDLDHGFAGVI LIKKAGDG >ENSMUSP00000099565.3 pep:known chromosome:GRCm38:4:139192924:139291758:1 gene:ENSMUSG00000028745.18 transcript:ENSMUST00000102507.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capzb description:capping protein (actin filament) muscle Z-line, beta [Source:MGI Symbol;Acc:MGI:104652] MSDQQLDCALDLMRRLPPQQIEKNLSDLIDLVPSLCEDLLSSVDQPLKIARDKVVGKDYL LCDYNRDGDSYRSPWSNKYDPPLEDGAMPSARLRKLEVEANNAFDQYRDLYFEGGVSSVY LWDLDHGFAGVILIKKAGDGSKKIKGCWDSIHVVEVQEKSSGRTAHYKLTSTVMLWLQTN KSGSGTMNLGGSLTRQMEKDETVSDCSPHIANIGRLVEDMENKIRSTLNEIYFGKTKDIV NGLRSLDAIPDNHKFKQLQRELSQVLTQRQVYIQPDN >ENSMUSP00000030518.9 pep:known chromosome:GRCm38:4:139193996:139291440:1 gene:ENSMUSG00000028745.18 transcript:ENSMUST00000030518.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capzb description:capping protein (actin filament) muscle Z-line, beta [Source:MGI Symbol;Acc:MGI:104652] MHPSRRSLPFPLNCQLVRVGTADYGGASEQSDQQLDCALDLMRRLPPQQIEKNLSDLIDL VPSLCEDLLSSVDQPLKIARDKVVGKDYLLCDYNRDGDSYRSPWSNKYDPPLEDGAMPSA RLRKLEVEANNAFDQYRDLYFEGGVSSVYLWDLDHGFAGVILIKKAGDGSKKIKGCWDSI HVVEVQEKSSGRTAHYKLTSTVMLWLQTNKSGSGTMNLGGSLTRQMEKDETVSDCSPHIA NIGRLVEDMENKIRSTLNEIYFGKTKDIVNGLRSVQTFADKSKQEALKNDLVEALKRKQQ C >ENSMUSP00000119252.1 pep:known chromosome:GRCm38:4:139199267:139262097:1 gene:ENSMUSG00000028745.18 transcript:ENSMUST00000145368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capzb description:capping protein (actin filament) muscle Z-line, beta [Source:MGI Symbol;Acc:MGI:104652] MSDQQLDCALDLMRRLPPQQIEKNLSDLIDLVPSLCEDLLSSVDQPLKIARDKVVGKDYL LCDYNRDGDSYRSPWSNKYDPPLEDGAMPSARLRKLEVEANNAFDQYRDL >ENSMUSP00000122077.1 pep:known chromosome:GRCm38:4:139226961:139287089:1 gene:ENSMUSG00000028745.18 transcript:ENSMUST00000138045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capzb description:capping protein (actin filament) muscle Z-line, beta [Source:MGI Symbol;Acc:MGI:104652] MRRLPPQQIEKNLSDLIDLVPSLCEDLLSSVDQPLKIARDKVVGKDYLLCDYNRDGDSYR SPWSNKYDPPLEDGAMPSARLRKLEVEANNAFDQYRDLYFEGGVSSVYLWDLDHGFAGVI LIKKAGDGSKKIKGCWDSIHVVEVQEKSSGRTAHYKLTSTVMLWLQTNKSGSGTMNLGGS LTRQMEKDETVSDCSPHIANIGRL >ENSMUSP00000038011.7 pep:known chromosome:GRCm38:4:139233383:139291783:1 gene:ENSMUSG00000028745.18 transcript:ENSMUST00000042675.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capzb description:capping protein (actin filament) muscle Z-line, beta [Source:MGI Symbol;Acc:MGI:104652] MRRLPPQQIEKNLSDLIDLVPSLCEDLLSSVDQPLKIARDKVVGKDYLLCDYNRDGDSYR SPWSNKYDPPLEDGAMPSARLRKLEVEANNAFDQYRDLYFEGGVSSVYLWDLDHGFAGVI LIKKAGDGSKKIKGCWDSIHVVEVQEKSSGRTAHYKLTSTVMLWLQTNKSGSGTMNLGGS LTRQMEKDETVSDCSPHIANIGRLVEDMENKIRSTLNEIYFGKTKDIVNGLRSVQTFADK SKQEALKNDLVEALKRKQQC >ENSMUSP00000040072.7 pep:known chromosome:GRCm38:10:43002500:43174530:-1 gene:ENSMUSG00000038248.8 transcript:ENSMUST00000040275.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sobp description:sine oculis-binding protein homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1924427] MAEMEKEGRPPENKRSRKPAHPVKREINEEMKNFAENTMNELLGWYGYDKVELKDGEDIE FRSYTTDGESRQHISVLKENSLPKPKLPEDSVISSYNISTGYSGLATGNGLSDSPAGSKD HGNVPIIVPLIPPPFIKPPAEDDVSNVQIMCAWCQKVGIKRYSLSMGSEVKSFCSEKCFA ACRRAYFKRNKARDEDGRAETFPQQHYAKETPRLAFKNNCELLVCDWCKHIRHTKEYLDF GDGERRLQFCSAKCLNQYKMDIFYKETQANLPAGLCSTLHPHMESKAEGTGVQLLTPDSW NIPLTDARRKAPSPVTAAGQSQGPGPSSSTTVSPSDTANCSVTKIPTPVPKSLPISETPS IPPVSVQPPASIGPPLGVPPRSPPMVMTNRGPVPLPIFMEQQIIQQIRPPFIRGPPHHAS NPNSPLSNPMLPGIGAPPGGPRNLGPTSSPMHRPMLSPHIHPPSTPTMPGNPPGLLPPPP PGAPLPSLPFPPVSMMPNGPMPVPQMMNFGLPSLAPLVPPPTLLVPYPVIVPLPVPIPIP IPIPHVNDSKPPNGFSSNGESFVPSAPGDSSAAGGKAGGRSLSPRDSKQGSSKSADSPPG SSGQALSLAPAERGRGEVVDLTRRAGSPAGAGGQPGFAGVLHGPQDGVIDLTVGHRARLH NVIHRALHAHVKAEREPGAAERRTCGGCRDGHCSPPAAGDPGPGAPAGPEAAAACNVIVN GTRSAPAEAKGAEPPPEQPPPPAPPKKLLSSEEPVVNELESVKENNCASNCHLDGEATKK LMGEEALAGGDKSDPNLNNPADEDHAYALRMLPKTGCVIQPVPKPAEKAAMTPCVISSPM LSAGPEDLEPPLKRRCLRIRNQNK >ENSMUSP00000140866.1 pep:known chromosome:GRCm38:10:43172974:43174521:-1 gene:ENSMUSG00000038248.8 transcript:ENSMUST00000189987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sobp description:sine oculis-binding protein homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1924427] MAEMEKEGRPPENKRSRKPAHPVKREINEEMKAR >ENSMUSP00000039861.7 pep:known chromosome:GRCm38:8:3940222:3946863:-1 gene:ENSMUSG00000040165.14 transcript:ENSMUST00000044060.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209c description:CD209c antigen [Source:MGI Symbol;Acc:MGI:2157945] MRMHTRLQFLKRVSNVAYSHGQEQAKKEKVYKEMTQLKSQINRLCRPCPWDWTVFQGNCY FFSKFQQNWNDSVNACRKLDAQLVVIKSDDEQSFLQQTSKEKGYAWMGLSDLKHEGRWHW VDGSHLLFSFMKYWNKGEPNNEWEEDCAEFRGDGWNDAPCTIKKYWICKKSAMSCTEK >ENSMUSP00000147005.1 pep:known chromosome:GRCm38:8:3940237:3946053:-1 gene:ENSMUSG00000040165.14 transcript:ENSMUST00000208622.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd209c description:CD209c antigen [Source:MGI Symbol;Acc:MGI:2157945] MRMHTRLQFLKRVSNVAYSHGQEQAKKEKVYKEMTQLKSQIKLPAADF >ENSMUSP00000084039.4 pep:known chromosome:GRCm38:6:149408984:149563329:1 gene:ENSMUSG00000003452.15 transcript:ENSMUST00000086829.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bicd1 description:bicaudal D homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1101760] MAAEEALKTVDQYKTEIERLTKELTETTHEKIQAAEYGLVVLEEKLTLKQQYDELEAEYD GLKQELEQLREAFGQSFSIHRKVAEDGETREETLLQESASKEAYYLNKILEMQNELKQSR AVVTNVQAENERLSAVVQELKENNEMVELQRIRMKDEIREYKFREARLLQDYTELEEENI TLQKLVSTLKQNQVEYEGLKHEIKRFEEETVLLNSQLEDAIRLKEIAEHQLEEALETLKN EREQKNNLRKELSQYINLSDSHISISVDGLKFAEDGSEPNNDDKMNGHIHGPLGKLNGDY RTPTTRKGESLHPVSDLFSELNISEIQKLKQQLIQVEREKAILLANLQESQTQLEHTKGA LTEQHERVHRLTEHVNAMRGLQNSKEIKAELDCEKGRNSAEEAHDYEVDINGLEILECKY RVAVTEVIDLKAEIKALKEKYNKSVENYTEEKTKYESKIQMYDEQVTNLEKTSKESGEKM AHMEKELQKMTGIANENHNTLNTAQDELVTFSEELAQLYHHVCLCNNETPNRVMLDYYRQ SRVTRSGSLKGPDDPRGLLSPRLSRRGVSSPVESRTSSEPVSKENTETSKEPSPTKTPTI SPVITAPPSSPVLDTSDIRKEPMNIYNLNAIIRDQIKHLQKAVDRSLQLSRQRAAARELA PMIDKDKEALMEEILKLKSLLSTKREQIATLRAVLKANKQTAEVALANLKNKYENEKAMV TETMTKLRNELKALKEDAATFSSLRAMFATRCDEYVTQLDEMQRQLAAAEDEKKTLNTLL RMAIQQKLALTQRLEDLEFDHEQSRRSKGKLGKSKIGSPKIVSSLLPPYRHSAHN >ENSMUSP00000107138.2 pep:known chromosome:GRCm38:6:149409016:149557819:1 gene:ENSMUSG00000003452.15 transcript:ENSMUST00000111513.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bicd1 description:bicaudal D homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1101760] MAAEEALKTVDQYKTEIERLTKELTETTHEKIQAAEYGLVVLEEKLTLKQQYDELEAEYD GLKQELEQLREAFGQSFSIHRKVAEDGETREETLLQESASKEAYYLNKILEMQNELKQSR AVVTNVQAENERLSAVVQELKENNEMVELQRIRMKDEIREYKFREARLLQDYTELEEENI TLQKLVSTLKQNQVEYEGLKHEIKRFEEETVLLNSQLEDAIRLKEIAEHQLEEALETLKN EREQKNNLRKELSQYINLSDSHISISVDGLKFAEDGSEPNNDDKMNGHIHGPLGKLNGDY RTPTTRKGESLHPVSDLFSELNISEIQKLKQQLIQVEREKAILLANLQESQTQLEHTKGA LTEQHERVHRLTEHVNAMRGLQNSKEIKAELDCEKGRNSAEEAHDYEVDINGLEILECKY RVAVTEVIDLKAEIKALKEKYNKSVENYTEEKTKYESKIQMYDEQVTNLEKTSKESGEKM AHMEKELQKMTGIANENHNTLNTAQDELVTFSEELAQLYHHVCLCNNETPNRVMLDYYRQ SRVTRSGSLKGPDDPRGLLSPRLSRRGVSSPVESRTSSEPVSKENTETSKEPSPTKTPTI SPVITAPPSSPVLDTSDIRKEPMNIYNLNAIIRDQIKHLQKAVDRSLQLSRQRAAARELA PMIDKDKEALMEEILKLKSLLSTKREQIATLRAVLKANKQTAEVALANLKNKYENEKAMV TETMTKLRNELKALKEDAATFSSLRAMFATRCDEYVTQLDEMQRQLAAAEDEKKTLNTLL RMAIQQKLALTQRLEDLEFDHEQSRRSKGKLGKSKIGSPKSGHCPQ >ENSMUSP00000003544.7 pep:known chromosome:GRCm38:6:149409429:149556731:1 gene:ENSMUSG00000003452.15 transcript:ENSMUST00000003544.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bicd1 description:bicaudal D homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1101760] MAAEEALKTVDQYKTEIERLTKELTETTHEKIQAAEYGLVVLEEKLTLKQQYDELEAEYD GLKQELEQLREAFGQSFSIHRKVAEDGETREETLLQESASKEAYYLNKILEMQNELKQSR AVVTNVQAENERLSAVVQELKENNEMVELQRIRMKDEIREYKFREARLLQDYTELEEENI TLQKLVSTLKQNQVEYEGLKHEIKRFEEETVLLNSQLEDAIRLKEIAEHQLEEALETLKN EREQKNNLRKELSQYINLSDSHISISVDGLKFAEDGSEPNNDDKMNGHIHGPLGKLNGDY RTPTTRKGESLHPVSDLFSELNISEIQKLKQQLIQVEREKAILLANLQESQTQLEHTKGA LTEQHERVHRLTEHVNAMRGLQNSKEIKAELDCEKGRNSAEEAHDYEVDINGLEILECKY RVAVTEVIDLKAEIKALKEKYNKSVENYTEEKTKYESKIQMYDEQVTNLEKTSKESGEKM AHMEKELQKMTGIANENHNTLNTAQDELVTFSEELAQLYHHVCLCNNETPNRVMLDYYRQ SRVTRSGSLKGPDDPRGLLSPRLSRRGVSSPVESRTSSEPVSKENTETSKEPSPTKTPTI SPVITAPPSSPVLDTSDIRKEPMNIYNLNAIIRDQIKHLQKAVDRSLQLSRQRAAARELA PMIDKDKEALMEEILKLKSLLSTKREQIATLRAVLKANKQTAEVALANLKNKYENEKAMV TETMTKLRNELKALKEDAATFSSLRAMFATRCDEYVTQLDEMQRQLAAAEDEKKTLNTLL RMAIQQKLALTQRLEDLEFDHEQSRRSKGKLGKSKIGSPKVSGEAPDTVPTIDTYLLHSQ GPQIPTIRVSSGTQRKRQFSPSLCDQSRPRTSGASYLQNLLRVPPDPTSTESFLLKGSPS MSELIQGHRLSKEKRLTVAPPDCQQPAASVPPQCSQLAGRQDYPTVSPDIALSKEQPHSS SQCAPLHCLTKPPYP >ENSMUSP00000133727.1 pep:known chromosome:GRCm38:6:149409429:149556731:1 gene:ENSMUSG00000003452.15 transcript:ENSMUST00000173408.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bicd1 description:bicaudal D homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1101760] MAAEEALKTVDQYKTEIERLTKELTETTHEKIQAAEYGLVVLEEKLTLKQQYDELEAEYD GLKQELEQLREAFGQSFSIHRKVAEDGETREETLLQESASKEAYYLNKILEMQNELKQSR AVVTNVQAENERLSAVVQELKENNEMVELQRIRMKDEIREYKFREARLLQDYTELEEENI TLQKLVSTLKQNQVEYEGLKHEIKRFEEETVLLNSQLEDAIRLKEIAEHQLEEALETLKN EREQKNNLRKELSQYINLSDSHISISVDGLKFAEDGSEPNNDDKMNGHIHGPLGKLNGDY RTPTTRKGESLHPVSDLFSELNISEIQKLKQQLIQVEREKAILLANLQESQTQLEHTKGA LTEQHERVHRLTEHVNAMRGLQNSKEIKAELDCEKGRNSAEEAHDYEVDINGLEILECKY RVAVTEVIDLKAEIKALKEKYNKSVENYTEEKTKYESKIQMYDEQVTNLEKTSKESGEKM AHMEKELQKMTGIANENHNTLNTAQDELVTFSEELAQLYHHVCLCNNETPNRVMLDYYRQ SRVTRSGSLKGPDDPRGLLSPRLSRRGVSSPVESRTSSEPVSKENTETSKEPSPTKTPTI SPVITAPPSSPVLDTSDIRKEPMNIYNLNAIIRDQIKHLQKAVDRSLQLSRQRAAARELA PMIDKDKEALMEEILKLKSLLSTKREQIATLRAVLKANKQTAEVALANLKNKYENEKAMV TETMTKLRNELKALKEDAATFSSLRAMFATRCDEYVTQLDEMQRQLAAAEDEKKTLNTLL RMAIQQKLALTQRLEDLEFDHEQSRRSKGKLGKSKIGSPKTIFTFPL >ENSMUSP00000133986.1 pep:known chromosome:GRCm38:6:149516936:149520436:1 gene:ENSMUSG00000003452.15 transcript:ENSMUST00000172926.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bicd1 description:bicaudal D homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1101760] AEVALANLKNKYENEKAMVTETMTKLRNELKALKEDAATFSSLRAMFATRCDEYVTQLDE MQRQLAAAEDEKKTLNTLLRMAIQQKLALTQRLEDLEFDHEQSRRSKGKLGKSKIGSPKS RPRTSGASYLQNLLRVPP >ENSMUSP00000108360.1 pep:known chromosome:GRCm38:X:149941655:149984982:1 gene:ENSMUSG00000095082.1 transcript:ENSMUST00000112740.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15091 description:predicted gene 15091 [Source:MGI Symbol;Acc:MGI:3712222] MANHEDEIRQLRYLEAANSEKEYNEENDIQSESSRGQAFFRGHPRGRGHRRDSRGRNGHF FRQRFSANHPLLHLTRQVNLESLTRRNGNQSEERQLQQERIQLFFRSLHGLSQSHDHHIG RSHGHDSRRSRNRFRRAPVHSERSHVYSGRTNNYTDRPHHDLSDRPHNQLSVRPHPDLSG RPLHDYSGRPHQNHAVRLQHGHSDRYHLTHTERVLPSHSGIAHPGHTERDNQVQRGRAHD NQSGLAHHNHDGRSHHDHSGRAYHGHARRAHNNQSWRSDNNQSWRDLNQSWRGRNNQSKR GHDNQSQRGHDNQSRRGHDNQSQRGHDNQSQRGHNDQSWRAHNIRSRRDRYHTSLRSSSI QSWMLHERERYHGPRGRNGPRDASPPGSEPEIVRSSAIRERTDYLWVQEHRRNETVELNV GLEDGYSSAEESDESFDRLTCRSMLDTLY >ENSMUSP00000038527.6 pep:known chromosome:GRCm38:9:54544794:54560218:-1 gene:ENSMUSG00000037493.6 transcript:ENSMUST00000041901.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cib2 description:calcium and integrin binding family member 2 [Source:MGI Symbol;Acc:MGI:1929293] MGNKQTIFTEEQLDNYQDCTFFNKKDILKLHARFYELAPNLVPMDYRKSPIVHVPMSLII QMPELRENPFKERIVEAFSEDGEGNLTFNDFVDMFSVLCESAPRELKANYAFKIYDFNTD NFICKEDLEMTLARLTKSELEEDEVVLVCDKVIEEADLDGDGKLGFADFEDMIAKAPDFL STFHIRI >ENSMUSP00000002640.5 pep:known chromosome:GRCm38:12:40059773:40134228:-1 gene:ENSMUSG00000002565.16 transcript:ENSMUST00000002640.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scin description:scinderin [Source:MGI Symbol;Acc:MGI:1306794] MAQELQHPEFARAGQQAGLQVWRVEKLELVPVPQGAYGDFYVGDAYLVLHTTKSSRGFSY RLHFWLGKECSQDESTAAAIFTVQMDDYLGGKPVQSRELQGYESTDFVGYFKGGLKYKAG GVASGLNHVLTNDLTAKRLLHVKGRRVVRATEVPLSWESFNKGDCFIIDLGTEIYQWCGS SCNKYERLKASQVAIGIRDNERKGRSQLIVVEEGSEPSELMKVLGRKPELPDGDNDDDVV ADISNRKMAKLYMVSDASGSMKVTLVAEENPFSMGMLLSEECFILDHGAAKQIFVWKGKN ANPQERKTAMKTAEEFLQKMKYSTNTQIQVLPEGGETPIFKQFFKDWKDKDQSDGFGKVY ITEKVAQIKQIPFDASKLHSSPQMAAQHNMVDDGSGGVEIWRVENSGRVQIDPSSYGEFY GGDCYIILYTYPRGQIIYTWQGANATRDELTMSAFLTVQLDRSLGGQAVQVRVSQGKEPA HLLSLFKDKPLIIYKNGTSKKEGQAPAPPTRLFQVRRNLASITRIVEVDVDANSLNSNDT FVLKLPRNNGFIWIGKGASQEEEKGAEYVADVLKCKASRIQEGKEPEEFWNSLGGRGDYQ TSPLLETRAEDHPPRLYGCSNKTGRFIIEEVPGEFTQDDLAEDDVMLLDAWEQIFIWIGK DANEVEKKESVKSAKMYLETDPSGRDKRTPIVIIKQGHEPPTFTGWFLGWDSSRW >ENSMUSP00000077573.7 pep:known chromosome:GRCm38:12:40059769:40134183:-1 gene:ENSMUSG00000002565.16 transcript:ENSMUST00000078481.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scin description:scinderin [Source:MGI Symbol;Acc:MGI:1306794] MAQELQHPEFARAGQQAGLQVWRVEKLELVPVPQGAYGDFYVGDAYLVLHTTKSSRGFSY RLHFWLGKECSQDESTAAAIFTVQMDDYLGGKPVQSRELQGYESTDFVGYFKGGLKYKAG GVASGLNHVLTNDLTAKRLLHVKGRRVVRATEVPLSWESFNKGDCFIIDLGTEIYQWCGS SCNKYERLKASQVAIGIRDNERKGRSQLIVVEEGSEPSELMKVLGRKPELPDGDNDDDVV ADISNRKMAKLYMVSDASGSMKVTLVAEENPFSMGMLLSEECFILDHGAAKQIFVWKGKN ANPQERKTAMKTAEEFLQKMKYSTNTQIQVLPEGGETPIFKQFFKDWKDKDQSDGFGKVY ITEKVAQIKQIPFDASKLHSSPQMAAQHNMVDDGSGGVEIWRVENSGRVQIDPSSYGEFY GGDCYIILYTYPRGQIIYTWQGANATRDELTMSAFLTVQLDRSLGGQAVQVRVSQGKEPA HLLSLFKDKPLIIYKNGTSKKEGQAPAPPTRLFQVRRNLASITRIVEIEEVPGEFTQDDL AEDDVMLLDAWEQIFIWIGKDANEVEKKESVKSAKMYLETDPSGRDKRTPIVIIKQGHEP PTFTGWFLGWDSSRW >ENSMUSP00000030578.7 pep:known chromosome:GRCm38:4:129820221:129849978:1 gene:ENSMUSG00000028788.14 transcript:ENSMUST00000030578.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptp4a2 description:protein tyrosine phosphatase 4a2 [Source:MGI Symbol;Acc:MGI:1277117] MNRPAPVEISYENMRFLITHNPTNATLNKFTEELKKYGVTTLVRVCDATYDKAPVEKEGI HVLDWPFDDGAPPPNQIVDDWLNLLKTKFREEPGCCVAVHCVAGLGRAPVLVALALIECG MKYEDAVQFIRQKRRGAFNSKQLLYLEKYRPKMRLRFRDTNGHCCVQ >ENSMUSP00000125901.1 pep:known chromosome:GRCm38:4:129820708:129850003:1 gene:ENSMUSG00000028788.14 transcript:ENSMUST00000165853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptp4a2 description:protein tyrosine phosphatase 4a2 [Source:MGI Symbol;Acc:MGI:1277117] MNRPAPVEISYENMRFLITHNPTNATLNKFTEELKKYGVTTLVRVCDATYDKAPVEKEGI HVLDWPFDDGAPPPNQIVDDWLNLLKTKFREEPGCCVAVHCVAGLGRAPVLVALALIECG MKYEDAVQFIRQKRRGAFNSKQLLYLEKYRPKMRLRFRDTNGHCCVQ >ENSMUSP00000101796.2 pep:known chromosome:GRCm38:4:124849485:124850809:-1 gene:ENSMUSG00000078570.10 transcript:ENSMUST00000106190.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110065P20Rik description:RIKEN cDNA 1110065P20 gene [Source:MGI Symbol;Acc:MGI:1916170] MEWGPGAGWSRGEAAGVDRGKAGLGLGGRPPPQPPRDERAQQLLDAVEQRQRQLLDTIAA CEEMLRQLGRRRPEPAGGGNGSAKSGAPPQPSVSARGGLPKDAGDGASES >ENSMUSP00000138901.1 pep:known chromosome:GRCm38:4:124849486:124850661:-1 gene:ENSMUSG00000078570.10 transcript:ENSMUST00000125776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110065P20Rik description:RIKEN cDNA 1110065P20 gene [Source:MGI Symbol;Acc:MGI:1916170] XEGERWGRGGARLSGLLARRGGRTAEGWEAAGVDRGKAGLGLGGRPPPQPPRDERAQQLL DAVEQRQRQLLDTIAACEEMLRQLGRRRPEPAGGGNGSAKSGAPPQPSVSARGGLPKDAG DGASES >ENSMUSP00000139121.1 pep:known chromosome:GRCm38:4:124849490:124851196:-1 gene:ENSMUSG00000078570.10 transcript:ENSMUST00000185036.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110065P20Rik description:RIKEN cDNA 1110065P20 gene [Source:MGI Symbol;Acc:MGI:1916170] MPAATAALSPAHGRAVDIRAEPLPGGSDARTQLPAREARPRLRSRPLKGTATPRRLEGER EAAGVDRGKAGLGLGGRPPPQPPRDERAQQLLDAVEQRQRQLLDTIAACEEMLRQLGRRR PEPAGGGNGSAKSGAPPQPSVSARGGLPKDAGDGASES >ENSMUSP00000138806.1 pep:known chromosome:GRCm38:4:124849546:124850473:-1 gene:ENSMUSG00000078570.10 transcript:ENSMUST00000137769.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110065P20Rik description:RIKEN cDNA 1110065P20 gene [Source:MGI Symbol;Acc:MGI:1916170] MLRQLGRRRPEPAGGGNGSAKSGAPPQPSVSARGGLPKDAGDGASES >ENSMUSP00000130892.1 pep:known chromosome:GRCm38:4:124849485:124850730:-1 gene:ENSMUSG00000078570.10 transcript:ENSMUST00000163946.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110065P20Rik description:RIKEN cDNA 1110065P20 gene [Source:MGI Symbol;Acc:MGI:1916170] MLRQLGRRRPEPAGGGNGSAKSGAPPQPSVSARGGLPKDAGDGASES >ENSMUSP00000053690.5 pep:known chromosome:GRCm38:9:54538984:54545020:1 gene:ENSMUSG00000046460.14 transcript:ENSMUST00000060242.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d7 description:SH2 domain containing 7 [Source:MGI Symbol;Acc:MGI:2441692] MLPDKATSPRLPAKPQVSFLHKKALDMSSRSVSDEVSAEVPTRVPPIPRRSPSLLDESPA GPSDVIYTDLRKINRAQLGLGTEVWGTLRPASAGSLACSPGREPSGKLSDEDQNKPNSLG PAPSGMKPDQGSTMPYTSLGFSLPPSSETLGSQATTWRQGFLKLSHEAQSSSEASSTDTY HLVETPGLQQEARDRPDQRGSPYEQIPTCWHGTAKLSYPGVSPTYSQQSGPMDYGYEKIS GTSKLPEPGNTYEQIPAAKNKDTGRVHKPDKFRRLFFTDKKHKF >ENSMUSP00000113298.1 pep:known chromosome:GRCm38:9:54538988:54543654:1 gene:ENSMUSG00000046460.14 transcript:ENSMUST00000118413.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d7 description:SH2 domain containing 7 [Source:MGI Symbol;Acc:MGI:2441692] MLPDKATSPRLPAKPQVSFLHKKALDMSSRSVSDEVSAEVPTRVPPIPRRSPSLLDESPA GPSDVIYTDLRKINRAQLGLGTEVWGTLRPASAGSLACSPGREPSGKLSDEDQNKPNSLG PAPSGMKPDQGSTMPYTSLGFSLPPSSETLGSQATTWRQGFLKLSHEAQSSSEASSTDTY HLVETPGLQQEARDRPDQRGSPYEQIPTCWHGTAKLSYPGVSPTYSQQSGPMDYGYEKIS GTSKLPEPGNTYEQIPAAKNKDTGRVHKPDKFRRLFFTDKKHKF >ENSMUSP00000101938.1 pep:known chromosome:GRCm38:11:117797660:117807301:1 gene:ENSMUSG00000025573.4 transcript:ENSMUST00000106331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6030468B19Rik description:RIKEN cDNA 6030468B19 gene [Source:MGI Symbol;Acc:MGI:1924977] MALLQLLLFAMLAACGFSEEQTEGITIAYKVLEVYPQSRRVLITCDAPEASQPITYSLLA SRGILVAKKVVHDSVPASFNINITIKSSPDLLTYSCQATSNSGTYGPSSRLQMYQELWAK PVSQLQADFVLRHGDSGPTVELSCLASSGSPPITYRLVGNGGRVLAQQRPLHGKPANFSL PLSQTTGWFQCEAENDVGVDSSARIPLPRAEARAKLVTTLAGELPLTPTCILAGSLVSIA VIASRMLSSTGL >ENSMUSP00000098838.3 pep:known chromosome:GRCm38:5:35757880:35884466:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000101280.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] MSAVSQPQAAHAPLEKPASTAILCNTCGNVCKGEVLRVQNKYFHIRCFVCKACGCDLAEG GFFVRQGEHICTRDYQRLYGTRCFSCDRFIEGEVVSALGKTYHPDCFVCAVCRLPFPPGD RVTFNGKECMCQKCSPPTLLGNSAHVAQGLRSCGGCGLEIKNGQALVALDKHWHLGCFKC KTCGKLLNAEYISKDGLPYCEADYHSKFGIRCDGCEKYITGRVLEAGEKHYHPSCALCVR CGQMFSEGEEMYLQGSSIWHPACRQAARTEDKSKMHSSVCSQPCPGTLPCALQETRTSSE SIVSVPASSTSGSPSRVIYAKLGDEILDYRDLAALPKNKAIYNIDRPDMISYSPYISHSA VGDRQSYGEGDQDDRSYKQCRTSSPSSAGSVSLGHYTPTSRSPQHYSRPAGTVSVGTSSC LSLSQHPSPTSVFRHHYIPYFRGSESGRSTPSLSVHSDSRPPSSTYQQAPRHFHVPAARR LDVEDSSFDQDSRKKTTWLLLKGDADTRTNSPDLDSQSLSLSSGTDQEPLQRMAGDSLYS QYKIYPYDSLIVTNRIRVKLPKDVDRTRLERHLSPEEFQEVFGMSIEEFDRLALWKRNDL KKKALLF >ENSMUSP00000050571.5 pep:known chromosome:GRCm38:5:35757880:35884973:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000054598.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] MSAVSQPQAAHAPLEKPASTAILCNTCGNVCKGEVLRVQNKYFHIRCFVCKACGCDLAEG GFFVRQGEHICTRDYQRLYGTRCFSCDRFIEGEVVSALGKTYHPDCFVCAVCRLPFPPGD RVTFNGKECMCQKCSPPTLLGNSAHVAQGLRSCGGCGLEIKNGQALVALDKHWHLGCFKC KTCGKLLNAEYISKDGLPYCEADYHSKFGIRCDGCEKYITGRVLEAGEKHYHPSCALCVR CGQMFSEGEEMYLQGSSIWHPACRQAARTEDKSKETRTSSESIVSVPASSTSGSPSRVIY AKLGDEILDYRDLAALPKNKAIYNIDRPDMISYSPYISHSAVGDRQSYGEGDQDDRSYKQ CRTSSPSSAGSVSLGHYTPTSRSPQHYSRPGSESGRSTPSLSVHSDSRPPSSTYQQAPRH FHVPDTGVKDNIYRKPPIYKQHAARRLDVEDSSFDQDSRKKTTWLLLKGDADTRTNSPDL DSQSLSLSSGTDQEPLQRMAGDSLYSRFPYSKPDTLPGPRKDGLDLRNANLAPCGADPDA SWGTREYKIYPYDSLIVTNRIRVKLPKDVDRTRLERHLSPEEFQEVFGMSIEEFDRLALW KRNDLKKKALLF >ENSMUSP00000109843.1 pep:known chromosome:GRCm38:5:35757924:35884149:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000114205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] MSAVSQPQAAHAPLEKPASTAILCNTCGNVCKGEVLRVQNKYFHIRCFVCKACGCDLAEG GFFVRQGEHICTRDYQRLYGTRCFSCDRFIEGEVVSALGKTYHPDCFVCAVCRLPFPPGD RVTFNGKECMCQKCSPPTLLGNSAHVAQGLRSCGGCGLEIKNGQALVALDKHWHLGCFKC KTCGKLLNAEYISKDGLPYCEADYHSKFGIRCDGCEKYITGRVLEAGEKHYHPSCALCVR CGQMFSEGEEMYLQGSSIWHPACRQAARTEDKSKETRTSSESIVSVPASSTSGSPSRVIY AKLGDEILDYRDLAALPKNKAIYNIDRPDMISYSPYISHSAVGDRQSYGEGDQDDRSYKQ CRTSSPSSAGSVSLGHYTPTSRSPQHYSRPGSESGRSTPSLSVHSDSRPPSSTYQQAPRH FHVPDTGVKDNIYRKPPIYKQHAARRLDVEDSSFDQDSRKKTTWLLLKGDADTRTNSPDL DSQSLSLSSGTDQEPLQRMAGDSLYSQYKIYPYDSLIVTNRIRVKLPKDVDRTRLERHLS PEEFQEVFGMSIEEFDRLALWKRNDLKKKALLF >ENSMUSP00000109848.2 pep:known chromosome:GRCm38:5:35757931:35884465:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000114210.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] MSAVSQPQAAHAPLEKPASTAILCNTCGNVCKGEVLRVQNKYFHIRCFVCKACGCDLAEG GFFVRQGEHICTRDYQRLYGTRCFSCDRFIEGEVVSALGKTYHPDCFVCAVCRLPFPPGD RVTFNGKECMCQKCSPPTLLGNSAHVAQGLRSCGGCGLEIKNGQALVALDKHWHLGCFKC KTCGKLLNAEYISKDGLPYCEADYHSKFGIRCDGCEKYITGRVLEAGEKHYHPSCALCVR CGQMFSEGEEMYLQGSSIWHPACRQAARTEDKSKETRTSSESIVSVPASSTSGSPSRVIY AKLGDEILDYRDLAALPKNKAIYNIDRPDMISYSPYISHSAVGDRQSYGEGDQDDRSYKQ CRTSSPSSAGSVSLGHYTPTSRSPQHYSRPAGTVSVGTSSCLSLSQHPSPTSVFRHHYIP YFRGSESGRSTPSLSVHSDSRPPSSTYQQAPRHFHVPDTGVKDNIYRKPPIYKQHAARRL DVEDSSFDQDSRKKTTWLLLKGDADTRTNSPDLDSQSLSLSSGTDQEPLQRMAGDSLYSQ CQPGPLWSRPGCQLGHARV >ENSMUSP00000109844.2 pep:known chromosome:GRCm38:5:35757931:35884973:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000114206.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] MSAVSQPQAAHAPLEKPASTAILCNTCGNVCKGEVLRVQNKYFHIRCFVCKACGCDLAEG GFFVRQGEHICTRDYQRLYGTRCFSCDRFIEGEVVSALGKTYHPDCFVCAVCRLPFPPGD RVTFNGKECMCQKCSPPTLLGNSAHVAQGLRSCGGCGLEIKNGQALVALDKHWHLGCFKC KTCGKLLNAEYISKDGLPYCEADYHSKFGIRCDGCEKYITGRVLEAGEKHYHPSCALCVR CGQMFSEGEEMYLQGSSIWHPACRQAARTEDKSKETRTSSESIVSVPASSTSGSPSRVIY AKLGDEILDYRDLAALPKNKAIYNIDRPDMISYSPYISHSAVGDRQSYGESPQLLSPTPT EGDQDDRSYKQCRTSSPSSAGSVSLGHYTPTSRSPQHYSRPAGTVSVGTSSCLSLSQHPS PTSVFRHHYIPYFRGSESGRSTPSLSVHSDSRPPSSTYQQAPRHFHVPDTGVKDNIYRKP PIYKQHAARRLDVEDSSFDQDSRKKTTWLLLKGDADTRTNSPDLDSQSLSLSSGTDQEPL QRMAGDSLYSQYKIYPYDSLIVTNRIRVKLPKDVDRTRLERHLSPEEFQEVFGMSIEEFD RLALWKRNDLKKKALLF >ENSMUSP00000109842.1 pep:known chromosome:GRCm38:5:35757966:35884466:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000114204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] MSAVSQPQAAHAPLEKPASTAILCNTCGNVCKGEVLRVQNKYFHIRCFVCKACGCDLAEG GFFVRQGEHICTRDYQRLYGTRCFSCDRFIEGEVVSALGKTYHPDCFVCAVCRLPFPPGD RVTFNGKECMCQKCSPPTLLGNSAHVAQGLRSCGGCGLEIKNGQALVALDKHWHLGCFKC KTCGKLLNAEYISKDGLPYCEADYHSKFGIRCDGCEKYITGRVLEAGEKHYHPSCALCVR CGQMFSEGEEMYLQGSSIWHPACRQAARTEDKSKETRTSSESIVSVPASSTSGSPSRVIY AKLGDEILDYRDLAALPKNKAIYNIDRPDMISYSPYISHSAVGDRQSYGEGDQDDRSYKQ CRTSSPSSAGSVSLGHYTPTSRSPQHYSRPAGTVSVGTSSCLSLSQHPSPTSVFRHHYIP YFRGSESGRSTPSLSVHSDSRPPSSTYQQAPRHFHVPDTGVKDNIYRKPPIYKQHAARRL DVEDSSFDQDSRKKTTWLLLKGDADTRTNSPDLDSQSLSLSSGTDQEPLQRMAGDSLYSQ YKIYPYDSLIVTNRIRVKLPKDVDRTRLERHLSPEEFQEVFGMSIEEFDRLALWKRNDLK KKALLF >ENSMUSP00000123525.1 pep:known chromosome:GRCm38:5:35758051:35883419:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000129347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] MSAVSQPQAAHAPLEKPASTAILCNTCGNVCKGEVLRVQNKYFHIRCFVCKACGCDLAEG GFFVRQGEHICTRDYQRLYGTRCFSCDRFIEGEVVSALGKTYHPDCFVCAVCRLPFPPGD RVTFNGKECMCQKCSPPTLLGNSAHVAQGLRSCGGCGLEIKNGQALVALDKHWHLGCFKC KTCGKLLNAEYISKDGLPYCEADYHSKFGIRCDGCEKYITGRVLEAGEKHYHPSCALCVR CGQMFSEGEEMYLQGSSIWHPACRQAARTEDKSKMHSSVCSQPCPGTLPCALQETRTSSE SIVSVPASSTSGSPSRVIYAKLGDEILDYRDLAALPKNKAIYNIDRPDMISYSPYISHSA VGDRQSYGEGDQDDRSYKQCRTSSPSSAGSVSLGHYTPTSRSPQHYSRPAGTVSVGTSSC LSLSQHPSPTSVFRHHYIPYFRGSESGRSTPSLSVHSDSRPPSSTYQQAPRHFHVPDTGV KDNIYRKPPIYKQHAARRLDVEDSSFDQDSRKKTTWLLLKGDADTRTNSPDLDSQSLSLS SGTDQEPLQRMAGDSLYSRFPYSKPDTLPGPRKDGLDLRNANLAPCGADPDASWGTREYK IYPYDSLIVTNRIRVKLPKDVDRTRLERHLSPEEFQEVFGMSIEEFDRLALWKRNDLKKK ALLF >ENSMUSP00000118159.1 pep:known chromosome:GRCm38:5:35814359:35843204:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000130233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] MFSEGEEMYLQGSSIWHPACRQAARTEDKSKMHSSVCSQPCPGTLPCALQETRTSSESIV SVPASSTSGSPSRVIYAKLGDEILDYRDLAALPKNKAIYNIDRPDMISYSPYISHSAVGD RQSYGESPQLLSPTPTEGDQDDRSYKQCRTSSPSSAGSVSLGHYTPTSRSPQHYSRPAGT VSVGTSSCLS >ENSMUSP00000109841.1 pep:known chromosome:GRCm38:5:35814426:35883419:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000114203.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] MFSEGEEMYLQGSSIWHPACRQAARTEDKSKETRTSSESIVSVPASSTSGSPSRVIYAKL GDEILDYRDLAALPKNKAIYNIDRPDMISYSPYISHSAVGDRQSYGESPQLLSPTPTEGD QDDRSYKQCRTSSPSSAGSVSLGHYTPTSRSPQHYSRPDTGVKDNIYRKPPIYKQHAARR LDVEDSSFDQDSRKKTTWLLLKGDADTRTNSPDLDSQSLSLSSGTDQEPLQRMAGDSLYS RFPYSKPDTLPGPRKDGLDLRNANLAPCGADPDASWGTREYKIYPYDSLIVTNRIRVKLP KDVDRTRLERHLSPEEFQEVFGMSIEEFDRLALWKRNDLKKKALLF >ENSMUSP00000144134.1 pep:known chromosome:GRCm38:5:35814440:35833104:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000150146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] MCAMRQVRPDVLRGRGDVPARLLHLASGMSTGSQD >ENSMUSP00000123616.1 pep:known chromosome:GRCm38:5:35828124:35874884:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000151636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] XSSIWHPACRQAARTEDKSKETRTSSESIVSVPASSTSGSPSRVIYAKLGDEILDYRDLA ALPKNKAIYNIDRPDMISYSPYISHSAVGDRQSYGEGDQDDRSYKQCRTSSPSSAGSVSL GHYTPTSRSPQHYSRPAARRLDVEDSSFDQDSRKKTTWLLLKGDADTRTNSPDLDSQSLS LSSGTDQEPLQRMAGDSLYSQYKIYPYDSLIVTNRIRVKL >ENSMUSP00000114616.1 pep:known chromosome:GRCm38:5:35828155:35857859:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000151322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] XAARTEDKSKETRTSSESIVSVPASSTSGSPSRVIYAKLGDEILDYRDLAALPKNKAIYN IDRPDMISYSPYISHSAVGDRQSYGEGDQDDRSYKQCRTSSPSSAGSVSLGHYTPTSRSP QHYSRPGPVAQSPMSKLSGLVSVLSLVVQEAGRSKRWAGLSPPQAAAARRLDVEDSSFDQ DSRKKTTWLLLKGDA >ENSMUSP00000115931.1 pep:known chromosome:GRCm38:5:35841354:35858186:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000125378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] XHYTPTSRSPQHYSRPAGTVSVGTSSCLSLSQHPSPTSVFRHHYIPYFRGSESGRSTPSL SVHSDSRPPSSTYQQAPRHFHVPDTGVKDNIYRKPPIYKQHAARRLDVEDSSFDQDSRKK TTWLLLKGDADTRTNSPDLDSQSLSLSSGTDQEPLQRMAGDSLYSREWLF >ENSMUSP00000118019.1 pep:known chromosome:GRCm38:5:35857894:35883519:1 gene:ENSMUSG00000029095.17 transcript:ENSMUST00000153529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim2 description:actin-binding LIM protein 2 [Source:MGI Symbol;Acc:MGI:2385758] XSLSLSSGTDQEPLQRMAGDSLYSRFPYSKPDTLPGPRKDGLDLRIYPYDSLIVTNRIRV KLPKDVDRTRLERHLSPEEFQEVFGMSIEEFDRLALWKRNDLKKKALLF >ENSMUSP00000055757.5 pep:known chromosome:GRCm38:4:106442329:106464329:-1 gene:ENSMUSG00000044254.6 transcript:ENSMUST00000049507.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk9 description:proprotein convertase subtilisin/kexin type 9 [Source:MGI Symbol;Acc:MGI:2140260] MGTHCSAWLRWPLLPLLPPLLLLLLLLCPTGAGAQDEDGDYEELMLALPSQEDGLADEAA HVATATFRRCSKEAWRLPGTYIVVLMEETQRLQIEQTAHRLQTRAARRGYVIKVLHIFYD LFPGFLVKMSSDLLGLALKLPHVEYIEEDSFVFAQSIPWNLERIIPAWHQTEEDRSPDGS SQVEVYLLDTSIQGAHREIEGRVTITDFNSVPEEDGTRFHRQASKCDSHGTHLAGVVSGR DAGVAKGTSLHSLRVLNCQGKGTVSGTLIGLEFIRKSQLIQPSGPLVVLLPLAGGYSRIL NAACRHLARTGVVLVAAAGNFRDDACLYSPASAPEVITVGATNAQDQPVTLGTLGTNFGR CVDLFAPGKDIIGASSDCSTCFMSQSGTSQAAAHVAGIVARMLSREPTLTLAELRQRLIH FSTKDVINMAWFPEDQQVLTPNLVATLPPSTHETGGQLLCRTVWSAHSGPTRTATATARC APEEELLSCSSFSRSGRRRGDWIEAIGGQQVCKALNAFGGEGVYAVARCCLVPRANCSIH NTPAARAGLETHVHCHQKDHVLTGCSFHWEVEDLSVRRQPALRSRRQPGQCVGHQAASVY ASCCHAPGLECKIKEHGISGPSEQVTVACEAGWTLTGCNVLPGASLTLGAYSVDNLCVAR VHDTARADRTSGEATVAAAICCRSRPSAKASWVQ >ENSMUSP00000091889.2 pep:known chromosome:GRCm38:7:64867052:64872997:-1 gene:ENSMUSG00000070520.4 transcript:ENSMUST00000094331.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndnl2 description:necdin-like 2 [Source:MGI Symbol;Acc:MGI:1913897] MLQKPRGRGRPSTQADPERDWGGAGEEGPSTSRAAGGSSQGSRASLSAPTVGPRTQKQLE LKVAELVQFLLIKDQKKIPIKRTDILKHVVGDYRDVYPNLLKLAAERLQYVFGYKLVELE PKSHSYILINMLEPVEADAEMRGDQGTPISGLLMIVLGLIFMKGNTITETEVWDFLRRLG VYPTKKHLIFGDPKKLITEDFVRQRYLEYRRIPHTDPVDYELQWGPRTNLETSKMKVLKF VAKVHNQDPKDWPTQYCEALADEESRARPATASAPATSS >ENSMUSP00000141397.1 pep:known chromosome:GRCm38:3:15946870:16006437:-1 gene:ENSMUSG00000078780.7 transcript:ENSMUST00000194367.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5150 description:predicted gene 5150 [Source:MGI Symbol;Acc:MGI:3779469] MLLLDAQTQIHHSVLLLILLLGLKGAAGKELKVIQPEKSVSVRAGGSATLNCTVTSLLPV GPIRWYRGVGHRRNLIYSYTGEHFPRITNVSDTTNRRNLDFSICISYVTFADAGTYYCVK FQKGPSEPDIEIQSGGGTELFVLGAAGKELKVIQPEKSVSVRAGGLATLNCTVTSLIPVG PMRWYRGVGHRRNLIYSYTGEHFPRITNVSDATKRRNLDFSIRISDVTFADADTYYCVKF QKGPSESDIEIQSGGGTELLVLELKTSGNAKILAAVLLGSKLLLAIAVIVIYIHKMQNA >ENSMUSP00000103984.2 pep:known chromosome:GRCm38:3:15948070:16006332:-1 gene:ENSMUSG00000078780.7 transcript:ENSMUST00000108347.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5150 description:predicted gene 5150 [Source:MGI Symbol;Acc:MGI:3779469] MLLLDAQTQIHHSVLLLILLLGLKGAAGKELKVIQPEKSVSVRAGGSATLNCTVTSLLPV GPIRWYRGVGHRRNLIYSYTGEHFPRITNVSDTTNRRNLDFSICISYVTFADAGTYYCVK FQKGPSEPDIEIQSGGGTELFVLGAAGKELKVIQPEKSVSVRAGGLATLNCTVTSLIPVG PMRWYRGVGHRRNLIYSYTGEHFPRITNVSDATKRRNLDFSIRISDVTFADADTYYCVKF QKGPSESDIEIQSGGGTELLVLELKTSGNAKILAAVLLGSKLLLAIAVIVIYIHKMQNA >ENSMUSP00000112790.1 pep:known chromosome:GRCm38:9:64137144:64173104:-1 gene:ENSMUSG00000032400.17 transcript:ENSMUST00000122091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zwilch description:zwilch kinetochore protein [Source:MGI Symbol;Acc:MGI:1915264] MDGGHGGMWSRMNRAAEEFYARLRQEFNEEKKGASKDPFIYEADVQVQLISKGQPSLLKT ILNENDSVFLVEKVVLEKEETSQVEELQSEETAISDLSAGENIRPLALPVGRARQLIGLY TMAHNPNMTHLKIKQPVTALPPLWVRCDGSDPEGTCWLGAELITTNDIIAGVILYVLTCK ADKNYSEDLENLKTSHKKRHHVSAVTARGFAQYELFKSDDLDDTVAPSQTTVTLDLSWSP VDEMLQTPPLSSTAALNIRVQSGESRGCLSHLHRELKFLLVLADGIRTGVTEWLEPLETK SALEFVQEFLNDLNKLDEFDDSTKKDKQKEAVNHDAAAVVRSMLLTVRGDLDFAEQLWCR MSSSVVSYQDLVKCFTLILQSLQRGDIQPWLHSGSNSLLSKLIHQSYHGAMDSVPLSGTT PLQMLLEIGLDKLKKDYISFFVSQELASLNHLEYFISPSVSTQEQVCRVQKLHHILEILV ICMLFIKPQHELLFSLTQSCIKYYKQNPLDEQHIFQLPVRPAAVKNLYQSEKPQKWRVEL SNSQKRVKTVWQLSDSSPVDHSSFHRPEFPELTLNGSLEERTAFVNMLTCSQVHFK >ENSMUSP00000135585.1 pep:known chromosome:GRCm38:9:64137993:64172879:-1 gene:ENSMUSG00000032400.17 transcript:ENSMUST00000176299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zwilch description:zwilch kinetochore protein [Source:MGI Symbol;Acc:MGI:1915264] MAHNPNMTHLKIKQPVTALPPLWVRCDGSDPEGTCWLGAELITTNDIIAGVILYVLTCKA DKNYSEDLENLKTSHKKRHHVSAVTARGFAQYELFKSDDLDDTVAPSQTTVTLDLSWSPV DEMLQTPPLSSTAALNIRVQSGESRGCLSHLHRELKFLLVLADGIRTGVTEWLEPLETKS ALEFVQEFLNDLNKLDEFDDSTKKDKQKEAVNHDAAAVVRSMLLTVRGDLDFAEQLWCRM SSSVVSYQDLVKCFTLILQSLQRGDIQPWLHSGSNSLLSKLIHQSYHGAMDSVPLSGTTP LQMLLEIGLDKLKKDYISFFVSQELASLNHLEYFISPSVSTQEQVCRVQKLHHILEILVI CMLFIKPQHELLFSLTQSCIKYYKQNPLDEQHIFQLPVRPAAVKNLYQSEKPQKWRVELS NSQKRVKTVWQLSDSSPVDHSSFHRPEFPELTLNGSLEERTAFVNMLTCSQVHFK >ENSMUSP00000134850.1 pep:known chromosome:GRCm38:9:64139904:64172894:-1 gene:ENSMUSG00000032400.17 transcript:ENSMUST00000176794.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zwilch description:zwilch kinetochore protein [Source:MGI Symbol;Acc:MGI:1915264] MDGGHGGMWSRMNRAAEEFYARLRQEFNEEKKGASKDPFIYEADVQVQLISKGQPSLLKT ILNENDSVFLVEKVVLEKEETSQVEELQSEETAISDLSAGENIRPLALPVGRARQLIGLY TMAHNPNMTHLKIKQPVTALPPLWVRCDGSDPEGTCWLGAELITTNDIIAGVILYVLTCK ADKNYSEDLENLKTSHKKRHHVSAVTARGFAQYELFKSDDLDDTVAPSQTTVTLDLSWSP VDEMLQTPPLSSTAALVLADGIRTGVTEWLEPLETKSALEFVQEFLNDLNKLDEFDDSTK KDKQKEAVNHDAAAVVRSMLLTVRGDLDFAEQLWCRMSSSVVSYQDLVKCFTLILQSLQR GDIQPWLHSGSNSLLSKLIHQSYHGAMDSVPLSGTTPLQMLLEIGLDKLKKDYISFFVSQ ELASLNHLEYFISPSVSTQEQVCRVQKLHHILEILVICMLFIKPQHELLFSLTQSCIKYY KQNPLDEQHIFQLPVRPAAVKNLYQSEKPQKWRVELSNSQKRVKTVWQLSDSSPVDHSSF HRPEFPELTLNGSLEERTAFVNMLTCSQVHFK >ENSMUSP00000115556.1 pep:known chromosome:GRCm38:9:64139981:64150268:-1 gene:ENSMUSG00000032400.17 transcript:ENSMUST00000152824.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zwilch description:zwilch kinetochore protein [Source:MGI Symbol;Acc:MGI:1915264] XSYHGAMDSVPLSGTTPLQMLLEIGLDKLKKDYISFFVSQELASLNHLPLS >ENSMUSP00000135705.1 pep:known chromosome:GRCm38:9:64139983:64147376:-1 gene:ENSMUSG00000032400.17 transcript:ENSMUST00000143421.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zwilch description:zwilch kinetochore protein [Source:MGI Symbol;Acc:MGI:1915264] QEQVCRVQKLHHILEILVICMLFIKPQHELLFSLTQLF >ENSMUSP00000135328.1 pep:known chromosome:GRCm38:9:64155188:64172913:-1 gene:ENSMUSG00000032400.17 transcript:ENSMUST00000177045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zwilch description:zwilch kinetochore protein [Source:MGI Symbol;Acc:MGI:1915264] MCCPYREFNEEKKGASKDPFIYEADVQVQLISKGQPSLLKTILNENDSVFLVEKVVLEKE ETSQVEELQSEETAISDLSAGENIRPLALPVGRARQLIGLYTMAHNPNMTHLKIKQPVTA LPPLWVRCDGSDPEGTCWLGAELITTNDIIAGVILYVLTCKADKNYSEDLENLKTSHKKR HHVSAVTARGFAQYELFKSDDLDDTVAPSQTTVTLDLSWSPVDEMLQTPPLSSTAALNIR VQSGESRGCLSHLHRELKFLLVLADGIRTGVTEWLEPLETKSALEFVQEFLNDLNKLDEF DDS >ENSMUSP00000134782.1 pep:known chromosome:GRCm38:9:64160975:64172906:-1 gene:ENSMUSG00000032400.17 transcript:ENSMUST00000176378.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zwilch description:zwilch kinetochore protein [Source:MGI Symbol;Acc:MGI:1915264] MDGGHGGMWSRMNRAAEEFYARLRQEFNEEKKGASKDPFIYEADVQVQLISKGQPSLLKT ILNENDSVFLVEKVAVNWALYHGSQS >ENSMUSP00000116187.1 pep:known chromosome:GRCm38:9:64161394:64172888:-1 gene:ENSMUSG00000032400.17 transcript:ENSMUST00000130127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zwilch description:zwilch kinetochore protein [Source:MGI Symbol;Acc:MGI:1915264] DGGHGGMWSRMNRAAEEFYARLRQEFNEEKKGASKDPFIYEADVQVQLISKGQPSLLKTI LNENDSVFLVEKVLIGLYTMAHNPNMTHLKIKQPVTALPPLWVRCDGSDPEGTCWLGAEL ITTNDIIAGVILYVLTCKADKNYSEDLENLKTSH >ENSMUSP00000134966.1 pep:known chromosome:GRCm38:9:64162682:64172890:-1 gene:ENSMUSG00000032400.17 transcript:ENSMUST00000124301.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zwilch description:zwilch kinetochore protein [Source:MGI Symbol;Acc:MGI:1915264] XDGGHGGMWSRMNRAAEEFYARLRQEFNEEKKGASKDPFIYEADVQVQLISKGQPSLLKT ILNENDSVFLVEKVEATTVFEG >ENSMUSP00000113680.1 pep:known chromosome:GRCm38:7:64756091:65156570:-1 gene:ENSMUSG00000030518.17 transcript:ENSMUST00000119118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam189a1 description:family with sequence similarity 189, member A1 [Source:MGI Symbol;Acc:MGI:1917888] MPPAGGPRTPRPHALPRSLSRLRECPGRSRIVLALGATQMALGCLIVAVSFAALALTTSA RVRHSCPFWAGFSVLLSGLIGVVSWKRPLSLVITFFMLLSAVCVMLNLAGSILSCQNAQL VSSLEGCQLIKFDSVEVCVCCELQHHSSGCSNLGETLKLNPLQENCNAVRLTLKDLLFSV CALNVLSTIVCALATAMCCMQMVSADVLQMFFPHRSHSANAACVTPHGTILHQTLDFDEF IAPLPPPPYYPPEYTCTPTAEAHRGLHLDFASSPFSTLYDVAINSPGILYPAELPPPYEA VVGQTPASQVASVDHQVVDSSSGDPNTAADFSTPVPASSTSLPTSEGATSLGPSHPSPDG TLDTPTPLIPTPVPHHMSPEAASLSAQAQPSPGRVARSVSDPTSCTSSEAVSTSQPAMAA CQHQHSSTGDPDTWKTGQGTKPETLQTVSKERPHSLVESKAYADTRVLVAKFLEHAHCSL PTEVRHVVGTIRSMATSEEPRVEEAIFGAGVLDQL >ENSMUSP00000115095.1 pep:known chromosome:GRCm38:7:64767723:64925560:-1 gene:ENSMUSG00000030518.17 transcript:ENSMUST00000149851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam189a1 description:family with sequence similarity 189, member A1 [Source:MGI Symbol;Acc:MGI:1917888] MLLSAVCVMLNLAGSILSCQNAQLVSSLEGCQLIKFDSVEVCVCCELQHHSSGCSNLGET LKLNPLQENCNAVRLTLKDLLFSVCALNVLSTIVCALATAMCCMQMVSADVLQMFFPHRS HSANAACVTPHGTILHQTLDFDEFIAPLPPPPYYPPEYTCTPTAEAHRGLHLDFASSPFS TLYDVAINSPGILYPAELPPPYEAVVGQTPASQVA >ENSMUSP00000116119.1 pep:known chromosome:GRCm38:7:64786690:65156416:-1 gene:ENSMUSG00000030518.17 transcript:ENSMUST00000148459.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam189a1 description:family with sequence similarity 189, member A1 [Source:MGI Symbol;Acc:MGI:1917888] MPPAGGPRTPRPHALPRSLSRLRECPGRSRIVLALGATQMALGCLIVAVSFAALALTTSA RVRHSCPFWAGFSVLLSGLIGVVSWKRPLSLVIKFDSVEVCVCCELQHHSSGCSNLGETL KLNPLQENCNAVRLTLKDLLFSVCALNVLSTIVCALATAMCCMQMVSADVLQM >ENSMUSP00000129238.1 pep:known chromosome:GRCm38:17:83215292:83225070:1 gene:ENSMUSG00000024247.14 transcript:ENSMUST00000170794.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkdcc description:protein kinase domain containing, cytoplasmic [Source:MGI Symbol;Acc:MGI:2147077] MRRRRAAVAAGFCASFLLGSVLNVLFAPGSEPPRPGQSPGSSAAPGPGRRGGRGELARQI RERYEEVQRYSRGGPGPGAGRPERRRLMDLAPGGPGLQRPRPPRVRSPPDGAPGWPPAPG PGSPGPGPRLGCAALRNVSGAQYVGSGYTKAVYRVRLPGGAAVALKAVDFSGHDLGSCVR EFGARRGCYRLAAHKLLKEMVLLERLRHPNVLQLYGYCYQDSEGIPDTLTTITELGAPVE MIQLLQTSWEDRFRICLSLGRLLHHLAHSPLGSVTLLDFRPRQFVLVNGELKVTDLDDAR VEETPCTSSADCTLEFPARNFSLPCSAQGWCEGMNEKRNLYNAYRFFFTYLLPHSAPPSL RPLLDSIVNATGELAWGVDETLAQLETALHLFRSGQYLQNSTSSRAEYQRIPDSAITQED YRCWPSYHHGGCLLSVFNLAEAIDVCESHAQCRAFVVTNQTTWTGRKLVFFKTGWNQVVP DAGKTTYVKAPG >ENSMUSP00000131445.1 pep:known chromosome:GRCm38:17:83220102:83224264:1 gene:ENSMUSG00000024247.14 transcript:ENSMUST00000170758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkdcc description:protein kinase domain containing, cytoplasmic [Source:MGI Symbol;Acc:MGI:2147077] XAPVEMIQLLQTSWEDRFRFVLVNGELKVTDLDDARVEETPCTSSADCTLEFPARNFSLP CSAQGWCEGMNEKRNLYNAYRFFFTYLLPHSAPPSLRPLLDSIVNATGELAWGVDETLAQ LETALHLFRSGQYLQNSTSSRAEYQRIPDSAITQEDYRCWPSYHHGGCLLSVFNLAEAID VCESHAQCRAFVVTNQTTWTGRKLVFFKTGWNQVVPDAGKTTYV >ENSMUSP00000141462.1 pep:known chromosome:GRCm38:1:181896384:182019661:-1 gene:ENSMUSG00000022995.16 transcript:ENSMUST00000193703.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enah description:enabled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108360] MSEQSICQARAAVMVYDDANKKWVPAGGSTGFSRVHIYHHTGNNTFRVVGRKIQDHQVVI NCAIPKGLKYNQATQTFHQWRDARQVYGLNFGSKEDANVFASAMMHALEVLNSQEAGPTL PRQNSQLPAQVQNGPSQEELEIQRRQLQEQQRQKELERERMERERLERERLERERLERER LEQEQLERQRQEREHVERLERERLERLERERQERERERLEQLEREQVEWERERRMSNAAA PASAETPLNPELGDSSASEPGLQAASQPAESPTPQGLVLGPPAPPPPPPLPSGPAYASAL PPPPGPPPPPPLPSTGPPPPPPPPPPLPNQAPPPPPPPPAPPLPASGIFSGSTSEDNRPL TGLAAAIAGAKLRKVSRVEDGSFPGGGNTGSVSLASSKADAGRGNGPLPLGGSGLMEEMS ALLARRRRIAEKGSTIETEQKEDRNEDAEPITAKAPSTSTPEPTRKPWERTNTMNGSKSP VISRPKSTPSSQPSANGVQTEGLDYDRLKQDILDEMRKELAKLKEELIDAIRQELSKSNT A >ENSMUSP00000106653.4 pep:known chromosome:GRCm38:1:181904400:182019990:-1 gene:ENSMUSG00000022995.16 transcript:ENSMUST00000111024.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enah description:enabled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108360] MSEQSICQARAAVMVYDDANKKWVPAGGSTGFSRVHIYHHTGNNTFRVVGRKIQDHQVVI NCAIPKGLKYNQATQTFHQWRDARQVYGLNFGSKEDANVFASAMMHALEVLNSQEAGPTL PRQNSQLPAQVQNGPSQEELEIQRRQLQEQQRQKELERERMERERLERERLERERLERER LEQEQLERQRQEREHVERLERERLERLERERQERERERLEQLEREQVEWERERRMSNAAA PASAETPLNPELGDSSASEPGLQAASQPAESPTPQGLVLGPPAPPPPPPLPSGPAYASAL PPPPGPPPPPPLPSTGPPPPPPPPPPLPNQAPPPPPPPPAPPLPASGIFSGSTSEDNRPL TGLAAAIAGAKLRKVSRVEDGSFPGGGNTGSVSLASSKADAGRGNGPLPLGGSGLMEEMS ALLARRRRIAEKGSTIETEQKEDRNEDAEPITAKAPSTSTPEPTRKPWERTNTMNGSKSP VISRRDSPRRNQIVFDNRAYDSLHRPKSTPSSQPSANGVQTEGLDYDRLKQDILDEMRKE LAKLKEELIDAIRQELSKSNTA >ENSMUSP00000141936.1 pep:known chromosome:GRCm38:1:181905506:182014404:-1 gene:ENSMUSG00000022995.16 transcript:ENSMUST00000193074.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enah description:enabled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108360] MDVEFVSHTIPKLRSSQGLRISEQSICQARAAVMVYDDANKKWVPAGGSTGFSRVHIYHH TGNNTFRVVGRKIQDHQVVINCAIPKGLKYNQATQTFHQWRDARQVYGLNFGSKEDANVF ASAMMHALEVLNSQEAGPTLPRQNSQLPAQVQNGPSQEELEIQRRQLQEQQRQKELERER MERERLERERLERERLERERLEQEQLERQRQEREHVERLERERLERLERERQERERERLE QLEREQVEWERERRMSNAGLVLGPPAPPPPPPLPSGPAYASALPPPPGPPPPPPLPSTGP PPPPPPPPPLPNQAPPPPPPPPAPPLPASGIFSGSTSEDNRPLTGLAAAIAGAKLRKVSR VEDGSFPGGGNTGSVSLASSKADAGRGNGPLPLGGSGLMEEMSALLARRRRIAEKGSTIE TEQKEDRNEDAEPITAKAPSTSTPEPTRKPWERTNTMNGSKSPVISRPKSTPSSQPSANG VQTEGLDYDRLKQDILDEMRKELAKLKEELIDAIRQELSKSNTA >ENSMUSP00000077781.6 pep:known chromosome:GRCm38:1:181905513:182019661:-1 gene:ENSMUSG00000022995.16 transcript:ENSMUST00000078719.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enah description:enabled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108360] MSEQSICQARAAVMVYDDANKKWVPAGGSTGFSRVHIYHHTGNNTFRVVGRKIQDHQVVI NCAIPKGLKYNQATQTFHQWRDARQVYGLNFGSKEDANVFASAMMHALEVLNSQEAAQSK VTATQDSTNLRCIFCGPTLPRQNSQLPAQVQNGPSQEELEIQRRQLQEQQRQKELERERM ERERLERERLERERLERERLEQEQLERQRQEREHVERLERERLERLERERQERERERLEQ LEREQVEWERERRMSNAAPSSDSSLSSAPLPEYSSCQPPSAPPPSYAKVISAPVSDATPD YAVVTALPPTSTPPTPPLRHAATRFATSLGSAFHPVLPHYATVPRPLNKNSRPSSPVNTP SSQPPAAKSCAWPTSNFSPLPPSPPIMISSPPGKATGPRPVLPVCVSSPVPQMPPSPTAP NGSLDSVTYPVSPPPTSGPAAPPPPPPPPPPPPPPPPPLPPPPLPPLASLSHCGSQASPP PGTPLASTPSSKPSVLPSPSAAAPASAETPLNPELGDSSASEPGLQAASQPAESPTPQGL VLGPPAPPPPPPLPSGPAYASALPPPPGPPPPPPLPSTGPPPPPPPPPPLPNQAPPPPPP PPAPPLPASGIFSGSTSEDNRPLTGLAAAIAGAKLRKVSRVEDGSFPGGGNTGSVSLASS KADAGRGNGPLPLGGSGLMEEMSALLARRRRIAEKGSTIETEQKEDRNEDAEPITAKAPS TSTPEPTRKPWERTNTMNGSKSPVISRPKSTPSSQPSANGVQTEGLDYDRLKQDILDEMR KELAKLKEELIDAIRQELSKSNTA >ENSMUSP00000141344.1 pep:known chromosome:GRCm38:1:181905513:182019661:-1 gene:ENSMUSG00000022995.16 transcript:ENSMUST00000195059.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enah description:enabled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108360] MSEQSICQARAAVMVYDDANKKWVPAGGSTGFSRVHIYHHTGNNTFRVVGRKIQDHQVVI NCAIPKGLKYNQATQTFHQWRDARQVYGLNFGSKEDANVFASAMMHALEVLNSQEAGPTL PRQNSQLPAQVQNGPSQEELEIQRRQLQEQQRQKELERERMERERLERERLERERLERER LEQEQLERQRQEREHVERLERERLERLERERQERERERLEQLEREQVEWERERRMSNAAP SSDSSLSSAPLPEYSSCQPPSAPPPSYAKVISAPVSDATPDYAVVTALPPTSTPPTPPLR HAATRFATSLGSAFHPVLPHYATVPRPLNKNSRPSSPVNTPSSQPPAAKSCAWPTSNFSP LPPSPPIMISSPPGKATGPRPVLPVCVSSPVPQMPPSPTAPNGSLDSVTYPVSPPPTSGP AAPPPPPPPPPPPPPPPPPLPPPPLPPLASLSHCGSQASPPPGTPLASTPSSKPSVLPSP SAAAPASAETPLNPELGDSSASEPGLQAASQPAESPTPQGLVLGPPAPPPPPPLPSGPAY ASALPPPPGPPPPPPLPSTGPPPPPPPPPPLPNQAPPPPPPPPAPPLPASGIFSGSTSED NRPLTGLAAAIAGAKLRKVSRVEDGSFPGGGNTGSVSLASSKADAGRGNGPLPLGGSGLM EEMSALLARRRRIAEKGSTIETEQKEDRNEDAEPITAKAPSTSTPEPTRKPWERTNTMNG SKSPVISRPKSTPSSQPSANGVQTEGLDYDRLKQDILDEMRKELAKLKEELIDAIRQELS KSNTA >ENSMUSP00000106659.3 pep:known chromosome:GRCm38:1:181905513:182019661:-1 gene:ENSMUSG00000022995.16 transcript:ENSMUST00000111030.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enah description:enabled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108360] MSEQSICQARAAVMVYDDANKKWVPAGGSTGFSRVHIYHHTGNNTFRVVGRKIQDHQVVI NCAIPKGLKYNQATQTFHQWRDARQVYGLNFGSKEDANVFASAMMHALEVLNSQEAVFYL GPTLPRQNSQLPAQVQNGPSQEELEIQRRQLQEQQRQKELERERMERERLERERLERERL ERERLEQEQLERQRQEREHVERLERERLERLERERQERERERLEQLEREQVEWERERRMS NAAPSSDSSLSSAPLPEYSSCQPPSAPPPSYAKVISAPVSDATPDYAVVTALPPTSTPPT PPLRHAATRFATSLGSAFHPVLPHYATVPRPLNKNSRPSSPVNTPSSQPPAAKSCAWPTS NFSPLPPSPPIMISSPPGKATGPRPVLPVCVSSPVPQMPPSPTAPNGSLDSVTYPVSPPP TSGPAAPPPPPPPPPPPPPPPPPLPPPPLPPLASLSHCGSQASPPPGTPLASTPSSKPSV LPSPSAAAPASAETPLNPELGDSSASEPGLQAASQPAESPTPQGLVLGPPAPPPPPPLPS GPAYASALPPPPGPPPPPPLPSTGPPPPPPPPPPLPNQAPPPPPPPPAPPLPASGIFSGS TSEDNRPLTGLAAAIAGAKLRKVSRVEDGSFPGGGNTGSVSLASSKADAGRGNGPLPLGG SGLMEEMSALLARRRRIAEKGSTIETEQKEDRNEDAEPITAKAPSTSTPEPTRKPWERTN TMNGSKSPVISRPKSTPSSQPSANGVQTEGLDYDRLKQDILDEMRKELAKLKEELIDAIR QELSKSNTA >ENSMUSP00000141330.1 pep:known chromosome:GRCm38:1:181919451:181923764:-1 gene:ENSMUSG00000022995.16 transcript:ENSMUST00000192967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enah description:enabled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108360] LERERLERERLEQEQLERQRQEREHVERLERERLERLERERQERERERLEQLEREQVEWE RERRMSNAGPAAPPPPPPPPPPPPPPPPPLPPPPLPPLASLSHCGSQASPPPGTPLASTP SSKPSVLPSPSAAAPASAETPLNPELGDSSASEPGLQAASQPAESPTPQGLVLGPPAPPP PPPLPSGPAYASALPPPPGPPPPPPLPSTGPPPPPPPPPPLPNQAPPPP >ENSMUSP00000136863.1 pep:known chromosome:GRCm38:1:181904445:182019980:-1 gene:ENSMUSG00000022995.16 transcript:ENSMUST00000177811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enah description:enabled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108360] MSEQSICQARAAVMVYDDANKKWVPAGGSTGFSRVHIYHHTGNNTFRVVGRKIQDHQVVI NCAIPKGLKYNQATQTFHQWRDARQVYGLNFGSKEDANVFASAMMHALEVLNSQEAACYL WPTLPRQNSQLPAQVQNGPSQEELEIQRRQLQEQQRQKELERERMERERLERERLERERL ERERLEQEQLERQRQEREHVERLERERLERLERERQERERERLEQLEREQVEWERERRMS NAAPSSDSSLSSAPLPEYSSCQPPSAPPPSYAKVISAPVSDATPDYAVVTALPPTSTPPT PPLRHAATRFATSLGSAFHPVLPHYATVPRPLNKNSRPSSPVNTPSSQPPAAKSCAWPTS NFSPLPPSPPIMISSPPGKATGPRPVLPVCVSSPVPQMPPSPTAPNGSLDSVTYPVSPPP TSGPAAPPPPPPPPPPPPPPPPPLPPPPLPPLASLSHCGSQASPPPGTPLASTPSSKPSV LPSPSAAAPASAETPLNPELGDSSASEPGLQAASQPAESPTPQGLVLGPPAPPPPPPLPS GPAYASALPPPPGPPPPPPLPSTGPPPPPPPPPPLPNQAPPPPPPPPAPPLPASGIFSGS TSEDNRPLTGLAAAIAGAKLRKVSRVEDGSFPGGGNTGSVSLASSKADAGRGNGPLPLGG SGLMEEMSALLARRRRIAEKGSTIETEQKEDRNEDAEPITAKAPSTSTPEPTRKPWERTN TMNGSKSPVISRPKSTPSSQPSANGVQTEGLDYDRLKQDILDEMRKELAKLKEELIDAIR QELSKSNTA >ENSMUSP00000106654.2 pep:known chromosome:GRCm38:1:181904445:182019980:-1 gene:ENSMUSG00000022995.16 transcript:ENSMUST00000111025.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enah description:enabled homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108360] MSEQSICQARAAVMVYDDANKKWVPAGGSTGFSRVHIYHHTGNNTFRVVGRKIQDHQVVI NCAIPKGLKYNQATQTFHQWRDARQVYGLNFGSKEDANVFASAMMHALEVLNSQEAGPTL PRQNSQLPAQVQNGPSQEELEIQRRQLQEQQRQKELERERMERERLERERLERERLERER LEQEQLERQRQEREHVERLERERLERLERERQERERERLEQLEREQVEWERERRMSNAAA PASAETPLNPELGDSSASEPGLQAASQPAESPTPQGLVLGPPAPPPPPPLPSGPAYASAP PPPPPAPPLPASGIFSGSTSEDNRPLTGLAAAIAGAKLRKVSRVEDGSFPGGGNTGSVSL ASSKADAGRGNGPLPLGGSGLMEEMSALLARRRRIAEKGSTIETEQKEDRNEDAEPITAK APSTSTPEPTRKPWERTNTMNGSKSPVISRPKSTPSSQPSANGVQTEGLDYDRLKQDILD EMRKELAKLKEELIDAIRQELSKSNTA >ENSMUSP00000033800.6 pep:known chromosome:GRCm38:X:136822671:136839733:1 gene:ENSMUSG00000031425.15 transcript:ENSMUST00000033800.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plp1 description:proteolipid protein (myelin) 1 [Source:MGI Symbol;Acc:MGI:97623] MGLLECCARCLVGAPFASLVATGLCFFGVALFCGCGHEALTGTEKLIETYFSKNYQDYEY LINVIHAFQYVIYGTASFFFLYGALLLAEGFYTTGAVRQIFGDYKTTICGKGLSATVTGG QKGRGSRGQHQAHSLERVCHCLGKWLGHPDKFVGITYALTVVWLLVFACSAVPVYIYFNT WTTCQSIAFPSKTSASIGSLCADARMYGVLPWNAFPGKVCGSNLLSICKTAEFQMTFHLF IAAFVGAAATLVSLLTFMIAATYNFAVLKLMGRGTKF >ENSMUSP00000108708.1 pep:known chromosome:GRCm38:X:136822790:136837798:1 gene:ENSMUSG00000031425.15 transcript:ENSMUST00000113085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plp1 description:proteolipid protein (myelin) 1 [Source:MGI Symbol;Acc:MGI:97623] MGLLECCARCLVGAPFASLVATGLCFFGVALFCGCGHEALTGTEKLIETYFSKNYQDYEY LINVIHAFQYVIYGTASFFFLYGALLLAEGFYTTGAVRQIFGDYKTTICGKGLSATFVGI TYALTVVWLLVFACSAVPVYIYFNTWTTCQSIAFPSKTSASIGSLCADARMYGVLPWNAF PGKVCGSNLLSICKTAEFQMTFHLFIAAFVGAAATLVSLLTFMIAATYNFAVLKLMGRGT KF >ENSMUSP00000060237.6 pep:known chromosome:GRCm38:7:4628040:4630482:-1 gene:ENSMUSG00000045282.7 transcript:ENSMUST00000055085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem86b description:transmembrane protein 86B [Source:MGI Symbol;Acc:MGI:1915505] MDARKEGLPLETLFSDQYPQVRRWLAPFILACSLYFLLWIPVDQPSWVSALIKCQPILCL VVFLWAVAPGGSSTWLLQGALVCSAVGDACLIWPEAFFYGTAAFSVAHLFYLGAFGLTPL QPGLLLCTTLASLTYYSFLLLHLEQGMVLPVMAYGLILNSMLWRSLVWGGSASWGAVLFT FSDGVLAWDTFVYSLPFARLVTMSTYYAAQLLLILSALRNPGLKTH >ENSMUSP00000146201.1 pep:known chromosome:GRCm38:7:4628042:4630187:-1 gene:ENSMUSG00000045282.7 transcript:ENSMUST00000205360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem86b description:transmembrane protein 86B [Source:MGI Symbol;Acc:MGI:1915505] MGGRLRSFALLKYPQVRRWLAPFILACSLYFLLWIPVDQPSWVSALIKCQPILCLVVFLW AVAPGGSSTWLLQGALVCSAVGDACLIWPEAFFYGTAAFSVAHLFYLGAFGLTPLQPGLL LCTTLASLTYYSFLLLHLEQGMVLPVMAYGLILNSMLWRSLVWGGSASWGAVLFTFSDGV LAWDTFVYSLPFARLVTMSTYYAAQLLLILSALRNPGLKTH >ENSMUSP00000145569.1 pep:known chromosome:GRCm38:7:4628406:4631422:-1 gene:ENSMUSG00000045282.7 transcript:ENSMUST00000205402.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem86b description:transmembrane protein 86B [Source:MGI Symbol;Acc:MGI:1915505] MVLPVMAYGLILNSMLWRSLVWGGSASWGAVLFTFSDGVLAWDTFVYSLPFARLVTMSTY YAAQLLLIL >ENSMUSP00000145838.1 pep:known chromosome:GRCm38:7:4628604:4630336:-1 gene:ENSMUSG00000045282.7 transcript:ENSMUST00000206610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem86b description:transmembrane protein 86B [Source:MGI Symbol;Acc:MGI:1915505] MDARKEGLPLETLFSDQVRTLPTGPQVAGPLHPCLLPLLPPLDSCGPAILGQCPDQVPAH SLPGCVPVGCGPWWEQHLAPAGSSCMFCCGRCLPHLA >ENSMUSP00000064515.3 pep:known chromosome:GRCm38:6:72318678:72345184:-1 gene:ENSMUSG00000056305.4 transcript:ENSMUST00000070345.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp39 description:ubiquitin specific peptidase 39 [Source:MGI Symbol;Acc:MGI:107622] MSSRSKRQSHGSTRGKRESESRGSSGRIKKERDREKEPEAASSRGSPVRVKREAEPAARE VPAPALPVVRVKREREADEDSEPEREVRAKNGRVDSEDRRSRHCPYLDTINRSVLDFDFE KLCSISLSHINAYACLVCGKYFQGRGLKSHAYIHSVQFSHHVFLNLHTLKFYCLPDNYEI IDSSLEDITYVLKPTFTKQQIANLDKQAKLSRAYDGTTYLPGIVGLNNIKANDYANAVLQ ALSNVPPLRNYFLEEDNYKNIKRPPGDIMFLLVQRFGELMRKLWNPRNFKAHVSPHEMLQ AVVLCSKKTFQITKQGDGVDFLSWFLNALHSALGGTKKKKKTIVNDVFQGSMRIFTKKLP HPDLPAEEKEQLLHNDEYQETMVESTFMYLTLDLPTAPLYKDEKEQLIIPQVPLFNILAK FNGITEKEYKTYKENFLKRFQLTKLPPYLIFCIKRFTKNNFFVEKNPTIVNFPITNVDLR EYLSEEVQAVHKNTTYDLIANIVHDGKPSEGSYRIHVLHHGTGKWYELQDLQVTDILPQM ITLSEAYIQIWKRRDNDETNQQGA >ENSMUSP00000145792.1 pep:known chromosome:GRCm38:6:72336260:72342968:-1 gene:ENSMUSG00000056305.4 transcript:ENSMUST00000206262.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp39 description:ubiquitin specific peptidase 39 [Source:MGI Symbol;Acc:MGI:107622] XKNGRVDSEDRRSRHCPYLDTINRSVLDFDFEKLCSISLSHINAYACLVCGKYFQAFSLS PQAGA >ENSMUSP00000103015.1 pep:known chromosome:GRCm38:7:79821803:79848210:-1 gene:ENSMUSG00000039062.15 transcript:ENSMUST00000107392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anpep description:alanyl (membrane) aminopeptidase [Source:MGI Symbol;Acc:MGI:5000466] MAKGFYISKTLGILGILLGVAAVCTIIALSVVYAQEKNRNAENSATAPTLPGSTSATTAT TTPAVDESKPWNQYRLPKTLIPDSYRVILRPYLTPNNQGLYIFQGNSTVRFTCNQTTDVI IIHSKKLNYTLKGNHRVVLRTLDGTPAPNIDKTELVERTEYLVVHLQGSLVEGRQYEMDS QFQGELADDLAGFYRSEYMEGDVKKVVATTQMQAADARKSFPCFDEPAMKAMFNITLIYP NNLIALSNMLPKESKPYPEDPSCTMTEFHSTPKMSTYLLAYIVSEFKNISSVSANGVQIG IWARPSAIDEGQGDYALNVTGPILNFFAQHYNTSYPLPKSDQIALPDFNAGAMENWGLVT YRESSLVFDSQSSSISNKERVVTVIAHELAHQWFGNLVTVAWWNDLWLNEGFASYVEYLG ADYAEPTWNLKDLMVLNDVYRVMAVDALASSHPLSSPADEIKTPDQIMELFDSITYSKGA SVIRMLSSFLTEDLFKKGLSSYLHTYQYSNTVYLDLWEHLQKAVNQQTAVQPPATVRTIM DRWILQMGFPVITVNTNTGEISQKHFLLDSKSNVTRPSEFNYIWIAPIPFLKSGQEDHYW LDVEKNQSAKFQTSSNEWILLNINVTGYYLVNYDENNWKKLQNQLQTDLSVIPVINRAQI IHDSFNLASAKMIPITLALDNTLFLVKEAEYMPWQAALSSLNYFTLMFDRSEVYGPMKRY LKKQVTPLFFYFQNRTNNWVNRPPTLMEQYNEINAISTACSSGLKECRDLVVELYSQWMK NPNNNTIHPNLRSTVYCNAIAFGGEEEWNFAWEQFRNATLVNEADKLRSALACSKDVWIL NRYLSYTLNPDYIRKQDTTSTIISIASNVAGHPLVWDFVRSNWKKLFENYGGGSFSFANL IQGVTRRFSSEFELQQLEQFKADNSATGFGTGTRALEQALEKTRANIDWVKENKDAVFKW FTENSS >ENSMUSP00000145682.1 pep:known chromosome:GRCm38:7:79822264:79848183:-1 gene:ENSMUSG00000039062.15 transcript:ENSMUST00000205502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anpep description:alanyl (membrane) aminopeptidase [Source:MGI Symbol;Acc:MGI:5000466] MEQYNEINAISTACSSGLKECRDLVVELYSQWMKNPNNNTIHPNLRSTVYCNAIAFGGEE EWNFAWEQFRNATLVNEADKLRSALACSKDVWILNRYLSYTLNPDYIRKQDTTSTIISIA SNVAGHPLVWDFVRSNWKKLFENYGGGSFSFANLIQGVTRRFSSEFELQQLEQFKADNSA TGFGTGTRALEQALEKTRANIDWVKEN >ENSMUSP00000146085.1 pep:known chromosome:GRCm38:7:79842056:79861059:-1 gene:ENSMUSG00000039062.15 transcript:ENSMUST00000206235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anpep description:alanyl (membrane) aminopeptidase [Source:MGI Symbol;Acc:MGI:5000466] MAKGFYISKTLGILGILLGVAAVCTIIALSVVYAQEKNRNAENSATAPTLPGSTSATTAT TTPAV >ENSMUSP00000035943.6 pep:known chromosome:GRCm38:7:79821804:79842352:-1 gene:ENSMUSG00000039062.15 transcript:ENSMUST00000049004.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anpep description:alanyl (membrane) aminopeptidase [Source:MGI Symbol;Acc:MGI:5000466] MAKGFYISKTLGILGILLGVAAVCTIIALSVVYAQEKNRNAENSATAPTLPGSTSATTAT TTPAVDESKPWNQYRLPKTLIPDSYRVILRPYLTPNNQGLYIFQGNSTVRFTCNQTTDVI IIHSKKLNYTLKGNHRVVLRTLDGTPAPNIDKTELVERTEYLVVHLQGSLVEGRQYEMDS QFQGELADDLAGFYRSEYMEGDVKKVVATTQMQAADARKSFPCFDEPAMKAMFNITLIYP NNLIALSNMLPKESKPYPEDPSCTMTEFHSTPKMSTYLLAYIVSEFKNISSVSANGVQIG IWARPSAIDEGQGDYALNVTGPILNFFAQHYNTSYPLPKSDQIALPDFNAGAMENWGLVT YRESSLVFDSQSSSISNKERVVTVIAHELAHQWFGNLVTVAWWNDLWLNEGFASYVEYLG ADYAEPTWNLKDLMVLNDVYRVMAVDALASSHPLSSPADEIKTPDQIMELFDSITYSKGA SVIRMLSSFLTEDLFKKGLSSYLHTYQYSNTVYLDLWEHLQKAVNQQTAVQPPATVRTIM DRWILQMGFPVITVNTNTGEISQKHFLLDSKSNVTRPSEFNYIWIAPIPFLKSGQEDHYW LDVEKNQSAKFQTSSNEWILLNINVTGYYLVNYDENNWKKLQNQLQTDLSVIPVINRAQI IHDSFNLASAKMIPITLALDNTLFLVKEAEYMPWQAALSSLNYFTLMFDRSEVYGPMKRY LKKQVTPLFFYFQNRTNNWVNRPPTLMEQYNEINAISTACSSGLKECRDLVVELYSQWMK NPNNNTIHPNLRSTVYCNAIAFGGEEEWNFAWEQFRNATLVNEADKLRSALACSKDVWIL NRYLSYTLNPDYIRKQDTTSTIISIASNVAGHPLVWDFVRSNWKKLFENYGGGSFSFANL IQGVTRRFSSEFELQQLEQFKADNSATGFGTGTRALEQALEKTRANIDWVKENKDAVFKW FTENSS >ENSMUSP00000078901.6 pep:known chromosome:GRCm38:X:95935335:95956913:-1 gene:ENSMUSG00000057421.12 transcript:ENSMUST00000079987.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Las1l description:LAS1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923380] MDRVWRAWDGQSFKENQPESPSARGIVVSWLSRAEWEQVTVYLFCDDHKLQQYALNRITV WRSRLGNELPLAVASTADLVRCKLIDAAGTLGTDELRLLYGMALVRFVNLISERKTKCSN LPLKYLAQEVNIPDWIVELRHNLTHKKMPHINECRRGCYFVLNWLQKTYWSRQLEGSLKE TWELDEDQLDAEDPEEEEREIIADDVLEEIPEPQDDDKDEELAVEDDANTKGNEEVASHP EPSSRHKELYEKARELLVSYEEEQFKVLEKHRHLLQAIKVWNNLSPRVQCILEELKSISW ENRDAVLDAFLDDGFLIPTFEQLAALQIEYEENIDLNEVLVPKPFSQFWQPLLRGLHSQT FTQALLERMFSELSTVGSTGIRPTYILRWTVELIVANTKTGRNARRFSASQWEARKSWRL FNCSATLDWPQVIESCLGSPCWASPQLLQVVFKAMGQVLPDEEQEKLLRVCSIYTQNGEN GLAKAIEGSSSSSTGKAPYTLDTLHEDLQPPGTNCESEESIQQKEQGNLKDVKQEEKKEN EEEEKEEEEMEEEEEEEEEEKEEEEEEQEQEEHQEEEQEEEEEEENQKVFQDQMEADVEE SDDVEEEEEVDDEEEDEDDDYDDDEEEDRMEVGAFSLAQGSSVFENTRTTSRKREALQGS AWQVSSEDVRWGTFPLGRLPGQTEDPAELMLDNYDTMYLLDQPVIEHRLEPQKSKSSTLS LCCGGSNTNSSSSSSSGNMEGLLWNQGQMHGLKAGLQLF >ENSMUSP00000109495.2 pep:known chromosome:GRCm38:X:95935335:95956913:-1 gene:ENSMUSG00000057421.12 transcript:ENSMUST00000113864.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Las1l description:LAS1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923380] MDRVWRAWDGQSFKENQPESPSARGIVVSWLSRAEWEQVTVYLFCDDHKLQQYALNRITV WRSRLGNELPLAVASTADLVRCKLIDAAGTLGTDELRLLYGMALVRFVNLISERKTKCSN LPLKYLAQEVNIPDWIVELRHNLTHKKMPHINECRRGCYFVLNWLQKTYWSRQLEGSLKE TWELDEDQLDAEDPEEEEREIIADDVLEEIPEPQDDDKDEELAVEDDANTKGNEEVASHP EPSSRHKELYEKARELLVSYEEEQFKVLEKHRHLLQAIKVWNNLSPRVQCILEELKSISW ENRDAVLDAFLDDGFLIPTFEQLAALQIEYEDGQTEVQKGEVTEPNSHKNIDLNEVLVPK PFSQFWQPLLRGLHSQTFTQALLERMFSELSTVGSTGIRPTYILRWTVELIVANTKTGRN ARRFSASQWEARKSWRLFNCSATLDWPQVIESCLGSPCWASPQLLQVVFKAMGQVLPDEE QEKLLRVCSIYTQNGENGLAKAIEGSSSSSTGKAPYTLDTLHEDLQPPGTNCESEESIQQ KEQGNLKDVKQEEKKENEEEEKEEEEMEEEEEEEEEEKEEEEEEQEQEEHQEEEQEEEEE EENQKVFQDQMEADVEESDDVEEEEEVDDEEEDEDDDYDDDEEEDRMEVGAFSLAQGSSV FENTRTTSRKREALQGSAWQVSSEDVRWGTFPLGRLPGQTEDPAELMLDNYDTMYLLDQP VIEHRLEPQKSKSSTLSLCCGGSNTNSSSSSSSGNMEGLLWNQGQMHGLKAGLQLF >ENSMUSP00000132417.1 pep:known chromosome:GRCm38:14:42518878:42524282:-1 gene:ENSMUSG00000095318.1 transcript:ENSMUST00000167099.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8024 description:predicted gene 8024 [Source:MGI Symbol;Acc:MGI:3647989] MLEMQHDQVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRK ENRQLLREQIALEECNTETKILCKEGSQKIKDHYTKQQQV >ENSMUSP00000047616.4 pep:known chromosome:GRCm38:2:132904389:132945906:-1 gene:ENSMUSG00000027356.8 transcript:ENSMUST00000038280.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fermt1 description:fermitin family member 1 [Source:MGI Symbol;Acc:MGI:2443583] MLSSGDLTSASWELVVRVDHANGEQQTEITLRVSGDLHIGGVMLKLVEQMNIAQDWSDYA LWWEQKRCWLLKTHWTLDKCGVQADANLLFTPQHKMLRLRLPNAKTVRLRVSFSAVVFKA VADICKVLNIRRPEELSLLKPSSDYCKKKKKKEKNSKEPVIEDILNLESSSTSSGSPVSP GLYSKTMTPTYDPINGTPALSTMTWFGDSPLTEQNCSVLAFSQPPPSPDVLADMFQPRSL VDKAKMNAGWLDSSRSLMEQSIQEDEQLQLRFKYYTFFDLNPKYDAVRINQLYEQARWAV LLEEIDCTEEEMLIFAALQYHISKLSQCAEIQDFATKSEVDEVEAALSSLEVTLEGGKAD NTLEDITDIPKLADYLKLFRPKKLMLKACKQYWFVFKDTSIAYFKNKELEQGEPIEKLNL RGCEIVPDVNVSGRKFGIKLLIPVADGMNEVYLRCDHEDQYARWMAACILASKGKTMADS SYQPEVISILSFLKMKNRNSSPLVASSLENMDMNPECLVSPCCAKKHKSKQLAARILEAH HNVAQMPLVEAKLQFIQAWQSLPEFGLTYYLVRFKGSKKDDILGVAYNRLIRIDAVTGIP VTTWRFANMKQWNVNWEIRQVAIEFDQNVSIAFTCLSADCKIVHEYIGGYIFLSTRSKDQ NETLDEDLFHKLTGGQD >ENSMUSP00000029653.2 pep:known chromosome:GRCm38:3:129677565:129755316:-1 gene:ENSMUSG00000028017.7 transcript:ENSMUST00000029653.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egf description:epidermal growth factor [Source:MGI Symbol;Acc:MGI:95290] MPWGRRPTWLLLAFLLVFLKISILSVTAWQTGNCQPGPLERSERSGTCAGPAPFLVFSQG KSISRIDPDGTNHQQLVVDAGISADMDIHYKKERLYWVDVERQVLLRVFLNGTGLEKVCN VERKVSGLAIDWIDDEVLWVDQQNGVITVTDMTGKNSRVLLSSLKHPSNIAVDPIERLMF WSSEVTGSLHRAHLKGVDVKTLLETGGISVLTLDVLDKRLFWVQDSGEGSHAYIHSCDYE GGSVRLIRHQARHSLSSMAFFGDRIFYSVLKSKAIWIANKHTGKDTVRINLHPSFVTPGK LMVVHPRAQPRTEDAAKDPDPELLKQRGRPCRFGLCERDPKSHSSACAEGYTLSRDRKYC EDVNECATQNHGCTLGCENTPGSYHCTCPTGFVLLPDGKQCHELVSCPGNVSKCSHGCVL TSDGPRCICPAGSVLGRDGKTCTGCSSPDNGGCSQICLPLRPGSWECDCFPGYDLQSDRK SCAASGPQPLLLFANSQDIRHMHFDGTDYKVLLSRQMGMVFALDYDPVESKIYFAQTALK WIERANMDGSQRERLITEGVDTLEGLALDWIGRRIYWTDSGKSVVGGSDLSGKHHRIIIQ ERISRPRGIAVHPRARRLFWTDVGMSPRIESASLQGSDRVLIASSNLLEPSGITIDYLTD TLYWCDTKRSVIEMANLDGSKRRRLIQNDVGHPFSLAVFEDHLWVSDWAIPSVIRVNKRT GQNRVRLQGSMLKPSSLVVVHPLAKPGADPCLYRNGGCEHICQESLGTARCLCREGFVKA WDGKMCLPQDYPILSGENADLSKEVTSLSNSTQAEVPDDDGTESSTLVAEIMVSGMNYED DCGPGGCGSHARCVSDGETAECQCLKGFARDGNLCSDIDECVLARSDCPSTSSRCINTEG GYVCRCSEGYEGDGISCFDIDECQRGAHNCGENAACTNTEGGYNCTCAGRPSSPGLSCPD STAPSLLGEDGHHLDRNSYPGCPSSYDGYCLNGGVCMHIESLDSYTCNCVIGYSGDRCQT RDLRWWELRHAGYGQKHDIMVVAVCMVALVLLLVLGMWGTYYYRTRKQLSNPPKNPCDEP SGSVSSSGPNSSSGAAVASCPQPWFVVLEKHQDPKNGSLPADGTNGAVVDAGLSPSLQLG SVHLTSWRQKPHIDGMGTGQSCWIPPSSDRGPQEIEGNSHLPSYRPVGPEKLHSLQSANG SCHERAPDLPRQTEPVQ >ENSMUSP00000143075.1 pep:known chromosome:GRCm38:3:129677578:129725087:-1 gene:ENSMUSG00000028017.7 transcript:ENSMUST00000197079.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egf description:epidermal growth factor [Source:MGI Symbol;Acc:MGI:95290] MHFDGTDYKVLLSRQMGMVFALDYDPVESKIYFAQTALKWIERANMDGSQRERLITEGVD TLEGLALDWIGRRIYWTDSGKSVVGGSDLSGKHHRIIIQERISRPRGIAVHPRARRLFWT DVGMSPRIESASLQGSDRVLIASSNLLEPSGITIDYLTDTLYWCDTKRSVIEMANLDGSK RRRLIQNDVGHPFSLAVFEDHLWVSDWAIPSVIRVNKRTGQNRVRLQGSMLKPSSLVVVH PLAKPGADPCLYRNGGCEHICQESLGTARCLCREGFVKAWDGKMCLPQDYPILSGENADL SKEVTSLSNSTQAEVPDDDGTESSTLVAEIMVSGMNYEDDCGPGGCGSHARCVSDGETAE CQCLKGFARDGNLCSDIDECVLARSDCPSTSSRCINTEGGYVCRCSEGYEGDGISCFDID ECQRGAHNCGENAACTNTEGGYNCTCAGRPSSPGLSCPDSTAPSLLGEDGHHLDRNSYPG CPSSYDGYCLNGGVCMHIESLDSYTCNCVIGYSGDRCQTRDLRWWELRHAGYGQKHDIMV VAVCMVALVLLLVLGMWGTYYYRTRKQLSNPPKNPCDEPSGSVSSSGPNSSSGAAVASCP QPWFVVLEKHQDPKNGSLPADGTNGAVVDAGLSPSLQLGSVHLTSWRQKPHIDGMGTGQS CWIPPSSDRGPQEIEGNSHLPSYRPVGPEKLHSLQSANGSCHERAPDLPRQTEPVQ >ENSMUSP00000142497.1 pep:known chromosome:GRCm38:3:129677580:129725077:-1 gene:ENSMUSG00000028017.7 transcript:ENSMUST00000199615.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egf description:epidermal growth factor [Source:MGI Symbol;Acc:MGI:95290] MHFDGTDYKVLLSRQMGMVFALDYDPVESKIYFAQTALKWIERANMDGSQRERLITEGVD TLEGLALDWIGRRIYWTDSGKSVVGGSDLSGKHHRIIIQERISRPRGIAVHPRARRLFWT DVGMSPRIESASLQGSDRVLIASSNLLEPSGITIDYLTDTLYWCDTKRSVIEMANLDGSK RRRLIQNDVGHPFSLAVFEDHLWVSDWAIPSVIRVNKRTGQNRVRLQGSMLKPSSLVVVH PLAKPGADPCLYRNGGCEHICQESLGTARCLCREGFVKAWDGKMCLPQDYPILSGENADL SKEVTSLSNSTQAEVPDDDGTESSTLVAEIMVSGMNYEDDCGPGGCGSHARCVSDGETAE CQCLKGFARDGNLCSDIDECVLARSDCPSTSSRCINTEGGYVCRCSEGYEGDGISCFDST APSLLGEDGHHLDRNSYPGCPSSYDGYCLNGGVCMHIESLDSYTCNCVIGYSGDRCQTRD LRWWELRHAGYGQKHDIMVVAVCMVALVLLLVLGMWGTYYYRTRKQLSNPPKNPCDEPSG SVSSSGPNSSSGAAVASCPQPWFVVLEKHQDPKNGSLPADGTNGAVVDAGLSPSLQLGSV HLTSWRQKPHIDGMGTGQSCWIPPSSDRGPQEIEGNSHLPSYRPVGPEKLHSLQSANGSC HERAPDLPRQTEPVQ >ENSMUSP00000143108.1 pep:known chromosome:GRCm38:3:129699461:129725086:-1 gene:ENSMUSG00000028017.7 transcript:ENSMUST00000197713.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Egf description:epidermal growth factor [Source:MGI Symbol;Acc:MGI:95290] MHFDGTDYKVLLSRQMGMVFALDYDPVESKIYFAQTALKWIERANMDGSQRERLITEGVD TLEGLALDWIGRRIYWTDSGKSVVGGSDLSGKHHRIIIQERISRPRGIAVHPRARRLFWT DVGMSPRIESASLQGSDRVLIASSNLLEPSGITIDYLTDTLYWCDTKRSVIEMANLDGSK RRRLIQNDVGHPFSLAVFEDHLWVSDWAIPSVIRVNKRTGQNRVQIPAYTGMEAVNTSAK RAWAQLGVCVVKVL >ENSMUSP00000101393.1 pep:known chromosome:GRCm38:4:143894873:143897981:-1 gene:ENSMUSG00000078512.8 transcript:ENSMUST00000105767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef6 description:PRAME family member 6 [Source:MGI Symbol;Acc:MGI:3649940] MSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFKDAFTSRHPNILRQMVAAWP FPTLPVGALMEIPDLETLKAVLDGLDLLMSQKDRPSRWNLQVLDLRDAHQDFWDGWAGLL HEVCSQDVFGKNQPVGNHPILGEKQTVTIKMNLSLMSRRPSKYLKYLYRWAKERKNGIQV ICEKLEFGAIPAYDPLNILKVFDAASIQELAINTRWDIYTLASLAPGVGRMKNLQKLLFK EICIPWDRPWDVEKEALILTEIFSQFSKLHKLQHLYLNDVYFLTERLDQMLRCFESPLET LAITHCTLSESDMRYLSQCPSTHQLKHLDLSGVTFILSHPFLGSLLERLTATLQTLKLKG CMLMDWQISDLLPVLSQCSQLTEVDFVKNFLSMDSLKKLLQHTANLTKLTLEKYPAPNEV YDDSDGVIPDRFVQLCSELMDTLKGVRQPKQVYFVSKMCLYCRNFCIYSFVGNI >ENSMUSP00000080350.6 pep:known chromosome:GRCm38:4:143894237:143900380:-1 gene:ENSMUSG00000078512.8 transcript:ENSMUST00000081645.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef6 description:PRAME family member 6 [Source:MGI Symbol;Acc:MGI:3649940] MSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFKDAFTSRHPNILRQMVAAWP FPTLPVGALMEIPDLETLKAVLDGLDLLMSQKDRPSRWNLQVLDLRDAHQDFWDGWAGLL HEVCSQDVFGKNQPVGNHPILGEKQTVTIKMNLSLMSRRPSKYLKYLYRWAKERKNGIQV ICEKLEFGAIPAYDPLNILKVFDAASIQELAINTRWDIYTLASLAPGVGRMKNLQKLLFK EICIPWDRPWDVEKEALILTEIFSQFSKLHKLQHLYLNDVYFLTERLDQMLRCFESPLET LAITHCTLSESDMRYLSQCPSTHQLKHLDLSGVTFILSHPFLGSLLERLTATLQTLKLKG CMLMDWQISDLLPVLSQCSQLTEVDFVKNFLSMDSLKKLLQHTANLTKLTLEKYPAPNEV YDDSDGVIPDRFVQLCSELMDTLKGVRQPKQVYFVGPSPASSFLIFQTV >ENSMUSP00000035961.8 pep:known chromosome:GRCm38:2:70712407:70825728:-1 gene:ENSMUSG00000041997.16 transcript:ENSMUST00000038584.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlk1 description:tousled-like kinase 1 [Source:MGI Symbol;Acc:MGI:2441683] MSVQSSSGSLEGPPSWSRLSTSPTPGSAAAARSLLNHTPPSGRPREGAMDELHSLDPRRQ ELLEARFTGVATGSTGSTGSCSVGAKASTNNESSNHSFGSLGSLSDKESETPEKKQSESS RGRKRKAESQNESSQGKSIGGRGHKISDYFEYQGGNGSSPVRGIPPAIRSPQNSHSHSTP SSSVRPNSPSPTALAFGDHPVVQPKQLSFKITQTDLTMLKLAALESTKNQDLEKKEGRID DLLRANCDLRRQIDDQQKLLEKYKERLNKCISMSKKLLIEKSTQEKLSSREKSMQDRLRL GHFTTVRHGASFTEQWTDGFAFQNLVKQQEWVNQQREDIERQRKLLGKRKPPTANNSQAP ATNSEAKQRKTKAVNGAENDPFVRPNLPQLLTLAEYHEQEEIFKLRLGHLKKEEAEIQAE LERLERVRNLHIRELKRINNEDNSQFKDHPTLNERYLLLHLLGRGGFSEVYKAFDLYEQR YAAVKIHQLNKSWRDEKKENYHKHACREYRIHKELDHPRIVKLYDYFSLDTDTFCTVLEY CEGNDLDFYLKQHKLMSEKEARSIVMQIVNALRYLNEIKPPIIHYDLKPGNILLVDGTAC GEIKITDFGLSKIMDDDSYGVDGMDLTSQGAGTYWYLPPECFVVGKEPPKISNKVDVWSV GVIFFQCLYGRKPFGHNQSQQDILQENTILKATEVQFPVKPVVSSEAKAFIRRCLAYRKE DRFDVHQLANDPYLLPHMRRSNSSGNLHMSGLTATPTPPSSSIITY >ENSMUSP00000068344.6 pep:known chromosome:GRCm38:2:163658399:163726154:1 gene:ENSMUSG00000035268.14 transcript:ENSMUST00000064703.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkig description:protein kinase inhibitor, gamma [Source:MGI Symbol;Acc:MGI:1343086] MMEVESSYSDFISCDRTGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQAEGSTPD KEASSQPESSDANTSS >ENSMUSP00000096704.2 pep:known chromosome:GRCm38:2:163658415:163725688:1 gene:ENSMUSG00000035268.14 transcript:ENSMUST00000099105.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkig description:protein kinase inhibitor, gamma [Source:MGI Symbol;Acc:MGI:1343086] MMEVESSYSDFISCDRTGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQAEGSTPD KEASSQPESSDANTSS >ENSMUSP00000121956.1 pep:known chromosome:GRCm38:2:163658458:163721198:1 gene:ENSMUSG00000035268.14 transcript:ENSMUST00000152418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkig description:protein kinase inhibitor, gamma [Source:MGI Symbol;Acc:MGI:1343086] MMEVESSYSDFISCDR >ENSMUSP00000120145.1 pep:known chromosome:GRCm38:2:163658477:163725791:1 gene:ENSMUSG00000035268.14 transcript:ENSMUST00000126182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkig description:protein kinase inhibitor, gamma [Source:MGI Symbol;Acc:MGI:1343086] MMEVESSYSDFISCDRTGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQAEGSTPD KEASSQPESSDANTSS >ENSMUSP00000120355.1 pep:known chromosome:GRCm38:2:163658480:163725518:1 gene:ENSMUSG00000035268.14 transcript:ENSMUST00000131228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkig description:protein kinase inhibitor, gamma [Source:MGI Symbol;Acc:MGI:1343086] MMEVESSYSDFISCDRTGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQAEGSTPD KEASSQPESSD >ENSMUSP00000114291.1 pep:known chromosome:GRCm38:2:163661499:163725473:1 gene:ENSMUSG00000035268.14 transcript:ENSMUST00000135537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkig description:protein kinase inhibitor, gamma [Source:MGI Symbol;Acc:MGI:1343086] MMEVESSYSDFISCDRTGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQAEG >ENSMUSP00000105027.2 pep:known chromosome:GRCm38:2:163694038:163726152:1 gene:ENSMUSG00000035268.14 transcript:ENSMUST00000109400.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkig description:protein kinase inhibitor, gamma [Source:MGI Symbol;Acc:MGI:1343086] MMEVESSYSDFISCDRTGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQAEGSTPD KEASSQPESSDANTSS >ENSMUSP00000126223.1 pep:known chromosome:GRCm38:2:163658386:163726158:1 gene:ENSMUSG00000035268.14 transcript:ENSMUST00000164399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkig description:protein kinase inhibitor, gamma [Source:MGI Symbol;Acc:MGI:1343086] MMEVESSYSDFISCDRTGRRNAVPDIQGDSEAVSVRKLAGDMGELALEGAEGQAEGSTPD KEASSQPESSDANTSS >ENSMUSP00000143858.1 pep:known chromosome:GRCm38:16:8680770:8683905:1 gene:ENSMUSG00000107252.1 transcript:ENSMUST00000201722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm43786 description:predicted gene 43786 [Source:MGI Symbol;Acc:MGI:5663923] MAPRENPDHDVRQSRETPPSSYPPSSRGPGSPGVYPVYNQVPPGPFTGIPYMPTSIPVQA VCPYCGNRIMTVTTYTPGLLTWLLCSGLFVFGCFLGCCLIPFCIRSTMDVTHSCPMCHHQ IYYFHRLGL >ENSMUSP00000124428.1 pep:known chromosome:GRCm38:14:30999826:31001609:-1 gene:ENSMUSG00000021917.14 transcript:ENSMUST00000162092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs1 description:signal peptidase complex subunit 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916269] MARGGARGCPCPSETSASGATAEVKRSAGRPCSRYRPPQTLLLNNRLRPFRCRYRSSATM LEHLSSLPTQMDYKGQKLAEQMFQGIILFSAIVGFIYGYVAEQFGWTVYIVMAGFAFSCL LTLPPWPIYRRHPLKWLPVQDLGTEDKKSGDRKIKRHAKNN >ENSMUSP00000125408.1 pep:known chromosome:GRCm38:14:30999826:31001672:-1 gene:ENSMUSG00000021917.14 transcript:ENSMUST00000160342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs1 description:signal peptidase complex subunit 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916269] MARGGARGCPCPSETSASGATAEVKRSAGRPCSRYRPPQTLLLNNRLRPFRCRYRSSATM LEHLSSLPTQMDYKGQKLAEQMFQGIILFSALGLSTGTWLNSLGGLST >ENSMUSP00000138134.1 pep:known chromosome:GRCm38:14:30999827:31001363:-1 gene:ENSMUSG00000021917.14 transcript:ENSMUST00000182501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs1 description:signal peptidase complex subunit 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916269] MLEHLSSLPTQMDYKGQKLAEQMFQGIILFSAIVGFIYGYVAEQFGWTVYIVMAGFAFSC LLTLPPWPIYRRHPLKWLPVQDLGTEDKKSGDRKIKRHAKNN >ENSMUSP00000123969.2 pep:known chromosome:GRCm38:14:31000396:31001367:-1 gene:ENSMUSG00000021917.14 transcript:ENSMUST00000161219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs1 description:signal peptidase complex subunit 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916269] MLEHLSSLPTQMDYKGQKLAEQMFQGIILFSAIVGFIYGYVAEQFGWTVYIVMAGFAFSC LVSYLWYLRISSSVSFLTQETWLDLPVRTRITSLFVFPINVSVLYYLKSRMLSCVRWPAL HKGVELSNCLLHLL >ENSMUSP00000139654.1 pep:known chromosome:GRCm38:14:30999827:31001666:-1 gene:ENSMUSG00000021917.14 transcript:ENSMUST00000186131.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs1 description:signal peptidase complex subunit 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916269] MARGGARGCPCPSETSASGATAEVKRSAGRPCSRYRPPQTLLLNNRLRPFRCRYRSSATM LEHLSSLPTQMDYKGQKLAEQMFQGIILFSAIVGFIYGYVAEQFGWTVYIVMAGFAFSCL LTLPPWPIYRRHPLKWLPVQDLGTEDKKSGDRKIKRHAKNN >ENSMUSP00000074740.3 pep:known chromosome:GRCm38:14:50424521:50425450:1 gene:ENSMUSG00000057903.3 transcript:ENSMUST00000075261.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr739 description:olfactory receptor 739 [Source:MGI Symbol;Acc:MGI:3030573] MKTLSSSNNTITGFILLGFPCPREGQILLFVLFFIVYLLTLMGNASIICAVCCDQKLHTP MYLLLANFSFLEICYVTSTVPNMLANFLSENKVISFAGCFLQFYFFFSLGSTECFFLAVM AFDRYLAICRPLHYPALMTGHLCNILVISCWVLGFLWFPVPIIIISQMSFCGSRIIDHFL CDPGPLLALTCSRAPLMEVFWAILGSMLLFIPFFCIMGSYILVLRAVFRVPSRDGQKKAF STCGSHLTVVSLFYGSVMIMYLSPTSEHEAGMQKLVTLFYSVVTPLINPVIYSLRNKDMK NALQKILKT >ENSMUSP00000037576.8 pep:known chromosome:GRCm38:3:96181151:96195521:1 gene:ENSMUSG00000038486.9 transcript:ENSMUST00000035371.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sv2a description:synaptic vesicle glycoprotein 2 a [Source:MGI Symbol;Acc:MGI:1927139] MEEGFRDRAAFIRGAKDIAKEVKKHAAKKVVKGLDRVQDEYSRRSYSRFEEEDDDDDFPA PADGYYRGEGAQDEEEGGASSDATEGHDEDDEIYEGEYQGIPRAESGGKGERMADGAPLA GVRGGLSDGEGPPGGRGEAQRRKDREELAQQYETILRECGHGRFQWTLYFVLGLALMADG VEVFVVGFVLPSAEKDMCLSDSNKGMLGLIVYLGMMVGAFLWGGLADRLGRRQCLLISLS VNSVFAFFSSFVQGYGTFLFCRLLSGVGIGGSIPIVFSYFSEFLAQEKRGEHLSWLCMFW MIGGVYAAAMAWAIIPHYGWSFQMGSAYQFHSWRVFVLVCAFPSVFAIGALTTQPESPRF FLENGKHDEAWMVLKQVHDTNMRAKGHPERVFSVTHIKTIHQEDELIEIQSDTGTWYQRW GVRALSLGGQVWGNFLSCFSPEYRRITLMMMGVWFTMSFSYYGLTVWFPDMIRHLQAVDY AARTKVFPGERVEHVTFNFTLENQIHRGGQYFNDKFIGLRLKSVSFEDSLFEECYFEDVT SSNTFFRNCTFINTVFYNTDLFEYKFVNSRLVNSTFLHNKEGCPLDVTGTGEGAYMVYFV SFLGTLAVLPGNIVSALLMDKIGRLRMLAGSSVLSCVSCFFLSFGNSESAMIALLCLFGG VSIASWNALDVLTVELYPSDKRTTAFGFLNALCKLAAVLGISIFTSFVGITKAAPILFAS AALALGSSLALKLPETRGQVLQ >ENSMUSP00000060363.6 pep:known chromosome:GRCm38:16:18836578:18840160:1 gene:ENSMUSG00000071632.10 transcript:ENSMUST00000055413.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2510002D24Rik description:RIKEN cDNA 2510002D24 gene [Source:MGI Symbol;Acc:MGI:1919557] MAVAGSWQPPRPCEVYRAEWELCRSVGHVLHHYYVHGKRPDCRQWLRDLTNCREWEESRS AEAQRSLCESEQVRVQAAQKHTLVWALRQRPPTDWNLPLPQEKDK >ENSMUSP00000140943.1 pep:known chromosome:GRCm38:16:18836581:18837387:1 gene:ENSMUSG00000071632.10 transcript:ENSMUST00000123146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2510002D24Rik description:RIKEN cDNA 2510002D24 gene [Source:MGI Symbol;Acc:MGI:1919557] MAVAGSWQPPRPCEVYRAEWELCRSVGHVLHHYYVHGKRPDCRQWLRDLTNCREWEESRS AEAQVSLDLRV >ENSMUSP00000141071.1 pep:known chromosome:GRCm38:16:18836581:18840045:1 gene:ENSMUSG00000071632.10 transcript:ENSMUST00000191388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2510002D24Rik description:RIKEN cDNA 2510002D24 gene [Source:MGI Symbol;Acc:MGI:1919557] MAVAGSWQRSLCESEQVRVQAAQKHTLVWALRQRPPTDWNLPLPQEKDK >ENSMUSP00000059741.3 pep:known chromosome:GRCm38:4:107134155:107178547:-1 gene:ENSMUSG00000028619.15 transcript:ENSMUST00000057043.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceanc2 description:transcription elongation factor A (SII) N-terminal and central domain containing 2 [Source:MGI Symbol;Acc:MGI:1913776] MDKFVIRTPRIQNSPKKKLGEKVYKQATIESLKRVVVIEDIKRWKTMLELPDQTKENLVA ALQELKKKMPSREVLRSTRIGHAVNKMRRHSDPEVAGLAKEVYTEWKTFIEKHLDRPSIE VRSDPKTESFRKNAQKLLSEALELKMDHLLVENIERETFHLCSRLINGPYRRTVRALVFT LKHRAEIREQVKSGALPVGTFVQTHKK >ENSMUSP00000116632.1 pep:known chromosome:GRCm38:4:107139270:107178366:-1 gene:ENSMUSG00000028619.15 transcript:ENSMUST00000127720.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tceanc2 description:transcription elongation factor A (SII) N-terminal and central domain containing 2 [Source:MGI Symbol;Acc:MGI:1913776] MDKFVIRTPRIQNSPKKKLGEKVYKQATIESLKVML >ENSMUSP00000030362.5 pep:known chromosome:GRCm38:4:107139533:107179103:-1 gene:ENSMUSG00000028619.15 transcript:ENSMUST00000030362.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceanc2 description:transcription elongation factor A (SII) N-terminal and central domain containing 2 [Source:MGI Symbol;Acc:MGI:1913776] MDKFVIRTPRIQNSPKKKLGEKVYKQATIESLKRVVVIEDIKRWKTMLELPDQTKENLVA ALQELKKKMPSREVLRSTRIGHAVNKMRRHSDPEVAGLAKEVYTEWKTFIEKHLDRPSIE VRSDPKTESFRKNAQKLLSEALELKMDHLLVENIERETFHLCSRLINGPYRRTVRALVFT LKHRAEIREQVKSGALPVGTFVQTHKK >ENSMUSP00000054142.7 pep:known chromosome:GRCm38:4:107139577:107178282:-1 gene:ENSMUSG00000028619.15 transcript:ENSMUST00000058585.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceanc2 description:transcription elongation factor A (SII) N-terminal and central domain containing 2 [Source:MGI Symbol;Acc:MGI:1913776] MDKFVIRTPRIQNSPKKKLGEKVYKQATIESLKRVVVIEDIKRWKTMLELPDQTKENLVA ALQELKKKMPSREVLRSTRIGHAVNKMRRHSDPEVAGLAKEVYTEWKTFIEKHLDRPSIE VRSDPKTESFRKNAQKLLSEALELKMDHLLVENIERETFHLCSRLINGPYRRTVRALVFT LKHRAEIREQVKSGALPVGTFVQTHKK >ENSMUSP00000115005.1 pep:known chromosome:GRCm38:4:107139697:107179116:-1 gene:ENSMUSG00000028619.15 transcript:ENSMUST00000141165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceanc2 description:transcription elongation factor A (SII) N-terminal and central domain containing 2 [Source:MGI Symbol;Acc:MGI:1913776] MDKFVIRTPRIQNSPKKKLGEKVYKQATIESLKRVVVIEDIKRWKTMLELPDQTKENLVA ALQELKKKMPSREVLRSTRIGHAVNKMRRHSDPEVAGLAKEVYTEWKTFIEKHLDRPSIE VRSDPKTESFRKNAQKLLSEALELKMDHLLVENIERETFHLCSRLINGPYRRTVRALVF >ENSMUSP00000142770.1 pep:known chromosome:GRCm38:3:89093588:89101888:-1 gene:ENSMUSG00000059743.12 transcript:ENSMUST00000196709.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdps description:farnesyl diphosphate synthetase [Source:MGI Symbol;Acc:MGI:104888] MNGNQKLDAYNQEKQNFIQHFSQIVKVLTEKELGHPEIGDAIARLKEVLEYNALGGKYNR GLTVVQAFQELVEPKKQDAESLQRALTVGWCVELLQAFFLVSDDIMDSSLTRRGQICWYQ KPGIGLDAINDALLLEASIYRLLKFYCREQPYYLNLLELFLQSSYQTEIGQTLDLMTAPQ GHVDLGRYTEKRYKSIVKYKTAFYSFYLPIAAAMYMAGIDGEKEHANALKILMEMGEFFQ VQDDYLDLFGDPSVTGKVGTDIQDNKCSWLVVQCLLRASPQQRQILEENYGQKDPEKVAR VKALYEALDLQSAFFKYEEDSYNRLKSLIEQCSAPLPPSIFMELANKIYKRRK >ENSMUSP00000080531.8 pep:known chromosome:GRCm38:3:89093588:89101959:-1 gene:ENSMUSG00000059743.12 transcript:ENSMUST00000081848.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdps description:farnesyl diphosphate synthetase [Source:MGI Symbol;Acc:MGI:104888] MNGNQKLDAYNQEKQNFIQHFSQIVKVLTEKELGHPEIGDAIARLKEVLEYNALGGKYNR GLTVVQAFQELVEPKKQDAESLQRALTVGWCVELLQAFFLVSDDIMDSSLTRRGQICWYQ KPGIGLDAINDALLLEASIYRLLKFYCREQPYYLNLLELFLQSSYQTEIGQTLDLMTAPQ GHVDLGRYTEKRYKSIVKYKTAFYSFYLPIAAAMYMAGIDGEKEHANALKILMEMGEFFQ VQDDYLDLFGDPSVTGKVGTDIQDNKCSWLVVQCLLRASPQQRQILEENYGQKDPEKVAR VKALYEALDLQSAFFKYEEDSYNRLKSLIEQCSAPLPPSIFMELANKIYKRRK >ENSMUSP00000142669.1 pep:known chromosome:GRCm38:3:89093749:89095679:-1 gene:ENSMUSG00000059743.12 transcript:ENSMUST00000196254.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdps description:farnesyl diphosphate synthetase [Source:MGI Symbol;Acc:MGI:104888] LQAFFLVSDDIMDSSLTRRGQICWYQKPGIGLDAINDALLLEASIYRLLKFYCREQPYYL NLLELFLQSSYQTEIGQTLDLMTAPQGHVDLGRYTEKRYKSIVKYKTAFYSFYLPIAAAM YMLPGEDVWSCCLPCLLQAGIDGEKEHANALKILMEMGEFFQVQDDYLDLFGDPSVTGKV GTDIQDNKCSWLVVQCLLRASPQQRQILEENYGQKDPEKVARVKALYEALDLQSAFFKYE >ENSMUSP00000142704.1 pep:known chromosome:GRCm38:3:89095364:89101315:-1 gene:ENSMUSG00000059743.12 transcript:ENSMUST00000196921.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdps description:farnesyl diphosphate synthetase [Source:MGI Symbol;Acc:MGI:104888] MPLSCWLRSLGVFLLPAPCWAPRERWLGFLQRPSLAYGCPVLGAWHSARWWCQVWTEEPR AFSSSVRMNGNQKLDAYNQEKQNFIQHFSQIVKVLTEKELGHPEIGDAIARLKEVLEYNA LGGKYNRGLTVVQAFQELVEPKKQDAESLQRALTVGWCVELLQAFFLVSDDIMDSSLTRR GQICWYQKPGIGLDAINDALLLEASIYRLLKFYCREQPYYLNLLEL >ENSMUSP00000142393.1 pep:known chromosome:GRCm38:3:89095599:89101852:-1 gene:ENSMUSG00000059743.12 transcript:ENSMUST00000199668.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdps description:farnesyl diphosphate synthetase [Source:MGI Symbol;Acc:MGI:104888] MNGNQKLDAYNQEKQNFIQHFSQIVKVLTEKELGHPEIGDAIARLKEVLEYNALGGKYNR GLTVVQAFQELVEPKKQDAESLQRALTVGWCVELLQAFFLVSDDIMDSSLTRRGQICWYQ K >ENSMUSP00000140761.1 pep:known chromosome:GRCm38:1:93701935:93754780:-1 gene:ENSMUSG00000026279.14 transcript:ENSMUST00000190116.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap4 description:THAP domain containing 4 [Source:MGI Symbol;Acc:MGI:1914276] MVICCAAVNCSNRQGKGEKRAVSFHRFPLKDSKRLIQWLKAVQRDNWTPTKYSFLCSEHF TKDSFSKRLEDQHRLLKPTAVPSIFHLSEKKRGAGGHGHARRKTTAAMRGHTSAETGKGT IGSSLSSSDNLMAKPESRKLKRASPQDDAAPKVTPGAVSQEQGQSLEKTPGDDPAAPLAR GQEEAQASATEADHQKASSSTDAEGADKSGISMDDFTPPGSGACKFIGSLHSYSFSSKHT RERPSVPREPMDRKRLKREMEPRCSGNSVAQSPPSSSLTATPQKASQSPSAPPTDVTPKP AAEAVQSEHSDASPMSINEVILSASGACKLIDSLHSYCFSARQNKSQVCCLREQVEKKNG ELKSLRQKVSRSDSQVRKLREKLDELRRASLPYLPYLSGLLPPSHEPPKLNPVVEPLSWM LGTWLSDPPGVGTFPTLQPFQYLEEVHISHVGQPMLNFSFNSFHPETHKPMHRECGFIRL KPDTNKVAFVSAQNTGIVEVEEGEVNGQELCVSSHSVSRISFAKEPHVEQITRKFRLNSE GKLEQTVSMATTTQPMTQHLHITYKKVTP >ENSMUSP00000143943.1 pep:known chromosome:GRCm38:1:93705387:93731926:-1 gene:ENSMUSG00000026279.14 transcript:ENSMUST00000189728.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap4 description:THAP domain containing 4 [Source:MGI Symbol;Acc:MGI:1914276] MEPPKLNPVVEPLSWMLGTWLSDPPGVGTFPTLQPFQYLEEVHISHVGQPMLNFSFNSFH PETHKPMHRECGFIRLKPDTNKVAFVSAQNTGIVEVEEGEVNGQELCVSSHSVSRISFAK EPHVEQITRKFRLNSEGKLEQTVSMATTTQPMTQHLHITYKKVTP >ENSMUSP00000108526.3 pep:known chromosome:GRCm38:1:93705390:93754864:-1 gene:ENSMUSG00000026279.14 transcript:ENSMUST00000112905.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Thap4 description:THAP domain containing 4 [Source:MGI Symbol;Acc:MGI:1914276] MVICCAAVNCSNRQGKGEKRAVSFHRFPLKDSKRLIQWLKAVQRDNWTPTKYSFLCSEHF TKDSFSKRLEDQHRLLKPTAVPSIFHLSEKKRGAGGHGHARRKTTAAMRGHTSAETGKGT IGSSLSSSDNLMAKPESRKLKRASPQDDAAPKVTPGAVSQEQGQSLEKTPGDDPAAPLAR GQEEAQASATEADHQKASSSTDAEGADKSGISMDDFTPPGSGACKFIGSLHSYSFSSKHT RERPSVPREPMDRKRLKREMEPRCSGNSVAQSPPSSSLTATPQKASQSPSAPPTDVTPKP AAEAVQSEHSDASPMSINEVILSASGACKLIDSLHSYCFSARQNKSQVCCLREQVEKKNG ELKSLRQKVSRSDSQSLPS >ENSMUSP00000140243.1 pep:known chromosome:GRCm38:1:93705391:93714982:-1 gene:ENSMUSG00000026279.14 transcript:ENSMUST00000187445.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Thap4 description:THAP domain containing 4 [Source:MGI Symbol;Acc:MGI:1914276] XGEVNGQELCVSSHSVSRISFAKEPHVEQGP >ENSMUSP00000139747.1 pep:known chromosome:GRCm38:1:93714780:93749954:-1 gene:ENSMUSG00000026279.14 transcript:ENSMUST00000189472.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap4 description:THAP domain containing 4 [Source:MGI Symbol;Acc:MGI:1914276] XRSDSQVRKLREKLDELRRASLPYLPYLSGLLPPSHEPPKLNPVVEPLSWMLGTWLSDPP GVGTFPTLQPFQYLEEVHISHVGQPMLNFSFNSFHPETHKPMHRECGFIRLKPDTNKVAF VSAQNTGIVEVEEGEVNGQELCVSSHSVSRISFAKEPHVEQVSPASSQMSPDCPRQWYPT IQ >ENSMUSP00000104075.1 pep:known chromosome:GRCm38:7:24301704:24316605:-1 gene:ENSMUSG00000074282.10 transcript:ENSMUST00000108436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp94 description:zinc finger protein 94 [Source:MGI Symbol;Acc:MGI:107610] MTKVKEMVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRMLLSVGDKNPEEMESLEE VGLRHLSHEALFCSQIWQQVSRDLMKAGDCRVSIRETGSPLKRDDAHGADRKYSKHSGQK PWLQLHCGTDGGEEPYREERSEKDSWDSPLQANGRPPAGEKRYRCEKCDHAFCRLSGLQA HQVRHTGEKPYKCEECGKGFTRASTLLDHQRGHTGNKPYQCHACWKSFCHSSEFNNHIRV HTGEKPYVCEECGKGFSQASHLLAHQRGHTGEKPYKCSTCGKGFSRSSDLNVHCRIHTGE KPYKCETCGKAFSRVSILQVHQRVHSEDKPYQCAECGRGFTVESHLQAHQRSHTGERPYQ CEECGRGFCRASNFLAHRGVHTGEKPYRCDICGKRFRQRSYLHDHHRIHTGEKPYKCEEC GKVFSWSSYLKAHQRVHTGEKPYRCEECGKGFSWSSSLLIHQRAHAEDEGRKDLPASEGS QGKQTL >ENSMUSP00000032673.8 pep:known chromosome:GRCm38:7:24301796:24316666:-1 gene:ENSMUSG00000074282.10 transcript:ENSMUST00000032673.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp94 description:zinc finger protein 94 [Source:MGI Symbol;Acc:MGI:107610] MVTFRDVAVVFSEEELGLLDAAQRKLYHDVMLENFRMLLSVGDKNPEEMESLEEVGLRHL SHEALFCSQIWQQVSRDLMKAGDCRVSIRETGSPLKRDDAHGADRKYSKHSGQKPWLQLH CGTDGGEEPYREERSEKDSWDSPLQANGRPPAGEKRYRCEKCDHAFCRLSGLQAHQVRHT GEKPYKCEECGKGFTRASTLLDHQRGHTGNKPYQCHACWKSFCHSSEFNNHIRVHTGEKP YVCEECGKGFSQASHLLAHQRGHTGEKPYKCSTCGKGFSRSSDLNVHCRIHTGEKPYKCE TCGKAFSRVSILQVHQRVHSEDKPYQCAECGRGFTVESHLQAHQRSHTGERPYQCEECGR GFCRASNFLAHRGVHTGEKPYRCDICGKRFRQRSYLHDHHRIHTGEKPYKCEECGKVFSW SSYLKAHQRVHTGEKPYRCEECGKGFSWSSSLLIHQRAHAEDEGRKDLPASEGSQGKQTL >ENSMUSP00000023391.8 pep:known chromosome:GRCm38:16:18872018:18876767:-1 gene:ENSMUSG00000022706.15 transcript:ENSMUST00000023391.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl40 description:mitochondrial ribosomal protein L40 [Source:MGI Symbol;Acc:MGI:1332635] MATGVMLCAARALRPRSWIPGTCQAHVRHTHQRASLLAFWDLIPMRAEPLRKKKKVDPRK DQAAKDRLKKRIRKLEKASQELIPIEDFITPVRFLDKSRQRPQEEHSPEESERRALLLKR WALFKQQEHEMERDAIRSMLEAQQEALEELKLESAELYAEAIKRDTSLFPFEKEGPHYTP PISNYQAPEGRYNDITKVYTQVEFKR >ENSMUSP00000113065.1 pep:known chromosome:GRCm38:16:18872226:18876539:-1 gene:ENSMUSG00000022706.15 transcript:ENSMUST00000119273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl40 description:mitochondrial ribosomal protein L40 [Source:MGI Symbol;Acc:MGI:1332635] MRAEPLRKKKKVDPRKDQAAKDRLKKRIRKLEKASQELIPIEDFITPVRFLDKSRQRPQE EHSPEESERRALLLKRWALFKQQEHEMERDAIRSMLEAQQEALEELKLESAELYAEAIKR DTSLFPFEKEGPHYTPPISNYQAPEGRYNDITKVYTQVEFKR >ENSMUSP00000030427.5 pep:known chromosome:GRCm38:4:136003368:136021763:-1 gene:ENSMUSG00000028668.5 transcript:ENSMUST00000030427.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceb3 description:transcription elongation factor B (SIII), polypeptide 3 [Source:MGI Symbol;Acc:MGI:1351315] MAAESALQVVEKLQARLAANPDPKKLLKYLKKLSILPITVDILVETGVGKTVNSFRKHEQ VGNFARDLVAQWKKLVPVERNSEAEDQDFEKNNSRKRPRDALQREEELEGNYQESWKPSG SRSYSPEHRQKKHKKLSEPERPHKVAHSHEKRDERKRCHKVSPPYSSDPESSDYGHVQSP PPSSPHQMYTDLSRSPEEDQEPIISHQKPGKVHSNTFQDRLGVSHLGEQGKGAVSHHKQH RSSHKEKHPADAREDEKISAVSREKSHKASSKEESRRLLSGDSAKEKLPSSVVKKDKDRE GSSLKKKFSPALDVASDNHFKKPKHKDSEKAKSDKNKQSVDGVDSGRGTGDPLPKAKEKV PNHLKAQEGKVRTNADGKSAGPLHPKAEETDVDDEFERPTMSFESYLSYDQPRKKKKKVV KTSSTALGEKGLKKKDSKSTSKNLNSAQKLPKVNENKSEKLQPAGAEPTRPRKVPTDVLP ALPDIPLPAIHANYRPLPSLELIPSFQPKRKAFSSPQEEEEAGFTGRRMNSKMQVYSGSK CAYLPKMMTLHQQCIRVLKNNIDSIFEVGGVPYSVLEPVLERCTPDQLYRIEECNHVLIE ETDQLWKVHCHRDFKEERPEEYESWREMYLRLQDAREQRLRLLTNNIRSAHANKPKGRQA KMAFVNSVAKPPRDVRRRQEKFGTGGAAVPEKVRIKPAPYTTGSSHVPASNSSSNFHSSP EELAYDGPSTSSAHLAPVASSSVSYDPRKPAVKKIAPMMAKTIKAFKNRFSRR >ENSMUSP00000097185.2 pep:known chromosome:GRCm38:2:112479171:112480769:-1 gene:ENSMUSG00000074939.3 transcript:ENSMUST00000099589.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrm5 description:cholinergic receptor, muscarinic 5 [Source:MGI Symbol;Acc:MGI:109248] MEGESYHNETTVNGTPVNHQALERHGLWEVITIAAVTAVVSLMTIVGNVLVMISFKVNSQ LKTVNNYYLLSLACADLIIGIFSMNLYTTYILMGRWVLGSLACDLWLALDYVASNASVMN LLVISFDRYFSITRPLTYRAKRTPKRAGIMIGLAWLVSFILWAPAILCWQYLVGKRTVPP DECQIQFLSEPTITFGTAIAAFYIPVSVMTILYCRIYRETEKRTKDLADLQGSDSVAEVK KRKPAHRTLLRSFFSCPRPSLAQRVRNQASWSSSRRSTSTTGKPTQATDLSADWEKAEQV TNCSSCPSSEDEAKATTDPVFQVVCKNEAKESPGKEFNTQETKETFVSPRTENNDYDTPK YFLSPGAAHRLKSQKCVAYKFRLVVKADGTQETNNGCRKVKIMPCSFPVSKDPSTKGLDP HLSHQMTKRKRMVLVKERKAAQTLSAILLAFIITWTPYNIMVLVSTFCDKCVPVTLWHLG YWLCYVNSTINPICYALCNRTFRKTFKLLLLCRWKKKKVEEKLYWQGNSKLP >ENSMUSP00000103982.2 pep:known chromosome:GRCm38:3:16183212:16217037:1 gene:ENSMUSG00000047213.14 transcript:ENSMUST00000108345.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdf3 description:YTH domain family 3 [Source:MGI Symbol;Acc:MGI:1918850] MSATSVDQRPKGQGNKVSVQNGSIHQKDAVNDDDFEPYLSSQTNQNNSYPPMSDPYMPSY YAPSIGFPYSLGEAAWSTAGDQPMPYLTTYGQMSNGEHHYIPDGVFSQPGALGNTPPFLG QHGFNFFPGNADFSTWGTSGSQGQSTQNSAYSSSYGYPPSSLGRAITDGQAGFGNDTLSK VPGISSIEQGMTGLKIGGDLTAAVTKTVGTALSSSGMTSIATNNVPPVSSAAPKPTSWAA IARKPAKPQPKLKPKGNVGIGGSAVPPPPIKHNMNIGTWDEKGSVVKAPPTQPVLPPQTI IQQPQPLIQPPPLVQSQLPQQQPQPPQPQQQQGPQPQAQPHQVQSQQPQLQNRWVAPRNR GTGFNQNNGTGSENFGLGVVPVSASPSSVEVHPVLEKLKAINNYNPKDFDWNLKNGRVFI IKSYSEDDIHRSIKYSIWCSTEHGNKRLDAAYRSLNGKGPLYLLFSVNGSGHFCGVAEMK SVVDYNAYAGVWSQDKWKGKFEVKWIFVKDVPNNQLRHIRLENNDNKPVTNSRDTQEVPL EKAKQVLKIIATFKHTTSIFDDFAHYEKRQEEEEAMRRERNRNKQ >ENSMUSP00000141610.1 pep:known chromosome:GRCm38:3:16183236:16215006:1 gene:ENSMUSG00000047213.14 transcript:ENSMUST00000191774.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdf3 description:YTH domain family 3 [Source:MGI Symbol;Acc:MGI:1918850] MFYLDLTLLHRATEETGEESFSVQNGSIHQKDAVNDDDFEPYLSSQTNQNNSYPPMSDPY MPSYYAPSIGFPYSLGEAAWSTAGDQPMPYLTTYGQMSNGEHHYIPDGVFSQPGALGNTP PFLGQHGFNFFPGNADFSTWGTSGSQGQSTQNSAYSSSYGYPPSSLGRAITDGQAGFGND TLSKVPGISSIEQGMTGLKIGGDLTAAVTKTVGTALSSSGMTSIATNNVPPVSSAAPKPT SWAAIARKPAKPQPKLKPKGNVGIGGSAVPPPPIKHNMNIGTWDEKGSVVKAPPTQPVLP PQTIIQQPQPLIQPPPLVQSQLPQQQPQPPQPQQQQGPQPQAQPHQVQSQQPQLQNRWVA PRNRGTGFNQNNGTGSENFGLGVVPVSASPSSVEVHPVLEKLKAINNYNPKDFDWNLKNG RVFIIKSYSEDDIHRSIKYSIWCSTEHGNKRLDAAYRSLNGKGPLYLLFSVNGSGHFCGV AEMKSVVDYNAYAGVWSQDKWKGKFEVKWIFVKDVPNNQLRHIRLENNDNKPVTNSRDTQ EVPLEKAKQVLKIIATFKHTTSIFDDFAHYEKRQEEEEAMRRERNRNKQ >ENSMUSP00000103983.2 pep:known chromosome:GRCm38:3:16183236:16216855:1 gene:ENSMUSG00000047213.14 transcript:ENSMUST00000108346.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdf3 description:YTH domain family 3 [Source:MGI Symbol;Acc:MGI:1918850] MSATSVDQRPKGQGNKVSVQNGSIHQKDAVNDDDFEPYLSSQTNQKYRRAKQLFHCNNSY PPMSDPYMPSYYAPSIGFPYSLGEAAWSTAGDQPMPYLTTYGQMSNGEHHYIPDGVFSQP GALGNTPPFLGQHGFNFFPGNADFSTWGTSGSQGQSTQNSAYSSSYGYPPSSLGRAITDG QAGFGNDTLSKVPGISSIEQGMTGLKIGGDLTAAVTKTVGTALSSSGMTSIATNNVPPVS SAAPKPTSWAAIARKPAKPQPKLKPKGNVGIGGSAVPPPPIKHNMNIGTWDEKGSVVKAP PTQPVLPPQTIIQQPQPLIQPPPLVQSQLPQQQPQPPQPQQQQGPQPQAQPHQVQSQQPQ LQNRWVAPRNRGTGFNQNNGTGSENFGLGVVPVSASPSSVEVHPVLEKLKAINNYNPKDF DWNLKNGRVFIIKSYSEDDIHRSIKYSIWCSTEHGNKRLDAAYRSLNGKGPLYLLFSVNG SGHFCGVAEMKSVVDYNAYAGVWSQDKWKGKFEVKWIFVKDVPNNQLRHIRLENNDNKPV TNSRDTQEVPLEKAKQVLKIIATFKHTTSIFDDFAHYEKRQEEEEAMRRERNRNKQ >ENSMUSP00000028857.7 pep:known chromosome:GRCm38:2:127740732:127788854:-1 gene:ENSMUSG00000027378.16 transcript:ENSMUST00000028857.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphp1 description:nephronophthisis 1 (juvenile) homolog (human) [Source:MGI Symbol;Acc:MGI:1858233] MLARRPRDPLQALRRRGQELKLQVDSLVTESQLTGALEPSKRREIYQRCIQLKQAVDENK NTLQKLNKADEAAPVGNYEQRKEEEHSLLEKLACQLQELAVSISRKDALKVEAHSDKEED DTTEDDEEETGGEEEESEGDGEGKQEQASPKQAETETVTYIALGDFAAQQTGDLTFKKGD VLHIIEKKPDGWWLAKDAEGVEGLIPRTYLEPYNKEDKLESSEGSEEGGEEDGEEDGEED VEVVDETADGAQVKQRTDSHWSAVRKAISEQINTVDVLATMGAIPAGFRPSTLSQLLDEA GNQFRASYFLQPELTTSQLAFRDLTWDAKAGTIMSRPSRVSLILTLWSCKMIPLPGTSIQ VLSRHIRLCLFDGSKVLSNIHTVRAVWQPKKPKTWTFSPQVTGILPCLLDGDCFIRSNSS TPDLGILFELGISYIRNSTGERGELSCGWVFLKLFDASGVPIPAKTYELFLNGGTPYEKG VEVDPSVSRRAQGSVFRQMISVRRQPQLLVKLRSLNRRSRAMLSLLPETLVGSMCSAHLL IFYRQILGDVLLRDRTNLQSADLISHPVLATFPLLLEQPDVMDALRSSWSEKESTLKRAE KRDKELLKAEFLLVYHDCVLPLLHSTLLPPFRWAEEETEAARWKAIADFLKQSRENEGSL KALLSPDGVHKPFDLSEQTFDFLGEIRKNSG >ENSMUSP00000105986.1 pep:known chromosome:GRCm38:2:127740736:127788897:-1 gene:ENSMUSG00000027378.16 transcript:ENSMUST00000110357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphp1 description:nephronophthisis 1 (juvenile) homolog (human) [Source:MGI Symbol;Acc:MGI:1858233] MLARRPRDPLQALRRRGQELKLQVDSLVTESQLTGALEPSKRREIYQRCIQLKQAVDENK NTLQKLNKADEAAPVGNYEQRKEEEHSLLEKLACQLQELAVSISRKDALKVEAHSDKEED DTTEDDEEETGGEEEESEGDGEGKQEQASPKQAETETVTYIALGDFAAQQTGDLTFKKGD VLHIIEKKPDGWWLAKDAEGVEGLIPRTYLEPYNKEDKLESSEGSEEGGEEDGEEDGEED VEVVDETADGAQVKQRTDSHWSAVRKAISEQINTVDVLATMGAIPAGFRPSTLSQLLDEG NQFRASYFLQPELTTSQLAFRDLTWDAKAGTIMSRPSRVSLILTLWSCKMIPLPGTSIQV LSRHIRLCLFDGSKVLSNIHTVRAVWQPKKPKTWTFSPQVTGILPCLLDGDCFIRSNSST PDLGILFELGISYIRNSTGERGELSCGWVFLKLFDASGVPIPAKTYELFLNGGTPYEKGV EVDPSVSRRAQGSVFRQMISVRRQPQLLVKLRSLNRRSRAMLSLLPETLVGSMCSAHLLI FYRQILGDVLLRDRTNLQSADLISHPVLATFPLLLEQPDVMDALRSSWSEKESTLKRAEK RDKELLKAEFLLVYHDCVLPLLHSTLLPPFRWAEEETEAARWKAIADFLKQSRENEGSLK ALLSPDGVHKPFDLSEQTFDFLGEIRKNSG >ENSMUSP00000039035.5 pep:known chromosome:GRCm38:17:65967501:66077046:-1 gene:ENSMUSG00000034647.14 transcript:ENSMUST00000038116.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd12 description:ankyrin repeat domain 12 [Source:MGI Symbol;Acc:MGI:1914357] MPKSGFTKPVQSENSDSDSSMVERPYGRKSKDKIASYSKTSKIDRGDMGKEMREKPSMKR KLPFTVSPSRNEERDSDTDSDPGHTSENWGERLISSYRTYSEKEGPEKKKTKKEAGTKKS TPVSILFGYPLSERKQMALLMQMTARDNSPDSTPSHPSQATPAQKKTPSSSSRQKDKINK RNERGETPLHMAAIRGDVKQVKELISLGANVNVKDFAGWTPLHEACNVGYYDVAKILIAA GADVNTQGLDDDTPLHDSASSGHRDIVKLLLRHGGNPFQANKHGERPVDVAETEELELLL KREVPLSGDDESYTDSEEAQSVNPSSVDENIDSETEKDSFVCGSKILPSKAPLPSALDEY EFKDDDEEEISKMIDDRHILRKEQRRENESEAERSGLFAKQEKTFYSKSFKTKKQKPSRV LCSSTESSDEEGLQNRKISTACSVAESSHPDMQAKKEYEYKQKGKVKRKLKNQNKNKENQ ELKQEKEGKENSRIATLTVNTALDCSEKYREEGNFRKSFSPKDDTSLHLFHISTGKSPKH SCGLSEKQTPLKQEHTKACISPGSSEMSLQPDLTRYDNAEPESSNVKSYKHKEKNKQQKD FHIEFGEKSNAKIKDEDHNPAFENSDCTLKKMDKEGKTLKKHKLKHKEKDKEKHKKEVEG EKEKYKNKDNAKELQRSIEFDREFWKENFFKSDETEDLFLTMEHESIIEKKTKMEKNMKD DRSAKEKHVSKERNFKEEREKTKKENEKSLREEKVKDPKEEKDSVSTEKEAECCSVGVSV SQEAAGLHSSEKEMDAEKQERHTKEREKSDRRFQTKEKELDRNERKFSEKEKKIKHEHKS EREKLDFSDCTDRVREKDKLYSHQTERCHKDSEKLKNTVRKTDDRERNREKADRKHDREK PERERYLAENKEKHLEKRKQSDSSEYSKSEKVRTKDRDREGEKKEKLRDKESVNVTNLKH LQEERKSSTGDSSGKTQHEKALSLKERARDEPLKTPDGKEKDKKDIDRYKERDKRKDKAQ LNTLIRLKSETEKLKPKLSPASKDTRPKEKRLVNDDLMQTSFERMLSLKDLEIEQWHRKH KEKIKQKEKERLRNRTCLELKVKDKEKTKHAPTESKSKELTRSRSSELTDVYSKEKQSKD VGSNRSQSVDNRNVLNLGKPSYISESGSNRSPRSEGERLGLSSRSVSMLSVASSEDSCHT VTTPRPLVEYDSDFMLEGSESQLSFSQSPFLPNAKSPALYERELEGLTELPDRLKPPYTN RLPVCHLRSSSVEDVKLITNEVRPAVEIRRCSMPSVICEPIKHFQVSEESSQGNLAVPRE TCLSPKPEVSSAVPERGLSHVSDLHPNCTGSPTRSANSSYISSDVNVIKSATLVGTLIDS SMHLEPSNQVGVIPSKSWETPVDKLESLSTNDFICPDSNISDQDSSAQSFYNSENKMLRE QNTDCLPPHQPELPGNSCAQDPASFLSSPQPCSFSNQPLAGADSVPKPVSLSYVVSQEPG VLQQKSAAQMASSVLDSDNNFPEDVENTFVLADIQKTNSFLPAYSESTVQEALPSFEKAN TLLVLPSEKDFNGSDTAQPNTHYAFSKLTYKSSNSQEIEKGPDDTQIISHEKENKLQSLV LTQLSKCDSDLYEMNAGLPKGNLNEQDNPKHGLDSEKYSLSTEDEESQQSTLSSVENHSQ QSAQPEMHKYDQLSKVELEENAEDDKTENQTPQRVTRNKASTVVNPSKPMLAGCALVAEK DNESSPRGRIRLTEEDDPQIHHPRKRKVSRVPQPVQVSPSLLQAKEKTQQSLAAIVDSLK LDEIEPYSSERANPYFEYLHIRKKIEEKRKLLCSVIPQAPQYYDEYVTFNGSYLLDGNPL SKICIPTITPPPSLSDPLKELFRQQEVVRMKLRLQHSIEREKLIVSNEQEVLRVHYRAAR TLANQTLPFSACTVLLDAEVYNVPLDSQSDDSKTSVRDRFNARQFMSWLQDVDDKFDKLK TCLLMRQQHEAAALNAVQRLEWQLKLQELDPATYKSLSIYEIQEFYVPLVDVNDDFELTP I >ENSMUSP00000114237.1 pep:known chromosome:GRCm38:17:65987045:66077022:-1 gene:ENSMUSG00000034647.14 transcript:ENSMUST00000150766.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd12 description:ankyrin repeat domain 12 [Source:MGI Symbol;Acc:MGI:1914357] MPKSGFTKPVQSENSDSDSSMVERPYGRKSKDKIASYSKTSKIDRGDMGKEMREKPSMKR KLPFTVSPSRNEERDSDTEKEGPEKKKTKKEAGTKKSTPVSILFGYPLSERKQMALLMQM TARDNSPDSTPSHPSQATPAQKKTPSSSSRQKDKINKRNERGETPLHMAAIRGDVKQVKE LISLGANVNVKDFAGWTPLHEACNVGYYDVAKILIAAGADVNTQGLDDDTPLHDSASSGH RDIVKLLLRHGGNPFQANKHGERPVDVAETEELELLLKREVPLSGDDESYTDSEEAQSVN PSSVDENIDSETEKDSFVCGSKILPSKAPLPSALDEYEFKDDDEEEISKMIDDRHILRKE QRRENESEAERSGLFAKQEKTFYSKSFKTKKQKPSRVLCSSTESSDEEGLQNRKISTACS VAESSHPDMQAKKEYEYKQKG >ENSMUSP00000031186.7 pep:known chromosome:GRCm38:5:87000860:87013275:1 gene:ENSMUSG00000035811.10 transcript:ENSMUST00000031186.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2b35 description:UDP glucuronosyltransferase 2 family, polypeptide B35 [Source:MGI Symbol;Acc:MGI:3576100] MPVKWISALLLLQMSCCFRSTSCGKVLVWPLEFSHWMNLKIILDELVQRGHEVTVLRPSA SIFVDPKYSPGLKFETFPTAFSKDYLETFLTKLVDEWTFEVPRDTCLSYSLLQTLFGKLS DYSLSLCKEAVSNKQLMTKFQESKFDVLILDAMASCGELIAQLLQIPFLYSLRFSPGYQV EKNSGGFVLPPSYVPVILSGLGGQMTFTERVKNMICMLYFDFWFQTFTEKEWDQFYSETL GRPTTLIETMGKAEMWFIRSYWDLEFPHPTLPNVEYVGGLHCKPAKPLPKEMEDFVQSSG VHGVVVFSLGSMVSNMTEERANAISWALAQIPQKVLWRFDGKTPASLGPNTRIYKWLPQN DLLGHPKTKAFVTHGGANGLYEAIHHGIPMIGIPLFSEQHDNIAHMVAKGTAVALNIRTM SRSDLLNALEEVINNPSYKENVMWLSTIHHDQPMKPLNRTIFWIEFVMRHKGAKHLRPLA HNLTWYQYHSLDVIGFLLVCVVATAVLPVKCCLFVYQFFVKKAKKRKNE >ENSMUSP00000105183.2 pep:known chromosome:GRCm38:8:94037198:94067921:1 gene:ENSMUSG00000033009.15 transcript:ENSMUST00000109556.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfod1 description:2-oxoglutarate and iron-dependent oxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:2442978] MNGKRPADPGPARPMKKGKKQVSAEFSDAVTEEILRKQVAEAWSCRTPFSHEAIALDMDP FLHCVIPNFIQSQDFLEGLHKELLSLDFHEKYNDLYKFQQSDDLKNRKEPHISALRKLMF EDFRAWLSKVSGIDLEPTIDMSCAKYEFTDALLCHDDELEGRRIAFILYLVPSWDRDLGG TLDLYDTDEHLQPKQIVKSLIPSWNKLVFFEVSPVSFHQVSEVLSEETSRLSISGWFYGP SLTRPPTYFEPPIPRNPHIPQDHEILYEWINPAYLEMDYQMQIQEEFEERSEILLKEFLK PEKFAEVCEALEKGDVEWKSHGPPNKRFYEKAEENNLPDVLKECMGLFRSEALFLLLSNL TGLKLHFLAPSEDDETEEKGEGETASAAAGTEEGTSRRPSGPENNQVAAGSHSQENGEQA DPEAQEEEAKKESSVPMCQGELRRWKTGHYTLVHDNTKTEFALDLFLYCGCEGWEPEYGG FTSYIAKGEDEELLIVNPENNSLALVYRDRETLRFVKHINHRSLEQSKAFPSRSGFWDFA FIYYE >ENSMUSP00000090991.2 pep:known chromosome:GRCm38:8:94037238:94067921:1 gene:ENSMUSG00000033009.15 transcript:ENSMUST00000093301.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfod1 description:2-oxoglutarate and iron-dependent oxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:2442978] MNGKRPADPGPARPMKKGKKQVSAEFSDAVTEEILRKQVAEAWSCRTPFSHEAIALDMDP FLHCVIPNFIQSQDFLEGLHKELLSLDFHEKYNDLYKFQQSDDLKNRKEPHISALRKLMF EDFRAWLSKVSGIDLEPTIDMSCAKYEFTDALLCHDDELEGRRIAFILYLVPSWDRDLGG TLDLYDTDEHLQPKQIVKSLIPSWNKLVFFEVSPVSFHQHEILYEWINPAYLEMDYQMQI QEEFEERSEILLKEFLKPEKFAEVCEALEKGDVEWKSHGPPNKRFYEKAEENNLPDVLKE CMGLFRSEALFLLLSNLTGLKLHFLAPSEDDETEEKGEGETASAAAGTEEGTSRRPSGPE NNQVAAGSHSQENGEQADPEAQEEEAKKESSVPMCQGELRRWKTGHYTLVHDNTKTEFAL DLFLYCGCEGWEPEYGGFTSYIAKGEDEELLIVNPENNSLALVYRDRETLRFVKHINHRS LEQSKAFPSRSGFWDFAFIYYE >ENSMUSP00000051430.7 pep:known chromosome:GRCm38:8:94037291:94067921:1 gene:ENSMUSG00000033009.15 transcript:ENSMUST00000060632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfod1 description:2-oxoglutarate and iron-dependent oxygenase domain containing 1 [Source:MGI Symbol;Acc:MGI:2442978] MKKGKKQVSAEFSDAVTEEILRKQVAEAWSCRTPFSHAIALDMDPFLHCVIPNFIQSQDF LEGLHKELLSLDFHEKYNDLYKFQQSDDLKNRKEPHISALRKLMFEDFRAWLSKVSGIDL EPTIDMSCAKYEFTDALLCHDDELEGRRIAFILYLVPSWDRDLGGTLDLYDTDEHLQPKQ IVKSLIPSWNKLVFFEVSPVSFHQVSEVLSEETSRLSISGWFYGPSLTRPPTYFEPPIPR NPHIPQDHEILYEWINPAYLEMDYQMQIQEEFEERSEILLKEFLKPEKFAEVCEALEKGD VEWKSHGPPNKRFYEKAEENNLPDVLKECMGLFRSEALFLLLSNLTGLKLHFLAPSEDDE TEEKGEGETASAAAGTEEGTSRRPSGPENNQVAAGSHSQENGEQADPEAQEEEAKKESSV PMCQGELRRWKTGHYTLVHDNTKTEFALDLFLYCGCEGWEPEYGGFTSYIAKGEDEELLI VNPENNSLALVYRDRETLRFVKHINHRSLEQSKAFPSRSGFWDFAFIYYE >ENSMUSP00000101392.1 pep:known chromosome:GRCm38:4:143948580:143951016:-1 gene:ENSMUSG00000078511.3 transcript:ENSMUST00000105766.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef25 description:PRAME family member 25 [Source:MGI Symbol;Acc:MGI:3649968] MLQQVYSLYRFIRMSFQDPPTLLSLAVQSLVKNEALAINALQELPRELFPPLFKAAFTGR HTKILKEMVGSWPLLCLPVGALMNVPDMSILQAVLDGVDMQLTGNFHPRKRKLQVLDLRN VHHHFWDVSAGPEDGDGSAETVCQKQKATRPHRYALRRRLKVVTDLCLQFDLEEHQTYFL QWAQERRCSLNLCCVKMQIRELPIHTVRKILQIFQPYCIEELELNTGWTLSTLACFTPCL GQMKNLRKLHLTLVHEKLFTFLRTSTDIQEKSVTKFISQFSKLNSLQHLNLTGLYFLTGH INELLGCLKTPLEFLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGIILHDLSLVPLGIF LENVANTLKTLELEHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISTSVLMNLLHHTAN LSQLIMELYPAPLECYETGFVLRAERFVQLSSELMNILCTVRQPHSICFASQLCLICSQR CTYGLETRLCHCCQ >ENSMUSP00000112614.1 pep:known chromosome:GRCm38:16:18877037:18928030:1 gene:ENSMUSG00000022702.15 transcript:ENSMUST00000120532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hira description:histone cell cycle regulator [Source:MGI Symbol;Acc:MGI:99430] MSPVLQEDDEKDENIPKMLCQMDNHLACVNCVRWSNSGMYLASGGDDKLIMVWKRATYIG PSTVFGSSGKLANVEQWRCVSILRSHSGDVMDVAWSPHDAWLASCSVDNTVVIWNAVKFP EILATLRGHSGLVKGLTWDPVGKYIASQADDRSLKVWRTLDWQLETSITKPFDECGGTTH VLRLSWSPDGHYLVSAHAMNNSGPTAQIIEREGWKTNMDFVGHRKAVTVVKFNPKIFKKK QKNGSSTKPSCPYCCCAVGSKDRSLSVWLTCLKRPLVVIHELFDKSIMDISWTLNGLGIL VCSMDGSVAFLDFSQDELGDPLSEEEKSRIHQSTYGKSLAIMTEAQLSTAVIENPEMLKY QRRQQQQQLDQKNATTRETSSASSVTGVVNGESLEDIRKNLLKKQVETRTADGRRRITPL CIAQLDTGYSLLHQAFCGLVWGGLLFFCCQLAS >ENSMUSP00000004222.7 pep:known chromosome:GRCm38:16:18877066:18970309:1 gene:ENSMUSG00000022702.15 transcript:ENSMUST00000004222.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hira description:histone cell cycle regulator [Source:MGI Symbol;Acc:MGI:99430] MKLLKPTWVNHNGKPIFSVDIHPDGTKFATGGQGQDSGKVVIWNMSPVLQEDDEKDENIP KMLCQMDNHLACVNCVRWSNSGMYLASGGDDKLIMVWKRATYIGPSTVFGSSGKLANVEQ WRCVSILRSHSGDVMDVAWSPHDAWLASCSVDNTVVIWNAVKFPEILATLRGHSGLVKGL TWDPVGKYIASQADDRSLKVWRTLDWQLETSITKPFDECGGTTHVLRLSWSPDGHYLVSA HAMNNSGPTAQIIEREGWKTNMDFVGHRKAVTVVKFNPKIFKKKQKNGSSTKPSCPYCCC AVGSKDRSLSVWLTCLKRPLVVIHELFDKSIMDISWTLNGLGILVCSMDGSVAFLDFSQD ELGDPLSEEEKSRIHQSTYGKSLAIMTEAQLSTAVIENPEMLKYQRRQQQQQLDQKNATT RETSSASSVTGVVNGESLEDIRKNLLKKQVETRTADGRRRITPLCIAQLDTGDFSTAFFN SIPLSSSLAGTMLSSPSGQQLLPLDSSTPSFGASKPCTEPVAATSARPTGESVSKDSMNA TSTPAASSPSVLTTPSKIEPMKAFDSRFTERSKATPGAPSLTSVIPTAVERLKEQNLVKE LRSRELESSSDSDEKVHLAKPSSLSKRKLELEVETVEKKKKGRPRKDSRLLPMSLSVQSP AALSTEKEAMCLSAPALALKLPIPGPQRAFTLQVSSDPSMYIEVENEVTTVGGIRLSRLK CNREGKEWETVLSSRVLTAAGSCDVVCVACEKRMLSVFSTCGRRLLPPILLPSPISTLHC TGPYVMALTAAATLSVWDVHRQVVVVKEESLHSILSGSDMTVSQILLTQHGIPVMNLSDG KAYCFNPSLSTWNLVSDKQDSLAQCADFRNSLPSQDAMLCSGPLAIIQGRTSNSGRQAAR LFSVPHVVQQETTLAYLENQVAAALTLQSSHEYRHWLLLYARYLVNEGFEYRLREICKDL LGPVHCSTGSQWESTVVGLRKRELLKELLPVIGQNLRFQRLFTECQEQLDILRDK >ENSMUSP00000117944.1 pep:known chromosome:GRCm38:16:18877270:18935080:1 gene:ENSMUSG00000022702.15 transcript:ENSMUST00000153397.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hira description:histone cell cycle regulator [Source:MGI Symbol;Acc:MGI:99430] MKLLKPTWVNHNGKPIFSVDIHPDGTKFATGGQGDCKGQIRRAGFWEGCDLEYVSSPPGG >ENSMUSP00000049331.9 pep:known chromosome:GRCm38:3:57736062:57835231:1 gene:ENSMUSG00000036503.13 transcript:ENSMUST00000041826.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf13 description:ring finger protein 13 [Source:MGI Symbol;Acc:MGI:1346341] MLLSIGMLMLSATQVYTILTVQLFAFLNLLPVEADILAYNFENASQTFEDLPARFGYRLP AEGLKGFLINSKPENACEPIVPPPLKDNSSGTFIVLIRRLDCNFDIKVLNAQRAGYKAAI VHNVDSDDLISMGSNDIDTLKKIDIPSVFIGESSANSLKDEFTYEKGGHIILVPELSLPL EYYLIPFLIIVGICLILIVIFMITKFVQDRHRNRRNRLRKDQLKKLPVHKFKKGDEYDVC AICLEEYEDGDKLRILPCSHAYHCKCVDPWLTKTKKTCPVCKQKVVPSQGDSDSDTDSSQ EENQVSEHTPLLPPSASARTQSFGSLSESHSHHNMTESSDYEDDDNEETDSSDADNEITD HSVVVQLQPNGEQDYNIANTV >ENSMUSP00000142451.1 pep:known chromosome:GRCm38:3:57736063:57779475:1 gene:ENSMUSG00000036503.13 transcript:ENSMUST00000198510.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf13 description:ring finger protein 13 [Source:MGI Symbol;Acc:MGI:1346341] MLLSIGMLMLSATQVYTILTVQLFAFLNLLPVEADILAYNFENASQTFEDLPARFGYRLP AEGLKGFLINSKPENACEPIVPPPLKDNSSGTFIVL >ENSMUSP00000142462.1 pep:known chromosome:GRCm38:3:57736082:57835233:1 gene:ENSMUSG00000036503.13 transcript:ENSMUST00000200497.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf13 description:ring finger protein 13 [Source:MGI Symbol;Acc:MGI:1346341] MLLSIGMLMLSATQVYTILTVQLFAFLNLLPVEADILAYNFENASQTFEDLPARFGYRLP AEGLKGFLINSKPENACEPIVPPPLKDNSSGTFIVLIRRLDCNFDIKVLNAQRAGYKAAI VHNVDSDDLISMGSNDIDTLKKIDIPSVFIGESSANSLKDEFTYEKGGHIILVPELSLPL EYYLIPFLIIVGICLILIVIFMITKFVQDRHRNRRNRLRKDQLKKLPVHKFKKGDEYDVC AICLEEYEDGDKLRILPCSHGDSDSDTDSSQEENQVSEHTPLLPPSASARTQSFGSLSES HSHHNMTESSDYEDDDNEETDSSDADNEITDHSVVVQLQPNGEQDYNIANTV >ENSMUSP00000143498.1 pep:known chromosome:GRCm38:3:57736085:57826300:1 gene:ENSMUSG00000036503.13 transcript:ENSMUST00000198214.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf13 description:ring finger protein 13 [Source:MGI Symbol;Acc:MGI:1346341] MLLSIGMLMLSATQVYTILTVQLFAFLNLLPVEADILAYNFENASQTFEDLPARFGYRLP AEGLKGFLINSKPENACEPIVPPPLKDNSSGTFIVLIRRLDCNFDIKVLNAQRAGYKAAI VHNVDSDDLISMGSNDIDTLKKIDIPSVFIGESSANSLKDEFTYEKGGHIILVPELSLPL EYYLIPFLIIVGICLILIVIFMITKFVQDRHRNRRNRLRKDQLKKLPVHKFKKATHASTV INCI >ENSMUSP00000143692.1 pep:known chromosome:GRCm38:3:57736113:57835119:1 gene:ENSMUSG00000036503.13 transcript:ENSMUST00000197205.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf13 description:ring finger protein 13 [Source:MGI Symbol;Acc:MGI:1346341] MLLSIGMLMLSATQVYTILTVQLFAFLNLLPVEADILAYNFENASQTFEDLPARFGYRLP AEGLKGFLINSKPENACEPIVPPPLKDNSSGTFIVLIRRLDCNFDIKVLNAQRAGYKAAI VHNVDSDDLISMGSNDNQRLAQPSSEELPPAADGNRYRDPQLLCRR >ENSMUSP00000142594.1 pep:known chromosome:GRCm38:3:57736120:57769118:1 gene:ENSMUSG00000036503.13 transcript:ENSMUST00000200600.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf13 description:ring finger protein 13 [Source:MGI Symbol;Acc:MGI:1346341] MLLSIGMLMLSATQVYTILTVQLFAFLNLLPVEADILAYNFENASQTFEDLPARFGYRLP AEGL >ENSMUSP00000142828.1 pep:known chromosome:GRCm38:3:57736120:57802511:1 gene:ENSMUSG00000036503.13 transcript:ENSMUST00000198249.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf13 description:ring finger protein 13 [Source:MGI Symbol;Acc:MGI:1346341] MLLSIGMLMLSATQVYTILTVQLFAFLNLLPVEADILAGFLINSKPENACEPIVPPPLKD NSSGTFIVLIRRLDCNFDIKVLNAQRAGYKAAIVHNVDSDDLISMGSNDIDTLKKIDIPS VFIGESSANSL >ENSMUSP00000142335.1 pep:known chromosome:GRCm38:3:57736120:57833232:1 gene:ENSMUSG00000036503.13 transcript:ENSMUST00000199041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf13 description:ring finger protein 13 [Source:MGI Symbol;Acc:MGI:1346341] MLLSIGMLMLSATQVYTILTVQLFAFLNLLPVEADILAYNFENASQTFEDLPARFGYRLP AEGLKGFLINSKPENACEPIVPPPLKDNSSGTFIVLIRRLDCNFDIKVLNAQRAGYKAAI VHNVDSDDLISMGSNDIDTLKKIDIPSVFIGESSANSLKDEFTYEKGGHIILVPELSLPL EYYLIPFLIIVGICLILIVIFMITKFVQDRHRNRRNRLRKDQLKKLPVHKFKKGDEYDVC AICLEEYEDGDKLRILPCSHGMSTHTVL >ENSMUSP00000088324.5 pep:known chromosome:GRCm38:3:95236920:95241598:-1 gene:ENSMUSG00000068860.5 transcript:ENSMUST00000090815.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm128 description:predicted gene 128 [Source:MGI Symbol;Acc:MGI:2684974] MVPAACMLLWALLLSLEYRAAGAEDQTTTPTATTIGMQRVSFRFGGPARSLHSTNPTART TVPGKLRVTLEDENDALATADRLALPAAAELLSTVTGYSRSSVPSPSDWEEDGSLEEGVV DTRKTTNGPVSLFSTTNTVGSSGTTGRFLANSQEREIKLTTDVRSLSSKTTVVDLSSEST LQQWSTPGSTPSPWLKPSFTAMPSPEDLRVVLMPWGPWHCHCKSGTMSRSRAGKLHGLSG RLRVGALNELRTEHRPCTYQLCACNRHLEECPLDSSLCSDHSCSSRAPFQSSTTSLVPVH LRRRPILPPTSPSPSPALAFWKRVRIGLEDIWNSLSSVFTETQPVERIQR >ENSMUSP00000102815.1 pep:known chromosome:GRCm38:3:95237107:95241598:-1 gene:ENSMUSG00000068860.5 transcript:ENSMUST00000107197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm128 description:predicted gene 128 [Source:MGI Symbol;Acc:MGI:2684974] MVPAACMLLWALLLSLEYRAAGAEDQTTTPTATTIGMQRVSFRFGGPARSLHSTNPTART TVPGKLRVTLEDENDALATADRLALPAAAELLSTVTGYSRSSVPSPSDWEEDGSLEEGVV DTRKTTNGPSSTTSLVPVHLRRRPILPPTSPSPSPALAFWKRVRIGLEDIWNSLSSVFTE TQPVERIQR >ENSMUSP00000028883.5 pep:known chromosome:GRCm38:2:129686565:129699833:-1 gene:ENSMUSG00000027400.11 transcript:ENSMUST00000028883.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdyn description:prodynorphin [Source:MGI Symbol;Acc:MGI:97535] MAWSRLMLAACLLVMPSNVMADCLSLCSLCAVRIQDGPRPINPLICSLECQDLVPPSEEW ETCRGFSSFLTLTVSGLRGKDDLEDEVALEEGYSALAKLLEPVLKELEKSRLLTSVPEEK FRGLSSSFGNGKESELAGADRMNDEAAQAGTLHFNEEDLRKQAKRYGGFLRKYPKRSSEM ARDEDGGQDGDQVGHEDLYKRYGGFLRRIRPKLKWDNQKRYGGFLRRQFKVVTRSQENPN TYSEDLDV >ENSMUSP00000114534.1 pep:known chromosome:GRCm38:2:129688496:129698658:-1 gene:ENSMUSG00000027400.11 transcript:ENSMUST00000130608.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdyn description:prodynorphin [Source:MGI Symbol;Acc:MGI:97535] MAWSRLMLAACLLVMPSNVMADCLSLCSLCAVRIQDGPRPINPLICSLECQDLVPPSEEW ETCRGFSSFLTLTVSGLRGKDDLE >ENSMUSP00000101389.1 pep:known chromosome:GRCm38:4:143964518:143966950:-1 gene:ENSMUSG00000078510.3 transcript:ENSMUST00000105763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13101 description:predicted gene 13101 [Source:MGI Symbol;Acc:MGI:3650204] MNFQAPPTLLSLAVQSLAKNEALAINALQELPRELFPPLFKAAFTGRHTKILKEMVGAWP LPCLPVGALMNIPDMTVLQAVLDGVDKQMTGNFSPRNQKLQVLDLRNVHHHFWDVSAGPE DGDGSAETVCQKQKATRPHRYALRRRLKVVTDLPLQFDLEENQTYFLQWAQERRGFLHLC CMKMQIRGLTIHTVRKILQIFQPYCIEELELNTGWTLSTLACFTPCLGQMKNLCKLHLTL VHEKLFTFLRTSTDIQEKSVIKVISQFPKLNSLQHLDLTGLYFLTGHMNELLGCLKTPLE FLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGIILHDLSLVPLGIFLENVANTLKTLEL EHCRMTDSQVSAFIPALNKCSQLISVNFCDNDISISVLTNLLHHTANLSQLIMELFPAPL ECYETGFVLRAERFVQLSSELMNTLCTVRQPHSICFASQLCLICSQHCTYGLETRLCHCC Q >ENSMUSP00000138045.1 pep:known chromosome:GRCm38:4:130531602:130573966:-1 gene:ENSMUSG00000078532.9 transcript:ENSMUST00000180884.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nkain1 description:Na+/K+ transporting ATPase interacting 1 [Source:MGI Symbol;Acc:MGI:1914399] MGKCSGRCTLVAFCCLQLVAALQRQIFDFLGYQWAPILANFLHIMAVILGIFGTVQYRSR YLILYAAWLVLWVGWNAFIICFYLEVGQLSQAPLPPRTGTSS >ENSMUSP00000101614.2 pep:known chromosome:GRCm38:4:130531618:130574173:-1 gene:ENSMUSG00000078532.9 transcript:ENSMUST00000105993.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain1 description:Na+/K+ transporting ATPase interacting 1 [Source:MGI Symbol;Acc:MGI:1914399] MGKCSGRCTLVAFCCLQLVAALQRQIFDFLGYQWAPILANFLHIMAVILGIFGTVQYRSR YLILYAAWLVLWVGWNAFIICFYLEVGQLSQDRDFIMTFNTSLHRSWWMENGPGCLVTPV LNSRLALEDHHVISVTGCLLDYPYIEALSSALQIFLALFGFVFACYVSKVFLEEEDSFDF IGGFDSYGYQAPQKTSHLQLQPLYTSG >ENSMUSP00000123383.1 pep:known chromosome:GRCm38:1:93751500:93775683:1 gene:ENSMUSG00000026280.17 transcript:ENSMUST00000149436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg4b description:autophagy related 4B, cysteine peptidase [Source:MGI Symbol;Acc:MGI:1913865] MRLGWTATLTYDTLRFAEFEDFPETSEPVWILGRKYSIFTEKDEILSDVASRLWFTYRRN FPAIGGTGPTSDTGWGCMLRCGQMIFAQALVCRHLGRDWRWTQRKRQPDSYFNVLNAFLD RKDSYYSIHQIAQMGVGEGKSIGQWYGPNT >ENSMUSP00000027502.8 pep:known chromosome:GRCm38:1:93754905:93790610:1 gene:ENSMUSG00000026280.17 transcript:ENSMUST00000027502.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg4b description:autophagy related 4B, cysteine peptidase [Source:MGI Symbol;Acc:MGI:1913865] MDAATLTYDTLRFAEFEDFPETSEPVWILGRKYSIFTEKDEILSDVASRLWFTYRRNFPA IGGTGPTSDTGWGCMLRCGQMIFAQALVCRHLGRDWRWTQRKRQPDSYFNVLNAFLDRKD SYYSIHQIAQMGVGEGKSIGQWYGPNTVAQVLKKLAVFDTWSSLAVHIAMDNTVVMEEIR RLCRANLPCVGAAALPTDSERHCNGFPAGAEVTNRPSAWRPLVLLIPLRLGLTDINEAYV ETLKHCFMMPQSLGVIGGKPNSAHYFIGYVGEELIYLDPHTTQPAVELTDSCFIPDESFH CQHPPSRMGIGELDPSIAVGFFCKTEEDFNDWCQQVKKLSQLGGALPMFELVEQQPSHLA CQDVLNLSLDSSDVERLERFFDSEDEDFEILSL >ENSMUSP00000139541.1 pep:known chromosome:GRCm38:1:93754988:93778288:1 gene:ENSMUSG00000026280.17 transcript:ENSMUST00000187824.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg4b description:autophagy related 4B, cysteine peptidase [Source:MGI Symbol;Acc:MGI:1913865] MDAATLTYDTLRFAEFEDFPETSEPVWILGRKYSIFTEKDEILSDVASRLWFTYRRNFPA IDWRWTQRKRQPDSYFNVLNAFLDRKDSYYSIHQIAQMGVGEGKSIGQWYGPNTVAQVLK K >ENSMUSP00000140758.1 pep:known chromosome:GRCm38:1:93755035:93784923:1 gene:ENSMUSG00000026280.17 transcript:ENSMUST00000185482.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atg4b description:autophagy related 4B, cysteine peptidase [Source:MGI Symbol;Acc:MGI:1913865] MDAATLTYDTLRFAEFEDFPETSEPVWILGRKYSIFTEKDEILSDVASRLWFTYRRNFPA IGGTGPTSDTGWGCMLRCGQMIFAQALVCRHLGRDWRWTQRKRQPDSYFNVLNAFLDRKD SYYSIHQIGNLLYSTHGVPWLFT >ENSMUSP00000139463.1 pep:known chromosome:GRCm38:1:93784797:93787877:1 gene:ENSMUSG00000026280.17 transcript:ENSMUST00000186811.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atg4b description:autophagy related 4B, cysteine peptidase [Source:MGI Symbol;Acc:MGI:1913865] VELTDSCFIPDESFHCQHPPSRMGIGELDPSIAVGFFCKTEEDFNDWCQQVKKVCSCPCP EPR >ENSMUSP00000070648.4 pep:known chromosome:GRCm38:1:3214482:3671498:-1 gene:ENSMUSG00000051951.5 transcript:ENSMUST00000070533.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xkr4 description:X Kell blood group precursor related family member 4 [Source:MGI Symbol;Acc:MGI:3528744] MAAKSDGRLKMKKSSDVAFTPLQNSDNSGSVQGLAPGLPSGSGAEDTEAAGGGCCPDGGG CSRCCCCCAGSGGSAGSGGSGGGGRGSGAGSAALCLRLGREQRRYSLWDCLWILAAVAVY FADVGTDIWLAVDYYLRGQRWWFGLTLFFVVLGSLSVQVFSFRWFVHDFSTEDSSTTTTS SCQQPGADCKTVVSSGSAAGEGEVRPSTPQRQASNASKSNIAATNSGSNSNGATRTSGKH RSASCSFCIWLLQSLIHILQLGQIWRYLHTIYLGIRSRQSGESGRWRFYWKMVYEYADVS MLHLLATFLESAPQLVLQLCIIVQTHSLQALQGFTAAASLVSLAWALASYQKALRDSRDD KKPISYMAVIIQFCWHFFTIAARVITFALFASVFQLYFGIFIVLHWCIMTFWIVHCETEF CITKWEEIVFDMVVGIIYIFSWFNVKEGRTRCRLFIYYFVILLENTALSALWYLYKAPQI ADAFAIPALCVVFSSFLTGVVFMLMYYAFFHPNGPRFGQSPSCACDDPATAFSLPPEVAT STLRSISNNRSVASDRDQKFAERDGCVPVFQVRPTAPPTPSSRPPRIEESVIKIDLFRNR YPAWERHVLDRSLRKAILAFECSPSPPRLQYKDDALIQERLEYETTL >ENSMUSP00000122855.2 pep:known chromosome:GRCm38:2:63971507:64098012:-1 gene:ENSMUSG00000075324.13 transcript:ENSMUST00000131615.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fign description:fidgetin [Source:MGI Symbol;Acc:MGI:1890647] MISSTSVYGLKMQWTPEHAQWPEQHFDITSTTRSPAHKVEAYRGHLQRTYQYAWANDDIS ALTASNLLKKYAEKYSGILEGPVDRPVLSNYSDTPSGLVNGRKNDSEPWQPSLNSEAVYP MNCVPDVITASKAGVSSALPPVDVSASIGSSPGVASNLTEPSYSSSTCGSHTVPSLHAGL PSQEYAPGYNGSYLHSTYSSQATPALPSPHPSPLHSSGLLQPPPPPPPPPALVPGYNGTS NLSSYSYPSASYPPQTAVGSGYSPGGAPPPPSAYLPSGIPAPTPLPPTTVPGYTYQGHGL TPIAPSALTNNSASSLKRKAFYMAGQGDMDSSYGNYSYGQQRSTQSPMYRMPDNSISNSN RGNGFDRNAETSSLAFKPTKQLMPSEQQRKFSSQSSRALTPPSYSTAKNSLGSRSSESFG KYTSPVMSEHGDDHRQLLAHPIQGPGLRAATSSNHSVDEQLKNTDTHLIDLVTNEIITQG PPVDWSDIAGLDLVKAVIKEEVLWPVLRSDAFSGLTALPRSILLFGPRGTGKTLLGRCIA SQLGATFFKIAGSGLVAKWIGEAEKIIHASFLVARCRQPSVIFVSDIDMLLSSQVSEEHS PVSRMRTEFLMQLDTVLTSAEDQIVVICATSKPEEIDESLRRYFMKRLLIPLPDSTARHQ IIVQLLTQHNYCLNDKEFALLVQRTEGFSGLDVAHLCQEAAVGPLHAMPATDLSAIMPSQ LRPVTYQDFENAFCKIQPSISQKELDMYVEWNKMFGCSQ >ENSMUSP00000124338.1 pep:known chromosome:GRCm38:2:63977337:64098038:-1 gene:ENSMUSG00000075324.13 transcript:ENSMUST00000102728.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fign description:fidgetin [Source:MGI Symbol;Acc:MGI:1890647] MISSTSVYGPRSLCMDTKNDRILGLGRRLEDAVDAGACPVARTAL >ENSMUSP00000101388.1 pep:known chromosome:GRCm38:4:143991119:143994369:-1 gene:ENSMUSG00000078509.1 transcript:ENSMUST00000105762.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef17 description:PRAME family member 17 [Source:MGI Symbol;Acc:MGI:3650199] MDLEAPPTLLQLAAQCTVRKEVLTISVLQNLPMKLFPPLFKEADILRKAKMIKLLVEYWP YPSLLVGLLIDKTNLETFQAILEGVGTWLKRKYRPRMGKLQVVDLRNGHHDFWDMLAGRE GGDQLVETMPEKQEVEGHSRRQRLRVFSDLSFKSSRHEDKQQTHLLQWAKDREGFLHLCC EKLEIGALGVSKVKKVLKLLQPEFIKELELNTVGNLSKLTKLVPCISKMRNLQKLMLVRI FGRHTYTPLEERNVTKILSLFPKLSYLQHLTIDDVYFLRDHMNELFRYLEAPLLSLTITL CQISQSDLESFSQHWNYGQLKHLCLKGVSLSTLNVTPLKFFLESVADTLQTLELEDCRMK DSHLRILLPALTKCTRLTSINFYDNNISRDVLQDLLHRTANMSQLTMELYPAPVEVYNEW SYVQVERFSQLCAELMNTLITVRRPKSVCFGTYSCYDCDTHCIYGNQTTFCECLE >ENSMUSP00000105137.1 pep:known chromosome:GRCm38:11:25619087:26210572:-1 gene:ENSMUSG00000032985.15 transcript:ENSMUST00000109511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730522E02Rik description:RIKEN cDNA 5730522E02 gene [Source:MGI Symbol;Acc:MGI:1917876] MEEGPTSLDFFQEQKTNYKYETDFTTGTKFHIQKQQTSQPRVSVIQTARSRTVRGSVSDM GCRKPCQKTGCSRVTSQLPSFSAGKCSWDSILSCLECSSISLCPGCPGICHVEENGLKLT EINSPASAPTLQILRLKVCGSTSGLKVLWLLEALHSDFRGSLF >ENSMUSP00000137096.1 pep:known chromosome:GRCm38:11:25647915:25769141:-1 gene:ENSMUSG00000032985.15 transcript:ENSMUST00000179180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730522E02Rik description:RIKEN cDNA 5730522E02 gene [Source:MGI Symbol;Acc:MGI:1917876] SKGPTSLDFFQEQKTNYKYETDFTTGTKFHIQKQQTSQPRVSVIQTARSRTVRGSVSDMG CRKPCQKTGCSRVTSQLPSFSAGKCSWDSILSCLECSSISLCPGCPGICHVEENGLKLTE INSPASAPTLQILRLKVCGSTSGLKVLCLL >ENSMUSP00000087276.1 pep:known chromosome:GRCm38:14:50453054:50453989:1 gene:ENSMUSG00000095917.1 transcript:ENSMUST00000089838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr740 description:olfactory receptor 740 [Source:MGI Symbol;Acc:MGI:3030574] MKTFSSPINSSTTTGFILLGFPCPREGQILLFVLFSIVYLLTLMGNTCIIFAVCWDQRLH TPMYLLLANFSFLEIWYVTSTVPNMLANFLSDTKVISFSGCFLQFYFFFSLGSTECLFLA VMAFDRYLAICRPLHYPALMTGSLCNILVISCWVLGFLWFPVPIIIISQMSFCGSRIIDH FLCDPGPLLALTCSRAPLMEVFWTIITSLILFVPFLFIMGSYTLVLRAVFRVPSRDGQKK AFSTCGSHLTVVLLFYGSVMIMYLSPTSEHEAGMQKLVTLFYSVVTPLINPVIYSLRNKD MKHALQKILRT >ENSMUSP00000021682.8 pep:known chromosome:GRCm38:12:86700502:86726460:-1 gene:ENSMUSG00000021257.14 transcript:ENSMUST00000021682.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angel1 description:angel homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1915987] MIASCLYYLLLPAARLFRFLSDAFFTCRKNALLAKSSSPQVEGNFAMAPRGPDQEECEGL LQQWREEGWNQTPSTASEGPLADKGLAESSLALLMDNSGEQDAASEDKWSSRQLSDLRAA ENLNQPFPEVLGEEPLAEVEGPLWAAVPVQTGPQYADCAVLPMGAMAAEQWEEDPAMVAW SIAPEPMPQEETSMWPFEGLEQLQPPPMEIPYHEILWREWEDFSTQPDAQGLEAGDGPQF QFTLMSYNILAQDLMQQSSELYLHCHPDILNWNYRFANLMQEFQHWDPDILCLQEVQEDH YWEQLEPSLRMMGFTCFYKRRTGCKTDGCAVCYKPTRFRLLCASPVEYFRPGLELLNRDN VGLVLLLQPLVPEGLGQVSVAPLCVANTHVLYNPRRGDVKLAQMAILLAEVDKVARLSDG SHCPIILCGDLNSVPDSPLYNFIRDGELQYNGMPAWKVSGQEDFSHQLYQRKLQAPLWPS SLGITDCCQYVTSCHPKRSERLKYGRDFLLRFRFCDLACQRPVGLVLMEGVTDTKPDRPA GWAECIFEEEISELEPVFPRTIGTIQHCLHLTSVYTHFLPQHGCPEVTTMPLGLGMTVDY IFFSAESCENENRTDHRLDRDGTLKLLGRLSLLSEEILWAANGLPNPFYSSDHLCLLASF GMEVTAP >ENSMUSP00000030348.5 pep:known chromosome:GRCm38:4:107879755:107887424:1 gene:ENSMUSG00000028609.6 transcript:ENSMUST00000030348.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magoh description:mago homolog, exon junction complex core component [Source:MGI Symbol;Acc:MGI:1330312] MESDFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDVMIRKEAYVHKSVMEEL KRIIDDSEITKEDDALWPPPDRVGRQELEIVIGDEHISFTTSKIGSLIDVNQSKDPEGLR VFYYLVQDLKCLVFSLIGLHFKIKPI >ENSMUSP00000084169.5 pep:known chromosome:GRCm38:5:95590599:95594875:-1 gene:ENSMUSG00000094043.2 transcript:ENSMUST00000086950.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7971 description:predicted gene 7971 [Source:MGI Symbol;Acc:MGI:3779772] MSVQTPSTLQNLTLKALLRDEALALSCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRKSHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYARRKQLKVVADLCLRPRRDEAQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQVLDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTANWNKMNVEQYPAPL ECYNELGHVSVERFAQLCQELMDTLRAIRQPKSLSFATRICHKCGEPCVYGQGGRLCFCW R >ENSMUSP00000126541.2 pep:known chromosome:GRCm38:2:129800517:129828974:1 gene:ENSMUSG00000037885.18 transcript:ENSMUST00000165413.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk35 description:serine/threonine kinase 35 [Source:MGI Symbol;Acc:MGI:1914583] MGHQESPLTRAAAGGAAYIKRLRKVLSWRELGDGHGNLEAEASPGSVAVITRAAPRRATR SARLPASRPTRLCRQARLGTDHPPARAPRGNRFARKRNSAGQITIQGPAPPHLGARRRDE ARGARAAPLLLPPPPAAMETGKENGARRGTKSPERKRRSPVQRVLCEKLRPAAQAMDPAG AEVPGEAFLARRRPDGGGGDVPARPRYSLLAEIGRGSYGVVYEAVAGRSGARVAVKKIRC DAPENVELALAEFWALTSLKRRHQNIVQFEECVLQRNGLAQRMSHGNKNSQLYLRLVETS LKGERILGYAEEPCYLWFVMEYCEGGDLNQYVLSRRPDPATNKSFMLQLTSAIAFLHKNH IVHRDLKPDNILITERSGTPILKVADFGLSKVCAGLAPRGKEGNQDNKNVNVNKYWLSSA CGSDFYMAPEVWEGHYTAKADIFALGIIIWAMIERITFIDSETKKELLGTYIKQGTEIVP VGEALLENPKMELHIPQKRRTSMSEGVKQLLKDMLAANPQDRPDAFELETRMDQVTCAA >ENSMUSP00000132862.2 pep:known chromosome:GRCm38:2:129800517:129832287:1 gene:ENSMUSG00000037885.18 transcript:ENSMUST00000166282.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk35 description:serine/threonine kinase 35 [Source:MGI Symbol;Acc:MGI:1914583] MGHQESPLTRAAAGGAAYIKRLRKVLSWRELGDGHGNLEAEASPGSVAVITRAAPRRATR SARLPASRPTRLCRQARLGTDHPPARAPRGNRFARKRNSAGQITIQGPAPPHLGARRRDE ARGARAAPLLLPPPPAAMETGKENGARRGTKSPERKRRSPVQRVLCEKLRPAAQAMDPAG AEVPGEAFLARRRPDGGGGDVPARPRYSLLAEIGRGSYGVVYEAVAGRSGARVAVKKIRC DAPENVELALAEFWALTSLKRRHQNIVQFEECVLQRNGLAQRMSHGNKNSQLYLRLVETS LKGRSFGTHSLIVSRTGWQRVQVVVIS >ENSMUSP00000017841.3 pep:known chromosome:GRCm38:2:163726584:163750239:-1 gene:ENSMUSG00000017697.3 transcript:ENSMUST00000017841.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ada description:adenosine deaminase [Source:MGI Symbol;Acc:MGI:87916] MAQTPAFNKPKVELHVHLDGAIKPETILYFGKKRGIALPADTVEELRNIIGMDKPLSLPG FLAKFDYYMPVIAGCREAIKRIAYEFVEMKAKEGVVYVEVRYSPHLLANSKVDPMPWNQT EGDVTPDDVVDLVNQGLQEGEQAFGIKVRSILCCMRHQPSWSLEVLELCKKYNQKTVVAM DLAGDETIEGSSLFPGHVEAYEGAVKNGIHRTVHAGEVGSPEVVREAVDILKTERVGHGY HTIEDEALYNRLLKENMHFEVCPWSSYLTGAWDPKTTHAVVRFKNDKANYSLNTDDPLIF KSTLDTDYQMTKKDMGFTEEEFKRLNINAAKSSFLPEEEKKELLERLYREYQ >ENSMUSP00000145848.1 pep:known chromosome:GRCm38:14:50472947:50486395:1 gene:ENSMUSG00000095765.2 transcript:ENSMUST00000205518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr741 description:olfactory receptor 741 [Source:MGI Symbol;Acc:MGI:3030575] MKTLSSPSNSSTITGFILLGFAYPREGQILLFVIFFIVYILILMGNASIICAVYCDQRLH TPMYLLLANFSFMEIGYVTSTVPNMLANFLSDTKVISFSGCFLQFYFFFSFGSTECFFLA VMAFDRYLAICRPLHYSSLMTGRLRNTLVTSCWVLGFLWFPVPIIIISQMSFCGSRIIDH FLCDPGPLLALACSRVPLIEVFWSIIMSMLLVIPFLFIMGTYILVLRAVFRLPSREGQKK AFSTCGSHLTVVSLFYCSVMIMYLSPTSEHEAGMQKLVTLFYSVGTPLLNPMIYSLRNKD MKNALQKILRT >ENSMUSP00000071824.4 pep:known chromosome:GRCm38:14:50485460:50486395:1 gene:ENSMUSG00000095765.2 transcript:ENSMUST00000071932.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr741 description:olfactory receptor 741 [Source:MGI Symbol;Acc:MGI:3030575] MKTLSSPSNSSTITGFILLGFAYPREGQILLFVIFFIVYILILMGNASIICAVYCDQRLH TPMYLLLANFSFMEIGYVTSTVPNMLANFLSDTKVISFSGCFLQFYFFFSFGSTECFFLA VMAFDRYLAICRPLHYSSLMTGRLRNTLVTSCWVLGFLWFPVPIIIISQMSFCGSRIIDH FLCDPGPLLALACSRVPLIEVFWSIIMSMLLVIPFLFIMGTYILVLRAVFRLPSREGQKK AFSTCGSHLTVVSLFYCSVMIMYLSPTSEHEAGMQKLVTLFYSVGTPLLNPMIYSLRNKD MKNALQKILRT >ENSMUSP00000034311.8 pep:known chromosome:GRCm38:8:70673248:70678752:1 gene:ENSMUSG00000031848.14 transcript:ENSMUST00000034311.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm4 description:LSM4 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1354692] MLPLSLLKTAQNHPMLVELKNGETYNGHLVSCDNWMNINLREVICTSRDGDKFWRMPECY IRGSTIKYLRIPDEIIDMVREEAAKGRGRGGPQQQKQQKGRGMGGAGRGVFGGRGRGGIP GAGRGQPEKKPGRQAGKQ >ENSMUSP00000121019.1 pep:known chromosome:GRCm38:8:70673432:70678048:1 gene:ENSMUSG00000031848.14 transcript:ENSMUST00000146972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm4 description:LSM4 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1354692] MLPLSLLKTAQNHPMDGDKFWRMPECYIRGSTIKYLRIPDEIIDMVR >ENSMUSP00000075619.6 pep:known chromosome:GRCm38:11:70844778:70943105:1 gene:ENSMUSG00000020817.16 transcript:ENSMUST00000076270.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabep1 description:rabaptin, RAB GTPase binding effector protein 1 [Source:MGI Symbol;Acc:MGI:1860236] MAQPGPAPQPDVSLQQRVAELEKINAEFLRAQQQLEQEFNQKRAKFKELYLAKEEDLKRQ NAVLQAAQDDLGHLRTQLWEAQAEMENIKAIATVSENTKQEAIDEVKRQWREEVASLQAI MKETVRDYEHQFHLRLEQERAQWAQYRESAEREIADLRRRLSEGQEEENLENEMKKAQED AEKLRSVVMPMEKEIAALKDKLTEAEDKIKELEASKVKELNHYLEAEKSCRTDLEMYVAV LNTQKSVLQEDAEKLRKELHEVCHLLEQERQQHNQLKHTWQKANDQFLESQRLLMRDMQR MEIVLTSEQLRQVEELKKKDQEEDEQQRVNKRKDNKKTDTEEEVKIPVVCALTQEESSTP LSNEEEHLDSTHGSVHSLDADLMLPSGDPFSKSDNDMFKDGLRRAQSTDSLGTSSSLQSK ALGYNYKAKSAGNLDESDFGPLVGADSVSENFDTVSLGSLQMPSGFMLTKDQERAIKAMT PEQEETASLLSSVTQGMESAYVSPSGYRLVSETEWNLLQKEVHNAGNKLGRRCDMCSNYE KQLQGIQIQEAETRDQVKKLQLMLRQANDQLEKTMKEKQELEDFLKQSAEDSSHQISALV LRAQASEVLLEELQQSFSQAKRDVQEQMAVLMQSREQVSEELVRLQKDNDSLQGKHSLHV SLQLAEDFILPDTVEVLRELVLKYRENIVHVRTAADHMEEKLKAEILFLKEQIQAEQCLK ENLEETLQLEIENCKEEIASISSLKAELERIKVEKGQLESTLREKSQQLESLQEMKVNLE EQLKKETAAKATVEQLMFEEKNKAQRLQTELDVSEQVQRDFVKLSQTLQVQLERIRQADS LERIRAILNDTKLTDINQLPET >ENSMUSP00000136369.1 pep:known chromosome:GRCm38:11:70844792:70894121:1 gene:ENSMUSG00000020817.16 transcript:ENSMUST00000179114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabep1 description:rabaptin, RAB GTPase binding effector protein 1 [Source:MGI Symbol;Acc:MGI:1860236] MAQPGPAPQPDVSLQQRVAELEKINAEFLRAQQQLEQEFNQKRAKFKELYLAKEEDLKRQ NAVLQAAQDDLGHLRTQLWEAQAEMENIKAIATVSENTKQEAIDEVKRQWREEVASLQAI MKETVRDYEHQFHLRLEQERAQWAQYRESAEREIADLRRRLSEGQEEENLENEMKKAQED AEKLRSVVMPMEKEIAALKDKLTEAEDKIKELEASKVVRCSYPSAPMPGTKLGSLKKRCW EVI >ENSMUSP00000098488.4 pep:known chromosome:GRCm38:11:70844799:70941298:1 gene:ENSMUSG00000020817.16 transcript:ENSMUST00000100928.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabep1 description:rabaptin, RAB GTPase binding effector protein 1 [Source:MGI Symbol;Acc:MGI:1860236] MAQPGPAPQPDVSLQQRVAELEKINAEFLRAQQQLEQEFNQKRAKFKELYLAKEEDLKRQ NAVLQAAQDDLGHLRTQLWEAQAEMENIKAIATVSENTKQEAIDEVKRQWREEVASLQAI MKETVRDYEHQFHLRLEQERAQWAQYRESAEREIADLRRRLSEGQEEENLENEMKKAQED AEKLRSVVMPMEKEIAALKDKLTEAEDKIKELEASKVKELNHYLEAEKSCRTDLEMYVAV LNTQKSVLQEDAEKLRKELHEVCHLLEQERQQHNQLKHTWQKANDQFLESQRLLMRDMQR MEIVLTSEQLRQVEELKKKDQEEDEQQRVNKRKDNKKTDTEEEVKIPVVCALTQEESSTP LSNEEEHLDSTHGSVHSLDADLMLPSGDPFSKSDNDMFKDGLRRAQSTDSLGTSSSLQSK ALGYNYKAKSAGNLDESDFGPLVGADSVSENFDTVSLGSLQMPSGFMLTKDQERAIKAMT PEQEETASLLSSVTQGMESAYVSPSGYRLVSETEWNLLQKEVKKLQLMLRQANDQLEKTM KEKQELEDFLKQSAEDSSHQISALVLRAQASEVLLEELQQSFSQAKRDVQEQMAVLMQSR EQVSEELVRLQKDNDSLQGKHSLHVSLQLAEDFILPDTVEVLRELVLKYRENIVHVRTAA DHMEEKLKAEILFLKEQIQAEQCLKENLEETLQLEIENCKEEIASISSLKAELERIKVEK GQLESTLREKSQQLESLQEMKVNLEEQLKKETAAKATVEQLMFEEKNKAQRLQTELDVSE QVQRDFVKLSQTLQVRCLGNHHGPSVGGGTNVAGGLGSPLSLPQIFA >ENSMUSP00000136069.1 pep:known chromosome:GRCm38:11:70844925:70940940:1 gene:ENSMUSG00000020817.16 transcript:ENSMUST00000177731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabep1 description:rabaptin, RAB GTPase binding effector protein 1 [Source:MGI Symbol;Acc:MGI:1860236] MENIKAIATVSENTKQEAIDEVKRQWREEVASLQAIMKETVRDYEHQFHLRLEQERAQWA QYRESAEREIADLRRRLSEGQEEENLENEMKKAQEDAEKLRSVVMPMEKEIAALKDKLTE AEDKIKELEASKVKELNHYLEAEKSCRTDLEMYVAVLNTQKSVLQEDAEKLRKELHEVCH LLEQERQQHNQLKHTWQKANDQFLESQRLLMRDMQRMEIVLTSEQLRQVEELKKKDQEED EQQRVNKRKDNKKTDTEEEVKIPVVCALTQEESSTPLSNEEEHLDSTHGSVHSLDADLML PSGDPFSKSDNDMFKDGLRRAQSTDSLGTSSSLQSKALGYNYKAKSAGNLDESDFGPLVG ADSVSENFDTVSLGSLQMPSGFMLTKDQERAIKAMTPEQEETASLLSSVTQGMESAYVSP SGYRLVSETEWNLLQKEVHNAGNKLGRRCDMCSNYEKQLQGIQIQEAETRDQVKKLQLML RQANDQLEKTMKEKQELEDFLKQSAEDSSHQISALVLRAQASEVLLEELQQSFSQAKRDV QEQMAVLMQSREQVSEELVRLQKDNDSLQGKHSLHVSLQLAEDFILPDTVEVLRELVLKY RENIVHVRTAADHMEEKLKAEILFLKEQIQAEQCLKENLEETLQLEIENCKEEIASISSL KAELERIKVEKGQLESTLREKSQQLESLQEMKVNLEEQLKKETAAKATVEQLMFEEKNKA QRLQTELDVSEQVQRDFVKLSQTLQVQLERIRQADSLERIRAILNDTKLTDINQLPET >ENSMUSP00000104173.3 pep:known chromosome:GRCm38:11:70844942:70940646:1 gene:ENSMUSG00000020817.16 transcript:ENSMUST00000108533.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabep1 description:rabaptin, RAB GTPase binding effector protein 1 [Source:MGI Symbol;Acc:MGI:1860236] MAQPGPAPQPDVSLQQRVAELEKINAEFLRAQQQLEQEFNQKRAKFKELYLAKEEDLKRQ NAVLQAAQDDLGHLRTQLWEAQAEMENIKAIATVSENTKQEAIDEVKRQWREEVASLQAI MKETVRDYEHQFHLRLEQERAQWAQYRESAEREIADLRRRLSEGQEEENLENEMKKAQED AEKLRSVVMPMEKEIAALKDKLTEAEDKIKELEASKVKELNHYLEAEKSCRTDLEMYVAV LNTQKSVLQEDAEKLRKELHEVCHLLEQERQQHNQLKHTWQKANDQFLESQRLLMRDMQR MEIVLTSEQLRQVEELKKKDQEEDEQQRVNKRKDNKKTDTEEEVKIPVVCALTQEESSTP LSNEEEHLDSTHGSVHSLDADLMLPSGDPFSKSDNDMFKDGLRRAQSTDSLGTSSSLQSK ALGYNYKAKSAGNLDESDFGPLVGADSVSENFDTVSLGSLQMPSGFMLTKDQERAIKAMT PEQEETASLLSSVTQGMESAYVSPSGYRLVSETEWNLLQKEVHNAGNKLGRRCDMCSNYE KQLQGIQIQEAETRDQVKKLQLMLRQANDQLEKTMKEKQELEDFLKQSAEDSSHQISALV LRAQASEVLLEELQQSFSQAKRDVQEQMAVLMQSREQVSEELVRLQKDNDSLQGKHSLHV SLQLAEDFILPDTVEVLRELVLKYRENIVHVRTAADHMEEKLKAEILFLKEQIQAEQCLK ENLEETLQLEIENCKEEIVLASISSLKAELERIKVEKGQLESTLREKSQQLESLQEMKVN LEEQLKKETAAKATVEQLMFEEKNKAQRLQTELDVSEQVQRDFVKLSQTLQVQLERIRQA DSLERIRAILNDTKLTDINQLPET >ENSMUSP00000080102.6 pep:known chromosome:GRCm38:11:70844975:70940483:1 gene:ENSMUSG00000020817.16 transcript:ENSMUST00000081362.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabep1 description:rabaptin, RAB GTPase binding effector protein 1 [Source:MGI Symbol;Acc:MGI:1860236] MAQPGPAPQPDVSLQQRVAELEKINAEFLRAQQQLEQEFNQKRAKFKELYLAKEEDLKRQ NAVLQAAQDDLGHLRTQLWEAQAEMENIKAIATVSENTKQEAIDEVKRQWREEVASLQAI MKETVRDYEHQFHLRLEQERAQWAQYRESAEREIADLRRRLSEGQEEENLENEMKKVKEL NHYLEAEKSCRTDLEMYVAVLNTQKSVLQEDAEKLRKELHEVCHLLEQERQQHNQLKHTW QKANDQFLESQRLLMRDMQRMEIVLTSEQLRQVEELKKKDQEEDEQQRVNKRKDNKKTDT EEEVKIPVVCALTQEESSTPLSNEEEHLDSTHGSVHSLDADLMLPSGDPFSKSDNDMFKD GLRRAQSTDSLGTSSSLQSKALGYNYKAKSAGNLDESDFGPLVGADSVSENFDTVSLGSL QMPSGFMLTKDQERAIKAMTPEQEETASLLSSVTQGMESAYVSPSGYRLVSETEWNLLQK EVHNAGNKLGRRCDMCSNYEKQLQGIQIQEAETRDQVKKLQLMLRQANDQLEKTMKEKQE LEDFLKQSAEDSSHQISALVLRAQASEVLLEELQQSFSQAKRDVQEQMAVLMQSREQVSE ELVRLQKDNDSLQGKHSLHVSLQLAEDFILPDTVEVLRELVLKYRENIVHVRTAADHMEE KLKAEILFLKEQIQAEQCLKENLEETLQLEIENCKEEIASISSLKAELERIKVEKGQLES TLREKSQQLESLQEMKVNLEEQLKKETAAKATVEQLMFEEKNKAQRLQTELDVSEQVQRD FVKLSQTLQVQLERIRQADSLERIRAILNDTKLTDINQLPET >ENSMUSP00000137267.1 pep:known chromosome:GRCm38:11:70844975:70940483:1 gene:ENSMUSG00000020817.16 transcript:ENSMUST00000178245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabep1 description:rabaptin, RAB GTPase binding effector protein 1 [Source:MGI Symbol;Acc:MGI:1860236] MAQPGPAPQPDEDLKRQNAVLQAAQDDLGHLRTQLWEAQAEMENIKAIATVSENTKQEAI DEVKRQWREEVASLQAIMKETVRDYEHQFHLRLEQERAQWAQYRESAEREIADLRRRLSE GQEEENLENEMKKAQEDAEKLRSVVMPMEKEIAALKDKLTEAEDKIKELEASKVKELNHY LEAEKSCRTDLEMYVAVLNTQKSVLQEDAEKLRKELHEVCHLLEQERQQHNQLKHTWQKA NDQFLESQRLLMRDMQRMEIVLTSEQLRQVEELKKKDQEEDEQQRVNKRKDNKKTDTEEE VKIPVVCALTQEESSTPLSNEEEHLDSTHGSVHSLDADLMLPSGDPFSKSDNDMFKDGLR RAQSTDSLGTSSSLQSKALGYNYKAKSAGNLDESDFGPLVGADSVSENFDTVSLGSLQMP SGFMLTKDQERAIKAMTPEQEETASLLSSVTQGMESAYVSPSGYRLVSETEWNLLQKEVH NAGNKLGRRCDMCSNYEKQLQGIQIQEAETRDQVKKLQLMLRQANDQLEKTMKEKQELED FLKQSAEDSSHQISALVLRAQASEVLLEELQQSFSQAKRDVQEQMAVLMQSREQVSEELV RLQKDNDSLQGKHSLHVSLQLAEDFILPDTVEVLRELVLKYRENIVHVRTAADHMEEKLK AEILFLKEQIQAEQCLKENLEETLQLEIENCKEEIASISSLKAELERIKVEKGQLESTLR EKSQQLESLQEMKVNLEEQLKKETAAKATVEQLMFEEKNKAQRLQTELDVSEQVQRDFVK LSQTLQVQLERIRQADSLERIRAILNDTKLTDINQLPET >ENSMUSP00000115719.1 pep:known chromosome:GRCm38:8:23636019:23902111:1 gene:ENSMUSG00000037492.16 transcript:ENSMUST00000131410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat4 description:zinc finger, matrin type 4 [Source:MGI Symbol;Acc:MGI:2443497] MKSSDIDQDLFTDSYCKVCSAQLISESQRVAHYESRKHASKVRLYYMLHPRDGGCPAKRL RAENGSDADMVDKNKCCTLCNMSFTSAVVADSHYQG >ENSMUSP00000049430.4 pep:known chromosome:GRCm38:8:23669658:24063105:1 gene:ENSMUSG00000037492.16 transcript:ENSMUST00000042352.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat4 description:zinc finger, matrin type 4 [Source:MGI Symbol;Acc:MGI:2443497] MKSSDIDQDLFTDSYCKVCSAQLISESQRVAHYESRKHASKVRLYYMLHPRDGGCPAKRL RAENGSDADMVDKNKCCTLCNMSFTSAVVADSHYQGKIHAKRLKLLLGEKPPLKTTAAPL SSLKAPRVDTAPVVASPYQRRDSDRYCGLCAAWFNNPLMAQQHYEGKKHKKNAARVALLE QLGTSLDLGELRGLRRTYRCTTCSVSLNSIEQYHAHLQGSKHQTNLKNK >ENSMUSP00000121626.1 pep:known chromosome:GRCm38:8:23669658:24156585:1 gene:ENSMUSG00000037492.16 transcript:ENSMUST00000123412.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zmat4 description:zinc finger, matrin type 4 [Source:MGI Symbol;Acc:MGI:2443497] MKSSDIDQDLFTDSYCKVCSAQLISESQRVAHYESRKHASKVRLYYMLHPRDGGCPAKRL RAENGSDADMVDKNKCCTLCNMSFTSAVVADSHYQGKIHAKRLKLLLGEKPPLKTTAAPL SSLKAPRVDTAPVVASPYQRRDSDRYCGLCAAWFNNPLMAQQHYEGKKHKKNAARVALLE QLGTSLDLGELRGLRRTYRCTTCSVSLNSIEQYHAHLQGSKHQTK >ENSMUSP00000146734.1 pep:known chromosome:GRCm38:8:23669674:24063105:1 gene:ENSMUSG00000037492.16 transcript:ENSMUST00000207301.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat4 description:zinc finger, matrin type 4 [Source:MGI Symbol;Acc:MGI:2443497] MVDKNKCCTLCNMSFTSAVVADSHYQGKIHAKRLKLLLGEKPPLKTTAAPLSSLKAPRVD TAPVVASPYQRRDSDRYCGLCAAWFNNPLMAQQHYEGKKHKKNAARVALLEQLGTSLDLG ELRGLRRTYRCTTCSVSLNSIEQYHAHLQGSKHQTNLKNK >ENSMUSP00000121337.1 pep:known chromosome:GRCm38:8:23700821:23797401:1 gene:ENSMUSG00000037492.16 transcript:ENSMUST00000135747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat4 description:zinc finger, matrin type 4 [Source:MGI Symbol;Acc:MGI:2443497] MVGSWQSSAYQTFLMKSSDIDQDLFTDSYCKVCSAQLISESQRVAHYESRKHASKVRLYY MLHPRDGG >ENSMUSP00000002327.5 pep:known chromosome:GRCm38:17:28207778:28228608:1 gene:ENSMUSG00000002257.7 transcript:ENSMUST00000002327.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Def6 description:differentially expressed in FDCP 6 [Source:MGI Symbol;Acc:MGI:1346328] MALRKELLKSIWYAFTALDVEKSGKVSKSQLKVLSHNLYTVLNIPHDPVALEEHFRDDDD GPVSSQGYMPYLNKYILDKVEEGAFVKEHFDELCWTLTAKKNYRADGIGSSPLSNQDAFR LWCLFNFLSEDKYPLIMVPDEVEYLLKKLLGSLSLEMGLGKLEELLAQDAQSAQTAVGLS VWQFLELFNSGRCLRGVGRDSLSMAIQEVYQELIQDVLKQGYLWKRGHLRRNWAERWFQL QPSSLCYFGSEECKEKRGTIPLDAHCCVEVLPDREGKRCMFCVKTASRTYEMSASDTRQR QEWTAAIQTAIRLQAEGKTSLHKDLKQKRREQREQRERRRAAKEEELLRLQQLQEEKERK LQELELLQEAQRQAERLLQEEEERRRSQHKELQQALEGQLREAEQARASMQAEMELKKEE AARQRQRIAELEEMQERLQEALQLEVKARRDEEAVRLAQTRLLEEEEEKLKQLMHLKEEQ ERYIERAQQEKQELQQEMALQSRSLQHAQQQLEEVRQNRQRADEDVEAAQRKLRQASTNV KHWNVQMNRLMHPIEPGDKRPTTSSSFTGFQPPPLARRDSSLKRLTRWGSQGNRTLSVNS SEQKSLNGGDETPILALASQEEKLDPAPGN >ENSMUSP00000098914.1 pep:known chromosome:GRCm38:X:101079221:101085352:-1 gene:ENSMUSG00000031297.14 transcript:ENSMUST00000101362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a3 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 3 [Source:MGI Symbol;Acc:MGI:1100521] MLWQALRRFGQKLVRRRVLELGMGETRLARCLSTLDLVALGVGSTLGAGVYVLAGEVAKD KAGPSIVICFLVAALSSVLAGLCYAEFGARVPGSGSAYLYSYVTVGELWAFTTGWNLILS YVIGTASVARAWSSAFDNLIGNHISRTLKGTILLKMPHVLAEYPDFFALALVLLLTGLLV LGASKSALVTKVFTGMNLLVLSFVIISGFIKGELRNWKLTKEDYCLTMSESNGTCSLDSM GSGGFMPFGLEGILRGAATCFYAFVGFDCIATTGEEAQNPQRSIPMGIVISMFICFLAYF GVSSALTLMMPYYKLHPESPLPEAFSYVGWEPARYLVAIGSLCALSTSLLGSMFPMPRVM YSMAEDGLLFRVLAKVHSVTHIPIVATLVSGVIAAFMAFLFELTDLVDLMSIGTLLAHSL VSICVLILRYQPDQEMKSVEEEMELQEETLEAEKLTVQALFCPVNSIPTLLSGRVVYVCS SLLAVLLTVLCLVLTWWTTPLRSGDPVWVTVVVLILGLILAISGVIWRQPQNRTPLHFKV PAVPLLPLVSIFVNVYLMMQMTAGTWARFGIWMLIGFAIYFGYGIQHSMKEVKNHQTLPK TRAQTIDLDLTTSCVHSI >ENSMUSP00000109339.1 pep:known chromosome:GRCm38:X:101079222:101086020:-1 gene:ENSMUSG00000031297.14 transcript:ENSMUST00000113710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a3 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 3 [Source:MGI Symbol;Acc:MGI:1100521] MLWQALRRFGQKLVRRRVLELGMGETRLARCLSTLDLVALGVGSTLGAGVYVLAGEVAKD KAGPSIVICFLVAALSSVLAGLCYAEFGARVPGSGSAYLYSYVTVGELWAFTTGWNLILS YVIGTASVARAWSSAFDNLIGNHISRTLKGTILLKMPHVLAEYPDFFALALVLLLTGLLV LGASKSALVTKVFTGMNLLVLSFVIISGFIKGELRNWKLTKEDYCLTMSESNGTCSLDSM GSGGFMPFGLEGILRGAATCFYAFVGFDCIATTGEEAQNPQRSIPMGIVISMFICFLAYF GVSSALTLMMPYYKLHPESPLPEAFSYVGWEPARYLVAIGSLCALSTSLLGSMFPMPRVM YSMAEDGLLFRVLAKVHSVTHIPIVATLVSGVIAAFMAFLFELTDLVDLMSIGTLLAHSL VSICVLILRYQPDQEMKSVEEEMELQEETLEAEKLTVQALFCPVNSIPTLLSGRVVYVCS SLLAVLLTVLCLVLTWWTTPLRSGDPVWVTVVVLILGLILAISGVIWRQPQNRTPLHFKV PAVPLLPLVSIFVNVYLMMQMTAGTWARFGIWMLIGFAIYFGYGIQHSMKEVKNHQTLPK TRAQTIDLDLTTSCVHSI >ENSMUSP00000073582.4 pep:known chromosome:GRCm38:X:101079222:101085372:-1 gene:ENSMUSG00000031297.14 transcript:ENSMUST00000073927.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a3 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 3 [Source:MGI Symbol;Acc:MGI:1100521] MLWQALRRFGQKLVRRRVLELGMGETRLARCLSTLDLVALGVGSTLGAGVYVLAGEVAKD KAGPSIVICFLVAALSSVLAGLCYAEFGARVPGSGSAYLYSYVTVGELWAFTTGWNLILS YVIGTASVARAWSSAFDNLIGNHISRTLKGTILLKMPHVLAEYPDFFALALVLLLTGLLV LGASKSALVTKVFTGMNLLVLSFVIISGFIKGELRNWKLTKEDYCLTMSESNGTCSLDSM GSGGFMPFGLEGILRGAATCFYAFVGFDCIATTGEEAQNPQRSIPMGIVISMFICFLAYF GVSSALTLMMPYYKLHPESPLPEAFSYVGWEPARYLVAIGSLCALSTSLLGSMFPMPRVM YSMAEDGLLFRVLAKVHSVTHIPIVATLVSGVIAAFMAFLFELTDLVDLMSIGTLLAHSL VSICVLILRYQPDQEMKSVEEEMELQEETLEAEKLTVQALFCPVNSIPTLLSGRVVYVCS SLLAVLLTVLCLVLTWWTTPLRSGDPVWVTVVVLILGLILAISGVIWRQPQNRTPLHFKV PAVPLLPLVSIFVNVYLMMQMTAGTWARFGIWMLIGFAIYFGYGIQHSMKEVKNHQTLPK TRAQTIDLDLTTSCVHSI >ENSMUSP00000117401.1 pep:known chromosome:GRCm38:11:82876434:82890589:-1 gene:ENSMUSG00000018841.17 transcript:ENSMUST00000146053.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad51d description:RAD51 homolog D [Source:MGI Symbol;Acc:MGI:1261809] MGMLRAGLCPGLTEETVQLLRGRKIKTVADLAAADLEEVAQKCGLSYKPGQTT >ENSMUSP00000018985.8 pep:known chromosome:GRCm38:11:82876699:82890614:-1 gene:ENSMUSG00000018841.17 transcript:ENSMUST00000018985.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51d description:RAD51 homolog D [Source:MGI Symbol;Acc:MGI:1261809] MGMLRAGLCPGLTEETVQLLRGRKIKTVADLAAADLEEVAQKCGLSYKALVALRRVLLAQ FSAFPLNGADLYEELKTSTAILSTGIGSLDKLLDAGLYTGEVTEIVGGPGSGKTQVCLCV AANVAHSLQQNVLYVDSNGGMTASRLLQLLQARTQDEEKQASALQRIQVVRSFDIFRMLD MLQDLRGTIAQQEATSSGAVKVVIVDSVTAVVAPLLGGQQREGLALMMQLARELKILARD LGVAVVVTNHLTRDWDGRRFKPALGRSWSFVPSTRILLDVTEGAGTLGSSQRTVCLTKSP RQPTGLQEMIDIGTLGTEEQSPELPGKQT >ENSMUSP00000090520.6 pep:known chromosome:GRCm38:11:82878737:82890589:-1 gene:ENSMUSG00000018841.17 transcript:ENSMUST00000092844.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51d description:RAD51 homolog D [Source:MGI Symbol;Acc:MGI:1261809] MGMLRAGLCPGLTEETVQLLRGRKIKTVADLAAADLEEVAQKCGLSYKALVALRRVLLAQ FSAFPLNGADLYEELKTSTAILSTGIGSLDKLLDAGLYTGEVTEIVGGPGSGKTQASALQ RIQVVRSFDIFRMLDMLQDLRGTIAQQEATSSGAVKVVIVDSVTAVVAPLLGGQQREGLA LMMQLARELKILARDLGVAVVVTNHLTRDWDGRRFKPALGRSWSFVPSTRILLDVTEGAG TLGSSQRTVCLTKSPRQPTGLQEMIDIGTLGTEEQSPELPGKQT >ENSMUSP00000122477.1 pep:known chromosome:GRCm38:11:82878737:82890589:-1 gene:ENSMUSG00000018841.17 transcript:ENSMUST00000135963.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad51d description:RAD51 homolog D [Source:MGI Symbol;Acc:MGI:1261809] MGMLRAGLCPGLTEETVQLLRGRKIKTVADLAAADLEEVAQKCGLSYKALVALRRVLLAQ FSAFPLNGADLYEELKTSTAILSTGIGSLDKLLDAGLYTGEVTEIVGGPGSGKTQVCLCV AANVAHSLQQNVLYVDSNGGMTASRLLQLLQARTQDEEKQASALQRIQVVRSFDIFRMLD MLQDLRGTIAQQEATSSGAVKVVIVDSVTAVVAPLLGGQQREGDQPLDSRLGW >ENSMUSP00000021033.9 pep:known chromosome:GRCm38:11:82878737:82890589:-1 gene:ENSMUSG00000018841.17 transcript:ENSMUST00000021033.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51d description:RAD51 homolog D [Source:MGI Symbol;Acc:MGI:1261809] MGMLRAGLCPGLTEETVQLLRGRKIKTVADLAAADLEEVAQKCGLSYKALVALRRVLLAQ FSAFPLNGADLYEELKTSTAILSTGIGSLDKLLDAGLYTGEVTEIVGGPGSGKTQVCLCV AANVAHSLQQNVLYVDSNGGMTASRLLQLLQARTQDEEKQASALQRIQVVRSFDIFRMLD MLQDLRGTIAQQVTNHLTRDWDGRRFKPALGRSWSFVPSTRILLDVTEGAGTLGSSQRTV CLTKSPRQPTGLQEMIDIGTLGTEEQSPELPGKQT >ENSMUSP00000098284.3 pep:known chromosome:GRCm38:11:82878737:82890589:-1 gene:ENSMUSG00000018841.17 transcript:ENSMUST00000100718.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad51d description:RAD51 homolog D [Source:MGI Symbol;Acc:MGI:1261809] MGMLRAGLCPGLTEETVQLLRGRKIKTVADLAAADLEEVAQKCGLSYKALVALRRVLLAQ FSAFPLNGADLYEELKTSTAILSTGIGRFVCEPGQAWTNYLMLASILGR >ENSMUSP00000064155.5 pep:known chromosome:GRCm38:2:52072842:52122383:1 gene:ENSMUSG00000036202.15 transcript:ENSMUST00000069794.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rif1 description:Rap1 interacting factor 1 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1098622] MTAPGRSPLEPLLETWEDPSVPPGEQTDAYLTLTSRMTGEEGKEVIAEIEKNLSRLYTVL KAHISSQNSELSSAALQALGFCLYNPRITSGLSEANIQELLLTLNGIIKSSDKNVCTRAL WVISKQTFPAELVSKMVSSIIDSLEVILSKGEIHSAVVDFEALNVIISHWFLHCRLIEQA PVQMGEESVRWAKLVIPLVVHSAQKVHLRGATALEMGMPLLLQKQQEIALITEHLMTTKL ISELQKLFKNKNETYVLKLWPLFVKLLGKTLHRSGSFINSLLQLEELGFRSGTPMIKKIA FIAWKSLIDNFALNPDILCSAKRLKLLMQPLSSIHVRTETLALTKLEVWWYLLMRLGPQL PANFEQVCVPLIQSTISVDSIPSPQGNSSRGSASPGLSPLTPGHKGASPYGSPRGNLSSN TGGMAAIPSIQLLGLEMMLHFLLGPEVLSFAKQHKIVLSLEPLEHPLISSPSFFSKYAHT LITAVHDSFVSVGKDASDAVVSAIWKELISLVKSVTEAGNRKEKSGSEVLTLLLKSLENI VKSEVFPVSKTLVLMEITVKGLPPKVLGSPAYQVANMDILNGTPALFLIQLIFNNNLLEC GVEDEKFFLNLETLVGCVLSGPTSPLAFSDSVLTVINQNAKQLVNKEHLWRMWSMIVSPL TDVIHQTNEVNQGDALEHNFSAIYGALTLPINHIFSAQTFPTGTMKALLKTWSELYRAFT RCASLVATAEENLCCEELSSKIMCSLEDEVLSDLLFLDRISHIIIVMVDCIDFSPYNKKY QPKIKSPQRSSDWSRKKKEPLGKLASLFKLIVKVIDTFHTLSLKETFSDTLLAIGNSIIS MLSNVFGHISLPSMIREIFATFTRPLALLYENSKLDEAPKVYTSLNNKLEKLLGEIVACL QFSYLGAYDSELLEHLSPLLCVIFLHKNKQIRKQSALLWNATFAKATALVYPEELKPILR QAKQKILLLLPGLENVEMMDESSEPYSESTENSQLNVKISGMERKSSGKRDSILAHTKDK KKKVKLSAKLKLESSSPKIKSGKLLEEEKSTDFVFIPPEGKETKARVLTEHQKEVLKTKR CDIPALYNNLDASQDTLFSAQFSQEESMESLTLTEKPKEDAKIIKEEQMESTIFIHQDAP ENCGIDEHSENASLPNCGGSVAETNPETLITGFDARKEVLISSKILSAESSSSTETSVVS SSSVSNATFSGTPPQPTSRRQTFITLEKFDGSETRPFSPSPLNNISSTVTVRNNQDNTTN TDMPPKARKREVTNSKSDSENLANAGKKSSRRWSKAEQSVTKKSKPSLTSEQEEHSSENN SPDLLSPTEHVSENDDHPSEATLEHKDGDPKPAVENASLEDLTTEEKNVGINMESKESTA SVVARTEQIVNEDSQAAALAPNPKTLRRSSRRRSEAVDSCSDSQERESGQQKKERRKEEE KIISKSPLRIKDDKLPTQKLTDESPIQENLTEKGNTLPERTSGEPSVNAEIDQNRRKPDL ENVSSEGGGGTLDNLDKSSEKPLRGRTRYQTRRASQGLISAVENSESDSSEAKEEVSRKK RSGKWKNRSSDSVDIEEQEEKKAEEEVMKTANQTLDGQAVPDVDVNAAAQVCEKSTNNNR VILQDSAGPADSLQAPPKGEEKSKINKCVDSSFVSLPVPESNLRTRNASKRLLYKQDNDS NVRVSDSSLSPEKFTQVECQHKRSRRVRRSKSCDCCGEKSQSQEKSFIGLKNTESYAIKS VEKKKTDLQVPETAPETREARDHAETKLAGEEPLVNFHVGLKEENCTTGDSVKSEAELQE ASLPPEIVTVKEKTYDTDASEAVSEIQGPCSENHSPAEDPGLSECKDISQKQLSENGELD ISDVGKACKVIAGSSPEGVETMELNVRNDAFVAADSEKSTQMDVSVDVATEEDNKKDECE AVTTEVNVEGVATEDFNSGMDLSDTPIPVSKDVETEHAASGEIEGESNESDSGSCEEMNK EMGSHKAQMSTEIDSARVKETDILASASKSEEALIGRLDVNTQSFVSDIEMSSGERTVNC KTETSIELNKLDEAKLSGNEATVGNDTLQEVCFTSEKVEKLPQCLLVQVASELGAESNTT SPEKLELDSFGSVNESPSGMQQARCVWSPLASPSTSILKRGLKRSQEDEISPVNKIRRVS FADPIYQAGLADDIDRRCSVVRSHSSNSSPIIKSVKTSPTSHSKHNTTSAKGFLSPGSQS SKFKSPKKCLITEMAQESMLSPTESVYPALVNCAASVDIILPQITSNMWARGLGQLIRAK NIKTIGDLSTLTASEIKTLPIRSPKVFNVKKALRVYHEQQMKSRGLEEIPIFDISEKAVN GVESRTVSTDEERFASDLIEPVTLDTPLSKNLVAQISALALQLDSEDLYSYTGSQLFEMH EKLGTMANSIIRNLQSRWRSPAHENS >ENSMUSP00000108313.2 pep:known chromosome:GRCm38:2:52072837:52122381:1 gene:ENSMUSG00000036202.15 transcript:ENSMUST00000112693.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rif1 description:Rap1 interacting factor 1 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1098622] MTAPGRSPLEPLLETWEDPSVPPGEQTDAYLTLTSRMTGEEGKEVIAEIEKNLSRLYTVL KAHISSQNSELSSAALQALGFCLYNPRITSGLSEANIQELLLTLNGIIKSSDKNVCTRAL WVISKQTFPAELVSKMVSSIIDSLEVILSKGEIHSAVVDFEALNVIISHWFLHCRLIEQA PVQMGEESVRWAKLVIPLVVHSAQKVHLRGATALEMGMPLLLQKQQEIALITEHLMTTKL ISELQKLFKNKNETYVLKLWPLFVKLLGKTLHRSGSFINSLLQLEELGFRSGTPMIKKIA FIAWKSLIDNFALNPDILCSAKRLKLLMQPLSSIHVRTETLALTKLEVWWYLLMRLGPQL PANFEQVCVPLIQSTISVDSIPSPQGNSSRGSASPGLSPLTPGHKGASPYGSPRGNLSSN TGGMAAIPSIQLLGLEMMLHFLLGPEVLSFAKQHKIVLSLEPLEHPLISSPSFFSKYAHT LITAVHDSFVSVGKDASDAVVSAIWKELISLVKSVTEAGNRKEKSGSEVLTLLLKSLENI VKSEVFPVSKTLVLMEITVKGLPPKVLGSPAYQVANMDILNGTPALFLIQLIFNNNLLEC GVEDEKFFLNLETLVGCVLSGPTSPLAFSDSVLTVINQNAKQLVNKEHLWRMWSMIVSPL TDVIHQTNEVNQGDALEHNFSAIYGALTLPINHIFSAQTFPTGTMKALLKTWSELYRAFT RCASLVATAEENLCCEELSSKIMCSLEDEVLSDLLFLDRISHIIIVMVDCIDFSPYNKKY QPKIKSPQRSSDWSRKKKEPLGKLASLFKLIVKVIDTFHTLSLKETFSDTLLAIGNSIIS MLSNVFGHISLPSMIREIFATFTRPLALLYENSKLDEAPKVYTSLNNKLEKLLGEIVACL QFSYLGAYDSELLEHLSPLLCVIFLHKNKQIRKQSALLWNATFAKATALVYPEELKPILR QAKQKILLLLPGLENVEMMDESSEPYSESTENSQLNVKISGMERKSSGKRDSILAHTKDK KKKVKLSAKLKLESSSPKIKSGKLLEEEKSTDFVFIPPEGKETKARVLTEHQKEVLKTKR CDIPALYNNLDASQDTLFSAQFSQEESMESLTLTEKPKEDAKIIKEEQMESTIFIHQDAP ENCGIDEHSENASLPNCGGSVAETNPETLITGFDARKEVLISSKILSAESSSSTETSVVS SSSVSNATFSGTPPQPTSRRQTFITLEKFDGSETRPFSPSPLNNISSTVTVRNNQDNTTN TDMPPKARKREVTNSKSDSENLANAGKKSSRRWSKAEQSVTKKSKPSLTSEQEEHSSENN SPDLLSPTEHVSENDDHPSEATLEHKDGDPKPAVENASLEDLTTEEKNVGINMESKESTA SVVARTEQIVNEDSQAAALAPNPKTLRRSSRRRSEAVDSCSDSQERESGQQKKERRKEEE KIISKSPLRIKDDKLPTQKLTDESPIQENLTEKGNTLPERTSGEPSVNAEIDQNRRKPDL ENVSSEGGGGTLDNLDKSSEKPLRGRTRYQTRRASQGLISAVENSESDSSEAKEEVSRKK RSGKWKNRSSDSVDIEEQEEKKAEEEVMKTANQTLDGQAVPDVDVNAAAQVCEKSTNNNR VILQDSAGPADSLQAPPKGEEKSKINKCVDSSFVSLPVPESNLRTRNASKRLLYKQDNDS NVRVSDSSLSPEKFTQVECQHKRSRRVRRSKSCDCCGEKSQSQEKSFIGLKNTESYAIKS VEKKKTDLQVPETAPETREARDHAETKLAGEEPLVNFHVGLKEENCTTGDSVKSEAELQE ASLPPEIVTVKEKTYDTDASEAVSEIQGPCSENHSPAEDPGLSECKDISQKQLSENGELD ISDVGKACKVIAGSSPEGVETMELNVRNDAFVAADSEKSTQMDVSVDVATEEDNKKDECE AVTTEVNVEGVATEDFNSGMDLSDTPIPVSKDVETEHAASGEIEGESNESDSGSCEEMNK EMGSHKAQMSTEIDSARVKETDILASASKSEEALIGRLDVNTQSFVSDIEMSSGERTVNC KTETSIELNKLDEAKLSGNEATVGNDTLQEVCFTSEKVEKLPQCLLVQVASELGAESNTT SPEKLELDSFGSVNESPSGMQQARCVWSPLASPSTSILKRGLKRSQEDEISPVNKIRRVS FADPIYQAGLADDIDRRCSVVRSHSSNSSPIIKSVKTSPTSHSKHNTTSAKGFLSPGSQS SKFKSPKKCLITEMAQESMLSPTESVYPALVNCAASVDIILPQITSNMWARGLGQLIRAK NIKTIGDLSTLTASEIKTLPIRSPKVFNVKKALRVYHEQQMKSRGLEEIPIFDISEKAVN GVESRTVSTDEERFASDLIEPVTLDTPLSKNLVAQISALALQLDSEDLYSYTGSQLFEMH EKLGTMANSIIRNLQSRWRSPAHENS >ENSMUSP00000033575.5 pep:known chromosome:GRCm38:X:104854952:104857267:-1 gene:ENSMUSG00000031224.6 transcript:ENSMUST00000033575.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magee2 description:melanoma antigen, family E, 2 [Source:MGI Symbol;Acc:MGI:2148316] MSLVSQNARQSSAETTADYSNSQGEMQATNASGPATSMLALEAPQGPEIPNDPQGASASQ AVRDPSDIEVLIDEQSRRLGALRVHDPLEERSVALVNFMRMKSQNEGSIRQSEMLEFLKE YSDQFPEILRRASAHLDRVFGLNLRVLDPQMDTYNLISKPGPQTTERLAETLDIPKAGLL ALVLGHILLNGNRAREASIWDLLLKVDVIDGSQRINNQFGNTRNLLTIDFVHMRFLEYWP VYGTNPLEFEFLWGSRAHSEITKMEALKFVAEAHDEEPWSWPEEYNKALEADKAKERSQA AGLEFWSEDTMNDKANELVQLAIGVTEELLPIHQDELFANTGKEFQDVFPNILSRATLIL DLFYGFSLIEVDTSEHIYLLVPQPESEEEQVMLESLGRPTQEYLMPILGLIFLMGNRVKE AKIWNLLQRFSVDVGRKHAITCKLMRQRYLECRPLSYSNPVEYELLWGPRAHHEITKMKA LEYMARFYGKQPQDWPEQYREAVEDEEARARSEATAMFFFGSI >ENSMUSP00000120907.1 pep:known chromosome:GRCm38:11:61303921:61342850:-1 gene:ENSMUSG00000069855.9 transcript:ENSMUST00000134423.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc47a2 description:solute carrier family 47, member 2 [Source:MGI Symbol;Acc:MGI:3588190] MEPAEDSLGATIQPPELVRVPRGRSLRILLGLRGALSPDVRREAAALVALAGPVFLAQLM IFLISIVSSIFCGHLGKVELDAVTLAVSVVNVTGISVGTGLASACDTLMSQSFGGKNLKR VGVILQRGILILLLCCFPCWAIFLNTERLLLLLRQDPDVARLAQVYVMICIPALPAAFLF QLQTRYLQSQGIIMPQVIVGIAANVVNVGMNAFLLYALDLGVVGSAWANTTSQFFLSALL FLYVWWKRIHIHTWGGWTRECFQEWSSYTRLAIPSMFMVCIEWWTFEIGTFLAGLVNVTE LGAQAVIYELASVAYMVPFGFGVAASVRVGNALGAGNADQARCSCTTVLLCAGVCALLVG ILLAALKDVVAYIFTNDKDIISLVSQVMPIFAPFHLFDALAGTCGGVLRGTGKQKIGAVL NTIGYYGFGFPIGVSLMFAAKLGIIGLWAGLIVCVSFQAFSYLIYILRTNWSRVAEQ >ENSMUSP00000090710.2 pep:known chromosome:GRCm38:11:61301631:61342860:-1 gene:ENSMUSG00000069855.9 transcript:ENSMUST00000093029.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc47a2 description:solute carrier family 47, member 2 [Source:MGI Symbol;Acc:MGI:3588190] MEPAEDSLGATIQPPELVRVPRGRSLRILLGLRGALSPDVRREAAALVALAGPVFLAQLM IFLISIVSSIFCGHLGKVELDAVTLAVSVVNVTGISVGTGLASACDTLMSQSFGGKNLKR VGVILQRGILILLLCCFPCWAIFLNTERLLLLLRQDPDVARLAQVYVMICIPALPAAFLF QLQTRYLQSQGIIMPQVIVGIAANVVNVGMNAFLLYALDLGVVGSAWANTTSQFFLSALL FLYVWWKRIHIHTWGGWTRECFQEWSSYTRLAIPSMFMVCIEWWTFEIGTFLAGLVNVTE LGAQAVIYELASVAYMVPFGFGVAASVRVGNALGAGNADQARCSCTTVLLCAGVCALLVG ILLAALKDVVAYIFTNDKDIISLVSQVMPIFAPFHLFDALAGTCGGVLRGTGKQKIGAVL NTIGYYGFGFPIGVSLMFAAKLGIIGLWAGLIVCVSFQAFSYLIYILRTNWSRVAEQAQV RAGLKSTKELIPTPADLPILEREVMDGVILPDIIRPESQTGQLVVEENSQCAVPTVGEVL TGRQLVFYRGMALTVSVAVLIAGIVVRVFNDRG >ENSMUSP00000126204.1 pep:known chromosome:GRCm38:14:42594502:42599882:-1 gene:ENSMUSG00000091140.1 transcript:ENSMUST00000168320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17124 description:predicted gene 17124 [Source:MGI Symbol;Acc:MGI:4937951] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSAGAASP QAPTINDQEKRHERLEKLKRELQNVKNARDELQGILANYTNKDLNDRINFETFMLQMQHD QVMTDLKRMPQDISEALYKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNTETKILCKEGSQKIKDHYTKQQQD >ENSMUSP00000112835.1 pep:known chromosome:GRCm38:X:93968659:93975470:1 gene:ENSMUSG00000081044.8 transcript:ENSMUST00000121565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU015836 description:expressed sequence AU015836 [Source:MGI Symbol;Acc:MGI:2147954] MAGKWHLIFRPGEEDLTTWFPVHSLLFQECCFAIDCGSGQLTHFGPPFHISTWLFLRLMK MLEGKTTQAVSSTFNLLWKASKQEMHTLIKKMVNTFYRTDFL >ENSMUSP00000121062.1 pep:known chromosome:GRCm38:X:93968674:93975470:1 gene:ENSMUSG00000081044.8 transcript:ENSMUST00000149545.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:AU015836 description:expressed sequence AU015836 [Source:MGI Symbol;Acc:MGI:2147954] MAGKWHLIFRPGEEDLTTWFPVHSLLFQECCFAIDCGSGQLTHFGPPFHISTWLFLRLMK MLEGKTTQAVSSTFNLLWKASKQEMHTLIKKMVNTFYRTDFL >ENSMUSP00000112921.1 pep:known chromosome:GRCm38:X:93969796:93975071:1 gene:ENSMUSG00000081044.8 transcript:ENSMUST00000120624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU015836 description:expressed sequence AU015836 [Source:MGI Symbol;Acc:MGI:2147954] MAGKWHLIFRPGEEDLTTWFPVHSLLFQECCFAIDCGSGQLTHFGPPFHISTWLFLRLMK MLEGKTTQAVSSTFNLLWKASKQEMHTLIKKMTCPLLRASGVYYSSRLQWSK >ENSMUSP00000130711.1 pep:known chromosome:GRCm38:X:93968682:93969658:1 gene:ENSMUSG00000081044.8 transcript:ENSMUST00000163458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU015836 description:expressed sequence AU015836 [Source:MGI Symbol;Acc:MGI:2147954] MAYSPQEEKDKVVFVTKEDHESPSNAELVVDEPNDPHAEYGLILPSGSINWNCPCLGGMA SGPCGEHFKSAFSCFHHSTEEVKGSDCASEYQALKECMQKYPDIYPQEENESESEDDNSD IYQEALQDEEEEEEEEDDDVDDYYYEGLENAEVEEEEEEEDYYHENNYYNNNDYYDDEDY YQYEDNYYKDEDDEIYYEADYQEEGEDEEDEEDEDAFYEANYFGEEDEEEPAEPAEPAEP AKPKEEADAAEASSGGKLQYFSA >ENSMUSP00000140393.2 pep:known chromosome:GRCm38:12:109032187:109068217:-1 gene:ENSMUSG00000040867.11 transcript:ENSMUST00000190647.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Begain description:brain-enriched guanylate kinase-associated [Source:MGI Symbol;Acc:MGI:3044626] MWTGGRRPGRLRRAASAADMEKLSALQEQKGELRKRLSYTTHKLEKLETEFDSTRHYLEI ELRRAQEELDKVTEKLRRIQSNYMALQRINQELEDKLYRMGQHYEEEKRAMSHEIVALNS HLLEAKVTIDKLSEDNELYRKDCNLAAQLLQCSQTYGRVHKVSELPSDFQQRVSLHMEKH GCSLPSALCHPAYADSVPTCVIAKVLEKPDPGSLSSRMSDASARDLGYRDGVEKSGPRPP YKGDIYCSDPALYCPDEREHARRPSVDTPVTDVGFLRAQNSTDSAAEEEEEAEAAAFPEA YRREAYQGYAASLPTSSSYSSFSATSEEKEHAQAGTLTASQQAIYLSSRDEFFNRKPSAT YGSGPRFAKAASTLGSPLEAQVAPGFARTVSPYPAEPYRYPASPGPQQALMPPNLWSLRA KPSGNRLAGEDIRGQWRPVSVEDVGAYSYQAGAAAGRAASPCNYSERYYGGGGGGGAAGG GSPGDKAEGRASPLYATYKADSFSEGDDLSQGHLAEPCFLRAGGDLSLSPSRSADALAGY AASDGDGDRLRVQLCGAGSSPEPEHGSRESLEPSSMEASPEMHPPTRLSPQQAFPRTGGS GLSRKDSLTKAQLYGTLLN >ENSMUSP00000030361.4 pep:known chromosome:GRCm38:4:107178399:107200996:1 gene:ENSMUSG00000028618.11 transcript:ENSMUST00000030361.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem59 description:transmembrane protein 59 [Source:MGI Symbol;Acc:MGI:1929278] MAAPKGKLWVQAQLGLPPLLLLTMALAGGSGTAAAEAFDSVLGDTASCHRACQLTYPLHT YPKEEELYACQRGCRLFSICQFVDDGLDLNRTKLECESACTEAYSQPDEQYACHLGCQDQ LPFAELRQEQLMSLMPRMHLLFPLTLVRSFWSDMMDSAQSFITSSWTFYLQADDGKIVIF QSKPEIQYAPQLEQEPTNLRESSLSKMSYLQMRNSQAHRNYLEEEESDGFLRCLSLNSGW ILTTTLVLSVMVLLWICCAAVATAVEQYVPPEKLSIYGDLEFMNEQKLSRYPAPSLVIVR SQTEEHEEAGPLPTKVNLAHSEI >ENSMUSP00000120288.1 pep:known chromosome:GRCm38:4:107178527:107190687:1 gene:ENSMUSG00000028618.11 transcript:ENSMUST00000128123.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem59 description:transmembrane protein 59 [Source:MGI Symbol;Acc:MGI:1929278] MAAPKGKLWEEELYACQRGCRLFSICQFVDDGLDLNRTKLECESACTEAYSQPDEQYACH LGCQDQLPFAELRQEQLMSLMPRMHLLFPLTLVRSFWSDMMDSAQSFITSSWTFYLQADD GKIVIFQ >ENSMUSP00000102364.1 pep:known chromosome:GRCm38:4:107178527:107200698:1 gene:ENSMUSG00000028618.11 transcript:ENSMUST00000106753.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem59 description:transmembrane protein 59 [Source:MGI Symbol;Acc:MGI:1929278] MAAPKGKLWVQAQLGLPPLLLLTMALAGGSGTAAAEAFDSVLGDTASCHRACQLTYPLHT YPKLMSLMPRMHLLFPLTLVRSFWSDMMDSAQSFITSSWTFYLQADDGKIVIFQSKPEIQ YAPQLEQEPTNLRESSLSKMSYLQMRNSQAHRNYLEEEESDGFLRCLSLNSGWILTTTLV LSVMVLLWICCAAVATAVEQYVPPEKLSIYGDLEFMNEQKLSRYPAPSLVIVRSQTEEHE EAGPLPTKVNLAHSEI >ENSMUSP00000119701.1 pep:known chromosome:GRCm38:4:107178726:107200506:1 gene:ENSMUSG00000028618.11 transcript:ENSMUST00000154007.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem59 description:transmembrane protein 59 [Source:MGI Symbol;Acc:MGI:1929278] MAAPKGKLWVQAQLGLPPLLLLTMALAGGSGTAAAEAFDSVLGDTASCHRACQLTYPLHT YPKRAQKHIPNLMSSMLVILAARISCHLLN >ENSMUSP00000073175.6 pep:known chromosome:GRCm38:11:68901583:68904534:1 gene:ENSMUSG00000060938.14 transcript:ENSMUST00000073471.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl26 description:ribosomal protein L26 [Source:MGI Symbol;Acc:MGI:106022] MKFNPFVTSDRSKNRKRHFNAPSHIRRKIMSSPLSKELRQKYNVRSMPIRKDDEVQVVRG HYKGQQIGKVVQVYRKKYVIYIERVQREKANGTTVHVGIHPSKVVITRLKLDKDRKKILE RKAKSRQVGKEKGKYKEETIEKMQE >ENSMUSP00000098576.2 pep:known chromosome:GRCm38:11:68901610:68904510:1 gene:ENSMUSG00000060938.14 transcript:ENSMUST00000101014.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl26 description:ribosomal protein L26 [Source:MGI Symbol;Acc:MGI:106022] MKFNPFVTSDRSKNRKRHFNAPSHIRRKIMSSPLSKELRQKYNVRSMPIRKDDEVVRGHY KGQQIGKVVQVYRKKYVIYIERVQREKANGTTVHVGIHPSKVVITRLKLDKDRKKILERK AKSRQVGKEKGKYKEETIEKMQE >ENSMUSP00000119329.1 pep:known chromosome:GRCm38:11:68901627:68903319:1 gene:ENSMUSG00000060938.14 transcript:ENSMUST00000128952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl26 description:ribosomal protein L26 [Source:MGI Symbol;Acc:MGI:106022] MKFNPFVTSDRSKNRKRHFNAPSHIRRKIMSSPLSKELRQKYNVRSMPIRKDDEVQVVRG HYKGQQIGKVVQVYRKKYVIYIERVQREKANGTTVHVGIHPSK >ENSMUSP00000129072.1 pep:known chromosome:GRCm38:11:68901635:68904533:1 gene:ENSMUSG00000060938.14 transcript:ENSMUST00000167436.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl26 description:ribosomal protein L26 [Source:MGI Symbol;Acc:MGI:106022] MKFNPFVTSDRSKNRKRHFNAPSHIRRKIMSSPLSKELRQKYNVRSMPIRKDDEVQVVRG HYKGQQIGKVVQVYRKKYVIYIERVQREKANGTTVHVGIHPSKVVITRLKLDKDRKKILE RKAKSRQVGKEKGKYKEETIEKMQE >ENSMUSP00000141717.1 pep:known chromosome:GRCm38:1:157458577:157488628:1 gene:ENSMUSG00000033488.11 transcript:ENSMUST00000193791.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC026585 description:cDNA sequence BC026585 [Source:MGI Symbol;Acc:MGI:2448516] MAARLCTRCLPPVWLCRQAWQGQGRHYRAALCTELKQPLTIQEVAPRPVGPQEVRVDVHF CGVNFADILACRGQYQEKPPLPFTPGMEFSGAVLETGTDVSTVKKGDRVIGVSSFHAMAE QCITDQKTLWRIPENVSLQDAAVLPVSYGTAILAVDHRARIQPGETVLVTAAAGATGLAV IDVATNVFRAKINDAFLHVMQRKSTGKVLLSLK >ENSMUSP00000044945.4 pep:known chromosome:GRCm38:1:157458580:157489060:1 gene:ENSMUSG00000033488.11 transcript:ENSMUST00000046743.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC026585 description:cDNA sequence BC026585 [Source:MGI Symbol;Acc:MGI:2448516] MAARLCTRCLPPVWLCRQAWQGQGRHYRAALCTELKQPLTIQEVAPRPVGPQEVRVDVHF CGVNFADILACRGQYQEKPPLPFTPGMEFSGAVLETGTDVSTVKKGDRVIGVSSFHAMAE QCITDQKTLWRIPENVSLQDAAVLPVSYGTAILAVDHRARIQPGETVLVTAAAGATGLAV IDVATNVFRAKVIAATGSDEKCKLAVQRGAQFSVNYSQGSLRDAVKKLAGSGGVNVAIDM VGGDVFLESLRSLAWEGRIVVLGFAGGNIASVPSNLLLLKNISAMGLYWGRYQHQDFAVF SKSMSTAMQYCQQGLIHPHTGAVFKLEKINDAFLHVMQRKSTGKVLLSLK >ENSMUSP00000113664.1 pep:known chromosome:GRCm38:1:157458580:157489060:1 gene:ENSMUSG00000033488.11 transcript:ENSMUST00000119891.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC026585 description:cDNA sequence BC026585 [Source:MGI Symbol;Acc:MGI:2448516] MAARLCTRCLPPVWLCRQAWQGQGRHYRAALCTELKQPLTIQEVAPRPVGPQEVRVDVHF CGVNFADILACRGQYQEKPPLPFTPGMEFSGAVLETGTDVSTVKKGDRVIGVSSFHAMAE QCITDQKTLWRIPENVSLQDAAVLPVSYGTAILAVDHRARIQPGSTMPSFM >ENSMUSP00000141761.1 pep:known chromosome:GRCm38:1:157461952:157470686:1 gene:ENSMUSG00000033488.11 transcript:ENSMUST00000195702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC026585 description:cDNA sequence BC026585 [Source:MGI Symbol;Acc:MGI:2448516] XSELMFISVVLTLLIFWPVVVSIRKSLLFPLHRGDRVIGVSSFHAMAEQCITDQKTLWRI PENVSLQDAAVLPVSYGTAILAVDHRARIQPGETVLVTAAAGATGLAVIDVATNVFRAKV IAATGSDEKCKLAVQRGAQFSV >ENSMUSP00000142170.1 pep:known chromosome:GRCm38:1:157472268:157492638:1 gene:ENSMUSG00000033488.11 transcript:ENSMUST00000194220.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC026585 description:cDNA sequence BC026585 [Source:MGI Symbol;Acc:MGI:2448516] XKNISAMGLYWGRYQHQDFAVFSKSMSTAMQYCQQGLIHPHTGAVFKLEKINDAFLHVMQ RKSTGKVLLSLK >ENSMUSP00000098262.3 pep:known chromosome:GRCm38:14:42636467:42641917:-1 gene:ENSMUSG00000095113.1 transcript:ENSMUST00000100697.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3633 description:predicted gene 3633 [Source:MGI Symbol;Acc:MGI:3781809] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRLAAHHGGKGQETTGHGEGSAGEASP QAPTINEQEKRQERLQKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKCMPQDISEALSKCKQLTKENQFYCFRNSHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKILCNEGSQKIKDHYTKQQQV >ENSMUSP00000126330.1 pep:known chromosome:GRCm38:14:42638442:42639329:-1 gene:ENSMUSG00000095113.1 transcript:ENSMUST00000163102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3633 description:predicted gene 3633 [Source:MGI Symbol;Acc:MGI:3781809] HRINFETFMLEMQHDQVMTDLKCMPQDISEALSKCKQLTKENQFYCFRNSHLLIESNLIQ HKVRMLRKENRQLLREQ >ENSMUSP00000064132.4 pep:known chromosome:GRCm38:7:24333082:24333936:-1 gene:ENSMUSG00000055826.5 transcript:ENSMUST00000069562.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tescl description:tescalcin-like [Source:MGI Symbol;Acc:MGI:1916551] MGASLSSKEEWERESRTDLWWAHIQEVLNKCDFSWEQIKQLHQRFRLLSGDQPTLQPESF DNILDLEFNPIRSRIVRAFFDNRNLGKGTSGLAEEITFQDFLTIISYFRPLRPSLNKEEA EQNRKDKMRFLFNMYDQDGDGIITLQEYRRVVESLLSAHPQVERDTVRSVANAIAQGALK EAARASDQPLMAGQQYEGITFEDFVKTWKSLELEVKMQVSFLNLEATVLCQ >ENSMUSP00000143654.1 pep:known chromosome:GRCm38:3:159599175:159625321:1 gene:ENSMUSG00000028174.12 transcript:ENSMUST00000196999.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpe65 description:retinal pigment epithelium 65 [Source:MGI Symbol;Acc:MGI:98001] MSIQIEHPAGGYKKLFETVEELSSPLTAHVTGRIPLWLTGSLLRCGPGLFEVGSEPFYHL FDGQALLHKFDFKEGHVTYHRRFIRTDAYVRAMTEKRIVITEFGTCAFPDPCKNIFSRFF SYFKGVEVTDNALVNIYPVGEDYYACTETNFITKINPETLETIKQVDLCNYISVNGATAH PHIESDGTVYNIGNCFGKNFTVAYNIIKIPPLKADKEDPINKSEVVVQFPCSDRFKPSYV HSFGLTPNYIVFVETPVKINLFKFLSSWSLWGANYMDCFESNESMGVWLHVADKKRRKYF NNKYRTSPFNLFHHINTYEDNGFLIVDLCCWKGFEFVYNYLYLANLRENWEEVKRNAMKA PQPEVRRYVLPLTIDKVDTGRNLVTLPHTTATATLRSDETIWLEPEVLFSGPRQAFEFPQ INYQKFGGKPYTYAYGLGLNHFVPDKLCKMNVKTKEIWMWQEPDSYPSEPIFVSQPDALE EDDGVVLSVVVSPGAGQKPAYLLVLNAKDLSEIARAEVETNIPVTFHGLFKRS >ENSMUSP00000143390.1 pep:known chromosome:GRCm38:3:159599218:159606419:1 gene:ENSMUSG00000028174.12 transcript:ENSMUST00000197771.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpe65 description:retinal pigment epithelium 65 [Source:MGI Symbol;Acc:MGI:98001] MSIQIEHPAGGYKKLFETVEELSSPLTAHVTGRIPLWLTGSLLRCGPGLFEVGSEPFYHL FDGQALLHKFDFKEGHVTYHRRGSQGRKESEHGTQTMKEYCLLPNDSSALMLMFEQ >ENSMUSP00000029824.8 pep:known chromosome:GRCm38:3:159599233:159624799:1 gene:ENSMUSG00000028174.12 transcript:ENSMUST00000029824.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpe65 description:retinal pigment epithelium 65 [Source:MGI Symbol;Acc:MGI:98001] MSIQIEHPAGGYKKLFETVEELSSPLTAHVTGRIPLWLTGSLLRCGPGLFEVGSEPFYHL FDGQALLHKFDFKEGHVTYHRRFIRTDAYVRAMTEKRIVITEFGTCAFPDPCKNIFSRFF SYFKGVEVTDNALVNIYPVGEDYYACTETNFITKINPETLETIKQVDLCNYISVNGATAH PHIESDGTVYNIGNCFGKNFTVAYNIIKIPPLKADKEDPINKSEVVVQFPCSDRFKPSYV HSFGLTPNYIVFVETPVKINLFKFLSSWSLWGANYMDCFESNESMGVWLHVADKKRRKYF NNKYRTSPFNLFHHINTYEDNGFLIVDLCCWKGFEFVYNYLYLANLRENWEEVKRNAMKA PQPEVRRYVLPLTIDKVDTGRNLVTLPHTTATATLRSDETIWLEPEVLFSGPRQAFEFPQ INYQKFGGKPYTYAYGLGLNHFVPDKLCKMNVKTKEIWMWQEPDSYPSEPIFVSQPDALE EDDGVVLSVVVSPGAGQKPAYLLVLNAKDLSEIARAEVETNIPVTFHGLFKRS >ENSMUSP00000029188.7 pep:known chromosome:GRCm38:2:163820889:163833146:1 gene:ENSMUSG00000027656.7 transcript:ENSMUST00000029188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wisp2 description:WNT1 inducible signaling pathway protein 2 [Source:MGI Symbol;Acc:MGI:1328326] MRGNPLIHLLAISFLCILSMVYAQLCPAPCACPWTPPQCPPGVPLVLDGCGCCRVCARRL GESCDHLHVCDPSQGLVCQPGAGPSGRGAVCLFEEDDGSCEVNGRRYLDGETFKPNCRVL CRCDDGGFTCLPLCSEDVRLPSWDCPRPRRIQVPGRCCPEWVCDQAVMQPAIQPSSAQGH QLSALVTPASADGPCPNWSTAWGPCSTTCGLGIATRVSNQNRFCQLEIQRRLCLSRPCLA SRSHGSWNSAF >ENSMUSP00000021089.4 pep:known chromosome:GRCm38:11:115614178:115628145:-1 gene:ENSMUSG00000020744.13 transcript:ENSMUST00000021089.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a19 description:solute carrier family 25 (mitochondrial thiamine pyrophosphate carrier), member 19 [Source:MGI Symbol;Acc:MGI:1914533] MVGYDAKADVRSNSKLEVAVAGSVSGFVTRALISPLDVIKIRFQLQIERLCPSDPNAKYH GIFQAAKQILQEEGPRAFWKGHVPAQILSIGYGAVQFLAFEELTELLYQANLYQTHQFSA HFVCGGLSAGTATLTVHPVDVLRTRLAAQGEPKIYNNLREAIRTMYKTEGPFVFYKGLTP TVIAIFPYAGLQFSCYRSLKRAYDWLIPPDGKQTGNLKNLLCGCGSGVISKTFTYPLDLI KKRLQVGGFEHARSAFGQVRSYRGLLDLTQQVLQEEGTRGFFKGLSPSLMKAALSTGFMF FWYELFCNLFHCIRREDR >ENSMUSP00000102112.3 pep:known chromosome:GRCm38:11:115615194:115628093:-1 gene:ENSMUSG00000020744.13 transcript:ENSMUST00000106503.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a19 description:solute carrier family 25 (mitochondrial thiamine pyrophosphate carrier), member 19 [Source:MGI Symbol;Acc:MGI:1914533] MVGYDAKADVRSNSKLEVAVAGSVSGFVTRALISPLDVIKIRFQLQIERLCPSDPNAKYH GIFQAAKQILQEEGPRAFWKGHVPAQILSIGYGAVQFLAFEELTELLYQANLYQTHQFSA HFVCGGLSAGTATLTVHPVDVLRTRLAAQGEPKGTLKTCFVAVVRELSARPLHIPWTSSR SACRWAGSNMPGLPLARCGATGASWTSPSRYYRKKAPGASSRACPPA >ENSMUSP00000114566.1 pep:known chromosome:GRCm38:11:115615440:115622825:-1 gene:ENSMUSG00000020744.13 transcript:ENSMUST00000135552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a19 description:solute carrier family 25 (mitochondrial thiamine pyrophosphate carrier), member 19 [Source:MGI Symbol;Acc:MGI:1914533] MPLSHLLKSTAYQFLAFEELTELLYQANLYQTHQFSAHFVCGGLSAGTATLTVHPVDVLR TRLAAQGEPKIYNNLREAIRTMYKTEGPFVFYKGLTPTVIAIFPYAGLQFSCYRSLKRAY DWLIPPDGKQTGNLKNLLCGCGSGVISKTFTYPLDLIKKRLQVGGFEHARSAFGQVRSYR GLLDLTQQVLQEEGTRGFFKGLSPSLMKAALSTGFMFFWYELFCNL >ENSMUSP00000120390.1 pep:known chromosome:GRCm38:11:115624199:115628112:-1 gene:ENSMUSG00000020744.13 transcript:ENSMUST00000141614.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a19 description:solute carrier family 25 (mitochondrial thiamine pyrophosphate carrier), member 19 [Source:MGI Symbol;Acc:MGI:1914533] MVGYDAKADVRSNSKLEVAVAGSVSGFVTRALISPLDVIKIRFQ >ENSMUSP00000116005.1 pep:known chromosome:GRCm38:11:115624220:115627948:-1 gene:ENSMUSG00000020744.13 transcript:ENSMUST00000154623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a19 description:solute carrier family 25 (mitochondrial thiamine pyrophosphate carrier), member 19 [Source:MGI Symbol;Acc:MGI:1914533] MVGYDAKADVRSNSKLEVAVAGSVSGFVTRALISPLD >ENSMUSP00000115742.1 pep:known chromosome:GRCm38:11:115624275:115628130:-1 gene:ENSMUSG00000020744.13 transcript:ENSMUST00000155709.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a19 description:solute carrier family 25 (mitochondrial thiamine pyrophosphate carrier), member 19 [Source:MGI Symbol;Acc:MGI:1914533] MVGYDAKADVRSNSKLEVA >ENSMUSP00000137534.1 pep:known chromosome:GRCm38:11:115614184:115628295:-1 gene:ENSMUSG00000020744.13 transcript:ENSMUST00000178003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a19 description:solute carrier family 25 (mitochondrial thiamine pyrophosphate carrier), member 19 [Source:MGI Symbol;Acc:MGI:1914533] MVGYDAKADVRSNSKLEVAVAGSVSGFVTRALISPLDVIKIRFQLQIERLCPSDPNAKYH GIFQAAKQILQEEGPRAFWKGHVPAQILSIGYGAVQFLAFEELTELLYQANLYQTHQFSA HFVCGGLSAGTATLTVHPVDVLRTRLAAQGEPKIYNNLREAIRTMYKTEGPFVFYKGLTP TVIAIFPYAGLQFSCYRSLKRAYDWLIPPDGKQTGNLKNLLCGCGSGVISKTFTYPLDLI KKRLQVGGFEHARSAFGQVRSYRGLLDLTQQVLQEEGTRGFFKGLSPSLMKAALSTGFMF FWYELFCNLFHCIRREDR >ENSMUSP00000049563.3 pep:known chromosome:GRCm38:4:106483456:106492283:-1 gene:ENSMUSG00000025418.7 transcript:ENSMUST00000054472.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsnd description:Bartter syndrome, infantile, with sensorineural deafness (Barttin) [Source:MGI Symbol;Acc:MGI:2153465] MADEKTFRIGFIVLGLFLLSLGTFLMSHDRPQVYGTFYAMGSVMVIGGVIWSMCQCYPKI TFVPADSDFQGILSPKALSLLETGLSEVKSPQPPYVRLWEEAAYDQSLPDFTHIQMKVMG YSEDPRPLLAPELKTGASSVREGEPRTAQAWMEAPVVVHRGSDENEGEKSHSQSSPSVGP QGSAPLASFHDDLDVGSSEGSSLQPSPNRDEPHRQVPWASRGPLDRFSDFALIDDTPTSE DTVLDGQAREAALPRKQQWSLRMKGETVQARAEEPEQEEEDLYYGLPDSPGNPLPDKELG FEPDIQG >ENSMUSP00000073102.6 pep:known chromosome:GRCm38:11:117825924:117839908:1 gene:ENSMUSG00000017718.15 transcript:ENSMUST00000073388.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afmid description:arylformamidase [Source:MGI Symbol;Acc:MGI:2448704] MAFPSLSAGQNPWRNLSSEELEKQYSPSRWVIHTKPEEVVGNFVQIGSQATQKARATRRN QLDVPYGDGEGEKLDIYFPDEDSKAFPLFLFLHGGYWQSGSKDDSAFMVNPLTAQGIVVV IVAYDIAPKGTLDQMVDQVTRSVVFLQRRYPSNEGIYLCGHSAGAHLAAMVLLARWTKHG VTPNLQGFLLVSGIYDLEPLIATSQNDPLRMTLEDAQRNSPQRHLDVVPAQPVAPACPVL VLVGQHDSPEFHRQSKEFYETLLRVGWKASFQQLRGVDHFDIIENLTREDDVLTQIILKT VFQKL >ENSMUSP00000119310.1 pep:known chromosome:GRCm38:11:117825974:117837234:1 gene:ENSMUSG00000017718.15 transcript:ENSMUST00000149668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afmid description:arylformamidase [Source:MGI Symbol;Acc:MGI:2448704] GQNPWRNLSSEELEKQYSPSRWVIHTKPEEVVGNFVQIGSQATQKARATRRNQLDVPYGD GEGEKLDIYFPDEDSKAFPLFLFLHGGYWQSGSKDDSAFMVNPLTAQGIVVVIVAYDIAP KGTLDQMVDQVTRSVVFLQRRYPSNEGIYLCGHSAGAHLAAMVLLARWTKHGVTPNLQGF LLVSGIYDLEPLIATSQNDPLRMTLEDAQRNSPQRHLDVVPAQPVAPACPVLVLVGQHDS PEFHRQSKEFYETLLRVGWKASFQQLRGVDHFDIIENLTREDDVLTQVGLTPTTVFLNGQ RGSHAHTWAWPGRQEQRERLAVPRWKSQSRLHRRACGECLLFIIVVAETMSHCITLAGLG LKI >ENSMUSP00000077337.4 pep:known chromosome:GRCm38:17:37445480:37446517:1 gene:ENSMUSG00000059687.3 transcript:ENSMUST00000078207.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr108 description:olfactory receptor 108 [Source:MGI Symbol;Acc:MGI:2177491] MNCSQAPGFILLGLPREPEKWQHFFIIFLGLYLLGLLGNLLLLLAIGSDVHLHTPMYFFL SQLSLVDLCFITTTAPKTLETWWTGDGSISFSGCLTQLYFFGVFADMDNLLLAVMAIDRY AAICHPLLYPLLMTPCRCEVLVSGSWGIAHCVSLMYTLLLSQLYFHTNQEIPHFFCDCRP LLLLSCSDTHLNEVLMMALAGVLGVSAVLCIVSSYGCIFYAVARVPSAQGKRKALTTCSS HLSVVLLFYSTVFATYLKPPSTSHSSGEVVAAVMYTLVTPTLNPFIYSLRNKDVKSSLRR VLNIEKSQD >ENSMUSP00000098648.3 pep:known chromosome:GRCm38:5:76974683:76999937:1 gene:ENSMUSG00000036323.14 transcript:ENSMUST00000101087.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp72 description:signal recognition particle 72 [Source:MGI Symbol;Acc:MGI:1333795] MASGGSGGVSVPALWSEVNRYGQNSDFTRALKTVNKILQINKDDVTALHCKVVCLIQNGS FKEALNVINTHTKVLANNSLSFEKAYCEYRLNRIENALKTIESATQQTDKLKELYGQVLY RLERYDECLAVYRDLVRNSQDDYDEERKTNLSAVVAAQSNWEKVVPENLGLQEGTHELCY NAACALIGQGQLTQAMKILQKAEDLCRRSFSEDSDGAEEDPQAELAIIHGQMAYIMQLQG RTEEALQLYNQIIKLKPTDVALLAVIANNIITINKDQNVFDSKKKVKLTNAEGVEFKLSK RQLQAIEFNKALLAMYTNQAEQCRKIAASLQSQSPEYLLPVLIQAAQLCREKQHTKAIEL LQEFSDQHPENAAEIKLTMAQLKISQGNISKACLILRSIEELRHKPGMVSALVTMYSHEE DIDSAIEVFTQAIQWYQSHQPKSPAHLSLIREAANFKLKYGRKKEAVSDLEQLWKQNSKD IHTLAQLISAYSLVDPEKAKALSKHLPSSDSMSLKVDVEALENSPGATYIRKKGGKVTGD NQPKEQGQGDLKKKKKKKKGKLPKNYDPKVTPDPERWLPMRERSYYRGRKKGKKKDQIGK GTQGATAGASSELDASKAVSSPPTSPRPGSAATISSSASNIVPPRHQKPAGAPATKKKQQ QKKKKGGKSGW >ENSMUSP00000113312.1 pep:known chromosome:GRCm38:5:76974707:76998816:1 gene:ENSMUSG00000036323.14 transcript:ENSMUST00000120550.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp72 description:signal recognition particle 72 [Source:MGI Symbol;Acc:MGI:1333795] MASGGSGGVSVPALWSEVNRYGQNSDFTRALKTVNKILQINKDDVTALHCKVVCLIQNGS FKEALNVINTHTKVLANNSLSFEKAYCEYRLNRIENALKTIESATQQTDKLKELYGQVLY RLERYDECLAVYRDLVRNSQDDYDEERKTNLSAVVAAQSNWEKVVPENLGLQEGTHELCY NAACALIGQGQLTQAMKILQKAEDLCRRSFSEDSDQNVFDSKKKVKLTNAEGVEFKLSKR QLQAIEFNKALLAMYTNQAEQCRKIAASLQSQSPEYLLPVLIQAAQLCREKQHTKAIELL QEFSDQHPENAAEIKLTMAQLKISQGNISKACLILRSIEELRHKPGMVSALVTMYSHEED IDSAIEVFTQAIQWYQSHQPKSPAHLSLIREAANFKLKYGRKKEAVSDLEQLWKQNSKDI HTLAQLISAYSLVDPEKAKALSKHLPSSDSMSLKVDVEALENSPGATYIRKKGGKVTGDN QPKEQGQGDLKKKKKKKKGKLPKNYDPKVTPDPERWLPMRERSYYRGRKKGKKKDQIGKG TQGATAGASSELDASKAVSSPPTSPRPGSAATISSSASNIVPPRHQKPAGAPATKKKQQQ KKKKGGKSGW >ENSMUSP00000136500.1 pep:known chromosome:GRCm38:11:70156197:70170263:1 gene:ENSMUSG00000000318.16 transcript:ENSMUST00000144935.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec10a description:C-type lectin domain family 10, member A [Source:MGI Symbol;Acc:MGI:96975] MIYENLQNSRIEEKTQEPGKDSQLRRDLGTLRATLDNTTSKIKAEFQSLDSRADSFEKGI SSLKVDVEDHRQELQAGRDLSQKVTSLESTVEKREQALKTDLSDLTDHVQQLRKDLKALT CQLANLKNNGSEVACCPLHWTEHEGSCYWFSESEKSWPEADKYCRLENSHLVVVNSLEEQ NFLQNRLANVVSWIGLTDQNGPWRWVDGTDFEKG >ENSMUSP00000099631.3 pep:known chromosome:GRCm38:11:70166623:70170834:1 gene:ENSMUSG00000000318.16 transcript:ENSMUST00000102571.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec10a description:C-type lectin domain family 10, member A [Source:MGI Symbol;Acc:MGI:96975] MIYENLQNSRIEEKTQEPGKAPSQSFLWRILSWTHLLLFSLGLSLLLLVVVSVIGSQNSQ LRRDLGTLRATLDNTTSKIKAEFQSLDSRADSFEKGISSLKVDVEDHRQELQAGRDLSQK VTSLESTVEKREQALKTDLSDLTDHVQQLRKDLKALTCQLANLKNNGSEVACCPLHWTEH EGSCYWFSESEKSWPEADKYCRLENSHLVVVNSLEEQNFLQNRLANVVSWIGLTDQNGPW RWVDGTDFEKGFKNWAPLQPDNWFGHGLGGGEDCAHITTGGPWNDDVCQRTFRWICEMKL AKES >ENSMUSP00000137447.1 pep:known chromosome:GRCm38:11:70166655:70170833:1 gene:ENSMUSG00000000318.16 transcript:ENSMUST00000178945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec10a description:C-type lectin domain family 10, member A [Source:MGI Symbol;Acc:MGI:96975] MIYENLQNSRIEEKTQEPGKAAPSQSFLWRILSWTHLLLFSLGLSLLLLVVVSVIGSQNS QLRRDLGTLRATLDNTTSKIKAEFQSLDSRADSFEKGISSLKVDVEDHRQELQAGRDLSQ KVTSLESTVEKREQALKTDLSDLTDHVQQLRKDLKALTCQLANLKNNGSEVACCPLHWTE HEGSCYWFSESEKSWPEADKYCRLENSHLVVVNSLEEQNFLQNRLANVVSWIGLTDQNGP WRWVDGTDFEKGFKNWAPLQPDNWFGHGLGGVVSAAQVWSLESSYPVSTLLGTPPRPKNF KARRKDCV >ENSMUSP00000000327.6 pep:known chromosome:GRCm38:11:70166667:70170833:1 gene:ENSMUSG00000000318.16 transcript:ENSMUST00000000327.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec10a description:C-type lectin domain family 10, member A [Source:MGI Symbol;Acc:MGI:96975] MIYENLQNSRIEEKTQEPGKAAPSQSFLWRILSWTHLLLFSLGLSLLLLVVVSVIGSQNS QLRRDLGTLRATLDNTTSKIKAEFQSLDSRADSFEKGISSLKVDVEDHRQELQAGRDLSQ KVTSLESTVEKREQALKTDLSDLTDHVQQLRKDLKALTCQLANLKNNGSEVACCPLHWTE HEGSCYWFSESEKSWPEADKYCRLENSHLVVVNSLEEQNFLQNRLANVVSWIGLTDQNGP WRWVDGTDFEKGFKNWAPLQPDNWFGHGLGGGEDCAHITTGGPWNDDVCQRTFRWICEMK LAKES >ENSMUSP00000136322.1 pep:known chromosome:GRCm38:11:70166731:70168696:1 gene:ENSMUSG00000000318.16 transcript:ENSMUST00000178567.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec10a description:C-type lectin domain family 10, member A [Source:MGI Symbol;Acc:MGI:96975] MIYENLQNSRIEEKTQEPGKAPSQSFLWRILSWTHLLLFSLGLSLLLLVVVSVIGSQ >ENSMUSP00000115739.1 pep:known chromosome:GRCm38:11:70167695:70169153:1 gene:ENSMUSG00000000318.16 transcript:ENSMUST00000152635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec10a description:C-type lectin domain family 10, member A [Source:MGI Symbol;Acc:MGI:96975] MIYENLQNSRIEEKTQEPGKDSQLRRDLG >ENSMUSP00000117772.1 pep:known chromosome:GRCm38:11:70169485:70170811:1 gene:ENSMUSG00000000318.16 transcript:ENSMUST00000153959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec10a description:C-type lectin domain family 10, member A [Source:MGI Symbol;Acc:MGI:96975] SLESTVEKREQALKTDLSDLTDHVQQLRKDLKALTCQLANLKNNGSEVACCPLHWTEHEG SCYWFSESEKSWPEADKYCRLENSHLVVVNSLEEQELGPTAAR >ENSMUSP00000099436.4 pep:known chromosome:GRCm38:11:97703399:97713500:1 gene:ENSMUSG00000069744.7 transcript:ENSMUST00000103147.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb3 description:proteasome (prosome, macropain) subunit, beta type 3 [Source:MGI Symbol;Acc:MGI:1347014] MSIMSYNGGAVMAMKGKNCVAIAADRRFGIQAQMVTTDFQKIFPMGDRLYIGLAGLATDV QTVAQRLKFRLNLYELKEGRQIKPYTLMSMVANLLYEKRFGPYYTEPVIAGLDPKTFKPF ICSLDLIGCPMVTDDFVVSGTCSEQMYGMCESLWEPNMDPEHLFETISQAMLNAVDRDAV SGMGVIVHVIEKDKITTRTLKARMD >ENSMUSP00000144093.1 pep:known chromosome:GRCm38:5:95731789:95736173:-1 gene:ENSMUSG00000096139.4 transcript:ENSMUST00000201129.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7978 description:predicted gene 7978 [Source:MGI Symbol;Acc:MGI:3704107] MSVQTLSTLQNLTLKALLRDEALALSCLEEVPFLLFPALFQRAFAGRLKKLMKAIMAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSEPLDEKPTVVKVLRRYARRRQLKVVADLCLRPRHDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLWQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLG SLSITHYQISQSDLDSFSCCQSLFQLNHLEMKGVVLQVLDVMPLRGLLEKVVKTLETLNL QGCKLKDSQLNALLPSFIQCSQLTKVNFYNNDFSMPILKDLLQHTANWNKMNVEQYPASL ECYNELGHVSVERFAQLCQELMDTLRAIRQPKSLSFATRICHKCGECCVYGKRARLCFCW R >ENSMUSP00000137600.1 pep:known chromosome:GRCm38:5:95732139:95734935:-1 gene:ENSMUSG00000096139.4 transcript:ENSMUST00000178552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7978 description:predicted gene 7978 [Source:MGI Symbol;Acc:MGI:3704107] MSVQTLSTLQNLTLKALLRDEALALSCLEEVPFLLFPALFQRAFAGRLKKLMKAIMAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSEPLDEKPTVVKVLRRYARRRQLKVVADLCLRPRHDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLWQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLG SLSITHYQISQSDLDSFSCCQSLFQLNHLEMKGVVLQVLDVMPLRGLLEKVVKTLETLNL QGCKLKDSQLNALLPSFIQCSQLTKVNFYNNDFSMPILKDLLQHTANWNKMNVEQYPASL ECYNELGHVSVERFAQLCQELMDTLRAIRQPKSLSFATRICHKCGECCVYGKRARLCFCW R >ENSMUSP00000105023.1 pep:known chromosome:GRCm38:2:163853733:163859746:1 gene:ENSMUSG00000035238.4 transcript:ENSMUST00000109396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk15 description:potassium channel, subfamily K, member 15 [Source:MGI Symbol;Acc:MGI:2675209] MRKQSARTAALILCILSYLLVGAAVFDALESEAERSRQRLLARKRGEFRRKYRFSADDYR ELERLALQAEPHRAGRQWRFAGSFYFAITVITTIGYGHAAPGTDSGKVFCMFYALLGIPL TLVTFQSLGERLNTLVRCLLLTAKRCLGLRRPHVSAENMVVAGLLLCAATLALGAIAFAH FEGWTFFHAYYYCFITLTTIGFGDFVALQRDEALQRKPPYVAFSFLYILLGLTVIGAFLN LVVLRFLASSDAPERAVHRRVSVFRRGAPESRVRAGASTCIPYPFHQLETWARDNPAFSP PLSPEATHHCHSSPDRPRARRKSI >ENSMUSP00000048326.3 pep:known chromosome:GRCm38:2:163853651:163858942:1 gene:ENSMUSG00000035238.4 transcript:ENSMUST00000044798.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk15 description:potassium channel, subfamily K, member 15 [Source:MGI Symbol;Acc:MGI:2675209] MELEPSGRTETGTRSGRAAMRKQSARTAALILCILSYLLVGAAVFDALESEAERSRQRLL ARKRGEFRRKYRFSADDYRELERLALQAEPHRAGRQWRFAGSFYFAITVITTIGYGHAAP GTDSGKVFCMFYALLGIPLTLVTFQSLGERLNTLVRCLLLTAKRCLGLRRPHVSAENMVV AGLLLCAATLALGAIAFAHFEGWTFFHAYYYCFITLTTIGFGDFVALQRDEALQRKPPYV AFSFLYILLGLTVIGAFLNLVVLRFLASSDAPERAVHRRVSVFRRGAPESRVRAGASTCI PYPFHQLETWARDNPAFSPPLSPEATHHCHSSPDRPRARRKSI >ENSMUSP00000046641.7 pep:known chromosome:GRCm38:14:21983962:21989601:-1 gene:ENSMUSG00000039081.9 transcript:ENSMUST00000043409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp503 description:zinc finger protein 503 [Source:MGI Symbol;Acc:MGI:1353644] MSTAPSLSALRSSKHSGGGGGGGGGGGSGGGSADPAWTSALSGNCSGHGPGSSPAGSTKP FVHAVPPSDPLRQANRLPIKVLKMLTARTGHILHPEYLQPLPSTPVSPIELDAKKSPLAL LAQTCSQIGKPDPSPSSKLSSVASNGGGAGGAGNGAGGDKDAKSGPLKLSDIGVEDKSSF KPYSKPGSDKKEPGGGGGGGGGGGGGGGVAAEKSGFRVPSATCQPFTPRTGSPSSSASAC SPGGMLPSAGGGPEGKDDKKDPEAGGGGSSKGSGGASADGVPAGLGHGRISCGGGINVDV NQHSEGGPGGKALGSDCGGSSSSSSGSGPSAPTSSSVLGSGLVAPVSPYKPGQTVFPLPP AGMTYPGSLAGAYAGYPPQFLPHGVALDPTKPGSLVGAQLAAAAAGSLGCSKPAGSSPLA GASPPSVMTASLCRDPYCLSYHCASHLAGAAAASASCAHDPAAAAAALKSGYPLVYPTHP LHGVHSSLTAAAAAGATPPSLAGHPLYPYGFMLPNDPLPHICNWVSANGPCDKRFATSEE LLSHLRTHTAFPGTDKLLSGYPSSSSLASAAAAAMACHMHIPTSGAPGSPGTLALRSPHH ALGLSSRYHPYSKSPLPTPGAPVPVPAATGPYYSPYALYGQRLTTASALGYQ >ENSMUSP00000127886.1 pep:known chromosome:GRCm38:14:42655939:42663605:1 gene:ENSMUSG00000093948.7 transcript:ENSMUST00000166527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10378 description:predicted gene 10378 [Source:MGI Symbol;Acc:MGI:3704430] XSAGRTSSQNCNITNHMKNMNKLDDMKFYIRKINAELEELFRILDIDMNTDLNYRMNTEF TIIKSQHEKTMLDMEKMKQSISDSIDKYKEFIEDNDSYSIRHTHLLKECNQLKEKVRMLL NENRKLLVEQADQEASFGEEKSSVMRPARTYIPSAKQQQL >ENSMUSP00000098261.1 pep:known chromosome:GRCm38:14:42655938:42658002:1 gene:ENSMUSG00000093948.7 transcript:ENSMUST00000100696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10378 description:predicted gene 10378 [Source:MGI Symbol;Acc:MGI:3704430] VSAGRTSSQNCNITNHMKNMNKLDDMKFYIRKINAELEELFRILDIDMNTDLNYRMNTEF TIIKSQHEKTMLDMEKMKQSISDSIDKYKEFIEDNDSYSIRHTHLLKECNQLKEKVRMLL NENRKLLVEQADQEASFGEEKSS >ENSMUSP00000013693.6 pep:known chromosome:GRCm38:5:72156575:72168189:-1 gene:ENSMUSG00000029213.11 transcript:ENSMUST00000013693.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd8 description:COMM domain containing 8 [Source:MGI Symbol;Acc:MGI:1343485] MEPEEGTPLWRLQKLPPEQGAGLLHKIIDGFCGRAYPAHQDYHSVWNSAEWKHVLEDVTT FFKAVVGKNFSEEETLQQLNQLNSCHQEAVLKCLKSRRNEIKQALLGEIVDISCAQLQDF DWQLKLALSSDKIATLQMPLLNLHLDVKEDDKVKPYTVEMSKEELQSLISSLEAANKVVL QLK >ENSMUSP00000143790.1 pep:known chromosome:GRCm38:5:72157185:72168164:-1 gene:ENSMUSG00000029213.11 transcript:ENSMUST00000199767.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Commd8 description:COMM domain containing 8 [Source:MGI Symbol;Acc:MGI:1343485] MEPEEGTPLWRLQKLPPEQGAGLLHKIIDGFCGRAYPAHQDYHSVWNSAEWKHVLEDVTT FFKAVVGKNFSEEEDFWGTEVLRLMQSSLPVFLLAGSAVSVVFKKYEDTPATEPTEFMSP RSCFEMFKE >ENSMUSP00000142937.1 pep:known chromosome:GRCm38:5:72160962:72168156:-1 gene:ENSMUSG00000029213.11 transcript:ENSMUST00000196241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd8 description:COMM domain containing 8 [Source:MGI Symbol;Acc:MGI:1343485] MEPEEGTPLWRLQKLPPEQGAGTLQQLNQLNSCHQEAVLKCLKSRRNEIKQALLGEIVDI SCAQLQDFDWQLKLALSSDKIATLQMPLLNLHLDVKEDDKVKPYTVE >ENSMUSP00000141101.1 pep:known chromosome:GRCm38:16:18836584:18956247:1 gene:ENSMUSG00000099908.1 transcript:ENSMUST00000190050.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28539 description:predicted gene 28539 [Source:MGI Symbol;Acc:MGI:5579245] MAVAGSWQPPRPCEVYRAEWELCRSVGHVLHHYYVHGKRPDCRQWLRDLTNCREWEESRS AEAQGSQFFQLIFTLMGPSLQLEDKGRILGRL >ENSMUSP00000031086.4 pep:known chromosome:GRCm38:17:37466208:37467152:1 gene:ENSMUSG00000029184.4 transcript:ENSMUST00000031086.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr109 description:olfactory receptor 109 [Source:MGI Symbol;Acc:MGI:2177492] MENSTSVDEFLLLGLTSVQKLQPIIFVMFLTIYLLNLVGNGVILMIVTLERRLHSPMYFF LGNLSCLDICYSSVTLPKVLINLLSRRRAISFLGCITQLYFFHFLGSTEAILLAVMAFDR FVAICSPLRYTAIMNPQLCILLAATAWFTSFFYALLHSVMTAHLNFCHSHKLSHFFCDVK PLLEVACGNTVLNQWLLSVVTGSISMGAFLLILLSYFYIIAFLLFKNRSCRMLKKALSTC TSHFMVVCLFYGPVGFTYIRPATASASSMSEDRVVAIIYSAVTPVLNPLIYTLRNKEVML ALKKNFGKKLFKGN >ENSMUSP00000097623.2 pep:known chromosome:GRCm38:16:22987346:23029101:-1 gene:ENSMUSG00000060459.13 transcript:ENSMUST00000100046.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kng2 description:kininogen 2 [Source:MGI Symbol;Acc:MGI:3027157] MKLITTLLLCSGLLLTLTQGEEAQEIDCNDEAVFQAVDASLKKLNARLQSGNQFVLYRVT KRAKMDGSATFYSFNYQIKEGNCSAQRGLAWQDCDFKDSEEAATGECTATMGKKENKFFI VTQTCKITPGKGPIVTEEYHCASCVHPISADNPDLEPVLKHAIEYFNNNTGHSHLFALRE VKSAQGQVVGGLNFDIIYTIVQTNCSKERFPSLRGDCVALPNGDGGECRGNAFLDTDNKI EDFTQSCDLHPGDDLVEALPKPCPGCPRDIPVDSPELKEVLGHSIAQLNTENDHPFYFKI DTVKKATSQVVAGTNYVIEFIARETKCSKESNTELTEDCEIKHLGQSLDCNANVYMRPWE NKVIPTVKCQALDKTIPIRRRPPGFSPFRSAKVQETKAGTTVSPPYIVREQKEGNGWLNE KQIKAKNHSGHKYGHDHAHWSPRRHGLGHGHQKPHGLGHGHRLKLDYLRHQREDGSDHTH TEGHGYGHGHGHGHGHGHSHGLGHGHDKQTNKDKSSVKQTAQRTEPLASSSEYSTTSTQI QERTEGPTLTPPRAQPTVTSSGFQDSDFIEDVVAITPPYDTGAPDDLIPDIHVQPDSLSF KLISDFPEATSQKCPGRPWKPASWKDPNTETTEFSDFDLLDVLS >ENSMUSP00000111006.2 pep:known chromosome:GRCm38:16:22985854:23029068:-1 gene:ENSMUSG00000060459.13 transcript:ENSMUST00000115349.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kng2 description:kininogen 2 [Source:MGI Symbol;Acc:MGI:3027157] MKLITTLLLCSGLLLTLTQGEEAQEIDCNDEAVFQAVDASLKKLNARLQSGNQFVLYRVT KRAKMDGSATFYSFNYQIKEGNCSAQRGLAWQDCDFKDSEEAATGECTATMGKKENKFFI VTQTCKITPGKGPIVTEEYHCASCVHPISADNPDLEPVLKHAIEYFNNNTGHSHLFALRE VKSAQGQVVGGLNFDIIYTIVQTNCSKERFPSLRGDCVALPNGDGGECRGNAFLDTDNKI EDFTQSCDLHPGDDLVEALPKPCPGCPRDIPVDSPELKEVLGHSIAQLNTENDHPFYFKI DTVKKATSQVVAGTNYVIEFIARETKCSKESNTELTEDCEIKHLGQSLDCNANVYMRPWE NKVIPTVKCQALDKTIPIRRRPPGFSPFRSAKVQETKAGTTRLLRACEYKGRLSKAGAEP APERQAESSQVKQ >ENSMUSP00000046867.6 pep:known chromosome:GRCm38:16:22987346:23029017:-1 gene:ENSMUSG00000060459.13 transcript:ENSMUST00000039338.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kng2 description:kininogen 2 [Source:MGI Symbol;Acc:MGI:3027157] MKLITTLLLCSGLLLTLTQGEEAQEIDCNDEAVFQAVDASLKKLNARLQSGNQFVLYRVT KRAKMDGSATFYSFNYQIKEGNCSAQRGLAWQDCDFKDSEEAATGECTATMGKKENKFFI VTQTCKITPGKGPIVTEEYHCASCVHPISADNPDLEPVLKHAIEYFNNNTGHSHLFALRE VKSAQGQVVGGLNFDIIYTIVQTNCSKERFPSLRGDCVALPNGDGGECRGNAFLDTDNKI EDFTQSCDLHPGDDLVEALPKPCPGCPRDIPVDSPELKEVLGHSIAQLNTENDHPFYFKI DTVKKATSQVVAGTNYVIEFIARETKCSKESNTELTEDCEIKHLGQSLDCNANVYMRPWE NKVIPTVKCQALDKTIPIRRRPPGFSPFRSAKVQETKAGTTKQLPRNVLGAHGSQLAGRI QTQKQQNFLILISLMFFLNLHSLGSFTNVLPAFLSTAQAQTL >ENSMUSP00000124161.1 pep:known chromosome:GRCm38:16:22987389:23029009:-1 gene:ENSMUSG00000060459.13 transcript:ENSMUST00000160243.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kng2 description:kininogen 2 [Source:MGI Symbol;Acc:MGI:3027157] MKLITTLLLCSGLLLTLTQGEEAQEIDCNDEAVFQAVDASLKKLNARLQSGNQFVLYRVT KRAKMDGSATFYSFNYQIKEGNCSAQRGLAWQDCDFKDSEEAATGECTATMGKKENKFFI VTQTCKITPGKGPIVTEEYHCASCVHPISADNPDLEPVLKHAIEYFNNNTGGGWPEF >ENSMUSP00000062327.3 pep:known chromosome:GRCm38:4:116819904:116821707:-1 gene:ENSMUSG00000043155.4 transcript:ENSMUST00000055436.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpdl description:4-hydroxyphenylpyruvate dioxygenase-like [Source:MGI Symbol;Acc:MGI:2444646] MTAPARRLCHIAFHVPAGQPLARDLHRVFGFQPLAVREAGGWRQLALRSGDAVFLVNEGT GPQEPLYSLDPHHSVPSATNLCFDVEDVDGAARALAARGCIMPVPPTRVRDAQGTATYTV LSSPAGNLSLTLLQRAGYRGSFLPGFRPLPCTPGPGWVSHVDHLTLACTSGSSPMLMRWF HDCLGFHHLPLSPGEDPEMGLKVAAGSGRGGLRLTALQTPPNNTVPTLVLAESLPGLNSK QDQVEQFLTRHGGPGLQHVGLYTPNIIDASEGMAKAGCRLLTPPEAYYQQPGKEEQILAA GHKPGLLERQGILLDGDKDEFLLQVFTKSLFAEDTFFLELIQRQGATGFGQNNIRALWQS VQEEAARAQGA >ENSMUSP00000128961.2 pep:known chromosome:GRCm38:9:21424908:21507754:1 gene:ENSMUSG00000033335.17 transcript:ENSMUST00000165766.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm2 description:dynamin 2 [Source:MGI Symbol;Acc:MGI:109547] MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLILQLIFSKTEYAEFLHCKSKKFTDFDEVRQEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIKDMILQFISRESSLILAVTPANMD LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIEGKKDIRAALAAERKFFLSHPAYRHMADRMGTPHLQKTLNQQLTNHIRESLPTLRSKL QSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGA RINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDLAFEAIVKKQVVKL KEPCLKCVDLVIQELISTVRQCTSKLSSYPRLREETERIVTTYIREREGRTKDQILLLID IEQSYINTNHEDFIGFANAQQRSTQLNKKRAIPNQGEILVIRRGWLTINNISLMKGGSKE YWFVLTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKDL RQIELACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNLV DSYVAIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESAEQAQRRD DMLRMYHALKEALNIIGDISTSTVSTPVPPPVDDTWLQNTSGHSPTPQRRPVSSVHPPGR PPAVRGPTPGPPLIPMPVGATSSFSAPPIPSRPGPQSVFANNDPFSAPPQIPSRPARIPP GIPPGVPRRPPPLAPARPFF >ENSMUSP00000134243.1 pep:known chromosome:GRCm38:9:21424932:21507138:1 gene:ENSMUSG00000033335.17 transcript:ENSMUST00000173397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm2 description:dynamin 2 [Source:MGI Symbol;Acc:MGI:109547] MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLILQLIFSKTEYAEFLHCKSKKFTDFDEVRQEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIKDMILQFISRESSLILAVTPANMD LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIEGKKDIRAALAAERKFFLSHPAYRHMADRMGTPHLQKTLNQQLTNHIRESLPTLRSKL QSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGA RINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDMAFEAIVKKQLVKL KEPSLKCVDLVVSELATVIKKCAEKLSSYPRLREETERIVTTYIREREGRTKDQILLLID IEQSYINTNHEDFIGFANAQQRSTQLNKKRAIPNQGEILVIRRGWLTINNISLMKGGSKE YWFVLTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKDL RQIELACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNLV DSYVAIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESAEQAQRRD DMLRMYHALKEALNIIGDISTSTVSTPVPPPVDDTWLQNTSGHSPTPQRRPVSSVHPPGR PPAVRGPTPGPPLIPMPVGATSSFSAPPIPSRPGPQSVFANNDPFSAPPQIPSRPARIPP GIPPGVPRRAPAAPSRPTIIRPAEPSLLD >ENSMUSP00000072199.7 pep:known chromosome:GRCm38:9:21424945:21507140:1 gene:ENSMUSG00000033335.17 transcript:ENSMUST00000072362.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm2 description:dynamin 2 [Source:MGI Symbol;Acc:MGI:109547] MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLILQLIFSKTEYAEFLHCKSKKFTDFDEVRQEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIKDMILQFISRESSLILAVTPANMD LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIEGKKDIRAALAAERKFFLSHPAYRHMADRMGTPHLQKTLNQQLTNHIRESLPTLRSKL QSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGA RINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDMAFEAIVKKQLVKL KEPSLKCVDLVVSELATVIKKCAEKLSSYPRLREETERIVTTYIREREGRTKDQILLLID IEQSYINTNHEDFIGFANAQQRSTQLNKKRAIPNQGEILVIRRGWLTINNISLMKGGSKE YWFVLTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKDL RQIELACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNLV DSYVAIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESAEQAQRRD DMLRMYHALKEALNIIGDISTSTVSTPVPPPVDDTWLQNTSGHSPTPQRRPVSSVHPPGR PPAVRGPTPGPPLIPMPVGATSSFSAPPIPSRPGPQSVFANNDPFSAPPQIPSRPARIPP GIPPGVPSRRAPAAPSRPTIIRPAEPSLLD >ENSMUSP00000133961.1 pep:known chromosome:GRCm38:9:21424968:21467700:1 gene:ENSMUSG00000033335.17 transcript:ENSMUST00000174828.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnm2 description:dynamin 2 [Source:MGI Symbol;Acc:MGI:109547] MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRICGIFA LQVQKIYRL >ENSMUSP00000088616.6 pep:known chromosome:GRCm38:9:21424971:21507126:1 gene:ENSMUSG00000033335.17 transcript:ENSMUST00000091087.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm2 description:dynamin 2 [Source:MGI Symbol;Acc:MGI:109547] MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLILQLIFSKTEYAEFLHCKSKKFTDFDEVRQEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIKDMILQFISRESSLILAVTPANMD LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIEGKKDIRAALAAERKFFLSHPAYRHMADRMGTPHLQKTLNQQLTNHIRESLPTLRSKL QSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGA RINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDLAFEAIVKKQVVKL KEPCLKCVDLVIQELISTVRQCTSKLSSYPRLREETERIVTTYIREREGRTKDQILLLID IEQSYINTNHEDFIGFANAQQRSTQLNKKRAIPNQVIRRGWLTINNISLMKGGSKEYWFV LTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKDLRQIE LACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNLVDSYV AIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESAEQAQRRDDMLR MYHALKEALNIIGDISTSTVSTPVPPPVDDTWLQNTSGHSPTPQRRPVSSVHPPGRPPAV RGPTPGPPLIPMPVGATSSFSAPPIPSRPGPQSVFANNDPFSAPPQIPSRPARIPPGIPP GVPSRRAPAAPSRPTIIRPAEPSLLD >ENSMUSP00000133564.1 pep:known chromosome:GRCm38:9:21425096:21506402:1 gene:ENSMUSG00000033335.17 transcript:ENSMUST00000172482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm2 description:dynamin 2 [Source:MGI Symbol;Acc:MGI:109547] MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLILQLIFSKTEYAEFLHCKSKKFTDFDEVRQEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIKDMILQFISRESSLILAVTPANMD LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIEGKKDIRAALAAERKFFLSHPAYRHMADRMGTPHLQKTLNQQLTNHIRESLPTLRSKL QSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGA RINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDLAFEAIVKKQVVKL KEPCLKCVDLVIQELISTVRQCTSKLSSYPRLREETERIVTTYIREREGRTKDQILLLID IEQSYINTNHEDFIGFANAQQRSTQLNKKRAIPNQGEILVIRRGWLTINNISLMKGGSKE YWFVLTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKDL RQIELACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNLV DSYVAIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESAEQAQRRD DMLRMYHALKEALNIIGDISTSTVSTPVPPPVDDTWLQNTSGHSPTPQRRPVSSVHPPGR PPAVRGPTPGPPLIPMPVGATSSFSAPPIPSRPGPQSVFANNDPFSAPPQIPSRPARIPP GIPPGVPSRRAPAAPSRPTIIRPAEPSLLD >ENSMUSP00000134696.1 pep:known chromosome:GRCm38:9:21425244:21507754:1 gene:ENSMUSG00000033335.17 transcript:ENSMUST00000174050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm2 description:dynamin 2 [Source:MGI Symbol;Acc:MGI:109547] XFVGRDFLPRGSGIVTRRPLILQLIFSKTEYAEFLHCKSKKFTDFDEVRQEIEAETDRVT GTNKGISPVPINLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIKDMILQFISRESS LILAVTPANMDLANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRR GYIGVVNRSQKDIEGKKDIRAALAAERKFFLSHPAYRHMADRMGTPHLQKTLNQQLTNHI RESLPTLRSKLQSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGD QVDTLELSGGARINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDLAF EAIVKKQVVKLKEPCLKCVDLVIQELISTVRQCTSKLSSYPRLREETERIVTTYIREREG RTKDQILLLIDIEQSYINTNHEDFIGFANAQQRSTQLNKKRAIPNQVIRRGWLTINNISL MKGGSKEYWFVLTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQ RNVYKDLRQIELACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQV ETIRNLVDSYVAIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESA EQAQRRDDMLRMYHALKEALNIIGDISTSTVSTPVPPPVDDTWLQNTSGHSPTPQRRPVS SVHPPGRPPAVRGPTPGPPLIPMPVGATSSFSAPPIPSRPGPQSVFANNDPFSAPPQIPS RPARIPPGIPPGVPRRPPPLAPARPFF >ENSMUSP00000133858.1 pep:known chromosome:GRCm38:9:21476494:21499313:1 gene:ENSMUSG00000033335.17 transcript:ENSMUST00000172833.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm2 description:dynamin 2 [Source:MGI Symbol;Acc:MGI:109547] XDFEKRIEGSGDQVDTLELSGGARINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHG VRTGLFTPDMAFEAIVKKQLVKLKEPSLKCVDLVVSELATVIKKCAEKLSSYPRLREETE RIVTTYIREREGRTKDQILLLIDIEQSYINTNHEDFIGFANCFYTEELVTGAQQRSTQLN KKRAIPNQGEILVIRRGWLTINNISLMKGGSKEYWFVLTAESLSWYKDEEEKEKKYMLPL DNLKIRDV >ENSMUSP00000111063.4 pep:known chromosome:GRCm38:9:21424976:21507124:1 gene:ENSMUSG00000033335.17 transcript:ENSMUST00000115404.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm2 description:dynamin 2 [Source:MGI Symbol;Acc:MGI:109547] MGNRGMEELIPLVNKLQDAFSSIGQSCHLDLPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLILQLIFSKTEYAEFLHCKSKKFTDFDEVRQEIEAETDRVTGTNKGISPVPI NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIKDMILQFISRESSLILAVTPANMD LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYIGVVNRSQK DIEGKKDIRAALAAERKFFLSHPAYRHMADRMGTPHLQKTLNQQLTNHIRESLPTLRSKL QSQLLSLEKEVEEYKNFRPDDPTRKTKALLQMVQQFGVDFEKRIEGSGDQVDTLELSGGA RINRIFHERFPFELVKMEFDEKDLRREISYAIKNIHGVRTGLFTPDLAFEAIVKKQVVKL KEPCLKCVDLVIQELISTVRQCTSKLSSYPRLREETERIVTTYIREREGRTKDQILLLID IEQSYINTNHEDFIGFANAQQRSTQLNKKRAIPNQGHVAVIRRGWLTINNISLMKGGSKE YWFVLTAESLSWYKDEEEKEKKYMLPLDNLKIRDVEKGFMSNKHVFAIFNTEQRNVYKDL RQIELACDSQEDVDSWKASFLRAGVYPEKDQAENEDGAQENTFSMDPQLERQVETIRNLV DSYVAIINKSIRDLMPKTIMHLMINNTKAFIHHELLAYLYSSADQSSLMEESAEQAQRRD DMLRMYHALKEALNIIGDISTSTVSTPVPPPVDDTWLQNTSGHSPTPQRRPVSSVHPPGR PPAVRGPTPGPPLIPMPVGATSSFSAPPIPSRPGPQSVFANNDPFSAPPQIPSRPARIPP GIPPGVPSRRAPAAPSRPTIIRPAEPSLLD >ENSMUSP00000047683.7 pep:known chromosome:GRCm38:19:4314419:4398285:-1 gene:ENSMUSG00000054611.16 transcript:ENSMUST00000047898.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2a description:lysine (K)-specific demethylase 2A [Source:MGI Symbol;Acc:MGI:1354736] MEPEEERIRYSQRLRGTMRRRYEDDGISDDEIEGKRTFDLEEKLQTNKYNANFVTFMEGK DFNVEYIQRGGLRDPLIFKNSDGLGIKMPDPDFTVNDVKMCVGSRRMVDVMDVNTQKGIE MTMAQWTRYYETPEEEREKLYNVISLEFSHTRLENMVQRPSTVDFIDWVDNMWPRHLKES QTESTNAILEMQYPKVQKYCLMSVRGCYTDFHVDFGGTSVWYHIHQGGKVFWLIPPTAHN LELYENWLLSGKQGDIFLGDRVSDCQRIELKQGYTFVIPSGWIHAVYTPTDTLVFGGNFL HSFNIPMQLKIYSIEDRTRVPNKFRYPFYYEMCWYVLERYVYCITNRSHLTKDFQKESLS MDMELNELESGNGDEEGVDREARRMNNKRSVLTSPVANGVNLDYDGLGKACRSLPSLKKT LSGDSSSDSTRGSHNGQVWDPQCSPKKDRQVHLTHFELEGLRCLVDKLESLPLHKKCVPT GIEDEDALIADVKILLEELASSDPKLALTGVPIVQWPKRDKLKFPTRPKVRVPTIPITKP HTMKPAPRLTPVRPAAASPIVSGARRRRVRCRKCKACVQGECGVCHYCRDMKKFGGPGRM KQSCVLRQCLAPRLPHSVTCSLCGEVDQNEETQDFEKKLMECCICNEIVHPGCLQMDGEG LLNEELPNCWECPKCYQEDSSDKAQKRKIEESDEEAVQAKVLRPLRSCEEPLTPPPHSPT SMLQLIHDPVSPRGMVTRSSPGAGPSDHHSASRDERFKRRQLLRLQATERTMVREKENNP SGKKELSEVEKAKIRGSYLTVTLQRPTKELHGTSIVPKLQAITASSANLRPNPRVLMQHC PARNPQHGDEEGLGGEEEEEEEEEEDDSAEEGGAARLNGRGSWAQDGDESWMQREVWMSV FRYLSRKELCECMRVCKTWYKWCCDKRLWTKIDLSRCKAIVPQALSGIIKRQPVSLDLSW TNISKKQLTWLVNRLPGLKDLLLAGCSWSAVSALSTSSCPLLRTLDLRWAVGIKDPQIRD LLTPPTDKPGQDNRSKLRNMTDFRLAGLDITDATLRLIIRHMPLLSRLDLSHCSHLTDQS SNLLTAVGSSTRYSLTELNMAGCNKLTDQTLFFLRRIANVTLIDLRGCKQITRKACEHFI SDLSINSLYCLSDEKLIQKIS >ENSMUSP00000135418.1 pep:known chromosome:GRCm38:19:4319253:4322548:-1 gene:ENSMUSG00000054611.16 transcript:ENSMUST00000176532.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kdm2a description:lysine (K)-specific demethylase 2A [Source:MGI Symbol;Acc:MGI:1354736] XCDKRLWTKIDLSRCKAIVPQALSGIIKRQPVSLDLSWTNISKKQLTWLVNRLPGRIKRP PPSRLFLVCSICPQHFQLPASQDP >ENSMUSP00000135745.1 pep:known chromosome:GRCm38:19:4324906:4334001:-1 gene:ENSMUSG00000054611.16 transcript:ENSMUST00000176653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2a description:lysine (K)-specific demethylase 2A [Source:MGI Symbol;Acc:MGI:1354736] MLWEIRDFHENQDFPRSRKANSFRTTSTQKTKQRRWGNFTQEPPQLQSNKKCKILLEELA SSDPKLALTGVPIVQWPKRDKLKFPTRPKVRVPTIPITKPHTMKPAPRLTPVRPAAASPI VSGARRRRVRCRKCKACVQGECGVCHYCRDMKKFGGPGRMKQSCVLRQCLAPRLPHSVTC SLCGEVDQNEETQDFEKKLMECCICNEIVHPGCLQMDGEGLLNEELPNCWECPKCYQEDS SDKAQKRKIEESDEEAVQAKVLRPLRSCEEPLTPPPHSPTSMLQLIHDPVSP >ENSMUSP00000135471.1 pep:known chromosome:GRCm38:19:4329191:4333919:-1 gene:ENSMUSG00000054611.16 transcript:ENSMUST00000176497.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kdm2a description:lysine (K)-specific demethylase 2A [Source:MGI Symbol;Acc:MGI:1354736] MLWEIRDFHENQDFPRSRKANSFRTTSTQKTKQRRWGNFTQEPPQLQSNKKCKVKD >ENSMUSP00000139651.1 pep:known chromosome:GRCm38:19:4341350:4397080:-1 gene:ENSMUSG00000054611.16 transcript:ENSMUST00000116571.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2a description:lysine (K)-specific demethylase 2A [Source:MGI Symbol;Acc:MGI:1354736] MEPEEERIRYSQRLRGTMRRRYEDDGISDDEIEGKRTFDLEEKLQTNKYNANFVTFMEGK DFNVEYIQRGGLRDPLIFKNSDGLGIKMPDPDFTVNDVKMCVGSRRMVDVMDVNTQKGIE MTMAQWTRYYETPEEEREKLYNVISLEFSHTRLENMVQRPSTVDFIDWVDNMWPRHLKES QTESTNAILEMQYPKVQKYCLMSVRGCYTDFHVDFGGTSVWYHIHQGGKVFWLIPPTAHN LELYENWLLSGKQGDIFLGDRVSDCQRIELKQGYTFVIPSGWIHAVYTPTDTLVFGGNFL HSFNIPMQLKIYSIEDRTRVPNKFRYPFYYEMCWYVLERYVYCITNRSHLTKDFQKESLS MDMELNELESGNGDEEGVDREARRMNNKRSVLTSPVANGVNLDYDGLGKACRSLPSLKKT LSGDSSSDSTRGSHNGQVWDPQCSPKKDRQVHLTHFELEGLRCLVDKLESLPLHKKCVPT GIEDEDALIADVKS >ENSMUSP00000135689.1 pep:known chromosome:GRCm38:19:4350186:4362887:-1 gene:ENSMUSG00000054611.16 transcript:ENSMUST00000175959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2a description:lysine (K)-specific demethylase 2A [Source:MGI Symbol;Acc:MGI:1354736] DDGISDDEIEGKRTFDLEEKLQTNKYNANFVTFMEGKDFNVEYIQRGGLRDPLIFKNSDG LGIKMPDPDFTVNDVKMCVGSRRMVDVMDVNTQKGIEMTMAQWTRYYETPEEEREKLYNV ISLEFSHTRLENMVQRPSTVDFIDWVDNMWPRHLKESQTESTNAILEMQYPKVQKYCLMS VRGCYTDFHVDFGGTSVWYHIHQGGKPTTWSCTRIGCYQGNRETSFWVTGCQIANELSSS RAIPSL >ENSMUSP00000140253.1 pep:known chromosome:GRCm38:19:4388410:4397077:-1 gene:ENSMUSG00000054611.16 transcript:ENSMUST00000176483.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2a description:lysine (K)-specific demethylase 2A [Source:MGI Symbol;Acc:MGI:1354736] MEPEEERIRYSQRLVDLCRGQPNIQSHFQDSQGTR >ENSMUSP00000076698.4 pep:known chromosome:GRCm38:19:4316170:4397077:-1 gene:ENSMUSG00000054611.16 transcript:ENSMUST00000075856.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2a description:lysine (K)-specific demethylase 2A [Source:MGI Symbol;Acc:MGI:1354736] MEPEEERIRYSQRLRGTMRRRYEDDGISDDEIEGKRTFDLEEKLQTNKYNANFVTFMEGK DFNVEYIQRGGLRDPLIFKNSDGLGIKMPDPDFTVNDVKMCVGSRRMVDVMDVNTQKGIE MTMAQWTRYYETPEEEREKLYNVISLEFSHTRLENMVQRPSTVDFIDWVDNMWPRHLKES QTESTNAILEMQYPKVQKYCLMSVRGCYTDFHVDFGGTSVWYHIHQGGKVFWLIPPTAHN LELYENWLLSGKQGDIFLGDRVSDCQRIELKQGYTFVIPSGWIHAVYTPTDTLVFGGNFL HSFNIPMQLKIYSIEDRTRVPNKFRYPFYYEMCWYVLERYVYCITNRSHLTKDFQKESLS MDMELNELESGNGDEEGVDREARRMNNKRSVLTSPVANGVNLDYDGLGKACRSLPSLKKT LSGDSSSDSTRGSHNGQVWDPQCSPKKDRQVHLTHFELEGLRCLVDKLESLPLHKKCVPT GIEDEDALIADVKILLEELASSDPKLALTGVPIVQWPKRDKLKFPTRPKVRVPTIPITKP HTMKPAPRLTPVRPAAASPIVSGARRRRVRCRKCKACVQGECGVCHYCRDMKKFGGPGRM KQSCVLRQCLAPRLPHSVTCSLCGEVDQNEETQDFEKKLMECCICNEIVHPGCLQMDGEG LLNEELPNCWECPKCYQEDSSDKAQKRKIEESDEEAVQAKVLRPLRSCEEPLTPPPHSPT SMLQLIHDPVSPRGMVTRSSPGAGPSDHHSASRDERFKRRQLLRLQATERTMVREKENNP SGKKELSEVEKAKIRGSYLTVTLQRPTKELHGTSIVPKLQAITASSANLRPNPRVLMQHC PARNPQHGDEEGLGGEEEEEEEEEEDDSAEEGGAARLNGRGSWAQDGDESWMQREVWMSV FRYLSRKELCECMRVCKTWYKWCCDKRLWTKIDLSRCKAIVPQALSGIIKRQPVSLDLSW TNISKKQLTWLVNRLPGLKDLLLAGCSWSAVSALSTSSCPLLRTLDLRWAVGIKDPQIRD LLTPPTDKPGQDNRSKLRNMTDFRLAGLDITDATLRLIIRHMPLLSRLDLSHCSHLTDQS SNLLTAVGSSTRYSLTELNMAGCNKLTDQTLFFLRRIANVTLIDLRGCKQITRKACEHFI SDLSINSLYCLSDEKLIQKIS >ENSMUSP00000113310.2 pep:known chromosome:GRCm38:7:47463806:47489586:-1 gene:ENSMUSG00000096719.1 transcript:ENSMUST00000119798.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpra2b description:MAS-related GPR, member A2B [Source:MGI Symbol;Acc:MGI:3033098] MGESNGEAFLAFKTSASPTAPVTTNPMDETLPGSINIRILIPKLMIIIFGLVGLMGNAIV FWLLGFHLRRNAFSVYILNLALADFLFLLSSIIASTLFLLKVSYLSIIFHLCFNTIMMVV YITGISMLSAISTECCLSVLCPTWYRCHRPVHTSTVMCAVIWVLSLLICILNSYFCAVLH TRYDNDNECLATNIFTASYMIFLLVVLCLSSLALLARLFCGAGQMKLTRFHVTILLTLLV FLLCGLPFVIYCILLFKIKDDFHVLDVNLYLALEVLTAINSCANPIIYFFVGSFRHQLKH QTLKMVLQSALQDTPETAENMVEMSSNKAEP >ENSMUSP00000133751.1 pep:known chromosome:GRCm38:7:47463807:47528862:-1 gene:ENSMUSG00000096719.1 transcript:ENSMUST00000172559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpra2b description:MAS-related GPR, member A2B [Source:MGI Symbol;Acc:MGI:3033098] MDETLPGSINIRILIPKLMIIIFGLVGLMGNAIVFWLLGFHLRRNAFSVYILNLALADFL FLLSSIIASTLFLLKVSYLSIIFHLCFNTIMMVVYITGISMLSAISTECCLSVLCPTWYR CHRPVHTSTVMCAVIWVLSLLICILNSYFCAVLHTRYDNDNECLATNIFTASYMIFLLVV LCLSSLALLARLFCGAGQMKLTRFHVTILLTLLVFLLCGLPFVIYCILLFKIKDDFHVLD VNLYLALEVLTAINSCANPIIYFFVGSFRHQLKHQTLKMVLQSALQDTPETAENMVEMSS NKAEP >ENSMUSP00000031040.6 pep:known chromosome:GRCm38:5:73406075:73453425:1 gene:ENSMUSG00000029154.13 transcript:ENSMUST00000031040.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwh43 description:cell wall biogenesis 43 C-terminal homolog [Source:MGI Symbol;Acc:MGI:2444131] MPGLWRAIALETLLGYVSWSLYHGLSPMIYYFPLQTLELTGLEFFCVAFLSPILLTIPPL WKLVNKKWTLSLLRIVTVGSIASFEAPNAKLRLMVLALGVSSSLIVQTVTWWSGSGLQRY LKIWGFILGHVLLLVLRIWYTSLNPIWSYQMSNRVILTLSAVAVLDRIGTDGDYRNPEGK KPREVATGRTSLSSWLLPGAAFGSLLFLTHWIFGEVSIVSRWAVSGHPHPGPDPNPFGGA VLLGFSSGLMLSGSSWLHDAGLAWWMTGAASAMGLLYLRTWAAAVSGCVLAVFTGSMWPQ VLGHLVNSGKNSGEAMATGMILYVLQTFFCAWCTAFKFVPGGVYARERSDVLLGTIMVII GLSMLFGPKKNLDFLLQTKNSPKTLLRCSEKYMKLILWLFVGVGLLGLGLRHRTYERQLG RGAPATVVSAAIWPFRFGYDNEGWPNLERSAQLLKETGADFITILESDASKPYIGNNDLT MWLGEKLGFYTDFGPSTRDHTWGIMVLSRYPIVRSEHHLLPSPEGEIAPAITMTVNVSNR LVDFVVTHFGNHEDDLDRKLQAIAVSKLLKNCSNQVIFLGYITSEPGSRDYIQLTKHGNV KDIDSSDGDRWCEYIMYRGLIRLGYARISHAELSDSEIQMAKFRIPDDPANYRDNQKVVI DHRGVPKNIHFNPRFGSYKEGHNYENTHHFHMNTPKYFV >ENSMUSP00000069563.6 pep:known chromosome:GRCm38:5:73406227:73453435:1 gene:ENSMUSG00000029154.13 transcript:ENSMUST00000065543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwh43 description:cell wall biogenesis 43 C-terminal homolog [Source:MGI Symbol;Acc:MGI:2444131] MPGLWRAIALETLLGYVSWSLYHGLSPMIYYFPLQTLELTGLEFFCVAFLSPILLTIPPL WKLVNKKWTLSLLRIVTVGSIASFEAPNAKLRLMVLALGVSSSLIVQTVTWWSGSGLQRY LKIWGFILGHVLLLVLRIWYTSLNPIWSYQMSNRVILTLSAVAVLDRIGTDGDYRNPEGK KPREVATGRTSLSSWLLPGAAFGSLLFLTHWIFGEVSIVSRWAVSGHPHPGPDPNPFGGA VLLGFSSGLMLSGSSWLHDAGLAWWMTGTIMVIIGLSMLFGPKKNLDFLLQTKNSPKTLL RCSEKYMKLILWLFVGVGLLGLGLRHRTYERQLGRGAPATVVSAAIWPFRFGYDNEGWPN LERSAQLLKETGADFITILESDASKPYIGNNDLTMWLGEKLGFYTDFGPSTRDHTWGIMV LSRYPIVRSEHHLLPSPEGEIAPAITMTVNVSNRLVDFVVTHFGNHEDDLDRKLQAIAVS KLLKNCSNQVIFLGYITSEPGSRDYIQLTKHGNVKDIDSSDGDRWCEYIMYRGLIRLGYA RISHAELSDSEIQMAKFRIPDDPANYRDNQKVVIDHRGVPKNIHFNPRFGSYKEGHNYEN THHFHMNTPKYFV >ENSMUSP00000020182.8 pep:known chromosome:GRCm38:10:19859929:19907689:-1 gene:ENSMUSG00000020003.15 transcript:ENSMUST00000020182.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex7 description:peroxisomal biogenesis factor 7 [Source:MGI Symbol;Acc:MGI:1321392] MSAARTLRVPGRHGYAAEFSPYLPGRLACAAAQHYGIAGCGTLLVLDQNESGLQIFRSFD WNDGLFDVTWSENNEHVLVTCSGDGSLQLWDTAKATGPLQVYKEHTQEVYSVDWSQTRGE QLVVSGSWDQTVKVWDPTVGNSLCTFRGHESVIYSTIWSPHIPGCFASASGDQTLRIWDV KTTGVRIVIPAHQTEILSCDWCKYNENLVVTGAVDCSLRGWDLRNVRQPVFELLGHTYAI RRVKFSPFHASVLASCSYDFTVRFWNFSKPDPLLETVEHHTEFTCGLDLSLQSPTQVADC SWDETIKIYDPVCLTVPG >ENSMUSP00000119720.1 pep:known chromosome:GRCm38:10:19884785:19907545:-1 gene:ENSMUSG00000020003.15 transcript:ENSMUST00000134220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex7 description:peroxisomal biogenesis factor 7 [Source:MGI Symbol;Acc:MGI:1321392] XGRHGYAAEFSPYLPGRLACAAAQHYGIAGCGTLLVLDQNESGLQIFRSFDWNDGLFDVT WSENNEHVLVTCSGDGSLQLWDTAKATGPLQVYKEHTQEWDPTVGNSLCTFRGHESVIYS TIWSPHIPGCFASASGDQTLRIWDVKTTGVRIVIPAHQTEILSCDWCKYNENLVVTGAVD CSLRGWDLRNVRQPVFELLGHTYAIRRVKFSPFHASVLASCSYDF >ENSMUSP00000132996.1 pep:known chromosome:GRCm38:10:19860097:19907674:-1 gene:ENSMUSG00000020003.15 transcript:ENSMUST00000166511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex7 description:peroxisomal biogenesis factor 7 [Source:MGI Symbol;Acc:MGI:1321392] MSAARTLRVPGRHGYAAEFSPYLPGRLACAAAQHYGIAGCGTLLVLDQNESGLQIFRSFD WNDGLFDVTWSENNEHVLVTCSGDGSLQLWDTAKATGPLQVYKEHTQEWDPTVGNSLCTF RGHESVIYSTIWSPHIPGCFASASGDQTLRIWDVKTTGVRIVIPAHQTEILSCDWCKYNE NLVVTGAVDCSLRGWDLRNVRQPVFELLGHTYAIRRVKFSPFHASVLASCSYDFTVRFWN FSKPDPLLETVEHHTEFTCGLDLSLQSPTQVADCSWDETIKIYDPVCLTVPG >ENSMUSP00000070343.7 pep:known chromosome:GRCm38:1:165592240:165634521:-1 gene:ENSMUSG00000026566.15 transcript:ENSMUST00000068705.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpzl1 description:myelin protein zero-like 1 [Source:MGI Symbol;Acc:MGI:1915731] MAEAVGAVALIAAPARRRWLWSVLAAMLGLLTARISALEVHTPKEIFVVNGTQGKLTCTF DSPNTTGWLTTVSWSFQPDGTDSAVSFFHYSQGQVYIGDYPPFKDRVTWAGDLDKKDASI NIENIQAVHNGTYICDVKNPPDIVVRPGHIRLHVVEIDNLLVFLVWVVVGTVTAVVLGLT LLISLVLVVLYRRKHSKRDYTGAQSFTHS >ENSMUSP00000107062.2 pep:known chromosome:GRCm38:1:165592240:165634529:-1 gene:ENSMUSG00000026566.15 transcript:ENSMUST00000111435.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpzl1 description:myelin protein zero-like 1 [Source:MGI Symbol;Acc:MGI:1915731] MAEAVGAVALIAAPARRRWLWSVLAAMLGLLTARISALEVHTPKEIFVVNGTQGKLTCTF DSPNTTGWLTTVSWSFQPDGTDSAVSFFHYSQGQVYIGDYPPFKDRVTWAGDLDKKDASI NIENIQAVHNGTYICDVKNPPDIVVRPGHIRLHVVEIDNLLVFLVWVVVGTVTAVVLGLT LLISLVLVVLYRRKHSKRDYTGCSTSERLSPVKQAPRKCPSDTEGLVKSPPSAGSHQGPV IYAQLDHSGGHHSGKINKSESVVYADIRKD >ENSMUSP00000141791.1 pep:known chromosome:GRCm38:1:165593368:165608529:-1 gene:ENSMUSG00000026566.15 transcript:ENSMUST00000195410.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpzl1 description:myelin protein zero-like 1 [Source:MGI Symbol;Acc:MGI:1915731] XTCTFDSPNTTGWLTTVSWSFQPDGTDSAVSFFHYSQGQVYIGDYPPFKDRVTWAGDLDK KDASINIENIQAVHNGTYICDVKNPPDIVVRPGHIRLHVVEIAAVPQSVCHQLSRLHGSV PPTQRV >ENSMUSP00000142186.1 pep:known chromosome:GRCm38:1:165593374:165605797:-1 gene:ENSMUSG00000026566.15 transcript:ENSMUST00000193948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpzl1 description:myelin protein zero-like 1 [Source:MGI Symbol;Acc:MGI:1915731] XYIGDYPPFKDRVTWAGDLDKKDASINIENIQAVHNGTYICDVKNPPDIVVRPGHIRLHV VEIGPSHLRTVRPLWRTPQRQD >ENSMUSP00000141361.1 pep:known chromosome:GRCm38:1:165593410:165609546:-1 gene:ENSMUSG00000026566.15 transcript:ENSMUST00000191818.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpzl1 description:myelin protein zero-like 1 [Source:MGI Symbol;Acc:MGI:1915731] MLRRVTARISALEVHTPKEIFVVNGTQGKLTCTFDSPNTTGWLTTVSWSFQPDGTDSAVS FFHYSQGQVYIGDYPPFKDRVTWAGDLDKKDASINIENIQAVHNGTYICDVKNPPDIVVR PGHIRLHVVEIDNLLVFLVWVVVGTVTAVVLGLTLLISLVLVVLYRRKHSKRDYTGAQSF THS >ENSMUSP00000142164.1 pep:known chromosome:GRCm38:1:165593413:165634513:-1 gene:ENSMUSG00000026566.15 transcript:ENSMUST00000194437.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpzl1 description:myelin protein zero-like 1 [Source:MGI Symbol;Acc:MGI:1915731] MAEAVGAVALIAAPARRRWLWSVLAAMLGLLTARISALEVHTPKEIFVVNGTQGKLTCTF DSPNTTGWLTTVSWSFQPDGTDSAVSGPVIYAQLDHSGGHHSGKINKSESVVYADIRKD >ENSMUSP00000141463.1 pep:known chromosome:GRCm38:1:165593654:165634538:-1 gene:ENSMUSG00000026566.15 transcript:ENSMUST00000193023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpzl1 description:myelin protein zero-like 1 [Source:MGI Symbol;Acc:MGI:1915731] MAEAVGAVALIAAPARRRWLWSVLAAMLGLLTARISALEVHTPKEIFVVNGTQGKLTCTF DSPNTTGWLTTVSWSFQPDGTDSAVSFFHYSQGQVYIGDYPPFKDRVTWAGDLDKKDASI NIENIQAVHNGTYICDVKNPPDIVVRPGHIRLHVVEIDNLLVFLVWVVVGTVTAVVLGLT LLISLVLVVLYRRKHSKRDYTGFISCSTSERLSPVKQAPRKCPSDTEGLVKSPPSAGSHQ GPVIYAQL >ENSMUSP00000142046.1 pep:known chromosome:GRCm38:1:165605712:165634442:-1 gene:ENSMUSG00000026566.15 transcript:ENSMUST00000193910.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpzl1 description:myelin protein zero-like 1 [Source:MGI Symbol;Acc:MGI:1915731] MAEAVGAVALIAAPARRRWLWISGDSERVIHPHSRGHDPQVEKH >ENSMUSP00000077811.3 pep:known chromosome:GRCm38:2:121866970:121936220:1 gene:ENSMUSG00000060227.15 transcript:ENSMUST00000078752.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc4 description:cancer susceptibility candidate 4 [Source:MGI Symbol;Acc:MGI:2443129] MVGFGANRRAGRLPSFVLVVLLVVIVVLAFNYWSISSRHVLLQEEVAELQGQVQRTEVAR GRLEKRNSDLLLLVDTHKKQIDQKEADYGRLSSRLQAKEGLGKRCEDDKVKLQNNISYQM ADIHHLKEQLAELRQEFLRQEDQLQDYRKNNTYLVKRLEYESFQCGQQIKELRAQHEENI KKLADQFLQEQKETHKIQSNDGKELGRNDHGAPKNIPNVPENDANKNEDPSSNHLPHGKE QLKRVGDAGMPGVEENDLAKVDELPAALKKPPVLASQHESHQTISHLPTGQPLSPNMAPG SHLNQNENPSTSKQNPSNPLQHIIPGPNLDREPRIQTDTLKQATRDRANDFHKLKQSRFF DENESPVDPQHGSKLADYNGDDGNVGEYEADKQAELAYNEEEDGDGGEEDVQDDEERELQ MDPADYGKQRFSDVL >ENSMUSP00000087357.5 pep:known chromosome:GRCm38:2:121867130:121935866:1 gene:ENSMUSG00000060227.15 transcript:ENSMUST00000089912.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc4 description:cancer susceptibility candidate 4 [Source:MGI Symbol;Acc:MGI:2443129] MVGFGANRRAGRLPSFVLVVLLVVIVVLAFNYWSISSRHVLLQEEVAELQGQVQRTEVAR GRLEKRNSDLLLLVDTHKKQIDQKEADYGRLSSRLQAKEGLGKRCEDDKVKLQNNISYQM ADIHHLKEQLAELRQEFLRQEDQLQDYRKNNTYLVKRLEYESFQCGQQIKELRAQHEENI KKLADQFLQEQKETHKIQSNDGKELGRNDHGAPKNIPNVPENDANKNEDPSSNHLPHGKE QLKRVGDAGMPGVEENDLAKVDELPAGSHLNQNENPSTSKQNPSNPLQHIIPGPNLDREP RIQTDTLKQATRDRANDFHKLKQSRFFDENESPVDPQHGSKLADYNGDDGNVGEYEADKQ AELAYNEEEDGDGGEEDVQDDEERELQMDPADYGKQRFSDVL >ENSMUSP00000087360.3 pep:known chromosome:GRCm38:2:121867130:121935907:1 gene:ENSMUSG00000060227.15 transcript:ENSMUST00000089915.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc4 description:cancer susceptibility candidate 4 [Source:MGI Symbol;Acc:MGI:2443129] MVGFGANRRAGRLPSFVLVVLLVVIVVLAFNYWSISSRHVLLQEEVAELQGQVQRTEVAR GRLEKRNSDLLLLVDTHKKQIDQKEADYGRLSSRLQAKEGLGKRCEDDKVKLQNNISYQM ADIHHLKEQLAELRQEFLRQEDQLQDYRKNNTYLVKRLEYESFQCGQQIKELRAQHEENI KKLADQFLQEQKETHKIQSNDGKELGRNDHGAPKNIPNVPENDANKNEDPSSNHLPHGKE QLKRVGDAGMPGVEENDLAKVDELPAGSHLNQNENPSTSKQNPSNPLQHIIPGPNLDREP RIQTDTLKQATRDRANDFHKLKQNDEERELQMDPADYGKQRFSDVL >ENSMUSP00000117883.1 pep:known chromosome:GRCm38:2:121898807:121933662:1 gene:ENSMUSG00000060227.15 transcript:ENSMUST00000136023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc4 description:cancer susceptibility candidate 4 [Source:MGI Symbol;Acc:MGI:2443129] QNNISYQMADIHHLKEQLAELRQEFLRQEDQLQDYRKNNTYLVKRLEYESFQCGQQIKEL RAQHEENIKKLADQFLQEQKETHKIQSNDGKELGRNDHGAPKNIPNVPENDANKNEDPSS NHLPHGKEQLKRVGDAGMPGVEENDLAKVDELPAALKKPPVLASQHESHQTISHLPTGQP LSPNMAPGSHLNQNENPSTSKQNPSNPLQHIIPGPNLDREPRIQTDTLKQATRDRANDFH KLKQNDEERELQMDPADYGKQRFSDVL >ENSMUSP00000106216.3 pep:known chromosome:GRCm38:2:121866970:121936205:1 gene:ENSMUSG00000060227.15 transcript:ENSMUST00000110586.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc4 description:cancer susceptibility candidate 4 [Source:MGI Symbol;Acc:MGI:2443129] MVGFGANRRAGRLPSFVLVVLLVVIVVLAFNYWSISSRHVLLQEEVAELQGQVQRTEVAR GRLEKRNSDLLLLVDTHKKQIDQKEADYGRLSSRLQAKEGLGKRCEDDKVKLQNNISYQM ADIHHLKEQLAELRQEFLRQEDQLQDYRKNNTYLVKRLEYESFQCGQQIKELRAQHEENI KKLADQFLQEQKETHKIQSNDGKELGRNDHGAPKNIPNVPENDANKNEDPSSNHLPHGKE QLKRVGDAGMPGVEENDLAKVDELPAALKKPPVLASQHESHQTISHLPTGQPLSPNMAPG SHLNQNENPSTSKQNPSNPLQHIIPGPNLDREPRIQTDTLKQATRDRANDFHKLKQNDEE RELQMDPADYGKQRFSDVL >ENSMUSP00000115998.1 pep:known chromosome:GRCm38:5:95742014:95746075:1 gene:ENSMUSG00000072814.10 transcript:ENSMUST00000154086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7982 description:predicted gene 7982 [Source:MGI Symbol;Acc:MGI:3648891] MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRRKKLQYLDLRNVHHSFWNIWTDSE DSDYSAEILDEKKALQVLDDTLEDLLHYLLPDFTERFGFLCLLSE >ENSMUSP00000114696.1 pep:known chromosome:GRCm38:5:95743794:95746617:1 gene:ENSMUSG00000072814.10 transcript:ENSMUST00000136313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7982 description:predicted gene 7982 [Source:MGI Symbol;Acc:MGI:3648891] MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRRKKLQYLDLRNVHHSFWNIWTDSE DSDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFC CTKMKIWTLPVKALRHIFHIFDPEHIMELELNTEWTLLELTHFAPYFGQMRNLCKVFLAP LHKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLK TFSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGTLETLDL QGCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPL ECYDEFAQVSVERFVRLCQDLMDTLRAIRQPKNTSFATDICHTCGERWVFDQVANLCRCW Q >ENSMUSP00000098573.3 pep:known chromosome:GRCm38:5:95743822:95746555:1 gene:ENSMUSG00000072814.10 transcript:ENSMUST00000101011.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7982 description:predicted gene 7982 [Source:MGI Symbol;Acc:MGI:3648891] MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRKKLQYLDLRNVHHSFWNIWTDSED SDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFCC TKMKIWTLPVKALRHIFHIFDPEHIMELELNTEWTLLELTHFAPYFGQMRNLCKVFLAPL HKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLKT FSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGTLETLDLQ GCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPLE CYDEFAQVSVERFVRLCQDLMDTLRAIRQPKNTSFATDICHTCGERWVFDQVANLCRCWQ >ENSMUSP00000029477.6 pep:known chromosome:GRCm38:3:109123149:109168457:1 gene:ENSMUSG00000040322.10 transcript:ENSMUST00000029477.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a24 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 24 [Source:MGI Symbol;Acc:MGI:1917160] MLRWLRAFVLPTAACHDAEPPTRYETLFRALDRNGDGVVDIGELQQGLQSLGIPLGQDAE EKIFTTGDVNKDGKLDFEEFMKYLKDHEKKMKLAFKSLDKNNDGKIEPSEIVQSLQMLGL HISEKQAELILQSIDSDGTMTVDWNEWRDYFLFNPVTDIEEIIRFWKHSTGIDIGDSLTI PDEFTEDEKKSGQWWRQLLAGGVAGAVSRTSTAPLDRLKVMMQVHGSKSMNIFGGFRQMV KEGGIRSLWRGNGTNVIKIAPETAVKFWAYEQYKKLLTEEGQKLGTFERFISGSMAGATA QTFIYPMEVLKTRLAVAKTGQYSGIYGCAKKILKHEGFGAFYKGYIPNLLGIIPYAGIDL AVYELLKSYWLDNFAKDSVNPGVMVLLSCGALSSTCGQLASYPLALVRTRMQAQATVEGA PQLSMVGLFQRIVSKEGVSGLYRGITPNFMKVLPAVGISYVVYENMKQTLGVAQK >ENSMUSP00000143109.1 pep:known chromosome:GRCm38:3:109123162:109158540:1 gene:ENSMUSG00000040322.10 transcript:ENSMUST00000140786.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a24 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 24 [Source:MGI Symbol;Acc:MGI:1917160] MLRWLRAFVLPTAACHDAEPPTRYETLFRALDRNGDGVVDIGELQQGLQSLGIPLGQDAE EKIFTTGDVNKDGKLDFEEFMKYLKDHEKKMKLAFKSLDKNNDASTLMGR >ENSMUSP00000019649.3 pep:known chromosome:GRCm38:11:62551171:62553213:1 gene:ENSMUSG00000019505.7 transcript:ENSMUST00000019649.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubb description:ubiquitin B [Source:MGI Symbol;Acc:MGI:98888] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKA KIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKT ITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLR LRGGY >ENSMUSP00000117361.1 pep:known chromosome:GRCm38:11:62551676:62552853:1 gene:ENSMUSG00000019505.7 transcript:ENSMUST00000136938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubb description:ubiquitin B [Source:MGI Symbol;Acc:MGI:98888] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKA KIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL >ENSMUSP00000033414.7 pep:known chromosome:GRCm38:X:21714896:21742355:1 gene:ENSMUSG00000031089.7 transcript:ENSMUST00000033414.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a14 description:solute carrier family 6 (neurotransmitter transporter), member 14 [Source:MGI Symbol;Acc:MGI:1890216] MDRLKCPNFFKCRQKEKVTASSENFHVGENDENQERGNWSKKSDYLLSMVGYAVGLGNVW RFPYLTYTNGGGAFLIPYAIMLALAGLPLFFLECSLGQFASLGPVSVWRILPLFQGVGIT MVLISVFVAIYYNVIIAYSLYYLFASFQSVLPWANCSSWADENCSRTPIVTGCNVSIGAG EMFMNISWVNTNNLTCLNGSEVFRPGQLPSEQYWDKVTLQRSSGMDETGVVVWYLALCLL LAWLIVGAALFKGIKSSGKVVYFTALFPYVVLLILLIRGATLEGASKGISYYIGAQSNFT KLREAEVWKDAATQIFYSLSVAWGGLVALSSYNKFNNNCYSDAIIVCLTNCLTSVFAGFA IFSILGHMAHISGKEVSQVVKSGFDLAFIAYPEALAQLPAGPFWSILFFFMLLTLGLDSQ FASIETITTTFQDLFPKAMKRMRVPITLGCCLILFLLGLLCVTQAGIYWVHLIDHFCAGW GILIAAILEIAGIIWIYGGNRFIEDIEMMIGAKRWIFWLWWRACWFVITPILLSAILVWS LVKFHRPDYADIPYPDWGVALGWCMIIFCIIWIPIMAIIKIVQAEGNILQRIISCCRPAS NWGPYLEKHRGERYRDMAEPAKETDHEIPTISGSTKPE >ENSMUSP00000143641.1 pep:known chromosome:GRCm38:5:109554709:109558936:-1 gene:ENSMUSG00000033467.12 transcript:ENSMUST00000200284.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crlf2 description:cytokine receptor-like factor 2 [Source:MGI Symbol;Acc:MGI:1889506] MAAMALLERADVTGCSPDPRPAPAGDVTVVCHDLETVEVTWGSGPDHHGANLSLEFRYGT GALQPCPRYFLSGAGVTSGCILPAARAGLLELALRDGGGAMVFKARQRASAWLKPRPPWN VTLLWTPDGDVTVSWPAHSYLGLDYEVQHRESNDDEDAWQTTSGPCCDLTVGGLDPVRCY DFRVRASPRAAHYGLEAQPSEWTAVTRLSGAASAASCTASPAPSPALAPPLLPLGCGLAA LLTLSLLLAALRLRRVKDALLPCVPDPSGSFPGLFEKHHGNFQAWIADAQATAPPARTEE EDDLIHTKAKRVEPEDGTSLCTVPRPPSFEPRGPGGGAMVSVGGATFMVGDSGYMTL >ENSMUSP00000036326.7 pep:known chromosome:GRCm38:5:109554713:109558993:-1 gene:ENSMUSG00000033467.12 transcript:ENSMUST00000044579.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crlf2 description:cytokine receptor-like factor 2 [Source:MGI Symbol;Acc:MGI:1889506] MAWALAVILLPRLLAAAAAAAAVTSRGDVTVVCHDLETVEVTWGSGPDHHGANLSLEFRY GTGALQPCPRYFLSGAGVTSGCILPAARAGLLELALRDGGGAMVFKARQRASAWLKPRPP WNVTLLWTPDGDVTVSWPAHSYLGLDYEVQHRESNDDEDAWQTTSGPCCDLTVGGLDPVR CYDFRVRASPRAAHYGLEAQPSEWTAVTRLSGAASAASCTASPAPSPALAPPLLPLGCGL AALLTLSLLLAALRLRRVKDALLPCVPDPSGSFPGLFEKHHGNFQAWIADAQATAPPART EEEDDLIHTKAKRVEPEDGTSLCTVPRPPSFEPRGPGGGAMVSVGGATFMVGDSGYMTL >ENSMUSP00000142982.1 pep:known chromosome:GRCm38:5:109554715:109556473:-1 gene:ENSMUSG00000033467.12 transcript:ENSMUST00000198960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crlf2 description:cytokine receptor-like factor 2 [Source:MGI Symbol;Acc:MGI:1889506] LDYEVQHRESNDDEDAWQTTSGPCCDLTVGGLDPVRCYDFRVRASPRAAHYGLEAQPSEW TAVTRLSGAASAGDPCAAHLPPLASCTASPAPSPALAPPLLPLGCGLAALLTLSLLLAAL RLRRVKDALLPCVPDPSGSFPGLFEKHHGNFQAWIADAQATAPPARTEEEDDLIHTKAKR VEPEDGTSLCTVPRPPSFEPRGPGGGAMVSVGGATFMVGDSGYMTL >ENSMUSP00000026661.3 pep:known chromosome:GRCm38:11:117815526:117826092:-1 gene:ENSMUSG00000025574.13 transcript:ENSMUST00000026661.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tk1 description:thymidine kinase 1 [Source:MGI Symbol;Acc:MGI:98763] MSYINLPTVLPSSPSKTRGQIQVILGPMFSGKSTELMRRVRRFQIAQYKCLVIKYAKDTR YSNSFSTHDRNTMDALPACMLRDVTQESLGVAVIGIDEGQFFPDIVDFCEMMANEGKTVI VAALDGTFQRKAFGSILNLVPLAESVVKLTAVCMECFREAAYTKRLGLEKEVEVIGGADK YHSVCRLCYFKKSSAQTAGSDNKNCLVLGQPGEALVVRKLFASQQVLQYNSAN >ENSMUSP00000004036.5 pep:known chromosome:GRCm38:11:69554092:69560205:-1 gene:ENSMUSG00000003934.5 transcript:ENSMUST00000004036.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efnb3 description:ephrin B3 [Source:MGI Symbol;Acc:MGI:109196] MGAPHFGPGGVQVGALLLLGFAGLVSGLSLEPVYWNSANKRFQAEGGYVLYPQIGDRLDL LCPRARPPGPHSSPSYEFYKLYLVEGAQGRRCEAPPAPNLLLTCDRPDLDLRFTIKFQEY SPNLWGHEFRSHHDYYIIATSDGTREGLESLQGGVCLTRGMKVLLRVGQSPRGGAVPRKP VSEMPMERDRGAAHSAEPGRDTIPGDPSSNATSRGAEGPLPPPSMPAVAGAAGGMALLLL GVAGAGGAMCWRRRRAKPSESRHPGPGSFGRGGSLGLGGGGGMGPREAEPGELGIALRGG GTADPPFCPHYEKVSGDYGHPVYIVQDGPPQSPPNIYYKV >ENSMUSP00000087686.6 pep:known chromosome:GRCm38:2:118528757:118549687:-1 gene:ENSMUSG00000040093.15 transcript:ENSMUST00000090219.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmf description:BCL2 modifying factor [Source:MGI Symbol;Acc:MGI:2176433] MPGAGVFWKQYRAVCRGLLPRQLAPAAVAAAARATASHRSPLEFAPFFPIECGHQAPRVF FTLDPGAEPWHHNSEAETLSWSHPGEMEPPQCVEELEDDVFQSEDGEPGTQPGGLLSADL FAQSQLDCPLSRLQLFPLTHCCGPGLRPISQEDKATQTLSPASPSQGVMLPCGVTEEPQR LFYGNAGYRLPLPASFPAGSPLGEQPPEGQFLQHRAEVQIARKLQCIADQFHRLHTQQHQ QNRDRAWWQVFLFLQNLALNRQENREGVGPW >ENSMUSP00000106483.2 pep:known chromosome:GRCm38:2:118530232:118547559:-1 gene:ENSMUSG00000040093.15 transcript:ENSMUST00000110859.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmf description:BCL2 modifying factor [Source:MGI Symbol;Acc:MGI:2176433] MSPGEMEPPQCVEELEDDVFQSEDGEPGTQPGGLLSADLFAQSQLDCPLSRLQLFPLTHC CGPGLRPISQEDKATQTLSPASPSQGVMLPCGVTEEPQRLFYGNAGYRLPLPASFPAGSP LGEQPPEGQFLQHRAEVQIARKLQCIADQFHRLHTQQHQQNRDRAWWQVFLFLQNLALNR QENREGVGPW >ENSMUSP00000076957.3 pep:known chromosome:GRCm38:6:72347334:72353148:1 gene:ENSMUSG00000058706.5 transcript:ENSMUST00000077783.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610030E20Rik description:RIKEN cDNA 0610030E20 gene [Source:MGI Symbol;Acc:MGI:1915614] MEAAQDHGPGLCCKPGGRLDMSHGFVHHIRRNQLDRDDYDKKVKQAAKEKARRRHTPAPT RPRKPDLQVYLPRHRDGSTHPVNPDCEEASESSSSGSSELEPPGRQLFCLDYEADSGEVT SVIVYQDDDPGRVSEAVSAHTPLDPAMREALRSRIQEELAKRQSRH >ENSMUSP00000038665.9 pep:known chromosome:GRCm38:10:105763189:105841378:-1 gene:ENSMUSG00000036009.16 transcript:ENSMUST00000046638.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl25 description:methyltransferase like 25 [Source:MGI Symbol;Acc:MGI:3041259] MSVMAAACPLPRTPDLPTLHDKLQGLLSFLRGALAISSAHTVDFYTKSVWQELVDLPPES VLAALRESAVEAEPREAETGSGFTELPKIFCETSQKLLSVEAFARTAKHYSVQNLGLCTP SEQLLTALQGNKRQRVDENVKAIEFMNTKKSHEVQAMSELICSIADYCGLKQIIDVGSGK GYLSSFLSLKYGLNVYGIDSSNTNTHGAKERNRKLKKHWSLYHPHSRADANGWASERPRE LKVPKGVECKGDAESVQRSRLGNPDLSATDGLPDFSGSAISVIRKQQKNVLAQPAEEENL YFEDAFSLIDFLPVDAIEPTSSQVQNTEKSGLRKERRNTASKARDSSIYSPLTSFITADS QLHDIIEDLEDCLMVGLHTCGDLAPSTLRIFTSKAEVKAVCSVGCCYHLLSEEFENQHKD RCANENWGFPMCHYLKEERWCCGRNARMSACLALQRVAVGQGLPTESLFYRAVLQNIIKD YYGISKCEQHVGKIYSKCSSFLEYVRMSLKKLGLDESKVSEEIIMDYYENYKPRMNELEA FNMLKVVLAPCIETLILLDRLCYLKEQDGVAWSALVKLFDPVQSPRCYAVIALKKQCDRG >ENSMUSP00000134888.1 pep:known chromosome:GRCm38:10:105763255:105841380:-1 gene:ENSMUSG00000036009.16 transcript:ENSMUST00000176924.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl25 description:methyltransferase like 25 [Source:MGI Symbol;Acc:MGI:3041259] MSVMAAACPLPRTPDLPTLHDKLQGLLSFLRGALAISSAHTVDFYTKSVWQELVDLPPES VLAALRESAVEAEPREAETGSDVRLLLLLLLESSKTKF >ENSMUSP00000135255.1 pep:known chromosome:GRCm38:10:105826573:105841371:-1 gene:ENSMUSG00000036009.16 transcript:ENSMUST00000176040.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl25 description:methyltransferase like 25 [Source:MGI Symbol;Acc:MGI:3041259] MSVMAAACPLPRTPDLPTLHDKLQGLLSFLRGALAISSAHTVDFYTKSVWQELVDLPPES VLAALRESAVEAEPREAETGSGSVLLLKSS >ENSMUSP00000130522.1 pep:known chromosome:GRCm38:6:50189369:50261755:-1 gene:ENSMUSG00000029821.15 transcript:ENSMUST00000165099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dfna5 description:deafness, autosomal dominant 5 (human) [Source:MGI Symbol;Acc:MGI:1889850] MFAKATRNFLKEVDAGGDLISVSHLNDSDKLQLLSLVTKKKRYWCWQRPKYQILSATLED VLTEGHCLSPVVVESDFVKYESKCENHKSGAIGTVVGKVKLNVGGKGVVESHSSFGTLRK QEVDVQQLIQDAVKRTVNMDNLVLQQVLESRNEVLCVLTQKIMTTQKCVISEHVQSEETC GGMVGIQTKTIQVSATEDGTVTTDTNVVLEIPAATTIAYGIMELFVKQDGQFEFCLLQGK HGGFEHERKLDSVYLDPLAYREFAFLDMLDGGQGISSQDGPLRVVKQATLHLERSFHPFA VLPAQQQRALFCVLQKILFDEELLRALEQVCDDVAGGLWSSQAVLAMEELTDSQQQDLTA FLQLVGYRIQGEHPGPQDEVSNQKLFATAYFLVSALAEMPDNATVFLGTCCKLHVISSLC CLGG >ENSMUSP00000098952.3 pep:known chromosome:GRCm38:6:50200224:50261747:-1 gene:ENSMUSG00000029821.15 transcript:ENSMUST00000101405.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dfna5 description:deafness, autosomal dominant 5 (human) [Source:MGI Symbol;Acc:MGI:1889850] MFAKATRNFLKEVDAGGDLISVSHLNDSDKLQLLSLVTKKKRYWCWQRPKYQILSATLED VLTEGHCLSPVVVESDFVKYESKCENHKSGAIGTVVGKVKLNVGGKGVVESHSSFGTLRK QEVDVQQLIQDAVKRTVNMDNLVLQQVLESRNEVLCVLTQKIMTTQKCVISEHVQSEETC GGMVGIQTKTIQVSATEDGTVTTDTNVVLEIPAATTIAYGIMELFVKQDGQFEFCLLQGK HGGFEHERKLDSVYLDPLAYREFAFLDMLDGGQGISSQDGPLRVVKQATLHLERSFHPFA VLPAQQQRALFCVLQKILFDEELLRALEQVCDDVAGGLWSSQAVLAMEELTDSQQQDLTA FLQLVGYRIQGEHPGPQDEVSNQKLFATAYFLVSALAEC >ENSMUSP00000031845.6 pep:known chromosome:GRCm38:6:50207401:50263862:-1 gene:ENSMUSG00000029821.15 transcript:ENSMUST00000031845.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dfna5 description:deafness, autosomal dominant 5 (human) [Source:MGI Symbol;Acc:MGI:1889850] MFAKATRNFLKEVDAGGDLISVSHLNDSDKLQLLSLVTKKKRYWCWQRPKYQILSATLED VLTEGHCLSPVVVESDFVKYESKCENHKSGAIGTVVGKVKLNVGGKGVVESHSSFGTLRK QEVDVQQLIQDAVKRTVNMDNLVLQQVLESRNEVLCVLTQKIMTTQKCVISEHVQSEETC GGMVGIQTKTIQVSATEDGTVTTDTNVVLEIPAATTIAYGIMELFVKQDGQFEFCLLQGK HGGFEHERKLDSVYLDPLAYREFAFLDMLDGGQGISSQDGPLRVVKQATLHLERSFHPFA VLPAQQQRALFCVLQKILFDEELLRALEQVCDDVAGGLWSSQAVLAMEELTDSQQQDLTA FLQLVGYRIQGEHPGPQDEVSNQKLFATAYFLVSALAEMPDNATVFLGTCCKLHVISSLC CLLHALSDDSVCDFHNPTLAPLRDTERFGIVQRLFASADIALERMQFSAKATILKDSCIF PLILHITLSGLSTLSKEHEEELCQSGHATGQD >ENSMUSP00000132062.1 pep:known chromosome:GRCm38:6:50245965:50262026:-1 gene:ENSMUSG00000029821.15 transcript:ENSMUST00000167893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dfna5 description:deafness, autosomal dominant 5 (human) [Source:MGI Symbol;Acc:MGI:1889850] MFAKATRNFLKEVDAGGDLISVSHLNDSDKLQLLSLVTKKKRYWCWQRPKYQILSATLED VLTEGHCLSPVVVESDFVKYESKCENHKSGAIGTVVGKVKLNVGGKGVVESHSSFGTLRK QEV >ENSMUSP00000126759.1 pep:known chromosome:GRCm38:6:50207403:50261769:-1 gene:ENSMUSG00000029821.15 transcript:ENSMUST00000170142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dfna5 description:deafness, autosomal dominant 5 (human) [Source:MGI Symbol;Acc:MGI:1889850] MFAKATRNFLKEVDAGGDLISVSHLNDSDKLQLLSLVTKKKRYWCWQRPKYQILSATLED VLTEGHCLSPVVVESDFVKYESKCENHKSGAIGTVVGKVKLNVGGKGVVESHSSFGTLRK QEVDVQQLIQDAVKRTVNMDNLVLQQVLESRNEVLCVLTQKIMTTQKCVISEHVQSEETC GGMVGIQTKTIQVSATEDGTVTTDTNVVLEIPAATTIAYGIMELFVKQDGQFEFCLLQGK HGGFEHERKLDSVYLDPLAYREFAFLDMLDGGQGISSQDGPLRVVKQATLHLERSFHPFA VLPAQQQRALFCVLQKILFDEELLRALEQVCDDVAGGLWSSQAVLAMEELTDSQQQDLTA FLQLVGYRIQGEHPGPQDEVSNQKLFATAYFLVSALAEMPDNATVFLGTCCKLHVISSLC CLLHALSDDSVCDFHNPTLAPLRDTERFGIVQRLFASADIALERMQFSAKATILKDSCIF PLILHITLSGLSTLSKEHEEELCQSGHATGQD >ENSMUSP00000085423.5 pep:known chromosome:GRCm38:X:94074631:94123407:-1 gene:ENSMUSG00000079509.10 transcript:ENSMUST00000088102.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfx description:zinc finger protein X-linked [Source:MGI Symbol;Acc:MGI:99211] MDEDGLELQQQAPNSFFDATGAGATHMDGNQIVVEVQETVYVSDVVDSDITVHNYVPDDP DSVVIQDVIEDVVIEDVQCTDIMDEADVSETVIIPEQVLDSDVTEEVSLTHCTVPDDVLA SDITSASISMPEHVLTSESIHVSDVGHVEHVVHDSVVEAEIVTDPLAADVVSEEVLVADC ASEAVIDANGIPVNQQDEEKNNCEDYLMISLDDAGKIEHDGSSGLTMDNETEIDPCKVDG TCPEVIKVYIFKADPGEDDLGGTVDIVESEPENEHGVELLDPNNSIRVPREKMVYMAVND SQQEEEELNVAEIADEVYMEVIVGEEDAAAAAAAAVHEQQVEDNEMKTFMPIAWAAAYGN NSDGIENRNGTASALLHIDESAGLGRLAKQKPKKRRRPDSRQYQTAIIIGPDGHPLTVYP CMICGKKFKSRGFLKRHMKNHPEHLAKKKYRCTDCDYTTNKKISLHNHLESHKLTSKAEK AIECDECGKHFSHAGALFTHKMVHKEKGANKMHKCKFCEYETAEQGLLNRHLLAVHSKNF PHICVECGKGFRHPSELKKHMRIHTGEKPYECQYCEYRSADSSNLKTHVKTKHSKEMPFK CDICLLTFSDTKEVQQHALVHQESKTHQCLHCDHKSSNSSDLKRHIISVHTKDYPHKCDM CDKGFHRPSELKKHVAAHKGKKMHQCRHCDFKIADPFVLSRHILSVHTKDLPFRCKRCRK GFRQQSELKKHMKTHSGRKVYQCEYCEYSTTDASGFKRHVISIHTKDYPHRCEYCKKGFR RPSEKNQHIMRHHKEVGLP >ENSMUSP00000109559.1 pep:known chromosome:GRCm38:X:94074632:94122900:-1 gene:ENSMUSG00000079509.10 transcript:ENSMUST00000113926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfx description:zinc finger protein X-linked [Source:MGI Symbol;Acc:MGI:99211] MDEDGLELQQQAPNSFFDATGAGATHMDGNQIVVEVQETVYVSDVVDSDITVHNYVPDDP DSVVIQDVIEDVVIEDVQCTDIMDEADVSETVIIPEQVLDSDVTEEVSLTHCTVPDDVLA SDITSASISMPEHVLTSESIHVSDVGHVEHVVHDSVVEAEIVTDPLAADVVSEEVLVADC ASEAVIDANGIPVNQQDEEKNNCEDYLMISLDDAGKIEHDGSSGLTMDNETEIDPCKVDG TCPEVIKVYIFKADPGEDDLGGTVDIVESEPENEHGVELLDPNNSIRVPREKMVYMAVND SQQEEEELNVAEIADEVYMEVIVGEEDAAAAAAAAVHEQQVEDNEMKTFMPIAWAAAYGN NSDGIENRNGTASALLHIDESAGLGRLAKQKPKKRRRPDSRQYQTAIIIGPDGHPLTVYP CMICGKKFKSRGFLKRHMKNHPEHLAKKKYRCTDCDYTTNKKISLHNHLESHKLTSKAEK AIECDECGKHFSHAGALFTHKMVHKEKGANKMHKCKFCEYETAEQGLLNRHLLAVHSKNF PHICVECGKGFRHPSELKKHMRIHTGEKPYECQYCEYRSADSSNLKTHVKTKHSKEMPFK CDICLLTFSDTKEVQQHALVHQESKTHQCLHCDHKSSNSSDLKRHIISVHTKDYPHKCDM CDKGFHRPSELKKHVAAHKGKKMHQCRHCDFKIADPFVLSRHILSVHTKDLPFRCKRCRK GFRQQSELKKHMKTHSGRKVYQCEYCEYSTTDASGFKRHVISIHTKDYPHRCEYCKKGFR RPSEKNQHIMRHHKEVGLP >ENSMUSP00000118903.1 pep:known chromosome:GRCm38:X:94082283:94123375:-1 gene:ENSMUSG00000079509.10 transcript:ENSMUST00000137853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfx description:zinc finger protein X-linked [Source:MGI Symbol;Acc:MGI:99211] MDEDGLELQQQAPNSFFDATGAGATHMDGNQIVVEVQETVYVSDVVDSDITVHNYVPDDP DSVVIQDVIEDVVIEDVQCTDIMDEADVSETVIIPEQVLDSDVTEEVSLTHCTVPDDVLA SDITSASISMPEHVLTSESIHVSDVGHVEHVVHDSVVEAEIVTDPLAADVVSEEVLVADC ASEAVIDANGIPVNQQDEEKNNCEDYLMISLDDAGK >ENSMUSP00000109558.1 pep:known chromosome:GRCm38:X:94098174:94123109:-1 gene:ENSMUSG00000079509.10 transcript:ENSMUST00000113925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfx description:zinc finger protein X-linked [Source:MGI Symbol;Acc:MGI:99211] MDEDGLELQQQAPNSFFDATGAGATHMDGNQIVVEVQETVYVSDVVDSDITVHNYVPDDP DSVVIQDVIEDVVIEDVQCTDIMDEADVSETVIIPEQVLDSDVTEEVSLTHCTVPDDVLA SDITSASISMPEHVLTSESIHVSDVGHVEHVVHDSVVEAEIVTDPLAADVVSEEVLVADC ASEAVIDANGIPVNQQDEEKNNCEDYLMISCKFGGEYGVSHRYF >ENSMUSP00000109560.1 pep:known chromosome:GRCm38:X:94074632:94123407:-1 gene:ENSMUSG00000079509.10 transcript:ENSMUST00000113927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfx description:zinc finger protein X-linked [Source:MGI Symbol;Acc:MGI:99211] MDEDGLELQQQAPNSFFDATGAGATHMDGNQIVVEVQETVYVSDVVDSDITVHNYVPDDP DSVVIQDVIEDVVIEDVQCTDIMDEADVSETVIIPEQVLDSDVTEEVSLTHCTVPDDVLA SDITSASISMPEHVLTSESIHVSDVGHVEHVVHDSVVEAEIVTDPLAADVVSEEVLVADC ASEAVIDANGIPVNQQDEEKNNCEDYLMISLDDAGKIEHDGSSGLTMDNETEIDPCKVDG TCPEVIKVYIFKADPGEDDLGGTVDIVESEPENEHGVELLDPNNSIRVPREKMVYMAVND SQQEEEELNVAEIADEVYMEVIVGEEDAAAAAAAAVHEQQVEDNEMKTFMPIAWAAAYGN NSDGIENRNGTASALLHIDESAGLGRLAKQKPKKRRRPDSRQYQTAIIIGPDGHPLTVYP CMICGKKFKSRGFLKRHMKNHPEHLAKKKYRCTDCDYTTNKKISLHNHLESHKLTSKAEK AIECDECGKHFSHAGALFTHKMVHKEKGANKMHKCKFCEYETAEQGLLNRHLLAVHSKNF PHICVECGKGFRHPSELKKHMRIHTGEKPYECQYCEYRSADSSNLKTHVKTKHSKEMPFK CDICLLTFSDTKEVQQHALVHQESKTHQCLHCDHKSSNSSDLKRHIISVHTKDYPHKCDM CDKGFHRPSELKKHVAAHKGKKMHQCRHCDFKIADPFVLSRHILSVHTKDLPFRCKRCRK GFRQQSELKKHMKTHSGRKVYQCEYCEYSTTDASGFKRHVISIHTKDYPHRCEYCKKGFR RPSEKNQHIMRHHKEVGLP >ENSMUSP00000078695.5 pep:known chromosome:GRCm38:7:38518139:38528193:-1 gene:ENSMUSG00000060565.5 transcript:ENSMUST00000079759.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5591 description:predicted gene 5591 [Source:MGI Symbol;Acc:MGI:3648692] MSENFQSSPFFGTESALQPSLPVMNNSTHSGRVCNTSSVSTPAVSLAWILPPSTNTSVQV ITGRAYLNPHAGKTMLVELTEQSQNSTSALFYPGVLKWELAESKDRGDAIQEFNMTITNQ DTGLSSLSVTSQCDKILDPNTIAPFHPSLLTSFVQVTPPQVPDQGYSLAPSYQDGSQVYY YNHNCLDRLIPGELGQCLQSYSSVSYPVSKGSAPQPEMLMVGKEIQPRNVQIPISTSGFS YSTSGQNMPDISLQVVHMETSQGLLPSGQTVSLLHSPELCNISTEVFQMRKTPVNGDRLL TAPVYSSSKFMTLPLAPILEERENENMLEMKAELSMPRDAYEGTKENQGPSHLPLLHPDC TDTRSLRPKSASDNATMEGISVGLEEQEKLENETGSRNNFDDITTLGTGDHLPQFFKTLK DIDWGPPLQSWRVMSSSSEKVTENDLKTSDLLDGAPQAKIQHQDLVRVEGSGGCAKDKER AIDNISKHLEGKAPKEAPSRHRRGRKQGQERPSGPENNSKKTQELKQSRDRVKAEEKPTI PKTKRKTNPPELSQNSFKKPRTHLGMHMLESVQVFHPLGKKIEKKTGISSFGGLRTSTNN KDRGPGPATPALLDMPPEGRGPDRIPGKAQRVKISAHKECTSPSQYELPLPGKVKLVPLP FPTLDQPQARPASRKPLPLASHRPTAAYPVRLHPHSAHPSQPAPVSTSLMASANSAPPIS PSATQPNAANTSQSSAMPQLAHMRLVPYRASSHTSFQRELISSGRKKAPSLPKPQTQHRL QDFSCQSIPWRKVDILGPVISQPITKEQRPEREAMKSCAQQERENAAKCSSPGKLQIFLH RETDMETSLYYGYAM >ENSMUSP00000025349.5 pep:known chromosome:GRCm38:18:44334074:44355724:1 gene:ENSMUSG00000024471.12 transcript:ENSMUST00000025349.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myot description:myotilin [Source:MGI Symbol;Acc:MGI:1889800] MFNYERPKHFIQPQNPCGSRLQPPGPEVSGFPSQTKQSSIVIQPRQCTEQRFSASSTVSS HITVSSSAYPAPQQLAGPNPGQKVTATYNQSPASFLSSILPSQPDYCNSKIPSTVDSNYQ QSSVNQPVNAMSSQAANARPTPKTPDHEIQGSKEALIQDLERKLKCKDTLLHNGNQRLTY EEKMARRLLGPQNAAAVFQAQNSDVQDSPQHNPEQARLHVPTSQVRSRSSSRAEANDQDA IQEKFYPPRFIQVPENMSIEEGRFCRMDFKVSGLPAPDVSWYLNGRPVQSDELHKMIVSE KGFHSLIFEVVRASDAGPYACVARNRAGEATFTVQLDVLAKEHKRAPMFIFKPQSKKVFE GETVKLECQISAIPPPKLFWKRNNEMVQFNTDRISLYHDNAGRVTLLIKDVNKKDAGWYT VSAVNEAGVTTCNTRLDVTARPIQTLPAPKQLRVRPTFSKYLALNGRGLDVKQAFNPEGE FQRLAAQSGLYESEEL >ENSMUSP00000111160.1 pep:known chromosome:GRCm38:18:44334074:44355724:1 gene:ENSMUSG00000024471.12 transcript:ENSMUST00000115498.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myot description:myotilin [Source:MGI Symbol;Acc:MGI:1889800] MFNYERPKHFIQPQNPCGSRLQPPGPEVSGFPSQTKQSSIVIQPRQCTEQRFSASSTVSS HITVSSSAYPAPQQLAGPNPGQKVTATYNQSPASFLSSILPSQPDYCNSKIPSTVDSNYQ QSSVNQPVNAMSSQAANARPTPKTPDHEIQGSKEALIQDLERKLKCKDTLLHNGNQRLTY EEKMARRLLGPQNAAAVFQAQNSDVQDSPQHNPEQARLHVPTSQVRSRSSSRAEANDQDA IQEKFYPPRFIQVPENMSIEEGRFCRMDFKVSGLPAPDVSWYLNGRPVQSDELHKMIVSE KGFHSLIFEVVRASDAGPYACVARNRAGEATFTVQLDVLAKEHKRAPMFIFKPQSKKVFE GETVKLECQISAIPPPKLFWKRNNEMVQFNTDRISLYHDNAGRVTLLIKDVNKKDAGWYT VSAVNEAGVTTCNTRLDVTARPIQTLPAPKQLRVRPTFSKYLALNGRGLDVKQAFNPEGE FQRLAAQSGLYESEEL >ENSMUSP00000081059.5 pep:known chromosome:GRCm38:8:20337664:20363210:-1 gene:ENSMUSG00000074449.3 transcript:ENSMUST00000084046.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15319 description:predicted gene 15319 [Source:MGI Symbol;Acc:MGI:3796981] MEEEINYDSQTMNIPRRRRWYTHLWRSCLGLRCMHPQKKKKYPLYLIGYDPIGPLQRAAS VGDLDTTEKLIHSSQHHVDESDRRKRTSLHYACAHNHPDVVTLLLENNSSINIRDDEGCT PLIKATQRDNVDCASVLLTHNADPNLIDSSGNTAFHHAISRGNIRIVKMLLEHNVDIEAK TEYGLTPLQLATFEQKPEMVEFLAAKCAKSSVTPSWSPSPTVSPCPSSTSPLSSLGLPVC PNPGTSSLSEDRKSSSARRIKLSTGSSAQRTEVKHVRFNEEVLYFKEERPLSCEARPPRQ LKSILKNTVQYNTVNEKITRRTSLWCSKAEDVRYTSCIKVKCFSVKSSFL >ENSMUSP00000031565.8 pep:known chromosome:GRCm38:5:142960343:142973185:1 gene:ENSMUSG00000029581.14 transcript:ENSMUST00000031565.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fscn1 description:fascin actin-bundling protein 1 [Source:MGI Symbol;Acc:MGI:1352745] MTANGTAEAVQIQFGLISCGNKYLTAEAFGFKVNASASSLKKKQIWTLEQPPDEAGSAAV CLRSHLGRYLAADKDGNVTCEREVPDGDCRFLVVAHDDGRWSLQSEAHRRYFGGTEDRLS CFAQSVSPAEKWSVHIAMHPQVNIYSVTRKRYAHLSARPADEIAVDRDVPWGVDSLITLA FQDQRYSVQTSDHRFLRHDGRLVARPEPATGFTLEFRSGKVAFRDCEGRYLAPSGPSGTL KAGKATKVGKDELFALEQSCAQVVLQAANERNVSTRQGMDLSANQDEETDQETFQLEIDR DTRKCAFRTHTGKYWTLTATGGVQSTASTKNASCYFDIEWCDRRITLRASNGKFVTAKKN GQLAASVETAGDSELFLMKLINRPIIVFRGEHGFIGCRKVTGTLDANRSSYDVFQLEFND GAYNIKDSTGKYWTVGSDSSVTSSSDTPVDFFLEFCDYNKVALKVGGRYLKGDHAGVLKA CAETIDPASLWEY >ENSMUSP00000142509.1 pep:known chromosome:GRCm38:5:142960376:142973183:1 gene:ENSMUSG00000029581.14 transcript:ENSMUST00000198017.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fscn1 description:fascin actin-bundling protein 1 [Source:MGI Symbol;Acc:MGI:1352745] MTANGTAEAVQIQFGLISCGNKYLTAEAFGFKVNASASSLKKKQIWTLEQPPDEAGSAAV CLRSHLGRYLAPSGPSGTLKAGKATKVGKDELFALEQSCAQVVLQAANERNVSTRQGMDL SANQDEETDQETFQLEIDRDTRKCAFRTHTGKYWTLTATGGVQSTASTKNASCYFDIEWC DRRITLRASNGKFVTAKKNGQLAASVETAGDSELFLMKLINRPIIVFRGEHGFIGCRKVT GTLDANRSSYDVFQLEFNDGAYNIKDSTGKYWTVGSDSSVTSSSDTPVDFFLEFCDYNKV ALKVGGRYLKGDHAGVLKACAETIDPASLWEY >ENSMUSP00000122862.1 pep:known chromosome:GRCm38:5:142960843:142972170:1 gene:ENSMUSG00000029581.14 transcript:ENSMUST00000129306.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fscn1 description:fascin actin-bundling protein 1 [Source:MGI Symbol;Acc:MGI:1352745] XSVHIAMHPQVVLQAANERNVSTRQGMDLSANQDEETDQETFQLEIDRDTRKCAFRTHTG KYWTLTATGGVQSTASTKNASCYFDIEWCDRRITLRASNGKFVTAKKNGQLAASVETAGD SELFLMKLINRPIIVFRGEHGFIGCRKVTGTLDANRSSYDVFQLEFNDGAYNIKDSTGKY WTVGSDSSVTSSSDTPVDFFLEFCDYNKVALKVGGRYLKGDHAGVLKACAETIDPASLWE Y >ENSMUSP00000124163.1 pep:known chromosome:GRCm38:3:138415487:138424028:1 gene:ENSMUSG00000037797.14 transcript:ENSMUST00000161312.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adh4 description:alcohol dehydrogenase 4 (class II), pi polypeptide [Source:MGI Symbol;Acc:MGI:1349472] MGTQGKSPPYPSPSSLFQVIKCKAAIAWKTGSPLCIEEIEVSPPKACEVRIQVIATCVCP TDINATDPKKKALFPVVLGHECAGIVESVGPGVTNFKPGDKVIPFFAPQCKRCKLCLSPL TNLCGKLRNFKYPTIDQELMEDRTSRFTCKGRSIYHFMGVSSFSQYTVVSEANLARVDDE ANLERVCLIGCGFSSGYGAAINTAKVTPSSTCAVFGL >ENSMUSP00000013458.8 pep:known chromosome:GRCm38:3:138415495:138430892:1 gene:ENSMUSG00000037797.14 transcript:ENSMUST00000013458.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adh4 description:alcohol dehydrogenase 4 (class II), pi polypeptide [Source:MGI Symbol;Acc:MGI:1349472] MGTQGKVIKCKAAIAWKTGSPLCIEEIEVSPPKACEVRIQVIATCVCPTDINATDPKKKA LFPVVLGHECAGIVESVGPGVTNFKPGDKVIPFFAPQCKRCKLCLSPLTNLCGKLRNFKY PTIDQELMEDRTSRFTCKGRSIYHFMGVSSFSQYTVVSEANLARVDDEANLERVCLIGCG FSSGYGAAINTAKVTPSSTCAVFGLGCVGLSAIIGCKIAGASRIIAIDINGEKFPKAKAL GATDCLNPRELDKPVQDVITELTAGGVDYSLDCAGTAQTLKAAVDCTVLGWGSCTVVGAK VDKMTIPTVDVILGRSINGTFFGGWKSVDSVPNLVSDYKNKKFDLDLLVTHALPFESIND AIDLMKEGKSIRTILTF >ENSMUSP00000102716.1 pep:known chromosome:GRCm38:3:96196588:96198489:-1 gene:ENSMUSG00000015943.11 transcript:ENSMUST00000107099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bola1 description:bolA-like 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1916418] MLSARSAQCMVSMATRSCVSRGSAGSAAAGPVEAAIRAKLEQALSPEVLELRNESGGHAV PAGSETHFRVAVVSSRFEGMSPLQRHRLVHEALSEELAGPVHALAIQAKTPAQWRENPQL DISPPCLGGSKKTRGTS >ENSMUSP00000134765.1 pep:known chromosome:GRCm38:3:96196863:96219709:-1 gene:ENSMUSG00000015943.11 transcript:ENSMUST00000177442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bola1 description:bolA-like 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1916418] MLSARSAQCMVSMATRSCVSRGSAGSAAAGPVEAAIRAKLEQALSPEVLELRNESGGHAV PAGSETHFRVAVVSSRFEGMSPLQRHRLVHEALSEELAGPVHALAIQAKTPAQWRENPQL DISPPCLGGSKKTRGTS >ENSMUSP00000016087.3 pep:known chromosome:GRCm38:3:96196588:96197586:-1 gene:ENSMUSG00000015943.11 transcript:ENSMUST00000016087.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bola1 description:bolA-like 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1916418] MLSARSAQCMVSMATRSCVSRGSAGSAAAGPVEAAIRAKLEQALSPEVLELRNESGGHAV PAGSETHFRVAVVSSRFEGMSPLQRHRLVHEALSEELAGPVHALAIQAKTPAQWRENPQL DISPPCLGGSKKTRGTS >ENSMUSP00000105722.2 pep:known chromosome:GRCm38:8:70724065:70751172:1 gene:ENSMUSG00000031842.13 transcript:ENSMUST00000110095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4c description:phosphodiesterase 4C, cAMP specific [Source:MGI Symbol;Acc:MGI:99556] MRRSGTALSFLWTERVREPVDSGVAPVSPLGGGVILRRFSGTLLLPPLSSRLGSSGEAES AAHVVFTIGTQGTQRNLGSAQSSFDLENGLPGGKGLLDAQSGPSLGRALQPPVHHVQRRE SFLYRSDSDHEPSPKAVSRTSSAASDLHGEDMIVTPFAQVLASLRTVRNNVAALAHGPGS ATRQVLLGTPPHSSQQAAPTEDSGLQLVQETLEELDWCLEQLETLQTRRSVGEMASNKFK RMLNRELSYLSETSRSGNQVSEYISQTFLDQQAEVELPQPPTEDDPWPMAQITELRRSSH TSLPTAAIPRFGVQTDQEEQLAKELEDTNKWGLDVFKVAELSGNRPLTAVIFSVFQERDL LKTFQIPADTLLAYLLTLEGHYHSDVAYHNSMHAADVVQSAHVLLGTPALEAVFTDLEVL AAIFACAIHDVDHPGVSNQFLINTNSELALMYNDSSVLENHHLAVGFKLLQGENCDIFRN LSTKQRLSLRRMVIDMVLATDMSKHMSLLADLKTMVETKKVTSLGVLLLDNYSDRIQVLQ SLVHCADLSNPAKPLPLYRQWTERIMAEFFQQGDRERESGLDISPMCDKHTASMEKSQVG FIDYIAQPLWETWADLVHPDAQELLDTLEDNREWYQSRIPCSPPHTMGSDRFKFELTLEE AEEEEEEEDEGQCTALNRESSELPST >ENSMUSP00000034307.7 pep:known chromosome:GRCm38:8:70724064:70751186:1 gene:ENSMUSG00000031842.13 transcript:ENSMUST00000034307.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4c description:phosphodiesterase 4C, cAMP specific [Source:MGI Symbol;Acc:MGI:99556] MRRSGTALSFLWTERVREPVDSGVAPVSPLGGGVILRRFSGTLLLPPLSSRLGSSGEAES AAHVVFTIGTQGTQRNLGSAQSSFDLENGLPGGKGLLDAQSGPSLGRALQPPVHHVQRRE SFLYRSDSDHEPSPKAVSRTSSAASDLHGEDMIVTPFAQVLASLRTVRNNVAALAHGPGS ATRQVLLGTPPHSSQQAAPTEDSGLQLVQETLEELDWCLEQLETLQTRRSVGEMASNKFK RMLNRELSYLSETSRSGNQVSEYISQTFLDQQAEVELPQPPTEDDPWPMAQITELRRSSH TSLPTAAIPRFGVQTDQEEQLAKELEDTNKWGLDVFKVAELSGNRPLTAVIFSVFQERDL LKTFQIPADTLLAYLLTLEGHYHSDVAYHNSMHAADVVQSAHVLLGTPALEAVFTDLEVL AAIFACAIHDVDHPGVSNQFLINTNSELALMYNDSSVLENHHLAVGFKLLQGENCDIFRN LSTKQRLSLRRMVIDMVLATDMSKHMSLLADLKTMVETKKVTSLGVLLLDNYSDRIQQGD RERESGLDISPMCDKHTASMEKSQVGFIDYIAQPLWETWADLVHPDAQELLDTLEDNREW YQSRIPCSPPHTMGSDRFKFELTLEEAEEEEEEEDEGQCTALNRESSELPST >ENSMUSP00000119312.1 pep:known chromosome:GRCm38:8:70723720:70746046:1 gene:ENSMUSG00000031842.13 transcript:ENSMUST00000123739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4c description:phosphodiesterase 4C, cAMP specific [Source:MGI Symbol;Acc:MGI:99556] MRRSGTALSFLWTERVREPVDSGVAPVSPLGGGVILRRFSGTLLLPPLSSRLGSSGEAES AAHVVFTIGTQGTQRNLGSAQSSFDLENGLPGGKGLLDAQSGPSLGRALQPPVHHVQRRE SFLYRSDSDHEPSPKAVSRTSSAASDLHGEDMIVTPFAQVLASLRTVRNNVAALAHGPG >ENSMUSP00000135058.1 pep:known chromosome:GRCm38:6:99257484:99666797:-1 gene:ENSMUSG00000030068.4 transcript:ENSMUST00000155466.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20696 description:predicted gene 20696 [Source:MGI Symbol;Acc:MGI:5313143] MALPPAAAPPGANEPLDKALSALPPEPGGVPLHSPWTFWLDRSLPGATAAECASNLKKIY TVQTVQMTRSSESA >ENSMUSP00000114936.1 pep:known chromosome:GRCm38:11:76179671:76208173:1 gene:ENSMUSG00000069808.13 transcript:ENSMUST00000129853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam57a description:family with sequence similarity 57, member A [Source:MGI Symbol;Acc:MGI:2151840] MIYDFYAMYCCERCRTKSQKLTRTTIIRNFLIENRLMVTHHTVILLFLVPISQKLRGDLG DFFVGCIFTAELSTPFVSLARIMIQLKQQHTLLYKVNGILTVTTFLFCRILLFPFMYWSY GQQKGLSLLQVPFNIPLHCNMANAVLISPQLYWFSLLCKKAARLFDTAKAKKD >ENSMUSP00000091553.3 pep:known chromosome:GRCm38:11:76202015:76209416:1 gene:ENSMUSG00000069808.13 transcript:ENSMUST00000094014.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam57a description:family with sequence similarity 57, member A [Source:MGI Symbol;Acc:MGI:2151840] MLLTLASGALFFPGLFALSIWALHRLRPGWTEDDCLTVGTRLVSSVQAVLATWAGLTVII SCKNVVSDRHWLATEYVWFLIPYMIYDFYAMYCCERCRTKSQKLTRTTIIRNFLIENRLM VTHHTVILLFLVPISQKLRGDLGDFFVGCIFTAELSTPFVSLARIMIQLKQQHTLLYKVN GILTVTTFLFCRILLFPFMYWSYGQQKGLSLLQVPFNIPLHCNMANAVLISPQLYWFSLL CKKAARLFDTAKAKKDG >ENSMUSP00000131151.1 pep:known chromosome:GRCm38:11:76202101:76209274:1 gene:ENSMUSG00000069808.13 transcript:ENSMUST00000169560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam57a description:family with sequence similarity 57, member A [Source:MGI Symbol;Acc:MGI:2151840] MLLTLASGALFFPGLFALSIWALHRLRPGWTEDDCLTVGTRLVSSVQAVLATWAGLTVII SCKNVVSDRHWLATEYVWFLIPYMIYDFYAMYCCERCRTKSQKLTRTTIIRNFLIENRLM VTHHTVILLFLVPISQLKQQHTLLYKVNGILTVTTFLFCRILLFPFMYWSYGQQKGLSLL QVPFNIPLHCNMANAVLISPQLYWFSLLCKKAARLFDTAKAKKDG >ENSMUSP00000072858.4 pep:known chromosome:GRCm38:3:96219865:96220308:1 gene:ENSMUSG00000063689.4 transcript:ENSMUST00000073115.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist2h2ab description:histone cluster 2, H2ab [Source:MGI Symbol;Acc:MGI:2448314] MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAVRNDEELNKLLGGVTIAQGGVLPNIQAVLLPKK TESHKPGKNK >ENSMUSP00000034822.5 pep:known chromosome:GRCm38:9:54604877:54661870:-1 gene:ENSMUSG00000032281.11 transcript:ENSMUST00000034822.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsbg1 description:acyl-CoA synthetase bubblegum family member 1 [Source:MGI Symbol;Acc:MGI:2385656] MPRGSEAGYCCLSRDSNMPDSRDDQQQGASLGTSQDNSQTSSLIDGQTLSKESPSHGLEL SAPEKARAASLDGAEEALWTTRADGRVRLRLEPFCTQRPYTVHQMFYEALDKYGNLSALG FKRKDKWERISYYQYYLIARKVAKGFLKLGLERAHSVAILGFNSPEWFFSAVGTVFAGGI VTGIYTTSSPEACQYISHDCRANVIVVDTQKQLEKILKIWKDLPHLKAVVIYQEPPPKKM ANVYTMEELIELGQEVPEEALDAIIDTQQPNQCCVLVYTSGTTGNPKGVMLSQDNITWTA RYGSQAGDIQPAEVQQEVVVSYLPLSHIAAQIYDLWTGIQWGAQVCFADPDALKGTLVNT LREVEPTSHMGVPRVWEKIMERIQEVAAQSGFIRRKMLLWAMSVTLEQNLTCPSNDLKPF TSRLADYLVLARVRQALGFAKCQKNFYGAAPMTAETQRFFLGLNIRLYAGYGLSESTGPH FMSSPYNYRLYSSGRVVPGCRVKLVNQDADGIGEICLWGRTIFMGYLNMEDKTCEAIDSE GWLHTGDMGRLDADGFLYITGRLKELIITAGGENVPPVPIEEAVKMELPIISSAMLIGDQ RKFLSMLLTLKCTLDPETSEPTDSLTEQAVEFCQRVGSKASTVSEIVGQRDEAVYQAIHE GIQRVNANAAARPYHIQKWAILQRDFSISGGELGPTMKLKRLTVLEKYKDIIDSFYQEQK Q >ENSMUSP00000118133.1 pep:known chromosome:GRCm38:9:54628521:54643158:-1 gene:ENSMUSG00000032281.11 transcript:ENSMUST00000138315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsbg1 description:acyl-CoA synthetase bubblegum family member 1 [Source:MGI Symbol;Acc:MGI:2385656] MGKHSPKSLQVTVSSSQLQKRQGLPLWMVQRKHYGQPGRMGECACAWNLSVLSVPIPCTR CSTRP >ENSMUSP00000119551.1 pep:known chromosome:GRCm38:9:54628547:54647346:-1 gene:ENSMUSG00000032281.11 transcript:ENSMUST00000128163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsbg1 description:acyl-CoA synthetase bubblegum family member 1 [Source:MGI Symbol;Acc:MGI:2385656] MPEVLLLRSASSILRTVFVSRLLPGGPGCVRKLSLNLKYQQGIRPSVRSSSLIDGQTLSK ESPSHGLELSAPEKARAASLDGAEEALWTTRADGRVRLRLEPFCTQRPYT >ENSMUSP00000121622.1 pep:known chromosome:GRCm38:9:54628567:54661695:-1 gene:ENSMUSG00000032281.11 transcript:ENSMUST00000128624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsbg1 description:acyl-CoA synthetase bubblegum family member 1 [Source:MGI Symbol;Acc:MGI:2385656] MPRGSEAGYCCLSRDSNMPDSRDDQQQGASLGTSQDNSQTRFVSRLLPGGPGCVRKLSLN LKYQQGIRPSVRSSSLIDGQTLSKESPSHGLELSAPEKARAASLDGAEEALWTTRADGRV RLRLEPF >ENSMUSP00000135368.1 pep:known chromosome:GRCm38:11:117809668:117839904:1 gene:ENSMUSG00000093485.1 transcript:ENSMUST00000132298.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20708 description:predicted gene 20708 [Source:MGI Symbol;Acc:MGI:5313155] MESGAYGAANAGGSFDLRRFLSQPQVVTRLVSMPPRRPGPPGGTSWMSPMEMAKGRNWTS TFPMRIPRVRWAGPTVPSRQPAVRLTSAPCLVLQLSLCSCSCMEDTGRVEDHFENSLPEA VRTPSPHSVCTSESLQKRGSISHCLACPPCTVSLL >ENSMUSP00000020049.8 pep:known chromosome:GRCm38:10:105841067:105847833:1 gene:ENSMUSG00000019897.8 transcript:ENSMUST00000020049.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc59 description:coiled-coil domain containing 59 [Source:MGI Symbol;Acc:MGI:1289302] MAPSRPSSKWRTGGFAARGEGISQVRFGNQNGKRRTWRPNPQQAFRGSVRKGQGFAFRRK LKIQQNYKKLLWKVKEAPASQESQFTDRYPEHLKHLYLAEEERLRKQQRKAGLALSEEQV DRPLPEEEGSTEQTSSEEPPGGHQPQPEELNTGSSVTFPKNKKKKTSNQKAQEEYERVQA KRAAKKFEFEMRKQEREEAQRLYKKKKMEAFKILSKKTKKGQPNLNLQMEYLLQKIQENS >ENSMUSP00000026649.7 pep:known chromosome:GRCm38:11:117809668:117814283:1 gene:ENSMUSG00000048277.15 transcript:ENSMUST00000026649.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr2 description:synaptogyrin 2 [Source:MGI Symbol;Acc:MGI:1328324] MESGAYGAANAGGSFDLRRFLSQPQVVTRLVSMVLALIVFSCIFGEGYTNIHTSDQLYCV FNQNEDACRYGSAIGVLAFLASAFFLVVDAFFSQISNATDRKYLVIGDLLFSALWTFLWF VGFCFLTNQWAATKPQDVRVGADSARAAITFSFFSIFSWGVLASLAYQRYKAGVDAFIQN YVDPTPDPNTAYASYPSASVENYQQPPFTQNVETTEGYQPPPVY >ENSMUSP00000134789.1 pep:known chromosome:GRCm38:11:117809683:117813826:1 gene:ENSMUSG00000048277.15 transcript:ENSMUST00000177131.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr2 description:synaptogyrin 2 [Source:MGI Symbol;Acc:MGI:1328324] MESGAYGAANAGGSFDLRRFLSQPQVVTRLVSMVLALIVFSCIFGEGYTNIHTSDQLYCV FNQNEDACRYGSAIGVLAFLASAFFLVVDAFFSQISNATDRKYLVIGDLLFSALWTFLWF VGFCFLTNQWAATKPQDVRVGADSARAAITFSFFSIFSWCLRGKLPAAALHPECGDY >ENSMUSP00000135171.1 pep:known chromosome:GRCm38:11:117809693:117813475:1 gene:ENSMUSG00000048277.15 transcript:ENSMUST00000177241.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syngr2 description:synaptogyrin 2 [Source:MGI Symbol;Acc:MGI:1328324] MESGAYGAANAGGSFDLRRFLSQPQVVTRLVSMLSGPSCGLLVSAS >ENSMUSP00000113941.1 pep:known chromosome:GRCm38:11:117809696:117814283:1 gene:ENSMUSG00000048277.15 transcript:ENSMUST00000120928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr2 description:synaptogyrin 2 [Source:MGI Symbol;Acc:MGI:1328324] MESGAYGAANAGGSFDLRRFLSQPQVVTRLVSMNEDACRYGSAIGVLAFLASAFFLVVDA FFSQISNATDRKYLVIGDLLFSALWTFLWFVGFCFLTNQWAATKPQDVRVGADSARAAIT FSFFSIFSWGVLASLAYQRYKAGVDAFIQNYVDPTPDPNTAYASYPSASVENYQQPPFTQ NVETTEGYQPPPVY >ENSMUSP00000134879.1 pep:known chromosome:GRCm38:11:117809712:117813732:1 gene:ENSMUSG00000048277.15 transcript:ENSMUST00000175737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr2 description:synaptogyrin 2 [Source:MGI Symbol;Acc:MGI:1328324] XGAYGAANAGGSFDLRRFLSQPQVVTRLVSMVLALIVFSCIFGEGYTNIHTSDQLYCVFN QNEDACRYGSAIGVLAFLASAFFLVVDAFFSQISNATDRKYLVIGDLLFSGCAGFPGLPA LQGWSGCLHSELRGSHPGSQHSLCLLP >ENSMUSP00000135529.1 pep:known chromosome:GRCm38:11:117812258:117813118:1 gene:ENSMUSG00000048277.15 transcript:ENSMUST00000143852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr2 description:synaptogyrin 2 [Source:MGI Symbol;Acc:MGI:1328324] MANRRLPLPMASPLPQVLALIVFSCIFGEGYTNIHTSDQLYCVFNQNEDACRYGSAIGVL AFLASAFFLVVDAFFSQISNATDRKYLVIGDLLFSALWTFLWFVGFCFLTNQWAATKPQ >ENSMUSP00000042009.7 pep:known chromosome:GRCm38:1:33745484:33757795:-1 gene:ENSMUSG00000042215.8 transcript:ENSMUST00000044691.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag2 description:BCL2-associated athanogene 2 [Source:MGI Symbol;Acc:MGI:1891254] MAQAKISAKAHEGRFCRSSSMADRSSRLLESLDQLELRVEALRDAATAVEQEKEILLEMI HSIQNSQDMRQISDGEREELNLTANRLMGRTLTVEVSVETIRNPQQEESLKHATRIIDEV VSKFLDDLGNAKSHLMSLYSACSSEVPPGPVDQKFQSIVIGCALEDQKKIKRRLETLLRN IDNSDKAIKLLEHAKGAGSKSLQNTDGKFN >ENSMUSP00000139538.1 pep:known chromosome:GRCm38:1:33746802:33757741:-1 gene:ENSMUSG00000042215.8 transcript:ENSMUST00000187602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag2 description:BCL2-associated athanogene 2 [Source:MGI Symbol;Acc:MGI:1891254] MAQAKISAKAHEGRFCRSSSMADRSSRLLESLDQLELRRKRGTKPDCQPSDGPDPHG >ENSMUSP00000084430.4 pep:known chromosome:GRCm38:1:74601445:74636894:1 gene:ENSMUSG00000033276.18 transcript:ENSMUST00000087183.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk36 description:serine/threonine kinase 36 [Source:MGI Symbol;Acc:MGI:1920831] MEKYHVLEMIGEGSFGRVYKGRKKYSAQVVALKFIPKLGRSEKELRNLQREIEIMRGLWH PNIVHMLDSFETDKEVVVVTDYAEGELFQILEDDGKLPEDQVQAIAAQLVSALYYLHSHR ILHRDMKPQNILLAKGGGIKLCDFGFARAMSTNTMVLTSIKGTPLYMSPELVEERPYDHT ADLWSVGCILYELAVGTPPFYTTSIFQLVSLILKDPVRWPSTISSCFKNFLQGLLTKDPR QRLSWPDLLHHPFIAGRVTIITEPAGSDLGTPFTSRLPPELQVLKDEQAHRLAPKGNQSR ILRQACKLMAEEAKQKEDQNAGSALEQEDGLCKVTPSTAPVPGLKATPQESSLLAGILAS EMKNNWEDWGAGEAPRTSRENHINLECEQGFPEPRPEAMGRQSTDVVDPENEEPDSDDEW QRLLETSEPGPVQLKSPLTLLCNPDFCQRIQSQLRGTGEQILKGVLDGVSHLLPVLRILS SLLSSCNDSVLLYSFCQEAGLPELPLSLLRYSQESSSIQQQPWYGALLRDLVAVVQAYFS CTFNLERSQTGDSLQVFQEAASLFLDLLGKLLAQSDDSEQTFRRDSLMCFAVLCEAVDGN SWAVSKAFYSSLLTTQRAVLDGLLHGLTVPQLPFHTPPGAPQVSQPLREQSEDVPGAISS ALAAMCTAPVGLPSCWDAKEQVSWHLANQLTEDSSQLRPSLISGLRHHVLCLHLLKVLYA CCYISERLCHILGQEPLALESLLMLVQGKVKVADWEESTEVALYLLSLLVFRLQDLPSGM EKLGSEVATLFTHSHVVSLVNAAACLLGQLGQQGVTFDLQPREWIAAAAHALSAPAEVRL TPPYSCGFYDGLLILLLQLLMQVQGKPGLIRDVVGSEVWTILWHRFSMALRLPEEVSAQE DDLLLSSPSSLEPDWTLISPQGMAALLSLAMAIFTQESQLCLSHLSQHGSVLMLTLKHLL SPSFLHHLSQAPQGPEFLPVVVLSVCKLLCFPFALDVDADLLVGVLADLRASEVVVCLLQ VCCHHLSLLQAELPIGLLTRLALTDSASLKQFVNTVATSSRAIISFLSVVLLSDQPLMIS DLLSLLTHTARILSPSHLSFIQELLSGSDESYRPLRSLLGHSENTVRVRAYGLLGHLLQH SMALRGALQSQSGLLNLLLLGLGDKDPAVRRSASFAVGNAAYQAGPLGPALAAAVPSMTQ LLGDAQDGIRRNAASALGNLGPEGLGKELLKCQVPQRLLEMACGDPQPTVKEAALIALRS LQQESCIHQVLVSLGASEKLALLSLGNQLLPNSSNRPASVRHCRKLIQLLRPTHST >ENSMUSP00000120020.1 pep:known chromosome:GRCm38:1:74601503:74634100:1 gene:ENSMUSG00000033276.18 transcript:ENSMUST00000148456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk36 description:serine/threonine kinase 36 [Source:MGI Symbol;Acc:MGI:1920831] MEKYHVLEMIGEGSFGRVYKGRKKYSAQVVALKFIPKLGRSEKELRNLQREIEIMRGLWH PNIVHMLDSFETDKEVVVVTDYAEGELFQILEDDGKLPEDQVQAIAAQLVSALYYLHSHR ILHRDMKPQNILLAKGGGIKLCDFGFARAMSTNTMVLTSIKGTPLYMSPELVEERPYDHT ADLWSVGCILYELAVGTPPFYTTSIFQLVSLILKDPVRWPSTISSCFKNFLQGLLTKDPR QRLSWPDLLHHPFIAGRVTIITEPAGSDLGTPFTSRLPPELQVLKDEQAHRLAPKGNQSR ILRQACKLMAEEAKQKEDQNAGSALEQEDGLCKVTPSTAPVPGLKATPQESSLLAGILAS EMKNNWEDWGAGEAPRTSRENHINLECEQGFPEPRPEAMGRQSTDVVDPENEEPDSDDEW QRLLETSEPGPVQLKSPLTLLCNPDFCQRIQSQLRGTGEQILKGVLDGVSHLLPVLRILS SLLSSCNDSVLLYSFCQEAGLPELPLSLLRYSQESSSIQQQPWYGALLRDLVAVVQAYFS CTFNLERSQTGDSLQVFQEAASLFLDLLGKLLAQSDDSEQTFRRDSLMCFAVLCEAVDGN SWAVSKAFYSSLLTTQRAVLDGLLHGLTVPQLPFHTPPGAPQVSQPLREQSEDVPGAISS ALAAMCTAPVGLPSCWDAKEQVSWHLANQLTEDSSQLRPSLISGLRHHVLCLHLLKVLYA CCYISERLCHILGQEPLALESLLMLVQGKVKVADWEESTEVALYLLSLLVFRLQDLPSGM EKLGSEVATLFTHSHVVSLVNAAACLLGQLGQQGVTFDLQPREWIAAAAHALSAPAEVRL TPPYSCGFYDGLLILLLQLLMQGKPGLIRDVVGSEVWTILWHRFSMALRLPEEVSAQEDD LLLSSPSSLEPDWTLISPQGMAALLSLAMAIFTQESQLCLSHLSQHGSVLMLTLKHLLSP SFLHHLSQAPQGPEFLPVVVLSVCKLLCFPFALDVDADLLVGVLADLRASEVVVCLLQVC CHHLSLLQAELPIGLLTRLALTDSASLK >ENSMUSP00000109324.1 pep:known chromosome:GRCm38:1:74601514:74605525:1 gene:ENSMUSG00000033276.18 transcript:ENSMUST00000113694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk36 description:serine/threonine kinase 36 [Source:MGI Symbol;Acc:MGI:1920831] MEKYHVLEMIGEGSFGRVYKGRKKYSAQVVALKFIPKLGRSEKELRNLQREIEIMRGLWH PNIVHMLDSFETDKEVVVVTDYAEGELFQILEDDGKLPEDQVQAIAAQLVSALYYLHSHR ILHRDMKPQNILLAKGGGIKLCDFG >ENSMUSP00000084433.4 pep:known chromosome:GRCm38:1:74601639:74636043:1 gene:ENSMUSG00000033276.18 transcript:ENSMUST00000087186.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk36 description:serine/threonine kinase 36 [Source:MGI Symbol;Acc:MGI:1920831] MEKYHVLEMIGEGSFGRVYKGRKKYSAQVVALKFIPKLGRSEKELRNLQREIEIMRGLWH PNIVHMLDSFETDKEVVVVTDYAEGELFQILEDDGKLPEDQVQAIAAQLVSALYYLHSHR ILHRDMKPQNILLAKGGGIKLCDFGFARAMSTNTMVLTSIKGTPLYMSPELVEERPYDHT ADLWSVGCILYELAVGTPPFYTTSIFQLVSLILKDPVRWPSTISSCFKNFLQGLLTKDPR QRLSWPDLLHHPFIAGRVTIITEPAGSDLGTPFTSRLPPELQVLKDEQAHRLAPKGNQSR ILRQACKLMAEEAKQKEDQNAGSALEQEDGLCKVTPSTAPVPGLKATPQESSLLAGILAS EMKNNWEDWGAGEAPRTSRENHINLECEQGFPEPRPEAMGRQSTDVVDPENEEPDSDDEW QRLLETSEPGPVQLKSPLTLLCNPDFCQRIQSQLRGTGEQCFAVLCEAVDGNSWAVSKAF YSSLLTTQRAVLDGLLHGLTVPQLPFHTPPGAPQVSQPLREQSEDVPGAISSALAAMCTA PVGLPSCWDAKEQVSWHLANQLTEDSSQLRPSLISGLRHHVLCLHLLKVLYACCYISERL CHILGQEPLALESLLMLVQGKVKVADWEESTEVALYLLSLLVFRLQDLPSGMEKLGSEVA TLFTHSHVVSLVNAAACLLGQLGQQGVTFDLQPREWIAAAAHALSAPAEVRLTPPYSCGF YDGLLILLLQLLMQVQGKPGLIRDVVGSEVWTILWHRFSMALRLPEEVSAQEDDLLLSSP SSLEPDWTLISPQGMAALLSLAMAIFTQESQLCLSHLSQHGSVLMLTLKHLLSPSFLHHL SQAPQGPEFLPVVVLSVCKLLCFPFALDVDADLLVGVLADLRASEVVVCLLQVCCHHLSL LQAELPIGLLTRLALTDSASLKQFVNTVATSSRAIISFLSVVLLSDQPLMISDLLSLLTH TARILSPSHLSFIQELLSGSDESYRPLRSLLGHSENTVRVRAYGLLGHLLQHSMALRGAL QSQSGLLNLLLLGLGDKDPAVRRSASFAVGNAAYQAGPLGPALAAAVPSMTQLLGDAQDG IRRNAASALGNLGPEGLGKELLKCQVPQRLLEMACGDPQPTVKEAALIALRSLQQESCIH QVLVSLGASEKLALLSLGNQLLPNSSNRPASVRHCRKLIQLLRPTHST >ENSMUSP00000140912.1 pep:known chromosome:GRCm38:1:74603210:74611256:1 gene:ENSMUSG00000033276.18 transcript:ENSMUST00000189830.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stk36 description:serine/threonine kinase 36 [Source:MGI Symbol;Acc:MGI:1920831] MRGLWHPNIVHMLDSFETDKEVVVVTDYAEGELFQILEDDGKLPEDQIRPSYEHQHHGAD VDQRHTALYVSRAGGGATI >ENSMUSP00000097807.2 pep:known chromosome:GRCm38:11:115187487:115247023:1 gene:ENSMUSG00000045980.13 transcript:ENSMUST00000100235.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem104 description:transmembrane protein 104 [Source:MGI Symbol;Acc:MGI:2444222] MAGEITETGELYSPYVGLVYMFNLIVGTGALTMPKAFATAGWLVSLVLLVFVGFMSFVTT TFAMEAMAAANAQLRWKRMETHKEEDDEDSSTASDSDLLSQDNYERAEKRPILSVQRRSS ANLFEITDRVEMGQMASMFFNKVGVNLFYFCIITYLYGDLAIYAAAVPVSLMQVTCVSGN DSCGVDTDARYNDTDLCWGPLRRVDVYRIYLAIFTVLLGPFTFFDVQKTKYLQILTSMMR WIAFAIMIVLALVRIGKGQGEGHPPLANFLGVQNLFGVCVYSFMCQHSLPSLITPISSKR HITRLLFLDYALILAFYGLLSFTAIFCFRGDSLMDMYTLNFARCDVVGLAAVRFFLGLFP VFTISTNFPIIAVTLRNNWKTLFHREGGTYPWVVDRVVFPTITLVPPILVAFCTHDLESL VAITGAYAGTGIQYVIPAFLVYLCRKDTQLTFGYGTVNKHRSPFRHTFWVAFVLLWAFSC FFFVTAYIVLKETQL >ENSMUSP00000056805.6 pep:known chromosome:GRCm38:11:115187487:115247023:1 gene:ENSMUSG00000045980.13 transcript:ENSMUST00000061450.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem104 description:transmembrane protein 104 [Source:MGI Symbol;Acc:MGI:2444222] MAGEITETGELYSPYVGLVYMFNLIVGTGALTMPKAFATAGWLVSLVLLVFVGFMSFVTT TFAMEAMAAANAQLRWKRMETHKEEDDEDSSTASDSDLLSQDNYERAEKRPILSVQRRSS ANLFEITDRVEMGQMASMFFNKVGVNLFYFCIITYLYGDLAIYAAAVPVSLMQVTCSVSG NDSCGVDTDARYNDTDLCWGPLRRVDVYRIYLAIFTVLLGPFTFFDVQKTKYLQILTSMM RWIAFAIMIVLALVRIGKGQGEGHPPLANFLGVQNLFGVCVYSFMCQHSLPSLITPISSK RHITRLLFLDYALILAFYGLLSFTAIFCFRGDSLMDMYTLNFARCDVVGLAAVRFFLGLF PVFTISTNFPIIAVTLRNNWKTLFHREGGTYPWVVDRVVFPTITLVPPILVAFCTHDLES LVAITGAYAGTGIQYVIPAFLVYLCRKDTQLTFGYGTVNKHRSPFRHTFWVAFVLLWAFS CFFFVTAYIVLKETQL >ENSMUSP00000066789.4 pep:known chromosome:GRCm38:15:81585397:81652077:1 gene:ENSMUSG00000055024.12 transcript:ENSMUST00000068387.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ep300 description:E1A binding protein p300 [Source:MGI Symbol;Acc:MGI:1276116] MAENVVEPGPPSAKRPKLSSPALSASASDGTDFGSLFDLEHDLPDELINSTELGLTNGGD ISQLQTSLGIVQDAASKHKQLSELLRSGSSPNLNMGVGGPGQAMASQAQQNSPGLSLINS MVKSPMAQTGLTSPNMGIGSSGPNQGPTQSPAGMMNSPVNQPAMGMNTGMNAGMNPGMLA AGNGQGIMPNQVMNGSIGAGRGRPNMQYPNAGMGNAGSLLTEPLQQGSPQMGGQPGLRGP QPLKMGMMNNPSPYGSPYTQNSGQQIGASGLGLQIQTKTVLPNNLSPFAMDKKAVPGGGM PSMGQQPTPSVQQPGLVTPVAAGMGSGAHTADPEKRKLIQQQLVLLLHAHKCQRREQANG EVRQCNLPHCRTMKNVLNHMTHCQSGKSCQVAHCASSRQIISHWKNCTRHDCPVCLPLKN AGDKRNQQSILTGAPVGLGNPSSLGVGQQSTPSLSTVSQIDPSSIERAYAALGLPYQVNQ IPPQPQVQAKNQQSQPSGQSPQGMRSVNNMSASPMGVNGGVGVQTPNLLSDSMLHSTINS QNPMMSENAGVASLGPLPTAAQPSSTGIRKQWHEDITQDLRNHLVHKLVQAIFPTPDPAA LKDRRMENLVAYARKVEGDMYESANNRAEYYHLLAEKIYKIQKELEEKRRTRLQKQNMLP NAPGMGPVPMNTGSNMGQQPTGMTTNGPVPDPSMIRGSVPNHMMPRMTPQPGLNQFGQMN MPQPPIGPRQPSPLQHHGQLAQSGSLNPPMGYGPRMQQASGQNQFLSQTQFTSQGMNVTN MPLAPSSGQAPVSQAQMSSSSCPVNSPIMPPGSQGSHIHCPTLPQQAHQNSPSPVPSRTP TPHHTPPSIGNQPPPATAIPTPVPTPPAIPPGPQPPSLHPSSRQTPTPPTHLPPQVQPSL PAAPSADQSQQQPRSQQSTAVSVPTPTAPLLPPQPSTPLSQPAVSIEGQVSNPPSTSSTE VNSQTIPEKQPSQEVKMESKMEVDKPEPADAQPEDTKEAKGEDVKVEPTEMEERGPELKT DGKEEEEQPSTSATQSSPAPGQSKKKIFKPEELRQALMPTLEALYRQDPESLPFRQPVDP QLLGIPDYFDIVKSPMDLSTIKRKLDTGQYQEPWQYIDDIWLMFNNAWLYNRKTSRVYKY CSKLSEVFEQEIDPVMQSLGYCCGRKLEFSPQTLCCYGKQLCTIPRDATYYSYQNRYHFC EKCFNEIQGESVSLGDDPSQPQTTINKEQFSKRKNDTLDPELFVECTECGRKMHQICVLH HEIIWPSGFVCDGCLKKTARTRKENKLSAKRLPSTRLGTFLENRVNDFLRRQNHPESGEV TVRVVHASDKTVEVKPGMKARFVDSGEMAESFPYRTKALFAFEEIDGVDLCFFGMHVQEY GSDCPPPNQRRVYISYLDSVHFFRPKCLRTAVYHEILIGYLEYVKKLGYTTGHIWACPPS EGDDYIFHCHPPDQKIPKPKRLQEWYKKMLDKAVSERIVHDYKDILKQATEDRLTSAKEL PYFEGDFWPNVLEESIKELEQEEEERKREENTSNESTDVTKGDSKNAKKKNNKKTSKNKS SLSRGNKKKPGMPNVSNDLSQKLYATMEKHKEVFFVIRLIACPAPNSLPPIVDPDPLIPC DLMDGRDAFLTLARDKHLEFSSLRRAQWSTMCMLVELHTQSQDRFVYTCNECKHHVETRW HCTVCEDYDLCITCYNTKNHDHKMEKLGLGLDDESNNQQAAATQSPGDSRRLSIQRCIQS LVHACQCRNANCSLPSCQKMKRVVQHTKGCKRKTNGGCPICKQLIALCCYHAKHCQENKC PVPFCLNIKQKLRQQQLQHRLQQAQMLRRRMASMQRTGVAGQQQGLPSPTPATPTTPTGQ QPATPQTPQPQPTSQPQPTPPNNMTPYLPRTQTTGPVSQGKAPGQVTPPTPPQTAQAPLP GPPPAAVEMAMQIQRAAETQRQMAHVQIFQRPIQHQMPQMSPMAPMGMNPPPMARGPGGH LDPGIGPAGMQQQPPWAQGGMPQPQQMQSGMPRPAMMSVAQHGQPLNMAPQPGLGQVGVS PLKPGTVSQQALQNLLRTLRSPSSPLQQQQVLSILHANPQLLAAFIKQRAAKYANPNPQP LPGQPGMTQGQPGLQPPTMPGQQGVHSNPALQNMNPLQAGVQRAGLPQQQPQQQLQPPMG AMSPQAQQMNMNHNTMPSQFRDILRRQMMQQQGAGPGIGPGMANQFQQPQGIGYPPQQQQ QQRMQHHMQQMQQGNMGQMGQLPQALGAEAGASLQAYQQRLLQQQMGSPAQPNPMSPQQH MLPNQAQSPHLQGQQIPNSLSNQVRSPQPVPSPRPQSQPPHSSPSPRMQPQPSPHHVSPQ TSSPHPGLVAAQAANPMEQGHFASPDQNSMLSQLASNPGMANLHGASATDLGLSSDNADL NSNLSQSTLDIH >ENSMUSP00000139889.1 pep:known chromosome:GRCm38:15:81613315:81623518:1 gene:ENSMUSG00000055024.12 transcript:ENSMUST00000185967.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ep300 description:E1A binding protein p300 [Source:MGI Symbol;Acc:MGI:1276116] XVNQIPPQPQVQAKNQQSQPSGQSPQGMRSVNNMSASPMGVNGGVGVQTPNLLSDSMLHS TINSQNVQAIFPTPDPAALKDRRMENLVAYARKVEGDMYESANNRAEYYHLLAEKIYKIQ KELEEKRRTRLQKQNMLPNAPGMGPVPMNTGSNMGQQPTGMTTNGPVPDPSMIRGSVPNH MMPRMTP >ENSMUSP00000145639.1 pep:known chromosome:GRCm38:15:81621062:81626453:1 gene:ENSMUSG00000055024.12 transcript:ENSMUST00000206936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ep300 description:E1A binding protein p300 [Source:MGI Symbol;Acc:MGI:1276116] AEYYHLLAEKIYKIQKELEEKRRTRLQKQNMLPNAPGMGPVPMNTGSNMGQQPTGMTTSL NQFGQMNMPQPPIGPRQPSPLQHHGQLAQSGSLNPPMGYGPRMQQASGQNQFLSQTQFTS QGMNVTNMPLAPS >ENSMUSP00000146251.1 pep:known chromosome:GRCm38:15:81627425:81627863:1 gene:ENSMUSG00000055024.12 transcript:ENSMUST00000206833.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ep300 description:E1A binding protein p300 [Source:MGI Symbol;Acc:MGI:1276116] XAPSADQSQQQPRSQQSTAVSVPTPTAPLLPPQPSTPVSSCVLECL >ENSMUSP00000040050.7 pep:known chromosome:GRCm38:11:68921835:68927049:-1 gene:ENSMUSG00000032921.13 transcript:ENSMUST00000038932.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf4 description:outer dense fiber of sperm tails 4 [Source:MGI Symbol;Acc:MGI:2182079] MEPDLNEEESERIRTSRNRRSLEHRRNSLLPFQWKATNNSRWMAQVVASEFSLVAFLLLL VMVFSKKWLYPSKSRFHQRYPQNVTKRVYTSIHSMSTGLLYICVSKSCPSSDNGEDNFKM WTIHPVFGVAKISFTLAIGLGFVLTTWLHLPYLPCLQRMPFFGLIGIILSFCEVTLIFLT LLLFPVNLWIYELRKNISVPIGWSYFIGWLVLILYFTCGILCYLNHKNYWSLIMSSTTIN TACSSLGPESLVSPSQTPSSQENSQESPKDDQKPSSPDKVVSPPQPDTTG >ENSMUSP00000134383.1 pep:known chromosome:GRCm38:11:68921836:68927081:-1 gene:ENSMUSG00000032921.13 transcript:ENSMUST00000130271.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Odf4 description:outer dense fiber of sperm tails 4 [Source:MGI Symbol;Acc:MGI:2182079] MEPDLNEEESERIRTSRNRRSLEHRRNSLLPFQWKATNNSRWMAQVVASEFSLVAFLLLL VMVFSKKWLYPSKSRFHQRYPQNVTKRVYTSIHSMSTGLLYICVSKSCPSSDNGEDNFKM WTIHPVFGVAKISFTLAIGLGFVLTTWLHLPYLPCLQRMPFFGLIGIILSFCEGAPQL >ENSMUSP00000133569.1 pep:known chromosome:GRCm38:11:68921963:68926816:-1 gene:ENSMUSG00000032921.13 transcript:ENSMUST00000156828.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Odf4 description:outer dense fiber of sperm tails 4 [Source:MGI Symbol;Acc:MGI:2182079] XRNRRSLEHRRNSLLPFQWKATNNSRWMAQVVASEFSLVAFLLLLVMVFSKKWLYPSKSR FHQRYPQNVTKRVYTSIHSMSTGLLYICVSKSCPSSDNGEGLGFVLTTWLHLPYLPCLQR MPFFGLIGIILSFCEGAPQL >ENSMUSP00000133636.1 pep:known chromosome:GRCm38:11:68922819:68927074:-1 gene:ENSMUSG00000032921.13 transcript:ENSMUST00000125134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf4 description:outer dense fiber of sperm tails 4 [Source:MGI Symbol;Acc:MGI:2182079] MEPDLNEEESERIRTRNRRSLEHRRNSLLPFQWKATNNSRWMAQVVASEFSLVAFLLLLV MVFSKKWLYPSKSRFHQRYPQNVTKRVYTSIHSMSTGLLYICVSKSCPSSDNGEDNFKMW TIHPVFGVAKISFTLAIGLGFVLTTWLHLPYLPCLQRMPFFGLIGIILSFCEGAPQL >ENSMUSP00000137875.1 pep:known chromosome:GRCm38:14:105258573:105309298:1 gene:ENSMUSG00000053253.16 transcript:ENSMUST00000181969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndfip2 description:Nedd4 family interacting protein 2 [Source:MGI Symbol;Acc:MGI:1923523] MGRRRSQRACASAPSMLSSARGSPELRRRSASDAELSAGAEGATGSEAAPPGDLGGRTRG GGRGSAAAAATTSTREAEGAERRGDTPARKPDPEAGRMDHHQLGTGRYQVLHNEEDNSES SAVEQPSTSSLAAPTVEAAASAPALDPDSPPPYSSITVEAPTTSDTDVYSEFYPVPPPYS VATSLPTYDEAEKAKAAALAAAAADAPQRNQEEDCTPRDDFSDVEQLRVGNDGIFMLAFF MAFIFNWLGFCLSFCITNTIAGRYGAICGFGLSLIKWILIVRFSDYFTGYFNGQYWLWWI FLVLGLLLFFRGFVNYLKVRNMSESMAAAHRTRYFFLL >ENSMUSP00000121854.2 pep:known chromosome:GRCm38:14:105258753:105308871:1 gene:ENSMUSG00000053253.16 transcript:ENSMUST00000138283.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndfip2 description:Nedd4 family interacting protein 2 [Source:MGI Symbol;Acc:MGI:1923523] XRRSASDAELSAGAEGATGSEAAPPGDLGGRTRGGGRGSAAAAATTSTREAEGAERRGDT PARKPDPEAGRMDHHQLGTGRYQVLHNEEDNSESSAVEQPSTSSLAAPTVEAAASAPALD PDSPPPYSSITVEAPTTSDTDVYSEFYPVPPPYSVATSLPTYDEAEKAKAAALAAAAADA PQRNQEEDCTPRDDFSDVEQLRVGNDGIFMLAFFMAFIFNWLGFCLSFCITNTIAGRYGA ICGFGLSLIKWILIVRFSDYFTGYFNGQYWLWWIFLVLGLLLFFRGFVNYLKVRNMSESM AAAHRTRYFFLL >ENSMUSP00000095832.4 pep:known chromosome:GRCm38:7:102239123:102250123:-1 gene:ENSMUSG00000073982.10 transcript:ENSMUST00000098230.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhog description:ras homolog gene family, member G [Source:MGI Symbol;Acc:MGI:1928370] MQSIKCVVVGDGAVGKTCLLICYTTNAFPKEYIPTVFDNYSAQSAVDGRTVNLNLWDTAG QEEYDRLRTLSYPQTNVFVICFSIASPPSYENVRHKWHPEVCHHCPDVPILLVGTKKDLR AQPDTLRRLKEQGQAPITPQQGQALAKQIHAVRYLECSALQQDGVKEVFAEAVRAVLNPT PIKRGRSCILL >ENSMUSP00000102536.1 pep:known chromosome:GRCm38:7:102239123:102250088:-1 gene:ENSMUSG00000073982.10 transcript:ENSMUST00000106923.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhog description:ras homolog gene family, member G [Source:MGI Symbol;Acc:MGI:1928370] MQSIKCVVVGDGAVGKTCLLICYTTNAFPKEYIPTVFDNYSAQSAVDGRTVNLNLWDTAG QEEYDRLRTLSYPQTNVFVICFSIASPPSYENVRHKWHPEVCHHCPDVPILLVGTKKDLR AQPDTLRRLKEQGQAPITPQQGQALAKQIHAVRYLECSALQQDGVKEVFAEAVRAVLNPT PIKRGRSCILL >ENSMUSP00000045637.2 pep:known chromosome:GRCm38:2:163859751:163918683:-1 gene:ENSMUSG00000035226.5 transcript:ENSMUST00000044734.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims4 description:regulating synaptic membrane exocytosis 4 [Source:MGI Symbol;Acc:MGI:2674366] MERSQSRLSLSASFEALAIYFPCMNSFDDEDAADSRRLKGAIQRSTETGLAVEMPSRTLR QASHESIEDSMNSYGSEGNLNYGGVCLASDAQFSDFLGSMGPAQFVGRQTLATTPMGDVE IGLQERNGQLEVDIIQARGLTAKPGSKTLPAAYIKAYLLENGVCIAKKKTKVARKSLDPL YNQVLLFPESPQGKVLQVIVWGNYGRMERKQFMGVARVLLEELDLTTLAVGWYKLFPTSS MVDPATGPLLRQASQLSLESTVGPCGERS >ENSMUSP00000140468.1 pep:known chromosome:GRCm38:Y:55213720:55239871:1 gene:ENSMUSG00000094570.7 transcript:ENSMUST00000187293.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20931 description:predicted gene, 20931 [Source:MGI Symbol;Acc:MGI:5434287] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000137051.1 pep:known chromosome:GRCm38:Y:55215153:55237126:1 gene:ENSMUSG00000094570.7 transcript:ENSMUST00000180249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20931 description:predicted gene, 20931 [Source:MGI Symbol;Acc:MGI:5434287] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000103718.1 pep:known chromosome:GRCm38:7:34095431:34100837:1 gene:ENSMUSG00000078752.3 transcript:ENSMUST00000108083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b30 description:secretoglobin, family 1B, member 30 [Source:MGI Symbol;Acc:MGI:3649901] MKLTGALLLLGAALLLTSEGDCGLCPVLQKKVHKFFDGTTEEYVEYLKQYNNDPLVLENA ANIKKCSDRMLAEEDKTQATNFINKVTGSRSC >ENSMUSP00000078393.4 pep:known chromosome:GRCm38:2:35400179:35432633:-1 gene:ENSMUSG00000035778.17 transcript:ENSMUST00000079424.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggta1 description:glycoprotein galactosyltransferase alpha 1, 3 [Source:MGI Symbol;Acc:MGI:95704] MITMLQDLHVNKISMSRSKSETSLPSSRSGSQEKIMNVKGKVILLMLIVSTVVVVFWEYV NRTHSYQEDNVEGRREKGRNGDRIEEPQLWDWFNPKNRPDVLTVTPWKAPIVWEGTYDTA LLEKYYATQKLTVGLTVFAVGKYIEHYLEDFLESADMYFMVGHRVIFYVMIDDTSRMPVV HLNPLHSLQVFEIRSEKRWQDISMMRMKTIGEHILAHIQHEVDFLFCMDVDQVFQDNFGV ETLGQLVAQLQAWWYKASPEKFTYERRELSAAYIPFGEGDFYYHAAIFGGTPTHILNLTR ECFKGILQDKKHDIEAQWHDESHLNKYFLFNKPTKILSPEYCWDYQIGLPSDIKSVKVAW QTKEYNLVRNNV >ENSMUSP00000108626.2 pep:known chromosome:GRCm38:2:35400179:35461266:-1 gene:ENSMUSG00000035778.17 transcript:ENSMUST00000113002.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggta1 description:glycoprotein galactosyltransferase alpha 1, 3 [Source:MGI Symbol;Acc:MGI:95704] MITMLQDLHVNKISMSRSKSETSLPSSRSGSQEKIMNVKGKVILLMLIVSTVVVVFWEYV NRIPEVGENRWQKDWWFPSWFKNGTHSYQEDNVEGRREKGRNGDRIEEPQLWDWFNPKNR PDVLTVTPWKAPIVWEGTYDTALLEKYYATQKLTVGLTVFAVGKYIEHYLEDFLESADMY FMVGHRVIFYVMIDDTSRMPVVHLNPLHSLQVFEIRSEKRWQDISMMRMKTIGEHILAHI QHEVDFLFCMDVDQVFQDNFGVETLGQLVAQLQAWWYKASPEKFTYERRELSAAYIPFGE GDFYYHAAIFGGTPTHILNLTRECFKGILQDKKHDIEAQWHDESHLNKYFLFNKPTKILS PEYCWDYQIGLPSDIKSVKVAWQTKEYNLVRNNV >ENSMUSP00000108625.2 pep:known chromosome:GRCm38:2:35400183:35461249:-1 gene:ENSMUSG00000035778.17 transcript:ENSMUST00000113001.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggta1 description:glycoprotein galactosyltransferase alpha 1, 3 [Source:MGI Symbol;Acc:MGI:95704] MITMLQDLHVNKISMSRSKSETSLPSSRSGSQEKIMNVKGKVILLMLIVSTVVVVFWEYV NSPEGSFLWIYHTKTHSYQEDNVEGRREKGRNGDRIEEPQLWDWFNPKNRPDVLTVTPWK APIVWEGTYDTALLEKYYATQKLTVGLTVFAVGKYIEHYLEDFLESADMYFMVGHRVIFY VMIDDTSRMPVVHLNPLHSLQVFEIRSEKRWQDISMMRMKTIGEHILAHIQHEVDFLFCM DVDQVFQDNFGVETLGQLVAQLQAWWYKASPEKFTYERRELSAAYIPFGEGDFYYHAAIF GGTPTHILNLTRECFKGILQDKKHDIEAQWHDESHLNKYFLFNKPTKILSPEYCWDYQIG LPSDIKSVKVAWQTKEYNLVRNNV >ENSMUSP00000099858.1 pep:known chromosome:GRCm38:2:35400183:35461449:-1 gene:ENSMUSG00000035778.17 transcript:ENSMUST00000102794.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggta1 description:glycoprotein galactosyltransferase alpha 1, 3 [Source:MGI Symbol;Acc:MGI:95704] MITMLQDLHVNKISMSRSKSETSLPSSRSGSQEKIMNVKGKVILLMLIVSTVVVVFWEYV NSPEGSFLWIYHTKIPEVGENRWQKDWWFPSWFKNGTHSYQEDNVEGRREKGRNGDRIEE PQLWDWFNPKNRPDVLTVTPWKAPIVWEGTYDTALLEKYYATQKLTVGLTVFAVGKYIEH YLEDFLESADMYFMVGHRVIFYVMIDDTSRMPVVHLNPLHSLQVFEIRSEKRWQDISMMR MKTIGEHILAHIQHEVDFLFCMDVDQVFQDNFGVETLGQLVAQLQAWWYKASPEKFTYER RELSAAYIPFGEGDFYYHAAIFGGTPTHILNLTRECFKGILQDKKHDIEAQWHDESHLNK YFLFNKPTKILSPEYCWDYQIGLPSDIKSVKVAWQTKEYNLVRNNV >ENSMUSP00000115112.1 pep:known chromosome:GRCm38:2:35407945:35442101:-1 gene:ENSMUSG00000035778.17 transcript:ENSMUST00000131745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggta1 description:glycoprotein galactosyltransferase alpha 1, 3 [Source:MGI Symbol;Acc:MGI:95704] MITMLQDLHVNKISMSRSKSETSLPSSRSGSQEKIMNVKGKVILLMLIVSTVVVVFWEYV NRTHSYQEDNVEGRREKGRNGDRIEEPQLWDWFNPKNRPDVLTVTPWKAPIVWEGTYDTA LLEKYYATQKLTVGLTVFAVG >ENSMUSP00000049408.6 pep:known chromosome:GRCm38:2:35402109:35423327:-1 gene:ENSMUSG00000035778.17 transcript:ENSMUST00000044255.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggta1 description:glycoprotein galactosyltransferase alpha 1, 3 [Source:MGI Symbol;Acc:MGI:95704] MITMLQDLHVNKISMSRSKSETSLPSSRSGSQEKIMNVKGKVILLMLIVSTVVVVFWEYV NSPEGSFLWIYHTKIPEVGENRWQKDWWFPSWFKNGTHSYQEDNVEGRREKGRNGDRIEE PQLWDWFNPKNRPDVLTVTPWKAPIVWEGTYDTALLEKYYATQKLTVGLTVFAVGKYIEH YLEDFLESADMYFMVGHRVIFYVMIDDTSRMPVVHLNPLHSLQVFEIRSEKRWQDISMMR MKTIGEHILAHIQHEVDFLFCMDVDQVFQDNFGVETLGQLVAQLQAWWYKASPEKFTYER RELSAAYIPFGEGDFYYHAAIFGGTPTHILNLTRECFKGILQDKKHDIEAQWHDESHLNK YFLFNKPTKILSPEYCWDYQIGLPSDIKSVKVAWQTKEYNLVRNNV >ENSMUSP00000132408.1 pep:known chromosome:GRCm38:2:35402109:35423327:-1 gene:ENSMUSG00000035778.17 transcript:ENSMUST00000164889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggta1 description:glycoprotein galactosyltransferase alpha 1, 3 [Source:MGI Symbol;Acc:MGI:95704] MITMLQDLHVNKISMSRSKSETSLPSSRSGSQEKIMNVKGKVILLMLIVSTVVVVFWEYV NRIPEVGENRWQKDWWFPSWFKNGTHSYQEDNVEGRREKGRNGDRIEEPQLWDWFNPKNR PDVLTVTPWKAPIVWEGTYDTALLEKYYATQKLTVGLTVFAVGKYIEHYLEDFLESADMY FMVGHRVIFYVMIDDTSRMPVVHLNPLHSLQVFEIRSEKRWQDISMMRMKTIGEHILAHI QHEVDFLFCMDVDQVFQDNFGVETLGQLVAQLQAWWYKASPEKFTYERRELSAAYIPFGE GDFYYHAAIFGGTPTHILNLTRECFKGILQDKKHDIEAQWHDESHLNKYFLFNKPTKILS PEYCWDYQIGLPSDIKSVKVAWQTKEYNLVRNNV >ENSMUSP00000058204.4 pep:known chromosome:GRCm38:5:45453751:45464149:1 gene:ENSMUSG00000049530.6 transcript:ENSMUST00000053250.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clrn2 description:clarin 2 [Source:MGI Symbol;Acc:MGI:3646230] MPGWFKKVWYGLASLLSFSSFLLIIVALSLPHWLSGKILCQTGVDLVNATDPELVKFIGD IYYGLFRGCKVRQCGLGGRQSQFTIFPHLVKELNAGLHVTILLLLFLALALALVSMGFAI LNIIQVPYRAVNGPGGICLWNVLAGGVVALAIGSFMAAVKFHDLTERIANFQERLFQFVV VEEQYEESFWICVASASAHAANLVVVAISQIPLPEIKTKMEEATVTPEDILY >ENSMUSP00000083300.2 pep:known chromosome:GRCm38:1:157506728:157568422:1 gene:ENSMUSG00000026589.14 transcript:ENSMUST00000086130.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec16b description:SEC16 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2148802] MEPWVPQTQGRTTGPSRDTNRGLQSGHYRPRLHSQYSGDKYHQWQDAHKNSKSQQDLRDD HQQSHSVSRSGEWSQPVSGADYLKGSYPSHLYSRSGYGDPYQRYHTPTPRDEYAYGNYYY HGHPQLLPEERVARQGSPYIWHEDHGDQRYFGEHHREKHNGTFGANSDTQFQFTSKNPYR DSPASVSGQEQPGEFFPESEAQKQKPLLTSKSSLLQQHESGLSSSSYELSQYMTAAPEEY EPMVSAAWRPIQADDTSATVPKAPMRFYVPHVSVSFGPGGQLVCVPPNSPADGQTALVEV HSMEVLLNDFEDQEEMRAFPGPLIREDIHKVDIMTFCQQKATQCLKSETPGSRDSALLWQ LLVLLCRQNGSMVGSDIAELLMQDCKKLEKYKRQPPVANLINLTDEDWPVLSSGTRDLLT GEIPPNVDTPAQIVEKFTKLLYYGRKKEALEWAMKNHLWGHALFLASKMDPRTYNWVMSG FTSTLALNDPLQTLFQLMSGRIPQAATVCGDKQWGDWRPHLAVILSNQAGDTELYQRAIV SMGDTLAGKGLVEASHFCYLMAHVPFGHYTVKTDHLALVGSSHSQEFMKFATIEAIQRTE IFEYCQMLGRPKSFIPSFQVYKLLYASRLADYGLASQALHYCEAIGAAVLSQEGSSHPVL LAELIKLAEKLKLSDPLVLERRRGDRDLEPDWLVQLRRKHKDLEQNRTGAPRDPDSTPSD IYGAGGTTDTPYPDLSGHQNYSEDSEYSSTLWSTAEQTSLTNPLAQQSFPLQRDTYSGHM GTPVPLYSVPATHLAVTSGASGSSVAVTGTPGGRVGEDMLRTHPAFGENTMTQEPLEDPD GLEVISSLQTPAAPRVPSFSEDSAASAKEDEEGSSDGADKPSHPDASQKGKLGDGKNTKS SGFGWFSWFRSKPASSVSTSGDEDSSDSSDSEESPRASSPHHASPGLSPTPPLTSPSLPG ASTFSRGTGGSILQGSSNSSGIAEGMGIGGFSGTQGVSSEFYSQPGALPPPPTLQGAVPL YNPSQVPQLPTASSLNRPNRLAQRRYPTQPC >ENSMUSP00000027881.8 pep:known chromosome:GRCm38:1:157506810:157568425:1 gene:ENSMUSG00000026589.14 transcript:ENSMUST00000027881.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec16b description:SEC16 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2148802] MEPWVPQTQGRTTGPSRDTNRGLQSGHYRPRLHSQYSGDKYHQWQDAHKNSKSQQDLRDD HQQSHSVSRSGEWSQPVSGADYLKGSYPSHLYSRSGYGDPYQRYHTPTPRDEYAYGNYYY HGHPQLLPEERVARQGSPYIWHEDHGDQRYFGEHHREKHNGTFGANSDTQFQFTSKNPYR DSPASVSGQEQPGEFFPESEAQKQKPLLTSKSSLLQQHESGLSSSSYELSQYMTAAPEEY EPMVSAAWRPIQADDTSATVPKAPMRFYVPHVSVSFGPGGQLVCVPPNSPADGQTALVEV HSMEVLLNDFEDQEEMRAFPGPLIREDIHKVDIMTFCQQKATQCLKSETPGSRDSALLWQ LLVLLCRQNGSMVGSDIAELLMQDCKKLEKYKRQPPVANLINLTDEDWPVLSSGTRDLLT GEIPPNVDTPAQIVEKFTKLLYYGRKKEALEWAMKNHLWGHALFLASKMDPRTYNWVMSG FTSTLALNDPLQTLFQLMSGRIPQAATVCGDKQWGDWRPHLAVILSNQAGDTELYQRAIV SMGDTLAGKGLVEASHFCYLMAHVPFGHYTVKTDHLALVGSSHSQEFMKFATIEAIQRTE IFEYCQMLGRPKSFIPSFQVYKLLYASRLADYGLASQALHYCEAIGAAVLSQEGSSHPVL LAELIKLAEKLKLSDPLVLERRRGDRDLEPDWLVQLRRKHKDLEQNRTGAPRDPDSTPSD IYGAGGTTDTPYPDLSGHQNYSEDSEYSSTLWSTAEQTSLTNPLAQQSFPLQRDTYSGHM GTPVPLYSVPATHLAVTSGASGSSVAVTGTPGGRVGEDMLRTHPAFGENTMTQEPLEDPD GLEVISSLQTPAAPRVPSFSEDSAASAKEDEEGSSDGADKPSHPDASQKGKLGDGKNTKS SGFGWFSWFRSKPASSVSTSGDEDSSDSSDSEESPRASSPHHASPGLSPTPPLTSPSLPG ASTFSRGTGGSILQGSSNSSGIAEGMGIGGFSGTQGVSSEFYSQPGALPPPPTLQGAVPL YNPSQVPQLPTASSLNRPNRLAQRRYPTQPC >ENSMUSP00000107329.1 pep:known chromosome:GRCm38:1:157526147:157568422:1 gene:ENSMUSG00000026589.14 transcript:ENSMUST00000111700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec16b description:SEC16 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2148802] MEPWVPQTQGRTTGPSRDTNRGLQSGHYRPRLHSQYSGDKYHQWQDAHKNSKSQQDLRDD HQQSHSVSRSGEWSQPVSGADYLKGSYPSHLYSRSGYGDPYQRYHTPTPRDEYAYGNYYY HGHPQLLPEERVARQGSPYIWHEDHGDQRYFGEHHREKHNGTFGANSDTQFQFTSKNPYR DSPASVSGQEQPGEFFPESEAQKQKPLLTSKSSLLQQHESGLSSSSYELSQYMTAAPEEY EPMVSAAWRPIQADDTSATVPKAPMRFYVPHVSVSFGPGGQLVCVPPNSPADGQTALVEV HSMEVLLNDFEDQEEMRAFPGPLIREDIHKVDIMTFCQQKATQCLKSETPGSRDSALLWQ LLVLLCRQNGSMVGSDIAELLMQDCKKLEKYKRQPPVANLINLTDEDWPVLSSGTRDLLT GEIPPNVDTPAQIVEKFTKLLYYGRKKEALEWAMKNHLWGHALFLASKMDPRTYNWVMSG FTSTLALNDPLQTLFQLMSGRIPQAATVCGDKQWGDWRPHLAVILSNQAGDTELYQRAIV SMGDTLAGKGLVEASHFCYLMAHVPFGHYTVKTDHLALVGSSHSQEFMKFATIEAIQRTE IFEYCQMLGRPKSFIPSFQVYKLLYASRLADYGLASQALHYCEAIGAAVLSQEGSSHPVL LAELIKLAEKLKLSDPLVLERRRGDRDLEPDWLVQLRRKHKDLEQNRTGAPRDPDSTPSD IYGAGGTTDTPYPDLSGHQNYSEDSEYSSTLWSTAEQTSLTNPLAQQSFPLQRDTYSGHM GTPVPLYSVPATHLAVTSGASGSSVAVTGTPGGRVGEDMLRTHPAFGENTMTQEPLEDPD GLEVISSLQTPAAPRVPSFSEDSAASAKEDEEGSSDGADKPSHPDASQKGKLGDGKNTKS SGFGWFSWFRSKPASSVSTSGDEDSSDSSDSEESPRASSPHHASPGLSPTPPLTSPSLPG ASTFSRGTGGSILQGSSNSSGIAEGMGIGGFSGTQGVSSEFYSQPGALPPPPTLQGAVPL YNPSQVPQLPTASSLNRPNRLAQRRYPTQPC >ENSMUSP00000119359.2 pep:known chromosome:GRCm38:1:157533131:157562189:1 gene:ENSMUSG00000026589.14 transcript:ENSMUST00000146873.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec16b description:SEC16 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2148802] EQPGEFFPESEAQKQKPLLTSKSSLLQQHESGLSSSSYELSQYMTAAPEEYEPMVSAAWR PIQADDTSATVPKAPMRFYVPHVSVSFGPGGQLVCVPPNSPADGQTALVEVHSMEVLLND FEDQEEMRAFPGPLIREDIHKVDIMTFCQQKATQCLKSETPGSRDSALLWQLLVLLCRQN GSMVGSDIAELLMQDCKKLEKYKRQPPVANLINLTDEDWPVLSSGTRDLLTGEIPPNVDT PAQIVEKFTKLLYYGRKKEALEWAMKNHLWGHALFLASKMDPRTYNWVMSGFTSTLALND PLQTLFQLMSGRIPQAATVCGDKQWGDWRPHLAVILSNQAGDTELYQRAIVSMGDTLAGK GLVEASHFCYLMAHVPFGHYTVKTDHLALVGSSHSQEFMKFATIEAIQRTEIFEYCQMLG RPKSFIPSFQVYKLLYASRLADYGLASQALHYCEAIGAAVLSQEGSSHPVLLAELIKLAE KLKLSDPLVLERRRGDRDLEPDWLVQLRRKHKDLEQNRTGAPRDPDSTPSDIYGAGGTTD TPYPDLSGHQNYSEDSEYSSTLWSTAEQTSLTNPLAQQSFPLQRDTYSGHMGTPVPLYSV PATHLAVTSGASGSSVAVTGTPGGRVGEDMLRTHPAFGENTMTQEPLEDPDGLEVISSLQ VSRGARRNPGDYSNPCLGLCQISMALVRVWEEKSYVFSL >ENSMUSP00000123201.1 pep:known chromosome:GRCm38:10:70922832:71159700:-1 gene:ENSMUSG00000014329.14 transcript:ENSMUST00000143791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bicc1 description:BicC family RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1933388] MASQSEPGYLAAAQSDPGSNSERSTDSPVAGSEDDLVAAAPLLHSPEWSEERFRVDRKKL EAMLQAAAEGKGRSGEDFFQKIMEETNTQIAWPSKLKIGAKSKKDPHIKVSGKKEDVKEA KEMIMSVLDTKSNRVTLKMDVSHTEHSHVIGKGGNNIKKVMEDTGCHIHFPDSNRNNQAE KSNQVSIAGQPAGVESARARIRELLPLVLMFELPIAGILQPVPDPNTPSIQHISQTYSVS VSFKQRSRMYGATVTVRGSQNNTNAVKEGTAMLLEHLAGSLASAIPVSTQLDIAAQHHLF MMGRNGSNVKHIMQRTGAQIHFPDPSNPQKKSTVYLQGTIESVCLARQYLMGCLPLVLMF DMKEDIEVDPQVIAQLMEQLDVFISIKPKPKQPSKSVIVKSVERNALNMYEARKCLLGLE SSGVSIATSLSPASCPAGLACPSLDILASAGLGLTGLGLLGPTTLSLNTSATPNSLLNAL NTSVSPLQSSSSGTPSPTLWAPPIANTASATGFSTIPHLMLPSTAQATLTNILLSGVPTY GHTAPSPPPGLTPVDVHINSMQTEGKNISASINGHVQPANMKYGPLSTSSLGEKVLSSNH GDPSMQTAGPEQASPKSNSVEGCNDAFVEVGMPRSPSHSGNAGDLKQMLGASKVSCAKRQ TVELLQGTKNSHLHGTDRLLSDPELSATESPLADKKAPGSERAAERAAAAQQKSERARLA SQPTYVHMQAFDYEQKKLLATKAMLKKPVVTEVRTPTNTWSGLGFSKSMPAETIKELRRA NHVSYKPTMTTAYEGSSLSLSRSSSREHLASGSESDNWRDRNGIGPMGHSEFSAPIGSPK RKQNKSREHYLSSSNYMDCISSLTGSNGCNLNSCFKGSDLPELFSKLGLGKYTDVFQQQE IDLQTFLTLTDQDLKELGITTFGARRKMLLAISELSKNRRKLFEPPNASCTSFLEGGASG RLPRQYHSDIASVSGRW >ENSMUSP00000119137.1 pep:known chromosome:GRCm38:10:70925102:71146860:-1 gene:ENSMUSG00000014329.14 transcript:ENSMUST00000131445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bicc1 description:BicC family RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1933388] MEETNTQIAWPSKLKIGAKSKKDPHIKVSGKKEDVKEAKEMIMSVLDTKSNRVTLKMDVS HTEHSHVIGKGGNNIKKVMEDTGCHIHFPDSNRNNQAEKSNQVSIAGQPAGVESARARIR ELLPLVLMFELPIAGILQPVPDPNTPSIQHISQTYSVSVSFKQRSRMYGATVTVRGSQNN TNAVKEGTAMLLEHLAGSLASAIPVSTQLDIAAQHHLFMMGRNGSNVKHIMQRTGAQIHF PDPSNPQKKSTVYLQGTIESVCLARQYLMGCLPLVLMFDMKEDIEVDPQVIAQLMEQLDV FISIKPKPKQPSKSVIVKSVERNALNMYEARKCLLGLESSGVSIATSLSPASCPAGLACP SLDILASAGLGLTGLGLLGPTTLSLNTSATPNSLLNALNTSVSPLQSSSSGTPSPTLWAP PIANTASATGFSTIPHLMLPSTAQATLTNILLSGVPTYGHTAPSPPPGLTPVDVHINSMQ TEGKNISASINGHVQPANMKYGPLSTSSLGEKVLSSNHGDPSMQTAGPEQASPKSNSVEG CNDAFVEVGMPRSPSHSGNAGDLKQMLGASKVSCAKRQTVELLQGTKNSHLHGTDRLLSD PELSATESPLADKKAPGSERAAERAAAAQQKSERARLASQPTYVHMQAFDYEQKKLLATK AMLKKPVVTEVRTPTNTWSGLGFSKSMPAETIKELRRANHVSYKPTMTTAYEGSSLSLSR SSSREHLASGSESDNWRDRNGIGPMGHSEFSAPIGSPKRKQNKSREHYLSSSNYMDCISS LTGSNGCNLNSCFKGSDLPELFSKLGLGKYTDVFQQQEIDLQTFLTLTDQDLKELGITTF GARRKMLLAISVCDSVQIRNKILRAARIL >ENSMUSP00000014473.5 pep:known chromosome:GRCm38:10:70925102:71159690:-1 gene:ENSMUSG00000014329.14 transcript:ENSMUST00000014473.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bicc1 description:BicC family RNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1933388] MASQSEPGYLAAAQSDPGSNSERSTDSPVAGSEDDLVAAAPLLHSPEWSEERFRVDRKKL EAMLQAAAEGKGRSGEDFFQKIMEETNTQIAWPSKLKIGAKSKKDPHIKVSGKKEDVKEA KEMIMSVLDTKSNRVTLKMDVSHTEHSHVIGKGGNNIKKVMEDTGCHIHFPDSNRNNQAE KSNQVSIAGQPAGVESARARIRELLPLVLMFELPIAGILQPVPDPNTPSIQHISQTYSVS VSFKQRSRMYGATVTVRGSQNNTNAVKEGTAMLLEHLAGSLASAIPVSTQLDIAAQHHLF MMGRNGSNVKHIMQRTGAQIHFPDPSNPQKKSTVYLQGTIESVCLARQYLMGCLPLVLMF DMKEDIEVDPQVIAQLMEQLDVFISIKPKPKQPSKSVIVKSVERNALNMYEARKCLLGLE SSGVSIATSLSPASCPAGLACPSLDILASAGLGLTGLGLLGPTTLSLNTSATPNSLLNAL NTSVSPLQSSSSGTPSPTLWAPPIANTASATGFSTIPHLMLPSTAQATLTNILLSGVPTY GHTAPSPPPGLTPVDVHINSMQTEGKNISASINGHVQPANMKYGPLSTSSLGEKVLSSNH GDPSMQTAGPEQASPKSNSVEGCNDAFVEVGMPRSPSHSGNAGDLKQMLGASKVSCAKRQ TVELLQGTKNSHLHGTDRLLSDPELSATESPLADKKAPGSERAAERAAAAQQKSERARLA SQPTYVHMQAFDYEQKKLLATKAMLKKPVVTEVRTPTNTWSGLGFSKSMPAETIKELRRA NHVSYKPTMTTAYEGSSLSLSRSSSREHLASGSESDNWRDRNGIGPMGHSEFSAPIGSPK RKQNKSREHYLSSSNYMDCISSLTGSNGCNLNSCFKGSDLPELFSKLGLGKYTDVFQQQE IDLQTFLTLTDQDLKELGITTFGARRKMLLAISVCDSVQIRNKILRAARIL >ENSMUSP00000018470.3 pep:known chromosome:GRCm38:2:163994960:164018588:1 gene:ENSMUSG00000018326.9 transcript:ENSMUST00000018470.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhab description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, beta polypeptide [Source:MGI Symbol;Acc:MGI:1891917] MTMDKSELVQKAKLAEQAERYDDMAAAMKAVTEQGHELSNEERNLLSVAYKNVVGARRSS WRVISSIEQKTERNEKKQQMGKEYREKIEAELQDICNDVLELLDKYLILNATQAESKVFY LKMKGDYFRYLSEVASGENKQTTVSNSQQAYQEAFEISKKEMQPTHPIRLGLALNFSVFY YEILNSPEKACSLAKTAFDEAIAELDTLNEESYKDSTLIMQLLRDNLTLWTSENQGDEGD AGEGEN >ENSMUSP00000117125.1 pep:known chromosome:GRCm38:2:163995503:164015283:1 gene:ENSMUSG00000018326.9 transcript:ENSMUST00000131288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhab description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, beta polypeptide [Source:MGI Symbol;Acc:MGI:1891917] MTMDKSELVQKAKLAEQAERYDDMAAAMKAVTEQGHELSNEERNLLSVAYKNVVGARRSS WRVISSIEQKTERNEKKQQMGKEYREKIEAELQDICNDVLELLDKYLILNATQAESKVFY LKMKGDYFRYLSEVASGENKQTTVSNSQQAYQEAFEISK >ENSMUSP00000045160.8 pep:known chromosome:GRCm38:7:119690026:119714565:1 gene:ENSMUSG00000047026.9 transcript:ENSMUST00000047045.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm4 description:acyl-CoA synthetase medium-chain family member 4 [Source:MGI Symbol;Acc:MGI:2681844] MKVLLHCQRLRFIWLAKPAGRHFHRDSQLWAPLTLDDFEAINRCEKPLPKNFNFAADVLD QWSLKEKSGERPANPALWWVNGKGDEVKWSFQELGSLSRKAANVLTKPCGLQRGDRVAVI LPRIPEWWLINVACMRTGLVFMPGTIQLTRKDILYRLQASKAKCIVASEEVAPAVDSIVS ECPSLKTKLLVSPHHWDGWLNFQELLQSASEEHNCVETGSQEPMAIYFTSGTTGSPKMAQ HSQGSLGIGYTLCGRYWLDLTSSDIMWNMSDTGWIKAAIGSVFSTWLRGACVFVHRMAQF DTDIFLDTLTTYPITTLCSAPTVYRMLVQKDLKRYQFKRLRHCLTGGEPLNPEVLEQWKM QTGLELYEGYGQTEVGIICANRKGEAIKPGSMGKGVVPYDVQIIDENGNILPSGKEGEIA LRLKSDRPFCFFSEYVDNPEKTDATIRRNFYVTGDRGVMDDDGYFWFVGRADDVIISSGY RIGPFEVESALIEHPAVVESAVVSSPDPIRGEVVKAFIVLAAPYKCSNREKLTAELQDHV KNSTAPYKYPRKVEFVQELPKTITGKIKRNVLRDQEWGRA >ENSMUSP00000032683.4 pep:known chromosome:GRCm38:7:24349196:24355109:1 gene:ENSMUSG00000030484.5 transcript:ENSMUST00000032683.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd5 description:Ly6/Plaur domain containing 5 [Source:MGI Symbol;Acc:MGI:1924192] MGIPRTFLHCFLGSLLCLTGSQALQCYSYEHTYFGPFDLSAVKLPSVSCPEGCSEVVLSL DTGYRSLVTMVRKGCWTGPTTGPMQTNQDALPPDYAVVRGCATDYCNSNLKTHDALPNLS QAPNPQTLSGTECYACLGTHPEDCSPEKSRRVQCHQDQSACFQGNGRMNIGNFSVPVYIR TCHRPSCTTMGTTSPWTSIDLQGYCCEGHLCNRASVTQILPGTMSSAPPRAPRILTLLTA APLLAIALGASVGFPA >ENSMUSP00000031341.4 pep:known chromosome:GRCm38:5:124345417:124354671:-1 gene:ENSMUSG00000029394.11 transcript:ENSMUST00000031341.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap1 description:CDK2 (cyclin-dependent kinase 2)-associated protein 1 [Source:MGI Symbol;Acc:MGI:1202069] MSYKPNLTAHMPAAALNAGSVHSPSTSMATSSQYRQLLSDYGPPSLGYTQGTGNSQVPQS KYAELLAIIEELGKEIRPTYAGSKSAMERLKRGIIHARSLVRECLAETERNARS >ENSMUSP00000107099.1 pep:known chromosome:GRCm38:5:124345605:124351654:-1 gene:ENSMUSG00000029394.11 transcript:ENSMUST00000111474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap1 description:CDK2 (cyclin-dependent kinase 2)-associated protein 1 [Source:MGI Symbol;Acc:MGI:1202069] MATSSQYRQLLSDYGPPSLGYTQGTGNSQVPQSKYAELLAIIEELGKEIRPTYAGSKSAM ERLKRGIIHARSLVRECLAETERNARS >ENSMUSP00000107098.1 pep:known chromosome:GRCm38:5:124345744:124350254:-1 gene:ENSMUSG00000029394.11 transcript:ENSMUST00000111473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap1 description:CDK2 (cyclin-dependent kinase 2)-associated protein 1 [Source:MGI Symbol;Acc:MGI:1202069] MATSSQYRQLLSDYGPPSLGYTQGTGNSQVPQSKYAELLAIIEELGKEIRPTYAGSKSAM ERLKRGIIHARSLVRECLAETERNARS >ENSMUSP00000107097.1 pep:known chromosome:GRCm38:5:124345763:124352233:-1 gene:ENSMUSG00000029394.11 transcript:ENSMUST00000111472.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap1 description:CDK2 (cyclin-dependent kinase 2)-associated protein 1 [Source:MGI Symbol;Acc:MGI:1202069] MATSSQYRQLLSDYGPPSLGYTQGTGNSQVPQSKYAELLAIIEELGKEIRPTYAGSKSAM ERLKRGIIHARSLVRECLAETERNARS >ENSMUSP00000143268.1 pep:known chromosome:GRCm38:5:124346027:124363082:-1 gene:ENSMUSG00000029394.11 transcript:ENSMUST00000196910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap1 description:CDK2 (cyclin-dependent kinase 2)-associated protein 1 [Source:MGI Symbol;Acc:MGI:1202069] MATSSQYRQLLSDYGPPSLGYTQGTGNSQVPQSKYAELLAIIEELGKEIRPTYAGSKSAM ERLKRGIIHARSLVRECLAETERNARS >ENSMUSP00000067912.8 pep:known chromosome:GRCm38:11:101406839:101417615:-1 gene:ENSMUSG00000075528.13 transcript:ENSMUST00000070395.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aarsd1 description:alanyl-tRNA synthetase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916934] MAFLCQRDSYAREFTTTVVSCSPAELQTDASGGKKEVLSGFHVVLEDTLLFPEGGGQPDD RGTINDISVLRVTRRGAQADHFTESPLSPGSQVQVRVDWERRFDHMQQHSGQHLITAVAD LLFGLKTTSWELGRLRSVIELDSPSVTAEQVAAIEQSVNQKIRDRLPVSVRELSLDDPEV EQVRGRGLPDDHAGPIRVVTIEGVDSNMCCGTHVSNLSDLQVIKILGTEKGKKNKSNLIF LAGNRVLKWMERSHGSEKALTSLLKCGVEDHVEAVKKLQNATKLLQKNNLNLLRDLAVHT AHSLRSSPAWGGVVTLHRKEGDSEFMNIIANEIGSEETLLFLTVGDEKGAGLFLLAGPAE AVETLGPRVAEVLEGKGAGKKGRFQGKATKMSRRAEAQALLQDYVSTQSAEE >ENSMUSP00000099329.4 pep:known chromosome:GRCm38:11:115182832:115187390:-1 gene:ENSMUSG00000015542.17 transcript:ENSMUST00000103040.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat9 description:N-acetyltransferase 9 (GCN5-related, putative) [Source:MGI Symbol;Acc:MGI:1913426] MKLNQNTMLVGKKVVLVPYTSEHVPRYHEWMKSEELRHLTASEQLTLQQEYEMQCSWCED EDKCTFIVLDAEKWQAQPRPPEESCMVGDVNLFLTDLEDPTLGEIEVMIAEPSYRRQGLG TEASLLIMSYGVTKLGLTKFEAKIGQENEPSIRMFQKLHFKQVAMSNVFQEVTLRLAVSE PERKWILEQTSHMEERPYRTRKAEPVTATLSEQKSWNCPLPRPDGCMGDTSAVSSVCARL S >ENSMUSP00000099327.1 pep:known chromosome:GRCm38:11:115182832:115187332:-1 gene:ENSMUSG00000015542.17 transcript:ENSMUST00000103038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat9 description:N-acetyltransferase 9 (GCN5-related, putative) [Source:MGI Symbol;Acc:MGI:1913426] MKLNQNTMLVGKKVVLVPYTSEHVPRYHEWMKSEELRHLTASEQLTLQQEYEMQCSWCED EDKCTFIVLDAEKWQAQPRPPEESCMVGDVNLFLTDLEDPTLGEIEVMIAEPSYRRQGLG TEASLLIMSYGVTKLGLTKFEAKIGQENEPSIRMFQKLHFKQVAMSNVFQEVTLRLAVSE PERKWILEQTSHMEERPYRTRKAEPVTATLSEQKSWNCPLPRPDGCMGDTSAVSSVCARL S >ENSMUSP00000099330.1 pep:known chromosome:GRCm38:11:115182832:115187844:-1 gene:ENSMUSG00000015542.17 transcript:ENSMUST00000103041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat9 description:N-acetyltransferase 9 (GCN5-related, putative) [Source:MGI Symbol;Acc:MGI:1913426] MKLNQNTMLVGKKVVLVPYTSEHVPRYHEWMKSEELRHLTASEQLTLQQEYEMQCSWCED EDKCTFIVLDAEKWQAQPRPPEESCMVGDVNLFLTDLEDPTLGEIEVMIAEPSYRRQGLG TEASLLIMSYGVTKLGLTKFEAKIGQENEPSIRMFQKLHFKQVAMSNVFQEVTLRLAVSE PERKWILEQTSHMEERPYRTRKAEPVTATLSEQKSWNCPLPRPDGCMGDTSAVSSVCARL S >ENSMUSP00000099328.1 pep:known chromosome:GRCm38:11:115182832:115187355:-1 gene:ENSMUSG00000015542.17 transcript:ENSMUST00000103039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat9 description:N-acetyltransferase 9 (GCN5-related, putative) [Source:MGI Symbol;Acc:MGI:1913426] MKLNQNTMLVGKKVVLVPYTSEHVPRYHEWMKSEELRHLTASEQLTLQQEYEMQCSWCED EDKCTFIVLDAEKWQAQPRPPEESCMVGDVNLFLTDLEDPTLGEIEVMIAEPSYRRQGLG TEASLLIMSYGVTKLGLTKFEAKIGQENEPSIRMFQKLHFKQVAMSNVFQEVTLRLAVSE PERKWILEQTSHMEERPYRTRKAEPVTATLSEQKSWNCPLPRPDGCMGDTSAVSSVCARL S >ENSMUSP00000131174.1 pep:known chromosome:GRCm38:11:76210571:76243663:-1 gene:ENSMUSG00000017286.15 transcript:ENSMUST00000169701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glod4 description:glyoxalase domain containing 4 [Source:MGI Symbol;Acc:MGI:1914451] MATRRALHFVFKVKNRFQTVHFFRDVLGMQVLRHEEFEEGCKAACNGPYDGKWSKTMVGF GPEDDHFVAELTYNYGIGDYKLGNDFMGITLASSQAVSNARKLEWPLSKVAEGIFETEAP GGYKFYLQDRSPSQSDPVLKVTLAVSDLQKSLNYWSNLLGMKIYEQDEEKQRALLGYADN QCKLELQGIQGAVDHAAAFGRIAFSCPQKELPDLEDLMKRESHSILTPLVSLDTPGKATV QVVILADPDGHEICFVGDEAFRELSKMDPKGSKLLDDDP >ENSMUSP00000017430.5 pep:known chromosome:GRCm38:11:76221510:76243725:-1 gene:ENSMUSG00000017286.15 transcript:ENSMUST00000017430.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glod4 description:glyoxalase domain containing 4 [Source:MGI Symbol;Acc:MGI:1914451] MATRRALHFVFKVKNRFQTVHFFRDVLGMQVLRHEEFEEGCKAACNGPYDGKWSKTMVGF GPEDDHFVAELTYNYGIGDYKLGNDFMGITLASSQAVSNARKLEWPLSKVAEGIFETEAP GGYKFYLQDRSPSQSDPVLKVTLAVSDLQKSLNYWSNLLGMKIYEQDEEKQRALLGYADN QCKLELQGIQGAVDHAAAFGRIAFSCPQKELPDLEDLMKRESHSILTPLVSLDTPGKATV QVVILADPDGHEICFVGDEAFRELSKMDPKGSKLLDDAMEADKSDEWFATRNKPKASG >ENSMUSP00000132496.1 pep:known chromosome:GRCm38:11:76221607:76243651:-1 gene:ENSMUSG00000017286.15 transcript:ENSMUST00000170017.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glod4 description:glyoxalase domain containing 4 [Source:MGI Symbol;Acc:MGI:1914451] MATRRALHFVFKVKNRFQTVHFFRDVLGMQVLRHEEFEEGCKAACNGIDIQLWHRRLQAW Q >ENSMUSP00000131788.1 pep:known chromosome:GRCm38:11:76221794:76243459:-1 gene:ENSMUSG00000017286.15 transcript:ENSMUST00000170710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glod4 description:glyoxalase domain containing 4 [Source:MGI Symbol;Acc:MGI:1914451] MICGSVLNSPDVLRHEEFEEGCKAACNGPYDGKWSKTMVGFGPEDDHFVAELTYNYGIGD YKLGNDFMGITLASSQAVSNARKLEWPLSKVAEGIFETEAPGGYKFYLQDRSPSQSDPVL KVTLAVSDLQKSLNYWSNLLGMKIYEQDEEKQRALLGYADNQCKLELQGIQGAVDHAAAF GRIAFSCPQKELPDLEDLMKRESHSILTPLVSLDTPGKATVQVVILADPDGHEICFVGDE AFRELSKMDPKGSKLLDDAMEADKSDEWFATRNKPKASG >ENSMUSP00000126699.1 pep:known chromosome:GRCm38:11:76233244:76243610:-1 gene:ENSMUSG00000017286.15 transcript:ENSMUST00000164022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glod4 description:glyoxalase domain containing 4 [Source:MGI Symbol;Acc:MGI:1914451] XVKNRFQTVLRHEEFEEGCKAACNGPYDGKWSKTMVGFGPEDDHFVAELTYNYGIGDYKL GNDFMGITLASSQAVSNARKLEWPLSKVAEGIFETEAPGGYKFYLQDRSPSQSDPVLKVT LAVSDLQKSLNYWSNLLGMKIYEQDEEKQRALLGYADNQCKLELQGIQGAVDHAAAFGRI AFSCPQKELPDLEDLMKRESHSILTPLVSLDT >ENSMUSP00000129009.1 pep:known chromosome:GRCm38:11:76234519:76243669:-1 gene:ENSMUSG00000017286.15 transcript:ENSMUST00000170590.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glod4 description:glyoxalase domain containing 4 [Source:MGI Symbol;Acc:MGI:1914451] MATRRALHFVFKVKNRFQTVHFFRDVLGMQVLRHEEFEEGCKAACNGESRLLLAKLSAMP GSWSGH >ENSMUSP00000130675.1 pep:known chromosome:GRCm38:11:76237713:76243638:-1 gene:ENSMUSG00000017286.15 transcript:ENSMUST00000168055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glod4 description:glyoxalase domain containing 4 [Source:MGI Symbol;Acc:MGI:1914451] TRRALHFVLRHEEFEEGCKAACNGPYDGKWSKTMVGFGPEDDHFVAELTYNYGIGDYKLG NDFMGITLASSQAVSNARKLEWPLSKVAEGIFETEAPG >ENSMUSP00000087273.2 pep:known chromosome:GRCm38:14:50515121:50516240:1 gene:ENSMUSG00000068431.2 transcript:ENSMUST00000089836.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr742 description:olfactory receptor 742 [Source:MGI Symbol;Acc:MGI:3030576] MKTLSSPSNSSTITGFILLGFPCPREGQILLFVTFFIVYILILMGNASIICAVYCDQSLH TPMYFLLANFSFLEIWYVTSTVPNMLANFLSDTKVISFSGCFLQFYFFFSFGSTECFFLA VMAFDRYLAICRPLHYPSLMTGHLCNILVISCWVLGFLWFPVPIIIISQMSFCGSRIIDH FLCDPGPLLALACSRAPLMEVFWTIIMSMLLVIPFLFIMGTYILVLRAVFRLPSRDGQKK AFSTCGSHLTVVSLFYCSVMKMYLSPTSEHEAGMQKLVTLFYSVGTPLLNPVIYSLRNKD MKNALQKILRT >ENSMUSP00000146473.1 pep:known chromosome:GRCm38:7:93079865:93081867:1 gene:ENSMUSG00000051515.9 transcript:ENSMUST00000051179.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam181b description:family with sequence similarity 181, member B [Source:MGI Symbol;Acc:MGI:1930951] MAVQAALLSSHPFIPFGFGGSADGLVSAFGSLDKGCCFEDDESGATAGALLSGSEGGDVR EATRDLLSFIDSASSNIKLALDKPGKSKRKVNHRKYLQKQIKRCSGLMGTAPPRPASPSA ADAPAKRPPGAPTVATPAHCKAAPRREATQAAAAASLQSRSLAALFDSLRHIPGGAETAG GAEAVSVPGLGAASAVGDGAGTAVSSVAPGTRKVPLRARNLPPSFFTEPSRVGCGGASGV PSGQGVSLGDLEKGAEAVEFFELLAPDFGSGNDSGVLMAADPLDPFPAGATVLRGPLELE SGPFEQPAMVGNLLYPEPWNTPELSSDQEASCGWRSRRRDLERACAPPVPHSLGLSRWGG RASLVFFHPLLPRLCVAAAPSGVL >ENSMUSP00000102214.2 pep:known chromosome:GRCm38:4:110203737:110351909:-1 gene:ENSMUSG00000028546.17 transcript:ENSMUST00000106603.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl4 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 4 (Hu antigen D) [Source:MGI Symbol;Acc:MGI:107427] MRLQNQIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTNLIVNYLPQNM TQEEFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTI KVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGVGF IRFDKRIEAEEAIKGLNGQKPSGATEPITVKFANNPSQKSSQALLSQLYQSPNRRYPGPL HHQAQRFRFSPITIDGMTSLVGMNIPGHTGTGWCIFVYNLSPDSDESVLWQLFGPFGAVN NVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKTNKAHKS >ENSMUSP00000099784.4 pep:known chromosome:GRCm38:4:110203737:110287527:-1 gene:ENSMUSG00000028546.17 transcript:ENSMUST00000102723.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl4 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 4 (Hu antigen D) [Source:MGI Symbol;Acc:MGI:107427] MVMIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTNLIVNYLPQNMTQE EFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVS YARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGVGFIRF DKRIEAEEAIKGLNGQKPSGATEPITVKFANNPSQKSSQALLSQLYQSPNRRYPGPLHHQ AQRFRLDNLLNMAYGVKRLMSGPVPPSACPPRFSPITIDGMTSLVGMNIPGHTGTGWCIF VYNLSPDSDESVLWQLFGPFGAVNNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNG YRLGDRVLQVSFKTNKAHKS >ENSMUSP00000102208.1 pep:known chromosome:GRCm38:4:110203722:110287527:-1 gene:ENSMUSG00000028546.17 transcript:ENSMUST00000106598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl4 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 4 (Hu antigen D) [Source:MGI Symbol;Acc:MGI:107427] MVMIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTNLIVNYLPQNMTQE EFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVS YARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGVGFIRF DKRIEAEEAIKGLNGQKPSGATEPITVKFANNPSQKSSQALLSQLYQSPNRRYPGPLHHQ AQRFRLDNLLNMAYGVKRFSPITIDGMTSLVGMNIPGHTGTGWCIFVYNLSPDSDESVLW QLFGPFGAVNNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKT NKAHKS >ENSMUSP00000102207.3 pep:known chromosome:GRCm38:4:110203737:110286889:-1 gene:ENSMUSG00000028546.17 transcript:ENSMUST00000106597.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl4 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 4 (Hu antigen D) [Source:MGI Symbol;Acc:MGI:107427] MEWNGLKMIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTNLIVNYLPQ NMTQEEFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTK TIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGV GFIRFDKRIEAEEAIKGLNGQKPSGATEPITVKFANNPSQKSSQALLSQLYQSPNRRYPG PLHHQAQRFRLDNLLNMAYGVKRLMSGPVPPSACPPRFSPITIDGMTSLVGMNIPGHTGT GWCIFVYNLSPDSDESVLWQLFGPFGAVNNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAI ASLNGYRLGDRVLQVSFKTNKAHKS >ENSMUSP00000099783.2 pep:known chromosome:GRCm38:4:110203737:110286889:-1 gene:ENSMUSG00000028546.17 transcript:ENSMUST00000102722.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl4 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 4 (Hu antigen D) [Source:MGI Symbol;Acc:MGI:107427] MEWNGLKMIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTNLIVNYLPQ NMTQEEFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTK TIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGV GFIRFDKRIEAEEAIKGLNGQKPSGATEPITVKFANNPSQKSSQALLSQLYQSPNRRYPG PLHHQAQRFRLDNLLNMAYGVKRFSPITIDGMTSLVGMNIPGHTGTGWCIFVYNLSPDSD ESVLWQLFGPFGAVNNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQ VSFKTNKAHKS >ENSMUSP00000102210.2 pep:known chromosome:GRCm38:4:110203737:110290272:-1 gene:ENSMUSG00000028546.17 transcript:ENSMUST00000106600.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl4 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 4 (Hu antigen D) [Source:MGI Symbol;Acc:MGI:107427] MGLLLLREIVINESRNCSFMIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDD SKTNLIVNYLPQNMTQEEFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKA INTLNGLRLQTKTIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRI LVDQVTGVSRGVGFIRFDKRIEAEEAIKGLNGQKPSGATEPITVKFANNPSQKSSQALLS QLYQSPNRRYPGPLHHQAQRFRLDNLLNMAYGVKRFSPITIDGMTSLVGMNIPGHTGTGW CIFVYNLSPDSDESVLWQLFGPFGAVNNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIAS LNGYRLGDRVLQVSFKTNKAHKS >ENSMUSP00000102212.1 pep:known chromosome:GRCm38:4:110203737:110292722:-1 gene:ENSMUSG00000028546.17 transcript:ENSMUST00000106601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl4 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 4 (Hu antigen D) [Source:MGI Symbol;Acc:MGI:107427] MEQIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTNLIVNYLPQNMTQE EFRSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVS YARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGVSRGVGFIRF DKRIEAEEAIKGLNGQKPSGATEPITVKFANNPSQKSSQALLSQLYQSPNRRYPGPLHHQ AQRFRLDNLLNMAYGVKRFSPITIDGMTSLVGMNIPGHTGTGWCIFVYNLSPDSDESVLW QLFGPFGAVNNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKT NKAHKS >ENSMUSP00000121828.1 pep:known chromosome:GRCm38:4:110251294:110290893:-1 gene:ENSMUSG00000028546.17 transcript:ENSMUST00000142722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl4 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 4 (Hu antigen D) [Source:MGI Symbol;Acc:MGI:107427] MFEISRTLNAALLNNEIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTN LIVNYLPQNMTQEEFRSLFGSIGEIESCKLVR >ENSMUSP00000120942.1 pep:known chromosome:GRCm38:4:110226609:110287527:-1 gene:ENSMUSG00000028546.17 transcript:ENSMUST00000153906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl4 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 4 (Hu antigen D) [Source:MGI Symbol;Acc:MGI:107427] MIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTNLIVNYLPQNMTQEEF RSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDP >ENSMUSP00000123014.1 pep:known chromosome:GRCm38:4:110213217:110286616:-1 gene:ENSMUSG00000028546.17 transcript:ENSMUST00000138972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl4 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 4 (Hu antigen D) [Source:MGI Symbol;Acc:MGI:107427] MIISTMEPQVSNGPTSNTSNGPSSNNRNCPSPMQTGAATDDSKTNLIVNYLPQNMTQEEF RSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYA RPSSASIRDANLYVSGLP >ENSMUSP00000109659.1 pep:known chromosome:GRCm38:X:78449613:78583891:-1 gene:ENSMUSG00000047996.16 transcript:ENSMUST00000114025.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrg1 description:proline rich Gla (G-carboxyglutamic acid) 1 [Source:MGI Symbol;Acc:MGI:1917364] MGRVFLTEEKANSVLKRYPRANGLFEEIRQGNIERECREEICTYEEAREAFENHEKTKEF WSTYTKAQQGETNRGSDWFQFYLTFPLIFGLFIILLVIFLIWRCFLRNKTRRQTVTESHI PFPQQLNIITPPPPPDEVFDSSALSPGFLEYVVGRSDSVSTRLSNCDPPPTYEEATGQVN LRRSETEPPLDPPPEYEDIVNSSSASAIAMVPVVTTIK >ENSMUSP00000117104.1 pep:known chromosome:GRCm38:X:78483806:78583894:-1 gene:ENSMUSG00000047996.16 transcript:ENSMUST00000134602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrg1 description:proline rich Gla (G-carboxyglutamic acid) 1 [Source:MGI Symbol;Acc:MGI:1917364] MGRVFLTEEKANSVLKRYPRANGLFEEIRQGNIERECR >ENSMUSP00000136713.1 pep:known chromosome:GRCm38:X:78449613:78583782:-1 gene:ENSMUSG00000047996.16 transcript:ENSMUST00000177904.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrg1 description:proline rich Gla (G-carboxyglutamic acid) 1 [Source:MGI Symbol;Acc:MGI:1917364] MGRVFLTEEKANSVLKRYPRANGLFEEIRQGNIERECREEICTYEEAREAFENHEKTKEF WSTYTKAQQGETNRGSDWFQFYLTFPLIFGLFIILLVIFLIWRCFLRNKTRRQTVTESHI PFPQQLNIITPPPPPDEVFDSSALSPGFLEYVVGRSDSVSTRLSNCDPPPTYEEATGQVN LRRSETEPPLDPPPEYEDIVNSSSASAIAMVPVVTTIK >ENSMUSP00000109658.2 pep:known chromosome:GRCm38:X:78449613:78583896:-1 gene:ENSMUSG00000047996.16 transcript:ENSMUST00000114024.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrg1 description:proline rich Gla (G-carboxyglutamic acid) 1 [Source:MGI Symbol;Acc:MGI:1917364] MGRVFLTEEKANSVLKRYPRANGLFEEIRQGNIERECREEICTYEEAREAFENHEKTKEF WSTYTKAQQGETNRGSDWFQFYLTFPLIFGLFIILLVIFLIWRCFLRNKTRRQTVTESHI PFPQQLNIITPPPPPDEVFDSSALSPGFLEYVVGRSDSVSTRLSNCDPPPTYEEATGQVN LRRSETEPPLDPPPEYEDIVNSSSASAIAMVPVVTTIK >ENSMUSP00000032440.4 pep:known chromosome:GRCm38:6:113728062:113740743:-1 gene:ENSMUSG00000030298.10 transcript:ENSMUST00000032440.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec13 description:SEC13 homolog, nuclear pore and COPII coat complex component [Source:MGI Symbol;Acc:MGI:99832] MVSVMNTVDTSHEDMIHDAQMDYYGTRLATCSSDRSVKIFDVRNGGQILIADLRGHEGPV WQVAWAHPMYGNILASCSYDRKVIIWKEENGTWEKTHEHSGHDSSVNSVCWAPHDYGLIL ACGSSDGAISLLTYTGEGQWEVKKINNAHTIGCNAVSWAPAVVPGSLIDQPSGQKPNYIK KFASGGCDNLIKLWREEEDGQWKEEQKLEAHSDWVRDVAWAPSIGLPTSTIASCSQDGRV FIWTCDDASGNMWSPKLLHKFNDVVWHVSWSITANILAVSGGDNKVTLWKESVDGQWVCI SDVNKGQGSVSASITEGQQNEQ >ENSMUSP00000113181.1 pep:known chromosome:GRCm38:7:35448796:35466036:1 gene:ENSMUSG00000030492.16 transcript:ENSMUST00000118383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a9 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 9 [Source:MGI Symbol;Acc:MGI:1353656] MEETSLRRRREDEKSTHSTELKTTSLQKEVGLLSGICIIVGTIIGSGIFISPKSVLANTE SVGPCLIIWAACGILATLGALCFAELGTMITKSGGEYPYLMEAFGPIPAYLFSWTSLIVM KPSSFAIICLSFSEYVCAAFYSGCKPPAVVVKLLAAAAILFITTVNALSVRLGSYVQNVF TAAKMVIVAIIIISGLVFLAQGNVKNFQNSFEGTQTSVGAISLAFYNGLWAYDGWNQLNY ITEELRNPYRNLPMAIVIGIPLVTVCYILMNIAYFTVMTPTELLQSQAVAVTFGDRVLYP ASWVVPLFVAFSTIGAANGTCFTAGRLIYVAGREGHMLKVLSYISVKRLTPAPALIFYGI IAIIYIIPGDINSLVNYFSFAAWLFYGMTILGLVVMRFTRKDLERPIKVPLFIPIIVILV SLFLILAPIISEPAWEYLYCVLFILSGLIFYFLFVYYKFGWAQRISRPVTKHLQMLMEVV PPEKDPE >ENSMUSP00000112726.1 pep:known chromosome:GRCm38:7:35449037:35466036:1 gene:ENSMUSG00000030492.16 transcript:ENSMUST00000118969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a9 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 9 [Source:MGI Symbol;Acc:MGI:1353656] MEETSLRRRREDEKSTHSTELKTTSLQKEVGLLSGICIIVGTIIGSGIFISPKSVLANTE SVGPCLIIWAACGILATLGALCFAELGTMITKSGGEYPYLMEAFGPIPAYLFSWTSLIVM KPSSFAIICLSFSEYVCAAFYSGCKPPAVVVKLLAAAAILFITTVNALSVRLGSYVQNVF TAAKMVIVAIIIISGLVFLAQGNVKNFQNSFEGTQTSVGAISLAFYNGLWAYDGWNQLNY ITEELRNPYRNLPMAIVIGIPLVTVCYILMNIAYFTVMTPTELLQSQAVAVTFGDRVLYP ASWVVPLFVAFSTIGAANGTCFTAGRLIYVAGREGHMLKVLSYISVKRLTPAPALIFYGI IAIIYIIPGDINSLVNYFSFAAWLFYGMTILGLVVMRFTRKDLERPIKVPLFIPIIVILV SLFLILAPIISEPAWEYLYCVLFILSGLIFYFLFVYYKFGWAQRISRPVTKHLQMLMEVV PPEKDPE >ENSMUSP00000032703.9 pep:known chromosome:GRCm38:7:35449170:35466036:1 gene:ENSMUSG00000030492.16 transcript:ENSMUST00000032703.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a9 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 9 [Source:MGI Symbol;Acc:MGI:1353656] MEETSLRRRREDEKSTHSTELKTTSLQKEVGLLSGICIIVGTIIGSGIFISPKSVLANTE SVGPCLIIWAACGILATLGALCFAELGTMITKSGGEYPYLMEAFGPIPAYLFSWTSLIVM KPSSFAIICLSFSEYVCAAFYSGCKPPAVVVKLLAAAAILFITTVNALSVRLGSYVQNVF TAAKMVIVAIIIISGLVFLAQGNVKNFQNSFEGTQTSVGAISLAFYNGLWAYDGWNQLNY ITEELRNPYRNLPMAIVIGIPLVTVCYILMNIAYFTVMTPTELLQSQAVAVTFGDRVLYP ASWVVPLFVAFSTIGAANGTCFTAGRLIYVAGREGHMLKVLSYISVKRLTPAPALIFYGI IAIIYIIPGDINSLVNYFSFAAWLFYGMTILGLVVMRFTRKDLERPIKVPLFIPIIVILV SLFLILAPIISEPAWEYLYCVLFILSGLIFYFLFVYYKFGWAQRISRPVTKHLQMLMEVV PPEKDPE >ENSMUSP00000117352.1 pep:known chromosome:GRCm38:7:35449836:35455592:1 gene:ENSMUSG00000030492.16 transcript:ENSMUST00000141245.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc7a9 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 9 [Source:MGI Symbol;Acc:MGI:1353656] EKSTHSTELKTTSLQKEAPCALQSLAQ >ENSMUSP00000141057.1 pep:known chromosome:GRCm38:9:106453291:106461094:1 gene:ENSMUSG00000023495.12 transcript:ENSMUST00000185874.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp4 description:poly(rC) binding protein 4 [Source:MGI Symbol;Acc:MGI:1890471] MSSSDAGLEEGPELSITLTLRMLMHGKEVGSIIGKKGETVKRIREQSSARITISEGSCPE RITTITGSTAAVFHAVSMIAFKLDEDLCAAPANGGSVSRPPVTLRLVIPASQCGSLIGK >ENSMUSP00000024260.6 pep:known chromosome:GRCm38:9:106453890:106464012:1 gene:ENSMUSG00000023495.12 transcript:ENSMUST00000024260.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp4 description:poly(rC) binding protein 4 [Source:MGI Symbol;Acc:MGI:1890471] MSSSDAGLEEGPELSITLTLRMLMHGKEVGSIIGKKGETVKRIREQSSARITISEGSCPE RITTITGSTAAVFHAVSMIAFKLDEDLCAAPANGGSVSRPPVTLRLVIPASQCGSLIGKA GTKIKEIRETTGAQVQVAGDLLPNSTERAVTVSGVPDAIILCVRQICAVILESPPKGATI PYHPSLSLGTVLLSANQGFSVQGQYGAVTPAEVTKLQQLSGHAVPFASPSVVPGLDPSTQ TSSQEFLVPNDLIGCVIGRQGSKISEIRQMSGAHIKIGNQAEGAGERHVTITGSPVSIAL AQYLITACLETAKSTSGGTPGSAPADLPTPFSPPLTALPTAPPGLLGTPYAISLSNFIGL KPVPFLALPPASPGPPPGLAAYTAKMAAANGSKKAERQKFSPY >ENSMUSP00000140629.1 pep:known chromosome:GRCm38:9:106453933:106461924:1 gene:ENSMUSG00000023495.12 transcript:ENSMUST00000185779.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp4 description:poly(rC) binding protein 4 [Source:MGI Symbol;Acc:MGI:1890471] MSSSDAGLEEGPELSITLTLRMLMHGKEVGSIIGKKGETVKRIREQSSARITISEGSCPE RITTITGSTAAVFHAVSMIAFKLDEDLCAAPANGGSVSRPPVTLRLVIPASQCGSLIGKA GTKIKEIRETTGAQVQVAGDLLPNSTERAVTVSGVPDAIILCVRQICAVILESPPKGATI PYHPSLSLGTVLLSANQ >ENSMUSP00000140485.1 pep:known chromosome:GRCm38:9:106456097:106461078:1 gene:ENSMUSG00000023495.12 transcript:ENSMUST00000190430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp4 description:poly(rC) binding protein 4 [Source:MGI Symbol;Acc:MGI:1890471] MSSSDAGLEEGPELSITLTLRMLMHGKEVGSIIGKKGETVKRIREQSSARITISEGSCPE RITTITGSTAAVFHAVSMIAFKLDEDLCAAPANGGSVSRPPVTLRLVIPASQCG >ENSMUSP00000139991.1 pep:known chromosome:GRCm38:9:106460660:106461337:1 gene:ENSMUSG00000023495.12 transcript:ENSMUST00000189099.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp4 description:poly(rC) binding protein 4 [Source:MGI Symbol;Acc:MGI:1890471] RITISEGSCPERITTITGSTAAVFHAVSMIAFKLDECGSLIGKAGTKIKEIRETTGAQVQ VAGDLLPNSTERAVTVS >ENSMUSP00000140660.1 pep:known chromosome:GRCm38:9:106461056:106463549:1 gene:ENSMUSG00000023495.12 transcript:ENSMUST00000185507.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcbp4 description:poly(rC) binding protein 4 [Source:MGI Symbol;Acc:MGI:1890471] VIPASQCGSLIGKAGTKIKEIRETTGAQVQVAGDLLPNSTERAVTVSGVPDAIILCVRQI CAVILESPPKGATIPYHPSLSLGTVLLSANQGFSVQGQYGAVTPAEVTKLQQLSGHAVPF ASPSVVPVLLPCLRTGSQHTDQLTGVSGSQ >ENSMUSP00000139587.1 pep:known chromosome:GRCm38:9:106461298:106462944:1 gene:ENSMUSG00000023495.12 transcript:ENSMUST00000190428.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp4 description:poly(rC) binding protein 4 [Source:MGI Symbol;Acc:MGI:1890471] LLPNSTERAVTVSGVPDAIILCVRQICAVILESPPKGATIPYHPSLSLGTVLLSANQGFS VQGQYGAVTPAEVTKLQQLSGHAVPFASPSVVPGLDPSTQTSSQEFLVPNDLIGCVIGRQ GSKISEIRQMSGAHIKIGNQAEGAGERHVTITGSPVSIALAQYLITAW >ENSMUSP00000139771.1 pep:known chromosome:GRCm38:9:106461870:106463695:1 gene:ENSMUSG00000023495.12 transcript:ENSMUST00000188396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp4 description:poly(rC) binding protein 4 [Source:MGI Symbol;Acc:MGI:1890471] IPYHPSLSLGTVLLSANQGFSVQGQYGAVTPAEVTKLQQLSGHAVPFASPSVVPGLDPST QTSSQEFLVPNDGSKISEIRQMSGAHIKIGNQAEGAGERHVTITGSPVSIALAQYLITAC LETAKSTSGGTPGSAPADLPTPFSPPLTALPTAPPGLLGTPYAISLSNFIGLKPVPFLAL PPASPGPPPGLAAYTAKMAAANGSKKAERQKFSPY >ENSMUSP00000124781.1 pep:known chromosome:GRCm38:12:55384222:55418310:1 gene:ENSMUSG00000021024.14 transcript:ENSMUST00000163070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma6 description:proteasome (prosome, macropain) subunit, alpha type 6 [Source:MGI Symbol;Acc:MGI:1347006] MASLRKKYAFKAINQGGLTSVAVRGKDCAVIVTQKKVPDKLLDSSTVTHLFKITESIGCV MTGMTADSRSQVQRARYEAANWKYKYGYEIPVDMLCKRIADISQVYTQNAEMRPLGCCMI LIGIDEEQGPQVYKCDPAGYYCGFKATAAGVKQTESTSFLEKKVKKKFDWTFEQTVETAI TCLSTVLSIDFKPSEIEVGVVTVENPKFRILTEAEIDAHLVALAERD >ENSMUSP00000021412.8 pep:known chromosome:GRCm38:12:55398775:55418454:1 gene:ENSMUSG00000021024.14 transcript:ENSMUST00000021412.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma6 description:proteasome (prosome, macropain) subunit, alpha type 6 [Source:MGI Symbol;Acc:MGI:1347006] MSRGSSAGFDRHITIFSPEGRLYQVEYAFKAINQGGLTSVAVRGKDCAVIVTQKKVPDKL LDSSTVTHLFKITESIGCVMTGMTADSRSQVQRARYEAANWKYKYGYEIPVDMLCKRIAD ISQVYTQNAEMRPLGCCMILIGIDEEQGPQVYKCDPAGYYCGFKATAAGVKQTESTSFLE KKVKKKFDWTFEQTVETAITCLSTVLSIDFKPSEIEVGVVTVENPKFRILTEAEIDAHLV ALAERD >ENSMUSP00000123914.1 pep:known chromosome:GRCm38:12:55398795:55418441:1 gene:ENSMUSG00000021024.14 transcript:ENSMUST00000162711.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psma6 description:proteasome (prosome, macropain) subunit, alpha type 6 [Source:MGI Symbol;Acc:MGI:1347006] MSRGSSAGFDRHITIFSPEGRLYQVGQTTGFQHSDSLVQDNGKHWLCDDRNDS >ENSMUSP00000071263.2 pep:known chromosome:GRCm38:14:50533414:50534349:1 gene:ENSMUSG00000094285.1 transcript:ENSMUST00000071294.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr743 description:olfactory receptor 743 [Source:MGI Symbol;Acc:MGI:3030577] MKTLSSPSNSSTITGFILLGFPCPREGQILLFVIFFVVYLLILMGNASIICAVYCDQRLH TPMYLLLANFSFLEIWYVTSTVPNMLANFLSDNKIISFAGCFLQFYFFFSFGSTECFFLA VMAFDRYLAICRPLHYPSLMTRRLCNILVISCWVLGFLWFPVPIIIISQMSFCGSRIIDH FLCDPGPLLALACSRAPLMEVFWTIIMSILLVIPFLFIMGSYILVLRTVFRLPSRDGQKK AFSTCGSHVTVVSLFYGSVMIMYMSPSSGHEAGMQKIVTLFYSVGTPLLNPVIYSLRNKD MKNALQKILRT >ENSMUSP00000090395.3 pep:known chromosome:GRCm38:5:109674545:109691041:-1 gene:ENSMUSG00000072763.2 transcript:ENSMUST00000092720.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5430403G16Rik description:RIKEN cDNA 5430403G16 gene [Source:MGI Symbol;Acc:MGI:1924450] MDALTYDDVYVNFTREEWALLNPSQKSLYKDVMLENYTNLIAVGNNWEDSNIEEHCESSR RHGRHERSLTGEKPSENTQCGKAFACHSYLQRYERIHTGEKPYEGIQYGEAFVHHSSLQM NKKIPTGKKPYKCNQCGKAFTGHSHLQMHKIRHSGETPYKCDQCGKAFKCQNNLKRHERI HTGEKPYKCDQCGKAFKCQNNLKRHERIHTGEKPYKCNECGKAFVRNASLQEHNATHTGV KPYKCNQCGKAFASHSQLQKHERIHTEEKPYKCKQCGKAFAFHSYLQVHKRTHTGEKPYE CDQCDKAFANQRSFQVHKRIHTGEKPYKCDQCGKAFAYHKCLQQHNRTHTGEKPYECNQC GKTFAHHSNLQLHKRRHTGEKPYECKQCSKSFASHGQLQKHERIHTGEKPYKCNECGKAF VRNASLQEHKATHSGVKPYECNQCGKAFASHGQLQKHERIHTGEKPYKCNECGKAFAFHS YLQVHQRTHTGEKPYECDQCGKAFAYQSSFQEHKRIHTGEKPYKCDQCGKAFAYHKCLQL HNRTHTGEKPYECNQCGKTFAHHSDLQLHKRRHTGEKPYECKQCSKSFASRAKLRKHDRI HTGEKPYKCDQCGKAFAYHSYLQVHKRIHTGEKPYECNQCGKAFTYRSYLQVHKRTHIEL GSVGACL >ENSMUSP00000102878.1 pep:known chromosome:GRCm38:11:101406664:101424519:-1 gene:ENSMUSG00000097239.7 transcript:ENSMUST00000107257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm27029 description:predicted gene, 27029 [Source:MGI Symbol;Acc:MGI:5504144] MEFCVEDSTDVSVLIEDHRVVFSCRNGDGVELYNEIEFYAKVNSKDSQDKRSGRSITCFV RKWKEKVAWPRLTKEDIKPVWLSVDFDNWRDWEGDDEVELAQVEHYAELLNKVSTKRPPP AMDDLDFTTTVVSCSPAELQTDASGGKKEVLSGFHVVLEDTLLFPEGGGQPDDRGTINDI SVLRVTRRGAQADHFTESPLSPGSQVQVRVDWERRFDHMQQHSGQHLITAVADLLFGLKT TSWELGRLRSVIELDSPSVTAEQVAAIEQSVNQKIRDRLPVSVRELSLDDPEVEQVRGRG LPDDHAGPIRVVTIEGVDSNMCCGTHVSNLSDLQVIKILGTEKGKKNKSNLIFLAGNRVL KWMERSHGSEKALTSLLKCGVEDHVEAVKKLQNATKLLQKNNLNLLRDLAVHTAHSLRSS PAWGGVVTLHRKEGDSEFMNIIANEIGSEETLLFLTVGDEKGAGLFLLAGPAEAVETLGP RVAEVLEGKGAGKKGRFQGKATKMSRRAEAQALLQDYVSTQSAEE >ENSMUSP00000102880.3 pep:known chromosome:GRCm38:11:101406839:101424796:-1 gene:ENSMUSG00000097239.7 transcript:ENSMUST00000107259.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm27029 description:predicted gene, 27029 [Source:MGI Symbol;Acc:MGI:5504144] MERQPARTLWYDRPKYVFMEFCVEDSTDVSVLIEDHRVVFSCRNGDGVELYNEIEFYAKV NSKDSQDKRSGRSITCFVRKWKEKVAWPRLTKEDIKPVWLSVDFDNWRDWEGDDEVELAQ VEHYAELLNKVSTKRPPPAMDDLDFTTTVVSCSPAELQTDASGGKKEVLSGFHVVLEDTL LFPEGGGQPDDRGTINDISVLRVTRRGAQADHFTESPLSPGSQVQVRVDWERRFDHMQQH SGQHLITAVADLLFGLKTTSWELGRLRSVIELDSPSVTAEQVAAIEQSVNQKIRDRLPVS VRELSLDDPEVEQVRGRGLPDDHAGPIRVVTIEGVDSNMCCGTHVSNLSDLQVIKILGTE KGKKNKSNLIFLAGNRVLKWMERSHGSEKALTSLLKCGVEDHVEAVKKLQNATKLLQKNN LNLLRDLAVHTAHSLRSSPAWGGVVTLHRKEGDSEFMNIIANEIGSEETLLFLTVGDEKG AGLFLLAGPAEAVETLGPRVAEVLEGKGAGKKGRFQGKATKMSRRAEAQALLQDYVSTQS AEE >ENSMUSP00000096347.3 pep:known chromosome:GRCm38:3:106684987:106735904:1 gene:ENSMUSG00000056260.15 transcript:ENSMUST00000098751.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrif1 description:ligand dependent nuclear receptor interacting factor 1 [Source:MGI Symbol;Acc:MGI:2445214] MAGGTRARSRANKAKPTQYEMASIVKKEIQEKGNNKKYSQGSHTNIKASCLKNDAEFKKL FGLTKDLRVCLTRIPDHLSSGKSFNSFNSLMKSSSYKDANIVVKKEEKKQSFSKKRKAET MKMGNTKKIKIENADDTVMSIMNGTDVASSQPLSSILPTSDISQHNIVTSHSTTREDKRT EAEHCSHEKQEKGTLSSSTSFEQSTFLNKNFMEDIFPVTPPELEETIRDEKIRRLKQILR EKEAALEELRKKMYQKQ >ENSMUSP00000115110.1 pep:known chromosome:GRCm38:3:106721728:106732211:1 gene:ENSMUSG00000056260.15 transcript:ENSMUST00000130105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrif1 description:ligand dependent nuclear receptor interacting factor 1 [Source:MGI Symbol;Acc:MGI:2445214] MYQVVPTIGSDGKKLLQLLPISKSSGNLIPVVQSPVMSHGLKANTEKPVQVTFQTQISSS STSASVQLPVFQPANTTKCFFTGAIDTTGKDRVTSVRTGNFTPPVSNIQNHGVKIHKLTR QTFTIPPSTQNDSSHFIFNTPSLLPNVNSSILPSGNHLKIPAHAEVKSVLASSLPPLV >ENSMUSP00000114163.1 pep:known chromosome:GRCm38:3:106721893:106734382:1 gene:ENSMUSG00000056260.15 transcript:ENSMUST00000127003.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrif1 description:ligand dependent nuclear receptor interacting factor 1 [Source:MGI Symbol;Acc:MGI:2445214] MSNSLQSVILKTAEEKSGSRCISGCMYQVVPTIGSDGKKLLQLLPISKSSGNLIPVVQSP VMSHGLKANTEKPVQVTFQTQISSSSTSASVQLPVFQPANTTKCFFTGAIDTTGKDRVTS VRTGNFTPPVSNIQNHGVKIHKLTRQTFTIPPSTQNDSSHFIFNTPSLLPNVNSSILPSG NHLKIPAHAEVKSVLASSLPPLVQQKILGTATTSTSGTVEASQIPTVVYVHPVNSVKFVV TKKTQTIYPKPVTFNTLQIPPNVATETQLKGGQHPQAAPVNSIFQEYLQPGIPCIIPVKS SNNVATKVLNTFVGRKNLGDNTIDTPLLNTNSSGRTHSVSEPIKDNALIMFNGKVWLNEK GTCGLPSKIDQQNSVSSDIPLKDSSQLVSSSIVTEISREILNSVLVKSKSFQLKTKSLSN SQLASMANLRAEKNEKVERPSFSVTNPHTMNQSTHCLKQSKTVFINPVFPDGFRTGQNAP RKGNLVQNIEKICSSVDAATVTSQQCVFRDQESQLAKSIRQSQSQNKVQKIWTVIGLQR >ENSMUSP00000102347.2 pep:known chromosome:GRCm38:3:106721893:106736577:1 gene:ENSMUSG00000056260.15 transcript:ENSMUST00000106736.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrif1 description:ligand dependent nuclear receptor interacting factor 1 [Source:MGI Symbol;Acc:MGI:2445214] MASIVKKEIQEKGNNKKYSQGSHTNIKASCLKNDAEFKKLFGLTKDLRVCLTRIPDHLSS GKSFNSFNSLMKSSSYKDANIVVKKEEKKQSFSKKRKAETMKMGNTKKIKIENADDTVMS IMNGTDVASSQPLSSILPTSDISQHNIVTSHSTTREDKRTEAEHCSHEKQEKGTLSSSTS FEQSTFLNKNFMEDIFPVTPPELEETIRDEKIRRLKQILREKEAALEELRKKMYQKQ >ENSMUSP00000120350.1 pep:known chromosome:GRCm38:3:106721908:106732049:1 gene:ENSMUSG00000056260.15 transcript:ENSMUST00000154973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrif1 description:ligand dependent nuclear receptor interacting factor 1 [Source:MGI Symbol;Acc:MGI:2445214] MYQVVPTIGSDGKKLLQLLPISKSSGNLIPVVQSPVMSHGLKANTEKPVQVTFQTQISSS STSASVQLPVFQPANTTKCFFTGAIDTTGKDRVTSVRTGNFTPPVSNIQNHGVKIHKLTR QTFT >ENSMUSP00000096346.2 pep:known chromosome:GRCm38:3:106721936:106736574:1 gene:ENSMUSG00000056260.15 transcript:ENSMUST00000098750.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrif1 description:ligand dependent nuclear receptor interacting factor 1 [Source:MGI Symbol;Acc:MGI:2445214] MSNSLQSVILKTAEEKSGSRCISGCMYQVVPTIGSDGKKLLQLLPISKSSGNLIPVVQSP VMSHGLKANTEKPVQVTFQTQISSSSTSASVQLPVFQPANTTKCFFTGAIDTTGKDRVTS VRTGNFTPPVSNIQNHGVKIHKLTRQTFTIPPSTQNDSSHFIFNTPSLLPNVNSSILPSG NHLKIPAHAEVKSVLASSLPPLVQQKILGTATTSTSGTVEASQIPTVVYVHPVNSVKFVV TKKTQTIYPKPVTFNTLQIPPNVATETQLKGGQHPQAAPVNSIFQEYLQPGIPCIIPVKS SNNVATKVLNTFVGRKNLGDNTIDTPLLNTNSSGRTHSVSEPIKDNALIMFNGKVWLNEK GTCGLPSKIDQQNSVSSDIPLKDSSQLVSSSIVTEISREILNSVLVKSKSFQLKTKSLSN SQLASMANLRAEKNEKVERPSFSVTNPHTMNQSTHCLKQSKTVFINPVFPDGFRTGQNAP RKGNLVQNIEKICSSVDAATVTSQQCVFRDQESQTQYEMASIVKKEIQEKGNNKKYSQGS HTNIKASCLKNDAEFKKLFGLTKDLRVCLTRIPDHLSSGKSFNSFNSLMKSSSYKDANIV VKKEEKKQSFSKKRKAETMKMGNTKKIKIENADDTVMSIMNGTDVASSQPLSSILPTSDI SQHNIVTSHSTTREDKRTEAEHCSHEKQEKGTLSSSTSFEQSTFLNKNFMEDIFPVTPPE LEETIRDEKIRRLKQILREKEAALEELRKKMYQKQ >ENSMUSP00000119815.1 pep:known chromosome:GRCm38:3:106721967:106732063:1 gene:ENSMUSG00000056260.15 transcript:ENSMUST00000150513.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrif1 description:ligand dependent nuclear receptor interacting factor 1 [Source:MGI Symbol;Acc:MGI:2445214] MYQVVPTIGSDGKKLLQLLPISKSSGNLIPVVQSPVMSHGLKANTEKPVQVTFQTQISSS STSASVQLPVFQPANTTKCFFTGAIDTTGKDRVTSVRTGNFTPPVSNIQNHGVKIHKLTR QTFTIPPST >ENSMUSP00000108166.1 pep:known chromosome:GRCm38:5:109735990:109751597:-1 gene:ENSMUSG00000072762.9 transcript:ENSMUST00000112547.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930522L14Rik description:RIKEN cDNA 4930522L14 gene [Source:MGI Symbol;Acc:MGI:1925270] MDALTYDDVYVNFTQEEWALLNPSQKSLYKDVMLETYRNLIAVGYNWEDHNIEEHCQSSR RHGRNERILTGENPYEGIQCGEAFVHHNSFRMQKITHTGEKRYKCSQCGKAYAGPHTLQI HERTHSGEKPYECKQCSKSFASHGQLRKHERIHTRGKSYKCNQCSKAFVYPGNLRMHKRM HTEHKPYECNQCTKSFASHRQLRIHERIHTEEKPYECNQCSKTFACHGQLRIHERTHSGE KPYECNQCSKTFAFHGQLRKHERIHTGEKPYKCDQCGKAFSHQGNLKMHKITTHTEEKPY KCDQCGKGFAYHGRFEVHKRAHTGEKPYECKQCGKAFACQNYYQIHKRIHTGEKPYECKQ CGKAFAYQHYYQIHKRIHTGEKPYECKQCGKAFAYQNQYQVHKRIHTGEKPYECKQCSKS FASRRQLRIHERTHSGEKPYECNQCGKTFAFRGQLRKHERIHTGEKPYKCDECGKAFSLQ GNLKMHKITTHTEETPYKCDQCGKAFAYHSMFQVHKRTHTGEKPYDCKQCSKSFASRGQL RKHERIHTGEKPYKCDQCGKAFAHHSNLKVHKITTHTEETPYKCDQCGKVFAYHSIFQVH KRTHTREKPYECKQCGKAFSCQNYYQVHKRTHTGEKPYECKQCSKSFASHGQLRKHERIH TGEKSY >ENSMUSP00000098497.3 pep:known chromosome:GRCm38:5:109738831:109751886:-1 gene:ENSMUSG00000072762.9 transcript:ENSMUST00000100937.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930522L14Rik description:RIKEN cDNA 4930522L14 gene [Source:MGI Symbol;Acc:MGI:1925270] MDALTYDDVYVNFTQEEWALLNPSQKSLYKDVMLETYRNLIAVGYNWEDHNIEEHCQSSR RHGR >ENSMUSP00000138418.1 pep:known chromosome:GRCm38:17:46500605:46546388:-1 gene:ENSMUSG00000040327.16 transcript:ENSMUST00000182485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul9 description:cullin 9 [Source:MGI Symbol;Acc:MGI:1925559] MVGERRAGNLLVPLGPRLQAYPEELLRQRPGHDGHPEYLIRWSVLKCSEEGKVSSEEEKT EHLLMWLSAPEVYANCPMLLRERPIPKGPQHEPAGEVGSFPRDPGGLDELAMTEMEADVR ALVRRAARQLAEGGTSSLTAAVLHTVHVLSAYASIGPLTGVFRETGALDLLMHMLCNPEP QIRRSAGKMLQALAAHDAGSRAHVLLSLSQHDGIEQHMDFDSRYTLLELFAETTSTEEHC MALEGIHLPQIPGKLLFSLVKRYLCVTSLLDQLNNSPESGAGDQSSPCLTKEKSRAQQEL EFSMAVGNLISELVRSMGWARNLSEQGALPPRPSRSIFQPCLSGPPPLLLPTIVTTPRKQ GRAFRGRSEFSSRSGYGEYVQQTVQPGMRVRILDDYEEISAGDEGEFQQSNNGVPPVQVF WQSTGRTYWVHWHMLEILGPEEATGDSASAAVEKGAGAAVLGTVCPSWDWKPTHGLYSLP YLQPEPQKNEEESGYLTQAEWWELLFFIKKLDVGEQQPIFQNLHEKLDEEALGEKALGEL SVPIEMAEGVLQVLSNRFEGSNLCDLLNSRIYTKYGLLPKELGSLPSSRRHSCSPEPEEG SRSAANFSEKEEACRANAVPPEAEMELASAKTEPPKAQSDSQLFNQLLVTEGMTLPPETQ EAATEMARALRGPGPRSSLDQHVAAVLATVQISSLDTNLQLSGLCALSQAVEEVTERDHP LVRPDRPLREKLVKTLVDLLTNQVGEKMVVVMALRLLYLLMTKHEWRPLFAREGGIYAVL ICMQEYKTSVLVQQAGLAALKMLAIANSSDVPTFIPSRDSIQPLFDTQMTREIFASIDSA TRPGSESLLLSVPAAVVLMLNTEGCSSAVRNGLLLLNLLLCNHHTLGDQIITQELRDTLF RHSGIAPGTEPMPTTRTILTMLLSRYSEPRGSPERAVLETPSTQGQDGSPESLIRSLVGD LSAELFLDLERVLCHEGSPPAAVRPLLRRLQQETQPFLLLLRTLDAPGPNRTLLLTILRV MTRLLDHPETMVLPWHEVLEPCLNCLNGPSSDSEVVQEVTCFLHRLALMQKDYAVVLCCL GGKEALSKVLDKHSTQLLLASELRHLVAECEKYSQLCSNLTSSILAGCIQMVLGQIEDHR RTYKPITIPFFDVFLRHLCQGSSVEVKEDRCWEKVEVSSNPHRASKLTDRNPKTYWESNG STGSHSITLHMHRGVLIRQLTLLVASEDSSYMPARVVVFGGDNVGCISTELNTVNVMPSA SRVTLLENLTRFWPIIQIRIKRCQQGGIDTRVRGVEVLGPKPTFWPLFREQLCRRTCLFY TIRAQAWSRDIAEDRQRLLQLYPRLNRVLRHEQNFADRFLPDDEAAQALGKTCWEALVSP LVQNITSPDAGGVSSLGWLLDQYLEHRESTRSRQGPAASFASQVRRLCHLLVHVEAPPGP SPEPSSQPLSKNSKGQDGSPTPAPTPVCPSTSLRNLTQCWLSVVQGQVSRFLAAAWRASD FVPRYCSLYQRLQSAGSELFGPRAAFTLALRSGFSGALLQQSFLTAAHISEQFARHIDQQ IHGGLLGGAAGVGMLGRLQRHLEPIMVLSGLELATTFEHFYQHYMADRLLSLGSSWLEGA VLEQIGPCFPNRLPQLMLQSLHTSEELQHRFHLFQLQQLDRQLLEQGDQEEWRPEKVEED DEGQETGRELFTDPGPAISVLVLSPRCWPVSPLCYLHHPRKHLQAELCDALERFSSFYSH SQNCPVLDIGPHRRLQWTWLGRAELQFGDQTLHVSTVQMWLLLNFNQSEEVSVETLLRNS DLSPELLHQALLPLTSDNGPLTLEETQDYPQGGVLRLREPRSQTHEEVLWLIPPQTYLSV EKDEGRTLEQKRNLLSCLLVRILKAHREKGLHIDQLVCLVLEAWQKGPDPPGRLGNAAAV GVACSSTDVLSCILHLLGQGYVERRDDRPQVLMYATPEPMGPCRGQADVPFCGNKNTETS RPSPEAVVALASLQLPAGRTMSPQEVEGLMEQTVRQVQETLNLEPDVAQHLLAHSHWGTE QLLQSYSDDPEPLLLAAGLRVPQAQVVPTRPDQCPVCVTPLGPHDDSPSLCCLHCCCKSC WNEYLTTRIEQNFVLNCTCPIADCPAQPTGAFIRNIVSSPEVISKYEKALLRGYVESCSN LTWCTNPQGCDRILCRQGLGSGTTCSKCGWASCFSCSFPEAHYPASCGHMSQWVDDGGYY DGMSVEAQSKHLAKLISKRCPSCQAPIEKNEGCLHMTCARCNHGFCWRCLKSWKPSHKDY YNCSAMVSKAARQEKRFQDYNERCTFHHQAREFAVNLRNQASAIQEVPPPKSFTFLQDAC RALEQARKVLAYACVYSFYSQDTEYMDVVEQQTENLELHTNALQILLEETLLRCRDLASS LRFLRADCLSTGTELLRRIQERLLAILQHSTQDFRVGLQSPSVETREVKGSNVPSDQPQG SSGLEVEDEEEEEEEEEEEEEEEEEDVPEWQHEFDEELDNDSFSYDEESENLDRETFFFG DEDEDDESYD >ENSMUSP00000067736.7 pep:known chromosome:GRCm38:17:46500605:46546388:-1 gene:ENSMUSG00000040327.16 transcript:ENSMUST00000066026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul9 description:cullin 9 [Source:MGI Symbol;Acc:MGI:1925559] MVGERRAGNLLVPLGPRLQAYPEELLRQRPGHDGHPEYLIRWSVLKCSEEGKVSSEEEKT EHLLMWLSAPEVYANCPMLLRERPIPKGPQHEPAGEVGSFPRDPGGLDELAMTEMEADVR ALVRRAARQLAEGGTSSLTAAVLHTVHVLSAYASIGPLTGVFRETGALDLLMHMLCNPEP QIRRSAGKMLQALAAHDAGSRAHVLLSLSQHDGIEQHMDFDSRYTLLELFAETTSTEEHC MALEGIHLPQIPGKLLFSLVKRYLCVTSLLDQLNNSPESGAGDQSSPCLTKEKSRAQQEL EFSMAVGNLISELVRSMGWARNLSEQGALPPRPSRSIFQPCLSGPPPLLLPTIVTTPRKQ GRAFRGRSEFSSRSGYGEYVQQTVQPGMRVRILDDYEEISAGDEGEFQQSNNGVPPVQVF WQSTGRTYWVHWHMLEILGPEEATGDSASAAVEKGAGAAVLGTVCPSWDWKPTHGLYSLP YLQPEPQKNEEESGYLTQAEWWELLFFIKKLDVGEQQPIFQNLHEKLDEEALGEKALGEL SVPIEMAEGVLQVLSNRFEGSNLCDLLNSRIYTKYGLLPKELGSLPSSRRHSCSPEPEEG SRSAANFSEKEEACRANAVPPEAEMELASAKTEPPKAQSDSQLFNQLLVTEGMTLPPETQ EAATEMARALRGPGPRSSLDQHVAAVLATVQISSLDTNLQLSGLCALSQAVEEVTERDHP LVRPDRPLREKLVKTLVDLLTNQVGEKMVVVMALRLLYLLMTKHEWRPLFAREGGIYAVL ICMQEYKTSVLVQQAGLAALKMLAIANSSDVPTFIPSRDSIQPLFDTQMTREIFASIDSA TRPGSESLLLSVPAAVVLMLNTEGCSSAVRNGLLLLNLLLCNHHTLGDQIITQELRDTLF RHSGIAPGTEPMPTTRTILTMLLSRYSEPRGSPERAVLETPSTQGQDGSPESLIRSLVGD LSAELFLDLERVLCHEGSPPAAVRPLLRRLQQETQPFLLLLRTLDAPGPNRTLLLTILRV MTRLLDHPETMVLPWHEVLEPCLNCLNGPSSDSEVVQEVTCFLHRLALMQKDYAVVLCCL GGKEALSKVLDKHSTQLLLASELRHLVAECEKYSQLCSNLTSSILAGCIQMVLGQIEDHR RTYKPITIPFFDVFLRHLCQGSSVEVKEDRCWEKVEVSSNPHRASKLTDRNPKTYWESNG STGSHSITLHMHRGVLIRQLTLLVASEDSSYMPARVVVFGGDNVGCISTELNTVNVMPSA SRVTLLENLTRFWPIIQIRIKRCQQGGIDTRVRGVEVLGPKPTFWPLFREQLCRRTCLFY TIRAQAWSRDIAEDRQRLLQLYPRLNRVLRHEQNFADRFLPDDEAAQALGKTCWEALVSP LVQNITSPDAGGVSSLGWLLDQYLEHRESTRSRQGPAASFASQVRRLCHLLVHVEAPPGP SPEPSSQPLSKNSKGQDGSPTPAPTPVCPSTSLRNLTQCWLSVVQGQVSRFLAAAWRASD FVPRYCSLYQRLQSAGSELFGPRAAFTLALRSGFSGALLQQSFLTAAHISEQFARHIDQQ IHGGLLGGAAGVGMLGRLQRHLEPIMVLSGLELATTFEHFYQHYMADRLLSLGSSWLEGA VLEQIGPCFPNRLPQLMLQSLHTSEELQHRFHLFQLQQLDRQLLEQGDQEEWRPEKVEED DEGQETGRELFTDPGPAISVLVLSPRCWPVSPLCYLHHPRKHLQAELCDALERFSSFYSH SQNCPVLDIGPHRRLQWTWLGRAELQFGDQTLHVSTVQMWLLLNFNQSEEVSVETLLRNS DLSPELLHQALLPLTSDNGPLTLEETQDYPQGGVLRLREPRSQTHEEVLWLIPPQTYLSV EKDEGRTLEQKRNLLSCLLVRILKAHREKGLHIDQLVCLVLEAWQKGPDPPGRLGNAAAV GVACSSTDVLSCILHLLGQGYVERRDDRPQVLMYATPEPMGPCRGQADVPFCGNKNTETS RPSPEAVVALASLQLPAGRTMSPQEVEGLMEQTVRQVQETLNLEPDVAQHLLAHSHWGTE QLLQSYSDDPEPLLLAAGLRVPQAQVVPTRPDQCPVCVTPLGPHDDSPSLCCLHCCCKSC WNEYLTTRIEQNFVLNCTCPIADCPAQPTGAFIRNIVSSPEVISKYEKALLRGYVESCSN LTWCTNPQGCDRILCRQGLGSGTTCSKCGWASCFSCSFPEAHYPASCGHMSQWVDDGGYY DGMSVEAQSKHLAKLISKRCPSCQAPIEKNEGCLHMTCARCNHGFCWRCLKSWKPSHKDY YNCSAMVSKAARQEKRFQDYNERCTFHHQAREFAVNLRNQASAIQEVPPPKSFTFLQDAC RALEQARKVLAYACVYSFYSQDTEYMDVVEQQTENLELHTNALQILLEETLLRCRDLASS LRFLRADCLSTGTELLRRIQERLLAILQHSTQDFRVGLQSPSVETREVKGSNVPSDQPQG SSGLEEEEEEEEEEEDVPEWQHEFDEELDNDSFSYDEESENLDRETFFFGDEDEDDESYD >ENSMUSP00000133898.1 pep:known chromosome:GRCm38:9:32116136:32268446:1 gene:ENSMUSG00000041444.14 transcript:ENSMUST00000174641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap32 description:Rho GTPase activating protein 32 [Source:MGI Symbol;Acc:MGI:2450166] METESETSSLGDDSVFWLDCEGVTQLTDGDEEEREESFRKMKSSIHSEEDDFVPELHRNV HPRERPDWEETLSAMARGADVPEIPGDLTLKSCGSTASTKVKHVKKLPFTKGHFPKMAEC AHFHYENVEFGSIQLSLSEEQNEVMKNGCESKELVYLVQIACQGKSWIVKRSYEDFRVLD KHLHLCIYDRRFSQLTELPRSDVLKDSPESVTQMLTAYLSRLSTIAGNKINCGPALTWME IDNKGNHLLVHEESSINTPAVGAAHVIKRYTARAPDELTLEVGDIVSVIDMPPKVLSTWW RGKHGFQVGLFPGHCVELINQKVPQSVTNSVPKPVSKKHGKLITFLRTFMKSRPTKQKLK QRGILKERVFGCDLGEHLLNSGFEVPQVLQSCTAFIERYGIVDGIYRLSGVASNIQRLRH EFDSEHVPDLTKEPYVQDIHSVGSLCKLYFRELPNPLLTYQLYEKFSDAVSAATDEERLI KIHDVIQQLPPPHYRTLEFLMRHLSLLADYCSITNMHAKNLAIVWAPNLLRSKQIESACF SGTAAFMEVRIQSVVVEFILNHVDVLFSGKISAVMQEGAASLSRPKSLLVSSPSTKLLTL EEAQARTQAQVSSPIVTENKYIEVGEGPAALQGKFHTVIEFPLERKRPQNKMKKSPVGSW RSFFNLGKSSSVSKRKLQRNESEPSEMKAMALKGGRAEGTLRSAKSEESLTSLHAVDGDS KLFRPRRPRSSSDALSASFNGDVLGNRCNSYDNLPHDNESEEEVGLLHIPALVSPHSAED VDLSPPDIGVASLDFDPMSFQCSPPKAESECLESGASFLDSLGYTRDKLSPSKKDAEAGG SQSQTPGSTASSEPVSPVQEKLSPFFTLDLSPTDDKSSKPSSFTEKVVYAFSPKIGRKLS KSPSMNISEPISVTLPPRVSEVIGTVSNTVAQNASPTSWDKSVEERDVINRSPTQLQLGK MKAGEREAQETCEPEAQPLEQGAAEEVELPGTEERPVLSSQSKAVPSGQSQTGAVTHDPP QDPVPVSSVSLIPPPPPPKNVARMLALALAESAQQASSQTLKRPGASQAGCTSYGDTAVV PSEEKLPSSYSSLTLDKTCFQTDRPAEQFHPQINGLGNCNQPLPEAAAMGGPTQSNTTDS GEQLHQVDLIGNSLHRNHISGDPEKARSTSAPLTDSEKSDDHGSFPEDHAGKSSVSTVSF LEQDQSPLHFSCGDQPLSYLGTSVDKPHHSSELTDKSPMPSTLPRDKAHHPLSGSPEENS STATMAYMMATPARAEPSNSEASRVLAEQPSAADFVAATLQRTHRTNRPLPPPPSQRPAE QPPVVGQVQEAPSIGLNNSHKVQGTAPAPERPPESRAMGDPAPIFLSDGTAAAQCPMGAS APQPGLPEKVRESSRAPPLHLRAESFPGHSCGFAAPVPPTRTMESKMAAALHSSAADATS SSNYHSFVPSSASVDDVMPVPLPVSQPKHASQKIAYSSFARPDVTAEPFGPENCLHFNMT PNCQFRPQSVPPHHNKLEPHQVYGARSEPPASMGPRYNTYVAPGRNMSGHHSKPCSRVEY VSSLGSSVRNPCCPEDILPYPTIRRVQSLHAPPPSMIRSVPISRTEVPPDDEPAYCPRPV YQYKPYQSSQARSDYHVTQLQPYFENGRVHYRYSPYSSSSSSYYSPEGALCDVDAYGTVQ LRPLHRLSSRDFAFYNPRLQGKNVYNYAGLPPRPRANATGYFSGNDHNVVTMPPTADGKH TYTSWDLEDMEKYRMQSIRRESRARQKVKGPIMSQYDNMTPAVQEDLGGIYVIHLRSKSD PGKTGLLSVAEGKEGRHPAKAVSPEGDERFYRKHPESEFDRAHHHGGYGSTQAEKPSLPQ KQSSLRNRKLHDMGCSLPEHRAHQEASHRQLCESKNGPPYPQGAGQLDYGSKGMPDTSEP SNYHNSGKYMTSGQGSLTLNHKEVRLPKDLDRPRARQPPGPEKHSRDCYKEEEHFSQSMV PPPKPERSHSLKLHHTQNLERDPSVLYQYQTHSKRQSSMTVVSQYDNLEDYHSLPQHQRG GFGGAGMGAYVPSGFVHPQSRTYATALGQGAFLPTELSLPHPDTQIHAE >ENSMUSP00000138636.1 pep:known chromosome:GRCm38:9:32129570:32181966:1 gene:ENSMUSG00000041444.14 transcript:ENSMUST00000182310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap32 description:Rho GTPase activating protein 32 [Source:MGI Symbol;Acc:MGI:2450166] XKMKSSIHSEEDDFVPELHRNVHPRERPDWEETLSAMARGADVPEIPGDLTLKSCGSTAS TKVKHVKKSTPPGLMGCDNIHRLPFTKGHFPKMAECAHFHYENVEFGSIQLSLSEEQNEV MKNGCESKELVYLVQIACQGKSWIVKRSYEDFRVLDKHLHLCIYDRRFSQLTELPRSDVL >ENSMUSP00000138145.1 pep:known chromosome:GRCm38:9:32207448:32261218:1 gene:ENSMUSG00000041444.14 transcript:ENSMUST00000182802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap32 description:Rho GTPase activating protein 32 [Source:MGI Symbol;Acc:MGI:2450166] MKSRPTKQKLKQRGILKERVFGCDLGEHLLNSGFEVPQVLQSCTAFIERYGIVDGIYRLS GVASNIQRLRHEFDSEHVPDLTKEPYVQDIHSVGSLCKLYFRELPNPLLTYQLYEKFSDA VSAATDEERLIKIHDVIQQLPPPHYRTLEFLMRHLSLLADYCSITNMHAKNLAIVWAPNL LRSKQIESACFSGTAAFMEVRIQSVVVEFILNHVDVLFSGKISAVMQEGAASLSRPKSLL VSSPSTKLLTLEEAQARTQAQVSSPIVTENKYIEVGEGPAALQGKFHTVIEFPLERKRPQ NKMKKSPVGSWRSFFNLGKSSSVSKRKLQRNESEPSEMKAMALKGGRAEGTLRSAKSEES LTSLHAVDGDSKLFRPRRPRSSSDALSASFNGDVLGNRCNSYDNLPHDNESEEEVGLLHI PALVSPHSAEDVDLSPPDIGVASLDFDPMSFQCSPPKAESECLESGASFLDSLGYTRDKL SPSKKDAEAGGSQSQTPGSTASSEPVSPVQEKLSPFFTLDLSPTDDKSSKPSSFTEKVVY AFSPKIGRKLSKSPSMNISEPISVTLPPRVSEVIGTVSNTVAQNASPTSWDKSVEERDVI NRSPTQLQLGKMKAGEREAQETCEPEAQPLEQGAAEEVELPGTEERPVLSSQSKAVPSGQ SQTGAVTHDPPQDPVPVSSVSLIPPPPPPKNVARMLALALAESAQQASSQTLKRPGASQA GCTSYGDTAVVPSEEKLPSSYSSLTLDKTCFQTDRPAEQFHPQINGLGNCNQPLPEAAAM GGPTQSNTTDSGEQLHQVDLIGNSLHRNHISGDPEKARSTSAPLTDSEKSDDHGSFPEDH AGKSSVSTVSFLEQDQSPLHFSCGDQPLSYLGTSVDKPHHSSELTDKSPMPSTLPRDKAH HPLSGSPEENSSTATMAYMMATPARAEPSNSEASRVLAEQPSAADFVAATLQRTHRTNRP LPPPPSQRPAEQPPVVGQVQEAPSIGLNNSHKVQGTAPAPERPPESRAMGDPAPIFLSDG TAAAQCPMGASAPQPGLPEKVRESSRAPPLHLRAESFPGHSCGFAAPVPPTRTMESKMAA ALHSSAADATSSSNYHSFVPSSASVDDVMPVPLPVSQPKHASQKIAYSSFARPDVTAEPF GPENCLHFNMTPNCQFRPQSVPPHHNKLEPHQVYGARSEPPASMGPRYNTYVAPGRNMSG HHSKPCSRVEYVSSLGSSVRNPCCPEDILPYPTIRRVQSLHAPPPSMIRSVPISRTEVPP DDEPAYCPRPVYQYKPYQSSQARSDYHVTQLQPYFENGRVHYRYSPYSSSSSSYYSPEGA LCDVDAYGTVQLRPLHRLSSRDFAFYNPRLQGKNVYNYAGLPPRPRANATGYFSGNDHNV VTMPPTADGKHTYTSWDLEDMEKYRMQSIRRESRARQKVKGPIMSQYDNMTPAVQEDLGG IYVIHLRSKSDPGKTGLLSVAEGKEGRHPAKAVSPEGDERFYRKHPESEFDRAHHHGGYG STQAEKPSLPQKQSSLRNRKLHDMGCSLPEHRAHQEASHRQLCESKNGPPYPQGAGQLDY GSKGMPDTSEPSNYHNSGKYMTSGQGSLTLNHKEVRLPKDLDRPRARQPPGPEKHSRDCY KEEEHFSQSMVPPPKPERSHSLKLHHTQNLERDPSVLYQYQTHSKRQSSMTVVSQYDNLE DYHSLPQHQRGGFGGAGMGAYVPSGFVHPQSRTYATALGQGAFLPTELSLPHPDTQIHAE >ENSMUSP00000128448.2 pep:known chromosome:GRCm38:9:32224249:32264245:1 gene:ENSMUSG00000041444.14 transcript:ENSMUST00000168954.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap32 description:Rho GTPase activating protein 32 [Source:MGI Symbol;Acc:MGI:2450166] MKSRPTKQKLKQRGILKERVFGCDLGEHLLNSGFEVPQVLQSCTAFIERYGIVDGIYRLS GVASNIQRLRHEFDSEHVPDLTKEPYVQDIHSVGSLCKLYFRELPNPLLTYQLYEKFSDA VSAATDEERLIKIHDVIQQLPPPHYRTLEFLMRHLSLLADYCSITNMHAKNLAIVWAPNL LRSKQIESACFSGTAAFMEVRIQSVVVEFILNHVDVLFSGKISAVMQEGAASLSRPKSLL VSSPSTKLLTLEEAQARTQAQVSSPIVTENKYIEVGEGPAALQGKFHTVIEFPLERKRPQ NKMKKSPVGSWRSFFNLGKSSSVSKRKLQRNESEPSEMKAMALKGGRAEGTLRSAKSEES LTSLHAVDGDSKLFRPRRPRSSSDALSASFNGDVLGNRCNSYDNLPHDNESEEEVGLLHI PALVSPHSAEDVDLSPPDIGVASLDFDPMSFQCSPPKAESECLESGASFLDSLGYTRDKL SPSKKDAEAGGSQSQTPGSTASSEPVSPVQEKLSPFFTLDLSPTDDKSSKPSSFTEKVVY AFSPKIGRKLSKSPSMNISEPISVTLPPRVSEVIGTVSNTVAQNASPTSWDKSVEERDVI NRSPTQLQLGKMKAGEREAQETCEPEAQPLEQGAAEEVELPGTEERPVLSSQSKAVPSGQ SQTGAVTHDPPQDPVPVSSVSLIPPPPPPKNVARMLALALAESAQQASSQTLKRPGASQA GCTSYGDTAVVPSEEKLPSSYSSLTLDKTCFQTDRPAEQFHPQINGLGNCNQPLPEAAAM GGPTQSNTTDSGEQLHQVDLIGNSLHRNHISGDPEKARSTSAPLTDSEKSDDHGSFPEDH AGKSSVSTVSFLEQDQSPLHFSCGDQPLSYLGTSVDKPHHSSELTDKSPMPSTLPRDKAH HPLSGSPEENSSTATMAYMMATPARAEPSNSEASRVLAEQPSAADFVAATLQRTHRTNRP LPPPPSQRPAEQPPVVGQVQEAPSIGLNNSHKVQGTAPAPERPPESRAMGDPAPIFLSDG TAAAQCPMGASAPQPGLPEKVRESSRAPPLHLRAESFPGHSCGFAAPVPPTRTMESKMAA ALHSSAADATSSSNYHSFVPSSASVDDVMPVPLPVSQPKHASQKIAYSSFARPDVTAEPF GPENCLHFNMTPNCQFRPQSVPPHHNKLEPHQVYGARSEPPASMGPRYNTYVAPGRNMSG HHSKPCSRVEYVSSLGSSVRNPCCPEDILPYPTIRRVQSLHAPPPSMIRSVPISRTEVPP DDEPAYCPRPVYQYKPYQSSQARSDYHVTQLQPYFENGRVHYRYSPYSSSSSSYYSPEGA LCDVDAYGTVQLRPLHRLSSRDFAFYNPRLQGKNVYNYAGLPPRPRANATGYFSGNDHNV VTMPPTADGKHTYTSWDLEDMEKYRMQSIRRESRARQKVKGPIMSQYDNMTPAVQEDLGG IYVIHLRSKSDPGKTGLLSVAEGKEGRHPAKAVSPEGDERFYRKHPESEFDRAHHHGGYG STQAEKPSLPQKQSSLRNRKLHDMGCSLPEHRAHQEASHRQLCESKNGPPYPQGAGQLDY GSKGMPDTSEPSNYHNSGKYMTSGQGSLTLNHKEVRLPKDLDRPRARQPPGPEKHSRDCY KEEEHFSQSMVPPPKPERSHSLKLHHTQNLERDPSVLYQYQTHSKRQSSMTVVSQYDNLE DYHSLPQHQRGGFGGAGMGAYVPSGFVHPQSRTYATALGQGAFLPTELSLPHPDTQIHAE >ENSMUSP00000138584.1 pep:known chromosome:GRCm38:9:32224592:32245983:1 gene:ENSMUSG00000041444.14 transcript:ENSMUST00000183121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap32 description:Rho GTPase activating protein 32 [Source:MGI Symbol;Acc:MGI:2450166] MKSRPTKQKLKQRGILKERVFGCDLGEHLLNSGFEVPQVLQSCTAFIERYGIVDGIYRLS GVASNIQRLRHEFDSEHVPDLTKEPYVQDIHS >ENSMUSP00000031654.6 pep:known chromosome:GRCm38:5:147860461:147876456:1 gene:ENSMUSG00000029649.10 transcript:ENSMUST00000031654.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomp description:proteasome maturation protein [Source:MGI Symbol;Acc:MGI:1913787] MNARGLGSELKDSIPVAELSASGPFESHDLLRKGFSCVKNELLPSHPLELSEKNFQLNQD KMNFSTLRNIQGLFAPLKLQMEFKAVQQVHRLPFLPSSNLSLDILRGNDETIGFEDILND PSQSELMGEPHVMVEHKLGLL >ENSMUSP00000144685.1 pep:known chromosome:GRCm38:5:147860628:147875521:1 gene:ENSMUSG00000029649.10 transcript:ENSMUST00000201376.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomp description:proteasome maturation protein [Source:MGI Symbol;Acc:MGI:1913787] MNARGLGSELKDSIPVAELSASGPFESHDLLRKGFSCVKNELLPSHPLELSEKNFQLNQD KMNFSTLRNIQGLFAPLKLQMEFKAVQQIHHKVN >ENSMUSP00000144196.1 pep:known chromosome:GRCm38:5:147860653:147873063:1 gene:ENSMUSG00000029649.10 transcript:ENSMUST00000201120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomp description:proteasome maturation protein [Source:MGI Symbol;Acc:MGI:1913787] MNARGLGSELKDSIPVAELSASGPFESHDLLRKGFSCVKNELLPSHPLELSEKNFQLNQD KMNFSTLRNIQGLFAPLKLQMEFKAVQQVSLPLSLVPMFSWWLSDLTLHAPCPASLCST >ENSMUSP00000096701.3 pep:known chromosome:GRCm38:2:164025450:164050538:1 gene:ENSMUSG00000054582.4 transcript:ENSMUST00000067715.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc1l description:poly(A) binding protein, cytoplasmic 1-like [Source:MGI Symbol;Acc:MGI:1922908] MDTGGHGCSLSSLYVGDLHPDVTESMLYEMFSPIGNILSIRVCRDVATRRSLGYAYINFQ QPADAERALDTMNFEVIKGQPIRIMWSHRDPGLRKSGMGNIFIKNLENSIDNKALYDTFS TFGSILSSKVVYNEHGSRGFGFVHFETHEAAQKAINTMNGMLLNDRKVFVGHFKSRQKRE AELGARALGFTNIYVKNLHANVDEQRLQDLFSQFGNMQSVKVMRDSNGQSRGFGFVNFEK HEEAQKAVDHMNGKEVSGQLLYVGRAQKRAERQSELKRRFEQMKQERQNRYQGVNLYVKN LDDSINDERLKEVFSTYGVITSAKVMTESSHSKGFGFVCFSSPEEATKAVTEMNGRIVGT KPLYVALAQRKEERKAILTNQYRRRPSHPVLSSFQQPTSYLLPAVPQSTAQAVYYSSGSI TPMQPDPRWTAQPHGPPSTCPPAASVVQPLSTTQHPCIHLRGASQVSSQVPHTQRVVNIG TQTTGPGGEGSSIPGQLLVPHRGTSAVHSAHGVQESAVYVPGHQPLTVSMLAAAPLHEQK QMIGERLYSLIHDACAPLTGKITGMLLELDNLELLLLLESPESLHAKIEEAVAVLQVHRE MESTDRN >ENSMUSP00000005841.9 pep:known chromosome:GRCm38:8:105636579:105682922:1 gene:ENSMUSG00000005698.15 transcript:ENSMUST00000005841.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctcf description:CCCTC-binding factor [Source:MGI Symbol;Acc:MGI:109447] MEGEAVEAIVEESETFIKGKERKTYQRRREGGQEEDACHLPQNQTDGGEVVQDVNSSVQM VMMEQLDPTLLQMKTEVMEGTVAPEAEAAVDDTQIITLQVVNMEEQPINIGELQLVQVPV PVTVPVATTSVEELQGAYENEVSKEGLAESEPMICHTLPLPEGFQVVKVGANGEVETLEQ GELPPQEDSSWQKDPDYQPPAKKTKKTKKSKLRYTEEGKDVDVSVYDFEEEQQEGLLSEV NAEKVVGNMKPPKPTKIKKKGVKKTFQCELCSYTCPRRSNLDRHMKSHTDERPHKCHLCG RAFRTVTLLRNHLNTHTGTRPHKCPDCDMAFVTSGELVRHRRYKHTHEKPFKCSMCDYAS VEVSKLKRHIRSHTGERPFQCSLCSYASRDTYKLKRHMRTHSGEKPYECYICHARFTQSG TMKMHILQKHTENVAKFHCPHCDTVIARKSDLGVHLRKQHSYIEQGKKCRYCDAVFHERY ALIQHQKSHKNEKRFKCDQCDYACRQERHMIMHKRTHTGEKPYACSHCDKTFRQKQLLDM HFKRYHDPNFVPAAFVCSKCGKTFTRRNTMARHADNCAGPDGVEGENGGETKKSKRGRKR KMRSKKEDSSDSEENAEPDLDDNEEEEEPAVEIEPEPEPQPQPPPPPQPVAPAPPPAKKR RGRPPGRTNQPKQNQPTAIIQVEDQNTGAIENIIVEVKKEPDAEPAEGEEEEAQAATTDA PNGDLTPEMILSMMDR >ENSMUSP00000102873.2 pep:known chromosome:GRCm38:11:101418812:101424669:-1 gene:ENSMUSG00000097487.7 transcript:ENSMUST00000107252.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptges3l description:prostaglandin E synthase 3 (cytosolic)-like [Source:MGI Symbol;Acc:MGI:1916146] MERQPARTLWYDRPKYVFMEFCVEDSTDVSVLIEDHRVVFSCRNGDGVELYNEIEFYAKV NSKDSQDKRSGRSITCFVRKWKEKVAWPRLTKEDIKPVWLSVDFDNWRDWEGDDEVELAQ VEHYAELLNKVSTKRPPPAMDDLDDDSDS >ENSMUSP00000099391.3 pep:known chromosome:GRCm38:11:101418812:101425333:-1 gene:ENSMUSG00000097487.7 transcript:ENSMUST00000103102.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptges3l description:prostaglandin E synthase 3 (cytosolic)-like [Source:MGI Symbol;Acc:MGI:1916146] MERQPARTLWYDRPKYVFMEFCVEDSTDVSVLIEDHRVVFSCRNGDGVELYNEIEFYAKV NSKDSQDKRSGRSITCFVRKWKEKVAWPRLTKEDIKPVWLSVDFDNWRDWEGDDEVELAQ VEHYAEDDSDS >ENSMUSP00000091465.4 pep:known chromosome:GRCm38:11:101420871:101424669:-1 gene:ENSMUSG00000097487.7 transcript:ENSMUST00000093933.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptges3l description:prostaglandin E synthase 3 (cytosolic)-like [Source:MGI Symbol;Acc:MGI:1916146] MERQPARTLWYDRPKYVFMEFCVEDSTDVSVLIEDHRVVFSCRNGDGVELYNEIEFYAKV NSKDSQDKRSGRSITCFVRKWKEKVAWPRLTKEDIKPVWLSVDFDNWRDWEGDDEVELAQ VEHYAEMHTSHSTHEEIRRL >ENSMUSP00000116399.1 pep:known chromosome:GRCm38:11:101421418:101423856:-1 gene:ENSMUSG00000097487.7 transcript:ENSMUST00000149706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptges3l description:prostaglandin E synthase 3 (cytosolic)-like [Source:MGI Symbol;Acc:MGI:1916146] XRSITCFVRKWKEKVAWPRLTKEDIKPVWLSVDFDNWRDWEGDDEVELAQVEHYAENLNY GALELTL >ENSMUSP00000133272.1 pep:known chromosome:GRCm38:14:42763525:42768821:-1 gene:ENSMUSG00000095466.7 transcript:ENSMUST00000169384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3015 description:predicted gene 3015 [Source:MGI Symbol;Acc:MGI:3781193] XRYSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPTAHHGGKGQETTGRGEGCAGEASI QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCQLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKTLCNEGSQKIKDHYTKQQQV >ENSMUSP00000136555.1 pep:known chromosome:GRCm38:14:42765485:42768802:-1 gene:ENSMUSG00000095466.7 transcript:ENSMUST00000179803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3015 description:predicted gene 3015 [Source:MGI Symbol;Acc:MGI:3781193] QAGMLSMLLRVFQRENRIHTDTRPRQKEADRPSWWERARNNWSWRRHRCAGEASIQAPTI NEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHDQVMTD LKRMPQDISEALSKCKQLTKENQFYCFRNCQLLIESNLIQHKVRMLRKENRQLLREQIAL EECNIETKTLCNEGSQKIKDHYTKQQQV >ENSMUSP00000095778.2 pep:known chromosome:GRCm38:7:104552921:104553862:1 gene:ENSMUSG00000073928.3 transcript:ENSMUST00000098176.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr651 description:olfactory receptor 651 [Source:MGI Symbol;Acc:MGI:3030485] MYNLSCYNPASFTLVGIPGLEKFHIWIGIPFCVIYVVAIVGNCILLYLIAVEQSLHEPMF IFLSMLASTDLILSTATVPKLLSNLWFGSQEITFSGCLTQMFFLHFSFVVDSAILLAMAF DRYVAICLPLRYSTILTPQVIVKIMVSIIVRSFSVILPDVFLLRRLPFCKTRVIPHTYCE HIGVARLSSADISINIWYGFSVPLMTVISDVILIAVSYIFILRAVFLLSSQGARQKALST CGSHICVILMFYTPAFFSILAHRFGHSVPRNVLILFANFYVAIPPALNPVVYGVKTKQIQ DKFLLFFSLRKTQ >ENSMUSP00000022057.8 pep:known chromosome:GRCm38:13:74009419:74035753:1 gene:ENSMUSG00000021573.14 transcript:ENSMUST00000022057.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tppp description:tubulin polymerization promoting protein [Source:MGI Symbol;Acc:MGI:1920198] MADSKAKPAKAANKTPPKSPGDPARAAKRLSLESEGANEGATAAPELSALEEAFRRFAVH GDTRATGKEMHGKNWSKLCKDCHVIDGKNVTVTDVDIVFSKIKGKSCRTITFEQFQEALE ELAKKRFKDKSSEEAVREVHRLIEGRAPVISGVTKAVSSPTVSRLTDTSKFTGSHKERFD QSGKGKGKAGRVDLVDESGYVPGYKHAGTYDQKVQGGK >ENSMUSP00000100471.1 pep:known chromosome:GRCm38:7:104564232:104565179:1 gene:ENSMUSG00000073927.3 transcript:ENSMUST00000104877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr652 description:olfactory receptor 652 [Source:MGI Symbol;Acc:MGI:3030486] MATFNLSSFNPGFFILLGIPGLEQFHVWIGIPFFIIYLVAFAGNSILLYLIFMERSLHEP MFFFLSLLAGTDLILCNTCVPKTFSIFWLGPQHITFPGCLTQMFFLHFSFAMDSAILLSM AFDRYVAICFPLRYTTILTHQIVIKIVVAIISRSFCIIFPCVFLLKRLPFCRELVIPHTY CEHIGIARLACADISINIWYGFAVPIMTVMSDLILIGISYTVILRAVFNLPSQDARKKAL STCGSHVCVILIFYTPAIFSVLVHRFGHNIPHSFHILFANLYVSIPPAINPVIYGVKTKQ IRDKINLLFFPKDNH >ENSMUSP00000095777.2 pep:known chromosome:GRCm38:7:104564152:104565212:1 gene:ENSMUSG00000073927.3 transcript:ENSMUST00000098175.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr652 description:olfactory receptor 652 [Source:MGI Symbol;Acc:MGI:3030486] MTIMATFNLSSFNPGFFILLGIPGLEQFHVWIGIPFFIIYLVAFAGNSILLYLIFMERSL HEPMFFFLSLLAGTDLILCNTCVPKTFSIFWLGPQHITFPGCLTQMFFLHFSFAMDSAIL LSMAFDRYVAICFPLRYTTILTHQIVIKIVVAIISRSFCIIFPCVFLLKRLPFCRELVIP HTYCEHIGIARLACADISINIWYGFAVPIMTVMSDLILIGISYTVILRAVFNLPSQDARK KALSTCGSHVCVILIFYTPAIFSVLVHRFGHNIPHSFHILFANLYVSIPPAINPVIYGVK TKQIRDKINLLFFPKDNH >ENSMUSP00000099752.4 pep:known chromosome:GRCm38:2:72476159:72486893:1 gene:ENSMUSG00000055612.15 transcript:ENSMUST00000102691.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca7 description:cell division cycle associated 7 [Source:MGI Symbol;Acc:MGI:1914203] MEARRARQKALKVKNLKDVRYMKLISMETSSSSDDSCDSFASDNFANTRLQLNREGCRTR SQCRHSGPLRVAMKFPARNTRRAASKKAAPPKPSESSANDSHSDSEEEEEEEEEEDGMNF LEKRALNIKQNKAMLAKLMSELESFPGLFSGRHSLPGHRAKDSKSPRRRTFPGVATRRNP ERRTRPLTRSRSRILGSLGALPTEEEEEEEEEEEDKYMLVRQRKSMDSYMNDDDVPRSRR PGSMTLPHIIRPVEEVTEEEIRNICSNSREKIYNRSLGSTCHQCRQKTTDTKTNCRNPDC WGIRGQFCGPCLRNRYGEEVKDALLDPNWHCPPCRGICNCSFCRQRDGRCATGVLVYLAK YHGFGNVHAYLKSLKQEFEMQA >ENSMUSP00000121263.1 pep:known chromosome:GRCm38:2:72476253:72482497:1 gene:ENSMUSG00000055612.15 transcript:ENSMUST00000157019.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca7 description:cell division cycle associated 7 [Source:MGI Symbol;Acc:MGI:1914203] MEARRARQKALKVKNLKDVRYMKLISMETSSSSDDSCDSFASDNFANTKPRPDATKELAS IFHADSDEELFCGFSESEIQDGMRLQLNREGCRTRSQCRHSGPLRVAMKFPARNTRRAAS KKAAPPKPSESSANDSHSDSEEEEEEEEEEDGMNFLEKRALNIKQNKAMLAKLMSELESF PGLFSGRHSLPG >ENSMUSP00000114706.1 pep:known chromosome:GRCm38:7:49974864:50560889:1 gene:ENSMUSG00000055409.14 transcript:ENSMUST00000151721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nell1 description:NEL-like 1 [Source:MGI Symbol;Acc:MGI:2443902] MPMDVILVLWFCVCTARTVLGFGMDPDLQMDIITELDLVNTTLGVTQVAGLHNASKAFLF QDVQREIHSAPHVSEKLIQLFRNKSEFTFLATVQQKPSTSGVILSIRELEHSYFELESSG PREEIRYHYIHGGKPRTEALPYRMADGQWHKVALSVSASHLLLHVDCNRIYERVIDPPET NLPPGSNLWLGQRNQKHGFFKGIIQDGKIIFMPNGFITQCPNLNRTCPTCSDFLSLVQGI MDLQELLAKMTAKVITSVQKHLSVEKTRNAKIGIQKRLVSARMDTSLSRATLHTVKISMS VQQRCTTVMPTRCVSTCRGYIAVTASQDTSVWMTSLVRSMMIVAADNTTVTKMPSVPTQS RDTAVPASQATWEMVLSAK >ENSMUSP00000080550.5 pep:known chromosome:GRCm38:7:49974864:50866608:1 gene:ENSMUSG00000055409.14 transcript:ENSMUST00000081872.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nell1 description:NEL-like 1 [Source:MGI Symbol;Acc:MGI:2443902] MPMDVILVLWFCVCTARTVLGFGMDPDLQMDIITELDLVNTTLGVTQVAGLHNASKAFLF QDVQREIHSAPHVSEKLIQLFRNKSEFTFLATVQQKPSTSGVILSIRELEHSYFELESSG PREEIRYHYIHGGKPRTEALPYRMADGQWHKVALSVSASHLLLHVDCNRIYERVIDPPET NLPPGSNLWLGQRNQKHGFFKGIIQDGKIIFMPNGFITQCPNLNRTCPTCSDFLSLVQGI MDLQELLAKMTAKLNYAETRLGQLENCHCEKTCQVSGLLYRDQDSWVDGDNCRNCTCKSG AVECRRMSCPPLNCSPDSLPVHISGQCCKVCRPKCIYGGKVLAEGQRILTKTCRECRGGV LVKITEACPPLNCSEKDHILPENQCCRVCRGHNFCAEAPKCGENSECKNWNTKATCECKN GYISVQGNSAYCEDIDECAAKMHYCHANTVCVNLPGLYRCDCIPGYIRVDDFSCTEHDDC GSGQHNCDKNAICTNTVQGHSCTCQPGYVGNGTVCKAFCEEGCRYGGTCVAPNKCVCPSG FTGSHCEKDIDECAEGFVECHNHSRCVNLPGWYHCECRSGFHDDGTYSLSGESCIDIDEC ALRTHTCWNDSACINLAGGFDCLCPSGPSCSGDCPHEGGLKHNGQVWILREDRCSVCSCK DGKIFCRRTACDCQNPNVDLFCCPECDTRVTSQCLDQSGQKLYRSGDNWTHSCQQCRCLE GEADCWPLACPSLSCEYTAIFEGECCPRCVSDPCLADNIAYDIRKTCLDSSGISRLSGAV WTMAGSPCTTCQCKNGRVCCSVDLVCLENN >ENSMUSP00000103229.1 pep:known chromosome:GRCm38:7:49975334:50863358:1 gene:ENSMUSG00000055409.14 transcript:ENSMUST00000107603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nell1 description:NEL-like 1 [Source:MGI Symbol;Acc:MGI:2443902] MPMDVILVLWFCVCTARTVLGFGMDPDLQMDIITELDLVNTTLGVTQVAGLHNASKAFLF QDVQREIHSAPHVSEKLIQLFRNKSEFTFLATVQQKPSTSGVILSIRELEHSYFELESSG PREEIRYHYIHGGKPRTEALPYRMADGQWHKVALSVSASHLLLHVDCNRIYERVIDPPET NLPPGSNLWLGQRNQKHGFFKGIIQDGKIIFMPNGFITQCPNLNRTCPTCSDFLSLVQGI MDLQELLAKMTAKLNYAETRLGQLENCHCEKTCQVSGLLYRDQDSWVDGDNCRNCTCKSG AVECRRMSCPPLNCSPDSLPVHISGQCCKVCRPKCIYGGKVLAEGQRILTKTCRECRGGV LVKITEACPPLNCSEKDHILPENQCCRVCRGHNFCAEAPKCGENSECKNWNTKATCECKN GYISVQGNSAYCEDIDECAAKMHYCHANTVCVNLPGLYRCDCIPGYIRVDDFSCTEHDDC GSGQHNCDKNAICTNTVQGHSCTCQPGYVGNGTVCKAFCEEGCRYGGTCVAPNKCVCPSG FTGSHCEKDIDECALRTHTCWNDSACINLAGGFDCLCPSGPSCSGDCPHEGGLKHNGQVW ILREDRCSVCSCKDGKIFCRRTACDCQNPNVDLFCCPECDTRVTSQCLDQSGQKLYRSGD NWTHSCQQCRCLEGEADCWPLACPSLSCEYTAIFEGECCPRCVSDPCLADNIAYDIRKTC LDSSGISRLSGAVWTMAGSPCTTCQCKNGRVCCSVDLVCLENN >ENSMUSP00000004343.2 pep:known chromosome:GRCm38:3:99141068:99220203:1 gene:ENSMUSG00000004233.14 transcript:ENSMUST00000004343.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wars2 description:tryptophanyl tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1917810] MALFSVRKARECWRFIRALHKGPAATLAPQKESGERVFSGIQPTGILHLGNYLGAIESWV NLQEEYDTVIYSIVDLHSITVPQDPTVLQQSILDMTAVLLACGINPEKSILFQQSKVSEH TQLSWILTCMVRLPRLQHLHQWKAKAAKQKHDGTVGLLTYPVLQAADILCYKSTHVPVGE DQVQHMELVQDLARSFNQKYGEFFPLPKSILTSMKKVKSLRDPSSKMSKSDPDKLATVRI TDSPEEIVQKFRKAVTDFTSEVTYEPDSRAGVSNMVAIHAAVSGLSVEEVVRSSAGLDTA RYKLLVADAVIEKFAPIRKEIEKLKMDKDHLRKVLLVGSAKAKELASPVFEEVKKLVGIL >ENSMUSP00000142470.1 pep:known chromosome:GRCm38:3:99141072:99219203:1 gene:ENSMUSG00000004233.14 transcript:ENSMUST00000135960.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wars2 description:tryptophanyl tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1917810] MALFSVRKARECWRFIRALHKGPAATLAPQKESGERVFSGIQPTGILHLGNYLGAIESWV NLQEEYDTVIYSIVDLHSITVPQDPTVLQQSILDMTAVLLACGINPEKSILFQQSKVSEH TQLSWILTCMVRLPRLQHLHQWKAKAAKQKHDGTVGLLTYPVLQAADILCYKVSL >ENSMUSP00000142723.1 pep:known chromosome:GRCm38:3:99141093:99239186:1 gene:ENSMUSG00000004233.14 transcript:ENSMUST00000145650.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wars2 description:tryptophanyl tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1917810] MALFSVRKARECWRFIRALHKGPAATLAPQKESGERVFSGIQPTGILHLGNYLGAIESWV NLQEEYDTVIYSIVDLHSITVPQDPTVLQQSILDMTAVLLACGINPEKSILFQQSKVSEH TQLSWILTCMVRLPRLQHLHQWKAKAAKQKHDGTVGLLTYPVLQAADILCYKKLRRMQDL SPSSSVNS >ENSMUSP00000142361.1 pep:known chromosome:GRCm38:3:99141096:99216601:1 gene:ENSMUSG00000004233.14 transcript:ENSMUST00000198044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wars2 description:tryptophanyl tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1917810] MALFSVRKARECWRFIRALHKGPAATLAPQVSEHTQLSWILTCMVRLPRLQHLHQWKAKA AKQKHDGTVGLLTYPVLQAADILCYNIHEESEISSRPFFQDVKIGP >ENSMUSP00000113588.1 pep:known chromosome:GRCm38:X:107782751:107816334:-1 gene:ENSMUSG00000031242.7 transcript:ENSMUST00000120722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610002M06Rik description:RIKEN cDNA 2610002M06 gene [Source:MGI Symbol;Acc:MGI:1914278] MSNMEKHLFNLKFAAKELNRNAKKCDKEEKAEKAKIKKAIQKGNTEVARIHAENAIRQKN QAINFLRMSARVDAVAARVQTAVTMGKVTKSMAGVVKSMDATLRSMNLEKISALMDKFEH QFETLDVQTQQMEDTMSSTTTLTTPQNQVDMLLQEMADEAGLDLNMELPQGQTGSVGASV ASTEQDELSQRLARLRDQV >ENSMUSP00000070370.6 pep:known chromosome:GRCm38:6:72359714:72362393:-1 gene:ENSMUSG00000055850.12 transcript:ENSMUST00000069595.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] MASYFDEHDCEPLNPEHLKCPVCLLEFEAEETVIEMPCHHLFHSNCILPWLSKTNSCPLC RHELPTDDDSYEEHKKDKARRQQQQHRLENLHGAMYT >ENSMUSP00000066128.5 pep:known chromosome:GRCm38:6:72359714:72362432:-1 gene:ENSMUSG00000055850.12 transcript:ENSMUST00000069580.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] MASYFDEHDCEPLNPEREARNNMLLELARRVRGAWSWAPGGRSLFNRMDFEDLGLVDWEH HLPPPAAKAVVESLPRTVISSAKADLKCPVCLLEFEAEETVIEMPCHHLFHSNCILPWLS KTNSCPLCRHELPTDDDSYEEHKKDKARRQQQQHRLENLHGAMYT >ENSMUSP00000138229.1 pep:known chromosome:GRCm38:6:72359872:72362360:-1 gene:ENSMUSG00000055850.12 transcript:ENSMUST00000125554.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] XSYFDEHDCEPLNPEREARNNMLLELARRGR >ENSMUSP00000115187.1 pep:known chromosome:GRCm38:6:72360084:72361396:-1 gene:ENSMUSG00000055850.12 transcript:ENSMUST00000130064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] LFCFFFLFRADKPDLPACRLPLATNNLAYLKCPVCLLEFEAEETVIEMPCHHLFHSNCIL PWLSKTNSCPLCRHELPTDDDSYEEHKKDKARRQQQQHRLENLHGAMYT >ENSMUSP00000138327.1 pep:known chromosome:GRCm38:6:72360245:72366956:-1 gene:ENSMUSG00000055850.12 transcript:ENSMUST00000154098.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] MDFEDLGLVDWEHHLPPPAAKAVVESLPRTVISSAKADLKCPVCLLEFEAEETVIEMPCH HLFHSNCILPWLSKTNSCPLCRHELPTDDDSYEEHKKDKARRQQQQHRLENLHGAMYT >ENSMUSP00000138442.1 pep:known chromosome:GRCm38:6:72360251:72362344:-1 gene:ENSMUSG00000055850.12 transcript:ENSMUST00000149296.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] HDCEPLNPEREARNNMLLELARRITL >ENSMUSP00000138365.1 pep:known chromosome:GRCm38:6:72360277:72362390:-1 gene:ENSMUSG00000055850.12 transcript:ENSMUST00000129233.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] XDKPGSPGAMASYFDEHDCEPLNPEREARNNMLLELARRITL >ENSMUSP00000138309.1 pep:known chromosome:GRCm38:6:72360278:72362363:-1 gene:ENSMUSG00000055850.12 transcript:ENSMUST00000126065.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] XASYFDEHDCEPLNPEREARNNMLLELARRISSAPCAFWNLRRRRL >ENSMUSP00000109729.1 pep:known chromosome:GRCm38:6:72360288:72362382:-1 gene:ENSMUSG00000055850.12 transcript:ENSMUST00000114095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] MASYFDEHDCEPLNPEREARNNMLLELARRVRGAWSWAPGGRSLFNRMDFEDLGLVDWEH HLPPPAAKAVVESLPRTVISSAKADLKCPVCLLEFEAEETVIEMPCHHLFHSNCILPWLR REQGWKAGAGKDRNTALDFSRQIPALCAAMSCPLMMTAMKSTRKTRLAGSSSSTAWRTST EPCTREAAARAERSASLIPSLCTLNH >ENSMUSP00000138670.1 pep:known chromosome:GRCm38:6:72360336:72362365:-1 gene:ENSMUSG00000055850.12 transcript:ENSMUST00000151063.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] MASYFDEHDCEPLNPEREARNNMLLELARRVRGAWSWAPGGRSQVPRVPFGI >ENSMUSP00000066736.6 pep:known chromosome:GRCm38:7:4631495:4659019:-1 gene:ENSMUSG00000052296.7 transcript:ENSMUST00000064099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp6r1 description:protein phosphatase 6, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:2442163] MFWKFDLHTSSHLDTLLEKEDLSLPELLDEEDVLQECKVVNRKLLDFLLQPSHLQAMVAW VTQEPPASGEERLRYKYPSVACEILTSDVPQINDALGADESLLNRLYGFLQSGDSLNPLL ASFFSKVMGILINRKTDQLVSFLRKKDDFVDLLLRHIGTSAIMDLLLRLLTCVERPQLRQ DVFNWLNEEKIVQRLIEQIHPSKDDNQHSNASQSLCDIIRLSREQMIQGQDSPEPDQLLA TLEKQETIEQLLSNMFEGEQCQSVIVSGIQVLLTLLEPRRPRSDSVTMNNFFSSVDGQLE LLAQGALDDALSSMGALHALRPRLDRFHQLLLEPPKLEPLQMTWGSLAPPLGNTRLHVVK LLASALSANAAALTQELLVLDVPNTLLDLFFHYVFNNFLHAQVEVCVSAMLSSGPPPDSS SETPVPNPIVKHLLQHCRLVERILASWEENDRVQSGGGPRKGYMGHLTRVANAVVQNAEQ GPNAEQLGQLLKELPEEQQQRWEAFVSGPLAETNKKNTVDLVNTHHLHSSSDDEDDRLKE FNFPEEAVLQQAFMDFQMQRMTSAFIDHFGFNDEEFGEQEESVNAPFDKTANITFSLNAD DENPNANLLEICYKDRIQQFDDEEEEEEEGQGSAESDGEYGAWQGSQPVRASQASQPPGV RSGGSTDSEEEDEEEDEEEDEGAEQAACGRTSPSSFPSPSTQPPGPSWTATFDTVPMDAP TGPPVSKEADMSSIQIPSSPPAHGSPQLRSQDPTHPSAPQEVTDSSKVAEPLAPCQALVS VADVQATLHGMRSAPSSLDSATRDPSTSVPDFKAHQSPQTMEGKRSPEHLGLPQSQSALE MPNGSTPGGPISSGSQ >ENSMUSP00000146109.1 pep:known chromosome:GRCm38:7:4641296:4643284:-1 gene:ENSMUSG00000052296.7 transcript:ENSMUST00000206966.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp6r1 description:protein phosphatase 6, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:2442163] XVSFLRKKDDFVDLLLRHIGTSAIMDLLLRLLTCVERPQLRQDVFNWLNEEKIVQRLIEQ IHPSKDDNQHSNASQSLCDIIRLSREQMIQGQDSPEPDQLLATLEKRPSSSSSVTCLRES SASLSLSVGSRCCSPSWNLGGQGLTL >ENSMUSP00000145575.1 pep:known chromosome:GRCm38:7:4642137:4647405:-1 gene:ENSMUSG00000052296.7 transcript:ENSMUST00000206532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp6r1 description:protein phosphatase 6, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:2442163] MGSSCALEGAMFWKFDLHTSSHLDTLLEKEDLSLPELLDEEDVLQECKVVNRKLLDFLLQ PSHLQAMVAWVTQEPPASGEERLRYKYPSVACEILTSDVPQINDALGADESLLNRLYGFL QSGDSLNPLLASFFSKVMGILINRKTDQLVSFLRKKDDFVDLLLRHIGTSAIMDLLLRLL TCVERPQLRQDVFNWLNEEKIVQRLIEQIHPSKDDNQHSNASQSLCDIIRLSREQMIQGQ DSPEPDQLLATLE >ENSMUSP00000146290.1 pep:known chromosome:GRCm38:7:4643237:4658981:-1 gene:ENSMUSG00000052296.7 transcript:ENSMUST00000205374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp6r1 description:protein phosphatase 6, regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:2442163] MFWKFDLHTSSHLDTLLEKEDLSLPELLDEEDVLQECKVVNRKLLDFLLQPSHLQAMVAW VTQEPPASGEERLRYKYPSVACEILTSDVPQINDALGADESLLNRLYGFLQSGDSLNPLL ASFFSKVMGILINRKTDQLVSFLRKKDDFVDLLLR >ENSMUSP00000095082.3 pep:known chromosome:GRCm38:1:165646516:165708086:-1 gene:ENSMUSG00000040723.14 transcript:ENSMUST00000097474.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcsd1 description:RCSD domain containing 1 [Source:MGI Symbol;Acc:MGI:2676394] MEERPSETNSNVDSSAQPSVAQLAGRFREHAAVAREKSPSGASHPPKIKVKSSPLIEKLQ ANLAFDPAALLPGASPKSPGLKAIVSPFHSPPSTPSSPGIRSHPSEAEEVPVSFDQPPEG THLPSYNKVRTRGSIKRRPPSRRFRRSQSDCGDFRDYRAVEPSQENGAREENGDDVFASK SKDPGSPQLNQEAMADGVEGTPWSAEKPRRRNTCNSTEKPEELVRTPEEANAGEKVGQNP DTASQGHPEVQAPSQTGSPEAENGCGSPREETTPGEHTDTGKATEGTASEERVADEDRLG QKSPDANMPEEEGVVREKAPQTSSGKAEGTTIAEPDTKQKEEAPLEPSCSPGADHAAGEI TSEIQNEKAVSMDDIPIEDTRM >ENSMUSP00000043724.8 pep:known chromosome:GRCm38:1:165648947:165708048:-1 gene:ENSMUSG00000040723.14 transcript:ENSMUST00000040357.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcsd1 description:RCSD domain containing 1 [Source:MGI Symbol;Acc:MGI:2676394] MEERPSETNSNVDSSAQPSVAQLAGRFREHAAVARETPASKPTRRKPPCSLPLFPPKVEL GQNGEEKSPSGASHPPKIKVKSSPLIEKLQANLAFDPAALLPGASPKSPGLKAIVSPFHS PPSTPSSPGIRSHPSEAEEVPVSFDQPPEGTHLPSYNKVRTRGSIKRRPPSRRFRRSQSD CGDFRDYRAVEPSQENGAREENGDDVFASKSKDPGSPQLNQEAMADGVEGTPWSAEKPRR RNTCNSTEKPEELVRTPEEANAGEKVGQNPDTASQGHPEVQAPSQTGSPEAENGCGSPRE ETTPGEHTDTGKATEGTASEERVADEDRLGQKSPDANMPEEEGVVREKAPQTSSGKAEGT TIAEPDTKQKEEAPLEPSCSPGADHAAGEITSEIQNEKAVSMDDIPIEDTRM >ENSMUSP00000141913.1 pep:known chromosome:GRCm38:1:165664953:165709393:-1 gene:ENSMUSG00000040723.14 transcript:ENSMUST00000193714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcsd1 description:RCSD domain containing 1 [Source:MGI Symbol;Acc:MGI:2676394] MEERPSETNSNVDSSAQPSVAQLAGRFREHAAVA >ENSMUSP00000105130.1 pep:known chromosome:GRCm38:11:26471322:26591729:-1 gene:ENSMUSG00000064090.14 transcript:ENSMUST00000109504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk2 description:vaccinia related kinase 2 [Source:MGI Symbol;Acc:MGI:1917172] MAPRRKEKYKLPVPLPEGKILDDMEGNRWALGKMIGSGGFGLIYLAFPTNKPNKDARHVI KLEYQENGPLFSELKFYQRAAKRECIQKWIQQRKLDYLGIPVFYGFGLTDFKGRSYRFMV MERLGIDLQKLLDQNGGFKKLTVLQLGIRMLDVLEYIHENEYVHGDIKAANLLLDFTNPD RVYLADYGLSYRYCPNGNHKQYQEDPRKGHNGTIEFTSLDAHKGVAPSRRSDVEILGYCM LHWLFGKLPWEAKLDDPVAVQTAKTNLLDELPESVLKWAPSGSSCSELVKYLMYVHNLAY DDKPDYQKLKKILNPDGVPLGPLEFSTKVQSVHVRTPAQQKVDSPKATRKPANEFPAKFP KKVHRETRARQREEQEDSQPTMLQSRPAAPENSRTRKIHEYSDIFSEMQSLQQTPSYMSF QGSYCKPYLDCTRRDPIRKPRSLPRYRHTPTGNLGVTDLESSPRFWPAIFQLTLSEETKA DVYYYGITIFCLLIFVFLALYFL >ENSMUSP00000119928.1 pep:known chromosome:GRCm38:11:26547848:26593999:-1 gene:ENSMUSG00000064090.14 transcript:ENSMUST00000156264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk2 description:vaccinia related kinase 2 [Source:MGI Symbol;Acc:MGI:1917172] MAPRRKEKYKLPVPLPEGKILDDMEGNRWALGKMIGSGGFGLIYLAFPTNKPNKDARHVI KLEYQENGPLFSELKFYQRAAKRECIQKWIQQRKLDYLGIPVFYGFGLTDF >ENSMUSP00000077471.6 pep:known chromosome:GRCm38:11:26471404:26593920:-1 gene:ENSMUSG00000064090.14 transcript:ENSMUST00000078362.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk2 description:vaccinia related kinase 2 [Source:MGI Symbol;Acc:MGI:1917172] MAPRRKEKYKLPVPLPEGKILDDMEGNRWALGKMIGSGGFGLIYLAFPTNKPNKDARHVI KLEYQENGPLFSELKFYQRAAKRECIQKWIQQRKLDYLGIPVFYGFGLTDFKGRSYRFMV MERLGIDLQKLLDQNGGFKKLTVLQLGIRMLDVLEYIHENEYVHGDIKAANLLLDFTNPD RVYLADYGLSYRYCPNGNHKQYQEDPRKGHNGTIEFTSLDAHKGVAPSRRSDVEILGYCM LHWLFGKLPWEAKLDDPVAVQTAKTNLLDELPESVLKWAPSGSSCSELVKYLMYVHNLAY DDKPDYQKLKKILNPDGVPLGPLEFSTKVQSVHVRTPAQQKVDSPKATRKPANEFPAKFP KKVHRETRARQREEQEDSQPTMLQSRPAAPENSRTRKIHEYSDIFSEMQSLQQTPSYMSF QGSYCKPYLDCTRRDPIRKPRSLPRYRHTPTGNLGVTDLESSPRFWPAIFQLTLSEETKA DVYYYGITIFCLLIFVFLALYFL >ENSMUSP00000005849.5 pep:known chromosome:GRCm38:8:105566698:105568298:-1 gene:ENSMUSG00000005705.10 transcript:ENSMUST00000005849.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agrp description:agouti related neuropeptide [Source:MGI Symbol;Acc:MGI:892013] MLTAMLLSCVLLLALPPTLGVQMGVAPLKGIRRPDQALFPEFPGLSLNGLKKTTADRAEE VLLQKAEALAEVLDPQNRESRSPRRCVRLHESCLGQQVPCCDPCATCYCRFFNAFCYCRK LGTATNLCSRT >ENSMUSP00000142044.1 pep:known chromosome:GRCm38:8:105566698:105637393:-1 gene:ENSMUSG00000005705.10 transcript:ENSMUST00000194091.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agrp description:agouti related neuropeptide [Source:MGI Symbol;Acc:MGI:892013] MLTAMLLSCVLLLALPPTLGVQMGVAPLKGIRRPDQALFPEFPGLSLNGLKKTTADRAEE VLLQKAEALAEVLDPQNRESRSPRRCVRLHESCLGQQVPCCDPCATCYCRFFNAFCYCRK LGTATNLCSRT >ENSMUSP00000141783.1 pep:known chromosome:GRCm38:8:105566798:105579845:-1 gene:ENSMUSG00000005705.10 transcript:ENSMUST00000194654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agrp description:agouti related neuropeptide [Source:MGI Symbol;Acc:MGI:892013] MLTAMLLSCVLLLALPPTLGVQMGVAPLKGIRRPDQALFPEFPGLSLNGLKKTTADRAEE VLLQKAEALAEVLDPQNRESRSPRRCVRLHESCLGQQVPCCDPCATCYCRFFNAFCYC >ENSMUSP00000022708.5 pep:known chromosome:GRCm38:14:106106198:106109272:1 gene:ENSMUSG00000022113.6 transcript:ENSMUST00000022708.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim52 description:tripartite motif-containing 52 [Source:MGI Symbol;Acc:MGI:3045276] MATSTRPPSPMQSLREEAVCAICLDYFKDPVSIGCGHNFCRGCVTQLWGKEDEQDREQPA VQERVIREVLFPRYTEQEQHRAHAGQWVGHSHRQHQGNANSEWDDEEEDRNSLQGLVHDL RIRVFPEERDEPPHNGHQYHRFGRYRHRHRHPPIFHRGPPHPPVRRQLYPDARVPSPHAQ VPPPHAQVPSLPRPTPQVFSCPQCRRTFPSRSFRPNLQLANMVHIIRQICHTP >ENSMUSP00000071174.6 pep:known chromosome:GRCm38:5:95775990:95804708:1 gene:ENSMUSG00000072813.5 transcript:ENSMUST00000071182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330014E10Rik description:RIKEN cDNA E330014E10 gene [Source:MGI Symbol;Acc:MGI:3615333] MSGQTPPTLQKLARQTLLRDEALAISSLEELPAVVFPPLFQEAFAGRLNKLIKAMVAAWP FHDLPVGPLINMHNLETLQALLDGVDMRLTRKFHPWRPKLQVLDMRNVDHVFWNIWSDAN DSDSDAETLDEKQVVKAPRRYALRQRLKIIVDLSISSQLNEQKAYFLNWAKQRKGSINFC CTKMKIWDAPDEVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLERVFLAP LHKNTSPIMNLTRDSKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLESLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTANWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000042151.5 pep:known chromosome:GRCm38:11:101425085:101435673:1 gene:ENSMUSG00000035007.5 transcript:ENSMUST00000040561.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rundc1 description:RUN domain containing 1 [Source:MGI Symbol;Acc:MGI:2144506] MATVEVATELGTVVTAVGPKAKDEEEEEEEEESLPPCETVRWAPVGAVAEAGPGAATFSE AAAAEEPGAAPGSPSDATVRTLRRLEAERRQLDSALLALSSHFAQVQFRLRQVVRGAPAE QQRLLRELEDFAFRGCPHVLGYEGLADPCGGDESDVLPGDRPRVRGEDQSEQEKRERLET QREKQKELILQLKTQLDDLETFAYQEGSYDSLPQSVVLERQRVIIDELIKKLDMNLNEDI SSLSTEELRQRVDAAVAQIVNPVRVKEQLVEQLKTQIRDLEMFISFIQDEVGSPLQTGGH CECQASGKVGIGSTRVGGSTLPPGPGKAKAEDAKRARETGLHLMRRALAVLQIFTVSQLG CATGQIPQTLWQRSQADRDYSHLLKRLEVSVDRVKQLALRHQPHDHVITSANLQDLSLGG KDELTTVVRKELTVAVRDLLAHGLYASSSGMSLVMAPLACLLPVFSSAPETMHPWELFVK YYHAKNGRAYVESPARKLSQSFALPIMGDTAVTPKQSLLTAIHLVLTEHDPFKRSADSEL KALVCMALNEQRLVSWVNLICKSGSLIEPHYQPWSYMAHTGFESALNLLSRLSSLKFSLP VDLAVRQLKNIKDAF >ENSMUSP00000064048.5 pep:known chromosome:GRCm38:17:56412433:56476483:-1 gene:ENSMUSG00000013236.16 transcript:ENSMUST00000067538.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprs description:protein tyrosine phosphatase, receptor type, S [Source:MGI Symbol;Acc:MGI:97815] MAPTWSPSVVSVVGPVGLFLVLLARGCLAEEPPRFIREPKDQIGVSGGVASFVCQATGDP KPRVTWNKKGKKVNSQRFETIDFDESSGAVLRIQPLRTPRDENVYECVAQNSVGEITIHA KLTVLREDQLPPGFPNIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDPSAS NGRIKQLRSGALQIESSEETDQGKYECVATNSAGVRYSSPANLYVRVRRVAPRFSILPMS HEIMPGGNVNITCVAVGSPMPYVKWMQGAEDLTPEDDMPVGRNVLELTDVKDSANYTCVA MSSLGVIEAVAQITVKSLPKAPGTPVVTENTATSITVTWDSGNPDPVSYYVIEYKSKSQD GPYQIKEDITTTRYSIGGLSPNSEYEIWVSAVNSIGQGPPSESVVTRTGEQAPASAPRNV QARMLSATTMIVQWEEPVEPNGLIRGYRVYYTMEPEHPVGNWQKHNVDDSLLTTVGSLLE DETYTVRVLAFTSVGDGPLSDPIQVKTQQGVPGQPMNLRAEAKSETSIGLSWSAPRQESV IKYELLFREGDRGREVGRTFDPTTAFVVEDLKPNTEYAFRLAARSPQGLGAFTAVVRQRT LQAKPSAPPQDVKCTSLRSTAILVSWRPPPPETHNGALVGYSVRYRPLGSEDPDPKEVNN IPPTTTQILLEALEKWTEYRVTAVAYTEVGPGPESSPVVVRTDEDVPSAPPRKVEAEALN ATAIRVLWRSPTPGRQHGQIRGYQVHYVRMEGAEARGPPRIKDIMLADAQEMVITNLQPE TAYSITVAAYTMKGDGARSKPKVVVTKGAVLGRPTLSVQQTPEGSLLARWEPPADAAEDP VLGYRLQFGREDAAPATLELAAWERRFAAPAHKGATYVFRLAARGRAGLGEEAAAALSIP EDAPRGFPQILGAAGNVSAGSVLLRWLPPVPAERNGAIIKYTVSVREAGAPGPATETELA AAAQPGAETALTLRGLRPETAYELRVRAHTRRGPGPFSPPLRYRLARDPVSPKNFKVKMI MKTSVLLSWEFPDNYNSPTPYKIQYNGLTLDVDGRTTKKLITHLKPHTFYNFVLTNRGSS LGGLQQTVTARTAFNMLSGKPSVAPKPDNDGFIVVYLPDGQSPVTVQNYFIVMVPLRKSR GGQFPVLLGSPEDMDLEELIQDISRLQRRSLRHSRQLEVPRPYIAARFSILPAVFHPGNQ KQYGGFDNRGLEPGHRYVLFVLAVLQKNEPTFAASPFSDPFQLDNPDPQPIVDGEEGLIW VIGPVLAVVFIICIVIAILLYKNKPDSKRKDSEPRTKCLLNNADLAPHHPKDPVEMRRIN FQTPGMLSHPPIPITDMAEHMERLKANDSLKLSQEYESIDPGQQFTWEHSNLEANKPKNR YANVIAYDHSRVILQPLEGIMGSDYINANYVDGYRRQNAYIATQGPLPETFGDFWRMVWE QRSATVVMMTRLEEKSRIKCDQYWPNRGTETYGFIQVTLLDTMELATFCVRTFSLHKNGS SEKREVRHFQFTAWPDHGVPEYPTPFLAFLRRVKTCNPPDAGPIVVHCSAGVGRTGCFIV IDAMLERIKTEKTVDVYGHVTLMRSQRNYMVQTEDQYGFIHEALLEAVGCGNTEVPARSL YTYIQKLAQVEPGEHVTGMELEFKRLASSKAHTSRFITASLPCNKFKNRLVNILPYESSR VCLQPIRGVEGSDYINASFIDGYRQQKAYIATQGPLAETTEDFWRALWENNSTIVVMLTK LREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTDARDGQSRTVRQFQF TDWPEQGAPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGVGRTGVFITLSIVLERMR YEGVVDIFQTVKVLRTQRPAMVQTEDEYQFCFQAALEYLGSFDHYAT >ENSMUSP00000084038.3 pep:known chromosome:GRCm38:17:56412431:56462216:-1 gene:ENSMUSG00000013236.16 transcript:ENSMUST00000086828.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprs description:protein tyrosine phosphatase, receptor type, S [Source:MGI Symbol;Acc:MGI:97815] MAPTWSPSVVSVVGPVGLFLVLLARGCLAEEPPRFIREPKDQIGVSGGVASFVCQATGDP KPRVTWNKKGKKVNSQRFETIDFDESSGAVLRIQPLRTPRDENVYECVAQNSVGEITIHA KLTVLREDQLPPGFPNIDMGPQLKVVERTRTATMLCAASGNPDPEITWFKDFLPVDPSAS NGRIKQLRSGALQIESSEETDQGKYECVATNSAGVRYSSPANLYVRVRRVAPRFSILPMS HEIMPGGNVNITCVAVGSPMPYVKWMQGAEDLTPEDDMPVGRNVLELTDVKDSANYTCVA MSSLGVIEAVAQITVKSLPKAPGTPVVTENTATSITVTWDSGNPDPVSYYVIEYKSKSQD GPYQIKEDITTTRYSIGGLSPNSEYEIWVSAVNSIGQGPPSESVVTRTGEQAPASAPRNV QARMLSATTMIVQWEEPVEPNGLIRGYRVYYTMEPEHPVGNWQKHNVDDSLLTTVGSLLE DETYTVRVLAFTSVGDGPLSDPIQVKTQQGVPGQPMNLRAEAKSETSIGLSWSAPRQESV IKYELLFREGDRGREVGRTFDPTTAFVVEDLKPNTEYAFRLAARSPQGLGAFTAVVRQRT LQAISPKNFKVKMIMKTSVLLSWEFPDNYNSPTPYKIQYNGLTLDVDGRTTKKLITHLKP HTFYNFVLTNRGSSLGGLQQTVTARTAFNMLSGKPSVAPKPDNDGFIVVYLPDGQSPVTV QNYFIVMVPLRKSRGGQFPVLLGSPEDMDLEELIQDISRLQRRSLRHSRQLEVPRPYIAA RFSILPAVFHPGNQKQYGGFDNRGLEPGHRYVLFVLAVLQKNEPTFAASPFSDPFQLDNP DPQPIVDGEEGLIWVIGPVLAVVFIICIVIAILLYKNKPDSKRKDSEPRTKCLLNNADLA PHHPKDPVEMRRINFQTPGMLSHPPIPITDMAEHMERLKANDSLKLSQEYESIDPGQQFT WEHSNLEANKPKNRYANVIAYDHSRVILQPLEGIMGSDYINANYVDGYRRQNAYIATQGP LPETFGDFWRMVWEQRSATVVMMTRLEEKSRIKCDQYWPNRGTETYGFIQVTLLDTMELA TFCVRTFSLHKNGSSEKREVRHFQFTAWPDHGVPEYPTPFLAFLRRVKTCNPPDAGPIVV HCSAGVGRTGCFIVIDAMLERIKTEKTVDVYGHVTLMRSQRNYMVQTEDQYGFIHEALLE AVGCGNTEVPARSLYTYIQKLAQVEPGEHVTGMELEFKRLASSKAHTSRFITASLPCNKF KNRLVNILPYESSRVCLQPIRGVEGSDYINASFIDGYRQQKAYIATQGPLAETTEDFWRA LWENNSTIVVMLTKLREMGREKCHQYWPAERSARYQYFVVDPMAEYNMPQYILREFKVTD ARDGQSRTVRQFQFTDWPEQGAPKSGEGFIDFIGQVHKTKEQFGQDGPISVHCSAGVGRT GVFITLSIVLERMRYEGVVDIFQTVKVLRTQRPAMVQTEDEYQFCFQAALEYLGSFDHYA T >ENSMUSP00000076851.3 pep:known chromosome:GRCm38:2:25700043:25703326:1 gene:ENSMUSG00000062061.3 transcript:ENSMUST00000077667.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obp2a description:odorant binding protein 2A [Source:MGI Symbol;Acc:MGI:2387617] MKSLLLTILLLGLVAVLKAQEAPPDDLVDYSGIWYAKAMVHNGTLPSHKIPSIVFPVRII ALEEGDLETTVVFWNNGHCREFKFVMKKTEEPGKYTAFHNTKVIHVEKTSVNEHYIFYCE GRHNGTSSFGMGKLMGRDSGENPEAMEEFKNFIKRMNLRLENMFVPEIGDKCVESD >ENSMUSP00000122370.1 pep:known chromosome:GRCm38:17:70522145:70818545:1 gene:ENSMUSG00000003279.16 transcript:ENSMUST00000133717.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap1 description:discs, large (Drosophila) homolog-associated protein 1 [Source:MGI Symbol;Acc:MGI:1346065] MIDLFKAEWVSSVCVQVSRNGRTDQVWVPQDEWSGYTPRGKDDEIPCRRMRSGSYIKAMG DEDSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVS EVSINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELE SQAVEALDLPLPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTGV IKLSSAVEAQSSTESAQDAYMDGQGQRGDMISQSGLSNSTESLDSMKALTAAIEAANAQI HGPASQHMGSNAAAVTTTTTIATVTTEDRKKDFKKNRCLSIGIQVDDAEEPEKMAESKTS NKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLDFHDNLENSLESIEDNSCPGPMARQFS RDASTSTVSIQGSGNHYHACAADDDFDTDFDPSILPPPDPWIDSITEDPLEAVQRSVCHR DGHWFLKLLQAERDRMEGWCKLMEREERENNLPEDILGKIRTAVGSAQLLMAQKFYQFRE LCEENLNPNAHPRPTSQDLAGFWDMLQLSIENISMKFDELHQLKANNWKQMDPLDKKERR APPPVPKKPAKGPAPLIRERSLESSQRQEARKRLMAAKRAASVRQNSATESAESIEIYIP EAQTRL >ENSMUSP00000117358.1 pep:known chromosome:GRCm38:17:70522162:70818302:1 gene:ENSMUSG00000003279.16 transcript:ENSMUST00000140728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap1 description:discs, large (Drosophila) homolog-associated protein 1 [Source:MGI Symbol;Acc:MGI:1346065] MIDLFKAEWVSSVCVQVSRNGRTDQVPQDEWSGYTPRGKDDEIPCRRMRSGSYIKAMGDE DSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEV SINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQ AVEALDLPLPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTGVIK LSSAVEVSSCITTYKKTPPPVPPRTTTKPFISITAQSSTESAQDAYMDGQGQRGDMISQS GLSNSTESLDSMKALTAAIEAANAQIHGPASQHMGSNAAAVTTTTTIATVTTEDRKKDFK KNRCLSIGIQVDDAEEPEKMAESKTSNKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLD FHDNLENSLESIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPSI LPPPDPWIDSITEDPLEAVQRSVCHRDGHWFLKLLQAERDRMEGWCKLMEREERENNLPE DILGKIRTAVGSAQLLMAQKFYQFRELCEENLNPNAHPRPTSQDLAGFWDMLQLSIENIS MKFDELHQLKANNWKQMDPLDKKERRAPPPVPKKPAKGPAPLIRERSLESSQRQEARKRL MAAKRAASVRQNSATESAESIEIYIPEAQTRL >ENSMUSP00000116716.1 pep:known chromosome:GRCm38:17:69969073:70821413:1 gene:ENSMUSG00000003279.16 transcript:ENSMUST00000133983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap1 description:discs, large (Drosophila) homolog-associated protein 1 [Source:MGI Symbol;Acc:MGI:1346065] MKGLSGSRSHHHGITCEAACDSLSHHSDHKPYLLSPVDHHPADHPYYTQRNSFQAECVGP FSDPLASSTFPRRHYTSQQELKDESALVPRTLATKANRLPTNLLDQFERQLPLSRDGYHT LQYKRTAVEHRSDSPGRIRHLVHSVQKLFTKSHSLEGPSKGSVNGGKASPDESQTLRYGK RSKSKERRSESKARSNASNASPTSPSWWSSDDNLDGDMCLYHTPSGVMTMGRCPDRSASQ YFMEAYNTISEQAVKASRSNNDIKCSTCANLPVTLDAPLLKKSAWSSTLTVSRAREVYQK ASVNMDQAMVKSEACQQERSCQYLQVPQDEWSGYTPRGKDDEIPCRRMRSGSYIKAMGDE DSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEV SINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQ AVEALDLPLPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTVSSC ITTYKKTPPPVPPRTTTKPFISITAQSSTESAQDAYMDGQGQRGDMISQSGLSNSTESLD SMKALTAAIEAANAQIHGPASQHMGSNAAAVTTTTTIATVTTEDRKKDFKKNRCLSIGIQ VDDAEEPEKMAESKTSNKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLDFHDNLENSLE SIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPSILPPPDPWIDS ITEDPLEAVQRSVCHRDGHWFLKLLQAERDRMEGWCKLMEREERENNLPEDILGKIRTAV GSAQLLMAQKFYQFRELCEENLNPNAHPRPTSQDLAGFWDMLQLSIENISMKFDELHQLK ANNWKQMDPLDKKERRAPPPVPKKPAKGPAPLIRERSLESSQRQEARKRLMAAKRAASVR QNSATESAESIEIYIPEAQTRL >ENSMUSP00000052858.5 pep:known chromosome:GRCm38:17:69969246:70819724:1 gene:ENSMUSG00000003279.16 transcript:ENSMUST00000060072.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap1 description:discs, large (Drosophila) homolog-associated protein 1 [Source:MGI Symbol;Acc:MGI:1346065] MKGLSGSRSHHHGITCEAACDSLSHHSDHKPYLLSPVDHHPADHPYYTQRNSFQAECVGP FSDPLASSTFPRRHYTSQQELKDESALVPRTLATKANRLPTNLLDQFERQLPLSRDGYHT LQYKRTAVEHRSDSPGRIRHLVHSVQKLFTKSHSLEGPSKGSVNGGKASPDESQTLRYGK RSKSKERRSESKARSNASNASPTSPSWWSSDDNLDGDMCLYHTPSGVMTMGRCPDRSASQ YFMEAYNTISEQAVKASRSNNDIKCSTCANLPVTLDAPLLKKSAWSSTLTVSRAREVYQK ASVNMDQAMVKSEACQQERSCQYLQVPQDEWSGYTPRGKDDEIPCRRMRSGSYIKAMGDE DSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEV SINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQ AVEALDLPLPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTVSSC ITTYKKTPPPVPPRTTTKPFISITAQSSTESAQDAYMDGQGQRGDMISQSGLSNSTESLD SMKALTAAIEAANAQIHGPASQHMGSNAAAVTTTTTIATVTTEDRKKDFKKNRCLSIGIQ VDDAEEPEKMAESKTSNKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLDFHDNLENSLE SIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPSILPPPDPWIDS ITEDPLEAVQRSVCHRDGHWFLKLLQAERDRMEGWCKLMEREERENNLPEDILGKIRTAV GSAQLLMAQKFYQFRELCEENLNPNAHPRPTSQDLAGFWDMLQLSIENISMKFDELHQLK ANNWKQMDPLDKKERRAPPPVPKKPAKGPAPLIRERSLESSQRQEARKRLMAAKRAASVR QNSATESAESIEIYIPEAQTRL >ENSMUSP00000116072.1 pep:known chromosome:GRCm38:17:69969402:70815797:1 gene:ENSMUSG00000003279.16 transcript:ENSMUST00000146730.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap1 description:discs, large (Drosophila) homolog-associated protein 1 [Source:MGI Symbol;Acc:MGI:1346065] MKGLSGSRSHHHGITCEAACDSLSHHSDHKPYLLSPVDHHPADHPYYTQRNSFQAECVGP FSDPLASSTFPRRHYTSQQELKDESALVPRTLATKANRLPTNLLDQFERQLPLSRDGYHT LQYKRTAVEHRSDSPGRIRHLVHSVQKLFTKSHSLEGPSKGSVNGGKASPDESQTLRYGK RSKSKERRSESKARSNASNASPTSPSWWSSDDNLDGDMCLYHTPSGVMTMGRCPDRSASQ YFMEAYNTISEQAVKASRSNNDIKCSTCANLPVTLDAPLLKKSAWSSTLTVSRAREVYQK ASVNMDQAMVKSEACQQERSCQYLQVPQDEWSGYTPRGKDDEIPCRRMRSGSYIKAMGDE DSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEV SINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQ AVEALDLPLPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTGVIK LSSAVEVSSCITTYKKTPPPVPPRTTTKPFISITAQSSTESAQDAYMDGQGQRGDMISQS GLSNSTESLDSMKALTAAIEAANAQIHGPASQHMGSNAAAVTTTTTIATVTTEDRKKDFK KNRCLSIGIQVDDAEEPEKMAESKTSNKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLD FHDNLENSLESIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPSI LPPPDPWIDSITEDPLEAVQRSVCHRDGHWFLKLLQAERDRMEGWCKLMEREERENNLPE DILGKIRTAVGSAQLLMAQKFYQFRELCEENLNPNAHPRPTSQDLAGFWDMLQLSIENIS MKFDELHQLKANNWKQMDPLDKKVEQCRFCMVHLKPCTNAGQSK >ENSMUSP00000122896.1 pep:known chromosome:GRCm38:17:70424794:70819804:1 gene:ENSMUSG00000003279.16 transcript:ENSMUST00000155016.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap1 description:discs, large (Drosophila) homolog-associated protein 1 [Source:MGI Symbol;Acc:MGI:1346065] MKGLSGSRSHHHGITCEAACDSLSHHSDHKPYLLSPVDHHPADHPYYTQRNSFQAECVGP FSDPLASSTFPRRHYTSQQELKDESALVPRTLATKANRLPTNLLDQFERQLPLSRDGYHT LQYKRTAVEHRSDSPGRIRHLVHSVQKLFTKSHSLEGPSKGSVNGGKASPDESQTLRYGK RSKSKERRSESKARSNASNASPTSPSWWSSDDNLDGDMCLYHTPSGVMTMGRCPDRSASQ YFMEAYNTISEQAVKASRSNNDIKCSTCANLPVTLDAPLLKKSAWSSTLTVSRAREVYQK ASVNMDQAMVKSEACQQERSCQYLQVPQDEWSGYTPRGKDDEIPCRRMRSGSYIKAMGDE DSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEV SINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQ AVEALDLPLPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTGVIK LSSAVEVSSCITTYKKTPPPVPPRTTTKPFISITAQSSTESAQDAYMDGQGQRGDMISQS GLSNSTESLDSMKALTAAIEAANAQIHGPASQHMGSNAAAVTTTTTIATVTTEDRKKDFK KNRCLSIGIQVDDAEEPEKMAESKTSNKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLD FHDNLENSLESIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPSI LPPPDPWIDSITEDPLEAVQRSVCHRDGHWFLKLLQAERDRMEGWCKLMEREERENNLPE DILGKIRTAVGSAQLLMAQKFYQFRELCEENLNPNAHPRPTSQDLAGFWDMLQLSIENIS MKFDELHQLKANNWKQMDPLDKKERRAPPPVPKKPAKGPAPLIRERSLESSQRQEARKRL MAAKRAASVRQNSATESAESIEIYIPEAQTRL >ENSMUSP00000118497.1 pep:known chromosome:GRCm38:17:70516022:70818234:1 gene:ENSMUSG00000003279.16 transcript:ENSMUST00000135938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap1 description:discs, large (Drosophila) homolog-associated protein 1 [Source:MGI Symbol;Acc:MGI:1346065] MKGLSGSRSHHHGITCEAACDSLSHHSDHKPYLLSPVDHHPADHPYYTQRNSFQAECVGP FSDPLASSTFPRRHYTSQQELKDESALVPRTLATKANRLPTNLLDQFERQLPLSRDGYHT LQYKRTAVEHRSDSPGRIRHLVHSVQKLFTKSHSLEGPSKGSVNGGKASPDESQTLRYGK RSKSKERRSESKARSNASNASPTSPSWWSSDDNLDGDMCLYHTPSGVMTMGRCPDRSASQ YFMEAYNTISEQAVKASRSNNDIKCSTCANLPVTLDAPLLKKSAWSSTLTVSRAREVYQK ASVNMDQAMVKSEACQQERSCQYLQVPQDEWSGYTPRGKDDEIPCRRMRSGSYIKAMGDE DSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEV SINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQ AVEALDLPLPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTGVIK LSSAVEAQSSTESAQDAYMDGQGQRGDMISQSGLSNSTESLDSMKALTAAIEAANAQIHG PASQHMGSNAAAVTTTTTIATVTTEDRKKDFKKNRCLSIGIQVDDAEEPEKMAESKTSNK FQSVGVQVEEEKCFRRFTRSNSVTTAVQADLDFHDNLENSLESIEDNSCPGPMARQFSRD ASTSTVSIQGSGNHYHACAADDDFDTDFDPSILPPPDPWIDSITEDPLEAVQRSVCHRDG HWFLKLLQAERDRMEGWCKLMEREERENNLPEDILGKIRTAVGSAQLLMAQKFYQFRELC EENLNPNAHPRPTSQDLAGFWDMLQLSIENISMKFDELHQLKANNWKQMDPLDKKERRAP PPVPKKPAKGPAPLIRERSLESSQRQEARKRLMAAKRAASVRQNSATESAESIEIYIPEA QTRL >ENSMUSP00000122337.1 pep:known chromosome:GRCm38:17:70522136:70821409:1 gene:ENSMUSG00000003279.16 transcript:ENSMUST00000148486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap1 description:discs, large (Drosophila) homolog-associated protein 1 [Source:MGI Symbol;Acc:MGI:1346065] MIDLFKAEWVSSVCVQVSRNGRTDQVPQDEWSGYTPRGKDDEIPCRRMRSGSYIKAMGDE DSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEV SINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQ AVEALDLPLPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTVSSC ITTYKKTPPPVPPRTTTKPFISITAQSSTESAQDAYMDGQGQRGDMISQSGLSNSTESLD SMKALTAAIEAANAQIHGPASQHMGSNAAAVTTTTTIATVTTEDRKKDFKKNRCLSIGIQ VDDAEEPEKMAESKTSNKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLDFHDNLENSLE SIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPSILPPPDPWIDS ITEDPLEAVQRSVCHRDGHWFLKLLQAERDRMEGWCKLMEREERENNLPEDILGKIRTAV GSAQLLMAQKFYQFRELCEENLNPNAHPRPTSQDLAGFWDMLQLSIENISMKFDELHQLK ANNWKQMDPLDKKERRAPPPVPKKPAKGPAPLIRERSLESSQRQEARKRLMAAKRAASVR QNSATESAESIEIYIPEAQTRL >ENSMUSP00000094890.2 pep:known chromosome:GRCm38:17:70561787:70816093:1 gene:ENSMUSG00000003279.16 transcript:ENSMUST00000097288.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap1 description:discs, large (Drosophila) homolog-associated protein 1 [Source:MGI Symbol;Acc:MGI:1346065] MNLIFHKDILLGVSANKVPQDEWSGYTPRGKDDEIPCRRMRSGSYIKAMGDEDSGDSDTS PKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEVSINRSLDS LDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQAVEALDLP LPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTGVIKLSSAVEVS SCITTYKKTPPPVPPRTTTKPFISITAQSSTESAQDAYMDGQGQRGDMISQSGLSNSTES LDSMKALTAAIEAANAQIHGPASQHMGSNAAAVTTTTTIATVTTEDRKKDFKKNRCLSIG IQVDDAEEPEKMAESKTSNKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLDFHDNLENS LESIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPSILPPPDPWI DSITEDPLEAVQRSVCHRDGHWFLKLLQAERDRMEGWCKLMEREERENNLPEDILGKIRT AVGSAQLLMAQKFYQFRELCEENLNPNAHPRPTSQDLAGFWDMLQLSIENISMKFDELHQ LKANNWKQMDPLDKKVEQCRFCMVHLKPCTNAGQSK >ENSMUSP00000098850.2 pep:known chromosome:GRCm38:X:107792590:107872909:1 gene:ENSMUSG00000073007.10 transcript:ENSMUST00000101292.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam46d description:family with sequence similarity 46, member D [Source:MGI Symbol;Acc:MGI:2685223] MSEIRFSNLTWDHIVTLDRVLHEVIPIHGRGNFPTLEVKPKDIIHIVKDQLIKQGIVVKD TRLNGSTASYILASHNGISYKDLDIIFGVDIPSDEEFHVVKDAVLSCLFDFLPKGVKKEK ITLKTMKEAYVQKMVKICNNHDRWSLISLSNNNGKNVELKFVNSLRRQFEFSVDSFQILL DSMLDFYSVPNAKLTKESCPVVVAESMYGDFQEAMIHLKYKLISTRKPEEIRGGGLLKYS NLLVRDFKPACQTEIKTLERYMCSRFFIDFPDVAEQQKKIESYLHNHFIGEAKNKYDYLM TLHGVVNQSTVCLMGYERRQTLNMITLLALKVLGEQNILPSTDNVTCFYQPAPYLVLEGG YPSYYVASGLPLVYFQPCHTVQFPVQNGMM >ENSMUSP00000122208.1 pep:known chromosome:GRCm38:X:107816477:107870559:1 gene:ENSMUSG00000073007.10 transcript:ENSMUST00000143975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam46d description:family with sequence similarity 46, member D [Source:MGI Symbol;Acc:MGI:2685223] MSEIRFSNLTWDHIVTLDRVLHEVIPIHGRGNFPTLEVKPKDIIHIVKDQLIKQGIVVKD TRLNGSTASYILASHNGISYKD >ENSMUSP00000119588.1 pep:known chromosome:GRCm38:X:107816572:107870400:1 gene:ENSMUSG00000073007.10 transcript:ENSMUST00000144695.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam46d description:family with sequence similarity 46, member D [Source:MGI Symbol;Acc:MGI:2685223] MSEIRFSNLTWDHIVTLDRVLHEVIPIHG >ENSMUSP00000129483.1 pep:known chromosome:GRCm38:X:107816572:107872909:1 gene:ENSMUSG00000073007.10 transcript:ENSMUST00000167154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam46d description:family with sequence similarity 46, member D [Source:MGI Symbol;Acc:MGI:2685223] MSEIRFSNLTWDHIVTLDRVLHEVIPIHGRGNFPTLEVKPKDIIHIVKDQLIKQGIVVKD TRLNGSTASYILASHNGISYKDLDIIFGVDIPSDEEFHVVKDAVLSCLFDFLPKGVKKEK ITLKTMKEAYVQKMVKICNNHDRWSLISLSNNNGKNVELKFVNSLRRQFEFSVDSFQILL DSMLDFYSVPNAKLTKESCPVVVAESMYGDFQEAMIHLKYKLISTRKPEEIRGGGLLKYS NLLVRDFKPACQTEIKTLERYMCSRFFIDFPDVAEQQKKIESYLHNHFIGEAKNKYDYLM TLHGVVNQSTVCLMGYERRQTLNMITLLALKVLGEQNILPSTDNVTCFYQPAPYLVLEGG YPSYYVASGLPLVYFQPCHTVQFPVQNGMM >ENSMUSP00000108163.1 pep:known chromosome:GRCm38:5:109923400:109943936:1 gene:ENSMUSG00000090015.8 transcript:ENSMUST00000112544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15446 description:predicted gene 15446 [Source:MGI Symbol;Acc:MGI:3709333] MDALTYDDVYVNFTQEEWALLNPSQKSLYKDVMLETYRNLNAVGYNWEDSNIEEHCESSR RHGRHERSHTGEKPFEGIQYGEAFVHHSSLQMRKIVHTGEKRYKCNQCDKAYSRHSILQI HKRTHSGEKPYECNQCDKAYSRHSILQIHKRTHSGEKPYECNQCGKAFARHSHLKIHMVT HTGEKPYKCDQCGKAFAFHSTLQVHKRTHTGEKPYECNQCSKAFAHHCHLRVHKRIHTGE KPYKCDQCGKAFVGQNDLKRHERVHTGEKPYKCNECGKAFVCNASLRTHKTTHTGVKPYE CKQCTKSFASHGQLQKHERIHTGEKPYKCDQCGKAFASHDKLQKHERIHTGEKPYKCKQC TKSFASHDKLQKHERIHTGEKPYECKQCTKSFASHNKLQKHERIHTGEKPYKCDQCSKAF VYENYLQVHKKTHTGEKHYKCNECGKAFARHSHLKVHKITHTGEKPYKCNQCGKALAYHS TLQVHQRTHTGEKPYECEQCGKAFANQSYFQVHKRIHTGEKPYKCDQCGKAFVGSSDLRK HERVHTGRETLQM >ENSMUSP00000127438.1 pep:known chromosome:GRCm38:5:109940426:109940804:1 gene:ENSMUSG00000090015.8 transcript:ENSMUST00000170826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15446 description:predicted gene 15446 [Source:MGI Symbol;Acc:MGI:3709333] DALTYDDVYVNFTQEEWALLNPSQKSLYKDVMLETYRNLNAVGYNWEDSNIEEHCESSRR HGR >ENSMUSP00000088288.3 pep:known chromosome:GRCm38:3:96220361:96220880:-1 gene:ENSMUSG00000068855.3 transcript:ENSMUST00000090782.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist2h2ac description:histone cluster 2, H2ac [Source:MGI Symbol;Acc:MGI:2448316] MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKK TESHKAKSK >ENSMUSP00000092571.5 pep:known chromosome:GRCm38:1:53397006:53706761:-1 gene:ENSMUSG00000096141.2 transcript:ENSMUST00000094964.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah7a description:dynein, axonemal, heavy chain 7A [Source:MGI Symbol;Acc:MGI:2685838] MSSKKDKLSTKGKSKTPVRFLPQLPMDKLSSKEKVKLPTTVLPQLSLTGVKPQWQQTAPS FHLNIKQENPVLEPYTVKNEQSFAEYMEHCQKKGKLLDQIDDDRSAPSTSRSKIKSPQKE RENLRSTLAKVIMQKDGGLESDVIDESGIPKETTSATEKDISRYYYYIRQGLDTDHVAPM EDSWLEHVLQLVPEHLKVLTNSIKVLSDEIREDYLLSVKKSIVDFVLKDPREKDDDGKIT ELPPHRAEMEVLPKPWRRSFLSACSYIRDHLNAMNPMMLAVLDLWHSTFKKLRLVDIEEF HSCQDALELSEFQNIVIKHMESAKETLLKTWFPEVQNIFNQGNKKKQLPTGKSTAKLDSF FNCAATLMTLQLQDLILVSMQDFTDLIAQPLESIRVFEHPGFIMRLVLDKEAIKFEPEFN EYIDSLINIYEIMIKAVSFVPRVETKLYSQWESKSKPTTLKPIILNEIIDTHKEKIREVI LRESVAPTEHLKMYDKYQFLITGKAERDIDELLFQNQNYERLIEEIRKYQKLGEEIQYTS QKTVCLGMFEMHCEELIRSLVKRADVICGKLIAKMFRDHQEVNTMLCDEFEKIAEKALST PLNTAELMEMKAHVQKLETTDMLELGQRLVDSKICLAFLIECVNFSPADIRLNKSVFQWY GRMGEIFDEHRKIIKEKTELYQEFLKFRCERFVEELESYAKQVEEFHAFGDLLDVQRYLQ KAQVLNGKLDAAADKIDQFNAEEEAFGWVPSAYPQRKKIQDALNPYLHLYETAVEFSTKH RGWTEGPYHKVNPDQVEADVGNYWRGLYKLEKVFHDSPNALAMTKKVHSMVEEFKQYIPL IQVFCNPGLRPRHWEAMSTIVGYPLQPSDDSTVFSFIDMNLEPFLDRFGSISEAASKEYS LEKAMDKMMTEWDSMEFVILPYRESGTYILSSVDDIQMLLDDHIIKTQTMRGSPFIKPYE KQMREWEGKLLLLQEILDEWLKVQATWLYLEPIFSSRDIMSQMPEEGRRFTAVDKTWRDV MKTVVQDKQVLAVVTIERMLERLKKSNELLELILKGLNEYLEKKRLFFPRFFFLSNDELL EILSETKDPTRVQPHLKKCFEGIAKVEFTETLDITHMKSSEGEVVELVDTISTAKARGQV EKWLVELERTMIKSIHKVIRDAIVAYTKTSRISWVRDWPGQTVLCVSQTFWTVEVQIAIP EGHRALEGYLAKCNHQIDDIVTLVRGKLSKQNRVTLGALVVLDVHARDVLASLVDKKISD DSDFQWLSQLRYYWQENNLETKMINAGLRYGYEYLGNSPRLVITPLTDRCYRTLFGALHL HLGGAPEGPAGTGKTETTKDLAKAVAKQCVVFNCSDGLDYLALGKFFKGLLSCGAWACFD EFNRIDLEVLSVVAQQILTIQRGINAGTDLLVFEGTELKLDPTCAVFITMNPGYAGRSEL PDNLKALFRTVAMMVPDYAMIAEIVLYSCGFVTARPLSIKIVATYRLCSEQLSSQHHYDY GMRAVKSVLTAAGNLKLKYPNENEEILLLRSIIDVNLPKFLSHDLPLFEGITSDLFPGVK LPKPDYNDLLAAIRDNCHSMNLQMTDFFSEKILQIYEMMIVRHGFMIVGEPFGGKTSAYR VLAGALNDICEKGLMEENKVQITVLNPKSVTMGQLYGQFDLVSHEWSDGVLAVSFRAFAA SSTPDRKWLIFDGPVDAVWIENMNTVLDDNKKLCLMSGEIIQMSPQMNLIFEPMDLEVAS PATVSRCGMIYMEPHMLGWRPLMVSWINTLPQSVSIIQKEFIEGLFDRMVPLSVEFIRRH TKELSPTSDTNLVRSLMNLIDCFMDDFADENKQKERNDRESFSLLEGIFLFSLIWSVGAT CTDDDRLKFDKILRELLEGPISNLTRNKFKLLSGTEQTSSKVFIVPFPEKGTIYDYQFIP EGLGRWDKWIKDLADTPPIPKDVQFNEIIVPTLDTIRYSALMNLLTTHQKPSIFVGPTGT GKSVYIINFLLNQLNKDIYKPLIVNFSAQTTAAQTQNIIMSKLDKRRKGVFGPPLGKRMI VFVDDVNMPAREVYGAQPPIELLRQWLDHWNWYDLKDCSVIKLVDIQIMCAMGPPGGGRN PITPRYMRHFNIVTINEFSNKSMFTIFSRILAWHLRTCYKFPDDFLDLTTQIVNGTMALY KDAMKNLLPTPAKSHYLFNLRDFSRVIQGVCLSRPETAENKEAIKRLWVHEVLRVYYDRL VDNADRSWLINYIQEILKNYMQEDFHDLFKNLDFNHDGTVEEDDLRSLMFCDFHDPKRED FGYREIANVDALRMIVEGHLDEYNNMSKKPMNLVLFRFAIEHISRISRILKQPRSHALLV GVGGSGRQSVTRLAAHMADYSLFQVEISKGYGSHEWHEDLKVILRKCAESDMQGVFLFTD TQIKRESFLEDVNNLLNAGEVPNLFALDEKQEICEKMRQIDRQRDKSKQTDGSPIALFNM FIDCCRNQLHVVLAMSPIGDAFRIRLRKFPALVNCCTIDWFQSWPEDALEAVASRFLEDI EMSEEIREGCIDMCKRFHTSTINLSTSFHNELQRYNYVTPTSYLELISTFKLLLEKKRNE VMKMKRRYEVGLDKLDSASSQVATMQSELEALHPQLKVASREVDEMMIIIERESMEVAKT EKIVKADETVANDQAMAAKAIKDECDADLAGALPILESALAALDTLTAQDITVVKSMKSP PAGVKLVMEAVCILKGIKADKIPDPTGSGKKTEDFWGPAKRLLGDIRFLQSLHEYDKDNI PPAYMNIIRKSYIPNPDFVPEKIRNASTAAEGLCKWVIAMDSYDKVAKIVAPKKIKLAAA EGKLKVAMEGLRKKQAALHEVQDKLAKLQDTLELNKQKKADLEHQVDLCSKKLERAEQLI GGLGGEKTRWSNSALELGHLYINLTGDILISSGVVAYLGAFTSNYRQNQTKQWSQSCKER DIPCSDDYSLMGTLGEAVTIRAWNIAGLPSDSFSIDNGIIIMNARRWPLMIDPQGQANKW IKNMEKTNSLQLIKLSDPSYVRTLENCIQFGTPVLLENVGEELDPILEPLLLKQTFKQGG STCIRLGDSTIEYSPDFRFYITTKLRNPHYLPETSVKVTLLNFMITPEGMQDQLLGIVVA RERPDLEEEKQALILQGADNKRQLKEIEDKILEVLSLSEGNILEDETAIKILSSSKSLAN EISQKQEVAEETEKKIDITRMGYRPIAIHSSILFFSIADLANIEPMYQYSLTWFINLFIL SIENSEKSDILSKRLQILRDHFTYSLYVNICRSLFEKDKLLFSFCLTVNLLIHDNAINKT EWRFLLTGGIGLDNPYTNPCTWLPQKSWDEICRLDDLPAFKTIREEFMRLKDGWKKVYDS MEPHHEMFPEDWGNKANDFQRMLIIRCLRPDKVIPMLQEFIIKKLGRSFIEPPPFDLAKA FGDSNCCAPLIFVLSPGADPMNALLKFADDQGYGGSKLSSLSLGQGQGPIAMKMLEKAVK DGTWVVLQNCHLATSWMPTLEKVCEELSAESTHPDFRIWLTSYPSPNFPVSVLQNGVKMT NEAPKGLRANIIRSYLMDPISDPEFFGSCKKPEEFKKLLYGLCFFHALVQERRKFGPLGW NIPYEFNETDLRISVQQLHMFLDQYEELPYDALRYMTGECNYGGRVTDDWDRRTLRSILN KFFCTELVENPQYKFDSSGIYFVPPSGDHKSYIDYTKTLPLIPAPEVFGMNANADITKDQ SETQLLFDNILLTQSHSSGSGTKSSDEVVNEVAGDILGKLPNNFDVEAAMRRYPTTYTQS MNTVLVQEMGRFNKLLITIRESCINIQKAVKGLVVMSTELEEVVSSILNVKIPAMWMGKS YPSLKPLGSYVNDFLERLKFLQQWYEVGPPPVFWLSGFFFTQAFLTGAQQNYARKFTIPI DLLGFDYEVMDDKEYKKAPEDGVYIHGLFLDGASWDRKTKKLAESHPKVLYDTVPVMWLK PCKKSDIPRRPSYVAPLYKTSERRGTLSTTGHSTNFVIAMILPSVHPKEHWIGRGVALLC QLNS >ENSMUSP00000129242.1 pep:known chromosome:GRCm38:17:45585200:45592575:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000163492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAIASGSELSESAFGYFITACAVVILAILCYLALPRTEFYRHYLQLNL AGPAEQETKLDLIREEPKGRREESGVPGPNSPPTNRNQSIKAILKSICVPALSVCFIFTV TIGLFPAVTAEVESSIAGTSPWKSYFIPVACFLNFNVFDWLGRSLTAVCMWPGQDSRWLP VLVASRIVFIPLLMLCNVKARHCGAQRHHFVFKHDAWFIAFMAAFAFSNGYLASLCMCFG PKKVKPAEAETAGNIMSFFLCLGLALGAVLSFLLRALV >ENSMUSP00000126703.1 pep:known chromosome:GRCm38:17:45585200:45599603:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000171847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAIASGSELSESAFGYFITACAVVILAILCYLALPRTEFYRHYLQLNL AGPAEQETKLDLIREEPKGRREESGVPGPNSPPTNRNQSIKAILKSICVPALSVCFIFTV TIGLFPAVTAEVESSIAGTSPWKSYFIPVACFLNFNVFDWLGRSLTAVCMWPGQDSRWLP VLVASRIVFIPLLMLCNVKARHCGAQRHHFVFKHDAWFIAFMAAFAFSNGYLASLCMCFG PKKVKPAEAETAGNIMSFFLCLGLALGAVLSFLLRALV >ENSMUSP00000131976.1 pep:known chromosome:GRCm38:17:45585202:45593640:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000167692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAIASGSELSESAFGYFITACAVVILAILCYLALPRTEFYRHYLQLNL AGPAEQETKLDLISKGEEPKGRREESGVPGPNSPPTNRNQSIKAILKSICVPALSVCFIF TVTIGLFPAVTAEVESSIAGTSPWKSYFIPVACFLNFNVFDWLGRSLTAVCMWPGQDSRW LPVLVASRIVFIPLLMLCNVKARHCGAQRHHFVFKHDAWFIAFMAAFAFSNGYLASLCMC FGPKKVKPAEAETAGNIMSFFLCLGLALGAVLSFLLRALV >ENSMUSP00000063757.6 pep:known chromosome:GRCm38:17:45585203:45595570:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000064889.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAIASGSELSESAFGYFITACAVVILAILCYLALPRTEFYRHYLQLNL AGPAEQETKLDLIREEPKGRREESGVPGPNSPPTNRNQSIKAILKSICVPALSVCFIFTV TIGLFPAVTAEVESSIAGTSPWKSYFIPVACFLNFNVFDWLGRSLTAVCMWPGQDSRWLP VLVASRIVFIPLLMLCNVKARHCGAQRHHFVFKHDAWFIAFMAAFAFSNGYLASLCMCFG PKKVKPAEAETAGNIMSFFLCLGLALGAVLSFLLRALV >ENSMUSP00000094923.3 pep:known chromosome:GRCm38:17:45585203:45595903:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000097317.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAIASGSELSESAFGYFITACAVVILAILCYLALPRTEFYRHYLQLNL AGPAEQETKLDLISKGEEPKGRREESGVPGPNSPPTNRNQSIKAILKSICVPALSVCFIF TVTIGLFPAVTAEVESSIAGTSPWKSYFIPVACFLNFNVFDWLGRSLTAVCMWPGQDSRW LPVLVASRIVFIPLLMLCNVKARHCGAQRHHFVFKHDAWFIAFMAAFAFSNGYLASLCMC FGPKKVKPAEAETAGNIMSFFLCLGLALGAVLSFLLRALV >ENSMUSP00000128763.1 pep:known chromosome:GRCm38:17:45585542:45592497:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000166119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAIASGSELSESAFGYFITACAVVILAILCYLALPRTEFYRHYLQLNL AGPAEQETKLDLISKGEEPKGRREESGVPGPNSPPTNRNQSIKAILKSICVPALSVCFIF TVTIGLFPAVTAEVESSIAGTSPWKSYFIPVACFLNFNVFDWLGRSLTAVCMWPGQDSRW LPVLVASRIVFIPLLMLCNVKARHCGAQRHHFVFKHDAWFIAFMAAFAFSNGYLASLCMC FGPKKVKPAEAETAGNIMSFFLCLGLALGAVLSFLLRALV >ENSMUSP00000131116.1 pep:known chromosome:GRCm38:17:45585671:45592262:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000164769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAIASGSELSESAFGYFITACAVVILAILCYLALPRTEFYRHYLQLNL AGPAEQETKLDLISKGEEPKGRREESGVPGPNSPPTNRNQSIKAILKSICVPALSVCFIF TVTIGLFPAVTAEVESSIAGTSPWKSYFIPVACFLNFNVFDWLGRSLTAVCMWESQTS >ENSMUSP00000131217.1 pep:known chromosome:GRCm38:17:45588366:45595502:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000171081.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAIASGSELSESAFGYFITACAVVILAILCYLALPRTEFYRHYLQLNL AGPAEQETKLDLISKGEEPKGR >ENSMUSP00000131646.1 pep:known chromosome:GRCm38:17:45588367:45595602:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000164217.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAIASGSELSESAFGYFITACAVVILAILCYLALPRTEFYRHYLQLNL AGPAEQETKLDLISKGEEPKGR >ENSMUSP00000131075.1 pep:known chromosome:GRCm38:17:45589417:45599604:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000166633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAI >ENSMUSP00000126934.1 pep:known chromosome:GRCm38:17:45589517:45595852:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000164618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLF >ENSMUSP00000129240.1 pep:known chromosome:GRCm38:17:45589523:45593634:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000163905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQAS >ENSMUSP00000127343.1 pep:known chromosome:GRCm38:17:45589697:45599606:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000169729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTATKYFTNRLDVSQNVSSDTDQSCESTKALADPTVALPARSSLSAIFNNVMTLCAMLPL LVFTCLNSFLHQRISQSVRILGSLLAILLVFLVTAALVKVEMDALIFFVITMIK >ENSMUSP00000133162.1 pep:known chromosome:GRCm38:17:45589722:45595556:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000167195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTATKYFTNRLDVSQNVSSDTDQSCESTKALADPTVALPARSSLSAIFNNVMTLCAMLPL LVFTCLNSFLHQRISQSVRILGSLLAILLVFLVTAALVKVEMDAL >ENSMUSP00000129345.1 pep:known chromosome:GRCm38:17:45589772:45595502:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000172301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL L >ENSMUSP00000131483.1 pep:known chromosome:GRCm38:17:45589957:45595541:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000167332.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLL >ENSMUSP00000128999.1 pep:known chromosome:GRCm38:17:45590023:45595543:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000170488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTATKYFTNRLDVSQNVSSDTDQSCESTKALADPTVALP >ENSMUSP00000128304.1 pep:known chromosome:GRCm38:17:45590069:45595957:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000170113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQS >ENSMUSP00000127754.1 pep:known chromosome:GRCm38:17:45590112:45597066:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000168274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNR >ENSMUSP00000063096.6 pep:known chromosome:GRCm38:17:45585203:45595572:-1 gene:ENSMUSG00000023942.15 transcript:ENSMUST00000051574.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a1 description:solute carrier family 29 (nucleoside transporters), member 1 [Source:MGI Symbol;Acc:MGI:1927073] MTTSHQPQDRYKAVWLIFFVLGLGTLLPWNFFMTATKYFTNRLDVSQNVSSDTDQSCEST KALADPTVALPARSSLSAIFNNVMTLCAMLPLLVFTCLNSFLHQRISQSVRILGSLLAIL LVFLVTAALVKVEMDALIFFVITMIKIVLINSFGAILQASLFGLAGVLPANYTAPIMSGQ GLAGFFTSVAMICAIASGSELSESAFGYFITACAVVILAILCYLALPRTEFYRHYLQLNL AGPAEQETKLDLISKGEEPKGRREESGVPGPNSPPTNRNQSIKAILKSICVPALSVCFIF TVTIGLFPAVTAEVESSIAGTSPWKSYFIPVACFLNFNVFDWLGRSLTAVCMWPGQDSRW LPVLVASRIVFIPLLMLCNVKARHCGAQRHHFVFKHDAWFIAFMAAFAFSNGYLASLCMC FGPKKVKPAEAETAGNIMSFFLCLGLALGAVLSFLLRALV >ENSMUSP00000113879.1 pep:known chromosome:GRCm38:5:147878437:147894815:-1 gene:ENSMUSG00000029650.10 transcript:ENSMUST00000118527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc46a3 description:solute carrier family 46, member 3 [Source:MGI Symbol;Acc:MGI:1918956] MKISFIEPAILLNAFAMTLTIPLTAQYVYRRIWEETGNYTFASNSNGSECDQNKSSSIFA FREEVQKKASLFNLQVEMSALIPGLVSTFMLLASSDNHGRKLPMVLSSLGSLGTNTWLCM MSYFDLPLQLLIASTFIGALFGNYTTFWGACFAYIVDQQKEYKHRIIRIAILDFMLGVVT GLTGLSSGYFIRELGFVWSYFITAMVLIVNLAYILFFLNDPIKESSSQIVTMSCIESLKD LFYRTYMLFKNGSSKRQALLCLLIFTLVIYFFVIIGISPIFTLYELGPPLCWNEVYIGYG SALGSVSFLSSFLGIWLFSYCLKDIHIAYIGIFTTMVGMTLAAFTRTTLMMFLVRIPFIF TIMPLSVLRSMLSKVVHSTEQGALFACIAFLETLAGVTSTSAYSGIYSATVAWYPGFIFL LSAGLLVLPAISLCCVKSIGWEEGSYTLLVHEEPSEHTSD >ENSMUSP00000031655.3 pep:known chromosome:GRCm38:5:147878441:147894815:-1 gene:ENSMUSG00000029650.10 transcript:ENSMUST00000031655.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc46a3 description:solute carrier family 46, member 3 [Source:MGI Symbol;Acc:MGI:1918956] MKISFIEPAILLNAFAMTLTIPLTAQYVYRRIWEETGNYTFASNSNGSECDQNKSSSIFA FREEVQKKASLFNLQVEMSALIPGLVSTFMLLASSDNHGRKLPMVLSSLGSLGTNTWLCM MSYFDLPLQLLIASTFIGALFGNYTTFWGACFAYIVDQQKEYKHRIIRIAILDFMLGVVT GLTGLSSGYFIRELGFVWSYFITAMVLIVNLAYILFFLNDPIKESSSQIVTMSCIESLKD LFYRTYMLFKNGSSKRQALLCLLIFTLVIYFFVIIGISPIFTLYELGPPLCWNEVYIGYG SALGSVSFLSSFLGIWLFSYCLKDIHIAYIGIFTTMVGMTLAAFTRTTLMMFLVRIPFIF TIMPLSVLRSMLSKVVHSTEQGALFACIAFLETLAGVTSTSAYSGIYSATVAWYPGFIFL LSAGLLVLPAISLCCVKSIGWEEGSYTLLVHEEPSEHTSD >ENSMUSP00000120032.1 pep:known chromosome:GRCm38:5:147893881:147894815:-1 gene:ENSMUSG00000029650.10 transcript:ENSMUST00000138244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc46a3 description:solute carrier family 46, member 3 [Source:MGI Symbol;Acc:MGI:1918956] MKISFIEPAILLNAFAMTLTIPLTAQYVYRRIWEETGNYTFASNSNG >ENSMUSP00000107627.1 pep:known chromosome:GRCm38:2:73908447:73911325:-1 gene:ENSMUSG00000018770.9 transcript:ENSMUST00000111996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5g3 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit C3 (subunit 9) [Source:MGI Symbol;Acc:MGI:2442035] MFACAKLARTPALIRAGSRVAYRPISASVLSRPETRTGEGSTVFNGAQNGVCQLIRREFQ TSVISRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGF ALSEAMGLFCLMVAFLILFAM >ENSMUSP00000018914.2 pep:known chromosome:GRCm38:2:73908447:73911326:-1 gene:ENSMUSG00000018770.9 transcript:ENSMUST00000018914.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5g3 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit C3 (subunit 9) [Source:MGI Symbol;Acc:MGI:2442035] MFACAKLARTPALIRAGSRVAYRPISASVLSRPETRTGEGSTVFNGAQNGVCQLIRREFQ TSVISRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSYAILGF ALSEAMGLFCLMVAFLILFAM >ENSMUSP00000022310.6 pep:known chromosome:GRCm38:14:16249280:16311926:1 gene:ENSMUSG00000021785.7 transcript:ENSMUST00000022310.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngly1 description:N-glycanase 1 [Source:MGI Symbol;Acc:MGI:1913276] MASATLGSSSSSASPAVAELCQNTPETFLEASKLLLTYADNILRNPSDEKYRSIRIGNTA FSTRLLPVRGAVECLFEMGFEEGETHLIFPKKASVEQLQKIRDLIAIERSSRLDGSSKKV QFSQHPAAAKLPLEQSEDPAGLIRHSGNQTGQLPSLPSAPMVVGDSTILKVLQSNIQHVQ LYENPVLQEKALTCIPVSELKRKAQEKLFRARKLDKGTNVSDEDFLLLELLHWFKEEFFR WVNNIVCSKCGGETRSRDEALLPNDDELKWGAKNVENHYCDACQLSNRFPRYNNPEKLLE TRCGRCGEWANCFTLCCRALGFEARYVWDYTDHVWTEVYSPSQQRWLHCDACEDVCDKPL LYEIGWGKKLSYIIAFSKDEVVDVTWRYSCKHDEVMSRRTKVKEELLRETINGLNKQRQL SLSESRRKELLQRIIVELVEFISPKTPRPGELGGRVSGSLAWRVARGETGLERKEILFIP SENEKISKQFHLRYDIVRDRYIRVSDNNINISGWENGVWKMESIFRKVEKDWNMVYLARK EGSSFAYISWKFECGSAGLKVDTVSIRTSSQSFESGSVRWKLRSETAQVNLLGDKNLRSY NDFSGATEVTLEAELSRGDGDVAWQHTQLFRQSLNDSGENGLEIIITFNDL >ENSMUSP00000129055.1 pep:known chromosome:GRCm38:9:106463962:106465938:-1 gene:ENSMUSG00000091735.2 transcript:ENSMUST00000164834.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr62 description:G protein-coupled receptor 62 [Source:MGI Symbol;Acc:MGI:3525078] MANGSGLSVTELAGSVGFILAVLVEVGAVLGNGTLLVVVLRTPDLQDAFYLAHLCVVDLL AAASIMPLGLLAAPPGLGTVPLDPSSCRAARFLSAALLPACTLGVAALGLARYRLIVHPL RPGARPAPALVLTAVWSAAALLGALSLLGPPPAPPPAPARCSVLAGGLGPFRPLWAMLAF ALPALLLLAAYGSIFLVARRAALRPPRGTRPRSDSLDSRLSFLPPLRPRLLGGKAALAPA LAVGQFAACWLPYGCACLAPAARAAAAEATVTWVAYSAFAAHPFLYGLLQRPVRLALGRL TRRALPRAPKACTSQAWHLQTLLRRLQELRKDPVLGPSEAPEQARELARQTPSVSEAT >ENSMUSP00000004120.2 pep:known chromosome:GRCm38:11:26386135:26471876:1 gene:ENSMUSG00000004018.9 transcript:ENSMUST00000004120.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancl description:Fanconi anemia, complementation group L [Source:MGI Symbol;Acc:MGI:1914280] MDEAEASLLRHFPLLLPQNREKTVYEGFISAQGSDFHLRIVLPKDLQLKKARLLCSLQLK NILNEYHQVVQQRMKHSPDLMSFMMELKMILEVALKNKQELCVQPPSCSFCKDLLTEIGA IGWDKLACVESSFSTIKLKADDASGRKHLITVKLKAKYPVEPPDCVVDFPVPFSVSWTPQ SSLVDVYSQFLVALETLKVFWDVMDEIDEKTWVLEPEKPPRSATARRIALGKNVSIAIEV DPRHPTMLPEFCFLGADHVTKPLGMKLSGSIHLWDPENSLLQNLKDVLEIDFPARSILEE SDFSMDCGICYARHLNGAIPDQVCNNPQCGQPFHEICLYEWLRGLSTSRQSFNVFFGDCP YCSKPITLKMSGRKP >ENSMUSP00000105135.1 pep:known chromosome:GRCm38:11:26387202:26471421:1 gene:ENSMUSG00000004018.9 transcript:ENSMUST00000109509.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancl description:Fanconi anemia, complementation group L [Source:MGI Symbol;Acc:MGI:1914280] MDEAEASLLRHFPLLLPQNREKTVYEGFISAQGSDFHLRIVLPKDLQLKKARLLCSLQLK NILNEYHQVVQQRMKHSPDLMSFMMELKMILEVALKNKQELCVQPPSCSFCKDLLTEIGA IGWDKLACVESSFSTIKLKADDASGRKHLITVKLKAKYPVEPPDCVVDFPVPFSVSWTPQ SSLVDVYSQFLVALETLKVFWDVMDEIDEKTWVLEPEKPPRSATARRIALGKNVSIAIEV DPRHPTMLPEFCFLGADHVTKPLGMKLSGSIHLCLLQNLKDVLEIDFPARSILEESDFSM DCGICYARHLNGAIPDQVCNNPQCGQPFHEICLYEWLRGLSTSRQSFNVFFGDCPYCSKP ITLKMSGRKP >ENSMUSP00000117073.1 pep:known chromosome:GRCm38:11:26387202:26422460:1 gene:ENSMUSG00000004018.9 transcript:ENSMUST00000136830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancl description:Fanconi anemia, complementation group L [Source:MGI Symbol;Acc:MGI:1914280] MDEAEASLLRHFPLLLPQNREKTVYEGFISAQGSDFHLRIVLPKDLQLKKARLLCSLQLK NILNEYHQVVQQEVALKNKQELCVQPPSCSFCKDLLTEIGAIGWDKLACVESSFSTIKLK ADD >ENSMUSP00000119873.1 pep:known chromosome:GRCm38:11:26468335:26471430:1 gene:ENSMUSG00000004018.9 transcript:ENSMUST00000134445.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancl description:Fanconi anemia, complementation group L [Source:MGI Symbol;Acc:MGI:1914280] XKNVSIAIEVDPRHPTMLPEFCFLGADHVTKPLGMKLSGSIHLWDPENSLLQNLKDVLEI DFPARSILEESDFSMDCGICYARHLNGAIPDQVCNNPQCGQPFHEICLYENKASQSPSGW HVSADMIYTFFCAVAERVEHQQTEF >ENSMUSP00000088287.6 pep:known chromosome:GRCm38:3:96221119:96223738:1 gene:ENSMUSG00000068854.7 transcript:ENSMUST00000090781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist2h2be description:histone cluster 2, H2be [Source:MGI Symbol;Acc:MGI:2448415] MPELAKSAPAPKKGSKKAVTKAQKKDGKKRKRSRKESYSIYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIANEASRLAHYNKRSTITSREIQTSVRLLLPGELAKHAVSEGTKAVT KYTSAK >ENSMUSP00000066496.4 pep:known chromosome:GRCm38:14:50618161:50619265:1 gene:ENSMUSG00000053815.4 transcript:ENSMUST00000066457.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr744 description:olfactory receptor 744 [Source:MGI Symbol;Acc:MGI:3030578] MKIFSSPSNSSTITGFILLGFPCPREGQILLFVLFSIVYLLTLMGNASIICAVYCDQKLH IPMYLLLANFSFLEIWYVTSTVPNMLANFLSDTKVISFSGCFLQFYFFFSLGSTECFFLA VMAFDRYLAICRPLHYPALMTGRLCNILVISCWILGFLWFPVPIIIISQVSFCGSRIIDH FLCDPGPLLALTCKKSPLIELVFSILSPLPLIIPFVFIMGSYTLVLAAVLKVPSASGKRK AFSTCGSHLAVVALFYGSVLVMYGSPTSEHEAGMQKIVTLFYSVLTPLLNPVIYSLRNKH MKIALKEILRKIKNWSTKKALGN >ENSMUSP00000138215.1 pep:known chromosome:GRCm38:7:50599190:50600530:1 gene:ENSMUSG00000084234.2 transcript:ENSMUST00000119710.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933405O20Rik description:RIKEN cDNA 4933405O20 gene [Source:MGI Symbol;Acc:MGI:2142174] MLAVTSCSMKTVLQYAVFLGHSREVVCELVTSFRSFCSHCAVPPSPKYGGRHTVAMIPGD GIGPELMVHVKKIFRSNCVPVDFEEVWVTSTSNEEEINNALMAIRRNRVALKGNIATNHN LPARYKSHNTKFRTILDLYASVVHFKTFPGVMTRHKDIDILVVRENTEGEYTNLEHESVK GVVESLKIVTKTKSVRIADYAFKLAQKMGRKKVTVVHKANIMKLGDGLFLQCCKDVAAHY PQITLESMIIDNTTMQLVSKPQQFDVMVMPNLYGNIINSICTGLVGGSGIVPGANYGDSY AIFEMGSKEIGKDLAHRNIANPVAMLLTSCIMLDYLDLQPYATHIRSAVMASLQNKAVCT PDIGGQGNTASTVEYILHHMKEQTSGCHPNFFLQFT >ENSMUSP00000075082.6 pep:known chromosome:GRCm38:7:79875325:79920649:-1 gene:ENSMUSG00000063801.7 transcript:ENSMUST00000075657.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3s2 description:adaptor-related protein complex 3, sigma 2 subunit [Source:MGI Symbol;Acc:MGI:1337060] MIQAILVFNNHGKPRLVRFYQRFPEEIQQQIVRETFHLVLKRDDNICNFLEGGSLIGGSD YKLIYRHYATLYFVFCVDSSESELGILDLIQVFVETLDKCFENVCELDLIFHMDKVHYIL QEVVMGGMVLETNMNEIVAQIEAQNRLEKSEGGLSAAPARAVSAVKNINLPEIPRNINIG DLNIKVPNLSQFV >ENSMUSP00000146264.1 pep:known chromosome:GRCm38:7:79882511:79920596:-1 gene:ENSMUSG00000063801.7 transcript:ENSMUST00000206725.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap3s2 description:adaptor-related protein complex 3, sigma 2 subunit [Source:MGI Symbol;Acc:MGI:1337060] MIQAILVFNNHGKPRLVRFYQRFVLTPYPWLNWNLLCRPGWH >ENSMUSP00000028607.6 pep:known chromosome:GRCm38:2:103762941:103797649:-1 gene:ENSMUSG00000027184.14 transcript:ENSMUST00000028607.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caprin1 description:cell cycle associated protein 1 [Source:MGI Symbol;Acc:MGI:1858234] MPSATSHSGSGSKSSGPPPPSGSSGSEAAAGAAAPASQHPATGTGAVQTEAMKQILGVID KKLRNLEKKKGKLDDYQERMNKGERLNQDQLDAVSKYQEVTNNLEFAKELQRSFMALSQD IQKTIKKTARREQLMREEAEQKRLKTVLELQYVLDKLGDDDVRTDLKQGLSGVPILSEEE LSLLDEFYKLVDPERDMSLRLNEQYEHASIHLWDLLEGKEKPVCGTTYKALKEIVERVFQ SNYFDSTHNHQNGLCEEEEAASAPTVEDQVAEAEPEPAEEYTEQSEVESTEYVNRQFMAE TQFSSGEKEQVDEWTVETVEVVNSLQQQPQAASPSVPEPHSLTPVAQSDPLVRRQRVQDL MAQMQGPYNFIQDSMLDFENQTLDPAIVSAQPMNPTQNMDMPQLVCPQVHSESRLAQSNQ VPVQPEATQVPLVSSTSEGYTASQPLYQPSHATEQRPQKEPMDQIQATISLNTDQTTASS SLPAASQPQVFQAGTSKPLHSSGINVNAAPFQSMQTVFNMNAPVPPANEPETLKQQSQYQ ATYNQSFSSQPHQVEQTELQQDQLQTVVGTYHGSQDQPHQVPGNHQQPPQQNTGFPRSSQ PYYNSRGVSRGGSRGARGLMNGYRGPANGFRGGYDGYRPSFSNTPNSGYSQSQFTAPRDY SGYQRDGYQQNFKRGSGQSGPRGAPRGRGGPPRPNRGMPQMNTQQVN >ENSMUSP00000106777.1 pep:known chromosome:GRCm38:2:103765018:103797104:-1 gene:ENSMUSG00000027184.14 transcript:ENSMUST00000111147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caprin1 description:cell cycle associated protein 1 [Source:MGI Symbol;Acc:MGI:1858234] MPSATSHSGSGSKSSGPPPPSGSSGSEAAAGAAAPASQHPATGTGAVQTEAMKQILGVID KKLRNLEKKKGKLDDYQERMNKGERLNQDQLDAVSKYQEVTNNLEFAKELQRSFMALSQD IQKTIKKTARREQLMREEAEQKRLKTVLELQYVLDKLGDDDVRTDLKQGLSGVPILSEEE LSLLDEFYKLVDPERDMSLRLNEQYEHASIHLWDLLEGKEKPVCGTTYKALKEIVERVFQ SNYFDSTHNHQNGLCEEEEAASAPTVEDQVAEAEPEPAEEYTEQSEVESTEYVNRQFMAE TQFSSGEKEQVDEWTVETVEVVNSLQQQPQAASPSVPEPHSLTPVAQSDPLVRRQRVQDL MAQMQGPYNFIQDSMLDFENQTLDPAIVSAQPMNPTQNMDMPQLVCPQVHSESRLAQSNQ VPVQPEATQVPLVSSTSEGYTASQPLYQPSHATEQRPQKEPMDQIQATISLNTDQTTASS SLPAASQPQVFQAGTSKPLHSSGINVNAAPFQSMQTVFNMNAPVPPANEPETLKQQSQYQ ATYNQSFSSQPHQVEQTELQQDQLQTVVGTYHGSQDQPHQVPGNHQQPPQQNTGFPRSSQ PYYNSRGVSRGGSRGARGLMNGYRGPANGFRGGYDGYRPSFSNTPNSGYSQSQFTAPRDY SGYQRDGYQQNFKRGSGQSGPRGAPRGRGGPPRPNRGMPQMNTQQVN >ENSMUSP00000117733.1 pep:known chromosome:GRCm38:2:103767107:103772781:-1 gene:ENSMUSG00000027184.14 transcript:ENSMUST00000143349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caprin1 description:cell cycle associated protein 1 [Source:MGI Symbol;Acc:MGI:1858234] XSSLPAASQPQVFQAGTSKPLHSSGINVNAAPFQSMQTVFNMNAPVPPANEPETLKQQSQ YQATYNQSFSSQPHQVEQTELQQDQLQTVVGTYHGSQDQPHQVPGNHQQPPQQNTGFPRS SQPYYNSRGVSRGGSRGARGLMNGYRGPANGFRGGYDGYRPSFSNTPNSGYSQSQFTAPR DYSGYQRDGYQQNFKRGSGQSGPRGAPRGNILWW >ENSMUSP00000119327.1 pep:known chromosome:GRCm38:2:103767812:103771793:-1 gene:ENSMUSG00000027184.14 transcript:ENSMUST00000145606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caprin1 description:cell cycle associated protein 1 [Source:MGI Symbol;Acc:MGI:1858234] QQSQYQATYNQSFSSQPHQVEQTELQQDQLQTGGYDGYRPSFSNTPNSGYSQSQFTAPRD YSGYQRDGYQQNFKRGSGQSGPRGAPRGNILWW >ENSMUSP00000114423.1 pep:known chromosome:GRCm38:2:103772998:103779368:-1 gene:ENSMUSG00000027184.14 transcript:ENSMUST00000143188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caprin1 description:cell cycle associated protein 1 [Source:MGI Symbol;Acc:MGI:1858234] XKLVDPERDMSLRLNEQYEHASIHLWDLLEGKEKPVCGTTYKALKEIVERVFQSNYFDST HNHQNGLCEEEEAASAPTVEDQVAEAEPEPAEEYTEQSEVESTEYVNRQFMAETQFSSGE KEQVDEWTVETVEVVNSLQQQPQAASPSVPEPHSLTPVAQSDPLVRRQRVQDLMAQMQGP YNFIQDSMLDFENQTLDPAIVSAQPMNPTQNMDMPQLVCPQEATQVPLVSSTSEGYTASQ PLYQPSHATEQRPQ >ENSMUSP00000129264.1 pep:known chromosome:GRCm38:17:32685627:32703352:1 gene:ENSMUSG00000073424.9 transcript:ENSMUST00000168171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f15 description:cytochrome P450, family 4, subfamily f, polypeptide 15 [Source:MGI Symbol;Acc:MGI:2146921] MGFFRMPQLDLSWLGLRLEASSPWLLLLLIGASWLLARVLTQTYIFYRTYHHLCDFPQPP KWNWFLGHLGMITPTEHGLKEVTNLVATYPQGFMTWLGPIIPIITLCHPDIIRSVLNASA SVALKEVVFYSFLKPWLGDGLLLSDGDKWSSHRRMLTPAFHFNILKPYVKIFNDSTNIMH AKWQHLASGGSARLDVFENISLMTLDSLQKCVFSFDSNCQENPSEYISAILELSALVTKR YHQLLLHIDSLYQLTCSGRRFHKACHLVHSFTDAVIQDRRRTLPSKHEDDVLKAKAKSKT LDFIDVLLLSKDEDGKELSDEDIRAEADTFMFEGHDTTASGLSWILYNLARHPEYQERCR QEVQELLRDRESTEIECSCAVFLRDDLAQLPFLTMCIKESLRLHPPVTVISRRCTQDIVL PDGRVIPKGVICIINIFATHHNPTVWPDPEVYDPFRFDPENIKDRSPLAFIPFSAGPRNC IGQTFAMNEMKVALALTLLRFRVLPDDKEPRRKPELILRAEGGLWLRVEPLSTQ >ENSMUSP00000008801.6 pep:known chromosome:GRCm38:17:32685679:32703352:1 gene:ENSMUSG00000073424.9 transcript:ENSMUST00000008801.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f15 description:cytochrome P450, family 4, subfamily f, polypeptide 15 [Source:MGI Symbol;Acc:MGI:2146921] MGFFRMPQLDLSWLGLRLEASSPWLLLLLIGASWLLARVLTQTYIFYRTYHHLCDFPQPP KWNWFLGHLGMITPTEHGLKEVTNLVATYPQGFMTWLGPIIPIITLCHPDIIRSVLNASA SVALKEVVFYSFLKPWLGDGLLLSDGDKWSSHRRMLTPAFHFNILKPYVKIFNDSTNIMH AKWQHLASGGSARLDVFENISLMTLDSLQKCVFSFDSNCQENPSEYISAILELSALVTKR YHQLLLHIDSLYQLTCSGRRFHKACHLVHSFTDAVIQDRRRTLPSKHEDDVLKAKAKSKT LDFIDVLLLSKDEDGKELSDEDIRAEADTFMFEGHDTTASGLSWILYNLARHPEYQERCR QEVQELLRDRESTEIEWDDLAQLPFLTMCIKESLRLHPPVTVISRRCTQDIVLPDGRVIP KGVICIINIFATHHNPTVWPDPEVYDPFRFDPENIKDRSPLAFIPFSAGPRNCIGQTFAM NEMKVALALTLLRFRVLPDDKEPRRKPELILRAEGGLWLRVEPLSTQ >ENSMUSP00000135215.2 pep:known chromosome:GRCm38:3:96238108:96239127:-1 gene:ENSMUSG00000081058.5 transcript:ENSMUST00000167403.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist2h3c2 description:histone cluster 2, H3c2 [Source:MGI Symbol;Acc:MGI:2448357] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000028836.6 pep:known chromosome:GRCm38:2:133552159:133562885:1 gene:ENSMUSG00000027358.6 transcript:ENSMUST00000028836.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp2 description:bone morphogenetic protein 2 [Source:MGI Symbol;Acc:MGI:88177] MVAGTRCLLVLLLPQVLLGGAAGLIPELGRKKFAAASSRPLSRPSEDVLSEFELRLLSMF GLKQRPTPSKDVVVPPYMLDLYRRHSGQPGAPAPDHRLERAASRANTVRSFHHEEAVEEL PEMSGKTARRFFFNLSSVPSDEFLTSAELQIFREQIQEALGNSSFQHRINIYEIIKPAAA NLKFPVTRLLDTRLVNQNTSQWESFDVTPAVMRWTTQGHTNHGFVVEVAHLEENPGVSKR HVRISRSLHQDEHSWSQIRPLLVTFGHDGKGHPLHKREKRQAKHKQRKRLKSSCKRHPLY VDFSDVGWNDWIVAPPGYHAFYCHGECPFPLADHLNSTNHAIVQTLVNSVNSKIPKACCV PTELSAISMLYLDENEKVVLKNYQDMVVEGCGCR >ENSMUSP00000140338.1 pep:known chromosome:GRCm38:1:43444579:43533632:1 gene:ENSMUSG00000066877.11 transcript:ENSMUST00000187435.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nck2 description:non-catalytic region of tyrosine kinase adaptor protein 2 [Source:MGI Symbol;Acc:MGI:1306821] MTEEVIVIAKWDYTAQQDQEL >ENSMUSP00000083611.5 pep:known chromosome:GRCm38:1:43445751:43570515:1 gene:ENSMUSG00000066877.11 transcript:ENSMUST00000086421.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nck2 description:non-catalytic region of tyrosine kinase adaptor protein 2 [Source:MGI Symbol;Acc:MGI:1306821] MTEEVIVIAKWDYTAQQDQELDIRKNERLWLLDDSKTWWRVRNAANRTGYVPSNYVERKN SLKKGSLVKNLKDTLGLGKTRRKPSARDASPTPSTDAEYPANGSGADRIYDLNIPAFVKF AYVAEREDELSLVKGSRVTVMEKCSDGWWRGSFNGQIGWFPSNYVLEEADEAAAEAPSFL SLRRGTALSNGQGARVLHVVQTLYPFSSVTEEELSFEKGETMEVIEKPENDPEWWKCKNA RGQVGLVPKNYVVVLSDGPALHPAHTPQISYTGPSASGRFAGREWYYGNVTRHQAECALN ERGVEGDFLIRDSESSPSDFSVSLKASGRNKHFKVQLVDSVYCIGQRRFHSMDELVEHYK KAPIFTSEHGEKLYLVRALQ >ENSMUSP00000144224.1 pep:known chromosome:GRCm38:1:43532405:43554062:1 gene:ENSMUSG00000066877.11 transcript:ENSMUST00000202540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nck2 description:non-catalytic region of tyrosine kinase adaptor protein 2 [Source:MGI Symbol;Acc:MGI:1306821] MTEEVIVIAKWDYTAQQDQELDIRKNERLWLLDDSKTWWRVRNAANRTGYVPSNYVERKN SLKKGSLVKNLKDTLGLGKTRRKPSARDASPTPSTDAEYPANGSGADRIYDLNIPAFVKF AYVAEREDELSLVKGSRVTVME >ENSMUSP00000110392.2 pep:known chromosome:GRCm38:1:43533569:43569342:1 gene:ENSMUSG00000066877.11 transcript:ENSMUST00000114744.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nck2 description:non-catalytic region of tyrosine kinase adaptor protein 2 [Source:MGI Symbol;Acc:MGI:1306821] XTEEVIVIAKWDYTAQQDQELDIRKNERLWLLDDSKTWWRVRNAANRTGYVPSNYVERKN SLKKGSLVKNLKDTLAQ >ENSMUSP00000063977.2 pep:known chromosome:GRCm38:6:72355447:72359762:1 gene:ENSMUSG00000055912.8 transcript:ENSMUST00000069695.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150a description:transmembrane protein 150A [Source:MGI Symbol;Acc:MGI:2385244] MTAWILLPVSLSAFSITGIWTVYAMAVMNRHVCPVENWSYNESCSPDPAEQGGPKSCCTL DDVPLISKCGTYPPESCLFSLIGNMGAVMVALICLLRYGQLLEQSRHSWINTTALITGCT NAAGLVVVGNFQVDHAKSLHYIGTGVAFTAGLLFVCLHCVLFYHGATTPLDMAMAYLRSV LAVIAFITLVLSGVFFLHESSQLQHGAALCEWVFVLDILIFYGTFSYEFGTISSDTLVAA LQPAPGRACKSSGSSSTSTHLNCAPESIAMI >ENSMUSP00000138445.1 pep:known chromosome:GRCm38:6:72355447:72359762:1 gene:ENSMUSG00000055912.8 transcript:ENSMUST00000132243.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150a description:transmembrane protein 150A [Source:MGI Symbol;Acc:MGI:2385244] MTAWILLPVSLSAFSITGIWTVYAMAVMNRHVCPVENWSYNESCSPDPAEQGGPKSCCTL DDVPLISGPHLPPSVRAAPGTEPALLDQYHCTHHWLHQRCRPRGGRQFSGGPCQVSTLHR NWCGLHCWAALCVPALCSLLPWGHHPPGHGYGLPSKCAGCHRLHHPGP >ENSMUSP00000146268.1 pep:known chromosome:GRCm38:6:72355474:72358401:1 gene:ENSMUSG00000055912.8 transcript:ENSMUST00000206064.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150a description:transmembrane protein 150A [Source:MGI Symbol;Acc:MGI:2385244] MTAWILLPVSLSAFSITGIWTVYAMAVMNRHVCPVENWSYNESCSPDPAEQGGPKSCCTL DDVPLISKCGTYPPESCLFSLIGNMGAVMVALICLLRYGQLLEQSRHSWINTTALITGCT >ENSMUSP00000145673.1 pep:known chromosome:GRCm38:6:72356226:72358752:1 gene:ENSMUSG00000055912.8 transcript:ENSMUST00000206531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150a description:transmembrane protein 150A [Source:MGI Symbol;Acc:MGI:2385244] MTAWILLPVSLSAFSITGIWTVSYNESCSPDPAEQGGPKSCCTLDDVPLISKCGTYPPES CLFSLIGNMGAVMVALICLLRYGQLLEQSRHSWINTTALITGCTNAAGLVVVGNFQVDHA KSLHYIGTGVAFTAGLLFVCLHCVLFYHGATTPLDMAMAYLRSVLAVIAFITLV >ENSMUSP00000022311.4 pep:known chromosome:GRCm38:14:16238656:16249808:-1 gene:ENSMUSG00000021786.12 transcript:ENSMUST00000022311.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxsm description:3-oxoacyl-ACP synthase, mitochondrial [Source:MGI Symbol;Acc:MGI:1918397] MLSKCLQHFLKATISHPYPASYSWLISKHRFYGTVPAAMLRRRVVITGIGLVTPLGVGTQ LVWDRLLRGESGIVSVVGDEYKNIPCSVAAYVPRGPHEGQFNEENFVSKSDAKSMSSSTI MAVGAAELALKDSGWHPKREADQVATGVAIGMGMVPLEVISETALLFQTKGYNKVSPFFV PKILINMAAGQVSIRYKLKGPNHSVSTACTTGAHAVGDSFRFIAHGDADVMVAGGTDSCI SPLSLAGFSRARALSSNPDPKLACRPFHPERDGFVMGEGAAVLVLEEHEHAVQRGARIYA EILGYGLSGDAGHITAPDPEGEGALRCMAAAVKDAGVSPEQISYVNAHATSTPLGDAAEN RAIKRLFRDHACALAISSTKGATGHLLGAAGAVEATFTALACYHQKLPPTLNLDCTEPEF DLNYVPLESQEWKAEGRCIGLTNSFGFGGTNATLCIAGM >ENSMUSP00000108244.1 pep:known chromosome:GRCm38:14:16239915:16243331:-1 gene:ENSMUSG00000021786.12 transcript:ENSMUST00000112625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxsm description:3-oxoacyl-ACP synthase, mitochondrial [Source:MGI Symbol;Acc:MGI:1918397] MLSKCLQHFLKATISHPYPASYSWLISKHRFYGTVPAAMLRRRVVITGIGLVTPLGVGTQ LVWDRLLRGESGIVSVVGDEYKNIPCSVAAYVPRGPHEGQFNEENFVSKSDAKSMSSSTI MAVGAAELALKDSGWHPKREADQVATGVAIGMGMVPLEVISETALLFQTKGYNKVSPFFV PKILINMAAGQVSIRYKLKGPNHSVSTACTTGAHAVGDSFRFIAHGDADVMVAGGTDSCI SPLSLAGFSRARALSSNPDPKLACRPFHPERDGFVMGEGAAVLVLEEHEHAVQRGARIYA EILGYGLSGDAGHITAPDPEGEGALRCMAAAVKDAGVSPEQISYVNAHATSTPLGDAAEN RAIKRLFRDHACALAISSTKGATGHLLGAAGAVEATFTALACYHQKLPPTLNLDCTEPEF DLNYVPLESQEWKAEGRCIGLTNSFGFGGTNATLCIAGM >ENSMUSP00000108243.1 pep:known chromosome:GRCm38:14:16240634:16249176:-1 gene:ENSMUSG00000021786.12 transcript:ENSMUST00000112624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxsm description:3-oxoacyl-ACP synthase, mitochondrial [Source:MGI Symbol;Acc:MGI:1918397] MLSKCLQHFLKATISHPYPASYSWLISKHRFYGTVPAAMLRRRVVITGIGLVTPLGVGTQ LVWDRLLRGESGIVSVVGDEYKNIPCSVAAYVPRGPHEGQFNEENFVSKSDAKSMSSSTI MAVGAAELALKDSGWHPKREADQVATGVAIGMGMVPLEVISETALLFQTKGYNKVSPFFV PKILINMAAGQVSIRYKLKGPNHSVSTACTTGAHAVGDSFRFIAHGDADVMVAGGTDSCI SPLSLAGFSRARALSSNPDPKLACRPFHPERDGFVMGEGAAVLVLEEHEHAVQRGARIYA EILGYGLSGDAGHITAPDPEGEGALRCMAAAVKDAGVSPEQISYVNAHATSTPLGDAAEN RAIKRLFRDHACALAISSTKGATGHLLGAAGAVEATFTALACYHQKLPPTLNLDCTEPEF DLNYVPLESQEWKAEGRCIGLTNSFGFGGTNATLCIAGM >ENSMUSP00000122364.1 pep:known chromosome:GRCm38:14:16242173:16249174:-1 gene:ENSMUSG00000021786.12 transcript:ENSMUST00000148121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxsm description:3-oxoacyl-ACP synthase, mitochondrial [Source:MGI Symbol;Acc:MGI:1918397] MLSKCLQHFLKATISHPYPASYSWLISKHRFYGTVPAAMLRRRVVITGIGLVTPLGVGTQ LVWDRLLRGESGIVSVVGDEYKNIPCSVAAYVPRGPHEGQFNEENFVSKSDAKSMSSSTI MAVGAAELALKDSGWHPKREADQVATGVAIGMGMVPLEVISETALLFQTKGYNKVSPFFV PKILINMAAGQVSIRYKL >ENSMUSP00000105928.2 pep:known chromosome:GRCm38:2:130012349:130050399:1 gene:ENSMUSG00000027401.9 transcript:ENSMUST00000110299.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgm3 description:transglutaminase 3, E polypeptide [Source:MGI Symbol;Acc:MGI:98732] MSALQIQNVNWQVPMNRRAHHTDKFSSQDSIVRRGQPWEIILVCNRSLESGEDLNFIVST GPQPSESARTKAVFSISGRSTGGWNAALKANSGNNLAIAIASPVSAPIGLYTLSVEISSR GRASSLKLGTFIMLFNPWLQADDVFMSNHAERQEYVEEDSGIIYVGSTNRIGMVGWNFGQ FEEDILNISLSILDRSLNFRRDPVTDVARRNDPKYVCRVLSAMINGNDDNGVISGNWSGN YTGGVDPRTWNGSVEILKNWKKSGFRPVQFGQCWVFAGTLNTVLRCLGVPSRVITNFNSA HDTDRNLSVDVYYDAMGNPLEKGSDSVWNFHVWNEGWFVRTDLGPTYNGWQVLDATPQER SQGVFQCGPASVNAIKAGDVDRNFDMIFIFAEVNADRITWIYNNRNNTQKQNSVDTHSIG KYISTKAVGSNSRMDVTDKYKYPEGSSEERQVHQKALDKLKPNASFGATSSRNPEGEDKE PSISGKFKVTGILAVGKEVSLSLMLKNMTNDRKTVTMNMTAWTIVYNGTLVHEVWKDSAT ISLDPEEEIQYPVKIAYSQYERYLKADNMIRITAVCKVPDEAEVVVERDVILDNPALTLE VLEQAHVRKPVNVQMLFSNPLDQPVNNCVLLVEGSGLLRGSLKIDVPSLRPKEKSRIRFE IFPTRSGTKQLLADFSCNKFPAIKAMLPIDVSE >ENSMUSP00000020262.4 pep:known chromosome:GRCm38:10:63024512:63058813:1 gene:ENSMUSG00000020072.14 transcript:ENSMUST00000020262.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbld2 description:phenazine biosynthesis-like protein domain containing 2 [Source:MGI Symbol;Acc:MGI:1914557] MKLPIFIADAFTATAFRGNPAAVCLLERTLDEDAHQDIAREMNLSETAFVRKLQPTDDFT QSSRFGLRWFTPEAEFPLCGHATLASAAVLFQKRKNTNSTLTFVTMSGELKARREEDGIV LDFPVYPTFPQDFHEVEDLIKAAIGDTLVQDIRYSPDTKNLLVRLSDSYDRSFLESLKVN TEPLPAIEKTGKVRGLILTVKGEPGGQTALYDFYSRCFAPWVGVAEDPVTGSTHTLLGPY WSEELGKKEMRAFQCSRRGGELDINLRPDGRVDIKGGAVIVLEGTLTA >ENSMUSP00000121682.1 pep:known chromosome:GRCm38:10:63024315:63052261:1 gene:ENSMUSG00000020072.14 transcript:ENSMUST00000124784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbld2 description:phenazine biosynthesis-like protein domain containing 2 [Source:MGI Symbol;Acc:MGI:1914557] MLRLRRYKASKPSRIPVLAWGIPFVPLRRQPWRLDWFPTCQLEVKRAPSRAGSPQTEPAR KMKLPIFIADAFTATAFRGNPAAVCLLERTLDEDAHQDIAREMNLSETAFVRKLQPTDDF TQSSRFGLRWFTPEAEFPLCGHATLASAAVLFQKRKNTNSTLTFVTMSGELKARR >ENSMUSP00000136589.1 pep:known chromosome:GRCm38:10:63061618:63077534:1 gene:ENSMUSG00000020072.14 transcript:ENSMUST00000178684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbld2 description:phenazine biosynthesis-like protein domain containing 2 [Source:MGI Symbol;Acc:MGI:1914557] MKLPIFIADAFTATAFRGNPAAVCLLERTLEEDAHQQIAREMNLSETAFIRKLQPTDSFT QSSRFGLRWFTPVSEVPLCGHATLASAAVLFHKIQNRNSTLTFVTMSGELKARRAEDGIV LDFPVYPTFPQDFHEVEDLIKAAIGDTLVQDIRYSTDTRKLLVRLSDSYDRSFLESLKVN TEPLPAIEKTGKVRGLILTVKGEPGGQTAPYDFYSRYFAPWVGIAEDPVTGSAHTVLSSY WSQQLRKKEMRAFQCSRRGGELDISLRPDGRVDIKGGAVIVLEGTLTA >ENSMUSP00000020266.8 pep:known chromosome:GRCm38:10:63061582:63077962:1 gene:ENSMUSG00000020072.14 transcript:ENSMUST00000020266.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbld2 description:phenazine biosynthesis-like protein domain containing 2 [Source:MGI Symbol;Acc:MGI:1914557] MKLPIFIADAFTATAFRGNPAAVCLLERTLEEDAHQQIAREMNLSETAFIRKLQPTDSFT QSSRFGLRWFTPVSEVPLCGHATLASAAVLFHKIRNNRNSTLTFVTMSGELKARRAEDGI VLDFPVYPTFPQDFHEVEDLIKAAIGDTLVQDIRYSTDTRKLLVRLSDSYDRSFLESLKV NTEPLPAIEKTGKVRGLILTVKGEPGGQTAPYDFYSRYFAPWVGIAEDPVTGSAHTVLSS YWSQQLRKKEMRAFQCSRRGGELDISLRPDGRVDIKGGAVIVLEGTLTA >ENSMUSP00000135884.2 pep:known chromosome:GRCm38:5:107716657:107724343:-1 gene:ENSMUSG00000029275.17 transcript:ENSMUST00000031205.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfi1 description:growth factor independent 1 [Source:MGI Symbol;Acc:MGI:103170] MPRSFLVKSKKAHSYHQPRSPGPDYSLRLETVPAPGRAEGGAVSAGESKMEPRERLSPDS QLTEAPDRASASPNSCEGSVCDPCSEFEDFWRPPSPSVSPASEKSLCRSLDEAQPYTLPF KPYAWSGLAGSDLRHLVQSYRQCSALERSAGLSLFCERGSEPGRPAARYGPEQAAGGAGA GQPGSCGVAGGATSAAGLGLYGDFAPAAAGLYERPSTAAGRLYQDHGHELHADKSVGVKV ESELLCTRLLLGGGSYKCIKCSKVFSTPHGLEVHVRRSHSGTRPFACEMCGKTFGHAVSL EQHKAVHSQERSFDCKICGKSFKRSSTLSTHLLIHSDTRPYPCQYCGKRFHQKSDMKKHT FIHTGEKPHKCQVCGKAFSQSSNLITHSRKHTGFKPFGCDLCGKGFQRKVDLRRHRETQH GLK >ENSMUSP00000137229.1 pep:known chromosome:GRCm38:5:107716657:107725805:-1 gene:ENSMUSG00000029275.17 transcript:ENSMUST00000159164.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfi1 description:growth factor independent 1 [Source:MGI Symbol;Acc:MGI:103170] MPRSFLVKSKKAHSYHQPRSPGPDYSLRLETVPAPGRAEGGAVSAGESKMEPRERLSPDS QLTEAPDRASASPNSCEGSVCDPCSEFEDFWRPPSPSVSPASEKSLCRSLDEAQPYTLPF KPYAWSGLAGSDLRHLVQSYRQCSALERSAGLSLFCERGSEPGRPAARYGPEQAAGGAGA GQPGSCGVAGGATSAAGLGLYGDFAPAAAGLYERPSTAAGRLYQDHGHELHADKSVGVKV ESELLCTRLLLGGGSYKCIKCSKVFSTPHGLEVHVRRSHSGTRPFACEMCGKTFGHAVSL EQHKAVHSQERSFDCKICGKSFKRSSTLSTHLLIHSDTRPYPCQYCGKRFHQKSDMKKHT FIHTGEKPHKCQVCGKAFSQSSNLITHSRKHTGFKPFGCDLCGKGFQRKVDLRRHRETQH GLK >ENSMUSP00000135880.2 pep:known chromosome:GRCm38:5:107721606:107726036:-1 gene:ENSMUSG00000029275.17 transcript:ENSMUST00000159263.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfi1 description:growth factor independent 1 [Source:MGI Symbol;Acc:MGI:103170] MPRSFLVKSKKAHSYHQPRSPGPDYSLRLETVPAPGRAEGGAVSAGESKMEPRERLSPDS QLTEAPDRASASPNSCEGSVCDPCSEFEDFWRPPSPSVSPASEKSLCRSLDEAQPYTLPF KPYAWSGLAGSDLRHLVQSYRQCSALERSAGLSLFCERGSEPGRPAARYGPEQAAGGAGA GQPGSC >ENSMUSP00000135039.2 pep:known chromosome:GRCm38:5:107716666:107725805:-1 gene:ENSMUSG00000029275.17 transcript:ENSMUST00000065478.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfi1 description:growth factor independent 1 [Source:MGI Symbol;Acc:MGI:103170] MWRQRASGGLLLSALPSAPEFPASDDQGKPGGPLCQERAAPPPGRQLQHENSEYPRVQTF VQRLTTMPRSFLVKSKKAHSYHQPRSPGPDYSLRLETVPAPGRAEGGAVSAGESKMEPRE RLSPDSQLTEAPDRASASPNSCEGSVCDPCSEFEDFWRPPSPSVSPASEKSLCRSLDEAQ PYTLPFKPYAWSGLAGSDLRHLVQSYRQCSALERSAGLSLFCERGSEPGRPAARYGPEQA AGGAGAGQPGSCGVAGGATSAAGLGLYGDFAPAAAGLYERPSTAAGRLYQDHGHELHADK SVGVKVESELLCTRLLLGGGSYKCIKCSKVFSTPHGLEVHVRRSHSGTRPFACEMCGKTF GHAVSLEQHKAVHSQERSFDCKICGKSFKRSSTLSTHLLIHSDTRPYPCQYCGKRFHQKS DMKKHTFIHTGEKPHKCQVCGKAFSQSSNLITHSRKHTGFKPFGCDLCGKGFQRKVDLRR HRETQHGLK >ENSMUSP00000134960.1 pep:known chromosome:GRCm38:5:107717224:107723961:-1 gene:ENSMUSG00000029275.17 transcript:ENSMUST00000165344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfi1 description:growth factor independent 1 [Source:MGI Symbol;Acc:MGI:103170] MCGKTFGHAVSLEQHKAVHSQERSFDCKICGKSFKRSSTLSTHLLIHSDTRPYPCQYCGK RFHQKSDMKKHTFIHTGEKPHKCQVCGKAFSQSSNLITHSRKHTGFKPFGCDLCGKGFQR KVDLRRHRETQHGLK >ENSMUSP00000067614.5 pep:known chromosome:GRCm38:1:119652467:119837613:-1 gene:ENSMUSG00000026384.13 transcript:ENSMUST00000064091.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn4 description:protein tyrosine phosphatase, non-receptor type 4 [Source:MGI Symbol;Acc:MGI:1099792] MTARFRLPAGRTYNVRASELARDRQHTEVVCNILLLDNTVQAFRVNKHDQGQVLLDIVFK HLDLTERDYFGLQLADDSTDNPRWLDPNKPIRKQLKRGSPYNLNFRVKFFVSDPNKLQEE YTRYQYFLQIKQDILTGRLSCPCNTAALLASFAVQSELGDYNQSENLAGYLSDYSFIPNQ PQDFEKEIAKLHQQHVGLSPAEAEFNYLNAARTLELYGVEFHYARDQSNNEILIGVMSGG ILIYKNRVRMNTFLWLKIVKISFKCKQFFIQLRKELHESRETLLGFNMVNYRACKTLWKA CVEHHTFFRLDRPLPPQKNFFAHYFTLGSKFRYCGRTEVQSVQYGKEKANKDRVFARSPS KPLARKLMDWEVVSRNSLSDDRLETQSLPSRSPPGTPNHRNSSFTQEATRVRPSSVGHLV DHVVHMSPSEDFVSQRSPSSTQANSIVLESSPSQETPEDGQPPALPPKQSKKNSWNQIHF SNSQQDLVTHTNESFDVPSSPEKSTPNGGIPHDNLVLIKMKPDENGRFGFNVKGGYDQKM PVIVSRVAPGTPADLCVPRLNEGDQVVLINGRDIAEHTHDQVVLFIKASCEKHSGELVLL VRPNAVYDVVEEKLESEPDFQYIPEKAPLDSVHQDDHSLRESMIQLAEGLITGTVLAQFD QLYRKKPGMTMSCAKLPQNISKNRYRDISPYDATRVLLKGNEDYINANYINMEIPSSSII NQYIACQGPLPHTCKDFWQMTWEQGSSMVVMLTTQVERGRVKCHQYWPEPSESSSYGCYQ VTCHSEEGNPAYIFRKMTLFNQEKNESRQLTQIQYTAWPDHGVPDDSSDFLDFVCHVRDQ RAGKEEPIIVHCSAGIGRTGVLITMETAMCLIECNQPVYPLDIVRTMRDQRAMMIQTPSQ YRFVCEAILKVYEEGFVKPLTTSSNK >ENSMUSP00000126216.1 pep:known chromosome:GRCm38:1:119658044:119687637:-1 gene:ENSMUSG00000026384.13 transcript:ENSMUST00000166624.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptpn4 description:protein tyrosine phosphatase, non-receptor type 4 [Source:MGI Symbol;Acc:MGI:1099792] ELVLLVRPNAVYDVVEEKLESEPDFQYIPEKAPLDSVHQDDHSLRESMIQLAEGLITGTV LAQFDMMLHGSF >ENSMUSP00000127713.1 pep:known chromosome:GRCm38:1:119689801:119837347:-1 gene:ENSMUSG00000026384.13 transcript:ENSMUST00000163435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn4 description:protein tyrosine phosphatase, non-receptor type 4 [Source:MGI Symbol;Acc:MGI:1099792] MTARFRLPAGRTYNVRASELARDRQHTEVVCNILLLDNTVQAFRVNKHDQGQVLLDIVFK HLDLTERDYFGLQLADDSTDNPRWLDPNKPIRKQLKRGSPYNLNFRVKFFVSDPNKLQEE YTRYQYFLQIKQDILTGRLSCPCNTAALLASFAVQSELGDYNQSENLAGYLSDYSFIPNQ PQDFEKEIAKLHQQHVGLSPAEAEFNYLNAARTLELYGVEFHYARDQSNNEILIGVMSGG ILIYKNRVRMNTFLWLKIVKISFKCKQFFIQLRKELHESRETLLGFNMVNYRACKTLWKA CVEHHTFFRLDRPLPPQKNFFAHYFTLGSKFRYCGRTEVQSVQYGKEKANKDRVFARSPS KPLARKLMDWEVVSRNSLSDDRLETQSLPSRSPPGTPNHRNSSFTQEATRVRPSSVGHLV DHVVHMSPSEDFVSQRSPSSTQANSIVLESSPSQETPEDGQPPALPPKQSKKNSWNQIHF SNSQQDLVTHTNESFDVPSSPEKSTPNGGIPHDNLVLIKMKPDENGRFGFNVKGGYDQKM PVIVSRVAPGTPLSEL >ENSMUSP00000126130.1 pep:known chromosome:GRCm38:1:119692824:119741436:-1 gene:ENSMUSG00000026384.13 transcript:ENSMUST00000164269.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptpn4 description:protein tyrosine phosphatase, non-receptor type 4 [Source:MGI Symbol;Acc:MGI:1099792] XNNEILIGVMSGGILIYKNRVRMNTFLCMNLEKHYWDLIW >ENSMUSP00000130841.1 pep:known chromosome:GRCm38:1:119726055:119783502:-1 gene:ENSMUSG00000026384.13 transcript:ENSMUST00000163179.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptpn4 description:protein tyrosine phosphatase, non-receptor type 4 [Source:MGI Symbol;Acc:MGI:1099792] DDSTDNPRWLDPNKPIRKQLKTVFVNSRRITLQFEL >ENSMUSP00000130299.1 pep:known chromosome:GRCm38:1:119743405:119802422:-1 gene:ENSMUSG00000026384.13 transcript:ENSMUST00000168429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn4 description:protein tyrosine phosphatase, non-receptor type 4 [Source:MGI Symbol;Acc:MGI:1099792] XNVRASELARDRQHTEVVCNILLLDNTVQAFRVNRWLDPNKPIRKQLKRGSPYNLNFRVK FFVSDPNKLQEEYTRLSCPCNTAALLASFAVQSELGDYNQSENLAGYLSDYSFIPNQPQD FEKEIAKLHQQHVGLSPAEAEFNY >ENSMUSP00000129180.1 pep:known chromosome:GRCm38:1:119765129:119837071:-1 gene:ENSMUSG00000026384.13 transcript:ENSMUST00000166422.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptpn4 description:protein tyrosine phosphatase, non-receptor type 4 [Source:MGI Symbol;Acc:MGI:1099792] MTARFRLPAGRTYNVRASELARDRQHTEVVCNILLLDNTVQAFRVNKHDQGQVLLDIVFK HLDLTERDYFGLQLADDSTDNPVSVLFAN >ENSMUSP00000129521.1 pep:known chromosome:GRCm38:1:119765469:119837071:-1 gene:ENSMUSG00000026384.13 transcript:ENSMUST00000168303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn4 description:protein tyrosine phosphatase, non-receptor type 4 [Source:MGI Symbol;Acc:MGI:1099792] MTARFRLPAGRTYNVRASELARDRQHTEVVCNILLLDNTVQAFRVNRWLDPNKPIRKQLK RGSPYNLNFRVKFFVSDPNKLQEEYTRLSCP >ENSMUSP00000127998.1 pep:known chromosome:GRCm38:1:119772998:119802442:-1 gene:ENSMUSG00000026384.13 transcript:ENSMUST00000164086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn4 description:protein tyrosine phosphatase, non-receptor type 4 [Source:MGI Symbol;Acc:MGI:1099792] LPAGRTYNVRASELARDRQHTEVVCNILLLDNTVQAFRVNKHDQGQVLLDIVFKHLDLTE RDYFGLQLADDSTDNPRWLDPNKPIRKQLKRGSPYNLNFRVKFFVSDPNKLQEEYTRLVY GTISFENIIGDLI >ENSMUSP00000130808.1 pep:known chromosome:GRCm38:1:119773058:119836999:-1 gene:ENSMUSG00000026384.13 transcript:ENSMUST00000163621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn4 description:protein tyrosine phosphatase, non-receptor type 4 [Source:MGI Symbol;Acc:MGI:1099792] MTARFRLPAGRTYNVRASELARDRQHTEVVCNILLLDNTVQAFRVNKHDQGQVLLDIVFK HLDLTERDYFGLQLADDSTDNPEDHLTI >ENSMUSP00000004686.6 pep:known chromosome:GRCm38:8:3457105:3467680:-1 gene:ENSMUSG00000069633.12 transcript:ENSMUST00000004686.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex11g description:peroxisomal biogenesis factor 11 gamma [Source:MGI Symbol;Acc:MGI:1920905] MALLNRLASALESHRVRDRLIRTLGYCCQLIGGVLVEQCPNRSEVGRRLLVVSAQFNHCR TVLRLFDDLAMFVYTKQYGLGTKEEDIFIRWLSVLSNVTDQLYYPCEHIAWAADAKVLRV DSAWWWTLNTALWTLSLLLGAVKALWTMLKLRQKLRSPTGTSASQLPRSKRRAMEARICS EVLTLLSNLADLANAVHWLPRGVLWAGRFPPWLVGLMGTISSILSTCQAVRAGRQAEADS P >ENSMUSP00000106710.3 pep:known chromosome:GRCm38:8:3457108:3467645:-1 gene:ENSMUSG00000069633.12 transcript:ENSMUST00000111081.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex11g description:peroxisomal biogenesis factor 11 gamma [Source:MGI Symbol;Acc:MGI:1920905] MALLNRLASALESHRVRDRLEEDIFIRWLSVLSNVTDQLYYPCEHIAWAADAKVLRVDSA WWWTLNTALWTLSLLLGAVKALWTMLKLRQKLRSPTGTSASQLPRSKRRAMEARICSEVL TLLSNLADLANAVHWLPRGVLWAGRFPPWLKKTYLCMYLTHAWCLWRLGPLELELT >ENSMUSP00000100581.3 pep:known chromosome:GRCm38:3:96239749:96240276:-1 gene:ENSMUSG00000063954.7 transcript:ENSMUST00000074976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist2h2aa2 description:histone cluster 2, H2aa2 [Source:MGI Symbol;Acc:MGI:2448283] MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000104171.1 pep:known chromosome:GRCm38:11:70943072:70969973:-1 gene:ENSMUSG00000040667.11 transcript:ENSMUST00000108531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup88 description:nucleoporin 88 [Source:MGI Symbol;Acc:MGI:104900] MAAAVGPLGDGELWQSWLPNHVVFLRLREGVRNQSPAEAEKPAASTSPSCPSLPPHLPTR NLVFGLGGELFLWDAEGSAFLVVRLRGPSGGGVEPPLSQYQRLLCINPPLFEIHQVLLSP TQHHVALIGSKGLMALELPQRWGKDSEFEGGKATVNCSTIPIAERFFTSSTSLTLKHAAW YPSEMLDPHIVLLTSDNVIRIYSLREPQTPTKVIVLSEAEEESLILNKGRAYTASLGETA VAFDFGPLVTVSKNIFEQKDRDVVAYPLYILYENGETFLTYVSLLHSPGNIGKLLGPLPM HPAAEDNYGYDACAILCLPCVPNILVIATESGMLYHCVVLEGEEEDDQTLEKSWDPRADF IPSLYVFECVELELALKLASGEDDPFASDFSCPIKLHRDPKCPSRYHCSHEAGVHSVGLT WIHKLHKFLGSDEEDKDSLQELTAEQKCFVEHILCTKPLPCRQPAPIRGFWIVPDILGPT MICITSTYECLIRPLLSTVHPASPPLLCTQEDAEVAESPLRILAETPDSFEKHIKRILQR SAANPAFLKNCSARSSEKDLAPPPEECLQLISRATQVFREQYILKQDLAKEEIQRRVKLL CDQKRKQLEDLNYCREERKSLREMAERLADKYEEAKEKQEDIMNRMKKVLHSFHAQLPVL SDSERDMKKELQLIPDQLRHLGNAIKQVTMKKDYQQRKMEKVLSPQKPTITLSAYQRKCI QSILKEEGEHIREMVKQINDIRNHVTF >ENSMUSP00000048101.4 pep:known chromosome:GRCm38:11:70943082:70969962:-1 gene:ENSMUSG00000040667.11 transcript:ENSMUST00000035283.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup88 description:nucleoporin 88 [Source:MGI Symbol;Acc:MGI:104900] MAAAVGPLGDGELWQSWLPNHVVFLRLREGVRNQSPAEAEKPAASTSPSCPSLPPHLPTR NLVFGLGGELFLWDAEGSAFLVVRLRGPSGGGVEPPLSQYQRLLCINPPLFEIHQVLLSP TQHHVALIGSKGLMALELPQRWGKDSEFEGGKATVNCSTIPIAERFFTSSTSLTLKHAAW YPSEMLDPHIVLLTSDNVIRIYSLREPQTPTKVIVLSEAEEESLILNKGRAYTASLGETA VAFDFGPLVTVSKNIFEQKDRDVVAYPLYILYENGETFLTYVSLLHSPGNIGKLLGPLPM HPAAEDNYGYDACAILCLPCVPNILVIATESGMLYHCVVLEGEEEDDQTLEKSWDPRADF IPSLYVFECVELELALKLASGEDDPFASDFSCPIKLHRDPKCPSRYHCSHEAGVHSVGLT WIHKLHKFLGSDEEDKDSLQELTAEQKCFVEHILCTKPLPCRQPAPIRGFWIVPDILGPT MICITSTYECLIRPLLSTVHPASPPLLCTQEDAEVAESPLRILAETPDSFEKHIKRILQR SAANPAFLKNCSARSSEKDLAPPPEECLQLISRATQVFREQYILKQDLAKEEIQRRVKLL CDQKRKQLEDLNYCREERVSHLFRKSLREMAERLADKYEEAKEKQEDIMNRMKKVLHSFH AQLPVLSDSERDMKKELQLIPDQLRHLGNAIKQVTMKKDYQQRKMEKVLSPQKPTITLSA YQRKCIQSILKEEGEHIREMVKQINDIRNHVTF >ENSMUSP00000104170.1 pep:known chromosome:GRCm38:11:70943102:70969954:-1 gene:ENSMUSG00000040667.11 transcript:ENSMUST00000108530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup88 description:nucleoporin 88 [Source:MGI Symbol;Acc:MGI:104900] MAAAVGPLGDGELWQSWLPNHVVFLRLREGVRNQSPAEAEKPAASTSPSCPSLPPHLPTR NLVFGLGGELFLWDAEGSAFLVVRLRGPSGGGVEPPLSQYQRLLCINPPLFEIHQVLLSP TQHHVALIGSKGLMALELPQRWGKDSEFEGGKATVNCSTIPIAERFFTSSTSLTLKHAAW YPSEMLDPHIVLLTSDNVIRIYSLREPQTPTKVIVLSEAEEESLILNKGRAYTASLGETA VAFDFGPLVTVSKNIFEQKDRDVVAYPLYILYENGETFLTYVSLLHSPGNIGKLLGPLPM HPAAEDNYGYDACAILCLPCVPNILVIATESGMLYHCVVLEGEEEDDQTLEKSWDPRADF IPSLYVFECVELELALKLASGEDDPFASDFSCPIKLHRDPKCPSRYHCSHEAGVHSVGLT WIHKLHKFLGSDEEDKDSLQELTAEQKCFVEHILCTKPLPCRQPAPIRGFWIVPDILGPT MICITSTYECLIRPLLSTVHPASPPLLCTQEDAEVAESPLRILAETPDSFEKHIKRILQR SAANPAFLKSSEKDLAPPPEECLQLISRATQVFREQYILKQDLAKEEIQRRVKLLCDQKR KQLEDLNYCREERKSLREMAERLADKYEEAKEKQEDIMNRMKKVLHSFHAQLPVLSDSER DMKKELQLIPDQLRHLGNAIKQVTMKKDYQQRKMEKVLSPQKPTITLSAYQRKCIQSILK EEGEHIREMVKQINDIRNHVTF >ENSMUSP00000137024.1 pep:known chromosome:GRCm38:11:70943176:70969880:-1 gene:ENSMUSG00000040667.11 transcript:ENSMUST00000178253.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nup88 description:nucleoporin 88 [Source:MGI Symbol;Acc:MGI:104900] XLRLREGVRNQSPAEAEKPAASTSPSCPSLPPHLPTRNLVFGLGGELFLWDAEGSAFLVV RLRGPSGGGVEPPLSQYQVRRSIVKAISLLVRWRTWAGVFWERPTSSGMTPLCSSIWSGI TM >ENSMUSP00000050184.4 pep:known chromosome:GRCm38:14:50642195:50643369:1 gene:ENSMUSG00000050028.4 transcript:ENSMUST00000062534.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr745 description:olfactory receptor 745 [Source:MGI Symbol;Acc:MGI:3030579] MITHFSVVSVFLTAFGSKNSSIHFVTEFILLGFSNQGEMQSFFFCSILILYLLTLLGNGT IVCAVRWDQRLHTPMYIFLGNFAFLEIWYVSSTIPNMLVNILSENKTISFSACFLQFYFF FSLGTTECFFLSAMAYDRYLAICRPLHYPSIMTRKFCVILICICWVSGFLCYPVPIVLIS QLPFCGPNIIDHFVCDPGPLFALSCVPAPSTELLCYTFNSMIIFGPFFCILGSYTLVLRA VFRVPSGAGRTKAFSTCGSHLVVVSLFYGTLMVMYVSPTSGNPAGMQKIVTLIYSALTPL LNPLIYTLRNKEMKNALKKLLKLTTIQN >ENSMUSP00000095776.2 pep:known chromosome:GRCm38:7:104579624:104580669:1 gene:ENSMUSG00000073926.2 transcript:ENSMUST00000098174.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr653 description:olfactory receptor 653 [Source:MGI Symbol;Acc:MGI:3030487] MMLSAAIPNETAFHPPTFVLLGIPGMQDQHVWIAIPFCSMYILALVGNGTILYIIITDRA LHEPMYLFLCLLSITDLVLCSTTLPKMLAIFWLRSHVISYHGCLTQMFFVHAVFATESAV LLAMAFDRYVAICRPLHYTSILNAVVIGKIGLACVTRGLLFVFPFVILIERLPFCGHHII PHTYCEHMGIAKLACASIKPNTIYGLTVALSVTGMDVVLIATSYILILQAVLRLPSKDAQ FRAFSTCGAHICVILVFYIPAFFSFFTHRFGHHVPPQVHIILANLYLLVPPVLNPLVYGI NTKQIRLRILDFFVKRR >ENSMUSP00000105010.3 pep:known chromosome:GRCm38:2:164053540:164071169:-1 gene:ENSMUSG00000018322.10 transcript:ENSMUST00000109384.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm34 description:translocase of outer mitochondrial membrane 34 [Source:MGI Symbol;Acc:MGI:1914395] MAPKVSDSVEQLRAAGNQNFRNGQYGEASALYERALRLLQARGSADPEEESVLYSNRAAC YLKDGNCTDCIKDCTSALALVPFSIKPLLRRASAYEALEKYALAYVDYKTVLQIDNSVAS ALEGINRITRALMDSLGPEWRLKLPPIPVVPVSAQKRWNSLPSDNHKETAKTKSKEATAT KSRVPSAGDVERAKALKEEGNDLVKKGNHKKAIEKYSESLLCSSLESATYSNRALCHLVL KQYKEAVKDCTEALKLDGKNVKAFYRRAQAYKALKDYKSSLSDISSLLQIEPRNGPAQKL RQEVNQNMN >ENSMUSP00000018466.3 pep:known chromosome:GRCm38:2:164053540:164071102:-1 gene:ENSMUSG00000018322.10 transcript:ENSMUST00000018466.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm34 description:translocase of outer mitochondrial membrane 34 [Source:MGI Symbol;Acc:MGI:1914395] MAPKLSDSVEELRAAGNQSFRNGQYAEASALYERALRLLQARGSADPEEESVLYSNRAAC YLKDGNCTDCIKDCTSALALVPFSIKPLLRRASAYEALEKYALAYVDYKTVLQIDNSVAS ALEGINRITRALMDSLGPEWRLKLPPIPVVPVSAQKRWNSLPSDNHKETAKTKSKEATAT KSRVPSAGDVERAKALKEEGNDLVKKGNHKKAIEKYSESLLCSSLESATYSNRALCHLVL KQYKEAVKDCTEALKLDGKNVKAFYRRAQAYKALKDYKSSLSDISSLLQIEPRNGPAQKL RQEVNQNMN >ENSMUSP00000099593.4 pep:known chromosome:GRCm38:2:117279993:117343001:-1 gene:ENSMUSG00000027347.18 transcript:ENSMUST00000102534.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp1 description:RAS guanyl releasing protein 1 [Source:MGI Symbol;Acc:MGI:1314635] MGTLGKAREAPRKPCHGSRAGPKARLEAKSTNSPLPAQPSLAQITQFRMMVSLGHLAKGA SLDDLIDSCIQSFDADGNLCRNNQLLQVMLTMHRIIISSAELLQKVMNLYKDALEKNSPG VCLKICYFVRYWITEFWIMFKMDASLTSTMEEFQDLVKANGEETHCHLIDTTQINSRDWS RKLTQRIKSNTSKKRKVSLLFDHLEPEELSEHLTYLEFKSFRRISFSDYQNYLVNSCVKE NPTMERSIALCNGISQWVQLMVLSRPTPQLRAEVFIKFIHVAQKLHQLQNFNTLMAVIGG LCHSSISRLKETSSHVPHEINKVLGEMTELLSSCRNYDNYRRAYGECTHFKIPILGVHLK DLISLYEAMPDYLEDGKVNVQKLLALYNHINELVQLQEMAPPLDANKDLVHLLTLSLDLY YTEDEIYELSYAREPRNHRAPPLTPSKPPVVVDWASGVSPKPDPKTISKHVQRMVDSVFK NYDLDQDGYISQEEFEKIAASFPFSFCVMDKDREGLISRDEITAYFMRASSIYSKLGLGF PHNFQETTYLKPTFCDNCAGFLWGVIKQGYRCKDCGMNCHKQCKDLVVFECKKRIKSPAI STENISSVVPMSTLCPLGTKDLLHAPEEGSFIFQNGEIVDHSEESKDRTIMLLGVSSQKI SVRLKRTVAHKSTQTESFPWVGGETTPGHFVLSSPRKSAQGALYVHSPASPCPSPALVRK RAFVKWENKESLIKPKPELHLRLRTYQELEQEINTLKADNDALKIQLKYAQKKIESLQLG KSNHVLAQMDHGDSA >ENSMUSP00000134167.1 pep:known chromosome:GRCm38:2:117282542:117342709:-1 gene:ENSMUSG00000027347.18 transcript:ENSMUST00000174770.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rasgrp1 description:RAS guanyl releasing protein 1 [Source:MGI Symbol;Acc:MGI:1314635] MGTLGKAREAPRKPCHGSRAGPKARLEAKSTNSPLPAQPSLAQITQFRMMVSLGHLAKGA SLDDLIDSCIQSFDADGNLCRNNQLLQVMLTMHRIIISSAELLQKVMNLYKDALEKNSPG VCLKICYFVRYWITEFWIMFKMDASLTSTMEEFQDLVKANGEETHCHLIDTTQINSRDWS RKLTQRIKSNTSKKRKVSLLFDHLEPEELSEHLTYLEFKSFRRISFSDYQNYLVNSCVKE NPTMERSIALCNGISQWVQLMVLSRPTPQLRAEVFIKFIHVAQKLHQLQNFNTLMAVIGG LCHSSISRLKETSSHVPHEINKVLGEMTELLSSCRNYDNYRRAYGECTHFKIPILGVHLK DLISLYEAMPDYLEDGKVNVQKLLALYNHINELVQLQEMAPPLDANKDLVHLLTLSLDLY YTEDEIYELSYAREPRNHRAPSVFKNYDLDQDGYISQEEFEKIAASFPFSFCVMDKDSSG A >ENSMUSP00000134027.1 pep:known chromosome:GRCm38:2:117282542:117342709:-1 gene:ENSMUSG00000027347.18 transcript:ENSMUST00000173541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp1 description:RAS guanyl releasing protein 1 [Source:MGI Symbol;Acc:MGI:1314635] MGTLGKAREAPRKPCHGSRAGPKARLEAKSTNSPLPAQPSLAQITQFRMMVSLGHLAKGA SLDDLIDSCIQSFDADGNLCRNNQLLQVMLTMHRIIISSAELLQKVMNLYKDALEKNSPG VCLKICYFVRYWITEFWIMFKMDASLTSTMEEFQDLVKANGEETHCHLIDTTQINSRDWS RKLTQRIKSNTSKKRKVSLLFDHLEPEELSEHLTYLEFKSFRRISFSDYQNYLVNSCVKE NPTMERSIALCNGISQWVQLMVLSRPTPQLRAEVFIKFIHVAQKLHQLQNFNTLMAVIGG LCHSSISRLKETSSHVPHEINKVLGEMTELLSSCRNYDNYRRAYGECTHFKIPILGVHLK DLISLYEAMPDYLEDGKVNVQKLLALYNHINELVQLQEMAPPLDANKDLVHLLTLSLDLY YTEDEIYELSYAREPRNHRAPSVFKNYDLDQDGYISQEEFEKIAASFPFSFCVMDKDREG LISRDEITAYFMRASSIYSKLGLGFPHNFQETTYLKPTFCDNCAGFLWGVIKQGYRCKDC GMNCHKQCKDLVVFECKKRIKSPAISTENISSVVPMSTLCPLGTKDLLHAPEEGSFIFQN GEIVDHSEESKDRTIMLLGVSSQKISVRLKRTVAHKSTQTESFPWVGGETTPGHFVLSSP RKSAQGALYVHSPASPCPSPALVRKRAFVKWENKESLIKPKPELHLRLRTYQELEQEINT LKADNDALKIQLKYAQKKIESLQLGKSNHVLAQMDHGDSA >ENSMUSP00000133449.1 pep:known chromosome:GRCm38:2:117282542:117342709:-1 gene:ENSMUSG00000027347.18 transcript:ENSMUST00000172901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp1 description:RAS guanyl releasing protein 1 [Source:MGI Symbol;Acc:MGI:1314635] MGTLGKAREAPRKPCHGSRAGPKARLEAKSTNSPLPAQPSLAQITQFRMMVSLGHLAKGA SLDDLIDSCIQSFDADGNLCRNNQLLQVMLTMHRIIISSAELLQKVMNLYKDALEKNSPG VCLKICYFVRYWITEFWIMFKMDASLTSTMEEFQDLVKANGEETHCHLIDTTQINSRDWS RKLTQRIKSNTSKKRKVSLLFDHLEPEELSEHLTYLEFKSFRRISFSDYQNYLVNSCVKE NPTMERSIALCNGISQWVQLMVLSRPTPQLRAEVFIKFIHVAQKLHQLQNFNTLMAVIGG LCHSSISRLKETSSHVPHEINKVLGEMTELLSSCRNYDNYRRAYGECTHFKIPILGVHLK DLISLYEAMPDYLEDGKVNVQKLLALYNHINELVQLQEMAPPLDANKDLVHLLTLSLDLY YTEDEIYELSYAREPRNHRAPSVFKNYDLDQDGYISQEEFEKIAASFPFSFCVMDKDREG LISRDEITAYFMRASSIYSKLGLGFPHNFQETTYLKPTFCDNCAGFLWGVIKQGYRCKDC GMNCHKQCKDLVVFECKKRIKSPAISTENISSVVPMSTLCPLGTKDLLHGNKYPESR >ENSMUSP00000134592.1 pep:known chromosome:GRCm38:2:117282542:117342709:-1 gene:ENSMUSG00000027347.18 transcript:ENSMUST00000173252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp1 description:RAS guanyl releasing protein 1 [Source:MGI Symbol;Acc:MGI:1314635] MGTLGKAREAPRKPCHGSRAGPKARLEAKSTNSPLPAQPSLAQITQFRMMVSLGHLAKGA SLDDLIDSCIQSFDADGNLCRNNQLLQVMLTMHRIIISSAELLQKVMNLYKDALEKNSPG VCLKICYFVRYWITEFWIMFKMDASLTSTMEEFQDLVKANGEETHCHLIDTTQINSRDWS RKLTQRIKSNTSKKRKVSLLFDHLEPEELSEHLTYLEFKSFRRISFSDYQNYLVNSCVKE NPTMERSIALCNGISQWVQLMVLSRPTPQLRAEVFIKFIHVAQKLHQLQNFNTLMAVIGG LCHSSISRLKETSSHVPHEINKVLGEMTELLSSCRNYDNYRRAYGECTHFKIPILGVHLK DLISLYEAMPDYLEDGKVNVQKLLALYNHINELVQLQEMAPPLDANKDLVHLLTLSLDLY YTEDEIYELSYAREPRNHRAPSVFKNYDLDQDGYISQEEFEKIAASFPFSFCVMDKDREG LISRDEITAYFMRASSIYSKLGLGFPHNFQETTYLKPTFCDNCAGFLWGVIKQGYRCKGN KYPESR >ENSMUSP00000136423.1 pep:known chromosome:GRCm38:2:117279994:117342877:-1 gene:ENSMUSG00000027347.18 transcript:ENSMUST00000178884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp1 description:RAS guanyl releasing protein 1 [Source:MGI Symbol;Acc:MGI:1314635] MGTLGKAREAPRKPCHGSRAGPKARLEAKSTNSPLPAQPSLAQITQFRMMVSLGHLAKGA SLDDLIDSCIQSFDADGNLCRNNQLLQVMLTMHRIIISSAELLQKVMNLYKDALEKNSPG VCLKICYFVRYWITEFWIMFKMDASLTSTMEEFQDLVKANGEETHCHLIDTTQINSRDWS RKLTQRIKSNTSKKRKVSLLFDHLEPEELSEHLTYLEFKSFRRISFSDYQNYLVNSCVKE NPTMERSIALCNGISQWVQLMVLSRPTPQLRAEVFIKFIHVAQKLHQLQNFNTLMAVIGG LCHSSISRLKETSSHVPHEINKVLGEMTELLSSCRNYDNYRRAYGECTHFKIPILGVHLK DLISLYEAMPDYLEDGKVNVQKLLALYNHINELVQLQEMAPPLDANKDLVHLLTLSLDLY YTEDEIYELSYAREPRNHRAPPLTPSKPPVVVDWASGVSPKPDPKTISKHVQRMVDSVFK NYDLDQDGYISQEEFEKIAASFPFSFCVMDKDREGLISRDEITAYFMRASSIYSKLGLGF PHNFQETTYLKPTFCDNCAGFLWGVIKQGYRCKDCGMNCHKQCKDLVVFECKKRIKSPAI STENISSVVPMSTLCPLGTKDLLHAPEEGSFIFQNGEIVDHSEESKDRTIMLLGVSSQKI SVRLKRTVAHKSTQTESFPWVGGETTPGHFVLSSPRKSAQGALYVHSPASPCPSPALVRK RAFVKWENKESLIKPKPELHLRLRTYQELEQEINTLKADNDALKIQLKYAQKKIESLQLG KSNHVLAQMDHGDSA >ENSMUSP00000041581.5 pep:known chromosome:GRCm38:10:127055011:127060184:-1 gene:ENSMUSG00000040502.5 transcript:ENSMUST00000040307.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March9 description:membrane-associated ring finger (C3HC4) 9 [Source:MGI Symbol;Acc:MGI:2446144] MLKSRLRMFLNELKLLVLTGGGRPRAEPQPRGGGGGGCGWAPFAGCSARDGDGDEEEYYG SEPRARGLAGDKEPRAGPPPPPAPPPPPPGALDALSLSSSLDSGLRTPQCRICFQGPEQG ELLSPCRCDGSVRCTHQPCLIRWISERGSWSCELCYFKYQVLAISTKNPLQWQAISLTVI EKVQIAAIVLGSLFLVASISWLIWSSLSPSAKWQRQDLLFQICYGMYGFMDVVCIGLIVH EGSSVYRIFKRWQAVNQQWKVLNYDKTKDVGGDTGGGAAGKPGPRTSRTSPPAGAPTRPP AAQRMRMRTLLPQRCGYTILHLLGQLRPPDARSSSHSGREVVMRVTTV >ENSMUSP00000060423.2 pep:known chromosome:GRCm38:X:114905012:114905941:1 gene:ENSMUSG00000050435.2 transcript:ENSMUST00000059509.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2dnl1 description:ubiquitin-conjugating enzyme E2D N-terminal like 1 [Source:MGI Symbol;Acc:MGI:3646570] MVQPSLGAMALKRIQKELVAFSQDPPAHCSAGPVAENMFHWQATIMGPEDSPYQGGVFFL SIHFPNNYPFKPPKVSFITRIYHPNISKNGSICLDILNSKWSPTLTISKVLLSICSLLCD PNADDPLVPEIAKVYHKDLREYNRLAREWTERFAM >ENSMUSP00000129021.1 pep:known chromosome:GRCm38:13:114818236:114828723:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000166104.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MVPRCQIDVLYFAKSAEIAGVRSETISVPQEIKASELWKELESLHPGLADVRNQVIFAVR QEYVELGDQQLLLQPGDEVAIIPPISGG >ENSMUSP00000125797.1 pep:known chromosome:GRCm38:13:114818259:114829530:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000166176.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MSSLEISNSCFSPEMRLPSSRQSVEDNASEPSGKDVDDVQEKPKDIIQFTAEKLSVGEVS QLVVSPLCGAVSLFVGTTRNNFEGKKVISLEYEAYVPMAENEIRKICNDIRQKWPVRHIA VFHRLGLVPVSEASTVIAVSSAHRAASLEAVSYAIDSLKAKVPIWKKEIYEESTSSWKRN KECFWAAGD >ENSMUSP00000139064.1 pep:known chromosome:GRCm38:13:114818263:114828482:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000184335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MVPRCQIDVLYFAKSAEIAGVRSETISVPQEIKASELWKELESLHPGLADVRNQVIFAVR QEYVELGDQQLLLQPGDEVAIIPPISGG >ENSMUSP00000138936.1 pep:known chromosome:GRCm38:13:114818270:114828674:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000184046.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MSSLEISNSCFSPEMRLPSSRQSVEDNASEPSGDYKK >ENSMUSP00000139355.1 pep:known chromosome:GRCm38:13:114818271:114832275:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000184245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MVPRCQIDVLYFAKSAEIAGVRSETISVPQEIKASELWKELESLHPGLADVRNQVIFAVR QEYVELGDQQLLLQPGDEVAIIPPISGG >ENSMUSP00000139285.1 pep:known chromosome:GRCm38:13:114818283:114826248:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000184214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MVPRCQIDVLYFAKSAEIAGVRSETISVPQEIKASELWKELESLHPGLADVRNQVIFAVR QEYVELGDQQLLLQPGDEVAIIPPISGG >ENSMUSP00000128965.1 pep:known chromosome:GRCm38:13:114818286:114828731:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000165022.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MVPRCQIDVLYFAKSAEIAGVRSETISVPQEIKASELWKELESLHPGLADVRNQVIFAVR QEYVELGDQQLLLQPGDEVAIIPPISGG >ENSMUSP00000133069.1 pep:known chromosome:GRCm38:13:114818287:114828544:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000164737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MSSLEISNSCFSPEMRLPSSRQSVEDNASEPSGKDVDDVQEKPKDIIQFTAEKLSVGEVS QLVVSPLCGAVSLFVGTTRNNFEGKKVISLEYEALVPVSEASTVIAVSSAHRAASLEAVS YAIDSLKAKVPIWKKEIYEESTSSWKRNKECFWAAGD >ENSMUSP00000138856.1 pep:known chromosome:GRCm38:13:114818294:114828723:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000184781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MVPRCQIDVLYFAKSAEIAGVRSETISVPQEIKASELWKELESLHPGLADVRNQVIFAVR QEYVELGDQQLLLQPGDEVAIIPPISGG >ENSMUSP00000139011.1 pep:known chromosome:GRCm38:13:114818300:114828715:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000183407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MVPRCQIDVLYFAKSAEIAGVRSETISVPQEIKASELWKELESLHPGLADVRNQVIFAVR QEYVELGDQQLLLQPGDEVAIIPPISGG >ENSMUSP00000139298.1 pep:known chromosome:GRCm38:13:114818306:114828729:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000184672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MSSLEISNSCFSPEMRLPSSRQSVEDNASEPSGKDVDDVQEKPKDIIQFTAEKLSVGEVS QLVVSPLCGAVSLFVGTTRNNFEGKKVISLEYEAYVPMAENEIRKICNDIRQKWPVRHIA VFHRLGLVPVSEASTVIAVSSAHRAASLEAVSYAIDSLKAKVPIWKKEIYEESTSSWKRN KECFWAAGD >ENSMUSP00000131816.2 pep:known chromosome:GRCm38:13:114818905:114825230:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000164871.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MSSLEISNSCFSPEMRLPSSRQSVEDNASEPSGKDVDDVQEKPKDIIQFTAEKLSVGEVS QLVVSPLCGAVSLFVGTTRNNF >ENSMUSP00000015680.4 pep:known chromosome:GRCm38:13:114818277:114828723:1 gene:ENSMUSG00000015536.14 transcript:ENSMUST00000015680.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs2 description:molybdenum cofactor synthesis 2 [Source:MGI Symbol;Acc:MGI:1336894] MSSLEISNSCFSPEMRLPSSRQSVEDNASEPSGKDVDDVQEKPKDIIQFTAEKLSVGEVS QLVVSPLCGAVSLFVGTTRNNFEGKKVISLEYEAYVPMAENEIRKICNDIRQKWPVRHIA VFHRLGLVPVSEASTVIAVSSAHRAASLEAVSYAIDSLKAKVPIWKKEIYEESTSSWKRN KECFWAAGD >ENSMUSP00000095775.2 pep:known chromosome:GRCm38:7:104587755:104588780:1 gene:ENSMUSG00000073925.3 transcript:ENSMUST00000098173.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr654 description:olfactory receptor 654 [Source:MGI Symbol;Acc:MGI:3030488] MQVVSLLLPSGTLPGSTMMPCNSTSHPSFFILQGIPGMEDKHKWISIPFSSMYFITVMGN CTILLTISMERSLHKPMFLLLFFLALTDLGMSTTTIPKVLCIFWFGQSQISYEGCLVQLF FIHSISAMQSSVLMTMAFDRYVAICKPLRYSTILSNSRIGLIGLASLVRAILFILPMPIL LQRMPFHANRVIPTTYCEHMAVVKMVCVDTTFNRIYGLVVAMLVVGVDISAIASSYALIL RAIMHLSSKEAHHKAVNTCTTHICVMLVSYTPSLFSFLTHRFGRGIPPHVHTILGNLYFL VPPMLNPIIYGVKTKEFRDKITKYLYRRKEPIIFSHNQKLV >ENSMUSP00000135362.1 pep:known chromosome:GRCm38:6:29768011:29768727:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000136031.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MVPPAGGGDPEAPAPAPAAERPP >ENSMUSP00000100060.2 pep:known chromosome:GRCm38:6:29768480:29912304:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000102995.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MSVQVVSAAAAAKVPEVELKDLSPSEAEPQLGLSAAAVGAMVPPAGGGDPEAPAPAPAAE RPPAPGPGSGPTAALSPAAGKVPQASAMKRSDPHHQHQRHRDGGEALVSPDGTVTEAPRT VKKIQFADQKQEFNKRPTKIGRRSLSRSISQSSTDSYSSAASYTDSSDDETSPRDKQQKN SKGSSDFCVKNIKQAEFGRREIEIAEQEMPALMALRKRAQGEKPLAGAKIVGCTHITAQT AVLMETLGALGAQCRWAACNIYSTLNEVAAALAESGFPVFAWKGESEDDFWWCIDRCVNV EGWQPNMILDDGGDLTHWIYKKYPNMFKKIKGIVEESVTGVHRLYQLSKAGKLCVPAMNV NDSVTKQKFDNLYCCRESILDGLKRTTDMMFGGKQVVVCGYGEVGKGCCAALKAMGSIVY VTEIDPICALQACMDGFRLVKLNEVIRQVDIVITCTGNKNVVTREHLDRMKNSCIVCNMG HSNTEIDVASLRTPELTWERVRSQVDHVIWPDGKRIVLLAEGRLLNLSCSTVPTFVLSIT ATTQALALIELYNAPEGRYKQDVYLLPKKMDEYVASLHLPTFDAHLTELTDEQAKYLGLN KNGPFKPNYYRY >ENSMUSP00000110897.2 pep:known chromosome:GRCm38:6:29768492:29912310:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000115242.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MSVQVVSAAAAAKVPEVELKDLSPSEAEPQLGLSAAAVGAMVPPAGGGDPEAPAPAPAAE RPPAPGPGSGPTAALSPAAGKVPQASAMKRSDPHHQHQRHRDGGEALVSPDGTVTEAPRT VKKQIQFADQKQEFNKRPTKIGRRSLSRSISQSSTDSYSSAASYTDSSDDETSPRDKQQK NSKGSSDFCVKNIKQAEFGRREIEIAEQEMPALMALRKRAQGEKPLAGAKIVGCTHITAQ TAVLMETLGALGAQCRWAACNIYSTLNEVAAALAESGFPVFAWKGESEDDFWWCIDRCVN VEGWQPNMILDDGGDLTHWIYKKYPNMFKKIKGIVEESVTGVHRLYQLSKAGKLCVPAMN VNDSVTKQKFDNLYCCRESILDGLKRTTDMMFGGKQVVVCGYGEVGKGCCAALKAMGSIV YVTEIDPICALQACMDGFRLVKLNEVIRQVDIVITCTGNKNVVTREHLDRMKNSCIVCNM GHSNTEIDVASLRTPELTWERVRSQVDHVIWPDGKRIVLLAEGRLLNLSCSTVPTFVLSI TATTQALALIELYNAPEGRYKQDVYLLPKKMDEYVASLHLPTFDAHLTELTDEQAKYLGL NKNGPFKPNYYRY >ENSMUSP00000134827.1 pep:known chromosome:GRCm38:6:29768528:29870613:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000150365.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MSVQVVSAAAAAKVPEVELKDLSPSEAEPQLGLSAAAVGAMVPPAGGGDPEAPAPAPAAE RPPAPGPGSGPTAALSPAAGKVPQASAMKRSDPHHQHQRHRDGGEALVSPDGTVTEAPRT VKKMKRNKTPVAVPEELHLRSSTQAGPDLDIQILNIKLMP >ENSMUSP00000144873.1 pep:known chromosome:GRCm38:6:29799551:29883672:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000143091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MPALMALRKRAQGEKPLAGAKIVGCTHITAQTAVLMETLGALGAQCRWAACNIYSTLNEV AAALAESGFPVFAWKGESEDDFWWCIDRCVNVEGWQPNMILDDGGDLTHWIYKKYP >ENSMUSP00000135518.1 pep:known chromosome:GRCm38:6:29801859:29909214:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000125911.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MPALMALRKRAQGEKPLAGAKIVGCTHITAQTAVLMETLGALGAQCRWAACNIYSTLNEV AAALAESGFPVFAWKGESEDDFWWCIDRCVNVEGWQPNMILDDGGDLTHWIYKKYPNMFK KIKGIVEESVTGVHRLYQLSKAGKLCVPAMNVNDSVTKQKFDNLYCCRESILDGLKRTTD MMFGGKQVVVCGYGEVGKGCCAALKAMGSIVYVTEIDPICALQACMDGFRLVKLNEVIRQ VDIVITCTGNKNVVTREHLDRMKNSCIVCNMGHSNTEIDVASLRTPELTWERVRSQVDHV IWPDGKRIVLLAEGRLLNLSCSTVPTFVLSITATTQALALIELYNAPEGRYKQDVYLLPK KMDEYVASLHLPTFDAHLTELTDEQAKYLGLNKNGPFKPNYYRY >ENSMUSP00000067638.6 pep:known chromosome:GRCm38:6:29853760:29909215:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000064872.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MEKWDGNEGTSAFHMPEWMIQFADQKQEFNKRPTKIGRRSLSRSISQSSTDSYSSAASYT DSSDDETSPRDKQQKNSKGSSDFCVKNIKQAEFGRREIEIAEQEMPALMALRKRAQGEKP LAGAKIVGCTHITAQTAVLMETLGALGAQCRWAACNIYSTLNEVAAALAESGFPVFAWKG ESEDDFWWCIDRCVNVEGWQPNMILDDGGDLTHWIYKKYPNMFKKIKGIVEESVTGVHRL YQLSKAGKLCVPAMNVNDSVTKQKFDNLYCCRESILDGLKRTTDMMFGGKQVVVCGYGEV GKGCCAALKAMGSIVYVTEIDPICALQACMDGFRLVKLNEVIRQVDIVITCTGNKNVVTR EHLDRMKNSCIVCNMGHSNTEIDVASLRTPELTWERVRSQVDHVIWPDGKRIVLLAEGRL LNLSCSTVPTFVLSITATTQALALIELYNAPEGRYKQDVYLLPKKMDEYVASLHLPTFDA HLTELTDEQAKYLGLNKNGPFKPNYYRY >ENSMUSP00000135386.1 pep:known chromosome:GRCm38:6:29853767:29863236:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000152581.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MEKWDGNEGTSAFHMPEWMQIQFADQKQEFNKRPTKIGRRSLSRSISQSST >ENSMUSP00000135714.1 pep:known chromosome:GRCm38:6:29853769:29870625:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000176265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MEKWDGNEGTSAFHMPEWMQIQFADQKQEFNKRPTKIGRRSLSRSISQSSTDSYSSAASY TDSSDDETSPRDKQQ >ENSMUSP00000115093.1 pep:known chromosome:GRCm38:6:29853774:29880578:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000154079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MEKWDGNEGTSAFHMPEWMIQFADQKQEFNKRPTKIGRRSLSRSISQSSTDSYSSAASYT DSSDDETSPRDKQQKNSKGSSDFCVKNIKQAEFGRREIEIAEQEMPALMALRKRAQGEKP LAGAKIVGCTHITAQTAVLMETLGALGAQCRWAACNIYSTLNEVAAALAESGFPVFAWKG E >ENSMUSP00000110893.3 pep:known chromosome:GRCm38:6:29859379:29912304:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000115238.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MLSSKKKYIVNSNSGIKAQIQFADQKQEFNKRPTKIGRRSLSRSISQSSTDSYSSAASYT DSSDDETSPRDKQQKNSKGSSDFCVKNIKQAEFGRREIEIAEQEMPALMALRKRAQGEKP LAGAKIVGCTHITAQTAVLMETLGALGAQCRWAACNIYSTLNEVAAALAESGFPVFAWKG ESEDDFWWCIDRCVNVEGWQPNMILDDGGDLTHWIYKKYPNMFKKIKGIVEESVTGVHRL YQLSKAGKLCVPAMNVNDSVTKQKFDNLYCCRESILDGLKRTTDMMFGGKQVVVCGYGEV GKGCCAALKAMGSIVYVTEIDPICALQACMDGFRLVKLNEVIRQVDIVITCTGNKNVVTR EHLDRMKNSCIVCNMGHSNTEIDVASLRTPELTWERVRSQVDHVIWPDGKRIVLLAEGRL LNLSCSTVPTFVLSITATTQALALIELYNAPEGRYKQDVYLLPKKMDEYVASLHLPTFDA HLTELTDEQAKYLGLNKNGPFKPNYYRY >ENSMUSP00000135633.1 pep:known chromosome:GRCm38:6:29859685:29883681:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000128927.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MLSSKKKYIVNSNSGIKAQQIQFADQKQEFNKRPTKIGRRSLSRSISQSSTDSYSSAASY TDSSDDETSPRDKQQKNSKGSSDFCVKNIKQAEFGRREIEIAEQEMPALMALRKRAQGEK PLAGAKIVGCTHITAQTAVLMETLGALGAQCRWAACNIYSTLNEVAAALAESGFPVFAWK GESEDDFWWCIDRCVNVEGWQPNMILDDGGDLTHWIYKKYPNM >ENSMUSP00000118790.1 pep:known chromosome:GRCm38:6:29859710:29883674:1 gene:ENSMUSG00000029772.17 transcript:ENSMUST00000134438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl2 description:S-adenosylhomocysteine hydrolase-like 2 [Source:MGI Symbol;Acc:MGI:1921590] MLSSKKKYIVNSNSGIKAQVRLSSCLPVLLRRPLPPKIQFADQKQEFNKRPTKIGRRSLS RSISQSSTDSYSSAASYTDSSDDETSPRDKQQKNSKGSSDFCVKNIKQAEFGRREIEIAE QEMPALMALRKRAQGEKPLAGAKIVGCTHITAQTAVLMETLGALGAQCRWAACNIYSTLN EVAAALAESGFPVFAWKGESEDDFWWCIDRCVNVEGWQPNMILDDGGDLTHWIYKKYP >ENSMUSP00000132934.2 pep:known chromosome:GRCm38:9:96196275:96318878:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000165120.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSAYDQKNIRRRVYDALNVLMAMNI ISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIKQKRAQLQELLLQQIAFKNLVQRNR QNEQQNQGPPAVNSTIQLPFIIINTSRKTVIDCSISSDKFEYLFNFDNTFEIHDDIEVLK RMGMSFGLESGKCSLEDLKIAKSLVPKALEGYITDISTGPSWLNQGLLLNSTQSVSNLDP TTGATVPQSSVNQGLCLDAEVALATGQLPASNSHQSSSAASHFSESRGETPCSFNDEDEE DEEEDPSSPE >ENSMUSP00000034982.9 pep:known chromosome:GRCm38:9:96196304:96323646:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000034982.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MIISTPQRIANSGSVLIGNPYTPAPAMVTQTHIAEAAGWVPSDRKRAREFIDSDFSESKR SKKGDKNGKGLRHFSMKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSAYDQKNIR RRVYDALNVLMAMNIISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIKQKRAQLQEL LLQQIAFKNLVQRNRQNEQQNQGPPAVNSTIQLPFIIINTSRKTVIDCSISSDKFEYLFN FDNTFEIHDDIEVLKRMGMSFGLESGKCSLEDLKIAKSLVPKALEGYITDISTGPSWLNQ GLLLNSTQSVSNLDPTTGATVPQSSVNQGLCLDAEVALATGQLPASNSHQSSSAASHFSE SRGETPCSFNDEDEEDEEEDPSSPE >ENSMUSP00000139848.1 pep:known chromosome:GRCm38:9:96196317:96317964:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000188008.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MIISTPQRIANSGSVLIGNPYTPAPAMVTQTHIAEAAGWVPSKRSKKGDKNGKGLRHFSM KVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSQAYDQKNIRRRVYDALNVLMAMNI ISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIKQKRAQLQELLLQQIAFKNLVQRNR QNEQQNQGPPAVNSTIQLPFIIINTSRKTVIDCSISSDKFEYLFNFDNTFEIHDDIEVLK RMGMSFGLESGKCSLEDLKIAKSLVPKALEGYITDISTGPSWLNQGLLLNSTQSVSNLDP TTGATVPQSSVNQGLCLDAEVALATGQLPASNSHQSSSAASHFSESRGETPCSFNDEDEE DEEEDPSSP >ENSMUSP00000139926.1 pep:known chromosome:GRCm38:9:96196337:96317971:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000188750.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MTAKNVGLPSTNAELRGFIDQNFSPTKGNISLVAFPVSSTNSPTKILPKTLGPINVNVGP QMIISTPQRIANSGSVLIGNPYTPAPAMVTQTHIAEAAGWVPSDRKRAREFIDSDFSESK RSKKGDKNGKGLRHFSMKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSAYDQKNI RRRVYDALNVLMAMNIISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIKQKRAQLQE LLLQQIAFKNLVQRNRQNEQQNQGPPAVNSTIQLPFIIINTSRKTVIDCSISSDKFEYLF NFDNTFEIHDDIEVLKRMGMSFGLESGKCSLEDLKIAKSLVPKALEGYITDISTGPSWLN QGLLLNSTQSVSNLDPTTGATVPQSSVNQGLCLDAEVALATGQLPASNSHQSSSAASHFS ESRGETPCSFNDEDEEDEEEDPSSPE >ENSMUSP00000140061.1 pep:known chromosome:GRCm38:9:96196348:96318981:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000185644.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MIISTPQRIANSGSVLIGNPYTPAPAMVTQTHIAEAAGWVPSDRKRAREFIDSDFSESKR SKKGDKNGKGLRHFSMKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSAYDQKNIR RRVYDALNVLMAMNIISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIKQKRAQLQEL LLQQIAFKNLVQRNRQNEQQNQGPPAVNSTIQLPFIIINTSRKTVIDCSISSDKFEYLFN FDNTFEIHDDIEVLKRMGMSFGLESGKCSLEDLKIAKSLVPKALEGYITDISTGPSWLNQ GLLLNSTQSVSNLDPTTGATVPQSSVNQGLCLDAEVALATGQLPASNSHQSSSAASHFSE SRGETPCSFNDEDEEDEEEDPSSPE >ENSMUSP00000140359.1 pep:known chromosome:GRCm38:9:96202156:96295128:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000188829.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MIISTPQRIANSGSVLIGNPYTPAPAMVTQTHIAEAAGWVPSDRKRAREFIDSDFSESKR SKKGDKNGKGLRHFSMKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADS >ENSMUSP00000139891.1 pep:known chromosome:GRCm38:9:96258705:96310582:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000186609.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MLDPKCKRSKKGDKNGKGLRHFSMKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADS QAYDQKNIRRRVYDALNVLMAMNIISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIK QKRAQLQELLLQQIAFKNLVQRNRQNEQQNQGPPAVNSTIQLPFIIINTSRKTVIDCSIS SDKFEYLFNFDNTFEIHDDIEVL >ENSMUSP00000140797.1 pep:known chromosome:GRCm38:9:96258742:96287716:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000190104.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MIISTPQRIANSGSVLIGNPYTPAPAMVTQTHIAEAAGWV >ENSMUSP00000137176.1 pep:known chromosome:GRCm38:9:96258742:96319084:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000179416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MIISTPQRIANSGSVLIGNPYTPAPAMVTQTHIAEAAGWVPSDRKRAREFIDSDFSESKR SKKGDKNGKGLRHFSMKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSAYDQKNIR RRVYDALNVLMAMNIISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIKQKRAQLQEL LLQQIAFKNLVQRNRQNEQQNQGPPAVNSTIQLPFIIINTSRKTVIDCSISSDKFEYLFN FDNTFEIHDDIEVLKRMGMSFGLESGKCSLEDLKIAKSLVPKALEGYITDISTGPSWLNQ GLLLNSTQSVSNLDPTTGATVPQSSVNQGLCLDAEVALATGQLPASNSHQSSSAASHFSE SRGETPCSFNDEDEEDEEEDPSSPE >ENSMUSP00000141084.1 pep:known chromosome:GRCm38:9:96258759:96317967:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000189606.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MIISTPQRIANSGSVLIGNPYTPAPAMVTQTHIAEAAGWVPSKRSKKGDKNGKGLRHFSM KVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSQAYDQKNIRRRVYDALNVLMAMNI ISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIKQKRAQLQELLLQQIAFKNLVQRNR QNEQQNQGPPAVNSTIQLPFIIINTSRKTVIDCSISSDKFEYLFNFDNTFEIHDDIEVLK RMGMSFGLESGKCSLEDLKIAKSLVPKALEGYITDISTGPSWLNQGLLLNSTQSVSNLDP TTGATVPQSSVNQGLCLDAEVALATGQLPASNSHQSSSAASHFSESRGETPCSFNDEDEE DEEEDPSSP >ENSMUSP00000136817.1 pep:known chromosome:GRCm38:9:96259253:96318981:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000179065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MVTQTHIAEAAGWVPSDRKRAREFIDSDFSESKRSKKGDKNGKGLRHFSMKVCEKVQRKG TTSYNEVADELVSEFTNSNNHLAADSAYDQKNIRRRVYDALNVLMAMNIISKEKKEIKWI GLPTNSAQECQNLEIEKQRRIERIKQKRAQLQELLLQQIAFKNLVQRNRQNEQQNQGPPA VNSTIQLPFIIINTSRKTVIDCSISSDKFEYLFNFDNTFEIHDDIEVLKRMGMSFGLESG KCSLEDLKIAKSLVPKALEGYITDISTGPSWLNQGLLLNSTQSVSNLDPTTGATVPQSSV NQGLCLDAEVALATGQLPASNSHQSSSAASHFSESRGETPCSFNDEDEEDEEEDPSSPE >ENSMUSP00000128260.2 pep:known chromosome:GRCm38:9:96259285:96318905:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000165768.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] MIISTPQRIANSGSVLIGNPYTPAPAMVTQTHIAEAAGWVPSDRKRAREFIDSDFSESKR SKKGDKNGKGLRHFSMKVCEKVQRKGTTSYNEVADELVSEFTNSNNHLAADSQAYDQKNI RRRVYDALNVLMAMNIISKEKKEIKWIGLPTNSAQECQNLEIEKQRRIERIKQKRAQLQE LLLQQIAFKNLVQRNRQNEQQNQGPPAVNSTIQLPFIIINTSRKTVIDCSISSDKFEYLF NFDNTFEIHDDIEVLKRMGMSFGLESGKCSLEDLKIAKSLVPKALEGYITDISTGPSWLN QGLLLNSTQSVSNLDPTTGATVPQSSVNQGLCLDAEVALATGQLPASNSHQSSSAASHFS ESRGETPCSFNDEDEEDEEEDPSSPE >ENSMUSP00000139395.1 pep:known chromosome:GRCm38:9:96310566:96317903:1 gene:ENSMUSG00000032411.15 transcript:ENSMUST00000191133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp2 description:transcription factor Dp 2 [Source:MGI Symbol;Acc:MGI:107167] XRHRGTEADGNVLWSGVRQMLSGGSENRKIPGSKSFRRLYYRYLHRTFLVKSGTTFELYP ISFKFRPDHRCHCTPIKVCSFFKVRKASHRERPDAAVLLLQQVARVESKQTLPAAPP >ENSMUSP00000079451.2 pep:known chromosome:GRCm38:14:50653239:50654183:1 gene:ENSMUSG00000058188.2 transcript:ENSMUST00000080616.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr746 description:olfactory receptor 746 [Source:MGI Symbol;Acc:MGI:3030580] MNVSEGSTVTYFVLLGFPGPWKIQIILFSLILLLYMITLTGNMAIICAVRWNQQLHTPMY MFLANFSFLEIWYVTCTVPNMLVNSLSKTKTISFTGCFTQFYFFFSLGTTECFFLCAMAY DRYLAICYPLHYPSIMTRQFCSILMSLCWIIGFSAHLIPIFFISQLSFCGPNIIDHFLCD VDPLIALSCTPTHIIRHVFYSISTLIIILTGLYILGSYALELRAVLQVPSSDGRQKAFST CGSHLLVVSLFYGTIMVMYVSPTSGNSVDMNKIITLIYSVVTPALNPFIYSLRNKDMKYA LHHVFFGNSIMQNL >ENSMUSP00000054108.4 pep:known chromosome:GRCm38:7:104596253:104597179:-1 gene:ENSMUSG00000051182.4 transcript:ENSMUST00000057385.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr655 description:olfactory receptor 655 [Source:MGI Symbol;Acc:MGI:3030489] MLIFNHSSFMTFTLLGVPGLESQHLWLSVPFTSMLLAILIGNGAILFLVITEPTLHTPMY LLLALLMVADLISTLALVPKVLCLFWFDDRVIAIYACFTQMFFIHGASVVRSALLVAMAF DRFVAVCEPLRYNTILSHSLVGRLGLVALAKGVILILPMPLLLQRLTFCHRVIPHTYCDH MAVVKMACSNTRPNRIYGLFVILLVVGLDLLLIGFSYVFILQSVVRLNSRDATFKALNTC SAHLFVILITYVPALFSSITHRIGHHIPPHAHIILANLYLLIPSVFNPIIYGIKMKEIRD RVAKCLCR >ENSMUSP00000075094.4 pep:known chromosome:GRCm38:7:126382854:126396737:-1 gene:ENSMUSG00000030722.7 transcript:ENSMUST00000075671.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc2ip description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 2 interacting protein [Source:MGI Symbol;Acc:MGI:1329015] MAEPLRGRGPRSRGGRGARRARGARGRCPRARQSPARLIPDTVLVDLVSDSDEEVLEVAD PVEVPVARLPAPAKPEQDSDSDSEGAAEGPAGAPRTLVRRRRRRLLDPGEAPVVPVYSGK VQSSLNLIPDNSSLLKLCPSEPEDEADLTNSGSSPSEDDALPSGSPWRKKLRKKCEKEEK KMEEFPDQDISPLPQPSSRNKSRKHTEALQKLREVNKRLQDLRSCLSPKQHQSPALQSTD DEVVLVEGPVLPQSSRLFTLKIRCRADLVRLPVRMSEPLQNVVDHMANHLGVSPNRILLL FGESELSPTATPSTLKLGVADIIDCVVLASSSEATETSQELRLRVQGKEKHQMLEISLSP DSPLKVLMSHYEEAMGLSGHKLSFFFDGTKLSGKELPADLGLESGDLIEVWG >ENSMUSP00000145755.1 pep:known chromosome:GRCm38:7:126384877:126390557:-1 gene:ENSMUSG00000030722.7 transcript:ENSMUST00000142947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc2ip description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 2 interacting protein [Source:MGI Symbol;Acc:MGI:1329015] XLQDLRSCLSPKQHQSPALQSTDDEVVLVEGPVLPQSSRLFTLKIRCRADLVRLPVRMDS PLKVLMSHYEEAMGLSGHKLSFFFDGTKLSGKELPADLGLESGDLIEVWG >ENSMUSP00000023809.4 pep:known chromosome:GRCm38:15:102445367:102454630:1 gene:ENSMUSG00000023047.10 transcript:ENSMUST00000023809.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amhr2 description:anti-Mullerian hormone type 2 receptor [Source:MGI Symbol;Acc:MGI:105062] MLGTLGLWTLLPAAAQVSPNRRTCVFFEAPGVRGSTKTLGEMVDAGPGPPKGIRCLYSHC CFGIWNLTHGRAQVEMQGCRDSDEPGCESLHCDPVPRAHPNPSSTLFTCSCGTDFCNANY SHLPPSGNQGAPGPQEPQATPGGPVWMALLLLGMFLVLLLSSIILALLQRKACRVQGGSD PEPGSGGDCSEELPELAELRFSQVIQEGGHAVVWAGRLQGEMVAIKAFPPRAVAQFRAER AVYQLLGLQHDHIVRFITAGQGGPGPLPSGPLLVLELYPKGSLCHYLTQYTSDWGSSLRM ALSLAEGLAFLHEERWQDGQYKPGIAHRDLSSQNVLIREDRSCAIGDLGLALVLPGLAQP PALAPTQPRGPAAILEAGTQRYMAPELLDKTLDLQDWGTALQRADVYSLALLLWEILSRC SDLRPDHRPPPFQLAYEAELGSNPSACELWALAVEERKRPNIPSTWSCSATDPRGLRELL EDCWDADPEARLTAECVQQRLAALAYPHGASSFPESPQGCPENCLSAPASAVFPCRPQQS SCLLSVQQGPGSRSPDPVGDTVQVYVNE >ENSMUSP00000123735.1 pep:known chromosome:GRCm38:15:102452159:102454545:1 gene:ENSMUSG00000023047.10 transcript:ENSMUST00000162893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amhr2 description:anti-Mullerian hormone type 2 receptor [Source:MGI Symbol;Acc:MGI:105062] XHYLTQYTSDWGSSLRMALSLAEGLAFLHEERWQDGQYKPGIAHRDLSSQNVLIREDRSC AIGDLGLALVLPGLAQPPALAPTQPRGPAAILEDPRGLRELLEDCWDADPEARLTAECVQ QRLAALAYPHGASSFPESPQGCPENCLSAPASAVFPCRPQQSSCLLSVQQGPGSRSPDPV GDTVQVYVNE >ENSMUSP00000020243.7 pep:known chromosome:GRCm38:10:87490819:87493660:-1 gene:ENSMUSG00000020052.7 transcript:ENSMUST00000020243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascl1 description:achaete-scute family bHLH transcription factor 1 [Source:MGI Symbol;Acc:MGI:96919] MESSGKMESGAGQQPQPPQPFLPPAACFFATAAAAAAAAAAAAQSAQQQQPQAPPQQAPQ LSPVADSQPSGGGHKSAAKQVKRQRSSSPELMRCKRRLNFSGFGYSLPQQQPAAVARRNE RERNRVKLVNLGFATLREHVPNGAANKKMSKVETLRSAVEYIRALQQLLDEHDAVSAAFQ AGVLSPTISPNYSNDLNSMAGSPVSSYSSDEGSYDPLSPEEQELLDFTNWF >ENSMUSP00000033236.6 pep:known chromosome:GRCm38:7:119715093:119720798:-1 gene:ENSMUSG00000030942.8 transcript:ENSMUST00000033236.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thumpd1 description:THUMP domain containing 1 [Source:MGI Symbol;Acc:MGI:2444479] MATTAQQSPQPVAGKRKGKSQFLPAKRARRGDAGGPRQLEPGLQGILITCNMNERKCVEE AYSLLNEYGDDMYGPEKFIDKDQQPSGSEGEDDDAEAALKKEVGDIKASTEKRLRRFQSV ESGANNVVFIRTLGIEPEKLVHHILQDMYKTKKKKTRVILRMLPISGTCKAFLEDMKKYA ETFLEPWFKAPNKGTFQIVYKSRNNSHMNREEVIKELAGIVGSLNSENKVDLTNPEYTVV VEIIKAVCCLSVVKDYVLFRKYNLQEVVKSAKDSQPHPKLGNGKEAKLEPDSKLSQSDPP EGNQVAPESIEELGQTEPGSETQAGSEGDAKPEPESQVSEVPKTNENELS >ENSMUSP00000143172.1 pep:known chromosome:GRCm38:7:102965102:102966040:1 gene:ENSMUSG00000073962.7 transcript:ENSMUST00000098213.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr576 description:olfactory receptor 576 [Source:MGI Symbol;Acc:MGI:3030410] MSVFNNSEVMYFLLIGIPGLEYAHEWISIPIFLMYLIAIMGNCIIIFVIKTEPSLHEPMY YFLTMLAVSDMGLSFSSLPTMLKIFFFNAMAISPNACFAQEFFIHGFTVMESSVLLIMSL DRFLAIHNPLRYSSILNGRRVAKIGLILAFRSTVLVLPFPFTLRKLKYCHKNLLSHSYCL HQDVMKLACSDNKINFIYGFFVALCTMLDFALILMSYVLILKTVLSIASLAERLKALNTC VSHICAVLIFYVPIITLAAIHRFAKHKSPLLVILIADMFLLVPPLMNPIVYCIKTRQIRE KVLGKLVNLCVR >ENSMUSP00000142459.1 pep:known chromosome:GRCm38:7:102965102:102975160:1 gene:ENSMUSG00000073962.7 transcript:ENSMUST00000185326.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr576 description:olfactory receptor 576 [Source:MGI Symbol;Acc:MGI:3030410] MSVFNNSEVMYFLLIGIPGLEYAHEWISIPIFLMYLIAIMGNCIIIFVIKTEPSLHEPMY YFLTMLAVSDMGLSFSSLPTMLKIFFFNAMAISPNACFAQEFFIHGFTVMESSVLLIMSL DRFLAIHNPLRYSSILNGRRVAKIGLILAFRSTVLVLPFPFTLRKLKYCHKNLLSHSYCL HQDVMKLACSDNKINFIYGFFVALCTMLDFALILMSYVLILKTVLSIASLAERLKALNTC VSHICAVLIFYVPIITLAAIHRFAKHKSPLLVILIADMFLLVPPLMNPIVYCIKTRQIRE KVLGKLGLK >ENSMUSP00000095774.2 pep:known chromosome:GRCm38:7:104617657:104618647:1 gene:ENSMUSG00000073924.2 transcript:ENSMUST00000098172.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr656 description:olfactory receptor 656 [Source:MGI Symbol;Acc:MGI:3030490] MSNTISQTMESPNHTDLDPSIFFLLGIPGLEQFHMWLSLPVCCLGTATIVGNITILVVVA TEPTLHRPVYLFLCMLSTIDLAASFSTVPKLLAILWCGAGHISASACLTQMFFIHAFCMM ESTVLLAMAFDRYVAICHPLRYSTILTDTIIARIGVVAMMRGSLLMLPCPFLIGRLSFCQ SHVIPHTYCEHMAVVKLACGDTRPNRVYGLTAALLVIGVDLFCIGLSYALIAQAVFRLSS QEARSKALGTCGSHVCVILISYTPALFSFFTHRFGHHVPLHIHILLANVYLLFPPALNPV VYGVKTREIRERVAKVFQWGQGTRLKISK >ENSMUSP00000016427.4 pep:known chromosome:GRCm38:17:37480851:37483551:-1 gene:ENSMUSG00000016283.13 transcript:ENSMUST00000016427.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M2 description:histocompatibility 2, M region locus 2 [Source:MGI Symbol;Acc:MGI:95914] METSAFFTLLFTLYLLLGASLALAQTFKGSHSLRYFDIAVSRPGLEETHYMTVGYVDDTE FVHFDNEAENPRFEPRVPWMEQMGQKYWDDQTRIAKAAEQQIRVYFQKLRDYYNQSQNSS HTIQRMTGCYIGPDGHLLHAYRQFGYDGQDYLTLNEDLSTWTAADAAAEITRREWEATNV AEFWRVYLEGPCMVWLFKYLTVGNETLLRTEPPKAYVTHHPRPEGDVTLRCWALGFYPSD IIMIWQRDGEDQTQDMDVIETRPAGDGTFQKWVAVVVPSGKEQNYTCHVAHEGLPEPLTL RWSK >ENSMUSP00000131297.2 pep:known chromosome:GRCm38:17:37480983:37483552:-1 gene:ENSMUSG00000016283.13 transcript:ENSMUST00000171139.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M2 description:histocompatibility 2, M region locus 2 [Source:MGI Symbol;Acc:MGI:95914] METSAFFTLLFTLYLLLGASLALAQTFKGSHSLRYFDIAVSRPGLEETHYMTVGYVDDTE FVHFDNEAENPRFEPRVPWMEQMGQKYWDDQTRIAKAAEQQIRVYFQKLRDYYNQSQNSS HTIQRMTGCYIGPDGHLLHAYRQFGYDGQDYLTLNEDLSTWTAADAAAEITRREWEATNV AEFWRVYLEGPCMVWLFKYLTVGNETLLRTEPPKAYVTHHPRPEGDVTLRCWALGFYPSD IIMIWQRDGEDQTQDMDVIETRPAGDGTFQKWVAVVVPSGKEQNYTCHVAHEGLPEPLTL RWSRPPQSFIFIIIVAVGLVLLGASVATLVMWKKSSGGERGSL >ENSMUSP00000086118.4 pep:known chromosome:GRCm38:X:55226876:55243723:1 gene:ENSMUSG00000067909.4 transcript:ENSMUST00000088740.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slxl1 description:Slx-like 1 [Source:MGI Symbol;Acc:MGI:1922390] MALKKLWAIPKDGYLLLLDYDDEDDDINFLEDAHSEENVSFSEEWQRFASSVETPIENRN LLSGEQQDGNASKLDLMEEQNPVTHDDENEIPEEIVGDTREMINNKSCEQYKTTFQKFDM DVQNFNEQQEKSVGLMNLETNNSDMLFDVDGELRK >ENSMUSP00000135192.1 pep:known chromosome:GRCm38:6:57137299:57138325:1 gene:ENSMUSG00000093635.1 transcript:ENSMUST00000176954.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r11 description:vomeronasal 1 receptor 11 [Source:MGI Symbol;Acc:MGI:2148524] MFSLENALYIQAGLGVLANMCLLVFYIFIILGHRPKPMDLISCQQTFIHIMLFFTAGDIL HTDIFESMNIENDFKCKTTFYICRVMRGLSICTTCLLSVFQAVTISPNTSLLAKFKHKLK KYTINAFFYIWSFNLSFSSNLIFYVGAYTNVSETNQMKVTKYCSLFPMNYIIRGLILTVT TSRDVFLVGVMLITSTYMVIILFRHQRQCKHLHSIRHLRASPEKKATQTILLLVVFFVVM YWVDFIISSTSVLLWMYDPVILTVQKFVMNAYPTITPLVQISSDNRIINLLKNLQSKCH >ENSMUSP00000051799.6 pep:known chromosome:GRCm38:3:95625011:95632102:1 gene:ENSMUSG00000038619.12 transcript:ENSMUST00000058230.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ensa description:endosulfine alpha [Source:MGI Symbol;Acc:MGI:1891189] MSQKQEEENPAEETGEEKQDTQEKEGILPEKAEEAKLKAKYPSLGQKPGGSDFLMKRLQK GQKYFDSGDYNMAKAKMKNKQLPSAGADKNLVTGDHIPTPQDLPQRKSSLVTSKLAGGQV E >ENSMUSP00000045937.4 pep:known chromosome:GRCm38:3:95625020:95632102:1 gene:ENSMUSG00000038619.12 transcript:ENSMUST00000037983.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ensa description:endosulfine alpha [Source:MGI Symbol;Acc:MGI:1891189] MSQKQEEENPAEETGEEKQDTQEKEGILPEKAEEAKLKAKYPSLGQKPGGSDFLMKRLQK GQKYFDSGDYNMAKAKMKNKQLPSAGADKNLVTGDHIPTPQDLPQRKSSLVTSKLAG >ENSMUSP00000097091.3 pep:known chromosome:GRCm38:10:80148272:80158367:1 gene:ENSMUSG00000035621.13 transcript:ENSMUST00000099492.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Midn description:midnolin [Source:MGI Symbol;Acc:MGI:1890222] MEPQPGGARSCRRGAPGGACELNTATESAAPMSLAIHSTTGTRYDLSVPHDETVEGLRKR LSQRLKVPKERLALLHKDTRLSSGKLQEFGVGDGSKLTLVPTVEAGLMSQASRPEQSVMQ ALESLTETQVSDFLSGRSPLTLALRVGDHMMFVQLQLAAQHAPLQHRHVLAAAAAAAAAA RGDSSVATPVSSPCRPVSSAARVPPVSSSPSSPVSPSPVTAGSFRSHAASTTCPEQMDCS PPASSSSTSTPGSSPTPRSRKPGAVIESFVNHAPGVFSGTFSGTLHPNCQDSSGRPRRDI GTILQILNDLLSATRHYQGMPPSLTQLRCHAQCSPASPAPDLTPKTTSCEKLAATSSTSL LQGQSQIRMCKPPGDRLRQTENRATRCKVERLQLLLQQKRLRRKARRDARGPYHWTPSRK AGRSDSSSSGGGGGPSEATGLGLDFEDSVWKPEVNPDIQSEFVVA >ENSMUSP00000046967.5 pep:known chromosome:GRCm38:10:80148272:80158368:1 gene:ENSMUSG00000035621.13 transcript:ENSMUST00000042057.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Midn description:midnolin [Source:MGI Symbol;Acc:MGI:1890222] MEPQPGGARSCRRGAPGGACELNTATESAAPMSLAIHSTTGTRYDLSVPHDETVEGLRKR LSQRLKVPKERLALLHKDTRLSSGKLQEFGVGDGSKLTLVPTVEAGLMSQASRPEQSVMQ ALESLTETQPPATPGPGRAAGGGFRKYRLILFKRPWHRQGPQSPERGGERPQVSDFLSGR SPLTLALRVGDHMMFVQLQLAAQHAPLQHRHVLAAAAAAAAAARGDSSVATPVSSPCRPV SSAARVPPVSSSPSSPVSPSPVTAGSFRSHAASTTCPEQMDCSPPASSSSTSTPGSSPTP RSRKPGAVIESFVNHAPGVFSGTFSGTLHPNCQDSSGRPRRDIGTILQILNDLLSATRHY QGMPPSLTQLRCHAQCSPASPAPDLTPKTTSCEKLAATSSTSLLQGQSQIRMCKPPGDRL RQTENRATRCKVERLQLLLQQKRLRRKARRDARGPYHWTPSRKAGRSDSSSSGGGGGPSE ATGLGLDFEDSVWKPEVNPDIQSEFVVA >ENSMUSP00000115717.1 pep:known chromosome:GRCm38:10:80149112:80150278:1 gene:ENSMUSG00000035621.13 transcript:ENSMUST00000151202.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Midn description:midnolin [Source:MGI Symbol;Acc:MGI:1890222] MEPQPGGARSCRRGAPGGACELNTATESAAPMSLAIHSTTGTRYDLSVPHDETVEGLRKR LSQRLKV >ENSMUSP00000119787.1 pep:known chromosome:GRCm38:10:80150457:80153868:1 gene:ENSMUSG00000035621.13 transcript:ENSMUST00000153477.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Midn description:midnolin [Source:MGI Symbol;Acc:MGI:1890222] MSQASRPEQSVMQALESLTETQPPATPGPGRAAGGGFRKYRLILFKRPWHRQGPQSPERG GERPQVSDFLSGRSPLTLALRVG >ENSMUSP00000119962.1 pep:known chromosome:GRCm38:10:80151154:80154472:1 gene:ENSMUSG00000035621.13 transcript:ENSMUST00000146516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Midn description:midnolin [Source:MGI Symbol;Acc:MGI:1890222] MSQASRPEQSVMQALESLTETQVSDFLSGRSPLTLALRVGDHMMFVQLQLAAQHAPLQHR HVLAAAAAAAAAARGDSSVATPVSSPCRPVSSAARVPPVSSSPSSPVSPSPVTAGSFRSH AASTTCPEQMDCSPPASSSSTSTPGSSPTPRSRKPGAVIESFVNHAPGVFSGTFS >ENSMUSP00000120988.1 pep:known chromosome:GRCm38:10:80151238:80153696:1 gene:ENSMUSG00000035621.13 transcript:ENSMUST00000144526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Midn description:midnolin [Source:MGI Symbol;Acc:MGI:1890222] MSQASRPEQSVMQALESLTETQPPATPGPGRAAGGGFRKYRLILFKRPWHRQGPQSP >ENSMUSP00000134751.1 pep:known chromosome:GRCm38:3:96246685:96248504:1 gene:ENSMUSG00000093769.3 transcript:ENSMUST00000176059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist2h3c1 description:histone cluster 2, H3c1 [Source:MGI Symbol;Acc:MGI:2448355] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000095812.1 pep:known chromosome:GRCm38:7:103051300:103052259:1 gene:ENSMUSG00000073960.1 transcript:ENSMUST00000098211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr583 description:olfactory receptor 583 [Source:MGI Symbol;Acc:MGI:3030417] MLQMQDNTEFLSNFTSKLPTFLLTGIPGLESAHGWISIPFCCLYATALSGNSMILFIIVT QHSLHEPMYYFLSVLSATDLGLTFSTMSTTLRILWFQANEISLDFCIVQMFFLHGFTCTE SGVLVAMAFDRYVAIYKPLRYTMILMNSRIIQIGFLVIMHTLLLTVPLLLLLKPVSFCKR NTLSHSYCYHPDVIKLACSDTRANSICGLVDLILTTGVDIPCIVLSYILIIRSVLNIAFS EERHKAFSTCVSHIAAVAVFYIPTFSLSLVHRYGRSVPKVVHTMMANVYLLLPPVLNPII YSVKTKQIRKAILSLLFAK >ENSMUSP00000095773.2 pep:known chromosome:GRCm38:7:104635676:104636636:1 gene:ENSMUSG00000073923.2 transcript:ENSMUST00000098171.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr657 description:olfactory receptor 657 [Source:MGI Symbol;Acc:MGI:3030491] MSASLKAFNSSKSQVSEFILLGFPGIHSWQHWLSLPFTLLYLSAIGTNVLILIIICQDPS LKQPMYLFLGILSVVDMGLATTIMPKILAIFWFDAKVISLPECFAQIYAIHCFVGMESGI FLCMAFDRYVAICYPLRYSSIITNSLILKATLFMVLRNGLCVIPVPVLAAQRNYCSRNEI DHCLCSNLGVTSLACDDRRPNSICQLILAWVGMGSDLGLIILSYTLILRSVLRLNSAEAV SKALNTCSSHLILILFFYTVVVVISVTHLAETKATLIPVLLNVMHNIIPPSLNPIVYALR TRELRRGFQKVFCRSLQEK >ENSMUSP00000042882.4 pep:known chromosome:GRCm38:11:76243715:76250619:1 gene:ENSMUSG00000038046.4 transcript:ENSMUST00000040577.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnmtl1 description:RNA methyltransferase like 1 [Source:MGI Symbol;Acc:MGI:1914640] MAAPAKGMWCSLGSLLRVVQTRDLNARRWVRALRRSPVRVLSPSGQVEERKRAPDQQPRK AVPKASSQGQRQKQPLETSPSQTPHTWEEAGLRYDKAFPGDRRLSSVMTIVKSRPFREKQ GKILLEGRRLIADALKAGAVPKAFFFSRLEYVKELPVDKLKDVSLIKVKFEDIKDWSDLV TPQGIMGIFAKPDPVKMTYPETPLHHTLPLVLICDNLRDPGNLGTILRSAAGAGCSKVLL TKGCVDAWEPKVLRAGMGAHFQVPIVNNVEWETVPNHLPPDTRVYVADNCGHYAQVQMSD KTGDRDWACDRRFLKFHKYEEDLDTKTRKDWLPKLEVQSYDLDWTGAPAAVVIGGETHGV SLESLQLAESTGGKRLLIPVVPGVDSLNSAMAASILLFEGKRQLRIKVEDLSRDRSYH >ENSMUSP00000077814.5 pep:known chromosome:GRCm38:3:96245497:96246082:1 gene:ENSMUSG00000064220.6 transcript:ENSMUST00000078756.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist2h2aa1 description:histone cluster 2, H2aa1 [Source:MGI Symbol;Acc:MGI:96097] MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000139639.1 pep:known chromosome:GRCm38:1:125560595:125568573:1 gene:ENSMUSG00000026342.10 transcript:ENSMUST00000190037.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f5 description:solute carrier family 35, member F5 [Source:MGI Symbol;Acc:MGI:1921400] MGRMSPSWWTSWGPRVSRMGSRVPGAVPVYRDALPGGLSSLPPFGLGSARFAGIAFEDLR EALATRLQMVYVFIMNRVNSQSSGFSQRRRMALGIVILLLVDVIWVASSELTSYVFTQYN KPFFSTFAKTSMFVLYLLGFIIWKPWRQQCTRGFRGKPAAFFADAEGYFA >ENSMUSP00000027580.4 pep:known chromosome:GRCm38:1:125561016:125595820:1 gene:ENSMUSG00000026342.10 transcript:ENSMUST00000027580.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f5 description:solute carrier family 35, member F5 [Source:MGI Symbol;Acc:MGI:1921400] MVPPRLHRGAGRPGGLSSLPPFGLGSARFAGIAFEDLREALATRLQMVYVFIMNRVNSQS SGFSQRRRMALGIVILLLVDVIWVASSELTSYVFTQYNKPFFSTFAKTSMFVLYLLGFII WKPWRQQCTRGFRGKPAAFFADAEGYFAACTTDTSMSSSLSEPLYVPVKFHDLPSEKLES TNIGTEKTPKKSRVRFSNIMEIRQLPSSHALEAKLSRMSYPTVKDQESILKTVGKLTATQ VAKISFFFCFVWFLANLSYQEALSDTQVAIVNILSSTSGLFTLILAAVFPSNSGDRFTLS KLLAVILSIGGVVLVNLSGSEKSAGRDTIGSIWSLAGAMFYAVYIVMIKRKVDREDKLDI PMFFGFVGLFNLLLLWPGFFLLHYTGFEDFEFPNKVVLLCIIINGLIGTVLSEFLWLWGC FLTSSLIGTLALSLTIPLSIIADMCMQKVQFSWLFFAGAIPVFFSFFIVTLLCHYNNWDP VMVGVRRVFAFICRKHRIQRVPEDSEQCESLISMHSVSQEDGAT >ENSMUSP00000140918.1 pep:known chromosome:GRCm38:1:125584513:125595148:1 gene:ENSMUSG00000026342.10 transcript:ENSMUST00000189443.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc35f5 description:solute carrier family 35, member F5 [Source:MGI Symbol;Acc:MGI:1921400] XKVDREDKLDIPMFFGFVGLFNLLLLWPGFFLLHYTGFEDFEFPNKVVLLCIIINGLIGT VLSEFLWLWGCFLTSSLIGTLALSLTIPLSIIADMCMQKALSLYFFHFLLLLSCAIIIIG IL >ENSMUSP00000138922.1 pep:known chromosome:GRCm38:1:133309827:133329694:1 gene:ENSMUSG00000098306.7 transcript:ENSMUST00000184603.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28040 description:predicted gene, 28040 [Source:MGI Symbol;Acc:MGI:5547776] MISITEWQKIGVGITGFGVFFILFGILLYFDSVLLAFGNLLFLTGLSLIIGLRRTFAFFF QRHKLKGTSFFLGGVAIVLLRWPLLGMLLEAYGFISLFKGFFPVVFGFLGSAFNIPFLST SLEGDCRPAPSSQNDLNGFLAAAASPLCRHLWGAAGKSEAWIHRPAVRTPGTR >ENSMUSP00000139189.1 pep:known chromosome:GRCm38:1:133309827:133329901:1 gene:ENSMUSG00000098306.7 transcript:ENSMUST00000183489.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28040 description:predicted gene, 28040 [Source:MGI Symbol;Acc:MGI:5547776] MISITEWQKIGVGITGFGVFFILFGILLYFDSVLLAFGNLLFLTGLSLIIGLRRTFAFFF QRHKLKGTSFFLGGVAIVLLRWPLLGMLLEAYGFISLFKGFFPVVFGFLGSAFNIPFLST LFQKLQGSSSSMV >ENSMUSP00000007433.4 pep:known chromosome:GRCm38:1:133321875:133329901:1 gene:ENSMUSG00000098306.7 transcript:ENSMUST00000007433.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28040 description:predicted gene, 28040 [Source:MGI Symbol;Acc:MGI:5547776] MISMASWQLLLLLCVATYGEPLAKVKPGSTGQQSGPQELVNAWEKESRYAESKPGSAGLR ARRSSPCPPVEGPAGRQRPLCASRSRLIPAPRGAVLVQREKDLSTYNWNSFGLRYGRRQA ARAARG >ENSMUSP00000136746.1 pep:known chromosome:GRCm38:1:133327212:133329722:1 gene:ENSMUSG00000098306.7 transcript:ENSMUST00000178033.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28040 description:predicted gene, 28040 [Source:MGI Symbol;Acc:MGI:5547776] MISMASWQLLLLLCVATYGEPLAKVKPGSTGQQSGPQELVNAWEKESRYAESKPGSAGLR ARRSSPCPPVEGPAGRQRPLCASRSRLIPAPRGAVLVQREKDLSTYNWNSFGLRYGRRQA ARAARG >ENSMUSP00000086711.2 pep:known chromosome:GRCm38:7:104642880:104647305:-1 gene:ENSMUSG00000070421.3 transcript:ENSMUST00000089296.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr658 description:olfactory receptor 658 [Source:MGI Symbol;Acc:MGI:3030492] MVMSVQNSTDLTPASFVLNGIPGLEDMHIWISFPFCSMYAVAMMGNCGLLYLIFFEDSLH RPMYYFLAMLSLTDLVMCSSTIPKTLCIFWFHLKEIGFDDCLVQMFFIHTFTGMESGVLM LMALDRYVAICYPLRYSTILTNPIIAKIGLATFLRGVLLIIPFTFLTKRLPYCRGNIINH TYCDHMSVAKLSCGNVKVNAIYGLMVALLIGGFDILCITISYTMILRAVVSLSSADARQK AFSTCTAHICAIVFSYSPAFFSFFSHRFGGHTIPPSCHIIVANIYLLLPPTMNPVVYGVK TKQIRDCVIRILSGSKDSKAHGI >ENSMUSP00000146449.1 pep:known chromosome:GRCm38:2:112631355:113217087:-1 gene:ENSMUSG00000057378.15 transcript:ENSMUST00000208151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryr3 description:ryanodine receptor 3 [Source:MGI Symbol;Acc:MGI:99684] MAEAGEGGEDEIQFLRTEDEVVLQCIANIHKEQRKFCLAAEGLGNRLCFLEPTSEAKYIP PDLCVCNFVLEQSLSVRALQEMLANTVENGGEGAAQGGGHRTLLYGHAILLRHSFSGMYL TCLTTSRSQTDKLAFDVGLREHATGEACWWTIHPASKQRSEGEKVRIGDDLILVSVSSER YLHLSISNGSIQVDASFMQTLWNVHPTCSGSSIEEGYLLGGHVVRLFHGHDECLTIPSTD QNDSQHRRVFYEAGGAGTRARSLWRVEPLRISWSGSNIRWGQAFRLRHLTTGHYLALTED QGLLLQDRGKSDTKSTAFSFRASKEIKEKLDSSHKRDMEGMGVPEIKYGDSVCFVQHVAS GLWVTYKAQDAKTSRLGPLKRKVILHQEGHMDDGLTLQRCQQEESQAARIIRNTTALFSQ FVSGNNRTTAPVALPTEEVLQTLQDLIAYFQPPEDEMQHEDKQNKLRSLKNRQNLFKEEG MLALVLNCIDRLNIYNSVAHFAGIVREESGMAWKEILNLLYKLLAALIRGNRNNCAQFSN NLDWLISKLDRLESSSGILEVLHCILIESPEALNLIAEGHIKSIISLLDKHGRNHKVLDV LCSLCLCNGVAVRANQNLICDNLLPRRNLLLQTRLINDVTSIRPNIFLGVAEGSPQYKKW YFELIIDQVEPFLTAEPTHLRVGWASSSGYAPYPGGGEGWGGNGVGDDLYSYGFDGLHLW SGRIPRAVASINQHLLKSDDVVSCCLDLGVPSISFRINGQPVQGMFENFNTDGLFFPVMS FSAGVKVRFLMGGRHGEFKFLPPSGYAPCYEALLPKEKMRLEPVKEYKRDADGVRDLLGT TQFLSQASFIPCPIDTSQVVLPLHLEKIRDRLAENIHELWGMNKIELGWTYGKVRDDNKR QHPCLVEFSKLPETEKNYNLQMSTETLKTLLALGCHIAHVNPAAEEDLKKVKLPKNYMMS NGYKPAPLDLSDVKLLPPQEILVDKLAENAHNVWAKDRIKQGWTYGIQQDLKNKRNPRLV PYALLDERTKKSNRDSLREAVRTFVGYGYNIEPSDQELADPTVEKVSIDKIRFFRVERSY AVKSGKWYFEFEVVTGGDMRVGWARPGCRPDIELGADDQAFVFEGSRGQRWHQGSGYFGR TWQPGDVVGCMINLDDASMVFTLNGELLITNKGSELAFADYEIENGFVPICSLGLSQIGR MNLGTDASTFKFYTMCGLQEGFEPFAVNMNRDVAVWFSKRLPTFVNVPKDHPHIEVVRID GTMDSPPCLKVTHKTFGTQNSNANMIYCRLSMPVECHSSFSHSPCLDSEAFQKRKQMQEI LSHTTTQCYYAIRIFAGQDPSCVWVGWVTPDYHLYSEKFDLNKNCTVTVTLGDERGRVHE SVKRSNCYMVWGGDIVASSQRSSRSNVDLEIGCLLDLAMGMLSFSANGKELGTCYQVEPN TKVFPAVFLQPTSTSLFQFELGKLKNAMPLSAAIFKSEEKNPTPQCPPRLDVQTIQPVLW SRMPSSFLKVETERVSERHGWVVQCLEPLQMMALHIPEENRCVDILELCEQEDLMQFHYH TLRLYSAVCALGNSRVASALCSHVDLSQLFYAIDNKYLPGLLRSGFYDLLISIHLANAKE RKLMMKNEYIIPITSATRNIRLYPDESKRHGLPGVGLRTCLKPGFRFSTPCFVVTSEDHQ KQSPEIPLQILKTKALSMLTEAVHCSGAHIRDPVGGSVEFQFVPVLKLIGTLLVMGVFDD DDVRQILLLIDPSVFGEHSGETEEGVEKEVTHAEEKAVEAGEKACKEAPVKGLLQTRLPE SVKLQMCELLSYLCDCELQHRVEAIVAFGDIYVSKLQANQKFRYNELMQALNMSAALTAR KTREFRSPPQEQINMLLNFHLGENCPCPEEIREELYDFHEDLLVHCGVPLEEEEEEEEDT SWTGKLCALVYKIKGPPKPEKEQPTEEEKPYPTTLKELVSQTMIRWAQENQIQDAELVRM MFNLLRRQYDSIGELLQALRKTYTISQASVNDTINLLAALGQIRSLLSVRMGREEELLMI NGLGDIMNNKVFYQHPNLMRVLGMHETVMEVMVNVLGTEKSQIAFPKMVASCCRFLCYFC RISRQNQKAMFEHLSYLLENSSVGLASPSMRGSTPLDVAASSVMDNNELALGLEEPDLEK VVTYLAGCGLQSCPMLLARGYPDVGWNPIEGERYLSFLRFAVFVNSESVEENASVVVKLL IRRPECFGPALRGEGGNGLLAAMQGAIKISENPALDLPSQGYKTEVTQDDGEEEEIVHMG NAIMSFYSALIDLLGRCAPEMHLIQTGKGEAIRIRSILRSLVPTEDLVGIISIPLKLPSL NKDGSVSEPDMAANFCPDHKAPMVLFLDRVYGIKDQTFLLHLLEVGFLPDLRASASLDTV SLSTTEAALALNRYLCSAVLPLLTRCAPLFSGTEHCTSLIDSTLQTIYRLSKGRSLTKAQ RDTIEECLLAICNHLRPSMLQQLLRRLVFDVPQLSEYCKMPLKLLTNHYEQCWKYYCLPS GWGSYGLAVEEELHLTEKLFWGIFDSLSHKKYDLDLFRMALPCLSAIAGALPPDYLDTRI TATLEKQVSVDADGNFDPKPINTMNFSLPEKLEYIVTKYAEHSHDKWACDKSHSGWKYGI SLDENVKTHPLIRPFKTLTEKEKEIYRWPARESLKTMLAVGWTVERTKEGEALVQQRENE KLRCVSQTNQGNSYSPAPLDLSNVVLSRELQGMVEVVAENYHNIWAKKKKLELESKGGGS HPLLVPYDTLTAKEKFRDREKAQDLFKFLQVNGILVSRGMKDLELDASSMEKRFAYKFLK KILKYVDAAQEFIAHLEAIVSSGKTEKSPHDQEIKFFAKVLLPLVDQYFTNHRLYFLSSP LKPLSSSGYASHKEKEMVASLFCKLAALVRHRISLFGSDSTTMVSCLHILAQTLDTRTVM KSGSELVKAGLRAFFENAAEDLEKTSENLKLGKFTHSRTQIKGVSQNINYTTVALLPILT SIFEHIAQHQFGVDLLLSDVQVSCYHILCSLYSLGTGKNIYVERQRPALGECLASLAAAI PVAFLEPSLNRHNPLSVFNTKTPRERSILGMPDKVEDMCPDIPQLEGLMKEINDLAESGA RYTEMPHVIEVILPMLCNYLSYWWERGPENLPPSTGPCCTKVTSEHLSLILGNILKIINN NLGIDEASWMKRIAVYAQPIISKARPDLLRSHFIPTLEKLKKKAVKTVQEEEQLKTDGKG DTQEAELLILDEFAVLCRDLYAFYPMLIRYVDNNRSNWLKSPDPDSDQLFRMVAEVFILW CKSHNFKREEQNFVIQNEINNLAFLTGDSKSKMSKSGGQDQERKKTKRRGDLYSIQTSLI VAALKKMLPIGLNMCTPGDQELISLAKSRYSCRDTDEEVKEHLRNNLHLQEKSDDPAVKW QLNLYKDVLRNDEPSNPEKTVERVQSISAALFHLEQVEQPLRSKKAVWHKLLSKQRKRAV VACFRMAPLYNLPRHRSINLFLHGYQRFWIETEAHFFEEKLVQDLAKSPRVEDEEEEETE RQPDPLHQIILHFSRNALTERSKLEDDPLYTSYSSMMAKSCQSGEDEEEEEDKEKTFEEK EMEKQKTLYQQARLHERGAAEMVLQMISASKGEMSPMVVETLKLGIAILNGGNAGVQQKM LDYLKEKKDAGFFQSLSGLMQSCSVLDLNAFERQNKAEGLGMVTEEGTLIVRERGEKVLQ NDEFTQDLFRFLQLLCEGHNSDFQNFLRTQMGNTTTVNIIISTVDYLLRLQESISDFYWY YSGKDIIDESGQHNFSKALAVTKQIFNSLTEYIQGPCIGNQQSLAHSRLWDAVVGFLHVF ANMQMKLSQDSSQIELLKELLDLLQDMVVMLLSLLEGNVVNGTIGKQMVDTLVESSTNVE MILKFFDMFLKLKDLTSSDTFKEYDPDGKGIISRKEFQKAMEGLKQYTQSEIDFLLSCTE ADENDMFNYVDFVERFHEPAKDIGFNVAVLLTNLSEHMPNDSRLKSLLDPAESVLNYFEP YLGRIEIMGGAKKIERVYFEISESSRTQWEKPQVKESKRQFIFDVVNEGGEQEKMELFVN FCEDTIFEMQLASQISESDSTDRPEEEEEEDEDSAYSIETEGEEEEKSFESASAFTMACV SVKRNVTKFLKRATLKNLRKQYRNVKKMSAKELVKVFFSFFWMLFVGLFQLLFTIFGGIF QILWNTVFGGGLVEGAKNIRVTKILGDMPDPTQFGIHDDVIETDRAEVTEPGVTTELVHF VKGEAGDTDIMSDLFGIHSKKEGGLKQGPEVGLGDLSEIIGKDEPPTLESTVRKKRKAQA AEMKAVHEAEGKAESEKADMEDREKEDKIKEEGQTDYLWADVTVKKTRRRGQKAEKPEAF MANFFKGLEIYQTKLLVTEEPLEEETEDVANLWNSFNDDDEEEAMVFFVLQESTGYMAPT LRALAIVHTIISLVCVVGYYCLKVPLVVFKREKEIARKLEFDGLYITEQPSEDDIKGQWD RLVINTPSFPNNYWDKFVKRKVINKYGDLYGAERIAELLGLDKNALDFSPVEEAKAEAAS LVSWLSSIDMKYHIWKLGVVFTDNSFLYLAWYTTMSVLGHYNNFFFAAHLLDIAMGFKTL RTILSSVTHNGKQLVLTVGLLAVVVYLYTVVAFNFFRKFYNKSEDDDEPDMKCDDMMTCY LFHMYVGVRAGGGIGDEIEDPAGDPYEMYRIVFDITFFFFVIVILLAIIQGLIIDAFGEL RDQQEQVREDMETKCFICGIGNDYFDTTPHGFETHTLQEHNLANYLFFLMYLINKDETEH TGQESYVWKMYQERCWDFFPAGDCFRKQYEDQLG >ENSMUSP00000147250.1 pep:known chromosome:GRCm38:2:112631359:113217087:-1 gene:ENSMUSG00000057378.15 transcript:ENSMUST00000208290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryr3 description:ryanodine receptor 3 [Source:MGI Symbol;Acc:MGI:99684] MAEAGEGGEDEIQFLRTEDEVVLQCIANIHKEQRKFCLAAEGLGNRLCFLEPTSEAKYIP PDLCVCNFVLEQSLSVRALQEMLANTVENGGEGAAQGGGHRTLLYGHAILLRHSFSGMYL TCLTTSRSQTDKLAFDVGLREHATGEACWWTIHPASKQRSEGEKVRIGDDLILVSVSSER YLHLSISNGSIQVDASFMQTLWNVHPTCSGSSIEEGYLLGGHVVRLFHGHDECLTIPSTD QNDSQHRRVFYEAGGAGTRARSLWRVEPLRISWSGSNIRWGQAFRLRHLTTGHYLALTED QGLLLQDRGKSDTKSTAFSFRASKEIKEKLDSSHKRDMEGMGVPEIKYGDSVCFVQHVAS GLWVTYKAQDAKTSRLGPLKRKVILHQEGHMDDGLTLQRCQQEESQAARIIRNTTALFSQ FVSGNNRTTAPVALPTEEVLQTLQDLIAYFQPPEDEMQHEDKQNKLRSLKNRQNLFKEEG MLALVLNCIDRLNIYNSVAHFAGIVREESGMAWKEILNLLYKLLAALIRGNRNNCAQFSN NLDWLISKLDRLESSSGILEVLHCILIESPEALNLIAEGHIKSIISLLDKHGRNHKVLDV LCSLCLCNGVAVRANQNLICDNLLPRRNLLLQTRLINDVTSIRPNIFLGVAEGSPQYKKW YFELIIDQVEPFLTAEPTHLRVGWASSSGYAPYPGGGEGWGGNGVGDDLYSYGFDGLHLW SGRIPRAVASINQHLLKSDDVVSCCLDLGVPSISFRINGQPVQGMFENFNTDGLFFPVMS FSAGVKVRFLMGGRHGEFKFLPPSGYAPCYEALLPKEKMRLEPVKEYKRDADGVRDLLGT TQFLSQASFIPCPIDTSQVVLPLHLEKIRDRLAENIHELWGMNKIELGWTYGKVRDDNKR QHPCLVEFSKLPETEKNYNLQMSTETLKTLLALGCHIAHVNPAAEEDLKKVKLPKNYMMS NGYKPAPLDLSDVKLLPPQEILVDKLAENAHNVWAKDRIKQGWTYGIQQDLKNKRNPRLV PYALLDERTKKSNRDSLREAVRTFVGYGYNIEPSDQELADPTVEKVSIDKIRFFRVERSY AVKSGKWYFEFEVVTGGDMRVGWARPGCRPDIELGADDQAFVFEGSRGQRWHQGSGYFGR TWQPGDVVGCMINLDDASMVFTLNGELLITNKGSELAFADYEIENGFVPICSLGLSQIGR MNLGTDASTFKFYTMCGLQEGFEPFAVNMNRDVAVWFSKRLPTFVNVPKDHPHIEVVRID GTMDSPPCLKVTHKTFGTQNSNANMIYCRLSMPVECHSSFSHSPCLDSEAFQKRKQMQEI LSHTTTQCYYAIRIFAGQDPSCVWVGWVTPDYHLYSEKFDLNKNCTVTVTLGDERGRVHE SVKRSNCYMVWGGDIVASSQRSSRSNVDLEIGCLLDLAMGMLSFSANGKELGTCYQVEPN TKVFPAVFLQPTSTSLFQFELGKLKNAMPLSAAIFKSEEKNPTPQCPPRLDVQTIQPVLW SRMPSSFLKVETERVSERHGWVVQCLEPLQMMALHIPEENRCVDILELCEQEDLMQFHYH TLRLYSAVCALGNSRVASALCSHVDLSQLFYAIDNKYLPGLLRSGFYDLLISIHLANAKE RKLMMKNEYIIPITSATRNIRLYPDESKRHGLPGVGLRTCLKPGFRFSTPCFVVTSEDHQ KQSPEIPLQILKTKALSMLTEAVHCSGAHIRDPVGGSVEFQFVPVLKLIGTLLVMGVFDD DDVRQILLLIDPSVFGEHSGETEEGVEKEVTHAEEKAVEAGEKACKEAPVKGLLQTRLPE SVKLQMCELLSYLCDCELQHRVEAIVAFGDIYVSKLQANQKFRYNELMQALNMSAALTAR KTREFRSPPQEQINMLLNFHLGENCPCPEEIREELYDFHEDLLVHCGVPLEEEEEEEEDT SWTGKLCALVYKIKGPPKPEKEQPTEEEKPYPTTLKELVSQTMIRWAQENQIQDAELVRM MFNLLRRQYDSIGELLQALRKTYTISQASVNDTINLLAALGQIRSLLSVRMGREEELLMI NGLGDIMNNKVFYQHPNLMRVLGMHETVMEVMVNVLGTEKSQIAFPKMVASCCRFLCYFC RISRQNQKAMFEHLSYLLENSSVGLASPSMRGSTPLDVAASSVMDNNELALGLEEPDLEK VVTYLAGCGLQSCPMLLARGYPDVGWNPIEGERYLSFLRFAVFVNSESVEENASVVVKLL IRRPECFGPALRGEGGNGLLAAMQGAIKISENPALDLPSQGYKTEVTQDDGEEEEIVHMG NAIMSFYSALIDLLGRCAPEMHLIQTGKGEAIRIRSILRSLVPTEDLVGIISIPLKLPSL NKDGSVSEPDMAANFCPDHKAPMVLFLDRVYGIKDQTFLLHLLEVGFLPDLRASASLDTV SLSTTEAALALNRYLCSAVLPLLTRCAPLFSGTEHCTSLIDSTLQTIYRLSKGRSLTKAQ RDTIEECLLAICNHLRPSMLQQLLRRLVFDVPQLSEYCKMPLKLLTNHYEQCWKYYCLPS GWGSYGLAVEEELHLTEKLFWGIFDSLSHKKYDLDLFRMALPCLSAIAGALPPDYLDTRI TATLEKQVSVDADGNFDPKPINTMNFSLPEKLEYIVTKYAEHSHDKWACDKSHSGWKYGI SLDENVKTHPLIRPFKTLTEKEKEIYRWPARESLKTMLAVGWTVERTKEGEALVQQRENE KLRCVSQTNQGNSYSPAPLDLSNVVLSRELQGMVEVVAENYHNIWAKKKKLELESKGGGS HPLLVPYDTLTAKEKFRDREKAQDLFKFLQVNGILVSRGMKDLELDASSMEKRFAYKFLK KILKYVDAAQEFIAHLEAIVSSGKTEKSPHDQEIKFFAKVLLPLVDQYFTNHRLYFLSSP LKPLSSSGYASHKEKEMVASLFCKLAALVRHRISLFGSDSTTMVSCLHILAQTLDTRTVM KSGSELVKAGLRAFFENAAEDLEKTSENLKLGKFTHSRTQIKGVSQNINYTTVALLPILT SIFEHIAQHQFGVDLLLSDVQVSCYHILCSLYSLGTGKNIYVERQRPALGECLASLAAAI PVAFLEPSLNRHNPLSVFNTKTPRERSILGMPDKVEDMCPDIPQLEGLMKEINDLAESGA RYTEMPHVIEVILPMLCNYLSYWWERGPENLPPSTGPCCTKVTSEHLSLILGNILKIINN NLGIDEASWMKRIAVYAQPIISKARPDLLRSHFIPTLEKLKKKAVKTVQEEEQLKTDGKG DTQEAELLILDEFAVLCRDLYAFYPMLIRYVDNNRSNWLKSPDPDSDQLFRMVAEVFILW CKSHNFKREEQNFVIQNEINNLAFLTGDSKSKMSKAMQVKSGGQDQERKKTKRRGDLYSI QTSLIVAALKKMLPIGLNMCTPGDQELISLAKSRYSCRDTDEEVKEHLRNNLHLQEKSDD PAVKWQLNLYKDVLRNDEPSNPEKTVERVQSISAALFHLEQVEQPLRSKKAVWHKLLSKQ RKRAVVACFRMAPLYNLPRHRSINLFLHGYQRFWIETEAHFFEEKLVQDLAKSPRVEDEE EEETERQPDPLHQIILHFSRNALTERSKLEDDPLYTSYSSMMAKSCQSGEDEEEEEDKEK TFEEKEMEKQKTLYQQARLHERGAAEMVLQMISASKGEMSPMVVETLKLGIAILNGGNAG VQQKMLDYLKEKKDAGFFQSLSGLMQSCSVLDLNAFERQNKAEGLGMVTEEGTLIVRERG EKVLQNDEFTQDLFRFLQLLCEGHNSDFQNFLRTQMGNTTTVNIIISTVDYLLRLQESIS DFYWYYSGKDIIDESGQHNFSKALAVTKQIFNSLTEYIQGPCIGNQQSLAHSRLWDAVVG FLHVFANMQMKLSQDSSQIELLKELLDLLQDMVVMLLSLLEGNVVNGTIGKQMVDTLVES STNVEMILKFFDMFLKLKDLTSSDTFKEYDPDGKGIISRKEFQKAMEGLKQYTQSEIDFL LSCTEADENDMFNYVDFVERFHEPAKDIGFNVAVLLTNLSEHMPNDSRLKSLLDPAESVL NYFEPYLGRIEIMGGAKKIERVYFEISESSRTQWEKPQVKESKRQFIFDVVNEGGEQEKM ELFVNFCEDTIFEMQLASQISESDSTDRPEEEEEEDEDSAYSIETEGEEEEKSFESASAF TMACVSVKRNVTKFLKRATLKNLRKQYRNVKKMSAKELVKVFFSFFWMLFVGLFQLLFTI FGGIFQILWNTVFGGGLVEGAKNIRVTKILGDMPDPTQFGIHDDVIETDRAEVTEPGVTT ELVHFVKGEAGDTDIMSDLFGIHSKKEGGLKQGPEVGLGDLSEIIGKDEPPTLESTVRKK RKAQAAEMKAVHEAEGKAESEKADMEDREKEDKIKEEGQTDYLWADVTVKKTRRRGQKAE KPEAFMANFFKGLEIYQTKLLHYLARNFYNLRFLALFVAFAINFILLFYKVTEEPLEEET EDVANLWNSFNDDDEEEAMVFFVLQESTGYMAPTLRALAIVHTIISLVCVVGYYCLKVPL VVFKREKEIARKLEFDGLYITEQPSEDDIKGQWDRLVINTPSFPNNYWDKFVKRKVINKY GDLYGAERIAELLGLDKNALDFSPVEEAKAEAASLVSWLSSIDMKYHIWKLGVVFTDNSF LYLAWYTTMSVLGHYNNFFFAAHLLDIAMGFKTLRTILSSVTHNGKQLVLTVGLLAVVVY LYTVVAFNFFRKFYNKSEDDDEPDMKCDDMMTCYLFHMYVGVRAGGGIGDEIEDPAGDPY EMYRIVFDITFFFFVIVILLAIIQGLIIDAFGELRDQQEQVREDMETKCFICGIGNDYFD TTPHGFETHTLQEHNLANYLFFLMYLINKDETEHTGQESYVWKMYQERCWDFFPAGDCFR KQYEDQLG >ENSMUSP00000079503.5 pep:known chromosome:GRCm38:2:112631371:113217068:-1 gene:ENSMUSG00000057378.15 transcript:ENSMUST00000080673.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryr3 description:ryanodine receptor 3 [Source:MGI Symbol;Acc:MGI:99684] MAEAGEGGEDEIQFLRTEDEVVLQCIANIHKEQRKFCLAAEGLGNRLCFLEPTSEAKYIP PDLCVCNFVLEQSLSVRALQEMLANTVENGGEGAAQGGGHRTLLYGHAILLRHSFSGMYL TCLTTSRSQTDKLAFDVGLREHATGEACWWTIHPASKQRSEGEKVRIGDDLILVSVSSER YLHLSISNGSIQVDASFMQTLWNVHPTCSGSSIEEGYLLGGHVVRLFHGHDECLTIPSTD QNDSQHRRVFYEAGGAGTRARSLWRVEPLRISWSGSNIRWGQAFRLRHLTTGHYLALTED QGLLLQDRGKSDTKSTAFSFRASKEIKEKLDSSHKRDMEGMGVPEIKYGDSVCFVQHVAS GLWVTYKAQDAKTSRLGPLKRKVILHQEGHMDDGLTLQRCQQEESQAARIIRNTTALFSQ FVSGNNRTTAPVALPTEEVLQTLQDLIAYFQPPEDEMQHEDKQNKLRSLKNRQNLFKEEG MLALVLNCIDRLNIYNSVAHFAGIVREESGMAWKEILNLLYKLLAALIRGNRNNCAQFSN NLDWLISKLDRLESSSGILEVLHCILIESPEALNLIAEGHIKSIISLLDKHGRNHKVLDV LCSLCLCNGVAVRANQNLICDNLLPRRNLLLQTRLINDVTSIRPNIFLGVAEGSPQYKKW YFELIIDQVEPFLTAEPTHLRVGWASSSGYAPYPGGGEGWGGNGVGDDLYSYGFDGLHLW SGRIPRAVASINQHLLKSDDVVSCCLDLGVPSISFRINGQPVQGMFENFNTDGLFFPVMS FSAGVKVRFLMGGRHGEFKFLPPSGYAPCYEALLPKEKMRLEPVKEYKRDADGVRDLLGT TQFLSQASFIPCPIDTSQVVLPLHLEKIRDRLAENIHELWGMNKIELGWTYGKVRDDNKR QHPCLVEFSKLPETEKNYNLQMSTETLKTLLALGCHIAHVNPAAEEDLKKVKLPKNYMMS NGYKPAPLDLSDVKLLPPQEILVDKLAENAHNVWAKDRIKQGWTYGIQQDLKNKRNPRLV PYALLDERTKKSNRDSLREAVRTFVGYGYNIEPSDQELADPTVEKVSIDKIRFFRVERSY AVKSGKWYFEFEVVTGGDMRVGWARPGCRPDIELGADDQAFVFEGSRGQRWHQGSGYFGR TWQPGDVVGCMINLDDASMVFTLNGELLITNKGSELAFADYEIENGFVPICSLGLSQIGR MNLGTDASTFKFYTMCGLQEGFEPFAVNMNRDVAVWFSKRLPTFVNVPKDHPHIEVVRID GTMDSPPCLKVTHKTFGTQNSNANMIYCRLSMPVECHSSFSHSPCLDSEAFQKRKQMQEI LSHTTTQCYYAIRIFAGQDPSCVWVGWVTPDYHLYSEKFDLNKNCTVTVTLGDERGRVHE SVKRSNCYMVWGGDIVASSQRSSRSNVDLEIGCLLDLAMGMLSFSANGKELGTCYQVEPN TKVFPAVFLQPTSTSLFQFELGKLKNAMPLSAAIFKSEEKNPTPQCPPRLDVQTIQPVLW SRMPSSFLKVETERVSERHGWVVQCLEPLQMMALHIPEENRCVDILELCEQEDLMQFHYH TLRLYSAVCALGNSRVASALCSHVDLSQLFYAIDNKYLPGLLRSGFYDLLISIHLANAKE RKLMMKNEYIIPITSATRNIRLYPDESKRHGLPGVGLRTCLKPGFRFSTPCFVVTSEDHQ KQSPEIPLQILKTKALSMLTEAVHCSGAHIRDPVGGSVEFQFVPVLKLIGTLLVMGVFDD DDVRQILLLIDPSVFGEHSGETEEGVEKEVTHAEEKAVEAGEKACKEAPVKGLLQTRLPE SVKLQMCELLSYLCDCELQHRVEAIVAFGDIYVSKLQANQKFRYNELMQALNMSAALTAR KTREFRSPPQEQINMLLNFHLGENCPCPEEIREELYDFHEDLLVHCGVPLEEEEEEEEDT SWTGKLCALVYKIKGPPKPEKEQPTEEEKPYPTTLKELVSQTMIRWAQENQIQDAELVRM MFNLLRRQYDSIGELLQALRKTYTISQASVNDTINLLAALGQIRSLLSVRMGREEELLMI NGLGDIMNNKVFYQHPNLMRVLGMHETVMEVMVNVLGTEKSQIAFPKMVASCCRFLCYFC RISRQNQKAMFEHLSYLLENSSVGLASPSMRGSTPLDVAASSVMDNNELALGLEEPDLEK VVTYLAGCGLQSCPMLLARGYPDVGWNPIEGERYLSFLRFAVFVNSESVEENASVVVKLL IRRPECFGPALRGEGGNGLLAAMQGAIKISENPALDLPSQGYKTEVTQDDGEEEEIVHMG NAIMSFYSALIDLLGRCAPEMHLIQTGKGEAIRIRSILRSLVPTEDLVGIISIPLKLPSL NKDGSVSEPDMAANFCPDHKAPMVLFLDRVYGIKDQTFLLHLLEVGFLPDLRASASLDTV SLSTTEAALALNRYLCSAVLPLLTRCAPLFSGTEHCTSLIDSTLQTIYRLSKGRSLTKAQ RDTIEECLLAICNHLRPSMLQQLLRRLVFDVPQLSEYCKMPLKLLTNHYEQCWKYYCLPS GWGSYGLAVEEELHLTEKLFWGIFDSLSHKKYDLDLFRMALPCLSAIAGALPPDYLDTRI TATLEKQVSVDADGNFDPKPINTMNFSLPEKLEYIVTKYAEHSHDKWACDKSHSGWKYGI SLDENVKTHPLIRPFKTLTEKEKEIYRWPARESLKTMLAVGWTVERTKEGEALVQQRENE KLRCVSQTNQGNSYSPAPLDLSNVVLSRELQGMVEVVAENYHNIWAKKKKLELESKGGGS HPLLVPYDTLTAKEKFRDREKAQDLFKFLQVNGILVSRGMKDLELDASSMEKRFAYKFLK KILKYVDAAQEFIAHLEAIVSSGKTEKSPHDQEIKFFAKVLLPLVDQYFTNHRLYFLSSP LKPLSSSGYASHKEKEMVASLFCKLAALVRHRISLFGSDSTTMVSCLHILAQTLDTRTVM KSGSELVKAGLRAFFENAAEDLEKTSENLKLGKFTHSRTQIKGVSQNINYTTVALLPILT SIFEHIAQHQFGVDLLLSDVQVSCYHILCSLYSLGTGKNIYVERQRPALGECLASLAAAI PVAFLEPSLNRHNPLSVFNTKTPRERSILGMPDKVEDMCPDIPQLEGLMKEINDLAESGA RYTEMPHVIEVILPMLCNYLSYWWERGPENLPPSTGPCCTKVTSEHLSLILGNILKIINN NLGIDEASWMKRIAVYAQPIISKARPDLLRSHFIPTLEKLKKKAVKTVQEEEQLKTDGKG DTQEAELLILDEFAVLCRDLYAFYPMLIRYVDNNRSNWLKSPDPDSDQLFRMVAEVFILW CKSHNFKREEQNFVIQNEINNLAFLTGDSKSKMSKSGGQDQERKKTKRRGDLYSIQTSLI VAALKKMLPIGLNMCTPGDQELISLAKSRYSCRDTDEEVKEHLRNNLHLQEKSDDPAVKW QLNLYKDVLRNDEPSNPEKTVERVQSISAALFHLEQVEQPLRSKKAVWHKLLSKQRKRAV VACFRMAPLYNLPRHRSINLFLHGYQRFWIETEAHFFEEKLVQDLAKSPRVEDEEEEETE RQPDPLHQIILHFSRNALTERSKLEDDPLYTSYSSMMAKSCQSGEDEEEEEDKEKTFEEK EMEKQKTLYQQARLHERGAAEMVLQMISASKGEMSPMVVETLKLGIAILNGGNAGVQQKM LDYLKEKKDAGFFQSLSGLMQSCSVLDLNAFERQNKAEGLGMVTEEGTLIVRERGEKVLQ NDEFTQDLFRFLQLLCEGHNSDFQNFLRTQMGNTTTVNIIISTVDYLLRLQESISDFYWY YSGKDIIDESGQHNFSKALAVTKQIFNSLTEYIQGPCIGNQQSLAHSRLWDAVVGFLHVF ANMQMKLSQDSSQIELLKELLDLLQDMVVMLLSLLEGNVVNGTIGKQMVDTLVESSTNVE MILKFFDMFLKLKDLTSSDTFKEYDPDGKGIISRKEFQKAMEGLKQYTQSEIDFLLSCTE ADENDMFNYVDFVERFHEPAKDIGFNVAVLLTNLSEHMPNDSRLKSLLDPAESVLNYFEP YLGRIEIMGGAKKIERVYFEISESSRTQWEKPQVKESKRQFIFDVVNEGGEQEKMELFVN FCEDTIFEMQLASQISESDSTDRPEEEEEEDEDSAYSIETEGEEEEKSFESASAFTMACV SVKRNVTKFLKRATLKNLRKQYRNVKKMSAKELVKVFFSFFWMLFVGLFQLLFTIFGGIF QILWNTVFGGGLVEGAKNIRVTKILGDMPDPTQFGIHDDVIETDRAEVTEPGVTTELVHF VKGEAGDTDIMSDLFGIHSKKEGGLKQGPEVGLGDLSEIIGKDEPPTLESTVRKKRKAQA AEMKAVHEAEGKAESEKADMEDREKEDKIKEEGQTDYLWADVTVKKTRRRGQKAEKPEAF MANFFKGLEIYQTKLLHYLARNFYNLRFLALFVAFAINFILLFYKVTEEPLEEETEDVAN LWNSFNDDDEEEAMVFFVLQESTGYMAPTLRALAIVHTIISLVCVVGYYCLKVPLVVFKR EKEIARKLEFDGLYITEQPSEDDIKGQWDRLVINTPSFPNNYWDKFVKRKVINKYGDLYG AERIAELLGLDKNALDFSPVEEAKAEAASLVSWLSSIDMKYHIWKLGVVFTDNSFLYLAW YTTMSVLGHYNNFFFAAHLLDIAMGFKTLRTILSSVTHNGKQLVLTVGLLAVVVYLYTVV AFNFFRKFYNKSEDDDEPDMKCDDMMTCYLFHMYVGVRAGGGIGDEIEDPAGDPYEMYRI VFDITFFFFVIVILLAIIQGLIIDAFGELRDQQEQVREDMETKCFICGIGNDYFDTTPHG FETHTLQEHNLANYLFFLMYLINKDETEHTGQESYVWKMYQERCWDFFPAGDCFRKQYED QLG >ENSMUSP00000147196.1 pep:known chromosome:GRCm38:2:112631742:113216990:-1 gene:ENSMUSG00000057378.15 transcript:ENSMUST00000134358.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryr3 description:ryanodine receptor 3 [Source:MGI Symbol;Acc:MGI:99684] MAEAGEGGEDEIQFLRTEDEVVLQCIANIHKEQRKFCLAAEGLGNRLCFLEPTSEAKYIP PDLCVCNFVLEQSLSVRALQEMLANTVENGGEGAAQGGGHRTLLYGHAILLRHSFSGMYL TCLTTSRSQTDKLAFDVGLREHATGEACWWTIHPASKQRSEGEKVRIGDDLILVSVSSER YLHLSISNGSIQVDASFMQTLWNVHPTCSGSSIEEGYLLGGHVVRLFHGHDECLTIPSTD QNDSQHRRVFYEAGGAGTRARSLWRVEPLRISWSGSNIRWGQAFRLRHLTTGHYLALTED QGLLLQDRGKSDTKSTAFSFRASKEIKEKLDSSHKRDMEGMGVPEIKYGDSVCFVQHVAS GLWVTYKAQDAKTSRLGPLKRKVILHQEGHMDDGLTLQRCQQEESQAARIIRNTTALFSQ FVSGNNRTTAPVALPTEEVLQTLQDLIAYFQPPEDEMQHEDKQNKLRSLKNRQNLFKEEG MLALVLNCIDRLNIYNSVAHFAGIVREESGMAWKEILNLLYKLLAALIRGNRNNCAQFSN NLDWLISKLDRLESSSGILEVLHCILIESPEALNLIAEGHIKSIISLLDKHGRNHKVLDV LCSLCLCNGVAVRANQNLICDNLLPRRNLLLQTRLINDVTSIRPNIFLGVAEGSPQYKKW YFELIIDQVEPFLTAEPTHLRVGWASSSGYAPYPGGGEGWGGNGVGDDLYSYGFDGLHLW SGRIPRAVASINQHLLKSDDVVSCCLDLGVPSISFRINGQPVQGMFENFNTDGLFFPVMS FSAGVKVRFLMGGRHGEFKFLPPSGYAPCYEALLPKEKMRLEPVKEYKRDADGVRDLLGT TQFLSQASFIPCPIDTSQVVLPLHLEKIRDRLAENIHELWGMNKIELGWTYGKVRDDNKR QHPCLVEFSKLPETEKNYNLQMSTETLKTLLALGCHIAHVNPAAEEDLKKVKLPKNYMMS NGYKPAPLDLSDVKLLPPQEILVDKLAENAHNVWAKDRIKQGWTYGIQQDLKNKRNPRLV PYALLDERTKKSNRDSLREAVRTFVGYGYNIEPSDQELADPTVEKVSIDKIRFFRVERSY AVKSGKWYFEFEVVTGGDMRVGWARPGCRPDIELGADDQAFVFEGSRGQRWHQGSGYFGR TWQPGDVVGCMINLDDASMVFTLNGELLITNKGSELAFADYEIENGFVPICSLGLSQIGR MNLGTDASTFKFYTMCGLQEGFEPFAVNMNRDVAVWFSKRLPTFVNVPKDHPHIEVVRID GTMDSPPCLKVTHKTFGTQNSNANMIYCRLSMPVECHSSFSHSPCLDSEAFQKRKQMQEI LSHTTTQCYYAIRIFAGQDPSCVWVGWVTPDYHLYSEKFDLNKNCTVTVTLGDERGRVHE SVKRSNCYMVWGGDIVASSQRSSRSNVDLEIGCLLDLAMGMLSFSANGKELGTCYQVEPN TKVFPAVFLQPTSTSLFQFELGKLKNAMPLSAAIFKSEEKNPTPQCPPRLDVQTIQPVLW SRMPSSFLKVETERVSERHGWVVQCLEPLQMMALHIPEENRCVDILELCEQEDLMQFHYH TLRLYSAVCALGNSRVASALCSHVDLSQLFYAIDNKYLPGLLRSGFYDLLISIHLANAKE RKLMMKNEYIIPITSATRNIRLYPDESKRHGLPGVGLRTCLKPGFRFSTPCFVVTSEDHQ KQSPEIPLQILKTKALSMLTEAVHCSGAHIRDPVGGSVEFQFVPVLKLIGTLLVMGVFDD DDVRQILLLIDPSVFGEHSGETEEGVEKEVTHAEEKAVEAGEKACKEAPVKGLLQTRLPE SVKLQMCELLSYLCDCELQHRVEAIVAFGDIYVSKLQANQKFRYNELMQALNMSAALTAR KTREFRSPPQEQINMLLNFHLGENCPCPEEIREELYDFHEDLLVHCGVPLEEEEEEEEDT SWTGKLCALVYKIKGPPKPEKEQPTEEEKPYPTTLKELVSQTMIRWAQENQIQDAELVRM MFNLLRRQYDSIGELLQALRKTYTISQASVNDTINLLAALGQIRSLLSVRMGREEELLMI NGLGDIMNNKVFYQHPNLMRVLGMHETVMEVMVNVLGTEKSQIAFPKMVASCCRFLCYFC RISRQNQKAMFEHLSYLLENSSVGLASPSMRGSTPLDVAASSVMDNNELALGLEEPDLEK VVTYLAGCGLQSCPMLLARGYPDVGWNPIEGERYLSFLRFAVFVNSESVEENASVVVKLL IRRPECFGPALRGEGGNGLLAAMQGAIKISENPALDLPSQGYKTEVTQDDGEEEEIVHMG NAIMSFYSALIDLLGRCAPEMHLIQTGKGEAIRIRSILRSLVPTEDLVGIISIPLKLPSL NKDGSVSEPDMAANFCPDHKAPMVLFLDRVYGIKDQTFLLHLLEVGFLPDLRASASLDTV SLSTTEAALALNRYLCSAVLPLLTRCAPLFSGTEHCTSLIDSTLQTIYRLSKGRSLTKAQ RDTIEECLLAICNHLRPSMLQQLLRRLVFDVPQLSEYCKMPLKLLTNHYEQCWKYYCLPS GWGSYGLAVEEELHLTEKLFWGIFDSLSHKKYDLDLFRMALPCLSAIAGALPPDYLDTRI TATLEKQVSVDADGNFDPKPINTMNFSLPEKLEYIVTKYAEHSHDKWACDKSHSGWKYGI SLDENVKTHPLIRPFKTLTEKEKEIYRWPARESLKTMLAVGWTVERTKEGEALVQQRENE KLRCVSQTNQGNSYSPAPLDLSNVVLSRELQGMVEVVAENYHNIWAKKKKLELESKGGGS HPLLVPYDTLTAKEKFRDREKAQDLFKFLQVNGILVSRGMKDLELDASSMEKRFAYKFLK KILKYVDAAQEFIAHLEAIVSSGKTEKSPHDQEIKFFAKVLLPLVDQYFTNHRLYFLSSP LKPLSSSGYASHKEKEMVASLFCKLAALVRHRISLFGSDSTTMVSCLHILAQTLDTRTVM KSGSELVKAGLRAFFENAAEDLEKTSENLKLGKFTHSRTQIKGVSQNINYTTVALLPILT SIFEHIAQHQFGVDLLLSDVQVSCYHILCSLYSLGTGKNIYVERQRPALGECLASLAAAI PVAFLEPSLNRHNPLSVFNTKTPRERSILGMPDKVEDMCPDIPQLEGLMKEINDLAESGA RYTEMPHVIEVILPMLCNYLSYWWERGPENLPPSTGPCCTKVTSEHLSLILGNILKIINN NLGIDEASWMKRIAVYAQPIISKARPDLLRSHFIPTLEKLKKKAVKTVQEEEQLKTDGKG DTQEAELLILDEFAVLCRDLYAFYPMLIRYVDNNRSNWLKSPDPDSDQLFRMVAEVFILW CKSHNFKREEQNFVIQNEINNLAFLTGDSKSKMSKSGGQDQERKKTKRRGDLYSIQTSLI VAALKKMLPIGLNMCTPGDQELISLAKSRYSCRDTDEEVKEHLRNNLHLQEKSDDPAVKW QLNLYKDVLRNDEPSNPEKTVERVQSISAALFHLEQVEQPLRSKKAVWHKLLSKQRKRAV VACFRMAPLYNLPRHKINNFFLITFQRVWLEKVNEKTQYDRLIPILMKSPRVEDEEEEET ERQPDPLHQIILHFSRNALTERSKLEDDPLYTSYSSMMAKSCQSGEDEEEEEDKEKTFEE KEMEKQKTLYQQARLHERGAAEMVLQMISASKGEMSPMVVETLKLGIAILNGGNAGVQQK MLDYLKEKKDAGFFQSLSGLMQSCSVLDLNAFERQNKAEGLGMVTEEGTREKVLQNDEFT QDLFRFLQLLCEGHNSDFQNFLRTQMGNTTTVNIIISTVDYLLRLQESISDFYWYYSGKD IIDESGQHNFSKALAVTKQIFNSLTEYIQGPCIGNQQSLAHSRLWDAVVGFLHVFANMQM KLSQDSSQIELLKELLDLLQDMVVMLLSLLEGNVVNGTIGKQMVDTLVESSTNVEMILKF FDMFLKLKDLTSSDTFKEYDPDGKGIISRKEFQKAMEGLKQYTQSEIDFLLSCTEADEND MFNYVDFVERFHEPAKDIGFNVAVLLTNLSEHMPNDSRLKSLLDPAESVLNYFEPYLGRI EIMGGAKKIERVYFEISESSRTQWEKPQVKESKRQFIFDVVNEGGEQEKMELFVNFCEDT IFEMQLASQISESDSTDRPEEEEEEDEDSAYSIETEGEEEEKSFESASAFTMACVSVKRN VTKFLKRATLKNLRKQYRNVKKMSAKELVKVFFSFFWMLFVGLFQLLFTIFGGIFQILWN TVFGGGLVEGAKNIRVTKILGDMPDPTQFGIHDDVIETDRAEVTEPGVTTELVHFVKGEA GDTDIMSDLFGIHSKKEGGLKQGPEVGLGDLSEIIGKDEPPTLESTVRKKRKAQAAEMKA VHEAEGKAESEKADMEDREKEDKIKEEGQTDYLWADVTVKKTRRRGQKAEKPEAFMANFF KGLEIYQTKLLHYLARNFYNLRFLALFVAFAINFILLFYKVTEEPLEEETEDVANLWNSF NDDDEEEAMVFFVLQESTGYMAPTLRALAIVHTIISLVCVVGYYCLKVPLVVFKREKEIA RKLEFDGLYITEQPSEDDIKGQWDRLVINTPSFPNNYWDKFVKRKVINKYGDLYGAERIA ELLGLDKNALDFSPVEEAKAEAASLVSWLSSIDMKYHIWKLGVVFTDNSFLYLAWYTTMS VLGHYNNFFFAAHLLDIAMGFKTLRTILSSVTHNGKQLVLTVGLLAVVVYLYTVVAFNFF RKFYNKSEDDDEPDMKCDDMMTCYLFHMYVGVRAGGGIGDEIEDPAGDPYEMYRIVFDIT FFFFVIVILLAIIQGLIIDAFGELRDQQEQVREDMETKCFICGIGNDYFDTTPHGFETHT LQEHNLANYLFFLMYLINKDETEHTGQESYVWKMYQERCWDFFPAGDCFRKQYEDQLG >ENSMUSP00000146719.1 pep:known chromosome:GRCm38:2:112996580:113216968:-1 gene:ENSMUSG00000057378.15 transcript:ENSMUST00000208135.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryr3 description:ryanodine receptor 3 [Source:MGI Symbol;Acc:MGI:99684] XEDEIQFLRTYIPPDLCVCNFVLEQSLSVRALQEMLANTVENGGEG >ENSMUSP00000089426.5 pep:known chromosome:GRCm38:2:112631380:113030331:-1 gene:ENSMUSG00000057378.15 transcript:ENSMUST00000091818.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryr3 description:ryanodine receptor 3 [Source:MGI Symbol;Acc:MGI:99684] MLVEATISIFHESIRHLDDHLLHYRPFNFYDPCFPMQEDEVVLQCIANIHKEQRKFCLAA EGLGNRLCFLEPTSEAKYIPPDLCVCNFVLEQSLSVRALQEMLANTVENGGEGAAQGGGH RTLLYGHAILLRHSFSGMYLTCLTTSRSQTDKLAFDVGLREHATGEACWWTIHPASKQRS EGEKVRIGDDLILVSVSSERYLHLSISNGSIQVDASFMQTLWNVHPTCSGSSIEEGYLLG GHVVRLFHGHDECLTIPSTDQNDSQHRRVFYEAGGAGTRARSLWRVEPLRISWSGSNIRW GQAFRLRHLTTGHYLALTEDQGLLLQDRGKSDTKSTAFSFRASKEIKEKLDSSHKRDMEG MGVPEIKYGDSVCFVQHVASGLWVTYKAQDAKTSRLGPLKRKVILHQEGHMDDGLTLQRC QQEESQAARIIRNTTALFSQFVSGNNRTTAPVALPTEEVLQTLQDLIAYFQPPEDEMQHE DKQNKLRSLKNRQNLFKEEGMLALVLNCIDRLNIYNSVAHFAGIVREESGMAWKEILNLL YKLLAALIRGNRNNCAQFSNNLDWLISKLDRLESSSGILEVLHCILIESPEALNLIAEGH IKSIISLLDKHGRNHKVLDVLCSLCLCNGVAVRANQNLICDNLLPRRNLLLQTRLINDVT SIRPNIFLGVAEGSPQYKKWYFELIIDQVEPFLTAEPTHLRVGWASSSGYAPYPGGGEGW GGNGVGDDLYSYGFDGLHLWSGRIPRAVASINQHLLKSDDVVSCCLDLGVPSISFRINGQ PVQGMFENFNTDGLFFPVMSFSAGVKVRFLMGGRHGEFKFLPPSGYAPCYEALLPKEKMR LEPVKEYKRDADGVRDLLGTTQFLSQASFIPCPIDTSQVVLPLHLEKIRDRLAENIHELW GMNKIELGWTYGKVRDDNKRQHPCLVEFSKLPETEKNYNLQMSTETLKTLLALGCHIAHV NPAAEEDLKKVKLPKNYMMSNGYKPAPLDLSDVKLLPPQEILVDKLAENAHNVWAKDRIK QGWTYGIQQDLKNKRNPRLVPYALLDERTKKSNRDSLREAVRTFVGYGYNIEPSDQELAD PTVEKVSIDKIRFFRVERSYAVKSGKWYFEFEVVTGGDMRVGWARPGCRPDIELGADDQA FVFEGSRGQRWHQGSGYFGRTWQPGDVVGCMINLDDASMVFTLNGELLITNKGSELAFAD YEIENGFVPICSLGLSQIGRMNLGTDASTFKFYTMCGLQEGFEPFAVNMNRDVAVWFSKR LPTFVNVPKDHPHIEVVRIDGTMDSPPCLKVTHKTFGTQNSNANMIYCRLSMPVECHSSF SHSPCLDSEAFQKRKQMQEILSHTTTQCYYAIRIFAGQDPSCVWVGWVTPDYHLYSEKFD LNKNCTVTVTLGDERGRVHESVKRSNCYMVWGGDIVASSQRSSRSNVDLEIGCLLDLAMG MLSFSANGKELGTCYQVEPNTKVFPAVFLQPTSTSLFQFELGKLKNAMPLSAAIFKSEEK NPTPQCPPRLDVQTIQPVLWSRMPSSFLKVETERVSERHGWVVQCLEPLQMMALHIPEEN RCVDILELCEQEDLMQFHYHTLRLYSAVCALGNSRVASALCSHVDLSQLFYAIDNKYLPG LLRSGFYDLLISIHLANAKERKLMMKNEYIIPITSATRNIRLYPDESKRHGLPGVGLRTC LKPGFRFSTPCFVVTSEDHQKQSPEIPLQILKTKALSMLTEAVHCSGAHIRDPVGGSVEF QFVPVLKLIGTLLVMGVFDDDDVRQILLLIDPSVFGEHSGETEEGVEKEVTHAEEKAVEA GEKACKEAPVKGLLQTRLPESVKLQMCELLSYLCDCELQHRVEAIVAFGDIYVSKLQANQ KFRYNELMQALNMSAALTARKTREFRSPPQEQINMLLNFHLGENCPCPEEIREELYDFHE DLLVHCGVPLEEEEEEEEDTSWTGKLCALVYKIKGPPKPEKEQPTEEEKPYPTTLKELVS QTMIRWAQENQIQDAELVRMMFNLLRRQYDSIGELLQALRKTYTISQASVNDTINLLAAL GQIRSLLSVRMGREEELLMINGLGDIMNNKVFYQHPNLMRVLGMHETVMEVMVNVLGTEK SQIAFPKMVASCCRFLCYFCRISRQNQKAMFEHLSYLLENSSVGLASPSMRGSTPLDVAA SSVMDNNELALGLEEPDLEKVVTYLAGCGLQSCPMLLARGYPDVGWNPIEGERYLSFLRF AVFVNSESVEENASVVVKLLIRRPECFGPALRGEGGNGLLAAMQGAIKISENPALDLPSQ GYKTEVTQDDGEEEEIVHMGNAIMSFYSALIDLLGRCAPEMHLIQTGKGEAIRIRSILRS LVPTEDLVGIISIPLKLPSLNKDGSVSEPDMAANFCPDHKAPMVLFLDRVYGIKDQTFLL HLLEVGFLPDLRASASLDTVSLSTTEAALALNRYLCSAVLPLLTRCAPLFSGTEHCTSLI DSTLQTIYRLSKGRSLTKAQRDTIEECLLAICNHLRPSMLQQLLRRLVFDVPQLSEYCKM PLKLLTNHYEQCWKYYCLPSGWGSYGLAVEEELHLTEKLFWGIFDSLSHKKYDLDLFRMA LPCLSAIAGALPPDYLDTRITATLEKQVSVDADGNFDPKPINTMNFSLPEKLEYIVTKYA EHSHDKWACDKSHSGWKYGISLDENVKTHPLIRPFKTLTEKEKEIYRWPARESLKTMLAV GWTVERTKEGEALVQQRENEKLRCVSQTNQGNSYSPAPLDLSNVVLSRELQGMVEVVAEN YHNIWAKKKKLELESKGGGSHPLLVPYDTLTAKEKFRDREKAQDLFKFLQVNGILVSRGM KDLELDASSMEKRFAYKFLKKILKYVDAAQEFIAHLEAIVSSGKTEKSPHDQEIKFFAKV LLPLVDQYFTNHRLYFLSSPLKPLSSSGYASHKEKEMVASLFCKLAALVRHRISLFGSDS TTMVSCLHILAQTLDTRTVMKSGSELVKAGLRAFFENAAEDLEKTSENLKLGKFTHSRTQ IKGVSQNINYTTVALLPILTSIFEHIAQHQFGVDLLLSDVQVSCYHILCSLYSLGTGKNI YVERQRPALGECLASLAAAIPVAFLEPSLNRHNPLSVFNTKTPRERSILGMPDKVEDMCP DIPQLEGLMKEINDLAESGARYTEMPHVIEVILPMLCNYLSYWWERGPENLPPSTGPCCT KVTSEHLSLILGNILKIINNNLGIDEASWMKRIAVYAQPIISKARPDLLRSHFIPTLEKL KKKAVKTVQEEEQLKTDGKGDTQEAELLILDEFAVLCRDLYAFYPMLIRYVDNNRSNWLK SPDPDSDQLFRMVAEVFILWCKSHNFKREEQNFVIQNEINNLAFLTGDSKSKMSKAMQVK SGGQDQERKKTKRRGDLYSIQTSLIVAALKKMLPIGLNMCTPGDQELISLAKSRYSCRDT DEEVKEHLRNNLHLQEKSDDPAVKWQLNLYKDVLRNDEPSNPEKTVERVQSISAALFHLE QVEQPLRSKKAVWHKLLSKQRKRAVVACFRMAPLYNLPRHRSINLFLHGYQRFWIETEAH FFEEKLVQDLAKSPRVEDEEEEETERQPDPLHQIILHFSRNALTERSKLEDDPLYTSYSS MMAKSCQSGEDEEEEEDKEKTFEEKEMEKQKTLYQQARLHERGAAEMVLQMISASKGEMS PMVVETLKLGIAILNGGNAGVQQKMLDYLKEKKDAGFFQSLSGLMQSCSVLDLNAFERQN KAEGLGMVTEEGTLIVRERGEKVLQNDEFTQDLFRFLQLLCEGHNSDFQNFLRTQMGNTT TVNIIISTVDYLLRLQESISDFYWYYSGKDIIDESGQHNFSKALAVTKQIFNSLTEYIQG PCIGNQQSLAHSRLWDAVVGFLHVFANMQMKLSQDSSQIELLKELLDLLQDMVVMLLSLL EGNVVNGTIGKQMVDTLVESSTNVEMILKFFDMFLKLKDLTSSDTFKEYDPDGKGIISRK EFQKAMEGLKQYTQSEIDFLLSCTEADENDMFNYVDFVERFHEPAKDIGFNVAVLLTNLS EHMPNDSRLKSLLDPAESVLNYFEPYLGRIEIMGGAKKIERVYFEISESSRTQWEKPQVK ESKRQFIFDVVNEGGEQEKMELFVNFCEDTIFEMQLASQISESDSTDRPEEEEEEDEDSA YSIETEGEEEEKSFESASAFTMACVSVKRNVTKFLKRATLKNLRKQYRNVKKMSAKELVK VFFSFFWMLFVGLFQLLFTIFGGIFQILWNTVFGGGLVEGAKNIRVTKILGDMPDPTQFG IHDDVIETDRAEVTEPGVTTELVHFVKGEAGDTDIMSDLFGIHSKKEGGLKQGPEVGLGD LSEIIGKDEPPTLESTVRKKRKAQAAEMKAVHEAEGKAESEKADMEDREKEDKIKEEGQT DYLWADVTVKKTRRRGQKAEKPEAFMANFFKGLEIYQTKLLHYLARNFYNLRFLALFVAF AINFILLFYKVTEEPLEEETEDVANLWNSFNDDDEEEAMVFFVLQESTGYMAPTLRALAI VHTIISLVCVVGYYCLKVPLVVFKREKEIARKLEFDGLYITEQPSEDDIKGQWDRLVINT PSFPNNYWDKFVKRKVINKYGDLYGAERIAELLGLDKNALDFSPVEEAKAEAASLVSWLS SIDMKYHIWKLGVVFTDNSFLYLAWYTTMSVLGHYNNFFFAAHLLDIAMGFKTLRTILSS VTHNGKQLVLTVGLLAVVVYLYTVVAFNFFRKFYNKSEDDDEPDMKCDDMMTCYLFHMYV GVRAGGGIGDEIEDPAGDPYEMYRIVFDITFFFFVIVILLAIIQGLIIDAFGELRDQQEQ VREDMETKCFICGIGNDYFDTTPHGFETHTLQEHNLANYLFFLMYLINKDETEHTGQESY VWKMYQERCWDFFPAGDCFRKQYEDQLG >ENSMUSP00000145625.1 pep:known chromosome:GRCm38:14:50680691:50692543:-1 gene:ENSMUSG00000057179.5 transcript:ENSMUST00000205373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr747 description:olfactory receptor 747 [Source:MGI Symbol;Acc:MGI:3030581] MNRSVAHVTEFVLLGFPGSWKIQIFLFVLFLVFYVLTLLGNGAIICAVRCDSRLHTPMYF LLGNFAFLEIWYVSSTIPNILANILSKTKAISFSGCFLQFYFFFSLGTTECLFLAVMAYD RYLAICRPLHYPTIMTRRLCCILVSSCWLIGFLGYPIPIFSISQLPFCGSNIIDHFLCDM DPLMALSCAPAPITEFIFYAQSSFVLFFTIAYILRSYILLLKAIFQVPSAAGRRKAFSTC GSHLVVVSLFYGTVMIMYMSPTYGISTLMQKILTLVYSVMTPLFNPLIYSLRNKDMKLAL RKVLLGMRIVKNI >ENSMUSP00000145956.1 pep:known chromosome:GRCm38:14:50681582:50691729:-1 gene:ENSMUSG00000057179.5 transcript:ENSMUST00000205897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr747 description:olfactory receptor 747 [Source:MGI Symbol;Acc:MGI:3030581] MNRSVAHVTEFVLLGFP >ENSMUSP00000077220.4 pep:known chromosome:GRCm38:14:50680691:50681645:-1 gene:ENSMUSG00000057179.5 transcript:ENSMUST00000078075.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr747 description:olfactory receptor 747 [Source:MGI Symbol;Acc:MGI:3030581] MNRSVAHVTEFVLLGFPGSWKIQIFLFVLFLVFYVLTLLGNGAIICAVRCDSRLHTPMYF LLGNFAFLEIWYVSSTIPNILANILSKTKAISFSGCFLQFYFFFSLGTTECLFLAVMAYD RYLAICRPLHYPTIMTRRLCCILVSSCWLIGFLGYPIPIFSISQLPFCGSNIIDHFLCDM DPLMALSCAPAPITEFIFYAQSSFVLFFTIAYILRSYILLLKAIFQVPSAAGRRKAFSTC GSHLVVVSLFYGTVMIMYMSPTYGISTLMQKILTLVYSVMTPLFNPLIYSLRNKDMKLAL RKVLLGMRIVKNI >ENSMUSP00000098260.3 pep:known chromosome:GRCm38:14:42792568:42797987:-1 gene:ENSMUSG00000095226.1 transcript:ENSMUST00000100695.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10377 description:predicted gene 10377 [Source:MGI Symbol;Acc:MGI:3704431] MGSQAGSFRKASPQTPNIDENEKRIKRLEKLKRDLQNIKNERDILQGILAKYKDLNDRTN FETFMLEMQNNQMMTDLKRMSQDISEALYKCQHLTKENQLYCCRNCHLLIESNLIQHKVR MLWKENRQLLRKQIALEECNIKTKILCKEGSQKIKDEYSRQAAGKQIIVVPGSKEEAVMS NPCA >ENSMUSP00000108159.1 pep:known chromosome:GRCm38:5:109996521:110010411:1 gene:ENSMUSG00000066613.14 transcript:ENSMUST00000112540.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp932 description:zinc finger protein 932 [Source:MGI Symbol;Acc:MGI:1916754] MVDALTYDDVYVNFTQEEWALLNPSQKSLYKDVMLETYRNLNAVGYNWEDSNIEEHCESS RRHGRHERNHTGEKPYEGIQYGEAFVHHSSLQMRKIIHTGEKRYKCNQCDKAYSRHSILQ IHKRTHSGEKPYECNQCGKAFTQHSHLKIHMVTHTGEKPYKCDQCGKAFAFHSTLQVHKR THTGEKPYECNQCSKAFAHHCHLRVHKRIHTGEKPYKCDQCGKAFVGQNDLKRHERVHTG EKPYKCNECGKAFVCNASLRTHKTTHTGVKPYECKQCTKSFASHGQLQKHERIHTGEKPY KCDQCGKAFASHDKFQKHERIHIGEKPYKCKQCTKSFASHDKLQKHERIHTGEKPYECKQ CTKSFASHNKLQKHERIHTGEKPYKCDQCNKAFVYESYLQVHKKTHTGEKPYKCNECGKA FARHSHLKVHKITHTGEKPYKCNQCGKALAYHSTLQVHQRTHTGEKPYECEQCGKAFANQ SYFQVHKRIHTGEKPYKCDQCGKAFVGSSDLKRHERVHTGRETLQM >ENSMUSP00000097083.2 pep:known chromosome:GRCm38:5:109996522:110007467:1 gene:ENSMUSG00000066613.14 transcript:ENSMUST00000099484.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp932 description:zinc finger protein 932 [Source:MGI Symbol;Acc:MGI:1916754] MVDALTYDDVYVNFTQEEWALLNPSQKSLYKDVMLETYRNLNAVGYNWEDSNIEEHCESS RRHGR >ENSMUSP00000119843.1 pep:known chromosome:GRCm38:5:109996532:110009109:1 gene:ENSMUSG00000066613.14 transcript:ENSMUST00000125213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp932 description:zinc finger protein 932 [Source:MGI Symbol;Acc:MGI:1916754] MLETYRNLNAVGYNWEDSNIEEHCESSRRHGRHERNHTGEKPYEGIQYGEAFVHHSSLQM RKIIHTGEKRYKCNQCDKAYSRHSILQIHKRTHSGEKPYECNQCGKAFTQHSHLKIHMVT HTGEKPYKCDQCGKAFAFHSTLQVHKRTHTGEKPYECNQCSKAFAHHCHLRVHKRIHTGE KPYKCDQCGKA >ENSMUSP00000121749.1 pep:known chromosome:GRCm38:5:109996542:110009103:1 gene:ENSMUSG00000066613.14 transcript:ENSMUST00000143639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp932 description:zinc finger protein 932 [Source:MGI Symbol;Acc:MGI:1916754] MDALTYDDVYVNFTQEEWALLNPSQKSLYKDVMLETYRNLNAVGYNWEDSNIEEHCESSR RHGRHERNHTGEKPYEGIQYGEAFVHHSSLQMRKIIHTGEKRYKCNQCDKAYSRHSILQI HKRTHSGEKPYECNQCGKAFTQHSHLKIHMVTHTGEKPYKCDQCGKAFAFHSTLQVHKRT HTGEKPYECNQCSKAFAHHCHLRVHKRIHTGEKPYKCDQCG >ENSMUSP00000108155.1 pep:known chromosome:GRCm38:5:110006396:110010404:1 gene:ENSMUSG00000066613.14 transcript:ENSMUST00000112536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp932 description:zinc finger protein 932 [Source:MGI Symbol;Acc:MGI:1916754] MLETYRNLNAVGYNWEDSNIEEHCESSRRHGRHERNHTGEKPYEGIQYGEAFVHHSSLQM RKIIHTGEKRYKCNQCDKAYSRHSILQIHKRTHSGEKPYECNQCGKAFTQHSHLKIHMVT HTGEKPYKCDQCGKAFAFHSTLQVHKRTHTGEKPYECNQCSKAFAHHCHLRVHKRIHTGE KPYKCDQCGKAFVGQNDLKRHERVHTGEKPYKCNECGKAFVCNASLRTHKTTHTGVKPYE CKQCTKSFASHGQLQKHERIHTGEKPYKCDQCGKAFASHDKFQKHERIHIGEKPYKCKQC TKSFASHDKLQKHERIHTGEKPYECKQCTKSFASHNKLQKHERIHTGEKPYKCDQCNKAF VYESYLQVHKKTHTGEKPYKCNECGKAFARHSHLKVHKITHTGEKPYKCNQCGKALAYHS TLQVHQRTHTGEKPYECEQCGKAFANQSYFQVHKRIHTGEKPYKCDQCGKAFVGSSDLKR HERVHTGRETLQM >ENSMUSP00000140446.1 pep:known chromosome:GRCm38:5:110006616:110010018:1 gene:ENSMUSG00000066613.14 transcript:ENSMUST00000187241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp932 description:zinc finger protein 932 [Source:MGI Symbol;Acc:MGI:1916754] LVDALTYDDVYVNFTQEEWALLNPSQKSLYKDVMLETYRNLNAVGYNWEDSNIEEHCESS RRHGRHERNHTGEKPYEGIQYGEAFVHHSSLQMRKIIHTGEKRYKCNQCDKAYSRHSILQ IHKRTHSGEKPYECNQCGKAFTQHSHLKIHMVTHTGEKPYKCDQCGKAFAFHSTLQVHKR THTGEKPYECNQCSKAFAHHCHLRVHKRIHTGEKPYKCDQCGKAFVGQNDLKRHERVHTG EKPYKCNECGKAFVCNASLRTHKTTHTGVKPYECKQCTKSFASHGQLQKHERIHTGEKPY KCDQCGKAFASHDKFQKHERIHIGEKPYKCKQCTKSFASHDKLQKHERIHTGEKPYECKQ CTKSFASHNKLQKHERIHTGEKPYKCDQCNKAFVYESYLQVHKKTHTGEKPYKCNECGKA FARHSHLKVHKITHTGEKPYKCNQCGKALAYHSTLQVHQRTHTGEKPYECEQCGKAFANQ SYFQVHKRIHTGEKPYKCDQCGKAFVGSSDLKRHERVHTGRETLQM >ENSMUSP00000018353.7 pep:known chromosome:GRCm38:2:164074138:164155524:1 gene:ENSMUSG00000018209.15 transcript:ENSMUST00000018353.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk4 description:serine/threonine kinase 4 [Source:MGI Symbol;Acc:MGI:1929004] METVQLRNPPRRQLKKLDEDSLTKQPEEVFDVLEKLGEGSYGSVYKAIHKETGQIVAIKQ VPVESDLQEIIKEISIMQQCDSPHVVKYYGSYFKNTDLWIVMEYCGAGSVSDIIRLRNKT LTEDEIATILQSTLKGLEYLHFMRKIHRDIKAGNILLNTEGHAKLADFGVAGQLTDTMAK RNTVIGTPFWMAPEVIQEIGYNCVADIWSLGITAIEMAEGKPPYADIHPMRAIFMIPTNP PPTFRKPELWSDNFMDFVKQCLVKSPEQRATATQLLQHPFVKSAKGVSILRDLINEAMDV KLKRQEAQQREVDQDDEENSEEDEMDSGTMVRAAGDEMGTVRVASTMSGGANTMIEHGDT LPSQLGTMVINTEDEEEEGTMKRRDETMQPAKPSFLEYFEQKEKENQINSFGKNVSGSLK NSSDWKIPQDGDYEFLKSWTVEDLQKRLLALDPMMEQEMEEIRQKYRSKRQPILDAIEAK KRRQQNF >ENSMUSP00000116745.1 pep:known chromosome:GRCm38:2:164099720:164118369:1 gene:ENSMUSG00000018209.15 transcript:ENSMUST00000137866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk4 description:serine/threonine kinase 4 [Source:MGI Symbol;Acc:MGI:1929004] XGVSILRDLINEAMDVKLKRQEAQQREVDQDDEENSEEDEMDSGTMVRAAGDEMGTVRVA STMSGGANTMIEHGDTLPSQLGTMVINTEDEEEEGTMKRRDETMQPAKPSFLEYFEQKEK ENQINSFGKNVSGSLKNSSDWKIPQDGDYEFVSRAEVDPWRGLHCVHTVQSAHRTPALSI FLQSSSPRNCPWQKLQGQGPH >ENSMUSP00000085629.4 pep:known chromosome:GRCm38:2:164110201:164155524:1 gene:ENSMUSG00000018209.15 transcript:ENSMUST00000088291.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk4 description:serine/threonine kinase 4 [Source:MGI Symbol;Acc:MGI:1929004] MNVWDGRAISLRSDRWTCRRRRRDETMQPAKPSFLEYFEQKEKENQINSFGKNVSGSLKN SSDWKIPQDGDYEFLKSWTVEDLQKRLLALDPMMEQEMEEIRQKYRSKRQPILDAIEAKK RRQQNF >ENSMUSP00000122440.1 pep:known chromosome:GRCm38:2:164070322:164086591:1 gene:ENSMUSG00000018209.15 transcript:ENSMUST00000134078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk4 description:serine/threonine kinase 4 [Source:MGI Symbol;Acc:MGI:1929004] MRVQDACRPGRQLKKLDEDSLTKQPEEVFDVLEKLGEGSYGSVYKAIHKETGQIVAIKQV PVESDLQEIIKEISIMQQCDSPHVVKYYGSYFKNTDLWIVMEYCGAGSVSDII >ENSMUSP00000095811.2 pep:known chromosome:GRCm38:7:103085520:103086479:1 gene:ENSMUSG00000073959.3 transcript:ENSMUST00000098210.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr584 description:olfactory receptor 584 [Source:MGI Symbol;Acc:MGI:3030418] MMYSLMLASRNSSSHSTFFILLGIPGLENYQFWVAFPFCVMYIVAVTGNITILHIIRIDH TLHEPMYLFLAMLATTDLVLSSSTQPKMLAILWFHDHKIEYHACLIQVFFIHAFSSVESG VLMTMALDRYVAICFPLRHSSILTTSAVIKLGAVVMVRGLLWVSPFCFMVSRMPFCPNKI IPQSYCEHMAVLKLVCADTRVNRGYGLFVAFSVVGFDIIVISVSYVMILRAVLRLPSGEA RLKAFGTCASHIGVILTLYIPALFTFLTHRFGHHVPRVVHIMFANVYLLVPPMLNPIIYG VRTKQIRDRVTRGFCVKGS >ENSMUSP00000036175.8 pep:known chromosome:GRCm38:7:99381414:99461877:1 gene:ENSMUSG00000035314.9 transcript:ENSMUST00000037528.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpd5 description:glycerophosphodiester phosphodiesterase domain containing 5 [Source:MGI Symbol;Acc:MGI:2686926] MVRHQPLQYYEPQLCLSCLTGIYGCRWKRYQRSHDDTTPWERLWFLLLVCTFSLTLTWLY FWWGVHNDYDEFNWYLYNRMGYWSDWSVPILVTSAAAFTYIAGLLVLALCHIAVGQQLNL HWIHKMGLVVILASTVVAMSAVAQLWEDEWEVLLISLQGTAPFLHIGALVAITALSWIVA GQFARAERSSSQLTILCTFFAVVFTFYLIPLTISSPCIMEKKDLGPKPALIGHRGAPMLA PEHTVMSFRKALEQRLYGLQADITISLDGVPFLMHDTTLRRTTNVEHLFPELARRPAAML NWTVLQRLNAGQWFLKTDPFWTASSLSPSDHREVQNQSICSLAELLELAKGNASLLLNLR DPPRDHPYRGSFLNVTLEAVLRSGFPQHQVMWLFNRQRPLVRKMAPGFQQTSGSKEAIAN LRKGHIQKLNLRYTQVSHQELRDYASWNLSVNLYTVNAPWLFSLLWCAGVPSVTSDNSHT LSRVPSPLWIMPPDEYCLMWVTADLISFSLIIGIFVLQKWRLGGIRSYNPEQIMLSAAVR RTSRDVSIMKEKLIFSEISDGVEVSDELSVCSDSSYDTYANANSTATPVGPRNAGSRAKT VTEQSGH >ENSMUSP00000147184.1 pep:known chromosome:GRCm38:7:99385898:99424124:1 gene:ENSMUSG00000035314.9 transcript:ENSMUST00000207617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpd5 description:glycerophosphodiester phosphodiesterase domain containing 5 [Source:MGI Symbol;Acc:MGI:2686926] MVRH >ENSMUSP00000146887.1 pep:known chromosome:GRCm38:7:99387010:99424159:1 gene:ENSMUSG00000035314.9 transcript:ENSMUST00000207530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpd5 description:glycerophosphodiester phosphodiesterase domain containing 5 [Source:MGI Symbol;Acc:MGI:2686926] MVRHQPLQYYEPQLCL >ENSMUSP00000146372.1 pep:known chromosome:GRCm38:7:99414650:99441261:1 gene:ENSMUSG00000035314.9 transcript:ENSMUST00000208800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpd5 description:glycerophosphodiester phosphodiesterase domain containing 5 [Source:MGI Symbol;Acc:MGI:2686926] MVRHQPLQYYEPQLCLSCLTGIYGCRWKRYQRSHDDTTPWERLWFLLLVCTFSLTLTWLY FWWGVHNDYDEFNWYLYNRMGYWSDWSVPILVTSAAAFTYIAGL >ENSMUSP00000079022.1 pep:known chromosome:GRCm38:12:55565239:55566896:1 gene:ENSMUSG00000063129.1 transcript:ENSMUST00000080123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoart2 description:aldolase 1 A, retrogene 2 [Source:MGI Symbol;Acc:MGI:1931052] MSYPYPALTPEQKKELSDIARRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYR QLLLTADDRVNPCIGGVILFHETLYQKADDGRPFPQIIKSKGGVVGIKVDKGVVPLAGTN GETTTQGLDDLSGRCAQYKQDGADFAKWRCVLKIGKHTPSALAIMENANVLAHYASICQQ NGIVPIVEPEILPDGDHDLKHCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTAGHAC TQVFSSEEIAMATVTALRRTVPPAVPGVTFLSGGQSEEEASINLNAINKCPLLKPWALTF SYGRALQASALKAWGGKKENTKDAQEEYIKRAQANSLACQGKYTPSNESGAAASESLFIS NHAY >ENSMUSP00000021971.5 pep:known chromosome:GRCm38:13:56438355:56474239:1 gene:ENSMUSG00000021509.5 transcript:ENSMUST00000021971.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a48 description:solute carrier family 25, member 48 [Source:MGI Symbol;Acc:MGI:2145373] MGSFQLEDFVAGWIGGVASVIVGYPLDTVKTRLQAGVGYANTFNCIRMVYKRERVFGFFK GMSFPLASIAIYNSVVFGVFSNTQRFLSKYRCGELEAGPGRSLSDLLLASMLTGVVSVGL GGPVELIKIRLQMQTQPFREASHGLKSRAVAAYQGPVHCIATIVQMEGLTGLYRGASAML LRDIPGYCFYFIPYVFLSEWITPEACTGPSPYAAWLAGGIAGAISWGTATPMDVVKSRIQ ADGVYLNKYRGVVDCISQSYQQEGFKVFFRGITVNAVRGFPMSAAMFLGYELSLKALRGE HTVRSE >ENSMUSP00000097885.4 pep:known chromosome:GRCm38:2:25706739:25707721:1 gene:ENSMUSG00000049086.8 transcript:ENSMUST00000061483.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmyc description:brain expressed myelocytomatosis oncogene [Source:MGI Symbol;Acc:MGI:88184] MPLHVSLANGNRDLDYDSVQPYFMCDDEEEDVHHQQPPQPPAPSEDIWKKFELLPTPRPS PGHAGLYSPPCEAVAVSFAPRDHDGDSFSIADLPELPGGDAVKQSFVCDPDDETFVKNII LQDCMWNGFSASAKLVSKLDPYQAVRKEGTGVSLAADVEPATPPDCTCNT >ENSMUSP00000059077.1 pep:known chromosome:GRCm38:X:114907582:114908510:1 gene:ENSMUSG00000047079.1 transcript:ENSMUST00000062695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2dnl2 description:ubiquitin-conjugating enzyme E2D N-terminal like 2 [Source:MGI Symbol;Acc:MGI:1922347] MDQSTLGAMALKRIQKELVAISQDPPAHCSAGPVAENMFHWQATIMGPEDSPYQGGVFFL SVHFPNNYPFKPPKVTFITRVYHPNISKNGSICLDILNSMWSPALTISKLLLSICSLLCD PNPDDPLVPEIAKVYRKDLREYNRLAREWTKRYAM >ENSMUSP00000100476.2 pep:known chromosome:GRCm38:7:103097743:103098699:1 gene:ENSMUSG00000078080.3 transcript:ENSMUST00000104881.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr585 description:olfactory receptor 585 [Source:MGI Symbol;Acc:MGI:3030419] MLQMQDNTEFLSNFTSKLSTFLLTGIPGLESAHGWISIPFCCLYATALSGNSMILFIIVT QHSLHEPMYYFLSVLSATDLGLTFSTMSTTLRILWFQANEISLDLCIVQMFFLHGFTCTE SGVLVAMAFDRYVAICKPLRYTMILTNSRIIQIGFLVIMRTLLLIIPLLLLLKPVSFCKR NTLSHSYCYPDVIKLACSDTRANNICGLVDLILTTGLDIPCIVLSYILIIRSVLNIASSE ERHKAFSTCVSHIAAVAVFYIPMFSLSLVHRYGRSVPKVVHTMMANVYLLLPPVLNPIIY SVKTKQIRKAILSLLFAK >ENSMUSP00000119014.1 pep:known chromosome:GRCm38:4:133518963:133528768:1 gene:ENSMUSG00000037600.16 transcript:ENSMUST00000149807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdf1 description:keratinocyte differentiation factor 1 [Source:MGI Symbol;Acc:MGI:1916323] MPRPGQPRPSSGPPRLGPWERPSELCLETNDERSQPPPGRRTRRPDPKDPGHHGPESITF ISGSAEPANEPPTCCLLWRPWGWDWCRAAFCFRRCRDCLQRCGACVRGCSPCLSAGDPIE GSAEAAWAKEHNGVPPSPDRAPPSRRDGQRLKTSMGSSFSYPDVKLKGIPVYPYRHATSP VPDVDSCCKEPLAEPPPTRHSLPSTFTNSPRGSEEYYSFHESDLDLPEMGSGSMSSREID VLIFKKLTELFSVHQIDELAKCTSD >ENSMUSP00000048768.9 pep:known chromosome:GRCm38:4:133518992:133530790:1 gene:ENSMUSG00000037600.16 transcript:ENSMUST00000042919.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdf1 description:keratinocyte differentiation factor 1 [Source:MGI Symbol;Acc:MGI:1916323] MPRPGQPRPSSGPPRLGPWERPSELCLETNDERSQPPPGRRTRRPDPKDPGHHGPESITF ISGSAEPANEPPTCCLLWRPWGWDWCRAAFCFRRCRDCLQRCGACVRGCSPCLSAGDPIE GSAEAAWAKEHNGVPPSPDRAPPSRRDGQRLKTSMGSSFSYPDVKLKGIPVYPYRHATSP VPDVDSCCKEPLAEPPPTRHSLPSTFTNSPRGSEEYYSFHESDLDLPEMGSGSMSSREID VLIFKKLTELFSVHQIDELAKCTSDTVFLEKTSKISDLISSITQDYHLDEQDAEGRLVRG IIRISTRKSRSRPQTSEGRSARSTAPAAAPDSGHETMLGSGLSQDELTVQISQETTADAI ARKLRPYGAPGYPASQDSSFQGTDTDSSGAPLLQVYC >ENSMUSP00000122170.1 pep:known chromosome:GRCm38:4:133519023:133528491:1 gene:ENSMUSG00000037600.16 transcript:ENSMUST00000153811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdf1 description:keratinocyte differentiation factor 1 [Source:MGI Symbol;Acc:MGI:1916323] MPRPGQPRPSSGPPRLGPWERPSELCLETNDERSQPPPGRRTRRPDPKDPGHHGPESITF ISGSAEPANEPPTCCLLWRPWGWDWCRAAFCFRRCRDCLQRCGACVRGCSPCLSAGDPIE GSAEAAWAKEHNGVPPSPDRAPPSRRDGQRLKTSMGSSFSYPDVKLKGIPVYP >ENSMUSP00000101521.1 pep:known chromosome:GRCm38:4:133519055:133530785:1 gene:ENSMUSG00000037600.16 transcript:ENSMUST00000105901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdf1 description:keratinocyte differentiation factor 1 [Source:MGI Symbol;Acc:MGI:1916323] MPRPGQPRPSSGPPRLGPWERPSELCLETNDERSQPPPGRRTRRPDPKDPGHHGPESITF ISGSAEPANEPPTCCLLWRPWGWDWCRAAFCFRRCRDCLQRCGACVRGCSPCLSAGDPIE GSAEAAWAKEHNGVPPSPDRAPPSRRDGQRLKTSMGSSFSYPDVKLKGIPVYPYRHATSP VPDVDSCCKEPLAEPPPTRHSLPSTFTNSPRGSEEYYSFHESDLDLPEMGSGSMSSREID VLIFKKLTELFSVHQIDELAKCTSDTVFLEKTSKISDLISSITQDYHLDEQDAEGRLVRG IIRISTRKSRSRPQTSEGRSARSTAPAAAPDSGHETMLGSGLSQDELTVQISQETTADAI ARKLRPYGAPGYPASQDSSFQGTDTDSSGAPLLQVYC >ENSMUSP00000113590.1 pep:known chromosome:GRCm38:4:133519083:133530787:1 gene:ENSMUSG00000037600.16 transcript:ENSMUST00000121797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdf1 description:keratinocyte differentiation factor 1 [Source:MGI Symbol;Acc:MGI:1916323] MPRPGQPRPSSGPPRLGPWERPSELCLETNDERSQPPPGRRTRRPDPKDPGHHGPESITF ISGSAEPANEPPTCCLLWRPWGWDWCRAAFCFRRCRDCLQRCGACVRGCSPCLSAGDPIE GSAEAAWAKEHNGVPPSPDRAPPSRRDGQRLKTSMGSSFSYPDVKLKGIPVYPYRHATSP VPDVDSCCKEPLAEPPPTRHSLPSTFTNSPRGSEEYYSFHESDLDLPEMGSGSMSSREID VLIFKKLTELFSVHQIDELAKCTSDTVFLEKTSKISDLISSITQDYHLDEQDAEGRLVRG IIRISTRKSRSRPQTSEGRSARSTAPAAAPDSGHETMLGSGLSQDELTVQISQETTADAI ARKLRPYGAPGVVLT >ENSMUSP00000142234.1 pep:known chromosome:GRCm38:1:133309827:133329688:1 gene:ENSMUSG00000102367.5 transcript:ENSMUST00000193888.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kiss1 description:KiSS-1 metastasis-suppressor [Source:MGI Symbol;Acc:MGI:2663985] MISMASWQLLLLLCVATYGEPLAKVKPGSTGQQSGPQELVNAWEKESRYAESKPGSAGLR ARRSSPCPPVEGPAGRQRPLCASRSRLIPAPRGAVLVQREKDLSTYNWNSFGLRYGRRQA ARAARG >ENSMUSP00000141501.1 pep:known chromosome:GRCm38:1:133309827:133329722:1 gene:ENSMUSG00000102367.5 transcript:ENSMUST00000194044.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kiss1 description:KiSS-1 metastasis-suppressor [Source:MGI Symbol;Acc:MGI:2663985] MISMASWQLLLLLCVATYGEPLAKVKPGSTGQQSGPQELVNAWEKESRYAESKPGSAGLR ARRSSPCPPVEGPAGRQRPLCASRSRLIPAPRGAVLVQREKDLSTYNWNSFGLRYGRRQA ARAARG >ENSMUSP00000142264.1 pep:known chromosome:GRCm38:1:133323966:133329722:1 gene:ENSMUSG00000102367.5 transcript:ENSMUST00000195286.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kiss1 description:KiSS-1 metastasis-suppressor [Source:MGI Symbol;Acc:MGI:2663985] MISMASWQLLLLLCVATYGEPLAKVKPGSTGQQSGPQELVNAWEKESRYAESKPGSAGLR ARRSSPCPPVEGPAGRQRPLCASRSRLIPAPRGAVLVQREKDLSTYNWNSFGLRYGRRQA ARAARG >ENSMUSP00000047623.8 pep:known chromosome:GRCm38:7:34109543:34133268:-1 gene:ENSMUSG00000036459.15 transcript:ENSMUST00000038537.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wtip description:WT1-interacting protein [Source:MGI Symbol;Acc:MGI:2141920] MQRSRTAADDAALLLAGLGLRESEPTAGSPGRVRRGPRAVDEAAPASGRRGKGGCGGPEA APDVPSRPERGPRASLAGSDGGSARSSGISLGYDQRHGPGPGPPSGGSARSSVSSLGSRG SAGACADLLPPGVGPAPARSPEPAQFPFPLPSLPLPPGREGGPSAAERRLEALTRELERA LEARTARDYFGICIKCGLGIYGARQACQAMGSLYHTDCFICDSCGRRLRGKAFYNVGEKV YCQEDFLYSGFQQTADKCSVCGHLIMEMILQALGKSYHPGCFRCSVCNECLDGVPFTVDV DNNIYCVRDYHTVFAPKCASCARPILPAQGCETTIRVVSMDRDYHVECYHCEDCGLQLSG EEGRRCYPLEGHLLCRRCHLRRLGQGPLPSPAVHVTEL >ENSMUSP00000146103.1 pep:known chromosome:GRCm38:7:34110226:34132818:-1 gene:ENSMUSG00000036459.15 transcript:ENSMUST00000140911.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wtip description:WT1-interacting protein [Source:MGI Symbol;Acc:MGI:2141920] AAARARAVSAWAMTSATAPAPGRRRGAARAPACPAWVPVAQRAPVQTCCRPASARRPPAL RSLPSSPSRCRRCRCPRAGRAARVRPSGGWRRSRGNWSARSRRARRETTLAFVSSVALAS TERGRRARRWGACITPTASSVTPVGDGSVGRPSITWVRKCTARRTSW >ENSMUSP00000095772.1 pep:known chromosome:GRCm38:7:104670704:104671672:1 gene:ENSMUSG00000073922.1 transcript:ENSMUST00000098170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr659 description:olfactory receptor 659 [Source:MGI Symbol;Acc:MGI:3030493] MLLLNQTEVTPVSFILNGIPGLEEMHIWISFPFCSMYVIAVVGNCGLLYLIFFEDSLHRS MYYFLAMLSLTDLVMCSASIPKTLCIFWFYIKEISFTDCLVQMFFIHTFTAMESGVLMLM ALDRYVAICYPLHYSTILTNPVIAKAGLATFLRAVVLIIPLIFITKHLPFCRSNILIHHT YCDQLSVAKVSCGNIKVNIVYGLMIALFIGGFDILCITVSYTMILKAVVSLSSADARQKA FSTCTAHICAIVFSYSPAFFCFFSHRFGGHIIPPSCLIIVANLYLLLPPTMNPVVYGVKT KQIRDCVIRIFSGSKDIKSHSI >ENSMUSP00000081872.2 pep:known chromosome:GRCm38:7:103121829:103122782:-1 gene:ENSMUSG00000066268.4 transcript:ENSMUST00000084811.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr586 description:olfactory receptor 586 [Source:MGI Symbol;Acc:MGI:3030420] MHTGMSIFNLSVTEVSTFHLVGFPGMESAHIWIFIPICLLYTVAILGNCTILFFIKSEPS LHEPMYYFLSMLALSDLGLSISSLPTMLKVFLFNSPDISPNECFAQEFFIHEFSAMESSV LLIMSFDRYIAICNPLRYTSILTSARVLQIGLAFSLKNVLLILPFPVTLVRLRYCKKNLL SHSYCLHQDVMKLACSDNKFNVIYGLFVALTGILDITFIFMSYALILRAVLGIASQRERL KVLSTCVSHICAVLIFYVPVISLAVIYRLASRSSPISKILMADIFLLVPPVMNPIIYCVK SQQIRNVILEKLCQKQS >ENSMUSP00000141709.1 pep:known chromosome:GRCm38:1:158245269:158356229:-1 gene:ENSMUSG00000004031.13 transcript:ENSMUST00000195271.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brinp2 description:bone morphogenic protein/retinoic acid inducible neural-specific 2 [Source:MGI Symbol;Acc:MGI:2443333] MRWPCSSWFRGLWPEAAPWAVLLALGVPGWVLAVSATVAAVVPEQHVSSAGQAPLDWLLT DRGPFHRAQEYADFMERYRQGFTTRYRIYREFARWKVNNLALERRDFFSLPLPLAPEFVR NIRLLGRRPNLQQVTENLIKKYGTHFLLSATLGGKQYPQPQTGCSIVENSFYDLLSIVLF PCIFFLS >ENSMUSP00000004133.8 pep:known chromosome:GRCm38:1:158245269:158356326:-1 gene:ENSMUSG00000004031.13 transcript:ENSMUST00000004133.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brinp2 description:bone morphogenic protein/retinoic acid inducible neural-specific 2 [Source:MGI Symbol;Acc:MGI:2443333] MRWPCSSWFRGLWPEAAPWAVLLALGVPGWVLAVSATVAAVVPEQHVSSAGQAPLDWLLT DRGPFHRAQEYADFMERYRQGFTTRYRIYREFARWKVNNLALERRDFFSLPLPLAPEFVR NIRLLGRRPNLQQVTENLIKKYGTHFLLSATLGGEESLTIFVDKRKLSRKSETTGGIPVV GGTGNSSAVSLETLHQLAASYFIDRESTLRRLHHIQIATGAIKVTETRTGPLGCSNYDNL DSVSSVLVQSPENKVQLLGLQVLLPEHLRERFVAAALSYITCSSEGELVCRENDCWCKCS PTFPECNCPDADIQAMEDSLLQIQDSWATHNRQFEESEEFQTLLKRLPSDRFLNSTAISQ YWTMDSNLQHRYQQLGASLKVLLKKMHRIVRRLFNLCKRCHRQPRFRLPKERSLSFWWNR IQSLLYCGESTFPGTFLEQSHSCTCPYDQSSCQGPIPCALGEGPACAHCASDNSTRCGSC NPGYVLAQGLCRPEVAESLENFLGLETDLQDLELKYLLQKRDSRIEVHSIFISNDMRLGS WFDPSWRKRMLLTLKSNKYKPGLVHVMLALSLQICLTKNSTLEPVMAIYVNPFGGSHSES WFMPVNEGNFPDWERTNVDAAAQCQNWTITLGNRWKTFFETVHVYLRSRIKSLDDSSNET IYYEPLEMTDPSKNLGYMKINTLQVFGYSLPFDPDAIRDLILQLDYPYTQGSQDSALLQL IELRDRVNQLSPPGKVRLDLFSCLLRHRLKLANNEVGRIQSSLRAFNSKLPNPVEYETGK LCS >ENSMUSP00000092136.3 pep:known chromosome:GRCm38:1:133309800:133323026:1 gene:ENSMUSG00000103421.1 transcript:ENSMUST00000094557.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golt1a description:golgi transport 1A [Source:MGI Symbol;Acc:MGI:1915588] MISITEWQKIGVGITGFGVFFILFGILLYFDSVLLAFGNLLFLTGLSLIIGLRRTFAFFF QRHKLKGTSFFLGGVAIVLLRWPLLGMLLEAYGFISLFKGFFPVVFGFLGSAFNIPFLST LFQKLQGSSSSMV >ENSMUSP00000141960.1 pep:known chromosome:GRCm38:1:133309890:133321050:1 gene:ENSMUSG00000103421.1 transcript:ENSMUST00000192465.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golt1a description:golgi transport 1A [Source:MGI Symbol;Acc:MGI:1915588] MLLEAYGFISLFKGFFPVVFGFLGSAFNIPFL >ENSMUSP00000073251.3 pep:known chromosome:GRCm38:14:50710332:50711255:1 gene:ENSMUSG00000060084.3 transcript:ENSMUST00000073561.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr748 description:olfactory receptor 748 [Source:MGI Symbol;Acc:MGI:3030582] MNVSEGSTVTYFVLLGFPGPWKIQITLFSLILLLYMITLTGNMAIICAVRWNQQLHTPMY MFLANFSFLEIWYVTCTVPNMLVNSLSKTKTISFTGCFTQFYFFFSLGTTECFFLCAMAY DRYLAICYPLHYPSIMTRQFCSILMSLCWIIGFSAHLIPIFFISQLSFCGPNIIDHFLCD VDPLMVLSCTPTPIIRHVFYSISTIFIVLTCLYILGSYTLVLRAVLQVSSSDGRQKAFST CGSHLLVVSLFYGTIMVMYVTPKSSNSVAMHKIITLIYSVVTPALNPFIYSLRNKDMKYA LHNVFFG >ENSMUSP00000128030.1 pep:known chromosome:GRCm38:7:26932055:26939380:-1 gene:ENSMUSG00000091867.2 transcript:ENSMUST00000170227.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2a22 description:cytochrome P450, family 2, subfamily a, polypeptide 22 [Source:MGI Symbol;Acc:MGI:3648316] MLGSGLLLVAILAFLSVMVLVSVWQQKIRGKLPPGPIPLPFIGNYLQLNRKDVYSSITQL QEHYGPVFTIHLGPRRVVVLYGYDAVKEALEDNAEEFSGRGEQATFNTLFKGYGVTFSNG ERAKQLRRFSIATLKDFGLGKRGMEERIQEEAGCLIKMLQGTCGAPIDPTMYLSKTVSNV ISSIVFGDRFNYEDKEFLSLLQMMSQMNQFAASPTGQLYDMFHSVMKYLPGPQQQIIKDS HKLEDFMIQKVKHNHSTLDPNSPRGFIDSFLIHMQKEKNFNSEFHMKNLVMTSLNLFFAG SETVSSLLRYGFLLLMKHPDVEAKVHEEIDRVIGRNRQPQYEDHMKMPYTQAVIHEIQRF SNFAPLGIPRRITKDTSFRGFFLPKGTDVFPIMGSLMIDPKFFSSPKDFNPQHFLDDKGQ LKKIPAFLPFSIGKRSCLGYSLGKMQLFLFFTTILQNFRFKFPRKLEDINESPKPEGFTR IIPKYTMSFVPI >ENSMUSP00000002320.8 pep:known chromosome:GRCm38:17:28232754:28301469:1 gene:ENSMUSG00000002250.15 transcript:ENSMUST00000002320.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppard description:peroxisome proliferator activator receptor delta [Source:MGI Symbol;Acc:MGI:101884] MEQPQEETPEAREEEKEEVAMGDGAPELNGGPEHTLPSSSCADLSQNSSPSSLLDQLQMG CDGASGGSLNMECRVCGDKASGFHYGVHACEGCKGFFRRTIRMKLEYEKCDRICKIQKKN RNKCQYCRFQKCLALGMSHNAIRFGRMPEAEKRKLVAGLTASEGCQHNPQLADLKAFSKH IYNAYLKNFNMTKKKARSILTGKSSHNAPFVIHDIETLWQAEKGLVWKQLVNGLPPYNEI SVHVFYRCQSTTVETVRELTEFAKNIPNFSSLFLNDQVTLLKYGVHEAIFAMLASIVNKD GLLVANGSGFVTHEFLRSLRKPFSDIIEPKFEFAVKFNALELDDSDLALFIAAIILCGDR PGLMNVPQVEAIQDTILRALEFHLQVNHPDSQYLFPKLLQKMADLRQLVTEHAQMMQWLK KTESETLLHPLLQEIYKDMY >ENSMUSP00000133077.1 pep:known chromosome:GRCm38:17:28272193:28298716:1 gene:ENSMUSG00000002250.15 transcript:ENSMUST00000169040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppard description:peroxisome proliferator activator receptor delta [Source:MGI Symbol;Acc:MGI:101884] MEQPQEETPEAREEEKEEVAMGDGAPELNGGPEHTLPSSSCADLSQNSSPSSLLDQLQMG CDGASGGSLNMECRVCGDKASGFHYGVHACEGCKGFFRRTIRMKLEYEKCDRICKIQKKN RNKCQYCRFQKCLALGMSHNAIRFGRMPEAEKRKLVAGLTASEGCQHNPQLADLKAFSKH IYNAYLKNFNMTKKKARSILTGKSSHNAPFVIHDIETLWQAEKGLVWKQLVNGLPPYNEI SVHVFYRCQSTT >ENSMUSP00000074242.3 pep:known chromosome:GRCm38:14:50736219:50744319:-1 gene:ENSMUSG00000059069.4 transcript:ENSMUST00000074674.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr749 description:olfactory receptor 749 [Source:MGI Symbol;Acc:MGI:3030583] MNRSAAHVTEFVLLGFPGSWKIQIFLFVLFLVFYVLTLLGNGAIICAVRCDSRLHTPMYF LLGNFAFLEIWYVSSTIPNILANILSKTKAISFSGCFLQFYFFFSLGTTECLFLAVMAYD RYLAICRPLHYPTIMTRRLCCILVSSCWLIGFLGYPIPIFSISQLPFCGSNIIDHFLCDM DPLMALSCAPAPITEFIFYAQSSFVLFFTIAYILRSYILLLRAVFQVPSAAGRRKAFSTC GSHLVVVSLFYGTVMVMYVSPTYGIPILMQKILTLVYSVMTPLFNPLIYSLRNKDMKLAL RNVLLGMRIVKNM >ENSMUSP00000061317.4 pep:known chromosome:GRCm38:11:76046226:76179647:-1 gene:ENSMUSG00000017288.15 transcript:ENSMUST00000056601.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps53 description:vacuolar protein sorting 53 (yeast) [Source:MGI Symbol;Acc:MGI:1915549] MMEEEELEFVEELEAVLQLTPEVQLAIEQVFPSQDPLDQADFNAVEYINTLFPTEQSLAN IDDVVNKIRLKIRRLDDNIRTVVRGQTNVGQDGRQALEEAQKAIQQLFGKIKDIKDKAEK SEQMVKEITRDIKQLDHAKRHLTTSITTLNHLHMLAGGVDSLEAMTRRRQYGEVANLLQG VMNVLEHFHKYMGIPQIRQLSERVKAAQTELGQQILADFEEAFPSQGTKRPGGPSNVLRD ACLVANILDPRIKQEIIKKFIKQHLSEYLVLFQENQDVAWLDKIDRRYAWVKRQLVDYEE KYGRMFPREWCMTERISVEFCHVTRAELSKIMRARAKEIEVKLLLFAIQRTTNFEGFLAK RFSGCTLTDGTLKKLESPPPSTNPFLEDETTPEMEELALEKGELEQPKKPKAPDNPFHGI VSKCFEPHLYVYIESQDKNLSELIDRFVADFKAQGPPKPNTDEGGAVLPSCADLFVYYKK CMVQCSQLSTGEPMIALTTIFQKYLREYAWKILSGNLPKTSSSSGGLTISSLLKEKEGSE VARFTLEELCLICSILSTAEYCLATTQQLEEKLKEKVDVSLTERINLTGEMDTFSTVISS SIQLLVQDLDAACDPALIAMSKMPWQNVEHVGDQSPYVTSVILHIKQNVPIIRDNLASTR KYFTQFCIKFANSFIPKFITHLFKCKPISMVGAEQLLLDTHSLKMVLLDLPSIGSQVVRK APASYTKIVVKGMTRAEMILKVVMAPHEPLVVFVDNYIKLLTDCNSETFQKILDMKGLKR SEQSSMLELLRQRLPAPPSGTEGSSTLSLIAPTPEQESSRIRKLEKLIKKRL >ENSMUSP00000104057.2 pep:known chromosome:GRCm38:11:76046296:76179624:-1 gene:ENSMUSG00000017288.15 transcript:ENSMUST00000108419.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps53 description:vacuolar protein sorting 53 (yeast) [Source:MGI Symbol;Acc:MGI:1915549] MMEEEELEFVEELEAVLQLTPEVQLAIEQVFPSQDPLDQADFNAVEYINTLFPTEQSLAN IDDVVNKIRLKIRRLDDNIRTVVRGQTNVGQDGRQALEEAQKAIQQLFGKIKDIKDKAEK SEQMVKEITRDIKQLDHAKRHLTTSITTLNHLHMLAGGVDSLEAMTRRRQYGEVANLLQG VMNVLEHFHKYMGIPQIRQLSERVKAAQTELGQQILADFEEAFPSQGTKPKKPKAPDNPF HGIVSKCFEPHLYVYIESQDKNLSELIDRFVADFKAQGPPKPNTDEGGAVLPSCADLFVY YKKCMVQCSQLSTGEPMIALTTIFQKYLREYAWKILSGNLPKTSSSSGGLTISSLLKEKE GSEVARFTLEELCLICSILSTAEYCLATTQQLEEKLKEKVDVSLTERINLTGEMDTFSTV ISSSIQLLVQDLDAACDPALIAMSKMPWQNVEHVGDQSPYVTSVILHIKQNVPIIRDNLA STRKYFTQFCIKFANSFIPKFITHLFKCKPISMVGAEQLLLDTHSLKMVLLDLPSIGSQV VRKAPASYTKIVVKGMTRAEMILKVVMAPHEPLVVFVDNYIKLLTDCNSETFQKILDMKG LKRSEQSSMLELLRQRLPAPPSGTEGSSTLSLIAPTPEQESSRIRKLEKLIKKRL >ENSMUSP00000091554.4 pep:known chromosome:GRCm38:11:76046297:76179617:-1 gene:ENSMUSG00000017288.15 transcript:ENSMUST00000094015.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps53 description:vacuolar protein sorting 53 (yeast) [Source:MGI Symbol;Acc:MGI:1915549] MMEEEELEFVEELEAVLQLTPEVQLAIEQVFPSQDPLDQADFNAVEYINTLFPTEQSLAN IDDVVNKIRLKIRRLDDNIRTVVRGQTNVGQDGRQVKEITRDIKQLDHAKRHLTTSITTL NHLHMLAGGVDSLEAMTRRRQYGEVANLLQGVMNVLEHFHKYMGIPQIRQLSERVKAAQT ELGQQILADFEEAFPSQGTKRPGGPSNVLRDACLVANILDPRIKQEIIKKFIKQHLSEYL VLFQENQDVAWLDKIDRRYAWVKRQLVDYEEKYGRMFPREWCMTERISVEFCHVTRAELS KIMRARAKEIEVKLLLFAIQRTTNFEGFLAKRFSGCTLTDGTLKKLESPPPSTNPFLEDE TTPEMEELALEKGELEQPKKPKAPDNPFHGIVSKCFEPHLYVYIESQDKNLSELIDRFVA DFKAQGPPKPNTDEGGAVLPSCADLFVYYKKCMVQCSQLSTGEPMIALTTIFQKYLREYA WKILSGNLPKTSSSSGGLTISSLLKEKEGSEVARFTLEELCLICSILSTAEYCLATTQQL EEKLKEKVDVSLTERINLTGEMDTFSTVISSSIQLLVQDLDAACDPALIAMSKMPWQNVE HVGDQSPYVTSVILHIKQNVPIIRDNLASTRKYFTQFCIKFANSFIPKFITHLFKCKPIS MVGAEQLLLDTHSLKMVLLDLPSIGSQVVRKAPASYTKIVVKGMTRAEMILKVVMAPHEP LVVFVDNYIKLLTDCNSETFQKILDMKGLKRSEQSSMLELLRQRLPAPPSGTEGSSTLSL IAPTPEQESSRIRKLEKLIKKRL >ENSMUSP00000131663.1 pep:known chromosome:GRCm38:11:76062980:76179499:-1 gene:ENSMUSG00000017288.15 transcript:ENSMUST00000167114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps53 description:vacuolar protein sorting 53 (yeast) [Source:MGI Symbol;Acc:MGI:1915549] MMEEEELEFVEELEAVLQLTPEVQLAIEQVFPSQDPLDQADFNAVEYINTLFPTEQSLAN IDDVVNKIRLKIRRLDDNIRTVVRGQTNVGQDGRQKKLESPPPSTNPFLEDETTPEMEEL ALEKGELEQPKKPKAPDNPFHGIVSKCFEPHLYVYIESQDKNLSELIDRFVADFKAQGPP KPNTDEGGAVLPSCADLFVYYKKCMVQCSQLSTGEPMIALTTIFQKYLREYAWKILSGNL PKTSSSSGGLTISSLLKEKEGSEVARFTLEELCLICSILSTAEYCLATTQQLEEKLKEKV DVSLTERINLTGEMDTFSTVISSSIQLLVQDLDAACDPALIAMSKMPWQNVEHVGDQSPY VTSVILHIKQNVPIIRDNLASTRKYFTQFCIKFANSFIPKFITHLFKCKPISMVGAEQV >ENSMUSP00000129159.1 pep:known chromosome:GRCm38:11:76062985:76179499:-1 gene:ENSMUSG00000017288.15 transcript:ENSMUST00000166752.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps53 description:vacuolar protein sorting 53 (yeast) [Source:MGI Symbol;Acc:MGI:1915549] MMEEEELEFVEELEAVLQLTPEVQLAIEQVFPSQDPLDQADFNAVEYINTLFPTEQSLAN IDDVVNKIRLKIRRLDDNIRTVVRGQTNVGQDGRQALEEAQKAIQQLFGKIKDIKDKAEK SEQMVKEITRDIKQLDHAKRHLTTSITTLNHLHMLAGGVDSLEAMTRRRQYGEVANLLQG VMNVLEHFHKYMGIPQIRQLSERVKAAQTELGQQILADFEEAFPSQGTKVAWLDKIDRRY AWVKRQLVDYEEKYGRMFPREWCMTERISVEFCHVTRAELSKIMRARAKEIEVKLLLFAI QRTTNFEGFLAKRFSGCTLTDGTLKKLESPPPSTNPFLEDETTPEMEELALEKGELEQPK KPKAPDNPFHGIVSKCFEPHLYVYIESQDKNLSELIDRFVADFKAQGPPKPNTDEGGAVL PSCADLFVYYKKCMVQCSQLSTGEPMIALTTIFQKYLREYAWKILSGNLPKTSSSSGGLT ISSLLKEKEGSEVARFTLEELCLICSILSTAEYCLATTQQLEEKLKEKVDCHLQQHPAVG SRSGCSL >ENSMUSP00000130499.1 pep:known chromosome:GRCm38:11:76062985:76179516:-1 gene:ENSMUSG00000017288.15 transcript:ENSMUST00000169734.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps53 description:vacuolar protein sorting 53 (yeast) [Source:MGI Symbol;Acc:MGI:1915549] MMEEEELEFVEELEAVLQLTPEVQLAIEQVFPSQDPLDQADFNAVEYINTLFPTEQSLAN IDDVVNKIRLKIRRLDDNIRTVVRGQTNVGQDGRQALEEAQKAIQQLFGKIKDIKDKAEK SEQMVKEITRDIKQLDHAKRHLTTSITTLNHLHMLAGGVDSLEAMTRRRQYGEVANLLQG VMNVLEHFHKYMGIPQIRQLSERVKAAQTELGQQILADFEEAFPSQGTKRPGGPSNVLRD ACLVANILDPRIKQEIIKKFIKQHLSEYLVLFQENQDVAWLDKIDRRYAWVKRQLVDYEE KYGRMFPREWCMTERISVEFCHVTRTFQNHACQS >ENSMUSP00000128856.1 pep:known chromosome:GRCm38:11:76117059:76179532:-1 gene:ENSMUSG00000017288.15 transcript:ENSMUST00000163878.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps53 description:vacuolar protein sorting 53 (yeast) [Source:MGI Symbol;Acc:MGI:1915549] MMEEEELEFVEELEAVLQLTPEVQLAIEQSHDQAQTVWRSC >ENSMUSP00000131387.1 pep:known chromosome:GRCm38:11:76117674:76166201:-1 gene:ENSMUSG00000017288.15 transcript:ENSMUST00000166436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps53 description:vacuolar protein sorting 53 (yeast) [Source:MGI Symbol;Acc:MGI:1915549] XRRLDDNIRTVVRGQTNVGQDGRQALEEAQKAIQQLFGKIKDIKDKAEKSEQMVKEITRD IKQLDHAKRHLTTSITTLNHLHMLAGGVDSLEAMTRRRQYGEVANLLQGVMNVLEHFHKY MGIPQIRQLSERVKAAQTELGQQILADFEEAFPSQGTKVLRPGGPSNVLRDACLVANILD PRIKQEIIKKFIKQHLSEYLVLFQ >ENSMUSP00000127364.1 pep:known chromosome:GRCm38:11:76136199:76179634:-1 gene:ENSMUSG00000017288.15 transcript:ENSMUST00000170730.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps53 description:vacuolar protein sorting 53 (yeast) [Source:MGI Symbol;Acc:MGI:1915549] MMEEEELEFVEELEAVLQLTPEVQLAIEQVFPSQDPLDQADFNAVEYINTLFPTEQALEE AQKAIQQLFGKIKDIKDKAEKSEQMVKEITRDIKQLDHAKRHLTTSITTLNHLHMLAGGV DSL >ENSMUSP00000126125.1 pep:known chromosome:GRCm38:11:76176959:76179638:-1 gene:ENSMUSG00000017288.15 transcript:ENSMUST00000129256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps53 description:vacuolar protein sorting 53 (yeast) [Source:MGI Symbol;Acc:MGI:1915549] MMEEEELEFVEELEAVLQLTPEVQLAIEQVSPDEVA >ENSMUSP00000031161.4 pep:known chromosome:GRCm38:5:77016023:77061522:1 gene:ENSMUSG00000029248.14 transcript:ENSMUST00000031161.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thegl description:theg spermatid protein like [Source:MGI Symbol;Acc:MGI:1919118] MEEGDFSGSSVRSEVTDGRNTTTTTETRTTSELQPKPLVLRLLEVQNGDEAEAVGEEGQE EDYEGSKTHKSHEVSASFRSHNSSDPPQSRKASDSLRSRKGIEPLEPRKTSDSFRSLMGS DPLQSSERQEDGKDDLFPNAVIMTSPSLIARYLPRLQLASLRAHPVTRDLVKKCFYSRKR VQDLSKPKKQWGTPDRRLFWGNQDPIRPVSEAALKAKLSKRIEDLAQPRLVSRHYVPNRI QYYYSCGRESVIWEISPPALVTRPSKRIQKLAKPNKFKAQSLIKRETVPGTTRYSDPSPR ILRLSIAKGTNPSYLPPKTLETKISFSTLSAVATPRIVDLAHPRIKIEGLCYERERSELP IRPVAPAALLANPSKRTIFLAKSKRVHEDYLPIRDARWPVSYAATHSQVSERVQELANPH TRGPANLVYYDPNVFKVKPSALKAHCSDRVKELAEPIVR >ENSMUSP00000112814.1 pep:known chromosome:GRCm38:5:77016023:77061529:1 gene:ENSMUSG00000029248.14 transcript:ENSMUST00000117880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thegl description:theg spermatid protein like [Source:MGI Symbol;Acc:MGI:1919118] MEEGDFSGSSVRSEVTDGRNTTTTTETRTTSELQPKPLVLRLLEVQNGDEAEAVGEEGQE EDYEGSKTHKSHEVSASFRSHNSSDPPQSRKASDSLRSRKGIEPLEPRKTSDSFRSLMGS DPLQSSERQEDGKDDLFPNAVIMTSPSLIARYLPRLQLASLRAHPVTRDLVKKCFYSRKR VQDLSKPKKQWGTPDRRLFWGNQDPIRPVSEAALKAKLSKRIEDLAQPRLVSRHYVPNRI QYYYSCGRESVIWEISPPALVTRPSKRIQKLAKPNKFKAQSLIKRETVPGTTRYSDPSPR ILRLSIAKGTNPSYLPPKTLETKISFSTLSAVATPRIVDLAHPRIKIEGLCYERERSELP IRPVAPAALLANPSKRTIFLAKSKRVHEDYLPIRDARWPVSYAATHSQVSERVQELANPH TRGPANLVYYDPNVFKVKPSALKAHCSDRVKELAEPIVR >ENSMUSP00000112660.1 pep:known chromosome:GRCm38:5:77037054:77047495:1 gene:ENSMUSG00000029248.14 transcript:ENSMUST00000118941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thegl description:theg spermatid protein like [Source:MGI Symbol;Acc:MGI:1919118] MEGKNRRPRRHSPRPAQQSPQAAHPVTRDLVKKCFYSRKRVQDLSKPKKQWGTPDRRLFW GNQDPIRPVSEAALKAKLSKRIEDLAQPRLVSRHYVPNRLVLANRQGASSGLRKENKLRA RLSRQL >ENSMUSP00000006774.4 pep:known chromosome:GRCm38:7:46796103:46823800:1 gene:ENSMUSG00000006599.13 transcript:ENSMUST00000006774.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h1 description:general transcription factor II H, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1277216] MATSSEEVLLIVKKVRQKKQDGALYLMAERIAWAPEGKDRFTISHMYADIKCQKISPEGK AKIQLQLVLHAGDTTNFHFSNESTAVKERDAVKDLLQQLLPKFKRKANKELEEKNRMLQE DPVLFQLYKDLVVSQVISAEEFWANRLNVNATDSSTSSHKQDVGISAAFLADVRPQTDGC NGLRYNLTSDIIESIFRTYPAVKMKYAETVPHNMTEKEFWTRFFQSHYFHRDRLNTGSKD LFAECAKIDEKGLKTMVSLGVKNPMLDLTSLEDKPLDEGYGISSVPSTSNSKSIKENSNA AIIKRFNHHSAMVLAAGLRKQQAQNGQNGEPSSVDGNSGDTDCFQPAVKRAKLQESIEYE DLGNNNSVKTIALNLKKSDRYYHGPTPIQSLQYATSQDIINSFQSIRQEMEAYTPKLTQV LSSSAASSTITALSPGGALMQGGTQQAVNQMVPNDIQSELKHLYVAVGELLRHFWSCFPV NTPFLEEKVVKMKSNLERFQVTKLCPFQEKIRRQYLSTNLVSHIEEMLQTAYNKLHAWQS RRLMKKT >ENSMUSP00000129337.1 pep:known chromosome:GRCm38:7:46796114:46805027:1 gene:ENSMUSG00000006599.13 transcript:ENSMUST00000165031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h1 description:general transcription factor II H, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1277216] MHLIAIMATSSEEVLLIVKKVRQKKQDGALYLMAERIAWAPEGKDRFTISHMYADIKCQK ISPEGKAKIQLQLVLHAGDTTNFHFSNESTAVKERDAVKDLLQQLLPKFKRKANKELEEK NRMLQEDPVLFQLYKDLVVSQVIS >ENSMUSP00000103271.1 pep:known chromosome:GRCm38:7:46796671:46823275:1 gene:ENSMUSG00000006599.13 transcript:ENSMUST00000107644.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h1 description:general transcription factor II H, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1277216] MHLIAIMATSSEEVLLIVKKVRQKKQDGALYLMAERIAWAPEGKDRFTISHMYADIKCQK ISPEGKAKIQLQLVLHAGDTTNFHFSNESTAVKERDAVKDLLQQLLPKFKRKANKELEEK NRMLQEDPVLFQLYKDLVVSQVISAEEFWANRLNVNATDSSTSSHKQDVGISAAFLADVR PQTDGCNGLRYNLTSDIIESIFRTYPAVKMKYAETVPHNMTEKEFWTRFFQSHYFHRDRL NTGSKDLFAECAKIDEKGLKTMVSLGVKNPMLDLTSLEDKPLDEGYGISSVPSTSNSKSI KENSNAAIIKRFNHHSAMVLAAGLRKQQAQNGQNGEPSSVDGNSGDTDCFQPAVKRAKLQ ESIEYEDLGNNNSVKTIALNLKKSDRYYHGPTPIQSLQYATSQDIINSFQSIRQEMEAYT PKLTQVLSSSAASSTITALSPGGALMQGGTQQAVNQMVPNDIQSELKHLYVAVGELLRHF WSCFPVNTPFLEEKVVKMKSNLERFQVTKLCPFQEKIRRQYLSTNLVSHIEEMLQTAYNK LHAWQSRRLMKKT >ENSMUSP00000120008.2 pep:known chromosome:GRCm38:7:46801687:46822909:1 gene:ENSMUSG00000006599.13 transcript:ENSMUST00000128420.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2h1 description:general transcription factor II H, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1277216] MATSSEEVLLIVKKVRQKKQDGALYLMAERIAWAPEGKDRFTISHMYADIK >ENSMUSP00000123009.1 pep:known chromosome:GRCm38:1:37874801:37890407:-1 gene:ENSMUSG00000026088.15 transcript:ENSMUST00000139725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mitd1 description:MIT, microtubule interacting and transport, domain containing 1 [Source:MGI Symbol;Acc:MGI:1916278] MAKSSLGQDSDSTAAVAVLKRAVELDAESRYQQALVCYQEGIDMLLQVLKGTKESSKRCV LRTKISGYMDRAENIKKYLDQEKEDGKYHKQIKIEENATGFSYESLFREYLHETVTEVWI EDPYIRQTHQLYNFLRFCEMLIKKPCKVRTIHLLTSGYEGLGNTQQSSGLEEIKQSLGSH GVVLEINYSSSIHDREIRFNNGWMIKIGRGLDYFKKPQGRFSLGYYDLDLRPCHETTVDI FHNKHTKKI >ENSMUSP00000027257.8 pep:known chromosome:GRCm38:1:37878888:37890411:-1 gene:ENSMUSG00000026088.15 transcript:ENSMUST00000027257.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mitd1 description:MIT, microtubule interacting and transport, domain containing 1 [Source:MGI Symbol;Acc:MGI:1916278] MAKSSLGQDSDSTAAVAVLKRAVELDAESRYQQALVCYQEGIDMLLQVLKGTKESSKRCV LRTKISGYMDRAENIKKYLDQEKEDGKYHKQIKIEENATGFSYESLFREYLHETVTEVWI EDPYIRQTHQLYNFLRFCEMLIKKPCKVRTIHLLTSGYEGLGNTQQSSGLEEIKQSLGSH GVVLEINYSSSIHDREIRFNNGWMIKIGRGLDYFKKPQGRFSLGYYDLDLRPCHETTVDI FHNKHTKKI >ENSMUSP00000031061.7 pep:known chromosome:GRCm38:5:52150203:52190514:-1 gene:ENSMUSG00000029169.11 transcript:ENSMUST00000031061.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx15 description:DEAH (Asp-Glu-Ala-His) box polypeptide 15 [Source:MGI Symbol;Acc:MGI:1099786] MSKRHRLDLGEDYPSGKKRAGTDGKDRERDRDREDRSKDRDRERDRGDREREREKEKEKE LRASTNAMLISAGLPPLKASHSAHSTHSAHSTHSTHSAHSTHTGHTGHTSLPQCINPFTN LPHTPRYYDILKKRLQLPVWEYKDRFTDILVRHQSFVLVGETGSGKTTQIPQWCVEYMRS LPGPKRGVACTQPRRVAAMSVAQRVADEMDVMLGQEVGYSIRFEDCSSAKTILKYMTDGM LLREAMNDPLLERYGVIILDEAHERTLATDILMGVLKEVVRQRSDLKVIVMSATLDAGKF QIYFDNCPLLTIPGRTHPVEIFYTPEPERDYLEAAIRTVIQIHMCEEEEGDLLLFLTGQE EIDEACKRIKREVDDLGPEVGDIKIIPLYSTLPPQQQQRIFEPPPPKKQNGAIGRKVVVS TNIAETSLTIDGVVFVIDPGFAKQKVYNPRIRVESLLVTAISKASAQQRAGRAGRTRPGK CFRLYTEKAYKTEMQDNTYPEILRSNLGSVVLQLKKLGIDDLVHFDFMDPPAPETLMRAL ELLNYLAALNDDGDLTELGSMMAEFPLDPQLAKMVIASCDYNCSNEVLSITAMLSVPQCF VRPTEAKKAADEAKMRFAHIDGDHLTLLNVYHAFKQNHESVQWCYDNFINYRSLMSADNV RQQLSRIMDRFNLPRRSTDFTSRDYYINIRKALVTGYFMQVAHLERTGHYLTVKDNQVVQ LHPSTVLDHKPEWVLYNEFVLTTKNYIRTCTDIKPEWLVKIAPQYYDMSNFPQCEAKRQL DRIIAKLQSKEYSQY >ENSMUSP00000143381.1 pep:known chromosome:GRCm38:5:52150693:52190377:-1 gene:ENSMUSG00000029169.11 transcript:ENSMUST00000200186.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhx15 description:DEAH (Asp-Glu-Ala-His) box polypeptide 15 [Source:MGI Symbol;Acc:MGI:1099786] MSKRHRLDLGEDYPSGKKRAGTDGKDRERDRDREDRSKDRDRERDRGDREREREKEKEKE LRASTNAMLISAGLPPLKASHSAHSTHSAHSTHSTHSAHSTHTGHTGHTSLPQCINPFTN LPHTPRYYDILKKRLQLPVWEYKDRFTDILVRHQSFVLVGETGSGKTTQIPQWCVEYMRS LPGPKRGVACTQPRRVAAMSVAQRVADEMDVMLGQEVGYSIRFEDCSSAKTILKYMTDGM LLREAMNDPLLERYGVIILDEAHERTLATDILMGVLKEVVRQRSDLKVIVMSATLDAGKF QIYFDNCPLLTIPGRTHPVEIFYTPEPERDYLEAAIRTVIQIHMCEEEEGDLLLFLTGQE EIDEACKRIKREVDDLGPEVGDIKIIPLYSTLPPQQQQRIFEPPPPKKQNGAIGRKVVVS TNIAETSLTIDGVVFVIDPGFAKQKVYNPRIRVESLLVTAISKASAQQRAGRAGRTRPGK CFRLYTEKAYKTEMQDNTYPEILRSNLGSVVLQLKKLGIDDLVHFDFMDPPAPETLMRAL ELLNYLAALNDDGDLTELGSMMAEFPLDPQLAKMVIASCDYNCSNEVLSITAMLSVFCSP HGGQESCR >ENSMUSP00000143069.1 pep:known chromosome:GRCm38:5:52153726:52190489:-1 gene:ENSMUSG00000029169.11 transcript:ENSMUST00000199321.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx15 description:DEAH (Asp-Glu-Ala-His) box polypeptide 15 [Source:MGI Symbol;Acc:MGI:1099786] MSKRHRLDLGEDYPSGKKRAGTDGKDRERDRDREDRSKDRDRERDRGDREREREKEKEKE LRASTNAMLISAGLPPLKASHSAHSTHSAHSTHSTHSAHSTHTGHTGHTSLPQCINPFTN LPHTPRYYDILKKRLQLPVWEYKDRFTDILVRHQSFVLVGETGSGKTTQIPQWCVEYMRS LPGPKRGVACTQPRRVAAMSVAQRVADEMDVMLGQEVGYSIRFEDCSSAKTILKYMTDGM LLREAMNDPLLERYGVIILDEAHERTLATDILMGVLKEVVRQRSDLKVIVMSATLDAGKF QIYFDNCPLLTIPGRTHPVEIFYTPEPERDYLEAAIRTVIQIHMCEEEEGDLLLFLTGQE EIDEACKRIKREVDDLGPEVGDIKIIPLYSTLPPQQQQRIFEPPPPKKQNGAIGRKVVVS TNIAETSLTIDGVVFVIDPGFAKQKVYNPRIRVESLLVTAISKASAQQRAGRAGRTRPGK CFRLYTEKAYKTEMQDNTYPEILRSNLGSVVLQLKKLGIDDLVHFDFMDPPAPETLMRAL ELLNYLAALNDDGDLTELGSMMAEFPLDPQLAKMVIASCDYNCSNEVLSITAMLSVPQCF VRPTEAKKAADEAKMRFAHIDGDHLTLLNVYHAFKQNHESVQWCYDNFINYRSLMSADNV RQQLSRIMDRFNLPRRSTDFTSRDYYINIRKALVTGYFMQVSS >ENSMUSP00000143658.1 pep:known chromosome:GRCm38:5:52166761:52190494:-1 gene:ENSMUSG00000029169.11 transcript:ENSMUST00000195922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx15 description:DEAH (Asp-Glu-Ala-His) box polypeptide 15 [Source:MGI Symbol;Acc:MGI:1099786] MSKRHRLDLGEDYPSGKKRAGTDGYMTDGMLLREAMNDPLLERYGVIILDEAHERTLATD ILMGVLKEVVRQRSDLKVIVMSATLDAGKFQIYFDNCPLLTIPGRTHPVEIFYTPEPERD YLEAAIRTVIQIHMCEEEEGDLLLFLTGQEEIDEACKRIKREVDDLGPEVGDIKIIPLYS TLPPQQ >ENSMUSP00000106747.1 pep:known chromosome:GRCm38:2:104678017:104712156:-1 gene:ENSMUSG00000027175.10 transcript:ENSMUST00000111118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp11l1 description:t-complex 11 like 1 [Source:MGI Symbol;Acc:MGI:2444263] MSENLDKSHVDEAGEAEAAASEQGLEGALECSDETLQKKVKSDSPSSQRVGRPHSSPARL VTVEELLETAKGVTNMALAHEIVVTGDFRINAVELAEGSLEKRVKEIVHKAFWDCLSVQL SEEPPTYDHAIKLVGEIKETLLSFLLPGHTRLRNQITEVLDLELIKQEAENGALDISKLA EFIIGMMGILCAPARDEEVKKLKGIKEIVPLFRAIFSVLDLMKVDMANFAISSIRPHLMQ QSVEYERRKFQEVLERQPNSLDFATQWLEEATNDLLSQKYKHALPAGGGAAGSGDAPLLT PVSVQNFAYLKLLKWDHFQRPFPETVLMDQSRFQELQLQLEQLAVLGAVLLVTFSTAAPG ISGHADFAEKLKMMVKTLLTDMHLPSFHLEDALASIGEKVCLEVSSCLSLCGSSPFSVAK ETVLKGQIQALASPEDPIRRIMESRIFTFLETYLASGHQKPLPTVPGGLGPIQKELEEVA VKFVRLVNYNKMVFCPYYDAILSKLLLRS >ENSMUSP00000028597.3 pep:known chromosome:GRCm38:2:104679980:104712162:-1 gene:ENSMUSG00000027175.10 transcript:ENSMUST00000028597.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp11l1 description:t-complex 11 like 1 [Source:MGI Symbol;Acc:MGI:2444263] MSENLDKSHVDEAGEAEAAASEQGLEGALECSDETLQKKVKSDSPSSQRVGRPHSSPARL VTVEELLETAKGVTNMALAHEIVVTGDFRINAVELAEGSLEKRVKEIVHKAFWDCLSVQL SEEPPTYDHAIKLVGEIKETLLSFLLPGHTRLRNQITEVLDLELIKQEAENGALDISKLA EFIIGMMGILCAPARDEEVKKLKGIKEIVPLFRAIFSVLDLMKVDMANFAISSIRPHLMQ QSVEYERRKFQEVLERQPNSLDFATQWLEEATNDLLSQKYKHALPAGGGAAGSGDAPLLT PVSVQNFAYLKLLKWDHFQRPFPETVLMDQSRFQELQLQLEQLAVLGAVLLVTFSTAAPG ISGHADFAEKLKMMVKTLLTDMHLPSFHLEDALASIGEKVCLEVSSCLSLCGSSPFSVAK ETVLKGQIQALASPEDPIRRIMESRIFTFLETYLASGHQKPLPTVPGGLGPIQKELEEVA VKFVRLVNYNKMVFCPYYDAILSKLLLRS >ENSMUSP00000139992.1 pep:known chromosome:GRCm38:Y:83030947:83057301:1 gene:ENSMUSG00000099925.1 transcript:ENSMUST00000187165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28827 description:predicted gene 28827 [Source:MGI Symbol;Acc:MGI:5579533] MRRMSLKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEGDED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000107060.3 pep:known chromosome:GRCm38:1:165763746:165775308:1 gene:ENSMUSG00000040713.12 transcript:ENSMUST00000111432.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creg1 description:cellular repressor of E1A-stimulated genes 1 [Source:MGI Symbol;Acc:MGI:1344382] MAARAPELARSLLAALLAPALVALLVSPASGRGGRDHGDWDVDRRLPPLPPREDGPRVAR FVTHVSDWGSLATISTIKEVRGWPFADIISISDGPPGEGTGEPYMYLSPLQQAVSDLQEN PEATLTMSLAQTVYCRNHGFDPQSPLCVHIMMSGTVTKVNKTEEDYARDSLFVRHPEMKH WPSSHNWFFAKLKISRIWVLDYFGGPKVVTPEEYFNVTLQ >ENSMUSP00000137087.1 pep:known chromosome:GRCm38:1:165763746:165775308:1 gene:ENSMUSG00000040713.12 transcript:ENSMUST00000140769.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Creg1 description:cellular repressor of E1A-stimulated genes 1 [Source:MGI Symbol;Acc:MGI:1344382] MAARAPELARSLLAALLAPALVALLVSPASGRGGRDHGDWDVDRRLPPLPPREDGPRVAR FVTHVSDWGSLATISTIKEVRGWPFADIISISDGPPGEGTGEPYMYLSPLQQAVSDLQLR WKQPGRERPHRSPEMKPDSVEGLWQN >ENSMUSP00000041234.4 pep:known chromosome:GRCm38:1:165769476:165773918:1 gene:ENSMUSG00000040713.12 transcript:ENSMUST00000040298.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creg1 description:cellular repressor of E1A-stimulated genes 1 [Source:MGI Symbol;Acc:MGI:1344382] MSLAQTVYCRNHGFDPQSPLCVHIMMSGTVTKVNKTEEDYARDSLFVRHPEMKHWPSSHN WFFAKLKISRIWVLDYFGGPKVVTPEEYFNVTLQ >ENSMUSP00000092233.4 pep:known chromosome:GRCm38:5:87065927:87092555:-1 gene:ENSMUSG00000070704.10 transcript:ENSMUST00000094649.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2b36 description:UDP glucuronosyltransferase 2 family, polypeptide B36 [Source:MGI Symbol;Acc:MGI:3576103] MLWKWISALLLLQISCCFRSAKCGKVLVWPVDYSHWMNIKIILDELKQKGHEVTVLRPST SIFLDPKKSPGLKFETFPTSFSNDVMEIIFAKAVERWTYEVPRDTCLSYSPLLQNIFDEY SDYCLTLCKDTVSNKQLMAKLQESKFDVILSDAIGPCGELIAELLQIPFLYSLRFSPGYY LEKYSGGLPLPPSYVPVILSGLSGQMTFKERVKNMICMLYFDFWFQTFREKKWDQFYSET LGRPTTLIETMGKAEMWLIRSYWDLEFPHPTLPNVYYVGGVHCKPAKPLPKEMEDFVQSS GEHGVVVFSLGSMVSNMTEEKANAIAWALAQIPQKVLWRFDGKTPATLGPNTRIYKWLPQ NDLLGHPKTKAFITHGGANGLYEAIHHGIPMIGIPLFGEQHDNIAHMVAKGAAVTLNIRT MSRSDLLNALEEVIDNPFYKENAMWLSTIHHDQPMKPLDRAVFWIEFVMRHKGAKHLRPL AYNLTWYQYHSLDVIGFLLAFVTFIVALIVKCFLFVYRFFVKKEKKMKNE >ENSMUSP00000120005.1 pep:known chromosome:GRCm38:5:87066438:87092548:-1 gene:ENSMUSG00000070704.10 transcript:ENSMUST00000145617.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2b36 description:UDP glucuronosyltransferase 2 family, polypeptide B36 [Source:MGI Symbol;Acc:MGI:3576103] MLWKWISALLLLQISCCFRSAKCGKVLTFREKKWDQFYSETLGRPTTLIETMGKAEMWLI RSYWDLEFPHPTLPNVYYVGGVHCKPAKPLPKEMEDFVQSSGEHGVVVFSLGSMVSNMTE EKANAIAWALAQIPQKVLWRFDGKTPATLGPNTRIYKWLPQNDLLGHPKTKAFITHGGAN GLYEAIHHGIPMIGIPLFGEQHDNIAHMVAKGAAVTLNIRTMSRSDLLNALEEVIDNPFY KENAMWLST >ENSMUSP00000123024.1 pep:known chromosome:GRCm38:5:87081009:87092546:-1 gene:ENSMUSG00000070704.10 transcript:ENSMUST00000132667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2b36 description:UDP glucuronosyltransferase 2 family, polypeptide B36 [Source:MGI Symbol;Acc:MGI:3576103] MLWKWISALLLLQISCCFRSAKCGKVLVWPVDYSHWMNIKIILDELKQKGHEVTVLRPST SIFLDPKKSPGLKFETFPTSFSNDVMEIIFAKAVERWTYETFREKKWDQFYSETLGRPTT LIETMGKAEMWLIRSYWDLEFPHPTLPNVYYVGGVHCKPAKPLPKEMEDFVQSSGEHGVV VFSLGSMVSNMTEEKANAIAWALAQIPQKVLWRFDGKTPATLGPNTRIYKWLPQNDLLGH PKTKAFITHGGANGLYEAIHHGIPM >ENSMUSP00000136103.1 pep:known chromosome:GRCm38:12:56531958:56535106:-1 gene:ENSMUSG00000001496.15 transcript:ENSMUST00000178477.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx2-1 description:NK2 homeobox 1 [Source:MGI Symbol;Acc:MGI:108067] MSMSPKHTTPFSVSDILSPLEESYKKVGMEGGGLGAPLAAYRQGQAAPPAAAMQQHAVGH HGAVTAAYHMTAAGVPQLSHSAVGGYCNGNLGNMSELPPYQDTMRNSASGPGWYGANPDP RFPAISRFMGPASGMNMSGMGGLGSLGDVSKNMAPLPSAPRRKRRVLFSQAQVYELERRF KQQKYLSAPEREHLASMIHLTPTQVKIWFQNHRYKMKRQAKDKAAQQQLQQDSGGGGGGG GGAGCPQQQQAQQQSPRRVAVPVLVKDGKPCQAGAPAPGAASLQSHAQQQAQQQAQAAQA AAAAISVGSGGAGLGAHPGHQPGSAGQSPDLAHHAASPAGLQGQVSSLSHLNSSGSDYGA MSCSTLLYGRTW >ENSMUSP00000001536.7 pep:known chromosome:GRCm38:12:56531958:56536908:-1 gene:ENSMUSG00000001496.15 transcript:ENSMUST00000001536.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx2-1 description:NK2 homeobox 1 [Source:MGI Symbol;Acc:MGI:108067] MSMSPKHTTPFSVSDILSPLEESYKKVGMEGGGLGAPLAAYRQGQAAPPAAAMQQHAVGH HGAVTAAYHMTAAGVPQLSHSAVGGYCNGNLGNMSELPPYQDTMRNSASGPGWYGANPDP RFPAISRFMGPASGMNMSGMGGLGSLGDVSKNMAPLPSAPRRKRRVLFSQAQVYELERRF KQQKYLSAPEREHLASMIHLTPTQVKIWFQNHRYKMKRQAKDKAAQQQLQQDSGGGGGGG GGAGCPQQQQAQQQSPRRVAVPVLVKDGKPCQAGAPAPGAASLQSHAQQQAQQQAQAAQA AAAAISVGSGGAGLGAHPGHQPGSAGQSPDLAHHAASPAGLQGQVSSLSHLNSSGSDYGA MSCSTLLYGRTW >ENSMUSP00000124864.1 pep:known chromosome:GRCm38:10:43221600:43464882:1 gene:ENSMUSG00000038240.13 transcript:ENSMUST00000159139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdss2 description:prenyl (solanesyl) diphosphate synthase, subunit 2 [Source:MGI Symbol;Acc:MGI:1918615] MSLRQLLLRLSGYLGASGPPSRHWWYFRSLDSISSAGSWRGRSSRSPAHWNQVVSEAEKI VGYPASFMSLRCLLSDELSNIAMQVRKLVGTGHPLLTTARALVHDSRHNLQLRGLVVLLI SKAAGPSTRNAACQNYDMVSGVYSCQRSLAEITELIHTALLVHRGIVNLSELQSSDGPLK DMQFGNKIAILSGDFLLANACNGLALLQNTKVVELLSSALMDLVHGVYQENSASTKENSI PDDIGISTWKEQTFLSHCALLAKSCQAAMELAKHDAAVQDMAFQYGKHMAMSHKINADLQ PFIKDKASDSKTFNLNSAPVVLHQEFLGRDLWIKQIGEAQEKGSLNYSKVVS >ENSMUSP00000093393.4 pep:known chromosome:GRCm38:10:43221486:43440602:1 gene:ENSMUSG00000038240.13 transcript:ENSMUST00000095725.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdss2 description:prenyl (solanesyl) diphosphate synthase, subunit 2 [Source:MGI Symbol;Acc:MGI:1918615] MSLRQLLLRLSGYLGASGPPSRHWWYFRSLDSISSAGSWRGRSSRSPAHWNQVVSEAEKI VGYPASFMSLRCLLSDELSNIAMQVRKLVGTGHPLLTTARALVHDSRHNLQLRGLVVLLI SKAAGPSTRNAACQNYDMVSGVYSCQRSLAEITELIHTALLVHRGIVNLSELQSSDGPLK DMQFGNKIAILSGDFLLANACNGLALLQNTKVVELLSSALMDLVHGVYQENSASTKENSI PDDIGISTWKEQTFLSHCALLAKSCQAAMELAKHDAAVQDMAFQYGKHMAMSHKINADLQ PFIKDKASDSKTFNLNSAPVVLHQEFLGRDLWIKQIGEAQEKGSLNYSKLRETIKAGKGV TSAIDLCRYHGNKALEALESFPPSEARSALENIVFAVTRFS >ENSMUSP00000124046.1 pep:known chromosome:GRCm38:10:43221486:43405395:1 gene:ENSMUSG00000038240.13 transcript:ENSMUST00000160823.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdss2 description:prenyl (solanesyl) diphosphate synthase, subunit 2 [Source:MGI Symbol;Acc:MGI:1918615] MSLRQLLLRLSGYLGASGPPSRHWWYFRSLDSISSAGSWRGRSSRSPAHWNQVVSEAEKI VGYPASFMSLRCLLSDELSNIAMQVRKLVGTGHPLLTTARALVHDSRHNLQLRGLVVLLI SKAAGPSTRNAACQNYDMVSGVYSCQRSLAEITELIHTALLVHRGIVNLSELQSSDGPLK DMQFGNKIAILSGDFLLANACNGLALLQNTKVVELLSSALMDLVHGVYQENSASTKENSI PDDIGISTWKEQTFLSHCALLAKSCQAAMELAKHDAAVQDMAFQYGKHMAMSHKINADLQ PFIKDKASDSKTFNLNSAPVVLHQEFLGRDLWIKQIGEDPAMVASPPW >ENSMUSP00000124440.1 pep:known chromosome:GRCm38:10:43393921:43440248:1 gene:ENSMUSG00000038240.13 transcript:ENSMUST00000162008.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdss2 description:prenyl (solanesyl) diphosphate synthase, subunit 2 [Source:MGI Symbol;Acc:MGI:1918615] XFLSHCALLAKSCQAAMELAKHDAAVQDMAFQYGKHMAMSHKINADLQPFIKDKASDSKT FNLNSAPVVLHQEFLGRDLWIKQIGELRETIKAGKGVTSAIDLCRYHGNKALEALESFPP SEARSALENIVFAVTRFS >ENSMUSP00000085630.5 pep:known chromosome:GRCm38:6:125067920:125082472:1 gene:ENSMUSG00000067714.13 transcript:ENSMUST00000088292.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar5 description:lysophosphatidic acid receptor 5 [Source:MGI Symbol;Acc:MGI:2685918] MPQTNFSSHLDMMFANSSANTTSTNSSVLQCPDYRDTHRLHMVVYSLVLATGLPLNALAL WVFLRVLRVHSVVSVYMCNLAASDLLFTLSLPLRLSYYAQHHWPFPGFLCQTSGAIFQMN MYGSCLFLMLINVDRYAAIVHPLRLRHLRRPRVARRLCLGVWALILLFAVPAARVHSPSH CTYKNITVRLCFESFSDELWKGRLLPLLLLAEILGFLLPLAAVVYSSGRVFWTLARPDAT QSQRRRKTVRLLLANLIIFLLCFVPYNSTLAVYGLLRANLVKNSIQDRDQVRGVLMIMVL LAGANCVLDPLVYYFSAEGFRNTLRNLGAPLNTRPLATNGAAGVLTELPSESTQNTGQDA TSQVLLQPATLGTPPDNCSQDSAL >ENSMUSP00000119904.2 pep:known chromosome:GRCm38:6:125071277:125081808:1 gene:ENSMUSG00000067714.13 transcript:ENSMUST00000140346.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar5 description:lysophosphatidic acid receptor 5 [Source:MGI Symbol;Acc:MGI:2685918] MPQTNFSSHLDMMFANSSANTTSTNSSVLQCPDYRDTHRLHMVVYSLVLATGLPLNALAL WVFLRVLRVHSVVSVYMCNLAASDLLFTLSLPLRLSYYAQHHWPFPGFLCQTSGAIFQMN MYGSCLFLMLINVDRYAAIVHPLRLRHLRRPRVARRLCLGVWAL >ENSMUSP00000132511.1 pep:known chromosome:GRCm38:6:125071277:125082472:1 gene:ENSMUSG00000067714.13 transcript:ENSMUST00000171989.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar5 description:lysophosphatidic acid receptor 5 [Source:MGI Symbol;Acc:MGI:2685918] MPQTNFSSHLDMMFANSSANTTSTNSSVLQCPDYRDTHRLHMVVYSLVLATGLPLNALAL WVFLRVLRVHSVVSVYMCNLAASDLLFTLSLPLRLSYYAQHHWPFPGFLCQTSGAIFQMN MYGSCLFLMLINVDRYAAIVHPLRLRHLRRPRVARRLCLGVWALILLFAVPAARVHSPSH CTYKNITVRLCFESFSDELWKGRLLPLLLLAEILGFLLPLAAVVYSSGRVFWTLARPDAT QSQRRRKTVRLLLANLIIFLLCFVPYNSTLAVYGLLRANLVKNSIQDRDQVRGVLMIMVL LAGANCVLDPLVYYFSAEGFRNTLRNLGAPLNTRPLATNGAAGVLTELPSESTQNTGQDA TSQVLLQPATLGTPPDNCSQDSAL >ENSMUSP00000138683.1 pep:known chromosome:GRCm38:5:115466262:115474708:1 gene:ENSMUSG00000029522.12 transcript:ENSMUST00000145785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g1b description:phospholipase A2, group IB, pancreas [Source:MGI Symbol;Acc:MGI:101842] MKLLLLAALLTAGAAAHSISPRAVWQFRNMIKCTIPGSDPLKDYNNYGCYCGLGGWGTPV DDLDSQKQQMRGLHLQL >ENSMUSP00000031495.4 pep:known chromosome:GRCm38:5:115466266:115474722:1 gene:ENSMUSG00000029522.12 transcript:ENSMUST00000031495.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g1b description:phospholipase A2, group IB, pancreas [Source:MGI Symbol;Acc:MGI:101842] MKLLLLAALLTAGAAAHSISPRAVWQFRNMIKCTIPGSDPLKDYNNYGCYCGLGGWGTPV DDLDRCCQTHDHCYSQAKKLESCKFLIDNPYTNTYSYSCSGSEITCSAKNNKCEDFICNC DREAAICFSKVPYNKEYKNLDTGKFC >ENSMUSP00000107702.1 pep:known chromosome:GRCm38:5:115466272:115471847:1 gene:ENSMUSG00000029522.12 transcript:ENSMUST00000112071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g1b description:phospholipase A2, group IB, pancreas [Source:MGI Symbol;Acc:MGI:101842] MKLLLLAALLTAGAAAHSISPRAVWQFRNMIKCTIPGSDPLKDYNNYGCYCGLGGWGTPV DDLDRAEDRTHSLVLARQALYH >ENSMUSP00000120743.1 pep:known chromosome:GRCm38:5:115466272:115474561:1 gene:ENSMUSG00000029522.12 transcript:ENSMUST00000125568.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g1b description:phospholipase A2, group IB, pancreas [Source:MGI Symbol;Acc:MGI:101842] MKLLLLAALLTGAAAHSISPRAVWQFRNMIKCTIPGSDPLKDYNNYGCYCGLGGWGTPVD DLDRCCQTHDHCYSQAKKLESCKFLIDNPYTNTYSYSCSGSEITCSAKNNKCEDFICNCD REAA >ENSMUSP00000147091.1 pep:known chromosome:GRCm38:1:36537507:36547219:-1 gene:ENSMUSG00000079610.9 transcript:ENSMUST00000207843.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd39 description:ankyrin repeat domain 39 [Source:MGI Symbol;Acc:MGI:1914816] MAAPHLCADGSCCSHPSAVPGVQQTLEEMDFDRGERGEAAALPAAGNLQPHLRERGLKEA SNRVWSFRAWCRKAGFKAWQEAPRLLRLECGRESGRLP >ENSMUSP00000001172.5 pep:known chromosome:GRCm38:1:36538094:36547445:-1 gene:ENSMUSG00000079610.9 transcript:ENSMUST00000001172.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd39 description:ankyrin repeat domain 39 [Source:MGI Symbol;Acc:MGI:1914816] MAAPHLCADGSCCSHPSAVPGVQQTLEEMDFDRGIWSAALNGDLGRVKYFIQKATDPSQP DSAGYTALHYASRNGHYAVCQFLLESGAKCDAQTHGGATALHRASYCGHTEIARLLLSHG SNPWLVDNDGMTSLHKAAEKGHEDICSLLLQHSPALKAVRDRKARLACDLLPCNSGLWDL LAS >ENSMUSP00000141712.1 pep:known chromosome:GRCm38:1:36539360:36547231:-1 gene:ENSMUSG00000079610.9 transcript:ENSMUST00000194894.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd39 description:ankyrin repeat domain 39 [Source:MGI Symbol;Acc:MGI:1914816] MAAPHLCADGSCCSHPSAVPGVQQTLEEMDFDRGIWSAALNGDLGRVKYFIQKATDPSQP DSAGYTALHYASRNGHYAVCQFLLESGAKCDAQTHGGATALHRASYCGHTEIARLLLSHG SNPWLVDNDGMTSLHKVCMSLPPSACIRLRRRVTRTFAPSCYNTAQP >ENSMUSP00000102106.1 pep:known chromosome:GRCm38:11:115644045:115699534:-1 gene:ENSMUSG00000059923.13 transcript:ENSMUST00000106497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb2 description:growth factor receptor bound protein 2 [Source:MGI Symbol;Acc:MGI:95805] MEAIAKYDFKATADDELSFKRGDILKVLNEECDQNWYKAELNGKDGFIPKNYIEMKPHPW FFGKIPRAKAEEMLSKQRHDGAFLIRESESAPGDFSLSVKFGNDVQHFKVLRDGAGKYFL WVVKFNSLNELVDYHRSTSVSRNQQIFLRDIEQMPQQPTYVQALFDFDPQEDGELGFRRG DFIHVMDNSDPNWWKGACHGQTGMFPRNYVTPVNRNV >ENSMUSP00000021090.7 pep:known chromosome:GRCm38:11:115644045:115708597:-1 gene:ENSMUSG00000059923.13 transcript:ENSMUST00000021090.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb2 description:growth factor receptor bound protein 2 [Source:MGI Symbol;Acc:MGI:95805] MEAIAKYDFKATADDELSFKRGDILKVLNEECDQNWYKAELNGKDGFIPKNYIEMKPHPW FFGKIPRAKAEEMLSKQRHDGAFLIRESESAPGDFSLSVKFGNDVQHFKVLRDGAGKYFL WVVKFNSLNELVDYHRSTSVSRNQQIFLRDIEQMPQQPTYVQALFDFDPQEDGELGFRRG DFIHVMDNSDPNWWKGACHGQTGMFPRNYVTPVNRNV >ENSMUSP00000102108.1 pep:known chromosome:GRCm38:11:115644045:115699307:-1 gene:ENSMUSG00000059923.13 transcript:ENSMUST00000106499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb2 description:growth factor receptor bound protein 2 [Source:MGI Symbol;Acc:MGI:95805] MEAIAKYDFKATADDELSFKRGDILKVLNEECDQNWYKAELNGKDGFIPKNYIEMKPHPF GNDVQHFKVLRDGAGKYFLWVVKFNSLNELVDYHRSTSVSRNQQIFLRDIEQMPQQPTYV QALFDFDPQEDGELGFRRGDFIHVMDNSDPNWWKGACHGQTGMFPRNYVTPVNRNV >ENSMUSP00000102104.1 pep:known chromosome:GRCm38:11:115644650:115708576:-1 gene:ENSMUSG00000059923.13 transcript:ENSMUST00000106495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb2 description:growth factor receptor bound protein 2 [Source:MGI Symbol;Acc:MGI:95805] MEAIAKYDFKATADDELSFKRGDILKVLNEECDQNWYKAELNGKDGFIPKNYIEMKPHPW FFGKIPRAKAEEMLSKQRHDGAFLIRESESAPGDFSLSVKFGNDVQHFKVLRDGAGKYFL WVVKFNSLNELVDYHRSTSVSRNQQIFLRDIEQMPQEDGELGFRRGDFIHVMDNSDPNWW KGACHGQTGMFPRNYVTPVNRNV >ENSMUSP00000117539.1 pep:known chromosome:GRCm38:11:115649857:115699928:-1 gene:ENSMUSG00000059923.13 transcript:ENSMUST00000135065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb2 description:growth factor receptor bound protein 2 [Source:MGI Symbol;Acc:MGI:95805] MEAIAKYDFKATADDELSFKRGDILKVLNEECDQNWYKAELNGKDGFIPKNYIEMKPHPW FFGKIPRAKAE >ENSMUSP00000112520.1 pep:known chromosome:GRCm38:9:54698873:54714761:1 gene:ENSMUSG00000032285.15 transcript:ENSMUST00000120452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja4 description:DnaJ heat shock protein family (Hsp40) member A4 [Source:MGI Symbol;Acc:MGI:1927638] MVKETQYYDILGVKPSASPEEIKKAYRKLALKYHPDKNPDEGEKFKLISQAYEVLSDPKK RDIYDQGGEQAIKEGGSGSPSFSSPMDIFDMFFGGGGRMTRERRGKNVVHQLSVTLEDLY NGITKKLALQKNVICEKCEGIGGKKGSVEKCPLCKGRGMQVHIQQIGPGMVQQIQTVCIE CKGQGERINPKDRCENCSGAKVTREKKIIEVHVEKGMKDGQKILFHGEGDQEPELDPGDV IIVLDQKDHSVFQRRGQDLIMKMKIQLSEALCGFKKTIKTLDDRVLVISSKSGEVIKHGD LKCIRNEGMPIYKAPLEKGVMIIQFLVVFPEKQWLSQEKLPQLEALLPPRQKVRITDDMD QVELKEFNPNEQSWRQHREAYEEDDEEPRAGVQCQTA >ENSMUSP00000119602.1 pep:known chromosome:GRCm38:9:54699514:54709336:1 gene:ENSMUSG00000032285.15 transcript:ENSMUST00000154690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja4 description:DnaJ heat shock protein family (Hsp40) member A4 [Source:MGI Symbol;Acc:MGI:1927638] MVKETQYYDILGVKPSASPEEIKKAYRKLALKYHPDKNPDEGEKFKLISQAYEVLSDPKK RDIYDQGGEQAIKEGGSGSPSFSSPMDIFDMFFGGGGRMTRERRGKNVVHQLSVTLEDLY NGITKKLALQKNVICEKCEGIGGKKGSVEKCPLCKGRGMQVHIQQIGPGMVQQIQTVCIE CKGQGERINPKDRCENCSGAKVTREKK >ENSMUSP00000070413.7 pep:known chromosome:GRCm38:9:54699559:54716315:1 gene:ENSMUSG00000032285.15 transcript:ENSMUST00000070070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja4 description:DnaJ heat shock protein family (Hsp40) member A4 [Source:MGI Symbol;Acc:MGI:1927638] MVKETQYYDILGVKPSASPEEIKKAYRKLALKYHPDKNPDEGEKFKLISQAYEVLSDPKK RDIYDQGGEQAIKEGGSGSPSFSSPMDIFDMFFGGGGRMTRERRGKNVVHQLSVTLEDLY NGITKKLALQKNVICEKCEGIGGKKGSVEKCPLCKGRGMQVHIQQIGPGMVQQIQTVCIE CKGQGERINPKDRCENCSGAKVTREKKIIEVHVEKGMKDGQKILFHGEGDQEPELDPGDV IIVLDQKDHSVFQRRGQDLIMKMKIQLSEALCGFKKTIKTLDDRVLVISSKSGEVIKHGD LKCIRNEGMPIYKAPLEKGVMIIQFLVVFPEKQWLSQEKLPQLEALLPPRQKVRITDDMD QVELKEFNPNEQSWRQHREAYEEDDEEPRAGVQCQTA >ENSMUSP00000141107.1 pep:known chromosome:GRCm38:2:127791388:127792488:-1 gene:ENSMUSG00000051319.6 transcript:ENSMUST00000135091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1500011K16Rik description:RIKEN cDNA 1500011K16 gene [Source:MGI Symbol;Acc:MGI:1915135] MADVSERTLQVSVLVAFASGVVLGWQANRLRRRYLDWRKRRLQDKLATTQKKLDLA >ENSMUSP00000071188.2 pep:known chromosome:GRCm38:5:77004055:77009478:1 gene:ENSMUSG00000063820.11 transcript:ENSMUST00000071199.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl9 description:ADP-ribosylation factor-like 9 [Source:MGI Symbol;Acc:MGI:1915496] MRMILELMKCDRVAWVENKQILVLGLDGAGKTSVLHLLASNRVQHSTAPTQGLNAVHINS EDRQMEFLEIGGSEPFRSYWEMYLPKGWLLIFVVDSADHKRLPEAKKYLHQLINPNPGLP LVVFANKQVFVTG >ENSMUSP00000122846.1 pep:known chromosome:GRCm38:5:77006472:77010606:1 gene:ENSMUSG00000063820.11 transcript:ENSMUST00000134197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl9 description:ADP-ribosylation factor-like 9 [Source:MGI Symbol;Acc:MGI:1915496] VENKQILVLGLDGAGKTSVLHLLASNRVQHSTAPTQGLNAVHINSEDRQMEFLEIGGSEP FRSYWEMYLPKGWLLIFVVDSADHKRLPEAKKYLHQLINPNPGLPLVVFANKQDLEAAYH ITDIHDALALSEVGNDRKLFLFGTQVTKNGSEIPSTMQDAKDLITHLATDM >ENSMUSP00000119243.1 pep:known chromosome:GRCm38:1:37871738:37875343:1 gene:ENSMUSG00000037216.5 transcript:ENSMUST00000128085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipt1 description:lipoyltransferase 1 [Source:MGI Symbol;Acc:MGI:3645211] MLIPLSMKNCFRLLCQHKVPAAGFKSPPTHGLILQSISNDVYENLAFEDWIHDHIHLEGK PILFLWRNSPSVVIGRHQNPWQECNLHLMRQEGIKLARRKSGGGAVYHDMGNINLTFFTT KTKYDRMENLKLIVRALNAVQPQLDVQPTKKFDLLLDGQ >ENSMUSP00000038739.3 pep:known chromosome:GRCm38:1:37872206:37876228:1 gene:ENSMUSG00000037216.5 transcript:ENSMUST00000041621.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipt1 description:lipoyltransferase 1 [Source:MGI Symbol;Acc:MGI:3645211] MLIPLSMKNCFRLLCQHKVPAAGFKSPPTHGLILQSISNDVYENLAFEDWIHDHIHLEGK PILFLWRNSPSVVIGRHQNPWQECNLHLMRQEGIKLARRKSGGGAVYHDMGNINLTFFTT KTKYDRMENLKLIVRALNAVQPQLDVQPTKKFDLLLDGQFKISGTASKIGRTAAYHHCTL LCSTNRTALSSSLKSPYCGIKSNATPSIPSAVKNLLERDSTLTCEVLMSAVAAEYAAHHQ VDGHVNLINPADETMFPGINRKVKELQSWEWVYGRTPKFTVDTTFHVPYEQAHLEIQVFM DVKNGRIETCAIKAPDHWLPLEIGEKLNSSFIGSKFCPVETTLLTNVLLRTCPGDHHLHS KWYILCEKIRGIM >ENSMUSP00000122160.1 pep:known chromosome:GRCm38:1:37872374:37875460:1 gene:ENSMUSG00000037216.5 transcript:ENSMUST00000142670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipt1 description:lipoyltransferase 1 [Source:MGI Symbol;Acc:MGI:3645211] MLIPLSMKNCFRLLCQHKVPAAGFKSPPTHGLILQSISNDVYENLAFEDWIHDHIHLEGK PILFLWRNSPSVVIGRHQNPWQECNLHLMRQEGIKLARRKSGGGAVYHDMGNINLTFFTT KTKYDRMENLKLIVRALNAVQPQLDVQPTKKFDLLLDGQFKISGTASKIGRTAAYHHCTL LCSTNRTALSSSLKSPYCG >ENSMUSP00000115465.1 pep:known chromosome:GRCm38:1:37872562:37875554:1 gene:ENSMUSG00000037216.5 transcript:ENSMUST00000144440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipt1 description:lipoyltransferase 1 [Source:MGI Symbol;Acc:MGI:3645211] MLIPLSMKNCFRLLCQHKVPAAGFKSPPTHGLILQSISNDVYENLAFEDWIHDHIHLEGK PILFLWRNSPSVVIGRHQNPWQECNLHLMRQEGIKLARRKSGGGAVYHDMGNINLTFFTT KTKYDRMENLKLIVRALNAVQPQLDVQPTKKFDLLLDGQFKISGTASKIGRTAAYHHCTL LCSTNRTALSSSLKSPYCGIKSNATPSIPSAVKNLLERDSTLTCEVLMSA >ENSMUSP00000140532.1 pep:known chromosome:GRCm38:Y:27495726:27521920:-1 gene:ENSMUSG00000099541.1 transcript:ENSMUST00000188744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21488 description:predicted gene, 21488 [Source:MGI Symbol;Acc:MGI:5434843] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDISEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000064545.5 pep:known chromosome:GRCm38:19:40221173:40271616:-1 gene:ENSMUSG00000055044.12 transcript:ENSMUST00000068439.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim1 description:PDZ and LIM domain 1 (elfin) [Source:MGI Symbol;Acc:MGI:1860611] MTTQQIVLQGPGPWGFRLVGGKDFEQPLAISRVTPGSKAAIANLCIGDLITAIDGEDTSS MTHLEAQNKIKGCADNMTLTVSRSEQKIWSPLVTEEGKRHPYKMNLASEPQEVLHIGSAH NRSAMPFTASPAPSTRVITNQYNSPTGLYSSENISNFNNAVESKTSASGEEANSRPVVQP HPSGSLIIDKDSEVYKMLQEKQELNEPPKQSTSFLVLQEILESDGKGDPNKPSGFRSVKA PVTKVAASVGNAQKLPICDKCGTGIVGVFVKLRDHHRHPECYVCTDCGINLKQKGHFFVE DQIYCEKHARERVTPPEGYDVVTVFRE >ENSMUSP00000138383.1 pep:known chromosome:GRCm38:19:40230592:40271842:-1 gene:ENSMUSG00000055044.12 transcript:ENSMUST00000182432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim1 description:PDZ and LIM domain 1 (elfin) [Source:MGI Symbol;Acc:MGI:1860611] MTTQQIVLQGPGPWGFRLVGGKDFEQPLAISRVTPGSKAAIANLCIGDLITAIDGEDTSS MTHLEAQNKIKGCADNMTLTVSRSEQKIWSPLVTEEGKRHPYKMNLASEPQEVLHIGSAH NRSAMPFTASPAPSTRVITNQYNSPTGLYSSENISNFNNAVESKTSASGEEANSRPVVQP HPSGSLIIDKDSEVYKML >ENSMUSP00000142202.1 pep:known chromosome:GRCm38:1:36530193:36539358:-1 gene:ENSMUSG00000109510.1 transcript:ENSMUST00000191849.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm43543 description:predicted gene 43543 [Source:MGI Symbol;Acc:MGI:5663680] MFLKAAAENQEALIDKYLADGGDPNAHDKLHRTALHWACLKGHRQLVNKLLAAGAAIEVR DLLDRTPVFWACRGGHLDILKRLLNQGAQVNAQDKASQTPVQLARDWQRGIRDALQAHVG HPRTRC >ENSMUSP00000146551.1 pep:known chromosome:GRCm38:1:36534052:36539429:-1 gene:ENSMUSG00000109510.1 transcript:ENSMUST00000207088.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm43543 description:predicted gene 43543 [Source:MGI Symbol;Acc:MGI:5663680] PCNSGLWDLLAS >ENSMUSP00000028704.2 pep:known chromosome:GRCm38:2:134497361:134554368:-1 gene:ENSMUSG00000027261.2 transcript:ENSMUST00000028704.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hao1 description:hydroxyacid oxidase 1, liver [Source:MGI Symbol;Acc:MGI:96011] MLPRLVCISDYEQHVRSVLQKSVYDYYRSGANDQETLADNIQAFSRWKLYPRMLRNVADI DLSTSVLGQRVSMPICVGATAMQCMAHVDGELATVRACQTMGTGMMLSSWATSSIEEVAE AGPEALRWMQLYIYKDREISRQIVKRAEKQGYKAIFVTVDTPYLGNRIDDVRNRFKLPPQ LRMKNFETNDLAFSPKGNFGDNSGLAEYVAQAIDPSLSWDDITWLRRLTSLPIVVKGILR GDDAKEAVKHGVDGILVSNHGARQLDGVPATIDVLPEIVEAVEGKVEVFLDGGVRKGTDV LKALALGAKAVFVGRPIIWGLAFQGEKGVQDVLEILKEEFRLAMALSGCQNVKVIDKTLV RKNPLAVSKI >ENSMUSP00000028599.7 pep:known chromosome:GRCm38:2:104590523:104665429:1 gene:ENSMUSG00000027176.8 transcript:ENSMUST00000028599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstf3 description:cleavage stimulation factor, 3' pre-RNA, subunit 3 [Source:MGI Symbol;Acc:MGI:1351825] MSGDAAAEQAAEYVPEKVKKAEKKLEENPYDLDAWSILIREAQNQPIDKARKTYERLVAQ FPSSGRFWKLYIEAEIKAKNYDKVEKLFQRCLMKVLHIDLWKCYLSYVRETKGKLPSYKE KMAQAYDFALDKIGMEIMSYQIWVDYINFLKGVEAVGSYAENQRITAVRRVYQRGCVNPM INIEQLWRDYNKYEEGINIHLAKKMIEDRSRDYMNARRVAKEYETVMKGLDRNAPSVPPQ NTPQEAQQVDMWKKYIQWEKSNPLRTEDQTLITKRVMFAYEQCLLVLGHHPDIWYEAAQY LEQSSKLLAEKGDMNNAKLFSDEAANIYERAISTLLKKNMLLYFAYADYEESRMKYEKVH SIYNRLLAIEDIDPTLVYIQYMKFARRAEGIKSGRMIFKKAREDARTRHHVYVTAALMEY YCSKDKSVAFKIFELGLKKYGDIPEYVLAYIDYLSHLNEDNNTRVLFERVLTSGSLPPEK SGEIWARFLAFESNIGDLASILKVEKRRFTAFREEYEGKETALLVDRYKFMDLYPCSASE LKALGYKDVSRAKLAAIIPDPVVAPSIVPVLKDEVDRKPEYPKPDTQQMIPFQPRHLAPP GLHPVPGGVFPVPPAAVVLMKLLPPPICFQGPFVQVDELMEIFRRCKIPNTVEEAVRIIT GGAPELAVEGNGPVESSAVLTKAVKRPNEDSDEDEEKGAVVPPVHDIYRARQQKRIR >ENSMUSP00000108556.1 pep:known chromosome:GRCm38:2:37370069:37422903:-1 gene:ENSMUSG00000075376.10 transcript:ENSMUST00000112934.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rc3h2 description:ring finger and CCCH-type zinc finger domains 2 [Source:MGI Symbol;Acc:MGI:2442789] MPVQAAQWTEFLSCPICYNEFDENVHKPISLGCSHTVCKTCLNKLHRKACPFDQTAINTD IDVLPVNFALLQLVGAQVPDHQSIKLSNLGENKHYEVAKKCVEDLALYLKPLSGGKGVAS LNQSALSRPMQRKLVTLVNCQLVEEEGRVRAMRAARSLGERTVTELILQHQNPQQLSANL WAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKTSIG HVVQLLYRASCFKVTKRDEDSSLMQLKEEFRSYEALRREHDAQIVHIAMEAGLRISPEQW SSLLYGDLAHKSHMQSIIDKLQSPESFAKSVQELTIVLQRTGDPANLNRLRPHLELLANI DPNPDAVSPTWEQLENAMVAVKTVVHGLVDFIQNYSRKGHETPQPQPNSKYKTSMCRDLR QQGGCPRGTNCTFAHSQEELEKYRLRNKKMSATVRTFPLLNKVGVNSTVTTTAGNVISVI GSTETTGKIVASTNGISNTESSVSQLIPRGTDSAVRTLETVKKVGKVGTNAQNAGPSAES VSENKIGSPPKTPVSNAAATSAGPSNFGTELNSLPPKSSPFLTRVPVYPQHSESIQYFQD PRTQIPFEVPQYPQTGYYPPPPTVPAGVTPCVPRFVRSSNVPESSLPPASMPYADHYSTF SPRDRMNSSPYQPPPPQQYGPVPPVPSGMYAPVYDSRRIWRPAMYQRDDIIRSNSLPPMD VMHSSVYQTSLRERYNSLDGYYSVACQPPNDPRTTVPLPREPCGHLKTSCEEQLRRKPDQ WTQYHTQKTPVSSTLPVATQSPTPPSPLFSVDFRSDFSESVSGAKFEEDHLSHYSPWSCG TIGSCINAIDSEPKDVIANSNAVLMDLDSGDVKRRVHLFEAQRRTKEEDPIIPFSDGPII SKWGAISRSSRTGYHTTDPVQATASQGSATKPISVSDYVPYVNAVDSRWSSYGNDATSSA HYIERDRFIVTDLSGHRKHSSTGDLLSIELQQAKSNSLLLQREANALAMQQKWNSLDEGR HLTLNLLSKEIELRNGENDYTEDTVDTKPDRDIELELSALDTDEPDGQSEQIEEILDIQL GISSQNDQLLNGTAVENGHPAQQHQKDPGKPKRQSLGRSKNQFCR >ENSMUSP00000108558.1 pep:known chromosome:GRCm38:2:37373138:37422869:-1 gene:ENSMUSG00000075376.10 transcript:ENSMUST00000112936.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rc3h2 description:ring finger and CCCH-type zinc finger domains 2 [Source:MGI Symbol;Acc:MGI:2442789] MPVQAAQWTEFLSCPICYNEFDENVHKPISLGCSHTVCKTCLNKLHRKACPFDQTAINTD IDVLPVNFALLQLVGAQVPDHQSIKLSNLGENKHYEVAKKCVEDLALYLKPLSGGKGVAS LNQSALSRPMQRKLVTLVNCQLVEEEGRVRAMRAARSLGERTVTELILQHQNPQQLSANL WAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKTSIG HVVQLLYRASCFKVTKRDEDSSLMQLKEEFRSYEALRREHDAQIVHIAMEAGLRISPEQW SSLLYGDLAHKSHMQSIIDKLQSPESFAKSVQELTIVLQRTGDPANLNRLRPHLELLANI DPNPDAVSPTWEQLENAMVAVKTVVHGLVDFIQNYSRKGHETPQPQPNSKYKTSMCRDLR QQGGCPRGTNCTFAHSQEELEKYRLRNKKMSATVRTFPLLNKVGVNSTVTTTAGNVISVI GSTETTGKIVASTNGISNTESSVSQLIPRGTDSAVRTLETVKKVGKVGTNAQNAGPSAES VSENKIGSPPKTPVSNAAATSAGPSNFGTELNSLPPKSSPFLTRVPVYPQHSESIQYFQD PRTQIPFEVPQYPQTGYYPPPPTVPAGVTPCVPRFVRSSNVPESSLPPASMPYADHYSTF SPRDRMNSSPYQPPPPQQYGPVPPVPSGMYAPVYDSRRIWRPAMYQRDDIIRSNSLPPMD VMHSSVYQTSLRERYNSLDGYYSVACQPPNDPRTTVPLPREPCGHLKTSCEEQLRRKPDQ WTQYHTQKTPVSSTLPVATQSPTPPSPLFSVDFRSDFSESVSGAKFEEDHLSHYSPWSCG TIGSCINAIDSEPKDVIANSNAVLMDLDSGDVKRRVHLFEAQRRTKEEDPIIPFSDGPII SKWGAISRSSRTGYHTTDPVQATASQGSATKPISVSDYVPYVNAVDSRWSSYGNDATSSA HYIERDRFIVTDLSGHRKHSSTGDLLSIELQQAKSNSLLLQREANALAMQQKWNSLDEGR HLTLNLLSKEIELRNGENDYTEDTVDTKPDRDIELELSALDTDEPDGQSEQIEEILDIQL GISSQNDQLLNGTAVENGHPAQQHQKDPGKPKRQSLGEDHVILEEQKPILPVTSCFSQPR PMSISSASCLPITTSVSVGNLILKTHVMSEDKNDFLKPIANGKMVNS >ENSMUSP00000145082.1 pep:known chromosome:GRCm38:2:37373138:37422877:-1 gene:ENSMUSG00000075376.10 transcript:ENSMUST00000125619.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rc3h2 description:ring finger and CCCH-type zinc finger domains 2 [Source:MGI Symbol;Acc:MGI:2442789] MPVQAAQWTEFLSCPICYNEFDENVHKPISLGCSHTVCKTCLNKLHRKACPFDQTAINTD IDVLPVNFALLQLVGAQVPDHQSIKLSNLGENKHYEVAKKCVEDLALYLKPLSGGKGVAS LNQSALSRPMQRKLVTLVNCQLVEEEGRVRAMRAARSLGERTVTELILQHQNPQQLSANL WAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKTSIG HVVQLLYRASCFKVTKRDEDSSLMQLKEEFRSYEALRREHDAQIVHIAMEAGLRISPEQW SSLLYGDLAHKSHMQSIIDKLQSPESFAKSVQELTIVLQRTGDPANLNRLRPHLELLANI DPNPDAVSPTWEQLENAMVAVKTVVHGLVDFIQNYSRKGHETPQPQPNSKYKTSMCRDLR QQGGCPRGTNCTFAHSQEELEKYRLRNKKMSATEVLKQLGKLLQVQMEFQIQKAVFLS >ENSMUSP00000097721.3 pep:known chromosome:GRCm38:2:37370073:37414821:-1 gene:ENSMUSG00000075376.10 transcript:ENSMUST00000100143.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rc3h2 description:ring finger and CCCH-type zinc finger domains 2 [Source:MGI Symbol;Acc:MGI:2442789] MPVQAAQWTEFLSCPICYNEFDENVHKPISLGCSHTVCKTCLNKLHRKACPFDQTAINTD IDVLPVNFALLQLVGAQVPDHQSIKLSNLGENKHYEVAKKCVEDLALYLKPLSGGKGVAS LNQSALSRPMQRKLVTLVNCQLVEEEGRVRAMRAARSLGERTVTELILQHQNPQQLSANL WAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKTSIG HVVQLLYRASCFKVTKRDEDSSLMQLKEEFRSYEALRREHDAQIVHIAMEAGLRISPEQW SSLLYGDLAHKSHMQSIIDKLQSPESFAKSVQELTIVLQRTGDPANLNRLRPHLELLANI DPNPDAVSPTWEQLENAMVAVKTVVHGLVDFIQNYSRKGHETPQPQPNSKYKTSMCRDLR QQGGCPRGTNCTFAHSQEELEKYRLRNKKMSATVRTFPLLNKVGVNSTVTTTAGNVISVI GSTETTGKIVASTNGISNTESSVSQLIPRGTDSAVRTLETVKKVGKVGTNAQNAGPSAES VSENKIGSPPKTPVSNAAATSAGPSNFGTELNSLPPKSSPFLTRVPVYPQHSESIQYFQD PRTQIPFEVPQYPQTGYYPPPPTVPAGVTPCVPRFVRSSNVPESSLPPASMPYADHYSTF SPRDRMNSSPYQPPPPQQYGPVPPVPSGMYAPVYDSRRIWRPAMYQRDDIIRSNSLPPMD VMHSSVYQTSLRERYNSLDGYYSVACQPPNDPRTTVPLPREPCGHLKTSCEEQLRRKPDQ WTQYHTQKTPVSSTLPVATQSPTPPSPLFSVDFRSDFSESVSGAKFEEDHLSHYSPWSCG TIGSCINAIDSEPKDVIANSNAVLMDLDSGDVKRRVHLFEAQRRTKEEDPIIPFSDGPII SKWGAISRSSRTGYHTTDPVQATASQGSATKPISVSDYVPYVNAVDSRWSSYGNDATSSA HYIERDRFIVTDLSGHRKHSSTGDLLSIELQQAKSNSLLLQREANALAMQQKWNSLDEGR HLTLNLLSKEIELRNGENDYTEDTVDTKPDRDIELELSALDTDEPDGQSEQIEEILDIQL GISSQNDQLLNGTAVENGHPAQQHQKDPGKPKRQSLGEDHVILEEQKPILPVTSCFSQPR PMSISSASCLPITTSVSVGNLILKTHVMSEDKNDFLKPIANGKMVNS >ENSMUSP00000146012.1 pep:known chromosome:GRCm38:7:24370263:24385205:1 gene:ENSMUSG00000054342.8 transcript:ENSMUST00000205428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnn4 description:potassium intermediate/small conductance calcium-activated channel, subfamily N, member 4 [Source:MGI Symbol;Acc:MGI:1277957] MGGELVTGLGALRRRKRLLEQEKRVAGWALVLAGTGIGLMVLHAEMLWFLGCKWVLYLLL VKCLITLSTAFLLCLIVVFHAKEVQLFMTDNGLRDWRVALTRRQVAQILLELLVCGVHPV PLRSPHCALAGEATDAQPWPGFLGEGEALLSLAMLLRLYLVPRAVLLRSGVLLNASYRSI GALNQVRFRHWFVAKLYMNTHPGRLLLGLTLGLWLTTAWVLSVAERQAVNATGHLTDTLW LIPITFLTIGYGDVVPGTMWGKIVCLCTGVMGVCCTALLVAVVARKLEFNKAEKHVHNFM MDIHYAKEMKESAARLLQEAWMYYKHTRRKDSRAARRHQRKMLAAIHTFRQVRLKHRKLR EQVNSMVDISKMHMILCDLQLGLSSSHRALEKRIDGLAGKLDALTELLGTALQQQQLPEP SQEAT >ENSMUSP00000133065.1 pep:known chromosome:GRCm38:7:24370299:24385201:1 gene:ENSMUSG00000054342.8 transcript:ENSMUST00000171904.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnn4 description:potassium intermediate/small conductance calcium-activated channel, subfamily N, member 4 [Source:MGI Symbol;Acc:MGI:1277957] MGGELVTGLGALRRRKRLLEQEKRVAGWALVLAGTGIGLMVLHAEMLWFLGCKWVLYLLL VKCLITLSTAFLLCLIVVFHAKEVQLFMTDNGLRDWRVALTRRQVAQILLELLVCGVHPV PLRSPHCALAGEATDAQPWPGFLGEGEALLSLAMLLRLYLVPRAVLLRSGVLLNASYRSI GALNQVRFRHWFVAKLYMNTHPGRLLLGLTLGLWLTTAWVLSVAERQAVNATGHLTDTLW LIPITFLTIGYGDVVPGTMWGKIVCLCTGVMGVCCTALLVAVVARKLEFNKAEKHVHNFM MDIHYAKEMKESAARLLQEAWMYYKHTRRKDSRAARRHQRKMLAAIHTFRQVRLKHRKLR EQVNSMVDISKMHMILCDLQLGLSSSHRALEKRIDGLAGKLDALTELLGTALQQQQLPEP SQEAT >ENSMUSP00000145873.1 pep:known chromosome:GRCm38:7:24370338:24384759:1 gene:ENSMUSG00000054342.8 transcript:ENSMUST00000205626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnn4 description:potassium intermediate/small conductance calcium-activated channel, subfamily N, member 4 [Source:MGI Symbol;Acc:MGI:1277957] MGGELVTGLGALRRRKRLLEQEKRVAGWALVLAGTGIGLMVLHAEMLWFLGCKWVLYLLL VKCLITLSTAFLLCLIVVFHAKEVQLLGTALQQQQLPEPSQEAT >ENSMUSP00000136610.1 pep:known chromosome:GRCm38:8:20828015:20835070:1 gene:ENSMUSG00000094421.1 transcript:ENSMUST00000178524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20946 description:predicted gene, 20946 [Source:MGI Symbol;Acc:MGI:5434301] MEEEINYDSHTMNIPRRRRWYTHLWRSCLGLRCMHPQKKKKYPLYLIGYDPIGPLQRAAS VGDLDTTEKLIHSSQHHVDESDRRKRTSLHYACAHNHPDVVTLLLENNSSINIRDDEGCT PLIKATQRDNVDCASVLLTHNADPNLIDSSGNTAFHHAISRGNIRIVKMLLEHNVDIEAK TEYGLTRLQLATFEQKPEMVEFLAAKCAKSSVTPSWSPSPTVSPCPSSTSPLSSLGLPVC PNPGTSSLSEDRKSSSARRIKLSTGSSAQRTEVKHVRFNE >ENSMUSP00000141394.1 pep:known chromosome:GRCm38:1:36530188:36536521:-1 gene:ENSMUSG00000067653.12 transcript:ENSMUST00000193083.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd23 description:ankyrin repeat domain 23 [Source:MGI Symbol;Acc:MGI:1925571] MFLKAAAENQEALIDKYLADGGDPNAHDKLHRTALHWACLKGHRQLVNKLLAAGAAIEVR DLLDRTPVFWACRGGHLDILKRLLNQGAQVNAQDKIWSTPLHVAVRMGHSDCLEHLIECG AHINAQDKEGDTALHEAVRYGHHKATKLLLLYGAKLGVKNVASQTPVQLARDWQRGIRDA LQAHVGHPRTRC >ENSMUSP00000061168.4 pep:known chromosome:GRCm38:1:36530534:36535739:-1 gene:ENSMUSG00000067653.12 transcript:ENSMUST00000054665.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd23 description:ankyrin repeat domain 23 [Source:MGI Symbol;Acc:MGI:1925571] MDFISIEQLVSGERVDRKALEFGRGVPDPGGWPSGWTLGPQEAVAREKLKLEEEKRKKLE RFNSSRLTLDNLTDLENLVQRRRKKRQRHKVPPREPESGAEPQPQVPLEPVGLEMFLKAA AENQEALIDKYLADGGDPNAHDKLHRTALHWACLKGHRQLVNKLLAAGAAIEVRDLLDRT PVFWACRGGHLDILKRLLNQGAQVNAQDKIWSTPLHVAVRMGHSDCLEHLIECGAHINAQ DKEGDTALHEAVRYGHHKATKLLLLYGAKLGVKNVASQTPVQLARDWQRGIRDALQAHVG HPRTRC >ENSMUSP00000141541.1 pep:known chromosome:GRCm38:1:36531326:36535739:-1 gene:ENSMUSG00000067653.12 transcript:ENSMUST00000194853.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd23 description:ankyrin repeat domain 23 [Source:MGI Symbol;Acc:MGI:1925571] MDFISIEQLVSGERVDRKALEFGRGVPDPGGWPSGWTLGPQEAVAREKLKLEEEKRKKLE RFNSSRLTLDNLTDLENLVQRRRKKRQRHKVPPREPESGAEPQPQVPLEPVGLEMFLKAA AENQEALIDKYLADGGDPNAHDKLHRTALHWACLKGHRQLVNKLLAAGAAIEVRDLLDRT PVFWACRGGHLDILKRLLNQGAQVNAQDKEGDTALHEAVRYGHHKATKLLLLYGAKLGVK NVASQTPVQLARDWQRGIRDALQAHVGHPRTRC >ENSMUSP00000040222.6 pep:known chromosome:GRCm38:5:45493374:45512691:1 gene:ENSMUSG00000039682.12 transcript:ENSMUST00000046122.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lap3 description:leucine aminopeptidase 3 [Source:MGI Symbol;Acc:MGI:1914238] MYLLPLPAAARVALRRLGVRGLWDRGLSTADMTKGLVLGIYAKDKDDDLPQFTSAGESFN KLVSGKLREMLNISGPPLKAGKTRTFYGLHQDFPSVVVVGLGKRSAGVDDQENWHEGKEN IRAAVAAGCRQVQDLELPSVEVDPCGDAQAAAEGAVLGLYEYDDLKQKKKVAVSAKLHGS GDLEAWEKGVLFASGQNLARHLMESPANEMTPTRFAEIIEKNLKSASSKTKVHIRPKSWI EEQEMGSFLSVAKGSEEPPVFLEIHYMGSPNATEAPLVFVGKGITFDSGGISIKASANMD LMRADMGGAATICSAIVSAAKLNLPINIIGLAPLCENMPSGKANKPGDVVRARNGKTIQV DNTDAEGRLILADALCYAHTFNPKVIINAATLTGAMDVALGSGATGVFTNSSWLWNKLFE ASVETGDRVWRMPLFEHYTRQVIDCQLADVNNLGKYRSAGACTAAAFLREFVTHTKWAHL DIAGVMTNKDEIPYLRKGMSGRPTRTLIEFLLRFSKDSS >ENSMUSP00000142831.1 pep:known chromosome:GRCm38:5:45493526:45503384:1 gene:ENSMUSG00000039682.12 transcript:ENSMUST00000198534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lap3 description:leucine aminopeptidase 3 [Source:MGI Symbol;Acc:MGI:1914238] WDRGLSTADMTKGLVLGIYAKDKDDDLPQFTSAGESFNKLVSGKLREMLNIGDLEAWEKG VLFASGQNLARHLMESPANEMTPTRFAEIIEKNLKSASSKTKVHIRP >ENSMUSP00000146439.1 pep:known chromosome:GRCm38:1:3999557:4409241:-1 gene:ENSMUSG00000025900.11 transcript:ENSMUST00000208660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rp1 description:retinitis pigmentosa 1 (human) [Source:MGI Symbol;Acc:MGI:1341105] MLEKLQVSPKMSDTPSTSFSMIHLTSEGQVPSPRHSNITHPVVAKRISFYKSGDPQFGGV RVVVNPRSFKTFDALLDSLSRKVPLPFGVRNISTPRGRHSITRLEELEDGKSYVCSHNKK VLPVDLDKARRRPRPWLSSRSISTHVQLCPATANMSTMAPGMLRAPRRLVVFRNGDPKNK HVVLLSRRITQSFEAFLQYLTQVMQCPVAKLYATDGRKVPSLQAVILSSGAVVAAGREPF KPGNYDIQKYLLPAKLPGISHRVHQKGKAKIEKRKSGNWKVSINTSDFPNAGTSSQIYIV LYGHCRSSAPIYLYGRDGTRFQDGHEDNFTIMVGDIGTPFKIRVGHTNSGHSPSWHCKRI ELQNMNSGEKFYIPVQRWLAQEQEDGEICREFPILCKGQPVLPVTTYKVYITTGELWNSG TIANVYLSIYGEKGDTGSRKLFRSKNSSKFLRGQVDTFFLEAVNLGDLCKIVIGHDGLGQ GNGWFLEDVVVRDPTTNHEYAFFCHRWLDEGEDDGKIVREMYARDKTISSMRKKLELKRK ETWAADSWKFMKGNTLQFYNKLSGGFVRLHPDGTVDAAGEQTDRYGLFDVIFNKGNICVF QSHEMRHLSLNFDNGMVGGGGHSELQVIYQPNRCVLLESVLLPGHTLTVDRHGKVTDESS AGYAELSKEFLVFVKGVFLSSAVVILATSLCQALCLQPNGTCTGAGNQSEQSHWRVHRIS SGICMFESVKTARMYLRIKDGYCNGMGTGDTDCHFKIKKNLENASISLESLKSPGLFVGL QPDGQAKPVIYTKDESVCFYPRVIQFGRENPMGMSATPSQGEDKTTPPESKARCSSPSSS EKESKHLCRIETLLLEEKWKVLVVTGNTGTQANVTLWVYGYEGVTGPISLTKDSQEKLFL PGQTDEFQVVLRGIGEIYKIRIGHDGTGGQPEWTLQRVTMENVKSKKTLHFVANVCLSRN QADGDIVCELPVMKEGEPVFPLVRYHVDVYTGQLKQAKTESEVSLCLYGERGDSGLRLLH RSNMPVRFQRGQIDAFQIEAVSLGNLQKALLHCEASDKSQYWYCEKIIVKDPGSSSESIF TCERWIPFMSEGLMHSEIELYCQEMQINHPPKIQEEANDGDWKVTVVTGDLENAGTTATV SLCVYGEARCSGPIILGSGKHHLFKPNSADIFKIHLTDIGEIYKIRIGHDNSGDDPRWYV EEVILENMATSDLLCLTVDSWISESEDDGDTWKEVPTVRAHRGPLPVVTYKIHIHTGTKP GAETESNVFINLIGTNGDSGKRRLHQSGSRKAMFQRGQVDIFSIKAVSLGNLKKVLISHD GTGPGNGWFLENIIVKYEEDGSCPEVLFPCHRWLDEYQEDGKTERELLPRK >ENSMUSP00000027032.4 pep:known chromosome:GRCm38:1:4344146:4360314:-1 gene:ENSMUSG00000025900.11 transcript:ENSMUST00000027032.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rp1 description:retinitis pigmentosa 1 (human) [Source:MGI Symbol;Acc:MGI:1341105] MSDTPSTSFSMIHLTSEGQVPSPRHSNITHPVVAKRISFYKSGDPQFGGVRVVVNPRSFK TFDALLDSLSRKVPLPFGVRNISTPRGRHSITRLEELEDGKSYVCSHNKKVLPVDLDKAR RRPRPWLSSRSISTHVQLCPATANMSTMAPGMLRAPRRLVVFRNGDPKNKHVVLLSRRIT QSFEAFLQYLTQVMQCPVAKLYATDGRKVPSLQAVILSSGAVVAAGREPFKPGNYDIQKY LLPAKLPGISHRVHQKGKAKIEKRKMSTHMPSDLRPQTDSLISEKTYDCFSDFSVAPENY LALETHESQSLSTYPSEDDVEKSIVFNQDGTMTVVMKVRFKIKEEETVKWTTTVNRAGLS NNDEKNKKSSYPGKTDYGPSSLKLEACSLPEDIVDTTQQGSLTEEENTQMTEQQALSCSS ASWENASMETDIQESQKQVKHFYRPPTPGPRRMRQKKSVIGTVTVVSETEVQEKQFSYSE ERKGGEKSEYHMFTHSCSKMSSVSNKLVQIGSDNEMESALERTRESGSLKSQAINAGAIE ITSQKVLKMCHNNALPSTAPENSVVEEGTDNSAVSGTATIKHFRTCGNANDSFSSITADS TPTSVNNYSNDRNISELPSVGSPVLTMRLVNEFAHCGLTEKPENRKKVLSSSASKKKKKK SQQRMITSNDKKKVIETKGPPNIAGKIPRAGTTAQERLLQESDCPDKGGRVCEQGLNISP MAIESNNFFPKSNPTFSKNFYKNKLNTFQNPKTQKLLAKRKSRPRKIVSTERLRKQEIGQ EDKILLHSDSKLCESHLEKQSLFHVFNILEEDQKVLHRPPFQVEKVARNLKGMAKKSLVP KVNDLHIMLRNQKKQMGVKLKSGAEVSEQHVTTRADPLASLKKPDFPEGIPHHSGKSYVK RWLQNINSYPDFEHRKSGPLCQNRSDVVNYNRNGFLGNNLHTTSSKGNGFLMESNKSKTK NDNWSGNTNQETGKSLVAKDNGEELNKHHCESQNGSLYDSYLVSLHDNCTLSQTTINEPS TKSHLSIEKSRPEVKLVYQEMNFATKRQSIEVAIQVDTMGENVLKDYLPALLLRHLEAFV PNNQKHQNGISQIPGSLAEVVFPSVIDNSSTNLLLAWLLVLNLKRTMNSFCQSDAHKMTN RPSETAALLEVLKHVAITEEADDLKAAVANLMESTKTCSGSSGREQDMLPVNCTASSLHS VDECNENGSAQKTLLDEGYSVMGDCTSEMVSKSCNSSCEMHMVSKTNPPKQVDDQSDGLL TSNSCTVSQRSTGACFLTDGVYSHEACAQKEGVYEGACLSDETHIPIRDCHTIHSVHSKE NKCTDDLESTEELKTVDKVPKGLSILADSMYKNDSNVSTFQNVNKLSSQRTLLSKTYLDS DKDYSPLEEFQNCPRKKIVNKKKSISSDKEESRTSEEPRSITNSMTSSERNAISELESFE ELESQDTSIFNMNVRAEKKSTKETMQKQSEARMSSELINVSGRKIIEQERRNTAILETTA RGQVTPPSLAFCYDSNKNTEKEISEGETKMRVKKMVDSMENESYSESSLNFKKHHRSPGT LDWSDYGSDSESGYPCKASSNSHNDDSGQEKEPTRGIVKRAIEKLYGKAEIIKPPFFHGS IHKSQVCPYNSVEVQCAKKTNFYESECQSLVSSEQVSRSSLIFQEFPQVDANGMGDSFGD SSIENVTKSSAHDRVFTEKENGKLIDNGKWLLRENHLWRVSSDNPGMYGNADTTSVDTLI DKNSIEVPYSHFGELAPGPTMAELSSSEIEEMTQPLEVKCNYFNFPHGSDSEPFGEDFPD AQNKTCPKEKIPNHHTEEKGNYPSERLCTSVTQAFVSAGNKVHPVCSDAIKTQPLPGSNI THGALQEGDSLDKLYALCGQHCPILTVIIQPVNEESRGFAYRKDSDIENSLDFQLWMKIY PFMPQSKKHVFRSDGRNVSVGEEFAGNVIGDLCDQLYFKSMIDLVDQRANSLGKEINLKK FQLYLKKSFSDPLSTSLLVVENRNSVSLSPSSWTDNFKSIDENNNFLNRLPNSSKNPNQV VRENTNFQFHLELFGQVYLLDICQVEKPLNIKTRSKLEMYYILEGEVLFIWEEEK >ENSMUSP00000137869.1 pep:known chromosome:GRCm38:6:39873271:40255075:1 gene:ENSMUSG00000057716.6 transcript:ENSMUST00000180886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem178b description:transmembrane protein 178B [Source:MGI Symbol;Acc:MGI:3647581] MSPADECSRQYNSTNMGLWRKCHRQGFDPEIAALIRKGEIERCTYIKYHYSSATIPRNLT FNITKTIRQDEWHALHLRRMTAGFMGMAVAIILFGWIIGVLGCCWDRGLMQYVAGLLFLM GGKVIRTRSGNSCDIPRGTYQGSGRGNEKGNCQVPVTLKGLRKQRASVWGLH >ENSMUSP00000055268.6 pep:known chromosome:GRCm38:6:40110251:40248437:1 gene:ENSMUSG00000057716.6 transcript:ENSMUST00000061740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem178b description:transmembrane protein 178B [Source:MGI Symbol;Acc:MGI:3647581] MEGFLWPKYKDLRRMTAGFMGMAVAIILFGWIIGVLGCCWDRGLMQYVAGLLFLMGGTFC IISLCTCVAGINFELSRYPRYLYGLPDDISHGYGWSMFCAWGGLGLTLISGFFCTLAPSV QPVPRTNCPKSRPENGTVC >ENSMUSP00000112811.1 pep:known chromosome:GRCm38:9:54714735:54734482:-1 gene:ENSMUSG00000061559.15 transcript:ENSMUST00000118771.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr61 description:WD repeat domain 61 [Source:MGI Symbol;Acc:MGI:1917493] MTNQYSILFKQEQAHDDAIWSVAWETNKKENIETVVTGSLDDLVKVWKWRDERLELQWSL EGHQLGVVSVDISHTLPIAASSSLDAHIRLWDLENGKQMKSIDAGPVDAWTLAFSPDSQY LATGTHMGKVNIFGVESGKKEYSLDTRGKFILSIAYSPDGKYLASGAIDGIINIFDIATG KLLHTLEGHAMPIRSLTFSPDSQLLVTASDDGYIKIYDVQHANLAGTLSGHASWVLNVAF CPDDTHFVSSSSDKSVKVWDVGTRTCIHTFFDHQDQESN >ENSMUSP00000123077.2 pep:known chromosome:GRCm38:9:54717159:54734497:-1 gene:ENSMUSG00000061559.15 transcript:ENSMUST00000130368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr61 description:WD repeat domain 61 [Source:MGI Symbol;Acc:MGI:1917493] MKSIDAGPVDAWTLAFSPDSQYLATGTHMGKVNIFGVESGKKEYSLDTRGKFILSIAYSP DGKYLASGAIDGIINIFDIATGKLLHTLEGHAMPIRSLTFSPDSQLLVTASDDGYIKIYD VQHANLAGTLSGHASWVLNVAFCPDDTHFVSSSSDKSVKVWDVGTRTCIHTFFDHQDQVW GVKYNGNGSKIVSVGDDQEIHVYDCPI >ENSMUSP00000056359.6 pep:known chromosome:GRCm38:9:54717159:54734517:-1 gene:ENSMUSG00000061559.15 transcript:ENSMUST00000051822.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr61 description:WD repeat domain 61 [Source:MGI Symbol;Acc:MGI:1917493] MTNQYSILFKQEQAHDDAIWSVAWETNKKENIETVVTGSLDDLVKVWKWRDERLELQWSL EGHQLGVVSVDISHTLPIAASSSLDAHIRLWDLENGKQMKSIDAGPVDAWTLAFSPDSQY LATGTHMGKVNIFGVESGKKEYSLDTRGKFILSIAYSPDGKYLASGAIDGIINIFDIATG KLLHTLEGHAMPIRSLTFSPDSQLLVTASDDGYIKIYDVQHANLAGTLSGHASWVLNVAF CPDDTHFVSSSSDKSVKVWDVGTRTCIHTFFDHQDQVWGVKYNGNGSKIVSVGDDQEIHV YDCPI >ENSMUSP00000113560.1 pep:known chromosome:GRCm38:9:54717164:54734519:-1 gene:ENSMUSG00000061559.15 transcript:ENSMUST00000121204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr61 description:WD repeat domain 61 [Source:MGI Symbol;Acc:MGI:1917493] MTNQYSILFKQEQAHDDAIWSVAWETNKKENIETVVTGSLDDLVKVWKWRDERLELQWSL EGHQLGVVSVDISHTLPIAASSSLDAHIRLWDLENGKQMKSIDAGPVDAWTLAFSPDSQY LATGTHMGKVNIFGVESGKKEYSLDTRGKFILSIAYSPDGKYLASGAIDGIINIFDIATG KLLHTLEGHAMPIRSLTFSPDSQLLVTASDDGYIKIYDVQHANLAGTLSGHASWVLNVAF CPDDTHFVSSSSDKSVKVWDVGTRTCIHTFFDHQDQVWGVKYNGNGSKIVSVGDDQEIHV YDCPI >ENSMUSP00000119362.2 pep:known chromosome:GRCm38:9:54722874:54734502:-1 gene:ENSMUSG00000061559.15 transcript:ENSMUST00000127451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr61 description:WD repeat domain 61 [Source:MGI Symbol;Acc:MGI:1917493] MKSIDAGPVDAWTLAFSPDSQYLATGTHMGKVNIFGVESGKKEYSLDTRGKFILSIAYSP DGKYLASGAIDGIINIFDIATGKLLHTLEGHAMPIRSLTFSPDSQLL >ENSMUSP00000005964.2 pep:known chromosome:GRCm38:3:138443093:138455499:1 gene:ENSMUSG00000028138.8 transcript:ENSMUST00000005964.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adh5 description:alcohol dehydrogenase 5 (class III), chi polypeptide [Source:MGI Symbol;Acc:MGI:87929] MANQVIRCKAAVAWEAGKPLSIEEIEVAPPKAHEVRIKILATAVCHTDAYTLSGADPEGC FPVILGHEGAGIVESVGEGVTKLKAGDTVIPLYIPQCGECKFCLNPKTNLCQKIRVTQGK GLMPDGTSRFTCKGKSVFHFMGTSTFSEYTVVADISVAKIDPSAPLDKVCLLGCGISTGY GAAVNTAKVEPGSTCAVFGLGGVGLAVIMGCKVAGASRIIGIDINKDKFAKAKEFGASEC ISPQDFSKSIQEVLVEMTDGGVDYSFECIGNVKVMRSALEAAHKGWGVSVVVGVAASGEE ISTRPFQLVTGRTWKGTAFGGWKSVESVPKLVSEYMSKKIKVDEFVTGNLSFDQINQAFD LMHSGDSIRTVLKM >ENSMUSP00000142541.1 pep:known chromosome:GRCm38:3:138443170:138447939:1 gene:ENSMUSG00000028138.8 transcript:ENSMUST00000160201.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adh5 description:alcohol dehydrogenase 5 (class III), chi polypeptide [Source:MGI Symbol;Acc:MGI:87929] MANQVWRRAVGRSRGGERPGGPLPPAGEGERSRSEGMEALFRRRAWRAALLSALLRRSPV G >ENSMUSP00000143676.1 pep:known chromosome:GRCm38:3:138443181:138454803:1 gene:ENSMUSG00000028138.8 transcript:ENSMUST00000198126.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adh5 description:alcohol dehydrogenase 5 (class III), chi polypeptide [Source:MGI Symbol;Acc:MGI:87929] MANQVIRCKAAVAWEAGKPLSIEEIEVAPPKAHEVRIKVTLSSHSTSHSVENASFV >ENSMUSP00000135085.1 pep:known chromosome:GRCm38:14:115092250:116525179:1 gene:ENSMUSG00000022112.14 transcript:ENSMUST00000176912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc5 description:glypican 5 [Source:MGI Symbol;Acc:MGI:1194894] MLSMRSAASQPQGFRGESGALAGEAAVPSRSSRGRLRIEPLPDSATHLPPALPMLGALES EDHSGEGATRTPRMDARTWRLGWRCLLLLALLGSTRSEGVESCEEVRKLFQWRLGGAVKG LPEAPRAGPDLQVCLSKNPTCCTRKMEERYQIAARQDLQQVLQTSSSTLKLLISRNAAAF QETLETLIRQAENYTSILFCNTYRNMALEAAASIQEFFTDVGLYLFGADVNPEEFVNRFF DSLFPLVYNHLINPGVTDSSLQYSECIRMARQDVSPFGNIPKRVMGQMGRSLLPGRTFLQ ALNLGIEVINTTDHIHFSKECSRALLKMQYCPHCQSLMLSKPCMGYCLNVIRGCLAHMTE LNPHWHAYIRSLEELSDAMHGTYDVEHVLLNFHLLVNDAVLQAHLNGQKLLDQVNTICGH PVRTPTQSPRCTFDPSKEKHGMKISARNGEETLANRRKEFINSLRLHGSFYGGLADQLCV NELAAPEGRPCWNGEEIVKSYAQRVVGNGIKAQSANPEVRVRGTDPVVNQIIDKLKHVIQ LLRGRSPKPNKWELLQPGSGGGMLENSSGDCDDEDGCGGSGSGEVKRTLKITNWMPDSMN FSDVKQVHRADHGSTLDTTSTGCASGTESMALPLMGTLMFLPWLW >ENSMUSP00000135857.1 pep:known chromosome:GRCm38:14:115092272:115417821:1 gene:ENSMUSG00000022112.14 transcript:ENSMUST00000175665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc5 description:glypican 5 [Source:MGI Symbol;Acc:MGI:1194894] MLSMRSAASQPQGFRGESGALAGEAAVPSRSSRGRLRIEPLPDSATHLPPALPMLGALES EDHSGEGATRTPRMDARTWRLGWRCLLLLALLGSTRSEGVESCEEVRKLFQWRLGGAVKG LPEAPRAGPDLQVCLSKNPTCCTRKMEERYQIAARQDLQQVLQTSSSTLKLLISRNAAAF QETLETLIRQAENYTSILFCNTYRNMALEAAASIQEFFTDVGLYLFGADVNPEEFVNRFF DSLFPLVYNHLINPGVTDSSLQYSECIRMARQDVSPFGNIPKRVMGQMGRSLLPGRTFLQ ALNLGIEVINTTDHIHFSKECSRALLKMQYCPHCQSLMLSKPCMGYCLNVIRGCLAHMTE LNPHWHAYIRSLEELSDAMHGTYDVEHVLLNFHLLVNDAVLQAHLNGQKLLDQVNTICGH PVRTPTQSPRCTFDPSKEKHGMKISARNGEETLANRRKGIQRTAEKHAVSIRKLARIHTA EQKNEQRVWTSLLKDHPQ >ENSMUSP00000022707.6 pep:known chromosome:GRCm38:14:115092626:116524437:1 gene:ENSMUSG00000022112.14 transcript:ENSMUST00000022707.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc5 description:glypican 5 [Source:MGI Symbol;Acc:MGI:1194894] MDARTWRLGWRCLLLLALLGSTRSEGVESCEEVRKLFQWRLGGAVKGLPEAPRAGPDLQV CLSKNPTCCTRKMEERYQIAARQDLQQVLQTSSSTLKLLISRNAAAFQETLETLIRQAEN YTSILFCNTYRNMALEAAASIQEFFTDVGLYLFGADVNPEEFVNRFFDSLFPLVYNHLIN PGVTDSSLQYSECIRMARQDVSPFGNIPKRVMGQMGRSLLPGRTFLQALNLGIEVINTTD HIHFSKECSRALLKMQYCPHCQSLMLSKPCMGYCLNVIRGCLAHMTELNPHWHAYIRSLE ELSDAMHGTYDVEHVLLNFHLLVNDAVLQAHLNGQKLLDQVNTICGHPVRTPTQSPRCTF DPSKEKHGMKISARNGEETLANRRKEFINSLRLHGSFYGGLADQLCVNELAAPEGRPCWN GEEIVKSYAQRVVGNGIKAQSANPEVRVRGTDPVVNQIIDKLKHVIQLLRGRSPKPNKWE LLQPGSGGGMLENSSGDCDDEDGCGGSGSGEVKRTLKITNWMPDSMNFSDVKQVHRADHG STLDTTSTGCASGTESMALPLMGTLMFLPWLW >ENSMUSP00000028858.7 pep:known chromosome:GRCm38:2:127801122:127831865:-1 gene:ENSMUSG00000027379.13 transcript:ENSMUST00000028858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bub1 description:BUB1, mitotic checkpoint serine/threonine kinase [Source:MGI Symbol;Acc:MGI:1100510] MDNLENVFRMFEAHMQSYTGNDPLGEWESFIKWVEENFPDNKEYLMTLLEHLMKEFLHKK NYHNDSRFINYCLKFAEYNSDRHQFFEFLYNQGIGTKSSYIYMSWAGHLEAQGELQHASA IFQTGIHNEAEPKELLQQQYRLFQARLTGIHLPAQATTSEPLHSAQILNQVMMTNSSPEK NSACVPRSQGSECSGVASSTCDEKSNIREQRVIMISKSECSVSSSVAPKPEAQQVMYCKE KLIRGDSEFSFEELRAQKYNQRKKHEQWVSEDRNYMKRKEANAFEEQLLKQKMDELHKKL HQVVELSHKDLPASENRPDVSLVCVGQNTCSQQELRGPSLSSISHQTSESSGEKPQEEPS VPLMVNAVNSTLLFPAANLPALPVPVSGQSLTDSRCVNQSVHEFMPQCGPETKEVCETNK VASINDFHTTPNTSLGMVQGTPCKVQPSPTVHTKEALGFIMDMFQAPTLPDISDDKDEWP SLDQNEDAFEAQFQKNAVSSGDWGVKKIMTLSSAFPIFEDGNKENYGLPQPKNKPLGART FGERSLSKYSSRSNEMPHTDEFMDDSTVCGIRCNKTLAPSPKSIGDFTSAAQLSSTPFHK FPADLVQIPEDKENVVATQYTHMALDSCKENIVDLSKGRKLGPIQEKISASLPCPSQPAT GGLFTQEAVFGLEAFKCTGIDHATVEDLSDANAGLQVECVQTLGNVNAPSFTVENPWDDE LILKLLSGLSKPVTSYSNTFEWQSKLPAIKTKTEYQLGSLLVYVNHLLGEGAFAQVFEAI HGDVRNAKSEQKCILKVQRPANSWEFYIGMQLMERLKPEVHHMFIKFYSAHLFKNGSILV GELYSYGTLLNVINLYKNTSEKVMPQALVLTFAIRMLYMVEQVHSCEIIHGDIKPDNFIL GHRFLEQADEDLATGLALIDLGQSIDMKLFPKGTVFTGKCETSGFQCPEMLSNKPWNYQI DYFGVAATIYCMLFGSYMKVKNEGGVWKPEGLFRRLPHLDMWEEFFHIMLNIPDCHNLPS LDFLRQNMKKLLEQQYSNKIKTLRNRLIVMLSEYKRSRK >ENSMUSP00000134337.1 pep:known chromosome:GRCm38:3:95129536:95134011:-1 gene:ENSMUSG00000092607.9 transcript:ENSMUST00000172572.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnm1 description:sodium channel modifier 1 [Source:MGI Symbol;Acc:MGI:1341284] MSFKREGDDWSQLNVLKKRRVGDLLASYIPEDEALMLRDGRFACAICPHRPVLDTLAMLT AHRAGKKHLSSLKLFYGKKQTGKGTEQNPRQQNELKTESKTEAPLLTQTRIITQNALHRA PHYNSCCRRKHRPEAPAPSVSSPPLPTAEVQLQSAEISKEPEPRERSDAKESAALLASAP MSPTKR >ENSMUSP00000133769.1 pep:known chromosome:GRCm38:3:95129729:95134011:-1 gene:ENSMUSG00000092607.9 transcript:ENSMUST00000173462.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnm1 description:sodium channel modifier 1 [Source:MGI Symbol;Acc:MGI:1341284] MSFKREGDDWSQLNVLKKRRVGDLLASYIPEDEALMLRDGRFACAICPHRPVLDTLAMLT AHRAGKKHLSSLKLFYGKKQTGKGTEQNPRQQNELKTESKTEAPLLTQTRIITQNALHRA PHYNSCCRRKHSSGWVPDGRGRWIKDENVEFDSDEEEPPDLPLD >ENSMUSP00000104311.1 pep:known chromosome:GRCm38:11:68943157:68957480:-1 gene:ENSMUSG00000052921.13 transcript:ENSMUST00000108671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef15 description:Rho guanine nucleotide exchange factor (GEF) 15 [Source:MGI Symbol;Acc:MGI:3045246] MSAQSLPAATPPTLKPPRIIRPRPPSRHRAPHSPGPLHNGSSPKALPQISNDASASVCTS IFWEPPTASLKPPALLPPSVSRTSLDSQTSPDSPSSTPSPSPVSRRSISPEPAPCSPVPP PKPSGSSRTPLPSGPTPLQDGSASAPGTVRRLAGKFEWGAEGKAQSSDSLERCSQGSTEV NGEKETPEAALSGNGSQENGTPDAALACPPCCPCVCHVAKPGLELRWVPVGSSEDILRIP CRASPLRASRSRINPPVISHPPVVLTSYRSTAERKLLPPLKPPKPTKVRQDISTSEELPQ PDLKLPSEDGIQTATKAWEGDRPEGAPLNAPPVALEGREEEGLDGLKGLQWELPLQDEPL YQTYRAAVLSEELWGVGEDGGPSPANPGEAPTFSRLPGPRNTLWQELPAVRGSGLLESLS PQERRMQESLFEVVTSEASYLRSLRLLTDTFVLSQALRDTLTPRDHHTLFSNVQRVQSVS ERFLGTLLSRVRSSPHITDLCDVVHAHAVGPFFVYVDYVRNQQYQEETYSRLMDTNVRFS AELRRLQSLPKCERLPLPSFLLLPFQRITRLRMLLQNILSQTEEGSSRQENAQKALGAVS KIIERCSAEVGRMKQTEELIRLTQRLRFHKVKALPLVSWSRRLELQGELTELGCRRGGVL FTSRPRFTPLCLLLFSDLLLITQPKSGQRLQVLDYAHRSLVQAQQVPDPSGPPTFRLSLL SNHQGRPTHRLLQAASLSDMQRWLGAFPTPGPLPCSPDTIYEDCECSQELCSEPSTPSKT EGQSLESKAPRKHLHKNPEGWLKGLPGAFPAQLVCEVTGEHERRKHLRQHQKLLEAVGPS SGTPDTPQP >ENSMUSP00000067684.6 pep:known chromosome:GRCm38:11:68943155:68955074:-1 gene:ENSMUSG00000052921.13 transcript:ENSMUST00000065040.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef15 description:Rho guanine nucleotide exchange factor (GEF) 15 [Source:MGI Symbol;Acc:MGI:3045246] MSAQSLPAATPPTLKPPRIIRPRPPSRHRAPHSPGPLHNGSSPKALPQISNDASASVCTS IFWEPPTASLKPPALLPPSVSRTSLDSQTSPDSPSSTPSPSPVSRRSISPEPAPCSPVPP PKPSGSSRTPLPSGPTPLQDGSASAPGTVRRLAGKFEWGAEGKAQSSDSLERCSQGSTEV NGEKETPEAALSGNGSQENGTPDAALACPPCCPCVCHVAKPGLELRWVPVGSSEDILRIP CRASPLRASRSRINPPVISHPPVVLTSYRSTAERKLLPPLKPPKPTKVRQDISTSEELPQ PDLKLPSEDGIQTATKAWEGDRPEGAPLNAPPVALEGREEEGLDGLKGLQWELPLQDEPL YQTYRAAVLSEELWGVGEDGGPSPANPGEAPTFSRLPGPRNTLWQELPAVRGSGLLESLS PQERRMQESLFEVVTSEASYLRSLRLLTDTFVLSQALRDTLTPRDHHTLFSNVQRVQSVS ERFLGTLLSRVRSSPHITDLCDVVHAHAVGPFFVYVDYVRNQQYQEETYSRLMDTNVRFS AELRRLQSLPKCERLPLPSFLLLPFQRITRLRMLLQNILSQTEEGSSRQENAQKALGAVS KIIERCSAEVGRMKQTEELIRLTQRLRFHKVKALPLVSWSRRLELQGELTELGCRRGGVL FTSRPRFTPLCLLLFSDLLLITQPKSGQRLQVLDYAHRSLVQAQQVPDPSGPPTFRLSLL SNHQGRPTHRLLQAASLSDMQRWLGAFPTPGPLPCSPDTIYEDCECSQELCSEPSTPSKT EGQSLESKAPRKHLHKNPEGWLKGLPGAFPAQLVCEVTGEHERRKHLRQHQKLLEAVGPS SGTPDTPQP >ENSMUSP00000123798.1 pep:known chromosome:GRCm38:14:55587441:55591087:-1 gene:ENSMUSG00000079197.10 transcript:ENSMUST00000161807.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme2 description:proteasome (prosome, macropain) activator subunit 2 (PA28 beta) [Source:MGI Symbol;Acc:MGI:1096365] MAKPCGVRLSGEARKQVDVFRQNLFQEADDFLCTFLPRKIISLSQLLQEDSLNVADLSSL RAPLDIPIPDPPPKDDEMETDKQEKKEVPKCGYLPGNEKLLALLALVKPEVWTLKEKCIL VITWIQHLIPKIEDGNDFGVAIQEKVLERVNAVKTKVEAFQTTISKYFSERGDAVAKASK DTHVMDYRALVHERDEAAYGALRAMVLDLRAFYAELYHIISSNLEKIVNPKGEEKPSMY >ENSMUSP00000125596.1 pep:known chromosome:GRCm38:14:55588072:55591113:-1 gene:ENSMUSG00000079197.10 transcript:ENSMUST00000159687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme2 description:proteasome (prosome, macropain) activator subunit 2 (PA28 beta) [Source:MGI Symbol;Acc:MGI:1096365] MAEGVLLTVDVFRQNLFQEADDFLCTFLPRKIISLSQLLQEDSLNVADLSSLRAPLDIPI PDPPPKDDEMETDKQEKKEVPKCGYLPGNEKLLALLALVKPEVWTLKEKCILVITWIQHL IPKIEDGNDFGVAIQEKVLERVNAVKTKVEAFQTTISKYFSERGD >ENSMUSP00000107009.3 pep:known chromosome:GRCm38:14:55587442:55591101:-1 gene:ENSMUSG00000079197.10 transcript:ENSMUST00000111378.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme2 description:proteasome (prosome, macropain) activator subunit 2 (PA28 beta) [Source:MGI Symbol;Acc:MGI:1096365] MAEGVLLTVDVFRQNLFQEADDFLCTFLPRKIISLSQLLQEDSLNVADLSSLRAPLDIPI PDPPPKDDEMETDKQEKKEVPKCGYLPGNEKLLALLALVKPEVWTLKEKCILVITWIQHL IPKIEDGNDFGVAIQEKVLERVNAVKTKVEAFQTTISKYFSERGDAVAKASKDTHVMDYR ALVHERDEAAYGALRAMVLDLRAFYAELYHIISSNLEKIVNPKGEEKPSMY >ENSMUSP00000028888.4 pep:known chromosome:GRCm38:2:130123275:130154232:1 gene:ENSMUSG00000027403.12 transcript:ENSMUST00000028888.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgm6 description:transglutaminase 6 [Source:MGI Symbol;Acc:MGI:3044321] MAGVRITKVDWQHSKNGAAHHTQDYPCSELVVRRGQLFSLTLDLSRVLDSEEALIFTVET GPHASEALHTKAVFQTAELEIGDTWTAAKEEQTENTITVSLSSPSNAVIGRYLLSVRPSS RRKHSDRKLGQFILLFNPWCPEDDVFLDSEEERQEYVLNDSGVIFRGVEKHIRAQGWNYG QFEEDILNICLSILDRSPSHQEDPATDVSHRHDPVYVTRIISAMVNSNNDRGVVQGQWQG KYGGGTNPLNWRGSVAILQKWFKGRYKPVKYGQCWVFAGVMCTVLRCLGIATRVVSNFNS AHDTDGNLSVDKYVDSYGRTLEDLTEDSMWNFHVWNESWFARQDLGPSYDGWQVLDATPQ EESEGMFRCGPASVTAIREGDVHLAHDGPFVFAEVNADYITWLWHEDKRRERVYSDTKKI GRCISTKAVGSDSRVDITGLYKYPEGSRKERQVYSKAVKKLLSVEAWGRRRRIRRASVRG VWRDDLLEPVTKPSITGKFKVLEPPVLGQDLKLALCLTNLTARAQRVRVNVSGATILYTR KPVAEILRESHTVKLGPLEEKKIPVTISYSQYKGDLTEDKKILLAAMCLVSKGEKLLVEK DITLEDFITIKVLGPAVVGVTVTVEVLVINPLSESVKDCVLMVEGSGLLQGQLSIEVPSL QPQEKALIQFNITPSKSGPRQLQVDLVSSQFPDIKGFVIIHVATAK >ENSMUSP00000129528.1 pep:known chromosome:GRCm38:9:73113426:73122712:1 gene:ENSMUSG00000032215.15 transcript:ENSMUST00000169399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsl24d1 description:ribosomal L24 domain containing 1 [Source:MGI Symbol;Acc:MGI:2681840] MKRVEEIKQKRQAKFIMNRLKKNKELQKVQDIKEVKQNIHLIRAPLAGKGKQLEEKMVQQ LQEDVDMEEAS >ENSMUSP00000034738.7 pep:known chromosome:GRCm38:9:73113448:73123333:1 gene:ENSMUSG00000032215.15 transcript:ENSMUST00000034738.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsl24d1 description:ribosomal L24 domain containing 1 [Source:MGI Symbol;Acc:MGI:2681840] MRIEKCYFCSGPIYPGHGMMFVRNDCKVFRFCKSKCHKNFKKKRNPRKVRWTKAFRKAAG KELTVDNSFEFEKRRNEPVKYQRELWNKTIDAMKRVEEIKQKRQAKFIMNRLKKNKELQK VQDIKEVKQNIHLIRAPLAGKGKQLEEKMVQQLQEDVDMEEAS >ENSMUSP00000109133.3 pep:known chromosome:GRCm38:9:73113503:73122569:1 gene:ENSMUSG00000032215.15 transcript:ENSMUST00000113505.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsl24d1 description:ribosomal L24 domain containing 1 [Source:MGI Symbol;Acc:MGI:2681840] MRIEKCYFCSGPIYPGHGMMFVRNDCKCPVGVPILQIQVS >ENSMUSP00000126553.1 pep:known chromosome:GRCm38:9:73114596:73122382:1 gene:ENSMUSG00000032215.15 transcript:ENSMUST00000165177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsl24d1 description:ribosomal L24 domain containing 1 [Source:MGI Symbol;Acc:MGI:2681840] MMSDTHQTLECPSVLMSHVILGVVLSSYVFRFCKSKCHKNFKKKRNPRKVRWTKAFRKAA GKELTVDNSFEFEKRRNEPVKYQRELWNKTIDAMKRVEEIKQKRQAKFIMNRLKKNKELQ KVQDIKEVKQNIHLIRAPLAGKGKQLEEKMVQQLQEDVDMEEAS >ENSMUSP00000118491.1 pep:known chromosome:GRCm38:2:22940308:23040122:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000123948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKWLKAKHGNNQPARTGTLSRTNPPTQK PPSPPVSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHS GSSGGSGSRENSGSSSIGIPIAVPTPSPPTAGPAAPGAAPGSQYGTMTRQISRHNSTTSS TSSGGYRRTPSVAAQFSAQPHVNGGPLYSQNSISVAPPPPPMPQLTPQIPLTGFVARVQE NIADSPTPPPPPPPDDIPMFDDSPPPPPPPPVDYEDEEAAVVQYSDPYADGDPAWAPKNY IEKVVAIYDYTKDKDDELSFKEGAIIYVIKKNDDGWFEGVCNRVTGLFPGNYVESIMHYT D >ENSMUSP00000088957.6 pep:known chromosome:GRCm38:2:22940364:23040137:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000091394.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKWLKAKHGNNQPARTGTLSRTNPPTQK PPSPPVSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHS GSSGGSGSRENSGSSSIGIPIAVPTPSPPTAGPAPGAAPGSQYGTMTRQISRHNSTTSST SSGGYRRTPSVAAQFSAQPHVNGGPLYSQNSISVAPPPPPMPQLTPQIPLTGFVARVQEN IADSPTPPPPPPPDDIPMFDDSPPPPPPPPVDYEDEEAAVVQYSDPYADGDPAWAPKNYI EKVVAIYDYTKDKDDELSFKEGAIIYVIKKNDDGWFEGVCNRVTGLFPGNYVESIMHYTD >ENSMUSP00000110191.3 pep:known chromosome:GRCm38:2:22940369:23040185:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000114544.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKHGNNQPARTGTLSRTNPPTQKPPSPP VSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHSGSSGG SGSRENSGSSSIGIPIAVPTPSPPTAGPVADSPTPPPPPPPDDIPMFDDSPPPPPPPPVD YEDEEAAVVQYSDPYADGDPAWAPKNYIEKVVAIYDYTKDKDDELSFKEGAIIYVIKKND DGWFEGVCNRVTGLFPGNYVESIMHYTD >ENSMUSP00000120769.1 pep:known chromosome:GRCm38:2:22940364:23040104:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000153931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKWLKAKHGNNQPARTGTLSRTNPPTQK PPSPPVSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHS GSSGGSGSRENSGSSSIGIPIAVPTPSPPTAGPVADSPTPPPPPPPDDIPMFDDSPPPPP PPPVDYEDEEAAVVQYSDPYADGDPAWAPKNYIEKVVAIYDYTKDKDDELSFKEGAIIYV IKKNDDGWFEGVCNRVTGLFPGNYVESIMHYTD >ENSMUSP00000077997.7 pep:known chromosome:GRCm38:2:22940369:23040230:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000078977.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKWLKAKHGNNQPARTGTLSRTNPPTQK PPSPPVSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHS GSSGGSGSRENSGSSSIGIPIAVPTPSPPTAGPAAPGAAPGSQYGTMTRQISRHNSTTSS TSSGGYRRTPSVAAQFSAQPHVNGGPLYSQNSIADSPTPPPPPPPDDIPMFDDSPPPPPP PPVDYEDEEAAVVQYSDPYADGDPAWAPKNYIEKVVAIYDYTKDKDDELSFKEGAIIYVI KKNDDGWFEGVCNRVTGLFPGNYVESIMHYTD >ENSMUSP00000090860.6 pep:known chromosome:GRCm38:2:22940892:23040140:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000093171.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKWLKAKHGNNQPARTGTLSRTNPPTQK PPSPPVSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHS GSSGGSGSRENSGSSSIGIPIAVPTPSPPTAGPAPGAAPGSQYGTMTRQISRHNSTTSST SSGGYRRTPSVAAQFSAQPHVNGGPLYSQNSIADSPTPPPPPPPDDIPMFDDSPPPPPPP PVDYEDEEAAVVQYSDPYADGDPAWAPKNYIEKVVAIYDYTKDKDDELSFKEGAIIYVIK KNDDGWFEGVCNRVTGLFPGNYVESIMHYTD >ENSMUSP00000116093.1 pep:known chromosome:GRCm38:2:22940364:23040187:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000139038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKWLKAKHGNNQPARTGTLSRTNPPTQK PPSPPVSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHS GSSGGSGSRENSGSSSIGIPIAVPTPSPPTAGPVSVAPPPPPMPQLTPQIPLTGFVARVQ ENIADSPTPPPPPPPDDIPMFDDSPPPPPPPPVDYEDEEAAVVQYSDPYADGDPAWAPKN YIEKVVAIYDYTKDKDDELSFKEGAIIYVIKKNDDGWFEGVCNRVTGLFPGNYVESIMHY TD >ENSMUSP00000120462.1 pep:known chromosome:GRCm38:2:22940073:23040241:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000140164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKHGNNQPARTGTLSRTNPPTQKPPSPP VSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHSGSSGG SGSRENSGSSSIGIPIAVPTPSPPTAGPAPGAAPGSQYGTMTRQISRHNSTTSSTSSGGY RRTPSVAAQFSAQPHVNGGPLYSQNSISVAPPPPPMPQLTPQIPLTGFVARVQENIADSP TPPPPPPPDDIPMFDDSPPPPPPPPVDYEDEEAAVVQYSDPYADGDPAWAPKNYIEKVVA IYDYTKDKDDELSFKEGAIIYVIKKNDDGWFEGVCNRVTGLFPGNYVESIMHYTD >ENSMUSP00000120621.1 pep:known chromosome:GRCm38:2:22940086:23040241:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000149719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKHGNNQPARTGTLSRTNPPTQKPPSPP VSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHSGSSGG SGSRENSGSSSIGIPIAVPTPSPPTAGPAPGAAPGSQYGTMTRQISRHNSTTSSTSSGGY RRTPSVAAQFSAQPHVNGGPLYSQNSIADSPTPPPPPPPDDIPMFDDSPPPPPPPPVDYE DEEAAVVQYSDPYADGDPAWAPKNYIEKVVAIYDYTKDKDDELSFKEGAIIYVIKKNDDG WFEGVCNRVTGLFPGNYVESIMHYTD >ENSMUSP00000117335.1 pep:known chromosome:GRCm38:2:22940073:23040195:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000126112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKHGNNQPARTGTLSRTNPPTQKPPSPP VSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHSGSSGG SGSRENSGSSSIGIPIAVPTPSPPTAGPAAPGAAPGSQYGTMTRQISRHNSTTSSTSSGG YRRTPSVAAQFSAQPHVNGGPLYSQNSISVAPPPPPMPQLTPQIPLTGFVARVQENIADS PTPPPPPPPDDIPMFDDSPPPPPPPPVDYEDEEAAVVQYSDPYADGDPAWAPKNYIEKVV AIYDYTKDKDDELSFKEGAIIYVIKKNDDGWFEGVCNRVTGLFPGNYVESIMHYTD >ENSMUSP00000136846.1 pep:known chromosome:GRCm38:2:22941233:23040219:-1 gene:ENSMUSG00000058835.14 transcript:ENSMUST00000178908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi1 description:abl-interactor 1 [Source:MGI Symbol;Acc:MGI:104913] MAELQMLLEEEIPSGKRALIESYQNLTRVADYCENNYIQATDKRKALEETKAYTTQSLAS VAYQINALANNVLQLLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANMERPVRYIRKPIDYTVLDDVGHGVKKLWQEHGNNQPARTGTLSRTNPPTQK PPSPPVSGRGTLGRNTPYKTLEPVKPPTVPNDYMTSPARLGSQHSPGRTASLNQRPRTHS GSSGGSGSRENSGSSSIGIPIAVPTPSPPTAGPAAPGAAPGSQYGTMTRQISRHNSTTSS TSSGGYRRTPSVAAQFSAQPHVNGGPLYSQNSISVAPPPPPMPQLTPQIPLTGFVARVQE NIADSPTPPPPPPPDDIPMFDDSPPPPPPPPVDYEDEEAAVVQYSDPYADGDPAWAPKNY IEKVVAIYDYTKDKDDELSFKEGAIIYVIKKNDDGWFEGVCNRVTGLFPGNYVESIMHYT D >ENSMUSP00000029948.7 pep:known chromosome:GRCm38:3:141837136:141982264:-1 gene:ENSMUSG00000052430.15 transcript:ENSMUST00000029948.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmpr1b description:bone morphogenetic protein receptor, type 1B [Source:MGI Symbol;Acc:MGI:107191] MLLRSSGKLNVGTKKEDGESTAPTPRPKILRCKCHHHCPEDSVNNICSTDGYCFTMIEED DSGMPVVTSGCLGLEGSDFQCRDTPIPHQRRSIECCTERNECNKDLHPTLPPLKDRDFVD GPIHHKALLISVTVCSLLLVLIILFCYFRYKRQEARPRYSIGLEQDETYIPPGESLRDLI EQSQSSGSGSGLPLLVQRTIAKQIQMVKQIGKGRYGEVWMGKWRGEKVAVKVFFTTEEAS WFRETEIYQTVLMRHENILGFIAADIKGTGSWTQLYLITDYHENGSLYDYLKSTTLDAKS MLKLAYSSVSGLCHLHTEIFSTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVKFISD TNEVDIPPNTRVGTKRYMPPEVLDESLNRNHFQSYIMADMYSFGLILWEIARRCVSGGIV EEYQLPYHDLVPSDPSYEDMREIVCMKKLRPSFPNRWSSDECLRQMGKLMTECWAQNPAS RLTALRVKKTLAKMSESQDIKL >ENSMUSP00000117478.1 pep:known chromosome:GRCm38:3:141840320:141931508:-1 gene:ENSMUSG00000052430.15 transcript:ENSMUST00000131273.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bmpr1b description:bone morphogenetic protein receptor, type 1B [Source:MGI Symbol;Acc:MGI:107191] MLLRSSGKLNVGTKKEDGESTAPTPRPKILRCKCHHHCPEDSVNNICRWVLLHDDRRR >ENSMUSP00000101839.1 pep:known chromosome:GRCm38:3:141838102:141931523:-1 gene:ENSMUSG00000052430.15 transcript:ENSMUST00000106232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmpr1b description:bone morphogenetic protein receptor, type 1B [Source:MGI Symbol;Acc:MGI:107191] MLLRSSGKLNVGTKKEDGESTAPTPRPKILRCKCHHHCPEDSVNNICSTDGYCFTMIEED DSGMPVVTSGCLGLEGSDFQCRDTPIPHQRRSIECCTERNECNKDLHPTLPPLKDRDFVD GPIHHKALLISVTVCSLLLVLIILFCYFRYKRQEARPRYSIGLEQDETYIPPGESLRDLI EQSQSSGSGSGLPLLVQRTIAKQIQMVKQIGKGRYGEVWMGKWRGEKVAVKVFFTTEEAS WFRETEIYQTVLMRHENILGFIAADIKGTGSWTQLYLITDYHENGSLYDYLKSTTLDAKS MLKLAYSSVSGLCHLHTEIFSTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVKFISD TNEVDIPPNTRVGTKRYMPPEVLDESLNRNHFQSYIMADMYSFGLILWEIARRCVSGGIV EEYQLPYHDLVPSDPSYEDMREIVCMKKLRPSFPNRWSSDECLRQMGKLMTECWAQNPAS RLTALRVKKTLAKMSESQDIKL >ENSMUSP00000101837.1 pep:known chromosome:GRCm38:3:141840368:142169425:-1 gene:ENSMUSG00000052430.15 transcript:ENSMUST00000106230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmpr1b description:bone morphogenetic protein receptor, type 1B [Source:MGI Symbol;Acc:MGI:107191] MLLRSSGKLNVGTKKEDGESTAPTPRPKILRCKCHHHCPEDSVNNICSTDGYCFTMIEED DSGMPVVTSGCLGLEGSDFQCRDTPIPHQRRSIECCTERNECNKDLHPTLPPLKDRDFVD GPIHHKALLISVTVCSLLLVLIILFCYFRYKRQEARPRYSIGLEQDETYIPPGESLRDLI EQSQSSGSGSGLPLLVQRTIAKQIQMVKQIGKGRYGEVWMGKWRGEKVAVKVFFTTEEAS WFRETEIYQTVLMRHENILGFIAADIKGTGSWTQLYLITDYHENGSLYDYLKSTTLDAKS MLKLAYSSVSGLCHLHTEIFSTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVKFISD TNEVDIPPNTRVGTKRYMPPEVLDESLNRNHFQSYIMADMYSFGLILWEIARRCVSGGIV EEYQLPYHDLVPSDPSYEDMREIVCMKKLRPSFPNRWSSDECLRQMGKLMTECWAQNPAS RLTALRVKKTLAKMSESQDIKL >ENSMUSP00000096167.1 pep:known chromosome:GRCm38:3:141840367:142169213:-1 gene:ENSMUSG00000052430.15 transcript:ENSMUST00000098568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmpr1b description:bone morphogenetic protein receptor, type 1B [Source:MGI Symbol;Acc:MGI:107191] MLLRSSGKLNVGTKKEDGESTAPTPRPKILRCKCHHHCPEDSVNNICSTDGYCFTMIEED DSGMPVVTSGCLGLEGSDFQCRDTPIPHQRRSIECCTERNECNKDLHPTLPPLKDRDFVD GPIHHKALLISVTVCSLLLVLIILFCYFRYKRQEARPRYSIGLEQDETYIPPGESLRDLI EQSQSSGSGSGLPLLVQRTIAKQIQMVKQIGKGRYGEVWMGKWRGEKVAVKVFFTTEEAS WFRETEIYQTVLMRHENILGFIAADIKGTGSWTQLYLITDYHENGSLYDYLKSTTLDAKS MLKLAYSSVSGLCHLHTEIFSTQGKPAIAHRDLKSKNILVKKNGTCCIADLGLAVKFISD TNEVDIPPNTRVGTKRYMPPEVLDESLNRNHFQSYIMADMYSFGLILWEIARRCVSGGIV EEYQLPYHDLVPSDPSYEDMREIVCMKKLRPSFPNRWSSDECLRQMGKLMTECWAQNPAS RLTALRVKKTLAKMSESQDIKL >ENSMUSP00000045154.4 pep:known chromosome:GRCm38:2:134594185:134644145:-1 gene:ENSMUSG00000034723.11 transcript:ENSMUST00000038228.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmx4 description:thioredoxin-related transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:106558] MTGGFCVPVLLAAWLAAAAAEGLEQAALPAEESRVQPMTASNWTLVMEGEWMLKFYAPWC PSCQQTDSEWETFAKNGETLQISVGKVDVIQEPGLSGRFFVTTLPAFFHAKDGIFRRYRG PGIYEDLQNYILEKKWQSVEPLTGWKSPASLTMSGMAGLFSISGKIWHLHNYFTVTLGIP AWCSYVFFVIATLVFGLFMGLILVVISECFCVPLPRASSERCEQEQSTGEAQGAEQLQDA EEEKDDSNEEENKDSLVDDEEEKEDIGDEDEGEEDEEEDNLAGIMAEERSDTNERAVVKE GSVSPKEDGAHPADTQDVVEDALRQRKSQNANKGS >ENSMUSP00000105747.1 pep:known chromosome:GRCm38:2:134607466:134644041:-1 gene:ENSMUSG00000034723.11 transcript:ENSMUST00000110120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmx4 description:thioredoxin-related transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:106558] MTGGFCVPVLLAAWLAAAAAEGLEQAALPAEESRVQPMTASNWTLVMEGEWMLKFYAPWC PSCQQTDSEWETFAKNGETLQISVGKVDVIQEPGLSGRFFVTTLPAFFHAKDGIFRRYRG PGIYEDLQNYILEKKWQSVEPLTGWKSPASLTMSGMAGLFSISGKIWVSTYKQLYLKIYI FKE >ENSMUSP00000105746.1 pep:known chromosome:GRCm38:2:134639491:134644090:-1 gene:ENSMUSG00000034723.11 transcript:ENSMUST00000110119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmx4 description:thioredoxin-related transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:106558] MTGGFCVPVLLAAWLAAAAAEGLEQAALPAEESRVQPMTASNWTLVMEGEWMLKFYAPWC PSCQQTDSEWETFAKNGETLQISVGKVDVIQEPGTVNVVYRWECLATACLEMFMDVEDLP SRMLSMPARSSRLHFDVFVSMYVGVYTFIHMYTYRYTHIFIIITIC >ENSMUSP00000112714.1 pep:known chromosome:GRCm38:13:56522472:56527467:1 gene:ENSMUSG00000035509.17 transcript:ENSMUST00000121095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl21 description:F-box and leucine-rich repeat protein 21 [Source:MGI Symbol;Acc:MGI:2442921] MGVGDYPMAVSFHWLSSNCNLEATFRMKRNNFSAVNKVVQSSPVVKQPKRGLCSSLRQTH ALSVLLDWGTLPHHVILQIFQYLPLIDRARASSVCRRWNEVFHIPDLWRKFEFELNQSAT SYFKSTHPDLIQQIIKKHAAHLQYVSFKVQSSFR >ENSMUSP00000112518.1 pep:known chromosome:GRCm38:13:56522491:56537898:1 gene:ENSMUSG00000035509.17 transcript:ENSMUST00000121871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl21 description:F-box and leucine-rich repeat protein 21 [Source:MGI Symbol;Acc:MGI:2442921] MGVGDYPMAVSFHWLSSNCNLEATFRMKRNNFSAVNKVVQSSPVVKQPKRGLCSSLRQTH ALSVLLDWGTLPHHVILQIFQYLPLIDRARASSVCRRWNEVFHIPDLWRKFEFELNQSAT SYFKSTHPDLIQQIIKKHAAHLQYVSFKVDSSTESAEAACDILSQLVNCSIQTLGLISTA KPSFMNVPKSHFVSALTVVFVNSKSLSSIKIEDTPVDDPSLKILVANNSDTLRLLKMSSC PHVSSDGILCVADHCQGLRELALNYYILSDEILLALSSETHVNLEHLRIDVVSENPGQIK FHSIKKRSWDALIKHSPRVNVVMYFFLYEEEFEAFFKEETPVTHLYFGRSVSRAILGRIG LNCPRLIELVVCANGLLPLDSELIRIAKHCKNLTSLGLSECEVSCSAFVEFVRLCGRRLT QLSIMEEVLVPDDRYTPDEVHTEVSKHLGRVWFPDVMPIW >ENSMUSP00000115636.1 pep:known chromosome:GRCm38:13:56522508:56537786:1 gene:ENSMUSG00000035509.17 transcript:ENSMUST00000128668.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxl21 description:F-box and leucine-rich repeat protein 21 [Source:MGI Symbol;Acc:MGI:2442921] MGVGDYPMAVSFHWLSSNCNLEATFSDFIGQSCFWDPTLCCG >ENSMUSP00000114329.1 pep:known chromosome:GRCm38:13:56522513:56530086:1 gene:ENSMUSG00000035509.17 transcript:ENSMUST00000124981.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxl21 description:F-box and leucine-rich repeat protein 21 [Source:MGI Symbol;Acc:MGI:2442921] MGVGDYPMAVSFHWLSSNCNLEATFSDFIGQSCFWDPTLCCG >ENSMUSP00000035248.6 pep:known chromosome:GRCm38:13:56522508:56537786:1 gene:ENSMUSG00000035509.17 transcript:ENSMUST00000045428.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl21 description:F-box and leucine-rich repeat protein 21 [Source:MGI Symbol;Acc:MGI:2442921] MKRNNFSAVNKVVQSSPVVKQPKRGLCSSLRQTHALSVLLDWGTLPHHVILQIFQYLPLI DRARASSVCRRWNEVFHIPDLWRKFEFELNQSATSYFKSTHPDLIQQIIKKHAAHLQYVS FKVDSSTESAEAACDILSQLVNCSIQTLGLISTAKPSFMNVPKSHFVSALTVVFVNSKSL SSIKIEDTPVDDPSLKILVANNSDTLRLLKMSSCPHVSSDGILCVADHCQGLRELALNYY ILSDEILLALSSETHVNLEHLRIDVVSENPGQIKFHSIKKRSWDALIKHSPRVNVVMYFF LYEEEFEAFFKEETPVTHLYFGRSVSRAILGRIGLNCPRLIELVVCANGLLPLDSELIRI AKHCKNLTSLGLSECEVSCSAFVEFVRLCGRRLTQLSIMEEVLVPDDRYTPDEVHTEVSK HLGRVWFPDVMPIW >ENSMUSP00000087500.5 pep:known chromosome:GRCm38:2:120355312:120404089:-1 gene:ENSMUSG00000033808.16 transcript:ENSMUST00000090046.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem87a description:transmembrane protein 87A [Source:MGI Symbol;Acc:MGI:2441844] MAVKMAVAAWLQVSPVIFLLLGAQPFPLSFLGAGPAPVFAADRSKWHIPMPSGKGYFNFG KILFRNTTILLKFDGEPCDQSLNITWFLKSADCYNEIYNFKADEIESYLENLKGKKGLSG RYQTSSRLFQNCSELYKAQSFSGDFTHRLPLLGEKQEAKENATNVTFTGDKIAMHEPLQT WQDAPYIFIVHVGISSSKESPKENALSNLFTMTVEVKGPYEYLTLEDYPLMIFFMVMCIV YVLFGVLWLAWSACYWRDLLRIQFWIGAVIFLGMFEKAVFYAEFQNIRYKGESVQNALVL AELLSAVKRSLARTLVIIVSLGYGIVKPRLGVTLHKVVVAGALYLLFSGMEGVLRVTGYF SYSLALIVSLALSAIDACIILWIFISLTQTMKLLKLRRNIVKLSLYRHFTNTLILAVAAS IVFIIWTTMKFRIVTCQSDWRELWVDDAIWRLLFSMILFVIMILWRPSANNQRFAFSPLS EEDEEDEQKEPMLKESFEGMKMRSTKQEPNGTSKVNKAQEDDLKWVEENVPSSVTDVALP ALLDSDEERMITHFERSKME >ENSMUSP00000106357.1 pep:known chromosome:GRCm38:2:120363294:120404078:-1 gene:ENSMUSG00000033808.16 transcript:ENSMUST00000110729.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem87a description:transmembrane protein 87A [Source:MGI Symbol;Acc:MGI:2441844] MAVKMAVAAWLQVSPVIFLLLGAQPFPLSFLGAGPAPVFAADRSKWHIPMPSGKGYFNFG KILFRNTTILLKFDGEPCDQSLNITWFLKSADCYNEIYNFKADEIESYLENLKGKKGLSG RYQTSSRLFQNCSELYKAQSFSGDFTHRLPLLGEKQEAKENATNVTFTGDKIAMHEPLQT WQDAPYIFIVHVGISSSKESPKENALSNLFTMTVEVKGPYEYLTLEDYPLMIFFMVMCIV YVLFGVLWLAWSACYWRDLLRIQFWIGAVIFLGMFEKAVFYAEFQNIRYKGESVQNALVL AELLSAVKRSLARTLVIIVSLGYGIVKPRLGVTLHKVVVAGALYLLFSGMEGVLRVTGYF SYSLALIVSLALSAIDACIILWIFISLTQTMKLLKLRRNIVKLSLYRHFTNTLILAVAAS IVFIIWTTMKFRIVTCQSDWRELWVDDAIWRLLFSMILFVIMILWRPSANNQRFAFSPLS EEDEEDEQKEPMLKESFGEL >ENSMUSP00000087496.5 pep:known chromosome:GRCm38:2:120355312:120404069:-1 gene:ENSMUSG00000033808.16 transcript:ENSMUST00000090042.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem87a description:transmembrane protein 87A [Source:MGI Symbol;Acc:MGI:2441844] MAVKMAVAAWLQVSPVIFLLLGAQPFPLSFLGAGPAPVFAADRSKWHIPMPSGKGYFNFG KILFRNTTILLKFDGEPCDQSLNITWFLKSADCYNEIYNFKADEIESYLENLKGKKGLSG RYQTSSRLFQNCSELYKAQSFSGDFTHRLPLLGEKQEAKENATNVTFTGDKIAMHEPLQT WQDAPYIFIVHVGISSSKESPKENALSNLFTMTVEVKGPYEYLTLEDYPLMIFFMVMCIV YVLFGVLWLAWSACYWRDLLRIQFWIGAVIFLGMFEKAVFYAEFQNIRYKGESVQNALVL AELLSAVKRSLARTLVIIVSLGYGIVKPRLGVTLHKVVVAGALYLLFSGMEGVLRVTGAQ TDLASLAFIPLAFLDTALCWWIFISLTQTMKLLKLRRNIVKLSLYRHFTNTLILAVAASI VFIIWTTMKFRIVTCQSDWRELWVDDAIWRLLFSMILFVIMILWRPSANNQRFAFSPLSE EDEEDEQKEPMLKESFEGMKMRSTKQEPNGTSKVNKAQEDDLKWVEENVPSSVTDVALPA LLDSDEERMITHFERSKME >ENSMUSP00000031096.7 pep:known chromosome:GRCm38:5:65348314:65384007:1 gene:ENSMUSG00000029195.10 transcript:ENSMUST00000031096.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klb description:klotho beta [Source:MGI Symbol;Acc:MGI:1932466] MKTGCAAGSPGNEWIFFSSDERNTRSRKTMSNRALQRSAVLSAFVLLRAVTGFSGDGKAI WDKKQYVSPVNPSQLFLYDTFPKNFSWGVGTGAFQVEGSWKTDGRGPSIWDRYVYSHLRG VNGTDRSTDSYIFLEKDLLALDFLGVSFYQFSISWPRLFPNGTVAAVNAQGLRYYRALLD SLVLRNIEPIVTLYHWDLPLTLQEEYGGWKNATMIDLFNDYATYCFQTFGDRVKYWITIH NPYLVAWHGFGTGMHAPGEKGNLTAVYTVGHNLIKAHSKVWHNYDKNFRPHQKGWLSITL GSHWIEPNRTDNMEDVINCQHSMSSVLGWFANPIHGDGDYPEFMKTGAMIPEFSEAEKEE VRGTADFFAFSFGPNNFRPSNTVVKMGQNVSLNLRQVLNWIKLEYDDPQILISENGWFTD SYIKTEDTTAIYMMKNFLNQVLQAIKFDEIRVFGYTAWTLLDGFEWQDAYTTRRGLFYVD FNSEQKERKPKSSAHYYKQIIQDNGFPLKESTPDMKGRFPCDFSWGVTESVLKPEFTVSS PQFTDPHLYVWNVTGNRLLYRVEGVRLKTRPSQCTDYVSIKKRVEMLAKMKVTHYQFALD WTSILPTGNLSKVNRQVLRYYRCVVSEGLKLGVFPMVTLYHPTHSHLGLPLPLLSSGGWL NMNTAKAFQDYAELCFRELGDLVKLWITINEPNRLSDMYNRTSNDTYRAAHNLMIAHAQV WHLYDRQYRPVQHGAVSLSLHCDWAEPANPFVDSHWKAAERFLQFEIAWFADPLFKTGDY PSVMKEYIASKNQRGLSSSVLPRFTAKESRLVKGTVDFYALNHFTTRFVIHKQLNTNRSV ADRDVQFLQDITRLSSPSRLAVTPWGVRKLLAWIRRNYRDRDIYITANGIDDLALEDDQI RKYYLEKYVQEALKAYLIDKVKIKGYYAFKLTEEKSKPRFGFFTSDFRAKSSVQFYSKLI SSSGLPAENRSPACGQPAEDTDCTICSFLVEKKPLIFFGCCFISTLAVLLSITVFHHQKR RKFQKARNLQNIPLKKGHSRVFS >ENSMUSP00000145091.1 pep:known chromosome:GRCm38:5:65348408:65379528:1 gene:ENSMUSG00000029195.10 transcript:ENSMUST00000205084.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klb description:klotho beta [Source:MGI Symbol;Acc:MGI:1932466] MKTGCAAGSPGNEWIFFSSDERNTRSRKTMSNRALQRSAVLSAFVLLRAVTGFSGDGKAI WDKKQYVSPVNPSQLFLYDTFPKNFSWGVGTGAFQVEGSWKTDGRGPSIWDRYVYSHLRG VNGTDRSTDSYIFLEKDLLALDFLGVSFYQFSISWPRLFPNGTVAAVNAQGLRYYRALLD SLVLRNIEPIVTLYHWDLPLTLQEEYGGWKNATMIDLFNDYATYCFQTFGDRVKYWITIH NPYLVAWHGFGTGMHAPGEKGNLTAVYTVGHNLIKAHSKVWHNYDKNFRPHQKGWLSITL GSHWIEPNRTDNMEDVINCQHSMSSVLGWFANPIHGDGDYPEFMKTGAMIPEFSEAEKEE Q >ENSMUSP00000125396.1 pep:known chromosome:GRCm38:1:6206197:6234311:1 gene:ENSMUSG00000025907.14 transcript:ENSMUST00000159906.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rb1cc1 description:RB1-inducible coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1341850] MKLYVFLVNTGTTLTFDTELTVQTVADLKHAIQSKYKIAIQHQVLVVNGGECMAADRRVC TYSAGTDTNPIFLFNKEMILCDRAPAIPKATFST >ENSMUSP00000123768.1 pep:known chromosome:GRCm38:1:6206227:6238384:1 gene:ENSMUSG00000025907.14 transcript:ENSMUST00000160871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rb1cc1 description:RB1-inducible coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1341850] MKLYVFLVNTGTTLTFDTELTVQTVADLKHAIQSKYKIAIQHQVLVVNGGECMAADRRVC TYSAGTDTNPIFLFNKEMILCDRAPAIPKATFSTENDMEIKVEESLMMPAVFHTVASRTQ LAVEMYDVAKKLCSFCEGLVHDEHLQHQGWAAIMANLEDCSNSY >ENSMUSP00000027040.6 pep:known chromosome:GRCm38:1:6214645:6276648:1 gene:ENSMUSG00000025907.14 transcript:ENSMUST00000027040.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rb1cc1 description:RB1-inducible coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1341850] MKLYVFLVNTGTTLTFDTELTVQTVADLKHAIQSKYKIAIQHQVLVVNGGECMAADRRVC TYSAGTDTNPIFLFNKEMILCDRAPAIPKATFSTENDMEIKVEESLMMPAVFHTVASRTQ LAVEMYDVAKKLCSFCEGLVHDEHLQHQGWAAIMANLEDCSNSYQKLLFKFESIYSDYLQ SIEDIKLKLTHLGTAVSVMAKIPLLECLTRHSYRECLGRPDSLNEHEGSEKAEMKRSTEL VLSPDMPRTTNTSLVTSFHKSMEHVAPDPTGTERGKELRESCQSTVQQEEASVDAKDSDL PFFNVSLLDWINVQDRPNDVESLVRKCFDSMSRLDPKIIQPFMLECHQTIAKLDNQNMKA IKGLEDRLYALDQMIASCSRLVNEQKELAQGFLANQMRAENLKDASVLPDLCLSHANQLM IMLQNHRKLLDIKQKCTTAKQELANNLHVRLKWCCFVMLHADQDGEKLQALLRLVIELLE RVRIVEALSTVPQMYCLAVVEVVRRKMFIKHYREWAGALVKDGKQLYEAEKSKRESFGKL FRKSFLRNRLFKGLDSWPSSFCTQKPRKFDCELPDISLKDLQFLQSFCPSEVQPFLRVPL LCDFEPLHQHVLALHNLVKAAQSLDEMSQTITDLLNEQKVSTSQASPQSAASPRIESTTG ITTTTSPKTPPPLTVQDTLCPAVCPLEELSPDSIDAHTFDFETISHPNTEQPVHQASIDL DSLAESPESDFMSAVNEFVIEENLSSPNPISDPQSPEMMVESLYSSVINAIDSRRMQDTS TRGNEGFGDRAALHVQLEKCRAAAQDSHSSIQTIKDDLCHFRTFVQKEQCDLANYLKCTA VEIRNIIEKVKCSLEITLKEKHQQELQSLKIEYECKLDALVKDSEENVNKILKLKENLVS LEEALQNKDNEFTSIKHEKDAIVCVQQEKDQKLLEMEKIMHTQHCEIKELKQSREMALED LKKLHDEKIESLRAEFQCLEQNHLKELEDTLHIRHTQEFEKVMTDHNMSLEKLKKENQQR IDQMLESHASTIQEKEQQLQELKLKVSDLSDMRCKLEVELALKEAETDEIKILLEESRTQ QKEMLKSLLEQETENLRTEISKLNQKIHDNNESYQVGLSELRALMTIEKDQCISELISRH EEESNILKAELDNVTSLHRQAYEIEKKLKEQIVELQTRLNSELSALEKQKDEKITQQEEK YEALIQNLEKDKERLVKNHEQDKEHLIQELNFEKNKAVQTALDEFKVERELVEKELLEKV KHLENQIAKTPAFESAREDSSSLVAELQEKLQEEKAKFLEQLEEQEKRKNEEMQNVRTSL IAEQQTNFNTVLTREKMRKENIINDLSDKLKSTMQQQERDKDLIESLSEDRARLLEEKKQ LEEEVSKLRTSSFLSSAPVAAAPELYGACAPELPGEPERSVMETADEGRLDSAMETSMMS VQENMLSEEKQRIMLLERTLQLKEEENKRLNQRLMSQSLSSVSSRHSEKIAIRDFQVGDL VLIILDERHDNYVLFTVSPTLYFLHSESLPALDLKPGEGASGASRRPWVLGKVMEKEYCQ AKKAQNRFKVPLGTKFYRVKAVSWNKKV >ENSMUSP00000123876.1 pep:known chromosome:GRCm38:1:6215008:6234010:1 gene:ENSMUSG00000025907.14 transcript:ENSMUST00000160062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rb1cc1 description:RB1-inducible coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1341850] MKLYVFLVNTGTTLTFDTELTVQTVADLKHAIQSKYKIAI >ENSMUSP00000124676.1 pep:known chromosome:GRCm38:1:6228002:6249029:1 gene:ENSMUSG00000025907.14 transcript:ENSMUST00000162795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rb1cc1 description:RB1-inducible coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1341850] MKLYVFLVNTGTTLTFDTELTVQTVADLKHAIQSKYKIAIQHQVLVVNGGECMAADRRVC TYSAGTDTNPIFLFNKEMILCDRAPAIPKATFSTENDMEIKVEESLMMPAVFHTVASRTQ LAVEMYDVAKKLCSFCEGLVHDEHLQHQGWAAIMANLEDCSNSYQKLLFKFESIYSDYLQ SIEDIKLKLTHLGTAVSVMAKIPLLECLTRHSYRECLGRPDSLNEHEGSEKAEMKRSTEL VLSPDMPRTTNTSLVTSFHKSMEHVAPDPTGTERGKELRESCQSTVQQEEASVDAKDSDL PFFNVSLLDWINVQDRPNDVESLVRKCFDSMSRLDPKIIQPFMLECHQTIAKLDNQNMKA IKGLEDRLYALDQMIASCSRLVNEQKELAQGFLANQMRAENLKDASVLPDLCLSHANQLM IMLQNHRKLLDIKQKWCCFVMLHADQDGEKLQALLRLVIELLERVRIVEALSTVPQMYCL AVVEVVRRKMFIKHYREWAGALVKDGKQLYEAEKSKRESFGKLFRKSFLRNRLFKGLDSW PSSFCTQKPRKFDCELPDISLKDLQFLQSFCPSEVQPFLRVPLLCDFEPLHQHVLALHNL VKAAQSLDEMSQTITDLLNEQKVSTSQASPQSAASPRIESTTGITTTTSPKTPPPLTVQD TLCPAVCPLEELSPDSIDAHTFDFETISHPNTEQPVHQASIDLDSLAESPESDFMSAVNE FVIEENLSSPNPISDPQSPEMMVESLYSSVINAIDSRRMQDTSTRGNEGFGDRAALHVQL EKCRAAAQDSHSSIQTIKDDLCHFRTFVQKEQCDLANYLKCTAVEIRNIIEKVKCSLEIT LKEKHQQELQSLKIEYECKLDALVKDSEENVNK >ENSMUSP00000125348.1 pep:known chromosome:GRCm38:1:6234279:6275577:1 gene:ENSMUSG00000025907.14 transcript:ENSMUST00000161327.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rb1cc1 description:RB1-inducible coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1341850] XAPAIPKATFSTENDMEIKVEESLMMPAVFHTVASRTQLAVEMYDVAKKLCSFCEGLVHD EHLQHQGWAAIMANLEDCSNSYQKLLFKFESIYSDYLQSIEDIKLKLTHLGTAVSVMAKI PLLECLTRHSYRECLGRPDSLNEHEGSEKAEMKRSTELVLSPDMPRTTNTSLVTSFHKSM EHVAPDPTDWINVQDRPNDVESLVRKCFDSMSRLDPKIIQPFMLECHQTIAKLDNQNMKA IKGLEDRLYALDQMIASCSRLVNEQKELAQGFLANQMRAENLKDASVLPDLCLSHANQLM IMLQNHRKLLDIKQKCTTAKQELANNLHVRLKWCCFVMLHADQDGEKLQALLRLVIELLE RVRIVEALSTVPQMYCLAVVEVVRRKMFIKHYREWAGALVKDGKQLYEAEKSKRESFGKL FRKSFLRNRLFKGLDSWPSSFCTQKPRKFDCELPDISLKDLQFLQSFCPSEVQPFLRVPL LCDFEPLHQHVLALHNLVKAAQSLDEMSQTITDLLNEQKVSTSQASPQSAASPRIESTTG ITTTTSPKTPPPLTVQDTLCPAVCPLEELSPDSIDAHTFDFETISHPNTEQPVHQASIDL DSLAESPESDFMSAVNEFVIEENLSSPNPISDPQSPEMMVESLYSSVINAIDSRRMQDTS TRGNEGFGDRAALHVQLEKCRAAAQDSHSSIQTIKDDLCHFRTFVQKEQCDLANYLKCTA VEIRNIIEKVKCSLEITLKEKHQQELQSLKIEYECKLDALVKDSEENVNKILKLKENLVS LEEALQNKDNEFTSIKHEKDAIVCVQQEKDQKLLEMEKIMHTQHCEIKELKQSREMALED LKKLHDEKIESLRAEFQCLEQNHLKELEDTLHIRHTQEFEKVMTDHNMSLEKLKKENQQR IDQMLESHASTIQEKEQQLQELKLKVSDLSDMRCKLEVELALKEAETDEIKILLEESRTQ QKEMLKSLLEQETENLRTEISKLNQKIHDNNESYQVGLSELRALMTIEKDQCISELISRH EEESNILKAELDNVTSLHRQAYEIEKKLKEQIVELQTRLNSELSALEKQKDEKITQQEEK YEALIQNLEKDKERLVKNHEQDKEHLIQELNFEKNKAVQTALDEFKVERELVEKELLEKV KHLENQIAKTPAFESAREDSSSLVAELQEKLQEEKAKFLEQLEEQEKRKNEEMQNVRTSL IAEQQTNFNTVLTREKMRKENIINDLSDKLKSTMQQQERDKDLIESLSEDRARLLEEKKQ LEEEVSKLRTSSFLSSAPVAAAPELYGACAPELPGEPERSVMETADEGRLDSAMETSMMS VQENMLSEEKQRIMLLERTLQLKEEENKRLNQRLMSQSLSSVSSRHSEKIAIRDFQVGDL VLIILDERHDNYVLFTVSPTLYFLHSESLPALDLKPGEGASGASRRPWVLGKVMEKEYCQ AKKAQNRFKVPLGTKFYRVKAVSWNKKV >ENSMUSP00000125334.1 pep:known chromosome:GRCm38:1:6249088:6274490:1 gene:ENSMUSG00000025907.14 transcript:ENSMUST00000162257.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rb1cc1 description:RB1-inducible coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1341850] XEFTSIKHEKDAIVCVQQEKDQKLLEMEKIMHTQHCEIKELKQSREMALEDLKKLHDEKI ESLRAEFQCLEQNHLKELEDTLHIRHTQEFEKVMTDHNMSLEKLKKENQQRIDQMLESHA STIQEKEQQLQELKLKVSDLSDMRCKLEVELALKEAETDEIKILLEESRTQQKEMLKSLL EQETENLRTEISKLNQKIHDNNESYQVGLSELRALMTIEKDQCISELISRHEEESNILKA ELDNVTSLHRQAYEIEKKLKEQIVELQTRLNSELSALEKQKDEKITQQEEKYEALIQNLE KDKERLVKNHEQDKEHLIQELNFEKNKAVQTALDEFKVERELVEKELLEKVKHLENQIAK TPAFESAREDSSSLVAELQEKLQEEKAKFLEQLEEQEKRKNEEMQNVRTSLIAEQQTNFN TVLTREKMRKENIINDLSDKLKSTMQQQERDKDLIESLSEDRARLLEEKKQLEEEVSKLR TSSFLSSAPVAAAPELYGACAPELPGEPERSVMETADEGRLDSAMETSMMSVQHCS >ENSMUSP00000124220.1 pep:known chromosome:GRCm38:1:6262933:6274489:1 gene:ENSMUSG00000025907.14 transcript:ENSMUST00000159530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rb1cc1 description:RB1-inducible coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1341850] RDKDLIESLSEDRARLLEEKKQLEEEVSKLRTSSFLSSAPVAAAPELYGACAPELPGEPE RSVMETADEGRLDSAMETSMMSVQENMLSEEKQRIMLLERTLQLKEEENKRLNQRLMSQS LSSVSSRHSEKIAIRDFQVGDLVLIILDERHDNYVLFTVSPTLYFLHSESLPALDLKPAS GASRRPWVLGKVMEKEYCQAKKAQNRFKVPLGTKFYRVKAVSWNKKV >ENSMUSP00000022059.7 pep:known chromosome:GRCm38:13:74211118:74292331:-1 gene:ENSMUSG00000021575.15 transcript:ENSMUST00000022059.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahrr description:aryl-hydrocarbon receptor repressor [Source:MGI Symbol;Acc:MGI:1333776] MMIPSGECTYAGRKRRKPIQKRRLTMGAEKSNPSKRHRDRLNTELDHLASLLPFSPDIIS KLDKLSVLRLSVSYLRVKSFFQALQETCVWSAPALSPEEHSYRGFPVQEGRLLLESLNGF ALVVSAEGMIFYASATIVDYLGFHQTDVMHQNIYDYIHVDDRQDFCRQLHWAMDPPQVVF GQSPHADTDNTVLGKLLRAQEGGKGLPSEYSAFLTRCFICRVRCLLDSTSGFLTMQFQGK LKFLFGQKKKTPSGTALPPRLSLFCIVAPVLPSVTEMKMKSTFLKAKHRADIVVTMDSRA KAVTSLCESELHPKLNYLAGKSNGENGISLFRGQTDRSHWARALARSSCLCLRGGPDLLD PKGTSGDREEEDQKHILRRSPGAWGQREMHKYSYGLETPVHLRHLNWSTEQRSQESTTKL TRQPSKNEPSTCLVPHGSCVPYPGSQGMLSASNMASFRDSLDHPTGAYCSQMNRPLSDIH QGQVDPSTCHISQGSLGSRIPLTGMQRFTARGFSTEDAKLPSLPVTIGTPCNPVLSLDVP IKMENESGSQDIVEASTTSCLWLGTSDMARGHLVGFPARMHLKTEPDYRQQACTPHLGHG MLGTNPYSRDTVGSCREHAPLYSAHCTCLDPEPPHHFFMCSHSESQHPSLDQDCRAPIVK REPLDSPSWAAPGQVTVPRMFPKSASKTVIPSKGSDGIFLP >ENSMUSP00000105268.1 pep:known chromosome:GRCm38:13:74213237:74292297:-1 gene:ENSMUSG00000021575.15 transcript:ENSMUST00000109640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahrr description:aryl-hydrocarbon receptor repressor [Source:MGI Symbol;Acc:MGI:1333776] MIFYASATIVDYLGFHQTDVMHQNIYDYIHVDDRQDFCRQLHWAMDPPQVVFGQSPHADT DNTVLGKLLRAQEGGKGLPSEYSAFLTRCFICRVRCLLDSTSGFLTMQFQGKLKFLFGQK KKTPSGTALPPRLSLFCIVAPVLPSVTEMKMKSTFLKAKHRADIVVTMDSRAKAVTSLCE SELHPKLNYLAGKSNGENGISLFRGQTDRSHWARALARSSCLCLRGGPDLLDPKGTSGDR EEEDQKHILRRSPGAWGQREMHKYSYGLETPVHLRHLNWSTEQRSQESTTKLTRQPSKNE PSTCLVPHGSCVPYPGSQGMLSASNMASFRDSLDHPTGAYCSQMNRPLSDIHQGQVDPST CHISQGSLGSRIPLTGMQRFTARGFSTEDAKLPSLPVTIGTPCNPVLSLDVPIKMENESG SQDIVEASTTSCLWLGTSDMARGHLVGFPARMHLKTEPDYRQQACTPHLGHGMLGTNPYS RDTVGSCREHAPLYSAHCTCLDPEPPHHFFMCSHSESQHPSLDQDCRAPIVKREPLDSPS WAAPGQVTVPRMFPKSASKTVIPSKGSDGIFLP >ENSMUSP00000034206.4 pep:known chromosome:GRCm38:8:94067954:94098811:-1 gene:ENSMUSG00000031755.5 transcript:ENSMUST00000034206.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs2 description:Bardet-Biedl syndrome 2 (human) [Source:MGI Symbol;Acc:MGI:2135267] MLLPVFTLKLRHKISPRMVAIGRYDGTHPCLAAATQAGKVFIHNPHTRSQHFSASRVFQS PLESDVSLLNINQTVSCLGSGVLNPELGYDTLLVGTQTSLLAYDIYNNSDLFYREVSDGA NAIVLGTLGDIAPPLAIIGGNCALQGFDHEGNDLFWTVTGDNVHSLALCDFDGDGKTELL VGSEDFDIRVFKEDEIVAEMTETEIVTSLCPMYGSRFGYALSNGTVGVYDKTARYWRIKS KNHAMSIHAFDINSDGVCELITGWSNGKVDARSDRTGEVIFKDNFSSAVAGVVEGDYRMD GHVQLICCSVDGEIRGYLPGTAEMKGNLLDTSVEQDLIRELSQKKQNLLLELRNYEESTK AELSSPLNEADGQKGIIPANTRLHTALSVNMGNDLQDAHAELGISTSNDTIIRAVLIFAE GIFVGESHVVHPSIHNLSSSLRVPITPPKDVPVDLHLKTFVGYRSSTQFHVFELTRQLPR FTMYALTSPDAASEPVSYVNFSVAERTQRMVTWLNQNFLLPEDSNVQNSPFHVCFTSLRN GGQLYIKMKQSGEITVNTDDIDLAGDIIQSIASFFAIEDLQVEADFPVYFEELRKVLVKV DEYHSVHQKLSADMADNSNLIRSLLVRAEDARLMRDMKTMKSRYMELYDLNKDLLNGYKI RCNNHTELLGNLKAVNQAIQRAGRLRVGKPKNQVISACRDAIRSNNINTLFRIMRVGTAP S >ENSMUSP00000144010.1 pep:known chromosome:GRCm38:18:44380502:44396085:1 gene:ENSMUSG00000024472.9 transcript:ENSMUST00000202306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcp2 description:decapping mRNA 2 [Source:MGI Symbol;Acc:MGI:1917890] MEPKRLEIPGSVLDDLCSRFILHIPSEERDNAIRVCFQIELAHWFYLDFYMQNTPGLPQC GIRDFAKADILFVAIMIVFHCF >ENSMUSP00000025350.8 pep:known chromosome:GRCm38:18:44380527:44424969:1 gene:ENSMUSG00000024472.9 transcript:ENSMUST00000025350.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcp2 description:decapping mRNA 2 [Source:MGI Symbol;Acc:MGI:1917890] MEPKRLEIPGSVLDDLCSRFILHIPSEERDNAIRVCFQIELAHWFYLDFYMQNTPGLPQC GIRDFAKAVFSHCPFLLPQGEDVEKILDEWKEYKMGVPTYGAIILDETLENVLLVQGYLA KSGWGFPKGKVNKEEAPHDCAAREVFEETGFDIKDYICKDDYIELRINDQLARLYIIPGV PKDTKFNPKTRREIRNIEWFSIEKLPCHRNDMTPKSKLGLAPNKFFMAIPFIRPLRDWLS RRFGDSSDSDNGFSSAGSTPARPTVEKLSRTKFRHSQQLFPEGSPSDQWVKHRQPLQQKS HSNHGEVSDLLKAKNQNMRGNGRKQYQDSPNQKKRANGVHGQPAKQQNPLVKCEKKLHPR KLQDNFETDATCDLPCSGEEPSVEHAEGHSVACNGHCKFPFSSRAFLSFKFDQNAIMKIL DL >ENSMUSP00000143417.1 pep:known chromosome:GRCm38:3:99240381:99313065:1 gene:ENSMUSG00000027868.11 transcript:ENSMUST00000151606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx15 description:T-box 15 [Source:MGI Symbol;Acc:MGI:1277234] MSSMEEIQVELQCADLWKRFHDIGTEMIITKAGRRMFPAMRVKITGLDPHQ >ENSMUSP00000029462.5 pep:known chromosome:GRCm38:3:99253760:99354259:1 gene:ENSMUSG00000027868.11 transcript:ENSMUST00000029462.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx15 description:T-box 15 [Source:MGI Symbol;Acc:MGI:1277234] MSERRRSAVALSSRAHAFSVEALIGSNKKRKLRDWEEKGLDLSMEALSPAGPLGDTDDPA THGLEPHPDSEQSTGSDSEVLTERTSCSFSTHTDLASGAAGPVPAAMSSMEEIQVELQCA DLWKRFHDIGTEMIITKAGRRMFPAMRVKITGLDPHQQYYIAMDIVPVDNKRYRYVYHSS KWMVAGNADSPVPPRVYIHPDSLASGDTWMRQVVSFDKLKLTNNELDDQGHIILHSMHKY QPRVHVIRKDFSSDLSPTKPVPVGDGVKTFNFPETVFTTVTAYQNQQITRLKIDRNPFAK GFRDSGRNRTGLEAIMETYAFWRPPVRTLTFEDFTTMQKQQGGSTGTSPTTSSTGTPSPS ASSHLLSPSCSPPTFHLAPNTFNVGCRESQLCNLNLSDYPPCARSNMAALQSYPGLSDSG YNRLQSGTASATQPSETFMPQRTPSLISGIPTPPSLPSNSKMEAYGGQLGSFPTSQFQYV MQAGNAASSSSSPHMFGGSHMQQSSYNAFSLHNPYNLYGYNFPTSPRLAASPEKLSASQS TLLCSSPSNGAFGERQYLPTGMEHSMHMISPSTNNQQATNTCDGRQYGAVPGSASQMSVH MV >ENSMUSP00000142358.1 pep:known chromosome:GRCm38:3:99296510:99316240:1 gene:ENSMUSG00000027868.11 transcript:ENSMUST00000150756.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx15 description:T-box 15 [Source:MGI Symbol;Acc:MGI:1277234] MSSMEEIQVELQCADLWKRFHDIGTEMIITKAGRRMFPAMRVKITGLDPHQQYYIAMDIV PVDNKRYRYVYHSSKWMVAGNADSPVPPRVYIHPDSLASGDTWMRQVVSFDKLKLTNNEL DDQGHIILHSMHKYQPRVHVIR >ENSMUSP00000023629.8 pep:known chromosome:GRCm38:16:62854307:62929346:1 gene:ENSMUSG00000022912.8 transcript:ENSMUST00000023629.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pros1 description:protein S (alpha) [Source:MGI Symbol;Acc:MGI:1095733] MRVLSARFRVLLACLALVIPVSETNFLSKERASQVLVRKRRANTLFEETMKGNLERECIE ELCNKEEAREVFENNPETDYFYPKYLGCLGAFRVGSFHAARQSANAYPDLRSCVKAISDQ CDPIPCNEDGYLACQDGQAAFTCFCKPGWQGDRCQYDVNECKDPSNVNGGCSQICDNTPG SYHCSCKRGFAMLPNKKDCKDLDECALKPSVCGTAVCKNIPGDFECECPDGYRYDPSSKS CKDVDECSENMCAQLCVNFPGGYSCYCDGKKGFKLAQDQKSCEGIPVCLSLDLDKNYELL YLAEQFAGVVLYLKFRLPDITRFSAEFDFRTYDSEGIILYAESLDHSNWLLIALRDGKIE VQFKNEFSTQITTGGNVINNGIWNMVSVEELDDSVSIKIAKEAVMNINKLGSLFKPTDGF LDTKIYFAGLPRKVESALIKPINPRLDGCIRGWNLMKQGALGAKEIIEGKQNKHCFLNVE KGSYYPGSGIAQFSIDYNNVTNAEGWQMNVTLNIRPSTGTGVMLALVSGGTVPFALSLVD SRSGTSQDIVVFVENSVVARLEAVSLCSDQQSQLKCNVNRNGLELWTPLRKDVIYSKDLQ RQLAVLDKAMKRTVATYLGGIPDISFSATPVNAFYSGCMEVNINGVQLDLDEAISKHKDI RAHSCPSVRKIQKNF >ENSMUSP00000127147.1 pep:known chromosome:GRCm38:12:7977648:7987818:1 gene:ENSMUSG00000020609.14 transcript:ENSMUST00000171271.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apob description:apolipoprotein B [Source:MGI Symbol;Acc:MGI:88052] MGPRKPALRTPLLLLFLLLFLDTSVWAQDEVLENLSFSCPNATRFKHLRKYVYNYEAESS SGVQGTADSRSATKINCKVELEVPQICGFIMRTNQCTLKEVYGFNPEGKALMKKTKNSEE FAAAMSRYELKLAIPEGKQIVLYPDKDEPKYILNIKRGIISALLVPPETEEDQQELFLDT VYGNCSTQVTVNSRKGTVPTEMSTERNLQQCDGFQPISTSVSPLALIKGLVHPLSTLISS SQTCQYTLDPKRKHVSEAVCDEQHLFLPFSYKNKYGIMTRVTQKLSLEDTPKINSRFFSE GTNRMGLAFESTKSTSSPKQADAVLKTLQ >ENSMUSP00000036044.6 pep:known chromosome:GRCm38:12:7977648:8016835:1 gene:ENSMUSG00000020609.14 transcript:ENSMUST00000037811.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apob description:apolipoprotein B [Source:MGI Symbol;Acc:MGI:88052] MGPRKPALRTPLLLLFLLLFLDTSVWAQDEVLENLSFSCPKDATRFKHLRKYVYNYEAES SSGVQGTADSRSATKINCKVELEVPQICGFIMRTNQCTLKEVYGFNPEGKALMKKTKNSE EFAAAMSRYELKLAIPEGKQIVLYPDKDEPKYILNIKRGIISALLVPPETEEDQQELFLD TVYGNCSTQVTVNSRKGTVPTEMSTERNLQQCDGFQPISTSVSPLALIKGLVHPLSTLIS SSQTCQYTLDPKRKHVSEAVCDEQHLFLPFSYKNKYGIMTRVTQKLSLEDTPKINSRFFS EGTNRMGLAFESTKSTSSPKQADAVLKTLQELKKLSISEQNAQRANLFNKLVTELRGLTG EAITSLLPQLIEVSSPITLQALVQCGQPQCYTHILQWLKTEKAHPLLVDIVTYLMALIPN PSTQRLQEIFNTAKEQQSRATLYALSHAVNSYFDVDHSRSPVLQDIAGYLLKQIDNECTG NEDHTFLILRVIGNMGRTMEQVMPALKSSVLSCVRSTKPSLLIQKAALQALRKMELEDEV RTILFDTFVNGVAPVEKRLAAYLLLMKNPSSSDINKIAQLLQWEQSEQVKNFVASHIANI LNSEELYVQDLKVLIKNALENSQFPTIMDFRKFSRNYQISKSASLPMFDPVSVKIEGNLI FDPSSYLPRESLLKTTLTVFGLASLDLFEIGLEGKGFEPTLEALFGKQGFFPDSVNKALY WVNGRVPDGVSKVLVDHFGYTTDGKHEQDMVNGIMPIVDKLIKDLKSKEIPEARAYLRIL GKELSFVRLQDLQVLGKLLLSGAQTLQGIPQMVVQAIREGSKNDLFLHYIFMDNAFELPT GAGLQLQVSSSGVFTPGIKAGVRLELANIQAELVAKPSVSLEFVTNMGIIIPDFAKSSVQ MNTNFFHESGLEARVALKAGQLKVIIPSPKRPVKLFSGSNTLHLVSTTKTEVIPPLVENR QSWSTCKPLFTGMNYCTTGAYSNASSTESASYYPLTGDTRYELELRPTGEVEQYSATATY ELLKEDKSLVDTLKFLVQAEGVQQSEATVLFKYNRRSRTLSSEVLIPGFDVNFGTILRVN DESAKDKNTYKLILDIQNKKITEVSLVGHLSYDKKGDGKIKGVVSIPRLQAEARSEVHTH WSSTKLLFQMDSSATAYGSTISKRVTWRYDNEIIEFDWNTGTNVDTKKVASNFPVDLSHY PRMLHEYANGLLDHRVPQTDVTFRDMGSKLIVATNTWLQMATRGLPYPQTLQDHLNSLSE LNLLKMGLSDFHIPDNLFLKTDGRVKYTMNRNKINIDIPLPLGGKSSKDLKMPESVRTPA LNFKSVGFHLPSREVQVPTFTIPKTHQLQVPLLGVLDLSTNVYSNLYNWSASYTGGNTSR DHFSLQAQYRMKTDSVVDLFSYSVQGSGETTYDSKNTFTLSCDGSLHHKFLDSKFKVSHV EKFGNSPVSKGLLTFETSSALGPQMSATVHLDSKKKQHLYVKDIKVDGQFRASSFYAQGK YGLSCERDVTTGQLSGESNMRFNSTYFQGTNQIVGMYQDGALSITSTSDLQDGIFKNTAS LKYENYELTLKSDSSGQYENFAASNKLDVTFSTQSALLRSEHQANYKSLRLVTLLSGSLT SQGVELNADILGTDKINTGAHKATLKIARDGLSTSATTNLKYSPLLLENELNAELGLSGA SMKLSTNGRFKEHHAKFSLDGRAALTEVSLGSIYQAMILGADSKNIFNFKLSREGLRLSN DLMGSYAEMKLDHTHSLNIAGLSLDFFSKMDNIYSGDKFYKQNFNLQLQPYSFITTLSND LRYGALDLTNNGRFRLEPLKLNVGGNFKGTYQNNELKHIYTISYTDLVVASYRADTVAKV QGVEFSHRLNADIEGLTSSVDVTTSYNSDPLHFNNVFHFSLAPFTLGIDTHTSGDGKLSF WGEHTGQLYSKFLLKAEPLALIVSHDYKGSTSHSLPYESSISTALEHTVSALLTPAEQTS TWKFKTKLNDKVYSQDFEAYNTKDKIGVELSGRADLSGLYSPIKLPFFYSEPVNVLNGLE VNDAVDKPQEFTIIAVVKYDKNQDVHTINLPFFKSLPDYLERNRRGMISLLEAMRGELQR LSVDQFVRKYRAALSRLPQQIHHYLNASDWERQVAGAKEKITSFMENYRITDNDVLIAID SAKINFNEKLSQLETYAIQFDQYIKDNYDPHDLKRTIAEIIDRIIEKLKILDEQYHIRVN LAKSIHNLYLFVENVDLNQVSSSNTSWIQNVDSNYQVRIQIQEKLQQLRTQIQNIDIQQL AAEVKRQMDAIDVTMHLDQLRTAILFQRISDIIDRVKYFVMNLIEDFKVTEKINTFRVIV RELIEKYEVDQHIQVLMDKSVELAHRYSLSEPLQKLSNVLQRIEIKDYYEKLVGFIDDTV EWLKALSFKNTIEELNRLTDMLVKKLKAFDYHQFVDKTNSKIREMTQRINAEIQALKLPQ KMEALKLLVEDFKTTVSNSLERLKDTKVTVVIDWLQDILTQMKDHFQDTLEDVRDRIYQM DIQRELEHFLSLVNQVYSTLVTYMSDWWTLTAKNITDFAEQYSIQNWAESIKVLVEQGFI VPEMQTFLWTMPAFEVSLRALQEGNFQTPVFIVPLTDLRIPSIRINFKMLKNIKIPLRFS TPEFTLLNTFHVHSFTIDLLEIKAKIIRTIDQILSSELQWPLPEMYLRDLDVVNIPLARL TLPDFHVPEITIPEFTIPNVNLKDLHVPDLHIPEFQLPHLSHTIEIPAFGKLHSILKIQS PLFILDANANIQNVTTSGNKAEIVASVTAKGESQFEALNFDFQAQAQFLELNPHPPVLKE SMNFSSKHVRMEHEGEIVFDGKAIEGKSDTVASLHTEKNEVEFNNGMTVKVNNQLTLDSH TKYFHKLSVPRLDFSSKASLNNEIKTLLEAGHVALTSSGTGSWNWACPNFSDEGIHSSQI SFTVDGPIAFVGLSNNINGKHLRVIQKLTYESGFLNYSKFEVESKVESQHVGSSILTANG RALLKDAKAEMTGEHNANLNGKVIGTLKNSLFFSAQPFEITASTNNEGNLKVGFPLKLTG KIDFLNNYALFLSPRAQQASWQASTRFNQYKYNQNFSAINNEHNIEASIGMNGDANLDFL NIPLTIPEINLPYTEFKTPLLKDFSIWEETGLKEFLKTTKQSFDLSVKAQYKKNSDKHSI VVPLGMFYEFILNNVNSWDRKFEKVRNNALHFLTTSYNEAKIKVDKYKTENSLNQPSGTF QNHGYTIPVVNIEVSPFAVETLASSHVIPTAISTPSVTIPGPNIMVPSYKLVLPPLELPV FHGPGNLFKFFLPDFKGFNTIDNIYIPAMGNFTYDFSFKSSVITLNTNAGLYNQSDIVAH FLSSSSFVTDALQYKLEGTSRLMRKRGLKLATAVSLTNKFVKGSHDSTISLTKKNMEASV RTTANLHAPIFSMNFKQELNGNTKSKPTVSSSIELNYDFNSSKLHSTATGGIDHKFSLES LTSYFSIESFTKGNIKSSFLSQEYSGSVANEANVYLNSKGTRSSVRLQGASKVDGIWNVE VGENFAGEATLQRIYTTWEHNMKNHLQVYSYFFTKGKQTCRATLELSPWTMSTLLQVHVS QLSSLLDLHHFDQEVILKANTKNQKISWKGGVQVESRVLQHNAQFSNDQEEIRLDLAGSL DGQLWDLEAIFLPVYGKSLQELLQMDGKRQYLQASTSLLYTKNPNGYLLSLPVQELADRF IIPGIKLNDFSGVKIYKKLSTSPFALNLTMLPKVKFPGIDLLTQYSTPEGSSVPIFEATI PEIHLTVSQFTLPKSLPVGNTVFDLNKLANMIADVDLPSVTLPEQTIVIPPLEFSVPAGI FIPFFGELTARAGMASPLYNVTWSAGWKTKADHVETFLDSMCTSTLQFLEYALKVVETHK IEEDLLTYNIKGTLQHCDFNVEYNEDGLFKGLWDWQGEAHLDITSPALTDFHLYYKEDKT SLSASAASSTIGTVGLDSSTDDQSVELNVYFHPQSPPEKKLSIFKTEWRYKESDGERYIK INWEEEAASRLLGSLKSNVPKASKAIYDYANKYHLEYVSSELRKSLQVNAEHARRMVDEM NMSFQRVARDTYQNLYEEMLAQKSLSIPENLKKRVLDSIVHVTQKYHMAVMWLMDSFIHF LKFNRVQFPGYAGTYTVDELYTIVMKETKKSLSQLFNGLGNLLSYVQNQVEKSRLINDIT FKCPFFSKPCKLKDLILIFREELNILSNIGQQDIKFTTILSSLQGFLERVLDIIEEQIKC LKDNESTCVADHINMVFKIQVPYAFKSLREDIYFVLGEFNDFLQSILQEGSYKLQQVHQY MKALREEYFDPSMVGWTVKYYEIEENMVELIKTLLVSFRDVYSEYSVTAADFASKMSTQV EQFVSRDIREYLSMLTDINGKWMEKIAELSIVAKETMKSWVTAVAKIMSDYPQQFHSNLQ DFSDQLSSYYEKFVGESTRLIDLSIQNYHVFLRYITELLRKLQVATANNVSPYIKLAQGE LMITF >ENSMUSP00000035761.7 pep:known chromosome:GRCm38:12:7977779:8016500:1 gene:ENSMUSG00000020609.14 transcript:ENSMUST00000037520.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apob description:apolipoprotein B [Source:MGI Symbol;Acc:MGI:88052] MGPRKPALRTPLLLLFLLLFLDTSVWAQDATRFKHLRKYVYNYEAESSSGVQGTADSRSA TKINCKVELEVPQICGFIMRTNQCTLKEVYGFNPEGKALMKKTKNSEEFAAAMSRYELKL AIPEGKQIVLYPDKDEPKYILNIKRGIISALLVPPETEEDQQELFLDTVYGNCSTQVTVN SRKGTVPTEMSTERNLQQCDGFQPISTSVSPLALIKGLVHPLSTLISSSQTCQYTLDPKR KHVSEAVCDEQHLFLPFSYKNKYGIMTRVTQKLSLEDTPKINSRFFSEGTNRMGLAFEST KSTSSPKQADAVLKTLQELKKLSISEQNAQRANLFNKLVTELRGLTGEAITSLLPQLIEV SSPITLQALVQCGQPQCYTHILQWLKTEKAHPLLVDIVTYLMALIPNPSTQRLQEIFNTA KEQQSRATLYALSHAVNSYFDVDHSRSPVLQDIAGYLLKQIDNECTGNEDHTFLILRVIG NMGRTMEQVMPALKSSVLSCVRSTKPSLLIQKAALQALRKMELEDEVRTILFDTFVNGVA PVEKRLAAYLLLMKNPSSSDINKIAQLLQWEQSEQVKNFVASHIANILNSEELYVQDLKV LIKNALENSQFPTIMDFRKFSRNYQISKSASLPMFDPVSVKIEGNLIFDPSSYLPRESLL KTTLTVFGLASLDLFEIGLEGKGFEPTLEALFGKQGFFPDSVNKALYWVNGRVPDGVSKV LVDHFGYTTDGKHEQDMVNGIMPIVDKLIKDLKSKEIPEARAYLRILGKELSFVRLQDLQ VLGKLLLSGAQTLQGIPQMVVQAIREGSKNDLFLHYIFMDNAFELPTGAGLQLQVSSSGV FTPGIKAGVRLELANIQAELVAKPSVSLEFVTNMGIIIPDFAKSSVQMNTNFFHESGLEA RVALKAGQLKVIIPSPKRPVKLFSGSNTLHLVSTTKTEVIPPLVENRQSWSTCKPLFTGM NYCTTGAYSNASSTESASYYPLTGDTRYELELRPTGEVEQYSATATYELLKEDKSLVDTL KFLVQAEGVQQSEATVLFKYNRRSRTLSSEVLIPGFDVNFGTILRVNDESAKDKNTYKLI LDIQNKKITEVSLVGHLSYDKKGDGKIKGVVSIPRLQAEARSEVHTHWSSTKLLFQMDSS ATAYGSTISKRVTWRYDNEIIEFDWNTGTNVDTKKVASNFPVDLSHYPRMLHEYANGLLD HRVPQTDVTFRDMGSKLIVDHLNSLSELNLLKMGLSDFHIPDNLFLKTDGRVKYTMNRNK INIDIPLPLGGKSSKDLKMPESVRTPALNFKSVGFHLPSREVQVPTFTIPKTHQLQVPLL GVLDLSTNVYSNLYNWSASYTGGNTSRDHFSLQAQYRMKTDSVVDLFSYSVQGSGETTYD SKNTFTLSCDGSLHHKFLDSKFKVSHVEKFGNSPVSKGLLTFETSSALGPQMSATVHLDS KKKQHLYVKDIKVDGQFRASSFYAQGKYGLSCERDVTTGQLSGESNMRFNSTYFQGTNQI VGMYQDGALSITSTSDLQDGIFKNTASLKYENYELTLKSDSSGQYENFAASNKLDVTFST QSALLRSEHQANYKSLRLVTLLSGSLTSQGVELNADILGTDKINTGAHKATLKIARDGLS TSATTNLKYSPLLLENELNAELGLSGASMKLSTNGRFKEHHAKFSLDGRAALTEVSLGSI YQAMILGADSKNIFNFKLSREGLRLSNDLMGSYAEMKLDHTHSLNIAGLSLDFFSKMDNI YSGDKFYKQNFNLQLQPYSFITTLSNDLRYGALDLTNNGRFRLEPLKLNVGGNFKGTYQN NELKHIYTISYTDLVVASYRADTVAKVQGVEFSHRLNADIEGLTSSVDVTTSYNSDPLHF NNVFHFSLAPFTLGIDTHTSGDGKLSFWGEHTGQLYSKFLLKAEPLALIVSHDYKGSTSH SLPYESSISTALEHTVSALLTPAEQTSTWKFKTKLNDKVYSQDFEAYNTKDKIGVELSGR ADLSGLYSPIKLPFFYSEPVNVLNGLEVNDAVDKPQEFTIIAVVKYDKNQDVHTINLPFF KSLPDYLERNRRGMISLLEAMRGELQRLSVDQFVRKYRAALSRLPQQIHHYLNASDWERQ VAGAKEKITSFMENYRITDNDVLIAIDSAKINFNEKLSQLETYAIQFDQYIKDNYDPHDL KRTIAEIIDRIIEKLKILDEQYHIRVNLAKSIHNLYLFVENVDLNQVSSSNTSWIQNVDS NYQVRIQIQEKLQQLRTQIQNIDIQQLAAEVKRQMDAIDVTMHLDQLRTAILFQRISDII DRVKYFVMNLIEDFKVTEKINTFRVIVRELIEKYEVDQHIQVLMDKSVELAHRYSLSEPL QKLSNVLQRIEIKDYYEKLVGFIDDTVEWLKALSFKNTIEELNRLTDMLVKKLKAFDYHQ FVDKTNSKIREMTQRINAEIQALKLPQKMEALKLLVEDFKTTVSNSLERLKDTKVTVVID WLQDILTQMKDHFQDTLEDVRDRIYQMDIQRELEHFLSLVNQVYSTLVTYMSDWWTLTAK NITDFAEQYSIQNWAESIKVLVEQGFIVPEMQTFLWTMPAFEVSLRALQEGNFQTPVFIV PLTDLRIPSIRINFKMLKNIKIPLRFSTPEFTLLNTFHVHSFTIDLLEIKAKIIRTIDQI LSSELQWPLPEMYLRDLDVVNIPLARLTLPDFHVPEITIPEFTIPNVNLKDLHVPDLHIP EFQLPHLSHTIEIPAFGKLHSILKIQSPLFILDANANIQNVTTSGNKAEIVASVTAKGES QFEALNFDFQAQAQFLELNPHPPVLKESMNFSSKHVRMEHEGEIVFDGKAIEGKSDTVAS LHTEKNEVEFNNGMTVKVNNQLTLDSHTKYFHKLSVPRLDFSSKASLNNEIKTLLEAGHV ALTSSGTGSWNWACPNFSDEGIHSSQISFTVDGPIAFVGLSNNINGKHLRVIQKLTYESG FLNYSKFEVESKVESQHVGSSILTANGRALLKDAKAEMTGEHNANLNGKVIGTLKNSLFF SAQPFEITASTNNEGNLKVGFPLKLTGKIDFLNNYALFLSPRAQQASWQASTRFNQYKYN QNFSAINNEHNIEASIGMNGDANLDFLNIPLTIPEINLPYTEFKTPLLKDFSIWEETGLK EFLKTTKQSFDLSVKAQYKKNSDKHSIVVPLGMFYEFILNNVNSWDRKFEKVRNNALHFL TTSYNEAKIKVDKYKTENSLNQPSGTFQNHGYTIPVVNIEVSPFAVETLASSHVIPTAIS TPSVTIPGPNIMVPSYKLVLPPLELPVFHGPGNLFKFFLPDFKGFNTIDNIYIPAMGNFT YDFSFKSSVITLNTNAGLYNQSDIVAHFLSSSSFVTDALQYKLEGTSRLMRKRGLKLATA VSLTNKFVKGSHDSTISLTKKNMEASVRTTANLHAPIFSMNFKQELNGNTKSKPTVSSSI ELNYDFNSSKLHSTATGGIDHKFSLESLTSYFSIESFTKGNIKSSFLSQEYSGSVANEAN VYLNSKGTRSSVRLQGASKVDGIWNVEVGENFAGEATLQRIYTTWEHNMKNHLQVYSYFF TKGKQTCRATLELSPWTMSTLLQVHVSQLSSLLDLHHFDQEVILKANTKNQKISWKGGVQ VESRVLQHNAQFSNDQEEIRLDLAGSLDGQLWDLEAIFLPVYGKSLQELLQMDGKRQYLQ ASTSLLYTKNPNGYLLSLPVQELADRFIIPGIKLNDFSGVKIYKKLSTSPFALNLTMLPK VKFPGIDLLTQYSTPEGSSVPIFEATIPEIHLTVSQFTLPKSLPVGNTVFDLNKLANMIA DVDLPSVTLPEQTIVIPPLEFSVPAGIFIPFFGELTARAGMASPLYNVTWSAGWKTKADH VETFLDSMCTSTLQFLEYALKVVETHKIEEDLLTYNIKGTLQHCDFNVEYNEDGLFKGLW DWQGEAHLDITSPALTDFHLYYKEDKTSLSASAASSTIGTVGLDSSTDDQSVELNVYFHP QSPPEKKLSIFKTEWRYKESDGERYIKINWEEEAASRLLGSLKSNVPKASKAIYDYANKY HLEYVSSELRKSLQVNAEHARRMVDEMNMSFQRVARDTYQNLYEEMLAQKSLSIPENLKK RVLDSIVHVTQKYHMAVMWLMDSFIHFLKFNRVQFPGYAGTYTVDELYTIVMKETKKSLS QLFNGLGNLLSYVQNQVEKSRLINDITFKCPFFSKPCKLKDLILIFREELNILSNIGQQD IKFTTILSSLQGFLERVLDIIEEQIKCLKDNESTCVADHINMVFKIQVPYAFKSLREDIY FVLGEFNDFLQSILQEGSYKLQQVHQYMKALREEYFDPSMVGWTVKYYEIEENMVELIKT LLVSFRDVYSEYSVTAADFASKMSTQVEQFVSRDIREYLSMLTDINGKWMEKIAELSIVA KETMKSWVTAVAKIMSDYPQQFHSNLQDFSDQLSSYYEKFVGESTRLIDLSIQNYHVFLR YITELLRKLQVATANN >ENSMUSP00000129496.1 pep:known chromosome:GRCm38:12:8012359:8016835:1 gene:ENSMUSG00000020609.14 transcript:ENSMUST00000171239.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apob description:apolipoprotein B [Source:MGI Symbol;Acc:MGI:88052] EVILKANTKNQKISWKGGVQVESRVLQHNAQFSNDQEEIRLDLAGSLDGQLWDLEAIFLP VYGKSLQELLQMDGKRQYLQASTSLLYTKNPNGYLLSLPVQELADRFIIPGIKLNDFSGV KIYKKLSTSPFALNLTMLPKVKFPGIDLLTQYSTPEGSSVPIFEATIPEIHLTVSQFTLP KSLPVGNTVFDLNKLANMIADVDLPSVTLPEQTIVIPPLEFSVPAGIFIPFFGELTARAG MASPLYNVTWSAGWKTKADHVETFLDSMCTSTLQFLEYALKVVETHKIEEDLLTYNIKGT LQHCDFNVEYNEDGLFKGLWDWQGEAHLDITSPALTDFHLYYKEDKTSLSASAASSTIGT VGLDSSTDDQSVELNVYFHPQSPPEKKLSIFKTEWRYKESDGERSHMLLNP >ENSMUSP00000134473.2 pep:known chromosome:GRCm38:9:73102470:73117920:1 gene:ENSMUSG00000092310.2 transcript:ENSMUST00000174203.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20509 description:predicted gene 20509 [Source:MGI Symbol;Acc:MGI:5141974] MASLKRFQTLVPLDHKQGTLFEIIGEPKLPKWFHVECLEDPKRLYVEPRLLEIMFGKDGE HIPHLESMLHTLIHVNVWGPERRAEIWIFGPPPFRRDVDRMLTDLAHYCRMKLMEIEALE AGVERRRMAAHKAATQPAPVKVNEAATEQASVQAVREAATRPAPGKVRKAATQPAPVQVC QEATQLAPVKVREAATQPASGKVREAATQLAPVKVRKAATQLAPVKVHEAATQPAPGKVS DAATQSASVQVFRFCKSKCHKNFKKKRNPRKVRWTKAFRKAAGKELTVDNSFEFEKRRNE PVKYQRELWNKTIDAMKRVEEIKQK >ENSMUSP00000133850.1 pep:known chromosome:GRCm38:9:73101836:73102887:1 gene:ENSMUSG00000092622.8 transcript:ENSMUST00000172578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdc3 description:KH domain containing 3, subcortical maternal complex member [Source:MGI Symbol;Acc:MGI:1914241] MASLKRFQTLVPLDHKQGTLFEIIGEPKLPKWFHVECLEDPKRLYVEPRLLEIMFGKDGE HIPHLESMLHTLIHV >ENSMUSP00000034737.6 pep:known chromosome:GRCm38:9:73102398:73104438:1 gene:ENSMUSG00000092622.8 transcript:ENSMUST00000034737.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdc3 description:KH domain containing 3, subcortical maternal complex member [Source:MGI Symbol;Acc:MGI:1914241] MASLKRFQTLVPLDHKQGTLFEIIGEPKLPKWFHVECLEDPKRLYVEPRLLEIMFGKDGE HIPHLESMLHTLIHVNVWGPERRAEIWIFGPPPFRRDVDRMLTDLAHYCRMKLMEIEALE AGVERRRMAAHKAATQPAPVKVREAAPRPASVKVPETATQPAPVKVREAAPQPAPVQEVR EAAPQQASVQEEVREAATEQAPVQEVREAATEQAPVQEVSEAATEQAPVQEVNEAATEQA SVQAVREAATRPAPGKVRKAATQPAPVQVCQEATQLAPVKVREAATQPASGKVREAATQL APVKVRKAATQLAPVKVHEAATQPAPGKVSDAATQSASVQVREAATQLSPVEATDTSQLA QVKADEAFAQHTSGEAHQVANGQSPIEVCETATGQHSLDVSRALSQKCPEVFEWETQSCL DGSYVIVQPPRDAWESFIIL >ENSMUSP00000133915.1 pep:known chromosome:GRCm38:9:73102398:73104438:1 gene:ENSMUSG00000092622.8 transcript:ENSMUST00000173734.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdc3 description:KH domain containing 3, subcortical maternal complex member [Source:MGI Symbol;Acc:MGI:1914241] MASLKRFQTLVPLDHKQGTLFEIIGEPKLPKWFHVECLEDPKRLYVEPRLLEIMFGKDGE HIPHLESMLHTLIHVNVWGPERRAEIWIFGPPPFRRDVDRMLTDLAHYCRMKLMEIEALE AGVERRRMAAHKAATQPAPVKVREAAPRPASVKVPETATQPAPVKVREAAPQPAPVQEVR EAAPQQASVQEEVREAATEQAPVQEVREAATEQAPVQEVSEAATEQAPVQEVNEAATEQA SVQAVREAATRPAPGKVRKAATQPAPVQVCQEATQLAPVKVREAATQPASGKVREAATQL APVKVRKAATQLAPVKVHEAATQPAPGKVSDAATQSASVQESGRTE >ENSMUSP00000132527.1 pep:known chromosome:GRCm38:9:73102510:73104192:1 gene:ENSMUSG00000092622.8 transcript:ENSMUST00000167514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdc3 description:KH domain containing 3, subcortical maternal complex member [Source:MGI Symbol;Acc:MGI:1914241] MASLKRFQTLVPLDHKQGTLFEIIGEPKLPKWFHVECLEDPKRLYVEPRLLEIMFGKDGE HIPHLESMLHTLIHVNVWGPERRAEIWIFGPPPFRRDVDRMLTDLAHYCRMKLMEIEALE AGVERRRMAAHKAATQPAPVKVREAAPQPAPVQEVREAAPQQASVQEEVREAATEQAPVQ EVREAATEQAPVQEVSEAATEQAPVQEVNEAATEQASVQAVREAATRPAPGKVRKAATQP APVQVCQEATQLAPVKVREAATQPASGKVREAATQLAPVKVRKAATQLAPVKVHEAATQP APGKVSDAATQSASVQVREAATQLSPVEATDTSQLAQVKADEAFAQHTSGEAHQVANGQS PIEVCETATGQHSLDVSRALSQKCPEVFEWETQSCLDGSYVIVQPPRDAWESFIIL >ENSMUSP00000127377.2 pep:known chromosome:GRCm38:14:42847980:42853477:-1 gene:ENSMUSG00000094804.1 transcript:ENSMUST00000163725.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21977 description:predicted gene 21977 [Source:MGI Symbol;Acc:MGI:5439446] XRSSWVPKQACCPCSSGYFGERIEYTQTLDQGRRRPAAHHGGKGQETTGRGEGSAGEASQ QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEEGNTETKILCKEGSQKIKDYYTKQQQV >ENSMUSP00000105216.2 pep:known chromosome:GRCm38:2:156147239:156180238:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000109587.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKDENKLNSANGHEERSKKRKKSKSRSRSHERKRSKSKERKRSRD RERKKSKSRERKRSRSKERRRSRSRSRDRRFRGRYRSPYSGPKFNSAIRGKIGLPHSIKL SRRRSRSKSPFRKDKSPVREPIDNLTPEERDARTVFCMQLAARIRPRDLEEFFSTVGKVR DVRMISDRNSRRSKGIAYVEFVDVSSVPLAIGLTGQRVLGVPIIVQASQAEKNRAAAMAN NLQKGSAGPMRLYVGSLHFNITEDMLRGIFEPFGRIESIQLMMDSETGRSKGYGFITFSD SECAKKALEQLNGFELAGRPMKVGHVTERTDASSASSFLDSDELERTGIDLGTTGRLQLM ARLAEGTGLQIPPAAQQALQMSGSLAFGAVAEFSFVIDLQTRLSQQTEASALAAAASVQP LATQCFQLSNMFNPQTEEEVGWDTEIKDDVIEECNKHGGVIHIYVDKNSAQGNVYVKCPS IAAAIAAVNALHGRWFAGKMITAAYVPLPTYHNLFPDSMTATQLLVPSRR >ENSMUSP00000119298.1 pep:known chromosome:GRCm38:2:156147246:156179580:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000146297.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKDENKLNSANGHEERSKKRKKSKSRSRSHERKRSKSKERKRSRD RERKKSKSRERKRSRSKERRRSRSRSRDRRFRGRYRSPYSGPKFNSAIRGKIGLPHSIKL SRRRSRSKSPFRKDKSPVREPIDNLTPEERDARTVFCMQLAARIRPRDLEEFFSTVGKVR DVRMISDRNSRRSKGIAYVEFVDVSSVPLAIGLTGQRVLGVPIIVQASQAEKNRAAAMAN NLQKGSAGPMRLYVGSLHFNITEDMLRGIFEPFGRIESIQLMMDSETGRSKGYGFITFSD SECAKKALEQLNGFELAGRPMKVGHVTERTDASSASSFLDSDELERTGIDLGTTGRLQLM ARLAEGTGLQIPPAAQQALQMSGSLAFGAVADLQTRLSQQTEASALAAAASVQPLATQCF QLSNMFNPQTEEEVGWDTEIKDDVIEECNKHGGVIHIYVDKNSAQGNVYVKCPSIAAAIA AVNALHGRWFAGKMITAAYVPLPTYHNLFPDSMTATQLLVPSRR >ENSMUSP00000116820.1 pep:known chromosome:GRCm38:2:156147249:156180149:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000142071.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKDENKLNSANGHEERSKKRKKSKSRSRSHERKRSKSKERKRSRD RERKKSKSRERKRSRSKERRRSRSRSRDRRFRGRYRSPYSGPKFNSAIRGKIGLPHSIKL SRRRSRSKSPFRKDKSPVREPIDNLTPEERDARTVFCMQLAARIRPRDLEEFFSTVGKVR DVRMISDRNSRRSKGIAYVEFVDVSSVPLAIGLTGQRVLGVPIIVQASQAEKNRAAAMAN NLQKGSAGPMRLYVGSLHFNITEDMLRGIFEPFGRIESIQLMMDSETGRSKGYGFITFSD SECAKKALEQLNGFELAGRPMKVGHVTERTDASSASSFLDSDELERTGIDLGTTGRLQLM ARLAEGTGLQIPPAAQQALQMSGSLAFGAVADLQTRLSQQTEAVCFYALEWDLVR >ENSMUSP00000029149.6 pep:known chromosome:GRCm38:2:156147249:156180167:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000029149.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKDENKLNSANGHEERSKKRKKSKSRSRSHERKRSKSKERKRSRD RERKKSKSRERKRSRSKERRRSRSRSRDRRFRGRYRSPYSGPKFNSAIRGKIGLPHSIKL SRRRSRSKSPFRKDKSPVREPIDNLTPEERDARTVFCMQLAARIRPRDLEEFFSTVGKVR DVRMISDRNSRRSKGIAYVEFVDVSSVPLAIGLTGQRVLGVPIIVQASQAEKNRAAAMAN NLQKGSAGPMRLYVGSLHFNITEDMLRGIFEPFGRIESIQLMMDSETGRSKGYGFITFSD SECAKKALEQLNGFELAGRPMKVGHVTERTDASSASSFLDSDELERTGIDLGTTGRLQLM ARLAEGTGLQIPPAAQQALQMSGSLAFGAVADLQTRLSQQTEASALAAAASVQPLATQCF QLSNMFNPQTEEEVGWDTEIKDDVIEECNKHGGVIHIYVDKNSAQGNVYVKCPSIAAAIA AVNALHGRWFAGKMITAAYVPLPTYHNLFPDSMTATQLLVPSRR >ENSMUSP00000119541.1 pep:known chromosome:GRCm38:2:156147940:156180176:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000155837.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKTCLTISHLYSHEFTFDSSVNCQS >ENSMUSP00000119204.1 pep:known chromosome:GRCm38:2:156147940:156180184:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000153514.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKDENKLNSANGHEERSKKQSFCLLGKLSISL >ENSMUSP00000117462.1 pep:known chromosome:GRCm38:2:156149236:156164923:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000148794.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] XEPIDNLTPEERDARTVFCMQLAARIRPRDLEEFFSTVGKVRDVRMISDRNSRRSKGIAY VEFVDVSSVPLAIGLTGQRVLGVPIIVQASQAEKNRAAAMANNLQKGSAGPMRLYVGSLH FNITEDMLRGIFEPFGRFSDSECAKKALEQLNGFELAGRPMKVGHVTERTDASSASSFLD SDELERTGIDLGTTGRLQLMARLAEGTGLQIPPAAQQALQMSGSLAFGAVAEFSFVIDLQ TRLSQQTEASALAAAASVQPLATQCFQLSNMFNPQTEEEVGWDTEIKDDVIEECNKHGGV IHIYVDKNSAQ >ENSMUSP00000116950.1 pep:known chromosome:GRCm38:2:156161829:156180142:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000126992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKDENKLNSANGHEERSKKRKKSKSRSRSHERKRSKSKERKRSRD RERKKSKSRERKRSRSKERRRSRSRSRDRRFRGRYRSPYSGPKFNSAIRGKIGLPHSIKL RRRSRSKSPFRKDKSPVREPIDNLTPEERDARTVFCMQLAARIRPRDLEEFFSTVGKVRD VRMISDRNSRRSKGIAYVEFVDVSSVPLAIGLTGQRVLGVPIIVQASQAEKNRAAAMANN LQKGSAGPMRLYVGSLHFNITEDMLRGIFEPFG >ENSMUSP00000114824.1 pep:known chromosome:GRCm38:2:156164806:156180159:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000146288.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKDENKLNSANGHEERSKKRKKSKSRSRSHERKRSKSKERKRSRD RERKKSKSRERKRSRSKERRRSRSRSRDRRFRGRYRSPYRRRSRSKSPFRKDKSPVREPI DNLTPEERDARTVFCMQLAARIRPRDLEEFFSTVGK >ENSMUSP00000115488.1 pep:known chromosome:GRCm38:2:156172833:156180161:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000146549.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKTCLTISHLYSHEFTFDSSVNCQS >ENSMUSP00000120597.1 pep:known chromosome:GRCm38:2:156172932:156180147:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000147098.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKDENKLNSANGHEERSKKAQSAS >ENSMUSP00000121603.1 pep:known chromosome:GRCm38:2:156174685:156179912:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000154677.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKGEMCDRGGIKLLQSQPSNGNGRRSQEWHRSQEIDSGWLLAWPL GA >ENSMUSP00000119054.1 pep:known chromosome:GRCm38:2:156174689:156180156:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000137566.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKTCLTISHLYSHEFTFDSSVNCQS >ENSMUSP00000105213.1 pep:known chromosome:GRCm38:2:156174774:156180238:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000109584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKDENKLNSANGHEERSKKILCI >ENSMUSP00000124018.1 pep:known chromosome:GRCm38:2:156177361:156180149:-1 gene:ENSMUSG00000027620.16 transcript:ENSMUST00000152919.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm39 description:RNA binding motif protein 39 [Source:MGI Symbol;Acc:MGI:2157953] MADDIDIEAMLEAPYKKTCLTISHLYSHEFTFDSSVNCQS >ENSMUSP00000107969.1 pep:known chromosome:GRCm38:17:83706163:83792873:1 gene:ENSMUSG00000055817.17 transcript:ENSMUST00000112350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta3 description:metastasis associated 3 [Source:MGI Symbol;Acc:MGI:2151172] MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTASGNVEAKVVCFYRRRDISNTLIMLAD KHAKETEEESETPVEADLTEKQKHQLKHRELFLSRQYESLPATHIRGKCSVALLNETESV LSYLDKEDTFFYSLVYDPSVKTLLADKGEIRVGPKYQADIPDMLPEGDSDEREQSKLEVK VWDPNSPLTDRQIDQFLVVARAVGTFARALDCSSSVRQPSLHMSAAAASRDITLFHAMDT LYRHGYDLSSAISVLVPLGGPVLCRDEMEEWSASEACLFEEALEKYGKDFNDIRQDFLPW KSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPTYKPNPNQISSSNGKAGTVN GAVGTQFQPQSALLGRACESCYATQSHQWYSWGPPNMQCRLCATCWLYWKKYGGLKMPTQ SDEEKSPSPTAEDPRARSHMSRQALQGMPVRNTGSPKSAVKTRQAFFLRTTYFTKIARQV CKSTLRLRQAARRPFVAINYAAIRAECKTLFNS >ENSMUSP00000107968.2 pep:known chromosome:GRCm38:17:83706163:83792875:1 gene:ENSMUSG00000055817.17 transcript:ENSMUST00000112349.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta3 description:metastasis associated 3 [Source:MGI Symbol;Acc:MGI:2151172] MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTASGNVEAKVVCFYRRRDISNTLIMLAD KHAKETEEESETPVEADLTEKQKHQLKHRELFLSRQYESLPATHIRGKCSVALLNETESV LSYLDKEDTFFYSLVYDPSVKTLLADKGEIRVGPKYQADIPDMLPEGDSDEREQSKLEVK VWDPNSPLTDRQIDQFLVVARAVGTFARALDCSSSVRQPSLHMSAAAASRDITLFHAMDT LYRHGYDLSSAISVLVPLGGPVLCRDEMEEWSASEACLFEEALEKYGKDFNDIRQDFLPW KSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPTYSKPNPNQISSSNGKAGTV NGAVGTQFQPQSALLGRACESCYATQSHQWYSWGPPNMQCRLCATCWLYWKKYGGLKMPT QSDEEKSPSPTAEDPRARSHMSRQALQGMPVRNTGSPKSAVKTRQAFFLRTTYFTKIARQ VCKSTLRLRQAARRPFVAINYAAIRAECKTLFNS >ENSMUSP00000107971.3 pep:known chromosome:GRCm38:17:83706163:83805423:1 gene:ENSMUSG00000055817.17 transcript:ENSMUST00000112352.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta3 description:metastasis associated 3 [Source:MGI Symbol;Acc:MGI:2151172] MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTASGNVEAKVVCFYRRRDISNTLIMLAD KHAKETEEESETPVEADLTEKQKHQLKHRELFLSRQYESLPATHIRGKCSVALLNETESV LSYLDKEDTFFYSLVYDPSVKTLLADKGEIRVGPKYQADIPDMLPEGDSDEREQSKLEVK VWDPNSPLTDRQIDQFLVVARAVGTFARALDCSSSVRQPSLHMSAAAASRDITLFHAMDT LYRHGYDLSSAISVLVPLGGPVLCRDEMEEWSASEACLFEEALEKYGKDFNDIRQDFLPW KSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPTYKPNPNQISSSNGKAGTVN GAVGTQFQPQSALLGRACESCYATQSHQWYSWGPPNMQCRLCATCWLYWKKYGGLKMPTQ SDEEKSPSPTAEDPRARSHMSRQALQGMPVRNTGSPKSAVKTRQAFFLRTTYFTKIARQV CKSTLRLRQAARRPFVAINYAAIRAEYADRHAELSGSPLKSRSTRKPLSCIIGYLEIHPA KKPNVIRSPPSLQTPATKRMLAAPNHTSLSILGKRNYSHHNGLDGT >ENSMUSP00000135064.1 pep:known chromosome:GRCm38:17:83706184:83821516:1 gene:ENSMUSG00000055817.17 transcript:ENSMUST00000177069.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mta3 description:metastasis associated 3 [Source:MGI Symbol;Acc:MGI:2151172] MAANMYRVGDCKWQCGSKSRLLLQKTGHLQHAYHARRQAC >ENSMUSP00000068931.8 pep:known chromosome:GRCm38:17:83706191:83814905:1 gene:ENSMUSG00000055817.17 transcript:ENSMUST00000067826.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta3 description:metastasis associated 3 [Source:MGI Symbol;Acc:MGI:2151172] MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTASGNVEAKVVCFYRRRDISNTLIMLAD KHAKETEEESETPVEADLTEKQKHQLKHRELFLSRQYESLPATHIRGKCSVALLNETESV LSYLDKEDTFFYSLVYDPSVKTLLADKGEIRVGPKYQADIPDMLPEDSDEREQSKLEVKV WDPNSPLTDRQIDQFLVVARAVGTFARALDCSSSVRQPSLHMSAAAASRDITLFHAMDTL YRHGYDLSSAISVLVPLGGPVLCRDEMEEWSASEACLFEEALEKYGKDFNDIRQDFLPWK SLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPTYKPNPNQISSSNGKAGTVNG AVGTQFQPQSALLGRACESCYATQSHQWYSWGPPNMQCRLCATCWLYWKKYGGLKMPTQS DEEKSPSPTAEDPRARSHMSRQALQGMPVRNTGSPKSAVKTRQAFFLRTTYFTKIARQVC KSTLRLRQAARRPFVAINYAAIRAEYADRHAELSGSPLKSRSTRKPLSCIIGYLEIHPAK KPNVIRSPPSLQTPATKRMLAAPNHTSLSILGKRNYSHHNGLDGPERWLSR >ENSMUSP00000135752.1 pep:known chromosome:GRCm38:17:83755634:83800240:1 gene:ENSMUSG00000055817.17 transcript:ENSMUST00000176816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta3 description:metastasis associated 3 [Source:MGI Symbol;Acc:MGI:2151172] GPKYQADIPDMLPEGDSDEREQSKLEVKVWDPNSPLTDRQIDQFLVVARAVGTFARALDC SSSVRQPSLHMSAAAASRDITLFHAMDTLYRHGYDLSSAISVLVPLGGPVLCRDEMEEWS ASEACLFEEALEKYGKDFNDIRQDFLPWKSLTSIIEYYYMWKTTDRYVQQKRLKAAEAES KLKQVYIPTYKPNPNQISSSNGKAGTVNGAVGTQFQPQSALLGRACESCYDADRHAELSG SPLKSRSTRKPLSCIIGYLGRYRPTQKDLRR >ENSMUSP00000134775.1 pep:known chromosome:GRCm38:17:83784334:83820216:1 gene:ENSMUSG00000055817.17 transcript:ENSMUST00000176054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta3 description:metastasis associated 3 [Source:MGI Symbol;Acc:MGI:2151172] YKPNPNQISSSNGKAGTVNGAVGTQFQPQSALLGRACESCYATQSHQWYSWGPPNMQCRL CATCWLYWKKYGGLKMPTQSDEEKSPSPTAEDPRARSHMSRQALQGMPVRNTGSPKSAVK TRQAFFLRTTYFTKIARQVCKSTLRLRQAARRPFVAINYAAIRAEYADRHAELSGSPLKS RSTRKPLSCIIGYLEIHPAKKPNVIRSPPSLQTPATKRMLAAPNHTSLSILGKRNYSHHN GLDELTCCVSD >ENSMUSP00000075065.4 pep:known chromosome:GRCm38:14:22019712:23056085:1 gene:ENSMUSG00000063458.12 transcript:ENSMUST00000075639.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700112E06Rik description:RIKEN cDNA 1700112E06 gene [Source:MGI Symbol;Acc:MGI:1923883] MAGLMVSGTQVSYVGQSCREIPEHLGRDFGHFAKRLDLSFNLLRSLEGLSAFRSLEELIL DNNLLGDDLVLPGLPHLHTLTLNKNQITDLEYLLDHLAEVTPSLEYLSLLGNVACPNELV NLEKDEEDYKRYRCFVLHKLPKLKFLDAQKVTRQEREEALVRGAFMKVVKPKASSEEEKA AAPENQPQYTPLPSGSRDLTSHRGVLGKGRYFYYGRNSEGNRFIRDDQL >ENSMUSP00000124221.1 pep:known chromosome:GRCm38:14:22019821:22828775:1 gene:ENSMUSG00000063458.12 transcript:ENSMUST00000161249.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700112E06Rik description:RIKEN cDNA 1700112E06 gene [Source:MGI Symbol;Acc:MGI:1923883] MAGLMVSGTQVSYVGQSCREIPEHLGRDFGHFAKRLDLSFNLLRCFVLHKLPKLKFLDAQ KVTRQEREEALVRGAFMKVVKPKASSEEEKAAAPENQPQYTPLPSGSRDLTS >ENSMUSP00000125751.1 pep:known chromosome:GRCm38:14:22019848:22716410:1 gene:ENSMUSG00000063458.12 transcript:ENSMUST00000159777.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700112E06Rik description:RIKEN cDNA 1700112E06 gene [Source:MGI Symbol;Acc:MGI:1923883] MAGLMVSGTQVSYVGQSCREIPEHLGRDFGHFAKRLDLSFNLLRSLEGLSAFRSLEELIL DNNLLGDDLVLPGLPHLHTLTLNKNQITDLEYLLDHLAEVTPSLEYLSLLGNVACPNELV NLEKDEEDYKRYRCFVLHKLPKLKFLDAQKVTRQEREEALVRGAFMKVVKPKQKLSDLYR >ENSMUSP00000124436.1 pep:known chromosome:GRCm38:14:22019848:23056085:1 gene:ENSMUSG00000063458.12 transcript:ENSMUST00000162540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700112E06Rik description:RIKEN cDNA 1700112E06 gene [Source:MGI Symbol;Acc:MGI:1923883] MAGLMVSGTQVSYVGQSCREIPEHLGRDFGHFAKRLDLSFNLLRSLEGLSAFRSLEELIL DNNLLGDDLVLPGLPHLHTLTLNKNQITDLEYLLDHLAEVTPSLEYLSLLGNVACPNELV NLEKDEEDYKRYRCFVLHKLPKLKFLDAQKVTRQEREEALVRGAFMKVVKPKHHVCCMLP CSHRDDNGLNL >ENSMUSP00000026699.8 pep:known chromosome:GRCm38:6:31398735:31516811:1 gene:ENSMUSG00000025609.15 transcript:ENSMUST00000026699.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkln1 description:muskelin 1, intracellular mediator containing kelch motifs [Source:MGI Symbol;Acc:MGI:1351638] MAAGGAVAVAPECRLLPYALHKWSSFSSTYLPENILVDKPNDQSSRWSSESNYPPQYLIL KLERPAIVQNITFGKYEKTHVCNLKKFKVFGGMNEENMTELLSSGLKNDYNKETFTLKHK IDEQMFPCRFIKIVPLLSWGPSFNFSIWYVELSGIDDPDIVQPCLNWYSKYREQEAIRLC LKHFRQHNYTEAFESLQKKTKIALEHPMLTDMHDKLVLKGDFDACEELIEKAVNDGLFNQ YISQQEYKPRWSQIIPKSTKGDGEDNRPGMRGGHQMVIDVQTETVYLFGGWDGTQDLADF WAYSVKENQWTCISRDTEKENGPSARSCHKMCIDIQRRQIYTLGRYLDSSVRNSKSLKSD FYRYDIDTNTWMLLSEDTAADGGPKLVFDHQMCMDSEKHMIYTFGGRILTCNGSVDDSRA SEPQFSGLFAFNCQCQTWKLLREDSCNAGPEDIQSRIGHCMLFHSKNRCLYVFGGQRSKT YLNDFFSYDVDSDHVDIISDGTKKDSGMVPMTGFTQRATIDPELNEIHVLSGLSKDKEKR EENVRNSFWIYDIVRNSWSCVYKNDQATKDNLSKSLQEEEPCPRFAHQLVYDELHKVHYL FGGNPGKSCSPKMRLDDFWSLKLCRPSKDYLLRHCKYLIRKHRFEEKAQMDPLSALKYLQ NDLYITVDHSDPEETKEFQLLASALFKSGSDFTALGFSDVDHTYAQRTQLFDTLVNFFPD SMTPPKGNLVDLITL >ENSMUSP00000123048.1 pep:known chromosome:GRCm38:6:31489394:31507968:1 gene:ENSMUSG00000025609.15 transcript:ENSMUST00000130108.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkln1 description:muskelin 1, intracellular mediator containing kelch motifs [Source:MGI Symbol;Acc:MGI:1351638] VLSGLSKDKEKREENVRNSFWIYDIVRNSWSCVYKNDQATKDNLSKSLQEEEPCPRFAHQ LVYDELHKVHYLFGGNPGKSCSPKMRLDDFWSLKLCRPSKDYLLRHCKYLIRKHRFEEKA QMDPLSALKYLQNDLYITVDHSDPEETKEAFQMWIIPMLKELSSLTP >ENSMUSP00000146712.1 pep:known chromosome:GRCm38:11:69340461:69342641:1 gene:ENSMUSG00000043419.10 transcript:ENSMUST00000129321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd3os description:chromodomain helicase DNA binding protein 3, opposite strand [Source:MGI Symbol;Acc:MGI:1915359] MQLLMRVPSLPELGELDCNICFRPYNLGARAPRRLPGTARARCGHTLCTACLRELAARGD GSRTAARVVRLRRAVTCPFCRAPSPLPRGGVTEIALDPVLWSRLEEKARAEREGDPMGSP AKDSGEDGEDDDGEAESEKGAGPPSAGWRALRRILDRVLAPARRLRRPLPSNVLYCPEVK DIAHMTRCTL >ENSMUSP00000147159.1 pep:known chromosome:GRCm38:11:69340809:69341375:1 gene:ENSMUSG00000043419.10 transcript:ENSMUST00000151617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd3os description:chromodomain helicase DNA binding protein 3, opposite strand [Source:MGI Symbol;Acc:MGI:1915359] GVTEIALDPVLWSRLEEKARAEREGDPMGSPAKDSGEDGEDDDGEAESEKGAGPPSAVLY CPEVKDIAHMTRCTL >ENSMUSP00000092135.2 pep:known chromosome:GRCm38:1:133350510:133360325:1 gene:ENSMUSG00000070645.5 transcript:ENSMUST00000094556.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ren1 description:renin 1 structural [Source:MGI Symbol;Acc:MGI:97898] MDRRRMPLWALLLLWSPCTFSLPTRTATFERIPLKKMPSVREILEERGVDMTRLSAEWGV FTKRPSLTNLTSPVVLTNYLNTQYYGEIGIGTPPQTFKVIFDTGSANLWVPSTKCSRLYL ACGIHSLYESSDSSSYMENGSDFTIHYGSGRVKGFLSQDSVTVGGITVTQTFGEVTELPL IPFMLAKFDGVLGMGFPAQAVGGVTPVFDHILSQGVLKEEVFSVYYNRGSHLLGGEVVLG GSDPQHYQGNFHYVSISKTDSWQITMKGVSVGSSTLLCEEGCAVVVDTGSSFISAPTSSL KLIMQALGAKEKRIEEYVVNCSQVPTLPDISFDLGGRAYTLSSTDYVLQYPNRRDKLCTL ALHAMDIPPPTGPVWVLGATFIRKFYTEFDRHNNRIGFALAR >ENSMUSP00000107906.1 pep:known chromosome:GRCm38:1:133351909:133354276:1 gene:ENSMUSG00000070645.5 transcript:ENSMUST00000112287.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ren1 description:renin 1 structural [Source:MGI Symbol;Acc:MGI:97898] MPSVREILEERGVDMTRLSAEWGVFTKRPSLTNLTSPVVLTNYL >ENSMUSP00000025266.5 pep:known chromosome:GRCm38:17:35203165:35205351:-1 gene:ENSMUSG00000024402.5 transcript:ENSMUST00000025266.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lta description:lymphotoxin A [Source:MGI Symbol;Acc:MGI:104797] MTLLGRLHLLRVLGTPPVFLLGLLLALPLGAQGLSGVRFSAARTAHPLPQKHLTHGILKP AAHLVGYPSKQNSLLWRASTDRAFLRHGFSLSNNSLLIPTSGLYFVYSQVVFSGESCSPR AIPTPIYLAHEVQLFSSQYPFHVPLLSAQKSVYPGLQGPWVRSMYQGAVFLLSKGDQLST HTDGISHLHFSPSSVFFGAFAL >ENSMUSP00000006911.5 pep:known chromosome:GRCm38:10:127063534:127067920:1 gene:ENSMUSG00000006728.12 transcript:ENSMUST00000006911.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk4 description:cyclin-dependent kinase 4 [Source:MGI Symbol;Acc:MGI:88357] MAATRYEPVAEIGVGAYGTVYKARDPHSGHFVALKSVRVPNGGAAGGGLPVSTVREVALL RRLEAFEHPNVVRLMDVCATSRTDRDIKVTLVFEHIDQDLRTYLDKAPPPGLPVETIKDL MRQFLSGLDFLHANCIVHRDLKPENILVTSNGTVKLADFGLARIYSYQMALTPVVVTLWY RAPEVLLQSTYATPVDMWSVGCIFAEMFRRKPLFCGNSEADQLGKIFDLIGLPPEDDWPR EVSLPRGAFAPRGPRPVQSVVPEMEESGAQLLLEMLTFNPHKRISAFRALQHSYLHKEES DAE >ENSMUSP00000112549.1 pep:known chromosome:GRCm38:10:127063603:127067002:1 gene:ENSMUSG00000006728.12 transcript:ENSMUST00000120226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk4 description:cyclin-dependent kinase 4 [Source:MGI Symbol;Acc:MGI:88357] MAATRYEPVAEIGVGAYGTVYKARDPHSGHFVALKSVRVPNGGAAGGGLPVSTVREVALL RRLEAFEHPNVVRLMDVCATSRTDRDIKRCSVGSLSSVETLKPTSWGKSLISLDCLQKTT GLERYLYLEEPLPPEGLGQCSQWCQRWRSLERSCYWKC >ENSMUSP00000122973.1 pep:known chromosome:GRCm38:10:127063604:127066177:1 gene:ENSMUSG00000006728.12 transcript:ENSMUST00000133115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk4 description:cyclin-dependent kinase 4 [Source:MGI Symbol;Acc:MGI:88357] MAATRYEPVAEIGVGAYGTVYKARDPHSGHFVALKSVRVPNGGAAGGGLPVSTVREVALL RRLEAFEHPNVVRLMDVCATSRTDRDIKVTLVFEHIDQDLRTYLDKAPPPGLPVETIKDL MRQFLSGLDFLHANCIVHRDLKPENILVTSNGTVKLADFGLARIYSYQMALTPVVVTLWY RAPEVLLQSTYATPVDMWSVGCIFAEMFRRKPLFCGNSEADQLGKIFDLIGLPPEDDWPR EVSLPRGAFAPRG >ENSMUSP00000116190.1 pep:known chromosome:GRCm38:10:127063609:127067002:1 gene:ENSMUSG00000006728.12 transcript:ENSMUST00000142558.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk4 description:cyclin-dependent kinase 4 [Source:MGI Symbol;Acc:MGI:88357] MAATRYEPVAEIGVGAYGTVYKARDPHSGHFVALKSVRVPNGGAAGGGLPVSTVREVALL RRLEAFEHPNVVRI >ENSMUSP00000117234.1 pep:known chromosome:GRCm38:10:127063868:127066231:1 gene:ENSMUSG00000006728.12 transcript:ENSMUST00000125682.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk4 description:cyclin-dependent kinase 4 [Source:MGI Symbol;Acc:MGI:88357] MAATRYEPVAEIGVGAYGTVYKARDPHSGHFVALKSVRVPNGGAAGGGLPVSTVREVALL RRLEAFEHPNVVRLMDVCATSRTDRDIKVTLVFEHIDQDLRTYLDKAPPPGLPVETIKDL MRQFLSGLDFLHANCIVHRDLKPENILVTSNGTVKLADFGLARIYSYQMALTPVVVTLWY RAPEVLLQSTYATPVDMWSVGCIFAEMFRRKPLFCGNSEADQLGKIFDLIGLPPEDDWPR EVSLPRGAFAPRGPRPVQSVVPEMEESGAQL >ENSMUSP00000135437.1 pep:known chromosome:GRCm38:7:47588950:47601372:-1 gene:ENSMUSG00000078698.3 transcript:ENSMUST00000176369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpra3 description:MAS-related GPR, member A3 [Source:MGI Symbol;Acc:MGI:2684085] MGESNTSAGFLARNTSASTMTPTTTNSMNETIPGSIDIETLIPDLMIIIFGLVGLTGNAI VFWLLGFRMHRTAFLVYILNLALADFLFLLCHIINSTVDLLKFTLPKGIFAFCFHTIKRV LYITGLSMLSAISTERCLSVLCPIWYHCRRPEHTSTVMCAVIWVLSLLICILDGYFCGYL DNHYFNYSVCQAWDIFIGAYLMFLFVVLCLSTLALLARLFCGARNMKFTRLFVTIMLTVL VFLLCGLPWGITWFLLFWIAPGVFVLDYSPLLVLTAINSCANPIIYFFVGSFRQRLNKQT LKMVLQKALQDTPETPENMVEMSRNKAEP >ENSMUSP00000037075.6 pep:known chromosome:GRCm38:2:181251449:181288035:-1 gene:ENSMUSG00000038705.13 transcript:ENSMUST00000049032.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmeb2 description:glucocorticoid modulatory element binding protein 2 [Source:MGI Symbol;Acc:MGI:2652836] MATPDVSVHMEEVVVVTTPDTAVDGSGVEEVKTVLVTTNLAPHGGDLTEDNMETENAAAA AAAAFTASSQLKEAVLVKMAEEGENLEAEIVYPITCGDSRANLIWRKFVCPGINVKCVQY DEHVISPKEFVHLAGKSTLKDWKRAIRMNGIMLRKIMDSGELDFYQHDKVCSNTCRSTKI DLSGARVSLSSPTSTEYIPLTPAAADVNGSPATITIETCEDPGDWTTTIGDDTFAFWRGL KDAGLLDEVIQEFQQELEETMKGLQQRVQDPPLQLRDAVLLNNIVQNFGMLDLVKKVLAS HKCQMDRSREQYARDLAALEQQCDEHRRRAKELKHKSQHLSNVLMTLTPVPLPSPMKRPR LARATSGPAAMASQVLTQSAQIALSPGMPVSQLTSVPLGKVVSTLPSTVLGKGSPQAAPA SSPASPLLGGYTVLASSGSTFPNAVEIHPDTSSLTVLSTAAMQDGSTVLKVVSPLQLLTL PGLGPTLQNVAQASPAGSTIVTMPTATATGPEEHTATIEVAAVAEDHEQK >ENSMUSP00000115853.1 pep:known chromosome:GRCm38:2:181253785:181278082:-1 gene:ENSMUSG00000038705.13 transcript:ENSMUST00000141110.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gmeb2 description:glucocorticoid modulatory element binding protein 2 [Source:MGI Symbol;Acc:MGI:2652836] MATPDVSVHMEEVVVVTTPDTAVDGSGVEEVKTVLVTTNLAPHGGDLTEDNMETENAAAA AAAAFTASSQLKEAVLDG >ENSMUSP00000116854.1 pep:known chromosome:GRCm38:2:181255938:181288016:-1 gene:ENSMUSG00000038705.13 transcript:ENSMUST00000141003.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gmeb2 description:glucocorticoid modulatory element binding protein 2 [Source:MGI Symbol;Acc:MGI:2652836] MATPDVSVHMEEVVVVTTPDTAVDGSGVEEVKTVLVTTNLAPHGGDLTEDNMETENAAAA AAAAFTASSQLKEAVLVKMAEEGENLEAEIVYPITCGDSRANLIWRKFVCPGINVKCVQV RVSMMNM >ENSMUSP00000116479.1 pep:known chromosome:GRCm38:2:181255918:181287074:-1 gene:ENSMUSG00000038705.13 transcript:ENSMUST00000130475.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmeb2 description:glucocorticoid modulatory element binding protein 2 [Source:MGI Symbol;Acc:MGI:2652836] MATPDVSVHMEEVVVVTTPDTAVDGSGVEEVKTVLVTTNLAPHGGDLTEDNMETENAAAA AAAAFTASSQLKEAVLVKMAEEGENLEAEIVYPITCGDSRANLIWRKFVCPGINVKCVQY DEHVISPKEFVHLAGKSTLKDWKRAIRMNGIMLRKIMDSGELDFYQHDKVCSNTCRSTKI DLSGARVSLSSPTSTEYIPLTPAAADVNGSPATIT >ENSMUSP00000129218.1 pep:known chromosome:GRCm38:15:102459028:102460588:1 gene:ENSMUSG00000023048.13 transcript:ENSMUST00000164938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr13 description:proline rich 13 [Source:MGI Symbol;Acc:MGI:1913401] MWNPNAGPNPYPPQVVCPGGSNPACPPPLNPAFPPGPCPPGIPQGNPAFPPCRPPYPVPQ PGCPGYQPSGPYPPPYPPP >ENSMUSP00000023810.5 pep:known chromosome:GRCm38:15:102459175:102462806:1 gene:ENSMUSG00000023048.13 transcript:ENSMUST00000023810.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr13 description:proline rich 13 [Source:MGI Symbol;Acc:MGI:1913401] MWNPNAGPNPYPPQVVCPGGSNPACPPPLNPAFPPGPCPPGIPQGNPAFPPCRPPYPVPQ PGCPGYQPSGPYPPPYPPPAPGMCPVNPPAPGMVGPGIVIDKKTRKKMKKAHKKSHKHHK HGKHSSSSSSSSSSDSD >ENSMUSP00000125784.1 pep:known chromosome:GRCm38:15:102459202:102462782:1 gene:ENSMUSG00000023048.13 transcript:ENSMUST00000164957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr13 description:proline rich 13 [Source:MGI Symbol;Acc:MGI:1913401] MWNPNAGPNPYPPQVVCPGGSNPACPPPLNPAFPPGPCPPGIPQGNPAFPPCRPPYPVPQ PGCPGYQPSGPYPPPYPPPAPGMCPVNPPAPGMVGPGIVIDKKTRKKMKKAHKKSHKHHK HGKHSSSSSSSSSSDSD >ENSMUSP00000132636.1 pep:known chromosome:GRCm38:15:102459232:102462784:1 gene:ENSMUSG00000023048.13 transcript:ENSMUST00000171245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr13 description:proline rich 13 [Source:MGI Symbol;Acc:MGI:1913401] MWNPNAGPNPYPPQVVCPGGSNPACPPPLNPAFPPGPCPPGIPQGNPAFPPCRPPYPVPQ PGCPGYQPSGPYPPPYPPPAPGMCPVNPPAPGMVGPGIVIDKKTRKKMKKAHKKSHKHHK HGKHSSSSSSSSSSDSD >ENSMUSP00000130498.1 pep:known chromosome:GRCm38:15:102460083:102462776:1 gene:ENSMUSG00000023048.13 transcript:ENSMUST00000164688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr13 description:proline rich 13 [Source:MGI Symbol;Acc:MGI:1913401] MWNPNAGPNPYPPQVVCPGGSNPACPPPLNPAFPPGPCPPGIPQGNPAFPPCRPPYPVPQ PGCPGYQPSGPYPPPYPPPAPGMCPVNPPAPGMVGPGIVIDKKTRKKMKKAHKKSHKHHK HGKHSSSSSSSSSSDSD >ENSMUSP00000109830.1 pep:known chromosome:GRCm38:2:25737009:25740097:1 gene:ENSMUSG00000079539.2 transcript:ENSMUST00000114192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obp2b description:odorant binding protein 2B [Source:MGI Symbol;Acc:MGI:3651927] MKSLLLTILLLGLVAVLKAQEAPPDDLEDFSGIWYTQAMVSDRNHTDGKRPMKVFPMTVI ALEGGSLEAQLTFWDNGHCHMKKILMHKTDEPHKYTAFKGKKTIYIQETSVKGYYILYCE GQRHGRSHRKGKLIGTNSEKNPEAMEEFKKFAMSKGFREENIIVPEQLDQCVSGSN >ENSMUSP00000033136.7 pep:known chromosome:GRCm38:7:128523616:128546981:1 gene:ENSMUSG00000030847.8 transcript:ENSMUST00000033136.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag3 description:BCL2-associated athanogene 3 [Source:MGI Symbol;Acc:MGI:1352493] MSAATQSPMMQMASGNGASDRDPLPPGWEIKIDPQTGWPFFVDHNSRTTTWNDPRVPPEG PKDTASSANGPSRDGSRLLPIREGHPIYPQLRPGYIPIPVLHEGSENRQPHLFHAYSQPG VQRFRTEAAAATPQRSQSPLRGGMTEAAQTDKQCGQMPATATTAAAQPPTAHGPERSQSP AASDCSSSSSSASLPSSGRSSLGSHQLPRGYIPIPVIHEQNITRPAAQPSFHQAQKTHYP AQQGEYQPQQPVYHKIQGDDWEPRPLRAASPFRSPVRGASSREGSPARSGTPVHCPSPIR VHTVVDRPQPMTHREPPPVTQPENKPESKPGPAGPDLPPGHIPIQVIRREADSKPVSQKS PPPAEKVEVKVSSAPIPCPSPSPAPSAVPSPPKNVAAEQKAAPSPAPAEPAAPKSGEAET PPKHPGVLKVEAILEKVQGLEQAVDSFEGKKTDKKYLMIEEYLTKELLALDSVDPEGRAD VRQARRDGVRKVQTILEKLEQKAIDVPGQVQVYELQPSNLEAEQPLQEIMGAVVADKDKK GPENKDPQTESQQLEAKAATPPNPSNPADSAGNLVAP >ENSMUSP00000129043.1 pep:known chromosome:GRCm38:14:42988342:42993624:-1 gene:ENSMUSG00000094349.1 transcript:ENSMUST00000165349.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8082 description:predicted gene 8082 [Source:MGI Symbol;Acc:MGI:3646131] XRYSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPTAHHGGKGQETTGRGEGCAGEASI QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCQLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKTLCNEGSQKIKDHYTKQQQV >ENSMUSP00000033004.6 pep:known chromosome:GRCm38:7:125552120:125579474:1 gene:ENSMUSG00000030748.9 transcript:ENSMUST00000033004.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il4ra description:interleukin 4 receptor, alpha [Source:MGI Symbol;Acc:MGI:105367] MGRLCTKFLTSVGCLILLLVTGSGSIKVLGEPTCFSDYIRTSTCEWFLDSAVDCSSQLCL HYRLMFFEFSENLTCIPRNSASTVCVCHMEMNRPVQSDRYQMELWAEHRQLWQGSFSPSG NVKPLAPDNLTLHTNVSDEWLLTWNNLYPSNNLLYKDLISMVNISREDNPAEFIVYNVTY KEPRLSFPINILMSGVYYTARVRVRSQILTGTWSEWSPSITWYNHFQLPLIQRLPLGVTI SCLCIPLFCLFCYFSITKIKKIWWDQIPTPARSPLVAIIIQDAQVPLWDKQTRSQESTKY PHWKTCLDKLLPCLLKHRVKKKTDFPKAAPTKSLQSPGKAGWCPMEVSRTVLWPENVSVS VVRCMELFEAPVQNVEEEEDEIVKEDLSMSPENSGGCGFQESQADIMARLTENLFSDLLE AENGGLGQSALAESCSPLPSGSGQASVSWACLPMGPSEEATCQVTEQPSHPGPLSGSPAQ SAPTLACTQVPLVLADNPAYRSFSDCCSPAPNPGELAPEQQQADHLEEEEPPSPADPHSS GPPMQPVESWEQILHMSVLQHGAAAGSTPAPAGGYQEFVQAVKQGAAQDPGVPGVRPSGD PGYKAFSSLLSSNGIRGDTAAAGTDDGHGGYKPFQNPVPNQSPSSVPLFTFGLDTELSPS PLNSDPPKSPPECLGLELGLKGGDWVKAPPPADQVPKPFGDDLGFGIVYSSLTCHLCGHL KQHHSQEEGGQSPIVASPGCGCCYDDRSPSLGSLSGALESCPEGIPPEANLMSAPKTPSN LSGEGKGPGHSPVPSQTTEVPVGALGIAVS >ENSMUSP00000146185.1 pep:known chromosome:GRCm38:7:125552271:125567211:1 gene:ENSMUSG00000030748.9 transcript:ENSMUST00000206217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il4ra description:interleukin 4 receptor, alpha [Source:MGI Symbol;Acc:MGI:105367] MGRLCTKFLTSVGCLILLLVTGSGSIKVLGEPTCFSDYIRTS >ENSMUSP00000145725.1 pep:known chromosome:GRCm38:7:125552276:125569997:1 gene:ENSMUSG00000030748.9 transcript:ENSMUST00000205985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il4ra description:interleukin 4 receptor, alpha [Source:MGI Symbol;Acc:MGI:105367] MGRLCTKFLTSVGCLILLLVTGSGSIKVLGEPTCFSDYIRTSTCEWFLDSAVDCSSQLCL HYRLMFFEFSENLTCIPRNSASTVCVCHMEMNRPVQSDRYQMELWAEHRQLWQGSFSPSG NVKPLAPDNLTLHTNVSDEW >ENSMUSP00000145824.1 pep:known chromosome:GRCm38:7:125552287:125577967:1 gene:ENSMUSG00000030748.9 transcript:ENSMUST00000206846.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il4ra description:interleukin 4 receptor, alpha [Source:MGI Symbol;Acc:MGI:105367] MGRLCTKFLTSVGCLILLLVTGSGSIKVLGEPTCFSDYIRTSTCEWFLDSAVDCSSQLCL HYRLMFFEFSENLTCIPRNSASTVCVCHMEMNRPVQSDRYQMELWAEHRQLWQGSFSPSG NVKPLAPDNLTLHTNVSDEWLLTWNNLYPSNNLLYKDLISMVNISREDNPAEFIVYNVTY KEPRLSFPINILMSGVYYTARVRVRSQILTGTWSEWSPSITWYNPSNENL >ENSMUSP00000139666.1 pep:known chromosome:GRCm38:Y:40804914:40807356:1 gene:ENSMUSG00000095452.2 transcript:ENSMUST00000190909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20795 description:predicted gene, 20795 [Source:MGI Symbol;Acc:MGI:5434151] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNFKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000136073.1 pep:known chromosome:GRCm38:Y:40806531:40807229:1 gene:ENSMUSG00000095452.2 transcript:ENSMUST00000178528.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20795 description:predicted gene, 20795 [Source:MGI Symbol;Acc:MGI:5434151] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNFKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000003351.6 pep:known chromosome:GRCm38:11:115249169:115265429:-1 gene:ENSMUSG00000020734.13 transcript:ENSMUST00000003351.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2c description:glutamate receptor, ionotropic, NMDA2C (epsilon 3) [Source:MGI Symbol;Acc:MGI:95822] MGGALGPALLLTSLLGAWAGLGAGQGEQAVTVAVVFGSSGPLQAQARTRLTPQNFLDLPL EIQPLTIGVNNTNPSSILTQICGLLGAARVHGIVFEDNVDTEAVAQLLDFVSSQTHVPIL SISGGSAVVLTPKEPGSAFLQLGVSLEQQLQVLFKVLEEYDWSAFAVITSLHPGHALFLE GVRAVADASYLSWRLLDVLTLELGPGGPRARTQRLLRQVDAPVLVAYCSREEAEVLFAEA AQAGLVGPGHVWLVPNLALGSTDAPPAAFPVGLISVVTESWRLSLRQKVRDGVAILALGA HSYRRQYGTLPAPAGDCRSHPGPVSPAREAFYRHLLNVTWEGRDFSFSPGGYLVQPTMVV IALNRHRLWEMVGRWDHGVLYMKYPVWPRYSTSLQPVVDSRHLTVATLEERPFVIVESPD PGTGGCVPNTVPCRRQSNHTFSSGDITPYTKLCCKGFCIDILKKLAKVVKFSYDLYLVTN GKHGKRVRGVWNGMIGEVYYKRADMAIGSLTINEERSEIIDFSVPFVETGISVMVARSNG TVSPSAFLEPYSPAVWVMMFVMCLTVVAITVFMFEYFSPVSYNQNLTKGKKSGGPSFTIG KSVWLLWALVFNNSVPIENPRGTTSKIMVLVWAFFAVIFLASYTANLAAFMIQEQYIDTV SGLSDKKFQRPQDQYPPFRFGTVPNGSTERNIRSNYRDMHTHMVKFNQRSVEDALTSLKM GKLDAFIYDAAVLNYMAGKDEGCKLVTIGSGKVFATTGYGIAMQKDSHWKRAIDLALLQF LGDGETQKLETVWLSGICHNEKNEVMSSKLDIDNMAGVFYMLLVAMGLALLVFAWEHLVY WKLRHSVPSSSQLDFLLAFSRGIYSCFNGVQSLPSPARPPSPDLTAGSAQANVLKMLQAA RDMVSTADVSGSLDRATRTIENWGNNRRAPAPTTSGPRSCTPGPPGQPSPSGWRPPGGGR TPLARRAPQPPARPATCAGSPQPDVSRASCRHAWDARWPVRVGHQGSHLSASERRALPER SLLHAHCHYSSFPRAERSGRPFLPLFPEPPEPDDLPLLGPEQLARREALLRAAWARGPRP RHASLPSSVAEAFTRSNPLPARCTGHACACPCPQSRPSCRHVAQTQSLRLPSYREACVEG VPAGVAATWQPRQHVCLHTHTHLPFCWGTVCRHPPPCSSHSPWLIGTWEPPSHRGRTLGL GTGYRDSGVLEEVSREACGTQGFPRSCTWRRISSLESEV >ENSMUSP00000102164.1 pep:known chromosome:GRCm38:11:115249169:115267243:-1 gene:ENSMUSG00000020734.13 transcript:ENSMUST00000106554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2c description:glutamate receptor, ionotropic, NMDA2C (epsilon 3) [Source:MGI Symbol;Acc:MGI:95822] MGGALGPALLLTSLLGAWAGLGAGQGEQAVTVAVVFGSSGPLQAQARTRLTPQNFLDLPL EIQPLTIGVNNTNPSSILTQICGLLGAARVHGIVFEDNVDTEAVAQLLDFVSSQTHVPIL SISGGSAVVLTPKEPGSAFLQLGVSLEQQLQVLFKVLEEYDWSAFAVITSLHPGHALFLE GVRAVADASYLSWRLLDVLTLELGPGGPRARTQRLLRQVDAPVLVAYCSREEAEVLFAEA AQAGLVGPGHVWLVPNLALGSTDAPPAAFPVGLISVVTESWRLSLRQKVRDGVAILALGA HSYRRQYGTLPAPAGDCRSHPGPVSPAREAFYRHLLNVTWEGRDFSFSPGGYLVQPTMVV IALNRHRLWEMVGRWDHGVLYMKYPVWPRYSTSLQPVVDSRHLTVATLEERPFVIVESPD PGTGGCVPNTVPCRRQSNHTFSSGDITPYTKLCCKGFCIDILKKLAKVVKFSYDLYLVTN GKHGKRVRGVWNGMIGEVYYKRADMAIGSLTINEERSEIIDFSVPFVETGISVMVARSNG TVSPSAFLEPYSPAVWVMMFVMCLTVVAITVFMFEYFSPVSYNQNLTKGKKSGGPSFTIG KSVWLLWALVFNNSVPIENPRGTTSKIMVLVWAFFAVIFLASYTANLAAFMIQEQYIDTV SGLSDKKFQRPQDQYPPFRFGTVPNGSTERNIRSNYRDMHTHMVKFNQRSVEDALTSLKM GKLDAFIYDAAVLNYMAGKDEGCKLVTIGSGKVFATTGYGIAMQKDSHWKRAIDLALLQF LGDGETQKLETVWLSGICHNEKNEVMSSKLDIDNMAGVFYMLLVAMGLALLVFAWEHLVY WKLRHSVPSSSQLDFLLAFSRGIYSCFNGVQSLPSPARPPSPDLTAGSAQANVLKMLQAA RDMVSTADVSGSLDRATRTIENWGNNRRAPAPTTSGPRSCTPGPPGQPSPSGWRPPGGGR TPLARRAPQPPARPATCAGSPQPDVSRASCRHAWDARWPVRVGHQGSHLSASERRALPER SLLHAHCHYSSFPRAERSGRPFLPLFPEPPEPDDLPLLGPEQLARREALLRAAWARGPRP RHASLPSSVAEAFTRSNPLPARCTGHACACPCPQSRPSCRHVAQTQSLRLPSYREACVEG VPAGVAATWQPRQHVCLHTHTHLPFCWGTVCRHPPPCSSHSPWLIGTWEPPSHRGRTLGL GTGYRDSGVLEEVSREACGTQGFPRSCTWRRISSLESEV >ENSMUSP00000114048.1 pep:known chromosome:GRCm38:17:35220834:35224134:1 gene:ENSMUSG00000081650.1 transcript:ENSMUST00000118793.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16181 description:predicted gene 16181 [Source:MGI Symbol;Acc:MGI:3802038] MDTYLPRVGVLSQNMCKPAGLYCACMHDNPSWRDGSVVKSTDCSSRDLEFKSQQPPGGSQ PSVMRSDALFWGV >ENSMUSP00000134733.1 pep:known chromosome:GRCm38:17:35235088:35237805:1 gene:ENSMUSG00000024403.16 transcript:ENSMUST00000130992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1g2 description:ATPase, H+ transporting, lysosomal V1 subunit G2 [Source:MGI Symbol;Acc:MGI:1913487] MEVEQYRREREQEFQSKQQAAMGSQGNLSAEVEQATRRQVQGMQSSQQRNRERVLAQLLG MVCEVRPQVHPNYRVTV >ENSMUSP00000113511.1 pep:known chromosome:GRCm38:17:35235878:35237904:1 gene:ENSMUSG00000024403.16 transcript:ENSMUST00000118384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1g2 description:ATPase, H+ transporting, lysosomal V1 subunit G2 [Source:MGI Symbol;Acc:MGI:1913487] MRGKARRLKQAKEEAQMEVEQYRREREQEFQSKQQAAMGSQGNLSAEVEQATRRQVQGMQ SSQQRNRERVLAQLLGMVCEVRPQVHPNYRVTV >ENSMUSP00000069482.8 pep:known chromosome:GRCm38:17:35236562:35238767:1 gene:ENSMUSG00000024403.16 transcript:ENSMUST00000068261.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1g2 description:ATPase, H+ transporting, lysosomal V1 subunit G2 [Source:MGI Symbol;Acc:MGI:1913487] MASQTQGIQQLLQAEKRAAEKVADARKRKARRLKQAKEEAQMEVEQYRREREQEFQSKQQ AAMGSQGNLSAEVEQATRRQVQGMQSSQQRNRERVLAQLLGMVCEVRPQVHPNYRVTV >ENSMUSP00000023840.5 pep:known chromosome:GRCm38:5:95956951:95961068:1 gene:ENSMUSG00000023078.6 transcript:ENSMUST00000023840.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl13 description:chemokine (C-X-C motif) ligand 13 [Source:MGI Symbol;Acc:MGI:1888499] MRLSTATLLLLLASCLSPGHGILEAHYTNLKCRCSGVISTVVGLNIIDRIQVTPPGNGCP KTEVVIWTKMKKVICVNPRAKWLQRLLRHVQSKSLSSTPQAPVSKRRAA >ENSMUSP00000111069.2 pep:known chromosome:GRCm38:18:52767709:52915864:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000115410.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLDEIDFSDDISYSVTSLKTIPALCRRCDSQNEDRSVSSSGWNCGVSTLITNP QKPTGIADVYSKFRPVKRVSPLKHQPETLENNENEDQKNNTVEYQKGGETDQGPQPEELS PEDGVGGLPGKGSEPSQALGELEHYDLDMDEILDVPYIKSSQQLAPLTKVTSEKRILGLC TTINGLSAKTCPIASTENSTPNMTPFCVLSPVKSPHLRKAPTALRDQHKLSTEDSESSPA LGKCGPAYESENHSKDFLNKVFSDPHSRKIEKSGPDCKLRSFHLQSSAAGAKTEEPINGM NWTNTQGTEERTEYLKKVRSILNIVNEGQISLLPHLAADNLDKIHDENGNNLLHIAASKG HAECLQHLTSLMGEDCLNERNTEQLTPAGLAIKNGQLECVRWMVSETEAIAELSCSKDFP SLIHYAGCYGQEKILLWLLQFMQEQGISLDEVDREGNSAVHVASQHGYLGCIQTLVEYGA NVTMQNHAGEKPSQSAERHGHTLCSRYLVVVETCMSLASQVVKLTKQLKEQTVERVTLQS QLQQLLEAQKSEGKSLPSSPSSPSSPASTKSQWKALDTDEESTGKSKVGAQEGIQVLGSL SVSSRARTKGKDEDSDKILRQLLGKEISENVCTQEKLSLEFQDAQASSRNSKKIPLEKRE LKLARLRQLMQRSLSESDTDSNNSEDPKNTPVKRADRPRPQPIVESVENVDSAESLHLMI KKHSLASGRRFPFGMKASKSLDGHSPSPTSESSEPDLDSHGPGLGMTPPTQPSTEATQSS PDSTAAQKVATSPKSALKSPSSKRRTSQNSKLRVTFEEPVVQMEQTGLELNGEKDKDKGR APQRTSESGEQMKRPFGTFRSIMESLSGNQNNNNNYQPASQLKTCTLPLTSLGRKTADAK GNPVSPASKGKNKA >ENSMUSP00000137367.1 pep:known chromosome:GRCm38:18:52767710:52915930:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000178678.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLDEIDFSDDISYSVTSLKTIPALCRRCDSQNEDRSVSSSGWNCGVSTLITNP QKPTGIADVYSKFRPVKRVSPLKHQPETLENNENEDQKNNTVEYQKGGETDQGPQPEELS PEDGVGGLPGKGSEPSQALGELEHYDLDMDEILDVPYIKSSQQLAPLTKVTSEKRILGLC TTINGLSAKTCPIASTENSTPNMTPFCVLSPVKSPHLRKAPTALRDQHKLSTEDSESSPA LGKCGPAYESENHSKDFLNKVFSDPHSRKIEKSGPDCKLRSFHLQSSAAGAKTEEPINGM NWTNTQGTEERTEYLKKVRSILNIVNEGQISLLPHLAADNLDKIHDENGNNLLHIAASKG HAECLQHLTSLMGEDCLNERNTEQLTPAGLAIKNGQLECVRWMVSETEAIAELSCSKDFP SLIHYAGCYGQEKILLWLLQFMQEQGISLDEVDREGNSAVHVASQHGYLGCIQTLVEYGA NVTMQNHAGEKPSQSAERHGHTLCSRYLVVVETCMSLASQVVKLTKQLKEQTVERVTLQS QLQQLLEAQKSEGKSLPSSPSSPSSPASTKSQWKALDTDEESTGKSKVGAQEGIQVLGSL SVSSRARTKGKDEDSDKILRQLLGKEISENVCTQEKLSLEFQDAQASSRNSKKIPLEKRE LKLARLRQLMQRSLSESDTDSNNSEDPKNTPVKRADRPRPQPIVESVENVDSAESLHLMI KKHSLASGRRFPFGMKASKSLDGHSPSPTSESSEPDLDSHGPGLGMTPPTQPSTEATQSS PDSTAAQKVATSPKSALKSPSSKRRTSQNSKLRVTFEEPVVQMEQTGLELNGEKDKDKGR APQRTSESGEQMKRPFGTFRSIMESLSGNQNNNNNYQPASQLKTCTLPLTSLGRKTADAK GNPVSPASKGKNKAAMYSSCIHLPSNALVEEHLRDYARHNDIKRNATKTYHMKHTAEPEP RELFL >ENSMUSP00000025413.6 pep:known chromosome:GRCm38:18:52767994:52915935:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000025413.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLDEIDFSDDISYSVTSLKTIPALCRRCDSQNEDRSVSSSGWNCGVSTLITNP QKPTGIADVYSKFRPVKRVSPLKHQPETLENNENEDQKNNTVEYQKGGETDQGPQPEELS PEDGVGGLPGKGSEPSQALGELEHYDLDMDEILDVPYIKSSQQLAPLTKVTSEKRILGLC TTINGLSAKTCPIASTENSTPNMTPFCVLSPVKSPHLRKAPTALRDQHKLSTEDSESSPA LGKCGPAYESENHSKDFLNKVFSDPHSRKIEKSGPDCKLRSFHLQSSAAGAKTEEPINGM NWTNTQGTEERTEYLKKVRSILNIVNEGQISLLPHLAADNLDKIHDENGNNLLHIAASKG HAECLQHLTSLMGEDCLNERNTEQLTPAGLAIKNGQLECVRWMVSETEAIAELSCSKDFP SLIHYAGCYGQEKILLWLLQFMQEQGISLDEVDREGNSAVHVASQHGYLGCIQTLVEYGA NVTMQNHAGEKPSQSAERHGHTLCSRYLVVVETCMSLASQVVKLTKQLKEQTVERVTLQS QLQQLLEAQKSEGKSLPSSPSSPSSPASTKSQWKALDTDEESTGKSKVGAQEGIQVLGSL SVSSRARTKGKDEDSDKILRQLLGKEISENVCTQEKLSLEFQDAQASSRNSKKIPLEKRE LKLARLRQLMQRSLSESDTDSNNSEDPKNTPVKRADRPRPQPIVESVENVDSAESLHLMI KKHSLASGRRFPFGMKASKSLDGHSPSPTSESSEPDLDSHGPGLGMTPPTQPSTEATQSS PDSTAAQKVATSPKSALKSPSSKRRTSQNSKLRVTFEEPVVQMEQTGLELNGEKDKDKGR APQRTSESGEQMKRPFGTFRSIMESLSGNQNNNNNYQPASQLKTCTLPLTSLGRKTADAK GNPVSPASKGKNKAAMYSSCIHLPSNALVEEHLRDYARHNDIKRNATKTYHMKHTAEPEP RELFL >ENSMUSP00000127189.2 pep:known chromosome:GRCm38:18:52768090:52915742:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000163742.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLDEIDFSDDISYSVTSLKTIPALCRRCDSQNEDRSVSSSGWNCGVSTLITNP QKPTGIADVYSKFRPVKRVSPLKHQPETLENNENEDQKNNTVEYQKGGETDQGPQPEELS PEDGVGGLPGKGSEPSQALGELEHYDLDMDEILDVPYIKSSQQLAPLTKVTSEKRILGLC TTINGLSAKTCPIASTENSTPNMTPFCVLSPVKSPHLRKAPTALRDQHKLSTEDSESSPA LGKCGPAYESENHSKDFLNKVFSDPHSRKIEKSGPDCKLRSFHLQSSAAGAKTEEPINGM NWTNTQGTEERTEYLKKVRSILNIVNEGQISLLPHLAADNLDKIHDENGNNLLHIAASKG HAECLQHLTSLMGEDCLNERNTEQLTPAGLAIKNGQLECVRWMVSETEAIAELSCSKDFP SLIHYAGCYGQEKILLWLLQFMQEQGISLDEVDREGNSAVHVASQHGYLGCIQTLVEYGA NVTMQNHAGEKPSQSAERHGHTLCSRYLVVVETCMSLASQVVKLTKQLKEQTVERVTLQS QLQQLLEAQKSEGKSLPSSPSSPSSPASTKSQWKALDTDEESTGKSKVGAQEGIQVLGSL SVSSRARTKGKDEDSDKILRQLLGKEISENVCTQEKLSLEFQDAQASSRNSKKIPLEKRE LKLARLRQLMQRSLSESDTDSNNSEDPKNTPVKRADRPRPQPIVESVENVDSAESLHLMI KKHSLASGRRFPFGMKASKSLDGHSPSPTSESSEPDLDSHGPGLGMTPPTQPSTEATQSS PDSTAAQKVATSPKSALKSPSSKRRTSQNSKLRVTFEEPVVQMEQTGLELNGEKDKDKGR APQRTSESGEQMKRPFGTFRSIMESLSGNQNNNNNYQPASQLKTCTLPLTSLGRKTADAK GNPVSPASKGKNKAA >ENSMUSP00000137549.1 pep:known chromosome:GRCm38:18:52768121:52915613:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000178011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLDEIDFSDDISYSVTSLKTIPALCRRCDSQNEDRSVSSSGWNCGVSTLITNP QKPTGIADVYSKFRPVKRVSPLKHQPETLENNENEDQKNNTVEYQKGGETDQGPQPEELS PEDGVGGLPGKGSEPSQALGELEHYDLDMDEILDVPYIKSSQQLAPLTKVTSEKRILGLC TTINGLSAKTCPIASTENSTPNMTPFCVLSPVKSPHLRKAPTALRDQHKLSTEDSESSPA LGKCGPAYESENHSKDFLNKVFSDPHSRKIEKSGPDCKLRSFHLQSSAAGAKTEEPINGM NWTNTQGTEERTEYLKKVRSILNIVNEGQISLLPHLAADNLDKIHDENGNNLLHIAASKG HAECLQHLTSLMGEDCLNERNTEQLTPAGLAIKNGQLECVRWMVSETEAIAELSCSKDFP SLIHYAGCYGQEKILLWLLQFMQEQGISLDEVDREGNSAVHVASQHGYLGCIQTLVEYGA NVTMQNHAGEKPSQSAERHGHTLCSRYLVVVETCMSLASQVVKLTKQLKEQTVERVTLQS QLQQLLEAQKSEGKSLPSSPSSPSSPASTKSQWKALDTDEESTGKSKVGAQEGIQVLGSL SVSSRARTKGKDEDSDKILRQLLGKEISENVCTQEKLSLEFQDAQASSRNSKKIPLEKRE LKLARLRQLMQRSLSESDTDSNNSEDPKNTPVKRADRPRPQPIVESVENVDSAESLHLMI KKHSLASGRRFPFGMKASKSLDGHSPSPTSESSEPDLDSHGPGLGMTPPTQPSTEATQSS PDSTAAQKVATSPKSALKSPSSKRRTSQNSKLRVTFEEPVVQMEQTGLELNGEKDKDKGR APQRTSESGEQMKRPFGTFRSIMESLSGNQNNNNNYQPASQLKTCTLPLTSLGRKTADAK GNPVSPASKGKNKAA >ENSMUSP00000137201.1 pep:known chromosome:GRCm38:18:52768732:52838040:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000179038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLD >ENSMUSP00000136021.1 pep:known chromosome:GRCm38:18:52837964:52915586:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000177861.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLDEIDFSDDISYSVTSLKTIPALCRRCDSQNEDRSGKDPSVASSIHARTRHL IG >ENSMUSP00000136200.1 pep:known chromosome:GRCm38:18:52837964:52915879:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000178883.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLDEIDFSDDISYSVTSLKTIPALCRRCDSQNEDRSEWSAGVCSLDGE >ENSMUSP00000137282.1 pep:known chromosome:GRCm38:18:52837964:52915879:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000180259.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLDEIDFSDDISYSVTSLKTIPALCRRCDSQNEDRSEWSAGVCSLDGE >ENSMUSP00000136838.1 pep:known chromosome:GRCm38:18:52838010:52915312:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000179625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLDEIDFSDDISYSVTSLKTIPALCRRCDSQNEDRSVSSSGWNCGVSTLITNP QKPTGIADVYSKFRPVKRVSPLKHQPETLENNENEDQKNNTVEYQKGGETDQGPQPEELS PEDGVGGLPGKGSEPSQALGELEHYDLDMDEILDVPYIKSSQQLAPLTKVTSEKRILGLC TTINGLSAKTCPIASTENSTPNMTPFCVLSPVKSPHLRKAPTALRDQHKLSTEDSESSPA LGKCGPAYESENHSKDFLNKVFSDPHSRKIEKSGPDCKLRSFHLQSSAAGAKTEEPINGM NWTNTQGTEERTEYLKKVRSILNIVNEGQISLLNGQLECVRWMVSETEAIAELSCSKDFP SLIHYAGCYGQEKILLWLLQFMQEQGISLDEVDREGNSAVHVASQHGYLGCIQTLVEYGA NVTMQNHAGEKPSQSAERHGHTLCSRYLVVVETCMSLASQVVKLTKQLKEQTVERVTLQS QLQQLLEAQKSEGKSLPSSPSSPSSPASTKSQWKALDTDEESTGKSKVGAQEGIQVLGSL SVSSRARTKGKDEDSDKILRQLLGKEISENVCTQEKLSLEFQDAQASSRNSKKIPLEKRE LKLARLRQLMQRSLSESDTDSNNSEDPKNTPVKRADRPRPQPIVESVENVDSAESLHLMI KKHSLASGRRFPFGMKASKSLDGHSPSPTSESSEPDLDSHGPGLGMTPPTQPSTEATQSS PDSTAAQKVATSPKSALKSPSSKRRTSQNSKLRVTFEEPVVQMEQTGLELNGEKDKDKGR APQRTSESGEQMKRPFGTFRSIMESLSGNQNNNNNYQPASQLKTCTLPLTSLGRKTADAK GNPVSPASKGKNKAA >ENSMUSP00000137107.1 pep:known chromosome:GRCm38:18:52838010:52915312:1 gene:ENSMUSG00000024534.15 transcript:ENSMUST00000179689.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncaip description:synuclein, alpha interacting protein (synphilin) [Source:MGI Symbol;Acc:MGI:1915097] MEAPEYLDLDEIDFSDDISEKILLWLLQFMQEQGISLDEVDREGNSAVHVASQHGYLGCI QTLVEYGANVTMQNHAGEKPSQSAERHGHTLCSRYLVVVETCMSLASQVVKLTKQLKEQT VERVTLQSQLQQLLEAQKSEGKSLPSSPSSPSSPASTKSQWKALDTDEESTGKSKVGAQE GIQVLGSLSVSSRARTKGKDEDSDKILRQLLGKEISENVCTQEKLSLEFQDAQASSRNSK KIPLEKRELKLARLRQLMQRSLSESDTDSNNSEDPKNTPVKRADRPRPQPIVESVENVDS AESLHLMIKKHSLASGRRFPFGMKASKSLDGHSPSPTSESSEPDLDSHGPGLGMTPPTQP STEATQSSPDSTAAQKVATSPKSALKSPSSKRRTSQNSKLRVTFEEPVVQMEQTGLELNG EKDKDKGRAPQRTSESGEQMKRPFGTFRSIMESLSGNQNNNNNYQPASQLKTCTLPLTSL GRKTADAKGNPVSPASKGKNKAA >ENSMUSP00000140266.2 pep:known chromosome:GRCm38:12:86947043:86962667:1 gene:ENSMUSG00000034157.12 transcript:ENSMUST00000189246.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cipc description:CLOCK interacting protein, circadian [Source:MGI Symbol;Acc:MGI:1919185] MQRVPVLRLPAILDMERKIPSRESPRRLSAKPGRGTEMKKLARPLGVVAADSDKDSGFSD GSSECLSSAEQMESEDMLSALGCKREDKRRQPSKAADTALPTLPPMVVMKSVLVKQGSSS SQLQSWTVQPSFEVISAQPQLFVLHPPVPSPVSSCQTGEKKSESRNYLPILNSYTKIAPH PGKRGLNSEDRGTSGVSKKLCTERPGPSLSSSEPAKTGRVLSSPSTPAPPSSKLTEDSTL QGVPSLGAGGSPQTLQPVSSSHVAKAPSLTLASPASPVCASDSTLHGLESSSPLSPLSAS YTSPLWAAEHLCRSPDIFSEQRQNKHRRFQNTLVVLHKSGLLEITLKTKELIRQNQATQA ELDQLKEQTQMFIEATKSRAPQAWAKLQASLTSGSSHSGSDLDTLSDHPDV >ENSMUSP00000140769.1 pep:known chromosome:GRCm38:12:86947043:86963807:1 gene:ENSMUSG00000034157.12 transcript:ENSMUST00000185434.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cipc description:CLOCK interacting protein, circadian [Source:MGI Symbol;Acc:MGI:1919185] MQRVPVLRLPAILDMERKIPSRESPRRLSAKPGRGTEMKKLARPLGVVAADSDKDSGFSV PEPLRNLRVLHFLPTSQKQILK >ENSMUSP00000140097.1 pep:known chromosome:GRCm38:12:86947099:86961967:1 gene:ENSMUSG00000034157.12 transcript:ENSMUST00000191032.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cipc description:CLOCK interacting protein, circadian [Source:MGI Symbol;Acc:MGI:1919185] MQRVPVLRLPAILDMERKIPSRESPRRLSAKPGRGTEMKKLARPLGVVAADSDKDSGFSG >ENSMUSP00000141049.1 pep:known chromosome:GRCm38:12:86947343:86965362:1 gene:ENSMUSG00000034157.12 transcript:ENSMUST00000187814.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cipc description:CLOCK interacting protein, circadian [Source:MGI Symbol;Acc:MGI:1919185] MRLLTRRAGHGAATLALRVIHMQRVPVLRLPAILDMERKIPSRESPRRLSAKPGRGTEMK KLARPLGVVAADSDKDSGFSDGSSECLSSAEQMESEDMLSALGCKREDKRRQPSKAADTA LPTLPPMVVMKSVLVKQGSSSSQLQSWTVQPSFEVISAQPQLFVLHPPVPSPVSSCQTGE KKSESRNYLPILNSYTKIAPHPGKRGLNSEDRGTSGVSKKLCTERPGPSLSSSEPAKTGR VLSSPSTPAPPSSKLTEDSTLQGVPSLGAGGSPQTLQPVSSSHVAKAPSLTLASPASPVC ASDSTLHGLESSSPLSPLSASYTSPLWAAEHLCRSPDIFSEQRQNKHRRFQNTLVVLHKS GLLEITLKTKELIRQNQATQAELDQLKEQTQMFIEATKSRAPQAWAKLQASLTSGSSHSG SDLDTLSDHPDV >ENSMUSP00000139742.1 pep:known chromosome:GRCm38:12:86947418:86960391:1 gene:ENSMUSG00000034157.12 transcript:ENSMUST00000188046.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cipc description:CLOCK interacting protein, circadian [Source:MGI Symbol;Acc:MGI:1919185] MRLLTRRAGHGAATLALRVIHMQRVPVLRLPAILDMERKIPSRESPRRLSAKPGRGTEMK KLARPLGVVAADSDKDSGFSG >ENSMUSP00000140830.1 pep:known chromosome:GRCm38:12:86947454:86960390:1 gene:ENSMUSG00000034157.12 transcript:ENSMUST00000185783.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cipc description:CLOCK interacting protein, circadian [Source:MGI Symbol;Acc:MGI:1919185] XLTRRAGHGAATLALRVIHMQRVPVLRLPAILDMERKIPSRESPRRLSAKPGRGTEMKKL ARPLGVVAADSDKDSGFSG >ENSMUSP00000139553.1 pep:known chromosome:GRCm38:12:86947466:86960307:1 gene:ENSMUSG00000034157.12 transcript:ENSMUST00000186499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cipc description:CLOCK interacting protein, circadian [Source:MGI Symbol;Acc:MGI:1919185] XAGHGAATLALRSQTQVHRLLQRPAVAYFYWSFLLAGWLARRGANDGDARKKVACAKVIH MQRVPVLRLPAILDMERKIPSRESPRRLSAKPGRGTEMKKLARPLGVVAADSDKDSGFSD GSSECLSSAEQ >ENSMUSP00000140595.1 pep:known chromosome:GRCm38:12:86947490:86961883:1 gene:ENSMUSG00000034157.12 transcript:ENSMUST00000190588.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cipc description:CLOCK interacting protein, circadian [Source:MGI Symbol;Acc:MGI:1919185] MQRVPVLRLPAILDMERKIPSRESPRRLSAKPGRGTEMKKLARPLGVVAADSDKDSGFSV PEPLRNLRVLHFLPTSQKQILK >ENSMUSP00000140683.1 pep:known chromosome:GRCm38:12:86952657:86962833:1 gene:ENSMUSG00000034157.12 transcript:ENSMUST00000191463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cipc description:CLOCK interacting protein, circadian [Source:MGI Symbol;Acc:MGI:1919185] MLQVMTSYVIVVFHRVIHMQRVPVLRLPAILDMERKIPSRESPRRLSAKPGRGTEMKKLA RPLGVVAADSDKDSGFSDGSSECLSSAEQMESEDMLSALGCKREDKRRQPSKAADTALPT LPPMVVMKSVLVKQGSSSSQLQSWTVQPSFEVISAQPQLFVLHPPVPSPVSSCQTGEKKS ESRNYLPILNSYTKIAPHPGKRGLNSEDRGTSGVSKKLCTERPGPSLSSSEPAKTGRVLS SPSTPAPPSSKLTEDSTLQGVPSLGAGGSPQTLQPVSSSHVAKAPSLTLASPASPVCASD STLHGLESSSPLSPLSASYTSPLWAAEHLCRSPDIFSEQRQNKHRRFQNTLVVLHKSGLL EITLKTKELIRQNQATQAELDQLKEQTQMFIEATKSRAPQAWAKLQASLTSGSSHSGSDL DTLSDHPDV >ENSMUSP00000038630.4 pep:known chromosome:GRCm38:12:86947343:86965362:1 gene:ENSMUSG00000034157.12 transcript:ENSMUST00000038369.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cipc description:CLOCK interacting protein, circadian [Source:MGI Symbol;Acc:MGI:1919185] MQRVPVLRLPAILDMERKIPSRESPRRLSAKPGRGTEMKKLARPLGVVAADSDKDSGFSD GSSECLSSAEQMESEDMLSALGCKREDKRRQPSKAADTALPTLPPMVVMKSVLVKQGSSS SQLQSWTVQPSFEVISAQPQLFVLHPPVPSPVSSCQTGEKKSESRNYLPILNSYTKIAPH PGKRGLNSEDRGTSGVSKKLCTERPGPSLSSSEPAKTGRVLSSPSTPAPPSSKLTEDSTL QGVPSLGAGGSPQTLQPVSSSHVAKAPSLTLASPASPVCASDSTLHGLESSSPLSPLSAS YTSPLWAAEHLCRSPDIFSEQRQNKHRRFQNTLVVLHKSGLLEITLKTKELIRQNQATQA ELDQLKEQTQMFIEATKSRAPQAWAKLQASLTSGSSHSGSDLDTLSDHPDV >ENSMUSP00000050810.5 pep:known chromosome:GRCm38:4:124880899:124886930:1 gene:ENSMUSG00000028876.7 transcript:ENSMUST00000059343.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha10 description:Eph receptor A10 [Source:MGI Symbol;Acc:MGI:3586824] METGAGPHPLRLFVCLIPLCLALLLGPGRPGTAEEVILLDSKASQAELGWTALPSTGWEE ISGVDEHDRPIRTYQVCNVLEPNQDNWLQTGWISRGRGQRIFVELQFTLRDCSSIPGATG TCKETFNAYYLETETDLGRGRPRLGGNRPRKIDTIAADESFTQGDLGERKMKLNTEVREI GPLSRQGFHLAFQDVGACVALVSVRVYYKQCRATVRGLAAFPATAAESAFSTLVEVAGTC VAHSEGEPSSPPRMHCGADGEWLVPVGRCSCSAGFQEHGDICEGIQGPRGMG >ENSMUSP00000118801.1 pep:known chromosome:GRCm38:4:124885799:124917800:1 gene:ENSMUSG00000028876.7 transcript:ENSMUST00000149146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha10 description:Eph receptor A10 [Source:MGI Symbol;Acc:MGI:3586824] XNRPRKIDTIAADESFTQGDLGERKMKLNTEVREIGPLSRQGFHLAFQDVGACVALVSVR VYYKQCRATVRGLAAFPATAAESAFSTLVEVAGTCVAHSEGEPSSPPRMHCGADGEWLVP VGRCSCSAGFQEHGDICEACPPGFYKVSPRRPLCSPCPEHSLALENASTFCVCQDTYARS PTDPPSASCTRPPSAPRDLQYSLSRSPLALRLRWLPPADSGGRSDVTYSLLCLRCGRDGP AGACQPCGPRVAFVPRQAGLRERAATLLHLRPGARYTVRVAALNGVSGPAAAAGATYAQV TVSTGPGAPWEEDEIRRDRVEPQSVSLSWREPVPAGAPGTNSTEYEIRYYEKGQSEQTYS TVKTGAPAVTVTNLKPATRYVFQIRAASPGPLWEAQSFSPSIEVQTPGEVAPGSRDQSPA VVVTVVTISALLVLGSVMSVLAIWRRPCDGKGSGNAHDEEELYFHFKVPTRRTFLDPQSC GDPLQAVHLFAKELDAKSVTLEKSLGAGRFGDLCCGCLQLPGRQELPVAVHTLRDGCSDS QRLSFLAEALTLGQFDHSHIVRLEGVVTRGNPLMIVTEYMNLGALDDFLRHHEGELVAAQ LMGLLPGLASAMKYLSEMGYVHRGLAARRVLVSSGLLCKISGFGRGPRDRAEAVYTTMSG RSPALWAAPETLQFGHFSSASDVWSFGIVMWEVMAFGERPYWDMSGQDVIKAVEDGFRLP PPRNCPSQLHRLMLECWQKDPSERPRFSQIHSILSKMGQEPEPSKCASTTCLRPPTPLAD RAFSTFPSFGSVGAWLEALDLCRYKDNFSAAGYGSLEAVAEMTAQDLGSLGISSAEHREA LLSGISALQTRVLQLQGQGVQV >ENSMUSP00000102131.1 pep:known chromosome:GRCm38:4:115779834:115781018:-1 gene:ENSMUSG00000044556.3 transcript:ENSMUST00000106521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex38 description:testis expressed 38 [Source:MGI Symbol;Acc:MGI:1922423] MWISLCIGFLGLCSVLIGSCILFLHWKKNLQREERAQQWVEVMRAATFTYSPLLYWINKR RYHGMNVAINTGPPPAVTKTEPEDQNSDSLWELDLSEGRNFVVQDSSPRGEASDLLQHVL GIPKQPQSSKMSQPRTDSPFPLPIFQEVPFALSLCHLPPMLNHSVSYPLANRPERNVPFC SLPTLAHGTNCFNAKPFALEL >ENSMUSP00000052563.9 pep:known chromosome:GRCm38:5:142990893:143112994:-1 gene:ENSMUSG00000045078.12 transcript:ENSMUST00000053498.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf216 description:ring finger protein 216 [Source:MGI Symbol;Acc:MGI:1344349] MAEGNNKEEVIHLNNFPCHRGKEWMAVREGPITISDSSDEEGIPMLVTPATEQQEDDLDD DVILTEDDSEDEYGGFLDLESGKKEGEAKPGPSSKQTADDIVNPRLEQKVIILGENGLLF PESEPLEVQNQSSEDSETELLSNPGEPAASVDDQLIGEEYWLDHPYFQAPNPQPQERTNQ VVPQERHSESEMGPMFFRHDFPEPAFPRPEPQQEGIPGPASPQPAHPLGELEDQQLAIDE DPGPAFPLSGPQEANLANMWEQEAAEVDQDLIPLLVKETEARFPDVASGYVEEIIHLKNY YDLNVLCNFLLENPDYPKREDRLIIHPSSSLLASQDDAKLPKIDFFDYSKLTPLDQRCFI QAADLLMADFKMLSSQDIKWALHELKGHYAITRKAFSDAIKKWQELSPETSGKRKKRKEM NQYSFIDFKFEQGNIKIEKRMFFLENKRRHCRYYDHQALLPAVKQEQEFYEQKIKEMAEH EDFLLALQMNEEQYQKDGQLIECRCCYGEFPFEELTQCADAHLFCKECLIRYAQEAVFGS GKSELSCMEGSCTCSFPTSELEKVLPQTILYKYYERKAEEEVAAAYADELVRCPSCSFPA LLDSDVKRFSCPNPRCRKETCRKCQGLWKEHNGLTCEELAEKDDIKYRTSIEEKMTAARI RKCHKCGTGLIKSEGCNRMSCRCGAQMCYLCRVSINGYDHFCQHPRSPGAPCQECSRCSL WTDPTEDDEKLIEEIQKEAEEEQKRKNGENTFKRIGPPLEKPAEKVQRVEALPRPVPQNL HPQMPPYAFVHPPFPLPPVRPVFNNFPINMGPVPAPYVPPLPNVRVNYDFGHMHVPLEHN LPMHFGPQPRHRF >ENSMUSP00000143705.1 pep:known chromosome:GRCm38:5:142991820:143112980:-1 gene:ENSMUSG00000045078.12 transcript:ENSMUST00000200607.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf216 description:ring finger protein 216 [Source:MGI Symbol;Acc:MGI:1344349] MAEGNNKEEVIHLNNFPCHRGKEWMAVREGPITISDSSDEEGIPMLVTPATEQQEDDLDD DVILTETHKPQTSRPNLIKPAAQWQDLNRLGEERPRKSRADFEADIHNYFSFCNNSLFGS GAQDDSEDEYGGFLDLESGKKEGEAKPGPSSKQTADDIVNPRLEQKVIILGENGLLFPES EPLEVQNQSSEDSETELLSNPGEPAASVDDQLIGEEYWLDHPYFQAPNPQPQERTNQVVP QERHSESEMGPMFFRHDFPEPAFPRPEPQQEGIPGPASPQPAHPLGELEDQQLAIDEDPG PAFPLSGPQEANLANMWEQEAAEVDQDLIPLLVKETEARFPDVASGYVEEIIHLKNYYDL NVLCNFLLENPDYPKREDRLIIHPSSSLLASQDDAKLPKIDFFDYSKLTPLDQRCFIQAA DLLMADFKMLSSQDIKWALHELKGHYAITRKAFSDAIKKWQELSPETSGKRKKRKEMNQY SFIDFKFEQGNIKIEKRMFFLENKRRHCRYYDHQALLPAVKQEQEFYEQKIKEMAEHEDF LLALQMNEEQYQKDGQLIECRCCYGEFPFEELTQCADAHLFCKECLIRYAQEAVFGSGKS ELSCMEGSCTCSFPTSELEKVLPQTILYKYYERKAEEEVAAAYADELVRCPSCSFPALLD SDVKRFSCPNPRCRKETCRKCQGLWKEHNGLTCEELAEKDDIKYRTSIEEKMTAARIRKC HKCGTGLIKSEGCNRMSCRCGAQMCYLCRVSINGYDHFCQHPRSPGAPCQECSRCSLWTD PTEDDEKLIEEIQKEAEEEQKRKNGENTFKRIGPPLEKPAEKVQRVEALPRPVPQNLHPQ MPPYAFVHPPFPLPPVRPVFNNFPINMGPVPAPYVPPLPNVRVNYDFGHMHVPLEHNLPM HFGPQPRHRF >ENSMUSP00000143242.1 pep:known chromosome:GRCm38:5:142992617:143098505:-1 gene:ENSMUSG00000045078.12 transcript:ENSMUST00000197296.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf216 description:ring finger protein 216 [Source:MGI Symbol;Acc:MGI:1344349] MAEGNNKEEVIHLNNFPCHRGKEWMAVREGPITISDSSDEEGIPMLVTPATEQQEDDLDD DVILTETL >ENSMUSP00000142355.1 pep:known chromosome:GRCm38:5:142992649:143098505:-1 gene:ENSMUSG00000045078.12 transcript:ENSMUST00000200430.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf216 description:ring finger protein 216 [Source:MGI Symbol;Acc:MGI:1344349] MAEGNNKEEVIHLNNFPCHRGKDFVIFFWKTQIIQREKTGLLSTPAAACLPARMTRSCLK >ENSMUSP00000079124.4 pep:known chromosome:GRCm38:11:117849251:117855732:1 gene:ENSMUSG00000017716.15 transcript:ENSMUST00000081387.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc5 description:baculoviral IAP repeat-containing 5 [Source:MGI Symbol;Acc:MGI:1203517] MGAPALPQIWQLYLKNYRIATFKNWPFLEDCACTPERMAEAGFIHCPTENEPDLAQCFFC FKELEGWEPDDNPIEEHRKHSPGCAFLTVKKQMEELTVSEFLKLDRQRAKNKIAKETNNK QKEFEETAKTTRQSIEQLAA >ENSMUSP00000091433.4 pep:known chromosome:GRCm38:11:117849319:117855743:1 gene:ENSMUSG00000017716.15 transcript:ENSMUST00000093906.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc5 description:baculoviral IAP repeat-containing 5 [Source:MGI Symbol;Acc:MGI:1203517] MGAPALPQIWQLYLKNYRIATFKNWPFLEDCACTPERMAEAGFIHCPTENEPDLAQCFFC FKELEGWEPDDNPIEEHRKHSPGCAFLTVKKQMEELTVSEFLKLDRQRAKNKIVCMIENK D >ENSMUSP00000020579.7 pep:known chromosome:GRCm38:11:40701395:40733422:-1 gene:ENSMUSG00000020330.16 transcript:ENSMUST00000020579.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmmr description:hyaluronan mediated motility receptor (RHAMM) [Source:MGI Symbol;Acc:MGI:104667] MSFPKAPLKRFNDPSGCAPSPGAYDVKTSEATKGPVSFQKSQRFKNQRESQQNLNIDKDT TLLASAKKAKKSVSKKDSQKNDKDVKRLEKEIRALLQERGTQDKRIQDMESELEKTEAKL NAAVREKTSLSASNASLEKRLTELTRANELLKAKFSEDGHQKNMRALSLELMKLRNKRET KMRSMMVKQEGMELKLQATQKDLTESKGKIVQLEGKLVSIEKEKIDEKCETEKLLEYIQE ISCASDQVEKCKVDIAQLEEDLKEKDREILSLKQSLEENITFSKQIEDLTVKCQLLETER DNLVSKDRERAETLSAEMQILTERLALERQEYEKLQQKELQSQSLLQQEKELSARLQQQL CSFQEEMTSEKNVFKEELKLALAELDAVQQKEEQSERLVKQLEEETKSTAEQLTRLDNLL REKEVELEKHIAAHAQAILIAQEKYNDTAQSLRDVTAQLESVQEKYNDTAQSLRDVTAQL ESEQEKYNDTAQSLRDVTAQLESEQEKYNDTAQSLRDVTAQLESVQEKYNDTAQSLRDVT AQLESYKSSTLKEIEDLKLENLTLQEKVAMAEKSVEDVQQQILTAESTNQEYARMVQDLQ NRSTLKEEEIKEITSSFLEKITDLKNQLRQQDEDFRKQLEEKGKRTAEKENVMTELTMEI NKWRLLYEELYEKTKPFQQQLDAFEAEKQALLNEHGATQEQLNKIRDSYAQLLGHQNLKQ KIKHVVKLKDENSQLKSEVSKLRSQLVKRKQNELRLQGELDKALGIRHFDPSKAFCHASK ENFTPLKEGNPNCC >ENSMUSP00000086139.4 pep:known chromosome:GRCm38:5:8072352:8367982:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000088761.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] MQAAAAASFWLLCVLGTCPLARCGRAGVASLKGLERGKENRFLERQSIIPLRLIYRLGGE DETQHNQLDTRVRGDPGGPQLTHVDKASFRVDAFGTSFVLDVLLNHELLSSGYVERQIEH GGKVVENKGGEHCYYQGQIRGNPVSFVALSTCHGLHGMFYDGNHTYLIEPEENEKSQESS HCHSVYKSRQFEFPLDDLPSEFQRVNITPPQFILKPRLKRRKRQLLRFPRNVEEETKYIE LMIVNDHLMFKKHRLSVVYTNTYAKSVVNMADVIYKDQLKTRIVLVAMETWAADNKFAIS ENPLITLREFMKYRRDFIKEKADAVHLFSGSQFESSRSGAAYIGGICSLLRGGGVNEFGK TDLMAVTLAQSLAHNVGIISDKRKLASGECKCEDTWSGCIMGDTGYYLPKKFTQCNVEEY HDFLNSGGGACLFNKPSKLLDPPECGNGFIETGEECDCGTPAECALEGAECCKKCTLTQD SQCSDGLCCKKCKFQPLGTVCREAVNDCDIREICSGNSSQCAPNVHKMDGYSCDGTQGIC FGGRCKTRDRQCKYIWGQKVTASDRYCYEKLNIEGTEKGNCGKDKDTWTQCNKRDVLCGY LLCTNIGNIPRLGELDGEITSTLVVQQGRTLNCSGAHVKLEEDVDLGYVEDGTPCGPQMM CLEHRCLPVASFNFSTCSSSKAGTVCSGNGVCSNELKCVCNRHWTGADCGTHFPHNDDAK TGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRQLPQGDYVKKPGDGD SFYSDFPPGGSTNSASSSKKRSNGLSHSWSERIPDTKHISDICENGRPRSNSWQGNMGGN KKKIRGKRFRPRSNSTETLSPAKSPSSSTGSIASSRKYPYPMPPLPDEGKTAGRQSARLW ETSI >ENSMUSP00000111044.1 pep:known chromosome:GRCm38:5:8072352:8367982:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000115386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] MQAAAAASFWLLCVLGTCPLARCGRAGVASLKGLERGKENRFLERQSIIPLRLIYRLGGE DETQHNQLDTRVRGDPGGPQLTHVDKASFRVDAFGTSFVLDVLLNHELLSSGYVERQIEH GGKVVENKGGEHCYYQGQIRGNPVSFVALSTCHGLHGMFYDGNHTYLIEPEENEKSQESS HCHSVYKSRQFEFPLDDLPSEFQRVNITPPQFILKPRLKRRKRQLLRFPRNVEEETKYIE LMIVNDHLMFKKHRLSVVYTNTYAKSVVNMADVIYKDQLKTRIVLVAMETWAADNKFAIS ENPLITLREFMKYRRDFIKEKADAVHLFSGSQFESSRSGAAYIGGICSLLRGGGVNEFGK TDLMAVTLAQSLAHNVGIISDKRKLASGECKCEDTWSGCIMGDTGYYLPKKFTQCNVEEY HDFLNSGGGACLFNKPSKLLDPPECGNGFIETGEECDCGTPAECALEGAECCKKCTLTQD SQCSDGLCCKKCKFQPLGTVCREAVNDCDIREICSGNSSQCAPNVHKMDGYSCDGTQGIC FGGRCKTRDRQCKYIWGQKVTASDRYCYEKLNIEGTEKGNCGKDKDTWTQCNKRDVLCGY LLCTNIGNIPRLGELDGEITSTLVVQQGRTLNCSGAHVKLEEDVDLGYVEDGTPCGPQMM CLEHRCLPVASFNFSTCSSSKAGTVCSGNGVCSNELKCVCNRHWTGADCGTHFPHNDDAK TGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRSNGLSHSWSERIPDT KHISDICENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTEYLNPWFKRDYNVAKWVEDV NKNTEGPYFRTLSPAKSPSSSTGSIASSRKYPYPMPPLPDEGKTAGRQSARLWETSI >ENSMUSP00000055000.7 pep:known chromosome:GRCm38:5:8072352:8367982:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000050166.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] MQAAAAASFWLLCVLGTCPLARCGRAGVASLKGLERGKENRFLERQSIIPLRLIYRLGGE DETQHNQLDTRVRGDPGGPQLTHVDKASFRVDAFGTSFVLDVLLNHELLSSGYVERQIEH GGKVVENKGGEHCYYQGQIRGNPVSFVALSTCHGLHGMFYDGNHTYLIEPEENEKSQESS HCHSVYKSRQFEFPLDDLPSEFQRVNITPPQFILKPRLKRRKRQLLRFPRNVEEETKYIE LMIVNDHLMFKKHRLSVVYTNTYAKSVVNMADVIYKDQLKTRIVLVAMETWAADNKFAIS ENPLITLREFMKYRRDFIKEKADAVHLFSGSQFESSRSGAAYIGGICSLLRGGGVNEFGK TDLMAVTLAQSLAHNVGIISDKRKLASGECKCEDTWSGCIMGDTGYYLPKKFTQCNVEEY HDFLNSGGGACLFNKPSKLLDPPECGNGFIETGEECDCGTPAECALEGAECCKKCTLTQD SQCSDGLCCKKCKFQPLGTVCREAVNDCDIREICSGNSSQCAPNVHKMDGYSCDGTQGIC FGGRCKTRDRQCKYIWGQKVTASDRYCYEKLNIEGTEKGNCGKDKDTWTQCNKRDVLCGY LLCTNIGNIPRLGELDGEITSTLVVQQGRTLNCSGAHVKLEEDVDLGYVEDGTPCGPQMM CLEHRCLPVASFNFSTCSSSKAGTVCSGNGVCSNELKCVCNRHWTGADCGTHFPHNDDAK TGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRSNGLSHSWSERIPDT KHISDICENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTETLSPAKSPSSSTGSIASSR KYPYPMPPLPDEGKTAGRQSARLWETSI >ENSMUSP00000122652.1 pep:known chromosome:GRCm38:5:8077839:8121095:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000124121.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] XITSTLVVQQGRTLNCSGAHVKLEEDVDLGYVEDGTPCGPQMMCLEHRCLPVASFNFSTC SSSKAGTVCSGNGVCSNELKCVCNRHWTGADCGTHFPHNDDAKTGITLSGNGVAGTNIII GIIAGTILVLALILGITAWGYKNYREQRSNGLSHSWSERIPDTKHISDICENGRPRSNSW QGNMGGNKKKIRGKRFRPRSNSTETLSPAKSPSSSTGSIASSRKYPYPMPPLPDEGKTAG RQSARLWETSI >ENSMUSP00000142580.1 pep:known chromosome:GRCm38:5:8078686:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000197700.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKFPPVPSHII PLVRTFHYFAAGQMDSLILGVKGFQTQNIFQTSVKMGDLAVTPGKVTWEATKRKSEGKDL DLDLTQLRPCHLPSLLLHQLGLLPPAENTRTLCLRFQTRGRQRADRAPGYGRHPF >ENSMUSP00000138353.1 pep:known chromosome:GRCm38:5:8078686:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000144241.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKQLPQGDYVK KPGDGDSFYSDFPPGGSTNSASSSKKRSNGLSHSWSERIPDTKHISDICENGRPRSNSWQ GNMGGNKKKIRGKRFRPRSNSTDLGIITLP >ENSMUSP00000119409.1 pep:known chromosome:GRCm38:5:8082143:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000154935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRQLP QGDYVKKPGDGDSFYSDFPPGGSTNSASSSKKRSAFLSHFQISTCSITHYSISQNISLFC SRSNGLSHSWSERIPDTKHISDICENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTERE PQAPEPGHSLAQTIPSQGISPGGSDSPQTGSLDHSSQDGPHQQDRTLSPAKSPSSSTGSI ASSRKYPYPMPPLPDEGKTAGRQSARV >ENSMUSP00000116736.1 pep:known chromosome:GRCm38:5:8082143:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000139048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRQLP QGDYVKKPGDGDSFYSDFPPGGSTNSASSSKKRSNGLSHSWSERIPDTKHISDICENGRP RSNSWQGNMGGNKKKIRGKRFRPRSNSTEREPQAPEPGHSLAQTIPSQGISPGGSDSPQT GSLDHRTLSPAKSPSSSTGSIASSRKYPYPMPPLPDEGKTAGRQSARV >ENSMUSP00000115775.1 pep:known chromosome:GRCm38:5:8082143:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000139841.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKSNGLSHSWS ERIPDTKHISDICENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTEREPQAPEPGHSLA QTIPSQGISPGGSDSPQTGSLDHRTLSPAKSPSSSTGSIASSRKYPYPMPPLPDEGKTAG RQSARV >ENSMUSP00000120995.1 pep:known chromosome:GRCm38:5:8082143:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000153427.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKQLPQGDYVK KPGDGDSFYSDFPPGGSTNSASSSKKRSNGLSHSWSERIPDTKHISDICENGRPRSNSWQ GNMGGNKKKIRGKRFRPRSNSTEREPQAPEPGHSLAQTIPSQGISPGGSDSPQTGSLDHR YLNPWFKRDYNVAKWVEDVNKNTEGPYFRTLSPAKSPSSSTGSIASSRKYPYPMPPLPDE GKTAGRQSARV >ENSMUSP00000116422.1 pep:known chromosome:GRCm38:5:8082143:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000136524.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRSNG LSHSWSERIPDTKHISDICENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTEYLNPWFK RDYNVAKWVEDVNKNTEGPYFSSQDGPHQQDRTLSPAKSPSSSTGSIASSRKYPYPMPPL PDEGKTAGRQSARV >ENSMUSP00000123196.1 pep:known chromosome:GRCm38:5:8082143:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000153889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRQLP QGDYVKKPGDGDSFYSDFPPGGSTNSASSSKKRSNGLSHSWSERIPDTKHISDICENGRP RSNSWQGNMGGNKKKIRGKRFRPRSNSTETLSPAKSPSSSTGSIASSRKYPYPMPPLPDE GKTAGRQSARV >ENSMUSP00000122758.1 pep:known chromosome:GRCm38:5:8082143:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000123168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRSNG LSHSWSERIPDTKHISDICENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTDSQDGPHQ QDRTLSPAKSPSSSTGSIASSRKYPYPMPPLPDEGKTAGRQSARV >ENSMUSP00000121156.1 pep:known chromosome:GRCm38:5:8082143:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000130315.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRSNG LSHSWSERIPDTKHISDICENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTEREPQAPE PGHSLAQTIPSQGISPGGSDSPQTGSLDHRTLSPAKSPSSSTGSIASSRKYPYPMPPLPD EGKTAGRQSARV >ENSMUSP00000122426.1 pep:known chromosome:GRCm38:5:8082143:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000136808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRQLP QGDYVKKPGDGDSFYSDFPPGGSTNSASSSKKRSAFLSHFQISTCSITHYSISQNISLFC SRSNGLSHSWSERIPDTKHISDICENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTEYL NPWFKRDYNVAKWVEDVNKNTEGPYFRTLSPAKSPSSSTGSIASSRKYPYPMPPLPDEGK TAGRQSARV >ENSMUSP00000118571.1 pep:known chromosome:GRCm38:5:8082143:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000126384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRQLP QGDYVKKPGDGDSFYSDFPPGGSTNSASSSKKRSAFLSHFQISTCSITHYSISQNISLFC SRSNGLSHSWSERIPDTKHISDICENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTETL SPAKSPSSSTGSIASSRKYPYPMPPLPDEGKTAGRQSARV >ENSMUSP00000049120.7 pep:known chromosome:GRCm38:5:8092260:8368077:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000046838.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] MQAAAAASFWLLCVLGTCPLARCGRAGVASLKGLERGKENRFLERQSIIPLRLIYRLGGE DETQHNQLDTRVRGDPGGPQLTHVDKASFRVDAFGTSFVLDVLLNHELLSSGYVERQIEH GGKVVENKGGEHCYYQGQIRGNPVSFVALSTCHGLHGMFYDGNHTYLIEPEENEKSQESS HCHSVYKSRQFEFPLDDLPSEFQRVNITPPQFILKPRLKRRKRQLLRFPRNVEEETKYIE LMIVNDHLMFKKHRLSVVYTNTYAKSVVNMADVIYKDQLKTRIVLVAMETWAADNKFAIS ENPLITLREFMKYRRDFIKEKADAVHLFSGSQFESSRSGAAYIGGICSLLRGGGVNEFGK TDLMAVTLAQSLAHNVGIISDKRKLASGECKCEDTWSGCIMGDTGYYLPKKFTQCNVEEY HDFLNSGGGACLFNKPSKLLDPPECGNGFIETGEECDCGTPAECALEGAECCKKCTLTQD SQCSDGLCCKKCKFQPLGTVCREAVNDCDIREICSGNSSQCAPNVHKMDGYSCDGTQGIC FGGRCKTRDRQCKYIWGQKVTASDRYCYEKLNIEGTEKGNCGKDKDTWTQCNKRDVLCGY LLCTNIGNIPRLGELDGEITSTLVVQQGRTLNCSGAHVKLEEDVDLGYVEDGTPCGPQMM CLEHRCLPVASFNFSTCSSSKAGTVCSGNGVCSNELKCVCNRHWTGADCGTHFPHNDDAK TGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRQLPQGDYVKKPGDGD SFYSDFPPGGSTNSASSSKKRSNGLSHSWSERIPDTKHISDICENGRPRSNSWQGNMGGN KKKIRGKRFRPRSNSTE >ENSMUSP00000143097.1 pep:known chromosome:GRCm38:5:8092323:8116605:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000199853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] CGTHFPHNDDAKTGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKNYREQRFPP VPSHIIPLVRTFHYFAAGQMDSLILGVKGFQTQNIFQTSVKMGDLAVTPGKVTWEATKRK SEGKDLDLDLTQLSKLYL >ENSMUSP00000111043.1 pep:known chromosome:GRCm38:5:8144348:8368081:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000115385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] MQAAAAASFWLLCVLGTCPLARCGRAGVASLKGLERGKENRFLERQSIIPLRLIYRLGGE DETQHNQLDTRVRGDPGGPQLTHVDKASFRVDAFGTSFVLDVLLNHELLSSGYVERQIEH GGKVVENKGGEHCYYQGQIRGNPVSFVALSTCHGLHGMFYDGNHTYLIEPEENEKSQESS HCHSVYKSRQFEFPLDDLPSEFQRVNITPPQFILKPRLKRRKRQLLRFPRNVEEETKYIE LMIVNDHLMFKKHRLSVVYTNTYAKSVVNMADVIYKDQLKTRIVLVAMETWAADNKFAIS ENPLITLREFMKYRRDFIKEKADAVHLFSYVTF >ENSMUSP00000111046.2 pep:known chromosome:GRCm38:5:8072352:8368081:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000115388.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] MQAAAAASFWLLCVLGTCPLARCGRAGVASLKGLERGKENRFLERQSIIPLRLIYRLGGE DETQHNQLDTRVRGDPGGPQLTHVDKASFRVDAFGTSFVLDVLLNHELLSSGYVERQIEH GGKVVENKGGEHCYYQGQIRGNPVSFVALSTCHGLHGMFYDGNHTYLIEPEENEKSQESS HCHSVYKSRQFEFPLDDLPSEFQRVNITPPQFILKPRLKRRKRQLLRFPRNVEEETKYIE LMIVNDHLMFKKHRLSVVYTNTYAKSVVNMADVIYKDQLKTRIVLVAMETWAADNKFAIS ENPLITLREFMKYRRDFIKEKADAVHLFSGSQFESSRSGAAYIGGICSLLRGGGVNEFGK TDLMAVTLAQSLAHNVGIISDKRKLASGECKCEDTWSGCIMGDTGYYLPKKFTQCNVEEY HDFLNSGGGACLFNKPSKLLDPPECGNGFIETGEECDCGTPAECALEGAECCKKCTLTQD SQCSDGLCCKKCKFQPLGTVCREAVNDCDIREICSGNSSQCAPNVHKMDGYSCDGTQGIC FGGRCKTRDRQCKYIWGQKVTASDRYCYEKLNIEGTEKGNCGKDKDTWTQCNKRDVLCGY LLCTNIGNIPRLGELDGEITSTLVVQQGRTLNCSGAHVKLEEDVDLGYVEDGTPCGPQMM CLEHRCLPVASFNFSTCSSSKAGTVCSGNGVCSNELKCVCNRHWTGADCGTHFPHNDDAK TGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKSNGLSHSWSERIPDTKHISDI CENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTEREPQAPEPGHSLAQTIPSQGISPGG SDSPQTGSLDHRTLSPAKSPSSSTGSIASSRKYPYPMPPLPDEGKTAGRQSARLWETSI >ENSMUSP00000086122.5 pep:known chromosome:GRCm38:5:8072352:8368081:-1 gene:ENSMUSG00000040537.17 transcript:ENSMUST00000088744.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam22 description:a disintegrin and metallopeptidase domain 22 [Source:MGI Symbol;Acc:MGI:1340046] MQAAAAASFWLLCVLGTCPLARCGRAGVASLKGLERGKENRFLERQSIIPLRLIYRLGGE DETQHNQLDTRVRGDPGGPQLTHVDKASFRVDAFGTSFVLDVLLNHELLSSGYVERQIEH GGKVVENKGGEHCYYQGQIRGNPVSFVALSTCHGLHGMFYDGNHTYLIEPEENEKSQESS HCHSVYKSRQFEFPLDDLPSEFQRVNITPPQFILKPRLKRRKRQLLRFPRNVEEETKYIE LMIVNDHLMFKKHRLSVVYTNTYAKSVVNMADVIYKDQLKTRIVLVAMETWAADNKFAIS ENPLITLREFMKYRRDFIKEKADAVHLFSGSQFESSRSGAAYIGGICSLLRGGGVNEFGK TDLMAVTLAQSLAHNVGIISDKRKLASGECKCEDTWSGCIMGDTGYYLPKKFTQCNVEEY HDFLNSGGGACLFNKPSKLLDPPECGNGFIETGEECDCGTPAECALEGAECCKKCTLTQD SQCSDGLCCKKCKFQPLGTVCREAVNDCDIREICSGNSSQCAPNVHKMDGYSCDGTQGIC FGGRCKTRDRQCKYIWGQKVTASDRYCYEKLNIEGTEKGNCGKDKDTWTQCNKRDVLCGY LLCTNIGNIPRLGELDGEITSTLVVQQGRTLNCSGAHVKLEEDVDLGYVEDGTPCGPQMM CLEHRCLPVASFNFSTCSSSKAGTVCSGNGVCSNELKCVCNRHWTGADCGTHFPHNDDAK TGITLSGNGVAGTNIIIGIIAGTILVLALILGITAWGYKQLPQGDYVKKPGDGDSFYSDF PPGGSTNSASSSKKRSAFLSHFQISTCSITHYSISQNISLFCSRSNGLSHSWSERIPDTK HISDICENGRPRSNSWQGNMGGNKKKIRGKRFRPRSNSTETLSPAKSPSSSTGSIASSRK YPYPMPPLPDEGKTAGRQSARLWETSI >ENSMUSP00000035452.6 pep:known chromosome:GRCm38:17:35220175:35235792:-1 gene:ENSMUSG00000042419.8 transcript:ENSMUST00000048994.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbil1 description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor like 1 [Source:MGI Symbol;Acc:MGI:1340031] MSNPSPQAPEEEASTSVCRPQSCSMASASRRHRRERRFRRYLSAGRLVRAQALLQRHPGL DVDAGQPPPLHRACARHDAPALCLLLRLGADPAHQDRHGDTALHAAARQGPDAYTDFFLP LLSRCPSAMGIKNKDGETPGQILGWGPPWDSAEEEEDEEVSKEREWRQKLQGELEDEWQE VIGRFEDDASRDTQEPESFSAWSERLAREHAQKQRQQLEAEGSCRPPRAEGSSHSWRQHE EEQRLFRERARAKEKELCESRARRAQEAQRDKGPEPPRAGPRAEHPRGAERGSLWRFGDV PWPCPGGGDPEAMAAALVARGPPLEEQGALKRYLRVQQVRWHPDRFLQRFRSQIETWELG RVMGAVTALSQALNRHAEALK >ENSMUSP00000038063.3 pep:known chromosome:GRCm38:4:106561038:106589113:1 gene:ENSMUSG00000034926.3 transcript:ENSMUST00000047973.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhcr24 description:24-dehydrocholesterol reductase [Source:MGI Symbol;Acc:MGI:1922004] MEPAVSLAVCALLFLLWVRVKGLEFVLIHQRWVFVCLFLLPLSLIFDIYYYVRAWVVFKL SSAPRLHEQRVRDIQKQVREWKEQGSKTFMCTGRPGWLTVSLRVGKYKKTHKNIMINLMD ILEVDTKKQIVRVEPLVSMGQVTALLNSIGWTLPVLPELDDLTVGGLIMGTGIESSSHKY GLFQHICTAYELILADGSFVRCTPSENSDLFYAVPWSCGTLGFLVAAEIRIIPAKKYVKL RFEPVRGLEAICEKFTRESQRLENHFVEGLLYSLDEAVIMTGVMTDDVEPSKLNSIGSYY KPWFFKHVENYLKTNREGLEYIPLRHYYHRHTRSIFWELQDIIPFGNNPIFRYLFGWMVP PKISLLKLTQGETLRKLYEQHHVVQDMLVPMKCMSQALHTFQNDIHVYPIWLCPFILPSQ PGLVHPKGDEAELYVDIGAYGEPRVKHFEARSCMRQLEKFVRSVHGFQMLYADCYMNREE FWEMFDGSLYHKLRKQLGCQDAFPEVYDKICKAARH >ENSMUSP00000050771.9 pep:known chromosome:GRCm38:11:69733997:69758637:-1 gene:ENSMUSG00000005198.15 transcript:ENSMUST00000058470.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2a description:polymerase (RNA) II (DNA directed) polypeptide A [Source:MGI Symbol;Acc:MGI:98086] MHGGGPPSGDSACPLRTIKRVQFGVLSPDELKRMSVTEGGIKYPETTEGGRPKLGGLMDP RQGVIERTGRCQTCAGNMTECPGHFGHIELAKPVFHVGFLVKTMKVLRCVCFFCSKLLVD SNNPKIKDILAKSKGQPKKRLTHVYDLCKGKNICEGGEEMDNKFGVEQPEGDEDLTKEKG HGGCGRYQPRIRRSGLELYAEWKHVNEDSQEKKILLSPERVHEIFKRISDEECFVLGMEP RYARPEWMIVTVLPVPPLSVRPAVVMQGSARNQDDLTHKLADIVKINNQLRRNEQNGAAA HVIAEDVKLLQFHVATMVDNELPGLPRAMQKSGRPLKSLKQRLKGKEGRVRGNLMGKRVD FSARTVITPDPNLSIDQVGVPRSIAANMTFAEIVTPFNIDRLQELVRRGNSQYPGAKYII RDNGDRIDLRFHPKPSDLHLQTGYKVERHMCDGDIVIFNRQPTLHKMSMMGHRVRILPWS TFRLNLSVTTPYNADFDGDEMNLHLPQSLETRAEIQELAMVPRMIVTPQSNRPVMGIVQD TLTAVRKFTKRDVFLERGEVMNLLMFLSTWDGKVPQPAILKPRPLWTGKQIFSLIIPGHI NCIRTHSTHPDDEDSGPYKHISPGDTKVVVENGELIMGILCKKSLGTSAGSLVHISYLEM GHDITRLFYSNIQTVINNWLLIEGHTIGIGDSIADSKTYQDIQNTIKKAKQDVIEVIEKA HNNELEPTPGNTLRQTFENQVNRILNDARDKTGSSAQKSLSEYNNFKSMVVSGAKGSKIN ISQVIAVVGQQNVEGKRIPFGFKHRTLPHFIKDDYGPESRGFVENSYLAGLTPTEFFFHA MGGREGLIDTAVKTAETGYIQRRLIKSMESVMVKYDATVRNSINQVVQLRYGEDGLAGES VEFQNLATLKPSNKAFEKKFRFDYTNERALRRTLQEDLVKDVLSNAHIQNELEREFERMR EDREVLRVIFPTGDSKVVLPCNLLRMIWNAQKIFHINPRLPSDLHPIKVVEGVKELSKKL VIVNGDDPLSRQAQENATLLFNIHLRSTLCSRRMAEEFRLSGEAFDWLLGEIESKFNQAI AHPGEMVGALAAQSLGEPATQMTLNTFHYAGVSAKNVTLGVPRLKELINISKKPKTPSLT VFLLGQSARDAERAKDILCRLEHTTLRKVTANTAIYYDPNPQSTVVAEDQEWVNVYYEMP DFDVARISPWLLRVELDRKHMTDRKLTMEQIAEKINAGFGDDLNCIFNDDNAEKLVLRIR IMNSDENKMQEEEEVVDKMDDDVFLRCIESNMLTDMTLQGIEQISKVYMHLPQTDNKKKI IITEDGEFKALQEWILETDGVSLMRVLSEKDVDPVRTTSNDIVEIFTVLGIEAVRKALER ELYHVISFDGSYVNYRHLALLCDTMTCRGHLMAITRHGVNRQDTGPLMKCSFEETVDVLM EAAAHGESDPMKGVSENIMLGQLAPAGTGCFDLLLDAEKCKYGMEIPTNIPGLGAAGPTG MFFGSAPSPMGGISPAMTPWNQGATPAYGAWSPSVGSGMTPGAAGFSPSAASDASGFSPG YSPAWSPTPGSPGSPGPSSPYIPSPGGAMSPSYSPTSPAYEPRSPGGYTPQSPSYSPTSP SYSPTSPSYSPTSPNYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSP TSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPS YSPTSPNYSPTSPNYTPTSPSYSPTSPSYSPTSPNYTPTSPNYSPTSPSYSPTSPSYSPT SPSYSPSSPRYTPQSPTYTPSSPSYSPSSPSYSPTSPKYTPTSPSYSPSSPEYTPASPKY SPTSPKYSPTSPKYSPTSPTYSPTTPKYSPTSPTYSPTSPVYTPTSPKYSPTSPTYSPTS PKYSPTSPTYSPTSPKGSTYSPTSPGYSPTSPTYSLTSPAISPDDSDEEN >ENSMUSP00000071200.3 pep:known chromosome:GRCm38:11:69734410:69758223:-1 gene:ENSMUSG00000005198.15 transcript:ENSMUST00000071213.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2a description:polymerase (RNA) II (DNA directed) polypeptide A [Source:MGI Symbol;Acc:MGI:98086] MHGGGPPSGDSACPLRTIKRVQFGVLSPDELKRMSVTEGGIKYPETTEGGRPKLGGLMDP RQGVIERTGRCQTCAGNMTECPGHFGHIELAKPVFHVGFLVKTMKVLRCVCFFCSKLLVD SNNPKIKDILAKSKGQPKKRLTHVYDLCKGKNICEGGEEMDNKFGVEQPEGDEDLTKEKG HGGCGRYQPRIRRSGLELYAEWKHVNEDSQEKKILLSPERVHEIFKRISDEECFVLGMEP RYARPEWMIVTVLPVPPLSVRPAVVMQGSARNQDDLTHKLADIVKINNQLRRNEQNGAAA HVIAEDVKLLQFHVATMVDNELPGLPRAMQKSGRPLKSLKQRLKGKEGRVRGNLMGKRVD FSARTVITPDPNLSIDQVGVPRSIAANMTFAEIVTPFNIDRLQELVRRGNSQYPGAKYII RDNGDRIDLRFHPKPSDLHLQTGYKVERHMCDGDIVIFNRQPTLHKMSMMGHRVRILPWS TFRLNLSVTTPYNADFDGDEMNLHLPQSLETRAEIQELAMVPRMIVTPQSNRPVMGIVQD TLTAVRKFTKRDVFLERGEVMNLLMFLSTWDGKVPQPAILKPRPLWTGKQIFSLIIPGHI NCIRTHSTHPDDEDSGPYKHISPGDTKVVVENGELIMGILCKKSLGTSAGSLVHISYLEM GHDITRLFYSNIQTVINNWLLIEGHTIGIGDSIADSKTYQDIQNTIKKAKQDVIEVIEKA HNNELEPTPGNTLRQTFENQVNRILNDARDKTGSSAQKSLSEYNNFKSMVVSGAKGSKIN ISQVIAVVGQQNVEGKRIPFGFKHRTLPHFIKDDYGPESRGFVENSYLAGLTPTEFFFHA MGGREGLIDTAVKTAETGYIQRRLIKSMESVMVKYDATVRNSINQVVQLRYGEDGLAGES VEFQNLATLKPSNKAFEKKFRFDYTNERALRRTLQEDLVKDVLSNAHIQNELEREFERMR EDREVLRVIFPTGDSKVVLPCNLLRMIWNAQKIFHINPRLPSDLHPIKVVEGVKELSKKL VIVNGDDPLSRQAQENATLLFNIHLRSTLCSRRMAEEFRLSGEAFDWLLGEIESKFNQAI AHPGEMVGALAAQSLGEPATQMTLNTFHYAGVSAKNVTLGVPRLKELINISKKPKTPSLT VFLLGQSARDAERAKDILCRLEHTTLRKVTANTAIYYDPNPQSTVVAEDQEWVNVYYEMP DFDVARISPWLLRVELDRKHMTDRKLTMEQIAEKINAGFGDDLNCIFNDDNAEKLVLRIR IMNSDENKMQEEEEVVDKMDDDVFLRCIESNMLTDMTLQGIEQISKVYMHLPQTDNKKKI IITEDGEFKALQEWILETDGVSLMRVLSEKDVDPVRTTSNDIVEIFTVLGIEAVRKALER ELYHVISFDGSYVNYRHLALLCDTMTCRGHLMAITRHGVNRQDTGPLMKCSFEETVDVLM EAAAHGESDPMKGVSENIMLGQLAPAGTGCFDLLLDAEKCKYGMEIPTNIPGLGAAGRSG MTPGAAGFSPSAASDASGFSPGYSPAWSPTPGSPGSPGPSSPYIPSPGGAMSPSYSPTSP AYEPRSPGGYTPQSPSYSPTSPSYSPTSPSYSPTSPNYSPTSPSYSPTSPSYSPTSPSYS PTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSP SYSPTSPSYSPTSPSYSPTSPSYSPTSPNYSPTSPNYTPTSPSYSPTSPSYSPTSPNYTP TSPNYSPTSPSYSPTSPSYSPTSPSYSPSSPRYTPQSPTYTPSSPSYSPSSPSYSPTSPK YTPTSPSYSPSSPEYTPASPKYSPTSPKYSPTSPKYSPTSPTYSPTTPKYSPTSPTYSPT SPVYTPTSPKYSPTSPTYSPTSPKYSPTSPTYSPTSPKGSTYSPTSPGYSPTSPTYSLTS PAISPDDSDEEN >ENSMUSP00000064079.6 pep:known chromosome:GRCm38:11:87376645:87404523:-1 gene:ENSMUSG00000007646.13 transcript:ENSMUST00000067692.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51c description:RAD51 homolog C [Source:MGI Symbol;Acc:MGI:2150020] MQRELVGYPLSPAVRGKLVAAGFQTAEDVLEVKPSELSKEVGISKEEALETLQILRRECL TNKPRCAGTSVANEKCTALELLEQEHTQGFIITFCSALDNILGGGIPLMKTTEVCGVPGV GKTQLCMQLAVDVQIPECFGGVAGEAVFIDTEGSFMVDRVVSLATACIQHLHLIAGTHTE EEHQKALKDFTLENILSHIYYFRCHDYTELLAQVYLLPDFLSDHPKVQLVIIDGIAFPFR HDLEDLSLRTRLLNGLAQQMISLANNHRLAVILTNQMTTKIDKNQALLVPALGESWGHAA TIRLIFHWEQKQRFATLYKSPSQKESTIPFQITPQGFRDAVVTAASSQTESSLNFRKRSR EPEEEC >ENSMUSP00000122811.1 pep:known chromosome:GRCm38:11:87378591:87404506:-1 gene:ENSMUSG00000007646.13 transcript:ENSMUST00000153073.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad51c description:RAD51 homolog C [Source:MGI Symbol;Acc:MGI:2150020] MQRELVGYPLSPAVRGKLVAAGFQTAEDVLEVKPSELSKEVGISKEEALETLQILRRECL TNKPRCAGTSVANEKCTALELLEQEHTQGFIITFCSALDNILGGGIPLMKTTEVCGVPGV GKTQLCMQLAVDVQIPECFGGVAGEAVFIDTEGSFMVDRVVSLATACIQHLHLIAGTHTE EEHQKALKDFTLENILSHIYYFRCHDYTELLAQVYLLPDFLSDHPKVQLVIIDGIAFPFR HDLEDLSLRTRLLNGLAQQMISLANNHRLAVILTNQMTTKIDKNQALLVPALGESWGHAA TIRLIFHWEQKQSHEGGGGTLCSQRSLKEEEIRTKGKV >ENSMUSP00000007790.4 pep:known chromosome:GRCm38:11:87379097:87404954:-1 gene:ENSMUSG00000007646.13 transcript:ENSMUST00000007790.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51c description:RAD51 homolog C [Source:MGI Symbol;Acc:MGI:2150020] MLYRVHLAWLPSPRLRPLFLFLCSLSGYIRNVTRTSETRRQPYMKPVCGISSAAARPQVG ISKEEALETLQILRRECLTNKPRCAGTSVANEKCTALELLEQEHTQGFIITFCSALDNIL GGGIPLMKTTEVCGVPGVGKTQLCMQLAVDVQIPECFGGVAGEAVFIDTEGSFMVDRVVS LATACIQHLHLIAGTHTEEEHQKALKDFTLENILSHIYYFRCHDYTELLAQVYLLPDFLS DHPKVQLVIIDGIAFPFRHDLEDLSLRTRLLNGLAQQMISLANNHRLAVILTNQMTTKID KNQALLVPALGESWGHAATIRLIFHWEQKQRFATLYKSPSQKESTIPFQITPQGFRDAVV TAASSQTESSLNFRKRSREPEEEC >ENSMUSP00000121928.1 pep:known chromosome:GRCm38:11:87379384:87404423:-1 gene:ENSMUSG00000007646.13 transcript:ENSMUST00000129400.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad51c description:RAD51 homolog C [Source:MGI Symbol;Acc:MGI:2150020] MQRELVGYPLSPAVRGKLVAAGFQTAEDVLEVKPSELSKEVGISKEEALETLQILRRECL TNKPRCAGTSVANEKCTALELLEQEHTQGFIITFCSALDNILGGGIPLMKTTEVCGVPGV GKTQL >ENSMUSP00000139636.1 pep:known chromosome:GRCm38:Y:897788:917605:1 gene:ENSMUSG00000056673.14 transcript:ENSMUST00000189069.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5d description:lysine (K)-specific demethylase 5D [Source:MGI Symbol;Acc:MGI:99780] MKPGSDDFLPPPECPVFEPSWAEFRDPLGYIAKIRPIAEKSGICKIRPPADWQPPFAVEV DNFRFTPRIQRLNELEAQTRVKLNYLDQIAKFWEIQGSSLKIPNVERKILDLYSLNKIVM EEGGYEAICKDRRWARVAQRLNYPSGKNIGSLLRSHYERIIYPYEIFQSGANLVQCNTDP FDSEERDKEYKPHSIPLRQSVQPSKFSCYSRRGKRLQPEPEPTEEDIEKNPELKKLQIYG AGPKMIGLGLKAKEKTLRKKDSKQPDKEEVTCPATIVVKGEASEFGKVTSAFSDKNLNHS FEPCMKMTMQLRNNHSSTQFMNSYVCRICSRGDEVDKFLLCDGCSDNYHIFCLLPPLSEV PKGVWRCPKCILAECKSPPEAFGFEQATQEYTLQSFGEMADSFKADYFNMPVHMVPTEVV EKEFWRLVSSIEEDVTVEYGADIHSKEFGSGFPVNNSKWDLSPEEKVVYLLISTKI >ENSMUSP00000061095.7 pep:known chromosome:GRCm38:Y:897790:946316:1 gene:ENSMUSG00000056673.14 transcript:ENSMUST00000055032.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5d description:lysine (K)-specific demethylase 5D [Source:MGI Symbol;Acc:MGI:99780] MKPGSDDFLPPPECPVFEPSWAEFRDPLGYIAKIRPIAEKSGICKIRPPADWQPPFAVEV DNFRFTPRIQRLNELEAQTRVKLNYLDQIAKFWEIQGSSLKIPNVERKILDLYSLNKIVM EEGGYEAICKDRRWARVAQRLNYPSGKNIGSLLRSHYERIIYPYEIFQSGANLVQCNTDP FDSEERDKEYKPHSIPLRQSVQPSKFSCYSRRGKRLQPEPEPTEEDIEKNPELKKLQIYG AGPKMIGLGLKAKEKTLRKKDSKQPDKEEVTCPATIVVKGEASEFGKVTSAFSDKNLNHS FEPCMKMTMQLRNNHSSTQFMNSYVCRICSRGDEVDKFLLCDGCSDNYHIFCLLPPLSEV PKGVWRCPKCILAECKSPPEAFGFEQATQEYTLQSFGEMADSFKADYFNMPVHMVPTEVV EKEFWRLVSSIEEDVTVEYGADIHSKEFGSGFPVNNSKWDLSPEEKEYAACGWNLNVMPV LDQSVLCHINADISGMKVPWLYVGMVFSAFCWHIEDHWSYSINYLHWGEPKTWYGVPSLA AEHLEDVMKRLTPELFDSQPDLLHQLVTLMNPNTLMSHGVPVVRTNQCAGEFVITFPRAY HSGFNQGYNFAEAVNFCTADWLPVGRQCIEHYRRLRRYCVFSHEELICKMAAFPEKLDLN LAVAVHKEMFIMVQEERRLRKTLLEKGITEAEREAFELLPDDERQCIKCKTTCFLSALAC YDCPDSLVCLSHINDLCKCSRNRQYLRYRYTLDELPAMLQKLKIRAESFDNWANKVQAAL EVEDGRKRSFEELRALESEARDRRFPNSELLQRLKKCLTEAEACISQVLGLISNSEDRLQ TPQITLTELQLLLKQMGTLPCTMHQIDEVKDVLQQVESYQIETREALTSLPYSLEILQSL MEKGQQLRVEVPEAHQLEELLEQAQWLDQVKQALAPSGQRHSLVIMKKLLVMGTKVASSP SVNKARAELQELLTIAECWEEKAHFCLKASQKHSPATLEVIIREAENIPVYLPNIQSLKE ALTKAQAWIADVNEIQNGDHYPCLDDLEGLVAVGRDLPVELEELRQLENQVLTAHSWKEK ASKTFLKKNSCYTLLEVLCPCADAGSVSTKRSRWIEKEMGLYKYDTELLGLSAQDLRDPG SVIMAFKEGEEKEKEGILHLRHINSAKPSPMSSSMNASATSICICGQVCAGVESLQCDLC HDWFHGQCVTVPHLLSSVRASHTSSQLLAWWEWDTKFLCPLCMRSRRPRLETILSLLVGL QRLSVRLPEGEALQCLTERAIGWQGRARQALASEDVTALLKQLEKSRQQLQDELRHKKPP TLPSGFAFDCLTENSGKDILKEEEELVLNEERIKSSEKIVPKESSCKGDKELLPSLLSQL TGPVLELPEATRAPLEELMMEGDLLEVTLDENYSIWQLLQAGQNPNLERIHTLLELEKPE NPGNWSEEQTPERRRQRRQKVVLSRKGEDFTQKELESKRVKSSRIKPKEEKFQKPILGDN VLYTHHTEHTNILKEHINSVQGKDPSPSSSFPSLTPLLHLSYFHQQKL >ENSMUSP00000140663.1 pep:known chromosome:GRCm38:Y:897795:941046:1 gene:ENSMUSG00000056673.14 transcript:ENSMUST00000186696.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kdm5d description:lysine (K)-specific demethylase 5D [Source:MGI Symbol;Acc:MGI:99780] MKPGSDDFLPPPECPVFEPSWAEFRDPLGYIAKIRPIAEKSGICKIRPPADWQPPFAVEV DNFRFTPRIQRLNELEAQTRVKLNYLDQIAKFWEIQGSSLKIPNVERKILDLYSLNKIVM EEGGYEAICKDRRWARVAQRLNYPSGKNIGSLLRSHYERIIYPYEIFQSGANLVQCNTDP FDSEERDKEYKPHSIPLRQSVQPSKFSCYSRRGKRLQPEPEPTEEDIEKNPELKKLQIYG AGPKMIGLGLKAKEKTLRKKDSKQPDKEEVTCPATIVVKGEASEFGKVTSAFSDKNLNHS FEPCMKMTMQLRNNHSSTQFMNSYVCRICSRGDEVDKFLLCDGCSDNYHIFCLLPPLSEV PKGVWRCPKCILAECKSPPEAFGFEQATQEYTLQSFGEMADSFKADYFNMPVHMVPTEVV EKEFWRLVSSIEEDVTVEYGADIHSKEFGSGFPVNNSKWDLSPEEKEYAACGWNLNVMPV LDQSVLCHINADISGMKVPWLYVGMVFSAFCWHIEDHWSYSINYLHWGEPKTWYGVPSLA AEHLEDVMKRLTPELFDSQPDLLHQLVTLMNPNTLMSHGVPVVRTNQCAGEFVITFPRAY HSGFNQGYNFAEAVNFCTADWLPVGRQCIEHYRRLRRYCVFSHEELICKMAAFPEKLDLN LAVAVHKEMFIMVQEERRLRKTLLEKLSLQA >ENSMUSP00000140462.1 pep:known chromosome:GRCm38:Y:897838:956786:1 gene:ENSMUSG00000056673.14 transcript:ENSMUST00000186726.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kdm5d description:lysine (K)-specific demethylase 5D [Source:MGI Symbol;Acc:MGI:99780] MKPGSDDFLPPPECPVFEPSWAEFRDPLGYIAKIRPIAEKSGICKIRPPADWQPPFAVEV DNFRFTPRIQRLNELEAQTRVKLNYLDQIAKFWEIQGSSLKIPNVERKILDLYSLNKIVM EEGGYEAICKDRRWARVAQRLNYPSGKNIGSLLRSHYERIIYPYEIFQSGANLVQCNTDP FDSEERDKEYKPHSIPLRQSVQPSKFSCYSRRGKRLQPEPEPTEEDIEKNPELKKLQIYG AGPKMIGLGLKAKEKTLRKKDSKQPVCFTYF >ENSMUSP00000047825.5 pep:known chromosome:GRCm38:11:69561759:69579324:-1 gene:ENSMUSG00000041346.11 transcript:ENSMUST00000048139.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wrap53 description:WD repeat containing, antisense to Trp53 [Source:MGI Symbol;Acc:MGI:2384933] MKTSEERLLAPDSLPPDLAPAPVPQGSPAEKNTDFEPVPPPCGGDDQPQLATDPVASLVV SQELQQGDSVPLEVEFNTSSELSPGIEEQDVSEHASLPGEETNLPELESGEATEGVSEER AEVDEGDTFWTYSFSQVPRYLSGSWSEFSTRSENFLKGCKWAPDGSCILTNSADNVLRIY NLPPELYSEQEQVDYAEMVPVLRMVEGDTIYDYCWYSLMSSTQPDTSYVASSSRENPIHI WDAFTGELRASFRAYNHLDELTAAHSLCFSPDGSQLFCGFNRTVRVFSTSRPGRDCEVRA TFAKKQGQSGIISCIAFSPSQPLYACGSYGRTIGLYAWDDGSPLALLGGHQGGITHLCFH PDGNLFFSGARKDAELLCWDLRQPGHLLWSLSREVTTNQRIYFDLDPSGQFLVSGNTSGV VSVWDISGALSDDSKLEPVVTFLPQKDCTNGVSLHPTLPLLATASGQRVFPEPTNSGDEG ELELELPLLSLCHAHPECQLQLWWCGGGPDPSSPVDDQDEKGQRRTEAVGMS >ENSMUSP00000121040.1 pep:known chromosome:GRCm38:11:69577708:69580255:-1 gene:ENSMUSG00000041346.11 transcript:ENSMUST00000132548.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wrap53 description:WD repeat containing, antisense to Trp53 [Source:MGI Symbol;Acc:MGI:2384933] MKTSEERLLAPDSLPPDLAPAPVPQGSPAEKNTDFEPVPPPCGGDDQPQLATDPVASLVV SQELQQGDSVPLEVEFNTSSELSPGIEEQDVSEHASLPGEETNLPELESGEATEGVSEER AEVDEGDTFWTYSFSQVPRYLSGSWSEFSTRSENFLKGCKWAPDGSCILT >ENSMUSP00000076255.6 pep:known chromosome:GRCm38:16:64762136:64771161:-1 gene:ENSMUSG00000059920.9 transcript:ENSMUST00000076991.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930453N24Rik description:RIKEN cDNA 4930453N24 gene [Source:MGI Symbol;Acc:MGI:1914859] MSGLSHLESEGCRNLLGLLDNDEIMALCDTVTNRLVQPVDRQDAIHAILVYSQNVEELLR RKKVHREVIFKYLAKQGVVVPPTAEKHNLIQYAKDYWAKQSPKLKDTAEPVTKTEDIQLF KQQAKEDKEAEKVDFRRLGEEFCHWFFELLNSQNPFLGPPQDDWGPQHFWHDAKLRFYYN TSEQNTTDYQGAEIVSLRLLSLVKEEFLFLSPNLDSQGLKCASSPHGLVMVGVAGTVHRG NSCLGIFEQIFGLIRSPFVENTWKIKFINLRIIGGSSLAPESVLKPSVTFEPSDLEAFYN VITLCNSPEVRPNVRQIIDSGTGDQVLHSGDEALLNKREMNLLTPLKH >ENSMUSP00000133663.1 pep:known chromosome:GRCm38:17:36164443:36168523:-1 gene:ENSMUSG00000073402.11 transcript:ENSMUST00000173353.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8909 description:predicted gene 8909 [Source:MGI Symbol;Acc:MGI:3704134] MAPRTLLLLLAAALILTETRAATEGPGRRSGSHREPPPGPHSMRYFTTAVSRPGPHSMRY FETAVSRPGLGEPWFISVGYVDDTQFVRFDGDAENPRMEPRAPWMEQEGPEYWERETQIA KGHEQGFQGSLRNLLHYYNQSAGGSHTFQRMYGCDLGSDGRLLRGYWQFAYDGSDYIALN QDLKTWTAADLAAQITRRRWEQGGVAETLRAYLEDPCLEWLRRYLELGKETLLRTDPPKV HVTHHPRSEDDVTLRCWALGFYPADITLTWQLNGEELTQDMELVETRPAGDGTFQKWASV VVPLGKEQNYTCHVYHEGLPEPLTLRWEPRPSRDSNMVIIAVLVVLGVVIIIGAMVPFVL KSRRKIGGKEENYALAGGSNSVQGSALFLEAFSHPS >ENSMUSP00000036092.8 pep:known chromosome:GRCm38:17:36164444:36168537:-1 gene:ENSMUSG00000073402.11 transcript:ENSMUST00000040467.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8909 description:predicted gene 8909 [Source:MGI Symbol;Acc:MGI:3704134] MAPRTLLLLLAAALILTETRAVSRPGPHSMRYFETAVSRPGLGEPWFISVGYVDDTQFVR FDGDAENPRMEPRAPWMEQEGPEYWERETQIAKGHEQGFQGSLRNLLHYYNQSAGGSHTF QRMYGCDLGSDGRLLRGYWQFAYDGSDYIALNQDLKTWTAADLAAQITRRRWEQGGVAET LRAYLEDPCLEWLRRYLELGKETLLRTDPPKVHVTHHPRSEDDVTLRCWALGFYPADITL TWQLNGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCHVYHEGLPEPLTLRWE PRPSRDSNMVIIAVLVVLGVVIIIGAMVPFVLKSRRKIGGKEENYALAGGSNSVQGSALF LEAFSHPS >ENSMUSP00000094947.3 pep:known chromosome:GRCm38:17:36164973:36168507:-1 gene:ENSMUSG00000073402.11 transcript:ENSMUST00000097335.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8909 description:predicted gene 8909 [Source:MGI Symbol;Acc:MGI:3704134] MAPRTLLLLLAAALILTETRAATEGPGRRSGSHREPPPGPHSMRYFTTAVSRPGPHSMRY FETAVSRPGLGEPWFISVGYVDDTQFVRFDGDAENPRMEPRAPWMEQEGPEYWERETQIA KGHEQGFQGSLRNLLHYYNQSAGGSHTFQRMYGCDLGSDGRLLRGYWQFAYDGSDYIALN QDLKTWTAADLAAQITRRRWEQGGVAETLRAYLEDPCLEWLRRYLELGKETLLRTDPPKV HVTHHPRSEDDVTLRCWALGFYPADITLTWQLNGEELTQDMELVETRPAGDGTFQKWASV VVPLGKEQNYTCHVYHEGLPEPLTLRWEPRPSRDSNMVIIAVLVVLGVVIIIGAMVPFVL KSRRKIGGKEENYALAGGSNSVQGSALFLEAFR >ENSMUSP00000144337.1 pep:known chromosome:GRCm38:5:90603076:90640487:-1 gene:ENSMUSG00000029370.10 transcript:ENSMUST00000202784.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf6 description:Ras association (RalGDS/AF-6) domain family member 6 [Source:MGI Symbol;Acc:MGI:1920496] MTAMDHQFPSWIVVNESTSISREQLNYLLETYNVFYENQKNLHILYGQTEDGQLIVEGML DIFWGVKRPIQLKIQDEKQISSFDLLNTPETFSSKGRMTRWGEFDDLYRISELDRTHVLA SEARHSPEDEEPESPLLYRTMSEAALVRKRMRAPEMYRKDRMGVLSNHRASINGHVYDHE TSIFTPTFGSETKVRANSIMRTEEVIKQLLQKFKIENSPRDFALYIIFGTGEQRKLKKTD VPLLQRLLQGPSKSNARIFLMDKDAEEISRDVAPYINFHFSFLESILQRLDEEEKMEIER IMAKFNTERAFILKCLQSKQAAKTETTV >ENSMUSP00000144027.1 pep:known chromosome:GRCm38:5:90603623:90609801:-1 gene:ENSMUSG00000029370.10 transcript:ENSMUST00000202807.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rassf6 description:Ras association (RalGDS/AF-6) domain family member 6 [Source:MGI Symbol;Acc:MGI:1920496] XWGEFDDLYRISELDRTHVLASEARHSPEAL >ENSMUSP00000144532.1 pep:known chromosome:GRCm38:5:90603831:90640657:-1 gene:ENSMUSG00000029370.10 transcript:ENSMUST00000202704.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf6 description:Ras association (RalGDS/AF-6) domain family member 6 [Source:MGI Symbol;Acc:MGI:1920496] MTAMDHQFPSWIVVNESTSISREQLNYLLETYNVFYENQKNLHILYGQTEDGQLIVEGML DIFWGVKRPIQLKIQDEKQISSFDLLNTPETFSSKGRMTRWGEFDDLYRISELDRTHVLA SEARHSPEDYLSYHSTLTPYADEEPESPLLYRTMSEAALVRKRMRAPEMYRKDRMGVLSN HRASINGHVYDHETSIFTPTFGSETKVRANSIMRTEEVIKQLLQKFKIENSPRDFALYII FGTGEQRKLKKTDVPLLQRLLQGPSKSNARIFLMDKDAEEISRDVAPYINFHFSFLESIL QRLDEEEKMEIERIMAKFNTERAFILKCLQSKQAAKTETTV >ENSMUSP00000144586.1 pep:known chromosome:GRCm38:5:90617631:90640628:-1 gene:ENSMUSG00000029370.10 transcript:ENSMUST00000201121.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rassf6 description:Ras association (RalGDS/AF-6) domain family member 6 [Source:MGI Symbol;Acc:MGI:1920496] MTAMDHQFPSWIVVNESTSISRIKNGRGLLGFQGKHRRTTL >ENSMUSP00000144199.1 pep:known chromosome:GRCm38:5:90622168:90640527:-1 gene:ENSMUSG00000029370.10 transcript:ENSMUST00000201370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf6 description:Ras association (RalGDS/AF-6) domain family member 6 [Source:MGI Symbol;Acc:MGI:1920496] MTAMDHQFPSWIVVNESTSISRESIEEPPYRCFEQLEKQEGLLCYNSSLT >ENSMUSP00000031317.6 pep:known chromosome:GRCm38:5:90603076:90640487:-1 gene:ENSMUSG00000029370.10 transcript:ENSMUST00000031317.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf6 description:Ras association (RalGDS/AF-6) domain family member 6 [Source:MGI Symbol;Acc:MGI:1920496] MTAMDHQFPSWIVVNESTSISREQLNYLLETYNVFYENQKNLHILYGQTEDGQLIVEGML DIFWGVKRPIQLKIQDEKQISSFDLLNTPETFSSKGRMTRWGEFDDLYRISELDRTHVLA SEARHSPEDYLSYHSTLTPYADEEPESPLLYRTMSEAALVRKRMRAPEMYRKDRMGVLSN HRASINGHVYDHETSIFTPTFGSETKVRANSIMRTEEVIKQLLQKFKIENSPRDFALYII FGTGEQRKLKKTDVPLLQRLLQGPSKSNARIFLMDKDAEEISRDVAPYINFHFSFLESIL QRLDEEEKMEIERIMAKFNTERAFILKCLQSKQAAKTETTV >ENSMUSP00000049739.6 pep:known chromosome:GRCm38:X:136858147:136868755:-1 gene:ENSMUSG00000043463.6 transcript:ENSMUST00000058814.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab9b description:RAB9B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2442454] MSGKSLLLKVILLGDGGVGKSSLMNRYVTNKFDSQAFHTIGVEFLNRDLEVDGRFVTLQI WDTAGQERFKSLRTPFYRGADCCLLTFSVDDRQSFENLGNWQKEFIYYADVKDPDHFPFV VLGNKVDKEDRQVTTEEAQAWCMENGNYPYLETSAKDDTNVTVAFEEAVRQVLAVEEQLE HCMLGHTIDLNSGSKASSSCC >ENSMUSP00000060166.1 pep:known chromosome:GRCm38:7:103154792:103155745:-1 gene:ENSMUSG00000051362.1 transcript:ENSMUST00000061055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr589 description:olfactory receptor 589 [Source:MGI Symbol;Acc:MGI:3030423] MILPNDTQFHPSTFLLLGIPGLESLHIWIGFPFCVVYMIALLGNLTILFVIKTESSLHQP MFYFLAMLATIDLGLSTATIPKMLGIFWINLREILFEDCLIQMFFIHKFTLMESTVLLAM AYDHYVAICIPLRYSTILTNKVVSMIGIAVLVRAIIFVIPFIFLILRLPFCGHHIIPHTY CEHMGLARLSCASVKANVIYGLCAICNLLFDIVAIVLSYIQILRVVFHLPSREARLKSLN TCGSHVCVILAFYTPALFSFMTHRFGRNVPRYIHILLANLYVVVPPMLNPVIYGVRTKQI YDRVKKILLQVRGKEKE >ENSMUSP00000049474.3 pep:known chromosome:GRCm38:4:116877376:116989264:1 gene:ENSMUSG00000033948.3 transcript:ENSMUST00000044823.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zswim5 description:zinc finger SWIM-type containing 5 [Source:MGI Symbol;Acc:MGI:1921714] MAEGGEREELLSPPPISPAKRLCSWPSPQAHHPRGTPGAAGGGAGGGGGGCLAPGARPHL QPESLLDCAAKTVAEKWAYERVEERFERIPEPVQRRIVYWSFPRNEREICMYSSFQYRGG PGAGAAAGAAGASPVEEGPPPPPGAAAPAGSAPGAAGAGSSPGLGAGTGTASGGCGGGEG LPFRRGIRLLDSGSVENVLQVGFHLSGTVTEPAMASEPAVTYKVAISFDRCKITSVSCGC GNKDIFYCAHVVALSLYRIRKPDQVKLRLPISETLFQMNRDQLQKFIQYLITAHHTEVLP TAQKLADEILSSNSEINQVNGAPDPTAGASIDDENCWHLDEEQVKEQVKLFLSQGGYYGS GKQLNSMFAKVREMLRMRDSNGARMLTLITEQFMADPRLTLWRQQGTSMTDKCRQLWDEL GALWVCIILNPHCKLEEKSCWLQQLQKWSDLDICPLEDGNYGHELPNITNALSQSASHSP DSLSRPRRTVFTRAIEGRELHWQDSHLQRIISSGIYTAPACQRENERLLFNSHGQPLWLE HVPTACARVDALRSHGYPKEALRLTVAIINTLRLQQQRQLEIYKHQKKELLQRGTTTITN LEGWVGHPLDPIGCLFLTLTEACRLNDDSYMEMSDMNESRLPVYQHVPVASGCPNSNESY LSLALEVALMGMGQQRVMPEGLYAQDKVCRNEEQLLSQLQELQLDDELVQTLRKQCILLL EGGPFSGLGEVIHRESVPMHTFAKYLFSALLPHDPDLSYKLALRAMRLPVLENSSSAGDT SHPHHMVSVVPSRYPRWFTLGHLESQQCELASTMLTAAKGDTLRLRTLLEAIQKHIHSPS LIFKLAQDAFKIATPTDSSTDGTLLNVALELGLQVMRMTLSTLNWRRREMVRWLVTCATE VGVRALVSILQSWYTLFTPTEATSIVAATAVSHTTILRLSLDYPQREELASCARTLALQC AMKDPQSCALSALTLCEKDHIAFEAAYQIAIDAAAGGMTHSQLFTIARYMELRGYPLRAF KLASLAMSHLNLAYNQDTHPAINDVLWACALSHSLGKNELAALIPLVVKSVHCATVLSDI LRRCTVTAPGLAGIPGRRSSGKLMSTDKAPLRQLLDATINAYINTTHSRLTHISPRHYGE FIEFLSKARETFLLPQDGHLQFAQFIDNLKQIYKGKKKLMLLVRERFG >ENSMUSP00000001126.3 pep:known chromosome:GRCm38:11:78465697:78471945:1 gene:ENSMUSG00000020829.9 transcript:ENSMUST00000001126.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc46a1 description:solute carrier family 46, member 1 [Source:MGI Symbol;Acc:MGI:1098733] MEGRVSSVGSPHSFLNAPVLFRGPVEPLVFLANFALVLQGPLTTQYLWHRFSTELGYNGT RHRENCGNQSADPLMKEVETLTSHWTLYMNVGGFLVGLFWSTLLGAWSDRVGRRPLLVLA SLGLLLQAVVSIFVVQLELHVGFFVLGRALCALLGDFNGLLAASFASVADVSSNHSRTFR MALLEACIGVAGTLASLLGGHWLRAQGYANPFWLALALLIVMALYAAFCFGETVKEPKST RLFTLRHHRSIARLYVVPAPEKSRMHLALYSLAIFVVVTVHFGAQDILTLYELSAPLCWD SKLIGYGSAAQHLPYLTSLLGLRLLQFCLADTWVAEIGLAFNILGMVVFAFATITPLMFT GYGLLFLSLVTTPVIRAKLSKLVSESEQGALFSAVACVNSLAMLMASGIFNSIYPATLNF MKGFPFLLGAGLLFIPAILIGVLEKVNPHPEFQQFPQSP >ENSMUSP00000119800.2 pep:known chromosome:GRCm38:11:78465750:78471622:1 gene:ENSMUSG00000020829.9 transcript:ENSMUST00000146431.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc46a1 description:solute carrier family 46, member 1 [Source:MGI Symbol;Acc:MGI:1098733] MEGRVSSVGSPHSFLNAPVLFRGPVEPLVFLANFALVLQGPLTTQYLWHRFSTELGYNGT RHRENCGNQSADPLMKGTDCSSCHWSRRLSSGPSFPSW >ENSMUSP00000068997.7 pep:known chromosome:GRCm38:7:104857009:104862667:1 gene:ENSMUSG00000054568.7 transcript:ENSMUST00000067695.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp17la description:ubiquitin specific peptidase 17-like A [Source:MGI Symbol;Acc:MGI:107699] MVVALSFPEADPALSSPDAPELHQDEAQVVEELTVNGKHSLSWESPQGPGCGLQNTGNSC YLNAALQCLTHTPPLADYMLSQEHSQTCCSPEGCKLCAMEALVTQSLLHSHSGDVMKPSH ILTSAFHKHQQEDAHEFLMFTLETMHESCLQVHRQSKPTSEDSSPIHDIFGGWWRSQIKC LLCQGTSDTYDRFLDIPLDISSAQSVKQALWDTEKSEELCGDNAYYCGKCRQKMPASKTL HVHIAPKVLMVVLNRFSAFTGNKLDRKVSYPEFLDLKPYLSEPTGGPLPYALYAVLVHDG ATSHSGHYFCCVKAGHGKWYKMDDTKVTRCDVTSVLNENAYVLFYVQQANLKQVSIDMPE GRINEVLDPEYQLKKSRRKKHKKKSPFTEDLGEPCENRDKRAIKETSLGKGKVLQEVNHK KAGQKHGNTKLMPQKQNHQKAGQNLRNTEVELDLPADAIVIHQPRSTANWGRDSPDKENQ PLHNADRLLTSQGPVNTWQLCRQEGRRRSKKGQNKNKQGQRLLLVC >ENSMUSP00000083892.3 pep:known chromosome:GRCm38:5:110099969:110103815:1 gene:ENSMUSG00000064247.14 transcript:ENSMUST00000086687.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcxd1 description:phosphatidylinositol-specific phospholipase C, X domain containing 1 [Source:MGI Symbol;Acc:MGI:2685422] MSQSELVPTPPLMQGLEPSRVGRVGEVCRSVHKNVLTVPEHTVGTEAAAPMGDQADWMSQ LCPQLWDVPLHHLSIPGSHDTMTYCLNRKSRISRASSWLLHLLGRVVPFITGPVVMKWSV TQTLDVTQQLDAGVRYLDLRIAHAPEGSTRNLCFVHMMYTKALVEDTLTEIAEWLQSHPR EVVILACRNFEGMTCELHDYLAGCIVNIFGDMLCPSGEVPTLRQLWAREQQVIVSYEDEA TVSRYDQLWPAIPYWWGNAVKTDVLLRFLETMKGQGRPDGLFVAGINITENLCYILLHPV DSLEEMTRRSLPLMTEWVCAQQPGQSPQCTNIIAGDFVDADGFVSKVISLNCKLLSP >ENSMUSP00000119248.1 pep:known chromosome:GRCm38:5:110100422:110101879:1 gene:ENSMUSG00000064247.14 transcript:ENSMUST00000141066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcxd1 description:phosphatidylinositol-specific phospholipase C, X domain containing 1 [Source:MGI Symbol;Acc:MGI:2685422] MGDQADWMSQLCPQLWDVPLHHLSIPGSHDTMTYCLNRKSRISRASSWLLHLLGRVVPFI TGPVVMKWSVTQTLDVTQQLDAGVRYLDLRIAHAPEGST >ENSMUSP00000108153.1 pep:known chromosome:GRCm38:5:110100559:110105948:1 gene:ENSMUSG00000064247.14 transcript:ENSMUST00000112534.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plcxd1 description:phosphatidylinositol-specific phospholipase C, X domain containing 1 [Source:MGI Symbol;Acc:MGI:2685422] MGDQADWMSQLCPQLWDVPLHHLSIPGSHDTMTYCLNRKSRISRASSWLLHLLGRVVPFI TGPVVMKWSVTQTLDVTQQLDAGVRYLDLRIAHAPEGSTRNLCFVHMMYTKALVEVTPSL R >ENSMUSP00000116643.2 pep:known chromosome:GRCm38:3:100993529:101029556:-1 gene:ENSMUSG00000086564.9 transcript:ENSMUST00000147399.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd101 description:CD101 antigen [Source:MGI Symbol;Acc:MGI:2685862] MACILCVASLFLSLTKFSIGQREVKIQEGPLYRAEGYPVSIRCTVSGHQGPSTQDFRWSI YLPSAPTKEVQIISTKDAGFSYAVYAQRVQSKEIYIERLQGDSVLLHISKLQMKDAGEYE CHTPNTDGKYFGSYSAKTNLTVVPDTLSATMPSQTLSKKEGEPLELTCETTKATVQHTHL SLTWYLMQEGGGSQATEIVSLSKDFVLTPGSSYADRFVAGDVRLDKLGATSFRLSVGKLQ PSDQGQVFCEATEWIQDPDETWTLITRKQTDQTALRIQPAARDFTVSITASSSPDEGKPL ELVCLAVGRDGNPQLQGVWFLNGKEIAQTDAGGVLDLKRDYRDRASQGQLQVSKLSAQTF SLKIFSVGPEDVGTYSCEVAEVARTQMGSWQVLQRKQSPGYRVQLREPAARSVTVSAEQR TVWEGETLTLLCKAAGDVSALSVSWWLTPQDQSTPVFVAGMGQDGTVQLGVSSPGPAHRG NRRLEKVDWATFRLEIASAMVTDSGTYECRVSERLQNQAKGLQSTQKISVTVKSLKSSLR VNLMSRQPQVMLAHTFHLSCVVRANYSDLKLPFSVTWQFQPAGSGAFHRLIRIAHNGTVE WGDVLSQIHRKTKVSQSFFRSQLQIYDAAMEETGVYRCTVEVYDRDSICTSGPARVSATS NLLMITVTFPESKLSVNSSSQVQELSISSSTQIECAILSRSAGNLPLSIIWYFSSVSANA SYLKILEMDQSSVVKYGDEFQTPRSKQKFYSEKVSQDLFLLNILSVEDSDQGHYHCAVEE WLLSTNDTWQKLERKTSGLTELKLRPTGSQVHVSKVNWTGNATEYGEAGFSCSLDGSGST ASLYSVTWYRGRGTATATAAAVANATATITAPAGSQMLVHLQYDGLLQYGREGSRRLQHC YRSSPTDFVLKLHRVEMEDAGIYWCRVTEWQQHGHPGKWINQASGESQRMVLRVLRSEPT VSSLICSSGPLLHFLIVCPFVMLLLLATSFLCLYRKARKLSQLSLSAKKEKALWVGMRKT SLQKEAGEESGHY >ENSMUSP00000126027.1 pep:known chromosome:GRCm38:3:100993532:101022176:-1 gene:ENSMUSG00000086564.9 transcript:ENSMUST00000167086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd101 description:CD101 antigen [Source:MGI Symbol;Acc:MGI:2685862] MFKTSLSSVSAKFSIGQREVKIQEGPLYRAEGYPVSIRCTVSGHQGPSTQDFRWSIYLPS APTKEVQIISTKDAGFSYAVYAQRVQSKEIYIERLQGDSVLLHISKLQMKDAGEYECHTP NTDGKYFGSYSAKTNLTVVPDTLSATMPSQTLSKKEGEPLELTCETTKATVQHTHLSLTW YLMQEGGGSQATEIVSLSKDFVLTPGSSYADRFVAGDVRLDKLGATSFRLSVGKLQPSDQ GQVFCEATEWIQDPDETWTLITRKQTDQTALRIQPAARDFTVSITASSSPDEGKPLELVC LAVGRDGNPQLQGVWFLNGKEIAQTDAGGVLDLKRDYRDRASQGQLQVSKLSAQTFSLKI FSVGPEDVGTYSCEVAEVARTQMGSWQVLQRKQSPGYRVQLREPAARSVTVSAEQRTVWE GETLTLLCKAAGDVSALSVSWWLTPQDQSTPVFVAGMGQDGTVQLGVSSPGPAHRGNRRL EKVDWATFRLEIASAMVTDSGTYECRVSERLQNQAKGLQSTQKISVTVKSLKSSLRVNLM SRQPQVMLAHTFHLSCVVRANYSDLKLPFSVTWQFQPAGSGAFHRLIRIAHNGTVEWGDV LSQIHRKTKVSQSFFRSQLQIYDAAMEETGVYRCTVEVYDRDSICTSGPARVSATSNLLM ITVTFPESKLSVNSSSQVQELSISSSTQIECAILSRSAGNLPLSIIWYFSSVSANASYLK ILEMDQSSVVKYGDEFQTPRSKQKFYSEKVSQDLFLLNILSVEDSDQGHYHCAVEEWLLS TNDTWQKLERKTSGLTELKLRPTGSQVHVSKVNWTGNATEYGEAGFSCSLDGSGSTASLY SVTWYRGRGTATATAAAVANATATITAPAGSQMLVHLQYDGLLQYGREGSRRLQHCYRSS PTDFVLKLHRVEMEDAGIYWCRVTEWQQHGHPGKWINQASGESQRMVLRVLRSEPTVSSL ICSSGPLLHFLIVCPFVMLLLLATSFLCLYRKARKLSQLSLSAKKEKALWVGMRKTSLQK EAGEESGHY >ENSMUSP00000036016.6 pep:known chromosome:GRCm38:5:140705011:140719379:1 gene:ENSMUSG00000000148.17 transcript:ENSMUST00000041588.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brat1 description:BRCA1-associated ATM activator 1 [Source:MGI Symbol;Acc:MGI:1891679] MDPECSRLLPALCAVLADPRQLVADDTCLEKLLDWFKTVTEAESSLQLLQDHPCLMELLS HVLKPQDVSPRVLSFALRLVGVFAAQEDCFEYLQQGELLLGLFGESGAPGWAAWSIPSVR SGWIQGLCYLAHHPSALHFLADSGAVDTLFSLQGDPSLFVASAASQLLVHILALSMQGGA PGSPVPEAAAWPMCAQKIVNHVDESLHAKATPQVTQALNVLTTTFGRCHNPWTGVLWERL SPPVARLFERDPIPAVHALMDLLLSVARSPVLNFAACGLWEMLAQTLSRLSPIQAGPLAL GTLKLQHCPQELRTQAFGVLLQPLACILKATTQAPGPPGLLDGTVGSLLTVDILLASKSA CVGLLCQTLAHLEELQMLPQCPSPWPQVHLLQAALTILHLCDGSADPSSSAGGRLCGTLG GCVRVQRAALDFLGTLSQGTSPLELVLEVFAVLLKTLESPESSPMVLKKAFQATLRWLQN PHKTPSSSDLSSDALLFLGELFPILQKRLCSPCWEVRDSALEFLTHLIRHWGGQADFREA LRSSEVPTLALQLLQDPESYVRASAVGAAGQLSSQGLQAAPASPENSQAQQGLLMDLMHI LSTDSEGFPRRAVLRVFTDWLRDGHADVVRDTEWFVATVLQAVSRDLDWEVRVQGLELAR VFLTQALGQPSLHCPYTVGLPRASSPRPHPEFLQTLCRLPLFEFAFCALLDCDRPVAQKA CDLLLFLRDKTVPCSSPREAGDSPNSASVEAALQRWREGEQAQPLGDLDPEAMLAILRAL DLEGLQGRLAKSSDHVEKSPQSLLQDMLATVGVLEENEADCY >ENSMUSP00000114216.1 pep:known chromosome:GRCm38:5:140705023:140719173:1 gene:ENSMUSG00000000148.17 transcript:ENSMUST00000153440.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brat1 description:BRCA1-associated ATM activator 1 [Source:MGI Symbol;Acc:MGI:1891679] MDPECSRLLPALCAVLADPRQLVADDTCLEKLLDWFKTVTEAESSLQLLQDHPCLMELLS HVLKPQDVSPRVLSFALRLVGVFAAQEDCFEYLQQGELLLGLFGESGAPGWAAWSIPSVR SGWIQGLCYLAHHPSALHFLADSGAVDTLFSLQGDPSLFVASAASQLLVHILALSMQGGA PGSPVPEAAAWPMCAQKIVNHVDESLHAKATPQVTQALNVLTTTFGRCHNPWTGVLWERL SPPVARLFERDPIPAVHALMDLLLSVARSPVLNFAACGLWEMLAQTLSRLSPIQAGPLAL GTLKLQHWLAGWDCG >ENSMUSP00000106429.1 pep:known chromosome:GRCm38:5:140705023:140719330:1 gene:ENSMUSG00000000148.17 transcript:ENSMUST00000110806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brat1 description:BRCA1-associated ATM activator 1 [Source:MGI Symbol;Acc:MGI:1891679] MDPECSRLLPALCAVLADPRQLVADDTCLEKLLDWFKTVTEAESSLQLLQDHPCLMELLS HVLKPQDVSPRVLSFALRLVGVFAAQEDCFEYLQQGELLLGLFGESGAPGWAAWSIPSVR SGWIQGLCYLAHHPSALHFLADSGAVDTLFSLQGDPSLFVASAASQLLVHILALSMQGGA PGSPVPEAAAWPMCAQKIVNHVDESLHAKATPQVTQALNVLTTTFGRCHNPWTGVLWERL SPPVARLFERDPIPAVHALMDLLLSVARSPVLNFAACGLWEMLAQTLSRLSPIQAGPLAL GTLKLQHCPQELRTQAFGVLLQPLACILKATTQAPGPPGLLDGTVGSLLTVDILLASKSA CVGLLCQTLAHLEELQMLPQCPSPWPQVHLLQAALTILHLCDGSADPSSSAGGRLCGTLG GCVRVQRAALDFLGTLSQGTSPLELVLEVFAVLLKTLESPESSPMVLKKAFQATLRWLQN PHKTPSSSDLSSDALLFLGELFPILQKRLCSPCWEVRDSALEFLTHLIRHWGGQADFREA LRSSEVPTLALQLLQDPESYVRASAVGAAGQLSSQGLQAAPASPENSQAQQVDTGSW >ENSMUSP00000098074.2 pep:known chromosome:GRCm38:5:140705066:140719379:1 gene:ENSMUSG00000000148.17 transcript:ENSMUST00000100505.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brat1 description:BRCA1-associated ATM activator 1 [Source:MGI Symbol;Acc:MGI:1891679] MVHFALSGLIPVAEGCGGGIRTPRLTRSRRERTSYPDLGGTACLIMDPECSRLLPALCAV LADPRQLVADDTCLEKLLDWFKTVTEAESSLQLLQDHPCLMELLSHVLKPQDVSPRVLSF ALRLVGVFAAQEDCFEYLQQGELLLGLFGESGAPGWAAWSIPSVRSGWIQGLCYLAHHPS ALHFLADSGAVDTLFSLQGDPSLFVASAASQLLVHILALSMQGGAPGSPVPEAAAWPMCA QKIVNHVDESLHAKATPQVTQALNVLTTTFGRCHNPWTGVLWERLSPPVARLFERDPIPA VHALMDLLLSVARSPVLNFAACGLWEMLAQTLSRLSPIQAGPLALGTLKLQHCPQELRTQ AFGVLLQPLACILKATTQAPGPPGLLDGTVGSLLTVDILLASKSACVGLLCQTLAHLEEL QMLPQCPSPWPQVHLLQAALTILHLCDGSADPSSSAGGRLCGTLGGCVRVQRAALDFLGT LSQGTSPLELVLEVFAVLLKTLESPESSPMVLKKAFQATLRWLQNPHKTPSSSDLSSDAL LFLGELFPILQKRLCSPCWEVRDSALEFLTHLIRHWGGQADFREALRSSEVPTLALQLLQ DPESYVRASAVGAAGQLSSQGLQAAPASPENSQAQQGLLMDLMHILSTDSEGFPRRAVLR VFTDWLRDGHADVVRDTEWFVATVLQAVSRDLDWEVRVQGLELARVFLTQALGQPSLHCP YTVGLPRASSPRPHPEFLQTLCRLPLFEFAFCALLDCDRPVAQKACDLLLFLRDKTVPCS SPREAGDSPNSASVEAALQRWREGEQAQPLGDLDPEAMLAILRALDLEGLQGRLAKSSDH VEKSPQSLLQDMLATVGVLEENEADCY >ENSMUSP00000072425.5 pep:known chromosome:GRCm38:12:56611389:56613284:-1 gene:ENSMUSG00000058669.7 transcript:ENSMUST00000072631.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx2-9 description:NK2 homeobox 9 [Source:MGI Symbol;Acc:MGI:1270158] MATSGRLGFTVRSLLNLPEQDAKPRVRREQQTCVPQTAAWLESECSHYLSSDESGLETSP ADSSQLASLRRESPGSDPEKRRKRRVLFSKAQTLELERRFRQQRYLSAPEREQLARLLRL TPTQVKIWFQNHRYKLKRGRAPGITEPSDMAASSDLHAAPGLLRRVVVPVLVHDRPPSNN GRGEGTSAVPQDKCSARLATACPVPGYTAFGPGSALGLFPAYQHLAPPALVSWNW >ENSMUSP00000128599.1 pep:known chromosome:GRCm38:14:43010188:43015628:-1 gene:ENSMUSG00000072605.10 transcript:ENSMUST00000169756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10376 description:predicted gene 10376 [Source:MGI Symbol;Acc:MGI:3704432] MGSQAGSFRKASPQTPNIDENEKRIKRLEKLKRDLQNIKNERDILQGILAKYKDLNDRTN FETFMLEMQNNQMMTDLKRMSQDISEALYKCQHLTKENQLYCCRNCHLLIESNLIQHKVR MLWKENRQLLRKQIALEECNIKTKILCKEGSQKIKDEYSRQAAGKQIIVVPGSKEEAVMS NPCA >ENSMUSP00000098259.4 pep:known chromosome:GRCm38:14:43010473:43014764:-1 gene:ENSMUSG00000072605.10 transcript:ENSMUST00000100694.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10376 description:predicted gene 10376 [Source:MGI Symbol;Acc:MGI:3704432] MGTATGSFRKASPQTPNIDENEKRIKRLEKLKRDLQNIKNERDILQGILAKYKDLNDRTN FETFMLEMQNNQMMTDLKRMSQDISEALYKCQHLTKENQLYCCRNCHLLIESNLIQHKVR MLWKENRQLLRKQIALEECNIKTKILCKEGSQKIKDEYSRQAAGKQIIVVPGSKEEAVMS NPCA >ENSMUSP00000127178.1 pep:known chromosome:GRCm38:17:32771236:32788287:-1 gene:ENSMUSG00000024298.14 transcript:ENSMUST00000159086.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp871 description:zinc finger protein 871 [Source:MGI Symbol;Acc:MGI:1921793] MESVAFEDVAVNFSLEEWAMLNPSQKNLYKDVMQETLRNLASIGSSLEHHIIENIYRNSW KKLSGQVVEGLYDCKDDHQCAEIFDFTTHSIVNQNSLPGVYICENSGCATVVIGHLSLSV LLKPDPKHESCEDQEYGKELYNMEYGAPSSSLTSFENYESTYTVERIYKTERCDEDYRYG QNVEKTFTEEKPFECKQCGKCFSSSRYFRRHERRHRAKKCYICKQCGKAFAFPTYLQTHE RIHTGEKPYVCLQCGKTFAHSRSLKTHKRTHSVRDLFVCNYCGKTLRHYSSLQIHTRIHT GEKPYVCKPCGKAFITYRSFRIHERIHTGETPYECKQCGNTFMRWIQLRKHEIIHSGVKP YACKLCGKSFTCSGSLRTHERIHTGERPYVCDQCGYSFTRLNSLLRHKITHTGEKPYVCN HCGKTFPRSASLQRHVKIHTEEKPYVCKQCGVAFPSSADLLEHEQTHIDEKPYICEQCGN AFVLWSQFQKHKALHAVPVSYVCKQCGKSFTSSRSLKTHERIHTGEKPYECKQCGKTFLW SYSLQRHEKTHTDGKVHVCKQCGETFPYDSHLQVHEKLHFDDEPFNCKYCGKPFFTLNHL HRHELLHTTLDSSVF >ENSMUSP00000050501.8 pep:known chromosome:GRCm38:17:32773252:32787547:-1 gene:ENSMUSG00000024298.14 transcript:ENSMUST00000057501.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp871 description:zinc finger protein 871 [Source:MGI Symbol;Acc:MGI:1921793] MLNPSQKNLYKDVMQETLRNLASIGSSLEHHIIENIYRNSWKKLSGQVVEGLYDCKDDHQ CAEIFDFTTHSIVNQNSLPGVYICENSGCATVVIGHLSLSVLLKPDPKHESCEDQEYGKE LYNMEYGAPSSSLTSFENYESTYTVERIYKTERCDEDYRYGQNVEKTFTEEKPFECKQCG KCFSSSRYFRRHERRHRAKKCYICKQCGKAFAFPTYLQTHERIHTGEKPYVCLQCGKTFA HSRSLKTHKRTHSVRDLFVCNYCGKTLRHYSSLQIHTRIHTGEKPYVCKPCGKAFITYRS FRIHERIHTGETPYECKQCGNTFMRWIQLRKHEIIHSGVKPYACKLCGKSFTCSGSLRTH ERIHTGERPYVCDQCGYSFTRLNSLLRHKITHTGEKPYVCNHCGKTFPRSASLQRHVKIH TEEKPYVCKQCGVAFPSSADLLEHEQTHIDEKPYICEQCGNAFVLWSQFQKHKALHAVPV SYVCKQCGKSFTSSRSLKTHERIHTGEKPYECKQCGKTFLWSYSLQRHEKTHTDGKVHVC KQCGETFPYDSHLQVHEKLHFDDEPFNCKYCGKPFFTLNHLHRHELLHTTLDSSVF >ENSMUSP00000099652.3 pep:known chromosome:GRCm38:7:65296165:65371239:-1 gene:ENSMUSG00000030516.14 transcript:ENSMUST00000102592.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tjp1 description:tight junction protein 1 [Source:MGI Symbol;Acc:MGI:98759] MSARAAAAKSTAMEETAIWEQHTVTLHRAPGFGFGIAISGGRDNPHFQSGETSIVISDVL KGGPAEGQLQENDRVAMVNGVSMDNVEHAFAVQQLRKSGKNAKITIRRKKKVQIPVSHPD PEPVSDNEDDSYDEEVHDPRAGRGALANRRSEKSWARDRSASRERSLSPRSDRRSVASSQ PAKPTKVTLVKSRKNEEYGLRLASHIFVKEISQDSLAARDGNIQEGDVVLKINGTVTENM SLTDAKTLIERSKGKLKMVVQRDERATLLNVPDLSDSIHSANASERDDISEIQSLASDHS GRSHDRPPRRSQSRSPDQRSEPSDHSTQSPQQPSNGSLRSREEERMSKPGAISTPVKHVD DHPPKAVEEVTVEKNEKQTPTLPEPKPVYAQVGQPDVDLPVSPSDGALPNSAHEDGILRP SMKLVKFRKGDSVGLRLAGGNDVGIFVAGVLEDSPAAKEGLEEGDQILRVNNVDFTNIIR EEAVLFLLDLPKGEEVTILAQKKKDVYRRIVESDVGDSFYIRTHFEYEKESPYGLSFNKG EVFRVVDTLYNGKLGSWLAIRIGKNHKEVERGIIPNKNRAEQLASVQYTLPKTAGGDRAD FWRFRGLRSSKRNLRKSREDLSAQPVQTKFPAYERVVLREAGFLRPVTIFGPIADVAREK LAREEPDIYQIAKSEPRDAGTDHRSSGIIRLHTIKQIIDQDKHALLDVTPNAVDRLNYAQ WYPIVVFLNPDSKQGVKTMRMRLCPESRKSARKLYERSHKLRKNNHHLFTTTINLNSMND GWYGALKEAIQQQQNQLVWVSEGKADGATSDDLDLHDDRLSYLSAPGSEYSMYSTDSRHT SDYEDTDTEGGAYTDQELDETLNDEVGTPPESAITRSSEPVREDSSGMHHENQTYPPYSP QAQPQAIHRIDSPGLKPASQQKAEASSPVPYLSPETTPASSASAVNHNVSVTNVSLEEPA PAPPTSHASQPGCLGAPSAEAAHVVLRGEGPPLPPHADPAKVYRKEPYSEEMMRQNHILK QPALGHPGQRPDKEPNLAYEPQLPYIEKQASRDLEQPSYRYEVSSYTDQFSRNYDHRLRF EDRIPTYEDQWSYYDDKQPYQPRPFENQHPRDLDSRQHPEEASERGYFQRFEEPAPLSYD SRTRYEQLPRTSTLRHEEQPAPAYEVHNRYRPEAQPYSSTGPKSSEPKQYFDQYPRSYEQ VPPPGFTSKTGHYEPLHGAAVVPPLIPSSQQKPEVLPSATKPQPPPPTLTEEEEDPAMKP QSVLTRVKMFENKRSASLENKKDVNDTASFKPPEVASKPPGASLAGPKPVPQSQFSEHDK TLYRLPEPQKPQVKPPEDIVRSNHYDPEEDEEYYRKQLSYFDRRSFESKPSAHLPAGHHS EPAKPVHSQSQPNFSSYSSKGKPETDAVDRSFSEKRYDPAQATPPPPPLPSQYSQPAPPL SSSSLHIHSKGAQGEGNSVSLDFQNSYMSKPDPPPSQSKPATFRPPTREDPPQTFYPQKS FPDKAPVNGAEQTQKTITPVYNRFTPKPYTSSARPFERKFESPKFNHNLLPSETVHKPEL SSKTPTSPKTLMKAHSSTQPPEFDSGVETFSVHTDKPKYQMNNISTMPKAVPVSPSAVEE DEDEDGHTVVATARGIFNSNGGVLSSIETGVSIIIPQGAIPEGIEQEIYFKVCRDNSILP PLDKEKGETLLSPLVMCGPHGLKFLKPVELRLPHCDPKTWQNKCLPGDPNYLVGANCVSV LIDHF >ENSMUSP00000032729.6 pep:known chromosome:GRCm38:7:65296708:65371015:-1 gene:ENSMUSG00000030516.14 transcript:ENSMUST00000032729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tjp1 description:tight junction protein 1 [Source:MGI Symbol;Acc:MGI:98759] MSARAAAAKSTAMEETAIWEQHTVTLHRAPGFGFGIAISGGRDNPHFQSGETSIVISDVL KGGPAEGQLQENDRVAMVNGVSMDNVEHAFAVQQLRKSGKNAKITIRRKKKVQIPVSHPD PEPVSDNEDDSYDEEVHDPRAGRGALANRRSEKSWARDRSASRERSLSPRSDRRSVASSQ PAKPTKVTLVKSRKNEEYGLRLASHIFVKEISQDSLAARDGNIQEGDVVLKINGTVTENM SLTDAKTLIERSKGKLKMVVQRDERATLLNVPDLSDSIHSANASERDDISEIQSLASDHS GRSHDRPPRRSQSRSPDQRSEPSDHSTQSPQQPSNGSLRSREEERMSKPGAISTPVKHVD DHPPKAVEEVTVEKNEKQTPTLPEPKPVYAQVGQPDVDLPVSPSDGALPNSAHEDGILRP SMKLVKFRKGDSVGLRLAGGNDVGIFVAGVLEDSPAAKEGLEEGDQILRVNNVDFTNIIR EEAVLFLLDLPKGEEVTILAQKKKDVYRRIVESDVGDSFYIRTHFEYEKESPYGLSFNKG EVFRVVDTLYNGKLGSWLAIRIGKNHKEVERGIIPNKNRAEQLASVQYTLPKTAGGDRAD FWRFRGLRSSKRNLRKSREDLSAQPVQTKFPAYERVVLREAGFLRPVTIFGPIADVAREK LAREEPDIYQIAKSEPRDAGTDHRSSGIIRLHTIKQIIDQDKHALLDVTPNAVDRLNYAQ WYPIVVFLNPDSKQGVKTMRMRLCPESRKSARKLYERSHKLRKNNHHLFTTTINLNSMND GWYGALKEAIQQQQNQLVWVSEGKADGATSDDLDLHDDRLSYLSAPGSEYSMYSTDSRHT SDYEDTDTEGGAYTDQELDETLNDEVGTPPESAITRSSEPVREDSSGMHHENQTYPPYSP QAQPQAIHRIDSPGLKPASQQVYRKEPYSEEMMRQNHILKQPALGHPGQRPDKEPNLAYE PQLPYIEKQASRDLEQPSYRYEVSSYTDQFSRNYDHRLRFEDRIPTYEDQWSYYDDKQPY QPRPFENQHPRDLDSRQHPEEASERGYFQRFEEPAPLSYDSRTRYEQLPRTSTLRHEEQP APAYEVHNRYRPEAQPYSSTGPKSSEPKQYFDQYPRSYEQVPPPGFTSKTGHYEPLHGAA VVPPLIPSSQQKPEVLPSATKPQPPPPTLTEEEEDPAMKPQSVLTRVKMFENKRSASLEN KKDVNDTASFKPPEVASKPPGASLAGPKPVPQSQFSEHDKTLYRLPEPQKPQVKPPEDIV RSNHYDPEEDEEYYRKQLSYFDRRSFESKPSAHLPAGHHSEPAKPVHSQSQPNFSSYSSK GKPETDAVDRSFSEKRYDPAQATPPPPPLPSQYSQPAPPLSSSSLHIHSKGAQGEGNSVS LDFQNSYMSKPDPPPSQSKPATFRPPTREDPPQTFYPQKSFPDKAPVNGAEQTQKTITPV YNRFTPKPYTSSARPFERKFESPKFNHNLLPSETVHKPELSSKTPTSPKTLMKAHSSTQP PEFDSGVETFSVHTDKPKYQMNNISTMPKAVPVSPSAVEEDEDEDGHTVVATARGIFNSN GGVLSSIETGVSIIIPQGAIPEGIEQEIYFKVCRDNSILPPLDKEKGETLLSPLVMCGPH GLKFLKPVELRLPHCASMTPDGWSFALKSSDSSSGDPKTWQNKCLPGDPNYLVGANCVSV LIDHF >ENSMUSP00000146149.1 pep:known chromosome:GRCm38:7:65296708:65371015:-1 gene:ENSMUSG00000030516.14 transcript:ENSMUST00000206612.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tjp1 description:tight junction protein 1 [Source:MGI Symbol;Acc:MGI:98759] MSARAAAAKSTAMEETAIWEQHTVTLHRAPGFGFGIAISGGRDNPHFQSGETSIVISDVL KGGPAEGQLQENDRVAMVNGVSMDNVEHAFAVQQLRKSGKNAKITIRRKKKVQIPVSHPD PEPVSDNEDDSYDEEVHDPRAGRGALANRRSEKSWARDRSASRERSLSPRSDRRSVASSQ PAKPTKVTLVKSRKNEEYGLRLASHIFVKEISQDSLAARDGNIQEGDVVLKINGTVTENM SLTDAKTLIERSKGKLKMVVQRDERATLLNVPDLSDSIHSANASERDDISEIQSLASDHS GRSHDRPPRRSQSRSPDQRSEPSDHSTQSPQQPSNGSLRSREEERMSKPGAISTPVKHVD DHPPKAVEEVTVEKNEKQTPTLPEPKPVYAQVGQPDVDLPVSPSDGALPNSAHEDGILRP SMKLVKFRKGDSVGLRLAGGNDVGIFVAGVLEDSPAAKEGLEEGDQILRVNNVDFTNIIR EEAVLFLLDLPKGEEVTILAQKKKDVYRRIVESDVGDSFYIRTHFEYEKESPYGLSFNKG EVFRVVDTLYNGKLGSWLAIRIGKNHKEVERGIIPNKNRAEQLASVQYTLPKTAGGDRAD FWRFRGLRSSKRNLRKSREDLSAQPVQTKFPAYERVVLREAGFLRPVTIFGPIADVAREK LAREEPDIYQIAKSEPRDAGTDHRSSGIIRLHTIKQIIDQDKHALLDVTPNAVDRLNYAQ WYPIVVFLNPDSKQGVKTMRMRLCPESRKSARKLYERSHKLRKNNHHLFTTTINLNSMND GWYGALKEAIQQQQNQLVWVSEGKADGATSDDLDLHDDRLSYLSAPGSEYSMYSTDSRHT SDYEDTDTEGGAYTDQELDETLNDEVGTPPESAITRSSEPVREDSSGMHHENQTYPPYSP QAQPQAIHRIDSPGLKPASQQVYRKEPYSEEMMRQNHILKQPALGHPGQRPDKEPNLAYE PQLPYIEKQASRDLEQPSYRYEVSSYTDQFSRNYDHRLRFEDRIPTYEDQWSYYDDKQPY QPRPFENQHPRDLDSRQHPEEASERGYFQRFEEPAPLSYDSRTRYEQLPRTSTLRHEEQP APAYEVHNRPLRASPWCCSCPSSDTFLSTKARSPALGYQTTASTPNPN >ENSMUSP00000145910.1 pep:known chromosome:GRCm38:7:65342617:65527696:-1 gene:ENSMUSG00000030516.14 transcript:ENSMUST00000206228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tjp1 description:tight junction protein 1 [Source:MGI Symbol;Acc:MGI:98759] MKYQKYLTVLQMAIGVTPSNRGSLLPLKRKLWVTPSSENPHGATSTVSQGKPSLRRIKGR LHRSKSLDSIDFCELTSTAMEETAIWEQHTVTLHRAPGFGFGIAISGGRDNPHFQSGETS IVISDVLKGGPAEGQLQENDRVAMVNGVSMDNVEHAFAVQQLRKSGKNAKI >ENSMUSP00000099595.4 pep:known chromosome:GRCm38:4:136049731:136053393:-1 gene:ENSMUSG00000059291.15 transcript:ENSMUST00000102536.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl11 description:ribosomal protein L11 [Source:MGI Symbol;Acc:MGI:1914275] MAQDQGEKENPMRELRIRKLCLNICVGESGDRLTRAAKVLEQLTGQTPVFSKARYTVRSF GIRRNEKIAVHCTVRGAKAEEILEKGLKVREYELRKNNFSDTGNFGFGIQEHIDLGIKYD PSIGIYGLDFYVVLGRPGFSIADKKRRTGCIGAKHRISKEEAMRWFQQKYDGIILPGK >ENSMUSP00000121108.1 pep:known chromosome:GRCm38:4:136050280:136053090:-1 gene:ENSMUSG00000059291.15 transcript:ENSMUST00000155873.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl11 description:ribosomal protein L11 [Source:MGI Symbol;Acc:MGI:1914275] MAQRPQDQGEKENPMRELRIRKLCLNICVGESGDRLTRAAKVLEQLTGQTPVFSKARYTV RSFGIRRNEKIAVHCTVRGAKAEEILEKGLKVREYELRKNNFSDTGNFGFGIQEHIDLGI KYDPSIGIYGLDFYVVLGRPGFSIADKKRRTGCIGAKHRISKEEAMRWFQQK >ENSMUSP00000082694.4 pep:known chromosome:GRCm38:5:147957320:148316065:1 gene:ENSMUSG00000029651.16 transcript:ENSMUST00000085558.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus2 description:microtubule associated tumor suppressor candidate 2 [Source:MGI Symbol;Acc:MGI:1915388] MSVPLAPKKSCFGQLRDHREGAKNNNESILRTGDTNANQIMLEVSSSCDEAKSRDLDDEL GNSNLSRPQYHSHFQKEPLHLQGFGKGSQAGSTSQRESQASLTVHRQLSEEHAVKRGALQ APQCVQGPSLSSWRNAVGQASPEASAKKDAEIPRHIPKDKLAKTLDNEELKRASSCSAAA GSVPPTDLQPVQLDTLGPQDHVPARGEGPQRTPASHSPGKGFSPGEGTSEGNSVYLPKPS TSEAKGSSPSDTKMEGPHGLDVYNERITHAELTPSSASASKENPGLRHPEVCLGQGTGKS KVELKSVQPRNEEGLTSAQAQGPGCHEERSMSPVERQELLEKAYREATSQGNSSHRQLGV RRGSSLEEMTGVSAGVEGSQQATPTLSAAPAGEAGTRLTGKMSAGVGRMARETASGQTAP DVGQAAPVRRDPTESVPSEVSGEERRLGSGNSGSTKLLASGPSAGGSRTDTSGLLSPRGS NLEARKGKEMVAENRNLLENAAQTDNTPAGVDSAFSTPAPLLHPETTVNSSHHPTPPGSS SQELGVFSGDTGSPSVASPPTDGGQVLNTSPKVPDRTTCSSGIPKPPTHPKDTPSSQEAR EKLETEKMEERAEAKPILMPKPKHVRPKIITYIRRNPQALSQGDASLVPVGLPYAPPTCG MPLPQEEKAASRDLQPSANMYEKLKPDLQKPRVFPSGLMVSGIKPPAHHFSQMSEKFLQE VADHPGKEEFCSPPYTHYEVPPTFYRSAMLLKPQLGLGAMSRLPSTKSRILIASQRSSAS AIHPPGSLTTAASFYGSDPSDLKKASNSNAAKASLPKSGLRPPGYSRLPAAKLAAFGFVR SSSISAVPSSQSLDSVQPEQSRPVTRSTFGNEEQAPLKQALPSKDTPKGAGRAAPASSSN ATTPRRSLLPAPKSTSTPAGAKKELQKDPEAKKPAVSSPKRTASAATKPHSPGYPKQRTS APRNEFPPKPDLQAREAERQLAQRLRDRCEWQARQLGLARRELKKAIQGFDALAVSTKHF FGKSERALAKEKELSIELANIRDEVAFNTAKCEKLQKEKETLERRFEEELRRLGWQQQAE VQELQERLQQQFQAESARLQAEHQDQLLRMRCQHQEQVEDITASHEAALLEMENNHTVAI TILQDDHDHKVQELMSTHEFEKKELEENFEKLRLTLQDQVDTLTFQSQSLRDRARRFEEA LRKTTEEQLEIALAPYQHLEEDMQSLKQVLEMKNQQIHLQEKKIIELEKLVEKNIILEER IQVLQQQNEDLKARIDQNTVVTRQLSEENANLQEYVEKETQEKKRLSRTNEELLWKLQTG DPTSPIKLSPTSPVYRGSSSGPSSPARVSTTPR >ENSMUSP00000120449.1 pep:known chromosome:GRCm38:5:147957342:148076537:1 gene:ENSMUSG00000029651.16 transcript:ENSMUST00000129092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus2 description:microtubule associated tumor suppressor candidate 2 [Source:MGI Symbol;Acc:MGI:1915388] MSVPLAPKKSCFGQLRDHREGAKNNNESILRTGDTNANQIMLEVSS >ENSMUSP00000106144.2 pep:known chromosome:GRCm38:5:148265217:148314071:1 gene:ENSMUSG00000029651.16 transcript:ENSMUST00000110515.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus2 description:microtubule associated tumor suppressor candidate 2 [Source:MGI Symbol;Acc:MGI:1915388] MGHHCCKPYICLQCLDKTSERALAKEKELSIELANIRDEVAFNTAKCEKLQKEKETLERR FEEELRRLGWQQQAEVQELQERLQQQFQAESARLQAEHQDQLLRMRCQHQEQVEDITASH EAALLEMENNHTVAITILQDDHDHKVQELMSTHEFEKKELEENFEKLRLTLQDQVDTLTF QSQSLRDRARRFEEALRKTTEEQLEIALAPYQHLEEDMQSLKQVLEMKNQQIHLQEKKII ELEKLVEKNIILEERIQVLQQQNEDLKARIDQNTVVTRQLSEENANLQEYVEKETQEKKR LSRTNEELLWKLQTGDPTSPIKLSPTSPVYRGSSSGPSSPARVSTTPR >ENSMUSP00000071775.5 pep:known chromosome:GRCm38:5:148265288:148313734:1 gene:ENSMUSG00000029651.16 transcript:ENSMUST00000071878.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus2 description:microtubule associated tumor suppressor candidate 2 [Source:MGI Symbol;Acc:MGI:1915388] MGHHCCKPYICLQCLDKTSERALAKEKELSIELANIRDEVAFNTAKCEKLQKEKETLERR FEEELRRLGWQQQAEVQELQERLQQQFQAESARLQAEHQDQLLRMRCQHQEQVEDITASH EAALLEMENNHTVAITILQDDHDHKVQDCAGSIPAPGRRHAEPEAGAGDEEPADPPAGEE DHRAGKAGGKEYHPGRKDPGAAAAE >ENSMUSP00000119851.1 pep:known chromosome:GRCm38:5:148265292:148313552:1 gene:ENSMUSG00000029651.16 transcript:ENSMUST00000146425.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtus2 description:microtubule associated tumor suppressor candidate 2 [Source:MGI Symbol;Acc:MGI:1915388] MGHHCCKPYICLQCLDKTPSTPPSVRSCRRRRRRWSGGLRRS >ENSMUSP00000106143.1 pep:known chromosome:GRCm38:5:148265345:148314038:1 gene:ENSMUSG00000029651.16 transcript:ENSMUST00000110514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus2 description:microtubule associated tumor suppressor candidate 2 [Source:MGI Symbol;Acc:MGI:1915388] MGHHCCKPYICLQCLDKTSERALAKEKELSIELANIRDEVAFNTAKCEKLQKEKETLERR FEEELRRLGWQQQAEVQELQERLQQQFQAESARLQAEHQDQLLRMRCQHQEQVEDITASH EAALLEMENNHTVAITILQDDHDHKVQELMSTHEFEKKELEENFEKLRLTLQIALAPYQH LEEDMQSLKQVLEMKNQQIHLQEKKIIELEKLVEKNIILEERIQVLQQQNEDLKARIDQN TVVTRQLSEENANLQEYVEKETQEKKRLSRTNEELLWKLQTGDPTSPIKLSPTSPVYRGS SSGPSSPARVSTTPR >ENSMUSP00000123055.1 pep:known chromosome:GRCm38:5:148303165:148313460:1 gene:ENSMUSG00000029651.16 transcript:ENSMUST00000152105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus2 description:microtubule associated tumor suppressor candidate 2 [Source:MGI Symbol;Acc:MGI:1915388] MHELKDMGETRETPQRPGDPVLWEEGQSLCYPRNPPSEVLAPAPAQIALAPYQHLEEDMQ SLKQVLEMKNQQIHLQEKKIIELEKLVEKNIILEERIQVLQQQNEDLKARIDQNTVVTRQ LSEENANLQEYVEKETQEKKRLSRTNEELLWKLQTGDPTSPIKLSPTSPV >ENSMUSP00000082690.4 pep:known chromosome:GRCm38:5:148303174:148314000:1 gene:ENSMUSG00000029651.16 transcript:ENSMUST00000085554.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus2 description:microtubule associated tumor suppressor candidate 2 [Source:MGI Symbol;Acc:MGI:1915388] MSLRTWARHIALAPYQHLEEDMQSLKQVLEMKNQQIHLQEKKIIELEKLVEKNIILEERI QVLQQQNEDLKARIDQNTVVTRQLSEENANLQEYVEKETQEKKRLSRTNEELLWKLQTGD PTSPIKLSPTSPVYRGSSSGPSSPARVSTTPR >ENSMUSP00000076458.7 pep:known chromosome:GRCm38:5:110103975:110108197:-1 gene:ENSMUSG00000033434.15 transcript:ENSMUST00000077220.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp6 description:GTP binding protein 6 (putative) [Source:MGI Symbol;Acc:MGI:1306825] MLFLRAAVLPGFWLPRVRRVQLVRSPAVTLPSPVRTVHAGSRVWGSAWAGGGPVRGGGEE DPREDEEEEEDELLRAPPLLPLDTQRVCVLHPDVKRPAGKKPRSTAEWQVAEAAALVRAL PGWSVASTLVVPSAAPGSRLVFGKGNFQDVTEKIKGCQDITSVFLNVERMAPPTKKELES AWGLRVFDRFTLVLHIFRCNARTREARMQLALAEIPLLRSSVNTDSGQQDQQGWGSRYIM GSGESPTELRARALRDRELRLRRVLERLRDKRRLMRKERVRREFPVVSVVGYTNCGKTTL IQALTGEAALQPRDQPFATLDVTVHAGLLPSRLRILYVDTIGFLSQLPHSLIHAFSATLE DVAYSDVLVHVTDVSHPDAELQKATVLSTLRGLHLPPALLESALEVHSKVDLVPGYTPPC SGALAVSAISGRGLDELKAALEASVLRATGRQVLTLCVRLGGPQLGWLYKEAVVQQVQEL PEGDAAHVTVVITQAAYGRFRKLFPIDAPSALPH >ENSMUSP00000117817.1 pep:known chromosome:GRCm38:5:110105232:110107416:-1 gene:ENSMUSG00000033434.15 transcript:ENSMUST00000135409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp6 description:GTP binding protein 6 (putative) [Source:MGI Symbol;Acc:MGI:1306825] MVWAGDADSARARFHPRANPVHRRGPRSSRSALDWMDPCVLTAEWQVAEAAALVRALPGW SVASTLVVPSAAPGSRLVFGKGNFQDVTEKIKGCQDITSVFLNVERMAPPTKKELESAWG LRVFDRFTLVLHIFRCNARTREARMQLALAEIPLLRSSVNTDSGQQDQQGWGSRYIMGSG ESPTELRARALRDRELRLRRVLERLRDKRRLMRKERVRREF >ENSMUSP00000115028.1 pep:known chromosome:GRCm38:5:110106320:110107711:-1 gene:ENSMUSG00000033434.15 transcript:ENSMUST00000140538.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp6 description:GTP binding protein 6 (putative) [Source:MGI Symbol;Acc:MGI:1306825] MQLALAEIPLLRSSVNT >ENSMUSP00000099334.3 pep:known chromosome:GRCm38:2:181306459:181314500:-1 gene:ENSMUSG00000027581.12 transcript:ENSMUST00000103045.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn3 description:stathmin-like 3 [Source:MGI Symbol;Acc:MGI:1277137] MASTVSAYKEKMKELSVLSLICSCFYSQPHPNTIYQYGDMEVKQLDKRASGQSFEVILKS PSDLSPESPVLSSPPKRKDASLEELQKRLEAAEERRKTQEAQVLKQLAERREHEREVLHK ALEENNNFSRLAEEKLNYKMELSKEIREAHLAALRERLREKELHAAEVRRNKEQREEMSG >ENSMUSP00000028304.3 pep:known chromosome:GRCm38:2:25765569:25768099:1 gene:ENSMUSG00000026936.3 transcript:ENSMUST00000028304.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn3 description:lipocalin 3 [Source:MGI Symbol;Acc:MGI:102669] MQTLEMRALLLIISFCLVAVLQAQDSSFLAFNNGNFSGKWFLKALVSEDDIPINKVSPML ILVLNNGDIELSITHMIYDQCLEVTTILEKTDVPGQYLAFEGKTHLQVQLSSVKGHYMLY CDGEIEGMRFLMTQLIGRDPQENLEALEEFKVFTQIKGLVAENLVILEQMEKCEPESFYE LPSRPSE >ENSMUSP00000020241.7 pep:known chromosome:GRCm38:10:87521795:87584136:1 gene:ENSMUSG00000020051.15 transcript:ENSMUST00000020241.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pah description:phenylalanine hydroxylase [Source:MGI Symbol;Acc:MGI:97473] MAAVVLENGVLSRKLSDFGQETSYIEDNSNQNGAVSLIFSLKEEVGALAKVLRLFEENEI NLTHIESRPSRLNKDEYEFFTYLDKRSKPVLGSIIKSLRNDIGATVHELSRDKEKNTVPW FPRTIQELDRFANQILSYGAELDADHPGFKDPVYRARRKQFADIAYNYRHGQPIPRVEYT EEERKTWGTVFRTLKALYKTHACYEHNHIFPLLEKYCGFREDNIPQLEDVSQFLQTCTGF RLRPVAGLLSSRDFLGGLAFRVFHCTQYIRHGSKPMYTPEPDICHELLGHVPLFSDRSFA QFSQEIGLASLGAPDEYIEKLATIYWFTVEFGLCKEGDSIKAYGAGLLSSFGELQYCLSD KPKLLPLELEKTACQEYTVTEFQPLYYVAESFNDAKEKVRTFAATIPRPFSVRYDPYTQR VEVLDNTQQLKILADSINSEVGILCHALQKIKS >ENSMUSP00000119455.1 pep:known chromosome:GRCm38:10:87546673:87570332:1 gene:ENSMUSG00000020051.15 transcript:ENSMUST00000133293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pah description:phenylalanine hydroxylase [Source:MGI Symbol;Acc:MGI:97473] MKAWVTGVSASSQHLPWFPRTIQELDRFANQILSYGAELDADHPGFKDPVYRARRKQFAD IAYNYRHGQPIPRVEYTEEERKTWGTVFRTLKALYKTHACYEHNHIFPLLEKYCGFREDN IPQLEDVSQFLQTCTGFRLRPVAGLLSSRDFLGGLAFRVFHCTQYIRHGSKPMY >ENSMUSP00000114366.1 pep:known chromosome:GRCm38:10:87522027:87583855:1 gene:ENSMUSG00000020051.15 transcript:ENSMUST00000143624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pah description:phenylalanine hydroxylase [Source:MGI Symbol;Acc:MGI:97473] XVLENGVLSRKLSDFGQETSYIEDNSNQNGAVSLIFSLKEEVGALAKVLRLFEENEINLT HIESRPSRLNKDEYEFFTYLDKRSKPVLDNTQQLKILADSINSEVGILCHALQKIKS >ENSMUSP00000120429.1 pep:known chromosome:GRCm38:10:87521930:87584034:1 gene:ENSMUSG00000020051.15 transcript:ENSMUST00000142088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pah description:phenylalanine hydroxylase [Source:MGI Symbol;Acc:MGI:97473] MAAVVLENGVLSRKLSDFGQETSYIEDNSNQNGAVSLIFSLKEEVGALAKVLDNTQQLKI LADSINSEVGILCHALQKIKS >ENSMUSP00000117928.1 pep:known chromosome:GRCm38:12:56691767:56712707:1 gene:ENSMUSG00000001497.18 transcript:ENSMUST00000153250.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax9 description:paired box 9 [Source:MGI Symbol;Acc:MGI:97493] MEPAFGEVNQLGGVFVNGRPLPNAIRLRIVELAQLGIRPCDISRQLRVSHGCVSKILARY NETGSILPGAIGGSKPRVTTPTVVKHIRTYKQRDPGIFAWEIRDRLLADGVCDKYNVPSV SSISRILRNKIGNLAQQGHYDSYKQHQPAPQPALPYNHIYSYPSPITAAAAKVPTPPGVP AIPGSVALPRTWPSSHSVTDILGIRSITDQGVSDSSPYHSPKVEEWSSLGRNNFPAAAPH AVNGLEKGALEQEAKYGQAPNGLPAVSSFVSASSMAPYPTPAQVSPYMTYSAAPSGYVAG HGWQHAGSTPLSPHNCDIPASLAFKGMQAAREGSHSVTASAL >ENSMUSP00000001538.9 pep:known chromosome:GRCm38:12:56695639:56712822:1 gene:ENSMUSG00000001497.18 transcript:ENSMUST00000001538.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax9 description:paired box 9 [Source:MGI Symbol;Acc:MGI:97493] MEPAFGEVNQLGGVFVNGRPLPNAIRLRIVELAQLGIRPCDISRQLRVSHGCVSKILARY NETGSILPGAIGGSKPRVTTPTVVKHIRTYKQRDPGIFAWEIRDRLLADGVCDKYNVPSV SSISRILRNKIGNLAQQGHYDSYKQHQPAPQPALPYNHIYSYPSPITAAAAKVPTPPGVP AIPGSVALPRTWPSSHSVTDILGIRSITDQGVSDSSPYHSPKVEEWSSLGRNNFPAAAPH AVNGLEKGALEQEAKYGQAPNGLPAVSSFVSASSMAPYPTPAQVSPYMTYSAAPSGYVAG HGWQHAGSTPLSPHNCDIPASLAFKGMQAAREGSHSVTASAL >ENSMUSP00000100077.3 pep:known chromosome:GRCm38:4:60498012:60501960:-1 gene:ENSMUSG00000078683.9 transcript:ENSMUST00000103012.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup1 description:major urinary protein 1 [Source:MGI Symbol;Acc:MGI:97233] MKMLLLLCVGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEKHGILRENIIDLSNANRCLQARE >ENSMUSP00000081596.4 pep:known chromosome:GRCm38:4:60498147:60501903:-1 gene:ENSMUSG00000078683.9 transcript:ENSMUST00000084548.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup1 description:major urinary protein 1 [Source:MGI Symbol;Acc:MGI:97233] MKMLLLLCVGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEKHGILRENIIDLSNAMDLVPEHV LVLTLQIAASRPENEEWPEPPVLSGDFSPGLHHHPFLSIQHPQYKFCDLHSILSH >ENSMUSP00000118299.1 pep:known chromosome:GRCm38:4:60498440:60499332:-1 gene:ENSMUSG00000078683.9 transcript:ENSMUST00000135953.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup1 description:major urinary protein 1 [Source:MGI Symbol;Acc:MGI:97233] RFAQLCEKHGILRENIIDLSNANRCLQARE >ENSMUSP00000103123.3 pep:known chromosome:GRCm38:4:60498933:60501960:-1 gene:ENSMUSG00000078683.9 transcript:ENSMUST00000107499.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup1 description:major urinary protein 1 [Source:MGI Symbol;Acc:MGI:97233] MKMLLLLCVGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEKHGILRENIIDLSNANRCLQARE >ENSMUSP00000121557.1 pep:known chromosome:GRCm38:17:66078795:66101559:-1 gene:ENSMUSG00000024099.14 transcript:ENSMUST00000143987.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv2 description:NADH dehydrogenase (ubiquinone) flavoprotein 2 [Source:MGI Symbol;Acc:MGI:1920150] MFSLALRARATGLAAQWGRHARNLHKTAVHNGAGGALFVHRDTPENNPDTPFDFTPENYK RIEAIVKNYPEGHQAAAVLPVLDLAQRQNGWLPISAMNKVAEVLQVPPMRVYEVATFYTM YNRKPVGKYHIQVCTTTPCMLRDSDSILETLQRKLGIKVGETTPDKLFTLIEVECLGACV NAPMVQINDNYYEDLTPKDIEEIIDELKAGKVPKPGPRSGRFCCEPAGGLTSLTEPPKGP GFGVQAGL >ENSMUSP00000024909.9 pep:known chromosome:GRCm38:17:66079441:66101495:-1 gene:ENSMUSG00000024099.14 transcript:ENSMUST00000024909.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv2 description:NADH dehydrogenase (ubiquinone) flavoprotein 2 [Source:MGI Symbol;Acc:MGI:1920150] MNKVAEVLQVPPMRVYEVATFYTMYNRKPVGKYHIQVCTTTPCMLRDSDSILETLQRKLG IKVGETTPDKLFTLIEVECLGACVNAPMVQINDNYYEDLTPKDIEEIIDELKAGKVPKPG PRSGRFCCEPAGGLTSLTEPPKGPGFGVQAGL >ENSMUSP00000137940.1 pep:known chromosome:GRCm38:17:66087403:66092213:-1 gene:ENSMUSG00000024099.14 transcript:ENSMUST00000138847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv2 description:NADH dehydrogenase (ubiquinone) flavoprotein 2 [Source:MGI Symbol;Acc:MGI:1920150] MNKVAEVLQVPPMRVYEVATFYTMYNRKPVGKYHIQVCTTTPCMLRDSDSILETLQRKL >ENSMUSP00000115317.2 pep:known chromosome:GRCm38:17:66087494:66101509:-1 gene:ENSMUSG00000024099.14 transcript:ENSMUST00000147484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv2 description:NADH dehydrogenase (ubiquinone) flavoprotein 2 [Source:MGI Symbol;Acc:MGI:1920150] MNKVAEVLQVPPMRVYEVATFYTMYNRKP >ENSMUSP00000119383.1 pep:known chromosome:GRCm38:6:18366565:18434451:-1 gene:ENSMUSG00000000416.16 transcript:ENSMUST00000146775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cttnbp2 description:cortactin binding protein 2 [Source:MGI Symbol;Acc:MGI:1353467] XDVSPTSRDNLVAKQLARNTVTQALSRFTSPQAGASSRLGVSPGGDAGTCPPVGRTGLKT PGAARVDRGNPPPIPPKKPGLSQTPSPPHPQLRASNAGAKVDNKIVASPPSTLPQGTKVV NEENVPKSSSPQLPPKPSIDLTVAPAGCPVSALATSQKIQKVKCTREEPSCWSPSLTPLL MSGGPAPLAGRPTLLQQAAAQGNVTLLSMLLNEEGLDINYSCEDGHSALYSAAKNGHTDC VRLLLNAEARVDAADKNGFTPLCVAAAQGHFECIELLTAYNANINHSAAGGQTPLYLACK NGNKECIKLLLEAGTDRSIKTRDGWTPIHAAVDTGNVDSLKLLMYHRVRAHGNSLSSEEP KSGLFSLNGGESPTGPSKPVVPADLINHADKEGWTAAHIAASKGFKNCLEVLCRHGGLEP ERRDKCNRTVHDVATDDCKHLLENLNALKIPLRISVGEIQPSNDVSDDFECEHTICTLNI RKQTSWEDFSKAVSQALTNHFQAISSDGWWSLEDGTFNNATDSCIGLGTSSIRSIMLGSM PWSTGQSFSQSPWDFLKKKKVEQVLALLSGPQEGCLSSVTYASMIPLQMLQNYLRLVEQY HNVIFHGPEGSLQDYIANQLALCMKYRQMAAGFPCEIVRAEVDSGFSKEQLVDVFIRNAC LIPVKQFPVKKKIIVILENLEKSSLSELLGDFLAPLENRSTESPCTFQKGNGTSECYYFH ENCFLVGTIAKACLQGSDLLVQQHFRWVQLRWDCEPIQGLLQRFLRRKVVSKFRGQLPAP CDPVCKIVDWALSVWRQLNSCLARLGTPEALLGPKYFLSCPVVPGHAQATVKWMSKLWNA VIAPRVQEAILSRASMNKQPGTGQTASKKYPSQGQQAVVRAALSILLNKAVLHGCPLPRA ELDQQIADFKGGSFPLSIVSSYSKKKVESGAWRKVNTSPRKKPGHFSSPTWNKPDPKREG MRNKTIPHLNTNRNSSLSKQQSLENDLSVTLTLDHRLSLGSDDEADLVKELQSMCSSKSE SDISKIADSRDDLRKFDSSRTNPGTSAPLNLRTPVPQKEASPPSSRQTAECSNSKSKTEM GVSSVKSFLPVPRSKVAQCSQNTKRNSSSSNTRQLEINNNSKEENWTLDKHEQVEKPNK >ENSMUSP00000118432.1 pep:known chromosome:GRCm38:6:18366707:18514749:-1 gene:ENSMUSG00000000416.16 transcript:ENSMUST00000148602.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cttnbp2 description:cortactin binding protein 2 [Source:MGI Symbol;Acc:MGI:1353467] MATDSASCEPDLSRTPGDTEGATAEAAKKEFDVDTLSKSELRMLLSVMEGELEARDLVIE ALRARRKEVFIQERYGRFNLNDPFLALQRDYEAGPGDKEKPVCTNPLSILEAVMAHCRKM QERMSAQLVAAESRQKKLEMEKLQLQALEQEHKKLAAHLEEERGKNKHVVLMLVKECKQL SGKVVEEAQKLEEVMAQLEEEKKKTSELEEQLSAEKQRSSGMEAQLEKQLSEFDTEREQL RAKLSREEAHTTDLKEEIDKMKKMMEQMKKGSDGKPGLSLPRKTKDKRLASISVATEGPV TRSVACQTDVVTESTDPVKKLPLTVPIKPSTGSPLVPTNTKGNVGPSALLIRPGIDRQSS HSDLGPSPPTALPSSANRIEENGPSTGNAPDLSNSTPSTPSSTAPAAAQTPGTAPQNHSQ APTVHSLHSPCANTHPGLNPRIQAARFRFQGNANDPDQNGNNTQSPPSRDVSPTSRDNLV AKQLARNTVTQALSRFTSPQAGASSRLGVSPGGDAGTCPPVGRTGLKTPGAARVDRGNPP PIPPKKPGLSQTPSPPHPQLRASNAGAKVDNKIVASPPSTLPQGTKVVNEENVPKSSSPQ LPPKPSIDLTVAPAGCPVSALATSQAGHPP >ENSMUSP00000088089.5 pep:known chromosome:GRCm38:6:18367221:18514821:-1 gene:ENSMUSG00000000416.16 transcript:ENSMUST00000090601.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cttnbp2 description:cortactin binding protein 2 [Source:MGI Symbol;Acc:MGI:1353467] MATDSASCEPDLSRTPGDTEGATAEAAKKEFDVDTLSKSELRMLLSVMEGELEARDLVIE ALRARRKEVFIQERYGRFNLNDPFLALQRDYEAGPGDKEKPVCTNPLSILEAVMAHCRKM QERMSAQLVAAESRQKKLEMEKLQLQALEQEHKKLAAHLEEERGKNKHVVLMLVKECKQL SGKVVEEAQKLEEVMAQLEEEKKKTSELEEQLSAEKQRSSGMEAQLEKQLSEFDTEREQL RAKLSREEAHTTDLKEEIDKMKKMMEQMKKGSDGKPGLSLPRKTKDKRLASISVATEGPV TRSVACQTDVVTESTDPVKKLPLTVPIKPSTGSPLVPTNTKGNVGPSALLIRPGIDRQSS HSDLGPSPPTALPSSANRIEENGPSTGNAPDLSNSTPSTPSSTAPAAAQTPGTAPQNHSQ APTVHSLHSPCANTHPGLNPRIQAARFRFQGNANDPDQNGNNTQSPPSRDVSPTSRDNLV AKQLARNTVTQALSRFTSPQAGASSRLGVSPGGDAGTCPPVGRTGLKTPGAARVDRGNPP PIPPKKPGLSQTPSPPHPQLRASNAGAKVDNKIVASPPSTLPQGTKVVNEENVPKSSSPQ LPPKPSIDLTVAPAGCPVSALATSQVGAWPAGTPGLNQPACSDSSLVIPATVAFCSSINP VSASSRSPGASDSLLVAASGWSPSLTPLLMSGGPAPLAGRPTLLQQAAAQGNVTLLSMLL NEEGLDINYSCEDGHSALYSAAKNGHTDCVRLLLNAEARVDAADKNGFTPLCVAAAQGHF ECIELLTAYNANINHSAAGGQTPLYLACKNGNKECIKLLLEAGTDRSIKTRDGWTPIHAA VDTGNVDSLKLLMYHRVRAHGNSLSSEEPKSGLFSLNGGESPTGPSKPVVPADLINHADK EGWTAAHIAASKGFKNCLEVLCRHGGLEPERRDKCNRTVHDVATDDCKHLLENLNALKIP LRISVGEIQPSNDVSDDFECEHTICTLNIRKQTSWEDFSKAVSQALTNHFQAISSDGWWS LEDGTFNNATDSCIGLGTSSIRSIMLGSMPWSTGQSFSQSPWDFLKKKKVEQVLALLSGP QEGCLSSVTYASMIPLQMLQNYLRLVEQYHNVIFHGPEGSLQDYIANQLALCMKYRQMAA GFPCEIVRAEVDSGFSKEQLVDVFIRNACLIPVKQFPVKKKIIVILENLEKSSLSELLGD FLAPLENRSTESPCTFQKGNGTSECYYFHENCFLVGTIAKACLQGSDLLVQQHFRWVQLR WDCEPIQGLLQRFLRRKVVSKFRGQLPAPCDPVCKIVDWALSVWRQLNSCLARLGTPEAL LGPKYFLSCPVVPGHAQATVKWMSKLWNAVIAPRVQEAILSRASMNKQPGTGQTASKKYP SQGQQAVVRAALSILLNKAVLHGCPLPRAELDQQIADFKGGSFPLSIVSSYSKKKVESGA WRKVNTSPRKKPGHFSSPTWNKPDPKREGMRNKTIPHLNTNRNSSLSKQQSLENDLSVTL TLDHRLSLGSDDEADLVKELQSMCSSKSESDISKIADSRDDLRKFDSSRTNPGTSAPLNL RTPVPQKEASPPSSRQTAECSNSKSKTEMGVSSVKSFLPVPRSKVAQCSQNTKRNSSSSN TRQLEINNNSKEENWTLDKHEQVEKPNK >ENSMUSP00000123162.1 pep:known chromosome:GRCm38:6:18389145:18408694:-1 gene:ENSMUSG00000000416.16 transcript:ENSMUST00000141581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cttnbp2 description:cortactin binding protein 2 [Source:MGI Symbol;Acc:MGI:1353467] XDFECEHTICTLNIRKQTSWEDFSKAVSQALTNHFQAISSDGWWSLEDGTFNNATDSCIG LGTSSIRSIMLGSMPWSTGQSFSQSPWDFLKKKKVEQVLALLSGPQEGCLSSVTYASMIP LQMLQNYLRLYRQMAAGFPCEIVRAEVDSGFSKEQLVDVFIRNACLIPVKQFPVKKKIIV ILENLEKSSLSELL >ENSMUSP00000116878.1 pep:known chromosome:GRCm38:6:18435251:18513091:-1 gene:ENSMUSG00000000416.16 transcript:ENSMUST00000129669.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cttnbp2 description:cortactin binding protein 2 [Source:MGI Symbol;Acc:MGI:1353467] MLLSVMEGELEARDLVIEALRARRKEVFIQERYGRFNLNDPFLALQRDYEAGPGDKEKPV CTNPLSILEAVMAHCRKMQERMSAQLVAAESRQKKLEMEKLQLQALEQEHKKLAAHLEEE RGKNKHVVLMLVKECKQLSGKVVEEAQKLEEVMAQLEEEK >ENSMUSP00000122590.1 pep:known chromosome:GRCm38:6:18448250:18502056:-1 gene:ENSMUSG00000000416.16 transcript:ENSMUST00000142963.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cttnbp2 description:cortactin binding protein 2 [Source:MGI Symbol;Acc:MGI:1353467] MLLSVMEGELEARDLVIEALRARRKEVFIQERYGRFNLNDPFLALQRDYEAGPGDKEKPV CTNPLSILEAVMAHCRKMQERMSAQLVAAESRQK >ENSMUSP00000039289.5 pep:known chromosome:GRCm38:12:56712634:57197472:-1 gene:ENSMUSG00000035472.13 transcript:ENSMUST00000044634.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a21 description:solute carrier family 25 (mitochondrial oxodicarboxylate carrier), member 21 [Source:MGI Symbol;Acc:MGI:2445059] MSASNVSLLHETSRQVAAGGSAGLVEICLMHPLDVVKTRFQVQRSVTDPQSYRTVRGSFQ MIFRTEGLFGFYKGIIPPILAETPKRAVKFSTFELYKKFLGYMSLSPGLTFLIAGLGSGL TEAVVVNPFEVVKVGLQVNRNLFKEQPSTFAYARQIIKKEGLGFQGLNKGLTATLGRHGI FNMVYFGFYHNVKNIIPSSKDPTLEFLRKFGIGFVSGTMGSVFNIPFDVAKSRIQGPQPV PGEIKYRSCFKTMEMIYREEGILALYKGLVPKVMRLGPGGGVMLLVYEYTYAWLQENW >ENSMUSP00000106308.2 pep:known chromosome:GRCm38:12:56713813:57159244:-1 gene:ENSMUSG00000035472.13 transcript:ENSMUST00000110680.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a21 description:solute carrier family 25 (mitochondrial oxodicarboxylate carrier), member 21 [Source:MGI Symbol;Acc:MGI:2445059] MWACVNTHHDVDTSESPIVFISSYPNQESGLVEICLMHPLDVVKTRFQVQRSVTDPQSYR TVRGSFQMIFRTEGLFGFYKGIIPPILAETPKRAVKFSTFELYKKFLGYMSLSPGLTFLI AGLGSGLTEAVVVNPFEVVKVGLQVNRNLFKEQPSTFAYARQIIKKEGLGFQGLNKGLTA TLGRHGIFNMVYFGFYHNVKNIIPSSKDPTLEFLRKFGIGFVSGTMGSVFNIPFDVAKSR IQGPQPVPGEIKYRSCFKTMEMIYREEGILALYKGLVPKVMRLGPGGGVMLLVYEYTYAW LQENW >ENSMUSP00000070717.7 pep:known chromosome:GRCm38:10:67979605:68059737:1 gene:ENSMUSG00000037846.18 transcript:ENSMUST00000068994.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rtkn2 description:rhotekin 2 [Source:MGI Symbol;Acc:MGI:2158417] MEGQLLRGLAAQQDCSIREKIDLEIRMREGIWKLLSLSTKKDQVLHAVKNLMVCSARIQA YTAELQKSKEEIANQTGARLVLDSSSENKEGESCRGKIALSDIRIPLMWKDSDHFSNKEC TQRFAIFCLFRMGAQVFDTDMVIVDQTVTDICFENVTIFNEAGPDFQIKIEVYSCSAEES SLTNTPRKLAKKLKTSISKATGRKISAALQEESPEACLLAGSVAGAKYHLLAHTTLTLEN AGDCFKTHNLSVHGDEECSFWLPLYGNVCCRLVAQPACMAADAFAGFLNEQQTGKGLVGW RRLYCALRGGKLRCFYGPEEIEAKVEPALVVPIDKETRIQAVEKDSKKMHCFSVLSTAAG RAVSHIFAADSLADFQEWMGAFRQHFFDLSQWKHCCEELMRIEIMSPRKPPLFLAKEATS VYYDMSIDSPVKLESVTDIIQKKIGETNRQFLIGRDDQSAAPPWAAVFDGNHEMVIEKKV LSPIGEPAPDGKRKKRRAPLPPTDQPPFCIKTQGRANQSKDSATQAGVSGASSSPSDPRL SPPTHHLQKPVAAPRKLLPARKNSSADIGHTDTKTSLDAKPVPVPRQKSIRDILDPRSWL QAQV >ENSMUSP00000116166.1 pep:known chromosome:GRCm38:10:67979698:68059740:1 gene:ENSMUSG00000037846.18 transcript:ENSMUST00000147556.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rtkn2 description:rhotekin 2 [Source:MGI Symbol;Acc:MGI:2158417] MEGQLLRGLAAQQDCSIREKIDLEIRMREGIWKLLSLSTKKDQVLHAVKNLMVCSARIQA YTAELQKSKEEIANQTGARDSSSENKEGESCRGKIALSDIRIPLMWKDSDHFSNKECTQR FAIFCLFRMGAQVFDTDMVIVDQTVTDICFENVTIFNEAGPDFQIKIEVYSCSAEESSLT NTPRKLAKKLKTSISKATGRKISAALQEESPEACLLAGSVAGAKYHLLAHTTLTLENAGD CFKTHNLSVHGDEECSFWLPLYGNVCCRLVAQPACMAADAFAGFLNEQQTGKGLVGWRRL YCALRGGKLRCFYGPEEIEAKVEPALVVPIDKETRIQAVEKDSKKMHCFSVLSTAAGRAV SHIFAADSLADFQEWMGAFRQHFFDLSQWKHCCEELMRIEIMSPRKPPLFLAKEATSVYY DMSIDSPVKLESVTDIIQKKIGETNRQFLIGRDDQSAAPPWAAVFDGNHEMVIEKKVLSP IGEPAPDGKRKKRRAPLPPTDQPPFCIKTQGRANQSKDSATQAGVSGASSSPSDPRLSPP THHLQKPVAAPRKLLPARKNSSADIGHTDTKTSLDAKPVPVPRQKSIRDILDPRSWLQAQ V >ENSMUSP00000112946.1 pep:known chromosome:GRCm38:10:67979570:68043868:1 gene:ENSMUSG00000037846.18 transcript:ENSMUST00000118160.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtkn2 description:rhotekin 2 [Source:MGI Symbol;Acc:MGI:2158417] MEGQLLRGLAAQQDCSIREKIDLEIRMREGIWKLLSLSTKKDQVLHAVKNLMVCSARIQA YTAELQKSKEEIANQTGARLVLDSSSENKEGESCRGKIALSDIRIPLMWKDSDHFSNKEC TQRFAIFCLFRMGAQVFDTDMVIVDQTVTDICFENVTIFNEAGPDFQIKIEVYSCSAEES SLTNTPRKLAKKLKTSISKATGRKISAALQEESPEACLLAGSVAGAKYHLLAHTTLTLEN AGDCFKTHNLSVHGDEECSFWLPLYGNVCCRLVAQPACMAADAFAGFLNEQQTGKGLVGW RRLYCALRGGKLRCFYGPEEIEAKVEPALVVPIDKETRIQAVEKDSKKMHCFSVLSTAAG RAVSHIFAADSLADFQEWMGAFRQHFFDLSQWKHCCEELMRIEIMSPRKPPLFLAKEATS VYYDMSIDSPVKLESVTDIIQKKIGETNRQFLIGRDDQSAAPPWAAVFDGNHEMVIEKKV LSPIGEPAPDGKRKKRRAPLPPTDQPPFCIKTQGRANQSKDSATQAGVSGASSSPSDPRL SPPTHHLQKPVAAPRKLLPARKNSSADIGHTDTKTSLDAKPVPVPRQKSIRDILDPRSWL QAQV >ENSMUSP00000112419.1 pep:known chromosome:GRCm38:10:67979715:68042298:1 gene:ENSMUSG00000037846.18 transcript:ENSMUST00000117086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtkn2 description:rhotekin 2 [Source:MGI Symbol;Acc:MGI:2158417] MEGQLLRGLAAQQDCSIREKIDLEIRMREGIWKLLSLSTKKDQVLHAVKNLMVCSARIQA YTAELQKSKEEIANQTGARDSSSENKEGESCRGKIALSDIRIPLMWKDSDHFSNKECTQR FAIFCLFRMGAQVFDTDMVIVDQTVTDICFENVTIFNEAGPDFQIKIEVYSCSAEESSLT NTPRKLAKKLKTSISKATGRKISAALQEESPEACLLAGSVAGAKYHLLAHTTLTLENAGD CFKTHNLSVHGDEECSFWLPLYGNVCCRLVAQPACMAADAFAGFLNEQQTGKGLVGWRRL YCALRGGKLRCFYGPEEIEAKVEPALVVPIDKETRIQAVEKDSKKMHCFSVLSTAAGRAV SHIFAADSLADFQEWMGAFRQHFFDLSQWKHCCEELMRIEIMSPRKPPLFLAKEATSVYY DMSIDSPVKLESVTDIIQKKIGETNRQFLIGRDDQSAAPPWAAVFDGNHEMVIEKKVLSP IGEPAPDGKRKKRRAPLPPTDQPPFCIKTQGRANQSKDSATQAGVSGASSSPSDPRLSPP THHLQKPVAAPRKLLPARKNSSADIGHTDTKTSLDAKPVPVPRQKSIRDILDPRSWLQAQ V >ENSMUSP00000101077.1 pep:known chromosome:GRCm38:10:67979598:68043864:1 gene:ENSMUSG00000037846.18 transcript:ENSMUST00000105437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtkn2 description:rhotekin 2 [Source:MGI Symbol;Acc:MGI:2158417] MEGQLLRGLAAQQDCSIREKIDLEIRMREGIWKLLSLSTKKDQVLHAVKNLMVCSARIQA YTAELQKSKEEIANQTGARDSSSENKEGESCRGKIALSDIRIPLMWKDSDHFSNKECTQR FAIFCLFRMGAQVFDTDMVIVDQTVTDICFENVTIFNEAGPDFQIKIEVYSCSAEESSLT NTPRKLAKKLKTSISKATGRKISAALQEESPEACLLAGSAVLGAKYHLLAHTTLTLENAG DCFKTHNLSVHGDEECSFWLPLYGNVCCRLVAQPACMAADAFAGFLNEQQTGKGLVGWRR LYCALRGGKLRCFYGPEEIEAKVEPALVVPIDKETRIQAVEKDSKKMHCFSVLSTAAGRA VSHIFAADSLADFQEWMGAFRQHFFDLSQWKHCCEELMRIEIMSPRKPPLFLAKEATSVY YDMSIDSPVKLESVTDIIQKKIGETNRQFLIGRDDQSAAPPWAAVFDGNHEMVIEKKVLS PIGEPAPDGKRKKRRAPLPPTDQPPFCIKTQGRANQSKDSATQAGVSGASSSPSDPRLSP PTHHLQKPVAAPRKLLPARKNSSADIGHTDTKTSLDAKPVPVPRQKSIRDILDPRSWLQA QV >ENSMUSP00000001479.3 pep:known chromosome:GRCm38:11:97159714:97187881:-1 gene:ENSMUSG00000001440.5 transcript:ENSMUST00000001479.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpnb1 description:karyopherin (importin) beta 1 [Source:MGI Symbol;Acc:MGI:107532] MELITILEKTVSPDRLELEAAQKFLERAAVENLPTFLVELSRVLANPGNSQVARVAAGLQ IKNSLTSKDPDIKAQYQQRWLAIDANARREVKNYVLQTLGTETYRPSSASQCVAGIACAE IPVSQWPELIPQLVANVTNPNSTEHMKESTLEAIGYICQDIDPEQLQDKSNEILTAIIQG MRKEEPSNNVKLAATNALLNSLEFTKANFDKESERHFIMQVVCEATQCPDTRVRVAALQN LVKIMSLYYQYMETYMGPALFAITIEAMKSDIDEVALQGIEFWSNVCDEEMDLAIEASEA AEQGRPPEHTSKFYAKGALQYLVPILTQTLTKQDENDDDDDWNPCKAAGVCLMLLSTCCE DDIVPHVLPFIKEHIKNPDWRYRDAAVMAFGSILEGPEPNQLKPLVIQAMPTLIELMKDP SVVVRDTTAWTVGRICELLPEAAINDVYLAPLLQCLIEGLSAEPRVASNVCWAFSSLAEA AYEAADVADDQEEPATYCLSSSFELIVQKLLETTDRPDGHQNNLRSSAYESLMEIVKNSA KDCYPAVQKTTLVIMERLQQVLQMESHIQSTSDRIQFNDLQSLLCATLQNVLRKVQHQDA LQISDVVMASLLRMFQSTAGSGGVQEDALMAVSTLVEVLGGEFLKYMEAFKPFLGIGLKN YAEYQVCLAAVGLVGDLCRALQSNILPFCDEVMQLLLENLGNENVHRSVKPQILSVFGDI ALAIGGEFKKYLEVVLNTLQQASQAQVDKSDFDMVDYLNELRESCLEAYTGIVQGLKGDQ ENVHPDVMLVQPRVEFILSFIDHIAGDEDHTDGVVACAAGLIGDLCTAFGKDVLKLVEAR PMIHELLTEGRRSKTNKAKTLATWATKELRKLKNQA >ENSMUSP00000124297.1 pep:known chromosome:GRCm38:1:165788681:165871153:1 gene:ENSMUSG00000005763.15 transcript:ENSMUST00000161971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd247 description:CD247 antigen [Source:MGI Symbol;Acc:MGI:88334] MKWKVSVLACILHVRFPGAEAQSFGLLDPKLCYLLDGILFIYGVIITALYLRAKFSRSAE TAANLQDPNQLYNELNLGRREEYDVLEKKRARDPEMGGKQQRRRNPQEGVYNALQKDKMA EAYSEIGTKGERRRGKGHDGLYQPADIRSLLASQQPYPNTLCSKNSSTGEEGDVIRL >ENSMUSP00000005907.5 pep:known chromosome:GRCm38:1:165788689:165862066:1 gene:ENSMUSG00000005763.15 transcript:ENSMUST00000005907.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd247 description:CD247 antigen [Source:MGI Symbol;Acc:MGI:88334] MKWKVSVLACILHVRFPGAEAQSFGLLDPKLCYLLDGILFIYGVIITALYLRAKFSRSAE TAANLQDPNQLYNELNLGRREEYDVLEKKRARDPEMGGKQQRRRNPQEGVYNALQKDKMA EAYSEIGTKGERRRGKGHDGLYQGLSTATKDTYDALHMQTLAPR >ENSMUSP00000027849.4 pep:known chromosome:GRCm38:1:165788723:165867436:1 gene:ENSMUSG00000005763.15 transcript:ENSMUST00000027849.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd247 description:CD247 antigen [Source:MGI Symbol;Acc:MGI:88334] MKWKVSVLACILHVRFPGAEAQSFGLLDPKLCYLLDGILFIYGVIITALYLRAKFSRSAE TAANLQDPNQLYNELNLGRREEYDVLEKKRARDPEMGGKQQRRRNPQEGVYNALQKDKMA EAYSEIGTKGERRRGKGHDGLYQDSHFQAVQFGNRREREGSELTRTLGLRARPKGESTQQ SSQSCASVFSIPTLWSPWPPSSSSQL >ENSMUSP00000083165.4 pep:known chromosome:GRCm38:1:165788765:165869094:1 gene:ENSMUSG00000005763.15 transcript:ENSMUST00000086002.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd247 description:CD247 antigen [Source:MGI Symbol;Acc:MGI:88334] MKWKVSVLACILHVRFPGAEAQSFGLLDPKLCYLLDGILFIYGVIITALYLRAKFSRSAE TAANLQDPNQLYNELNLGRREEYDVLEKKRARDPEMGGKQQRRRNPQEGVYNALQKDKMA EAYSEIGTKGERRRGKGHDGLYQDSHFQAVQFGNRREREGSELTRTLGLRARPKGESTQQ SSQSCASVFSIPTLWSPWPPSSSSQL >ENSMUSP00000124299.2 pep:known chromosome:GRCm38:1:165788765:165870249:1 gene:ENSMUSG00000005763.15 transcript:ENSMUST00000161559.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd247 description:CD247 antigen [Source:MGI Symbol;Acc:MGI:88334] MKWKVSVLACILHVRFPGAEAQSFGLLDPKLCYLLDGILFIYGVIITALYLRAKFSRSAE TAANLQDPNQLYNELNLGRREEYDVLEKKRARDPEMGGKQRRRNPQEGVYNALQKDKMAE AYSEIGTKGERRRGKGHDGLYQDSHFQAVQFGNRREREGSELTRTLGLRARPKACRHKKP LSLPAAVS >ENSMUSP00000140926.1 pep:known chromosome:GRCm38:1:165788681:165871153:1 gene:ENSMUSG00000005763.15 transcript:ENSMUST00000187313.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd247 description:CD247 antigen [Source:MGI Symbol;Acc:MGI:88334] MKWKVSVLACILHVRFPGAEAQSFGLLDPKLCYLLDGILFIYGVIITALYLRAKFSRSAE TAANLQDPNQLYNELNLGRREEYDVLEKKRARDPEMGGKQQRRRNPQEGVYNALQKDKMA EAYSEIGTKGERRRGKGHDGLYQDSHFQAVQFGNRREREGSELTRTLGLRARPKGESTQQ SSQSCASVFSIPTLWSPWPPSSSSQL >ENSMUSP00000136456.1 pep:known chromosome:GRCm38:1:165788681:165871153:1 gene:ENSMUSG00000005763.15 transcript:ENSMUST00000178336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd247 description:CD247 antigen [Source:MGI Symbol;Acc:MGI:88334] MKWKVSVLACILHVRFPGAEAQSFGLLDPKLCYLLDGILFIYGVIITALYLRAKFSRSAE TAANLQDPNQLYNELNLGRREEYDVLEKKRARDPEMGGKQQRRRNPQEGVYNALQKDKMA EAYSEIGTKGERRRGKGHDGLYQDSHFQAVQFGNRREREGSELTRTLGLRARPKACRHKK PLSLPAAVS >ENSMUSP00000135214.1 pep:known chromosome:GRCm38:4:115784812:115798006:1 gene:ENSMUSG00000028710.16 transcript:ENSMUST00000177280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atpaf1 description:ATP synthase mitochondrial F1 complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:2180560] MEQARGPGAEADAPEEEEGESQAAMAAVVSAAGGACPAVLQVAGLYRGLCAVRSRALGLG FVSPAQLRVFPVRRGSGLPPEGADGSGVSELEANPFYDRYRDKIQQLRRSDPAAFESRLE KRSEFRKQPVGHSKQSDFIKCMEQKTLSSVFNVEMVKDKTAEEIKQIWQQYFSAKDTVYA VIPKEKFDLIWNRAQSCPTFLCALPRRDGYEF >ENSMUSP00000135831.1 pep:known chromosome:GRCm38:4:115784814:115812314:1 gene:ENSMUSG00000028710.16 transcript:ENSMUST00000176047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atpaf1 description:ATP synthase mitochondrial F1 complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:2180560] MEQARGPGAEADAPEEEEGESQAAMAAVVSAAGGACPAVLQVAGLYRGLCAVRSRALGLG FVSPAQLRVFPVRRGSGLPPEGADGSGVSELEANPFYDRYRDKIQQLRRSDPAAFESRLE KRSEFRKQPVGHSKQSDFIKCMEQKTDALGKQPVSKGFTKDKTLSSVFNVEMVKDKTAEE IKQIWQQYFSAKDTVYAVIPKEKFDLIWNRAQSCPTFLCALPRRDGYEFFVGQWTGTELH FTALINIQTRGDAAASQLILYHYPELKEEKGIVLMTAEMDSTFLNVVEAQCIANQVQLFY ATDRKEIYGLVETFNFRPNEFKYMSVIAELEQSGLGAELKRAQNQDKT >ENSMUSP00000138942.1 pep:known chromosome:GRCm38:4:115785212:115814295:1 gene:ENSMUSG00000028710.16 transcript:ENSMUST00000184179.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atpaf1 description:ATP synthase mitochondrial F1 complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:2180560] XPPEGADGSGVSELEANPFYDRYRDKIQQLRRSDPAAFESRLEKRSEFRKQPVGHSKQSD FIKCMEQKTDALGKQPVSKGFTKDKTLSSVFNVEMVKDKTAEEIKQIWQQYFSAKDTVYA VIPKEKFDLIWNRAQSCPTFLCALPRRDGYEFFVGQWTGTELHFTALINIQTRGDAAASQ LILYHYPELKEEKGIVLMTAEMDSTFLNVVEAQCIANQVQLFYATDRKEIYGLVETFNFR PNEFKYMSVIAELEQSGLGAELKRAQNQDKT >ENSMUSP00000135073.1 pep:known chromosome:GRCm38:4:115787743:115811261:1 gene:ENSMUSG00000028710.16 transcript:ENSMUST00000175725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atpaf1 description:ATP synthase mitochondrial F1 complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:2180560] MEQKTDALGKQPVSKGFTKDKTLSSVFNVEMVKDKTAEEIKQIWQQYFSAKDTVYAVIPK EKFDLIWNRAQSCPTFLCALPRRDGYEFFVGQWTGTELHFTALINIQTRGDAAASQLILY HYPELKEEKGIVLMTAEMDSTFLNVVEAQCIANQVQLFYATDRKEIYGLVETFNFRPNEF KYMSVIAELEQSGLGAELKRAQNQDKT >ENSMUSP00000135741.1 pep:known chromosome:GRCm38:4:115791284:115811871:1 gene:ENSMUSG00000028710.16 transcript:ENSMUST00000176192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atpaf1 description:ATP synthase mitochondrial F1 complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:2180560] XIWQQYFSAKDTVYAVIPKEKFDLIWNRAQSCPTNVVEAQCIANQVQLFYATDRKEIYGL VETFNFRPNEFKYMSVIAELEQSGLGAELKRAQNQDKT >ENSMUSP00000135490.1 pep:known chromosome:GRCm38:4:115800384:115818828:1 gene:ENSMUSG00000028710.16 transcript:ENSMUST00000137401.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atpaf1 description:ATP synthase mitochondrial F1 complex assembly factor 1 [Source:MGI Symbol;Acc:MGI:2180560] GIVLMTAEMDSTFLNVVEAQCIANQVQLFYATDRKEIYGLVETFNFRPNEFKYMSVIAEL EQSGLGAELKRAQNQDKT >ENSMUSP00000124327.1 pep:known chromosome:GRCm38:9:9660969:10904775:-1 gene:ENSMUSG00000039488.15 transcript:ENSMUST00000160216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn5 description:contactin 5 [Source:MGI Symbol;Acc:MGI:3042287] MASCWRLILFLSVTRWLSDYSEALSGLSTSYAALLRIKKSSTSPFGSKSRPRFSSPSLGT ISVSPPSWRGAAQQYHSPGNLYHSSDAFRQDESVDYGPVFVQEPDDVIFPTDSDEKKVAL NCEVRGNPSPSYRWLRNGTEIALESDYRYSLIDGTFIISNPSELRDSGLYQCLATNSFGS ILSREATLQFAYLGNFSGRTRSAVSVREGQGVVLMCSPPPHSPEIIYSWVFNEFPSFVAE DSRRFISQETGNLYISKVQTSDVGSYICLVKNAVTNARVLSPPTPLTLRNDGVMGEYEPK IEVHFPFTVTAAKGTTVKMECFALGNPVPTITWMKVNGYIPSKSRLRKSQAVLEIPNLQL DDAGIYECTAENSRGKNSFRGQLQIFTYPHWVQKLNDTQLDSGSPLQWECKATGKPRPTY RWLKNGAPLLPQSRVDTVNGILAIQSVNQSDAGMYQCLAENKYGAIYASAELKILASPPS FELNQVKKSIIVTKDRGVLIECEPQGSPKPAISWRKGDKAVRANKRIAILPDGSLRILNA SKADEGKYICQGVNIFGSAEIIASLSVKEPTRIELTPKRTELTVGESIVLNCKAIHDASL DVTFYWTLKGQPIDFEKEGGHFENIRAQASSADLMIRNILLMHAGRYGCRVQTTADSVSD EAELLVRGPPGPPGVVIVEEITESTATLSWSPATDNHSPISSYNLQARSPFSLGWQTVKT VPEVITGDMESAMAVDLNPWVEYEFRVVATNPIGTGDPSIPSRMIRTNEAVPKTAPSNVS GRSGRRHELVIAWEPVSEEFQNGEGFGYIVAFRPNGTRGWKEKMVTSSEASKFIYRDESV PPLTPFEVKVGVYNNKGDGPFSQIVVICSAEGEPTAAPTDVTATSVSVSEIFVVWKHVKE SLGRPQGFEISYWKDTEPEDSVETVRTRGNESFVMLTGLEGNTLYHLTVRAYNGAGYGPP SREASTTTKRHPPREPPGNLRWEQQGSQVSLGWEPVRPLANESEVMGYKVFYRQEGHSEG QVIETQKPQAVVPLPEAGVYIIEVRAYSEGGDGTASSQIRVPSYSGGKITSAQSTLHSLS KWSSVTLLLALMLPSSSW >ENSMUSP00000124214.1 pep:known chromosome:GRCm38:9:9660891:10904726:-1 gene:ENSMUSG00000039488.15 transcript:ENSMUST00000162484.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn5 description:contactin 5 [Source:MGI Symbol;Acc:MGI:3042287] MASCWRLILFLSVTRWLSEIIYSWVFNEFPSFVAEDSRRFISQETGNLYISKVQTSDVGS YICLVKNAVTNARVLSPPTPLTLRNDGVMGEYEPKIEVHFPFTVTAAKGTTVKMECFALG NPVPTITWMKVNGYIPSKSRLRKSQAVLEIPNLQLDDAGIYECTAENSRGKNSFRGQLQI FTYPHWVQKLNDTQLDSGSPLQWECKATGKPRPTYRWLKNGAPLLPQSRVDTVNGILAIQ SVNQSDAGMYQCLAENKYGAIYASAELKILASPPSFELNQVKKSIIVTKDRGVLIECEPQ GSPKPAISWRKGDKAVRANKRIAILPDGSLRILNASKADEGKYICQGVNIFGSAEIIASL SVKEPTRIELTPKRTELTVGESIVLNCKAIHDASLDVTFYWTLKGQPIDFEKEGGHFENI RAQASSADLMIRNILLMHAGRYGCRVQTTADSVSDEAELLVRGPPGPPGVVIVEEITEST ATLSWSPATDNHSPISSYNLQARSPFSLGWQTVKTVPEVITGDMESAMAVDLNPWVEYEF RVVATNPIGTGDPSIPSRMIRTNEAVPKTAPSNVSGRSGRRHELVIAWEPVSEEFQNGEG FGYIVAFRPNGTRGWKEKMVTSSEASKFIYRDESVPPLTPFEVKVGVYNNKGDGPFSQIV VICSAEGEPTAAPTDVTATSVSVSEIFVVWKHVKESLGRPQGFEISYWKDTEPEDSVETV RTRGNESFVMLTGLEGNTLYHLTVRAYNGAGYGPPSREASTTTKRHPPREPPGNLRWEQQ GSQVSLGWEPVRPLANESEVMGYKVFYRQEGHSEGQVIETQKPQAVVPLPEAGVYIIEVR AYSEGGDGTASSQIRVPSYSGGKITSAQSTLHSLSKWSSVTLLLALMLPSSSW >ENSMUSP00000073769.6 pep:known chromosome:GRCm38:9:9661363:10419090:-1 gene:ENSMUSG00000039488.15 transcript:ENSMUST00000074133.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn5 description:contactin 5 [Source:MGI Symbol;Acc:MGI:3042287] MASCWRLILFLSVTRWLSDYSEALSGLSTSYAALLRIKKSSTSPFGSKSRPRFSSPSLGT ISVSPPSWRGAAQQYHSPGNLYHSSDAFRQDESVDYGPVFVQEPDDVIFPTDSDEKKVAL NCEVRGNPSPSYRWLRNGTEIALESDYRYSLIDGTFIISNPSELRDSGLYQCLATNSFGS ILSREATLQFAYLGNFSGRTRSAVSVREGQGVVLMCSPPPHSPEIIYSWVFNEFPSFVAE DSRRFISQETGNLYISKVQTSDVGSYICLVKNAVTNARVLSPPTPLTLRNDGVMGEYEPK IEVHFPFTVTAAKGTTVKMECFALGNPVPTITWMKVNGYIPSKSRLRKSQAVLEIPNLQL DDAGIYECTAENSRGKNSFRGQLQIFTYPHWVQKLNDTQLDSGSPLQWECKATGKPRPTY RWLKNGAPLLPQSRVDTVNGILAIQSVNQSDAGMYQCLAENKYGAIYASAELKILASPPS FELNQVKKSIIVTKDRGVLIECEPQGSPKPAISWRKGDKAVRANKRIAILPDGSLRILNA SKADEGKYICQGVNIFGSAEIIASLSVKEPTRIELTPKRTELTVGESIVLNCKAIHDASL DVTFYWTLKGQPIDFEKEGGHFENIRAQASSADLMIRNILLMHAGRYGCRVQTTADSVSD EAELLVRGPPGPPGVVIVEEITESTATLSWSPATDNHSPISSYNLQARSPFSLGWQTVKT VPEVITGDMESAMAVDLNPWVEYEFRVVATNPIGTGDPSIPSRMIRTNEAVPKTAPSNVS GRSGRRHELVIAWEPVSEEFQNGEGFGYIVAFRPNGTRGWKEKMVTSSEASKFIYRDESV PPLTPFEVKVGVYNNKGDGPFSQIVVICSAEGEPTAAPTDVTATSVSVSEIFVVWKHVKE SLGRPQGFEISYWKDTEPEDSVETVRTRGNESFVMLTGLEGNTLYHLTVRAYNGAGYGPP SREASTTTKRHPPREPPGNLRWEQQGSQVSLGWEPVRPLANESEVMGYKVFYRQEGHSEG QVIETQKPQAVVPLPEAGVYIIEVRAYSEGGDGTASSQIRVPSYSGGKITSAQSTLHSLS KWSSVTLLLALMLPSSSW >ENSMUSP00000135903.1 pep:known chromosome:GRCm38:9:9661363:10419090:-1 gene:ENSMUSG00000039488.15 transcript:ENSMUST00000179049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn5 description:contactin 5 [Source:MGI Symbol;Acc:MGI:3042287] MASCWRLILFLSVTRWLSEIIYSWVFNEFPSFVAEDSRRFISQETGNLYISKVQTSDVGS YICLVKNAVTNARVLSPPTPLTLRNDGVMGEYEPKIEVHFPFTVTAAKGTTVKMECFALG NPVPTITWMKVNGYIPSKSRLRKSQAVLEIPNLQLDDAGIYECTAENSRGKNSFRGQLQI FTYPHWVQKLNDTQLDSGSPLQWECKATGKPRPTYRWLKNGAPLLPQSRVDTVNGILAIQ SVNQSDAGMYQCLAENKYGAIYASAELKILASPPSFELNQVKKSIIVTKDRGVLIECEPQ GSPKPAISWRKGDKAVRANKRIAILPDGSLRILNASKADEGKYICQGVNIFGSAEIIASL SVKEPTRIELTPKRTELTVGESIVLNCKAIHDASLDVTFYWTLKGQPIDFEKEGGHFENI RAQASSADLMIRNILLMHAGRYGCRVQTTADSVSDEAELLVRGPPGPPGVVIVEEITEST ATLSWSPATDNHSPISSYNLQARSPFSLGWQTVKTVPEVITGDMESAMAVDLNPWVEYEF RVVATNPIGTGDPSIPSRMIRTNEAVPKTAPSNVSGRSGRRHELVIAWEPVSEEFQNGEG FGYIVAFRPNGTRGWKEKMVTSSEASKFIYRDESVPPLTPFEVKVGVYNNKGDGPFSQIV VICSAEGEPTAAPTDVTATSVSVSEIFVVWKHVKESLGRPQGFEISYWKDTEPEDSVETV RTRGNESFVMLTGLEGNTLYHLTVRAYNGAGYGPPSREASTTTKRHPPREPPGNLRWEQQ GSQVSLGWEPVRPLANESEVMGYKVFYRQEGHSEGQVIETQKPQAVVPLPEAGVYIIEVR AYSEGGDGTASSQIRVPSYSGGKITSAQSTLHSLSKWSSVTLLLALMLPSSSW >ENSMUSP00000027581.6 pep:known chromosome:GRCm38:1:125676995:125873862:1 gene:ENSMUSG00000026343.6 transcript:ENSMUST00000027581.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr39 description:G protein-coupled receptor 39 [Source:MGI Symbol;Acc:MGI:1918361] MASSSGSNHICSRVIDHSHVPEFEVATWIKITLILVYLIIFVVGILGNSVTIRVTQVLQK KGYLQKEVTDHMVSLACSDILVFLIGMPMEFYSIIWNPLTTPSYALSCKLHTFLFETCSY ATLLHVLTLSFERYIAICHPFKYKAVSGPRQVKLLIGFVWVTSALVALPLLFAMGIEYPL VNVPTHKGLNCNLSRTRHHDEPGNSNMSICTNLSNRWEVFQSSIFGAFAVYLVVLASVAF MCWNMMKVLMKSKQGTLAGTGPQLQLRKSESEESRTARRQTIIFLRLIVVTLAVCWMPNQ IRRIMAAAKPKHDWTRTYFRAYMILLPFSDTFFYLSSVVNPLLYNVSSQQFRKVFWQVLC CRLTLQHANQEKRQRARFISTKDSTSSARSPLIFLASRRSNSSSRRTNKVFLSTFQTEAK PGEAKPQPLSPESPQTGSETKPAGSTPENSLQEQEV >ENSMUSP00000145268.1 pep:known chromosome:GRCm38:6:48840919:48842330:1 gene:ENSMUSG00000023367.14 transcript:ENSMUST00000204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176a description:transmembrane protein 176A [Source:MGI Symbol;Acc:MGI:1913308] MSTDMETAVVGKVDPEAPQPTHIDVHIHQESALAKLLLAGC >ENSMUSP00000098969.4 pep:known chromosome:GRCm38:6:48841512:48845869:1 gene:ENSMUSG00000023367.14 transcript:ENSMUST00000101426.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176a description:transmembrane protein 176A [Source:MGI Symbol;Acc:MGI:1913308] MSTDMETAVVGKVDPEAPQPTHIDVHIHQESALAKLLLAGCSLLRIPASASTQSQGSSRV LVASWVVQTVLGALSVVLGGTLYIGHYLAMYSEGAPFWTGIVAMLAGAVAFLHKKRGGTC WALMRTLLVLASFCTAVAAIVIGSRELNFYWYFLGDDVCQRDSSYGWSTMPRTTPVPEEA DRIALCIYYTSMLKTLLMSLQAMLLGIWVLLLLASLTPVCVYIWKRFFTKAETEEKKLLG AAVI >ENSMUSP00000145101.1 pep:known chromosome:GRCm38:6:48841522:48847071:1 gene:ENSMUSG00000023367.14 transcript:ENSMUST00000204482.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem176a description:transmembrane protein 176A [Source:MGI Symbol;Acc:MGI:1913308] MSTDMETAVVGKVDPEAPQPTHIDVHIHQESALAKLLLAGCSLLRIPASASTQSQGSSRV LVASWVVQTVLGALSVVLGGTLYIGHYLAMYSEGAPFWTGIVAMLAGAVAFLHKKRGGTC WALMRTLLVLASFCTAVAAIVIGSRELNFYWYFLGDDVCQRDSSYGWSTMPRTTPVPEEA DRIALCIYYTSMLKTLLMSLQAMLLGIWVLLLLASLTPVCVYIWKRFFTKAETEEKKLLG AAVI >ENSMUSP00000131775.1 pep:known chromosome:GRCm38:6:48841583:48845365:1 gene:ENSMUSG00000023367.14 transcript:ENSMUST00000168406.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176a description:transmembrane protein 176A [Source:MGI Symbol;Acc:MGI:1913308] MSTDMETAVVGKVDPEAPQPTHIDVHIHQESALAKLLLAGCSLLRIPASASTQSQGSSRV LVASWVVQTVLGALSVVLGGTLYIGHYLAMYSEGAPFWTGIVAMLAGAVAFLHKKRGGTC WALMRTLLVLASFCTAVAAIVIGSRELNFYWYFLGDDVCQRDSSYGWSTMPRTTPVPEEA DRIALCIYYTSMLKTLLMSLQAMLLGIWVLLLLASLTPVCVYIWKRFFTKAETEEKKLLG AAVI >ENSMUSP00000145250.1 pep:known chromosome:GRCm38:6:48841770:48844775:1 gene:ENSMUSG00000023367.14 transcript:ENSMUST00000203639.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176a description:transmembrane protein 176A [Source:MGI Symbol;Acc:MGI:1913308] MSTDMETAVVGKVDPEAPQPTHIDVHIHQESALAKLLLAGCSLLRIPASASTQSQGSSRV LVASWVVQTVLGALSVVLGGTLYIGHYLAMYSEGAPFWTGIVAMLAGAVAFLHKKRGGTC WALMRTLLVLASFCTAVAAIVIGSRELNFYWYFLGDDVCQRDSSYGWSTMPRTTPVPEEA DRIALCIYYTSMLKTLLMSLQAMLLGIWVLLLLASLTPVCVYIWKRFFTK >ENSMUSP00000144729.1 pep:known chromosome:GRCm38:6:48842589:48843592:1 gene:ENSMUSG00000023367.14 transcript:ENSMUST00000204309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176a description:transmembrane protein 176A [Source:MGI Symbol;Acc:MGI:1913308] VVQTVLGALSVVLGGTLYIGHYLAMYSEGAPFWTGIVLDGPNGC >ENSMUSP00000055261.3 pep:known chromosome:GRCm38:X:136927325:136928376:1 gene:ENSMUSG00000048155.4 transcript:ENSMUST00000059808.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2bfm description:H2B histone family, member M [Source:MGI Symbol;Acc:MGI:1916639] MASTTAMDVLEELSSDSSEKQVQPRKPEKAKREKDKPKKGGPEKKAKKEKQEKAKPEKKP KKKPEKEKPEGEKLEKKPKKDKREKAKPKKKPEQENREQETPEQEKPEVQRRRSLHQSIR EDERRARLIRRRKNSFAIYFPKVLKNIHVGLSLSQRSVNILDSFVKDMFERIASEASFLA RQARNSTINSREIQTAIRLLLPGELCRRAVAEGTMAMVRYISNK >ENSMUSP00000073098.3 pep:known chromosome:GRCm38:6:57158920:57159854:1 gene:ENSMUSG00000057981.4 transcript:ENSMUST00000073384.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r12 description:vomeronasal 1 receptor 12 [Source:MGI Symbol;Acc:MGI:3645555] MSSLSFMPSLLENILYFQAGLGVLANIFLLVFYVFIILGHRPKPMDLISCQLTFVHIMMF FAGENFWLADIFESLNVENDFKCKATFYTKRVMRGLSICITCLLSVFQAVMISHRNSLLA KIKHRLKKYMINTLFYIWSFNLSFSSNLIFYVGGFTNVSQTKQLKVTKSCSLIPMNYIVR SLILTVTTSRDMFLVGIMLTTSTYMVIILCRHQRQCKHLHSITPCLRASPETRATHIILL LVFFFVVMYWVDFIISFTSILLWIYDPVILIVQKFVVNAYPTITPLVQISSDKRITRMVK NLQSQCY >ENSMUSP00000140224.1 pep:known chromosome:GRCm38:1:84721189:84840516:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000186465.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSCSSSSAVIVPQPEDPDRANTSERQKT GQVPKKDNSRGVKRSASPDYNRTNSPSSAKKPRAFQHIESFSETNKPHSKSKKRHLDQEQ QLKSAQLPSTSKAHTRKSVAAGSSRNQKRKRTESSCVKSGSGSESTGAEERSAKPIKLAS KSATSAKAGCSTITDSSSAASTSSSSSAIASASSTVPAGARVKQGKDQNKARRSRSASSP SPRRSSREKEQSKTGGSSKFDWAARFSPKVSLPKTKLSLPGSSKSETSKPGPSGLQAKLA SLRKSTKKRSESPPAELPSLRRSTRQKTTGSCASTSRRGSGLGKRGAAEARRQEKMADPE SNQETVNSSAARTDEAPQGAAASSSVAGAVGMTTSGESESDDSEMGRLQALLEARGLPPH LFGPLGPRMSQLFHRTIGSGASSKAQQLLQGLQASDESQQLQAVIEMCQLLVMGNEETLG GFPVKSVVPALITLLQMEHNFDIMNHACRALTYMMEALPRSSAVVVDAIPVFLEKLQVIQ CIDVAEQALTALEMLSRRHSKAILQAGGLADCLLYLEFFSINAQRNALAIAANCCQSITP DEFHFVADSLPLLTQRLTHQDKKSVESTCLCFARLVDNFQHEENLLQQVASKDLLTNVQQ LLVVTPPILSSGMFIMVVRMFSLMCSNCPTLAVQLMKQNIAETLHFLLCGASNGSCQEQI DLVPRSPQELYELTSLICELMPCLPKEGIFAVDTMLKKGNAQNTDGAIWQWRDDRGLWHP YNRIDSRIIEAAHQVGEDEISLSTLGRVYTIDFNSMQQINEDTGTARAIQRKPNPLANSN TSGYSELKKDDARAQLMKEDPELAKSFIKTLFGVLYEVYSSSAGPAVRHKCLRAILRIIY FADAELLKDVLKNHAVSSHIASMLSSQDLKIVVGALQMAEILMQKLPDIFSVYFRREGVM HQVKHLAESESLLTSPPKACTNGSGSLGSTTPASSGTATAATNASADLGSPSLQHSRDDS LDLSPQGRLSDVLKRKRLPKRGPRRPKYSPPRDDDKVDNQAKSPTTTQSPKSSFLASLNP KTWGRLSAQSNSNNIEPARTAGVSGLARAASKDTISNNREKIKGWIKEQAHKFVERYFSS ENMDGSNPALNVLQRLCAATEQLNLQVDGGAECLVEIRSIVSESDVSSFEIQHSGFVKQL LLYLTSKNEKDAVGREIRLKRFLHVFFSSPLPGEEPVGRVEPVGHAPLLALVHKMNNCLS QMEQFPVKVHDFPSGNGAGGSFSLNRGSQALKFFNTHQLKCQLQRHPDCANVKQWKGGPV KIDPLALVQAIERYLVVRGYGRVREDDEDSDDDGSDEEIDESLAAQFLNSGNVRHRLQFY IGEHLLPYNMTVYQAVRQFSVQAEDERESTDDESNPLGRAGIWTKTHTIWYKPVREDEES TKDCVGGKRGRAQTAPTKTSPRNAKKHDELWHDGVCPSVANPLEVYLIPTPPENITFEDP SLDVILLLRVLHAISRYWYYLYDNAMCKEIIPTSEFINSKLTAKANRQLQDPLVIMTGNI PTWLTELGKTCPFFFPFDTRQMLFYVTAFDRDRAMQRLLDTNPEINQSDSQDSRVAPRLD RKKRTVNREELLKQAESVMQDLGSSRAMLEIQYENEVGTGLGPTLEFYALVSQELQRADL CLWRGEEVTLSNPKGSQEGTKYIQNLQGLFALPFGRTAKPAHIAKVKMKFRFLGKLMAKA IMDFRLVDLPLGLPFYKWMLRQETSLTSHDLFDIDPVVARSVYHLEDIVRQKKRLEQDKS QTKESLQYALETLTMNGCSVEDLGLDFTLPGFPNIELKKGGKDIPVTIHNLEEYLRLVIF WALNEGVCRQFDSFRDGFESVFPLCHLQYFYPEELDQLLCGSKADTWDAKTLMECCRPDH GYTHDSRAVKFLFEILSSFDNEQQRLFLQFVTGSPRLPVGGFRSLNPPLTIVRKTFESTE NPDDFLPSVMTCVNYLKLPDYSSIDIMRDKLLIAAREGQQSFHLS >ENSMUSP00000140789.1 pep:known chromosome:GRCm38:1:84724264:84749277:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000189670.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] IQHSGFVKQLLLYLTSKNEKDAVGREIRLKRFLHVFFSSPLPGEEPVGRVEPVGHAPLLA LVHKMNNCLSQMEQFPVKVHDFPSGNGAGGRGSQALKFFNTHQLKCQLQRHPDCANVKQW KGGPVKIDPLALVQAIERYLVVRGYGRVREDDEDSDDDGSDEEIDESLAAQFLNSGNVRH RLQFYIGEHLLPYNMTVYQAVRQFSVQAEDERESTDDESNPLGRAGIWTKTHTIWYKPVR EDEESTKDCVGGKRGRAQTAPTKTSPRNAKKHDELWHDGVCPSVANPLEVYLIPTPPENI TFEDPSLDVILLLRVLHAISRYWYYLYDNAMCKEIIPTSEFINSKLTAKANRQLQDPLVI MTGNIPTWLTELGKTCPFFFPFDTRQMLFYVTAFDRDRAMQRLLDTNPEINQSDSQDSRV APRLDRKKRTVNREELLKQAESVMQDLGSSRAMLEIQYENEVGTGLGPTLEFYALVSQEL QRADLCLWRGEEVTLSNPKGSQEGTKYIQNLQGLFALPFGRTAKPAHIAKVKMKFRFLGK LMAKAIMDFRLVDLPLGLPFYKWMLRQETSLTSHDLFDIDPVVARSVYHLEDIVRQKKRL EQDKSQTKESLQYALETLTMNGCSVEDLGLDFTLPGFPNIELKKGGKDIPVTIHNLEEYL RLVIFWALNEGVCRQFDSFRDGFESVFPLCHLQYFYPEELDQLLCGSKADTWDAKTLMEC CRPDHGYTHDSRAVKFLFEILSSFDNEQQRLFLQFVTGSPRLPVGGFRSLNPPLTIVRKT FESTENPDDFLPSVMTCVNYLKLPDYSSIDIMRDKLLIAAREGQQSFHLS >ENSMUSP00000139563.1 pep:known chromosome:GRCm38:1:84724264:84839288:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000186648.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSCSSSSAVIVPQPEDPDRANTSERQKT GQVPKKDNSRGVKRSASPDYNRTNSPSSAKKPRAFQHIESFSETNKPHSKSKKRHLDQEQ QLKSAQLPSTSKAHTRKSVAAGSSRNQKRKRTESSCVKSGSGSESTGAEERSAKPIKLAS KSATSAKAGCSTITDSSSAASTSSSSSAIASASSTVPAGARVKQGKDQNKARRSRSASSP SPRRSSREKEQSKTGGSSKFDWAARFSPKVSLPKTKLSLPGSSKSETSKPGPSGLQAKLA SLRKSTKKRSESPPAELPSLRRSTRQKTTGSCASTSRRGSGLGKRGAAEARRQEKMADPE SNQETVNSSAARTDEAPQGAAGAVGMTTSGESESDDSEMGRLQALLEARGLPPHLFGPLG PRMSQLFHRTIGSGASSKAQQLLQGLQASDESQQLQAVIEMCQLLVMGNEETLGGFPVKS VVPALITLLQMEHNFDIMNHACRALTYMMEALPRSSAVVVDAIPVFLEKLQVIQCIDVAE QALTALEMLSRRHSKAILQAGGLADCLLYLEFFSINAQRNALAIAANCCQSITPDEFHFV ADSLPLLTQRLTHQDKKSVESTCLCFARLVDNFQHEENLLQQVASKDLLTNVQQLLVVTP PILSSGMFIMVVRMFSLMCSNCPTLAVQLMKQNIAETLHFLLCGASNGSCQEQIDLVPRS PQELYELTSLICELMPCLPKEGIFAVDTMLKKGNAQNTDGAIWQWRDDRGLWHPYNRIDS RIIEQINEDTGTARAIQRKPNPLANSNTSGYSELKKDDARAQLMKEDPELAKSFIKTLFG VLYEVYSSSAGPAVRHKCLRAILRIIYFADAELLKDVLKNHAVSSHIASMLSSQDLKIVV GALQMAEILMQKLPDIFSVYFRREGVMHQVKHLAESESLLTSPPKACTNGSGSLGSTTPA SSGTATAATNASADLGSPSLQHSRDDSLDLSPQGRLSDVLKRKRLPKRGPRRPKYSPPRD DDKVDNQAKSPTTTQSPKSSFLASLNPKTWGRLSAQSNSNNIEPARTAGVSGLARAASKD TISNNREKIKGWIKEQAHKFVERYFSSENMDGSNPALNVLQRLCAATEQLNLQVDGGAEC LVEIRSIVSESDVSSFEIQHSGFVKQLLLYLTSKNEKDAVGREIRLKRFLHVFFSSPLPG EEPVGRVEPVGHAPLLALVHKMNNCLSQMEQFPVKVHDFPSGNGAGGSFSLNRGSQALKF FNTHQLKCQLQRHPDCANVKQWKGGPVKIDPLALVQAIERYLVVRGYGRVREDDEDSDDD GSDEEIDESLAAQFLNSGNVRHRLQFYIGEHLLPYNMTVYQAVRQFSVQAEDERESTDDE SNPLGRAGIWTKTHTIWYKPVREDEESTKDCVGGKRGRAQTAPTKTSPRNAKKHDELWHD GVCPSVANPLEVYLIPTPPENITFEDPSLDVILLLRVLHAISRYWYYLYDNAMCKEIIPT SEFINSKLTAKANRQLQDPLVIMTGNIPTWLTELGKTCPFFFPFDTRQMLFYVTAFDRDR AMQRLLDTNPEINQSDSQDSRVAPRLDRKKRTVNREELLKQAESVMQDLGSSRAMLEIQY ENEVGTGLGPTLEFYALVSQELQRADLCLWRGEEVTLSNPKGSQEGTKYIQNLQGLFALP FGRTAKPAHIAKVKMKFRFLGKLMAKAIMDFRLVDLPLGLPFYKWMLRQETSLTSHDLFD IDPVVARSVYHLEDIVRQKKRLEQDKSQTKESLQYALETLTMNGCSVEDLGLDFTLPGFP NIELKKGGKDIPVTIHNLEEYLRLVIFWALNEGVCRQFDSFRDGFESVFPLCHLQYFYPE ELDQLLCGSKADTWDAKTLMECCRPDHGYTHDSRAVKFLFEILSSFDNEQQRLFLQFVTG SPRLPVGGFRSLNPPLTIVRKTFESTENPDDFLPSVMTCVNYLKLPDYSSIDIMRDKLLI AAREGQQSFHLS >ENSMUSP00000140675.1 pep:known chromosome:GRCm38:1:84724577:84731703:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000187733.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] PAHIAKVKMKFRFLGKLMAKAIMDFRLPDQYIT >ENSMUSP00000140879.1 pep:known chromosome:GRCm38:1:84744728:84754404:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000189841.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] GSGSLGSTTPASSGTATAATNASADLGSPSLQHSRDDSLDLSPQGRLSDVLKRKRLPKRG PRRACWKSRTSGPCTFAGIGSQDEQLSQPNGAISSQST >ENSMUSP00000139986.1 pep:known chromosome:GRCm38:1:84749219:84839311:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000185909.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSHLGQAKRKGYIPPESRKSNFKAPKVQ SNTTSELSRGHLSKRSCSSSSAVIVPQPEDPDRANTSERQKTGQVPKKDNSRGVKRSASP DYNRTNSPSSAKKPRAFQHIESFSETNKPHSKSKKRHLDQEQQLKSAQLPSTSKAHTRKS VAAGSSRNQKRKRTESSCVKSGSGSESTGAEERSAKPIKLASKSATSAKAGCSTITDSSS AASTSSSSSAIASASSTVPAGARVKQGKDQNKARRSRSASSPSPRRSSREKEQSKTGGSS KFDWAARFSPKVSLPKTKLSLPGSSKSETSKPGPSGLQAKIFPSKR >ENSMUSP00000140267.1 pep:known chromosome:GRCm38:1:84754403:84839304:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000186894.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSCSSSSAVIVPQPEDPDRANTSERQKT GQVPKKDNSRGVKRSASPDYNRTNSPSSAKKPRAFQHIESFSETNKPHSKSKKRHLDQEQ QLKSAQLPSTSKAHTRKSVAAGSSRNQKRKRTESSCVKSGSGSESTGAEERSAKPIKLAS KSATSAKAGCSTITDSSSAASTSSSSSAIASASSTVPAGARVKQGKDQNKARRSRSASSP SPRRSSREKEQSKTGGSSKFDWAARFSPKVSLPKTKLSLPGSSKSETSKPGPSGLQAKLA SLRKSTKKRSESPPAELPSLRRSTRQKTTGSCASTSRRGSGLGKRGAAEARRQEKMADPE SNQETVNSSAARTDEAPQGAAASSSVAGAVGMTTSGESESDDSEMGRLQALLEARGLPPH LFGPLGPRMSQLFHRTIGSGASSKAQQLLQGLQASDESQQLQAVIEMCQLLVMGNEETLG GFPVKSVVPALITLLQMEHNFDIMNHACRALTYMMEALPRSSAVVVDAIPVFLEKLQVIQ CIDVAEQALTALEMLSRRHSKAILQAGGLADCLLYLEFFSINAQRNALAIAANCCQSITP DEFHFVADSLPLLTQRLTHQDKKSVESTCLCFARLVDNFQHEENLLQQVASKDLLTNVQQ LLVVTPPILSSGMFIMVVRMFSLMCSNCPTLAVQLMKQNIAETLHFLLCGASNGSCQEQI DLVPRSPQELYELTSLICELMPCLPKEGIFAVDTMLKKGNAQNTDGAIWQWRDDRGLWHP YNRIDSRIIEAAHQVGEDEISLSTLGRVYTIDFNSMQQINEDTGTARAIQRKPNPLANSN TSGYSELKKDDARAQLMKEDPELAKSFIKTLFGVLYEVYSSSAGPAVRHKCLRAILRIIY FADAELLKDVLKNHAVSSHIASMLSSQDLKIVVGALQMAEILMQKLPDIFSVYFRREGVM HQVKHLAESESLLTSPPKACTNG >ENSMUSP00000141035.1 pep:known chromosome:GRCm38:1:84765987:84793551:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000190464.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] XSGLQAKLASLRKSTKKRSESPPAELPSLRRSTRQKTTGSCASTSRRGSGLGKRGAAEAR RQEKMADPESNQETVNSSAARTDEAPQGAAALLEARGLPPHLFGPLGPRMSQLFHRTIGS GASSKAQQLLQGLQASDESQQLQAVIEMCQLLVMGNEETLGGFPVKSVVPALITLLQMEH NFDIMNHACRALTYMMEALPRSSAVVVDAIPVF >ENSMUSP00000139682.1 pep:known chromosome:GRCm38:1:84782827:84839301:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000189496.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSHLGQAKRKGYIPPESRKSNFKAPKVQ SNTTSELSRGHLSKRSCSSSSAVIVPQPEDPDRANTSERQKTGQVPKKDNSRGVKRSASP DYNRTNSPSSAKKPRAFQHIESFSETNKPHSKSKKRHLDQEQQLKSAQLPSTSKAHTRKS VAAGSSRNQKRKRTESSCVKSGSGSESTGAEERSAKPIKLASKSATSAKAGCSTITDSSS AASTSSSSSAIASASSTVPAGARVKQGKDQNKARRSRSASSPSPRRSSREKEQSKTGGSS KFDWAARFSPKVSLPKTKLSLPGSSKSETSKPGPSGLQAKLASLRKSTKKRSESPPAELP SLRRSTRQKTTGSCASTSRRGSGLGKRGAAEARRQEKMADPESNQETVNSSAARTDEAPQ GAA >ENSMUSP00000140817.1 pep:known chromosome:GRCm38:1:84793987:84840266:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000190067.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSCSSSSAVIVPQPEDPDRANTSERQKT GQVPKKDNSRGVKRSASPDYNRTNSPSSAKKPRAFQHIESFSETNKPHSKSKKRHLDQEQ QLKSAQLPSTSKAHTRKSVAAGSSRN >ENSMUSP00000140917.1 pep:known chromosome:GRCm38:1:84794231:84838731:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000187818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSCSSSSAVIVPQPEDPDRANTSERQKT GQVPK >ENSMUSP00000027421.6 pep:known chromosome:GRCm38:1:84721189:84839304:-1 gene:ENSMUSG00000026219.14 transcript:ENSMUST00000027421.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip12 description:thyroid hormone receptor interactor 12 [Source:MGI Symbol;Acc:MGI:1309481] MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSCSSSSAVIVPQPEDPDRANTSERQKT GQVPKKDNSRGVKRSASPDYNRTNSPSSAKKPRAFQHIESFSETNKPHSKSKKRHLDQEQ QLKSAQLPSTSKAHTRKSVAAGSSRNQKRKRTESSCVKSGSGSESTGAEERSAKPIKLAS KSATSAKAGCSTITDSSSAASTSSSSSAIASASSTVPAGARVKQGKDQNKARRSRSASSP SPRRSSREKEQSKTGGSSKFDWAARFSPKVSLPKTKLSLPGSSKSETSKPGPSGLQAKLA SLRKSTKKRSESPPAELPSLRRSTRQKTTGSCASTSRRGSGLGKRGAAEARRQEKMADPE SNQETVNSSAARTDEAPQGAAASSSVAGAVGMTTSGESESDDSEMGRLQALLEARGLPPH LFGPLGPRMSQLFHRTIGSGASSKAQQLLQGLQASDESQQLQAVIEMCQLLVMGNEETLG GFPVKSVVPALITLLQMEHNFDIMNHACRALTYMMEALPRSSAVVVDAIPVFLEKLQVIQ CIDVAEQALTALEMLSRRHSKAILQAGGLADCLLYLEFFSINAQRNALAIAANCCQSITP DEFHFVADSLPLLTQRLTHQDKKSVESTCLCFARLVDNFQHEENLLQQVASKDLLTNVQQ LLVVTPPILSSGMFIMVVRMFSLMCSNCPTLAVQLMKQNIAETLHFLLCGASNGSCQEQI DLVPRSPQELYELTSLICELMPCLPKEGIFAVDTMLKKGNAQNTDGAIWQWRDDRGLWHP YNRIDSRIIEAAHQVGEDEISLSTLGRVYTIDFNSMQQINEDTGTARAIQRKPNPLANSN TSGYSELKKDDARAQLMKEDPELAKSFIKTLFGVLYEVYSSSAGPAVRHKCLRAILRIIY FADAELLKDVLKNHAVSSHIASMLSSQDLKIVVGALQMAEILMQKLPDIFSVYFRREGVM HQVKHLAESESLLTSPPKACTNGSGSLGSTTPASSGTATAATNASADLGSPSLQHSRDDS LDLSPQGRLSDVLKRKRLPKRGPRRPKYSPPRDDDKVDNQAKSPTTTQSPKSSFLASLNP KTWGRLSAQSNSNNIEPARTAGVSGLARAASKDTISNNREKIKGWIKEQAHKFVERYFSS ENMDGSNPALNVLQRLCAATEQLNLQVDGGAECLVEIRSIVSESDVSSFEIQHSGFVKQL LLYLTSKNEKDAVGREIRLKRFLHVFFSSPLPGEEPVGRVEPVGHAPLLALVHKMNNCLS QMEQFPVKVHDFPSGNGAGGSFSLNRGSQALKFFNTHQLKCQLQRHPDCANVKQWKGGPV KIDPLALVQAIERYLVVRGYGRVREDDEDSDDDGSDEEIDESLAAQFLNSGNVRHRLQFY IGEHLLPYNMTVYQAVRQFSVQAEDERESTDDESNPLGRAGIWTKTHTIWYKPVREDEES TKDCVGGKRGRAQTAPTKTSPRNAKKHDELWHDGVCPSVANPLEVYLIPTPPENITFEDP SLDVILLLRVLHAISRYWYYLYDNAMCKEIIPTSEFINSKLTAKANRQLQDPLVIMTGNI PTWLTELGKTCPFFFPFDTRQMLFYVTAFDRDRAMQRLLDTNPEINQSDSQDSRVAPRLD RKKRTVNREELLKQAESVMQDLGSSRAMLEIQYENEVGTGLGPTLEFYALVSQELQRADL CLWRGEEVTLSNPKGSQEGTKYIQNLQGLFALPFGRTAKPAHIAKVKMKFRFLGKLMAKA IMDFRLVDLPLGLPFYKWMLRQETSLTSHDLFDIDPVVARSVYHLEDIVRQKKRLEQDKS QTKESLQYALETLTMNGCSVEDLGLDFTLPGFPNIELKKGGKDIPVTIHNLEEYLRLVIF WALNEGVCRQFDSFRDGFESVFPLCHLQYFYPEELDQLLCGSKADTWDAKTLMECCRPDH GYTHDSRAVKFLFEILSSFDNEQQRLFLQFVTGSPRLPVGGFRSLNPPLTIVRKTFESTE NPDDFLPSVMTCVNYLKLPDYSSIDIMRDKLLIAAREGQQSFHLS >ENSMUSP00000099791.2 pep:known chromosome:GRCm38:11:54910787:54962910:-1 gene:ENSMUSG00000020400.17 transcript:ENSMUST00000102730.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip1 description:TNFAIP3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1926194] MEGRGPYGIYDPGGSTPLGEVSAAFERLVEENTRLKGKMQGIKMLGELLEESQMEASRLR QKAEELVKDSELSPPTSAPSLVSFDDLAELTGQDTKVQVHPATSTAATTTATATTGNSME KPEPASKSPSNGASSDFEVVPTEEQNSPETGSHPTNMMDLGPPPPEDSNLKLHLQRLETT LSVCAEEPDHSQLFTHLGRMALEFNRLASKVHKNEQRTSILQTLCEQLRQENEALKAKLD KGLEQRDLAAERLREENTELKKLLMNSSCKEGLCGQPSSPKPEGAGKKGVAGQQQASVMA SKVPEAGAFGAAEKKVKLLEQQRMELLEVNKQWDQHFRSMKQQYEQKITELRQKLVDLQK QVTELEAEREQKQRDFDRKLLLAKSKIEMEETDKEQLTAEAKELRQKVRYLQDQLSPLTR QREYQEKEIQRLNKALEEALSIQASPSSPPAAFGSPEGVGGHLRKQELVTQNELLKQQVK IFEEDFQRERSDRERMNEEKEELKKQVEKLQAQVTLTNAQLKTLKEEEKAKEALKQQKRK AKASGERYHMEPHPEHVCGAYPYAYPPMPAMVPHHAYKDWSQIRYPPPPVPMEHPPPHPN SRLFHLPEYTWRPPCAGIRNQSSQVMDPPPDRPAEPEPADLRLPKV >ENSMUSP00000018482.6 pep:known chromosome:GRCm38:11:54910787:54962912:-1 gene:ENSMUSG00000020400.17 transcript:ENSMUST00000018482.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip1 description:TNFAIP3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1926194] MEGRGPYGIYDPGGSTPLGEVSAAFERLVEENTRLKGKMQGIKMLGELLEESQMEASRLR QKAEELVKDSELSPPTSAPSLVSFDDLAELTGQDTKVQVHPATSTAATTTATATTGNSME KPEPASKSPSNGASSDFEVVPTEEQNSPETGSHPTNMMDLGPPPPEDSNLKLHLQRLETT LSVCAEEPDHSQLFTHLGRMALEFNRLASKVHKNEQRTSILQTLCEQLRQENEALKAKLD KGLEQRDLAAERLREENTELKKLLMNSSCKEGLCGQPSSPKPEGAGKKGVAGQQQASVMA SKVPEAGAFGAAEKKVKLLEQQRMELLEVNKQWDQHFRSMKQQYEQKITELRQKLVDLQK QVTELEAEREQKQRDFDRKLLLAKSKIEMEETDKEQLTAEAKELRQKVRYLQDQLSPLTR QREYQEKEIQRLNKALEEALSIQASPSSPPAAFGSPEGVGGHLRKQELVTQNELLKQQVK IFEEDFQRERSDRERMNEEKEELKKQVEKLQAQVTLTNAQLKTLKEEEKAKEALKQQKRK AKASGERYHMEPHPEHVCGAYPYAYPPMPAMVPHHAYKDWSQIRYPPPPVPMEHPPPHPN SRLFHLPEYTWRPPCAGIRNQSSQVMDPPPDRPAEPESADNDCDGPQ >ENSMUSP00000104514.1 pep:known chromosome:GRCm38:11:54910787:54962916:-1 gene:ENSMUSG00000020400.17 transcript:ENSMUST00000108886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip1 description:TNFAIP3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1926194] MEASRLRQKAEELVKDSELSPPTSAPSLVSFDDLAELTGQDTKVQVHPATSTAATTTATA TTGNSMEKPEPASKSPSNGASSDFEVVPTEEQNSPETGSHPTNMMDLGPPPPEDSNLKLH LQRLETTLSVCAEEPDHSQLFTHLGRMALEFNRLASKVHKNEQRTSILQTLCEQLRQENE ALKAKLDKGLEQRDLAAERLREENTELKKLLMNSSCKEGLCGQPSSPKPEGAGKKGVAGQ QQASVMASKVPEAGAFGAAEKKVKLLEQQRMELLEVNKQWDQHFRSMKQQYEQKITELRQ KLVDLQKQVTELEAEREQKQRDFDRKLLLAKSKIEMEETDKEQLTAEAKELRQKVRYLQD QLSPLTRQREYQEKEIQRLNKALEEALSIQASPSSPPAAFGSPEGVGGHLRKQELVTQNE LLKQQVKIFEEDFQRERSDRERMNEEKEELKKQVEKLQAQVTLTNAQLKTLKEEEKAKEA LKQQKRKAKASGERYHMEPHPEHVCGAYPYAYPPMPAMVPHHAYKDWSQIRYPPPPVPME HPPPHPNSRLFHLPEYTWRPPCAGIRNQSSQVMDPPPDRPAEPESADNDCDGPQ >ENSMUSP00000099792.1 pep:known chromosome:GRCm38:11:54910787:54962917:-1 gene:ENSMUSG00000020400.17 transcript:ENSMUST00000102731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip1 description:TNFAIP3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1926194] MEGRGPYGIYDPGGSTPLGEVSAAFERLVEENTRLKGKMQGIKMLGELLEESQMEASRLR QKAEELVKDSELSPPTSAPSLVSFDDLAELTGQDTKVQVHPATSTAATTTATATTGNSME KPEPASKSPSNGASSDFEVVPTEEQNSPETGSHPTNMMDLGPPPPEDSNLKLHLQRLETT LSVCAEEPDHSQLFTHLGRMALEFNRLASKVHKNEQRTSILQTLCEQLRQENEALKAKLD KGLEQRDLAAERLREENTELKKLLMNSSCKEGLCGQPSSPKPEGAGKKGVAGQQQASVMA SKVPEAGAFGAAEKKVKLLEQQRMELLEVNKQWDQHFRSMKQQYEQKITELRQKLVDLQK QVTELEAEREQKQRDFDRKLLLAKSKIEMEETDKEQLTAEAKELRQKVRYLQDQLSPLTR QREYQEKEIQRLNKALEEALSIQASPSSPPAAFGSPEGVGGHLRKQELVTQNELLKQQVK IFEEDFQRERSDRERMNEEKEELKKQVEKLQAQVTLTNAQLKTLKEEEKAKEALKQQKRK AKASGERYHMEPHPEHVCGAYPYAYPPMPAMVPHHAYKDWSQIRYPPPPVPMEHPPPHPN SRLFHLPEYTWRPPCAGIRNQSSQVMDPPPDRPAEPESADNDCDGPQ >ENSMUSP00000104513.1 pep:known chromosome:GRCm38:11:54910789:54962909:-1 gene:ENSMUSG00000020400.17 transcript:ENSMUST00000108885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip1 description:TNFAIP3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1926194] MEASRLRQKAEELVKDSELSPPTSAPSLVSFDDLAELTGQDTKVQVHPATSTAATTTATA TTGNSMEKPEPASKSPSNGASSDFEVVPTEEQNSPETGSHPTNMMDLGPPPPEDSNLKLH LQRLETTLSVCAEEPDHSQLFTHLGRMALEFNRLASKVHKNEQRTSILQTLCEQLRQENE ALKAKLDKGLEQRDLAAERLREENTELKKLLMNSSCKEGLCGQPSSPKPEGAGKKGVAGQ QQASVMASKVPEAGAFGAAEKKVKLLEQQRMELLEVNKQWDQHFRSMKQQYEQKITELRQ KLVDLQKQVTELEAEREQKQRDFDRKLLLAKSKIEMEETDKEQLTAEAKELRQKVRYLQD QLSPLTRQREYQEKEIQRLNKALEEALSIQASPSSPPAAFGSPEGVGGHLRKQELVTQNE LLKQQVKIFEEDFQRERSDRERMNEEKEELKKQVEKLQAQVTLTNAQLKTLKEEEKAKEA LKQQKRKAKASGERYHMEPHPEHVCGAYPYAYPPMPAMVPHHAYKDWSQIRYPPPPVPME HPPPHPNSRLFHLPEYTWRPPCAGIRNQSSQVMDPPPDRPAEPESADNDCDGPQ >ENSMUSP00000122836.1 pep:known chromosome:GRCm38:11:54926704:54956122:-1 gene:ENSMUSG00000020400.17 transcript:ENSMUST00000126703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip1 description:TNFAIP3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1926194] MEASRLRQKAEELVKDSELSPPTSAPSLVSFDDLAELTGQDTKVQVHPATSTAATTTATA TTGNSMEKPEPASKSPSNGASSDFEVVPTEEQNSPETGSHPTNMMDLGPPPPEDSNLKLH LQRLETTLSVCAEEPDHSQLFTHLGRMALEFNRLASKVHKNEQRTSILQTLCEQLRQENE ALKAKLDKGLEQRDLAAERLREENTELKKLLMNSSCKEGLCGQPSSPKPEGAGKKGVAGQ QQASVMASKVPEAGAFGAAEKKVKLLEQQRME >ENSMUSP00000116721.1 pep:known chromosome:GRCm38:11:54934013:54956047:-1 gene:ENSMUSG00000020400.17 transcript:ENSMUST00000155316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip1 description:TNFAIP3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1926194] MEGRGPYGIYDPGGSTPLGEVSAAFERLVEENTRLKGKMQGIKMLGELLEESQMEASRLR QKAEELVKDSELSPPTSAPSLVSFDDLAELTGQDTKVQVHPATSTAATTTATATTGNSME KPEPASKSPSNGASSDFEVVPTEEQNSPETGSHPTNMMDLGPPPPEDSNLKLHLQRLETT LSVCAEEPDHSQLFTHLGRMALEFNRLASKVHKN >ENSMUSP00000104517.3 pep:known chromosome:GRCm38:11:54910785:54956122:-1 gene:ENSMUSG00000020400.17 transcript:ENSMUST00000108889.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip1 description:TNFAIP3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1926194] MEGRGPYGIYDPGGSTPLGEVSAAFERLVEENTRLKGKMQGIKMLGELLEESQMEASRLR QKAEELVKDSELSPPTSAPSLVSFDDLAELTGQDTKVQVHPATSTAATTTATATTGNSME KPEPASKSPSNGASSDFEVVPTEEQNSPETGSHPTNMMDLGPPPPEDSNLKLHLQRLETT LSVCAEEPDHSQLFTHLGRMALEFNRLASKVHKNEQRTSILQTLCEQLRQENEALKAKLD KGLEQRDLAAERLREENTELKKLLMNSSCKEGLCGQPSSPKPEGAGKKGVAGQQQASVMA SKVPEAGAFGAAEKKVKLLEQQRMELLEVNKQWDQHFRSMKQQYEQKITELRQKLVDLQK QVTELEAEREQKQRDFDRKLLLAKSKIEMEETDKEQLTAEAKELRQKVRYLQDQLSPLTR QREYQEKEIQRLNKALEEALSIQASPSSPPAAFGSPEGVGGHLRKQELVTQNELLKQQVK IFEEDFQRERSDRERMNEEKEELKKQVEKLQAQVTLTNAQLKTLKEEEKAKEALKQQKRK AKASGERYHMEPHPEHVCGAYPYAYPPMPAMVPHHAYKDWSQIRYPPPPVPMEHPPPHPN SRLFHLPEYTWRPPCAGIRNQSSQVMDPPPDRPAEPESADNDCDGPQ >ENSMUSP00000125149.1 pep:known chromosome:GRCm38:1:125867622:125912214:-1 gene:ENSMUSG00000026344.9 transcript:ENSMUST00000159417.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd1 description:Ly6/Plaur domain containing 1 [Source:MGI Symbol;Acc:MGI:1919835] MWVLGIAATFCGLFWLPGLALQIQCYQCEEFQLNNDCSSPEFIVNCTVNVQDMCQKEVME QSAGIMYRKSCASSAACLIASAGYQSFCSPGKLNSVCISCCNTPLCNGPRPKKRGSSASA IRPGLLTTLLFFHLALCLAHC >ENSMUSP00000125158.1 pep:known chromosome:GRCm38:1:125872284:125911387:-1 gene:ENSMUSG00000026344.9 transcript:ENSMUST00000162899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd1 description:Ly6/Plaur domain containing 1 [Source:MGI Symbol;Acc:MGI:1919835] MCQKEVMEQSAGIMYRKSCASSAACLIASAGYQSFCSPGKLNSVCISCCNTPLCNGPRPK KRGSSASAIRPGLLTTLLFFHLALCLAHC >ENSMUSP00000027582.4 pep:known chromosome:GRCm38:1:125872318:125912928:-1 gene:ENSMUSG00000026344.9 transcript:ENSMUST00000027582.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd1 description:Ly6/Plaur domain containing 1 [Source:MGI Symbol;Acc:MGI:1919835] MCQKEVMEQSAGIMYRKSCASSAACLIASAGYQSFCSPGKLNSVCISCCNTPLCNGPRPK KRGSSASAIRPGLLTTLLFFHLALCLAHC >ENSMUSP00000123824.1 pep:known chromosome:GRCm38:1:125873101:125910908:-1 gene:ENSMUSG00000026344.9 transcript:ENSMUST00000159529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd1 description:Ly6/Plaur domain containing 1 [Source:MGI Symbol;Acc:MGI:1919835] MCQKEVMEQSAGIMYRKSCASSAACLIASAGYQSFCSPGKLNSVCISCCNTPLCNGPRPK KRGSSASAIRPGLLTTLLFFHLALCLAHC >ENSMUSP00000124265.2 pep:known chromosome:GRCm38:1:125873519:125913101:-1 gene:ENSMUSG00000026344.9 transcript:ENSMUST00000161361.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd1 description:Ly6/Plaur domain containing 1 [Source:MGI Symbol;Acc:MGI:1919835] MCQKEVMEQSAGIMYRKSCASSAACLIAS >ENSMUSP00000074990.5 pep:known chromosome:GRCm38:7:27029090:27036832:1 gene:ENSMUSG00000060407.6 transcript:ENSMUST00000075552.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2a12 description:cytochrome P450, family 2, subfamily a, polypeptide 12 [Source:MGI Symbol;Acc:MGI:105055] MLGSGLLLLAILAFLSVMVLVSVWQQKIRGKLPPGPIPLPFIGNYLQLNRKDVYSSITQL QEHYGPVFTIHLGPRRVVVLYGYDAVKEALVDHAEEFSGRGEQATFNTLFKGYGVAFSNG ERAKQLRRFSIATLRDFGMGKRGVEERIQEEAGCLIKMLQGTCGAPIDPTIYLSKTASNV ISSIVFGDRFNYEDKEFLSLLQMMGQVNKFAASPTGQLYDMFHSVMKYLPGPQQQIIKDS HKLEDFMIQKVKQNQSTLDPNSPRDFIDSFLIHMQKEKYVNSEFHMKNLVMTSLNLFFAG SETVSSTLRYGFLLLMKHPDVEAKVHEEIDRVIGRNRQPQYEDHMKMPYTQAVINEIQRF SNFAPLGIPRRITKDTSFRGFFLPKGTEVFPILGSLMTDPKFFSSPKDFNPQHFLDDKGQ LKKIPAFLPFSTGKRFCLGDSLAKMELFLFFTTILQNFRFKFPRKLEDINESPTPEGFTR IIPKYTMSFVPI >ENSMUSP00000068282.6 pep:known chromosome:GRCm38:5:87124960:87140318:-1 gene:ENSMUSG00000054630.7 transcript:ENSMUST00000067790.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2b5 description:UDP glucuronosyltransferase 2 family, polypeptide B5 [Source:MGI Symbol;Acc:MGI:98900] MPGKWISALLLLQISCCFRSVKCGKVLVWPMEFSHWMNIKIILDELVQRGHEVTVLRPSA YYVLDPKKSPGLKFETFPTSVSKDNLENFFIKFVDVWTYEMPRDTCLSYSPLLQNMIDEF SDYFLSLCKDVVSNKELMTKLQESKFDVLLSDPVASCGELIAELLQIPFLYSIRFSPGYQ IEKSSGRFLLPPSYVPVILSGLGGQMTFIERIKNMICMLYFDFWFQMFNDKKWDSFYSEY LGRPTTLVETMGQAEMWLIRSNWDLEFPHPTLPNVDYVGGLHCKPAKPLPKDMEEFVQSS GDHGVVVFSLGSMVSNMTEEKANAIAWALAQIPQKVLWKFDGKTPATLGHNTRVYKWLPQ NDLLGHPKTKAFVTHGGANGVYEAIYHGIPMIGIPLFGEQHDNIAHMVAKGAAVALNIRT MSKSDVLNALEEVIENPFYKKNAMWLSTIHHDQPMKPLDRAVFWIEFVMRHKRAKHLRPL GHNLTWYQYHSLDVIGFLLSCVATTIVLSVKCLLFIYRFFVKKENKMKNE >ENSMUSP00000106647.1 pep:known chromosome:GRCm38:1:182124737:182131919:1 gene:ENSMUSG00000026511.7 transcript:ENSMUST00000111018.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp9 description:signal recognition particle 9 [Source:MGI Symbol;Acc:MGI:1350930] MPQFQTWEEFSRAAEKLYLADPMKCLVYRTDQAQDVKKIEKFHSQLMRLMVAKESRNVTM ETE >ENSMUSP00000027792.5 pep:known chromosome:GRCm38:1:182124750:182132407:1 gene:ENSMUSG00000026511.7 transcript:ENSMUST00000027792.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp9 description:signal recognition particle 9 [Source:MGI Symbol;Acc:MGI:1350930] MPQFQTWEEFSRAAEKLYLADPMKVRVVLKYRHVDGNLCIKVTDDLVCLVYRTDQAQDVK KIEKFHSQLMRLMVAKESRNVTMETE >ENSMUSP00000072386.3 pep:known chromosome:GRCm38:18:34331145:34336599:1 gene:ENSMUSG00000014504.16 transcript:ENSMUST00000072576.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp19 description:signal recognition particle 19 [Source:MGI Symbol;Acc:MGI:1913634] MACAAARSPADQDRFICIYPAYLNNKKTIAEGRRIPISKAVENPTATEIQDVCSAVGLNA FLEKNKMYSREWNRDVQFRGRVRVQLKQEDGSLCLVQFPSRKSVMLYVAEMIPKLKTRTQ KSGGADPSLQQGEGSKKGKGKKKK >ENSMUSP00000112826.1 pep:known chromosome:GRCm38:18:34331158:34336421:1 gene:ENSMUSG00000014504.16 transcript:ENSMUST00000119329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp19 description:signal recognition particle 19 [Source:MGI Symbol;Acc:MGI:1913634] MACAAARSPADQDRFICIYPAYLNNKKTIAEGRRIPISKKNKMYSREWNRDVQFRGRVRV QLKQEDGSLCLVQFPSRKSVMLYVAEMIPKLKTRTQKSGGADPSLQQGEGSKKGKGKKKK >ENSMUSP00000116373.1 pep:known chromosome:GRCm38:18:34331176:34336553:1 gene:ENSMUSG00000014504.16 transcript:ENSMUST00000142010.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srp19 description:signal recognition particle 19 [Source:MGI Symbol;Acc:MGI:1913634] MACAAARSPADQDRFICIYPAYLNNKKTIAEGRRIPISKE >ENSMUSP00000034543.4 pep:known chromosome:GRCm38:9:35267865:35275965:1 gene:ENSMUSG00000032044.4 transcript:ENSMUST00000034543.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpusd4 description:RNA pseudouridylate synthase domain containing 4 [Source:MGI Symbol;Acc:MGI:1919239] MAAPCLRTPGVQLLSMSSRPGRLFTPGSWSFCSSATSSRPLNAQRLAEKLRAQKQEQKAK EVRVPTNPVQRRVQELVRFTQQLQRVHPNVLAKELSRRILHQDNDLVVINKPYGLPVHGG PGVQLCISDVLPILAKMLHGHKAEPLHLCHRLDKETTGVMVLAWEKDMAHQVQELFRTRQ VEKKYWAITVRVPLPSAGVVDIPIKEKEVQGPQQHHKMTLSPSYRLDNGKMVKVRASRDA HVAVTQYQVLSSASSSALVELQPVTGIKHQLRVHLSFGLDCPILGDHKYSDWTRLAPQKL SAGTLKKLGLQQSKARYIPLHLHARQLILPALGSRTEELLLTCKLPHFFARSLLRLGLDM PNQDQSRGNKARHVEAR >ENSMUSP00000120327.1 pep:known chromosome:GRCm38:9:35275197:35277731:1 gene:ENSMUSG00000032044.4 transcript:ENSMUST00000144582.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpusd4 description:RNA pseudouridylate synthase domain containing 4 [Source:MGI Symbol;Acc:MGI:1919239] XKLPHFFARSLLRLGLDMPNQDQSRGNKARHVEAR >ENSMUSP00000044048.8 pep:known chromosome:GRCm38:3:95658788:95663176:1 gene:ENSMUSG00000038612.16 transcript:ENSMUST00000037947.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcl1 description:myeloid cell leukemia sequence 1 [Source:MGI Symbol;Acc:MGI:101769] MFGLRRNAVIGLNLYCGGASLGAGGGSPAGARLVAEEAKARREGGGEAALLPGARVVARP PPVGAEDPDVTASAERRLHKSPGLLAVPPEEMAASAAAAIVSPEEELDGCEPEAIGKRPA VLPLLERVSEAAKSSGADGSLPSTPPPPEEEEDDLYRQSLEIISRYLREQATGSKDSKPL GEAGAAGRRALETLRRVGDGVQRNHETAFQGMLRKLDIKNEGDVKSFSRVMVHVFKDGVT NWGRIVTLISFGAFVAKHLKSVNQESFIEPLAETITDVLVRTKRDWLVKQRGWDGFVEFF HVQDLEGGIRNVLLAFAGVAGVGAGLAYLIR >ENSMUSP00000135915.1 pep:known chromosome:GRCm38:3:95658857:95660823:1 gene:ENSMUSG00000038612.16 transcript:ENSMUST00000178686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcl1 description:myeloid cell leukemia sequence 1 [Source:MGI Symbol;Acc:MGI:101769] MFGLRRNAVIGLNLYCGGAEDPDVTASAERRLHKSPGLLAVPPEEMAASAAAAIVSPEEE LDGCEPEAIGKRPAVLPLLERVSEAAKSSGADGSLPSTPPPPEEEEDDLYRQSLEIISRY LREQATGSKDSKPLGEAGAAGRRALETLRRVGDGVQRNHETAFQGMLRKLDIKNEGDVKS FSRVMVHVFKDGVTNWGRIVTLISFGAFVAKHLKSVNQESFIEPLAETITDVLVRTKRDW LVKQRGWDGFVEFFHVQDLEGGIRNVLLAFAGVAGVGAGLAYLIR >ENSMUSP00000147222.1 pep:known chromosome:GRCm38:X:161287456:161307699:1 gene:ENSMUSG00000108981.1 transcript:ENSMUST00000141946.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15262 description:predicted gene 15262 [Source:MGI Symbol;Acc:MGI:3705232] MSEEEEIIYINMESDTDDSHISYDGDELFSEDFGSDIEDTSSFEGLTVDSELEDSDLDSF VEESLSEI >ENSMUSP00000147241.1 pep:known chromosome:GRCm38:X:161297767:161333207:1 gene:ENSMUSG00000108981.1 transcript:ENSMUST00000207353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15262 description:predicted gene 15262 [Source:MGI Symbol;Acc:MGI:3705232] MSEEEEIIYINMESDTDDSHISYDGDELFSEDFGSDIEDTSSFEGLTVDSELEDSDLDSF VEESLSEIEDEYDDDNGADGDEEDDDEDEEEEDCEENLPENLKRFNSSPLALPRLTKRRR SSSPDSFMQLQELLERTNRQITNIYEAVSRIHEFCGVSQMERCRNCDEQLTPAVPAVQDQ DNPEGTVLQPVAEAKPAKLDPDADIEPSAGGAQETNTAISSDQPAVEAPPGFQQPVDSTP AHHPSEDGKLKNKVPFYDEGCPFYEIPEVIDASCTNNNVIMNKPTFEKNQQDGFSPPVYH NFGIPVIPEAVLVNNPEMMDHPPAVGNSSGHQNLSSSTGPIPDSEIKEVVLLEMPGKTEV IMDGTRQTAYYPALLGNVVPPDPETVAASISSES >ENSMUSP00000147075.1 pep:known chromosome:GRCm38:X:161297803:161333207:1 gene:ENSMUSG00000108981.1 transcript:ENSMUST00000207853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15262 description:predicted gene 15262 [Source:MGI Symbol;Acc:MGI:3705232] MSEEEEIIYINMESDTDDSHISYDGDELFSEDFGSDIEDTSSFEGLTVDSELEDSDLDSF VEESLSEIEDEYDDDNGADGDEEDDDEDEEEEDCEENLPENLKRFNSSPLALPRLTKRRR SSSPDSFMQLQELLERTNRQITNIYEAVSRIHEFCGVSQMERCRNCDEQLTPAVPAVQDQ DNPEGTVLQPVAEAKPAKLDPDADIEPSAGGAQETNTAISSDQPAVEAPPGFQQPVDSTP AHHPSEIPEVIDASCTNNNVIMNKPTFEKNQQDGFSPPVYHNFGIPVIPEAVLVNNPEMM DHPPAVGNSSGHQNLSSSTGPIPDSEIKEVVLLEMPGKTEVIMDGTRQTAYYPALLGNVV PPDPETVAASISSES >ENSMUSP00000147245.1 pep:known chromosome:GRCm38:X:161297899:161355034:1 gene:ENSMUSG00000108981.1 transcript:ENSMUST00000207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15262 description:predicted gene 15262 [Source:MGI Symbol;Acc:MGI:3705232] MESDTDDSHISYDGDELFSEDFGSDIEDTSSFEGLTVDSELEDSDLDSFVEESLSEIEDE YDDDNGADGDEEDDDEDEEEEDCEENLPENLKRFNSSPLALPRLTKRRRSSSPDSFMQLQ ELLERTNRQITNIYEAVSRIHEFCGVSQMERCRNCDEQLTPAVPAVQDQDNPEGTVLQPV AEAKPAKLDPDADIEPSAGGAQETNTAISSDQPAVEAPPGFQQPVDSTPAHHPSEIPEVI DASCTNNNVIMNKPTFEKNQQDGFSPPVYHNFGIPVIPEAVLVNNPEMMDHPPAVGNSSG HQNLSSSTGPIPDSEIKEVVLLEMPGKTEVIMDGTRQTAYYPALLGNVVPPDPETVAASI SSESEIEKLVLVEVPIAPESILDDSPETLNNPVILQHARDQEPAAESFVPSAVELLDNEE NNAQRVEMENDGGQTMPFCVYVHSGVEDYLGDPRRNIRLDSFHLVTAKNKPTPTYAACYL ANVIFSEEILLLNSIGNRTSRCILMDQNKMSAIREYLLTVFPDYDLSEK >ENSMUSP00000147161.1 pep:known chromosome:GRCm38:X:161298367:161333207:1 gene:ENSMUSG00000108981.1 transcript:ENSMUST00000208920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15262 description:predicted gene 15262 [Source:MGI Symbol;Acc:MGI:3705232] MESDTDDSHISYDGDELFSEDFGSDIEDTSSFEGLTVDSELEDSDLDSFVEESLSEIEDE YDDDNGADGDEEDDDEDEEEEDCEENLPENLKRFNSSPLALPRLTKRRRSSSPDSFMQLQ ELLERTNRQITNIYEAVSRIHEFCGVSQMERCRNCDEQLTPAVPAVQDQDNPEGTVLQPV AEAKPAKLDPDADIEPSAGGAQETNTAISSDQPAVEAPPGFQQPVDSTPAHHPSEDGKLK NKVPFYDEGCPFYEIPEVIDASCTNNNVIMNKPTFEKNQQDGFSPPVYHNFGIPVIPEAV LVNNPEMMDHPPAVGNSSGHQNLSSSTGPIPDSEIKEVVLLEMPGKTEVIMDGTRQTAYY PALLGNVVPPDPETVAASISSES >ENSMUSP00000146912.1 pep:known chromosome:GRCm38:X:161298379:161366252:1 gene:ENSMUSG00000108981.1 transcript:ENSMUST00000207320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15262 description:predicted gene 15262 [Source:MGI Symbol;Acc:MGI:3705232] MESDTDDSHISYDGDELFSEDFGSDIEDTSSFEGLTVDSELEDSDLDSFVEESLSEIEDE YDDDNGADGDEEDDDEDEEEEDCEENLPENLKRFNSSPLALPRLTKRRRSSSPDSFMQLQ ELLERTNRQITNIYEAVSRIHEFCGVSQMERCRNCDEQLTPAVPAVQDQDNPEGTVLQPV AEAKPAKLDPDADIEPSAGGAQETNTAISSDQPAVEAPPGFQQPVDSTPAHHPSEIPEVI DASCTNNNVIMNKPTFEKNQQDGFSPPVYHNFGIPVIPEAVLVNNPEMMDHPPAVGNSSG HQNLSSSTGPIPDSEIKEVVLLEMPGKTEVIMDGTRQTAYYPALLGNVVPPDPETVAASI SSESEIEKLVLVEVPIAPESILDDSPETLNNPVILQHARDQEPAAESFVPSAVELLDNEE NNAQRVEMENDGGQTMPFCVYVHSGVEDYLGDPRRNIRLDSFHLVTAKNKPTPTYAACYL ANVIFSEEILLLNSIGNRTSRCILMDQNKMSAIREYLLTVFPDYDLSEKGKAWNDCVSAI SFMIQSLYTDAEIPTNRNFSVPMSTNWYSRSNNDGGVGPSQWLQDVRESVKRERVDFEHT PDANPEGSDNASINPDQLVYLGDPSRDIQILYSVLKTAKSHLRPDLSAKYIVLHLFPEEV LIASNVYGNMECGLFALDPNRIDALREFLQDNYPEYELEETGYYWKLCVTAINGCLQTLR QGPRNAIA >ENSMUSP00000116898.1 pep:known chromosome:GRCm38:2:120404768:120461700:1 gene:ENSMUSG00000062646.12 transcript:ENSMUST00000135074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ganc description:glucosidase, alpha; neutral C [Source:MGI Symbol;Acc:MGI:1923301] MEAAEKEEISVEDEAVDKTIFKDCGKIAFYRRQKQQLTKTTTYQALLGSVDTEQDSTRFQ IISEATKIPLVAEVYGIEKDIFRLKINEETPLKPRYEVPDVINSKLGTVRLVCSGDTGSL ILTNRKGDLKCHVSANPFKIDLLSKNEAVISINSLGQLYFEHLQVPHKQRATKGNGQNTP AATSQENQEDLGLWEEKFGKFVDVKANGPSSVGLDFSLHGFEHLYGIPQHAESHQLKNTR DGDAYRLYNLDVYGYQVHDKMGIYGSVPYLLAHKQGRTVGIFWLNASETLVEINTEPAVE YTLTQMGPAAAKQKVRCRTDVHWMSESGIIDVFLLTGPTPADVFKQYSYITGTQAMPPLF SLGYHQCRWNYEDEQDVKAVDAGFDEHDIPYDVMWLDIEHTEDKKYFTWDKKRFANPKRM QELLRSKKRKLVVISDPHIKVDPDYTVYAQAKEQGFFVKNPEGGDFEGVCWPGLSSYLDF TNPKVREWYSSLFAFPVYQGSTDILFLWNDMNEPSVFRGPELTMHKSAVHYGDWEHRELH NIYGFYQQMATAEGLIQRSKGKERPFVLSRSFFAGSQKYGAVWTGDNKAEWSYLKISIPM LLTLSVSGISFCGADVGGFIGNPEAELLVRWYQAGAYQPFFRGHATMNTKRREPWLFGEE YTQLIREAIRQRYALLPYLYSLFYHTHVSSQPVMRPLWVEYPDDLETFAVEDEYMLGSAL LVHPVTDPQTATIDVFLPGSDEVWYDSKTFAYWKGGCTVKIPVTLDTIPVFQRGGSVVPV KTTVGTSTGWMADSPYELRVALSTQGSAVGELYLDDGHSFQYLHQNQFLYRKFLFCSSVL TNRCANEKGHYPSKCIVEQILVLGLKKKPSSVTTHLSDGRAQPAAFTYCAETSALRLEKL SLRIGEDWEVRVG >ENSMUSP00000112379.1 pep:known chromosome:GRCm38:12:75308322:75395817:1 gene:ENSMUSG00000046768.13 transcript:ENSMUST00000118602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoj description:ras homolog gene family, member J [Source:MGI Symbol;Acc:MGI:1931551] MSCRERTDSSCGCNGHEENRILKCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAV TVTVGGKQHLLGLYDTAGQEDYNQLRPLSYPNTDVFLICFSVVNPASYHNVQEEWVPELK DCMPHVPYVLIGTQIDLRDDPKTLARLLYMKEKPLTYEHGVKLAKAVENWI >ENSMUSP00000113165.1 pep:known chromosome:GRCm38:12:75308322:75397956:1 gene:ENSMUSG00000046768.13 transcript:ENSMUST00000118966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoj description:ras homolog gene family, member J [Source:MGI Symbol;Acc:MGI:1931551] MSCRERTDSSCGCNGHEENRILKCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAV TVTVGGKQHLLGLYDTAGQEDYNQLRPLSYPNTDVFLICFSVVNPASYHNVQEEWVPELK DCMPHVPYVLIGTQIDLRDDPKTLARLLYMKEKPLTYEHGVKLAKAV >ENSMUSP00000059498.5 pep:known chromosome:GRCm38:12:75308322:75401456:1 gene:ENSMUSG00000046768.13 transcript:ENSMUST00000055390.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoj description:ras homolog gene family, member J [Source:MGI Symbol;Acc:MGI:1931551] MSCRERTDSSCGCNGHEENRILKCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAV TVTVGGKQHLLGLYDTAGQEDYNQLRPLSYPNTDVFLICFSVVNPASYHNVQEEWVPELK DCMPHVPYVLIGTQIDLRDDPKTLARLLYMKEKPLTYEHGVKLAKAIGAQCYLECSALTQ KGLKAVFDEAILTIFHPKKKKKGCLGCHGCCAII >ENSMUSP00000134552.1 pep:known chromosome:GRCm38:12:75308881:75400212:1 gene:ENSMUSG00000046768.13 transcript:ENSMUST00000172981.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rhoj description:ras homolog gene family, member J [Source:MGI Symbol;Acc:MGI:1931551] RILKCVVVGDGAVGKTCLLMSYANDAFPEEYVPTVFDHYAGGLQPAAATLLPQHRCVSHL LLCRKPSLLPQRSGGVGPGTEGLHASCALCAHRNPD >ENSMUSP00000101514.4 pep:known chromosome:GRCm38:4:133847290:133887797:-1 gene:ENSMUSG00000003644.17 transcript:ENSMUST00000105894.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:104558] MPLAQLKEPWPLMELVPLDPENGQTSGEEAGLQPSKDEAILKEISITHHVKAGSEKADPS QFELLKVLGQGSFGKVFLVRKVTRPDSGHLYAMKVLKKATLKVRDRVRTKMERDILADVN HPFVVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALGLDHLHS LGIIYRDLKPENILLDEEGHIKLTDFGLSKEAIDHEKKAYSFCGTVEYMAPEVVNRQGHT HSADWWSYGVLMFEMLTGSLPFQGKDRKETMTLILKAKLGMPQFLSTEAQSLLRALFKRN PANRLGSGPDGAEEIKRHIFYSTIDWNKLYRREIKPPFKPAVAQPDDTFYFDTEFTSRTP RDSPGIPPSAGAHQLFRGFSFVATGLMEDDGKPRTTQAPLHSVVQQLHGKNLVFSDGYVV KETIGVGSYSVCKRCVHKATNMEYAVKVIDKSKRDPSEEIEILLRYGQHPNIITLKDVYD DGKHVYLVTELMRGGELLDKILRQKFFSEREASFVLHTISKTVEYLHSQGVVHRDLKPSN ILYVDESGNPECLRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDEGCDIWSL GILLYTMLAGYTPFANGPSDTPEEILTRIGSGKFTLSGGNWNTVSETAKDLVSKMLHVDP HQRLTAKQVLQHPWITQKDKLPQSQLSHQDLQLVKGAMAATYSALNSSKPTPQLKPIESS ILAQRRVRKLPSTTL >ENSMUSP00000126774.2 pep:known chromosome:GRCm38:4:133847294:133874731:-1 gene:ENSMUSG00000003644.17 transcript:ENSMUST00000168974.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:104558] MQTPADFPRVERDSGFYPRKDEAILKEISITHHVKAGSEKADPSQFELLKVLGQGSFGKV FLVRKVTRPDSGHLYAMKVLKKATLKVRDRVRTKMERDILADVNHPFVVKLHYAFQTEGK LYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALGLDHLHSLGIIYRDLKPENILLD EEGHIKLTDFGLSKEAIDHEKKAYSFCGTVEYMAPEVVNRQGHTHSADWWSYGVLMFEML TGSLPFQGKDRKETMTLILKAKLGMPQFLSTEAQSLLRALFKRNPANRLGSGPDGAEEIK RHIFYSTIDWNKLYRREIKPPFKPAVAQPDDTFYFDTEFTSRTPRDSPGIPPSAGAHQLF RGFSFVATGLMEDDGKPRTTQAPLHSVVQQLHGKNLVFSDGYVVKETIGVGSYSVCKRCV HKATNMEYAVKVIDKSKRDPSEEIEILLRYGQHPNIITLKDVYDDGKHVYLVTELMRGGE LLDKILRQKFFSEREASFVLHTISKTVEYLHSQGVVHRDLKPSNILYVDESGNPECLRIC DFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDEGCDIWSLGILLYTMLAGYTPFAN GPSDTPEEILTRIGSGKFTLSGGNWNTVSETAKDLVSKMLHVDPHQRLTAKQVLQHPWIT QKDKLPQSQLSHQDLQLVKGAMAATYSALNSSKPTPQLKPIESSILAQRRVRKLPSTTL >ENSMUSP00000003741.9 pep:known chromosome:GRCm38:4:133847294:133887784:-1 gene:ENSMUSG00000003644.17 transcript:ENSMUST00000003741.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:104558] MPLAQLKEPWPLMELVPLDPENGQTSGEEAGLQPSKDEAILKEISITHHVKAGSEKADPS QFELLKVLGQGSFGKVFLVRKVTRPDSGHLYAMKVLKKATLKVRDRVRTKMERDILADVN HPFVVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALGLDHLHS LGIIYRDLKPENILLDEEGHIKLTDFGLSKEAIDHEKKAYSFCGTVEYMAPEVVNRQGHT HSADWWSYGVLMGKDRKETMTLILKAKLGMPQFLSTEAQSLLRALFKRNPANRLGSGPDG AEEIKRHIFYSTIDWNKLYRREIKPPFKPAVAQPDDTFYFDTEFTSRTPRDSPGIPPSAG AHQLFRGFSFVATGLMEDDGKPRTTQAPLHSVVQQLHGKNLVFSDGYVVKETIGVGSYSV CKRCVHKATNMEYAVKVIDKSKRDPSEEIEILLRYGQHPNIITLKDVYDDGKHVYLVTEL MRGGELLDKILRQKFFSEREASFVLHTISKTVEYLHSQGVVHRDLKPSNILYVDESGNPE CLRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDEGCDIWSLGILLYTMLAGY TPFANGPSDTPEEILTRIGSGKFTLSGGNWNTVSETAKDLVSKMLHVDPHQRLTAKQVLQ HPWITQKDKLPQSQLSHQDLQLVKGAMAATYSALNSSKPTPQLKPIESSILAQRRVRKLP STTL >ENSMUSP00000121341.2 pep:known chromosome:GRCm38:4:133847825:133872313:-1 gene:ENSMUSG00000003644.17 transcript:ENSMUST00000157067.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:104558] MAWISYMPCCPGRAPRLQRGPHTTHHTPHLPALSLCQDEAILKEISITHHVKAGSEKADP SQFELLKVLGQGSFGKVFLVRKVTRPDSGHLYAMKVLKKATLKVRDRVRTKMERDILADV NHPFVVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALGLDHLH SLGIIYRDLKPENILLDEEGHIKLTDFGLSKEAIDHEKKAYSFCGTVEYMAPEVVNRQGH THSADWWSYGVLMFEMLTGSLPFQGKDRKETMTLILKAKLGMPQFLSTEAQSLLRALFKR NPANRLGSGPDGAEEIKRHIFYSTIDWNKLYRREIKPPFKPAVAQPDDTFYFDTEFTSRT PRDSPGIPPSAGAHQLFRGFSFVATGLMEDDGKPRTTQAPLHSVVQQLHGKNLVFSDGYV VKETIGVGSYSVCKRCVHKATNMEYAVKVIDKSKRDPSEEIEILLRYGQHPNIITLKDVY DDGKHVYLVTELMRGGELLDKILRQKFFSEREASFVLHTISKTVEYLHSQGVVHRDLKPS NILYVDESGNPECLRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDEGCDIWS LGILLYTMLAGYTPFANGPSDTPEEILTRIGSGKFTLSGGNWNTVSETAKDLVSKMLHVD PHQRLTAKQVLQHPWITQKDKLPQSQLSHQDLQLVKGAMAATYSALNSSKPTPQLKPIES SILAQRRVRKLPSTTL >ENSMUSP00000134507.1 pep:known chromosome:GRCm38:4:133847963:133886283:-1 gene:ENSMUSG00000003644.17 transcript:ENSMUST00000174481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:104558] MERDILADVNHPFVVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAE LALGLDHLHSLGIIYRDLKPENILLDEEGHIKLTDFGLSKEAIDHEKKAYSFCGTVEYMA PEVVNRQGHTHSADWWSYGVLMFEMLTGSLPFQGKDRKETMTLILKAKLGMPQFLSTEAQ SLLRALFKRNPANRLGSGPDGAEEIKRHIFYSTIDWNKLYRREIKPPFKPAVAQPDDTFY FDTEFTSRTPRDSPGIPPSAGAHQLFRGFSFVATGLMEDDGKPRTTQAPLHSVVQQLHGK NLVFSDGYVVKETIGVGSYSVCKRCVHKATNMEYAVKVIDKSKRDPSEEIEILLRYGQHP NIITLKDVYDDGKHVYLVTELMRGGELLDKILRQKFFSEREASFVLHTISKTVEYLHSQG VVHRDLKPSNILYVDESGNPECLRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQG YDEGCDIWSLGILLYTMLAGYTPFANGPSDTPEEILTRIGSGKFTLSGGNWNTVSETAKD LVSKMLHVDPHQRLTAKQVLQHPWITQKDKLPQSQLSHQDLQLVKGAMAATYSALNSSKP TPQLKPIESSILAQRRVRKLPSTTL >ENSMUSP00000119328.2 pep:known chromosome:GRCm38:4:133848052:133873039:-1 gene:ENSMUSG00000003644.17 transcript:ENSMUST00000137486.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:104558] MEQDPKLPRLRLRTLLPWLLGKQRSRISQTLPGPGPGPQQDSDEAILKEISITHHVKAGS EKADPSQFELLKVLGQGSFGKVFLVRKVTRPDSGHLYAMKVLKKATLKVRDRVRTKMERD ILADVNHPFVVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALG LDHLHSLGIIYRDLKPENILLDEEGHIKLTDFGLSKEAIDHEKKAYSFCGTVEYMAPEVV NRQGHTHSADWWSYGVLMFEMLTGSLPFQGKDRKETMTLILKAKLGMPQFLSTEAQSLLR ALFKRNPANRLGSGPDGAEEIKRHIFYSTIDWNKLYRREIKPPFKPAVAQPDDTFYFDTE FTSRTPRDSPGIPPSAGAHQLFRGFSFVATGLMEDDGKPRTTQAPLHSVVQQLHGKNLVF SDGYVVKETIGVGSYSVCKRCVHKATNMEYAVKVIDKSKRDPSEEIEILLRYGQHPNIIT LKDVYDDGKHVYLVTELMRGGELLDKILRQKFFSEREASFVLHTISKTVEYLHSQGVVHR DLKPSNILYVDESGNPECLRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDEG CDIWSLGILLYTMLAGYTPFANGPSDTPEEILTRIGSGKFTLSGGNWNTVSETAKDLVSK MLHVDPHQRLTAKQVLQHPWITQKDKLPQSQLSHQDLQLVKGAMAATYSALNSSKPTPQL KPIESSILAQRRVRKLPSTTL >ENSMUSP00000147145.1 pep:known chromosome:GRCm38:6:45059357:45715945:1 gene:ENSMUSG00000039419.17 transcript:ENSMUST00000207647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap2 description:contactin associated protein-like 2 [Source:MGI Symbol;Acc:MGI:1914047] MVMSLRAGYRAALSLWILSSFICRAWTAPSTFQKCDEPLISGLPHVSFSSSSSLSSSYAP GYAKINKRGGAGGWSPSDSDHYQWLQVDFGNRKQISAIATQGRYSSSDWVTQYRMLYSDT >ENSMUSP00000110288.1 pep:known chromosome:GRCm38:6:45060061:47304213:1 gene:ENSMUSG00000039419.17 transcript:ENSMUST00000114641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap2 description:contactin associated protein-like 2 [Source:MGI Symbol;Acc:MGI:1914047] MVMSLRAGYRAALSLWILSSFICRAWTAPSTFQKCDEPLISGLPHVSFSSSSSLSSSYAP GYAKINKRGGAGGWSPSDSDHYQWLQVDFGNRKQISAIATQGRYSSSDWVTQYRMLYSDT GRNWKPYHQDGNIWAFPGNVNSDSVVRHDLQHAVVARYVRVVPLDWNGEGHIGLRAEVYG CAYWADVINFDGHGVLPYRFRNKKMKTLKDVIALKFKTSESEGVLLHGEGQQGDYITLEL KKAKLVLSLNLGSNQLGPIYGHTSVTSGSLLDDHHWHSVLIERQGRSINLTLDRSMQHFR TNGEFDYLDLDYEITFGGIPFSGKPSSSNRKNFKGCMESINYNGVNITDLARRKKLEPSN MGNLSFSCVEPYTVPVFFNATSYLEVPGRLNQDLFSVSFQFRTWNPSGLLLFSHFADNLG NVEIDLVESKVGVHINNTQTKTSQIDISSGSGLNDGQWHEVRFLAKENFAVLTIDGDEAS AVRTNSPLQVKTGEKYFFGGFLNHMNNASYSALQPSFQGCMQLIQVDDQLVNLYEVAHRK PGSFANVTIDMCAIIDRCVPNHCEHGGKCSQTWDSFKCTCDETGYSGATCHNSIYEPSCE AYKHLGQTSNYYWIDPDGSGPLGPLKVYCNMTEDKVWTIVSHDLQMQTTVVGYNPEKYSV TQLIYSASMDQISAITSSAEYCEQYVSYFCRMSRLLNTPDGSPYTWWVGKANEKHYYWGG SEPGIQKCACGIERNCTDPKYYCNCDADYKQWRKDAGFLSYKDHLPVSQVVVGDTDRQGS EAKLSVGPLRCQGDRNYWNAASFPNPSSYLHFSTFQGETSADISFYFKTLIPRGVFLENL GNTDFIKLELKSATEVSFSFDVGNGPVEIVVRSPSPLNDDQWHRVTAERNVKQASLQVDR LPQQIRKAPTEGHTRLELYSQLFVGGAGGQQGFLGCIRSLRMNGVTLDLEERAKVTSGFK SGCSGHCTSYGANCENGGKCIEKYHGYSCDCSNTAYDGTFCNKDVGAFFEEGMWLRYNFQ APAVTARDTGSRAENSADQQQHLAPDLAQEQIHFSFSTTKAPCILLYVSSLTTDFLAVLV KPTGNLQIRYNLGGTREPFNIDVDHRNMANGQPHSVNITRHERTIILKLDHYPAVGYHLP SSSDTLFNSPKSLFLGKVIETGKIDQEIHKYNTPGFTGCLSRVQFNHIAPLKAALRQTNA SAHVHIQGELVESNCGASPLTLSPMSSATDPWHLDHLDSASADFPYNPGQGQAIRNGVNR NSAIIGGVIAVVIFTILCTLVFLIRYMFRHKGTYHTNEAKGAESAESADAAIMNNDPNFT ETIDESKKEWLI >ENSMUSP00000142656.1 pep:known chromosome:GRCm38:6:47045060:47095560:1 gene:ENSMUSG00000039419.17 transcript:ENSMUST00000150737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap2 description:contactin associated protein-like 2 [Source:MGI Symbol;Acc:MGI:1914047] MNGVTLDLEERAKVTSGFKSGCSGHCTSYGANCENGGKCIEKYHGYSCDCSNTAYDGTFC NKDVGAFFEEGMWLRYNFQ >ENSMUSP00000143528.1 pep:known chromosome:GRCm38:6:47244387:47304209:1 gene:ENSMUSG00000039419.17 transcript:ENSMUST00000199100.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap2 description:contactin associated protein-like 2 [Source:MGI Symbol;Acc:MGI:1914047] MSSATDPWHLDHLDSASADFPYNPGQGQAIRNGVNRNSAIIGGVIAVVIFTILCTLVFLI RYMFRHKGTYHTNEAKGAESAESADAAIMNNDPNFTETIDESKKEWLI >ENSMUSP00000056299.6 pep:known chromosome:GRCm38:6:47244453:47299570:1 gene:ENSMUSG00000039419.17 transcript:ENSMUST00000060839.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap2 description:contactin associated protein-like 2 [Source:MGI Symbol;Acc:MGI:1914047] MSSATDPWHLDHLDSASADFPYNPGQGQAIRNGVNRNSAIIGGVIAVVIFTILCTLVFLI RYMFRHKGTYHTNEAKGAESAESADAAIMNNDPNFTETIDESKKEWLI >ENSMUSP00000099488.4 pep:known chromosome:GRCm38:2:130171635:130179403:-1 gene:ENSMUSG00000027404.15 transcript:ENSMUST00000103199.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpb description:small nuclear ribonucleoprotein B [Source:MGI Symbol;Acc:MGI:98342] MTVGKSSKMLQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKPKNSKQAE REEKRVLGLVLLRGENLVSMTVEGPPPKDTGIARVPLAGAAGGPGIGRAAGRGIPAGVPM PQAPAGLAGPVRGVGGPSQQVMTPQGRGTVAAAAAAATASIAGAPTQYPPGRGGPPPPMG RGAPPPGMMGPPPGMRPPMGPPMGLPPGRGTPMGMPPPGMRPPPPGMRGLL >ENSMUSP00000143633.1 pep:known chromosome:GRCm38:2:130171787:130179403:-1 gene:ENSMUSG00000027404.15 transcript:ENSMUST00000147570.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snrpb description:small nuclear ribonucleoprotein B [Source:MGI Symbol;Acc:MGI:98342] MTVGKSSKMLQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKCVRGESLI FWPHYLFVKL >ENSMUSP00000088822.5 pep:known chromosome:GRCm38:2:25777017:25780279:1 gene:ENSMUSG00000069080.5 transcript:ENSMUST00000091278.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn11 description:lipocalin 11 [Source:MGI Symbol;Acc:MGI:2684955] MPRRHSRGMKLLLLLSVGLGLTWALQDFHPEQVTGPWHTLKLASTDRSLIEEGGAYRCFM TDIVLLDNGNLNVTYFHRKDGKCVKEFYIAEKTDTPGQYTFEYQGRNSLTFVHVTEDFAI MDLENQSEGGTTIVIEFHGRSLSTDELGWERYLVHTRRRGIAPENIVDLSLSRRCDTH >ENSMUSP00000114474.1 pep:known chromosome:GRCm38:8:33516738:33557342:1 gene:ENSMUSG00000009628.14 transcript:ENSMUST00000149399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex15 description:testis expressed gene 15 [Source:MGI Symbol;Acc:MGI:1934816] MTSNNMEMNDNDKYKSPDSESLWNMDAEASAGKKFTIPKIRRTTEKVYLSSCYTNTREYG FIHGTLKQCRLDMSCDLQFTWQFGETKLVRNEYLEKQFAAKRSEMREGGRHSRELEEHFC FLALPQADVMDVYQNGLSVGTSPLRILG >ENSMUSP00000120744.1 pep:known chromosome:GRCm38:8:33517324:33573558:1 gene:ENSMUSG00000009628.14 transcript:ENSMUST00000124496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex15 description:testis expressed gene 15 [Source:MGI Symbol;Acc:MGI:1934816] MTSNNMEMNDNDKYKSPDSESLWNMDAEASAGKKFTIPKIRRTTEKVYLSSCYTNTREYG FIHGTLKQCRLDMSCDLQFTWQFGETKLVRNEYLEKQFAAKRSEMREGGRHSRELEEHFC FLALPQADVMDVYQNGLSVGTSPLRILGNPLLGVYLCRHVDIALSHACSRSVAVESIMIF KVLFGRIKKIQPSMDKNKVSLDPSPNFDCHMSRNMPSLKDTIELQAYNSMVYFYEYDYFS RPVDKPRQCLPYAIVTVKCIGQKAGNGQLITSLRFSSTGFPKRLERACSLNNCTIAKRIG KGKDATVIFEHFRKPVDPFVQENCPCKALNSEMGPFSSDTSSSYGNVQNGNNSVLEAYNR QTENSSNLRDASQVYTHNSGFSFIPTGNTASGNGDLFSVTYLRSILSSISAAFPSHNNTG SSTVITSKLIKDPRLMKREQSMRNKSDTAGLSDVLPLDKSLGCGDSQIKLTCMPTSSISS SEVPADNTITSCLNASCFKFSSESSHYQAHNSSSKGHDCIASSSIAVTEQFKEQHSSSFP SSLSNAFSDVRKQKHSEEQVQRAQMRSNVPVLTALSSESRNSDESENTCSNDSQGHFSQE SPSSDINSIYKVGHQMSTVFPAQKKGNLCEYIQDTGMMRASISTEDSTKDGVNHTWCKET VLSNETVSSPIDNSNTLYQEHKEGGNLNSLSGNCEKIGVTHKLQVPKFPISSTGDKNELY RAALELECSLTPTIECLSQKYPQHSLEHEDNTNFAMTQGLIELKTVQNNQNFGNILSDAF QEAKDVPLASEKLIDRVISSAAIDISLDSSVCNIIGEYTCVRRENENGEASPYNCHKEEA SRVKDGVQDHSLSYDAELSCDLNLKINLQEQRDDKNPNEAKEHNTDNINGSEKQDCLAND HFTNIVEMREIKSNTEVEILNSEECFTFNSFRGKNGKPAETASSESEAVEQRHAPNDQRG LEHLVSSFPEIEGSSVCVASNATKQIVGTTVLTVSTSLGDHQKDELKEICSSESSDLGLV KHSISECEIDTDKDKLQDFHQLVNENSALKTGLGSEIEVDLEHDNASVFQQNMHSQGNDL CEEFELYESLKSRIDWEGLFGSSYEEIESSSFARREGTDQHSSTECNCVSFCSQDKRELH NPIFLPDLQVTITNLLSLRISPTDESLELKDNFYKQVTESTEPETNKEGNASGFGMCSQP SGENSSFSCANKFGNSVQESGDVSKSESSHSSNSSHNTHVDQGSGKPNNDSLSTEPSNVT VMNDKSKCPTKSKPVFNDT >ENSMUSP00000138070.1 pep:known chromosome:GRCm38:8:33517324:33585582:1 gene:ENSMUSG00000009628.14 transcript:ENSMUST00000124501.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex15 description:testis expressed gene 15 [Source:MGI Symbol;Acc:MGI:1934816] MTSNNMEMNDNDKYKSPDSESLWNMDAEASAGKKFTIPKIRRTTEKVYLSSCYTNTREYG FIHGTLKQCRLDMSCDLQFTWQFGETKLVRNEYLEKQFAAKRSEMREGGRHSRELEEHFC FLALPQADVMDVYQNGLSVGTSPLRILGNPLLGVYLCRHVDIALSHACSRSVAVESIMIF KVLFGRIKKIQPSMDKNKVSLDPSPNFDCHMSRNMPSLKDTIELQAYNSMVYFYEYDYFS RPVDKPRQCLPYAIVTVKCIGQKAGNGQLITSLRFSSTGFPKRLGMLCNKTDKKRWRHPL QNLGRTAVNLGFLSRHLQVQSAQ >ENSMUSP00000009772.7 pep:known chromosome:GRCm38:8:33570544:33585582:1 gene:ENSMUSG00000009628.14 transcript:ENSMUST00000009772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex15 description:testis expressed gene 15 [Source:MGI Symbol;Acc:MGI:1934816] MTYFFIYVSTERACSLNNCTIAKRIGKGKDATVIFEHFRKPVDPFVQENCPCKALNSEMG PFSSDTSSSYGNVQNGNNSVLEAYNRQTENSSNLRDASQVYTHNSGFSFIPTGNTASGNG DLFSVTYLRSILSSISAAFPSHNNTGSSTVITSKLIKDPRLMKREQSMRNKSDTAGLSDV LPLDKSLGCGDSQIKLTCMPTSSISSSEVPADNTITSCLNASCFKFSSESSHYQAHNSSS KGHDCIASSSIAVTEQFKEQHSSSFPSSLSNAFSDVRKQKHSEEQVQRAQMRSNVPVLTA LSSESRNSDESENTCSNDSQGHFSQESPSSDINSIYKVGHQMSTVFPAQKKGNLCEYIQD TGMMRASISTEDSTKDGVNHTWCKETVLSNETVSSPIDNSNTLYQEHKEGGNLNSLSGNC EKIGVTHKLQVPKFPISSTGDKNELYRAALELECSLTPTIECLSQKYPQHSLEHEDNTNF AMTQGLIELKTVQNNQNFGNILSDAFQEAKDVPLASEKLIDRVISSAAIDISLDSSVCNI IGEYTCVRRENENGEASPYNCHKEEASRVKDGVQDHSLSYDAELSCDLNLKINLQEQRDD KNPNEAKEHNTDNINGSEKQDCLANDHFTNIVEMREIKSNTEVEILNSEECFTFNSFRGK NGKPAETASSESEAVEQRHAPNDQRGLEHLVSSFPEIEGSSVCVASNATKQIVGTTVLTV STSLGDHQKDELKEICSSESSDLGLVKHSISECEIDTDKDKLQDFHQLVNENSALKTGLG SEIEVDLEHDNASVFQQNMHSQGNDLCEEFELYESLKSRIDWEGLFGSSYEEIESSSFAR REGTDQHSSTECNCVSFCSQDKRELHNPIFLPDLQVTITNLLSLRISPTDESLELKDNFY KQVTESTEPETNKEGNASGFGMCSQPSGENSSFSCANKFGNSVQESGDVSKSESSHSSNS SHNTHVDQGSGKPNNDSLSTEPSNVTVMNDKSKCPTKSKPVFNDTRNKKDMQSRSSKRTL HASSSRGQNIANKDLREHETHEKKRRPTSHGSSDRFSSLSQGRIKTFSQSEKHIRNVLNI LNNEASLCKSKHLSRKLNKAVLHLKKAHRRVHTSLQLISKVGQKRKGPLPKAYAVIHNNF WESCDHQGDSLMSERRYSKHFLSKRKYDKQGDKRFLRFDIEESLTPVSKHRLYRTNRERI AECLSNEVMSGHVSSSLTTFHVREFCDEEQFPEPQLPLAYTSQSISQLEYTNSIVGNESS SELEHFSETSGNMLDPKETLTEKEYQTHTQLCNSDSAKLKNHTTHSIRDIAKECNSEDKT VLCESNPVYLSFIKENTSHSPDKSYDSNCKANTDIHISVLGSKKKHILSVDIYEQDNCVS DGVKSGEAIFPIEKCTVPMETTSSIPTENIASKSYTIPPVSSILVTAGEEESSVGENGLF DVNENEMNITMHSKLDLTSVTEESKICKKNMKNLSCNDSSMLLKENITGPSKRYMAKYIE EEKIRKIEQAVYKKIITEGSPISFKYKSQNKILKEKSFHVNKKIITNNLTDSHLSIKNST VDTIALKDIPNQLKERKEAGQIKVNNNSHSDCLSKPAIVETNHRPVLHGNPKVATLQKEL KEHRSPNYTSHVTELSQILQRADEAASLQILEEETKLCQNILPLFVQAFERQQECSIDQI LISRKLLVEQNLWNNCRLKLKPCAVDTWVELQMAMETIQFIENKKRFLEGKPTFRSLLWY DESLYSELLRRPRGYQLQSNFYPGFQGRLKYNAFCELQNYHNQLVEFLTETKKENNSYYA LLKYKRQINECEAIMKHYSDCFDFCLSVPFACGVNFGDSLGDLETLRKSTLKLISVPGGS PKVHSYPGKKDHLWIIIEIVSSKVSFIKSNEEISIKICLYGLEHIYFDAAKSLVWKEKSC SLPKKHSEKNREMEEINERAFSKLKKIYDVLSKGLNNEPTSIGLQEDAIIASKQSTLGSI SNCRLNKAWLSYPDISCVGEILDQAKSADLEELQGLTLRCTDHLEILKKYFQMLQEDNID NIFIMEENVLDMLSNHNLGAVILKPEAIEIYIEIVMISETIHYLKNLIAKKLHNQRFRGM LWFDWSLLPELIGCQEEVVSLSVGDTQTHCLWKLVETAISVLKKELAVIYEYGEASNCSY ALHLFYRELKELTGVKRLLNNSKYSVSTYIDLVPHTASVNFGNTVAELEHNYKQFFLLLK NVMSVPQKDFGKMVHIIKVMKTIEHMKLLSAKDTKLSTHLLFLQMLRNKRNALQQNRQEK METPVTEPGEDSSQPGVSEQTPPGTECTVKNISDSSKKRPVTADTCEVSQGKGNTDTVPS WKKQKVTMKDVGNIQTVSKHPSTTGSPPNDENKIGSNSSDSLKSISASPEVVKRQSSVLG SVSPAESVQDTCTPKSESKVEPTDSLPDSLASLTEQQENSNVIEKRNGNSSVAETNDKKD CPLVTCDQKDIDASYSPDHTPAQESHKTPVDHTQISPSNLTAGNDDPLVPDASLLSVSAS QSEKDVYLSGTDFHHENNKILNLSTEDCTGTSSPEPVCIKDKISVLQVDKTQPIKSESPK KSMTDAPNPNTAPFGSYGNSALNVNGTVQHTHSEQNSKVLTQKVGTSRNIPPQSACSPVH NSSAHSFGTSYPYYSWCFYQYSSSNGTAVTHTYQGMTAYEIQQPPPPVLTTVASTVQSTH FNRSYSEHFSYFPGQPQANSFNPGNGYFPSHTPVSYNYQQPVYSQFASHQPVPQATYPYP PNPGAPPQVPWTYAPWQQNPFLRRP >ENSMUSP00000133035.2 pep:known chromosome:GRCm38:1:182157144:182158319:-1 gene:ENSMUSG00000091013.2 transcript:ENSMUST00000169123.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r1 description:vomeronasal 1 receptor 1 [Source:MGI Symbol;Acc:MGI:3647849] MNRNDPVYNINGIRNAFFSEIVFGVSANTILLLSHVVTFFQELRHKPINITIGVLALSHI VMLLAMAAMATDILGSQGFWDDFTCKSVISLYRLMRGVSICATCHLSVLQVILLSPRSSC LAKVKPNSLWHNLCCFLSLWAFHMSINVFSNSIVAAHNVTSHILILVTKSCSLWVVSDTM RHLLSILAAFRDASLVGLMVLSSIYMVTVLCRHKRQCQYLHNTSISRKASPEQRAVRNIL LLVSFFLVTYCLDSIASSWRVMWNNDPTHRCVQMFVSSGYATFSPLVFISTEQRMINCLK NTQGQQ >ENSMUSP00000126820.1 pep:known chromosome:GRCm38:14:43071142:43076643:-1 gene:ENSMUSG00000091733.1 transcript:ENSMUST00000165136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8094 description:predicted gene 8094 [Source:MGI Symbol;Acc:MGI:3645750] MGSQAGMLSMLLRVFQRENRIHTDTRPRQKEAGRPSWWERARNNWSWRRHRSAGEASPQA PTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHDQV MTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLREQ IALEECNIETKTLCNEGSQKIKDHYTKQQEV >ENSMUSP00000109644.4 pep:known chromosome:GRCm38:17:34981880:34985891:1 gene:ENSMUSG00000007050.17 transcript:ENSMUST00000114011.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm2 description:LSM2 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:90676] MLFYSFFKSLVGKDVVVELKNDLSICGTLHSVDQYLNIKLTDISVTDPEKYPHMLSVKNC FIRGSVVRYVQLPADEVDTQLLQDAARKEALQQKQ >ENSMUSP00000007266.7 pep:known chromosome:GRCm38:17:34982129:34985891:1 gene:ENSMUSG00000007050.17 transcript:ENSMUST00000007266.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm2 description:LSM2 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:90676] MVFWGKPLASPRESPRAPGENGKRALHFCAVDEDFVRLFYSFFKSLVGKDVVVELKNDLS ICGTLHSVDQYLNIKLTDISVTDPEKYPHMLSVKNCFIRGSVVRYVQLPADEVDTQLLQD AARKEALQQKQ >ENSMUSP00000134403.1 pep:known chromosome:GRCm38:17:34982159:34985889:1 gene:ENSMUSG00000007050.17 transcript:ENSMUST00000173004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm2 description:LSM2 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:90676] MVFWGKPLASPRESPRAPGENGKRALHFCAVDEDFVRLFYSFFKSLVGKDVVVELKNDLR THRCCLQASASVEPSTLWTSTSISN >ENSMUSP00000104039.2 pep:known chromosome:GRCm38:11:76998603:77032339:1 gene:ENSMUSG00000020838.12 transcript:ENSMUST00000108402.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a4 description:solute carrier family 6 (neurotransmitter transporter, serotonin), member 4 [Source:MGI Symbol;Acc:MGI:96285] METTPLNSQKVLSECKDKEDCQENGVLQKGVPTPADKAGPGQISNGYSAVPSTSAGDEAP HSTPAATTTLVAEIHQGERETWGKKMDFLLSVIGYAVDLGNIWRFPYICYQNGGGAFLLP YTIMAIFGGIPLFYMELALGQYHRNGCISIWKKICPIFKGIGYAICIIAFYIASYYNTII AWALYYLISSFTDQLPWTSCKNSWNTGNCTNYFAQDNITWTLHSTSPAEEFYLRHVLQIH QSKGLQDLGTISWQLALCIMLIFTIIYFSIWKGVKTSGKVVWVTATFPYIVLSVLLVRGA TLPGAWRGVVFYLKPNWQKLLETGVWVDAAAQIFFSLGPGFGVLLAFASYNKFNNNCYQD ALVTSVVNCMTSFVSGFVIFTVLGYMAEMRNEDVSEVAKDAGPSLLFITYAEAIANMPAS TFFAIIFFLMLITLGLDSTFAGLEGVITAVLDEFPHIWAKRREWFVLIVVITCILGSLLT LTSGGAYVVTLLEEYATGPAVLTVALIEAVVVSWFYGITQFCSDVKEMLGFSPGWFWRIC WVAISPLFLLFIICSFLMSPPQLRLFQYNYPHWSIILGYCIGTSSVICIPIYIIYRLIST PGTLKERIIKSITPETPTEIPCGDIRMNAV >ENSMUSP00000021195.4 pep:known chromosome:GRCm38:11:76998616:77032340:1 gene:ENSMUSG00000020838.12 transcript:ENSMUST00000021195.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a4 description:solute carrier family 6 (neurotransmitter transporter, serotonin), member 4 [Source:MGI Symbol;Acc:MGI:96285] METTPLNSQKVLSECKDKEDCQENGVLQKGVPTPADKAGPGQISNGYSAVPSTSAGDEAP HSTPAATTTLVAEIHQGERETWGKKMDFLLSVIGYAVDLGNIWRFPYICYQNGGGAFLLP YTIMAIFGGIPLFYMELALGQYHRNGCISIWKKICPIFKGIGYAICIIAFYIASYYNTII AWALYYLISSFTDQLPWTSCKNSWNTGNCTNYFAQDNITWTLHSTSPAEEFYLRHVLQIH QSKGLQDLGTISWQLALCIMLIFTIIYFSIWKGVKTSGKVVWVTATFPYIVLSVLLVRGA TLPGAWRGVVFYLKPNWQKLLETGVWVDAAAQIFFSLGPGFGVLLAFASYNKFNNNCYQD ALVTSVVNCMTSFVSGFVIFTVLGYMAEMRNEDVSEVAKDAGPSLLFITYAEAIANMPAS TFFAIIFFLMLITLGLDSTFAGLEGVITAVLDEFPHIWAKRREWFVLIVVITCILGSLLT LTSGGAYVVTLLEEYATGPAVLTVALIEAVVVSWFYGITQFCSDVKEMLGFSPGWFWRIC WVAISPLFLLFIICSFLMSPPQLRLFQYNYPHWSIILGYCIGTSSVICIPIYIIYRLIST PGTLKERIIKSITPETPTEIPCGDIRMNAV >ENSMUSP00000115264.1 pep:known chromosome:GRCm38:11:77009274:77013053:1 gene:ENSMUSG00000020838.12 transcript:ENSMUST00000129572.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a4 description:solute carrier family 6 (neurotransmitter transporter, serotonin), member 4 [Source:MGI Symbol;Acc:MGI:96285] METTPLNSQKVLSECKDKEDCQENGVLQKGVPTPADKAGPGQISNGYSAVPSTSAGDEAP HSTPAATTTLVAEIHQGERETWGKKMDFLLSVIGYAVDLGNIWRFPYICYQNGGGAFLLP YTIMAIFGGIPLFYMELALGQYHRNGCISIWKKICPIF >ENSMUSP00000098848.1 pep:known chromosome:GRCm38:X:107945735:107948436:-1 gene:ENSMUSG00000073006.2 transcript:ENSMUST00000101290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm732 description:predicted gene 732 [Source:MGI Symbol;Acc:MGI:2685578] MENDKSEEQRRAQVSGYLKNYLEDSNCYCDSFHSCLYYSKCFNNNVSMNKGQTSEKKHIQ NQNINHAGDFKNVLQCQLLKEHIPVDHIPASGNEESHSYLDQYDGGSSSYIFQSNRNKCQ GRYAANDLPDVQDHFYHCIGHVSKSQPRVLYGIGKGGVVLRQGPTLAHYKSNVFVNPLAP EAPPLPSDWLIQLISSKRPSAHGVVSSRRSRGTSSLLVLERTTTLPPASSKSNPNIDLIM LPEVEFTATSESLEYYELQKQGGLNPQGLNQEIALLVHPSVIPLKNQSWDSIVDLIDSSL VLNTQSIKSSFPKSPRSPSKSNSEHGSLSPNPVANTSRISTTQSPRSYRVPCCFSSVPAS QSPKPQITLNPLPEHSVSHLIKLIEAQSKLPMTLSKRQLHPPQAKLSSFTLSEVSTLQSS LSSMKSSISGQRALKIRQYTIESAYASIMQTPSSLPIMTKPRNELMEEIRKGIKLHKTQP RPENEDLENGAEMIRVRRKAMGYHSEKSDSETEWIE >ENSMUSP00000114213.1 pep:known chromosome:GRCm38:X:107946876:107948465:-1 gene:ENSMUSG00000073006.2 transcript:ENSMUST00000155294.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm732 description:predicted gene 732 [Source:MGI Symbol;Acc:MGI:2685578] IDSRRTPGGRKNTGQKGRKLMGELITVSGYLKNYLEDSNCYCDSFHSCLYYSKCFNNNVS MNKGQTSEKKHIQNQNINHAGDFKNVLQCQLLKEHIPVDHIPASGNEESHSYLDQYDGGS SSYIFQSNRNKCQGRYAANDLPDVQDHFYHCIGHVS >ENSMUSP00000021022.3 pep:known chromosome:GRCm38:11:83409137:83418834:1 gene:ENSMUSG00000020684.14 transcript:ENSMUST00000021022.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasl10b description:RAS-like, family 10, member B [Source:MGI Symbol;Acc:MGI:2685575] MVSTYRVAVLGARGVGKSAIVRQFLYNEFSEVCVPTTTRRLYLPAVVMNGHVHDLQILDF PPISAFPVNTLQEWADACCRGLRSVHAYILVYDICCFDSFEYVKTIRQQILETRVIGTSE TPIIIVGNKRDLQRGRVIPRWNVSHLVRKTWKCGYVECSAKYNWHILLLFSELLKSVGCA RCKHVHAALRFQGALRRNRCAIM >ENSMUSP00000135803.1 pep:known chromosome:GRCm38:11:83409688:83421037:1 gene:ENSMUSG00000020684.14 transcript:ENSMUST00000175848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasl10b description:RAS-like, family 10, member B [Source:MGI Symbol;Acc:MGI:2685575] MVSTYRVAVLGARGVGKSAIVRQFLYNEFSEVCVPTTTRRLYLPAVVMNGHVHDLQILDF PPISAFPVNTLQEWADACCRGLRSVHAYILVYDICCFDSFEYVKTIRQQILETRGWGCTW NRAHASAEPSGCETGSAPKFLPPPAG >ENSMUSP00000103775.3 pep:known chromosome:GRCm38:11:83409688:83421039:1 gene:ENSMUSG00000020684.14 transcript:ENSMUST00000108140.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasl10b description:RAS-like, family 10, member B [Source:MGI Symbol;Acc:MGI:2685575] MVSTYRVAVLGARGVGKSAIVRQFLYNEFSEVCVPTTTRRLYLPAVVMNGHVHDLQILDF PPISAFPVNTLQEWADACCRGLRSVHAYILVYDICCFDSFEYVKTIRQQILETRVIGTSE TPIIIVGNKRDLQRGRVIPRWNVSHLVRKTWKCGYVECSAKYNWHILLLFSELLKSVGCA RCKHVHAALRFQGALRRNRCAIM >ENSMUSP00000132696.1 pep:known chromosome:GRCm38:11:83410072:83421038:1 gene:ENSMUSG00000020684.14 transcript:ENSMUST00000164425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasl10b description:RAS-like, family 10, member B [Source:MGI Symbol;Acc:MGI:2685575] MVSTYRVAVLGARGVGKSAIVRQFLYNEFSEVCVPTTTRRLYLPAVVMNGHVHDLQILDF PPISAFPVNTLQEWADACCRGLRSVHAYILVYDICCFDSFEYVKTIRQQILETRVIGTSE TPIIIVGNKRDLQRGRVIPRWNVSHLVRKTWKCGYVECSAKYNWHILLLFSELLKSVGCA RCKHVHAALRFQGALRRNRCAIM >ENSMUSP00000048519.5 pep:known chromosome:GRCm38:1:182275772:182282804:-1 gene:ENSMUSG00000038633.5 transcript:ENSMUST00000035295.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Degs1 description:delta(4)-desaturase, sphingolipid 1 [Source:MGI Symbol;Acc:MGI:1097711] MGSRVSREEFEWVYTDQPHAARRKEILAKYPEIKSLMKPDHNLIWIVAMMLLVQLASFYL VKDLDWKWVIFWSYVFGSCLNHSMTLAIHEISHNFPFGHHKALWNRWFGMFANLSLGVPY SISFKRYHMDHHRYLGADKIDVDIPTDFEGWFFCTTFRKFVWVILQPLFYAFRPLFINPK PITYLEIINTVIQITFDIIIYYVFGVKSLVYMLAATLLGLGLHPISGHFIAEHYMFLKGH ETYSYYGPLNLLTFNVGYHNEHHDFPNVPGKNLPMVRKIASEYYDDLPHYNSWIKVLYDF VTDDTISPYSRMKRPPKGNEILE >ENSMUSP00000119473.2 pep:known chromosome:GRCm38:1:182279004:182282224:-1 gene:ENSMUSG00000038633.5 transcript:ENSMUST00000133052.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Degs1 description:delta(4)-desaturase, sphingolipid 1 [Source:MGI Symbol;Acc:MGI:1097711] MKPDHNLIWIVAMMLLVQLASFYLVKDLDWKWVIFWSYVFGSCLNHSMTLAIHEISHNFP FGHHKALWNRWFGMFANLSLGVPYSISFKRYHMDHHRYLGADKIDVDIPTDFEGWFFCTT FRKFVWVILQPLFYAFRPLFINPKPITYLEIINTVIQITFDIIIYYVFGVKSLVYMLAAT LLGLGLHPISGHFIAEHYMFLKGHETYSYYGPLNLLTFNVGYHNEHHDFP >ENSMUSP00000131064.1 pep:known chromosome:GRCm38:6:48833818:48841374:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000166247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MVQSTVTVNGVKVASTHPQSAHISIHIHQKSALEQLLGAVGSLKKFLSWPQARIHYGQLS LGVTQILLGLVSCALGVCLYFGPWTELCAFGCAFWSGSVAILAGVGTIVHEKRQGKLSGQ VSCLLLLACIATAAAATVLGVNSLIRQTSVPYYVEIFSTCNPLQSSMDPGYGTVRYSDDS DWKTERCREYLNMMMNLFLAFCIMLTVVCILEIVVSVASLGLSLRSMYGRSSQALNEEES ERKLLDGHPAPASPAKEKIPAIL >ENSMUSP00000128705.1 pep:known chromosome:GRCm38:6:48833825:48840980:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000164733.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MVQSTVTVNGVKVASTHPQSAHISIHIHQKSALEQLLGAVGSLKKFLSWPQARIHYGQLS LGVTQILLGLVSCALGVCLYFGPWTELCAFGCAFWSGSVAILAGVGTIVHEKRQGKLSGQ VSCLLLLACIATAAAATVLGVNSLIRQTSVPYYVEIFSTCNPLQSSMDPGYGTVRYSDDS DWKTERCREYLNMMMNLFLAFCIMLTVVCILEIVVSVASLGLSLRSMYGRSSQALNEEES ERKLLDGHPAPASPAKEKIPAIL >ENSMUSP00000098972.4 pep:known chromosome:GRCm38:6:48833830:48841117:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000101429.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MVQSTVTVNGVKVASTHPQSAHISIHIHQKSALEQLLGAVGSLKKFLSWPQARIHYGQLS LGVTQILLGLVSCALGVCLYFGPWTELCAFGCAFWSGSVAILAGVGTIVHEKRQGKLSGQ VSCLLLLACIATAAAATVLGVNSLIRQTSVPYYVEIFSTCNPLQSSMDPGYGTVRYSDDS DWKTERCREYLNMMMNLFLAFCIMLTVVCILEIVVSVASLGLSLRSMYGRSSQALNEEES ERKLLDGHPAPASPAKEKIPAIL >ENSMUSP00000145395.1 pep:known chromosome:GRCm38:6:48833872:48841370:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000203355.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MVQSTVTVNGVKVASTHPQSAHISIHIHQKSALEQLLGAVGSLKKFLSWPQARIHYGQLS LGVTQILLGLVSCALGVCLYFGPWTELCAFGCAFWSGSVAILAGVGTIVHEKRQGKLSGQ VSCLLLLACIATAAAATVLGVNSLIRQTSVPYYVEIFSTCNPLQSSMDPGYGTVRYSDDS DWKTERCREYLNMMMNLFLAFCIMLTVVCILEIVVSVASLGLSLRSMYGRSSQALNEEES ERKLLDGHPAPASPAKEKIPAIL >ENSMUSP00000144864.1 pep:known chromosome:GRCm38:6:48834006:48841137:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000204073.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MVQSTVTVNGVKVASTHPQSAHISIHIHQKSALEQLLGAVGSLKKFLSWPQARIHYGQLS LGVTQILLGLVSCALGVCLYFGPWTELCAFGCAFWSGSVAILAGVGTIVHEKRQGKLSGQ VSCLLLLACIATAAAATVLGVNSLIRQTSVPYYVEIFSTCNPLQSSMDPGYGTVRYSDDS DWKTERCREYLNMMMNLFLAFCIMLTVVCILEIVVSVASLGLSLRSMYGRSSQALNEEES ERKLLDGHPAPASPAKEKIPAIL >ENSMUSP00000144810.1 pep:known chromosome:GRCm38:6:48834507:48840455:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000204783.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MDPGYGTVRYSDDSDWKTERCREYLNMMMNLFLAFCIMLTVVCILEIVVSVASLGLSLRS MYGRSSQAL >ENSMUSP00000144949.1 pep:known chromosome:GRCm38:6:48834622:48840761:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000205159.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MVQSTVTVNGVKVASTHPQSAHISIHIHQKSALEQLLGAVGSLKKFLSWPQARIHYGQLS LGVTQILLGLVSCALGVCLYFGPWTELCAFGCAFWSGSVAILAGVGTIVHEKRQGKLSGQ VSCLLLLACIATAAAATVLGVNSLIRQTSVPYYVEIFSTCNPLQSSMDPGYGTVRYSDDS DWKTERCREYLNMMMN >ENSMUSP00000144865.1 pep:known chromosome:GRCm38:6:48835445:48841078:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000203229.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MVQSTVTVNGVKVASTHPQSAHISIHIHQKSALEQLLGAVGSLKKFLSWPQARIHYGQLS LGVTQILLGLVSCALGVCLYFGPWTELCAFGCAFWSGSVAILAGVGTIVHEKRQGKLSGQ VSCLLLLACIATAAAATVLGVNSLIRQTSVPYYVEIFSTCNPLQSSMDPGYGTVRYSDDS DWKTERCREYLNMMM >ENSMUSP00000145235.1 pep:known chromosome:GRCm38:6:48835445:48841496:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000205147.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MVQSTVTVNGVKVASTHPQSAHISIHIHQKSALEQLLGAVGSLKKFLSWPQARIHYGQLS LGVTQILLGLVSCALGVCLYFGPWTELCAFGCAFWSGSVAILAGVGTIVHEKRQGKLSGQ VSCLLLLACIATAAAATVLGVNSLIRQTSVPYYVEIFSTCNPLQSSMDPGYGTVRYSDDS DWKTERCREYLNMMM >ENSMUSP00000144869.1 pep:known chromosome:GRCm38:6:48835447:48840748:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000203265.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MVQSTVTVNGVKVASTHPQSAHISIHIHQKSALEQLLGAVGSLKKFLSWPQARIHYGQLS LGVTQILLGLVSCALGVCLYFGPWTELCAFGCAFWSGSVAILAGVGTIVHEKRQGKLSGQ VSCLLLLACIATAAAATVLGVNSLIRQTSVPYYVEIFSTCNPLQSSMDPGYGTVRYSDDS DWKTERCREYLNMM >ENSMUSP00000144742.1 pep:known chromosome:GRCm38:6:48835514:48840595:-1 gene:ENSMUSG00000029810.15 transcript:ENSMUST00000203501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem176b description:transmembrane protein 176B [Source:MGI Symbol;Acc:MGI:1916348] MVQSTVTVNGVKVASTHPQSAHISIHIHQKSALEQLLGAVGSLKKFLSWPQARIHYGQLS LGVTQILLGLVSCALGVCLYFGPWTELCAFGCAFWSGSVAILAGVGTIVHEKRQGKLSGQ VSCLLLLACIATAAAATVLGVNSLIRQTSVPYYVEIFSTCNPLQSSMDPGYG >ENSMUSP00000029783.9 pep:known chromosome:GRCm38:3:94497544:94582716:-1 gene:ENSMUSG00000028136.15 transcript:ENSMUST00000029783.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx27 description:sorting nexin family member 27 [Source:MGI Symbol;Acc:MGI:1923992] MADEDGEGIHPSAPHRNGGGGGGSGLHCAGNGGGGGGGPRVVRIVKSESGYGFNVRGQVS EGGQLRSINGELYAPLQHVSAVLPGGAADRAGVRKGDRILEVNGVNVEGATHKQVVDLIR AGEKELILTVLSVPPHEADNLDPSDDSLGQSFYDYTEKQAVPISVPTYKHVEQNGEKFVV YNVYMAGRQLCSKRYREFAILHQNLKREFANFTFPRLPGKWPFSLSEQQLDARRRGLEEY LEKVCSIRVIGESDIMQEFLSESDENYNGVSDVELRVALPDGTTVTVRVKKNSTTDQVYQ AIAAKVGMDSTTVNYFALFEVINHSFVRKLAPNEFPHKLYVQNYTSAVPGTCLTIRKWLF TTEEEVLLNDNDLAVTYFFHQAVDDVKKGYIKAEEKSYQLQKLHEQRKMVMYLNMLRTCE GYNEIIFPHCACDSRRKGHVITAISITHFKLHACTEEGQLENQVIAFEWDEMQRWDTDEE GMAFCFEYARGEKKPRWVKIFTPYFNYMHECFERVFCELKWRKEEY >ENSMUSP00000102904.1 pep:known chromosome:GRCm38:3:94499313:94582549:-1 gene:ENSMUSG00000028136.15 transcript:ENSMUST00000107283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx27 description:sorting nexin family member 27 [Source:MGI Symbol;Acc:MGI:1923992] MADEDGEGIHPSAPHRNGGGGGGSGLHCAGNGGGGGGGPRVVRIVKSESGYGFNVRGQVS EGGQLRSINGELYAPLQHVSAVLPGGAADRAGVRKGDRILEVNGVNVEGATHKQVVDLIR AGEKELILTVLSVPPHEADNLDPSDDSLGQSFYDYTEKQAVPISVPTYKHVEQNGEKFVV YNVYMAGRQLCSKRYREFAILHQNLKREFANFTFPRLPGKWPFSLSEQQLDARRRGLEEY LEKVCSIRVIGESDIMQEFLSESDENYNGVSDVELRVALPDGTTVTVRVKKNSTTDQVYQ AIAAKVGMDSTTVNYFALFEVINHSFVRKLAPNEFPHKLYVQNYTSAVPGTCLTIRKWLF TTEEEVLLNDNDLAVTYFFHQAVDDVKKGYIKAEEKSYQLQKLHEQRKMVMYLNMLRTCE GYNEIIFPHCACDSRRKGHVITAISITHFKLHACTEEGQLENQVIAFEWDEMQRWDTDEE GMAFCFEYARGEKKPRWVKIFTPYFNYMHECFERVFCELKWRKENIFQMARSQQRDVAT >ENSMUSP00000143378.1 pep:known chromosome:GRCm38:3:94501127:94582679:-1 gene:ENSMUSG00000028136.15 transcript:ENSMUST00000199462.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snx27 description:sorting nexin family member 27 [Source:MGI Symbol;Acc:MGI:1923992] MADEDGEGIHPSAPHRNGGGGGGSGLHCAGNGGGGGGGPRVVRIVKSESGYGFNVRGQER REC >ENSMUSP00000143066.1 pep:known chromosome:GRCm38:3:94502057:94562032:-1 gene:ENSMUSG00000028136.15 transcript:ENSMUST00000200642.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx27 description:sorting nexin family member 27 [Source:MGI Symbol;Acc:MGI:1923992] MGLFFSLFPLRNGVNVEGATHKQVVDLIRAGEKELILTVLSVPPHEADNLDPSDDSLGQS FYDYTEKQAVPISVPTYKHVEQNGEKFVVYNVYMAGRQLCSKRYREFAILHQNLKREFAN FTFPRLPGKWPFSLSEQQLDARRRGLEEYLEKVCSIRVIGESDIMQEFLSESDENYNGVS DVELRVALPDGTTVTVRVKKNSTTDQVYQAIAAKVGMDSTTVNYFALFEVINHSFVRKLA PNEFPHKLYVQNYTSAVPGTCLTIRKWLFTTEEEVLLNDNDLAVTYFFHQAVDDVKKGYI KAEEKSYQLQKLHEQRKMVMYLNMLRTCEGYNEIIFPHCACDSRRKGHVITAISITHFKL HACTEEGQLENQVIAFEWDEMQRWDTDEEGMAFCFEYARGEKKPRWVKIFTPYFNYMHEC FERVFCELKWRKEEY >ENSMUSP00000143525.1 pep:known chromosome:GRCm38:3:94519110:94561795:-1 gene:ENSMUSG00000028136.15 transcript:ENSMUST00000198426.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snx27 description:sorting nexin family member 27 [Source:MGI Symbol;Acc:MGI:1923992] VEQNGEKFVVYNVYMAGRQLCSKRYREFAILHQNLKREFANFTFPRLPGKWPFSLSEQQL DARRRGLEEYLEKVCSIRVIGESDIMQEFLSESDELTRVCELPVELQWCV >ENSMUSP00000034045.7 pep:known chromosome:GRCm38:8:46552060:46579584:1 gene:ENSMUSG00000031629.13 transcript:ENSMUST00000034045.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpu description:centromere protein U [Source:MGI Symbol;Acc:MGI:1919126] MAARRSLRYSGNPGAKHSKNTLRSTYSRKQKAGPKPRPKDVFDFSNNSDASSIPGALEEE EETYETFDPPLHSTAIYAEDELSKHCVSSSSLATHRGKASRNLDPSEDEASGNESIKVST KKPRRKLEPISGESDSSADDVRRRVASAEGPRSQQRQAAPAAPSPPERPAEPVTPRRTRL HSAQLSPVDETPATQSQLKTQKKVRPSPGRRKRPRRGHTDTDGSESMHIWCLEGKRQSDI TELDVILSVFEKTFLEYKQRVESESCNQAINKFYFKMKGELIRMLKEAQMLKALKMKNTK IIANMEKKRQRLIEVQDELIRLEPQLKQLQTKYDDLKERKSSLKKSKHFLSNLKQLCQDY SNVQEKGPKGTGKYDSSSLPALLFKARSILGAENHLRTINYQLGKLLELD >ENSMUSP00000120321.1 pep:known chromosome:GRCm38:8:46552055:46580007:1 gene:ENSMUSG00000031629.13 transcript:ENSMUST00000135432.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpu description:centromere protein U [Source:MGI Symbol;Acc:MGI:1919126] MAARRSLRYSGNPGAKHSKNTLRSTYSRKQEGVFTPVCIQNCV >ENSMUSP00000091239.4 pep:known chromosome:GRCm38:8:46552087:46578368:1 gene:ENSMUSG00000031629.13 transcript:ENSMUST00000093518.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpu description:centromere protein U [Source:MGI Symbol;Acc:MGI:1919126] MAARRSLRYSGNPGPPSAQYGYLRRRRAVQTLCVLQFPGHPQREGEQKKTQKKVRPSPGR RKRPRRGHTDTDGSESMHIWCLEGKRQSDITELDVILSVFEKTFLEYKQRVESESCNQAI NKFYFKMKGELIRMLKEAQMLKALKMKNTKIIANMEKKRQRLIEVQDELIRLEPQLKQLQ TKYDDLKERKSSLKKSKHFLSNLKQLCQDYSNVQEKGPKGTGKYDSSSLPALLFKARSIL GAENHLRTINYQLGKLLELD >ENSMUSP00000140010.1 pep:known chromosome:GRCm38:Y:55731756:55757908:1 gene:ENSMUSG00000095135.7 transcript:ENSMUST00000185713.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21858 description:predicted gene, 21858 [Source:MGI Symbol;Acc:MGI:5434022] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALLEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENTSPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000135983.1 pep:known chromosome:GRCm38:Y:55733188:55755156:1 gene:ENSMUSG00000095135.7 transcript:ENSMUST00000177834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21858 description:predicted gene, 21858 [Source:MGI Symbol;Acc:MGI:5434022] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALLEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENTSPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000071777.2 pep:known chromosome:GRCm38:11:60469339:60528369:1 gene:ENSMUSG00000042678.16 transcript:ENSMUST00000071880.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo15 description:myosin XV [Source:MGI Symbol;Acc:MGI:1261811] MADEEKKAKKGKKGKKAPEPEKPKRSLKGTSRLFMGFRDRTPKISKKGQFRSASAFFWGL HTGPQKTKRKKKARTVLKSTSKLMTQMRVGKKKRAMKGKKPSFMVIRFPGRRGYGRLRPR AQSLSKASTAINWLTKKFLLKKAEESGSEQATVDAWLQRSSSRVGSRKLPFPSGAEILRH GGRLRRFPRSHSIYSSGEPVGFLPFEDEAPFRHAGSRKSLYGLEGFQDLGEYYDYHREGD DYYDQQSLYHYEEQEPYLAEFGGYSPAWPPYDDYGYPPGDPYNYYHPDYYGDTLYPGYAY GYGYGYDDFEPPYAPPSGYSSPYSYHDSFESEAYPYSYYLDPYATHHMPYPPYDFPYDTP YDIPYFDPYGVPYAEGVYGGGAEAIYPPGMPYVYPEEPAFMYPWVPPPIMSPHNPYAHPM DDIAELEEPEETGEERQSTSFRLPSAAFFEQQGMDKPARSKLSLIRKFRLFPRPQVKLFG KEKLEVPLPPSLDIPLPLGDAEGEEEEEEMPPVPTMPYTHPYWSFLTPRQRNLQRALSAF GARQGLGFGPEFGHPTPRPATSLARFLKKTLSEKKPIPRLRGSQKARGGRPPVREAAYKR FGYKLAGMDPDRPNTPIVLRRSQPQARNNNNSHGPPSPRPAPRALTHWSALISPPMPAPS PSPASPLTPPFSPTFSRPPRLASPYGSLRQHPPPWAAPAHVPFPPQANWWGFAEPPGTSP EVAPDLLAFPVPRPSFRASRSRSRRAAYGFPSPSLIGSRRRPHLPSPQPSLRSLPGQGYH SPLGPLSPQLSLRRGPFQPPFPPPPRRPQSLREAFSLRRASGRLGPPRSPVLGSPRPPSP PPLLKHGPRHRSLNLPSRLPRTWRRLSEPPTRAVKPWVHRAYPPPPSAGPWGASTGALEQ QENQREAEDSETPWTVPPLAPSWDVDMPPTQRPPSPWPEGIGSLRGFSRPPPVPENPLLE HTSPSCEPQSEDRVSNLTGIFLGQHHDPGPGQLTKSADPSLEKPEEVVTLGDPQPPAEPE ALNPTPPNKNVVSERKVLRLSASYPLVTCKQARATWPQWHRWKTVSRTPAPLAPTRAPGP LLKAGEQPRAEPGRFAVVMPQVRGVSSFRPKGPAPVQPPEHPDQDPEQGPAPQACSLRWP RLWPPTDAHCLWSRIRTYSSQSHLRGHGGDCHKSLWKKTRPQSWQNKMHSIRNLPSMRSR EQHREDGVEDMTQLEDLQETTVLANLKTRFERNLIYTYIGSILVSVNPYRMFAIYGPEQV QQYSGRALGENPPHLFAIANLAFAKMLDAKQNQCVIISGESGSGKTEATKLILRCLAAMN QRRDVMQQIKILEATPLLEAFGNAKTVRNDNSSRFGKFVEIFLEGGVICGAITSQYLLEK SRIVFQAKNERNYHIFYELLAGLPAQLRQAFSLQEAETYYYLNQGGNCEIAGKSDADDFR RLLAAMEVLGFTSEDQDSIFRILASILHLGNVYFEKHETDAQEVASVVSAREIQAVAELL QVSPEGLQKAITFKVTETIREKIFTPLTVESAVDARDAIAKVLYALLFGWLITRVNALVS PKQDTLSIAILDIYGFEDLSFNSFEQLCINYANENLQYLFNKIVFQEEQEEYIREQMDWR EIAFADNQPCINLISLKPYGILRILDDQCCFPQATDHTFLQKCHYHHGANPLYSKPKMPL PEFTIKHYAGKVTYQVHKFLDKNHDQVRQDVLDLFVHSRTRVVAHLFSSHAAQTAPPRLG KSSSITRLYKAHTVAAKFQQSLLDLVEKMERCNPLFVRCLKPNHKKEPGLFEPDVMMAQL RYSGVLETVRIRKEGFPVRLPFQVFIDRYRCLVALKLNVPADGDMCVSLLSRLCTVTPDM YRVGISKLFLKEHLHQLLESMRERVQNRAALTLQRYLRGFFIQRHFRSLRRKIILLQSRA RGFLARQRYQQMRQSLLKFRSLVHTYVNRRRYLKLRAEQRRRAQEAWLREQEELSKREVV PVRHLEVPAEVAGLLQAAAGLKLSSGPRVAVVRAPRLQAEPCVTLPLDINNYPMAKFIRC HFKEPSFGMLTVPLKMPLTRLPVEHHAEAISVFKLILRFMGDPHLHGTQEMILGNYIVHQ GLVEPALRDEILAQLANQVWRNPNAYNSKRGWLLLAACLSGFAPSPHLDKFLLKFVSDYG QNGFQAVCQHRLLQAMGSGAARTFPPTQLEWTAIQEKASMALDVSCFNGDQFSCPVHTWS TGEAVAGDILKHRGLADGWRGWTVAMKNGVQWAELAGHDYVLDLVSDLELLRDFPRQKSY FIVGAEGPLAGRGDTRGVFGNCWDSDEDTPTRPQPQDHVAKMPDLDGYCSHKEDGTNGET EAQRWTSNRQAVDSIGESTVPPRELDGYLDSLFDPVLACGDADLEKPTAIAYRMKGGGQP GGGGGSTSEDTSRRPPEPKLKPIPGLDASTLALQQAFIHRQAVLLAREMTLQALALQQQP LSATSRPQLPERPLAPEARPKTVVGTGPPAKPVLVRPTPQSWAPGSVAKAPKIPSKPVAV PILAQDWTAPESISASPELVRYSTLNSEHFPQPTQQIRSIIKQYKQPPWAGHPEARRTDG GKVFRRPPDPHEEALMILKGQKTQLAVVPGTQVSREAVAMVKPVTSAPRPCMGPTPVQPS RSLEPPEDPVQTQLHRLVNPNFYGYQDIPWRIFLRKEVFYPKDNYSHPVQLDLLFRQILH DTFSEACLRISEDERLQMKALFAQNQLDTQRPLVTESVKRAAISMARDSWEIYFSRLFPA MGSVGTGVQILAVSHTGIKLLQMVKGSKEASRRLRVLCAYSFADILFVTMPSQNMLEFNL SNEKLILFSARAQQVKTLVDTFILELKKDSDYVVAVRNFLSEDPELLSFHKGDIIHLQSL EPTRVGYSAGCVVRKKLVYLEELRRRGPDFGWRFGAVHGRVGRFPSELVQPAAAPDFLQL PAEPGRGRAAAVAAAVASAAAAQEVGRRREGPPVRARSADSGEDSIALPPSTMLEFAQKY FRDPRRRPRDGLKLKSKEDRESKTLEDVLCFTKVPIQESLIELSDSNLNKMAVDMFVAVM RFMGDAPLKGQSELDVLCTLLKLCGDHEVMRDECYCQIVKQITDNSSPKQDSCQRGWRLL YIMAAYYSCSEVFYPYLIRFLQHVSWTPGLPFQGIAKACEQNLQKTLRFGGRLEFPSNME LRAMLAGRSSKRQLFLLPGGLERHLKIKTCTVALDVIEGLCTEMALTRPEAFDEYVIFVV TNRGQHVCPLSCRAYILDVASEMEQVDGGYTLWFRRVLWDQPLKFENELYVTMHYNQVLP DYLKGLFSSVPARQPTEQQLQQVSKLASLQHRAKDHFYLPSVREVQEYIPAQLYHTTAGD TWLNLVSQHRQQTQALSPHQARAQFLGLLSAFPLFGSSFFFIQSCSNVLVPAPCILAVNH NGLNFLSTKTHELIVKIPLKEIQSTWTQQPTANSSYPYVEISLGDVAAQRTMQLQLEQGL ELCRVVAVHVESMLSAREERLTLPPSEITLL >ENSMUSP00000080507.5 pep:known chromosome:GRCm38:11:60469339:60528369:1 gene:ENSMUSG00000042678.16 transcript:ENSMUST00000081823.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo15 description:myosin XV [Source:MGI Symbol;Acc:MGI:1261811] MHSIRNLPSMRSREQHREDGVEDMTQLEDLQETTVLANLKTRFERNLIYTYIGSILVSVN PYRMFAIYGPEQVQQYSGRALGENPPHLFAIANLAFAKMLDAKQNQCVIISGESGSGKTE ATKLILRCLAAMNQRRDVMQQIKILEATPLLEAFGNAKTVRNDNSSRFGKFVEIFLEGGV ICGAITSQYLLEKSRIVFQAKNERNYHIFYELLAGLPAQLRQAFSLQEAETYYYLNQGGN CEIAGKSDADDFRRLLAAMEVLGFTSEDQDSIFRILASILHLGNVYFEKHETDAQEVASV VSAREIQAVAELLQVSPEGLQKAITFKVTETIREKIFTPLTVESAVDARDAIAKVLYALL FGWLITRVNALVSPKQDTLSIAILDIYGFEDLSFNSFEQLCINYANENLQYLFNKIVFQE EQEEYIREQMDWREIAFADNQPCINLISLKPYGILRILDDQCCFPQATDHTFLQKCHYHH GANPLYSKPKMPLPEFTIKHYAGKVTYQVHKFLDKNHDQVRQDVLDLFVHSRTRVVAHLF SSHAAQTAPPRLGKSSSITRLYKAHTVAAKFQQSLLDLVEKMERCNPLFVRCLKPNHKKE PGLFEPDVMMAQLRYSGVLETVRIRKEGFPVRLPFQVFIDRYRCLVALKLNVPADGDMCV SLLSRLCTVTPDMYRVGISKLFLKEHLHQLLESMRERVQNRAALTLQRYLRGFFIQRHFR SLRRKIILLQSRARGFLARQRYQQMRQSLLKFRSLVHTYVNRRRYLKELSKREVVPVRHL EVPAEVAGLLQAAAGLKLSSGPRVAVVRAPRLQAEPCVTLPLDINNYPMAKFIRCHFKEP SFGMLTVPLKMPLTRLPVEHHAEAISVFKLILRFMGDPHLHGTQEMILGNYIVHQGLVEP ALRDEILAQLANQVWRNPNAYNSKRGWLLLAACLSGFAPSPHLDKFLLKFVSDYGQNGFQ AVCQHRLLQAMGSGAARTFPPTQLEWTAIQEKASMALDVSCFNGDQFSCPVHTWSTGEAV AGDILKHRGLADGWRGWTVAMKNGVQWAELAGHDYVLDLVSDLELLRDFPRQKSYFIVGA EGPLAGRGDTRGVFGNCWDSDEDTPTRPQPQDHVAKMPDLDGYCSHKEDGTNGETEAQRW TSNRQAVDSIGESTVPPRELDGYLDSLFDPVLACGDADLEKPTAIAYRMKGGGQPGGGGG STSEDTSRRPPEPKLKPIPGLDASTLALQQAFIHRQAVLLAREMTLQALALQQQPLSATS RPQLPERPLAPEARPKTVVGTGPPAKPVLVRPTPQSWAPGSVAKAPKIPSKPVAVPILAQ DWTAPESISASPELVRYSTLNSEHFPQPTQQIRSIIKQYKQPPWAGHPEARRTDGGKVFR RPPDPHEEALMILKGQKTQLAVVPGTQVSREAVAMVKPVTSAPRPCMGPTPVQPSRSLEP PEDPVQTQLHRLVNPNFYGYQDIPWRIFLRKEVFYPKDNYSHPVQLDLLFRQILHDTFSE ACLRISEDERLQMKALFAQNQLDTQRPLVTESVKRAAISMARDSWEIYFSRLFPAMGSVG TGVQILAVSHTGIKLLQMVKGSKEASRRLRVLCAYSFADILFVTMPSQNMLEFNLSNEKL ILFSARAQQVKTLVDTFILELKKDSDYVVAVRNFLSEDPELLSFHKGDIIHLQSLEPTRV GYSAGCVVRKKLVYLEELRRRGPDFGWRFGAVHGRVGRFPSELVQPAAAPDFLQLPAEPG RGRAAAVAAAVASAAAAQEVGRRREGPPVRARSADSGEDSIALPPSTMLEFAQKYFRDPR RRPRDGLKLKSKEDRESKTLEDVLCFTKVPIQESLIELSDSNLNKMAVDMFVAVMRFMGD APLKGQSELDVLCTLLKLCGDHEVMRDECYCQIVKQITDNSSPKQDSCQRGWRLLYIMAA YYSCSEVFYPYLIRFLQHVSWTPGLPFQGIAKACEQNLQKTLRFGGRLEFPSNMELRAML AGRSSKRQLFLLPGGLERHLKIKTCTVALDVIEGLCTEMALTRPEAFDEYVIFVVTNRGQ HVCPLSCRAYILDVASEMEQVDGGYTLWFRRVLWDQPLKFENELYVTMHYNQVLPDYLKG LFSSVPARQPTEQQLQQVSKLASLQHRAKDHFYLPSVREVQEYIPAQLYHTTAGDTWLNL VSQHRQQTQALSPHQARAQFLGLLSAFPLFGSSFFFIQSCSNVLVPAPCILAVNHNGLNF LSTKTHELIVKIPLKEIQSTWTQQPTANSSYPYVEISLGDVAAQRTMQLQLEQGLELCRV VAVHVESMLSAREERLTLPPSEITLL >ENSMUSP00000091686.2 pep:known chromosome:GRCm38:11:60469339:60528369:1 gene:ENSMUSG00000042678.16 transcript:ENSMUST00000094135.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo15 description:myosin XV [Source:MGI Symbol;Acc:MGI:1261811] MADEEKKAKKGKKGKKAPEPEKPKRSLKGTSRLFMGFRDRTPKISKKGQFRSASAFFWGL HTGPQKTKRKKKARTVLKSTSKLMTQMRVGKKKRAMKGKKPSFMVIRFPGRRGYGRLRPR AQSLSKASTAINWLTKKFLLKKAEESGSEQATVDAWLQRSSSRVGSRKLPFPSGAEILRH GGRLRRFPRSHSIYSSGEPVGFLPFEDEAPFRHAGSRKSLYGLEGFQDLGEYYDYHREGD DYYDQQSLYHYEEQEPYLAEFGGYSPAWPPYDDYGYPPGDPYNYYHPDYYGDTLYPGYAY GYGYGYDDFEPPYAPPSGYSSPYSYHDSFESEAYPYSYYLDPYATHHMPYPPYDFPYDTP YDIPYFDPYGVPYAEGVYGGGAEAIYPPGMPYVYPEEPAFMYPWVPPPIMSPHNPYAHPM DDIAELEEPEETGEERQSTSFRLPSAAFFEQQGMDKPARSKLSLIRKFRLFPRPQVKLFG KEKLEVPLPPSLDIPLPLGDAEGEEEEEEMPPVPTMPYTHPYWSFLTPRQRNLQRALSAF GARQGLGFGPEFGHPTPRPATSLARFLKKTLSEKKPIPRLRGSQKARGGRPPVREAAYKR FGYKLAGMDPDRPNTPIVLRRSQPQARNNNNSHGPPSPRPAPRALTHWSALISPPMPAPS PSPASPLTPPFSPTFSRPPRLASPYGSLRQHPPPWAAPAHVPFPPQANWWGFAEPPGTSP EVAPDLLAFPVPRPSFRASRSRSRRAAYGFPSPSLIGSRRRPHLPSPQPSLRSLPGQGYH SPLGPLSPQLSLRRGPFQPPFPPPPRRPQSLREAFSLRRASGRLGPPRSPVLGSPRPPSP PPLLKHGPRHRSLNLPSRLPRTWRRLSEPPTRAVKPWVHRAYPPPPSAGPWGASTGALEQ QENQREAEDSETPWTVPPLAPSWDVDMPPTQRPPSPWPEGIGSLRGFSRPPPVPENPLLE HTSPSCEPQSEDRVSNLTGIFLGQHHDPGPGQLTKSADPSLEKPEEVVTLGDPQPPAEPE ALNPTPPNKNVVSERKVLRLSASYPLVTCKQARATWPQWHRWKTVSRTPAPLAPTRAPGP LLKAGEQPRAEPGRFAVVMPQVRGVSSFRPKGPAPVQPPEHPDQDPEQGPAPQACSLRWP RLWPPTDAHCLWSRIRTYSSQSHLRGHGGDCHKSLWKKTRPQSWQNKMHSIRNLPSMRSR EQHREDGVEDMTQLEDLQETTVLANLKTRFERNLIYTYIGSILVSVNPYRMFAIYGPEQV QQYSGRALGENPPHLFAIANLAFAKMLDAKQNQCVIISGESGSGKTEATKLILRCLAAMN QRRDVMQQIKILEATPLLEAFGNAKTVRNDNSSRFGKFVEIFLEGGVICGAITSQYLLEK SRIVFQAKNERNYHIFYELLAGLPAQLRQAFSLQEAETYYYLNQGGNCEIAGKSDADDFR RLLAAMEVLGFTSEDQDSIFRILASILHLGNVYFEKHETDAQEVASVVSAREIQAVAELL QVSPEGLQKAITFKVTETIREKIFTPLTVESAVDARDAIAKVLYALLFGWLITRVNALVS PKQDTLSIAILDIYGFEDLSFNSFEQLCINYANENLQYLFNKIVFQEEQEEYIREQMDWR EIAFADNQPCINLISLKPYGILRILDDQCCFPQATDHTFLQKCHYHHGANPLYSKPKMPL PEFTIKHYAGKVTYQVHKFLDKNHDQVRQDVLDLFVHSRTRVVAHLFSSHAAQTAPPRLG KSSSITRLYKAHTVAAKFQQSLLDLVEKMERCNPLFVRCLKPNHKKEPGLFEPDVMMAQL RYSGVLETVRIRKEGFPVRLPFQVFIDRYRCLVALKLNVPADGDMCVSLLSRLCTVTPDM YRVGISKLFLKEHLHQLLESMRERVQNRAALTLQRYLRGFFIQRHFRSLRRKIILLQSRA RGFLARQRYQQMRQSLLKFRSLVHTYVNRRRYLKELSKREVVPVRHLEVPAEVAGLLQAA AGLKLSSGPRVAVVRAPRLQAEPCVTLPLDINNYPMAKFIRCHFKEPSFGMLTVPLKMPL TRLPVEHHAEAISVFKLILRFMGDPHLHGTQEMILGNYIVHQGLVEPALRDEILAQLANQ VWRNPNAYNSKRGWLLLAACLSGFAPSPHLDKFLLKFVSDYGQNGFQAVCQHRLLQAMGS GAARTFPPTQLEWTAIQEKASMALDVSCFNGDQFSCPVHTWSTGEAVAGDILKHRGLADG WRGWTVAMKNGVQWAELAGHDYVLDLVSDLELLRDFPRQKSYFIVGAEGPLAGRGDTRGV FGNCWDSDEDTPTRPQPQDHVAKMPDLDGYCSHKEDGTNGETEAQRWTSNRQAVDSIGES TVPPRELDGYLDSLFDPVLACGDADLEKPTAIAYRMKGGGQPGGGGGSTSEDTSRRPPEP KLKPIPGLDASTLALQQAFIHRQAVLLAREMTLQALALQQQPLSATSRPQLPERPLAPEA RPKTVVGTGPPAKPVLVRPTPQSWAPGSVAKAPKIPSKPVAVPILAQDWTAPESISASPE LVRYSTLNSEHFPQPTQQIRSIIKQYKQPPWAGHPEARRTDGGKVFRRPPDPHEEALMIL KGQKTQLAVVPGTQVSREAVAMVKPVTSAPRPCMGPTPVQPSRSLEPPEDPVQTQLHRLV NPNFYGYQDIPWRIFLRKEVFYPKDNYSHPVQLDLLFRQILHDTFSEACLRISEDERLQM KALFAQNQLDTQRPLVTESVKRAAISMARDSWEIYFSRLFPAMGSVGTGVQILAVSHTGI KLLQMVKGSKEASRRLRVLCAYSFADILFVTMPSQNMLEFNLSNEKLILFSARAQQVKTL VDTFILELKKDSDYVVAVRNFLSEDPELLSFHKGDIIHLQSLEPTRVGYSAGCVVRKKLV YLEELRRRGPDFGWRFGAVHGRVGRFPSELVQPAAAPDFLQLPAEPGRGRAAAVAAAVAS AAAAQEVGRRREGPPVRARSADSGEDSIALPPSTMLEFAQKYFRDPRRRPRDGLKLKSKE DRESKTLEDVLCFTKVPIQESLIELSDSNLNKMAVDMFVAVMRFMGDAPLKGQSELDVLC TLLKLCGDHEVMRDECYCQIVKQITDNSSPKQDSCQRGWRLLYIMAAYYSCSEVFYPYLI RFLQHVSWTPGLPFQGIAKACEQNLQKTLRFGGRLEFPSNMELRAMLAGRSSKRQLFLLP GGLERHLKIKTCTVALDVIEGLCTEMALTRPEAFDEYVIFVVTNRGQHVCPLSCRAYILD VASEMEQVDGGYTLWFRRVLWDQPLKFENELYVTMHYNQVLPDYLKGLFSSVPARQPTEQ QLQQVSKLASLQHRAKDHFYLPSVREVQEYIPAQLYHTTAGDTWLNLVSQHRQQTQALSP HQARAQFLGLLSAFPLFGSSFFFIQSCSNVLVPAPCILAVNHNGLNFLSTKTHELIVKIP LKEIQSTWTQQPTANSSYPYVEISLGDVAAQRTMQLQLEQGLELCRVVAVHVESMLSARE ERLTLPPSEITLL >ENSMUSP00000120839.1 pep:known chromosome:GRCm38:11:60479915:60509712:1 gene:ENSMUSG00000042678.16 transcript:ENSMUST00000126522.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo15 description:myosin XV [Source:MGI Symbol;Acc:MGI:1261811] XGGDCHKSLWKKTRPQSWQNKMHSIRNLPSMRSREQHREDGVEDMTQLEDLQETTVLANL KTRFERNLIYTYIGSILVSVNPYRMFAIYGPEQVQQYSGRALGENPPHLFAIANLAFAKM LDAKQNQCVIISGESGSGKTEATKLILRCLAAMNQRRDVMQQILEATPLLEAFGNAKTVR NDNSSRFGKFVEIFLEGGVICGAITSQYLLEKSRIVFQAKNERNYHIFYELLAGLPAQLR QAFSLQEAETYYYLNQGGNCEIAGKSDADDFRRLLAAMEVLGFTSEDQDSIFRILASILH LGNVYFEKHETDAQEVASVVSAREIQAVAELLQVSPEGLQKAITFKVTETIREKIFTPLT VESAVDARDAIAKVLYALLFGWLITRVNALVSPKQDTLSIAILDIYGFEDLSFNSFEQLC INYANENLQYLFNKIVFQEEQEEYIREQMDWREIAFADNQPCINLISLKPYGILRILDDQ CCFPQATDHTFLQKCHYHHGANPLYSKPKMPLPEFTIKHYAGKVTYQVHKFLDKNHDQVR QDVLDLFVHSRTRVVAHLFSSHAAQTAPPRLGKSSSITRLYKAHTVAAKFQQSLLDLVEK MERCNPLFVRCLKPNHKKEPGLFEPDVMMAQLRYSGVLETVRIRKEGFPVRLPFQVFIDR YRCLVALKLNVPADGDMCVSLLSRLCTVTPDMYRVGISKLFLKEHLHQLLESMRERVQNR AALTLQRYLRGFFIQRHFRSLRRKIILLQSRARGFLARQRYQQMRQSLLKFRSLVHTYVN RRRYLKELSKREVVPVRHLEVPAEVAGLLQAAAGLKLSSGPRVAVVRAPRLQAEPCVTLP LDINNYPMAKFIRCHFKEPSFGMLTVPLKMPLTRLPVEHHAEAISVFKLILRFMGDPHLH GTQEMILGNYIVHQGLVEPALRDEILAQLANQVWRNPNAYNSKRGWLLLAACLSGFAPSP HLDKFLLKFVSDYGQNGFQAVCQHRLLQAMGSGAARTFPPTQLEWTAIQEKASMALDVSC FNGDQFSCPVHTWSTGEAVAGDILKHRGLADGWRGWTVAMKNGVQWAELAGHDYVLDLVS DLELLRDFPRQKSYFIVGAEGPLAGRGDTRGVFGNCWDSDEDTPTRPQPQDHVAKMPDLD GYCSHKEDGTNGETEAQRWTSNRQAVDSIGESTVPPRELDGYLDSLFDPVLACGDADLEK PTAIAYRMKGGGQPGGGGGSTSEDTSRRPPEPKLKPIPGLDASTLALQQAFIHRQAVLLA REMTLQALALQQQPLSATSRPQLPERPLAPEARPKTVVGTGPPAKPVLVRPTPQSWAPGS VAKAPKIPSKPVAVPILAQDWTAPESISASPELVRYSTLNSEHFPQPTQQIRSIIKQYKQ PPWAGHPEARRTDGGKVFRRPPDPHEEALMILKGQKTQLAVVPGTQVSREAVAMVKPVTS APRPCMGPTPVQPSRSLEPPEDPVQTQLHRLVNPNFYGYQDIPWRIFLRKEVFYPKDNYS HPVQLDLLFRQILHDTFSEACLRISEDERLQMKALFAQNQLDTQRPLVTESVKRAAISMA RDSWEIYFSRLFPAMGSVGTGVQILAVSHTGIKLLQMVKGSKEASRRLRVLCAYSFADIL FVTMPSQNMLEFNLSNEKLILFSARAQQVKTLVDTFILELKKDSDYVVAVRNFLSEDPEL LSFHKGDIIHLQSLEPTRVGYSAGCVVRKKLVYLEELRRRGPDFGWRFGAVHGRVGRFPS ELVQPAAAPDFLQLPAEPGRGRAAAVAAAVASAAAAQEVGRRRE >ENSMUSP00000110668.1 pep:known chromosome:GRCm38:6:34780432:34857932:1 gene:ENSMUSG00000038836.15 transcript:ENSMUST00000115016.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl3 description:ATP/GTP binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1923473] MSEDSEEEDYSDRSISDDDDLDEDSFMKFVSDDIHPCTLLAADSIGDPFFPRTTQILLEY QLGRWVPRLRGPRDLYGVSSSGPLSPTRWPYHCEVIDEKVQHIEWTPFVPEPVYVPTGLE IEPVYPNSKEDTVVYLAEDDHLCKAYKEPCFVYSRVGGNRTSLKQPVDNCDNTLVFEARF ESGNLQKVVKVADHEYELTVRPDLFTNKHTQWYYFQVTNTQAEIVYRFTIVNFTKPASLY NRGMKPLFYSEKEAKTHNIGWQRIGDQIKYYKNNLGQDGRHFFSLTWTFQFPHSQDTCYF AHCYPYTYSNLQEYLSGINSDPVRSKFCKIRVLCHTLARNMVYVLTITTPLKTSDSKRKA VILTARVHPGETNSSWIMKGFLDYILGDSSDARLLRDTFIFKVVPMLNPDGVIVGNYRCS LAGRDLNRNYTSLLKESFPSVWYTRNMINRLMEKREVILYCDLHGHSRKQNIFMYGCDGS SRSKTKGLYLQQRIFPLMLSKNCPNIFSFSACKFNVQKSKEGTGRVVMWKMGIRNSFTLE ATFCGSTLGNKRGTHFGTKDLESMGYHFCDSLLDYCDPDRSKYYQCLKELEEMEKHLSSE RVSDNTDTSLVEISLDVESSSRGSDSSESNDTQTYLLKVTSQARNKKKYLKTKRERNAIL ANCQNNMQEVYGKEHLLQRHDESNSDGNDPRIDAPDVYVAHCFRRPLPNQGVVKIPGQRF YPGKTWSSSQRMIKSLNKDHRTCILETCKNPIQEVQSRGINIHESCFKMAKCPMNKRPSH WIEKTRIPTESHHQLKSKAKRCSSFQSKRTGTNWTDDEKRIYRDKRIAQTQEILKYLLPI VESSQNRKSTQMNNLINPIANLQQHQLIPTACINRRRYSIPWTPTRNLPFKAQRNLMTDT SEWLQSVPLGSFESLLPLCNLQKKTKHFELWGKKAKDVQLATSQWEAVPLSSNMDASIIR GNSVLQPKEFTMRSSKQRIPYLTKTSKKPSESDGLLTFQLKIHRNS >ENSMUSP00000110669.1 pep:known chromosome:GRCm38:6:34780432:34857932:1 gene:ENSMUSG00000038836.15 transcript:ENSMUST00000115017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl3 description:ATP/GTP binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1923473] MSEDSEEEDYSDRSISDDDDLDEDSFMKFVSDDIHPCTLLAADSIGDPFFPRTTQILLEY QLGRWVPRLRGPRDLYGVSSSGPLSPTRWPYHCEVIDEKVQHIEWTPFVPEPVYVPTGLE IEPVYPNSKEDTVVYLAEDAYKEPCFVYSRVGGNRTSLKQPVDNCDNTLVFEARFESGNL QKVVKVADHEYELTVRPDLFTNKHTQWYYFQVTNTQAEIVYRFTIVNFTKPASLYNRGMK PLFYSEKEAKTHNIGWQRIGDQIKYYKNNLGQDGRHFFSLTWTFQFPHSQDTCYFAHCYP YTYSNLQEYLSGINSDPVRSKFCKIRVLCHTLARNMVYVLTITTPLKTSDSKRKAVILTA RVHPGETNSSWIMKGFLDYILGDSSDARLLRDTFIFKVVPMLNPDGVIVGNYRCSLAGRD LNRNYTSLLKESFPSVWYTRNMINRLMEKREVILYCDLHGHSRKQNIFMYGCDGSSRSKT KGLYLQQRIFPLMLSKNCPNIFSFSACKFNVQKSKEGTGRVVMWKMGIRNSFTLEATFCG STLGNKRGTHFGTKDLESMGYHFCDSLLDYCDPDRSKYYQCLKELEEMEKHLSSERVSDN TDTSLVEISLDVESSSRGSDSSESNDTQTYLLKVTSQARNKKKYLKTKRERNAILANCQN NMQEVYGKEHLLQRHDESNSDGNDPRIDAPDVYVAHCFRRPLPNQGVVKIPGQRFYPGKT WSSSQRMIKSLNKDHRTCILETCKNPIQEVQSRGINIHESCFKMAKCPMNKRPSHWIEKT RIPTESHHQLKSKAKRCSSFQSKRTGTNWTDDEKRIYRDKRIAQTQEILKYLLPIVESSQ NRKSTQMNNLINPIANLQQHQLIPTACINRRRYSIPWTPTRNLPFKAQRNLMTDTSEWLQ SVPLGSFESLLPLCNLQKKTKHFELWGKKAKDVQLATSQWEAVPLSSNMDASIIRGNSVL QPKEFTMRSSKQRIPYLTKTSKKPSESDGLLTFQLKIHRNS >ENSMUSP00000118303.1 pep:known chromosome:GRCm38:6:34780442:34803789:1 gene:ENSMUSG00000038836.15 transcript:ENSMUST00000135304.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agbl3 description:ATP/GTP binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1923473] MSEDSEEEDYSDRSISDDDDLDEDSFMKFVSDDIHPCTLLAADSIGDPFFPRTTQILLEY QLGRWVPRLRGPRDLYGVSSSGPLSPTRWPYHCEVIDEKVQHIEWTPFVPEPVYVPTGLE IEPVYPNSKEDTVVYLAEDAYKEPCFVYSRVGGNRTSLKQPVDNCDNTLVFEARFESGNL QKCRS >ENSMUSP00000116066.1 pep:known chromosome:GRCm38:6:34780848:34859459:1 gene:ENSMUSG00000038836.15 transcript:ENSMUST00000148834.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agbl3 description:ATP/GTP binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1923473] MSEDSEEEDYSDRSISDDDDLDEDSFMKFVSDDIHPCTLLAADSIGDPFFPRTTQILLEY QLGRWVPRLRGPRDLYGVSSSGPLSPTRWPYHCEVIDEKVQHIEWTPFVPEPVYVPTGLE IEPVYPNSKEDTVVYLAEDAYKEPCFVYSRVGGNRTSLKQPVDNCDNTLVFEARFESGNL QKCRS >ENSMUSP00000110664.1 pep:known chromosome:GRCm38:6:34780875:34785719:1 gene:ENSMUSG00000038836.15 transcript:ENSMUST00000115012.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl3 description:ATP/GTP binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1923473] MSEDSEEEDYSDRSISDDDDLDEDSFMKFLILLVTHSSLGLHKFYWSIS >ENSMUSP00000110666.1 pep:known chromosome:GRCm38:6:34780889:34785644:1 gene:ENSMUSG00000038836.15 transcript:ENSMUST00000115014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl3 description:ATP/GTP binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1923473] MSEDSEEEDYSDRSISDDDDLDEDSFMKFVSDDIHPCTLLAADSIGDPFFPRTTQILLEY QLGRWVPRLRGPRDLYGVSSSGPLSPTRWPYHCEVIDEKVQHIGMFLEVLGIQTLANLSI LMLMRTLMM >ENSMUSP00000110661.1 pep:known chromosome:GRCm38:6:34780890:34785683:1 gene:ENSMUSG00000038836.15 transcript:ENSMUST00000115009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl3 description:ATP/GTP binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1923473] MSEDSEEEDYSDRSISDDDDLDEDSFMKFLILLVTHSSLGLHKFYWSIS >ENSMUSP00000077924.1 pep:known chromosome:GRCm38:6:57209858:57210760:1 gene:ENSMUSG00000064259.1 transcript:ENSMUST00000078885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r13 description:vomeronasal 1 receptor 13 [Source:MGI Symbol;Acc:MGI:2148526] MFSLENVLYFQAGLGFIANMFLLVFYIFIILGHRPKLIDLISCQLTFVHILMILTGGNVM LADIFESLNVENDIKCKATLYTNRVMRGLSISITCLLSVIQAVTISPSTSMLAKFKHKLR KHMVNASFFYIWSFNFSLSSILIFYTGGFTNVSETKQMKITKSCSILPMNYIIRGMVVTV TTVRDVFLVGVMLITSAYMVIILFRHQRQCKHLHSINNLRTSPEKRATQTILLLVVFFVV LYWVDFILSSTSVTLWMYDPVILTVQKFLMNAYPIITPLLQISSDKRVINVMKTLQSKCH >ENSMUSP00000135168.1 pep:known chromosome:GRCm38:3:88607791:88646929:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000175903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MTGRDKTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTI HNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRSKTTTRERPTSAIYPSDSFRQSLL GSRRGLSSLSLAKSVSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVESLIDEEV FYNELMSDFEMDEKDFEADSWSLAVDSSFLQQHKKEVMKKQDVIYELIQTELHHVRTLKI MTRLFRTGMLEELQMEPEVVQGLFPCVDELSDIHTRFLNQLLERRRQALCPGSTRNFVIH RLGDLLISQFSGSNAEQMRKTYSEFCSRHTKALKLYKELYARDKRFQQFIRKMTRSAVLK RHGVQECILLVTQRITKYPVLINRILQNSHGVEEEYQDLASALGLVKELLSNVDQDVHEL EKEARLQEIYNRMDPRAQTPVPGKGPFGRDELLRRKLIHEGCLLWKTATGRFKDVLLLLM TDVLVFLQEKDQKYIFTSLDKPSVVSLQNLIVRDIANQAKGMFLISSGPPEMYEVHAASR DDRTTWIRVIQQSVRLCPSREDFPLIETEDKAYLRRIKTKLQQKNQALVELLQKNVELFA EMVHFQALKAGFVGMPPPALPRGLFRLESFESLRGERLLKDALREVEGLKDLLLGPCVDL PMTSREPALPLDSDSGSCPGVTANGEARTFNGSIELCRADSDSSQKDRNGNQLRSPQEEV LQPLINLYGLLHGLQAVVVQQERLMEALFPEGPERWEKLSRANSRDGEAGRAAVASVTPE KQATELALLQRQHTLLQEELRRCQRLGEERATEAGSLEARLRESEQARALLEREAEEIRR QLAALGQNEPLPAEAPWARRPLDPRRRSLPAGDALYLSFNPPQPSRGHDRLDLPVTVRSL HRPFDDREAQELGSPEDRLQDSSDPDTGSEEEVSSRLSPPHSPRDFTRMQDIPEETESRD GEPTASES >ENSMUSP00000134859.1 pep:known chromosome:GRCm38:3:88614609:88633595:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000177023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MTGRDKTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTI HNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRTTTRERPTSAIYPSDSFRQSLLGS RRGLSSLSLAKSVSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVESLIDEGVEV FYNELMSDFEMDEKDFEADSWSLAVDSS >ENSMUSP00000135612.1 pep:known chromosome:GRCm38:3:88615367:88634499:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000176539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MTGRDKTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTI HNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRSKTTTRERPTSAIYPSDSFRQSLL GSRRGLSSLSLAKSVSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVESLIDEGV EVFYNELMSDFEMDEKDFEADSWSLAVDSSFLQQHKKEVMKKQDVIYELIQTELHHVRTL KIMTRLFRTGMLEELQM >ENSMUSP00000134840.1 pep:known chromosome:GRCm38:3:88616143:88647532:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000177498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MTGRDKTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTI HNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRTTTRERPTSAIYPSDSFRQSLLGS RRGLSSLSLAKSVSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVESLIDEGVEV FYNELMSDFEMDEKDFEADSWSLAVDSSFLQQHKKEVMKKQDVIYELIQTELHHVRTLKI MTRLFRTGMLEELQMEPEVVQGLFPCVDELSDIHTRFLNQLLERRRQALCPGSTRNFVIH RLGDLLISQFSGSNAEQMRKTYSEFCSRHTKALKLYKELYARDKRFQQFIRKMTRSAVLK RHGVQECILLVTQRITKYPVLINRILQNSHGVEEEYQDLASALGLVKELLSNVDQDVHEL EKEARLQEIYNRMDPRAQTPVPGKGPFGRDELLRRKLIHEGCLLWKTATGRFKDVLLLLM TDVLVFLQEKDQKYIFTSLDKPSVVSLQNLIVRDIANQAKGMFLISSGPPEMYEVHAASR DDRTTWIRVIQQSVRLCPSREDFPLIETEDKAYLRRIKTKLQQKNQALVELLQKNVELFA EMVHFQALKAGFVGMPPPALPRGLFRLESFESLRGERLLKDALREVEGLKDLLLGPCVDL PMTSREPALPLDSDSGSCPGVTANGEARTFNGSIELCRADSDSSQKDRNGNQLRSPQEEV LQPLINLYGLLHGLQAVVVQQERLMEALFPEGPERWEKLSRANSRDGEAGRAAVASVTPE KQATELALLQRQHTLLQEELRRCQRLGEERATEAGSLEARLRESEQARALLEREAEEIRR QLAALGQNEPLPAEAPWARRPLDPRRRSLPAGDALYLSFNPPQPSRGHDRLDLPVTVRSL HRPFDDREAQELGSPEDRLQDSSDPDTGSEEEVSSRLSPPHSPRDFTRMQDIPEETESRD GEPTASES >ENSMUSP00000134834.1 pep:known chromosome:GRCm38:3:88616143:88648052:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000176500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MTGRDKTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTI HNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRSKTTTRERPTSAIYPSDSFRQSLL GSRRGLSSLSLAKSVSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVESLIDEGV EVFYNELMSDFEMDEKDFEADSWSLAVDSSFLQQHKKEVMKKQDVIYELIQTELHHVRTL KIMTRLFRTGMLEELQMEPEVVQGLFPCVDELSDIHTRFLNQLLERRRQALCPGSTRNFV IHRLGDLLISQFSGSNAEQMRKTYSEFCSRHTKALKLYKELYARDKRFQQFIRKMTRSAV LKRHGVQECILLVTQRITKYPVLINRILQNSHGVEEEYQDLASALGLVKELLSNVDQDVH ELEKEARLQEIYNRMDPRAQTPVPGKGPFGRDELLRRKLIHEGCLLWKTATGRFKDVLLL LMTDVLVFLQEKDQKYIFTSLDKPSVVSLQNLIVRDIANQAKGMFLISSGPPEMYEVHAA SRDDRTTWIRVIQQSVRLCPSREDFPLIETEDKAYLRRIKTKLQQKNQALVELLQKNVEL FAEMVHFQALKAGFVGMPPPALPRGLFRLESFESLRGERLLKDALREVEGLKDLLLGPCV DLPMTSREPALPLDSDSGSCPGVTANGEARTFNGSIELCRADSDSSQKDRNGNQLRSPQE EVLQPLINLYGLLHGLQAVVVQQERLMEALFPEGPERWEKLSRANSRDGEAGRAAVASVT PEKQATELALLQRQHTLLQEELRRCQRLGEERATEAGSLEARLRESEQARALLEREAEEI RRQLAALGQNEPLPAEAPWARRPLDPRRRSLPAGDALYLSFNPPQPSRGHDRLDLPVTVR SLHRPFDDREAQELGSPEDRLQDSSDPDTGSEEEVSSRLSPPHSPRDFTRMQDIPEETES RDGEPTASES >ENSMUSP00000135177.1 pep:known chromosome:GRCm38:3:88616517:88646895:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000175779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MTGRDKTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTI HNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRSKTTTRERPTSAIYPSDSFRQSLL GSRRGLSSLSLAKSVSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVESLIDEGV EVFYNELMSDFEMDEKDFEADSWSLAVDSSFLQQHKKEVMKKQDVIYELIQTELHHVRTL KIMTRLFRTGMLEELQMEPEVVQGLFPCVDELSDIHTRFLNQLLERRRQALCPGSTRNFV IHRLGDLLISQFSGSNAEQMRKTYSEFCSRHTKALKLYKELYARDKRFQQFIRKMTRSAV LKRHGVQECILLVTQRITKYPVLINRILQNSHGVEEEYQDLASALGLVKELLSNVDQDVH ELEKEARLQEIYNRMDPRAQTPVPGKGPFGRDELLRRKLIHEGCLLWKTATGRFKDVLLL LMTDVLVFLQEKDQKYIFTSLDKPSVVSLQNLIVRDIANQAKGMFLISSGPPEMYEVHAA SRDDRTTWIRVIQQSVRLCPSREDFPLIETEDKAYLRRIKTKLQQKNQALVELLQKNVEL FAEMVHFQALKAGFVGMPPPALPRGLFRLESFESLRGERLLKDALREVEGLKDLLLGPCV DLPMTSREPALPLDSDSGSCPGVTANGEARTFNGSIELCRADSDSSQKDRNGNQLRSPQE EVLQPLINLYGLLHGLQAVVVQQERLMEALFPEGPERWEKLSRANSRDGEAGRAAVASVT PEKQATELALLQRQHTLLQEELRRCQRLGEERATEAGSLEARLRESEQARALLEREAEEI RRQLAALGQNEPLPAEAPWARRPLDPRRRSLPAGDALYLSFNPPQPSRGHDRLDLPVTVR SLHRPFDDREAQELGSPEDRLQDSSDPDTGSEEEVSSRLSPPHSPRDFTRMQDIPEETES RDGEPTASES >ENSMUSP00000029694.7 pep:known chromosome:GRCm38:3:88621106:88648052:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000029694.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MSRIESLTRARIDRSKEQATKTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSI TAKEALICPTCNVTIHNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRSKTTTRERP TSAIYPSDSFRQSLLGSRRGLSSLSLAKSVSTTNIAGHFNDESPLGLRQILSQSTDSLNM RNRTLSVESLIDEGVEVFYNELMSDFEMDEKDFEADSWSLAVDSSFLQQHKKEVMKKQDV IYELIQTELHHVRTLKIMTRLFRTGMLEELQMEPEVVQGLFPCVDELSDIHTRFLNQLLE RRRQALCPGSTRNFVIHRLGDLLISQFSGSNAEQMRKTYSEFCSRHTKALKLYKELYARD KRFQQFIRKMTRSAVLKRHGVQECILLVTQRITKYPVLINRILQNSHGVEEEYQDLASAL GLVKELLSNVDQDVHELEKEARLQEIYNRMDPRAQTPVPGKGPFGRDELLRRKLIHEGCL LWKTATGRFKDVLLLLMTDVLVFLQEKDQKYIFTSLDKPSVVSLQNLIVRDIANQAKGMF LISSGPPEMYEVHAASRDDRTTWIRVIQQSVRLCPSREDFPLIETEDKAYLRRIKTKLQQ KNQALVELLQKNVELFAEMVHFQALKAGFVGMPPPALPRGLFRLESFESLRGERLLKDAL REVEGLKDLLLGPCVDLPMTSREPALPLDSDSGSCPGVTANGEARTFNGSIELCRADSDS SQKDRNGNQLRSPQEEVLQPLINLYGLLHGLQAVVVQQERLMEALFPEGPERWEKLSRAN SRDGEAGRAAVASVTPEKQATELALLQRQHTLLQEELRRCQRLGEERATEAGSLEARLRE SEQARALLEREAEEIRRQLAALGQNEPLPAEAPWARRPLDPRRRSLPAGDALYLSFNPPQ PSRGHDRLDLPVTVRSLHRPFDDREAQELGSPEDRLQDSSDPDTGSEEEVSSRLSPPHSP RDFTRMQDIPEETESRDGEPTASES >ENSMUSP00000135397.1 pep:known chromosome:GRCm38:3:88621125:88646863:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000176804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MSRIESLTRARIDRSKEQATKTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSI TAKEALICPTCNVTIHNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRSKTTTRERP TSAIYPSDSFRQSLLGSRRGLSSLSLAKSVSTTNIAGHFNDESPLGLRQILSQSTDSLNM RNRTLSVESLIDEEVFYNELMSDFEMDEKDFEADSWSLAVDSSFLQQHKKEVMKKQDVIY ELIQTELHHVRTLKIMTRLFRTGMLEELQMEPEVVQGLFPCVDELSDIHTRFLNQLLERR RQALCPGSTRNFVIHRLGDLLISQFSGSNAEQMRKTYSEFCSRHTKALKLYKELYARDKR FQQFIRKMTRSAVLKRHGVQECILLVTQRITKYPVLINRILQNSHGVEEEYQDLASALGL VKELLSNVDQDVHELEKEARLQEIYNRMDPRAQTPVPGKGPFGRDELLRRKLIHEGCLLW KTATGRFKDVLLLLMTDVLVFLQEKDQKYIFTSLDKPSVVSLQNLIVRDIANQAKGMFLI SSGPPEMYEVHAASRDDRTTWIRVIQQSVRLCPSREDFPLIETEDKAYLRRIKTKLQQKN QALVELLQKNVELFAEMVHFQALKAGFVGMPPPALPRGLFRLESFESLRGERLLKDALRE VEGLKDLLLGPCVDLPMTSREPALPLDSDSGSCPGVTANGEARTFNGSIELCRADSDSSQ KDRNGNQLRSPQEEVLQPLINLYGLLHGLQAVVVQQERLMEALFPEGPERWEKLSRANSR DGEAGRAAVASVTPEKQATELALLQRQHTLLQEELRRCQRLGEERATEAGSLEARLRESE QARALLEREAEEIRRQLAALGQNEPLPAEAPWARRPLDPRRRSLPAGDALYLSFNPPQPS RGHDRLDLPVTVRSLHRPFDDREAQELGSPEDRLQDSSDPDTGSEEEVSSRLSPPHSPRD FTRMQDIPEETESRDGEPTASES >ENSMUSP00000103134.3 pep:known chromosome:GRCm38:3:88621292:88648050:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000107510.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTIHNRCKDTLANCT KVKQKQQKAALLRNNTALQSVSLRSKTTTRERPTSAIYPSDSFRQSLLGSRRGLSSLSLA KSVSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVESLIDEGVEVFYNELMSDFE MDEKDFEADSWSLAVDSSFLQQHKKEVMKKQDVIYELIQTELHHVRTLKIMTRLFRTGML EELQMEPEVVQGLFPCVDELSDIHTRFLNQLLERRRQALCPGSTRNFVIHRLGDLLISQF SGSNAEQMRKTYSEFCSRHTKALKLYKELYARDKRFQQFIRKMTRSAVLKRHGVQECILL VTQRITKYPVLINRILQNSHGVEEEYQDLASALGLVKELLSNVDQDVHELEKEARLQEIY NRMDPRAQTPVPGKGPFGRDELLRRKLIHEGCLLWKTATGRFKDVLLLLMTDVLVFLQEK DQKYIFTSLDKPSVVSLQNLIVRDIANQAKGMFLISSGPPEMYEVHAASRDDRTTWIRVI QQSVRLCPSREDFPLIETEDKAYLRRIKTKLQQKNQALVELLQKNVELFAEMVHFQALKA GFVGMPPPALPRGLFRLESFESLRGERLLKDALREVEGLKDLLLGPCVDLPMTSREPALP LDSDSGSCPGVTANGEARTFNGSIELCRADSDSSQKDRNGNQLRSPQEEVLQPLINLYGL LHGLQAVVVQQERLMEALFPEGPERWEKLSRANSRDGEAGRAAVASVTPEKQATELALLQ RQHTLLQEELRRCQRLGEERATEAGSLEARLRESEQARALLEREAEEIRRQLAALGQNEP LPAEAPWARRPLDPRRRSLPAGDALYLSFNPPQPSRGHDRLDLPVTVRSLHRPFDDREAQ ELGSPEDRLQDSSDPDTGSEEEVSSRLSPPHSPRDFTRMQDIPEETESRDGEPTASES >ENSMUSP00000127843.2 pep:known chromosome:GRCm38:3:88621436:88648048:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000170653.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTIHNRCKDTLANCT KVKQKQQKAALLRNNTALQSVSLRTTTRERPTSAIYPSDSFRQSLLGSRRGLSSLSLAKS VSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVESLIDEGVEVFYNELMSDFEMD EKDFEADSWSLAVDSSFLQQHKKEVMKKQDVIYELIQTELHHVRTLKIMTRLFRTGMLEE LQMEPEVVQGLFPCVDELSDIHTRFLNQLLERRRQALCPGSTRNFVIHRLGDLLISQFSG SNAEQMRKTYSEFCSRHTKALKLYKELYARDKRFQQFIRKMTRSAVLKRHGVQECILLVT QRITKYPVLINRILQNSHGVEEEYQDLASALGLVKELLSNVDQDVHELEKEARLQEIYNR MDPRAQTPVPGKGPFGRDELLRRKLIHEGCLLWKTATGRFKDVLLLLMTDVLVFLQEKDQ KYIFTSLDKPSVVSLQNLIVRDIANQAKGMFLISSGPPEMYEVHAASRDDRTTWIRVIQQ SVRLCPSREDFPLIETEDKAYLRRIKTKLQQKNQALVELLQKNVELFAEMVHFQALKAGF VGMPPPALPRGLFRLESFESLRGERLLKDALREVEGLKDLLLGPCVDLPMTSREPALPLD SDSGSCPGVTANGEARTFNGSIELCRADSDSSQKDRNGNQLRSPQEEVLQPLINLYGLLH GLQAVVVQQERLMEALFPEGPERWEKLSRANSRDGEAGRAAVASVTPEKQATELALLQRQ HTLLQEELRRCQRLGEERATEAGSLEARLRESEQARALLEREAEEIRRQLAALGQNEPLP AEAPWARRPLDPRRRSLPAGDALYLSFNPPQPSRGHDRLDLPVTVRSLHRPFDDREAQEL GSPEDRLQDSSDPDTGSEEEVSSRLSPPHSPRDFTRMQDIPEETESRDGEPTASES >ENSMUSP00000135131.1 pep:known chromosome:GRCm38:3:88621555:88646929:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000177303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTIHNRCKDTLANCT KVKQKQQKAALLRNNTALQSVSLRSKTTTRERPTSAIYPSDSFRQSLLGSRRGLSSLSLA KSVSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVESLIDEEVFYNELMSDFEMD EKDFEADSWSLAVDSSFLQQHKKEVMKKQDVIYELIQTELHHVRTLKIMTRLFRTGMLEE LQMEPEVVQGLFPCVDELSDIHTRFLNQLLERRRQALCPGSTRNFVIHRLGDLLISQFSG SNAEQMRKTYSEFCSRHTKALKLYKELYARDKRFQQFIRKMTRSAVLKRHGVQECILLVT QRITKYPVLINRILQNSHGVEEEYQDLASALGLVKELLSNVDQDVHELEKEARLQEIYNR MDPRAQTPVPGKGPFGRDELLRRKLIHEGCLLWKTATGRFKDVLLLLMTDVLVFLQEKDQ KYIFTSLDKPSVVSLQNLIVRDIANQAKGMFLISSGPPEMYEVHAASRDDRTTWIRVIQQ SVRLCPSREDFPLIETEDKAYLRRIKTKLQQKNQALVELLQKNVELFAEMVHFQALKAGF VGMPPPALPRGLFRLESFESLRGERLLKDALREVEGLKDLLLGPCVDLPMTSREPALPLD SDSGSCPGVTANGEARTFNGSIELCRADSDSSQKDRNGNQLRSPQEEVLQPLINLYGLLH GLQAVVVQQERLMEALFPEGPERWEKLSRANSRDGEAGRAAVASVTPEKQATELALLQRQ HTLLQEELRRCQRLGEERATEAGSLEARLRESEQARALLEREAEEIRRQLAALGQNEPLP AEAPWARRPLDPRRRSLPAGDALYLSFNPPQPSRGHDRLDLPVTVRSLHRPFDDREAQEL GSPEDRLQDSSDPDTGSEEEVSSRLSPPHSPRDFTRMQDIPEETESRDGEPTASES >ENSMUSP00000134843.1 pep:known chromosome:GRCm38:3:88622459:88632926:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000176307.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTIHNRCKDTLANCT KVKQKQQKAALLRNNTALQSVSLRTTTRE >ENSMUSP00000135428.1 pep:known chromosome:GRCm38:3:88622921:88640708:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000175911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MSGNRRQPSRRGQTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALIC PTCNVTIHNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRSKTTTRERPTSAIYPSD SFRQSLLGSRRGLSSLSLAKSVSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVE SLIDEGVEVFYNELMSDFEMDEKDFEADSWSLAVDSSFLQQHKKEVMKKQDVIYELIQTE LHHVRTLKIMTRLFRTGMLEELQMEPEVVQGLFPCVDELSDIHTRFLNQLLERRRQALCP GSTRNFVIHRLGDLLISQFSGSNAEQMRKTYSEFCSRHTKALKLYKELYARDKRFQQFIR KMTRSAVLKRHGVQECILLVTQRITKYPVLINRILQNSHGVEEEYQDLASALGLVKELLS NVDQDVHELEKEARLQEIYNRMDPRAQTPVPGKGPFGRDELLRRKLIHEGCLLWKTATGR FKDVLLLLMTDVLVFLQEKDQKYIFTSLDKPSVVSLQNLIVRDIANQAKGMFLISSGPPE MYEVHAASRDDRTTWIRVIQQSVRLCPSREDFPLIETEDKAYLRRIKS >ENSMUSP00000135771.1 pep:known chromosome:GRCm38:3:88623354:88633617:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000176243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTIHNRCKDTLANCT KVKQKQQKAALLRNNTALQSVSLRTTTRERPTSAIYPSDSFRQSLLGSRRGLSSLSLAKS VSTTNIAGHFNDESPLGLRQILSQSTDSLNMRNRTLSVESLIDEGVEVFYNELMSDFEMD EKDFEADSWSLAVDSSFLQQHKK >ENSMUSP00000135808.1 pep:known chromosome:GRCm38:3:88629442:88632976:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000176316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MSGNRRQPSRRGQTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALIC PTCNVTIHNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRSKTTTRERPTSAIYPSD SFRQSLL >ENSMUSP00000134766.1 pep:known chromosome:GRCm38:3:88629473:88632992:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000176879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MSGNRRQPSRRGQTREKEKMKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALIC PTCNVTIHNRCKDTLANCTKVKQKQQKAALLRNNTALQSVSLRTTTRERPTSAIYPSDSF RQSLLGSRRG >ENSMUSP00000135044.1 pep:known chromosome:GRCm38:3:88629571:88632334:1 gene:ENSMUSG00000028059.14 transcript:ENSMUST00000175745.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef2 description:rho/rac guanine nucleotide exchange factor (GEF) 2 [Source:MGI Symbol;Acc:MGI:103264] MKEAKDARYTNGHLFTTISVSGMTMCYACNKSITAKEALICPTCNVTIHNRCKDTLANCT KVKQKQQKAALLRNNTALQSVSLR >ENSMUSP00000118115.1 pep:known chromosome:GRCm38:2:64912476:64917240:-1 gene:ENSMUSG00000026888.14 transcript:ENSMUST00000149193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb14 description:growth factor receptor bound protein 14 [Source:MGI Symbol;Acc:MGI:1355324] EALSVAVEEGLAWRKKGCLRLGNHGSPSAPSQSSAVNMALHRSQPWFHHRISRDEAQRLI IRQGPVDGVFLVRDSQSNPRTFVLSMSHGQKIKHYQIIPFAASSLEGRR >ENSMUSP00000121571.1 pep:known chromosome:GRCm38:2:64912476:64917315:-1 gene:ENSMUSG00000026888.14 transcript:ENSMUST00000150643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb14 description:growth factor receptor bound protein 14 [Source:MGI Symbol;Acc:MGI:1355324] RSVSENSLVAMDFSGEKSRVIDNPTEALSVAVEEGLAWRKKGCLRLGNHGSPSAPSQSSA VNMALHRSQPWFHHRISRDEAQRLIIRQGPVDG >ENSMUSP00000028252.7 pep:known chromosome:GRCm38:2:64912476:65022782:-1 gene:ENSMUSG00000026888.14 transcript:ENSMUST00000028252.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb14 description:growth factor receptor bound protein 14 [Source:MGI Symbol;Acc:MGI:1355324] MTTSLQDGQSAAGRAGAQDSPLAVQVCRVAQGKGDAQDPAQVPGLHALSPASDATLRGAI DRRKMKDLDVLEKPPIPNPFPELCCSPLTSVLSAGLFPRANSRKKQVIKVYSEDETSRAL EVPSDITARDVCQLLILKNHYVDDNSWTLFEHLSHIGLERTVEDHELPTEVLSHWGVEED NKLYLRKNYAKYEFFKNPMYFFPEHMVSFAAEMNGDRSPTQILQVFLSSSTYPEIHGFLH AKEQGKKSWKKAYFFLRRSGLYFSTKGTSKEPRHLQLFSEFSTSHVYMSLAGKKKHGAPT PYGFCLKPNKAGGPRDLKMLCAEEEQSRTCWVTAIRLLKDGMQLYQNYMHPYQGRSACNS QSMSPMRSVSENSLVAMDFSGEKSRVIDNPTEALSVAVEEGLAWRKKGCLRLGNHGSPSA PSQSSAVNMALHRSQPWFHHRISRDEAQRLIIRQGPVDGVFLVRDSQSNPRTFVLSMSHG QKIKHYQIIPVEDDGELFHTLDDGHTKFTDLIQLVEFYQLNRGVLPCKLKHYCARMAV >ENSMUSP00000121001.1 pep:known chromosome:GRCm38:2:64930189:64975769:-1 gene:ENSMUSG00000026888.14 transcript:ENSMUST00000156765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb14 description:growth factor receptor bound protein 14 [Source:MGI Symbol;Acc:MGI:1355324] MSLSARRVTLPAITPIVLQKRVIKVYSEDETSRALEVPSDITARDVCQLLILKNHYVDDN SWTLFEHLSHIGLERTVEDHELPTEVLSHWGVEEDNKLYLRKNYAKYEFFKNPMYFFPEH MVSFAAEMNGDRSPTQILQVFLSSSTYPEIHGFLHAKEQGKKSWKKAYFFLRRSGLYFST KGTSKEPRHLQLFSEFSTSHVYMSLAGKKKHGAPTPYGFCL >ENSMUSP00000127404.1 pep:known chromosome:GRCm38:5:31292242:31295936:-1 gene:ENSMUSG00000038552.14 transcript:ENSMUST00000172435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc4 description:fibronectin type III domain containing 4 [Source:MGI Symbol;Acc:MGI:1917195] MPLAPPANSVETMASLMPLSPYLSPTVLLLVSCDLGFVRADRPPSPVNVTVTHLRANSAT VSWDVPEGNIVIGYSISQQRQNGPGQRVIREVNTTTRACALWGLAEDSDYTVQVRSIGLR GESPPGPRVHFRTLKGSDRLPSNSSSPGDITVEGLDGERPLQTGEVVIIVVVLLMWAAVI GLFCRQYDIIKDNDSNNNPKEKGKGPEQSPQGRPVGTTRQKKSPSINTIDV >ENSMUSP00000144229.1 pep:known chromosome:GRCm38:5:31292886:31293713:-1 gene:ENSMUSG00000038552.14 transcript:ENSMUST00000202158.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fndc4 description:fibronectin type III domain containing 4 [Source:MGI Symbol;Acc:MGI:1917195] GKASGDNKTEKVSINQHH >ENSMUSP00000047185.7 pep:known chromosome:GRCm38:5:31292886:31295871:-1 gene:ENSMUSG00000038552.14 transcript:ENSMUST00000041266.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc4 description:fibronectin type III domain containing 4 [Source:MGI Symbol;Acc:MGI:1917195] MPLAPPANSVETMASLMPLSPYLSPTVLLLVSCDLGFVRADRPPSPVNVTVTHLRANSAT VSWDVPEGNIVIGYSISQQRQNGPGQRVIREVNTTTRACALWGLAEDSDYTVQVRSIGLR GESPPGPRVHFRTLKGSDRLPSNSSSPGDITVEGLDGERPLQTGEVVIIVVVLLMWAAVI GLFCRQYDIIKDNDSNNNPKEKGKGPEQSPQGRPVGTTRQKKSPSINTIDV >ENSMUSP00000144195.1 pep:known chromosome:GRCm38:5:31293686:31295433:-1 gene:ENSMUSG00000038552.14 transcript:ENSMUST00000202615.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc4 description:fibronectin type III domain containing 4 [Source:MGI Symbol;Acc:MGI:1917195] MPLAPPANSVETMASLMPLSPYLSPTVLLLVSCDLGFVRADRPPSPVNVTVTHLRANSAT VSWDVPEGNIVIGYSISQQRQNGPGQRVIREVNTTTRACALWGLAEDSDYTVQVRSIGLR GESPPGPRVHFRTLKGSDRLPSNSSSPGDITVEGLDGERPLQTGEVVIIVVVLLMWAAVI GLFCRQYDIIKDNDSNNNPKEKGKGPEQSPQGRPVGTTRQ >ENSMUSP00000144142.1 pep:known chromosome:GRCm38:5:31294715:31296080:-1 gene:ENSMUSG00000038552.14 transcript:ENSMUST00000201417.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc4 description:fibronectin type III domain containing 4 [Source:MGI Symbol;Acc:MGI:1917195] MPLAPPANSVETMASLMPLSPYLSPTVLLLVSCDLGFVRADRPPSPVNVTVTHLRANSAT VSWDVPEGNIVIGYSISQQRQNGPGQRVIREVNTTTRACALWGLAEDSDYTVQVRSIGL >ENSMUSP00000018691.8 pep:known chromosome:GRCm38:11:97715157:97744704:-1 gene:ENSMUSG00000018547.8 transcript:ENSMUST00000018691.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip4k2b description:phosphatidylinositol-5-phosphate 4-kinase, type II, beta [Source:MGI Symbol;Acc:MGI:1934234] MSSNCTSTTAVAVAPLSASKTKTKKKHFVCQKVKLFRASEPILSVLMWGVNHTINELSNV PVPVMLMPDDFKAYSKIKVDNHLFNKENLPSRFKFKEYCPMVFRNLRERFGIDDQDYQNS VTRSAPINSDSQGRCGTRFLTTYDRRFVIKTVSSEDVAEMHNILKKYHQFIVECHGNTLL PQFLGMYRLTVDGVETYMVVTRNVFSHRLTVHRKYDLKGSTVAREASDKEKAKDLPTFKD NDFLNEGQKLHVGEESKKNFLEKLKRDVEFLAQLKIMDYSLLVGIHDVDRAEQEEMEVEE RAEEEECENDGVGGSLLCSYGTPPDSPGNLLSFPRFFGPGEFDPSVDVYAMKSHESAPKK EVYFMAIIDILTPYDAKKKAAHAAKTVKHGAGAEISTVNPEQYSKRFNEFMSNILT >ENSMUSP00000091432.2 pep:known chromosome:GRCm38:11:117860752:117865543:1 gene:ENSMUSG00000070330.2 transcript:ENSMUST00000093905.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem235 description:transmembrane protein 235 [Source:MGI Symbol;Acc:MGI:3651706] MALLATLLLSAALGALLSFALLAAAVASDYWYILEVADAGGLGGVQLFSHSGLWRTCEGQ NSCVPLIDPFASAGLEVSPSVQHLLSLHRTVMVVLPLSLVLIVCGWVCGLLSSLSQSVPL LLATGCYFLLGGALTLAGLSIYISYSHLAFVEAARTYGVTHVQNVHISFGWSLALAWASC ASEVLSGALLLAAARLLSLSQRPGVPHSVIL >ENSMUSP00000107415.2 pep:known chromosome:GRCm38:2:79635352:79672966:1 gene:ENSMUSG00000027007.16 transcript:ENSMUST00000111785.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssfa2 description:sperm specific antigen 2 [Source:MGI Symbol;Acc:MGI:1917849] MNRPLSAEAEEELEWQVASRRRKAWAKCRSSWQASETEDLSTETTTQDEDEDDEEDLPGT KLPAPAGRGNVPNEKIAIWLKDCRTPLGASLDEQSSGTPKGVLVRNGGSFEDDLSLGAEA NHLHEPDAQVENCNNILAKERRLQFHQKGRSMNSTGSGKSSGTVSSVSELLELYEEDPEE ILYNLGFGRDEPDIASKIPSRFFNSSSFARGIDIKVFLSAQMQRMEVENPNYALTSRFRQ IEVLTTVANAFSSLYSQVSGTPLQRIGSMSSVTSTKEVADSPPPLTRSNTANRLMKTLSK LNLCVDKTEKGEGGSSPATEKGRTLSISLSEDGGGGKSDPKLQKVVKKKESSSMLATVTE EVSGSSSTVTDSVDADRLSEEADSTISHQEESEESREAHSQEKDPLRKSAVTDPDLGHDG RVSSHCELESSSELKSAQASSSEKEPCAPLTIPSIRNIMTQQKDSFEMEEVQSTEGEAPH VPATCQLSLAKSKRDHLLRTASQHSDSSGFAEDSTDCVSLNHLLVNESLQAMGSSADSCD SETTVTSLGEDHVTPTAQDQPYFNESEEESLAPLQKGRAKVEIVAEKRKADNQDFPQCVT AENAGNNESTKGPCEPGHQITETGEHPPLAATGELPREESVESDVEKGSECEFAQYTTHH ILRSLASFEAQGSGMSSEKKTGFPSSVDRVNTALQRAQMKVCSMSGQRVGRSLIKSKDLL KQRYLLAKAGYPLRRSQSLPTTLLSPVRVVSSVNVRLSPGKETRCSPPSFTYKYTPEEEQ DLEKQGTEHDGQSLVKSTIFIPPPSVKKEEAPQSEGTRLEECHHGRLAPCPQFAPISQST CSLHSVHSEWQDRPLCEHMRTLSAHSVPNISGAACSAFSPFGCPYSHRHAAHPYRACSVN PPSAIEMQLRRVLHDIRSSLQNLSQYPMTRGPDLAAAPYSTQNSSVLPLYENTFQELQVV RRSLNLFRTQMMDLELAMLRQQTVVYPHMTEEDRYEVDQLQGLRNSVRMELQDLEMQLEE RLLGLDEQLRAVRVPSPFRPSALPGMCGSRSVDNLSCPSPLNVMEPVTELIREQSYLKSE LGLGLGDMAYEIPPGESSESVFSQATSESSSVCSSPSHTNRRSRGLPGSKPRARLVARKK IFRASVALTPTAPSRTGSVQTPPDLESSEEAGGAEEASPVVGLASHVEEEPEDLSLMPAA EEMHRNVEQDELQQVIREIKESIVGEIRREIVSGLLAAVSSSKAPGPKQDSH >ENSMUSP00000107418.1 pep:known chromosome:GRCm38:2:79635426:79672966:1 gene:ENSMUSG00000027007.16 transcript:ENSMUST00000111788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssfa2 description:sperm specific antigen 2 [Source:MGI Symbol;Acc:MGI:1917849] MNRPLSAEAEEELEWQVASRRRKAWAKCRSSWQASETEDLSTETTTQDEDEDDEEDLPGT KLPAPAGRGNVPNEKIAIWLKDCRTPLGASLDEQSSGTPKGVLVRNGGSFEDDLSLGAEA NHLHEPDAQVENCNNILAKERRLQFHQKGRSMNSTGSGKSSGTVSSVSELLELYEEDPEE ILYNLGFGRDEPDIASKIPSRFFNSSSFARGIDIKVFLSAQMQRMEVENPNYALTSRFRQ IEVLTTVANAFSSLYSQVSGTPLQRIGSMSSVTSTKEVADSPPPLTRSNTANRLMKTLSK LNLCVDKTEKGEGGSSPATEKGRTLSISLSEDGGGGKSDPKLQKVVKKKESSSMLATVTE EVSGSSSTVTDSVDADRLSEEADSTISHQEESEESREAHSQEKDPLRKSAVTDPDLGHDG RVSSHCELESSSELKSAQASSSEKEPCAPLTIPSIRNIMTQQKDSFEMEEVQSTEGEAPH VPATCQLSLAKSKRDHLLRTASQHSDSSGFAEDSTDCVSLNHLLVNESLQAMGSSADSCD SETTVTSLGEDHVTPTAQDQPYFNESEEESLAPLQKGRAKVEIVAEKRKADNQDFPQCVT AENAGNNESTKGPCEPGHQITETGEHPPLAATGELPREESVESDVEKGSECEFAQYTTHH ILRSLASFEAQGSGMSSEKKTGFPSSVDRVNTALQRAQMKVCSMSGQRVGRSLIKSKDLL KQRYLLAKAGYPLRRSQSLPTTLLSPVRVVSSVNVRLSPGKETRCSPPSFTYKYTPEEEQ DLEKQGTEHDGQSLVKSTIFIPPPSVKKEEAPQSEGTRLEECHHGRLAPCPQFAPISQST CSLHSVHSEWQDRPLCEHMRTLSAHSVPNISGAACSAFSPFGCPYSHRHAAHPYRACSVN PPSAIEMQLRRVLHDIRSSLQNLSQYPMTRGPDLAAAPYSTQNSSVLPLYENTFQELQVV RRSLNLFRTQMMDLELAMLRQQTVVYPHMTEEDRYEVDQLQGLRNSVRMELQDLEMQLEE RLLGLDEQLRAVRVPSPFRPSALPGMCGSRSVDNLSCPSPLNVMEPVTELIREQSYLKSE LGLGLGDMAYEIPPGESSESVFSQATSESSSVCSSPSHTNRRSRGLPGSKPRARLVARKK IFRASVALTPTAPSRTGSVQTPPDLESSEEAGGAEEASPVVGLASHVEEEPEDLSLMPAA EEMHRNVEQDELQQVIREG >ENSMUSP00000107414.2 pep:known chromosome:GRCm38:2:79635455:79672966:1 gene:ENSMUSG00000027007.16 transcript:ENSMUST00000111784.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssfa2 description:sperm specific antigen 2 [Source:MGI Symbol;Acc:MGI:1917849] MNRPLSAEAEEELEWQVASRRRKAWAKCRSSWQASETEDLSTETTTQDEDEDDEEDLPGT KLPAPAGRGNVPNEKIAIWLKDCRTPLGASLDEQSSGTPKGVLVRNGGSFEDDLSLGAEA NHLHEPDAQVENCNNILAKERRLQFHQKGRSMNSTGSGKSSGTVSSVSELLELYEEDPEE ILYNLGFGRDEPDIASKIPSRFFNSSSFARGIDIKVFLSAQMQRMEVENPNYALTSRFRQ IEVLTTVANAFSSLYSQVSGTPLQRIGSMSSVTSTKEVADSPPPLTRSNTANRLMKTLSK LNLCVDKTEKGEGGSSPATEKGRTLSISLSEDGGGGKSDPKLQKVVKKKESSSMLATVTE EVSGSSSTVTDSVDADRLSEEADSTISHQEESEESREAHSQEKDPLRKSAVTDPDLGHDG RVSSHCELESSSELKSAQASSSEKEPCAPLTIPSIRNIMTQQKDSFEMEEVQSTEGEAPH VPATCQLSLAKSKRDHLLRTASQHSDSSGFAEDSTDCVSLNHLLVNESLQAMGSSADSCD SETTVTSLGEDHVTPTAQDQPYFNESEEESLAPLQKGRAKVEIVAEKRKADNQDFPQCVT AENAGNNESTKGPCEPGHQITETGEHPPLAATGELPREESVESDVEKGSECEFAQYTTHH ILRSLASFEAQGSGMSSEKKTGFPSSVDRVNTALQRAQMKVCSMSGQRVGRSLIKSKDLL KQRYLLAKAGYPLRRSQSLPTTLLSPVRVVSSVNVRLSPGKETRCSPPSFTYKYTPEEEQ DLEKQGTEHDGQSLVKSTIFIPPPSVKKEEAPQSEGTRLEECHHGRLAPCPQFAPISQST CSLHSVHSEWQDRPLCEHMRTLSAHSVPNISGAACSAFSPFGCPYSHRHAAHPYRACSVN PPSAIEMQLRRVLHDIRSSLQNLSQYPMTRGPDLAAAPYSTQNSSVLPLYENTFQELQVV RRSLNLFRTQMMDLELAMLRQQTVVYPHMTEEDRYEVDQLQGLRNSVRMELQDLEMQLEE RLLGLDEQLRAVRVPSPFRPSALPVTELIREQSYLKSELGLGLGDMAYEIPPGESSESVF SQATSESSSVCSSPSHTNRRSRGLPGSKPRARLVARKKIFRASVALTPTAPSRTGSVQTP PDLESSEEAGGAEEASPVVGLASHVEEEPEDLSLMPAAEEMHRNVEQDELQQVIREIKES IVGEIRREIVSGLLAAVSSSKAPGPKQDSH >ENSMUSP00000072297.6 pep:known chromosome:GRCm38:5:120861421:120873506:1 gene:ENSMUSG00000001168.16 transcript:ENSMUST00000072476.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas1h description:2'-5' oligoadenylate synthetase 1H [Source:MGI Symbol;Acc:MGI:2180853] MAKNLSSTRIALCSTPAWRLDKFIEGHLLGDITFLTELRTDVNSISAFLKERCFQGAAHP MRVSRVVMGGSYNRYTVLKGRSEVDLLVFFNNLTCFDDQFKLQKEVIEEIQKHLCQFQQE KRLREKFKVQSSDQPNFRSVSFKLSYPKFQQEVEFHMQTAYDALYEVRRKENHNCEIYNK VYARLIRECTMLGKEGEFNICFMELQQDFLWKRPCELKNLICLVKHWYQLCKEKLREPLP PQYALELLTVYAWEHELPDKHETQTARGFRTVLELITKYLCLRIYWTLYYDVLHEQVNAY LYSQVKRVSPLILDPADPTWNVAGLNLQGWCILAEEAKAWLDYPCFKNRDGSRVSSWDVP PDKKGFVFL >ENSMUSP00000132033.1 pep:known chromosome:GRCm38:5:120861438:120873141:1 gene:ENSMUSG00000001168.16 transcript:ENSMUST00000171820.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas1h description:2'-5' oligoadenylate synthetase 1H [Source:MGI Symbol;Acc:MGI:2180853] MAKNLSSTRIALCSTPAWRLDKFIEGHLLGDITFLTELRTDVNSISAFLKERCFQGAAHP MRVSRVVMGGSYNRYTVLKGRSEVDLLVFFNNLTCFDDQFKLQKEVIEEIQKHLCQFQQE KRLREKFKVQSSDQPNFRSVSFKLSYPKFQQEVEFHMQTAYDALYEVRRKENHNCEIYNK VYARLIRECTMLGKEGEFNICFMELQQDFLWKRPCELKNLICLVKHWYQLPDKKGFVFL >ENSMUSP00000054718.4 pep:known chromosome:GRCm38:1:182313102:182341618:-1 gene:ENSMUSG00000047539.9 transcript:ENSMUST00000051431.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo28 description:F-box protein 28 [Source:MGI Symbol;Acc:MGI:1261890] MAAASEERMAEEGGGGHGDGGSCSAAGSAQRQPPAPPSQAPPPGSQAPAAPALAPDHLPQ NNTLVALPIVAIENILSFMSYDEISQLRLVCKRMDLVCQRMLNQGFLKVERFHNLCQKQV KAQLPRRESERRNHSLARHADILAAVETRLSLLNMTFMKYVDSNLCCFIPGKVIDEIYRV LRYVNSTRAPQRAHEVLQELRDISSMAMEYFDEKIVPILKRKLPGSDVSGRLMGSPPVPG PSAALTTMQLFSKQNPSRQEVTKLQQQVRTNGAGVTVLRREISELRTKVQEQQKQLQDQD QKLLEQTQIIGEQNARLAELERKLREVMESAVGTSSGSGQSEESPRKRRKATEAIDSLRK SKRLRNRK >ENSMUSP00000141838.1 pep:known chromosome:GRCm38:1:182316463:182341600:-1 gene:ENSMUSG00000047539.9 transcript:ENSMUST00000192544.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo28 description:F-box protein 28 [Source:MGI Symbol;Acc:MGI:1261890] MAAASEERMAEEGGGGHGDGGSCSAAGSAQRQPPAPPSQAPPPGSQAPAAPALAPDHLPQ NNTLVALPIVAIENILSFMSYDEISQLRLVCKRMDLVCQRMLNQGFLKVERFHNLCQKQV KAQLPR >ENSMUSP00000141772.1 pep:known chromosome:GRCm38:1:182316991:182341571:-1 gene:ENSMUSG00000047539.9 transcript:ENSMUST00000195061.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo28 description:F-box protein 28 [Source:MGI Symbol;Acc:MGI:1261890] ERMAEEGGGGHGDGGSCSAAGSAQRQPPAPPSQAPPPGSQAPAAPALAPDHLPQNNTLVA LPIVAIENILSFMSYDEISQLRLVCKRMDLVCQRMLNQGFLKVERFHNLCQKQVKAQLPR CCRSYGISPPWRWSTLMRRLFQF >ENSMUSP00000141219.1 pep:known chromosome:GRCm38:1:182317244:182341629:-1 gene:ENSMUSG00000047539.9 transcript:ENSMUST00000194213.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo28 description:F-box protein 28 [Source:MGI Symbol;Acc:MGI:1261890] MAAASEERMAEEGGGGHGDGGSCSAAGSAQRQPPAPPSQAPPPGSQAPAAPALAPDHLPQ NNTLVALPIVAIENILSFMSYDEISQLRLVCKRMDLVCQRMLNQGFLKVERFHNLCQKQV KAQLPRRESERRNHSLARHADILAAVETRLSLLNMTFMKYVDSNLCCFIPGKSPSASSRG AAGVTGYLLHGDGVL >ENSMUSP00000105884.1 pep:known chromosome:GRCm38:8:65618086:66471637:1 gene:ENSMUSG00000036469.16 transcript:ENSMUST00000110255.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March1 description:membrane-associated ring finger (C3HC4) 1 [Source:MGI Symbol;Acc:MGI:1920175] MLGWCEAIARNPHRIPNTTRTPETSGDVADASQTSTLNEKSPGRSASRSSNISKASSPTT GTAPRSQSRLSVCPSTQDICRICHCEGDEESPLITPCRCTGTLRFVHQSCLHQWIKSSDT RCCELCKYDFIMETKLKPLRKWEKLQMTTSERRKIFCSVTFHVIAVTCVVWSLYVLIDRT AEEIKQGVLEWPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRLKAYNRVIFVQNCPDT ANKLEKNFPCNVNTEIKDAVVVPVPQTGSNTLPTAEGAPPEVIPV >ENSMUSP00000044070.5 pep:known chromosome:GRCm38:8:66386294:66470421:1 gene:ENSMUSG00000036469.16 transcript:ENSMUST00000039540.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March1 description:membrane-associated ring finger (C3HC4) 1 [Source:MGI Symbol;Acc:MGI:1920175] MNLTMSNMTSSHICCNFLNMWKKSKISTMYYLNQDAKLSNLFLQASSPTTGTAPRSQSRL SVCPSTQDICRICHCEGDEESPLITPCRCTGTLRFVHQSCLHQWIKSSDTRCCELCKYDF IMETKLKPLRKWEKLQMTTSERRKIFCSVTFHVIAVTCVVWSLYVLIDRTAEEIKQGVLE WPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRLKAYNRVIFVQNCPDTANKLEKNFPC NVNTEIKDAVVVPVPQTGSNTLPTAEGAPPEVIPV >ENSMUSP00000105882.2 pep:known chromosome:GRCm38:8:66386301:66470431:1 gene:ENSMUSG00000036469.16 transcript:ENSMUST00000110253.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March1 description:membrane-associated ring finger (C3HC4) 1 [Source:MGI Symbol;Acc:MGI:1920175] MNLTMSNMTSSHICCNFLNMWKKSKISTMYYLNQDAKLSNLFLQASSPTTGTAPRSQSRL SVCPSTQDICRICHCEGDEESPLITPCRCTGTLRFVHQSCLHQWIKSSDTRCCELCKYDF IMETKLKPLRKWEKLQMTTSERRKIFCSVTFHVIAVTCVVWSLYVLIDRTAEEIKQGNDN GVLEWPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRLKAYNRVIFVQNCPDTANKLEK NFPCNVNTEIKDAVVVPVPQTGSNTLPTAEGAPPEVIPV >ENSMUSP00000105888.1 pep:known chromosome:GRCm38:8:65618525:66470427:1 gene:ENSMUSG00000036469.16 transcript:ENSMUST00000110259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March1 description:membrane-associated ring finger (C3HC4) 1 [Source:MGI Symbol;Acc:MGI:1920175] MPLHQISVIPARETASNGRSSMGRNKEKNKEVENEKSPGRSASRSSNISKASSPTTGTAP RSQSRLSVCPSTQDICRICHCEGDEESPLITPCRCTGTLRFVHQSCLHQWIKSSDTRCCE LCKYDFIMETKLKPLRKWEKLQMTTSERRKIFCSVTFHVIAVTCVVWSLYVLIDRTAEEI KQGNDNGVLEWPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRLKAYNRVIFVQNCPDT ANKLEKNFPCNVNTEIKDAVVVPVPQTGSNTLPTAEGAPPEVIPV >ENSMUSP00000105885.1 pep:known chromosome:GRCm38:8:65618025:66469713:1 gene:ENSMUSG00000036469.16 transcript:ENSMUST00000110256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March1 description:membrane-associated ring finger (C3HC4) 1 [Source:MGI Symbol;Acc:MGI:1920175] MLGWCEAIARNPHRIPNTTRTPETSGDVADASQTSTLNEKSPGRSASRSSNISKASSPTT GTAPRSQSRLSVCPSTQDICRSSLLHDMSEDEHCTPVVVLSHARKESGKKPVRQNPRRRR RVSQRYEHAEEQAKEKRNDFHLQISSPRWRELDMESSDSSSTDESQWVQAKRQAQVKFRL SRRRRNNKPCGSLTESSTSDRIELVDLGSKEEKHQELTECGSHTLNICRGKVIRYQECSH PPPRGSLVERTPAESHSGSKGKYCHRDPQLLHTLNQKETIKKRFSETDFNTEILAAVERD ECGEDTGLQLNTSTQKPPAAYDDGSDNFEVCRICHCEGDEESPLITPCRCTGTLRFVHQS CLHQWIKSSDTRCCELCKYDFIMETKLKPLRKWEKLQMTTSERRKIFCSVTFHVIAVTCV VWSLYVLIDRTAEEIKQGNDNGVLEWPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRL KAYNRVIFVQNCPDTANKLEKNFPCNVNTEIKDAVVVPVPQTGSNTLPTAEGAPPEVIPV >ENSMUSP00000105887.1 pep:known chromosome:GRCm38:8:65618009:66469371:1 gene:ENSMUSG00000036469.16 transcript:ENSMUST00000110258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March1 description:membrane-associated ring finger (C3HC4) 1 [Source:MGI Symbol;Acc:MGI:1920175] MLGWCEAIARNPHRIPNTTRTPETSGDVADASQTSTLNEKSPGRSASRSSNISKASSPTT GTAPRSQSRLSVCPSTQDICRICHCEGDEESPLITPCRCTGTLRFVHQSCLHQWIKSSDT RCCELCKYDFIMETKLKPLRKWEKLQMTTSERRKIFCSVTFHVIAVTCVVWSLYVLIDRT AEEIKQGNDNGVLEWPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRLKAYNRVIFVQN CPDTANKLEKNFPCNVNTEIKDAVVVPVPQTGSNTLPTAEGAPPEVIPV >ENSMUSP00000072302.6 pep:known chromosome:GRCm38:8:65967157:66471625:1 gene:ENSMUSG00000036469.16 transcript:ENSMUST00000072482.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March1 description:membrane-associated ring finger (C3HC4) 1 [Source:MGI Symbol;Acc:MGI:1920175] MPLHQISVIPARETASNGRSSMGRNKEKNKEVENEKSPGRSASRSSNISKASSPTTGTAP RSQSRLSVCPSTQDICRICHCEGDEESPLITPCRCTGTLRFVHQSCLHQWIKSSDTRCCE LCKYDFIMETKLKPLRKWEKLQMTTSERRKIFCSVTFHVIAVTCVVWSLYVLIDRTAEEI KQGNDNGVLEWPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRLKAYNRVIFVQNCPDT ANKLEKNFPCNVNTEIKDAVVVPVPQTGSNTLPTAEGAPPEVIPV >ENSMUSP00000096305.4 pep:known chromosome:GRCm38:8:66121742:66471625:1 gene:ENSMUSG00000036469.16 transcript:ENSMUST00000098708.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March1 description:membrane-associated ring finger (C3HC4) 1 [Source:MGI Symbol;Acc:MGI:1920175] MLGWCEAIARNPHRIPNTTRTPETSGDVADASQTSTLNEKSPGRSASRSSNISKASSPTT GTAPRSQSRLSVCPSTQDICRICHCEGDEESPLITPCRCTGTLRFVHQSCLHQWIKSSDT RCCELCKYDFIMETKLKPLRKWEKLQMTTSERRKIFCSVTFHVIAVTCVVWSLYVLIDRT AEEIKQGVLEWPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRLKAYNRVIFVQNCPDT ANKLEKNFPCNVNTEIKDAVVVPVPQTGSNTLPTAEGAPPEVIPV >ENSMUSP00000136545.1 pep:known chromosome:GRCm38:8:66121742:66471625:1 gene:ENSMUSG00000036469.16 transcript:ENSMUST00000178982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March1 description:membrane-associated ring finger (C3HC4) 1 [Source:MGI Symbol;Acc:MGI:1920175] MLGWCEAIARNPHRIPNTTRTPETSGDVADASQTSTLNEKSPGRSASRSSNISKASSPTT GTAPRSQSRLSVCPSTQDICRICHCEGDEESPLITPCRCTGTLRFVHQSCLHQWIKSSDT RCCELCKYDFIMETKLKPLRKWEKLQMTTSERRKIFCSVTFHVIAVTCVVWSLYVLIDRT AEEIKQGNDNGVLEWPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRLKAYNRVIFVQN CPDTANKLEKNFPCNVNTEIKDAVVVPVPQTGSNTLPTAEGAPPEVIPV >ENSMUSP00000088047.5 pep:known chromosome:GRCm38:2:92403646:92434043:-1 gene:ENSMUSG00000068742.11 transcript:ENSMUST00000090559.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cry2 description:cryptochrome 2 (photolyase-like) [Source:MGI Symbol;Acc:MGI:1270859] MAAAAVVAATVPAQSMGADGASSVHWFRKGLRLHDNPALLAAVRGARCVRCVYILDPWFA ASSSVGINRWRFLLQSLEDLDTSLRKLNSRLFVVRGQPADVFPRLFKEWGVTRLTFEYDS EPFGKERDAAIMKMAKEAGVEVVTENSHTLYDLDRIIELNGQKPPLTYKRFQALISRMEL PKKPAVAVSSQQMESCRAEIQENHDDTYGVPSLEELGFPTEGLGPAVWQGGETEALARLD KHLERKAWVANYERPRMNANSLLASPTGLSPYLRFGCLSCRLFYYRLWDLYKKVKRNSTP PLSLFGQLLWREFFYTAATNNPRFDRMEGNPICIQIPWDRNPEALAKWAEGKTGFPWIDA IMTQLRQEGWIHHLARHAVACFLTRGDLWVSWESGVRVFDELLLDADFSVNAGSWMWLSC SAFFQQFFHCYCPVGFGRRTDPSGDYIRRYLPKLKGFPSRYIYEPWNAPESVQKAAKCII GVDYPRPIVNHAETSRLNIERMKQIYQQLSRYRGLCLLASVPSCVEDLSHPVAEPGSSQA GSISNTGPRALSSGPASPKRKLEAAEEPPGEELTKRARVTEMPTQEPASKDS >ENSMUSP00000106909.1 pep:known chromosome:GRCm38:2:92411260:92434036:-1 gene:ENSMUSG00000068742.11 transcript:ENSMUST00000111278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cry2 description:cryptochrome 2 (photolyase-like) [Source:MGI Symbol;Acc:MGI:1270859] MAAAAVVAATVPAQSMGADGASSVHWFRKGLRLHDNPALLAAVRGARCVRCVYILDPWFA ASSSVGINRWRFLLQSLEDLDTSLRKLNSRLFVVRGQPADVFPRLFKEWGVTRLTFEYDS EPFGKERDAAIMKMAKEAGVEVVTENSHTLYDLDRIIELNGQKPPLTYKRFQALISRMEL PKKPAVAVSSQQMESCRAEIQENHDDTYGVPSLEELGFPTEGLGPAVWQGGETEALARLD KHLERKAWVANYERPRMNANSLLASPTGLSPYLRFGCLSCRLFYYRLWDLYKKVKRNSTP PLSLFGQLLWREFFYTAATNNPRFDRMEGNPICIQIPWDRNPEALAKWAEGKTGFPWIDA IMTQLRQEGWIHHLARHAVACFLTRGDLWVSWESGVRVFDELLLDADFSVNAGSWMWLSC SAFFQQFFHCYCPVGFGRRTDPSGDYIRRYLPKLKGFPSRYIYEPWNAPESVQKAAKCII GVDYPRPIVNHAETSRLNIERMKQIYQQLSRYRGLCLLASVPSCVEDLSHPVAEPGSSQA GSISNTGPRALSSGPASPKRKLEAAEEPPGEELTKRARVTEMPTQEPASKDS >ENSMUSP00000132509.1 pep:known chromosome:GRCm38:14:43100601:43115190:1 gene:ENSMUSG00000096446.1 transcript:ENSMUST00000168165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8104 description:predicted gene 8104 [Source:MGI Symbol;Acc:MGI:3649000] MFSWLRRLFHRENGNQGETRPRQKESAIPSCKNRRMKSFWGRHMSAGKTSSQNCNITNHM KNMNKLDDMKFYIRKINAERLELFRILDIDMNTDLNYRMNIDFTIIKSQHEKTMLDMEKM TQSISDTIEKYKEFIEDKDSYSFTHTYLLKECNQLKEKVRMLLNENRKLLVEQADQETSY GEEKRFCDETSKNIHPKC >ENSMUSP00000018651.7 pep:known chromosome:GRCm38:11:62574538:62600515:1 gene:ENSMUSG00000018507.16 transcript:ENSMUST00000018651.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv2 description:transient receptor potential cation channel, subfamily V, member 2 [Source:MGI Symbol;Acc:MGI:1341836] MTSASNPPAFRLETSDGDEEGSAEVNKGKNEPPPMESPFQGEDRNFSPQIKVNLNYRKGL GPSQQDPNRFDRDRLFSVVSRGVPEELTGLLEYLRRTSKYLTDSAYTEGSTGKTCLMKAV LNLQDGVNACILPLLQIDRDSGNPQPLVNAQCTDEFYRGHSALHIAIEKRSLWCVKLLVE NGANVHIRACGRFFQKHQGTCFYFGELPLSLAACTKQWDVVTYLLENPHQPASLEATDSL GNTVLHALVMIADNSPENSALVIHMYDSLLQMGARLCPTVQLEDICNHQGLTPLKLAAKE GKIEIFRHILQREFSGLYQPLSRKFTEWCYGPVRVSLYDLSSVDSWEKNSVLEIIAFHCK SPHRHRMVVLEPLNKLLQEKWDRLIPRFFFNFACYLVYMIIFTIVAYHQPSLEQPAIPSS KATFGDSMLLLGHILILLGGIYLLLGQLWYFWRRRLFIWISFMDSYFEILFLVQALLTVL SQVLRFVETEWYLPLLVSSLVLGWLNLLYYTRGFQHTGIYSVMIQKVILRDLLRFLLVYL VFLFGFAVALVSLSREARSPKAPEDSNTTVTEKPTLGQEEEPVPYGGILDASLELFKFTI GMGELAFQEQLRFRGVVLLLLLAYVLLTYVLLLNMLIALMSETVNSVATDSWSIWKLQKA ISVLEMENGYWWCRRKRHRAGRLLKVGTKGDGIPDERWCFRVEEVNWAAWEKTLPTLSED PSGAGITGYKKNPTSKPGKNSASEEDHLPLQVLQSH >ENSMUSP00000099703.1 pep:known chromosome:GRCm38:11:62576125:62600299:1 gene:ENSMUSG00000018507.16 transcript:ENSMUST00000102643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv2 description:transient receptor potential cation channel, subfamily V, member 2 [Source:MGI Symbol;Acc:MGI:1341836] MTSASNPPAFRLETSDGDEEGSAEVNKGKNEPPPMESPFQGEDRNFSPQIKVNLNYRKGL GPSQQDPNRFDRDRLFSVVSRGVPEELTGLLEYLRRTSKYLTDSAYTEGSTGKTCLMKAV LNLQDGVNACILPLLQIDRDSGNPQPLVNAQCTDEFYRGHSALHIAIEKRSLWCVKLLVE NGANVHIRACGRFFQKHQGTCFYFGELPLSLAACTKQWDVVTYLLENPHQPASLEATDSL GNTVLHALVMIADNSPENSALVIHMYDSLLQMGARLCPTVQLEDICNHQGLTPLKLAAKE GKIEIFRHILQREFSGLYQPLSRKFTEWCYGPVRVSLYDLSSVDSWEKNSVLEIIAFHCK SPHRHRMVVLEPLNKLLQEKWDRLIPRFFFNFACYLVYMIIFTIVAYHQPSLEQPAIPSS KATFGDSMLLLGHILILLGGIYLLLGQLWYFWRRRLFIWISFMDSYFEILFLVQALLTVL SQVLRFVETEWYLPLLVSSLVLGWLNLLYYTRGFQHTGIYSVMIQKVILRDLLRFLLVYL VFLFGFAVALVSLSREARSPKAPEDSNTTVTEKPTLGQEEEPVPYGGILDASLELFKFTI GMGELAFQEQLRFRGVVLLLLLAYVLLTYVLLLNMLIALMSETVNSVATDSWSIWKLQKA ISVLEMENGYWWCRRKRHRAGRLLKVGTKGDGIPDERWCFRVEEVNWAAWEKTLPTLSED PSGAGITGYKKNPTSKPGKNSASEEDHLPLQVLQSH >ENSMUSP00000058631.4 pep:known chromosome:GRCm38:8:24437180:24438984:-1 gene:ENSMUSG00000056313.5 transcript:ENSMUST00000052622.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810011O10Rik description:RIKEN cDNA 1810011O10 gene [Source:MGI Symbol;Acc:MGI:1916318] MKAKPSHQATSMSSSLRVSPSIHGYHFDTAARKKAVGNIFENIDQESLQRLFRNSGDKKA EERAKIIFAIDQDLEEKTRALMALKKRTKDKLLQFLKLRKYSIKVH >ENSMUSP00000114272.2 pep:known chromosome:GRCm38:1:133363572:133380336:1 gene:ENSMUSG00000070644.13 transcript:ENSMUST00000135222.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etnk2 description:ethanolamine kinase 2 [Source:MGI Symbol;Acc:MGI:2443760] MAVPPSAPVPCSPFYLRRQEPCPQCSWSMEEKAVASAGCWEPPGPPRAAVPCFSVTVEQD DILPGALRLIRELRPHWKPEQVRTKRFKDGITNKLLACYVEEDMRDCVLVRVYGERTELL VDRENEVRNFQLLRAHGCAPKLYCTFQNGLCYEYVQGVALGPEHIREPQLFRLIALEMAK IHTIHANGSLPKPTLWHKMHRYFTLVKDEISPSLSADVPKVEVLEQELAWLKEHLSQLDS PVVFCHNDLLCKNIIYDSDKGRVCFIDYEYAGYNYQAFDIGNHFNEFAGVNVVDYSRYPA RETQVQWLRYYLEAQKGTAASPREVERLYAQVNKFALASHFFWALWALIQNQYSTISFDF LRYAVIRFNQYFKVKPQVSALEMPK >ENSMUSP00000122244.1 pep:known chromosome:GRCm38:1:133365332:133380316:1 gene:ENSMUSG00000070644.13 transcript:ENSMUST00000129213.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etnk2 description:ethanolamine kinase 2 [Source:MGI Symbol;Acc:MGI:2443760] MRDCVLVRVYGERTELLVDRENEVRNFQLLRAHGCAPKLYCTFQNGLCYEYVQGVALGPE HIREPQLFRLIALEMAKIHTIHANGSLPKPTLWHKMHRYFTLVKDEISPSLSADVPKVEV LEQELAWLKEHLSQLDSPVVFCHNDLLCKNIIYDSDKGRVCFIDYEYAGYNYQAFDIGNH FNEFAGVNVVDYSRYPARETQVQWLRYYLEAQKGTAASPREVERLYAQVNKFALASHFFW ALWALIQNQYSTISFDFLRYAVIRFNQYFKVKPQVSALEMPK >ENSMUSP00000087318.5 pep:known chromosome:GRCm38:18:44425060:44812182:-1 gene:ENSMUSG00000071856.10 transcript:ENSMUST00000089874.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcc description:mutated in colorectal cancers [Source:MGI Symbol;Acc:MGI:96930] MAAASACNSSGGSSDTSSTGEEERLRRLFQTCDGDGDGYISRNDLLMVCRQLSMEESVAE IMNQLGSDENGKISFQDFTRCHTQLVREIRKEEGDLSMKSDMSSTKRLSERITSWPTSSD NSLGALSAARESWEDDSGARDLQSPDLQSQRPLQKLLEYGGSSLPQQAVLHKLLTHAPNF GTSTGGSYLELASTLHLAALASLKGDIVELNKRLQQTERERDLLEKKLAKAQCEQSHLMR EHEDVQERTTLRYEERITELHSIIAELNKKIDRLQGTTIREEDEYSELRSELSQSQQEVN EDSRSVDQDQTSVSIPENQSTMVTADMDNCSDLNSELQRVLTGLESVVCGRKKSSCSLSV ADVDRHIEQLTTASEHCDLAIKTVEEIEGVLGRDLYPNLAEERSRWEKELAGLREENESL TAMLCSKEEELNRTKATMNAIREERDRLRRRVRELQTRLQSVQATGPSSPGRLTPANRPI NPSTGELSTSSSSNDIPIAKIAERVKLSKTRSESSSSDRPVLGSEISSIGVSSSVAEHLA HSLQDCSNIQEIFQTLYSHGSAISESKIREFEVETERLNSRIEHLKSQNDLLTITLEECK SNAERMSMLVGKYESNATALRLALQYSEQCIEAYELLLALAESEQSLILGQFRAAGVGSS PGDQSGDENITQMLKRAHDCRKTAENAAKALLMKLDGSCGGAFAVAGCSVQPWESLSSNS HTSTTSSTASSCDTEFTKEDEQRLKDYIQQLKNDRAAVKLTMLELESIHIDPLSYDVKPR GDSQRLDLENAVLMQELMAMKEEMAELKAQLYLLEKEKKALELKLSTREAQEQAYLVHIE HLKSEVEEQKEQRTRSLSSTSSSGKEKPGKECADAASPALSLAELRTNSDSELATEFANA IRREKKLKARVQELVSALERLTKSSEIRHQQSAEFVNDLKRANSNLVAAYEKAKKKHQNK LKKLESQMMAMVERHETQVRMLKQRIALLEEENSRPHTNETSL >ENSMUSP00000128032.1 pep:known chromosome:GRCm38:18:44429280:44662266:-1 gene:ENSMUSG00000071856.10 transcript:ENSMUST00000164666.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcc description:mutated in colorectal cancers [Source:MGI Symbol;Acc:MGI:96930] MNSGVAVKYGNDSSAELSELHLAALASLKGDIVELNKRLQQTERERDLLEKKLAKAQCEQ SHLMREHEDVQERTTLRYEERITELHSIIAELNKKIDRLQGTTIREEDEYSELRSELSQS QQEVNEDSRSVDQDQTSVSIPENQSTMVTADMDNCSDLNSELQRVLTGLESVVCGRKKSS CSLSVADVDRHIEQLTTASEHCDLAIKTVEEIEGVLGRDLYPNLAEERSRWEKELAGLRE ENESLTAMLCSKEEELNRTKATMNAIREERDRLRRRVRELQTRLQSVQATGPSSPGRLTP ANRPINPSTGELSTSSSSNDIPIAKIAERVKLSKTRSESSSSDRPVLGSEISSIGVSSSV AEHLAHSLQDCSNIQEIFQTLYSHGSAISESKIREFEVETERLNSRIEHLKSQNDLLTIT LEECKSNAERMSMLVGKYESNATALRLALQYSEQCIEAYELLLALAESEQSLILGQFRAA GVGSSPGDQSGDENITQMLKRAHDCRKTAENAAKALLMKLDGSCGGAFAVAGCSVQPWES LSSNSHTSTTSSTASSCDTEFTKEDEQRLKDYIQQLKNDRAAVKLTMLELESIHIDPLSY DVKPRGDSQRLDLENAVLMQELMAMKEEMAELKAQLYLLEKEKKALELKLSTREAQEQAY LVHIEHLKSEVEEQKEQRTRSLSSTSSSGKEKPGKECADAASPALSLAELRTNSDSELAT EFANAIRREKKLKARVQELVSALERLTKSSEIRHQQSAEFVNDLKRANSNLVAAYEKAKK KHQNKLKKLESQMMAMVERHETQVRMLKQRIALLEEENSRPHTNETSL >ENSMUSP00000013851.3 pep:known chromosome:GRCm38:3:95139521:95142360:-1 gene:ENSMUSG00000013707.3 transcript:ENSMUST00000013851.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8l2 description:tumor necrosis factor, alpha-induced protein 8-like 2 [Source:MGI Symbol;Acc:MGI:1917019] MESFSSKSLALQAEKKLLSKMAGRSVAHLFIDETSSEVLDELYRVSKEYTHSRPKAQRVI KDLIKVAVKVAVLHRSGCFGPGELALATRFRQKLRQGAMTALSFGEVDFTFEAAVLAGLL VECRDILLELVEHHLTPKSHDRIRHVFDHYSDPDLLAALYGPDFTQHLGKICDGLRKLLD EGKL >ENSMUSP00000106519.2 pep:known chromosome:GRCm38:14:75845093:75848415:1 gene:ENSMUSG00000060126.14 transcript:ENSMUST00000110894.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpt1 description:tumor protein, translationally-controlled 1 [Source:MGI Symbol;Acc:MGI:104890] MIIYRDLISHDELFSDIYKIREIADGLCLEVEGKMVSRTEGAIDDSLIGGNASAEGPEGE GTESTVVTGVDIVMNHHLQETSFTKEAYKKYIKDYMKSLKGKLEEQKPERVKPFMTGAAE QIKHILANFNNYQFFIGENMNPDGMVALLDYREDGVTPFMIFFKDGLEMEKC >ENSMUSP00000121225.1 pep:known chromosome:GRCm38:14:75845302:75848525:1 gene:ENSMUSG00000060126.14 transcript:ENSMUST00000142061.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpt1 description:tumor protein, translationally-controlled 1 [Source:MGI Symbol;Acc:MGI:104890] MVSRTEGAIDDSLIGGNASAEGPEGEGTESTVVTGVDIVMNHHLQETSFTKEAYKKYIKD YMKSLKGKLEEQKPERVKPFMTGAAEQIKHILANFNNYQFFIGENMNPDGMVALLDYRED GVTPFMIFFKDGLEMEKC >ENSMUSP00000031334.8 pep:known chromosome:GRCm38:5:124570213:124579131:-1 gene:ENSMUSG00000029388.14 transcript:ENSMUST00000031334.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b1 description:eukaryotic translation initiation factor 2B, subunit 1 (alpha) [Source:MGI Symbol;Acc:MGI:2384802] MEDGELIEYFKSQMKGDPKMASAVAAIQTLLEFLKRDKGETLQGLRANLTYAIKTLCGVD SSVAVSSGGELFLRFISLTSLEYSDYSKCKKIMIERGELFLRRISLSRNKIANLCHTFIK DGARILTHAYSRVVLRVLEEAVAAKKRFSVYITESQPDLSGKKMAKALSHLNVPVTVVLD AAVGYIMEKADLVIVGAEGVVENGGIINKIGTNQMAVCAKAQNKPFYVVAESFKFVRLFP LNQEDVPDKFKYKADTLKSVQTGQDLKEEHPWVDYTSPSLITLLFTDLGVLTPSAVSDEL IKLYL >ENSMUSP00000142748.1 pep:known chromosome:GRCm38:5:124573081:124579061:-1 gene:ENSMUSG00000029388.14 transcript:ENSMUST00000198318.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif2b1 description:eukaryotic translation initiation factor 2B, subunit 1 (alpha) [Source:MGI Symbol;Acc:MGI:2384802] MEDGELIEYFKSQMKGDPKMASAVAAIQTLLEFLKRDKGLLQM >ENSMUSP00000122923.1 pep:known chromosome:GRCm38:5:124573731:124579075:-1 gene:ENSMUSG00000029388.14 transcript:ENSMUST00000135361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b1 description:eukaryotic translation initiation factor 2B, subunit 1 (alpha) [Source:MGI Symbol;Acc:MGI:2384802] MEDGELIEYFKSQMKGDPKMASAVAAIQTLLEFLKRDKGETLQGLRANLTYAIKTLCGVD SSVAVSSGGELFLRFISLTSLEYSDYSKCKKIMIERGELFLRRISLSRNKIANLCHTFIK DGARILTHAYSRVVLRVLEEAVAAKKRFSVYITESQPDLSGSSCITGTSLSCFHVTFSKK MAKALS >ENSMUSP00000118760.1 pep:known chromosome:GRCm38:5:124576811:124578992:-1 gene:ENSMUSG00000029388.14 transcript:ENSMUST00000128920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b1 description:eukaryotic translation initiation factor 2B, subunit 1 (alpha) [Source:MGI Symbol;Acc:MGI:2384802] MPCAPIAFLKYGRSYVPANKLIEYFKSQMKGDPKMASAVAAIQTLLEFLKRDKGETLQGL RANLTYAIKTLCGVDSSVAVSSGGELFLRFISLTSLEYSDYSKCKKIMIERGELFLRRIS LSRNKIANLCHTF >ENSMUSP00000120745.1 pep:known chromosome:GRCm38:5:124578519:124579032:-1 gene:ENSMUSG00000029388.14 transcript:ENSMUST00000135163.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif2b1 description:eukaryotic translation initiation factor 2B, subunit 1 (alpha) [Source:MGI Symbol;Acc:MGI:2384802] MEDGGECVNRAAGTHGPRPPHWQPELRANAFSLKVNCKHLCLCT >ENSMUSP00000067811.5 pep:known chromosome:GRCm38:3:95134088:95139518:1 gene:ENSMUSG00000053769.5 transcript:ENSMUST00000066386.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lysmd1 description:LysM, putative peptidoglycan-binding, domain containing 1 [Source:MGI Symbol;Acc:MGI:1919409] MASPSRQPPLGGSGLLHGSRARSYGSLVQSSCSPVRERRLEHQLEPGDTLAGLALKYGVT MEQIKRTNRLYTNDSIFLKKTLYIPILSEPRDLFNGLDSEEENDGEEEVRPSKDEIGSSS GRRKNRGSGSGRPNGTGLPPHQETSTPSHDLSASDFLKKLDSQISLSKKAAAQKLRKGES GVPEEDTGLYPSSPRMQQRAVLGPVPLTRTSRTQTLRDQEDEIFKL >ENSMUSP00000139793.1 pep:known chromosome:GRCm38:Y:48856497:48882617:1 gene:ENSMUSG00000100972.1 transcript:ENSMUST00000185924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28553 description:predicted gene 28553 [Source:MGI Symbol;Acc:MGI:5579259] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSILDKSEVNNPAIGKDENTSPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000113424.1 pep:known chromosome:GRCm38:3:95676201:95687917:-1 gene:ENSMUSG00000015850.11 transcript:ENSMUST00000117782.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl4 description:ADAMTS-like 4 [Source:MGI Symbol;Acc:MGI:2389008] MESWLGRLWLCMMLLLPLPQPCQDQELFGPSHQLPSEEGQVPEGLWGPWGRWASCSQPCG VGVQRRSRTCELHPALPLPPRPPRHPEAHRPRGQGSRPQTPRDPQSLYRPQPRGRGGPLR APASQVGREETQEPQGAQRFRVRDPIKPGMFGYGRVPFALPLHRSRRHPHRPGQPKNSST GEGMVPSQPPSTELASEKHGPHMQPPEPRSHSAETPRSGTAQTEVLPRTSSAPSYTGTPA PTSSFGDSRSFQGSLGPRMPPSPGSWSSPQGAERRHPPPFSPVPRSQQSRRHWRPPGPHR SPDGWLPLTRDSSPLWSIFAPSIPAPNCSGESEQMRACSQEPCPPEQPDPRALQCAAFDS QEFMGQLYQWEPFTEVQGSQRCELNCRPRGFRFYVRHTEKVQDGTLCQPGSLDICVAGRC LSPGCDGVLGSGRRPDGCGVCGGDGSTCRLVSGNLTDRGGPLGYQKILWIPAGASHLHIS QLRPSSNYLALRGPGGRSIINGNWAVDPPGSYTAIGTVFQYNRPPREEGKGESLSAEGPT TQPVDVYMIFQEDNPGVFYQYVISSPPAVLESPSTKPPALQPQPEMLRGEPLLPSAPRPV RAPGTLQRQVRIPQVPPPTRVRTAMGSSAGYWKQVGHSECSASCGKGVWHPIFLCISRES GEELDEQSCAVGARPPASPEPCHGPPCPPYWEAGEWTSCSRSCGPGTQHRQLLCRQEFGG GGSSVPPERCGHLPRPNITQPCQLHLCGHWEISSPWSQCSVRCGRGQRSRQVRCVGSNGD EVDKQECASGPPPPPSREACDMGPCTTAWFYSDWSSKCSAECGTGIQRRAVVCLRSGETL QGDPEAGSTEQGCPLRSRPPDMRACSLGPCERTWRWFTGPWSECSSECGSGTQHRDIICV SKLGAEFNVTSPSNCSHLPRPPALQPCQGQACEDKWFSTLWSPCSRSCQGGMQTREVQCL SGNQTLSSRCPPHLRPSRKRPCNSQPCNQRPDDQCKDSSPHCPLVVQARLCVYPYYTTTC CRSCAHVLEQSQLEPA >ENSMUSP00000015994.3 pep:known chromosome:GRCm38:3:95676204:95687858:-1 gene:ENSMUSG00000015850.11 transcript:ENSMUST00000015994.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl4 description:ADAMTS-like 4 [Source:MGI Symbol;Acc:MGI:2389008] MESWLGRLWLCMMLLLPLPQPCQDQELFGPSHQLPSEEGQVPEGLWGPWGRWASCSQPCG VGVQRRSRTCELHPALPLPPRPPRHPEAHRPRGQGSRPQTPRDPQSLYRPQPRGRGGPLR APASQVGREETQEPQGAQRFRVRDPIKPGMFGYGRVPFALPLHRSRRHPHRPGQPKNSST GEGMVPSQPPSTELASEKHGPHMQPPEPRSHSAETPRSGTAQTEVLPRTSSAPSYTGTPA PTSSFGDSRSFQGSLGPRMPPSPGSWSSPQGAERRHPPPFSPVPRSQQSRRHWRPPGPHR SPDGWLPLTRDSSPLWSIFAPSIPAPNCSGESEQMRACSQEPCPPEQPDPRALQCAAFDS QEFMGQLYQWEPFTEVQGSQRCELNCRPRGFRFYVRHTEKVQDGTLCQPGSLDICVAGRC LSPGCDGVLGSGRRPDGCGVCGGDGSTCRLVSGNLTDRGGPLGYQKILWIPAGASHLHIS QLRPSSNYLALRGPGGRSIINGNWAVDPPGSYTAIGTVFQYNRPPREEGKGESLSAEGPT TQPVDVYMIFQEDNPGVFYQYVISSPPAVLESPSTKPPALQPQPEMLRGEPLLPSAPRPV RAPGTLQRQVRIPQVPPPTRVRTAMGSSAGYWKQVGHSECSASCGKGVWHPIFLCISRES GEELDEQSCAVGARPPASPEPCHGPPCPPYWEAGEWTSCSRSCGPGTQHRQLLCRQEFGG GGSSVPPERCGHLPRPNITQPCQLHLCGHWEISSPWSQCSVRCGRGQRSRQVRCVGSNGD EVDKQECASGPPPPPSREACDMGPCTTAWFYSDWSSKCSAECGTGIQRRAVVCLRSGETL QGDPEAGSTEQGCPLRSRPPDMRACSLGPCERTWRWFTGPWSECSSECGSGTQHRDIICV SKLGAEFNVTSPSNCSHLPRPPALQPCQGQACEDKWFSTLWSPCSRSCQGGMQTREVQCL SGNQTLSSRCPPHLRPSRKRPCNSQPCNQRPDDQCKDSSPHCPLVVQARLCVYPYYTTTC CRSCAHVLEQSQLEPA >ENSMUSP00000120844.1 pep:known chromosome:GRCm38:3:95684581:95687865:-1 gene:ENSMUSG00000015850.11 transcript:ENSMUST00000148854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl4 description:ADAMTS-like 4 [Source:MGI Symbol;Acc:MGI:2389008] MESWLGRLWLCMMLLLPLPQPCQDQEVSPGQLFGPSHQLPSEEGQVPEGLWGPWGRWASC SQPCGVGVQR >ENSMUSP00000134841.1 pep:known chromosome:GRCm38:6:57232843:57234371:1 gene:ENSMUSG00000093692.1 transcript:ENSMUST00000176376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r14 description:vomeronasal 1 receptor 14 [Source:MGI Symbol;Acc:MGI:2148528] MSSLSFMSSLLENILYFQAGLGVLANIFLLVFYIFIILGHRPKPMDLISCQLTFVHIMMF LAGENFWLANIFESLNVENDFKCKATFYTKRVMRGLSICITCLLSVFQAVMISHRNSLLA KFKHKLKIYMINALFYIWTFNLSLSSNLIFYVGGFTNMSQTKQLKVTKSCSLIPMNYIIR GLILTVISSRDMFLVGVMLTTSTYMVIILCRHQRQCKHLHSTSHLRASPEKRATQTILLL VVFFVIMYWVDFIISSTSILLWMYDPVIMIVQKFVVNAYPAITPFVQISSDTRVIRVVKN WHS >ENSMUSP00000071272.1 pep:known chromosome:GRCm38:6:57258149:57259048:1 gene:ENSMUSG00000058731.1 transcript:ENSMUST00000071304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r15 description:vomeronasal 1 receptor 15 [Source:MGI Symbol;Acc:MGI:2148527] MSSVENVLYFQAGFGVLPNVFLLLFYIFIILGHRPKPTDLISCQLTLVHIIMFLTGGNIW LADIFGFLNIENDIKCKATFYTSRVTRGLSICITCLLSVFQAVTISPSTSMLAKFKQKLK KYMNNALFYIWFFNLSVCSNMLFFVGGFTNVSETKQVKVTKSCSLFPMNYIIRELIVILS TSRDVFLVAVMLTTSAYMVNILHRHQRQYKHLHSIKSLRTSPEKKATQTILLLVVFFVVM YWVDFIISFTSLLLWMYDPVVLTVQKFLIYAYPTITPLVQISSDKRIINVLKNLQSKCH >ENSMUSP00000036119.8 pep:known chromosome:GRCm38:8:46575579:46617200:-1 gene:ENSMUSG00000038225.14 transcript:ENSMUST00000040468.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Primpol description:primase and polymerase (DNA-directed) [Source:MGI Symbol;Acc:MGI:3603756] MLRKWEARVKQIEERASHYERKPLSSVYRPRLAKPEEPSSIWKLFHRQNQAFNFVKSCKE SVHVFALECKRGNGQRIYLVTSYAQLWFYYKTRKTLLHCYEVIPENAVCKLYFDLEFNKL ANPGADGKMMVALLIQHVCKALEEFYNVQCSAEDVFNLDSSTEEKFSRHLIFQLHNVAFK DNRHAGNFVRKILQPALHLIAEDDEAKVPEAVGQDASGFSVTPLKQEISEAREKVGLPKQ CDPDLSFLVVKNHMGEKCLFVDLGVYTKNRNFRLYQSSKIGKCVSLEVAEDNRFIPKQSK DISEENQYFLSSLVSNVRFSDTLRVLTCHPSQTKRKRAECFNSTGTSVESIEGFQGSPYP EVDQFVLSLVNKHDIKGGIRRWNYFFPEELLVYDICKYRWCENIGRAHKSNNIMILVDLK NEVWYQKCHDPVCKAQNFKSTCSPLPTEVSLLFLLKDEDFTSGETDDTSTSLTKDSQTPP SCNLSAGGLSAAAWDDEDDALFLEATEDAEFADAADKSLGSMDDIPDELIIEALQNS >ENSMUSP00000115987.1 pep:known chromosome:GRCm38:8:46605149:46613015:-1 gene:ENSMUSG00000038225.14 transcript:ENSMUST00000125319.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Primpol description:primase and polymerase (DNA-directed) [Source:MGI Symbol;Acc:MGI:3603756] MLRKWEARVKQIEERASHYERKPLSSVYRPRLAKPEEPSSIWKLFHRQNQAFNFVKSCKE SVHVFALECKRGNGQRIYLVTSYAQLWFYYKTRKTLLHCYEVIPENAVCKLYFD >ENSMUSP00000064513.7 pep:known chromosome:GRCm38:9:106470322:106476949:-1 gene:ENSMUSG00000023249.14 transcript:ENSMUST00000067218.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp3 description:poly (ADP-ribose) polymerase family, member 3 [Source:MGI Symbol;Acc:MGI:1891258] MAPKRKASVQTEGSKKRRQGTEEEDSFRSTAEALRAAPADNRVIRVDPSCPFSRNPGIQV HEDYDCTLNQTNIGNNNNKFYIIQLLEEGSRFFCWNRWGRVGEVGQSKMNHFTCLEDAKK DFKKKFWEKTKNKWEERDRFVAQPNKYTLIEVQGEAESQEAVVKVYSGPVRTVVKPCSLD PATQNLITNIFSKEMFKNAMTLMNLDVKKMPLGKLTKQQIARGFEALEALEEAMKNPTGD GQSLEELSSCFYTVIPHNFGRSRPPPINSPDVLQAKKDMLLVLADIELAQTLQAAPGEEE EKVEEVPHPLDRDYQLLRCQLQLLDSGESEYKAIQTYLKQTGNSYRCPDLRHVWKVNREG EGDRFQAHSKLGNRRLLWHGTNVAVVAAILTSGLRIMPHSGGRVGKGIYFASENSKSAGY VTTMHCGGHQVGYMFLGEVALGKEHHITIDDPSLKSPPSGFDSVIARGQTEPDPAQDIEL ELDGQPVVVPQGPPVQCPSFKSSSFSQSEYLIYKESQCRLRYLLEIHL >ENSMUSP00000123054.1 pep:known chromosome:GRCm38:9:106470627:106476376:-1 gene:ENSMUSG00000023249.14 transcript:ENSMUST00000123555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp3 description:poly (ADP-ribose) polymerase family, member 3 [Source:MGI Symbol;Acc:MGI:1891258] MAPKRKASVQTEGSKKRRQGTEEEDSFRSTAEALRAAPADNRVIRVDPSCPFSRNPGIQV HEDYDCTLNQTNIGNNNNKFYIIQLLEEGSRFFCWNRWGRVGEVGQSKMNHFTCLEDAKK DFKKKFWEKTKNKWEERDRFVAQPNKYTLIEVQGEAESQEAVVKVYSGPVRTVVKPCSLD PATQNLITNIFSKEMFKNAMTLMNLDVKKMPLGKLTKQQIARGFEALEALEEAMKNPTGD GQSLEELSSCFYTVIPHNFGRSRPPPINSPDVLQAKKDMLLVLADIELAQTLQAAPGEEE EKVEEVPHPLDRDYQLLRCQLQLLDSGESEYKAIQTYLKQTGNSYRCPDLRHVWKVNREG EGDRFQAHSKLGNRRLLWHGTNVAVVAAILTSGLRIMPHSGGRVGKGIYFASENSKSAGY VTTMHCGGHQVGYMFLGEVALGKEHHITIDDPSLKSPPSGFDSVIARGQTEPDPAQDIEL ELDGQPVVVPQGPPVQCPSFKSSSFSQSEYLIYKESQCRLRYLLEIHL >ENSMUSP00000108098.2 pep:known chromosome:GRCm38:9:106470989:106476669:-1 gene:ENSMUSG00000023249.14 transcript:ENSMUST00000112479.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp3 description:poly (ADP-ribose) polymerase family, member 3 [Source:MGI Symbol;Acc:MGI:1891258] MAPKRKASVQTEGSKKRRQGTEEEDSFRSTAEALRAAPADNRVIRVDPSCPFSRNPGIQV HEDYDCTLNQTNIGNNNNKFYIIQLLEEGSRFFCWNRWGRVGEVGQSKMNHFTCLEDAKK DFKKKFWEKTKNKWEERDRFVAQPNKYTLIEVQGEAESQEAVVKALSPQVYSGPVRTVVK PCSLDPATQNLITNIFSKEMFKNAMTLMNLDVKKMPLGKLTKQQIARGFEALEALEEAMK NPTGDGQSLEELSSCFYTVIPHNFGRSRPPPINSPDVLQAKKDMLLVLADIELAQTLQAA PGEEEEKVEEVPHPLDRDYQLLRCQLQLLDSGESEYKAIQTYLKQTGNSYRCPDLRHVWK VNREGEGDRFQAHSKLGNRRLLWHGTNVAVVAAILTSGLRIMPHSGGRVGKGIYFASENS KSAGYVTTMHCGGHQVGYMFLGEVALGKEHHITIDDPSLKSPPSGFDSVIARGQTEPDPA QDIELELDGQPVVVPQGPPVQCPSFKSSSFSQSEYLIYKESQCRLRYLLEIHL >ENSMUSP00000117329.1 pep:known chromosome:GRCm38:9:106474422:106476104:-1 gene:ENSMUSG00000023249.14 transcript:ENSMUST00000156426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp3 description:poly (ADP-ribose) polymerase family, member 3 [Source:MGI Symbol;Acc:MGI:1891258] MAPKRKASVQTEGSKKRRQGTEEEDSFRSTAEALRAAPADNRVIRVDPSCPFSRNPGIQV HEDYDCTLNQTNIGNNNNKFYIIQLLEEGSRFFCWNRWGRVGEVGQSKMNHFTCLEDAKK DFKKKFWEKTKNKWEERDRFVAQPNKYTLIEVQGEAESQEAVVKALSPQVYSGPVRTVVK PCSLDPATQNLITNIFSKEMFK >ENSMUSP00000119244.1 pep:known chromosome:GRCm38:9:106474659:106476395:-1 gene:ENSMUSG00000023249.14 transcript:ENSMUST00000125850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp3 description:poly (ADP-ribose) polymerase family, member 3 [Source:MGI Symbol;Acc:MGI:1891258] MAPKRKASVQTEGSKKRRQGTEEEDSFRSTAEALRAAPADNRVIRVDPSCPFSRNPGIQV HEDYDCTLNQTNIGNNNNKFYIIQLLEEGSRFFCWNRWGRVGEVGQSKMNHFTCLEDAKK DFKKKFWEKTKNKWEERDRFVAQPNKYTLIEVQGEAESQEAVVKVMGQQGA >ENSMUSP00000021078.2 pep:known chromosome:GRCm38:11:115268024:115277050:-1 gene:ENSMUSG00000018861.8 transcript:ENSMUST00000021078.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdxr description:ferredoxin reductase [Source:MGI Symbol;Acc:MGI:104724] MAPRCWHWWRWSAWSGLRPSPSRSTPTPGFCQKFSTQEKTPQICVVGSGPAGFYTAQHLL KHHTHAHVDIYEKQLVPFGLVRFGVAPDHPEVKNVINTFTQTARSDRCAFQGNVVVGRDV SVPELREAYHAVVLSYGAEDHQPLGIPGEELPGVVSARAFVGWYNGLPENQELAPDLSCD TAVILGQGNVALDVARILLTPPEHLEKTDITEAALGALRQSRVKTVWIVGRRGPLQVAFT IKELREMIQLPGTRPILDPSDFLGLQDRIKDVPRPRRRLTELLLRTATEKPGVEEAARQA LASRAWGLRFFRSPQQVLPTPDGQRVAGIRLAVTSLEGVGESTRAVPTGDVEDLPCGLLL SSVGYKSRPIDPSVPFDPKLGVIPNTEGRVVNVPGLYCSGWVKRGPTGVITTTMTDSFLT SQALLEDLKAGLLPSGPRPGYVAIQALLSNRGVRPVSFSDWEKLDAEEVSRGQGTGKPRE KLVDRREMLRLLGH >ENSMUSP00000112711.1 pep:known chromosome:GRCm38:7:105371211:105400032:-1 gene:ENSMUSG00000044465.18 transcript:ENSMUST00000122327.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam160a2 description:family with sequence similarity 160, member A2 [Source:MGI Symbol;Acc:MGI:1921599] MEKMNWLSRLASRVPGHRVPQGASLQTPVMADPETCLMVFKNHWSQVVRILERQGPRAAT GGADDLSAVRNHTYQMLTLLAEDRAVPSAPSGPGPLLEFALREDLLSRVLTWQLQWDEFG DGVEERRAEQLKLFEMLVSEARQPLLRHGPVREALLALLDACGRPVPSSPALDEGLVLLL SQLCVCVAREPSLLEFFLQPPPEPGAAPRLLLFSRLVPFVHREGTLGQQARDALLLLMAL SDGSPTVGRYIADHSYFCPVLATGLSALYSSLPRKIEVPGDDWHCLRREDWIGVPALALF MSSLEFCNAVIQVAHPLVQKQLVDYIHNGFLVPVMGPALHKTSVEEMIASTAYLELFLRS ISEPALLRTFLRFLLLHRHDTHTILDTLVARIGSNSRLCMVSLSLFRTLLNLSCEDVLLQ LVLRYLVPCNHVMLSQKPAVRDVDLYGRAADKFLSLIPRCCRHHAPSPPRPEHASWARGP GSPSVDSSSVVTVPRPSTPSRLALFLRQQSLGGSESPGPVPRSPGLTASPTSSPSRRPSP AEEPGELEDNYLEYLREARRGVDRCVRACRTWSAPYDGERPPPEPNPLGSRTKKRSLLPE EDRDNVREGEEENLGSRGLAVGVGDTPGYLLPPQLNGVPGPWPEGAKKVRLVPRLVPQEG VRELLEGTSEDMAGLESFGQELQELEVALSNGGAGSEPPLEPPLPPEEEEAYESFTCPPE PPGPFLSSPLRTLHQLPSQPFTGPFMAVLFAKLENMLQNSVYVNFLLTGLVAQLACHPQP LLRSFLLNTNMVFQPSVKSLLQVLGSVKNKIESFAASQEDFPALLSKAKKYLIARGKLDW AEGPTAGPAPRRSDSLVRSRRPSLGELLLRHAHSPTRARQAVQVLQPGRDGTGLGLGGGS PGASTPVLLPRGGASERQGEALRVKNAVYCAVIFPEFLKELAAISQAHAVTSPFLLDTSE EVSLPPISGFGPLNP >ENSMUSP00000119184.1 pep:known chromosome:GRCm38:7:105378610:105399985:-1 gene:ENSMUSG00000044465.18 transcript:ENSMUST00000137158.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam160a2 description:family with sequence similarity 160, member A2 [Source:MGI Symbol;Acc:MGI:1921599] MEKMNWLSRLASRVPGHRVPQGASLQTPVMADPETCLMVFKNHWSQVVRILERQGPRAAT GGADDLSAVRNHTYQMLTLLAEDRAVPSAPSGPGPLLEFALREDLLSRVLTWQLQWDEFG DGVEERRAEQLKLFEMLVSEARQPLLRHGPVREALLALLDACGRPVPSSPALDEGLVLLL SQLCVCVAREPSLLEFFLQPPPEPGAAPRLLLFSRLVPFVHREGTLGQQARDALLLLMAL SDGSPTVGRYIADHSYFCPG >ENSMUSP00000045084.6 pep:known chromosome:GRCm38:7:105378610:105400054:-1 gene:ENSMUSG00000044465.18 transcript:ENSMUST00000048079.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam160a2 description:family with sequence similarity 160, member A2 [Source:MGI Symbol;Acc:MGI:1921599] MEKMNWLSRLASRVPGHRVPQGASLQTPVMADPETCLMVFKNHWSQVVRILERQGPRAAT GGADDLSAVRNHTYQMLTLLAEDRAVPSAPSGPGPLLEFALREDLLSRVLTWQLQWDEFG DGVEERRAEQLKLFEMLVSEARQPLLRHGPVREALLALLDACGRPVPSSPALDEGLVLLL SQLCVCVAREPSLLEFFLQPPPEPGAAPRLLLFSRLVPFVHREGTLGQQARDALLLLMAL SDGSPTVGRYIADHSYFCPVLATGLSALYSSLPRKIEVPGDDWHCLRREDWIGVPALALF MSSLEFCNAVIQVAHPLVQKQLVDYIHNGFLVPVMGPALHKTSVEEMIASTAYLELFLRS ISEPALLRTFLRFLLLHRHDTHTILDTLVARIGSNSRLCMVSLSLFRTLLNLSCEDVLLQ LVLRYLVPCNHVMLSQKPAVRDVDLYGRAADKFLSLIPRCCRHHAPSPPRPEHASWARGP GSPSVDSSSVVTVPRPSTPSRLALFLRQQSLGGSESPGPVPRSPGLTASPTSSPSRRPSP AEEPGELEDNYLEYLREARRGVDRCVRACRTWSAPYDGERPPPEPNPLGSRTKKRSLLPE EDRDNVREGEEENLGSRGLAVGVGDTPGYLLPPQLNGVPGPWPEGAKKVRLVPRLVPQEG VRELLEGTSEDMAGLESFGQELQELEVALSNGGAGSEPPLEPPLPPEEEEAYESFTCPPE PPGPFLSSPLRTLHQLPSQPFTGPFMAVLFAKLENMLQNSVYVNFLLTGLVAQLACHPQP LLRSFLLNTNMVFQPSVKSLLQVLGSVKNKIESFAASQEDFPALLSKAKKYLIARGKLDW AEGPTAGPAPRRSDSLVRSRRPSLGELLLRHAHSPTRARQAVQVLQPGRDGTGLGLGGGS PGASTPVLLPRGGASERQGEALRVKNAVYCAVIFPEFLKELAAISQAHAVTSPFLLDTSE EVSLPPISGFGPLNP >ENSMUSP00000112605.1 pep:known chromosome:GRCm38:7:105378611:105400008:-1 gene:ENSMUSG00000044465.18 transcript:ENSMUST00000118726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam160a2 description:family with sequence similarity 160, member A2 [Source:MGI Symbol;Acc:MGI:1921599] MEKMNWLSRLASRVPGHRVPQGASLQTPVMADPETCLMVFKNHWSQVVRILERQGPRAAT GGADDLSAVRNHTYQMLTLLAEDRAVPSAPSGPGPLLEFALREDLLSRVLTWQLQWDEFG DGVEERRAEQLKLFEMLVSEARQPLLRHGPVREALLALLDACGRPVPSSPALDEGLVLLL SQLCVCVAREPSLLEFFLQPPPEPGAAPRLLLFSRLVPFVHREGTLGQQARDALLLLMAL SDGSPTVGRYIADHSYFCPVLATGLSALYSSLPRKIEVPGDDWHCLRREDWIGVPALALF MSSLEFCNAVIQVAHPLVQKQLVDYIHNGFLVPVMGPALHKTSVEEMIASTAYLELFLRS ISEPALLRTFLRFLLLHRHDTHTILDTLVARIGSNSRLCMVSLSLFRTLLNLSCEDVLLQ LVLRYLVPCNHVMLSQKPAVRDVDLYGRAADKFLSLIPRCCRHHAPSPPRPEHASWARGG PSREAGRREDITGPGSPSVDSSSVVTVPRPSTPSRLALFLRQQSLGGSESPGPVPRSPGL TASPTSSPSRRPSPAEEPGPFMAVLFAKLENMLQNSVYVNFLLTGLVAQLACHPQPLLRS FLLNTNMVFQPSVKSLLQVLGSVKNKIESFAASQEDFPALLSKAKKYLIARGKLDWAEGP TAGPAPRRSDSLVRSRRPSLGELLLRHAHSPTRARQAVQVLQPGRDGTGLGLGGGSPGAS TPVLLPRGGASERQGEALRVKNAVYCAVIFPEFLKELAAISQAHAVTSPFLLDTSEEVSL PPISGFGPLNP >ENSMUSP00000137163.1 pep:known chromosome:GRCm38:7:105371211:105400054:-1 gene:ENSMUSG00000044465.18 transcript:ENSMUST00000179474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam160a2 description:family with sequence similarity 160, member A2 [Source:MGI Symbol;Acc:MGI:1921599] MEKMNWLSRLASRVPGHRVPQGASLQTPVMADPETCLMVFKNHWSQVVRILERQGPRAAT GGADDLSAVRNHTYQMLTLLAEDRAVPSAPSGPGPLLEFALREDLLSRVLTWQLQWDEFG DGVEERRAEQLKLFEMLVSEARQPLLRHGPVREALLALLDACGRPVPSSPALDEGLVLLL SQLCVCVAREPSLLEFFLQPPPEPGAAPRLLLFSRLVPFVHREGTLGQQARDALLLLMAL SDGSPTVGRYIADHSYFCPVLATGLSALYSSLPRKIEVPGDDWHCLRREDWIGVPALALF MSSLEFCNAVIQVAHPLVQKQLVDYIHNGFLVPVMGPALHKTSVEEMIASTAYLELFLRS ISEPALLRTFLRFLLLHRHDTHTILDTLVARIGSNSRLCMVSLSLFRTLLNLSCEDVLLQ LVLRYLVPCNHVMLSQKPAVRDVDLYGRAADKFLSLIPRCCRHHAPSPPRPEHASWARGG PSREAGRREDITGPGSPSVDSSSVVTVPRPSTPSRLALFLRQQSLGGSESPGPVPRSPGL TASPTSSPSRRPSPAEEPGELEDNYLEYLREARRGVDRCVRACRTWSAPYDGERPPPEPN PLGSRTKKRSLLPEEDRDNVREGEEENLGSRGLAVGVGDTPGYLLPPQLNGVPGPWPEGA KKVRLVPRLVPQEGVRELLEGTSEDMAGLESFGQELQELEVALSNGGAGSEPPLEPPLPP EEEEAYESFTCPPEPPGPFLSSPLRTLHQLPSQPFTGPFMAVLFAKLENMLQNSVYVNFL LTGLVAQLACHPQPLLRSFLLNTNMVFQPSVKSLLQVLGSVKNKIESFAASQEDFPALLS KAKKYLIARGKLDWAEGPTAGPAPRRSDSLVRSRRPSLGELLLRHAHSPTRARQAVQVLQ PGRDGTGLGLGGGSPGASTPVLLPRGGASERQGEALRVKNAVYCAVIFPEFLKELAAISQ AHAVTSPFLLDTSEEVSLPPISGFGPLNP >ENSMUSP00000074252.7 pep:known chromosome:GRCm38:7:105378611:105400011:-1 gene:ENSMUSG00000044465.18 transcript:ENSMUST00000074686.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam160a2 description:family with sequence similarity 160, member A2 [Source:MGI Symbol;Acc:MGI:1921599] MEKMNWLSRLASRVPGHRVPQGASLQTPVMADPETCLMVFKNHWSQVVRILERQGPRAAT GGADDLSAVRNHTYQMLTLLAEDRAVPSAPSGPGPLLEFALREDLLSRVLTWQLQWDEFG DGVEERRAEQLKLFEMLVSEARQPLLRHGPVREALLALLDACGRPVPSSPALDEGLVLLL SQLCVCVAREPSLLEFFLQPPPEPGAAPRLLLFSRLVPFVHREGTLGQQARDALLLLMAL SDGSPTVGRYIADHSYFCPVLATGLSALYSSLPRKIEVPGDDWHCLRREDWIGVPALALF MSSLEFCNAVIQVAHPLVQKQLVDYIHNGFLVPVMGPALHKTSVEEMIASTAYLELFLRS ISEPALLRTFLRFLLLHRHDTHTILDTLVARIGSNSRLCMVSLSLFRTLLNLSCEDVLLQ LVLRYLVPCNHVMLSQKPAVRDVDLYGRAADKFLSLIPRCCRHHAPSPPRPEHASWARGP GSPSVDSSSVVTVPRPSTPSRLALFLRQQSLGGSESPGPVPRSPGLTASPTSSPSRRPSP AEEPGELEDNYLEYLREARRGVDRCVRACRTWSAPYDGERPPPEPNPLGSRTKKRSLLPE EDRDNVREGEEENLGSRGLAVGVGDTPGYLLPPQLNGVPGPWPEGAKKVRLVPRLVPQEG VRELLEGTSEDMAGLESFGQELQELEVALSNGGAGSEPPLEPPLPPEEEEAYESFTCPPE PPGPFLSSPLRTLHQLPSQPFTGAIESFAASQEDFPALLSKAKKYLIARGKLDWAEGPTA GPAPRRSDSLVRSRRPSLGELLLRHAHSPTRARQAVQVLQPGRDGTGLGLGGGSPGASTP VLLPRGGASERQGEALRVKNAVYCAVIFPEFLKELAAISQAHAVTSPFLLDTSEEVSLPP ISGFGPLNP >ENSMUSP00000112508.1 pep:known chromosome:GRCm38:1:182409172:182462432:1 gene:ENSMUSG00000026510.10 transcript:ENSMUST00000117245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53bp2 description:transformation related protein 53 binding protein 2 [Source:MGI Symbol;Acc:MGI:2138319] MRFGSKMMPMFLTVYLSNSEQHFTEVPVTPETICRDVVDLCKEPGENDCHLAEVWCGSER PVADNERMFDVLQRFGSQRNEVRFFLRHERPPNRDIVSGPRSQDPSVKRNGVKVPGEHRR KENGVNSPRLDLTLAELQEMASRQQQQIEAQQQMLATKEQRLKFLKQQDQRQQQQAAEQE KLKRLREIAESQEAKLKKVRALKGHVEQKRLSNGKLVEEIEQMNSLFQQKQRELVLAVSK VEELTRQLEMLKNGRIDGHHDNQSAVAELDRLYKELQLRNKLNQEQNAKLQQQRECLNKR NSEVAVMDKRVSELRDRLWKKKAALQQKENLPVSPDGNLPQQAVSAPSRVAAVGPYIQSS TMPRMPSRPELLVKPALPDGSLLMQSAEGPMKIQTLPNMRSGAASQSKGSKAHPASPDWN PSNADLLPSQGSSVPQSAGTALDQVDDGEIAVREKEKKVRPFSMFDTVDQCAAPPSFGTL RKNQSSEDILRDAQAVNKNVAKVPPPVPTKPKQIHLPYFGQTAQSPSDMKPDGNAQQLPI AATSVGAKLKPAGPQARMLLSPGAPSGGQDQVLSPASKQESPPAAAVRPFTPQPSKDTFP PAFRKPQTVAASSIYSMYTQQQAPGKNFQQAVQSALTKTQPRGPHFSSVYGKPVIAAAQN PQQHPENIYSCSQGKPGSPEPETETVSSVHESHENERIPRPLSPTKLLPFLSNPYRNQSD ADLEALRKKLSNAPRPLKKRSSITEPEGPNGPNIQKLLYQRTTIAAMETISVPSHPSKSP GSVTVNPESSVEIPNPYLHVEPEKEVGSLVPEPLSPEDMGSASTENSDVPAPSAGLEYVS EGVTDSSTNLQNNVEETNPEAPHLLEVYLEEYPPYPPPPYPSGEPEVSEEDSARMRPPEI TGQVSLPPGKRTNLRKTGSERIAHGMRVKFNPLALLLDSSLEGEFDLVQRIIYEVDDPSL PNDEGITALHNAVCAGHTEIVKFLVQFGVNVNAADSDGWTPLHCAASCNNVQVCKFLVES GAAVFAMTYSDMQTAADKCEEMEEGYTQCSQFLYGVQEKMGIMNKGVIYALWDYEPQHDD ELLMKEGDCMTVIRREDEEEIEWWWARLNDKEGYVPRNLLGLYPRIKPRQRSLA >ENSMUSP00000141889.1 pep:known chromosome:GRCm38:1:182444772:182448515:1 gene:ENSMUSG00000026510.10 transcript:ENSMUST00000191626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53bp2 description:transformation related protein 53 binding protein 2 [Source:MGI Symbol;Acc:MGI:2138319] XNQSSEDILRDAQPKQIHLPYFGQTAQSPSDMKPDGNAQQLPIAATSVGAKLKPAGPQAR MLLSPGAPSGGQDQVLSPASKQESPPAAAVRPFTPQPSKDTFPPAFRKPQTVAASSIYSM YTQQQAPGKNFQQAVQSALTKTQPRGPHFSSVYGKPVIAAAQNPQQHPENIYSCSQGKPG SPEPETETVS >ENSMUSP00000144038.1 pep:known chromosome:GRCm38:17:32795676:32809853:-1 gene:ENSMUSG00000055202.10 transcript:ENSMUST00000200914.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp811 description:zinc finger protein 811 [Source:MGI Symbol;Acc:MGI:2682944] MELVTFEDVAVHFTMEEWALLDLSQKELYKDVMKEILRNVVSIGDIRKHQKIDNKYQNSG RKLRCQLVEKLHDCKEGHECAEIFKFSTEGTVNHEFYPGVHICKRNGFVNVLIGHLAINV RLKPRPGQKSNEVQEHGKNVYTKESQGTSSASPSLQKHQSAEPAEKSDKTKQPDEDLRLG QNHERTPAEEKHHKCKHCGKACTSLSSLKRHEQHYHAKKCSKCKQCGKAFPFPSSLQVHQ RIHTGEKPYACKTCGKTFGHSSSLLRHERIHTGSSPHECKLCKKTFTRLNSLLRHKIIHT GEKPYACNQCGKAFSRSASLQRHTRLHTGEKPYVCKQCGKAFITSTYLQVHERTHTGEKP YVCKECGSTFMLWTQFQKHKIVHSGVNPFVCKQCGKAFTRLGTLQIHERIHTGEKPYVCK QCAKAFMSLTQLRRHEIIHSGIKPYMCKQCGKGFLCSTTLRSHEKIHSGSNPYVCSQCEK VVSSESALRKHKMIHTGEKPCVCKQCGKTFTHLSSLQYHALMHSGEKPHECKKCGKGFRS LSHLKKHERTQH >ENSMUSP00000079709.6 pep:known chromosome:GRCm38:17:32797406:32800938:-1 gene:ENSMUSG00000055202.10 transcript:ENSMUST00000080905.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp811 description:zinc finger protein 811 [Source:MGI Symbol;Acc:MGI:2682944] ELVTFEDVAVHFTMEEWALLDLSQKELYKDVMKEILRNVVSIGDIRKHQKIDNKYQNSGR KLRCQLVEKLHDCKEGHECAEIFKFSTEGTVNHEFYPGVHICKRNGFVNVLIGHLAINVR LKPRPGQKSNEVQEHGKNVYTKESQGTSSASPSLQKHQSAEPAEKSDKTKQPDEDLRLGQ NHERTPAEEKHHKCKHCGKACTSLSSLKRHEQHYHAKKCSKCKQCGKAFPFPSSLQVHQR IHTGEKPYACKTCGKTFGHSSSLLRHERIHTGSSPHECKLCKKTFTRLNSLLRHKIIHTG EKPYACNQCGKAFSRSASLQRHTRLHTGEKPYVCKQCGKAFITSTYLQVHERTHTGEKPY VCKECGSTFMLWTQFQKHKIVHSGVNPFVCKQCGKAFTRLGTLQIHERIHTGEKPYVCKQ CAKAFMSLTQLRRHEIIHSGIKPYMCKQCGKGFLCSTTLRSHEKIHSGSNPYVCSQCEKV VSSESALRKHKMIHTGEKPCVCKQCGKTFTHLSSLQYHALMHSGEKPHECKKCGKGFRSL SHLKKHERTQH >ENSMUSP00000107957.1 pep:known chromosome:GRCm38:X:161717069:161779494:1 gene:ENSMUSG00000043518.7 transcript:ENSMUST00000112338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rai2 description:retinoic acid induced 2 [Source:MGI Symbol;Acc:MGI:1344378] MDDLQSQNLSMDMTDSPPTLANNRLENRMAQLITTEAWNINSTDLVKKALVTVPAPSILN PPAESQSGMALKVAATVLQPLCLGESPVVMPIHMQVEGSSAPELNPNGNATYVMTTQGPV QLPVVLEQHVFQHLNSPLVLPQEAPCSSNAIHNNLFQGAEDSEAQPQLLDLRIPSQPQEP ALPFEAVLQNLFPTQGSLGPPPCQPPPGYAPVPPQPFNSPLSPLVPPATLLVPYPVIVPL PVPVPIPIPVPVPQSTESKFSPTFPKPPSSFGLHSFKGTQTTLEKDELKPLDILQSKEYF QLSRHTVIKMGSENEALDLSMKSVPWLKAGEASPPVFQEDAALDLSLAAHRKAEAPPETL YNNSGSADIQGHTILEKLSSGMEMPFAPAKCSEASAMMESHSSNSNGTEMVSQPSHPGSE LKAENNIEILSESQAAKVIVSVEDAVPAIFCGKIKGLSGVSTKNFSFKREDSVLQGYDIN SQGEESLGNAEPLRKPIKNRSIKLKKVNSQEIHMLPIKKQRLATFFPRK >ENSMUSP00000051618.7 pep:known chromosome:GRCm38:X:161717627:161779496:1 gene:ENSMUSG00000043518.7 transcript:ENSMUST00000061514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rai2 description:retinoic acid induced 2 [Source:MGI Symbol;Acc:MGI:1344378] MDDLQSQNLSMDMTDSPPTLANNRLENRMAQLITTEAWNINSTDLVKKALVTVPAPSILN PPAESQSGMALKVAATVLQPLCLGESPVVMPIHMQVEGSSAPELNPNGNATYVMTTQGPV QLPVVLEQHVFQHLNSPLVLPQEAPCSSNAIHNNLFQGAEDSEAQPQLLDLRIPSQPQEP ALPFEAVLQNLFPTQGSLGPPPCQPPPGYAPVPPQPFNSPLSPLVPPATLLVPYPVIVPL PVPVPIPIPVPVPQSTESKFSPTFPKPPSSFGLHSFKGTQTTLEKDELKPLDILQSKEYF QLSRHTVIKMGSENEALDLSMKSVPWLKAGEASPPVFQEDAALDLSLAAHRKAEAPPETL YNNSGSADIQGHTILEKLSSGMEMPFAPAKCSEASAMMESHSSNSNGTEMVSQPSHPGSE LKAENNIEILSESQAAKVIVSVEDAVPAIFCGKIKGLSGVSTKNFSFKREDSVLQGYDIN SQGEESLGNAEPLRKPIKNRSIKLKKVNSQEIHMLPIKKQRLATFFPRK >ENSMUSP00000140059.1 pep:known chromosome:GRCm38:1:51465862:51478399:-1 gene:ENSMUSG00000026107.11 transcript:ENSMUST00000189542.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nabp1 description:nucleic acid binding protein 1 [Source:MGI Symbol;Acc:MGI:1923258] MWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYRGQQNRGVQNEQKDKLSTNTF GPVGNGDQTGPESRGYHLPYGRSNGPGPISPQLPGTPSSQTVRTTISNARDPRRAFKR >ENSMUSP00000140126.1 pep:known chromosome:GRCm38:1:51466007:51478067:-1 gene:ENSMUSG00000026107.11 transcript:ENSMUST00000186003.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nabp1 description:nucleic acid binding protein 1 [Source:MGI Symbol;Acc:MGI:1923258] MWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYRGQQNRGVQNEQKDKLSTNTF GPVGNGDQTGPESRGYHLPYGRSNGPGPISPQLPGTPSSQTVRTTISNARDPRRAFKR >ENSMUSP00000140556.1 pep:known chromosome:GRCm38:1:51468690:51478399:-1 gene:ENSMUSG00000026107.11 transcript:ENSMUST00000190103.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nabp1 description:nucleic acid binding protein 1 [Source:MGI Symbol;Acc:MGI:1923258] MHGVNDPPLFIKDIKAGLKNLNVVFIVLEIGRVTKTKDGHEVRSCKVADRTGSITISVWD EIGGLIQTGDIIRLTRGYASMWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYR GQQNRGVQNEQKDKLSTNTFGPVGNGDQTGPESRGYHLPYGRSNGPGPISPQLPGTPSSQ TVRTTISNARDPRRAFKR >ENSMUSP00000027279.5 pep:known chromosome:GRCm38:1:51469488:51478399:-1 gene:ENSMUSG00000026107.11 transcript:ENSMUST00000027279.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp1 description:nucleic acid binding protein 1 [Source:MGI Symbol;Acc:MGI:1923258] MHGVNDPPLFIKDIKAGLKNLNVVFIVLEIGRVTKTKDGHEVRSCKVADRTGSITISVWD EIGGLIQTGDIIRLTRGYASMWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYR GQQNRGVQNEQKDKLSTNTFGPVGNGDQTGPESRGYHLPYGRSNGPGPISPQLPGTPSSQ TVRTTISNARDPRRAFKR >ENSMUSP00000140179.1 pep:known chromosome:GRCm38:1:51469857:51478399:-1 gene:ENSMUSG00000026107.11 transcript:ENSMUST00000186684.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp1 description:nucleic acid binding protein 1 [Source:MGI Symbol;Acc:MGI:1923258] MWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYRGQQNRGVQNEQKDKLSTNTF GPVGNGDQTGPESRGYHLPYGRSNGPGPISPQLPGTPSSQTVRTTISNARDPRRAFKR >ENSMUSP00000140469.1 pep:known chromosome:GRCm38:1:51470807:51478399:-1 gene:ENSMUSG00000026107.11 transcript:ENSMUST00000188204.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp1 description:nucleic acid binding protein 1 [Source:MGI Symbol;Acc:MGI:1923258] MWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYRGQQNRGVQNEQKDKLSTNTF GPVGNGDQTGPESRGYHLPYGRSNGPGPISPQLPGTPSSQTVRTTISNARDPRRAFKR >ENSMUSP00000139853.1 pep:known chromosome:GRCm38:1:51471129:51478425:-1 gene:ENSMUSG00000026107.11 transcript:ENSMUST00000188051.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp1 description:nucleic acid binding protein 1 [Source:MGI Symbol;Acc:MGI:1923258] MWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYRGQQNRGVQNEQKDKLSTNTF GPVGNGDQTGPESRGYHLPYGRSNGPGPISPQLPGTPSSQTVRTTISNARDPRRAFKR >ENSMUSP00000140557.1 pep:known chromosome:GRCm38:1:51471142:51478399:-1 gene:ENSMUSG00000026107.11 transcript:ENSMUST00000185534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp1 description:nucleic acid binding protein 1 [Source:MGI Symbol;Acc:MGI:1923258] MWKGCLTLYTGRGGELQKIGEFCMVYSEVPNFSEPNPDYRGQQNRGVQNEQKDKLSTNTF GPVGNGDQTGPESRGYHLPYGRSNGPGPISPQLPGTPSSQTVRTTISNARDPRRAFKR >ENSMUSP00000022623.6 pep:known chromosome:GRCm38:14:66297031:66311424:1 gene:ENSMUSG00000022043.18 transcript:ENSMUST00000022623.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim35 description:tripartite motif-containing 35 [Source:MGI Symbol;Acc:MGI:1914104] MKAATPVVVTAAAPAMEPGPSVSPGPSRSFKEELLCAVCYDPFRDAVTLRCGHNFCRRCV SGCWEVQTTPSCPVCKERAVPGELRTNHTLNNLVETLLREEAEGARWTGRRSPRPCRAHR APLTLFCLEDKELLCCACQADARHQEHRVQPIKDTAQDFRAKCKNMEHVLREKAKAFWAL RRTYEAIAKHNEVQTTWLEGRIRDEFDKLRDFLRVEEQATLDAMKEESRKKHLQAEEKMK QLAEQTEALAREIERLQMEMKEDDMTFLMKHKSRKRRLFCTVEPAPLQPGLLMDACKYLE SLQYRVWKKMLGSVESVPFSLDPNTAAGWLKVADDLTSVINHGYRVQVENPERFSSAPCL LGSQVFSKGSHSWEVDVGGLPTWRVGVVRVQAHAQAQAQADVGGEGHSHSCYHDTRSGFW YLCRTQGVDGDHCMTSDTATAPLVQAMPRRLRVELECEEGELSFYDSERHCHLYTFHAHF GEVRPYFYLGASRGDGPPEPLRICHLRVSIKEELDI >ENSMUSP00000112877.1 pep:known chromosome:GRCm38:14:66297090:66308297:1 gene:ENSMUSG00000022043.18 transcript:ENSMUST00000121006.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim35 description:tripartite motif-containing 35 [Source:MGI Symbol;Acc:MGI:1914104] XVVTAAAPAMEPGPSVSPGPSRSFKEELLCAVCYDPFRDAVTLRCGHNFCRRCVSGCWEV QTTPSCPVCKERAVPGELRTNHTLNNLVETLLREEAEGARWTGRRSPRPCRAHRAPLTLF CLEDKELLCCACQADARHQEHRVQPIKDTAQDFRAKCKNMEHVLREKAKAFWALRRTYEA IAKHNEVQTTWLEGRIRDEFDKLRDFLRVEEQATLDAMKEESRKKHLQAEEKMKQLAEQT EALAREIERLQMEMKEDDMTFLMKHKSRKRRLFCTVEPAPLQPGLLMDACKYLESLQYRV WKKMLGSVESGKDMQHHAPGEWKPSCAAQAWPSISAALPAALSRRGQGPSCSDPLEKG >ENSMUSP00000033230.7 pep:known chromosome:GRCm38:11:117867952:117873459:-1 gene:ENSMUSG00000017713.9 transcript:ENSMUST00000033230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tha1 description:threonine aldolase 1 [Source:MGI Symbol;Acc:MGI:1919026] MLCSLFRAAMLRSLFRATVPGLRVPWAQWSRNWAGVPAHVVDLRSDTVTRPGPAMRRAMA EAVVGDDDYGEDPTVHELQEKAAELLGVERTLFVPTNTMANLISVMGHCRRRGSQVLLGQ ECHLHVYEQGGVAQIAGVHSHPLPDLPYGTLDLNELERALTRGSGSPYHPVCELVYLENT HSSAGGRVLPVDYLRQVCLLAHAHGARVHMDGARLMNAAVALRIPPARLVEHCDSVSFCF SKGLGAPVGALVGGSKDFIGEAWRLRKALGGGMRQAGVLAAAALVGLAEAEEVLPRDHEN AQRFAKGLQDLASPICSVDPATVETNMVLVQVAGLPPSELCQRLQAVSAEEVAQTGRAVR VLLFPWTEQSVRAVWHRDVSAQDTELALKKWEFVLRQLRP >ENSMUSP00000048642.4 pep:known chromosome:GRCm38:2:25793859:25815848:-1 gene:ENSMUSG00000036401.5 transcript:ENSMUST00000038010.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glt6d1 description:glycosyltransferase 6 domain containing 1 [Source:MGI Symbol;Acc:MGI:1918353] MKAKRRILLQLLTFCLFLLLLAKIHFRNHQEEELLLSDWFNPRRRLDVITTTDWLAPIIW EGTFDRKVLETYFRKQNITVGLAVFAVGSLTDKYLDPFLQSASKFFMPGYRVIFYIMVDK YLQLQEMEHNPLQSFQVLKIGKERWWSNFDLMRMKILSEHIRDHIRYEVDFLFSMNINMV FQSEFGVETLSTSVAQLHPWWYFRKRTNLPYERKPTSVAHIPFGLGDFYYAGAIIGGVPF QVLDFAQQYLKGVFLDTENGVNSTYEKYLNKYFFLNKPTKLLSPEYSWDPTFNLPRHVWF VKIAHHPIDTL >ENSMUSP00000035781.8 pep:known chromosome:GRCm38:3:106759921:106790149:-1 gene:ENSMUSG00000040747.9 transcript:ENSMUST00000038845.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd53 description:CD53 antigen [Source:MGI Symbol;Acc:MGI:88341] MGMSSLKLLKYVLFIFNLLFWVCGCCILGFGIYFLVQNTYGVLFRNLPFLTLGNILVIVG SIIMVVAFLGCMGSIKENKCLLMSFFVLLLIILLAEVTIAILLFVYEQKLNTLVAEGLND SIQHYHSDNSTMKAWDFIQTQLQCCGVNGSSDWTSGPPSSCPSGADVQGCYNKAKSWFHS NFLYIGIITICVCVIQVLGMSFALTLNCQIDKTSQALGL >ENSMUSP00000124738.1 pep:known chromosome:GRCm38:1:165865154:166002678:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000160260.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] MADGGAASQDESSAAAAAAADSRMNNPSETNKSSMESEDASTGTQTNGLDFQKQPVPVGG AISTAQAQAFLGHLHQVQLAGTSLQAAAQSLNVQSKSSEESGDSQQSSQPSSQPPSVQSA IPQTQLMLAGGQITGLTLTPAQQQLLLQQAQAQAQLLAAAVQQHSASQQHSAAGATISAS AATPMTQIPLSQPIQIAQDLQQLQQLQQQNLNLQQFVLVHPTTNLQPAQFIISQTPQGQQ GLLQAQNLLTQLPQQSQANLLQPQPSITLTSQPTTPTRTIAAASVQTLPQSQSTPKRIDT PSLEEPSDLEELEQFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSF KNMCKLKPLLEKWLNDAENLSSDSTASSPSALNSPGLGAEGLNRRRKKRTSIETNIRVAL EKSFMENQKPTSEDITLIAEQLNMEKEVIRVWFCNRRQKEKRINPPSSGGTSSSPIKAIF PSPASLVATTPSLVTSSTATTLTVNPVLPLTSAAVTNLSLTDQDLRRGCSWEVLRSLPDR VTTTAGTTDSTSNNNTATVISTAPPASSAVTSPSLSPSPSASASTSEASSASETNTTQTT STPLPSPLGASQVMVTTPGLQTAAAALQGAAQLPANASLAAMAAAAGLSPGLMAPSQFAA GGALLSLSPGTLGSALSPALMSNSTLATIQALASSGSLPITSLDATGNLVFANAGGAPNI VTAPLFLNPQNLSLLTSNPVSLVSAAAASTGNSAPTASLHASSTSTESIQSSLFTVASAS GPASTTTAASKAQ >ENSMUSP00000125371.2 pep:known chromosome:GRCm38:1:165875423:165913283:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000159212.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] VQSAIPQTQLMLAGGQITGLTLTPAQQQLLLQQAQAQAQLLAAAVQQHSASQQHSAAGAT ISASAATPMTQIPLSQPIQIAQDLQQLQQLQQQNLNLQQFVLVHPTTNLQPAQFIISQTP QGQQGLLQAQNLLTQLPQQSQANLLQPQPSITLTSQPTTPTRTIAAASVQTLPQSQSTPK RIDTPSLEEPSDLEELEQFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEAL NLSFKNMCKLKPLLEKWLNDAENLSSDSTASSPSALNSPGLGAEGLNRRRKKRTSIETNI RVALEKSFMENQKPTSEDITLIAEQLNMEKEVIRVWFCNRRQKEKRINPPSSGGTSSSPI KAIFPSPASLVATTPSLVTSSTATTLTVNPVLPLTSAAVTNLSLTGTTDSTSNNNTATVI STAPPASSAVTSPSLSPSPSASASTSEASSASETNTTQTTSTPLPSPLGASQVMVTTPGL QTAAAALQGAAQLPANASLAAMAAAAGLSPGLMAPSQFAAGGALLSLSPGTLGSALSPAL MSNSTLATIQGLLHGLENFLTKNFKRKKKKKKQKQTDKTK >ENSMUSP00000107057.4 pep:known chromosome:GRCm38:1:165875457:166002609:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000111429.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] MNNPSETNKSSMESEDASTGTQTNGLDFQKQPVPVGGAISTAQAQAFLGHLHQVQLAGTS LQAAAQSLNVQSKSSEESGDSQQSSQPSSQPPSVQSAIPQTQLMLAGGQITGLTLTPAQQ QLLLQQAQAQAQLLAAAVQQHSASQQHSAAGATISASAATPMTQIPLSQPIQIAQDLQQL QQLQQQNLNLQQFVLVHPTTNLQPAQFIISQTPQGQQGLLQAQNLLTQLPQQSQANLLQP QPSITLTSQPTTPTRTIAAASVQTLPQSQSTPKRIDTPSLEEPSDLEELEQFAKTFKQRR IKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLEKWLNDAENLSSD STASSPSALNSPGLGAEGLNRRRKKRTSIETNIRVALEKSFMENQKPTSEDITLIAEQLN MEKEVIRVWFCNRRQKEKRINPPSSGGTSSSPIKAIFPSPASLVATTPSLVTSSTATTLT VNPVLPLTSAAVTNLSLTGTTDSTSNNNTATVISTAPPASSAVTSPSLSPSPSASASTSE ASSASETNTTQTTSTPLPSPLGASQVMVTTPGLQTAAAALQGAAQLPANASLAAMAAAAG LSPGLMAPSQFAAGGALLSLSPGTLGSALSPALMSNSTLATIQALASSGSLPITSLDATG NLVFANAGGAPNIVTAPLFLNPQNLSLLTSNPVSLVSAAAASTGNSAPTASLHASSTSTE SIQSSLFTVASASGPASTTTAASKAQ >ENSMUSP00000138962.2 pep:known chromosome:GRCm38:1:165875457:166002618:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000184643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] MNNPSETNKSSMESEDASTGTQTNGLDFQKQPVPVGGAISTAQAQAFLGHLHQVQLAGTS LQAAAQSLNVQSKSSEESGDSQQSSQPSSQPPSVQSAIPQTQLMLAGGQITGLTLTPAQQ QLLLQQAQAQAQLLAAAVQQHSASQQHSAAGATISASAATPMTQIPLSQPIQIAQDLQQL QQLQQQNLNLQQFVLVHPTTNLQPAQFIISQTPQGQQGLLQAQNLLTQLPQQSQANLLQP QPSITLTSQPTTPTRTIAAASVQTLPQSQSTPKRIDTPSLEEPSDLEELEQFAKTFKQRR IKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLEKWLNDAENLSSD STASSPSALNSPGLGAEGLNRRRKKRTSIETNIRVALEKSFMENQKPTSEDITLIAEQLN MEKEVIRVWFCNRRQKEKRINPPSSGGTSSSPIKAIFPSPASLVATTPSLVTSSTATTLT VNPVLPLTSAAVTNLSLTGTTDSTSNNNTATVISTAPPASSAVTSPSLSPSPSASASTSE ASSASETNTTQTTSTPLPSPLGASQVMVTTPGLQTAAAALQGAAQLPANASLAAMAAAAG LSPGLMAPSQFAAGGALLSLSPGTLGSALSPALMSNSTLATIQALASSGSLPITSLDATG NLVFANAGGAPNIVTAPLFLNPQNLSLLTSNPDCFMDWRTF >ENSMUSP00000125444.1 pep:known chromosome:GRCm38:1:165875457:166002622:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000160908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] MADGGAASQDESSAAAAAAADSRMNNPSETNKSSMESEDASTGTQTNGLDFQKQPVPVGG AISTAQAQAFLGHLHQVQLAGTSLQAAAQSLNVQSKSSEESGDSQQSSQPSSQPPSVQSA IPQTQLMLAGGQITGLTLTPAQQQLLLQQAQAQAQLLAAAVQQHSASQQHSAAGATISAS AATPMTQIPLSQPIQIAQDLQQLQQLQQQNLNLQQFVLVHPTTNLQPAQFIISQTPQGQQ GLLQAQNLLTQLPQQSQANLLQPQPSITLTSQPTTPTRTIAAASVQTLPQSQSTPKRIDT PSLEEPSDLEELEQFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSF KNMCKLKPLLEKWLNDAENLSSDSTASSPSALNSPGLGAEGLNRRRKKRTSIETNIRVAL EKSFMENQKPTSEDITLIAEQLNMEKEVIRVWFCNRRQKEKRINPPSSGGTSSSPIKAIF PSPASLVATTPSLVTSSTATTLTVNPVLPLTSAAVTNLSLTGTTDSTSNNNTATVISTAP PASSAVTSPSLSPSPSASASTSEASSASETNTTQTTSTPLPSPLGASQVMVTTPGLQTAA AALQGAAQLPANASLAAMAAAAGLSPGLMAPSQFAAGGALLSLSPGTLGSALSPALMSNS TLATIQALASSGSLPITSLDATGNLVFANAGGAPNIVTAPLFLNPQNLSLLTSNPVSLVS AAAASTGNSAPTASLHASSTSTESIQSSLFTVASASGPASTTTAASKAQ >ENSMUSP00000107055.4 pep:known chromosome:GRCm38:1:165875461:165934948:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000111426.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] MLDCSDCVLDSRMNNPSETNKSSMESEDASTGTQTNGLDFQKQPVPVGGAISTAQAQAFL GHLHQVQLAGTSLQAAAQSLNVQSKSSEESGDSQQSSQPSSQPPSVQSAIPQTQLMLAGG QITGLTLTPAQQQLLLQQAQAQAQLLAAAVQQHSASQQHSAAGATISASAATPMTQIPLS QPIQIAQDLQQLQQLQQQNLNLQQFVLVHPTTNLQPAQFIISQTPQGQQGLLQAQNLLTQ LPQQSQANLLQPQPSITLTSQPTTPTRTIAAASVQTLPQSQSTPKRIDTPSLEEPSDLEE LEQFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLE KWLNDAENLSSDSTASSPSALNSPGLGAEGLNRRRKKRTSIETNIRVALEKSFMENQKPT SEDITLIAEQLNMEKEVIRVWFCNRRQKEKRINPPSSGGTSSSPIKAIFPSPASLVATTP SLVTSSTATTLTVNPVLPLTSAAVTNLSLTDQDLRRGCSWEVLRSLPDRVTTTAGTTDST SNNNTATVISTAPPASSAVTSPSLSPSPSASASTSEASSASETNTTQTTSTPLPSPLGAS QVMVTTPGLQTAAAALQGAAQLPANASLAAMAAAAGLSPGLMAPSQFAAGGALLSLSPGT LGSALSPALMSNSTLATIQGGAPNIVTAPLFLNPQNLSLLTSNPVSLVSAAAASTGNSAP TASLHASSTSTESIQSSLFTVASASGPASTTTAASKAQ >ENSMUSP00000141618.1 pep:known chromosome:GRCm38:1:165875488:165880160:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000194366.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] XLMAPSQFAAGGALLSLSPGTLGSALSPALMSNSTLATIQGGAPNIVTAPLFLNPQNLSL LTSNPDCFMDWRTF >ENSMUSP00000139271.1 pep:known chromosome:GRCm38:1:165875488:165880160:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000184799.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] XLMAPSQFAAGSCF >ENSMUSP00000027850.8 pep:known chromosome:GRCm38:1:165875608:166002629:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000027850.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] MADGGAASQDESSAAAAAAADSRMNNPSETNKSSMESEDASTGTQTNGLDFQKQPVPVGG AISTAQAQAFLGHLHQVQLAGTSLQAAAQSLNVQSKSSEESGDSQQSSQPSSQPPSVQSA IPQTQLMLAGGQITGDLQQLQQLQQQNLNLQQFVLVHPTTNLQPAQFIISQTPQGQQGLL QAQNLLTQLPQQSQANLLQPQPSITLTSQPTTPTRTIAAASVQTLPQSQSTPKRIDTPSL EEPSDLEELEQFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNM CKLKPLLEKWLNDAENLSSDSTASSPSALNSPGLGAEGLNRRRKKRTSIETNIRVALEKS FMENQKPTSEDITLIAEQLNMEKEVIRVWFCNRRQKEKRINPPSSGGTSSSPIKAIFPSP ASLVATTPSLVTSSTATTLTVNPVLPLTSAAVTNLSLTGTTDSTSNNNTATVISTAPPAS SAVTSPSLSPSPSASASTSEASSASETNTTQTTSTPLPSPLGASQVMVTTPGLQTAAAAL QGAAQLPANASLAAMAAAAGLSPGLMAPSQFAAGGALLSLSPGTLGSALSPALMSNSTLA TIQALASSGSLPITSLDATGNLVFANAGGAPNIVTAPLFLNPQNLSLLTSNPVSLVSAAA ASTGNSAPTASLHASSTSTESIQSSLFTVASASGPASTTTAASKAQ >ENSMUSP00000064000.5 pep:known chromosome:GRCm38:1:165875682:165934900:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000069609.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] MLDCSDCVLDSRMNNPSETNKSSMESEDASTGTQTNGLDFQKQPVPVGGAISTAQAQAFL GHLHQVQLAGTSLQAAAQSLNVQSKSSEESGDSQQSSQPSSQPPSVQSAIPQTQLMLAGG QITGLTLTPAQQQLLLQQAQAQAQLLAAAVQQHSASQQHSAAGATISASAATPMTQIPLS QPIQIAQDLQQLQQLQQQNLNLQQFVLVHPTTNLQPAQFIISQTPQGQQGLLQAQNLLTQ LPQQSQANLLQPQPSITLTSQPTTPTRTIAAASVQTLPQSQSTPKRIDTPSLEEPSDLEE LEQFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLE KWLNDAENLSSDSTASSPSALNSPGLGAEGLNRRRKKRTSIETNIRVALEKSFMENQKPT SEDITLIAEQLNMEKEVIRVWFCNRRQKEKRINPPSSGGTSSSPIKAIFPSPASLVATTP SLVTSSTATTLTVNPVLPLTSAAVTNLSLTGTTDSTSNNNTATVISTAPPASSAVTSPSL SPSPSASASTSEASSASETNTTQTTSTPLPSPLGASQVMVTTPGLQTAAAALQGAAQLPA NASLAAMAAAAGLSPGLMAPSQFAAGGALLSLSPGTLGSALSPALMSNSTLATIQALASS GSLPITSLDATGNLVFANAGGAPNIVTAPLFLNPQNLSLLTSNPVSLVSAAAASTGNSAP TASLHASSTSTESIQSSLFTVASASGPASTTTAASKAQ >ENSMUSP00000135565.1 pep:known chromosome:GRCm38:1:165911323:166002613:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000177358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] MNNPSETNKSSMESEDASTGTQTNGLDFQKQPVPVGGAISTAQAQAFLGHLHQVQLAGTS LQAAAQSLNVQSKSSEESGDSQQSSQPSSQPPSVQSAIPQTQLMLAGGQITGLTLTPAQQ QLLLQQAQAQAQLLAAAVQQHSASQQHSAAGATISASAATPMT >ENSMUSP00000135329.1 pep:known chromosome:GRCm38:1:165931699:166002609:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000176800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] MNNPSET >ENSMUSP00000107056.2 pep:known chromosome:GRCm38:1:165865154:165934948:-1 gene:ENSMUSG00000026565.18 transcript:ENSMUST00000111427.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f1 description:POU domain, class 2, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101898] MLDCSDCVLDSRMNNPSETNKSSMESEDASTGTQTNGLDFQKQPVPVGGAISTAQAQAFL GHLHQVQLAGTSLQAAAQSLNVQSKSSEESGDSQQSSQPSSQPPSVQSAIPQTQLMLAGG QITGLTLTPAQQQLLLQQAQAQAQLLAAAVQQHSASQQHSAAGATISASAATPMTQIPLS QPIQIAQDLQQLQQLQQQNLNLQQFVLVHPTTNLQPAQFIISQTPQGQQGLLQAQNLLTQ LPQQSQANLLQPQPSITLTSQPTTPTRTIAAASVQTLPQSQSTPKRIDTPSLEEPSDLEE LEQFAKTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCKLKPLLE KWLNDAENLSSDSTASSPSALNSPGLGAEGLNRRRKKRTSIETNIRVALEKSFMENQKPT SEDITLIAEQLNMEKEVIRVWFCNRRQKEKRINPPSSGGTSSSPIKAIFPSPASLVATTP SLVTSSTATTLTVNPVLPLTSAAVTNLSLTDQDLRRGCSWEVLRSLPDRVTTTAGTTDST SNNNTATVISTAPPASSAVTSPSLSPSPSASASTSEASSASETNTTQTTSTPLPSPLGAS QVMVTTPGLQTAAAALQGAAQLPANASLAAMAAAAGLSPGLMAPSQFAAGGALLSLSPGT LGSALSPALMSNSTLATIQALASSGSLPITSLDATGNLVFANAGGAPNIVTAPLFLNPQN LSLLTSNPVSLVSAAAASTGNSAPTASLHASSTSTESIQSSLFTVASASGPASTTTAASK AQ >ENSMUSP00000104250.1 pep:known chromosome:GRCm38:11:69916720:69920630:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000108610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000104252.1 pep:known chromosome:GRCm38:11:69916720:69920940:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000108612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000104251.1 pep:known chromosome:GRCm38:11:69916720:69920940:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000108611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000104253.3 pep:known chromosome:GRCm38:11:69916720:69921386:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000108613.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000047008.3 pep:known chromosome:GRCm38:11:69916720:69921386:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000043419.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000067077.4 pep:known chromosome:GRCm38:11:69916720:69921386:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000070996.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000068651.3 pep:known chromosome:GRCm38:11:69916720:69921958:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000071026.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000104249.1 pep:known chromosome:GRCm38:11:69917031:69921064:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000108609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000104248.1 pep:known chromosome:GRCm38:11:69917087:69921064:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000108608.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000104247.1 pep:known chromosome:GRCm38:11:69917097:69921190:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000108607.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000137459.1 pep:known chromosome:GRCm38:11:69917182:69918304:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000153652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLGKEIEQKYDCGEEILITVL SAMTEEAAVAIKAMAK >ENSMUSP00000123402.1 pep:known chromosome:GRCm38:11:69917457:69920919:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000152589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAI >ENSMUSP00000132717.1 pep:known chromosome:GRCm38:11:69916714:69921085:-1 gene:ENSMUSG00000078812.10 transcript:ENSMUST00000164359.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a description:eukaryotic translation initiation factor 5A [Source:MGI Symbol;Acc:MGI:106248] MADDLDFETGDAGASATFPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDFQLIGIQDGYLSLLQDSGEVREDLRLPEGDLG KEIEQKYDCGEEILITVLSAMTEEAAVAIKAMAK >ENSMUSP00000098827.1 pep:known chromosome:GRCm38:X:116448944:116478783:1 gene:ENSMUSG00000072995.1 transcript:ENSMUST00000101269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpxcr1 description:CPX chromosome region, candidate 1 [Source:MGI Symbol;Acc:MGI:2685989] MTSSNQGNDPSENTLKNAENETPNACEDEKEHPLPDTNISQVETNLSGMEPSSISSQEDM DFQTVQNSQPEAEMTQNDPPDEELIEDSLPLQIPIPKKLTIPRLILCRIIYLSIPQPQPQ LHEKKTLSDKMMFHLGEVEMTENDCFHTPILDKMIHPCFLRWRVPFFTTNEISRMIIHLL CSRNFSQAECHQHNASVKQKYVAILDHQNIMNLQRNIVFGRPLRVYYYHPLFERLTQRKA SKLYQHKNGNHLFVRPRFYMPQLQTQNTVQKNVFKHSWRAHHKLRLVIITDNNNWKYLCP ICGCGFNNFYDFKHHSCSFSGN >ENSMUSP00000082168.4 pep:known chromosome:GRCm38:7:82867333:82871515:1 gene:ENSMUSG00000057706.7 transcript:ENSMUST00000082237.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mex3b description:mex3 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1918252] MPSSLFADLERNGSGGGGGGGGGGGGGGSGGGETLDDQRALQLALDQLSLLGLDSDEGAS LYDSEPRKKSVNMTECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFVV TGRKEDVAMARREIISAAEHFSMIRASRNKNTALNGAVPGPPNLPGQTTIQVRVPYRVVG LVVGPKGATIKRIQQQTHTYIVTPSRDKEPVFEVTGMPENVDRAREEIEAHIALRTGGII ELTDENDFHANGTDVGFDLHHGSGGSGPGSLWSKPTPSITPTPGRKPFSSYRNDSSSSLG SASTDSYFGGGTSGSAAATSRLADYSPPSPALSFAHNGNNNNNGNGYTYTAGEASVPSPD GGPELQPTFDPAPAPPPGTPLLWAQFERSPGGGSAAPVSSSCSSSASSSASSSSVVFPGG GASSTPSNANLGLLVHRRLHPGTSCPRLSPPLHMATGAGEHHLARRVRSDPGGGGLAYAA YANGLGTQLPGLPSSDTSGSSSSSSSSSSSSSSSSGLRRKGSRDCSVCFESEVIAALVPC GHNLFCMECANRICEKSEPECPVCHTAVTQAIRIFS >ENSMUSP00000001240.5 pep:known chromosome:GRCm38:10:78269178:78352442:-1 gene:ENSMUSG00000001211.14 transcript:ENSMUST00000001240.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat3 description:1-acylglycerol-3-phosphate O-acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1336186] MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRLAYSLWSQ LVMLLEWWSCTECTLFTDQATVDHFGKEHVVVILNHNFEIDFLCGWTMCERFGVLGSSKV LAKRELLCVPLIGWTWYFLEIVFCKRKWEEDRDTVIEGLRRLADYPEYMWFLLYCEGTRF TETKHRISMEVAASKGLPPLKYHLLPRTKGFTTAVQCLRGTVAAIYDVTLNFRGNKNPSL LGILYGKKYEADMCVRRFPLEDIPADETSAAQWLHKLYQEKDALQEMYKQKGVFPGEQFK PARRPWTLLNFLCWATILLSPLFSFVLGVFASGSPLLILTFLGFVGAASFGVRRLIGVTE IEKGSSYGNQELKKKE >ENSMUSP00000101028.1 pep:known chromosome:GRCm38:10:78271563:78298198:-1 gene:ENSMUSG00000001211.14 transcript:ENSMUST00000105389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat3 description:1-acylglycerol-3-phosphate O-acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1336186] MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRLAYSLWSQ LVMLLEWWSCTECTLFTDQATVDHFGKEHVVVILNHNFEIDFLCGWTMCERFGVLGSSKV LAKRELLCVPLIGWTWYFLEIVFCKRKWEEDRDTVIEGLRRLADYPEYMWFLLYCEGTRF TETKHRISMEVAASKGLPPLKYHLLPRTKGFTTAVQCLRGTVAAIYDVTLNFRGNKNPSL LGILYGKKYEADMCVRRFPLEDIPADETSAAQWLHKLYQEKDALQEMYKQKGVFPGEQFK PARRPWTLLNFLCWATILLSPLFSFVLGVFASGSPLLILTFLGFVGAASFGVRRLIGVTE IEKGSSYGNQELKKKE >ENSMUSP00000101029.1 pep:known chromosome:GRCm38:10:78271563:78351865:-1 gene:ENSMUSG00000001211.14 transcript:ENSMUST00000105390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat3 description:1-acylglycerol-3-phosphate O-acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1336186] MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRLAYSLWSQ LVMLLEWWSCTECTLFTDQATVDHFGKEHVVVILNHNFEIDFLCGWTMCERFGVLGSSKV LAKRELLCVPLIGWTWYFLEIVFCKRKWEEDRDTVIEGLRRLADYPEYMWFLLYCEGTRF TETKHRISMEVAASKGLPPLKYHLLPRTKGFTTAVQCLRGTVAAIYDVTLNFRGNKNPSL LGILYGKKYEADMCVRRFPLEDIPADETSAAQWLHKLYQEKDALQEMYKQKGVFPGEQFK PARRPWTLLNFLCWATILLSPLFSFVLGVFASGSPLLILTFLGFVGAASFGVRRLIGVTE IEKGSSYGNQELKKKE >ENSMUSP00000101027.1 pep:known chromosome:GRCm38:10:78271563:78352053:-1 gene:ENSMUSG00000001211.14 transcript:ENSMUST00000105388.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat3 description:1-acylglycerol-3-phosphate O-acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1336186] MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRLAYSLWSQ LVMLLEWWSCTECTLFTDQATVDHFGKEHVVVILNHNFEIDFLCGWTMCERFGVLGSSKV LAKRELLCVPLIGWTWYFLEIVFCKRKWEEDRDTVIEGLRRLADYPEYMWFLLYCEGTRF TETKHRISMEVAASKGLPPLKYHLLPRTKGFTTAVQCLRGTVAAIYDVTLNFRGNKNPSL LGILYGKKYEADMCVRRFPLEDIPADETSAAQWLHKLYQEKDALQEMYKQKGVFPGEQFK PARRPWTLLNFLCWATILLSPLFSFVLGVFASGSPLLILTFLGFVGAASFGVRRLIGVTE IEKGSSYGNQELKKKE >ENSMUSP00000101026.1 pep:known chromosome:GRCm38:10:78273611:78295394:-1 gene:ENSMUSG00000001211.14 transcript:ENSMUST00000105387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat3 description:1-acylglycerol-3-phosphate O-acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1336186] MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRLAYSLWSQ LVMLLEWWSCTECTLFTDQATVDHFGKEHVVVILNHNFEIDFLCGWTMCERFGVLGSSKV LAKRELLCVPLIGWTWYFLEIVFCKRKWEEDRDTVIEGLRRLADYPEYMWFLLYCEGTRF TETKHRISMEVAASKGLPPLKYHLLPRTKGFTTAVQCLRGTVAAIYDVTLNFRGNKNPSL LGILYGKKYEADMCVRRFPLEDIPADETSAAQWLHKLYQEKDALQEMYKQKGVFPGEQFK PARRPWTLLNFLCWATILLSPLFSFVLGVFASGSPLLILTFLGFVGAASFGVRRLIGVTE IEKGSSYGNQELKKKE >ENSMUSP00000119713.1 pep:known chromosome:GRCm38:10:78284267:78320690:-1 gene:ENSMUSG00000001211.14 transcript:ENSMUST00000139282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat3 description:1-acylglycerol-3-phosphate O-acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1336186] MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRLAYSLWSQ LVMLLEWWSCTECTLFTDQATVDHFGKEHVVVILNHNFEIDFLCGWTMCERFGVLGSSKV LAKRELLCVPLIGWTWYFLEIVFC >ENSMUSP00000114885.1 pep:known chromosome:GRCm38:10:78284313:78307550:-1 gene:ENSMUSG00000001211.14 transcript:ENSMUST00000150828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat3 description:1-acylglycerol-3-phosphate O-acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1336186] MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRLAYSLWSQ LVMLLEWWSCTECTLFTDQATVDHFGKEHVVVILNHNFEIDFLCGWTMCERFGVLGSSKV LAKRELLCV >ENSMUSP00000121052.1 pep:known chromosome:GRCm38:10:78287855:78352489:-1 gene:ENSMUSG00000001211.14 transcript:ENSMUST00000138035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat3 description:1-acylglycerol-3-phosphate O-acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1336186] MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRLAYSL >ENSMUSP00000114657.1 pep:known chromosome:GRCm38:10:78287866:78352212:-1 gene:ENSMUSG00000001211.14 transcript:ENSMUST00000146899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat3 description:1-acylglycerol-3-phosphate O-acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1336186] MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRL >ENSMUSP00000132954.1 pep:known chromosome:GRCm38:10:78271563:78351700:-1 gene:ENSMUSG00000001211.14 transcript:ENSMUST00000166360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat3 description:1-acylglycerol-3-phosphate O-acyltransferase 3 [Source:MGI Symbol;Acc:MGI:1336186] MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRLAYSLWSQ LVMLLEWWSCTECTLFTDQATVDHFGKEHVVVILNHNFEIDFLCGWTMCERFGVLGSSKV LAKRELLCVPLIGWTWYFLEIVFCKRKWEEDRDTVIEGLRRLADYPEYMWFLLYCEGTRF TETKHRISMEVAASKGLPPLKYHLLPRTKGFTTAVQCLRGTVAAIYDVTLNFRGNKNPSL LGILYGKKYEADMCVRRFPLEDIPADETSAAQWLHKLYQEKDALQEMYKQKGVFPGEQFK PARRPWTLLNFLCWATILLSPLFSFVLGVFASGSPLLILTFLGFVGAASFGVRRLIGVTE IEKGSSYGNQELKKKE >ENSMUSP00000115921.1 pep:known chromosome:GRCm38:7:66644565:66688884:-1 gene:ENSMUSG00000030509.17 transcript:ENSMUST00000131320.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asb7 description:ankyrin repeat and SOCS box-containing 7 [Source:MGI Symbol;Acc:MGI:2152835] MLHHHCRRNPELQEELQIQAAVAAGDVHTVRKMLEQGYSPNGRDANGWTLLHFSAARGKE RCVRVFLEHGGVYFVKRLARLACLLKYRR >ENSMUSP00000122395.1 pep:known chromosome:GRCm38:7:66644565:66689596:-1 gene:ENSMUSG00000030509.17 transcript:ENSMUST00000124899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb7 description:ankyrin repeat and SOCS box-containing 7 [Source:MGI Symbol;Acc:MGI:2152835] MLHHHCRRNPELQEELQIQAAVAAGDVHTVRKMLEQGYSPNGRDANGWTLLHFSAARGKE RCVRVFLEHGADPTVKDLIGGFTALHYAAMHGRARIARLMLESEYRSDIINAKSNDGWTP LHVAAHYGRDSFVRLLLEFKAEVDPLSDKGTTPLQLAIIRERSSCVKILLDHNANIDIQN GFLLRYAVIKSNHSYCRMFLQRGADTNLGRLEDGQTPLHLSALRDDVLCARMLYNYGADT NTRNYEGQTPLAVSISISGSSRPCLDFLQDVTRQPRTLQDLCRIKIRQCIGLQNLKLLDE LPIAKVMKDYLKHKFDDI >ENSMUSP00000147234.1 pep:known chromosome:GRCm38:2:29744919:29751685:-1 gene:ENSMUSG00000079497.4 transcript:ENSMUST00000129574.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13420 description:predicted gene 13420 [Source:MGI Symbol;Acc:MGI:3649917] MEAGPDAKGGDSSAGREDPQAAEDQRSTAQPSLPQLPRRPQLLEEDAGPEEDRTEAAEGS EPALGDPEPASVSGEAGPGPKATGSTGPPIGFVGEPPPYAPPDPKAVALLYPPFPQVPVL FQPAPGPAALYPPPTGPLFPPPGGASFPFPTYGSPVAGGPAPVQVEHRPLPKDFMMESVL VTLFCCLLTGMIAIVYSHETRAALGRGDLAQAEEASRKARSLVLFSLLFGVFVSTSWVIY VVVALYLP >ENSMUSP00000059129.3 pep:known chromosome:GRCm38:11:117966079:117969186:-1 gene:ENSMUSG00000053113.3 transcript:ENSMUST00000054002.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs3 description:suppressor of cytokine signaling 3 [Source:MGI Symbol;Acc:MGI:1201791] MVTHSKFPAAGMSRPLDTSLRLKTFSSKSEYQLVVNAVRKLQESGFYWSAVTGGEANLLL SAEPAGTFLIRDSSDQRHFFTLSVKTQSGTKNLRIQCEGGSFSLQSDPRSTQPVPRFDCV LKLVHHYMPPPGTPSFSLPPTEPSSEVPEQPPAQALPGSTPKRAYYIYSGGEKIPLVLSR PLSSNVATLQHLCRKTVNGHLDSYEKVTQLPGPIREFLDQYDAPL >ENSMUSP00000018685.2 pep:known chromosome:GRCm38:11:97745615:97766556:-1 gene:ENSMUSG00000018541.10 transcript:ENSMUST00000018685.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc25 description:CWC25 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:1914730] MGGGDLNLKKSWHPQTLRNVEKVWKAEQKHEAERKKIEELQRELREERAREEMQRYAEDV GAVKKKEEKLDWMYQGPGGMVNRDEYLLGRPIDKYVFEKMEEREAGCSSETGLLPGSIFA PSGANSLLDMASKIREDPLFIIRKKEEEKKREVLNNPVKMKKIKELLQMSLEKKEKKKKK EKKKKHRKHKHRSSSSGGSSSEDEQSQARSQKKMANSFPVLSKVPGYGLQVRDSDRNRGL QGSLGEQRAIKNNSRSRSSSPPRHASKKSTKEERPRDRRSRSPSRRSRSPRPSKPHTSKV NRKERDSPSPKKEAYQRRHASGYTRKLSAEELERKRQEMMENAKWREEERLNTLKRHARE DEREHRLERLDSRSGKFLHRMKLESASTSSLEDRVKRNIHSLQRTSVALEKNFMRR >ENSMUSP00000122738.1 pep:known chromosome:GRCm38:11:97747668:97752710:-1 gene:ENSMUSG00000018541.10 transcript:ENSMUST00000152395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc25 description:CWC25 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:1914730] XAIKNNSRSRSSSPPRHASKKSTKEERPRDRRSRSPSRRSRSPRPSKPHTSKVNRKERDS PSPKKEAYQRRHASGYTRKLSAEELERKRQEMMENAKWREEERLNTLKRHAREDEREHRL ERLDSRSGKFLQ >ENSMUSP00000103205.1 pep:known chromosome:GRCm38:11:97756261:97766540:-1 gene:ENSMUSG00000018541.10 transcript:ENSMUST00000107579.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc25 description:CWC25 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:1914730] MGGGDLNLKKSWHPQTLRNVEKVWKAEQKHEAERKKIEELQRELREERAREEMQRYAEDV GAVKKKEEKLDWMYQGPGGMVNRDEYLLGRPIDKYVFEKMEEREAGCSSETGLLPGSIFA PSGANSLLDMASKIREDPLFIIRYCWEVEKGCDREAWGLFQVWRFSCFMGIPVSGCGEKA CEDACCRPYGSTLASAPSQTRFIHQT >ENSMUSP00000095276.3 pep:known chromosome:GRCm38:1:84839841:84900487:1 gene:ENSMUSG00000073633.9 transcript:ENSMUST00000097672.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo36 description:F-box protein 36 [Source:MGI Symbol;Acc:MGI:1289192] MASWLPETLFEIVGQGPAPSKDYYQLLITRTQIIFRWWKISLRSEYRSAKPGETKESHED FLDNSHLQVQVAVVFGTKILDYVFNLCEGKFDYLERLSDRLLLKIICYLDLEDIASLSQT SSKFEKLCKSDLLWEQIVQSTCDTITPDMRALAKNMGWRQMFLTNNIQLQRQTRKKKQRQ ENQAEKLA >ENSMUSP00000146244.1 pep:known chromosome:GRCm38:9:44142976:44234049:-1 gene:ENSMUSG00000034342.9 transcript:ENSMUST00000206720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbl description:Casitas B-lineage lymphoma [Source:MGI Symbol;Acc:MGI:88279] MAGNVKKSSGAGGGGSGGSGAGGLIGLMKDAFQPHHHHHHLSPHPPCTVDKKMVEKCWKL MDKVVRLCQNPKLALKNSPPYILDLLPDTYQHLRTVLSRYEGKMETLGENEYFRVFMENL MKKTKQTISLFKEGKERMYEENSQPRRNLTKLSLIFSHMLAELKGIFPSGLFQGDTFRIT KADAAEFWRKAFGEKTIVPWKSFRQALHEVHPISSGLEAMALKSTIDLTCNDYISVFEFD IFTRLFQPWSSLLRNWNSLAVTHPGYMAFLTYDEVKARLQKFIHKPGSYIFRLSCTRLGQ WAIGYVTADGNILQTIPHNKPLFQALIDGFREGFYLFPDGRNQNPDLTGLCEPTPQDHIK VTQEQYELYCEMGSTFQLCKICAENDKDVKIEPCGHLMCTSCLTSWQESEGQGCPFCRCE IKGTEPIVVDPFDPRGSGSLLRQGAEGAPSPNYDDDDDERADDSLFMMKELAGAKVERPS SPFSMAPQASLPPVPPRLDLLQQRAPVPASTSVLGTASKAASGSLHKDKPLPIPPTLRDL PPPPPPDRPYSVGAETRPQRRPLPCTPGDCPSRDKLPPVPSSRPGDSWLSRPIPKVPVAT PNPGDPWNGRELTNRHSLPFSLPSQMEPRADVPRLGSTFSLDTSMTMNSSPVAGPESEHP KIKPSSSANAIYSLAARPLPMPKLPPGEQGESEEDTEYMTPTSRPVGVQKPEPKRPLEAT QSSRACDCDQQIDSCTYEAMYNIQSQALSVAENSASGEGNLATAHTSTGPEESENEDDGY DVPKPPVPAVLARRTLSDISNASSSFGWLSLDGDPTNFNEGSQVPERPPKPFPRRINSER KASSYQQGGGATANPVATAPSPQLSSEIERLMSQGYSYQDIQKALVIAHNNIEMAKNILR EFVSISSPAHVAT >ENSMUSP00000145827.1 pep:known chromosome:GRCm38:9:44142977:44233989:-1 gene:ENSMUSG00000034342.9 transcript:ENSMUST00000206147.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbl description:Casitas B-lineage lymphoma [Source:MGI Symbol;Acc:MGI:88279] MAGNVKKSSGAGGGGSGGSGAGGLIGLMKDAFQPHHHHHHLSPHPPCTVDKKMVEKCWKL MDKVVRLCQNPKLALKNSPPYILDLLPDTYQHLRTVLSRYEGKMETLGENEYFRVFMENL MKKTKQTISLFKEGKERMYEENSQPRRNLTKLSLIFSHMLAELKGIFPSGLFQGDTFRIT KADAAEFWRKAFGEKTIVPWKSFRQALHEVHPISSGLEAMALKSTIDLTCNDYISVFEFD IFTRLFQPWSSLLRNWNSLAVTHPGYMAFLTYDEVKARLQKFIHKPGSYIFRLSCTRLGQ WAIGYVTADGNILQTIPHNKPLFQALIDGFREGFYLFPDGRNQNPDLTGLCEPTPQDHIK VTQEQYELYCEMGSTFQLCKICAENDKDVKIEPCGHLMCTSCLTSWQESEGQGCPFCRCE IKGTEPIVVDPFDPRGSGSLLRQGAEGAPSPNYDDDDDERADDSLFMMKELAGAKVERPS SPFSMAPQASLPPVPPRLDLLQQRAPVPASTSVLGTASKAASGSLHKDKPLPIPPTLRDL PPPPPPDRPYSVGAETRPQRRPLPCTPGDCPSRDKLPPVPSSRPGDSWLSRPIPKVPVAT PNPGDPWNGRELTNRHSLPFSLPSQMEPRADVPRLGSTFSLDTSMTMNSSPVAGPESEHP KIKPSSSANAIYSLAARPLPMPKLPPGEQGESEEDTEYMTPTSRPVGVQKPEPKRPLEAT QSSRACDCDQQIDSCTYEAMYNIQSQALSVAENSASGEGNLATAHTSTGPEESENEDDGY DVPKPPVPAVLARRTLSDISNASSSFGWLSLDGDPTNFNEGSQVPERPPKPFPRRINSER KASSYQQGGGATANPVATAPSPQLSKPRLSASLRSPTDLAAEQVSKSSAHLALHS >ENSMUSP00000041902.7 pep:known chromosome:GRCm38:9:44149262:44233996:-1 gene:ENSMUSG00000034342.9 transcript:ENSMUST00000037644.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbl description:Casitas B-lineage lymphoma [Source:MGI Symbol;Acc:MGI:88279] MAGNVKKSSGAGGGGSGGSGAGGLIGLMKDAFQPHHHHHHLSPHPPCTVDKKMVEKCWKL MDKVVRLCQNPKLALKNSPPYILDLLPDTYQHLRTVLSRYEGKMETLGENEYFRVFMENL MKKTKQTISLFKEGKERMYEENSQPRRNLTKLSLIFSHMLAELKGIFPSGLFQGDTFRIT KADAAEFWRKAFGEKTIVPWKSFRQALHEVHPISSGLEAMALKSTIDLTCNDYISVFEFD IFTRLFQPWSSLLRNWNSLAVTHPGYMAFLTYDEVKARLQKFIHKPGSYIFRLSCTRLGQ WAIGYVTADGNILQTIPHNKPLFQALIDGFREGFYLFPDGRNQNPDLTGLCEPTPQDHIK VTQEQYELYCEMGSTFQLCKICAENDKDVKIEPCGHLMCTSCLTSWQESEGQGCPFCRCE IKGTEPIVVDPFDPRGSGSLLRQGAEGAPSPNYDDDDDERADDSLFMMKELAGAKAASGS LHKDKPLPIPPTLRDLPPPPPPDRPYSVGAETRPQRRPLPCTPGDCPSRDKLPPVPSSRP GDSWLSRPIPKVPVATPNPGDPWNGRELTNRHSLPFSLPSQMEPRADVPRLGSTFSLDTS MTMNSSPVAGPESEHPKIKPSSSANAIYSLAARPLPMPKLPPGEQGESEEDTEYMTPTSR PVGVQKPEPKRPLEATQSSRACDCDQQIDSCTYEAMYNIQSQALSVAENSASGEGNLATA HTSTGPEESENEDDGYDVPKPPVPAVLARRTLSDISNASSSFGWLSLDGDPTNFNEGSQV PERPPKPFPRRINSERKASSYQQGGGATANPVATAPSPQLSSEIERLMSQGYSYQDIQKA LVIAHNNIEMAKNILREFVSISSPAHVAT >ENSMUSP00000146287.1 pep:known chromosome:GRCm38:9:44151423:44233984:-1 gene:ENSMUSG00000034342.9 transcript:ENSMUST00000205968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbl description:Casitas B-lineage lymphoma [Source:MGI Symbol;Acc:MGI:88279] MAGNVKKSSGAGGGGSGGSGAGGLIGLMKDAFQPHHHHHHLSPHPPCTVDKKMVEKCWKL MDKVVRLCQNPKLALKNSPPYILDLLPDTYQHLRTVLSRYEGKMETLGENEYFRVFMENL MKKTKQTISLFKEGKERMYEENSQPRRNLTKLSLIFSHMLAELKGIFPSGLFQGDTFRIT KADAAEFWRKAFGEKTIVPWKSFRQALHEVHPISSGLEAMALKSTIDLTCNDYISVFEFD IFTRLFQPWSSLLRNWNSLAVTHPGYMAFLTYDEVKARLQKFIHKPGSYIFRLSCTRLGQ WAIGYVTADGNILQTIPHNKPLFQALIDGFREGFYLFPDGRNQNPDLTGLCEPTPQDHIK VTQICAENDKDVKIEPCGHLMCTSCLTSWQESEGQGCPFCRCEIKGTEPIVVDPFDPRGS GSLLRQGAEGAPSPNYDDDDDERADDSLFMMKELAGAKVERPSSPFSMAPQASLPPVPPR LDLLQQRAPVPASTSVLGTASKAASGSLHKDKPLPIPPTLRDLPPPPPPDRPYSVGAETR PQRRPLPCTPGDCPSRDKLPPVPSSRPGDSWLSRPIPKVPVATPNPGDPWNGRELTNRHS LPFSLPSQMEPRADVPRLGSTFSLDTSMTMNSSPVAGPESEHPKIKPSSSANAIYSLAAR PLPMPKLPPGEQGESEEDTEYMTPTSRPVGVQKPEPKRPLEATQSSRACDCDQQIDSCTY EAMYNIQSQALSVAENSASGEGNLATAHTSTGPEESENEDDGYDVPKPPVPAVLARRTLS DISNASSSFGWLSLDGDPTNFNEGSQVPERPPKPFPRRINSERKASSYQQGGGATANPVA TAPSPQLSSEIERLMSQGYSYQDIQKALVIAHNNIEMAKNILREFVSISSPAHVAT >ENSMUSP00000145538.1 pep:known chromosome:GRCm38:9:44153059:44154258:-1 gene:ENSMUSG00000034342.9 transcript:ENSMUST00000206258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbl description:Casitas B-lineage lymphoma [Source:MGI Symbol;Acc:MGI:88279] XTSRPVGVQKPEPKRPLEATQSSRACDCDQQIDSCTYEAMYNIQSQALSVAENSASGPQM VFHPQWTFE >ENSMUSP00000145889.1 pep:known chromosome:GRCm38:9:44160280:44164129:-1 gene:ENSMUSG00000034342.9 transcript:ENSMUST00000206540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbl description:Casitas B-lineage lymphoma [Source:MGI Symbol;Acc:MGI:88279] XQESEGQGCPFCRCEIKGTEPIVVDPFDPRGSGSLLRQGAEGAPSPNYDDDDDERADDSL FMMKELAGAKVPVQFEFLS >ENSMUSP00000145791.1 pep:known chromosome:GRCm38:9:44173375:44233544:-1 gene:ENSMUSG00000034342.9 transcript:ENSMUST00000205755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbl description:Casitas B-lineage lymphoma [Source:MGI Symbol;Acc:MGI:88279] METLGENEYFRVFMENLMKKTKQTISLFKEGKERMYEENSQPRRNLTKLSL >ENSMUSP00000007156.4 pep:known chromosome:GRCm38:7:43995877:43999875:1 gene:ENSMUSG00000044485.4 transcript:ENSMUST00000007156.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b11 description:kallikrein 1-related peptidase b11 [Source:MGI Symbol;Acc:MGI:892023] MWFLILFLALSLGGIDAAPPVQSRIVGGFNCEKNSQPWHVAVYRYNKYICGGVLLDRNWV LTAAHCHVSQYNVWLGKTKLFQREPSAQHRMVSKSFPHPDYNMSLLIIHNPEPEDDESND LMLLRLSEPADITDAVKPIALPTEEPKLGSTCLVSGWGSITPTKFQTPDDLQCVSIKLLP NEVCVKNHNQKVTDVMLCAGEMGGGKDTCKGDSGGPLICDGVLHGITAWGPIPCGKPNTP GVYTKLIKFTNWIKDTMAKNP >ENSMUSP00000138278.1 pep:known chromosome:GRCm38:X:116681178:116681525:1 gene:ENSMUSG00000082482.2 transcript:ENSMUST00000118616.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afb2 description:H2A histone family, member B2 [Source:MGI Symbol;Acc:MGI:3644980] MPRNTENCLQRSSGHRQHHSRTSRGELIFAVSLVEQHLREVSRARRLSDMVPVSLVAILE FLTSRLLELAGNEAQRRGTQRLITPQPLDLEVYSSMELSDVFQFITISQVAPAHR >ENSMUSP00000142322.1 pep:known chromosome:GRCm38:1:158362273:158691779:1 gene:ENSMUSG00000026587.15 transcript:ENSMUST00000193042.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astn1 description:astrotactin 1 [Source:MGI Symbol;Acc:MGI:1098567] MALAGLCALFACCWGPAAVLATAAGDVDPSKELECKLKSITVSALPFLRENDLSIMHSPS ASEPKLLFSVRNDFPGEMVVVDDLENTELPYFVLEISGNTEDIPLVRWRQQWLENGTLLF HIHHQDGAPSLPGQDPTEEPQHESAEEELRILHISVMGGMIALLLSILCLVMILYTRRRW CKRRRVPQPQKSASAEAANEIHYIPSVLIGGHGRESLRNARVQGHNSSGTLSIRETPILD GYEYDITDLRHHLQRECMNGGEDFASQVTRTLDSLQGCNEKSGMDLTPGSDNAKLSLMNK YKDNIIATSPVDSNHQQATLLSHTSSSQRKRINNKARAGSAFLNPEGDSSTEAENDPQLT FYTDPSRSRRRSRVGSPRSPVNKTTLTLISVTSCVIGLVCSSHVSCPLVVKITLHVPEHL IADGSRFILLEGSQLDASDWLNPAQVVLFSQQNSSGPWAMDLCARRLLDPCEHQCDPETG RREHRAAGECLCYEGYMKDPVHKHLCIRNEWGTNQGPWPYTIFQRGFDLVLGEQPSDKIF RFTYTLGEGMWLPLSKSFVIPPAELAINPSAKCKTDMTVMEDAVEVREELMTSSSFDSLE VLLDSFGPVRDCSKDNGGCSKNFRCISDRKLDSTGCVCPSGLSPMKDSSGCYDRHIGVDC SDGFNGGCEQLCLQQMAPFPEDPTLYNILMFCGCIEDYKLGVDGRSCQLVTETCPEGGDC GESREVPMNQTLFGEMFFGYNNQSKEVATGQVLKGTFRQNNFARGLDQQLPDGLVVASVP LENQCLEEISEPTPDPDFLTGMVNFSEVSGYPVLQHWKVRSVMYHIKLNQAAISQAFSNA LHSLDGATSRADFVALLDQFGNHYIQEAVYGFEESCSIWYPNKQVQRRLWLEYEDISKGN SPSDESEERERDPKVLTFPEYIASLSDSGTKRMAAGVRMECQSKGRCPSSCPLCHVTSSP ETPAEPVLLEVTRASPIYELVTNNQTQRLLQEATMSSLWCSGTGDVIEDWCRCDSTAFGA DGLPTCAPLPQPVLRLSTVHEPSSNLVVLEWEHSEPPIGVQIVDYLIRQEKVTDRMDHSK VETETVLSFVDDIISGAKAPCAMPSQVPDKQLTTISLIIRCLEPDTIYMFTLWGVDNTGR RSRPSDVIVKTPCPVVDDVKAQEIADKIYNLFNGYTSGKEQQTAYNTLLDLGSPTLHRVL YHYNQHYESFGEFTWRCEDELGPRKAGLILSQLGDLSSWCNGLLQEPKISLRRGSLKYLG CRYSEIKPYGLDWSELSRDLRKTCEEQTLSVPYNDYGDSKDI >ENSMUSP00000039711.10 pep:known chromosome:GRCm38:1:158362304:158691781:1 gene:ENSMUSG00000026587.15 transcript:ENSMUST00000046110.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astn1 description:astrotactin 1 [Source:MGI Symbol;Acc:MGI:1098567] MALAGLCALFACCWGPAAVLATAAGDVDPSKELECKLKSITVSALPFLRENDLSIMHSPS ASEPKLLFSVRNDFPGEMVVVDDLENTELPYFVLEISGNTEDIPLVRWRQQWLENGTLLF HIHHQDGAPSLPGQDPTEEPQHESAEEELRILHISVMGGMIALLLSILCLVMILYTRRRW CKRRRVPQPQKSASAEAANEIHYIPSVLIGGHGRESLRNARVQGHNSSGTLSIRETPILD GYEYDITDLRHHLQRECMNGGEDFASQVTRTLDSLQGCNEKSGMDLTPGSDNAKLSLMNK YKDNIIATSPVDSNHQQATLLSHTSSSQRKRINNKARAGSAFLNPEGDSSTEAENDPQLT FYTDPSRSRRRSRVGSPRSPVNKTTLTLISVTSCVIGLVCSSHVSCPLVVKITLHVPEHL IADGSRFILLEGSQLDASDWLNPAQVVLFSQQNSSGPWAMDLCARRLLDPCEHQCDPETG ECLCYEGYMKDPVHKHLCIRNEWGTNQGPWPYTIFQRGFDLVLGEQPSDKIFRFTYTLGE GMWLPLSKSFVIPPAELAINPSAKCKTDMTVMEDAVEVREELMTSSSFDSLEVLLDSFGP VRDCSKDNGGCSKNFRCISDRKLDSTGCVCPSGLSPMKDSSGCYDRHIGVDCSDGFNGGC EQLCLQQMAPFPEDPTLYNILMFCGCIEDYKLGVDGRSCQLVTETCPEGGDCGESREVPM NQTLFGEMFFGYNNQSKEVATGQVLKGTFRQNNFARGLDQQLPDGLVVASVPLENQCLEE ISEPTPDPDFLTGMVNFSEVSGYPVLQHWKVRSVMYHIKLNQAAISQAFSNALHSLDGAT SRADFVALLDQFGNHYIQEAVYGFEESCSIWYPNKQVQRRLWLEYEDISKGNSPSDESEE RERDPKVLTFPEYIASLSDSGTKRMAAGVRMECQSKGRCPSSCPLCHVTSSPETPAEPVL LEVTRASPIYELVTNNQTQRLLQEATMSSLWCSGTGDVIEDWCRCDSTAFGADGLPTCAP LPQPVLRLSTVHEPSSNLVVLEWEHSEPPIGVQIVDYLIRQEKVTDRMDHSKVETETVLS FVDDIISGAKAPCAMPSQVPDKQLTTISLIIRCLEPDTIYMFTLWGVDNTGRRSRPSDVI VKTPCPVVDDVKAQEIADKIYNLFNGYTSGKEQQTAYNTLLDLGSPTLHRVLYHYNQHYE SFGEFTWRCEDELGPRKAGLILSQLGDLSSWCNGLLQEPKISLRRGSLKYLGCRYSEIKP YGLDWSELSRDLRKTCEEQTLSVPYNDYGDSKDI >ENSMUSP00000127428.1 pep:known chromosome:GRCm38:1:158362340:158611018:1 gene:ENSMUSG00000026587.15 transcript:ENSMUST00000170718.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astn1 description:astrotactin 1 [Source:MGI Symbol;Acc:MGI:1098567] MALAGLCALFACCWGPAAVLATAAGDVDPSKELECKLKSITVSALPFLRENDLSIMHSPS ASEPKLLFSVRNDFPGEMVVVDDLENTELPYFVLEISGNTEDIPLVRWRQQWLENGTLLF HIHHQDGAPSLPGQDPTEEPQHESAEEELRILHISVMGGMIALLLSILCLVMILYTRRRW CKRRRVPQPQKSASAEAANEIHYIPSVLIGGHGRESLRNARVQGHNSSGTLSIRETPILD GYEYDITDLRHHLQRECMNGGEDFASQVTRTLDSLQGCNEKSGMDLTPGSDNAKLSLMNK YKDNIIATSPVDSNHQQATLLSHTSSSQRKRINNKARAGSAFLNPEGDSSTEAENDPQLT FYTDPSRSRRRSRVGSPRSPVNKTTLTLISVTSCVIGLVCSSHVSCPLVVKITLHVPEHL IADGSRFILLEGSQLDASDWLNPAQVVLFSQQNSSGPWAMDLCARRLLDPCEHQCDPETG RREHRAAGECLCYEGYMKDPVHKHLCIRNEWGTNQGPWPYTIFQRGFDLVLGEQPSDKIF RFTYTLGEGMWLPLSKSFVIPPAELAINPSAKCKTDMTVMEDAVEVREELMTSSSFDSLE VLLDSFGPVRDCSKDNGGCSKNFRCISDRKLDSTGCVCPSGLSPMKDSSGCYDRHIGVDC SDGFNGGCEQLCLQQMAPFPEDPTLYNILMFCGCIEDYKLGVDGRSCQLVTETCPEGGDC GESREVPMNQTLFGEMFFGYNNQSKEVATGQVLKGTFRQNNFARGLDQQLPDGLVVASVP LENQCLEEISEPTPDPDFLTGMVNFSEVSGYPVLQHWKVRSVMYHIKLNQAAISQDHFLP >ENSMUSP00000142017.1 pep:known chromosome:GRCm38:1:158362537:158610171:1 gene:ENSMUSG00000026587.15 transcript:ENSMUST00000194369.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astn1 description:astrotactin 1 [Source:MGI Symbol;Acc:MGI:1098567] MALAGLCALFACCWGPAAVLATAAGDVDPSKELECKLKSITVSALPFLRENDLSIMHSPS ASEPKLLFSVRNDFPGEMVVVDDLENTELPYFVLEISGNTEDIPLVRWRQQWLENGTLLF HIHHQDGAPSLPGQDPTEEPQHESAEEELRILHISVMGGMIALLLSILCLVMILYTRRRW CKRRRVPQPQKSASAEAANEIHYIPSVLIGGHGRESLRNARVQGHNSSGTLSIRETPILD GYEYDITDLRHHLQRECMNGGEDFASQVTRTLDSLQGCNEKSGMDLTPGSDNAKLSLMNK YKDNIIATSPVDSNHQQATLLSHTSSSQRKRINNKARAGSAFLNPEGDSSTEAENDPQLT FYTDPSRSRRRSRVGSPRSPVNKTTLTLISVTSCVIGLVCSSHVSCPLVVKITLHVPEHL IADGSRFILLEGSQLDASDWLNPAQVVLFSQQNSSGPWAMDLCARRLLDPCEHQCDPETG ECLCYEGYMKDPVHKHLCIRNEWGTNQGPWPYTIFQRGFDLVLGEQPSDKIFRFTYTLGE GMWLPLSKSFVIPPAELAINPSAKCKTDMTVMEDAVEVREELMTSSSFDSLEVLLDSFGP VRDCSKDNGGCSKNFRCISDRKLDSTGCVCPSGLSPMKDSSGCYDRHIGVDCSDGFNGGC EQLCLQQMAPFPEDPTLYNILMFCGCIEDYKLGVDGRSCQLVTETCPEGGDCGESREVPM NQTLFGEMFFGYNNQSKEVATGQVLKGTFRQNNFARGLDQQLPDGLVVASVPLENQCLEE ISEPTPDPDFLTGMVNFSEVSGYPVLQHWKVRSVMYHIKLNQAAISQGEHYSRPTPRLWN FFPKESASLEVAQHTTDSMRLATNALRLTLQGKWIECYYFLSTDEVARCILYY >ENSMUSP00000141518.1 pep:known chromosome:GRCm38:1:158362550:158679492:1 gene:ENSMUSG00000026587.15 transcript:ENSMUST00000195311.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astn1 description:astrotactin 1 [Source:MGI Symbol;Acc:MGI:1098567] MALAGLCALFACCWGPAAVLATAAGDVDPSKELECKLKSITVSALPFLRENDLSIMHSPS ASEPKLLFSVRNDFPGEMVVVDDLENTELPYFVLEISGNTEDIPLVRWRQQWLENGTLLF HIHHQDGAPSLPGQDPTEEPQHESAEEELRILHISVMGGMIALLLSILCLVMILYTRRRW CKRRRVPQPQKSASAEAANEIHYIPSVLIGGHGRESLRNARVQGHNSSGTLSIRETPILD GYEYDITDLRHHLQRECMNGGEDFASQVTRTLDSLQGCNEKSGMDLTPGSDNAKLSLMNK YKDNIIATSPVDSNHQQATLLSHTSSSQRKRINNKARAGSAFLNPEGDSSTEAENDPQLT FYTDPSRSRRRSRVGSPRSPVNKTTLTLISVTSCVIGLVCSSHVSCPLVVKITLHVPEHL IADGSRFILLEGSQLDASDWLNPAQVVLFSQQNSSGPWAMDLCARRLLDPCEHQCDPETG ECLCYEGYMKDPVHKHLCIRNEWGTNQGPWPYTIFQRGFDLVLGEQPSDKIFRFTYTLGE GMWLPLSKSFVIPPAELAINPSAKCKTDMTVMEDAVEVREELMTSSSFDSLEVLLDSFGP VRDCSKDNGGCSKNFRCISDRKLDSTGCVCPSGLSPMKDSSGCYDRHIGVDCSDGFNGGC EQLCLQQMAPFPEDPTLYNILMFCGCIEDYKLGVDGRSCQLVTETCPEGGDCGESREVPM NQTLFGEMFFGYNNQSKEVATGQVLKGTFRQNNFARGLDQQLPDGLVVASVPLENQCLEE ISEPTPDPDFLTGMVNFSEVSGYPVLQHWKVRSVMYHIKLNQAAISQAFSNALHSLDGAT SRADFVALLDQFGNHYIQEAVYGFEESCSIWYPNKQVQRRLWLEYEDISKGNSPSDESEE RERDPKVLTFPEYIASLSDSGTKRMAAGVRMECQSKGRCPSSCPLCHVTSSPETPAEPVL LEVTRASPIYELVTNNQTQRLLQEATMSSLWCSGTGDVIEDWCRCDSTAFGADGLPTCAP LPQPVLRLSTVHEPSSNLVVLEWEHSEPPIGVQIVDYLIRQEKVTDRMDHSKVETETVLS FVDDIISGAKAPCAMPSQVPDKQLTTISLIIRCLEPDTIYMFTLWGVDNTGRRSRPSDVI VKTPCPVVDDVKAQGHGRRIHKSQRWLQKTQR >ENSMUSP00000141498.1 pep:known chromosome:GRCm38:1:158552708:158597227:1 gene:ENSMUSG00000026587.15 transcript:ENSMUST00000193599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astn1 description:astrotactin 1 [Source:MGI Symbol;Acc:MGI:1098567] MNRMDWPWPYTIFQRGFDLVLGEQPSDKIFRFTYTLGEGMWLPLSKSFVIPPAELAINPS AKCKTDMTVMEDAVEVREELMTSSSFDSLEVLLDSFGPVRDCSKDNGGCSKNFRCISDRK LDSTGCVCPSGLSPMKDSSGCYDRHIGVDCS >ENSMUSP00000141580.1 pep:known chromosome:GRCm38:1:158657149:158667553:1 gene:ENSMUSG00000026587.15 transcript:ENSMUST00000194217.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Astn1 description:astrotactin 1 [Source:MGI Symbol;Acc:MGI:1098567] XGVRMECQSKGRCPSSCPLCHVTSSPETPAEPVLLEVTRASPIYELVTNNQTQRAAEGDY LLQAARNRLSSALDQA >ENSMUSP00000141260.1 pep:known chromosome:GRCm38:1:158657297:158684245:1 gene:ENSMUSG00000026587.15 transcript:ENSMUST00000192821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Astn1 description:astrotactin 1 [Source:MGI Symbol;Acc:MGI:1098567] QTQRLLQEATMSSLWCSGTGDVIEDWCRCDSTAFGADGLPTCAPLPQPVLRLSTVHEPSS NLVVLEWEHSEPPIGVQIVDYLIRQEKVTDRMDHSKVETETVLSFVDDIISGAKAPCAMP SQVPDKQLTTISLIIRCLEPDTIYMFTLWGVDNTGRRSRPSDVIVKTPCPVVDDVKAQGH GRRIHKSQRWLQKTQRNSRQDLQSLQWLHQWKGATDCLQHPSGSGFSHFAPSPLPL >ENSMUSP00000093005.4 pep:known chromosome:GRCm38:10:87859068:87937042:1 gene:ENSMUSG00000020053.18 transcript:ENSMUST00000095360.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf1 description:insulin-like growth factor 1 [Source:MGI Symbol;Acc:MGI:96432] MGKISSLPTQLFKICLCDFLKIKIHIMSSSHLFYLALCLLTFTSSTTAGPETLCGAELVD ALQFVCGPRGFYFNKPTGYGSSIRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPTKAARS IRAQRHTDMPKTQKEVHLKNTSRGSAGNKTYRM >ENSMUSP00000056668.6 pep:known chromosome:GRCm38:10:87860030:87915894:1 gene:ENSMUSG00000020053.18 transcript:ENSMUST00000062862.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf1 description:insulin-like growth factor 1 [Source:MGI Symbol;Acc:MGI:96432] MTAPAIKIHIMSSSHLFYLALCLLTFTSSTTAGPETLCGAELVDALQFVCGPRGFYFNKP TGYGSSIRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPTKAARSIRAQRHTDMPKTQKSP SLSTNKKTKLQRRRKGEPKTHPEGEQEEVTEATRKIRGPREKRLG >ENSMUSP00000100937.2 pep:known chromosome:GRCm38:10:87859258:87931801:1 gene:ENSMUSG00000020053.18 transcript:ENSMUST00000105300.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf1 description:insulin-like growth factor 1 [Source:MGI Symbol;Acc:MGI:96432] MGKISSLPTQLFKICLCDFLKIKIHIMSSSHLFYLALCLLTFTSSTTAGPETLCGAELVD ALQFVCGPRGFYFNKPTGYGSSIRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPTKAARS IRAQRHTDMPKTQKSPSLSTNKKTKLQRRRKGSTFEEHK >ENSMUSP00000112878.1 pep:known chromosome:GRCm38:10:87861329:87930877:1 gene:ENSMUSG00000020053.18 transcript:ENSMUST00000122100.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf1 description:insulin-like growth factor 1 [Source:MGI Symbol;Acc:MGI:96432] MTAPAIKIHIMSSSHLFYLALCLLTFTSSTTAGPETLCGAELVDALQFVCGPRGFYFNKP TGYGSSIRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPTKAARSIRAQRHTDMPKTQKSP SLSTNKKTKLQRRRKGSTFEEHK >ENSMUSP00000113905.1 pep:known chromosome:GRCm38:10:87858265:87930895:1 gene:ENSMUSG00000020053.18 transcript:ENSMUST00000122386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf1 description:insulin-like growth factor 1 [Source:MGI Symbol;Acc:MGI:96432] MGKISSLPTQLFKICLCDFLKIKIHIMSSSHLFYLALCLLTFTSSTTAGPETLCGAELVD ALQFVCGPRGFYFNKPTGYGSSIRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPTKAARS IRAQRHTDMPKTQKEVHLKNTSRGSAGNKTYRM >ENSMUSP00000114120.1 pep:known chromosome:GRCm38:10:87860606:87930899:1 gene:ENSMUSG00000020053.18 transcript:ENSMUST00000121161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf1 description:insulin-like growth factor 1 [Source:MGI Symbol;Acc:MGI:96432] MTAPAIKIHIMSSSHLFYLALCLLTFTSSTTAGPETLCGAELVDALQFVCGPRGFYFNKP TGYGSSIRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPTKAARSIRAQRHTDMPKTQKEV HLKNTSRGSAGNKTYRM >ENSMUSP00000113177.1 pep:known chromosome:GRCm38:10:87859490:87930893:1 gene:ENSMUSG00000020053.18 transcript:ENSMUST00000121952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf1 description:insulin-like growth factor 1 [Source:MGI Symbol;Acc:MGI:96432] MTAPAIKIHIMSSSHLFYLALCLLTFTSSTTAGPETLCGAELVDALQFVCGPRGFYFNKP TGYGSSIRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPTKAARSIRAQRHTDMPKTQKEV HLKNTSRGSAGNKTYRM >ENSMUSP00000122188.1 pep:known chromosome:GRCm38:10:87859611:87915349:1 gene:ENSMUSG00000020053.18 transcript:ENSMUST00000126490.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf1 description:insulin-like growth factor 1 [Source:MGI Symbol;Acc:MGI:96432] MTAPAIKIHIMSSSHLFYLALCLLTFTSSTTAGPETLCGAELVDALQFVCGPRGFYFNKP TGYGSSIRRAPQTGIVDECCFRSCDLRRLEMYCAPLKPTKAARSIRAQRHTDMPKTQKSP SLSTNKKTKLQRRRK >ENSMUSP00000144480.1 pep:known chromosome:GRCm38:17:32815449:32830261:-1 gene:ENSMUSG00000095253.4 transcript:ENSMUST00000202988.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp799 description:zinc finger protein 799 [Source:MGI Symbol;Acc:MGI:2443934] MESVTLEDVAVNFTLEEWTMLNASQKELYKDVMQDTLRNLSYIGNKWEHQNIENEYETLW RKLSSQLLERLCEYTEGHQRAEIFNWTPECAVSQKSCPVPPYESPVYEEVVIGHLSVNVS PHPHPGHKLYAIQEYGKELYKHKESGNTSISSTPFQKQKTIRTEEKPETSHCKEDSRCLP SSQNDGKSSSGEPHYECKQCGKAFTSSSSFRRHEEYHSREKSYVCKQCGKAFPFPSSLQI HERIHTGEKPYMCKQCGKTFARSSSLLTHERIHTGEKPYVCKQCGKAFTDRSSLRFHEMM HSGEKPYKCTKCSKAFASSGAFRKHERTHTGEQLFVCLQCEKVFSCESAFRTHKIIHSGE CVCKQCGKAFTSHSSVKYHELMHSGEKPYVCKQCGKTFRSPKQVQIHKRTHTGEKPYVCK ECGKAFTFLGSLQYHELIHTGEKPYLCKQCGKAFRSSRQVQIHERTHTGEKPYVCKQCGK AFFSLYHLRRHEVIHSGSNPYVCKQCGKAFSWFSTFHSHKQTHTGEKPYLCKQCGKAFCS RISWRRHEKAHTTVKPYACVQCGKAFRSPSYLKIHERIHTGEKPFICSQCGKPFRSFRYV KSHERSHTGEKPFVCSECGKAFSYYSSFHRHRRTHQAVTLNAELEETS >ENSMUSP00000144187.1 pep:known chromosome:GRCm38:17:32820824:32830228:-1 gene:ENSMUSG00000095253.4 transcript:ENSMUST00000201876.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp799 description:zinc finger protein 799 [Source:MGI Symbol;Acc:MGI:2443934] MESVTLEDVAVNFTLEEWTMLNASQKELYKDVMQDTLRNLSYIGNKWEHQNIENEYETLW RKLSNELLKFFSQ >ENSMUSP00000144087.1 pep:known chromosome:GRCm38:17:32821078:32830246:-1 gene:ENSMUSG00000095253.4 transcript:ENSMUST00000202759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp799 description:zinc finger protein 799 [Source:MGI Symbol;Acc:MGI:2443934] MESVTLEDVAVNFTLEEWTMLNASQKELYKDVMQDTLRNLSYIGEGNKWEHQNIENEYET LWRKLSSQLLERL >ENSMUSP00000143907.1 pep:known chromosome:GRCm38:17:32821442:32830215:-1 gene:ENSMUSG00000095253.4 transcript:ENSMUST00000201499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp799 description:zinc finger protein 799 [Source:MGI Symbol;Acc:MGI:2443934] MESVTLEDVAVNFTLEEWTMLNASQKELYKDVMQDTLRNLSYIGNKWEHQNIENEYETLW RKLR >ENSMUSP00000136298.1 pep:known chromosome:GRCm38:17:32815455:32822200:-1 gene:ENSMUSG00000095253.4 transcript:ENSMUST00000179695.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp799 description:zinc finger protein 799 [Source:MGI Symbol;Acc:MGI:2443934] ESVTLEDVAVNFTLEEWTMLNASQKELYKDVMQDTLRNLSYIGNKWEHQNIENEYETLWR KLSSQLLERLCEYTEGHQRAEIFNWTPECAVSQKSCPVPPYESPVYEEVVIGHLSVNVSP HPHPGHKLYAIQEYGKELYKHKESGNTSISSTPFQKQKTIRTEEKPETSHCKEDSRCLPS SQNDGKSSSGEPHYECKQCGKAFTSSSSFRRHEEYHSREKSYVCKQCGKAFPFPSSLQIH ERIHTGEKPYMCKQCGKTFARSSSLLTHERIHTGEKPYVCKQCGKAFTDRSSLRFHEMMH SGEKPYKCTKCSKAFASSGAFRKHERTHTGEQLFVCLQCEKVFSCESAFRTHKIIHSGEC VCKQCGKAFTSHSSVKYHELMHSGEKPYVCKQCGKTFRSPKQVQIHKRTHTGEKPYVCKE CGKAFTFLGSLQYHELIHTGEKPYLCKQCGKAFRSSRQVQIHERTHTGEKPYVCKQCGKA FFSLYHLRRHEVIHSGSNPYVCKQCGKAFSWFSTFHSHKQTHTGEKPYLCKQCGKAFCSR ISWRRHEKAHTTVKPYACVQCGKAFRSPSYLKIHERIHTGEKPFICSQCGKPFRSFRYVK SHERSHTGEKPFVCSECGKAFSYYSSFHRHRRTHQAVTLNAELEETS >ENSMUSP00000029603.8 pep:known chromosome:GRCm38:3:123446913:123506597:1 gene:ENSMUSG00000027978.9 transcript:ENSMUST00000029603.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss12 description:protease, serine 12 neurotrypsin (motopsin) [Source:MGI Symbol;Acc:MGI:1100881] MALARCVLAVILGALSVVARADPVSRSPLHRPHPSPPRSQHAHYLPSSRRPPRTPRFPLP LRIPAAQRPQVLSTGHTPPTIPRRCGAGESWGNATNLGVPCLHWDEVPPFLERSPPASWA ELRGQPHNFCRSPDGSGRPWCFYRNAQGKVDWGYCDCGQGPALPVIRLVGGNSGHEGRVE LYHAGQWGTICDDQWDNADADVICRQLGLSGIAKAWHQAHFGEGSGPILLDEVRCTGNEL SIEQCPKSSWGEHNCGHKEDAGVSCVPLTDGVIRLAGGKSTHEGRLEVYYKGQWGTVCDD GWTEMNTYVACRLLGFKYGKQSSVNHFDGSNRPIWLDDVSCSGKEVSFIQCSRRQWGRHD CSHREDVGLTCYPDSDGHRLSPGFPIRLVDGENKKEGRVEVFVNGQWGTICDDGWTDKHA AVICRQLGYKGPARARTMAYFGEGKGPIHMDNVKCTGNEKALADCVKQDIGRHNCRHSED AGVICDYLEKKASSSGNKEMLSSGCGLRLLHRRQKRIIGGNNSLRGAWPWQASLRLRSAH GDGRLLCGATLLSSCWVLTAAHCFKRYGNNSRSYAVRVGDYHTLVPEEFEQEIGVQQIVI HRNYRPDRSDYDIALVRLQGPGEQCARLSTHVLPACLPLWRERPQKTASNCHITGWGDTG RAYSRTLQQAAVPLLPKRFCKERYKGLFTGRMLCAGNLQEDNRVDSCQGDSGGPLMCEKP DESWVVYGVTSWGYGCGVKDTPGVYTRVPAFVPWIKSVTSL >ENSMUSP00000096507.3 pep:known chromosome:GRCm38:8:20892669:20897723:1 gene:ENSMUSG00000074454.3 transcript:ENSMUST00000098908.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb33 description:defensin beta 33 [Source:MGI Symbol;Acc:MGI:3647176] MRLLFLLFILLVCLAQTTSGRKRNSKFRPCEKMGGICKSQKTHGCSILPAECKSRYKHCC RL >ENSMUSP00000026318.8 pep:known chromosome:GRCm38:X:155213132:155216449:-1 gene:ENSMUSG00000025283.15 transcript:ENSMUST00000026318.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sat1 description:spermidine/spermine N1-acetyl transferase 1 [Source:MGI Symbol;Acc:MGI:98233] MAKFKIRPATASDCSDILRLIKELAKYEYMEDQVILTEKDLQEDGFGEHPFYHCLVAEVP KEHWTPEGHSIVGFAMYYFTYDPWIGKLLYLEDFFVMSDYRGFGIGSEILKNLSQVAMKC RCSSMHFLVAEWNEPSINFYKRRGASDLSSEEGWRLFKIDKEYLLKMAAEE >ENSMUSP00000138776.1 pep:known chromosome:GRCm38:X:155213132:155216449:-1 gene:ENSMUSG00000025283.15 transcript:ENSMUST00000152463.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sat1 description:spermidine/spermine N1-acetyl transferase 1 [Source:MGI Symbol;Acc:MGI:98233] MAKFKIRPATASDCSDILRLIKELAKYEYMEDQVILTEKDLQEDGFGEHPFYHCLVAEVP KEHWTPEGYSL >ENSMUSP00000108170.3 pep:known chromosome:GRCm38:X:155213315:155216345:-1 gene:ENSMUSG00000025283.15 transcript:ENSMUST00000112551.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sat1 description:spermidine/spermine N1-acetyl transferase 1 [Source:MGI Symbol;Acc:MGI:98233] MAKFKIRPATASDCSDILRLIKELAKYEYMEDQVILTEKDLQEDGFGEHPFYHCLVAEVP KEHWTPEGHSIVGFAMYYFTYDPWIGKLLYLEDFFVMSDYRELYFFPLGFGIGSEILKNL SQVAMKCRCSSMHFLVAEWNEPSINFYKRRGASDLSSEEGWRLFKIDKEYLLKMAAEE >ENSMUSP00000028749.7 pep:known chromosome:GRCm38:2:120463579:120504913:1 gene:ENSMUSG00000079110.11 transcript:ENSMUST00000028749.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn3 description:calpain 3 [Source:MGI Symbol;Acc:MGI:107437] MPTVISPTVAPRTGAEPRSPGPVPHPAQGKTTEAGGGHPSGIYSAIISRNFPIIGVKEKT FEQLRRKCLEKKVLYLDPEFPPDETSLFYSQKFPIQFVWKRPPEICENPRFIIGGANRTD ICQGDLGDCWFLAAIACLTLNERLLFRVIPHDQSFTENYAGIFHFQFWRYGDWVDVVIDD CLPTYNNQLVFTKSNHRNEFWSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVTEFFE IKDAPSDMYKIMRKAIERGSLMGCSIDDGTNMTYGTSPSGLNMGELIARMVRNMDNSLLR DSDLDPRGSDDRPSRTIVPVQYETRMACGLVKGHAYSVTGLEEALFKGEKVKLVRLRNPW GQVEWNGSWSDGWKDWSFVDKDEKARLQHQVTEDGEFWMSYDDFVYHFTKLEICNLTADA LESDKLQTWTVSVNEGRWVRGCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPEDSEVICSF LVALMQKNRRKDRKLGANLFTIGFAIYEVPKEMHGNKQHLQKDFFLYNASKARSKTYINM REVSQRFRLPPSEYVIVPSTYEPHQEGEFILRVFSEKRNLSEEAENTISVDRPVKKKKNK PIIFVSDRANSNKELGVDQEAEEGKDKAGPEKRGETPQPRPGHTDQESEEQQQFRNIFRQ IAGDDMEICADELKNVLNTVVNKHKDLKTQGFTLESCRSMIALMDTDGSGRLNLQEFHHL WKKIKAWQKIFKHYDTDHSGTINSYEMRNAVNDAGFHLNSQLYDIITMRYADKHMNIDFD SFICCFVRLEGMFRAFNAFDKDGDGIIKLNVLEWLQLTMYA >ENSMUSP00000106349.1 pep:known chromosome:GRCm38:2:120463579:120504913:1 gene:ENSMUSG00000079110.11 transcript:ENSMUST00000110721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn3 description:calpain 3 [Source:MGI Symbol;Acc:MGI:107437] MPTVISPTVAPRTGAEPRSPGPVPHPAQGKTTEAGGGHPSGIYSAIISRNFPIIGVKEKT FEQLRRKCLEKKVLYLDPEFPPDETSLFYSQKFPIQFVWKRPPEICENPRFIIGGANRTD ICQGDLGDCWFLAAIACLTLNERLLFRVIPHDQSFTENYAGIFHFQFWRYGDWVDVVIDD CLPTYNNQLVFTKSNHRNEFWSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVTEFFE IKDAPSDMYKIMRKAIERGSLMGCSIDTIVPVQYETRMACGLVKGHAYSVTGLEEALFKG EKVKLVRLRNPWGQVEWNGSWSDGWKDWSFVDKDEKARLQHQVTEDGEFWMSYDDFVYHF TKLEICNLTADALESDKLQTWTVSVNEGRWVRGCSAGGCRNFPDTFWTNPQYRLKLLEED DDPEDSEVICSFLVALMQKNRRKDRKLGANLFTIGFAIYEVPKEMHGNKQHLQKDFFLYN ASKARSKTYINMREVSQRFRLPPSEYVIVPSTYEPHQEGEFILRVFSEKRNLSEEAENTI SVDRPVPRPGHTDQESEEQQQFRNIFRQIAGDDMEICADELKNVLNTVVNKHKDLKTQGF TLESCRSMIALMDTDGSGRLNLQEFHHLWKKIKAWQKIFKHYDTDHSGTINSYEMRNAVN DAGFHLNSQLYDIITMRYADKHMNIDFDSFICCFVRLEGMFRAFNAFDKDGDGIIKLNVL EWLQLTMYA >ENSMUSP00000106344.1 pep:known chromosome:GRCm38:2:120476918:120504913:1 gene:ENSMUSG00000079110.11 transcript:ENSMUST00000110716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn3 description:calpain 3 [Source:MGI Symbol;Acc:MGI:107437] MPYLLPGFFCDRVIRERDRRNGEGTVSQPLKFEGQDFVVLKQQCLAQKCLFEDRVFPAGV QALGSHELSQKAKMKAITWKRPKEICENPRFIIGGANRTDICQGDLGDCWFLAAIACLTL NERLLFRVIPHDQSFTENYAGIFHFQFWRYGDWVDVVIDDCLPTYNNQLVFTKSNHRNEF WSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVTEFFEIKDAPSDMYKIMRKAIERGS LMGCSIDDGTNMTYGTSPSGLNMGELIARMVRNMDNSLLRDSDLDPRGSDDRPSRTIVPV QYETRMACGLVKGHAYSVTGLEEALFKGEKVKLVRLRNPWGQVEWNGSWSDGWKDWSFVD KDEKARLQHQVTEDGEFWMSYDDFVYHFTKLEICNLTADALESDKLQTWTVSVNEGRWVR GCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPEDSEVICSFLVALMQKNRRKDRKLGANLF TIGFAIYEVPKEMHGNKQHLQKDFFLYNASKARSKTYINMREVSQRFRLPPSEYVIVPST YEPHQEGEFILRVFSEKRNLSEEAENTISVDRPVPRPGHTDQESEEQQQFRNIFRQIAGD DMEICADELKNVLNTVVNKHKDLKTQGFTLESCRSMIALMDTDGSGRLNLQEFHHLWKKI KAWQKIFKHYDTDHSGTINSYEMRNAVNDAGFHLNSQLYDIITMRYADKHMNIDFDSFIC CFVRLEGMFRAFNAFDKDGDGIIKLNVLEWLQLTMYA >ENSMUSP00000028748.6 pep:known chromosome:GRCm38:2:120476920:120504913:1 gene:ENSMUSG00000079110.11 transcript:ENSMUST00000028748.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn3 description:calpain 3 [Source:MGI Symbol;Acc:MGI:107437] MPYLLPGFFCDRVIRERDRRNGEGTVSQPLKFEGQDFVVLKQQCLAQKCLFEDRVFPAGV QALGSHELSQKAKMKAITWKRPKEICENPRFIIGGANRTDICQGDLGDCWFLAAIACLTL NERLLFRVIPHDQSFTENYAGIFHFQFWRYGDWVDVVIDDCLPTYNNQLVFTKSNHRNEF WSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVTEFFEIKDAPSDMYKIMRKAIERGS LMGCSIDTIVPVQYETRMACGLVKGHAYSVTGLEEALFKGEKVKLVRLRNPWGQVEWNGS WSDGWKDWSFVDKDEKARLQHQVTEDGEFWMSYDDFVYHFTKLEICNLTADALESDKLQT WTVSVNEGRWVRGCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPEDSEVICSFLVALMQKN RRKDRKLGANLFTIGFAIYEVPKEMHGNKQHLQKDFFLYNASKARSKTYINMREVSQRFR LPPSEYVIVPSTYEPHQEGEFILRVFSEKRNLSEEAENTISVDRPVPRPGHTDQESEEQQ QFRNIFRQIAGDDMEICADELKNVLNTVVNKHKDLKTQGFTLESCRSMIALMDTDGSGRL NLQEFHHLWKKIKAWQKIFKHYDTDHSGTINSYEMRNAVNDAGFHLNSQLYDIITMRYAD KHMNIDFDSFICCFVRLEGMFRAFNAFDKDGDGIIKLNVLEWLQLTMYA >ENSMUSP00000087482.5 pep:known chromosome:GRCm38:2:120476970:120504913:1 gene:ENSMUSG00000079110.11 transcript:ENSMUST00000090028.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn3 description:calpain 3 [Source:MGI Symbol;Acc:MGI:107437] MPYLLPGFFCDRVIRERDRRNGEGTVSQPLKFEGQDFVVLKQQCLAQKCLFEDRVFPAGV QALGSHELSQKAKMKAITWKRPKEICENPRFIIGGANRTDICQGDLGDCWFLAAIACLTL NERLLFRVIPHDQSFTENYAGIFHFQFWRYGDWVDVVIDDCLPTYNNQLVFTKSNHRNEF WSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVTEFFEIKDAPSDMYKIMRKAIERGS LMGCSIDTIVPVQYETRMACGLVKGHAYSVTGLEEALFKGEKVKLVRLRNPWGQVEWNGS WSDGWKDWSFVDKDEKARLQHQVTEDGEFWMSYDDFVYHFTKLEICNLTADALESDKLQT WTVSVNEGRWVRGCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPEDSEVICSFLVALMQKN RRKDRKLGANLFTIGFAIYEVPKEMHGNKQHLQKDFFLYNASKARSKTYINMREVSQRFR LPPSEYVIVPSTYEPHQEGEFILRVFSEKRNLSEEAENTISVDRPVPRPGHTDQESEEQQ QFRNIFRQIAGDDMEICADELKNVLNTVVNKRERPTPDVGDGQGPQLSESSSSPFSIPPD KDLKTQGFTLESCRSMIALMDTDGSGRLNLQEFHHLWKKIKAWQKIFKHYDTDHSGTINS YEMRNAVNDAGFHLNSQLYDIITMRYADKHMNIDFDSFICCFVRLEGMFRAFNAFDKDGD GIIKLNVLEWLQLTMYA >ENSMUSP00000106347.2 pep:known chromosome:GRCm38:2:120476976:120504913:1 gene:ENSMUSG00000079110.11 transcript:ENSMUST00000110719.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn3 description:calpain 3 [Source:MGI Symbol;Acc:MGI:107437] MPYLLPGFFCDRVIRERDRRNGEGTVSQPLKFEGQDFVVLKQQCLAQKCLFEDRVFPAGV QALGSHELSQKAKMKAITWKRPKEICENPRFIIGGANRTDICQGDLGDCWFLAAIACLTL NERLLFRVIPHDQSFTENYAGIFHFQFWRYGDWVDVVIDDCLPTYNNQLVFTKSNHRNEF WSALLEKAYAKLHGSYEALKGGNTTEAMEDFTGGVTEFFEIKDAPSDMYKIMRKAIERGS LMGCSIDDGTNMTYGTSPSGLNMGELIARMVRNMDNSLLRDSDLDPRGSDDRPSRTIVPV QYETRMACGLVKGHAYSVTGLEEALFKGEKVKLVRLRNPWGQVEWNGSWSDGWKDWSFVD KDEKARLQHQVTEDGEFWMSYDDFVYHFTKLEICNLTADALESDKLQTWTVSVNEGRWVR GCSAGGCRNFPDTFWTNPQYRLKLLEEDDDPEDSEVICSFLVALMQKNRRKDRKLGANLF TIGFAIYEVPKEMHGNKQHLQKDFFLYNASKARSKTYINMREVSQRFRLPPSEYVIVPST YEPHQEGEFILRVFSEKRNLSEEAENTISVDRPVPRPGHTDQESEEQQQFRNIFRQIAGD DMEICADELKNVLNTVVNKRERPTPDVGDGQGPQLSESSSSPFSIPPDKDLKTQGFTLES CRSMIALMDTDGSGRLNLQEFHHLWKKIKAWQKIFKHYDTDHSGTINSYEMRNAVNDAGF HLNSQLYDIITMRYADKHMNIDFDSFICCFVRLEGMFRAFNAFDKDGDGIIKLNVLEWLQ LTMYA >ENSMUSP00000028859.1 pep:known chromosome:GRCm38:2:127854628:128123873:1 gene:ENSMUSG00000027380.10 transcript:ENSMUST00000028859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acoxl description:acyl-Coenzyme A oxidase-like [Source:MGI Symbol;Acc:MGI:1921371] MTGWSGLFIEVPLYRLASKVCCHLRGPQGFLQRACQGVCCLPRDSRAAGIMEEKRKSFIS QILILGEVLCMVDVSMSIKCGILFLLFGGAISNLGSPEHVTKWFWPLKEQKYTGMFAMTE RGHGSNVRGIQTEATFDLDNQEFVIDMPCENAHKMYIGNAMHGNYAAVFAQLIIEGKSQG PHCFIVPIRDENGNLYPGVTAIDMMHKEGMNGVDNGILIFDKVRIPRENLLDKFGSVTPD GQYHSPIQSKNARFNAILATLTPSRLAVTFQALGAMKLGLMIAIRYSHSRRQFGPKDKEE VKIIEHQMQALRLMSHLATALAVTFTSRHADDILDEDIFQGRALTNSRSLQALMAGLKAY STWETVSCLQDCRECTGGMGYMMETRISDLKCDTDVFVTFEGDNVVMLQVVARELLAQYS KQHKKNLLLGVIQNWTATAGDKLRTSFLAFNTDTVGCLAFLLKAVNFRERVLQRSLVSRI YYKVVTKKGDFFSAWNSCMHHVTSLSLAHIHRVALEQFTTAVRQCPNREDQALLMKFCLL YGTKLVFQERGWYLEHKYLTPKASMLIRAQLLNLCESVKDDALKVISAFNIPHITIRAPK TGIPNPGAAEAAYPAPMQPLVRDAARAQLAKL >ENSMUSP00000105973.1 pep:known chromosome:GRCm38:2:127909058:128123508:1 gene:ENSMUSG00000027380.10 transcript:ENSMUST00000110344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acoxl description:acyl-Coenzyme A oxidase-like [Source:MGI Symbol;Acc:MGI:1921371] MIAIRYSHSRRQFGPKDKEEVKIIEHQMQALRLMSHLATALAVTFTSRHADDILDEDIFQ GRALTNSRSLQALMAGLKAYSTWETVSCLQDCRECTGGMGYMMETRISDLKCDTDVFVTF EGDNVVMLQVVARELLAQYSKQHKKNLLLGVIQNWTATAGDKLRTSFLAFNTDTVGCLAF LLKAVNFRERVLQRSLVSRIYYKVVTKKGDFFSAWNSCMHHVTSLSLAHIHRVALEQFTT AVRQCPNREDQALLMKFCLLYGTKLVFQERGWYLEHKYLTPKASMLIRAQLLNLCESVKD DALKVISAFNIPHITIRAPKTGIPNPGAAEAAYPAPMQPLVRDAARAQLAKL >ENSMUSP00000041307.7 pep:known chromosome:GRCm38:13:104109741:104141735:1 gene:ENSMUSG00000042743.13 transcript:ENSMUST00000044385.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgtb description:small glutamine-rich tetratricopeptide repeat (TPR)-containing, beta [Source:MGI Symbol;Acc:MGI:2444615] MSSVKPLVYAVIRFLREQSQMDAYTSDEQESLEVAIQCLETVFKISPEDTHLAVSQPLTE MFTNSVCKNDIRPLSNSVPEDVGKADQLKDEGNNHMKEENYAAAVDCYTQAIELDPNNAV YYCNRAAAQSKLSHYTDAIKDCEKAIAIDSKYSKAYGRMGLALTAMNKFEEAVTSYQKAL DLDPENDSYKSNLKIAEQKLREVSSPTGTGLSFDMASLINNPAFITMAASLMQNPQVQQL MSGMMTNAIGGPAAGVGGLTDLSSLIQAGQQFAQQIQQQNPELIEQLRNHIRSRSFSSSA DEHS >ENSMUSP00000125569.1 pep:known chromosome:GRCm38:13:104109773:104131987:1 gene:ENSMUSG00000042743.13 transcript:ENSMUST00000160322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgtb description:small glutamine-rich tetratricopeptide repeat (TPR)-containing, beta [Source:MGI Symbol;Acc:MGI:2444615] MSSVKPLVYAVIRFLREQSQMDAYTSDEQESLEVAIQCLETVFKISPEDTHLAVSQPLTE MFTNSVCKNDIRPLSNSVPEDVGKADQLKDEGNNHMKEENYAAAVDCYTQAIELDPNNAV YYCNRAAAQSKLSHYTDAIKDCEKAIAIDSKYSKAYGRMGLALTAMNKFEEAVTSY >ENSMUSP00000124895.1 pep:known chromosome:GRCm38:13:104109829:104139798:1 gene:ENSMUSG00000042743.13 transcript:ENSMUST00000159574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgtb description:small glutamine-rich tetratricopeptide repeat (TPR)-containing, beta [Source:MGI Symbol;Acc:MGI:2444615] MQLFVSCGNKVRWMHILLMNKKVWKNDIRPLSNSVPEDVGKADQLKDEGNNHMKEENYAA AVDCYTQAIELDPNNAVYYCNRAAAQSKLSHYTDAIKDCEKAIAIDSKYSKAYGRMGLAL TAMNKFEEAVTSYQKALDLDPENDSYKSNLKIAEQKLREVSSPTGTGLSFDMASLINNPA FITMAASLMQNPQVQQLMSGMMTNAIGGPAAGVGGLTDLSSLIQAGQQFAQQIQQQNPEL IEQL >ENSMUSP00000053632.1 pep:known chromosome:GRCm38:X:150397773:150441137:-1 gene:ENSMUSG00000041353.12 transcript:ENSMUST00000059256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem29 description:transmembrane protein 29 [Source:MGI Symbol;Acc:MGI:1923420] MDPLQKWDPMSISVPSCIATVSSSQEASAAPQPSSSEKLSMGLSILSVSPSPRSSVPASL SEGLFQQQAREKKALWQQYWEKQGFPQRKKVFLRHSRRWHRDHMAPYLLERDLRGFPSGD KAQNQLRCQGHVQNIAGMSGQKNAAPNPPSWEMLVQGLNGLTLSLGANRPVPLPEEPWQQ QEPEDMRQLERQQENLKMFQRMLK >ENSMUSP00000132541.1 pep:known chromosome:GRCm38:X:150408584:150440905:-1 gene:ENSMUSG00000041353.12 transcript:ENSMUST00000163233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem29 description:transmembrane protein 29 [Source:MGI Symbol;Acc:MGI:1923420] MDDPPKMDDPPMKRRPDDNEDDNYRPPRSKRIKKDQDIQEHHAIESTSSDNERNQGSINN PNRERVPESSLNPSTAELDSNIPGFSQEEDYEVCQDQDPYSHINNILKEAHFYSLQQRGH SPT >ENSMUSP00000134594.1 pep:known chromosome:GRCm38:X:150397773:150459150:-1 gene:ENSMUSG00000041353.12 transcript:ENSMUST00000173996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem29 description:transmembrane protein 29 [Source:MGI Symbol;Acc:MGI:1923420] MDPLQKWDPMSISVPSCIATVSSSQEASAAPQPSSSEKLSMGLSILSVSPSPRSSVPASL SEGLFQQQAREKKALWQQYWEKQGFPQRKKVFLRHSRRWHRDHMAPYLLERDLRGFPSGD KAQNQLRCQGHVQNIAGMSGQKNAAPNPPSWEMLVQGLNGLTLSLGANRPVPLPEEPWQQ QEPEDMRQLERQQENLKMFQRMLK >ENSMUSP00000129845.2 pep:known chromosome:GRCm38:6:88950683:89110030:1 gene:ENSMUSG00000055403.13 transcript:ENSMUST00000168837.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933427D06Rik description:RIKEN cDNA 4933427D06 gene [Source:MGI Symbol;Acc:MGI:3026922] MAEGPSPSQLPSEMPDQDSDERPGEQGDPCVEQQDPVEVDEPKESGQPARPIAYVRSQRS EPTEPTEPAGAAGRHAGRGNDEEQRAYPGRQRRRWRQRQRQRQWAETGSHSQAAFQEWQE PSEELVLQTYFSHSEETGAAMNLYPTLTPVVPVPAGMAQWPPIVYGYWAAMATYPYVTFI PVANIVPCFMSFRAPMFLAYIPW >ENSMUSP00000122980.1 pep:known chromosome:GRCm38:1:133382303:133393218:-1 gene:ENSMUSG00000070643.11 transcript:ENSMUST00000144386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox13 description:SRY (sex determining region Y)-box 13 [Source:MGI Symbol;Acc:MGI:98361] MVNCTIKSEEKKEPCHEAPQGAAPAVETQPGDPALASQDATNAKAPPQDCASPESSGSPE PKRPAASEAASGSQERLDFNRNLQEVVPAIEKLLSSDWKERFLGRSNVEAKDVKGTQESL AEKELQLLVMIHQLSALRDQLLTAHSEQKNMAAMLFEKQQQQMELARQQQEQIAKQQQQL IQQQHKINLLQQQIQVNMPYVMIPAFPPSHQPLPVTPDSQLALPIQPIPCKPVEYPLQLL HSPPAPVVKRSGVAAHHPLQEPPQPLNLTAKPKVPELPNTSSSPSLKMNSCGPRPASHGA PTRDLQSSPPSLPLGFLGEGDAVTKAIQDARQLLHSHSGALENSPNTPFRKDLISLDSSP AKERLEESCVHPLEEAMLSCDMDGSRHFSESRNSSHIKRPMNAFMVWAKDERRKILQAFP DMHNSSISKILGSRWKSMTNQEKQPYYEEQARLSRQHLEKYPDYKYKPRPKRTCVVEGRR LRVGEYKALMRTRRQGARQSYTIP >ENSMUSP00000119729.2 pep:known chromosome:GRCm38:1:133382303:133424377:-1 gene:ENSMUSG00000070643.11 transcript:ENSMUST00000153799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox13 description:SRY (sex determining region Y)-box 13 [Source:MGI Symbol;Acc:MGI:98361] MSMQSPVSVQLAPDSASTMVNCTIKSEEKKEPCHEAPQGAAPAVETQPGDPALASQDATN AKAPPQDCASPESSGSPEPKRPAASEAASGSQERLDFNRNLQEVVPAIEKLLSSDWKERF LGRSNVEAKDVKGTQESLAEKELQLLVMIHQLSALRDQLLTAHSEQKNMAAMLFEKQQQQ MELARQQQEQIAKQQQQLIQQQHKINLLQQQIQQVNMPYVMIPAFPPSHQPLPVTPDSQL ALPIQPIPCKPVEYPLQLLHSPPAPVVKRSGVAAHHPLQEPPQPLNLTAKPKVPELPNTS SSPSLKMNSCGPRPASHGAPTRDLQSSPPSLPLGFLGEGDAVTKAIQDARQLLHSHSGAL ENSPNTPFRKDLISLDSSPAKERLEESCVHPLEEAMLSCDMDGSRHFSESRNSSHIKRPM NAFMVWAKDERRKILQAFPDMHNSSISKILGSRWKSMTNQEKQPYYEEQARLSRQHLEKY PDYKYKPRPKRTCVVEGRRLRVGEYKALMRTRRQGARQSYTIPPQAGQAQVSSDILFPRA AGLPLARPLVEHYDPQGLDPNMPVIINTCSLREEGEGTDDRHSVADGEMYRYSEDEDSEG DEKSDEELVVLTD >ENSMUSP00000092130.4 pep:known chromosome:GRCm38:1:133383651:133393270:-1 gene:ENSMUSG00000070643.11 transcript:ENSMUST00000094551.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox13 description:SRY (sex determining region Y)-box 13 [Source:MGI Symbol;Acc:MGI:98361] MSMQSPVSVQLAPDSASTMVNCTIKSEEKKEPCHEAPQGAAPAVETQPGDPALASQDATN AKAPPQDCASPESSGSPEPKRPAASEAASGSQERLDFNRNLQEVVPAIEKLLSSDWKERF LGRSNVEAKDVKGTQESLAEKELQLLVMIHQLSALRDQLLTAHSEQKNMAAMLFEKQQQQ MELARQQQEQIAKQQQQLIQQQHKINLLQQQIQQVNMPYVMIPAFPPSHQPLPVTPDSQL ALPIQPIPCKPVEYPLQLLHSPPAPVVKRSGVAAHHPLQEPPQPLNLTAKPKVPELPNTS SSPSLKMNSCGPRPASHGAPTRDLQSSPPSLPLGFLGEGDAVTKAIQDARQLLHSHSGAL ENSPNTPFRKDLISLDSSPAKERLEESCVHPLEEAMLSCDMDGSRHFSESRNSSHIKRPM NAFMVWAKDERRKILQAFPDMHNSSISKILGSRWKSMTNQEKQPYYEEQARLSRQHLEKY PDYKYKPRPKRTCVVEGRRLRVGEYKALMRTRRQGARQSYTIPPQAGQAQVSSDILFPRA AGLPLARPLVEHYDPQGLDPNMPVIINTCSLREEGEGTDDRHSVADGEMYRYSEDEDSEG DEKSDEELVVLTD >ENSMUSP00000119300.1 pep:known chromosome:GRCm38:8:20900606:20902444:-1 gene:ENSMUSG00000082976.9 transcript:ENSMUST00000122025.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15056 description:predicted gene 15056 [Source:MGI Symbol;Acc:MGI:3705859] MKLLYLLIPVILLISQAMAAPEGCKQERQNEWKDLAQKKGTFINAFINNYEHHYCDSPTT VCLRRKTKCIRMPGFCPGRSFCCMRTMT >ENSMUSP00000131853.2 pep:known chromosome:GRCm38:8:20900606:20901973:-1 gene:ENSMUSG00000082976.9 transcript:ENSMUST00000167686.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15056 description:predicted gene 15056 [Source:MGI Symbol;Acc:MGI:3705859] MKLLYLLIPVILLISQAMAAPEGCKQERQNEWKDLAQKKGTFINAFINNYEHHYCDSPTT VCLRRKTKCIRMPGFCPGRSFCCMRTMT >ENSMUSP00000031170.8 pep:known chromosome:GRCm38:5:86012024:86065583:-1 gene:ENSMUSG00000029253.12 transcript:ENSMUST00000031170.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpc1 description:centromere protein C1 [Source:MGI Symbol;Acc:MGI:99700] MASFHLDHLKNYHRRYCRSSRAPNIHTKKGQNMLEILQDCFEDQSKASFLDDFTESLTSS TQKKKANYSQSSSKKCPESHSKPVPVSSRTGEASLQASAEPSEAAGGSVQANEVHHGASD ELDLCVGSPVVLLDANVNTLQKAASPAGQKRVASVSRSPVDRQASNKNISFKTRKRLNFE DKVTLSTAETENSVLQVEDNLSKGQEGTSSEITQKRDDLSSDVQSRSKKNFSELFLETVK RKSKSSSVVRHTAAVPFSPPPPSDMKLLEDEFIIDRSDRSFSSRLWVMIPSKDRHLSAHK PSPENTALLQGKKSREKSHSLSAMTFARNTQSDKAHPIEEAQLSVEENPATTCTDELEND CRSPENKMQSETAKTPPAWERTTKQSQRRVSKPKAAEELRKGQSSWENSNVSNTGQDKLQ INSKRNMKDCEEVRNEPNPKKQKPALENKKKTNSTQTNKEKSGKKFFSGGSKNKFVPKKV TLTSRRSCRISQRPSEWWRVKSDESSVDRNPSKENNSPVVYPNKKKQTKRNHVSKRAGKK PGSSKRQKTEMSPRVQKSLNVKDSGGTVSGHDDTSRSQRKPLKIIEADPTQKSLAISRPK RGCKYRNNVMTSPNVHLKSHTEEYTSKTQMESASNSEMSKRSVWEESGPSRFKNYEMPGS SNSEMGDEQDQKSLHFTTRSFNMVPDKKLHHKLVLPSNSPNVRRSNRIRLKPLEYWRGER VDYQESSSGQLVLEIISPSSVPTKIKAQRNLGKVNKKVTKKPTHLNSHEKAKMELPLDMR LGDPFQATLAKDPETAELVPMDLIRPRDTYRFFVEQHGLKVFKTLDTIYFSTGKLVLGPY EEKGKQHVGQDILVFYVNFGDLLCTLHETPYKLTTGDSFYVPSGNHYNIKNLLNVESSLL FTQIKR >ENSMUSP00000003705.5 pep:known chromosome:GRCm38:2:112492964:112634573:1 gene:ENSMUSG00000003604.14 transcript:ENSMUST00000003705.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aven description:apoptosis, caspase activation inhibitor [Source:MGI Symbol;Acc:MGI:1921518] MQAERGARGGRGRRGGRERPGGDREPVGAATALARGGCGDGGGRRGRGRGFRRGRGGGGL RGGRWEPGGRGGGASTRVEEDSDSETYGEENDEQGNFSRRKIVSNWDRYQDTEKEVNGES GESQRGTDFSVLLSSAGDSFSQFRFAEEKEWDGETSCPKQNSALYVDSESLVRALEQLPL AVRLNVASELIQTTIPLELPQVKPRRNDDGKELGMHLRGPISELRSAAGACPRSLGRGSL RQSPLEGLQKAPTPTQSVADHLEEELDMLLHLDAPVQEEGNISPDQTSRDQEPEKDGQVA QEETGPEKPSVTREKNVEPEQPSTSKNVTEEELEDWLDSMIS >ENSMUSP00000097184.3 pep:known chromosome:GRCm38:2:112559634:112630911:1 gene:ENSMUSG00000003604.14 transcript:ENSMUST00000099588.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aven description:apoptosis, caspase activation inhibitor [Source:MGI Symbol;Acc:MGI:1921518] MFEARGTREIGGDSFSQFRFAEEKEWDGETSCPKQNSALYVDSESLVRALEQLPLAVRLN VASELIQTTIPLELPQVKPRRNDDGKELGMHLRGPISELRSAAGACPRSLGRGSLRQSPL EGLQKAPTPTQSVADHLEEELDMLLHLDAPVQEEGNISPDQTSRDQEPEKDGQVAQEETG PEKPSVTREKNVEPEQPSTSKNVTEEELEDWLDSMIS >ENSMUSP00000119053.1 pep:known chromosome:GRCm38:10:43525133:43540968:-1 gene:ENSMUSG00000019797.10 transcript:ENSMUST00000147196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700021F05Rik description:RIKEN cDNA 1700021F05 gene [Source:MGI Symbol;Acc:MGI:1915101] MAVPGVRLLTGALRKPDAWTRLWGVIQGTSSHKLCASWNRYLYFSSTKLNTSNYKTLFRN IFSLRLPELLVSPECYFPFSIRLKSNINSKKSTKKTLQKEADEEDSDEETSYPERSEQEE ELESEPGVAKDYKDLEKVVQSFRYDVILKTGLDVGRNKVEDAFYKGELRLNGEKLWKKSR TGEGGRYLGSHHWREQRDRNRGGDANSPEKGL >ENSMUSP00000019932.3 pep:known chromosome:GRCm38:10:43525121:43540994:-1 gene:ENSMUSG00000019797.10 transcript:ENSMUST00000019932.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700021F05Rik description:RIKEN cDNA 1700021F05 gene [Source:MGI Symbol;Acc:MGI:1915101] MAVPGVRLLTGALRKPDAWTRLWGVIQGTSSHKLCASWNRYLYFSSTKLNTSNYKTLFRN IFSLRLPELLVSPECYFPFSIRLKSNINSKKSTKKTLQKEADEEDSDEETSYPERSEQEE ELESEPGVAKDYKDLEKVVQSFRYDVILKTGLDVGRNKVEDAFYKGELRLNGEKLWKKSR TVKVGDTLDLITGENKETGTEVVMRILLKKVYEEKTENDKHRVVLRRWKSLKLPKKTLSK >ENSMUSP00000118704.1 pep:known chromosome:GRCm38:X:136954988:136976869:-1 gene:ENSMUSG00000072955.13 transcript:ENSMUST00000127404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb15l description:thymosin beta 15b like [Source:MGI Symbol;Acc:MGI:3026988] MSDKPDLSEVETFDKSKLKKTNTEVKNTLPSNENKMSDKPDLSEVETFDKAKLKKTNTEV KNTLPSKETIQQEKEHNERT >ENSMUSP00000137225.1 pep:known chromosome:GRCm38:X:136955445:136975497:-1 gene:ENSMUSG00000072955.13 transcript:ENSMUST00000180194.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb15l description:thymosin beta 15b like [Source:MGI Symbol;Acc:MGI:3026988] MSDKPDLSEVETFDKSKLKKTNTEVKNTLPSNENKMSDKPDLSEVETFDKAKLKKTNTEV KNTLPSKETIQQEKEHNERT >ENSMUSP00000067898.5 pep:known chromosome:GRCm38:3:159839672:159936023:1 gene:ENSMUSG00000028173.10 transcript:ENSMUST00000068952.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wls description:wntless homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1915401] MAGAIIENMSTKKLCIVGGILLVFQIVAFLVGGLIAPAPTTAVPYTAIKCVDVRKNHHKT RWLAPWGPNKCDKIRDIEEAIPREIEANDIVFSVHIPLPSMEMSPWFQFMLFILQLDIAF KLNNQIRENAEISMDVSLGYRDDMFSEWTEMAHERVPRKLKCTFTSPKTPEHEGRYYNCD VLPFMEIGSVAHKYYLLNIRLPVNEKKKINVGIGEIKDIRLVGIHQNGGFTKVWFAMKTF LTPSIFIIMVWYWRRITMMSRPPVLLEKVIFALGISMTFINIPVEWFSIGFDWTWMLLFG DIRQGIFYAMLLSFWIIFCGEHMMDQHERNHIAGYWKQVGPIAVGSFCLFIFDMCERGVQ LTNPFYSIWTTDVGTELAMAFIIVAGICLCLYFLFLCFMVFQVFRNISGKQSSLPAMSKV RRLHYEGLIFRFKFLMLITLACAAMTVIFFIVSQVSEGHWKWGGVTVQVSSAFFTGIYGM WNLYVFALMFLYAPSHKNYGEDQSNGDLGVHSGEELQLTTTITHVDGPTEIYKLTRKEAQ E >ENSMUSP00000143475.1 pep:known chromosome:GRCm38:3:159839737:159938664:1 gene:ENSMUSG00000028173.10 transcript:ENSMUST00000198878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wls description:wntless homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1915401] MAGAIIENMSTKKLCIVGGILLVFQIVAFLVGGLIAPAPTTAVPYTAIKCVDVRKNHHKT RWLAPWGPNKCDKIRDIEEAIPREIEANDIVFSVHIPLPSMEMSPWFQFMLFILQLDIAF KLNNQIRENAEISMDVSLGYRDDMFSEWTEMAHERVPRKLKCTFTSPKTPEHEGRYYNCD VLPFMEIGSVAHKYYLLNIRLPVNEKKKINVGIGEIKDIRLVGIHQNGGFTKVWFAMKTF LTPSIFIIMVWYWRRITMMSRPPVLLEKVIFALGISMTFINIPVEWFSIGFDWTWMLLFG DIRQGIFYAMLLSFWIIFCGEHMMDQHERNHIAGYWKQVGPIAVGSFCLFIFDMCERGVQ LTNPFYSIWTTDVGTELAMAFIIVAGICLCLYFLFLCFMVFQVFRNISGKQSSLPAMSKV RRLHYEGLIFRFKFLMLITLACAAMTVIFFIVSQVSEGHWKWGGVTVQVSSAFFTGIYGM WNLYVFALMFLYAPSHKNYGEDQSNGDLGVHSGEELQLTTTITHVDGPTEIYKLTRKEAQ E >ENSMUSP00000142774.1 pep:known chromosome:GRCm38:3:159839739:159934903:1 gene:ENSMUSG00000028173.10 transcript:ENSMUST00000200191.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wls description:wntless homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1915401] MAGAIIENMSTKKLCIVGGILLVFQIVAFLVGGLIGG >ENSMUSP00000032968.6 pep:known chromosome:GRCm38:7:126408451:126414872:-1 gene:ENSMUSG00000030724.7 transcript:ENSMUST00000032968.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd19 description:CD19 antigen [Source:MGI Symbol;Acc:MGI:88319] MPSPLPVSFLLFLTLVGGRPQKSLLVEVEEGGNVVLPCLPDSSPVSSEKLAWYRGNQSTP FLELSPGSPGLGLHVGSLGILLVIVNVSDHMGGFYLCQKRPPFKDIWQPAWTVNVEDSGE MFRWNASDVRDLDCDLRNRSSGSHRSTSGSQLYVWAKDHPKVWGTKPVCAPRGSSLNQSL INQDLTVAPGSTLWLSCGVPPVPVAKGSISWTHVHPRRPNVSLLSLSLGGEHPVREMWVW GSLLLLPQATALDEGTYYCLRGNLTIERHVKVIARSVWLWLLRTGGWIVPVVTLVYVIFC MVSLVAFLYCQRAFILRRKRKRMTDPARRFFKVTPPSGNGTQNQYGNVLSLPTSTSGQAH AQRWAAGLGSVPGSYGNPRIQVQDTGAQSHETGLEEEGEAYEEPDSEEGSEFYENDSNLG QDQVSQDGSGYENPEDEPMGPEEEDSFSNAESYENADEELAQPVGRMMDFLSPHGSAWDP SREASSLGSQSYEDMRGILYAAPQLHSIQSGPSHEEDADSYENMDKSDDLEPAWEGEGHM GTWGTT >ENSMUSP00000145803.1 pep:known chromosome:GRCm38:7:126408451:126414889:-1 gene:ENSMUSG00000030724.7 transcript:ENSMUST00000206325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd19 description:CD19 antigen [Source:MGI Symbol;Acc:MGI:88319] MPSPLPVSFLLFLTLVGGRPQKSLLVEVEEGGNVVLPCLPDSSPVSSEKLAWYRGNQSTP FLELSPGSPGLGLHVGSLGILLVIVNVSDHMGGFYLCQKRPPFKDIWQPAWTVNVEDSGE MFRWNASDVRDLDCDLRNRSSGSHRSTSGSQLYVWAKDHPKVWGTKPVCAPRGSSLNQSL INQDLTVAPGSTLWLSCGVPPVPVAKGSISWTHVHPRRPNVSLLSLSLGGEHPVREMWVW GSLLLLPQATALDEGTYYCLRGNLTIERHVKVIARSAVWLWLLRTGGWIVPVVTLVYVIF CMVSLVAFLYCQRAFILRRKRKRMTDPARRFFKVTPPSGNGTQNQYGNVLSLPTSTSGQA HAQRWAAGLGSVPGSYGNPRIQVQDTGAQSHETGLEEEGEAYEEPDSEEGSEFYENDSNL GQDQVSQDGSGYENPEDEPMGPEEEDSFSNAESYENADEELAQPVGRMMDFLSPHGSAWD PSREASSLGSQSYEDMRGILYAAPQLHSIQSGPSHEEDADSYENMDKSDDLEPAWEGEGH MGTWGTT >ENSMUSP00000020578.4 pep:known chromosome:GRCm38:11:40733667:40740046:1 gene:ENSMUSG00000020328.10 transcript:ENSMUST00000020578.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudcd2 description:NudC domain containing 2 [Source:MGI Symbol;Acc:MGI:1277103] MSAPFEERSGVVPCGTPWGQWYQTLEEVFIEVQVPPGTRAQDIQCGLQSRHVALAVGGRE ILKGKLFDSTIADEGTWTLEDRKMVRIVLTKTKRDAANCWTSLLESEYAADPWVQDQMQR KLTLERFQKENPGFDFSGAEISGNYTKGGPDFSNLEK >ENSMUSP00000123991.1 pep:known chromosome:GRCm38:11:40733946:40739550:1 gene:ENSMUSG00000020328.10 transcript:ENSMUST00000127382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudcd2 description:NudC domain containing 2 [Source:MGI Symbol;Acc:MGI:1277103] MSAPFEERSGVVPCGTPWGQWYQTLEEVFIEVQVPPGTRAQDIQCGLQSRHVALAVGGRE ILKGKLFDSTIADEGTWTLESEYAADPWVQDQMQRKLTLERFQKENPGFDFSGAEISGNY TKGGPDFSNLEK >ENSMUSP00000068895.8 pep:known chromosome:GRCm38:1:182467260:182517524:-1 gene:ENSMUSG00000026509.15 transcript:ENSMUST00000068505.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn2 description:calpain 2 [Source:MGI Symbol;Acc:MGI:88264] MAGIAIKLAKDREAAEGLGSHERAIKYLNQDYETLRNECLEAGALFQDPSFPALPSSLGY KELGPYSSKTRGIEWKRPTEICADPQFIIGGATRTDICQGALGDCWLLAAIASLTLNEEI LARVVPPDQSFQENYAGIFHFQFWQYGEWVEVVVDDRLPTKDGELLFVHSAEGSEFWSAL LEKAYAKINGCYEALSGGATTEGFEDFTGGIAEWYELRKPPPNLFKIIQKALEKGSLLGC SIDITSAADSEAVTYQKLVKGHAYSVTGAEEVESSGSLQKLIRIRNPWGQVEWTGKWNDN CPSWNTVDPEVRANLTERQEDGEFWMSFSDFLRHYSRLEICNLTPDTLTCDSYKKWKLTK MDGNWRRGSTAGGCRNYPNTFWMNPQYLIKLEEEDEDEEDGERGCTFLVGLIQKHRRRQR KMGEDMHTIGFGIYEVPEELTGQTNIHLGKNFFLTTRARERSDTFINLREVLNRFKLPPG EYVLVPSTFEPHKDGDFCIRVFSEKKADYQAVDDEIEANIEEIDANEEDIDDGFRRLFVQ LAGEDAEISAFELQTILRRVLAKRQDIKSDGFSIETCKIMVDMLDEDGSGKLGLKEFYIL WTKIQKYQKIYREIDVDRSGTMNSYEMRKALEEAGFKLPCQLHQVIVARFADDELIIDFD NFVRCLVRLETLFKIFKQLDPENTGTIQLNLASWLSFSVL >ENSMUSP00000063549.4 pep:known chromosome:GRCm38:X:136955265:136958025:-1 gene:ENSMUSG00000089996.5 transcript:ENSMUST00000069803.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb15b2 description:thymosin beta 15b2 [Source:MGI Symbol;Acc:MGI:3843061] MSDKPDLSEVETFDKAKLKKTNTEVKNTLPSKETIQQEKEHNERT >ENSMUSP00000108694.1 pep:known chromosome:GRCm38:X:136974022:136976874:-1 gene:ENSMUSG00000089768.2 transcript:ENSMUST00000113071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb15b1 description:thymosin beta 15b1 [Source:MGI Symbol;Acc:MGI:3843059] MSDKPDLSEVETFDKSKLKKTNTEVKNTLPSNETIQQEKEHNERT >ENSMUSP00000028222.6 pep:known chromosome:GRCm38:2:34771970:34777547:1 gene:ENSMUSG00000026864.13 transcript:ENSMUST00000028222.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa5 description:heat shock protein 5 [Source:MGI Symbol;Acc:MGI:95835] MMKFTVVAAALLLLGAVRAEEEDKKEDVGTVVGIDLGTTYSCVGVFKNGRVEIIANDQGN RITPSYVAFTPEGERLIGDAAKNQLTSNPENTVFDAKRLIGRTWNDPSVQQDIKFLPFKV VEKKTKPYIQVDIGGGQTKTFAPEEISAMVLTKMKETAEAYLGKKVTHAVVTVPAYFNDA QRQATKDAGTIAGLNVMRIINEPTAAAIAYGLDKREGEKNILVFDLGGGTFDVSLLTIDN GVFEVVATNGDTHLGGEDFDQRVMEHFIKLYKKKTGKDVRKDNRAVQKLRREVEKAKRAL SSQHQARIEIESFFEGEDFSETLTRAKFEELNMDLFRSTMKPVQKVLEDSDLKKSDIDEI VLVGGSTRIPKIQQLVKEFFNGKEPSRGINPDEAVAYGAAVQAGVLSGDQDTGDLVLLDV CPLTLGIETVGGVMTKLIPRNTVVPTKKSQIFSTASDNQPTVTIKVYEGERPLTKDNHLL GTFDLTGIPPAPRGVPQIEVTFEIDVNGILRVTAEDKGTGNKNKITITNDQNRLTPEEIE RMVNDAEKFAEEDKKLKERIDTRNELESYAYSLKNQIGDKEKLGGKLSSEDKETMEKAVE EKIEWLESHQDADIEDFKAKKKELEEIVQPIISKLYGSGGPPPTGEEDTSEKDEL >ENSMUSP00000097747.2 pep:known chromosome:GRCm38:2:34772095:34776531:1 gene:ENSMUSG00000026864.13 transcript:ENSMUST00000100171.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa5 description:heat shock protein 5 [Source:MGI Symbol;Acc:MGI:95835] MMKFTVVAAALLLLGAVRAEEEDKKEDVGTVVGIDLGTTYSCVGVFKNGRVEIIANDQGN RITPSYVAFTPEGERLIGDAAKNQLTSNPENTVFDAKRLIGRTWNDPSVQQDIKFLPFKV VEKKTKPYIQVDIGGGQTKTFAPEEISAMVLTKMKETAEAYLGKKVTHAVVTVPAYFNDA QRQATKDAGTIAGLNVMRIINEPTAAAIAYGLDKREGEKNILVFDLGGGTFDVSLLTIDN GVFEVVATNGDTHLGGEDFDQRVMEHFIKLYKKKTGKDVRKDNRAVQKLRREVEKAKRAL SSQHQARIEIESFFEGEDFSETLTRAKFEELNMDLFRSTMKPVQKVLEDSDLKKSDIDEI VLVGGSTRIPKIQQLVKEFFNGKEPSRGINPDEAVAYGAAVQAGVLSGDQDTGDLVLLDV CPLTLGIETVGGVMTKLIPRNTVVPTKKSQIFSTASDNQPTVTIKVYEGERPLTKDNHLL GTFDLTGIPPAPRGVPQIEVTFEIDVNGILRVTAEDKGTGNKNKITITNDQNRLTPEEIE RMVNDAEKFAEEDKKLKERIDTRNELESYAYSLKNQIGDKEKLGGKLSSEDKETMEKAVE EKIEWLESHQDADIEDFKAKKKELEEIVQPIISKLYGSGGPPPTGEEDTSEKDEL >ENSMUSP00000141966.1 pep:known chromosome:GRCm38:2:34772099:34773307:1 gene:ENSMUSG00000026864.13 transcript:ENSMUST00000137145.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hspa5 description:heat shock protein 5 [Source:MGI Symbol;Acc:MGI:95835] MMKFTVVAAALLLLGAVRAEEEDKKEDVGTVVGIDLGTTYSW >ENSMUSP00000038580.8 pep:known chromosome:GRCm38:9:106477269:106485424:1 gene:ENSMUSG00000041506.14 transcript:ENSMUST00000047721.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrp9 description:RRP9, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:2384313] MSTAVATRKRAKPAPGPGAAPVAGKRRRKVDSAADRGKSKGGGKMNEEISSDSESESLAP RKTEEEEEEELEETAQEKKLRLAKLYLEQLRQQEEEKAEARAFEEDQVAGRLKEDVLEQR GRLQKSVAKEIQAPAPTDIRVLRGHQLSITCLVITPDDLAIFSAAKDCTIIKWSVETGRK LHVIPRAKKGAQGQPAGHSSHVLCMAISSDGKYLASGDRSKLILIWEAQSCQHLYTFTGH RDAVSGLAFRKGTHQLYSTSHDRSVKVWNAAENSYVETLFGHQDAVAALDALSRECCVTA GGRDGTVRVWKIPEESQLVFYGHQGSIDCIHLINEEHMVSGADDGSVALWGLSKKRPLAL QREAHGLHGEPGLEQPFWVSSVAALLNTDLVATGSHNARVRLWQCGEGFRQLDPLCDIPL VGFINSLKFSSAGDFLVAGVGQEHRLGRWWRIKEARNSVCIIPLRRLPVSPVAGS >ENSMUSP00000140949.1 pep:known chromosome:GRCm38:9:106483854:106485422:1 gene:ENSMUSG00000041506.14 transcript:ENSMUST00000185336.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rrp9 description:RRP9, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:2384313] SPSLSSMATRVPLTVSISSTRSTWCRAQMMALWPCGASPRNGHSPSSAKLMGFTGSQAWS NPSGCHP >ENSMUSP00000042416.5 pep:known chromosome:GRCm38:1:119907890:119913208:-1 gene:ENSMUSG00000036975.5 transcript:ENSMUST00000037906.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem177 description:transmembrane protein 177 [Source:MGI Symbol;Acc:MGI:1913593] MAGPLWRAAAFIQRHRTSLLVGSCAGLFGVQISFHLFPDPIVQWLYQYWPQGQPAPLSPH LWSLFQEVLKDIGVPSGHCYKPFTAFTFQPVSAGFPRLPAGAVVGIPAIFLGGPVTNIEH SVIIHGQRVDWQSPAGTRLRDALTMSHNAQKFALAKEVVYLESGVAALQTLPAPACLAGT WAISVGAKHALGLYGGPMSLRTAFNLVAIVVGYVAYTFSKDSLTLALEGWLDRRTASLSA AYVQGGVEFYEKILSGNLALRSLLGRQGEKLYTPSGNIVPRHWFRINHLPYTTRRDSLQQ MWRATVSPGRF >ENSMUSP00000033458.2 pep:known chromosome:GRCm38:X:56136572:56153498:1 gene:ENSMUSG00000031125.2 transcript:ENSMUST00000033458.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3830403N18Rik description:RIKEN cDNA 3830403N18 gene [Source:MGI Symbol;Acc:MGI:1917941] MENWDLSSDEKQDWNAPELDLIEEQNPVTHDDENANPEEVVGDTRSPVQNILGKFEGDIN KTLHIKRKRMETYIKDSFKDSNQKLEQLWKTNKQERKKINNKFCEQYITTFQKFDMDVQK FNEEQEKSVNNYQKEEQALKLSKCSQSQTLEAIKDMHEKYMEGLMNLETNNYNVLFDVDG ELRKEMSVFKKDLMKHTLKYSSTFPSSD >ENSMUSP00000119102.1 pep:known chromosome:GRCm38:X:101097786:101222553:-1 gene:ENSMUSG00000046032.16 transcript:ENSMUST00000156473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx12 description:sorting nexin 12 [Source:MGI Symbol;Acc:MGI:1919331] MSDTAVADTRRLNSKPQDLTDAYGPPSNFLEIDIFNPQTVGVGRARFTTYEVRMRTNLPI FKLKESCVRRRYSDFEWLKNELERDSKIVVPPLPGKALKRQLPFRGDEGIFEESFIEERR QGLEQFINKIAGHPLAQNERCLHMFLQEEAIDRNYVPGKVLGLHWLLSMR >ENSMUSP00000113117.1 pep:known chromosome:GRCm38:X:101211959:101222549:-1 gene:ENSMUSG00000046032.16 transcript:ENSMUST00000120389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx12 description:sorting nexin 12 [Source:MGI Symbol;Acc:MGI:1919331] MSDTAVADTRRLNSKPQDLTDAYGPPSNFLEIDIFNPQTVGVGRARFTTYEVRMRTNLPI FKLKESCVRRRYSDFEWLKNELERDSKIVVPPLPGKALKRQLPFRGDEGIFEESFIEERR QGLEQFINKIAGHPLAQNERCLHMFLQEEAIDRNYVPGKVRQ >ENSMUSP00000077038.3 pep:known chromosome:GRCm38:X:101211959:101222563:-1 gene:ENSMUSG00000046032.16 transcript:ENSMUST00000077876.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx12 description:sorting nexin 12 [Source:MGI Symbol;Acc:MGI:1919331] MSDTAVADTRRLNSKPQDLTDAYGPPSNFLEIDIFNPQTVGVGRARFTTYEVRMRTNLPI FKLKESCVRRRYSDFEWLKNELERDSKIVVPPLPGKALKRQLPFRGDEGIFEESFIEERR QGLEQFINKIAGHPLAQNERCLHMFLQEEAIDRNYVPGKVLGEKDC >ENSMUSP00000034301.5 pep:known chromosome:GRCm38:8:70754711:70758635:1 gene:ENSMUSG00000031840.12 transcript:ENSMUST00000034301.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3a description:RAB3A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97843] MASATDSRYGQKESSDQNFDYMFKILIIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFK VKTIYRNDKRIKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWSTQI KTYSWDNAQVLLVGNKCDMEDERVVSSERGRQLADHLGFEFFEASAKDNINVKQTFERLV DVICEKMSESLDTADPAVTGAKQGPQLTDQQAPPHQDCAC >ENSMUSP00000105719.2 pep:known chromosome:GRCm38:8:70755510:70758635:1 gene:ENSMUSG00000031840.12 transcript:ENSMUST00000110092.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3a description:RAB3A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97843] MASATDSRYGQKESSDQNFDYMFKILIIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFK VKTIYRNDKRIKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWSTQI KTYSWDNAQVLLVGNKCDMEDERVVSSERGRQLADHLGFEFFEASAKDNINVKQTFERLV DVICEKMSESLDTADPAVTGAKQGPQLTDQQAPPHQDCAC >ENSMUSP00000105717.1 pep:known chromosome:GRCm38:8:70754724:70758635:1 gene:ENSMUSG00000031840.12 transcript:ENSMUST00000110090.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3a description:RAB3A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97843] MASATDSRYGQKESSDQNFDYMFKILIIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFK VKTIYRNDKRIKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWSTQI KTYSWDNAQVLLVGNKCDMEDERVVSSERGRQLADHLGFEFFEASAKDNINVKQTFERLV DVICEKMSESLDTADPAVTGAKQGPQLTDQQAPPHQDCAC >ENSMUSP00000105720.2 pep:known chromosome:GRCm38:8:70754679:70758677:1 gene:ENSMUSG00000031840.12 transcript:ENSMUST00000110093.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3a description:RAB3A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97843] MASATDSRYGQKESSDQNFDYMFKILIIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFK VKTIYRNDKRIKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWSTQI KTYSWDNAQVLLVGNKCDMEDERVVSSERGRQLADHLGFEFFEASAKDNINVKQTFERLV DVICEKMSESLDTADPAVTGAKQGPQLTDQQAPPHQDCAC >ENSMUSP00000123384.1 pep:known chromosome:GRCm38:8:70754703:70757262:1 gene:ENSMUSG00000031840.12 transcript:ENSMUST00000143118.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3a description:RAB3A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97843] MGFILMYDITNEESFNAVQDWSTQIKTYSWDNAQVLLVGNKCDMEDERVVSSERGRQLAD HL >ENSMUSP00000056720.3 pep:known chromosome:GRCm38:4:88522025:88522774:-1 gene:ENSMUSG00000048806.4 transcript:ENSMUST00000055671.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnb1 description:interferon beta 1, fibroblast [Source:MGI Symbol;Acc:MGI:107657] MNNRWILHAAFLLCFSTTALSINYKQLQLQERTNIRKCQELLEQLNGKINLTYRADFKIP MEMTEKMQKSYTAFAIQEMLQNVFLVFRNNFSSTGWNETIVVRLLDELHQQTVFLKTVLE EKQEERLTWEMSSTALHLKSYYWRVQRYLKLMKYNSYAWMVVRAEIFRNFLIIRRLTRNF QN >ENSMUSP00000058783.7 pep:known chromosome:GRCm38:11:115279622:115297514:-1 gene:ENSMUSG00000044788.10 transcript:ENSMUST00000056153.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fads6 description:fatty acid desaturase domain family, member 6 [Source:MGI Symbol;Acc:MGI:3039592] METVRSAPPGDGAAEALLKELERQVQDVVRASSWWERHGVDCAILALSLLALPAGFLCLR AHNILAFATGITILGVCHYTLTVKGSHLATHSALTESKRWSKILMIFFLEVCTAFSAEFA KFNHVNLHHVYTNVVGLGDSSTWKVPLLNRYVYMFLGPLLVPIITPLVALEHLRKEEPRT ALRTLGFICLGLYSQYWLFMNVSGFKNPSSALACMLLTRSLLAHPYLHVNIFQHIGLPMF SPDKKPRRIHMMTLGVLNLPRQLVLDWAFGHSLISCHVEHHLFPWLSDHMCLKVKPLVSK FLHEKQLPYNEDSYLARFQLFLSRYEEFMVHVPPITELVGVQ >ENSMUSP00000027217.8 pep:known chromosome:GRCm38:1:43730602:43742578:1 gene:ENSMUSG00000026051.8 transcript:ENSMUST00000027217.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1500015O10Rik description:RIKEN cDNA 1500015O10 gene [Source:MGI Symbol;Acc:MGI:1926146] MSTSSARPAVLALAGLALLLLLCLGPDGISGNKLKKMLQKREGPVPSKTNVAVAENTAKE FLGGLKRAKRQLWDRTRPEVQQWYQQFLYMGFDEAKFEDDVNYWLNRNRNGHDYYGDYYQ RHYDEDAAIGPHSRESFRHGASVNYNDY >ENSMUSP00000090061.5 pep:known chromosome:GRCm38:10:76449081:76461095:1 gene:ENSMUSG00000009114.17 transcript:ENSMUST00000092406.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610028H24Rik description:RIKEN cDNA 2610028H24 gene [Source:MGI Symbol;Acc:MGI:1924214] MTLDSSMADQMTRLRLQLLEQMLEQERDSMDRAGQQEKSDTALQSALRRRKDLLQRLWEQ QLMDEHSPAHAWRMHGRAAVPTLNPEVPPTDVFPAASPPLPRPPEPPRIIQHPVPQAPAT IIQQLPQQQPLIAQISPPQAFPTPRSGSIKEDMVEMMLMQNAQMHQILMQNMMLKALPPG SSGPRAATLQDQRWMHHGVPRAEKQKPPPVHHHHHYAPTTQLPAASTAGAPAGYAMWPPV VAATAFPHAASFLPTVSHLTEASTSHPSYP >ENSMUSP00000101055.1 pep:known chromosome:GRCm38:10:76450327:76461110:1 gene:ENSMUSG00000009114.17 transcript:ENSMUST00000105415.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610028H24Rik description:RIKEN cDNA 2610028H24 gene [Source:MGI Symbol;Acc:MGI:1924214] MLEQERDSMDRAGQQEKSDTALQSALRRRKDLLQRLWEQQLMDEHSPAHAWRMHGRAAVP TLNPEVPPTDVFPAASPPLPRPPEPPRIIQHPVPQAPATIIQQLPQQQPLIAQISPPQAF PTPRSGSIKEDMVEMMLMQNAQMHQILMQNMMLKALPPGSSGPRAATLQDQRWMHHGVPR AEKQKPPPVHHHHHYAPTTQLPAASTAGAPAGYAMWPPVVAATAFPHAASFLPTVSHLTE ASTSHPSYP >ENSMUSP00000116648.1 pep:known chromosome:GRCm38:11:70212752:70216413:1 gene:ENSMUSG00000040938.16 transcript:ENSMUST00000126388.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a11 description:solute carrier family 16 (monocarboxylic acid transporters), member 11 [Source:MGI Symbol;Acc:MGI:2663709] MTPKPAGPPDGGWGWVVAAAAFAVNGLSYGLLRSLGLALPDLAEHFERSAQDTAWVSALA LAVQQAASPVGSALSTRWGARPVVMVGGVLTSLGLVFSAFARSLLHLYLGLGLLAGSGWA LVFAPALGTLSRYFSRRRVLAVGLALTGNGASSLLLAPALQFLLDTFGWRGALLLLGAVT LHLTPCGALLRPLALSGDPLAPPRTPLAALGLGLFKRRAFSVFALGTALIGGGYFVPYVH LGPHALDQGMGGYGAALVVAVAAVGDACARLASGWLADQGWVPLPRLLVVFGSLTGLGVL AMGLVPTVGTEEGWGAPLLAAAGAYGLSAGSYAPLVFGVLPGLVGIGGVVQATGLVMMLM SLGGLLGPPLSGFLRDKTGDFSASFLVCSSFILSGSFIYMGLPRALPSCRPASPPATPPP ERGELLPVPQVSLLSAGGTGSIRDTTC >ENSMUSP00000114871.1 pep:known chromosome:GRCm38:11:70214080:70216413:1 gene:ENSMUSG00000040938.16 transcript:ENSMUST00000123716.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc16a11 description:solute carrier family 16 (monocarboxylic acid transporters), member 11 [Source:MGI Symbol;Acc:MGI:2663709] MTPKPAGPPDGGWGWVVAAAAFAVNGLSYGLLRSLGLALPDLAEHFERSAQDTAWAQWAA P >ENSMUSP00000091597.3 pep:known chromosome:GRCm38:11:70214115:70216414:1 gene:ENSMUSG00000040938.16 transcript:ENSMUST00000094055.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a11 description:solute carrier family 16 (monocarboxylic acid transporters), member 11 [Source:MGI Symbol;Acc:MGI:2663709] MTPKPAGPPDGGWGWVVAAAAFAVNGLSYGLLRSLGLALPDLAEHFERSAQDTAWVSALA LAVQQAASPVGSALSTRWGARPVVMVGGVLTSLGLVFSAFARSLLHLYLGLGLLAGSGWA LVFAPALGTLSRYFSRRRVLAVGLALTGNGASSLLLAPALQFLLDTFGWRGALLLLGAVT LHLTPCGALLRPLALSGDPLAPPRTPLAALGLGLFKRRAFSVFALGTALIGGGYFVPYVH LGPHALDQGMGGYGAALVVAVAAVGDACARLASGWLADQGWVPLPRLLVVFGSLTGLGVL AMGLVPTVGTEEGWGAPLLAAAGAYGLSAGSYAPLVFGVLPGLVGIGGVVQATGLVMMLM SLGGLLGPPLSGFLRDKTGDFSASFLVCSSFILSGSFIYMGLPRALPSCRPASPPATPPP ERGELLPVPQVSLLSAGGTGSIRDTTC >ENSMUSP00000118381.1 pep:known chromosome:GRCm38:11:70214119:70215532:1 gene:ENSMUSG00000040938.16 transcript:ENSMUST00000126296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a11 description:solute carrier family 16 (monocarboxylic acid transporters), member 11 [Source:MGI Symbol;Acc:MGI:2663709] MTPKPAGPPDGGWGWVVAAAAFAVNGLSYGLLRSLGLALPDLAEHFERSAQDTAWVSALA LAVQQAASPVGSALSTRWGARPVVMVGGVLTSLGLVFSAFARSLLHLYLGLGLLAGSGWA LVFAPALGTLSRYFSRRRVLAVGLALTGNGASSLLLAPALQFLLDTFGWRGALLLLGAVT LHLTPCGALLRPLALSGD >ENSMUSP00000115212.1 pep:known chromosome:GRCm38:11:70214119:70216417:1 gene:ENSMUSG00000040938.16 transcript:ENSMUST00000136328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a11 description:solute carrier family 16 (monocarboxylic acid transporters), member 11 [Source:MGI Symbol;Acc:MGI:2663709] MTPKPAGPPDGGWGWVVAAAAFAVNGLSYGLLRSLGLALPDLAEHFERSAQDTAWVSALA LAVQQAASSGWALVFAPALGTLSRYFSRRRVLAVGLALTGNGASSLLLAPALQFLLDTFG WRGALLLLGAVTLHLTPCGALLRPLALSGDPLAPPRTPLAALGLGLFKRRAFSVFALGTA LIGGGYFVPYVHLGPHALDQGMGGYGAALVVAVAAVGDACARLASGWLADQGWVPLPRLL VVFGSLTGLGVLAMGLVPTVGTEEGWGAPLLAAAGAYGLSAGSYAPLVFGVLPGLVGIGG VVQATGLVMMLMSLGGLLGPPLSGFLRDKTGDFSASFLVCSSFILSGSFIYMGLPRALPS CRPASPPATPPPERGELLPVPQVSLLSAGGTGSIRDTTC >ENSMUSP00000122586.2 pep:known chromosome:GRCm38:11:70214121:70214987:1 gene:ENSMUSG00000040938.16 transcript:ENSMUST00000153993.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a11 description:solute carrier family 16 (monocarboxylic acid transporters), member 11 [Source:MGI Symbol;Acc:MGI:2663709] MTPKPAGPPDGGWGWVVAAAAFAVNGLSYGLLRSLGLALPDLAEHFERSAQDTAWVSALA LAVQQAASPVGSALSTRW >ENSMUSP00000128419.1 pep:known chromosome:GRCm38:11:70213910:70216412:1 gene:ENSMUSG00000040938.16 transcript:ENSMUST00000171032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a11 description:solute carrier family 16 (monocarboxylic acid transporters), member 11 [Source:MGI Symbol;Acc:MGI:2663709] MTPKPAGPPDGGWGWVVAAAAFAVNGLSYGLLRSLGLALPDLAEHFERSAQDTAWVSALA LAVQQAASPVGSALSTRWGARPVVMVGGVLTSLGLVFSAFARSLLHLYLGLGLLAGSGWA LVFAPALGTLSRYFSRRRVLAVGLALTGNGASSLLLAPALQFLLDTFGWRGALLLLGAVT LHLTPCGALLRPLALSGDPLAPPRTPLAALGLGLFKRRAFSVFALGTALIGGGYFVPYVH LGPHALDQGMGGYGAALVVAVAAVGDACARLASGWLADQGWVPLPRLLVVFGSLTGLGVL AMGLVPTVGTEEGWGAPLLAAAGAYGLSAGSYAPLVFGVLPGLVGIGGVVQATGLVMMLM SLGGLLGPPLSGFLRDKTGDFSASFLVCSSFILSGSFIYMGLPRALPSCRPASPPATPPP ERGELLPVPQVSLLSAGGTGSIRDTTC >ENSMUSP00000045910.6 pep:known chromosome:GRCm38:7:128611360:128696425:1 gene:ENSMUSG00000042105.18 transcript:ENSMUST00000043138.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5f description:inositol polyphosphate-5-phosphatase F [Source:MGI Symbol;Acc:MGI:2141867] MELFQAKDHYILQQGERALWCSRRDGGLQLRPATDLLLAWNPICLGLVEGVIGKIQLHSD LPWWLILIRQKALVGKLPGDHEVCKVTKIAVLSLSEMEPQELELELCKKHHFGINKPEKI IPSPDDSKFLLKTFTNIKSNVSAPNKKKVKESKEKEKLERRLLEELLKMFMDSESFYYSL TYDLTNSVQRQSTGERDGRPLWQKVDDRFFWNKYMIQALTEIGTPDVDFWIIPIIQGFVQ IEELVVNYNESSDDDKSSPETPPQDSTCVDDIHPRFLVALISRRSRHRAGMRYKRRGVDK NGNVANYVETEQLIHVHHHTLSFIQTRGSVPVFWSQVGYRYNPRPRLDKSEKETVDCFCA HFEEQLKIYKKQVIVNLVDQAGREKIIGDAYLKQVLLFNNPKLTYVSFDFHEHCRGMKFE NVQTLTDAIHDIIIDMKWCWVDQAGVICKQEGIFRVNCMDCLDRTNVVQAAIARVVMEQQ LKKLGVMPPEQPLPVKCNRTYQIMWANNGDSISRQYAGTAALKGDFTRTGERKLAGVMKD GVNSANRYYLSRFKDAYRQAVIDLMQGVPVTEDLYSIFTKEKEHEALHKESQRSHQELIS QLLQSYMQLLLPGDEKFHGGWALVDCDPSLTDAAHRDVEVLLLLSNAAYYVAYYDDEVDK VNQYQRLGLEDLERIEIGPEPTLFGKPKFSCMRLHYRCKEAGGYFHTLRAVPRSPEEDGK DTLQCIAEMLQITKQAMGLDVPIIEKKLERKSSKPHEDIIGIRSQNQGSLAQGKSFLMSK FSSLNQKVKQTKSNVNIGNLRKLGNFTKPEMKVNFLKPNLKVNLWKSDSSLETMENPGVM GNKVQGESDGDISSDNDSYHSDEFLTNSKSEEDKQLANSLESVGPIDYILPSCGIIVSAP RLGSRSQSASSIDVSTHAPSEAAAGPGSELGKGLESPLKKSPSADSIHTRTGFTKPMDVY CQRFVQDAQNKMNDLSEIRSVAQKSEEGSHKTNRVSNEETQSEPMGQTPPRPSQLNVSCS VAGPPFLSVEPVHSVLSQKTPSSGSSLLELEAGLCVTPSSESSSSRAVSPFAKIRSSMVQ VANITQAGLTHGINLAVAKVQKSPAEPEAVNEIQQNELKNMFTQCQTRIIQI >ENSMUSP00000146197.1 pep:known chromosome:GRCm38:7:128687546:128696359:1 gene:ENSMUSG00000042105.18 transcript:ENSMUST00000151237.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5f description:inositol polyphosphate-5-phosphatase F [Source:MGI Symbol;Acc:MGI:2141867] MRLHYRCKEAGGYFHTLRAVPRSPEEDGKDTLQCIAEMLQITKQAMGLDVPIIEKKLERK SSKPHEDIIGIRSQNQGSLAQGKSFLMSKFSSLNQKVKQTKSNVNIGNLRKLGNFTKPEM KVNFLKPNLKVNLWKSDSSLETMENPGVMGNKVQGESDGDISSDNDSYHSDEFLTNSKSE EDKQLANSLESVGPIDYILPSCGIIVSAPRLGSRSQSASSIDVSTHAPSEAAAGPGSELG KGLESPLKKSPSADSIHTRTGFTKPMDVYCQRFVQDAQNKMNDLSEIRSVAQKSEEGSHK TNRVSNEETQSEPMGQTPPRPSQLNVSCSVAGPPFLSVEPVHSVLSQKTPSSGSSLLELE AGLCVTPSSESSSSRAVSPFAKIRSSMVQVANITQAGLTHGINLAVAKVQKSPAEPEAVN EIQQNELKNMFTQCQTRIIQI >ENSMUSP00000143952.1 pep:known chromosome:GRCm38:7:128688489:128693651:1 gene:ENSMUSG00000042105.18 transcript:ENSMUST00000202419.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Inpp5f description:inositol polyphosphate-5-phosphatase F [Source:MGI Symbol;Acc:MGI:2141867] MPRSHRQPPPAPRAPAANAAEPKSDGVLAMTFKIFLLFAGLMVKVPVGLYFSCKLLLFQS LMLMSPEDSAFYATIVSVVGLHVQQFSECTSLTLRTEMWKCCCCCLTLPTMWPIMMTKLI K >ENSMUSP00000113700.1 pep:known chromosome:GRCm38:7:128688489:128696274:1 gene:ENSMUSG00000042105.18 transcript:ENSMUST00000118605.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5f description:inositol polyphosphate-5-phosphatase F [Source:MGI Symbol;Acc:MGI:2141867] MHLTDAAHRDVEVLLLLSNAAYYVAYYDDEVDKVNQYQRLGLEDLERIEIGPEPTLFGKP KFSCMRLHYRCKEAGGYFHTLRAVPRSPEEDGKDTLQCIAEMLQITKQAMGLDVPIIEKK LERKSSKPHEDIIGIRSQNQGSLAQGKSFLMSKFSSLNQKVKQTKSNVNIGNLRKLGNFT KPEMKVNFLKPNLKVNLWKSDSSLETMENPGVMGNKVQGESDGDISSDNDSYHSDEFLTN SKSEEDKQLANSLESVGPIDYILPSCGIIVSAPRLGSRSQSASSIDVSTHAPSEAAAGPG SELGKGLESPLKKSPSADSIHTRTGFTKPMDVYCQRFVQDAQNKMNDLSEIRSVAQKSEE GSHKTNRVSNEETQSEPMGQTPPRPSQLNVSCSVAGPPFLSVEPVHSVLSQKTPSSGSSL LELEAGLCVTPSSESSSSRAVSPFAKIRSSMVQVANITQAGLTHGINLAVAKVQKSPAEP EAVNEIQQNELKNMFTQCQTRIIQI >ENSMUSP00000031318.4 pep:known chromosome:GRCm38:5:90759378:90761624:1 gene:ENSMUSG00000029371.7 transcript:ENSMUST00000031318.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl5 description:chemokine (C-X-C motif) ligand 5 [Source:MGI Symbol;Acc:MGI:1096868] MSLQLRSSARIPSGSISPFMRMAPLAFLLLFTLPQHLAEAAPSSVIAATELRCVCLTVTP KINPKLIANLEVIPAGPQCPTVEVIAKLKNQKEVCLDPEAPVIKKIIQKILGSDKKKAKR NALAVERTASVQ >ENSMUSP00000099872.1 pep:known chromosome:GRCm38:4:88571229:88571798:-1 gene:ENSMUSG00000095896.1 transcript:ENSMUST00000102808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna14 description:interferon alpha 14 [Source:MGI Symbol;Acc:MGI:3641425] MARLCAFLMTLLVMSYWSTCCLGCDLPQTHNLRNKRALTLLVKMRRLSPLSCLKDRKDFG FPQEKVDAQQIKKAQAIPVLSELTQQILTLFTSKDSSAAWDATLLDSFCNDLNTQLNDLQ GCLMQQVEIQAPPLTQEDSLLAVRKYFHRITVYLREKKHSPCAWEVVRAEIWRALSSSAK LLTSLKEEK >ENSMUSP00000099871.1 pep:known chromosome:GRCm38:4:88591813:88592385:-1 gene:ENSMUSG00000095270.1 transcript:ENSMUST00000102807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna9 description:interferon alpha 9 [Source:MGI Symbol;Acc:MGI:107659] MARPFAFLMVLVVISYWSTCSLGCDLPQTHNLRNKKILTLLAQMRRLSPLSCLKDRKDFG FPQEKVDAQQIQEAQAIPVLSELTQQILTLFTSKDSSAAWNATLLDSFCTGLHQLLNDLQ GCLMQLVGMKELPLTQEDSQLAMKKYFHRITVYLREKKHSPCAWEVVRAEVWRALSSSVN LLARLSEEKE >ENSMUSP00000113182.1 pep:known chromosome:GRCm38:18:67665511:67724573:-1 gene:ENSMUSG00000024539.17 transcript:ENSMUST00000120934.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn2 description:protein tyrosine phosphatase, non-receptor type 2 [Source:MGI Symbol;Acc:MGI:97806] MSATIEREFEELDAQCRWQPLYLEIRNESHDYPHRVAKFPENRNRNRYRDVSPYDHSRVK LQSTENDYINASLVDIEEAQRSYILTQGPLPNTCCHFWLMVWQQKTKAVVMLNRTVEKES VKCAQYWPTDDREMVFKETGFSVKLLSEDVKSYYTVHLLQLENINTGETRTISHFHYTTW PDFGVPESPASFLNFLFKVRESGCLTPDHGPAVIHCSAGIGRSGTFSLVDTCLVLMEKGE DVNVKQLLLNMRKYRMGLIQTPDQLRFSYMAIIEGAKYTKGDSNIQNRVMVEKYNGKRIG SEDEKLTGLPSKVQDTVEESSESILRKRIREDRKATTAQKVQQMKQRLNETERKRKRPRL TDT >ENSMUSP00000025420.7 pep:known chromosome:GRCm38:18:67665512:67724588:-1 gene:ENSMUSG00000024539.17 transcript:ENSMUST00000025420.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn2 description:protein tyrosine phosphatase, non-receptor type 2 [Source:MGI Symbol;Acc:MGI:97806] MSATIEREFEELDAQCRWQPLYLEIRNESHDYPHRVAKFPENRNRNRYRDVSPYDHSRVK LQSTENDYINASLVDIEEAQRSYILTQGPLPNTCCHFWLMVWQQKTKAVVMLNRTVEKES VKCAQYWPTDDREMVFKETGFSVKLLSEDVKSYYTVHLLQLENINTGETRTISHFHYTTW PDFGVPESPASFLNFLFKVRESGCLTPDHGPAVIHCSAGIGRSGTFSLVDTCLVLMEKGE DVNVKQLLLNMRKYRMGLIQTPDQLRFSYMAIIEGAKYTKGDSNIQKRWKELSKEDLSPI CDHSQNRVMVEKYNGKRIGSEDEKLTGLPSKVQDTVEESSESILRKRIREDRKATTAQKV QQMKQRLNETERKRKRPRLTDT >ENSMUSP00000112675.1 pep:known chromosome:GRCm38:18:67671212:67724595:-1 gene:ENSMUSG00000024539.17 transcript:ENSMUST00000122412.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn2 description:protein tyrosine phosphatase, non-receptor type 2 [Source:MGI Symbol;Acc:MGI:97806] MSATIEREFEELDAQCRWQPLYLEIRNESHDYPHRVAKFPENRNRNRYRDVSPYDHSRVK LQSTENDYINASLVDIEEAQRSYILTQGPLPNTCCHFWLMVWQQKTKAVVMLNRTVEKES VKCAQYWPTDDREMVFKETGFSVKLLSEDVKSYYTVHLLQLENINTGETRTISHFHYTTW PDFGVPESPASFLNFLFKVRESGCLTPDHGPAVIHCSAGIGRSGTFSLVDTCLVLMEKGE DVNVKQLLLNMRKYRMGLIQTPDQLRFSYMAIIEGAKYTKGDSNIQKRWKELSKEDLSPI CDHSQNRVMVEKYNGKRIGSEDEKLTGLPSKVQDTVEESSESILRKRIREDRKATTAQKV QQMKQRLNETERKRKRWLYWQPILTKMGFVSVILVGALVGWTLLFH >ENSMUSP00000108616.2 pep:known chromosome:GRCm38:2:35622160:35730992:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000112992.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] MSAGGNARKSTGRPSYYYRLLRRPRLQRQRSRSRSRTRPARESPQERPGSRRSLPGSMSE KNPSMEPSASTPFRVTGFLSRRLKGSIKRTKSQPKLDRNHSFRHILPGFRSAAAAAADNE RSHLMPRLKESRSHESLLSPSSAVEALDLSMEEEVIIKPVHSSILGQDYCFEVTTSSGSK CFSCRSAAERDKWMENLRRAVHPNKDNSRRVEHILKLWVIEAKDLPAKKKYLCELCLDDV LYARTTSKLKTDNVFWGEHFEFHNLPPLRTVTVHLYRETDKKKKKERNSYLGLVSLPAAS VAGRQFVEKWYPVVTPNPKGGKGPGPMIRIKARYQTVSILPMEMYKEFAEHITNHYLGLC AALEPILSAKTKEEMASALVHILQSTGKVKDFLTDLMMSEVDRCGDNEHLIFRENTLATK AIEEYLKLVGQKYLQDALGEFIKALYESDENCEVDPSKCSSADLPEHQGNLKMCCELAFC KIINSYCVFPRELKEVFASWRQECSSRGRPDISERLISASLFLRFLCPAIMSPSLFNLLQ EYPDDRTARTLTLIAKVTQNLANFAKFGSKEEYMSFMNQFLEHEWTNMQRFLLEISNPET LSNTAGFEGYIDLGRELSSLHSLLWEAVSQLDQSVVSKLGPLPRILRDVHTALSTPGSGQ LPGTNDLASTPGSGSSSVSAGLQKMVIENDLSGLIDFTRLPSPTPENKDLFFVTRSSGVQ PSPARSSSYSEANEPDLQMANGSKSLSMVDLQDARTLDGEAGSPVGPDALPADGQVPATQ LLAGWPARAAPVSLAGLATVRRAVPTPTTPGTSEGAPGRPQLLAPLSFQNPVYQMAAGLP LSPRGLGDSGSEGHSSLSSHSNSEELAAAAKLGSFSTAAEELARRPGELARRQMSLTEKG GQPTVPRQNSAGPQRRIDQPPPPPPPPPPAPRGRTPPTLLSTLQYPRPSSGTLASASPDW AGPGTRLRQQSSSSKGDSPELKPRAMHKQDLAVLQDKLRISTKKLEEYETLFKCQEETTQ KLVLEYQARLEEGEERLRRQQEDKDIQMKGIISRLMSVEEELKKDHAEMQAAVDSKQKII DAQEKRIASLDAANARLMSALTQLKERYSMQARNGVSPTNPTKLQITENGEFRNSSNC >ENSMUSP00000121506.1 pep:known chromosome:GRCm38:2:35710327:35730994:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000156669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] XASALVHILQSTGKVKDFLTDLMMSEVDRCGDNEHLIFRENTLATKAIEEYLKLVGQKYL QDALGEFIKALYESDENCEVDPSKCSSADLPEHQGNLKMCCELAFCKIINSYCVFPRELK EVFASWRQECSSRGRPDISERLISASLFLRFLCPAIMSPSLFNLLQEYPDDRTARTLTLI AKVTQNLANFAKFGSKEEYMSFMNQFLEHEWTNMQRFLLEISNPETLSNTAGFEGYIDLG RELSSLHSLLWEAVSQLDQSVVSKLGPLPRILRDVHTALSTPGSGQLPGTNDLASTPGSG SSSVSAGLQKMVIENDLSGSSGVQPSPARSSSYSEANEPDLQMANGSKSLSMVDLQDART LDGEAGSPVGPDALPADGQVPATQLLAGWPARAAPVSLAGLATVRRAVPTPTTPGTSEGA PGRPQLLAPLSFQNPVYQMAAGLPLSPRGLGDSGSEGHSSLSSHSNSEELAAAAKLGSFS TAAEELARRPGELARRQMSLTEKGGQPTVPRQNSAGPQRRIDQPPPPPPPPPPAPRGRTP PTLLSTLQYPRPSSGTLASASPDWAGPGTRLRQQSSSSKGDSPELKPRAMHKQDLAVLQD KLRISTKKLEEYETLFKCQEETTQKLVLEYQARLEEGEERLRRQQEDKDIQMKGIISRLM SVEEELKKDHAEMQAAVDSKQKIIDAQEKRIASLDAANARLMSALTQLKESMH >ENSMUSP00000108607.1 pep:known chromosome:GRCm38:2:35696732:35730992:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000112983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] MPRLKESRSHESLLSPSSAVEALDLSMEEEVIIKPVHSSILGQDYCFEVTTSSGSKCFSC RSAAERDKWMENLRRAVHPNKDNSRRVEHILKLWVIEAKDLPAKKKYLCELCLDDVLYAR TTSKLKTDNVFWGEHFEFHNLPPLRTVTVHLYRETDKKKKKERNSYLGLVSLPAASVAGR QFVEKWYPVVTPNPKGGKGPGPMIRIKARYQTVSILPMEMYKEFAEHITNHYLGLCAALE PILSAKTKEEMASALVHILQSTGKVKDFLTDLMMSEVDRCGDNEHLIFRENTLATKAIEE YLKLVGQKYLQDALGEFIKALYESDENCEVDPSKCSSADLPEHQGNLKMCCELAFCKIIN SYCVFPRELKEVFASWRQECSSRGRPDISERLISASLFLRFLCPAIMSPSLFNLLQEYPD DRTARTLTLIAKVTQNLANFAKFGSKEEYMSFMNQFLEHEWTNMQRFLLEISNPETLSNT AGFEGYIDLGRELSSLHSLLWEAVSQLDQSVVSKLGPLPRILRDVHTALSTPGSGQLPGT NDLASTPGSGSSSVSAGLQKMVIENDLSGLIDFTRLPSPTPENKDLFFVTRSSGVQPSPA RSSSYSEANEPDLQMANGSKSLSMVDLQDARTLDGEAGSPVGPDALPADGQVPATQLLAG WPARAAPVSLAGLATVRRAVPTPTTPGTSEGAPGRPQLLAPLSFQNPVYQMAAGLPLSPR GLGDSGSEGHSSLSSHSNSEELAAAAKLGSFSTAAEELARRPGELARRQMSLTEKGGQPT VPRQNSAGPQRRIDQPPPPPPPPPPAPRGRTPPTLLSTLQYPRPSSGTLASASPDWAGPG TRLRQQSSSSKGDSPELKPRAMHKQGPSPVSPNALDRTAAWLLTMNAQLLEDEGLGPDPP HRDRLRSKEELSQAEKDLAVLQDKLRISTKKLEEYETLFKCQEETTQKLVLEYQARLEEG EERLRRQQEDKDIQMKGIISRLMSVEEELKKDHAEMQAAVDSKQKIIDAQEKRIASLDAA NARLMSALTQLKERYSMQARNGVSPTNPTKLQITENGEFRNSSNC >ENSMUSP00000108611.1 pep:known chromosome:GRCm38:2:35581060:35730992:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000112987.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] MSEKNPSMEPSASTPFRVTGFLSRRLKGSIKRTKSQPKLDRNHSFRHILPGFRSAAAAAA DNERSHLMPRLKESRSHESLLSPSSAVEALDLSMEEEVIIKPVHSSILGQDYCFEVTTSS GSKCFSCRSAAERDKWMENLRRAVHPNKDNSRRVEHILKLWVIEAKDLPAKKKYLCELCL DDVLYARTTSKLKTDNVFWGEHFEFHNLPPLRTVTVHLYRETDKKKKKERNSYLGLVSLP AASVAGRQFVEKWYPVVTPNPKGGKGPGPMIRIKARYQTVSILPMEMYKEFAEHITNHYL GLCAALEPILSAKTKEEMASALVHILQSTGKVKDFLTDLMMSEVDRCGDNEHLIFRENTL ATKAIEEYLKLVGQKYLQDALGEFIKALYESDENCEVDPSKCSSADLPEHQGNLKMCCEL AFCKIINSYCVFPRELKEVFASWRQECSSRGRPDISERLISASLFLRFLCPAIMSPSLFN LLQEYPDDRTARTLTLIAKVTQNLANFAKFGSKEEYMSFMNQFLEHEWTNMQRFLLEISN PETLSNTAGFEGYIDLGRELSSLHSLLWEAVSQLDQSVVSKLGPLPRILRDVHTALSTPG SGQLPGTNDLASTPGSGSSSVSAGLQKMVIENDLSGLIDFTRLPSPTPENKDLFFVTRSS GVQPSPARSSSYSEANEPDLQMANGSKSLSMVDLQDARTLDGEAGSPVGPDALPADGQVP ATQLLAGWPARAAPVSLAGLATVRRAVPTPTTPGTSEGAPGRPQLLAPLSFQNPVYQMAA GLPLSPRGLGDSGSEGHSSLSSHSNSEELAAAAKLGSFSTAAEELARRPGELARRQMSLT EKGGQPTVPRQNSAGPQRRIDQPPPPPPPPPPAPRGRTPPTLLSTLQYPRPSSGTLASAS PDWAGPGTRLRQQSSSSKGDSPELKPRAMHKQGPSPVSPNALDRTAAWLLTMNAQLLEDE GLGPDPPHRDRLRSKEELSQAEKDLAVLQDKLRISTKKLEEYETLFKCQEETTQKLVLEY QARLEEGEERLRRQQEDKDIQMKGIISRLMSVEEELKKDHAEMQAAVDSKQKIIDAQEKR IASLDAANARLMSALTQLKERYSMQARNGVSPTNPTKLQITENGEFRNSSNC >ENSMUSP00000108610.2 pep:known chromosome:GRCm38:2:35558266:35730994:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000112986.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] MEPDSLLDPGDSYESPQERPGSRRSLPGSMSEKNPSMEPSASTPFRVTGFLSRRLKGSIK RTKSQPKLDRNHSFRHILPGFRSAAAAAADNERSHLMPRLKESRSHESLLSPSSAVEALD LSMEEEVIIKPVHSSILGQDYCFEVTTSSGSKCFSCRSAAERDKWMENLRRAVHPNKDNS RRVEHILKLWVIEAKDLPAKKKYLCELCLDDVLYARTTSKLKTDNVFWGEHFEFHNLPPL RTVTVHLYRETDKKKKKERNSYLGLVSLPAASVAGRQFVEKWYPVVTPNPKGGKGPGPMI RIKARYQTVSILPMEMYKEFAEHITNHYLGLCAALEPILSAKTKEEMASALVHILQSTGK VKDFLTDLMMSEVDRCGDNEHLIFRENTLATKAIEEYLKLVGQKYLQDALGEFIKALYES DENCEVDPSKCSSADLPEHQGNLKMCCELAFCKIINSYCVFPRELKEVFASWRQECSSRG RPDISERLISASLFLRFLCPAIMSPSLFNLLQEYPDDRTARTLTLIAKVTQNLANFAKFG SKEEYMSFMNQFLEHEWTNMQRFLLEISNPETLSNTAGFEGYIDLGRELSSLHSLLWEAV SQLDQSVVSKLGPLPRILRDVHTALSTPGSGQLPGTNDLASTPGSGSSSVSAGLQKMVIE NDLSGLIDFTRLPSPTPENKDLFFVTRSSGVQPSPARSSSYSEANEPDLQMANGSKSLSM VDLQDARTLDGEAGSPVGPDALPADGQVPATQLLAGWPARAAPVSLAGLATVRRAVPTPT TPGTSEGAPGRPQLLAPLSFQNPVYQMAAGLPLSPRGLGDSGSEGHSSLSSHSNSEELAA AAKLGSFSTAAEELARRPGELARRQMSLTEKGGQPTVPRQNSAGPQRRIDQPPPPPPPPP PAPRGRTPPTLLSTLQYPRPSSGTLASASPDWAGPGTRLRQQSSSSKGDSPELKPRAMHK QGPSPVSPNALDRTAAWLLTMNAQLLEDEGLGPDPPHRDRLRSKEELSQAEKDLAVLQDK LRISTKKLEEYETLFKCQEETTQKLVLEYQARLEEGEERLRRQQEDKDIQMKGIISRLMS VEEELKKDHAEMQAAVDSKQKIIDAQEKRIASLDAANARLMSALTQLKESMH >ENSMUSP00000068832.5 pep:known chromosome:GRCm38:2:35691994:35730992:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000065001.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] MGLCGLGLLGDNLGKILCWSGGATLWRPSHPRKPHLAAGPQMPRSAERPTLLSLPRSHLM PRLKESRSHESLLSPSSAVEALDLSMEEEVIIKPVHSSILGQDYCFEVTTSSGSKCFSCR SAAERDKWMENLRRAVHPNKDNSRRVEHILKLWVIEAKDLPAKKKYLCELCLDDVLYART TSKLKTDNVFWGEHFEFHNLPPLRTVTVHLYRETDKKKKKERNSYLGLVSLPAASVAGRQ FVEKWYPVVTPNPKGGKGPGPMIRIKARYQTVSILPMEMYKEFAEHITNHYLGLCAALEP ILSAKTKEEMASALVHILQSTGKVKDFLTDLMMSEVDRCGDNEHLIFRENTLATKAIEEY LKLVGQKYLQDALGEFIKALYESDENCEVDPSKCSSADLPEHQGNLKMCCELAFCKIINS YCVFPRELKEVFASWRQECSSRGRPDISERLISASLFLRFLCPAIMSPSLFNLLQEYPDD RTARTLTLIAKVTQNLANFAKFGSKEEYMSFMNQFLEHEWTNMQRFLLEISNPETLSNTA GFEGYIDLGRELSSLHSLLWEAVSQLDQSVVSKLGPLPRILRDVHTALSTPGSGQLPGTN DLASTPGSGSSSVSAGLQKMVIENDLSGLIDFTRLPSPTPENKDLFFVTRSSGVQPSPAR SSSYSEANEPDLQMANGSKSLSMVDLQDARTLDGEAGSPVGPDALPADGQVPATQLLAGW PARAAPVSLAGLATVRRAVPTPTTPGTSEGAPGRPQLLAPLSFQNPVYQMAAGLPLSPRG LGDSGSEGHSSLSSHSNSEELAAAAKLGSFSTAAEELARRPGELARRQMSLTEKGGQPTV PRQNSAGPQRRIDQPPPPPPPPPPAPRGRTPPTLLSTLQYPRPSSGTLASASPDWAGPGT RLRQQSSSSKGDSPELKPRAMHKQGPSPVSPNALDRTAAWLLTMNAQLLEDEGLGPDPPH RDRLRSKEELSQAEKDLAVLQDKLRISTKKLEEYETLFKCQEETTQKLVLEYQARLEEGE ERLRRQQEDKDIQMKGIISRLMSVEEELKKDHAEMQAAVDSKQKIIDAQEKRIASLDAAN ARLMSALTQLKERYSMQARNGVSPTNPTKLQITENGEFRNSSNC >ENSMUSP00000108605.2 pep:known chromosome:GRCm38:2:35661541:35730994:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000112981.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] XPKLDRNHSFRHILPGFRSAAAAAADNERSHLMPRLKESRSHESLLSPSSAVEALDLSME EEVIIKPVHSSILGQDYCFECLDVGLPSPVEPAFCWE >ENSMUSP00000088532.5 pep:known chromosome:GRCm38:2:35622037:35730987:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000091010.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] MSAGGNARKSTGRPSYYYRLLRRPRLQRQRSRSRSRTRPARESPQERPGSRRSLPGSMSE KNPSMEPSASTPFRVTGFLSRRLKGSIKRTKSQPKLDRNHSFRHILPGFRSAAAAAADNE RSHLMPRLKESRSHESLLSPSSAVEALDLSMEEEVIIKPVHSSILGQDYCFEVTTSSGSK CFSCRSAAERDKWMENLRRAVHPNKDNSRRVEHILKLWVIEAKDLPAKKKYLCELCLDDV LYARTTSKLKTDNVFWGEHFEFHNLPPLRTVTVHLYRETDKKKKKERNSYLGLVSLPAAS VAGRQFVEKWYPVVTPNPKGGKGPGPMIRIKARYQTVSILPMEMYKEFAEHITNHYLGLC AALEPILSAKTKEEMASALVHILQSTGKVKDFLTDLMMSEVDRCGDNEHLIFRENTLATK AIEEYLKLVGQKYLQDALGEFIKALYESDENCEVDPSKCSSADLPEHQGNLKMCCELAFC KIINSYCVFPRELKEVFASWRQECSSRGRPDISERLISASLFLRFLCPAIMSPSLFNLLQ EYPDDRTARTLTLIAKVTQNLANFAKFGSKEEYMSFMNQFLEHEWTNMQRFLLEISNPET LSNTAGFEGYIDLGRELSSLHSLLWEAVSQLDQSVVSKLGPLPRILRDVHTALSTPGSGQ LPGTNDLASTPGSGSSSVSAGLQKMVIENDLSGLIDFTRLPSPTPENKDLFFVTRSSGVQ PSPARSSSYSEANEPDLQMANGSKSLSMVDLQDARTLDGEAGSPVGPDALPADGQVPATQ LLAGWPARAAPVSLAGLATVRRAVPTPTTPGTSEGAPGRPQLLAPLSFQNPVYQMAAGLP LSPRGLGDSGSEGHSSLSSHSNSEELAAAAKLGSFSTAAEELARRPGELARRQMSLTEKG GQPTVPRQNSAGPQRRIDQPPPPPPPPPPAPRGRTPPTLLSTLQYPRPSSGTLASASPDW AGPGTRLRQQSSSSKGDSPELKPRAMHKQGPSPVSPNALDRTAAWLLTMNAQLLEDEGLG PDPPHRDRLRSKEELSQAEKDLAVLQDKLRISTKKLEEYETLFKCQEETTQKLVLEYQAR LEEGEERLRRQQEDKDIQMKGIISRLMSVEEELKKDHAEMQAAVDSKQKIIDAQEKRIAS LDAANARLMSALTQLKERYSMQARNGVSPTNPTKLQITENGEFRNSSNC >ENSMUSP00000114915.1 pep:known chromosome:GRCm38:2:35621981:35730992:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000145698.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] MSAGGNARKSTGRPSYYYRLLRRPRLQRQRSRSRSRTRPARESPQERPGSRRSLPGSMSE KNPSMEPSASTPFRVTVTISASPPPLPPPSPPRPAGLFCDPAPPFLEPHGPGEHPASLAL GLPQPPPQGLHQAHQEPAQTGPQPQLPPHPAGVPERSRRRRGQ >ENSMUSP00000122341.1 pep:known chromosome:GRCm38:2:35582840:35730992:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000135741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] MSEKNPSMEPSASTPFRVTGFLSRRLKGSIKRTKSQPKLDRNHSFRHILPGFRSAAAAAA DNERSHLMPRLKESRSHESLLSPSSAVEALDLSMEEEVIIKPVHSSILGQDYCFEVTTSS GSKCFSCRSAAERDKWMENLRRAVHPNKDNSRRVEHILKLWVIEAKDLPAKKKYLCELCL DDVLYARTTSKLKTDNVFWGEHFEFHNLPPLRTVTVHLYRETDKKKKKERNSYLGLVSLP AASVAGRQFVEKWYPVVTPNPKGGKGPGPMIRIKARYQTVSILPMEMYKEFAEHITNHYL GLCAALEPILSAKTKEEMASALVHILQSTGKVKDFLTDLMMSEVDRCGDNEHLIFRENTL ATKAIEEYLKLVGQKYLQDALGEFIKALYESDENCEVDPSKCSSADLPEHQGNLKMCCEL AFCKIINSYCVFPRELKEVFASWRQECSSRGRPDISERLISASLFLRFLCPAIMSPSLFN LLQEYPDDRTARTLTLIAKVTQNLANFAKFGSKEEYMSFMNQFLEHEWTNMQRFLLEISN PETLSNTAGFEGYIDLGRELSSLHSLLWEAVSQLDQSVVSKLGPLPRILRDVHTALSTPG SGQLPGTNDLASTPGSGSSSVSAGLQKMVIENDLSGLIDFTRLPSPTPENKDLFFVTRSS GVQPSPARSSSYSEANEPDLQMANGSKSLSMVDLQDARTLDGEAGSPVGPDALPADGQVP ATQLLAGWPARAAPVSLAGLATVRRAVPTPTTPGTSEGAPGRPQLLAPLSFQNPVYQMAA GLPLSPRGLGDSGSEGHSSLSSHSNSEELAAAAKLGSFSTAAEELARRPGELARRQMSLT EKGGQPTVPRQNSAGPQRRIDQPPPPPPPPPPAPRGRTPPTLLSTLQYPRPSSGTLASAS PDWAGPGTRLRQQSSSSKGDSPELKPRAMHKQGPSPVSPNALDRTAAWLLTMNAQLLEDE GLGPDPPHRDRLRSKEELSQAEKDLAVLQDKLRISTKKLEEYETLFKCQEETTQKLVLEY QARLEEGEERLRRQQEDKDIQMKGIISRLMSVEEELKKDHAEMQAAVDSKQKIIDAQEKR IASLDAANARLMSALTQLKESNGLGRLSSAYSKGWSCQRPCSGKTLATDTQDLMERAAPP LPTQTPDLFVQLVC >ENSMUSP00000119058.1 pep:known chromosome:GRCm38:2:35692333:35728947:1 gene:ENSMUSG00000026883.17 transcript:ENSMUST00000124098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2ip description:disabled 2 interacting protein [Source:MGI Symbol;Acc:MGI:1916851] XPRKPHLAAGPQMPRSHLMPRLKESRSHESLLSPSSAVEALDLSMEEEVIIKPVHSSILG QDYCFEVTTSSGSKCFSCRSAAERDKWMENLRRAVHPNKDNSRRVEHILKLWVIEAKDLP AKKKYLCELCLDDVLYARTTSKLKTDNVFWGEHFEFHNLPPLRTVTVHLYRETDKKKKKE RNSYLGLVSLPAASVAGRQFVEKWYPVVTPNPKGGKGPGPMIRIKARYQTVSILPMEMYK EFAEHITNHYLGLCAALEPILSAKTKEEMASALVHILQSTGKVKDFLTDLMMSEVDRCGD NEHLIFRENTLATKAIEEYLKLVGQKYLQDALGEFIKALYESDENCEVDPSKCSSADLPE HQGNLKMCCELAFCKIINSYCVFPRELKEVFASWRQECSSRGRPDISERLISASLFLRFL CPAIMSPSLFNLLQEYPDDRTARTLTLIAKVTQNLANFAKFGSKEEYMSFMNQFLEHEWT NMQRFLLEISNPETLSNTAGFEGYIDLGRELSSLHSLLWEAVSQLDQSVVSKLGPLPRIL RDVHTALSTPGSGQLPGTNDLASTPGSGSSSVSAGLQKMVIENDLSGLIDFTRLPSPTPE NKDLFFVTRSSGVQPSPARSSSYSEANEPDLQMANGSKSLSMVDLQDARTLDGEAGSPVG PDALPADGQVPATQLLAGWPARAAPVSLAGLATVRRAVPTPTTPGTSEGAPGRPQLLAPL SFQNPVYQMAAGLPLSPRGLGDSGSEGHSSLSSHSNSEELAAAAKLGSFSTAAEELARRP GELARRQMSLTEKGGQPTVPRQNSAGPQRRIDQPPPPPPPPPPAPRGRTPPTLLSTLQYP RPSSGTLASASPDWAGPGTRLRQQSSSSKGDSPELKPRAMHKQGPSPVSPNALDRTAAWL LTMNAQLLEDEGLGPDPPHRDRLRSKEELSQAEKDLAVLQDKLRISTKKLEEYETLFKCQ EETTQKLVLEYQARLEEGEERLRRQQEDKDIQMKGIISRLMSVEEELKKDHAEMQAAVDS KQKIIDAQEKRIASLDAANARLMSALTQLKERYSMQARNGVSPTNPTKLQITENGEFRNS SNC >ENSMUSP00000099873.1 pep:known chromosome:GRCm38:4:88557673:88558245:-1 gene:ENSMUSG00000096011.1 transcript:ENSMUST00000102809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna15 description:interferon alpha 15 [Source:MGI Symbol;Acc:MGI:3649418] MARLCAFLMTLLVMSYWSTCSLGCDLPQTHNLRNKRALTLLVQMRRLSPLSCLKDRKDFR FPQEKVDAQQIQNAQAIPVLQELTQQVLNIFTSKDSSAAWDASLLDSFCNDLHQQLNDLK ACVMQEVGVQEPPLTQEDYLLAVRTYFHRITVYLREKKHSPCAWEVVRAEVWRAMSSSAK LLARLSEEKE >ENSMUSP00000001672.5 pep:known chromosome:GRCm38:12:40201567:40223189:-1 gene:ENSMUSG00000001627.11 transcript:ENSMUST00000001672.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifrd1 description:interferon-related developmental regulator 1 [Source:MGI Symbol;Acc:MGI:1316717] MPKNKKRNAPHRGGGGGGGSGAATSAATAGGPHRTVQPFSDEDASIETMSHCSGYSDPSS FAEDGPEVLDEEGTQEDLEYKLKGLIDLTLDKSAKTRQAALEGLKNALSSKVLYEFVLER RMTLTDSIERCLKKGKSDEQRAAAAVASVLCIQLGPGFESEEILKTLGPILKKIICDGAA SIQARQTCATCFGVCCFIATDDITELYSTLECFENIFTKSYLKEKDTNVTCSTPNTVLHI SSLLAWTLLLTICPINEVKKKLELHFHKLPSLLSCDDVNMRIAAGESLALLFELARGMES DFFYEDMDSLTQMLRALATDGNKHRAKVDKRKQRSVFRDVLRAVEERDFPTETVKFGPER MYIDSWVKKHTYDTFKEVLGSGMQYHLQTNEFLRNVFELGPPVMLDAATLKTMKISRFER HLYNSAAFKARTKARSKCRDKRADVGEFL >ENSMUSP00000127553.1 pep:known chromosome:GRCm38:12:40203131:40207062:-1 gene:ENSMUSG00000001627.11 transcript:ENSMUST00000164047.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifrd1 description:interferon-related developmental regulator 1 [Source:MGI Symbol;Acc:MGI:1316717] XNKHRAKVDKRKQRSVFRDVLRAVETNEFLRNVFELGPPVMLDAATLKTMKISRFERHLY NSAAFKARTKARSKCRDKRADVGEFL >ENSMUSP00000128635.1 pep:known chromosome:GRCm38:12:40203919:40222962:-1 gene:ENSMUSG00000001627.11 transcript:ENSMUST00000171530.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ifrd1 description:interferon-related developmental regulator 1 [Source:MGI Symbol;Acc:MGI:1316717] MPKNKKRNAPHRGGGGGGGSGAATSAATAGGPHRTVQPFSDEDASIETMSHCSGYSDPSS FAEDGPEVLDEEGTQEDLEYKLKGLIDLTLDKSAKTRQAALEGLKNALSSKVLYEFVLER RMTLTDSIERCLKKVCNLLWCLLFYCHR >ENSMUSP00000127673.1 pep:known chromosome:GRCm38:12:40213980:40248079:-1 gene:ENSMUSG00000001627.11 transcript:ENSMUST00000169926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifrd1 description:interferon-related developmental regulator 1 [Source:MGI Symbol;Acc:MGI:1316717] MSHCSGYSDPSSFAEDGPEVLDEEGTQEDLEYKLKGLIDLTLDKSAKTRQAALEGLKNAL SSKVLYEFVLERRMTLTDSIERCLKKGKSDEQRAAAAVASVLCIQLGPGFESEEILKTLG PILKKIICDGAASIQARQ >ENSMUSP00000133028.1 pep:known chromosome:GRCm38:12:40214037:40217513:-1 gene:ENSMUSG00000001627.11 transcript:ENSMUST00000165027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifrd1 description:interferon-related developmental regulator 1 [Source:MGI Symbol;Acc:MGI:1316717] MSHCSGYSDPSSFAEDGPEVLDEEGTQEDLEYKLKGLIDLTLDKSAKTRQAALEGLKNAL SSKVLYEFVLERRMTLTDSIERCLKKGKSDEQRAAAAVASVLCIQLGPGFESEEILKTL >ENSMUSP00000130824.1 pep:known chromosome:GRCm38:12:40214094:40248486:-1 gene:ENSMUSG00000001627.11 transcript:ENSMUST00000169319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifrd1 description:interferon-related developmental regulator 1 [Source:MGI Symbol;Acc:MGI:1316717] MSHCSGYSDPSSFAEDGPEVLDEEGTQEDLEYKLKGLIDLTLDKSAKTRQAALEGLKNAL SSKVLYEFVLERRMTLTDSIERCLKKGKSDEQRAAAAVAS >ENSMUSP00000130846.1 pep:known chromosome:GRCm38:12:40216189:40248504:-1 gene:ENSMUSG00000001627.11 transcript:ENSMUST00000164354.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifrd1 description:interferon-related developmental regulator 1 [Source:MGI Symbol;Acc:MGI:1316717] MSHCSGYSDPSSFAEDGPEVLDEEGTQEDLEYKLKGLIDLTLDKSAKTRQAALEGLKNAL SSKVLYEFVLERRMTLTDSIERCLKKG >ENSMUSP00000127954.1 pep:known chromosome:GRCm38:12:40217158:40223149:-1 gene:ENSMUSG00000001627.11 transcript:ENSMUST00000171553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifrd1 description:interferon-related developmental regulator 1 [Source:MGI Symbol;Acc:MGI:1316717] MPKNKKRNAPHRGGGGGGGSGAATSAATAGGPHRTVQPFSDEDASIETMSHCSGYSDPSS FAEDGRSFKCIPVACRTKMTWEFLYMSYSVPFPNLLFSLLCYSVGFYSVGPEVLDEEGTQ EDLEYKLKGLIDLTLDK >ENSMUSP00000146656.1 pep:known chromosome:GRCm38:16:64780347:64851652:-1 gene:ENSMUSG00000047141.5 transcript:ENSMUST00000207826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp654 description:zinc finger protein 654 [Source:MGI Symbol;Acc:MGI:1919270] MAEEESDQEAERLGEELVAIVESPPGPVGLLAAGDGRGGAGGGGCGGGVGISSRDYCRRF CQVVEDYAGRWQVPLPQLQVLQTALCCFTSASASFPDECEHVQYVLSSLAVSFFELLLFF GRDEFYEEPLKDILGSFQECQNHLRRYGNVNLELVTRIIKDGGPWEDPVLQAVLKAQPAS QEIVNKYLSSENPLFFELRARYLIACERIPEAMALIKSCINHPEISKDLYFHQALFTCLF MSPVEDQLFREHLLKTDCKSGIDIICNTEKEGKTLLALQLCESFLIPQLQNGDMYYIWEL IFLWSKLQLKSNPSKQVFVDQCYQLLRTATNVRVIFPFMKIIKDEVEEEGLQICVEICGC ALQLDLHDDPETKCLIYKTIAHFLPNDLEIVRVCALSVFFLERSLDAYHTVEELYRRPDE EYSEGMSTVQNRVRFELLPILKKGLFFDPEFWNFVMIKKNCVALLRDKSAVKLLNENTLE NPSSSLKKRVDQQSVEEDQSTGETDPDDASVVQPKGQVNVKRSLSALNTSKVDHSVPRHR CMLCNKEFLGGHIVRHAQAHQKKGSFACVICGRKFRNRGLMQKHLKNHVKKIQRQQIATA QQDDPEVITLEEINGSKSLISFENGNSNTKGLEIETLTASSERNKEVIREHMAEFIKIPI AIPENAIENIIENGKPDASFNNISESLPQCDDDYEEEENEDDYEDDYDLNQETSVLHKIN GTVCHPKDVYATDQEGNFKCPALGCVRIFKRIGFLNMHARTVHPTDLNVRQTVMKWSKGK CKFCQRQFEDSQHFIDHLNRHSYPNVYFCLHFNCNESFKLPFQLAQHTKSHRIFQAQCSF PECHELFEDLPLLYEHEAQHYLSKTPESSAQLSEVVPNHQEIDPFSNENQTIHHPVSTSK SRKYSTEPKTYIDTMEKKTDSLVHNGNEHSDDTVSNISLIDQKMPAIEPNPENTHSTTDL VNGHSEIEQTPLVTSDPTLKIDINRNRTENGSILPSVESQEHSALSVSQAPSKPNLTSEQ TSYGLIVTKPFVRPLPPSYLDERYLSMPKRRKFLTDIVDACSDQDNMYKKPVKRLRCGKC LTTYCNAEALEAHLAQKKCQTLFGFDSDDESA >ENSMUSP00000052946.4 pep:known chromosome:GRCm38:16:64780351:64786321:-1 gene:ENSMUSG00000047141.5 transcript:ENSMUST00000052588.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp654 description:zinc finger protein 654 [Source:MGI Symbol;Acc:MGI:1919270] MLCNKEFLGGHIVRHAQAHQKKGSFACVICGRKFRNRGLMQKHLKNHVKKIQRQQIATAQ QDDPEVITLEEINGSKSLISFENGNSNTKGLEIETLTASSERNKEVIREHMAEFIKIPIA IPENAIENIIENGKPDASFNNISESLPQCDDDYEEEENEDDYEDDYDLNQETSVLHKING TVCHPKDVYATDQEGNFKCPALGCVRIFKRIGFLNMHARTVHPTDLNVRQTVMKWSKGKC KFCQRQFEDSQHFIDHLNRHSYPNVYFCLHFNCNESFKLPFQLAQHTKSHRIFQAQCSFP ECHELFEDLPLLYEHEAQHYLSKTPESSAQLSEVVPNHQEIDPFSNENQTIHHPVSTSKS RKYSTEPKTYIDTMEKKTDSLVHNGNEHSDDTVSNISLIDQKMPAIEPNPENTHSTTDLV NGHSEIEQTPLVTSDPTLKIDINRNRTENGSILPSVESQEHSALSVSQAPSKPNLTSEQT SYGLIVTKPFVRPLPPSYLDERYLSMPKRRKFLTDIVDACSDQDNMYKKPVKRLRCGKCL TTYCNAEALEAHLAQKKCQTLFGFDSDDESA >ENSMUSP00000041363.2 pep:known chromosome:GRCm38:7:99466004:99474022:1 gene:ENSMUSG00000035298.8 transcript:ENSMUST00000037359.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl35 description:kelch-like 35 [Source:MGI Symbol;Acc:MGI:1919434] MRETPEREEEPGTEAPCAASCHAQRILQTLNAYRRSGTLTDVVLRAGGRDFPCHRAALSA ASAHFRGLFAAGRPERAAAVVPVGPETPGTAAALAVVLDYVYGAGVRLRAEDEAAAVLAL AERLGVAGLREACARFLEGRLRAANSLALRRVAAAFSLASLAERCGRVLRQAFVEVTRHA DFLELAPDEVAALLADPALRVAREEAVFEAAMRWVRHDAPARRGQLRRLLEHVRLPLLAP AYFLEKVEADELLQACGDCRPLLLEARACFILGREAGALRARPRRFMDLAEVIVVIGGCD RKGLLKLPFADAYHPESQRWTPLPSLPGYTRSEFASCALRNDIYVSGGHINSRDVWMFSS HLNTWIKVASMHKGRWRHKMVALQGQLFAVGGFDGLRRLRSVERYDPFSNTWAAIAPLPE AVSSAAVAPCAGQLYVIGGAGQDGVNTDKVQCFDPKEDQWSLRSPAPFLQRCLEAVSLED TIYVVGGLMSKIFTYDPGSDVWREAADLPSPVESCGVTVCDGKVHILGGRDEHGESTSSV FTFDPGTGQVEAQPSLQRCTSSHGCVTIVQSLSR >ENSMUSP00000117088.1 pep:known chromosome:GRCm38:7:99468963:99471786:1 gene:ENSMUSG00000035298.8 transcript:ENSMUST00000153736.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl35 description:kelch-like 35 [Source:MGI Symbol;Acc:MGI:1919434] XAPAYFLEKVEADELLQACGDCRPLLLEARACFILGREAGALRARPRRRSHQQP >ENSMUSP00000120346.1 pep:known chromosome:GRCm38:7:99471826:99473604:1 gene:ENSMUSG00000035298.8 transcript:ENSMUST00000152424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl35 description:kelch-like 35 [Source:MGI Symbol;Acc:MGI:1919434] LYVIGGAGQDGVNTDKVQCFDPKEDQWSLRSPAPFLQRCLEAVSLEDTIYVVGGLMSKIF TYDPGSDVWREAADLPSPVVSTSKEWTRDLPAKFPIPVASVTRGASSDSHSPLLPLHPPV TPKLQRNYVSGIIGGSCPFGSWMTQG >ENSMUSP00000132778.1 pep:known chromosome:GRCm38:12:31265234:31329493:1 gene:ENSMUSG00000002900.15 transcript:ENSMUST00000169088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb1 description:laminin B1 [Source:MGI Symbol;Acc:MGI:96743] MGLLQVFAFGVLALWGTRVCAQEPEFSYGCAEGSCYPATGDLLIGRAQKLSVTSTCGLHK PEPYCIVSHLQEDKKCFICDSRDPYHETLNPDSHLIENVVTTFAPNRLKIWWQSENGVEN VTIQLDLEAEFHFTHLIMTFKTFRPAAMLIERSSDFGKAWGVYRYFAYDCESSFPGISTG PMKKVDDIICDSRYSDIEPSTEGEVIFRALDPAFKIEDPYSPRIQNLLKITNLRIKFVKL HTLGDNLLDSRMEIREKYYYAVYDMVVRGNCFCYGHASECAPVDGVNEEVEGMVHGHCMC RHNTKGLNCELCMDFYHDLPWRPAEGRNSNACKKCNCNEHSSSCHFDMAVFLATGNVSGG VCDNCQHNTMGRNCEQCKPFYFQHPERDIRDPNLCEPCTCDPAGSENGGICDGYTDFSVG LIAGQCRCKLHVEGERCDVCKEGFYDLSAEDPYGCKSCACNPLGTIPGGNPCDSETGYCY CKRLVTGQRCDQCLPQHWGLSNDLDGCRPCDCDLGGALNNSCSEDSGQCSCLPHMIGRQC NEVESGYYFTTLDHYIYEAEEANLGPGVIVVERQYIQDRIPSWTGPGFVRVPEGAYLEFF IDNIPYSMEYEILIRYEPQLPDHWEKAVITVQRPGKIPASSRCGNTVPDDDNQVVSLSPG SRYVVLPRPVCFEKGMNYTVRLELPQYTASGSDVESPYTFIDSLVLMPYCKSLDIFTVGG SGDGEVTNSAWETFQRYRCLENSRSVVKTPMTDVCRNIIFSISALIHQTGLACECDPQGS LSSVCDPNGGQCQCRPNVVGRTCNRCAPGTFGFGPNGCKPCDCHLQGSASAFCDAITGQC HCFQGIYARQCDRCLPGYWGFPSCQPCQCNGHALDCDTVTGECLSCQDYTTGHNCERCLA GYYGDPIIGSGDHCRPCPCPDGPDSGRQFARSCYQDPVTLQLACVCDPGYIGSRCDDCAS GFFGNPSDFGGSCQPCQCHHNIDTTDPEACDKETGRCLKCLYHTEGDHCQLCQYGYYGDA LRQDCRKCVCNYLGTVKEHCNGSDCHCDKATGQCSCLPNVIGQNCDRCAPNTWQLASGTG CGPCNCNAAHSFGPSCNEFTGQCQCMPGFGGRTCSECQELFWGDPDVECRACDCDPRGIE TPQCDQSTGQCVCVEGVEGPRCDKCTRGYSGVFPDCTPCHQCFALWDAIIGELTNRTHKF LEKAKALKISGVIGPYRETVDSVEKKVNEIKDILAQSPAAEPLKNIGILFEEAEKLTKDV TEKMAQVEVKLTDTASQSNSTAGELGALQAEAESLDKTVKELAEQLEFIKNSDIQGALDS ITKYFQMSLEAEKRVNASTTDPNSTVEQSALTRDRVEDLMLERESPFKEQQEEQARLLDE LAGKLQSLDLSAVAQMTCGTPPGADCSESECGGPNCRTDEGEKKCGGPGCGGLVTVAHSA WQKAMDFDRDVLSALAEVEQLSKMVSEAKVRADEAKQNAQDVLLKTNATKEKVDKSNEDL RNLIKQIRNFLTEDSADLDSIEAVANEVLKMEMPSTPQQLQNLTEDIRERVETLSQVEVI LQQSAADIARAELLLEEAKRASKSATDVKVTADMVKEALEEAEKAQVAAEKAIKQADEDI QGTQNLLTSIESETAASEETLTNASQRISKLERNVEELKRKAAQNSGEAEYIEKVVYSVK QNADDVKKTLDGELDEKYKKVESLIAQKTEESADARRKAELLQNEAKTLLAQANSKLQLL EDLERKYEDNQKYLEDKAQELVRLEGEVRSLLKDISEKVAVYSTCL >ENSMUSP00000002979.8 pep:known chromosome:GRCm38:12:31265293:31329644:1 gene:ENSMUSG00000002900.15 transcript:ENSMUST00000002979.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb1 description:laminin B1 [Source:MGI Symbol;Acc:MGI:96743] MEGPLSSLPTFAFSPRYLSRKEDPKKRQAACLLRPPSRAASPRLRGLDMGLLQVFAFGVL ALWGTRVCAQEPEFSYGCAEGSCYPATGDLLIGRAQKLSVTSTCGLHKPEPYCIVSHLQE DKKCFICDSRDPYHETLNPDSHLIENVVTTFAPNRLKIWWQSENGVENVTIQLDLEAEFH FTHLIMTFKTFRPAAMLIERSSDFGKAWGVYRYFAYDCESSFPGISTGPMKKVDDIICDS RYSDIEPSTEGEVIFRALDPAFKIEDPYSPRIQNLLKITNLRIKFVKLHTLGDNLLDSRM EIREKYYYAVYDMVVRGNCFCYGHASECAPVDGVNEEVEGMVHGHCMCRHNTKGLNCELC MDFYHDLPWRPAEGRNSNACKKCNCNEHSSSCHFDMAVFLATGNVSGGVCDNCQHNTMGR NCEQCKPFYFQHPERDIRDPNLCEPCTCDPAGSENGGICDGYTDFSVGLIAGQCRCKLHV EGERCDVCKEGFYDLSAEDPYGCKSCACNPLGTIPGGNPCDSETGYCYCKRLVTGQRCDQ CLPQHWGLSNDLDGCRPCDCDLGGALNNSCSEDSGQCSCLPHMIGRQCNEVESGYYFTTL DHYIYEAEEANLGPGVIVVERQYIQDRIPSWTGPGFVRVPEGAYLEFFIDNIPYSMEYEI LIRYEPQLPDHWEKAVITVQRPGKIPASSRCGNTVPDDDNQVVSLSPGSRYVVLPRPVCF EKGMNYTVRLELPQYTASGSDVESPYTFIDSLVLMPYCKSLDIFTVGGSGDGEVTNSAWE TFQRYRCLENSRSVVKTPMTDVCRNIIFSISALIHQTGLACECDPQGSLSSVCDPNGGQC QCRPNVVGRTCNRCAPGTFGFGPNGCKPCDCHLQGSASAFCDAITGQCHCFQGIYARQCD RCLPGYWGFPSCQPCQCNGHALDCDTVTGECLSCQDYTTGHNCERCLAGYYGDPIIGSGD HCRPCPCPDGPDSGRQFARSCYQDPVTLQLACVCDPGYIGSRCDDCASGFFGNPSDFGGS CQPCQCHHNIDTTDPEACDKETGRCLKCLYHTEGDHCQLCQYGYYGDALRQDCRKCVCNY LGTVKEHCNGSDCHCDKATGQCSCLPNVIGQNCDRCAPNTWQLASGTGCGPCNCNAAHSF GPSCNEFTGQCQCMPGFGGRTCSECQELFWGDPDVECRACDCDPRGIETPQCDQSTGQCV CVEGVEGPRCDKCTRGYSGVFPDCTPCHQCFALWDAIIGELTNRTHKFLEKAKALKISGV IGPYRETVDSVEKKVNEIKDILAQSPAAEPLKNIGILFEEAEKLTKDVTEKMAQVEVKLT DTASQSNSTAGELGALQAEAESLDKTVKELAEQLEFIKNSDIQGALDSITKYFQMSLEAE KRVNASTTDPNSTVEQSALTRDRVEDLMLERESPFKEQQEEQARLLDELAGKLQSLDLSA VAQMTCGTPPGADCSESECGGPNCRTDEGEKKCGGPGCGGLVTVAHSAWQKAMDFDRDVL SALAEVEQLSKMVSEAKVRADEAKQNAQDVLLKTNATKEKVDKSNEDLRNLIKQIRNFLT EDSADLDSIEAVANEVLKMEMPSTPQQLQNLTEDIRERVETLSQVEVILQQSAADIARAE LLLEEAKRASKSATDVKVTADMVKEALEEAEKAQVAAEKAIKQADEDIQGTQNLLTSIES ETAASEETLTNASQRISKLERNVEELKRKAAQNSGEAEYIEKVVYSVKQNADDVKKTLDG ELDEKYKKVESLIAQKTEESADARRKAELLQNEAKTLLAQANSKLQLLEDLERKYEDNQK YLEDKAQELVRLEGEVRSLLKDISEKVAVYSTCL >ENSMUSP00000132001.1 pep:known chromosome:GRCm38:12:31265297:31266793:1 gene:ENSMUSG00000002900.15 transcript:ENSMUST00000170495.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb1 description:laminin B1 [Source:MGI Symbol;Acc:MGI:96743] MEGPLSSLPTFAFSPRYLSRKEDPKKRQAACLLRPPSRAASPRLRGLDMGLLQPYGAPEC ALRNRSSAMAAQKAAATLPLATFSSAE >ENSMUSP00000132616.1 pep:known chromosome:GRCm38:12:31265400:31329575:1 gene:ENSMUSG00000002900.15 transcript:ENSMUST00000164919.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lamb1 description:laminin B1 [Source:MGI Symbol;Acc:MGI:96743] MEGPLSSLPTFAFSPRYLSRKEDPKKRQAACLLRPPSRAASPRLRGLDMGLLQVFAFGVL ALWGTRVCAQEPEFSYGCAEGSCYPATGDLLIGRAQKLSVTSTCGLHKPEPYCIVSHLQE DKKCFICDSRDPYHETLNPDSHLIENVVTTFAPNRLKIWWQSENGVENVTIQLDLEAEFH FTHLIMTFKTFRPAAMLIERSSDFGKAWGVYRYFAYDCESSFPGISTGPMKKVDDIICDS RYSDIEPSTEGEVIFRALDPAFKIEDPYSPRIQNLLKITNLRIKFVKLHTLGDNLLDSRM EIREKYYYAVYDMVVRGNCFCYGHASECAPVDGVNEEVEGMVHGHCMCRHNTKGLNCELC MDFYHDLPWRPAEGRNSNACKKCNCNEHSSSCHFDMAVFLATGNVSGGVCDNCQHNTMGR NCEQCKPFYFQHPERDIRDPNLCEPCTCDPAGSENGGICDGYTDFSVGLIAGQCRCKLHV EGERCDVCKEGFYDLSAEDPYGCKSCACNPLGTIPGGNPCDSETGYCYCKRLVTGQRCDQ CLPQHWGLSNDLDGCRPCCSREGD >ENSMUSP00000105527.2 pep:known chromosome:GRCm38:12:105784694:105838807:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000109901.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] MPFPVTTQGSQQTQPPQRHYGITSPISLAAPKETDCLLTQKLIETLKPFGVFEEEEELQR RILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVA PRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPE DLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNI LGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPVW DPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSK LFEAPNFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQS FPAPKESPDREEFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFELD MKIAAMHVKRKQLHQLLPSHVLQKRKKHSTEGVKLTALNDSSLDLSMDSDNSMSVPSPTS AMKTSPLNSSGSSQGRNSPAPAVTAASVTSIQASEVSVPQANSSESPGGPSSESIPQTAT QPAISPPPKPTVSRVVSSTRLVNPSPRPSGNTATKVPNPIVGVKRTSSPNKEESPKKTKT EEDETSEDANCLALSGHDKTETKEQVDLETSAVQSETVPASASLLASQKTSSTDLSDIPA LPANPIPVIKNSIKLRLNR >ENSMUSP00000128402.1 pep:known chromosome:GRCm38:12:105784704:105834893:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000168186.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] MPFPVTTQGSQQTQPPQRHYGITSPISLAAPKETDCLLTQKLIETLKPFGVFEEEEELQR RILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVA PRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPE DLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNI LGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPVW DPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSK LFEAPNFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQS FPAPKESPDREEFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFELD MKIAAMHVKRKQLHQLLPSHVLQKRKKHSTEGVKLTALNDSSLDLSMDSDNSMSVPSPTS AMKTSPLNSSGSSQGRNSPAPAVTAASVTSIQASEVSVPQANSSESPGGPSSESIPQTAT QPAISPPPKPTVSRVVSSTRLVNPSPRPSGNTATKVPNPIVGVKRTSSPNKEESPKKTKT EEDETSEDANCLALSGHDKTETKEQVDLETSAVQSETVPASASLLASQVLSVKQAWRVCS VVLILEWYIDFK >ENSMUSP00000021535.7 pep:known chromosome:GRCm38:12:105784704:105838939:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000021535.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] MPFPVTTQGSQQTQPPQRHYGITSPISLAAPKETDCLLTQKLIETLKPFGVFEEEEELQR RILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVA PRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPE DLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNI LGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPVW DPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSK LFEAPNFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQS FPAPKESPDREEFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFELD MKIAAMHVKRKQLHQLLPSHVLQKRKKHSTEGVKLTALNDSSLDLSMDSDNSMSVPSPTS AMKTSPLNSSGSSQGRNSPAPAVTAASVTSIQASEVSVPQANSSESPGGPSSESIPQTAT QPAISPPPKPTVSRVVSSTRLVNPSPRPSGNTATKVPNPIVGVKRTSSPNKEESPKKTKT EEDETSEDANCLALSGHDKTETKEQVDLETSAVQSETVPASASLLASQKTSSTDLSDIPA LPANPIPVIKNSIKLRLNR >ENSMUSP00000131668.1 pep:known chromosome:GRCm38:12:105784716:105837131:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000163473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] MPFPVTTQGSQQTQPPQRHYGITSPISLAAPKETDCLLTQKLIETLKPFGVFEEEEELQR RILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVA PRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPE DLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNI LGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPVW DPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSK LFEAPNFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQS FPAPKESPDREEFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFELD MKIAAMHVKRKQLHQLLPSHVLQKRKKHSTEGVKLTALNDSSLDLSMDSDNSMSVPSPTS AMKTSPLNSSGSSQGRNSPAPAVTAASVTSIQASEVSVPQANSSESPGGPSSESIPQTAT QPAISPPPKPTVSRVVSSTRLVNPSPRPSGNTATKVPNPIVGVKRTSSPNKEESPKKTKT EEKTSSTDLSDIPALPANPIPVIKNSIKLRLNR >ENSMUSP00000131725.1 pep:known chromosome:GRCm38:12:105784738:105836858:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000166329.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] MPFPVTTQGSQQTQPPQRHYGITSPISLAAPKETDCLLTQKLIETLKPFGVFEEEEELQR RILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKD >ENSMUSP00000130687.1 pep:known chromosome:GRCm38:12:105784743:105838944:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000169938.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] MPFPVTTQGSQQTQPPQRHYGITSPISLAAPKETDCLLTQKLIETLKPFGVFEEEEELQR RILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVA PRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEVAG >ENSMUSP00000125818.1 pep:known chromosome:GRCm38:12:105784745:105806589:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000164326.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] MPFPVTTQGSQQTQPPQRHYGITSPISLAAPKETDCLLTQKLIETLKPFGVFEEEEELQR RISHNL >ENSMUSP00000130714.1 pep:known chromosome:GRCm38:12:105784746:105809647:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000170540.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] MLVARTCQLYPNAIASTLVHKFF >ENSMUSP00000128908.1 pep:known chromosome:GRCm38:12:105784757:105809709:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000166735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] MPFPVTTQGSQQTQPPQRHYGITSPISLAAPKETDCLLTQKLIETLKPFGVFEEEEELQR RILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVA PRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPE DLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNI LGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWYVFRLYYNKIDCRH >ENSMUSP00000126275.1 pep:known chromosome:GRCm38:12:105784872:105837273:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000170002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] MPFPVTTQGSQQTQPPQRHYGITSPISLAAPKETDCLLTQKLIETLKPFGVFEEEEELQR RILILGKLNNLVKEWIREISESKNLPQSVIENVGGKIFTFGSYRLGVHTKGADIDALCVA PRHVDRSDFFTSFYDKLKLQEEVKDLRAVEEAFVPVIKLCFDGIEIDILFARLALQTIPE DLDLRDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNI LGFLGGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKWEWPNPVLLKQPEECNLNLPVW DPRVNPSDRYHLMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSK LFEAPNFFQKYKHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQS FPAPKESPDREEFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFELD MKIAAMHVKRKQLHQLLPSHVLQKRKKHSTEGVKLTALNDSSLDLSMDSDNSMSVPSPTS AMKTSPLNSSGSSQGRNSPAPAVTAASVTSIQASEVSVPQANSSESPGGPSSESIPQTAT QPAISPPPKPTVSRVVSSTRLVNPSPRPSGNTATKVPNPIVGVKRTSSPNKEESPKKTKT EEEQVDLETSAVQSETVPASASLLASQKTSSTDLSDIPALPANPIPVIKNSIKLRLNR >ENSMUSP00000130798.1 pep:known chromosome:GRCm38:12:105808614:105820379:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000169524.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] XDDSLLKNLDIRCIRSLNGCRVTDEILHLVPNIDNFRLTLRAIKLWAKRHNIYSNILGFL GGVSWAMLVARTCQLYPNAIASTLVHKFFLVFSKW >ENSMUSP00000132353.1 pep:known chromosome:GRCm38:12:105812300:105837110:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000166471.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] XMPIITPAYPQQNSTYNVSVSTRMVMVEEFKQGLAITDEILLSKAEWSKLFEAPNFFQKY KHYIVLLASAPTEKQRLEWVGLVESKIRILVGSLEKNEFITLAHVNPQSFPAPKESPDRE EFRTMWVIGLVFKKTENSENLSVDLTYDIQSFTDTVYRQAINSKMFELDMKIAAMHVKRK QLHQLLPSHVLQKRKKHSTEGVKLTALNDSSLDLSMDSDNSMSVPSPTSAMKTSPLNSSG SSQGRNSPAPAVTAASVTSIQASEVSVPQANSSESPGGPSSESIPQTATQPAISPPPKPT VSRVVSSTRLVNPSPRPSGNTATKVPNPIVGVKRTSSPNKEESPKKTKTEEDETSEDANC LALSGHDKTETKEQVDLETSAVQSETVPKTSSTDLSDIPALPANPIPVIKNSIKLRLNR >ENSMUSP00000125898.1 pep:known chromosome:GRCm38:12:105812351:105829246:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000164798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] XSVSTRMVMVEEFKQGLAITDEILLSKAEWSKLFEAPNFFQKYKHYIVLLASAPTEKQRL EWVGLVESKIRILVGSLEKNEFITLAHVNPQSFPAPKESPDREEFRTMWVIGLVFKKTEN SENLSVDLTYDIQSFTDTVYRQAINSKMFELDMKIAAMHVKRKQLHQLLPSHVLQKRKKH STEGVKLTALNDSSLDLSMDSDNSMSVPSPTSAMKTSPLNSSGSSQGNSPAPAVTAASVT SIQASEVSVPQANSSESPGGPSSESIPQTATQPAISPPPKPTVSRVVSSTRLVNPSPRPS GNTATKVPNPIVGVKRTSSPNK >ENSMUSP00000131729.1 pep:known chromosome:GRCm38:12:105829067:105835499:1 gene:ENSMUSG00000021111.15 transcript:ENSMUST00000172089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papola description:poly (A) polymerase alpha [Source:MGI Symbol;Acc:MGI:109301] XESIPQTATQPAISPPPKPTVSRVVSSTRLVNPSPRPSGNTATKVPNPIVGVKRTSSPNK EESPKKTKTEEDETSEDANCLALSGHDKTETKEQVDLETSAVQSETVPASASLLASQVKG >ENSMUSP00000116355.1 pep:known chromosome:GRCm38:8:4102787:4105835:-1 gene:ENSMUSG00000051906.15 transcript:ENSMUST00000145007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209f description:CD209f antigen [Source:MGI Symbol;Acc:MGI:1916392] MAGEPEFHQSKNHEEEVTSGEQGFAEHDPEGLICSSKSLQGHLTQVPWLLLLLISLGLFL LMLATLVQVSRIRAYSQGQTQDQQGSSSLDKVAVPREQTHSGLEQIQQIQQQLTQFNASL AGLCRPCPWDWELFQGSCYLFSRTLGSWETSASSCEDLGAHLVIVNSVSEQRFMKYWNVR KNQRSWIGLSDHIHEGSWQWVDGSALKFSFWKEGEPNNDGDEDCVELFMDDWNDNKCTEQ NFWVCEQPSAPCPHH >ENSMUSP00000119810.1 pep:known chromosome:GRCm38:8:4102794:4105764:-1 gene:ENSMUSG00000051906.15 transcript:ENSMUST00000138439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209f description:CD209f antigen [Source:MGI Symbol;Acc:MGI:1916392] MAGEPEFHQSKNHEEEVTSGEQGFAEHDPEGLICSSKSLQGHLTQVPWLLLLLISLGLFL LMLATLVQVSRIRAYSQGQTQDQQGSSSLDKVAVPREQTHSGLEQIQQIQQQLTQFNASL GPRQKGEEKPATELSVCSVAGLCRPCPWDWELFQGSCYLFSRTLGSWETSASSCEDLGAH LVIVNSVSEQRFMKYWNVRKNQRSWIGLSDHIHEGSWQWVDGSALKFSFWKEGEPNNDGD EDCVELFMDDWNDNKCTEQNFWVCEQPSAPCPHH >ENSMUSP00000065845.7 pep:known chromosome:GRCm38:16:64851996:64859507:1 gene:ENSMUSG00000054604.7 transcript:ENSMUST00000067744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cggbp1 description:CGG triplet repeat binding protein 1 [Source:MGI Symbol;Acc:MGI:2146370] MERFVVTAPPARNRSKTALYVTPLDRVTEFGGELHEDGGKLFCTSCNVVLNHVRKSAISD HLKSKTHTKRKAEFEEQNVRKKQRPLTASLQCNSPAQTEKASVIQDFVKMCLEANIPLEK ADHPAVRAFLSRHVKNGGSIPKSDQLRRAYLPDGYENENQLLSSQDC >ENSMUSP00000031319.6 pep:known chromosome:GRCm38:5:90768518:90770063:1 gene:ENSMUSG00000029372.7 transcript:ENSMUST00000031319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppbp description:pro-platelet basic protein [Source:MGI Symbol;Acc:MGI:1888712] MGFRLRPTSSCTRACPLHNLQILLLLGLILVALAPLTAGKSDGMDPYIELRCRCTNTISG IPFNSISLVNVYRPGVHCADVEVIATLKNGQKTCLDPNAPGVKRIVMKILEGY >ENSMUSP00000045767.3 pep:known chromosome:GRCm38:2:85058159:85073048:1 gene:ENSMUSG00000033955.12 transcript:ENSMUST00000048400.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnks1bp1 description:tankyrase 1 binding protein 1 [Source:MGI Symbol;Acc:MGI:2446193] MTEAQEPCSVSPEPTGPESSSRWLDDLLASPPPNSGSARRAAGAELKDRQSPSTCSEGLL GWAQKDLQSEFGVATDSHHSSFGSSSWSQDTSQNYSLGGRSPVGDTGLGKRDWSSKCGQG SGEGSTREWASRHSLGQEVIGIGGSQDESEVPVRERAVGRPAQLGAQGLEADAQQWEFGK RESQDPHSIHDKELQDQEFGKRDSLGSFSTRDASLQDWEFGKRASVSTNQDTDENDQELG MKNLSRGYSSQDAEEQDREFEKRDSVLDIHGSRATAQQNQEFGKSAWFQDYSSGGGGSRV LGSQERGFGIRSLSSGFSPEEAQQQDEEFEKKTPVGEDRFCEASRDVGHLEEGASGGLLS PSTPHSRDGAARPKDEGSWQDGDSSQEITRLQGRMQAESQSPTNVDLEDKEREQRGWAGE FSLGVAAQSEAAFSPGRQDWSRDVCVEASESSYQFGIIGNDRVSGAGLSPSRKSGGGHFV PPGETKAGAVDWTDQLGLRNLEVSSCVSSEGPSEARENVVGQMGWSDSLGLNNGDLARRL GTGESEEPRSLGVGEKDWTSSVEARNRDLPGQAEVGRHSQARESGVGEPDWSGAEAGEFL KSRERGVGQADWTPDLGLRNMAPGAGCSPGEPRELGVGQVDWGDDLGLRNLEVSCDLESG GSRGCGVGQMDWAQDLGLRNLRLCGAPSEVRECGVGRVGPDLELDPKSSGSLSPGLETED PLEARELGVGEISGPETQGEDSSSPSFETPSEDTGMDTGEAPSLGASPSSCLTRSPPSGS QSLLEGIMTASSSKGAPQRESAASGSRVLLEEEGLAAGAGQGEPQEPSRAPLPSSRPQPD GEASQVEEVDGTWSLTGAARQNEQASAPPPRRPPRGLLPSCPSEDFSFIEDTEILDSAMY RSRANLGRKRGHRAPAIRPGGTLGLSETADSDTRLFQDSTEPRASRVPSSDEEVVEEPQS RRTRMSLGTKGLKVNLFPGLSPSALKAKLRSRNRSAEEGEVTESKSSQKESSVQRSKSCK VPGLGKPLTLPPKPEKSSGSEGSSPNWLQALKLKKKKI >ENSMUSP00000107232.2 pep:known chromosome:GRCm38:2:85050460:85073048:1 gene:ENSMUSG00000033955.12 transcript:ENSMUST00000111605.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnks1bp1 description:tankyrase 1 binding protein 1 [Source:MGI Symbol;Acc:MGI:2446193] MKGSTLREGTAMASPLPQDMEEELAPVGSEPGDPRAKPPVKPKPRGLPSKPALPAKPSLL VPVGPRPPRGPLAELPSARKMNMLAGPQPYGVSKRPLPFAPRPSAEATAGGDVTQESGKE DAGKEDLPPLTPPARCAALGGVRKAPAPFRPSSERFAACTVEEILAKMEQPRKEILASPD RLWGSRLTFNHDGSSRYGPRTYGAPCPREEDSKSPAKGRSQEGTAEIPAECQEEHSKTPE ERNLTSSPAMNGDLAKLACSEAPTDVSKTWVTSSADPVSEHGGSTSAVRLANISVPASES PRLSSRPSSPCHSQLSETQSPAASEASSICLPVTPASPSAVLPAEPPGHSPSSELPAEAA PETLSPNSSPVETVSGHHSPEQPPVLLPQLLTEGAELPDITRTFPCGEEAAARGHTESRP SSLAQRRFSEGVLQPPSQDQEKLGGSLATLPQGQGSQSALDRPFGSGTESNWSLSQSFEW TFPTRPSGLGVWRLDSPPPSPITEASEAAEAAEADSWAVSGRGEGVSQVGPGTPPAPESP RKPISGVQGNDPGISLPQRDDGESQPRSPALLPSTVEGPPGAPLLQAKENYEDQEPLVGH ESPITLAAREAALPVLEPALGQQQPTPSDQPCILFVDVPDPEQALSTEEDVVTLGWAETT LPMTEAQEPCSVSPEPTGPESSSRWLDDLLASPPPNSGSARRAAGAELKDRQSPSTCSEG LLGWAQKDLQSEFGVATDSHHSSFGSSSWSQDTSQNYSLGGRSPVGDTGLGKRDWSSKCG QGSGEGSTREWASRHSLGQEVIGIGGSQDESEVPVRERAVGRPAQLGAQGLEADAQQWEF GKRESQDPHSIHDKELQDQEFGKRDSLGSFSTRDASLQDWEFGKRASVSTNQDTDENDQE LGMKNLSRGYSSQDAEEQDREFEKRDSVLDIHGSRATAQQNQEFGKSAWFQDYSSGGGGS RVLGSQERGFGIRSLSSGFSPEEAQQQDEEFEKKTPVGEDRFCEASRDVGHLEEGASGGL LSPSTPHSRDGAARPKDEGSWQDGDSSQEITRLQGRMQAESQSPTNVDLEDKEREQRGWA GEFSLGVAAQSEAAFSPGRQDWSRDVCVEASESSYQFGIIGNDRVSGAGLSPSRKSGGGH FVPPGETKAGAVDWTDQLGLRNLEVSSCVSSEGPSEARENVVGQMGWSDSLGLNNGDLAR RLGTGESEEPRSLGVGEKDWTSSVEARNRDLPGQAEVGRHSQARESGVGEPDWSGAEAGE FLKSRERGVGQADWTPDLGLRNMAPGAGCSPGEPRELGVGQVDWGDDLGLRNLEVSCDLE SGGSRGCGVGQMDWAQDLGLRNLRLCGAPSEVRECGVGRVGPDLELDPKSSGSLSPGLET EDPLEARELGVGEISGPETQGEDSSSPSFETPSEDTGMDTGEAPSLGASPSSCLTRSPPS GSQSLLEGIMTASSSKGAPQRESAASGSRVLLEEEGLAAGAGQGEPQEPSRAPLPSSRPQ PDGEASQVEEVDGTWSLTGAARQNEQASAPPPRRPPRGLLPSCPSEDFSFIEDTEILDSA MYRSRANLGRKRGHRAPAIRPGGTLGLSETADSDTRLFQDSTEPRASRVPSSDEEVVEEP QSRRTRMSLGTKGLKVNLFPGLSPSALKAKLRSRNRSAEEGEVTESKSSQKESSVQRSKS CKVPGLGKPLTLPPKPEKSSGSEGSSPNWLQALKLKKKKI >ENSMUSP00000109441.2 pep:known chromosome:GRCm38:2:29761528:29764089:1 gene:ENSMUSG00000075425.10 transcript:ENSMUST00000113810.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13547 description:predicted gene 13547 [Source:MGI Symbol;Acc:MGI:3650473] MASSGHDVSTSFVILIPRETSARRRKQASALLKEETRVRATSCWSWVWGEERREANEDNQ LEPNGFAVIKADPGQVFWSAQVPTDGTAPAPPTTDDTMFLGVVPCPDADLISRVSLQSHD AGNCSNLMEETKTFSSTESLQQLSQQLNGLVSEIPQDWDPERR >ENSMUSP00000109440.2 pep:known chromosome:GRCm38:2:29761561:29763967:1 gene:ENSMUSG00000075425.10 transcript:ENSMUST00000113809.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13547 description:predicted gene 13547 [Source:MGI Symbol;Acc:MGI:3650473] MASSGHDVSTSFVILIPRETSARRRKQASALLKEETRVRATSCWSWVPTDGTAPAPPTTD DTMFLGVVPCPDADLISRVSLQSHDAGNCSNLMEETKTFSSTESLQQLSQQLNGLVSEIP QD >ENSMUSP00000108011.1 pep:known chromosome:GRCm38:6:125095981:125130585:1 gene:ENSMUSG00000063870.12 transcript:ENSMUST00000112392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd4 description:chromodomain helicase DNA binding protein 4 [Source:MGI Symbol;Acc:MGI:1344380] MASGLGSPSPCSAGSEEEDMDALLNNSLPPPHPENEEDPDEDLSEAETPKLKKKKKPKKP RDPKIPKSKRQKKELGDSSGEGPEFVEEEEEVALRSDSEGSDYTPGKKKKKKLGPKKEKK SKSKRKEEEEEEDEDDDSKEPKSSAQLLEDWGMEDIDHVFSEEDYRTLTNYKAFSQFVRP LIAAKNPKIAVSKMMMVLGAKWREFSTNNPFKGSSGASVAAAAAAAVAVVESMVTATEVA PPPPPVEVPIRKAKTKEGKGPNARRKPKGSPRVPDAKKPKPKKVAPLKIKLGGFGSKRKR SSSEDDDLDVESDFDDASINSYSVSDGSTSRSSRSRKKLRTAKKKKKDHQDYCEVCQQGG EIILCDTCPRAYHMVCLDPDMEKAPEGKWSCPHCEKEGIQWEAKEDNSEGEEILEEVGGD PEEEDDHHMEFCRVCKDGGELLCCDTCPSSYHIHCLNPPLPEIPNGEWLCPRCTCPALKG KVQKILIWKWGQPPSPTPVPRPPDADPNTPSPKPLEGRPERQFFVKWQGMSYWHCSWVSE LQLELHCQVMFRNYQRKNDMDEPPSGDFGGDEEKSRKRKNKDPKFAEMEERFYRYGIKPE WMMIHRILNHSVDKKGHVHYLIKWRDLPYDQASWESEDVEIQDYDLFKQSYWNHRELMRG EEGRPGKKLKKVKLRKLERPPETPTVDPTVKYERQPEYLDATGGTLHPYQMEGLNWLRFS WAQGTDTILADEMGLGKTVQTAVFLYSLYKEGHSKGPFLVSAPLSTIINWEREFEMWAPD MYVVTYVGDKDSRAIIRENEFSFEDNAIRGGKKASRMKKEASVKFHVLLTSYELITIDMA ILGSIDWACLIVDEAHRLKNNQSKFFRVLNGYSLQHKLLLTGTPLQNNLEELFHLLNFLT PERFHNLEGFLEEFADIAKEDQIKKLHDMLGPHMLRRLKADVFKNMPSKTELIVRVELSP MQKKYYKYILTRNFEALNARGGGNQVSLLNVVMDLKKCCNHPYLFPVAAMEAPKMPNGMY DGSALIRASGKLLLLQKMLKNLKEGGHRVLIFSQMTKMLDLLEDFLEHEGYKYERIDGGI TGNMRQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRA HRIGQNKKVMIYRFVTRASVEERITQVAKKKMMLTHLVVRPGLGSKTGSMSKQELDDILK FGTEELFKDEATDGGGDNKEGEDSSVIHYDDKAIERLLDRNQDETEDTELQGMNEYLSSF KVAQYVVREEEMGEEEEVEREIIKQEESVDPDYWEKLLRHHYEQQQEDLARNLGKGKRIR KQVNYNDGSQEDRDWQDDQSDNQSDYSVASEEGDEDFDERSEAPRRPSRKGLRNDKDKPL PPLLARVGGNIEVLGFNARQRKAFLNAIMRYGMPPQDAFTTQWLVRDLRGKSEKEFKAYV SLFMRHLCEPGADGAETFADGVPREGLSRQHVLTRIGVMSLIRKKVQEFEHVNGRWSMPE LAEVEENKKMSQPGSPSPKTPTPSTPGDTQPNTPAPVPPAEDGIKIEENSLKEEESTEGE KEVKSTAPEATVECAQPPAPAPATAPATATAPEDDKAPAEPPEGEEKVEKAEVKERTEEP METEAKGTTEVEKVEEKSAVDLTPIVVEDKEEKKEEEEKKDVMLQNGETPKDLSDEKQKK NSKQRFMFNIADGGFTELHSLWQNEERAATVTKKTYEIWHRRHDYWLLAGIINHGYARWQ DIQNDPRYAILNEPFKGEMNRGNFLEIKNKFLARRFKLLEQALVIEEQLRRAAYLNMSED PSHPSMALNTRFAEVECLAESHQHLSKESMAGNKPANAVLHKVLKQLEELLSDMKADVTR LPATIARIPPVAVRLQMSERNILSRLANRAPEPPPQQVAQQQ >ENSMUSP00000060054.8 pep:known chromosome:GRCm38:6:125095981:125130591:1 gene:ENSMUSG00000063870.12 transcript:ENSMUST00000056889.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd4 description:chromodomain helicase DNA binding protein 4 [Source:MGI Symbol;Acc:MGI:1344380] MASGLGSPSPCSAGSEEEDMDALLNNSLPPPHPENEEDPDEDLSEAETPKLKKKKKPKKP RDPKIPKSKRQKKELGDSSGEGPEFVEEEEEVALRSDSEGSDYTPGKKKKKKLGPKKEKK SKSKRKEEEEEEDEDDDSKEPKSSAQLLEDWGMEDIDHVFSEEDYRTLTNYKAFSQFVRP LIAAKNPKIAVSKMMMVLGAKWREFSTNNPFKGSSGASVAAAAAAAVAVVESMVTATEVA PPPPPVEVPIRKAKTKEGKGPNARRKPKGSPRVPDAKKPKPKKVAPLKIKLGGFGSKRKR SSSEDDDLDVESDFDDASINSYSVSDGSTSRSSRSRKKLRTAKKKKKGEEEVTAVDGYET DHQDYCEVCQQGGEIILCDTCPRAYHMVCLDPDMEKAPEGKWSCPHCEKEGIQWEAKEDN SEGEEILEEVGGDPEEEDDHHMEFCRVCKDGGELLCCDTCPSSYHIHCLNPPLPEIPNGE WLCPRCTCPALKGKVQKILIWKWGQPPSPTPVPRPPDADPNTPSPKPLEGRPERQFFVKW QGMSYWHCSWVSELQLELHCQVMFRNYQRKNDMDEPPSGDFGGDEEKSRKRKNKDPKFAE MEERFYRYGIKPEWMMIHRILNHSVDKKGHVHYLIKWRDLPYDQASWESEDVEIQDYDLF KQSYWNHRELMRGEEGRPGKKLKKVKLRKLERPPETPTVDPTVKYERQPEYLDATGGTLH PYQMEGLNWLRFSWAQGTDTILADEMGLGKTVQTAVFLYSLYKEGHSKGPFLVSAPLSTI INWEREFEMWAPDMYVVTYVGDKDSRAIIRENEFSFEDNAIRGGKKASRMKKEASVKFHV LLTSYELITIDMAILGSIDWACLIVDEAHRLKNNQSKFFRVLNGYSLQHKLLLTGTPLQN NLEELFHLLNFLTPERFHNLEGFLEEFADIAKEDQIKKLHDMLGPHMLRRLKADVFKNMP SKTELIVRVELSPMQKKYYKYILTRNFEALNARGGGNQVSLLNVVMDLKKCCNHPYLFPV AAMEAPKMPNGMYDGSALIRASGKLLLLQKMLKNLKEGGHRVLIFSQMTKMLDLLEDFLE HEGYKYERIDGGITGNMRQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATADTVIIYDSD WNPHNDIQAFSRAHRIGQNKKVMIYRFVTRASVEERITQVAKKKMMLTHLVVRPGLGSKT GSMSKQELDDILKFGTEELFKDEATDGGGDNKEGEDSSVIHYDDKAIERLLDRNQDETED TELQGMNEYLSSFKVAQYVVREEEMGEEEEVEREIIKQEESVDPDYWEKLLRHHYEQQQE DLARNLGKGKRIRKQVNYNDGSQEDRDWQDDQSDNQSDYSVASEEGDEDFDERSEAPRRP SRKGLRNDKDKPLPPLLARVGGNIEVLGFNARQRKAFLNAIMRYGMPPQDAFTTQWLVRD LRGKSEKEFKAYVSLFMRHLCEPGADGAETFADGVPREGLSRQHVLTRIGVMSLIRKKVQ EFEHVNGRWSMPELAEVEENKKMSQPGSPSPKTPTPSTPGDTQPNTPAPVPPAEDGIKIE ENSLKEEESTEGEKEVKSTAPEATVECAQPPAPAPATAPATATAPEDDKAPAEPPEGEEK VEKAEVKERTEEPMETEAKGTTEVEKVEEKSAVDLTPIVVEDKEEKKEEEEKKDVMLQNG ETPKDLSDEKQKKNSKQRFMFNIADGGFTELHSLWQNEERAATVTKKTYEIWHRRHDYWL LAGIINHGYARWQDIQNDPRYAILNEPFKGEMNRGNFLEIKNKFLARRFKLLEQALVIEE QLRRAAYLNMSEDPSHPSMALNTRFAEVECLAESHQHLSKESMAGNKPANAVLHKVLKQL EELLSDMKADVTRLPATIARIPPVAVRLQMSERNILSRLANRAPEPPPQQVAQQQ >ENSMUSP00000108009.1 pep:known chromosome:GRCm38:6:125096162:125130591:1 gene:ENSMUSG00000063870.12 transcript:ENSMUST00000112390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd4 description:chromodomain helicase DNA binding protein 4 [Source:MGI Symbol;Acc:MGI:1344380] MASGLGSPSPCSAGSEEEDMDALLNNSLPPPHPENEEDPDEDLSEAETPKLKKKKKPKKP RDPKIPKSKRQKKERLLLCRQLGDSSGEGPEFVEEEEEVALRSDSEGSDYTPGKKKKKKL GPKKEKKSKSKRKEEEEEEDEDDDSKEPKSSAQLLEDWGMEDIDHVFSEEDYRTLTNYKA FSQFVRPLIAAKNPKIAVSKMMMVLGAKWREFSTNNPFKGSSGASVAAAAAAAVAVVESM VTATEVAPPPPPVEVPIRKAKTKEGKGPNARRKPKGSPRVPDAKKPKPKKVAPLKIKLGG FGSKRKRSSSEDDDLDVESDFDDASINSYSVSDGSTSRSSRSRKKLRTAKKKKKGEEEVT AVDGYETDHQDYCEVCQQGGEIILCDTCPRAYHMVCLDPDMEKAPEGKWSCPHCEKEGIQ WEAKEDNSEGEEILEEVGGDPEEEDDHHMEFCRVCKDGGELLCCDTCPSSYHIHCLNPPL PEIPNGEWLCPRCTCPALKGKVQKILIWKWGQPPSPTPVPRPPDADPNTPSPKPLEGRPE RQFFVKWQGMSYWHCSWVSELQLELHCQVMFRNYQRKNDMDEPPSGDFGGDEEKSRKRKN KDPKFAEMEERFYRYGIKPEWMMIHRILNHSVDKKGHVHYLIKWRDLPYDQASWESEDVE IQDYDLFKQSYWNHRELMRGEEGRPGKKLKKVKLRKLERPPETPTVDPTVKYERQPEYLD ATGGTLHPYQMEGLNWLRFSWAQGTDTILADEMGLGKTVQTAVFLYSLYKEGHSKGPFLV SAPLSTIINWEREFEMWAPDMYVVTYVGDKDSRAIIRENEFSFEDNAIRGGKKASRMKKE ASVKFHVLLTSYELITIDMAILGSIDWACLIVDEAHRLKNNQSKFFRVLNGYSLQHKLLL TGTPLQNNLEELFHLLNFLTPERFHNLEGFLEEFADIAKEDQIKKLHDMLGPHMLRRLKA DVFKNMPSKTELIVRVELSPMQKKYYKYILTRNFEALNARGGGNQVSLLNVVMDLKKCCN HPYLFPVAAMEAPKMPNGMYDGSALIRASGKLLLLQKMLKNLKEGGHRVLIFSQMTKMLD LLEDFLEHEGYKYERIDGGITGNMRQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATADT VIIYDSDWNPHNDIQAFSRAHRIGQNKKVMIYRFVTRASVEERITQVAKKKMMLTHLVVR PGLGSKTGSMSKQELDDILKFGTEELFKDEATDGGGDNKEGEDSSVIHYDDKAIERLLDR NQDETEDTELQGMNEYLSSFKVAQYVVREEEMGEEEEVEREIIKQEESVDPDYWEKLLRH HYEQQQEDLARNLGKGKRIRKQVNYNDGSQEDRDWQDDQSDNQSDYSVASEEGDEDFDER SEAPRRPSRKGLRNDKDKPLPPLLARVGGNIEVLGFNARQRKAFLNAIMRYGMPPQDAFT TQWLVRDLRGKSEKEFKAYVSLFMRHLCEPGADGAETFADGVPREGLSRQHVLTRIGVMS LIRKKVQEFEHVNGRWSMPELAEVEENKKMSQPGSPSPKTPTPSTPGDTQPNTPAPVPPA EDGIKIEENSLKEEESTEGEKEVKSTAPEATVECAQPPAPAPATAPATATAPEDDKAPAE PPEGEEKVEKAEVKERTEEPMETEAKGTTEVEKVEEKSAVDLTPIVVEDKEEKKEEEEKK DVMLQNGETPKDLSDEKQKKNSKQRFMFNIADGGFTELHSLWQNEERAATVTKKTYEIWH RRHDYWLLAGIINHGYARWQDIQNDPRYAILNEPFKGEMNRGNFLEIKNKFLARRFKLLE QALVIEEQLRRAAYLNMSEDPSHPSMALNTRFAEVECLAESHQHLSKESMAGNKPANAVL HKVLKQLEELLSDMKADVTRLPATIARIPPVAVRLQMSERNILSRLANRAPEPPPQQVAQ QQ >ENSMUSP00000122806.1 pep:known chromosome:GRCm38:6:125096569:125100520:1 gene:ENSMUSG00000063870.12 transcript:ENSMUST00000155261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd4 description:chromodomain helicase DNA binding protein 4 [Source:MGI Symbol;Acc:MGI:1344380] MASGLGSPSPCSAGSEEEDMDALLNNSLPPPHPENEEDPDEDLSEAETPKL >ENSMUSP00000120704.1 pep:known chromosome:GRCm38:6:125113569:125121942:1 gene:ENSMUSG00000063870.12 transcript:ENSMUST00000124317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd4 description:chromodomain helicase DNA binding protein 4 [Source:MGI Symbol;Acc:MGI:1344380] NPHNDIQAFSRAHRIGQNKKVMIYRFVTRASVEERITQVAKKKMMLTHLVVRPGLGSKTG SMSKQELDDILKFGTEELFKDEATDGGGDNKEGEDSSVIHYDDKAIERLLDRNQDETEDT ELQGMNEYLSSFKVAQYVVREEEMGEEEEVEREIIKQEESVDPDYWEKLLRHHYEQQQED LARNLGKGKRIRKQVNYNDGSQEDRGVCGRPRPPPTGRSTRAVGPAHLPSLPPDWQDDQS DNQSDYSVASEEGDEDFDERSEAPRRPSRKGLRNDKDKPLPPLLARVGGNIEVLGFNARQ RKAFLNAIMRYGMPPQDAFTTQWLVRDLRGKSEKEFKAYVSLFMRHLCEPGADGAETFAD GVPREGLSRQHVLTRIGVMSLIRKKVQEFEHVNGRWSMPELAEVEENKKMSQPGSPSPKT PTPSTPGDTQPNTPAPVPPAEDGI >ENSMUSP00000039763.4 pep:known chromosome:GRCm38:11:76217608:76218666:1 gene:ENSMUSG00000038057.4 transcript:ENSMUST00000040806.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbil5 description:diazepam binding inhibitor-like 5 [Source:MGI Symbol;Acc:MGI:108039] MSQVEFEMACASLKQLKGPVSDQEKLLVYSFYKQATQGDCNIPVPPATDVRAKAKYEAWM VNKGMSKMDAMRIYIAKVEELKKKEPC >ENSMUSP00000054070.2 pep:known chromosome:GRCm38:3:106821556:106822582:-1 gene:ENSMUSG00000043529.2 transcript:ENSMUST00000059486.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr266 description:olfactory receptor 266 [Source:MGI Symbol;Acc:MGI:3030100] MMTLSWENQTVIVEFVLRGFSSILQLNISLFIMFCIFYILTISGNILIVFLVLCNHALHT PMYFFLVNLSFLEVCYTSNIVPKMLLIIIADQKTISVVGCLAQFYFFGSLAATECLLLAV MSYDRYLAICQPLRYPILMTGSLCFRLAIGSWFCCFFLTAITMVLLCRQNFCGPNEIDHF FCDFAPLIHLSCMDTSLIETVAFATSSAVTLVPFFLITISYSCILIAILRIPSGTGRKKA FSTCSSHLTVVTVFYGTLIATYLVPSANSSQYLRKGFSLLYTILTPMFNPIIYSLRNRDI HEALKKCLSKKSDFLI >ENSMUSP00000134576.1 pep:known chromosome:GRCm38:1:119923341:119997190:-1 gene:ENSMUSG00000036962.12 transcript:ENSMUST00000174370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap221 description:cilia and flagella associated protein 221 [Source:MGI Symbol;Acc:MGI:2684947] MEVVKSPMQELQQAKEPFDTMSPLLLKSLVEEPKKRTEVPNHLLESRVYAKLLNNKVIQA RPGIVHFGGYEIESKHQQILNIANISDEDTHLHILPPQTKYFQINFEKKEHRLIPGLSLT VTITFSPDEWRYYYDCIRIHCKGDDTLLVPIHAYPVLNNLDFPTFINLSDVFLGESKSYV IPLQCSCPVDFEFHITLLRSHQAFTIEPKSGIIPANGKAKVTVKFTPIQYGMAQIKIQLW ISQFNSQPYECVFTGTCYPNMALPLEEFKRLNTRSKKVNVPLEKTTYVQFYPAPAKAKPQ KLKEIDYQDLRFPADLSNPFAVATVLNQEPGKLKIKELKQVLDQGDEISKTRQMKEAIFE QKVRQDILTEIENHLKWQVHLGKEHTTYRFKRELTEEWKKARAKYKQNRGDPVEGEELQR LQTEQSQKRIVRDLKGKRQEFHPNFDPLVNNVWLTRHRAQRRFQQAARKIMLERRLLSML GAIRGMDKESILRKIIQVNGKLIQGENPSRGRRAHLKQEDNIWRYSLESEEVLHFAFPTD SESYNELALDGLGLVPIKSPEIQIKHSYPYFTLKVPQLYKIKGYHPFSVNKSSTNYRLQK LARPLKHGAEDEVTTIITIPKKDTTPLSAKPSILSMKPPEGLAMSVEYDPLYIFNPSPGL FAVKHPLTYAETLIDYHLCSHPKYKYTQESHMGSSIPLTQRQFLHHTDIIPGIMNWKKFQ PLVFSSMSDPSMVEATQRSDWYSSVMLPIDVPAPLEDLPEEDRLETTERDLCDQGIEVML TPEMVQVEFPMLIHRDSKKEKDFKDSTQLPEKVGERVQEEMKNLRSKALNTYLILD >ENSMUSP00000134719.1 pep:known chromosome:GRCm38:1:119961969:119997234:-1 gene:ENSMUSG00000036962.12 transcript:ENSMUST00000174458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap221 description:cilia and flagella associated protein 221 [Source:MGI Symbol;Acc:MGI:2684947] MEVVKSPMQELQQAKEPFDTMSPLLLKSLVEEPKKRTEVPNHLLESRVYAKLLNNKVIQA RPGIVHFGGYEIESKHQQILNIANISDEDTHLHILPPQTKYFQINFEKKEHRLIPGLSLT VTITFSPDEWRYYYDCIRIHCKGDDTLLVPIHAYPVLNNLDFPTFINLSDVFLGESKS >ENSMUSP00000037703.5 pep:known chromosome:GRCm38:1:119923519:119995210:-1 gene:ENSMUSG00000036962.12 transcript:ENSMUST00000037840.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap221 description:cilia and flagella associated protein 221 [Source:MGI Symbol;Acc:MGI:2684947] MEVVKSPMQELQQAKEPFDTMSPLLLKSLVEEPKKRTEVPNHLLESRVYAKLLNNKVIQA RPGIVHFGGYEIESKHQQILNIANISDEDTHLHILPPQTKYFQINFEKKEHRLIPGLSLT VTITFSPDEWRYYYDCIRIHCKGDDTLLVPIHAYPVLNNLDFPTFINLSDVFLGESKSYV IPLQCSCPVDFEFHITLLRSHQAFTIEPKSGIIPANGKAKVTVKFTPIQYGMAQIKIQLW ISQFNSQPYECVFTGTCYPNMALPLEEFKRLNTRSKKVNVPLEKTTYVQFYPAPAKAKPQ KLKEIDYQDLRFPADLSNPFAVATVLNQEPGKLKIKELKQVLDQGDEISKTRQMKEAIFE QKVRQDILTEIENHLKWQVHLGKEHTTYRFKRELTEEWKKARAKYKQNRGDPVEGEELQR LQTEQSQKRIVRDLKGKRQEFHPNFDPLVNNVWLTRHRAQRRFQQAARKIMLERRLLSML GAIRGMDKESILRKIIQVNGKLIQGENPSRGRRAHLKQEDNIWRYSLESEEVLHFAFPTD SESYNELALDGLGLVPIKSPEIQIKHSYPYFTLKVPQLYKIKGYHPFSVNKSSTNYRLQK LARPLKHGAEDEVTTIITIPKKDTTPLSAKPSILSMKPPEGLAMSVEYDPLYIFNPSPGL FAVKHPLTYAETLIDYHLCSHPKYKYTQESHMGSSIPLTQRQFLHHTDIIPGIMNWKKFQ PLVFSSMSDPSMVEATQRSDWYSSVMLPIDVPAPLEDLPEEDRLETTERDLCDQGIEVML TPEMVQVEFPMLIHRDSKKEKDFKDSTQLPEKVGERVQEEMKNLRSKALNTYLILD >ENSMUSP00000007444.7 pep:known chromosome:GRCm38:11:106163351:106193536:-1 gene:ENSMUSG00000069631.14 transcript:ENSMUST00000007444.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strada description:STE20-related kinase adaptor alpha [Source:MGI Symbol;Acc:MGI:1919399] MSFLVSKPERIRRWVSEKFIVEGLRDLELFGEQPPGDTRRKANEASSESIASFSKPEMMS SFLPEGGCYELLTIIGKGFEDLMTVNLARYKPTGEYVTVRRINLEACSNEMVTFLQGELH VSKLFSHPNIVPYRATFIADNELWVVTSFMAYGSAKDLIGTHFMDGMNELAIAYILQGVL KALDYIHHMGYVHRSVKASHILISTDGKVYLSGLRSNLSMISHGQRQRAVHDFPKYSIKV LPWLSPEVLQQNLQGYDAKSDIYSVGITACELANGHVPFKDMPATQMLLEKLNGTVPCLL DTSTIPAEELTMSPSRSIANPGLNDSLAAGSLRPSNGDSPSHPYHRTFSPHFHNFVEQCL QRNPDARPNASTLLNHSFFKQIKRRASEALPELLRPVTPITNFEGSQSQDHSGIFGLVTN LEDLEVDDWEF >ENSMUSP00000099361.3 pep:known chromosome:GRCm38:11:106163848:106193562:-1 gene:ENSMUSG00000069631.14 transcript:ENSMUST00000103072.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strada description:STE20-related kinase adaptor alpha [Source:MGI Symbol;Acc:MGI:1919399] MSFLANEASSESIASFSKPEMMSSFLPEGGCYELLTIIGKGFEDLMTVNLARYKPTGEYV TVRRINLEACSNEMVTFLQGELHVSKLFSHPNIVPYRATFIADNELWVVTSFMAYGSAKD LIGTHFMDGMNELAIAYILQGVLKALDYIHHMGYVHRSVKASHILISTDGKVYLSGLRSN LSMISHGQRQRAVHDFPKYSIKVLPWLSPEVLQQNLQGYDAKSDIYSVGITACELANGHV PFKDMPATQMLLEKLNGTVPCLLDTSTIPAEELTMSPSRSIANPGLNDSLAAGSLRPSNG DSPSHPYHRTFSPHFHNFVEQCLQRNPDARPNASTLLNHSFFKQIKRRASEALPELLRPV TPITNFEGSQSQDHSGIFGLVTNLEDLEVDDWEF >ENSMUSP00000115555.1 pep:known chromosome:GRCm38:11:106168475:106193539:-1 gene:ENSMUSG00000069631.14 transcript:ENSMUST00000152008.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strada description:STE20-related kinase adaptor alpha [Source:MGI Symbol;Acc:MGI:1919399] MSFLANEASSESIASFSKPEMMSSFLPEGGCYELLTIIGKGFEDLMTVNLARYKPTGEYV TVRRINLEACSNEMVTFLQGELHVSKLFSHPNIVPYRATFIADNELWVVTSFMAYGSAKD LIGTHFMDGMNELAIAYILQGVLKALDYIHHMGYVHRSV >ENSMUSP00000102480.1 pep:known chromosome:GRCm38:11:106183902:106193572:-1 gene:ENSMUSG00000069631.14 transcript:ENSMUST00000106867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strada description:STE20-related kinase adaptor alpha [Source:MGI Symbol;Acc:MGI:1919399] MSFLVSKPERIRVSVPSLLVFYLFFLKSVWVGITVGCFVLCDTQSSLVENKTGKVAYLMN QLVTC >ENSMUSP00000031320.6 pep:known chromosome:GRCm38:5:90772435:90773383:1 gene:ENSMUSG00000029373.7 transcript:ENSMUST00000031320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pf4 description:platelet factor 4 [Source:MGI Symbol;Acc:MGI:1888711] MSVAAVFRGLRPSPELLLLGLLFLPAVVAVTSAGPEESDGDLSCVCVKTISSGIHLKHIT SLEVIKAGRHCAVPQLIATLKNGRKICLDRQAPLYKKVIKKILES >ENSMUSP00000143818.1 pep:known chromosome:GRCm38:5:90772513:90773156:1 gene:ENSMUSG00000029373.7 transcript:ENSMUST00000202625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pf4 description:platelet factor 4 [Source:MGI Symbol;Acc:MGI:1888711] MSVAAVFRGLRPSPELLLLGLLFLPAVVAVTSAGPEESDGDLSCVCVKTISSGIHLKHIT SLEVIKAGRHCAVPQLM >ENSMUSP00000114203.1 pep:known chromosome:GRCm38:10:81366222:81378516:-1 gene:ENSMUSG00000020235.16 transcript:ENSMUST00000140901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzr1 description:fizzy/cell division cycle 20 related 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1926790] MDQDYERRLLRQIIIQNENTVPCVSEMRRTLTPANSPVSSPSKHGDRFIPSRAGANWSVN FHRINENEKSPSQNRKAKDATSDNGKDGLAYSALLKNELLGAGIEKVQDPQTEDRRLQPS TPEHKGLFTYSLSSKRSSPDDGNDVSPYSLSPVSNKSQKLLRSPRKPTRKISKIPFKVLD APELQDDFYLNLVDWSSLNVLSVGLGTCVYLWSACTSQVTRLCDLSVEGDSVTSVGWSER GNLVAVGTHKGFVQIWDAAAGKKLSMLEGHTARVGALAWNADQLSSGSRDRMILQRDIRT PPLQSERRLQGHRQEVCGLKWSTDHQLLASGGNDNKLLVWNHSSLSPVQQYTEHLAAVKA IAWSPHQHGLLASGGGTADRCIRFWNTLTGQPLQCIDTGSQVCNLAWSKHANELVSTHGY SQNQILVWKYPSLTQVAKLTGHSYRVLYLAMSPDGEAIVTGAGDETLRFWNVFSKTRSTK ESVSVLNLFTRIR >ENSMUSP00000020457.7 pep:known chromosome:GRCm38:10:81367098:81378451:-1 gene:ENSMUSG00000020235.16 transcript:ENSMUST00000020457.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fzr1 description:fizzy/cell division cycle 20 related 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1926790] MDQDYERRLLRQIIIQNENTVPCVSEMRRTLTPANSPVSSPSKHGDRFIPSRAGANWSVN FHRINENEKSPSQNRKAKDATSDNGKDGLAYSALLKNELLGAGIEKVQDPQTEDRRLQPS TPEHKGLFTYSLSSKRSSPDDGNDVSPYSLSPVSNKSQKLLRSPRKPTRKISKIPFKVLD APELQDDFYLNLVDWSSLNVLSVGLGTCVYLWSACTSQVTRLCDLSVEGDSVTSVGWSER GNLVAVGTHKGFVQIWDAAAGKKLSMLEGHTARVGALAWNADQLSSGSRDRMILQRDIRT PPLQSERRLQGHRQEVCGLKWSTDHQLLASGGNDNKVSHCSALTQAHKCATWPGPSTPMS W >ENSMUSP00000112868.1 pep:known chromosome:GRCm38:10:81367509:81371413:-1 gene:ENSMUSG00000020235.16 transcript:ENSMUST00000118812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzr1 description:fizzy/cell division cycle 20 related 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1926790] MDQDYERRLLRQIIIQNENTVPCVSEMRRTLTPANSPVSSPSKHGDRFIPSRAGANWSVN FHRINENEKSPSQNRKAKDATSDNGKDGLAYSALLKNELLGAGIEKVQDPQTEDRRLQPS TPEHKGLFTVTRLCDLSVEGDSVTSVGWSERGNLVAVGTHKGFVQIWDAAAGKKLSMLEG HTARVGALAWNADQLSSGSRDRMILQRDIRTPPLQSERRLQGHRQEVCGLKWSTDHQLLA SGGNDNKLLVWNHSSLSPVQQYTEHLAAVKAIAWSPHQHGLLASGGGTADRCIRFWNTLT GQPLQCIDTGSQVCNLAWSKHANELVSTHGYSQNQILVWKYPSLTQVAKLTGHSYRVLYL AMSPDGEAIVTGAGDETLRFWNVFSKTRSTKESVSVLNLFTRIR >ENSMUSP00000099558.4 pep:known chromosome:GRCm38:11:76210571:76217664:-1 gene:ENSMUSG00000049396.6 transcript:ENSMUST00000102500.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin4 description:gem (nuclear organelle) associated protein 4 [Source:MGI Symbol;Acc:MGI:2449313] MDLGPLNICEEMTILHGGFLMAEQLFHPKALVQLTKSDWEHVGQPIVEALKEISSATAHS QPFAWKKKALIIIWTKVLQPSPVSPSDTDTRWQEDVFFSVGNMIPTINHTVLFELLKSLE ASGLFIQLLMALPTTIGRSELQSFLEHMTVDTSSKDVAFFLDVWWEMMKHKGDQQDPLLS QFRTMAHKYLPSSDEFSHPPKRFKSDPDVGPTMPLLAMLLNGLKQIQKRILSLGMKCCAL ANLADMLSVFALLEDDTQEVSATVYLDKLATVISVWNSDTQNPYHRQALADKVKEAERDV SLTSLAKLPSETVFMGFELMYNLLQEWGAELQDMLNSSQGTNYDTYRLCDSLTSFSQNLE LYLDTTNMPKEEREVVSELAECVRDFLRKTSRMLNDKGLEDITASIAMAIIEQKMDRHME MCYIFASEKKWAFSDEWVACLVNNRSLFREPDLVLSLLETVMEVISSDRVIPQPQIKQVI CLTLECYEDLSLPDKNKVLSGVLHYLGPKGLSDRLSDYLEGFQEDLNTTFNQLAQSTSEQ GLAKAVASVAHLVILNPEVVVKKMCSLAVTNLGTHRFLAQILTAFPALKFTEEQGANPCT SFMVSCLKETVWTKFSTPKEEKQFLELVSCLTNPVKPQGIPVAALLEPDEVLKEFVLPFL MLDMEEVGLSLKLFIQTLEANAGLEEYWLQTCSPFPLLFSLCQLLDSFSKFWQLPREKRY LTLDSKDLVTHILELLCEIVLANTETFSPDTWAKSLSWLHRKLDQLDWTVGLRLKNFFEG HFKCEVPATLFDICKLSEAQWTSQVHEGYGPGTGLLAWMECCSVSSSISDQMLSLLVMDV GNPEEVRLFSKGFLVALVQVMPWCSPQEWQYLQQLTRKLLEKQLLHVPYSLEYIQFVPLL NLKPFAQELQLSVLSLRVFQFLCSQSCCNWLPLDGWSHVVKLLCNSLTSVLDAVRLMQSV GPWAQGQEGDLTQETLFVYTQMFCHVLHIMAMLPQEVCEPLYVLALEILTCYETLSKSNP SVSSLLQKVDEQRFLKSIAKNISPEERRQTLLQKINNF >ENSMUSP00000128057.1 pep:known chromosome:GRCm38:11:76217079:76217517:-1 gene:ENSMUSG00000049396.6 transcript:ENSMUST00000143822.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gemin4 description:gem (nuclear organelle) associated protein 4 [Source:MGI Symbol;Acc:MGI:2449313] MDLVSSQWETGSEYSLDSPGNAQGYCTCFGNAGLGGTDVRRVLRY >ENSMUSP00000063104.8 pep:known chromosome:GRCm38:12:109452849:109463336:1 gene:ENSMUSG00000040856.17 transcript:ENSMUST00000056110.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 description:delta-like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:94900] MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPVSNCASGPCQNGGTCLQHTQVSFECLCKPP FMGPTCAKKRGASPVQVTHLPSGYGLTYRLTPGVHELPVQQPEQHILKVSMKELNKSTPL LTEGQAICFTILGVLTSLVVLGTVAIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEE LAVNIIFPEKIDMTTFNKEAGDEEI >ENSMUSP00000105470.4 pep:known chromosome:GRCm38:12:109453455:109460700:1 gene:ENSMUSG00000040856.17 transcript:ENSMUST00000109844.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 description:delta-like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:94900] MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPVSNCASGPCQNGGTCLQHTQVSFECLCKPP FMGPTCAKKRGASPVQVTHLPSGYGLTYRLTPGVHELPVQQPEQHILKVSMKELNKSTPL LTEGQAICFTILGVLTSLVVLGTVAIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEE LAVNIIFPEKIDMTTFNKEAGDEEI >ENSMUSP00000105468.2 pep:known chromosome:GRCm38:12:109453491:109460381:1 gene:ENSMUSG00000040856.17 transcript:ENSMUST00000109842.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 description:delta-like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:94900] MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPVSNCASGPCQNGGTCLQHTQGQAICFTILG VLTSLVVLGTVAIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEELAVNIIFPEKIDM TTFNKEAGDEEI >ENSMUSP00000105469.1 pep:known chromosome:GRCm38:12:109453491:109460618:1 gene:ENSMUSG00000040856.17 transcript:ENSMUST00000109843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 description:delta-like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:94900] MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPAICFTILGVLTSLVVLGTVAIVFLNKCETW VSNLRYNHMLRKKKNLLLQYNSGEELAVNIIFPEKIDMTTFNKEAGDEEI >ENSMUSP00000105472.4 pep:known chromosome:GRCm38:12:109453535:109463336:1 gene:ENSMUSG00000040856.17 transcript:ENSMUST00000109846.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 description:delta-like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:94900] MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPVSNCASGPCQNGGTCLQHTQPEQHILKVSM KELNKSTPLLTEGQAICFTILGVLTSLVVLGTVAIVFLNKCETWVSNLRYNHMLRKKKNL LLQYNSGEELAVNIIFPEKIDMTTFNKEAGDEEI >ENSMUSP00000133430.1 pep:known chromosome:GRCm38:12:109453655:109463336:1 gene:ENSMUSG00000040856.17 transcript:ENSMUST00000173539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 description:delta-like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:94900] MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPVSNCASGPCQNGGTCLQHTQAICFTILGVL TSLVVLGTVAIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEELAVNIIFPEKIDMTT FNKEAGDEEI >ENSMUSP00000105467.2 pep:known chromosome:GRCm38:12:109453660:109460360:1 gene:ENSMUSG00000040856.17 transcript:ENSMUST00000109841.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 description:delta-like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:94900] MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPGQAICFTILGVLTSLVVLGTVAIVFLNKCE TWVSNLRYNHMLRKKKNLLLQYNSGEELAVNIIFPEKIDMTTFNKEAGDEEI >ENSMUSP00000133530.1 pep:known chromosome:GRCm38:12:109454195:109459762:1 gene:ENSMUSG00000040856.17 transcript:ENSMUST00000124293.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 description:delta-like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:94900] MDSVVSFRPCGVNCVPFFSSSGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTAP GCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFSG KDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNPC ENDGV >ENSMUSP00000134308.1 pep:known chromosome:GRCm38:12:109459843:109460475:1 gene:ENSMUSG00000040856.17 transcript:ENSMUST00000173812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 description:delta-like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:94900] XASGPCQNGGTCLQHTQQPEQHILKVSMKELNKSTPLLTEGQAICFTILGVLTSLVVLGT VAIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEELAVNIIFPEKIDMTTFNKEAGDE EI >ENSMUSP00000109438.3 pep:known chromosome:GRCm38:2:29761224:29787648:-1 gene:ENSMUSG00000039826.13 transcript:ENSMUST00000113807.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trub2 description:TruB pseudouridine (psi) synthase homolog 2 (E. coli) [Source:MGI Symbol;Acc:MGI:2442186] MGSSGLARLQGLFAVYKPPGLKWLHLRETVELQLLKGLNAQQPPAPDQRVRFLLGPVEGS EEKKLTLRATNVPSLTTHRLVRGPAFTNLKIGVGHRLDVQASGVLVLAVGHGRSLLTDMY DAHLTKDYTVRGLLGKATDNFCEDGRLIEKTTYDHVTRERLDRILAVIQGSHQKALVMMW PSLGSPPSAGLRPVSFVWQMSLGE >ENSMUSP00000135464.1 pep:known chromosome:GRCm38:2:29774684:29787660:-1 gene:ENSMUSG00000039826.13 transcript:ENSMUST00000156846.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trub2 description:TruB pseudouridine (psi) synthase homolog 2 (E. coli) [Source:MGI Symbol;Acc:MGI:2442186] MGSSGLARLQGLFAVYKPPGLKWLHLRETVELQLLKGLNAQQPPAPDQRVRFLLGPVEGS EEKKLTLRATNVPSLTTHRLVRGPAFTNLKIGVGHRLDVQASGVLGLHSAWPPGQSYRQL L >ENSMUSP00000041848.5 pep:known chromosome:GRCm38:2:29776110:29788502:-1 gene:ENSMUSG00000039826.13 transcript:ENSMUST00000048044.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trub2 description:TruB pseudouridine (psi) synthase homolog 2 (E. coli) [Source:MGI Symbol;Acc:MGI:2442186] MGSSGLARLQGLFAVYKPPGLKWLHLRETVELQLLKGLNAQQPPAPDQRVRFLLGPVEGS EEKKLTLRATNVPSLTTHRLVRGPAFTNLKIGVGHRLDVQASGVLVLAVGHGRSLLTDMY DAHLTKDYTVRGLLGKATDNFCEDGRLIEKTTYDHVTRERLDRILAVIQGSHQKALVMYS NLDLKSQEAYEMAVQGVIRPMNKSPMLISGIRCLHFAPPEFLLEVQCMHETQQQLRKLVH EIGLELKTSAVCTQVRRTRDGFFGLDDALLRTQWDLHNIQDAIQAAAPRVAAELQKNLSL KLGHHQLPSTGQPWGLKDPSSTLELESCSGQ >ENSMUSP00000134787.1 pep:known chromosome:GRCm38:2:29777688:29787634:-1 gene:ENSMUSG00000039826.13 transcript:ENSMUST00000176312.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trub2 description:TruB pseudouridine (psi) synthase homolog 2 (E. coli) [Source:MGI Symbol;Acc:MGI:2442186] MGSSGLARLQGLFAVYKPPGLKWLHLRETVELQLLKGLNAQQPPAPDQRVRFLLGPVEGS EEKKLTLRATNVPSLTTHRLVRGPAFTNLKIGVGHRLDVQASGVLGLHSAWPPGQSYRQL L >ENSMUSP00000135521.1 pep:known chromosome:GRCm38:2:29777757:29787622:-1 gene:ENSMUSG00000039826.13 transcript:ENSMUST00000177467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trub2 description:TruB pseudouridine (psi) synthase homolog 2 (E. coli) [Source:MGI Symbol;Acc:MGI:2442186] MGSSGLARLQGLFAVYKPPGLKWLHLRETVELQLLKGLNAQQPPAPDQRVRFLLGPVEGS EEKKLTLRATNVPSLTTHRLVRGPAFTNLKIGVGHRLDVQASGVLVLAVGHGRSLLTDMY DAHLTKDYTVRGLLGKATDNFCEDGRLIEKTTYDHVTRERLDRILAVIQGSHQKALVIGA VYARDAAAAEEAGA >ENSMUSP00000135893.1 pep:known chromosome:GRCm38:2:29778594:29787671:-1 gene:ENSMUSG00000039826.13 transcript:ENSMUST00000177133.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trub2 description:TruB pseudouridine (psi) synthase homolog 2 (E. coli) [Source:MGI Symbol;Acc:MGI:2442186] MGSSGLARLQGLFAVYKPPGLKWLHLRETVELQLLKGLNAQQPPAPDQRVRFLLGPVEGS EEKKLTLRATNVPSLTTHRLVRGPAFTNLKIGVGHRLDVQASGVLGLHSAWPPGQSYRQL L >ENSMUSP00000135426.1 pep:known chromosome:GRCm38:19:40550257:40588369:-1 gene:ENSMUSG00000025007.13 transcript:ENSMUST00000176939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh18a1 description:aldehyde dehydrogenase 18 family, member A1 [Source:MGI Symbol;Acc:MGI:1888908] MLRHMHRSGVQPFRQRLLPWVQSIAVPRSNRVQPSAIRHVRSWSNIPFITVPLSRAHGKP FAHRSELKHAKRIVVKLGSAVVTRGDECGLALGRLASIVEQVSVLQNQGREMMLVTSGAV AFGKQRLRHEILLSQSVRQALHSGQNHLKEMAIPVLEARACAAAGQSGLMALYEAMFTQY SICAAQILVTNLDFHDEQKRRNLNGTLHELLRMNIVPIVNTNDAVVPPAEPNSDLQGVIS VKDNDSLAARLAVEMKTDLLIVLSDVEGLFDSPPGSDDAKLIDIFYPGDQQSVTFGTKSR VGLGGMEAKVKAALWALQGGTSVVIANGTHPKVSGHVITDIVEGKKVGTFFSEVKPAGPT VEQQGEMARSGGRMLATLEPEQRAEIINHLADLLTDQREEILLANKKDLEEAEGRLASPL LKRLSLSTSKLNSLAIGLRQIAASSQESVGRVLRRTRIAKNLELEQVTVPIGVLLVIFES RPDCLPQVAALAIASGNGLLLKGGKEAAHSNRILHLLTQEALSIHGVKEAIQLVNTREEV EDLCRLDKIIDLIIPRGSSQLVRDIQKAAKGIPVMGHSEGICHMYVDSEASVDKVTRLVR DSKCEYPAACNALETLLIHRDLLRTPLFDQIIDMLRVEQVKIHAGPKFASYLTFSPSEVK SLRTEYGDLEVCIEVVDSVQEAIDHIHKYGSSHTDVIVTENEKTAEFFLQHVDSACVFWN ASTRFSDGYRFGLGAEVGISTSRIHARGPVGLEGLLTTKWLLRGQDHVVSDFSEHGSLKY LHENLPVPQRNFS >ENSMUSP00000025979.6 pep:known chromosome:GRCm38:19:40550257:40588463:-1 gene:ENSMUSG00000025007.13 transcript:ENSMUST00000025979.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh18a1 description:aldehyde dehydrogenase 18 family, member A1 [Source:MGI Symbol;Acc:MGI:1888908] MLRHMHRSGVQPFRQRLLPWVQSIAVPRSNRVQPSAIRHVRSWSNIPFITVPLSRAHGKP FAHRSELKHAKRIVVKLGSAVVTRGDECGLALGRLASIVEQVSVLQNQGREMMLVTSGAV AFGKQRLRHEILLSQSVRQALHSGQNHLKEMAIPVLEARACAAAGQSGLMALYEAMFTQY SICAAQILVTNLDFHDEQKRRNLNGTLHELLRMNIVPIVNTNDAVVPPAEPNSDLQGVNV ISVKDNDSLAARLAVEMKTDLLIVLSDVEGLFDSPPGSDDAKLIDIFYPGDQQSVTFGTK SRVGLGGMEAKVKAALWALQGGTSVVIANGTHPKVSGHVITDIVEGKKVGTFFSEVKPAG PTVEQQGEMARSGGRMLATLEPEQRAEIINHLADLLTDQREEILLANKKDLEEAEGRLAS PLLKRLSLSTSKLNSLAIGLRQIAASSQESVGRVLRRTRIAKNLELEQVTVPIGVLLVIF ESRPDCLPQVAALAIASGNGLLLKGGKEAAHSNRILHLLTQEALSIHGVKEAIQLVNTRE EVEDLCRLDKIIDLIIPRGSSQLVRDIQKAAKGIPVMGHSEGICHMYVDSEASVDKVTRL VRDSKCEYPAACNALETLLIHRDLLRTPLFDQIIDMLRVEQVKIHAGPKFASYLTFSPSE VKSLRTEYGDLEVCIEVVDSVQEAIDHIHKYGSSHTDVIVTENEKTAEFFLQHVDSACVF WNASTRFSDGYRFGLGAEVGISTSRIHARGPVGLEGLLTTKWLLRGQDHVVSDFSEHGSL KYLHENLPVPQRNFS >ENSMUSP00000135419.1 pep:known chromosome:GRCm38:19:40553427:40554741:-1 gene:ENSMUSG00000025007.13 transcript:ENSMUST00000175967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh18a1 description:aldehyde dehydrogenase 18 family, member A1 [Source:MGI Symbol;Acc:MGI:1888908] LTFSPSEVKSLRTEYGDLEVCIEVVDSVQEAIDHIHKYGSSHTDVIVTENEKTAEFFLQH VDSACVFWNASTRFSDGYRFGLGKKGRGMESPVALPLWWL >ENSMUSP00000135759.1 pep:known chromosome:GRCm38:19:40574344:40588384:-1 gene:ENSMUSG00000025007.13 transcript:ENSMUST00000176955.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh18a1 description:aldehyde dehydrogenase 18 family, member A1 [Source:MGI Symbol;Acc:MGI:1888908] MMLVTSGAVAFGKQRLRHEILLSQSVRQALHSGQNHLKEMAIPVLEARACAAAGQSGLMA LYEAMFTQYSICAAQILVTNLDF >ENSMUSP00000115429.2 pep:known chromosome:GRCm38:19:40577615:40588410:-1 gene:ENSMUSG00000025007.13 transcript:ENSMUST00000149476.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh18a1 description:aldehyde dehydrogenase 18 family, member A1 [Source:MGI Symbol;Acc:MGI:1888908] MLRHMHRSGVQPFRQRLLPWVQSIAVPRSNRVQPSAIRHVRSWSNIPFITVPLSRAHGKP FAHRSELKHAKRIVVKLGSAVVTRGDECGLALGRLASIVEQVSVLQNQGREMMLVTSGAV AFGKQRLRHEILLSQSVRQALHSGQNHLKEMAIPVLEARACAAAGQSGLMALY >ENSMUSP00000135417.1 pep:known chromosome:GRCm38:19:40577658:40588382:-1 gene:ENSMUSG00000025007.13 transcript:ENSMUST00000175932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh18a1 description:aldehyde dehydrogenase 18 family, member A1 [Source:MGI Symbol;Acc:MGI:1888908] MMLVTSGAVAFGKQRLRHEILLSQSVRQALHSGQNHLKEMAIPVLEAR >ENSMUSP00000049440.5 pep:known chromosome:GRCm38:7:79925361:79935359:-1 gene:ENSMUSG00000039043.5 transcript:ENSMUST00000048731.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpin description:actin-related protein 2/3 complex inhibitor [Source:MGI Symbol;Acc:MGI:1917670] MSRIYQDSALRNKAVQSARLPGTWDPATHQGGNGILLEGELVDVSRHSILDAHGRKERYY VLYIQPSCIHRRKFDPKGNEIEPNFSATRKVNTGFLMSSYKVEAKGDTDRLTLEALKSLV NKPQLLELTESLTPDQAVAFWMPESEMEVMELELGTGVRLKTRGDGPFIDSLAKLELGTV TKCNFAGDGKTGASWTDNIMAQKSSERNTAEIREQGDGAEDEEWDD >ENSMUSP00000047488.4 pep:known chromosome:GRCm38:7:44012168:44016968:1 gene:ENSMUSG00000053719.10 transcript:ENSMUST00000048945.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b26 description:kallikrein 1-related petidase b26 [Source:MGI Symbol;Acc:MGI:891981] MWFLILFPALSLGGIDAAPPLQSRVVGGFNCEKNSQPWQVAVYYQKEHICGGVLLDRNWV LTAAHCYVDQYEVWLGKNKLFQEEPSAQHRLVSKSFPHPGFNMSLLMLQTTPPGADFSND LMLLRLSKPADITDVVKPIALPTKEPKPGSTCLASGWGSITPTRWQKSDDLQCVFITLLP NENCAKVYLQKVTDVMLCAGEMGGGKDTCAGDSGGPLICDGILQGTTSNGPEPCGKPGVP AIYTNLIKFNSWIKDTMMKNA >ENSMUSP00000107854.1 pep:known chromosome:GRCm38:X:166238911:166388988:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000112235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MGCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALAGTVAILEQHFSTNTSDHAL LSEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELHGEFKTTACGRCISGMFVFL TYVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQSNGTSGVEQICVDVRQYGIIPWNA FPGKICGSALENICNTNEFYMSYHLFIVACAGAGATVIALLIYMMATTYNYAVLKFKSRE DCCTKF >ENSMUSP00000060442.7 pep:known chromosome:GRCm38:X:166238972:166388988:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000060210.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MGCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALAGTVAILEQHFSTNTSDHAL LSEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELHGEFKTTACGRCISGMFVFL TYVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQSNGTSGVEQICVDVRQYGIIPWNA FPGKICGSALENICNTNEFYMSYHLFIVACAGAGATVIALIHFLMILSSNWAYLKDASKM QAYQDIKAKEEQELQDIQSRSKEQLNSYT >ENSMUSP00000107852.1 pep:known chromosome:GRCm38:X:166238972:166388988:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000112233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MGCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALAGTVAILEQHFSTNTSDHAL LSEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELHGEFKTTACGRCISGMFVFL TYVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQSNGTSGVEQICVDVRQYGIIPWNA FPGKICGSALENICNTNEFYMSYHLFIVACAGAGATVIALLIYMMATTYNYAVLKFKSRE DCCTKF >ENSMUSP00000134741.1 pep:known chromosome:GRCm38:X:166344692:166384000:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000123408.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MKPAMETAAEENTEQSQERKVNSRAEMEIGRYHWMYPGSKNHQYRPVPTLGDRAGPLSSP GSKNAKHLAKAGIANRFRMPHLSLGRWDC >ENSMUSP00000135378.1 pep:known chromosome:GRCm38:X:166344692:166384000:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000143263.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MKPAMETAAEENTEQSQERKGSKNAKHLAKAGIANRFRMPHLSLGRWDC >ENSMUSP00000107842.1 pep:known chromosome:GRCm38:X:166344692:166388988:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000112223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MKPAMETAAEENTEQSQERKVNSRAEMEIGRYHWMYPGSKNHQYRPVPTLGDRAGPLSSP GCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALAGTVAILEQHFSTNTSDHALL SEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELHGEFKTTACGRCISGMFVFLT YVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQSNGTSGVEQICVDVRQYGIIPWNAF PGKICGSALENICNTNEFYMSYHLFIVACAGAGATVIALLIYMMATTYNYAVLKFKSRED CCTKF >ENSMUSP00000107843.1 pep:known chromosome:GRCm38:X:166344692:166388988:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000112224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MKPAMETAAEENTEQSQERKGCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALA GTVAILEQHFSTNTSDHALLSEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELH GEFKTTACGRCISGMFVFLTYVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQSNGTS GVEQICVDVRQYGIIPWNAFPGKICGSALENICNTNEFYMSYHLFIVACAGAGATVIALL IYMMATTYNYAVLKFKSREDCCTKF >ENSMUSP00000107848.2 pep:known chromosome:GRCm38:X:166344692:166388988:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000112229.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MKPAMETAAEENTEQSQERKVNSRAEMEIGRYHWMYPGSKNHQYRPVPTLGDRAGPLSSP GCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALAGTVAILEQHFSTNTSDHALL SEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELHGEFKTTACGRCISGMFVFLT YVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQSNGTSGVEQICVDVRQYGIIPWNAF PGKICGSALENICNTNEFYMSYHLFIVACAGAGATVIALIHFLMILSSNWAYLKDASKMQ AYQDIKAKEEQELQDIQSRSKEQLNSYT >ENSMUSP00000107847.1 pep:known chromosome:GRCm38:X:166344692:166388988:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000112228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MKPAMETAAEENTEQSQERKGCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALA GTVAILEQHFSTNTSDHALLSEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELH GEFKTTACGRCISGMFVFLTYVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQSNGTS GVEQICVDVRQYGIIPWNAFPGKICGSALENICNTNEFYMSYHLFIVACAGAGATVIALI HFLMILSSNWAYLKDASKMQAYQDIKAKEEQELQDIQSRSKEQLNSYT >ENSMUSP00000107846.2 pep:known chromosome:GRCm38:X:166344692:166388988:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000112227.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MKPAMETAAEENTEQSQERKVNSRAEMEIGRYHWMYPGSKNHQYRPVPTLGDRAGPLSSP GCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALAGTVAILEQHFSTNTSDHALL SEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELHGEFKTTACGRCISGMFVFLT YVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQSNGTSGVEQICVDVRQYGIIPWNAF PGKICGSALENICNTNEFYMSYHLFIVACAGAGATVIALLIYMMATTYNYAVLKFKSRED CCTKF >ENSMUSP00000107845.2 pep:known chromosome:GRCm38:X:166344692:166388988:1 gene:ENSMUSG00000031342.17 transcript:ENSMUST00000112226.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpm6b description:glycoprotein m6b [Source:MGI Symbol;Acc:MGI:107672] MKPAMETAAEENTEQSQERKGCFECCIKCLGGVPYASLVATILCFSGVALFCGCGHVALA GTVAILEQHFSTNTSDHALLSEVIQLMQYVIYGIASFFFLYGIILLAEGFYTTSAVKELH GEFKTTACGRCISGMFVFLTYVLGVAWLGVFGFSAVPVFMFYNIWSTCEVIKSPQSNGTS GVEQICVDVRQYGIIPWNAFPGKICGSALENICNTNEFYMSYHLFIVACAGAGATVIALL IYMMATTYNYAVLKFKSREDCCTKF >ENSMUSP00000039192.4 pep:known chromosome:GRCm38:12:40222758:40225238:1 gene:ENSMUSG00000035983.4 transcript:ENSMUST00000038121.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7008 description:predicted gene 7008 [Source:MGI Symbol;Acc:MGI:3647211] MFLSSAGAKAGWPDHDALSSQTAACRHHAWAGLHRSLANRCRSPHRGVHWGGACIRTPIP RASVCPRCLGCYALGPRGALGDVVSERARPSPGSSQSDCVVTSSQRGVRPGIHPGSHRDE PCGSYAA >ENSMUSP00000127974.1 pep:known chromosome:GRCm38:12:40223364:40229184:1 gene:ENSMUSG00000035983.4 transcript:ENSMUST00000170019.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm7008 description:predicted gene 7008 [Source:MGI Symbol;Acc:MGI:3647211] GCYALGPRGALGDVVSERARPSPGSSQSDCVVTSSQRGVRPGIHPGSHRDEPCGSYAA >ENSMUSP00000133079.1 pep:known chromosome:GRCm38:12:40223429:40229169:1 gene:ENSMUSG00000035983.4 transcript:ENSMUST00000171356.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm7008 description:predicted gene 7008 [Source:MGI Symbol;Acc:MGI:3647211] XPGSSQSDCVVTSSQRGVRPGIHPGSHRDEPCGSYAA >ENSMUSP00000076973.2 pep:known chromosome:GRCm38:7:86488551:86489549:-1 gene:ENSMUSG00000062878.2 transcript:ENSMUST00000077800.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr298 description:olfactory receptor 298 [Source:MGI Symbol;Acc:MGI:3030132] MSNDTRITGFILMGFSAAPELQTVCGLFFLVMYVAVIMSNLLLITLITLDLKLQTPMYFF LKNLSLLDIFFISVPIPNFFINSITHNNSISILGCALQVFLMTSFASGDVFVLTAMSYDH YVAICCPLHYETIMSSGNCVLMVGVSWAIGVLFGALYTAGTFSMPFCGSIVIPQFFCDVP SLLRISCSDILVVIYTSLGMGVCLGMSCFICVVISYFYIFSTVLKIPTTKGQSKAFATCL PHLTVFSVFIVTACFVYLKPPSVVPSISDRLFSVLHTVLPPALNPVIYSLRNSDVKRALK RLQQNLCPSGSLHVTIQSNCPWFCGSHIKQIL >ENSMUSP00000031977.8 pep:known chromosome:GRCm38:6:40325172:40396762:1 gene:ENSMUSG00000029916.11 transcript:ENSMUST00000031977.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agk description:acylglycerol kinase [Source:MGI Symbol;Acc:MGI:1917173] MTAFFKTLRNHWKKTTAGLCLLTWGGHWLYGKHCDNLLRRAACQEAQVFGNQLIPPNAQV KKATVFLNPAACKGKARTLFEKNAAPILHLSGMDVTVVKTDYEGQAKKLLELMESTDVII VAGGDGTLQEVVTGVLRRTDEATFSKIPIGFIPLGQTSSLSHTLFAESGNKVQHITDATL AIVKGETVPLDVLQIKGEKEQPVYAMTGLRWGSFRDAGVKVSKYWYLGPLKTKAAHFFST LQEWPQTHQASISYTGPRERPPIEPEETPPRPSLYRRILRRLASFWAQPQDASSREVSPE VWKDVQLSTIELSITTRNTQLDLMSKEDFMNICIEPDTVSKGDFIIIGSKKVRDPGLRAA GTECLQASHCTLVLPEGTEGSFSIDSEEYEAMPVEVKLLPRKLRFFCDPRKREQMLPSTS Q >ENSMUSP00000143971.1 pep:known chromosome:GRCm38:6:40329492:40357535:1 gene:ENSMUSG00000029916.11 transcript:ENSMUST00000200715.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agk description:acylglycerol kinase [Source:MGI Symbol;Acc:MGI:1917173] MTAFFKTLRNHWKKTTAGLCLLTWGGHWLYGKHCDNLLRRAACQEAQVFGNQLIPPNAQV KKATVFLNPAACKGYFSL >ENSMUSP00000030477.3 pep:known chromosome:GRCm38:4:115828092:115836185:1 gene:ENSMUSG00000028709.3 transcript:ENSMUST00000030477.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob3c description:MOB kinase activator 3C [Source:MGI Symbol;Acc:MGI:2140623] MALCLKQVFAKDKTFRPRKRFEPGTQRFELYKKAQASLKSGLDLRSVVRLPPGESIDDWI AVHVVDFFNRINLIYGTMAEHCSESSCPVMAGGPRYEYRWQDERQYRRPAKLSAPRYMAL LMDWIEGLINDEDVFPTRVGVPFPKNFQQVCTKILTRLFRVFVHVYIHHFDSILSMGAEA HVNTCYKHFYYFIQEFSLVDQRELEPLREMTERICH >ENSMUSP00000123611.1 pep:known chromosome:GRCm38:4:115828658:115831541:1 gene:ENSMUSG00000028709.3 transcript:ENSMUST00000148409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob3c description:MOB kinase activator 3C [Source:MGI Symbol;Acc:MGI:2140623] MALCLKQVFAKDKTFRPRKRFEPGTQRFELYKKAQASLKSGLDLRSVVRLPPGESIDDWI AVHVVDFFNRINLIYGTMAEHCSESSCPVM >ENSMUSP00000102074.3 pep:known chromosome:GRCm38:3:121155398:121171695:-1 gene:ENSMUSG00000028133.17 transcript:ENSMUST00000106466.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd3 description:RWD domain containing 3 [Source:MGI Symbol;Acc:MGI:1920420] MVEEVRQELSALAAIFCGPNEWEMLSCSETDGAVFRIHTTAEGLVGEDVPLELAFHLPVG YPLCLPGISVTSEHLTRAQCVTAKEKLLGEARKLVSEPMVHELVLWIQQNLRLVLSQPET ASSHEKCTLPESATGDDGPWMTLLRLDHMRARTKYVKAVEKWASELRLTGRLMFMGKLIL ILLQGDRSNIKEYLILQKTSKVDVDSSGKKCKEKMISVLSETKVQTEHKRFLAFEVKEYS TLEELQKEFGAAGLGELFSECVLGLVK >ENSMUSP00000130734.1 pep:known chromosome:GRCm38:3:121155843:121158819:-1 gene:ENSMUSG00000028133.17 transcript:ENSMUST00000164573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd3 description:RWD domain containing 3 [Source:MGI Symbol;Acc:MGI:1920420] XMGKLILILLQGDRSNIKEYLILQKTSKVDVDSSGKKCKEKMISVLSETKVQTEHKRFLA FEVKEYSTLEELQKEFGAAGLGELFSEKKSESCFMEY >ENSMUSP00000129262.1 pep:known chromosome:GRCm38:3:121155846:121171688:-1 gene:ENSMUSG00000028133.17 transcript:ENSMUST00000170781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd3 description:RWD domain containing 3 [Source:MGI Symbol;Acc:MGI:1920420] MVEEVRQELSALAAIFCGPNEWEMLSCSETDGAVFRIHTTAEGLVGEDVPLELAFHLPVG YPLCLPGISVTSEHLTRAQCVTAKEKLLGEARKLVSEPMVHELVLWIQQNLRLVLSQPET ASSHEKCTLPESATGDDGPWMTLLRLDHMRARTKYVKAVEKWASELRLTGRLMFMGVPDS SENLQSRCGLKWKEMQREND >ENSMUSP00000040826.5 pep:known chromosome:GRCm38:3:121155865:121171694:-1 gene:ENSMUSG00000028133.17 transcript:ENSMUST00000039761.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd3 description:RWD domain containing 3 [Source:MGI Symbol;Acc:MGI:1920420] MVEEVRQELSALAAIFCGPNEWEMLSCSETDGAVFRIHTTAEGLVGEDVPLELAFHLPVG YPLCLPGISVTSEHLTRAQCVTAKEKLLGEARKLVSEPMVHELVLWIQQNLRLVLSQPET ASSHEKCTLPESATGDDGPWMTLLRLDHMRARTKYVKAVEKWASELRLTGRLMFMGKLIL ILLQGDRSNIKEYLILQKTSKVDVDSSGKKCKEKMISVLSETKVQTEHKRFLAFEVKEYS TLEELQKEFGAAGLGELFSECVLGLVK >ENSMUSP00000102075.1 pep:known chromosome:GRCm38:3:121155939:121171694:-1 gene:ENSMUSG00000028133.17 transcript:ENSMUST00000106467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd3 description:RWD domain containing 3 [Source:MGI Symbol;Acc:MGI:1920420] MVEEVRQELSALAAIFCGPNEWEMLSCSDGAQCPPRETQLLQIVGIHHKHLCITSLTTGR CSWLSATWKAVRKCLLRLLKNGSRFQTSAGRSMETFSSNPETDGAVFRIHTTAEGLVGED VPLELAFHLPVGYPLCLPGISVTSEHLTRAQCVTAKEKLLGEARKLVSEPMVHELVLWIQ QNLRLVLSQPETASSHEKCTLPESATGDDGPWMTLLRLDHMRARTKYVKAVEKWASELRL TGRLMFMGKLILILLQGDRSNIKEYLILQKTSKVDVDSSGKKCKEKMISVLSETKVQTEH KRFLAFEVKEYSTLEELQKEFGAAGLGELFSECVLGLVK >ENSMUSP00000133036.2 pep:known chromosome:GRCm38:3:121156278:121171695:-1 gene:ENSMUSG00000028133.17 transcript:ENSMUST00000164925.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd3 description:RWD domain containing 3 [Source:MGI Symbol;Acc:MGI:1920420] MVHELVLWIQQNLRLVLSQPETASSHEKCTLPESATGDDGPWMTLLRLDHMRARTKYVKA VEKWASELRLTGRLMFMGKLILILLQGDRSNIKEYLILQKTSKVDVDSSGKKCKEKMISV LSETKVQTEHKRFLAFEVKE >ENSMUSP00000132942.1 pep:known chromosome:GRCm38:7:86526759:86527691:1 gene:ENSMUSG00000057067.3 transcript:ENSMUST00000071112.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr297 description:olfactory receptor 297 [Source:MGI Symbol;Acc:MGI:3030131] MMNSTMVTEFLLEVFAETWELRVLLSVLFLLVYLGSLFGNLTIIIVTTVDQTLNTPMYFF LRNLSILDMCYVSITVPNACINSLTDHRNISVTGCAAQIFLFFFCACVEVQFLTIMAQDR YVAICKPLLYPMIMNHQFCVQMTLASLLTSLILSGMNTFKTFQLSFCHSNVVPQFFCELP ALLRLTCSDTFNNKIILLLTAIGLSGTCFTFIAISYVHILSTVLKVPVKGERGKAFSTCV PHIIVAYLFLCSGAYAYLRPPAISEVVEDMTLSVFYTTVPPFLNPIIYSLRNKQIKKAVK KVIFRFFIVE >ENSMUSP00000010267.3 pep:known chromosome:GRCm38:11:61343401:61378081:-1 gene:ENSMUSG00000010122.14 transcript:ENSMUST00000010267.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc47a1 description:solute carrier family 47, member 1 [Source:MGI Symbol;Acc:MGI:1914723] MERTEESAPGPGGADAASERRGLRCLLLPGFLEELRALLVLAGPAFLAQLMMFLISFISS VFCGHLGKLELDAVTLAIAVINVTGISVGHGLSSACDTLISQTYGSQNLKHVGVILQRGT LILLLCCFPCWALFINTEQILLLFRQDPDVSRLTQTYVMIFIPALPAAFLYTLQVKYLLN QGIVLPQIMTGIAANLVNALANYVFLYHLHLGVMGSALANTISQFALAIFLFLYILWRRL HQATWGGWSWECLQDWASFLRLAIPSMLMLCIEWWAYEVGSFLSGILGMVELGAQSITYE LAIIVYMIPSGFSVAANVRVGNALGAGNIDQAKKSSAISLIVTELFAVTFCVLLLGCKDL VGYIFTTDRDIVALVAQVIPIYAVSHLFEGLACTCGGILRGTGNQKVGAIVNAIGYYVIG LPIGIALMFAAKLGVIGLWSGIIICTTCQTTCFLAFIARLNWKRACQQAQVHANLKVNVA LNSAVSHEPAHPVCPESHGEIMMTDLEKKDETQLDQPMNQQQALPIRPKDSNKLSGKQLA LRRGLLLLGVVLVLVGGILVRVYIRIE >ENSMUSP00000115132.1 pep:known chromosome:GRCm38:11:61343402:61378064:-1 gene:ENSMUSG00000010122.14 transcript:ENSMUST00000131723.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc47a1 description:solute carrier family 47, member 1 [Source:MGI Symbol;Acc:MGI:1914723] MERTEESAPGPGGADAASERRGLRCLLLPGFLEELRALLVLAGPAFLAQLMMFLISFISS VFCGHLGKLELDAVTLAIAVINVTGISVGHGLSSACDTLISQTYGSQNLKHVGVILQRGT LILLLCCFPCWALFINTEQILLLFRQDPDVSRLTQTYVMIFIPALPAAFLYTLQVKYLLN QILA >ENSMUSP00000118265.1 pep:known chromosome:GRCm38:11:61362886:61378345:-1 gene:ENSMUSG00000010122.14 transcript:ENSMUST00000148671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc47a1 description:solute carrier family 47, member 1 [Source:MGI Symbol;Acc:MGI:1914723] XVPSAPLQRQRQRLEGPCLSALFPFASSTFANFSVPTLGCWLAFGDAQALGLQGQGFLAQ LMMFLISFISSVFCGHLGKLELDAVTLAIAVINVTGISVGHGLSSACDTLISQTYGSQNL KHVGVILQRGTLILLLCCFPCWALFINTEQILLLFRQDPDVSRLTQTYVMIFIPALPAAF LYTLQVKYLLNQGIVLPQIMTGIAANLVNALANYVFLYHLHLGVMGSALANTISQFALAI FLFLYILWRRLHQATWGGWSWECLQDWASFLRLAIP >ENSMUSP00000050896.3 pep:known chromosome:GRCm38:4:120532231:120536661:1 gene:ENSMUSG00000047518.3 transcript:ENSMUST00000062990.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfnl1 description:schlafen like 1 [Source:MGI Symbol;Acc:MGI:3045330] MSLRKRSAQTQMWESPVMSQGKQSLLELPLKESPPKDSGLKAVPSTHTLYVSHLNPQFSV PVLACLLRDTLERLELPVARGQIEVVRRPRNTYALVQVAAPKAVLASLPWRLQMALEEQL ILKELTARGKELVLSEALESLHHREQEDSGPSPSHSPGPSPGPSPGLRHPPLPQLADPSP IWGSAGRRQISQNRPSGVRSDSAIVHHKILGQEQLFQGAFLGSETRNMEFKRGSGEYLSL AFKHHVRRYVCAFLNSEGGSLLVGVEDSGLVQGIHCSHRDEDRTRLLVDSILQGFKPQVF PDAYTLTFIPVISTTTTSTPLKVLRLTVHTPKAQGEPQLYETDQGEVFLRRDGSIQGPLS VGAIQDWCRQKWAMELGKLEEKVKVLTLEKEQLQQQLRQRQPLSCSCCVL >ENSMUSP00000002043.3 pep:known chromosome:GRCm38:11:106197408:106216344:-1 gene:ENSMUSG00000078622.8 transcript:ENSMUST00000002043.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc47 description:coiled-coil domain containing 47 [Source:MGI Symbol;Acc:MGI:1914413] MKAFYAFCVVLLVFGSVSEAKFDDFEDEEDIVEYDDNDFAEFEDVMEDSVTESPQRVIST EDDEDEATVELEGQDESQEGDFEDADTQEGDTESEPYDDEEFEGYEDKPDTSSNKNKDPI TIVDVPAHLQNSWESYYLEILMVTGLLAYIMNYIIGKNKNSRLAQAWFNSHRELLESNFT LVGDDGTNKEATSTGKLNQENEHIYNLWCSGRVCCEGMLIQLRFLKRQDLLNVLARMMRP VSDQVQIKVTMNDEDMDTYVFAVGTRKALLRLQKEMQDLSEFCSDKPKSGAKYGLPDSLA ILSEMGEVTEGMMDTKMVHFLTHYADKIESVHFSDQFSGPKIMQEEGQPLKLPDTKRTLL FTFNVPGSGNTYPKDMESLLPLMNMVIYSIDKAKKFRLNREGKQKADKNRARVEENFLKL THVQRQEAAQSRREEKKRAEKERIMNEEDPEKQRRLEEAALRREQKKLEKKQMKMKQIKV KAM >ENSMUSP00000102478.1 pep:known chromosome:GRCm38:11:106200779:106204428:-1 gene:ENSMUSG00000078622.8 transcript:ENSMUST00000106865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc47 description:coiled-coil domain containing 47 [Source:MGI Symbol;Acc:MGI:1914413] MVHFLTHYADKIESVHFSDQFSGPKIMQEEGQPLKLPDTKRTLLFTFNVPGSGNTYPKDM ESLLPLMNMVIYSIDKAKKFRLNREGKQKADKNRARVEENFLKLTHVQRQEAAQSRREEK KRAEKERIMNEEDPEKQRRLEEAALRREQKKLEKKQMKMKQIKVKAM >ENSMUSP00000122736.1 pep:known chromosome:GRCm38:11:106201618:106205435:-1 gene:ENSMUSG00000078622.8 transcript:ENSMUST00000125383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc47 description:coiled-coil domain containing 47 [Source:MGI Symbol;Acc:MGI:1914413] XDMDTYVFAVGTRKALLRLQKEMQDLSEFCSDKPKSGAKYGLPDSLAILSEMGEVTEGMM DTKMVHFLTHYADKIESVHFSDQFSGPKIMQEEGQPLKLPDTKRTLLFTFNVPGSGNTYP KDMESLLPLMNMVIYSIDKAKKFRLNREGKQKADKNRARVEENFLKLTHVQRQEAAQSRR EEKKRAEKERIMNEEDPEKQRRLEVRHSEARLLGSASLLCGFMF >ENSMUSP00000117127.1 pep:known chromosome:GRCm38:11:106202005:106202905:-1 gene:ENSMUSG00000078622.8 transcript:ENSMUST00000137915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc47 description:coiled-coil domain containing 47 [Source:MGI Symbol;Acc:MGI:1914413] MCAGMHRWTGPCLQSLNRLLSDDREGQPLKLPDTKRTLLFTFNVPGSGNTYPKDMESLLP LMNMVIYSIDKAKKFRLNREGKQKADKNRARVEENFLKLTHVQRQEAAQSRREEKKRAEK ERIMNEEDPEKQRRLEVR >ENSMUSP00000031009.4 pep:known chromosome:GRCm38:5:38137192:38159907:-1 gene:ENSMUSG00000029126.7 transcript:ENSMUST00000031009.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsg1 description:neuron specific gene family member 1 [Source:MGI Symbol;Acc:MGI:109149] MVKLGNNFAEKGTKQPLLEDGFDTIPLMTPLDVNQLQFPPPDKVVVKTKTEYEPDRKKGK ARPPKIAEFTVSITEGVTERFKVSVLVLFALAFLTCVVFLVVYKVYKYDRACPDGFVLKN TQCIPEGLESYYTEQDSSAREKFYTVINHYNVAKQSITRSVSPWMSVLSEEKLSEQETEA AEKSA >ENSMUSP00000144182.1 pep:known chromosome:GRCm38:5:38138135:38138829:-1 gene:ENSMUSG00000029126.7 transcript:ENSMUST00000202129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsg1 description:neuron specific gene family member 1 [Source:MGI Symbol;Acc:MGI:109149] XQDSSAREKFYTVINHYNVAKQSITRSVSPWMSVLSEEKLSEQETEAAEKSA >ENSMUSP00000143921.1 pep:known chromosome:GRCm38:5:38138256:38159031:-1 gene:ENSMUSG00000029126.7 transcript:ENSMUST00000201415.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsg1 description:neuron specific gene family member 1 [Source:MGI Symbol;Acc:MGI:109149] MVKLGNNFAEKGTKQPLLEDGFDTIPLMTPLDVNQLQFPPPDKVSVLVLFALAFLTCVVF LVVYKVYKYDRACPDGFVLKNTQCIPEGLESYYTEQDSSAREKFYTVINHYNVAKQSITR SVSPWMSVLSEEKLSEQETEAAEKSA >ENSMUSP00000144396.1 pep:known chromosome:GRCm38:5:38138543:38159405:-1 gene:ENSMUSG00000029126.7 transcript:ENSMUST00000201363.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsg1 description:neuron specific gene family member 1 [Source:MGI Symbol;Acc:MGI:109149] MVKLGNNFAEKGTKQPLLEDGFDTIPLMTPLDVNQLQFPPPDKVVVKTKTEYEPDRKKGK ARPPKIAEFTVSITEGVTERFKVSVLVLFALAFLTCVVFLVVYKVYKYDRACPDGFVLKN TQCIPEGLESYYTEQDSSAREKFYTVINHYNVAKQSITRSVSPWMSVLSEEKLSEQETEA AEKSA >ENSMUSP00000144641.1 pep:known chromosome:GRCm38:5:38144714:38159362:-1 gene:ENSMUSG00000029126.7 transcript:ENSMUST00000201341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsg1 description:neuron specific gene family member 1 [Source:MGI Symbol;Acc:MGI:109149] MVKLGNNFAEKGTKQPLLEDGFDTIPLMTPLDVNQLQFPPPDKVVVKTKTEYEPDRKKGK ARPPKIAEFTVSITEGVTERFKVSVLVLFALAFLTCVVFLVVYKVYKYDRACPDGFV >ENSMUSP00000144585.1 pep:known chromosome:GRCm38:5:38158906:38159405:-1 gene:ENSMUSG00000029126.7 transcript:ENSMUST00000201134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsg1 description:neuron specific gene family member 1 [Source:MGI Symbol;Acc:MGI:109149] MVKLGNNFAEKGTKQPLLEDGFDTIPLMTPLDV >ENSMUSP00000027263.7 pep:known chromosome:GRCm38:1:53755506:53785224:-1 gene:ENSMUSG00000026094.14 transcript:ENSMUST00000027263.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk17b description:serine/threonine kinase 17b (apoptosis-inducing) [Source:MGI Symbol;Acc:MGI:2138162] MSRRRFDCRSVSGLLTTTPQTPIKTENFNNFYTLTPKELGRGKFAVVRQCISKSTGQEYA AKSLKKRRRGQDCRAEILHEIAVLELARSCPHVINLHEVYENATEIILVLEYAAGGEIFN LCLPELAEMVSENDVIRLIKQILEGVHYLHQNNIVHLDLKPQNILLSSIYPLGDIKIVDF GMSRKIGNASELREIMGTPEYLAPEILNYDPITTATDMWNIGIIAYMLLTHTSPFVGEDN QETYLNISQVNVDYSEEMFSSVSQLATDFIQSLLVKNPEKRPTAESCLSHSWLQQWDFGS LFHPEETSGSSQIQDLTLRSSEEKTSKSSCNGSCGAREDKENIPEDGSLVSKRFRFDDSL PSPHELVPDLFC >ENSMUSP00000139880.1 pep:known chromosome:GRCm38:1:53761149:53785157:-1 gene:ENSMUSG00000026094.14 transcript:ENSMUST00000185920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk17b description:serine/threonine kinase 17b (apoptosis-inducing) [Source:MGI Symbol;Acc:MGI:2138162] MVSENDVIRLIKQILEGVHYLHQNNIVHLDLKPQNILLSSIYPLGDIKIVDFGMSRKIGN ASELREIMGTPEYLAPEILNYDPITTATDMWNIGI >ENSMUSP00000041328.4 pep:known chromosome:GRCm38:11:115799183:115813630:-1 gene:ENSMUSG00000034471.12 transcript:ENSMUST00000041684.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caskin2 description:CASK-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2157062] MGREQDLILAVKNGDVTCVQKLVAKVKAAKTKLLGSTKRLNINYQDADGFSALHHAALGG SLELIALLLEAQATVDIKDSNGMRPLHYAAWQGRLEPVRLLLRASAAVNAASLDGQIPLH LAAQYGHYEVSEMLLQHQSNPCLVNKLKKTPLDLACEFGRLKVAQLLLNSHLCVALLEGE AKDPCDPNYTTPLHLAAKNGHREVIRQLLKAGIEINRQTKTGTALHEAALYGKTEVVRLL LEGGVDVNIRNTYNQTALDIVNQFTTSQASREIKQLLREASGILKVRALKDFWNLHDPTA LNVRAGDVITVLEQHPDGRWKGHIHESQRGTDRVGYFPPGIVEVVSKRVGIPVARLPSAP TPLRPSFSRISQPAADDPLPSVPYGQLPRVGLSPDSPAGDRNSVGSEGSVGSIRSAGSGQ SSEGTNGHGTGLLIENAQPLPSASEDQGLPGLHAPSPADNLSHRPLAGYRSGEIFTQDVR PEQLLEGKDAQAIHNWLSEFQLEGYTAHFLQAGYDVPTISRMTPEDLTAIGVTKPGHRKK IASEIAQLSIAEWLPNYIPVDLLEWLCALGLPQYHKQLVSSGYDSMGLVADLTWEELQEI GVNKLGHQKKLMLGVKRLAELRRGLLHGEALGEGGRRMTRGPELMAIEGLENGEGPTTAG PRLLTFQGSELSPELQAAMAGGGSEPLPLPPARSPSQESIGARSRGSGHSQEQPVPQPSV GDPSAPQERNLPEGTERPSKLCSPLPGQGPAPYVFMCPQNLPSSPAPGPPPGVPRAFSYL AGSPAAPPDPPRPKRRSHSLSRPGPAEGEAEGEAEGPVGSALGSYATLTRRPGRSTLART SPSLTPTRGTPRSQSFALRARRKGPPPPPPKRLSSVSGSTEPPSLDGTSGPKEGATGPRR RTLSEPTGPSESPGPSAPTGPVSDTEEEPGPEGTPPSRGSSGEGLPFAEEGNLTIKQRPK PAGPPPRETPVPPGLDFNLTESDTVKRRPKCKEREPLQTALLAFGVVGSDTPGPSNPLST QAPCDPPSASSNPPQRSEPSVLPSQGTSASSLSSVTQSPGHPGPSAGPALANSTGSKPNV ETEPPAPPAALLKVPGAGTAPKPVSVACTQLAFSGPKLAPRLGPRPVPPPRPENTGPVCP GRAQQRLEQTSSSLEAALRAAEKSIGTEERDGPTGTSTKHILDDISTMFDALADQLDAML D >ENSMUSP00000119158.1 pep:known chromosome:GRCm38:11:115804736:115808102:-1 gene:ENSMUSG00000034471.12 transcript:ENSMUST00000132780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caskin2 description:CASK-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2157062] MGQVLFCTPKELLGSTKRLNINYQDADGFSALHHAALGGSLELIALLLEAQATVDIKDSN GMRPLHYAAWQGRLEPVRLLLRASAAVNAASLDGQIPLHLAAQYGHYEVSEMLLQHQSNP CLVNKLKKTPLDLACEFGRLKVAQLLLNSHLCVALLEGEAKDPCDPNYTTPLHLAAKNGH REVIRQLLKAGIEINRQTKTGTALHEAALYGKTEVVRLLLEGGVDVNIRNTYNQTALDIV NQFTT >ENSMUSP00000114310.1 pep:known chromosome:GRCm38:11:115806777:115813639:-1 gene:ENSMUSG00000034471.12 transcript:ENSMUST00000156812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caskin2 description:CASK-interacting protein 2 [Source:MGI Symbol;Acc:MGI:2157062] MGREQDLILAVKNGDVTCVQKLVAKVKAAKTKLLGSTKRLNINYQDADGFSALHHAALGG SLELIALLLEAQATVDIKDSNGMRPLHYAAWQGRLEPVRLLLRASAAVNAA >ENSMUSP00000062109.2 pep:known chromosome:GRCm38:11:115307163:115332303:1 gene:ENSMUSG00000050201.9 transcript:ENSMUST00000055490.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otop2 description:otopetrin 2 [Source:MGI Symbol;Acc:MGI:2388365] MSEELVPHPNESLPGPRASPREVWKKGGRLLSVLLAVNVLLLACTLISGGAFNKVAVYDT DVFALLTTMMLLAALWIVFYLLRTARCPDAVPYRDAHAGPIWLRGGLVLFGICTLVMDVF KTGYYSSFFECQSAIKILHPIIQAVFVIVQTYFLWISAKDCIHTHLDLTRCGLMFTLATN LAIWMAAVVDESVHQAHSYSGSHGNTSHTRLNPDSKRAGGAAEEDPCLCSTAICQIFQQG YFYLYPFNIEYSLFASTMLYVMWKNVGRLLASTHGHGHTPSRVSLFRETFFAGPVLGLLL FVVGLAVFILYEVQVSGERGHTRQALVIYYSFNIVCLGLMTLVSLSGSVIYRFDRRAMDH HKNPTRTLDVALLMGAALGQYAISYYSIVAVVVGSPRDLQGALNLSHALLMIAQHTFQNV FIIESLHRGPPGAEPREMPPKEPCQGITFANLDAIRTLPSCPPTPRLVIPNLESPQEAVA IISAPRCHWRRRCLKDISLFLLLCNVILWIMPAFGARPHFSNTVEVDFYGYSLWAAIVNI CLPFGIFYRMHAVSSLLEVYVLS >ENSMUSP00000102154.1 pep:known chromosome:GRCm38:11:115322925:115332303:1 gene:ENSMUSG00000050201.9 transcript:ENSMUST00000106544.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otop2 description:otopetrin 2 [Source:MGI Symbol;Acc:MGI:2388365] MSEELVPHPNESLPGPRASPREVWKKGGRLLSVLLAVNVLLLACTLISGGAFNKVAVYDT DVFALLTTMMLLAALWIVFYLLRTARCPDAVPYRDAHAGPIWLRGGLVLFGICTLVMDVF KTGYYSSFFECQSAIKILHPIIQAVFVIVQTYFLWISAKDCIHTHLDLTRCGLMFTLATN LAIWMAAVVDESVHQAHSYSGSHGNTSHTRLNPDSKRAGGAAEEDPCLCSTAICQIFQQG YFYLYPFNIEYSLFASTMLYVMWKNVGRLLASTHGHGHTPSRVSLFRETFFAGPVLGLLL FVVGLAVFILYEVQVSGERGHTRQALVIYYSFNIVCLGLMTLVSLSGSVIYRFDRRAMDH HKNPTRTLDVALLMGAALGQYAISYYSIVAVVVGSPRDLQGALNLSHALLMIAQHTFQNV FIIESLHRGPPGAEPREMPPKEPCQGITFANLDAIRTLPSCPPTPRLVIPNLESPQEAVA IISAPRCHWRRRCLKDISLFLLLCNVILWIMPAFGARPHFSNTVEVDFYGYSLWAAIVNI CLPFGIFYRMHAVSSLLEVYVLS >ENSMUSP00000050680.3 pep:known chromosome:GRCm38:3:107036169:107038070:1 gene:ENSMUSG00000047959.4 transcript:ENSMUST00000052718.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna3 description:potassium voltage-gated channel, shaker-related subfamily, member 3 [Source:MGI Symbol;Acc:MGI:96660] MTVVPGDHLLEPEAAGGGGGDPPQGGCGSGGGGGGCDRYEPLPPALPAAGEQDCCGERVV INISGLRFETQLKTLCQFPETLLGDPKRRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGG RIRRPVNVPIDIFSEEIRFYQLGEEAMEKFREDEGFLREEERPLPRRDFQRQVWLLFEYP ESSGPARGIAIVSVLVILISIVIFCLETLPEFRDEKDYPASPSQDVFEAANNSTSGAPSG ASSFSDPFFVVETLCIIWFSFELLVRFFACPSKATFSRNIMNLIDIVAIIPYFITLGTEL AERQGNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFI GVILFSSAVYFAEADDPSSGFNSIPDAFWWAVVTMTTVGYGDMHPVTIGGKIVGSLCAIA GVLTIALPVPVIVSNFNYFYHRETEGEEQAQYMHVGSCQHLSSSAEELRKARSNSTLSKS EYMVIEEGGMNHSAFPQTPFKTGNSTATCTTNNNPNSCVNIKKIFTDV >ENSMUSP00000117248.1 pep:known chromosome:GRCm38:10:61838236:61866413:-1 gene:ENSMUSG00000058806.14 transcript:ENSMUST00000145469.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col13a1 description:collagen, type XIII, alpha 1 [Source:MGI Symbol;Acc:MGI:1277201] XIRTLALMGPPGLPGQTGPPGPPGTPGQRGEIGLPGPPGHDGDKGPRGKPGDMGPAGPQG PPGKDGPPGMKGEVGPPGSPGEKGETGQAGPQVPGPPGPEGPPGPPGLQGFPGPKGEAGL EGSKGEKGSQGEKGDRGPLGLPGASGLDGRPGPPGTPGPIGVPGPAGPKGERGSKGDPGM TGPTGAAGLPGLHGPPGDKGNRGERGKKGSRGPKGDKGDQGAPGLDAPCPLGEDGLPVQG CWNK >ENSMUSP00000101093.1 pep:known chromosome:GRCm38:10:61838236:61979073:-1 gene:ENSMUSG00000058806.14 transcript:ENSMUST00000105453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col13a1 description:collagen, type XIII, alpha 1 [Source:MGI Symbol;Acc:MGI:1277201] MVAERTRKAAASGSRGPGELGAPGPGTVALAEQCARLPSPGCCGLLALALCSLALSLLAH FRTAELQARVLRLEAERGEQQMEKAILGRVNQLLDEKWKFYSRRRREAPKMSPGCNCPPG PPGPTGRPGLPGDKGAIGMPGRVGAPGDAGMSIVGPRGPPGQPGTRGFPGFPGPIGLDGR PGHPGPKGEMGLVGPRGQPGPQGQKGEKGQCGEYPHREYPGGMLAALRSNPIMSLKGEQS QTGIQGPPGPPGPPGPSGPLGHPGLPGPIGPPGLPGPPGPKGDPGIQGYHGRKGERGMPG MPGKHGAKGVPGIAVAGMKGEPGTPGTKGEKGAAGSPGLLGQKGEKGDAGNAIGGGRGEP GPPGLPGPPGPKGEAGVDGQAGPPGQQGDKGQPGAAGEQGPSGPKGAKGEPGKGEMVDYN GSINEALQEIRTLALMGPPGLPGQTGPPGPPGTPGQRGEIGLPGPPGHDGDKGPRGKPGD MGPAGPQGPPGKDGPPGMKGEVGPPGSPGEKGETGQAGPQGEKGEAGEKGDPGAEVPGPP GPEGPPGPPGLQGFPGPKGEAGLEGSKGEKGSQGEKGDRGPLGLPGTPGPIGVPGPAGPK GERGSKGDPGMTGPTGAAGLPGLHGPPGDKGNRGERGKKGSRGPKGDKGDQGAPGLDAPC PLGEDGLPVQGCWNK >ENSMUSP00000101092.2 pep:known chromosome:GRCm38:10:61838236:61979073:-1 gene:ENSMUSG00000058806.14 transcript:ENSMUST00000105452.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col13a1 description:collagen, type XIII, alpha 1 [Source:MGI Symbol;Acc:MGI:1277201] MVAERTRKAAASGSRGPGELGAPGPGTVALAEQCARLPSPGCCGLLALALCSLALSLLAH FRTAELQARVLRLEAERGEQQMEKAILGRVNQLLDEKWKFYSRRRREAPKMSPGCNCPPG PPGPTGRPGLPGDKGAIGMPGRVGAPGDAGMSIVGPRGPPGQPGTRGFPGFPGPIGLDGR PGHPGPKGEMGLVGPRGQPGPQGQKGEKGQCGEYPHREYPGGMLAALRSNPIMSLKLLPL LNSVRLAPPPVIKRRTFQGEQSQTGIQGPPGPPGPPGPSGPLGHPGLPGPIGPPGLPGPP GPKGDPGIQGYHGRKGERGMPGMPGKHGAKGVPGIAVAGMKGEPGTPGTKGEKGAAGSPG LLGQKGEKGDAGNAIGGGRGEPGPPGLPGPPGPKGEAGVDGQAGPPGQQGDKGQPGAAGE QGPSGPKGAKGEPGKGEMVDYNGSINEALQEIRTLALMGPPGLPGQTGPPGPPGTPGQRG EIGLPGPPGHDGDKGPRGKPGDMGPAGPQGPPGKDGPPGMKGEVGPPGSPGEKGETGQAG PQGEKGEAGEKGDPGAEVPGPPGPEGPPGPPGLQGFPGPKGEAGLEGSKGEKGSQGEKGD RGPLGLPGASGLDGRPGPPGTPGPIGVPGPAGPKGERGSKGDPGMTGPTGAAGLPGLHGP PGDKGNRGERGKKGSRGPKGDKGDQGAPGLDAPCPLGEDGLPVQGCWNK >ENSMUSP00000101094.2 pep:known chromosome:GRCm38:10:61838236:61979108:-1 gene:ENSMUSG00000058806.14 transcript:ENSMUST00000105454.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col13a1 description:collagen, type XIII, alpha 1 [Source:MGI Symbol;Acc:MGI:1277201] MVAERTRKAAASGSRGPGELGAPGPGTVALAEQCARLPSPGCCGLLALALCSLALSLLAH FRTAELQARVLRLEAERGEQQMEKAILGRVNQLLDEKWKFYSRRRREAPKMSPGCNCPPG PPGPTGRPGLPGDKGAIGMPGRVGIKGQPGEKGAPGDAGMSIVGPRGPPGQPGTRGFPGF PGPIGLDGRPGHPGPKGEMGLVGPRGQPGPQGQKGEKGQCGEYPHREYPGGMLAALRSNP IMSLKLLPLLNSVRLAPPPVIKRRTFQGEQSQTGIQGPPGPPGPPGPSGPLGHPGLPGPI GPPGLPGPPGPKGDPGIQGYHGRKGERGMPGMPGKHGAKGVPGIAVAGMKGEPGTPGTKG EKGAAGSPGLLGQKGEKGDAGNAIGGGRGEPGPPGLPGPPGPKGEAGVDGQAGPPGQQGD KGQPGAAGEQGPSGPKGAKGEPGKGEMVDYNGSINEALQEIRTLALMGPPGLPGQTGPPG PPGTPGQRGEIGLPGPPGHDGDKGPRGKPGDMGPAGPQGPPGKDGPPGMKGEVGPPGSPG EKGETGQAGPQGLDGPTGEKGEPGDEGRPGATGLPGPIGLPGFTGEKGEAGEKGDPGAEV PGPPGPEGPPGPPGLQGFPGPKGEAGLEGSKGEKGSQGEKGDRGPLGLPGTPGPIGVPGP AGPKGERGSKGDPGMTGPTGAAGLPGLHGPPGDKGNRGERGKKGSRGPKGDKGDQGAPGL DAPCPLGEDGLPVQGCWNK >ENSMUSP00000125155.1 pep:known chromosome:GRCm38:14:50906478:50924834:-1 gene:ENSMUSG00000006289.14 transcript:ENSMUST00000160393.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Osgep description:O-sialoglycoprotein endopeptidase [Source:MGI Symbol;Acc:MGI:1913496] MPAVLGFEGSANKIGVGVVRDGTVLANPRRTYVTAPGTGFLPGDTARHHRAVILDLLQEA LTEAGLTSKDIDCIAFTKGPGMGSPLASVAVVARTVAQLWNKPLLGVNHCIGHIEMGRLI TGAVNPTVLYVSGGNTQVISYSEHRYRIFGETIDIAVGNCLDRFARVLKISNDPSPGYNI EQMAKRGKKLVELPYTVKGMDVSFSGILSFIEDAAQRMLATGECTPEDLCFSLQETVFAM LVEITERAMAHCGSKEALIVGGVGCNLRLQEMMGTMCQERGAQLFATDERFCVDNGAMIA QAGWEMFQAGHRTPLKDSAITQRYRTDEVEVTWRD >ENSMUSP00000124039.1 pep:known chromosome:GRCm38:14:50913594:50924893:-1 gene:ENSMUSG00000006289.14 transcript:ENSMUST00000159292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osgep description:O-sialoglycoprotein endopeptidase [Source:MGI Symbol;Acc:MGI:1913496] MPAVLGFEGSANKIGVGVVRDGTVLANPRRTYVTAPGTGFLPGDTARHHRAVILDLLQEA LTEAGLTSKDIDCIAFTKGPGMGSPLASVAVVARTVAQLWNKPLLGVNHCIGHIEMGRLI TGAVNPTVLYVSGGNTQVISYSEHRYRIFGETIDIAVGNCLDRFARVLKISNDPSPGYNI EQMAKRGKKLVELPYTVKGMDVSFSGILSFIEDAAQRMLATGECTPEDLCFSLQETVFAM LVEITERAMAHCGSKEALIVGGVGCNLRLQEMMGTMCQERGAQLFATDERFCVDNGAMIA QAGWEMFQAGHRTPLKDSAITQRYRTDEVEVTWRD >ENSMUSP00000006452.6 pep:known chromosome:GRCm38:14:50915682:50924830:-1 gene:ENSMUSG00000006289.14 transcript:ENSMUST00000006452.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Osgep description:O-sialoglycoprotein endopeptidase [Source:MGI Symbol;Acc:MGI:1913496] MPAVLGFEGSANKIGVGVVRDGTVLANPRRTYVTAPGTGFLPGDTARHHRAVILDLLQEA LTEAGLTSKDIDCIAFTKGPGMGSPLASVAVVARTVAQLWNKPLLGVNHCIGHIEMGRLI TGAVNPTVLYVSGGNTQVISYSEHRYRIFGETIDIAVGNCLDRFARVLKISNDPSPGYNI EQMAKR >ENSMUSP00000124016.1 pep:known chromosome:GRCm38:14:50915686:50924688:-1 gene:ENSMUSG00000006289.14 transcript:ENSMUST00000162177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osgep description:O-sialoglycoprotein endopeptidase [Source:MGI Symbol;Acc:MGI:1913496] MGSPLASVAVVARTVAQLWNKPLLGVNHCIGHIEMGRLITGAVNPTVLYVSGGNTQVISY SEHRYRIFGETIDIAVGNCLDRFARVLKISNDPSPGYNIEQMAKRGKKLVELPYTVKGMD VSFSGILSFIEDAAQRMLATGECTPEDLCFSLQETVFAMLVEITERAMAHCGSKEALIVG GVGCNLRLQEMMGTMCQERGAQLFATDERFCVDNGAMIAQAGWEMFQAGHRTPLKDSAIT QRYRTDEVEVTWRD >ENSMUSP00000124659.1 pep:known chromosome:GRCm38:14:50915686:50924872:-1 gene:ENSMUSG00000006289.14 transcript:ENSMUST00000160890.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Osgep description:O-sialoglycoprotein endopeptidase [Source:MGI Symbol;Acc:MGI:1913496] MPAVLGFEGSANKIGVGVVRDGTVLANPRRTYVTAPGTGFLPGDTARHHRAVILDLLQEA LTEAGLTSKDIDCIAFTKGF >ENSMUSP00000124099.1 pep:known chromosome:GRCm38:14:50916694:50924626:-1 gene:ENSMUSG00000006289.14 transcript:ENSMUST00000160375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osgep description:O-sialoglycoprotein endopeptidase [Source:MGI Symbol;Acc:MGI:1913496] MGSPLASVAVVARTVAQLWNKPLLGVNHCIGHIEMGRLITGAVNPTVLYVSGGNTQVISY SEHRYRIFGETIDIAVGNCLDRFARVLKISNDPSPGYNIEQMAKRGKKLVELPYTVKGMD VSFSGILSFIEDAAQRMLATGECTPEDLCFSLQETV >ENSMUSP00000099326.4 pep:known chromosome:GRCm38:11:115315192:115322041:-1 gene:ENSMUSG00000045288.10 transcript:ENSMUST00000103037.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ush1g description:Usher syndrome 1G [Source:MGI Symbol;Acc:MGI:2450757] MNDQYHRAARDGYLELLKEATRKELNAPDEDGMTPTLWAAYHGNLESLRLIVSRGGDPDK CDIWGNTPLHLAASNGHLHCLSFLVSFGANIWCLDNDYHTPLDMAAMKGHMECVRYLDSI AAKQSSLNPKLVGKLKDKAFREAERRIRECAKMQRKHHERMERRYRRELAERSDTLSFSS LTSSTLSRRLQHMTLGSQLPYSQATLHGTAKGKAKIQKKLERRKQGGEGTFKVSEDGRKS VRSLSGLQLGSDVMFVRQGTYANPKEWGRAPLRDMFLSDEDSVSRATLAAEPAHSEVSTD SGHDSLFTRPGLGTMVFRRNYVSSGLHGLGREDGGLDGAGTPRGRLHSSPSLDDDSLGSA NSLQDRSCGEELPWDELDLGLDEDLEPETSPLETFLASLHMEDFASLLRHEKIDLEALML CSDLDLRSISVPLGPRKKILGAVRRRRQALERPLALEDTEL >ENSMUSP00000134371.1 pep:known chromosome:GRCm38:7:86583903:86586206:1 gene:ENSMUSG00000059319.2 transcript:ENSMUST00000172965.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr295 description:olfactory receptor 295 [Source:MGI Symbol;Acc:MGI:3030129] MANSTLVPEFFLEVFAETWELRILLTVLFLLMYLGSLLGNLIIIIATTVDQTLNTPMYFF LRNLSILDMGYVSVTVPNACINSLTDHRSISLAGCAGQIFLVFFSACVEIQFLTIMAQDR YVAICKPLLYAMIMNHQFCVQMTLASLLTSLILASVHTSKTFQLSFCHSNVVSQFFCDIP SLLRLSCTDTFINKLLLLLTAIVFSGSCFTFIAISYVRILSTVLKVPVKGERGKAFSTCV PHIIVVSVFLSSGAYVYLKPSAISEIVEDMTLSVFYTIVPPFLNPIIYSLRNKQIKKAVK KVIFRFFIV >ENSMUSP00000077542.1 pep:known chromosome:GRCm38:7:86585277:86586206:1 gene:ENSMUSG00000059319.2 transcript:ENSMUST00000078447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr295 description:olfactory receptor 295 [Source:MGI Symbol;Acc:MGI:3030129] MANSTLVPEFFLEVFAETWELRILLTVLFLLMYLGSLLGNLIIIIATTVDQTLNTPMYFF LRNLSILDMGYVSVTVPNACINSLTDHRSISLAGCAGQIFLVFFSACVEIQFLTIMAQDR YVAICKPLLYAMIMNHQFCVQMTLASLLTSLILASVHTSKTFQLSFCHSNVVSQFFCDIP SLLRLSCTDTFINKLLLLLTAIVFSGSCFTFIAISYVRILSTVLKVPVKGERGKAFSTCV PHIIVVSVFLSSGAYVYLKPSAISEIVEDMTLSVFYTIVPPFLNPIIYSLRNKQIKKAVK KVIFRFFIV >ENSMUSP00000020012.6 pep:known chromosome:GRCm38:10:43874188:43901745:-1 gene:ENSMUSG00000019863.6 transcript:ENSMUST00000020012.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrsl1 description:glutaminyl-tRNA synthase (glutamine-hydrolyzing)-like 1 [Source:MGI Symbol;Acc:MGI:1923813] MLGRTLREVSAALKQGQVTPTELCKNCLSLIKKTKYLNAYITVSEEVALKQAEESEKRYK QGQSLGDLDGIPVAVKDNFSTTGIETTCASNMLKGYVPPYNATVVQKLLDQGALLMGKTN LDEFAMGSGSTDGVFGPVRNPWTYSKQYRERSRQDAGDDSHWLITGGSSGGSAAAVAAFT CFAALGSDTGGSTRNPAAHCGIVGFKPSYGLVSRHGLIPLVNSMDVPGILTRCVDDTAIV LGTLAGHDPKDSTTVRNPAQPASVPGGMDVSRLCIGIPKEYLVPELSSEVRSLWSQAADL FESEGAKVIEVSLPHTCYSIVCYHVLCTSEVASNMARFDGLQYGHRSGVDVSTEAMYAAT RQEGFNDVVRGRILSGNFFLLKENYENYFVKAQKVRRLIVKDFVDVFESGVDVLLTPTTL TEAVPYLEFIKEDNRTRSAQDDIFTQAVNMAGLPAVSVPVALSNQGLPIGLQLIGRAFCD QQLLTVAKWFEKQVQFPVIQLQGLMDDGSLVLENGKLTSASLTQR >ENSMUSP00000109761.2 pep:known chromosome:GRCm38:5:38038742:38137765:1 gene:ENSMUSG00000029125.14 transcript:ENSMUST00000114126.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx18 description:syntaxin 18 [Source:MGI Symbol;Acc:MGI:1918366] MAVDITLLFRASVKTVKTRNKALGVAVGGGADGSRDELFRRSPRPKGDFSSRAREVISHI GKLRDFLLEHRKEYINAYSHTMSDYGRMTDTERDQIDQDAQIFIRTCSEAIHQLRTEAHK EIHSQQVKEHRTAVLDFVDDYLKRVCKLYSEQRAIRVKRVVDKKRLSKLEPEPHTKRKDS TSEKAPQNASQDSEGKPAAEELPEKPLAESQPELGTWGDGKGEDELSPEEIQMFEQENQR LIGEMNSLFDEVRQIEGKVVEISRLQEIFTEKVLQQETEIDSIHQLVVGATENIKEGNED IREAIKNNAGFRVWILFFLVMCSFSLLFLDWYDS >ENSMUSP00000031008.6 pep:known chromosome:GRCm38:5:38039233:38136817:1 gene:ENSMUSG00000029125.14 transcript:ENSMUST00000031008.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx18 description:syntaxin 18 [Source:MGI Symbol;Acc:MGI:1918366] MAVDITLLFRASVKTVKTRNKALGVAVGGGADGSRDELFRRSPRPKGDFSSRAREVISHI GKLRDFLLEHRKEYINAYSHTMSDYGRMTDTERDQIDQDAQIFIRTCSEAIHQLRTEAHK EIHSQQVKEHRTAVLDFVDDYLKRVCKLYSEQRAIRVKRVVDKKRLSKLEPEPHTKRKDS TSEKAPQNASQDSEGKPAAEELPEKPLAESQPELGTWGDGKGEDELSPEEIQMFEQENQR LIGEMNSLFDEVRQIEGKVVEISRLQEIFTEKVLQQETEIDSIHQLVVGATENIKEGNED IRELAHQG >ENSMUSP00000038205.8 pep:known chromosome:GRCm38:5:38039251:38136823:1 gene:ENSMUSG00000029125.14 transcript:ENSMUST00000042146.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx18 description:syntaxin 18 [Source:MGI Symbol;Acc:MGI:1918366] MAVDITLLFRASVKTVKTRNKALGVAVGGGADGSRDELFRRSPRPKGDFSSRAREVISHI GKLRDFLLEHRKEYINAYSHTMSDYGRMTDTERDQIDQDAQIFIRTCSEAIHQLRTEGVC KLYSEQRAIRVKRVVDKKRLSKLEPEPHTKRKDSTSEKAPQNASQDSEGKPAAEELPEKP LAESQPELGTWGDGKGEDELSPEEIQMFEQENQRLIGEMNSLFDEVRQIEGKVVEISRLQ EIFTEKVLQQETEIDSIHQLVVGATENIKEGNEDIREAIKNNAGFRVWILFFLVMCSFSL LFLDWYDS >ENSMUSP00000144088.1 pep:known chromosome:GRCm38:5:38039282:38116140:1 gene:ENSMUSG00000029125.14 transcript:ENSMUST00000202412.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx18 description:syntaxin 18 [Source:MGI Symbol;Acc:MGI:1918366] MAAGTSCSAEALGPRETSPAGPVKCHTMSDYGRMTDTERDQIDQDAQIFIRTCSEAIHQL RTEGVCKLYSEQRAIRVKRVVDKKRLSKLEPEPHTKRKDSTSEK >ENSMUSP00000118218.1 pep:known chromosome:GRCm38:5:38039283:38136272:1 gene:ENSMUSG00000029125.14 transcript:ENSMUST00000154929.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx18 description:syntaxin 18 [Source:MGI Symbol;Acc:MGI:1918366] MAAGTSCSAEALGPRETSPAGPVKCHTMSDYGRMTDTERDQIDQDAQIFIRTCSEAIHQL RTEAHKEIHSQQVKEHRTAVLDFVDDYLKRVCKLYSEQRAIRVKRVVDKKRLSKLEPEPH TKRKDSTSEKAPQNASQDSEGKPAAEELPEKPLAESQPELGTWGDGKGEDELSPEEIQMF EQENQRLIGEMNSLFDEVRQIEGKVVEISRLQEIFTEKVLQQETEIDSIHQLVVGATENI KEGNEDIREAIK >ENSMUSP00000143909.1 pep:known chromosome:GRCm38:5:38076557:38128073:1 gene:ENSMUSG00000029125.14 transcript:ENSMUST00000146864.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx18 description:syntaxin 18 [Source:MGI Symbol;Acc:MGI:1918366] MSDYGRMTDTERDQIDQDAQIFIRTCSEAIHQLRTEAHKEIHSQQVKEHRTAVLDFVDDY LKRVCKLYSEQRAIRVKRVVDKKRLSKLEPEPHTKRKDSTSEKAPQNASQDSEGKPAAEE LPEKPLAESQPELGTWGDGKGEDELSPEEIQMFEQENQRLIGEMNSLFDEVRQIEGKVVE IS >ENSMUSP00000084319.3 pep:known chromosome:GRCm38:X:161833296:162159730:-1 gene:ENSMUSG00000059493.13 transcript:ENSMUST00000087085.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhs description:Nance-Horan syndrome (human) [Source:MGI Symbol;Acc:MGI:2684894] MPFAKRIVEPQWLCRQRRPAPGPDEDTSGGSVEPPPPLQPPGRREEAEAPEPEEPPRVPP APLPLPPLPPSLPAPAEQDQQPPSEAREAGEESVAGVSEAASAPGETSEASAEAVLLMLD LCAVSNAALARVLRQLSDVARHACSLFQELESDIQLTHRRVWALQGKLGSVQRVLSTLDP KQEAVPVSNLDIESKLSVYYRAPWHQQRNIFLPATRPPCVEELHRHARQSLQALRREHRS RSDRREQRAAAPLPIAAPPLPAYPPAHSQRRREAKDRHFLTFNSTRSPSPTECCHMAPWS RKSHSPEDEDTDAMLGQRPKNPIHNVPSTLDKQTNWNKALPLPTPEEKMKQDAQVISSCI IPINVTGVGFDREASIRCSLVHSQSVLQRRRKLRRRKTISGIPRRVQQEIDSDESPVARE RNVIVHTNPDPSNTVNRRSGTRDSECQTEDILIAAPSRRRIRAQRGQSIAASLSHSAGNI SALADKGDTMFTPVVSSRTRSRSLPREGNRGGDAEPKVGAKPSAFEEGERFVGDHERTPN DCSEAPSSPSTQEHQPALGLACSQHLHSPQQKLSERGRSRLSRMAADSGSCDISSNSDTF GSPVHCISTASVLLSSHMDQKEDHQSSSGNWSGSSSTCPSQTSETIPPAASPPLTGSSHC DSELSLNTAPNANEDASVFVTEQYNDHLDKVRGHRTNSFTSTVADLLDDPNNSNTSDSEW NYLHHHHDASCRQDFSPERPKADSLGCPSFTSMGTYDSFLEKSPSDKADTSSHFSVDTEG YYTSMHFDCGLKGNKTYVCHYAGLGPENGQGVGVPPALPDCAWQEYLDHRRQGRPSISFR KPKAKPTPPKRSSSLRKSDGNADISEKKEPKISSGQHLPHSSREMKLPLDFSNTPSRVEN ANLPAKLDSSWINQSEHAIKEPQLDTPDISPFKDEGAESTHYADLWLLNDLKTNDPYRSL SNSSTATGTTVIECIKSPESSESQTSQSESRATTPSLPSVDNEYKLASPEKLAGLASPSS GYSSQSETPTSSFPTAFFSGPLSPGGSKRKPKVPERKSSLQQPSLKDGALSLSKDFELPI IPPSHLDLSALHVLNKPFHHRHPLHVFTHKQNTVGDMPRSNPAPSLAITPTVLKSVNLRS ISKSEEVRQKEGNNTDLPYLEENAATAASVASLSPSKARPHTAKKSISRQYSAEDTLLPF LDSSVAEMGPEKHLEKNPNFDGKSHGDPETATSASSNLPDSNVMKEQIQMESELISENIL SKNCGFSTGFQRVSASRPSDLGSKMIQYGASPDGTVQQGQKAPSGVREEGGKPESVDGIT LQANSSTRVTDISSQYKHQRVISRHHDKVPVTIRHESEMSTVNSFPEKCSEQENIASGIS PQSASDNSRAEETQGSMDETSLKESSPSDDSITSPLSEDSQAGAEGVFVSPNKPRTTEDL FAVIHRSKRKVLGRKDSGDMSVRSKSRVPLGSSSSSANSVTSPSSNVTAGTSQRSPGLIY RNAKKSNTSNEEFKLLLLKKGSRSDSSYRMSATEILKSPVLPKPPGEFTAESPQSPDDTH QGTPGTEALSPLSPCSPRVNAEGFSSKNFATSASARVGRSRVPPVASSSRYSVRCRLYNT PMQAISEGETENSDGSPHDDRSSQSST >ENSMUSP00000080280.6 pep:known chromosome:GRCm38:X:161836815:162159388:-1 gene:ENSMUSG00000059493.13 transcript:ENSMUST00000081569.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhs description:Nance-Horan syndrome (human) [Source:MGI Symbol;Acc:MGI:2684894] MPFAKRIVEPQWLCRQRRPAPGPDEDTSGGSVEPPPPLQPPGRREEAEAPEPEEPPRVPP APLPLPPLPPSLPAPAEQDQQPPSEAREAGEESVAGVSEAASAPGETSEASAEAVLLMLD LCAVSNAALARVLRQLSDVARHACSLFQELESDIQLTHRRVWALQGKLGSVQRVLSTLDP KQEAVPVSNLDIESKLSVYYRAPWHQQRNIFLPATRPPCVEELHRHARQSLQALRREHRS RSDRREQRAAAPLPIAAPPLPAYPPAHSQRRREAKDRHFLTSHSPEDEDTDAMLGQRPKN PIHNVPSTLDKQTNWNKALPLPTPEEKMKQDAQVISSCIIPINVTGVGFDREASIRCSLV HSQSVLQRRRKLRRRKTISGIPRRVQQEIDSDESPVARERNVIVHTNPDPSNTVNRRSGT RDSECQTEDILIAAPSRRRIRAQRGQSIAASLSHSAGNISALADKGDTMFTPVVSSRTRS RSLPREGNRGGDAEPKVGAKPSAFEEGERFVGDHERTPNDCSEAPSSPSTQEHQPALGLA CSQHLHSPQQKLSERGRSRLSRMAADSGSCDISSNSDTFGSPVHCISTASVLLSSHMDQK EDHQSSSGNWSGSSSTCPSQTSETIPPAASPPLTGSSHCDSELSLNTAPNANEDASVFVT EQYNDHLDKVRGHRTNSFTSTVADLLDDPNNSNTSDSEWNYLHHHHDASCRQDFSPERPK ADSLGCPSFTSMGTYDSFLEKSPSDKADTSSHFSVDTEGYYTSMHFDCGLKGNKTYVCHY AGLGPENGQGVGVPPALPDCAWQEYLDHRRQGRPSISFRKPKAKPTPPKRSSSLRKSDGN ADISEKKEPKISSGQHLPHSSREMKLPLDFSNTPSRVENANLPAKLDSSWINQSEHAIKE PQLDTPDISPFKDEGAESTHYADLWLLNDLKTNDPYRSLSNSSTATGTTVIECIKSPESS ESQTSQSESRATTPSLPSVDNEYKLASPEKLAGLASPSSGYSSQSETPTSSFPTAFFSGP LSPGGSKRKPKVPERKSSLQQPSLKDGALSLSKDFELPIIPPSHLDLSALHVLNKPFHHR HPLHVFTHKQNTVGDMPRSNPAPSLAITPTVLKSVNLRSISKSEEVRQKEGNNTDLPYLE ENAATAASVASLSPSKARPHTAKKSISRQYSAEDTLLPFLDSSVAEMGPEKHLEKNPNFD GKSHGDPETATSASSNLPDSNVMKEQIQMESELISENILSKNCGFSTGFQRVSASRPSDL GSKMIQYGASPDGTVQQGQKAPSGVREEGGKPESVDGITLQANSSTRVTDISSQYKHQRV ISRHHDKVPVTIRHESEMSTVNSFPEKCSEQENIASGISPQSASDNSRAEETQGSMDETS LKESSPSDDSITSPLSEDSQAGAEGVFVSPNKPRTTEDLFAVIHRSKRKVLGRKDSGDMS VRSKSRVPLGSSSSSANSVTSPSSNVTAGTSQRSPGLIYRNAKKSNTSNEEFKLLLLKKG SRSDSSYRMSATEILKSPVLPKPPGEFTAESPQSPDDTHQGTPGTEALSPLSPCSPRVNA EGFSSKNFATSASARVGRSRVPPVASSSRYSVRCRLYNTPMQAISEGETENSDGSPHDDR SSQSST >ENSMUSP00000036116.8 pep:known chromosome:GRCm38:10:81357491:81366225:1 gene:ENSMUSG00000034854.8 transcript:ENSMUST00000044844.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd12 description:major facilitator superfamily domain containing 12 [Source:MGI Symbol;Acc:MGI:3604804] MSPPSDDAGPGPPRTLSLAARLSFAVGHFLNDLCAGMWFTYLLLFLHSVRGYSSRGAGLL LLLGQVADGLCTPLVGYEADRASCVRCGPRKAWHLAGTVCVLLSFPFIFSPCLGCGEATP EWAALLYYGPFIVVFQFGWAATQIAHLSLIPELVTSDHEKVELTALRYAFTVVANITVYG AAWLLLHLQGSAHGEQDISVGDQLGVQDVPVFRNLALLVVGVGAIFSLLFHLGTKEGHRS QHWGNEPNEHTPLVAPAAQPLLLWKHWLREPAFYQVGMLYMTTRLIVNLSQTYIAMYLTY SLSLPKKFIATIPLVMYLSGFFSSFLMKPVNRRIGRNMTYFTGLLVILAFAAWVALADNL GVAVYGAAVLLGAGCATILVTSLAMTADLIGPHTHSGAFVYGAMSFSDKVANGLAVMAVQ SLHPCPSELCCGACISFYHWVMTAVTGGVGVAAALALCSLLIWPIRIRNRDPRDRP >ENSMUSP00000120259.1 pep:known chromosome:GRCm38:10:81357552:81361232:1 gene:ENSMUSG00000034854.8 transcript:ENSMUST00000132368.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd12 description:major facilitator superfamily domain containing 12 [Source:MGI Symbol;Acc:MGI:3604804] MSPPSDDAGPGPPRTLSLAARLSFAVGHFLNDLCAGMWFTYLLLFLHSVRGYSSRGAGLL LLLGQVADGLCTPLVGYEADRASCVRCGPRKAWHLAARAWAAGKPRLNGLPCCTMDPSLS SSSLAGLPRRLPT >ENSMUSP00000079059.5 pep:known chromosome:GRCm38:5:74535449:74598790:1 gene:ENSMUSG00000029227.15 transcript:ENSMUST00000080164.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fip1l1 description:FIP1 like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914149] MSAGEVERLVELSGGTGGDEEEEWLYGGPWDVHVHSDLAKDLDENEVERPEEENASANPP SGIEEEAAENGVAKPKVTETEDDSDSDSDDDEDDVHVTIGDIKTGAPQYGSYGTAPVNLN IKAGGRVYGNTGTKVKGVDLDAPGSINGVPLLEVDLDSFEDKPWRKPGADLSDYFNYGFN EDTWKAYCEKQKRIRMGLEVIPVTSTTNKITVQQGRTGNSEKEAALPSTKAEFTSPPSLF KTGLPPSRRLPGAIDVIGQTITISRVEGRRRANENSNIQVLSDRSATEVDNNFSKPPPFF PPGAPPTHLPPPPFLPPPPTVSTAPPLIPPPGIPITVPPPGFPPPPGAPPPSLIPTIESG HSSGYDSRSARAFPYGNVAFPHLTSSAPSWPSLVDTTKQWDYYARREKDRDRDRERDRDR ERERDRDRERERTRERERERDHSPTPSVFNSDEERYRYREYAERGYERHRASREKEERHR ERRHREKEETRHKSSRSNSRRRHESEEGDSHRRHKHKKSKRSKEGKEAGSEPVPEQESTE AAPAE >ENSMUSP00000109164.1 pep:known chromosome:GRCm38:5:74535471:74598800:1 gene:ENSMUSG00000029227.15 transcript:ENSMUST00000113536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fip1l1 description:FIP1 like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914149] MSAGEVERLVELSGGTGGDEEEEWLYGGPWDVHVHSDLAKDLDENEVERPEEENASANPP SGIEEEAAENGVAKPKVTETEDDSDSDSDDDEDDVHVTIGDIKTGAPQYGSYGTAPVNLN IKAGGRVYGNTGTKVKGVDLDAPGSINGVPLLEVDLDSFEDKPWRKPGADLSDYFNYGFN EDTWKAYCEKQKRIRMGLEVIPVTSTTNKITVQQGRTGNSEKEAALPSTKAEFTSPPSLF KTGLPPSRNSTSSQSQTSTASRKASSSVGKWQDRYGRAESPDLRRLPGAIDVIGQTITIS RVEGRRRANENSNIQVLSDRSATEVDNNFSKPPPFFPPGAPPTHLPPPPFLPPPPTVSTA PPLIPPPGIPITVPPPGFPPPPGAPPPSLIPTIESGHSSGYDSRSARAFPYGNVAFPHLT SSAPSWPSLVDTTKQWDYYARREKDRDRDRERDRDRERERDRDRERERTRERERERDHSP TPSVFNSDEERYRYREYAERGYERHRASREKEERHRERRHREKEETRHKSSRSNSRRRHE SEEGDSHRRHKHKKSKRSKEGKEAGSEPVPEQESTEAAPAE >ENSMUSP00000109162.2 pep:known chromosome:GRCm38:5:74535479:74592430:1 gene:ENSMUSG00000029227.15 transcript:ENSMUST00000113534.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fip1l1 description:FIP1 like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914149] MSAGEVERLVELSGGTGGDEEEEWLYGGPWDVHVHSDLAKDLDENEVERPEEENASANPP SGIEEEAAENGVAKPKVTETEDDSDSDSDDDEDDVHVTIGDIKTGAPQYGSYGTAPVNLN IKAGGRVYGNTGTKVKGVDLDAPGSINGVPLLEVDLDSFEDKPWRKPGADLSDYFNYGFN EDTWKAYCEKQKRIRMGLEVIPVTSTTNKITAEDCTMEVTPGAEIQDGRFNLFKVQQGRT GNSEKEAALPSTKAEFTSPPSLFKTGLPPSRNSTSSQSQTSTASRKASSSVGKWQDRYGR AESPDLRRLPGAIDVIGQTITISRVEGRRRANENSNIQVLSDRSATEVDNNFSKPPPFFP PGAPPTHLPPPPFLPPPPTVSTAPPLIPPPGFPPPPGAPPPSLIPTIESGHSSGYDSRSA RAFPYGNVAFPHLTSSAPSWPSLVDTTKQWDYYARREKDRDRDRERDRDRERERDRDRER ERTRERERERDHSPTPSVFNRFVGCAGP >ENSMUSP00000109163.2 pep:known chromosome:GRCm38:5:74535481:74596145:1 gene:ENSMUSG00000029227.15 transcript:ENSMUST00000113535.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fip1l1 description:FIP1 like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914149] MSAGEVERLVELSGGTGGDEEEEWLYGGPWDVHVHSDLAKDLDENEVERPEEENASANPP SGIEEEAAENGVAKPKVTETEDDSDSDSDDDEDDVHVTIGDIKTGAPQYGSYGTAPVNLN IKAGGRVYGNTGTKVKGVDLDAPGSINGVPLLEVDLDSFEDKPWRKPGADLSDYFNYGFN EDTWKAYCEKQKRIRMGLEVIPVTSTTNKITVQQGRTGNSEKEAALPSTKAEFTSPPSLF KTGLPPSRRLPGAIDVIGQTITISRVEGRRRANENSNIQVLSDRSATEVDNNFSKPPPFF PPGAPPTHLPPPPFLPPPPTVSTAPPLIPPPGFPPPPGAPPPSLIPTIESGHSSGYDSRS ARAFPYGNVAFPHLTSSAPSWPSLVDTTKQWDYYARREKDRDRDRERDRDRERERDRDRE RERTRERERERDHSPTPSVFNSDEERYRYREYAERGYERHRASREKEERHRERRHREKEE TRHKSSRSNSRRRHESEEGDSHRRHKHKKSKRSKEGKEAGSEPVPEQESTEAAPAE >ENSMUSP00000113995.2 pep:known chromosome:GRCm38:5:74535503:74591812:1 gene:ENSMUSG00000029227.15 transcript:ENSMUST00000120618.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fip1l1 description:FIP1 like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914149] MSAGEVERLVELSGGTGGDEEEEWLYGDENEVERPEEENASANPPSGIEEEAAENGVAKP KVTETEDDSDSDSDDDEDDVHVTIGDIKTGAPQYGSYGTAPVNLNIKAGGRVYGNTGTKV KGVDLDAPGSINGVPLLEVDLDSFEDKPWRKPGADLSDYFNYGFNEDTWKAYCEKQKRIR MGLEVIPVTSTTNKITAEDCTMEVTPGAEIQDGRFNLFKVQQGRTGNSEKEAALPSTKAE FTSPPSLFKTGLPPSRNSTSSQSQTSTASRKASSSVGKWQDRYGRAESPDLRRLPGAIDV IGQTITISRVEGRRRANENSNIQVLSDRSATEVDNNFSKPPPFFPPGAPPTHLPPPPFLP PPPTVSTAPPLIPPPGIPITVPPPGFPPPPGAPPPSLIPTIESGHSSGYDSRSARAFPYG NVAFPHLTSSAPSW >ENSMUSP00000103922.3 pep:known chromosome:GRCm38:11:78472330:78497458:-1 gene:ENSMUSG00000050132.13 transcript:ENSMUST00000108287.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sarm1 description:sterile alpha and HEAT/Armadillo motif containing 1 [Source:MGI Symbol;Acc:MGI:2136419] MVLTLLFSAYKLCRFFTMSGPRPGADRLTVPGPDRSGGASPWWAAGGRGSREVSPGVGTE VQGALERSLPELQQALSELKQASAARAVGAGLAEVFQLVEEAWLLPAVGREVAQGLCDAI RLDGGLDLLLRLLQAPELETRVQAARLLEQILVAENRDRVARIGLGVILNLAKEREPVEL ARSVAGILEHMFKHSEETCQRLVAAGGLDAVLYWCRRTDPALLRHCALALANCALHGGQT VQRCMVEKRAAEWLFPLAFSKEDELLRLHACLAVAVLATNKEVEREVEHSGTLALVEPLV ASLDPGRFARCLVDASDTSQGRGPDDLQSLVLLLDSSRLEAQCIGAFYLCAEAAIKSLQG KTKVFSDIGAIQSLKRLVSYSTNGTTSALAKRALRLLGEEVPRRILPCVASWKEAEVQTW LQQIGFSQYCENFREQQVDGDLLLRLTDEELQTDLGMKSSITRKRFFRELTELKTFASYA TCDRSNLADWLGSLDPRFRQYTYGLVSCGLDRSLLHRVSEQQLLEDCGIRLGVHRTRILS AARGHFAQTGLRSLRRPSLHDDGPRDKQWGRATLTSMSLSLAPEMLHSPLPCTGGKLSGD TPDVFISYRRNSGSQLASLLKVHLQLHGFSVFIDVEKLEAGKFEDKLIQSVIAARNFVLV LSAGALDKCMQDHDCKDWVHKEIVTALSCGKNIVPIIDGFEWPEPQALPEDMQAVLTFNG IKWSHEYQEATIEKIIRFLQGRPSQDSSAGSDTSLEGATPMGLP >ENSMUSP00000051059.6 pep:known chromosome:GRCm38:11:78473291:78497754:-1 gene:ENSMUSG00000050132.13 transcript:ENSMUST00000061174.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sarm1 description:sterile alpha and HEAT/Armadillo motif containing 1 [Source:MGI Symbol;Acc:MGI:2136419] MVLTLLFSAYKLCRFFTMSGPRPGADRLTVPGPDRSGGASPWWAAGGRGSREVSPGVGTE VQGALERSLPELQQALSELKQASAARAVGAGLAEVFQLVEEAWLLPAVGREVAQGLCDAI RLDGGLDLLLRLLQAPELETRVQAARLLEQILVAENRDRVARIGLGVILNLAKEREPVEL ARSVAGILEHMFKHSEETCQRLVAAGGLDAVLYWCRRTDPALLRHCALALANCALHGGQT VQRCMVEKRAAEWLFPLAFSKEDELLRLHACLAVAVLATNKEVEREVEHSGTLALVEPLV ASLDPGRFARCLVDASDTSQGRGPDDLQSLVLLLDSSRLEAQCIGAFYLCAEAAIKSLQG KTKVFSDIGAIQSLKRLVSYSTNGTTSALAKRALRLLGEEVPRRILPCVASWKEAEVQTW LQQIGFSQYCENFREQQVDGDLLLRLTDEELQTDLGMKSSITRKRFFRELTELKTFASYA TCDRSNLADWLGSLDPRFRQYTYGLVSCGLDRSLLHRVSEQQLLEDCGIRLGVHRTRILS AAREMLHSPLPCTGGKLSGDTPDVFISYRRNSGSQLASLLKVHLQLHGFSVFIDVEKLEA GKFEDKLIQSVIAARNFVLVLSAGALDKCMQDHDCKDWVHKEIVTALSCGKNIVPIIDGF EWPEPQALPEDMQAVLTFNGIKWSHEYQEATIEKIIRFLQGRPSQDSSAGSDTSLEGATP MGLP >ENSMUSP00000118474.1 pep:known chromosome:GRCm38:13:54679401:54688094:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000125871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MRPWTLAVTKWPPSAPVGHWRVSTRLSSSPGQLWGSLGHEGPLVSPPAQDERLPSQQLLP RPSNLSVEEHRASAPAGRSPRMLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQGFP LPTSQHIPGCSAQQLPACSVMFSGQHYPLCCLPPPQLIQACTMQQLPGPYHTYPHLISSD HYILHPPPPAPPPQPTHMAPLGQFVSLQTQHPRMPLQRLDNEMDLRGDQHPLGSFTYSTS ATGPALSPSVPLHYLPHDPLHQELSFGVPYSHMMPRRLSTQRYRLQQPLPPPPPPPPPSY YPSFLPYFLSMLPMSPTTVGPTISLDLDVDDVEMENYEALLNLAERLGDAKPRGLTKADI EQLPSYRFNPDSHQSEQTLCVVCFSDFEVRQLLRVLPCNHEFHAKCVDKWLKANRTCPIC RADASEVPREAE >ENSMUSP00000043974.9 pep:known chromosome:GRCm38:13:54679410:54693847:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000037422.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQGFPLPTSQHIPGCSAQQLPACSVM FSGQHYPLCCLPPPLIQACTMQQLPGPYHTYPHLISSDHYILHPPPPAPPPQPTHMAPLG QFVSLQTQHPRMPLQRLDNEMDLRGDQHPLGSFTYSTSATGPALSPSVPLHYLPHDPLHQ ELSFGVPYSHMMPRRLSTQRYRLQQPLPPPPPPPPPSYYPSFLPYFLSMLPMSPTTVGPT ISLDLDVDDVEMENYEALLNLAERLGDAKPRGLTKADIEQLPSYRFNPDSHQSEQTLCVV CFSDFEVRQLLRVLPCNHEFHAKCVDKWLKANRTCPICRADASEVPREAE >ENSMUSP00000114259.1 pep:known chromosome:GRCm38:13:54679410:54693907:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000134862.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQGFPLPTSQHIPGCSAQQLPACSVM FSGQHYPLCCLPPPQLIQACTMQQLPGPYHTYPHLISSDHYILHPPPPAPPPQPTHMAPL GQFVSLQTQHPRMPLQRLDNEMDLRGDQHPLGSFTYSTSATGPALSPSVPLHYLPHDPLH QELSFGVPYSHMMPRRLSTQRYRLQQPLPPPPPPPPPSYYPSFLPYFLSMLPMSPTTVGP TISLDLDVDDVEMENYEALLNLAERLGDAKPRGLTKADIEQLPSYRFNPDSHQSEQTLCV VCFSDFEVRQLLRVLPCNHEFHAKCVDKWLKANRTCPICRADASEVPREAE >ENSMUSP00000123198.1 pep:known chromosome:GRCm38:13:54679414:54688188:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000150806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQGFPLPTSQHIPGCSAQQLPACSVM FSGQHYPLCCLPPPQLIQACTMQQLPGPYHTYPHLISSDHYILHPPPPAPPPQPTHMAPL GQFVSLQTQHPRMPLQRLDNEMDLRGDQHPLGSFTYSTSATGPALSPSVPLHYLPHDPLH QELSFGVPYSHMMPRRLSTQRYRLQQPLPPPPPPPPPSYYPSFLPYFLSMLPMSPTTVGP TISLDLDVDDVEMENYEALLNLAERLGDAKPRGLTKADIEQLPSYRFNPDSHQSEQTLCV VCFSDFEVRQLLRVLPCNHEFHAKCVDKWLKANRTCPICRADASEVPREAE >ENSMUSP00000116850.1 pep:known chromosome:GRCm38:13:54681565:54688259:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000128257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQGFPLPTSQHIPGCSAQQLPACSVM FSGQHYPLCCLPPPLIQACTMQQLPGPYHTYPHLISSDHYILHPPPPAPPPQPTHMAPLG QFVSLQTQHPRMPLQRLDNEMDLRGDQHPLGSFTYSTSATGPALSPSVPLHYLPHDPLHQ ELSFGVPYSHMMPRRLSTQRYRLQQPLPPPPPPPPPSYYPSFLPYFLSMLPMSPTTVGPT ISLDLDVDDVEMENYEALLNLAERLGDAKPRGLTKADIEQLPSYRFNPDSHQSEQTLCVV CFSDFEVRQLLRVLPCNHEFHAKCVDKWLKANRTCPICRADASEVPREAE >ENSMUSP00000116043.1 pep:known chromosome:GRCm38:13:54683052:54693846:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000126717.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MRPWTLAVTKWPPSAPVGHWRVSTRLSSSPGQLWGSLGHEGPLVSPPAQDERLPSQQLLP RPSNLSVEEHRASAPAGRSPRMLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQGFP LPTSQHIPGCSAQQLPACSVMFSGQHYPLCCLPPPLIQACTMQQLPGPYHTYPHLISSDH YILHPPPPAPPPQPTHMAPLGQFVSLQTQH >ENSMUSP00000122161.1 pep:known chromosome:GRCm38:13:54683128:54687654:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000129881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQGFPLPTSQHIPGCSAQQLPACSVM FSGQHYPLCCLPPPLIQACTMQQLPGPYHTYPHLISSDHYILHP >ENSMUSP00000122292.1 pep:known chromosome:GRCm38:13:54683610:54688200:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000125927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MRPWTLAVTKWPPSAPVGHWRVSTRLSSSPGQLWGSLGHEGPLVSPPAQDERLPSQQLLP RPSNLSVEEHRASAPAGRSPRMLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQGFP LPTSQHIPGCSAQQLPACSVMFSGQHYPLC >ENSMUSP00000117050.1 pep:known chromosome:GRCm38:13:54683706:54688257:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000122935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQG >ENSMUSP00000118244.1 pep:known chromosome:GRCm38:13:54683760:54688274:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000134177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MLHPATQQSPFMVDLHEQV >ENSMUSP00000118186.1 pep:known chromosome:GRCm38:13:54683964:54693812:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000145397.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MLHPATQQSPFMVDLHE >ENSMUSP00000121611.1 pep:known chromosome:GRCm38:13:54683992:54687808:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000146931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MLHPATQ >ENSMUSP00000119466.1 pep:known chromosome:GRCm38:13:54684050:54688273:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000150626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MRPWTLAVTKWPPSAPVGHWRVSTRLSSSPGQLWGSLGHEGPLVSPPAQDERLPSQQLLP RPSNLSVEE >ENSMUSP00000136732.1 pep:known chromosome:GRCm38:13:54679399:54687808:-1 gene:ENSMUSG00000034928.16 transcript:ENSMUST00000177950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf44 description:ring finger protein 44 [Source:MGI Symbol;Acc:MGI:2145310] MLHPATQQSPFMVDLHEQVHQGPVPLSYTVTTVTTQGFPLPTSQHIPGCSAQQLPACSVM FSGQHYPLCCLPPPLIQACTMQQLPGPYHTYPHLISSDHYILHPPPPAPPPQPTHMAPLG QFVSLQTQHPRMPLQRLDNEMDLRGDQHPLGSFTYSTSATGPALSPSVPLHYLPHDPLHQ ELSFGVPYSHMMPRRLSTQRYRLQQPLPPPPPPPPPSYYPSFLPYFLSMLPMSPTTVGPT ISLDLDVDDVEMENYEALLNLAERLGDAKPRGLTKADIEQLPSYRFNPDSHQSEQTLCVV CFSDFEVRQLLRVLPCNHEFHAKCVDKWLKANRTCPICRADASEVPREAE >ENSMUSP00000123068.1 pep:known chromosome:GRCm38:10:81363067:81364854:-1 gene:ENSMUSG00000020234.10 transcript:ENSMUST00000131736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930404N11Rik description:RIKEN cDNA 4930404N11 gene [Source:MGI Symbol;Acc:MGI:1921072] XEPGDAWYKLPRALDTPYREAHTRWHGCFQSRQRGLPPAYTQHLREMAFWDPAITAQYLN SGPRWGCMQWRDRQIRGKEFAAALHRAGLQTAGPAAALPGHRPASSGFHTRALIKPCYRP VCPCPRKPGDLSHVQPGHVSPTASR >ENSMUSP00000020456.4 pep:known chromosome:GRCm38:10:81364024:81365816:-1 gene:ENSMUSG00000020234.10 transcript:ENSMUST00000020456.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930404N11Rik description:RIKEN cDNA 4930404N11 gene [Source:MGI Symbol;Acc:MGI:1921072] MENVRREATRPSVPSGTLELYFPDHLYRNDYVSLEGPRWAPAIKQAVRWKFTPMGRDAAG QVWFTGLTNSEPGDAWYKLPRALDTPYREAHTRWHGCFQSRQRGLPPAYTQHLREMAFWD PAITAQYLNSGPRWGCMQWRDRQIRGKEFVVTRNQFGAKLPWRSDYVPLLSLPQRPRFTA QDFRQRGLQRPCPAIGQPPPAFTPAL >ENSMUSP00000134873.1 pep:known chromosome:GRCm38:6:57322645:57323657:-1 gene:ENSMUSG00000093523.1 transcript:ENSMUST00000177267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r16 description:vomeronasal 1 receptor 16 [Source:MGI Symbol;Acc:MGI:2159465] MSLFNNVLYFQAGFGLLANMFLLFFYTFIILGHRPKPTDLISCQLTFVHIIMFLTGGDIW LTDIFETLNIENDFKCKTIFYISRVMRGLSICTTCLLSVVQAVTISPSTSLLAKFKQRLK MYLIYAFFCIWSFNLSFSSRLIFYVAGFTNVSENHQMKVTKSCSLFPMNYIIRGLILTVT MSRDVFLVGVMLTTSAYMLNILFRHQRQCKHLHSIRHLRASPEKRATQTILLLVVFFVVM YWVDFIISSTSVLLWRYDPVVLTVQKFVMYAYPTITPLVQISSENRIITMLKNRQSKHLQ NVF >ENSMUSP00000119415.1 pep:known chromosome:GRCm38:5:96070333:96161990:-1 gene:ENSMUSG00000034724.17 transcript:ENSMUST00000155901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot6l description:CCR4-NOT transcription complex, subunit 6-like [Source:MGI Symbol;Acc:MGI:2443154] MPKEKYDPPDPRRIYTIMSAEEVANGKKSHWAELEISGRVRSLSTSLWSLTHLTALHLND NNLARIPPDIAKLHNLVYLDLSSNKLRSLPAELGNMVSLRELLLNDNYLRVLPYELGRLF QLQTLGLTGNPLSQDIMSLYQDPDGTRKLLNFMLDNLAVHPEQLPPRPWITLKERDQILP SASFTVMCYNVLCDKYATRQLYGYCPSWALNWEYRKKGIMEEIVNWDADIISLQEVETEQ YFTLFLPALKDRGYDGFFSPKSRAKIMSEQERKHVDGCAIFFKTEKFTLVQKHTVEFNQV AMANSDGSEAMLNRVMTKDNIGVAVVLEVHKELFGTGMKPIHAADKQLLIVANAHMHWDP EYSDVKLIQTMMFVSEVKNILEKASSRPGSPTADPNSIPLVLCADLNSLPDSGVVEYLSN GGVADNHKDFKELRYNECLMNFSCSGKNGSSEGRITHGFQLKSAYENNLMPYTNYTFDFK GVIDYIFYSKTHMNVLGVLGPLDPQWLVENNITGCPHPHIPSDHFSLLTQLELHPPLLPL VNGVHLPNRR >ENSMUSP00000047522.7 pep:known chromosome:GRCm38:5:96075589:96161755:-1 gene:ENSMUSG00000034724.17 transcript:ENSMUST00000036646.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cnot6l description:CCR4-NOT transcription complex, subunit 6-like [Source:MGI Symbol;Acc:MGI:2443154] MRLIGMPKEKYDPPDPRRIYTIMSAEEVANGKKSHWAELEISECGA >ENSMUSP00000113821.1 pep:known chromosome:GRCm38:5:96076801:96161547:-1 gene:ENSMUSG00000034724.17 transcript:ENSMUST00000122003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot6l description:CCR4-NOT transcription complex, subunit 6-like [Source:MGI Symbol;Acc:MGI:2443154] MPKEKYDPPDPRRIYTIMSAEEVANGKKSHWAELEISGRVRSLSTSLWSLTHLTALHLND NNLARIPPDIAKLHNLVYLDLSSNKLRSLPAELGNMVSLRELLLNDNYLRVLPYELGRLF QLQTLGLTGNPLSQDIMSLYQDPDGTRKLLNFMLDNLAVHPEQLPPRPWITLKERDQILP SASFTVMCYNVLCDKYATRQLYGYCPSWALNWEYRKKGIMEEIVNWDADIISLQEVETEQ YFTLFLPALKDRGYDGFFSPKSRAKIMSEQERKHVDGCAIFFKTEKFTLVQKHTVEFNQV AMANSDGSEAMLNRVMTKDNIGVAVVLEVHKELFGTGMKPIHAADKQLLIVANAHMHWDP EYSDVKLIQTMMFVSEVKNILEKASSRPGSPTADPNSIPLVLCADLNSLPDSGVVEYLSN GGVADNHKDFKELRV >ENSMUSP00000123653.1 pep:known chromosome:GRCm38:5:96106299:96161742:-1 gene:ENSMUSG00000034724.17 transcript:ENSMUST00000129646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot6l description:CCR4-NOT transcription complex, subunit 6-like [Source:MGI Symbol;Acc:MGI:2443154] MPKEKYDPPDPRRIYTIMSAEEVANGKKSHWAELEISGRVRSLSTSLWSLTHLTALHLND NNLARIPPDIAKLHNLVYLDLSSNKLRSLPAELGNMVSLRELLLNDNYLRVLPYELGRLF QLQTLGLTGNP >ENSMUSP00000114436.1 pep:known chromosome:GRCm38:5:96131061:96161871:-1 gene:ENSMUSG00000034724.17 transcript:ENSMUST00000141383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot6l description:CCR4-NOT transcription complex, subunit 6-like [Source:MGI Symbol;Acc:MGI:2443154] MPKEKYDPPDPRRIYTIMSAEEVANGKKSHWAELEISGRVRSLSTSLWSLTHLTALHLND NNLARIPPDIAKLHNLVYLDLSSNKLRSLPAELGNMVSL >ENSMUSP00000121627.1 pep:known chromosome:GRCm38:5:96131097:96164171:-1 gene:ENSMUSG00000034724.17 transcript:ENSMUST00000137207.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot6l description:CCR4-NOT transcription complex, subunit 6-like [Source:MGI Symbol;Acc:MGI:2443154] MPKEKYDPPDPRRIYTIMSAEEVANGKKSHWAELEISGRVRSLSTSLWSLTHLTALHLND NNLARIPPDIAKLHNLVYLDLSSNKLR >ENSMUSP00000116326.1 pep:known chromosome:GRCm38:5:96131208:96161763:-1 gene:ENSMUSG00000034724.17 transcript:ENSMUST00000154500.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot6l description:CCR4-NOT transcription complex, subunit 6-like [Source:MGI Symbol;Acc:MGI:2443154] MRLIGMPKEKYDPPDPRRIYTIMSAEEVANGKKSHWAELEISGRVRSLSTSLWSL >ENSMUSP00000108629.2 pep:known chromosome:GRCm38:5:96075737:96161755:-1 gene:ENSMUSG00000034724.17 transcript:ENSMUST00000113005.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot6l description:CCR4-NOT transcription complex, subunit 6-like [Source:MGI Symbol;Acc:MGI:2443154] MRLIGMPKEKYDPPDPRRIYTIMSAEEVANGKKSHWAELEISGRVRSLSTSLWSLTHLTA LHLNDNNLARIPPDIAKLHNLVYLDLSSNKLRSLPAELGNMVSLRELLLNDNYLRVLPYE LGRLFQLQTLGLTGNPLSQDIMSLYQDPDGTRKLLNFMLDNLAVHPEQLPPRPWITLKER DQILPSASFTVMCYNVLCDKYATRQLYGYCPSWALNWEYRKKGIMEEIVNWDADIISLQE VETEQYFTLFLPALKDRGYDGFFSPKSRAKIMSEQERKHVDGCAIFFKTEKFTLVQKHTV EFNQVAMANSDGSEAMLNRVMTKDNIGVAVVLEVHKELFGTGMKPIHAADKQLLIVANAH MHWDPEYSDVKLIQTMMFVSEVKNILEKASSRPGSPTADPNSIPLVLCADLNSLPDSGVV EYLSNGGVADNHKDFKELRYNECLMNFSCSGKNGSSEGRITHGFQLKSAYENNLMPYTNY TFDFKGVIDYIFYSKTHMNVLGVLGPLDPQWLVENNITGCPHPHIPSDHFSLLTQLELHP PLLPLVNGVHLPNRR >ENSMUSP00000135746.1 pep:known chromosome:GRCm38:6:57360452:57361431:-1 gene:ENSMUSG00000093411.1 transcript:ENSMUST00000176395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r17 description:vomeronasal 1 receptor 17 [Source:MGI Symbol;Acc:MGI:2159452] MFAFKNVLYFQAGLGVLANMFLLCFYIFILIGHRPKPTDLISCQLTFIHMMMFLTGGDLL LTDIFSSLNIDNDFKCKTTFYISRVTRGLSICTTCLLSVFQAVTISPKTSVLANFKQKLK KYMTYVFLCIWSFNLSYSSNRIFYVHGFTNVSETNQMQVTESCSLFRMNYIIRVFIFTVT TSRDVFLVGVMLITSTYMVIILCRNQRQCKHLHSINHLRASPEKRATQAILLLVIFFVVM YWVDFIISSTSVLLWMYDPIILQVQKFVMYAFPTITPLVQISSDNRIIVMLKNMHSKHHQ RFF >ENSMUSP00000120160.1 pep:known chromosome:GRCm38:2:29787493:29797935:1 gene:ENSMUSG00000026798.10 transcript:ENSMUST00000143616.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Coq4 description:coenzyme Q4 [Source:MGI Symbol;Acc:MGI:1098826] MATLLLLRSLRLHRSLRPRTRPAVDVPLRAGSHGARLLYPDHIPTTPLQKMLLAAGAAGM ALYNPYRHDMVAVLGETTGCHTLKFLRDQMKKDPEGAQILQERPRISLSTLDLSKLQSLP EGSLGQGLSRHTSTYTLCGR >ENSMUSP00000135687.1 pep:known chromosome:GRCm38:2:29788220:29796602:1 gene:ENSMUSG00000026798.10 transcript:ENSMUST00000176348.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Coq4 description:coenzyme Q4 [Source:MGI Symbol;Acc:MGI:1098826] MATLLLLRSLRLHRSLRPRTRPAVDVPLRAGSHGARLLYPDHIPTTPLQKMLLAAGAAGM ALYNPYRHDMVAVLGETTGCHTLKFLRDQMKKDPEGAQILQERPRISLSTLDLSKLQSLP EGSLGQGLSRHTSTYTLCGR >ENSMUSP00000028137.3 pep:known chromosome:GRCm38:2:29788259:29797743:1 gene:ENSMUSG00000026798.10 transcript:ENSMUST00000028137.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq4 description:coenzyme Q4 [Source:MGI Symbol;Acc:MGI:1098826] MATLLLLRSLRLHRSLRPRTRPAVDVPLRAGSHGARLLYPDHIPTTPLQKMLLAAGAAGM ALYNPYRHDMVAVLGETTGCHTLKFLRDQMKKDPEGAQILQERPRISLSTLDLSKLQSLP EGSLGREYLRFLDVNKVSPDTRAPTRFVDDEELAYVIQRYREVHDMLHTLLGMPTNMLGE VVVKWFEAVQTGLPMCILGALFGPIRLRTQSLQVLFSELIPWAIQNGRRAPCVLNIYYEQ RWEQPLTALREELGISPPPKHIQGLA >ENSMUSP00000116944.1 pep:known chromosome:GRCm38:2:29788271:29796002:1 gene:ENSMUSG00000026798.10 transcript:ENSMUST00000148791.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq4 description:coenzyme Q4 [Source:MGI Symbol;Acc:MGI:1098826] MATLLLLRSLRLHRSLRPRTRPAVDVPLRAGSHGARLLYPDHIPTTPLQKMLLAAGAAGM ALYNPYRHDMVAVLGETTGCHTLKFLRDQMKKDPEGAQILQERPRISLSTLDLSKLQSLP EGSLGREYLRFLDVNVSPDTRAPTRFVDDEELAYVIQRYREVHDMLHTLLGMPTNMLGEV VVKWFEAVQTGLPMCILGAL >ENSMUSP00000105970.2 pep:known chromosome:GRCm38:2:128126039:128162547:1 gene:ENSMUSG00000027381.16 transcript:ENSMUST00000110341.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l11 description:BCL2-like 11 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:1197519] MAKQPSDVSSECDREGGQLQPAERPPQLRPGAPTSLQTEPQGNPDGEGDRCPHGSPQGPL APPASPGPFATRSPLFIFVRRSSLLSRSSSGYFSFDTDRSPAPMSCDKSTQTPSPPCQAF NHYLSAMASIRQSQEEPEDLRPEIRIAQELRRIGDEFNETYTRRVFANDYREAEDHPQMV ILQLLRFIFRLVWRRH >ENSMUSP00000087062.5 pep:known chromosome:GRCm38:2:128126038:128131495:1 gene:ENSMUSG00000027381.16 transcript:ENSMUST00000089634.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l11 description:BCL2-like 11 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:1197519] MAKQPSDVSSECDREGGQLQPAERPPQLRPGAPTSLQTEPQDRSPAPMSCDKSTQTPSPP CQAFNHYLSAMDQLENLNQVAQNIHGDAWYN >ENSMUSP00000099499.1 pep:known chromosome:GRCm38:2:128126050:128161228:1 gene:ENSMUSG00000027381.16 transcript:ENSMUST00000103210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l11 description:BCL2-like 11 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:1197519] MAKQPSDVSSECDREGGQLQPAERPPQLRPGAPTSLQTEPQDRSPAPMSCDKSTQTPSPP CQAFNHYLSAMASIRQSQEEPEDLRPEIRIAQELRRIGDEFNETYTRRVFANDYREAEDH PQMVILQLLRFIFRLVWRRH >ENSMUSP00000099500.1 pep:known chromosome:GRCm38:2:128126040:128158442:1 gene:ENSMUSG00000027381.16 transcript:ENSMUST00000103211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l11 description:BCL2-like 11 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:1197519] MAKQPSDVSSECDREGGQLQPAERPPQLRPGAPTSLQTEPQASIRQSQEEPEDLRPEIRI AQELRRIGDEFNETYTRRVFANDYREAEDHPQMVILQLLRFIFRLVWRRH >ENSMUSP00000019281.7 pep:known chromosome:GRCm38:2:128126038:128160961:1 gene:ENSMUSG00000027381.16 transcript:ENSMUST00000019281.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l11 description:BCL2-like 11 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:1197519] MAKQPSDVSSECDREGGQLQPAERPPQLRPGAPTSLQTEPQASIRQSQEEPEDLRPEIRI AQELRRIGDEFNETYTRRVFANDYREAEDHPQMVILQLLRFIFRLVWRRH >ENSMUSP00000029574.8 pep:known chromosome:GRCm38:3:116109949:116129688:-1 gene:ENSMUSG00000027962.14 transcript:ENSMUST00000029574.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcam1 description:vascular cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:98926] MPVKMVAVLGASTVLWILFAVSQAFKIEISPEYKTIAQIGDSMALTCSTTGCESPLFSWR TQIDSPLNAKVRTEGSKSVLTMEPVSFENEHSYLCTATCGSGKLERSIHVDIYSFPKDPE IQFSGPLEVGKPVTVKCLAPDIYPVYRLEIDLFKGDQLMNRQEFSSEEMTKSLETKSLEV TFTPVIEDIGKALVCRAKLHIDQIDSTLKERETVKELQVYISPRNTTISVHPSTRLQEGG AVTMTCSSEGLPAPEIFWGRKLDNEVLQLLSGNATLTLIAMRMEDSGVYVCEGVNLIGRD KAEVELVVQEKPFIVDISPGSQVAAQVGDSVVLTCAAIGCDSPSFSWRTQTDSPLNGVVR NEGAKSTLVLSSVGFEDEHSYLCAVTCLQRTLEKRTQVEVYSFPEDPVIKMSGPLVHGRP VTVNCTVPNVYPFDHLEIELLKGETTLMKKYFLEEMGIKSLETKILETTFIPTIEDTGKS LVCLARLHSGEMESEPKQRQSVQPLYVNVAPKETTIWVSPSPILEEGSPVNLTCSSDGIP APKILWSRQLNNGELQPLSENTTLTFMSTKRDDSGIYVCEGINEAGISRKSVELIIQVSP KDIQLTVFPSKSVKEGDTVIISCTCGNVPETWIILKKKAKTGDMVLKSVDGSYTIRQAQL QDAGIYECESKTEVGSQLRSLTLDVKGKEHNKNYFSPELLALYCASSLVIPAIGMIVYFA RKANMKGSYSLVEAQKSKV >ENSMUSP00000142876.1 pep:known chromosome:GRCm38:3:116122280:116129457:-1 gene:ENSMUSG00000027962.14 transcript:ENSMUST00000196449.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcam1 description:vascular cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:98926] MPVKMVAVLGASTVLWILFAVSQAFKIEISPEYKTIAQIGDSMALTCSTTGCESPLFSWR TQIDSPLNAKVRTEGSKSVLTMEPVSFENEHSYLCTATCGSGKLERSIHVDIYSFPKDPE IQFSGPLEVGKPVTVKCLAPDIYPVYRLEIDLFKGDQLMNRQEFSSEEMTKSLETKSLEV TFTPVIEDIGKALVCRAKLHIDQIDSTLKERETVKELQVYISPRNTTISVHPSTRLQEGG AVTMTCSSEGLPAPEIFWGRKLDNEVLQLLSGNATLTLIAMRMEDSGVYVCEGVNLIGRD KAEVELVVQDGRMKSQITNGHQLTVHLMFAKSFYFICYLCLYLAL >ENSMUSP00000143260.1 pep:known chromosome:GRCm38:3:116124618:116128928:-1 gene:ENSMUSG00000027962.14 transcript:ENSMUST00000196309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcam1 description:vascular cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:98926] MGQTMVNLFSLSLSLSLSLSLSLSLFSAQAFKIEISPEYKTIAQIGDSMALTCSTTGCES PLFSWRTQIDSPLNAKVRTEGSKSVLTMEPVSFENEHSYLCTATCGSGKLERSIHVDIYS FPKDPEIQFSGPLEVGKPVTVKCLAPDIYPVYRLEIDLFKGDQLMNRQEFSSEEMTKSLE TKSLEVTFTPVIEDIGKALVCRAKLHIDQIDSTLKERETVKELQVYISPRNTTISVH >ENSMUSP00000099815.4 pep:known chromosome:GRCm38:2:59160850:59355205:1 gene:ENSMUSG00000026991.20 transcript:ENSMUST00000102754.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp4 description:plakophilin 4 [Source:MGI Symbol;Acc:MGI:109281] MPAPEQGSLVEEGQPQTHQEAVSTGPGMEPETTATTILASVKEQELQFQRLTRELEVERQ IVASQLERCRLGAESPSIASTSSTEKSFPWRSTDVPNPGVSKPRVSDTIHPNNYLIRTEP EQGTLYSPEQTSLHESEGSLGNSRSSTQMNSYSDSGYQEAGSFHNSQTVNKADSRQHPFT GSTSNHVVRTSRAEGQTLVQPSVANRAMRRVSSVPSRAQSPSYVTSTGVSPSRGSLRTSL GSGFGSPSVTDSRPLNPSAYSSSTLPAQRAASPYSQRPASPTAVRRVGSVTSRQTSNPNG PVPQYQTTTRVGSPLTLTDAQTRVASPSQGQVGSSSPKRSGMTAVPQHLGPSLQRTVHDM DQFGQQQYDIYERMVPPRPDSLTGLRSSYASQHSQLGQELRSAVSPDLHITPIYEGRTYY SPVYRSPNHGTVELQGSQTALYRTGSVGIGNLQRTSSQRSTLTYQRNNYALNTAATYAEP YRPVQYRVQECSYNRLQHTGPADDGATRSPSIDSIQKDPREFAWRDPELPEVIHMLQHQF PSVQANAAAYLQHLCFGDNKVKMEVYRLGGIKHLVDLLDHRVLEVQKNACGALRNLVFGK STDENKIAMKNVGGIPALLRLLRKSIDAEVRELVTGVLWNLSSCDAVKMTIIRDALSTLT NTVIVPHSGWNNSSFDDDHKIKFQTSLVLRNTTGCLRNLSSAGEEARKQMRSCEGLVDSL LYVIHTCVNTSDYDSKTVENCVCTLRNLSYRLELEVPQARLLGLNELDDLLGKESPSKDS EPSCWGKKKKKKKRTPQEDQWDGVGPIPGLSKSPKGVEMLWHPSVVKPYLTLLAESSNPA TLEGSAGSLQNLSAGNWKFAAYIRAAVRKEKGLPILVELLRMDNDRVVSSVATALRNMAL DVRNKELIGKYAMRDLVNRLPGGNGPSILSDETVAAICCALHEVTSKNMENAKALADSGG IEKLVNITKGRGDRSSLKVVKAAAQVLNTLWQYRDLRSIYKKDGWNQNHFITPVSTLERD RFKSHPSLSTTNQQMSPIIQSVGSTSSSPALLGIREPRSEYDRTQPPMQYYNSQGDTTHK GLYPGSSKPSPIYISSYSSPAREQNRRLQHQQLYYQDDSTRKTLDAYRLYLQSPRSYEDP YCDDRVHFPASTDYSTQYGLKSTTNYVDFYSTKRPSYRAEQYPGSPDSWV >ENSMUSP00000139141.1 pep:known chromosome:GRCm38:2:59160881:59354824:1 gene:ENSMUSG00000026991.20 transcript:ENSMUST00000183359.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pkp4 description:plakophilin 4 [Source:MGI Symbol;Acc:MGI:109281] MPAPEQGSLVEEGQPQTHQEAVSTGPGMEPETTATTILASVKEQELQFQRLTRELEVERQ IVASQLERCRLGAESPSIASTSSTEKSFPWRSTDVPNPGVSKPRVSDTIHPNNYLIRTEP EQGTLYSPEQTSLHESEGSLGNSRSSTQMNSYSDSGYQEAGSFHNSQTVNKADSRQHPFT GSTSNHVVRTSRAEGQTLVQHRRVSFKGVTENFSG >ENSMUSP00000122152.1 pep:known chromosome:GRCm38:2:59160882:59338873:1 gene:ENSMUSG00000026991.20 transcript:ENSMUST00000123908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp4 description:plakophilin 4 [Source:MGI Symbol;Acc:MGI:109281] MPAPEQGSLVEEGQPQTHQEAVSTGPGMEPETTATTILASVKEQELQFQRLTRELEVERQ IVASQLERCRLGAESPSIASTSSTEKSFPWRSTDVPNPGVSKPRVSDTIHPNNYLIRTEP EQGTLYSPEQTSLHESEGSLGNSRSSTQMNSYSDSGYQEAGSFHNSQTVNKADSRQHPFT GSTSNHVVRTSRAEGQTLVQPSVANRAMRRVSSVPSRAQSPSYVTSTGVSPSRGSLRTSL GSGFGSPSVTDSRPLNPSAYSSSTLPAQRAASPYSQRPASPTAVRRVGSVTSRQTSNPNG PVPQYQTTTRVGSPLTLTDAQTRVASPSQGQVGSSSPKRSGMTAVPQHLGPSLQRTVHDM DQFGQQQYDIYERMVPPRPDSLTGLRSSYASQHSQLGQELRSAVSPDLHITPIYEGRTYY SPVYRSPNHGTVELQGSQTALYRTGSVGIGNLQRTSSQRSTLTYQRNNYALNTAATYAEP YRPVQYRVQECSYNRLQHTGPADDGATRSPSIDSIQKDPSTLKGVIHCLQTSVVFWEFAW RDPELPEVIHMLQHQFPSVQANAAAYLQHLCFGDNKVKMEVYRLGGIKHLVDLLDHRVLE VQKNACGALRNLVFGKSTDENKIAMKNVGGIPALLRLLRKSIDAEVRELVTGVLWNLSSC DAVKMTIIRDALSTLTNTVIVPHSGWNNSSFDDDHKIKFQTSLVLRNTTGCLRNLSSAGE EARKQMRSCEGLVDSLLYVIHTCVNTSDYDSKTVENCVCTLRNLSYRLELEVPQARLLGL NELDDLLGKESPSKDSEPSCWGK >ENSMUSP00000042249.8 pep:known chromosome:GRCm38:2:59161008:59355208:1 gene:ENSMUSG00000026991.20 transcript:ENSMUST00000037903.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp4 description:plakophilin 4 [Source:MGI Symbol;Acc:MGI:109281] MPAPEQGSLVEEGQPQTHQEAVSTGPGMEPETTATTILASVKEQELQFQRLTRELEVERQ IVASQLERCRLGAESPSIASTSSTEKSFPWRSTDVPNPGVSKPRVSDTIHPNNYLIRTEP EQGTLYSPEQTSLHESEGSLGNSRSSTQMNSYSDSGYQEAGSFHNSQTVNKADSRQHPFT GSTSNHVVRTSRAEGQTLVQPSVANRAMRRVSSVPSRAQSPSYVTSTGVSPSRGSLRTSL GSGFGSPSVTDSRPLNPSAYSSSTLPAQRAASPYSQRPASPTAVRRVGSVTSRQTSNPNG PVPQYQTTTRVGSPLTLTDAQTRVASPSQGQVGSSSPKRSGMTAVPQHLGPSLQRTVHDM DQFGQQQYDIYERMVPPRPDSLTGLRSSYASQHSQLGQELRSAVSPDLHITPIYEGRTYY SPVYRSPNHGTVELQGSQTALYRTGSVGIGNLQRTSSQRSTLTYQRNNYALNTAATYAEP YRPVQYRVQECSYNRLQHTGPADDGATRSPSIDSIQKDPSTLKGVIHCLQTSVVFWEFAW RDPELPEVIHMLQHQFPSVQANAAAYLQHLCFGDNKVKMEVYRLGGIKHLVDLLDHRVLE VQKNACGALRNLVFGKSTDENKIAMKNVGGIPALLRLLRKSIDAEVRELVTGVLWNLSSC DAVKMTIIRDALSTLTNTVIVPHSGWNNSSFDDDHKIKFQTSLVLRNTTGCLRNLSSAGE EARKQMRSCEGLVDSLLYVIHTCVNTSDYDSKTVENCVCTLRNLSYRLELEVPQARLLGL NELDDLLGKESPSKDSEPSCWGKKKKKKKRTPQEDQWDGVGPIPGLSKSPKGVEMLWHPS VVKPYLTLLAESSNPATLEGSAGSLQNLSAGNWKFAAYIRAAVRKEKGLPILVELLRMDN DRVVSSVATALRNMALDVRNKELIGKYAMRDLVNRLPGGNGPSILSDETVAAICCALHEV TSKNMENAKALADSGGIEKLVNITKGRGDRSSLKVVKAAAQVLNTLWQYRDLRSIYKKDG WNQNHFITPVSTLERDRFKSHPSLSTTNQQMSPIIQSGSSKPSPIYISSYSSPAREQNRR LQHQQLYYQDDSTRKTLDAYRLYLQSPRSYEDPYCDDRVHFPASTDYSTQYGLKSTTNYV DFYSTKRPSYRAEQYPGSPDSWV >ENSMUSP00000139161.1 pep:known chromosome:GRCm38:2:59161043:59338876:1 gene:ENSMUSG00000026991.20 transcript:ENSMUST00000184332.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pkp4 description:plakophilin 4 [Source:MGI Symbol;Acc:MGI:109281] MPAPEQGSLVEEGQPQTHQEAVSTGPGMEPETTATTILASVKEQLN >ENSMUSP00000138895.1 pep:known chromosome:GRCm38:2:59161927:59305366:1 gene:ENSMUSG00000026991.20 transcript:ENSMUST00000184705.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pkp4 description:plakophilin 4 [Source:MGI Symbol;Acc:MGI:109281] MPAPEQGSLVEEGQPQTHQEAVSTGPGMEPETTATTILASVKEQLN >ENSMUSP00000108196.1 pep:known chromosome:GRCm38:2:59229749:59355205:1 gene:ENSMUSG00000026991.20 transcript:ENSMUST00000112577.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp4 description:plakophilin 4 [Source:MGI Symbol;Acc:MGI:109281] MTAVPQHLGPSLQRTVHDMDQFGQQQYDIYERMVPPRPDSLTGLRSSYASQHSQLGQELR SAVSPDLHITPIYEGRTYYSPVYRSPNHGTVELQGSQTALYRTGSVGIGNLQRTSSQRST LTYQRNNYALNTAATYAEPYRPVQYRVQECSYNRLQHTGPADDGATRSPSIDSIQKDPRE FAWRDPELPEVIHMLQHQFPSVQANAAAYLQHLCFGDNKVKMEVYRLGGIKHLVDLLDHR VLEVQKNACGALRNLVFGKSTDENKIAMKNVGGIPALLRLLRKSIDAEVRELVTGVLWNL SSCDAVKMTIIRDALSTLTNTVIVPHSGWNNSSFDDDHKIKFQTSLVLRNTTGCLRNLSS AGEEARKQMRSCEGLVDSLLYVIHTCVNTSDYDSKTVENCVCTLRNLSYRLELEVPQARL LGLNELDDLLGKESPSKDSEPSCWGKKKKKKKRTPQEDQWDGVGPIPGLSKSPKGVEMLW HPSVVKPYLTLLAESSNPATLEGSAGSLQNLSAGNWKFAAYIRAAVRKEKGLPILVELLR MDNDRVVSSVATALRNMALDVRNKELIGKYAMRDLVNRLPGGNGPSILSDETVAAICCAL HEVTSKNMENAKALADSGGIEKLVNITKGRGDRSSLKVVKAAAQVLNTLWQYRDLRSIYK KDGWNQNHFITPVSTLERDRFKSHPSLSTTNQQMSPIIQSVGSTSSSPALLGIREPRSEY DRTQPPMQYYNSQGDTTHKGLYPGSSKPSPIYISSYSSPAREQNRRLQHQQLYYQDDSTR KTLDAYRLYLQSPRSYEDPYCDDRVHFPASTDYSTQYGLKSTTNYVDFYSTKRPSYRAEQ YPGSPDSWV >ENSMUSP00000129836.1 pep:known chromosome:GRCm38:2:59160850:59355204:1 gene:ENSMUSG00000026991.20 transcript:ENSMUST00000168631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp4 description:plakophilin 4 [Source:MGI Symbol;Acc:MGI:109281] MPAPEQGSLVEEGQPQTHQEAVSTGPGMEPETTATTILASVKEQELQFQRLTRELEVERQ IVASQLERCRLGAESPSIASTSSTEKSFPWRSTDVPNPGVSKPRVSDTIHPNNYLIRTEP EQGTLYSPEQTSLHESEGSLGNSRSSTQMNSYSDSGYQEAGSFHNSQTVNKADSRQHPFT GSTSNHVVRTSRAEGQTLVQPSVANRAMRRVSSVPSRAQSPSYVTSTGVSPSRGSLRTSL GSGFGSPSVTDSRPLNPSAYSSSTLPAQRAASPYSQRPASPTAVRRVGSVTSRQTSNPNG PVPQYQTTTRVGSPLTLTDAQTRVASPSQGQVGSSSPKRSGMTAVPQHLGPSLQRTVHDM DQFGQQQYDIYERMVPPRPDSLTGLRSSYASQHSQLGQELRSAVSPDLHITPIYEGRTYY SPVYRSPNHGTVELQGSQTALYRTGSVGIGNLQRTSSQRSTLTYQRNNYALNTAATYAEP YRPVQYRVQECSYNRLQHTGPADDGATRSPSIDSIQKDPREFAWRDPELPEVIHMLQHQF PSVQANAAAYLQHLCFGDNKVKMEVYRLGGIKHLVDLLDHRVLEVQKNACGALRNLVFGK STDENKIAMKNVGGIPALLRLLRKSIDAEVRELVTGVLWNLSSCDAVKMTIIRDALSTLT NTVIVPHSGWNNSSFDDDHKIKFQTSLVLRNTTGCLRNLSSAGEEARKQMRSCEGLVDSL LYVIHTCVNTSDYDSKTVENCVCTLRNLSYRLELEVPQARLLGLNELDDLLGKESPSKDS EPSCWGKKKKKKKRTPQEDQWDGVGPIPGLSKSPKGVEMLWHPSVVKPYLTLLAESSNPA TLEGSAGSLQNLSAGNWKFAAYIRAAVRKEKGLPILVELLRMDNDRVVSSVATALRNMAL DVRNKELIGKYAMRDLVNRLPGGNGPSILSDETVAAICCALHEVTSKNMENAKALADSGG IEKLVNITKGRGDRSSLKVVKAAAQVLNTLWQYRDLRSIYKKDGWNQNHFITPVSTLERD RFKSHPSLSTTNQQMSPIIQSGSSKPSPIYISSYSSPAREQNRRLQHQQLYYQDDSTRKT LDAYRLYLQSPRSYEDPYCDDRVHFPASTDYSTQYGLKSTTNYVDFYSTKRPSYRAEQYP GSPDSWV >ENSMUSP00000129828.1 pep:known chromosome:GRCm38:1:78417975:78488859:-1 gene:ENSMUSG00000026245.16 transcript:ENSMUST00000170217.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Farsb description:phenylalanyl-tRNA synthetase, beta subunit [Source:MGI Symbol;Acc:MGI:1346035] MPTVSVKRDLLFQALGRTYTDEEFDELCFEFGLELDEITSEKQIISKEQGHGKAQGASDV VLYKIDVPANRYDLLCLEGLARGLQVFKERIKAPVYKRVMPKGDIQKLVITEETAKVRPF AVAAVLRNIKFTKDRYDSFIELQEKLHQNICRKRALVAIGTHDLDTLSGPFTYTAKRPSD IKFKPLNKTKEYTACELMNIYKTDNHLKHYLHIIESKPLYPVIYDSNGVVLSMPPIINGN HSKITVNTRNIFIECTGTDFTKAKIVLDIIVTMFSEHCENQFTVEAVEVVSPNGKSSTFP ELPYRKEMVRADLINKKVGIRETPANLAKLLTRMCLKSEVIGDGNQIEVEIPPTRADVIH ACDIVEDAAIAYGYNNIQMTLPKTYTIANQFPLNKLTELLRLDMAAAGFTEALTFALCSQ EDIADKLGLDISATKAVHISNPKTAEFQVARTTLLPGLLKTIAANRKMPLPLKLFEISDV VVKDSGKDVGAKNYRHLCAVYYNKTPGFEIIHGLLDRIMQLLDVPPGEESGGYMIKASAG SAFFPGRCAEIFVGGQSIGKLGVLHPDVITKFELTMPCSSLEINIEPFL >ENSMUSP00000069508.7 pep:known chromosome:GRCm38:1:78425068:78488897:-1 gene:ENSMUSG00000026245.16 transcript:ENSMUST00000068333.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Farsb description:phenylalanyl-tRNA synthetase, beta subunit [Source:MGI Symbol;Acc:MGI:1346035] MPTVSVKRDLLFQALGRTYTDEEFDELCFEFGLELDEITSEKQIISKEQGHGKAQGASDV VLYKIDVPANRYDLLCLEGLARGLQVFKERIKAPVYKRVMPKGDIQKLVITEETAKVRPF AVAAVLRNIKFTKDRYDSFIELQEKLHQNICRKRALVAIGTHDLDTLSGPFTYTAKRPSD IKFKPLNKTKEYTACELMNIYKTDNHLKHYLHIIESKPLYPVIYDSNGVVLSMPPIINGN HSKITVNTRNIFIECTGTDFTKAKIVLDIIVTMFSEHCENQFTVEAVEVVSPNGKSSTFP ELPYRKEMVRADLINKKVGIRETPANLAKLLTRMCLKSEVIGDGNQIEVEIPPTRADVIH ACDIVEDAAIAYGYNNIQMTLPKTYTIANQFPLNKLTELLRLDMAAAGFTEALTFALCSQ EDIADKLGLDISATKAVHISNPKTAEFQVARTTLLPGLLKTIAANRKMPLPLKLFEISDV VVKDSGKDVGAKNYRHLCAVYYNKTPGFEIIHGLLDRIMQLLDVPPGEESGGYMIKASAG SAFFPGRCAEIFVGGQSIGKLGVLHPDVITKFELTMPCSSLEINIEPFL >ENSMUSP00000140001.1 pep:known chromosome:GRCm38:1:78425085:78488862:-1 gene:ENSMUSG00000026245.16 transcript:ENSMUST00000189529.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Farsb description:phenylalanyl-tRNA synthetase, beta subunit [Source:MGI Symbol;Acc:MGI:1346035] MPTVSVKRDLLFQALGRTYTDEEFDELCFEFGLELDEIIRPPVSGRTGSGPPGLQRKDQS SGI >ENSMUSP00000139933.1 pep:known chromosome:GRCm38:1:78462996:78488859:-1 gene:ENSMUSG00000026245.16 transcript:ENSMUST00000188247.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Farsb description:phenylalanyl-tRNA synthetase, beta subunit [Source:MGI Symbol;Acc:MGI:1346035] MPKGDIQKLVITEETAKVRPFAVAAVLRNIKFTKDRYDSFIELQEKLHQNICRKRALVAI GTHDLDTLSGPFTYTAKRPSDIKFKPLNKTKEYTACELMNIYKTDNHLKHYLHIIESKPL YPVIYDSNGVVLSMPPIINGNHSKITVNTRNIFIECTGTDFTKAKIVLDIIVTMFSEHCE NQFTVEAVEVVSPNGKSSTFPELPYRKEMVRADLINKKVGIRETPANLAKLLTRMCL >ENSMUSP00000140934.1 pep:known chromosome:GRCm38:1:78472570:78488842:-1 gene:ENSMUSG00000026245.16 transcript:ENSMUST00000190441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Farsb description:phenylalanyl-tRNA synthetase, beta subunit [Source:MGI Symbol;Acc:MGI:1346035] MPTVSVKRDLLFQALGRTYRPVGDILNMLSTEANSSPWIRNPKTSGSSLEYNADEEFDEL CFEFGLELDEITSEKQIISKEQGHGKAQGASDVVLYKIDVPANRYDLLCLEGLARGLQVF KERIKAPVYKRVMPKGDIQKLVITEETAKVRPFAVAAVLRNIKFTKDRYDSFIELQEKLH QNICRKRALVAIGTHDLDTLSGPFTY >ENSMUSP00000133736.1 pep:known chromosome:GRCm38:12:57230412:57239882:1 gene:ENSMUSG00000079104.4 transcript:ENSMUST00000139049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prps1l3 description:phosphoribosyl pyrophosphate synthetase 1-like 3 [Source:MGI Symbol;Acc:MGI:3779453] MSNIKIFSGSSHQDLSQKIADRLGLELGKVVTKKFSNQETCVEIGESVRGEDVYIVQSGC GEINDNLMELLIMINACKIASASRVTAVIPCFPYARQDKKDKSRAPISAKLVANMLSVAG ADHIITMDLHASQIQGFFDIPVDNLYAEPAVLKWIRENISEWRNCTIVSPDAGGAKRVTS IADRLNVDFALIHKERKKANEVDRMVLVGDVKDRVAILVDDMADTCGTICHAADKLLSAG ATRVYAILTHGIFSGPAISRINNACFEAVVVTNTIPQEDKMKHCSKIQVIDISMILAEAI RRTHNGESVSYLFSHVPL >ENSMUSP00000138638.1 pep:known chromosome:GRCm38:14:51984719:51987467:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182649.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] MFFTPGDPLRVGLAAALERALSWVMRTDLPGTTIFHPSPQTRMQ >ENSMUSP00000138797.1 pep:known chromosome:GRCm38:14:51984833:52005655:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182905.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] MEPEPVEDCVQSTLAALYPPFEATAPTLLGQVFQVVERTYQEDALRYTLDFLVPAKHLLA KVQQEACAQYSGFLFFHEGWPLCLHEQVVVQLAALPWQLLRPGDFYLQVVPSAAQAPRLA LKCLAPGGGRVQELPVPSEACAYLFTPEWLQGINKDRPTGRLSTCLLSAPSGIQRLPWAE LVCPRFVHKEGLMVGHQPSTLPPELPSGPPGLPSSPLPEEVLGTRSPGDGHNAPAEGPEG EYVELLEVTLPVRGSPVDAEASGLSRTRTVPARKSTGGKGRHRRHRAWMNQKGLGSRDQD GTRPPGEGSSTGASSDSPSGAEADPDATALQASEPPAEALGEAPESCLLSGEAVGGVGQG AEGPPGTPRRTGKGNRRKKRAAGRGAGSRGGEGTSLSPRDKEETRQQEVLVSLPSPSEQE PAECSLVKEKEDSGKQESESKEELKPADEKEPARPEDYEPPEEEIRESEKEELTPQCTAG STGPEWFPSEPSTQPLETVQNVKGDSLPEETPPVSVLDDPVVAWDLMASGFFVLTGGVDQ TGRALLTITPPPPCLPEESSPSQETLSTALRYLHSLLRPDLQLLGLTILLDLRKAPPLPP ALLPALSQLQDSGEPPLIQRLVILIHEDPPAELCGFQGAELLSEKDLKRVAKPEELQWDL GGHRDLSPNHWAEIHQEVARLCTLCQGVLTSVRQAIEELEGTAEPKEEEAVGMPEPLQKV LADPRLTALQRNGGAILMRLRSAHSSKLEGPGPAELYQEVDEAIHQLVRLSNLRVQQQEE RQRLRQVQQVLQWLSGPGEEQLASFSMPGNSLSVLQETELRFRAFSTEVQERLVQAREAL ALEEDLTSQKVLDIFEQRLEQAESGLHRALRLQRFFQQAHEWVDEGSARLAGAGSGREAL LAALALRRAPEPSAGTFQEMRALALDLGSPAALREWGRCRARCQELERRIQQQLGEEASP RSHRRRRADSASSAGAQHGAHSPSPSLSSLLLPSSPGPRAAPSHCSLTPCGEDYEEEGLE LAPETDGRPPRAVLIRGLEVTSTEVVDRTCSPREHVLLGRAGGPDGPWGIGTPRMERKRS ISAQQRLVSELIACEQEYVTTLNEPVPLPGPELTPELRCTWAAALSVRERLRSFHGTHFL QELQGCAAHPLRIGACFLRHVSSPPLPSLLPSRVLPYPPSRNCCCPLPSRT >ENSMUSP00000091331.4 pep:known chromosome:GRCm38:14:51984838:52006251:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000093813.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] MEPEPVEDCVQSTLAALYPPFEATAPTLLGQVFQVVERTYQEDALRYTLDFLVPAKHLLA KVQQEACAQYSGFLFFHEGWPLCLHEQVVVQLAALPWQLLRPGDFYLQVVPSAAQAPRLA LKCLAPGGGRVQELPVPSEACAYLFTPEWLQGINKDRPTGRLSTCLLSAPSGIQRLPWAE LVCPRFVHKEGLMVGHQPSTLPPELPSGPPGLPSSPLPEEVLGTRSPGDGHNAPAEGPEG EYVELLEVTLPVRGSPVDAEASGLSRTRTVPARKSTGGKGRHRRHRAWMNQKGLGSRDQD GTRPPGEGSSTGASSDSPSGAEADPDATALQASEPPAEALGEAPESCLLSGEAVGGVGQG AEGPPGTPRRTGKGNRRKKRAAGRGAGSRGGEGTSLSPRDKEETRQQEVLVSLPSPSEQE PAECSLVKEKEDSGKQESESKEELKPADEKEPARPEDYEPPEEEIRESEKEELTPQCTAG STGPEWFPSEPSTQPLETVQNVKGDSLPEETPPVSVLDDPVVAWDLMASGFFVLTGGVDQ TGRALLTITPPPPCLPEESSPSQETLSTALRYLHSLLRPDLQLLGLTILLDLRKAPPLPP ALLPALSQLQDSGEPPLIQRLVILIHEDPPAELCGFQGAELLSEKDLKRVAKPEELQWDL GGHRDLSPNHWAEIHQEVARLCTLCQGVLTSVRQAIEELEGTAEPKEEEAVGMPEPLQKV LADPRLTALQRNGGAILMRLRSAHSSKLEGPGPAELYQEVDEAIHQLVRLSNLRVQQQEE RQRLRQVQQVLQWLSGPGEEQLASFSMPGNSLSVLQETELRFRAFSTEVQERLVQAREAL ALEEDLTSQKVLDIFEQRLEQAESGLHRALRLQRFFQQAHEWVDEGSARLAGAGSGREAL LAALALRRAPEPSAGTFQEMRALALDLGSPAALREWGRCRARCQELERRIQQQLGEEASP RSHRRRRADSASSAGAQHGAHSPSPSLSSLLLPSSPGPRAAPSHCSLTPCGEDYEEEGLE LAPETDGRPPRAVLIRGLEVTSTEVVDRTCSPREHVLLGRAGGPDGPWGIGTPRMERKRS ISAQQRLVSELIACEQEYVTTLNEPVPLPGPELTPELRCTWAAALSVRERLRSFHGTHFL QELQGCAAHPLRIGACFLRHGDQFNLYAQFVKHRHKLESGLAALTPSVKGSMESSPCLPR ALQQPLEQLARYGQLLEELLREAGPELSSERQALRAAVQLLQEQEARGRDLLAVEAVRGC EIDLKEQGQLLHRDPFTVICGRKKCLRHVFLFEDLLLFSKLKGSEGGSETFVYKQAFKTA DMGLTENIGDSGLCFELWFRRRRAREAYTLQATSPETKLKWTSSIAQLLWRQAAHNKELR VQQMVSMGIGNKPFLDIKALGERTLSALLTGRAARTRASVAVSSFEHAGPSLPGLSPGAC SLPARVEEEAWDLDVKQISLASETLDSSGDVSPGPRNSPSLQPPSPGSSTPALTSGGILG LSRQSHSRALSDPTTPL >ENSMUSP00000098204.3 pep:known chromosome:GRCm38:14:51984864:52005622:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000100639.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] MEPEPVEDCVQSTLAALYPPFEATAPTLLGQVFQVVERTYQEDALRYTLDFLVPAKHLLA KVQQEACAQYSGFLFFHEGWPLCLHEQVVVQLAALPWQLLRPGDFYLQVVPSAAQAPRLA LKCLAPGGGRVQELPVPSEACAYLFTPEWLQGINKDRPTGRLSTCLLSAPSGIQRLPWAE LVCPRFVHKEGLMVGHQPSTLPPELPSGPPGLPSSPLPEEVLGTRSPGDGHNAPAEGPEG EYVELLEVTLPVRGSPVDAEASGLSRTRTVPARKSTGGKGRHRRHRAWMNQKGLGSRDQD GTRPPGEGSSTGASSDSPSGAEADPDATALQASEPPAEALGEAPESCLLSGEAVGGVGQG AEGPPGTPRRTGKGNRRKKRAAGRGAGSRGGEGTSLSPRDKEETRQQEVLVSLPSPSEQE PAECSLVKEKEDSGKQESESKEELKPADEKEPARPEDYEPPEEEIRESEKEELTPQCTAG STGPEWFPSEPSTQPLETVQNVKGDSLPEETPPVSVLDDPVVAWDLMASGFFVLTGGVDQ TGRALLTITPPPPCLPEESSPSQETLSTALRYLHSLLRPDLQLLGLTILLDLRKAPPLPP ALLPALSQLQDSGEPPLIQRLVILIHEDPPAELCGFQGAELLSEKDLKRVAKPEELQWDL GGHRDLSPNHWAEIHQEVARLCTLCQGVLTSVRQAIEELEGTAEPKEEEAVGMPEPLQKV LADPRLTALQRNGGAILMRLRSAHSSKLEGPGPAELYQEVDEAIHQLVRLSNLRVQQQEE RQRLRQVQQVLQWLSGPGEEQLASFSMPGNSLSVLQETELRFRAFSTEVQERLVQAREAL ALEEDLTSQKVLDIFEQRLEQAESGLHRALRLQRFFQQAHEWVDEGSARLAGAGSGREAL LAALALRRAPEPSAGTFQEMRALALDLGSPAALREWGRCRARCQELERRIQQQLGEEASP RSHRRRRADSASSAGAQHGAHSPSPSLSSLLLPSSPGPRAAPSHCSLTPCGEDYEEEGLE LAPETDGRPPRAVLIRGLEVTSTEVVDRTCSPREHVLLGRAGGPDGPWGIGTPRMERKRS ISAQQRLVSELIACEQEYVTTLNEPVPLPGPELTPELRCTWAAALSVRERLRSFHGTHFL QELQGCAAHPLRIGACFLRHGDQFNLYAQFVKHRHKLESGLAALTPSVKGSMESSPCLPR ALQQPLEQLARYGQLLEELLREAGPELSSERQALRAAVQLLQEQEARGRDLLAVEAVRGC EIDLKEQGQLLHRDPFTVICGRKKCLRHVFLFEDLLLFSKLKGSEGGSETFVYKQAFKTA DMGLTENIGDSGLCFELWFRRRRAREAYTLQATSPETKLKWTSSIAQLLWRQAAHNKELR VQQMVSMGIGNKPFLDIKALGERTLSALLTGRASETLDSSGDVSPGPRNSPSLQPPSPGS STPALTSGGILGLSRQAWLRGIKSHSRALSDPTTPL >ENSMUSP00000138354.1 pep:known chromosome:GRCm38:14:51984886:52005633:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000183208.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] MEPEPVEDCVQSTLAALYPPFEATAPTLLGQVFQVVERTYQEDALRYTLDFLVPAKHLLA KVQQEACAQYSGFLFFHEGWPLCLHEQVVVQLAALPWQLLRPGDFYLQVVPSAAQAPRLA LKCLAPGGGRVQELPVPSEACAYLFTPEWLQGINKDRPTGRLSTCLLSAPSGIQRLPWAE LVCPRFVHKEGLMVGHQPSTLPPELPSGPPGLPSSPLPEEVLGTRSPGDGHNAPAEGPEG EYVELLEVTLPVRGSPVDAEASGLSRTRTVPARKSTGGKGRHRRHRAWMNQKGLGSRDQD GTRPPGEGSSTGASSDSPSGAEADPDATALQASEPPAEALGEAPESCLLSGEAVGGVGQG AEGPPGTPRRTGKGNRRKKRAAGRGAGSRGGEGTSLSPRDKEETRQQEVLVSLPSPRSTG PEWFPSEPSTQPLETVQNVKGDSLPEETPPVSVLDDPVVAWDLMASGFFVLTAWPLSVFR RGRSDWASSADNHASTSVPS >ENSMUSP00000138635.1 pep:known chromosome:GRCm38:14:51984909:52005627:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] MEPEPVEDCVQSTLAALYPPFEATAPTLLGQVFQVVERTYQEDALRYTLDFLVPAKHLLA KVQQEACAQYSGFLFFHEGWPLCLHEQVVVQLAALPWQLLRPGDFYLQVVPSAAQAPRLA LKCLAPGGGRVQELPVPSEACAYLFTPEWLQGINKDRPTGRLSTCLLSAPSGIQRLPWAE LVCPRFVHKEGLMVGHQPSTLPPELPSGPPGLPSSPLPEEVLGTRSPGDGHNAPAEGPEG EYVELLEVTLPVRGSPVDAEASGLSRTRTVPARKSTGGKGRHRRHRAWMNQKGLGSRDQD GTRPPGEGSSTGASSDSPSGAEADPDATALQASEPPAEALGEAPESCLLSGEAVGGVGQG AEGPPGTPRRTGKGNRRKKRAAGRGAGSRGGEGTSLSPRDKEETRQQEVLVSLPSPSEQE PAECSLVKEKEDSGKQESESKEELKPADEKEPARPEDYEPPEEEIRESEKEELTPQCTAG STGPEWFPSEPSTQPLETVQNVKGDSLPEETPPVSVLDDPVVAWDLMASGFFVLTGGVDQ TGRALLTITPPPPCLPEESSPSQETLSTALRYLHSLLRPDLQLLGLTILLDLRKAPPLPP ALLPALSQLQDSGEPPLIQRLVILIHEDPPAELCGFQGAELLSEKDLKRVAKPEELQWDL GGHRDLSPNHWAEIHQEVARLCTLCQGVLTSVRQAIEELEGTAEPKEEEAVGMPEPLQKV LADPRLTALQRNGGAILMRLRSAHSSKLEGPGPAELYQEVDEAIHQLVRLSNLRVQQQEE RQRLRQVQQVLQWLSGPGEEQLASFSMPGNSLSVLQETELRFRAFSTEVQERLVQAREAL ALEEDLTSQKVLDIFEQRLEQAESGLHRALRLQRFFQQAHEWVDEGSARLAGAGSGREAL LAALALRRAPEPSAGTFQEMRALALDLGSPAALREWGRCRARCQELERRIQQQLGEEASP RSHRRRRADSASSAGAQHGAHSPSPSLSSLLLPSSPGPRAAPSHCSLTPCGEDYEEEGLE LAPETDGRPPRAVLIRGLEVTSTEVVDRTCSPREHVLLGRAGGPDGPWGIGTPRMERKRS ISAQQRLVSELIACEQEYVTTLNEPVPLPGPELTPELRCTWAAALSVRERLRSFHGTHFL QELQGCAAHPLRIGACFLRHGDQFNLYAQFVKHRHKLESGLAALTPSVKGSMESSPCLPR ALQQPLEQLARYGQLLEELLREAGPELSSERQALRAAVQLLQEQEARGRDLLAVEAVRGC EIDLKEQGQLLHRDPFTVICGRKKCLRHVFLFEDLLLFSKLKGSEGGSETFVYKQAFKTA DMGLTENIGDSGLCFELWFRRRRAREAYTLQATSPETKLKWTSSIAQLLWRQAAHNKELR VQQMVSMGIGNKPFLDIKALGERTLSALLTGRAARTRASVAVSSFEHAGPSLPGLSPGAC SLPARVEEEAWDLDVKQISLASETLDSSGDVSPGPRNSPSLQPPSPGSSTPALTSGGILG LSRQSHSRALSDPTTPL >ENSMUSP00000138125.1 pep:known chromosome:GRCm38:14:51984910:52005623:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182760.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] MEPEPVEDCVQSTLAALYPPFEATAPTLLGQVFQVVERTYQEDALRYTLDFLVPAKHLLA KVQQEACAQYSGFLFFHEGWPLCLHEQVVVQLAALPWQLLRPGDFYLQVVPSAAQAPRLA LKCLAPGGGRVQELPVPSEACAYLFTPEWLQGINKDRPTGRLSTCLLSAPSGIQRLPWAE LVCPRFVHKEGLMVGHQPSTLPPELPSGPPGLPSSPLPEEVLGTRSPGDGHNAPAEGPEG EYVELLEVTLPVRGSPVDAEASGLSRTRTVPARKSTGGKGRHRRHRAWMNQKGLGSRDQD GTRPPGEGSSTGASSDSPSGAEADPDATALQASEPPAEALGEAPESCLLSGEAVGGVGQG AEGPPGTPRRTGKGNRRKKRAAGRGAGSRGGEGTSLSPRDKEETRQQEVLVSLPSPSEQE PAECSLVKEKEDSGKQESESKEELKPADEKEPARPEDYEPPEEEIRESEKEELTPQCTAG STGPEWFPSEPSTQPLETVQNVKGDSLPEETPPVSVLDDPVVAWDLMASGFFVLTGGVDQ TGRALLTITPPPPCLPEESSPSQETLSTALRYLHSLLRPDLQLLGLTILLDLRKAPPLPP ALLPALSQLQCRDILLCPQDSGEPPLIQRLVILIHEDPPAELCGFQGAELLSEKDLKRVA KPEELQWDLGGHRDLSPNHWAEIHQEVARLCTLCQGVLTSVRQAIEELEGTAEPKEEEAV GMPEPLQKVLADPRLTALQRNGGAILMRLRSAHSSKLEGPGPAELYQEVDEAIHQLVRLS NLRVQQQEERQRLRQVQQVLQWLSGPGEEQLASFSMPGNSLSVLQETELRFRAFSTEVQE RLVQAREALALEEDLTSQKVLDIFEQRLEQAESGLHRALRLQRFFQQAHEWVDEGSARLA GAGSGREALLAALALRRAPEPSAGTFQEMRALALDLGSPAALREWGRCRARCQELERRIQ QQLGEEASPRSHRRRRADSASSAGAQHGAHSPSPSLSSLLLPSSPGPRAAPSHCSLTPCG EDYEEEGLELAPETDGRPPRAVLIRGLEVTSTEVVDRTCSPREHVLLGRAGGPDGPWGIG TPRMERKRSISAQQRLVSELIACEQEYVTTLNEPVPLPGPELTPELRCTWAAALSVRERL RSFHGTHFLQELQGCAAHPLRIGACFLRHGDQFNLYAQFVKHRHKLESGLAALTPSVKGS MESSPCLPRALQQPLEQLARYGQLLEELLREAGPELSSERQALRAAVQLLQEQEARGRDL LAVEAVRGCEIDLKEQGQLLHRDPFTVICGRKKCLRHVFLFEDLLLFSKLKGSEGGSETF VYKQAFKTADMGLTENIGDSGLCFELWFRRRRAREAYTLQATSPETKLKWTSSIAQLLWR QAAHNKELRVQQMVSMGIGNKPFLDIKALGERTLSALLTGRAARTRASVAVSSFEHAGPS LPGLSPGACSLPARVEEEAWDLDVKQISLASETLDSSGDVSPGPRNSPSLQPPSPGSSTP ALTSGGILGLSRQSHSRALSDPTTPL >ENSMUSP00000138482.1 pep:known chromosome:GRCm38:14:51984915:51989116:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182338.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] MFFTPGDPLRVGLAAALERALSWVMRTDLPGTTIFHPSPQTRSLNQWRTVCRARLLPCTR PLKPQPQPCWARCSRWWRGRTRRMR >ENSMUSP00000138128.1 pep:known chromosome:GRCm38:14:51984931:52005623:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182061.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] MEPEPVEDCVQSTLAALYPPFEATAPTLLGQVFQVVERTYQEDALRYTLDFLVPAKHLLA KVQQEACAQYSGFLFFHEGWPLCLHEQVVVQLAALPWQLLRPGDFYLQVVPSAAQAPRLA LKCLAPGGGRVQELPVPSEACAYLFTPEWLQGINKDRPTGRLSTCLLSAPSGIQRLPWAE LVCPRFVHKEGLMVGHQPSTLPPELPSGPPGLPSSPLPEEVLGTRSPGDGHNAPAEGPEG EYVELLEVTLPVRGSPVDAEASGLSRTRTVPARKSTGGKGRHRRHRAWMNQKGLGSRDQD GTRPPGEGSSTGASSDSPSGAEADPDATALQASEPPAEALGEAPESCLLSGEAVGGVGQG AEGPPGTPRRTGKGNRRKKRAAGRGAGSRGGEGTSLSPRDKEETRQQEVLVSLPSPSEQE PAECSLVKEKEDSGKQESESKEELKPADEKEPARPEDYEPPEEEIRESEKEELTPQCTAG STGPEWFPSEPSTQPLETVQNVKGDSLPEETPPVSVLDDPVVAWDLMASGFFVLTGGVDQ TGRALLTITPPPPCLPEESSPSQETLSTALRYLHSLLRPDLQLLGLTILLDLRKAPPLPP ALLPALSQLQDSGEPPLIQRLVILIHEDPPAELCGFQGAELLSEKDLKRVAKPEELQWDL GGHRDLSPNHWAEIHQEVARLCTLCQGVLTSVRQAIEELEGTAEPKEEEAVGMPEPLQKV LADPRLTALQRNGGAILMRLRSAHSSKLEGPGPAELYQEVDEAIHQLVRLSNLRVQQQEE RQRLRQVQQVLQWLSGPGEEQLASFSMPGNSLSVLQETELRFRAFSTEVQERLVQAREAL ALEEDLTSQKVLDIFEQRLEQAESGLHRALRLQRFFQQAHEWVDEGSARLAGAGSGREAL LAALALRRAPEPSAGTFQEMRALALDLGSPAALREWGRCRARCQELERRIQQQLGEEASP RSHRRRRADSASSAGAQHGAHSPSPSLSSLLLPSSPGPRAAPSHCSLTPCGEDYEEEGLE LAPETDGRPPRAVLIRGLEVTSTEVVDRTCSPREHVLLGRAGGPDGPWGIGTPRMERKRS ISAQQRLVSELIACEQEYVTTLNEPVPLPGPELTPELRCTWAAALSVRERLRSFHGTHFL QELQGCAAHPLRIGACFLRHGDQFNLYAQFVKHRHKLESGLAALTPSVKGSMESSPCLPR ALQQPLEQLARYGQLLEELLREAGPELSSERQALRAAVQLLQEQEARGRDLLAVEAVRGC EIDLKEQGQLLHRDPFTVICGRKKCLRHVFLFEDLLLFSKLKGSEGGSETFVYKQAFKTA DMGLTENIGDSGLCFELWFRRRRAREAYTLQATSPETKLKWTSSIAQLLWRQAAHNKELR VQQMVSMGIGNKPFLDIKALGERTLSALLTGRASETLDSSGDVSPGPRNSPSLQPPSPGS STPALTSGGILGLSRQSHSRALSDPTTPL >ENSMUSP00000138751.1 pep:known chromosome:GRCm38:14:51984971:51988768:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] MEPEPVEDCVQSTLAALYPPFEATAPTLLGQVFQVVERTYQEDALRYTLDFLVPAKHLLA KVQQEACAQYSGFLFFHEGWPLCLHEQVV >ENSMUSP00000138405.1 pep:known chromosome:GRCm38:14:51994174:51997162:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182412.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] XEPKEEEAVGMPEPLQKVLADPRLTALQRNGGAILMRLRSAHSSK >ENSMUSP00000138424.1 pep:known chromosome:GRCm38:14:52002358:52005623:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] XAREAYTLQATSPETKLKWTSSIAQLLWRQAAHNKELRVQQMVSMGIGNKPFLDIKALGE RTLSALLTGRAARTRASVAVSSFEHAGPSLPGLSPGACSLPARVEEEAWDLDVKQISLAS PPASETLDSSGDVSPGPRNSPSLQPPSPGSSTPALTSGGILGLSRQSHSRALSDPTTPL >ENSMUSP00000138568.1 pep:known chromosome:GRCm38:14:52002433:52005626:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182217.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] XLWRQAAHNKAARTRASVAVSSFEHAGPSLPGLSPGACSLPARVEEEAWDLDVKQISLAS ETLDSSGDVSPGPRNSPSLQPPSPGSSTPALTSGGILGLSRQSHSRALSDPTTPL >ENSMUSP00000138339.1 pep:known chromosome:GRCm38:14:52004082:52005541:1 gene:ENSMUSG00000004562.16 transcript:ENSMUST00000182828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef40 description:Rho guanine nucleotide exchange factor (GEF) 40 [Source:MGI Symbol;Acc:MGI:2685515] XAWDLDVKQISLASPPASETLDSSGDVSPGPRNSPSLQPPSPGSSTPALTSGGILGLSRQ SHSRALSDPTTPL >ENSMUSP00000083555.5 pep:known chromosome:GRCm38:5:120876142:120887582:-1 gene:ENSMUSG00000066861.14 transcript:ENSMUST00000086368.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas1g description:2'-5' oligoadenylate synthetase 1G [Source:MGI Symbol;Acc:MGI:97429] MEHGLRSIPAWTLDKFIEDYLLPDTTFGADVKSAVNVVCDFLKERCFQGAAHPVRVSKVV KGGSSGKGTTLKGRSDADLVVFLNNLTSFEDQLNRRGEFIKEIKKQLYEVQHERRFRVKF EVQSSWWPNARSLSFKLSAPHLHQEVEFDVLPAFDVLGHGSINKKPNPLIYTILIWECTS LGKDGEFSTCFTELQRNFLKQRPTKLKSLIRLVKHWYQLCKEKLGKPLPPQYALELLTVY AWEQGNGCNEFNTAQGFRTVLELVINYQHLRIYWTKYYDFQHKEVSKYLHRQLRKARPVI LDPADPTGNVAGGNPEGWRRLAEEADVWLWYPCFMKNDGSRVSSWDVPTVVPVPFEQVEE NWTCILL >ENSMUSP00000124597.1 pep:known chromosome:GRCm38:5:120876722:120887613:-1 gene:ENSMUSG00000066861.14 transcript:ENSMUST00000162096.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oas1g description:2'-5' oligoadenylate synthetase 1G [Source:MGI Symbol;Acc:MGI:97429] MEHGLRSIPAWTLDKFIEDYLLPDTTFGADVKSAVNVVCDFLKERCFQGAAHPVRVSKVV KGGSSGKGTTLKGRSDADLVVFLNNLTSFEDQLNRRGEFIKEIKKQLYEVQHERRFRVKF EVQSSWWPNARSLSFKLSAPHLHQEVEFDVLPAFDVLGHGSINKKPNPLIYTILIWECTS LGKDGEFSTCFTELQRNFLKQRPTKLKSLIRLVKHWYQLVRHRPSHCGLHLYRV >ENSMUSP00000091440.4 pep:known chromosome:GRCm38:11:115765433:115799366:1 gene:ENSMUSG00000020747.17 transcript:ENSMUST00000093912.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem94 description:transmembrane protein 94 [Source:MGI Symbol;Acc:MGI:1919197] MDLREKHLGEPPLALGLSTRKALSVLKEQLEAVLEKHLKERKKSLTWKEAWRSSFLHLSN RCSCFHWPGASLMLLAVLLLLCCCGGQPAGSQGVELVNASALFLLLLLNLVLIGRQDRLK RREVERRLRGIIDQIQDALRDGKEIKWPNSMYPDLHMPFAPSWSLHWAYRDGHLVNLPVS LLVEGDIIALRPGQESFASLRGIKDDEHIVLEPGDLFPPFSPPPSPRGEVKRGPQNPQQH RLFRVLETPVIDNIRWCLDTALSRPVTALDNERFTVQSVMLHYAVPVVLAGFLITNALRF MFKAPGVTSWQYTLLQLQVNGMLPILPLLFPVLWVLATACGEARVLAQMSKASPSSLLAK FSEDTLSSYTEAVSSQEMLRCIWGHFLRVIQGTSPTLSHSASLLHSLGSVTVLCCVDKQG ILSWPNPSPETVLFFSGKVEPPHSSHEDLTDDLSTRSFCHPEVEEEPHEHDALLAGSLNN TLHLSNEQERSDWLADGPKPSEPYPHHKGHGRSKHPSGSNVSFSRDTEGGEEEPSKAQPG TEGDPYEAEDFVCDYHLEMLSLSQDQQNPSCIQFDDSNWQSHLTSLKPLGLNVLLNLCNA SVTERLCRFSDHLCNIALQESHSAVLPVHVPWGLCELARLIGFTPGAKELFKQENHLALY RLPSAETLKETSLGRPSCVTKRRPPLSHMISLFIKDTATSTEQMLSHGSADVVVEACTDF WDGADIYPLSGSDRKKVLDFYQRACLSGYCSAFAYKPMNCTLSSQLNGKCIELVQVPGQN SIFTMCELPSTIPIKPNNRRSSWSSDEGIGEVLEKEDCMQALSGQIFMGMVSSQYQARLD IVRLIDGLVNACIRFVYFSLEDELRSKVFAEKMGLETGWNCHISLTPNGDMPGSEIPPSS PSHAGSLHDDLNQVSRDDAEGLLLLEEEGHSDLISFQPTDSDIPSFLEDCNRAKLPRGIH QVRPHLQNIDNVPLLVPLFTDCTPDTMCEMIKIMQEYGEVTCCLGSSANLRNSCLFLQSD VSIALDPLYPSRCSWETFGYATSTTMAQASDGLSPLQLSGQLNSLPCSLTFRQEESISII RLIEQARHATYGIRKCFLFLLQCQLTLVVIQFLSCLVQLPPLLSTTDILWLSCFCYPLLS ISLLGKPPHSSIMSMATGKNLQSIPKKTQHYFLLCFLLKFSLTISSCLVCFGFTLQSFCD SARARNLTNCSSVMLCSNDDRAPAWFEDFANGLLSAQKLTAALIVLHTVFISITHVHRTK PLWRKSPLTNLWWAVTVPVVLLGQVVQTVVDLQLWTHRDSRVHFGLEDVPLLTWLLGCLS LVLVVVTNEIVKLHEIRVRVRYQKRQKLQFETKLGMNSPF >ENSMUSP00000122111.1 pep:known chromosome:GRCm38:11:115765442:115785942:1 gene:ENSMUSG00000020747.17 transcript:ENSMUST00000136720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem94 description:transmembrane protein 94 [Source:MGI Symbol;Acc:MGI:1919197] MDLREKHLGEPPLALGLSTRKALSVLKEQLEAVLEKHLKERKKSLTWKEAWRSSFLHLSN RCSCFHWPGASLMLLAVLLLLCCCGGQPAG >ENSMUSP00000099323.3 pep:known chromosome:GRCm38:11:115765443:115786266:1 gene:ENSMUSG00000020747.17 transcript:ENSMUST00000103034.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem94 description:transmembrane protein 94 [Source:MGI Symbol;Acc:MGI:1919197] MDLREKHLGEPPLALGLSTRKALSVLKEQLEAVLEKHLKERKKSLTWKGVELVNASALFL LLLLNLVLIGRQDRLKR >ENSMUSP00000118396.1 pep:known chromosome:GRCm38:11:115765454:115786185:1 gene:ENSMUSG00000020747.17 transcript:ENSMUST00000141871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem94 description:transmembrane protein 94 [Source:MGI Symbol;Acc:MGI:1919197] MDLREKHLGEPPLALGLSTRKALSVLKEQLEAVLEKHLKERKKSLTWKEAWRSSFLHLSN RCSCFHWPGASLMLLAVLLLLCCCGGQPAGSQGV >ENSMUSP00000099322.1 pep:known chromosome:GRCm38:11:115775756:115799033:1 gene:ENSMUSG00000020747.17 transcript:ENSMUST00000103033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem94 description:transmembrane protein 94 [Source:MGI Symbol;Acc:MGI:1919197] MDLREKHLGEPPLALGLSTRKALSVLKEQLEAVLEKHLKERKKSLTWKEAWRSSFLHLSN RCSCFHWPGASLMLLAVLLLLCCCGGQPAGSQGVELVNASALFLLLLLNLVLIGRQDRLK RREVERRLRGIIDQIQDALRDGKEIKWPNSMYPDLHMPFAPSWSLHWAYRDGHLVNLPVS LLVEGDIIALRPGQESFASLRGIKDDEHIVLEPGDLFPPFSPPPSPRGEVKRGPQNPQQH RLFRVLETPVIDNIRWCLDTALSRPVTALDNERFTVQSVMLHYAVPVVLAGFLITNALRF MFKAPGVTSWQYTLLQLQVNGMLPILPLLFPVLWVLATACGEARVLAQMSKASPSSLLAK FSEDTLSSYTEAVSSQEMLRCIWGHFLRVIQGTSPTLSHSASLLHSLGSVTVLCCVDKQG ILSWPNPSPETVLFFSGKVEPPHSSHEDLTDDLSTRSFCHPEVEEEPHEHDALLAGSLNN TLHLSNEQERSDWLADGPKPSEPYPHHKGHGRSKHPSGSNVSFSRDTEGGEEEPSKAQPG TEGDPYEAEDFVCDYHLEMLSLSQDQQNPSCIQFDDSNWQSHLTSLKPLGLNVLLNLCNA SVTERLCRFSDHLCNIALQESHSAVLPVHVPWGLCELARLIGFTPGAKELFKQENHLALY RLPSAETLKETSLGRPSCVTKRRPPLSHMISLFIKDTATSTEQMLSHGSADVVVEACTDF WDGADIYPLSGSDRKKVLDFYQRACLSGYCSAFAYKPMNCTLSSQLNGKCIELVQVPGQN SIFTMCELPSTIPIKPNNRRSSWSSDEGIGEVLEKEDCMQALSGQIFMGMVSSQYQARLD IVRLIDGLVNACIRFVYFSLEDELRSKVFAEKMGLETGWNCHISLTPNGDMPGSEIPPSS PSHAGSLHDDLNQVSRDDAEGLLLLEEEGHSDLISFQPTDSDIPSFLEDCNRAKLPRGIH QVRPHLQNIDNVPLLVPLFTDCTPDTMCEMIKIMQEYGEVTCCLGSSANLRNSCLFLQSD VSIALDPLYPSRCSWETFGYATSTTMAQASDGLSPLQLSGQLNSLPCSLTFRQEESISII RLIEQARHATYGIRKCFLFLLQCQLTLVVIQFLSCLVQLPPLLSTTDILWLSCFCYPLLS ISLLGKPPHSSIMSMATGKNLQSIPKKTQHYFLLCFLLKFSLTISSCLVCFGFTLQSFCD SARARNLTNCSSVMLCSNDDRAPAWFEDFANGLLSAQKLTAALIVLHTVFISITHVHRTK PLWRKSPLTNLWWAVTVPVVLLGQVVQTVVDLQLWTHRDSRVHFGLEDVPLLTWLLGCLS LVLVVVTNEIVKLHEIRVRVRYQKRQKLQFETKLGMNSPF >ENSMUSP00000116666.1 pep:known chromosome:GRCm38:11:115788954:115791978:1 gene:ENSMUSG00000020747.17 transcript:ENSMUST00000125918.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem94 description:transmembrane protein 94 [Source:MGI Symbol;Acc:MGI:1919197] LITNALRFMFKAPGVTSWQYTLLQLQVNGMLPILPLLFPVLWVLATACGEARVLAQMSKA SPSSLLAKFSEDTLSSYTEAVSSQEMLRCIWGHFLRVIQGTSPTLSHSASLLHSLGSVTV LCCVDKQGILSWPNPSPETVLFFSGKVEPPHSSHEDLTDDLSTRSFCHPEVEEEERSDWL ADGPKPSEPYPHHKGHGRSKHPSGSNVSFSRDTEGGEEEPSKAQPGTEGDPYEAEDFVCD YHLEMLSLSQDQQNPSCIQFDDSNWQSHLTSLKPLGLNVLLNLCNASVTERLCRFSDHL >ENSMUSP00000121617.1 pep:known chromosome:GRCm38:12:57230446:57457232:1 gene:ENSMUSG00000047022.18 transcript:ENSMUST00000145003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mipol1 description:mirror-image polydactyly gene 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1920740] MNSEQSIRELGNEVPSEDLELPGRKPSNFQVLQPCRDEGASAECSIVECGKNYEPVISHQ VIPDLNKETSVAYLQKELEILRASNTKLQEKLAKEDKEKRRLKLKLELQEKAAEADIAER TAALVEEVYFAQRERDEAIMCRLQLALKERDEAIAHVKHMEMSLKMLENINPEENDMTLQ ELLNRINNADTGIAIQKNGAVIVDTIYKTKECRKRITAEEMSAVLEERDAALSQCKRLHQ ELHRLKEQNQTSANNTRRPTAENNQERALKAKLLSMQQARETAVEQYKRLEEEIQTLRVY YSLHKSLSQEESLKDQFNHALSTYEEALKNRESVVSITQQQNEELATQLQQALTEHSHME LQLQCAVEASQAANEKVQK >ENSMUSP00000119636.1 pep:known chromosome:GRCm38:12:57230446:57497199:1 gene:ENSMUSG00000047022.18 transcript:ENSMUST00000123498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mipol1 description:mirror-image polydactyly gene 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1920740] MNSEQSIRELGNEVPSEDLELPGRKPSNFQVLQPCRDEGASAECSIVECGKNYEPVISHQ VIPDLNKETSVAYLQKELEILRASNTKLQEKLAKEDKEKRRLKLKLELQEKAAEADIAER TAALVEEVYFAQRERDEAIMCRLQLALKERDEAIAHVKHMEMSLKMLENINPEENDMTLQ ELLNRINNADTGIAIQKNGAVIVDTIYKTKECRKRITAEEMSAVLEERDAALSQCKRLHQ ELHRLKEQNQTSANNTRRPTAENNQERALKAKLLSMQQARETAVEQYKRLEEEIQTLRVY YSLHKSLSQEESLKDQFNHALSTYEEALKNRESVVSITQQQNEELATQLQQALTEHSHME LQLQCAVEASQAANEKVQKLERLVDVLRKKVGTGTVRTVI >ENSMUSP00000117005.1 pep:known chromosome:GRCm38:12:57282173:57467041:1 gene:ENSMUSG00000047022.18 transcript:ENSMUST00000153137.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mipol1 description:mirror-image polydactyly gene 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1920740] MNSEQSIRELGNEVPSEDLELPGRKPSNFQVLQPCRDEGASAECSIVECGKNYEPVISHQ VIPDLNKETSVAYLQKELEILRASNTKLQEKLAKEDKEKRRLKLKLELQEKAAEADIAER TAALVEEVYFAQRERDEAIMCRLQLALKERDEAIAHVKHMEMSLKMLENINPEENDMTLQ ELLNRINNADTGIAIQKNGAVIVDTIYKTKECRKRITAEEMSAVLEERDAALSQCKRLHQ ELHRLKEQNQTSANNTRRPTAENNQERALKAKLLSMQQARETAVEQYKRLEEEIQTLRVY YSLHKSLSQEESLKDQFNHALSTYEEALKNRESVVSITQQQNEELATQLQQALTEHSHME LQLQCAVEASQAANEKVQKFNLKQLSMTSEAGCRPSLLVPLSFPPATTHFTYASGSFLK >ENSMUSP00000119918.1 pep:known chromosome:GRCm38:12:57282173:57497199:1 gene:ENSMUSG00000047022.18 transcript:ENSMUST00000130447.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mipol1 description:mirror-image polydactyly gene 1 homolog (human) [Source:MGI Symbol;Acc:MGI:1920740] MNSEQSIRELGNEVPSEDLELPGRKPSNFQVLQPCRDEGASAECSIVECGKNYEPVISHQ VIPDLNKETSVAYLQKELEILRASNTKLQEKLAKEDKEKRRLKLKLELQEKAAEADIAER TAALVEEVYFAQRERDEAIMCRLQLALKERDEAIAHVKHMEMSLKMLENINPEENDMTLQ ELLNRINNADTGIAIQKNGAVIVDTIYKTKECRKRITAEEMSAVLEERDAALSQCKRLHQ ELHRLKEQNQTSANNTRRPTAENNQERALKAKLLSMQQARETAVEQYKRLEEEIQTLRVY YSLHKSLSQEESLKDQFNHALSTYEEALKNRESVVSITQQQNEELATQLQQALTEHSHME LQLQCAVEASQAANEKVQKLERLVDVLRKKVGTGTVRTVI >ENSMUSP00000118383.1 pep:known chromosome:GRCm38:4:129947547:129953562:1 gene:ENSMUSG00000028784.13 transcript:ENSMUST00000128007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spocd1 description:SPOC domain containing 1 [Source:MGI Symbol;Acc:MGI:3652045] MLECDLLWSVPCRSAQELPDLALREDEVEAIAEGIEEALFHLTQDTNLRYKNKYRSLLFN LRDPRNVDLFLKVAHCDVTPNNLVQMSSIQLAPKELSRWRDQEERKGLDIIEQQQKELYR LPASKLTHKGEVEIPRDLDQMLTLEDLMEPIVPRECSL >ENSMUSP00000081284.4 pep:known chromosome:GRCm38:4:129947721:129957115:1 gene:ENSMUSG00000028784.13 transcript:ENSMUST00000084263.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spocd1 description:SPOC domain containing 1 [Source:MGI Symbol;Acc:MGI:3652045] MQEVLWTRAQELPDLALREDEVEAIAEGIEEALFHLTQDTNLRYKNKYRSLLFNLRDPRN VDLFLKVAHCDVTPNNLVQMSSIQLAPKELSRWRDQEERKGLDIIEQQQKELYRLPASKL THKGEVEIPRDLDQMLTLEDLMEPIVPRECSLQPLSTPLEDTTNWHQHHQCDSNCQICTD RKSSTKLPAFSGVIMNREENAIQKAPGPAPASSPEVLKVGETPPKEPQDRLQMPAGLKNA PPSPPPWEGSLDMFSIKHFRAKAQLISGHSCQLVQALPDVIRSAGRLPPSHVWDLLDSMG PSKAKDICVIRLCPHGSRDIQNYRLLYSYLNNKQCHCLATVQQVKMVLLPLPAFEPLPAR LRPLGGPGLEITHTSLLLAVLFPKDALPDTATSIPVSNKVPKTVSFSKRVERILYSPEDR RSEATSSPLEEDPKQSLARGSLAPRSVCAPQSFPRGRGRQGPGWGQWSPEAAWCYSQHPS SAGPVFPGIGQGQHLHRASCFHHDLLQHLKVLVTMSHQFQASLWPQSQDSLPPSTVVSAV PDPPGPSLGPMDGGGSNCPPPEGSDPLEPPEHEC >ENSMUSP00000101850.1 pep:known chromosome:GRCm38:4:123282825:123298925:1 gene:ENSMUSG00000011257.19 transcript:ENSMUST00000106243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc4 description:poly(A) binding protein, cytoplasmic 4 [Source:MGI Symbol;Acc:MGI:2385206] MNAAASSYPMASLYVGDLHSDVTEAMLYEKFSPAGPVLSIRVCRDMITRRSLGYAYVNFQ QPADAERALDTMNFDVMKGKPIRIMWSQRDPSLRKSGVGNVFIKNLDKSIDNKALYDTFS AFGNILSCKVVCDENGSKGYAFVHFETQEAADKAIEKMNGMLLNDRKVFVGRFKSRKERE AELGAKAKEFTNVYIKNFGEEVDDGNLKELFSQFGKTLSVKVMRDSSGKSKGFGFVSYEK HEDANKAVEEMNGKEMSGKAIFVGRAQKKVERQAELKRKFEQLKQERISRYQGVNLYIKN LDDTIDDEKLRREFSPFGSITSAKVMLEDGRSKGFGFVCFSSPEEATKAVTEMNGRIVGS KPLYVALAQRKEERKAHLTNQYMQRVAGMRALPASAILNQFQPAAGGYFVPAVPQAQGRP PYYTPNQLAQMRPNPRWQQGGRPQGFQGMPSALRQSGPRPALRHLAPTGNAPASRGLPTT AQRVGVPTAVPNLAPRAAVAAAAPRAVAPYKYASSVRSPHPAIQPLQAPQPAVHVQGQEP LTASMLAAAPPQEQKQMLGERLFPLIQTMHSNLAGKITGMLLEIDNSELLHMLESPESLR SKVDEAVAVLQAHHAKKEAAQKVGTVAAATS >ENSMUSP00000101848.1 pep:known chromosome:GRCm38:4:123282832:123298832:1 gene:ENSMUSG00000011257.19 transcript:ENSMUST00000106241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc4 description:poly(A) binding protein, cytoplasmic 4 [Source:MGI Symbol;Acc:MGI:2385206] MNAAASSYPMASLYVGDLHSDVTEAMLYEKFSPAGPVLSIRVCRDMITRRSLGYAYVNFQ QPADAERALDTMNFDVMKGKPIRIMWSQRDPSLRKSGVGNVFIKNLDKSIDNKALYDTFS AFGNILSCKVVCDENGSKGYAFVHFETQEAADKAIEKMNGMLLNDRKVFVGRFKSRKERE AELGAKAKEFTNVYIKNFGEEVDDGNLKELFSQFGKTLSVKVMRDSSGKSKGFGFVSYEK HEDANKAVEEMNGKEMSGKAIFVGRAQKKVERQAELKRKFEQLKQERISRYQGVNLYIKN LDDTIDDEKLRREFSPFGSITSAKVMLEDGRSKGFGFVCFSSPEEATKAVTEMNGRIVGS KPLYVALAQRKEERKAHLTNQYMQRVAGMRALPASAILNQFQPAAGGYFVPAVPQAQGRP PYYTPNQLAQMRPNPRWQQGGRPQGFQGMPSALRQSGPRPALRHLAPTGSECPDRLAMDF GGAGAAQQGLTDSCQSGGVPTAVPNLAPRAAVAAAAPRAVAPYKYASSVRSPHPAIQPLQ APQPAVHVQGQEPLTASMLAAAPPQEQKQMLGERLFPLIQTMHSNLAGKITGMLLEIDNS ELLHMLESPESLRSKVDEAVAVLQAHHAKKEAAQKVGTVAAATS >ENSMUSP00000139135.1 pep:known chromosome:GRCm38:4:123282845:123298822:1 gene:ENSMUSG00000011257.19 transcript:ENSMUST00000183940.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pabpc4 description:poly(A) binding protein, cytoplasmic 4 [Source:MGI Symbol;Acc:MGI:2385206] MNAAASSYPMASLYVGDLHSDVTEAMLYEKFSPAGPVLSIRVCRDMITRRSLGYAYVNFQ QPADAERALDTMNFDVMKGKPIRIMWSQRDPSLRKSGVGNVFIKNLDKSIDNKALYDTFS AFGNILSCKVVCDENGSKGYAFVHFETQEAADKAIEKMNGMLLNDRKV >ENSMUSP00000079070.6 pep:known chromosome:GRCm38:4:123282911:123298832:1 gene:ENSMUSG00000011257.19 transcript:ENSMUST00000080178.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc4 description:poly(A) binding protein, cytoplasmic 4 [Source:MGI Symbol;Acc:MGI:2385206] MNAAASSYPMASLYVGDLHSDVTEAMLYEKFSPAGPVLSIRVCRDMITRRSLGYAYVNFQ QPADAERALDTMNFDVMKGKPIRIMWSQRDPSLRKSGVGNVFIKNLDKSIDNKALYDTFS AFGNILSCKVVCDENGSKGYAFVHFETQEAADKAIEKMNGMLLNDRKVFVGRFKSRKERE AELGAKAKEFTNVYIKNFGEEVDDGNLKELFSQFGKTLSVKVMRDSSGKSKGFGFVSYEK HEDANKAVEEMNGKEMSGKAIFVGRAQKKVERQAELKRKFEQLKQERISRYQGVNLYIKN LDDTIDDEKLRREFSPFGSITSAKVMLEDGRSKGFGFVCFSSPEEATKAVTEMNGRIVGS KPLYVALAQRKEERKAHLTNQYMQRVAGMRALPASAILNQFQPAAGGYFVPAVPQAQGRP PYYTPNQLAQMRPNPRWQQGGRPQGFQGMPSALRQSGPRPALRHLAPTGNAPASRGLPTT AQRVGSECPDRLAMDFGGAGAAQQGLTDSCQSGGVPTAVPNLAPRAAVAAAAPRAVAPYK YASSVRSPHPAIQPLQAPQPAVHVQGQEPLTASMLAAAPPQEQKQMLGERLFPLIQTMHS NLAGKITGMLLEIDNSELLHMLESPESLRSKVDEAVAVLQAHHAKKEAAQKVGTVAAATS >ENSMUSP00000077794.5 pep:known chromosome:GRCm38:4:123283095:123298832:1 gene:ENSMUSG00000011257.19 transcript:ENSMUST00000078734.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc4 description:poly(A) binding protein, cytoplasmic 4 [Source:MGI Symbol;Acc:MGI:2385206] MNAAASSYPMASLYVGDLHSDVTEAMLYEKFSPAGPVLSIRVCRDMITRRSLGYAYVNFQ QPADAERALDTMNFDVMKGKPIRIMWSQRDPSLRKSGVGNVFIKNLDKSIDNKALYDTFS AFGNILSCKVVCDENGSKGYAFVHFETQEAADKAIEKMNGMLLNDRKVFVGRFKSRKERE AELGAKAKEFTNVYIKNFGEEVDDGNLKELFSQFGKTLSVKVMRDSSGKSKGFGFVSYEK HEDANKAVEEMNGKEMSGKAIFVGRAQKKVERQAELKRKFEQLKQERISRYQGVNLYIKN LDDTIDDEKLRREFSPFGSITSAKVMLEDGRSKGFGFVCFSSPEEATKAVTEMNGRIVGS KPLYVALAQRKEERKAHLTNQYMQRVAGMRALPASAILNQFQPAAGGYFVPAVPQAQGRP PYYTPNQLAQMRPNPRWQQGGRPQGFQGMPSALRQSGPRPALRHLAPTGVPTAVPNLAPR AAVAAAAPRAVAPYKYASSVRSPHPAIQPLQAPQPAVHVQGQEPLTASMLAAAPPQEQKQ MLGERLFPLIQTMHSNLAGKITGMLLEIDNSELLHMLESPESLRSKVDEAVAVLQAHHAK KEAAQKVGTVAAATS >ENSMUSP00000030315.6 pep:known chromosome:GRCm38:4:130663321:130781564:1 gene:ENSMUSG00000028580.15 transcript:ENSMUST00000030315.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGEQLLPGKKFWETDESSKDGPKGIFLGDQWRDSAWGTS DHSVSQPIMVQRRPGQSFHVNSEVNSVLSPRSESGGLGVSMVEYVLSSSPGDSCLRKGGF GPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVMDKTNGLPVQNGIDADVKDFSRTPG NCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVEDFSNMESQSVPLDPMEHVGMEPLQF DYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAVQQLTAAQQQQYALAAAHQPHIAGL APAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQYYGVTPWGVYPASLFQQQAA AAAAATNSATQQSAPQAQQGQQQVLRGGASQRPLTPNQNQQGQQTDPLVAAAAVNSALAF GQGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVA AAAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPSNNLASSSFYGNNSLSSNSQSSS LFSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKR TSSSLAPIGHSFYSSLSYSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTN GSGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMPSGRSRLLEDFRNN RYPNLQLREIAGHIMEFSQDQHGSRFIQLKLERATAAERQLVFNEILQAAYQLMVDVFGN YVIQKFFEFGSHEQKLALAERIRGHVLSLALQMYGCRVIQKALEFIPSDQQVINEMVREL DGHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFALSTHPYGCRVIQRILEHC LPDQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVAEIRGNVLVLSQHKF ASNVVEKCVTHASRTERAVLIDEVCTMNDGPHSALYTMMKDQYANYVVQKMIDVAEPGQR KIVMHKIRPHIATLRKYTYGKHILAKLEKYYMKNGVDLGPICGPPNGII >ENSMUSP00000114629.1 pep:known chromosome:GRCm38:4:130663367:130747003:1 gene:ENSMUSG00000028580.15 transcript:ENSMUST00000143277.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGELFQRPNALAVQQLTAAQQQQYALAAAHQPHIGLAPA AFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQY >ENSMUSP00000095476.2 pep:known chromosome:GRCm38:4:130663386:130780266:1 gene:ENSMUSG00000028580.15 transcript:ENSMUST00000097864.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGEQLLPGKKFWETDESSKDGPKGIFLGDQWRDSAWGTS DHSVSQPIMVQRRPGQSFHVNSEVNSVLSPRSESGGLGVSMVEYVLSSSPGDSCLRKGGF GPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVMDKTNGLPVQNGIDADVKDFSRTPG NCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVEDFSNMESQSVPLDPMEHVGMEPLQF DYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAVQQLTAAQQQQYALAAAHQPHIGLA PAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQYYGVTPWGVYPASLFQQQAAA AAAATNSATQQSAPQAQQGQQQVLRGGASQRPLTPNQNQQGQQTDPLVAAAAVNSALAFG QGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVAA AAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPSNNLASSSFYGNNSLSSNSQSSSL FSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKRT SSSLAPIGHSFYSSLSYSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTNG SGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMPSGRSRLLEDFRNNR YPNLQLREIAGHIMEFSQDQHGSRFIQLKLERATAAERQLVFNEILQAAYQLMVDVFGNY VIQKFFEFGSHEQKLALAERIRGHVLSLALQMYGCRVIQKALEFIPSDQQNEMVRELDGH VLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFALSTHPYGCRVIQRILEHCLPD QTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVAEIRGNVLVLSQHKFASN VVEKCVTHASRTERAVLIDEVCTMNDGPHSALYTMMKDQYANYVVQKMIDVAEPGQRKIV MHKIRPHIATLRKYTYGKHILAKLEKYYMKNGVDLGPICGPPNGII >ENSMUSP00000095474.2 pep:known chromosome:GRCm38:4:130663406:130780254:1 gene:ENSMUSG00000028580.15 transcript:ENSMUST00000097862.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGEQLLPGKKFWETDESSKDGPKGIFLGDQWRDSAWGTS DHSVSQPIMVQRRPGQSFHVNSEVNSVLSPRSESGGLGVSMVEYVLSSSPGDSCLRKGGF GPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVMDKTNGLPVQNGIDADVKDFSRTPG NCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVEDFSNMESQSVPLDPMEHVGMEPLQF DYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAVQQLTAAQQQQYALAAAHQPHIGLA PAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQYYGVTPWGVYPASLFQQQAAA AAAATNSATQQSAPQAQQGQQQVLRGGASQRPLTPNQNQQGQQTDPLVAAAAVNSALAFG QGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVAA AAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPSNNLASSSFYGNNSLSSNSQSSSL FSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKRT SSSLAPIGHSFYSSLSYSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTNG SGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMPSGRSRLLEDFRNNR YPNLQLREIAGHIMEFSQDQHGSRFIQLKLERATAAERQLVFNEILQAAYQLMVDVFGNY VIQKFFEFGSHEQKLALAERIRGHVLSLALQMYGCRVIQKALEFIPSDQQVINEMVRELD GHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFALSTHPYGCRVIQRILEHCL PDQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVAEIRGNVLVLSQHKFA SNVVEKCVTHASRTERAVLIDEVCTMNDGPHSALYTMMKDQYANYVVQKMIDVAEPGQRK IVMHKIRPHIATLRKYTYGKHILAKLEKYYMKNGVDLGPICGPPNGII >ENSMUSP00000101613.2 pep:known chromosome:GRCm38:4:130663359:130781562:1 gene:ENSMUSG00000028580.15 transcript:ENSMUST00000105992.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGEGPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVM DKTNGLPVQNGIDADVKDFSRTPGNCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVED FSNMESQSVPLDPMEHVGMEPLQFDYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAV QQLTAAQQQQYALAAAHQPHIGLAPAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVV PHQYYGVTPWGVYPASLFQQQAAAAAAATNSATQQSAPQAQQGQQQVLRGGASQRPLTPN QNQQGQQTDPLVAAAAVNSALAFGQGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLG APVRLVAPAPVIISSSAAQAAVAAAAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQP SNNLASSSFYGNNSLSSNSQSSSLFSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAV ANSNTGSGSRRDSLTGSSDLYKRTSSSLAPIGHSFYSSLSYSSSPGPVGMPLPSQGPGHS QTPPPSLSSHGSSSSLNLGGLTNGSGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSR LRYGMSDVMPSGRSRLLEDFRNNRYPNLQLREIAGHIMEFSQDQHGSRFIQLKLERATAA ERQLVFNEILQAAYQLMVDVFGNYVIQKFFEFGSHEQKLALAERIRGHVLSLALQMYGCR VIQKALEFIPSDQQVINEMVRELDGHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFK GQVFALSTHPYGCRVIQRILEHCLPDQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGR PEDKSKIVAEIRGNVLVLSQHKFASNVVEKCVTHASRTERAVLIDEVCTMNDGPHSALYT MMKDQYANYVVQKMIDVAEPGQRKIVMHKIRPHIATLRKYTYGKHILAKLEKYYMKNGVD LGPICGPPNGII >ENSMUSP00000101612.2 pep:known chromosome:GRCm38:4:130663359:130781562:1 gene:ENSMUSG00000028580.15 transcript:ENSMUST00000105991.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGELFQRPNALAVQQLTAAQQQQYALAAAHQPHIGLAPA AFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQYYGVTPWGVYPASLFQQQAAAAA AATNSATQQSAPQAQQGQQQVLRGGASQRPLTPNQNQQGQQTDPLVAAAAVNSALAFGQG LAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVAAAA ASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPSNNLASSSFYGNNSLSSNSQSSSLFS QGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKRTSS SLAPIGHSFYSSLSYSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTNGSG RYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMPSGRSRLLEDFRNNRYP NLQLREIAGHIMEFSQDQHGSRFIQLKLERATAAERQLVFNEILQAAYQLMVDVFGNYVI QKFFEFGSHEQKLALAERIRGHVLSLALQMYGCRVIQKALEFIPSDQQNEMVRELDGHVL KCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFALSTHPYGCRVIQRILEHCLPDQT LPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVAEIRGNVLVLSQHKFASNVV EKCVTHASRTERAVLIDEVCTMNDGPHSALYTMMKDQYANYVVQKMIDVAEPGQRKIVMH KIRPHIATLRKYTYGKHILAKLEKYYMKNGVDLGPICGPPNGII >ENSMUSP00000012028.7 pep:known chromosome:GRCm38:5:114669398:114690984:-1 gene:ENSMUSG00000011884.13 transcript:ENSMUST00000012028.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gltp description:glycolipid transfer protein [Source:MGI Symbol;Acc:MGI:1929253] MALLAEHLLKPLPADRQIETGPFLEAVAHLPPFFDCLGSPVFTPIKADISGNITKIKAVY DTDPAKFKTLQNILEVEKGMYGAEWPKVGATLALLWLKRGLRFIQVFLQSICDGERDENH PNLIRVNANKAYEMALKKYHGWLVQKIFKAALYAAPYKSDFLKALSKGQNVTEEECLEKI RLFLVNYTATIDAIYDMYTKMNAELDYTV >ENSMUSP00000107833.1 pep:known chromosome:GRCm38:5:114670083:114690941:-1 gene:ENSMUSG00000011884.13 transcript:ENSMUST00000112214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gltp description:glycolipid transfer protein [Source:MGI Symbol;Acc:MGI:1929253] MALLAEHLLKPLPADRQIETGPFLEAVAHLPPFFDCLGSPVFTPIKADISGNITKIKAVY DTDPAKFKTLQNILEVFLQSICDGERDENHPNLIRVNANKAYEMALKKYHGWLVQKIFKA ALYAAPYKSDFLKALSKGQNVTEEECLEKIRLFLVNYTATIDAIYDMYTKMNAELDYTV >ENSMUSP00000107831.1 pep:known chromosome:GRCm38:5:114670228:114690908:-1 gene:ENSMUSG00000011884.13 transcript:ENSMUST00000112212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gltp description:glycolipid transfer protein [Source:MGI Symbol;Acc:MGI:1929253] MALLAEHLLKPLPADRQIETGPFLEAVAHLPPFFDCLGSPVFTPIKADISGNITKIKAVY DTDPAKFKTLQNILEVEKGMGLRFIQVFLQSICDGERDENHPNLIRVNANKAYEMALKKY HGWLVQKIFKAALYAAPYKSDFLKALSKGQNVTEEECLEKIRLFLVNYTATIDAIYDMYT KMNAELDYTV >ENSMUSP00000047543.6 pep:known chromosome:GRCm38:2:121956001:122013642:1 gene:ENSMUSG00000033411.16 transcript:ENSMUST00000036647.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdspl2 description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase like 2 [Source:MGI Symbol;Acc:MGI:1196405] MKLRTRKASQQSSPIQTQRTARAKRKYSEVDDSLPSGGEKPSKNETGLLSSIKKFIKGST PKEERENPSKRSRIERDIDNNLITSTPRTGEKPDKQLSRVRRKSPVNGEAGSYEMTNQHI KQNGKLEDNPCSGSPPRTTLLGTIFSPVFNFFSPANKNGTSGSDSPGQAVEAEEIVKQLD MEQVDEITTSTTSANGAAYSNQAVQVRPSLNNGLEEAEETVTRDIPPLTAPVTPESGYSS AHAEATYEEDWEVFDPYYFIKHVPPLTEEQLNRKPALPLKTRSTPEFSLVLDLDETLVHC SLNELEDAALTFPVLFQDVIYQVYVRLRPFFREFLERMSQMYEIILFTASKKVYADKLLN ILDPKKQLVRHRLFREHCVCVQGNYIKDLNILGRDLSKTIIIDNSPQAFAYQLSNGIPIE SWFMDKNDNELLKLIPFLEKLVELNEDVRPHIRDRFRLHDLLPPD >ENSMUSP00000106207.1 pep:known chromosome:GRCm38:2:121956411:122012388:1 gene:ENSMUSG00000033411.16 transcript:ENSMUST00000110578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdspl2 description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase like 2 [Source:MGI Symbol;Acc:MGI:1196405] MKLRTRKASQQSSPIQTQRTARAKRKYSEVDDSLPSGGEKPSKNETGLLSSIKKFIKGST PKEERENPSKRSRIERDIDNNLITSTPRTGEKPDKQLSRVRRKSPVNGAGSYEMTNQHIK QNGKLEDNPCSGSPPRTTLLGTIFSPVFNFFSPANKNGTSGSDSPGQAVEAEEIVKQLDM EQVDEITTSTTSANGAAYSNQAVQVRPSLNNGLEEAEETVTRDIPPLTAPVTPESGYSSA HAEATYEEDWEVFDPYYFIKHVPPLTEEQLNRKPALPLKTRSTPEFSLVLDLDETLVHCS LNELEDAALTFPVLFQDVIYQVYVRLRPFFREFLERMSQMYEIILFTASKKVYADKLLNI LDPKKQLVRHRLFREHCVCVQGNYIKDLNILGRDLSKTIIIDNSPQAFAYQLSNGIPIES WFMDKNDNELLKLIPFLEKLVELNEDVRPHIRDRFRLHDLLPPD >ENSMUSP00000106203.1 pep:known chromosome:GRCm38:2:121956659:122010723:1 gene:ENSMUSG00000033411.16 transcript:ENSMUST00000110574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdspl2 description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase like 2 [Source:MGI Symbol;Acc:MGI:1196405] MKLRTRKASQQSSPIQTQRTARAKRKYSEVDDSLPSGGEKPSKNETGLLSSIKKFIKGST PKEERENPSKRSRIERDIDNNLITSTPRTGEKPDKQLSRVRRKSPVNGEAGSYEMTNQHI KQNGKLEDNPCSGSPPRTTLLGTIFSPVFNFFSPANKNGTSGSDSPGQAVEAEEIVKQLD MEQVDEITTSTTSANGAAYSNQAVQVRPSLNNGLEEAEETVTRDIPPLTAPVTPESGYSS AHAEATYEEDWEVFDPYYFIKHVPPLTEEQLNRKPALPLKTRSTPEFSLVLDLDETLVHC SLNELEDAALTFPVLFQDVIYQVYVRLRPFFREFLERMSQMYEIILFTASKKVYADKLLN ILDPKKQLVRHRLFREHCVCVQGNYIKDLNILGRDLSKTIIIDNSPQAFAYQLSNGIPIE SWFMDKNDNELLKLIPFLEKLVELNEDVRPHIRDRFRLHDLLPPD >ENSMUSP00000106201.1 pep:known chromosome:GRCm38:2:121969082:122013591:1 gene:ENSMUSG00000033411.16 transcript:ENSMUST00000110572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdspl2 description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase like 2 [Source:MGI Symbol;Acc:MGI:1196405] MKLRTRKASQQSSPIQTQRTARAKRKYSEVDDSLPSGGEKPSKNETGLLSSIKKFIKGST PKEERENPSKRSRIERDIDNNLITSTPRTGEKPDKQLSRVRRKSPVNGEAGSYEMTNQHI KQNGKLEDNPCSGSPPRTTLLGTIFSPVFNFFSPANKNAPVTPESGYSSAHAEATYEEDW EVFDPYYFIKHVPPLTEEQLNRKPALPLKTRSTPEFSLVLDLDETLVHCSLNELEDAALT FPVLFQDVIYQVYVRLRPFFREFLERMSQMYEIILFTASKKVYADKLLNILDPKKQLVRH RLFREHCVCVQGNYIKDLNILGRDLSKTIIIDNSPQAFAYQLSNGIPIESWFMDKNDNEL LKLIPFLEKLVELNEDVRPHIRDRFRLHDLLPPD >ENSMUSP00000143264.1 pep:known chromosome:GRCm38:5:65388364:65390833:-1 gene:ENSMUSG00000047215.14 transcript:ENSMUST00000150815.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpl9 description:ribosomal protein L9 [Source:MGI Symbol;Acc:MGI:1298373] XTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKWWGNRKELATVRTICSHVQNMIK GVTLLP >ENSMUSP00000113391.1 pep:known chromosome:GRCm38:5:65388364:65391005:-1 gene:ENSMUSG00000047215.14 transcript:ENSMUST00000118543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl9 description:ribosomal protein L9 [Source:MGI Symbol;Acc:MGI:1298373] MKTILSNQTVDIPENVEITLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKW WGNRKELATVRTICSHVQNMIKGVTLGFRYKMRSVYAHFPINVVIQENGSLVEIRNFLGE KYIRRVRMRTGVACSVSQAQKDELILEGNDIELVSNSGVYICHNRQ >ENSMUSP00000115577.2 pep:known chromosome:GRCm38:5:65388364:65391399:-1 gene:ENSMUSG00000047215.14 transcript:ENSMUST00000127874.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl9 description:ribosomal protein L9 [Source:MGI Symbol;Acc:MGI:1298373] MKTILSNQTVDIPENVEITLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKW WGNRKELATVRTICSHVQNMIKGVTLVSQPSTLPFNPLEEVPWVCINV >ENSMUSP00000109399.2 pep:known chromosome:GRCm38:5:65388364:65391444:-1 gene:ENSMUSG00000047215.14 transcript:ENSMUST00000057885.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl9 description:ribosomal protein L9 [Source:MGI Symbol;Acc:MGI:1298373] MKTILSNQTVDIPENVEITLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKW WGNRKELATVRTICSHVQNMIKGVTLGFRYKMRSVYAHFPINVVIQENGSLVEIRNFLGE KYIRRVRMRTGVACSVSQAQKDELILEGNDIELVSNSAALIQQATTVKNKDIRKFLDGIY VSEKGTVQQADE >ENSMUSP00000142883.1 pep:known chromosome:GRCm38:5:65388378:65390986:-1 gene:ENSMUSG00000047215.14 transcript:ENSMUST00000196667.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl9 description:ribosomal protein L9 [Source:MGI Symbol;Acc:MGI:1298373] XTILSNQTVDIPENVEITLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKWW GNRKELATVRTICSHVQNMIKGVTLGFRYKMRSVYAHFPINVVIQENGSLVEIRNFLGEK YIRRVRMRTGVACSVSQAQKDELILEGNDIELVSNSAALIQQATTVKNKDIRKFLDGIYV SEKGTVQQADE >ENSMUSP00000113704.1 pep:known chromosome:GRCm38:5:65388478:65391380:-1 gene:ENSMUSG00000047215.14 transcript:ENSMUST00000120094.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl9 description:ribosomal protein L9 [Source:MGI Symbol;Acc:MGI:1298373] MKTILSNQTVDIPENVEITLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKW WGNRKELATVRTICSHVQNMIKGVTLGFRYKMRSVYAHFPINVVIQENGSLVEIRNFLGE KYIRRVRMRTGVACSVSQAQKDELILEGNDIELVSNSAALIQQATTVKNKDIRKFLDGIY VSEKGTVQQADE >ENSMUSP00000050532.7 pep:known chromosome:GRCm38:12:75630596:75669537:-1 gene:ENSMUSG00000045690.8 transcript:ENSMUST00000062370.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr89 description:WD repeat domain 89 [Source:MGI Symbol;Acc:MGI:1919588] MEKIEDQFASLHIVRRSSEPKEPTYLLGIDTSKTVQADKGGLVAVLCSNGSIRIYDKETL HLLREFGGSPGLLSGVSFANSCDSVYSASTDGTVKCWDARGASEKPVQLFKGYPSCSFIS FDVNCKDHVICAGAEKVDEDALLVFWDARFTSQDLSTRDPLGAYSETHSDDITQVRFHPS NPNLVVSGSTDGLVNVFDLSADKEEDALVATCNSVSSVSCIGWCGKDYKQIYCMTHDEGF CWWDLNHLDTDEPITCLNIQDVREITDVKDGHLDYLIGGLYHEKMDRLFVIGGTNTGKIH LLSCTSAGLTHVTSLHGGHAATVRSFCWNVSEDSLLTGGEDAQLLLWKPGAMEKTFTKKD SLKIASSVQQRVRVHSSDSYKKRKQQ >ENSMUSP00000140172.1 pep:known chromosome:GRCm38:12:75631397:75669527:-1 gene:ENSMUSG00000045690.8 transcript:ENSMUST00000187307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr89 description:WD repeat domain 89 [Source:MGI Symbol;Acc:MGI:1919588] MEKIEDQFASLHIVRRSSEPKEPTYLLGIDTSKTVQADKGGLVAVLCSNGSIRIYDKETL HLLREFGGSPGLLSGVSFANSCDSVYSASTDGTVKCWDARGASEKPVQLFKGYPSCSFIS FDVNCKDHVICAGAEKVDEDALLVFWDARFTSQDLSTRDPLGAYSETHSDDITQVRFHPS NPNLVVSGSTDGLVNVFDLSADKEEDALVATCNSVSSVSCIGWCGKDYKQIYCMTHDEGF CWWDLNHLDTDEPITCLNIQDVREITDVKDGHLDYLIGGLYHEKMDRLFVIGGTNTGKIH LLSCTSAGLTHVTSLHGGHAATVRSFCWNVSEDSLLTGGEDAQLLLWKPGAMEKTFTKKD SLKIASSVQQRVRVHSSDSYKKRKQQ >ENSMUSP00000077662.1 pep:known chromosome:GRCm38:7:86615636:86616643:-1 gene:ENSMUSG00000062042.1 transcript:ENSMUST00000078588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr294 description:olfactory receptor 294 [Source:MGI Symbol;Acc:MGI:3030128] MLNATRITGFILMGFSVAPELQTVCGLFLLVMYLAVIMSNLLLITLITLDLKLQTPMYFF LKNLSLLDVFFISVPIPNFFINSITHNNSISILGCALQLFLMTSFGAGDVFVLTAMSYDR YVAICCPLHYETIMSSRNCMLMVGVSWATGILFGALYTAGTFSMPFCGSMVIPQFFCDVP SLLRISCSDTLVVIYISLGIGFCLGMVCIICVVLSYFYIFSTVLKIPTTKGQYKAFGTCI PHLTVFSVFIATACFVNLKPPSRSASIADSLFSVLYTVLPPALNPVIYSLRNTDVKCALR SLQKILCPRDSLHLRVLSICPWYSASHFTTKLCNY >ENSMUSP00000129827.1 pep:known chromosome:GRCm38:6:57389580:57390666:-1 gene:ENSMUSG00000091382.1 transcript:ENSMUST00000164732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r18 description:vomeronasal 1 receptor 18 [Source:MGI Symbol;Acc:MGI:2159462] MSSLENVFYIQAGLGILANLFLLFFYTSKILVDRPKPMDLISSQLTFIHIMMILSEGDNL LANILESLKLGNDIKCKTTFYINRVMRGLSVCITCLLSVFQAVTISPSTSFLAQFKNKVK THIIYAFFYFWSFNLSFSSRLIFYVAGFTNVSGTHQMKVTKSCSLFPMNYIIRGLILTVA ISRDVFLVGLMLTTSTYMVIILCRHQRQCKHLHSNSHIRASPEERATQTILLLVVFFVVM YWLDFIISFTSTLSWMYVPVVLTVQKLVMYAYPTISPLVQITSDKRIISILKNVHSKFH >ENSMUSP00000022062.7 pep:known chromosome:GRCm38:13:74322254:74350280:-1 gene:ENSMUSG00000021577.13 transcript:ENSMUST00000022062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdha description:succinate dehydrogenase complex, subunit A, flavoprotein (Fp) [Source:MGI Symbol;Acc:MGI:1914195] MAGVGAVSRLLRGRRLALTGAWPGTLQKQTCGFHFSVGENKKASAKVSDAISTQYPVVDH EFDAVVVGAGGAGLRAAFGLSEAGFNTACLTKLFPTRSHTVAAQGGINAALGNMEEDNWR WHFYDTVKGSDWLGDQDAIHYMTEQAPASVVELENYGMPFSRTEDGKIYQRAFGGQSLKF GKGGQAHRCCCVADRTGHSLLHTLYGRSLRYDTSYFVEYFALDLLMENGECRGVIALCIE DGSIHRIRAKNTVIATGGYGRTYFSCTSAHTSTGDGTAMVTRAGLPCQDLEFVQFHPTGI YGAGCLITEGCRGEGGILINSQGERFMERYAPVAKDLASRDVVSRSMTLEIREGRGCGPE KDHVYLQLHHLPPEQLATRLPGISETAMIFAGVDVTKEPIPVLPTVHYNMGGIPTNYKGQ VLKHVNGQDQIVPGLYACGEAACASVHGANRLGANSLLDLVVFGRACALSIAESCRPGDK VPSIKANAGEESVMNLDKLRFADGSIRTSELRLNMQKSMQNHAAVFRVGSVLQEGCEKIS QLYGDLKHLKTFDRGMVWNTDLVETLELQNLMLCALQTIYGAEARKESRGAHAREDYKVR VDEYDYSKPIQGQQKKPFGEHWRKHTLSYVDIKTGKVTLEYRPVIDKTLNEADCATVPPA IRSY >ENSMUSP00000054748.8 pep:known chromosome:GRCm38:1:34579657:34590944:1 gene:ENSMUSG00000045174.10 transcript:ENSMUST00000052670.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amer3 description:APC membrane recruitment 3 [Source:MGI Symbol;Acc:MGI:3026939] MELRRGKTFIKSSVQISHEKLIDSPAKEDPDKWPLSLGEQQRAYGEKSSQTSPCSQGYGR CPNKEVLSDPEGGPVPLCGTTFKLVRKSKTHDSVPGAVKAAAPTGQMVGSTSFSETPGGQ RMIDYRHFVPQMPFVPAVAKSIPRKRISLKRSKKCFRNLFHMRRSKTENLASLSAKGKNL SPSGVPAQQGTAFLSMGEGLGLDSLCQDLSDSEFLHDSPFDLCSALCEDVASLKSFDSLT GCGEIFADGSSVPSVELKDGPESPAHSPQALDCKTPCGPAQGGMEQLMSPAQNEASDFNK FWDSVNRSVQQQQRALMGPWLTSPEGTETDQTRLDTSGLAELPLFPCRGPPSGSKASSID TGTPKSEQPESVSTSDEGYYDSFSPGLEEEKKEAASPGTPAATFPRDSYSGDALYELFYD PSEAPVGPILDDDCVSESLSGPALGTPLSMCSFRVGAEENLAPAPGPDLLSQGFLQSTWK GKECLLKLCDTELAITMGIVNWLRRTPPATSPTPASTPAPTPALVLREPAAPPDPHRVLR GASVGVKGREDQATTCFPPSRQEPWAHSGTKNLLVRECEVLGEPARGSKTPSKDDSLEEG TQDFSEGQSSSEATMTSISGNNKAVTSATCLSSQKELGTPGNLRYSQGPLRPGHRGSALD PGPMLVGCVTHVAALQIYPDSNSPRQDKGNGLFWKPQAWGPNILQKNPISSKPNEAAGCG LSSSASPQDQKCRDLFLDLNQLKLEPSRLGPQACSSVDSQPQQLCPRAPEQVPHRGSVGS >ENSMUSP00000119756.1 pep:known chromosome:GRCm38:2:156196466:156254310:1 gene:ENSMUSG00000038116.16 transcript:ENSMUST00000145731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf20 description:PHD finger protein 20 [Source:MGI Symbol;Acc:MGI:2444148] MTKHPPNRRGISFEVGAQLEARDRLKNWYPAHIEDIDYEEGRVLIHFKRWNHRYDEWFCW DSPYLRPLEKIQLRKEGLHDEDGSSEFQINQQVLACWSDCRFYPARVTAVNKD >ENSMUSP00000043138.8 pep:known chromosome:GRCm38:2:156196642:156309952:1 gene:ENSMUSG00000038116.16 transcript:ENSMUST00000037401.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf20 description:PHD finger protein 20 [Source:MGI Symbol;Acc:MGI:2444148] MTKHPPNRRGISFEVGAQLEARDRLKNWYPAHIEDIDYEEGRVLIHFKRWNHRYDEWFCW DSPYLRPLEKIQLRKEGLHDEDGSSEFQINQQVLACWSDCRFYPARVTAVNKDGTYTVKF YDGVVQTVKHIHVKAFSKDQNIVGNARPKETDHKSLSSSPEKREKFKEQRKVTVNVKKDK VEKALKTEKRPKQPDKEGKLICSEKGKVSEKSLPKNEKEDKENISENEREYSGDAQVEKK PEKDLVKNPQENLKEPKRKRGRPPSITPTAVDSNSQTLQPITLELRRRKISKRSDTPLKR PRLDKNSPQEQSKKRSENSDKDLSRRRSSRLSTNGTREILDPDSIVPDLVHTVDTNPLPD KSPSAKDSAEGQLKSPLEAGQVSSALTCHPIGDGLGAADLELNCKSMGENTMKTEPVSPL AEVQEVSTVEVPNTLKKVDDSVTLNVPAVDLDHKFRCKVLDCLKFFRKAKLLHYHMKYFH GMEKSPEPEEGPGKTHVQTRGSAVPDKTSQESLTRKRVSASSPTAKEKEKTKEKKFKELV RVKPKKKKKKKKKTKPECPCSEDISDTSQEPSPPKTFAVTRCGSSHKPGVHMSPQLHGSD NGNHKGKLKTCEEDNLSESSSESFLWSDEEYGQDVDVTTNPDEELEGDDRYDFEVVRCIC EVQEENDFMIQCEECQCWQHGVCMGLLEENVPEKYTCYVCQDPPGQRPGFKYWYDKEWLS RGHMHGLAFLDQNYSHQNARKIVATHQLLGDVQRVIQVLHGLQLKMSILQSREHPDLQLW CQPWKQHSGEGRAHPRHIHITDARSEESPSYRTLNGAVEKPSPLPRSVEESYITSEHCYQ KPRAYYPAVEQRLVVETRGSALDAAVSPLCENGDDSLSPRLGWPIDQDRSRGDIDPKPSS PKVREYISKNVLPEETPARKLLDRGGEGLVSSQHQWQFNLLTHVESLQDEVTHRMDSIEK ELDVLESWLDYTGELEPPEPLARLPQLKHCIKQLLTDLGKVQQIALCCST >ENSMUSP00000087264.2 pep:known chromosome:GRCm38:6:57404366:57405483:1 gene:ENSMUSG00000068426.4 transcript:ENSMUST00000089830.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r19 description:vomeronasal 1 receptor 19 [Source:MGI Symbol;Acc:MGI:2159463] MSSFENVLYIQAGLGLLPNMFLLFFYTFIILGHRSKPMDLISCQLTFIHIMMILSEGDNL LANILESLKFGNGIKCKTTFYINRVMRGLSICITCLLSVSQAITISPSTSLLAKFKSKVK KHIIYAFFYLWSFNLSFSSRWIFYVAGFTNVSENRQMKVTKSCSLFPMNYIIRGLFLTVT MSRDICLVGVMLITSTYMVIILCRHQRQCKHLHSISYLRASPEKRATQNILLLVVFFVVM YWVDFIISFTSTLFWMYDPVILTVQQFVMYAYPTIAPLVQISSDKRIIHILIHILKKFTP SASRFSKK >ENSMUSP00000103202.1 pep:known chromosome:GRCm38:11:97771481:97775918:-1 gene:ENSMUSG00000018543.8 transcript:ENSMUST00000107576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001P01Rik description:RIKEN cDNA 1700001P01 gene [Source:MGI Symbol;Acc:MGI:1919465] MACCYNSPRRLEKSFVLDGVAVSTMAQAYSIMRPKLWSAIPPYNPQLDHHSRRYFRSRVV PPILRKTDQDHGGTGRDGWIVDYFHIFGQGQRYLNRRNWAGAGHSLQQVSGHDYYNSNPK AITTGLNGRFGYRRNTPALRQHTSVFGEVTPFPIF >ENSMUSP00000019044.7 pep:known chromosome:GRCm38:11:53864542:53891660:-1 gene:ENSMUSG00000018900.7 transcript:ENSMUST00000019044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a5 description:solute carrier family 22 (organic cation transporter), member 5 [Source:MGI Symbol;Acc:MGI:1329012] MRDYDEVTAFLGEWGPFQRLIFFLLSASIIPNGFNGMSIVFLAGTPEHRCLVPHTVNLSS AWRNHSIPLETKDGRQVPQKCRRYRLATIANFSELGLEPGRDVDLEQLEQESCLDGWEYD KDVFLSTIVTEWDLVCKDDWKAPLTTSLFFVGVLMGSFISGQLSDRFGRKNVLFLTMGMQ TGFSFLQVFSVNFEMFTVLFVLVGMGQISNYVAAFVLGTEILSKSIRIIFATLGVCIFYA FGFMVLPLFAYFIRDWRMLLLALTVPGVLCGALWWFIPESPRWLISQGRIKEAEVIIRKA AKINGIVAPSTIFDPSELQDLNSTKPQLHHIYDLIRTRNIRVITIMSIILWLTISVGYFG LSLDTPNLHGDIYVNCFLLAAVEVPAYVLAWLLLQYLPRRYSISAALFLGGSVLLFMQLV PSELFYLSTALVMVGKFGITSAYSMVYVYTAELYPTVVRNMGVGVSSTASRLGSILSPYF VYLGAYDRFLPYILMGSLTILTAILTLFFPESFGVPLPDTIDQMLRVKGIKQWQIQSQTR MQKDGEESPTVLKSTAF >ENSMUSP00000115474.1 pep:known chromosome:GRCm38:11:53865465:53871677:-1 gene:ENSMUSG00000018900.7 transcript:ENSMUST00000152084.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a5 description:solute carrier family 22 (organic cation transporter), member 5 [Source:MGI Symbol;Acc:MGI:1329012] FLQLQDLNSTKPQLHHIYDLIRTRNIRVITIMSIIL >ENSMUSP00000118900.1 pep:known chromosome:GRCm38:11:53873679:53891595:-1 gene:ENSMUSG00000018900.7 transcript:ENSMUST00000136307.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a5 description:solute carrier family 22 (organic cation transporter), member 5 [Source:MGI Symbol;Acc:MGI:1329012] MRDYDEVTAFLGEWGPFQRLIFFLLSASIIPNGFNGMSIVFLAGTPEHRCLVPHTVNLSS AWRNHSIPLETKDGRQVPQKCRRYRLATIANFSELGLEPGRDVDLEQLEQESCLDGWEYD KDVFLSTIVTEEQKFFPSQFELYSPP >ENSMUSP00000134973.1 pep:known chromosome:GRCm38:6:57431644:57432695:1 gene:ENSMUSG00000093679.1 transcript:ENSMUST00000176085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r20 description:vomeronasal 1 receptor 20 [Source:MGI Symbol;Acc:MGI:3644381] MFSLKNVLYFQAGLGVLANMSLLCFYIFIIVGHRPKPTDLISCQLTFIHIMVFLTGGDLL LTDIFESLNINNDFKCKATFYISRVMRCLSICTSCLLSVFQAVTISPSTSCLAKFKQKLR KYMVYVFLCIWSFSFSYSSSRIFYVRGFTNVSETNQMQITKSCLLFRMNSIIRVFIFTVT TSRDVFLVGMMLTTSTYMVIILCRHQRQCKHLHSIKHLRASPEKRATQTILLLVIFFVVM YWVDFIISTISVLLWRYDTVILRVQKFLMYAYPTISPLVQISSDNRIIIMVKNMYSKHHQ RFF >ENSMUSP00000080193.1 pep:known chromosome:GRCm38:7:86663664:86664674:1 gene:ENSMUSG00000063394.1 transcript:ENSMUST00000081474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr293 description:olfactory receptor 293 [Source:MGI Symbol;Acc:MGI:3030127] MANSTLVTNSTLVTEFMLEDFAETWELRILLSVLFLLVYMGSLLGNLTIIIATTVDQTLN TPMYFFLRNLSILDMCYISVTVPNACINSLTDHRNISVAGCVAQVFLVLFCAAVEIQFLT IMAQDRYVAICKPLLYPMIMNHQYCIQMTLASLLTSLILASVHTSKTFQLSFCHSKVIPH FFCDIPSLLRLSCSDTFNNKLLLLLTAIGLSGSCFTFIAISYARILSAVLKVPVKGERGK AFSTCVPHIIVVSVFLSSAAYVYLRPPVVTLEVVQEMTLSVFYTIVPPFLNPIIYSLRNR QIKEAVKKVVLRITFIFQYKKNEYLSEFSRGRETTK >ENSMUSP00000099510.3 pep:known chromosome:GRCm38:4:148448611:148557683:1 gene:ENSMUSG00000028991.15 transcript:ENSMUST00000103221.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtor description:mechanistic target of rapamycin (serine/threonine kinase) [Source:MGI Symbol;Acc:MGI:1928394] MLGTGPAVATASAATSSNVSVLQQFASGLKSRNEETRAKAAKELQHYVTMELREMSQEES TRFYDQLNHHIFELVSSSDANERKGGILAIASLIGVEGGNSTRIGRFANYLRNLLPSSDP VVMEMASKAIGRLAMAGDTFTAEYVEFEVKRALEWLGADRNEGRRHAAVLVLRELAISVP TFFFQQVQPFFDNIFVAVWDPKQAIREGAVAALRACLILTTQREPKEMQKPQWYRHTFEE AEKGFDETLAKEKGMNRDDRIHGALLILNELVRISSMEGERLREEMEEITQQQLVHDKYC KDLMGFGTKPRHITPFTSFQAVQPQQPNALVGLLGYSSPQGLMGFGTSPSPAKSTLVESR CCRDLMEEKFDQVCQWVLKCRSSKNSLIQMTILNLLPRLAAFRPSAFTDTQYLQDTMNHV LSCVKKEKERTAAFQALGLLSVAVRSEFKVYLPRVLDIIRAALPPKDFAHKRQKTVQVDA TVFTCISMLARAMGPGIQQDIKELLEPMLAVGLSPALTAVLYDLSRQIPQLKKDIQDGLL KMLSLVLMHKPLRHPGMPKGLAHQLASPGLTTLPEASDVASITLALRTLGSFEFEGHSLT QFVRHCADHFLNSEHKEIRMEAARTCSRLLTPSIHLISGHAHVVSQTAVQVVADVLSKLL VVGITDPDPDIRYCVLASLDERFDAHLAQAENLQALFVALNDQVFEIRELAICTVGRLSS MNPAFVMPFLRKMLIQILTELEHSGIGRIKEQSARMLGHLVSNAPRLIRPYMEPILKALI LKLKDPDPDPNPGVINNVLATIGELAQVSGLEMRKWVDELFIIIMDMLQDSSLLAKRQVA LWTLGQLVASTGYVVEPYRKYPTLLEVLLNFLKTEQNQGTRREAIRVLGLLGALDPYKHK VNIGMIDQSRDASAVSLSESKSSQDSSDYSTSEMLVNMGNLPLDEFYPAVSMVALMRIFR DQSLSHHHTMVVQAITFIFKSLGLKCVQFLPQVMPTFLNVIRVCDGAIREFLFQQLGMLV SFVKSHIRPYMDEIVTLMREFWVMNTSIQSTIILLIEQIVVALGGEFKLYLPQLIPHMLR VFMHDNSQGRIVSIKLLAAIQLFGANLDDYLHLLLPPIVKLFDAPEVPLPSRKAALETVD RLTESLDFTDYASRIIHPIVRTLDQSPELRSTAMDTLSSLVFQLGKKYQIFIPMVNKVLV RHRINHQRYDVLICRIVKGYTLADEEEDPLIYQHRMLRSSQGDALASGPVETGPMKKLHV STINLQKAWGAARRVSKDDWLEWLRRLSLELLKDSSSPSLRSCWALAQAYNPMARDLFNA AFVSCWSELNEDQQDELIRSIELALTSQDIAEVTQTLLNLAEFMEHSDKGPLPLRDDNGI VLLGERAAKCRAYAKALHYKELEFQKGPTPAILESLISINNKLQQPEAASGVLEYAMKHF GELEIQATWYEKLHEWEDALVAYDKKMDTNKEDPELMLGRMRCLEALGEWGQLHQQCCEK WTLVNDETQAKMARMAAAAAWGLGQWDSMEEYTCMIPRDTHDGAFYRAVLALHQDLFSLA QQCIDKARDLLDAELTAMAGESYSRAYGAMVSCHMLSELEEVIQYKLVPERREIIRQIWW ERLQGCQRIVEDWQKILMVRSLVVSPHEDMRTWLKYASLCGKSGRLALAHKTLVLLLGVD PSRQLDHPLPTAHPQVTYAYMKNMWKSARKIDAFQHMQHFVQTMQQQAQHAIATEDQQHK QELHKLMARCFLKLGEWQLNLQGINESTIPKVLQYYSAATEHDRSWYKAWHAWAVMNFEA VLHYKHQNQARDEKKKLRHASGANITNATTAATTAASAAAATSTEGSNSESEAESNENSP TPSPLQKKVTEDLSKTLLLYTVPAVQGFFRSISLSRGNNLQDTLRVLTLWFDYGHWPDVN EALVEGVKAIQIDTWLQVIPQLIARIDTPRPLVGRLIHQLLTDIGRYHPQALIYPLTVAS KSTTTARHNAANKILKNMCEHSNTLVQQAMMVSEELIRVAILWHEMWHEGLEEASRLYFG ERNVKGMFEVLEPLHAMMERGPQTLKETSFNQAYGRDLMEAQEWCRKYMKSGNVKDLTQA WDLYYHVFRRISKQLPQLTSLELQYVSPKLLMCRDLELAVPGTYDPNQPIIRIQSIAPSL QVITSKQRPRKLTLMGSNGHEFVFLLKGHEDLRQDERVMQLFGLVNTLLANDPTSLRKNL SIQRYAVIPLSTNSGLIGWVPHCDTLHALIRDYREKKKILLNIEHRIMLRMAPDYDHLTL MQKVEVFEHAVNNTAGDDLAKLLWLKSPSSEVWFDRRTNYTRSLAVMSMVGYILGLGDRH PSNLMLDRLSGKILHIDFGDCFEVAMTREKFPEKIPFRLTRMLTNAMEVTGLDGNYRTTC HTVMEVLREHKDSVMAVLEAFVYDPLLNWRLMDTNTKGNKRSRTRTDSYSAGQSVEILDG VELGEPAHKKAGTTVPESIHSFIGDGLVKPEALNKKAIQIINRVRDKLTGRDFSHDDTLD VPTQVELLIKQATSHENLCQCYIGWCPFW >ENSMUSP00000054164.7 pep:known chromosome:GRCm38:4:148448625:148454973:1 gene:ENSMUSG00000028991.15 transcript:ENSMUST00000057580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtor description:mechanistic target of rapamycin (serine/threonine kinase) [Source:MGI Symbol;Acc:MGI:1928394] MLGTGPAVATASAATSSNVSVLQQFASGLKSRNEETRAKAAKELQHYVTMELREMSQEES TRFYDQLNHHIFELVSSSDANERKGGILAIASLIGVEGGNSTRIGRFANYLRNLLPSSDP VVMEMASKAIGRLAMAGDTFTAEYVEFEVKRALEWLGADRNEGRRHAAVLVLRELAISVP TFFFQQVQPFFDNIFVAVWDPKQAIREGAVAALRACLILTTQREPKEMQKPQWYRVRDGS TQPLAKHFGLESCSWP >ENSMUSP00000079060.3 pep:known chromosome:GRCm38:7:86688340:86695384:1 gene:ENSMUSG00000060688.3 transcript:ENSMUST00000080165.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr292 description:olfactory receptor 292 [Source:MGI Symbol;Acc:MGI:3030126] MMNSTMVTEFLLEVFAESWELRILLSVLFLLVYLGSLFGNLIIIIVTTVDQTLNTPMYFF LRNLSILDMGFVSVTVPNACINSLTDHRNISVAGCAAQIFLVFFCSCVEIQFLTTMAQDR YVAICKPLMYPVIMTHQFCVQMTLASLLTSLILASVHTSKTFQLSFCHSNIVPQFFCDIP SLLRLSCSDTFNNKLLLLLSAIGLSGSCFTFIAVSYVRILSTVLKVPVKGERGKAFSTCV PHIIVVSVFLSSGAYVYLKPPAIPEIIEDMTLSVFYTIVPPFLNPIIYSLRNKQIKEAVK KVIFRFLS >ENSMUSP00000135113.1 pep:known chromosome:GRCm38:16:65520511:65534297:1 gene:ENSMUSG00000004842.18 transcript:ENSMUST00000176330.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou1f1 description:POU domain, class 1, transcription factor 1 [Source:MGI Symbol;Acc:MGI:97588] MSCQSFTSADTFITLNSDASAALPLRMHHSAAECLPASNHATNVMSTATGLHYSVPSCHY GNQPSTYGVMAGSLTPCLYKFPDHTLSHGFPPLHQPLLAEDPAASEFKQELRRKSKLVEE PIDMDSPEIRELEQFANEFKVRRIKLGYTQTNVGEALAAVHGSEFSQTTICRFENLQLSF KNACKLKAILSKWLEEAEQVGALYNEKVGANERKRKRRTTISVAAKDALERHFGEHSKPS SQEIMRMAEELNLEKEVVRVWFCNRRQREKRVKTSLNQSLFSISKEHLECR >ENSMUSP00000135574.1 pep:known chromosome:GRCm38:16:65520548:65534120:1 gene:ENSMUSG00000004842.18 transcript:ENSMUST00000176038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou1f1 description:POU domain, class 1, transcription factor 1 [Source:MGI Symbol;Acc:MGI:97588] MSCQSFTSADTFITLNSDASAALPLRMHHSAAECLPASNHATNVMSTVPSILSLIQTPTC LHTYFSMTTMGNTATGLHYSVPSCHYGNQPSTYGVMAGSLTPCLYKFPDHTLSHGFPPLH QPLLAEDPAASEFKQELRRKSKLVEEPIDMDSPEIRELEQFANEFKVRRIKLGYTQTNVG EALAAVHGSEFSQTTICRFENLQLSFKNACKLKAILSKWLEEAEQVGALYNEKVGANERK RKRRTTISVAAKDALERHFGEHSKPSSQEIMRMAEELNLEKEVVRVWFCNRRQREKRVKT SLNQSLFSISKEHLECR >ENSMUSP00000139087.1 pep:known chromosome:GRCm38:16:65523277:65533981:1 gene:ENSMUSG00000004842.18 transcript:ENSMUST00000184525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou1f1 description:POU domain, class 1, transcription factor 1 [Source:MGI Symbol;Acc:MGI:97588] MYNLETSRMCLGSSYIFLPVPSILSLIQTPTCLHTYFSMTTMGNTATGLHYSVPSCHYGN QPSTYGVMAGSLTPCLYKFPDHTLSHGFPPLHQPLLAEDPAASEFKQELRRKSKLVEEPI DMDSPEIRELEQFANEFKVRRIKLGYTQTNVGEALAAVHGSEFSQTTICRFENLQLSFKN ACKLKAILSKWLEEAEQVGALYNEKVGANERKRKRRTTISVAAKDALERHFGEHSKPSSQ EIMRMAEELNLEKEVVRVWFCNRRQREKRVKTSLNQSLFSISKEHLECR >ENSMUSP00000004964.8 pep:known chromosome:GRCm38:16:65520512:65535005:1 gene:ENSMUSG00000004842.18 transcript:ENSMUST00000004964.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou1f1 description:POU domain, class 1, transcription factor 1 [Source:MGI Symbol;Acc:MGI:97588] MSCQSFTSADTFITLNSDASAALPLRMHHSAAECLPASNHATNVMSTVPSILSLIQTPTC LHTYFSMTTMGNTATGLHYSVPSCHYGNQPSTYGVMAGSLTPCLYKFPDHTLSHGFPPLH QPLLAEDPAASEFKQELRRKSKLVEEPIDMDSPEIRELEQFANEFKVRRIKLGYTQTNVG EALAAVHGSEFSQTTICRFENLQLSFKNACKLKAILSKWLEEAEQVGALYNEKVGANERK RKRRTTISVAAKDALERHFGEHSKPSSQEIMRMAEELNLEKEVVRVWFCNRRQREKRVKT SLNQSLFSISKEHLECR >ENSMUSP00000144614.1 pep:known chromosome:GRCm38:5:121451893:121524183:1 gene:ENSMUSG00000029452.18 transcript:ENSMUST00000111795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem116 description:transmembrane protein 116 [Source:MGI Symbol;Acc:MGI:1924712] MTPVFLLGNVNECFHNFSESHRCILMHSPPSATPEPLPSTNTSVCSTLYFYGVTIFLASF FFSFLTIMVLLVHAQMLYKKFVKSTGLLESEQWAVIHTVGQRVRFFPVAFVCCWGPAVTL LIMKLTEPQETFLHMALSVLQRYRLHHGARRM >ENSMUSP00000134334.2 pep:known chromosome:GRCm38:5:121452575:121494445:1 gene:ENSMUSG00000029452.18 transcript:ENSMUST00000124247.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem116 description:transmembrane protein 116 [Source:MGI Symbol;Acc:MGI:1924712] XSRDGTGLPRTRTRTRTRTWRHSARPCSAAFSREAPLRPFPSLPSLSPRKKTWRRPFLPC AGRSCGLKRPAASLAFSCNTVDTVCCGYSECYRLQFTPHLHRVPEPTEVCRDKATLVSEL L >ENSMUSP00000143523.1 pep:known chromosome:GRCm38:5:121452575:121495414:1 gene:ENSMUSG00000029452.18 transcript:ENSMUST00000150805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem116 description:transmembrane protein 116 [Source:MGI Symbol;Acc:MGI:1924712] XSRDGTGLPRTRTRTRTRTWRHSARPCSAAFSREAPLRPFPSLPSLSPRKKTWRRPFLPC AGRSCGLKRPAASLAFSCNTVDTVCCGYSEIKPLLYLSFSDLLLGICWLVKALLYGTAAA HKDSICYNLQTVGEIFYLASLLYTVSYIWCLYSELRRKSGQSERSTVAQVTDYVCQGGHI LFLLSSLIPPLLMTPVFLLGNVNECFHNFSESHRCILMHSPPSATPEPLPSTNTSVCSTL YFYGVTIFLASFFFSFLTIMVLLVHAQMLYKKFVKSTGLLESEQWAVIHTVGQRVRFFPV AFVCCWGPAVTLLIMKLTEPQETFLHMALSVLQALTAASQGLLNCGIYGWTQCKFQQIKR EPHRDADTQTPLLCSQKRIYSRSPNLLESPLALASSSSTVL >ENSMUSP00000144269.1 pep:known chromosome:GRCm38:5:121452625:121482363:1 gene:ENSMUSG00000029452.18 transcript:ENSMUST00000145729.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem116 description:transmembrane protein 116 [Source:MGI Symbol;Acc:MGI:1924712] XTWRHSARPCSAAFSREAPLRPFPSLPSLSPRKKTWRRPFLPCAGRSCGLKRPAASLAFS CNTVDTVCCGYSECYRLQFTPHLHRVPEPTEVCRDKATLVSELL >ENSMUSP00000121579.3 pep:known chromosome:GRCm38:5:121453309:121518171:1 gene:ENSMUSG00000029452.18 transcript:ENSMUST00000156080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem116 description:transmembrane protein 116 [Source:MGI Symbol;Acc:MGI:1924712] MTPVFLLGNVNECFHNFSESHRCILMHSPPSATPEPLPSTNTSVCSTLYFYGVTIFLASF FFSFLTIMVLLVHAQMLYKKFVKSTGLLESEQWAVIHTVGQRVRFFPVAFVCCWGPGKGS SDTECRLLAGVPTTCSACLFLGRLEQ >ENSMUSP00000091917.4 pep:known chromosome:GRCm38:5:121463152:121495394:1 gene:ENSMUSG00000029452.18 transcript:ENSMUST00000094357.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem116 description:transmembrane protein 116 [Source:MGI Symbol;Acc:MGI:1924712] MAFPLETSRLEGRWEPLFPAIQWIQFAVATLSVIGSSSLLTYIAFQSPQKSAEIKPLLYL SFSDLLLGICWLVKALLYGTAAAHKDSICYNLQTVGEIFYLASLLYTVSYIWCLYSELRR KSGQSERSTVAQVTDYVCQGGHILFLLSSLIPPLLMTPVFLLGNVNECFHNFSESHRCIL MHSPPSATPEPLPSTNTSVCSTLYFYGVTIFLASFFFSFLTIMVLLVHAQMLYKKFVKST GLLESEQWAVIHTVGQRVRFFPVAFVCCWGPAVTLLIMKLTEPQETFLHMALSVLQALTA ASQGLLNCGIYGWTQCKFQQIKREPHRDADTQTPLLCSQKRIYSRSPNLLESPLALASSS STVL >ENSMUSP00000031405.5 pep:known chromosome:GRCm38:5:121463152:121495421:1 gene:ENSMUSG00000029452.18 transcript:ENSMUST00000031405.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem116 description:transmembrane protein 116 [Source:MGI Symbol;Acc:MGI:1924712] MAFPLETSRLEGRWEPLFPAIQWIQFAVATLSVIGSSSLLTYIAFQSPQKSAEIKPLLYL SFSDLLLGICWLVKALLYGTAAAHKDSICYNLQTVGEVTDYVCQGGHILFLLSSLIPPLL MTPVFLLGNVNECFHNFSESHRCILMHSPPSATPEPLPSTNTSVCSTLYFYGVTIFLASF FFSFLTIMVLLVHAQMLYKKFVKSTGLLESEQWAVIHTVGQRVRFFPVAFVCCWGPAVTL LIMKLTEPQETFLHMALSVLQALTAASQGLLNCGIYGWTQCKFQQIKREPHRDADTQTPL LCSQKRIYSRSPNLLESPLALASSSSTVL >ENSMUSP00000116328.1 pep:known chromosome:GRCm38:5:121463737:121494249:1 gene:ENSMUSG00000029452.18 transcript:ENSMUST00000149966.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem116 description:transmembrane protein 116 [Source:MGI Symbol;Acc:MGI:1924712] MTPVFLLGNVNECFHNFSESHRCILMHSPPSATPEPLPSTNTSVCSTLYFYGVTIFLASF FFSFLTIMVLLVHAQMLYKKFVKSTGLLESEQWAVIHTVGQRVRFFPVAFVCCWGPAVTL LIMKLTEPQET >ENSMUSP00000054232.8 pep:known chromosome:GRCm38:5:121452709:121495421:1 gene:ENSMUSG00000029452.18 transcript:ENSMUST00000060004.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem116 description:transmembrane protein 116 [Source:MGI Symbol;Acc:MGI:1924712] MTPVFLLGNVNECFHNFSESHRCILMHSPPSATPEPLPSTNTSVCSTLYFYGVTIFLASF FFSFLTIMVLLVHAQMLYKKFVKSTGLLESEQWAVIHTVGQRVRFFPVAFVCCWGPAVTL LIMKLTEPQETFLHMALSVLQALTAASQGLLNCGIYGWTQCKFQQIKREPHRDADTQTPL LCSQKRIYSRSPNLLESPLALASSSSTVL >ENSMUSP00000099435.4 pep:known chromosome:GRCm38:11:97777527:97782437:-1 gene:ENSMUSG00000071415.6 transcript:ENSMUST00000103146.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl23 description:ribosomal protein L23 [Source:MGI Symbol;Acc:MGI:1929455] MSKRGRGGSSGAKFRISLGLPVGAVINCADNTGAKNLYIISVKGIKGRLNRLPAAGVGDM VMATVKKGKPELRKKVHPAVVIRQRKSYRRKDGVFLYFEDNAGVIVNNKGEMKGSAITGP VAKECADLWPRIASNAGSIA >ENSMUSP00000117316.1 pep:known chromosome:GRCm38:11:97781404:97782382:-1 gene:ENSMUSG00000071415.6 transcript:ENSMUST00000128801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl23 description:ribosomal protein L23 [Source:MGI Symbol;Acc:MGI:1929455] MWTLVLSVSLPAGRGGSSGAKFRISLGLPVGAVINCADNTGAKNLYIISVKGIKGRLNRL >ENSMUSP00000055521.7 pep:known chromosome:GRCm38:8:111311797:111338177:-1 gene:ENSMUSG00000012519.14 transcript:ENSMUST00000056157.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlkl description:mixed lineage kinase domain-like [Source:MGI Symbol;Acc:MGI:1921818] MDKLGQIIKLGQLIYEQCEKMKYCRKQCQRLGNRVHGLLQPLQRLQAQGKKNLPDDITAA LGRFDEVLKEANQQIEKFSKKSHIWKFVSVGNDKILFHEVNEKLRDVWEELLLLLQVYHW NTVSDVSQPASWQQEDRQDAEEDGNENMKVILMQLQISVEEINKTLKQCSLKPTQEIPQD LQIKEIPKEHLGPPWTKLKTSKMSTIYRGEYHRSPVTIKVFNNPQAESVGIVRFTFNDEI KTMKKFDSPNILRIFGICIDQTVKPPEFSIVMEYCELGTLRELLDREKDLTMSVRSLLVL RAARGLYRLHHSETLHRNISSSSFLVAGGYQVKLAGFELSKTQNSISRTAKSTKAERSSS TIYVSPERLKNPFCLYDIKAEIYSFGIVLWEIATGKIPFEGCDSKKIRELVAEDKKQEPV GQDCPELLREIINECRAHEPSQRPSVDGRSLSGRERILERLSAVEESTDKKV >ENSMUSP00000113718.1 pep:known chromosome:GRCm38:8:111311800:111338177:-1 gene:ENSMUSG00000012519.14 transcript:ENSMUST00000120432.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlkl description:mixed lineage kinase domain-like [Source:MGI Symbol;Acc:MGI:1921818] MDKLGQIIKLGQLIYEQCEKMKYCRKQCQRLGNRVHGLLQPLQRLQAQGKKNLPDDITAA LGRFDEVLKEANQQIEKFSKKSHIWKFVSVGNDKILFHEVNEKLRDVWEELLLLLQVYHW NTVSDVSQPASWQQEDRQDAEEDGNENMKVILMQLQISVEEINKTLKQCSLKPTQEIPQD LQIKEIPKEHLGPPWTKLKTSKMSTIYRGEYHRSPVTIKVFNNPQAESVGIVRFTFNDEI KTMKKFDSPNILRIFGICIDQTVKPPEFSIVMEYCELGTLRELLDREKDLTMSVRSLLVL RAARGLYRLHHSETLHRNISSSSFLVAGGYQVKLAGFELSKTQNSISRTAKSTKAERSSS TIYVSPERLKNPFCLYDIKAEIYSFGIVLWEIATGKIPFEGCDSKKIRELVAEDKKQEPV GQDCPELLREIINECRAHEPSQRPSVDGILERLSAVEESTDKKV >ENSMUSP00000114701.1 pep:known chromosome:GRCm38:8:111331817:111337793:-1 gene:ENSMUSG00000012519.14 transcript:ENSMUST00000145862.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlkl description:mixed lineage kinase domain-like [Source:MGI Symbol;Acc:MGI:1921818] MAFEERQKMDKLGQIIKLGQLIYEQCEKMKYCRKQCQRLGNRVHGLLQPLQRLQAQGKKN LPDDITAALGRFDEVLKEANQQIEKFSKKSHIWKFVSVGNDKILFHEVNEKLRDVWEELL LLLQVYHWNTVSDVSQPASWQQEDRQDAEEDGNENMKVILMQLQISVEEINKTLKQ >ENSMUSP00000036656.8 pep:known chromosome:GRCm38:1:78310345:78420289:1 gene:ENSMUSG00000032908.9 transcript:ENSMUST00000036172.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgpp2 description:sphingosine-1-phosphate phosphotase 2 [Source:MGI Symbol;Acc:MGI:3589109] MAELLRSLRDSQLVARFQRRCGLFPAREASGEEHVVKNYFYYYLFRFSAALGQEVFYITF LPFTHWNIDPNLSRRLVVIWVLVMYIGQVAKDILKWPRPSFPPVVRLEKRIIAEYGMPST HAMAATAISFTLLISTMDRYQYPFILGLMMAVVFSTLVCLSRLYTGMHTVLDILGGVLIT AVLIALTYPAWTLIDSLDSASPLFPVCVIVVPFLLCYNYPVSDYYSPTRADTTTIVAAGA GVTLGFWINHFFQLVSKPTPSLPVIQNIPPLTTDMLVLGLTKFMVGIMLILLVRQLVQKL SLQVLFSWFKVVTRNKEARRRLEIEVPYKFVTYTSVGICATTFVPMLHRFLGLL >ENSMUSP00000095487.2 pep:known chromosome:GRCm38:4:128583547:128610098:-1 gene:ENSMUSG00000061894.15 transcript:ENSMUST00000097877.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan20 description:zinc finger and SCAN domains 20 [Source:MGI Symbol;Acc:MGI:2679268] MMAVASPPPEPEDLLIVKLEEDSWGSDSRPEKESHSPVPGPEVSRRCFRQFRYRDAAGPH EAFSQLWALCCRWLRPELRLKEQILELLVLEQFLSILPREVQTWVQARHPESGEEAVALV EDWHREAWAAGQQGLELCSEDSRSFEAVQEFQRFQLQPVTHGSEGQPRKQWVENARPDLS KMPPESLKESAVLTPQAPTVPKMASIGDWEVAGKSQETPSPSRQAKKEPCQDPAGGDRGD SACLGVPASKPSATSQQEQGPEIWGLSLINSGNGSAADDSLDSAQDKPVQAVAQADSRAW GEPCQWGAEDMKVSGVHWGYEETKTFLAILSESPFSEKLQTCHQNRQVYRAIAERLRARG FLRTLEQCRYRVKNLLRNYRKAKNSHPPGTCPFYEELEALVRARTAIRRTSGGPGEAVAL PRLGDSDTEMDDQDEGSWEPEETVEDCSGSGLAAEESLQGPRIAGGPALLQSRIAGVHWG FEETKVFLAILSESPFAEKLRTCHQNSQIYRAIAERLRALGFLRTLEQCRYRFKNLLRSY RKAKSSCPPGTCPFYEEMDSLMRARTVIRAVEMVGEATGLPGSGQSSTEADDQEAWGEME DEDAVRLLTPDSQPADAGFELKREEEDQISEQDVLGDLPGALSRYTTKAVCQPCDWGEDH VNGNEGEWRNTWEECSSEEDLEKLIDHQGLYLTEKPYGCDTRAKSFSRKVHFFAPQRTHS SEKPYKCLGSGKSFSDRANLSTHQRIHIGEKPYRCLECGKSFNDPSNLITHQRTHTGEKP YKCGLCWKSFNQSSNLLKHQRVHLGGPPNQRDEPGENFGQSLSYSAHWRRNSTQEGPKEP QNISMGADSPGACHPNSGEKLYSCPECGRCFSKSSALTSHQRIHSGEKPYECAVCGKSFS KSSSLANHRRTHTGEKPHKCADCGKCFSERSKLITHQRVHTGEKPYECPECGKFFRDRSN LITHQRIHTGEKPYKCRECGKCFNQSSSLIIHQRIHTGEKPYKCTECGKDFNNSSHFSAH RRTHAGGKAL >ENSMUSP00000119338.1 pep:known chromosome:GRCm38:4:128585375:128610042:-1 gene:ENSMUSG00000061894.15 transcript:ENSMUST00000135309.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zscan20 description:zinc finger and SCAN domains 20 [Source:MGI Symbol;Acc:MGI:2679268] MMAVASPPPEPEDLLIVKLEEDSWGSDSRPEKESHSPVPGPEVSRRCFRQFRYRDAAGPH EAFSQLWALCCRWLRPELRLKEQILELLVLEQFLSILPREVQTWVQARHPESGEEAVALV EDWHREAWAAGQQGLELCSEDSRSFEAVQEFQRFQLQPVTHGSEGQPRKQWVENARPDLS KMPPESLKESAVLTPQAPTVPKMASIGDWEVAGKSQETPSPSRQAKKEPCQDPAGGDRGD SACLAPDLSPEPPGIPGYRRATEGTGLLADSGAVSLQGQKPSPELPESQEQPSARDLPLL >ENSMUSP00000121315.1 pep:known chromosome:GRCm38:4:128604443:128609995:-1 gene:ENSMUSG00000061894.15 transcript:ENSMUST00000147876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan20 description:zinc finger and SCAN domains 20 [Source:MGI Symbol;Acc:MGI:2679268] MMAVASPPPEPEDLLIVKLEEDSWGSDSRPEKESHSPVPGPEVSRRCFRQFRYR >ENSMUSP00000123585.1 pep:known chromosome:GRCm38:4:128604477:128609981:-1 gene:ENSMUSG00000061894.15 transcript:ENSMUST00000141040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan20 description:zinc finger and SCAN domains 20 [Source:MGI Symbol;Acc:MGI:2679268] MMAVASPPPEPEDLLIVKLEEDSWGSDSRPEKESHSPVPGPE >ENSMUSP00000112504.2 pep:known chromosome:GRCm38:4:119232915:119248972:1 gene:ENSMUSG00000028641.16 transcript:ENSMUST00000121111.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P3h1 description:prolyl 3-hydroxylase 1 [Source:MGI Symbol;Acc:MGI:1888921] MAVSERRLLAAMLAVAAAAALRVAAESEPGWDVAAPDLLYAEGTAAYSRGDWPGVVLNME RALRSRAALRALRLRCRTRCATELPWAPDLDLGPDPSLSQDPGAAALHDLRFFGAVLRRA ACLRRCLGPPSAHLLSEELDLEFNKRSPYNYLQVAYFKINKLEKAVAAAHTFFVGNPEHM EMRQNLDYYQTMSGVKEADFRDLEAKPHMHEFRLGVRLYSEEKPQEAVPHLEAALQEYFV ADEECRALCEGPYDYDGYNYLDYSADLFQAITDHYVQVLNCKQNCVTELASHPSREKPFE DFLPSHYNYLQFAYYNIGNYTQAIECAKTYLLFFPNDEVMHQNLAYYTAMLGEEEASSIS PRENAEEYRRRSLLEKELLFFAYDIFGIPFVDPDSWTPEEVIPKRLQEKQKSERETAVRI SQEIGNLMKEIETLVEEKTKESLDVSRLTREGGPLLYEGISLTMNSKVLNGSQRVVMDGV ISDDECQELQRLTNAAATSGDGYRGQTSPHTPNEKFYGVTVLKALKLGQEGKVPLQSARM YYNVTEKVRRVMESYFRLDTPLYFSYSHLVCRTAIEESQAERKDSSHPVHVDNCILNAEA LMCIKEPPAYTFRDYSAILYLNGDFDGGNFYFTELDAKTVTAEVQPQCGRAVGFSSGTEN PHGVKAVTRGQRCAIALWFTLDPRHSERDRVQADDLVKMLFSPEEVDLPQEQPLPDQQGS PEPGEESLSDRGSLHKDEL >ENSMUSP00000030393.6 pep:known chromosome:GRCm38:4:119232939:119248975:1 gene:ENSMUSG00000028641.16 transcript:ENSMUST00000030393.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P3h1 description:prolyl 3-hydroxylase 1 [Source:MGI Symbol;Acc:MGI:1888921] MAVSERRLLAAMLAVAAAAALRVAAESEPGWDVAAPDLLYAEGTAAYSRGDWPGVVLNME RALRSRAALRALRLRCRTRCATELPWAPDLDLGPDPSLSQDPGAAALHDLRFFGAVLRRA ACLRRCLGPPSAHLLSEELDLEFNKRSPYNYLQVAYFKINKLEKAVAAAHTFFVGNPEHM EMRQNLDYYQTMSGVKEADFRDLEAKPHMHEFRLGVRLYSEEKPQEAVPHLEAALQEYFV ADEECRALCEGPYDYDGYNYLDYSADLFQAITDHYVQVLNCKQNCVTELASHPSREKPFE DFLPSHYNYLQFAYYNIGNYTQAIECAKTYLLFFPNDEVMHQNLAYYTAMLGEEEASSIS PRENAEEYRRRSLLEKELLFFAYDIFGIPFVDPDSWTPEEVIPKRLQEKQNQCCHAHRSE RETAVRISQEIGNLMKEIETLVEEKTKESLDVSRLTREGGPLLYEGISLTMNSKVLNGSQ RVVMDGVISDDECQELQRLTNAAATSGDGYRGQTSPHTPNEKFYGVTVLKALKLGQEGKV PLQSARMYYNVTEKVRRVMESYFRLDTPLYFSYSHLVCRTAIEESQAERKDSSHPVHVDN CILNAEALMCIKEPPAYTFRDYSAILYLNGDFDGGNFYFTELDAKTVTAEVQPQCGRAVG FSSGTENPHGVKAVTRGQRCAIALWFTLDPRHSERDRVQADDLVKMLFSPEEVDLPQEQP LPDQQGSPEPGEESLSDRGSLHKDEL >ENSMUSP00000099723.4 pep:known chromosome:GRCm38:4:119232946:119248972:1 gene:ENSMUSG00000028641.16 transcript:ENSMUST00000102662.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P3h1 description:prolyl 3-hydroxylase 1 [Source:MGI Symbol;Acc:MGI:1888921] MAVSERRLLAAMLAVAAAAALRVAAESEPGWDVAAPDLLYAEGTAAYSRGDWPGVVLNME RALRSRAALRALRLRCRTRCATELPWAPDLDLGPDPSLSQDPGAAALHDLRFFGAVLRRA ACLRRCLGPPSAHLLSEELDLEFNKRSPYNYLQVAYFKINKLEKAVAAAHTFFVGNPEHM EMRQNLDYYQTMSGVKEADFRDLEAKPHMHEFRLGVRLYSEEKPQEAVPHLEAALQEYFV ADEECRALCEGPYDYDGYNYLDYSADLFQAITDHYVQVLNCKQNCVTELASHPSREKPFE DFLPSHYNYLQFAYYNIGNYTQAIECAKTYLLFFPNDEVMHQNLAYYTAMLGEEEASSIS PRENAEEYRRRSLLEKELLFFAYDIFGIPFVDPDSWTPEEVIPKRLQEKQKSERETAVRI SQEIGNLMKEIETLVEEKTKESLDVSRLTREGGPLLYEGISLTMNSKVLNGSQRVVMDGV ISDDECQELQRLTNAAATSGDGYRGQTSPHTPNEKFYGVTVLKALKLGQEGKVPLQSARM YYNVTEKVRRVMESYFRLDTPLYFSYSHLVCRTAIEESQAERKDSSHPVHVDNCILNAEA LMCIKEPPAYTFRDYSAILYLNGDFDGGNFYFTELDAKTVTAEVQPQCGRAVGFSSGTEN PHGVKAVTRGQRCAIALWFTLDPRHSERDRVQADDLVKMLFSPEEVDLPQEQPLPDQQGS PEPGEDLLHGATVLGVGIAGHTLLWAWL >ENSMUSP00000119695.1 pep:known chromosome:GRCm38:4:119232954:119248369:1 gene:ENSMUSG00000028641.16 transcript:ENSMUST00000136278.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:P3h1 description:prolyl 3-hydroxylase 1 [Source:MGI Symbol;Acc:MGI:1888921] MAVSERRLLAAMLAVAAAAALRVAAESEPGWDVAAPDLLYAEGTAAYSRGDWPGVVLNME RALRSRAALRALRLRCRTRCATELPWAPDLDLGPDPSLSQDPGAAALHDLRFFGAVLRRA ACLRRCLGPPSAHLLSEELDLEFNKRSPYNYLQVAYFKINKLEKAVAAAHTFFVGNPEHM EMRQNLDYYQTMSGVKEADFRDLEAKPHMHEFRLGVRLYSEEKPQEAVPHLEAALQEYFV ADEECRALCEGPYDYDGYNYLDYSADLFQAITDHYVQVLNCKQNCVTELASHPSREKPFE DFLPSHYNYLQFAYYNIGNYTQAIECAKTYLLFFPNDEVMHQNLAYYTAMLGEEEASSIS PRE >ENSMUSP00000080312.6 pep:known chromosome:GRCm38:4:119233832:119248973:1 gene:ENSMUSG00000028641.16 transcript:ENSMUST00000081606.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P3h1 description:prolyl 3-hydroxylase 1 [Source:MGI Symbol;Acc:MGI:1888921] MEMRQNLDYYQTMSGVKEADFRDLEAKPHMHEFRLGVRLYSEEKPQEAVPHLEAALQEYF VADEECRALCEGPYDYDGYNYLDYSADLFQAITDHYVQVLNCKQNCVTELASHPSREKPF EDFLPSHYNYLQFAYYNIGNYTQAIECAKTYLLFFPNDEVMHQNLAYYTAMLGEEEASSI SPRENAEEYRRRSLLEKELLFFAYDIFGIPFVDPDSWTPEEVIPKRLQEKQKSERETAVR ISQEIGNLMKEIETLVEEKTKESLDVSRLTREGGPLLYEGISLTMNSKVLNGSQRVVMDG VISDDECQELQRLTNAAATSGDGYRGQTSPHTPNEKFYGVTVLKALKLGQEGKVPLQSAR MYYNVTEKVRRVMESYFRLDTPLYFSYSHLVCRTAIEESQAERKDSSHPVHVDNCILNAE ALMCIKEPPAYTFRDYSAILYLNGDFDGGNFYFTELDAKTVTAEVQPQCGRAVGFSSGTE NPHGVKAVTRGQRCAIALWFTLDPRHSERDRVQADDLVKMLFSPEEVDLPQEQPLPDQQG SPEPGEESLSDRGSLHKDEL >ENSMUSP00000125124.1 pep:known chromosome:GRCm38:10:127501686:127508823:1 gene:ENSMUSG00000040287.9 transcript:ENSMUST00000160019.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stac3 description:SH3 and cysteine rich domain 3 [Source:MGI Symbol;Acc:MGI:3606571] MTEKEVVESPQPPFPGETPQSGLQRLKQLFKKGSPETAEMEPPPEPQANGEAVGAGGGPI YYIYEEEEEEEEEEEPPPEPPKLVNDKPHKFKDHFFKKPKFCDVCARMIVLNNKFGLRCK NCKTNIHEHCQSYVEMQRCFGKIPPGFRRAYSSPLYSDQQYAVSAANRNDPVFETLRVGV IMANKERKKGQADKKNPLAAMMEEEPESARPEEGKSQDGNNAEKDKKAEKKTPDDKNKQP GFQQSHYFVALYRFKALEKDDLDFPPGEKITVIDDSNEEWWRGKIGEKVGFFPPNFIIRV RAGERVHRVTRSFVGNREIGQITLKKDQIVVQKGDEAGGYVKVYTGRKVGLFPTDFLEEI >ENSMUSP00000124638.1 pep:known chromosome:GRCm38:10:127501694:127502838:1 gene:ENSMUSG00000040287.9 transcript:ENSMUST00000160610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stac3 description:SH3 and cysteine rich domain 3 [Source:MGI Symbol;Acc:MGI:3606571] MTEKEVVESPQPPFPGET >ENSMUSP00000048148.2 pep:known chromosome:GRCm38:10:127501717:127508815:1 gene:ENSMUSG00000040287.9 transcript:ENSMUST00000035839.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stac3 description:SH3 and cysteine rich domain 3 [Source:MGI Symbol;Acc:MGI:3606571] MTEKEVVESPQPPFPGETPQSGLQRLKQLFKKGSPETAEMEPPPEPQANGEAVGAGGGPI YYIYEEEEEEEEEEEPPPEPPKLVNDKPHKFKDHFFKKPKFCDVCARMIVLNNKFGLRCK NCKTNIHEHCQSYVEMQRCFGKIPPGFRRAYSSPLYSDQQYAVSAANRNDPVFETLRVGV IMANKERKKGQADKKNPLAAMMEEEPESARPEEGKSQDGNNAEKDKKAEKKTPDDKNKQP GFQQSHYFVALYRFKALEKDDLDFPPGEKITVIDDSNEEWWRGKIGEKVGFFPPNFIIRV RAGERVHRVTRSFVGNREIGQITLKKDQIVVQKGDEAGGYVKVYTGRKVGLFPTDFLEEI >ENSMUSP00000027035.3 pep:known chromosome:GRCm38:1:4490931:4496413:-1 gene:ENSMUSG00000025902.13 transcript:ENSMUST00000027035.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox17 description:SRY (sex determining region Y)-box 17 [Source:MGI Symbol;Acc:MGI:107543] MSSPDAGYASDDQSQPRSAQPAVMAGLGPCPWAESLSPLGDVKVKGEVVASSGAPAGTSG RAKAESRIRRPMNAFMVWAKDERKRLAQQNPDLHNAELSKMLGKSWKALTLAEKRPFVEE AERLRVQHMQDHPNYKYRPRRRKQVKRMKRVEGGFLHALVEPQAGALGPEGGRVAMDGLG LPFPEPGYPAGPPLMSPHMGPHYRDCQGLGAPALDGYPLPTPDTSPLDGVEQDPAFFAAP LPGDCPAAGTYTYAPVSDYAVSVEPPAGPMRVGPDPSGPAMPGILAPPSALHLYYGAMGS PAASAGRGFHAQPQQPLQPQAPPPPPQQQHPAHGPGQPSPPPEALPCRDGTESNQPTELL GEVDRTEFEQYLPFVYKPEMGLPYQGHDCGVNLSDSHGAISSVVSDASSAVYYCNYPDI >ENSMUSP00000141894.1 pep:known chromosome:GRCm38:1:4491250:4496757:-1 gene:ENSMUSG00000025902.13 transcript:ENSMUST00000195555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox17 description:SRY (sex determining region Y)-box 17 [Source:MGI Symbol;Acc:MGI:107543] MQDHPNYKYRPRRRKQVKRMKRVEGGFLHALVEPQAGALGPEGGRVAMDGLGLPFPEPGY PAGPPLMSPHMGPHYRDCQGLGAPALDGYPLPTPDTSPLDGVEQDPAFFAAPLPGDCPAA GTYTYAPVSDYAVSVEPPAGPMRVGPDPSGPAMPGILAPPSALHLYYGAMGSPAASAGRG FHAQPQQPLQPQAPPPPPQQQHPAHGPGQPSPPPEALPCRDGTESNQPTELLGEVDRTEF EQYLPFVYKPEMGLPYQGHDCGVNLSDSHGAISSVVSDASSAVYYCNYPDI >ENSMUSP00000142116.1 pep:known chromosome:GRCm38:1:4491390:4497354:-1 gene:ENSMUSG00000025902.13 transcript:ENSMUST00000192650.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox17 description:SRY (sex determining region Y)-box 17 [Source:MGI Symbol;Acc:MGI:107543] MSPHGYNEQHLQTSEFQPSYFPKRSWRQRPAPASFPQGKSWKALTLAEKRPFVEEAERLR VQHMQDHPNYKYRPRRRKQVKRMKRVEGGFLHALVEPQAGALGPEGGRVAMDGLGLPFPE PGYPAGPPLMSPHMGPHYRDCQGLGAPALDGYPLPTPDTSPLDGVEQDPAFFAAPLPGDC PAAGTYTYAPVSDYAVSVEPPAGPMRVGPDPSGPAMPGILAPPSALHLYYGAMGSPAASA GRGFHAQPQQPLQPQAPPPPPQQQHPAHGPGQPSPPPEALPCRDGTESNQPTELLGEVDR TEFEQYLPFVYKPEMGLPYQGHDCGVNLSDSHGAISSVVSDASSAVYYCNYPDI >ENSMUSP00000112351.2 pep:known chromosome:GRCm38:1:4491713:4496363:-1 gene:ENSMUSG00000025902.13 transcript:ENSMUST00000116652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox17 description:SRY (sex determining region Y)-box 17 [Source:MGI Symbol;Acc:MGI:107543] MSSPDAGYASDDQSQPRSAQPAVMAGLGPCPWAESLSPLGDVKVKGEVVASSGAPAGTSG RAKAESRIRRPMNAFMVWAKDERKRLAQQNPDLHNAELSKMLGKSWKALTLAEKRPFVEE AERLRVQHMQDHPNYKYRPRRRKQVKRMKRVEGGFLHALVEPQAGALGPEGGRVAMDGLG LPFPEPGYPAGPPLMSPHMGPHYRDCQGLGAPALDGYPLPTPDTSPLDGVEQDPAFFAAP LPGDCPAAGTYTYAPVSDYAVSVEPPAGPMRVGPDPSGPAMPGILAPPSALHLYYGAMGS PAASAGRGFHAQPQQPLQPQAPPPPPQQQHPAHGPGQPSPPPEALPCRDGTESNQPTELL GEVDRTEFEQYLPFVYKPEMGLPYQGHDCGVNLSDSHGAISSVVSDASSAVYYCNYPDI >ENSMUSP00000142204.1 pep:known chromosome:GRCm38:1:4492458:4496330:-1 gene:ENSMUSG00000025902.13 transcript:ENSMUST00000191647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox17 description:SRY (sex determining region Y)-box 17 [Source:MGI Symbol;Acc:MGI:107543] MSPHGYNEQHLQTSEFQPSYFPKRSWRQRPAPASFPQGKSWKALTLAEKRPFVEEAERLR VQHMQDHPNYKYRPRRRKQVKRMKRVEGGFLHALVEPQAGALGPEGGR >ENSMUSP00000142154.1 pep:known chromosome:GRCm38:1:4492465:4493735:-1 gene:ENSMUSG00000025902.13 transcript:ENSMUST00000191939.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox17 description:SRY (sex determining region Y)-box 17 [Source:MGI Symbol;Acc:MGI:107543] MSSPDAGYASDDQSQPRSAQPAVMAGLGPCPWAESLSPLGDVKVKGEVVASSGAPAGTSG RAKAESRIRRPMNAFMVWAKDERKRLAQQNPDLHNAELSKMLGKSWKALTLAEKRPFVEE AERLRVQHMQDHPNYKYRPRRRKQVKRMKRVEGGFLHALVEPQAGALGPE >ENSMUSP00000141674.1 pep:known chromosome:GRCm38:1:4492467:4496396:-1 gene:ENSMUSG00000025902.13 transcript:ENSMUST00000192913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox17 description:SRY (sex determining region Y)-box 17 [Source:MGI Symbol;Acc:MGI:107543] MSSPDAGYASDDQSQPRSAQPAVMAGLGPCPWAESLSPLGDVKVKGEVVASSGAPAGTSG RAKAESRIRRPMNAFMVWAKDERKRLAQQNPDLHNAELSKMLGKSWKALTLAEKRPFVEE AERLRVQHMQDHPNYKYRPRRRKQVKRMKRVEGGFLHALVEPQAGALGP >ENSMUSP00000089265.5 pep:known chromosome:GRCm38:4:144059126:144069318:1 gene:ENSMUSG00000073724.12 transcript:ENSMUST00000091676.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel4 description:preferentially expressed antigen in melanoma like 4 [Source:MGI Symbol;Acc:MGI:2156377] MSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFKDAFTSRQRKILSLMVATWP FPVLPVGALCGIDHLETLKAVLDGLDLLMSQKDRPSRWNLQVLDLRDAHQDFWDGWAGLL HEVCSQDVFGKNQPVGNHPIPGGKQTISIKMNLSLKYCRRHSHCENLNYLYYWAKQRKDV IQVIFEKLEFTGKHCLRLKLWRLLKLSFVASIQELTIKSIHWDIYNLALIASCLGQMKNL QKLILMNIRRPSSLTDLQEARIITEIFSEFSKLHKLQHLYVNDVYFLKERLDLMLRCFER PLETLTITYCKLSESDMRYLSQCPSIHQLKYLDMSYTTFKPSSHRFLGFLGSLLERLTAT LQTLKLECFHLTDSRIRDLLPGLSQCSQLTEVDFVENKFSMVSLKTLLQHTANLTQLTLE KYPAPDEVYDDSLCVIPDRFVQLCSELMNTLKGVRQPKQVYFESTIYSPNFEYLVYNLEG MVSSEPLRRRGRGMVIYISG >ENSMUSP00000125675.1 pep:known chromosome:GRCm38:4:144059126:144069324:1 gene:ENSMUSG00000073724.12 transcript:ENSMUST00000156324.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pramel4 description:preferentially expressed antigen in melanoma like 4 [Source:MGI Symbol;Acc:MGI:2156377] MSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFKDAFTSRQPGGTYKCLICEM PTRTSGMVGLDYFMKSALKMSLARTNQWEIIPSQGGNRP >ENSMUSP00000119857.1 pep:known chromosome:GRCm38:4:144059139:144066731:1 gene:ENSMUSG00000073724.12 transcript:ENSMUST00000133626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel4 description:preferentially expressed antigen in melanoma like 4 [Source:MGI Symbol;Acc:MGI:2156377] MSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFKDAFTSRQRKILSLMVATWP FPVLPVGALCGIDHLETLKAVLDGLDLLMSQKDRPSRWNLQVLDLRDAHQDFWDGWAGLL HEVCSQDVFGKNQPVGNHPIPGGKQTISIKMNLSLKYCR >ENSMUSP00000081207.2 pep:known chromosome:GRCm38:4:144065698:144069327:1 gene:ENSMUSG00000073724.12 transcript:ENSMUST00000084191.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel4 description:preferentially expressed antigen in melanoma like 4 [Source:MGI Symbol;Acc:MGI:2156377] MKYFLPLDVSLASAYLKATMSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFK DAFTSRQRKILSLMVATWPFPVLPVGALCGIDHLETLKAVLDGLDLLMSQKDRPRWNLQV LDLRDAHQDFWDGWAGLLHEVCSQDVFGKNQPVGNHPIPGGKQTISIKMNLSLKYCRRHS HCENLNYLYYWAKQRKDVIQVIFEKLEFTGKHSSIQELTIKSIHWDIYNLALIASCLGQM KNLQKLILMNIRRPSSLTDLQEARIITEIFSEFSKLHKLQHLYVNDVYFLKERLDLMLRC FERPLETLTITYCKLSESDMRYLSQCPSIHQLKYLDMSYTTFKPSSHRFLGFLGSLLERL TATLQTLKLECFHLTDSRIRDLLPGLSQCSQLTEVDFVENKFSMVSLKTLLQHTANLTQL TLEKYPAPDEVYDDSLCVIPDRFVQLCSELMNTLKGVRQPKQVYFESTIYSPNFEYLVYN LEGMVSSEPLRRRGRGMVIYISG >ENSMUSP00000092097.4 pep:known chromosome:GRCm38:4:144065732:144069318:1 gene:ENSMUSG00000073724.12 transcript:ENSMUST00000094520.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel4 description:preferentially expressed antigen in melanoma like 4 [Source:MGI Symbol;Acc:MGI:2156377] MSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFKDAFTSRQRKILSLMVATWP FPVLPVGALCGIDHLETLKAVLDGLDLLMSQKDRPSRWNLQVLDLRDAHQDFWDGWAGLL HEVCSQDVFGKNQPVGNHPIPGGKQTISIKMNLSLKYCRRHSHCENLNYLYYWAKQRKDV IQVIFEKLEFTGKHCLRLKLWRLLKLSFVASIQELTIKSIHWDIYNLALIASCLGQMKNL QKLILMNIRRPSSLTDLQEARIITEIFSEFSKLHKLQHLYVNDVYFLKERLDLMLRCFER PLETLTITYCKLSESDMRYLSQCPSIHQLKYLDMSYTTFKPSSHRFLGFLGSLLERLTAT LQTLKLECFHLTDSRIRDLLPGLSQCSQLTEVDFVENKFSMVSLKTLLQHTANLTQLTLE KYPAPDEVYDDSLCVIPDRFVQLCSELMNTLKGVRQPKQVYFESTIYSPNFEYLVYNLEG MVSSEPLRRRGRGMVIYISG >ENSMUSP00000019987.6 pep:known chromosome:GRCm38:10:39612934:39655307:1 gene:ENSMUSG00000019842.6 transcript:ENSMUST00000019987.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3ip2 description:TRAF3 interacting protein 2 [Source:MGI Symbol;Acc:MGI:2143599] MNRSIPVEVDESEPFPSQLLKPIPEYSPEEELEPPAPNTRNMAPSSLSVLQCPPLKLANH QPVSQQVTCLRAKVLEEGEASFFRRHPELGKDISSCSSGASEPESELGALPPEHRFTLTE KRNRWLGSQLSAASPDTGHESDKSDPSLPNALADSFSGGQEMMPRPRPRPGPHRHRAAPD VPTIDTGYDSQPQDVLGIRQLERPLPLTSSCYLQDLPGPLRSRELPPQFELERYPMNAQL LPPHPSPQAPWNCQYYCPGGPYHHQVPHGHGYPPAAAYQQVLQPALPGQVLPGARARGPR PVQKVILNDSSPQDQEERPAQRDFSFPRLPRDQLYRPPSNGVEAPEESLDLPAELRPHGP QAPSLAAVPRPPSNPLARGTLRTSNLPEELRKVFITYSMDTAMEVVKFVNFLLVNGFQTA IDIFEDRIRGIDIIKWMERYLRDKTVMIIVAISPKYKQDVEGAESQLDEDEHGLHTKYIH RMMQIEFISQGSMNFRFIPVLFPNAKKEHVPTWLQNTHVYSWPKNKKNILLRLLREEEYV APPRGPLPTLQVVPL >ENSMUSP00000053110.4 pep:known chromosome:GRCm38:5:140724127:140753310:1 gene:ENSMUSG00000050022.16 transcript:ENSMUST00000060918.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amz1 description:archaelysin family metallopeptidase 1 [Source:MGI Symbol;Acc:MGI:2442258] MVQCKPPQEFSFGPRALKDALISCDLALKQMYTSAFSPSERLFLSEAYNPNRTLFSTLLI HSAYDWLLSRPEAPEDFETFHASLQLRKQSLARKHIYLQPIDLSEGLAGCPLLDHLRSCA EAFFLGLRVKCLPSVAAASINCCSRPSRDTDGLQLHTDGILSFLKNNKPGDALCVLGLTL ADLYPHDAWTFTFGRFLPGHEVGVCSFARFSGEFLQAGSSVPDSALLEAAAAGGPETLPR ERGRTLCFSALGMVQCCKVTCHELCHLLGLGSCRWLRCLLQGALSLDEVLRRPLDLCPIC LRKLHHLLGFRLLERYKRLHAWTRVMVEIWSGQEAGEPSVSEDTLPFSADSGMGCESDTE PVTSPSEPVTPDGWSHPFPDGPEPVSEDGLGSLMASEVSLTLGGPVDAMEEYGQWLTACI QTLEREGAEEELLQVDAAVDALSRWEMFTGQLPVTKQHVPCGKDNVGLRRVLGDKFSSLR RRLSSRRFAKASSSHCRWGAEN >ENSMUSP00000113911.2 pep:known chromosome:GRCm38:5:140735526:140754691:1 gene:ENSMUSG00000050022.16 transcript:ENSMUST00000120630.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amz1 description:archaelysin family metallopeptidase 1 [Source:MGI Symbol;Acc:MGI:2442258] MVQCKPPQEFSFGPRALKDALISCDLALKQMYTSAFSPSERLFLSEAYNPNRTLFSTLLI HSAYDWLLSRPEAPEDFETFHASLQLRKQSLARKHIYLQPIDLSEGLAGCPLLDHLRSCA EAFFLGLRVKCLPSVAAASINCCSRPSRDTDGLQLHTDGILSFLKNNKPGDALCVLGLTL ADLYPHDAWTFTFGRFLPGHEVGVCSFARFSGEFLQAGSSVPDSALLEAAAAGGPETLPR ERGRTLCFSALGMVQCCKVTCHELCHLLGLGSCRWLRCLLQGALSLDEVLRRPLDLCPIC LRKLHHLLGFRLLERYKRLHAWTRVMVEIWSGQEAGEPSVSEDTLPFSADSGMGCESDTE PVTSPSEPVTPDGWSHPFPDGPEPVSEDGLGSLMASEVSLTLGGPVDAMEEYGQWLTACI QTLEREGAEEELLQVDAAVDALSRWEMFTGQLPVTKQHVPCGKDNVGLRRVLGDKFSSLR RRLSSRRFAKASSSHCRWGAEN >ENSMUSP00000135504.1 pep:known chromosome:GRCm38:5:140749283:140761439:1 gene:ENSMUSG00000050022.16 transcript:ENSMUST00000176035.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Amz1 description:archaelysin family metallopeptidase 1 [Source:MGI Symbol;Acc:MGI:2442258] XRKLHHLLGFRLLERYKRLHAWTRVMVEIWSGQEAGEPSVSEDTLPFSADSGMGCESDTE PVTSPSEPVTPDGWSHPFPDGPEPVSEDGLGSLMASEVSLTLGGPVDAMEEYGQWLTACI QTLEREGAEEELLQVDAAVDALSRWEMFTGQLPVTKQHVPCGKDNVGLRRVLGDKFSSLR RRLSSRRFAKASSSHCRWGAEN >ENSMUSP00000095655.2 pep:known chromosome:GRCm38:4:60578260:60582199:-1 gene:ENSMUSG00000078680.3 transcript:ENSMUST00000098047.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup10 description:major urinary protein 10 [Source:MGI Symbol;Acc:MGI:1924164] MKMMLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLEKSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000099666.3 pep:known chromosome:GRCm38:11:68968131:68972516:1 gene:ENSMUSG00000018740.14 transcript:ENSMUST00000102606.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a35 description:solute carrier family 25, member 35 [Source:MGI Symbol;Acc:MGI:1919248] MDFLMSGVAACGACVFTNPLEVVKTRMQLQGELQAPGTYQRHYRNVFHAFFTIGKVDGLA ALQKGLGPALLYQFLMNGIRLGTYGLAESRGYLHTNEGTHSPVRSAAAGALAGVMGAYLG SPIYMVKTHLQAQAASEIAVGHQYKHQGMFQALTEIGQKHGLVGLWRGAVGGLPRVVIGS STQLCTFSSIKDLLSQWEIFPPQSWKVALAAAMVSGVAIVVAMTPFDVASTRLYNQPTDT RGKGLMYRGILDALLQTARTEGFFGMYKGIGASYFRLGPHTILSLFFWDQLRSFYNTYAK >ENSMUSP00000018884.5 pep:known chromosome:GRCm38:11:68968148:68974365:1 gene:ENSMUSG00000018740.14 transcript:ENSMUST00000018884.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a35 description:solute carrier family 25, member 35 [Source:MGI Symbol;Acc:MGI:1919248] MDFLMSGVAACGACVFTNPLEVVKTRMQLQGELQAPGTYQRHYRNVFHAFFTIGKVDGLA ALQKGLGPALLYQFLMNGIRLGTYGLAESRGYLHTNEGTHSPVRSAAAGALAGVMGAYLG SPIYMVKTHLQAQAASEIAVGHQYKHQALTEIGQKHGLVGLWRGAVGGLPRVVIGSSTQL CTFSSIKDLLSQWEIFPPQSWKVALAAAMVSGVAIVVAMTPFDVASTRLYNQPTDTRGKG LMYRGILDALLQTARTEGFFGMYKGIGASYFRLGPHTILSLFFWDQLRSFYNTYAK >ENSMUSP00000143798.1 pep:known chromosome:GRCm38:3:107101146:107107737:1 gene:ENSMUSG00000040724.5 transcript:ENSMUST00000197470.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna2 description:potassium voltage-gated channel, shaker-related subfamily, member 2 [Source:MGI Symbol;Acc:MGI:96659] MTVATGDPVDEAAALPGHPQDTYDPEADHECCERVVINISGLRFETQLKTLAQFPETLLG DPKKRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDIFSEEIRFYELGE EAMEMFREDEGYIKEEERPLPENEFQRQVWLLFEYPESSGPARIIAIVSVMVILISIVSF CLETLPIFRDENEDMHGGGVTFHTYSNSTIGYQQSTSFTDPFFIVETLCIIWFSFEFLVR FFACPSKAGFFTNIMNIIDIVAIIPYFITLGTELAEKPEDAQQGQQAMSLAILRVIRLVR VFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEADERDSQFPS IPDAFWWAVVSMTTVGYGDMVPTTIGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRE TEGEEQAQYLQVTSCPKIPSSPDLKKSRSASTISKSDYMEIQEGVNNSNEDFREENLKTA NCTLANTNYVNITKMLTDV >ENSMUSP00000041702.1 pep:known chromosome:GRCm38:3:107101567:107115005:1 gene:ENSMUSG00000040724.5 transcript:ENSMUST00000038695.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna2 description:potassium voltage-gated channel, shaker-related subfamily, member 2 [Source:MGI Symbol;Acc:MGI:96659] MTVATGDPVDEAAALPGHPQDTYDPEADHECCERVVINISGLRFETQLKTLAQFPETLLG DPKKRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDIFSEEIRFYELGE EAMEMFREDEGYIKEEERPLPENEFQRQVWLLFEYPESSGPARIIAIVSVMVILISIVSF CLETLPIFRDENEDMHGGGVTFHTYSNSTIGYQQSTSFTDPFFIVETLCIIWFSFEFLVR FFACPSKAGFFTNIMNIIDIVAIIPYFITLGTELAEKPEDAQQGQQAMSLAILRVIRLVR VFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEADERDSQFPS IPDAFWWAVVSMTTVGYGDMVPTTIGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRE TEGEEQAQYLQVTSCPKIPSSPDLKKSRSASTISKSDYMEIQEGVNNSNEDFREENLKTA NCTLANTNYVNITKMLTDV >ENSMUSP00000142873.1 pep:known chromosome:GRCm38:3:107102682:107105971:1 gene:ENSMUSG00000040724.5 transcript:ENSMUST00000196403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna2 description:potassium voltage-gated channel, shaker-related subfamily, member 2 [Source:MGI Symbol;Acc:MGI:96659] MTVATGDPVDEAAALPGHPQDTYDPEADHECCERVVINISGLRFETQLKTLAQFPETLLG DPKKRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDIFSEEIRFYELGE EAMEMFREDEGYIKEEERPLPENEFQRQVWLLFEYPESSGPARIIAIVSVMVILISIVSF CLETLPIFRDENEDMHGGGVTFHTYSNSTIGYQQSTSFTDPFFIVETLCIIWFSFEFLVR FFACPSKAGFFTNIMNIIDIVAIIPYFITLGTELAEKPEDAQQGQQAMSLAILRVIRLVR VFRIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEADERDSQFPS IPDAFWWAVVSMTTVGYGDMVPTTIGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRE TEGEEQAQYLQVTSCPKIPSSPDLKKSRSASTISKSDYMEIQEGVNNSNEDFREENLKTA NCTLANTNYVNITKMLTDV >ENSMUSP00000003100.8 pep:known chromosome:GRCm38:7:27119909:27133660:1 gene:ENSMUSG00000052974.8 transcript:ENSMUST00000003100.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2f2 description:cytochrome P450, family 2, subfamily f, polypeptide 2 [Source:MGI Symbol;Acc:MGI:88608] MDGVSTAILLLLLAVISLSLTFSSRGKGQLPPGPKPLPILGNLLQLRSQDLLTSLTKLSK EYGSVFTVYLGSRPVIVLSGYQTVKEALVDKGEEFSGRGAYPVFFNFTRGNGIAFSDGER WKILRRFSVQILRNFGMGKRSIEERILEEGSFLLEVLRKMEGKPFDPVFILSRSVSNIIC SVVFGSRFDYDDERLLTIIHFINDNFKIMSSPWGEMYNIFPSVLDWIPGPHKRLFRNFGG MKDLIARSVREHQDSLDPNSPRDFIDCFLTKMAQEKQDPLSHFNMDTLLMTTHNLLFGGT ETVGTTLRHAFLILMKYPKVQARVQEEIDRVVGRSRMPTLEDRTSMPYTDAVIHEVQRFA DVIPMNLPHRVTRDTPFRGFLIPKGTDVITLLNTVHYDSDQFKTPQEFNPEHFLDDNHSF KKSPAFMPFSAGRRLCLGEPLARMELFIYFTSILQNFTLQPLVDPEDIDLTPLSSGLGNL PRPFQLCMHIR >ENSMUSP00000146037.1 pep:known chromosome:GRCm38:7:27121892:27131236:1 gene:ENSMUSG00000052974.8 transcript:ENSMUST00000206552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2f2 description:cytochrome P450, family 2, subfamily f, polypeptide 2 [Source:MGI Symbol;Acc:MGI:88608] VLSGYQTVKEALVDKGEEFSGRGAYPVFFNFTRGNGIAFSDGERWKILRRFSVQILRNFG MGKRSIEERILEEGSFLLEVLRKMEGKPFDPVFILSRSVSNIICSVVFGSRFDYDDERLL TIIHFINDNFKIMSSPWGEEKQDPLSHFNMDTLLMTTHNLLFGGTETVGTTLRHAFLILM KYPKVQARVQEEIDRVVGRSRMPTLEDRT >ENSMUSP00000041395.3 pep:known chromosome:GRCm38:6:57506502:57535426:-1 gene:ENSMUSG00000037826.5 transcript:ENSMUST00000042766.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1k description:protein phosphatase 1K (PP2C domain containing) [Source:MGI Symbol;Acc:MGI:2442111] MLSAAFITLLRSGGNQVKKRVLLSSILLQDHRQATPACYFSTSEARCSRFDPDGSGQPAT WDNFGIWDNRIDEPILLPPSIKYGKPIPKISLENVGCASLIGKRKENEDRFGFAQLTEEV LYFAVYDGHGGPAAADFCHTHMEKCVMDLLPREKDLETVLTLAFLEIDKAFASYAHLSAD ASLLTSGTTATVALLRDGVELVVASVGDSRALLCRKGKPMKLTTDHTPERKDEKERIKKF GGFVAWNSLGQPHVNGRLAMTRSIGDLDLKASGVIAEPETTRIKLYHADDSFLVLTTDGI NFMVNSQEICDFVNQCHDPKEAAHSVTEQAIQYGTEDNSTAVVVPFGAWGKYKNSEITFS FSRSFASSGRWA >ENSMUSP00000145312.1 pep:known chromosome:GRCm38:6:57513597:57515607:-1 gene:ENSMUSG00000037826.5 transcript:ENSMUST00000204607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1k description:protein phosphatase 1K (PP2C domain containing) [Source:MGI Symbol;Acc:MGI:2442111] XDEKERIKKFGGFVAWNSLGQPHVNGRLAMTRSIGDLDLKASGVIAEPETTRIKLYHADD SFLVLTTDGINFMVNSQEICDFVNQCHDPKEAAHSVTEQVT >ENSMUSP00000038485.4 pep:known chromosome:GRCm38:11:68972484:68973843:-1 gene:ENSMUSG00000032892.14 transcript:ENSMUST00000038644.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rangrf description:RAN guanine nucleotide release factor [Source:MGI Symbol;Acc:MGI:1889073] MEPNRNCPLFGGAFSAILPTGAIDVSDLRPVPDNQEVFCHPVTDQSLIIELLELQAHVQG EAAARYHFEDVGRVQGARAVHVLSVQPLCLENLSLRGCCQDAWSLSGKQQVAKENQQVAK DVTLHQALLRLPQYQTDLLLTFNQPPCHSRSLGPENLSCPPWSLSNFEQLVTSLTLHDPN LFGPQ >ENSMUSP00000118976.1 pep:known chromosome:GRCm38:5:105876565:105914780:1 gene:ENSMUSG00000029290.12 transcript:ENSMUST00000150440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp326 description:zinc finger protein 326 [Source:MGI Symbol;Acc:MGI:1927246] MDFEDDYVHSTCRGAYQDFNGMDRDYGPGSYGGLDRDYGHGSYGGQRSMDSYLNQSYGMD NHSGGGGGSSFSSPHMKPAPVGSRGRGTPAYPESTFGSRSYDAFGGPSTGRGRGRGHMGD FGSFHRPGIIVDYQNKPANVTIATARGIKRKMMQIFIKPGGAFIKKPKLAKPMDKMNLSK SPTKTDPKNEEEEKRRIEARREKQRRRREKNSEKYGDGYRMAFTCSFCKFRTFEEKDIEL HLESSSHQETLDHIQKQTKFDKVVMEFLHECMVNKFKKASIRKQQTLNHPEAYKIIEKDI MEGVTADDHMMKVETVHCSACSVYIPALHSSVQLHLKSPDHSKGKQAYKEQIKRESVLTA TSILNNPIVKARYERFVKGENPFEIQDHPQDQQIEGDEEDEEKIGEPIEEEEEEE >ENSMUSP00000031227.4 pep:known chromosome:GRCm38:5:105876568:105915818:1 gene:ENSMUSG00000029290.12 transcript:ENSMUST00000031227.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp326 description:zinc finger protein 326 [Source:MGI Symbol;Acc:MGI:1927246] MDFEDDYVHSTCRGAYQDFNGMDRDYGPGSYGGLDRDYGHGSYGGQRSMDSYLNQSYGMD NHSGGGGGSRFGPYESYDSRSSLGGRDLYRSGYGFNEPEQTRFGGSYGGRFESSYRNSLD SFGGRNQGGSSWEAPYSRSKLRPGFMEDRGRENYSSYSSFSSPHMKPAPVGSRGRGTPAY PESTFGSRSYDAFGGPSTGRGRGRGHMGDFGSFHRPGIIVDYQNKPANVTIATARGIKRK MMQIFIKPGGAFIKKPKLAKPMDKMNLSKSPTKTDPKNEEEEKRRIEARREKQRRRREKN SEKYGDGYRMAFTCSFCKFRTFEEKDIELHLESSSHQETLDHIQKQTKFDKVVMEFLHEC MVNKFKKASIRKQQTLNHPEAYKIIEKDIMEGVTADDHMMKVETVHCSACSVYIPALHSS VQLHLKSPDHSKGKQAYKEQIKRESVLTATSILNNPIVKARYERFVKGENPFEIQDHPQD QQIEGDEEDEEKIGEPIEEEEEEEEEEEEEGEEAGSVEEEGDVEGEEGTAEAAAAGEADA VGEAEGAGEAEEAEEEEEEEGTQEFAAQACATEQCEHRQM >ENSMUSP00000122499.1 pep:known chromosome:GRCm38:5:105876576:105915177:1 gene:ENSMUSG00000029290.12 transcript:ENSMUST00000138615.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp326 description:zinc finger protein 326 [Source:MGI Symbol;Acc:MGI:1927246] MDFEDDYVHSTCRGAYQDFNGMDRDYGPGSYGGLAFKDIYLKILLLSASKAYG >ENSMUSP00000057707.6 pep:known chromosome:GRCm38:10:39369764:39565381:1 gene:ENSMUSG00000019843.14 transcript:ENSMUST00000063091.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyn description:Fyn proto-oncogene [Source:MGI Symbol;Acc:MGI:95602] MGCVQCKDKEAAKLTEERDGSLNQSSGYRYGTDPTPQHYPSFGVTSIPNYNNFHAAGGQG LTVFGGVNSSSHTGTLRTRGGTGVTLFVALYDYEARTEDDLSFHKGEKFQILNSSEGDWW EARSLTTGETGYIPSNYVAPVDSIQAEEWYFGKLGRKDAERQLLSFGNPRGTFLIRESET TKGAYSLSIRDWDDMKGDHVKHYKIRKLDNGGYYITTRAQFETLQQLVQHYSEKADGLCF NLTVVSSSCTPQTSGLAKDAWEVARDSLFLEKKLGQGCFAEVWLGTWNGNTKVAIKTLKP GTMSPESFLEEAQIMKKLKHDKLVQLYAVVSEEPIYIVTEYMSKGSLLDFLKDGEGRALK LPNLVDMAAQVAAGMAYIERMNYIHRDLRSANILVGNGLICKIADFGLARLIEDNEYTAR QGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELVTKGRVPYPGMNNREVLEQVERGY RMPCPQDCPISLHELMIHCWKKDPEERPTFEYLQGFLEDYFTATEPQYQPGENL >ENSMUSP00000097547.3 pep:known chromosome:GRCm38:10:39369798:39565372:1 gene:ENSMUSG00000019843.14 transcript:ENSMUST00000099967.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyn description:Fyn proto-oncogene [Source:MGI Symbol;Acc:MGI:95602] MGCVQCKDKEAAKLTEERDGSLNQSSGYRYGTDPTPQHYPSFGVTSIPNYNNFHAAGGQG LTVFGGVNSSSHTGTLRTRGGTGVTLFVALYDYEARTEDDLSFHKGEKFQILNSSEGDWW EARSLTTGETGYIPSNYVAPVDSIQAEEWYFGKLGRKDAERQLLSFGNPRGTFLIRESET TKGAYSLSIRDWDDMKGDHVKHYKIRKLDNGGYYITTRAQFETLQQLVQHYSERAAGLCC RLVVPCHKGMPRLTDLSVKTKDVWEIPRESLQLIKRLGNGQFGEVWMGTWNGNTKVAIKT LKPGTMSPESFLEEAQIMKKLKHDKLVQLYAVVSEEPIYIVTEYMSKGSLLDFLKDGEGR ALKLPNLVDMAAQVAAGMAYIERMNYIHRDLRSANILVGNGLICKIADFGLARLIEDNEY TARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELVTKGRVPYPGMNNREVLEQVE RGYRMPCPQDCPISLHELMIHCWKKDPEERPTFEYLQGFLEDYFTATEPQYQPGENL >ENSMUSP00000115233.1 pep:known chromosome:GRCm38:10:39369803:39564423:1 gene:ENSMUSG00000019843.14 transcript:ENSMUST00000126486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyn description:Fyn proto-oncogene [Source:MGI Symbol;Acc:MGI:95602] MGCVQCKDKEAAKLTEERDGSLNQSSGYRYGTDPTPQHYPSFGVTSIPNYNNFHAAGGQG LTVFGGVNSSSHTGTLRTRGGTGVTLFVALYDYEARTEDDLSFHKGEKFQILNSSEGDWW EARSLTTGETGYIPSNYVAPVDSIQAEEWYFGKLGRKDAERQLLSFGNPRGTFLIRESET TKGAYSLSIRDWDDMKGDHVKHYKIRKLDNGGYYITTRAQFETLQQLVQHYSEKADGLCF NLTVVSSSCTPQTSGLAKDAWEVARDSLFLEKKLGQGCFAEVWLGTWNGNTKVAIKTLKP GTMSPESFLEEAQIMKKLKHDKLVQLYAVVSEEPIYIVTEYMSKGSLLDFLKDGEGRALK LPNLVDMAAQVAAGMAYIERMNYIHRDLRSANILVGNGLICKIADFGLARLIEDNEYTAR QGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELVTKGRVPYPGMNNREVLEQVERGY RMPCPQDCPISLHELMIHCWKKDPEERPTFEYLQGFLEDYFTATEPQYQPGENL >ENSMUSP00000117111.1 pep:known chromosome:GRCm38:10:39454805:39564355:1 gene:ENSMUSG00000019843.14 transcript:ENSMUST00000135242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyn description:Fyn proto-oncogene [Source:MGI Symbol;Acc:MGI:95602] MGCVQCKDKEAAKLTEERDGSLNQSSGYRYGTDPTPQHYPSFGVTSIPNYNNFHAAGGQG LTVFGGVNSSSHTGTLRTRGGTGVTLFVALYDYEARTEDDLSFHKGEKFQILNSSEGDWW EARSLTTGETGYIPSNYVAPVDSIQAEEWYFGKLGRKDAERQLLSFGNPRGTFLIRESET TKGAYSLSIRDWDDMKGDHVKHYKIRKLDNGGYYITTRAQFETLQQLVQHYSEKADGLCF NLTVVSSSCTPQTSGLAKDAWEVARDSLFLEKKLGQGCFAEVWLGTWNGNTKVAIKTLKP GTMSPESFLEEAQIMKKLKHDKLVQLYAVVSEEPIYIVTEYMSKGSLLDFLKDGEGRALK LPNLVDMAAQVAAGMAYIERMNYIHRDLRSANILVGNGLICKIADFGLARLIEDNEYTAR QGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELVTKGRVPYPGMNNREVLEQVERGY RMPCPQDCPISLHELMIHCWKKDPEERPTFEYLQGFLEDYFTATEPQYQPGENL >ENSMUSP00000114188.1 pep:known chromosome:GRCm38:10:39454915:39564355:1 gene:ENSMUSG00000019843.14 transcript:ENSMUST00000146287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyn description:Fyn proto-oncogene [Source:MGI Symbol;Acc:MGI:95602] MGCVQCKDKEAAKLTEERDGSLNQSSGYRYGTDPTPQHYPSFGVTSIPNYNNFHAAGGQG LTVFGGVNSSSHTGTLRTRGGTGVTLFVALYDYEARTEDDLSFHKGEKFQILNSSEGDWW EARSLTTGETGYIPSNYVAPVDSIQAEEWYFGKLGRKDAERQLLSFGNPRGTFLIRESET TKGAYSLSIRDWDDMKGDHVKHYKIRKLDNGGYYITTRAQFETLQQLVQHYSEKADGLCF NLTVVSSSCTPQTSGLAKDAWEVARDSLFLEKKLGQGCFAEVWLGTWNGNTKVAIKTLKP GTMSPESFLEEAQIMKKLKHDKLVQLYAVVSEEPIYIVTEYMSKGSLLDFLKDGEGRALK LPNLVDMAAQVAAGMAYIERMNYIHRDLRSANILVGNGLICKIADFGLARLIEDNEYTAR QGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELVTKGRVPYPGMNNREVLEQVERGY RMPCPQDCPISLHELMIHCWKKDPEERPTFEYLQGFLEDYFTATEPQYQPGENL >ENSMUSP00000123445.1 pep:known chromosome:GRCm38:10:39368855:39515668:1 gene:ENSMUSG00000019843.14 transcript:ENSMUST00000148152.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyn description:Fyn proto-oncogene [Source:MGI Symbol;Acc:MGI:95602] MGCVQCKDKEAAKLTEERDGSLNQSSGYRYGTDPTPQHYPSFGVTSIPNYNNFHAAGGQG LTVFGGVNSSSHTGTLRTRGGTGVTLFVALYDYEARTEDDLSFHKGEKFQILNS >ENSMUSP00000117837.1 pep:known chromosome:GRCm38:10:39420009:39511859:1 gene:ENSMUSG00000019843.14 transcript:ENSMUST00000157009.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyn description:Fyn proto-oncogene [Source:MGI Symbol;Acc:MGI:95602] MGCVQCKDKEAAKLTEERDGSLNQSSGYRYGTDPTP >ENSMUSP00000118131.1 pep:known chromosome:GRCm38:10:39511739:39564422:1 gene:ENSMUSG00000019843.14 transcript:ENSMUST00000136659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fyn description:Fyn proto-oncogene [Source:MGI Symbol;Acc:MGI:95602] MGCVQCKDKEAAKLTEERDGSLNQSSGYRYGTDPTPQHYPSFGVTSIPNYNNFHAAGGQG LTVFGGVNSSSHTGTLRTRGGTGVTLFVALYDYEARTEDDLSFHKGEKFQILNSSEGDWW EARSLTTGETGYIPSNYVAPVDSIQAEEWYFGKLGRKDAERQLLSFGNPRGTFLIRESET TKGAYSLSIRDWDDMKGDHVKHYKIRKLDNGGYYITTRAQFETLQQLVQHYSGTWNGNTK VAIKTLKPGTMSPESFLEEAQIMKKLKHDKLVQLYAVVSEEPIYIVTEYMSKGSLLDFLK DGEGRALKLPNLVDMAAQVAAGMAYIERMNYIHRDLRSANILVGNGLICKIADFGLARLI EDNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELVTKGRVPYPGMNNREV LEQVERGYRMPCPQDCPISLHELMIHCWKKDPEERPTFEYLQGFLEDYFTATEPQYQPGE NL >ENSMUSP00000040823.4 pep:known chromosome:GRCm38:10:106965082:107123668:-1 gene:ENSMUSG00000035948.12 transcript:ENSMUST00000044668.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acss3 description:acyl-CoA synthetase short-chain family member 3 [Source:MGI Symbol;Acc:MGI:2685720] MKPSWLQCRKVTGAGTLGAPLPGSPSVRGAAVTRRALVAGFGGRGCRALTTGSGGEYKTH FAASVADPERFWGKAAEQISWYKPWTKTLESRYPPSTSWFVEGMLNICYNAIDRHIENGQ GDKIAIIYDSPVTDTKATISYKEVLEQVSKLAGVLVKQGVKKGDTVVIYMPMIPQAIYTM LACARIGAIHSLIFGGFASKELSTRIDHAKPKVVVTASFGIEPGRKVEYIPLLEEALRIG QHRPDRVLIYSRPNMEKVPLMSGRDLDWEEEMAKAQSHDCVPVLSEHPLYILYTSGTTGL PKGVVRPTGGYAVMLNWTMSSIYGLKPGEVWWAASDLGWVVGHSYICYGPLLHGNTTVLY EGKPVGTPDAGAYFRVLAEHGVAALFTAPTAIRAIRQQDPGAALGKQYSLTRFKTLFVAG ERCDVETLEWSKKVFRVPVLDHWWQTETGSPITASCIGLGNSKTPPPGQAGKCVPGYNGR YLLHHSAESLTPPAMGK >ENSMUSP00000128209.1 pep:known chromosome:GRCm38:10:106936164:107123668:-1 gene:ENSMUSG00000035948.12 transcript:ENSMUST00000165067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acss3 description:acyl-CoA synthetase short-chain family member 3 [Source:MGI Symbol;Acc:MGI:2685720] MKPSWLQCRKVTGAGTLGAPLPGSPSVRGAAVTRRALVAGFGGRGCRALTTGSGGEYKTH FAASVADPERFWGKAAEQISWYKPWTKTLESRYPPSTSWFVEGMLNICYNAIDRHIENGQ GDKIAIIYDSPVTDTKATISYKEVLEQVSKLAGVLVKQGVKKGDTVVIYMPMIPQAIYTM LACARIGAIHSLIFGGFASKELSTRIDHAKPKVVVTASFGIEPGRKVEYIPLLEEALRIG QHRPDRVLIYSRPNMEKVPLMSGRDLDWEEEMAKAQSHDCVPVLSEHPLYILYTSGTTGL PKGVVRPTGGYAVMLNWTMSSIYGLKPGEVWWAASDLGWVVGHSYICYGPLLHGNTTVLY EGKPVGTPDAGAYFRVLAEHGVAALFTAPTAIRAIRQQDPGAALGKQYSLTRFKTLFVAG ERCDVETLEWSKKVFRVPVLDHWWQTETGSPITASCIGLGNSKTPPPGQAGKCVPGYNVM ILDDNMQKLKARSLGNIVVKLPLPPGAFSGLWKNQEAFKHLYFEKFPGYYDTMDAGYMDE EGYLYVMSRVDDVINVAGHRISAGAIEESVLSHGTVADCAVVGKEDPLKGHVPLALCVLK KDVNASEEQVLEEIVKHVRQSIGPVAAFRNAVFVKQLPKTRSGKIPRSTLSALVNGKPYK VTPTIEDPSIFGHIEEVLKQAV >ENSMUSP00000017836.7 pep:known chromosome:GRCm38:11:80300912:80355955:1 gene:ENSMUSG00000017692.8 transcript:ENSMUST00000017836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdl3 description:rhomboid, veinlet-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2179276] MGEHPSPGPAVAACAEAERIEELEPEAEERLPAAPEDHWKVLFEKFDPGSTGYISTGKFR SLLESHSSKLDPHKKEVLLALADSHADGQICYQDFVNLMSNKRSNSFRQAILQGNRRLSS KALLEEKGLSLSQRLIRHVAYETLPREIDRKWYYDSYTCCPPPWFMITITLLEVALFLYN GVLLDQFVLQVTHPRYLKNSLVYHPQLRAQAWRYVTYIFMHAGVEQLGLNVALQLLVGVP LEMVHGATRIGLVYVAGVVAGSLAVSVADMTAPVVGSSGGVYALVSAHLANIVMNWSGMK CQFKLLRMAVALICMSMEFGRAVWLRFHPSAYPPCPHPSFVAHLGGVAVGITLGVVVLRN YEQRLQDQSLWWIFVTMYTIFVLFAVFWNIFAYTLLDLKLPPAP >ENSMUSP00000070682.5 pep:known chromosome:GRCm38:17:35241746:35253707:1 gene:ENSMUSG00000019432.15 transcript:ENSMUST00000068056.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx39b description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 39B [Source:MGI Symbol;Acc:MGI:99240] MAENDVDNELLDYEDDEVETAAGADGTEAPAKKDVKGSYVSIHSSGFRDFLLKPELLRAI VDCGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQLEPVTGQVSVLVMC HTRELAFQISKEYERFSKYMPNVKVAVFFGGLSIKKDEEVLKKNCPHIVVGTPGRILALA RNKSLNLKHIKHFILDECDKMLEQLDMRRDVQEIFRMTPHEKQVMMFSATLSKEIRPVCR KFMQDPMEIFVDDETKLTLHGLQQYYVKLKDNEKNRKLFDLLDVLEFNQVVIFVKSVQRC IALAQLLVEQNFPAIAIHRGMPQEERLSRYQQFKDFQRRILVATNLFGRGMDIERVNIAF NYDMPEDSDTYLHRVARAGRFGTKGLAITFVSDENDAKILNDVQDRFEVNISELPDEIDI SSYIEQTR >ENSMUSP00000133705.1 pep:known chromosome:GRCm38:17:35241778:35249025:1 gene:ENSMUSG00000019432.15 transcript:ENSMUST00000174757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx39b description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 39B [Source:MGI Symbol;Acc:MGI:99240] MDVLCQAKSGMGKTAVFVLATLQQLEPVTGQVSVLVMCHTRELAFQISKEYERFSKYMPN VKVAVFFGGLSIKKDEEVLKKNCPHIVVGTPGRILALARNKSLNLKHIKHFILDECDKML EQLDMRRDVQEIFRMTPHEKQVMMFSAT >ENSMUSP00000133428.1 pep:known chromosome:GRCm38:17:35241870:35253680:1 gene:ENSMUSG00000019432.15 transcript:ENSMUST00000173731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx39b description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 39B [Source:MGI Symbol;Acc:MGI:99240] MAENDVDNELLDYEDDEVETAAGADGTEAPAKKDVKGSYVSIHSSGFRDFLLKPELLRAI VDCGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQLEPVTGQVSVLVMC HTRELAFQISKEYERFSKYMPNVKVAVFFGGLSIKKDEEVLKKNCPHIVVGTPGRILALA RNKSLNLKHIKHFILDECDKMLEQLDMRRDVQEIFRMTPHEKQVMMFSATLSKEIRPVCR KFMQDPMEIFVDDETKLTLHGLQQYYVKLKDNEKNRKLFDLLDVLEFNQVVIFVKSVQRC IALAQLLVEQNFPAIAIHRGMPQEERLSRYQQFKDFQRRILVATNLFGRGMDIERVNIAF NYDMPEDSDTYLHRVARAGRFGTKGLAITFVSDENDAKILNDVQDRFEVNISELPDEIDI SSYIEQTR >ENSMUSP00000134178.1 pep:known chromosome:GRCm38:17:35242667:35253676:1 gene:ENSMUSG00000019432.15 transcript:ENSMUST00000172549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx39b description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 39B [Source:MGI Symbol;Acc:MGI:99240] MAENDVDNELLDYEDDEVETAAGADGTEAPAKKDVKGSYVSIHSSGFRDFLLKPELLRAI VDCGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQLEPVTGQVSVLVMC HTRELAFQISKEYERFSKYMPNVKVAVFFGGLSIKKDEEVLKKNCPHIVVGTPGRILALA RNKSLNLKHIKHFILDECDKMLEQLDMRRDVQEIFRMTPHEKQVMMFSATLSKEIRPVCR KFMQDPMEIFVDDETKLTLHGLQQYYVKLKDNEKNRKLFDLLDVLEFNQVVIFVKSVQRC IALAQLLVEQNFPAIAIHRGMPQEERLSRYQQFKDFQRRILVATNLFGRGMDIERVNIAF NYDMPEDSDTYLHRVARAGRFGTKGLAITFVSDENDAKILNDVQDRFEVNISELPDEIDI SSYIEQTR >ENSMUSP00000000153.4 pep:known chromosome:GRCm38:5:140758408:140830431:-1 gene:ENSMUSG00000000149.10 transcript:ENSMUST00000000153.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gna12 description:guanine nucleotide binding protein, alpha 12 [Source:MGI Symbol;Acc:MGI:95767] MSGVVRTLSRCLLPAEAGARERRAGAARDAEREARRRSRDIDALLARERRAVRRLVKILL LGAGESGKSTFLKQMRIIHGREFDQKALLEFRDTIFDNILKGSRVLVDARDKLGIPWQHS ENEKHGMFLMAFENKAGLPVEPATFQLYVPALSALWRDSGIREAFSRRSEFQLGESVKYF LDNLDRIGQLNYFPSKQDILLARKATKGIVEHDFVIKKIPFKMVDVGGQRSQRQKWFQCF DGITSILFMVSSSEYDQVLMEDRRTNRLVESMNIFETIVNNKLFFNVSIILFLNKMDLLV EKVKSVSIKKHFPDFKGDPHRLEDVQRYLVQCFDRKRRNRSKPLFHHFTTAIDTENIRFV FHAVKDTILQENLKDIMLQ >ENSMUSP00000143414.1 pep:known chromosome:GRCm38:5:140760193:140830157:-1 gene:ENSMUSG00000000149.10 transcript:ENSMUST00000198447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gna12 description:guanine nucleotide binding protein, alpha 12 [Source:MGI Symbol;Acc:MGI:95767] XERRAVRRLVKILLLGAGESGKSTFLKQMRIIHGREFDQKALLEFRDTIFDNILKGSRVL VDARDKLGIPWQHSENEKHGMFLMAFENKAGLPVEPATFQLYVPALSALWRDSGIREAFS RRSEFQLNYFPSKQDILLARKATKGIVEHDFVIKKIPFKMVDVGGQRSQRQKWFQCFDGI TSILFMVSSSEYDQVLMEDRRTNRLVESMNIFETIVNNKLFFNVSIILFLNKMDLLVEKV KSVSIKKHFPDFKGDPHRLEDVQRYLVQCFDRKRRNRSKPLFHHFTTAIDTENIRFVFHA VKDTILQENLKDIMLQ >ENSMUSP00000121214.1 pep:known chromosome:GRCm38:10:80165985:80170316:1 gene:ENSMUSG00000045193.13 transcript:ENSMUST00000154726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cirbp description:cold inducible RNA binding protein [Source:MGI Symbol;Acc:MGI:893588] MASDEGKLFVGGLSFDTNEQALEQVFSKYGQISEVVVVKDRETQRSRGFGFVTFENIDDA KDAMMAMNGKSVDGRQIRVDQAGKSSDNRSRGYRGGSAGGRGFFRGGR >ENSMUSP00000101004.2 pep:known chromosome:GRCm38:10:80167778:80171655:1 gene:ENSMUSG00000045193.13 transcript:ENSMUST00000105365.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cirbp description:cold inducible RNA binding protein [Source:MGI Symbol;Acc:MGI:893588] MASDEGKLFVGGLSFDTNEQALEQVFSKYGQISEVVVVKDRETQRSRGFGFVTFENIDDA KDAMMAMNGKSVDGRQIRVDQAGKSSDNRSRGYRGGSAGGRGFFRGGRSRGRGFSRGGGD RGYGGGRFESRSGGYGGSRDYYASRSQGGSYGYRSSGGSYRDSYDSYATHNE >ENSMUSP00000052642.6 pep:known chromosome:GRCm38:10:80167840:80172786:1 gene:ENSMUSG00000045193.13 transcript:ENSMUST00000054666.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cirbp description:cold inducible RNA binding protein [Source:MGI Symbol;Acc:MGI:893588] MASDEGKLFVGGLSFDTNEQALEQVFSKYGQISEVVVVKDRETQRSRGFGFVTFENIDDA KDAMMAMNGKSVDGRQIRVDQAGKSSDNRSRGYRGGSAGGRGFFRGGRSRGRGFSRGGGD RGYGGGRFESRSGGYGGSRDYYASRSQGGSYGYRSSGGSYRDSYDSYG >ENSMUSP00000124022.2 pep:known chromosome:GRCm38:1:158711727:158958367:-1 gene:ENSMUSG00000073530.11 transcript:ENSMUST00000159861.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pappa2 description:pappalysin 2 [Source:MGI Symbol;Acc:MGI:3051647] MMCWKVLRISLVVLTGWALSTTNSEQGWTRKKFLAQKEHLNQVLLEGERCWLGYKVRRPR TAPQHHLFGVYPSRLENYPRSYPVGTQQQTLYAEWSQRSPERQAPSGIPRDLTENPAGAR RESQQPAAPWVGDGPIGQSQLLRNDDTYLGEGGSKEALGEPAIQGSSEIAVSTIATFAYQ KGPTAETQRKGQSKSRLPRQVQKRQAEDMTGDPQNTPQGFQLWPKEPLKHGDSDSPLEGT IQNGGGASLRRVETFNSQGGLPVLYFTGKRERLLLRPEVLAEIPREAFTVEAWVRPEGGQ SNPAIIAGVFDNCSHTVNDKGWALGIRSGKDKGWRDARFFFSLRTDRMKKATIVTGHSRY QPGMWTHVAATYDGQHTALYVDGTRVASSRDQFGPLNSPFMASCRSLILGGDSSEDGHYF RGYLGTLVIWSTALSQTHLQHSPLHPSAADELTTLILTATFDPLMEQWAPFRDDLYPRLE VLQDSESQPEILSPLQPPPCGQTACDNVELISQYNKHGPLRREKVIRYQVVNIYDDEGLH PIVSDHQIRRQHEALNQAFSRYNISWQLSVHRVHNSTLRHRVVLVNCEPSKIGNDHCDPE CEHPLTGFDGGDCRLQGRCYSWNRRDGLCHVECNNMLNDFDDGDCCDPEVTDVRKTCFDP DSPKRAYMSVKELKEALQLNSTHFLNVYFASSVREDLAGSATWPWDKEALSHLGGVVLNP TYYGMLGHTNIMIHEVGHVLGLYHVFKGVSERESCDDPCRETVPSMETGDLCADTAPTPK SKLCRDPEPANDTCGFTLFPGAPFNNYMSYTDDECTDNFTPNQVARMHCYLDLVYQQWSE SQKPTPIPIPPMVIGQTHKSLTIHWLPPISGVVYDRAPDSMCSACTEDGTFRQYVYKASS GRVCDSSGYWTPEEAVGPPDVDQPCEPSLQAWSPELHLNHMNMTVPCPAEGCSLELLFQY PVQADTLTLWVTYLSMNSSKAFFDIEILLEHKKSMHLGPLNTFCDIPLTIKLHMDEKVMG AKVYTFDERMEIDAALLTSRPNSSLCSGCKPMSYQVLREPPFSSGLPMVVTHPHRKFTDT EVIPGQMYQYQVQAEAVGELGEASPPLSHIHGGPYCGDGKVERSIGEMCDDGDLLNGDGC SRACELEEGFNCAGEPSLCYRYEGDGVCEDFEKESIITDCGLHTPEGYLDQWASQAYSYH EDKEKCPVSLVTGEPHSMICTSWHPDSSPYHSFPGWFPCVFSLKKDQDAGSEQAKDSLQK DNEIWLEVCFNRPGVAVAIYIFLASDGVTPGEHQQATVTLYLIDVSGSNHSLGTYGLSCQ QNPLVINVSHHVNVYPQHTSSMLLNFSSPLVGISAVALRTSSQTSSSAPSNCIPEQNYEG KSCAQRPCGEQGRCAPLLLDHTDMVNCTSSSPGHMECAITCQRGYVLQTSSGQYLRNMQK EILLTCSSGHWDKDVICKRLDCGVPDSSLVNYANFSCLEGTDFLKQCSISCVPPAKLQGL NPWLTCLEDGLWSLPEVYCKLECEAPPVIPNANLLLPHFLEGNHDVGTICKYECKPGYYV KETSGSQGKNKFLKIQCLDDGSWEQGSCVPVVCEPPPPVFEGMYECTDGFKLDSQCVLNC NQETEGIPILCTKEGLWTQEFKLCENLQGECPPPTSELNSVEYKCGQGYGIGAVCSPSCV IPPSDPVILPENVTTDTLEHWMEPVKVQSIVCTGRRQWHPDPSLVHCIQSCEPFQADGWC DTINNRAYCNYDGGDCCSSTLSSKKVIPFAADCDLDECTCRDPKAEENQ >ENSMUSP00000124316.2 pep:known chromosome:GRCm38:1:158765085:158814469:-1 gene:ENSMUSG00000073530.11 transcript:ENSMUST00000161589.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pappa2 description:pappalysin 2 [Source:MGI Symbol;Acc:MGI:3051647] XSSMLLNFSSPLVGISAVALRTSSQTSSSAPSNCIPEQNYEGKRNVLRNIIQINPCAQRP CGEQGRCAPLLLDHTDMVNCTSSSPGHMECAITCQRGYVLQTSSGQYLRNMQKEILLTCS SGHWDKDVICKRLDCGVPDSSLVNYANFSCLEGTDFLKQCSISCVPPAKLQGLNPWLTCL EDGLWSLPEVYCKLECEAPPVIPNANLLLPHFLEGNHDVGTICKYECKPGYYVKETSGSQ GKNKFL >ENSMUSP00000031817.8 pep:known chromosome:GRCm38:6:57581000:57664632:1 gene:ENSMUSG00000029798.11 transcript:ENSMUST00000031817.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc6 description:hect domain and RLD 6 [Source:MGI Symbol;Acc:MGI:1914388] MYFSWAAGSRKPRRLKAGTSGIELLQAASGEHHSLLLFSNHRVYSCGDNSWGQLGQRRDQ STERPEPIQALNDLHVDLVSCGKEHSVAVCHKGKVFAWGAGSEGQLGIGEFKEISFMPTK IKALAGIKIIQVSCGHYHSLALSEDGHVFSWGRNSEGQLGLGKNSRSQAIPQKVKSLEGI PLAQVAAGGTHSFALSLTGTSFGWGSNRSGQLALSGNKVKEQIYKPHSIGALKNLSVIYI SCGYEHTAVLTEEGQVFTFGGNSSGQLQPSPRSGQRGPQLIEGIGGRVSQIECASYHTIA YVYTTGQVVSLGRGPSHTSNPTHQEAPAENSDITCLLAAEDLVDIEVKDIFAGAHANFVT TRRVSHTRSTGVSMKILPEIIRINQSLVKKWRAANKRKDREGAKREISLIFSSSACLTAS FLKKRDAGENNLIDVDLKLARDVFKKLTTEKWISSLITTCLEEYLLRDLPYSSPHQEALL VFLLLPECSIMQDPKNWKTLAFEFAKAIHKMGPQSLAFLRTCWASLEVSSLNILVQMLKK AIISQIQYGVATEQYITNIKVLLEVIKEVHKANCQLPESAFIINELSGIFNFDAEAGRMF IRHNDLDCTESSDMVVFSDFLFVFDLPSKIKLMKCDSFVKLMSEVMAFPEKMSSPPYLIL KVRRSHLVEDTLRQLRQVEDFDLRKQLSVGFINEIRPEAGGVSSEFFHCIFEEMTDPKYE MFIYPEKGSSMWFPVNPKFEKSSYFLFGILCGLSLHNLKVINLPFPLALYKKLLNQKPSL EDLKELSLPLGRNLQEVLNCEAGDIEELHMYFSIYWDQKDVDLIPDGISVPVNETNKRDY VSKYVDYIFNISIKTIYEEFHRGFYKVCNWDIIRQFQPEELMTAIIGNATCDWKQFENNS KYKDGYDKSHPTILLFWKAFHDLTLDEKKKFLLFLTGCDRLHVKGLQNEGIVFRCSETFS EEDNPRSLTCHRMLDLPKYSSMRRMKEALQVAINNSTGFVSQE >ENSMUSP00000145505.1 pep:known chromosome:GRCm38:6:57581008:57584590:1 gene:ENSMUSG00000029798.11 transcript:ENSMUST00000203840.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Herc6 description:hect domain and RLD 6 [Source:MGI Symbol;Acc:MGI:1914388] MYFSWAAGSRKPRRLKAGTSGIELLQAASGEHHSLLLFSNHRVYSCGDNSWGQLGQRRDQ STERPGDFQAPEQNQFRH >ENSMUSP00000116206.1 pep:known chromosome:GRCm38:2:22896048:22906824:1 gene:ENSMUSG00000026784.14 transcript:ENSMUST00000141215.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdss1 description:prenyl (solanesyl) diphosphate synthase, subunit 1 [Source:MGI Symbol;Acc:MGI:1889278] MAKIPYFSLMKILMSASPTMHSISQFHQRTPAMCSCRQTQSGEKYSDPFKLGWRDLKGLY EDIRKELHISTRELK >ENSMUSP00000116089.1 pep:known chromosome:GRCm38:2:22895619:22901830:1 gene:ENSMUSG00000026784.14 transcript:ENSMUST00000135621.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdss1 description:prenyl (solanesyl) diphosphate synthase, subunit 1 [Source:MGI Symbol;Acc:MGI:1889278] MAMRWSCWRRGCSWRPTAVGSPRRERPGCVEPLGTRAASDTRAQLL >ENSMUSP00000114242.1 pep:known chromosome:GRCm38:2:22935559:22940242:1 gene:ENSMUSG00000026784.14 transcript:ENSMUST00000149229.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdss1 description:prenyl (solanesyl) diphosphate synthase, subunit 1 [Source:MGI Symbol;Acc:MGI:1889278] FPEMNAMIMRRFSLPGDVDRARQYVLQLLERTTIRNIVTECNHL >ENSMUSP00000055689.7 pep:known chromosome:GRCm38:2:22895522:22940266:1 gene:ENSMUSG00000026784.14 transcript:ENSMUST00000053729.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdss1 description:prenyl (solanesyl) diphosphate synthase, subunit 1 [Source:MGI Symbol;Acc:MGI:1889278] MAMRWSCWRRGCSWRPTAVGSPRRERPGCVEPLGTRAASDTRAQIPYFSLMKILMSASPT MHSISQFHQRTPAMCSCRQTQSGEKYSDPFKLGWRDLKGLYEDIRKELHISTRELKDMSE YYFDGKGKAFRPIIVVLMARACNIHHNNAREMQASQRSIALVAEMIHTATLVHDDVIDDA SSRRGKHTVNKIWGEKKAVLAGDLILSAASVALARIGNTAVVSMLAQVIEDLVRGEFLQL GSKENENERFAHYLEKTFKKTASLIANSCKAVSVLGCPDPVVHEIAYQYGKNVGIAFQLI DDVLDFTSCSDQMGKPTSADLKLGIATGPVLFACQQFPEMNAMIMRRFSLPGDVDRARQY VLQSDGVQQTTYLAQQYCHKAVREIRKLRPSTERDALIQLSESVLTRDK >ENSMUSP00000121873.1 pep:known chromosome:GRCm38:2:22895595:22916213:1 gene:ENSMUSG00000026784.14 transcript:ENSMUST00000152170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdss1 description:prenyl (solanesyl) diphosphate synthase, subunit 1 [Source:MGI Symbol;Acc:MGI:1889278] MAMRWSCWRRGCSWRPTAVGSPRRERPGCVEPLGTRAASDTRAQIPYFSLMKILMSASPT MHSISQFHQRTPAMCSCRQTQSGEKYSDPFKLGWRDLKGLYEDIRKELHISTRELKDMSE YYFDGKGKAFRPIIVVLMARACNIHHNNAREMQASQRSIALVAEMIHTATLVHDDVIDDA SSRRGKHTVNKIWGEKKAVLAGDLILSAASVALARIGNTAVVSMLAQVIEDLVRGEFLQL GSKENENERFAHYLEKTFKKTASLIANSCKAVCTFCLFSS >ENSMUSP00000068890.8 pep:known chromosome:GRCm38:3:57841895:57848079:-1 gene:ENSMUSG00000027805.16 transcript:ENSMUST00000066882.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfn2 description:profilin 2 [Source:MGI Symbol;Acc:MGI:97550] MAGWQSYVDNLMCDGCCQEAAIVGYCDAKYVWAATAGGVFQSITPVEIDMIVGKDREGFF TNGLTLGAKKCSVIRDSLYVDGDCTMDIRTKSQGGEPTYNVAVGRAGRVLVFVMGKEGVH GGGLNKKAYSMAKYLRDSGF >ENSMUSP00000112391.1 pep:known chromosome:GRCm38:3:57841905:57847145:-1 gene:ENSMUSG00000027805.16 transcript:ENSMUST00000119344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfn2 description:profilin 2 [Source:MGI Symbol;Acc:MGI:97550] MIVGKDREGFFTNGLTLGAKKCSVIRDSLYVDGDCTMDIRTKSQGGEPTYNVAVGRAGRV LVFVMGKEGVHGGGLNKKAYSMAKYLRDSGF >ENSMUSP00000113526.1 pep:known chromosome:GRCm38:3:57843108:57845878:-1 gene:ENSMUSG00000027805.16 transcript:ENSMUST00000122210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfn2 description:profilin 2 [Source:MGI Symbol;Acc:MGI:97550] MIVGKDREGFFTNGLTLGAKKCSVIRDSLYVDGDCTMDIRTKSQGGEPTYNVAVGRAGRV LVFVMGKEGVHGGGLNKKAYSMAKYLRDSGF >ENSMUSP00000112443.1 pep:known chromosome:GRCm38:3:57844661:57847549:-1 gene:ENSMUSG00000027805.16 transcript:ENSMUST00000120289.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfn2 description:profilin 2 [Source:MGI Symbol;Acc:MGI:97550] MAGWQSYVDNLMCDGCCQEAAIVGYCDAKYVWAATAGGVFQSITPVEIDMIVGKDREGFF TNGLTLGAKKCSVIRDSLYVDGDCTMDIRTKSQGGEPTYNVAVGRAGRGE >ENSMUSP00000078786.5 pep:known chromosome:GRCm38:7:44052290:44056712:1 gene:ENSMUSG00000063177.6 transcript:ENSMUST00000079859.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b27 description:kallikrein 1-related peptidase b27 [Source:MGI Symbol;Acc:MGI:891980] MRFLILFLALSLGGIDAAPPVQSRIIGGFKCKKNSQPWHVAVLRSNKYICGGVLLDPNWV LTAAHCYGNDTSQHNVWLGKNKLFQREPSAQHRWVSKSFPHPDYNMSLLNDHIPHPEDKS NDLMLLRLSKPADITDAVKPIDLPTEEPKLGSTCLASGWGSITPTKYQIPNDLQCVFIKL LPNENCAKAYVHKVTDVMLCVGETGGGKGTCKGDSGGPLICDGVLHGITSWGSIPCAKPN APGVFTKLIKFTSWIKDTMAKNP >ENSMUSP00000093080.3 pep:known chromosome:GRCm38:8:20916490:20922071:-1 gene:ENSMUSG00000071165.3 transcript:ENSMUST00000095430.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6040 description:predicted gene 6040 [Source:MGI Symbol;Acc:MGI:3646527] MRLHGFFLALFAVFQVLHAISSALNFERPCYLRGGICLKQGTPNCEPFRGPCRAFTVCCK IRS >ENSMUSP00000102153.1 pep:known chromosome:GRCm38:11:115334731:115346927:1 gene:ENSMUSG00000018862.11 transcript:ENSMUST00000106543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otop3 description:otopetrin 3 [Source:MGI Symbol;Acc:MGI:1916852] MASQTSAPAEPAPMPSPEAKTTEGASSYDQADMETKHAGSPCPPKQKSWLARHFSLLLRR DRQAQKAGQLFSGLLALNVVFLGGAFICSMIFNKVSVTLGDVWILLAALKVLSLLWLLYY TVGTTRKPHAVLYRDPHAGPIWVRGSLVLFGSCTVCLNIFRMGYDVSHIHCKSEVELIFP AIEIVFMIIQTWVLWRHCKDCVQVQTNFTRCGLMLTLATNLLMWVLAVTNDSMHREIEAE LDALMEKFSGNGTNTCMCLNTTVCEVFRKGYLMLYPFSTEYCLICCAVLFVMWKNVSRSL AAHTGAHPNRSPFRLHGTIFGPLLGLLALVAGVCVFVLFQIEASGPDIARQYFTLYYAFY VAVLPTMSLACLAGTAIHGLEERELDTLKNPTRSLDVVLLMGAALGQMGIAYFSIVAIVA TQPHELLNQLILAYSLLLILQHITQNLFIIEGLHRRPLWEPAVSGVMEKQDVELPRRGSL RELGQDLRRASRAYIHSFSHLNWKRRMLKEISLFLILCNITLWMMPAFGIHPEFENGLEK DFYGYRTWFTIVNFGLPLGVFYRMHSVGGLVEVYLGA >ENSMUSP00000019006.4 pep:known chromosome:GRCm38:11:115334734:115346926:1 gene:ENSMUSG00000018862.11 transcript:ENSMUST00000019006.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otop3 description:otopetrin 3 [Source:MGI Symbol;Acc:MGI:1916852] MASQTSAPAEPAPMPSPEAKTTEGASSYDQADMETKHAGSPCPPKQKSWLARHFSLLLRR DRQAQKAGQLFSGLLALNVVFLGGAFICSMIFNKVSVTLGDVWILLAALKVLSLLWLLYY TVGTTRKPHAVLYRDPHAGPIWVRGSLVLFGSCTVCLNIFRMGYDVSHIHCKSEVELIFP AIEIVFMIIQTWVLWRHCKDCVQVQTNFTRCGLMLTLATNLLMWVLAVTNDSMHREIEAE LDALMEKFSVLQCRTCLRCCLFLPYLLSGNGTNTCMCLNTTVCEVFRKGYLMLYPFSTEY CLICCAVLFVMWKNVSRSLAAHTGAHPNRSPFRLHGTIFGPLLGLLALVAGVCVFVLFQI EASGPDIARQYFTLYYAFYVAVLPTMSLACLAGTAIHGLEERELDTLKNPTRSLDVVLLM GAALGQMGIAYFSIVAIVATQPHELLNQLILAYSLLLILQHITQNLFIIEGLHRRPLWEP AVSGVMEKQDVELPRRGSLRELGQDLRRASRAYIHSFSHLNWKRRMLKEISLFLILCNIT LWMMPAFGIHPEFENGLEKDFYGYRTWFTIVNFGLPLGVFYRMHSVGGLVEVYLGA >ENSMUSP00000140403.1 pep:known chromosome:GRCm38:Y:66739787:66742123:-1 gene:ENSMUSG00000096666.2 transcript:ENSMUST00000188011.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20852 description:predicted gene, 20852 [Source:MGI Symbol;Acc:MGI:5434208] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVDFPQVREVHLAGTLVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000135934.1 pep:known chromosome:GRCm38:Y:66739927:66740610:-1 gene:ENSMUSG00000096666.2 transcript:ENSMUST00000178761.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20852 description:predicted gene, 20852 [Source:MGI Symbol;Acc:MGI:5434208] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVDFPQVREVHLAGTLVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000038901.3 pep:known chromosome:GRCm38:2:164163619:164171113:-1 gene:ENSMUSG00000040164.3 transcript:ENSMUST00000045196.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcns1 description:K+ voltage-gated channel, subfamily S, 1 [Source:MGI Symbol;Acc:MGI:1197019] MVSEFPGPGSRVPWRPRDEALRVNVGGVRRLLSARALARFPGTRLGRLQAAASEEQARRL CDDYDAAAHEFYFDRHPGFFLGLLHFYRTGHLHVLDELCVFAFGQEADYWGLGENALATC CRARYLERRVARPRAWDEDSDAPSSVDPCPDEISDVQRELARYGAARCGRLRRRLWLTME NPGYSLPSKLFSCVSIGVVLASIAAMCIHSLPEYQAREAAAAVAAVAAGRSAEEVRDDPV LRRLEYFCIAWFSFEVSSRLLLAPSTRNFFCHPLNLIDIVSVLPFYLTLLAGAALGDQRG ASGEELGDLGKVVQVFRLMRIFRVLKLARHSTGLRSLGATLKHSYREVGILLLYLAVGVS VFSGVAYTAEEENEGFHTIPACWWWGTVSMTTVGYGDVVPETVGGKLAASGCILGGILVV ALPITIIFNKFSHFYRRQKALEAAVRSSGQREFEDLLSSVDGVSDVSLETSRDTSQEGRS TDLETQAPREPAKSHSY >ENSMUSP00000141377.1 pep:known chromosome:GRCm38:3:84174104:84175132:1 gene:ENSMUSG00000104043.1 transcript:ENSMUST00000193882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6525 description:predicted pseudogene 6525 [Source:MGI Symbol;Acc:MGI:3648757] MVNVPKTRQTFCKKCGKHQSHKVTQYKKGKDSLYAQGKRRYDRKQSGYGGQTKPIFRKKA KTTKKIVLRLESVEPNCRSKRMLARKRCEHFELGGDKKRKGQVIQF >ENSMUSP00000129930.1 pep:known chromosome:GRCm38:3:96261682:96263311:-1 gene:ENSMUSG00000091405.2 transcript:ENSMUST00000171473.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist2h4 description:histone cluster 2, H4 [Source:MGI Symbol;Acc:MGI:2140113] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000045634.9 pep:known chromosome:GRCm38:6:87133853:87335764:-1 gene:ENSMUSG00000033420.12 transcript:ENSMUST00000042025.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Antxr1 description:anthrax toxin receptor 1 [Source:MGI Symbol;Acc:MGI:1916788] MDRAGRLGAGLRGLCVAALVLVCAGHGGRREDGGPACYGGFDLYFILDKSGSVLHHWNEI YYFVEQLAHRFISPQLRMSFIVFSTRGTTLMKLTEDREQIRQGLEELQKVLPGGDTYMHE GFERASEQIYYENSQGYRTASVIIALTDGELHEDLFFYSEREANRSRDLGAIVYCVGVKD FNETQLARIADSKDHVFPVNDGFQALQGIIHSILKKSCIEILAAEPSTICAGESFQVVVR GNGFRHARNVDRVLCSFKINDSVTLNEKPFAVEDTYLLCPAPILKEVGMKAALQVSMNDG LSFISSSVIITTTHCSDGSILAIALLVLFLLLALALLWWFWPLCCTVIIKEVPPPPVEES EEEDDDGLPKKKWPTVDASYYGGRGVGGIKRMEVRWGEKGSTEEGAKLEKAKNARVKMPE QEYEFPEPRNLNNNMRRPSSPRKWYSPIKGKLDALWVLLRKGYDRVSVMRPQPGDTGRCI NFTRVKNSQPAKYPLNNTYHPSSPPPAPIYTPPPPAPHCPPPAPSAPTPPIPSPPSTLPP PPQAPPPNRAPPPSRPPPRPSV >ENSMUSP00000144853.1 pep:known chromosome:GRCm38:6:87133856:87308388:-1 gene:ENSMUSG00000033420.12 transcript:ENSMUST00000203131.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Antxr1 description:anthrax toxin receptor 1 [Source:MGI Symbol;Acc:MGI:1916788] XMSFIVFSTRGTTLMKLTEDREQIRQGLEELQKVLPGGDTYMHEGFERASEQIYYENSQG YRTASVIIALTDGELHEDLFFYSEREANRSRDLGAIVYCVGVKDFNETQLARIADSKDHV FPVNDGFQALQGIIHSDA >ENSMUSP00000145105.1 pep:known chromosome:GRCm38:6:87157760:87335724:-1 gene:ENSMUSG00000033420.12 transcript:ENSMUST00000204805.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Antxr1 description:anthrax toxin receptor 1 [Source:MGI Symbol;Acc:MGI:1916788] MDRAGRLGAGLRGLCVAALVLVCAGHGGRREDGGPACYGGFDLYFILDKSGSVLHHWNEI YYFVEQLAHRFISPQLRMSFIVFSTRGTTLMKLTEDREQIRQGLEELQKVLPGGDTYMHE GFERASEQIYYENSQGYRTASVIIALTDGELHEDLFFYSEREANRSRDLGAIVYCVGVKD FNETQLARIADSKDHVFPVNDGFQALQGIIHSILKKSCIEILAAEPSTICAGESFQVVVR GNGFRHARNVDRVLCSFKINDSVTLNEKPFAVEDTYLLCPAPILKEVGMKAALQVSMNDG LSFISSSVIITTTHCSDGSILAIALLVLFLLLALALLWWFWPLCCTVIIKEVPPPPVEES EEEDDDGLPKKKWPTVDASYYGGRGVGGIKRMEVRWGEKGSTEEGAKLEKAKNARVKMPE QEYEFPEPRNLNNNMRRPSSPRKWYSPIKGKLDALWVLLRKGYDRVSVMRPQPGDTRFRG WRLTICLGSKHVHPGRHDKGPETPLLKQAWMFSSFLERAFQ >ENSMUSP00000144911.1 pep:known chromosome:GRCm38:6:87178700:87335764:-1 gene:ENSMUSG00000033420.12 transcript:ENSMUST00000205033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Antxr1 description:anthrax toxin receptor 1 [Source:MGI Symbol;Acc:MGI:1916788] MDRAGRLGAGLRGLCVAALVLVCAGHGGRREDGGPACYGGFDLYFILDKSGSVLHHWNEI YYFVEQLAHRFISPQLRMSFIVFSTRGTTLMKLTEDREQIRQGLEELQKVLPGGDTYMHE GFERASEQIYYENSQGYRTASVIIALTDGELHEDLFFYSEREANRSRDLGAIVYCVGVKD FNETQLARIADSKDHVFPVNDGFQALQGIIHSILKKSCIEILAAEPSTICAGESFQVVVR GNGFRHARNVDRVLCSFKINDSVTLNEKPFAVEDTYLLCPAPILKEVGMKAALQVSMNDG LSFISSSVIITTTHCSDGSILAIALLVLFLLLALALLWWFWPLCCTVIIKEVPPPPVEES EEEDDDGLPKKKWPTVDASYYGGRGVGGIKRMEVRWGEKGSTEEGAKLEKAKNARVKMPE QEYEFPEPRNLNNNMRRPSSPRKWYSPIKGKLDALWVLLRKGYDRVSVMRPQPGDTVGFA NGHILRHTNTDTHTYTLLLF >ENSMUSP00000106386.2 pep:known chromosome:GRCm38:8:20943693:20944748:-1 gene:ENSMUSG00000079120.2 transcript:ENSMUST00000110758.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AY761185 description:cDNA sequence AY761185 [Source:MGI Symbol;Acc:MGI:3630303] MKTLVLLSALVLLAFYVQADSTQETDEETKTDDQPGEEDQGVSVSFEDPERYVLQVSGLG KPPQCPKCPVCSKCPQCPQCPQCPGCPRCNCMTK >ENSMUSP00000103320.1 pep:known chromosome:GRCm38:3:84160439:84304809:-1 gene:ENSMUSG00000027993.16 transcript:ENSMUST00000107692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim2 description:tripartite motif-containing 2 [Source:MGI Symbol;Acc:MGI:1933163] MASEGASIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFCERCLQNYIPAHSLTLSC PVCRQTSILPEKGVAALQNNFFITNLMDVLQRTPGSNGEDSSILETVTAVAAGKPLSCPN HDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPLKDVVEQHKASLQVQLDAVNKRLPEID SALQFISEIIHQLTNQKASIVDDIHSTFDELQKTLNVRKSVLLMELEVNYGLKHKVLQSQ LDTLLQGQESIKSCSNFTAQALNHGTETEVLLVKKQMSEKLNELADQDFPLHPRENDQLD FIVETEGLKKSIHNLGTILTTNAVASETVATGEGLRQTIIGQPMSVTITTKDKDGELCKT GNAYLTAELSTPDGSVADGEILDNKNGTYEFLYTVQKEGDFTLSLRLYDQHIRGSPFKLK VIRSADVSPTTEGVKRRVKSPGSGHVKQKAVKRPASMYSTGKRKENPIEDDLIFRVGTKG RNKGEFTNLQGVAASTSGKILIADSNNQCVQIFSNDGQFKSRFGIRGRSPGQLQRPTGVA VHPSGDIIIADYDNKWVSIFSNDGKFKTKIGSGKLMGPKGVSVDRNGHIIVVDNKACCVF IFQPNGKIVTRFGSRGNGDRQFAGPHFAAVNSNNEIIITDFHNHSVKVFNQEGEFMLKFG SNGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDGSGSFLSYINTSADPLYGPQGLA LTSDGHVVVADSGNHCFKVYRYLQ >ENSMUSP00000103319.1 pep:known chromosome:GRCm38:3:84160440:84259812:-1 gene:ENSMUSG00000027993.16 transcript:ENSMUST00000107691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim2 description:tripartite motif-containing 2 [Source:MGI Symbol;Acc:MGI:1933163] MASEGASIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFCERCLQNYIPAHSLTLSC PVCRQTSILPEKGVAALQNNFFITNLMDVLQRTPGSNGEDSSILETVTAVAAGKPLSCPN HDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPLKDVVEQHKASLQVQLDAVNKRLPEID SALQFISEIIHQLTNQKASIVDDIHSTFDELQKTLNVRKSVLLMELEVNYGLKHKVLQSQ LDTLLQGQESIKSCSNFTAQALNHGTETEVLLVKKQMSEKLNELADQDFPLHPRENDQLD FIVETEGLKKSIHNLGTILTTNAVASETVATGEGLRQTIIGQPMSVTITTKDKDGELCKT GNAYLTAELSTPDGSVADGEILDNKNGTYEFLYTVQKEGDFTLSLRLYDQHIRGSPFKLK VIRSADVSPTTEGVKRRVKSPGSGHVKQKAVKRPASMYSTGKRKENPIEDDLIFRVGTKG RNKGEFTNLQGVAASTSGKILIADSNNQCVQIFSNDGQFKSRFGIRGRSPGQLQRPTGVA VHPSGDIIIADYDNKWVSIFSNDGKFKTKIGSGKLMGPKGVSVDRNGHIIVVDNKACCVF IFQPNGKIVTRFGSRGNGDRQFAGPHFAAVNSNNEIIITDFHNHSVKVFNQEGEFMLKFG SNGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDGSGSFLSYINTSADPLYGPQGLA LTSDGHVVVADSGNHCFKVYRYLQ >ENSMUSP00000103323.2 pep:known chromosome:GRCm38:3:84160441:84220889:-1 gene:ENSMUSG00000027993.16 transcript:ENSMUST00000107695.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim2 description:tripartite motif-containing 2 [Source:MGI Symbol;Acc:MGI:1933163] MQRAGSRTAGPPCQWSRMASEGASIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFC ERCLQNYIPAHSLTLSCPVCRQTSILPEKGVAALQNNFFITNLMDVLQRTPGSNGEDSSI LETVTAVAAGKPLSCPNHDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPLKDVVEQHKA SLQVQLDAVNKRLPEIDSALQFISEIIHQLTNQKASIVDDIHSTFDELQKTLNVRKSVLL MELEVNYGLKHKVLQSQLDTLLQGQESIKSCSNFTAQALNHGTETEVLLVKKQMSEKLNE LADQDFPLHPRENDQLDFIVETEGLKKSIHNLGTILTTNAVASETVATGEGLRQTIIGQP MSVTITTKDKDGELCKTGNAYLTAELSTPDGSVADGEILDNKNGTYEFLYTVQKEGDFTL SLRLYDQHIRGSPFKLKVIRSADVSPTTEGVKRRVKSPGSGHVKQKAVKRPASMYSTGKR KENPIEDDLIFRVGTKGRNKGEFTNLQGVAASTSGKILIADSNNQCVQIFSNDGQFKSRF GIRGRSPGQLQRPTGVAVHPSGDIIIADYDNKWVSIFSNDGKFKTKIGSGKLMGPKGVSV DRNGHIIVVDNKACCVFIFQPNGKIVTRFGSRGNGDRQFAGPHFAAVNSNNEIIITDFHN HSVKVFNQEGEFMLKFGSNGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDGSGSFL SYINTSADPLYGPQGLALTSDGHVVVADSGNHCFKVYRYLQ >ENSMUSP00000069922.6 pep:known chromosome:GRCm38:3:84163337:84212838:-1 gene:ENSMUSG00000027993.16 transcript:ENSMUST00000065380.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim2 description:tripartite motif-containing 2 [Source:MGI Symbol;Acc:MGI:1933163] MASEGASIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFCERCLQNYIPAHSLTLSC PVCRQTSILPEKGVAALQNNFFITNLMDVLQRTPGSNGEDSSILETVTAVAAGKPLSCPN HDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPLKDVVEQHKASLQVQLDAVNKRLPEID SALQFISEIIHQLTNQKASIVDDIHSTFDELQKTLNVRKSVLLMELEVNYGLKHKVLQSQ LDTLLQGQESIKSCSNFTAQALNHGTETEVLLVKKQMSEKLNELADQDFPLHPRENDQLD FIVETEGLKKSIHNLGTILTTNAVASETVATGEGLRQTIIGQPMSVTITTKDKDGELCKT GNAYLTAELSTPDGSVADGEILDNKNGTYEFLYTVQKEGDFTLSLRLYDQHIRGSPFKLK VIRSADVSPTTEGVKRRVKSPGSGHVKQKAVKRPASMYSTGKRKENPIEDDLIFRVGTKG RNKGEFTNLQGVAASTSGKILIADSNNQCVQIFSNDGQFKSRFGIRGRSPGQLQRPTGVA VHPSGDIIIADYDNKWVSIFSNDGKFKTKIGSGKLMGPKGVSVDRNGHIIVVDNKACCVF IFQPNGKIVTRFGSRGNGDRQFAGPHFAAVNSNNEIIITDFHNHSVKVFNQEGEFMLKFG SNGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDGSGSFLSYINTSADPLYGPQGLA LTSDGHVVVADSGNHCFKVYRYLQ >ENSMUSP00000049902.4 pep:known chromosome:GRCm38:3:84163722:84270791:-1 gene:ENSMUSG00000027993.16 transcript:ENSMUST00000054990.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim2 description:tripartite motif-containing 2 [Source:MGI Symbol;Acc:MGI:1933163] MPRSGRYGTQQRAGSRTAGPPCQWSRMASEGASIPSPVVRQIDKQFLICSICLERYKNPK VLPCLHTFCERCLQNYIPAHSLTLSCPVCRQTSILPEKGVAALQNNFFITNLMDVLQRTP GSNGEDSSILETVTAVAAGKPLSCPNHDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPL KDVVEQHKASLQVQLDAVNKRLPEIDSALQFISEIIHQLTNQKASIVDDIHSTFDELQKT LNVRKSVLLMELEVNYGLKHKVLQSQLDTLLQGQESIKSCSNFTAQALNHGTETEVLLVK KQMSEKLNELADQDFPLHPRENDQLDFIVETEGLKKSIHNLGTILTTNAVASETVATGEG LRQTIIGQPMSVTITTKDKDGELCKTGNAYLTAELSTPDGSVADGEILDNKNGTYEFLYT VQKEGDFTLSLRLYDQHIRGSPFKLKVIRSADVSPTTEGVKRRVKSPGSGHVKQKAVKRP ASMYSTGKRKENPIEDDLIFRVGTKGRNKGEFTNLQGVAASTSGKILIADSNNQCVQIFS NDGQFKSRFGIRGRSPGQLQRPTGVAVHPSGDIIIADYDNKWVSIFSNDGKFKTKIGSGK LMGPKGVSVDRNGHIIVVDNKACCVFIFQPNGKIVTRFGSRGNGDRQFAGPHFAAVNSNN EIIITDFHNHSVKVFNQEGEFMLKFGSNGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQ VFDGSGSFLSYINTSADPLYGPQGLALTSDGHVVVADSGNHCFKVYRYLQ >ENSMUSP00000120981.1 pep:known chromosome:GRCm38:3:84192200:84305397:-1 gene:ENSMUSG00000027993.16 transcript:ENSMUST00000122849.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim2 description:tripartite motif-containing 2 [Source:MGI Symbol;Acc:MGI:1933163] MQQRAGSRTAGPPCQWSRMASEGASIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTF CERCLQNYIPAHSLTLSCPVCRQTSILPEKGVAALQNNFFITNLMDVLQRTPGSNGEDSS ILETVTAVAAGKPLSCPNHDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPLKDVVEQHK ASLQVQLDAVNKRLPEIDSALQFISEIIHQLTN >ENSMUSP00000118888.1 pep:known chromosome:GRCm38:3:84192211:84305446:-1 gene:ENSMUSG00000027993.16 transcript:ENSMUST00000132283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim2 description:tripartite motif-containing 2 [Source:MGI Symbol;Acc:MGI:1933163] MASEGASIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFCERCLQNYIPAHSLTLSC PVCRQTSILPEKGVAALQNNFFITNLMDVLQRTPGSNGEDSSILETVTAVAAGKPLSCPN HDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPLKDVVEQHKASLQVQLDAVNKRLPEID SALQFISEIIH >ENSMUSP00000121055.1 pep:known chromosome:GRCm38:3:84193359:84259369:-1 gene:ENSMUSG00000027993.16 transcript:ENSMUST00000147901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim2 description:tripartite motif-containing 2 [Source:MGI Symbol;Acc:MGI:1933163] MASEGASIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFCERCLQNYIPAHSLTLSC PVCRQTSILPEKGVAALQNNFFITNLMDVLQRTPGSNGEDSSILETVTAVAAGKPLSCPN HDGNVMEF >ENSMUSP00000115914.1 pep:known chromosome:GRCm38:3:84208381:84220874:-1 gene:ENSMUSG00000027993.16 transcript:ENSMUST00000154152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim2 description:tripartite motif-containing 2 [Source:MGI Symbol;Acc:MGI:1933163] MRAGSRTAGPPCQWSRMASEGASIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFCE RCLQNYIPAHS >ENSMUSP00000103321.2 pep:known chromosome:GRCm38:3:84161874:84220877:-1 gene:ENSMUSG00000027993.16 transcript:ENSMUST00000107693.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim2 description:tripartite motif-containing 2 [Source:MGI Symbol;Acc:MGI:1933163] MASEGASIPSPVVRQIDKQFLICSICLERYKNPKVLPCLHTFCERCLQNYIPAHSLTLSC PVCRQTSILPEKGVAALQNNFFITNLMDVLQRTPGSNGEDSSILETVTAVAAGKPLSCPN HDGNVMEFYCQSCETAMCRECTEGEHAEHPTVPLKDVVEQHKASLQVQLDAVNKRLPEID SALQFISEIIHQLTNQKASIVDDIHSTFDELQKTLNVRKSVLLMELEVNYGLKHKVLQSQ LDTLLQGQESIKSCSNFTAQALNHGTETEVLLVKKQMSEKLNELADQDFPLHPRENDQLD FIVETEGLKKSIHNLGTILTTNAVASETVATGEGLRQTIIGQPMSVTITTKDKDGELCKT GNAYLTAELSTPDGSVADGEILDNKNGTYEFLYTVQKEGDFTLSLRLYDQHIRGSPFKLK VIRSADVSPTTEGVKRRVKSPGSGHVKQKAVKRPASMYSTGKRKENPIEDDLIFRVGTKG RNKGEFTNLQGVAASTSGKILIADSNNQCVQIFSNDGQFKSRFGIRGRSPGQLQRPTGVA VHPSGDIIIADYDNKWVSIFSNDGKFKTKIGSGKLMGPKGVSVDRNGHIIVVDNKACCVF IFQPNGKIVTRFGSRGNGDRQFAGPHFAAVNSNNEIIITDFHNHSVKVFNQEGEFMLKFG SNGEGNGQFNAPTGVAVDSNGNIIVADWGNSRIQVFDGSGSFLSYINTSADPLYGPQGLA LTSDGHVVVADSGNHCFKVYRYLQ >ENSMUSP00000099097.1 pep:known chromosome:GRCm38:X:56189827:56212881:-1 gene:ENSMUSG00000073177.8 transcript:ENSMUST00000101561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm773 description:predicted gene 773 [Source:MGI Symbol;Acc:MGI:2685619] MDNRKHFSVYNLDDGEEEDDVLEYSAHSTLTARSLVFSNTEKALVPTRMGGTDTRNEVKN RMENIGAHLSSAVVEKKRRMESYIKDVVKDCDKHIKQHWKVHQDEVREFKIEYTEQIITL FQQWDFDMKNVEDLEDNLTSAFCQQQKTFPQTTSRQVHSLKALKQANDTLLKGMENLDKD NSSVLSSIHSEFKKQMAFLERKLTDG >ENSMUSP00000076041.2 pep:known chromosome:GRCm38:8:21025545:21026516:1 gene:ENSMUSG00000074447.2 transcript:ENSMUST00000076754.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa21 description:defensin, alpha, 21 [Source:MGI Symbol;Acc:MGI:1913548] MKTLVLLSALILLAYQVQTDPIQNTDEETNTEEQPGEDDQAVSVSFGGQEGSALHEKLSR DLICLCRNRRCNRGELFYGTCAGPFLRCCRRRR >ENSMUSP00000124083.1 pep:known chromosome:GRCm38:9:13619990:13709388:1 gene:ENSMUSG00000031925.16 transcript:ENSMUST00000159294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maml2 description:mastermind like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2389460] LQGSLKRKQIVNLSPANSKRPNGFVDSSFLDIKRIRVGENLSTGQGGLPVNNGQSQMMPG PLTMNQAPLRKTNALPPPAHSPGNNLFNMGLKEVKKEPGETLSCSKHMSDQMTQESVFTN RFGDDPGDQLMDPELQELFNELTNISVPPMSDLELENMINATIKQDDPFGIDLGQQSQRS TPRPSLPMEKIIIKSEYSPGLTQGTSGSPQLRPPSAGPAFSLANSALSTSSPIPTIPQSQ PQLQTVSGANRALSSWQEVSHAQQLKQIAANRQQHARMQQQQQQQQQQPSPSWPALQSSA GPSPGAFVQEKIPSPSFSQQPFSPQGSPMPGVTGSNTQSKAAANYTYKASPSAQAGHLDV LLQQKPQELNRSFINNPHPALEPRHGNTKPLFHFNSDQANQQMPSLLPSQSKPSLLHYTQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQGSLAAQQQQQQPQPQ SSLVAQQQQQQQQQQQQQQGSLTAQQQQQQQQQQQPSQPTHALSSQPLLRSPLQLQQKIM LQKMQTQSITGLGYQVTQQHRQDQHTVVGQNTGPSPSPNSCSNPNTGSGYMNSQQSLLNQ QMMGKKQTLQRPTMEQKQQLLLQQQMLADAEKLSPQDQMNRHLTRPPPDYKDQRRNTGTL QPAAQYSGGSSTVSLNSNQALTNPVSTHTILTPNSSLMSTSHGMRMPLLSTVQNIGMYGN LPCNQPGTYNVTSTMNQLTQQRNTTQLITNQNNPLMSRPSPLGANNGNNVATFGAGSAGS SQQLRPNLAHSLSGMSAQRSSTVMITANTTATNWASQEVTGKQQEALKSTGVRFPTSTPA AYTPNQSLQPGVGSQPFSQRAVAPPSQLSPAVQMRPMNQMNQALNGQTLGSLRGLNLRPN QLAAQSLSNMNPSGTGLNHPRTGTNQPPSLTPNAFPSSNQSSRAFQGPDHGSDLAFDFLS QQSDSMCPALNSDADFIDSLLKTEPGNDDWMKDINLDEILGSNS >ENSMUSP00000034401.8 pep:known chromosome:GRCm38:9:13621646:13707343:1 gene:ENSMUSG00000031925.16 transcript:ENSMUST00000034401.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maml2 description:mastermind like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2389460] MNSQQSLLNQQMMGKKQTLQRPTMEQKQQLLLQQQMLADAEKLSPQDQMNRHLTRPPPDY KDQRRNTGTLQPAAQYSGGSSTVSLNSNQALTNPVSTHTILTPNSSLMSTSHGMRMPLLS TVQNIGMYGNLPCNQPGTYNVTSTMNQLTQQRNTTQLITNQNNPLMSRPSPLGANNGNNV ATFGAGSAGSSQQLRPNLAHSLSGMSAQRSSTVMITANTTATNWASQEVTGKQQEALKST GVRFPTSTPAAYTPNQSLQPGVGSQPFSQRAVAPPSQLSPAVQMRPMNQMNQALNGQTLG SLRGLNLRPNQLAAQSLSNMNPSGTGLNHPRTGTNQPPSLTPNAFPSSNQSSRAFQGPDH GSDLAFDFLSQQSDSMCPALNSDADFIDSLLKTEPGNDDWMKDINLDEILGSNS >ENSMUSP00000136866.1 pep:known chromosome:GRCm38:9:13662460:13709533:1 gene:ENSMUSG00000031925.16 transcript:ENSMUST00000177755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maml2 description:mastermind like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2389460] MNSQQSLLNQQMMGKKQTLQRPTMEQKQQLLLQQQMLADAEKLSPQDQMNRHLTRPPPDY KDQRRNTGTLQPAAQYSGGSSTVSLNSNQALTNPVSTHTILTPNSSLMSTSHGMRMPLLS TVQNIGMYGNLPCNQPGTYNVTSTMNQLTQQRNTTQLITNQNNPLMSRPSPLGANNGNNV ATFGAGSAGSSQQLRPNLAHSLSGMSAQRSSTVMITANTTATNWASQEVTGKQQEALKST GVRFPTSTPAAYTPNQSLQPGVGSQPFSQRAVAPPSQLSPAVQMRPMNQMNQALNGQTLG SLRGLNLRPNQLAAQSLSNMNPSGTGLNHPRTGTNQPPSLTPNAFPSSNQSSRAFQGPDH GSDLAFDFLSQQSDSMCPALNSDADFIDSLLKTEPGNDDWMKDINLDEILGSNS >ENSMUSP00000092009.4 pep:known chromosome:GRCm38:5:114707760:114722327:1 gene:ENSMUSG00000002486.15 transcript:ENSMUST00000094441.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tchp description:trichoplein, keratin filament binding [Source:MGI Symbol;Acc:MGI:1925082] MALPTLPSYWSSRKHMDLRQRQHQQEDQFRQQWEQNSRYFRTWDIHNSKQIEWSSKISYQ RSMHAYHCEKMKEEKRKILELRRERLRELLLEEQDLLAAELDELRLSMGLREQRLREQHQ DLKSAREEQRKLIAERLLYEHWKKNNPKLRELELDLHKKHVINSWATQKEEKKQQEATEK QENKRLENQYAAARREAEARMRVEEERRQLEGRLQAEALRQQMEELKQKEMEATKLKKEQ ENLLRQRWELERLEEERRQMAALRRKTELGRFLKHQYNAQLNRRTQEIQEELEVDGRILQ ALLEKEGELQQVELARREQARADAAWMKQVIEEQLQLEKAREAELQQLLREEAKEMWEKR EAEWAREQVARDRLMSEVLTGRQQQILEKIEQNRRAQEETLKHREKLIRSLEEGKQLAQR AKEESEELKLARKQELEAQVAERQGQEWEAARQEEEEEEEARQAEEHSNALLQQEAKTMA EKGYQPKLHGHLRIAWD >ENSMUSP00000119890.1 pep:known chromosome:GRCm38:5:114714635:114719689:1 gene:ENSMUSG00000002486.15 transcript:ENSMUST00000131679.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tchp description:trichoplein, keratin filament binding [Source:MGI Symbol;Acc:MGI:1925082] XTEKQENKRLENQYAAARREAEARMRVEEERRQLEGRLQAEALRQQMEELKQKEMEERRQ MAALRRKTELGRFLKHQYNAQLNRRTQEIQEELEVDGRILQALLEKEGELQQVELARREQ ARADAAWMKQVIEEQLQLEKAREAELQQLLREEAKEMWEKREAEWAREQVARDRLMSEVL TGRQQQILEKIEQNRRAQEETLKHREKLIRSLEEG >ENSMUSP00000079198.7 pep:known chromosome:GRCm38:5:120896256:120907521:-1 gene:ENSMUSG00000052776.10 transcript:ENSMUST00000080322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas1a description:2'-5' oligoadenylate synthetase 1A [Source:MGI Symbol;Acc:MGI:2180860] MEHGLRSIPAWTLDKFIEDYLLPDTTFGADVKSAVNVVCDFLKERCFQGAAHPVRVSKVV KGGSSGKGTTLKGKSDADLVVFLNNLTSFEDQLNRRGEFIKEIKKQLYEVQHERRFRVKF EVQSSWWPNARSLSFKLSAPHLHQEVEFDVLPAFDVLGHVNTSSKPDPRIYAILIEECTS LGKDGEFSTCFTELQRNFLKQRPTKLKSLIRLVKHWYQLCKEKLGKPLPPQYALELLTVF AWEQGNGCYEFNTAQGFRTVLELVINYQHLRIYWTKYYDFQHQEVSKYLHRQLRKARPVI LDPADPTGNVAGGNPEGWRRLAEEADVWLWYPCFIKKDGSRVSSWDVPTVVPVPFEQVEE NWTCILL >ENSMUSP00000030840.3 pep:known chromosome:GRCm38:4:148495183:148500460:-1 gene:ENSMUSG00000028989.3 transcript:ENSMUST00000030840.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angptl7 description:angiopoietin-like 7 [Source:MGI Symbol;Acc:MGI:3605801] MLRETWLCVILVAFVSHPVWLQKPHKRKTQLKAAGCCEEMRELKAQVANLSSLLGELSRK QESDWVSVVMQVMELESSSKHMESRLSTAESKYSEMNNQIDIMQLQAAQTVTQTSADAIY DCSSLYQKNYRISGVYKLPPDEFLGSPELEVFCDMETSGGGWTIIQRRKSGLVSFYQDWR QYKQGFGSIRGDFWLGNEHIHRLTRQPSRLRVELEDWEGNARYAEYSYFALGNELNSYRL FLGNYSGNVGKDALLYHNNTVFSTKDKDNDNCLDKCAQLRKGGYWYNCCTDSNLNGVYYR LGEHRKHMDGISWYGWHGANYSLKRVEMKIRPEAFKP >ENSMUSP00000035824.5 pep:known chromosome:GRCm38:2:164176327:164182742:-1 gene:ENSMUSG00000040154.11 transcript:ENSMUST00000045127.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc5 description:WAP four-disulfide core domain 5 [Source:MGI Symbol;Acc:MGI:2384800] MRVQSSLLLVVLLALETHLPVALCRKKGDKLGGCPPDDGLCNQNTPPDQCLNDKQCPSSW KCCRRACFLQCMPRVFVKSGKCPVDQLRCLSPTKHMCNKDSDCSGKKRCCASACGRDCRD PSKSTI >ENSMUSP00000115448.1 pep:known chromosome:GRCm38:2:164177467:164182598:-1 gene:ENSMUSG00000040154.11 transcript:ENSMUST00000131974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc5 description:WAP four-disulfide core domain 5 [Source:MGI Symbol;Acc:MGI:2384800] XPVALCRKKGDKLGGCPPDDGLCNQNTPPDQCLNDKQCPSSWKCCRRACFLQCMPRVFG >ENSMUSP00000100541.2 pep:known chromosome:GRCm38:2:121953752:121956092:-1 gene:ENSMUSG00000074881.4 transcript:ENSMUST00000104936.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb3 description:melanoma antigen, family B, 3 [Source:MGI Symbol;Acc:MGI:105109] MPRGQKSKSRSRAKRQQSRREVQVVQPTAEEAGSSPVDLSAGSSFPGGSAPQGVKNPGSF GAGVSCTGSGIGGRNATVLPDTKSSDGTQAGTSIQHTLKDPIMRKASVLIEFLLDKFKMK EAVTRSEMLAVVNKKYKEQFPEILRRTSARLELVFGLELKEIDPSTHSYLLVGKLGLSTE GSLSSNWGLPRTGLLMSVLGVIFMKGNRATEQEVWQFLHGVGVYAGKKHLIFGEPEEFIR DVVQENYLEYRQVPGSDPPSYEFLWGPRAHAETTKMKVLKVLAKVNGTVPSAFPNLYQLA LRDQAGGVPRSRVQGKGVHSKAPSQKSSNV >ENSMUSP00000135427.1 pep:known chromosome:GRCm38:3:96269752:96270132:1 gene:ENSMUSG00000105827.1 transcript:ENSMUST00000177113.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist2h2bb description:histone cluster 2, H2bb [Source:MGI Symbol;Acc:MGI:2448413] MPDPAKSAPAPKKGSKKAVTKVQKKDGKKRKRSRKESYSVYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIAGEASRLAHYNKRSTITSREIQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000096442.2 pep:known chromosome:GRCm38:3:96268654:96269141:1 gene:ENSMUSG00000074403.2 transcript:ENSMUST00000098843.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist2h3b description:histone cluster 2, H3b [Source:MGI Symbol;Acc:MGI:2448351] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000056520.6 pep:known chromosome:GRCm38:4:83525545:83864670:1 gene:ENSMUSG00000052407.16 transcript:ENSMUST00000053414.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc171 description:coiled-coil domain containing 171 [Source:MGI Symbol;Acc:MGI:1922152] MSLNTSSNATPGDTQRLKNASLDVKQMLKNETESDIIADLRKKLHRAKKEKLEMTTKHNA ELSSYESQIARLRSEVEKGEALRQRLEYDLAVARKEAGLGRRAAEERLAEAQRIQERLCA QNSELQGKANEIEKTFQISQEKWREECRRFEHDLEERDNIIQNCNQEYESLMQEKTRLQK TLQEILEKHEQEKTELESRVRETALGEFRLQTEEWEAERRELQLIVQEQDSAVQSMQKKV EQLEAEHMDCSDLLRRQTSELEFSTQREERLRKEFEATTLRVRKLEENIEAERAAHLESK FNSEIIQLRIRDLEGALQVEKASQAEAVADLEMIKNEFKEVESAYEREKQNTQESCAKLN LLEREYFSQNKKLNEEIEDQKKVIIDLSKRLQYNEKSCGELQEELVMAKKHQAFLVETCE NNVRELESILGSFSVSAQWTSGVHKDKDKPPSFSVVLETLRRTLTDYQNKLEDASNEEKT SNELDSTKQKIETHIKNTKELQDKLTEVHKELSHLRAKCADREALITSLKVELQNVLHCW EKEKACAAQCESELQKLSQAFQKDSEEKLTFLHTLYQHLVAGCVLIKQPEGMLDKFSWSE LCAVLQENVDALIADLNRANEKISHLEYICKNKSDTMRELQQTQEDTFNKVAEQIKAQES CWQKQKKELEFQYSELLLEVQRRAQKFQEIAEKNSEKLNRIETSHEQLVRENSHFKTTLS RTQREQTCLLAACALMAGALCPLYSRSCALSTQRDFLQEQVNSLELFKLEIRTLAQALSA VDEKKQEEAKTKKKTFKGLVRVFRKGVIAILAANRLKLLGQSCAFLFTWMESCKEGIGML VCTGEPKDKRQFPKHQREQLRCLQALAWLTSSDLLGTVISSMTELQEVISKTDPNSRICG HLLIGAAKNSFAKLMDKLSSAMASIPLHSSRSITYVEKDSLVQRLARGLHKVNTLALKYG LCSHIPIMKSTAALQKQIFGFTQRLHAAEVERRSLRLEVTEYKRTVHEMKKELDKSQSLQ TQLNEFKHSKLITHEKFESACEELNNALLREQQAQMLLNEQAQQLQELNYRLELHSSEEA DKNQTLGEAVKSLSEAKMELRRKDQSLRQLNRHLTQLEQDKRRLEENIRDAESALRMAAK DKECVANHMRTIENMLHKVRDQISLSRTAATRNDFTLQLPKLHLETFAMEGLQGGPEVVA CQAMIKSFMDVYQLASARISTLEKEMTSHRSHIATLKSELHTACLRENESLQSMGSRDHS NLSVPSRAAAPMDTVGDLLPLQAELDTTYTFLKETFVNTAPHSLSSQSSPGVPTNAKRPS QIGL >ENSMUSP00000138195.1 pep:known chromosome:GRCm38:4:83525614:83555378:1 gene:ENSMUSG00000052407.16 transcript:ENSMUST00000126429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc171 description:coiled-coil domain containing 171 [Source:MGI Symbol;Acc:MGI:1922152] MSLNTSSNATPGDTQRLKNASLDVKQMLKNETESDIIADLRKKLHRAKKEKLEMTTKHNA ELSSYESQIARLRSEVEKGEALRQRLEYDLAVARKEAGLGRRAAEERLAEAQRIQERLCG FVLELLCKPCWP >ENSMUSP00000116486.2 pep:known chromosome:GRCm38:4:83525639:83864585:1 gene:ENSMUSG00000052407.16 transcript:ENSMUST00000125077.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc171 description:coiled-coil domain containing 171 [Source:MGI Symbol;Acc:MGI:1922152] MSLNTSSNATPGDTQRLKNASLDVKQMLKNETESDIIADLRKKLHRAKKEKLEMTTKHNA ELSSYESQIARLRSEVEKGEALRQRLEYDLAVARKEAGLGRRAAEERLAEAQRIQERLCA QNSELQGKANEIEKTFQISQEKWREECRRFEHDLEERDNIIQNCNQEYESLMQEKTRLQK TLQEILEKHEQEKTELESRVRETALGEFRLQTEEWEAERRELQLIVQEQDSAVQSMQKKV EQLEAEHMDCSDLLRRQTSELEFSTQREERLRKEFEATTLRVRKLEENIEAERAAHLESK FNSEIIQLRIRDLEGALQVEKASQAEAVADLEMIKNEFKEVESAYEREKQNTQESCAKLN LLRSTRPS >ENSMUSP00000023978.2 pep:known chromosome:GRCm38:2:25823153:25825537:1 gene:ENSMUSG00000023210.2 transcript:ENSMUST00000023978.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn9 description:lipocalin 9 [Source:MGI Symbol;Acc:MGI:1924954] MVLLLVLGLVLSLATAQFNLHTAVRRDYNLARISGTWYLDSIASDNMTRIEENGDLRLFI RNIKLLNNGSLQFDFHFMLQGECVAVTMVCEKTKNNGEFSVAYEGKNKVLLLETDYSMYI IFYMQNIKNGTKTQVLALYGRSILLDKTHQREFENICNLYGLDSQNIIDMTKKDFCFL >ENSMUSP00000050836.7 pep:known chromosome:GRCm38:2:164189231:164190608:-1 gene:ENSMUSG00000042845.7 transcript:ENSMUST00000051272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc12 description:WAP four-disulfide core domain 12 [Source:MGI Symbol;Acc:MGI:2183434] MWPNSILVLMTLLISSTLVTGGGVKGEEKRVCPPDYVRCIRQDDPQCYSDNDCGDQEICC FWQCGFKCVLPVKDNSEEQIPQSKV >ENSMUSP00000125624.1 pep:known chromosome:GRCm38:1:125913620:126492927:-1 gene:ENSMUSG00000049690.15 transcript:ENSMUST00000161954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5 description:NCK-associated protein 5 [Source:MGI Symbol;Acc:MGI:2686394] MHEKLIHELEEERHLRLQSEERLREVTLESERNRIQMLGLQQQFSRMEETVRSLLQSQGS PEQKKEEPAKITAYQEGLPDEERKEQAALGDLHVVAADEDSRSEHSSVEEGKEDSRLLLK RLKALEAENSALALENENQREQYERCLDEVANQVVRALLTQKDLREECVKLKTRVFDLEQ QNRALSLLFQQRVRPASDVLLQEHLQNAKSGTPALKHSGSGVVIPDHLCPRNSCSSGSEL SLSSTCSEYSSGSSYTWQDRKLIGKRQSSRIWDKRLSIDSSLPSGFASPADELPPTRIKE SHILEGLRKLQKRKVFLESPSLVISKWGYKDCMNSNEGIYSPGIKTSSLKECPPCQPSGM GIPCQNLHQTFIYDANSHEDAHEELPSSALPLTSPSQGYRIQGSKLTHSVSDSLFNWEPH RKYFAEGTASVYTREMPERLARCASHCPLERKLCPSIHLPWVQRDRDPHSQVHGHVPLNL QLSDTDDNETLNELHIESSDERSPSDLSLTGDTDKSMENLEVGFRKSEGGSRDMGEEACP THLETSPKAFSFIKQQRVVKRTSSEECVTVIFDAENGEPVEFSSHQAGVVTVTRDEISIS SALAGPSEELTELSPQEITHFQAGATARDCPFLKRPEEETAKNIPKDENHILTAPNDFFS SQTIITQNSPRPKLAKPTQGVAWQSNSRPVGNMGVYTKQGLTKIPSRGKSSPQKSKLVES MPTMLVPSSALVTPEKTPASPMGKLSQCRKAESPGSLCDVQPESHIPKHPAQVPHIPKIS NKKNWVQCPRSQTSGIQSRHLMGRSDSSEMRSNGCVSAPQTRMRSPSPPTPPGRSVSLLV RPSYDYPPLPSPAKPETQGPGDAVSPGLKSPLLKGSSAPLVSSSPVMSEIQKKPSVASRK SAFPPALPSTQAVVHTRYPEHIASSPFAVTTSGPPKVSPKRGIPKPPPHHALGTAHMDTD LQTPKTCPSSCELLEVTSCKSLSPGRKRQLSDSTVMPHRPSFSGVNESLTPQVNSPSSSS SSCRNQGSSQGGQNSPEKGPKTRLPVGLKVLMKSPQLLKKTSLVPGKQEKDSLNEASRSC VAANTSNPEDSKDPASLETSRDERTTALLGVRGPDSLARGLALDSTMPESLECSISRDDG RDGADSRAIKRSFSSSKPHLKPALGMNGAKARSQSFSIHLGEKPSTPPTEGPGKIRTQII TNTAERGNSLTRQNISMEGSPSKTPSVSTSDNLPNAGRPLGNPFSRQSSLCSTGSSSSQH GSPSKLPLRMLTRPEGLLTPGVEDQRLSTQEECPERGVHEQSSSDHHRHPSPLSDCPQFL HVPGRMQRPSNLETSGISKLETSGRHPDASSTGTSVVSPEAPLSPSIEEKVMLCIQENVE KGQVQTKSASVEVKPRAGPSFTSWFGFRKSRLPALSSRRMEASKSKVEKKDTKLKSERKK EKKKAEVQSKIENELSRGTKKADGQSPDDGLQSSESLKVSQDIYNQMKLEPRNRPSPAVC PTKDAFMTELLNRVDKRASVQRESGSKGVSCRSVLEGTSQGSCFASGSVSTQGSQKKNIK TKVDMEKPRESLGAEVNEDVQEDEEDRVADTTLQSHMIESNCQMRTLDSGIGTFPLPDSG NRSVGRYICQQDSPEDTDALLPLQPAASMASSARAQTLDREVPSSTDSQSSPESMIIHSI SDPSMMAREMRASQSHLPKPTSSGKPSFEKQNGAESKAHTGPSFEYAEDAVASEPLSGWR GEDATTETQEPKQVEETKEDPENRLSEISLESFNKFSSNTVILLGKEKSPSEVEGQKEEK GKKTEVSSSSSERPGVDRVESLSDSLYDSFSSCASQASNEA >ENSMUSP00000124748.1 pep:known chromosome:GRCm38:1:125913648:126492742:-1 gene:ENSMUSG00000049690.15 transcript:ENSMUST00000162877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5 description:NCK-associated protein 5 [Source:MGI Symbol;Acc:MGI:2686394] MHEKLIHELEEERHLRLQSEERLREVTLESERNRIQMLGLQQQFSRMEETVRSLLQSQGS PEQKKEEPAKITAYQEGLPDEERKEQAALGDLHVVAADEDSRSEHSSVEEGKEDSRLLLK RLKALEAENSALALENENQREQYERCLDEVANQVVRALLTQKDLREECVKLKTRVFDLEQ QNRALSLLFQQRVRPASDVLLQGAEVNEDVQEDEEDRVADTTLQSHMIESNCQMRTLDSG IGTFPLPDSGNRSVGRYICQQDSPEDTDALLPLQPAASMASSARAQTLDREVPSSTDSQS SPESMIIHSISDPSMMAREMRASQSHLPKPTSSGTETS >ENSMUSP00000062229.8 pep:known chromosome:GRCm38:1:125914743:126448456:-1 gene:ENSMUSG00000049690.15 transcript:ENSMUST00000057846.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5 description:NCK-associated protein 5 [Source:MGI Symbol;Acc:MGI:2686394] MHEKLIHELEEERHLRLQSEERLREVTLESERNRIQMLGLQQQFSRMEETVRSLLQSQGS PEQKKEEPAKITAYQEGLPDEERKEQAALGDLHVVAADEDSRSEHSSVEEGKEDSRLLLK RLKALEAENSALALENENQREQYERCLDEVANQVVRALLTQKDLREECVKLKTRVFDLEQ QNRALSLLFQQRVRPASDVLLQQSSRIWDKRLSIDSSLPSGFASPADELPPTRIKESHIL EGLRKLQKRKVFLESPSLVISKWGYKDCMNSNEGIYSPGIKTSSLKECPPCQPSGMGIPC QNLHQTFIYDANSHEDAHEELPSSALPLTSPSQGYRIQGSKLTHSVSDSLFNWEPHRKYF AEGTASVYTREMPERLARCASHCPLERKLCPSIHLPWVQRDRDPHSQVHGHVPLNLQLSD TDDNETLNELHIESSDERSPSDLSLTGDTDKSMENLEVGFRKSEGGSRDMGEEACPTHLE TSPKAFSFIKQQRVVKRTSSEECVTVIFDAENGEPVEFSSHQAGVVTVTRDEISISSALA GPSEELTELSPQEITHFQAGATARDCPFLKRPEEETAKNIPKDENHILTAPNDFFSSQTI ITQNSPRPKLAKPTQGVAWQSNSRPVGNMGVYTKQGLTKIPSRGKSSPQKSKLVESMPTM LVPSSALVTPEKTPASPMGKLSQCRKAESPGSLCDVQPESHIPKHPAQVPHIPKISNKKN WVQCPRSQTSGIQSRHLMGRSDSSEMRSNGCVSAPQTRMRSPSPPTPPGRSVSLLVRPSY DYPPLPSPAKPETQGPGDAVSPGLKSPLLKGSSAPLVSSSPVMSEIQKKPSVASRKSAFP PALPSTQAVVHTRYPEHIASSPFAVTTSGPPKVSPKRGIPKPPPHHALGTAHMDTDLQTP KTCPSSCELLEVTSCKSLSPGRKRQLSDSTVMPHRPSFSGVNESLTPQVNSPSSSSSSCR NQGSSQGGQNSPEKGPKTRLPVGLKVLMKSPQLLKKTSLVPGKQEKDSLNEASRSCVAAN TSNPEDSKDPASLETSRDERTTALLGVRGPDSLARGLALDSTMPESLECSISRDDGRDGA DSRAIKRSFSSSKPHLKPALGMNGAKARSQSFSIHLGEKPSTPPTEGPGKIRTQIITNTA ERGNSLTRQNISMEGSPSKTPSVSTSDNLPNAGRPLGNPFSRQSSLCSTGSSSSQHGSPS KLPLRMLTRPEGLLTPGVEDQRLSTQEECPERGVHEQSSSDHHRHPSPLSDCPQFLHVPG RMQRPSNLETSGISKLETSGRHPDASSTGTSVVSPEAPLSPSIEEKVMLCIQENVEKGQV QTKSASVEVKPRAGPSFTSWFGFRKSRLPALSSRRMEASKSKVEKKDTKLKSERKKEKKK AEVQSKIENELSRGTKKADGQSPDDGLQSSESLKVSQDIYNQMKLEPRNRPSPAVCPTKD AFMTELLNRVDKRASVQRESGSKGVSCRSVLEGTSQGSCFASGSVSTQGSQKKNIKTKVD MEKPRESLGAEVNEDVQEDEEDRVADTTLQSHMIESNCQMRTLDSGIGTFPLPDSGNRSV GRYICQQDSPEDTDALLPLQPAASMASSARAQTLDREVPSSTDSQSSPESMIIHSISDPS MMAREMRASQSHLPKPTSSGKPSFEKQNGAESKAHTGPSFEYAEDAVASEPLSGWRGEDA TTETQEPKQVEETKEDPENRLSEISLESFNKFSSNTVILLGKEKSPSEVEGQKEEKGKKT EVSSSSSERPGVDRVESLSDSLYDSFSSCASQASNEA >ENSMUSP00000092193.4 pep:known chromosome:GRCm38:1:125914960:126023103:-1 gene:ENSMUSG00000049690.15 transcript:ENSMUST00000094610.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5 description:NCK-associated protein 5 [Source:MGI Symbol;Acc:MGI:2686394] MEKPRESLGAEVNEDVQEDEEDRVADTTLQSHMIESNCQMRTLDSGIGTFPLPDSGNRSV GRYICQQDSPEDTDALLPLQPAASMASSARAQTLDREVPSSTDSQSSPESMIIHSISDPS MMAREMRASQSHLPKPTSSGKPSFEKQNGAESKAHTGPSFEYAEDAVASEPLSGWRGEDA TTETQAVITPHPESPVERKSHD >ENSMUSP00000123936.1 pep:known chromosome:GRCm38:1:126222627:126830799:-1 gene:ENSMUSG00000049690.15 transcript:ENSMUST00000162646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5 description:NCK-associated protein 5 [Source:MGI Symbol;Acc:MGI:2686394] MEGKRQLDRRDFGKRLSLDSSLVEYMDSNKCIEHLLAQLREQHRSLWREKLAVARLQREV AQRTSQGAMHEKLIHELEEERHLRLQSEERLREVTLESERNRIQMLGLQQQFSRMEETVR SLLQSQGSPEQKKEEPAKITAYQEGLPDEERKEQAALGDLHVVAADEDSRSEHSSVEEGK EDSRLLLK >ENSMUSP00000123975.1 pep:known chromosome:GRCm38:1:126258401:126738202:-1 gene:ENSMUSG00000049690.15 transcript:ENSMUST00000160693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5 description:NCK-associated protein 5 [Source:MGI Symbol;Acc:MGI:2686394] MEGKRQLDRRDFGKRLSLDSSLVEYMDSNKCIEHLLAQLREQHRSLWREKLAVARLQREV AQRTSQGAMHEKLIHELEEERHLRLQSEERLREVTLESERNRIQMLGLQQQFSRMEETVR SLLQSQGSPEQKKEEPAKIT >ENSMUSP00000108202.1 pep:known chromosome:GRCm38:1:125913637:126830632:-1 gene:ENSMUSG00000049690.15 transcript:ENSMUST00000112583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5 description:NCK-associated protein 5 [Source:MGI Symbol;Acc:MGI:2686394] MEGKRQLDRRDFGKRLSLDSSLVEYMDSNKCIEHLLAQLREQHRSLWREKLAVARLQREV AQRTSQGAMHEKLIHELEEERHLRLQSEERLREVTLESERNRIQMLGLQQQFSRMEETVR SLLQSQGSPEQKKEEPAKITAYQEGLPDEERKEQAALGDLHVVAADEDSRSEHSSVEEGK EDSRLLLKRLKALEAENSALALENENQREQYERCLDEVANQVVRALLTQKDLREECVKLK TRVFDLEQQNRALSLLFQQRVRPASDVLLQEHLQNAKSGTPALKHSGSGVVIPDHLCPRN SCSSGSELSLSSTCSEYSSGSSYTWQDRKLIGKRQSSRIWDKRLSIDSSLPSGFASPADE LPPTRIKESHILEGLRKLQKRKVFLESPSLVISKWGYKDCMNSNEGIYSPGIKTSSLKEC PPCQPSGMGIPCQNLHQTFIYDANSHEDAHEELPSSALPLTSPSQGYRIQGSKLTHSVSD SLFNWEPHRKYFAEGTASVYTREMPERLARCASHCPLERKLCPSIHLPWVQRDRDPHSQV HGHVPLNLQLSDTDDNETLNELHIESSDERSPSDLSLTGDTDKSMENLEVGFRKSEGGSR DMGEEACPTHLETSPKAFSFIKQQRVVKRTSSEECVTVIFDAENGEPVEFSSHQAGVVTV TRDEISISSALAGPSEELTELSPQEITHFQAGATARDCPFLKRPEEETAKNIPKDENHIL TAPNDFFSSQTIITQNSPRPKLAKPTQGVAWQSNSRPVGNMGVYTKQGLTKIPSRGKSSP QKSKLVESMPTMLVPSSALVTPEKTPASPMGKLSQCRKAESPGSLCDVQPESHIPKHPAQ VPHIPKISNKKNWVQCPRSQTSGIQSRHLMGRSDSSEMRSNGCVSAPQTRMRSPSPPTPP GRSVSLLVRPSYDYPPLPSPAKPETQGPGDAVSPGLKSPLLKGSSAPLVSSSPVMSEIQK KPSVASRKSAFPPALPSTQAVVHTRYPEHIASSPFAVTTSGPPKVSPKRGIPKPPPHHAL GTAHMDTDLQTPKTCPSSCELLEVTSCKSLSPGRKRQLSDSTVMPHRPSFSGVNESLTPQ VNSPSSSSSSCRNQGSSQGGQNSPEKGPKTRLPVGLKVLMKSPQLLKKTSLVPGKQEKDS LNEASRSCVAANTSNPEDSKDPASLETSRDERTTALLGVRGPDSLARGLALDSTMPESLE CSISRDDGRDGADSRAIKRSFSSSKPHLKPALGMNGAKARSQSFSIHLGEKPSTPPTEGP GKIRTQIITNTAERGNSLTRQNISMEGSPSKTPSVSTSDNLPNAGRPLGNPFSRQSSLCS TGSSSSQHGSPSKLPLRMLTRPEGLLTPGVEDQRLSTQEECPERGVHEQSSSDHHRHPSP LSDCPQFLHVPGRMQRPSNLETSGISKLETSGRHPDASSTGTSVVSPEAPLSPSIEEKVM LCIQENVEKGQVQTKSASVEVKPRAGPSFTSWFGFRKSRLPALSSRRMEASKSKVEKKDT KLKSERKKEKKKAEVQSKIENELSRGTKKADGQSPDDGLQSSESLKVSQDIYNQMKLEPR NRPSPAVCPTKDAFMTELLNRVDKRASVQRESGSKGVSCRSVLEGTSQGSCFASGSVSTQ GSQKKNIKTKVDMEKPRESLGAEVNEDVQEDEEDRVADTTLQSHMIESNCQMRTLDSGIG TFPLPDSGNRSVGRYICQQDSPEDTDALLPLQPAASMASSARAQTLDREVPSSTDSQSSP ESMIIHSISDPSMMAREMRASQSHLPKPTSSGKPSFEKQNGAESKAHTGPSFEYAEDAVA SEPLSGWRGEDATTETQEPKQVEETKEDPENRLSEISLESFNKFSSNTVILLGKEKSPSE VEGQKEEKGKKTEVSSSSSERPGVDRVESLSDSLYDSFSSCASQASNEA >ENSMUSP00000092192.3 pep:known chromosome:GRCm38:1:125913637:126830632:-1 gene:ENSMUSG00000049690.15 transcript:ENSMUST00000094609.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5 description:NCK-associated protein 5 [Source:MGI Symbol;Acc:MGI:2686394] MEGKRQLDRRDFGKRLSLDSSLVEYMDSNKCIEHLLAQLREQHRSLWREKLAVARLQREV AQRTSQGAMHEKLIHELEEERHLRLQSEERLREVTLESERNRIQMLGLQQQFSRMEETVR SLLQSQGSPEQKKEEPAKITAYQEGLPDEERKEQAALGDLHVVAADEDSRSEHSSVEEGK EDSRLLLKRLKALEAENSALALENENQREQYERCLDEVANQVVRALLTQKDLREECVKLK TRVFDLEQQNRALSLLFQQRVRPASDVLLQGAEVNEDVQEDEEDRVADTTLQSHMIESNC QMRTLDSGIGTFPLPDSGNRSVGRYICQQDSPEDTDALLPLQPAASMASSARAQTLDREV PSSTDSQSSPESMIIHSISDPSMMAREMRASQSHLPKPTSSGTETS >ENSMUSP00000120542.1 pep:known chromosome:GRCm38:11:118023080:118103492:-1 gene:ENSMUSG00000033987.15 transcript:ENSMUST00000132685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah17 description:dynein, axonemal, heavy chain 17 [Source:MGI Symbol;Acc:MGI:1917176] IDSYEKLYEEVSSCENTKVFHGWLQCDCRPFKQTLLNTIKRWSFLFKRYLNNHVINSLAD LESFMNITRTALKKPLKEGDYDGLVEVMGHLMKVKERQVATDSMFEPLKQTIELLKSYGE EMPEEIYLKLQVGSNSAVLEYCGRSLQARHLRDSRSPGVWRPETESSRRRGPKWSPLRLE LPEQWTNTKKLAIQVKQNVAPLQANEVNILRRKCQQFELKQHEFREKFRRDAPFSFSDPE PYKSLNKQQKSISAMEGVMEALCKSASLFEVTVPDYKQLKACHREVRLLKELWDMIVMVN TSIDDWKTTKWKDINVEQMDIDCKKFAKDVRSLDKEMKPWDAFVGLDNTVKNMITSLRAV SELQNPAIRDRHWQQLMQATQVKFEMSEETTLADLLQLNLHKYEDEVRNIVDKAVKESGM EKVLKTLDITWTTMEFEHELHPRTGTMMLKSDEVLVETLEDNQVQLQNLMMSKYLSHFLK EVTSWQQKLSTADSVISIWFEVQRTWSHLESIFIGSEDIRAQLPEDSKRFDAIDQEFKAL MEDAVKTPNVVEATNKPDLYNKLENLKMSLAVCEKALAEYLETKRLAFPRFYFVSSADLL DILSNGNDPVEVSRHLSKLFDSLCKLKFRLDASGKPLKFGLGMYSKEDEFVDFDKECDLS GQVEVWLNRVLDRMRATLRHEIPEAVVTYEEKPREQWIFDYPAQVALTCTQIWWTTEVGL AFARLEEGYENAIKDYNKKQISQLNALITLLIGNLTAGDRMKIMTICTIDVHARDVVESS QAFTWQSQLRHRWDEEKKHCFANICDAQIKYSYEYLGNTPRLVITPLTDRCYITLTQSLH LIMGGAPAGPAGTGKTETTKDLGRALGTMVYVFNCSEQMDYKSCGNIYKGLAQTGAWGCF DEFNRISVEVLSVIAVQVKCVQDAIRAKKKKFNFLGEIISLVPTVGIFITMNPGYAGRTE LPENLKALFRPCAMVVPDFELICEIMLVAEGFLDARLLARKFITLYTLCKELLSKQDHYD WGLRAIKSVLVVAGSLKRGDPTRAEDQVLMRALRDFNIPKIVTDDLPVFMGLIGDLFPAL DVPRKRDLNFEKIIKQSIVELKLQAEDSFVLKVVQLEELLQVRHSVFVIGNAGSGKSQAL KTVQSCTEGCPVQTVPIQDIEPVDAPHPQVLKSLNKTYQNLKRKPVAVDLDPKAVTCDEL FGIINPATREWKDGWCGLFSTIMRDLANLTHEGPKWIVLDGDIDPMWIESLNTVMDDNKV LTLASNERIPLNRTMRLVFEISHLRTATPATVSRAGILYINPADLGWNPVVSSWIERRKV QSEKANLIILFDKYLPTCLDKLRIGFKRITPVPEITVIQTILYLLECLLTEKNAPPDSPK ELYELYFVFACFWAFGGAMFQDQLIDYRVEFSKWWINEFKTIKLPSQGTIFDYYIDPETK KFLPWTDKVPNFELDPDIPLQASLVHTTETIRIRYFIDLLMEKAWPVMLVGNAGTGKSVL MGDKLENLSTDDYLVQAVPFNFYTTSAMLQGVLEKPLEKKSGRNYGPPGTKKLIYFIDDM NMPEVDKYGTVAPHTLIRQHMDHRHWYDRQKLTLKDVHNCQYVACMNPTSGSFTIDPRLQ RHFCVFAVSFPGQEALTSIYNTILAQHLSFRSAPLVIQRLSSHLVTAALAEILKTPLDLV RLWLHEAERVYGDKMVDEKDQETLHRVTIASVKKFFDDLGEENLFAKPNIFCHFTQGIGD PKYFPVTDVAQLNKLLKDVLDSYNEVNAVMNLVLFEDAVAHICKINRILESPRGNALLVG VGGSGKQSLSRLAAYISALDVFQITLKKGYAIPDLKMDLATQYIKSAVKNVPSVFLMTDS QVAEEQFLVLINDLLASGEIPGLFGDEDLENIISSMRPQVKSLGIADTREACWKFFIEKV RRQLKVILCFSPVGSVLRVRARKFPAVVNCTAINWFHEWPEDALVSVSARFLEETEGIEP EVKTSISLFMAYVHTTVNEMSKIYLTIERRYNYTTPKTFLEQIKLYQNLLAKKRMELVAK IERLENGLMKLQSTASQVDDLKAKLAVQETELKQKNENADKLIQVVGVETEKVSKEKAIA DEEEMKVEVINKNVTEKQKACETDLAKAEPALLAAQEALDTLNKVTREVERKGAGVVMWC SGVTVMCLSLQNNLTELKSFGSPPDAVVNVTAAVMILTAPGGKIPKDKSWKAAKIMMGKV DTFLDSLKKFDKEHIPEACLKAFKPYQGNPTFDPEFIRSKSTAAAGLCSWCINIVRFYEV YCDVAPKRQALEEANAELAEAQEKLSRIKNKIAELNANLSNLTSAFEKATAEKIKCQQEA DATNRVISLANRLVGGLASENVRWAESVENFKSQGVTLCGDVLLISAFVSYVGYFTKKYR NELMEKFWIPYINKLKVPIPITEGLDPLTLLTDDADVATWNNQGLPSDRMSTENATILCN TERWPLIVDAQLQGIKWIKNKYGSDLQAIRLGQKSYLDIIEQAISAGDTLLIENIGETVD PVLDPLLGRNTIKKGRFIKIGDKEVEYHPSFRLILHTKYFNPHYKPEMQAQCTLINFLVT RDGLEDQLLAAVVAKERPDLEQLKANLTKSQNEFKIVLKELEDSLLARLSAASGNFLGDT ALVENLETTKHTANEIEEKVQEAKITEVKINEARENYRPAAERASLLYFILNDLNKINPI YQFSLKAFNVVFEKAIQKTAPADEVKQRVINLTDEITYSVYMYTARGLFERDKLIFLAQV TFQVLSMKKELNPVELDFLLRFPFKAGVVSPVDFLQHQSWGGIKALSEMDEFKNLDSDIE GSAKRWKKLVESEAPEKEIFPKEWKNKTALQKLCMVRCMRPDRMTYAVKNFVEEKMGSKF VEGRSVEFSKSYKESSPSTPIFFILSPGVDPLKDVEALGKKLGFTIDNGKLHNVSLGQGQ EVVAENALDVAAEKGHWVILQNIHLVARWLGILDKKVERYSSGSHEDYRVFISAEPAPTA ETHIIPQGILENAIKITNEPPTGMYANLHKALDLFTQDTLEMCTKEIEFKCILFALCYFH AVVAERRKFGAQGWNRSYPFNNGDLTISINVLYNYLEANSKVPWDDLRYLFGEIMYGGHI TDDWDRRLCRTYLAEYIRVEMLEGEVLLAPGFQIPPNLDYKGYHEYIDENLPPESPYLYG LHPNAEIGFLTVTSEKLFRTVLEMQPKETDSGAGTGVSREEKACPALVALAGDVTGLPGT GFQVKAVLDDILEKIPETFNMAEIMAKAAEKTPYVVVAFQECERMNILTNEMRRSLKELN LGLKGELTITTDMEDLSTALFYDTVPDTWVARAYPSMMGLAAWYADLLQRIRELESWTTD FALPTTVWLAGFFNPQSFLTAIMQSMARKNEWPLDKMCLSVEVTKKNREDMTAPPREGSY VYGLFMEGNLSVQEASRITVTSESLVHTPPCLALSDPGTWPERFMAPSHWPVSEKSGEGT QTLSSIVPTGARWDTQTGVIAEARLKDLTPVMPVIFIKAIPVDRMETKNIYECPVYKTRI RGPTYVWTFNLKTKEKAAKWILAAVALLLQV >ENSMUSP00000018719.3 pep:known chromosome:GRCm38:11:118087327:118093702:-1 gene:ENSMUSG00000033987.15 transcript:ENSMUST00000018719.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah17 description:dynein, axonemal, heavy chain 17 [Source:MGI Symbol;Acc:MGI:1917176] MRATLRHEIPEAVVTYEEKPREQWIFDYPAQVALTCTQIWWTTEVGLAFARLEEGYENAI KDYNKKQISQLNALITLLIGNLTAGDRMKIMTICTIDVHARDVVAKMITAKVESSQAFTW QSQLRHRWDEEKKHCFANICDAQIKYSYEYLGNTPRLVITPLTDRWGPPTARCPTPSPTD PPPTPPPTLALQLEKPTFIWWGGPVL >ENSMUSP00000115370.1 pep:known chromosome:GRCm38:11:118112433:118125944:-1 gene:ENSMUSG00000033987.15 transcript:ENSMUST00000124164.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah17 description:dynein, axonemal, heavy chain 17 [Source:MGI Symbol;Acc:MGI:1917176] MEQADFTMLPSFIVKVLSTICFIWATSEHYNTPSRVIVILREFCNQIIEMTRTYLSPDEV LKGLQGEIEEVLGNISLSVSVLKGLFQAYDFCCANMKLFFKDRPPVPWEFPSSLAFSRMN SFFHRVQTIEDLYKTAIEFLKLEKIELGGVWGNILGNLVTQIYDEVFELVKVFAECKYDP LDPGDSSFDDDYSDFETKIQDLDRRLATIFCQAFDDCNCMESSAKLLYMCGGLLERPLIL VEVVPRYSVMLEMFNTELDNAKLMYDAQMAASADGQIPPIHKNMSPVSGQLKWSLELQER LEVSMKYLKHIEHPVMSSMEAKLIYDKYDEMMGLLQSCRMKKYQQWVEGVDQDCHFNLGQ PLIQRDPFTSLIQVNFSKALVAVLREVKYLNFQQQKEIPESAEKLFSENETFRKFVGNLE LIVGWYNEIKTTVKDVEFPLIKSELEAIDVKLLSAETTLFWNGENVMEYIQEMREMLYNL QNRIQKAKQNVEGITQAMQEWSANPLFERKDNKKEALLDLDGRVANLNKRYAAVKEAGVR IQAMVVVRRPPQGVFWKLLAFYRGEEK >ENSMUSP00000081864.5 pep:known chromosome:GRCm38:11:118021723:118129219:-1 gene:ENSMUSG00000033987.15 transcript:ENSMUST00000084803.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah17 description:dynein, axonemal, heavy chain 17 [Source:MGI Symbol;Acc:MGI:1917176] MPDLRIDYLETVSSVLLKFKADKWGKLIGAEENMALLTEFFDKIDNPVLVLTLNAAGMII PCLGFPESLKSKGVYFIKMKPENITKDNYKTHLIYGDISPTTVDQLIAVVEEVLYSLLNQ SENMDGWPRVVSEDIVKQVHRLKNEMFVMGGKIKGKTLLPIPEHLGSLDGTLDSMERIPS SMDNSLLHSIETIIIDWSHQIRDVLSKDSAQALLDGLHPLPRVEFEFWDARLMNLQCIHE QLNRPKVNKIVEILEKAKSCYWPALQNVYMNVTQGLKEANGIVLYLKPLRILLEEMEQAD FTMLPSFIVKVLSTICFIWATSEHYNTPSRVIVILREFCNQIIEMTRTYLSPDEVLKGLQ GEIEEVLGNISLSVSVLKGLFQAYDFCCANMKLFFKDRPPVPWEFPSSLAFSRMNSFFHR VQTIEDLYKTAIEFLKLEKIELGGVWGNILGNLVTQIYDEVFELVKVFAECKYDPLDPGD SSFDDDYSDFETKIQDLDRRLATIFCQAFDDCNCMESSAKLLYMCGGLLERPLILVEVVP RYSVMLEMFNTELDNAKLMYDAQMAASADGQIPPIHKNMSPVSGQLKWSLELQERLEVSM KYLKHIEHPVMSSMEAKLIYDKYDEMMGLLQSCRMKKYQQWVEGVDQDCHFNLGQPLIQR DPFTSLIQVNFSKALVAVLREVKYLNFQQQKEIPESAEKLFSENETFRKFVGNLELIVGW YNEIKTTVKDVEFPLIKSELEAIDVKLLSAETTLFWNGENVMEYIQEMREMLYNLQNRIQ KAKQNVEGITQAMQEWSANPLFERKDNKKEALLDLDGRVANLNKRYAAVKEAGVRIQAMV VENAELFRADTTSQSWKDYVNYIDTVVLDEFDRFIRKSLNYLMDNMTMDESIAPLFEIRM ELDKDGLTYNPSLEMGDEAGFLSLIEGLINDLYNVARLIPRLAKGRLNYKSDLEDITDLI EMREEVSSLVIGAMKVAEEYQDSFERYSYLWVDDLQEFMKNFLIFGHAPTPEELDTKTDD TIPKTPPTLAQFQQQIDSYEKLYEEVSSCENTKVFHGWLQCDCRPFKQTLLNTIKRWSFL FKRYLNNHVINSLADLESFMNITRTALKKPLKEGDYDGLVEVMGHLMKVKERQVATDSMF EPLKQTIELLKSYGEEMPEEIYLKLQELPEQWTNTKKLAIQVKQNVAPLQANEVNILRRK CQQFELKQHEFREKFRRDAPFSFSDPEPYKSLNKIYLLYGVMEALCKSASLFEVTVPDYK QLKACHREVRLLKELWDMIVMVNTSIDDWKTTKWKDINVEQMDIDCKKFAKDVRSLDKEM KPWDAFVGLDNTVKNMITSLRAVSELQNPAIRDRHWQQLMQATQVKFEMSEETTLADLLQ LNLHKYEDEVRNIVDKAVKESGMEKVLKTLDITWTTMEFEHELHPRTGTMMLKSDEVLVE TLEDNQVQLQNLMMSKYLSHFLKEVTSWQQKLSTADSVISIWFEVQRTWSHLESIFIGSE DIRAQLPEDSKRFDAIDQEFKALMEDAVKTPNVVEATNKPDLYNKLENLKMSLAVCEKAL AEYLETKRLAFPRFYFVSSADLLDILSNGNDPVEVSRHLSKLFDSLCKLKFRLDASGKPL KFGLGMYSKEDEFVDFDKECDLSGQVEVWLNRVLDRMRATLRHEIPEAVVTYEEKPREQW IFDYPAQIWWTTEVGLAFARLEEGYENAIKDYNKKQISQLNALITLLIGNLTAGDRMKIM TICTIDVHARDVVAKMITVESSQAFTWQSQLRHRWDEEKKHCFANICDAQIKYSYEYLGN TPRLVITPLTDRCYITLTQSLHLIMGGAPAGPAGTGKTETTKDLGRALGTMVYVFNCSEQ MDYKSCGNIYKGLAQTGAWGCFDEFNRISVEVLSVIAVQVKCVQDAIRAKKKKFNFLGEI ISLVPTVGIFITMNPGYAGRTELPENLKALFRPCAMVVPDFELICEIMLVAEGFLDARLL ARKFITLYTLCKELLSKQDHYDWGLRAIKSVLVVAGSLKRGDPTRAEDQVLMRALRDFNI PKIVTDDLPVFMGLIGDLFPALDVPRKRDLNFEKIIKQSIVELKLQAEDSFVLKVVQLEE LLQVRHSVFVIGNAGSGKSQVLKSLNKTYQNLKRKPVAVDLDPKAVTCDELFGIINPATR EWKDGLFSTIMRDLANLTHEGPKWIVLDGDIDPMWIESLNTVMDDNKVLTLASNERIPLN RTMRLVFEISHLRTATPATVSRAGILYINPADLGWNPVVSSWIERRKVQSEKANLIILFD KYLPTCLDKLRIGFKRITPVPEITVIQTILYLLECLLTEKNAPPDSPKELYELYFVFACF WAFGGAMFQDQLIDYRVEFSKWWINEFKTIKLPSQGTIFDYYIDPETKKFLPWTDKVPNF ELDPDIPLQASLVHTTETIRIRYFIDLLMEKAWPVMLVGNAGTGKSVLMGDKLENLSTDD YLVQAVPFNFYTTSAMLQGVLEKPLEKKSGRNYGPPGTKKLIYFIDDMNMPEVDKYGTVA PHTLIRQHMDHRHWYDRQKLTLKDVHNCQYVACMNPTSGSFTIDPRLQRHFCVFAVSFPG QEALTSIYNTILAQHLSFRSAPLVIQRLSSHLVTAALALHQKVSATFLPTAIKFHYIFNL RDLSNIFQGILFSTAEILKTPLDLVRLWLHEAERVYGDKMVDEKDQETLHRVTIASVKKF FDDLGEENLFAKPNIFCHFTQGIGDPKYFPVTDVAQLNKLLKDVLDSYNEVNAVMNLVLF EDAVAHICKINRILESPRGNALLVGVGGSGKQSLSRLAAYISALDVFQITLKKGYAIPDL KMDLATQYIKSAVKNVPSVFLMTDSQVAEEQFLVLINDLLASGEIPGLFGDEDLENIISS MRPQVKSLGIADTREACWKFFIEKVRRQLKVILCFSPVGSVLRVRARKFPAVVNCTAINW FHEWPEDALVSVSARFLEETEGIEPEVKTSISLFMAYVHTTVNEMSKIYLTIERRYNYTT PKTFLEQIKLYQNLLAKKRMELVAKIERLENGLMKLQSTASQVDDLKAKLAVQETELKQK NENADKLIQVVGVETEKVSKEKAIADEEEMKVEVINKNVTEKQKACETDLAKAEPALLAA QEALDTLNKNNLTELKSFGSPPDAVVNVTAAVMILTAPGGKIPKDKSWKAAKIMMGKVDT FLDSLKKFDKEHIPEACLKAFKPYQGNPTFDPEFIRSKSTAAAGLCSWCINIVRFYEVYC DVAPKRQALEEANAELAEAQEKLSRIKNKIAELNANLSNLTSAFEKATAEKIKCQQEADA TNRVISLANRLVGGLASENVRWAESVENFKSQGVTLCGDVLLISAFVSYVGYFTKKYRNE LMEKFWIPYINKLKVPIPITEGLDPLTLLTDDADVATWNNQGLPSDRMSTENATILCNTE RWPLIVDAQLQGIKWIKNKYGSDLQAIRLGQKSYLDIIEQAISAGDTLLIENIGETVDPV LDPLLGRNTIKKGRFIKIGDKEVEYHPSFRLILHTKYFNPHYKPEMQAQCTLINFLVTRD GLEDQLLAAVVAKERPDLEQLKANLTKSQNEFKIVLKELEDSLLARLSAASGNFLGDTAL VENLETTKHTANEIEEKVQEAKITEVKINEARENYRPAAERASLLYFILNDLNKINPIYQ FSLKAFNVVFEKAIQKTAPADEVKQRVINLTDEITYSVYMYTARGLFERDKLIFLAQVTF QVLSMKKELNPVELDFLLRFPFKAGVVSPVDFLQHQSWGGIKALSEMDEFKNLDSDIEGS AKRWKKLVESEAPEKEIFPKEWKNKTALQKLCMVRCMRPDRMTYAVKNFVEEKMGSKFVE GRSVEFSKSYKESSPSTPIFFILSPGVDPLKDVEALGKKLGFTIDNGKLHNVSLGQGQEV VAENALDVAAEKGHWVILQVRGSLPQNIHLVARWLGILDKKVERYSSGSHEDYRVFISAE PAPTAETHIIPQGILENAIKITNEPPTGMYANLHKALDLFTQDTLEMCTKEIEFKCILFA LCYFHAVVAERRKFGAQGWNRSYPFNNGDLTISINVLYNYLEANSKVPWDDLRYLFGEIM YGGHITDDWDRRLCRTYLAEYIRVEMLEGEVLLAPGFQIPPNLDYKGYHEYIDENLPPES PYLYGLHPNAEIGFLTVTSEKLFRTVLEMQPKETDSGAGTGVSREEKVKAVLDDILEKIP ETFNMAEIMAKAAEKTPYVVVAFQECERMNILTNEMRRSLKELNLGLKGELTITTDMEDL STALFYDTVPDTWVARAYPSMMGLAAWYADLLQRIRELESWTTDFALPTTVWLAGFFNPQ SFLTAIMQSMARKNEWPLDKMCLSVEVTKKNREDMTAPPREGSYVYGLFMEGARWDTQTG VIAEARLKDLTPVMPVIFIKAIPVDRMETKNIYECPVYKTRIRGPTYVWTFNLKTKEKAA KWILAAVALLLQV >ENSMUSP00000101915.3 pep:known chromosome:GRCm38:11:118021723:118129219:-1 gene:ENSMUSG00000033987.15 transcript:ENSMUST00000106308.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah17 description:dynein, axonemal, heavy chain 17 [Source:MGI Symbol;Acc:MGI:1917176] MPDLRIDYLETVSSVLLKFKADKWGKLIGAEENMALLTEFFDKIDNPVLVLTLNAAGMII PCLGFPESLKSKGVYFIKMKPENITKDNYKTHLIYGDISPTTVDQLIAVVEEVLYSLLNQ SENMDGWPRVVSEDIVKQVHRLKNEMFVMGGKIKGKTLLPIPEHLGSLDGTLDSMERIPS SMDNSLLHSIETIIIDWSHQIRDVLSKDSAQALLDGLHPLPRVEFEFWDARLMNLQCIHE QLNRPKVNKIVEILEKAKSCYWPALQNVYMNVTQGLKEANGIVLYLKPLRILLEEMEQAD FTMLPSFIVKVLSTICFIWATSEHYNTPSRVIVILREFCNQIIEMTRTYLSPDEVLKGLQ GEIEEVLGNISLSVSVLKGLFQAYDFCCANMKLFFKDRPPVPWEFPSSLAFSRMNSFFHR VQTIEDLYKTAIEFLKLEKIELGGVWGNILGNLVTQIYDEVFELVKVFAECKYDPLDPGD SSFDDDYSDFETKIQDLDRRLATIFCQAFDDCNCMESSAKLLYMCGGLLERPLILVEVVP RYSVMLEMFNTELDNAKLMYDAQMAASADGQIPPIHKNMSPVSGQLKWSLELQERLEVSM KYLKHIEHPVMSSMEAKLIYDKYDEMMGLLQSCRMKKYQQWVEGVDQDCHFNLGQPLIQR DPFTSLIQVNFSKALVAVLREVKYLNFQQQKEIPESAEKLFSENETFRKFVGNLELIVGW YNEIKTTVKDVEFPLIKSELEAIDVKLLSAETTLFWNGENVMEYIQEMREMLYNLQNRIQ KAKQNVEGITQAMQEWSANPLFERKDNKKEALLDLDGRVANLNKRYAAVKEAGVRIQAMV VENAELFRADTTSQSWKDYVNYIDTVVLDEFDRFIRKSLNYLMDNMTMDESIAPLFEIRM ELDKDGLTYNPSLEMGDEAGFLSLIEGLINDLYNVARLIPRLAKGRLNYKSDLEDITDLI EMREEVSSLVIGAMKVAEEYQDSFERYSYLWVDDLQEFMKNFLIFGHAPTPEELDTKTDD TIPKTPPTLAQFQQQIDSYEKLYEEVSSCENTKVFHGWLQCDCRPFKQTLLNTIKRWSFL FKRYLNNHVINSLADLESFMNITRTALKKPLKEGDYDGLVEVMGHLMKVKERQVATDSMF EPLKQTIELLKSYGEEMPEEIYLKLQELPEQWTNTKKLAIQVKQNVAPLQANEVNILRRK CQQFELKQHEFREKFRRDAPFSFSDPEPYKSLNKISAMEGVMEALCKSASLFEVTVPDYK QLKACHREVRLLKELWDMIVMVNTSIDDWKTTKWKDINVEQMDIDCKKFAKDVRSLDKEM KPWDAFVGLDNTVKNMITSLRAVSELQNPAIRDRHWQQLMQATQVKFEMSEETTLADLLQ LNLHKYEDEVRNIVDKAVKESGMEKVLKTLDITWTTMEFEHELHPRTGTMMLKSDEVLVE TLEDNQVQLQNLMMSKYLSHFLKEVTSWQQKLSTADSVISIWFEVQRTWSHLESIFIGSE DIRAQLPEDSKRFDAIDQEFKALMEDAVKTPNVVEATNKPDLYNKLENLKMSLAVCEKAL AEYLETKRLAFPRFYFVSSADLLDILSNGNDPVEVSRHLSKLFDSLCKLKFRLDASGKPL KFGLGMYSKEDEFVDFDKECDLSGQVEVWLNRVLDRMRATLRHEIPEAVVTYEEKPREQW IFDYPAQIWWTTEVGLAFARLEEGYENAIKDYNKKQISQLNALITLLIGNLTAGDRMKIM TICTIDVHARDVVAKMITVESSQAFTWQSQLRHRWDEEKKHCFANICDAQIKYSYEYLGN TPRLVITPLTDRCYITLTQSLHLIMGGAPAGPAGTGKTETTKDLGRALGTMVYVFNCSEQ MDYKSCGNIYKGLAQTGAWGCFDEFNRISVEVLSVIAVQVKCVQDAIRAKKKKFNFLGEI ISLVPTVGIFITMNPGYAGRTELPENLKALFRPCAMVVPDFELICEIMLVAEGFLDARLL ARKFITLYTLCKELLSKQDHYDWGLRAIKSVLVVAGSLKRGDPTRAEDQVLMRALRDFNI PKIVTDDLPVFMGLIGDLFPALDVPRKRDLNFEKIIKQSIVELKLQAEDSFVLKVVQLEE LLQVRHSVFVIGNAGSGKSQVLKSLNKTYQNLKRKPVAVDLDPKAVTCDELFGIINPATR EWKDGLFSTIMRDLANLTHEGPKWIVLDGDIDPMWIESLNTVMDDNKVLTLASNERIPLN RTMRLVFEISHLRTATPATVSRAGILYINPADLGWNPVVSSWIERRKVQSEKANLIILFD KYLPTCLDKLRIGFKRITPVPEITVIQTILYLLECLLTEKNAPPDSPKELYELYFVFACF WAFGGAMFQDQLIDYRVEFSKWWINEFKTIKLPSQGTIFDYYIDPETKKFLPWTDKVPNF ELDPDIPLQASLVHTTETIRIRYFIDLLMEKAWPVMLVGNAGTGKSVLMGDKLENLSTDD YLVQAVPFNFYTTSAMLQGVLEKPLEKKSGRNYGPPGTKKLIYFIDDMNMPEVDKYGTVA PHTLIRQHMDHRHWYDRQKLTLKDVHNCQYVACMNPTSGSFTIDPRLQRHFCVFAVSFPG QEALTSIYNTILAQHLSFRSAPLVIQRLSSHLVTAALALHQKVSATFLPTAIKFHYIFNL RDLSNIFQGILFSTAEILKTPLDLVRLWLHEAERVYGDKMVDEKDQETLHRVTIASVKKF FDDLGEENLFAKPNIFCHFTQGIGDPKYFPVTDVAQLNKLLKDVLDSYNEVNAVMNLVLF EDAVAHICKINRILESPRGNALLVGVGGSGKQSLSRLAAYISALDVFQITLKKGYAIPDL KMDLATQYIKSAVKNVPSVFLMTDSQVAEEQFLVLINDLLASGEIPGLFGDEDLENIISS MRPQVKSLGIADTREACWKFFIEKVRRQLKVILCFSPVGSVLRVRARKFPAVVNCTAINW FHEWPEDALVSVSARFLEETEGIEPEVKTSISLFMAYVHTTVNEMSKIYLTIERRYNYTT PKTFLEQIKLYQNLLAKKRMELVAKIERLENGLMKLQSTASQVDDLKAKLAVQETELKQK NENADKLIQVVGVETEKVSKEKAIADEEEMKVEVINKNVTEKQKACETDLAKAEPALLAA QEALDTLNKNNLTELKSFGSPPDAVVNVTAAVMILTAPGGKIPKDKSWKAAKIMMGKVDT FLDSLKKFDKEHIPEACLKAFKPYQGNPTFDPEFIRSKSTAAAGLCSWCINIVRFYEVYC DVAPKRQALEEANAELAEAQEKLSRIKNKIAELNANLSNLTSAFEKATAEKIKCQQEADA TNRVISLANRLVGGLASENVRWAESVENFKSQGVTLCGDVLLISAFVSYVGYFTKKYRNE LMEKFWIPYINKLKVPIPITEGLDPLTLLTDDADVATWNNQGLPSDRMSTENATILCNTE RWPLIVDAQLQGIKWIKNKYGSDLQAIRLGQKSYLDIIEQAISAGDTLLIENIGETVDPV LDPLLGRNTIKKGRFIKIGDKEVEYHPSFRLILHTKYFNPHYKPEMQAQCTLINFLVTRD GLEDQLLAAVVAKERPDLEQLKANLTKSQNEFKIVLKELEDSLLARLSAASGNFLGDTAL VENLETTKHTANEIEEKVQEAKITEVKINEARENYRPAAERASLLYFILNDLNKINPIYQ FSLKAFNVVFEKAIQKTAPADEVKQRVINLTDEITYSVYMYTARGLFERDKLIFLAQVTF QVLSMKKELNPVELDFLLRFPFKAGVVSPVDFLQHQSWGGIKALSEMDEFKNLDSDIEGS AKRWKKLVESEAPEKEIFPKEWKNKTALQKLCMVRCMRPDRMTYAVKNFVEEKMGSKFVE GRSVEFSKSYKESSPSTPIFFILSPGVDPLKDVEALGKKLGFTIDNGKLHNVSLGQGQEV VAENALDVAAEKGHWVILQVRGSLPQNIHLVARWLGILDKKVERYSSGSHEDYRVFISAE PAPTAETHIIPQGILENAIKITNEPPTGMYANLHKALDLFTQDTLEMCTKEIEFKCILFA LCYFHAVVAERRKFGAQGWNRSYPFNNGDLTISINVLYNYLEANSKVPWDDLRYLFGEIM YGGHITDDWDRRLCRTYLAEYIRVEMLEGEVLLAPGFQIPPNLDYKGYHEYIDENLPPES PYLYGLHPNAEIGFLTVTSEKLFRTVLEMQPKETDSGAGTGVSREEKVGAVPVPEGSLGS EGSLGTIGLPGTGFQVKAVLDDILEKIPETFNMAEIMAKAAEKTPYVVVAFQECERMNIL TNEMRRSLKELNLGLKGELTITTDMEDLSTALFYDTVPDTWVARAYPSMMGLAAWYADLL QRIRELESWTTDFALPTTVWLAGFFNPQSFLTAIMQSMARKNEWPLDKMCLSVEVTKKNR EDMTAPPREGSYVYGLFMEGARWDTQTGVIAEARLKDLTPVMPVIFIKAIPVDRMETKNI YECPVYKTRIRGPTYVWTFNLKTKEKAAKWILAAVALLLQV >ENSMUSP00000108261.1 pep:known chromosome:GRCm38:5:107744795:107875107:-1 gene:ENSMUSG00000011831.16 transcript:ENSMUST00000112642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi5 description:ecotropic viral integration site 5 [Source:MGI Symbol;Acc:MGI:104736] MVTTKMTAAFRNPNRRQVATDKVAEKLSSTLSWVKNTVSHTVSQMASQVASPSASLHTTS SSTTLSTPTQSPSSPSKLSPDDLELLAKLEEQNRLIETDSKSLRSVNGSRRNSGSSLVSS SSASSNLSHLEEDSWILWGRIVNEWDDVRKKKEKQVKELVRKGIPHHFRAIVWQLLCNAQ SMTIKDQYSELLKMTSPCEKLIRRDIARTYPEHNFFKEKDSLGQEVLFNVMKAYSLVDRE VGYCQGSAFIVGLLLMQMPEEEAFCVFVKLMQDYRLRELFKPSMAELGLCMYQFECMIQE YLPELFVHFQSQSFHTSMYASSWFLTIFLTTFPLPIATRIFDIFMSEGLEIVFRVGLALL QMNQAELMQLDMEGMLQHFQKVIPHQFDGGPEKLIQSAYQVKYNSKKMKKLEKEYTTIKT KEMEEQGEIKRLRTENRLLKQRIETLEKHKCSSTYNEDFVLQLEKELVQARLSEAESQCA LKEMQDKVLDIEKKNNSFPDENNIARLQEELIAVKLREAEAIMGLKELRQQVRTLEEHWQ RHLARTSGRWKDPPKKNAVNELQDELMSIRLREAETQAEIREMKQRMMEMETQNQINSNQ LRRAEQEVNSLQEKVCSLSVKNKGLLAQLSEAKRRQAEIECKNKEEVMAVRLREADSIAA VAELQQHIAELEIQKEEGKLQGQLNRSDSNQYIRELKDQIAELTHELRCLKGQRDFSSRP PFDGIHIVSHLIGDDELFHSSDEDFIDSSLQESAIGFPLHRKSGPMSLNPALADGSESEA EDGMLGPQESDPEAPQKQPPQRESYSTTV >ENSMUSP00000114845.1 pep:known chromosome:GRCm38:5:107788287:107869168:-1 gene:ENSMUSG00000011831.16 transcript:ENSMUST00000128723.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi5 description:ecotropic viral integration site 5 [Source:MGI Symbol;Acc:MGI:104736] MASQVASPSASLHTTSSSTTLSTPTQSPSSPSKLSPDDLELLAKLEEQNRLIETDSKSLR SVNGSRRNSGSSLVSSSSASSNLSHLEEDSWILWGRIVNEWDDVRKKKEKQVKELVRKGI PHHFRAIVWQLLCNAQSMTIKDQYSELLKMTSPCEKLIRRDIARTYPEHNFFKEKDSLGQ EVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVKLMQDYRLRELFKPSM AELGLCMYQFECMIQEYLPELFVHFQSQSFHTSMYASSWFLTIFLTTFPLPIATRIFDIF MSEGLEIVFRVGLALLQMNQAELMQLDMEGMLQHFQKVIPHQFDGGPEKLIQSAYQVKYN SKKMKKLEKEYTTIKTKEMEEQGEIKRLRTENRLLKQRIETLEKESASLADRLIQGQVTR AQEAEENYLIKRELATIKQQTDAARAKLEQAESTIRELRHHRHWHKCSSTYNEDFVLQLE KELVQARLSEAESQCALKEMQDKVLDIEKKNNSFPDENNIARLQEELIAVKLREAEAIMG LKELRQQVRTLEEHWQRHLARTSGRWKDPPKKNAVNELQDELMSIRLREAETQAEIREMK QRMMEMETQNQINSNQLRRAEQEVNSLQEKVCSLSVKNKGLLAQLSEAKRRQAEIECKNK >ENSMUSP00000121761.1 pep:known chromosome:GRCm38:5:107813576:107869168:-1 gene:ENSMUSG00000011831.16 transcript:ENSMUST00000124034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi5 description:ecotropic viral integration site 5 [Source:MGI Symbol;Acc:MGI:104736] MASQVASPSASLHTTSSSTTLSTPTQSPSSPSKLSPDDLELLAKLEEQNRLIETDSKSLR SVNGSRRNSGSSLVSSSSASSNLSHLEEDSWILWGRIVNEWDDVRKKKEKQVKELVRKGI PHHFRAIVWQLLCNAQSMTIKDQYSELLKMTSPCEKLIRRDIARTYPEHNFFKEKDSLGQ EVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVKLMQDYRLRELFKPSM AELGLCMYQFECMIQEYLPELFVHFQSQSFHTSMYASSWFLTIFLTTFPLPIATRIFDIF MSEGLEIVFRVGLALLQMNQAELMQLDMEGMLQHFQKVIPHQFDGGPEKLIQSAYQVKYN SKKMKKLEKEYTTIKT >ENSMUSP00000119196.1 pep:known chromosome:GRCm38:5:107815611:107875059:-1 gene:ENSMUSG00000011831.16 transcript:ENSMUST00000138111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi5 description:ecotropic viral integration site 5 [Source:MGI Symbol;Acc:MGI:104736] MASQVASPSASLHTTSSSTTLSTPTQSPSSPSKLSPDDLELLAKLEEQNRLIETDSKSLR SVNGSRRNSGSSLVSSSSASSNLSHLEEDSWILWGRIVNEWDDVRKKKEKQVKELVRKGI PHHFRAIVWQLLCNAQSMTIKDQYSELLKMTSPCEKLIRRDIARTYPEHNFFKEKDSLGQ EVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVKLMQDYRLRELFKPSM AELGLCMYQFECMIQEYLPELFVHFQSQSFHTSMYASSWFLTIFLTTFPLPIATRIFDIF MSEGLEIVFRVGLALLQMNQAELMQLDMEGMLQHFQKVIPHQFDGGPEKLIQSAYQVKYN SKKMK >ENSMUSP00000119758.1 pep:known chromosome:GRCm38:5:107819660:107868388:-1 gene:ENSMUSG00000011831.16 transcript:ENSMUST00000155955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi5 description:ecotropic viral integration site 5 [Source:MGI Symbol;Acc:MGI:104736] MVATDKVAEKLSSTLSWVKNTVSHTVSQMASQVASPSASLHTTSSSTTLSTPTQSPSSPS KLSPDDLELLAKLEEQNRLIETDSKSLRSVNGSRRNSGSSLVSSSSASSNLSHLEEDSWI LWGRIVNEWDDVRKKKEKQVKELVRKGIPHHFRAIVWQLLCNAQSMTIKDQYSELLKMTS PCEKLIRRDIARTYPEHNFFKEKDSLGQEVLFNVMKAYSLVD >ENSMUSP00000070718.2 pep:known chromosome:GRCm38:2:164198863:164200130:-1 gene:ENSMUSG00000051769.2 transcript:ENSMUST00000063251.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc15a description:WAP four-disulfide core domain 15A [Source:MGI Symbol;Acc:MGI:1915471] MKPSSLLLFTTTILLCLSMAQPRATRKGVTPKQGYCPEFLLDCPFVLLPVCSRDKGCKGT KKCCFYYCQMRCVEPWTTLT >ENSMUSP00000030446.8 pep:known chromosome:GRCm38:4:116989965:116994413:-1 gene:ENSMUSG00000028684.14 transcript:ENSMUST00000030446.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urod description:uroporphyrinogen decarboxylase [Source:MGI Symbol;Acc:MGI:98916] MEANGFGLQNFPELKNDTFLRAAWGEETDYTPVWCMRQAGRYLPEFRETRAAQDFFSTCR SPEACCELTLQPLRRFPLDAAIIFSDILVVPQALGMEVTMVPGKGPSFPEPLREERDLER LRDPAAAASELGYVFQAITLTRQRLAGRVPLIGFAGAPWTLMTYMVEGGSSSTMAQAKRW LYQRPQASHKLLGILTDVLVPYLIGQVAAGAQALQLFESHAGHLGTELFSKFALPYIRDV AKRVKAGLQKAGLAPVPMIIFAKDGHFALEELAQAGYEVVGLDWTVAPKKARERVGKAVT LQGNLDPCALYASEEEIGRLVQQMLDDFGPQRYIANLGHGLYPDMDPERVGAFVDAVHKH SRLLRQN >ENSMUSP00000116154.2 pep:known chromosome:GRCm38:4:116991461:116994357:-1 gene:ENSMUSG00000028684.14 transcript:ENSMUST00000130273.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urod description:uroporphyrinogen decarboxylase [Source:MGI Symbol;Acc:MGI:98916] MEVTMVPGKGPSFPEPLREERDLERLRDPAAAASELGYVFQAITLTRQRLAGRVPLIGFA GAPALQLFESHAGHLGTELFSKFALPYIRDVAKRVKAGLQKAGLAPVPMIIFAKDGHFAL >ENSMUSP00000122307.1 pep:known chromosome:GRCm38:3:103859795:103912244:1 gene:ENSMUSG00000027843.13 transcript:ENSMUST00000146071.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptpn22 description:protein tyrosine phosphatase, non-receptor type 22 (lymphoid) [Source:MGI Symbol;Acc:MGI:107170] MDQREILQQLLKEAQKKKLNSEEFASEFLKLKRQSTKYKADKIYPTTVAQRPKNIKKNRY KDILPYDHSLVELSLLTSDEDSSYINASFIKGVYGPKAYIATQGPLSTTLLDFWRMIWEY RILVIVMACMEFEMGKKKCERYWAEPGETQLQFGPFSISCEAEKKKSDYKIRTLKAKFNN ETRIIYQFHYKNWPDHDVPSSIDPILQLIWDMRCYQEDDCVPICIHCSAGCGRTGVICAV DYTWMLLKDGIIPKNFSVFNLIQEMRTQRPSLVQTQEQYELVYSAVLELFKRHMDVISDN HLGREIQAQCSIPEQSLTVEADSCPLDLPKNAMRDVKTTNQHSKQGAEAESTGGSSLGLR TSTMNAEEELVLHSAKSSPSFNCLELNCGCNNKAVITRNGQARASPVVGEPLQKYQSLDF GSMLFGSCPSALPINTADRYHNSKGPVKRTKSTPFELIQQRKTNDLAVGDGFSCLESQLH EHYSLRELQVQRVAHVSSEELNYSLPGACDASCVPRHSPGALRVHLYTSLAEDPYFSSSP PNSADSKMSFDLPEKQDGATSPGALLPASSTTSFFYSNPHDSLVMNTLTSFSPPLNQETA VEAPSRRTDDEIPPPLPERTPESFIVVEEAGEPSPRVTESLPLVVTFGASPECSGTSEMK SHDSVGFTPSKNVKLRSPKSDRHQDGSPPPPLPERTLESFFLADEDCPSRANFFY >ENSMUSP00000029433.7 pep:known chromosome:GRCm38:3:103860277:103912247:1 gene:ENSMUSG00000027843.13 transcript:ENSMUST00000029433.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn22 description:protein tyrosine phosphatase, non-receptor type 22 (lymphoid) [Source:MGI Symbol;Acc:MGI:107170] MDQREILQQLLKEAQKKKLNSEEFASEFLKLKRQSTKYKADKIYPTTVAQRPKNIKKNRY KDILPYDHSLVELSLLTSDEDSSYINASFIKGVYGPKAYIATQGPLSTTLLDFWRMIWEY RILVIVMACMEFEMGKKKCERYWAEPGETQLQFGPFSISCEAEKKKSDYKIRTLKAKFNN ETRIIYQFHYKNWPDHDVPSSIDPILQLIWDMRCYQEDDCVPICIHCSAGCGRTGVICAV DYTWMLLKDGIIPKNFSVFNLIQEMRTQRPSLVQTQEQYELVYSAVLELFKRHMDVISDN HLGREIQAQCSIPEQSLTVEADSCPLDLPKNAMRDVKTTNQHSKQGAEAESTGGSSLGLR TSTMNAEEELVLHSAKSSPSFNCLELNCGCNNKAVITRNGQARASPVVGEPLQKYQSLDF GSMLFGSCPSALPINTADRYHNSKGPVKRTKSTPFELIQQRKTNDLAVGDGFSCLESQLH EHYSLRELQVQRVAHVSSEELNYSLPGACDASCVPRHSPGALRVHLYTSLAEDPYFSSSP PNSADSKMSFDLPEKQDGATSPGALLPASSTTSFFYSNPHDSLVMNTLTSFSPPLNQETA VEAPSRRTDDEIPPPLPERTPESFIVVEEAGEPSPRVTESLPLVVTFGASPECSGTSEMK SHDSVGFTPSKNVKLRSPKSDRHQDGSPPPPLPERTLESFFLADEDCIQAQAVQTSSTSY PETTENSTSSKQTLRTPGKSFTRSKSLKIFRNMKKSVCNSSSPSKPTERVQPKNSSSFLN FGFGNRFSKPKGPRNPPSAWNM >ENSMUSP00000107587.2 pep:known chromosome:GRCm38:14:33216823:33369934:1 gene:ENSMUSG00000063506.13 transcript:ENSMUST00000111956.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap22 description:Rho GTPase activating protein 22 [Source:MGI Symbol;Acc:MGI:2443418] MLPTASSKRRTFAARYFTRSKSLVMGEQSRSPGRPLVPHKLGPVLKAGWLRKQRSIMKNW QQRWFVLRGDQLFYYKDKDESKPQGFISLQGTQVTELLPDPEDPGKHLFEITPGGATERE KVPANPEALLLMASSQRDMEDWVQAIRRVIWAPLGRGIFGQRLEDTVHHERKFGPRLAPL LVEQCVDFIRERGLSEEGLFRMPGQANLVRDLQDSFDCGEKPLFDSTTDVHTVASLLKLY LRELPEPVIPFARYEDFLSCAQLLTKDEGEGTVELAKQVSNLPQANYNLLRYICKFLDEV QAHSDVNKMSVQNLATVFGPNILRPQIEDPVTIMEGTSLVQHLMTVLIRKHGQLFAATSL EEPASPHGTVEWGSEEVTRDHRGEPGSPGLPTHRTSSLDGPAAAVLSRTSPPRLGSQTGP AATSPGKKMHTLPVWKSSFRQQGSRSESPKGVNSSLEVPIISSGGNWLINGLSSLRSHRR ASSGDRLKDTGSAQRLSTYDNVPPSSQFSSTASVASTSWSVASSSREASVSSCTACRASN SSACSSLHTEWALEPSPLPSSSEGHQSPDLGHSLDEPCVGSGSSEPNDPGSPTQAHVRRC RALQGQVAELRAELCQQRTEYKRSLKSIEEGSADLRKQMSRLEEELDQERKKYAMLEIKL RNSERAREDAERRNQLLQREMEEFFSTLGSLTTGTKGSRAPE >ENSMUSP00000107586.1 pep:known chromosome:GRCm38:14:33319703:33369934:1 gene:ENSMUSG00000063506.13 transcript:ENSMUST00000111955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap22 description:Rho GTPase activating protein 22 [Source:MGI Symbol;Acc:MGI:2443418] MGLCCCKDWRGHLRAPKGGATEREKVPANPEALLLMASSQRDMEDWVQAIRRVIWAPLGR GIFGQRLEDTVHHERKFGPRLAPLLVEQCVDFIRERGLSEEGLFRMPGQANLVRDLQDSF DCGEKPLFDRFLDEVQAHSDVNKMSVQNLATVFGPNILRPQIEDPVTIMEGTSLVQHLMT VLIRKHGQLFAATSLEEPASPHGTVEWGSEEVTRDHRGEPGSPGLPTHRTSSLDGPAAAV LSRTSPPRLGSQTGPAATSPGKKMHTLPVWKSSFRQQGSRSESPKGVNSSLEVPIISSGG NWLINGLSSLRSHRRASSGDRLKDTGSAQRLSTYDNVPPSSQFSSTASVASTSWSVASSS REASVSSCTACRASNSSACSSLHTEWALEPSPLPSSSEGHQSPDLGHSLDEPCVGSGSSE PNDPGSPTQAHVRRCRALQGQVAELRAELCQQRTEYKRSLKSIEEGSADLRKQMSRLEEE LDQERKKYAMLEIKLRNSERAREDAERRNQLLQREMEEFFSTLGSLTTGTKGSRAPE >ENSMUSP00000066249.5 pep:known chromosome:GRCm38:4:140514485:140666012:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000069623.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] MASSNPPPQPAIGAPLAPSAPGPSPEVEEDSGEAFEFDDSDEEEDTSSGLVVPGLAPERD TEPSLICFDTVPGSDLDPAAAPPQTEAPTVVSNGDAVGAAISGVRRSSWKRKSSRRIDRF TFPALEEDVIYDDVPCESPDAHQPGAERGLVYEDVHRAGAPRETEDLGWSSSEFESYSED SGEETKPEAEPTKHRGSFQPKMTQLMKAAKSGTRDGLEKTRMAVMRKVSFLHRKDVLGDS EEEDMGLLEVGVTDIKPPAPELGPMPDGLSPQQVVRRHILGSIVQSEGSYVESLKRILQD YRNPLMEMEPKALSARKCQVVFFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVASF SKSMVLDVYSDYVNNFTNAMSIIKKACLTKPAFLEFLKRRQVCSTDRVTLYGLMVKPVQR FPQFILLLQDMLKNTPRGHPDRLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSVS DRSSLNKLLTSGQRQLLLCETLTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKPSNH RGQLEISSLVPLGPKYVVKWNTALPQVQVVEVGQDGGTYDKDNLLIQHAGAKKATAAGQA QNKVYLGPPRLFQELQDLQKDLAVVEQITLLISTLHGTYQNLNMTVAQDWCLALQRLMRV KEEEIHSANKCRLRLLLPGKPDKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREEN QPGWLCPDEDKKSKAPFWCPILACCVPAFSSRTLSLQLGGLVHSPVNSPLLGFSAVSTSL PQGYLWVGGGQEGAGGQVEIFSLNRPSPRTVKSFPVAAPVLCIEYIPDPEEEAEGAEESR AATDPSVTVHPTVCLGLQDGSILLYGSVDTGTQCLATCKSPGPQPVLCLRHSPFYLLAGL QDGTLAAYPRTSGDIPWDLESPPMCITVGPGPIRTLLSLEDAAWASCGPRVTVLDAATLQ TQQSFEAHQDEAVSVTHMVKAGSGVWMAFSSGSSIRLFHTETLEHLQEINIATRTTFLLP GQKHLCVTSLLICQGLLWVGTDQGVIVLLPVPRLEGIPKITGKGMVSLNGHCGPVAFLAV AMSILAPDILRSDQEEAEGPQAEEDKPDGQAHETVPGPDSHTARELTRKKGILLQYRLRS TAHLPGPLLSVREPAPADGSALEHSEEDGSIYEMADDPDVWVRSRPCARDAHRKEICSVA IISGGQGYRHFGGAPGGLSGRAAPCSETDSTLLIWQVPLAL >ENSMUSP00000095431.2 pep:known chromosome:GRCm38:4:140514485:140648756:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000097820.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] MASSNPPPQPAIGAPLAPSAPGPSPEVEEDSGEAFEFDDSDEEEDTSSGLVVPGLAPERD TEPSLICFDTVPGSDLDPAAAPPQTEAPTVVSNGDAVGAAISGVRRSSWKRKSSRRIDRF TFPALEEDVIYDDVPCESPDAHQPGAERGLVYEDVHRAGAPRETEDLGWSSSEFESYSED SGEETKPEAEPTKHRGSFQPKMTQLMKAAKSGTRDGLEKTRMAVMRKVSFLHRKDVLGDS EEEDMGLLEVGVTDIKPPAPELGPMPDGLSPQQVVRRHILGSIVQSEGSYVESLKRILQD YRNPLMEMEPKALSARKCQVVFFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVASF SKSMVLDVYSDYVNNFTNAMSIIKKACLTKPAFLEFLKRRQVCSTDRVTLYGLMVKPVQR FPQFILLLQDMLKNTPRGHPDRLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSVS DRSSLNKLLTSGQRQLLLCETLTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKGQLE ISSLVPLGPKYVVKWNTALPQVQVVEVGQDGGTYDKDNLLIQHAGAKKATAAGQAQNKVY LGPPRLFQELQDLQKDLAVVEQITLLISTLHGTYQNLNMTVAQDWCLALQRLMRVKEEEI HSANKCRLRLLLPGKPDKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREENQPGWL CPDEDKKSKAPFWCPILACCVPAFSSRTLSLQLGGLVHSPVNSPLLGFSAVSTSLPQGYL WVGGGQEGAGGQVEIFSLNRPSPRTVKSFPVAAPVLCIEYIPDPEEEAEGAEESRAATDP SVTVHPTVCLGLQDGSILLYGSVDTGTQCLATCKSPGPQPVLCLRHSPFYLLAGLQDGTL AAYPRTSGDIPWDLESPPMCITVGPGPIRTLLSLEDAAWASCGPRVTVLDAATLQTQQSF EAHQDEAVSVTHMVKAGSGVWMAFSSGSSIRLFHTETLEHLQEINIATRTTFLLPGQKHL CVTSLLICQGLLWVGTDQGVIVLLPVPRLEGIPKITGKGMVSLNGHCGPVAFLAVAMSIL APDILRSDQEEAEGPQAEEDKPDGQAHETVPGPDSHTARELTRKKGILLQYRLRSTAHLP GPLLSVREPAPADGSALEHSEEDGSIYEMADDPDVWVRSRPCARDAHRKEICSVAIISGG QGYRHFGGAPGGLSGRAAPCSETDSTLLIWQVPLAL >ENSMUSP00000101425.1 pep:known chromosome:GRCm38:4:140514485:140648750:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000105799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] MASSNPPPQPAIGAPLAPSAPGPSPEVEEDSGEAFEFDDSDEEEDTSSGLVVPGLAPERD TEPSLICFDTVPGSDLDPAAAPPQTEAPTVVSNGDAVGAAISGVRRSSWKRKSSRRIDRF TFPALEEDVIYDDVPCESPDAHQPGAERGLVYEDVHRAGAPRETEDLGWSSSEFESYSED SGEETKPEAEPTKHRGSFQPKLSPDLTRLKERYVRTKRDILALRVGGRDMQELKLKCDCK MTQLMKAAKSGTRDGLEKTRMAVMRKVSFLHRKDVLGDSEEEDMGLLEVGVTDIKPPAPE LGPMPDGLSPQQVVRRHILGSIVQSEGSYVESLKRILQDYRNPLMEMEPKALSARKCQVV FFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVASFSKSMVLDVYSDYVNNFTNAMS IIKKACLTKPAFLEFLKRRQVCSTDRVTLYGLMVKPVQRFPQFILLLQDMLKNTPRGHPD RLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSVSDRSSLNKLLTSGQRQLLLCET LTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKPSNHRGQLEISSLVPLGPKYVVKWN TALPQVQVVEVGQDGGTYDKDNLLIQHAGAKKATAAGQAQNKVYLGPPRLFQELQDLQKD LAVVEQITLLISTLHGTYQNLNMTVAQDWCLALQRLMRVKEEEIHSANKCRLRLLLPGKP DKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREENQPGWLCPDEDKKSKAPFWCPI LACCVPAFSSRTLSLQLGGLVHSPVNSPLLGFSAVSTSLPQGYLWVGGGQEGAGGQVEIF SLNRPSPRTVKSFPVAAPVLCIEYIPDPEEEAEGAEESRAATDPSVTVHPTVCLGLQDGS ILLYGSVDTGTQCLATCKSPGPQPVLCLRHSPFYLLAGLQDGTLAAYPRTSGDIPWDLES PPMCITVGPGPIRTLLSLEDAAWASCGPRVTVLDAATLQTQQSFEAHQDEAVSVTHMVKA GSGVWMAFSSGSSIRLFHTETLEHLQEINIATRTTFLLPGQKHLCVTSLLICQGLLWVGT DQGVIVLLPVPRLEGIPKITGKGMVSLNGHCGPVAFLAVAMSILAPDILRSDQEEAEGPQ AEEDKPDGQAHETVPGPDSHTARELTRKKGILLQYRLRSTAHLPGPLLSVREPAPADGSA LEHSEEDGSIYEMADDPDVWVRSRPCARDAHRKEICSVAIISGGQGYRHFGGAPGGLSGR AAPCSETDSTLLIWQVPLAL >ENSMUSP00000101424.1 pep:known chromosome:GRCm38:4:140514485:140584343:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000105798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] MTQLMKAAKSGTRDGLEKTRMAVMRKVSFLHRKDVLGDSEEEDMGLLEVGVTDIKPPAPE LGPMPDGLSPQQVVRRHILGSIVQSEGSYVESLKRILQDYRNPLMEMEPKALSARKCQVV FFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVASFSKSMVLDVYSDYVNNFTNAMS IIKKACLTKPAFLEFLKRRQVCSTDRVTLYGLMVKPVQRFPQFILLLQDMLKNTPRGHPD RLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSVSDRSSLNKLLTSGQRQLLLCET LTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKPSNHRGQLEISSLVPLGPKYVVKWN TALPQVQVVEVGQDGGTYDKDNLLIQHAGAKKATAAGQAQNKVYLGPPRLFQELQDLQKD LAVVEQITLLISTLHGTYQNLNMTVAQDWCLALQRLMRVKEEEIHSANKCRLRLLLPGKP DKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREENQPGWLCPDEDKKSKAPFWCPI LACCVPAFSSRTLSLQLGGLVHSPVNSPLLGFSAVSTSLPQGYLWVGGGQEGAGGQVEIF SLNRPSPRTVKSFPVAAPVLCIEYIPDPEEEAEGAEESRAATDPSVTVHPTVCLGLQDGS ILLYGSVDTGTQCLATCKSPGPQPVLCLRHSPFYLLAGLQDGTLAAYPRTSGDIPWDLES PPMCITVGPGPIRTLLSLEDAAWASCGPRVTVLDAATLQTQQSFEAHQDEAVSVTHMVKA GSGVWMAFSSGSSIRLFHTETLEHLQEINIATRTTFLLPGQKHLCVTSLLICQGLLWVGT DQGVIVLLPVPRLEGIPKITGKGMVSLNGHCGPVAFLAVAMSILAPDILRSDQEEAEGPQ AEEDKPDGQAHETVPGPDSHTARELTRKKGILLQYRLRSTAHLPGPLLSVREPAPADGSA LEHSEEDGSIYEMADDPDVWVRSRPCARDAHRKEICSVAIISGGQGYRHFGGAPGGLSGR AAPCSETDSTLLIWQVPLAL >ENSMUSP00000101423.2 pep:known chromosome:GRCm38:4:140514485:140581818:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000105797.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] MLPSSSWGKRKPRSQRLGWVRCDARGNMWARQEGLRQPHPHPHALIRCPSSSSSSVSCSG DSEEEDMGLLEVGVTDIKPPAPELGPMPDGLSPQQVVRRHILGSIVQSEGSYVESLKRIL QDYRNPLMEMEPKALSARKCQVVFFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVA SDMLKNTPRGHPDRLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSVSDRSSLNKL LTSGQRQLLLCETLTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKGQLEISSLVPLG PKYVVKWNTALPQVQVVEVGQDGGTYDKDNLLIQHAGAKKATAAGQAQNKVYLGPPRLFQ ELQDLQKDLAVVEQITLLISTLHGTYQNLNMTVAQDWCLALQRLMRVKEEEIHSANKCRL RLLLPGKPDKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREENQPGWLCPDEDKKS KAPFWCPILACCVPAFSSRTLSLQLGGLVHSPVNSPLLGFSAVSTSLPQGYLWVGGGQEG AGGQVEIFSLNRPSPRTVKSFPVAAPVLCIEYIPDPEEEAEGAEESRAATDPSVTVHPTV CLGLQDGSILLYGSVDTGTQCLATCKSPGPQPVLCLRHSPFYLLAGLQDGTLAAYPRTSG DIPWDLESPPMCITVGPGPIRTLLSLEDAAWASCGPRVTVLDAATLQTQQSFEAHQDEAV SVTHMVKAGSGVWMAFSSGSSIRLFHTETLEHLQEINIATRTTFLLPGQKHLCVTSLLIC QGLLWVGTDQGVIVLLPVPRLEGIPKITGKGMVSLNGHCGPVAFLAVAMSILAPDILRSD QEEAEGPQAEEDKPDGQAHETVPGPDSHTARELTRKKGILLQYRLRSTAHLPGPLLSVRE PAPADGSALEHSEEDGSIYEMADDPDVWVRSRPCARDAHRKEICSVAIISGGQGYRHFGG APGGLSGRAAPCSETDSTLLIWQVPLAL >ENSMUSP00000119471.1 pep:known chromosome:GRCm38:4:140514485:140577094:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000138493.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] XPVQRFPQFILLLQDMLKNTPRGHPDRLSLQLALTELETLAEKLNEQKRLADQVAEIQQL TKSVSDRSSLNKLLTSGQRQLLLCETLTETVYGDRGQLIKSKERRVFLLNDMLVCANINF KGQLEISSLVPLGPKYVVKWNTALPQVQVVEVGQDGGTYDKDNLLIQHAGAKKATAAGQA QNKVYLGPPRLFQELQDLQKDLAVVEQITLLISTLHGTYQNLNMTVAQDWCLALQRLMRV KEEEIHSANKCRLRLLLPGKPDKSGRPISFMVVFITPNPLSKISWVNRLHLAKIGLREEN QPGWLCPDEDKKSKAPFWCPILACCVPAFSSRTLSLQLGGLVHSPVNSPLLGFSAVSTSL PQGYLWVGGGQEGAGGQVEIFSLNRPSPRTVKSFPVAAPVLCIEYIPDPEEEAEGAEESR AATDPSVTVHPTVCLGLQDGSILLYGSVDTGTQCLATCKSPGPQPVLCLRHSPFYLLAGL QDGTLAAYPRTSGDIPWDLESPPMCITVGPGPIRTLLSLEDAAWASCGPRVTVLDAATLQ TQQSFEAHQDEAVSVTHMVKAGSGVWMAFSSGSSIRLFHTETLEHLQEINIATRTTFLLP DRSLIKCSPRA >ENSMUSP00000040531.3 pep:known chromosome:GRCm38:4:140514485:140648750:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000039204.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] MASSNPPPQPAIGAPLAPSAPGPSPEVEEDSGEAFEFDDSDEEEDTSSGLVVPGLAPERD TEPSLICFDTVPGSDLDPAAAPPQTEAPTVVSNGDAVGAAISGVRRSSWKRKSSRRIDRF TFPALEEDVIYDDVPCESPDAHQPGAERGLVYEDVHRAGAPRETEDLGWSSSEFESYSED SGEETKPEAEPTKHRGSFQPKLSPDLTRLKERYVRTKRDILALRVGGRDMQELKLKCDCK MTQLMKAAKSGTRDGLEKTRMAVMRKVSFLHRKDVLGDSEEEDMGLLEVGVTDIKPPAPE LGPMPDGLSPQQVVRRHILGSIVQSEGSYVESLKRILQDYRNPLMEMEPKALSARKCQVV FFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVASFSKSMVLDVYSDYVNNFTNAMS IIKKACLTKPAFLEFLKRRQVCSTDRVTLYGLMVKPVQRFPQFILLLQDMLKNTPRGHPD RLSLQLALTELETLAEKLNEQKRLADQVAEIQQLTKSVSDRSSLNKLLTSGQRQLLLCET LTETVYGDRGQLIKSKERRVFLLNDMLVCANINFKGQLEISSLVPLGPKYVVKWNTALPQ VQVVEVGQDGGTYDKDNLLIQHAGAKKATAAGQAQNKVYLGPPRLFQELQDLQKDLAVVE QITLLISTLHGTYQNLNMTVAQDWCLALQRLMRVKEEEIHSANKCRLRLLLPGKPDKSGR PISFMVVFITPNPLSKISWVNRLHLAKIGLREENQPGWLCPDEDKKSKAPFWCPILACCV PAFSSRTLSLQLGGLVHSPVNSPLLGFSAVSTSLPQGYLWVGGGQEGAGGQVEIFSLNRP SPRTVKSFPVAAPVLCIEYIPDPEEEAEGAEESRAATDPSVTVHPTVCLGLQDGSILLYG SVDTGTQCLATCKSPGPQPVLCLRHSPFYLLAGLQDGTLAAYPRTSGDIPWDLESPPMCI TVGPGPIRTLLSLEDAAWASCGPRVTVLDAATLQTQQSFEAHQDEAVSVTHMVKAGSGVW MAFSSGSSIRLFHTETLEHLQEINIATRTTFLLPGQKHLCVTSLLICQGLLWVGTDQGVI VLLPVPRLEGIPKITGKGMVSLNGHCGPVAFLAVAMSILAPDILRSDQEEAEGPQAEEDK PDGQAHETVPGPDSHTARELTRKKGILLQYRLRSTAHLPGPLLSVREPAPADGSALEHSE EDGSIYEMADDPDVWVRSRPCARDAHRKEICSVAIISGGQGYRHFGGAPGGLSGRAAPCS ETDSTLLIWQVPLAL >ENSMUSP00000122667.1 pep:known chromosome:GRCm38:4:140593169:140617065:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000154979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] MASSNPPPQPAIGAPLAPSAPGPSPEVEEDSGEAFEFDDSDEEEDTSSGLVVPGLAPERD TEPSLICFDTVPGSDLDPAAAPPQTEAPTVVSNGDAVGAAISGVRRSSWKRKSSRRIDR >ENSMUSP00000123642.1 pep:known chromosome:GRCm38:4:140575426:140581802:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000147426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] MLPSSSWGKRKPRSQRLGWVRCDARGNMWARQEGLRQPHPHPHALIRCPSSSSSSVSCSG DSEEEDMGLLEVGVTDIKPPAPELGPMPDGLSPQQVVRRHILGSIVQSEGSYVESLKRIL QDYRNPLMEMEPKALSARKCQVVFFRVKEILHCHSMFQIALSSRVAEWDSTEKIGDLFVA SFSKSMVLDVYSDYVNNFTNAMSIIKKACLTKPAFLEFLKRRQVCSTDRVTLYGLMVKPV QRFPQFILLLQDMLKNTPRGHP >ENSMUSP00000117038.1 pep:known chromosome:GRCm38:4:140544314:140568381:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000140403.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] HAGAKKATAAGQAQNKVYLGPPRLFQELQDLQKDLAVVEQITLLISTLHGTYQNLNMTVA QDWCLALQRLMRVKEEEIHSANKCRLRLLLPGKPDKSGRPISFMVVFITPNPLSKISWVN RLHLAKIGLREENQPGWLCPDEDKKSKAPFWCPILACCVPAFSSRTLSLQMRTLSSGTGE RAWDPAQINSRRSRAGWAVDWGAKS >ENSMUSP00000120437.1 pep:known chromosome:GRCm38:4:140591932:140649360:-1 gene:ENSMUSG00000040964.16 transcript:ENSMUST00000143614.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10l description:Rho guanine nucleotide exchange factor (GEF) 10-like [Source:MGI Symbol;Acc:MGI:1920004] MASSNPPPQPAIGAPLAPSAPGPSPEVEEDSGEAFEFDDSDEEEDTSSGLVVPGLAPERD TEPSLICFDTVPGSDLDPAAAPPQTEAPTVVSNGDAVGAAISGVRRSSWKRKSSRRIDRF TFPALEEDVIYDDVPCESPDAHQPGAERGLVYEDVHRAGAPRE >ENSMUSP00000020576.7 pep:known chromosome:GRCm38:11:40748552:40755311:-1 gene:ENSMUSG00000020326.7 transcript:ENSMUST00000020576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccng1 description:cyclin G1 [Source:MGI Symbol;Acc:MGI:102890] MIEVLTTDSQKLLHQLNTLLEQESRCQPKVCGLKLIESAHDNGLRMTARLRDFEVKDLLS LTQFFGFDTETFSLAVNLLDRFLSKMKVQAKHLGCVGLSCFYLAVKATEEERNVPLATDL IRISQYRFTVSDLMRMEKIVLEKVCWKVKATTAFQFLQLYYSLVHDTLPFERRNDLNFER LEAQLKACHCRIIFSKAKPSVLALSILALEIQALKYVELTEGVECIQKHSKISGRDLTFW QELVSKCLTEYSSNKCSKPNGQKLKWIVSGRTARQLKHSYYRITHLPTIPETIC >ENSMUSP00000002844.7 pep:known chromosome:GRCm38:17:46646229:46650132:1 gene:ENSMUSG00000002767.13 transcript:ENSMUST00000002844.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl2 description:mitochondrial ribosomal protein L2 [Source:MGI Symbol;Acc:MGI:1351622] MALCALTSALRSLSLASAAITARVPTLLPAAQIQSNVLLQLPPALVSPSYRPVHMSADRS AKFVSWKSRTKYTVKPVKMRKSGGRDHTGRIRVHGIGGGHKQNYRMIDFLRFRPEKEKAP EPFEEKVVVVRYDPCRSADIALVAGGSRKRWIIATENMKAGDTILNSNHIGRMAVAAQEG DAHPLGALPVGTLINNVESEPGRGAQYIRAAGTCGVLLRKVNGTAIIQLPSKRQMQVLES CTATVGRVSNVNHNQRVIGKAGRNRWLGKRPNSGLWQRKGGWAGRKIRPLPPMKSYVKLP SAAAQN >ENSMUSP00000109056.1 pep:known chromosome:GRCm38:17:46646250:46650131:1 gene:ENSMUSG00000002767.13 transcript:ENSMUST00000113429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl2 description:mitochondrial ribosomal protein L2 [Source:MGI Symbol;Acc:MGI:1351622] MALCALTSALRSLSLASAAITARVPTLLPAAQIQSNVLLQLPPALVSPSYRPVHMSADRS AKFVSWKSRTKYTVKPVKMRKSGGRDHTGRIRVHGIGGGHKQNYRMIDFLRFRPEKEKAP EPFEEKVVVVRYDPCRSADIALVAGGSRKRWIIATENMKAGDTILNSNHIGRMAGGGCTS TWGAACGDPHQQRRERAWPGSPVYPSCRDMRCAASEGERDSHYPAALEEANAGAGVMHCN CRPSIQR >ENSMUSP00000109057.1 pep:known chromosome:GRCm38:17:46646270:46650139:1 gene:ENSMUSG00000002767.13 transcript:ENSMUST00000113430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl2 description:mitochondrial ribosomal protein L2 [Source:MGI Symbol;Acc:MGI:1351622] MALCALTSALRSLSLASAAITARVPTLLPAAQSNVLLQLPPALVSPSYRPVHMSADRSAK FVSWKSRTKYTVKPVKMRKSGGRDHTGRIRVHGIGGGHKQNYRMIDFLRFRPEKEKAPEP FEEKVVVVRYDPCRSADIALVAGGSRKRWIIATENMKAGDTILNSNHIGRMAVAAQEGDA HPLGALPVGTLINNVESEPGRGAQYIRAAGTCGVLLRKVNGTAIIQLPSKRQMQVLESCT ATVGRVSNVNHNQRVIGKAGRNRWLGKRPNSGLWQRKGGWAGRKIRPLPPMKSYVKLPSA AAQN >ENSMUSP00000032998.6 pep:known chromosome:GRCm38:7:99477896:99483738:-1 gene:ENSMUSG00000030744.13 transcript:ENSMUST00000032998.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps3 description:ribosomal protein S3 [Source:MGI Symbol;Acc:MGI:1350917] MAVQISKKRKFVADGIFKAELNEFLTRELAEDGYSGVEVRVTPTRTEIIILATRTQNVLG EKGRRIRELTAVVQKRFGFPEGSVELYAEKVATRGLCAIAQAESLRYKLLGGLAVRRACY GVLRFIMESGAKGCEVVVSGKLRGQRAKSMKFVDGLMIHSGDPVNYYVDTAVRHVLLRQG VLGIKVKIMLPWDPSGKIGPKKPLPDHVSIVEPKDEILPTTPISEQKGGKPEPPAMPQPV PTA >ENSMUSP00000102713.1 pep:known chromosome:GRCm38:7:99477904:99483651:-1 gene:ENSMUSG00000030744.13 transcript:ENSMUST00000107096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps3 description:ribosomal protein S3 [Source:MGI Symbol;Acc:MGI:1350917] MAVQISKKRKFVADGIFKAELNEFLTRELAEDGYSGVEVRVTPTRTEIIILATRTQNVLG EKGRRIRELTAVVQKRFGFPEGSVELYAEKVATRGLCAIAQAESLRYKLLGGLAVRRACY GVLRFIMESGAKGCEVVVSGKLRGQRAKSMKFVDGLMIHSGDPVNYYVDTAGLELRNPPA SGDPYISKSCT >ENSMUSP00000146709.1 pep:known chromosome:GRCm38:7:99478882:99483636:-1 gene:ENSMUSG00000030744.13 transcript:ENSMUST00000208532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps3 description:ribosomal protein S3 [Source:MGI Symbol;Acc:MGI:1350917] MAVQISKKRKFVADGIFKAELNEFLTRELAEDGYSGVEVRVTPTRTEIIILATRTQNVLG EKGRRIRELTAVVQKRFGFPEGSVELYAEKVATRGLCAIAQAESLRYKLLGGLAVRRVGS QSHQPCPSQCLQHNRVLAAASGGI >ENSMUSP00000018355.4 pep:known chromosome:GRCm38:2:164214454:164221631:-1 gene:ENSMUSG00000018211.13 transcript:ENSMUST00000018355.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc15b description:WAP four-disulfide core domain 15B [Source:MGI Symbol;Acc:MGI:2445041] MKLLGLSLLAVTILLCCNMARPEIKKKNVFSKPGYCPEYRVPCPFVLIPKCRRDKGCKDA LKCCFFYCQMRCVDPWESPE >ENSMUSP00000105001.2 pep:known chromosome:GRCm38:2:164214454:164221660:-1 gene:ENSMUSG00000018211.13 transcript:ENSMUST00000109376.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc15b description:WAP four-disulfide core domain 15B [Source:MGI Symbol;Acc:MGI:2445041] MKLLGLSLLAVTILLCCNMARPEIKKKNVFSKPGYCPEYRVPCPFVLIPKCRRDKGCKDA LKCCFFYCQMRCVDPWESPE >ENSMUSP00000132507.2 pep:known chromosome:GRCm38:2:164214455:164215583:-1 gene:ENSMUSG00000018211.13 transcript:ENSMUST00000164567.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc15b description:WAP four-disulfide core domain 15B [Source:MGI Symbol;Acc:MGI:2445041] MKLLGLSLLAVTILLCCNMARPEIKKKNVFSKPGYCPEYRVPCPFVLIPKCRRDKGCKDA LKCCFFYCQMRCVDPWESPE >ENSMUSP00000072660.4 pep:known chromosome:GRCm38:1:120006894:120063536:1 gene:ENSMUSG00000026387.15 transcript:ENSMUST00000072886.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sctr description:secretin receptor [Source:MGI Symbol;Acc:MGI:2441720] MLSTMSPRLSLLLLWLLLLINAAHPVGALPRLCDVRRVLLEERAECLRELSEEKKALGPK TASAIVFSPSAPSYTVHQGCERFWDNMSCWPSSALAQTVEVPCPKFLRMFSGRNGSLFRN CTKDGWSETFPRPDLACGVNMNGSFNERRHAYLLKLKVMYTVGYSSSLAMLLVALSILCS FRRLHCTRNYIHMHLFVSFILRALSNFIKDAVLFPADDVTYCDAHRAGCKLVMIFFQYCI MANYAWLLVEGLYLHTLLAISFFSERKCLQAFVLFGWGSPAIFVALWAVTRHFLEDFGCW DINSNASIWWVIRGPVILSIVINFIFFINILRILMRKLRTQETRGNETHHYKRLAKSTLL LIPLFGIHYIVFAFSPEGAMEVQLFFELALGSFQGLVVAVLYCFLNGEVQLEVQKKWRQW HLQEFPLRPVALSNSFSNATNGPTHSTKAGTSEQSRSIPGANVI >ENSMUSP00000139932.1 pep:known chromosome:GRCm38:1:120007034:120063534:1 gene:ENSMUSG00000026387.15 transcript:ENSMUST00000189037.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sctr description:secretin receptor [Source:MGI Symbol;Acc:MGI:2441720] MLSTMSPRLSLLLLWLLLLINAAHPVGALPRLCDVRRVLLEERAECLRELSEEKKALGPK TASGCERFWDNMSCWPSSALAQTVEVPCPKFLRMFSGRNGSLFRNCTKDGWSETFPRPDL ACGVNMNGSFNERRHAYLLKLKVMYTVGYSSSLAMLLVALSILCSFRRLHCTRNYIHMHL FVSFILRALSNFIKDAVLFPADDVTYCDAHRAGCKLVMIFFQYCIMANYAWLLVEGLYLH TLLAISFFSERKCLQAFVLFGWGSPAIFVALWAVTRHFLEDFGCWDINSNASIWWVIRGP VILSIVINFIFFINILRILMRKLRTQETRGNETHHYKRLAKSTLLLIPLFGIHYIVFAFS PEGAMEVQLFFELALGSFQGLVVAVLYCFLNGELEVQKKWRQWHLQEFPLRPVALSNSFS NATNGPTHSTKAGTSEQSRSIPGANVI >ENSMUSP00000030381.7 pep:known chromosome:GRCm38:4:120539868:120570276:-1 gene:ENSMUSG00000028633.7 transcript:ENSMUST00000030381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctps description:cytidine 5'-triphosphate synthase [Source:MGI Symbol;Acc:MGI:1858304] MKYILVTGGVISGIGKGVIASSVGTILKSCGLHVTSIKIDPYINIDAGTFSPYEHGEVFV LDDGGEVDLDLGNYERFLDIRLTKDNNLTTGKIYQYVINKERKGDYLGKTVQVVPHITDA IQEWVMRQALIPVDEDGLEPQVCVIELGGTVGDIESMPFIEAFRQFQFKVKRENFCNIHV SLVPQPSSTGEQKTKPTQNSVRELRGLGLSPDLVVCRCSNPLDTSVKEKISMFCHVEPEQ VICVHDVSSIYRVPLLLEEQGVVDYFLRRLDLPIERQSRKMLMKWKEMADRYDRLLETCS IALVGKYTKFSDSYASVIKALEHSALAINHKLEIKYIDSTDLEPSTLQEEPVRYHEAWQK LCSAHGVLVPGGFGVRGTEGKIQAIAWARKQKKPFLGVCLGMQLAVVEFSRNVLGWQDAN STEFDPKTSHPVVIDMPEHNPGQMGGTMRLGKRRTLFQTKNSVMRKLYGDTDYLEERHRH RFEVNPVLKKCLEEQGLKFVGQDVEGERMEIVELEDHPFFVGVQYHPEFLSRPIKPSPPY FGLLLASVGRLPHYLQKGCRLSPRDTYSDRSGSSSPDSEITELKFPSISQD >ENSMUSP00000031410.7 pep:known chromosome:GRCm38:5:121525038:121545905:-1 gene:ENSMUSG00000029454.15 transcript:ENSMUST00000031410.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk5 description:MAP kinase-activated protein kinase 5 [Source:MGI Symbol;Acc:MGI:1333110] MSEDSDMEKAIKETSILEEYSINWTQKLGAGISGPVRVCVKKSTQERFALKILLDRPKAR NEVRLHMMCATHPNIVQIIEVFANSVQFPHESSPRARLLIVMEMMEGGELFHRISQHRHF TEKQASQVTKQIALALQHCHLLNIAHRDLKPENLLFKDNSLDAPVKLCDFGFAKVDQGDL MTPQFTPYYVAPQVLEAQRRHQKEKSGIIPTSPTPYTYNKSCDLWSLGVIIYVMLCGYPP FYSKHHSRTIPKDMRKKIMTGSFEFPEEEWSQISEMAKDVVRKLLKVKPEERLTIEGVLD HPWLNSTEALDNVLPSAQLMMDKAVVAGIQQAHAEQLANMRIQDLKVSLKPLHSVNNPIL RKRKLLGTKPKDGIYIHDHENGTEDSNVALEKLRDVIAQCILPQAGKGENEDEKLNEVMQ EAWKYNRECKLLRDALQSFSWNGRGFTDKVDRLKLAEVVKQVIEEQTLPHEPQ >ENSMUSP00000107413.1 pep:known chromosome:GRCm38:5:121525048:121545200:-1 gene:ENSMUSG00000029454.15 transcript:ENSMUST00000111783.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk5 description:MAP kinase-activated protein kinase 5 [Source:MGI Symbol;Acc:MGI:1333110] MSEDSDMEKAIKETSILEEYSINWTQKLGAGISGPVRVCVKKSTQERFALKILLDRPKAR NEVRLHMMCATHPNIVQIIEVFANSVQFPHESSPRARLLIVMEMMEGGELFHRISQHRHF TEKQASQVTKQIALALQHCHLLNIAHRDLKPENLLFKDNSLDAPVKLCDFGFAKVDQGDL MTPQFTPYYVAPQVLEAQRRHQKEKSGIIPTSPTPYTYNKSCDLWSLGVIIYVMLCGYPP FYSKHHSRTIPKDMRKKIMTGSFEFPEEEWSQISEMAKDVVRKLLKVKPEERLTIEGVLD HPWLNSTEALDNVLPSAQLMMDKAVVAGIQQAHAEQLANMRIQDLKVSLKPLHSVNNPIL RKRKLLGTKPKDGIYIHDHENGTEDSNVALEKLRDVIAQCILPQAGENEDEKLNEVMQEA WKYNRECKLLRDALQSFSWNGRGFTDKVDRLKLAEVVKQVIEEQTLPHEPQ >ENSMUSP00000116464.1 pep:known chromosome:GRCm38:5:121525052:121527186:-1 gene:ENSMUSG00000029454.15 transcript:ENSMUST00000152270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk5 description:MAP kinase-activated protein kinase 5 [Source:MGI Symbol;Acc:MGI:1333110] XQLANMRIQDLKDGIYIHDHENGTEDSNVALEKLRDVIAQCILPQAGKGENEDEKLNEVM QEAWKYNRECKLLRDALQSFSWNGRGFTDKVDRLKLAEVVKQVIEEQTLPHEPQ >ENSMUSP00000107412.1 pep:known chromosome:GRCm38:5:121525145:121545200:-1 gene:ENSMUSG00000029454.15 transcript:ENSMUST00000111782.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk5 description:MAP kinase-activated protein kinase 5 [Source:MGI Symbol;Acc:MGI:1333110] MSEDSDMEKAIKDAPVKLCDFGFAKVDQGDLMTPQFTPYYVAPQVLEAQRRHQKEKSGII PTSPTPYTYNKSCDLWSLGVIIYVMLCGYPPFYSKHHSRTIPKDMRKKIMTGSFEFPEEE WSQISEMAKDVVRKLLKVKPEERLTIEGVLDHPWLNSTEALDNVLPSAQLMMDKAVVAGI QQAHAEQLANMRIQDLKVSLKPLHSVNNPILRKRKLLGTKPKDGIYIHDHENGTEDSNVA LEKLRDVIAQCILPQAGENEDEKLNEVMQEAWKYNRECKLLRDALQSFSWNGRGFTDKVD RLKLAEVVKQVIEEQTLPHEPQ >ENSMUSP00000107416.2 pep:known chromosome:GRCm38:5:121525145:121545200:-1 gene:ENSMUSG00000029454.15 transcript:ENSMUST00000111786.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk5 description:MAP kinase-activated protein kinase 5 [Source:MGI Symbol;Acc:MGI:1333110] MSEDSDMEKAIKDAPVKLCDFGFAKVDQGDLMTPQFTPYYVAPQVLEAQRRHQKEKSGII PTSPTPYTYNKSCDLWSLGVIIYVMLCGYPPFYSKHHSRTIPKDMRKKIMTGSFEFPEEE WSQISEMAKDVVRKLLKVKPEERLTIEGVLDHPWLNSTEALDNVLPSAQLMMDKAVVAGI QQAHAEQLANMRIQDLKVSLKPLHSVNNPILRKRKLLGTKPKDGIYIHDHENGTEDSNVA LEKLRDVIAQCILPQAGKGENEDEKLNEVMQEAWKYNRECKLLRDALQSFSWNGRGFTDK VDRLKLAEVVKQVIEEQTLPHEPQ >ENSMUSP00000143489.1 pep:known chromosome:GRCm38:5:121533123:121535851:-1 gene:ENSMUSG00000029454.15 transcript:ENSMUST00000151352.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mapkapk5 description:MAP kinase-activated protein kinase 5 [Source:MGI Symbol;Acc:MGI:1333110] XRTTAFPHLMLSLCFVLFSFCFLKIESVYPRPALNLFCSCRCPRSSDLLPPPPFALFSFR TPL >ENSMUSP00000142346.1 pep:known chromosome:GRCm38:5:121533318:121545231:-1 gene:ENSMUSG00000029454.15 transcript:ENSMUST00000196315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk5 description:MAP kinase-activated protein kinase 5 [Source:MGI Symbol;Acc:MGI:1333110] MSEDSDMEKAIKETSILEEYSINWTQKLGAGISGPVRVCVKKSTQERFALKILLDRPKAR NEVRLHMMCATHPNIVQIIEVFANSVQFPHESSPRARLLIVMEMMEGGELFHRISQHRHF TEKQASQVTKQDAPVKLCDFGFAKVDQGDLMTPQFTPYYVAPQVLEAQRRHQKEKSGIIP TSPTPYT >ENSMUSP00000139027.1 pep:known chromosome:GRCm38:9:73479422:73968966:-1 gene:ENSMUSG00000062151.13 transcript:ENSMUST00000184666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13c description:unc-13 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:2149021] MVASLFKSLILAYIHKLCKGMFTKKLGNTTKKKENRQQKKDQDFPTAGHTKPPKLSNALK STVKKIAKCSSTRNFSIEDEEGHKDFSLSPTFSYRVAIANGLQTAVTNSDEDLLQELSSI ESSYSESFNELRSSTENQVQSTHTMPVRRNRKSSSSLAPSEGSSDGERTLHTLKLGALRK LRKWKKSQECVSSDSELSTVKKTWGIRSKSLDRTARNPKTNVLEPGFSSSGCISQTHDVM EMIFKELQGISQIETELSELRGHVNALKYSIDEISSSVEVVQSEIEQLRTGFVQARRETR DIHDYIKHLGHMGSKVSLRFLNVPEERHEYVESVVYQILIDKMGFSDVPNAIKIEFAQRI GQQRDCPNAKPRPILVYFETPQQRDSVLKKSYKLKGTGIGISTDILTYDIRERKEKGVLP SSQTYESMDMKLSTPEPKAKKNAWLSPNDSDRELESDLSRSSYADSPAKGSSSKSSSKSH SARSKNKAANSRTSQKSDYNKRPSQPPASSTPEKQTPHYVEATPPLWHSQSDFFTLKLSR SESDFSKLCQSYSEDFSESQFFCRTNGSSLLSSSDRELWQRKQEGMPALYHRLQDQGLDE TIPAVPGQAEIENTETVDSGMSNSMVCASGDRSNYSGSQLSLHEDLSPWKEWNQAGQGTD DVGLDSSTQEPFDYDTNSLSDQQLDLSSKDLDDLGKCHSDLQDDSESYDLTQDDNSSPCP GLDNEPQGQWVGQYDSYQEANSNDLYPNQSHPSMMYRSQSELQSDDSEGAQPKSWHSRLS IDLSDKTFKFPKFGSTLQRAKSALEVVWNKSTQSLSGCEDSGSSLMGRFRTLSQSTANES STTLDSDIYTEPYYYKAEEEEDYCEPVADSETDYVEVMEQVLAKLENRTSITEVNEHIKD YDHPSYETPYETPQDEGYDGQADDIISEGELETLNEPAVEMELAEDENQNLPAESLEVMK PKRIRPSFKEAALRAYKKQMAELEEKILAGDSSSMDEKARIVSGNDLDASKFSALQVFGG AGRGLYGIDSMPDLRRKKTLPIVRDVAMTLAARKSGLSLAMVIRTSLNNEELKMHVFRKT LQALIYPISSTTPHNFEVWTATTPTYCYECEGLLWGIARQGMKCLECGVKCHEKCQDLLN ADCLQRAAEKSSKHGAEDKTQTIITAMKERMKIRERNRPEVFEVIQEMFQISKEDFVQYT KAAKQSVLDGTSKWSAKITITVVSAQGLQAKDKTGSSDPYVTVQVGKNKRRTKTIFGNLN PVWDEKFFFECHNSTDRIKVRVWDEDDDIKSRVKQHFKKESDDFLGQTIVEVRTLSGEMD VWYNLEKRTDKSAVSGAIRLKINVEIKGEEKVAPYHIQYTCLHENLFHYLTEVKSNGSVK IPEVKGDEAWKVFFDDASQEIVDEFAMRYGVESIYQAMTHFSCLSSKYMCPGVPAVMSAL LANINAFYAHTTVSTNVQVSASDRFAATNFGREKFIKLLDQLHNSLRIDLSKYRENFPAS NSERLQDLKSTVDLLTSITFFRMKVLELQSPPKASAVVKDCVRACLDSTYKYIFDNCHEL YSQLIDPSKKQDVPREDQGPTTKNLDFWPQLITLMVTIIDEDKTAYTPVLNQFPQELNMG KISAEIMWSLFALDMKYALEEHEKQRLCKSTDYMNLHFKVKWFYNEYVRELPAFKDAVPE YSLWFEPFVMQWLDENEDVSMEFLHGALGRDKKDGFQQTSDHALFSCSVVDVFAQLNQSF EIIKKLECPNPEALSHLMRRFAKTINKVLVQYAAIVSNDFSSYCDKETVPCILMNNIQQL RVQLEKMFESMGGKELDPEASTILKELQIKLNGVLDALSITYGESFQLTIEECIKQMGAE LNQMRANGNSTANKNSAAMDAEIVLRPLMDFLDKTLSLSAKICEKTVLKRVLKELWKLVL NKIEKQIVLPPLTDQTGPQMIFIAAKDLGQLSKLKEHMIREDAKGLTPRQCAIVEVVLAT IKQYFHAGGNGLKKNFLEKSPDLHSLRYALSLYTQTTDALIKKFIETQGSQSRSSKDAVG QISVHVDVTTTPGTGDHKVTVKVIAINDLNWQTTAMFRPFVEVCMLGPSLGDKKRKQGTK TKSNTWSPKYNETFQFILGNENRPGAYELHLSVKDYCFAREDRIIGMTVIQLQNIAEKGS YGAWYPLLKNLSMDETGLTILRILSQRTSDDVAKEFVRLKSETRSIEESA >ENSMUSP00000074726.6 pep:known chromosome:GRCm38:9:73480870:73933567:-1 gene:ENSMUSG00000062151.13 transcript:ENSMUST00000075245.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13c description:unc-13 homolog C (C. elegans) [Source:MGI Symbol;Acc:MGI:2149021] MVASLFKSLILAYIHKLCKGMFTKKLGNTTKKKENRQQKKDQDFPTAGHTKPPKLSNALK STVKKIAKCSSTRNFSIEDEEGHKDFSLSPTFSYRVAIANGLQTAVTNSDEDLLQELSSI ESSYSESFNELRSSTENQVQSTHTMPVRRNRKSSSSLAPSEGSSDGERTLHTLKLGALRK LRKWKKSQECVSSDSELSTVKKTWGIRSKSLDRTARNPKTNVLEPGFSSSGCISQTHDVM EMIFKELQGISQIETELSELRGHVNALKYSIDEISSSVEVVQSEIEQLRTGFVQARRETR DIHDYIKHLGHMGSKVSLRFLNVPEERHEYVESVVYQILIDKMGFSDVPNAIKIEFAQRI GQQRDCPNAKPRPILVYFETPQQRDSVLKKSYKLKGTGIGISTDILTYDIRERKEKGVLP SSQTYESMDMKLSTPEPKAKKNAWLSPNDSDRELESDLSRSSYADSPAKGSSSKSSSKSH SARSKNKAANSRTSQKSDYNKRPSQPPASSTPEKQTPHYVEATPPLWHSQSDFFTLKLSR SESDFSKLCQSYSEDFSESQFFCRTNGSSLLSSSDRELWQRKQEGMPALYHRLQDQGLDE TIPAVPGQAEIENTETVDSGMSNSMVCASGDRSNYSGSQLSLHEDLSPWKEWNQAGQGTD DVGLDSSTQEPFDYDTNSLSDQQLDLSSKDLDDLGKCHSDLQDDSESYDLTQDDNSSPCP GLDNEPQGQWVGQYDSYQEANSNDLYPNQSHPSMMYRSQSELQSDDSEGAQPKSWHSRLS IDLSDKTFKFPKFGSTLQRAKSALEVVWNKSTQSLSGCEDSGSSLMGRFRTLSQSTANES STTLDSDIYTEPYYYKAEEEEDYCEPVADSETDYVEVMEQVLAKLENRTSITEVNEHIKD YDHPSYETPYETPQDEGYDGQADDIISEGELETLNEPAVEMELAEDENQNLPAESLEVMK PKRIRPSFKEAALRAYKKQMAELEEKILAGDSSSMDEKARIVSGNDLDASKFSALQVFGG AGRGLYGIDSMPDLRRKKTLPIVRDVAMTLAARKSGLSLAMVIRTSLNNEELKMHVFRKT LQALIYPISSTTPHNFEVWTATTPTYCYECEGLLWGIARQGMKCLECGVKCHEKCQDLLN ADCLQRAAEKSSKHGAEDKTQTIITAMKERMKIRERNRPEVFEVIQEMFQISKEDFVQYT KAAKQSVLDGTSKWSAKITITVVSAQGLQAKDKTGSSDPYVTVQVGKNKRRTKTIFGNLN PVWDEKFFFECHNSTDRIKVRVWDEDDDIKSRVKQHFKKESDDFLGQTIVEVRTLSGEMD VWYNLEKRTDKSAVSGAIRLKINVEIKGEEKVAPYHIQYTCLHENLFHYLTEVKSNGSVK IPEVKGDEAWKVFFDDASQEIVDEFAMRYGVESIYQAMTHFSCLSSKYMCPGVPAVMSAL LANINAFYAHTTVSTNVQVSASDRFAATNFGREKFIKLLDQLHNSLRIDLSKYRENFPAS NSERLQDLKSTVDLLTSITFFRMKVLELQSPPKASAVVKDCVRACLDSTYKYIFDNCHEL YSQLIDPSKKQDVPREDQGPTTKNLDFWPQLITLMVTIIDEDKTAYTPVLNQFPQELNMG KISAEIMWSLFALDMKYALEEHEKQRLCKSTDYMNLHFKVKWFYNEYVRELPAFKDAVPE YSLWFEPFVMQWLDENEDVSMEFLHGALGRDKKDGFQQTSDHALFSCSVVDVFAQLNQSF EIIKKLECPNPEALSHLMRRFAKTINKVLVQYAAIVSNDFSSYCDKETVPCILMNNIQQL RVQLEKMFESMGGKELDPEASTILKELQIKLNGVLDALSITYGESFQLTIEECIKQMGAE LNQMRANGNSTANKNSAAMDAEIVLRPLMDFLDKTLSLSAKICEKTVLKRVLKELWKLVL NKIEKQIVLPPLTDQTGPQMIFIAAKDLGQLSKLKEHMIREDAKGLTPRQCAIVEVVLAT IKQYFHAGGNGLKKNFLEKSPDLHSLRYALSLYTQTTDALIKKFIETQGSQSRSSKDAVG QISVHVDVTTTPGTGDHKVTVKVIAINDLNWQTTAMFRPFVEVCMLGPSLGDKKRKQGTK TKSNTWSPKYNETFQFILGNENRPGAYELHLSVKDYCFAREDRIIGMTVIQLQNIAEKGS YGAWYPLLKNLSMDETGLTILRILSQRTSDDVAKEFVRLKSETRSIEESA >ENSMUSP00000085566.6 pep:known chromosome:GRCm38:15:99317225:99370482:-1 gene:ENSMUSG00000023008.18 transcript:ENSMUST00000088233.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl3 description:formin-like 3 [Source:MGI Symbol;Acc:MGI:109569] MGNLESTDGGPGEPPSVPLLLPPGKTPMPEPCELEERFALVLSSMNLPPDKARLLRQYDN EKKWDLICDQERFQVKNPPHTYIQKLQSFLDPNVTRKKFRRRVQESTKVLRELEISLRTN HIGWVREFLNDENKGLDVLVDYLSFAQCSVMFDFEGLESGDDGAFDKLRSWSRSIEDLQP PNALSAPFTNSLARSARQSVLRYSTLPGRRALKNSRLVSQKDDVHVCILCLRAIMNYQYG FNLVMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHEIILAAFDNFKEVCKEL HRFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVEDMNFRVHLQYEFTKLGLEEFLQKSR HTESEKLQVQIQAYLDNVFDVGGLLEDAETKNVALEKVEELEEHVSHLTEKLLDLENENM MRVAELEKQLLQREKELESIKETYENTSNQVHTLRRLIKEKEEAFQRRCHLEPSARGLES MGGEALARVGPTELTEGIPPSDLDLLAPAPPTEETLPLPPPPAPPLPPPPPPLPDKCPPA PPLPGAAPSVVLTVGLSAIRIKKPIKTKFRLPVFNWTALKPNQINGTVFSELDDEKILED LDLDRFEELFKTKAQGPALDLICSKNKTAQKAASKVTLLEANRAKNLAITLRKAGRSAEE ICRAIHTFDLQTLPVDFVECLMRFLPTEAEVKLLRQYERERQPLEELAAEDRFMLLFSKV ERLTQRMAGMAFLGNFQDNLQMLTPQLNAIIAASASVKSSQKLKQMLEIILALGNYMNSS KRGAVYGFKLQSLDLLLDTKSTDRKMTLLHFIALTVKEKYPELANFWQELHFVEKAAAVS LENVLLDVKELGRGMELIRRECSIHDNSVLRNFLSTNEGKLDKLQRDAKTAEEAYNAVVR YFGESPKTTPPSVFFPVFVRFIRSYKEAEQENEARKKQEEVMREKQLAQEAKKLDAKTPS QRNKWQQQELIAELRRRQAKEHRPVYEGKDGTIEDIITVLKSVPFTARTAKRGSRFFCDA AHHDESNC >ENSMUSP00000079984.7 pep:known chromosome:GRCm38:15:99317225:99370449:-1 gene:ENSMUSG00000023008.18 transcript:ENSMUST00000081224.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl3 description:formin-like 3 [Source:MGI Symbol;Acc:MGI:109569] MGNLESTDGGPGEPPSVPLLLPPGKTPMPEPCELEERFALVLSSMNLPPDKARLLRQYDN EKKWDLICDQERFQVKNPPHTYIQKLQSFLDPNVTRKKFRRRVQESTKVLRELEISLRTN HIGWVREFLNDENKGLDVLVDYLSFAQCSVMYSTLPGRRALKNSRLVSQKDDVHVCILCL RAIMNYQYGFNLVMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHEIILAAFD NFKEVCKELHRFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVEDMNFRVHLQYEFTKLG LEEFLQKSRHTESEKLQVQIQAYLDNVFDVGGLLEDAETKNVALEKVEELEEHVSHLTEK LLDLENENMMRVAELEKQLLQREKELESIKETYENTSNQVHTLRRLIKEKEEAFQRRCHL EPSARGLESMGGEALARVGPTELTEGIPPSDLDLLAPAPPTEETLPLPPPPAPPLPPPPP PLPDKCPPAPPLPGAAPSVVLTVGLSAIRIKKPIKTKFRLPVFNWTALKPNQINGTVFSE LDDEKILEDLDLDRFEELFKTKAQGPALDLICSKNKTAQKAASKVTLLEANRAKNLAITL RKAGRSAEEICRAIHTFDLQTLPVDFVECLMRFLPTEAEVKLLRQYERERQPLEELAAED RFMLLFSKVERLTQRMAGMAFLGNFQDNLQMLTPQLNAIIAASASVKSSQKLKQMLEIIL ALGNYMNSSKRGAVYGFKLQSLDLLLDTKSTDRKMTLLHFIALTVKEKYPELANFWQELH FVEKAAAVSLENVLLDVKELGRGMELIRRECSIHDNSVLRNFLSTNEGKLDKLQRDAKTA EEAYNAVVRYFGESPKTTPPSVFFPVFVRFIRSYKEAEQENEARKKQEEVMREKQLAQEA KKLDAKTPSQRNKWQQQELIAELRRRQAKEHRPVYEGKDGTIEDIITGFNHQRMVVHSQV RSAVPPSGPPRAPGPH >ENSMUSP00000113094.1 pep:known chromosome:GRCm38:15:99317225:99370449:-1 gene:ENSMUSG00000023008.18 transcript:ENSMUST00000120633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl3 description:formin-like 3 [Source:MGI Symbol;Acc:MGI:109569] MGNLESTDGGPGEPPSVPLLLPPGKTPMPEPCELEERFALVLSSMNLPPDKARLLRQYDN EKKWDLICDQERFQVKNPPHTYIQKLQSFLDPNVTRKKFRRRVQESTKVLRELEISLRTN HIGWVREFLNDENKGLDVLVDYLSFAQCSVMFDFEGLESGDDGAFDKLRSWSRSIEDLQP PNALSAPFTNSLARSARQSVLRYSTLPGRRALKNSRLVSQKDDVHVCILCLRAIMNYQYG FNLVMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHEIILAAFDNFKEVCKEL HRFEKLMEYFRNEDSNIDFMVACMQFINIVVHSVEDMNFRVHLQYEFTKLGLEEFLQKSR HTESEKLQVQIQAYLDNVFDVGGLLEDAETKNVALEKVEELEEHVSHLTEKLLDLENENM MRVAELEKQLLQREKELESIKETYENTSNQVHTLRRLIKEKEEAFQRRCHLEPSARGLES MGGEALARVGPTELTEGIPPSDLDLLAPAPPTEETLPLPPPPAPPLPPPPPPLPDKCPPA PPLPGAAPSVVLTVGLSAIRIKKPIKTKFRLPVFNWTALKPNQINGTVFSELDDEKILED LDLDRFEELFKTKAQGPALDLICSKNKTAQKAASKVTLLEANRAKNLAITLRKAGRSAEE ICRAIHTFDLQTLPVDFVECLMRFLPTEAEVKLLRQYERERQPLEELAAEDRFMLLFSKV ERLTQRMAGMAFLGNFQDNLQMLTPQLNAIIAASASVKSSQKLKQMLEIILALGNYMNSS KRGAVYGFKLQSLDLLLDTKSTDRKMTLLHFIALTVKEKYPELANFWQELHFVEKAAAVS LENVLLDVKELGRGMELIRRECSIHDNSVLRNFLSTNEGKLDKLQRDAKTAEEAYNAVVR YFGESPKTTPPSVFFPVFVRFIRSYKEAEQENEARKKQEEVMREKQLAQEAKKLDAKTPS QRNKWQQQELIAELRRRQAKEHRPVYEGKDGTIEDIITGFNHQRMVVHSQVRSAVPPSGP PRAPGPH >ENSMUSP00000042389.2 pep:known chromosome:GRCm38:2:164235929:164238466:-1 gene:ENSMUSG00000040132.6 transcript:ENSMUST00000044953.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svs2 description:seminal vesicle secretory protein 2 [Source:MGI Symbol;Acc:MGI:1858275] MKSSVFVLSLLLILERQSAVVGQYGATKGHFQSSSSEGFMLGQKGRLSFGIKGGSDEAAE ESLFMQSQRRVYGQGGGDMTQTRVSQEHTSVKGAALCRNGQVSQLKSQESQIKSYGQVKS SGQLKSGGSAFGQVKSSVSQIKSYGQLKSGGQLKSGGPAFGQVKSQESQIKSYGQLKSSG QLKSGGSAFGQVKSSVSQIKSYGQLKSGGSQVKSYGQTKSYGEEGQLNSFSQLKSQGAQL KSYGQQKSQQQSSFSQVKSQSSQLKSYGQQKSLKGFSQQTQHKGFAMDEGMSQVRKQFSD DDLSVQQKSTQQMKTEEDLSQFGQQRQYGQERSQSYKGYLEQYRKKVQEQQRKNFNPGNY FTKGGADLYQAQLKG >ENSMUSP00000104999.1 pep:known chromosome:GRCm38:2:164236528:164238264:-1 gene:ENSMUSG00000040132.6 transcript:ENSMUST00000109374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svs2 description:seminal vesicle secretory protein 2 [Source:MGI Symbol;Acc:MGI:1858275] MKSSVFVLSLLLILERQSAVVGQYGATKGHFQSSSSEGFMLGQKGRLSFGIKGGSDEAAE ESLFMQSQRRVYGQGGGDMTQTRVSQEHTSVKGAALCRNGQVSQLKSQESQIKSYGQVKS SGQLKSGGSAFGQVKSSVSQIKSYGQLKSGGQLKSGGPAFGQVKSQESQIKSYGQLKSSG QLKSGGSAFGQVKSSVSQIKSYGQLKSGGSQVKSYGQTKSYGEEGQLNSFSQLKSQGAQL KSYGQQKSQQQSSFSQVKSQSSQLKSYGQQKSLKGFSQQTQHKGFAMDEGMSQVRKQFSD DDLSVQQKSTQQMKTEEDLSQFGQQRQYGQERSQSYKGYLEQYRKKVQEQQRKNFNPGNY FTKGGADLYQAQLKG >ENSMUSP00000043597.8 pep:known chromosome:GRCm38:8:111345141:111393824:-1 gene:ENSMUSG00000033579.16 transcript:ENSMUST00000038475.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fa2h description:fatty acid 2-hydroxylase [Source:MGI Symbol;Acc:MGI:2443327] MAPAPPPAASFTPAEVQRRLAAGACWVRRGASLYDLTSFVRHHPGGEQLLLARAGQDISA DLDGPPHRHSDNARRWLEQYYVGELRADPQDPTENGAVASAETQKTDPALEPQFKVVDWD KDLVDWQKPLLWQVGHLGEKYDEWVHQPVARPIRLFHSDLIEAFSKTVWYSVPIIWVPLV LYLSWSYYRTLTQDNIRLFASLTREYSMMMPESVFIGLFVLGMLFWTFVEYVIHRFLFHM KPPSNSHYLIMLHFVMHGQHHKAPFDGSRLVFPPVPASLVIAFFYVFLRLILPETVGGII FAGGLLGYVLYDMTHYYLHFGSPHKGSYLYNMKAHHVKHHFEYQKSGFGISTKLWDYFFH TLIPEEAHPKMQ >ENSMUSP00000041268.2 pep:known chromosome:GRCm38:2:156312299:156375635:1 gene:ENSMUSG00000038085.13 transcript:ENSMUST00000037096.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnbd2 description:cyclic nucleotide binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1918123] MNRSANPEAASSTSHVKFDLGKSVDISSTDTKDGGTARSPLEPADKSDTTESKSESGSDS RSEEDKESPASIKEIKAETPQPKDRPGVQIKLSWSQKIKSWTAKKKRKLYQLVIDIIMMN RVCKMFRQGLRGFREYQIIEPVHKKHPDFSFWDKKKQGRISFVTEDFAAQEGHFPPRAIS ITQKKPSWRTHQEIQDLCNILQALDCYRSYTESLQLLLAKVIRFERFGRRRVIVKKGQMG NSFYFIYLGTVAITEDEDGSSAFLDPHPTLLHRGGSFGEMGLLSTTVRSATVVCMEETEF LVVDREDFVANKLGDEVQKETQYRYNFFRNLDIFQSWSEEKLWKLVALGRIERFSYGQMV SKDFMNSAFITFICQGNCEILRLVALGDCSAYYKWVWQQLELLDHKPLRIHDNEISPKER FKELQIKSYPLQDFTYLKLLRLQKAREQQGIDFHGKINKVENTLPKLLGPKIKSRFGHLV KCSMVNTKFGELPKEAIVGVYMKIHKTEEGEIVGLHQAFVPEIQRDCRPFILLSLGSELI QVRKEKFYDMVDEETRAKIIKMDVDYPSDEDLCQSFLKENDYIVFRKDLLRLLVEPLNKS PFIPVQTKKKEIYNHKSLFLDLCSLEKKVKQHYPIFLAPQKYLPPLRVVQAISAPRHKIQ ELLPQYKNAGVLI >ENSMUSP00000073598.5 pep:known chromosome:GRCm38:2:156312592:156357102:1 gene:ENSMUSG00000038085.13 transcript:ENSMUST00000073942.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnbd2 description:cyclic nucleotide binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1918123] MMNRVCKMFRQGLRGFREYQIIEPVHKKHPDFSFWDKKKQGRISFVTEDFAAQEGHFPPR AISITQKKPSWRTHQEIQDLCNILQALDCYRSYTESLQLLLAKVIRFERFGRRRVIVKKG QMGNSFYFIYLGTVAITEDEDGSSAFLDPHPTLLHRGGSFGEMGLLSTTVRSATVVCMEE TEFLVVDREDFVANKLGDEVQKETQYRYNFFRNLDIFQSWSEEKLWKLVALGRIERFSYG QMVSKDFMNSAFITFICQGNCEILRLVALGDCSAYYKWVWQQLELLDHKPLRIHDNGFLC VALAVLVKDPRGGWSINLRRPSQGSARPRFGCNQQEALLGTRVPGRLSLSEDWRAECGVL TLFIGLGLGSKKRGYRSEKRAEWLVQIKAWGISWSFGEPETEVGLFQKLLLVPLYLGTIG SWPLS >ENSMUSP00000105208.1 pep:known chromosome:GRCm38:2:156312633:156375638:1 gene:ENSMUSG00000038085.13 transcript:ENSMUST00000109580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnbd2 description:cyclic nucleotide binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1918123] MMNRVCKMFRQGLRGFREYQIIEPVHKKHPDFSFWDKKKQGRISFVTEDFAAQEKKPSWR THQEIQDLCNILQALDCYRSYTESLQLLLAKVIRFERFGRRRVIVKKGQMGNSFYFIYLG TVAITEDEDGSSAFLDPHPTLLHRGGSFGEMGLLSTTVRSATVVCMEETEFLVVDREDFV ANKLGDEVQKETQYRYNFFRNLDIFQSWSEEKLWKLVALGRIERFSYGQMVSKDFMNSAF ITFICQGNCEILRLVALGDCSAYYKWVWQQLELLDHKPLRIHDNEISPKERFKELQIKSY PLQDFTYLKLLRLQKAREQQGIDFHGKINKVENTLPKLLGPKIKSRFGHLVKCSMVNTKF GELPKEAIVGVYMKIHKTEEGEIVGLHQAFVPEIQRDCRPFILLSLGSELIQVRKEKFYD MVDEETRAKIIKMDVDYPSDEDLCQSFLKENDYIVFRKDLLRLLVEPLNKSPFIPVQTKK KEIYNHKSLFLDLCSLEKKVKQHYPIFLAPQKYLPPLRVVQAISAPRHKIQELLPQYKNA GVLI >ENSMUSP00000059747.3 pep:known chromosome:GRCm38:19:13814607:13815658:-1 gene:ENSMUSG00000045395.3 transcript:ENSMUST00000055672.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1499 description:olfactory receptor 1499 [Source:MGI Symbol;Acc:MGI:3031333] MDNNNLTTVTEFILVGFTDHPEWEVPLFLVFLCFYLVTILGNLGMVILIQMDVQLQSPMY FFLSHLSVLDACYTSVITPQILAMLATGKTVISYNHCAAQFFFFTFCASTECFLLAVMSY DRYVAISNPLLYTVAMSPKKCWSLVLVAYVCGLSGSIQRTTCTFSLSFCEDNKINFFFCD LPPLLKLACSDTTNAEIIIVLFGNFVILVNALVILTSYLLIIKTVMRIKSSGGRGKTFST CVSHLTAVALFFGTLTFMYIRSGSGKSPEEDKVVSVFYTVIIPMLNPLIYSLRNKDVKAG FRKLTSRLQVSQSV >ENSMUSP00000048054.4 pep:known chromosome:GRCm38:5:120914536:120921652:1 gene:ENSMUSG00000032623.9 transcript:ENSMUST00000044224.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oas1d description:2'-5' oligoadenylate synthetase 1D [Source:MGI Symbol;Acc:MGI:2140770] MARELFRTPIWRLDKFIEDQLLPDTTFLTELRADIDSISAFLMERCFQGAAHPVRVSRVV MGGCYNEYTVLKGRSEANMVVFLINLTSFEDQFNGQVVFIEEIWRHLLQLQQEKLCKLKF EVQSPKEPNSRFLSFKLSCPERQHELEFDVQPAYDALYEVRHFKPFDSSNYNKVYAQLTH ECTTLEKEGEFSICFTDLHQSFLRYRAPKLWNLIRLVKHWYQLCKEKLRGPLPPQYALEL LTVYVWEYGIHENPGLHTAQCFRTVLELVTKYKRLRIYWTWCYDFQHEISDYLQGQIKKA RPLILDPADPTRNVAGSDLQAWDLLAKEAQIWIDSTFFTNHDMSIVEAWEVMPERQECVF L >ENSMUSP00000131089.1 pep:known chromosome:GRCm38:17:37492468:37499674:1 gene:ENSMUSG00000090894.2 transcript:ENSMUST00000168318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr110 description:olfactory receptor 110 [Source:MGI Symbol;Acc:MGI:2177493] MEGKNQTAPSEFIILGFDHLNELQYLLFTIFFLTYICTLGGNVFIIVVTIADSHLHTPMY YFLGNLALIDICYTTTNVPQMMVHLLSEKKIISYGGCVTQLFAFIFFVGSECLLLAAMAY DRYIAICKPLRYSFIMNKALCSWLAASCWTGGFLNSVLHTVLTFHLPFCGNNQINYFFCD IPPLLILSCGDTSLNELALLSIGILIGWTPFLCVILSYLYIISTILRIRSSEGRHKAFST CASHLLIVILYYGSAIFTYVRPISSYSLEKDRLISVLYSVVTPMLNPVIYTLRNKDIKEA VKAIGRKWQPPVFSSDI >ENSMUSP00000067303.2 pep:known chromosome:GRCm38:19:13826882:13828459:-1 gene:ENSMUSG00000054526.3 transcript:ENSMUST00000067670.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1500 description:olfactory receptor 1500 [Source:MGI Symbol;Acc:MGI:3031334] MAKVNLTLVTEFLLIAFTEHPEWGLPLFHLFLFIYLFTLLGNSGMIVLIRMDRRLHTPMY FLLSHLSFMDICYSSVTVPQTMAVLLEHGAALSYARCVAQFFLFTFFGSIDCYLLALMAY DRYVAVCQPLLYVTIMTQKALLSFVAGAYIAGLLSALVRTISAFTLSFCGNNEIDFIFCD LPPLLKLTCGESYIQELVIIVFAIFVIPACMVVIVVSYLFIIVAILRIPSAGGRAKTFST CASHLTAVSLFFGTLIFMYLRDNSGQASEKDRVVSVFYTTVIPMLNPLIYSLRNKEVKEA LKNFLNRVKTF >ENSMUSP00000062011.5 pep:known chromosome:GRCm38:2:164254363:164256640:-1 gene:ENSMUSG00000050383.5 transcript:ENSMUST00000063132.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svs3b description:seminal vesicle secretory protein 3B [Source:MGI Symbol;Acc:MGI:3583778] MKSIFFSLSLLLLLEKKAAGIELYAGGTKGHFLVKTSPLMFIGKSQFLYGHKEEQEEAPE ESIFVQTKHHAYGQDADADMGEALSSQELTSLKEDIVCEEEDELAQQKSQLPSQSQIKSQ TQVKSYAAQLKSQPGQLKTIGQMKSQTMLKSHGAPLKSYKARLNLREDIPQQVKGRGYGL AEDLAQVRQQPAKVHRLKGKHRQSRKTAAFYPQFRRRSRPYPRYFVQFQEQLQGSVHHTK SFYPGPGMCYCPRGGVILYQDAFTD >ENSMUSP00000040111.1 pep:known chromosome:GRCm38:16:91053935:91069145:-1 gene:ENSMUSG00000039851.11 transcript:ENSMUST00000035689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932438H23Rik description:RIKEN cDNA 4932438H23 gene [Source:MGI Symbol;Acc:MGI:1921637] MDWLMREKQEHTSPCWTRMTSPSSFCLLLLQALGIVALGHFTKAQNNTLIFTKGNTIRNC SCPVDIRDCDYSLANLICSCKSILPSAMEQTSYHGHLTIWFTDISTLGHVLKFTLVQDLK LSLCGSSTFPTKYLAICGLQRLRIHTKARHPSRGQSLLIHSRREGSSLYKGWQTCMFISF LDVALFNGDSSLKSYSIDNISSLASDFPDFSYFKTSPMPSNRSYVVTVIY >ENSMUSP00000109710.1 pep:known chromosome:GRCm38:16:91053935:91069145:-1 gene:ENSMUSG00000039851.11 transcript:ENSMUST00000114076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932438H23Rik description:RIKEN cDNA 4932438H23 gene [Source:MGI Symbol;Acc:MGI:1921637] MDWLMREKQEHTSPCWTRMTSPSSFCLLLLQALGIVALGHFTKAQNNTLIFTKGNTIRNC SCPVDIRDCDYSLANLICSCKSILPSAMEQTSYHGHLTIWFTDISTLGHVLKFTLVQDLK LSLCGSSTFPTKYLAICGLQRLRIHTKARHPSRGQSLLIHSRREGSSLYKGWQTCMFISF LDVALFNGDSSLKSYSIDNISSLASDFPDFSYFKTSPMPSNRSYVVTVIY >ENSMUSP00000122640.1 pep:known chromosome:GRCm38:16:91055838:91095122:-1 gene:ENSMUSG00000039851.11 transcript:ENSMUST00000146047.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932438H23Rik description:RIKEN cDNA 4932438H23 gene [Source:MGI Symbol;Acc:MGI:1921637] MDWLMREKQEHTSPCWTRMTSPSSFCLLLLQALGIVALGHFTKAQNNTLIFTKGNTIRNC SCPVDIRDCDYSLANLICSCKSILPSAMEQTSYHGHLTIWFTDISTLGHVLKFTLVQDLK LSLCGSSTFPTKYLAI >ENSMUSP00000059420.4 pep:known chromosome:GRCm38:X:101254528:101260873:1 gene:ENSMUSG00000042903.8 transcript:ENSMUST00000062000.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxo4 description:forkhead box O4 [Source:MGI Symbol;Acc:MGI:1891915] MDPENKKSATGAAAILDLDPDFEPQSRPRSCTWPLPRPDLATEPHEPSEVEPSLGQKVPT EGHSEPILLPSRLPEPAGGPQPGILGAVTGPRKGGSRRNAWGNQSYAELISQAIESAPEK RLTLAQIYEWMVRTVPYFKDKGDSNSSAGWKNSIRHNLSLHSKFIKVHNEATGKSSWWML NPDGGKGGKAPRRRAASMDSSSKLLRGRSKGPKKKPSVLPAPPEGATPRSPLGHFAKWSS SPCPRNREEADVWTTFRPRSSSNASTVSTRLSPMRPESEVLAEEEMPASASSYAGGVPPT LSEDLELLDGLNLASPHSLLSRSGLSGFSLQHPGLAGPLHSYGASLFGPIDGSLSAGEGC FSSSQSLEALLTSDTPPPPADVLMTQVDPILSQAPTLLLLGGMPSSSKLGTGVSLCPTPL EGPGPSNLVPNLSVMAPPPVMAGAPIPKVLGTPVLASPTEDSSHDRMPQDLDLDMYMENL ECDMDNIISDLMDGEGLDFNFEPDP >ENSMUSP00000116165.1 pep:known chromosome:GRCm38:X:101254821:101259233:1 gene:ENSMUSG00000042903.8 transcript:ENSMUST00000138437.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxo4 description:forkhead box O4 [Source:MGI Symbol;Acc:MGI:1891915] MDPENKKSATGAAAILDLDPDFEPQSRPRSCTWPLPRPDLATEPHEPSEVEPSLGQKAIE SAPEKRLTLAQIYEWMVRTVPYFKDKGDSNSSAGWKNSIRHNLSLHSKFIKVHNEATGKS SWWMLNPDGGKGGKAPRRRAASMDSSSKLLRGRSKGPKKKPSVLPAPPEGATPRSPLGHF AKWSSSPCPRNREEADVWTTFRPRSSSNASTVSTRLSPMRPESEVLAEEEMPASASSYAG GVPPTLSEDLELLDGLNLASPHSLLSRSGLSGFSLQHPGLAGPLHSYGASLFGPIDGSLS AGEGCFSSSQSLEALLTSDTPPPPADVLMTQVDPILSQAPTLLLLGGMPSSSKLGTGVSL CPTPLEGPGPSNLVPNLSVMAPPPVMAGAPIPKVLGTPVLASPTEDSSHDRMPQDLDLDM YMENLECDMDNIISDLMDGEGLDFNFEP >ENSMUSP00000096498.3 pep:known chromosome:GRCm38:8:21055047:21056016:1 gene:ENSMUSG00000074446.3 transcript:ENSMUST00000098899.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa23 description:defensin, alpha, 23 [Source:MGI Symbol;Acc:MGI:3630381] MKTLVLLSALILLAFQVQADPIQNTDEETKTEEQPGKEDQAVSVSFGDPEGSSLQEESLR DLVCYCRTRGCKRRERMNGTCRKGHLIYTLCCR >ENSMUSP00000027367.7 pep:known chromosome:GRCm38:1:74391509:74397285:1 gene:ENSMUSG00000026176.13 transcript:ENSMUST00000027367.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdsp1 description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase 1 [Source:MGI Symbol;Acc:MGI:2654470] MDSSAVITQISKEEARGPLRGKGDQKSAVSQKPRSRGILHSLFCCVCRDDGEPLPAHSGA PLLVEENGAIPKHTPVQYLLPEAKAQDSDKICVVIDLDETLVHSSFKPVNNADFIIPVEI DGVVHQVYVLKRPHVDEFLQRMGELFECVLFTASLAKYADPVADLLDKWGAFRARLFRES CVFHRGNYVKDLSRLGRDLRRVLILDNSPASYVFHPDNAVPVASWFDNMSDTELHDLLPF FEQLSRVDDVYSVLRQPRPGS >ENSMUSP00000114526.1 pep:known chromosome:GRCm38:1:74392162:74394069:1 gene:ENSMUSG00000026176.13 transcript:ENSMUST00000152659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdsp1 description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase 1 [Source:MGI Symbol;Acc:MGI:2654470] GETEAEGERGAGGLPGVTPSPSLAPMGREGDQKSAVSQKPRSRGILHSLFCCVCRDDGEP LPAHSGAPLLVEENGAIPKHTPVQYLLPEAKAQDSDKICVVIDLDETLVHSSFKPVNNAD FIIPVEIDGVV >ENSMUSP00000017142.2 pep:known chromosome:GRCm38:2:164275952:164278459:-1 gene:ENSMUSG00000016998.2 transcript:ENSMUST00000017142.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svs4 description:seminal vesicle secretory protein 4 [Source:MGI Symbol;Acc:MGI:98450] MNSTSLFLFSLLLLLVTGAIGKKTKEKFLQSEETVRESFSMGSRGHMSRSSEPEVFVRPQ DSIGDEASEEMSSSSSSRRRSKIISSSSDGSNMEGESSYSKRKKSRFSQDALE >ENSMUSP00000147096.1 pep:known chromosome:GRCm38:19:13838224:13850692:-1 gene:ENSMUSG00000057270.2 transcript:ENSMUST00000208493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1501 description:olfactory receptor 1501 [Source:MGI Symbol;Acc:MGI:3031335] MADNGTRLTEFILIGFQLQAELQLCLFFIFLAFYLITIVGNLGMIMLIQSDPRLQTPMYF FLSHLSFLDVCYSSVIVPQLLETLGSNKMVITYERCATQFFFFTLYASTECFLLAVMAYD RYVAVCNPLLYAMAMTPQTRLGLVAAAYSGAMVNTVVRTGCTFSISFCKSNQVDFFFCDL PPLLKLSCSETKLREQVIFLFAFLVITTSVSVILVSYLFIIWAILKIRTAGAKAKTFSTC ASHMIAVALFFGTLIFMYLKGNMGKSLWQDKIVSVFYTVVIPMLNPMIYSLRNKEVKEAL KKAFKRIKASQESKT >ENSMUSP00000073620.1 pep:known chromosome:GRCm38:19:13838224:13839171:-1 gene:ENSMUSG00000057270.2 transcript:ENSMUST00000073966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1501 description:olfactory receptor 1501 [Source:MGI Symbol;Acc:MGI:3031335] MADNGTRLTEFILIGFQLQAELQLCLFFIFLAFYLITIVGNLGMIMLIQSDPRLQTPMYF FLSHLSFLDVCYSSVIVPQLLETLGSNKMVITYERCATQFFFFTLYASTECFLLAVMAYD RYVAVCNPLLYAMAMTPQTRLGLVAAAYSGAMVNTVVRTGCTFSISFCKSNQVDFFFCDL PPLLKLSCSETKLREQVIFLFAFLVITTSVSVILVSYLFIIWAILKIRTAGAKAKTFSTC ASHMIAVALFFGTLIFMYLKGNMGKSLWQDKIVSVFYTVVIPMLNPMIYSLRNKEVKEAL KKAFKRIKASQESKT >ENSMUSP00000116599.1 pep:known chromosome:GRCm38:4:131923413:131937211:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000155990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] XLGVEEQASAFSFPVTPASCQLQLGGEKADSSEELGAAAETLETQSGSFLDSPVGNQFPT LIRSFQTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTEDSNGDLDPGVLLTA QTITSETTSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHPD MSVTKVVVHQETEISEE >ENSMUSP00000122234.1 pep:known chromosome:GRCm38:4:131923413:131967845:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000146443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] XKRGEEPAEPAEPEPTEAWKKLAGKGEDLIRMRKKKRERLDGENIYIRHSNLMLEDLDKS QEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQVPTGDGPPLVKT QTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTEDSNGDLDPGVLLTAQTITSET TSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHPDMSVTKVV VHQETEISEE >ENSMUSP00000121764.1 pep:known chromosome:GRCm38:4:131923413:131967876:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000135579.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] XTPKEAVKVEEKRGEEPAEPAEPEPTEAWKDLDKSQEEIKKHHASISELKKNFMESVPEP RPSEWDKRLSTHSPFRTLNINGQVPTGDGSVNGIRTEEVATVTKGPSTYPASEWECPKQS VVPSQSLMTTPPASPQSFGSGSLSINSKEAEEKEQGAAGYLDAEEMPTGPSGECLGVEEQ ASAFSFPVTPASCQLQLGGEKADSSEELGAAAETLETQSGSFLDSPVGNQFPTLIRSFQP PLVKTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTEDSNGDLDPGVLLTAQT ITSETTSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHPDMS VTKVVVHQETEISEE >ENSMUSP00000123623.1 pep:known chromosome:GRCm38:4:131923413:132003794:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000137846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] PAQEEHREDPDSETKEGEGIEECSGTEVKEDPESRAEREPEASQKPVRRHRNMHCKVSLL DDTVYECVVEKHAKGQDLLKRVCEHLNLLEEDYFGLALWDSATSKTWLDSAKEIKKQVRG VPWNFTFNVKFYPPDPAQLTEDITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQSEL GDYDPELHGMDYVSDFKLAPNQTKELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYG VDLHKAKDLEGVDIILGVCSSGLLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPGEQE HYESTIGFKLPSYRAAKKLWKVCVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQAQT RQASALIDRPAPHFERTASKRASRSLDGAAAAESTDRSPRPTSAPAIAQSQVTEGPGAPI KKTPKEAVKVEEKRGEEPAEPAEPEPTEAWKKKRERLDGENIYIRHSNLMLEDLDKSQEE IKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQVPTGDGPPLVKTQTV TISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTEDSNGDLDPGVLLTAQTITSETTSS TTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHPDMSVTKVVVHQ ETEISEE >ENSMUSP00000060375.5 pep:known chromosome:GRCm38:4:131923413:132007437:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000054917.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] MTTEKSLAAEAENSQHQQQKEEGEGATNSGQQETQLEEASQAAAAEGSDQGEQKLKASNG DTPTHEDLTKNKERTSESRGLSRLLSSFLKRPKSQVSEEEGREVESEKEKGEGGQKEIEL GNSLDEDIILKAPIAAPEPELKTDPSLDLHSLSSIETQPAQEEHREDPDSETKEGEGIEE CSGTEVKEDPESRAEREPEASQKPVRRHRNMHCKVSLLDDTVYECVVEKHAKGQDLLKRV CEHLNLLEEDYFGLALWDSATSKTWLDSAKEIKKQVRGVPWNFTFNVKFYPPDPAQLTED ITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQSELGDYDPELHGMDYVSDFKLAPNQ TKELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYGVDLHKAKDLEGVDIILGVCSSG LLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPGEQEHYESTIGFKLPSYRAAKKLWKV CVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQAQTRQASALIDRPAPHFERTASKRA SRSLDGAAAAESTDRSPRPTSAPAIAQSQVTEGPGAPIKKTPKEAVKVEEKRGEEPAEPA EPEPTEAWKDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNIN GQVPTGDGPPLVKTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTEDSNGDLD PGVLLTAQTITSETTSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKE AKEQHPDMSVTKVVVHQETEISEE >ENSMUSP00000101594.1 pep:known chromosome:GRCm38:4:131923413:132007438:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000105974.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] MTTEKSLAAEAENSQHQQQKEEGEGATNSGQQETQLEEASQAAAAEGSDQGEQKLKASNG DTPTHEDLTKNKERTSESRGLSRLLSSFLKRPKSQVSEEEGREVESEKEKGEGGQKEIEL GNSLDEDIILKAPIAAPEPELKTDPSLDLHSLSSIETQPAQEEHREDPDSETKEGEGIEE CSGTEVKEDPESRAEREPEASQKPVRRHRNMHCKVSLLDDTVYECVVETWLDSAKEIKKQ VRGVPWNFTFNVKFYPPDPAQLTEDITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQ SELGDYDPELHGMDYVSDFKLAPNQTKELEEKVMELHKSYRSMTPAQADLEFLENAKKLS MYGVDLHKAKDLEGVDIILGVCSSGLLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPG EQEHYESTIGFKLPSYRAAKKLWKVCVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQ AQTRQASALIDRPAPHFERTASKRASRSLDGAAAAESTDRSPRPTSAPAIAQSQVTEGPG APIKKTPKEAVKVEEKRGEEPAEPAEPEPTEAWKDLDKSQEEIKKHHASISELKKNFMES VPEPRPSEWDKRLSTHSPFRTLNINGQVPTGDGPPLVKTQTVTISDTANAVKSEIPTKDV PIVHTETKTITYEAAQTEDSNGDLDPGVLLTAQTITSETTSSTTTTQITKTVKGGISETR IEKRIVITGDADIDHDQVLVQAIKEAKEQHPDMSVTKVVVHQETEISEE >ENSMUSP00000101590.1 pep:known chromosome:GRCm38:4:131923413:132075292:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000105970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] MIWIIKPAQEEHREDPDSETKEGEGIEECSGTEVKEDPESRAEREPEASQKPVRRHRNMH CKVSLLDDTVYECVVEKHAKGQDLLKRVCEHLNLLEEDYFGLALWDSATSKTWLDSAKEI KKQVRGVPWNFTFNVKFYPPDPAQLTEDITRYYLCLQLRQDIVAGRLPCSFATLALLGSY TIQSELGDYDPELHGMDYVSDFKLAPNQTKELEEKVMELHKSYRSMTPAQADLEFLENAK KLSMYGVDLHKAKDLEGVDIILGVCSSGLLVYKDKLRINRFPWPKVLKISYKRSSFFIKI RPGEQEHYESTIGFKLPSYRAAKKLWKVCVEHHTFFRLTSTDTIPKSKFLALGSKFRYSG RTQAQTRQASALIDRPAPHFERTASKRASRSLDGAAAAESTDRSPRPTSAPAIAQSQVTE GPGAPIKKTPKEAVKVEEKRGEEPAEPAEPEPTEAWKKKRERLDGENIYIRHSNLMLEDL DKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQVPTGDGPPL VKTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTVKGGISETRIEKRIVITGD ADIDHDQVLVQAIKEAKEQHPDMSVTKVVVHQETEISEE >ENSMUSP00000101595.1 pep:known chromosome:GRCm38:4:131923413:132075292:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000105975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] MTTEKSLAAEAENSQHQQQKEEGEGATNSGQQETQLEEASQAAAAEGSDQGEQKLKASNG DTPTHEDLTKNKERTSESRGLSRLLSSFLKRPKSQVSEEEGREVESEKEKGEGGQKEIEL GNSLDEDIILKAPIAAPEPELKTDPSLDLHSLSSIETQPECIQNKSYVQNFTSEASIMIW IIKPAQEEHREDPDSETKEGEGIEECSGTEVKEDPESRAEREPEASQKPVRRHRNMHCKV SLLDDTVYECVVEKHAKGQDLLKRVCEHLNLLEEDYFGLALWDSATSKTWLDSAKEIKKQ VRGVPWNFTFNVKFYPPDPAQLTEDITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQ SELGDYDPELHGMDYVSDFKLAPNQTKELEEKVMELHKSYRSMTPAQADLEFLENAKKLS MYGVDLHKAKDLEGVDIILGVCSSGLLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPG EQEHYESTIGFKLPSYRAAKKLWKVCVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQ AQTRQASALIDRPAPHFERTASKRASRSLDGAAAAESTDRSPRPTSAPAIAQSQVTEGPG APIKKTPKEAVKVEEKRGEEPAEPAEPEPTEAWKVEKTHTEVTVPTSNGDQTQKKRERLD GENIYIRHSNLMLEDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFR TLNINGQVPTGDGPPLVKTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTEDS NGDLDPGVLLTAQTITSETTSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLV QAIKEAKEQHPDMSVTKVVVHQETEISEE >ENSMUSP00000101592.1 pep:known chromosome:GRCm38:4:131926510:132007431:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000105972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] MTTEKSLAAEAENSQHQQQKEEGEGATNSGQQETQLEEASQAAAAEGSDQGEQKLKASNG DTPTHEDLTKNKERTSESRGLSRLLSSFLKRPKSQVSEEEGREVESEKEKGEGGQKEIEL GNSLDEDIILKAPIAAPEPELKTDPSLDLHSLSSIETQPAQEEHREDPDSETKEGEGIEE CSGTEVKEDPESRAEREPEASQKPVRRHRNMHCKVSLLDDTVYECVVEKHAKGQDLLKRV CEHLNLLEEDYFGLALWDSATSKTWLDSAKEIKKQVRGVPWNFTFNVKFYPPDPAQLTED ITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQSELGDYDPELHGMDYVSDFKLAPNQ TKELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYGVDLHKAKDLEGVDIILGVCSSG LLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPGEQEHYESTIGFKLPSYRAAKKLWKV CVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQAQTRQASALIDRPAPHFERTASKRA SRSLDGAAAAESTDRSPRPTSAPAIAQSQVTEGPGAPIKKTPKEAVKVEEKRGEEPAEPA EPEPTEAWKVEKTHTEVTVPTSNGDQTQKLAGKGEDLIRMRKKKRERLDGENIYIRHSNL MLEDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQVPTG DGPPLVKTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTEDSNGDLDPGVLLT AQTITSETTSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHP DMSVTKVVVHQETEISEE >ENSMUSP00000120236.1 pep:known chromosome:GRCm38:4:131957620:132049091:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000141291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] MTTEKSLAAEAENSQHQQQKEEGEGATNSGQQETQLEEASQAAAAEGSDQGEQKLKASNG DTPTHEDLTKNKERTSESRGLSRLLSSFLKRPKSQVSEEEGREVESEKEKGEGGQKEIEL GNSLDEDIILKAPIAAPEPELKTDPSLDLHSLSSIETQPAQEEHREDPDSETKEGEGIEE CSGTEVKEDPESRAEREPEASQKPVRRHRNMHCKVSLLDDTVYECVVEKHAKGQDLLKRV CEHLNLLEEDYFGLALWDSATSKTWLDSAKEIKKQVRGVPWNFTFNVKFYPPDPAQLTED ITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQSELGDYDPELHGMDYVSDFKLAPNQ TKELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYGVDLHKAKDLEGVDIILGVCSSG LLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPGEQEHYESTIGFKLPSYRAAKKLWKV CVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQAQTRQASALIDRPAPHFERTASKRA SRSLDGAAAAESTDRSPRPTSAPAIAQSQVTEGPGAPIKKTPKEAVKVEEKRGEEPAEPA EPEPTEAWKVEKTHTEVTVPTSNGDQTQKKRERLDGENIYIRHSNLMLEDLDKSQEEIKK HHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQVPTGDGVSTVSTGP >ENSMUSP00000101601.2 pep:known chromosome:GRCm38:4:131923429:132049078:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000105981.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] MTTEKSLAAEAENSQHQQQKEEGEGATNSGQQETQLEEASQAAAAEGSDQGEQKLKASNG DTPTHEDLTKNKERTSESRGLSRLLSSFLKRPKSQVSEEEGREVESEKEKGEGGQKEIEL GNSLDEDIILKAPIAAPEPELKTDPSLDLHSLSSIETQPAQEEHREDPDSETKEGEGIEE CSGTEVKEDPESRAEREPEASQKPVRRHRNMHCKVSLLDDTVYECVVEKHAKGQDLLKRV CEHLNLLEEDYFGLALWDSATSKTWLDSAKEIKKQVRGVPWNFTFNVKFYPPDPAQLTED ITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQSELGDYDPELHGMDYVSDFKLAPNQ TKELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYGVDLHKAKDLEGVDIILGVCSSG LLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPGEQEHYESTIGFKLPSYRAAKKLWKV CVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQAQTRQASALIDRPAPHFERTASKRA SRSLDGAAAAESTDRSPRPTSAPAIAQSQVTEGPGAPIKKTPKEAVKVEEKRGEEPAEPA EPEPTEAWKVEKTHTEVTVPTSNGDQTQKLAGKGEDLIRMRKKKRERLDGENIYIRHSNL MLEDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQVPTG DGPPLVKTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTEDSNGDLDPGVLLT AQTITSETTSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHP DMSVTKVVVHQETEISEE >ENSMUSP00000081274.3 pep:known chromosome:GRCm38:4:131923429:132049078:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000084253.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] MTTEKSLAAEAENSQHQQQKEEGEGATNSGQQETQLEEASQAAAAEGSDQGEQKLKASNG DTPTHEDLTKNKERTSESRGLSRLLSSFLKRPKSQVSEEEGREVESEKEKGEGGQKEIEL GNSLDEDIILKAPIAAPEPELKTDPSLDLHSLSSIETQPAQEEHREDPDSETKEGEGIEE CSGTEVKEDPESRAEREPEASQKPVRRHRNMHCKVSLLDDTVYECVVEKHAKGQDLLKRV CEHLNLLEEDYFGLALWDSATSKTWLDSAKEIKKQVRGVPWNFTFNVKFYPPDPAQLTED ITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQSELGDYDPELHGMDYVSDFKLAPNQ TKELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYGVDLHKAKDLEGVDIILGVCSSG LLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPGEQEHYESTIGFKLPSYRAAKKLWKV CVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQAQTRQASALIDRPAPHFERTASKRA SRSLDGAAAAESTDRSPRPTSAPAIAQSQVTEGPGAPIKKTPKEAVKVEEKRGEEPAEPA EPEPTEAWKDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNIN GQVPTGDGPPLVKTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTEDSNGDLD PGVLLTAQTITSETTSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKE AKEQHPDMSVTKVVVHQETEISEE >ENSMUSP00000030739.4 pep:known chromosome:GRCm38:4:131923429:132072097:-1 gene:ENSMUSG00000028906.15 transcript:ENSMUST00000030739.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41 description:erythrocyte membrane protein band 4.1 [Source:MGI Symbol;Acc:MGI:95401] MTTEKSLAAEAENSQHQQQKEEGEGATNSGQQETQLEEASQAAAAEGSDQGEQKLKASNG DTPTHEDLTKNKERTSESRGLSRLLSSFLKRPKSQVSEEEGREVESEKEKGEGGQKEIEL GNSLDEDIILKAPIAAPEPELKTDPSLDLHSLSSIETQPAQEEHREDPDSETKEGEGIEE CSGTEVKEDPESRAEREPEASQKPVRRHRNMHCKVSLLDDTVYECVVEKHAKGQDLLKRV CEHLNLLEEDYFGLALWDSATSKTWLDSAKEIKKQVRGVPWNFTFNVKFYPPDPAQLTED ITRYYLCLQLRQDIVAGRLPCSFATLALLGSYTIQSELGDYDPELHGMDYVSDFKLAPNQ TKELEEKVMELHKSYRSMTPAQADLEFLENAKKLSMYGVDLHKAKDLEGVDIILGVCSSG LLVYKDKLRINRFPWPKVLKISYKRSSFFIKIRPGEQEHYESTIGFKLPSYRAAKKLWKV CVEHHTFFRLTSTDTIPKSKFLALGSKFRYSGRTQAQTRQASALIDRPAPHFERTASKRA SRSLDGAAAAESTDRSPRPTSAPAIAQSQVTEGPGAPIKKTPKEAVKVEEKRGEEPAEPA EPEPTEAWKVEKTHTEVTVPTSNGDQTQKLAGKGEDLIRMRKKKRERLDGENIYIRHSNL MLEDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQVPTG DGPPLVKTQTVTISDTANAVKSEIPTKDVPIVHTETKTITYEAAQTEDSNGDLDPGVLLT AQTITSETTSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHP DMSVTKVVVHQETEISEE >ENSMUSP00000095409.2 pep:known chromosome:GRCm38:4:144106474:144110101:1 gene:ENSMUSG00000073723.10 transcript:ENSMUST00000097800.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13102 description:predicted gene 13102 [Source:MGI Symbol;Acc:MGI:3703005] MKYFLPLDVSLASAYLKATMSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFK DAFTSRQRKILSLMVATWPFPVLPVGALCGIDHLETLKAVLDGLDLLMSQKDRPSRWNLQ VLDLRDAHQDFWDGWAGLLHEVCSQDVFGKNQPVGNHPIPGGKQTISIKMNLSLKYCRRH SHCENLNYLYYWAKQRKDVIQVIFEKLEFTGKHSSIQELTIKSIHWDIYNLALIASCLGQ MKNLQKLILMNIRRPSSLTDLQEARIITEIFSEFSKLHKLQHLYVNDVYFLKERLDLMLR CFERPLETLTITYCKLSESDMRYLSQCPSIHQLKYLDMSYTTFKPSSHRFLGFLGSLLER LTATLQTLKLECFHLTDSRIRDLLPGLSQCSQLTEVDFVENKFSMVSLKTLLQHTANLTQ LTLEKYPAPDEVYDDSLCVIPDRFVQLCSELMNTLKGVRQPKQVYFESTIYSPNFEYLVY NLEGMVSSEPLRRRGRGMVIYISG >ENSMUSP00000095408.2 pep:known chromosome:GRCm38:4:144106474:144110101:1 gene:ENSMUSG00000073723.10 transcript:ENSMUST00000097799.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13102 description:predicted gene 13102 [Source:MGI Symbol;Acc:MGI:3703005] MKYFLPLDVSLASAYLKATMSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFK DAFTSRQRKILSLMVATWPFPVLPVGALCGIDHLETLKAVLDGLDLLMSQKDRPSRWNLQ VLDLRDAHQDFWDGWAGLLHEVCSQDVFGKNQPVGNHPIPGGKQTISIKMNLSLKYCRRH SHCENLNYLYYWAKQRKDVIQVIFEKLEFTGKHCLRLKLWRLLKLSFVASIQELTIKSIH WDIYNLALIASCLGQMKNLQKLILMNIRRPSSLTDLQEARIITEIFSEFSKLHKLQHLYV NDVYFLKERLDLMLRCFERPLETLTITYCKLSESDMRYLSQCPSIHQLKYLDMSYTTFKP SSHRFLGFLGSLLERLTATLQTLKLECFHLTDSRIRDLLPGLSQCSQLTEVDFVENKFSM VSLKTLLQHTANLTQLTLEKYPAPDEVYDDSLCVIPDRFVQLCSELMNTLKGVRQPKQVY FESTIYSPNFEYLVYNLEGMVSSEPLRRRGRGMVIYISG >ENSMUSP00000101387.3 pep:known chromosome:GRCm38:4:144106531:144110101:1 gene:ENSMUSG00000073723.10 transcript:ENSMUST00000105761.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13102 description:predicted gene 13102 [Source:MGI Symbol;Acc:MGI:3703005] MSFKDPPTLQQLARRSLLKDEALTISALPNLPVQLFPPLFKDAFTSRQRKILSLMVATWP FPVLPVGALCGIDHLETLKAVLDGLDLLMSQKDRPSRWNLQVLDLRDAHQDFWDGWAGLL HEVCSQDVFGKNQPVGNHPIPGGKQTISIKMNLSLKYCRRHSHCENLNYLYYWAKQRKDV IQVIFEKLEFTGKHCLRLKLWRLLKLSFVASIQELTIKSIHWDIYNLALIASCLGQMKNL QKLILMNIRRPSSLTDLQEARIITEIFSEFSKLHKLQHLYVNDVYFLKERLDLMLRCFER PLETLTITYCKLSESDMRYLSQCPSIHQLKYLDMSYTTFKPSSHRFLGFLGSLLERLTAT LQTLKLECFHLTDSRIRDLLPGLSQCSQLTEVDFVENKFSMVSLKTLLQHTANLTQLTLE KYPAPDEVYDDSLCVIPDRFVQLCSELMNTLKGVRQPKQVYFESTIYSPNFEYLVYNLEG MVSSEPLRRRGRGMVIYISG >ENSMUSP00000123746.1 pep:known chromosome:GRCm38:8:3470862:3487178:1 gene:ENSMUSG00000040340.13 transcript:ENSMUST00000159076.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700019B03Rik description:RIKEN cDNA 1700019B03 gene [Source:MGI Symbol;Acc:MGI:1923656] MPVCPLSLEFLKASHFSLGPDPRLHDGTMQGTSHRDFPAYSAVTLVQPPSSAPQQGTIFQ REARWASQKHVSEMRRAFSPPPTLQSQDELRERAIEHSQAMQISNLHLHADMRPGLNLSI ARTDYGWPELPPSARLDIRSARLLFDRDSMPSGDRKQLRMPLTSYQAHYPPYDAITPQPC VPCSHLGGPNTLKWNYKGQKDTSYKKQFQALPSPPALMCKRASSSVNLGDSKVGYSPLCS LVKQTYTPQGLSPYRYDKVQAAAHIHQVNVGPGDRLFHDRTTMNDYFYSKEPEPFVLHHD KTPESNILKGNWCPGPGSLHTSSKFFYATSCDTASWPTGVP >ENSMUSP00000124916.1 pep:known chromosome:GRCm38:8:3475849:3487181:1 gene:ENSMUSG00000040340.13 transcript:ENSMUST00000161680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019B03Rik description:RIKEN cDNA 1700019B03 gene [Source:MGI Symbol;Acc:MGI:1923656] MPVCPLSLEFLKASHFSLGPDPRLHDGTMQGTSHRDFPAYSAVTLVQPPSSAPQQGTIFQ REARWASQKHVSEMRRAFSPPPTLQSQDELRERAIEHSQAMQISNLHLHADMRPGLNLSI ARTDYGWPELPPSARLDIRSARLLFDRDSMPSGDRKQLRMPLTSYQAHYPPYDAITPQPC VPCSHLGGPNTLKWNYKGQKDTSYKKQFQALPSPPALMCKRASSSVNLGDSKVGYSPLCS LVKQTYTPQGLSPYRYDKVQAAAHIHQVNVGPGDRLFHDRTTMNDYFYSKEPEPFVLHHD KTPESNILKGNWCPGPGSLHTSSKFFYGEPPPATQPPGRQVSHEKLKDHIILGDSKLLGN FFQTSMSSDYCPPTTPIKQRTLKFSLLESNLPEGTGELDFFTTNQMMMKPHGIVRASITE DLLQKCKYSHIEPPLGRQRFFSTQNQDEYPFKYQGPLVQKLSSMHESHLPMGTPKYLGCW HEKADPRGPQIPMYPCLSQQ >ENSMUSP00000043586.6 pep:known chromosome:GRCm38:8:3470862:3487178:1 gene:ENSMUSG00000040340.13 transcript:ENSMUST00000047265.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019B03Rik description:RIKEN cDNA 1700019B03 gene [Source:MGI Symbol;Acc:MGI:1923656] MPVCPLSLEFLKASHFSLGPDPRLHDGTMQGTSHRDFPAYSAVTLVQPPSSAPQQGTIFQ REARWASQKHVSEMRRAFSPPPTLQSQDELRERAIEHSQAMQISNLHLHADMRPGLNLSI ARTDYGWPELPPSARLDIRSARLLFDRDSMPSGDRKQLRMPLTSYQAHYPPYDAITPQPC VPCSHLGGPNTLKWNYKGQKDTSYKKQFQALPSPPALMCKRASSSVNLGDSKVGYSPLCS LVKQTYTPQGLSPYRYDKVQAAAHIHQVNVGPGDRLFHDRTTMNDYFYSKEPEPFVLHHD KTPESNILKGNWCPGPGSLHTSSKFFYGEPPPATQPPGRQVSHEKLKDHIILGDSKLLGN FFQTSMSSDYCPPTTPIKQRTLKFSLLESNLPEGTGELDFFTTNQMMMKPHGIVRASITE DLLQKCKYSHIEPPLGRQRFFSTQNQDEYPFKYQGPLVQKLSSMHESHLPMGTPKYLGCW HEKADPRGPQIPMYPCLSQQ >ENSMUSP00000133669.1 pep:known chromosome:GRCm38:11:12236608:12464804:-1 gene:ENSMUSG00000020173.17 transcript:ENSMUST00000172919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobl description:cordon-bleu WH2 repeat [Source:MGI Symbol;Acc:MGI:105056] MDAPRALAAKPPTGRKMKARAPPPPGKPAAQNVHSEQKLPHDATLGSQQSLVYMKEALQN STLDITVVLPSGLEKQSVVSGSHAMMDLLVELCLQNHLNPSHHVLEIWSSETQQPLSFKP NTLIGSLNVHTVLLKEKVPEERVKPGLTKAPEKSVRLVVNYLRTQKAVVRVSPEVPLQNI LPVICAKCEVNPEHVILLRDNVAGEELELSKSLNELGIKELYAWDNRREMFRKSSLGNDE TDKEKKKFLGFFKANKRSNSKAEHLGLSGADSDEDPAKSASGGDLNGCVTTPNSPSLHSR SLTLGPSLSLGNISGVSMKSDMKKRRAPPPPSPKLLGQDKVSEKVPLLV >ENSMUSP00000105277.1 pep:known chromosome:GRCm38:11:12236676:12464859:-1 gene:ENSMUSG00000020173.17 transcript:ENSMUST00000109650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobl description:cordon-bleu WH2 repeat [Source:MGI Symbol;Acc:MGI:105056] MDAPRALAAKPPTGRKMKARAPPPPGKPAAQNVHSEQKLPHDATLGSQQSLVYMKEALQN STLDITVVLPSGLEKQSVVSGSHAMMDLLVELCLQNHLNPSHHVLEIWSSETQQPLSFKP NTLIGSLNVHTVLLKEKVPEERVKPGLTKAPEKSVRLVVNYLRTQKAVVRVSPEVPLQNI LPVICAKCEVNPEHVILLRDNVAGEELELSKSLNELGIKELYAWDNRREMFRKSSLGNDE TDKEKKKFLGFFKANKRSNSKGCVTTPNSPSLHSRSLTLGPSLSLGNISGVSMKSDMKKR RAPPPPSPKLLGQDKVSEKASLSSQADLQKKKRRAPAPPPPQQPPPSPVVPNRKEDKEEN RKSTVVSLPLGPGSHCSMGGVSQVPAESEETASEDTTEDSGVMSSPSDAISLDSQQDSMR SKDKWSTDQEDGSDQDLAGTPELGPQKSPSWGKSGSGSSILRTEKATMPTNDDEDLFITG HLHQTLAELDEDLEGMEENYETDTSSLTNSVNGVSNHSLQEAIIPDSGVDDIPVTFIGEV SDEPFDSGLFSSRCNNATTFNTGSIASQRSHLSPSQTEHSQPFVRTSRKEPDPSPPSQDN RKRNQPTLANTSENENPVETDPTVTSLVSKLLIDDPKAKDKGKVHGSSHSEKTQAGHGIN SLRVNPRDGKDESSNSAPPPWSHHGQALGGSYGLKYGLTTYKIVPPKSEMRCYDRDVSLS TGAIKIDELGNLVSPHMNGSRTISPPSAVVETDTPPIGKVKEFWRRNSMEKYLNGPAECT IKRAPSTTITATPEKPQQDNGMKAAFTVTTPQQQPASQEYGAHLEEERSRPQSAVSCSVK VPASNPTDITFLKPQRRTSSQYVASAIAKKMGPPKVHADVVRPHKATTEQCHEEAKLARS PPTRKDDAAPNLHSEARQHEHGTNQSSVCLPSNPGVQLPAGGHPKVEVNSTYGKSSTQDY PAAVHRNSYFLPGRSSHRDRVSVGQSCGFNEKQTTSNQKANSTSNFSQALDKAHPPPLLL AEARDSGRILMNGSARTPGNCEPPHSPKESTLTSYIILQTEEKPSSLSTDGQDADDTLPS SIFGPKKKFKPVIQRPLPKDVSLHSALMEAIHSSGGREKLRKTAEQTSEGRPKKPSYVEA ESERSALLAAIRGHSGTLSLRKVSSLASEELQSFRNAALGAPGLDKPQQEDLGLPPPPAL PPPPAPAPQAPSASVTVSRFSTGTPSNSVNARQALMDAIRSGTGAARLRKVPLLV >ENSMUSP00000045693.7 pep:known chromosome:GRCm38:11:12236676:12464957:-1 gene:ENSMUSG00000020173.17 transcript:ENSMUST00000046755.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobl description:cordon-bleu WH2 repeat [Source:MGI Symbol;Acc:MGI:105056] MDAPRALAAKPPTGRKMKARAPPPPGKPAAQNVHSEQKLPHDATLGSQQSLVYMKEALQN STLDITVVLPSGLEKQSVVSGSHAMMDLLVELCLQNHLNPSHHVLEIWSSETQQPLSFKP NTLIGSLNVHTVLLKEKVPEERVKPGLTKAPEKSVRLVVNYLRTQKAVVRVSPEVPLQNI LPVICAKCEVNPEHVILLRDNVAGEELELSKSLNELGIKELYAWDNRREMFRKSSLGNDE TDKEKKKFLGFFKANKRSNSKAEHLGLSGADSDEDPAKSASGGDLNGCVTTPNSPSLHSR SLTLGPSLSLGNISGVSMKSDMKKRRAPPPPSPKLLGQDKVSEKASLSSQADLQKKKRRA PAPPPPQQPPPSPVVPNRKEDKEENRKSTVGVGRQVPQKPPRGTARGPPQLVLPPPPPYP PPDTDVTEPVTFPGEGAGSETSELRPKLSLPLGPGSHCSMGGVSQVPAESEETASEDTTE DSGVMSSPSDAISLDSQQDSMRSKDKWSTDQEDGSDQDLAGTPELGPQKSPSWGKSGSGS SILRTEKATMPTNDDEDLFITGHLHQTLAELDEDLEGMEENYETDTSSLTNSVNGVSNHS LQEAIIPDSGVDDIPVTFIGEVSDEPFDSGLFSSRCNNATTFNTGSIASQRSHLSPSQTE HSQPFVRTSRKEPDPSPPSQDNRKRNQPTLANTSENENPVETDPTVTSLVSKLLIDDPKA KDKGKVHGSSHSEKTQAGHGINSLRVNPRDGKDESSNSAPPPWSHHGQALGGSYGLKYGL TTYKIVPPKSEMRCYDRDVSLSTGAIKIDELGNLVSPHMNGSRTISPPSAVVETDTPPIG KVKEFWRRNSMEKYLNGPAECTIKRAPSTTITATPEKPQQDNGMKAAFTVTTPQQQPASQ EYGAHLEEERSRPQSAVSCSVKVPASNPTDITFLKPQRRTSSQYVASAIAKKMGPPKVHA DVVRPHKATTEQCHEEAKLARSPPTRKDDAAPNLHSEARQHEHGTNQSSVCLPSNPGVQL PAGGHPKVEVNSTYGKSSTQDYPAAVHRNSYFLPGRSSHRDRVSVGQSCGFNEKQTTSNQ KANSTSNFSQALDKAHPPPLLLAEARDSGRILMNGSARTPGNCEPPHSPKESTLTSYIIL QTEEKPSSLSTDGQDADDTLPSSIFGPKKKFKPVIQRPLPKDVSLHSALMEAIHSSGGRE KLRKTAEQTSEGRPKKPSYVEAESERSALLAAIRGHSGTLSLRKVSSLASEELQSFRNAA LGAPGLDKPQQEDLGLPPPPALPPPPAPAPQAPSASVTVSRFSTGTPSNSVNARQALMDA IRSGTGAARLRKVPLLV >ENSMUSP00000133470.1 pep:known chromosome:GRCm38:11:12236678:12412144:-1 gene:ENSMUSG00000020173.17 transcript:ENSMUST00000174874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobl description:cordon-bleu WH2 repeat [Source:MGI Symbol;Acc:MGI:105056] MDCDDKERKMKARAPPPPGKPAAQNVHSEQKLPHDATLGSQQSLVYMKEALQNSTLDITV VLPSGLEKQSVVSGSHAMMDLLVELCLQNHLNPSHHVLEIWSSETQQPLSFKPNTLIGSL NVHTVLLKEKVPEERVKPGLTKAPEKSVRLVVNYLRTQKAVVRVSPEVPLQNILPVICAK CEVNPEHVILLRDNVAGEELELSKSLNELGIKELYAWDNRREMFRKSSLGNDETDKEKKK FLGFFKANKRSNSKAEHLGLSGADSDEDPAKSASGGDLNGCVTTPNSPSLHSRSLTLGPS LSLGNISGVSMKSDMKKRRAPPPPSPKLLGQDKVSEKASLSSQADLQKKKRRAPAPPPPQ QPPPSPVVPNRKEDKEENRKSTVGVGRQVPQKPPRGTARGPPQLVLPPPPPYPPPDTDVT EPVTFPGEGAGSETSELRPKLSLPLGPGSHCSMGGVSQVPAESEETASEDTTEDSGVMSS PSDAISLDSQQDSMRSKDKWSTDQEDGSDQDLAGTPELGPQKSPSWGKSGSGSSILRTEK ATMPTNDDEDLFITGHLHQTLAELDEDLEGMEENYETDTSSLTNSVNGVSNHSLQEAIIP DSGVDDIPVTFIGEVSDEPFDSGLFSSRCNNATTFNTGSIASQRSHLSPSQTEHSQPFVR TSRKEPDPSPPSQDNRKRNQPTLANTSENENPVETDPTVTSLVSKLLIDDPKAKDKGKVH GSSHSEKTQAGHGINSLRVNPRDGKDESSNSAPPPWSHHGQALGGSYGLKYGLTTYKIVP PKSEMRCYDRDVSLSTGAIKIDELGNLVSPHMNGSRTISPPSAVVETDTPPIGKVKEFWR RNSMEKYLNGPAECTIKRAPSTTITATPEKPQQDNGMKAAFTVTTPQQQPASQEYGAHLE EERSRPQSAVSCSVKVPASNPTDITFLKPQRRTSSQYVASAIAKKMGPPKVHADVVRPHK ATTEQCHEEAKLARSPPTRKDDAAPNLHSEARQHEHGTNQSSVCLPSNPGVQLPAGGHPK VEVNSTYGKSSTQDYPAAVHRNSYFLPGRSSHRDRVSVGQSCGFNEKQTTSNQKANSTSN FSQALDKAHPPPLLLAEARDSGRILMNGSARTPGNCEPPHSPKESTLTSYIILQTEEKPS SLSTDGQDADDTLPSSIFGPKKKFKPVIQRPLPKDVSLHSALMEAIHSSGGREKLRKTAE QTSEGRPKKPSYVEAESERSALLAAIRGHSGTLSLRKVSSLASEELQSFRNAALGAPGLD KPQQEDLGLPPPPALPPPPAPAPQAPSASVTVSRFSTGTPSNSVNARQALMDAIRSGTGA ARLRKVPLLV >ENSMUSP00000105278.2 pep:known chromosome:GRCm38:11:12236678:12464960:-1 gene:ENSMUSG00000020173.17 transcript:ENSMUST00000109651.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobl description:cordon-bleu WH2 repeat [Source:MGI Symbol;Acc:MGI:105056] MDAPRALAAKPPTGRKMKARAPPPPGKPAAQNVHSEQKLPHDATLGSQQSLVYMKEALQN STLDITVVLPSGLEKQSVVSGSHAMMDLLVELCLQNHLNPSHHVLEIWSSETQQPLSFKP NTLIGSLNVHTVLLKEKVPEERVKPGLTKAPEKSVRLVVNYLRTQKAVVRVSPEVPLQNI LPVICAKCEVNPEHVILLRDNVAGEELELSKSLNELGIKELYAWDNRREMFRKSSLGNDE TDKEKKKFLGFFKANKRSNSKGCVTTPNSPSLHSRSLTLGPSLSLGNISGVSMKSDMKKR RAPPPPSPKLLGQDKVSEKASLSSQADLQKKKRRAPAPPPPQQPPPSPVVPNRKEDKEEN RKSTVGVGRQVPQKPPRGTARGPPQLVLPPPPPYPPPDTDVTEPVTFPGEGAGSETSELR PKLSLPLGPGSHCSMGGVSQVPAESEETASEDTTEDSGVMSSPSDAISLDSQQDSMRSKD KWSTDQEDGSDQDLAGTPELGPQKSPSWGKSGSGSSILRTEKATMPTNDDEDLFITGHLH QTLAELDEDLEGMEENYETDTSSLTNSVNGVSNHSLQEAIIPDSGVDDIPVTFIGEVSDE PFDSGLFSSRCNNATTFNTGSIASQRSHLSPSQTEHSQPFVRTSRKEPDPSPPSQDNRKR NQPTLANTSENENPVETDPTVTSLVSKLLIDDPKAKDKGKVHGSSHSEKTQAGHGINSLR VNPRDGKDESSNSAPPPWSHHGQALGGSYGLKYGLTTYKIVPPKSEMRCYDRDVSLSTGA IKIDELGNLVSPHMNGSRTISPPSAVVETDTPPIGKVKEFWRRNSMEKYLNGPAECTIKR APSTTITATPEKPQQDNGMKAAFTVTTPQQQPASQEYGAHLEEERSRPQSAVSCSVKVPA SNPTDITFLKPQRRTSSQYVASAIAKKMGPPKVHADVVRPHKATTEQCHEEAKLARSPPT RKDDAAPNLHSEARQHEHGTNQSSVCLPSNPGVQLPAGGHPKVEVNSTYGKSSTQDYPAA VHRNSYFLPGRSSHRDRVSVGQSCGFNEKQTTSNQKANSTSNFSQALDKAHPPPLLLAEA RDSGRILMNGSARTPGNCEPPHSPKESTLTSYIILQTEEKPSSLSTDGQDADDTLPSSIF GPKKKFKPVIQRPLPKDVSLHSALMEAIHSSGGREKLRKTAEQTSEGRPKKPSYVEAESE RSALLAAIRGHSGTLSLRKVSSLASEELQSFRNAALGAPGLDKPQQEDLGLPPPPALPPP PAPAPQAPSASVTVSRFSTGTPSNSVNARQALMDAIRSGTGAARLRKVPLLV >ENSMUSP00000134372.1 pep:known chromosome:GRCm38:11:12237111:12464800:-1 gene:ENSMUSG00000020173.17 transcript:ENSMUST00000172956.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cobl description:cordon-bleu WH2 repeat [Source:MGI Symbol;Acc:MGI:105056] MDAPRALAAKPPTGRKMKARAPPPPGKPAAQNVHSEQKLPHDATLGSQQSLVYMKEALQN STLDITVVLPSGLEKQSVVSGSHAMMDLLVELCLQNHLNPSHHVLEIWSSETQQPLSFKP NTLIGSLNVHTVLLKEKVPEERVKPGLTKAPEKSVRLVVNYLRTQKAVVRVSPEVPLQNI LPVICAKCEVNPEHVILLRDNVAGEELELSKSLNELGIKELYAWDNRREMFRKSSLGNDE TDKEKKKFLGFFKANKRSNSKGCVTTPNSPSLHSRSLTLGPSLSLGNISGVSMKSDMKKR RAPPPPSPKLLGQDKVSEKASLSSQADLQKKKRRAPAPPPPQQPPPSPVVPNRKEDKEEN RKSTVGLRKPPCLPMMMKTYSSLATYIRPWQNLMKTWKEWKKIMKQILAL >ENSMUSP00000133368.1 pep:known chromosome:GRCm38:11:12267007:12369692:-1 gene:ENSMUSG00000020173.17 transcript:ENSMUST00000172827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobl description:cordon-bleu WH2 repeat [Source:MGI Symbol;Acc:MGI:105056] EMFRKSSLGNDETDKEKKKFLGFFKANKRSNSKAEHLGLSGADSDEDPAKSASGGDLNGC VTTPNSPSLHSRSLTLGPSLSLGNISGVSMKSDMKKRRAPPPPSPKLLGQDKVSEKASLS SQADLQKKKRRAPAPPPPQQPPPSPVVPNRKEDKEENRKSTVVSLPLGPGSHCSMGGVSQ VPAESEETASEDTTEDSGVMSSPSDAIS >ENSMUSP00000114779.1 pep:known chromosome:GRCm38:11:12296363:12369640:-1 gene:ENSMUSG00000020173.17 transcript:ENSMUST00000136549.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobl description:cordon-bleu WH2 repeat [Source:MGI Symbol;Acc:MGI:105056] XKFLGFFKANKRSNSKAEHLGLSGADSDEDPAKSASGGDLNGCVTTPNSPSLHSRSLTLG PSLSLGNISGVSMKSDMKKRRAPPPPSPKLLGQDKVSEKASLSSQADLQKKKRRAPAPPP PQQPPPSPVVPNRKEDKEENRKSTVVYCCASFPTHAKRS >ENSMUSP00000119008.1 pep:known chromosome:GRCm38:11:12343847:12378278:-1 gene:ENSMUSG00000020173.17 transcript:ENSMUST00000146067.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobl description:cordon-bleu WH2 repeat [Source:MGI Symbol;Acc:MGI:105056] XSETQQPLSFKPNTLIGSLNVHTVLLKEKVPEERVKPGLTKAPEKSVRLVVNYLRTQKAV VRVSPEVPLQNILPVICAKCEVNPEHVILLRDNVAGEELELSKSLNELGIKELYAWDNRR VLLTKTQSEPSLSCQEMFRKSSLGNDETDKEKKKFLGFFKANKRSNSKAEHLGLSGADSD EDPAKSASGGDLNGCVTTPNSPSLHSRSLTLGPSLSL >ENSMUSP00000077259.3 pep:known chromosome:GRCm38:8:21065038:21065996:1 gene:ENSMUSG00000061845.3 transcript:ENSMUST00000078121.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10104 description:predicted gene 10104 [Source:MGI Symbol;Acc:MGI:3711900] MKTFVLLSALVLLAFQVQADPIHKTDEETNTEEQPGEEDQAVSISFGGQEGSALHDELSK KLICYCRIRGCKRRERVFGTCRNLFLTFVFCCS >ENSMUSP00000137737.1 pep:known chromosome:GRCm38:X:78737763:78739401:1 gene:ENSMUSG00000078315.5 transcript:ENSMUST00000105110.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam47c description:family with sequence similarity 47, member C [Source:MGI Symbol;Acc:MGI:1918114] MGEQWLPWNRPRQVLQPMPLGMTCKPWFKDRLPSKCFAKHKQEQHKFPTSLDGRRWVFVK EGLDDFRKGCPPSEGMIIRGPKDGFLPTISHEVHHGSRKSQKNRCQDLSLFSSLSLAQQA RKAFVKRTEAKLTQRPLVFWPLEDGIPSDIELLENVLEALDTDQKLEAKVDHCEDRRKYI HSVHLPSVAEESSEKEEPEPHRFPGSRKYSMLQEKKPRKKNPAKQSLYYHHIPKGVYDFC AWVNSFGDLGIDEHFMMKQFDIGYECKPVYTDSAIKKISLLPPDLRFCRRLSKVKEIRFS IQEANFERKLRKPFDPYKSNRDKIRYGAWYLKPYLWKKLVNDEPLMDPEELFELEGGKYS KPDIIEDLYGTIAFKDFILSKGYSMPAILEKLFMRKGWNYDTVNTPIPRVLKAHELIMQQ RDEDYDDEND >ENSMUSP00000078966.4 pep:known chromosome:GRCm38:7:27158658:27166802:-1 gene:ENSMUSG00000058709.11 transcript:ENSMUST00000080058.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egln2 description:egl-9 family hypoxia-inducible factor 2 [Source:MGI Symbol;Acc:MGI:1932287] MDSPCQPQALNQALPQLPGSVSESLESSRARMGVESYLPCPLLPAYHRPGASGEASAGNG TPRTTATATTTTASPLREGFGGQDGGELWPLQSEGAAALVTKECQRLAAQGARPEAPKRK WAKDGGDAPSPSKRPWARQENQEAKGESGMGCDSGASNSSSSSSNTTSSSGEASARLREE VQPSAPERLALDYIVPCMRYYGICVKDNFLGAVLGGRVLAEVEALKWGGRLRDGQLVSQR AIPPRSIRGDQIAWVEGHEPGCRSIGALMAHVDAVIRHCAGRLGNYVINGRTKAMVACYP GNGLGYVRHVDNPHGDGRCITCIYYLNQNWDVKVHGGLLQIFPEGRPVVANIEPLFDRLL IFWSDRRNPHEVKPAYATRYAITVWYFDAKERAAARDKYQLASGQKGVQVPVSQPTTPT >ENSMUSP00000104019.1 pep:known chromosome:GRCm38:7:27158988:27166413:-1 gene:ENSMUSG00000058709.11 transcript:ENSMUST00000108382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egln2 description:egl-9 family hypoxia-inducible factor 2 [Source:MGI Symbol;Acc:MGI:1932287] MDSPCQPQALNQALPQLPGSVSESLESSRARMGVESYLPCPLLPAYHRPGASGEASAGNG TPRTTATATTTTASPLREGFGGQDGGELWPLQSEGAAALVTKECQRLAAQGARPEAPKRK WAKDGGDAPSPSKRPWARQENQEAKGESGMGCDSGASNSSSSSSNTTSSSGEASARLREE VQPSAPERLALDYIVPCMRYYGICVKDNFLGAVLGGRVLAEVEALKWGGRLRDGQLVSQR AIPPRSIRGDQIAWVEGHEPGCRSIGALMAHVDAVIRHCAGRLGNYVINGRTKAMVACYP GNGLGYVRHVDNPHGDGRCITCIYYLNQNWDVKVHGGLLQIFPEGRPVVANIEPLFDRLL IFWSDRRNPHEVKPAYATRYAITVWYFDAKERAAARDKYQLASGQKGVQVPVSQPTTPT >ENSMUSP00000017147.7 pep:known chromosome:GRCm38:2:164289268:164291491:1 gene:ENSMUSG00000017003.7 transcript:ENSMUST00000017147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svs3a description:seminal vesicle secretory protein 3A [Source:MGI Symbol;Acc:MGI:1927635] MKSIFFSLSLLLLLEKKAAGIELYAGGTKGHFLVKTSPLMFIGKNQFLYGHKEEQEEAPE ESIFVQTKHHEYGQDADADMGGALSSQELTSLKEDIVCEEEDELAQQKSQLPSQSQIKSQ TQVKSYAAQLKSQPGQLKTIGQVKSQTMLKSHGAPLKSFKARLNLREDIPQQVKGRGYGL AEDLAQVRQQPAKVHRLKGKHRQSRKTAAFYPQFRRRSRPYPRYFVQFQEQLQGSVHHTK SFYPGPGMCYCPRGGVILYQDAFTD >ENSMUSP00000104995.1 pep:known chromosome:GRCm38:2:164289270:164291500:1 gene:ENSMUSG00000017003.7 transcript:ENSMUST00000109370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svs3a description:seminal vesicle secretory protein 3A [Source:MGI Symbol;Acc:MGI:1927635] MKSIFFSLSLLLLLEKKAAGIELYGGTKGHFLVKTSPLMFIGKNQFLYGHKEEQEEAPEE SIFVQTKHHEYGQDADADMGGALSSQELTSLKEDIVCEEEDELAQQKSQLPSQSQIKSQT QVKSYAAQLKSQPGQLKTIGQVKSQTMLKSHGAPLKSFKARLNLREDIPQQVKGRGYGLA EDLAQVRQQPAKVHRLKGKHRQSRKTAAFYPQFRRRSRPYPRYFVQFQEQLQGSVHHTKS FYPGPGMCYCPRGGVILYQDAFTD >ENSMUSP00000028102.7 pep:known chromosome:GRCm38:2:49619298:49774778:1 gene:ENSMUSG00000026764.15 transcript:ENSMUST00000028102.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif5c description:kinesin family member 5C [Source:MGI Symbol;Acc:MGI:1098269] MADPAECSIKVMCRFRPLNEAEILRGDKFIPKFKGEETVVIGQGKPYVFDRVLPPNTTQE QVYNACAKQIVKDVLEGYNGTIFAYGQTSSGKTHTMEGKLHDPQLMGIIPRIAHDIFDHI YSMDENLEFHIKVSYFEIYLDKIRDLLDVSKTNLAVHEDKNRVPYVKGCTERFVSSPEEV MDVIDEGKANRHVAVTNMNEHSSRSHSIFLINIKQENVETEKKLSGKLYLVDLAGSEKVS KTGAEGAVLDEAKNINKSLSALGNVISALAEGTKTHVPYRDSKMTRILQDSLGGNCRTTI VICCSPSVFNEAETKSTLMFGQRAKTIKNTVSVNLELTAEEWKKKYEKEKEKNKALKSVL QHLEMELNRWRNGEAVPEDEQISAKDQKSLEPCDNTPIIDNITPVVDGISAEKEKYDEEI TSLYRQLDDKDDEINQQSQLAEKLKQQMLDQDELLASTRRDYEKIQEELTRLQIENEAAK DEVKEVLQALEELAVNYDQKSQEVEDKTRANEQLTDELAQKTTTLTTTQRELSQLQELSN HQKKRATEILNLLLKDLGEIGGIIGTNDVKTLADVNGVIEEEFTMARLYISKMKSEVKSL VNRSKQLESAQMDSNRKMNASERELAACQLLISQHEAKIKSLTDYMQNMEQKRRQLEESQ DSLSEELAKLRAQEKMHEVSFQDKEKEHLTRLQDAEEVKKALEQQMESHREAHQKQLSRL RDEIEEKQRIIDEIRDLNQKLQLEQERLSSDYNKLKIEDQEREVKLEKLLLLNDKREQAR EDLKGLEETVSRELQTLHNLRKLFVQDLTTRVKKSVELDSDDGGGSAAQKQKISFLENNL EQLTKVHKQLVRDNADLRCELPKLEKRLRATAERVKALESALKEAKENAMRDRKRYQQEV DRIKEAVRAKNMARRAHSAQIAKPIRPGHYPASSPTAVHAVRGGGGGSSNSTHYQK >ENSMUSP00000117370.1 pep:known chromosome:GRCm38:2:49700579:49730251:1 gene:ENSMUSG00000026764.15 transcript:ENSMUST00000146247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif5c description:kinesin family member 5C [Source:MGI Symbol;Acc:MGI:1098269] VISALAEGTKTHVPYRDSKMTRILQDSLGGNCRTTIVICCSPSVFNEAETKSTLMFGQRA KTIKNTVSVNLELTAEEWKKKYEKEKEKNKALKSVLQHLEMELNRWRNGEAVPEDEQISA KDQKSLEPCDNTPIIDNITPVVDGISAEKEKYDEEITSLYRQLDDKDDEINQQSQLAEKL KQQMLDQDEPSRVHVEQKLLASTRRDYEKIQEELTRLQIENEAAKDEVKEVLQALEELAV NYD >ENSMUSP00000082582.4 pep:known chromosome:GRCm38:7:44102328:44106583:1 gene:ENSMUSG00000066516.5 transcript:ENSMUST00000085455.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b21 description:kallikrein 1-related peptidase b21 [Source:MGI Symbol;Acc:MGI:892022] MRFLILFLALSLGEIDAAPPVQSRIVGGFNCEKNSQPWHVAVFRYNKYICGGVLLNPNWV LTAAHCYGNQYNVWLGKNKLFQHESSAQHRLVSKSFPHPDYNMSLMNDHTPHPEDDYSND LMLLRLSKPADITDAVKPIDLPTEEPKLGSTCLASGWGSITPTKWQIPNDLQCGFIKPLP NENCAKAYIHKVTDVMLCAGEMGGGKDTCAGDSGGPLICDGVLQGITSWGSIPCAKPNAP AIYTKLIKFTSWIKDTMAKNP >ENSMUSP00000094933.3 pep:known chromosome:GRCm38:17:37529957:37530997:1 gene:ENSMUSG00000090675.1 transcript:ENSMUST00000097325.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr111 description:olfactory receptor 111 [Source:MGI Symbol;Acc:MGI:2177494] MEGKNQTAPSEFIILGFDHLNELQYLLFTIFFLTYICTLGGNVFIIVVTIADSHLHTPMY YFLGNLALIDICYTTTNVPQMMVHLLSEKKIISYGGCVTQLFAFIFFVGSECLLLAAMAY DRYIAICKPLRYSFIMNKALCSWLAASCWTCGFLNSVLHTVLTFHLPFCGNNQINYFFCD IPPLLILSCGDTSLNELALLSIGILIGWTPFLCIILSYLYIISTILRIRSSEGRQKAFST CASHLLIVILYYGSAIFTYVRPISSYSLEKDRLISVLYSVFTPMLNPIIYALRNKDIKEA VKAIGRKWQPPVFSSDM >ENSMUSP00000101233.2 pep:known chromosome:GRCm38:4:155601416:155623340:1 gene:ENSMUSG00000042202.15 transcript:ENSMUST00000105608.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35e2 description:solute carrier family 35, member E2 [Source:MGI Symbol;Acc:MGI:2444240] MSAAAKSQVPEEAAPGCEEEPKGKTLLTWGSLFGHRSEKIVFTKGDGSPEESLLTVTITE TTVIESDLGVWSSRALIYLTLWFFFSFCTLFLNKYILSLLEGEPSMLGAVQMLSTTLIGC VKIFVPCCLYQHKTRLSYPPNFIMTMLFVGLMRFATVVLGLVSLKNVAVSFAETVKSSAP IFTVIMSRMILGEYTGLLVNLSLIPVMGGLALCTATEISFNILGFSAALSTNIMDCLQNV FSKKLLSGDKYRFSAPELQFYTSAAAVALLIPAWTFFMDIPVIGRSGKSFSYSQDIVLLL LTDGALFHLQSVTAYALMGKISPVTFSVASTVKHALSIWLSIIVFGNKITSLSAIGTILV TLGVLLYNKARQYQQETMQSLVTATSRNPEDDTEPLVPQDSRQHH >ENSMUSP00000041449.4 pep:known chromosome:GRCm38:4:155601611:155620090:1 gene:ENSMUSG00000042202.15 transcript:ENSMUST00000043829.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35e2 description:solute carrier family 35, member E2 [Source:MGI Symbol;Acc:MGI:2444240] MSAAAKSQVPEEAAPGCEEEPKGKTLLTWGSLFGHRSEKIVFTKGDGSPEESLLTVTITE TTVIESDLGVWSSRALIYLTLWFFFSFCTLFLNKYILSLLEGEPSMLGAVQMLSTTLIGC VKIFVPCCLYQHKTRLSYPPNFIMTMLFVGLMRFATVVLGLVSLKNVAVSFAETVKSSAP IFTVIMSRMILGEYTGLLVNLSLIPVMGGLALCTATEISFNILGFSAALSTNIMDCLQNV FSKKLLSGDKYRFSAPELQFYTSAAAVALLIPAWTFFMDIPVIGRSGKSFSYSQDIVLLL LTDGALFHLQSVTAYALMGKISPVTFSVASTVKHALSIWLSIIVFGNKITSLSAIGTILV TLGVLLYNKARQYQQETMQSLVTATSRNPEDDTEPLVPQDSRQHH >ENSMUSP00000113189.1 pep:known chromosome:GRCm38:4:155601944:155619319:1 gene:ENSMUSG00000042202.15 transcript:ENSMUST00000118607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35e2 description:solute carrier family 35, member E2 [Source:MGI Symbol;Acc:MGI:2444240] MSAAAKSQVPEEAAPGCEEEPKGKTLLTWGSLFGHRSEKIVFTKGDGSPEESLLTVTITE TTVIESDLGVWSSRALIYLTLWFFFSFCTLFLNKYILSLLEGEPSMLGAVQMLSTTLIGC VKIFVPCCLYQHKTRLSYPPNFIMTMLFVGLMRFATVVLGLVSLKNVAVSFAETVKSSAP IFTVIMSRMILGEYTGLLVNLSLIPVMGGLALCTATEISFNILGFSAALSTNIMDCLQNV FSKKLLSGDKYRFSAPELQFYTSAAAVALLIPAWTFFMDIPVIGRSGKSFSYSQDIVLLL LTDGALFHLQSVTAYALMGKISPVTFSVASTVKHALSIWLSIIVFGNKITSLSAIGTILV TLGVLLYNKARQYQQETMQSLVTATSRNPEDDTEPLVPQDSRQHH >ENSMUSP00000094845.3 pep:known chromosome:GRCm38:1:59050506:59094900:-1 gene:ENSMUSG00000072295.5 transcript:ENSMUST00000097080.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2cr11 description:amyotrophic lateral sclerosis 2 (juvenile) chromosome region, candidate 11 (human) [Source:MGI Symbol;Acc:MGI:1920713] MELPPPGNRRVSINNPQETSGRVPTTSAGFPTQSSKISLKRSTYAYRPSMMSNRSSGGQS LLPSSILQKTSLNPPGSLQSKPSNLSSVHYADEEGKPLTDKNKDKDKGRGKGKGTGTRLL TMLRKTLQGSQSDEMAIANQTPNLIPFGDVVGCLAIHIKSCRQFSQRFLGQQRFNLFMRV SINNIVKCTKIRHLKAVNNEKNLVLRFGEMKYFSVQVPRRQDDERNFIYLELMHDGGDPE SPPIPLGGAESHLYEVIQKGCFTEVMHLMHKNSSICRVEVEFMFSYGNFGYGFSHQLKPL QKAIEPSMFMNIAPPPERTDPVTNVITPQRVEYPAFLSPEFNVSIGVPEASHATVVQLEK LREKPRERLERMKEEYKNMSTWIEKADYLRNLINPKMTKRDNKGSSIPSESNSSALEELE RTTYDIHHRKYEAISNEYGDKEGRVIPVLKVLDQNYSEVFLPKSSDSTPLEDVLLPPIHS LQIVEENEMPHLPKTSEPEDRPHEERKSIVFSSDEELMPKHPSILKISSSQQEVKLRHLP ISPERIRRRNLGFSPAE >ENSMUSP00000124201.1 pep:known chromosome:GRCm38:8:94386438:94395377:1 gene:ENSMUSG00000031770.15 transcript:ENSMUST00000161576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herpud1 description:homocysteine-inducible, endoplasmic reticulum stress-inducible, ubiquitin-like domain member 1 [Source:MGI Symbol;Acc:MGI:1927406] MEPEPQPEPVTLLVKSPNQRHRDLELSGDRSWSVSRLKAHLSRVYPERPRPEDQRLIYSG KLLLDHQCLQDLLPKQEKRHVLHLVCNVKNPSKMPETSTKGAESTEQPDNSNQTQHPGDS SSDGLRQREVLRNLSPSGWENISRPEAVQQTFQGLGPGFSGYTTYGWLQLSWFQQIYARQ YYMQYLAATAASGTFVPTPSAQEIPVVSTPAPAPIHNQFPAENQPANQNAAAQAVVNPGA NQNLRMNAQGGPLVEEDDEINRDWLDWTYSAATFSVFLSILYFYSSLSRFLMVMGATVVM YLHHVGWFPFRQRPVQNFPDDGGPRDAANQDPNNNLQGGMDPEMEDPNRLPPDREVLDPE HTSPSFMSTAWLVFKTFFASLLPEGPPALAN >ENSMUSP00000034220.7 pep:known chromosome:GRCm38:8:94386495:94395358:1 gene:ENSMUSG00000031770.15 transcript:ENSMUST00000034220.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herpud1 description:homocysteine-inducible, endoplasmic reticulum stress-inducible, ubiquitin-like domain member 1 [Source:MGI Symbol;Acc:MGI:1927406] MEPEPQPEPVTLLVKSPNQRHRDLELSGDRSWSVSRLKAHLSRVYPERPRPEDQRLIYSG KLLLDHQCLQDLLPKEKRHVLHLVCNVKNPSKMPETSTKGAESTEQPDNSNQTQHPGDSS SDGLRQREVLRNLSPSGWENISRPEAVQQTFQGLGPGFSGYTTYGWLQLSWFQQIYARQY YMQYLAATAASGTFVPTPSAQEIPVVSTPAPAPIHNQFPAENQPANQNAAAQAVVNPGAN QNLRMNAQGGPLVEEDDEINRDWLDWTYSAATFSVFLSILYFYSSLSRFLMVMGATVVMY LHHVGWFPFRQRPVQNFPDDGGPRDAANQDPNNNLQGGMDPEMEDPNRLPPDREVLDPEH TSPSFMSTAWLVFKTFFASLLPEGPPALAN >ENSMUSP00000072387.6 pep:known chromosome:GRCm38:5:107830099:107831776:1 gene:ENSMUSG00000063447.7 transcript:ENSMUST00000072578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d2b description:ubiquitin-conjugating enzyme E2D 2B [Source:MGI Symbol;Acc:MGI:1920568] MALKRIHKELNDLAQDPPAQCSAGPVGEDMFHWQATIMGPNDSPYQGGAFFLTIDFPTEY PFKPPKVEFTTRIYHPNVNSNGSICLDILRSQWSPALTISKVLLSISSLLCDPNPDDPLV PEIAQIYKTDRDKYNRTAREWTQKYAM >ENSMUSP00000093075.1 pep:known chromosome:GRCm38:8:21084442:21085285:1 gene:ENSMUSG00000094687.1 transcript:ENSMUST00000095425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa25 description:defensin, alpha, 25 [Source:MGI Symbol;Acc:MGI:3630385] MKTLVLLSALALLAFQVQADPIQNRDEESKIDEQPGKEDQAVSVSFGDPEGSSLQEECED LICYCRTRGCKRRERLNGTCRKGHLMYMLWCC >ENSMUSP00000103415.1 pep:known chromosome:GRCm38:11:94408391:94474100:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000107786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIFSETKQRESQLMREQRVRFLSNASTLASFSEPGSCYEELL KYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSGSCSRSHRRLSVHHLVHH HHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTPTPSGGPPRGAESVHSFY HADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPEMLKDKALVEVAPSPGPP TLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSGACGPDSCPYCARTGAGE PESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDAEPSSVLAFWRLICDTFR KIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNIVFTSLFALEMLLKLLVY GPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMRVLKLVRFLPALQRQLVV LMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTLPDRKNFDSLLWAIVTVF QILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLVAILVEGFQAEGDATKSE SEPDFFSPSVDGDGDRKKRLALVALGEHSELRKSLLPPLIIHTAATPMSLPKSSSTGVGE ALGSGSRRTSSSGSAEPGTAHHEMKSPPSARSSPHSPWSAASSWTSRRSSRNSLGRAPSL KRRSPSGERRSLLSGEGQESQDEEESSEEDRASPAGSDHRHRGSLEREAKSSFDLPDTLQ VPGLHRTASGRSSASEHQDCNGKSASGRLARTLRADDPPLDGDDGDDEGNLSKGERLRAW VRARLPACCRERDSWSAYIFPPQSRFRLLCHRIITHKMFDHVVLVIIFLNCITIAMERPK IDPHSAERIFLTLSNYIFTAVFLAEMTVKVVALGWCFGEQAYLRSSWNVLDGLLVLISVI DILVSMVSDSGTKILGMLRVLRLLRTLRPLRVISRAQGLKLVVETLMSSLKPIGNIVVIC CAFFIIFGILGVQLFKGKFFVCQGEDTRNITNKSDCAEASYRWVRHKYNFDNLGQALMSL FVLASKDGWVDIMYDGLDAVGVDQQPIMNHNPWMLLYFISFLLIVAFFVLNMFVGVVVEN FHKCRQHQEEEEARRREEKRLKRLEKKRRNLMLDDVIASGSSASAASEAQCKPYYSDYSR FRLLVHHLCTSHYLDLFITGVIGLNVVTMAMEHYQQPQILDEALKICNYIFTVIFVLESV FKLVAFGFRRFFQDRWNQLDLAIVLLSIMGITLEEIEVNASLPINPTIIRIMRVLRIARV LKLLKMAVGMRALLDTVMQALPQVGNLGLLFMLLFFIFAALGVELFGDLECDETHPCEGL GRHATFRNFGMAFLTLFRVSTGDNWNGIMKDTLRDCDQESTCYNTVISPIYFVSFVLTAQ FVLVNVVIAVLMKHLEESNKEAKEEAELEAELELEMKTLSPQPHSPLGSPFLWPGVEGVN SPDSPKPGAPHTTAHIGAASSGFSLEHPTMVPHTEEGPVPLGPDLLTVRKSGVSRTHSLP NDSYMCRNGSTAERSLGHRGWGLPKAQSGSILSVHSQPADTSCILQLPKDAHYLLQPHGA PTWGAIPKLPPPGRSPLAQRPLRRQAAIRTDSLDVQGLGSREDLLSEVSGPSCPLTRSSS FWGGSSIQVQQRSGSQSKVSKHIRLPAPCPGLEPSWAKDPQETRSSLELDTELSWISGDL LPSSQEEPLSPRDLKKCYSVEAQSCRRRPGSWLDEQRRHSIAVSCLDSGSQPRLCPSPSS LGGQPLGGPGSRPKKKLSPPSISIDPPESQGPRPPCSPGVCLRRRAPASDSKDPSASSPL DSTAASPSPKKDALSLSGLSSDPTDLDP >ENSMUSP00000103420.1 pep:known chromosome:GRCm38:11:94408391:94474100:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000107791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNA STLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSG SCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTP TPSGGPPRGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPE MLKDKALVEVAPSPGPPTLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSG ACGPDSCPYCARTGAGEPESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDA EPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNI VFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMR VLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTL PDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLV AILVEGFQAEGDATKSESEPDFFSPSVDGDGDRKKRLALVALGEHSELRKSLLPPLIIHT AATPMSLPKSSSTGVGEALGSGSRRTSSSGSAEPGTAHHEMKSPPSARSSPHSPWSAASS WTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQESQDEEESSEEDRASPAGSDHRHRG SLEREAKSSFDLPDTLQVPGLHRTASGRSSASEHQDCNGKSASGRLARTLRADDPPLDGD DGDDEGNLSKGERLRAWVRARLPACCRERDSWSAYIFPPQSRFRLLCHRIITHKMFDHVV LVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTAVFLAEMTVKVVALGWCFGEQAYL RSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRVLRLLRTLRPLRVISRAQGLKLVV ETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFFVCQGEDTRNITNKSDCAEASYRW VRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAVGVDQQPIMNHNPWMLLYFISFLL IVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKRLKRLEKKRRSKEKQMAEAQCKPY YSDYSRFRLLVHHLCTSHYLDLFITGVIGLNVVTMAMEHYQQPQILDEALKICNYIFTVI FVLESVFKLVAFGFRRFFQDRWNQLDLAIVLLSIMGITLEEIEVNASLPINPTIIRIMRV LRIARVLKLLKMAVGMRALLDTVMQALPQVGNLGLLFMLLFFIFAALGVELFGDLECDET HPCEGLGRHATFRNFGMAFLTLFRVSTGDNWNGIMKDTLRDCDQESTCYNTVISPIYFVS FVLTAQFVLVNVVIAVLMKHLEESNKEAKEEAELEAELELEMKTLSPQPHSPLGSPFLWP GVEGVNSPDSPKPGAPHTTAHIGAASSGFSLEHPTMVPHTEEGPVPLGPDLLTVRKSGVS RTHSLPNDSYMCRNGSTAERSLGHRGWGLPKAQSGSILSVHSQPADTSCILQLPKDAHYL LQPHGAPTWGAIPKLPPPGRSPLAQRPLRRQAAIRTDSLDVQGLGSREDLLSEVSGPSCP LTRSSSFWGGSSIQVQQRSGSQSKVSKHIRLPAPCPGLEPSWAKDPQETRSSLELDTELS WISGDLLPSSQEEPLSPRDLKKCYSVEAQSCRRRPGSWLDEQRRHSIAVSCLDSGSQPRL CPSPSSLGGQPLGGPGSRPKKKLSPPSISIDPPESQGPRPPCSPGVCLRRRAPASDSKDP SASSPLDSTAASPSPKKDALSLSGLSSDPTDLDP >ENSMUSP00000099455.2 pep:known chromosome:GRCm38:11:94408391:94474100:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000103166.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNA STLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSG SCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTP TPSGGPPRGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPE MLKDKALVEVAPSPGPPTLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSG ACGPDSCPYCARTGAGEPESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDA EPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNI VFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMR VLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTL PDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLV AILVEGFQAEEIGKREDTSGQLSCIQLPVNSQGGDATKSESEPDFFSPSVDGDGDRKKRL ALVALGEHSELRKSLLPPLIIHTAATPMSLPKSSSTGVGEALGSGSRRTSSSGSAEPGTA HHEMKSPPSARSSPHSPWSAASSWTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQES QDEEESSEEDRASPAGSDHRHRGSLEREAKSSFDLPDTLQVPGLHRTASGRSSASEHQDC NGKSASGRLARTLRADDPPLDGDDGDDEGNLSKGERLRAWVRARLPACCRERDSWSAYIF PPQSRFRLLCHRIITHKMFDHVVLVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTA VFLAEMTVKVVALGWCFGEQAYLRSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRV LRLLRTLRPLRVISRAQGLKLVVETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFF VCQGEDTRNITNKSDCAEASYRWVRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAV GVDQQPIMNHNPWMLLYFISFLLIVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKR LKRLEKKRRNLMLDDVIASGSSASAASEAQCKPYYSDYSRFRLLVHHLCTSHYLDLFITG VIGLNVVTMAMEHYQQPQILDEALKICNYIFTVIFVLESVFKLVAFGFRRFFQDRWNQLD LAIVLLSIMGITLEEIEVNASLPINPTIIRIMRVLRIARVLKLLKMAVGMRALLDTVMQA LPQVGNLGLLFMLLFFIFAALGVELFGDLECDETHPCEGLGRHATFRNFGMAFLTLFRVS TGDNWNGIMKDTLRDCDQESTCYNTVISPIYFVSFVLTAQFVLVNVVIAVLMKHLEESNK EAKEEAELEAELELEMKTLSPQPHSPLGSPFLWPGVEGVNSPDSPKPGAPHTTAHIGAAS SGFSLEHPTMVPHTEEGPVPLGPDLLTVRKSGVSRTHSLPNDSYMCRNGSTAERSLGHRG WGLPKAQSGSILSVHSQPADTSCILQLPKDAHYLLQPHGAPTWGAIPKLPPPGRSPLAQR PLRRQAAIRTDSLDVQGLGSREDLLSEVSGPSCPLTRSSSFWGGSSIQVQQRSGSQSKVS KHIRLPAPCPGLEPSWAKDPQETRSSLELDTELSWISGDLLPSSQEEPLSPRDLKKCYSV EAQSCRRRPGSWLDEQRRHSIAVSCLDSGSQPRLCPSPSSLGGQPLGGPGSRPKKKLSPP SISIDPPESQGPRPPCSPGVCLRRRAPASDSKDPSASSPLDSTAASPSPKKDALSLSGLS SDPTDLDP >ENSMUSP00000103421.1 pep:known chromosome:GRCm38:11:94408391:94474100:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000107792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNA STLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSG SCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTP TPSGGPPRGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPE MLKDKALVEVAPSPGPPTLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSG ACGPDSCPYCARTGAGEPESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDA EPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNI VFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMR VLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTL PDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLV AILVEGFQAEGDATKSESEPDFFSPSVDGDGDRKKRLALVALGEHSELRKSLLPPLIIHT AATPMSLPKSSSTGVGEALGSGSRRTSSSGSAEPGTAHHEMKSPPSARSSPHSPWSAASS WTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQESQDEEESSEEDRASPAGSDHRHRG SLEREAKSSFDLPDTLQVPGLHRTASGRSSASEHQDCNGKSASGRLARTLRADDPPLDGD DGDDEGNLSKGERLRAWVRARLPACCRERDSWSAYIFPPQSRFRLLCHRIITHKMFDHVV LVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTAVFLAEMTVKVVALGWCFGEQAYL RSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRVLRLLRTLRPLRVISRAQGLKLVV ETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFFVCQGEDTRNITNKSDCAEASYRW VRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAVGVDQQPIMNHNPWMLLYFISFLL IVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKRLKRLEKKRRKAQCKPYYSDYSRF RLLVHHLCTSHYLDLFITGVIGLNVVTMAMEHYQQPQILDEALKICNYIFTVIFVLESVF KLVAFGFRRFFQDRWNQLDLAIVLLSIMGITLEEIEVNASLPINPTIIRIMRVLRIARVL KLLKMAVGMRALLDTVMQALPQVGNLGLLFMLLFFIFAALGVELFGDLECDETHPCEGLG RHATFRNFGMAFLTLFRVSTGDNWNGIMKDTLRDCDQESTCYNTVISPIYFVSFVLTAQF VLVNVVIAVLMKHLEESNKEAKEEAELEAELELEMKTLSPQPHSPLGSPFLWPGVEGVNS PDSPKPGAPHTTAHIGAASSGFSLEHPTMVPHTEEGPVPLGPDLLTVRKSGVSRTHSLPN DSYMCRNGSTAERSLGHRGWGLPKAQSGSILSVHSQPADTSCILQLPKDAHYLLQPHGAP TWGAIPKLPPPGRSPLAQRPLRRQAAIRTDSLDVQGLGSREDLLSEVSGPSCPLTRSSSF WGGSSIQVQQRSGSQSKVSKHIRLPAPCPGLEPSWAKDPQETRSSLELDTELSWISGDLL PSSQEEPLSPRDLKKCYSVEAQSCRRRPGSWLDEQRRHSIAVSCLDSGSQPRLCPSPSSL GGQPLGGPGSRPKKKLSPPSISIDPPESQGPRPPCSPGVCLRRRAPASDSKDPSASSPLD STAASPSPKKDALSLSGLSSDPTDLDP >ENSMUSP00000098127.3 pep:known chromosome:GRCm38:11:94408391:94474100:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000100561.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNA STLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSG SCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTP TPSGGPPRGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPE MLKDKALVEVAPSPGPPTLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSG ACGPDSCPYCARTGAGEPESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDA EPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNI VFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMR VLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTL PDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLV AILVEGFQAEEIGKREDTSGQLSCIQLPVNSQGGDATKSESEPDFFSPSVDGDGDRKKRL ALVALGEHSELRKSLLPPLIIHTAATPMSLPKSSSTGVGEALGSGSRRTSSSGSAEPGTA HHEMKSPPSARSSPHSPWSAASSWTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQES QDEEESSEEDRASPAGSDHRHRGSLEREAKSSFDLPDTLQVPGLHRTASGRSSASEHQDC NGKSASGRLARTLRADDPPLDGDDGDDEGNLSKGERLRAWVRARLPACCRERDSWSAYIF PPQSRFRLLCHRIITHKMFDHVVLVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTA VFLAEMTVKVVALGWCFGEQAYLRSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRV LRLLRTLRPLRVISRAQGLKLVVETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFF VCQGEDTRNITNKSDCAEASYRWVRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAV GVDQQPIMNHNPWMLLYFISFLLIVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKR LKRLEKKRRSKEKQMADLMLDDVIASGSSASAASEAQCKPYYSDYSRFRLLVHHLCTSHY LDLFITGVIGLNVVTMAMEHYQQPQILDEALKICNYIFTVIFVLESVFKLVAFGFRRFFQ DRWNQLDLAIVLLSIMGITLEEIEVNASLPINPTIIRIMRVLRIARVLKLLKMAVGMRAL LDTVMQALPQVGNLGLLFMLLFFIFAALGVELFGDLECDETHPCEGLGRHATFRNFGMAF LTLFRVSTGDNWNGIMKDTLRDCDQESTCYNTVISPIYFVSFVLTAQFVLVNVVIAVLMK HLEESNKEAKEEAELEAELELEMKTLSPQPHSPLGSPFLWPGVEGVNSPDSPKPGAPHTT AHIGAASSGFSLEHPTMVPHTEEGPVPLGPDLLTVRKSGVSRTHSLPNDSYMCRNGSTAE RSLGHRGWGLPKAQSGSILSVHSQPADTSCILQLPKDAHYLLQPHGAPTWGAIPKLPPPG RSPLAQRPLRRQAAIRTDSLDVQGLGSREDLLSEVSGPSCPLTRSSSFWGGSSIQVQQRS GSQSKVSKHIRLPAPCPGLEPSWAKDPQETRSSLELDTELSWISGDLLPSSQEEPLSPRD LKKCYSVEAQSCRRRPGSWLDEQRRHSIAVSCLDSGSQPRLCPSPSSLGGQPLGGPGSRP KKKLSPPSISIDPPESQGPRPPCSPGVCLRRRAPASDSKDPSASSPLDSTAASPSPKKDA LSLSGLSSDPTDLDP >ENSMUSP00000103422.1 pep:known chromosome:GRCm38:11:94408391:94474100:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000107793.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNA STLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSG SCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTP TPSGGPPRGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPE MLKDKALVEVAPSPGPPTLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSG ACGPDSCPYCARTGAGEPESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDA EPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNI VFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMR VLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTL PDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLV AILVEGFQAEEIGKREDTSGQLSCIQLPVNSQGGDATKSESEPDFFSPSVDGDGDRKKRL ALVALGEHSELRKSLLPPLIIHTAATPMSLPKSSSTGVGEALGSGSRRTSSSGSAEPGTA HHEMKSPPSARSSPHSPWSAASSWTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQES QDEEESSEEDRASPAGSDHRHRGSLEREAKSSFDLPDTLQVPGLHRTASGRSSASEHQDC NGKSASGRLARTLRADDPPLDGDDGDDEGNLSKGERLRAWVRARLPACCRERDSWSAYIF PPQSRFRLLCHRIITHKMFDHVVLVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTA VFLAEMTVKVVALGWCFGEQAYLRSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRV LRLLRTLRPLRVISRAQGLKLVVETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFF VCQGEDTRNITNKSDCAEASYRWVRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAV GVDQQPIMNHNPWMLLYFISFLLIVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKR LKRLEKKRRSKEKQMAEAQCKPYYSDYSRFRLLVHHLCTSHYLDLFITGVIGLNVVTMAM EHYQQPQILDEALKICNYIFTVIFVLESVFKLVAFGFRRFFQDRWNQLDLAIVLLSIMGI TLEEIEVNASLPINPTIIRIMRVLRIARVLKLLKMAVGMRALLDTVMQALPQVGNLGLLF MLLFFIFAALGVELFGDLECDETHPCEGLGRHATFRNFGMAFLTLFRVSTGDNWNGIMKD TLRDCDQESTCYNTVISPIYFVSFVLTAQFVLVNVVIAVLMKHLEESNKEAKEEAELEAE LELEMKTLSPQPHSPLGSPFLWPGVEGVNSPDSPKPGAPHTTAHIGAASSGFSLEHPTMV PHTEEGPVPLGPDLLTVRKSGVSRTHSLPNDSYMCRNGSTAERSLGHRGWGLPKAQSGSI LSVHSQPADTSCILQLPKDAHYLLQPHGAPTWGAIPKLPPPGRSPLAQRPLRRQAAIRTD SLDVQGLGSREDLLSEVSGPSCPLTRSSSFWGGSSIQVQQRSGSQSKVSKHIRLPAPCPG LEPSWAKDPQETRSSLELDTELSWISGDLLPSSQEEPLSPRDLKKCYSVEAQSCRRRPGS WLDEQRRHSIAVSCLDSGSQPRLCPSPSSLGGQPLGGPGSRPKKKLSPPSISIDPPESQG PRPPCSPGVCLRRRAPASDSKDPSASSPLDSTAASPSPKKDALSLSGLSSDPTDLDP >ENSMUSP00000103417.1 pep:known chromosome:GRCm38:11:94408391:94474100:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000107788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNA STLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSG SCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTP TPSGGPPRGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPE MLKDKALVEVAPSPGPPTLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSG ACGPDSCPYCARTGAGEPESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDA EPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNI VFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMR VLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTL PDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLV AILVEGFQAEEIGKREDTSGQLSCIQLPVNSQGGDATKSESEPDFFSPSVDGDGDRKKRL ALVALGEHSELRKSLLPPLIIHTAATPMSLPKSSSTGVGEALGSGSRRTSSSGSAEPGTA HHEMKSPPSARSSPHSPWSAASSWTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQES QDEEESSEEDRASPAGSDHRHRGSLEREAKSSFDLPDTLQVPGLHRTASGRSSASEHQDC NGKSASGRLARTLRADDPPLDGDDGDDEGNLSKGERLRAWVRARLPACCRERDSWSAYIF PPQSRFRLLCHRIITHKMFDHVVLVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTA VFLAEMTVKVVALGWCFGEQAYLRSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRV LRLLRTLRPLRVISRAQGLKLVVETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFF VCQGEDTRNITNKSDCAEASYRWVRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAV GVDQQPIMNHNPWMLLYFISFLLIVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKR LKRLEKKRRKAQCKPYYSDYSRFRLLVHHLCTSHYLDLFITGVIGLNVVTMAMEHYQQPQ ILDEALKICNYIFTVIFVLESVFKLVAFGFRRFFQDRWNQLDLAIVLLSIMGITLEEIEV NASLPINPTIIRIMRVLRIARVLKLLKMAVGMRALLDTVMQALPQVGNLGLLFMLLFFIF AALGVELFGDLECDETHPCEGLGRHATFRNFGMAFLTLFRVSTGDNWNGIMKDTLRDCDQ ESTCYNTVISPIYFVSFVLTAQFVLVNVVIAVLMKHLEESNKEAKEEAELEAELELEMKT LSPQPHSPLGSPFLWPGVEGVNSPDSPKPGAPHTTAHIGAASSGFSLEHPTMVPHTEEGP VPLGPDLLTVRKSGVSRTHSLPNDSYMCRNGSTAERSLGHRGWGLPKAQSGSILSVHSQP ADTSCILQLPKDAHYLLQPHGAPTWGAIPKLPPPGRSPLAQRPLRRQAAIRTDSLDVQGL GSREDLLSEVSGPSCPLTRSSSFWGGSSIQVQQRSGSQSKVSKHIRLPAPCPGLEPSWAK DPQETRSSLELDTELSWISGDLLPSSQEEPLSPRDLKKCYSVEAQSCRRRPGSWLDEQRR HSIAVSCLDSGSQPRLCPSPSSLGGQPLGGPGSRPKKKLSPPSISIDPPESQGPRPPCSP GVCLRRRAPASDSKDPSASSPLDSTAASPSPKKDALSLSGLSSDPTDLDP >ENSMUSP00000103419.1 pep:known chromosome:GRCm38:11:94408391:94474100:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000107790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNA STLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSG SCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTP TPSGGPPRGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPE MLKDKALVEVAPSPGPPTLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSG ACGPDSCPYCARTGAGEPESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDA EPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNI VFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMR VLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTL PDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLV AILVEGFQAEGDATKSESEPDFFSPSVDGDGDRKKRLALVALGEHSELRKSLLPPLIIHT AATPMSLPKSSSTGVGEALGSGSRRTSSSGSAEPGTAHHEMKSPPSARSSPHSPWSAASS WTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQESQDEEESSEEDRASPAGSDHRHRG SLEREAKSSFDLPDTLQVPGLHRTASGRSSASEHQDCNGKSASGRLARTLRADDPPLDGD DGDDEGNLSKGERLRAWVRARLPACCRERDSWSAYIFPPQSRFRLLCHRIITHKMFDHVV LVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTAVFLAEMTVKVVALGWCFGEQAYL RSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRVLRLLRTLRPLRVISRAQGLKLVV ETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFFVCQGEDTRNITNKSDCAEASYRW VRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAVGVDQQPIMNHNPWMLLYFISFLL IVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKRLKRLEKKRRNLMLDDVIASGSSA SAASEAQCKPYYSDYSRFRLLVHHLCTSHYLDLFITGVIGLNVVTMAMEHYQQPQILDEA LKICNYIFTVIFVLESVFKLVAFGFRRFFQDRWNQLDLAIVLLSIMGITLEEIEVNASLP INPTIIRIMRVLRIARVLKLLKMAVGMRALLDTVMQALPQVGNLGLLFMLLFFIFAALGV ELFGDLECDETHPCEGLGRHATFRNFGMAFLTLFRVSTGDNWNGIMKDTLRDCDQESTCY NTVISPIYFVSFVLTAQFVLVNVVIAVLMKHLEESNKEAKEEAELEAELELEMKTLSPQP HSPLGSPFLWPGVEGVNSPDSPKPGAPHTTAHIGAASSGFSLEHPTMVPHTEEGPVPLGP DLLTVRKSGVSRTHSLPNDSYMCRNGSTAERSLGHRGWGLPKAQSGSILSVHSQPADTSC ILQLPKDAHYLLQPHGAPTWGAIPKLPPPGRSPLAQRPLRRQAAIRTDSLDVQGLGSRED LLSEVSGPSCPLTRSSSFWGGSSIQVQQRSGSQSKVSKHIRLPAPCPGLEPSWAKDPQET RSSLELDTELSWISGDLLPSSQEEPLSPRDLKKCYSVEAQSCRRRPGSWLDEQRRHSIAV SCLDSGSQPRLCPSPSSLGGQPLGGPGSRPKKKLSPPSISIDPPESQGPRPPCSPGVCLR RRAPASDSKDPSASSPLDSTAASPSPKKDALSLSGLSSDPTDLDP >ENSMUSP00000103418.1 pep:known chromosome:GRCm38:11:94408391:94474100:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000107789.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNA STLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSG SCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTP TPSGGPPRGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPE MLKDKALVEVAPSPGPPTLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSG ACGPDSCPYCARTGAGEPESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDA EPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNI VFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMR VLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTL PDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLV AILVEGFQAEEIGKREDTSGQLSCIQLPVNSQGGDATKSESEPDFFSPSVDGDGDRKKRL ALVALGEHSELRKSLLPPLIIHTAATPMSLPKSSSTGVGEALGSGSRRTSSSGSAEPGTA HHEMKSPPSARSSPHSPWSAASSWTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQES QDEEESSEEDRASPAGSDHRHRGSLEREAKSSFDLPDTLQVPGLHRTASGRSSASEHQDC NGKSASGRLARTLRADDPPLDGDDGDDEGNLSKGERLRAWVRARLPACCRERDSWSAYIF PPQSRFRLLCHRIITHKMFDHVVLVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTA VFLAEMTVKVVALGWCFGEQAYLRSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRV LRLLRTLRPLRVISRAQGLKLVVETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFF VCQGEDTRNITNKSDCAEASYRWVRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAV GVDQQPIMNHNPWMLLYFISFLLIVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKR LKRLEKKRRNLMLDDVIASGSSASAASEAQCKPYYSDYSRFRLLVHHLCTSHYLDLFITG VIGLNVVTMAMEHYQQPQILDEALKICNYIFTVIFVLESVFKLVAFGFRRFFQDRWNQLD LAIVLLSIMGITLEEIEVNASLPINPTIIRIMRVLRIARVLKLLKMAVGMRALLDTVMQA LPQVGNLGLLFMLLFFIFAALGVELFGDLECDETHPCEGLGRHATFRNFGMAFLTLFRVS TGDNWNGIMKDTLRDCDQESTCYNTVISPIYFVSFVLTAQFVLVNVVIAVLMKHLEESNK EAKEEAELEAELELEMKTLSPQPHSPLGSPFLWPGVEGVNSPDSPKPGAPHTTAHIGAAS SGFSLEHPTDRQLFDTISLLIQGSLEGELKLMDELAGPGGQPSAFPSAPSPGDSDPQIPL AEMEALSLTSEIVSEPSCSLALTDDSLPDDTHTLLLSALESNMVPHTEEGPVPLGPDLLT VRKSGVSRTHSLPNDSYMCRNGSTAERSLGHRGWGLPKAQSGSILSVHSQPADTSCILQL PKDAHYLLQPHGAPTWGAIPKLPPPGRSPLAQRPLRRQAAIRTDSLDVQGLGSREDLLSE VSGPSCPLTRSSSFWGGSSIQVQQRSGSQSKVSKHIRLPAPCPGLEPSWAKDPQETRSSL ELDTELSWISGDLLPSSQEEPLSPRDLKKCYSVEAQSCRRRPGSWLDEQRRHSIAVSCLD SGSQPRLCPSPSSLGGQPLGGPGSRPKKKLSPPSISIDPPESQGPRPPCSPGVCLRRRAP ASDSKDPSASSPLDSTAASPSPKKDALSLSGLSSDPTDLDP >ENSMUSP00000103414.1 pep:known chromosome:GRCm38:11:94408636:94474100:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000107785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNA STLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSG SCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTP TPSGGPPRGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPE MLKDKALVEVAPSPGPPTLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSG ACGPDSCPYCARTGAGEPESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDA EPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNI VFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMR VLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTL PDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLV AILVEGFQAEGDATKSESEPDFFSPSVDGDGDRKKRLALVALGEHSELRKSLLPPLIIHT AATPMSLPKSSSTGVGEALGSGSRRTSSSGSAEPGTAHHEMKSPPSARSSPHSPWSAASS WTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQESQDEEESSEEDRASPAGSDHRHRG SLEREAKSSFDLPDTLQVPGLHRTASGRSSASEHQDCNGKSASGRLARTLRADDPPLDGD DGDDEGNLSKGERLRAWVRARLPACCRERDSWSAYIFPPQSRFRLLCHRIITHKMFDHVV LVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTAVFLAEMTVKVVALGWCFGEQAYL RSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRVLRLLRTLRPLRVISRAQGLKLVV ETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFFVCQGEDTRNITNKSDCAEASYRW VRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAVGVDQQPIMNHNPWMLLYFISFLL IVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKRLKRLEKKRRSKEKQMAEAQCKPY YSDYSRFRLLVHHLCTSHYLDLFITGVIGLNVVTMAMEHYQQPQILDEALKICNYIFTVI FVLESVFKLVAFGFRRFFQDRWNQLDLAIVLLSIMGITLEEIEVNASLPINPTIIRIMRV LRIARVLKLLKMAVGMRALLDTVMQALPQVGNLGLLFMLLFFIFAALGVELFGDLECDET HPCEGLGRHATFRNFGMAFLTLFRVSTGDNWNGIMKDTLRDCDQESTCYNTVISPIYFVS FVLTAQFVLVNVVIAVLMKHLEESNKEAKEEAELEAELELEMKTLSPQPHSPLGSPFLWP GVEGVNSPDSPKPGAPHTTAHIGAASSGFSLEHPTMVPHTEEGPVPLGPDLLTVRKSGVS RTHSLPNDSYMCRNGSTAERSLGHRGWGLPKAQSGSILSVHSQPADTSCILQLPKDAHYL LQPHGAPTWGAIPKLPPPGRSPLAQRPLRRQAAIRTDSLDVQGLGSREDLLSEEEPLSPR DLKKCYSVEAQSCRRRPGSWLDEQRRHSIAVSCLDSGSQPRLCPSPSSLGGQPLGGPGSR PKKKLSPPSISIDPPESQGPRPPCSPGVCLRRRAPASDSKDPSASSPLDSTAASPSPKKD ALSLSGLSSDPTDLDP >ENSMUSP00000021234.8 pep:known chromosome:GRCm38:11:94408406:94474198:-1 gene:ENSMUSG00000020866.17 transcript:ENSMUST00000021234.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1g description:calcium channel, voltage-dependent, T type, alpha 1G subunit [Source:MGI Symbol;Acc:MGI:1201678] MDEEEDGAGAEESGQPRSFTQLNDLSGAGGRQGPGSTEKDPGSADSEAEGLPYPALAPVV FFYLSQDSRPRSWCLRTVCNPWFERVSMLVILLNCVTLGMFRPCEDIACDSQRCRILQAF DDFIFAFFAVEMVVKMVALGIFGKKCYLGDTWNRLDFFIVIAGMLEYSLDLQNVSFSAVR TVRVLRPLRAINRVPSMRILVTLLLDTLPMLGNVLLLCFFVFFIFGIVGVQLWAGLLRNR CFLPENFSLPLSVDLEPYYQTENEDESPFICSQPRENGMRSCRSVPTLRGEGGGGPPCGL DYEAYNSSSNTTCVNWNQYYTNCSAGEHNPFKGAINFDNIGYAWIAIFQVITLEGWVDIM YFVMDAHSFYNFIYFILLIIVGSFFMINLCLVVIATQFSETKQRESQLMREQRVRFLSNA STLASFSEPGSCYEELLKYLVYILRKAARRLAQVSRAVGVRAGLLSSPVARGGQEPQPSG SCSRSHRRLSVHHLVHHHHHHHHHYHLGNGTLRVPRASPEIQDRDANGSRWLMLPPPSTP TPSGGPPRGAESVHSFYHADCHLEPVRCQAPPPRSPSEASGRTVGSGKVYPTVHTSPPPE MLKDKALVEVAPSPGPPTLTSFNIPPGPFSSMHKLLETQSTGACHSSCKISSPCSKADSG ACGPDSCPYCARTGAGEPESADHEMPDSDSEAVYEFTQDAQHSDLRDPHRRRRPSLGPDA EPSSVLAFWRLICDTFRKIVDSKYFGRGIMIAILVNTLSMGIEYHEQPEELTNALEISNI VFTSLFALEMLLKLLVYGPFGYIKNPYNIFDGVIVVISVWEIVGQQGGGLSVLRTFRLMR VLKLVRFLPALQRQLVVLMKTMDNVATFCMLLMLFIFIFSILGMHLFGCKFASERDGDTL PDRKNFDSLLWAIVTVFQILTQEDWNKVLYNGMASTSSWAALYFIALMTFGNYVLFNLLV AILVEGFQAEGDATKSESEPDFFSPSVDGDGDRKKRLALVALGEHSELRKSLLPPLIIHT AATPMSLPKSSSTGVGEALGSGSRRTSSSGSAEPGTAHHEMKSPPSARSSPHSPWSAASS WTSRRSSRNSLGRAPSLKRRSPSGERRSLLSGEGQESQDEEESSEEDRASPAGSDHRHRG SLEREAKSSFDLPDTLQVPGLHRTASGRSSASEHQDCNGKSASGRLARTLRADDPPLDGD DGDDEGNLSKGERLRAWVRARLPACCRERDSWSAYIFPPQSRFRLLCHRIITHKMFDHVV LVIIFLNCITIAMERPKIDPHSAERIFLTLSNYIFTAVFLAEMTVKVVALGWCFGEQAYL RSSWNVLDGLLVLISVIDILVSMVSDSGTKILGMLRVLRLLRTLRPLRVISRAQGLKLVV ETLMSSLKPIGNIVVICCAFFIIFGILGVQLFKGKFFVCQGEDTRNITNKSDCAEASYRW VRHKYNFDNLGQALMSLFVLASKDGWVDIMYDGLDAVGVDQQPIMNHNPWMLLYFISFLL IVAFFVLNMFVGVVVENFHKCRQHQEEEEARRREEKRLKRLEKKRRSKEKQMADLMLDDV IASGSSASAASEAQCKPYYSDYSRFRLLVHHLCTSHYLDLFITGVIGLNVVTMAMEHYQQ PQILDEALKICNYIFTVIFVLESVFKLVAFGFRRFFQDRWNQLDLAIVLLSIMGITLEEI EVNASLPINPTIIRIMRVLRIARVLKLLKMAVGMRALLDTVMQALPQVGNLGLLFMLLFF IFAALGVELFGDLECDETHPCEGLGRHATFRNFGMAFLTLFRVSTGDNWNGIMKDTLRDC DQESTCYNTVISPIYFVSFVLTAQFVLVNVVIAVLMKHLEESNKEAKEEAELEAELELEM KTLSPQPHSPLGSPFLWPGVEGVNSPDSPKPGAPHTTAHIGAASSGFSLEHPTMVPHTEE GPVPLGPDLLTVRKSGVSRTHSLPNDSYMCRNGSTAERSLGHRGWGLPKAQSGSILSVHS QPADTSCILQLPKDAHYLLQPHGAPTWGAIPKLPPPGRSPLAQRPLRRQAAIRTDSLDVQ GLGSREDLLSEVSGPSCPLTRSSSFWGGSSIQVQQRSGSQSKVSKHIRLPAPCPGLEPSW AKDPQETRSSLELDTELSWISGDLLPSSQEEPLSPRDLKKCYSVEAQSCRRRPGSWLDEQ RRHSIAVSCLDSGSQPRLCPSPSSLGGQPLGGPGSRPKKKLSPPSISIDPPESQGPRPPC SPGVCLRRRAPASDSKDPSASSPLDSTAASPSPKKDALSLSGLSSDPTDLDP >ENSMUSP00000017144.2 pep:known chromosome:GRCm38:2:164316751:164318453:1 gene:ENSMUSG00000017000.2 transcript:ENSMUST00000017144.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svs6 description:seminal vesicle secretory protein 6 [Source:MGI Symbol;Acc:MGI:106178] MSPTSFFLLTMLLVLVTETAAKRPRERFSQAIEEFSSESSEANSPKSIVHEEVYEEKKFK RNMVNGEDGEDSKRASAGEIERSYLRKKEKQQFAQEMDK >ENSMUSP00000040098.6 pep:known chromosome:GRCm38:5:74592447:74677873:-1 gene:ENSMUSG00000029228.15 transcript:ENSMUST00000039744.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnx1 description:ligand of numb-protein X 1 [Source:MGI Symbol;Acc:MGI:1278335] MKALLLLVLPWLSPANYIDNVGNLHFLYSELCKGASHYGLTKDRKRRSQDGCPDGCASLM ATTLSPEVSAAATISLMTDEPGLDNPAYVSSVEDGEPVANSSDSGRSNRTRARPFERSTM RSRSFKKINRALSALRRTKSGSVVANHVDQGRDNSENTTVPEVFPRLFHLIPDGEITSIK INRADPSESLSIRLVGGSETPLVHIIIQHIYRDGVIARDGRLLPGDIILKVNGMDISNVP HNYAVRLLRQPCQVLRLTVLREQKFRSRSNAHVPDSYGPRDDSFHVILNKSSPEEQLGIK LVRRVDEPGVFIFNVLNGGVADRHGQLEENDRVLAINGHDLRFGSPESAAHLIQASERRV HLVVSRQVRQSSPDIFQEAGWISNGQQSPGPGERNTASKPAATCHEKVVSVWKDPSESLG MTVGGGASHREWDLPIYVISVEPGGVISRDGRIKTGDILLNVNGIELTEVSRTEAVAILK SAPSSVVLKALEVKEQEAQEDCSPAALDSNHNVTPPGDWSPSWVMWLELPQYLCNCKDVI LRRNTAGSLGFCIVGGYEEYSGNKPFFIKSIVEGTPAYNDGRIRCGDILLAVNGRSTSGM IHACLARMLKELKGRITLTIASWPGTFL >ENSMUSP00000120886.1 pep:known chromosome:GRCm38:5:74592679:74677858:-1 gene:ENSMUSG00000029228.15 transcript:ENSMUST00000127396.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lnx1 description:ligand of numb-protein X 1 [Source:MGI Symbol;Acc:MGI:1278335] MKALLLLVLPWLSPANYIDNVGNLHFLYSELALVPKCSCLAFPGHEKNETGH >ENSMUSP00000121094.2 pep:known chromosome:GRCm38:5:74597104:74677629:-1 gene:ENSMUSG00000029228.15 transcript:ENSMUST00000153543.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnx1 description:ligand of numb-protein X 1 [Source:MGI Symbol;Acc:MGI:1278335] MATTLSPEVSAAATISLMTDEPGLDNPAYVSSVEDGEPVANSSDSGRSNRTRARPFERST MRSRSFKKINRALSALRRTKSGSVVANHVDQGRDNSENTTVPEVFPRLFHLIPDGEITSI KINRADPSESLSIRLVGGSETPLVHIIIQHIYRDGVIARDGRLLPGDIILKVNGMDISNV PHNYAVRLLRQPCQVLRLTVLREQKFRSRSNAHVPDSYGPRDDSFHVILNKSSPEEQLGI KLVRRVDEPGVFIFNVLNGGVADRHGQLEENDRVLAINGHDLRFGSPESAAHLIQASERR VHLVVSRQVRQSSPDIFQEAGWISNGQQSPGPGERNTASKPAATCHEKVVSVWKDPSESL GMTVGGGASHREWDLPIYVISVEPGGVISRDGRIKTGDILLNVNGIELTEVSRTEAVAIL KSAPSSVVLKALEVKEQEAQEDCSPAALDSNHNVTPPGDWSPSWVMWLELPQYLCNCKDV ILRRNTAGSLGFCIVGGYEEYSGNKPFFIKSIVEGTPAYNDGRIRCGDILLAVNGRSTSG MIHACLARMLKELKGRITLTIASWPGTFL >ENSMUSP00000113837.1 pep:known chromosome:GRCm38:5:74597104:74677800:-1 gene:ENSMUSG00000029228.15 transcript:ENSMUST00000117525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnx1 description:ligand of numb-protein X 1 [Source:MGI Symbol;Acc:MGI:1278335] MKALLLLVLPWLSPANYIDNVGNLHFLYSELCKGASHYGLTKDRKRRSQDGCPDGCASLM ATTLSPEVSAAATISLMTDEPGLDNPAYVSSVEDGEPVANSSDSGRSNRTRARPFERSTM RSRSFKKINRALSALRRTKSGSVVANHVDQGRDNSENTTVPEVFPRLFHLIPDGEITSIK INRADPSESLSIRLVGGSETPLVHIIIQHIYRDGVIARDGRLLPGDIILKVNGMDISNVP HNYAVRLLRQPCQVLRLTVLREQKFRSRSNAHVPDSYGPRDDSFHVILNKSSPEEQLGIK LVRRVDEPGVFIFNVLNGGVADRHGQLEENDRVLAINGHDLRFGSPESAAHLIQASERRV HLVVSRQVRQSSPDIFQEAGWISNGQQSPGPGERNTASKPAATCHEKVVSVWKDPSESLG MTVGGGASHREWDLPIYVISVEPGGVISRDGRIKTGDILLNVNGIELTEVSRTEAVAILK SAPSSVVLKALEVKEQEAQEDCSPAALDSNHNVTPPGDWSPSWVMWLELPQYLCNCKDVI LRRNTAGSLGFCIVGGYEEYSGNKPFFIKSIVEGTPAYNDGRIRCGDILLAVNGRSTSGM IHACLARMLKELKGRITLTIASWPGTFL >ENSMUSP00000113565.1 pep:known chromosome:GRCm38:5:74597104:74677873:-1 gene:ENSMUSG00000029228.15 transcript:ENSMUST00000121690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnx1 description:ligand of numb-protein X 1 [Source:MGI Symbol;Acc:MGI:1278335] MKALLLLVLPWLSPANYIDNVGNLHFLYSELCKGASHYGLTKDRKRRSQDGCPDGCASLM ATTLSPEVSAAATISLMTDEPGLDNPAYVSSVEDGEPVANSSDSGRSNRTRARPFERSTM RSRSFKKINRALSALRRTKSGSVVANHVDQGRDNSENTTVPEDGEITSIKINRADPSESL SIRLVGGSETPLVHIIIQHIYRDGVIARDGRLLPGDIILKVNGMDISNVPHNYAVRLLRQ PCQVLRLTVLREQKFRSRSNAHVPDSYGPRDDSFHVILNKSSPEEQLGIKLVRRVDEPGV FIFNVLNGGVADRHGQLEENDRVLAINGHDLRFGSPESAAHLIQASERRVHLVVSRQVRQ SSPDIFQEAGWISNGQQSPGPGERNTASKPAATCHEKVVSVWKDPSESLGMTVGGGASHR EWDLPIYVISVEPGGVISRDGRIKTGDILLNVNGIELTEVSRTEAVAILKSAPSSVVLKA LEVKEQEAQEDCSPAALDSNHNVTPPGDWSPSWVMWLELPQYLCNCKDVILRRNTAGSLG FCIVGGYEEYSGNKPFFIKSIVEGTPAYNDGRIRCGDILLAVNGRSTSGMIHACLARMLK ELKGRITLTIASWPGTFL >ENSMUSP00000113035.1 pep:known chromosome:GRCm38:5:74597104:74702912:-1 gene:ENSMUSG00000029228.15 transcript:ENSMUST00000117388.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnx1 description:ligand of numb-protein X 1 [Source:MGI Symbol;Acc:MGI:1278335] MNQPDLADDPDPSPEPLCIVCGQNHSPEENHFYTYTEDVDDDLICHICLQALLDPLDTPC GHTYCTLCLTNFLVEKDFCPVDRKPVVLQHCKKSSILVNKLLNKLLVTCPFTEHCTEVLQ RCDLQHHFQTSCKGASHYGLTKDRKRRSQDGCPDGCASLMATTLSPEVSAAATISLMTDE PGLDNPAYVSSVEDGEPVANSSDSGRSNRTRARPFERSTMRSRSFKKINRALSALRRTKS GSVVANHVDQGRDNSENTTVPEVFPRLFHLIPDGEITSIKINRADPSESLSIRLVGGSET PLVHIIIQHIYRDGVIARDGRLLPGDIILKVNGMDISNVPHNYAVRLLRQPCQVLRLTVL REQKFRSRSNAHVPDSYGPRDDSFHVILNKSSPEEQLGIKLVRRVDEPGVFIFNVLNGGV ADRHGQLEENDRVLAINGHDLRFGSPESAAHLIQASERRVHLVVSRQVRQSSPDIFQEAG WISNGQQSPGPGERNTASKPAATCHEKVVSVWKDPSESLGMTVGGGASHREWDLPIYVIS VEPGGVISRDGRIKTGDILLNVNGIELTEVSRTEAVAILKSAPSSVVLKALEVKEQEAQE DCSPAALDSNHNVTPPGDWSPSWVMWLELPQYLCNCKDVILRRNTAGSLGFCIVGGYEEY SGNKPFFIKSIVEGTPAYNDGRIRCGDILLAVNGRSTSGMIHACLARMLKELKGRITLTI ASWPGTFL >ENSMUSP00000117935.2 pep:known chromosome:GRCm38:5:74597776:74623521:-1 gene:ENSMUSG00000029228.15 transcript:ENSMUST00000140084.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnx1 description:ligand of numb-protein X 1 [Source:MGI Symbol;Acc:MGI:1278335] XRDGRLLPGDIILKVNGMDISNVPHNYAVRLLRQPCQVLRLTVLREQKFRSRSNAHVPDS YGPRDDSFHVILNKSSPEEQLGIKLVRRVDEPGVFIFNVLNGGVADRHGQLEENDRVLAI NGHDLRFGSPESAAHLIQPAATCHEKVVSVWKDPSESLGMTVGGGASHREWDLPIYVISV EPGGVISRDGRIKTGDILLNVNGIELTEVSRTEAVAILKSAPSSVVLKALEVKEQEAQED CSPAALDSNHNVTPPGDWSPSWVMWLELPQYLCNCKDVILRRNTAGSLGFCIVGGYEEYS GNKPFFIKSIVEGTPAYNDGRI >ENSMUSP00000109159.2 pep:known chromosome:GRCm38:5:74592447:74677872:-1 gene:ENSMUSG00000029228.15 transcript:ENSMUST00000113531.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnx1 description:ligand of numb-protein X 1 [Source:MGI Symbol;Acc:MGI:1278335] MATTLSPEVSAAATISLMTDEPGLDNPAYVSSVEDGEPVANSSDSGRSNRTRARPFERST MRSRSFKKINRALSALRRTKSGSVVANHVDQGRDNSENTTVPEVFPRLFHLIPDGEITSI KINRADPSESLSIRLVGGSETPLVHIIIQHIYRDGVIARDGRLLPGDIILKVNGMDISNV PHNYAVRLLRQPCQVLRLTVLREQKFRSRSNAHVPDSYGPRDDSFHVILNKSSPEEQLGI KLVRRVDEPGVFIFNVLNGGVADRHGQLEENDRVLAINGHDLRFGSPESAAHLIQASERR VHLVVSRQVRQSSPDIFQEAGWISNGQQSPGPGERNTASKPAATCHEKVVSVWKDPSESL GMTVGGGASHREWDLPIYVISVEPGGVISRDGRIKTGDILLNVNGIELTEVSRTEAVAIL KSAPSSVVLKALEVKEQEAQEDCSPAALDSNHNVTPPGDWSPSWVMWLELPQYLCNCKDV ILRRNTAGSLGFCIVGGYEEYSGNKPFFIKSIVEGTPAYNDGRIRCGDILLAVNGRSTSG MIHACLARMLKELKGRITLTIASWPGTFL >ENSMUSP00000084405.3 pep:known chromosome:GRCm38:5:74592447:74685787:-1 gene:ENSMUSG00000029228.15 transcript:ENSMUST00000087161.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnx1 description:ligand of numb-protein X 1 [Source:MGI Symbol;Acc:MGI:1278335] MNQPDLADDPDPSPEPLCIVCGQNHSPEENHFYTYTEDVDDDLICHICLQALLDPLDTPC GHTYCTLCLTNFLVEKDFCPVDRKPVVLQHCKKSSILVNKLLNKLLVTCPFTEHCTEVLQ RCDLQHHFQTSCKGASHYGLTKDRKRRSQDGCPDGCASLMATTLSPEVSAAATISLMTDE PGLDNPAYVSSVEDGEPVANSSDSGRSNRTRARPFERSTMRSRSFKKINRALSALRRTKS GSVVANHVDQGRDNSENTTVPEVFPRLFHLIPDGEITSIKINRADPSESLSIRLVGGSET PLVHIIIQHIYRDGVIARDGRLLPGDIILKVNGMDISNVPHNYAVRLLRQPCQVLRLTVL REQKFRSRSNAHVPDSYGPRDDSFHVILNKSSPEEQLGIKLVRRVDEPGVFIFNVLNGGV ADRHGQLEENDRVLAINGHDLRFGSPESAAHLIQASERRVHLVVSRQVRQSSPDIFQEAG WISNGQQSPGPGERNTASKPAATCHEKVVSVWKDPSESLGMTVGGGASHREWDLPIYVIS VEPGGVISRDGRIKTGDILLNVNGIELTEVSRTEAVAILKSAPSSVVLKALEVKEQEAQE DCSPAALDSNHNVTPPGDWSPSWVMWLELPQYLCNCKDVILRRNTAGSLGFCIVGGYEEY SGNKPFFIKSIVEGTPAYNDGRIRCGDILLAVNGRSTSGMIHACLARMLKELKGRITLTI ASWPGTFL >ENSMUSP00000099515.4 pep:known chromosome:GRCm38:11:80360493:80378034:-1 gene:ENSMUSG00000057181.15 transcript:ENSMUST00000103225.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730455P16Rik description:RIKEN cDNA 5730455P16 gene [Source:MGI Symbol;Acc:MGI:1917841] MLPSLQESLDGDEKELESSEEGGSAEERRLEPPPSSHYCLYSFRGSRLTQNRGDSDDGRS GGINAETPSGDDFSLSLVDTNLPSEVEPELRSFIAKRLSKGAVFEGLGNVASVELRIPGY RVGCYYCLFQQEKLLPEIAAMESEHNPSEYVVCFLGGSEKGLELFRLELDKYIQGLKNNM NCEERSLGNDVKSYLNSWYEDVVCPIQRVVLLFQEKLTFLLHAALSYTPVEFKESDEKTK RDINRFLSVASLQGLIHEGTMTSLCMAMTEEQHKSVIIDCSGPQPQFHNAGSNRFCEDWM QAFLHGAEAGNPFLFRQVLENFKLKAIQDTNNLKRFIRQAEMNHYALFKCYMFLKNCGSG DILLKIVKVEHEEMPEAKSVVAVLEEFMREALV >ENSMUSP00000120606.1 pep:known chromosome:GRCm38:11:80370418:80378034:-1 gene:ENSMUSG00000057181.15 transcript:ENSMUST00000134274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730455P16Rik description:RIKEN cDNA 5730455P16 gene [Source:MGI Symbol;Acc:MGI:1917841] MGMKRSWRAAKRVAPRRSGDSSRLPAATTACTASAEAGARALPAAPAAPPPGLAPRGPHS PSPLPAKLTQNRGDSDDGRSGGINAETPSGDDFSLSLVDTNLPSEVEPELRSFIAKRLSK GAVFEGLGNVASVELRIPGYRVGCYYCLFQQEKLLPEIAAMESEHNPSEYVVCFLGGSEK GLELFRLELDKYIQGLKNNMNCEERSLGNDVKSY >ENSMUSP00000135972.1 pep:known chromosome:GRCm38:11:80360492:80378015:-1 gene:ENSMUSG00000057181.15 transcript:ENSMUST00000179332.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730455P16Rik description:RIKEN cDNA 5730455P16 gene [Source:MGI Symbol;Acc:MGI:1917841] MLPSLQESLDGDEKELESSEEGGSAEERRLEPPPSSHYCLYSFRGSRLTQNRGDSDDGRS GGINAETPSGDDFSLSLVDTNLPSEVEPELRSFIAKRLSKGAVFEGLGNVASVELRIPGY RVGCYYCLFQQEKLLPEIAAMESEHNPSEYVVCFLGGSEKGLETFRLELDKYIQGLKNNM NCEERSLGNDVKSYLNSWYEDVVCPIQRVVLLFQEKLTFLLHAALSYTPVEFKESDEKTK RDINRFLSVASLQGLIHEGTMTSLCMAMTEEQHKSVIIDCSGPQPQFHNAGSNRFCEDWM QAFLHGAEAGNPFLFRQVLENFKLKAIQDTNNLKRFIRQAEMNHYALFKCYMFLKNCGSG DILLKIVKVEHEEMPEAKSVVAVLEEFMREALV >ENSMUSP00000132361.2 pep:known chromosome:GRCm38:7:80024814:80094173:1 gene:ENSMUSG00000048897.15 transcript:ENSMUST00000164056.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp710 description:zinc finger protein 710 [Source:MGI Symbol;Acc:MGI:1921747] MEGFMDSGTQTDAVVVLSLAQAAVLGLVSENELFGATISAEAFYPDLGPELTGTAMGEPG PPGPDIYQLACNGRALEEPPEEEVLEVEAAFEKHTRRKTRPPVRLVPKVKFEKAEEEEEQ EVYEVSVPGDDKDPGPAEAPAEVASSGCEALVQSSAVKMIDLSAFSRKPRTLRHLPRTPR PELDMAPFDPPFPDPARDGFPEPSMALPGPETLPTECSFEPPHLAPLSNPEPPNMTSTTE LVKPEQGFVWQESSEFEADTAGSTVERHKKAQLDRLDINVQIDDSYLVEAGDRQKRWQCR MCEKSYTSKYNLVTHILGHNGIKPHSCPHCSKLFKQPSHLQTHLLTHQGTRPHKCQVCHK AFTQTSHLKRHMLLHSEVKPYSCHFCGRGFAYPSELKAHEVKHESGRCHVCVECGLDFST LTQLKRHLASHQGPTLYQCLECDKSFHYRSQLQNHMLKHQNVRPFVCTECGMEFSQIHHL KQHSLTHKGVKEFKCEVCGREFTLQANMKRHMLIHTSVRPYQCHICFKTFVQKQTLKTHM IVHSPVKPFKCKVCGKSFNRMYNLLGHMHLHAGSKPFKCPYCSSKFNLKGNLSRHMKVKH GVMDISLDSQDPMMELAGPDPSELDNHQEMEDFEENAYTYSSVDSSAEASTLTEQAMKEM AYYNVL >ENSMUSP00000129357.1 pep:known chromosome:GRCm38:7:80024834:80091830:1 gene:ENSMUSG00000048897.15 transcript:ENSMUST00000166250.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp710 description:zinc finger protein 710 [Source:MGI Symbol;Acc:MGI:1921747] MEGFMDSGTQTDAVVVLSLAQAAVLGLVSENELFGATISAEAFYPDLGPELTGTAMGEPG PPGPDIYQLACNGRALEEPPEEEVLEVEAAFEKHTRRKTRPPVRLVPKVKFEKAEEEEEQ EVYEVSVPGDDKDPGPAEAPAEVASSGCEALVQSSAVKMIDLSAFSRKPRTLRHLPRTPR PELDMAPFDPPFPDPARDGFPEPSMALPGPETLPTECSFEPPHLAPLSNPEPPNMTSTTE LVKPEQGFVWQESSEFEADTAGSTVERHKKAQLDRLDINVQIDDSYLVEAGDRQKRWQCR MCEKSYTSKYNLVTHILGHNGIKPHSCPHCSKLFKQPSHLQTHLLTHQGTRPHKCQVCHK AFTQTSHLKRHMLLHSEVKPYSCHFCGRGFAYPSELKAHEVKHESGRCHVCVECGLDFST LTQLKRHLASHQGPTLYQCLECDKSFHYRSQLQNHMLKHQNVRPFVCTECGMEFSQIHHL KQHSLTHKGVKEFKCEVCGREFTLQANMKRHMLIHTSVRPYQCHICFKTFVQKQTLKTHM IVHSPVKPFKCKVCGKSFNRMYNLLGHMHLHAGSKPFKCPYCSSKFNLKGNLSRHMKVKH GVMDISLDSQGMLSTAARAAGCSYASSSSTQSAILCGVQGQPETP >ENSMUSP00000050577.8 pep:known chromosome:GRCm38:7:80026037:80092749:1 gene:ENSMUSG00000048897.15 transcript:ENSMUST00000049680.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp710 description:zinc finger protein 710 [Source:MGI Symbol;Acc:MGI:1921747] MEGFMDSGTQTDAVVVLSLAQAAVLGLVSENELFGATISAEAFYPDLGPELTGTAMGEPG PPGPDIYQLACNGRALEEPPEEEVLEVEAAFEKHTRRKTRPPVRLVPKVKFEKAEEEEEQ EVYEVSVPGDDKDPGPAEAPAEVASSGCEALVQSSAVKMIDLSAFSRKPRTLRHLPRTPR PELDMAPFDPPFPDPARDGFPEPSMALPGPETLPTECSFEPPHLAPLSNPEPPNMTSTTE LVKPEQGFVWQESSEFEADTAGSTVERHKKAQLDRLDINVQIDDSYLVEAGDRQKRWQCR MCEKSYTSKYNLVTHILGHNGIKPHSCPHCSKLFKQPSHLQTHLLTHQGTRPHKCQVCHK AFTQTSHLKRHMLLHSEVKPYSCHFCGRGFAYPSELKAHEVKHESGRCHVCVECGLDFST LTQLKRHLASHQGPTLYQCLECDKSFHYRSQLQNHMLKHQNVRPFVCTECGMEFSQIHHL KQHSLTHKGVKEFKCEVCGREFTLQANMKRHMLIHTSVRPYQCHICFKTFVQKQTLKTHM IVHSPVKPFKCKVCGKSFNRMYNLLGHMHLHAGSKPFKCPYCSSKFNLKGNLSRHMKVKH GVMDISLDSQDPMMELAGPDPSELDNHQEMEDFEENAYTYSSVDSSAEASTLTEQAMKEM AYYNVL >ENSMUSP00000146148.1 pep:known chromosome:GRCm38:7:80026387:80086846:1 gene:ENSMUSG00000048897.15 transcript:ENSMUST00000206039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp710 description:zinc finger protein 710 [Source:MGI Symbol;Acc:MGI:1921747] MEGFMDSGTQTDAVVVLSLAQAAVLGLVSENELFGATISAEAFYPDLGPELTGTAMGEPG PPGPDIYQLACNGRALEEPPEEEVLEVEAAFEKHTRRKTRPPVRLVPKVKFEKAEEEEEQ EVYEVSVPGDDKDPGPAEAPAEVASSGCEALVQSSAVKMIDLSAFSRKPRTLRHLPRTPR PELDMAPFDPPFPDPARDGFPEPSMALPGPETLPTECSFEPPHLAPLSNPEPPNMTSTTE LVKPEQGFVWQESSEFEADTAGSTVERHKKAQLDRLDINVQIDDSYLVEAGDRQKRWQCR MCEKSYTSKYNLVTHILGHNGIKPHSCPHCSKLFKQPSHLQTHLLTHQGTRPHKCQVCHK AFTQTSHLKRHMLLHSEVKPYSCHFCGRGFAYPSELKAHEVKHESGRCHVCVECGLDFST LTQLKRHLASHQGPTLYQCLECDKSFHYRSQLQNHMLKHQNVRPFVCTECGMEFSQIHHL KQHSLTHKGVKEFKCEVCGREFTLQANMKRHMLIHTSVRPYQCHICFKTFVQKQTLKTHM IVHSPVKPFKCKVCGKSFNRMYNLLGHMHLHAGSKPFKCPYCSSKFNLKGNLSRHMKVKH GVMDISLDSQGGWAVRSGQSRIDSSMAHSGVSSPIRGVGGLAKAETSMRWAQVWREGEPG EPLWQ >ENSMUSP00000145836.1 pep:known chromosome:GRCm38:7:80027814:80081169:1 gene:ENSMUSG00000048897.15 transcript:ENSMUST00000206104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp710 description:zinc finger protein 710 [Source:MGI Symbol;Acc:MGI:1921747] MEGFMDSGTQTDAVVVLSLAQAAVLGLVSEN >ENSMUSP00000104022.1 pep:known chromosome:GRCm38:7:27155114:27158668:1 gene:ENSMUSG00000078787.8 transcript:ENSMUST00000108385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2t4 description:cytochrome P450, family 2, subfamily t, polypeptide 4 [Source:MGI Symbol;Acc:MGI:2686296] MVTCLALLLLLLILMLLLWWGGVVRRQAQMQKDLPPGPAPLPLLGNLLQLQSGDLDRVLM ELSSHWGPVFTVWLGPLPAVVLCGYEALRDALVLQADAFSGRGAMAVFDRFTCGNGIVFS NGPRWHSLRNFALGVLRELGVGRSTIEDRILEEAACVLDEFQATMGAPFDPQQLLDSAVS NVICTVVFGKRYDYGDPEFRRLLNLFSDNFCIMSSRWAEIYNMFPSFMDWIPGPHNRIFK NFQELRLFISEQIQWHWQSRQTGEPRDFIDCFLDQMDKEQQDLESHFQDETLVMTTHDLF FGGTETTSTTLRYGLLIMLKYPEVAAKVQEELDATVGRTWAPRIEDRARLPYTNAVLHEI QRFISVLPLGLPRALTRDVNLKNHFLHKGTFVIPLLVSAHRDPTQFKDPDHFNPTNFLDD HGEFQNNDAFMPFALGKRMCLGAGLARSEIFLFLTAILQKFSLLPVGSPANINLNPQCTG LGNVPPAFQLRLVAR >ENSMUSP00000126779.1 pep:known chromosome:GRCm38:7:27153714:27158564:1 gene:ENSMUSG00000078787.8 transcript:ENSMUST00000164093.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2t4 description:cytochrome P450, family 2, subfamily t, polypeptide 4 [Source:MGI Symbol;Acc:MGI:2686296] MERARHDRMVTCLALLLLLLILMLLLWWGGVVRRQAQMQKDLPPGPAPLPLLGNLLQLQS GDLDRVLMELSSHWGPVFTVWLGPLPAVVLCGYEALRDALVLQADAFSGRGAMAVFDRFT CGNGIVFSNGPRWHSLRNFALGVLRELGVGRSTIEDRILEEAACVLDEFQATMGAPFDPQ QLLDSAVSNVICTVVFGKRYDYGDPEFRRLLNLFSDNFCIMSSRWAEIYNMFPSFMDWIP GPHNRIFKNFQELRLFISEQIQWHWQSRQTGEPRDFIDCFLDQMDKEQQDLESHFQDETL VMTTHDLFFGGTETTSTTLRYGLLIMLKYPEVAAKVQEELDATVGRTWAPRIEDRARLPY TNAVLHEIQRFISVLPLGLPRALTRDVNLKNHFLHKGTFVIPLLVSAHRDPTQFKDPDHF NPTNFLDDHGEFQNNDAFMPFALGKRMCLGAGLARSEIFLFLTAILQKFSLLPVGSPANI NLNPQCTGLGNVPPAFQLRLVAR >ENSMUSP00000141039.1 pep:known chromosome:GRCm38:Y:28245352:28271512:-1 gene:ENSMUSG00000101158.1 transcript:ENSMUST00000188864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20894 description:predicted gene, 20894 [Source:MGI Symbol;Acc:MGI:5434250] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000145772.1 pep:known chromosome:GRCm38:7:125603429:125631506:1 gene:ENSMUSG00000030745.9 transcript:ENSMUST00000206234.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il21r description:interleukin 21 receptor [Source:MGI Symbol;Acc:MGI:1890475] MPRGPVAALLLLILHGGKMNMRNFRTKRPSAAYTGLATTPHIYGTRAICACLNSCPMKFS LSM >ENSMUSP00000145966.1 pep:known chromosome:GRCm38:7:125603494:125633272:1 gene:ENSMUSG00000030745.9 transcript:ENSMUST00000206103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il21r description:interleukin 21 receptor [Source:MGI Symbol;Acc:MGI:1890475] MPRGPVAALLLLILHGAWSCLDLTCYTDYLWTITCVLETRSPNPSILSLTWQDEYEELQD QETFCSLHRSGHNTTHIWYTCHMRLSQFLSDEVFIVNVTDQSGNNSQECGSFVLAESIKP APPLNVTVAFSGRYDISWDSAYDEPSNYVLRGKLQYELQYRNLRDPYAVRPVTKLISVDS RNVSLLPEEFHKDSSYQLQVRAAPQPGTSFRGTWSEWSDPVIFQTQAGGYGKRYGHQCPP LRVSSSPCTGSTAGTSRNGLIPLSRPPA >ENSMUSP00000033000.6 pep:known chromosome:GRCm38:7:125603537:125633570:1 gene:ENSMUSG00000030745.9 transcript:ENSMUST00000033000.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il21r description:interleukin 21 receptor [Source:MGI Symbol;Acc:MGI:1890475] MPRGPVAALLLLILHGAWSCLDLTCYTDYLWTITCVLETRSPNPSILSLTWQDEYEELQD QETFCSLHRSGHNTTHIWYTCHMRLSQFLSDEVFIVNVTDQSGNNSQECGSFVLAESIKP APPLNVTVAFSGRYDISWDSAYDEPSNYVLRGKLQYELQYRNLRDPYAVRPVTKLISVDS RNVSLLPEEFHKDSSYQLQVRAAPQPGTSFRGTWSEWSDPVIFQTQAGEPEAGWDPHMLL LLAVLIIVLVFMGLKIHLPWRLWKKIWAPVPTPESFFQPLYREHSGNFKKWVNTPFTASS IELVPQSSTTTSALHLSLYPAKEKKFPGLPGLEEQLECDGMSEPGHWCIIPLAAGQAVSA YSEERDRPYGLVSIDTVTVGDAEGLCVWPCSCEDDGYPAMNLDAGRESGPNSEDLLLVTD PAFLSCGCVSGSGLRLGGSPGSLLDRLRLSFAKEGDWTADPTWRTGSPGGGSESEAGSPP GLDMDTFDSGFAGSDCGSPVETDEGPPRSYLRQWVVRTPPPVDSGAQSS >ENSMUSP00000036797.8 pep:known chromosome:GRCm38:16:91225550:91228677:1 gene:ENSMUSG00000039830.8 transcript:ENSMUST00000035608.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olig2 description:oligodendrocyte transcription factor 2 [Source:MGI Symbol;Acc:MGI:1355331] MDSDASLVSSRPSSPEPDDLFLPARSKGGSSSGFTGGTVSSSTPSDCPPELSSELRGAMG ASGAHPGDKLGGGGFKSSSSSTSSSTSSAATSSTKKDKKQMTEPELQQLRLKINSRERKR MHDLNIAMDGLREVMPYAHGPSVRKLSKIATLLLARNYILMLTNSLEEMKRLVSEIYGGH HAGFHPSACGGLAHSAPLPTATAHPAAAAHAAHHPAVHHPILPPAAAAAAAAAAAAAVSS ASLPGSGLSSVGSIRPPHGLLKSPSAAAAAPLGGGGGGSGGSGGFQHWGGMPCPCSMCQV PPPHHHVSAMGAGTLPRLTSDAK >ENSMUSP00000017148.7 pep:known chromosome:GRCm38:2:164332740:164334396:1 gene:ENSMUSG00000017004.7 transcript:ENSMUST00000017148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svs5 description:seminal vesicle secretory protein 5 [Source:MGI Symbol;Acc:MGI:98453] MSPTSFFLLTLLLVLVTEARGARERFSQSAEDPSSSHMGIKIRAGGSGSGSAMEEYSVSE NSWSNFKSKHPSSISSESFHEESSSSSEMSSSGGHFGLKMRGSQAGGGMSSFKTRVKSRI LK >ENSMUSP00000119963.1 pep:known chromosome:GRCm38:2:164333450:164334396:1 gene:ENSMUSG00000017004.7 transcript:ENSMUST00000149370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svs5 description:seminal vesicle secretory protein 5 [Source:MGI Symbol;Acc:MGI:98453] XSSESFHEESSSSSEMSSSGGHFGLKMRGSQAGGGMSSFKTRVKSRILK >ENSMUSP00000083810.4 pep:known chromosome:GRCm38:5:112688876:112896362:-1 gene:ENSMUSG00000072720.9 transcript:ENSMUST00000086617.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo18b description:myosin XVIIIb [Source:MGI Symbol;Acc:MGI:1921626] MAISSRLALWEQKIREEDKSPPPSSPPPLFSVIPGGFIKQLVRETEKESKEARRRKAAAF TAPEVEIPAVPTNQTNKTNNVPKPGSQQTSQDSSSTTQNSADIPGKEPPGAGDKDSTPVT STSGERPQESGPTGTPAKRTLPFKRGVRRGDVLLMVAKLDPELAKADQKVQPRDVPVDKT PAPAKDSGGTKKGVTTGTSSAPQPSMPEKTRTRGVGDTGQSTKGGKCQGTEGKGSRDPQT IGQKEGESQSTEEQGTRSQAQEAGNKEQLGTAEKEGGGPPKKMEKEDEPKVAGAEVRPVE PPVPLRKWGGFLGWRSKWDSPQSKDRVTESHRKDEKTGDLQSPAVDRSCGQLAEPTGQPS GPTGQPSGPTGQLSESTGQPSGPTGQPAGPTGQPAGPTGQPAGPTGQPAGPTGQQQEAPV KMEGKVGRPQKKLVTPRKPRELPGVAAKTQNPEESCKAPDRIPTTGISAEAAKRDGQPES RVQGAGEPRVCTEEEVDVVKPQAEGHVESIPEVVMERLSTLRPMEEKQILQDPDRGGQSG DSDQAYEDRWYEAQKVWLVQKDGFTLATVLKPDEGTADPPAGRVRLCIDADRTVIEVDEE QVHPANPSELDQAEDLASLVSVNESSVMNTLLQRYRAQLPYTCSGPDLITLQPQTTTVPS SGKVPRGRQDGLPAHVTSLAQRAYWALLSQRRDQSIVALGRSGAGKTTCCEQVLEHLVGM AGSVDGRVSVEKLRATFTVLRAFGCVSTGHSRRATRFAMVMSLDFNATGRVTAAQLQTVL LENSRVARQPQGEGNFEVFSQLLAGMDVDLRTELNLHQMAESSAFGMGLWSKPEDKQKAA TAFSQLRGAMELLGISEGEQQAIWRVLAAIYHLGAAGACKVGRKQFMRFEWANHAAEALG CDYEELNTATFKHHLRQIIEQMTSGPQRQGLQDNEACSGLKMTGVECVEGMASGLYQELF VAVVSLINRSFSSHHLSMASIMVVDTPGFQNPRHQGKDRAATFEELCYNYAQERLQLLFY HRTFVSTLERYKEEGIPVPFDLPESSPGTTVAVVDQNPSQVHLPAGRGAEDAGGLFWVLD EEVRVQGSSDSTVLERLRAAFEKKKEAGAEEPPSMRTCEQPLQCELFHQLGRDPVRYDLT GWLRRAKPNLAALEAPQILQQSKREELQSLFQARAKLPPVCRAVAGLEGTSQQALHRSRV VRRAFASSLAAVKRKAPCAQIKLQMDALISLLRRSRLHFIHCLVPTTVESKAGQRTPSPS QPSGDQGVANEPTALDIPALRVQLAGSHILEALRLHRAGYAEHMGLAQFRRRFQVLDPAL LKKLDLTSEELDERKVVEELLKTLDLEKKAVAVGHSQVFLKAGVVSRLERQREKLVSRNI VLFQAACRGFLSRQEYKKLKIRRLATLCIQKNLAVFLKVKDWPWWGLLASLRPLLSSTLG TEQLRAKEEELTLLRQKLQKSENSRSELRQNTDLLESKITDLTSELADERFKGDVACQAL ESERAERLQALREVQELKTKYQQVQDALGEVQKQLEEAQQRIQGANLEEKPAGGADEWQM RLDCAQMENDFLRKRLQQCEERLDSEMKARTELEQKLGELQSAYEEAKKMAHQLKRKCHH LTWDLEDTRVLLENQQSRNHELEKRQKKFDLQLAQALGESMFEKSLREKVSQENNGVRWE LGQLQQQLEQKEQEASKLKQEVERLQGQKRELLSCASVGDQGVASLKERVWELETNALEQ QKIHSQQENTIKQLEQLRQRFELEIERMKQMHQKDREDQEEELEDVRQSCQKRLRQLEMQ LEQEYEEKQVALHEKHDLEGLIGTLCDQIGHRDFDVEKRLRRDLRRTHALLSDVQLLLAT IEDSKTSISKEELEKVHSQLEQSEAKCEDALKTQKVLTADLENMHSELENVTRSKSLVDE QLYRLQFERADLLKRIDEDQGDLNDLMQKHKDLIAQSAADIGQIQELQLQLEETKKEKQK LREQLHMAQLRIQYLEQSTVERAIVSRQEAIICDLENKTEFQKVQIKRFEVLVIRLRDSM IKMGEELSRAVKAEAQQRENSQYYQQRLEELKAEMQELAQREEEASRRCMELEKYVEELA TVRQTLQTDLETSIRRIADLQAALEEVVSSDSDTESVQTAVDCSSRSGKEGDNVSVISSQ PEGSLQSWMSCSLSLATDSVRIPSGQSVVSSSFHSPRVSEEAGDSERLRTASSALSGAWD AARDASKAGSASLHPVFPQKPYHLGDGEGFAVHRKSTLERSGPLSSSPPSQRTAVSPPSR DKLPSPSAALSEFVEELRRKRAQRGQGSTLHLGDGPVLPIFQTTRASSLRRGRASSDEGD LSLKTRVKSPLGAEGIPGATAGLSRSTSLKCISSEGPEDITLLPDKQKTRFGSCESLLES GPCTRRKLSSPTGLLSPTLRPRRPCLESSVDDAISLELGKEPLVFQNRQFSHLMEETLDS DPFSWKLPSLNYRRQTKVDFDDFLPAIRKSDTPSSGAAKDGKEASKHPGVHFEMGEAADR SFLSGIKTILKKSPEVKEDPAHLSDSSSSSSSIVSFKSTGGTGSGPRVPRLQGDGGERMS PEHRDPGSARRDDDVESIMRKYLQQ >ENSMUSP00000138689.1 pep:known chromosome:GRCm38:5:112817226:112872496:-1 gene:ENSMUSG00000072720.9 transcript:ENSMUST00000183273.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myo18b description:myosin XVIIIb [Source:MGI Symbol;Acc:MGI:1921626] XTVLKPDEGTADPPAGRVRLCIDADRTVIEVDEEQVHPGPRNPEEILCEFFRPTPLSWTK LRTWLLWSASTSQAS >ENSMUSP00000033611.4 pep:known chromosome:GRCm38:X:134149043:134161928:-1 gene:ENSMUSG00000031258.4 transcript:ENSMUST00000033611.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xkrx description:Kell blood group complex subunit-related, X-linked [Source:MGI Symbol;Acc:MGI:3584011] MDRVYEIPEEPNVVPISSLEEDVIRGPNPRFTFPFSILFSTFLYCGEAASALYMVRIYRK NNETFWMTYTFSFFMFSSIMVQLTLIFVHRDLAKDRPLSLFMHLILLGPVIRCLEAMIKY LTLWKKEGQEEPYVSLTRKKMLIAGQEVLIEWEVGHSIRTLAMHRNAYKRMSQIQAFLGS VPQLTYQLYVSLISAEVPLGRAVLMAFSLISVTYGATLCNMLAIQIKYDDYKIRLGPLEV LCITVWRTLEITSRLVILVLFSATLKLKAVPFLVLNFLIILFEPWVKFWRSGAQMPNNIE KNFSRVGTLVVLISVTILYAGINFSCWSAMQLKLADRDLVDKGQNWGHMGLHYSVRLVEN VIMVLVFKYFGVKVLLNYCHSLIAVQLIIAYLISIGVMLLFFQYLHPLRSLFTNNVVDYL HCICCRRPRPERVENSETSCEADTTQSIV >ENSMUSP00000060940.5 pep:known chromosome:GRCm38:10:43901807:43947862:1 gene:ENSMUSG00000019864.13 transcript:ENSMUST00000054418.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4ip1 description:reticulon 4 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2178759] MGVLKTCVLRRSACAAACFWRRTVIPKPPFRGISTTSARSTVMPAWVIDKYGKNEVLRFT QNMMLPIIHYPNEVIIKVHAASVNPIDVNMRSGYGATALNMKRDPLHMKTKGEEFPLTLG RDVSGVVMECGLDVKYFQPGDEVWAAVPPWKQGTLSEFVVVSGNEVSHKPKSLTHTQAAS LPYVALTAWSAINKVGGLSDRNCKGKRALILGASGGVGTFAIQVMKAWGAHVTAVCSKDA SELVRKLGADEVIDYTLGSVEEQLKSLKLFDFILDNVGGSTETWALNFLKKWSGATYVTL VTPFLLNMDRLGVADGMLQTGVTVGTKALKHLWQGVHYRWAFFMASGPYLDEIAELVDAG KIRPVIERTFPFSEVPEAFLKVERGHARGKTVVNVV >ENSMUSP00000101131.1 pep:known chromosome:GRCm38:10:43921035:43947862:1 gene:ENSMUSG00000019864.13 transcript:ENSMUST00000105492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4ip1 description:reticulon 4 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2178759] MKAWGAHVTAVCSKDASELVRKLGADEVIDYTLGSVEEQLKSLKLFDFILDNVGGSTETW ALNFLKKWSGATYVTLVTPFLLNMDRLGVADGMLQTGVTVGTKALKHLWQGVHYRWAFFM ASGPYLDEIAELVDAGKIRPVIERTFPFSEVPEAFLKVERGHARGKTVVNVV >ENSMUSP00000099552.1 pep:known chromosome:GRCm38:11:77044292:77078435:-1 gene:ENSMUSG00000037958.13 transcript:ENSMUST00000102494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsrp1 description:nuclear speckle regulatory protein 1 [Source:MGI Symbol;Acc:MGI:2144305] MAIPGRQYGLILPKKTQPLHRVLQKPSVFGSDSDDDETSVSESLQREAAKKQAMKQTKLE IQKALAEDSTVYEYDSVYDEMQKKKEENNPKLLPGKDRKPKYIHNLLKAVEIRKKEQEKR MEKKIQREREMENGEFDDKEAFVTSAYKKKLEERAEEEEREKRAAALEAHLDVTKQKDLS GFYRHLLNQAVGEEAAPKSSFREARTVIKEEKLRGYPDETNSESRPPQQSCVLQRGAQEA EENPDADREFDDESSEDGEKRDHKVKSRGEDTGASMKHPKHHKNRAHSRSSSEERGLGTK HHSRGSQSRGHEHQDRQSRDQESCHKDRSHREEKSSHRHREASHKDHYWKKHEQEDKLKG REQEERQDREGKREKYSSREQERDRQRNDHDRYSEKEKKRKEKEEHTKARRERCEDSGKH REREKPEGHGQSSERHRDRRESSPRSRPKDDLDQERSSKARNTEKDKGEQGKPSHSETSL ATKHRLAEERPEKGSEQERPPEAVSKFAKRSNEETVMSARDRYLARQMARINAKTYIEKE DD >ENSMUSP00000118119.1 pep:known chromosome:GRCm38:11:77050635:77078037:-1 gene:ENSMUSG00000037958.13 transcript:ENSMUST00000127758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsrp1 description:nuclear speckle regulatory protein 1 [Source:MGI Symbol;Acc:MGI:2144305] MLRYGLILPKKTQPLHRVLQKPSVFGSDSDDDETSVSESLQREAAKKQAMKQTKLEIQKA LAEDSTVYEYDSVYDEMQ >ENSMUSP00000044903.8 pep:known chromosome:GRCm38:2:118111876:118127133:1 gene:ENSMUSG00000040152.8 transcript:ENSMUST00000039559.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thbs1 description:thrombospondin 1 [Source:MGI Symbol;Acc:MGI:98737] MELLRGLGVLFLLHMCGSNRIPESGGDNGVFDIFELIGGARRGPGRRLVKGQDLSSPAFR IENANLIPAVPDDKFQDLLDAVWADKGFIFLASLRQMKKTRGTLLAVERKDNTGQIFSVV SNGKAGTLDLSLSLPGKQQVVSVEEALLATGQWKSITLFVQEDRAQLYIDCDKMESAELD VPIQSIFTRDLASVARLRVAKGDVNDNFQGVLQNVRFVFGTTPEDILRNKGCSSSATNVL LTLDNNVVNGSSPAIRTNYIGHKTKDLQAICGLSCDELSSMVLELKGLRTIVTTLQDSIR KVTEENRELVSELKRPPLCFHNGVQYKNNEEWTVDSCTECHCQNSVTICKKVSCPIMPCS NATVPDGECCPRCWPSDSADDGWSPWSEWTSCSATCGNGIQQRGRSCDSLNNRCEGSSVQ TRTCHIQECDKRFKQDGGWSHWSPWSSCSVTCGDGVITRIRLCNSPSPQMNGKPCEGEAR ETKACKKDACPINGGWGPWSPWDICSVTCGGGVQRRSRLCNNPTPQFGGKDCVGDVTENQ VCNKQDCPIDGCLSNPCFAGAKCTSYPDGSWKCGACPPGYSGNGIQCKDVDECKEVPDAC FNHNGEHRCKNTDPGYNCLPCPPRFTGSQPFGRGVEHAMANKQVCKPRNPCTDGTHDCNK NAKCNYLGHYSDPMYRCECKPGYAGNGIICGEDTDLDGWPNENLVCVANATYHCKKDNCP NLPNSGQEDYDKDGIGDACDDDDDNDKIPDDRDNCPFHYNPAQYDYDRDDVGDRCDNCPY NHNPDQADTDKNGEGDACAVDIDGDGILNERDNCQYVYNVDQRDTDMDGVGDQCDNCPLE HNPDQLDSDSDLIGDTCDNNQDIDEDGHQNNLDNCPYVPNANQADHDKDGKGDACDHDDD NDGIPDDRDNCRLVPNPDQKDSDGDGRGDACKDDFDHDNVPDIDDICPENFDISETDFRQ FQMIPLDPKGTSQNDPNWVVRHQGKELVQTVNCDPGLAVGYDEFNAVDFSGTFFINTERD DDYAGFVFGYQSSSRFYVVMWKQVTQSYWDTNPTRAQGYSGLSVKVVNSTTGPGEHLRNA LWHTGNTPGQVRTLWHDPRHIGWKDFTAYRWRLSHRPKTGYIRVVMYEGKKIMADSGPIY DKTYAGGRLGLFVFSQEMVFFSDMKYECRDS >ENSMUSP00000139643.1 pep:known chromosome:GRCm38:9:105642981:105644759:1 gene:ENSMUSG00000032571.13 transcript:ENSMUST00000190358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r4 description:phosphatidylinositol 3 kinase, regulatory subunit, polypeptide 4, p150 [Source:MGI Symbol;Acc:MGI:1922919] MGNQLAGIAPSQILSVESYFSDIHDFEYDKSLGSTRFFKVARAKHREGLVVVKVFAIQDP TLPLTSYKQELEELKIRLHSAQNCLPFQKAAEKASEKAAMLFRQYVRDNLYDRISTRPFL NNIEKRWIAFQILTAVDQAHKSGVRHGDIKTENVMVTSWNWVLLTDFASFKPTY >ENSMUSP00000139427.1 pep:known chromosome:GRCm38:9:105643030:105687531:1 gene:ENSMUSG00000032571.13 transcript:ENSMUST00000191268.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r4 description:phosphatidylinositol 3 kinase, regulatory subunit, polypeptide 4, p150 [Source:MGI Symbol;Acc:MGI:1922919] MGNQLAGIAPSQILSVESYFSDIHDFEYDKSLGSTRFFKVARAKHREGLVVVKVFAIQDP TLPLTSYKQELEELKIRLHSAQNCLPFQKAAEKASEKAAMLFRQYVRDNLYDRISTRPFL NNIEKRWIAFQILTAVDQAHKSGVRHGDIKTENVMVTSWNWVLLTDFASFKPTYLPEDNP ADFNYFFDTSRRRTCYIAPERFVDGGMFATELEYMRDPSTPLVDLNSNQRARGELKRAMD IFSAGCVIAELFTEGVPLFDLSQLLAYRNGHFFPEQVLNKIEDRSIRDLVTQMINREPEK RLEAEDYLKQQRGNAFPEIFYTFLQPYMAQFAKETFLSADERILVIRKDLGNIIHNLCGH DLPEKAEGESRASGLVVLVSVITSCLQTLKSCDSKLAALELILHLAPRLSVEILLDRITP YLLHFSNDSVPRVRAEALRTLTKVLALVQEVPRNDVNIYPEYILPGIAHLAQDDATIVRL AYAENIALLAETALRFLELVQLKTLNMENEPDNEEVDEATRPNGDYDTELQALHEMVQQK VVTLLSDPENIVKQTLMESGITRLCVFFGRQKANDVLLSHMITFLNDKNDWHLRGAFFDS IVGVAAYVGWQSSSILKPLLQQGLSDAEEFVIVKALNALTCMCQLGLLQKPHVYEFASDI APFLCHPNLWIRYGAVGFITVVAHQISTADVYCKLMPYLDPYITQPVIQIERKLVLLSVL KEPVSRSIFDYALRSKDIASLFRHLHMRQKKRNGSLLDCPPPEDPTIAQLLKKLLSQGMT EEEEDKLLALKDFMMKSNRAKANAVDQSHLHDSSQKGVIDLAALGITGRQVDLVKTKQEP DEKRARKHVKQDSNVNEEWKSMFGSLEPPNIPQALPKTSDHEVVQPGKPPRSESSAGICV PLSTSPQVSEAAHIPSKKPVIPVVSSTVLPSTYQIRITTCKTELQQLIQQKREQCNAERI AKQMMENAEWESKPPPPGWRPKGLLVAHLHEHKSAVNRIRVSDEHLLFATCSNDGTVKIW NSQKMEGKTTTTRSILTYSRIGGRVKTLTFCQGSHYLAIASDNGAVQLLGIEASKLPKSP KIHPLQSRILDQKEDGCVVDMHHFNSGAQSVLAYATVNGSLVGWDLRSSSNAWTLKHDLK SGLITSFAVDIHQCWLCIGTSSGAMACWDMRFQLPISSHCHPSRARIRRLSMHPLYQSWV IAAVQGNNEVSMWDMETGDRRLTLWASSAPPLSELQPSPHSVHGIYCSPADGNPILLTAG SDMKIRFWDLVSPERSYVVAGSTGSPSVSYYKKIIEGTEVVQEIQNKQKVGPSDDTPRRG PESLPVGHHDIITDIATFQTTQGFIVTASRDGIVKVWK >ENSMUSP00000067400.6 pep:known chromosome:GRCm38:9:105643170:105687657:1 gene:ENSMUSG00000032571.13 transcript:ENSMUST00000065778.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r4 description:phosphatidylinositol 3 kinase, regulatory subunit, polypeptide 4, p150 [Source:MGI Symbol;Acc:MGI:1922919] MGNQLAGIAPSQILSVESYFSDIHDFEYDKSLGSTRFFKVARAKHREGLVVVKVFAIQDP TLPLTSYKQELEELKIRLHSAQNCLPFQKAAEKASEKAAMLFRQYVRDNLYDRISTRPFL NNIEKRWIAFQILTAVDQAHKSGVRHGDIKTENVMVTSWNWVLLTDFASFKPTYLPEDNP ADFNYFFDTSRRRTCYIAPERFVDGGMFATELEYMRDPSTPLVDLNSNQRARGELKRAMD IFSAGCVIAELFTEGVPLFDLSQLLAYRNGHFFPEQVLNKIEDRSIRDLVTQMINREPEK RLEAEDYLKQQRGNAFPEIFYTFLQPYMAQFAKETFLSADERILVIRKDLGNIIHNLCGH DLPEKAEGESRASGLVVLVSVITSCLQTLKSCDSKLAALELILHLAPRLSVEILLDRITP YLLHFSNDSVPRVRAEALRTLTKVLALVQEVPRNDVNIYPEYILPGIAHLAQDDATIVRL AYAENIALLAETALRFLELVQLKTLNMENEPDNEEVDEATRPNGDYDTELQALHEMVQQK VVTLLSDPENIVKQTLMESGITRLCVFFGRQKANDVLLSHMITFLNDKNDWHLRGAFFDS IVGVAAYVGWQSSSILKPLLQQGLSDAEEFVIVKALNALTCMCQLGLLQKPHVYEFASDI APFLCHPNLWIRYGAVGFITVVAHQISTADVYCKLMPYLDPYITQPVIQIERKLVLLSVL KEPVSRSIFDYALRSKDIASLFRHLHMRQKKRNGSLLDCPPPEDPTIAQLLKKLLSQGMT EEEEDKLLALKDFMMKSNRAKANAVDQSHLHDSSQKGVIDLAALGITGRQVDLVKTKQEP DEKRARKHVKQDSNVNEEWKSMFGSLEPPNIPQALPKTSDHEVVQPGKPPRSESSAGICV PLSTSPQVSEAAHIPSKKPVIPVVSSTVLPSTYQIRITTCKTELQQLIQQKREQCNAERI AKQMMENAEWESKPPPPGWRPKGLLVAHLHEHKSAVNRIRVSDEHLLFATCSNDGTVKIW NSQKMEGKTTTTRSILTYSRIGGRVKTLTFCQGSHYLAIASDNGAVQLLGIEASKLPKSP KIHPLQSRILDQKEDGCVVDMHHFNSGAQSVLAYATVNGSLVGWDLRSSSNAWTLKHDLK SGLITSFAVDIHQCWLCIGTSSGAMACWDMRFQLPISSHCHPSRARIRRLSMHPLYQSWV IAAVQGNNEVSMWDMETGDRRLTLWASSAPPLSELQPSPHSVHGIYCSPADGNPILLTAG SDMKIRFWDLVSPERSYVVAGSTGSPSVSYYKKIIEGTEVVQEIQNKQKVGPSDDTPRRG PESLPVGHHDIITDIATFQTTQGFIVTASRDGIVKVWK >ENSMUSP00000140988.1 pep:known chromosome:GRCm38:9:105643201:105648996:1 gene:ENSMUSG00000032571.13 transcript:ENSMUST00000188784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r4 description:phosphatidylinositol 3 kinase, regulatory subunit, polypeptide 4, p150 [Source:MGI Symbol;Acc:MGI:1922919] MGNQLAGIAPSQILSVESYFSDIHDFEYDKSLGSTRFFKVARAKHREGLVVVKVFAIQDP TLPLTSYKQELEELKIRLHSAQNCLPFQKAAEKASEKAAMLFRQYVRDNLYDRISTRPFL NNIEKRWIAFQILTAVDQAHKSGVRHGDIKTENVMVTSWNWVLLTDFASFKPTYLPEDNP ADFNYFFDTSRRRTCYIAPERFVDGGMFATELEYMRDPSTPLVDLNSNQRARGELKRAMD IFSAGCVIAELFTEGVPLFDLSQLLAYRNGHFFPEQVLNKIEDRSIRDLVTMLQIRAIEF YLTMS >ENSMUSP00000140311.1 pep:known chromosome:GRCm38:9:105658389:105668999:1 gene:ENSMUSG00000032571.13 transcript:ENSMUST00000186943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r4 description:phosphatidylinositol 3 kinase, regulatory subunit, polypeptide 4, p150 [Source:MGI Symbol;Acc:MGI:1922919] MCQLGLLQKPHVYEFASDIAPFLCHPNLWIRYGAVGFITVVAHQISTADVYCKLMPYLDP YITQPVIQIERKLVLLSVLKEPVSRSIFDYALRSKDIASLFRHLHMRQKKRNGSLLDCPP PEDPTIAQLLKKLLSQGMTEEEEDKLLALKDFMMKSNRAKANAVDQSHLHDSSQKGVIDL AALGITGRQVDLVKTKQEPDEKRARKHV >ENSMUSP00000113917.1 pep:known chromosome:GRCm38:9:71626509:71771602:-1 gene:ENSMUSG00000032232.14 transcript:ENSMUST00000121322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cgnl1 description:cingulin-like 1 [Source:MGI Symbol;Acc:MGI:1915428] MELYFGEYQHVQQEYGVHLRLASGDTPKPRNSQPSKAGSYGVSIRVQGIDGHPYIVLNNT ERCLAGTPFPENAPSFPSSVINNLSLHPSNGTVLKENTPEELQLPENPYLQTSPLRGQKQ FSLHEGRNGVLERKDGPTKLPHVLNFQRHPELLQPYDPEKNEVNAKKHHPPESPWLRNAT EDGTNCKKSRNCFPKSYGSQPNSPTSEDLAKTNMTAIRLCSSVVIEDPQKQTSVCVNVQR CAKEGVGEETLSPRRKSPTAPSPQAYSETKKNRPDVLPFRRQDSAGPILDGARSRRSSSS STTPTSATSLYKFLLDDQECAIHADSVNRHENRRYIPFLPGTGRDIDTCSIPGVDQLIEK FDQKPGLQRRGRSGKRNRINPDDRKRSRSVDSAFPFGLQGNTEYLTEFSRNLGKSSEHLL RPSQVFPQRSVAQEHRGKHSPSSPPAKLQGAQGAHPKPPLQNKDGKVLNKGRQESTGACA PSLPAPNKKEEEIKIATATLMLQNRAVAATSDSGAKKISVKTFPSDSSTQATPDLLKGQQ ELTQQTNEETAKQILYNYLKEGGTDNEDATKRKVNLVFEKIQTLKSRAAGSAQGSNQAPN SPSEGNSLLDQKNKLILEVSELQQQLQLEMKNQQNIKEERERMREDLEELRVRHQSQVEE TATLQRRLEESEGELRKSLEELFQVKMEREQHQTEIRDLQDQLSEMHDELDSTKRSEDRE KGALIENVEVLASRSNSSEQSQAEADLREKVLKEENEKLQGRIAELERRAAQLQRQMEDV KGDEAQAKETLRKCESEVQQLEEALVHARKEEKEATCARRALEKELEQARRELSQVSQEQ KELLEKLRDEAEQKEQLRKLKNEMESERWHLDKTIEKLQKEMADIAEASRTSSLELQKQL GEYKEKNRRELAEMQTQLKEKCLEVEKARLAASKMQDELRLKEEELQDYQRAEEEALTKR QLLEQSLKDLEYELEAKSHLKDDRSRLIKQMEDKVSQLEIELEEERTNADLLSERITWSR EQMEQMRSELLQEKAAKQDLECDKISLERQNKDLKSRIIHLEGSYRSSKEGLVVQMEARI AELEDRLENEERDRANLQLSNRRLERKVKELVMQVDDEHLSLTDQKDQLSLRLKAMKRQV EEAEEEIDRLESSKKKLQRELEEQMGVNEQLQGQLNSLKKGLRLKTLSSKVLDDSDDDDL SSDAGSLYEAPLSYAFPKDSTIASQI >ENSMUSP00000112479.1 pep:known chromosome:GRCm38:9:71629211:71771560:-1 gene:ENSMUSG00000032232.14 transcript:ENSMUST00000122065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cgnl1 description:cingulin-like 1 [Source:MGI Symbol;Acc:MGI:1915428] MELYFGEYQHVQQEYGVHLRLASGDTPKPRNSQPSKAGSYGVSIRVQGIDGHPYIVLNNT ERCLAGTPFPENAPSFPSSVINNLSLHPSNGTVLKENTPEELQLPENPYLQTSPLRGQKQ FSLHEGRNGVLERKDGPTKLPHVLNFQRHPELLQPYDPEKNEVNAKKHHPPESPWLRNAT EDGTNCKKSRNCFPKSYGSQPNSPTSEDLAKTNMTAIRLCSSVVIEDPQKQTSVCVNVQR CAKEGVGEETLSPRRKSPTAPSPQAYSETKKNRPDVLPFRRQDSAGPILDGARSRRSSSS STTPTSATSLYKFLLDDQECAIHADSVNRHENRRYIPFLPGTGRDIDTCSIPGVDQLIEK FDQKPGLQRRGRSGKRNRINPDDRKRSRSVDSAFPFGLQGNTEYLTEFSRNLGKSSEHLL RPSQVFPQRSVAQEHRGKHSPSSPPAKLQGAQGAHPKPPLQNKDGKVLNKGRQESTGACA PSLPAPNKKEEEIKIATATLMLQNRAVAATSDSGAKKISVKTFPSDSSTQATPDLLKGQQ ELTQQTNEETAKQILYNYLKEGGTDNEDATKRKVNLVFEKIQTLKSRAAGSAQGSNQAPN SPSEGNSLLDQKNKLILEVSELQQQLQLEMKNQQNIKEERERMREDLEELRVRHQSQVEE TATLQRRLEESEGELRKSLEELFQVKMEREQHQTEIRDLQDQLSEMHDELDSTKRSEDRE KGALIEELLQAKQDLQDLLIAKEEQEDLLRKRERELTALKGALKEEVSSHDQEMDKLKEQ YDAELQALRESVEEATKNVEVLASRSNSSEQSQAEADLREKVLKEENEKLQGRIAELERR AAQLQRQMEDVKGDEAQAKETLRKCESEVQQLEEALVHARKEEKEATCARRALEKELEQA RRELSQVSQEQKELLEKLRDEAEQKEQLRKLKNEMESERWHLDKTIEKLQKEMADIAEAS RTSSLELQKQLGEYKEKNRRELAEMQTQLKEKCLEVEKARLAASKMQDELRLKEEELQDY QRAEEEALTKRQLLEQSLKDLEYELEAKSHLKDDRSRLIKQMEDKVSQLEIELEEERTNA DLLSERITWSREQMEQMRSELLQEKAAKQDLECDKISLERQNKDLKSRIIHLEGSYRSSK EGLVVQMEARIAELEDRLENEERDRANLQLSNRRLERKVKELVMQVDDEHLSLTDQKDQL SLRLKAMKRQVEEAEEEIDRLESSKKKLQRELEEQMGVNEQLQGQLNSLKKGLRLKTLSS KVLDDSDDDDLSSDAGSLYEAPLSYAFPKDSTIASQI >ENSMUSP00000072672.2 pep:known chromosome:GRCm38:9:71626509:71771602:-1 gene:ENSMUSG00000032232.14 transcript:ENSMUST00000072899.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cgnl1 description:cingulin-like 1 [Source:MGI Symbol;Acc:MGI:1915428] MELYFGEYQHVQQEYGVHLRLASGDTPKPRNSQPSKAGSYGVSIRVQGIDGHPYIVLNNT ERCLAGTPFPENAPSFPSSVINNLSLHPSNGTVLKENTPEELQLPENPYLQTSPLRGQKQ FSLHEGRNGVLERKDGPTKLPHVLNFQRHPELLQPYDPEKNEVNAKKHHPPESPWLRNAT EDGTNCKKSRNCFPKSYGSQPNSPTSEDLAKTNMTAIRLCSSVVIEDPQKQTSVCVNVQR CAKEGVGEETLSPRRKSPTAPSPQAYSETKKNRPDVLPFRRQDSAGPILDGARSRRSSSS STTPTSATSLYKFLLDDQECAIHADSVNRHENRRYIPFLPGTGRDIDTCSIPGVDQLIEK FDQKPGLQRRGRSGKRNRINPDDRKRSRSVDSAFPFGLQGNTEYLTEFSRNLGKSSEHLL RPSQVFPQRSVAQEHRGKHSPSSPPAKLQGAQGAHPKPPLQNKDGKVLNKGRQESTGACA PSLPAPNKKEEEIKIATATLMLQNRAVAATSDSGAKKISVKTFPSDSSTQATPDLLKGQQ ELTQQTNEETAKQILYNYLKEGGTDNEDATKRKVNLVFEKIQTLKSRAAGSAQGSNQAPN SPSEGNSLLDQKNKLILEVSELQQQLQLEMKNQQNIKEERERMREDLEELRVRHQSQVEE TATLQRRLEESEGELRKSLEELFQVKMEREQHQTEIRDLQDQLSEMHDELDSTKRSEDRE KGALIEELLQAKQDLQDLLIAKEEQEDLLRKRERELTALKGALKEEVSSHDQEMDKLKEQ YDAELQALRESVEEATKNVEVLASRSNSSEQSQAEADLREKVLKEENEKLQGRIAELERR AAQLQRQMEDVKGDEAQAKETLRKCESEVQQLEEALVHARKEEKEATCARRALEKELEQA RRELSQVSQEQKELLEKLRDEAEQKEQLRKLKNEMESERWHLDKTIEKLQKEMADIAEAS RTSSLELQKQLGEYKEKNRRELAEMQTQLKEKCLEVEKARLAASKMQDELRLKEEELQDY QRAEEEALTKRQLLEQSLKDLEYELEAKSHLKDDRSRLIKQMEDKVSQLEIELEEERTNA DLLSERITWSREQMEQMRSELLQEKAAKQDLECDKISLERQNKDLKSRIIHLEGSYRSSK EGLVVQMEARIAELEDRLENEERDRANLQLSNRRLERKVKELVMQVDDEHLSLTDQKDQL SLRLKAMKRQVEEAEEEIDRLESSKKKLQRELEEQMGVNEQLQGQLNSLKKGLRLKTLSS KVLDDSDDDDLSSDAGSLYEAPLSYAFPKDSTIASQI >ENSMUSP00000076665.3 pep:known chromosome:GRCm38:8:21095074:21096050:-1 gene:ENSMUSG00000061958.5 transcript:ENSMUST00000077452.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14851 description:predicted gene 14851 [Source:MGI Symbol;Acc:MGI:3709605] MKTLVLLSALALLAFQVQADPIQNTDEETKTEEQPEEEDQAVSVSFGGTEGSALQDVAQR RFLWCRKCPVCQKCQVCQKCPVCPTCPQCPKQPLCEERQNKTAITTQAPNTQHKGC >ENSMUSP00000076253.6 pep:known chromosome:GRCm38:2:25842995:25847248:-1 gene:ENSMUSG00000059625.6 transcript:ENSMUST00000076989.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sohlh1 description:spermatogenesis and oogenesis specific basic helix-loop-helix 1 [Source:MGI Symbol;Acc:MGI:2684956] MASGGHERANEDYRVSGITGCSKTPQPETQDSLQTSSQSSALCTAPVAAANLGPSLRRNV VSERERRRRISLSCEHLRALLPQFDGRREDMASVLEMSVYFLQLAHSMDPSWEQLSVPQP PQEMWHMWQGDVLQVTLANQIADSKPDSGIAKPSAVSRVQDPPCFGMLDTDQSQATERES ELLERPSSCPGHRQSALSFSEPESSSLGPGLPPWIPHSWQPATPEASDIVPGGSHQVASL AGDPESSGMLAEEANLVLASVPDARYTTGAGSDVVDGAPFLMTTNPDWWLGSVEGRGGPA LARSSPVDGAEPSFIGDPELCSQELQAGPGELWGLDFGSPGLALKDEADSIFPDFFP >ENSMUSP00000033609.2 pep:known chromosome:GRCm38:X:134059197:134086819:1 gene:ENSMUSG00000031256.11 transcript:ENSMUST00000033609.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstf2 description:cleavage stimulation factor, 3' pre-RNA subunit 2 [Source:MGI Symbol;Acc:MGI:1343054] MAGLPVRDPAVDRSLRSVFVGNIPYEATEEQLKDIFSEVGPVVSFRLVYDRETGKPKGYG FCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGTGAPVIESPYGESIS PEDAPESISKAVASLPPEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYALLQAQVVMR IVDPEIALKILHRQTNIPTLISGNPQPVHVAGPGSGPNVSMNQQNPQAPQAQSLGGMHVN GAPPMMQASMPGGVPAPVQMAAAVGGPGPGSLAPAGVMQAQVGMQGAGPVPMERGQVPMQ DPRAAMQRGALPTNVPTPRGLLGDAPNDPRGGTLMTVTGDVEPRAYLGPPPPPHQGPPMH HVPGHEGRGPPPHDMRGGPLAEPRPLMAEPRGPMLDQRGPPLDARGGRDPRGLDARGMEA RAMEARGLDARGLEARAMEARAMEARAMEARAMEARAMEARAMEARGMDTRGPVPGPRGP MPSGIQGPNPMNMGAVVPQGSRQVPVMQGAGMQGASMQGGSQPGGFSPGQSQVTPQDHEK AALIMQVLQLTADQIAMLPPEQRQSILILKEQIQKSTGAP >ENSMUSP00000108912.1 pep:known chromosome:GRCm38:X:134059187:134086046:1 gene:ENSMUSG00000031256.11 transcript:ENSMUST00000113287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstf2 description:cleavage stimulation factor, 3' pre-RNA subunit 2 [Source:MGI Symbol;Acc:MGI:1343054] MAGLPVRDPAVDRSLRSVFVGNIPYEATEEQLKDIFSEVGPVVSFRLVYDRETGKPKGYG FCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGTGAPVIESPYGESIS PEDAPESISKAVASLPPEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYALLQAQVVMR IVDPEIALKILHRQTNIPTLISGNPQPVHVAGPGSGPNVSMNQQNPQAPQAQSLGGMHVN GAPPMMQASMPGGVPAPVQMAAAVGGPGPGSLAPAGVMQAQVGMQGAGPVPMERGQGDAP NDPRGGTLMTVTGDVEPRAYLGPPPPPHQGPPMHHVPGHEGRGPPPHDMRGGPLAEPRPL MAEPRGPMLDQRGPPLDARGGRDPRGLDARGMEARAMEARGLDARGLEARAMEARAMEAR AMEARAMEARAMEARAMEARGMDTRGPVPGPRGPMPSGIQGPNPMNMGAVVPQGSRQVPV MQGAGMQGASMQGGSQPGGFSPGQSQVTPQDHEKAALIMQVLQLTADQIAMLPPEQRQSI LILKEQIQKSTGAP >ENSMUSP00000117592.1 pep:known chromosome:GRCm38:X:134062397:134074273:1 gene:ENSMUSG00000031256.11 transcript:ENSMUST00000156778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstf2 description:cleavage stimulation factor, 3' pre-RNA subunit 2 [Source:MGI Symbol;Acc:MGI:1343054] XRNMLLQNPQLAYALLQAQVVMRIVDPEIALKILHRQTNIPTLISGNPQPVHVAGPGSGP NVSMNQQNPQAPQAQSLGGMHVNGAPPMMQASMPGGVPAPVQMAAAVGGPGPGSLAPAGV MQAQVGMQGAGPVPMERGQGTLQHSPVGPAGPASIERVQGQRTWMIWASVRGSTPSLLVS GGLDGIAVCDAPNDPRGGTLMTVTGDVEPRAYLGPPPPPHQGPPMHHVPGHEGRGPPPHD MRGGPLAEPRPLMAEPRGP >ENSMUSP00000114837.1 pep:known chromosome:GRCm38:X:134059334:134062633:1 gene:ENSMUSG00000031256.11 transcript:ENSMUST00000144483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstf2 description:cleavage stimulation factor, 3' pre-RNA subunit 2 [Source:MGI Symbol;Acc:MGI:1343054] SAPEATSGVSHGGFASERPCGGSFPALRLRLVYDRETGKPKGYGFCEYQDQETALSAMRN LNGREFSGRALRVDNAASEKNKEELKSLGTGAPVIESPYGESISPEDAPESISKAVASLP PEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYALLQAQVVMRIVDPEIALKILHRQTN IPTL >ENSMUSP00000117806.1 pep:known chromosome:GRCm38:X:134066261:134074310:1 gene:ENSMUSG00000031256.11 transcript:ENSMUST00000136510.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstf2 description:cleavage stimulation factor, 3' pre-RNA subunit 2 [Source:MGI Symbol;Acc:MGI:1343054] GGMHVNGAPPMMQASMPGGVPAPVQMAAAVGGPGPGSLAPAGVMQAQVGMQGAGPVPMER GQGTLQHSPVGPAGPASIERVQVPMQDPRAAMQRGALPTNVPTPRGLLGDAPNDPRGGTL MTVTGDVEPRAYLGPPPPPHQGPPMHHVPGHEGRGPPPHDMRGGPLAEPRPLMAEPRGPM LDQRGPPLDAR >ENSMUSP00000108911.1 pep:known chromosome:GRCm38:X:134059215:134075863:1 gene:ENSMUSG00000031256.11 transcript:ENSMUST00000113286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstf2 description:cleavage stimulation factor, 3' pre-RNA subunit 2 [Source:MGI Symbol;Acc:MGI:1343054] MAGLPVRDPAVDRSLRSVFVGNIPYEATEEQLKDIFSEVGPVVSFRLVYDRETGKPKGYG FCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGTGAPVIESPYGESIS PEDAPESISKAVASLPPEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYALLQAQVVMR IVDPEIALKILHRQTNIPTLISGNPQPVHVAGPGSGPNVSMNQQNPQAPQAQSLGGMHVN GAPPMMQASMPGGVPAPVQMAAAVGGPGPGSLAPAGVMQAQVGMQGAGPVPMERGQVPMQ DPRAAMQRGALPTNVPTPRGLLGDAPNDPRGGTLMTVTGDVEPRAYLGPPPPPHQGPPMH HVPGHEGRGPPPHDMRGGPLAEPRPLMAEPRGPMLDQRGPPLDARGGRDPRGLDARGMEA RAMEARGLDARGLEARAMEARAMEARAMEARAMEARAMEARAMEARGMDTRGPVPGPRGP MPSGIQGPNPMNMGAVVPQGSRQVMLVAYT >ENSMUSP00000030665.6 pep:known chromosome:GRCm38:4:133532542:133545996:-1 gene:ENSMUSG00000028851.6 transcript:ENSMUST00000030665.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudc description:nuclear distribution gene C homolog (Aspergillus) [Source:MGI Symbol;Acc:MGI:106014] MGGEQEEERFDGMLLAMAQQHEGGVQELVNTFFSFLRRKTDFFIGGEEGMAEKLITQTFN HHNQLAQKARREKRARQETERREKAERAARLAKEAKAETPGPQIKELTDEEAERLQLEID QKKDAEDQEAQLKNGSLDSPGKQDAEDEEDEEDEKDKGKLKPNLGNGADLPNYRWTQTLA ELDLAVPFRVSFRLKGKDVVVDIQRRHLRVGLKGQPPVVDGELYNEVKVEESSWLIEDGK VVTVHLEKINKMEWWNRLVTSDPEINTKKINPENSKLSDLDSETRSMVEKMMYDQRQKSM GLPTSDEQKKQEILKKFMDQHPEMDFSKAKFN >ENSMUSP00000104992.3 pep:known chromosome:GRCm38:2:164354070:164356507:-1 gene:ENSMUSG00000017002.14 transcript:ENSMUST00000109367.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slpi description:secretory leukocyte peptidase inhibitor [Source:MGI Symbol;Acc:MGI:109297] MKSCGLLPFTVLLALGILAPWTVEGGKNDAIKIGACPAKKPAQCLKLEKPQCRTDWECPG KQRCCQDACGSKCVNPVPIRKPVWRKPGRCVKTQARCMMLNPPNVCQRDGQCDGKYKCCE GICGKVCLPPM >ENSMUSP00000128025.1 pep:known chromosome:GRCm38:2:164354162:164356067:-1 gene:ENSMUSG00000017002.14 transcript:ENSMUST00000165980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slpi description:secretory leukocyte peptidase inhibitor [Source:MGI Symbol;Acc:MGI:109297] MKFQDAIKIGACPAKKPAQCLKLEKPQCRTDWECPGKQRCCQDACGSKCVNPVPIRKPVW RKPGRCVKTQARCMMLNPPNVCQRDGQCDGKYKCCEGICGKVCLPPM >ENSMUSP00000129629.1 pep:known chromosome:GRCm38:2:164355595:164389095:-1 gene:ENSMUSG00000017002.14 transcript:ENSMUST00000167427.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slpi description:secretory leukocyte peptidase inhibitor [Source:MGI Symbol;Acc:MGI:109297] MKSCGLLPFTVLLALGILAPWTVEGGKNDAIKIG >ENSMUSP00000092355.3 pep:known chromosome:GRCm38:7:19606217:19629438:-1 gene:ENSMUSG00000002983.17 transcript:ENSMUST00000094762.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Relb description:avian reticuloendotheliosis viral (v-rel) oncogene related B [Source:MGI Symbol;Acc:MGI:103289] MPSRRAARESAPELGALGSSDLSSLSLTVSRTTDELEIIDEYIKENGFGLDGTQLSEMPR LVPRGPASLSSVTLGPAAPPPPATPSWSCTLGRLVSPGPCPRPYLVITEQPKQRGMRFRY ECEGRSAGSILGESSTEASKTLPAIELRDCGGLREVEVTACLVWKDWPHRVHPHSLVGKD CTDGVCRVRLRPHVSPRHSFNNLGIQCVRKKEIEAAIERKIQLGIDPYNAGSLKNHQEVD MNVVRICFQASYRDQQGHLHRMDPILSEPVYDKKSTNTSELRICRINKESGPCTGGEELY LLCDKVQKEDISVVFSTASWEGRADFSQADVHRQIAIVFKTPPYEDLEISEPVTVNVFLQ RLTDGVCSEPLPFTYLPRDHDSYGVDKKRKRGLPDVLGELSSSDPHGIESKRRKKKPVFL DHFLPGHSSGLFLPPSALQPADSDFFPASISLPGLEPPGGPDLLDDGFAYDPSAPTLFTM LDLLPPAPPLASAVVGSGGAGATVVESSGPEPLSLDSFAAPGPGDVGTASLVGSNMFPNQ YREAAFGGGLLSPGPEAT >ENSMUSP00000050166.8 pep:known chromosome:GRCm38:7:19606217:19629438:-1 gene:ENSMUSG00000002983.17 transcript:ENSMUST00000049912.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Relb description:avian reticuloendotheliosis viral (v-rel) oncogene related B [Source:MGI Symbol;Acc:MGI:103289] MPSRRAARESAPELGALGSSDLSSLSLTVSRTTEIIDEYIKENGFGLDGTQLSEMPRLVP RGPASLSSVTLGPAAPPPPATPSWSCTLGRLVSPGPCPRPYLVITEQPKQRGMRFRYECE GRSAGSILGESSTEASKTLPAIELRDCGGLREVEVTACLVWKDWPHRVHPHSLVGKDCTD GVCRVRLRPHVSPRHSFNNLGIQCVRKKEIEAAIERKIQLGIDPYNAGSLKNHQEVDMNV VRICFQASYRDQQGHLHRMDPILSEPVYDKKSTNTSELRICRINKESGPCTGGEELYLLC DKVQKEDISVVFSTASWEGRADFSQADVHRQIAIVFKTPPYEDLEISEPVTVNVFLQRLT DGVCSEPLPFTYLPRDHDSYGVDKKRKRGLPDVLGELSSSDPHGIESKRRKKKPVFLDHF LPGHSSGLFLPPSALQPADSDFFPASISLPGLEPPGGPDLLDDGFAYDPSAPTLFTMLDL LPPAPPLASAVVGSGGAGATVVESSGPEPLSLDSFAAPGPGDVGTASLVGSNMFPNQYRE AAFGGGLLSPGPEAT >ENSMUSP00000147172.1 pep:known chromosome:GRCm38:7:19606553:19629248:-1 gene:ENSMUSG00000002983.17 transcript:ENSMUST00000208087.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Relb description:avian reticuloendotheliosis viral (v-rel) oncogene related B [Source:MGI Symbol;Acc:MGI:103289] MPSRRAARESAPELGALGSSDLSSLSLTVSRTTDELEIIDEYIKENGFGLDGTQLSEMPR LVPRGPASLSSVTLGPAAPPPPATPSWSCTLGRLVSPGPCPRPYLVITEQPKQRGMRFRY ECEGRSAGSILGESSTEASKTLPAIEL >ENSMUSP00000146669.1 pep:known chromosome:GRCm38:7:19617773:19628473:-1 gene:ENSMUSG00000002983.17 transcript:ENSMUST00000153309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Relb description:avian reticuloendotheliosis viral (v-rel) oncogene related B [Source:MGI Symbol;Acc:MGI:103289] MPRLVPRGPASLSSVTLGPAAPPPPATPSWSCTLGRLVSPGPCPRPYLVITEQPKQRGMR FRYECEGRSAGSILGESSTEASKTLPAIELRDCGGLREVEVTACLVWKDWPHRVHPHSLV GKDCTDGVCRVRLRPHVS >ENSMUSP00000146643.1 pep:known chromosome:GRCm38:7:19617837:19628434:-1 gene:ENSMUSG00000002983.17 transcript:ENSMUST00000141586.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Relb description:avian reticuloendotheliosis viral (v-rel) oncogene related B [Source:MGI Symbol;Acc:MGI:103289] MPRLVPRGPASLSSVTLGPAAPPPPATPSWSCTLGRLVSPGPCPRPYLVITEQPKQRGMR FRYECEGRSAGSILGESSTEASKTLPAIELRDCGGLREVEVTACLVWKDWPHRVHP >ENSMUSP00000096350.4 pep:known chromosome:GRCm38:7:19606223:19629438:-1 gene:ENSMUSG00000002983.17 transcript:ENSMUST00000098754.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Relb description:avian reticuloendotheliosis viral (v-rel) oncogene related B [Source:MGI Symbol;Acc:MGI:103289] MPSRRAARESAPELGALGSSDLSSLSLTVSRTTDELEIIDEYIKENGFGLDGTQLSEMPR LVPRGPASLSSVTLGPAAPPPPATPSWSCTLGRLVSPGPCPRPYLVITEQPKQRGMRFRY ECEGRSAGSILGESSTEASKTLPAIELRDCGGLREVEVTACLVWKDWPHRVHPHSLVGKD CTDGVCRVRLRPHVSPRHSFNNLGIQCVRKKEIEAAIERKIQLGIDPYNAGSLKNHQEVD MNVVRICFQASYRDQQGHLHRMDPILSEPVYDKKSTNTSELRICRINKESGPCTGGEELY LLCDKVQKEDISVVFSTASWEGRADFSQADVHRQIAIVFKTPPYEDLEISEPVTVNVFLQ RLTDGVCSEPLPFTYLPRDHDSYGVDKKRKRGLPDVLGELSSSDPHGIESKRRKKKPVFL DHFLPGHSSGLFLPPSALQPADSDFFPASISLPGLEPPGGPDLLDDGFAYDPSAPTLFTM LDLLPPAPPLASAVVGSGGAGATVVESSGPEPLSLDSFAAPGPGDVGTASLVGSNMFPNQ YREAAFGGGLLSPGPEAT >ENSMUSP00000077139.1 pep:known chromosome:GRCm38:2:130195194:130264445:1 gene:ENSMUSG00000060332.9 transcript:ENSMUST00000077988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc2 description:transmembrane channel-like gene family 2 [Source:MGI Symbol;Acc:MGI:2151017] MSPQLKSLDEEGDKSARRPTRKQTSRAACPQDGHRAQSSRKDPAKGSPRPGSSRKKQMEH GSYHKGLQGQKPRKVERSLQGRKKDRRTSLKEQRASPKKEREALRKEAGKQLRKPRSTSL GSSVSTGDSLSEEELAQILEQVEEKKKLITTVRNKPWPMAKKLRELREAQAFVEKYEGAL GKGKGKHLYAYRMMMAKKWVKFKRDFDNFKTQCIPWEMKIKDIESHFGSSVASYFIFLRW MYGVNLVLFGLIFGLVIIPEVLMGMPYGSIPRKTVPRAEEERAMDFSVLWDFEGYIKYSA LFYGYYNNQRTIGWLRYRLPMAYFMVGVSVFGYSLMIVIRSMASNTQGSTSEGDSDSFTF SFKMFTSWDYLIGNSETADNKYVSITTSFKESIVDEQESNKEGNIHLTRFLRVLANFLIL CCLCGSGYLIYFVVKRSQEFSKMQNVSWYERNEVEIVMSLLGMFCPPLFETIAALENYHP RTGLKWQLGRIFALFLGNLYTFLLALMDDVHLKLSNEEKIKNITHWTLFNYYNSSGGNES VPRPPPHPADVPRGSCWETAVGIEFMRLTVSDMLVTYLTILVGDFLRACFVRFMNHCWCW DLEAGFPSYAEFDISGNVLGLIFNQGMIWMGSFYAPGLVGINVLRLLTSMYFQCWAVMSS NVPHERVFKASRSNNFYMGLLLLVLFLSLLPVAYTVMSLPPSFDCGPFSGKNRMYDVLHE TIENDFPKFLGKIFAFLANPGLIIPAILLMFLAIYYLNSVSKSLSRANAQLRKKIQALRE VEKNHKSIKGKAIVTYSEDTIKNSSKNATQIHLTKEEPTSHSSSQIQTLDKKAQGPHTSS TEGGASPSTSWHHVGSQPPRGRRDSGQPQSQTYTGRSPSGKRTQRPHN >ENSMUSP00000125843.2 pep:known chromosome:GRCm38:2:130196543:130264217:1 gene:ENSMUSG00000060332.9 transcript:ENSMUST00000166774.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc2 description:transmembrane channel-like gene family 2 [Source:MGI Symbol;Acc:MGI:2151017] MSPQLKSLDEEGDKSARRPTRKQTSRAACPQDGHRAQSSRKDPAKGSPRPGSSRKKQMEH GSYHKGLQGQKPRKVERSLQGRKKDRRTSLKEQRASPKKEREALRKEAGKQLRKPRSTSL GSSVSTGDSLSEEELAQILEQVEEKKKLITTVRNKPWPMAKKLRELREAQAFVEKYEGAL GKGKGKHLYAYRMMMAKKWVKFKRDFDNFKTQCIPWEMKIKDIESHFGSSVASYFIFLRW MYGVNLVLFGLIFGLVIIPEVLMGMPYGSIPRKTVPRAEEERAMDFSVLWDFEGYIKYSA LFYGYYNNQRTIGWLRYRLPMAYFMVGVSVFGYSLMIVIRSMASNTQGSTSEGDSDSFTF SFKMFTSWDYLIGNSETADNKYVSITTSFKESIVDEQESNKEGNIHLTRFLRVLANFLIL CCLCGSGYLIYFVVKRSQEFSKMQNVSWYERNEVEIVMSLLGMFCPPLFETIAALENYHP RTGLKWQLGRIFALFLGNLYTFLLALMDDVHLKLSNEEKIKNITHWTLFNYYNSSGGNES VPRPPPHPADVPRGSCWETAVGIEFMRLTVSDMLVTYLTILVGDFLRACFVRFMNHCWCW DLEAGFPSYAEFDISGNVLGLIFNQGMIWMGSFYAPGLVGINVLRLLTSMYFQCWAVMSS NVPHERVFKASRSNNFYMGLLLLVLFLSLLPVAYTVMSLPPSFDCGPFSGKNRMYDVLHE TIENDFPKFLGKIFAFLANPGLIIPAILLMFLAIYYLNSVSKSLSRANAQLRKKIQALRE VEKNHKSIKGKAIVTYSEDTIKNSSKNATQIHLTKEEPTSHSSSQIQTLDKKAQGPHTSS TEGGASPSTSWHHVGSQPPRGRRDSGQPQSQTYTGRSPSGKRTQRPHN >ENSMUSP00000033577.4 pep:known chromosome:GRCm38:X:105079756:105085186:1 gene:ENSMUSG00000031226.13 transcript:ENSMUST00000033577.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbdc1 description:polysaccharide biosynthesis domain containing 1 [Source:MGI Symbol;Acc:MGI:1914933] MDAAGESEEPVSGEALSIAHALSHPPESYGNDPDIEMAWAIRAMQHAEVYYKLISSVDPQ FLKLTKVDDQIYSEFREIFETLRVDVLDPEELKSESAKEKWRPFCLKFEGIVEDYNYGTL LRLDCSQGYTEENTIFAPRIQFFAIEIARNREGYNKAVSVSIQDKEGEEGAGNKEEAAEK GADSGGEKEEGANREGEK >ENSMUSP00000113288.1 pep:known chromosome:GRCm38:X:105079761:105117090:1 gene:ENSMUSG00000031226.13 transcript:ENSMUST00000119477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbdc1 description:polysaccharide biosynthesis domain containing 1 [Source:MGI Symbol;Acc:MGI:1914933] MDAAGESEEPVSGEALSIAHALSHPPESYGNDPDIEMAWAIRAMQHAEVYYKLISSVDPQ FLKLTKVDDQIYSEFREIFETLRVDVLDPEELKSESAKEKWRPFCLKFEGIVEDYNYGTL LRLDCSQGYTEENTIFA >ENSMUSP00000120362.1 pep:known chromosome:GRCm38:14:116925531:117975406:1 gene:ENSMUSG00000058571.10 transcript:ENSMUST00000125435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc6 description:glypican 6 [Source:MGI Symbol;Acc:MGI:1346322] MPSWIRAVILPLSGLLLTLPAAADVKARSCSEVRQAYGAKGFSLADIPYQEIAGEHLRIC PQEYTCCTTEMEDKLSQQSKLEFENLVEETSHFVRTTFVSRHKKFDEFFRELLENAEKSL NDMFVRTYGMLYMQNSEVFQDLFTELKRYYTGGNVNLEEMLNDFWARLLERMFQLINPQY HFSEDYLECVSKYTDQLKPFGDVPRKLKIQVTRAFIAARTFVQGLTVGREVANRVSKVSP TPGCIRALMKMLYCPYCRGLPTVRPCNNYCLNVMKGCLANQADLDTEWNLFIDAMLLVAE RLEGPFNIESVMDPIDVKISEAIMNMQENSMQVSAKVFQGCGQPKPAPALRSARSAPENF NTRFRPYNPEERPTTAAGTSLDRLRTVWRTMQHSVTDIKEKLKLSKKVWSALPYTICKDE RVTAGTSNEEECWNGHSKARYLPEIMNDGLTNQINNPEVEVDITRPDTFIRQQIMALRVM TNKLKNAYNGNDVNFQDTSDESSGSGSGSGCMDDVCPTEFEFVTTEAPAVDPDRREEESS ASKFSSSLISWSLVCMVLALQRLYR >ENSMUSP00000085835.3 pep:known chromosome:GRCm38:14:116925430:117976544:1 gene:ENSMUSG00000058571.10 transcript:ENSMUST00000088483.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc6 description:glypican 6 [Source:MGI Symbol;Acc:MGI:1346322] MPSWIRAVILPLSGLLLTLPAAADVKARSCSEVRQAYGAKGFSLADIPYQEIAGEHLRIC PQEYTCCTTEMEDKLSQQSKLEFENLVEETSHFVRTTFVSRHKKFDEFFRELLENAEKSL NDMFVRTYGMLYMQNSEVFQDLFTELKRYYTGGNVNLEEMLNDFWARLLERMFQLINPQY HFSEDYLECVSKYTDQLKPFGDVPRKLKIQVTRAFIAARTFVQGLTVGREVANRVSKVSP TPGCIRALMKMLYCPYCRGLPTVRPCNNYCLNVMKGCLANQADLDTEWNLFIDAMLLVAE RLEGPFNIESVMDPIDVKISEAIMNMQENSMQVSAKVFQGCGQPKPAPALRSARSAPENF NTRFRPYNPEERPTTAAGTSLDRLVTDIKEKLKLSKKVWSALPYTICKDERVTAGTSNEE ECWNGHSKARYLPEIMNDGLTNQINNPEVEVDITRPDTFIRQQIMALRVMTNKLKNAYNG NDVNFQDTSDESSGSGSGSGCMDDVCPTEFEFVTTEAPAVDPDRREEESSASKFSSSLIS WSLVCMVLALQRLYR >ENSMUSP00000077893.4 pep:known chromosome:GRCm38:14:116925297:117979529:1 gene:ENSMUSG00000058571.10 transcript:ENSMUST00000078849.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc6 description:glypican 6 [Source:MGI Symbol;Acc:MGI:1346322] MPSWIRAVILPLSGLLLTLPAAADVKARSCSEVRQAYGAKGFSLADIPYQEIAGEHLRIC PQEYTCCTTEMEDKLSQQSKLEFENLVEETSHFVRTTFVSRHKKFDEFFRELLENAEKSL NDMFVRTYGMLYMQNSEVFQDLFTELKRYYTGGNVNLEEMLNDFWARLLERMFQLINPQY HFSEDYLECVSKYTDQLKPFGDVPRKLKIQVTRAFIAARTFVQGLTVGREVANRVSKVSP TPGCIRALMKMLYCPYCRGLPTVRPCNNYCLNVMKGCLANQADLDTEWNLFIDAMLLVAE RLEGPFNIESVMDPIDVKISEAIMNMQENSMQVSAKVFQGCGQPKPAPALRSARSAPENF NTRFRPYNPEERPTTAAGTSLDRLVTDIKEKLKLSKKVWSALPYTICKDERVTAGTSNEE ECWNGHSKARYLPEIMNDGLTNQINNPEVEVDITRPDTFIRQQIMALRVMTNKLKNAYNG NDVNFQDTSDESSGSGSGSGCMDDVCPTEFEFVTTEAPAVDPDRREEESSASKFSSSLIS WSLVCMVLALQRLYR >ENSMUSP00000070527.3 pep:known chromosome:GRCm38:4:155624869:155649938:1 gene:ENSMUSG00000029062.14 transcript:ENSMUST00000067081.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk11b description:cyclin-dependent kinase 11B [Source:MGI Symbol;Acc:MGI:88353] MGDEKDSWKVKTLDEILQEKKRRKEQEEKAEIKRLKNSDDRDSKRDSLEEGELRDHRMEI TIRNSPYRREDSMEDRGEEDDSLAIKPPQQMSRKEKAHHRKDEKRKEKRRHRSHSAEGGK HARVKEKEREHERRKRHREEQDKARREWERQKRREMAREHSRRERDRLEQLERKRERERK LREQQKEQREQKERERRAEERRKEREARREVSAHHRTMREEYSDKGKVGHWSRSPLRPPR ERFEMGDNRKPVKEEKVEERDLLSDLQDISDSERKTSSAESSSAESGSGSEEEEEEEEEE EEEEGSTSEESEEEEEEEEEEEEEETGSNSEEASEQSAEEVSDEEMSEDEDRENENHILV VPESRFDRDSGDSEEGEEEVGEGTPQSSAPTEGDYVPDSPALSPIELKQELPKYLPALQG CRSVEEFQCLNRIEEGTYGVVYRAKDKKTDEIVALKRLKMEKEKEGFPITSLREINTILK AQHPNIVTVREIVVGSNMDKIYIVMNYVEHDLKSLMETMKQPFLPGEVKTLMIQLLSGVK HLHDNWILHRDLKTSNLLLSHAGILKVGDFGLAREYGSPLKAYTPVVVTLWYRAPELLLG AKEYSTAVDMWSVGCIFGELLTQKPLFPGKSDIDQINKIFKDLGTPSEKIWPGYNDLPAV KKMTFSEYPYNNLRKRFGALLSDQGFDLMNKFLTYYPGRRINAEDGLKHEYFRETPLPID PSMFPTWPAKSEQQRVKRGTSPRPPEGGLGYSQLGDDDLKETGFHLTTTNQGASAAGPGF SLKF >ENSMUSP00000101225.1 pep:known chromosome:GRCm38:4:155624883:155649938:1 gene:ENSMUSG00000029062.14 transcript:ENSMUST00000105600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk11b description:cyclin-dependent kinase 11B [Source:MGI Symbol;Acc:MGI:88353] MGDEKDSWKVKTLDEILQEKKRRKEQEEKAEIKRLKNSDDRDSKRDSLEEGELRDHRMEI TIRNSPYRREDSMEDRGEEDDSLAIKPPQQMSRKEKAHHRKDEKRKEKRRHRSHSAEGGK HARVKEKEREHERRKRHREEQDKARREWERQKRREMAREHSRRERDRLEQLERKRERERK LREQQKEQREQKERERRAEERRKEREARREVSAHHRTMREEYSDKGKVGHWSRSPLRPPR ERFEMGDNRKPVKEEKVEERDLLSDLQDISDSERKTSSAESSSAESGSGSEEEEEEEEEE EEEEGSTSEESEEEEEEEEEEEEEETGSNSEEASEQSAEEVSDEEMSEDEDRENENHILV VPESRFDRDSGDSEEGEEEVGEGTPQSSAPTEGDYVPDSPALSPIELKQELPKYLPALQG CRSVEEFQCLNRIEEGTYGVVYRAKDKKTDEIVALKRLKMEKEKEGFPITSLREINTILK AQHPNIVTVREIVVGSNMDKIYIVMNYVEHDLKSLMETMKQPFLPGEVKTLMIQLLSGVK HLHDNWILHRDLKTSNLLLSHAGILKVGDFGLAREYGSPLKAYTPVVVTLWYRAPELLLG AKEYSTAVDMWSVGCIFGELLTQKPLFPGKSDIDQINKIFKDLGTPSEKIWPGYNDLPAV KKMTFSEYPYNNLRKRFGALLSDQGFDLMNKFLTYYPGRRINAEDGLKHEYFRETPLPID PSMFPTWPAKSEQQRVKRGTSPRPPEGGLGYSQLGDDDLKETGFHLTTTNQGASAAGPGF SLKF >ENSMUSP00000101223.1 pep:known chromosome:GRCm38:4:155624910:155649938:1 gene:ENSMUSG00000029062.14 transcript:ENSMUST00000105598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk11b description:cyclin-dependent kinase 11B [Source:MGI Symbol;Acc:MGI:88353] MSQSDDRDSKRDSLEEGELRDHRMEITIRNSPYRREDSMEDRGEEDDSLAIKPPQQMSRK EKAHHRKDEKRKEKRRHRSHSAEGGKHARVKEKEREHERRKRHREEQDKARREWERQKRR EMAREHSRRERDRLEQLERKRERERKLREQQKEQREQKERERRAEERRKEREARREVSAH HRTMREEYSDKGKVGHWSRSPLRPPRERFEMGDNRKPVKEEKVEERDLLSDLQDISDSER KTSSAESSSAESGSGSEEEEEEEEEEEEEEGSTSEESEEEEEEEEEEEEEETGSNSEEAS EQSAEEVSDEEMSEDEDRENENHILVVPESRFDRDSGDSEEGEEEVGEGTPQSSAPTEGD YVPDSPALSPIELKQELPKYLPALQGCRSVEEFQCLNRIEEGTYGVVYRAKDKKTDEIVA LKRLKMEKEKEGFPITSLREINTILKAQHPNIVTVREIVVGSNMDKIYIVMNYVEHDLKS LMETMKQPFLPGEVKTLMIQLLSGVKHLHDNWILHRDLKTSNLLLSHAGILKVGDFGLAR EYGSPLKAYTPVVVTLWYRAPELLLGAKEYSTAVDMWSVGCIFGELLTQKPLFPGKSDID QINKIFKDLGTPSEKIWPGYNDLPAVKKMTFSEYPYNNLRKRFGALLSDQGFDLMNKFLT YYPGRRINAEDGLKHEYFRETPLPIDPSMFPTWPAKSEQQRVKRGTSPRPPEGGLGYSQL GDDDLKETGFHLTTTNQGASAAGPGFSLKF >ENSMUSP00000034817.4 pep:known chromosome:GRCm38:9:61953738:62026856:-1 gene:ENSMUSG00000032278.11 transcript:ENSMUST00000034817.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr5 description:progestin and adipoQ receptor family member V [Source:MGI Symbol;Acc:MGI:1921340] MLSLKLPRLFRIDQVPQVFHEQGILFGYRHPQSSATACILSLFQMTNETLNIWTHLLPFW FFVWRFMTALYVTDIQNDSYSWPMLVYMCTSCVYPLASSCAHTFSSMSKNARHICYFLDY GAVNLFSLGSAIAYSAYTFPDALVCSTFHECYVALAVLNTILSTGLSCYSRFLELQKPRL CKLLRVLAFAYPYTWDSLPIFYRLFLFPGESSRNEAMLYHQKHMGMTLLASFFYSAHLPE RLAPGRFDYIGHSHQLFHVCVILATHLQMEAILLDKTLRREWLLATSRPFSFPQIAAAML LCIIFSLSNIIYFSAALYRIPEPELHEKET >ENSMUSP00000109623.1 pep:known chromosome:GRCm38:9:61956046:61976573:-1 gene:ENSMUSG00000032278.11 transcript:ENSMUST00000113990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr5 description:progestin and adipoQ receptor family member V [Source:MGI Symbol;Acc:MGI:1921340] MPRVFHEQGILFGYRHPQSSATACILSLFQMTNETLNIWTHLLPFWFFVWRFMTALYVTD IQNDSYSWPMLVYMCTSCVYPLASSCAHTFSSMSKNARHICYFLDYGAVNLFSLGSAIAY SAYTFPDALVCSTFHECYVALAVLNTILSTGLSCYSRFLELQKPRLCKLLRVLAFAYPYT WDSLPIFYRLFLFPGESSRNEAMLYHQKHMGMTLLASFFYSAHLPERLAPGRFDYIGHSH QLFHVCVILATHLQMEAILLDKTLRREWLLATSRPFSFPQIAAAMLLCIIFSLSNIIYFS AALYRIPEPELHEKET >ENSMUSP00000041145.8 pep:known chromosome:GRCm38:9:85687360:85749334:-1 gene:ENSMUSG00000035941.15 transcript:ENSMUST00000039213.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ibtk description:inhibitor of Bruton agammaglobulinemia tyrosine kinase [Source:MGI Symbol;Acc:MGI:1918677] MDAATPDCTSKCRSLKHALDVLSVVTKGSESQIKSFLARYCYNAATVKDAFGRNAGHLAS SCGKKGVLDWLIEKGVDLLVKDKESGWTALHRSVFYGHIDCVWSLLKHGVSLYMQDKEGL SPLDLLMKDRPTHVVFKDTDPTEVYTWGDNTNFTLGHGSQNSKHHPELLDLFSRSGVYVK QVVLCKFHSVFLSQKGQVYTCGHGRGGRLGHGDEQTCLVPRLVEGLSGHNCSQVAAAKDH TVVLTDDGCVYTFGLNMFHQLGIIPPPASCNVPRQIQAKYLKGRTIIGVAAGRFHTVLWT REAVYTLGLNGGQLGHLLDPNGEKCVTTPRQVSALHHKDIAVSLVAASDGATVCVTTRGD IYLLADYQCKKMATKQLNLKKVLVSGGCMEYKVDPEHLTENGGQKICVLAMDGAGRVFCW RSISSSLKQCRWAYPRQVSISDIALNRNEILFVTQDGEGFKGKWFEDKRKNSEKKADILP NLHHSSSDVSCVPDTNSVYERIRLEKLPFAHRAVSVSTDPSGCNFAILQSDPKTSLYEIP VVSSSSFFEEFGKLLRETDEMDSFHDVTFQVGNRHFPAHKYILAVRSDFFQKLFLSDGSS LELTDVYQKDEDAAGCHLFVVEKVHPDLFEYLLQFMYTDTCDLLTHGFKPRMIVKRKAED CEGSPDSHLHTVNCHVDDKQKSAFEVYRSNQAHTLSERQKSKPKSSKKGKGVGDDDPVRM LQSVAKKFGLSNLSSRLEGVRLENEKINVIAKKTGNKLKLSQKKCSFLYDVTMKSVDGKE FSCHKCVLCARLEYFHSMLSRSWIEASSCAALEMPIQSEILKVILDYLYTDEAVVIKESQ NVDFVCSVLVVADQLLITRLKEICEVALTENLTLKNAAMLLEFAALYNAGQLKLSCLQFI GLNMAALLEARSLDVLSEDVLKDLSIFYRKMIPAMERRVITPYQDGPDISSMQVEDGEVF FKEEINMEPNYSETMFKKAKTRAKKKPRKRSDSSGGYTLSDVIQSPPSAGLLKSAKTNSV ESLPELLTSDSEGSYAGVASPRDLQSPDFTAGFHSDKVEGKAKPYVNGIPPPCTREDVKP WEKSPTTKSAPQFIPSNRVDTAASSSWLAGSCSPVSPPVVDLRTIMETEENRQKYGAAPK SNLGKIISHGIKLSQKQRKMIALTTKENNSGTNSMEAILTAPSKSPKPANAWAPLHSPLS RSFRDFLLEEKKPVPGYGSGDHVKKVCFKGTENSPALNVARCSTHGTPGLESNHVSDFPL LDSPNPWQSSSLAASPAVAPVTFASIVEEERQQEAALIRSREKPLALIQVEEHAIQDLLV FYEAFGNPEEFVVVERAPQGPLAVPMWNKHGC >ENSMUSP00000139424.1 pep:known chromosome:GRCm38:9:85687542:85749334:-1 gene:ENSMUSG00000035941.15 transcript:ENSMUST00000187521.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ibtk description:inhibitor of Bruton agammaglobulinemia tyrosine kinase [Source:MGI Symbol;Acc:MGI:1918677] MDAATPDCTSKCRSLKHALDVLSVVTKGSESQIKSFLARYCYNAATVKDAFGRNAGHLAS SCGKKGVLDWLIEKGVDLLVKDKESGWTALHRSVFYGHIDCVWSLLKHGVSLYMQDKEGL SPLDLLMKDRPTHVVFKDTDPTEVYTWGDNTNFTLGHGSQNSKHHPELLDLFSRSGVYVK QVVLCKFHSVFLSQKGQVYTCGHGRGGRLGHGDEQTCLVPRLVEGLSGHNCSQVAAAKDH TVVLTDDGCVYTFGLNMFHQLGIIPPPASCNVPRQIQAKYLKGRTIIGVAAGRFHTVLWT REAVYTLGLNGGQLGHLLDPNGEKCVTTPRQVSALHHKDIAVSLVAASDGATVCVTTRGD IYLLADYQCKKMATKQLNLKKVLVSGGCMEYKVDPEHLTENGGQKICVLAMDGAGRVFCW RSISSSLKQCRWAYPRQVSISDIALNRNEILFVTQDGEGFKGKWFEDKRKNSEKKAFMRF QLCLHHLFLKNLASS >ENSMUSP00000062489.8 pep:known chromosome:GRCm38:11:62604884:62648523:-1 gene:ENSMUSG00000046417.14 transcript:ENSMUST00000057194.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc75a description:leucine rich repeat containing 75A [Source:MGI Symbol;Acc:MGI:2682293] MGTRQTKGSLAERASPGAAPGPRRERPDFWASLLLRAGDKAGRAGSGLPPYHRRVGMVQE LLRMVRQGRREEAGTLLQHLRQDLGMESTSLDDVLYRYASFRNLVDPITHDLIISLARYI HCPKPEGDAMGAMEKLCRQLTYHLSPHSQWRRHRGLKRKPQACLKALLSGNPPDNMVDLS GIPLTSRDLERVTSYLQRCGEQVDSVELGFTGLTDDMVLQLLPALSTLPRLTTLALNGNR LTRALLRDLTDTLKDPSKFPNVTWIDLGNNVDIFSLPQPFLLSLRKRSPKQGHLPTILEL GEGPGTGEEAREGTDQQDPIGSPVTPARGQESTECVIQT >ENSMUSP00000059273.4 pep:known chromosome:GRCm38:5:143172186:143180730:-1 gene:ENSMUSG00000061898.10 transcript:ENSMUST00000049861.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbak description:RB-associated KRAB zinc finger [Source:MGI Symbol;Acc:MGI:1927369] MSRSKGPLSFKDVAVAFSQEEWQQLDPEERTTYRDVMLETYSNLVSVGYDVTKPNMIIKL EQGEEPWTVEGDRHAQRHLEISKVYDPREGIEEIGEKHLQCDDDPYCWRAEKGAAFDEAY TLETALISPSSGAHSCVSCGETLESVSELISSDGSYALEKPSMCFECGKAYGESLEDFNQ DEGNSSQHDENILQKVTILEKPFAYECMEALDSESVFMARERAYMGEKPYDWGDSGPDFI QMSDFSTYPRSQMELKPFECTQCGKSFCKKSKFIIHQRAHTGEKPYACSVCGKSFSQKGT LTVHRRSHLEEKPYKCNECGKTFCQKLHLTQHQRTHSGEKPYECSECGKSFCQKTHLTLH QRNHSGERPYPCNECGKSFSRKSALNDHQRTHTGEKLYKCNECGKSYYRKSTLITHQRTH TGEKPYQCSECGKFFSRVSYLTIHYRSHLEEKPYECTECGKTFNLNSAFIRHWKVHAEER VQECGECGKPSPLQCAPDHTGDLGEKRYECNECGKTFLDSSAFHRHQSVPEGEKTYECNI CGKSFSDSSCYTVHYRGHSEEKPFGCSECGKTFSHNSSLFRHQRVHTGEKPYECYECGKF FSQKSYLTIHHRIHSGEKPYECSKCGKVFSRMSNLTVHYRSHSGEKPYECNECGKVFSQK SYLTVHYRTHSGEKPYECNECGKKFHHRSAFNSHQRIHKRGTVNVLTVEKL >ENSMUSP00000128731.1 pep:known chromosome:GRCm38:5:143172186:143180775:-1 gene:ENSMUSG00000061898.10 transcript:ENSMUST00000165318.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbak description:RB-associated KRAB zinc finger [Source:MGI Symbol;Acc:MGI:1927369] MSRSKGPLSFKDVAVAFSQEEWQQLDPEERTTYRDVMLETYSNLVSVGYDVTKPNMIIKL EQGEEPWTVEGDRHAQRHLEISKVYDPREGIEEIGEKHLQCDDDPYCWRAEKGAAFDEAY TLETALISPSSGAHSCVSCGETLESVSELISSDGSYALEKPSMCFECGKAYGESLEDFNQ DEGNSSQHDENILQKVTILEKPFAYECMEALDSESVFMARERAYMGEKPYDWGDSGPDFI QMSDFSTYPRSQMELKPFECTQCGKSFCKKSKFIIHQRAHTGEKPYACSVCGKSFSQKGT LTVHRRSHLEEKPYKCNECGKTFCQKLHLTQHQRTHSGEKPYECSECGKSFCQKTHLTLH QRNHSGERPYPCNECGKSFSRKSALNDHQRTHTGEKLYKCNECGKSYYRKSTLITHQRTH TGEKPYQCSECGKFFSRVSYLTIHYRSHLEEKPYECTECGKTFNLNSAFIRHWKVHAEER VQECGECGKPSPLQCAPDHTGDLGEKRYECNECGKTFLDSSAFHRHQSVPEGEKTYECNI CGKSFSDSSCYTVHYRGHSEEKPFGCSECGKTFSHNSSLFRHQRVHTGEKPYECYECGKF FSQKSYLTIHHRIHSGEKPYECSKCGKVFSRMSNLTVHYRSHSGEKPYECNECGKVFSQK SYLTVHYRTHSGEKPYECNECGKKFHHRSAFNSHQRIHKRGTVNVLTVEKL >ENSMUSP00000001824.5 pep:known chromosome:GRCm38:7:86718977:86775943:-1 gene:ENSMUSG00000001773.14 transcript:ENSMUST00000001824.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folh1 description:folate hydrolase 1 [Source:MGI Symbol;Acc:MGI:1858193] MWNALQDRDSAEVLGHRQRWLRVGTLVLALTGTFLIGFLFGWFIKPSNEATGNVSHSGMK KEFLHELKAENIKKFLYNFTRTPHLAGTQNNFELAKQIHDQWKEFGLDLVELSHYDVLLS YPNKTHPNYISIINEDGNEIFKTSLSEQPPPGYENISDVVPPYSAFSPQGTPEGDLVYVN YARTEDFFKLEREMKISCSGKIVIARYGKVFRGNMVKNAQLAGAKGMILYSDPADYFVPA VKSYPDGWNLPGGGVQRGNVLNLNGAGDPLTPGYPANEHAYRHELTNAVGLPSIPVHPIG YDDAQKLLEHMGGPAPPDSSWKGGLKVPYNVGPGFAGNFSTQKVKMHIHSYTKVTRIYNV IGTLKGALEPDRYVILGGHRDAWVFGGIDPQSGAAVVHEIVRSFGTLKKKGRRPRRTILF ASWDAEEFGLLGSTEWAEEHSRLLQERGVAYINADSSIEGNYTLRVDCTPLMYSLVYNLT KELQSPDEGFEGKSLYDSWKEKSPSPEFIGMPRISKLGSGNDFEVFFQRLGIASGRARYT KNWKTNKVSSYPLYHSVYETYELVVKFYDPTFKYHLTVAQVRGAMVFELANSIVLPFDCQ SYAVALKKYADTIYNISMKHPQEMKAYMISFDSLFSAVNNFTDVASKFNQRLQELDKSNP ILLRIMNDQLMYLERAFIDPLGLPGRPFYRHIIYAPSSHNKYAGESFPGIYDALFDISSK VNASKAWNEVKRQISIATFTVQAAAETLREVA >ENSMUSP00000102892.3 pep:known chromosome:GRCm38:7:86719352:86775808:-1 gene:ENSMUSG00000001773.14 transcript:ENSMUST00000107271.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folh1 description:folate hydrolase 1 [Source:MGI Symbol;Acc:MGI:1858193] MWNALQDRDSAEVLGHRQRWLRVGTLVLALTGTFLIGFLFGWFIKPSNEATGNVSHSGMK KEFLHELKAENIKKFLYNFTRTPHLAGTQNNFELAKQIHDQWKEFGLDLVELSHYDVLLS YPNKTHPNYISIINEDGNEIFKTSLSEQPPPGYENISDVVPPYSAFSPQGTPEGDLVYVN YARTEDFFKLEREMKISCSGKIVIARYGKVFRGNMVKNAQLAGAKGMILYSDPADYFVPA VKSYPDGWNLPGGGVQRGNVLNLNGAGDPLTPGYPANEHAYRHELTNAVGLPSIPVHPIG YDDAQKLLEKVKMHIHSYTKVTRIYNVIGTLKGALEPDRYVILGGHRDAWVFGGIDPQSG AAVVHEIVRSFGTLKKKGRRPRRTILFASWDAEEFGLLGSTEWAEEHSRLLQERGVAYIN ADSSIEGNYTLRVDCTPLMYSLVYNLTKELQSPDEGFEGKSLYDSWKEKSPSPEFIGMPR ISKLGSGNDFEVFFQRLGIASGRARYTKNWKTNKVSSYPLYHSVYETYELVVKFYDPTFK YHLTVAQVRGAMVFELANSIVLPFDCQSYAVALKKYADTIYNISMKHPQEMKAYMISFDS LFSAVNNFTDVASKFNQRLQELDKSNPILLRIMNDQLMYLERAFIDPLGLPGRPFYRHII YAPSSHNKYAGESFPGIYDALFDISSKVNASKAWNEVKRQISIATFTVQAAAETLREVA >ENSMUSP00000147237.1 pep:known chromosome:GRCm38:7:75455534:75754609:1 gene:ENSMUSG00000066406.15 transcript:ENSMUST00000207750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap13 description:A kinase (PRKA) anchor protein 13 [Source:MGI Symbol;Acc:MGI:2676556] MKLSPQQAPLYGDCVVTVLLAEEDKVEDDAIFYLIFSGSTLYHCTSTRKVSSDTLETIAP GHDCCETVKVLLCASREGLPVFVVAEEDFHFVQDEAYDAAQFLATSAGNQQALNFTRFLD RSGPPSRDVNSLDEKVALAFRHLKLPAEWNVLGTDHTLHDGGPRETLMHFAVRLGLLRLT WFLLQKPGGRGALSIHNKEGATPVSLALERGYHELHQLLTEENAGEPDSWSSLSYEIPYG DCSVRHHRELDIYTLTSESESHREPHGDSCTGHISKLMNIQQQLMKTNLKQMDNLMPLMV TAQDSSCVPSVPETDGLFLPCVPEPSDHQHPPFEETKSTLCCQRSPGRMAESSCDLSSMV EEENVICSHKKNKDVGRKGEEAEPASAMDSGSASHQDSCLQSVPDCGVKGREGLPSCGNR NEVTGTNYSGVATCQQPLSSRSSVLQDAMVTEPDACQHSSGRELPDSSSTDVGAPEKAGE LEHSLLTPDATTQNNKPQVGEGTKERLENSDSSTTETTAVQVLSEPMEKADITNHVFATS AVGVNTPAEASPALSSEEIPTEKPGMETQERGCEGGTTSDQSSPVLPAAAIENKVLGGQE PDTSIAGFCKTASPLDLTMPGPSSDGMPEQNSESHARPAQSLSGQALLCSTAEAGTPSAE ATHQPSTVTSSGRLEECGSGKASLPESTMVQPSTQELCTTLCPEDPQADTVTSDTVKNTQ KSVGVCHLCVSDAKNQGNGLKQDTPLTNVLEDVPRLPSVVSQTEKELAPDQVSPPASSFS LASSPESESVTKDDALSLVPSQKEKGTATPQLHRTTACRDGPDGRDLSDTDKVGDGATDP PPSSAVELRTSMGNTSPVGIGGEQEGSSPTATLEVLSDSLLHNVDKAALVSDFTLPEEGV SVVVPESSTALGQDGKDRAMSCSSVKEDVHSSEMSREDQRTPPSGQEIPGLCEKPMSALC AEEKAQQHTPSACLKTETKDIKEVAPQVSLLTEGGAAKSLVPPRTSLSADSKQKASSTEQ SGSSLLPSGLPGASEALHCNQPSALDVVVENTQFQGETNACEVSRSAMEDVTVADASPAT AEPRKKDASHCIKDIPISELLNQEKQMTPSLPEAFLDKGVTDLQEVITPEIEPLDCKRET LEGTDLNCATSNSKETPIEKPMQPLARDLPTETGLSVINNNVPQADMKQVAQASIPAEES NATTVSTQAADVPTRADSIEETATRIVEAVIRQVRASNALMAKVETQNPSLSSPETKQLE NAYTESACAFLPGETPQIEKTHEDTTGQCGAETEEPEKIILPESAPEMPDTRTGDEVDLL SRISAASEEEAVGNGAATPKMKQGPGTQAINRESWCAIEPCPEAASLLASKQSSECRSFI DVGLGTECASKEGMLQRVSGSESDLFHSPSDEMDSIIFPKPEEEQLLCDTTGSSSSTDDT ASLDRHSSHGSDVSLPQTSKLNRSRNHQSANGFFSPGVEAPESRESESEPAGSGEMEEEE MDSITEVPANCSFLRSSMRSLSPFRRHSWGPGKNAASDAEMNQRSSMRALGHVVRRPPIH RRSMSWCPSGVQYSAALNADFNIRSFSLEGLTGGGVGNKPSSSLEMSSANSSELRNPFGG EEQRNSLMSLSEEHLEPDQRQHHRMFDQQTCYRSKQQGFNYCTSAISSPLTKSISLMTIS HPGLDSSRPFHSTSANLTESITEENCNFLPPSPSKKNFEEKSGTKVSRTFSYIRNKMSSS KKSKEKEKEKDKIKEKEKDSKEKEKDKKTLNGHTFSPIPIVGPISCSQCMKPFTNKDAYT CAGCGAFVHKGCRENLASCAKVKMKQPKGSLQAHDTSSLPTVIMRNKSSQPKERPRSAVL LADEATAAPMFTNRRSQQSVSLSKSVSIQNITGVGNDENMSNTWKFLSHSTDSLNKICKV NESTESLTDEGVGTDMNEGQLMGDFESDSKQLEAESWSRTVDSKFLKQQKKDVVKRQEVI YELMQTELHHIRTLKIMSDVYSRGMMTDLLFEQQMVEKLFPCLDELISIHSQFFQRILER KKESLVDKSEKNFLIKRIGDVLVSQFSGESAERLKKTYGKFCGQHNQSVNYFKDLYTKDK RFQAFVKKKMSSSVVRRLGIPECILLVTQRITKYPVLFQRILQCTKDNEVEQEDLTQSLS LVKDVIGAVDSKVASYEKKVRLGEIYTKTDSKSIMRMKSGQMFAKEDLRRKKLVRDGSVF LKSTTGRLKEVQAVLLTDILVFLQEKDQKYVFASLDHKSTVISLKKLIVREVAHEEKGLF LISMGVKDPEMVEVHASSREERNSWIQIIQDTINSLNRDEDEGIPSENEEEKKLLDTKAR ELKEQLQQKDQQILLLLEEKEMIFRDMTECSTPLPEDCSPTHSPRVLFRSNTEEALKGGP LMKSAINEVEILQSLVSGSLGGTLGQSISSPVEQEVMAAPISLPRRAETFGGFDCHQMNA SKGGEKEEGDDGQDLRRTESDSGLKKGGNGNLVFMLKRNSEQVVQSIVHLHELLSMLQGV VLQQDSYIEDQKLVLTEKVLTRSASRPSSLIEQEKQRSLEKQRQDLANLQKQQAQHLEEK RRREREWEAREQELRDREAKLAEREETVRRRQQDLERDREELQQKKGTYQCDLERLRAAQ KQLEREQEQLRRDTERLSQRQMDQNLCQVSNKHGRLMRIPSFLPNSDEFSSPSAPSVTKS GSLDSELSVSPKRNSISRTQKDKGPFHILGSASQTKVPEGQSQAPSSTSTSTRLFGLSKP KEKKEKKKKSKGSRTQPGDGPASEVPAEGEEIFC >ENSMUSP00000146990.1 pep:known chromosome:GRCm38:7:75610039:75697343:1 gene:ENSMUSG00000066406.15 transcript:ENSMUST00000207923.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap13 description:A kinase (PRKA) anchor protein 13 [Source:MGI Symbol;Acc:MGI:2676556] EKGTATPQLHRTTACRDGPDGRDLSDTDKVGDGATDPPPSSAVELRTSMGNTSPVGIGGE QEGSSPTATLEVLSDSLLHNVDKAALVSDFTLPEEGVSVVVPESSTALGQDGKDRAMSCS SVKEDVHSSEMSREDQRTPPSGQEIPGLCEKPMSALCAEEKAQQHTPSACLKTETKDIKE VAPQVSLLTEGGAAKSLVPPRTSLSADSKQKASSTEQSGSSLLPSGLPGASEALHCNQPS ALDVVVENTQFQGETNACEVSRSAMEDVTVADASPATAEPRKKDASHCIKDIPISELLNQ EKQMTPSLPEAFLDKGVTDLQEVITPEIEPLDCKRETLEGTDLNCATSNSKETPIEKPMQ PLARDLPTETGLSVINNNVPQADMKQVAQASIPAEESNATTVSTQAADVPTRADSIEETA TRIVEAVIRQVRASNALMAKVETQNPSLSSPETKQLENAYTESACAFLPGETPQIEKTHE DTTGQCGAETEEPEKIILPESAPEMPDTRTGDEVDLLSRISAASEEEAVGNGAATPKMKQ GPGTQAINRESWCAIEPCPEAASLLASKQSSECRSFIDVGLGTECASKEGMLQRVSGSES DLFHSPSDEMDSIIFPKPEEEQLLCDTTGSSSSTDDTASLDRHSSHGSDVSLPQTSKLNR SRNHQSANGFFSPGVEAPESRESESEPAGSGEMEEEEMDSITEVPANCSFLRSSMRSLSP FRRHSWGPGKNAASDAEMNQRSFSLEGLTGGGVGNKPSSSLEMSSANSSELRNPFGGEEQ RNSLMSLSEEHLEPDQRQHHRMFDQQTCYRSKQQGFNYCTSAISSPLTKSISLMTISHPG LDSSRPFHSTSANLTESITEENCNFLPPSPS >ENSMUSP00000146779.1 pep:known chromosome:GRCm38:7:75611103:75697434:1 gene:ENSMUSG00000066406.15 transcript:ENSMUST00000208708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap13 description:A kinase (PRKA) anchor protein 13 [Source:MGI Symbol;Acc:MGI:2676556] XEKPMQPLARDLPTETGLSVINNNVPQADMKQVAQASIPAEESNATTVSTQAADVPTRAD SIEETATRIVEAVIRQVRASNALMAKVETQNPSLSSPETKQLENAYTESACAFLPGETPQ IEKTHEDTTGQCGAETEEPEKIILPESAPEMPDTRTGDEVDLLSRISAASEEEAVGNGAA TPKMKQGPGTQAINRESWCAIEPCPEAASLLASKQSSECRSFIDVGLGTECASKEGMLQR VSGSESDLFHSPSDEMDSIIFPKPEEEQLLCDTTGSSSSTDDTASLDRHSSHGSDVSLPQ TSKLNRSRNHQSANGFFSPGVEAPESRESESEPAGSGEMEEEEMDSITEVPANCSFLRSS MRSLSPFRRHSWGPGKNAASDAEMNQRSMSWCPSGVQYSAALNADFNIRSFSLEGLTGGG VGNKPSSSLEMSSANSSELRNPFGGEEQRNSLMSLSEEHLEPDQRQHHRMFDQQTCYRSK QQGFNYCTSAISSPLTKSISLMTISHPGLDSSRPFHSTSANLTESITEENCNFLPPSPSK KNFEEKSGTKVSRTFSYIRNKMSSSKKSK >ENSMUSP00000146503.1 pep:known chromosome:GRCm38:7:75643319:75704422:1 gene:ENSMUSG00000066406.15 transcript:ENSMUST00000207998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap13 description:A kinase (PRKA) anchor protein 13 [Source:MGI Symbol;Acc:MGI:2676556] XWSLDSADIRLHFVSSFLHLYKMYERHKRRYSLCDISKVDRTVDVVLLKINRESWCAIEP CPEAASLLASKQSSECRSFIDVGLGTECASKEGMLQRVSGSESDLFHSPSDEMDSIIFPK PEEEQLLCDTTGSSSSTDDTASLDRHSSHGSDVSLPQTSKLNRSRNHQSANGFFSPGVEA PESRESESEPAGSGEMEEEEMDSITEVPANCSFLRSSMRSLSPFRRHSWGPGKNAASDAE MNQRSFSLEGLTGGGVGNKPSSSLEMSSANSSELRNPFGGEEQRNSLMSLSEEHLEPDQR QHHRMFDQQTCYRSKQQGFNYCTSAISSPLTKSISLMTISHPGLDSSRPFHSTSANLTES ITEENCNFLPPSPSKKNFEEKSGTKVSRTFSYIRNKMSSSKKSKEKEKEKDKIKEKEKDS KEK >ENSMUSP00000146401.1 pep:known chromosome:GRCm38:7:75701992:75736639:1 gene:ENSMUSG00000066406.15 transcript:ENSMUST00000207239.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap13 description:A kinase (PRKA) anchor protein 13 [Source:MGI Symbol;Acc:MGI:2676556] MSVKWGRLPEVPLITVRFTGLKHGQEKEKEKDKIKEKEKDSKEKEKDKKTLNGHTFSPIP IVGPISCSQCMKPFTNKDAYTCAGCGAFVHKGCRENLASCAKVKMKQPKGSLQAHDTSSL PTVIMRNKSSQPKERPRSAVLLADEATAAPMFTNRRSQQSVSLSKSVSIQNITGVGNDEN MSNTWKFLSHSTDSLNKICKVNESTESLTDEGVGTDMNEGQLMGDFESDSKQLEAESWSR TVDSKFLKQQKKDVVKRQEVIYELMQTELHHIRTLKIMSDVYSRGMMTDLLFEQQMVEKL FPCLDELISIHSQFFQRILERKKESLVDKSEKNFLIKRIGDVLVSQFSGESAERLKKTYG KFCGQHNQSVNYFKDLYTKDKRFQAFVKKKMSSSVVRRLGIPECILLVTQRITKYPVLFQ RILQCTKDNEVEQEDLTQSLSLVKDVIGAVDSKVASYEKKVRLGEIYTKTDSKSIMRMKS GQMFAKEDLRRKKLVRDGSVFLKSTTGRLKEVQAVLLTDILVFLQEKDQKYVFASLDHKS TVISLKKLIVREVAHEEKGLFLISMGVKDPEMVEVHASSREERNSWIQIIQDTINSLNRD EDEGIPS >ENSMUSP00000129784.1 pep:known chromosome:GRCm38:7:75455534:75754609:1 gene:ENSMUSG00000066406.15 transcript:ENSMUST00000166315.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap13 description:A kinase (PRKA) anchor protein 13 [Source:MGI Symbol;Acc:MGI:2676556] MKLSPQQAPLYGDCVVTVLLAEEDKVEDDAIFYLIFSGSTLYHCTSTRKVSSDTLETIAP GHDCCETVKVLLCASREGLPVFVVAEEDFHFVQDEAYDAAQFLATSAGNQQALNFTRFLD RSGPPSRDVNSLDEKVALAFRHLKLPAEWNVLGTDHTLHDGGPRETLMHFAVRLGLLRLT WFLLQKPGGRGALSIHNKEGATPVSLALERGYHELHQLLTEENAGEPDSWSSLSYEIPYG DCSVRHHRELDIYTLTSESESHREPHGDSCTGHISKLMNIQQQLMKTNLKQMDNLMPLMV TAQDSSCVPSVPETDGLFLPCVPEPSDHQHPPFEETKSTLCCQRSPGRMAESSCDLSSMV EEENVICSHKKNKDVGRKGEEAEPASAMDSGSASHQDSCLQSVPDCGVKGREGLPSCGNR NEVTGTNYSGVATCQQPLSSRSSVLQDAMVTEPDACQHSSGRELPDSSSTDVGAPEKAGE LEHSLLTPDATTQNNKPQVGEGTKERLENSDSSTTETTAVQVLSEPMEKADITNHVFATS AVGVNTPAEASPALSSEEIPTEKPGMETQERGCEGGTTSDQSSPVLPAAAIENKVLGGQE PDTSIAGFCKTASPLDLTMPGPSSDGMPEQNSESHARPAQSLSGQALLCSTAEAGTPSAE ATHQPSTVTSSGRLEECGSGKASLPESTMVQPSTQELCTTLCPEDPQADTVTSDTVKNTQ KSVGVCHLCVSDAKNQGNGLKQDTPLTNVLEDVPRLPSVVSQTEKELAPDQVSPPASSFS LASSPESESVTKDDALSLVPSQKEKGTATPQLHRTTACRDGPDGRDLSDTDKVGDGATDP PPSSAVELRTSMGNTSPVGIGGEQEGSSPTATLEVLSDSLLHNVDKAALVSDFTLPEEGV SVVVPESSTALGQDGKDRAMSCSSVKEDVHSSEMSREDQRTPPSGQEIPGLCEKPMSALC AEEKAQQHTPSACLKTETKDIKEVAPQVSLLTEGGAAKSLVPPRTSLSADSKQKASSTEQ SGSSLLPSGLPGASEALHCNQPSALDVVVENTQFQGETNACEVSRSAMEDVTVADASPAT AEPRKKDASHCIKDIPISELLNQEKQMTPSLPEAFLDKGVTDLQEVITPEIEPLDCKRET LEGTDLNCATSNSKETPIEKPMQPLARDLPTETGLSVINNNVPQADMKQVAQASIPAEES NATTVSTQAADVPTRADSIEETATRIVEAVIRQVRASNALMAKVETQNPSLSSPETKQLE NAYTESACAFLPGETPQIEKTHEDTTGQCGAETEEPEKIILPESAPGKQGKMPDTRTGDE VDLLSRISAASEEEAVGNGAATPKMKQGPGTQAINRESWCAIEPCPEAASLLASKQSSEC RSFIDVGLGTECASKEGMLQRVSGSESDLFHSPSDEMDSIIFPKPEEEQLLCDTTGSSSS TDDTASLDRHSSHGSDVSLPQTSKLNRSRNHQSANGFFSPGVEAPESRESESEPAGSGEM EEEEMDSITEVPANCSFLRSSMRSLSPFRRHSWGPGKNAASDAEMNQRSSMRALGHVVRR PPIHRRSFSLEGLTGGGVGNKPSSSLEMSSANSSELRNPFGGEEQRNSLMSLSEEHLEPD QRQHHRMFDQQTCYRSKQQGFNYCTSAISSPLTKSISLMTISHPGLDSSRPFHSTSANLT ESITEENCNFLPPSPSKKNFEEKSGTKVSRTFSYIRNKMSSSKKSKEKEKEKDKIKEKEK DSKEKEKDKKTLNGHTFSPIPIVGPISCSQCMKPFTNKDAYTCAGCGAFVHKGCRENLAS CAKVKMKQPKGSLQAHDTSSLPTVIMRNKSSQPKERPRSAVLLADEATAAPMFTNRRSQQ SVSLSKSVSIQNITGVGNDENMSNTWKFLSHSTDSLNKICKVNESTESLTDEGVGTDMNE GQLMGDFESDSKQLEAESWSRTVDSKFLKQQKKDVVKRQEVIYELMQTELHHIRTLKIMS DVYSRGMMTDLLFEQQMVEKLFPCLDELISIHSQFFQRILERKKESLVDKSEKNFLIKRI GDVLVSQFSGESAERLKKTYGKFCGQHNQSVNYFKDLYTKDKRFQAFVKKKMSSSVVRRL GIPECILLVTQRITKYPVLFQRILQCTKDNEVEQEDLTQSLSLVKDVIGAVDSKVASYEK KVRLGEIYTKTDSKSIMRMKSGQMFAKEDLRRKKLVRDGSVFLKSTTGRLKEVQAVLLTD ILVFLQEKDQKYVFASLDHKSTVISLKKLIVREVAHEEKGLFLISMGVKDPEMVEVHASS REERNSWIQIIQDTINSLNRDEDEGIPSENEEEKKLLDTKARELKEQLQQKDQQILLLLE EKEMIFRDMTECSTPLPEDCSPTHSPRVLFRSNTEEALKGGPLMKSAINEVEILQSLVSG SLGGTLGQSISSPVEQEVMAAPISLPRRAETFGGFDCHQMNASKGGEKEEGDDGQDLRRT ESDSGLKKGGNGNLVFMLKRNSEQVVQSIVHLHELLSMLQGVVLQQDSYIEDQKLVLTEK VLTRSASRPSSLIEQEKQRSLEKQRQDLANLQKQQAQHLEEKRRREREWEAREQELRDRE AKLAEREETVRRRQQDLERDREELQQKKGTYQCDLERLRAAQKQLEREQEQLRRDTERLS QRQMDQNLCQVSNKHGRLMRIPSFLPNSDEFSSPSAPSVTKSGSLDSELSVSPKRNSISR TQKDKGPFHILGSASQTKVPEGQSQAPSSTSTSTRLFGLSKPKEKKEKKKKSKGSRTQPG DGPASEVPAEGEEIFC >ENSMUSP00000144202.1 pep:known chromosome:GRCm38:5:31297443:31327314:1 gene:ENSMUSG00000059434.8 transcript:ENSMUST00000201166.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gckr description:glucokinase regulatory protein [Source:MGI Symbol;Acc:MGI:1096345] MPSTKRYQHVIETPEPGEWELSGYEAAVPITEKSNPLTRNLDKADAEKIVQLLGQCDAEI FQEEGQIMPTYQRLYSESVLTTMLQVAGKVQEVLKEPDGGLVVLSGGGTSGRMAFLMSVS FNQLMKGLGQKPLYTYLIAGGDRSVVASRERTEDSALHGIEELKKVAAGKKRVVVIGISV GLSAPFVAGQMDYCMDNTAVFLPVLVGFNPVSMARNDPIEDWRSTFRQVAERMQKMQEKQ EAFVLNPAIGPEGLSGSSRMKGGSATKILLETLLLAAHKTVDQGVVSSQRCLLEILRTFE RAHQVTYSQSSKIATLTKQVGISLEKKGHVHLVGWQTLGIIAIMDGVECIHTFGADFRDI RGFLIGDHNDMFNQKDELSNQGPQFTFSQDDFLTSVLPSLTEIDTVVFIFTLDDNLAEVQ ALAERVREKSWNIQALVHSTVGQSLPAPLKKLFPSLISITWPLLFFDYEGSYVQKFQREL STKWVLNTVSTGAHVLLGKILQNHMLDLRIANSKLFWRALAMLQRFSGQSKARCIESLLQ VIHFPQPLSNDVRAAPISCHVQVAHEKEKVIPTALLSLLLRCSITEAKERLAAASSVCEV VRSALSGPGQKRSIQAFGDPVVP >ENSMUSP00000072084.5 pep:known chromosome:GRCm38:5:31297581:31327302:1 gene:ENSMUSG00000059434.8 transcript:ENSMUST00000072228.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gckr description:glucokinase regulatory protein [Source:MGI Symbol;Acc:MGI:1096345] MPSTKRYQHVIETPEPGEWELSGYEAAVPITEKSNPLTRNLDKADAEKIVQLLGQCDAEI FQEEGQIMPTYQRLYSESVLTTMLQVAGKVQEVLKEPDGGLVVLSGGGTSGRMAFLMSVS FNQLMKGLGQKPLYTYLIAGGDRSVVASRERTEDSALHGIEELKKVAAGKKRVVVIGISV GLSAPFVAGQMDYCMDNTAVFLPVLVGFNPVSMARNDPIEDWRSTFRQVAERMQKMQEKQ EAFVLNPAIGPEGLSGSSRMKGGSATKILLETLLLAAHKTVDQGVVSSQRCLLEILRTFE RAHQVTYSQSSKIATLTKQVGISLEKKGHVHLVGWQTLGIIAIMDGVECIHTFGADFRDI RGFLIGDHNDMFNQKDELSNQGPQFTFSQDDFLTSVLPSLTEIDTVVFIFTLDDNLAEVQ ALAERVREKSWNIQALVHSTVGQSLPAPLKKLFPSLISITWPLLFFDYEGSYVQKFQREL STKWVLNTRFSGQSKARCIESLLQVIHFPQPLSNDVRAAPISCHVQVAHEKEKVIPTALL SLLLRCSITEAKERLAAASSVCEVVRSALSGPGQKRSIQAFGDPVVP >ENSMUSP00000144568.1 pep:known chromosome:GRCm38:5:31297612:31300580:1 gene:ENSMUSG00000059434.8 transcript:ENSMUST00000201675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gckr description:glucokinase regulatory protein [Source:MGI Symbol;Acc:MGI:1096345] MPSTKRYQHVIETPEPGEWELSGYEAAVPITEKSNPLTRNLDKADAEKIVQLLGQCDAEI FQEEGQIMPTYQEPDGGLVVLSGGGTSGRMAFLMSVSFNQLMKGLGQKPLYTYLIAGGDR >ENSMUSP00000121973.1 pep:known chromosome:GRCm38:11:117986292:118024011:1 gene:ENSMUSG00000017715.11 transcript:ENSMUST00000132676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgs1 description:phosphatidylglycerophosphate synthase 1 [Source:MGI Symbol;Acc:MGI:1921701] MAAPAAGPVFWRRLLGLLPGRPGLAALLGRLSDRLGRSRERRRRRSPWLLLAPLLSPTVP QVTSPPCCLCPEGVHRFQWIRNLVPEFGVSSSHVRVLSSPAEFFELMKGQIKIAKRRVVM ASLYLGTGPLEQELVDCLESSLEKSLQAKFPSDLKVSILLDFTRGSRGRKNSRTMLLPLL QRFPERVRVSLFHTPNLRGLLRLLIPERFNETIGLQHIKVYLFDNNVILSGANLSDSYFT NRQDRYVFLQDCAEIADFFTELVDAVGDVSLQLQGDDTVEVVDGMVHPYKGDRAAYCRAA NKRVMDVIHSARARQQMLHAQTFHSDSLLSQEEAAAAGDRRPAPDTWIYPLIQMKPFEIQ IDEIVTETLLTEAERGAKVFLTTGYFNLTQAYMDLVLGTRAEYQILLASPEVNGFFGAKG VAGAIPAAYVHIERQFYSEVCSLGQQDRVQLQEYWRRGWTFHAKGLWLYLAGSSLPCLTL IGSPNFGYRSVHRDLEAQIAIVTESRSLQQQLHQEQEQLYLRSGVVTSATFEQPGRQVKL WVKMVTPLIKNFF >ENSMUSP00000017859.3 pep:known chromosome:GRCm38:11:117986885:118024011:1 gene:ENSMUSG00000017715.11 transcript:ENSMUST00000017859.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgs1 description:phosphatidylglycerophosphate synthase 1 [Source:MGI Symbol;Acc:MGI:1921701] XAPAAGPVFWRRLLGLLPGRPGLAALLGRLSDRLGRSRERRRRRSPWLLLAPLLSPTVPQ VTSPPCCLCPEGVHRFQWIRNLVPEFGVSSSHVRVLSSPAEFFELMKGQIKIAKRRVVMA SLYLGTGPLEQELVDCLESSLEKSLQAKFPSDLKVSILLDFTRGSRGRKNSRTMLLPLLQ RFPERVRVSLFHTPNLRGLLRLLIPERFNETIGLQHIKVYLFDNNVILSGANLSDSYFTN RQDRYVFLQDCAEIADFFTELVDAVGDVSLQLQGDDTVEVVDGMVHPYKGDRAAYCRAAN KRVMDVIHSARARQQMLHAQTFHSDSLLSQEEAAAAGDRRPAPDTWIYPLIQMKPFEIQI DEIVTETLLTEAERGAKVFLTTGYFNLTQAYMDLVLGTRAEYQILLASPEVNGFFGAKGV AGAIPAAYVHIERQFYSEVCSLGQQDRVQLQEYWRRGWTFHAKGQFTGTWKPRLPS >ENSMUSP00000097760.3 pep:known chromosome:GRCm38:11:118000795:118023925:1 gene:ENSMUSG00000017715.11 transcript:ENSMUST00000100185.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgs1 description:phosphatidylglycerophosphate synthase 1 [Source:MGI Symbol;Acc:MGI:1921701] MAGSVDCLESSLEKSLQAKFPSDLKVSILLDFTRGSRGRKNSRTMLLPLLQRFPERVRVS LFHTPNLRGLLRLLIPERFNETIGLQHIKVYLFDNNVILSGANLSDSYFTNRQDRYVFLQ DCAEIADFFTELVDAVGDVSLQLQGDDTVEVVDGMVHPYKGDRAAYCRAANKRVMDVIHS ARARQQMLHAQTFHSDSLLSQEEAAAAGDRRPAPDTWIYPLIQMKPFEIQIDEIVTETLL TEAERGAKVFLTTGYFNLTQAYMDLVLGTRAEYQILLASPEVNGFFGAKGVAGAIPAAYV HIERQFYSEVCSLGQQDRVQLQEYWRRGWTFHAKGQFTGTWKPRLPS >ENSMUSP00000129408.2 pep:known chromosome:GRCm38:7:101317086:101346626:1 gene:ENSMUSG00000030688.14 transcript:ENSMUST00000163799.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard10 description:START domain containing 10 [Source:MGI Symbol;Acc:MGI:1860093] MGPRAAPGRPGSGGSGGGGRARTPAPAGPGREARTGPRKVASASAAASTLSEPPRRTQES RTRTRAPGLPTLPMEKPAASTEPQGSRPALGRESVQVPDDQDFRSFRSECEAEVGWNLTY SKAGVSVWVQAVEMDRTLHKIKCRMECCDVPAETLYDVLHDIEYRKKWDSNVIETFDIAR LTVNADVGYYSWRCPKPLKNRDVITLRSWLPMGADYIIMNYSVKHPKYPPRKDLVRAVSI QTGYLIQSTGPKSCVITYLAQVDPKGSLPKWVVNKSSQFLAPKAMKKMYKACIKYPEWKQ KHQPHFKPWLHPEQSPLPSLALSELSVQHADSLENIDESAVTESREERAGGAGGEGSDDD TSLT >ENSMUSP00000032927.7 pep:known chromosome:GRCm38:7:101321113:101346308:1 gene:ENSMUSG00000030688.14 transcript:ENSMUST00000032927.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard10 description:START domain containing 10 [Source:MGI Symbol;Acc:MGI:1860093] MEKPAASTEPQGSRPALGRESVQVPDDQDFRSFRSECEAEVGWNLTYSKAGVSVWVQAVE MDRTLHKIKCRMECCDVPAETLYDVLHDIEYRKKWDSNVIETFDIARLTVNADVGYYSWR CPKPLKNRDVITLRSWLPMGADYIIMNYSVKHPKYPPRKDLVRAVSIQTGYLIQSTGPKS CVITYLAQVDPKGSLPKWVVNKSSQFLAPKAMKKMYKACIKYPEWKQKHQPHFKPWLHPE QSPLPSLALSELSVQHADSLENIDESAVTESREERAGGAGGEGSDDDTSLT >ENSMUSP00000134138.1 pep:known chromosome:GRCm38:7:101321489:101343279:1 gene:ENSMUSG00000030688.14 transcript:ENSMUST00000172630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard10 description:START domain containing 10 [Source:MGI Symbol;Acc:MGI:1860093] MEKPAASTEPQGSRPALGRESVQVPDDQDFRSFRSECEAEVGWNLTYSKAGVSVWVQAVE MDRTLHKIKCRMECCDVPAETLYDVLHDIEYRKKWDSNVIETFDIARLTVNADVGYYSWR CPKPLKNRDVITLRSWLPMGADYIIMNYSV >ENSMUSP00000133985.1 pep:known chromosome:GRCm38:7:101321714:101346189:1 gene:ENSMUSG00000030688.14 transcript:ENSMUST00000174291.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard10 description:START domain containing 10 [Source:MGI Symbol;Acc:MGI:1860093] XPRKVASASAAASTLSEPPRRTQESRTRTRAPGLPTLPMEKPAASTEPQGSRPALGRESV QCRMECCDVPAETLYDVLHDIEYRKKWDSNVIETFDIARLTVNADVGYYSWRCPKPLKNR DVITLRSWLPMGADYIIMNYSVKHPKYPPRKDLVRAVSIQTGYLIQSTGPKSCVITYLAQ VDPKGSLPKWVVNKSSQFLAPKAMKKMYKACIKYPEWKQKHQPHFKPWLHPEQSPLPSLA LSELSVQHADSLENIDESAVTESREERAGGAGGEGSDDDTSLT >ENSMUSP00000127962.2 pep:known chromosome:GRCm38:7:101321772:101346308:1 gene:ENSMUSG00000030688.14 transcript:ENSMUST00000167888.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard10 description:START domain containing 10 [Source:MGI Symbol;Acc:MGI:1860093] XRTQESRTRTRAPGLPTLPMEKPAASTEPQGSRPALGRESVQVPDDQDFRSFRSECEAEV GWNLTYSKAGVSVWVQAVEMDRTLHKIKCRMECCDVPAETLYDVLHDIEYRKKWDSNVIE TFDIARLTVNADVGYYSWRCPKPLKNRDVITLRSWLPMGADYIIMNYSVKHPKYPPRKDL VRAVSIQTGYLIQSTGPKSCVITYLAQVDPKGHEEDVQGLHQVPRVEAETPASFQAMAAP GAEPIAQPGAVRVVGATCRLTGEHR >ENSMUSP00000134156.1 pep:known chromosome:GRCm38:7:101321786:101346308:1 gene:ENSMUSG00000030688.14 transcript:ENSMUST00000172662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard10 description:START domain containing 10 [Source:MGI Symbol;Acc:MGI:1860093] XRTRTRAPGLPTLPMEKPAASTEPQGSRPALGRESVQVPDDQDFRSFRSECEAEVGWNLT YSKAGVSVWVQAVREERAATMTPRSPE >ENSMUSP00000133955.1 pep:known chromosome:GRCm38:7:101321827:101346049:1 gene:ENSMUSG00000030688.14 transcript:ENSMUST00000173270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard10 description:START domain containing 10 [Source:MGI Symbol;Acc:MGI:1860093] MEKPAASTEPQGSRPALGRESVQVPDDQDFRSFRSECEAEVGWNLTYSKAGVSVWVQAVE MDRTLHKIKCRMECCDVPAETLYDVLHDIEYRKKWDSNVIETFDIARLTVNADVGYYSWR CPKPLKNRDVITLRSWLPMGADYIIMNYSVKHPAPYPSGW >ENSMUSP00000134724.1 pep:known chromosome:GRCm38:7:101321971:101344332:1 gene:ENSMUSG00000030688.14 transcript:ENSMUST00000174083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard10 description:START domain containing 10 [Source:MGI Symbol;Acc:MGI:1860093] KAGVSVWVQAVEMDRTLHKIKCRMECCDVPAETLYDVLHDIEYRKKWDSNVIETFDIARL TVNADVGYYSWRCPKPLKNRDVITLRSWLPMGADYIIMNYSVKHPKYPPRKDLVRAVSIQ TGYLIQSTGPKSCVITYLAQVDPKGEGLALQSISRGSFPVQGMNWICQAI >ENSMUSP00000134430.1 pep:known chromosome:GRCm38:7:101342502:101346137:1 gene:ENSMUSG00000030688.14 transcript:ENSMUST00000174140.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stard10 description:START domain containing 10 [Source:MGI Symbol;Acc:MGI:1860093] XMECCDVPAETLYDVLHDIEYRKKWDSNVIETFDIARLTVNADGGVPSP >ENSMUSP00000133002.2 pep:known chromosome:GRCm38:7:101317119:101346311:1 gene:ENSMUSG00000030688.14 transcript:ENSMUST00000164479.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard10 description:START domain containing 10 [Source:MGI Symbol;Acc:MGI:1860093] MEKPAASTEPQGSRPALGRESVQVPDDQDFRSFRSECEAEVGWNLTYSKAGVSVWVQAVE MDRTLHKIKCRMECCDVPAETLYDVLHDIEYRKKWDSNVIETFDIARLTVNADVGYYSWR CPKPLKNRDVITLRSWLPMGADYIIMNYSVKHPKYPPRKDLVRAVSIQTGYLIQSTGPKS CVITYLAQVDPKGSLPKWVVNKSSQFLAPKAMKKMYKACIKYPEWKQKHQPHFKPWLHPE QSPLPSLALSELSVQHADSLENIDESAVTESREERAGGAGGEGSDDDTSLT >ENSMUSP00000122522.1 pep:known chromosome:GRCm38:5:121428590:121452487:-1 gene:ENSMUSG00000029616.9 transcript:ENSMUST00000153758.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Erp29 description:endoplasmic reticulum protein 29 [Source:MGI Symbol;Acc:MGI:1914647] MAAAAGVSGAASLSPLLSVLLGLLLLFAPHGGSGLHTKGALPLDTVTFYKVMEAFHQEGP RTELGFMASPSPTELLVPSDPSEDCASHLCDQRPL >ENSMUSP00000117347.1 pep:known chromosome:GRCm38:5:121440824:121452506:-1 gene:ENSMUSG00000029616.9 transcript:ENSMUST00000130451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erp29 description:endoplasmic reticulum protein 29 [Source:MGI Symbol;Acc:MGI:1914647] MAAAAGVSGAASLSPLLSVLLGLLLLFAPHGGSGLHTKGALPLDTVTFYKVIPKSKFVLV KFDTQYPYGEKQDEFKRLAENSASSEELLVAEVGISDYGDKLNMELSEKYKLDKESYPVF YLFRDGDLENPVLYNGAVKVGAIQRWLKGQGVYLGMPGCLPAYDALAGEFIKASSIEARQ AILKQGQDGLLSVKETEKKWASQYLKIMGKILDQGEDFPASEMARIGKLIENKMSDSKKE ELQKSLNILTAFRKKEAEKEEL >ENSMUSP00000059275.7 pep:known chromosome:GRCm38:5:121444756:121452496:-1 gene:ENSMUSG00000029616.9 transcript:ENSMUST00000052590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erp29 description:endoplasmic reticulum protein 29 [Source:MGI Symbol;Acc:MGI:1914647] MAAAAGVSGAASLSPLLSVLLGLLLLFAPHGGSGLHTKGALPLDTVTFYKTMATS >ENSMUSP00000107433.3 pep:known chromosome:GRCm38:5:121445241:121452348:-1 gene:ENSMUSG00000029616.9 transcript:ENSMUST00000111802.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Erp29 description:endoplasmic reticulum protein 29 [Source:MGI Symbol;Acc:MGI:1914647] MAAAAGVSGAASLSPLLSVLLGLLLLFAPHGGSGLHTKGALPLDTVTFYKSRLLLGP >ENSMUSP00000099870.1 pep:known chromosome:GRCm38:4:88602580:88603376:-1 gene:ENSMUSG00000073811.4 transcript:ENSMUST00000102806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna12 description:interferon alpha 12 [Source:MGI Symbol;Acc:MGI:2676324] MARLCAFLMTLLVMSYWSTCSLGCDLPQTHNLRNKRALTLLAQMRRLSPLSCLKDRKNFR FPQEKVDAQQIKKAQVIPVLSELTQQILTLFTSKDSSAAWNTTLLDSFCNDLHQQLNDLQ GCLMQQVGVQEPPLTQEDSLLAVRKYFHRITVYLREKKHSPCAWEVVRAEVWRTLSSSAK LLARLSEKE >ENSMUSP00000088118.5 pep:known chromosome:GRCm38:3:107183056:107195721:1 gene:ENSMUSG00000042861.7 transcript:ENSMUST00000055064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna10 description:potassium voltage-gated channel, shaker-related subfamily, member 10 [Source:MGI Symbol;Acc:MGI:3037820] MDVCSWKEMEVALVNFDNSDEIHEEPGYATDFDPTSSKGRPGSSPFSNWRVLISDNTNHE TAFSKIPGEYVDPPGPEPVVLNEGNQRVIINIAGLRFETQLRTLNQFPETLLGDREKRMQ FFDSMRNEYFFDRNRPSFDGILYYYQSGGKIRRPANVPIDVFADEISFYELGSEAMDQFR EDEGFIKDPETLLPTNDFHRQFWLLFEYPESSSAARGVAVVSVLVVVISITIFCLETLPE FREDRELKVVRDPSINTNKTGLSQTMFTDPFFMVESTCIVWFTFELVLRFVVCPSKTDFF KNIMNIIDIISIIPYFATLITELVQETEPSAQQNMSLAILRIIRLVRVFRIFKLSRHSKG LQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEVDEPESHFSSIPDGFWWAVVTMT TVGYGDMCPTTPGGKIVGTLCAIAGVLTIALPVPVIVSNFNYFYHRETENEEKPNIPGEL DKILNSMGSRMGSTESLNKTNGSCSAEKSRK >ENSMUSP00000075255.3 pep:known chromosome:GRCm38:5:87240493:87254804:-1 gene:ENSMUSG00000057425.3 transcript:ENSMUST00000075858.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2b37 description:UDP glucuronosyltransferase 2 family, polypeptide B37 [Source:MGI Symbol;Acc:MGI:2148239] MPGKWISALLLLQISCCFRSVKCGKVLVWPMEFSHWMNIKIILDELVQRGHEVTVLRPSA YYVLDPKKSPGLKFETFPTSVTKDDLENFFIQLLNVWTYELSRDTCLSYSPLMQNMFDEL SGYYLSLCKDVVSNRQLMTKLQESKFDVLLSDPVAFGGELIAELLHIPFLYSLRFTAGYR IEKSSGRFLLPPSYVPVILSGLGGQMTFIERVKNMICMLYFDFWFQMPNDKKWDSFYTEY LGRPTTLAETMGQAEMWLIRSNWDLEFPHPTLPNVDYVGGLHCKPAKPLPKDMEEYVQSS GDHGVVVFSLGSMVSNITEEKVNAIAWALAQIPQKVLWKFDGKTPATLGHNTRVYKWLPQ NDLLGHPKTKAFITHGGANSVYEAIYHGIPMIGIPLFGEQHDNIAHMVAKGAAVTLNIRT MSRSDVLNALEEVIENPFYKKNAMWLSTIHHDQPMKPLDRAVFWVEFVMRHKNAKHLRPL GHNLTWYQYHSLDVIGFLLACVAATVVLTVKCLLFIYRFFVTKKKKMKNE >ENSMUSP00000137992.1 pep:known chromosome:GRCm38:1:33761545:33814385:-1 gene:ENSMUSG00000042197.13 transcript:ENSMUST00000139143.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp451 description:zinc finger protein 451 [Source:MGI Symbol;Acc:MGI:2137896] MGDPGPEIIESVPPAGPEASESTTDENEDDIQFVSEGPLRPVLEYIDLVSSDDEEPSTSH SDDSEQMQLILEQKDHSNVEAGCGGLRSQFCVL >ENSMUSP00000019861.6 pep:known chromosome:GRCm38:1:33761545:33814527:-1 gene:ENSMUSG00000042197.13 transcript:ENSMUST00000019861.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp451 description:zinc finger protein 451 [Source:MGI Symbol;Acc:MGI:2137896] MGDPGPEIIESVPPAGPEASESTTDENEDDIQFVSEGPLRPVLEYIDLVSSDDEEPSTSH SDENFKCKDYIDHQKDKVALTLARLARHVEVEKQQKEEKNRAFREKIDFQHAHGLQELEF IQGHSETEAARQCVDQWLKMPGLRTNAANSGTKRSFQRGGRMWRSEKPILCPIMHCNKEF DNGHLLLGHLKRFDHSPCDPTITLHGPLANSFACAVCYEHFVTQQQYKDHLLSRTAAADG HSNSLLPQIIQCYACPQCFLLFSTKDECLKHMSTKNHFHQSFKLSDNKGTARPISFPSFA KKRLVSLCKDVPFQVKCVACHQTLRSHMELTAHFRVRCQNAGPVAIAEKSITQVAKEFIV RGYCSDCNQVFMDVASTQSHKNSGHKITLANSVEESVLLYCHISEGSRPPCDLHLFSQPK ISSLKRILSVKESSAEDCIVPTKKVNLGVESLGGATRVQRQSPAVTAWFCECRRQFPSEE AVEKHVFSANTMCYKCVVCGKVCEDSGVMRLHMSRFHGGAHLNNFLFWCRTCKKELVKKD AIMAHITEFHSGHRYFYEMDEVEEEEEEAMPSSSVESHLNTDKPPSPIAVVDHCPANSPP RGRWQCRICEDMFESQECVKQHCMSLTSHRFHRYSCAHCRKTFHKVETLYRHCQDEHDSE IMMKYFCGLCDLIFNKEEEFLSHYKEHHSIDYVFVSEKTKTSIKTEGDFKIVETSSLLSC GCHESYMCKINRKEDYDRCLPVLLEKGRLWFRCSSCSATAQNVTDINTHVCQVHRKEKSE EEQQYVIKCGICTKAFQNTESAQQHFHRKHAALQKPTATPGGANRSSTCQLAASASHAEK NLKQPSSQKHSDVEKGAEHDVRCQNIEEEVELPDVDYLRTMTHIVFVDFDNWSNFFGHLP GHLNQGTFIWGFQGGNTNWKPPLSCKVYNYLSRIGCFFLHPRCSKRKDAADFAICMHAGR LDEQLPKQIPFTILSGDQGFLELENQFKKTQRPAHILNPHHLEGDMMCALLNSISDTTKE CDSDDSSGMKGSPAEELRATEDVELEEAIRRSLEEM >ENSMUSP00000110821.2 pep:known chromosome:GRCm38:1:33777543:33805389:-1 gene:ENSMUSG00000042197.13 transcript:ENSMUST00000115167.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp451 description:zinc finger protein 451 [Source:MGI Symbol;Acc:MGI:2137896] IDLVSSDDEEPSTSHSDTAAADGHSNSLLPQIIQCYACPQCFLLFSTKDECLKHMSTKNH FHQSFKLSDNKGTARPISFPSFAKKRLVSLCKDVPFQVKCVACHQTLRSHMELTAHFRVR CQNAGPVAIAEKSITQVAKEFIVRGYCSDCNQVFMDVASTQSHKNSGHKITLANSVEESV LLYCHISEGSRPPCDLHLFSQPKISSLKRILSVKESSAEDCIVPT >ENSMUSP00000141714.1 pep:known chromosome:GRCm38:1:33779056:33783937:-1 gene:ENSMUSG00000042197.13 transcript:ENSMUST00000151055.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp451 description:zinc finger protein 451 [Source:MGI Symbol;Acc:MGI:2137896] GLQELEFIQGHSETEAARQCVDQWLKMPGIH >ENSMUSP00000141813.1 pep:known chromosome:GRCm38:1:33779189:33814426:-1 gene:ENSMUSG00000042197.13 transcript:ENSMUST00000194656.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp451 description:zinc finger protein 451 [Source:MGI Symbol;Acc:MGI:2137896] MGDPGPEIIESVPPAGPEASESTTDENEDDIQFVSEGPLRPVLEYIDLVSSDDEEPSTSH SDEKIDFQHAHGLQELEFIQGHSETEAARQCVDQWLKMPGLRTNAANSGTKRSFQRGGRM WRSEKPILCPIMHCNKEFDNGHLLLGHLKRFDHSPCDPTITLHGPLANSFACAVCYEHFV TQQQYKDHLLSRTAAADGHSNSLLPQIIQCYACPQCFLLFSTKDECLKHMSTKNHFHQSF KLSD >ENSMUSP00000044372.5 pep:known chromosome:GRCm38:1:33792136:33814570:-1 gene:ENSMUSG00000042197.13 transcript:ENSMUST00000044455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp451 description:zinc finger protein 451 [Source:MGI Symbol;Acc:MGI:2137896] MGDPGPEIIESVPPAGPEASESTTDENEDDIQFVSEGPLRPVLEYIDLVSSDDEEPSTSH SDRMPESKVPSSENHRPEMCSSCSVPLPIGDSSSSSGSCANSPQRIVSQPSSVENPLENQ KNDHNNSDVSVSEKETLKPQSNQTLPSASLLGSQESLAFSEVKEDLPGTMSSASQSGQDA ILYLQTQVAEMSRVIRDLQSRSCFRFHHSRPSESSSVPWDISSSKEDSLSTVEEESDCKS PSADDKGQPPDPMQSSFTGLLKRMEQRGVIKRVTFQSEAETCEGKPDWMTSKKRLVPPLH PLLRIATTEVFKDPADCHPSSFMGHRVYPVAKDTSPFQPNPPAEGPIIDVLEHSKRGNTA SPLDSTSKEMEVMGCRFYHAASIAARAASYMAYMTQYQRKLWEDMEDLVHDPEFDRGKAR CIISDGMDAGLWQLCTTRDIMDSVVRVMAMAVDYRRQAWLRLTSLTKKTQEKILHLPFDG TSLFGQDVNAIVAEDNSIKENEYKDHNKYYTQHRYFYSHDQKAHYHNRGYSKGDWYKPRN HPYRYRKKGDSPERHGYKN >ENSMUSP00000076733.6 pep:known chromosome:GRCm38:7:44112673:44116922:1 gene:ENSMUSG00000060177.6 transcript:ENSMUST00000077528.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b22 description:kallikrein 1-related peptidase b22 [Source:MGI Symbol;Acc:MGI:95291] MRFLILFLTLSLGGIDAAPPVQSRILGGFKCEKNSQPWQVAVYYLDEYLCGGVLLDRNWV LTAAHCYEDKYNIWLGKNKLFQDEPSAQHRLVSKSFPHPDFNMSLLQSVPTGADLSNDLM LLRLSKPADITDVVKPIDLPTTEPKLGSTCLASGWGSINQLIYQNPNDLQCVSIKLHPNE VCVKAHILKVTDVMLCAGEMNGGKDTCKGDSGGPLICDGVLQGITSWGSTPCGEPNAPAI YTKLIKFTSWIKDTMAKNP >ENSMUSP00000062884.2 pep:known chromosome:GRCm38:4:9844372:9862345:1 gene:ENSMUSG00000051279.2 transcript:ENSMUST00000057613.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf6 description:growth differentiation factor 6 [Source:MGI Symbol;Acc:MGI:95689] MDTPRVLLWAIFLISFLWDLPGFQQASISSSSSSSTELDSTKDVGNRKEGKMQRTPQESA EGRTPPEHGLRQKDLRRRPPGQHQGQEPPGRGLRVVPHEYMLSIYKTYSIAEKLGINASF FQSSKSANTITSFVDRGLDDLSHTPLRRQKYLFDVSTLSDKEELVGAELRLYRQAPPTPW GLPARPLHLQLFPCLSPLLLDARTLDPQGPTQAGWEVFDVWQGLRPQPWKQLCLELRAAW GELDAGDTGARARGPQQPPPLDLRSLGFGRRVRPPQERALLVVFTRSQRKNLFTEMHEQL GSAEAAGAEGSWPAPSGSPDAGSWLPSPGRRRRRTAFASRHGKRHGKKSRLRCSRKPLHV NFKELGWDDWIIAPLEYEAYHCEGVCDFPLRSHLEPTNHAIIQTLMNSMDPGSTPPSCCV PTKLTPISILYIDAGNNVVYKQYEDMVVESCGCR >ENSMUSP00000106513.1 pep:known chromosome:GRCm38:14:76414961:76507762:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000110888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] MHQPPESTAAAAAAADISARKMAHPAMFPRRGSGGGSASALNAAGTGVSGAAPSSEDFPP PSLLQPPPPAASSTQGPQPPPPQSLNLLSQAQLQGQPLAPGGTQMKKKSGFQITSVTPAQ ISASISSNNSIAEDTESYDDLDESHTEDLSSSEILDVSLSRATDLGEPERSSSEETLNNF QEAETPGAVSPNQPHLPQPHLPHLPQQNVVINGNAHPHHLHHHHHPHHGHHLHHGHHHSS HAAVAGPSIPGGPPSSPVSRKLSTTGSSDGGVPVAPPPAVPSSGLPASVMTNIRTPSTTG SLAAGITVGVVSSQQQQQQQQQPTVNTSRFRVVKLDSTSEPFKKGRWTCTEFYEKENAVP ATEGVAVNKVVETVKQTPTEASSSERESTSGSSVSSSVSTLSHYTESVGSGEMMGAPAVV APQQPPLPPAPPGLQGVALQQLEFSSPAPQSIAAVSMPQSISQSQMSQVQLQPQELSFQQ KQTLQPVPLQATMSAATGIQPSPVSVVGITAAVGQQPSVSSLAQPQLPYSQTAPPVQTPL PGAPPQQLQYGQQQPMVPAQIAPGHGQPVTQNPTSEYVQQQQQPIFQAALSSGQSSSTGT GAGISVIPVAQAQGIQLPGQPTAVQTQPAGAAGQPIGQAQTAVSTVPTGGQIASIGQQAN IPTAVQQPSTQVTPSVIQQGAPPSSQVVLPAPTGIIHQGVQTRASSLPQQLVIAPQSTLV TVPPQPQGVETVAQGVVSQQLPTGSPLPSASTISVTNQVSSAAPSGMPSVPTNLVPPQNI AQPPATQNGSLVQSVSQSPLIATNINLPLAQQIPLSSTQFSTQSLAQAIGSQMEDARRPA EPSLGGLPQTMSGDSGGMSAVSDGSSSSLAAPASLFPLKVLPLTTPLVDGEDESSGASVV AIDNKIEQAMDLVKSHLMYAVREEVEVLKEQIKELIEKNSQLEQENNLLKTLASPEQLAQ FQAQLQTGSPPATTQPQGTTQPPAQPASQGSGSTA >ENSMUSP00000044517.8 pep:known chromosome:GRCm38:14:76415587:76507762:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000048371.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] MHQPPESTAAAAAAADISARKMAHPAMFPRRGSGGGSASALNAAGTGVSGAAPSSEDFPP PSLLQPPPPAASSTQGPQPPPPQSLNLLSQAQLQGQPLAPGGTQMKKKSGFQITSVTPAQ ISASISSNNSIAEDTESYDDLDESHTEDLSSSEILDVSLSRATDLGEPERSSSEETLNNF QEAETPGAVSPNQPHLPQPHLPHLPQQNVVINGNAHPHHLHHHHHPHHGHHLHHGHHHSS HAAVAGPSIPGGPPSSPVSRKLSTTGSSDGGVPVAPPPAVPSSGLPASVMTNIRTPSTTG SLGINSVTGTSATNNVNIAAVGSFSPSVTNSVHGNANINTSNIPNAASISGGPGVTSVVN SSILSGMGNGTVSSSPVANSVLNAAAGITVGVVSSQQQQQQQQQPTVNTSRFRVVKLDST SEPFKKGRWTCTEFYEKENAVPATEGVAVNKVVETVKQTPTEASSSERESTSGSSVSSSV STLSHYTESVGSGEMMGAPAVVAPQQPPLPPAPPGLQGVALQQLEFSSPAPQSIAAVSMP QSISQSQMSQVQLQPQELSFQQKQTLQPVPLQATMSAATGIQPSPVSVVGITAAVGQQPS VSSLAQPQLPYSQTAPPVQTPLPGAPPQQLQYGQQQPMVPAQIAPGHGQPVTQNPTSEYV QQQQQPIFQAALSSGQSSSTGTGAGISVIPVAQAQGIQLPGQPTAVQTQPAGAAGQPIGQ AQTAVSTVPTGGQIASIGQQANIPTAVQQPSTQVTPSVIQQGAPPSSQVVLPAPTGIIHQ GVQTRASSLPQQLVIAPQSTLVTVPPQPQGVETVAQGVVSQQLPTGSPLPSASTISVTNQ VSSAAPSGMPSVPTNLVPPQNIAQPPATQNGSLVQSVSQSPLIATNINLPLAQQIPLSST QFSTQSLAQAIGSQMEDARRPAEPSLGGLPQTMSGDSGGMSAVSDGSSSSLAAPASLFPL KVLPLTTPLVDGEDESSGASVVAIDNKIEQAMDLVKSHLMYAVREEVEVLKEQIKELIEK NSQLEQENNLLKTLASPEQLAQFQAQLQTGSPPATTQPQGTTQPPAQPASQGSGSTA >ENSMUSP00000135202.1 pep:known chromosome:GRCm38:14:76418354:76441628:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000176886.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] VIQQGAPPSSQVVLPAPTGIIHQGVQTRASSLPQQLVIAPQSTLVTVPPQPQGVETVAQG VVSQQLPTGSPLPSASTISVTNQVLPLTTPLVDGEDESFGLFPPWLPEPGGSEGRRHCRG HCLLNAEM >ENSMUSP00000135789.1 pep:known chromosome:GRCm38:14:76418648:76438999:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000176581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] LVPPQNIAQPPATQNGSLVQSVSQSPLIATNINLPLAQQIPLSSTQFSTQSLAQAIGSQM EDARRPAEPSLGGLPQTMSGDSGGMSAVSDGSSSSLAAPASLFPLKVLPLTTPLVDGEDE RGTRSDPRATAQ >ENSMUSP00000135307.1 pep:known chromosome:GRCm38:14:76418651:76440340:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000175984.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] VPPQNIAQPPATQNGSLVQSVSQSPLIATNINLPLAQQIPLSSTQFSTQSLAQAIGSQME DARRPAEPSLGGLPQTMSGDSGGMSAVSDGSSSSLAAPASLFPLKVLPLTTPLVDGEDES SISVLLSSQRYKE >ENSMUSP00000134792.1 pep:known chromosome:GRCm38:14:76418828:76440412:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000177471.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] EDARRPAEPSLGGLPQTMSGDSGGMSAVSDGSSSSLAAPASLFPLKVLPLTTPLVDGEDE SASLLPEVQGVILEPQHRYSQDHGELLTSGLHFLH >ENSMUSP00000135806.1 pep:known chromosome:GRCm38:14:76476917:76506930:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000140251.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] MDLVKSHLMYAVREEVEVLKEQIKELIEKNSQLEQENNLLKTLASPEQLAQFQAQLQTGS PPATTQPQGTTQPPAQPASQGSGSTA >ENSMUSP00000135493.1 pep:known chromosome:GRCm38:14:76487759:76506998:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000142683.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] MDLVKSHLMYAVREEVEVLKEQIKELIEKNSQLEQENNLLKTLASPEQLAQFQAQLQTGS PPATTQPQGTTQPPAQPASQGSGSTA >ENSMUSP00000099140.2 pep:known chromosome:GRCm38:14:76488436:76507170:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000101618.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] MTKALSSGASVVAIDNKIEQAMDLVKSHLMYAVREEVEVLKEQIKELIEKNSQLEQENNL LKTLASPEQLAQFQAQLQTGSPPATTQPQGTTQPPAQPASQGSGSTA >ENSMUSP00000135296.1 pep:known chromosome:GRCm38:14:76504214:76507763:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000177207.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] MDLVKSHLMYAVREEVEVLKEQIKELIEKNSQLEQENNLLKTLASPEQLAQFQAQLQTGS PPATTQPQGTTQPPAQPASQGSGSTA >ENSMUSP00000022587.9 pep:known chromosome:GRCm38:14:76504478:76507765:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000022587.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] MKSQWCRPVAMDLGVYQLRHFSISFLSSLLGTENASVRLDNSSGASVVAIDNKIEQAMDL VKSHLMYAVREEVEVLKEQIKELIEKNSQLEQENNLLKTLASPEQLAQFQAQLQTGSPPA TTQPQGTTQPPAQPASQGSGSTA >ENSMUSP00000135146.1 pep:known chromosome:GRCm38:14:76504530:76505737:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000134109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] MKSQWCRPVAMDLGVYQLRHFSISFLSSLLGTENASVRLDNSSGASVVAIDNKIEQAMVC TDLKLLVLNVGAAQKPTCLGND >ENSMUSP00000135561.1 pep:known chromosome:GRCm38:14:76505098:76507002:1 gene:ENSMUSG00000022010.19 transcript:ENSMUST00000133224.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d1 description:TSC22 domain family, member 1 [Source:MGI Symbol;Acc:MGI:109127] MDLVKSHLMYAVREEVEVLKEQIKELIEKNSQLEQENNLLKTLASPEQLAQFQAQLQTGS PPATTQPQGTTQPPAQPASQGSGSTA >ENSMUSP00000141941.1 pep:known chromosome:GRCm38:1:159232320:159250119:1 gene:ENSMUSG00000040782.12 transcript:ENSMUST00000195800.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rfwd2 description:ring finger and WD repeat domain 2 [Source:MGI Symbol;Acc:MGI:1347046] MSGSRQAGSGSAGTSPGSSAASSVTSASSSLSSSPSPPSVAASAATLVSGGVAPAAGSGG LGGPGRPVLVAAAVSGSASAGGAVSAGQSRLSCAARPSAGVGGSSSSLGSSSRKRPLLVP LCNGLLNSYEDKSNDFVCPICFDMIEEAYMTKCGHSFCE >ENSMUSP00000076160.5 pep:known chromosome:GRCm38:1:159232326:159347640:1 gene:ENSMUSG00000040782.12 transcript:ENSMUST00000076894.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfwd2 description:ring finger and WD repeat domain 2 [Source:MGI Symbol;Acc:MGI:1347046] MSGSRQAGSGSAGTSPGSSAASSVTSASSSLSSSPSPPSVAASAATLVSGGVAPAAGSGG LGGPGRPVLVAAAVSGSASAGGAVSAGQSRLSCAARPSAGVGGSSSSLGSSSRKRPLLVP LCNGLLNSYEDKSNDFVCPICFDMIEEAYMTKCGHSFCYKCIHQSLEDNNRCPKCNYVVD NIDHLYPNFLVNELILKQKQRFEEKRFKLDHSVSSTNGHRWQIFQDLLGTDQDNLDLANV NLMLELLVQKKKQLEAESHAAQLQILMEFLKVARRNKREQLEQIQKELSVLEEDIKRVEE MSGLYSPVSEDSTVPQFEAPSPSHSSIIDSTEYSQPPGFSGTSQTKKQPWYNSTLASRRK RLTAHFEDLEQCYFSTRMSRISDDSRTASQLDEFQECLSKFTRYNSVRPLATLSYASDLY NGSSIVSSIEFDRDCDYFAIAGVTKKIKVYEYGTVIQDAVDIHYPENEMTCNSKISCISW SSYHKNLLASSDYEGTVILWDGFTGQRSKVYQEHEKRCWSVDFNLMDPKLLASGSDDAKV KLWSTNLDNSVASIEAKANVCCVKFSPSSRYHLAFGCADHCVHYYDLRNTKQPIMVFKGH RKAVSYAKFVSGEEIVSASTDSQLKLWNVGKPYCLRSFKGHINEKNFVGLASNGDYIACG SENNSLYLYYKGLSKTLLTFKFDTVKSVLDKDRKEDDTNEFVSAVCWRALSDGESNVLIA ANSQGTIKVLELV >ENSMUSP00000141285.1 pep:known chromosome:GRCm38:1:159232648:159289019:1 gene:ENSMUSG00000040782.12 transcript:ENSMUST00000192215.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfwd2 description:ring finger and WD repeat domain 2 [Source:MGI Symbol;Acc:MGI:1347046] AAAVSGSASAGGAVSAGQSRLSCAARPSAGVGGSSSSLGSSSRKRPLLVPLCNGLLNSYE DKSNDFVCPICFDMIEEAYMTKCGHSFCYKCIHQSLEDNNRCPKCNYVVDNIDHLYPNFL VNELILKQKQRFEEKRFKLDHSNGHRWQIFQDLLGTDQDNLDLANVNLMLELLVQKKKQL EAESHAAQLQILMEFLKVARRNKREQLEQIQKELSVLEEDIKRVEEMSGLYSPVSEDSTV PQFEAPSPSHSSIIDSTEYSQPPGFSGTSQTKKQPWYNSTLASRRKRLTAHFEDLEQCYF STRMSRISDDSRTASQLDEFQECLSKFTRYNSVRPLATLSYASDLY >ENSMUSP00000141561.1 pep:known chromosome:GRCm38:1:159232690:159250142:1 gene:ENSMUSG00000040782.12 transcript:ENSMUST00000195554.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rfwd2 description:ring finger and WD repeat domain 2 [Source:MGI Symbol;Acc:MGI:1347046] XPRASPGSAARPGPAPALEGAAPASAVAVGSDLCSSRFVTGSSTPTRTKATTSSVPSALI >ENSMUSP00000142031.1 pep:known chromosome:GRCm38:1:159249248:159306598:1 gene:ENSMUSG00000040782.12 transcript:ENSMUST00000192762.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfwd2 description:ring finger and WD repeat domain 2 [Source:MGI Symbol;Acc:MGI:1347046] XFKLDHSNGHRWQIFQDLLGTDQDNLDLANVNLMLELLVQKKKQLEAESHAAQLQILMEF LKVARRNKREQLEQIQKELSVLEEDIKRVEEMSGLYSPVSEDSTVPQFEAPSPSHSSIID STEYSQPPGFSGTSQTKKQPWYNSTLASRRKRLTAHFEDLEQCYFSTRMSRISDDSRTAS QLDEFQECLSKFTRYNSVRPLATLSYASDLYNGSSIVSSIEFDRDCD >ENSMUSP00000141200.1 pep:known chromosome:GRCm38:1:159258668:159308489:1 gene:ENSMUSG00000040782.12 transcript:ENSMUST00000195044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfwd2 description:ring finger and WD repeat domain 2 [Source:MGI Symbol;Acc:MGI:1347046] MSGLYSPVSEDSTVPQFEAPSPSHSSIIDSTEYSQPPGFSGTSQTKKQPWYNSTLASRRK RLTAHFEDLEQCYFSTRMSRISDDSRTASQLDEFQECLSKFTRYNSVRPLATLSYASDLY NGSSIVSSIEFDRDCDYFAIAGVTKKIKVYEYGTVIQDAVDIHYPENEMTCNSKISCISW SSYHKNLLASSDYEGTVILWDG >ENSMUSP00000141490.1 pep:known chromosome:GRCm38:1:159306648:159325027:1 gene:ENSMUSG00000040782.12 transcript:ENSMUST00000192044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfwd2 description:ring finger and WD repeat domain 2 [Source:MGI Symbol;Acc:MGI:1347046] XTVIQDAVDIHYPENEMTCNSKISCISWSSYHKNLLASSDYEGTVILWDGFTGQRSKVYQ EHEKRCWSVDFNLMDPKLLASGSDDAKDNSVASIEAKANVCCVKFSPSSRYHLAFGCADH CVHYYDLRNTKQPIMVFKGHRKAVSYAKFVSGEEI >ENSMUSP00000114406.1 pep:known chromosome:GRCm38:13:104142149:104178469:-1 gene:ENSMUSG00000021711.16 transcript:ENSMUST00000144060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc13 description:trafficking protein particle complex 13 [Source:MGI Symbol;Acc:MGI:1914225] MEVNPPKQEHLLALKVMRLTKPTLFTNIPVTCEEKDLPGDLFNQLMKDDPSTVNGAEILM LGEMLTLPQNFGNIFLGETFSSYISVHNDSNQVVKDILVKADLQTSSQRLNLSASNAAVA ELKPDCCIDDVIHHEVKEIGTHILVCAVSYTTQGGEKMYFRKFFKFQVLKPLDVKTKFYN AETDEVFLEAQIQNITTSPMFMEKVSLEPSIMYNVTELNSVTQAGECISTFGSRGYLQPM DTRQYLYCLKPKKEFAEKAGIIKGVTVIGKLDIVWKTNLGERGRLQTSQLQRMAPGYGDV RLSLEAIPDTVNLEEPFHITCKITNCSSERMMDLVLEMCNTNSIHWCGISGRQLGKLHPS SSLCLALTLLSSVQGLQSVSGLRLTDTFLKRTYEYDDIAQVCVVSAAVEVEA >ENSMUSP00000118316.1 pep:known chromosome:GRCm38:13:104142156:104178425:-1 gene:ENSMUSG00000021711.16 transcript:ENSMUST00000141557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc13 description:trafficking protein particle complex 13 [Source:MGI Symbol;Acc:MGI:1914225] MEVNPPKQEHLLALKVMRLTKPTLFTNIPVTCEEKDLPGDLFNQLMKDDPSTVNGAEILM LGEMLTLPQNFGNIFLGETFSSYISVHNDSNQVVKDILVKADLQTSSQRLNLSASNAAVA ELKPDCCIDDVIHHEVKEIGTHILVCAVSYTTQGGEKMYFRKFFKFQVLKPLDVKTKFYN AESDLSSVTDEVFLEAQIQNITTSPMFMEKVSLEPSIMYNVTELNSVTQAGECISTFGSR GYLQPMDTRQYLYCLKPKKEFAEKAGIIKGVTVIGKLDIVWKTNLGERGRLQTSQLQRMA PGYGDVRLSLEAIPDTVNLEEPFHITCKITNCSERMMDLVLEMCNTNSIHWCGISGRQLG KLHPSSSLCLALTLLSSVQGLQSVSGLRLTDTFLKRTYEYDDIAQVCVVSAAVEVEA >ENSMUSP00000022224.9 pep:known chromosome:GRCm38:13:104143317:104178423:-1 gene:ENSMUSG00000021711.16 transcript:ENSMUST00000022224.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc13 description:trafficking protein particle complex 13 [Source:MGI Symbol;Acc:MGI:1914225] MEVNPPKQEHLLALKVMRLTKPTLFTNIPVTCEEKDLPGDLFNQLMKDDPSTVNGAEILM LGEMLTLPQNFGNIFLGETFSSYISVHNDSNQVVKDILVKADLQTSSQRLNLSASNAAVA ELKPDCCIDDVIHHEVKEIGTHILVCAVSYTTQGGEKMYFRKFFKFQVLKPLDVKTKFYN AESDLSSVTDEVFLEAQIQNITTSPMFMEKVSLEPSIMYNVTELNSVTQAGECISTFGSR GYLQPMDTRQYLYCLKPKKEFAEKAGIIKGVTVIGKLDIVWKTNLGERGRLQTSQLQRMA PGYGDVRLSLEAIPDTVNLEEPFHITCKITNCSSERMMDLVLEMCNTNSIHWCGISGRQL GKLHPSSSLCLALTLLSSVQGLQSVSGLRLTDTFLKRTYEYDDIAQVCVVSAAVEVEA >ENSMUSP00000136986.1 pep:known chromosome:GRCm38:13:104143954:104178407:-1 gene:ENSMUSG00000021711.16 transcript:ENSMUST00000179891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc13 description:trafficking protein particle complex 13 [Source:MGI Symbol;Acc:MGI:1914225] MEVNPPKQEHLLALKVMRLTKPTLFTNIPVTCEEKDLPGDLFNQLMKDDPSTVNGAEILM LGEMLTLPQNFGNIFLGETFSSYISVHNDSNQVVKDILVKADLQTSSQRLNLSASNAAVA ELKPDCCIDDVIHHEVKEIGTHILVCAVSYTTQGGEKMYFRKFFKFQVLKPLDVKTKFYN AEVTISCLTDEVFLEAQIQNITTSPMFMEKVSLEPSIMYNVTELNSVTQAGECISTFGSR GYLQPMDTRQYLYCLKPKKEFAEKAGIIKGVTVIGKLDIVWKTNLGERGRLQTSQLQRMA PGYGDVRLSLEAIPDTVNLEEPFHITCKITNCSSERMMDLVLEMCNTNSIHWCGISGRQL GKLHPSSSLCLALTLLSSVQGLQSVSGLRLTDTFLKRTYEYDDIAQVCVVSAAVEVEA >ENSMUSP00000098320.4 pep:known chromosome:GRCm38:5:121518576:121522071:-1 gene:ENSMUSG00000072647.5 transcript:ENSMUST00000100757.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam1a description:a disintegrin and metallopeptidase domain 1a [Source:MGI Symbol;Acc:MGI:2429504] MSVAAAGRGFASSLSSPQIRRIALKEAKLTPHIWAALHWNLGLRLVPSVRVGILVLLIFL PSTFCDIGSVYNSSYETVIPERLPGKGGKDPGGKVSYMLLMQGQKQLLHLEVKGHYPENN FPVYSYHNGILRQEMPLLSQDCHYEGYMEGVPGSFVSVNICSGLRGVLIKEETSYGIEPM LSSKNFEHVLYTMEHQPVVSCSVTPKDSPGDTSHPPRSRKPDDLLVLTDWWSHTKYVEMF VVVNHQRFQMWGSNINETVQAVMDIIALANSFTRGINTEVVLVGLEIWTEGDPIEVPVDL QTTLRNFNFWRQEKLVGRVRHDVAHLIVGHRPGENEGQAFLRGACSGEFAAAVEAFHHED VLLFAALMAHELGHNLGIQHDHPTCTCGPKHFCLMGEKIGKDSGFSNCSSDHFLRFLHDH RGACLLDEPGRQSRMRRAANCGNGVVEDLEECDCGSDCDSHPCCSPTCTLKEGAQCSEGL CCYNCTFKKKGSLCRPAEDVCDLPEYCDGSTQECPANSYMQDGTQCDRIYYCLGGWCKNP DKQCSRIYGYPARSAPEECYISVNTKANRFGNCGHPTSANFRYETCSDEDVFCGKLVCTD VRYLPKVKPLHSLLQVPYGEDWCWSMDAYNITDVPDDGDVQSGTFCAPNKVCMEYICTGR GVLQYNCEPQEMCHGNGVCNNFKHCHCDAGFAPPDCSSPGNGGSVDSGPVGKPADRHLSL SFLAEESPDDKMEDEEVNLKVMVLVVPIFLVVLLCCLMLIAYLWSEVQEVVSPPSSSESS SSSSWSDSDSQ >ENSMUSP00000027256.5 pep:known chromosome:GRCm38:1:37890477:37898535:1 gene:ENSMUSG00000026087.11 transcript:ENSMUST00000027256.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl30 description:mitochondrial ribosomal protein L30 [Source:MGI Symbol;Acc:MGI:1333820] MAGVLRSAFPRPPCRLQTVKKGAESLIGTEWIRHKFTKSRIPDKVFQPKPEDHEKYGGDP QNPHKLHIVTRIRSTKRRPYWEKDTIKMLGLQKAHSPQIHKNIPSVNAKLKVVKHLIRIQ PLKLPQGLPTEETMSSTCLKSTGELVVQWHLKPVEQEAKS >ENSMUSP00000141654.1 pep:known chromosome:GRCm38:1:37890532:37898364:1 gene:ENSMUSG00000026087.11 transcript:ENSMUST00000193673.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl30 description:mitochondrial ribosomal protein L30 [Source:MGI Symbol;Acc:MGI:1333820] MAGVLRSAFPRPPCRLQTVKKGAESLIGTEWIRHKFTKSRIPDKVFQPKPEDHEKYGGDP QNPHKLHIVTRIRSTKRRPYWEKDTIKMLGLQKAHSPQIHKNIPSVNAKLKVVKHLIRIQ PLKLPQGLPTEETMSSTCLKSTGELVVQWHLKPVEQEAKS >ENSMUSP00000141883.1 pep:known chromosome:GRCm38:1:37890543:37893984:1 gene:ENSMUSG00000026087.11 transcript:ENSMUST00000160082.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl30 description:mitochondrial ribosomal protein L30 [Source:MGI Symbol;Acc:MGI:1333820] MAGVLRS >ENSMUSP00000142168.1 pep:known chromosome:GRCm38:1:37892933:37898165:1 gene:ENSMUSG00000026087.11 transcript:ENSMUST00000194857.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl30 description:mitochondrial ribosomal protein L30 [Source:MGI Symbol;Acc:MGI:1333820] MAGVLRSAFPRPPCRLQTVKKGAESLIGTEWIRHKFTKSRIPDKVFQPKPEDHEKYGGDP QNPHKLHIVTRIRSTKRRPYWEKDTIKMLGLQKAHSPQIHKNIPSVNAKLKVVKHLIRIQ PLKLPQGL >ENSMUSP00000141693.1 pep:known chromosome:GRCm38:1:37893935:37898338:1 gene:ENSMUSG00000026087.11 transcript:ENSMUST00000195373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl30 description:mitochondrial ribosomal protein L30 [Source:MGI Symbol;Acc:MGI:1333820] MAGVLRSAFPRPPCRLQTVKKGAESLIGTEWIRHKFTKSRIPDKVFQPKPEDHEKYGGDP QNPHKLHIVTRIRSTKRRPYWEKDTIKMLGLQKAHSPQIHKNIPSVNAKLKVVKHLIRIQ PLKLPQGLPTEETMSSTCLKSTGELVVQWHLKPVEQEAKS >ENSMUSP00000142503.1 pep:known chromosome:GRCm38:5:121518613:121545482:-1 gene:ENSMUSG00000105340.4 transcript:ENSMUST00000125946.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm42878 description:predicted gene 42878 [Source:MGI Symbol;Acc:MGI:5663015] MSEDSDMEKAIKETSILEEYSINWTQKLGAGISGPVRVCVKKSTQERFALKILLDRPKAR NEVRLHMMCATHPNIVQIIEVFANSVQFPHESSPRARLLIVMEMMEGGELFHRISQHRHF TEKQASQVTKQATLALQHCHLLNIAHRDLKPENLLFKDNSLDAPVKLCDFGFAKVDQGDL MTPQFTPYYVAPQVLEAQRRHQKEKSGIIPTSPTPYTYNKSCDLWSLGVIIYVMLCGYPP FYSKHHSRTIPKDMRKKIMTGSFEFPEEEWSQISEMAKDVVRKLLKVKPEERLTIEGVLD HPWLNSTEALDNVLPSAQLMMDKAVVAGIQQAHAEQLANMRIQDLKVSLKPLHSVNNPIL RKRKLLGTKPKDGIYIHDHENGTEDSNVALEKLRDVIAQCILPQAGENEDEKLNEVMQEA WKYNRECKLLRDALQSFSWNGRGFTDKVDRLKLAEVVKQVIEEQTLPHEPQ >ENSMUSP00000143668.1 pep:known chromosome:GRCm38:5:121518613:121545482:-1 gene:ENSMUSG00000105340.4 transcript:ENSMUST00000200170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm42878 description:predicted gene 42878 [Source:MGI Symbol;Acc:MGI:5663015] MSEDSDMEKAIKETSILEEYSINWTQKLGAGISGPVRVCVKKSTQERFALKILLDRPKAR NEVRLHMMCATHPNIVQIIEVFANSVQFPHESSPRARLLIVMEMMEGGELFHRISQHRHF TEKQASQVTKQIALALQHCHLLNIAHRDLKPENLLFKDNSLDAPVKLCDFGFAKVDQGDL MTPQFTPYYVAPQVLEAQRRHQKEKSGIIPTSPTPYTYNKSCDLWSLGVIIYVMLCGYPP FYSKHHSRTIPKDMRKKIMTGSFEFPEEEWSQISEMAKDVVRKLLKVKPEERLTIEGVLD HPWLNSTEALDNVLPSAQLMMDKAVVAGIQQAHAEQLANMRIQDLKVSLKPLHSVNNPIL RKRKLLGTKPKDGIYIHDHENGTEDSNVALEKLRDVIAQCILPQAGENEDEKLNEVMQEA WKYNRECKLLRDALQSFSWNGRGFTDKVDRLKLAEVVKQVIEEQTLPHEPQ >ENSMUSP00000059834.8 pep:known chromosome:GRCm38:4:144157557:144162663:-1 gene:ENSMUSG00000050810.8 transcript:ENSMUST00000050933.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oog3 description:oogenesin 3 [Source:MGI Symbol;Acc:MGI:2684047] MMICHQCLDQDDSSEEEEAVSVYSPSTLVKLARQRLLREEALVISALKGLPNMLFPVIFE EAFINGHTKILKAMIPMWPFPYLSVGALTNNCNLKTLKAVLDGLDILLAQKVRSSRCKLR VLKWRDEQHDFCGIWPGSHEAEDLPELMTQKHPVQNNPDCGVKKELRVTTELSVMKGRLD DSATYLLEWAQQRKDSIHLLCRKLVIETLTKDTVIEIFKIVNADCIQELELYSLCLEDLA FLNPYLRQMDNLLELTLDHVTDSLSMGDSEMCEEEMITLVSQLPTFPCLQKLCVNDVYFI YGNLNEILRCLKKPLVSFCISNCELSQSDLDCLPYCLNIFELKCLYLIDIPLNHLCLDPL GFLLESVRHTLECLELKSCDMGEPQFNALLPALSQCSHLTDVSFWENELSLLFLKQLLQH TSKLTQLSYELYPAPLECYDDRGLILSHRLEQFCPELLDILRAKRQPKDIAFVTNPCSKC GRYYVYDPKTQRFSLEDTTL >ENSMUSP00000017151.1 pep:known chromosome:GRCm38:2:164403194:164415448:1 gene:ENSMUSG00000017007.9 transcript:ENSMUST00000017151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpjl description:recombination signal binding protein for immunoglobulin kappa J region-like [Source:MGI Symbol;Acc:MGI:1196616] MDPRETTDPSLPPGPLTHLSLPDSSEVRLQSDGPSLLGSWTRSPPEHAIILREGVRTCLQ QRCEQTVWILHAKVAQKSYGNEKRFFCPPPCVYLAGPGWRVKPMQDQALQSAETGPTVCG YMGLDGASGSAPETQKLNFEEQPDSREFGCAKTLYISDADKRKHFRLVLRLVLRGGQELG TFHSRLIKVISKPSQKKQSLKNTDLCISSGSKVSLFNRLRSQTVSTRYLSVEDGAFVASA RQWAAFTLHLADGHCSQGDFPPQEGYIRYGSLVQLVCTVTGITLPPMIIRKVAKQCALLD VDEPISQLHKCAFQFPSDTPGGAGTYLCLATEKVVRFQASLCPKEANRALLNDSSCWTII GTESVEFTFSTSLACTREPVTPVPLISTLELSGGGDVATLELHGENFHAGLKVWFGDVEA ETMYRSPRSLVCVVPDVAAFGSDWRWLRTPITVPVSLLRADALFYPSPFSFTYTPEYSAL PRLPNAQEPAPDADTLLESIHHEFTRTNFHLFCPT >ENSMUSP00000145697.1 pep:known chromosome:GRCm38:4:134246935:134250042:1 gene:ENSMUSG00000108398.1 transcript:ENSMUST00000205501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-354H24.9 MNQAFWKTYKSKVLQTLSGESEENLAEERESPTLEESEKAEPTEETFNPMSQLARRVQGV GVKGWLTMSSLFNKEDEDKLLPPEPCADHPLAAPPSSQAATAETEPRGPGFWDAFASRWQ QQQQAAASMLRGVETAAERDPEPQDKPDEEATECPETREADPAAGFKWGFLTHKLAEMRV KAAPKGD >ENSMUSP00000073884.1 pep:known chromosome:GRCm38:7:103172691:103173635:-1 gene:ENSMUSG00000057461.1 transcript:ENSMUST00000074272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr591 description:olfactory receptor 591 [Source:MGI Symbol;Acc:MGI:3030425] MLTYNKTNVHPSTFILIGIPGLEAAHMWISIPFCMGYILALVGNSSLLFIIKTDSSLHEP MYLFLCMLAVADLVVCTTAVPKLLSLFWFHDGEIRFEACLTQVFLIHSCSTMESGFLVGM AFDRYVAICNPLRHSAILTRTVTGAMGLAIVLRGAAFLSLHPFLLRWLPYCKTNIISHTY CEFMALIKIACAETSIRRAYSLIVAFLTGGVDFILIICSYVLILNTVFHLPSKDARLKTL GTCGSHVCVILVFYTPAFFSFLTHRFGHKVAPHVHILVANMYLLVPPMLDPIIYGVRTKK IRDRFLKLFLFQRV >ENSMUSP00000099755.3 pep:known chromosome:GRCm38:3:101040232:101110278:-1 gene:ENSMUSG00000027864.9 transcript:ENSMUST00000102694.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgfrn description:prostaglandin F2 receptor negative regulator [Source:MGI Symbol;Acc:MGI:1277114] MGRPAPRPLLLALLSLAVCRGRVVRVPAGTLVRVVGTELVIPCNVSDYDGPSEQNFDWSF SSSGSSFVELASTWEVGFPAQLYRERLQRGDILLRRTANDAVELHIKNVQPSDQGHYKCS TPSTDATVQGNYEDTVQVKVLADALVVGPSSRPPPGLSLREGEPFELRCIASTTSPLHTH LALRWELHRGPVHRSILALSHEGRFHPGPGYEQRYHSGDVRLDTVGSDAYRLSVARALSA DQGSYRCVVSEWITEQGSWQEIQEKAVEVATVVIQPTALQLAVPRTVSVTEGKDLDLSCN ITTDRVDDVRPEVTWYFKKTPDTSLLASHMLARLDRDSLVHSSPHVALSHVDTRSYHLLV RDVSKENSGYYLCLVALWAPGHNRSWHKVAEAMSAPSGVSVTWLEPEYQVYLNASKVPGF SDDPTELQCRVIDTKRLEAGVRLTVSWYYRMTRRNDDVVASELLAVMDGDWTLRYGERSK QRAQDGEFIFSKEHTDTFNFRIQRTTEEDRGNYYCVVSAWTRQRNNSWVKSKDVFSKPVN IFWASEDSVLVVKARQPKPFFAAGNTFEMTCKVSSKNIKSPRYSVLITAEKPVGDLSSPN ETKYIISLDQDSVVKLENWTDASRVDGVVLEKVQEDEFRYRMYQTQVSDAGLYRCMVTAW SPIGGSLWREAATSLSNPIEIDFQTSGPTFNASVHSDTPSVTRGDLIKLFCIVTVEGAVL DPDDMAFDVSWFAVHSFGLDKAPVLLSSLDRKGVVTTGQRDWKSTVSLERVSVLEFLLQV HGSEDQDFGNYYCSVTPWVRSPTGSWQREAEIHSRPIFITVKMDVLNAFKYPLLIGVGLS TVIGLLSCLIGYCSSHWCCKKEVRETRRERRRLMSMEMD >ENSMUSP00000102506.1 pep:known chromosome:GRCm38:7:103184803:103187541:1 gene:ENSMUSG00000073956.2 transcript:ENSMUST00000106893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr592 description:olfactory receptor 592 [Source:MGI Symbol;Acc:MGI:3030426] MTIHNNRSVSHPDTFFLIGIPGLEEIHAWISLPFCCIYLVALMGNTMILVVIKTERSLRE PMFYFLAILSSVDLALSTTSVPRMLGIFWFDAHEINFGGCVAQMFLIHAFTGMEAEVLMA MAFDRYVAICAPLHYTTILTSRVLMGISICVVIRPALFICPMVYLIYRLPFCQAHVIAHS YCEHMGIAKLSCGDIHINAVYGLFVVSLFLLNLVLIGISYGYILRAVFRLPSQDARLKAL STCGSHVAVLCVFYIPSVFSFLTHRFGHNIPHYIHILVANLYLVIPPSLNPIIYSVRTKQ IREHVLHIFTKR >ENSMUSP00000095808.1 pep:known chromosome:GRCm38:7:103186603:103187541:1 gene:ENSMUSG00000073956.2 transcript:ENSMUST00000098207.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr592 description:olfactory receptor 592 [Source:MGI Symbol;Acc:MGI:3030426] MTIHNNRSVSHPDTFFLIGIPGLEEIHAWISLPFCCIYLVALMGNTMILVVIKTERSLRE PMFYFLAILSSVDLALSTTSVPRMLGIFWFDAHEINFGGCVAQMFLIHAFTGMEAEVLMA MAFDRYVAICAPLHYTTILTSRVLMGISICVVIRPALFICPMVYLIYRLPFCQAHVIAHS YCEHMGIAKLSCGDIHINAVYGLFVVSLFLLNLVLIGISYGYILRAVFRLPSQDARLKAL STCGSHVAVLCVFYIPSVFSFLTHRFGHNIPHYIHILVANLYLVIPPSLNPIIYSVRTKQ IREHVLHIFTKR >ENSMUSP00000139443.1 pep:known chromosome:GRCm38:7:30787905:30791067:1 gene:ENSMUSG00000074199.7 transcript:ENSMUST00000189673.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtdap description:keratinocyte differentiation associated protein [Source:MGI Symbol;Acc:MGI:1928282] MKIPILPVVALLSLLALHAVQGAALGHPTIYPEDSSYNNYPTATEAFQSENFLNWHVITD MFKNAFPFINWDFFPKT >ENSMUSP00000139461.1 pep:known chromosome:GRCm38:7:30787905:30791083:1 gene:ENSMUSG00000074199.7 transcript:ENSMUST00000190990.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtdap description:keratinocyte differentiation associated protein [Source:MGI Symbol;Acc:MGI:1928282] MKIPILPVVALLSLLALHAVQGAALGHPTIYPEDSSYNNYPTATEGLNNEFLNFKRLQSA FQSENFLNWHVITDMFKNAFPFINWDFFPKT >ENSMUSP00000140489.1 pep:known chromosome:GRCm38:7:30787905:30791085:1 gene:ENSMUSG00000074199.7 transcript:ENSMUST00000189962.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtdap description:keratinocyte differentiation associated protein [Source:MGI Symbol;Acc:MGI:1928282] MKIPILPVVALLSLLALHAVQGAALGHPTIYPEDSSYNNYPTATEVKGLRSAAPDSQ >ENSMUSP00000140737.1 pep:known chromosome:GRCm38:7:30787905:30791089:1 gene:ENSMUSG00000074199.7 transcript:ENSMUST00000187493.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtdap description:keratinocyte differentiation associated protein [Source:MGI Symbol;Acc:MGI:1928282] MKIPILPVVALLSLLALHAVQGAALGHPTIYPEDSSYNNYPTATEAFQSENFLNWHVITD MFKNAFPFINWDFFPKVKGLRSAAPDSQ >ENSMUSP00000096159.1 pep:known chromosome:GRCm38:7:30787905:30791097:1 gene:ENSMUSG00000074199.7 transcript:ENSMUST00000098559.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtdap description:keratinocyte differentiation associated protein [Source:MGI Symbol;Acc:MGI:1928282] MKIPILPVVALLSLLALHAVQGAALGHPTIYPEDSSYNNYPTATEGLNNEFLNFKRLQSA FQSENFLNWHVITDMFKNAFPFINWDFFPKVKGLRSAAPDSQ >ENSMUSP00000113174.2 pep:known chromosome:GRCm38:5:53998499:54121057:1 gene:ENSMUSG00000039156.19 transcript:ENSMUST00000117661.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stim2 description:stromal interaction molecule 2 [Source:MGI Symbol;Acc:MGI:2151156] MLLFGLLVAGVADGCDLVPRHLRGRRASGSAGAAASPSAAAAGERQALLTDPCMSLSPPC FTEEDRFSLEALQTIHKQMDDDKDGGIEVDESDEFIREDMKYKDATNKHSHLHREDKHIT VEDLWKQWKTSEVHNWTLEDTLQWLIEFVELPQYEKNFRDNNVKGTTLPRIAVHETSFMI SQLKISDRSHRQKLQLKALDVVLFGPLTRPPHNWMKDFILTISIVIGVGGCWFAYTQNKT SKEHVAKMMKDLESLQTAEQSLMDLQERLEKAQEENRTVAVEKQNLERKMMDEINYAKEE ACRLRELREGAECELSRRQYAEQELEQVRMALKKAEKEFELRSSWSVPDALQKWLQLTHE VEVQYYNIKRQNAEMQLAIAKDEAEKIKKKRSTVFGTLHVAHSSSLDEVDHKILEAKKAL SELTTCLRERLFRWQQIEKICGFQIAHNSGLPSLTSSLYSDHSWVVMPRVSIPPYPIAGG VDDLDEDTPPIVPQFPGTVAKPAGSLARSSSLCRSRRSIVPSSPQSQRAQLPAHAPLAAH PRHPHHPQHPQHSLPSPDPDILSVSSCPALYRNEEEEEAIYFTAEKQWEVPDTASECDSL NSSSGRKPSPPSSLEMYQTLSSRKISRDELSLEDSSRGESPVTADVSRGSPECVGLTETK SMIFSPASRVYNGILEKSCSMHQLSSGIPVPHPRHTSCSSAGNDSKPVQEASNVSRVSSI PHDLCHNGEKSKKPSKIKSLFKKKSK >ENSMUSP00000143855.1 pep:known chromosome:GRCm38:5:53998565:54121057:1 gene:ENSMUSG00000039156.19 transcript:ENSMUST00000201469.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stim2 description:stromal interaction molecule 2 [Source:MGI Symbol;Acc:MGI:2151156] MLLFGLLVAGVADGCDLVPRHLRGRRASGSAGAAASPSAAAAGERQALLTDPCMSLSPPC FTEEDRFSLEALQTIHKQMDDDKDGGIEVDESDEFIREDMKYKDATNKHSHLHREDKHIT VEDLWKQWKTSEVHNWTLEDTLQWLIEFVELPQYEKNFRDNNVKGTTLPRIAVHETSFMI SQLKISDRSHRQKLQLKALDVVLFGPLTRPPHNWMKDFILTISIVIGVGGCWFAYTQNKT SKEHVAKMMKDLESLQTAEQSLMDLQERLEKAQEENRTVAVEKQNLERKMMDEINYAKEE ACRLRELREGAECELSRRQYAEQELEQVRMALKKAEKEFELRSSWSVPDALQKWLQLTHE VEVQYYNIKRQNAEMQLAIAKDEVAASYLLQAEKIKKKRSTVFGTLHVAHSSSLDEVDHK ILEAKKALSELTTCLRERLFRWQQIEKICGFQIAHNSGLPSLTSSLYSDHSWVVMPRVSI PPYPIAGGVDDLDEDTPPIVPQFPGTVAKPAGSLARSSSLCRSRRSIVPSSPQSQRAQLP AHAPLAAHPRHPHHPQHPQHSLPSPDPDILSVSSCPALYRNEEEEEAIYFTAEKQWEVPD TASECDSLNSSSGRKPSPPSSLEMYQTLSSRKISRDELSLEDSSRGESPVTADVSRGSPE CVGLTETKSMIFSPASRVYNGILEKSCSMHQLSSGIPVPHPRHTSCSSAGNDSKPVQEAS NVSRVSSIPHDLCHNGEKSKKPSKIKSLFKKKSK >ENSMUSP00000144078.1 pep:known chromosome:GRCm38:5:54105368:54119934:1 gene:ENSMUSG00000039156.19 transcript:ENSMUST00000201198.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stim2 description:stromal interaction molecule 2 [Source:MGI Symbol;Acc:MGI:2151156] XRQYAEQELEQVRMALKKAEKEFELRSSWSVPDALQKWLQLTHEVEVQYYNIKRQNAEMQ LAIAKDEAEKIKKKRSTVFGTLHVAHSSSLDEVDHKILEANWVVMPRVSIPPYPIAGGVD DLDEDTPPIVPQFPGTVAKPAGSLARSSSLCRSRRSIVPSSPQSQRAQLPAHAPLAAHPR HPHHPQHPQHSLPSPDPDILSVSSCPALYRNEEEEEAIYFTAEKQWEVPDTASECDSLNS SSGRKPSPPSSLEMYQTLSSRKISRDELSLEDSSRGESPVTADVSRGSPECVGLTETKSM IFSPASRVYNGILEKSCSMHQLSSGIPVPHPRHTSCSSAGNDSKPVQEASNVSRVSSIPH DLCHNGEKSKKPSKIKSLFKKKSK >ENSMUSP00000048340.7 pep:known chromosome:GRCm38:18:44827746:44889717:1 gene:ENSMUSG00000034653.11 transcript:ENSMUST00000037763.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdc2 description:YTH domain containing 2 [Source:MGI Symbol;Acc:MGI:2448561] MSRPSSVSPRPPAPSGGGTGGGGGGSGGGGGGGGGGPASCGPGGGGRAKGLKDIRIDEEV KIAVNIALERFRYGDQREMEFPSSLTSTERAFIHRLSQSLGLVSKSKGKGANRYLTVKKK DGSETAHAMMTCNLTHNTKHAVRSLIQRFPVTNKERTELLPKTERGNVFAVEAENREMSK TSGRLNNGIPQVPVKRGESEFDSFRQSLPVFEKQEEIVKIIKENKVVLIVGETGSGKTTQ IPQFLLDDCFKNGIPCRIFCTQPRRLAAIAVAERVAAERRERIGQTIGYQIRLESRVSPK TLLTFCTNGVLLRTLMAGDSTLSTVTHVIVDEVHERDRFSDFLLTKLRDLLQKHPTLKLI LSSAALDVNLFIRYFGSCPVIYIQGRPFEVKEMFLEDILRTTGYTNKEMLKYKKEKQREE KQQTTLTEWYSAQENTFKPESQRQRAVASVSEEYDLLDDGGDAVFSQLTEKDVNCLEPWL IKEMDACLSDIWLHKDVDAFAQVFHLILTENVSVDYRHSETSATALMVAAGRGFTSQVEQ LISMGANVHSKASNGWMALDWAKHFGQTEIVDLLESYSASLEFGNLDESSLVQTNGNDLS AEDRELLKAYHHSFDDEKVDLDLIMHLLYNICHSCDAGAILIFLPGYDEIVGLRDRILFD DKRFADNTHRYQVFMLHSNMQTSDQKKVLKNPPAGVRKIILSTNIAETSITVNDVVFVID SGKVKEKSFDALNFVTMLKMVWISKASAIQRKGRAGRCRPGICFRLFSRLRFQNMLEFQT PELLRMPLQELCLHTKLLAPVNCTIADFLMKAPEPPPALIVRNAVQMLKTIDAMDAWEDL TELGYHLADLPVEPHLGKMVLCAVVLKCLDPILTIACTLAYRDPFVLPTQASQKRAAMLC RKRFTAGTFSDHMALLRAFQAWQKARSDGWERAFCEKNFLSQATMEIIIGMRTQLLGQLR ASGFVRARGGGDIRDVNTNSENWAVVKAALVAGMYPNLVHVDRENVILTGPKEKKVRFHP TSVLSQPQYKKIPPANGQAAAIQALPTDWLIYDEMTRAHRIANIRCCSAVTPVTVLVFCG PARLASNALQEPSSFRADGIPNDSSDSEMEDRTTANLAALKLDEWLNFKLEPEAASLLLQ LRQKWHSLFLRRMRAPSKPWSQVDEATIRAIIAVLSTEEQSAGLQQPSGIGQRPRPMSSE ELPLASSWRSNNSRKSTADTEFADGSTTGERVLMKSPSPALHPPQKYKDRGILHPKRSTD DRSDQSSVKSTDSSSYPSPCASPSPPSSGKGSKSPSPRPNMPIRYFIMKSSNLRNLEISQ QKGIWSTTPSNERKLNRAFWESSMVYLVFSVQGSGHFQGFSRMSSEIGREKSQDWGSAGL GGVFKVEWIRKESLPFQFAHHLLNPWNDNKKVQISRDGQELEPQVGEQLLQLWERLPLGE KTTSD >ENSMUSP00000144479.1 pep:known chromosome:GRCm38:18:44855045:44889724:1 gene:ENSMUSG00000034653.11 transcript:ENSMUST00000201507.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ythdc2 description:YTH domain containing 2 [Source:MGI Symbol;Acc:MGI:2448561] RILFDDKRFADNTHRYQVFMLHSNMQTSDQKKVLKNPPAGVRKIILSTNIAETSITVNDV VFVIDSGKVKEKSFDALNFVTMLKMVWISKASAIQRKGRAGRCRPGICFRLFSRLRFQNM LEFQTPELLRMPLQELCLHTKLLAPVNCTIADFLMKAPEPPPALIVRNAVQMLKTIDAMD AWEDLTELGYHLADLPVEPHLGKMVLCAVVLKCLDPILTIACTLAYRDPFVLPTQASQKR AAMLCRKRFTAGTFSDHMALLRAFQAWQKARSDGWERAFCEKNFLSQATMEIIIGMRTQL LGQLRASGFVRARGGGDIRDVNTNSENWAVVKAALVAGMYPNLVHVDRENVILTGPKEKK VRFHPTSVLSQPQYKKIPPANGQAAAIQALPTDWLIYDEMTRAHRIANIRCCSAVTPVTV LVFCGPARLASNALQEPSSFRADGIPNDSSDSEMEDRTTANLAALKLDEWLNFKLEPEAA SLLLQLRQKWHSLFLRRMRAPSKPWSQVDEATIRAIIAVLSTEEQSAGLQQPSGIGQRPR PMSSEELPLASSWRSNNSRKSTADTEFADGSTTGESLTSTSEVQR >ENSMUSP00000062843.7 pep:known chromosome:GRCm38:7:128696441:128740495:-1 gene:ENSMUSG00000048170.14 transcript:ENSMUST00000057557.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcmbp description:MCM (minichromosome maintenance deficient) binding protein [Source:MGI Symbol;Acc:MGI:1920977] MPCGEDWLSHPLGIVQGFFAQNGVNPDWEKKVIEYFKEKLKENNAPKWVPSLNEVPLHYL KPNSFVKFRCMIQDMFDPEFYMGIYETVNQNTKARVLHFGKYRDVAECGPQQELDLSSPR STTSERQTFYCVPVPGESSWVKEAYVNANQARVSPSTSYTPSRHKRSYEDDEDMDLQPSK QKEQHPGSRQAGGLGGLHWCGEPKRLETEASSGQQLNTLNLSSPFDLNFPLPGEKGPACL VKVYEDWDCFKVNDVLELYGVLSVDPVLSVLNSEERDASALLDPMECTDMAEEQRVHSPP ASLVPRIHVILAQKLQHINPLLPTCLNKEESRSCQFVSNFMSELSPVRAELLGFLTHALL GDSLAAEYLILHLISTVYTRRDVLPLGKFTVNLSGCPQNSTFTEHLYRIIQHLVPASFRL QMTIENMNQLKLIPHKDYTANRLVSGLLQLPNNTSLVIDETLLEQGQLDTPGVHNVTALS NLITWQKVDYDFSYHQMEFPCNINVLITSEGRSLLPADCQIHLQPQLIPPNMEEYMNGLL SAVLPSVLNKFRIYLTLLRFLDYNLSDDITKAVEDDFVEMRKDDPQSITADDLHQLLVVA RFLSLSVGQTTLSRERWLRAKQLELSRKARLQQQKSVNGNEL >ENSMUSP00000113961.1 pep:known chromosome:GRCm38:7:128697767:128740486:-1 gene:ENSMUSG00000048170.14 transcript:ENSMUST00000119081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcmbp description:MCM (minichromosome maintenance deficient) binding protein [Source:MGI Symbol;Acc:MGI:1920977] MPCGEDWLSHPLGIVQGFFAQNGVNPDWEKKVIEYFKEKLKENNAPKWVPSLNEVPLHYL KPNSFVKFRCMIQDMFDPEFYMGIYETVNQNTKARVLHFGKYRDVAECGPQQELDLSSPR STTSERQTFYCVPVPGESSWVKEAYVNANQARVSPSTSYTPSRHKRSYEDDEDMDLQPSK QKEQHPGSRQAGGLGGLHWCGEPKRLETEASSGQQLNTLNLSSPFDLNFPLPGEKGPACL VKVYEDWDCFKVNDVLELYGVLSVDPVLSVLNSEERDASALLDPMECTDMAEEQRVHSPP ASLVPRIHVILAQKLQHINPLLPTCLNKEESRSCQFVSNFMSELSPVRAELLGFLTHALL GDSLAAEYLILHLISTVYTRRDVLPLGKFTVNLSGCPQNSTFTEHLYRIIQHLVPASFRL QMTIENMNQLKLIPHKDYTANRLVSGLLQLPNNTSLVIDETLLEQGQLDTPGVHNVTALS NLITWQKVDYDFSYHQMEFPCNINVLITSEGRSLLPADCQIHLQPQLIPPNMEEYMNGLL SAVLPSVLNKFRIYLTLLRFLDYNLSDDITKIPVSERRSDNTLQRALAQSKAAGALQEGP ASAAEECEWERALERRACRERQATASLPQTQFPTSQLYQPAELAFPRTGGGSWLSDFIDW >ENSMUSP00000096571.4 pep:known chromosome:GRCm38:2:181319739:181356616:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000098971.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] MPRVVLNGVTVDFPFQPYPCQQEYMTKVLECLQKKVNGILESPTGTGKTLCLLCSTLAWQ QHLRDAVSSLKIAERVQGELFASRTLSSWGSAAAASGDSIECYTDIPKIIYASRTHSQLT QVIRELRNTAYRPKVCVLGSREQLCIHPEVKKQESNHMQISLCRKKVASRSCHFYNNVEA KFLEQDLATPILDIEDLVKNGSKQKMCPYYLSRNMKQQADIIFMPYNYLLDAKSRKAHSI DLKGTVVIFDEAHNVEKICEESASFDLTPRDVASGLEIINQVLEEQARVTQQGELQQEFI VDTSSSGLNMELEDIAKLKMILLRLEEAIDAVQLPGDDRGVTKPGSYIFELFAEAQITFQ TKGCILESLDQIIQHLAGRTGVFTNTAGLQKLMDIIQIVFSVDPPEGSPGSLVGLGISHS YKVHIHPETSHRRAAKRSDAWSTTASRKQGKVLSYWCFSPSQSMRELVCQGVRTLILTSG TLAPLSSFALEMQIPFPVCLENPHIIDKNQLWVGIVPRGPDGVQLSSAYDKRFSEECLSS LGKALSNIARVVPHGLLVFFPSYPVMEKSLEFWQVQGLARKVEALKPLFVEPRNKGSFSE VIDAYYQQVASPASNGATFLAVCRGKASEGLDFSDMNGRGVIVTGLPYPPRMDPRVVLKM QFLDEMRGRSGVGGQCLSGQEWYQQQASRAVNQAIGRVIRHRHDYGAIFLCDHRFAYADA RAQLPSWVRPYLKVYDNFGHVIRDVAQFFRVAQKTMPLPVPQAVTSSVSEGEIALKDATL SSYSLSTRKAMSLDVHVPSLRQKPIGLPAAGDSESSLCGEYEQQTFSAQQRPMGLLAALE YNEQKAGASEEQALGSSTPSLRCEKRLSTEQKGGRKKVRLVNHPEEPMAGTQAGRAKMFM VAVKQALSQANFDTFTQALQHYKSSDDFEALVASLTCLFAEDPKKHTLLKGFYQFVRPHH KQQFEDICFQLTGQRCGYQPAHFSKPGHTSHCTKVGCAVEKPGQPAVSDYLSDVHKALGS ASCNQLTAALRAYKQDDDLDKVVAVVAALTTAKPEHLPLLQRFGMFVRRHHKPQFLQTCA DLMGLPTTGKDLELEGPRDESPTVPPELTHEDLKPGPSMSKKPEKTQSKISSFFRQRPDE SVRSDDTTPKPMQLPPRLPHELMKPHRSKQ >ENSMUSP00000053120.8 pep:known chromosome:GRCm38:2:181319762:181356616:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000054622.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] MPRVVLNGVTVDFPFQPYPCQQEYMTKVLECLQKKVNGILESPTGTGKTLCLLCSTLAWQ QHLRDAVSSLKIAERVQGELFASRTLSSWGSAAAASGDSIECYTDIPKIIYASRTHSQLT QVIRELRNTAYRPKVCVLGSREQLCIHPEVKKQESNHMQISLCRKKVASRSCHFYNNVEA KFLEQDLATPILDIEDLVKNGSKQKMCPYYLSRNMKQQADIIFMPYNYLLDAKSRKAHSI DLKGTVVIFDEAHNVEKICEESASFDLTPRDVASGLEIINQVLEEQARVTQQGELQQEFI VDTSSSGLNMELEDIAKLKMILLRLEEAIDAVQLPGDDRGVTKPGSYIFELFAEAQITFQ TKGCILESLDQIIQHLAGRTGVFTNTAGLQKLMDIIQIVFSVDPPEGSPGSLVGLGISHS YKVHIHPETSHRRAAKRSDAWSTTASRKQGKVLSYWCFSPSQSMRELVCQGVRTLILTSG TLAPLSSFALEMQIPFPVCLENPHIIDKNQLWVGIVPRGPDGVQLSSAYDKRFSEECLSS LGKALSNIARVVPHGLLVFFPSYPVMEKSLEFWQVQGLARKVEALKPLFVEPRNKGSFSE VIDAYYQQVASPASNGATFLAVCRGKASEGLDFSDMNGRGVIVTGLPYPPRMDPRVVLKM QFLDEMRGRSGVGGQCLSGQEWYQQQASRAVNQAIGRVIRHRHDYGAIFLCDHRFAYADA RAQLPSWVRPYLKVYDNFGHVIRDVAQFFRVAQKTMPLPVPQAVTSSVSEGEIALKDATL SSYSLSTRKAMSLDVHVPSLRQKPIGLPAAGDSESSLCGEYEQQTFSAQQRPMGLLAALE YNEQKAGASEEQALGSSTPSLRCEKRLSTEQKGGRKKVRLVNHPEEPMAGTQAGRAKMFM VAVKQALSQANFDTFTQALQHYKSSDDFEALVASLTCLFAEDPKKHTLLKGFYQFVRPHH KQQFEDICFQLTGQRCGYQPGKRELESKLTLSEGVDRQLDPGQHLNQGQPHLSAHPTSKA HFSKPGHTSHCTKVGCAVEKPGQPAVSDYLSDVHKALGSASCNQLTAALRAYKQDDDLDK VVAVVAALTTAKPEHLPLLQRFGMFVRRHHKPQFLQTCADLMGLPTTGKDLELEGPRDES PTVPPELTHEDLKPGPSMSKKPEKTQSKISSFFRQRPDESVRSDDTTPKPMQLPPRLPHE LMKPHRSKQ >ENSMUSP00000104442.1 pep:known chromosome:GRCm38:2:181319785:181356578:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000108814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] MPRVVLNGVTVDFPFQPYPCQQEYMTKVLECLQKKVNGILESPTGTGKTLCLLCSTLAWQ QHLRDAVSSLKIAERVQGELFASRTLSSWGSAAAASGDSIECYTDIPKIIYASRTHSQLT QVIRELRNTAYRPKVCVLGSREQLCIHPEVKKQESNHMQISLCRKKVASRSCHFYNNVEA KFLEQDLATPILDIEDLVKNGSKQKMCPYYLSRNMKQQADIIFMPYNYLLDAKSRKAHSI DLKGTVVIFDEAHNVEKICEESASFDLTPRDVASGLEIINQVLEEQARVTQQGELQQEFI VDTSSSGLNMELEDIAKLKMILLRLEEAIDAVQLPGDDRGVTKPGSYIFELFAEAQITFQ TKGCILESLDQIIQHLAGRTGVFTNTAGLQKLMDIIQIVFSVDPPEGSPGSLVGLGISHS YKVHIHPETSHRRAAKRSDAWSTTASRKQGKVLSYWCFSPSQSMRELVCQGVRTLILTSG TLAPLSSFALEMQIPFPVCLENPHIIDKNQLWVGIVPRGPDGVQLSSAYDKRFSEECLSS LGKALSNIARVVPHGLLVFFPSYPVMEKSLEFWQVQGLARKVEALKPLFVEPRNKGSFSE VIDAYYQQVASPASNGATFLAVCRGKASEGLDFSDMNGRGVIVTGLPYPPRMDPRVVLKM QFLDEMRGRSGVGGQCLSGQEWYQQQASRAVNQAIGRVIRHRHDYGAIFLCDHRFAYADA RAQLPSWVRPYLKVYDNFGHVIRDVAQFFRVAQKTMPLPVPQAVTSSVSEGEIALKDATL SSYSLSTRKAMSLDVHVPSLRQKPIGLPAAGDSESSLCGEYEQQTFSAQQRPMGLLAALE YNEQKAGASEEQALGSSTPSLRCEKRLSTEQKGGRKKVRLVNHPEEPMAGTQAGRAKMFM VAVKQALSQANFDTFTQALQHYKSSDDFEALVASLTCLFAEDPKKHTLLKGFYQFVRPHH KQQFEDICFQLTGQRCGYQPGKRELESKLTLSEGVDRQLDPGQHLNQGQPHLSAHPTSKG HTSHCTKVGCAVEKPGQPAVSDYLSDVHKALGSASCNQLTAALRAYKQDDDLDKVVAVVA ALTTAKPEHLPLLQRFGMFVRRHHKPQFLQTCADLMGLPTTGKDLELEGPRDESPTVPPE LTHEDLKPGPSMSKKPEKTQSKISSFFRQRPDESVRSDDTTPKPMQLPPRLPHELMKPHR SKQ >ENSMUSP00000043563.9 pep:known chromosome:GRCm38:2:181319785:181356616:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000048608.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] MPRVVLNGVTVDFPFQPYPCQQEYMTKVLECLQKKVNGILESPTGTGKTLCLLCSTLAWQ QHLRDAVSSLKIAERVQGELFASRTLSSWGSAAAASGDSIECYTDIPKIIYASRTHSQLT QVIRELRNTAYRPKVCVLGSREQLCIHPEVKKQESNHMQISLCRKKVASRSCHFYNNVEA KFLEQDLATPILDIEDLVKNGSKQKMCPYYLSRNMKQQADIIFMPYNYLLDAKSRKAHSI DLKGTVVIFDEAHNVEKICEESASFDLTPRDVASGLEIINQVLEEQARVTQQGELQQEFI VDTSSSGLNMELEDIAKLKMILLRLEEAIDAVQLPGDDRGVTKPGSYIFELFAEAQITFQ TKGCILESLDQIIQHLAGRTGVFTNTAGLQKLMDIIQIVFSVDPPEGSPGSLVGLGISHS YKVHIHPETSHRRAAKRSDAWSTTASRKQGKVLSYWCFSPSQSMRELVCQGVRTLILTSG TLAPLSSFALEMQIPFPVCLENPHIIDKNQLWVGIVPRGPDGVQLSSAYDKRFSEECLSS LGKALSNIARVVPHGLLVFFPSYPVMEKSLEFWQVQGLARKVEALKPLFVEPRNKGSFSE VIDAYYQQVASPASNGATFLAVCRGKASEGLDFSDMNGRGVIVTGLPYPPRMDPRVVLKM QFLDEMRGRSGVGGQCLSGQEWYQQQASRAVNQAIGRVIRHRHDYGAIFLCDHRFAYADA RAQLPSWVRPYLKVYDNFGHVIRDVAQFFRVAQKTMPLPVPQAVTSSVSEGEIALKDATL SSYSLSTRKAMSLDVHVPSLRQKPIGLPAAGDSESSLCGEYEQQTFSAQQRPMGLLAALE YNEQKAGASEEQALGSSTPSLRCEKRLSTEQKGGRKKVRLVNHPEEPMAGTQAGRAKMFM VAVKQALSQANFDTFTQALQHYKSSDDFEALVASLTCLFAEDPKKHTLLKGFYQFVRPHH KQQFEDICFQLTGQRCGYQPGKRELESKLTLSEGVDRQLDPGQHLNQGQPHLSAHPTSKG FGMFVRRHHKPQFLQTCADLMGLPTTGKDLELEGPRDESPTVPPELTHEDLKPGPSMSKK PEKTQSKISSFFRQRPDESVRSDDTTPKPMQLPPRLPHELMKPHRSKQ >ENSMUSP00000104443.1 pep:known chromosome:GRCm38:2:181319785:181356616:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000108815.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] MPRVVLNGVTVDFPFQPYPCQQEYMTKVLECLQKKVNGILESPTGTGKTLCLLCSTLAWQ QHLRDAVSSLKIAERVQGELFASRTLSSWGSAAAASGDSIECYTDIPKIIYASRTHSQLT QVIRELRNTAYRPKVCVLGSREQLCIHPEVKKQESNHMQISLCRKKVASRSCHFYNNVEA KFLEQDLATPILDIEDLVKNGSKQKMCPYYLSRNMKQQADIIFMPYNYLLDAKSRKAHSI DLKGTVVIFDEAHNVEKICEESASFDLTPRDVASGLEIINQVLEEQARVTQQGELQQEFI VDTSSSGLNMELEDIAKLKMILLRLEEAIDAVQLPGDDRGVTKPGSYIFELFAEAQITFQ TKGCILESLDQIIQHLAGRTGVFTNTAGLQKLMDIIQIVFSVDPPEGSPGSLVGLGISHS YKVHIHPETSHRRAAKRSDAWSTTASRKQGKVLSYWCFSPSQSMRELVCQGVRTLILTSG TLAPLSSFALEMQIPFPVCLENPHIIDKNQLWVGIVPRGPDGVQLSSAYDKRFSEECLSS LGKALSNIARVVPHGLLVFFPSYPVMEKSLEFWQVQGLARKVEALKPLFVEPRNKGSFSE VIDAYYQQVASPASNGATFLAVCRGKASEGLDFSDMNGRGVIVTGLPYPPRMDPRVVLKM QFLDEMRGRSGVGGQCLSGQEWYQQQASRAVNQAIGRVIRHRHDYGAIFLCDHRFAYADA RAQLPSWVRPYLKVYDNFGHVIRDVAQFFRVAQKTMPLPVPQAVTSSVSEGEIALKDATL SSYSLSTRKAMSLDVHVPSLRQKPIGLPAAGDSESSLCGEYEQQTFSAQQRPMGLLAALE YNEQKAGASEEQALGSSTPSLRCEKRLSTEQKGGRKKVRLVNHPEEPMAGTQAGRAKMFM VAVKQALSQANFDTFTQALQHYKSSDDFEALVASLTCLFAEDPKKHTLLKGFYQFVRPHH KQQFEDICFQLTGQRCGYQPGHTSHCTKVGCAVEKPGQPAVSDYLSDVHKALGSASCNQL TAALRAYKQDDDLDKVVAVVAALTTAKPEHLPLLQRFGMFVRRHHKPQFLQTCADLMGLP TTGKDLELEGPRDESPTVPPELTHEDLKPGPSMSKKPEKTQSKISSFFRQRPDESVRSDD TTPKPMQLPPRLPHELMKPHRSKQ >ENSMUSP00000118810.1 pep:known chromosome:GRCm38:2:181319963:181323694:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000153112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] MPRVVLNGVTVDFPFQPYPCQQEYMTKVLECLQKKVNGILESPTGTGKTLCLLCSTLAWQ QHLRDAVSSLKIAERVQGELFASRTLSSWGSAAAASGDSIECYTDIPKIIYASRTHSQLT QVIRELRNTAYRPKVCVLGSREQLCIHPEVKKQESNHMQISL >ENSMUSP00000116159.1 pep:known chromosome:GRCm38:2:181328617:181356616:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000148252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] QDLATPILDIEDLVKNGSKQKMCPYYLSRNMKQQADIIFMPYNYLLDAKSRKAHSIDLKG TVVIFDEAHNVEKICEESASFDLTPRDVASGLEIINQVLEEQARVTQQGELQQEFIVDTS SSGLNMELEDIAKLKMILLRLEEAIDAVQLPGDDRGVTKPGSYIFELFAEAQITFQTKGC ILESLDQIIQHLAGRTGVFTNTAGLQKLMDIIQIVFSVDPPEGSPGSLVGLGISHSYKVH IHPETSHRRAAKRSDAWSTTASRKQGKVLSYWCFSPSQSMRELVCQGVRTLILTSGTLAP LSSFALEMQIPFPVCLENPHIIDKNQLWVGIVPRGPDGVQLSSAYDKRFSEECLSSLGKA LSNIARVVPHGLLVFFPSYPVMEKSLEFWQVQGLARKVEALKPLFVEPRNKGSFSEVIDA YYQQVASPASNGATFLAVCRGKASEGLDFSDMNGRGVIVTGLPYPPRMDPRVVLKMQFLD EMRGRSGVGGQCLSGQEWYQQQASRAVNQAIGRVIRHRHDYGAIFLCDHRFAYADARAQL PSWVRPYLKVYDNFGHVIRDVAQFFRVAQKTMPLPVPQAVTSSVSEGEIALKDATLSSYS LSTRKAMSLDVHVPSLRQKPIGLPAAGDSESSLCGEYEQQTFSAQQRPMGLLAALEYNEQ KAGASEEQALGSSTPSLRCEKRLSTEQKGGRKKVRLVNHPALQHYKSSDDFEALVASLTC LFAEDPKKHTLLKGFYQFVRPHHKQQFEDICFQLTGQRCGYQPGKRELESKLTLSEGVDR QLDPGQHLNQGQPHLSAHPTSKAHFSKPGHTSHCTKVGCAVEKPGQPAVSDYLSDVHKAL GSASCNQLTAALRAYKQDDDLDKVVAVVAALTTAKPEHLPLLQRFGMFVRRHHKPQFLQT CADLMGLPTTGKDLELEGPRDESPTVPPELTHEDLKPGPSMSKKPEKTQSKISSFFRQRP DESVRSDDTTPKPMQLPPRLPHELMKPHRSKQ >ENSMUSP00000138971.1 pep:known chromosome:GRCm38:2:181335936:181347048:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000184751.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] XDVASGLEIINQVLEEQARVTQQGELQQEFIVDTSSSVQRFSPWNGSTYPFN >ENSMUSP00000120162.1 pep:known chromosome:GRCm38:2:181355765:181356614:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000133856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] XSPTVPPELTHEDLKPGPSMSKKPEKTQKFVCPACATEDTVLFQCPSCDFYRCWACWKLQ LQASRLCPACGAVNRKQSITQVIWPKPQ >ENSMUSP00000121400.1 pep:known chromosome:GRCm38:2:181355970:181356616:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000137700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] XSFFRQRPDESVRSDDTTPKPMQLPPRLPHELMKPHRKFVCPACATEDTVLFQCPSCDFY RCWACWKLQLQVSPQASRLCPACGAVNRKQSITQVIWPKPQ >ENSMUSP00000123039.1 pep:known chromosome:GRCm38:2:181355970:181356616:1 gene:ENSMUSG00000038685.18 transcript:ENSMUST00000134651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtel1 description:regulator of telomere elongation helicase 1 [Source:MGI Symbol;Acc:MGI:2139369] XSFFRQRPDESVRSDDTTPKPMQLPPRLPHELMKPHRKFVCPACATEDTVLFQCPSCDFY RCWACWKLQLQASRLCPACGAVNRKQSITQVIWPKPQ >ENSMUSP00000125502.1 pep:known chromosome:GRCm38:6:119902703:119922823:1 gene:ENSMUSG00000030166.14 transcript:ENSMUST00000162461.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad52 description:RAD52 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:101949] MAGPEEAVHRGCDNHPPFVGGKSVLLFGQSQYTADEYQAIQKALRQRLGPEYISSRMAGG GQKVCYIEGHRVINLANEMFGYNGWAHSITQQNVDFVDLNNGKFYVGVCAFVKVQLKDGS YHEDVGYGVSEGLRSKALSLEKARKEAVTDGLKRALRSFGNALGNCILDKDYLRSLNKLP RQLPLDVDLTKTKREDFEPSVEQARYNSCRQNEALGLPKPQEVTSPCRSSPPHDSNIKLQ GAKDISSSCSLAATLESDATHQRKLRKLRQKQLQQQFREQMETRRQSHAPAEEVAAKHAA VLPAPPKHSTPVTAASELLQEKVVFPDNLEENLEMWDLTPDLEDIIKPLCRAEPAQTSAT RTFNNQDSVPHIHCHQKPQEKPGPGHLQTCNTNQHVLGSREDSEPHRKSQDLKKRKLDPS >ENSMUSP00000125559.1 pep:known chromosome:GRCm38:6:119902703:119922828:1 gene:ENSMUSG00000030166.14 transcript:ENSMUST00000161045.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad52 description:RAD52 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:101949] MAGPEEAVHRGCDNHPPFVGGKSVLLFGQSQYTADEYQAIQKALRQRLGPEYISSRMAGG GQKILLTSTMASSTWESVHL >ENSMUSP00000032269.6 pep:known chromosome:GRCm38:6:119902776:119922823:1 gene:ENSMUSG00000030166.14 transcript:ENSMUST00000032269.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad52 description:RAD52 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:101949] MAGPEEAVHRGCDNHPPFVGGKSVLLFGQSQYTADEYQAIQKALRQRLGPEYISSRMAGG GQKVCYIEGHRVINLANEMFGYNGWAHSITQQNVDFVDLNNGKFYVGVCAFVKVQLKDGS YHEDVGYGVSEGLRSKALSLEKARKEAVTDGLKRALRSFGNALGNCILDKDYLRSLNKLP RQLPLDVDLTKTKREDFEPSVEQARYNSCRQNEALGLPKPQEVTSPCRSSPPHDSNIKLQ GAKDISSSCSLAATLESDATHQRKLRKLRQKQLQQQFREQMETRRQSHAPAEEVAAKHAA VLPAPPKHSTPVTAASELLQEKVVFPDNLEENLEMWDLTPDLEDIIKPLCRAEPAQTSAT RTFNNQDSVPHIHCHQKPQEKPGPGHLQTCNTNQHVLGSRDSEPHRKSQDLKKRKLDPS >ENSMUSP00000001599.3 pep:known chromosome:GRCm38:11:100441917:100457022:1 gene:ENSMUSG00000001558.5 transcript:ENSMUST00000001599.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl10 description:kelch-like 10 [Source:MGI Symbol;Acc:MGI:2181067] MEMESTAASTRFHQPHMERKMSAMTCEIFNELRLEGKLCDVVIKVNGFEFNAHKNILCSC SSYFRALFTSGWNNTEKKVYNIPGISPDMMKLIIEYAYTRTVPITPDNVEKLLAAADQFN IMGIVRGCCEFLKSELCLDNCIGICKFTDYYYCPELRQKAYMFILHNFEEMVKVSAEFLE LSVTELKDIIEKDELNVKQEDAVFEAILKWISHDPQNRKQHISVLLPKVRLALMHAEYFM NNVKMNDYVKDSEECKPVIINALKAMYDLNMNGPSNSDFTNPLTRPRLPYAILFAIGGWS GGSPTNAIEAYDARADRWVNVTCEEESPRAYHGAAYLKGYVYIIGGFDSVDYFNSVKRFD PVKKTWHQVAPMHSRRCYVSVTVLSNFIYAMGGFDGYVRLNTAERYEPETNQWTLIAPMH EQRSDASATTLYGKVYICGGFNGNECLFTAEVYNTESNQWTVIAPMRSRRSGIGVIAYGE HVYAVGGFDGANRLRSAEAYSPVANTWRTIPTMFNPRSNFGIEVVDDLLFVVGGFNGFTT TFNVECYDEKTDEWYDAHDMSIYRSALSCCVVPGLANVGEYAARRDNFTGLALRDEVKYS ASTSTLPV >ENSMUSP00000103018.2 pep:known chromosome:GRCm38:11:100441927:100446016:1 gene:ENSMUSG00000001558.5 transcript:ENSMUST00000107395.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl10 description:kelch-like 10 [Source:MGI Symbol;Acc:MGI:2181067] MEMESTAASTRFHQPHMERKMSAMTCEIFNELRLEGKLCDVVIKVNGFEFNAHKNILCSC SSYFRALFTSGWNNTEKKVYNIPGISPDMMKLIIEYAYTRTVPITPDNVEKLLAAADQFN IMGIVRGCCEFLKSELCLDNCIGICKFTDYYYCPELRQKAYMFILHNFEEMVKVSAEFLE LSVTELKDIIEKDELNVKQEDAVFEAILKWISHDPQNRKQHISVLLPKVKFSYSLQILNC SCLIPLPRGSVPKSHKIGLLLSLSADCNAV >ENSMUSP00000038977.8 pep:known chromosome:GRCm38:5:121397936:121442409:1 gene:ENSMUSG00000042719.17 transcript:ENSMUST00000042163.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa25 description:N(alpha)-acetyltransferase 25, NatB auxiliary subunit [Source:MGI Symbol;Acc:MGI:2442563] MATRGHVQDPNDRRLRPIYDYLDNGNNKMAIQQADKLLKKHKDLHCAKVLKAIGLQRTGK QEEAFTLAQEVAALEPTDDNSLQALTILYREMHRPELVTKLYEAAVKKVPNSEEYHSHLF MAYARVGEYKKMQQAGMALYKIVPKNPYYFWSVMSLIMQSISARDENLSKTMFLPLAERM VEKMVKEDKIEAEAEVELYYMILERLGKYQEALDVIRGKLGEKLTSEIQSRENKCMAMYK KLSKWPECNALSRRLLLKNSDDWQFYLTYFDSVFRLIEEAWTPPAEGEHSLEGEVHCSAE DAVKFIEDRITEASQSSRHVRGPHLAKLELIRRLRSQGCNDEYKLGDPEELMFQYFKKFG DKPCCFTDLKVFVDLLPAAQCTQFINQLLGVVPLSTPTEDKLALPADIRGLQQHLCVVQL TRLLGLYHSMDKSQKLDVVKELMLRYQHGLEFGRSCLKTELQFSDYYCLLAVHVLIDVWR EAGEETAVWQALTLLEEGLTHSPSNAQFKLLLVRIYCVLGAFEPVVDLYSSLDAKHIQHD TIGYLLTRYAASLGQYAAASQSCNFALRFFHSNQKDTSEYIIQAYKYGAFEKIPEFIAFR NRLNNSLHFAQVRTERMLLDLLLEANISISLAESIKSMNLRPEEDDVPWEDLRDNRDLDV FFSWDPKDRNVSEEHKKLSLEEETMWLRIRSLTLRLISGLPSLTHPVEPKNSEKMSENGV SSRIDILRLLLQQLEVAVETGKRFIEKEIQYPFLGPVPTRMGRFFSSGCCQCQVQSFHLV SDMYELDTSGLEGTVDIQERIENSLASLLELLKGVFSTCKGDLLEVTDGNVKTQPAVLEN LVFFVETISVILWVSSYCESVLRPYKLNIQKKKKKKKETSIIMPPIFTSFQDYVTGLQTV ISNAVDHIKGLEAHLIALRLEELTLEETSISTEERKFSKTVQGKVQSSYLHSLLETGELL RKRLETTKKLKI >ENSMUSP00000134679.1 pep:known chromosome:GRCm38:5:121397994:121438820:1 gene:ENSMUSG00000042719.17 transcript:ENSMUST00000173895.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa25 description:N(alpha)-acetyltransferase 25, NatB auxiliary subunit [Source:MGI Symbol;Acc:MGI:2442563] MATRGHVQDPNDRRLRPIYGSQGHWFTENWQAGRSFHLGSGGGCP >ENSMUSP00000120970.2 pep:known chromosome:GRCm38:5:121398005:121439931:1 gene:ENSMUSG00000042719.17 transcript:ENSMUST00000151458.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa25 description:N(alpha)-acetyltransferase 25, NatB auxiliary subunit [Source:MGI Symbol;Acc:MGI:2442563] MATRGHVQDPNDRRLRPIYDYLDNGNNKMAIQQADKLLKKHKDLHCAKVLKAIGLQRTGK QEEAFTLAQEVAALEPTDDNSLQALTILYREMHRRWHGSV >ENSMUSP00000134345.1 pep:known chromosome:GRCm38:5:121439418:121444378:1 gene:ENSMUSG00000042719.17 transcript:ENSMUST00000172908.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa25 description:N(alpha)-acetyltransferase 25, NatB auxiliary subunit [Source:MGI Symbol;Acc:MGI:2442563] XEAHLIALRLEELTLEETSISTEERKFSKTVQGKVQSSYLHSLLETGELLRKRLETTKKL KI >ENSMUSP00000140577.1 pep:known chromosome:GRCm38:2:128458737:128460015:1 gene:ENSMUSG00000100729.1 transcript:ENSMUST00000189451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29010 description:predicted gene 29010 [Source:MGI Symbol;Acc:MGI:5579716] MVLSEDHTVKSWHSTVAPQRHYNVISTQTALPCVLAEEASVDLESHYHIGQPVCGFCQYH LEV >ENSMUSP00000104983.1 pep:known chromosome:GRCm38:2:164389393:164404612:-1 gene:ENSMUSG00000016995.17 transcript:ENSMUST00000109359.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matn4 description:matrilin 4 [Source:MGI Symbol;Acc:MGI:1328314] MRGPCCWPLSLLLLFLQSWETQLQSAGPRCYNGPLDLVFMIDSSRSVRPFEFETMRQFLV GLLRSLDVGLNATRVGVIQYSSQVQSVFPLGAFSRREDMERAIRAVVPLAQGTMTGLAIQ YAMNVAFSEAEGARPSEERVPRVLVIVTDGRPQDRVAEVAAQARARGIEIYAVGVQRADV GSLRTMASPPLDQHVFLVESFDLIQEFGLQFQGRLCALDLCAEGTHGCEHLCVNSVDSYF CRCRAGFALQQDQRSCRAIDYCSFGNHSCQHECVSTLEGPQCRCREGHDLLPDGRSCRVR DFCNDVDHGCEFQCVSEGLSFHCLCPEGRRLQADGKSCDRCREGHVDLVLLVDGSKSVRP QNFELVKRFVNQIVDFLDVSPEGTRVGLVQFSSRVRTEFPLGRYGTAAEVKQAVLAVEYM ERGTMTGLALRHMVEHSFSEAQGARPRDLNVPRVGLVFTDGRSQDDISVWAARAKEEGIV MYAVGVGKAVEEELREIASEPSELHVSYSPDFSTMTHLLENLKGSICPEEGIGAGTELRS PCECESLVEFQGRTLGALESLTQNLARLTERLEELENQLASRK >ENSMUSP00000104982.1 pep:known chromosome:GRCm38:2:164389393:164404612:-1 gene:ENSMUSG00000016995.17 transcript:ENSMUST00000109358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matn4 description:matrilin 4 [Source:MGI Symbol;Acc:MGI:1328314] MRGPCCWPLSLLLLFLQSWETQLQSAGPRCYNGPLDLVFMIDSSRSVRPFEFETMRQFLV GLLRSLDVGLNATRVGVIQYSSQVQSVFPLGAFSRREDMERAIRAVVPLAQGTMTGLAIQ YAMNVAFSEAEGARPSEERVPRVLVIVTDGRPQDRVAEVAAQARARGIEIYAVGVQRADV GSLRTMASPPLDQHVFLVESFDLIQEFGLQFQGRLCAIDYCSFGNHSCQHECVSTLEGPQ CRCREGHDLLPDGRSCRVRDFCNDVDHGCEFQCVSEGLSFHCLCPEGRRLQADGKSCDRC REGHVDLVLLVDGSKSVRPQNFELVKRFVNQIVDFLDVSPEGTRVGLVQFSSRVRTEFPL GRYGTAAEVKQAVLAVEYMERGTMTGLALRHMVEHSFSEAQGARPRDLNVPRVGLVFTDG RSQDDISVWAARAKEEGIVMYAVGVGKAVEEELREIASEPSELHVSYSPDFSTMTHLLEN LKGSICPEEGIGAGTELRSPCECESLVEFQGRTLGALESLTQNLARLTERLEELENQLAS RK >ENSMUSP00000099392.3 pep:known chromosome:GRCm38:2:164389393:164404614:-1 gene:ENSMUSG00000016995.17 transcript:ENSMUST00000103103.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matn4 description:matrilin 4 [Source:MGI Symbol;Acc:MGI:1328314] MRGPCCWPLSLLLLFLQSWETQLQSAGPRCYNGPLDLVFMIDSSRSVRPFEFETMRQFLV GLLRSLDVGLNATRVGVIQYSSQVQSVFPLGAFSRREDMERAIRAVVPLAQGTMTGLAIQ YAMNVAFSEAEGARPSEERVPRVLVIVTDGRPQDRVAEVAAQARARGIEIYAVGVQRADV GSLRTMASPPLDQHVFLVESFDLIQEFGLQFQGRLCGKDLCAELVHGCQHLCVNAPGTFY CACNSGYKLAPDNKNCLALDLCAEGTHGCEHLCVNSVDSYFCRCRAGFALQQDQRSCRAI DYCSFGNHSCQHECVSTLEGPQCRCREGHDLLPDGRSCRVRDFCNDVDHGCEFQCVSEGL SFHCLCPEGRRLQADGKSCDRCREGHVDLVLLVDGSKSVRPQNFELVKRFVNQIVDFLDV SPEGTRVGLVQFSSRVRTEFPLGRYGTAAEVKQAVLAVEYMERGTMTGLALRHMVEHSFS EAQGARPRDLNVPRVGLVFTDGRSQDDISVWAARAKEEGIVMYAVGVGKAVEEELREIAS EPSELHVSYSPDFSTMTHLLENLKGSICPEEGIGAGTELRSPCECESLVEFQGRTLGALE SLTQNLARLTERLEELENQLASRK >ENSMUSP00000099393.1 pep:known chromosome:GRCm38:2:164389393:164405160:-1 gene:ENSMUSG00000016995.17 transcript:ENSMUST00000103104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matn4 description:matrilin 4 [Source:MGI Symbol;Acc:MGI:1328314] MRGPCCWPLSLLLLFLQSWETQLQSAGPRCYNGPLDLVFMIDSSRSVRPFEFETMRQFLV GLLRSLDVGLNATRVGVIQYSSQVQSVFPLGAFSRREDMERAIRAVVPLAQGTMTGLAIQ YAMNVAFSEAEGARPSEERVPRVLVIVTDGRPQDRVAEVAAQARARGIEIYAVGVQRADV GSLRTMASPPLDQHVFLVESFDLIQEFGLQFQGRLCGKDLCAELVHGCQHLCVNAPGTFY CACNSGYKLAPDNKNCLALDLCAEGTHGCEHLCVNSVDSYFCRCRAGFALQQDQRSCRAI DYCSFGNHSCQHECVSTLEGPQCRCREGHDLLPDGRSCRVRDFCNDVDHGCEFQCVSEGL SFHCLCPEGRRLQADGKSCDRCREGHVDLVLLVDGSKSVRPQNFELVKRFVNQIVDFLDV SPEGTRVGLVQFSSRVRTEFPLGRYGTAAEVKQAVLAVEYMERGTMTGLALRHMVEHSFS EAQGARPRDLNVPRVGLVFTDGRSQDDISVWAARAKEEGIVMYAVGVGKAVEEELREIAS EPSELHVSYSPDFSTMTHLLENLKGSICPEEGIGAGTELRSPCECESLVEFQGRTLGALE SLTQNLARLTERLEELENQLASRK >ENSMUSP00000129351.1 pep:known chromosome:GRCm38:1:52630703:52651919:1 gene:ENSMUSG00000043015.15 transcript:ENSMUST00000165859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem194b description:transmembrane protein 194B [Source:MGI Symbol;Acc:MGI:2444113] MLPRLWWLVLWLQPLATLPASAVHDEEAAMSVPRCKSLKETDLIKTSVSDCYCYNQHSQI QWTYMWSTVQVTVTSPGLLNIVYITGSHNCQHTESILSFIKCVTHNFWAPEEAEEITIVF SPYGETVCFSVKPVGRLLPYIVSVSRNIVDFKLFLVFVTGIFLFLYAKTLSQSPVFYYSS GTVLGILMTLVFVLLMAKKHIPKYSTFGALMIGCWFASVYVLCQLMEDLKWLWYGNRMYI LGYVVVVGLCSFAACYSHGPLADEGSRDLLMWTLRLFSLALVYTGVAAPQFAYAVLIVLL FSWSLHYLLRAFSYLRWKMRPWFTAEPQVARYLTDDEYREQAEAATARALEELRQACCRP DFPSWLAVSRLQAPKKFAEFVLGASHLSPEEVSTHEKQYGLGGAFLEEQLFSLQTDSLPA S >ENSMUSP00000140152.1 pep:known chromosome:GRCm38:1:52630737:52651919:1 gene:ENSMUSG00000043015.15 transcript:ENSMUST00000188507.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem194b description:transmembrane protein 194B [Source:MGI Symbol;Acc:MGI:2444113] MLPRLWWLVLWLQPLATLPASAVHDEEAAMSVPRCKSLKETDLIKTSVSDCYCYNQHSQI QWTYMWSTVQVTVTSPGLLNIVYITGSHNCQHTESILSFIKCVTHNFWAPEEAEEITIVF SPYGETVCFSVKPVGRLLPYIVSVSRNIVDFKLFLVFVTGIFLFLYAKTLSQSPVFYYSS GTVLGILMTLVFVLLMAKKHIPKAMWWLLDFVALLPVTVTGLLLMRGAETFSCGP >ENSMUSP00000139513.1 pep:known chromosome:GRCm38:1:52630747:52650636:1 gene:ENSMUSG00000043015.15 transcript:ENSMUST00000185483.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem194b description:transmembrane protein 194B [Source:MGI Symbol;Acc:MGI:2444113] MLPRLWWLVLWLQPLATLPASAVHDEEAAMSVPRCKSLKETDLIKTSVSDCYCYNQHSQI QWTYMWSTVQVTVTSPGLLNIVYITGSHNCQHTESILSFIKCVTHNFWAPEEAEEITIVF SPYGETVCFSVKPVGRLLPYIVSVSRNIVDFKLFLVFVTGIFLFLYAKTLSQSPVFYYSS GTVLGILMTLVFVLLMAKKHIPKFMFCAS >ENSMUSP00000140351.1 pep:known chromosome:GRCm38:1:52630797:52650702:1 gene:ENSMUSG00000043015.15 transcript:ENSMUST00000185790.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem194b description:transmembrane protein 194B [Source:MGI Symbol;Acc:MGI:2444113] PLATLPASAVHDEEAAMSVPRCKSLKETDLIKTSVSDCYCYNQHSQIQWTYMWSTVQAMW WLLDFVALLPVTVTGLLLMRGAETFSCGP >ENSMUSP00000033664.7 pep:known chromosome:GRCm38:X:101264378:101268255:-1 gene:ENSMUSG00000031304.18 transcript:ENSMUST00000033664.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il2rg description:interleukin 2 receptor, gamma chain [Source:MGI Symbol;Acc:MGI:96551] MLKLLLSPRSFLVLQLLLLRAGWSSKVLMSSANEDIKADLILTSTAPEHLSAPTLPLPEV QCFVFNIEYMNCTWNSSSEPQATNLTLHYRYKVSDNNTFQECSHYLFSKEITSGCQIQKE DIQLYQTFVVQLQDPQKPQRRAVQKLNLQNLVIPRAPENLTLSNLSESQLELRWKSRHIK ERCLQYLVQYRSNRDRSWTELIVNHEPRFSLPSVDELKRYTFRVRSRYNPICGSSQQWSK WSQPVHWGSHTVEENPSLFALEAVLIPVGTMGLIITLIFVYCWLERMPPIPPIKNLEDLV TEYQGNFSAWSGVSKGLTESLQPDYSERFCHVSEIPPKGGALGEGPGGSPCSLHSPYWPP PCYSLKPEA >ENSMUSP00000123318.1 pep:known chromosome:GRCm38:X:101264938:101267434:-1 gene:ENSMUSG00000031304.18 transcript:ENSMUST00000135038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il2rg description:interleukin 2 receptor, gamma chain [Source:MGI Symbol;Acc:MGI:96551] ITSGCQIQKEDIQLYQTFVVQLQDPQKPQRRAVQKLNLQNLVIPRAPENLTLSNLSESQL ELRWKSRHIKERCLQYLVQYRSNRDRSWTELIVNHEPRFSLPSVDELKRYTFRVRSRYNP ICGSSQQWSKWSQPVHWGSHTVEECLQFPPSRI >ENSMUSP00000134323.1 pep:known chromosome:GRCm38:11:70451919:70453240:1 gene:ENSMUSG00000018923.13 transcript:ENSMUST00000151013.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med11 description:mediator complex subunit 11 [Source:MGI Symbol;Acc:MGI:1913422] MATYSLANERLRALEDIEREIGAILQNAGSGSEGQTARGTAILELSKEKTNERLLDRQAA AFTTSVQHVEAELSAQIRYLTQVATGQPHEGSSYSSRKDCQMALKRVDYARLKISDVART CEQMLEN >ENSMUSP00000019067.7 pep:known chromosome:GRCm38:11:70451919:70453727:1 gene:ENSMUSG00000018923.13 transcript:ENSMUST00000019067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med11 description:mediator complex subunit 11 [Source:MGI Symbol;Acc:MGI:1913422] MATYSLANERLRALEDIEREIGAILQNAGTAILELSKEKTNERLLDRQAAAFTTSVQHVE AELSAQIRYLTQVATGQPHEGSSYSSRKDCQMALKRVDYARLKISDVARTCEQMLEN >ENSMUSP00000074733.6 pep:known chromosome:GRCm38:17:32924688:32947402:-1 gene:ENSMUSG00000024055.14 transcript:ENSMUST00000075253.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f13 description:cytochrome P450, family 4, subfamily f, polypeptide 13 [Source:MGI Symbol;Acc:MGI:2158641] MLQLCLSWLGMGSLTASPWHLLLLGGASWILARILAWIYAFYDNCSRLRCFPQPPKPSWF WGHLALMKNNEESMQFITHLGHDFHDVHLSWVGPVYPILRLVHPNFIAPLLQASAAVAPK EMTLYGFLKPWLGDGLLMSAGDKWSHHRRLLTPAFHFDILKSYVKIFNKSVNIMHAKWQC LASKGTSRLDMFEHISLMTLDSLQKCIFSVDSNCQESDSKYIAAILELSSLVVKRHRQPF LYLDLLYYLTADGRRFRKACDLVHNFTDAVIKERRSTLNTQGVEFLKAKAKTKTLDFIDV LLMAEDEHGKGLSNEDIRAEADTFMFGGHDTTTSALSWILYNLARHPEYQERCRQEVQEL LRDRDSEEIEWDDLAQLPFLTMCIKESLRLHPPVLLISRCCTQDVLLPDGRAIPKGNICV ISIFGVHHNPSVWPDPEVYNPFRFDPENPQKRSPLAFIPFSAGTRNCIGQTFAMSEIKVA LALTLLRFRILPDDKEPRRKPELILRAEGGLWLRVEPLSAGAQ >ENSMUSP00000123282.1 pep:known chromosome:GRCm38:17:32924701:32947379:-1 gene:ENSMUSG00000024055.14 transcript:ENSMUST00000139353.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f13 description:cytochrome P450, family 4, subfamily f, polypeptide 13 [Source:MGI Symbol;Acc:MGI:2158641] MLQLCLSWLGMGSLTASPWHLLLLGGASWILARILAWIYAFYDNCSRLRCFPQPPKPSWF WGHLALAKWQCLASKGTSRLDMFEHISLMTLDSLQKCIFSVDSNCQESDSKYIAAILELS SLVVKRHRQPFLYLDLLYYLTADGRRFRKACDLVHNFTDAVIKERRSTLNTQGVEFLKAK AKTKTLDFIDVLLMAEDEHGKGLSNEDIRAEADTFMFGGHDTTTSALSWILYNLARHPEY QERCRQEVQELLRDRDSEEIEWDDLAQLPFLTMCIKESLRLHPPVLLISRCCTQDVLLPD GRAIPKGNICVISIFGVHHNPSVWPDPEVYNPFRFDPENPQKRSPLAFIPFSAGTRNCIG QTFAMSEIKVALALTLLRFRILPDDKEPRRKPELILRAEGGLWLRVEPLSAGAQ >ENSMUSP00000123495.1 pep:known chromosome:GRCm38:17:32924716:32947366:-1 gene:ENSMUSG00000024055.14 transcript:ENSMUST00000137222.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp4f13 description:cytochrome P450, family 4, subfamily f, polypeptide 13 [Source:MGI Symbol;Acc:MGI:2158641] MLQLCLSWLGMGSLTASPWHLLLLGGASWILARILAWIYAFYDNCSRLRCFPQPPKPSWF WGHLALMKNNEESMQFITHLGHDFHDVHLSWVGPVYPILRLVHPNFIAPLLQASG >ENSMUSP00000117168.1 pep:known chromosome:GRCm38:17:32932424:32947402:-1 gene:ENSMUSG00000024055.14 transcript:ENSMUST00000141325.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp4f13 description:cytochrome P450, family 4, subfamily f, polypeptide 13 [Source:MGI Symbol;Acc:MGI:2158641] MLQLCLSWLGMGSLTASPWHLLLLGGASWILARILAWIYAFYDNCSRLRCFPQPPKPSWF WGHLALMKNNEESMQFITHLGHDFHDVHLSWVGPVYPILRLVHPNFIAPLLQASGFLY >ENSMUSP00000118919.1 pep:known chromosome:GRCm38:17:32932636:32947360:-1 gene:ENSMUSG00000024055.14 transcript:ENSMUST00000145683.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp4f13 description:cytochrome P450, family 4, subfamily f, polypeptide 13 [Source:MGI Symbol;Acc:MGI:2158641] MLQLCLSWLGMGSLTASPWHLLLLGGASWILARILAWIYAFYDNCSRLRCFPQPPKPSWF WGHLALVSVAVDEEQRGKHAVHNTSGP >ENSMUSP00000050862.7 pep:known chromosome:GRCm38:1:120067377:120074074:-1 gene:ENSMUSG00000050777.7 transcript:ENSMUST00000056089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem37 description:transmembrane protein 37 [Source:MGI Symbol;Acc:MGI:2157899] MTAIGAQAHKLLGLKRPHRSFFESFIRTLIIVCTALAVVLSSVSICDGHWLLVEDHLFGL WYFCTIGNHSEPHCLRDLSQAHMPGLAVGMGLARSVAAMAVVAAIFGLEMLIVSQVCEDV RSRRKWAIGSYLLLVAFILSSGGLLTFIILLKNQINLLGFTLMFWCEFTASFLFFLNAAS GLHINSLTQPWDPPAGTLAYRKRGYDGTSLI >ENSMUSP00000071081.8 pep:known chromosome:GRCm38:11:59662507:59776223:1 gene:ENSMUSG00000005417.17 transcript:ENSMUST00000066330.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mprip description:myosin phosphatase Rho interacting protein [Source:MGI Symbol;Acc:MGI:1349438] MSAAKENPCRKFQANIFNKSKCQNCFKPRESHLLNDEDLTQAKPIYGGWLLLAPDGTDFD NPVHRSRKWQRRFFILYEHGLLRYALDEMPTTLPQGTINMNQCTDVVDGEARTGQKFSLC ILTPDKEHFIRAETKEIISGWLEMLMVYPRTNKQNQKKKRKVEPPTPQEPGPAKMAVTSS SGGSSGSSSSIPSAEKVPTTKSTLWQEEMRAKDQPDGTSLSPAQSPSQSQPPAACTPREP GLESKEDESTISGDRVDGGRKVRVESGYFSLEKAKQDLRAEEQLPPLLSPPSPSTPHSRR SQVIEKFEALDIEKAEHMETNMLILTTPSSDTRQGRSERRAIPRKRPDLLNFKKGWLTKQ YEDGQWKKHWFVLADQSLRYYRDSVAEEAADLDGEINLSTCYDVTEYPVQRNYGFQIHTK EGEFTLSAMTSGIRRNWIQTIMKHVLPASAPDVTSSLPEGKNKSTSFETCSRSTEKQEAE PGEPDPEQKKSRARERRREGRSKTFDWAEFRPIQQALAQERASAVGSSDSGDPGCLEAEP GELERERARRREERRKRFGMLDTIDGPGMEDTALRMDIDRSPGLLGTPDLKTQNVHVEIE QRWHQVETTPLREEKQVPIAPLHLSLEDRSERLSTHELTSLLEKELEQSQKEASDLLEQN RLLQDQLRVALGREQSAREGYVLQTEVATSPSGAWQRLHRVNQDLQSELEAQCRRQELIT QQIQTLKHSYGEAKDAIRHHEAEIQTLQTRLGNAAAELAIKEQALAKLKGELKMEQGKVR EQLEEWQHSKAMLSGQLRASEQKLRSTEARLLEKTQELRDLETQQALQRDRQKEVQRLQE CIAELSQQLGTSEQAQRLMEKKLKRNYTLLLESCEQEKQALLQNLKEVEDKASAYEDQLQ GHVQQVEALQKEKLSETCKGSEQVHKLEEELEAREASIRQLAQHVQSLHDERDLIKHQFQ ELMERVATSDGDVAELQEKLRGKEVDYQNLEHSHHRVSVQLQSVRTLLREKEEELKHIKE THERVLEKKDQDLNEALVKMIALGSSLEETEIKLQEKEECLRRFVSDSPKDAKEPLSTTE PTEEGSGILPLGSVTRVFPGFPHSQPEDEDPSAGLGEEGSSGSLSREENTILPKSADMPE REGHLQSTSKSDPGAPIKRPRIRFSTIQCQRYIHPEGCAKAWTSSTSSDTSQDQSPSEDS VSSEATPNTLPAAADAETYISIIHSLETKLYVTEEKLKDVTVRLESQQGQSQEALLALHQ QWAGTEAQLREQLRASLLQASALASQLEQERQQKATNIEHHPGELEDFQAKNSQALTCLE NCWKKLRSLPWADQEEGQDACAASLANIESMLVSAIKALQPWASPAESRTQAEQEKEHPI ESGMAAPVQQPCQPILNEQEHRKLLSAQIVLEASLINQIADSLKNTTSDVYGVLCELTQS GEWPLKEESAAPSAGAPVEIWAKKVLVNGEFWSQVESLSKHLGTLGEETACTSGDRQQHT PQSLADATWIRAELSYATQSVRELFHHRLQSIQETLQGTQAALQQHKCMLGEILGAYQTP DFERVIQQILETLRHPTGREDQVQTSWDQNPLGEILRPGTDGSQEPLQALHQSPEVLAAI QDELAQQLREKASILEEISAALPVLPPTEPLGGCQRLLRMSQHLSYESCLEGLGQYSSLL VQDAIIQAQVCYAACRIRLEYEKELRFYKKACQEAKGASGQKRAQAVGALKEEYEELLHK QKSEYQKVITLIEKENTELKAKVSQMDHQQRCLQEAENKHSESMFALQGRYEEEIRCMVE QLSHTENTLQAERSRVLSQLDASVKDRQAMEQHHVQQMKMLEDRFQLKVRELQAVHQEEL RALQEHYIWSLRGALSLYQPSHPDSSLAPGPSEPRAVPAAKDEAESMSGLRERIQELEAQ MGVMREELGHKELEGDVAALQEKYQRDFESLKATCERGFAAMEETHQKKIEDLQRQHQRE LEKLREEKDRLLAEETAATISAIEAMKNAHREEMERELEKSQRSQISSINSDIEALRRQY LEELQSVQRELEVLSEQYSQKCLENAHLAQALEAERQALRQCQRENQELNAHNQELNNRL AAEITRLRTLLTGDGGGESTGLPLTQGKDAYELEVLLRVKESEIQYLKQEISSLKDELQT ALRDKKYASDKYKDIYTELSIAKAKADCDISRLKEQLKAATEALGEKSPEGTTVSGYDIM KSKSNPDFLKKDRSCVTRQLRNIRSKSLKEGLTVQERLKLFESRDLKKD >ENSMUSP00000104382.1 pep:known chromosome:GRCm38:11:59662507:59776223:1 gene:ENSMUSG00000005417.17 transcript:ENSMUST00000108751.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mprip description:myosin phosphatase Rho interacting protein [Source:MGI Symbol;Acc:MGI:1349438] MSAAKENPCRKFQANIFNKSKCQNCFKPRESHLLNDEDLTQAKPIYGGWLLLAPDGTDFD NPVHRSRKWQRRFFILYEHGLLRYALDEMPTTLPQGTINMNQCTDVVDGEARTGQKFSLC ILTPDKEHFIRAETKEIISGWLEMLMVYPRTNKQNQKKKRKVEPPTPQEEMRAKDQPDGT SLSPAQSPSQSQPPAACTPREPGLESKEDESTISGDRVDGGRKVRVESGYFSLEKAKQDL RAEEQLPPLLSPPSPSTPHSRRSQVIEKFEALDIEKAEHMETNMLILTTPSSDTRQGRSE RRAIPRKRDFASEAPTAPLSDACPLSPHRRAKSLDRRSTESSMTPDLLNFKKGWLTKQYE DGQWKKHWFVLADQSLRYYRDSVAEEAADLDGEINLSTCYDVTEYPVQRNYGFQIHTKEG EFTLSAMTSGIRRNWIQTIMKHVLPASAPDVTSSLPEGKNKSTSFETCSRSTEKQEAEPG EPDPEQKKSRARERRREGRSKTFDWAEFRPIQQALAQERASAVGSSDSGDPGCLEAEPGE LERERARRREERRKRFGMLDTIDGPGMEDTALRMDIDRSPGLLGTPDLKTQNVHVEIEQR WHQVETTPLREEKQVPIAPLHLSLEDRSERLSTHELTSLLEKELEQSQKEASDLLEQNRL LQDQLRVALGREQSAREGYVLQATCERGFAAMEETHQKKIEDLQRQHQRELEKLREEKDR LLAEETAATISAIEAMKNAHREEMERELEKSQRSQISSINSDIEALRRQYLEELQSVQRE LEVLSEQYSQKCLENAHLAQALEAERQALRQCQRENQELNAHNQELNNRLAAEITRLRTL LTGDGGGESTGLPLTQGKDAYELEVLLRVKESEIQYLKQEISSLKDELQTALRDKKYASD KYKDIYTELSIAKAKADCDISRLKEQLKAATEALGEKSPEGTTVSGYDIMKSKSNPDFLK KDRSCVTRQLRNIRSKSVIEQVSWDN >ENSMUSP00000112072.1 pep:known chromosome:GRCm38:11:59662507:59780854:1 gene:ENSMUSG00000005417.17 transcript:ENSMUST00000116371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mprip description:myosin phosphatase Rho interacting protein [Source:MGI Symbol;Acc:MGI:1349438] MSAAKENPCRKFQANIFNKSKCQNCFKPRESHLLNDEDLTQAKPIYGGWLLLAPDGTDFD NPVHRSRKWQRRFFILYEHGLLRYALDEMPTTLPQGTINMNQCTDVVDGEARTGQKFSLC ILTPDKEHFIRAETKEIISGWLEMLMVYPRTNKQNQKKKRKVEPPTPQEPGPAKMAVTSS SGGSSGSSSSIPSAEKVPTTKSTLWQEEMRAKDQPDGTSLSPAQSPSQSQPPAACTPREP GLESKEDESTISGDRVDGGRKVRVESGYFSLEKAKQDLRAEEQLPPLLSPPSPSTPHSRR SQVIEKFEALDIEKAEHMETNMLILTTPSSDTRQGRSERRAIPRKRDFASEAPTAPLSDA CPLSPHRRAKSLDRRSTESSMTPDLLNFKKGWLTKQYEDGQWKKHWFVLADQSLRYYRDS VAEEAADLDGEINLSTCYDVTEYPVQRNYGFQIHTKEGEFTLSAMTSGIRRNWIQTIMKH VLPASAPDVTSSLPEGKNKSTSFETCSRSTEKQEAEPGEPDPEQKKSRARERRREGRSKT FDWAEFRPIQQALAQERASAVGSSDSGDPGCLEAEPGELERERARRREERRKRFGMLDTI DGPGMEDTALRMDIDRSPGLLGTPDLKTQNVHVEIEQRWHQVETTPLREEKQVPIAPLHL SLEDRSERLSTHELTSLLEKELEQSQKEASDLLEQNRLLQDQLRVALGREQSAREGYVLQ ATCERGFAAMEETHQKKIEDLQRQHQRELEKLREEKDRLLAEETAATISAIEAMKNAHRE EMERELEKSQRSQISSINSDIEALRRQYLEELQSVQRELEVLSEQYSQKCLENAHLAQAL EAERQALRQCQRENQELNAHNQELNNRLAAEITRLRTLLTGDGGGESTGLPLTQGKDAYE LEVLLRVKESEIQYLKQEISSLKDELQTALRDKKYASDKYKDIYTELSIAKAKADCDISR LKEQLKAATEALGEKSPEGTTVSGYDIMKSKSNPDFLKKDRSCVTRQLRNIRSKSVIEQV SWDN >ENSMUSP00000119562.1 pep:known chromosome:GRCm38:11:59727681:59776602:1 gene:ENSMUSG00000005417.17 transcript:ENSMUST00000133861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mprip description:myosin phosphatase Rho interacting protein [Source:MGI Symbol;Acc:MGI:1349438] ETKEIISGWLEMLMVYPRTNKQNQKKKRKVEPPTPQEPGPAKMAVTSSSGGSSGSSSSIP SAEKVPTTKSTLWQEEMRAKDQPDGTSLSPAQSPSQSQPPAACTPREPGLESKEDESTIS GDRVDGGRKVRVESGYFSLEKAKQDLRAEEQLPPLLSPPSPSTPHSRYGCPGSPSQELSH PLHSPGLPAPSRMVYSICPASLGEASRSPNHMDSSSAGGWGTEILGSTFAFKASRQYAAL ADVPKAIRISHREAFQVERRRLEHRTRARSPGREEVARLFGNERRRSQVIEKFEALDIEK AEHMETNMLILTTPSSDTRQGRSERRAIPRKRDFASEAPTAPLSDACPLSPHRRAKSLDR RSTESSMTPDLLNFKKGWLTKQYEDGQWKKHWFVLADQSLRYYRDSVAEEAADLDGEINL STCYDVTEYPVQRNYGFQIHTKEGEFTLSAMTSGIRRNWIQTIMKHVLPASAPDVTSSLP EGKNKSTSFETCSRSTEKQEAEPGEPDPEQKKSRARERRREGRSKTFDWAEFRPIQQALA QERASAVGSSDSGDPGCLEAEPGELERERARRREERRKRFGMLDTIDGPGMEDTALRMDI DRSPGLLGTPDLKTQNVHVEIEQRWHQVETTPLREEKQVPIAPLHLSLEDRSERLSTHEL TSLLEKELEQSQKEASDLLEQNRLLQDQLRVALGREQSAREGYVLQATCERGFAAMEETH QKKIEDLQRQHQRELEKLREEKDRLLAEETAATISAIEAMKNAHREEMERELEKSQRSQI SSINSDIEALRRQYLEELQSVQRELEVLSEQYSQKCLENAHLAQALEAERQALRQCQREN QELNAHNQELNNRLAAEITRLRTLLTGDGGGESTGLPLTQGKDAYELEVLLRVKESEIQY LKQEISSLKDELQTALRDKKYASDKYKDIYTELSIAKAKADCDISRLKEQLKAATEALGE KSPEGTTVSGYDIMKSKSNPDFLKKDRSCVTRQLRNIRSKSVIEQVSWDN >ENSMUSP00000119422.1 pep:known chromosome:GRCm38:11:59731550:59776223:1 gene:ENSMUSG00000005417.17 transcript:ENSMUST00000132620.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mprip description:myosin phosphatase Rho interacting protein [Source:MGI Symbol;Acc:MGI:1349438] XNQKKKRKVEPPTPQEPGPAKMAVTSSSGGSSGSSSSIPSAEKVPTTKSTLWQEEMRAKD QPDGTSLSPAQSPSQSQPPAACTPREPGLESKEDESTISGDRVDGGRKVRVESGYFSLEK AKQDLRAEEQLPPLLSPPSPSTPHSRRSQVIEKFEALDIEKAEHMETNMLILTTPSSDTR QGRSERRAIPRKRPDLLNFKKGWLTKQYEDGQWKKHWFVLADQSLRYYRDSVAEEAADLD GEINLSTCYDVTEYPVQRNYGFQIHTKEGEFTLSAMTSGIRRNWIQTIMKHVLPASAPDV TSSLPEGKNKSTSFETCSRSTEKQEAEPGEPDPEQKKSRARERRREGRSKTFDWAEFRPI QQALAQERASAVGSSDSGDPGCLEAEPGELERERARRREERRKRFGMLDTIDGPGMEDTA LRMDIDRSPGLLGTPDLKTQNVHVEIEQRWHQVETTPLREEKQVPIAPLHLSLEDRSERL STHELTSLLEKELEQSQKEASDLLEQNRLLQDQLRVALGREQSAREGYVLQATCERGFAA MEETHQKKIEDLQRQHQRELEKLREEKDRLLAEETAATISAIEAMKNAHREEMERELEKS QRSQISSINSDIEALRRQYLEELQSVQRELEVLSEQYSQKCLENAHLAQALEAERQALRQ CQRENQELNAHNQELNNRLAAEITRLRTLLTGDGGGESTGLPLTQGKDAYELEVLLRVKE SEIQYLKQEISSLKDELQTALRDKKYASDKYKDIYTELSIAKAKADCDISRLKEQLKAAT EALGEKSPEGTTVSGYDIMKSKSNPDFLKKDRSCVTRQLRNIRSKSLKEGLTVQERLKLF ESRDLKKD >ENSMUSP00000114446.1 pep:known chromosome:GRCm38:11:59760748:59776223:1 gene:ENSMUSG00000005417.17 transcript:ENSMUST00000156111.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mprip description:myosin phosphatase Rho interacting protein [Source:MGI Symbol;Acc:MGI:1349438] XKAKVSQMDHQQRCLQEAENKHSESMFALQGRYEEEIRCMVEQLSHTENTLQAERSRVLS QLDASVKDRQAMEQHHVQQMKMLEDRFQLKVRELQAVHQEELRALQEHYIWSLRGALSLY QPSHPDSSLAPGPSEPRAVPAAKDEAESMSGLRERIQELEAQMGVMREELGHKELEGDVA ALQEKYQRDFESLKATCERGFAAMEETHQKKIEDLQRQHQRELEKLREEKDRLLAEETAA TISAIEAMKNAHREEMERELEKSQRSQISSINSDIEALRRQYLEELQSVQRELEVLSEQY SQKCLENAHLAQALEAERQALRQCQRENQELNAHNQELNNRLAAEITRLRTLLTGDGGGE STGLPLTQGKDAYELEVLLRVKESEIQYLKQEISSLKDELQTALRDKKYASDKYKDIYTE LSIAKAKADCDISRLKEQLKAATEALGEKSPEGTTVSGYDIMKSKSNPDFLKKDRSCVTR QLRNIRSKSVIEQVSWDN >ENSMUSP00000071914.6 pep:known chromosome:GRCm38:11:59662495:59780860:1 gene:ENSMUSG00000005417.17 transcript:ENSMUST00000072031.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mprip description:myosin phosphatase Rho interacting protein [Source:MGI Symbol;Acc:MGI:1349438] MSAAKENPCRKFQANIFNKSKCQNCFKPRESHLLNDEDLTQAKPIYGGWLLLAPDGTDFD NPVHRSRKWQRRFFILYEHGLLRYALDEMPTTLPQGTINMNQCTDVVDGEARTGQKFSLC ILTPDKEHFIRAETKEIISGWLEMLMVYPRTNKQNQKKKRKVEPPTPQEPGPAKMAVTSS SGGSSGSSSSIPSAEKVPTTKSTLWQEEMRAKDQPDGTSLSPAQSPSQSQPPAACTPREP GLESKEDESTISGDRVDGGRKVRVESGYFSLEKAKQDLRAEEQLPPLLSPPSPSTPHSRR SQVIEKFEALDIEKAEHMETNMLILTTPSSDTRQGRSERRAIPRKRDFASEAPTAPLSDA CPLSPHRRAKSLDRRSTESSMTPDLLNFKKGWLTKQYEDGQWKKHWFVLADQSLRYYRDS VAEEAADLDGEINLSTCYDVTEYPVQRNYGFQIHTKEGEFTLSAMTSGIRRNWIQTIMKH VLPASAPDVTSSLPEGKNKSTSFETCSRSTEKQEAEPGEPDPEQKKSRARERRREGRSKT FDWAEFRPIQQALAQERASAVGSSDSGDPGCLEAEPGELERERARRREERRKRFGMLDTI DGPGMEDTALRMDIDRSPGLLGTPDLKTQNVHVEIEQRWHQVETTPLREEKQVPIAPLHL SLEDRSERLSTHELTSLLEKELEQSQKEASDLLEQNRLLQDQLRVALGREQSAREGYVLQ ATCERGFAAMEETHQKKIEDLQRQHQRELEKLREEKDRLLAEETAATISAIEAMKNAHRE EMERELEKSQRSQISSINSDIEALRRQYLEELQSVQRELEVLSEQYSQKCLENAHLAQAL EAERQALRQCQRENQELNAHNQELNNRLAAEITRLRTLLTGDGGGESTGLPLTQGKDAYE LEVLLRVKESEIQYLKQEISSLKDELQTALRDKKYASDKYKDIYTELSIAKAKADCDISR LKEQLKAATEALGEKSPEGTTVSGYDIMKSKSNPDFLKKDRSCVTRQLRNIRSKSLKEGL TVQERLKLFESRDLKKD >ENSMUSP00000130326.1 pep:known chromosome:GRCm38:14:43179867:43187988:1 gene:ENSMUSG00000094151.1 transcript:ENSMUST00000168619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7233 description:predicted gene 7233 [Source:MGI Symbol;Acc:MGI:3648176] MFSWLRRLFHRENGDQGETRPREKESAIPSCKNRRMKSFWGRHMSAGKTSSQNCNITNHM KNMNKLDDMKFYIRKINAERLELFRILDIDMNTDLNYRMNTEFTIIKSQHEKTMLDMEKM TQSISDTIEKYKEFIEDKDSYSFTHTYLLKECNQLKEKVRMLLNENRKLLVEQADQGTSY GEENRFCDETSKNIHTKC >ENSMUSP00000045927.6 pep:known chromosome:GRCm38:18:68260187:68300333:-1 gene:ENSMUSG00000038121.6 transcript:ENSMUST00000042852.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam210a description:family with sequence similarity 210, member A [Source:MGI Symbol;Acc:MGI:1914000] MQWNVPRTMSRLALRTFVEAQKARLFDHHWRIKGPLLVHRGEYRVAWTPHLRKQWLHLSA VQCLAKQRNLLDAQPPQLGTLRQERWEQDILSKRVLSSSSTSQETPSEKKEETDPLQDKS ISLYQRFKKTFRQYGKVLIPVHLITSGIWFGTFYYATIKGVNVIPFLEVIGLPDSIVDIL KNSQSGNALTAYAMFKIATPARYTVTLGGTSFTVKYLRSHGYMSTPPPVKEYLQGRMEET KELITEKMEETKDRLTEKLQETKGKVSFKKKVE >ENSMUSP00000122677.1 pep:known chromosome:GRCm38:18:68275917:68300202:-1 gene:ENSMUSG00000038121.6 transcript:ENSMUST00000152193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam210a description:family with sequence similarity 210, member A [Source:MGI Symbol;Acc:MGI:1914000] MQWNVPRTMSRLALRTFVEAQKARLFDHHWRIKGPLLVHRGEYRVAWTPHLRKQWLHLSA VQCLAKQRNLLDAQPPQLGTLRQERWEQDILSKRVLSSSSTSQETPS >ENSMUSP00000128400.1 pep:known chromosome:GRCm38:1:133603871:133610291:-1 gene:ENSMUSG00000090553.8 transcript:ENSMUST00000166915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpe description:small nuclear ribonucleoprotein E [Source:MGI Symbol;Acc:MGI:98346] MAYRGQGQKVQKVMVQPINLIFRYLQNRSRIQVWLYEQVNMRIEGCIIGFDEYMNLVLDD AEEIHSKTKSRKQLGRIMLKGDNITLLQSVSN >ENSMUSP00000132376.1 pep:known chromosome:GRCm38:1:133603876:133610232:-1 gene:ENSMUSG00000090553.8 transcript:ENSMUST00000166291.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpe description:small nuclear ribonucleoprotein E [Source:MGI Symbol;Acc:MGI:98346] MAYRGQGQKVQKVMVQPINLIFRYLQNRSRIQVWLYEQVNMRIEGCIIVGSC >ENSMUSP00000133244.1 pep:known chromosome:GRCm38:1:133603896:133610047:-1 gene:ENSMUSG00000090553.8 transcript:ENSMUST00000172079.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snrpe description:small nuclear ribonucleoprotein E [Source:MGI Symbol;Acc:MGI:98346] MWAIGKVGETRAVGRERMLPAVVCACGCSPAGPWGAGESGAVGSGGSAVCVVLFLDRRAA EPYLQILAK >ENSMUSP00000127164.1 pep:known chromosome:GRCm38:1:133606087:133610249:-1 gene:ENSMUSG00000090553.8 transcript:ENSMUST00000164096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpe description:small nuclear ribonucleoprotein E [Source:MGI Symbol;Acc:MGI:98346] MAYRGQGQKVQKVMVQPINLIFRYLQNRSRIQVWLYEQVNMRIEGCIIGFDEYMNLVLDD AEEIHSKTKSRKQLGKEIVGFTDFCLFHWQT >ENSMUSP00000132543.1 pep:known chromosome:GRCm38:1:133606523:133609925:-1 gene:ENSMUSG00000090553.8 transcript:ENSMUST00000171264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpe description:small nuclear ribonucleoprotein E [Source:MGI Symbol;Acc:MGI:98346] MWAIGKGFDEYMNLVLDDAEEIHSK >ENSMUSP00000131061.1 pep:known chromosome:GRCm38:1:133608861:133610215:-1 gene:ENSMUSG00000090553.8 transcript:ENSMUST00000164574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpe description:small nuclear ribonucleoprotein E [Source:MGI Symbol;Acc:MGI:98346] MWAIGKVGETRAVGRERMLPAVVCACGCSPAGPWGAGESGAVGSGGSAVCVVLFLDRRAA EPYLQILAK >ENSMUSP00000046358.4 pep:known chromosome:GRCm38:19:10245265:10304877:-1 gene:ENSMUSG00000035735.10 transcript:ENSMUST00000039327.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dagla description:diacylglycerol lipase, alpha [Source:MGI Symbol;Acc:MGI:2677061] MPGIVVFRRRWSVGSDDLVLPAIFLFLLHTTWFVILSVVLFGLVYNPHEACSLNLVDHGR GYLGILLSCMIAEMAIIWLSMRGGILYTEPRDSMQYVLYVRLAILVIEFIYAIVGIVWLT QYYTSCNDLTAKNVTLGMVVCNWVVILSVCITVLCVFDPTGRTFVKLRATKRRQRNLRTY NLRHRLEEGQATSWSRRLKVFLCCTRTKDSQSDAYSEIAYLFAEFFRDLDIVPSDIIAGL VLLRQRQRAKRNAVLDEANNDILAFLSGMPVTRNTKYLDLKNSHEMLRYKEVCYYMLFAL AAYGWPMYLMRKPTCGLCQLARSCSCCLCPARPRFAPGVTIEEDNCCGCNAIAIRRHFLD ENMTAVDIVYTSCHDAVYETPFYVAVDHDKKKVVISIRGTLSPKDALTDLTGDAERLPVE GHRGTWLGHKGMVLSAEYIKKKLEQEMVLSQAFGRDLGRGTKHYGLIVVGHSLGAGTAAI LSFLLRPQYPTLKCFAYSPPGGLLSEDAMEYSKEFVTAVVLGKDLVPRIGLSQLEGFRRQ LLDVLQRSTKPKWRIIVGATKCIPKSELPEDQVEVTTLASTRLWTHPSDLTIALSASTPL YPPGRIIHVVHNHPAEQCCCCEQEEPTYFAIWGDNKAFNEVIISPAMLHEHLPYVVMEGL NKVLENYNKGKTALLSAAKVMVSPTEVDLTPELIFQQQPLPTGPPLPTGLALELPATEHR NSSVRSKSQSEMSLEGFSEGRLLSPVAAASAARQDPVELLLLSTQERLAAELQSRRAPLA TMESLSDTESLYSFDSRRSSGFRSIRGSPSLHAVLERDEGHLFYIDPAIPEENPSLSSRT ELLAADSLSKHSQDTQPLEAALGSGGVTPERPPSATIEEEEAAGGSEGGGVAPRGELALH NGRLGDSPSPQVLEFAEFIDSLFNLDSKSSSFQDLYCMMVPESPTSDYTEGPKSPSQQEI LLRAQFEPNLVPKPPRLFAGSAEPSSGISLSPSFPLSSSGELMDLTPTGLSSQECLATDK IRTSTPTGHGASPTKQDDLVISAR >ENSMUSP00000138702.1 pep:known chromosome:GRCm38:19:10245268:10304857:-1 gene:ENSMUSG00000035735.10 transcript:ENSMUST00000125567.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dagla description:diacylglycerol lipase, alpha [Source:MGI Symbol;Acc:MGI:2677061] MPGIVVFRRRWSVGSDDLVLPAIFLFLLHTTWFVILSVVLFGLVYNPHEACSLNLVDHGR GYLGILLSCMIAEMAIIWLSMRGGILYTEPRDSMHHLGD >ENSMUSP00000031326.8 pep:known chromosome:GRCm38:5:90786103:90788093:1 gene:ENSMUSG00000029379.10 transcript:ENSMUST00000031326.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl3 description:chemokine (C-X-C motif) ligand 3 [Source:MGI Symbol;Acc:MGI:3037818] MAPPTCRLLSAALVLLLLLATNHQATGAVVASELRCQCLNTLPRVDFETIQSLTVTPPGP HCTQTEVIATLKDGQEVCLNPQGPRLQIIIKKILKSGKSS >ENSMUSP00000099475.4 pep:known chromosome:GRCm38:11:86694351:86757565:-1 gene:ENSMUSG00000047126.17 transcript:ENSMUST00000103186.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cltc description:clathrin, heavy polypeptide (Hc) [Source:MGI Symbol;Acc:MGI:2388633] MAQILPIRFQEHLQLQNLGINPANIGFSTLTMESDKFICIREKVGEQAQVVIIDMNDPSN PIRRPISADSAIMNPASKVIALKAGKTLQIFNIEMKSKMKAHTMTDDVTFWKWISLNTVA LVTDNAVYHWSMEGESQPVKMFDRHSSLAGCQIINYRTDAKQKWLLLTGISAQQNRVVGA MQLYSVDRKVSQPIEGHAASFAQFKMEGNAEESTLFCFAVRGQAGGKLHIIEVGTPPTGN QPFPKKAVDVFFPPEAQNDFPVAMQISEKHDVVFLITKYGYIHLYDLETGTCIYMNRISG ETIFVTAPHEATAGIIGVNRKGQVLSVCVEEENIIPYITNVLQNPDLALRMAVRNNLAGA EELFARKFNALFAQGNYSEAAKVAANAPKGILRTPDTIRRFQSVPAQPGQTSPLLQYFGI LLDQGQLNKYESLELCRPVLQQGRKQLLEKWLKEDKLECSEELGDLVKSVDPTLALSVYL RANVPNKVIQCFAETGQVQKIVLYAKKVGYTPDWIFLLRNVMRISPDQGQQFAQMLVQDE EPLADITQIVDVFMEYNLIQQCTAFLLDALKNNRPSEGPLQTRLLEMNLMHAPQVADAIL GNQMFTHYDRAHIAQLCEKAGLLQRALEHFTDLYDIKRAVVHTHLLNPEWLVNYFGSLSV EDSLECLRAMLSANIRQNLQICVQVASKYHEQLSTQSLIELFESFKSFEGLFYFLGSIVN FSQDPDVHFKYIQAACKTGQIKEVERICRESNCYDPERVKNFLKEAKLTDQLPLIIVCDR FDFVHDLVLYLYRNNLQKYIEIYVQKVNPSRLPVVIGGLLDVDCSEDVIKNLILVVRGQF STDELVAEVEKRNRLKLLLPWLEARIHEGCEEPATHNALAKIYIDSNNNPERFLRENPYY DSRVVGKYCEKRDPHLACVAYERGQCDLELINVCNENSLFKSLSRYLVRRKDPELWGSVL LESNPYRRPLIDQVVQTALSETQDPEEVSVTVKAFMTADLPNELIELLEKIVLDNSVFSE HRNLQNLLILTAIKADRTRVMEYINRLDNYDAPDIANIAISNELFEEAFAIFRKFDVNTS AVQVLIEHIGNLDRAYEFAERCNEPAVWSQLAKAQLQKGMVKEAIDSYIKADDPSSYMEV VQAANASGNWEELVKYLQMARKKARESYVETELIFALAKTNRLAELEEFINGPNNAHIQQ VGDRCYDEKMYDAAKLLYNNVSNFGRLASTLVHLGEYQAAVDGARKANSTRTWKEVCFAC VDGKEFRLAQMCGLHIVVHADELEELINYYQDRGYFEELITMLEAALGLERAHMGMFTEL AILYSKFKPQKMREHLELFWSRVNIPKVLRAAEQAHLWAELVFLYDKYEEYDNAIITMMN HPTDAWKEGQFKDIITKVANVELYYKAIQFYLEFKPLLLNDLLMVLSPRLDHTRAVNYFS KVKQLPLVKPYLRSVQNHNNKSVNESLNNLFITEEDYQALRTSIDAYDNFDNISLAQRLE KHELIEFRRIAAYLFKGNNRWKQSVELCKKDSLYKDAMQYASESKDTELAEELLQWFLQE EKRECFGACLFTCYDLLRPDVVLETAWRHNIMDFAMPYFIQVMKEYLTKVDKLDASESLR KEEEQATETQPIVYGQPQLMLTAGPSVAVPPQAPFGYGYTAPPYGQPQPGFGYSM >ENSMUSP00000050220.9 pep:known chromosome:GRCm38:11:86694655:86757501:-1 gene:ENSMUSG00000047126.17 transcript:ENSMUST00000060766.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cltc description:clathrin, heavy polypeptide (Hc) [Source:MGI Symbol;Acc:MGI:2388633] MAQILPIRFQEHLQLQNLGINPANIGFSTLTMESDKFICIREKVGEQAQVVIIDMNDPSN PIRRPISADSAIMNPASKVIALKGIKDSGKTLQIFNIEMKSKMKAHTMTDDVTFWKWISL NTVALVTDNAVYHWSMEGESQPVKMFDRHSSLAGCQIINYRTDAKQKWLLLTGISAQQNR VVGAMQLYSVDRKVSQPIEGHAASFAQFKMEGNAEESTLFCFAVRGQAGGKLHIIEVGTP PTGNQPFPKKAVDVFFPPEAQNDFPVAMQISEKHDVVFLITKYGYIHLYDLETGTCIYMN RISGETIFVTAPHEATAGIIGVNRKGQVLSVCVEEENIIPYITNVLQNPDLALRMAVRNN LAGAEELFARKFNALFAQGNYSEAAKVAANAPKGILRTPDTIRRFQSVPAQPGQTSPLLQ YFGILLDQGQLNKYESLELCRPVLQQGRKQLLEKWLKEDKLECSEELGDLVKSVDPTLAL SVYLRANVPNKVIQCFAETGQVQKIVLYAKKVGYTPDWIFLLRNVMRISPDQGQQFAQML VQDEEPLADITQIVDVFMEYNLIQQCTAFLLDALKNNRPSEGPLQTRLLEMNLMHAPQVA DAILGNQMFTHYDRAHIAQLCEKAGLLQRALEHFTDLYDIKRAVVHTHLLNPEWLVNYFG SLSVEDSLECLRAMLSANIRQNLQICVQVASKYHEQLSTQSLIELFESFKSFEGLFYFLG SIVNFSQDPDVHFKYIQAACKTGQIKEVERICRESNCYDPERVKNFLKEAKLTDQLPLII VCDRFDFVHDLVLYLYRNNLQKYIEIYVQKVNPSRLPVVIGGLLDVDCSEDVIKNLILVV RGQFSTDELVAEVEKRNRLKLLLPWLEARIHEGCEEPATHNALAKIYIDSNNNPERFLRE NPYYDSRVVGKYCEKRDPHLACVAYERGQCDLELINVCNENSLFKSLSRYLVRRKDPELW GSVLLESNPYRRPLIDQVVQTALSETQDPEEVSVTVKAFMTADLPNELIELLEKIVLDNS VFSEHRNLQNLLILTAIKADRTRVMEYINRLDNYDAPDIANIAISNELFEEAFAIFRKFD VNTSAVQVLIEHIGNLDRAYEFAERCNEPAVWSQLAKAQLQKGMVKEAIDSYIKADDPSS YMEVVQAANASGNWEELVKYLQMARKKARESYVETELIFALAKTNRLAELEEFINGPNNA HIQQVGDRCYDEKMYDAAKLLYNNVSNFGRLASTLVHLGEYQAAVDGARKANSTRTWKEV CFACVDGKEFRLAQMCGLHIVVHADELEELINYYQDRGYFEELITMLEAALGLERAHMGM FTELAILYSKFKPQKMREHLELFWSRVNIPKVLRAAEQAHLWAELVFLYDKYEEYDNAII TMMNHPTDAWKEGQFKDIITKVANVELYYKAIQFYLEFKPLLLNDLLMVLSPRLDHTRAV NYFSKVKQLPLVKPYLRSVQNHNNKSVNESLNNLFITEEDYQALRTSIDAYDNFDNISLA QRLEKHELIEFRRIAAYLFKGNNRWKQSVELCKKDSLYKDAMQYASESKDTELAEELLQW FLQEEKRECFGACLFTCYDLLRPDVVLETAWRHNIMDFAMPYFIQVMKEYLTKVDKLDAS ESLRKEEEQATETQPIVYGQPQLMLTAGPSVAVPPQAPFGYGYTAPPYGQPQPGFGYSM >ENSMUSP00000117674.1 pep:known chromosome:GRCm38:11:86695317:86703697:-1 gene:ENSMUSG00000047126.17 transcript:ENSMUST00000124385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cltc description:clathrin, heavy polypeptide (Hc) [Source:MGI Symbol;Acc:MGI:2388633] XNNLFITEEDYQALRTSIDAYDNFDNISLAQRLEKHELIEFRRIAAYLFKGNNRWKQSVE LCKKDSLYKDAMQYASESKDTELAEELLQWFLQEEKRECFGACLFTCYDLLRPDVVLETA WRHNIMDFAMPYFIQVMKEYLTKVDAIKEKVDKLDASESLRKEEEQATETQPIVYGQPQL MLTAGPSVAVPPQAPFGYGYTAPPYGQPQPGFGYSM >ENSMUSP00000016383.3 pep:known chromosome:GRCm38:X:36328353:36362341:1 gene:ENSMUSG00000016239.11 transcript:ENSMUST00000016383.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lonrf3 description:LON peptidase N-terminal domain and ring finger 3 [Source:MGI Symbol;Acc:MGI:1921615] MDSLQTAQMVSLSAELGSNNLELAEPEEPGTSAAAGQSAAHPEEVTPEGSQALGAQEPEQ SLPLAVPTPLECKVLLTQADALASEGHLREALEVYRQLSERQQLVAEQLEQLVRCLADSV PQEELASDSSGTSSCCAAALKEAGEAAAVAPEVWDGFKCKKCHGFLSDPVSLWCGHTFCK LCLERGRAADRRCALCGVKLSALMAASGRARGPRRAGQPAPLQLRVNVVLSGLLGKLFPG PARASQLRHEGNRLFREHQVEAALLKYNEAVRLAPNDHLLYSNRSQIYFTLESHEDALHD AEIACKLRPMGFKAHFRKAQALATLGKVKEALKEFLYCVSLDGKNKSARSEAQRENLELP HCSNQEGAAAAEESSSLANSAQGKVSSKEDRKKDQEGEDRDAASVRTGKCQEKKRNRCQI ETQEDTELPNKVSKQDFPAEQGAKPDLSNPLGSFDASDLECSLCMRLFYEPVTTPCGHTF CLKCLERCLDHNAKCPLCKDVLLQCLPSRKYSKNVILEELIATFLPEEFKERKRLYEEEM EELSNLNKNVPIFVCTMAYPTVPCPLHIFEPCYRLMIRRCIETGTRQFGMCLGDPVKGFV EYGCILEIRNVQFFSDGRSVVDSIGKRRFKVLHQGQRDGYNTADIEYIEDQKVQGDDCAE LMGLHNCVYEQASSWFHSLKASLKNRILNHFGPMPEKDEDPQVNPNGPAWCWWTLAVLPL ESRAQLPFLAMRSLKDRLNGIRRILAFISRNQN >ENSMUSP00000118175.1 pep:known chromosome:GRCm38:X:36329216:36341754:1 gene:ENSMUSG00000016239.11 transcript:ENSMUST00000126147.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lonrf3 description:LON peptidase N-terminal domain and ring finger 3 [Source:MGI Symbol;Acc:MGI:1921615] PRRAGQPAPLQLRVNVVLSGLLGKLFPGPARASQLRHEGNRLFREHQVEAALLKYNEAVR LAPNDHLLYSNRSQIYFTLESHEDALHDAEIACKLRPMGFKAHFRKAQALATLGKVKEAL KEFLYCVSLDGKNKSARSEAQRLLFSFFSPSVPGESQEHSPDILKLLAPHPRLKEHVESM ATEGTSHNLPKLSQENLELPHCSNQEGAAAAEESSSLANSAQGKVSSKEDRKKDQEGE >ENSMUSP00000087762.5 pep:known chromosome:GRCm38:15:12824863:12935243:1 gene:ENSMUSG00000022191.15 transcript:ENSMUST00000090292.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drosha description:drosha, ribonuclease type III [Source:MGI Symbol;Acc:MGI:1261425] MQGNTCHRMSYHPGRGCPRGRGGHGARPSAPAFRPQNLRLLHPQQPPAQYQYEPPSAPSS SYSNSQAPSFMPPRPDFVPYPPPAAPSAQGPLPPCPVRPPYPNHQMRHPFPVPPCFPPMP PPMPCPNNPPASGAPPGQGTFPFMVPPPSMPHPPPPPVMPQQVNYQYPPGYSHSFPPPGF NSYQNNSSSFPPSANSSSTPHFRHLPPYSLPKAQNERRSPERLKHYDDHRHRDHSHGRGE RHRSLERRERGRSPERRRPESRYRSDYDRGRTPPPRHRSYERSRERDRERHRHREARRSP SLERSYKKEYKRSGRSYALPVAPEPAGCTPELPGEMIKTTESWAPPPENVNHRSPSREKK RARWEEEKDRWSDSQGSGKEKNYTSIKEKEAEEVPPEKTEEEEEELLKPVWIRCTHSESY YSSDPMDQVGDSTVVGTSRLRDLYDKFEEELGNRQEKAKAARPPWEPPKTKLDEDLESSS ESECETDDDSTCSSSSDSEVFDVIAEIKRKKAHPDRLHDELWYNDPGQMNDGPLCKCSAK ARRTGIRHSIYPGEEAIKPCRPMTNNAGRLFHYRITVSPPTNFLTDRPTVIEYDDHEYIF EGFSMFAHAPLTNIPLCKVIRFNIDYTIHFIEEMMPENFCVKGLELFSLFLFRDILELYD WNLKGPLFEDSPPCCPRFHFMPRFVRFLPDGGKEVLSMHQILLYLLRCSKALVPEEEIAN MLQWEELEWQKYAEECKGMIVTNPGTKPSSVRIDQLDREQFNPEVITFPIIVHFGIRPAQ LSYAGDPQYQKLWKSYVKLRHLLANSPKVKQTDKQKLAQREEALQKIRQKNTMRREVTVE LSSQGFWKTGIRSDVCQHAMMLPVLTHHIRYHQCLMHLDKLIGYTFQDRCLLQLAMTHPS HHLNFGMNPDHARNSLSNCGIRQPKYGDRKVHHMHMRKKGINTLINIMSRLGQDDPTPSR INHNERLEFLGDAVVEFLTSVHLYYLFPSLEEGGLATYRTAIVQNQHLAMLAKKLELDRF MLYAHGPDLCRESDLRHAMANCFEALIGAVYLEGSLEEAKQLFGRLLFNDPDLREVWLNY PLHPLQLQEPNTDRQLIETSPVLQKLTEFEEAIGVIFTHVRLLARAFTLRTVGFNHLTLG HNQRMEFLGDSIMQLVATEYLFIHFPDHHEGHLTLLRSSLVNNRTQAKVAEELGMQEYAI TNDKTKRPVALRTKTLADLLESFIAALYIDKDLEYVHTFMNVCFFPRLKEFILNQDWNDP KSQLQQCCLTLRTEGKEPDIPLYKTLQTVGPSHARTYTVAVYFKGERIGCGKGPSIQQAE MGAAMDALEKYNFPQMAHQKRFIERKYRQELKEMRWEREHQEREPEEAEDIKK >ENSMUSP00000121499.1 pep:known chromosome:GRCm38:15:12833829:12935122:1 gene:ENSMUSG00000022191.15 transcript:ENSMUST00000129031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drosha description:drosha, ribonuclease type III [Source:MGI Symbol;Acc:MGI:1261425] XIECRTTQDEGVPGAEEDTEPDLQHQLSDPKTCDFFIPSSRLRSINMKLLKPVWIRCTHS ESYYSSDPMDQVGDSTVVGTSRLRDLYDKFEEELGNRQEKAKAARPPWEPPKTKLDEDLE SSSESECETDDDSTCSSSSDSEVFDVIAEIKRKKAHPDRLHDELWYNDPGQMNDGPLCKC SAKARRTGIRHSIYPGEEAIKPCRPMTNNAGRLFHYRITVSPPTNFLTDRPTVIEYDDHE YIFEGFSMFAHAPLTNIPLCKVIRFNIDYTIHFIEEMMPENFCVKGLELFSLFLFRDILE LYDWNLKGPLFEDSPPCCPRFHFMPRFVRFLPDGGKEVLSMHQILLYLLRCSKALVPEEE IANMLQWEELEWQKYAEECKGMIVTNPGTKPSSVRIDQLDREQFNPEVITFPIIVHFGIR PAQLSYAGDPQYQKLWKSYVKLRHLLANSPKVKQTDKQKLAQREEALQKIRQKNTMRREV TVELSSQGFWKTGIRSDVCQHAMMLPVLTHHIRYHQCLMHLDKLIGYTFQDRCLLQLAMT HPSHHLNFGMNPDHARNSLSNCGIRQPKYGDRKVHHMHMRKKGINTLINIMSRLGQDDPT PSRINHNERLEFLGDAVVEFLTSVHLYYLFPSLEEGGLATYRTAIVQNQHLAMLAKKLEL DRFMLYAHGPDLCRESDLRHAMANCFEALIGAVYLEGSLEEAKQLFGRLLFNDPDLREVW LNYPLHPLQLQEPNTDRQLIETSPVLQKLTEFEEAIGVIFTHVRLLARAFTLRTVGFNHL TLGHNQRMEFLGDSIMQLVATEYLFIHFPDHHEGHLTLLRSSLVNNRTQAKVAEELGMQE YAITNDKTKRPVALRTKTLADLLESFIAALYIDKDLEYVHTFMNVCFFPRLKEFILNQDW NDPKSQLQQCCLTLRTEGKEPDIPLYKTLQTVGPSHARTYTVAVYFKGERIGCGKGPSIQ QAEMGAAMDALEKYNFPQMAHQKRFIERKYRQELKEMRWEREHQEREPEEAEDIKK >ENSMUSP00000129279.1 pep:known chromosome:GRCm38:15:12824815:12935290:1 gene:ENSMUSG00000022191.15 transcript:ENSMUST00000169061.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drosha description:drosha, ribonuclease type III [Source:MGI Symbol;Acc:MGI:1261425] MQGNTCHRMSYHPGRGCPRGRGGHGARPSAPAFRPQNLRLLHPQQPPAQYQYEPPSAPSS SYSNSQAPSFMPPRPDFVPYPPPAAPSAQGPLPPCPVRPPYPNHQMRHPFPVPPCFPPMP PPMPCPNNPPASGAPPGQGTFPFMVPPPSMPHPPPPPVMPQQVNYQYPPGYSHSFPPPGF NSYQNNSSSFPPSANSSSTPHFRHLPPYSLPKAQNERRSPERLKHYDDHRHRDHSHGRGE RHRSLERRERGRSPERRRPESRYRSDYDRGRTPPPRHRSYERSRERDRERHRHREARRSP SLERSYKKEYKRSGRSYALPVAPEPAGCTPELPGEMIKTTESWAPPPENVNHRSPSREKK RARWEEEKDRWSDSQGSGKEKNYTSIKEKEAEEVPPEKTEEEEEELLKPVWIRCTHSESY YSSDPMDQVGDSTVVGTSRLRDLYDKFEEELGNRQEKAKAARPPWEPPKTKLDEDLESSS ESECETDDDSTCSSSSDSEVFDVIAEIKRKKAHPDRLHDELWYNDPGQMNDGPLCKCSAK ARRTGIRHSIYPGEEAIKPCRPMTNNAGRLFHYRITVSPPTNFLTDRPTVIEYDDHEYIF EGFSMFAHAPLTNIPLCKVIRFNIDYTIHFIEEMMPENFCVKGLELFSLFLFRDILELYD WNLKGPLFEDSPPCCPRFHFMPRFVRFLPDGGKEVLSMHQILLYLLRCSKALVPEEEIAN MLQWEELEWQKYAEECKGMIVTNPGTKPSSVRIDQLDREQFNPEVITFPIIVHFGIRPAQ LSYAGDPQYQKLWKSYVKLRHLLANSPKVKQTDKQKLAQREEALQKIRQKNTMRREVTVE LSSQGFWKTGIRSDVCQHAMMLPVLTHHIRYHQCLMHLDKLIGYTFQDRCLLQLAMTHPS HHLNFGMNPDHARNSLSNCGIRQPKYGDRKVHHMHMRKKGINTLINIMSRLGQDDPTPSR INHNERLEFLGDAVVEFLTSVHLYYLFPSLEEGGLATYRTAIVQNQHLAMLAKKLELDRF MLYAHGPDLCRESDLRHAMANCFEALIGAVYLEGSLEEAKQLFGRLLFNDPDLREVWLNY PLHPLQLQEPNTDRQLIETSPVLQKLTEFEEAIGVIFTHVRLLARAFTLRTVGFNHLTLG HNQRMEFLGDSIMQLVATEYLFIHFPDHHEGHLTLLRSSLVNNRTQAKVAEELGMQEYAI TNDKTKRPVALRTKTLADLLESFIAALYIDKDLEYVHTFMNVCFFPRLKEFILNQDWNDP KSQLQQCCLTLRTEGKEPDIPLYKTLQTVGPSHARTYTVAVYFKGERIGCGKGPSIQQAE MGAAMDALEKYNFPQMAHQKRFIERKYRQELKEMRWEREHQEREPEEAEDIKK >ENSMUSP00000122598.1 pep:known chromosome:GRCm38:12:83213745:83350895:-1 gene:ENSMUSG00000021221.15 transcript:ENSMUST00000147469.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf3 description:D4, zinc and double PHD fingers, family 3 [Source:MGI Symbol;Acc:MGI:1917377] XLAPGQLYTYPARCWRKKRRLHPPEDPKLRLLEIKPEVELPLKKDGFTSESTTLEALLRG EGVEKKVDAREEESIQEIQRVLENDENVEEGNEEEDLEEDVPKRKNRTRGRARGSAGGRR RHDAASQEDHDKPYVCDICGKRYKNRPGLSYHYAHTHLASEEGDEAQDQETRSPPNHRNE NHRPQKGPDGTVIPNNYCDFCLGGSNMNKKSGRPEELVSCADCGRSGHPTCLQFTLNMTE AVKTYKWQCIECKSCILCGTSENDDQLLFCDDCDRGYHMYCLNPPVAEPPEGSWSCHLCW ELLKEKASAFGCQA >ENSMUSP00000122004.1 pep:known chromosome:GRCm38:12:83269010:83350895:-1 gene:ENSMUSG00000021221.15 transcript:ENSMUST00000144237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf3 description:D4, zinc and double PHD fingers, family 3 [Source:MGI Symbol;Acc:MGI:1917377] XLAPGQLYTYPARCWRKKRRLHPPEDPKLRLLEIKPEVELPLKKDGFTSESTTLEALLRG EGVEKKVDAREEESIQEIQRVLENDENVEEGNEEEDLEEDVPKRKNRTRGRARGSAGGRR RHDAASQEDHDKPYVCDICGKRYKNRPGLSYHYAHTHLASEEGDEAQDQETRSPPNHRNE NHRPQKGPDGTVIPNNYCDFCLGGSNMNKKSGRPEELVSCADCGRSAHLGGEGRKEKEAA AAARTTEDLFGSTSESDTSTFYGFDEDDLEEPRSCRGRRSGRGSPTADKKGSC >ENSMUSP00000121199.1 pep:known chromosome:GRCm38:12:83269198:83350895:-1 gene:ENSMUSG00000021221.15 transcript:ENSMUST00000133282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf3 description:D4, zinc and double PHD fingers, family 3 [Source:MGI Symbol;Acc:MGI:1917377] XLAPGQLYTYPARCWRKKRRLHPPEDPKLRLLEIKPVELPLKKDGFTSESTTLEALLRGE GVEKKVDAREEESIQEIQRVLENDENVEEGNEEEDLEEDVPKRKNRTRGRARGSAGGRRR HDAASQEDHDKPYVCDICGKRYKNRPGLSYHYAHTHLASEEGDEAQDQETRSPPNHRNEN HRPQKGPDGTVIPNNYCDFCLGGSNMNKKSGRPEELVSCADCGRSAHLGGEGRKEKEAAA AARTTEDLFGSTSESDTSTFYGFDEDDLEEPRSCRGRRSGRGSPTADKKGSC >ENSMUSP00000120700.1 pep:known chromosome:GRCm38:12:83260493:83294428:-1 gene:ENSMUSG00000021221.15 transcript:ENSMUST00000140327.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf3 description:D4, zinc and double PHD fingers, family 3 [Source:MGI Symbol;Acc:MGI:1917377] EGDEAQDQETRSPPNHRNENHRPQKGPDGTVIPNNYCDFCLGGSNMNKKSGRPEELVSCA DCGRSVHSVSQELLILQASHS >ENSMUSP00000136280.1 pep:known chromosome:GRCm38:12:83213751:83487716:-1 gene:ENSMUSG00000021221.15 transcript:ENSMUST00000178756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf3 description:D4, zinc and double PHD fingers, family 3 [Source:MGI Symbol;Acc:MGI:1917377] MATVIHNPLKALGDQFYKEAIEHCRSYNSRLCAERSVRLPFLDSQTGVAQNNCYIWMEKR HRGPGLAPGQLYTYPARCWRKKRRLHPPEDPKLRLLEIKPEVELPLKKDGFTSESTTLEA LLRGEGVEKKVDAREEESIQEIQRVLENDENVEEGNEEEDLEEDVPKRKNRTRGRARGSA GGRRRHDAASQEDHDKPYVCDICGKRYKNRPGLSYHYAHTHLASEEGDEAQDQETRSPPN HRNENHRPQKGPDGTVIPNNYCDFCLGGSNMNKKSGRPEELVSCADCGRSGHPTCLQFTL NMTEAVKTYKWQCIECKSCILCGTSENDDQLLFCDDCDRGYHMYCLNPPVAEPPEGSWSC HLCWELLKEKASAFGCQA >ENSMUSP00000137477.1 pep:known chromosome:GRCm38:12:83269198:83487708:-1 gene:ENSMUSG00000021221.15 transcript:ENSMUST00000177959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf3 description:D4, zinc and double PHD fingers, family 3 [Source:MGI Symbol;Acc:MGI:1917377] MATVIHNPLKALGDQFYKEAIEHCRSYNSRLCAERSVRLPFLDSQTGVAQNNCYIWMEKR HRGPGLAPGQLYTYPARCWRKKRRLHPPEDPKLRLLEIKPVELPLKKDGFTSESTTLEAL LRGEGVEKKVDAREEESIQEIQRVLENDENVEEGNEEEDLEEDVPKRKNRTRGRARGSAG GRRRHDAASQEDHDKPYVCDICGKRYKNRPGLSYHYAHTHLASEEGDEAQDQETRSPPNH RNENHRPQKGPDGTVIPNNYCDFCLGGSNMNKKSGRPEELVSCADCGRSAHLGGEGRKEK EAAAAARTTEDLFGSTSESDTSTFYGFDEDDLEEPRSCRGRRSGRGSPTADKKGSC >ENSMUSP00000136740.1 pep:known chromosome:GRCm38:12:83269315:83439920:-1 gene:ENSMUSG00000021221.15 transcript:ENSMUST00000177801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf3 description:D4, zinc and double PHD fingers, family 3 [Source:MGI Symbol;Acc:MGI:1917377] MGCLPKGHNRPGAWMEKRHRGPGLAPGQLYTYPARCWRKKRRLHPPEDPKLRLLEIKPEV ELPLKKDGFTSESTTLEALLRGEGVEKKVDAREEESIQEIQRVLENDENVEEGNEEEDLE EDVPKRKNRTRGRARGSAGGRRRHDAASQEDHDKPYVCDICGKRYKNRPGLSYHYAHTHL ASEEGDEAQDQETRSPPNHRNENHRPQKGPDGTVIPNNYCDFCLGGSNMNKKSGRPEELV SCADCGRSAHLGGEGRKEKEAAAAARTTEDLFGSTSESDTSTFYGFDEDDLEEPRSCRGR RSGRGSPTADKKGSC >ENSMUSP00000003561.3 pep:known chromosome:GRCm38:14:70457476:70468832:1 gene:ENSMUSG00000003469.9 transcript:ENSMUST00000003561.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhip description:phytanoyl-CoA hydroxylase interacting protein [Source:MGI Symbol;Acc:MGI:1860417] MELLSTPHSIEINNITCDSFRISWAMEDSDLERVTHYFIDLNKKENKNSNKFKHRDVPTK LVAKAVPLPMTVRGHWFLSPRTEYSVAVQTAVKQSDGEYLVSGWSETVEFCTGDYAKEHL AQLQEKAEQIAGRMLRFSVFYRNHHKEYFQHARTHCGNVLQPYLKDNSGSHGSPTSGMLH GVFFSCNTEFNTGQPPQDSPYGRWRFQIPAQRLFNPSTNLYFADFYCMYTAYHYAILVLA PKGSLGDRFCRDRLPLLDIACNKFLTCSVEDGELIFRHAQDLILEIIYTEPVDLSLGTLG EISGHQLMSLSTADAKKDPSCKTCNISVGR >ENSMUSP00000125254.1 pep:known chromosome:GRCm38:14:70458523:70463340:1 gene:ENSMUSG00000003469.9 transcript:ENSMUST00000159180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phyhip description:phytanoyl-CoA hydroxylase interacting protein [Source:MGI Symbol;Acc:MGI:1860417] MELLSTPHSIEINNITCDSFRISWAMEDSDLERVTHYFIDLNKKENKNSNKFKHRDVPTK LVAKAVPLPMTVRGHWFLSPRTEYSVAV >ENSMUSP00000031195.2 pep:known chromosome:GRCm38:5:87324972:87337195:-1 gene:ENSMUSG00000035780.2 transcript:ENSMUST00000031195.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2a3 description:UDP glucuronosyltransferase 2 family, polypeptide A3 [Source:MGI Symbol;Acc:MGI:1919344] MVSEKCVAAFFLLQLCWAGCGFCSKVLVWPCDMSHWLNLKTILEELGARGHEVTVLKYPS IIIDQSKRIPLHFENIPLLYEIETAENRLNEIANLAVNVIPNLSLWEAAKTLQDFFLQVT GDFESICRSVLYNQKFMDKLRDAQYDVVVIDPVVPCGELVAEVLQIPFVYTLRFSMGYYM EKHCGQLPIPLSYVPVVMSELTDNMTFTERVKNMMFSLLFEYWLQQYDFAFWDQFYSETL GRPTTFCKTVGKADIWLIRTYWDVEFPRPYLPNFEFVGGLHCKPAKPLPKEMEEFVQSSG EHGVVVFSLGSMVKNLTEEKANLIASVLAQIPQKVLWRYSGKKPATLGSNTRLFNWIPQN DLLGHPKTKAFITHGGTNGIYEAIYHGVPMVGVPMLGDQPHNIAHMEAKGAALKVSISTM TSTDLLSAVRAVINEPSYKENAMRLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAA HDLSWFQYHSLDVIGFLLLCVVTLTFIITKFCLFVCQKLYMKESKKMGNRKKKN >ENSMUSP00000117916.1 pep:known chromosome:GRCm38:2:128591209:128593866:1 gene:ENSMUSG00000074812.10 transcript:ENSMUST00000155430.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdye4c description:speedy/RINGO cell cycle regulator family, member E4C [Source:MGI Symbol;Acc:MGI:2685201] MSTPVASDTTPRLQKPTKGQKKKVPVKATIASDRRSEMSPVSSKESCKQNDSGKQVPADS VCLAKKAPQVTSILASSDGSAGDVPEQRSKRKKGQRKRKLDNIKMDPEVCVTLAS >ENSMUSP00000140478.1 pep:known chromosome:GRCm38:2:128591272:128598464:1 gene:ENSMUSG00000074812.10 transcript:ENSMUST00000144559.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spdye4c description:speedy/RINGO cell cycle regulator family, member E4C [Source:MGI Symbol;Acc:MGI:2685201] MSTPVASDTTPRLQKPTKGQKKKVPVKATIASDRRSEMSPVSSKESCKQNDSGKQVPADS VCLAKKAPQVTSILASSDGSAGDVPEQRSKRKKGQRKRKLDNIKMDPEVCVTLASSDASA GDVPVQRTKRKRAHKTRTLVDVKAAIQEGSVLASSTATPEAAPGATSKLKFPRRGKKRSI WAVNRIEGMKLILNKKRRASYRPEDLEAFYRLLEDPVVQNFLAADIFFRVTDKYLLSMVV EYFGRVGLPGHLYNRIYFFLALYIACDMEEDDPISKRSIFQFLLGRDTWQGLYKDFLKLQ KDFILAMDYQAWVTPDQCAEIQNQNPQHWVWSRVRQGTP >ENSMUSP00000135991.1 pep:known chromosome:GRCm38:2:128594187:128596843:1 gene:ENSMUSG00000074812.10 transcript:ENSMUST00000178601.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdye4c description:speedy/RINGO cell cycle regulator family, member E4C [Source:MGI Symbol;Acc:MGI:2685201] KLKFPRRGKKRSIWAVNRIEGMKLILNKKRRASYRPEDLEAFYRLLEDPVVQNFLAADIF FRVTDKYLLSMVVEYFGRVGLPGHLYNRIYFFLALYIACDMEEDDPISKRSIFQFLLGRD TWQGLYKDFLKLQKDFILAMDYQAWVTPDQCAEIQNQNPQHWVWSRVRQGTP >ENSMUSP00000079267.1 pep:known chromosome:GRCm38:4:144190729:144196934:1 gene:ENSMUSG00000066030.6 transcript:ENSMUST00000080405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oog2 description:oogenesin 2 [Source:MGI Symbol;Acc:MGI:2684035] MNFYSPPTLMELARQCLLRDEYLAISALKDLPNMMFPVMFKEAFIDGHTKILTAMIPVWP FPYLSVGTMLKNLNLDTLKAVLEEIDILISKPVFSSRCKLREITLSHDLVVVWAGSHEVE GLPEFMEQEKPVENSPGYGTKNKLKVTTELQFMEGHLDECSTYLLQWAYQREDSIHLHCR KLKIYGLTKAAVIEMFKIVHAEYIEDLELSCLCLEYLDFLNPYLKQMSNLLSLTLDEIIY TLNIDDYRNLNEEKVITVISHLPTFHHLQELYVHGVIFIECLRCLKKPLEVLSFTDCDLS QSDLDYLPYCLNIFELRSLHLTDVRLSNLLLEPLGFLLERVRHTLKSLQLMSCEMGETHF NALLPALSQCYQLTVVNFYGNELSLLFLKKLLHHTAKLSQLADELYPAPQECYDNRDVVL SHRLENFCSELLDILRAIREPKKVTFGTIKCSKCGGSYVYDLETQCCFFEKNPPWA >ENSMUSP00000115150.1 pep:known chromosome:GRCm38:4:144190730:144195106:1 gene:ENSMUSG00000066030.6 transcript:ENSMUST00000143978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oog2 description:oogenesin 2 [Source:MGI Symbol;Acc:MGI:2684035] MVMCNHCPDQHDSLEEDTMNFYSPPTLMELARQCLLRDEYLAISALKDLPNMMFPVMFKE AFIDGHTKILTAMIPVWPFPYLSVGTMLKNLNLDTLKAVLEEIDILISKPVFSSRCKLRE ITLSHDLVVVWAGSHEVEGLPEFMEQEKPVENSPGYGTKNKLKVTTELQFMEGHLDECST YLLQWAYQREDSIHLHCRKLKIYGLTKAAVIEM >ENSMUSP00000131304.1 pep:known chromosome:GRCm38:14:43229832:43235304:-1 gene:ENSMUSG00000094784.1 transcript:ENSMUST00000165988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8122 description:predicted gene 8122 [Source:MGI Symbol;Acc:MGI:3648566] XRSSWVPKQACCPCSSGYFGERIEYTQTPDQGSMRPAAHRGGKGQETTGHGEGSAGEASP QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDMKGMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNTETKILCKEGSQKIKDHYTKQQQV >ENSMUSP00000112905.1 pep:known chromosome:GRCm38:X:101261405:101269023:-1 gene:ENSMUSG00000092463.1 transcript:ENSMUST00000117736.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20489 description:predicted gene 20489 [Source:MGI Symbol;Acc:MGI:5141954] MDWRAEALEKGDQFVGYGNNHDWRYKVSDNNTFQECSHYLFSKEITSGCQIQKEDIQLYQ TFVVQLQDPQKPQRRAVQKLNLQNLVIPRAPENLTLSNLSESQLELRWKSRHIKERCLQY LVQYRSNRDRSWTELIVNHEPRFSLPSVDELKRYTFRVRSRYNPICGSSQQWSKWSQPVH WGSHTVEENPSLFALEAVLIPVGTMGLIITLIFVYCWLERMPPIPPIKNLEDLVTEYQGN FSAWSGVSKGLTESLQPDYSERFCHVNNQEFLVNSNCSVLLLLHYIRKKMKLRKTDTIDL CDESGTMKLLFLSKTPGDSASKFLTARNTYYVCKVERGAPGTRIENSYKAIVPMLKNPEP ELVDSLRTQCDFLERSRIKMLRTLEAKRLAAMESSVNLPARSPKGGGAQQTTSTSSQLKS KGGRSDEDGPPSTRRPFYKTRADFLKRHR >ENSMUSP00000031322.6 pep:known chromosome:GRCm38:5:90794534:90803067:1 gene:ENSMUSG00000029375.6 transcript:ENSMUST00000031322.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl15 description:chemokine (C-X-C motif) ligand 15 [Source:MGI Symbol;Acc:MGI:1339941] MAAQGWSMLLLAVLNLGIFVRPCDTQELRCLCIQEHSEFIPLKLIKNIMVIFETIYCNRK EVIAVPKNGSMICLDPDAPWVKATVGPITNRFLPEDLKQKEFPPAMKLLYSVEHEKPLYL SFGRPENKRIFPFPIRETSRHFADLAHNSDRNFLRDSSEVSLTGSDA >ENSMUSP00000127389.2 pep:known chromosome:GRCm38:5:72300025:72504473:-1 gene:ENSMUSG00000005220.10 transcript:ENSMUST00000167460.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Corin description:corin [Source:MGI Symbol;Acc:MGI:1349451] MFTKRPPALAPEEYSRRADAPKRVLRADRSVGEGCPQKLVTANLLRFLLLVLIPCICALI VLLAILLSFVGTLKRVYFKSNDSEPLVTDGEARVPGVIPVNTVYYENTGAPSLPPSQSTP AWTPRAPSPEDQSHRNTSTCMNITHSQCQILPYHSTLAPLLPIVKNMDMEKFLKFFTYLH RLSCYQHILLFGCSLAFPECVVDGDDRHGLLPCRSFCEAAKEGCESVLGMVNSSWPDSLR CSQFRDHTETNSSVRKSCFSLQQEHGKQSLCGGGESFLCTSGLCVPKKLQCNGYNDCDDW SDEAHCNCSKDLFHCGTGKCLHYSLLCDGYDDCGDLSDEQNCDCNLTKEHRCGDGRCIAA EWVCDGDHDCVDKSDEVNCSCHSQGLVECRSGQCIPSTFQCDGDEDCKDGSDEENCSDSQ TPCPEGEQGCLGSSCVESCAGSSLCDSDSSLSNCSQCEPITLELCMNLPYNHTHYPNYLG HRTQKEASISWESSLFPALVQTNCYKYLMFFACTILVPKCDVNTGQRIPPCRLLCEHSKE RCESVLGIVGLQWPEDTDCNQFPEESSDNQTCLLPNEDVEECSPSHFKCRSGRCVLGSRR CDGQADCDDDSDEENCGCKERALWECPFNKQCLKHTLICDGFPDCPDSMDEKNCSFCQDN ELECANHECVPRDLWCDGWVDCSDSSDEWGCVTLSKNGNSSSLLTVHKSAKEHHVCADGW RETLSQLACKQMGLGEPSVTKLIPGQEGQQWLRLYPNWENLNGSTLQELLVYRHSCPSRS EISLLCSKQDCGRRPAARMNKRILGGRTSRPGRWPWQCSLQSEPSGHICGCVLIAKKWVL TVAHCFEGREDADVWKVVFGINNLDHPSGFMQTRFVKTILLHPRYSRAVVDYDISVVELS DDINETSYVRPVCLPSPEEYLEPDTYCYITGWGHMGNKMPFKLQEGEVRIIPLEQCQSYF DMKTITNRMICAGYESGTVDSCMGDSGGPLVCERPGGQWTLFGLTSWGSVCFSKVLGPGV YSNVSYFVGWIERQIYIQTFLQKKSQG >ENSMUSP00000005352.3 pep:known chromosome:GRCm38:5:72300026:72504208:-1 gene:ENSMUSG00000005220.10 transcript:ENSMUST00000005352.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Corin description:corin [Source:MGI Symbol;Acc:MGI:1349451] MGRVSFSVRVSSVRRARCSCPGRCYLSCRVPPTTALRALNGLGCAGVPGETAGGAVGPGP LGTRGFLSGSKFQAPGSWKDCFGAPPAPDVLRADRSVGEGCPQKLVTANLLRFLLLVLIP CICALIVLLAILLSFVGTLKRVYFKSNDSEPLVTDGEARVPGVIPVNTVYYENTGAPSLP PSQSTPAWTPRAPSPEDQSHRNTSTCMNITHSQCQILPYHSTLAPLLPIVKNMDMEKFLK FFTYLHRLSCYQHILLFGCSLAFPECVVDGDDRHGLLPCRSFCEAAKEGCESVLGMVNSS WPDSLRCSQFRDHTETNSSVRKSCFSLQQEHGKQSLCGGGESFLCTSGLCVPKKLQCNGY NDCDDWSDEAHCNCSKDLFHCGTGKCLHYSLLCDGYDDCGDLSDEQNCDCNLTKEHRCGD GRCIAAEWVCDGDHDCVDKSDEVNCSCHSQGLVECRSGQCIPSTFQCDGDEDCKDGSDEE NCSDSQTPCPEGEQGCLGSSCVESCAGSSLCDSDSSLSNCSQCEPITLELCMNLPYNHTH YPNYLGHRTQKEASISWESSLFPALVQTNCYKYLMFFACTILVPKCDVNTGQRIPPCRLL CEHSKERCESVLGIVGLQWPEDTDCNQFPEESSDNQTCLLPNEDVEECSPSHFKCRSGRC VLGSRRCDGQADCDDDSDEENCGCKERALWECPFNKQCLKHTLICDGFPDCPDSMDEKNC SFCQDNELECANHECVPRDLWCDGWVDCSDSSDEWGCVTLSKNGNSSSLLTVHKSAKEHH VCADGWRETLSQLACKQMGLGEPSVTKLIPGQEGQQWLRLYPNWENLNGSTLQELLVYRH SCPSRSEISLLCSKQDCGRRPAARMNKRILGGRTSRPGRWPWQCSLQSEPSGHICGCVLI AKKWVLTVAHCFEGREDADVWKVVFGINNLDHPSGFMQTRFVKTILLHPRYSRAVVDYDI SVVELSDDINETSYVRPVCLPSPEEYLEPDTYCYITGWGHMGNKMPFKLQEGEVRIIPLE QCQSYFDMKTITNRMICAGYESGTVDSCMGDSGGPLVCERPGGQWTLFGLTSWGSVCFSK VLGPGVYSNVSYFVGWIERQIYIQTFLQKKSQG >ENSMUSP00000135889.1 pep:known chromosome:GRCm38:5:72301486:72504433:-1 gene:ENSMUSG00000005220.10 transcript:ENSMUST00000175766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Corin description:corin [Source:MGI Symbol;Acc:MGI:1349451] TKRPPALAPEEYSRRADAPKRVLRADRSVGEGCPQKLVTANLLRFLLLVLIPCICALIVL LAILLSFVGTLKRVYFKSNDSEPLVTDGEARVPGVIPVNTVYYENTGAPSLPPSQSTPAW TPRAPSPEDQSHRNTSTCMNITHSQCQILPYHSTLAPLLPIVKNMDMEKFLKFFTYLHRL SCYQHILLFGCSLAFPECVVDGDDRHGLLPCRSFCEAAKEGCESVLGMVNSSWPDSLRCS QFRDHTETNSSVRKSCFSLQQEHGKQSLCGGGESFLCTSGLCVPKKLQCNGYNDCDDWSD EAHCTCHSQGLVECRSGQCIPSTFQCDGDEDCKDGSDEENCSDSQTPCPEGEQGCLGSSC VESCAGSSLCDSDSSLSNCSQCEPITLELCMNLPYNHTHYPNYLGHRTQKEASISWESSL FPALVQTNCYKYLMFFACTILVPKCDVNTGQRIPPCRLLCEHSKERCESVLGIVGLQWPE DTDCNQFPEESSDNQTCLLPNEDVEECSPSHFKCRSGRCVLGSRRCDGQADCDDDSDEEN CGCKERALWECPFNKQCLKHTLICDGFPDCPDSMDEKNCSFCQDNELECANHECVPRDLW CDGWVDCSDSSDEWGCVTLSKNGNSSSLLTVHKSAKEHHVCADGWRETLSQLACKQMGLG EPSVTKLIPGQEGQQWLRLYPNWENLNGSTLQELLVYRHSCPSRSEISLLCSKQDCGRRP AARMNKRILGGRTSRPGRWPWQCSLQSEPSGHICGCVLIAKKWVLTVAHCFEGREDADVW KVVFGINNLDHPSGFMQTRFVKTILLHPRYSRAVVDYDISVVELSDDINETSYVRPVCLP SPEEYLEPDTYCYITGWGHMGNKMPFKLQEGEVRIIPLEQCQSYFDMKTITNRMICAGYE SGTVDSCMGDSGGPLVCERPGGQWTLFGLTSWGSVCFSKVLGPGVYSNVSYFVGWIERQI YIQTFLQKKSQG >ENSMUSP00000135511.1 pep:known chromosome:GRCm38:5:72301486:72504439:-1 gene:ENSMUSG00000005220.10 transcript:ENSMUST00000177290.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Corin description:corin [Source:MGI Symbol;Acc:MGI:1349451] MFTKRPPALAPEEYSRRADAPKRVLRADRSVGEGCPQKLVTANLLRFLLLVLIPCICALI VLLAILLSFVGTCMNITHSQCQILPYHSTLAPLLPIVKNMDMEKFLKFFTYLHRLSCYQH ILLFGCSLAFPECVVDGDDRHGLLPCRSFCEAAKEGCESVLGMVNSSWPDSLRCSQFRDH TETNSSVRKSCFSLQQEHGKQSLCGGGESFLCTSGLCVPKKLQCNGYNDCDDWSDEAHCN CSKDLFHCGTGKCLHYSLLCDGYDDCGDLSDEQNCDCNLTKEHRCGDGRCIAAEWVCDGD HDCVDKSDEVNCSCHSQGLVECRSGQCIPSTFQCDGDEDCKDGSDEENCSDSQTPCPEGE QGCLGSSCVESCAGSSLCDSDSSLSNCSQCEPITLELCMNLPYNHTHYPNYLGHRTQKEA SISWESSLFPALVQTNCYKYLMFFACTILVPKCDVNTGQRIPPCRLLCEHSKERCESVLG IVGLQWPEDTDCNQFPEESSDNQTCLLPNEDVEECSPSHFKCRSGRCVLGSRRCDGQADC DDDSDEENCGCKERALWECPFNKQCLKHTLICDGFPDCPDSMDEKNCSFCQDNELECANH ECVPRDLWCDGWVDCSDSSDEWGCVTLSKNGNSSSLLTVHKSAKEHHVCADGWRETLSQL ACKQMGLGEPSVTKLIPGQEGQQWLRLYPNWENLNGSTLQELLVYRHSCPSRSEISLLCS KQDCGRRPAARMNKRILGGRTSRPGRWPWQCSLQSEPSGHICGCVLIAKKWVLTVAHCFE GREDADVWKVVFGINNLDHPSGFMQTRFVKTILLHPRYSRAVVDYDISVVELSDDINETS YVRPVCLPSPEEYLEPDTYCYITGWGHMGNKMPFKLQEGEVRIIPLEQCQSYFDMKTITN RMICAGYESGTVDSCMGDSGGPLVCERPGGQWTLFGLTSWGSVCFSKVLGPGVYSNVSYF VGWIERQIYIQTFLQKKSQG >ENSMUSP00000135722.1 pep:known chromosome:GRCm38:5:72301498:72504439:-1 gene:ENSMUSG00000005220.10 transcript:ENSMUST00000176974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Corin description:corin [Source:MGI Symbol;Acc:MGI:1349451] MFTKRPPALAPEEYSRRADAPKRVLRADRSVGEGCPQKLVTANLLRFLLLVLIPCICALI VLLAILLSFVGTLKRVYFKSNDSEPLVTDGEARVPGVIPVNTVYYENTGAPSLPPSQSTP AWTPRAPSPEDQSHRNTSTCMNITHSQCQILPYHSTLAPLLPIVKNMDMEKFLKFFTYLH RLSCYQHILLFGCSLAFPECVVDGDDRHGLLPCRSFCEAAKEGCESVLGMVNSSWPDSLR CSQFRDHTETNSSVRKSCFSLQQEHGKQSLCGGGESFLCTSGLCVPKKLQCNGYNDCDDW SDEAHCNCSKDLFHCGTGKCLHYSLLCDGYDDCGDLSDEQNCACHSQGLVECRSGQCIPS TFQCDGDEDCKDGSDEENCSDSQTPCPEGEQGCLGSSCVESCAGSSLCDSDSSLSNCSQC EPITLELCMNLPYNHTHYPNYLGHRTQKEASISWESSLFPALVQTNCYKYLMFFACTILV PKCDVNTGQRIPPCRLLCEHSKERCESVLGIVGLQWPEDTDCNQFPEESSDNQTCLLPNE DVEECSPSHFKCRSGRCVLGSRRCDGQADCDDDSDEENCGCKERALWECPFNKQCLKHTL ICDGFPDCPDSMDEKNCSFCQDNELECANHECVPRDLWCDGWVDCSDSSDEWGCVTLSKN GNSSSLLTVHKSAKEHHVCADGWRETLSQLACKQMGLGEPSVTKLIPGQEGQQWLRLYPN WENLNGSTLQELLVYRHSCPSRSEISLLCSKQDCGRRPAARMNKRILGGRTSRPGRWPWQ CSLQSEPSGHICGCVLIAKKWVLTVAHCFEGREDADVWKVVFGINNLDHPSGFMQTRFVK TILLHPRYSRAVVDYDISVVELSDDINETSYVRPVCLPSPEEYLEPDTYCYITGWGHMGN KMPFKLQEGEVRIIPLEQCQSYFDMKTITNRMICAGYESGTVDSCMGDSGGPLVCERPGG QWTLFGLTSWGSVCFSKVLGPGVYSNVSYFVGWIERQIYIQTFLQKK >ENSMUSP00000098910.2 pep:known chromosome:GRCm38:X:101261376:101263992:-1 gene:ENSMUSG00000090141.1 transcript:ENSMUST00000101358.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm614 description:predicted gene 614 [Source:MGI Symbol;Acc:MGI:2685460] MFIIIKHGDNQEFLVNSNCSVLLLLHYIRKKMKLRKTDTIDLCDESGTMKLLFLSKTPGD SASKFLTARNTYYVCKVERGAPGTRIENSYKAIVPMLKNPEPELVDSLRTQCDFLERSRI KMLRTLEAKRLAAMESSVNLPARSPKGGGAQQTTSTSSQLKSKGGRSDEDGPPSTRRPFY KTRADFLKRHR >ENSMUSP00000132242.1 pep:known chromosome:GRCm38:14:43258649:43266799:1 gene:ENSMUSG00000090634.1 transcript:ENSMUST00000169587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8126 description:predicted gene 8126 [Source:MGI Symbol;Acc:MGI:3646861] MFSWLRRLFHRENGNQGETRPRQKESAIPSCKNRRMKSFWGRHMSAGKTSSQNCNITNHM KNRNKLDDMKFYIRKINAERLELFRILDIDMNTDLNYRMNIEFTIIKSQHEKTMLDMEKI TQSINDTIEKYKEFIEDKDSYSFMHTYLLKECNQLKEKVRMLLNENRKLLVEQADQEASY GEENRFCHESSKNIHPKY >ENSMUSP00000121362.2 pep:known chromosome:GRCm38:4:139294029:139310685:-1 gene:ENSMUSG00000028744.15 transcript:ENSMUST00000139840.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pqlc2 description:PQ loop repeat containing 2 [Source:MGI Symbol;Acc:MGI:2384837] MVWRTLGASNFSTCPNGSVQWIWDVFGECAQDGWDEASVGLGLVSILCFAASTFPQYIKA CKTGNMDQALSLWFLLGWIGGDSCNLIGSFLADQLPLQTYTAVYYVLADLMMLTLYFHYK FKKRPSPLSAPINSVLLFILGTVCITPLLSSTDPVAVPREGFRGRTLLSVEPGNKPFTKK EVIGFVIGSASSLLYLLSRLPQIRTNFIRQSTQGISYSLFALVMLGNTLYGLSVLLKNPE VGQSEGSYLLHHLPWLVGSLGVLLLDTIISIQFLVYRSHETAAASEREPLLPS >ENSMUSP00000059772.5 pep:known chromosome:GRCm38:4:139298528:139310708:-1 gene:ENSMUSG00000028744.15 transcript:ENSMUST00000053862.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc2 description:PQ loop repeat containing 2 [Source:MGI Symbol;Acc:MGI:2384837] MVWRTLGASNFSTCPNGSVQWIWDVFGECAQDGWDEASVGLGLVSILCFAASTFPQYIKA CKTGNMDQALSLWFLLGWIGGDSCNLIGSFLADQLPLQTYTAVYYVLADLMMLTLYFHYK FKKRPSPLSAPINSVLLFILGTVCITPLLSSTDPVAVPREGFRGRTLLSVEPGNKPFTKK EVIGFVIGSASSLLYLLSRLPQIRTNFIRQSTQGISYSLFALVMLGNTLYGLSVLLKNPE VGQSEGSYLLHHLPWLVGSLGVLLLDTIISIQFLVYRSHETAAASEREPLLPS >ENSMUSP00000134464.1 pep:known chromosome:GRCm38:4:139298530:139310665:-1 gene:ENSMUSG00000028744.15 transcript:ENSMUST00000172747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc2 description:PQ loop repeat containing 2 [Source:MGI Symbol;Acc:MGI:2384837] MVWRTLGASNFSTCPNGSVQWIWDVFGECAQDGWDEASVGLGLVSILCFAASTFPQYIKA CKTGNMDQALSLWFLLGWIGGDSCNLIGSFLADQLPLQTYTAVYYVLADLMMLTLYFHYK FKKRPSPLSAPINSVLLFILGTVCITPLLSSTDPVAVPREGFRGRTLLSVEPGNKPFTKK EVIGFVIGSASSLLYLLSRLPQIRTNFIRQSTQGISYSLFALVMLGNTLYGLSVLLKNPE VGQSEGSYLLHHLPWLVGSLGVLLLDTIISIQFLVYRSHETAAASEREPLLPS >ENSMUSP00000101427.2 pep:known chromosome:GRCm38:4:139298530:139310678:-1 gene:ENSMUSG00000028744.15 transcript:ENSMUST00000105801.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc2 description:PQ loop repeat containing 2 [Source:MGI Symbol;Acc:MGI:2384837] MVWRTLGASNFSTCPNGSVQWIWDVFGECAQDGWDEASVGLGLVSILCFAASTFPQYIKA CKTGNMDQALSLWFLLGWIGGDSCNLIGSFLADQLPLQTYTAVYYVLADLMMLTLYFHYK FKKRPSPLSAPINSVLLFILGTVCITPLLSSTDPVAVPREGFRGRTLLSVEPGNKPFTKK EVIGFVIGSASSLLYLLSRLPQIRTNFIRQSTQGISYSLFALVMLGNTLYGLSVLLKNPE VGQSEGSYLLHHLPWLVGSLGVLLLDTIVSFKACDVGTVVWARRPLLQCAHPCQPTPLST YSSTCPLAHSPIRPCVLPSTIQPLTTLHLPIQPHPPTHHPLRHLSIYALT >ENSMUSP00000119780.1 pep:known chromosome:GRCm38:4:139301310:139310637:-1 gene:ENSMUSG00000028744.15 transcript:ENSMUST00000141007.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pqlc2 description:PQ loop repeat containing 2 [Source:MGI Symbol;Acc:MGI:2384837] MVWRTLGASNFSTCPNGSVQWIWDVFGECAQDGWDEASVGLGLVSILCFAASTFP >ENSMUSP00000115011.1 pep:known chromosome:GRCm38:5:135149657:135165760:1 gene:ENSMUSG00000005374.13 transcript:ENSMUST00000153183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl2 description:transducin (beta)-like 2 [Source:MGI Symbol;Acc:MGI:1351652] MELPQMPELMGLSLLVGLLALVATAAVARGWLRAEEKPSQPVCQKENEPKKSGSKKQKQN QRVRKEKPQQHSFTHPLLAAALKSHSGNISCMDFSSNGKYLATCADDRTVRIWSTKDFLQ REHRSMRANVELDHATLVRFSPDCRAFIVWLANGDTLRVFKMTKREDGGFTFTATPEDFP KKHKAPIINIGIADTGKFIMTASSDTTVLIWNLKGQVLSTINTNQMNNSHAVISPCSRFV GSCGFTPDVKVWEVCFGKKGEFQEVLRAFELKGHSASVHSFAFSNDSRRMASVSKDGTWK LWDTDVEYKKQQDPYLLRTGRFEEASTMPCRLALSPDTHVLALATGTNIHLFNTRRGEKE EYFECVHGECIADLTFDITGRFLASCGDRAVRLFHNTPGHRAVVEEMQSLLKRASSESTR QRLQQQLTQAQEALKSLGALKK >ENSMUSP00000120685.1 pep:known chromosome:GRCm38:5:135149686:135157583:1 gene:ENSMUSG00000005374.13 transcript:ENSMUST00000139565.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbl2 description:transducin (beta)-like 2 [Source:MGI Symbol;Acc:MGI:1351652] MELPQMPELMGLSLLVGLLALVATAAVARGWLRAEEKPSQPVCFGLQVHPRDKILWNLGA ALLRGR >ENSMUSP00000005508.7 pep:known chromosome:GRCm38:5:135149686:135159821:1 gene:ENSMUSG00000005374.13 transcript:ENSMUST00000005508.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbl2 description:transducin (beta)-like 2 [Source:MGI Symbol;Acc:MGI:1351652] MELPQMPELMGLSLLVGLLALVATAAVARGWLRAEEKPSQPVCQKENEPKKSGSKKQKQN QRVRKEKPQQHSFTHPLLAAALKSHSGNISCMDFSSNGKYLATCADDRTVRIWSTKDFLQ REHRSMRANVELDHATLVRFSPDCREVHHDGLQ >ENSMUSP00000144259.1 pep:known chromosome:GRCm38:5:135149691:135154402:1 gene:ENSMUSG00000005374.13 transcript:ENSMUST00000201780.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbl2 description:transducin (beta)-like 2 [Source:MGI Symbol;Acc:MGI:1351652] MELPQMPELMGLSLLVGLLALVATAAVARGWLRAEEKPSQPV >ENSMUSP00000118691.1 pep:known chromosome:GRCm38:5:135152718:135157621:1 gene:ENSMUSG00000005374.13 transcript:ENSMUST00000152013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbl2 description:transducin (beta)-like 2 [Source:MGI Symbol;Acc:MGI:1351652] MTRPFLVGQKENEPKKSGSKKQKQNQRVRKEKPQQHSFTHPLLAAALKSHSGNISCMDFS SNGKYLATCADDRTVRIWSTKDFLQREHRSMRANVELDHATLVRFSPDCRAFIVWLANGD TLRVFKMTKREDGGFTFTATPEDFPKKHKAPIINIGIADTGKFIMTASSDTTVLIWNLKG QVLSTINTNQ >ENSMUSP00000022781.7 pep:known chromosome:GRCm38:14:54235479:54254104:-1 gene:ENSMUSG00000022174.8 transcript:ENSMUST00000022781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dad1 description:defender against cell death 1 [Source:MGI Symbol;Acc:MGI:101912] MSASVVSVISRFLEEYLSSTPQRLKLLDAYLLYILLTGALQFGYCLLVGTFPFNSFLSGF ISCVGSFILAVCLRIQINPQNKADFQGISPERAFADFLFASTILHLVVMNFVG >ENSMUSP00000122366.1 pep:known chromosome:GRCm38:14:54239661:54253914:-1 gene:ENSMUSG00000022174.8 transcript:ENSMUST00000128231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dad1 description:defender against cell death 1 [Source:MGI Symbol;Acc:MGI:101912] MSASVVSVISRFLEEYLSSTPQRLKLLDAYLLYILLTGALQFGYCLLVGTFPFNSFLSGF ISCVGSFILAVCLRIQINPQNKADFQGISPERAFADFLFASTILHLVVMNFVG >ENSMUSP00000102761.1 pep:known chromosome:GRCm38:4:88627320:88634211:-1 gene:ENSMUSG00000038330.10 transcript:ENSMUST00000107143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87499 description:expressed sequence C87499 [Source:MGI Symbol;Acc:MGI:2140706] MICHQCPDQHDSLEEETMNAYSPPTLLQLARQKLLREEALAISALKDLPRELLPEMFEEA FTDECTKTLRAMIPMWPFPCLPVGTLIKNPNLETLKAVLEGLDILLAPKVRSSRCKLRVL NLRNEHHEFWRIWAGSHEDECLPQLTQKQPVENSPDCGVKKHLQVPEEALGDFVPPILFS LTDGLGLPASLPEYL >ENSMUSP00000056691.3 pep:known chromosome:GRCm38:4:88627320:88634259:-1 gene:ENSMUSG00000038330.10 transcript:ENSMUST00000053304.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87499 description:expressed sequence C87499 [Source:MGI Symbol;Acc:MGI:2140706] MICHQCPDQHDSLEEETMNAYSPPTLLQLARQKLLREEALAISALKDLPRELLPEMFEEA FTDECTKTLRAMIPMWPFPCLPVGTLIKNPNLETLKAVLEGLDILLAPKVRSSRCKLRVL NLRNEHHEFWRIWAGSHEDECLPQLTQKQPVENSPDCGVKKHLQVTTDFELMKGRLDESA TYLLQWAEQRKDSIHLCCRKLQIQGLSKDAFIEIFKIIDANCVQELELSCIWEEELPFLN PYLRQMKNLLTLKLDDITEVTMDGDDLFDEKKRRKLISQLFSFYCLQNLYVDGTFAEGNM IECLRYLKKPLETLCLRYCFLSQTDLDYLPHCLNICKLKHLSLSYIYLFNLFLEPLGILL ERVRDTLQTLELQLCGLTDRHFSVLLPALSQCSHLTKINFYENTISLPVLKQLLYHTANL SQLTQEQYPAPQECYDNMRGVETHRLGNICTELLDILRAKRQPERVAFATSRCIKCRGFL VYDLETQRCFFQH >ENSMUSP00000138546.1 pep:known chromosome:GRCm38:4:88628169:88634411:-1 gene:ENSMUSG00000038330.10 transcript:ENSMUST00000134155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87499 description:expressed sequence C87499 [Source:MGI Symbol;Acc:MGI:2140706] MKGRLDESATYLLQWAEQRKDSIHLCCRKLQIQGLSKDAFIEIFKIIDANCVQELELSCI WEEELPFLNPYLRQMKNLLTLKLDDITEVTMDGDDLFDEKKRRKLISQLFSFYCLQNLYV DGTFAEGNMIECLRYLKKPL >ENSMUSP00000138736.1 pep:known chromosome:GRCm38:4:88628898:88634142:-1 gene:ENSMUSG00000038330.10 transcript:ENSMUST00000156062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87499 description:expressed sequence C87499 [Source:MGI Symbol;Acc:MGI:2140706] MRYGRIPDCKMCLLLSSENSTNFSHSRCKLRVLNLRNEHHEFWRIWAGSHEDECLPQLTQ KQPVENSPDCGVKKHLQVTTDFELMKGRLDESATYLLQWAEQRKDSIHLCCRKLQIQGLS KDAFIEIFKIIDANCVQELELSCIWEEELPFLNPYLRQMKNLLTLKLDDITEVTMDGD >ENSMUSP00000102760.2 pep:known chromosome:GRCm38:4:88629188:88634191:-1 gene:ENSMUSG00000038330.10 transcript:ENSMUST00000107142.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87499 description:expressed sequence C87499 [Source:MGI Symbol;Acc:MGI:2140706] MICHQCPDQHDSLEEETMNAYSPPTLLQLARQKLLREEALAISALKDLPRELLPEMFEEA FTDECTKTLRAMIPMWPFPCLPVGTLIKNPNLETLKAVLEGLDILLAPKVRSRHREKQSF GRNN >ENSMUSP00000074113.6 pep:known chromosome:GRCm38:14:66344304:66361680:1 gene:ENSMUSG00000022044.14 transcript:ENSMUST00000074523.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn4 description:stathmin-like 4 [Source:MGI Symbol;Acc:MGI:1931224] MTLAAYKEKMKELPLVSLFCSCFLSDPLNKSSYKYEADTVDLNWCVISDMEVIELNKCTS GQSFEVILKPPSFDGVPEFNASLPRRRDPSLEEIQKKLEAAEERRKYQEAELLKHLAEKR EHEREVIQKAIEENNNFIKMAKEKLAQKMESNKENREAHLAAMLERLQEKDKHAEEVRKN KELKEEASR >ENSMUSP00000113629.1 pep:known chromosome:GRCm38:14:66344373:66361674:1 gene:ENSMUSG00000022044.14 transcript:ENSMUST00000118426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn4 description:stathmin-like 4 [Source:MGI Symbol;Acc:MGI:1931224] MTLAAYKEKMKELPLVSLFCSCFLSDPLNKSSYKYEADTVDLNWCVISDMEVIELNKCTS GQSFEVILKPPSFDGVPEFNASLPRRRDPSLEEIQKKLEAAEERRKYQEAELLKHLAEKR EHEREVIQKAIEENNNFIKMAKEKLAQKMESNKENREAHLAAMLERLQEKEPPAAR >ENSMUSP00000113759.1 pep:known chromosome:GRCm38:14:66344375:66361648:1 gene:ENSMUSG00000022044.14 transcript:ENSMUST00000120229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn4 description:stathmin-like 4 [Source:MGI Symbol;Acc:MGI:1931224] MTLAAYKEKMKELPLVSLFCSCFLSDPLNKSSYKYEGWCGRQCRRKGQSQRKGSADWRER REQADTVDLNWCVISDMEVIELNKCTSGQSFEVILKPPSFDGVPEFNASLPRRRDPSLEE IQKKLEAAEERRKYQEAELLKHLAEKREHEREVIQKAIEENNNFIKMAKEKLAQKMESNK ENREAHLAAMLERLQEKDKHAEEVRKNKELKEEASR >ENSMUSP00000113788.1 pep:known chromosome:GRCm38:14:66344374:66361674:1 gene:ENSMUSG00000022044.14 transcript:ENSMUST00000121955.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn4 description:stathmin-like 4 [Source:MGI Symbol;Acc:MGI:1931224] MTLAAYKEKMKELPLVSLFCSCFLSDPLNKSSYKYEGWCGRQCRRKGQSQRKGSADWRER REQADTVDLNWCVISDMEVIELNKCTSGQSFEVILKPPSFDGVPEFNASLPRRRDPSLEE IQKKLEAAEERRKYQEAELLKHLAEKREHEREVIQKAIEENNNFIKMAKEKLAQKMESNK ENREAHLAAMLERLQEKEPPAAR >ENSMUSP00000117000.1 pep:known chromosome:GRCm38:14:66344296:66356756:1 gene:ENSMUSG00000022044.14 transcript:ENSMUST00000152093.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn4 description:stathmin-like 4 [Source:MGI Symbol;Acc:MGI:1931224] MTLAAYKEKMKELPLVSLFCSCFLSDPLNKSSYKYEADTVDLNWCVISDMEV >ENSMUSP00000123092.1 pep:known chromosome:GRCm38:14:66344378:66356761:1 gene:ENSMUSG00000022044.14 transcript:ENSMUST00000134440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn4 description:stathmin-like 4 [Source:MGI Symbol;Acc:MGI:1931224] MTLAAYKEKMKELPLVSLFCSCFLSDPLNKSSYKYEGWCGRQCRRKGQSQRKGSADWRER REQADTVDLNWCVISDMEVIE >ENSMUSP00000104126.1 pep:known chromosome:GRCm38:11:72961169:72993044:1 gene:ENSMUSG00000020788.15 transcript:ENSMUST00000108486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2a3 description:ATPase, Ca++ transporting, ubiquitous [Source:MGI Symbol;Acc:MGI:1194503] MEEAHLLSAADVLRRFSVTAEGGLSLEQVTDARERYGPNELPTEEGKSLWELVVEQFEDL LVRILLLAALVSFVLAWFEEGEETTTAFVEPLVIMLILVANAIVGVWQERNAESAIEALK EYEPEMGKVIRSDRKGVQRIRARDIVPGDIVEVAVGDKVPADLRLIEIKSTTLRVDQSIL TGESVSVTKHTDAIPDPRAVNQDKKNMLFSGTNIASGKALGVAVATGLQTELGKIRSQMA AVEPERTPLQRKLDEFGRQLSHAISVICVAVWVINIGHFADPAHGGSWLRGAVYYFKIAV ALAVAAIPEGLPAVITTCLALGTRRMARKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ MSVCRMFVVAEAEAGTCRLHEFTISGTTYTPEGEVRQGEQPVRCGQFDGLVELATICALC NDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTDLKGLSRVERAGACNSVIKQLMR KEFTLEFSRDRKSMSVYCTPTRADPKVQGSKMFVKGAPESVIERCSSVRVGSRTAPLSTT SREHILAKIRDWGSGSDTLRCLALATRDTPPRKEDMHLDDCSRFVQYEVAACITRCSRAG IRVVMITGDNKGTAVAICRRLGIFGDTEDVLGKAYTGREFDDLSPEQQRQACRTARCFAR VEPAHKSRIVENLQSFNEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKSAAEMVLSDD NFASIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAILGLPEALIPVQLLWVNLV TDGLPATALGFNPPDLDIMEKPPRNPREALISGWLFFRYLAIGVYVGLATVAAATWWFLY DTEGPQVTFYQLRNFLKCSEDNPLFAGIDCKVFESRFPTTMALSVLVTIEMCNALNSVSE NQSLLRMPPWLNPWLLGAVVMSMALHFLILLVPPLPLIFQVTPLSGRQWGVVLQMSLPVI LLDEALKYLSRNHMDGVLGTFMQARSRQLPTTSRTPYHTGKKGPEVNPGSRGESPVWPSD >ENSMUSP00000104124.1 pep:known chromosome:GRCm38:11:72961169:72993044:1 gene:ENSMUSG00000020788.15 transcript:ENSMUST00000108484.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2a3 description:ATPase, Ca++ transporting, ubiquitous [Source:MGI Symbol;Acc:MGI:1194503] MEEAHLLSAADVLRRFSVTAEGGLSLEQVTDARERYGPNELPTEEGKSLWELVVEQFEDL LVRILLLAALVSFVLAWFEEGEETTTAFVEPLVIMLILVANAIVGVWQERNAESAIEALK EYEPEMGKVIRSDRKGVQRIRARDIVPGDIVEVAVGDKVPADLRLIEIKSTTLRVDQSIL TGESVSVTKHTDAIPDPRAVNQDKKNMLFSGTNIASGKALGVAVATGLQTELGKIRSQMA AVEPERTPLQRKLDEFGRQLSHAISVICVAVWVINIGHFADPAHGGSWLRGAVYYFKIAV ALAVAAIPEGLPAVITTCLALGTRRMARKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ MSVCRMFVVAEAEAGTCRLHEFTISGTTYTPEGEVRQGEQPVRCGQFDGLVELATICALC NDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTDLKGLSRVERAGACNSVIKQLMR KEFTLEFSRDRKSMSVYCTPTRADPKVQGSKMFVKGAPESVIERCSSVRVGSRTAPLSTT SREHILAKIRDWGSGSDTLRCLALATRDTPPRKEDMHLDDCSRFVQYEVAACITRCSRAG IRVVMITGDNKGTAVAICRRLGIFGDTEDVLGKAYTGREFDDLSPEQQRQACRTARCFAR VEPAHKSRIVENLQSFNEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKSAAEMVLSDD NFASIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAILGLPEALIPVQLLWVNLV TDGLPATALGFNPPDLDIMEKPPRNPREALISGWLFFRYLAIGVYVGLATVAAATWWFLY DTEGPQVTFYQLRNFLKCSEDNPLFAGIDCKVFESRFPTTMALSVLVTIEMCNALNSVSE NQSLLRMPPWLNPWLLGAVVMSMALHFLILLVPPLPLIFQVTPLSGRQWGVVLQMSLPVI LLDEALKYLSRNHMDEKKDLK >ENSMUSP00000127036.1 pep:known chromosome:GRCm38:11:72961169:72993043:1 gene:ENSMUSG00000020788.15 transcript:ENSMUST00000163326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2a3 description:ATPase, Ca++ transporting, ubiquitous [Source:MGI Symbol;Acc:MGI:1194503] MEEAHLLSAADVLRRFSVTAEGGLSLEQVTDARERYGPNELPTEEGKSLWELVVEQFEDL LVRILLLAALVSFVLAWFEEGEETTTAFVEPLVIMLILVANAIVGVWQERNAESAIEALK EYEPEMGKVIRSDRKGVQRIRARDIVPGDIVEVAVGDKVPADLRLIEIKSTTLRVDQSIL TGESVSVTKHTDAIPDPRAVNQDKKNMLFSGTNIASGKALGVAVATGLQTELGKIRSQMA AVEPERTPLQRKLDEFGRQLSHAISVICVAVWVINIGHFADPAHGGSWLRGAVYYFKIAV ALAVAAIPEGLPAVITTCLALGTRRMARKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ MSVCRMFVVAEAEAGTCRLHEFTISGTTYTPEGEVRQGEQPVRCGQFDGLVELATICALC NDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTDLKGLSRVERAGACNSVIKQLMR KEFTLEFSRDRKSMSVYCTPTRADPKVQGSKMFVKGAPESVIERCSSVRVGSRTAPLSTT SREHILAKIRDWGSGSDTLRCLALATRDTPPRKEDMHLDDCSRFVQYETDLTFVGCVGML DPPRPEVAACITRCSRAGIRVVMITGDNKGTAVAICRRLGIFGDTEDVLGKAYTGREFDD LSPEQQRQACRTARCFARVEPAHKSRIVENLQSFNEITAMTGDGVNDAPALKKAEIGIAM GSGTAVAKSAAEMVLSDDNFASIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAI LGLPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMEKPPRNPREALISGWLFFRYLAI GVYVGLATVAAATWWFLYDTEGPQVTFYQLRNFLKCSEDNPLFAGIDCKVFESRFPTTMA LSVLVTIEMCNALNSVSENQSLLRMPPWLNPWLLGAVVMSMALHFLILLVPPLPLIFQVT PLSGRQWGVVLQMSLPVILLDEALKYLSRNHMDGVLGTFMQARSRQLPTTSRTPYHTGLA SWKKRT >ENSMUSP00000104125.2 pep:known chromosome:GRCm38:11:72961169:72993043:1 gene:ENSMUSG00000020788.15 transcript:ENSMUST00000108485.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2a3 description:ATPase, Ca++ transporting, ubiquitous [Source:MGI Symbol;Acc:MGI:1194503] MEEAHLLSAADVLRRFSVTAEGGLSLEQVTDARERYGPNELPTEEGKSLWELVVEQFEDL LVRILLLAALVSFVLAWFEEGEETTTAFVEPLVIMLILVANAIVGVWQERNAESAIEALK EYEPEMGKVIRSDRKGVQRIRARDIVPGDIVEVAVGDKVPADLRLIEIKSTTLRVDQSIL TGESVSVTKHTDAIPDPRAVNQDKKNMLFSGTNIASGKALGVAVATGLQTELGKIRSQMA AVEPERTPLQRKLDEFGRQLSHAISVICVAVWVINIGHFADPAHGGSWLRGAVYYFKIAV ALAVAAIPEGLPAVITTCLALGTRRMARKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ MSVCRMFVVAEAEAGTCRLHEFTISGTTYTPEGEVRQGEQPVRCGQFDGLVELATICALC NDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTDLKGLSRVERAGACNSVIKQLMR KEFTLEFSRDRKSMSVYCTPTRADPKVQGSKMFVKGAPESVIERCSSVRVGSRTAPLSTT SREHILAKIRDWGSGSDTLRCLALATRDTPPRKEDMHLDDCSRFVQYETDLTFVGCVGML DPPRPEVAACITRCSRAGIRVVMITGDNKGTAVAICRRLGIFGDTEDVLGKAYTGREFDD LSPEQQRQACRTARCFARVEPAHKSRIVENLQSFNEITAMTGDGVNDAPALKKAEIGIAM GSGTAVAKSAAEMVLSDDNFASIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAI LGLPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMEKPPRNPREALISGWLFFRYLAI GVYVGLATVAAATWWFLYDTEGPQVTFYQLRNFLKCSEDNPLFAGIDCKVFESRFPTTMA LSVLVTIEMCNALNSVSENQSLLRMPPWLNPWLLGAVVMSMALHFLILLVPPLPLIFQVT PLSGRQWGVVLQMSLPVILLDEALKYLSRNHMDEKKDLK >ENSMUSP00000021142.7 pep:known chromosome:GRCm38:11:72961169:72993043:1 gene:ENSMUSG00000020788.15 transcript:ENSMUST00000021142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2a3 description:ATPase, Ca++ transporting, ubiquitous [Source:MGI Symbol;Acc:MGI:1194503] MEEAHLLSAADVLRRFSVTAEGGLSLEQVTDARERYGPNELPTEEGKSLWELVVEQFEDL LVRILLLAALVSFVLAWFEEGEETTTAFVEPLVIMLILVANAIVGVWQERNAESAIEALK EYEPEMGKVIRSDRKGVQRIRARDIVPGDIVEVAVGDKVPADLRLIEIKSTTLRVDQSIL TGESVSVTKHTDAIPDPRAVNQDKKNMLFSGTNIASGKALGVAVATGLQTELGKIRSQMA AVEPERTPLQRKLDEFGRQLSHAISVICVAVWVINIGHFADPAHGGSWLRGAVYYFKIAV ALAVAAIPEGLPAVITTCLALGTRRMARKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ MSVCRMFVVAEAEAGTCRLHEFTISGTTYTPEGEVRQGEQPVRCGQFDGLVELATICALC NDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTDLKGLSRVERAGACNSVIKQLMR KEFTLEFSRDRKSMSVYCTPTRADPKVQGSKMFVKGAPESVIERCSSVRVGSRTAPLSTT SREHILAKIRDWGSGSDTLRCLALATRDTPPRKEDMHLDDCSRFVQYETDLTFVGCVGML DPPRPEVAACITRCSRAGIRVVMITGDNKGTAVAICRRLGIFGDTEDVLGKAYTGREFDD LSPEQQRQACRTARCFARVEPAHKSRIVENLQSFNEITAMTGDGVNDAPALKKAEIGIAM GSGTAVAKSAAEMVLSDDNFASIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAI LGLPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMEKPPRNPREALISGWLFFRYLAI GVYVGLATVAAATWWFLYDTEGPQVTFYQLRNFLKCSEDNPLFAGIDCKVFESRFPTTMA LSVLVTIEMCNALNSVSENQSLLRMPPWLNPWLLGAVVMSMALHFLILLVPPLPLIFQVT PLSGRQWGVVLQMSLPVILLDEALKYLSRNHMDGVLGTFMQARSRQLPTTSRTPYHTGKK GPEVNPGSRGESPVWPSD >ENSMUSP00000064416.4 pep:known chromosome:GRCm38:X:134187501:134208028:1 gene:ENSMUSG00000052549.4 transcript:ENSMUST00000064476.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl13a description:ADP-ribosylation factor-like 13A [Source:MGI Symbol;Acc:MGI:1921698] MFRLLTACWSRQKTTEEKQRNVTIIVIGLDNSGKSRLIEAFQRLIPSKMHKEMRPTQTTL LLDDYQVSIYDLTGDVKGREKWSSYYAQAHGLIFVVDSSDIARIQEVKIILTRIMFDKRV SGKPILILANKQDKKNALLPCDIIEYLLLERLVNETRSMCRVEPCSTIKRIPKRQQKPIV VGLRWLLAAIGDQYSELYTRQQIPNMSISTSKNIRGCGERCLSDSLPNRVRHSNRQHFDK RQHMEHRQHVEQRHFEKRQHFEARQRLIQRSLDARPLKPILQKDGFRIRPKKNMSVTFAL DVIMEEGECSRKIGAPTISKPCSSQCYDTKTPDPSVDVSLFKAHKPKKRIETWDTEEMFL EDPREETFHSCD >ENSMUSP00000054963.3 pep:known chromosome:GRCm38:11:100462614:100472741:-1 gene:ENSMUSG00000048732.5 transcript:ENSMUST00000056665.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl11 description:kelch-like 11 [Source:MGI Symbol;Acc:MGI:2388648] MAAAVAAAAAAAAAAASFQVLEMESMETAVAGSASLAAEVRGSGTVDFVTGAGISTLVDT GGSDPGPEAEDFECSTHCSELSWRQNEQRRQGLFCDITLCFGGAGGREFRAHRSVLAAAT EYFTPLLSGQFSESRSGRVEMRKWSSEPGPEPDTVEAVIEYMYTGRIRVSTGSVHEVLEL ADRFLLIRLKEFCGEFLKKKLHLSNCVAIHSLAHMYTLSQLALKAADMIRRNFYKVIQDE EFYTLPFHLIRDWLSDLEITVDSEEVLFETVLKWVQRNAEERERYFEELFKLLRLSQMKP TYLTRHVKPERLVANNEVCVKLVAEAVERHALRAENIQSGTLQQPTSQVSLLPRYGQNMD VIMVIGGVSEGGDYLSECVGYFVDEDRWVNLPHIHNHLDGHAVAITESYVYVAGSMEPGF AKTVERYNPNLNTWEHVCSLMTRKHSFGLTEVKGKLYSIGGHGNFSPGFKDVTVYNPELD KWHNLESAPKILRDVKALAIEDRFVYIAARTPVDRDTEDGLKAVITCYDTETRQWQDVES LPLIDNYCFFQMSVVNSNFYQTASCCPKSYSLENEEAVRKIAGQVSDEILESLPPEVLSI EGAAICYYRDDVFIIGGWKNSDDIDKQYRKEAYRYCAERKRWMLLPPMPQPRCRATACHV RIPYRYLHGTQRYPMPQNLMWQKDRIRQMQEIHRHALNMRRVPSSQIEC >ENSMUSP00000025003.3 pep:known chromosome:GRCm38:17:25565892:25570686:-1 gene:ENSMUSG00000024176.10 transcript:ENSMUST00000025003.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox8 description:SRY (sex determining region Y)-box 8 [Source:MGI Symbol;Acc:MGI:98370] MLDMSEARAQPPCSPSGTASSMSHVEDSDSDAPPSPAGSEGLGRAGGGGRGDTAEAADER FPACIRDAVSQVLKGYDWSLVPMPVRGGGGGTLKAKPHVKRPMNAFMVWAQAARRKLADQ YPHLHNAELSKTLGKLWRLLSESEKRPFVEEAERLRVQHKKDHPDYKYQPRRRKSVKTGR SDSDSGTELGHHPGGPMYKADAVLGEAHHHSDHHTGQTHGPPTPPTTPKTDLHQASNGSK QELRLEGRRLVDSGRQNIDFSNVDISELSSEVISNMDTFDVHEFDQYLPLNGHSALPTEP SQATASGSYGGASYSHSGATGIGASPVWAHKGAPSASASPTEAGPLRPQIKTEQLSPSHY NDQSHGSPGRADYGSYSAQASVTTAASATAASSFASAQCDYTDLQASNYYSPYPGYPPSL YQYPYFHSSRRPYASPLLNGLSMPPAHSPSSNWDQPVYTTLTRP >ENSMUSP00000133742.1 pep:known chromosome:GRCm38:17:25567988:25570214:-1 gene:ENSMUSG00000024176.10 transcript:ENSMUST00000174560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox8 description:SRY (sex determining region Y)-box 8 [Source:MGI Symbol;Acc:MGI:98370] XNAFMVWAQAARRKLADQYPHLHNAELSKTLGKLWRLLSESEKRPFVEEAERLRVQHKKD HPDYKYQPRRRKSVKTGRSDSDSGTELGHHPGGPMYKADAVLGEAHHHSDHHTGGLQDP >ENSMUSP00000133403.1 pep:known chromosome:GRCm38:17:25568710:25570686:-1 gene:ENSMUSG00000024176.10 transcript:ENSMUST00000173447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox8 description:SRY (sex determining region Y)-box 8 [Source:MGI Symbol;Acc:MGI:98370] MLDMSEARAQPPCSPSGTASSMSHVEDSDSDAPPSPAGSEGLGRAGGGGRGDTAEAADER FPACIRDAVSQVLKGYDWSLVPMPVRGGGGGTLKAKPHVKRPMNAFMVWAQAARRKLADQ YPHLHNAELSKTLGKLWRLLSESEKRPFVEEAERLRVQHKKDHPDYKYQPRRRKSVKTGR SDSDSGTELGHHPGGPMYKADAVLGEAHHHSDHHTGGLQDP >ENSMUSP00000044879.6 pep:known chromosome:GRCm38:13:116298281:116309689:-1 gene:ENSMUSG00000042258.13 transcript:ENSMUST00000036060.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isl1 description:ISL1 transcription factor, LIM/homeodomain [Source:MGI Symbol;Acc:MGI:101791] MGDMGDPPKKKRLISLCVGCGNQIHDQYILRVSPDLEWHAACLKCAECNQYLDESCTCFV RDGKTYCKRDYIRLYGIKCAKCSIGFSKNDFVMRARSKVYHIECFRCVACSRQLIPGDEF ALREDGLFCRADHDVVERASLGAGDPLSPLHPARPLQMAAEPISARQPALRPHVHKQPEK TTRVRTVLNEKQLHTLRTCYAANPRPDALMKEQLVEMTGLSPRVIRVWFQNKRCKDKKRS IMMKQLQQQQPNDKTNIQGMTGTPMVAASPERHDGGLQANPVEVQSYQPPWKVLSDFALQ SDIDQPAFQQLVNFSEGGPGSNSTGSEVASMSSQLPDTPNSMVASPIEA >ENSMUSP00000135567.1 pep:known chromosome:GRCm38:13:116299263:116309422:-1 gene:ENSMUSG00000042258.13 transcript:ENSMUST00000176044.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isl1 description:ISL1 transcription factor, LIM/homeodomain [Source:MGI Symbol;Acc:MGI:101791] MGDMGDPPKKKRLISLCVGCGNQIHDQYILRVSPDLEWHAACLKCAECNQYLDESCTCFV RDGKTYCKRDYIRLYGIKCAKCSIGFSKNDFVMRARSKVYHIECFRCVACSRQLIPGDEF ALREDGLFCRADHDVVERASLGAGDPLSPLHPARPLQMAAEPISARQPALRPHVHKQPEK TTRVRTVLNEKQLHTLRTCYAANPRPDALMKEQLVEMTGLSPRVIRVWFQNKRCKDKKRS IMMKQLQQQQPNDKTANPVEVQSYQPPWKVLSDFALQSDIDQPAFQQLVNFSEGGPGSNS TGSEVASMSSQLPDTPNSMVASPIEA >ENSMUSP00000073843.1 pep:known chromosome:GRCm38:19:13861795:13862745:1 gene:ENSMUSG00000056858.1 transcript:ENSMUST00000074221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1502 description:olfactory receptor 1502 [Source:MGI Symbol;Acc:MGI:3031336] MAKNNITTVTEFILIGFNDHPKWEIPLLLVFLSFYLVTMLGNLGMVILIHVDVQLHIPMY FFLSHLSVLDACYTSVITPQILATLATGKTVISYRCCAAQFFFFTICAATECFLLSVMAY DRYVAISNPLLYTVAMGPRKCWSLVVGAYICGVCGAILRTTCTFSLSFCENNQINFFFCD LPPLLKLACSDTTNIEIIIVFFGNFVILANALVILISYLLIIKAVMRMKSSGRRAKTFST CVSHLTAVALLFGTLIFMYIRSGSKKSLDEDKVVSVFYTVVIPMLNPLIYSLRNKDVKAA FKKVTGKCQVSQCTQH >ENSMUSP00000107953.1 pep:known chromosome:GRCm38:X:162411954:162643649:-1 gene:ENSMUSG00000040855.15 transcript:ENSMUST00000112334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reps2 description:RALBP1 associated Eps domain containing protein 2 [Source:MGI Symbol;Acc:MGI:2663511] MEVAAGGGCGAGPPLLLSDSEQQCYSELFARCAGAASGGPGPGPPEATRVAPGTATAAAG PVADLFRASQLPPETLHQITELCGAKRVGYFGPTQFYVALKLIAAAQAGLPVRTESIKCE LPLPRFVMSKNDGEIRFGNPAELHGPKVQIPYLTTEKNSFKRMDNEDKQETQSPTMSPLA SPPSSPPHYQRVSLSHGYSKLRSGTEQMHPAPYERQPIGQPEGPSSEGPGAKPFRRQASL IRSFSVEREPQENNSNYPDEPWRITEEQREYYVNQFRSLQPDPSSFISGSVAKNFFTKSK LSIPELSYIWELSDADCDGALTLSEFCAAFHLIVARKNGYPLPEGLPPTLQPEYLQAAFP KSKWECAIFDSYSESMPANQQSCDLNRMEKTSVKDVADFPVPTQDVTTADDKQALKSTVN ESLPKDVSEDTATSKDYNSLKARPRSRSYSSTSIEEAMKRGEDPPTPPPRPQKTHSRASS LDLNKVFLPSAPAANSGLLPPPPALPPRPCPTQSEPVSEADLHSQLNRAPSQAAESSPTK MDAPHAQPPSKPIRRKFRPENQTTESQEPAAAVGGAVSAAMVKPHPTVQKQSSKQKKAIQ TAIRKNKEANAVLARLNSELQQQLKEVHQERIALENQLEQLRPVTVL >ENSMUSP00000114744.1 pep:known chromosome:GRCm38:X:162411954:162565514:-1 gene:ENSMUSG00000040855.15 transcript:ENSMUST00000154424.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reps2 description:RALBP1 associated Eps domain containing protein 2 [Source:MGI Symbol;Acc:MGI:2663511] WRERPLNHCIHITELCGAKRVGYFGPTQFYVALKLIAAAQAGLPVRTESIKCELPLPRFV MSKNDGEIRFGNPAELHGPKVQIPYLTTEKNSFKRMDNEDKQETQSPTMSPLASPPSSPP HYQRVSLSHGYSKLRSGTEQMHPAPYERQPIGQPEGPSSEGPGAKPFRRQASLIRSFSVE REPQENNSNYPDEPWRITEEQREYYVNQFRSLQPDPSSFISGSVAKNFFTKSKLSIPELS YIWELSDADCDGALTLSEFCAAFHLIVARKNGYPLPEGLPPTLQPEYLQAAFPKSKWECA IFDSYSESMPANQQSCDLNRMETSVKDVADFPVPTQDVTTADDKQALKSTVNESLPKDVS EDTAANSGLLPPPPALPPRPCPTQSEPVSEADLHSQLNRAPSQAAESSPTKMDAPHAQPP SKPIRRKFRPENQTTESQEPAAAVGGAVSAAMVKPHPTVQKQSSKQKKAIQTAIRKNKEA NAVLARLNSELQQQLKEVHQERIALENQLEQLRPVTVL >ENSMUSP00000098661.1 pep:known chromosome:GRCm38:X:162411954:162643601:-1 gene:ENSMUSG00000040855.15 transcript:ENSMUST00000101102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reps2 description:RALBP1 associated Eps domain containing protein 2 [Source:MGI Symbol;Acc:MGI:2663511] MEVAAGGGCGAGPPLLLSDSEQQCYSELFARCAGAASGGPGPGPPEATRVAPGTATAAAG PVADLFRASQLPPETLHQITELCGAKRVGYFGPTQFYVALKLIAAAQAGLPVRTESIKCE LPLPRFVMSKNDGEIRFGNPAELHGPKVQIPYLTTEKNSFKRMDNEDKQQETQSPTMSPL ASPPSSPPHYQRVSLSHGYSKLRSGTEQMHPAPYERQPIGQPEGPSSEGPGAKPFRRQAS LIRSFSVEREPQENNSNYPDEPWRITEEQREYYVNQFRSLQPDPSSFISGSVAKNFFTKS KLSIPELSYIWELSDADCDGALTLSEFCAAFHLIVARKNGYPLPEGLPPTLQPEYLQAAF PKSKWECAIFDSYSESMPANQQSCDLNRMEKTSVKDVADFPVPTQDVTTADDKQALKSTV NESLPKDVSEDTATSKDYNSLKARPRSRSYSSTSIEEAMKRGEDPPTPPPRPQKTHSRAS SLDLNKVFLPSAPAANSGLLPPPPALPPRPCPTQSEPVSEADLHSQLNRAPSQAAESSPT KMDAPHAQPPSKPIRRKFRPENQTTESQEPAAAVGGAVSAAMVKPHPTVQKQSSKQKKAI QTAIRKNKEANAVLARLNSELQQQLKEVHQERIALENQLEQLRPVTVL >ENSMUSP00000095807.1 pep:known chromosome:GRCm38:7:103211862:103212845:1 gene:ENSMUSG00000073955.1 transcript:ENSMUST00000098206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr593 description:olfactory receptor 593 [Source:MGI Symbol;Acc:MGI:3030427] MKRKLRHLTVVMSIYNKSDVHPSTFILIGIPGLEAAHMWISIPFCMVYVLALMGNSSLLF IIKTDSSLHEPMYLFLCMLAVADLVVCTTAVPKLLSLFWFHDGEIRFEACLTQIFLIHSC STMESGFFLAMAFDRYVAICNPLRHSAILTHTVTGGIGLAVVIRGIALLSPHPFLLRWLP YCKTNIISHTYCEFMALIKIACAETSIRRAYSLIVAFLTGGVDFILIICSYVLILNTVFH LPTKDARLKTLGTCGSHVCVILVSYTPAFFSFLTHRFGHKVAPQVHIFVANIYLLVPPMV NPIIYGVRTKKIRNRFLKVFRFSKHTN >ENSMUSP00000033610.6 pep:known chromosome:GRCm38:X:134086421:134111854:-1 gene:ENSMUSG00000031257.14 transcript:ENSMUST00000033610.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nox1 description:NADPH oxidase 1 [Source:MGI Symbol;Acc:MGI:2450016] MGNWLVNHWLSVLFLVSWLGLNIFLFVYAFLNYEKSDKYYYTREILGTALALARASALCL NFNSMMILIPVCRNLLSFLRGTCSFCNRTLRKPLDHNLTFHKLVAYMICIFTVIHIIAHL FNFERYRRSQQAMDGSLASVLSSLSHPEKEDSWLNPIQSPNMTVMYAAFTSIAGLTGVIA TVALVLMVTSAMEFIRRNYFELFWYTHHLFIVYIICLGIHGLGGIVRGQTEESLGESHPH NCSHSFHEWDDHKGSCRHPHFAGHPPESWKWILAPIAFYIFERILRFYRSQQKVVITKVV MHPSNVLELQMRKRGFSMEVGQYIFVNCPSISFLEWHPFTLTSAPEEEFFSVHIRAAGDW TRNLIRTFEQQHSPMPRIEVDGPFGTVSEDVFQYEVAVLVGAGIGVTPFASILKSIWYKF QRADNKLKTQKIYFYWICRETGAFAWFNNLLNSLEQEMEELGKMDFLNYRLFLTGWDSNI AGHAALNFDRATDILTGLKQKTSFGRPMWDNEFSRIATAHPKSAVGVFLCGPRTLAKSLR KRCQRYSSLDPRKVQFYFNKETF >ENSMUSP00000108900.3 pep:known chromosome:GRCm38:X:134087054:134111765:-1 gene:ENSMUSG00000031257.14 transcript:ENSMUST00000113275.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nox1 description:NADPH oxidase 1 [Source:MGI Symbol;Acc:MGI:2450016] MGNWLVNHWLSVLFLVSWLGLNIFLFVYAFLNYEKSDKYYYTREILGTALALARASALCL NFNSMMILIPVCRNLLSFLRGTCSFCNRTLRKPLDHNLTFHKLVAYMICIFTVIHIIAHL FNFERYRRSQQAMDGSLASVLSSLSHPEKEDSWLNPIQSPNMTVMYAAFTSIAGLTGVIA TVALVLMVTSAMEFIRRNYFELFWYTHHLFIVYIICLGIHGLGGIVRGQTEESLGESHPH NCSHSFHEWDDHKGSCRHPHFAGHPPESWKWILAPIAFYIFERILRFYRSQQKVVITKVV MHPSNVLELQMRKRGFSMEVGQYIFVNCPSISFLEWHPFTLTSAPEEEFFSVHIRAAGDW TRNLIRTFEQQHSPMPRIEVDGPFGTVSEDVFQYEVAVLVGAGIGVTPFASILKSIWYKF QRADNKLKTQKAGHAALNFDRATDILTGLKQKTSFGRPMWDNEFSRIATAHPKSAVGVFL CGPRTLAKSLRKRCQRYSSLDPRKVQFYFNKETF >ENSMUSP00000123841.1 pep:known chromosome:GRCm38:X:134107733:134221956:-1 gene:ENSMUSG00000031257.14 transcript:ENSMUST00000162833.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nox1 description:NADPH oxidase 1 [Source:MGI Symbol;Acc:MGI:2450016] MAGELRGSRGPLQRIQIAPREAPNLHLTMGNWLVNHWLSVLFLVSWLGLNIFLFVYAFLN YEKSDKYYYTREILG >ENSMUSP00000124225.1 pep:known chromosome:GRCm38:X:134107733:134137711:-1 gene:ENSMUSG00000031257.14 transcript:ENSMUST00000159231.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nox1 description:NADPH oxidase 1 [Source:MGI Symbol;Acc:MGI:2450016] MAGELRGSRGPLQRIQIAPREAPNLHLTMGNWLVNHWLSVLFLVSWLGLNIFLFVYAFLN YEKSDKYYYTREILG >ENSMUSP00000124355.1 pep:known chromosome:GRCm38:X:134087146:134111708:-1 gene:ENSMUSG00000031257.14 transcript:ENSMUST00000159259.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nox1 description:NADPH oxidase 1 [Source:MGI Symbol;Acc:MGI:2450016] MGNWLVNHWLSVLFLVSWLGLNIFLFVYAFLNYEKSDKYYYTREILGFCNRTLRKPLDHN LTFHKLVAYMICIFTVIHIIAHLFNFERYRRSQQAMDGSLASVLSSLSHPEKEDSWLNPI QSPNMTVMYAAFTSIAGLTGVIATVALVLMVTSAMEFIRRNYFELFWYTHHLFIVYIICL GIHGLGGIVRGQTEESLGESHPHNCSHSFHEWDDHKGSCRHPHFAGHPPESWKWILAPIA FYIFERILRFYRSQQKVVITKVVMHPSNVLELQMRKRGFSMEVGQYIFVNCPSISFLEWH PFTLTSAPEEEFFSVHIRAAGDWTRNLIRTFEQQHSPMPRIEVDGPFGTVSEDVFQYEVA VLVGAGIGVTPFASILKSIWYKFQRADNKLKTQKIYFYWICRETGAFAWFNNLLNSLEQE MEELGKMDFLNYRLFLTGWDSNIAGHAALNFDRATDILTGLKQKTSFGRPMWDNEFSRIA TAHPKSAVGVFLCGPRTLAKSLRKRCQRYSSLDPRKVQFYFNKETF >ENSMUSP00000095806.2 pep:known chromosome:GRCm38:7:103219720:103220655:1 gene:ENSMUSG00000073954.3 transcript:ENSMUST00000098205.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr594 description:olfactory receptor 594 [Source:MGI Symbol;Acc:MGI:3030428] MLLSNDTQFHPSSFLLLGIPGLESHHTWIGFPFCAVYLIALLGNFTILLVIKTESSLHQP MFYFLAMLATIDLGLSTATIPKMLGIFWFSFRVILFGACLTQMFFIHNFTGMESAVLLAM AYDRYVAICNPLRYSTILTNKAVFMIGLGVLVRSFLSVIPFVFLILRLPFCGNNVIPHTY CEHMGLARLSCANIKVNIIYGLGAISILFFDIIAIALSYAQILRAVFRLPSREARIKSLS TCGSHVCVILAFYTPALFSFMTHRFGRNVPRYIHILLANLYVVVPPMLNPVIYGVRTKQI YDRVKKIFLQK >ENSMUSP00000068086.3 pep:known chromosome:GRCm38:8:111626271:111630374:-1 gene:ENSMUSG00000031958.16 transcript:ENSMUST00000070004.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldhd description:lactate dehydrogenase D [Source:MGI Symbol;Acc:MGI:106428] MAMLLRVATQRLSPWRSFCSRGSQGGLSQDFVEALKAVVGSPHVSTASAVREQHGHDESM HRCQPPDAVVWPQNVDQVSRVASLCYNQGVPIIPFGTGTGVEGGVCAVQGGVCINLTHMD QITELNTEDFSVVVEPGVTRKALNTHLRDSGLWFPVDPGADASLCGMAATGASGTNAVRY GTMRDNVINLEVVLPDGRLLHTAGRGRHYRKSAAGYNLTGLFVGSEGTLGIITSTTLRLH PAPEATVAATCAFPSVQAAVDSTVQILQAAVPVARIEFLDDVMMDACNRHSKLNCPVAPT LFLEFHGSQQTLAEQLQRTEAITQDNGGSHFSWAKEAEKRNELWAARHNAWYAALALSPG SKAYSTDVCVPISRLPEILVETKEEIKASKLTGAIVGHVGDGNFHCILLVDPDDAEEQRR VKAFAENLGRRALALGGTCTGEHGIGLGKRQLLQEEVGPVGVETMRQLKNTLDPRGLMNP GKVL >ENSMUSP00000081319.3 pep:known chromosome:GRCm38:4:124918465:124936917:-1 gene:ENSMUSG00000028873.16 transcript:ENSMUST00000084296.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca8 description:cell division cycle associated 8 [Source:MGI Symbol;Acc:MGI:1196274] MAPKKRSSRGTRTNTLRSRKLASFLKDFDREVQVRTKQIESDRQTLLKEVENLYNIEILR LPKALQGMKWLDYFALGGNKQALEEAAKADRDITEINNLTAEAIQTPLKSVKKRKVIEVE ESIKEEEEEEEEGGGGGGRTKKSHKNLRSAKVKRCLPSKKRTQSIQGRGRSKRLSHDFVT PAMSRLEPSLVKPTPGMTPRFDSRVFKTPGLRTPAAKEQVYNISINGSPLADSKEISLSV PIGGGASLRLLASDLQRIDIAQLNPEALGNIRKLSSRLAQICSSIRTGR >ENSMUSP00000030690.5 pep:known chromosome:GRCm38:4:124919111:124936873:-1 gene:ENSMUSG00000028873.16 transcript:ENSMUST00000030690.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca8 description:cell division cycle associated 8 [Source:MGI Symbol;Acc:MGI:1196274] MAPKKRSSRGTRTNTLRSRKLASFLKDFDREVQVRTKQIESDRQTLLKEVENLYNIEILR LPKALQGMKWLDYFALGGNKQALEEAAKADRDITEINNLTAEAIQTPLKSVKKRKVIEVE ESIKEEEEEEEEGGGGGGRTKKSHKNLRSAKVKRCLPSKKRTQSIQGRGRSKRLSHDFVT PAMSRLEPSLVKPTPGMTPRFDSRVFKTPGLRTPAAKEQVYNISINGSPLADSKEISLSV PIGGGASLRLLASDLQRIDIAQLNPEALGNIRKLSGRVILQHLCASLPRLRNESPLRSRL GKLERQEHLRLQRTQVWLSANMAVHL >ENSMUSP00000132145.1 pep:known chromosome:GRCm38:4:124926686:124939311:-1 gene:ENSMUSG00000028873.16 transcript:ENSMUST00000165281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca8 description:cell division cycle associated 8 [Source:MGI Symbol;Acc:MGI:1196274] MAPKKRSSRGTRTNTLRSRKLASFLKDFDREVQVRTKQIESDRQTLLKEVENLYNIEILR LPKALQGMKWLDYFALGGNKQALEEAAKADRDITEINNLTAEAIQ >ENSMUSP00000131840.1 pep:known chromosome:GRCm38:6:89316314:89362620:-1 gene:ENSMUSG00000030084.11 transcript:ENSMUST00000163139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxna1 description:plexin A1 [Source:MGI Symbol;Acc:MGI:107685] MPLPPLSSRTLLLLLLLLLRGVWIAISSPPAGLGPQPAFRTFVASDWGLTHLVVHEQTGE VYVGAVNRIYKLSGNLTLLRAHVTGPVEDNEKCYPPPSVQSCPHGLGSTDNVNKLLLLDY AANRLLACGSASQGICQFLRLDDLFKLGEPHHRKEHYLSSVREAGSMAGVLIAGPPGQGQ AKLFVGTPIDGKSEYFPTLSSRRLMANEEDADMFGFVYQDEFVSSQLKIPSDTLSKFPAF DIYYVYSFRSEQFVYYLTLQLDTQLTSPDAAGEHFFTSKIVRLCVNDPKFYSYVEFPIGC EQAGVEYRLVQDAYLSRPGQALAKQLGLAEDEEVLFTVFAQGQKNRVKPPKESALCLFTL RAIKEKIKERIQSCYRGEGKLSLPWLLNKELGCINSPLQIDDDFCGQDFNQPLGGTVTIE GTPLFVDKEDGLTAVAAYDYQGRTVVFAGTRSGRIRKILVDLANPSGRPALAYESVVAQE GNPILRDLVLSPNRQYLYAMTEKQVTQVPVESCVQYTSCELCLGSRDPHCGWCVLHSICS RQDACERAEEPQRFASDLLQCVQLTVQPRNVSVTMSQVPLVLQAWNVPDLSAGVNCSFED FTETESILEDGRIHCHSPSAREVAPITQGQGDQRVVKLYLKSKETGKKFASVDFVFYNCS VHQSCLACVNGSFPCHWCKYRHVCTNNAADCAFLEGRVNMSEDCPQILPSTHIYVPVGVV KPITLAARNLPQPQSGQRGYECLFHIPGSPARVTALRFNSSSLQCQNSSYSYEGNDVSDL PVNLSVVWNGNFVIDNPQNIQAHLYKCPALRQSCGLCLKADPRFECGWCVAERRCSLRHH CPADSPASWMHAHHGSSRCTDPKILKLSPETGPRQGGTRLTITGENLGLRFEDVRLGVHV GKVLCSPVESEYISAEQIVCEIGDASTLRAHDALVEVCVRDCSLHYRALSPKRFTFVTPT FYRVSPSRGPLSGGTWIGIEGSHLNAGSDVAVSIGGRPCSFSWRNSREIRCLTPPGHTPG SAPIVININRAQLSNPEVKYNYTEDPTILRIDPEWSINSGGTLLTVTGTNLATVREPRIR AKYGGIERENSCMVYNDTTMVCRAPSIDNPKRSPPELGERPDEIGFIMDNVRTLLVLNSS SFLYYPDPVLEPLSPTGLLELKPSSPLILKGRNLLPPAPGNSRLNYTVLIGSTPCILTVS ETQLLCEAPNLTGQHKVTVRAGGFEFSPGMLQVYSDSLLTLPAIVGIGGGGGLLLLVIVA VLIAYKRKSRDADRTLKRLQLQMDNLESRVALECKEAFAELQTDIHELTSDLDGAGIPFL DYRTYAMRVLFPGIEDHPVLKEMEVQANVEKSLTLFGQLLTKKHFLLTFIRTLEAQRSFS MRDRGNVASLIMTALQGEMEYATGVLKQLLSDLIEKNLESKNHPKLLLRRTESVAEKMLT NWFTFLLYKFLKECAGEPLFMLYCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQIDYKT LTLNCVNPEHENAPEVPVKGLNCDTVTQVKEKLLDAVYKGVPYSQRPKAGDMDLEWRQGR MARIILQDEDVTTKIDNDWKRLNTLAHYQVTDGSSVALVPKQTSAYNISNSSTFTKSLSR YESMLRTASSPDSLRSRTPMITPDLESGTKLWHLVKNHDHLDQREGDRGSKMVSEIYLTR LLATKGTLQKFVDDLFETIFSTAHRGSALPLAIKYMFDFLDEQADKHQIHDSDVRHTWKS NCLPLRFWVNVIKNPQFVFDIHKNSITDACLSVVAQTFMDSCSTSEHKLGKDSPSNKLLY AKDIPNYKSWVERYYADIAKMPAISDQDMSAYLAEQSRLHLSQFNSMSALHEIYSYIAKY KDEILVALEKDEQARRQRLRSKLEQVVDTMALSS >ENSMUSP00000144822.1 pep:known chromosome:GRCm38:6:89322515:89324633:-1 gene:ENSMUSG00000030084.11 transcript:ENSMUST00000204468.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plxna1 description:plexin A1 [Source:MGI Symbol;Acc:MGI:107685] XRRALLTPSQGRPATL >ENSMUSP00000145403.1 pep:known chromosome:GRCm38:6:89335411:89342591:-1 gene:ENSMUSG00000030084.11 transcript:ENSMUST00000205121.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plxna1 description:plexin A1 [Source:MGI Symbol;Acc:MGI:107685] XCRSCCRLGMCLTSQLVSTAPLRTSRRLRASWKMAASIATHLLPGKWRPSHRVRETSGW >ENSMUSP00000063066.5 pep:known chromosome:GRCm38:6:89319015:89357717:-1 gene:ENSMUSG00000030084.11 transcript:ENSMUST00000049845.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxna1 description:plexin A1 [Source:MGI Symbol;Acc:MGI:107685] MPLPPLSSRTLLLLLLLLLRGVWIAISSPPAGLGPQPAFRTFVASDWGLTHLVVHEQTGE VYVGAVNRIYKLSGNLTLLRAHVTGPVEDNEKCYPPPSVQSCPHGLGSTDNVNKLLLLDY AANRLLACGSASQGICQFLRLDDLFKLGEPHHRKEHYLSSVREAGSMAGVLIAGPPGQGQ AKLFVGTPIDGKSEYFPTLSSRRLMANEEDADMFGFVYQDEFVSSQLKIPSDTLSKFPAF DIYYVYSFRSEQFVYYLTLQLDTQLTSPDAAGEHFFTSKIVRLCVNDPKFYSYVEFPIGC EQAGVEYRLVQDAYLSRPGQALAKQLGLAEDEEVLFTVFAQGQKNRVKPPKESALCLFTL RAIKEKIKERIQSCYRGEGKLSLPWLLNKELGCINSPLQIDDDFCGQDFNQPLGGTVTIE GTPLFVDKEDGLTAVAAYDYQGRTVVFAGTRSGRIRKILVDLANPSGRPALAYESVVAQE GNPILRDLVLSPNRQYLYAMTEKQVTQVPVESCVQYTSCELCLGSRDPHCGWCVLHSICS RQDACERAEEPQRFASDLLQCVQLTVQPRNVSVTMSQVPLVLQAWNVPDLSAGVNCSFED FTETESILEDGRIHCHSPSAREVAPITQGQGDQRVVKLYLKSKETGKKFASVDFVFYNCS VHQSCLACVNGSFPCHWCKYRHVCTNNAADCAFLEGRVNMSEDCPQILPSTHIYVPVGVV KPITLAARNLPQPQSGQRGYECLFHIPGSPARVTALRFNSSSLQCQNSSYSYEGNDVSDL PVNLSVVWNGNFVIDNPQNIQAHLYKCPALRQSCGLCLKADPRFECGWCVAERRCSLRHH CPADSPASWMHAHHGSSRCTDPKILKLSPETGPRQGGTRLTITGENLGLRFEDVRLGVHV GKVLCSPVESEYISAEQIVCEIGDASTLRAHDALVEVCVRDCSLHYRALSPKRFTFVTPT FYRVSPSRGPLSGGTWIGIEGSHLNAGSDVAVSIGGRPCSFSWRNSREIRCLTPPGHTPG SAPIVININRAQLSNPEVKYNYTEDPTILRIDPEWSINSGGTLLTVTGTNLATVREPRIR AKYGGIERENSCMVYNDTTMVCRAPSIDNPKRSPPELGERPDEIGFIMDNVRTLLVLNSS SFLYYPDPVLEPLSPTGLLELKPSSPLILKGRNLLPPAPGNSRLNYTVLIGSTPCILTVS ETQLLCEAPNLTGQHKVTVRAGGFEFSPGMLQVYSDSLLTLPAIVGIGGGGGLLLLVIVA VLIAYKRKSRDADRTLKRLQLQMDNLESRVALECKEAFAELQTDIHELTSDLDGAGIPFL DYRTYAMRVLFPGIEDHPVLKEMEVQANVEKSLTLFGQLLTKKHFLLTFIRTLEAQRSFS MRDRGNVASLIMTALQGEMEYATGVLKQLLSDLIEKNLESKNHPKLLLRRTESVAEKMLT NWFTFLLYKFLKECAGEPLFMLYCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQIDYKT LTLNCVNPEHENAPEVPVKGLNCDTVTQVKEKLLDAVYKGVPYSQRPKAGDMDLEWRQGR MARIILQDEDVTTKIDNDWKRLNTLAHYQVTDGSSVALVPKQTSAYNISNSSTFTKSLSR YESMLRTASSPDSLRSRTPMITPDLESGTKLWHLVKNHDHLDQREGDRGSKMVSEIYLTR LLATKGTLQKFVDDLFETIFSTAHRGSALPLAIKYMFDFLDEQADKHQIHDSDVRHTWKS NCLPLRFWVNVIKNPQFVFDIHKNSITDACLSVVAQTFMDSCSTSEHKLGKDSPSNKLLY AKDIPNYKSWVERYYADIAKMPAISDQDMSAYLAEQSRLHLSQFNSMSALHEIYSYIAKY KDEILVALEKDEQARRQRLRSKLEQVVDTMALSS >ENSMUSP00000019064.2 pep:known chromosome:GRCm38:11:70453983:70459984:-1 gene:ENSMUSG00000018920.11 transcript:ENSMUST00000019064.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl16 description:chemokine (C-X-C motif) ligand 16 [Source:MGI Symbol;Acc:MGI:1932682] MRRGFGPLSLAFFLFLLALLTLPGDGNQGSVAGSCSCDRTISSGTQIPQGTLDHIRKYLK AFHRCPFFIRFQLQSKSVCGGSQDQWVRELVDCFERKECGTGHGKSFHHQKHLPQASTQT PEAAEGTPSDTSTPAHSQSTQHSTLPSGALSLNKEHTQPWEMTTLPSGYGLEARPEAEAN EKQQDDRQQEAPGAGASTPAWVPVLSLLAIVFFLTAAMAYVLCNRRATQQNSAGLQLWYT PVEPRP >ENSMUSP00000133513.1 pep:known chromosome:GRCm38:11:70454238:70459408:-1 gene:ENSMUSG00000018920.11 transcript:ENSMUST00000126391.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cxcl16 description:chemokine (C-X-C motif) ligand 16 [Source:MGI Symbol;Acc:MGI:1932682] LFLLALLTLPGDGNQGSVAGSCSCDRTISSGTQIPQGTLDHIRKYLKAFHRCPFFIRFQL QSKSVCGGSQDQWVRELVDCFERKECGTGHGKSFHHQKHLPQASTQTPEAAEGTPSDTST PAHSQSTQHSTLPSGALSLNKEHTQPWEMTTLPSGYGLEARPEAEANEKQQDDRQQEAPG AGASTPAWVPVLSLLAIVFFLTAAMAYVLCNRRATQQNSAGLQLWYTPVEPRP >ENSMUSP00000143251.1 pep:known chromosome:GRCm38:5:86071746:86106125:1 gene:ENSMUSG00000029254.16 transcript:ENSMUST00000198435.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stap1 description:signal transducing adaptor family member 1 [Source:MGI Symbol;Acc:MGI:1926193] MMAKKPPKPAPRRIFQERLKITALPLYFEGFLLVKRSDHQEYKHYWTELRGTTLFFYTDK KSTIYVGKLDIIDLVCLTGQHSTEKNCAKFTLVLPKEEVHVKTENTESGEEWRGFILTVT ELTVPQHVSLLPGQVIRLHEVLEREKKRRIETDQLPLMPPEKEKEPVQDYADVLNPLPEC FYAVSRKEATAMLEKNPSWGNMILRPGSDSKNYSITIRQEIEMPRIKHFKVTRTGNNYTI ELEKPVTLPNLFSVIDYFVKETRGNLRPFIHSADDNFGQDPNIEDRSEKFKKNPHNA >ENSMUSP00000031171.8 pep:known chromosome:GRCm38:5:86071812:86103864:1 gene:ENSMUSG00000029254.16 transcript:ENSMUST00000031171.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stap1 description:signal transducing adaptor family member 1 [Source:MGI Symbol;Acc:MGI:1926193] MMAKKPPKPAPRRIFQERLKITALPLYFEGFLLVKRSDHQEYKHYWTELRGTTLFFYTDK KSTITENTESGEEWRGFILTVTELTVPQHVSLLPGQVIRLHEVLEREKKRRIETDQLPLM PPEKEKEPVQDYADVLNPLPECFYAVSRKEATAMLEKNPSWGNMILRPGSDSKNYSITIR QEIEMPRIKHFKVTRTGNNYTIELEKPVTLPNLFSVIDYFVKETRGNLRPFIHSADDNFG QDPNIEDRSEKFKKNPHNA >ENSMUSP00000077400.2 pep:known chromosome:GRCm38:19:13887221:13888305:-1 gene:ENSMUSG00000059105.3 transcript:ENSMUST00000078282.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1504 description:olfactory receptor 1504 [Source:MGI Symbol;Acc:MGI:3031338] MADNGTRLTEFILMGFQLQAELQLGLFFTFLTFYLITIAGNLGMIMLIQSDPRLQTPMYF FLSHLSFLDICYSSVIVPQLLEILGNNKMVITYEHCATQFFFFTFYASTECFLLAVMAYD RYVAVCNPLLYAMAMTPQTRLGLVAAAYSGAMVNTVVRTGCTFSISFCKSNQVDFLFCDL PPLMKLACSETKLQEQVIFLFAFLVIITSVSVILVSYLFIIWAILKIRTAGAKAKTFSTC ASHMIAVALFFGTIIFMYLKGNMGKSLWEDKIVSVFYTVVIPMLNPMIYSLRNKEVKEAL KKAFKRIKSSQESKT >ENSMUSP00000146610.1 pep:known chromosome:GRCm38:19:13887916:13897928:-1 gene:ENSMUSG00000059105.3 transcript:ENSMUST00000209192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1504 description:olfactory receptor 1504 [Source:MGI Symbol;Acc:MGI:3031338] MADNGTRLTEFILMGFQLQAELQLGLFFTFLTFYLITIAGNLGMIMLIQSDPRLQTPMYF FLSHLSFLDICYSSVIVPQLLEILGNNKMVITYEHCAT >ENSMUSP00000023615.6 pep:known chromosome:GRCm38:16:94497783:94526830:-1 gene:ENSMUSG00000022898.12 transcript:ENSMUST00000023615.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dscr3 description:Down syndrome critical region gene 3 [Source:MGI Symbol;Acc:MGI:1206040] MGTTLDIKIKRANKVYHAGEMLSGVVVISSKDSVQHQGVSLTMEGTVNLQLSAKSVGVFE AFYNSVKPIQIINSTIDVLKPGKIPSGKTEVPFEFPLLVKGSKVLYETYHGVFVNIQYTL RCDMRRSLLAKDLTKTCEFIVHSAPQKGKLTPSPVDFTITPETLQNVKERASLPKFFIRG HLNSTNCAITQPLTGELVVEHSDAAIRSIELQLVRVETCGCAEGYARDATEIQNIQIADG DICRNLSVPLYMVFPRLFTCPTLETTNFKVEFEVNVVVLLHADHLITENFPLKLCRT >ENSMUSP00000142109.1 pep:known chromosome:GRCm38:9:108204634:108263958:-1 gene:ENSMUSG00000039952.15 transcript:ENSMUST00000191899.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dag1 description:dystroglycan 1 [Source:MGI Symbol;Acc:MGI:101864] MSVDNWLLHPLWGQTFLLLLSVAVAQAHWPSEPSEAVRDWKNQLEASMHSVLSDFQEAVP TVVGIPDGTAVVGRSFRVSIPTDLIASSGEIIKVSAAGKEALPSWLHWDPHSHILEGLPL DTDKGVHYISVSAARLGANGSHVPQTSSVFSIEVYPEDHNEPQSVRAASSDPGEVVPSAC AADEPVTVLTVILDADLTKMTPKQRIDLLNRMQSFSEVELHNMKLVPVVNNRLFDMSAFM AGPGNAKKVVENGALLSWKLGCSLNQNSVPDIRGVETPAREGAMSAQLGYPVVGWHIANK KPTLPKRLRRQIHATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPV PGKPTVTIRTRGAIIQTPTLGPIQPTRVSEAGTTVPGQIRPTLTIPGYVEPTAVITPPTT TTKKPRVSTPKPATPSTDSSTTTTRRPTKKPRTPRPVPRVTTKAPITRLETASPPTRIRT TTSGVPRGGEPNQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDNEDTTTDKLKLTLKLRE QQLVGEKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHKRPQGD KAPARFKARLAGDPAPVVNDIHKKIALVKKLAFAFGDRNCSSITLQNITRGSIVVEWTNN TLPLEPCPKEQIIGLSRRIADENGKPRPAFSNALEPDFKALSIAVTGSGSCRHLQFIPVA PPSPGSSAAPATEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGK LTLEDQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSMPETTPL NQDTVGEYTPLRDEDPNAPPYQPPPPFTAPMEGKGSRPKNMTPYRSPPPYVPP >ENSMUSP00000079294.2 pep:known chromosome:GRCm38:9:108205944:108263815:-1 gene:ENSMUSG00000039952.15 transcript:ENSMUST00000080435.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dag1 description:dystroglycan 1 [Source:MGI Symbol;Acc:MGI:101864] MSVDNWLLHPLWGQTFLLLLSVAVAQAHWPSEPSEAVRDWKNQLEASMHSVLSDFQEAVP TVVGIPDGTAVVGRSFRVSIPTDLIASSGEIIKVSAAGKEALPSWLHWDPHSHILEGLPL DTDKGVHYISVSAARLGANGSHVPQTSSVFSIEVYPEDHNEPQSVRAASSDPGEVVPSAC AADEPVTVLTVILDADLTKMTPKQRIDLLNRMQSFSEVELHNMKLVPVVNNRLFDMSAFM AGPGNAKKVVENGALLSWKLGCSLNQNSVPDIRGVETPAREGAMSAQLGYPVVGWHIANK KPTLPKRLRRQIHATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPV PGKPTVTIRTRGAIIQTPTLGPIQPTRVSEAGTTVPGQIRPTLTIPGYVEPTAVITPPTT TTKKPRVSTPKPATPSTDSSTTTTRRPTKKPRTPRPVPRVTTKAPITRLETASPPTRIRT TTSGVPRGGEPNQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDNEDTTTDKLKLTLKLRE QQLVGEKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHKRPQGD KAPARFKARLAGDPAPVVNDIHKKIALVKKLAFAFGDRNCSSITLQNITRGSIVVEWTNN TLPLEPCPKEQIIGLSRRIADENGKPRPAFSNALEPDFKALSIAVTGSGSCRHLQFIPVA PPSPGSSAAPATEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGK LTLEDQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSMPETTPL NQDTVGEYTPLRDEDPNAPPYQPPPPFTAPMEGKGSRPKNMTPYRSPPPYVPP >ENSMUSP00000128531.1 pep:known chromosome:GRCm38:9:108205955:108263918:-1 gene:ENSMUSG00000039952.15 transcript:ENSMUST00000166905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dag1 description:dystroglycan 1 [Source:MGI Symbol;Acc:MGI:101864] MSVDNWLLHPLWGQTFLLLLSVAVAQAHWPSEPSEAVRDWKNQLEASMHSVLSDFQEAVP TVVGIPDGTAVVGRSFRVSIPTDLIASSGEIIKVSAAGKEALPSWLHWDPHSHILEGLPL DTDKGVHYISVSAARLGANGSHVPQTSSVFSIEVYPEDHNEPQSVRAASSDPGEVVPSAC AADEPVTVLTVILDADLTKMTPKQRIDLLNRMQSFSEVELHNMKLVPVVNNRLFDMSAFM AGPGNAKKVVENGALLSWKLGCSLNQNSVPDIRGVETPAREGAMSAQLGYPVVGWHIANK KPTLPKRLRRQIHATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPV PGKPTVTIRTRGAIIQTPTLGPIQPTRVSEAGTTVPGQIRPTLTIPGYVEPTAVITPPTT TTKKPRVSTPKPATPSTDSSTTTTRRPTKKPRTPRPVPRVTTKAPITRLETASPPTRIRT TTSGVPRGGEPNQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDNEDTTTDKLKLTLKLRE QQLVGEKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHKRPQGD KAPARFKARLAGDPAPVVNDIHKKIALVKKLAFAFGDRNCSSITLQNITRGSIVVEWTNN TLPLEPCPKEQIIGLSRRIADENGKPRPAFSNALEPDFKALSIAVTGSGSCRHLQFIPVA PPSPGSSAAPATEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGK LTLEDQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSMPETTPL NQDTVGEYTPLRDEDPNAPPYQPPPPFTAPMEGKGSRPKNMTPYRSPPPYVPP >ENSMUSP00000130626.1 pep:known chromosome:GRCm38:9:108205958:108263736:-1 gene:ENSMUSG00000039952.15 transcript:ENSMUST00000171412.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dag1 description:dystroglycan 1 [Source:MGI Symbol;Acc:MGI:101864] MSVDNWLLHPLWGQTFLLLLSVAVAQAHWPSEPSEAVRDWKNQLEASMHSVLSDFQEAVP TVVGIPDGTAVVGRSFRVSIPTDLIASSGEIIKVSAAGKEALPSWLHWDPHSHILEGLPL DTDKGVHYISVSAARLGANGSHVPQTSSVFSIEVYPEDHNEPQSVRAASSDPGEVVPSAC AADEPVTVLTVILDADLTKMTPKQRIDLLNRMQSFSEVELHNMKLVPVVNNRLFDMSAFM AGPGNAKKVVENGALLSWKLGCSLNQNSVPDIRGVETPAREGAMSAQLGYPVVGWHIANK KPTLPKRLRRQIHATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPV PGKPTVTIRTRGAIIQTPTLGPIQPTRVSEAGTTVPGQIRPTLTIPGYVEPTAVITPPTT TTKKPRVSTPKPATPSTDSSTTTTRRPTKKPRTPRPVPRVTTKAPITRLETASPPTRIRT TTSGVPRGGEPNQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDNEDTTTDKLKLTLKLRE QQLVGEKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHKRPQGD KAPARFKARLAGDPAPVVNDIHKKIALVKKLAFAFGDRNCSSITLQNITRGSIVVEWTNN TLPLEPCPKEQIIGLSRRIADENGKPRPAFSNALEPDFKALSIAVTGSGSCRHLQFIPVA PPSPGSSAAPATEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGK LTLEDQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSMPETTPL NQDTVGEYTPLRDEDPNAPPYQPPPPFTAPMEGKGSRPKNMTPYRSPPPYVPP >ENSMUSP00000141385.1 pep:known chromosome:GRCm38:9:108218178:108263751:-1 gene:ENSMUSG00000039952.15 transcript:ENSMUST00000195429.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dag1 description:dystroglycan 1 [Source:MGI Symbol;Acc:MGI:101864] MSVDNWLLHPLWGQTFLLLLSVAVAQAHWPSEPSEAVR >ENSMUSP00000141921.1 pep:known chromosome:GRCm38:9:108218216:108263709:-1 gene:ENSMUSG00000039952.15 transcript:ENSMUST00000194698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dag1 description:dystroglycan 1 [Source:MGI Symbol;Acc:MGI:101864] MSVDNWLLHPLWGQTFLLLLSVAVA >ENSMUSP00000107698.1 pep:known chromosome:GRCm38:5:115478010:115484297:-1 gene:ENSMUSG00000029524.16 transcript:ENSMUST00000112067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt4 description:sirtuin 4 [Source:MGI Symbol;Acc:MGI:1922637] MSGLTFRPTKGRWITHLSRPRSCGPSGLFVPPSPPLDPEKIKELQRFISLSKKLLVMTGA GISTESGIPDYRSEKVGLYARTDRRPIQHIDFVRSAPVRQRYWARNFVGWPQFSSHQPNP AHWALSNWERLGKLHWLVTQNVDALHSKAGSQRLTELHGCMHRVLCLNCGEQTARRVLQE RFQALNPSWSAEAQGVAPDGDVFLTEEQVRSFQVPCCDRCGGPLKPDVVFFGDTVNPDKV DFVHRRVKEADSLLVVGSSLQVYSGYRFILTAREQKLPIAILNIGPTRSDDLACLKLDSR CGELLPLIDPRRQHSDVQRLEMNFPLSSAAQDP >ENSMUSP00000107697.1 pep:known chromosome:GRCm38:5:115478010:115484725:-1 gene:ENSMUSG00000029524.16 transcript:ENSMUST00000112066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt4 description:sirtuin 4 [Source:MGI Symbol;Acc:MGI:1922637] MSGLTFRPTKGRWITHLSRPRSCGPSGLFVPPSPPLDPEKIKELQRFISLSKKLLVMTGA GISTESGIPDYRSEKVGLYARTDRRPIQHIDFVRSAPVRQRYWARNFVGWPQFSSHQPNP AHWALSNWERLGKLHWLVTQNVDALHSKAGSQRLTELHGCMHRVLCLNCGEQTARRVLQE RFQALNPSWSAEAQGVAPDGDVFLTEEQVRSFQVPCCDRCGGPLKPDVVFFGDTVNPDKV DFVHRRVKEADSLLVVGSSLQVYSGYRFILTAREQKLPIAILNIGPTRSDDLACLKLDSR CGELLPLIDPRRQHSDVQRLEMNFPLSSAAQDP >ENSMUSP00000101380.1 pep:known chromosome:GRCm38:4:144206775:144213039:-1 gene:ENSMUSG00000046262.14 transcript:ENSMUST00000105754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87977 description:expressed sequence C87977 [Source:MGI Symbol;Acc:MGI:2140708] MVICRHCPDQDDSLEEVTEESYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPIIFEE AFTDGHIGILKAMIPAWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKLRV INWREHDLKLWAGSHEGEGLPEFTTEKQPIENSPGCEVKKELKVPEKALGDTLHQ >ENSMUSP00000101379.1 pep:known chromosome:GRCm38:4:144206776:144213017:-1 gene:ENSMUSG00000046262.14 transcript:ENSMUST00000105753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87977 description:expressed sequence C87977 [Source:MGI Symbol;Acc:MGI:2140708] MVICRHCPDQDDSLEEVTEESYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPIIFEE AFTDGHIGILKAMIPAWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKLRV INWREHDLKLWAGSHEGEGLPEFTTEKQPIENSPGCEVKKELKVTTEVLLMKGRLDESTT YLLQWAQQRKDSIHLCCRKLVIQGLTKASVIEIFKTVHADCIQELILRCICIEELAFLNP YLKLMKSLFTLTLDHIIVTSSFGDSEKLDEEIIFSLISQLPTLHCLQKLYVNHVPFIKGN LKEYLR >ENSMUSP00000101383.1 pep:known chromosome:GRCm38:4:144206776:144213090:-1 gene:ENSMUSG00000046262.14 transcript:ENSMUST00000105757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87977 description:expressed sequence C87977 [Source:MGI Symbol;Acc:MGI:2140708] MVICRHCPDQDDSLEEVTEESYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPIIFEE AFTDGHIGILKAMIPAWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKLRV INWREHDLKLWAGSHEGEGLPEFTTEKQPIENSPGCEVKKELKVTTEVLLMKGRLDESTT YLLQWAQQRKDSIHLCCRKLVIQGLTKASVIEIFKTVHADCIQELILRCICIEELAFLNP YLKLMKSLFTLTLDHIIVTSSFGDSEKLDEEIIFSLISQLPTLHCLQKLYVNHVPFIKGN LKEYLRCLKKPLETLCISNCDLSQSDLDYLPYCLNICELKHLHLSDICLRDLLLEPLGFL LERVGDTLKILELESCCIVDFQFSALLPALSQLSHLREVSFYDNNVSLPFLKQLLHHTAL LSQLIYECYPAPLECYDDSGVILTHRLESFCPELLDILRAKRQLHSVCFETTQCSKCGGL YIYDKETQCCHFVGVILA >ENSMUSP00000101381.1 pep:known chromosome:GRCm38:4:144206776:144213318:-1 gene:ENSMUSG00000046262.14 transcript:ENSMUST00000105755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87977 description:expressed sequence C87977 [Source:MGI Symbol;Acc:MGI:2140708] MKGRLDESTTYLLQWAQQRKDSIHLCCRKLVIQGLTKASVIEIFKTVHADCIQELILRCI CIEELAFLNPYLKLMKSLFTLTLDHIIVTSSFGDSEKLDEEIIFSLISQLPTLHCLQKLY VNHVPFIKGNLKEYLRCLKKPLETLCISNCDLSQSDLDYLPYCLNICELKHLHLSDICLR DLLLEPLGFLLERVGDTLKILELESCCIVDFQFSALLPALSQLSHLREVSFYDNNVSLPF LKQLLHHTALLSQLIYECYPAPLECYDDSGVILTHRLESFCPELLDILRAKRQLHSVCFE TTQCSKCGGLYIYDKETQCCHFVGVILA >ENSMUSP00000119895.1 pep:known chromosome:GRCm38:4:144208608:144212969:-1 gene:ENSMUSG00000046262.14 transcript:ENSMUST00000147855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87977 description:expressed sequence C87977 [Source:MGI Symbol;Acc:MGI:2140708] MVSMFDTSQIYKDDSLEEVTEESYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPIIF EEAFTDGHIGILKAMIPAWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKL RVINWREHDLKLWAGSHEGEGLPEFTTEKQPIENSPGCEVKKELKVTTEVLLMKGRLDES TTYLLQWAQ >ENSMUSP00000120372.1 pep:known chromosome:GRCm38:4:144208733:144213043:-1 gene:ENSMUSG00000046262.14 transcript:ENSMUST00000146836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C87977 description:expressed sequence C87977 [Source:MGI Symbol;Acc:MGI:2140708] MIPAWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKLRVINWREHDLKLWA GSHEGEGLPEFTT >ENSMUSP00000112595.1 pep:known chromosome:GRCm38:10:63319005:63381704:-1 gene:ENSMUSG00000020063.16 transcript:ENSMUST00000120239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt1 description:sirtuin 1 [Source:MGI Symbol;Acc:MGI:2135607] MADEVALALQAAGSPSAAAAMEAASQPADEPLRKRPRRDGPGLGRSPGEPSAAVAPAAAG CEAASAAAPAALWREAAGAAASAEREAPATAVAGDGDNGSGLRREPRAADDFDDDEGEEE DEAAAAAAAAAIGYRDNLLLTDGLLTNGFHSCESDDDDRTSHASSSDWTPRPRIGPYTFV QQHLMIGTDPRTILKDLLPETIPPPELDDMTLWQIVINILSEPPKRKKRKDINTIEDAVK LLQECKKIIVLTGAGVSVSCGIPDFRSRDGIYARLAVDFPDLPDPQAMFDIEYFRKDPRP FFKFAKEIYPGQFQPSLCHKFIALSDKEGKLLRNYTQNIDTLEQVAGIQRILQCHGSFAT ASCLICKYKVDCEAVRGDIFNQVVPRCPRCPADEPLAIMKPEIVFFGENLPEQFHRAMKY DKDEVDLLIVIGSSLKVRPVALIPSSIPHEVPQILINREPLPHLHFDVELLGDCDVIINE LCHRLGGEYAKLCCNPVKLSEITEKPPRPQKELVHLSELPPTPLHISEDSSSPERTVPQD SSVIATLVDQATNNNVNDLEVSESSCVEEKPQEVQTSRNVENINVENPDFKAVGSSTADK NERTSVAETVRKCWPNRLAKEQISKRLEGNQYLFVPPNRYIFHGAEVYSDSEDDVLSSSS CGSNSDSGTCQSPSLEEPLEDESEIEEFYNGLEDDTERPECAGGSGFGADGGDQEVVNEA IATRQELTDVNYPSDKS >ENSMUSP00000101082.2 pep:known chromosome:GRCm38:10:63319058:63339035:-1 gene:ENSMUSG00000020063.16 transcript:ENSMUST00000105442.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt1 description:sirtuin 1 [Source:MGI Symbol;Acc:MGI:2135607] MADEVALALQAAGSPSAAAAMEAASQPADEPLRKRPRRDGPGLGRSPGEPSAAVAPAAAG CEAASAAAPAALWREAAGAAASAEREAPATAVAGDGDNGSGLRREPRAADDFDDDEGEEE DEAAAAAAAAAIGYRGPYTFVQQHLMIGTDPRTILKDLLPETIPPPELDDMTLWQIVINI LSEPPKRKKRKDINTIEDAVKLLQECKKIIVLTGAGVSVSCGIPDFRSRDGIYARLAVDF PDLPDPQAMFDIEYFRKDPRPFFKFAKEIYPGQFQPSLCHKFIALSDKEGKLLRNYTQNI DTLEQVAGIQRILQCHGSFATASCLICKYKVDCEAVRGDIFNQVVPRCPRCPADEPLAIM KPEIVFFGENLPEQFHRAMKYDKDEVDLLIVIGSSLKVRPVALIPSSIPHEVPQILINRE PLPHLHFDVELLGDCDVIINELCHRLGGEYAKLCCNPVKLSEITEKPPRPQKELVHLSEL PPTPLHISEDSSSPERTVPQDSSVIATLVDQATNNNVNDLEVSESSCVEEKPQEVQTSRN VENINVENPDFKAVGSSTADKNERTSVAETVRKCWPNRLAKEQISKRLEGNQYLFVPPNR YIFHGAEVYSDSEDDVLSSSSCGSNSDSGTCQSPSLEEPLEDESEIEEFYNGLEDDTERP ECAGGSGFGADGGDQEVVNEAIATRQELTDVNYPSDKS >ENSMUSP00000117819.1 pep:known chromosome:GRCm38:10:63319007:63336992:-1 gene:ENSMUSG00000020063.16 transcript:ENSMUST00000146028.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sirt1 description:sirtuin 1 [Source:MGI Symbol;Acc:MGI:2135607] RPRIGPYTFVQQHLMIGTDPRTILKDLLPETIPPPELDDMTLWQIVINILSEPPKRKKRK DINTIEDAVKLLQECKKIIVLTGAGVSVSCGIPDFRSRDGIYARLAVDFPDLPDPQAMFD IEYFRKDPRPFFKFAKKKQH >ENSMUSP00000020257.6 pep:known chromosome:GRCm38:10:63319007:63339032:-1 gene:ENSMUSG00000020063.16 transcript:ENSMUST00000020257.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt1 description:sirtuin 1 [Source:MGI Symbol;Acc:MGI:2135607] MADEVALALQAAGSPSAAAAMEAASQPADEPLRKRPRRDGPGLGRSPGEPSAAVAPAAAG CEAASAAAPAALWREAAGAAASAEREAPATAVAGDGDNGSGLRREPRAADDFDDDEGEEE DEAAAAAAAAAIGYRDNLLLTDGLLTNGFHSCESDDDDRTSHASSSDWTPRPRIGPYTFV QQHLMIGTDPRTILKDLLPETIPPPELDDMTLWQIVINILSEPPKRKKRKDINTIEDAVK LLQECKKIIVLTGAGVSVSCGIPDFRSRDGIYARLAVDFPDLPDPQAMFDIEYFRKDPRP FFKFAKEIYPGQFQPSLCHKFIALSDKEGKLLRNYTQNIDTLEQVAGIQRILQCHGSFAT ASCLICKYKVDCEAVRGDIFNQVVPRCPRCPADEPLAIMKPEIVFFGENLPEQFHRAMKY DKDEVDLLIVIGSSLKVRPVALIPSSIPHEVPQILINREPLPHLHFDVELLGDCDVIINE LCHRLGGEYAKLCCNPVKLSEITEKPPRPQKELVHLSELPPTPLHISEDSSSPERTVPQD SSVIATLVDQATNNNVNDLEVSESSCVEEKPQEVQTSRNVENINVENPDFKAVGSSTADK NERTSVAETVRKCWPNRLAKEQISKRLEGNQYLFVPPNRYIFHGAEVYSDSEDDVLSSSS CGSNSDSGTCQSPSLEEPLEDESEIEEFYNGLEDDTERPECAGGSGFGADGGDQEVVNEA IATRQELTDVNYPSDKS >ENSMUSP00000137565.1 pep:known chromosome:GRCm38:10:63319007:63339032:-1 gene:ENSMUSG00000020063.16 transcript:ENSMUST00000177694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt1 description:sirtuin 1 [Source:MGI Symbol;Acc:MGI:2135607] MADEVALALQAAGSPSAAAAMEAASQPADEPLRKRPRRDGPGLGRSPGEPSAAVAPAAAG CEAASAAAPAALWREAAGAAASAEREAPATAVAGDGDNGSGLRREPRAADDFDDDEGEEE DEAAAAAAAAAIGYRDNLLLTDGLLTNGFHSCESDDDDRTSHASSSDWTPRPRIGPYTFV QQHLMIGTDPRTILKDLLPETIPPPELDDMTLWQIVINILSEPPKRKKRKDINTIEDAVK LLQECKKIIVLTGAGVSVSCGIPDFRSRDGIYARLAVDFPDLPDPQAMFDIEYFRKDPRP FFKFAKEIYPGQFQPSLCHKFIALSDKEGKLLRNYTQNIDTLEQVAGIQRILQCHGSFAT ASCLICKYKVDCEAVRGDIFNQVVPRCPRCPADEPLAIMKPEIVFFGENLPEQFHRAMKY DKDEVDLLIVIGSSLKVRPVALIPSNQYLFVPPNRYIFHGAEVYSDSEDDVLSSSSCGSN SDSGTCQSPSLEEPLEDESEIEEFYNGLEDDTERPECAGGSGFGADGGDQEVVNEAIATR QELTDVNYPSDKS >ENSMUSP00000107750.2 pep:known chromosome:GRCm38:2:71388958:71441622:1 gene:ENSMUSG00000027018.11 transcript:ENSMUST00000112122.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hat1 description:histone aminotransferase 1 [Source:MGI Symbol;Acc:MGI:96013] MAALEKFLVEYKSAVEKKLAEYKCNTNTAIELKLVRFPEDLENDIRTFFPEYTHQLFGDD ETAFGYKGLKILLYYIAGSLSTLFRVEYSSKVDENFDCVEADDVEGKIRQIIPPGFCTNT NDFLSLLEKETNFKPFGTLLHTYTVPSQTGGETFTFQIHKADMTCRGFREYHERLQTFLM WFIETASFIDVDDERWHYFLVFEKYNKDGATLFATVGYMTVYNYYVYPDKTRPRVSQMLI LTPFQGQGHGAQLLETVHRYYISFPTVLDITVLFFHFLAEDPSRSYLKLRDFVLVKFCQF LPSFSRERLLQGFSEDMAIQAQQMFKINKQHARRVYEILRLLVTDMSDAEQYRSYRLDIK RRLISPYKKKQRDLAKMKKCLRPEELTNQMNQIEISVQHEQLEERFQELVEDYRRVIERL AQE >ENSMUSP00000028408.2 pep:known chromosome:GRCm38:2:71389260:71441622:1 gene:ENSMUSG00000027018.11 transcript:ENSMUST00000028408.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hat1 description:histone aminotransferase 1 [Source:MGI Symbol;Acc:MGI:96013] MAALEKFLVEYKSAVEKKLAEYKCNTNTAIELKLVRFPEDLENDIRTFFPEYTHQLFGDD ETAFGYKGLKILLYYIAGSLSTLFRVEYSSKVDENFDCVEADDVEGKIRQIIPPGFCTNT NDFLSLLEKETNFKPFGTLLHTYTVPSQTGGETFTFQIHKADMTCRGFREYHERLQTFLM WFIETASFIDVDDERWHYFLVFEKYNKDGATLFATVGYMTVYNYYVYPDKTRPRVSQMLI LTPFQGQGHGAQLLETVHRYYISFPTVLDITAEDPSRSYLKLRDFVLVKFCQFLPSFSRE RLLQGFSEDMAIQAQQMFKINKQHARRVYEILRLLVTDMSDAEQYRSYRLDIKRRLISPY KKKQRDLAKMKKCLRPEELTNQMNQIEISVQHEQLEERFQELVEDYRRVIERLAQE >ENSMUSP00000031327.8 pep:known chromosome:GRCm38:5:90891241:90893115:1 gene:ENSMUSG00000029380.11 transcript:ENSMUST00000031327.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl1 description:chemokine (C-X-C motif) ligand 1 [Source:MGI Symbol;Acc:MGI:108068] MIPATRSLLCAALLLLATSRLATGAPIANELRCQCLQTMAGIHLKNIQSLKVLPSGPHCT QTEVIATLKNGREACLDPEAPLVQKIVQKMLKGVPK >ENSMUSP00000102152.2 pep:known chromosome:GRCm38:11:115347707:115367756:-1 gene:ENSMUSG00000034586.14 transcript:ENSMUST00000106542.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hid1 description:HID1 domain containing [Source:MGI Symbol;Acc:MGI:2445087] MGSADSKLNFRKAVIQLTTKTQPVEATDNAFWDQFWADTATSVQDVFALVPAAEIRAVRE ESPSNLATLCYKAVEKLVQGAEGGCHSEKEKQVVLNCSRLLTRVLPYIFEDPDWRGFFWS TVPGAGRGGQGEEEDENARPLAESLLLAIADLLFCPDFTVQNHRRNDVDSAEDVHSLDSC EYIWEAGVGFAHSPQPNYIHDMNRMELLKLLLTCFSEAMYLPPSPESGSTNPWVQFFCST ENRHALPLFTSLLNTVCAYDPVGYGIPYNHLLFSDYREPLVEEAAQVLIVTLDHDSATST SPTVDGTTTGTAMDDADPPGPENLFVNYLSRIHREEDFQFILKGIARLLSNPLLQTYLPN STKKIQFHQELLVLFWKLCDFNKKFLFFVLKSSDVLDILVPILYFLNDARADQSRVGLMH IGVFILLLLSGERNFGVRLNKPYSVRVPMDIPVFTGTHADLLIVVFHKIITSGHQRLQPL FDCLLTIVVNVSPYLKSLSMVTANKLLHLLEAFSTTWFLFSASQNHHLVFFLLEVFNNII QYQFDGNSNLVYAIIRKRAVFHQLANLPTDPPSIHKALQRRRRTPEPLSRTGSQEGTSME GSRPAAPAEPGTLKTSLVATPGIDKLTEKSQVSEDGTLRSLEPESQQSSAENSPSDGESS QTWREQRRLSNASASGQWSPTSDWILSWKSKLPLQTIMRLLQVLVPQVEKICIDKGLTDE SEILRFLQHGTLVGLLPVPHPILIRKYQANSGTAMWFRTYMWGVIYLRNVDPPIWYDTDV KLFEIQRV >ENSMUSP00000043789.6 pep:known chromosome:GRCm38:11:115347707:115367719:-1 gene:ENSMUSG00000034586.14 transcript:ENSMUST00000044152.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hid1 description:HID1 domain containing [Source:MGI Symbol;Acc:MGI:2445087] MGSADSKLNFRKAVIQLTTKTQPVEATDNAFWDQFWADTATSVQDVFALVPAAEIRAVRE ESPSNLATLCYKAVEKLVQGAEGGCHSEKEKQVVLNCSRLLTRVLPYIFEDPDWRGFFWS TVPGAGRGGGEEEDENARPLAESLLLAIADLLFCPDFTVQNHRRNDVDSAEDVHSLDSCE YIWEAGVGFAHSPQPNYIHDMNRMELLKLLLTCFSEAMYLPPSPESGSTNPWVQFFCSTE NRHALPLFTSLLNTVCAYDPVGYGIPYNHLLFSDYREPLVEEAAQVLIVTLDHDSATSTS PTVDGTTTGTAMDDADPPGPENLFVNYLSRIHREEDFQFILKGIARLLSNPLLQTYLPNS TKKIQFHQELLVLFWKLCDFNKKFLFFVLKSSDVLDILVPILYFLNDARADQSRVGLMHI GVFILLLLSGERNFGVRLNKPYSVRVPMDIPVFTGTHADLLIVVFHKIITSGHQRLQPLF DCLLTIVVNVSPYLKSLSMVTANKLLHLLEAFSTTWFLFSASQNHHLVFFLLEVFNNIIQ YQFDGNSNLVYAIIRKRAVFHQLANLPTDPPSIHKALQRRRRTPEPLSRTGSQEGTSMEG SRPAAPAEPGTLKTSLVATPGIDKLTEKSQVSEDGTLRSLEPESQQSSAENSPSDGESSQ TWREQRRLSNASASGQWSPTSDWILSWKSKLPLQTIMRLLQVLVPQVEKICIDKGLTDES EILRFLQHGTLVGLLPVPHPILIRKYQANSGTAMWFRTYMWGVIYLRNVDPPIWYDTDVK LFEIQRV >ENSMUSP00000121914.1 pep:known chromosome:GRCm38:11:115355359:115367667:-1 gene:ENSMUSG00000034586.14 transcript:ENSMUST00000123428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hid1 description:HID1 domain containing [Source:MGI Symbol;Acc:MGI:2445087] XAGARGRVGIRGRAGAGSIDMGSADSKLNFRKAVIQLTTKTQGEEEDENARPLAESLLLA IADLLFCPDFTVQNHRRNDVDSAEDVHSLDSCEYIWEAGVGFAHSPQPNYIHDMNRMELL KLLLTCFSEAMYLPPSPESGSTNPWVQFFCSTENRHALPLFTSLLNTVCAYDPVGYGIPY NHLLFSDYREPLVEEAAQVLIVTLDHDSATSTSPTVDGTTTGTAMDDADPPGPENLFVNY LSRIHREEDFQFILKGIARLLSNPLLQTYLPNS >ENSMUSP00000080235.2 pep:known chromosome:GRCm38:19:13918942:13920044:1 gene:ENSMUSG00000062314.2 transcript:ENSMUST00000081520.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1505 description:olfactory receptor 1505 [Source:MGI Symbol;Acc:MGI:3031339] MAKNNITTVTEFILIGFNDHPKWEIPLFLVFLSFYLVTMLGNLGMVILIHVDVQLHIPMY FFLSHLSVLDACYTSVITPQILATLATGKTVVSYGRCAAQFFFFTICAATECFLLSVMAY DRYVAISNPLLYTVAMGPRKCWSLVVGAYVCGMCGAILRTTCTFSLSFCENNQINFFFCD LPPLLKLACSDTTNIEIIIVFFGNFVISANALVILISYLLIIKAVMRMKSSGRRAKTFST CVSHLTAVALLFGTLIFMYIRSGSKKSLEEDKVVSVFYTVVIPMLNPLVYSLRNKDVKAA FKKVTGKWQVSHSIQY >ENSMUSP00000088736.3 pep:known chromosome:GRCm38:Y:1010543:1028594:1 gene:ENSMUSG00000069049.11 transcript:ENSMUST00000091197.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2s3y description:eukaryotic translation initiation factor 2, subunit 3, structural gene Y-linked [Source:MGI Symbol;Acc:MGI:1349430] MAGGEAGVTLGQPHLSRQDLATLDVTKLTPLSREIISRQATINIGTIGHVAHGKSTVVKA ISGVHTVRFKNELERNITIKLGYANAKIYKLDDSSCPRPECYRSCGSSTPDEFPSDIPGT KGNFRLVRHVSFVDCPGHDILMATMLNGAAVMDAALLLIAGNESCPQPQTSEHLAAIEIM KLKHILILQNKIDLVKESQAKEQYEQILAFVQGTVAEGAPIIPISAQLKYNIEVVCEYIV KKIPVPLRDFTSEPRLIVIRSFDVNKPGCEVDDLKGGVAGGSILKGVLKVGQEIEVRPGI VSKDGEGKLMCKPIFSKIVSLFAEHNDLQYAAPGGLIGVGTKIDPTLCRADRMVGQVLGA VGALPEIFTELEISYFLLRRLLGVRTEGDKKAAKVQKLSKNEVLMVNIGSLSTGGRVSAV KADLGKIVLTNPVCTEVGEKIALSRRVEKHWRLIGWGQIRRGVTIKPTIDDE >ENSMUSP00000112787.1 pep:known chromosome:GRCm38:4:60737383:60741326:-1 gene:ENSMUSG00000094793.1 transcript:ENSMUST00000117932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup12 description:major urinary protein 12 [Source:MGI Symbol;Acc:MGI:3780193] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEKHGILRENIIDLSNANRCLQARE >ENSMUSP00000104203.2 pep:known chromosome:GRCm38:11:70459433:70466202:1 gene:ENSMUSG00000040829.14 transcript:ENSMUST00000108563.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd15 description:zinc finger, MYND-type containing 15 [Source:MGI Symbol;Acc:MGI:3603821] MEKVEPQEGGEPAPPSKRFPQEAKPAPESEVTQQEASREEGSREERPEDERAPEKRKGQK NEAAPLHLSCLLLVTDEHGTILGIDLLMDGAQGSVGQNPGTENLAPRAYALLCHSMACPM GSGDPRKPRQLTVGDAHLHRELESLVPRLGVKLAKTPMRTWGPRPGFTFASLRARTCHVC HKHSFEVKLTPCPQCSAVLYCGEACLQADWRRCPDDVSHRFWCPRLSAFMERVGELASLP FTYTAEVTSETFNKEAFLASRGLTRGYWTQLSMLIPGPGAPRYPWGSTSSLSCLLNGDPY QLLQGDGPALMPPVPLEPPRSLFGSWQDYYTWRGLSLDSPMAVLLTYPLTVYYVITHLVP QSFPELNIQNKQSLKIHVVEAGKEFDLVMVFWELLVLLPHVALELQFVGDSLPPESDQQH FTMQRDGPEVSLRPGSGVSARFNSGTKEKGGRRDLQIRVSARPYHLLQGPKPDLVIGFNS GFGLKDTWLSSLPRLQSLRVPAFFTESSEYGCVMDDQTMAVATGGGTSSPQPNPFRSPFR LRAADNCMPWYCNAFIFHLVYKPPQGGTVRSAPGPAPRPPTPAAPPVPARRRRGEKKAAR GPRRRR >ENSMUSP00000048816.3 pep:known chromosome:GRCm38:11:70459622:70466202:1 gene:ENSMUSG00000040829.14 transcript:ENSMUST00000039093.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd15 description:zinc finger, MYND-type containing 15 [Source:MGI Symbol;Acc:MGI:3603821] MEFVSGYRDEFLDFAALLFGWFRKFVAERGTMGTSLEGRWRQLESQIRRLPQDPALWVLH VLPNRSVGISLGQGAEPGPGPGLGASRLLGDEPPLHLRDLSPYVSFVSLEDGEEGEEEEE DEEHGERPGMEKVEPQEGGEPAPPSKRFPQEAKPAPESEVTQQEASREEGSREERPEDER APEKRKGQKNAEAAPLHLSCLLLVTDEHGTILGIDLLMDGAQGSVGQNPGTENLAPRAYA LLCHSMACPMGSGDPRKPRQLTVGDAHLHRELESLVPRLGVKLAKTPMRTWGPRPGFTFA SLRARTCHVCHKHSFEVKLTPCPQCSAVLYCGEACLQADWRRCPDDVSHRFWCPRLSAFM ERVGELASLPFTYTAEVTSETFNKEAFLASRGLTRGYWTQLSMLIPGPGAPRYPWGSTSS LSCLLNGDPYQLLQGDGPALMPPVPLEPPRSLFGSWQDYYTWRGLSLDSPMAVLLTYPLT VYYVITHLVPQSFPELNIQNKQSLKIHVVEAGKEFDLVMVFWELLVLLPHVALELQFVGD SLPPESDQQHFTMQRDGPEVSLRPGSGVSARFNSGTKEKGGRRDLQIRVSARPYHLLQGP KPDLVIGFNSGFGLKDTWLSSLPRLQSLRVPAFFTESSEYGCVMDDQTMAVATGGGTSSP QPNPFRSPFRLRAADNCMPWYCNAFIFHLVYKPPQGGTVRSAPGPAPRPPTPAAPPVPAR RRRGEKKAARGPRRRR >ENSMUSP00000136813.1 pep:known chromosome:GRCm38:11:70459940:70460862:1 gene:ENSMUSG00000040829.14 transcript:ENSMUST00000147289.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd15 description:zinc finger, MYND-type containing 15 [Source:MGI Symbol;Acc:MGI:3603821] MGTSLEGRWRQLESQIRRLPQDPALWVLHVLPNRSVGISLGQGAEPGPGPGLGASRLLGD EPPLHL >ENSMUSP00000134599.1 pep:known chromosome:GRCm38:11:70460137:70460896:1 gene:ENSMUSG00000040829.14 transcript:ENSMUST00000126105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd15 description:zinc finger, MYND-type containing 15 [Source:MGI Symbol;Acc:MGI:3603821] MEFVSGYRDEFLDFAALLFGWFRKFVAERGTMGTSLEGRWRQLESQIRRLPQDPALWVLH VLPNRSVGISLGQGAEPGPGPGLGASRLLGDEPPLHLRDLSPYVSFVSL >ENSMUSP00000090635.3 pep:known chromosome:GRCm38:11:70460565:70466090:1 gene:ENSMUSG00000040829.14 transcript:ENSMUST00000092958.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd15 description:zinc finger, MYND-type containing 15 [Source:MGI Symbol;Acc:MGI:3603821] MEFVSGYRDEFLDFAALLFGWFRKFVAERGTMGTSLEGRWRQLESQIRRLPQDPALWVLH VLPNRSVGISLGQGAEPGPGPGLGASRLLGDEPPLHLRDLSPYVSFVSLEDGEEGEEEEE DEEHGERPGMEKVEPQEGGEPAPPSKRFPQEAKPAPESEVTQQEASREEGSREERPEDER APEKRKGQKNEAAPLHLSCLLLVTDEHGTILGIDLLMDGAQGSVGQNPGTENLAPRAYAL LCHSMACPMGSGDPRKPRQLTVGDAHLHRELESLVPRLGVKLAKTPMRTWGPRPGFTFAS LRARTCHVCHKHSFEVKLTPCPQCSAVLYCGEACLQADWRRCPDDVSHRFWCPRLSAFME RVGELASLPFTYTAEVTSETFNKEAFLASRGLTRGYWTQLSMLIPGPGAPRYPWGSTSSL SCLLNGDPYQLLQGDGPALMPPVPLEPPRSLFVPELNIQNKQSLKIHVVEAGKEFDLVMV FWELLVLLPHVALELQFVGDSLPPESDQQHFTMQRDGPEVSLRPGSGVSARFNSGTKEKG GRRDLQIRVSARPYHLLQGPKPDLVIGFNSGFGLKDTWLSSLPRLQSLRVPAFFTESSEY GCVMDDQTMAVATGGGTSSPQPNPFRSPFRLRAADNCMPWYCNAFIFHLVYKPPQGGTVR SAPGPAPRPPTPAAPPVPARRRRGEKKAARGPRRRR >ENSMUSP00000025706.3 pep:known chromosome:GRCm38:4:152115934:152120119:1 gene:ENSMUSG00000024793.14 transcript:ENSMUST00000025706.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf25 description:tumor necrosis factor receptor superfamily, member 25 [Source:MGI Symbol;Acc:MGI:1934667] MEARLLRGCVVEPLFLPLLLLLLLLLGGQGQGGMSGRCDCASESQKRYGPFCCRGCPKGH YMKAPCAEPCGNSTCLPCPSDTFLTRDNHFKTDCTRCQVCDEEALQVTLENCSAKSDTHC GCQSGWCVDCSTEPCGKSSPFSCVPCGATTPVHEAPTPRPCLPGFYIRGNDCTSCPTGFS SVCPKACTAVCGWKQMFWVQVLLGVAFLFGAILICAYCRWQPCKAVVTADTAGTETLASP QTAHLSASDSAHTLLAPPSSTGKICTTVQLVGNNWTPGLSQTQEVVCGQASQPWDQLPNR TLGTPLASPLSPAPPAGSPAAVLQPGPQLYDVMDAVPARRWKEFVRTLGLREAEIEAVEV EICRFRDQQYEMLKRWRQQQPAGLGAIYAALERMGLEGCAEDLRSRLQRGP >ENSMUSP00000047823.7 pep:known chromosome:GRCm38:4:152116343:152120111:1 gene:ENSMUSG00000024793.14 transcript:ENSMUST00000035275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf25 description:tumor necrosis factor receptor superfamily, member 25 [Source:MGI Symbol;Acc:MGI:1934667] MEELPRRERSPPGAATPGSTARVLQPLFLPLLLLLLLLLGGQGQGGMSGRCDCASESQKR YGPFCCRGCPKGHYMKAPCAEPCGNSTCLPCPSDTFLTRDNHFKTDCTRCQVCDEEALQV TLENCSAKSDTHCGCQSGWCVDCSTEPCGKSSPFSCVPCGATTPVHEAPTPLFWVQVLLG VAFLFGAILICAYCRWQPCKAVVTADTAGTETLASPQTAHLSASDSAHTLLAPPSSTGKI CTTVQLVGNNWTPGLSQTQEVVCGQASQPWDQLPNRTLGTPLASPLSPAPPAGSPAAVLQ PGPQLYDVMDAVPARRWKEFVRTLGLREAEIEAVEVEICRFRDQQYEMLKRWRQQQPAGL GAIYAALERMGLEGCAEDLRSRLQRGP >ENSMUSP00000110908.2 pep:known chromosome:GRCm38:X:36401280:36404655:1 gene:ENSMUSG00000079642.2 transcript:ENSMUST00000115253.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6268 description:predicted gene 6268 [Source:MGI Symbol;Acc:MGI:3644556] MSTTSDQDPAIESRDNPLTLEGEWVQEALESGAVDLDGSSATTESEEIVVDCPREVEDVG AGSPEEEGDRGDSVDVEEDSEIEAVTEEEEEGEEEEGVLGRQNVVVDAHHFPMAGFRFMF LDLVHAILNRVYYNDHILARDPRNEMDTPNTSESDNSSERQTSPEPIPSTVRASEYEHQG PDLPEVISTFPELEEPAAFEEAADHYLQETTAGAAGQAIEEMAAAAAEEVAEASEEEIAK EIIEQAVASEGENRCARQYEEDETIDEGEKKGEEKKNEEALDEMDPDAANYYTNKFS >ENSMUSP00000017153.3 pep:known chromosome:GRCm38:2:164424247:164443186:-1 gene:ENSMUSG00000017009.3 transcript:ENSMUST00000017153.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdc4 description:syndecan 4 [Source:MGI Symbol;Acc:MGI:1349164] MAPACLLAPLLLLLLGGFPLVPGESIRETEVIDPQDLLEGRYFSGALPDDEDAGGSDDFE LSGSGDLDDTEEPRPFPEVIEPLVPLDNHIPENAQPGIRVPSEPKELEENEVIPKRAPSD VGDDMSNKVSMSSTAQGSNIFERTEVLAALIVGGVVGILFAVFLILLLVYRMKKKDEGSY DLGKKPIYKKAPTNEFYA >ENSMUSP00000095654.3 pep:known chromosome:GRCm38:4:60658466:60662411:-1 gene:ENSMUSG00000073834.10 transcript:ENSMUST00000098046.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup11 description:major urinary protein 11 [Source:MGI Symbol;Acc:MGI:3709617] MKMLLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLF LEQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFL MAHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQAR E >ENSMUSP00000081592.4 pep:known chromosome:GRCm38:4:60659397:60662358:-1 gene:ENSMUSG00000073834.10 transcript:ENSMUST00000084544.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup11 description:major urinary protein 11 [Source:MGI Symbol;Acc:MGI:3709617] MVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLMAHLINEKDGETFQLMGLYGREPDLSS DIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000139949.1 pep:known chromosome:GRCm38:9:62057248:62122610:-1 gene:ENSMUSG00000032252.14 transcript:ENSMUST00000185675.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glce description:glucuronyl C5-epimerase [Source:MGI Symbol;Acc:MGI:2136405] MRCLAARVNYKTLIIICALFTLVTVLLWNKCSSDKAIQFPRHLSSGFRVDGLEKRSAASE SNHYANHIAKQQSEEAFPQEQQKAPPVVGGFNSNGGSKVLGLKYEEIDCLINDEHTIKGR REGNEVFLPFTWVEKYFDVYGKVVQYDGYDRFEFSHSYSKVYAQRSPYHPDGVFMSFEGY NVEVRDRVKCISGVEGVPLSTQWGPQGYFYPIQIAQYGLSHYSKNLTEKPPHIEVYETAE DRDRNIRPNEWTVPKGCFMASVADKSRSTNVKQFIAPETSEGVSLQLGNTKDFIISFDLK LLTNGSVSVVLETTEKNQLFTVHYVSNTQLIAFRDRDIYYGIGPRTSWSTVTRDLVTDLR KGVGLSNTKAVKPTKIMPKKVVRLIAKGKGFLDNITISTTAHMAAFFAASDWLVRNQDEK GGWPIMVTRKLGEGFKSLEPGWYSAMAQGQAISTLVRAYLLTKDYVFLSSALRATAPYKF PSEQHGVKAVFMNKHDWYEEYPTTPSSFVLNGFMYSLIGLYDLKETAGETLGKEARSLYE RGMESLKAMLPLYDTGSGTIYDLRHFMLGIAPNLARWDYHTTHINQLQLLSTIDESPIFK EFVKRWKSYLKGSRAKHN >ENSMUSP00000140671.1 pep:known chromosome:GRCm38:9:62070468:62122655:-1 gene:ENSMUSG00000032252.14 transcript:ENSMUST00000185873.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glce description:glucuronyl C5-epimerase [Source:MGI Symbol;Acc:MGI:2136405] MRCLAARVNYKTLIIICALFTLVTVLLWNKCSSDKAIQFPRHLS >ENSMUSP00000034785.7 pep:known chromosome:GRCm38:9:62057248:62070606:-1 gene:ENSMUSG00000032252.14 transcript:ENSMUST00000034785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glce description:glucuronyl C5-epimerase [Source:MGI Symbol;Acc:MGI:2136405] MRCLAARVNYKTLIIICALFTLVTVLLWNKCSSDKAIQFPRHLSSGFRVDGLEKRSAASE SNHYANHIAKQQSEEAFPQEQQKAPPVVGGFNSNGGSKVLGLKYEEIDCLINDEHTIKGR REGNEVFLPFTWVEKYFDVYGKVVQYDGYDRFEFSHSYSKVYAQRSPYHPDGVFMSFEGY NVEVRDRVKCISGVEGVPLSTQWGPQGYFYPIQIAQYGLSHYSKNLTEKPPHIEVYETAE DRDRNIRPNEWTVPKGCFMASVADKSRSTNVKQFIAPETSEGVSLQLGNTKDFIISFDLK LLTNGSVSVVLETTEKNQLFTVHYVSNTQLIAFRDRDIYYGIGPRTSWSTVTRDLVTDLR KGVGLSNTKAVKPTKIMPKKVVRLIAKGKGFLDNITISTTAHMAAFFAASDWLVRNQDEK GGWPIMVTRKLGEGFKSLEPGWYSAMAQGQAISTLVRAYLLTKDYVFLSSALRATAPYKF PSEQHGVKAVFMNKHDWYEEYPTTPSSFVLNGFMYSLIGLYDLKETAGETLGKEARSLYE RGMESLKAMLPLYDTGSGTIYDLRHFMLGIAPNLARWDYHTTHINQLQLLSTIDESPIFK EFVKRWKSYLKGSRAKHN >ENSMUSP00000026681.5 pep:known chromosome:GRCm38:8:66476399:66486519:-1 gene:ENSMUSG00000025591.5 transcript:ENSMUST00000026681.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tma16 description:translation machinery associated 16 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913532] MPKGLKGKMVGREKKVIHPYSRKAAQITRESHKQDKKERLKTEKALRLNLIGDKLQWFHS HLDMKKTRYSKKDACELVERYLDRFSSELEQIELQNSIKDRQGRRHHSREAVIKQTLERE RQQYEGYGFEIPDILDSNTLQTFREWDFDLKKLPNIKMRKLCADDAVPKKRKQKNILNIE KDLGELELSGPTGATTDGKLEPASESSDTDEEMTPVPVSPH >ENSMUSP00000116397.1 pep:known chromosome:GRCm38:8:4134652:4137707:1 gene:ENSMUSG00000079168.4 transcript:ENSMUST00000130372.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd209g description:CD209g antigen [Source:MGI Symbol;Acc:MGI:1917442] MLATLVQVSRIRAYSQGQTQDQQGSSSLDKVAVPREQTHSGLEQIQQIQQQLTQFNASLA GLCRPCPWDWELFQGSCYLFSRTLGSWETSASSCEDLGAHLVIVNSVSEQQFLKYWHIRK NQLTWIGLSDHRSEGSWQWVDDTPLKLSFWKEGEPNNEGDEDCVVMAEDKWNDSRCTANN FWVCEQPSAPCPGY >ENSMUSP00000060143.5 pep:known chromosome:GRCm38:4:134251110:134254106:-1 gene:ENSMUSG00000050105.5 transcript:ENSMUST00000060050.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grrp1 description:glycine/arginine rich protein 1 [Source:MGI Symbol;Acc:MGI:1919940] MLLSSPTTPSRGRTPSAVERLEADKAKYVKTHQVIVRRQEPALRGGPGPLTPHPCNELGA SASPRTPGPARRGSGRRQPRPDSLIFYRQKRDCKASVNKENAKGQGLVRRLFLGATRDAA PSSPAPTERPGAPAGWAGSPDTPEATGKRAVCPTCSLPLSEKERFFNYCGLERALVEVLG AERFSPQSWGAEHGPQVATSPPPGSGDTSDWTSSDRDAGSPDCAGGGGGSEAAGSARDGR PTVSVVERNARVIQWLYGCQRARAPPRESEV >ENSMUSP00000133309.1 pep:known chromosome:GRCm38:8:111536097:111625720:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000172856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] MGGKQSTAARSRGPFPGVSTDDSAVPPPGGAPHFGHYRTGGGAMGLRSRSVSSVAGMGMD PSTAGGVPFSLYTPASRGTGDSERAPGGGGSTSDSTYAHGNGYQETGGGHHRDGMLYLGS RASLADALPLHIAPRWFSSHSGFKCPICSKSVASDEMEMHFIMCLSKPRLSYNDDVLTKD AGECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRSCPEHPAD >ENSMUSP00000092799.5 pep:known chromosome:GRCm38:8:111536842:111623778:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000095176.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] MGGKQSTAARSRGPFPGVSTDDSAVPPPGGAPHFGHYRTGGGAMGLRSRSVSSVAGMGMD PSTAGGVPFSLYTPASRGTGDSERAPGGGGSTSDSTYAHGNGYQETGGGHHRDGMLYLGS RASLADALPLHIAPRWFSSHSGFKCPICSKSVASDEMEMHFIMCLSKPRLSYNDDVLTKD AGECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRSCPEHPAD >ENSMUSP00000133993.1 pep:known chromosome:GRCm38:8:111537120:111622973:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000173506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] MGGKQSTAARSRGPFPGVSTDDSAVPPPGGAPHFGHYRTGGGAMGLRSRSVSSVAGMGMD PSTAGGVPFSLYTPASRGTGDSERAPGGGGSTSDSTYAHGNGYQETGGGHHRDGMLYLGS RASLADALPLHIAPRWFSSHSGFKCPICSKSVASDEMEMHFIMCLSKPRLSYNDDVLTKD AGECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRSCPEHPAD >ENSMUSP00000133472.1 pep:known chromosome:GRCm38:8:111537463:111621936:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000173726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] XGHHRDGMLYLGSRASLADALPLHIAPRWFSSHSDDVLTKDAGECVICLEELLQGDTIAR LPCLCIYHKSCIDSWFEVNRSCPEHPAD >ENSMUSP00000133455.1 pep:known chromosome:GRCm38:8:111537487:111622501:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000174376.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] XYLGSRASLADALPLHIAPRWFSSHSGLLVLNQDWKDTRKDWGGKEVESVLLIG >ENSMUSP00000133519.1 pep:known chromosome:GRCm38:8:111537578:111622602:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000174454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] MCLSKPRLSYNDDVLTKDAGECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRSCP EHPAD >ENSMUSP00000134634.1 pep:known chromosome:GRCm38:8:111538343:111622571:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000174333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] MEMNSFCALLVTGQEKAGGGFPRPWLVVGQQRPGRDFYRKTGFKCPICSKSVASDEMEMH FIMCLSKPRLSYNDDVLTKDAGECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRS CPEHPAD >ENSMUSP00000134232.1 pep:known chromosome:GRCm38:8:111541259:111621664:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000173781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] MCLSKPRLSYNDDVLTKDAGECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRSCP EHPAD >ENSMUSP00000134369.1 pep:known chromosome:GRCm38:8:111606748:111622962:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000173922.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] XLLVLNQDWKDTRKDWGGKEVESVLLIG >ENSMUSP00000133361.1 pep:known chromosome:GRCm38:8:111609296:111621775:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000173819.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] XKCPICSKSVASDEMEMHFIMCLSKPRLSYNDDVLTKDAAA >ENSMUSP00000127956.1 pep:known chromosome:GRCm38:8:111536640:111626030:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000171182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] MGGKQSTAARSRGPFPGVSTDDSAVPPPGGAPHFGHYRTGGGAMGLRSRSVSSVAGMGMD PSTAGGVPFSLYTPASRGTGDSERAPGGGGSTSDSTYAHGNGYQETGGGHHRDGMLYLGS RASLADALPLHIAPRWFSSHSDDVLTKDAGECVICLEELLQGDTIARLPCLCIYHKSCID SWFEVNRSCPEHPAD >ENSMUSP00000126684.1 pep:known chromosome:GRCm38:8:111536640:111626030:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000168428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] MGGKQSTAARSRGPFPGVSTDDSAVPPPGGAPHFGHYRTGGGAMGLRSRSVSSVAGMGMD PSTAGGVPFSLYTPASRGTGDSERAPGGGGSTSDSTYAHGNGYQETGGGHHRDGMLYLGS RASLADALPLHIAPRWFSSHSGFKCPICSKSVASDEMEMHFIMCLSKPRLSYNDDVLTKD AGECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRSCPEHPAD >ENSMUSP00000132939.1 pep:known chromosome:GRCm38:8:111538343:111626030:1 gene:ENSMUSG00000033545.14 transcript:ENSMUST00000166859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf1 description:zinc and ring finger 1 [Source:MGI Symbol;Acc:MGI:2177308] MEMNSFCALLVTGQEKAGGGFPRPWLVVGQQRPGRDFYRKTGFKCPICSKSVASDEMEMH FIMCLSKPRLSYNDDVLTKDAGECVICLEELLQGDTIARLPCLCIYHKSCIDSWFEVNRS CPEHPAD >ENSMUSP00000031574.3 pep:known chromosome:GRCm38:5:143181017:143205075:1 gene:ENSMUSG00000029586.9 transcript:ENSMUST00000031574.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdye4b description:speedy/RINGO cell cycle regulator family, member E4B [Source:MGI Symbol;Acc:MGI:3612701] MVMPWSSPLCTMPSKSAFFSQPRVEATPQPPSSIQKRKRDESSDSEDDLAELFEPDPQPV WSVETPCGLRMTLQRQCVSTVRPEHHKVFTKLLEDPVVKKFLTWDKMLRVSDKYLLSMVI AYFSRAGLFSWQYRPIHFFLALYLANDMEEDNQAPKQDIFYFLYGKSYAQRPMFHKLRFQ FIRSMGWRIWVSQEECEEIQAYDPELWVWTRDRTKLTQNPRVMDTRGHPPAIDPCA >ENSMUSP00000125524.1 pep:known chromosome:GRCm38:5:143181484:143204416:1 gene:ENSMUSG00000029586.9 transcript:ENSMUST00000159781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdye4b description:speedy/RINGO cell cycle regulator family, member E4B [Source:MGI Symbol;Acc:MGI:3612701] MVMPWSSPLCTMPSKSAFFSQPRVEATPQPPSSIQKRKRDESSDSEDDLAELFEPDPQPV WSVETPCGLRMTLQRQCVSTVRPEHHKVFTKLLEDPVVKKFLTWDKMLRVSDKYLLSMVI AYFSRAGLFSWQYRPIHFFLALYLANDMEEDNQAPKQDIFYFLYGKSYAQRPMFHKLRFQ FIRSMGWRIWVSQEECEEIQAYDPELWVWTRDRTKLTQNPRVMDTRGHPPAIDPCA >ENSMUSP00000051907.2 pep:known chromosome:GRCm38:11:83421902:83429455:-1 gene:ENSMUSG00000020686.12 transcript:ENSMUST00000052521.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2l2 description:growth arrest-specific 2 like 2 [Source:MGI Symbol;Acc:MGI:3652048] MSQHVGHGRRPRTPGPPVRSIRPFKSSEQYLEAMKEDLAEWLRDLYGLDIDADNFLRVLE TGLVLCRHANTVTEAALAFLAEAPERAQKIPMPQAGVFCNGAAQPGTFQARDNISNFIQW CRKEMGIQEVLMFETEDLVLRKNVKSVVLCLLELGRRAWRFGVAAPALVHLEEEIDEELR RDLALPSPDPPPPIPPARRPCHFHNLDQMVQSLVSHCTCPVQFSMVKISEGKYRVGDSNT LIFIRILRSHVMVRVGGGWDTLGHYLDKHDPCRCTSLSHKPGSFLKPPGPPVQHEVKVQD GPSQPQPTMTISRSQSPLPPVDWKTYTSSSRKLRPPTPSSPGLRSEPPVRARTLREDPLP RSQEKPTPSQRMSSPGPQFSSTCRGPDLQSTLSGKRANRCPGEPPRGRTPTLWVHKEAGS RGTHTKAPTPQRLQIPEATSKRTSARGPSPPPRSSSLASPHMIWVLHQGASPQLSEPMTV HSSSPGKGLTKIPIRLSPARPPTPGRSSLGTEGEYSTGRGSISSRALEGNLDRSTHGHHS VEASGDHQTDIQTTSETEDPRSLGTQKWKERHTSLALGRRREQALYDNLKEEVVANMKLL EVGTAYTQGTRSQAIPRSGVYVPSLGGRWPEPGGPYDKVIRELVQGPPPLLKVDLKAWKV GSECLPRPIVDPGSPKEKLGSRETGTRIKASLNAEDTTVRTVSPARGQGCSTPPVSANLE APTRSCSDPSSDKASVCLGKGKRTLRKPQKIPSIYKLKLRPRIRPRRDHRPEKRPSRIPK PLPYSCLVLARTAPGSRLLKATLGGKGGVPCQVNGTGKKEEEKKKGGSNISLESSIQPAE SQEPLKLGGTPLSPEEESWV >ENSMUSP00000119454.1 pep:known chromosome:GRCm38:11:83421902:83429455:-1 gene:ENSMUSG00000020686.12 transcript:ENSMUST00000147301.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gas2l2 description:growth arrest-specific 2 like 2 [Source:MGI Symbol;Acc:MGI:3652048] MSQHVGHGRRPRTPGPPVRSIRPFKSSEQYLEAMKEDLAEWLRDLYGLDIDADNFLRVLE TGLVLCRHANTVTEAALAFLAEAPERAQKIPMPQAGVFCNGAAQPGTFQARDNISNFIQW CRKEMGIQEVLMFETEDLVLRKNVKSVVLCLLELGRRAWRFGVAAPALVHLEEEIDEELR RDLALPLPQPGPDGAEPRQPLHMPSAILHGQDI >ENSMUSP00000078343.3 pep:known chromosome:GRCm38:5:121500098:121503435:-1 gene:ENSMUSG00000062438.4 transcript:ENSMUST00000079368.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam1b description:a disintegrin and metallopeptidase domain 1b [Source:MGI Symbol;Acc:MGI:2429506] MERLKLGKIPEHWCIRLVAMLLLAIIFLPSTFCDIGSVYNSSYETVIPERLPGKGGKDPG GKVSYMLLMQGQKQLLHLEVKGHYPENNFPVYSYHNGILRQEMPLLSQDCHYEGYMEGVP GSFVSVNICSGLRGVLIKEETSYGIEPMLSSKNFEHVLYTMEHQPVVSCSVTPKDSPGDT SHPPRSRKPDDLLVLTDWWSHTKYVEMFVVVNHQRFQMWGSNINETVQAVMDIIALANSF TRGINTEVVLVGLEIWTEGDPIEVPVDLQTTLRNFNFWRQEKLVGRVRHDVAHLIVGHRP GENEGQAFLRGACSGEFAAAVEAFHHEDVLLFAALMAHELGHNLGIQHDHPTCTCGPKHF CLMGEKIGKDSGFSNCSSDHFLRFLHDHRGVCLLDEPGRQSRMRRAANCGNGVVEDLEQC DCGSDCDKSQCCDENCKLKGNSVCSTELCCFKCNFKKEGDVCRPADGPCDLEEYCNGTSA ACPSDRKAQDGSKCHESFLCFNGQCMDPTFQCSRIFGHGSRSASDYCYTSLNSRGDQFGN CGSSSQFPKKYTKCSDKNVMCGKLICTEVAFLPQIQPNNLLLQVPETEDWCWSVAVFDMR DSLHEEYVKDNTYCGKDKVCKNSICEDFTPFSFPCSPSKQCNKHGVCNDLGNCHCSFGFA PPDCKEEGTGGSVDSGPAVNLSNDSSPGPNSTQSSTEELILNLKLIVLAVILVLMILLII ICIISAYTKSETASEAGPSELEELPEGEKEEQEEVLPEEAKGEEEELEYGKEEAEEQGAV EEEGAEEANEEAAAEKKDEDEEEGEE >ENSMUSP00000098606.3 pep:known chromosome:GRCm38:6:113743831:114042026:-1 gene:ENSMUSG00000030302.16 transcript:ENSMUST00000101045.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b2 description:ATPase, Ca++ transporting, plasma membrane 2 [Source:MGI Symbol;Acc:MGI:105368] MGDMTNSDFYSKNQRNESSHGGEFGCTMEELRSLMELRGTEAVVKIKETYGDTEAICRRL KTSPVEGLPGTAPDLEKRKQIFGQNFIPPKKPKTFLQLVWEALQDVTLIILEIAAIISLG LSFYHPPGESNEGCATAQGGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEKQFR GLQSRIEQEQKFTVVRAGQVVQIPVAEIVVGDIAQIKYGDLLPADGLFIQGNDLKIDESS LTGESDQVRKSVDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEEKKD KKAKQQDGAAAMEMQPLKSAEGGDADDKKKANMHKKEKSVLQGKLTKLAVQIGKAGLVMS AITVIILVLYFTVDTFVVNKKPWLTECTPVYVQYFVKFFIIGVTVLVVAVPEGLPLAVTI SLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTTNRMTVVQAYVGDVHYKEIP DPSSINAKTLELLVNAIAINSAYTTKILPPEKEGALPRQVGNKTECGLLGFVLDLRQDYE PVRSQMPEEKLYKVYTFNSVRKSMSTVIKMPDESFRMYSKGASEIVLKKCCKILSGAGEA RVFRPRDRDEMVKKVIEPMACDGLRTICVAYRDFPSSPEPDWDNENDILNELTCICVVGI EDPVRPEVPEAIRKCQRAGITVRMVTGDNINTARAIAIKCGIIHPGEDFLCLEGKEFNRR IRNEKGEIEQERIDKIWPKLRVLARSSPTDKHTLVKGIIDSTHTEQRQVVAVTGDGTNDG PALKKADVGFAMGIAGTDVAKEASDIILTDDNFSSIVKAVMWGRNVYDSISKFLQFQLTV NVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTETLLLRKPYGRNKP LISRTMMKNILGHAVYQLTLIFTLLFVGEKMFQIDSGRNAPLHSPPSEHYTIIFNTFVMM QLFNEINARKIHGERNVFDGIFRNPIFCTIVLGTFAIQIVIVQFGGKPFSCSPLQLDQWM WCIFIGLGELVWGQVIATIPTSRLKFLKEAGRLTQKEEIPEEELNEDVEEIDHAERELRR GQILWFRGLNRIQTQIRVVKAFRSSLYEGLEKPESRTSIHNFMAHPEFRIEDSQPHIPLI DDTDLEEDAALKQNSSPPSSLNKNNSAIDSGINLTTDTSKSATSSSPGSPIHSLETSL >ENSMUSP00000086398.5 pep:known chromosome:GRCm38:6:113745669:113891539:-1 gene:ENSMUSG00000030302.16 transcript:ENSMUST00000089003.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b2 description:ATPase, Ca++ transporting, plasma membrane 2 [Source:MGI Symbol;Acc:MGI:105368] MGDMTNSDFYSKNQRNESSHGGEFGCTMEELRSLMELRGTEAVVKIKETYGDTEAICRRL KTSPVEGLPGTAPDLEKRKQIFGQNFIPPKKPKTFLQLVWEALQDVTLIILEIAAIISLG LSFYHPPGESNEGCATAQGGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEKQFR GLQSRIEQEQKFTVVRAGQVVQIPVAEIVVGDIAQIKYGDLLPADGLFIQGNDLKIDESS LTGESDQVRKSVDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEEKKD KKAKQQDGAAAMEMQPLKSAEGGDADDKKKANMHKKEKSVLQGKLTKLAVQIGKAGLVMS AITVIILVLYFTVDTFVVNKKPWLTECTPVYVQYFVKFFIIGVTVLVVAVPEGLPLAVTI SLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTTNRMTVVQAYVGDVHYKEIP DPSSINAKTLELLVNAIAINSAYTTKILPPEKEGALPRQVGNKTECGLLGFVLDLRQDYE PVRSQMPEEKLYKVYTFNSVRKSMSTVIKMPDESFRMYSKGASEIVLKKCCKILSGAGEA RVFRPRDRDEMVKKVIEPMACDGLRTICVAYRDFPSSPEPDWDNENDILNELTCICVVGI EDPVRPEVPEAIRKCQRAGITVRMVTGDNINTARAIAIKCGIIHPGEDFLCLEGKEFNRR IRNEKGEIEQERIDKIWPKLRVLARSSPTDKHTLVKGIIDSTHTEQRQVVAVTGDGTNDG PALKKADVGFAMGIAGTDVAKEASDIILTDDNFSSIVKAVMWGRNVYDSISKFLQFQLTV NVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTETLLLRKPYGRNKP LISRTMMKNILGHAVYQLTLIFTLLFVGEKMFQIDSGRNAPLHSPPSEHYTIIFNTFVMM QLFNEINARKIHGERNVFDGIFRNPIFCTIVLGTFAIQIVIVQFGGKPFSCSPLQLDQWM WCIFIGLGELVWGQVIATIPTSRLKFLKEAGRLTQKEEIPEEELNEDVEEIDHAERELRR GQILWFRGLNRIQTQIRVVKAFRSSLYEGLEKPESRTSIHNFMAHPEFRIEDSQPHIPLI DDTDLEEDAALKQNSSPPSSLNKNNSAIDSGINLTTDTSKSATSSSPGSPIHSLETSL >ENSMUSP00000138165.1 pep:known chromosome:GRCm38:6:113745670:114042015:-1 gene:ENSMUSG00000030302.16 transcript:ENSMUST00000152831.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp2b2 description:ATPase, Ca++ transporting, plasma membrane 2 [Source:MGI Symbol;Acc:MGI:105368] MGDMTNSDFYSKNQRNESSHGGEFGCTMEELRSLMELRGTEAVVKIKETYGDTEAICRRL KTSPVEGLPGTAPDLEKRKQIFGQNFIPPKKPKTFLQLVWEALQDVTLIILEIAAIISLG LSFYHPPGESNEGCATAQGGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEKQFR GLQSRIEQEQKFTVVRAGQVVQIPVAEIVVGDIAQIKYGDLLPADGLFIQGNDLKIDESS LTGESDQVRKSVDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEEKKD KKAKQQDGAAAMEMQPLKSAEGGDADDKKKANMHKKEKSVLQGKLTKLAVQIGKAGLVMS AITVIILVLYFTVDTFVVNKKPWLTECTPVYVQYFVKFFIIGVTVLVVAVPEGLPLAVTI SLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTTNRMTVVQAYVGDVHYKEIP DPSSINAKTLELLVNAIAINSAYTTKILPPEKEGALPRQVGNKTECGLLGFVLDLRQDYE PVRSQMPEEKLYKVYTFNSVRKSMSTVIKMPDESFRMYSKGASEIVLKKCCKILSGAGEA RVFRPRDRDEMVKKVIEPMACDGLRTICVAYRDFPSSPEPDWDNENDILNELTCICVVGI EDPVRPEVPEAIRKCQRAGITVRMVTGDNINTARAIAIKCGIIHPGEDFLCLEGKEFNRR IRNEKGEIEQERIDKIWPKLRVLARSSPTDKHTLVKGIIDSTHTEQRQVVAVTGDGTNDG PALKKADVGFAMGIAGTDVAKEASDIILTDDNFSSIVKAVMWGRNVYDSISKFLQFQLTV NVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTETLLLRKPYGRNKP LISRTMMKNILGHAVYQLTLIFTLLFVGEKMFQIDSGRNAPLHSPPSEHYTIIFNTFVMM QLFNEINARKIHGERNVFDGIFRNPIFCTIVLGTFAIQIVIVQFGGKPFSCSPLQLDQWM WCIFIGLGELVWGQVIATIPTSRLKFLKEAGRLTQKEEIPEEELNEDVEEIDHAERELRR GQILWFRGLNRIQTQIEVVNTFKSGASFQGALRRQSSVTSQSQDVASLSSPSRVSLSNAL SSPTSLPPAAAGQG >ENSMUSP00000145174.1 pep:known chromosome:GRCm38:6:113747066:113891539:-1 gene:ENSMUSG00000030302.16 transcript:ENSMUST00000205052.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b2 description:ATPase, Ca++ transporting, plasma membrane 2 [Source:MGI Symbol;Acc:MGI:105368] MGDMTNSDFYSKNQRNESSHGGEFGCTMEELRSLMELRGTEAVVKIKETYGDTEAICRRL KTSPVEGLPGTAPDLEKRKQIFGQNFIPPKKPKTFLQLVWEALQDVTLIILEIAAIISLG LSFYHPPGESNEGCATAQGGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEKQFR GLQSRIEQEQKFTVVRAGQVVQIPVAEIVVGDIAQIKYGDLLPADGLFIQGNDLKIDESS LTGESDQVRKSVDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEEKKD KKAKQQDGAAAMEMQPLKSAEGGDADDKKKANMHKKEKSVLQGKLTKLAVQIGKAGLVMS AITVIILVLYFTVDTFVVNKKPWLTECTPVYVQYFVKFFIIGVTVLVVAVPEGLPLAVTI SLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTTNRMTVVQAYVGDVHYKEIP DPSSINAKTLELLVNAIAINSAYTTKILPPEKEGALPRQVGNKTECGLLGFVLDLRQDYE PVRSQMPEEKLYKVYTFNSVRKSMSTVIKMPDESFRMYSKGASEIVLKKCCKILSGAGEA RVFRPRDRDEMVKKVIEPMACDGLRTICVAYRDFPSSPEPDWDNENDILNELTCICVVGI EDPVPEAIRKCQRAGITVRMVTGDNINTARAIAIKCGIIHPGEDFLCLEGKEFNRRIRNE KGEIEQERIDKIWPKLRVLARSSPTDKHTLVKGIIDSTHTEQRQVVAVTGDGTNDGPALK KADVGFAMGIAGTDVAKEASDIILTDDNFSSIVKAVMWGRNVYDSISKFLQFQLTVNVVA VIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTETLLLRKPYGRNKPLISR TMMKNILGHAVYQLTLIFTLLFVGEKMFQIDSGRNAPLHSPPSEHYTIIFNTFVMMQLFN EINARKIHGERNVFDGIFRNPIFCTIVLGTFAIQIVIVQFGGKPFSCSPLQLDQWMWCIF IGLGELVWGQVIATIPTSRLKFLKEAGRLTQKEEIPEEELNEDVEEIDHAERELRRGQIL WFRGLNRIQTQIRVVKAFRSSLYEGLEKPESRTSIHNFMAHPEFRIEDSQPHIPLIDDTD LEEDAALKQNSSPPSSLNKNNSAIDSGINLTTDTSKSATSSSPGSPIHSLETSL >ENSMUSP00000098605.2 pep:known chromosome:GRCm38:6:113748294:113934681:-1 gene:ENSMUSG00000030302.16 transcript:ENSMUST00000101044.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b2 description:ATPase, Ca++ transporting, plasma membrane 2 [Source:MGI Symbol;Acc:MGI:105368] MGDMTNSDFYSKNQRNESSHGGEFGCTMEELRSLMELRGTEAVVKIKETYGDTEAICRRL KTSPVEGLPGTAPDLEKRKQIFGQNFIPPKKPKTFLQLVWEALQDVTLIILEIAAIISLG LSFYHPPGESNEGCATAQGGAEDEGEAEAGWIEGAAILLSVICVVLVTAFNDWSKEKQFR GLQSRIEQEQKFTVVRAGQVVQIPVAEIVVGDIAQIKYGDLLPADGLFIQGNDLKIDESS LTGESDQVRKSVDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEEKKD KKGVKKGDGLQIPAADGAAPANAAGSANASLVNGKMQDGSADSSQSKAKQQDGAAAMEMQ PLKSAEGGDADDKKKANMHKKEKSVLQGKLTKLAVQIGKAGLVMSAITVIILVLYFTVDT FVVNKKPWLTECTPVYVQYFVKFFIIGVTVLVVAVPEGLPLAVTISLAYSVKKMMKDNNL VRHLDACETMGNATAICSDKTGTLTTNRMTVVQAYVGDVHYKEIPDPSSINAKTLELLVN AIAINSAYTTKILPPEKEGALPRQVGNKTECGLLGFVLDLRQDYEPVRSQMPEEKLYKVY TFNSVRKSMSTVIKMPDESFRMYSKGASEIVLKKCCKILSGAGEARVFRPRDRDEMVKKV IEPMACDGLRTICVAYRDFPSSPEPDWDNENDILNELTCICVVGIEDPVRPEVPEAIRKC QRAGITVRMVTGDNINTARAIAIKCGIIHPGEDFLCLEGKEFNRRIRNEKGEIEQERIDK IWPKLRVLARSSPTDKHTLVKGIIDSTHTEQRQVVAVTGDGTNDGPALKKADVGFAMGIA GTDVAKEASDIILTDDNFSSIVKAVMWGRNVYDSISKFLQFQLTVNVVAVIVAFTGACIT QDSPLKAVQMLWVNLIMDTFASLALATEPPTETLLLRKPYGRNKPLISRTMMKNILGHAV YQLTLIFTLLFVGEKMFQIDSGRNAPLHSPPSEHYTIIFNTFVMMQLFNEINARKIHGER NVFDGIFRNPIFCTIVLGTFAIQIVIVQFGGKPFSCSPLQLDQWMWCIFIGLGELVWGQV IATIPTSRLKFLKEAGRLTQKEEIPEEELNEDVEEIDHAERELRRGQILWFRGLNRIQTQ IRVVKAFRSSLYEGLEKPESRTSIHNFMAHPEFRIEDSQPHIPLIDDTDLEEDAALKQNS SPPSSLNKNNSAIDSGINLTTDTSKSATSSSPGSPIHSLETSL >ENSMUSP00000054337.5 pep:known chromosome:GRCm38:2:32095528:32110820:1 gene:ENSMUSG00000051373.5 transcript:ENSMUST00000057423.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp7 description:phospholipid phosphatase 7 (inactive) [Source:MGI Symbol;Acc:MGI:2445183] MPASQSRARARDRNNVLNRAEFLSLNQPPKGTQEPRSSGRKASGPSTQPPPSSDGARERR QSQQLPEEDCMQLNPSFKGIAFNSLLAIDICMSKRLGVCAGRAASWASARSMVKLIGITG HGIPWIGGTILCLVRSSTLAGQEVLMNLLLALLLDIMTVAGVQKLIKRRGPYETSPGLLD YLTMDIYAFPAGHASRAAMVSKFFLSHLVLAVPLRVLLVLWAFCVGLSRVMIGRHHITDV ISGFIIGYFQFRLVELVWMSSNTCQMLISAW >ENSMUSP00000141597.1 pep:known chromosome:GRCm38:2:32095565:32110126:1 gene:ENSMUSG00000051373.5 transcript:ENSMUST00000140762.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp7 description:phospholipid phosphatase 7 (inactive) [Source:MGI Symbol;Acc:MGI:2445183] MTVAGVQKLIKRRGPYETSPGLLDYLTMDIYAFPAGHASRAAMVSKFFLSHLVLAVPLRV LLVLWAFCVGLSRVMIGRHHITDVISGFIIGYFQFRLVELVWMSSNTCQMLISAW >ENSMUSP00000141805.1 pep:known chromosome:GRCm38:2:32096155:32110808:1 gene:ENSMUSG00000051373.5 transcript:ENSMUST00000146502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp7 description:phospholipid phosphatase 7 (inactive) [Source:MGI Symbol;Acc:MGI:2445183] XIGITGHGIPWIGGTILCLVRSSTLAGQEVLMNLLLARAPYLSPGILQVPGVAHGTVTRE QRRVR >ENSMUSP00000109931.1 pep:known chromosome:GRCm38:16:66655421:67620908:-1 gene:ENSMUSG00000064115.13 transcript:ENSMUST00000114292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm2 description:cell adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:2442722] MIWKRSAVLRFYSVCGLLLQAAASKSKVKGSQGQFPLTQNVTVVEGGTAILTCRVDQNDN TSLQWSNPAQQTLYFDDKKALRDNRIELVRASWHELSISVSDVSLSDEGQYTCSLFTMPV KTSKAYLTVLGVPEKPQISGFSSPVMEGDLMQLTCKTSGSKPAADIRWFKNDKEIKDVKY LKEEDANRKTFTVSSTLDFRVDRSDDGVAVICRVDHESLNATPQVAMQVLEIHYTPSVKI IPSTPFPQEGQALTLTCESKGKPLPEPVLWTKDGAELPDPDRMVVSGRELNILFLNKTDN GTYRCEATNTIGQSSAEYVLIVHDPNSLAGQNGPDHALIGGIVAVVVFVTLCSIFLLGRY LARHKGTYLTNEAKGAEDAPDADTAIINAEGSQVNAEEKKEYFI >ENSMUSP00000113500.1 pep:known chromosome:GRCm38:16:66661745:67620823:-1 gene:ENSMUSG00000064115.13 transcript:ENSMUST00000120594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm2 description:cell adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:2442722] MIWKRSAVLRFYSVCGLLLQGSQGQFPLTQNVTVVEGGTAILTCRVDQNDNTSLQWSNPA QQTLYFDDKKALRDNRIELVRASWHELSISVSDVSLSDEGQYTCSLFTMPVKTSKAYLTV LGVPEKPQISGFSSPVMEGDLMQLTCKTSGSKPAADIRWFKNDKEIKDVKYLKEEDANRK TFTVSSTLDFRVDRSDDGVAVICRVDHESLNATPQVAMQVLEIHYTPSVKIIPSTPFPQE GQALTLTCESKGKPLPEPVLWTKDGAELPDPDRMVVSGRELNILFLNKTDNGTYRCEATN TIGQSSAEYVLIVHDVPNTLLPTTIIPSLTTAPVTTSVTITTSPSTSASSSSRRDPNSLA GQNGPDHALIGGIVAVVVFVTLCSIFLLGRYLARHKGTYLTNEAKGAEDAPDADTAIINA EGSQVNAEEKKEYFI >ENSMUSP00000113178.1 pep:known chromosome:GRCm38:16:66662190:67620908:-1 gene:ENSMUSG00000064115.13 transcript:ENSMUST00000120898.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm2 description:cell adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:2442722] MIWKRSAVLRFYSVCGLLLQGSQGQFPLTQNVTVVEGGTAILTCRVDQNDNTSLQWSNPA QQTLYFDDKKALRDNRIELVRASWHELSISVSDVSLSDEGQYTCSLFTMPVKTSKAYLTV LGVPEKPQISGFSSPVMEGDLMQLTCKTSGSKPAADIRWFKNDKEIKDVKYLKEEDANRK TFTVSSTLDFRVDRSDDGVAVICRVDHESLNATPQVAMQVLEIHYTPSVKIIPSTPFPQE GQALTLTCESKGKPLPEPVLWTKDGAELPDPDRMVVSGRELNILFLNKTDNGTYRCEATN TIGQSSAEYVLIVHDPNSLAGQNGPDHALIGGIVAVVVFVTLCSIFLLGRYLARHKGTYL TNEAKGAEDAPDADTAIINAEGSQVNAEEKKEYFI >ENSMUSP00000134554.1 pep:known chromosome:GRCm38:16:66663093:66953334:-1 gene:ENSMUSG00000064115.13 transcript:ENSMUST00000128168.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm2 description:cell adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:2442722] FFFLCNLSLVPAAASKSKVKGSQGQFPLTQNVTVVEGGTAILTCRVDQNDNTSLQWSNPA QQTLYFDDKKALRDNRIELVRASWHELSISVSDVSLSDEGQYTCSLFTMPVKTSKAYLTV LGVPEKPQISGFSSPVMEGDLMQLTCKTSGSKPAADIRWFKNDKEIKDVKYLKEEDANRK TFTVSSTLDFRVDRSDDGVAVICRVDHESLNATPQVAMQVLEIHYTPSVKIIPSTPFPQE GQALTLTCESKGKPLPEPVLWTKDGAELPDPDRMVVSGRELNILFLNKTDNGTYRCEATN TIGQSSAEYVLIVHDVPNTLLPTTIIPSLTTAPVTTSVTITTSPSTSASSSSRRDPNSLA GQNGPDHALIGGIVAVVVFVTLCSIFLLGRYLARHKGTYLTNEAKGAEDAPDADTAIINA EGSQVNAEEKKEYFI >ENSMUSP00000123192.1 pep:known chromosome:GRCm38:16:66784789:67620493:-1 gene:ENSMUSG00000064115.13 transcript:ENSMUST00000123266.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm2 description:cell adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:2442722] MIWKRSAVLRFYSVCGLLLQDVKYLKEEDANRKTFTVSSTLDFRVDRSDDGVA >ENSMUSP00000057223.3 pep:known chromosome:GRCm38:6:59208870:59212033:1 gene:ENSMUSG00000049232.3 transcript:ENSMUST00000062626.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tigd2 description:tigger transposable element derived 2 [Source:MGI Symbol;Acc:MGI:1915390] MLGKRKRVVLTIKDKLDIIKKLEEGNSFKKLSVLYGIGESTVRDIKKNKERIINYANSSD PTSGVSKRKSMKSSTYEELDRVMIEWFNQQKTDGIPVSGTICAKQARFFFDALGMEGDFN ASSGWLTRFKQRHGIPKAAGKGTKLKGDETAASEFCGNFQEFVERENLLPEQIYGADQTG LFWKCLPTRTLAFDTDQSTCEYRTSRERIIIMCCANATGSHKLNLCVVGKAKRPRAFKGT DLSNLPVTYFSQKSAWIEPSVLKQWFEKCFVPQVQKHLKSKGLREKAVLLLDFPAAHPAE ELLSSDDGRIIVKYLPPNVTSLIQPMSQGVLTTVKRYYRAGLIQKYMDEGNDPKTFWKNL TVLDAIYEASRAWNQIRSNTITRAWKKLFPGNEENPSVSIDEGAILAANLATVLQNTEDC EHVNIENIEQWFDSRSSGSNCQVLADIVGAADRAKVTEQKPSRKTRKAELNPEKHISHKA ALEWTENLLDYLEQQDDMLLSDKLVLRRLRTIIRRKQRIQNKSHL >ENSMUSP00000018988.5 pep:known chromosome:GRCm38:11:82892124:82900801:1 gene:ENSMUSG00000018844.6 transcript:ENSMUST00000018988.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc8 description:fibronectin type III domain containing 8 [Source:MGI Symbol;Acc:MGI:1926169] MATVFCKVGGGEEAVPKKEALNVINVIDQLPKPCPNPKFINRSMATKGLLLPSRRSLASF SEEENTDVMMHMPVEDSEYSSDDTSMSPIPSTLMNPIKMAVTQPNSSFFAGILEGELNKL SLASVVKNTEKDNLAICPRSSKSQIATRGLLDLDNPALDTDTSSTRSESSVVLDVPEVPF ICEHTVGDSTAVISWTYAAGKQQVSFYQVLLQEATKPADKDTPKIKTRPWIFNKILGTTV KLMELKSNTSYCLTVRAANTAGVGKWCKPYKFATVSTDFNSFPETNPIQVTVQRKQPHRR TVSMTMEEMRRLEDLEYLYPY >ENSMUSP00000087959.5 pep:known chromosome:GRCm38:3:116249654:116253503:-1 gene:ENSMUSG00000068696.6 transcript:ENSMUST00000090473.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr88 description:G-protein coupled receptor 88 [Source:MGI Symbol;Acc:MGI:1927653] MTNSSSTSTSTTTGGSLLLLCEEEESWAGRRIPVSLLYSGLAIGGTLANGMVIYLVSSFR KLQTTSNAFIVNGCAADLSVCALWMPQEAVLGLLPSGSAEPPGDWDGGGGSYRLLRGGLL GLGLTVSLLSHCLVALNRYLLITRAPATYQVLYQRRHTVGMLALSWALALGLVLLLPPWA PKPGAEPPQVHYPALLAAGALLAQTALLLHCYLGIVRRVRVSVKRVSVLNFHLLHQLPGC AAAAAAFPAAPHAPGPGGAAHPAQPQPLPAALQPRRAQRRLSGLSVLLLCCVFLLATQPL VWVSLASGFSLPVPWGVQAASWLLCCALSALNPLLYTWRNEEFRRSVRSVLPGVGDAAAA AAAATAVPAMSQAQLGTRAAGQHW >ENSMUSP00000143586.1 pep:known chromosome:GRCm38:3:116252563:116253089:-1 gene:ENSMUSG00000068696.6 transcript:ENSMUST00000197759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr88 description:G-protein coupled receptor 88 [Source:MGI Symbol;Acc:MGI:1927653] MTNSSSTSTSTTTGGSLLLLCEEEESWAGRRIP >ENSMUSP00000133834.1 pep:known chromosome:GRCm38:15:81678296:81694028:-1 gene:ENSMUSG00000063765.11 transcript:ENSMUST00000173598.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chadl description:chondroadherin-like [Source:MGI Symbol;Acc:MGI:3036284] ALAGLDRLLYLYLSHNQLSGLSAAALEGAPNLGYLYLEHNRFLRIPGTALRALPTLVSLH LQDNAVDRLAPGDLAGARALRCLYLSGNHITQVSPGALGPARELEKLHLDRNRLREVPTG ALEGLPALKELQLSGNPLRALPDGAFQPVGRSLQQLFLNSSDLEQISPRAFSGLGKGLRS LYLHKNQLQSLPAPLGLSGLELVDLSGNPFHCDCQLLPLHRWLTGLNLRVGATCATPPSV RGQKVKVAAPVFEACPGWTARKAKRTPTSRGSARRTPSLSRH >ENSMUSP00000072682.5 pep:known chromosome:GRCm38:15:81686165:81697287:-1 gene:ENSMUSG00000063765.11 transcript:ENSMUST00000072910.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chadl description:chondroadherin-like [Source:MGI Symbol;Acc:MGI:3036284] MERPQSSIWVFMLLLFMVLLQSPAWHVAAQRCPQTCVCDNSRRHVTCRHQNLTEVPNTIP ELTQRLDLQGNILKVLPAAAFQDLPHLTHLDLRNCQVEMVAEGAFRGLGRLLLLNLASNR LSTLPQEALDGLGSLRRLELEGNMLEELRPGTFGALGSLTTLNLAHNALVYLPAMAFQGL LRTRWLQLSHNALSVLAPEALAGLPALRRLSLHHNELQALPGAALSQARSLARLELGHNP LTYTGEEDGLALPGLRELALDHGSLQALGPRAFAHCPRLHTLDLRGNQLTTLPPLQVPGQ LRRLRLQGNPLWCACHARPLLEWLVRARVRSDGACRGPRRLRGEALDTLRPSDLRCPGDA AAGDGDGDEDEDRPAGPRAPPLRSPHGEAAWATPCPPACACVAETRHSTCDGRGLQAVPR GFPNDTQLLDLRRNHFPSVPRAAFPGLRHLVSLHLQHCGVAELEPGALAGLDRLLYLYLS HNQLSGLSAAALEGAPNLGYLYLEHNRFLRIPGTALRALPTLVSLHLQDNAVDRLAPGDL AGARALRCLYLSGNHITQVSPGALGPARELEKLHLDRNRLREVPTGALEGLPALKELQLS GNPLRALPDGAFQPVGRSLQQLFLNSSDLEQISPRAFSGLGKGLRSLYLHKNQLQSLPAP LGLSGLELVDLSGNPFHCDCQLLPLHRWLTGLNLRVGATCATPPSVRGQKVKVAAPVFEA CPGWTARKAKRTPTSRGSARRTPSLSRH >ENSMUSP00000133981.1 pep:known chromosome:GRCm38:15:81686181:81694207:-1 gene:ENSMUSG00000063765.11 transcript:ENSMUST00000173898.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chadl description:chondroadherin-like [Source:MGI Symbol;Acc:MGI:3036284] XSTCDGRGLQAVPRGFPNDTQLLDLRRNHFPSVPRAAFPGLRHLVSLHLQHCGVAELEPG ALAGLDRLLYLYLSHNQLSGLSAAALEGAPNLGYLYLEHNRFLRIPGTALRALPTLVSLH LQDNAVDRLAPGDLAGARALRCLYLSGNHITQVSPGALGPARELEKLHLDRNRLREVPTG ALEGLPALKELQLSGNPLRALPDGAFQPVGRSLQQLFLNSSDLEQVAHWAEPAGGCHLCH PSQCPWPEGEGCSSCL >ENSMUSP00000038540.8 pep:known chromosome:GRCm38:10:78436744:78464975:-1 gene:ENSMUSG00000032788.14 transcript:ENSMUST00000041616.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdxk description:pyridoxal (pyridoxine, vitamin B6) kinase [Source:MGI Symbol;Acc:MGI:1351869] MEGECRVLSIQSHVVRGYVGNRAAMFPLQVLGFEVDAVNSVQFSNHTGYAHWKGQVLKSQ ELHELYEGLKVNDVNKYDYVLTGYTRDKSFLAMVVDIVRELKQQNSRLVYVCDPVMGDKW NGEGSMYVPQDLLPVYRDKVVPVADIITPNQFEAELLSGRKIHSQEEAFEVMDMLHCMGP DTVVITSSDLPSSQGSDYLIALGSQRMRKPDGSTVTQRIRMEMRKVEAVFVGTGDLFAAM LLAWTHKHPDNLKVACEKTVSAMQHVLQRTIRCAKAEAGEGQKPSPAQLELRMVQSKRDI EDPEIVVQATVL >ENSMUSP00000101024.1 pep:known chromosome:GRCm38:10:78440697:78464853:-1 gene:ENSMUSG00000032788.14 transcript:ENSMUST00000105385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdxk description:pyridoxal (pyridoxine, vitamin B6) kinase [Source:MGI Symbol;Acc:MGI:1351869] MEGECRVLSIQSHVVRGYVGNRAAMFPLQVLGFEVDAVNSVQFSNHTGYAHWKGQVLKSQ ELHELYEGLKVNDVNKYDYVLTGYTRDKSFLAMVVDIVRELKQQNSRLVYVCDPVMGDKW NGEGSMYVPQDLLPVYRDKVVPVADIITPNQFEAELLSGRKIHSQEEAFEVMDMLHCMGP DTVVITSSDLPSSQGSDYLIALGSQRMRKPDGSTVTQRIRMEMRKVEAVFVGTGDLFAAM LLAWTHKHPDNLKLKQEKDRSPAPLSWS >ENSMUSP00000127396.1 pep:known chromosome:GRCm38:14:118233232:118237030:-1 gene:ENSMUSG00000061517.8 transcript:ENSMUST00000170662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox21 description:SRY (sex determining region Y)-box 21 [Source:MGI Symbol;Acc:MGI:2654070] MSKPVDHVKRPMNAFMVWSRAQRRKMAQENPKMHNSEISKRLGAEWKLLTESEKRPFIDE AKRLRAMHMKEHPDYKYRPRRKPKTLLKKDKFAFPVPYGLGSVADAEHPALKAGAGLHAG AGGGLVPESLLANPEKAAAAAAAAAARVFFPQSAAAAAAAAAAAAAGSPYSLLDLGSKMA EISSSSSGLPYASSLGYPTAGAGAFHGAAAAAAAAAAAAGGHTHSHPSPGNPGYMIPCNC SAWPSPGLQPPLAYILLPGMGKPQLDPYPAAYAAAL >ENSMUSP00000126294.1 pep:known chromosome:GRCm38:7:116331505:116334195:-1 gene:ENSMUSG00000090862.3 transcript:ENSMUST00000170953.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps13 description:ribosomal protein S13 [Source:MGI Symbol;Acc:MGI:1915302] MGRMHAPGKGLSQSALPYRRSVPTWLKLTSDDVKEQIYKLAKKGLTPSQIGVILRDSHGV AQVRFVTGNKILRILKSKGLAPDLPEDLYHLIKKAVAVRKHLERNRKDKDAKFRLILIES RIHRLARYYKTKRVLPPNWKYESSTASALVA >ENSMUSP00000146136.1 pep:known chromosome:GRCm38:7:116331510:116334133:-1 gene:ENSMUSG00000090862.3 transcript:ENSMUST00000205490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps13 description:ribosomal protein S13 [Source:MGI Symbol;Acc:MGI:1915302] MGRMHAPGKGLSQSALPYRRSVPTWLKLTSDDVKEQIYKLAKKGLTPSQIGVILRDSHGV AQVRFVTGNKILRILKSKGLAPDLPEDLYHLIKKAVAVRKHLERNRKDKDAKFRLILIES RIHRLARYYKTKRVLPPNWK >ENSMUSP00000146272.1 pep:known chromosome:GRCm38:7:116331512:116334166:-1 gene:ENSMUSG00000090862.3 transcript:ENSMUST00000205906.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps13 description:ribosomal protein S13 [Source:MGI Symbol;Acc:MGI:1915302] MGRMHAPGKGLSQSALPYRRSVPTWLKLTSDDVKEQIYKLAKKGLTPSQIGEC >ENSMUSP00000053218.2 pep:known chromosome:GRCm38:11:70216789:70220994:-1 gene:ENSMUSG00000044367.7 transcript:ENSMUST00000060010.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a13 description:solute carrier family 16 (monocarboxylic acid transporters), member 13 [Source:MGI Symbol;Acc:MGI:1916559] MVRRAEPPDGGWGWMVVLSAFFQSALVFGVLRSFGVFFVEFVAAFEEQAARVSWIASIGI AVQQFGSPIGSALSTKLGPRPVVMTGGILAALGMLLASFATSLTHLYLSIGLLSGSGWAL TFTPTMACLSRYFSQRRSLAMGLALTGVGISSFAFAPLFQWLINNYAWRGALLLVSALSL HLMACGALLRPLSLTEDAAVGGPGAQITSLLRHGPFLRYTAALTLINTGFFIPYVHLVAH LQDLGWDPLPAAFLLSVAAVSDLVGRVASGWLGDAVPGPVARLLMLWTTLTGVSLALFPV AQASSTLVVLAVAYGFTSGALTPVAFSVLPELVGTGRIYCGMGLVQMIESIGGLLGAPLS GYLRDVTGNYTASFVVAGAFLLAGSGVLLTLPHFFSCISVSTSRPQDLVIEASDTKIPLP KEEGLGEN >ENSMUSP00000124687.1 pep:known chromosome:GRCm38:11:70218738:70220808:-1 gene:ENSMUSG00000044367.7 transcript:ENSMUST00000159867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a13 description:solute carrier family 16 (monocarboxylic acid transporters), member 13 [Source:MGI Symbol;Acc:MGI:1916559] MVRRAEPPDGGWGWMVVLSAFFQSALVFGVLRSFGVFFVEFVAAFEEQAARVSWIASIGI AVQQFGSFLNPGSPGC >ENSMUSP00000139873.1 pep:known chromosome:GRCm38:11:70219176:70221064:-1 gene:ENSMUSG00000044367.7 transcript:ENSMUST00000190533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a13 description:solute carrier family 16 (monocarboxylic acid transporters), member 13 [Source:MGI Symbol;Acc:MGI:1916559] MACLSRYFSQRRSLAMGLALTGVGISSFAFAPLFQWLINNY >ENSMUSP00000116316.1 pep:known chromosome:GRCm38:11:70219289:70220784:-1 gene:ENSMUSG00000044367.7 transcript:ENSMUST00000141290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a13 description:solute carrier family 16 (monocarboxylic acid transporters), member 13 [Source:MGI Symbol;Acc:MGI:1916559] MVRRAEPPDGGWGWMVVLSAFFQSALVFGVLRSFGVFFVEFVAAFEEQAARVSWIASIGI AVQQFGSSGWALTFTPTMAC >ENSMUSP00000046714.8 pep:known chromosome:GRCm38:5:148327410:148399904:-1 gene:ENSMUSG00000041313.14 transcript:ENSMUST00000048116.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a1 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 1 [Source:MGI Symbol;Acc:MGI:88117] MGCKNLLGLGQQMLRRKVVDCSREESRLSRCLNTYDLVALGVGSTLGAGVYVLAGAVARE NAGPAIVISFLIAALASVLAGLCYGEFGARVPKTGSAYLYSYVTVGELWAFITGWNLILS YIIGTSSVARAWSATFDELIGKPIGEFSRQHMALNAPGVLAQTPDIFAVIIIIILTGLLT LGVKESAMVNKIFTCINVLVLCFIVVSGFVKGSIKNWQLTEKNFSCNNNDTNVKYGEGGF MPFGFSGVLSGAATCFYAFVGFDCIATTGEEVKNPQKAIPVGIVASLLICFIAYFGVSAA LTLMMPYFCLDIDSPLPGAFKHQGWEEAKYAVAIGSLCALSTSLLGSMFPMPRVIYAMAE DGLLFKFLAKINNRTKTPVIATVTSGAIAAVMAFLFELKDLVDLMSIGTLLAYSLVAACV LVLRYQPEQPNLVYQMARTTEELDRVDQNELVSASESQTGFLPVAEKFSLKSILSPKNVE PSKFSGLIVNISAGLLAALIITVCIVAVLGREALAEGTLWAVFVMTGSVLLCMLVTGIIW RQPESKTKLSFKVPFVPVLPVLSIFVNIYLMMQLDQGTWVRFAVWMLIGFTIYFGYGIWH SEEASLAAGQAKTPDSNLDQCK >ENSMUSP00000117781.1 pep:known chromosome:GRCm38:5:148334520:148392815:-1 gene:ENSMUSG00000041313.14 transcript:ENSMUST00000138257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a1 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 1 [Source:MGI Symbol;Acc:MGI:88117] MGCKNLLGLGQQMLRRKVVDCSREESRLSRCLNTYDLVALGVGSTLGAGVYVLAGAVARE NAGPAIVISFLIAALASVLAGLCYGEFGARVPKTGSAYLYSYVTVGELWAFITGWNLILS YIIGTSSVARAWSATFDELIGKPIGEFSRQHMALNAPGVLAQTPDIFAVIIIIILTGLLT LGVKESAMVNKIFTCINVLVLCFIVVSGFVKGSIKNWQLTEKNFSCNNNDTNVKYGEGGF MPFGFSGVLSGAATCFYAFVGFDCIATTGEEVKNPQKAIPVGIVASLLICFIAYFGVSAA LTLMMPYFCLDIDSPLPGAFKHQGWEEAKYAVAIGSLCALSTSLLGSMFPMPRVIYAMAE DGLLFKFLAKINNRTKTPVIATVTSGAIAAVMAFLFELKDLVDLMSIGTLLAYSLVAACV LVLRYQPEQPNLVYQMARTTEELDRVDQNELVSASESQTGFLPVAEKFSLKSILSPKNVE PSKFSGLIVNISAGLLAALIITVCIVAVLGREALAEGTLWAVFVMTGSVLLCMLVTGIIW RQP >ENSMUSP00000144000.1 pep:known chromosome:GRCm38:5:148342081:148399791:-1 gene:ENSMUSG00000041313.14 transcript:ENSMUST00000202457.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a1 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 1 [Source:MGI Symbol;Acc:MGI:88117] MALNAPGVLAQTPDIFAVIIIIILTGLLTLGVKESAMVNKIFTCINVLVLCFIVVSGFVK GSIKNWQLTEKNFSCNNNDTNVKYGEGGFMPFGFSGVLSGAATCFYAFVGFDCIATTGEE VKNPQKAIPVGIVASLLICFIA >ENSMUSP00000122914.1 pep:known chromosome:GRCm38:5:148352153:148371546:-1 gene:ENSMUSG00000041313.14 transcript:ENSMUST00000138596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a1 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 1 [Source:MGI Symbol;Acc:MGI:88117] MGCKNLLGLGQQMLRRKVVDCSREESRLSRCLNTYDLVALGVGSTLGAGVYVLAGAVARE NAGPAIVISFLIAALASVLAGLCYGEFGARVPKT >ENSMUSP00000009679.4 pep:known chromosome:GRCm38:18:68300355:68324852:1 gene:ENSMUSG00000009535.13 transcript:ENSMUST00000009679.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnmt description:RNA (guanine-7-) methyltransferase [Source:MGI Symbol;Acc:MGI:1915147] MEGSAKASVASDPESPPGGNEPAAASGQRLPENTPPCQQVDQPKMQKEFGEDLVEQNSSY VQDSPSKKRKLDVEIILEEKHSEDDGGSAKRSKLERGDVSEDEPSLGRLNQTKRKLQPQD DEVPQKLQKLEEGHSSAVAAHYNELQEVGLAKRSQSRIFYLRNFNNWIKSILIGEILEKV RQRKTRDITVLDLGCGKGGDLLKWRKGRISRLVCADIADISMKQCQQRYEDMRCRRDNEH IFSAEFITADCSKELLVEKFRDPEMYFDVCSCQFACHYSFESQVQADTMLRNACGRLNPG GYFIGTTPNSFELIRRLEASETESFGNEIYTVKFQKKGNYPLFGCKYDFNLEGVVDVPEF LVYFPLLTEMAKKYNMKLIYKKTFLEFYEEKIKNNENKMLLKRMQALEQYPAHENSKLAS EKVGDYTHAAEYLKKSQVRLPLGTLSKSEWEATSIYLVFAFEKQQ >ENSMUSP00000122741.1 pep:known chromosome:GRCm38:18:68300392:68309417:1 gene:ENSMUSG00000009535.13 transcript:ENSMUST00000131075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnmt description:RNA (guanine-7-) methyltransferase [Source:MGI Symbol;Acc:MGI:1915147] MEGSAKASVASDPESPPGGNEPAAASGQRLPENTPPCQQVDQPKMQKEFGEDLVEQNSSY VQDSPSKKRKLDVEIILEEKHSEDDGGSAKRSKLERGDVSEDEPSLGRLNQTKRKLQPQD DEVPQKLQKLEEGHSSAVAAHYNELQEVGLAKRSQSRIFYLRNFNNWIKSILIGEILEKV RQRKTRDITVLDLGCGKGGDLLKWR >ENSMUSP00000025427.7 pep:known chromosome:GRCm38:18:68300400:68321906:1 gene:ENSMUSG00000009535.13 transcript:ENSMUST00000025427.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnmt description:RNA (guanine-7-) methyltransferase [Source:MGI Symbol;Acc:MGI:1915147] MEGSAKASVASDPESPPGGNEPAAASGQRLPENTPPCQQVDQPKMQKEFGEDLVEQNSSY VQDSPSKKRKLDVEIILEEKHSEDDGGSAKRSKLERGDVSEDEPSLGRLNQTKRKLQPQD DEVPQKLQKLEEGHSSAVAAHYNELQEVGLAKRSQSRIFYLRNFNNWIKSILIGEILEKV RQRKTRDITVLDLGCGKGGDLLKWRKGRISRLVCADIADISMKQCQQRYEDMRCRRDNEH IFSAEFITADCSKELLVEKFRDPEMYFDVCSCQFACHYSFESQVQADTMLRNACGRLNPG GYFIGTTPNSFELIMAKKYNMKLIYKKTFLEFYEEKIKNNENKMLLKRMQALEQYPAHEN SKLASEKVGDYTHAAEYLKKSQVRLPLGTLSKSEWEATSIYLVFAFEKQQ >ENSMUSP00000123500.1 pep:known chromosome:GRCm38:18:68300422:68311682:1 gene:ENSMUSG00000009535.13 transcript:ENSMUST00000139111.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnmt description:RNA (guanine-7-) methyltransferase [Source:MGI Symbol;Acc:MGI:1915147] MEGSAKASVASDPESPPGGNEPAAASGQRLPENTPPCQQVDQPKMQKEFGEDLVEQNSSY VQDSPSKKRKLDVEIILEEKHSEDDGGSAKRSKLERGDVSEDEPSLGRLNQTKRKLQPQD DEVPQKLQKLEEGHSSAVAAHYNELQEVGLAKRSQSRIFYLRNFNNWIKSILIGEILEKV RQRKTRDITVLDLGCGKGGDLLKWRKGRISRLVCADIADISMKQCQQRYEDMRCRRDNEH >ENSMUSP00000117459.1 pep:known chromosome:GRCm38:18:68304997:68306194:1 gene:ENSMUSG00000009535.13 transcript:ENSMUST00000129849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnmt description:RNA (guanine-7-) methyltransferase [Source:MGI Symbol;Acc:MGI:1915147] MEGSAKASVASDPESPPGGNEPAAASGQRLPENTPPCQQVDQPKMQKEFGEDLVEQNSSY VQDSPSKKRKLDVEIILEEKHSEDDGGSAKRSKLERGDVSEDEPSLGRLNQTKRKLQPQD DEVP >ENSMUSP00000082882.2 pep:known chromosome:GRCm38:5:143216316:143225882:-1 gene:ENSMUSG00000039296.15 transcript:ENSMUST00000085733.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdye4a description:speedy/RINGO cell cycle regulator family, member E4A [Source:MGI Symbol;Acc:MGI:1921923] MGEGTPGVDSARVQEEGGRDQSLGFVEGRIQVGRIVTAGQLSLCSEEQSPQPGITRPSPG VVVDGESSGLAEPRVEATPQPPSSIQKRKRDESLDSEDDLAELFEPDPQPVWSVEMLCGL RMRLKRRRVSTVRPEHHKVFTKLLEDPVVKKFLTWDKMLRVSDKYLLSMVIAYFSRAGLF SWQYRPIHFFLALYLANDMEEDNQAPKQDIFYFLYGKSYAQRPMFHKLRFQFIRSMGWKI WVSREECEEIQAYNPDLWVWARDRTNLT >ENSMUSP00000142788.1 pep:known chromosome:GRCm38:5:143216590:143226864:-1 gene:ENSMUSG00000039296.15 transcript:ENSMUST00000195900.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdye4a description:speedy/RINGO cell cycle regulator family, member E4A [Source:MGI Symbol;Acc:MGI:1921923] MDASEISSQSGEQCPQLSTTIASPVMDGAIPALSEPRVEATPQPPSSIQKRKRDESLDSE DDLAELFEPDPQPVWSVEMLCGLRMRLKRRRVSTVRPEHHKVFTKLLEDPVVKKFLTWDK MLRVSDKYLLSMVIAYFSRAGLFSWQYRPIHFFLALYLANDMEEDNQAPKQDIFYFLYGK SYAQRPMFHKLRFQFIRSMGWKIWVSREECEEIQAYNPDLWVWARDRTNLT >ENSMUSP00000123959.1 pep:known chromosome:GRCm38:5:143216698:143226890:-1 gene:ENSMUSG00000039296.15 transcript:ENSMUST00000160502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdye4a description:speedy/RINGO cell cycle regulator family, member E4A [Source:MGI Symbol;Acc:MGI:1921923] MDASEISSQSGEQCPQLSTTIASPVMDGAIPALSEPRVEATPQPPSSIQKRKRDESLDSE DDLAELFEPDPQPVWSVEMLCGLRMRLKRRRVSTVRPEHHKVFTKLLEDPVVKKFLTWDK MLRVSDKYLLSMVIAYFSRAGLFSWQYRPIHFFLALSRLTIQTFGCGHEIAPT >ENSMUSP00000099502.3 pep:known chromosome:GRCm38:11:82900768:82908411:-1 gene:ENSMUSG00000020692.14 transcript:ENSMUST00000103213.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nle1 description:notchless homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2429770] MAAAVVEEAAAGDVQRLLVQFQDEGGQLLGSPFDVPVDITPDKLQLVCNALLAQEEPLPL AFYVHDAEIVSSLGKTLESQSVETEKIVDIIYQPQAVFRVRAVTRCTSSLEGHSEAVISV AFSPTGKYLASGSGDTTVRFWDLSTETPHFTCKGHRHWVLSISWSPDGKKLASGCKNGQV LLWDPSTGLQVGRTLTGHSKWITGLSWEPLHMNPECRYVASSSKDGSVRVWDTTAGRCER ILTGHTQSVTCLRWGGDGLLYSASQDRTIKVWRAHDGVLCRTLQGHGHWVNTMALSTDYA LRTGAFEPAEATVNAQDLQGSLKELKERASSRYNLVRGQGPERLVSGSDDFTLFLWSPAE DKKPLARMTGHQALINQVLFSPDSRIVASASFDKSIKLWDGRTGKYLASLRGHVAAVYQI AWSADSRLLVSGSSDSTLKVWDVKAQKLATDLPGHADEVYAVDWSPDGQRVASGGKDKCL RIWRR >ENSMUSP00000128341.1 pep:known chromosome:GRCm38:11:82900780:82904289:-1 gene:ENSMUSG00000020692.14 transcript:ENSMUST00000167196.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nle1 description:notchless homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2429770] XFEPAEATVNAQDLQGSLKELKERASSRYNLVRQRTRSPSPG >ENSMUSP00000130605.1 pep:known chromosome:GRCm38:11:82904792:82907687:-1 gene:ENSMUSG00000020692.14 transcript:ENSMUST00000126202.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nle1 description:notchless homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2429770] XETEKIVDIIYQPQAVFRVRAVTRCTSSLEGHSEAVISVAFSPTGKEWRVCSQKMVG >ENSMUSP00000062214.6 pep:known chromosome:GRCm38:7:30493622:30513402:1 gene:ENSMUSG00000036892.12 transcript:ENSMUST00000058280.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prodh2 description:proline dehydrogenase (oxidase) 2 [Source:MGI Symbol;Acc:MGI:1929093] MIWTRLPLYGPSKPSTGGWQPLRFDGGAFHVKGTAELARALLVLRLCAWPPLVTHGLAFQ AWSQRLLGSRLSGALLRASIYGQFVAGETAEEVRNCVGQLQALGLQPLLAVPTEEEPDST AKTSEVWYEENLSAMLRCVDLSRALVDAHGPARNSLMQLKVTALASTRLCKELSAWIQRP RGSSELSPERLAEAMDSGRNLQLSCLSTEQNQHLQASLSRLHRVAQHARAKCVRLLVDAE YTFINPALSLLVAALAVRWNSPEEGGPWVWNTYQAYLKDTHQRLEQDAEAAHKAGLAFGV KLVRGAYLDKERSMTQLQGKEDCTQPDYEATSRSYSRCLELMLRCVSNHGPPCHLMVASH NEESVRQATKRMWELGIPLDGPVCFGQLLGMCDHVSLALGQAGYMVYKSIPYGCLEEVIP YLIRRAQENRSVLQGARREQALLSQELWRRLLGRTA >ENSMUSP00000122546.1 pep:known chromosome:GRCm38:7:30493658:30506443:1 gene:ENSMUSG00000036892.12 transcript:ENSMUST00000133318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prodh2 description:proline dehydrogenase (oxidase) 2 [Source:MGI Symbol;Acc:MGI:1929093] MIWTRLPLYGPSKPSTGGWQPLRFDGGAFHVKGTAELARALLVLRLCAWPPLVTHGLAAW SQRLLGSRLSGALLRASIYGQFVAGETAEEVRNCVGQLQALGLQPLLAVPTEEEPDSTAK TSEVWYEENLSAMLRCVDLSRALVDAHGPARNSLMQLKVTALASTRLCKELSAWIQRPRG SSELSPERLAEAMDSGRNLQLSCLSTEQNQHLQASLSRLHRVAQHARAKCVRLLVDAEYT FINPAL >ENSMUSP00000114778.1 pep:known chromosome:GRCm38:7:30493659:30506671:1 gene:ENSMUSG00000036892.12 transcript:ENSMUST00000142575.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prodh2 description:proline dehydrogenase (oxidase) 2 [Source:MGI Symbol;Acc:MGI:1929093] MIWTRLPLYGPSKPSTVSGLVSATPGIPALRRTSSSIHLRAVCGRGDSRGSEELCRAAAG PGTPAPVGSTHRGGTRLHCQDQVSRERKGRREDGVGRKGALEADLHWPGSEVWYEENLSA MLRCVDLSRALVDAHGPARNSLMQLKVTALASTRLCKELSAWIQRPRGSSELSPERLAEA MDSGRNLQLSCLSTEQNQHLQASLSRLHRVAQHARAKCVRLLVDAEYTFINPALSLLVAA LAVRWNSPEEGGPWVWNTYQAYLKDTHQRLEQDAEAAHKAGLAF >ENSMUSP00000117480.1 pep:known chromosome:GRCm38:7:30493681:30506532:1 gene:ENSMUSG00000036892.12 transcript:ENSMUST00000130839.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prodh2 description:proline dehydrogenase (oxidase) 2 [Source:MGI Symbol;Acc:MGI:1929093] MIWTRLPLYGPSKPSTGGWQPLRFDGGAFHVKGTAELARALLVLRLCAWPPLVTHGLAFQ AWSQRLLGSRLSGALLRASIYGQFVAGETAEEVRNCVGQLQALGLQPLLAVPTEEEPDST AKTSQEQPHAAEGDRASQHSAL >ENSMUSP00000116662.1 pep:known chromosome:GRCm38:7:30493780:30506739:1 gene:ENSMUSG00000036892.12 transcript:ENSMUST00000131040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prodh2 description:proline dehydrogenase (oxidase) 2 [Source:MGI Symbol;Acc:MGI:1929093] GTAELARALLVLRLCAWPPLVTHGLAFQAWSQRLLGSRLSGALLRASIYGQFVAGETAEE VRNCVGQLQALGLQPLLAVPTEEEPDSTAKTRNSLMQLKVTALASTRLCKELSAWIQRPR GSSELSPERLAEAMDSGRNLQLSCLSTEQNQHLQASLSRLHRVAQHARAKCVRLLVDAEY TFINPALSLLVAALAVRWNSPEEGGPWVWNTYQAYLKDTHQRLEQDAEAAHKAGLAFGVK LVRGAYLDKERSMTQLQGKE >ENSMUSP00000114278.1 pep:known chromosome:GRCm38:7:30506771:30513038:1 gene:ENSMUSG00000036892.12 transcript:ENSMUST00000122876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prodh2 description:proline dehydrogenase (oxidase) 2 [Source:MGI Symbol;Acc:MGI:1929093] XRSYSRCLELMLRCVSNHGPPCHLMVASHNEESVRQATKRMWELGIPLDGPVCFGQLLGM CDHVSLALVHCSCLQILQKMASDFVTNGCEPPCGCWDLNSGPLEEQSALLTTEPSHQPPT EGRLDIWCTSLFPMAAWRR >ENSMUSP00000030117.4 pep:known chromosome:GRCm38:4:40736542:40757923:-1 gene:ENSMUSG00000028409.11 transcript:ENSMUST00000030117.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smu1 description:smu-1 suppressor of mec-8 and unc-52 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1915546] MSIEIESSDVIRLIMQYLKENSLHRALATLQEETTVSLNTVDSIESFVADINSGHWDTVL QAIQSLKLPDKTLIDLYEQVVLELIELRELGAARSLLRQTDPMIMLKQTQPERYIHLENL LARSYFDPREAYPDGSSKEKRRAAIAQALAGEVSVVPPSRLMALLGQALKWQQHQGLLPP GMTIDLFRGKAAVKDVEEEKFPTQLSRHIKFGQKSHVECARFSPDGQYLVTGSVDGFIEV WNFTTGKIRKDLKYQAQDNFMMMDDAVLCMCFSRDTEMLATGAQDGKIKVWKIQSGQCLR RFERAHSKGVTCLSFSKDSSQILSASFDQTIRIHGLKSGKTLKEFRGHSSFVNEATFTQD GHYIISASSDGTVKIWNMKTTECSNTFKSLGSTAGTDITVNSVILLPKNPEHFVVCNRSN TVVIMNMQGQIVRSFSSGKREGGDFVCCALSPRGEWIYCVGEDFVLYCFSTVTGKLERTL TVHEKDVIGIAHHPHQNLIATYSEDGLLKLWKP >ENSMUSP00000119206.1 pep:known chromosome:GRCm38:9:35421128:35455365:1 gene:ENSMUSG00000038119.14 transcript:ENSMUST00000151682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdon description:cell adhesion molecule-related/down-regulated by oncogenes [Source:MGI Symbol;Acc:MGI:1926387] MHPDLGPLWTLLYVLVILCSSVSSDLAPYFISEPLSAVQKLGRPVVLHCSAKPVTARISW LHNGKRLDRNTEQIKIHRGTLTILSLNPSLSGCYQCVANNSVGAVVSGPATVSAAALGDF DSSTMHVITAEEKNTGFIGCRVPESNPKAEVRYKIRGKWLKHSTGNYIILPSGNLQVL >ENSMUSP00000116553.1 pep:known chromosome:GRCm38:9:35421554:35455340:1 gene:ENSMUSG00000038119.14 transcript:ENSMUST00000137200.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdon description:cell adhesion molecule-related/down-regulated by oncogenes [Source:MGI Symbol;Acc:MGI:1926387] MHPDLGPLWTLLYVLVILCSSVSSVRCQQQRWCRCERPRNSVRCSPG >ENSMUSP00000113977.1 pep:known chromosome:GRCm38:9:35421597:35507652:1 gene:ENSMUSG00000038119.14 transcript:ENSMUST00000119129.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdon description:cell adhesion molecule-related/down-regulated by oncogenes [Source:MGI Symbol;Acc:MGI:1926387] MHPDLGPLWTLLYVLVILCSSVSSDLAPYFISEPLSAVQKLGRPVVLHCSAKPVTARISW LHNGKRLDRNTEQIKIHRGTLTILSLNPSLSGCYQCVANNSVGAVVSGPATVSAAALGDF DSSTMHVITAEEKNTGFIGCRVPESNPKAEVRYKIRGKWLKHSTGNYIILPSGNLQVLNV SSKDKGSYKCAAYNPVTSELKVEPTGRKLLVSRPSSNGFHILHPALSQALAVLPHSPVTL ECVVSGVPASQVYWLKDGQDAVAGSNWRRLYSHLATASIDPADSGNYSCVVGNKSGDVKH VTYMVNVLEHASISKGLHDQKVSLGATVHFTCDVHGNPAPNRTWFHNAQPIHPSSRHLTE GNVLKITRVVMEDSGLYQCVADNGIGFMQSTGRLQIEQDSGWKPVIVTAPANIEVMDGDF VTLSCNATGVPVPVIHWYGRHGLITSHPSQVLRSKPRKSHLFRPGDLDLEPVYLIMSQAG SSSLSIQAVTLEHAGKYTCEATNKHGSTQSEAFLTVVPFETNTKAESVTPSEASQNDERD PQDGSESSLLNLFPVKVHPSGVELPAERNASVPDAPNILSPPQTHMPDTYNLVWRAGRDG GMPINAYFVKYRKLDDGSGAVGSWHTVRVPGSENELHLTELEPSSLYEVLMVARSAVGEG QPAMLTFRTSKEKMASSKNTQASFPPVGVPKRPVTAEASNSNFGVVLTDSSRHSGVPEAP DRPTISMASETSVYVTWIPRANGGSPITAFKVEYKRMRTSDWLVAAEDIPPSKLSVEVRS LEPGSIYKFRVIAINHYGESFRSSASRPYQVAGFPNRFSNRPITGPHIAYTEAVSDTQIM LKWTYVPSSNNNTPIQGFYIYYRPTDSDNDSDYKRDVVEGSKQWHTIGHLQPETSYDIKM QCFNEGGESEFSNVMICETKVKRVPGASDYPVKELSTPPSSSGNAGNVGPATSPARSSDM LYLIVGCVLGVMVLILMVFIALCLWKSRQQSTIQKYDPPGYLYQGSEINGQMVEYTTLSG AARINGSVHGGFLSNGCSHLHHKGPSGVNGTLSGNINGGLYSAHTNSLTRACVEFEHPHH LVNSGGVYTAVPQMDPLECINCRNCRNNNRCFTKTNSPLPVVPVVASYPQGGLEMKPLNA MKVPVCPASTVPDHGQLPDDCVKDSVAPIPTQHTCCQDNISDINSDSTEDTAEFSRGDSS GHSEAEDKVFSWNPLILSPVLEDCGEKTARSPPGPPLDGLSVVLQQAQET >ENSMUSP00000117499.1 pep:known chromosome:GRCm38:9:35423588:35471155:1 gene:ENSMUSG00000038119.14 transcript:ENSMUST00000154652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdon description:cell adhesion molecule-related/down-regulated by oncogenes [Source:MGI Symbol;Acc:MGI:1926387] MHPDLGPLWTLLYVLVILCSSVSSDLAPYFISEPLSAVQKLGRPVVLHCSAKPVTARISW LHNGKRLDRNTEQIKIHRGTLTILSLNPSLSGCYQCVANNSVGAVVSGPATVSAAALGDF DSSTMHVITAEEKNTGFIGCRVPESNPKAEVRYKIRGKWLKHSTGNYIILPSGNLQVLNV SSKDKGSYKCAAYNPVTSELKVEPTGRKLLVSRPSSNGFHILHPALSQALAVLPHSPVTL ECVVSGVPASQVYWLKDGQDAVAGSNWRRLYSHLATASIDPADSGNYSCVVGNKSGDVKH VTYMVNVLEHASISKGLHDQKVSLGATVHFTCDVHGNPAPNRTWFHNAQPIHPSSRHLTE GNVLKITRVVMEDSGLYQCVADNGIGFMQSTGRLQIEQDSGWKPVIVTAPANIEVMDGDF VTLSCNATGVPVPVIHWYGRHGLITSHPSQVLRSKPRKSHLFRPGDLDLEPVYLIMSQAG SSSLSIQAVTLEHAGKYTCEATNKHGSTQSEAFLTVVPFETNTKAESVTPSEASQNDERD PQDGSESSLLNLFPVKVHPSGVELPAERNASVPDAPNILSPPQTHMPDTYNLVWRAGRDG GMPINAYFVKYRKLDDGSGAVGSWHTVRVPGSENELHLTELEPSSLYEVLMVARSAVGEG QPAMLTFRTS >ENSMUSP00000115216.1 pep:known chromosome:GRCm38:9:35457478:35470085:1 gene:ENSMUSG00000038119.14 transcript:ENSMUST00000127264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdon description:cell adhesion molecule-related/down-regulated by oncogenes [Source:MGI Symbol;Acc:MGI:1926387] XNPAPNRTWFHNAQPIHPSSRHLTEGNVLKITRVVMEDSGLYQCVADNGIGFMQSTGRLQ IEQDSGWKPVIVTAPANIEVMDGDFVTLSCNATGVPVPVIHWYGRHGLITSHPSQAVTLE HAGKYTCEATNKHGSTQSEAFLTVVPFETNTKAESVTPSEASQNDERDPQDGSESSLLNL FPVKVHPSGVELPAERNASVPDAPNILSPPQTHMPDTY >ENSMUSP00000045547.5 pep:known chromosome:GRCm38:9:35452076:35507651:1 gene:ENSMUSG00000038119.14 transcript:ENSMUST00000042842.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdon description:cell adhesion molecule-related/down-regulated by oncogenes [Source:MGI Symbol;Acc:MGI:1926387] MHPDLGPLWTLLYVLVILCSSVSSDLAPYFISEPLSAVQKLGRPVVLHCSAKPVTARISW LHNGKRLDRNTEQIKIHRGTLTILSLNPSLSGCYQCVANNSVGAVVSGPATVSAAALGDF DSSTMHVITAEEKNTGFIGCRVPESNPKAEVRYKIRGKWLKHSTGNYIILPSGNLQVLNV SSKDKGSYKCAAYNPVTSELKVEPTGRKLLVSRPSSNGFHILHPALSQALAVLPHSPVTL ECVVSGVPASQVYWLKDGQDAVAGSNWRRLYSHLATASIDPADSGNYSCVVGNKSGDVKH VTYMVNVLEHASISKGLHDQKVSLGATVHFTCDVHGNPAPNRTWFHNAQPIHPSSRHLTE GNVLKITRVVMEDSGLYQCVADNGIGFMQSTGRLQIEQDSGWKPVIVTAPANIEVMDGDF VTLSCNATGVPVPVIHWYGRHGLITSHPSQVLRSKPRKSHLFRPGDLDLEPVYLIMSQAG SSSLSIQAVTLEHAGKYTCEATNKHGSTQSEAFLTVVPFETNTKAESVTPSEASQNDERD PQDGSESSLLNLFPVKVHPSGVELPAERNASVPDAPNILSPPQTHMPDTYNLVWRAGRDG GMPINAYFVKYRKLDDGSGAVGSWHTVRVPGSENELHLTELEPSSLYEVLMVARSAVGEG QPAMLTFRTSKEKMASSKNTQASFPPVGVPKRPVTAEASNSNFGVVLTDSSRHSGVPEAP DRPTISMASETSVYVTWIPRANGGSPITAFKVEYKRMRTSDWLVAAEDIPPSKLSVEVRS LEPGSIYKFRVIAINHYGESFRSSASRPYQVAGFPNRFSNRPITGPHIAYTEAVSDTQIM LKWTYVPSSNNNTPIQGFYIYYRPTDSDNDSDYKRDVVEGSKQWHTIGHLQPETSYDIKM QCFNEGGESEFSNVMICETKVKRVPGASDYPVKELSTPPSSSGNAGNVGPATSPARSSDM LYLIVGCVLGVMVLILMVFIALCLWKSRQQSTIQKYDPPGYLYQGSEINGQMVEYTTLSG AARINGSVHGGFLSNGCSHLHHKGPSGVNGTLSGNINGGLYSAHTNSLTRACVEFEHPHH LVNSGGVYTAVPQMDPLECINCRNCRNNNRCFTKTNSPLPVVPVVASYPQGGLEMKPLNA MKVPVCPASTVPDHGQLPDDCVKDSVAPIPTQHTCCQDNISDINSDSTEDTAEFSRGDSS GHSEAEDKVFSWNPLILSPVLEDCGEKTARSPPGPPLDGLSVVLQQAQET >ENSMUSP00000023029.8 pep:known chromosome:GRCm38:15:81663889:81688315:1 gene:ENSMUSG00000022394.14 transcript:ENSMUST00000023029.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl2 description:l(3)mbt-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2443584] MEKPRGTEEAPSSEPMEEEEEDDLDLFGGYDSFRSYNSSAGSESSSYLEESSEAENEDRE AGELPTSPLHLFSSANNRSLDGSGSEPAVCEMCGIVGTREAFFSKTKRFCSVSCSRSYSS NSKKASILARLQGKPPTKKAKVLHKAAWSAKIGAFLHAQGTGQLADGTPTGQDALVLGFD WGKFLKDHSYKAAPVSCFKHVPLYDQWEDVMKGMKVEVLNSDAVLPSRVYWIATVIQAAG YRVLLRYEGFENDASHDFWCNLGTVDVHPIGWCAINSKILVPPRTIHAKFTDWKSYLMKR LVGSRTLPADFHIKMVESMKYPFRQGMRLEVVDKTQVSRTRMAVVDTVIGGRLRLLYEDG DSDDDFWCHMWSPLIHPVGWSRRVGHGIKMSDRRCDMSHHPTFRKIYCDAVPYLFKKVRA VYTEGGWFEEGMKLEAIDPLNLGSICVATICKVLLDGYLMICVDGGPSTDGSDWFCYHAS SHAIFPATFCQKNDIELTPPKGYETQPFAWETYLEKTKSKAAPARLFNMDCPNHGFKVGM KLEAVDLMEPRLICVATVKRVVHRLLSIHFDGWDNEYDQWVDCESPDIYPVGWCELTGYQ LQPPVSAEPNTPQKGKDTTKKKKKQFGKKRKRIPSAKTRPLRQGSKKPLLEDNLEALGVS EPVPDDIIAVCVKEEHQDISSLDRSPSPQLPLPIESIKQERNN >ENSMUSP00000133967.1 pep:known chromosome:GRCm38:15:81663934:81688305:1 gene:ENSMUSG00000022394.14 transcript:ENSMUST00000174229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl2 description:l(3)mbt-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2443584] MEKPRGTEEAPSSEPMEEEEEDDLDLFGGYDSFRSYNSSAGSESSSYLEESSEAENEDRE AGELPTSPLHLFSSANNRSLDGSGSEPAVCEMCGIVGTREAFFSKTKRFCSVSCSRSYSS NSKKASILARLQGKPPTKKAKVLHKAAWSAKIGAFLHAQGTGQLADGTPTGQDALVLGFD WGKFLKDHSYKAAPVSCFKHVPLYDQWEDVMKGMKVEVLNSDAVLPSRVYWIATVIQAAG YRVLLRYEGFENDASHDFWCNLGTVDVHPIGWCAINSKILVPPRTIHAKFTDWKSYLMKR LVGSRTLPADFHIKMVESMKYPFRQGMRLEVVDKTQVSRTRMAVVDTVIGGRLRLLYEDG DSDDDFWCHMWSPLIHPVGWSRRVGHGIKMSDRRCDMSHHPTFRKIYCDAVPYLFKKVRA VYTEGGWFEEGMKLEAIDPLNLGSICVATICKVLLDGYLMICVDGGPSTDGSDWFCYHAS SHAIFPATFCQKNDIELTPPKGYETQPFAWETYLEKTKSKAAPARLFNMDCPNHGFKVGM KLEAVDLMEPRLICVATVKRVVHRLLSIHFDGWDNEYDQWVDCESPDIYPVGWCELTGYQ LQPPVSAEPNTPQKGKDTTKKKKKQFGKKRKRIPSAKTRPLRQGSKKPLLEDNLEALGVS EPVPDDIIAVCVKEEHQDISSLDSLAWPSRIILNSPGAPAVPLCLTGIWTEAVRPVAPYG CGCAVWPSLGLRQSSLVHP >ENSMUSP00000134333.1 pep:known chromosome:GRCm38:15:81663956:81688315:1 gene:ENSMUSG00000022394.14 transcript:ENSMUST00000172748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl2 description:l(3)mbt-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2443584] MEKPRGTEEAPSSEPMEEEEEDDLDLFGGYDSFRSYNSSAGSESSSYLEESSEAENEDRE AGELPTSPLHLFSSANNRSLDGSGSEPAVCEMCGIVGTREAFFSKTKRFCSVSCSRSYSS NSKKASILARLQGKPPTKKAKVLHKAAWSAKIGAFLHAQGTGQLADGTPTGQDALVLGFD WGKFLKDHSYKAAPVSCFKHVPLYDQWEDVMKGMKVEVLNSDAVLPSRVYWIATVIQAAG YRVLLRYEGFENDASHDFWCNLGTVDVHPIGWCAINSKILVPPRTIHAKFTDWKSYLMKR LVGSRTLPADFHIKMVESMKYPFRQGMRLEVVDKTQVSRTRMAVVDTVIGGRLRLLYEDG DSDDDFWCHMWSPLIHPVGWSRRVGHGIKMSDRRCDMSHHPTFRKIYCDAVPYLFKKVRA VYTEGGWFEEGMKLEAIDPLNLGSICVATICKVLLDGYLMICVDGGPSTDGSDWFCYHAS SHAIFPATFCQKNDIELTPPKGYETQPFAWETYLEKTKSKAAPARLFNMDCPNHGFKVGM KLEAVDLMEPRLICVATVKRVVHRLLSIHFDGWDNEYDQWVDCESPDIYPVGWCELTGYQ LQPPVSAEPNTPQKGKDTTKKKKKQFGKKRKRIPSAKTRPLRQGSKKPLLEDNLEALGVS EPVPDDSRPVHSCLFPLRASSRRGTTETSLASAWTLTEAKHGAERRPGFTAVQ >ENSMUSP00000133549.1 pep:known chromosome:GRCm38:15:81675522:81677294:1 gene:ENSMUSG00000022394.14 transcript:ENSMUST00000174497.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl2 description:l(3)mbt-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2443584] XYDQWEDVMKGMKVEVLNSDAVLPSRVYWIATVIQAAGYRVLLRYEGFENDASHDFWCNL GTVDVHPIGWCAINSKILVPPRTIHAKFTDWKSYLMKRLVGSRTLPADFHIKVWLGSQLI PSLPPSSHFHRSVFPSFI >ENSMUSP00000096497.4 pep:known chromosome:GRCm38:8:21134642:21135598:1 gene:ENSMUSG00000074444.4 transcript:ENSMUST00000098898.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15284 description:predicted gene 15284 [Source:MGI Symbol;Acc:MGI:3808881] MKTLILLSALVLLAFQVQADPIQNTDEETKTEEQPGEEDQAVSVSFGDPEGSSLQEESLR DLVCYCRARGCKGRERMNGTCSKGHLMYMLCCR >ENSMUSP00000115989.1 pep:known chromosome:GRCm38:13:15463235:15715091:1 gene:ENSMUSG00000021318.15 transcript:ENSMUST00000130065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gli3 description:GLI-Kruppel family member GLI3 [Source:MGI Symbol;Acc:MGI:95729] MEAQAHSSTATERKKAENSIGKCPTRTDVSEKAVASSTTSNEDESPGQIYHRERRNAITM QPQSVQGLNKISEEPSTSSDERASLIKKEIHGSLPHLAEPSLPYRGTVFAMDPRNGYMEP HYHPPHLFPAFHPPVPIDARHHEGRYHYDPSPIPPLHVPSALSSSPTYPDLPFIRISPHR NPTAASESPFSPPHPYINPYMDYIRSLHSSPSLSMISAARGLSPTDAPHAGVSPAEYYHQ MALLTGQRSPYADILPSAATAGAGAIHMEYLHAMDSTRFPSPRLSARPSRKRTLSISPLS DHSFDLQTMIRTSPNSLVTILNNSRSSSSASGSYGHLSASAISPALSFTYPSAPVSLHMH QQILSRQQSLGSAFGHSPPLIHPAPTFPTQRPIPGIPTVLNPVQVSSGPSESSQSKPTSE SAVSSTGDPMHNKRSKIKPDEDLPSPGSRGQQEQPEGTTLVKEEADKDESKQEPEVIYET NCHWEGCTREFDTQDQLVHHINNDHIHGEKKEFVCRWLDCSREQKPFKAQYMLVVHMRRH TGEKPHKCTFEGCTKAYSRLENLKTHLRSHTGEKPYVCEHEGCNKAFSNASDRAKH >ENSMUSP00000106137.3 pep:known chromosome:GRCm38:13:15463980:15730026:1 gene:ENSMUSG00000021318.15 transcript:ENSMUST00000110510.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gli3 description:GLI-Kruppel family member GLI3 [Source:MGI Symbol;Acc:MGI:95729] MEAQAHSSTATERKKAENSIGKCPTRTDVSEKAVASSTTSNEDESPGQIYHRERRNAITM QPQSVQGLNKISEEPSTSSDERASLIKKEIHGSLPHLAEPSLPYRGTVFAMDPRNGYMEP HYHPPHLFPAFHPPVPIDARHHEGRYHYDPSPIPPLHVPSALSSSPTYPDLPFIRISPHR NPTAASESPFSPPHPYINPYMDYIRSLHSSPSLSMISAARGLSPTDAPHAGVSPAEYYHQ MALLTGQRSPYADILPSAATAGAGAIHMEYLHAMDSTRFPSPRLSARPSRKRTLSISPLS DHSFDLQTMIRTSPNSLVTILNNSRSSSSASGSYGHLSASAISPALSFTYPSAPVSLHMH QQILSRQQSLGSAFGHSPPLIHPAPTFPTQRPIPGIPTVLNPVQVSSGPSESSQSKPTSE SAVSSTGDPMHNKRSKIKPDEDLPSPGSRGQQEQPEGTTLVKEEADKDESKQEPEVIYET NCHWEGCTREFDTQDQLVHHINNDHIHGEKKEFVCRWLDCSREQKPFKAQYMLVVHMRRH TGEKPHKCTFEGCTKAYSRLENLKTHLRSHTGEKPYVCEHEGCNKAFSNASDRAKHQNRT HSNEKPYVCKIPGCTKRYTDPSSLRKHVKTVHGPEAHVTKKQRGDMHPRPPPPRDSGSHS QSRSPGRPTQGAFGEQKELSNTTSKREECLQVKTVKAEKPMTSQPSPGGQSSCSSQQSPI SNYSNSGLELPLTDGGSVADLSAIDETPIMDSTISTATTALALQARRNPAGTKWMEHIKL ERLKQVNGMFPRLNPILPSKAPAVSPLIGNGTQSNNNYSSGGPGTLLPSRSDLSGVDFTV LNTLNRRDSNTSTISSAYLSSRRSSGISPCFSSRRSSEASQAEGRPQNVSVADSYDPIST DASRRSSEASQGDGLPSLLSLTPVQQYRLKAKYAAATGGPPPTPLPHMERLSLKTKMALL GEGRDSGVTLPPVHPPRRCSDGGGHTYRGRHLMPHDALANSVRRASDPVRTVSENMSLAR VQRFSSLNSFNPPNLPPSVEKRSLVLQNYTRQESSQPRYFQASPCPPSITENVALEALTM DADANLNDEDLLPDDVVQYLNSQNQTGYGQQLQSGISEDSKVAHEPEDLDLAGLPDSHVG QEYPALEQPCSEGSKTDLPIQWNEVSSGTSDLSSSKLKCGQQRPSAQQPRGFGLYNNMVV HPHNLWKVGTGPAGGYQTLGENSSTYNGPEHFAIHSGDGLGTNGNTFHEQPFKTQQYGSQ LNRQPLTSSALDHACGTGIQGSKLKGNSLQENGGLLDFSLSVAPNELAGNTVNGMQTQDQ MGQGYIAHQLLSGSMQHQGPSRPGQQVLGQVGATSHINIYQGTESCLPGTQDNSSQPSSM AAIRGYQPCASYGGNRRQAMPRGNLTLQQGQLSDMSQSSRVNSIKMEAQGQSQQLCSTVQ NYSGQFYDQTMGFSQQDRKAGSFSLSDANCLLQGNGTENSELLSPGANQVTSTVDSFESH DLEGVQIDFDAIIDDGDHTSLMSGALSPSIIQNLSHSSSRLTTPRASLPFPSLSMGTTNM AIGDMSSLLTSLAEESKFLAVMQ >ENSMUSP00000129666.1 pep:known chromosome:GRCm38:11:101260567:101277409:1 gene:ENSMUSG00000035112.17 transcript:ENSMUST00000139487.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wnk4 description:WNK lysine deficient protein kinase 4 [Source:MGI Symbol;Acc:MGI:1917097] MLAPRNTETGVPMSQTEADLALRPSPALTSTGPTRLGPPPRRVRRFSGKAEPRPRSSRPS RRSSVDLGLLSSWSQPASLLPEPPDPPDSAGPTRSPPSSSKEPPEGTWMGAAPVKAVDSA CPELTGSSGGPGSREPPRVPDAAARERRREQEEKEDTETQAVATSPDGRYLKFDIEIGRG SFKTVYRGLDTDTTVEVAWCELQTRKLSRAERQRFSEEVEMLKGLQHPNIVRFYDSWKSV LRGQVPEAVPRNEAPSPSALESPDSTGTSFPSFPSAPHPAPRSQV >ENSMUSP00000099397.1 pep:known chromosome:GRCm38:11:101260598:101277409:1 gene:ENSMUSG00000035112.17 transcript:ENSMUST00000103108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk4 description:WNK lysine deficient protein kinase 4 [Source:MGI Symbol;Acc:MGI:1917097] MLAPRNTETGVPMSQTEADLALRPSPALTSTGPTRLGPPPRRVRRFSGKAEPRPRSSRPS RRSSVDLGLLSSWSQPASLLPEPPDPPDSAGPTRSPPSSSKEPPEGTWMGAAPVKAVDSA CPELTGSSGGPGSREPPRVPDAAARERRREQEEKEDTETQAVATSPDGRYLKFDIEIGRG SFKTVYRGLDTDTTVEVAWCELQTRKLSRAERQRFSEEVEMLKGLQHPNIVRFYDSWKSV LRGQVCIVLVTELMTSGTLKTYLRRFREMKPRVLQRWSRQILRGLHFLHSRVPPILHRDL KCDNVFITGPSGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDEAVDVYAFGM CMLEMATSEYPYSECQNAAQIYRKVTSGTKPNSFYKVKMPEVKEIIEGCIRTDKNERFTI QDLLAHAFFREERGVHVELAEEDDGEKPGLKLWLRMEDARRGGRPRDNQAIEFLFQLGRD AAEEVAQEMVALGLVCEADYQPVARAVRERVAAIQRKREKLRKARELEVLPPDSGPPPAT VSLAPGPPSAFPPEPEEPEADQHQSFLFRHASYSSTTSDCETDGYLSSSGFLDASDPALQ PPGGLPSSPAESHLCLPSGFALSIPRSGPGSDFSPGDSYASDAASGLSDMGEGGQMRKNP VKTLRRRPRSRLRVTSVSDQSDRVVECQLQTHNSKMVTFRFDLDGDSPEEIAAAMVYNEF ILPSERDGFLSRIREIIQRVETLLKRDAGPPEAAEDALSPQEEPAALPALPGPPNAEPQR SISPEQRSWAAFSTSPSSPGTPLSPGAPFSPGTPPVFPCPIFPITSPSCYPCPFSQVSSN PYPQAPSSLLPLSSSASQVPLPSSSLPISAPLPFSPSYPQDPLSPTSLPVCPSPPSLPST TAAPLLSLASAFSLAVMTVAQSLLSPSPGLLSQSPPAPPGPLPSLPLSLASCDQESLSAQ TAETENEASRNPAQPLLGDARLAPISEEGKPQLVGRFQVTSSKEPAEPPLQPASPTLSRS LKLPSPPLTSESSDTEDSAAGGPETREALAESDRAAEGLGVAVDDEKDEGKEPLLGGSSP ILSHPSPVWMNYSYSSLCLSSEESESSGEDEEFWAELQNLRQKHLSEVEALQTLQKKEIE DLYSRLGKQPPPGIVAPAAMLSCRQRRLSKGSFPTSRRNSLQRSDLPGPGIMRRNSLSGS STGSQEQRASKGVTFAGDIGRM >ENSMUSP00000131298.1 pep:known chromosome:GRCm38:11:101260655:101277274:1 gene:ENSMUSG00000035112.17 transcript:ENSMUST00000147741.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wnk4 description:WNK lysine deficient protein kinase 4 [Source:MGI Symbol;Acc:MGI:1917097] MLAPRNTETGVPMSQTEADLALRPSPALTSTGPTRLGPPPRRVRRFSGKAEPRPRSSRPS RRSSVDLGLLSSWSQPASLLPEPPDPPDSAGPTRSPPSSSKEPPEGTWMGAAPVKAVDSA CPELTGSSGGPGSREPPRVPDAAARERRREQEEKEDTETQAVATSPDGRYLKFDIEIGRG SFKTVYRGLDTDTTVEVAWCELQTRKLSRAERQRFSEEVEMLKGLQHPNIVRFYDSWKSV LRGQVCIVLVTELMTSGTLKTYLRRFREMKPRVLQRWSRQILRGLHFLHSRVPPILHRDL KCDNVFITGPSGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDEAVDVYAFGM CMLEMATSEYPYSECQNAAQIYRKVTSVHHPGSPGPCVLPRGTRCARGAGRGR >ENSMUSP00000132123.1 pep:known chromosome:GRCm38:11:101265308:101274129:1 gene:ENSMUSG00000035112.17 transcript:ENSMUST00000170056.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wnk4 description:WNK lysine deficient protein kinase 4 [Source:MGI Symbol;Acc:MGI:1917097] ELAEEDDGEKPGLKLWLRMEDARRGGRPRDNQAIEFLFQLGRDAAEEVAQEMVALGLVCE ADYQPVARAVRERVAAIQRKREKLRKARELEVLPPDSGPPPATVSLAPGPPSAFPPEPEE PEADQHQSFLFRHASYSSTTWFCLVYSTVWPWQ >ENSMUSP00000131954.1 pep:known chromosome:GRCm38:11:101276646:101277406:1 gene:ENSMUSG00000035112.17 transcript:ENSMUST00000170372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk4 description:WNK lysine deficient protein kinase 4 [Source:MGI Symbol;Acc:MGI:1917097] XSLQRSDLPGPGIMRRNSLSGSSTGSQEQR >ENSMUSP00000099426.3 pep:known chromosome:GRCm38:2:156420909:156543214:1 gene:ENSMUSG00000027624.17 transcript:ENSMUST00000103137.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l1 description:erythrocyte membrane protein band 4.1 like 1 [Source:MGI Symbol;Acc:MGI:103010] MTTETGPDSEVKKAQEETPQQPEAAAAVTTPVTPAGHSHPETNSNEKHLTQQDTRPAEQS LDMDDKDYSEADGLSERTTPSKAQKSPQKIAKKFKSAICRVTLLDASEYECEVEKHGRGQ VLFDLVCEHLNLLEKDYFGLTYCDADSQKNWLDPSKEIKKQIRSSPWNFAFTVKFYPPDP AQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEHVGNYVSEL RFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLHHAKDSEGIDIML GVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGFKLPNHRSA KRLWKVCIEHHTFFRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERS SSKRYTMSRSLDGAEFSRPASVSENHDAGPDGDKREDDAESGGRRSEAEEGEVRTPTKIK ELKPEQETTPRHKQEFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDWDRERRLPS SPASPSPKGTPEKASERAGLREGSEEKVKPPRPRAPESDTGDEDQDQERDAVFLKDNHLA IERKCSSITVSSTSSLEAEVDFTVIGDYHGGAFEDFSRSLPELDRDKSDSETEGLVFARD LKGPSSQEDESGGLEDSPDRGACSTPEMPQFESVKAETMTVSSLAIRKKIEPEAMLQSRV SAADSTQVDGGTPMVKDFMTTPPCITTETISTTMENSLKSGKGAAAMIPGPQTVATEIRS LSPIIGKDVLTSTYGATAETLSTSTTTHVTKTVKGGFSETRIEKRIIITGDEDVDQDQAL ALAIKEAKLQHPDMLVTKAVVYRETDPSPEERDKKPQES >ENSMUSP00000105202.1 pep:known chromosome:GRCm38:2:156421048:156543214:1 gene:ENSMUSG00000027624.17 transcript:ENSMUST00000109574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l1 description:erythrocyte membrane protein band 4.1 like 1 [Source:MGI Symbol;Acc:MGI:103010] MTTETGPDSEVKKAQEETPQQPEAAAAVTTPVTPAGHSHPETNSNEKHLTQQDTRPAEQS LDMDDKDYSEADGLSERTTPSKAQKSPQKIAKKFKSAICRVTLLDASEYECEVEKHGRGQ VLFDLVCEHLNLLEKDYFGLTYCDADSQKNWLDPSKEIKKQIRSSPWNFAFTVKFYPPDP AQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEHVGNYVSEL RFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLHHAKDSEGIDIML GVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGFKLPNHRSA KRLWKVCIEHHTFFRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERS SSKRYTMSRSLDGEFSRPASVSENHDAGPDGDKREDDAESGGRRSEAEEGEVRTPTKIKE LKFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDWDRERRLPSSPASPSPKGTPEK ASESVKAETMTVSSLAIRKKIEPEAMLQSRVSAADSTQVDGGTPMVKDFMTTPPCITTET ISTTMENSLKSGKGAAAMIPGPQTVATEIRSLSPIIGKDVLTSTYGATAETLSTSTTTHV TKTVKGGFSETRIEKRIIITGDEDVDQDQALALAIKEAKLQHPDMLVTKAVVYRETDPSP EERDKKPQES >ENSMUSP00000121161.2 pep:known chromosome:GRCm38:2:156421084:156522764:1 gene:ENSMUSG00000027624.17 transcript:ENSMUST00000125153.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l1 description:erythrocyte membrane protein band 4.1 like 1 [Source:MGI Symbol;Acc:MGI:103010] MTTETGPDSEVKKAQEETPQQPEAAAAVTTPVTPAGHSHPETNSNEKHLTQQDTRPAEQS LDMDDKDYSEADGLSERTTPSKAQKSPQKIAKKFKSAICRVTLLDASEYECEVEKHGRGQ VLFDLVCEHLNLLEKDYFGLTYCDADSQKNWLDPSKEIKKQIRSSPWNFAFTVKFYPPDP AQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEHVGNYVSEL RFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLHHAKDSEGIDIML GVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGFKLPNHRSA KRLWKVCIEHHTFFRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERS SSKRYTMSRSLDGEFSRPASVSENHDAGPDGDKREDDAESGGRRSEAEEGEVRTPTKIKE LKPEQETTPRHKQEFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDWDRERRLPSS PASPSPKGTPEKASEGSEHWVLIERVYTRPEDLGLLTVPATQREESGSSLTEILADGRLS KVDILVDKFKVEVATEETVRAQRTSTQQQGKMIASPEGSETMREEDACLRGGPRDATRAA GYTSTDKLKLRNTRVSNGQTESHVELNKGLERPQTWGRLTAAGVGPVQGEVLSPASDKGG LQSFLLDPAQTEARADSSDETDTSFAERSFCLNYGKKDSEDSLLAPSLGDREEHLDAPPG DGTWLELAGAHTENWEPKSSDPRASAPGSSQNKDEAHMASSAEEAWSPRDRGRPDDLQGS AVCQTLEEGWENTQGLEGELAYPVADVAEDEAPTSIDWMGKTEKKSPPARWKKNPPGGGG GGGGGGGGGGGGGGGGGGRVHLDAQACALLRTIPPCVRKPVRPDQASFLPKEKGAVSTHA VEPETEDREAVTPLPASSGHTEVLAATSLSPVPPRSGESSESRGFIRDQSPVILKYIHLP EESPVHKDPQGERKAPPVASKKPRVVPEGTEGPALLGLVLPSGK >ENSMUSP00000099425.1 pep:known chromosome:GRCm38:2:156421101:156543214:1 gene:ENSMUSG00000027624.17 transcript:ENSMUST00000103136.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l1 description:erythrocyte membrane protein band 4.1 like 1 [Source:MGI Symbol;Acc:MGI:103010] MTTETGPDSEVKKAQEETPQQPEAAAAVTTPVTPAGHSHPETNSNEKHLTQQDTRPAEQS LDMDDKDYSEADGLSERTTPSKAQKSPQKIAKKFKSAICRVTLLDASEYECEVEKHGRGQ VLFDLVCEHLNLLEKDYFGLTYCDADSQKNWLDPSKEIKKQIRSSPWNFAFTVKFYPPDP AQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEHVGNYVSEL RFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLHHAKDSEGIDIML GVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGFKLPNHRSA KRLWKVCIEHHTFFRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERS SSKRYTMSRSLDGAEFSRPASVSENHDAGPDGDKREDDAESGGRRSEAEEGEVRTPTKIK ELKPEQETTPRHKQEFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDWDRERRLPS SPASPSPKGTPEKASERAGLREGSEEKVKPPRPRAPESDTGDEDQDQERDAVFLKDNHLA IERKCSSITVSSTSSLEAEVDFTVIGDYHGGAFEDFSRSLPELDRDKSDSETEGLVFARD LKGPSSQEDESGGLEDSPDRGACSTPEMPQFESVKAETMTVSSLAIRKKIEPEAMLQSRV SAADSTQVDGGTPMVKDFMTTPPCITTETISTTMENSLKSGKGAAAMIPGPQTVATEIRS LSPIIGKDVLTSTYGATAETLSTSTTTHVTKTVKGGFSETRIEKRIIITGDEDVDQDQAL ALAIKEAKLQHPDMLVTKAVVYRETDPSPEERDKKPQES >ENSMUSP00000105205.2 pep:known chromosome:GRCm38:2:156421112:156543214:1 gene:ENSMUSG00000027624.17 transcript:ENSMUST00000109577.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l1 description:erythrocyte membrane protein band 4.1 like 1 [Source:MGI Symbol;Acc:MGI:103010] MTTETGPDSEVKKAQEETPQQPEAAAAVTTPVTPAGHSHPETNSNEKHLTQQDTRPAEQS LDMDDKDYSEADGLSERTTPSKAQKSPQKIAKKFKSAICRVTLLDASEYECEVEKHGRGQ VLFDLVCEHLNLLEKDYFGLTYCDADSQKNWLDPSKEIKKQIRSSPWNFAFTVKFYPPDP AQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEHVGNYVSEL RFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLHHAKDSEGIDIML GVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGFKLPNHRSA KRLWKVCIEHHTFFRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERS SSKRYTMSRSLDGAEFSRPASVSENHDAGPDGDKREDDAESGGRRSEAEEGEVRTPTKIK ELKFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDWDRERRLPSSPASPSPKGTPE KASERAGLREGSEEKVKPPRPRAPESDTGDEDQDQERDAVFLKDNHLAIERKCSSITVSS TSSLEAEVDFTVIGDYHGGAFEDFSRSLPELDRDKSDSETEGLVFARDLKGPSSQEDESG GLEDSPDRGACSTPEMPQFESVKAETMTVSSLAIRKKIEPEAMLQSRVSAADSTQVDGGT PMVKDFMTTPPCITTETISTTMENSLKSGKGAAAMIPGPQTVATEIRSLSPIIGKDVLTS TYGATAETLSTSTTTHVTKTVKGGFSETRIEKRIIITGDEDVDQDQALALAIKEAKLQHP DMLVTKAVVYRETDPSPEERDKKPQES >ENSMUSP00000099424.1 pep:known chromosome:GRCm38:2:156475883:156543214:1 gene:ENSMUSG00000027624.17 transcript:ENSMUST00000103135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l1 description:erythrocyte membrane protein band 4.1 like 1 [Source:MGI Symbol;Acc:MGI:103010] MTTETGPDSEVKKAQEETPQQPEAAAAVTTPVTPAGHSHPETNSNEKHLTQQDTRPAEQS LDMDDKDYSEADGLSERTTPSKAQKSPQKIAKKFKSAICRVTLLDASEYECEVEKHGRGQ VLFDLVCEHLNLLEKDYFGLTYCDADSQKNWLDPSKEIKKQIRSSPWNFAFTVKFYPPDP AQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEHVGNYVSEL RFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLHHAKDSEGIDIML GVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGFKLPNHRSA KRLWKVCIEHHTFFRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERS SSKRYTMSRSLDGAEFSRPASVSENHDAGPDGDKREDDAESGGRRSEAEEGEVRTPTKIK ELKPEQETTPRHKQEFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDWDRERRLPS SPASPSPKGTPEKASERAGLREGSEEKVKPPRPRAPESDTGDEDQDQERDAVFLKDNHLA IERKCSSITVSSTSSLEAEVDFTVIGDYHGGAFEDFSRSLPELDRDKSDSETEGLVFARD LKGPSSQEDESGGLEDSPDRGACSTPEMPQFESVKAETMTVSSLAIRKKIEPEAMLQSRV SAADSTQVDGGTPMVKDFMTTPPCITTETISTTMENSLKSGKGAAAMIPGPQTVATEIRS LSPIIGKDVLTSTYGATAETLSTSTTTHVTKTVKGGFSETRIEKRIIITGDEDVDQDQAL ALAIKEAKLQHPDMLVTKAVVYRETDPSPEERDKKPQES >ENSMUSP00000105200.1 pep:known chromosome:GRCm38:2:156493903:156543214:1 gene:ENSMUSG00000027624.17 transcript:ENSMUST00000109572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l1 description:erythrocyte membrane protein band 4.1 like 1 [Source:MGI Symbol;Acc:MGI:103010] MTTETGPDSEVKKAQEETPQQPEAAAAVTTPVTPAGHSHPETNSNEKHLTQQDTRPAEQS LDMDDKDYSEADGLSERTTPSKAQKSPQKIAKKFKSAICRVTLLDASEYECEVEKHGRGQ VLFDLVCEHLNLLEKDYFGLTYCDADSQKNWLDPSKEIKKQIRSSPWNFAFTVKFYPPDP AQLTEDITRGMTPGEAEIHFLENAKKLSMYGVDLHHAKDSEGIDIMLGVCANGLLIYRDR LRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGFKLPNHRSAKRLWKVCIEHHTF FRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERSSSKRYTMSRSLDG AEFSRPASVSENHDAGPDGDKREDDAESGGRRSEAEEGEVRTPTKIKELKFLDKPEDVLL KHQASINELKRTLKEPNSKLIHRDRDWDRERRLPSSPASPSPKGTPEKASESVKAETMTV SSLAIRKKIEPEAMLQSRVSAADSTQENSLKSGKGAAAMIPGPQTVATEIRSLSPIIGKD VLTSTYGATAETLSTSTTTHVTKTVKGGFSETRIEKRIIITGDEDVDQDQALALAIKEAK LQHPDMLVTKAVVYRETDPSPEERDKKPQES >ENSMUSP00000029155.8 pep:known chromosome:GRCm38:2:156475837:156543214:1 gene:ENSMUSG00000027624.17 transcript:ENSMUST00000029155.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l1 description:erythrocyte membrane protein band 4.1 like 1 [Source:MGI Symbol;Acc:MGI:103010] MTTETGPDSEVKKAQEETPQQPEAAAAVTTPVTPAGHSHPETNSNEKHLTQQDTRPAEQS LDMDDKDYSEADGLSERTTPSKAQKSPQKIAKKFKSAICRVTLLDASEYECEVEKHGRGQ VLFDLVCEHLNLLEKDYFGLTYCDADSQKNWLDPSKEIKKQIRSSPWNFAFTVKFYPPDP AQLTEDITRYYLCLQLRADIITGRLPCSFVTHALLGSYAVQAELGDYDAEEHVGNYVSEL RFAPNQTRELEERIMELHKTYRGMTPGEAEIHFLENAKKLSMYGVDLHHAKDSEGIDIML GVCANGLLIYRDRLRINRFAWPKILKISYKRSNFYIKIRPGEYEQFESTIGFKLPNHRSA KRLWKVCIEHHTFFRLVSPEPPPKGFLVMGSKFRYSGRTQAQTRQASALIDRPAPFFERS SSKRYTMSRSLDGAEFSRPASVSENHDAGPDGDKREDDAESGGRRSEAEEGEVRTPTKIK ELKPEQETTPRHKQEFLDKPEDVLLKHQASINELKRTLKEPNSKLIHRDRDWDRERRLPS SPASPSPKGTPEKASERAGLREGSEEKVKPPRPRAPESDTGDEDQDQERDAVFLKDNHLA IERKCSSITVSSTSSLEAEVDFTVIGDYHGGAFEDFSRSLPELDRDKSDSETEGLVFARD LKGPSSQEDESGGLEDSPDRGACSTPEMPQFESVKAETMTVSSLAIRKKIEPEAMLQSRV SAADSTQVDGGTPMVKDFMTTPPCITTETISTTMENSLKSGKGAAAMIPGPQTVATEIRS LSPIIGKDVLTSTYGATAETLSTSTTTHVTKTVKGGFSETRIEKRIIITGDEDVDQDQAL ALAIKEAKLQHPDMLVTKAVVYRETDPSPEERDKKPQES >ENSMUSP00000123356.1 pep:known chromosome:GRCm38:7:46841475:46851994:1 gene:ENSMUSG00000063229.14 transcript:ENSMUST00000147535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldha description:lactate dehydrogenase A [Source:MGI Symbol;Acc:MGI:96759] MRFSASWALQFRKVIGLAVMEKAKTGRLPVSKDSKSKMATLKDQLIVNLLKEEQAPQNKI TVVGVGAVGMACAISILMKDLADELALVDVMEDKLKGEMMDLQHGSLFLKTPKIVSSKDY CVTANSKLVIITAGARQQEGESRLNLVQRNVNIFKFIIPNIVKYSPHCKLLIVSNPVDIL TYVAWKISGFPKNRVIGSGCNLDSARFRYLMGERLGVHALSCHGWVLGEHGDSSVPVWSG VNVAGVSLKSLNPELGTDADKEQWKEVHKQVVD >ENSMUSP00000036386.9 pep:known chromosome:GRCm38:7:46845839:46855627:1 gene:ENSMUSG00000063229.14 transcript:ENSMUST00000048209.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldha description:lactate dehydrogenase A [Source:MGI Symbol;Acc:MGI:96759] MATLKDQLIVNLLKEEQAPQNKITVVGVGAVGMACAISILMKDLADELALVDVMEDKLKG EMMDLQHGSLFLKTPKIVSSKDYCVTANSKLVIITAGARQQEGESRLNLVQRNVNIFKFI IPNIVKYSPHCKLLIVSNPVDILTYVAWKISGFPKNRVIGSGCNLDSARFRYLMGERLGV HALSCHGWVLGEHGDSSVPVWSGVNVAGVSLKSLNPELGTDADKEQWKEVHKQVVDSAYE VIKLKGYTSWAIGLSVADLAESIMKNLRRVHPISTMIKGLYGINEDVFLSVPCILGQNGI SDVVKVTLTPEEEARLKKSADTLWGIQKELQF >ENSMUSP00000097661.2 pep:known chromosome:GRCm38:7:46845863:46852003:1 gene:ENSMUSG00000063229.14 transcript:ENSMUST00000092621.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldha description:lactate dehydrogenase A [Source:MGI Symbol;Acc:MGI:96759] MATLKDQLIVNLLKEEQAPQNKITVVGVGAVGMACAISILMKDLADELALVDVMEDKLKG EMMDLQHGSLFLKTPKIVSSKDYCVTANSKLVIITAGARQQEGESRLNLVQRNVNIFKFI IPNIVKYSPHCKLLIVSNPVDILTYVAWKISGFPKNRVIGSGCNLDSARFRYLMGERLGV HALSCHGWVLGEHGDSSVPVWSGVNVAGVSLKSLNPELGTDADKEQWKEVHKQVVDR >ENSMUSP00000103267.1 pep:known chromosome:GRCm38:7:46847131:46855626:1 gene:ENSMUSG00000063229.14 transcript:ENSMUST00000005051.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldha description:lactate dehydrogenase A [Source:MGI Symbol;Acc:MGI:96759] MSKSSGGYTYTETSVLFFHFKVSKDSKSKMATLKDQLIVNLLKEEQAPQNKITVVGVGAV GMACAISILMKDLADELALVDVMEDKLKGEMMDLQHGSLFLKTPKIVSSKDYCVTANSKL VIITAGARQQEGESRLNLVQRNVNIFKFIIPNIVKYSPHCKLLIVSNPVDILTYVAWKIS GFPKNRVIGSGCNLDSARFRYLMGERLGVHALSCHGWVLGEHGDSSVPVWSGVNVAGVSL KSLNPELGTDADKEQWKEVHKQVVDSAYEVIKLKGYTSWAIGLSVADLAESIMKNLRRVH PISTMIKGLYGINEDVFLSVPCILGQNGISDVVKVTLTPEEEARLKKSADTLWGIQKELQ F >ENSMUSP00000144334.1 pep:known chromosome:GRCm38:5:90903871:90905938:1 gene:ENSMUSG00000058427.10 transcript:ENSMUST00000200681.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl2 description:chemokine (C-X-C motif) ligand 2 [Source:MGI Symbol;Acc:MGI:1340094] MAPPTCRLLSAALVLLLLLATNHQATGAVVASELRCQCLKTLPRVDFKNIQSLSVTPPGP HCAQTEVIATLKGGQKVCLDPEAPLVQKIIQKILNK >ENSMUSP00000074885.6 pep:known chromosome:GRCm38:5:90903873:90905938:1 gene:ENSMUSG00000058427.10 transcript:ENSMUST00000075433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl2 description:chemokine (C-X-C motif) ligand 2 [Source:MGI Symbol;Acc:MGI:1340094] MAPPTCRLLSAALVLLLLLATNHQATGAVVASELRCQCLKTLPRVDFKNIQSLSVTPPGP HCAQTEVIATLKGGQKVCLDPEAPLVQKIIQKILNKGKAN >ENSMUSP00000017864.2 pep:known chromosome:GRCm38:2:164470301:164473724:-1 gene:ENSMUSG00000017720.2 transcript:ENSMUST00000017864.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53tg5 description:transformation related protein 53 target 5 [Source:MGI Symbol;Acc:MGI:1920853] MSPSTKKRPRDKVPSKMQDEKLQDKITQHVSKVIERNRLKMVLKNLSLLKLFKSSNSRIQ ELHKLARRCWNSMLRVPKILQISSRDKDKVKQNNIKFQEIRVLEMRPNSKKAESVKEPKQ KTSKKWKPKQGSKGSPAAVTWRKKQETFKISKVIKSGGLQARAQKRKTYVKKPRVVFLKT YHHSTTMGKMKALDITDQLVWFEGLPTRIHIPGRRIMCRSSTYRCLKRSCTRFCCASL >ENSMUSP00000140431.1 pep:known chromosome:GRCm38:Y:84097279:84123494:1 gene:ENSMUSG00000095153.7 transcript:ENSMUST00000185776.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21095 description:predicted gene, 21095 [Source:MGI Symbol;Acc:MGI:5434450] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEGKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000136961.1 pep:known chromosome:GRCm38:Y:84098711:84120745:1 gene:ENSMUSG00000095153.7 transcript:ENSMUST00000177775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21095 description:predicted gene, 21095 [Source:MGI Symbol;Acc:MGI:5434450] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000126658.1 pep:known chromosome:GRCm38:2:164460967:164490760:1 gene:ENSMUSG00000090996.1 transcript:ENSMUST00000164863.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20458 description:predicted gene 20458 [Source:MGI Symbol;Acc:MGI:5141923] MAGQFRSYVWDPLLILSQIVLMQTVYYGSLGLWLALVDALVRSSPSLDQMFDAEILGFST PPGRLSMMSFVLNALTWG >ENSMUSP00000030044.2 pep:known chromosome:GRCm38:4:63344560:63348163:1 gene:ENSMUSG00000039196.2 transcript:ENSMUST00000030044.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orm1 description:orosomucoid 1 [Source:MGI Symbol;Acc:MGI:97443] MALHTVLIILSLLPMLEAQNPEHANFTIGEPITNETLSWLSDKWFFMGAAFRKLEYRQAI QTMQSEFFYLTTNLINDTIELRESQTIGDQCVYNSTHLGFQRENGTFSKYEGGVETFAHL IVLRKHGAFMLAFDLKDEKKRGLSLYAKRPDITPELREVFQKAVTHVGMDESEIIFVDWK KDRCGQQEKKQLELGKETKKDPEEGQA >ENSMUSP00000104976.1 pep:known chromosome:GRCm38:2:164456976:164465512:1 gene:ENSMUSG00000045503.16 transcript:ENSMUST00000109352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sys1 description:SYS1 Golgi-localized integral membrane protein homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913710] MAGQFRSYVWDPLLILSQIVLMQTVYYGSLGLWLALVDALVRSSPSLDQMFDAEILGFST PPGRLSMMSFVLNALTCALGLLYFIRRGKQCLDFTVTVHFFHLLGCWLYSSRFPSALTWW LVQAVCIALMAVIGEYLCMRTELKEIPLSSAPKSNV >ENSMUSP00000117507.1 pep:known chromosome:GRCm38:2:164461000:164474467:1 gene:ENSMUSG00000045503.16 transcript:ENSMUST00000138268.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sys1 description:SYS1 Golgi-localized integral membrane protein homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913710] MAGQFRSYVWDPLLILSQIVLMQTVYYGSLGLWLALVDALVRSSPSLDQMFDAEILGFST PPGRLSMMSFVLNALT >ENSMUSP00000114360.1 pep:known chromosome:GRCm38:2:164461053:164479638:1 gene:ENSMUSG00000045503.16 transcript:ENSMUST00000142892.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sys1 description:SYS1 Golgi-localized integral membrane protein homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913710] MAGQFRSYVWDPLLILSQIVLMQTVYYGSLGLWLALVDALVRSSPSLDQMFDAEILGFST PPGRLSMMSFVLNALT >ENSMUSP00000121900.1 pep:known chromosome:GRCm38:2:164461309:164474467:1 gene:ENSMUSG00000045503.16 transcript:ENSMUST00000125086.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sys1 description:SYS1 Golgi-localized integral membrane protein homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913710] MAGQFRSYVWDPLLILSQIVLMQTVYYGSLGLWLALVDALVRSSPSLDQMFDAEILGFST PPGRLSMMSFVLNALTCALGLLYFIRRGKQCLDFTVTVHFFHLLGCWLYSSRFPSALTWW LVQAVCIALMAVIGEYLCMRTELKEIPLSSAPKSNV >ENSMUSP00000072275.4 pep:known chromosome:GRCm38:2:164460971:164465508:1 gene:ENSMUSG00000045503.16 transcript:ENSMUST00000072452.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sys1 description:SYS1 Golgi-localized integral membrane protein homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913710] MAGQFRSYVWDPLLILSQIVLMQTVYYGSLGLWLALVDALVRSSPSLDQMFDAEILGFST PPGRLSMMSFVLNALTCALGLLYFIRRGKQCLDFTVTVHFFHLLGCWLYSSRFPSALTWW LVQAVCIALMAVIGEYLCMRTELKEIPLSSAPKSNV >ENSMUSP00000135717.1 pep:known chromosome:GRCm38:17:26196226:26201143:-1 gene:ENSMUSG00000073433.10 transcript:ENSMUST00000176961.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgdig description:Rho GDP dissociation inhibitor (GDI) gamma [Source:MGI Symbol;Acc:MGI:108430] MLGLDACELGEQLLELLRLALCARVLLADKDGESTPSDEVLDEIVPEYQAPGKKSMLAIW QLDPGDVSLVKYKQALLGPLPPIMDPSLPNVQVTRLTLLTEQAPGPIIMDLTGDLDALKN QVFVLKEGIEYKVKITFKVNKEIVSGLKCLHHTYRRGLRVDKAIFMVGSYGPRAQEYEFV TSVEEAPRGALARGLYVVRSLFTDDDRLNHLSWEWHLHVCQDWKD >ENSMUSP00000025019.2 pep:known chromosome:GRCm38:17:26199183:26201350:-1 gene:ENSMUSG00000073433.10 transcript:ENSMUST00000025019.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgdig description:Rho GDP dissociation inhibitor (GDI) gamma [Source:MGI Symbol;Acc:MGI:108430] MLGLDACELGEQLLELLRLALCARVLLADKDGESTPSDEVLDEIVPEYQAPGKKSMLAIW QLDPGDVSLVKYKQALLGPLPPIMDPSLPNVQVTRLTLLTEQAPGPIIMDLTGDLDALKN QVFVLKEGIEYKVKITFKVNKEIVSGLKCLHHTYRRGLRVDKAIFMVGSYGPRAQEYEFV TSVEEAPRGALARGLYVVRSLFTDDDRLNHLSWEWHLHVCQDWKD >ENSMUSP00000113186.1 pep:known chromosome:GRCm38:17:26199397:26201369:-1 gene:ENSMUSG00000073433.10 transcript:ENSMUST00000121959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgdig description:Rho GDP dissociation inhibitor (GDI) gamma [Source:MGI Symbol;Acc:MGI:108430] MLGLDACELGEQLLELLRLALCARVLLADKDGESTPSDEVLDEIVPEYQAPGKKSMLAIW QLDPGDVSLVKYKQALLGPLPPIMDPSLPNVQVTRLTLLTEQAPGPIIMDLTGDLDALKN QVFVLKEGIEYKVNKEIVSGLKCLHHTYRRGLRVDKAIFMVGSYGPRAQEYEFVTSVEEA PRGALARGLYVVRSLFT >ENSMUSP00000076576.4 pep:known chromosome:GRCm38:7:44207435:44211754:1 gene:ENSMUSG00000066513.4 transcript:ENSMUST00000077354.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b4 description:kallikrein 1-related pepidase b4 [Source:MGI Symbol;Acc:MGI:97320] MWFLILFLALSLGGIDAAPPVQSQVDCENSQPWHVAVYRFNKYQCGGVLLDRNWVLTAAH CYNDKYQVWLGKNNFLEDEPSDQHRLVSKAIPHPDFNMSLLNEHTPQPEDDYSNDLMLLR LSKPADITDVVKPITLPTEEPKLGSTCLASGWGSTTPIKFKYPDDLQCVNLKLLPNEDCD KAHEMKVTDAMLCAGEMDGGSYTCEHDSGGPLICDGILQGITSWGPEPCGEPTEPSVYTK LIKFSSWIRETMANNP >ENSMUSP00000121054.1 pep:known chromosome:GRCm38:7:66689889:66708357:1 gene:ENSMUSG00000053091.16 transcript:ENSMUST00000153007.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lins1 description:lines homolog (Drosophila) 1 [Source:MGI Symbol;Acc:MGI:1919885] MRYILDIKMEIVQEILDQLYRKVLLGTTLEDDVHGYIFYLNPDLSEQDGCPAFPVAQSNA SGVLDGMAGQHGPSSHEVAT >ENSMUSP00000112404.2 pep:known chromosome:GRCm38:7:66689894:66714747:1 gene:ENSMUSG00000053091.16 transcript:ENSMUST00000121777.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lins1 description:lines homolog (Drosophila) 1 [Source:MGI Symbol;Acc:MGI:1919885] MRYILDIKMEIVQEILDQLYRKVLLGTTLEDDVHGYIFYLNPDLSEQDGCPAFPVAQSNA SGVLDGMAGQHGPSSHEVATLPGAQECPKRQLQMDRTREMKLLQLTVIDTMLSQVLSDET ETHAKEGYRELTEVLLQSVELDSKLMRMLQNSDKLLSHMAAKCLASLLYFQLREKVRSQH KMLSNSWVTFCQKHLSESSESGEAVRCLWILTAVIKEILKDTHSQRAESLKQLLTPFDIT FEVFYNSLFSQHFGDFQSPSNLASSLMCFLELLELLVASRIHLKLHFRSQRMLFLKPHAL DILAWPIPAFIKRKLVILVKKCLLCKVGEDLCREPAPSLMSPDHLLDSDMLTLADTLLHA VHVGLWKALAVSGKPSCFGGDEVQPGCRLRTGPDHVTLRAASLITVKSLEIKSQNCTSAA EMKVALQTFMSELLAFLKPHLQPSLQPHNPCEWLSRVFIEQDDDMLEAAKASLSIYLQLT REWDASASLTQEKEAWIRSTHGHGCNPHCVFLFFLKNVAFDSTVLLDFLISSETCFLEYF VKYLKLLQKDWAHFLSICKFFAAVESQCGMLVHDPVPSPARGRSTSLTVPHAPASPVGEN TCPWLPWASDASSESQSQVMMPKETLPVPANGPPSRTPQSLVDYDSSEASEEETTSEHLA NSKQTSLCQERSEEIQGLPRTWEEQKEHSLEPLLSAESSSPFSAKGRVAADGTVWQVGLF LRTVKCLEELQGAIYRLQEKNLFPYNPAALLKLLKGVEAKCVNV >ENSMUSP00000115330.1 pep:known chromosome:GRCm38:7:66689900:66708221:1 gene:ENSMUSG00000053091.16 transcript:ENSMUST00000150071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lins1 description:lines homolog (Drosophila) 1 [Source:MGI Symbol;Acc:MGI:1919885] MRYILDIKMEIVQEILDQLYRKVLLGTTLEDDVH >ENSMUSP00000077117.6 pep:known chromosome:GRCm38:7:66689958:66714744:1 gene:ENSMUSG00000053091.16 transcript:ENSMUST00000077967.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lins1 description:lines homolog (Drosophila) 1 [Source:MGI Symbol;Acc:MGI:1919885] MRYILDIKMEIVQEILDQLYRKVLLGTTLEDDVHGYIFYLNPDLSEQDGCPAFPVAQSNA SGVLDGMAGQHGPSSHEVATLPGAQECPKRQLQMDRTREMKLLQLTVIDTMLSQVLSDET ETHAKEGYRELTEVLLQSVELDSKLMRMLQNSDKLLSHMAAKCLASLLYFQLREKKMLSN SWVTFCQKHLSESSESGEAVRCLWILTAVIKEILKDTHSQRAESLKQLLTPFDITFEVFY NSLFSQHFGDFQSPSNLASSLMCFLELLELLVASRIHLKLHFRSQRMLFLKPHALDILAW PIPAFIKRKLVILVKKCLLCKVGEDLCREPAPSLMSPDHLLDSDMLTLADTLLHAVHVGL WKALAVSGKPSCFGGDEVQPGCRLRTGPDHVTLRAASLITVKSLEIKSQNCTSAAEMKVA LQTFMSELLAFLKPHLQPSLQPHNPCEWLSRVFIEQDDDMLEAAKASLSIYLQLTREWDA SASLTQEKEAWIRSTHGHGCNPHCVFLFFLKNVAFDSTVLLDFLISSETCFLEYFVKYLK LLQKDWAHFLSICKFFAAVESQCGMLVHDPVPSPARGRSTSLTVPHAPASPVGENTCPWL PWASDASSESQSQVMMPKETLPVPANGPPSRTPQSLVDYDSSEASEEETTSEHLANSKQT SLCQERSEEIQGLPRTWEEQKEHSLEPLLSAESSSPFSAKGRVAADGTVWQVGLFLRTVK CLEELQGAIYRLQEKNLFPYNPAALLKLLKGVEAKCVNV >ENSMUSP00000118620.2 pep:known chromosome:GRCm38:7:66689958:66717256:1 gene:ENSMUSG00000053091.16 transcript:ENSMUST00000130161.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lins1 description:lines homolog (Drosophila) 1 [Source:MGI Symbol;Acc:MGI:1919885] MRYILDIKMEIVQEILDQLYRKVLLGTTLEDDVHGYIFYLNPDLSEQDGCPAFPVAQSNA SGVLDGMAGQHGPSSHEVATLPGAQECPKRQLQMDRTREMKLLQLTVIDTMLSQVLSDET ETHAKEGYRELTEVLLQSVELDSKLMRMLQNSDKLLSHMAAKCLASLLYFQLREKKMLSN SWVTFCQKHLSESSESGEAVRCLWILTAVIKEILKDTHSQRAAFWRLPEPL >ENSMUSP00000117270.2 pep:known chromosome:GRCm38:7:66689971:66714747:1 gene:ENSMUSG00000053091.16 transcript:ENSMUST00000133771.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lins1 description:lines homolog (Drosophila) 1 [Source:MGI Symbol;Acc:MGI:1919885] MRYILDIKMEIVQEILDQLYRKVLLGTTLEDDVHGYIFYLNPDLSEQDGCPAFPVAQSNA SGVLDGMAGQHGPSSHEVATLPGAQECPKRQLQMDRTREMKLLQLTVIDTMLSQVLSDET ETHAKEGYRELTEVLLQSVELDSKLMRMLQNSDKLLSHMAAKCLASLLYFQLREKKMLSN SWVTFCQKHLSESSESGEAVRCLWILTAVIKEILKDTHSQRAAFWRLPEPL >ENSMUSP00000071009.7 pep:known chromosome:GRCm38:7:66708030:66714687:1 gene:ENSMUSG00000053091.16 transcript:ENSMUST00000065323.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lins1 description:lines homolog (Drosophila) 1 [Source:MGI Symbol;Acc:MGI:1919885] MRYILDIKMEIVQEILDQLYRKVLLGTTLEDDVHGYIFYLNPDLSEQDGCPAFPVAQSNA SGVLDGMAGQHGPSSHEVATLPGAQECPKRQLQMDRTREMKLLQLTVIDTMLSQVLSDET ETHAKEGYRELTEVLLQSVELDSKLMRMLQNSDKLLSHMAAKCLASLLYFQLREKKMLSN SWVTFCQKHLSESSESGEAVRCLWILTAVIKEILKDTHSQRAAFWRLPEPL >ENSMUSP00000115180.1 pep:known chromosome:GRCm38:7:66708178:66710375:1 gene:ENSMUSG00000053091.16 transcript:ENSMUST00000132351.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lins1 description:lines homolog (Drosophila) 1 [Source:MGI Symbol;Acc:MGI:1919885] XRKVLLGTTLEDDVHGYIFYLNPDLSEQDGCPAFPVAQSNASGVLDGMAGQHGPSSHEVA TLPGAQECPKRQLQMDRTREMKLLQLTVIDTMLSQMRMLQNSDKLLSHMAAKCLASLLYF QLREKKMLSNSWVTFCQKHLSESSESGEAVRCLWILTAVIKEILKDTHSQRAESLKQLLT PFDITFEVFYNSLFSQHFGDFQSPSNLASSLMCFLELLELLVASRIHLKLHFRSQRMLFL KPHAL >ENSMUSP00000119187.1 pep:known chromosome:GRCm38:7:66708323:66710564:1 gene:ENSMUSG00000053091.16 transcript:ENSMUST00000153773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lins1 description:lines homolog (Drosophila) 1 [Source:MGI Symbol;Acc:MGI:1919885] GQHGPSSHEVATLPGAQECPKRQLQMDRTREMKLLQLTVIDTMLSQKMLSNSWVTFCQKH LSESSESGEAVRCLWILTAVIKEILKDTHSQRAESLKQLLTPFDITFEVFYNSLFSQHFG DFQSPSNLASSLMCFLELLELLVASRIHLKLHFRSQRMLFLKPHALDILAWPIPAFIKRK LVILVKKCLLCKVGEDLCREPAPSLMSPDHLLDSDMLTLADTLLHAVHV >ENSMUSP00000115124.2 pep:known chromosome:GRCm38:7:66709409:66713850:1 gene:ENSMUSG00000053091.16 transcript:ENSMUST00000133199.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lins1 description:lines homolog (Drosophila) 1 [Source:MGI Symbol;Acc:MGI:1919885] XKDTHSQRAESLKQLLTPFDITFEVFYNSLFSQHFGDFQSPSNLASSLMCFLELLELLVA SRIHLKLHFRSQRMLFLKPHALDILAWPIPAFIKRKLVILVKKCLLCKVGEDLCREPAPS LMSPDHLLDSDMLTLADTLLHAVHVGLWKALAVSGKPSCFGGDEVQPGCRLRTGPDHVTL RAASLITVKSLEIKSQNCTSAAEMKALSTATQPMRVAVQGLHRAGR >ENSMUSP00000082577.2 pep:known chromosome:GRCm38:7:44198191:44202352:1 gene:ENSMUSG00000066515.3 transcript:ENSMUST00000085450.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b3 description:kallikrein 1-related peptidase b3 [Source:MGI Symbol;Acc:MGI:97322] MWFLILFLALSLGGIDAAPPVQSRIVGGFKCEKNSQPWHVAVYRYTQYLCGGVLLDPNWV LTAAHCYDDNYKVWLGKNNLFKDEPSAQHRFVSKAIPHPGFNMSLMRKHIRFLEYDYSND LMLLRLSKPADITDTVKPITLPTEEPKLGSTCLASGWGSITPTKFQFTDDLYCVNLKLLP NEDCAKAHIEKVTDAMLCAGEMDGGKDTCKGDSGGPLICDGVLQGITSWGHTPCGEPDMP GVYTKLNKFTSWIKDTMAKNP >ENSMUSP00000122577.1 pep:known chromosome:GRCm38:8:86482260:86580655:-1 gene:ENSMUSG00000036872.16 transcript:ENSMUST00000129898.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcc12 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 12 [Source:MGI Symbol;Acc:MGI:2441679] MVGEGPYLISDLDRRGHRRSFAERYDPSLKTMIPVRPRARLAPNPVDDAGLLSFATFSWL TPVMIRSYKHTLTVDTLPPLSPYDSSDINAKRFQILWEEEIKRVGPEKASLGRVVWKFQR TRVLMDVVANILCIVMAALGPTVLIHQILQHITSISSGHIGIGICLCLALFTTEFTKVLF WALAWAINYRTAIRLKVALSTLIFENLLSFKTLTHISAGEVLNILSSDSYSLFEAALFCP LPATIPILMVVCAVYAFFILGSTALVGISVYLIFIPIQMFMAKLNSTFRRSAISVTDKRV QTMNEFLTCIKLIKMYAWEESFINTIHDIRKREKKLLEKAGYVQSGNSALAPIVSTIAIV STFTCHIFLKRKLTAPVAFSVIAMFNVMKFSIAILPFSVKAVAEASVSLRRMKKILIAKS PPSYITQPEDPDTILLLANATLTWEQEINRKSDPPKAQIQKRHVFKKQRPELYSEQSRSD QGVASPEWQSGSPKSVLHNISFVVRKGKVLGICGNVGSGKSSLISALLGQMQLQKGVVAV NGPLAYVSQQAWIFHGNVRENILFGEKYNHQRYQHTVHVCGLQKDLNSLPYGDLTEIGER GVNLSGGQRQRISLARAVYANRQLYLLDDPLSAVDAHVGKHVFEECIKKTLKGKTVVLVT HQLQFLESCDEVILLEDGEICEKGTHKELMEERGRYAKLIHNLRGLQFKDPEHIYNVAMV ETLKESPAQRDEDAGTVR >ENSMUSP00000122402.1 pep:known chromosome:GRCm38:8:86504579:86580686:-1 gene:ENSMUSG00000036872.16 transcript:ENSMUST00000131423.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc12 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 12 [Source:MGI Symbol;Acc:MGI:2441679] MVGEGPYLISDLDRRGHRRSFAERYDPSLKTMIPVRPRARLAPNPVDDAGLLSFATFSWL TPVMIRSYKHTLTVDTLPPLSPYDSSDINAKRFQILWEEEIKRVGPEKASLGRVVWKFQR TRVLMDVVANILCIVMAALGPTVLIHQILQHITSISSGHIGIGICLCLALFTTEFTKVLF WALAWAINYRTAIRLKVALSTLIFENLLSFKTLTHISAGEVLNILSSDSYSLFEAALFCP LPATIPILMVVCAVYAFFILGSTALVGISVYLIFIPIQMFMAKLNSTFRRSAISVTDKRV QTMNEFLTCIKLIKMYAWEESFINTIHDIRKREKKLLEKAGYVQSGNSALAPIVSTIAIV STFTCHIFLKRKLTAPVAFSVIAMFNVMKFSIAILPFSVKAVAEASVSLRRMKKILIAKS PPSYITQPEDPDTILLLANATLTWEQEINRKSDPPKAQIQKRHVFKKQRPELYSEQSRSD QGVASPEWQSGSPKSVLHNISFVVRKGKVLGICGNVGSGKSSLISALLGQMQLQKGVVAV NGPLAYVSQQAWIFHGNVRENILFGEKYNHQRYQHTVHVCGLQKDLNSLPYGDLTEIGER GVNLSGGQRQRISLARAVYANRQLYLLDDPLSAVDAHVGKHVFEECIKKTLKGKTVVLVT HQLQFLESCDEVILLEDGEICEKGTHKELMEERGRYAKLIHNLRGLQFKDPEHIYNVAMV ETLKESPAQRDEDAVLASGDEKDEGKEPETEEFVDTNAPAHQLIQTESPQEGIVTWKTYH TYIKASGGYLVSFLVLCLFFLMMGSSAFSTWWLGIWLDRGSQVVCASQNNKTACNVDQTL QDTKHHMYQLVYIASMVSVLMFGIIKGFTFTNTTLMASSSLHNRVFNKIVRSPMSFFDTT PTGRLMNRFSKDMDELDVRLPFHAENFLQQFFMVVFILVIMAAVFPVVLVVLAGLAVIFL ILLRIFHRGVQELKQVENISRSPWFSHITSSIQGLGVIHAYDKKDDCISKFKTLNDENSS HLLYFNCALRWFALRMDILMNIVTFVVALLVTLSFSSISASSKGLSLSYIIQLSGLLQVC VRTGTETQAKFTSAELLREYILTCVPEHTHPFKVGTCPKDWPSRGEITFKDYRMRYRDNT PLVLDGLNLNIQSGQTVGIVGRTGSGKSSLGMALFRLVEPASGTIIIDEVDICTVGLEDL RTKLTMIPQDPVLFVGTVRYNLDPLGSHTDEMLWHVLERTFMRDTIMKLPEKLQAEVTEN GENFSVGERQLLCMARALLRNSKIILLDEATASMDSKTDTLVQSTIKEAFKSCTVLTIAH RLNTVLNCDLVLVMENGKVIEFDKPEVLAEKPDSAFAMLLAAEVGL >ENSMUSP00000120282.1 pep:known chromosome:GRCm38:8:86504583:86534747:-1 gene:ENSMUSG00000036872.16 transcript:ENSMUST00000156066.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcc12 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 12 [Source:MGI Symbol;Acc:MGI:2441679] XNVAMVETLKESPAQRDEDAVLASGDEKDEGKEPETEEFVDTNAPAHQLIQTESPQEGIV TWKTYHTYIKASGGYLVSFLVLCLFFLMMGSSAFSTWWLGIWLDRGSQVVCASQNNKTAC NVDQTLQDTKHHMYQLVYIASMVSVLMFGIIKGFTFTNTTLMASSSLHNRVFNKIVRSPM SFFDTTPTGRLMNRFSKDMDELDVRLPFHAENFLQQFFMVVFILVIMAAVFPVVLVVLAG LAVIFLILLRIFHRGVQELKQVENISRSPWFSHITSSIQGLGVIHAYDKKDDCISKFKTL NDENSSHLLYFNCALRWFALRMDILMNIVTFVVALLVTLSFSSISASSKGLSLSYIIQLS GLLQVCVRTGTETQAKFTSAELLREYILTCVPEHTHPFKVGTCPKDWPSRGEITFKDYRM RYRDNTPLVLDGLNLNIQSGQTVGIVGRTGSGKSSLGMALFRLVEPASGTIIIDEVDICT VGLEDLRTKLTMIPQDPVLFVGTTWTPWGATPTRCSGMFWKERS >ENSMUSP00000116866.1 pep:known chromosome:GRCm38:8:86504841:86566590:-1 gene:ENSMUSG00000036872.16 transcript:ENSMUST00000131806.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcc12 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 12 [Source:MGI Symbol;Acc:MGI:2441679] MVGEGPYLISDLDRRGHRRSFAERYDPSLKTMIPVRPRARLAPNPVDDAGLLSFATFSWL TPVMIRSYKHTLTVDTLPPLSPYDSSDINAKRFQILWEEEIKRVGPEKASLGRVVWKFQR TRVLMDVVANILCIVMAALGPTVLIHQILQHITSISSGHIGIGICLCLALFTTEFTKVLF WALAWAINYRTAIRLKVALSTLIFENLLSFKTLTHISAGEVLNILSSDSYSLFEAALFCP LPATIPILMVVCAVYAFFILGSTALVGISVYLIFIPIQMFMAKLNSTFRRSAISVTDKRV QTMNEFLTCIKLIKMYAWEESFINTIHDIRKREKKLLEKAGYVQSGNSALAPIVSTIAIV STFTCHIFLKRKLTAPVAFSVIAMFNVMKFSIAILPFSVKAVAEASVSLRRMKKILIAKS PPSYITQPEDPDTILLLANATLTWEQEINRKSDPPKAQIQKRHVFKKQRPELYSEQSRSD QGVASPEWQSGSPKSVLHNISFVVRKGKVLGICGNVGSGKSSLISALLGQMQLQKGVVAV NGPLAYVSQQAWIFHGNVRENILFGEKYNHQRYQHTVHVCGLQKDLNSLPYGDLTEIGER GVNLSGGQRQRISLARAVYANRQLYLLDDPLSAVDAHVGKHVFEECIKKTLKGKTVVLVT HQLQFLESCDEVILLEDGEICEKGTHKELMEERGRYAKLIHNLRGLQFKDPEHIYNVAMV ETLKESPAQRDEDAVLASGDEKDEGKEPETEEFVDTNVEGTSGSQNVKI >ENSMUSP00000123578.1 pep:known chromosome:GRCm38:8:86504841:86566590:-1 gene:ENSMUSG00000036872.16 transcript:ENSMUST00000156610.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcc12 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 12 [Source:MGI Symbol;Acc:MGI:2441679] MVGEGPYLISDLDRRGHRRSFAERYDPSLKTMIPVRPRARLAPNPVDDAGLLSFATFSWL TPVMIRSYKHTLTVDTLPPLSPYDSSDINAKRFQILWEEEIKRVGPEKASLGRVVWKFQR TRVLMDVVANILCIVMAALGPTVLIHQILQHITSISSGHIGIGICLCLALFTTEFTKVLF WALAWAINYRTAIRLKVALSTLIFENLLSFKTLTHISAGEVLNILSSDSYSLFEAALFCP LPATIPILMVVCAVYAFFILGSTALVGISVYLIFIPIQMFMAKLNSTFRRSAISVTDKRV QTMNEFLTCIKLIKMYAWEESFINTIHDIRKREKKLLEKAGYVQSGNSALAPIVSTIAIV STFTCHIFLKRKLTAPVAFSVIAMFNVMKFSIAILPFSVKAVAEASVSLRRMKKILIAKS PPSYITQPEDPDTILLLANATLTWEQEINRKSDPPKAQIQKRHVFKKQRPELYSEQSRSD QGVASPEWQSGSPKSVLHNISFVVRKGKVLGICGNVGSGKSSLISALLGQMQLQKGVVAV NGPLAYVSQQAWIFHGNVRENILFGEKYNHQRYQHTVHVCGLQKDLNSLPYGDLTEIGER GVNLSGGQRQRISLARAVYANRQLYLLDDPLSAVDAHVGKHVFEECIKKTLKGKTVVLVT HQLQ >ENSMUSP00000114582.1 pep:known chromosome:GRCm38:8:86533961:86580686:-1 gene:ENSMUSG00000036872.16 transcript:ENSMUST00000152438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc12 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 12 [Source:MGI Symbol;Acc:MGI:2441679] MVGEGPYLISDLDRRGHRRSFAERYDPSLKTMIPVRPRARLAPNPVDDAGLLSFATFSWL TPVMIRSYKHTLTVDTLPPLSPYDSSDINAKRFQILWEEEIKRVGPEKASLGRVVWKFQR TRVLMDVVANILCIVMAALGPTVLIHQILQHITSISSGHIGIGICLCLALFTTEFTKVLF WALAWAINYRTAIRLKVALSTLIFENLLSFKTLTHISAGEVLNILSSDSYSLFEAALFCP LPATIPILMVVCAVYAFFILGSTALVGISVYLIFIPIQMFMAKLNSTFRRSAISVTDKRV QTMNEFLTCIKLIKMYAWEESFINTIHDIRKREKKLLEKAGYVQSGNSALAPIVSTIAIV STFTCHIFLKRKLTAPVAFSVIAMFNVMKFSIAILPFSVKAVAEASVSLRRMKKILIAKS PPSYITQPEDPDTILLLANATLTWEQEINRKSDPPKAQIQKRHVFKKQRPELYSEQSRSD QGVASPEWQSGSPKSVLHNISFVVRKGKVLGICGNVGSGKSSLISALLGQMQLQKGVVAV NGPLAYVSQQAWIFHGNVRENILFGEKYNHQRYQHTVHVCGLQKDLNSLPYGDLTEIGER GVNLSGGQRQRISLARAVYANRQLYLLDDPLSAVDAHVGKHVFEECIKKTLKGKTVVLVT HQLQFLESCDEVILLEDGEICEKGTHKELMEERGRYAKLIHNLRGLQFKDPEHIYNVAMV ETLKESPAQRDEDAGTVR >ENSMUSP00000079014.3 pep:known chromosome:GRCm38:8:86504841:86566590:-1 gene:ENSMUSG00000036872.16 transcript:ENSMUST00000080115.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc12 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 12 [Source:MGI Symbol;Acc:MGI:2441679] MVGEGPYLISDLDRRGHRRSFAERYDPSLKTMIPVRPRARLAPNPVDDAGLLSFATFSWL TPVMIRSYKHTLTVDTLPPLSPYDSSDINAKRFQILWEEEIKRVGPEKASLGRVVWKFQR TRVLMDVVANILCIVMAALGPTVLIHQILQHITSISSGHIGIGICLCLALFTTEFTKVLF WALAWAINYRTAIRLKVALSTLIFENLLSFKTLTHISAGEVLNILSSDSYSLFEAALFCP LPATIPILMVVCAVYAFFILGSTALVGISVYLIFIPIQMFMAKLNSTFRRSAISVTDKRV QTMNEFLTCIKLIKMYAWEESFINTIHDIRKREKKLLEKAGYVQSGNSALAPIVSTIAIV STFTCHIFLKRKLTAPVAFSVIAMFNVMKFSIAILPFSVKAVAEASVSLRRMKKILIAKS PPSYITQPEDPDTILLLANATLTWEQEINRKSDPPKAQIQKRHVFKKQRPELYSEQSRSD QGVASPEWQSGSPKSVLHNISFVVRKGKVLGICGNVGSGKSSLISALLGQMQLQKGVVAV NGPLAYVSQQAWIFHGNVRENILFGEKYNHQRYQHTVHVCGLQKDLNSLPYGDLTEIGER GVNLSGGQRQRISLARAVYANRQLYLLDDPLSAVDAHVGKHVFEECIKKTLKGKTVVLVT HQLQFLESCDEVILLEDGEICEKGTHKELMEERGRYAKLIHNLRGLQFKDPEHIYNVAMV ETLKESPAQRDEDAVLASGDEKDEGKEPETEEFVDTNAPAHQLIQTESPQEGIVTWKTYH TYIKASGGYLVSFLVLCLFFLMMGSSAFSTWWLGIWLDRGSQVVCASQNNKTACNVDQTL QDTKHHMYQLVYIASMVSVLMFGIIKGFTFTNTTLMASSSLHNRVFNKIVRSPMSFFDTT PTGRLMNRFSKDMDELDVRLPFHAENFLQQFFMVVFILVIMAAVFPVVLVVLAGLAVIFL ILLRIFHRGVQELKQVENISRSPWFSHITSSIQGLGVIHAYDKKDDCISKFKTLNDENSS HLLYFNCALRWFALRMDILMNIVTFVVALLVTLSFSSISASSKGLSLSYIIQLSGLLQVC VRTGTETQAKFTSAELLREYILTCVPEHTHPFKVGTCPKDWPSRGEITFKDYRMRYRDNT PLVLDGLNLNIQSGQTVGIVGRTGSGKSSLGMALFRLVEPASGTIIIDEVDICTVGLEDL RTKLTMIPQDPVLFVGTVRYNLDPLGSHTDEMLWHVLERTFMRDTIMKLPEKLQAEVTEN GENFSVGERQLLCMARALLRNSKIILLDEATASMDSKTDTLVQSTIKEAFKSCTVLTIAH RLNTVLNCDLVLVMENGKVIEFDKPEVLAEKPDSAFAMLLAAEVGL >ENSMUSP00000102715.1 pep:known chromosome:GRCm38:11:102402985:102407489:-1 gene:ENSMUSG00000018677.9 transcript:ENSMUST00000107098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a39 description:solute carrier family 25, member 39 [Source:MGI Symbol;Acc:MGI:1196386] MDDQDPGGISPLQQMVASGAGAVVTSLFMTPLDVVKVRLQSQRPSATSELTTPSRFWSLS YTKWKCLLYCNGVLEPLYLCPNGTRCATWFQDPTRFTGTLDAFVKIVRHEGTRTLWSGLP ATLVMTVPATAIYFTAYDQLKAFLCGQSLTSDLYAPMVAGALARMGTVTVVSPLELVRTK LQAQHVSYRELASSVQAAVTQGGWRSLWLGWGPTALRDVPFSALYWFNYELVKSWLSGLR PKDQTSVGISFVAGGISGMVAATLTLPFDVVKTQRQMSLGAVEAVRVKPPRVDSTWLLLR RIRAESGTRGLFAGFLPRIIKAAPSCAIMISTYEFGKSFFQRLNQEQPLGR >ENSMUSP00000018821.2 pep:known chromosome:GRCm38:11:102402985:102407544:-1 gene:ENSMUSG00000018677.9 transcript:ENSMUST00000018821.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a39 description:solute carrier family 25, member 39 [Source:MGI Symbol;Acc:MGI:1196386] MDDQDPGGISPLQQMVASGAGAVVTSLFMTPLDVVKVRLQSQRPSATSELTTPSRFWSLS YTKSSSALQSPGKCLLYCNGVLEPLYLCPNGTRCATWFQDPTRFTGTLDAFVKIVRHEGT RTLWSGLPATLVMTVPATAIYFTAYDQLKAFLCGQSLTSDLYAPMVAGALARMGTVTVVS PLELVRTKLQAQHVSYRELASSVQAAVTQGGWRSLWLGWGPTALRDVPFSALYWFNYELV KSWLSGLRPKDQTSVGISFVAGGISGMVAATLTLPFDVVKTQRQMSLGAVEAVRVKPPRV DSTWLLLRRIRAESGTRGLFAGFLPRIIKAAPSCAIMISTYEFGKSFFQRLNQEQPLGR >ENSMUSP00000121790.1 pep:known chromosome:GRCm38:11:102403042:102404493:-1 gene:ENSMUSG00000018677.9 transcript:ENSMUST00000128825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a39 description:solute carrier family 25, member 39 [Source:MGI Symbol;Acc:MGI:1196386] XGWGPTALRDVPFSALYWFNYELVKSWLSGLRPKDQTSVGISFVAGGISGMVAATLTLPF DVVKTQRQMSLGAVEAVRGFLPRIIKAAPSCAIMISTYEFGKSFFQRLNQEQPLGR >ENSMUSP00000114481.1 pep:known chromosome:GRCm38:11:102403791:102407508:-1 gene:ENSMUSG00000018677.9 transcript:ENSMUST00000134669.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a39 description:solute carrier family 25, member 39 [Source:MGI Symbol;Acc:MGI:1196386] MDDQDPGGISPLQQMVASGAGAVVTSLFMTPLDVVKVRLQSQRPSATSELTTPSRFWSLS YTKSSSALQSPGKCLLYCNGVLEPLYLCPNGTRCATWFQDPTRFTGTLGDDRASYCYLLH CLRPTQGLPVWSVLDL >ENSMUSP00000115445.1 pep:known chromosome:GRCm38:11:102404032:102406816:-1 gene:ENSMUSG00000018677.9 transcript:ENSMUST00000155104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a39 description:solute carrier family 25, member 39 [Source:MGI Symbol;Acc:MGI:1196386] MDDQDPGGISPLQQMVASGAGAVVTSLFMTPLDVVKVRLQSQRPSATSELTTPSRFWSLS YTKSSSALQSPGKCLLYCNGVLEPLYLCPNGTRCATWFQDPTRFTGTLDAFVKIVRHEGT RTLWSGLPATLVMTVPATAIYFTAYDQLKAFLCGQSLTSDLYAPMVAGALARMGTVTVVS PLELVRTKLQAQHVSYRELASSVQAAVTQGGWRSLWLGWGPTALRDVPFSALYWFNYELV KSWLSGLRPKDQTSVGISFVAGGISG >ENSMUSP00000114365.1 pep:known chromosome:GRCm38:11:102404062:102407487:-1 gene:ENSMUSG00000018677.9 transcript:ENSMUST00000142097.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a39 description:solute carrier family 25, member 39 [Source:MGI Symbol;Acc:MGI:1196386] MDDQDPGGISPLQQMVASGAGAVVTSLFMTPLDVVKVRLQSQRPSATSELTTPSRFWSLS YTKWKCLLYCNGVLEPLYLCPNGTRCATWFQDPTRFTGTLGDDRASYCYLLHCLRPTQGL PVWSVLDL >ENSMUSP00000115365.1 pep:known chromosome:GRCm38:11:102404484:102407315:-1 gene:ENSMUSG00000018677.9 transcript:ENSMUST00000149777.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a39 description:solute carrier family 25, member 39 [Source:MGI Symbol;Acc:MGI:1196386] MDDQDPGGISPLQQMVASGAGAVVTSLFMTPLDVVKVRLQSQRPSATSELTTPSRFWSLS YTKSSSALQSPGKCLLYCNGVLEPLYLCPNGTRCATWFQDPTRFTGTLDAFVKIVRHEGT RTLWSGLPATLVMTVPATAIYFTAYDQLKAFLCGQSLTSDLYAPMVAGALARMGTVTVVS PLELVRTKLQAQHVSYRELASSVQAAVTQGGWRSLWLGWG >ENSMUSP00000115087.1 pep:known chromosome:GRCm38:11:102404609:102406955:-1 gene:ENSMUSG00000018677.9 transcript:ENSMUST00000130436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a39 description:solute carrier family 25, member 39 [Source:MGI Symbol;Acc:MGI:1196386] MDDQDPGGISPLQQMVASGAGAVVTSLFMTPLDVVKVRLQSQRPSATSELTTPSRFWSLS YTKSSSALQSPGKCLLYCNGVLEPLYLCPNGTRCATWFQDPTRFTGTLDAFVKIVRHEGT RTLWSGLPATLVMTVPATAIYFTAYDQLKAFLCGQSLTSDLYAPMVAGALARMGTVTV >ENSMUSP00000116336.1 pep:known chromosome:GRCm38:11:102404787:102407383:-1 gene:ENSMUSG00000018677.9 transcript:ENSMUST00000154001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a39 description:solute carrier family 25, member 39 [Source:MGI Symbol;Acc:MGI:1196386] MDDQDPGGISPLQQMVASGAGAVVTSLFMTPLDVVKVRLQSQRPSATSELTTPSRFWSLS YTKSSSALQSPGKCLLYCNGVLEPLYLCPNGTRCATWFQDPTRFTGTLDAFVKIVRHEGT RTLWSGLPATLVMTVPATAIYFTAYDQLKAFLCGQSLTSDLYAPMVAGALARSEH >ENSMUSP00000120021.1 pep:known chromosome:GRCm38:11:102404854:102407946:-1 gene:ENSMUSG00000018677.9 transcript:ENSMUST00000124755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a39 description:solute carrier family 25, member 39 [Source:MGI Symbol;Acc:MGI:1196386] MDDQDPGGISPLQQMVASGAGAVVTSLFMTPLDVVKVRLQSQRPSATSELTTPSRFWSLS YTKSSSALQSPGKCLLYCNGVLEPLYLCPNGTRCATWFQDPTRFTGTLDAFVKIVRHEGT RTLWSGLPATLVMTVPATAIYFTAYDQLKAFL >ENSMUSP00000030118.3 pep:known chromosome:GRCm38:4:40722480:40734964:1 gene:ENSMUSG00000028410.13 transcript:ENSMUST00000030118.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja1 description:DnaJ heat shock protein family (Hsp40) member A1 [Source:MGI Symbol;Acc:MGI:1270129] MVKETTYYDVLGVKPNATQEELKKAYRKLALKYHPDKNPNEGEKFKQISQAYEVLADSKK RELYDKGGEQAIKEGGAGGGFGSPMDIFDMFFGGGGRMQRERRGKNVVHQLSVTLEDLYN GATRKLALQKNVICDKCEGRGGKKGAVECCPNCRGTGMQIRIHQIGPGMVQQIQSVCMEC QGHGERISPKDRCKSCNGRKIVREKKILEVHIDKGMKDGQKITFHGEGDQEPGLEPGDII IVLDQKDHAVFTRRGEDLFMCMDIQLVEALCGFQKPISTLDNRTIVITSHPGQIVKHGDI KCVLNEGMPIYRRPYEKGRLIIEFKVNFPENGFLSPDKLSLLEKLLPERKEVEETDEMDQ VELVDFDPNQERRRHYNGEAYEDDEHHPRGGVQCQTS >ENSMUSP00000118294.1 pep:known chromosome:GRCm38:4:40722930:40731759:1 gene:ENSMUSG00000028410.13 transcript:ENSMUST00000137246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja1 description:DnaJ heat shock protein family (Hsp40) member A1 [Source:MGI Symbol;Acc:MGI:1270129] MVKETTYYDVLGVKPNATQEELKKAYRKLALKYHPDKNPNEGEKFKQISQAYEVLADSKK RELYDKGGEQAIKEGGAGGGFGSPMDIFDMFFGGGGRMQRERRGKNVVHQLSVTLEDLYN GATRKLALQKNVICDKCEGRGGKKGAVECCPNCRGTGMQIRIHQIGPGMVQQIQSVCMEC QGHGERISPKDRCKSCNGRKIVREKKILEVHIDKGMKDGQKITFHGEGDQEPGLEPGDII IVLDQKDHAVFTRRGEDLFMCMDIQLVEALCGFQKPIS >ENSMUSP00000121461.1 pep:known chromosome:GRCm38:4:40723117:40726180:1 gene:ENSMUSG00000028410.13 transcript:ENSMUST00000149794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja1 description:DnaJ heat shock protein family (Hsp40) member A1 [Source:MGI Symbol;Acc:MGI:1270129] MVKETTYYDVLGVKPNATQEELKKAYRKLALKYHPDKNPNEGEKFKQISQAYEVLADSKK RELYDKGGEQAIKEGGAGGGFGSPMDIFDMFFGGGGRMQRERRGKNVVHQLSVTLEDLYN GATRKLAL >ENSMUSP00000116601.1 pep:known chromosome:GRCm38:4:40722945:40728164:1 gene:ENSMUSG00000028410.13 transcript:ENSMUST00000125442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja1 description:DnaJ heat shock protein family (Hsp40) member A1 [Source:MGI Symbol;Acc:MGI:1270129] MVKETTYYDVLGVKPNATQEELKKAYRKLALKYHPDKNPNEGEKFKQISQAYEVLADSKK RELYDKGGEQAIKEGGAGGGFGSPMDIFDMFFGGGGRMQRERRGKNVVHQLSVTLEDLYN GATRKLALQKNVICDKCEGRGGKKGAVECCPNCRGTGMQIRIHQIGPGMVQQIQSVCMEC QGHGERISPKDRCKSCNGRKIVREKKIL >ENSMUSP00000129730.1 pep:known chromosome:GRCm38:4:40722922:40737149:1 gene:ENSMUSG00000028410.13 transcript:ENSMUST00000164233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja1 description:DnaJ heat shock protein family (Hsp40) member A1 [Source:MGI Symbol;Acc:MGI:1270129] MVKETTYYDVLGVKPNATQEELKKAYRKLALKYHPDKNPNEGEKFKQISQAYEVLADSKK RELYDKGGEQAIKEGGAGGGFGSPMDIFDMFFGGGGRMQRERRGKNVVHQLSVTLEDLYN GATRKLALQKNVICDKCEGRGGKKGAVECCPNCRGTGMQIRIHQIGPGMVQQIQSVCMEC QGHGERISPKDRCKSCNGRKIVREKKILEVHIDKGMKDGQKITFHGEGDQEPGLEPGDII IVLDQKDHAVFTRRGEDLFMCMDIQLVEALCGFQKPISTLDNRTIVITSHPGQIVKHGDI KCVLNEGMPIYRRPYEKGRLIIEFKVNFPENGFLSPDKLSLLEKLLPERKEVEETDEMDQ VELVDFDPNQERRRHYNGEAYEDDEHHPRGGVQCQTS >ENSMUSP00000113979.1 pep:known chromosome:GRCm38:8:24532454:24576333:-1 gene:ENSMUSG00000031549.16 transcript:ENSMUST00000121992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ido2 description:indoleamine 2,3-dioxygenase 2 [Source:MGI Symbol;Acc:MGI:2142489] MEPQSQSMTLEVPLSLGRYHISEEYGFLLPNPLEALPDHYKPWMEIALRLPHLIENRQLR AHVYRMPLLDCRFLKSYREQRLAHMALAAITMGFVWQEGEGQPQKVLPRSLAIPFVEVSR NLGLPPILVHSDLVLTNWTKRNPEGPLEISNLETIISFPGGESLRGFILVTVLVEKAAVP GLKALVQGMEAIRQHSQDTLLEALQQLRLSIQDITRALAQMHDYVDPDIFYSVIRIFLSG WKDNPAMPVGLVYEGVATEPLKYSGGSAAQSSVLHAFDEFLGIEHCKESVGFLHRMRDYM PPSHKAFLEDLHVAPSLRDYILASGPGDCLMAYNQCVEALGELRSYHINVVARYIISAAT RARSRGLTNPSPHALEDRGTGGTAMLSFLKSVREKTMEALLCPGA >ENSMUSP00000073107.2 pep:known chromosome:GRCm38:7:103249737:103252505:-1 gene:ENSMUSG00000057321.2 transcript:ENSMUST00000073394.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp17ld description:ubiquitin specific peptidase 17-like D [Source:MGI Symbol;Acc:MGI:3051372] MVVSLSFPEADPALSSPGAQQLHQDEAQVVVELTANDKPSLSWECPQGPGCGLQNTGNSC YLNAALQCLTHTPPLADYMLSQEYSQTCCSPEGCKMCAMEAHVTQSLLHSHSGDVMKPSQ ILTSAFHKHQQEDAHEFLMFTLETMHESCLQVHRQSEPTSEDSSPIHDIFGGLWRSQIKC LHCQGTSDTYDRFLDVPLDISSAQSVNQALWDTEKSEELRGENAYYCGRCRQKMPASKTL HIHSAPKVLLLVLKRFSAFMGNKLDRKVSYPEFLDLKPYLSQPTGGPLPYALYAVLVHEG ATCHSGHYFSYVKAGHGKWYKMDDTKVTSCDVTSVLNENAYVLFYVQQTDLKEVSIDMPE GRIHEVLDPEYQLKKSRRKKHKKKSPCTEDVGEPSKNREKKATKETSLGEGKVLQEKNHK KAGQKHENTKLVPQEQNHQKLGQKHRNNEILPQEQNHQKTGQSLRNTEGELDLPADAIVI HLPRSIANWGRDTPDKVNQPWHNADRLLTSQDLVNTGQLCRQEGRRRSKKGKNKNKQGQK LLLVR >ENSMUSP00000140275.1 pep:known chromosome:GRCm38:14:23299381:24004205:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000188285.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] MANGGGGGGGSSGGGGGGGGGSGLRMSSNIHANHLSLDASSSSSSSSSSSSSSSSSSSSS VHEPKMDALIIPVTMEVPCDSRGQRMWWAFLASSMVTFFGGLFIILLWRTLKYLWTVCCH CGGKTKEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVL VVLVFALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKL WFWLEVNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKL VNLLSIFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYA KTTLGRLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLES VSNFLKDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVK IESADACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSW NWKEGDDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNE MYTEYLSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRSRKRILINPGNHLKIQE GTLGFFIASDAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRPKMSIYKRMRRACCFDCGR SERDCSCMSGRVRGNVDTLERTFPLSSVSVNDCSTSFRAFEDEQPPTLSPKKKQRNGGMR NSPNTSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMT VLSGHVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNF PKVSILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFD DSIGVLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQ DDDDDPDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALI AEENALRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFG IYRLRDAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSS QSSSKKSSSVHSIPSTANRPNRPKSRESRDKQKKEMVYR >ENSMUSP00000141069.1 pep:known chromosome:GRCm38:14:23299395:24004859:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000188210.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] MANGGGGGGGSSGGGGGGGGGSGLRMSSNIHANHLSLDASSSSSSSSSSSSSSSSSSSSS VHEPKMDALIIPVTMEVPCDSRGQRMWWAFLASSMVTFFGGLFIILLWRTLKYLWTVCCH CGGKTKEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVL VVLVFALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKL WFWLEVNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKL VNLLSIFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYA KTTLGRLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLES VSNFLKDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVK IESADACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSW NWKEGDDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNE MYTEYLSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRSRKRILINPGNHLKIQE GTLGFFIASDAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRLEDEQPPTLSPKKKQRNGG MRNSPNTSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAA MTVLSGHVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLH NFPKVSILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQ FDDSIGVLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELAKPGKLPLV SVNQEKNSGTHILMITELVNDTNVQFLDQDDDDDPDTELYLTQPFACGTAFAVSVLDSLM SATYFNDNILTLIRTLVTGGATPELEALIAEENALRGGYSTPQTLANRDRCRVAQLALLD GPFADLGDGGCYGDLFCKALKTYNMLCFGIYRLRDAHLSTPSQCTKRYVITNPPYEFELV PTDLIFCLMQFDHNAGQSRASLSHSSHSSQSSSKKSSSVHSIPSTANRPNRPKSRESRDK QNRKEMVYR >ENSMUSP00000140154.1 pep:known chromosome:GRCm38:14:23299891:24003977:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000190985.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] XSSSSSSSSSSVHEPKMDALIIPVTMEVPCDSRGQRMWWAFLASSMVTFFGGLFIILLWR TLKYLWTVCCHCGGKTKEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVM ISAQTLTGRVLVVLVFALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYF GLRFIAANDKLWFWLEVNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFL NILKTSNSIKLVNLLSIFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVT MSTVGYGDVYAKTTLGRLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKH IVVCGHITLESVSNFLKDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGS VLNPHDLARVKIESADACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYH NKAHLLNIPSWNWKEGDDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTW QKYYLEGVSNEMYTEYLSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPG NHLKIQEGTLGFFIASDAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRRK >ENSMUSP00000140033.1 pep:known chromosome:GRCm38:14:23299891:24004205:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000190044.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] MANGGGGGGGSSGGGGGGGGGSGLRMSSNIHANHLSLDASSSSSSSSSSSSSSSSSSSSS VHEPKMDALIIPVTMEVPCDSRGQRMWWAFLASSMVTFFGGLFIILLWRTLKYLWTVCCH CGGKTKEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVL VVLVFALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKL WFWLEVNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKL VNLLSIFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYA KTTLGRLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLES VSNFLKDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVK IESADACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSW NWKEGDDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNE MYTEYLSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTLG FFIASDAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRLEDEQPPTLSPKKKQRNGGMRNS PNTSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVL SGHVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPK VSILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDS IGVLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQDD DDDPDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIAE ENALRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGIY RLRDAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQS SSKKSSSVHSIPSTANRPNRPKSRESRDKQNRKEMVYR >ENSMUSP00000140751.1 pep:known chromosome:GRCm38:14:23300021:24004125:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000188991.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] MANGGGGGGGSSGGGGGGGGGSGLRMSSNIHANHLSLDASSSSSSSSSSSSSSSSSSSSS VHEPKMDALIIPVTMEVPCDSRGQRMWWAFLASSMVTFFGGLFIILLWRTLKYLWTVCCH CGGKTKEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVL VVLVFALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKL WFWLEVNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKL VNLLSIFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYA KTTLGRLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLES VSNFLKDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVK IESADACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSW NWKEGDDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNE MYTEYLSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTLG FFIASDAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRPKMSIYKRMRRACCFDCGRSERD CSCMSGRVRGNVDTLERTFPLSSVSVNDCSTSFRAFEDEQPPTLSPKKKQRNGGMRNSPN TSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVLSG HVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPKVS ILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDSIG VLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQDDDD DPDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIAEEN ALRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGIYRL RDAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQSSS KKSSSVHSIPSTANRPNRPKSRESRDKQNRKEMVYR >ENSMUSP00000141143.1 pep:known chromosome:GRCm38:14:24002656:24004298:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000190339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] MANGGGGGGGSSGGGGGGGGGSGLRMSSNIHANHLSLDASSSSSSSSSSSSSSSSSSSSS VHEPKMDALIIPVTMEVPCDSRGQRMWWAFLASSMVTFFGGLFIILLWRTLKYLWTVCCH CGGKTKAINFGSPQIQPAAPICSTRAALLRVQGTSASLSPLLALDVARSRLKLFLAESPT VLGLTSQMCGC >ENSMUSP00000065293.8 pep:known chromosome:GRCm38:14:23298694:23803304:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000065788.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] QEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVF ALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLE VNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLS IFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLG RLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFL KDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESAD ACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEG DDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEY LSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTLGFFIAS DAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRPVYVEDEQPPTLSPKKKQRNGGMRNSPN TSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVLSG HVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPKVS ILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDSIG VLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQDDDD DPDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIAEEN ALRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGIYRL RDAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQSSS KKSSSVHSIPSTANRPNRPKSRESRDKQNATRMTRMGQEKKWFTDEPDNAYPRNIQIKPM STHMANQINQYKSTSSLIPPIREVEDEC >ENSMUSP00000074511.6 pep:known chromosome:GRCm38:14:23299395:23803304:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000074983.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] QEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVF ALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLE VNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLS IFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLG RLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFL KDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESAD ACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEG DDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEY LSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRSRSRILINPGNHLKIQEGTLGF FIASDAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRPKMSIYKRMRRACCFDCGRSERDC SCMSGRVRGNVDTLERTFPLSSVSVNDCSTSFRAFEDEQPPTLSPKKKQRNGGMRNSPNT SPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVLSGH VVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPKVSI LPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDSIGV LQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQDDDDD PDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIAEENA LRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGIYRLR DAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQSSSK KSSSVHSIPSTANRPNRPKSRESRDKQ >ENSMUSP00000098393.4 pep:known chromosome:GRCm38:14:23309015:23803304:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000100831.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] QEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVF ALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLE VNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLS IFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLG RLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFL KDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESAD ACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEG DDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEY LSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRSRSRILINPGNHLKIQEGTLGF FIASDAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRLKVEARARYHKDPFMHKNATPNSP HVPKPVEDEQPPTLSPKKKQRNGGMRNSPNTSPKLMRHDPLLIPGNDQIDNMDSNVKKYD STGMFHWCAPKEIEKVILTRSEAAMTVLSGHVVVCIFGDVSSALIGLRNLVMPLRASNFH YHELKHIVFVGSIEYLKREWETLHNFPKVSILPGTPLSRADLRAVNINLCDMCVILSANQ NNIDDTSLQDKECILASLNIKSMQFDDSIGVLQANSQGFTPPGMDRSSPDNSPVHGMLRQ PSITTGVNIPIITELVNDTNVQFLDQDDDDDPDTELYLTQPFACGTAFAVSVLDSLMSAT YFNDNILTLIRTLVTGGATPELEALIAEENALRGGYSTPQTLANRDRCRVAQLALLDGPF ADLGDGGCYGDLFCKALKTYNMLCFGIYRLRDAHLSTPSQCTKRYVITNPPYEFELVPTD LIFCLMQFDHNAGQSRASLSHSSHSSQSSSKKSSSVHSIPSTANRPNRPKSRESRDKQKY VQEERL >ENSMUSP00000132204.2 pep:known chromosome:GRCm38:14:23289431:23803304:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000172099.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] QEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVF ALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLE VNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLS IFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLG RLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFL KDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESAD ACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEG DDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEY LSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRSRSRILINPGNHLKIQEGTLGF FIASDAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRLVIAKMSIYKRMRRACCFDCGRSE RDCSCMSGRVRGNVDTLERTFPLSSVSVNDCSTSFRAFEDEQPPTLSPKKKQRNGGMRNS PNTSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVL SGHVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPK VSILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDS IGVLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQDD DDDPDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIAE ENALRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGIY RLRDAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQS SSKKSSSVHSIPSTANRPNRPKSRESRDKQKRRELVLR >ENSMUSP00000108042.3 pep:known chromosome:GRCm38:14:23299395:23650189:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000112423.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] QVVLVFALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDK LWFWLEVNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIK LVNLLSIFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVY AKTTLGRLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLE SVSNFLKDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARV KIESADACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPS WNWKEGDDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSN EMYTEYLSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTL GFFIASDAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRLLHVEDEQPPTLSPKKKQRNGG MRNSPNTSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAA MTVLSGHVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLH NFPKVSILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQ FDDSIGVLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFL DQDDDDDPDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEA LIAEENALRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLC FGIYRLRDAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSH SSQSSSKKSSSVHSIPSTANRPNRPKSRESRDKQNATRMTRMGQAEKKWFTDEPDNAYPR NIQIKPMSTHMANQINQYKSTSSLIPPIREVEDEC >ENSMUSP00000136447.1 pep:known chromosome:GRCm38:14:23298694:23803304:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000177634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] QEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVF ALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLE VNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLS IFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLG RLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFL KDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESAD ACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEG DDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEY LSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTLGFFIAS DAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRPVYVEDEQPPTLSPKKKQRNGGMRNSPN TSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVLSG HVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPKVS ILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDSIG VLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELAKPGKLPLVSVNQEK NSGTHILMITELVNDTNVQFLDQDDDDDPDTELYLTQPFACGTAFAVSVLDSLMSATYFN DNILTLIRTLVTGGATPELEALIAEENALRGGYSTPQTLANRDRCRVAQLALLDGPFADL GDGGCYGDLFCKALKTYNMLCFGIYRLRDAHLSTPSQCTKRYVITNPPYEFELVPTDLIF CLMQFDHNAGQSRASLSHSSHSSQSSSKKSSSVHSIPSTANRPNRPKSRESRDKQNATRM TRMGQEKKWFTDEPDNAYPRNIQIKPMSTHMANQINQYKSTSSLIPPIREVEDEC >ENSMUSP00000128553.2 pep:known chromosome:GRCm38:14:23309015:23803304:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000163322.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] QEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVF ALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLE VNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLS IFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLG RLFMVFFILGGLAMFARYVPEIAALILNRNKFGGTFNKHGGRKHIVVCGHITLESVSNFL KDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESAD ACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEG DDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEY LSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTLGFFIAS DAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRLEDEQPPTLSPKKKQRNGGMRNSPNTSP KLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVLSGHVV VCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPKVSILP GTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDSIGVLQ ANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQDDDDDPD TELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIAEENALR GGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGIYRLRDA HLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQSSSKKS SSVHSIPSTANRPNRPKSRESRDKQKYVQEERL >ENSMUSP00000137141.1 pep:known chromosome:GRCm38:14:23289431:23803304:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000179836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] QEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVF ALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLE VNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLS IFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLG RLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFL KDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESAD ACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKIEEDTWQKYYLEGV SNEMYTEYLSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEG TLGFFIASDAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRPKMSIYKRMRRACCFDCGRS ERDCSCMSGRVRGNVDTLERTFPLSSVSVNDCSTSFRAFEDEQPPTLSPKKKQRNGGMRN SPNTSPKLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTV LSGHVVVCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFP KVSILPGTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDD SIGVLQANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELVNDTNVQFLDQD DDDDPDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNILTLIRTLVTGGATPELEALIA EENALRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDGGCYGDLFCKALKTYNMLCFGI YRLRDAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLMQFDHNAGQSRASLSHSSHSSQ SSSKKSSSVHSIPSTANRPNRPKSRESRDKQKRRELVLR >ENSMUSP00000136568.1 pep:known chromosome:GRCm38:14:23298694:23803304:-1 gene:ENSMUSG00000063142.13 transcript:ENSMUST00000179097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnma1 description:potassium large conductance calcium-activated channel, subfamily M, alpha member 1 [Source:MGI Symbol;Acc:MGI:99923] QEAQKINNGSSQADGTLKPVDEKEEVVAAEVGWMTSVKDWAGVMISAQTLTGRVLVVLVF ALSIGALVIYFIDSSNPIESCQNFYKDFTLQIDMAFNVFFLLYFGLRFIAANDKLWFWLE VNSVVDFFTVPPVFVSVYLNRSWLGLRFLRALRLIQFSEILQFLNILKTSNSIKLVNLLS IFISTWLTAAGFIHLVENSGDPWENFQNNQALTYWECVYLLMVTMSTVGYGDVYAKTTLG RLFMVFFILGGLAMFASYVPEIIELIGNRKKYGGSYSAVSGRKHIVVCGHITLESVSNFL KDFLHKDRDDVNVEIVFLHNISPNLELEALFKRHFTQVEFYQGSVLNPHDLARVKIESAD ACLILANKYCADPDAEDASNIMRVISIKNYHPKIRIITQMLQYHNKAHLLNIPSWNWKEG DDAICLAELKLGFIAQSCLAQGLSTMLANLFSMRSFIKIEEDTWQKYYLEGVSNEMYTEY LSSAFVGLSFPTVCELCFVKLKLLMIAIEYKSANRESRILINPGNHLKIQEGTLGFFIAS DAKEVKRAFFYCKACHDDVTDPKRIKKCGCRRLEDEQPPTLSPKKKQRNGGMRNSPNTSP KLMRHDPLLIPGNDQIDNMDSNVKKYDSTGMFHWCAPKEIEKVILTRSEAAMTVLSGHVV VCIFGDVSSALIGLRNLVMPLRASNFHYHELKHIVFVGSIEYLKREWETLHNFPKVSILP GTPLSRADLRAVNINLCDMCVILSANQNNIDDTSLQDKECILASLNIKSMQFDDSIGVLQ ANSQGFTPPGMDRSSPDNSPVHGMLRQPSITTGVNIPIITELAKPGKLPLVSVNQEKNSG THILMITELVNDTNVQFLDQDDDDDPDTELYLTQPFACGTAFAVSVLDSLMSATYFNDNI LTLIRTLVTGGATPELEALIAEENALRGGYSTPQTLANRDRCRVAQLALLDGPFADLGDG GCYGDLFCKALKTYNMLCFGIYRLRDAHLSTPSQCTKRYVITNPPYEFELVPTDLIFCLM QFDHNAGQSRASLSHSSHSSQSSSKKSSSVHSIPSTANRPNRPKSRESRDKQNATRMTRM GQEKKWFTDEPDNAYPRNIQIKPMSTHMANQINQYKSTSSLIPPIREVEDEC >ENSMUSP00000073392.6 pep:known chromosome:GRCm38:7:44188236:44192455:1 gene:ENSMUSG00000063713.7 transcript:ENSMUST00000073713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b24 description:kallikrein 1-related peptidase b24 [Source:MGI Symbol;Acc:MGI:892021] MWFLILFLALSLGGIDAAPPVQSRVVGGFKCEKNSQPWHVAVFRYNKYICGGVLLNPNWV LTAAHCYGNATSQYNVWLGKNKLFQREPSAQHRWVSKSFPHPDYNMSLLNDDIPQPKDKS NDLMLLRLSEPADITDAVKPIDLPTEEPKLGSTCLASGWGSITPTKWQKPNDLQCVFIKL LPNENCTKPYLHKVTDVMLCAGEMGGGKDTCAGDSGGPLICDGILHGITSWGPVPCGKPN APAIYTKLIKFASWIKDTMAKNP >ENSMUSP00000137214.1 pep:known chromosome:GRCm38:14:54254188:54269075:1 gene:ENSMUSG00000040997.13 transcript:ENSMUST00000180359.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd4 description:abhydrolase domain containing 4 [Source:MGI Symbol;Acc:MGI:1915938] MSQLKNVEARILQCLQNKFLARYVSLPNQNKIWTVTVSPEQKDRTPLVMVHGFGGGVGLW ILNMDSLSARRTLHTFDLLGFGRSSRPTFPRDPEGAEDEFVASIETWRETMGIPTMILLG HSLGGFLATSYSIKYPERVKHLILVDPWGFPLRPTDPSEIRAPPTWVKAVASVLGRSNPL AVLRVAGPWGPGLVQRFRPDFKRKFADFFEDDTISEYIYHCNAQNPSGETAFKAMMESFG WARRPMLERIHLIRKDVPITMIYGANTWIDTSTGKKVKMQRPDSYVRDMEIEGASHHVYA DQPHIFNAVVEEICNSVD >ENSMUSP00000143600.1 pep:known chromosome:GRCm38:14:54254214:54268078:1 gene:ENSMUSG00000040997.13 transcript:ENSMUST00000199338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd4 description:abhydrolase domain containing 4 [Source:MGI Symbol;Acc:MGI:1915938] MMESFGWARRPMLERIHLIRKDVPITMIYGANTWIDTSTGKKVKMQRPDSYVRDMEIEGA SHHVYADQPHIFNAVVEEICNSVD >ENSMUSP00000044134.7 pep:known chromosome:GRCm38:14:54259232:54269164:1 gene:ENSMUSG00000040997.13 transcript:ENSMUST00000041197.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd4 description:abhydrolase domain containing 4 [Source:MGI Symbol;Acc:MGI:1915938] MGWLSSTRQGLFTMADDLEQQPQGWLSSWLPTWRPTSMSQLKNVEARILQCLQNKFLARY VSLPNQNKIWTVTVSPEQKDRTPLVMVHGFGGGVGLWILNMDSLSARRTLHTFDLLGFGR SSRPTFPRDPEGAEDEFVASIETWRETMGIPTMILLGHSLGGFLATSYSIKYPERVKHLI LVDPWGFPLRPTDPSEIRAPPTWVKAVASVLGRSNPLAVLRVAGPWGPGLVQRFRPDFKR KFADFFEDDTISEYIYHCNAQNPSGETAFKAMMESFGWARRPMLERIHLIRKDVPITMIY GANTWIDTSTGKKVKMQRPDSYVRDMEIEGASHHVYADQPHIFNAVVEEICNSVD >ENSMUSP00000143121.1 pep:known chromosome:GRCm38:14:54259279:54263320:1 gene:ENSMUSG00000040997.13 transcript:ENSMUST00000196699.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd4 description:abhydrolase domain containing 4 [Source:MGI Symbol;Acc:MGI:1915938] RQGLFTMADDLEQQPQGWLSSWLPTWRPTSMSQLKNVEARILQCLQNKFLARYVSLPNQN KIWTVTVSPEQKDRTPLVMLKANIPKGPRRS >ENSMUSP00000142722.1 pep:known chromosome:GRCm38:14:54259307:54263323:1 gene:ENSMUSG00000040997.13 transcript:ENSMUST00000197605.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd4 description:abhydrolase domain containing 4 [Source:MGI Symbol;Acc:MGI:1915938] MSQLKNVEARILQCLQNKFLARYVSLPNQNKIWTVTVSPEQKDRTPLVMVHGFGGGVGLW ILNMDSLSARRTLHTFDLLGFGRSSRPTFPRDPEGAEDEFVASIETWRETMGIPTMILLG HSLGGFLATSYSIKYPERVKHLILVDPWGFPLRPTDPSEIRAPPTWVKAVASVLGRSNPL AVLRVAGPW >ENSMUSP00000142423.1 pep:known chromosome:GRCm38:14:54262976:54270637:1 gene:ENSMUSG00000040997.13 transcript:ENSMUST00000199068.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd4 description:abhydrolase domain containing 4 [Source:MGI Symbol;Acc:MGI:1915938] XLGGFLATSYSIKYPERVKHLILVDPWGFPLRPTDPSEIRAPPTWVKAVASVLGRSNPLA VLRVAGPWGPGLVQRFRPDFKRKFADFFEDDTISEYIYHCNAQNPSGETAFKAMMESFGW ARRPMLERIHLIRKDVPITMIYGANTWIDTSTGKKVKMQRPDSYVRDMIEAMEGQREHFY NVGIGQVKRIEINQITKTMLKSSSTHLHFWLTSSHLRIPALTTSNTCCAVR >ENSMUSP00000142607.1 pep:known chromosome:GRCm38:14:54263281:54267854:1 gene:ENSMUSG00000040997.13 transcript:ENSMUST00000197807.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abhd4 description:abhydrolase domain containing 4 [Source:MGI Symbol;Acc:MGI:1915938] RSNPLAVLRVAGPWGPGLVQRFRPDFKRKFADFFEDDTISEYIYHCNAQNPSLLTT >ENSMUSP00000035584.7 pep:known chromosome:GRCm38:17:26195999:26199087:-1 gene:ENSMUSG00000024184.15 transcript:ENSMUST00000039113.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdia2 description:protein disulfide isomerase associated 2 [Source:MGI Symbol;Acc:MGI:1916441] MDKQLLPVLLLLLGVSGSWGQGEEPGGPSEVLPEEPTGEEVPKEDGILVLNHRTLSLALQ EHSALMVEFYAPWCGHCKELAPEYSKAAALLAAESAVVTLAKVDGPAEPELTKEFEVVGY PTLKFFQNGNRTNPEEYAGPKTAEGIAEWLRRRVGPSATHLEDEEGVQALMAKWDMVVIG FFQDLQGKDMATFLALAKDALDMTFGFTDQPQLFEKFGLTKDTVVLFKKFDEGRADFPVD KETGLDLGDLSRFLVIHSMHLVTEFNSQTSPKIFAAKILNHLLLFVNQTLAQHRELLTDF REAAPPFRGQVLFVMVDVAADNSHVLNYFGLKAEEAPTLRLINVETTKKYAPTGVIAITA ASVAAFCQAVLHGEIKHYLLSQEIPPDWDQGPVKTLVSKNFEQVAFDETKNVFVKFYAPW CSHCKEMAPAWEALAEKYKDREDIVIAELDATANELEAFSVLGYPTLKFFPAGPDRKVID YKSTRDLETFSKFLDSGGHLPKEEPKEPAASAPEAQANSTLGPKEEL >ENSMUSP00000116340.1 pep:known chromosome:GRCm38:17:26196006:26196916:-1 gene:ENSMUSG00000024184.15 transcript:ENSMUST00000148134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdia2 description:protein disulfide isomerase associated 2 [Source:MGI Symbol;Acc:MGI:1916441] XAVLHGEIKEIPPDWDQGPVKTLVSKNFEQVAFDETKNVFVKFYAPWCSHCKEMAPAWEA LAEKYKDREDIVIAELDATANELEAFSVLGYPTLKFFPAGPDRKVIDYKSTRDLETFSKF LDSGGHLPKEEPKEPAASAPEAQANSTLGPKEEL >ENSMUSP00000114080.1 pep:known chromosome:GRCm38:17:26196025:26199062:-1 gene:ENSMUSG00000024184.15 transcript:ENSMUST00000120333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdia2 description:protein disulfide isomerase associated 2 [Source:MGI Symbol;Acc:MGI:1916441] MDKQLLPVLLLLLGVSGSWGQGEEPGGPSEVLPEEPTGEEVPKEDGILVLNHRTLSLALQ EHSALMVEFYAPWCGHCKELAPEYSKAAALLAAESAVVTLAKVDGPAEPELTKEFEVVGY PTLKFFQNGNRTNPEEYAGPKTAEGIAEWLRRRVGPSATHLEDEEGVQALMAKWDMVVIG FFQGKDMATFLALAKDALDMTFGFTDQPQLFEKFGLTKDTVVLFKKFDEGRADFPVDKET GLDLGDLSRFLVIHSMHLVTEFNSQTSPKIFAAKILNHLLLFVNQTLAQHRELLTDFREA APPFRGQVLFVMVDVAADNSHVLNYFGLKAEEAPTLRLINVETTKKYAPTGVIAITAASV AAFCQAVLHGEIKHYLLSQEIPPDWDQGPVKTLVSKNFEQVAFDETKNVFVKFYAPWCSH CKEMAPAWEALAEKYKDREDIVIAELDATANELEAFSVLGYPTLKFFPAGPDRKVIDYKS TRDLETFSKFLDSGGHLPKEEPKEPAASAPEAQANSTLGPKEEL >ENSMUSP00000115267.1 pep:known chromosome:GRCm38:17:26197494:26199008:-1 gene:ENSMUSG00000024184.15 transcript:ENSMUST00000142410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdia2 description:protein disulfide isomerase associated 2 [Source:MGI Symbol;Acc:MGI:1916441] XLLLLGVSGSWGQGEEPGGPSEVLPEEPTGEEVPKEDGILVLNHRTLSLALQEHSALMVE FYAPWCGHCKELAPEYSKAAALLAAESAVVTLAKVDGPAEPELTKEFEVVGYPTLKFFQN GNRTNPEEYAGPKTAEGIAEWLRRRVGPSATHLEDEEGVQALMAKWDMVVIGFFQDALDM TFGFTDQPQLFEKFGLTKDTVVLFKKFDEGRADFPVDKETGLDLG >ENSMUSP00000134570.1 pep:known chromosome:GRCm38:17:35262730:35267499:1 gene:ENSMUSG00000073411.11 transcript:ENSMUST00000172785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-D1 description:histocompatibility 2, D region locus 1 [Source:MGI Symbol;Acc:MGI:95896] MGAMAPRTLLLLLAAALAPTQTRAGPHSMRYFETAVSRPGLEEPRYISVGYVDNKEFVRF DSDAENPRYEPRAPWMEQEGPEYWERETQKAKGQEQWFRVSLRNLLGYYNQSAGGSHTLQ QMSGCDLGSDWRLLRGYLQFAYEGRDYIALNEDLKTWTAADMAAQITRRKWEQSGAAEHY KAYLEGECVEWLHRYLKNGNATLLRTDSPKAHVTHHPRSKGEVTLRCWALGFYPADITLT WQLNGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCRVYHEGLPEPLTLRWEP PPSTDSYMVIVAVLGVLGAMAIIGAVVAFVMKRRRNTGGKGGDYALAPGSQSSEMSLRDC KA >ENSMUSP00000133518.1 pep:known chromosome:GRCm38:17:35266021:35266889:1 gene:ENSMUSG00000073411.11 transcript:ENSMUST00000173167.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-D1 description:histocompatibility 2, D region locus 1 [Source:MGI Symbol;Acc:MGI:95896] XHEGLPEPLTLRWEPPPSTDSYMVIVAVLGVLGAMAIIGAVVAFVMKRRRNTGGKGGDYA LAPGSQSSEMSLRDCKGDTLGSDWGGAMWT >ENSMUSP00000134582.1 pep:known chromosome:GRCm38:17:35266021:35266889:1 gene:ENSMUSG00000073411.11 transcript:ENSMUST00000172503.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-D1 description:histocompatibility 2, D region locus 1 [Source:MGI Symbol;Acc:MGI:95896] XHEGLPEPLTLRWEPPPSTDSYMVIVAVLGVLGAMAIIGAVVAFVMKRRRNTAFLFTGGK GGDYALAPGSQSSEMSLRDCKGDTLGSDWGGAMWT >ENSMUSP00000005933.2 pep:known chromosome:GRCm38:7:44136767:44141610:1 gene:ENSMUSG00000038968.3 transcript:ENSMUST00000005933.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b16 description:kallikrein 1-related peptidase b16 [Source:MGI Symbol;Acc:MGI:891982] MWFLILFLALSLGGIDAAPPVQSRIVGGFKCEKNSQPWQVAVYYHKEHICGGVLLDRNWV LTAAHCYVDECEVWLGKNQLFQEEPSAQNRLVSKSFPHPGFNMTLLTFEKLPPGADFSND LMLLRLSKPADITDVVKPIDLPTKEPKLDSTCLVSGWGSITPTKWQKPDDLQCMFTKLLP NENCAKAYLLKVTDVMLCTIEMGEDKGPCVGDSGGPLICDGVLQGTVSIGPDPCGIPGVS AIYTNLVKFNSWIKDTMMKNA >ENSMUSP00000027634.6 pep:known chromosome:GRCm38:1:120113280:120121078:-1 gene:ENSMUSG00000026385.16 transcript:ENSMUST00000027634.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbi description:diazepam binding inhibitor [Source:MGI Symbol;Acc:MGI:94865] MSQAEFDKAAEEVKRLKTQPTDEEMLFIYSHFKQATVGDVNTDRPGLLDLKGKAKWDSWN KLKGTSKESAMKTYVEKVDELKKKYGI >ENSMUSP00000138014.1 pep:known chromosome:GRCm38:1:120113290:120121017:-1 gene:ENSMUSG00000026385.16 transcript:ENSMUST00000132118.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dbi description:diazepam binding inhibitor [Source:MGI Symbol;Acc:MGI:94865] MSQAEFDKAAEEVKRLKTQPTDEEMLFIYSHFKQATVGDVNTGMPSFSSERAKLARQPGS LRTSPSDCQRQAVMTEH >ENSMUSP00000108267.1 pep:known chromosome:GRCm38:1:120113337:120120138:-1 gene:ENSMUSG00000026385.16 transcript:ENSMUST00000112648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbi description:diazepam binding inhibitor [Source:MGI Symbol;Acc:MGI:94865] MLFIYSHFKQATVGDVNTDRPGLLDLKGKAKWDSWNKLKGTSKESAMKTYVEKVDELKKK YGI >ENSMUSP00000114705.2 pep:known chromosome:GRCm38:1:120113400:120120937:-1 gene:ENSMUSG00000026385.16 transcript:ENSMUST00000151708.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbi description:diazepam binding inhibitor [Source:MGI Symbol;Acc:MGI:94865] MLWVWGQPGLFPQISRSSSGIRAQLIAWGAELFPLQICKTATHAGTARELPAEFDKAAEE VKRLKTQPTDEEMLFIYSHFKQATVGDVNTDRPGLLDLKGKAKWDSWNKLKGTSKESAMK TYVEKVDELKKKYGI >ENSMUSP00000046197.4 pep:known chromosome:GRCm38:18:69925466:69969484:1 gene:ENSMUSG00000038903.13 transcript:ENSMUST00000043929.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc68 description:coiled-coil domain containing 68 [Source:MGI Symbol;Acc:MGI:3612676] MTTVTVTTEVPSSGKTEDGHVFCDSSSAHIIEETEYVRQMRTTLEKIRNHMFKEKEGCGN ARHKLDAEGSGNIQNGSDSTTDPTCLDLLMENMRRKDQQLLEMNRENEVLQIKLEASREA GAAALRNVAQRLFDNYQTQAGDLEKKHEGRKHLLQVNNLEKEQALKGSAESLNLLSEKLE EKHGQIVGLENRVQRMENEKKTLLEKKLRLESKLFQLKSNAANPKSCQDLQTEISILQEQ ISHLQFVIHSQHQNLRSIIQEMEGLKNTLKEQDTKIENLKEKVTVLEAQNKELKTRVAHW TETPRTLVSKAVSTSELKTEGASPYLMLIRLRK >ENSMUSP00000078959.5 pep:known chromosome:GRCm38:18:69925576:69969484:1 gene:ENSMUSG00000038903.13 transcript:ENSMUST00000080050.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc68 description:coiled-coil domain containing 68 [Source:MGI Symbol;Acc:MGI:3612676] MTTVTVTTEVPSSGKTEDGHVFCDSSSAHIIEETEYVRQMRTTLEKIRNHMFKEKEGCGN ARHKLDAEGSGNIQNGSDSTTDPTCLDLLMENMRRKDQQLLEMNRENEVLQIKLEASREA GAAALRNVAQRLFDNYQTQAGDLEKKHEGRKHLLQVNNLEKEQALKGSAESLNLLSEKLE EKHGQIVGLENRVQRMENNKELKTRVAHWTETPRTLVSKAVSTSELKTEGASPYLMLIRL RK >ENSMUSP00000102240.1 pep:known chromosome:GRCm38:4:109234485:109254251:1 gene:ENSMUSG00000028558.14 transcript:ENSMUST00000106629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calr4 description:calreticulin 4 [Source:MGI Symbol;Acc:MGI:2140435] MHSESQYYIMFGPDICGFGNNRLQVILSHKGKYHENNKTLKCRINKDTHLYTLILRPNAT YEVKIDNQKVTSGGLEDDWDFLPPKKIKDPYARKPRKWDERQQIEDPDDKKPEDWEDSEF IPDPDAKKPDDWNEAMDGVWEGPLIPNVKYMGEWKPRIIDNPNYQGEWIHPEIDNPKYRP DPTIGHYHNISVLGLDLWQVKSGSIFDNFLLTNDEEFAEEVGNMTWGLRKDVEQQWRELY EEMEKQKEAEETKKKREKERARQEDVWGLDEEDEEDAEEDDEEEAEERLKQEGSAARASL DQKEAHLDQKDEL >ENSMUSP00000102242.2 pep:known chromosome:GRCm38:4:109235262:109254571:1 gene:ENSMUSG00000028558.14 transcript:ENSMUST00000106631.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calr4 description:calreticulin 4 [Source:MGI Symbol;Acc:MGI:2140435] MHSESQYYIMFGPDICGFGNNRLQVILSHKGKYHENNKTLKCRINKDTHLYTLILRPNAT YEVKIDNQKVTSGGLEDDWDFLPPKKIKDPYARKPRKWDERQQIEDPDDKKPEDWEDSEF IPDPDAKKPDDWNEAMDGVWEGPLIPNVKYMGEWKPRIIDNPNYQGEWIHPEIDNPKYRP DPTIGHYHNISVLGLDLWQVKSGSIFDNFLLTNDEEFAEEVGNMTWGLRKDVEQQWRELY EEMEKQKEAEETKKKREKERARQEDVWGLDEEDEEDAEEDDEEEAEERLKQEGSAARASL DQKEAHLDQKDEL >ENSMUSP00000102239.1 pep:known chromosome:GRCm38:4:109235413:109254345:1 gene:ENSMUSG00000028558.14 transcript:ENSMUST00000106628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calr4 description:calreticulin 4 [Source:MGI Symbol;Acc:MGI:2140435] MHSESQYYIMFGPDICGFGNNRLQVILSHKGKYHENNKTLKCRINKDTHLYTLILRPNAT YEVKIDNQKVTSGGLEDDWDFLPPKKIKDPYARKPRKWDERQQIEDPDDKKPEDWEDSEF IPDPDAKKPDDWNEAMDGVWEGPLIPNVKYMGEWKPRIIDNPNYQGEWIHPEIDNPKYRP DPTIGHYHNISVLGLDLWQVKSGSIFDNFLLTNDEEFAEEVGNMTWGLRKDVEQQWRELY EEMEKQKEAEETKKKREKERARQEDVWGLDEEDEEDAEEDDEEEAEERLKQEGSAARASL DQKEAHLDQKDEL >ENSMUSP00000030285.7 pep:known chromosome:GRCm38:4:109235632:109254251:1 gene:ENSMUSG00000028558.14 transcript:ENSMUST00000030285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calr4 description:calreticulin 4 [Source:MGI Symbol;Acc:MGI:2140435] MCWFFFFSLSLSPFSTDGWTKRWVQSKHQSDYGQFQLASGKFYQDKERDKGLQTTEDAKF YALSTRFKPFSNENETLVVQFSVKHEQGIDCGGGYVKLFPAALNQEDMHSESQYYIMFGP DICGFGNNRLQVILSHKGKYHENNKTLKCRINKDTHLYTLILRPNATYEVKIDNQKVTSG GLEDDWDFLPPKKIKDPYARKPRKWDERQQIEDPDDKKPEDWEDSEFIPDPDAKKPDDWN EAMDGVWEGPLIPNVKYMGEWKPRIIDNPNYQGEWIHPEIDNPKYRPDPTIGHYHNISVL GLDLWQVKSGSIFDNFLLTNDEEFAEEVGNMTWGLRKDVEQQWRELYEEMEKQKEAEETK KKREKERARQEDVWGLDEEDEEDAEEDDEEEAEERLKQEGSAARASLDQKEAHLDQKDEL >ENSMUSP00000104974.2 pep:known chromosome:GRCm38:2:164486106:164490767:1 gene:ENSMUSG00000017734.15 transcript:ENSMUST00000109350.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbndd2 description:dysbindin (dystrobrevin binding protein 1) domain containing 2 [Source:MGI Symbol;Acc:MGI:106562] MDPNPRAALERQQLRLRERQKFFEDILQPETEFVFPLSHLHLESQRPPIGSISSMEVNVD TLEQVEFIDLADQDGADVFLPCEESSPAPQMSGVDDHPEELSLLVPTSDRTTSRTSSLSS DSSNLRSPNPSDGGGDTPLAQSDEEDGDDGGAEPGPCS >ENSMUSP00000128551.1 pep:known chromosome:GRCm38:2:164486455:164490767:1 gene:ENSMUSG00000017734.15 transcript:ENSMUST00000149287.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dbndd2 description:dysbindin (dystrobrevin binding protein 1) domain containing 2 [Source:MGI Symbol;Acc:MGI:106562] MDPNPRAALERQQLRLRERQKFFEDILQPETEFVFPLSHLHLESQRPPIGSISSMEVNVD TLEQVEFIDLADQDGADVFLPCEESSPAPQMSGVDDHPEELSLLVPTSDRTTSRTSSLSS DSSNLRSPNPSDGGGDTPLAQSDEEDGDDGGAEPGPCS >ENSMUSP00000064437.8 pep:known chromosome:GRCm38:2:164486455:164491275:1 gene:ENSMUSG00000017734.15 transcript:ENSMUST00000069385.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbndd2 description:dysbindin (dystrobrevin binding protein 1) domain containing 2 [Source:MGI Symbol;Acc:MGI:106562] MDPNPRAALERQQLRLRERQKFFEDILQPETEFVFPLSHLHLESQRPPIGSISSMEVNVD TLEQVEFIDLADQDGADVFLPCEESSPAPQMSGVDDHPEELSLLVPTSDRTTSRTSSLSS DSSNLRSPNPSDGGGDTPLAQSDEEDGDDGGAEPGPCS >ENSMUSP00000122187.1 pep:known chromosome:GRCm38:2:164486481:164490216:1 gene:ENSMUSG00000017734.15 transcript:ENSMUST00000143690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbndd2 description:dysbindin (dystrobrevin binding protein 1) domain containing 2 [Source:MGI Symbol;Acc:MGI:106562] MDPNPRAALERQQLRLRERQKFFEDILQPETEFVFPLSHLHLESQRPPIGSISSMEVNVD TLEQVEFIDLADQDGADVFLPCEESSPAPQMSGVDDHPEELSLLVPTSDRTTSRTSSLS >ENSMUSP00000104973.2 pep:known chromosome:GRCm38:2:164486877:164493319:1 gene:ENSMUSG00000017734.15 transcript:ENSMUST00000109349.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbndd2 description:dysbindin (dystrobrevin binding protein 1) domain containing 2 [Source:MGI Symbol;Acc:MGI:106562] MDPNPRAALERQQLRLRERQKFFEDILQPETEFVFPLSHLHLESQRPPIGSISSMEVNVD TLEQVEFIDLADQDGADVFLPCEESSPAPQMSGVDDHPEELSLLVPTSDRTTSRTSSLSS DSSNLRSPNPSDGGGDTPLAQSDEEDGDDGGAEPGPCS >ENSMUSP00000017878.2 pep:known chromosome:GRCm38:2:164487821:164490767:1 gene:ENSMUSG00000017734.15 transcript:ENSMUST00000017878.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbndd2 description:dysbindin (dystrobrevin binding protein 1) domain containing 2 [Source:MGI Symbol;Acc:MGI:106562] MDPNPRAALERQQLRLRERQKFFEDILQPETEFVFPLSHLHLESQRPPIGSISSMEVNVD TLEQVEFIDLADQDGADVFLPCEESSPAPQMSGVDDHPEELSLLVPTSDRTTSRTSSLSS DSSNLRSPNPSDGGGDTPLAQSDEEDGDDGGAEPGPCS >ENSMUSP00000099498.3 pep:known chromosome:GRCm38:11:83440768:83463022:-1 gene:ENSMUSG00000020682.17 transcript:ENSMUST00000103209.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp28 description:matrix metallopeptidase 28 (epilysin) [Source:MGI Symbol;Acc:MGI:2153062] MVAGVSLLLRALPLLLWGCQDAQPTQHGLPELRQEAEAFLEKYGYLSEQGSKAPASAQFR NAIREFQWISQLPLSGVLDQATLRQMTRPRCGVADTDSHATWTERISTLLAGHRAKMRRK KRFAKPGNKWYKQHLSYRLVNWPERLPEPAVRGAVRAAFQLWSNVSALEFWEAPATGPAD IRLTFFQGDHNDGLANAFDGPGGALAHAFLPRRGEAHFDGDERWSLSRRRGRNLFVVLAH EIGHTLGLTHSPAPRALMAPYYKKLGRDALLSWDDVLAVQSLYGKPLGRSVATQLPGKVF TDFEAWDPHNSQSRRPETRGPKYCHSSFDAITVEPRPLQKRWPGLPPGIEAAAVSLEDGD FYFFKGNRCWRFQGTKSVWGFAQLCRAGGLPRHPDAALFFPPLRRLVLFKGSRYYVLAQG GMQVEPYYPRSLRDWAGVPEEVSGALPRPDGSIIFFRDDHYWHLDQAKLRVTSSGRWATE LSWMGCWNANSGGALF >ENSMUSP00000021020.6 pep:known chromosome:GRCm38:11:83441876:83462961:-1 gene:ENSMUSG00000020682.17 transcript:ENSMUST00000021020.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp28 description:matrix metallopeptidase 28 (epilysin) [Source:MGI Symbol;Acc:MGI:2153062] MVAGVSLLLRALPLLLWGCQDAQPTQHGLPELRQEAEAFLEKYGYLSEQGSKAPASAQFR NAIREFQWISQLPLSGVLDQATLRQMTRPRCGVADTDSHATWTERISTLLAGHRAKMRRK KRFAKPGNKWYKQHLSYRLVNWPERLPEPAVRGAVRAAFQLWSNVSALEFWEAPATGPAD IRLTFFQGDHNDGLANAFDGPGGALAHAFLPRRGEAHFDGDERWSLSRRRGRNLFVVLAH EIGHTLGLTHSPAPRALMAPYYKKLGRDALLSWDDVLAVQSLYGKPLGRSVATQLPGKVF TDFEAWDPHNSQSRRPETRGPKYCHSSFDAITVGSYFWEVTVDGNVSEPRPLQKRWPGLP PGIEAAAVSLEDGDFYFFKGNRCWRFQGTKSVWGFAQLCRAGGLPRHPDAALFFPPLRRL VLFKGSRYYVLAQGGMQVEPYYPRSLRDWAGVPEEVSGALPRPDGSIIFFRDDHYWHLDQ AKLRVTSSGRWATELSWMGCWNANSGGALF >ENSMUSP00000103772.2 pep:known chromosome:GRCm38:11:83441876:83463071:-1 gene:ENSMUSG00000020682.17 transcript:ENSMUST00000108137.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp28 description:matrix metallopeptidase 28 (epilysin) [Source:MGI Symbol;Acc:MGI:2153062] MVAGVSLLLRALPLLLWGCQDAQPTQHGLPELRQEAEAFLEKYGYLSEQGSKAPASAQFR NAIREFQWISQLPLSGVLDQATLRQMTRPRCGVADTDSHATWTERISTLLAGHRAKMRRK KRFAKPGNKWYKQHLSYRLVNWPERLPEPAVRGAVRAAFQLWSNVSALEFWEAPATGPAD IRLTFFQGDHNDGLANAFDGPGGALAHAFLPRRGEAHFDGDERWSLSRRRGRNLFVVLAH EIGHTLGLTHSPAPRALMAPYYKKLGRDALLSWDDVLAVQSLYGKPLGRSVATQLPGKVF TDFEAWDPHNSQSRRPETRGPKYCHSSFDAITVDGQWRLYVFKGSYFWEVTVDGNVSEPR PLQKRWPGLPPGIEAAAVSLEDGDFYFFKGNRCWRFQGTKSVWGFAQLCRAGGLPRHPDA ALFFPPLRRLVLFKGSRYYVLAQGGMQVEPYYPRSLRDWAGVPEEVSGALPRPDGSIIFF RDDHYWHLDQAKLRVTSSGRWATELSWMGCWNANSGGALF >ENSMUSP00000112566.1 pep:known chromosome:GRCm38:11:83443061:83462992:-1 gene:ENSMUSG00000020682.17 transcript:ENSMUST00000119346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp28 description:matrix metallopeptidase 28 (epilysin) [Source:MGI Symbol;Acc:MGI:2153062] MVAGVSLLLRALPLLLWGCQDAQPTQHGLPELRQEAEAFLEKYGYLSEQGSKAPASAQFR NAIREFQWISQLPLSGVLDQATLRQMTRPRCGVADTDSHATWTERISTLLAGHRAKMRRK KRFAKPGNKWYKQHLSYRLVNWPERLPEPAVRGAVRAAFQLWSNVSALEFWEAPATGPAD IRLTFFQGDHNDGLANAFDGPGGALAHAFLPRRGEAHFDGDERWSLSRRRGRNLFVVLAH EIGHTLGLTHSPAPRALMAPYYKKLGRDALLSWDDVLAVQSLYGKPLGRSVATQLPGKVF TDFEAWDPHNSQSRRPETRGPKYCHSSFDAITVDGQWRLYVFKGSYFWEVTVDGNVSEPR PLQKRWPGLPPGIEAAAVSLEDGDFYFFKGTGRRKDREQTPFPSGNY >ENSMUSP00000029804.8 pep:known chromosome:GRCm38:3:138458956:138489515:-1 gene:ENSMUSG00000005813.12 transcript:ENSMUST00000029804.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap1 description:methionyl aminopeptidase 1 [Source:MGI Symbol;Acc:MGI:1922874] MAAVETRVCETDGCSSEAKLQCPTCIKLGIQGSYFCSQECFKGSWATHKLLHKKAKDEKA KREVCSWTVEGDVNTDPWAGYRYTGKLRPHYPLMPTRPVPSYIQRPDYADHPLGMSESEQ ALKGTSQIKLLSSEDIEGMRLVCRLAREVLDIAAGMIKAGVTTEEIDHAVHLACIARNCY PSPLNYYNFPKSCCTSVNEVICHGIPDRRPLQEGDIVNVDITLYRNGYHGDLNETFFVGD VDEGARKLVQTTYECLMQAIDAVKPGVRYRELGNIIQKHAQANGFSVVRSYCGHGIHKLF HTAPNVPHYAKNKAVGVMKSGHVFTIEPMICEGGWQDETWPDGWTAVTRDGKRSAQFEHT LLVTDTGCEILTRRLDSSRPHFMSQF >ENSMUSP00000143215.1 pep:known chromosome:GRCm38:3:138459681:138468954:-1 gene:ENSMUSG00000005813.12 transcript:ENSMUST00000198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap1 description:methionyl aminopeptidase 1 [Source:MGI Symbol;Acc:MGI:1922874] LYRNGYHGDLNETFFVGDVDEGARKLVQTTYECLMQAIDAENKAVGVMKSGHVFTIEPMI CEGGWQDETWPDGWTAVTRDGKRSAQFEHTLLVTDTGCEILTRRLDSSRPHFMSQF >ENSMUSP00000143048.1 pep:known chromosome:GRCm38:3:138475529:138489398:-1 gene:ENSMUSG00000005813.12 transcript:ENSMUST00000197531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap1 description:methionyl aminopeptidase 1 [Source:MGI Symbol;Acc:MGI:1922874] MAAVETRVCETDGCSSEAKLQCPTCIKLGIQGSYFCSQECFKGSWATHKLLHKKAKDEKA KREVCSWTVEGDVNTDPWAGYRYTGKLRPHYPLMPTRPVPSYIQRPDYADHPLGKSCLAH LHSVQFVGFIICTGVFRAGHRM >ENSMUSP00000002964.7 pep:known chromosome:GRCm38:8:83723251:83741326:-1 gene:ENSMUSG00000002885.14 transcript:ENSMUST00000002964.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgre5 description:adhesion G protein-coupled receptor E5 [Source:MGI Symbol;Acc:MGI:1347095] MRGVRCPGLLVVCILLSLSGAGTQKAESKNCAKWCPINSKCVSNRSCVCKPGFSSEKELI TNPAESCEDINECLLPGFSCGDFAMCKNSEGSYTCVCNLGYKLLSGAESFVNESENTCQD VDECSSGQHQCHNSTVCKNTVGSYKCHCRPGWKPTSGSLRGPDTICQEPPFPTWTLLPTA HSQTLLRFSVEVQNLLRDFNPATVNYTIQKLIEAVDKLLEDPMETETQQVAAQLLSNLEQ SLRTLAQFLPKGPFTYTSPSNTELSLMVKEQDNKDVTTVHHGQTWMELDWAVTAGAKISE NGSSVAGILSSPNMEKLLGNTPLNLEQRRASLEDFYGSPIPSVSLKLLSNINSVFLTNTN TEKLASNVTFKFDFTSVESIEPRHELICAFWKAHNGNGYWDTDGCSMNGTGFCHCNHLTS FAILMAQYHVQDPRLELITKVGLLLSLICLLLCILTFLLVKPIQSSRTMVHLHLCICLFL GSIIFLVGVENEGGEVGLRCRLVAVMLHFCFLAAFCWMALEGVELYFLVVRVFQGQGLST WQRCLIGYGVPLLIVAISMAVVKMDGYGHATYCWLDFRKQGFLWSFSGPVAFIIFCNAAI FVITVWKLTKKFSEINPNMKKLRKARVLTITSIAQLLVLGCTWGFGLFLFNPHSTWLSYI FTLLNCLQGLFLYVMLCLLNKKVREEYWKWACMVTGSKYTEFNSSTTGTGTSQTRALRSS ESGM >ENSMUSP00000075240.6 pep:known chromosome:GRCm38:8:83723362:83741169:-1 gene:ENSMUSG00000002885.14 transcript:ENSMUST00000075843.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgre5 description:adhesion G protein-coupled receptor E5 [Source:MGI Symbol;Acc:MGI:1347095] MRGVRCPGLLVVCILLSLSGAGTQKAESKNCAKWCPINSKCVSNRSCVCKPGFSSEKELI TNPAESCEDINECLLPGFSCGDFAMCKNSEGSYTCVCNLGYKLLSGAESFVNESENTCQA SVNTGMTPVPSRIHTVTTAPGNLPEQTTTVHQTQMGDSEERTPKDVNECISGQNHCHQST HCINKLGGYSCICRQGWKPVPGSPNGPVSTVCEDVDECSSGQHQCHNSTVCKNTVGSYKC HCRPGWKPTSGSLRGPDTICQEPPFPTWTLLPTAHSQTLLRFSVEVQNLLRDFNPATVNY TIQKLIEAVDKLLEDPMETETQQVAAQLLSNLEQSLRTLAQFLPKGPFTYTSPSNTELSL MVKEQDNKDVTTVHHGQTWMELDWAVTAGAKISENGSSVAGILSSPNMEKLLGNTPLNLE QRRASLEDFYGSPIPSVSLKLLSNINSVFLTNTNTEKLASNVTFKFDFTSVESIEPRHEL ICAFWKAHNGNGYWDTDGCSMNGTGFCHCNHLTSFAILMAQYHVQDPRLELITKVGLLLS LICLLLCILTFLLVKPIQSSRTMVHLHLCICLFLGSIIFLVGVENEGGEVGLRCRLVAVM LHFCFLAAFCWMALEGVELYFLVVRVFQGQGLSTWQRCLIGYGVPLLIVAISMAVVKMDG YGHATYCWLDFRKQGFLWSFSGPVAFIIFCNAAIFVITVWKLTKKFSEINPNMKKLRKAR VLTITSIAQLLVLGCTWGFGLFLFNPHSTWLSYIFTLLNCLQGLFLYVMLCLLNKKVREE YWKWACMVTGSKYTEFNSSTTGTGTSQTRALRSSESGM >ENSMUSP00000105427.2 pep:known chromosome:GRCm38:8:83723364:83741173:-1 gene:ENSMUSG00000002885.14 transcript:ENSMUST00000109802.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgre5 description:adhesion G protein-coupled receptor E5 [Source:MGI Symbol;Acc:MGI:1347095] MRGVRCPGLLVVCILLSLSGAGTQKAESKNCAKWCPINSKCVSNRSCVCKPGFSSEKELI TNPAESCEDINECLLPGFSCGDFAMCKNSEGSYTCVCNLGYKLLSGAESFVNESENTCQD VNECISGQNHCHQSTHCINKLGGYSCICRQGWKPVPGSPNGPVSTVCEDVDECSSGQHQC HNSTVCKNTVGSYKCHCRPGWKPTSGSLRGPDTICQEPPFPTWTLLPTAHSQTLLRFSVE VQNLLRDFNPATVNYTIQKLIEAVDKLLEDPMETETQQVAAQLLSNLEQSLRTLAQFLPK GPFTYTSPSNTELSLMVKEQDNKDVTTVHHGQTWMELDWAVTAGAKISENGSSVAGILSS PNMEKLLGNTPLNLEQRRASLEDFYGSPIPSVSLKLLSNINSVFLTNTNTEKLASNVTFK FDFTSVESIEPRHELICAFWKAHNGNGYWDTDGCSMNGTGFCHCNHLTSFAILMAQYHVQ DPRLELITKVGLLLSLICLLLCILTFLLVKPIQSSRTMVHLHLCICLFLGSIIFLVGVEN EGGEVGLRCRLVAVMLHFCFLAAFCWMALEGVELYFLVVRVFQGQGLSTWQRCLIGYGVP LLIVAISMAVVKMDGYGHATYCWLDFRKQGFLWSFSGPVAFIIFCNAAIFVITVWKLTKK FSEINPNMKKLRKARVLTITSIAQLLVLGCTWGFGLFLFNPHSTWLSYIFTLLNCLQGLF LYVMLCLLNKKVREEYWKWACMVTGSKYTEFNSSTTGTGTSQTRALRSSESGM >ENSMUSP00000138393.1 pep:known chromosome:GRCm38:8:83733534:83741183:-1 gene:ENSMUSG00000002885.14 transcript:ENSMUST00000149368.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adgre5 description:adhesion G protein-coupled receptor E5 [Source:MGI Symbol;Acc:MGI:1347095] MRGVRCPGLLGTQLGPPVPALADRLLLPCSGVHFTESLRSWNPEGRE >ENSMUSP00000128220.1 pep:known chromosome:GRCm38:8:83723251:83741326:-1 gene:ENSMUSG00000002885.14 transcript:ENSMUST00000166939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgre5 description:adhesion G protein-coupled receptor E5 [Source:MGI Symbol;Acc:MGI:1347095] MRGVRCPGLLVVCILLSLSGAGTQKAENCAKWCPINSKCVSNRSCVCKPGFSSEKELITN PAESCEDINECLLPGFSCGDFAMCKNSEGSYTCVCNLGYKLLSGAESFVNESENTCQDVD ECSSGQHQCHNSTVCKNTVGSYKCHCRPGWKPTSGSLRGPDTICQEPPFPTWTLLPTAHS QTLLRFSVEVQNLLRDFNPATVNYTIQKLIEAVDKLLEDPMETETQQVAAQLLSNLEQSL RTLAQFLPKGPFTYTSPSNTELSLMVKEQDNKDVTTVHHGQTWMELDWAVTAGAKISENG SSVAGILSSPNMEKLLGNTPLNLEQRRASLEDFYGSPIPSVSLKLLSNINSVFLTNTNTE KLASNVTFKFDFTSVESIEPRHELICAFWKAHNGNGYWDTDGCSMNGTGFCHCNHLTSFA ILMAQYHVQDPRLELITKVGLLLSLICLLLCILTFLLVKPIQSSRTMVHLHLCICLFLGS IIFLVGVENEGGEVGLRCRLVAVMLHFCFLAAFCWMALEGVELYFLVVRVFQGQGLSTWQ RCLIGYGVPLLIVAISMAVVKMDGYGHATYCWLDFRKQGFLWSFSGPVAFIIFCNAAIFV ITVWKLTKKFSEINPNMKKLRKARVLTITSIAQLLVLGCTWGFGLFLFNPHSTWLSYIFT LLNCLQGLFLYVMLCLLNKKVREEYWKWACMVTGSKYTEFNSSTTGTGTSQTRALRSSES GM >ENSMUSP00000133114.2 pep:known chromosome:GRCm38:8:4209543:4217312:-1 gene:ENSMUSG00000064125.14 transcript:ENSMUST00000168386.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr36 description:proline rich 36 [Source:MGI Symbol;Acc:MGI:3605626] MDKRDSVKSGTAPRMPSSRPPGLLTPRPPSGSARPPPPVTTAALRVLEANGAMGRRSLVE RAPGVCKAALPQTSKAALPQTSKAALPQTSKAALPQTSKAALPQTTIHGAPARSAGAGPR SPANRPPASGKGERAPMKTPGQGSISSPGRASSGIARPGPVVQKRLQPPTKEPSARGKTP ETPKRNTLNSGTRRVLSADSLGPTSGAPSPAITRRSRAPATEVGLPQPAPSARQRPLTTE AARKPGSSASEPSATELSPAFRRRSVAGGSLQKPVSRSLIPSATPQLSPSRSGVSPRVTP RAPAHTSQLKSKGQQALHPTQTTVPRKNKPSVQSLIPASSLVTPTPPGASSVQGPDDPSQ TTLPPSPPTTPPLPASLQLLQPQASSQAVPHSQSGQGSPSPPPLSLQNLPSTPATPPLLA PPTSLDTEEASDSPPPRAVISSSPPPLIQNMPPNQASSATLPQETLSAMPFSPAPLSLAS SPPQLLPSPPISPQNLPTSLVTSSQLPLSTPFRANFSESPPLPRATLANRTTPSLQDPLF LAISPPVSSSTSISPPLPTSSVVTPPLRLPLSQTLPTSQASLLTLPPSLASSPQPATPPP LALSPLSTQLSTGSPSLQASPSFLPTPPMQPRALPSPSLQAPPVTYPLPLSSPPASPPLP ALLSPPASPPLESPLSPSASPSSPLATPPPEAPPSLGSPTLSPLATPPPQTPPLAFPPLP ASTSSLDTATCFPQGPLLALPPLQTSPSPLTIPCPQTPPSLALPSLQSPSSPLATATPPL QIPLVVLPTLQTPPSPLTTFPPGVPPGLTSPVVQPPSPPASPPLQAPRRPPTPGPDVPIT GPRLTLALAPAPPPPPSRSPSSTLSGPDLAGHSSSATSTPEELRGYDSGPEGCPTISPAP DADLAACHPASWSRSSAPQLAVRSTPGVPLPWPPTAGPGSSDGLCTIYESEGPESVAPTP GSLDVEPEPMPGSGSAKVTAADCAGASSRSPKSARLGELPLGALQASVVQHLLSRTLLLA AAEGAAVGCEGSSGGSGVGGVSGGSRAPLSDAELGRWAELLSPLDESRASITSVTSFSPD DVASPQGDWTVVEVETFH >ENSMUSP00000135130.1 pep:known chromosome:GRCm38:8:4215049:4216912:-1 gene:ENSMUSG00000064125.14 transcript:ENSMUST00000177491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr36 description:proline rich 36 [Source:MGI Symbol;Acc:MGI:3605626] MDKRDSVKSGTAPRMPSSRPPGLLTPRPPSGSARPPPPVTTAALRVLEANGAMGRRSLVE RAPGVCKAALPQTSKAALPQTSKAALPQTSKAALPQTSKAALPQTTIHGAPARSAGAGPR SPANRPPASGKGERAPMKTPGQGSISSPGRASSGIARPGPVVQKRLQPPTKEPSARGKTP ETPKRNTLNSGTRRVLSADSLGPTS >ENSMUSP00000135713.1 pep:known chromosome:GRCm38:8:4215972:4217152:-1 gene:ENSMUSG00000064125.14 transcript:ENSMUST00000175906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr36 description:proline rich 36 [Source:MGI Symbol;Acc:MGI:3605626] MDKRDSVKSGTAPRMPSSRPPGLLTPRPPSGSARPPPPVTTAALRVLEANGAMGRRSLVE RAPGVCKAALPQTSKAALPQTSKAALPQTSKAALPQTSKAALPQTTIHGAPARSAGAGPR SPANRPPASGKGERAPMKTPGQGSISSPGRASSGIA >ENSMUSP00000135166.1 pep:known chromosome:GRCm38:8:4216408:4217459:-1 gene:ENSMUSG00000064125.14 transcript:ENSMUST00000176227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr36 description:proline rich 36 [Source:MGI Symbol;Acc:MGI:3605626] MDKRDSVKSGTAPRMPSSRPPGLLTPRPPSGSARPPPPVTTAALRVL >ENSMUSP00000141660.1 pep:known chromosome:GRCm38:5:129908540:129911281:1 gene:ENSMUSG00000095789.6 transcript:ENSMUST00000195766.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nupr1l description:nuclear protein transcriptional regulator 1 like [Source:MGI Symbol;Acc:MGI:1923099] MDPPTRPSVSGPRTRARPPPPEALPTVGFEEEVYDCLDYYYLRDFPASGAGRSKGRTRRE QQLRTNYPVPGGHERKVAQILLNGQRKRRQRQLQPRPRTRLA >ENSMUSP00000142125.1 pep:known chromosome:GRCm38:5:129908542:129913789:1 gene:ENSMUSG00000095789.6 transcript:ENSMUST00000194521.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nupr1l description:nuclear protein transcriptional regulator 1 like [Source:MGI Symbol;Acc:MGI:1923099] MDPPTRPSVSGPRTRARPPPPEALPTVGFEEEVYDCLDYYYLRDFPASGAGRSKGRTRRE QQLRTNYPVPGGHERKVAQILLNGQRKRRQRQLQPRPRTRLA >ENSMUSP00000137364.1 pep:known chromosome:GRCm38:5:129908568:129910394:1 gene:ENSMUSG00000095789.6 transcript:ENSMUST00000178355.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nupr1l description:nuclear protein transcriptional regulator 1 like [Source:MGI Symbol;Acc:MGI:1923099] MDPPTRPSVSGPRTRARPPPPEALPTVGFEEEVYDCLDYYYLRDFPASGAGRSKGRTRRE QQLRTNYPVPGGHERKVAQILLNGQRKRRQRQLQPRPRTRLA >ENSMUSP00000077815.1 pep:known chromosome:GRCm38:11:3983636:3999326:1 gene:ENSMUSG00000049721.14 transcript:ENSMUST00000078757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal3st1 description:galactose-3-O-sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1858277] MTLLPKKPCKSKAKGLLLGALFTSFLLLLYSYVVPPLYPNMAFTTSEAAAPCSPIPNEPV AATPANGSAGGCQPRRDIVFMKTHKTASSTLLNILFRFGQKHELKFAFPNGRNDFHYPSY FARSLVQDYRPGACFNIICNHMRFHYEEVRGLVRPGATFITVIRDPARLFESSFHYFGSV VPLTWKLSSRDKLAEFLQDPDRYYDPSSYNAHYLRNLLFFDLGYDSSLDPASPRVQEHIL EVERRFHLVLLQEYFDESLVLLRELLCWDLEDVLYFKLNARRDSPVPRLSGELYRRATAW NLLDVRLYRHFNASFWRKVEAFGRERMAREVAELRQANEHMRHICIDGGQAVGAEAIQDS AMQPWQPLGIKSILGYNLKKSIGPQHEQLCRRMLTPEIQYLSDLGANLWVTKLWKFLRDF LRW >ENSMUSP00000058348.7 pep:known chromosome:GRCm38:11:3983713:3999315:1 gene:ENSMUSG00000049721.14 transcript:ENSMUST00000063004.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal3st1 description:galactose-3-O-sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1858277] MTLLPKKPCKSKAKGLLLGALFTSFLLLLYSYVVPPLYPNMAFTTSEAAAPCSPIPNEPV AATPANGSAGGCQPRRDIVFMKTHKTASSTLLNILFRFGQKHELKFAFPNGRNDFHYPSY FARSLVQDYRPGACFNIICNHMRFHYEEVRGLVRPGATFITVIRDPARLFESSFHYFGSV VPLTWKLSSRDKLAEFLQDPDRYYDPSSYNAHYLRNLLFFDLGYDSSLDPASPRVQEHIL EVERRFHLVLLQEYFDESLVLLRELLCWDLEDVLYFKLNARRDSPVPRLSGELYRRATAW NLLDVRLYRHFNASFWRKVEAFGRERMAREVAELRQANEHMRHICIDGGQAVGAEAIQDS AMQPWQPLGIKSILGYNLKKSIGPQHEQLCRRMLTPEIQYLSDLGANLWVTKLWKFLRDF LRW >ENSMUSP00000105608.1 pep:known chromosome:GRCm38:11:3989933:3999308:1 gene:ENSMUSG00000049721.14 transcript:ENSMUST00000109981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal3st1 description:galactose-3-O-sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1858277] MTLLPKKPCKSKAKGLLLGALFTSFLLLLYSYVVPPLYPNMAFTTSEAAAPCSPIPNEPV AATPANGSAGGCQPRRDIVFMKTHKTASSTLLNILFRFGQKHELKFAFPNGRNDFHYPSY FARSLVQDYRPGACFNIICNHMRFHYEEVRGLVRPGATFITVIRDPARLFESSFHYFGSV VPLTWKLSSRDKLAEFLQDPDRYYDPSSYNAHYLRNLLFFDLGYDSSLDPASPRVQEHIL EVERRFHLVLLQEYFDESLVLLRELLCWDLEDVLYFKLNARRDSPVPRLSGELYRRATAW NLLDVRLYRHFNASFWRKVEAFGRERMAREVAELRQANEHMRHICIDGGQAVGAEAIQDS AMQPWQPLGIKSILGYNLKKSIGPQHEQLCRRMLTPEIQYLSDLGANLWVTKLWKFLRDF LRW >ENSMUSP00000130676.1 pep:known chromosome:GRCm38:12:31390871:31450972:1 gene:ENSMUSG00000001225.12 transcript:ENSMUST00000167432.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a3 description:solute carrier family 26, member 3 [Source:MGI Symbol;Acc:MGI:107181] MIEAIGNQYVVARPVYSTKTFGEEFKKTHRHHKTFLDHLKGCCSCSSQKAKKIALSLFPI ASWLPAYKIKEWLLSDIVSGISTGLVAVLQGLAFALLVNIPPAYGLYAAFFPVITYFFLG TSRHISVGPFPVLSMMVGVVVTRVVSDPNASSELSSSSTENDSFIEEKVMVAASVTVLSG IIQLLLGVLQVGFVVIYLSESLISGFTTAAAIHVLVSQLKFMLQLPVPAYSDPFS >ENSMUSP00000128722.1 pep:known chromosome:GRCm38:12:31438217:31473495:1 gene:ENSMUSG00000001225.12 transcript:ENSMUST00000171616.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc26a3 description:solute carrier family 26, member 3 [Source:MGI Symbol;Acc:MGI:107181] MIEAIGNQYVVARPVYSTKTFGEEFKKTHRHHKTFLDHLKGCCSILVASLQDKGMASQ >ENSMUSP00000106478.2 pep:known chromosome:GRCm38:12:31438219:31452864:1 gene:ENSMUSG00000001225.12 transcript:ENSMUST00000110854.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc26a3 description:solute carrier family 26, member 3 [Source:MGI Symbol;Acc:MGI:107181] MIEAIGNQYVVARPVYSTKTFGEEFKKTHRHHKTFLDHLKGCCSILVASLQDKGMASQ >ENSMUSP00000001254.5 pep:known chromosome:GRCm38:12:31438219:31473917:1 gene:ENSMUSG00000001225.12 transcript:ENSMUST00000001254.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a3 description:solute carrier family 26, member 3 [Source:MGI Symbol;Acc:MGI:107181] MIEAIGNQYVVARPVYSTKTFGEEFKKTHRHHKTFLDHLKGCCSCSSQKAKKIALSLFPI ASWLPAYKIKEWLLSDIVSGISTGLVAVLQGLAFALLVNIPPAYGLYAAFFPVITYFFLG TSRHISVGPFPVLSMMVGVVVTRVVSDPNASSELSSSSTENDSFIEEKVMVAASVTVLSG IIQLLLGVLQVGFVVIYLSESLISGFTTAAAIHVLVSQLKFMLQLPVPAYSDPFSIFKVL ESVFTQIQKTNIADLVTSVIILVVVFVFKEINQRYRSKLPVPIPIELIMTVIATGVSYGC NFEDRFGVAVVGNMSLGFQPPITPSVEVFQDTIGDSFGIAIVGFAVAFSVASVYSLKYDY PIDGNQELIALGVSNIFTGAFKGFAGSTALSRSGVQESTGGKTQVAGLLSAVIVLIVIVA IGFLLQPLQKSVLAALALGNLKGMLMQFAEIGRLWKKDKYDCLIWIMTFIFAIVLGLGLG LAASVAFQLLTIVFRTQFPKCSTLANVGRSNIYKNKKNYAEVYEPEGVKIFRCPSPIYFA NIGFFKQKLIDAVGFSPLRILRKRNKALKKIRKLQKRGLIQMTPKGFICTSDGFKDSDEE LDNNQIEELDQPINTTDLPFDIDWNGDLPLNITIPKISLHSLILDFSAVSFLDVSSMRGL RTILQEFIRIKVDVYIVGTDDDFIDKLARCEFFDDEVTDSIFFLTIHDAILHILMKKDYS TSKFNSSQEKERKFDFTINTNGGLRNRECQVPVETKF >ENSMUSP00000104898.2 pep:known chromosome:GRCm38:12:31470872:31471823:1 gene:ENSMUSG00000001225.12 transcript:ENSMUST00000109275.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a3 description:solute carrier family 26, member 3 [Source:MGI Symbol;Acc:MGI:107181] FFDDEVTDSIFFLTIHDAILHILMKKDYSTSKFNSSQEKERKFDFTINTNGGLRNRECQV >ENSMUSP00000038359.4 pep:known chromosome:GRCm38:1:127205018:127488336:1 gene:ENSMUSG00000036155.13 transcript:ENSMUST00000038361.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat5 description:mannoside acetylglucosaminyltransferase 5 [Source:MGI Symbol;Acc:MGI:894701] MAFFSPWKLSSQKLGFFLVTFGFIWGMMLLHFTIQQRTQPESSSMLREQILDLSKRYIKA LAEENRDVVDGPYAGVMTAYDLKKTLAVLLDNILQRIGKLESKVDNLVNGTGANSTNSTT AVPSLVSLEKINVADIINGVQEKCVLPPMDGYPHCEGKIKWMKDMWRSDPCYADYGVDGT SCSFFIYLSEVENWCPRLPWRAKNPYEEADHNSLAEIRTDFNILYGMMKKHEEFRWMRLR IRRMADAWIQAIKSLAEKQNLEKRKRKKILVHLGLLTKESGFKIAETAFSGGPLGELVQW SDLITSLYLLGHDIRISASLAELKEIMKKVVGNRSGCPTVGDRIVELIYIDIVGLAQFKK TLGPSWVHYQCMLRVLDSFGTEPEFNHASYAQSKGHKTPWGKWNLNPQQFYTMFPHTPDN SFLGFVVEQHLNSSDIHHINEIKRQNQSLVYGKVDSFWKNKKIYLDIIHTYMEVHATVYG SSTKNIPSYVKNHGILSGRDLQFLLRETKLFVGLGFPYEGPAPLEAIANGCAFLNPKFNP PKSSKNTDFFIGKPTLRELTSQHPYAEVFIGRPHVWTVDLNNREEVEDAVKAILNQKIEP YMPYEFTCEGMLQRINAFIEKQDFCHGQVMWPPLSALQVKLAEPGQSCKQVCQESQLICE PSFFQHLNKEKDLLKYKVTCQSSELYKDILVPSFYPKSKHCVFQGDLLLFSCAGAHPTHQ RICPCRDFIKGQVALCKDCL >ENSMUSP00000129166.1 pep:known chromosome:GRCm38:1:127306762:127483704:1 gene:ENSMUSG00000036155.13 transcript:ENSMUST00000171405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat5 description:mannoside acetylglucosaminyltransferase 5 [Source:MGI Symbol;Acc:MGI:894701] MAFFSPWKLSSQKLGFFLVTFGFIWGMMLLHFTIQQRTQPESSSMLREQILDLSKRYIKA LAEENRDVVDGPYAGVMTAYDLKKTLAVLLDNILQRIGKLESKVDNLVNGTGANSTNSTT AVPSLVSLEKINVADIINGVQEKCVLPPMDGYPHCEGKIKWMKDMWRSDPCYADYGVDGT SCSFFIYLSEVENWCPRLPWRAKNPYEEADHNSLAEIRTDFNILYGMMKKHEEFRWMRLR IRRMADAWIQAIKSLAEKQNLEKRKRKKILVHLGLLTKESGFKIAETAFSGGPLGELVQW SDLITSLYLLGHDIRISASLAELKEIMKKVVGNRSGCPTVGDRIVELIYIDIVGLAQFKK TLGPSWVHYQCMLRVLDSFGTEPEFNHASYAQSKGHKTPWGKWNLNPQQFYTMFPHTPDN SFLGFVVEQHLNSSDIHHINEIKRQNQSLVYGKVDSFWKNKKIYLDIIHTYMEVHATVYG SSTKNIPSYVKNHGILSGRDLQFLLRETKLFVGLGFPYEGPAPLEAIANGCAFLNPKFNP PKSSKNTDFFIGKPTLRELTSQHPYAEVFIGRPHVWTVDLNNREEVEDAVKAILNQKIEP YMPYEFTCEGMLQRINAFIEKQDFCHGQVMWPPLSALQVKLAEPGQSCKQVCQESQLICE PSFFQHLNKEKDLLKYKVTCQSSELYKDILVPSFYPKSKHCVFQGDLLLFSCAGAHPTHQ RICPCRDFIKGQVALCKDCL >ENSMUSP00000078198.5 pep:known chromosome:GRCm38:5:120940499:121009538:-1 gene:ENSMUSG00000029608.10 transcript:ENSMUST00000079204.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rph3a description:rabphilin 3A [Source:MGI Symbol;Acc:MGI:102788] MTDTVVNRWMYPGDGPLQSNDKEQLQAGWSVHPGAQTDRQRKQEELTDEEKEIINRVIAR AEKMEAMEQERIGRLVDRLETMRKNVAGDGVNRCILCGEQLGMLGSACVVCEDCKKNVCT KCGVETSNNRPHPVWLCKICLEQREVWKRSGAWFFKGFPKQVLPQPMPIKKTKPQQPAGE PATQEQPTPESRHPARAPARGDMEDRRPPGQKPGPDLTSAPGRGSHGPPTRRASEARMST AARDSEGWDHAHGGGTGDTSRSPAGLRRANSVQAARPAPAPVPSPAPPQPVQPGPPGGSR ATPGPGRFPEQSTEAPPSDPGYPGAVAPAREERTGPAGGFQAAPHTAAPYSQAAPARQPP PAEEEEEEANSYDSDEATTLGALEFSLLYDQDNSNLQCTIIRAKGLKPMDSNGLADPYVK LHLLPGASKSNKLRTKTLRNTRNPVWNETLQYHGITEEDMQRKTLRISVCDEDKFGHNEF IGETRFSLKKLKANQRKNFNICLERVIPMKRAGTTGSARGMALYEEEQVERIGDIEERGK ILVSLMYSTQQGGLIVGIIRCVHLAAMDANGYSDPFVKLWLKPDMGKKAKHKTQIKKKTL NPEFNEEFFYDIKHSDLAKKSLDISVWDYDIGKSNDYIGGCQLGISAKGERLKHWYECLK NKDKKIERWHQLQNENHVSSD >ENSMUSP00000143917.1 pep:known chromosome:GRCm38:5:120940499:121010080:-1 gene:ENSMUSG00000029608.10 transcript:ENSMUST00000202406.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rph3a description:rabphilin 3A [Source:MGI Symbol;Acc:MGI:102788] MTDTVVNRWMYPGDGPLQSNDKEQLQAGWSVHPGAQTDRQRKQEELTDEEKEIINRVIAR AEKMEAMEQERIGRLVDRLETMRKNVAGDGVNRCILCGEQLGMLGSACVVCEDCKKNVCT KCGVETSNNRPHPVWLCKICLEQREVWKRSGAWFFKGFPKQVLPQPMPIKKTKPQQPAGE PATQEQPTPESRHPARAPARGDMEDRRPPGQKPGPDLTSAPGRGSHGPPTRRASEARMST AARDSEGWDHAHGGGTGDTSRSPAGLRRANSVQAARPAPAPVPSPAPPQPVQPGPPGGSR ATPGPGRFPEQSTEAPPSDPGYPGAVAPAREERTGPAGGFQAAPHTAAPYSQAAPARQPP PAEEEEEEANSYDSDEATTLGALEFSLLYDQDNSNLQCTIIRAKGLKPMDSNGLADPYVK LHLLPGASKSNKLRTKTLRNTRNPVWNETLQYHGITEEDMQRKTLRISVCDEDKFGHNEF IGETRFSLKKLKANQRKNFNICLERVIPMKRAGTTGSARGMALYEEEQVERIGDIEERGK ILVSLMYSTQQGGLIVGIIRCVHLAAMDANGYSDPFVKLWLKPDMGKKAKHKTQIKKKTL NPEFNEEFFYDIKHSDLAKKSLDISVWDYDIGKSNDYIGGCQLGISAKGERLKHWYECLK NKDKKIERWHQLQNENHVSSD >ENSMUSP00000144291.1 pep:known chromosome:GRCm38:5:120941841:121008542:-1 gene:ENSMUSG00000029608.10 transcript:ENSMUST00000202326.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rph3a description:rabphilin 3A [Source:MGI Symbol;Acc:MGI:102788] MTDTVVNRWMYPGDGPLQSNDKEQLQAGWSVHPGAQTDRQRKQEELTDEEKEIINRVIAR AEKMEAMEQERIGRLVDRLETMRKNVAGDGVNRCILCGEQLGMLGSACVVCEDCKKNVCT KCGVETSNNRPHPVWLCKICLEQREVWKRSGAWFFKGFPKQVLPQPMPIKKTKPQQPAGE PATQEQPTPESRHPARAPARGDMEDRRPPGQKPGPDLTSAPGRGSHGPPTRRASEARMST AARDSEGWDHAHGGGTGDTSRSPAGLRRANSVQAARPAPAPVPSPAPPQPVQPGPPGGSR ATPGPGRFPEQSTEAPPSDPGYPGAVAPAREERTGPAGGFQAAPHTAAPYSQAAPARQPP PAEEEEEEANSYDSDEATTLGALEFSLLYDQDNSNLQCTIIRAKGLKPMDSNGLADPYVK LHLLPGASKSNKLRTKTLRNTRNPVWNETLQYHGITEEDMQRKTLRISVCDEDKFGHNEF IGETRFSLKKLKANQRKNFNICLERVIPMKRAGTTGSARGMALYEEEQVERIGDIEERGK ILVSLMYSTQQGGLIVGIIRCVHLAAMDANGYSDPFVKLWLKPDMGKKAKHKTQIKKKTL NPEFNEEFFYDIKHSDLAKKSLDISVWDYDIGKSNDYIGGCQLGISAKGERLKHWYECLK NKDKKIERWHQLQNENHVSSD >ENSMUSP00000144437.1 pep:known chromosome:GRCm38:5:120973367:121010092:-1 gene:ENSMUSG00000029608.10 transcript:ENSMUST00000200792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rph3a description:rabphilin 3A [Source:MGI Symbol;Acc:MGI:102788] MTDTVVNRWMYPGDGPLQSNDKEQLQAGWSVHPGAQTDRQRKQEELTDE >ENSMUSP00000032974.6 pep:known chromosome:GRCm38:7:126445858:126463108:-1 gene:ENSMUSG00000030730.12 transcript:ENSMUST00000032974.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2a1 description:ATPase, Ca++ transporting, cardiac muscle, fast twitch 1 [Source:MGI Symbol;Acc:MGI:105058] MEAAHSKSTEECLSYFGVSETTGLTPDQVKRHLEKYGPNELPAEEGKSLWELVVEQFEDL LVRILLLAACISFVLAWFEEGEETVTAFVEPFVILLILIANAIVGVWQERNAENAIEALK EYEPEMGKVYRADRKSVQRIKARDIVPGDIVEVAVGDKVPADIRILSIKSTTLRVDQSIL TGESVSVIKHTDPVPDPRAVNQDKKNMLFSGTNIAAGKAVGIVATTGVSTEIGKIRDQMA ATEQDKTPLQQKLDEFGEQLSKVISLICVAVWLINIGHFNDPVHGGSWFRGAIYYFKIAV ALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ MSVCKMFIIDKVDGDVCSLNEFSITGSTYAPEGEVLKNDKPVRAGQYDGLVELATICALC NDSSLDFNETKGVYEKVGEATETALTTLVEKMNVFNTEVRSLSKVERANACNSVIRQLMK KEFTLEFSRDRKSMSVYCSPAKSSRAAVGNKMFVKGAPEGVIDRCNYVRVGTTRVPLTGP VKEKIMSVIKEWGTGRDTLRCLALATRDTPPKREEMVLDDSAKFMEYEMDLTFVGVVGML DPPRKEVTGSIQLCRDAGIRVIMITGDNKGTAIAICRRIGIFSENEEVTDRAYTGREFDD LPLAEQREACRRACCFARVEPSHKSKIVEYLQSYDEITAMTGDGVNDAPALKKAEIGIAM GSGTAVAKTASEMVLADDNFSTIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAA LGLPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMDRPPRSPKEPLISGWLFFRYMAI GGYVGAATVGAAAWWFLYAEDGPHVSYHQLTHFMQCTEHNPEFDGLDCEVFEAPEPMTMA LSVLVTIEMCNALNSLSENQSLLRMPPWVNIWLLGSICLSMSLHFLILYVDPLPMIFKLR ALDFTQWLMVLKISLPVIGLDELLKFIARNYLEG >ENSMUSP00000119018.1 pep:known chromosome:GRCm38:7:126445860:126447645:-1 gene:ENSMUSG00000030730.12 transcript:ENSMUST00000146973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2a1 description:ATPase, Ca++ transporting, cardiac muscle, fast twitch 1 [Source:MGI Symbol;Acc:MGI:105058] XLYAEDGPHVSYHQLTHFMQCTEHNPEFDGLDCEVFEAPEPMTMALSVLVTIEMCNALNS LSENQSLLRMPPWVNIWLLGSICLSMSLHFLILYVDPLPMIFKLRALDFTQWLMVLKISL PVIGLDELLKFIARNYLEDPEDERRK >ENSMUSP00000113885.1 pep:known chromosome:GRCm38:17:26202958:26211319:1 gene:ENSMUSG00000024186.15 transcript:ENSMUST00000122058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs11 description:regulator of G-protein signaling 11 [Source:MGI Symbol;Acc:MGI:1354739] MVTRCTPHGGCSRMQRPHLSKMERVVVSMQDPDQGVKMRSQRLLITVIPHAVAGRDLVEW LVQKFCILEDEALHLGTLLAQHGYIYPLRESRDLTLRPDETPYRFQTPYFWTSTMWPAAE LDYAIYLAKKNIQKQGALVDYEKEHYALLHKKINHAWDLVLMQAREQLRAAKQRRKGDRM VISCQEQTYWLVNKPPPGAPNILEQGPERGSYNPSHMQMSSDFYKCEIECFRKALGRNRV KSSACLEAYLKFSSQHGPHDPIMSGCLPSNPWITDDVTYWAMNAPNVAAPTKLRVERWSF SFRELLDDPVGRAHFMDFLQKEFSAENLSFWEACEELRFGGQAQVPTLVDSVYQQFLAPG AARWINIDSRTMERTLEGLRQPHRYVLDAAQLHIYMLMKKDSYPRFLKSDIYKGLLEEAV IPLETKRWPFPFLRKPLHSSPSPALQSTPREPAATSSPEGADGE >ENSMUSP00000025020.5 pep:known chromosome:GRCm38:17:26202962:26211324:1 gene:ENSMUSG00000024186.15 transcript:ENSMUST00000025020.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs11 description:regulator of G-protein signaling 11 [Source:MGI Symbol;Acc:MGI:1354739] MAMVTRCTPHGGCSRMQRPHLSKMERVVVSMQDPDQGVKMRSQRLLITVIPHAVAGRDLV EWLVQKFCILEDEALHLGTLLAQHGYIYPLRESRDLTLRPDETPYRFQTPYFWTSTMWPA AELDYAIYLAKKNIQKQGALVDYEKEHYALLHKKINHAWDLVLMQAREQLRAAKQRRKGD RMVISCQEQTYWLVNKPPPGAPNILEQGPERGSYNPSHMQMSSDFYKCEIECFRKALGRN RVKSSACLEAYLKFSSQHGPHDPIMSGCLPSNPWITDDVTYWAMNAPNVAAPTKLRVERW SFSFRELLDDPVGRAHFMDFLQKEFSAENLSFWEACEELRFGGQAQVPTLVDSVYQQFLA PGAARWINIDSRTMERTLEGLRQPHRYVLDAAQLHIYMLMKKDSYPRFLKSDIYKGLLEE AVIPLETKRWPFPFLRKPLHSSPSPALQSTPREPAATSSPEGADGE >ENSMUSP00000135159.1 pep:known chromosome:GRCm38:8:4166567:4193701:1 gene:ENSMUSG00000011832.15 transcript:ENSMUST00000177053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi5l description:ecotropic viral integration site 5 like [Source:MGI Symbol;Acc:MGI:2442167] MSLPTMASPTLSPDSSSQEALSAPTCSPTSDSENLSPDELELLAKLEEQNRLLEADSKSM RSMNGSRRNSGSSLVSSSSASSNLSHLEEDTWILWGRIANEWEEWRRRKEKLLKELIRKG IPHHFRAIVWQLLCSATDMPVKNQYSELLKMSSPCEKLIRRDIARTYPEHEFFKGQDSLG QEVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVRLMQEYRLRELFKPS MAELGLCIYQFEYMLQEQLPDLNTHFRSQSFHTSMYASSWFLTLFLTTFPLPVATRVFDI FMYEGLEIVFRVGLALLQVNQTELMQLDMEGMSQYFQRVIPHQFDSCPDKLVLKAYQVKY NPKKMKRLEKEYAAMKSKEMEEQIEIKPRVGAHSPVGMSSKLATQQ >ENSMUSP00000135479.1 pep:known chromosome:GRCm38:8:4166567:4208051:1 gene:ENSMUSG00000011832.15 transcript:ENSMUST00000176149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi5l description:ecotropic viral integration site 5 like [Source:MGI Symbol;Acc:MGI:2442167] MSLPTMASPTLSPDSSSQEALSAPTCSPTSDSENLSPDELELLAKLEEQNRLLEADSKSM RSMNGSRRNSGSSLVSSSSASSNLSHLEEDTWILWGRIANEWEEWRRRKEKLLKELIRKG IPHHFRAIVWQLLCSATDMPVKNQYSELLKMSSPCEKLIRRDIARTYPEHEFFKGQDSLG QEVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVRLMQEYRLRELFKPS MAELGLCIYQFEYMLQEQLPDLNTHFRSQSFHTSMYASSWFLTLFLTTFPLPVATRVFDI FMYEGLEIVFRVGLALLQVNQTELMQLDMEGMSQYFQRVIPHQFDSCPDKLVLKAYQVKY NPKKMKRLEKEYAAMKSKEMEEQIEIKRLRTENRLLKQRIETLEKESAALADRLIQGQVT RAQEAEENYVIKRELAVVRQQCSSTAEDLQKAQSTIRQLQEQQENPRLTEDFVAHLETEL EQSRLRETETLGALREMQDKVLDMEKRNSSLPDENNVARLQEELKALKVREGEAVASARE LKLQLQELSDTWQAHLSRGGRWKESPRKLVLGELQDELMTVRLREAQALADGREWRQRVV ELETQDNIHRNLLNRVEAERAALQEKLQYLAAQNKGLQTQLSESRRKQAEAECKSKEEVM AVRLREADSMAAVAEMRQRIAELEIQREEGRIQGQLNHSDSSQYIRELKDQIEELKTEVR LLKGPPTFEDPLAFDGLSLTRHLDEDSLPSSDEELLGVGVGVGAALQDPLYPLSPRDARF FRSLDRPAKDSEGSSDSDADELATPYSNQGLDN >ENSMUSP00000134867.1 pep:known chromosome:GRCm38:8:4166574:4208226:1 gene:ENSMUSG00000011832.15 transcript:ENSMUST00000176072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi5l description:ecotropic viral integration site 5 like [Source:MGI Symbol;Acc:MGI:2442167] MSLPTMASPTLSPDSSSQEALSAPTCSPTSDSENLSPDELELLAKLEEQNRLLEADSKSM RSMNGSRRNSGSSLVSSSSASSNLSHLEEDTWILWGRIANEWEEWRRRKEKLLKELIRKG IPHHFRAIVWQLLCSATDMPVKNQYSELLKMSSPCEKLIRRDIARTYPEHEFFKGQDSLG QEVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVRLMQEYRLRELFKPS MAELGLCIYQFEYMLQEQLPDLNTHFRSQSFHTSMYASSWFLTLFLTTFPLPVATRVFDI FMYEGLEIVFRVGLALLQVNQTELMQLDMEGMSQYFQRVIPHQFDSCPDKLVLKAYQVKY NPKKMKRLEKEYAAMKSKEMEEQIEIKRLRTENRLLKQRIETLEKENPRLTEDFVAHLET ELEQSRLRETETLGALREMQDKVLDMEKRNSSLPDENNVARLQEELKALKVREGEAVASA RELKLQLQELSDTWQAHLSRGGRWKESPRKLVLGELQDELMTVRLREAQALADGREWRQR VVELETQDNIHRNLLNRVEAERAALQEKLQYLAAQNKGLQTQLSESRRKQAEAECKSKEE VMAVRLREADSMAAVAEMRQRIAELEIQREEGRIQGQLNHSDSSQYIRELKDQIEELKTE VRLLKGPPTFEDPLAFDGLSLTRHLDEDSLPSSDEELLGVGVGVGAALQDPLYPLSPRDA RFFRSLDRPAKDSEGSSDSDADELATPYSNQGLDN >ENSMUSP00000134857.1 pep:known chromosome:GRCm38:8:4166587:4208046:1 gene:ENSMUSG00000011832.15 transcript:ENSMUST00000176764.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Evi5l description:ecotropic viral integration site 5 like [Source:MGI Symbol;Acc:MGI:2442167] MSLPTMASPTLSPDSSSQEALSAPTCSPTSDSENLSPDELELLAKLEEQNRLLEADSKSM RSMNGSRRNSGSSLVSSSSASSNLSHLEEDTWILWGRIANEWEEWRRRKEKLLKELIRKG IPHHFRAIVWQLLCSATDMPVKNQYSELLKMSSPCEKLIRRDIARTYPEHEFFKGQDSLG QEVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVRLMQEYRLRELFKPS MAELGLCIYQFEYMLQEQLPDLNTHFRSQSFHTSMYASSWFLTLFLTTFPLPVATRVFDI FMYEGLEIVFRVGLALLQVNQTELMQLDMEGMSQYFQRVIPHQFDSCPDKLVLKAYQVKY NPKKMKRLEKEYAAMKSKEMEEQIEIKRLRTENRLLKQRIETLEKGQVTRAQEAEENYVI KRELAVVRQQCSSTAEDLQKAQSTIRQLQEQQVPGG >ENSMUSP00000135700.1 pep:known chromosome:GRCm38:8:4166739:4208046:1 gene:ENSMUSG00000011832.15 transcript:ENSMUST00000176825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi5l description:ecotropic viral integration site 5 like [Source:MGI Symbol;Acc:MGI:2442167] MSLPTMASPTLSPDSSSQEALSAPTCSPTSDSENLSPDELELLAKLEEQNRLLEADSKSM RSMNGSRRNSGSSLVSSSSASSNLSHLEEDTWILWGRIANEWEEWRRRKEKLLKELIRKG IPHHFRAIVWQLLCSATDMPVKNQYSELLKMSSPCEKLIRRDIARTYPEHEFFKGQDSLG QEVLFNVMKAYSLVDREVGYCQGSAFIVGLLLMQMPEEEAFCVFVRLMQEYRLRELFKPS MAELGLCIYQFEYMLQEQLPDLNTHFRSQSFHTSMYASSWFLTLFLTTFPLPVATRVFDI FMYEGLEIVFRVGLALLQVNQTELMQLDMEGMSQYFQRVIPHQFDSCPDKLVLKAYQVKY NPKKMKRLEKEYAAMKSKEMEEQIEIKRLRTENRLLKQRIETLEKGQVTRAQEAEENYVI KRELAVVRQQCSSTAEDLQKAQSTIRQLQEQQENPRLTEDFVAHLETELEQSRLRETETL GALREMQDKVLDMEKRNSSLPDENNVARLQEELKALKVREGEAVASARELKLQLQELSDT WQAHLSRGGRWKESPRKLVLGELQDELMTVRLREAQALADGREWRQRVVELETQDNIHRN LLNRVEAERAALQEKLQYLAAQNKGLQTQLSESRRKQAEAECKSKEEVMAVRLREADSMA AVAEMRQRIAELEIQREEGRIQGQLNHSDSSQYIRELKDQIEELKTEVRLLKGPPTFEDP LAFDGLSLTRHLDEDSLPSSDEELLGVGVGVGAALQDPLYPLSPRDARFFRSLDRPAKDS EGSSDSDADELATPYSNQGLDN >ENSMUSP00000135744.1 pep:known chromosome:GRCm38:8:4206249:4211257:1 gene:ENSMUSG00000011832.15 transcript:ENSMUST00000176645.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evi5l description:ecotropic viral integration site 5 like [Source:MGI Symbol;Acc:MGI:2442167] XQGQLNHSDSSQYIRELKDQIEELKTEVRLLKGPPTFEDPLAFDGLSLTRHLDEDSLPSS DEELLGVGVGVGAALQDPLYPLSPRDARFFRSLDRPAKDSEVQSPCGEATSSGEKLVTEV MLARDSSKGDSNSAQRPNSASLSGARDPPETPPTPEPPELPSQPTAAPSAAASSSVRLSR CCTTLA >ENSMUSP00000143429.1 pep:known chromosome:GRCm38:10:43950636:44148853:-1 gene:ENSMUSG00000019866.13 transcript:ENSMUST00000200401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aim1 description:absent in melanoma 1 [Source:MGI Symbol;Acc:MGI:109544] MPLSPPSQGDPREASPSKAPKKHPTFHIWRSKKKQSPSSSCGVFIPHPPGAFGETRAFLV PDGSQGEPQELTLHGGELQLSDTPSEVPGPSSTESAMLKKSRAQPPEDTRRKPVLEKLGT LFTAGRRRNTRNGLESPTNSNSKSASAKVPKTGSEKTKAQDNQLKATDAGEAGPRQEAEG QPVESCVQATPCDAEQSPCSSINVGVVQQCHSNDSAQLEPLQAEGETFPDATTAAKELLH SSPGNRSRQESAETPARSPGEEALPGAGLQPETARGVSGSPPEEHLVGGVGEAPDGAPGV GAEAGSLGAPGDAVPPGEPPAQDAETSRTAGSVDRAHPTKVLTLDIYLSKTEVAQVDEPA SIAPGTDDCEDSDDMEKRSSGRRSGRRRKSQKSTDSPGADAVAPESAAKDEAVFDDEVAP DAAAENCLAERKVKSVQAVPDGGAAPVACPEPKASSCPRGQPRGEPDRSKPLSPTKRKGR SRVPEAVPSSPGSGSRAPAKESPPKRAPAAADSGEEAGRVVPRELTVKSSSLLPEIKPEH KRGPLPHHFDSRGDGGRSRGTGASDADGLKPRNQFGVGRSTVTTKVTLPARPKHVELNLK TPKNPDSLGNEHNSFSQPVHKGNTANKISLFENKRANSSPRHPDVRNTRNNPPSSKTFVG RAKLNLAKKAKEMEQPEKKAVSTSHQNGLVVKEPSAEGKGTAVPVPREEIRPATRGGEGE STKDQVLRPEPEQSDKLDVQTDTAYPSHPGPTALVPVKDSELSGEGQPEAADSKGPVLNN TSDVAQDIAAICDAREAPPKPQDAFSDSQPASAAESARESSLPPSAPISVDAPQDGCVQV PICSVPCTALEVSENHNGCVSPVSPHTEKTPISKPGVGEASPPSTPEHSPETMGKDCPSK VLVQVRSFVLPVENPQDVSSQVISESSEVREAQLPSCHSNEPEVVSVTTCVPQHEDAPDT SPKDIHHREGHVAQSRPHITQSGPEKAPPIQSSSGMPQTAEFILTHSPSSRSHAEGPQRP GQDATSPLTPTRLLNISAGSDNSVLDSSSDMEKFTEIIKKMDSAVCVPQKKKKARVPNSP APHFAMPPIHEDSLEKVFDPNVFTIGLGKKKESLAEVSPALHLLQNHDPISKLRAKRAST EQSIVFKSLHTNSNGKSEPQTTSEASDKENRDINSGGVKRSRLENSTLFSSMLSSLPQDK VFSPSVTSVNTMSTSFSTSQNSSVSQSSVVQPRKEGGLPCGSDKEQPHLAPHNSLKVFNF NSSNTSRLGSSHMEKYPQKEGSKEDVNSQGNLQLPENNLSDLPKLKNSDGVEGILKSNLP NVGSVDADFLGLFKASRYDPGHSFSGMSLSDSMTLRGSMHSKLNPRPGKVVIFSEPDVSE ECIEVFGDIQDCSSWRLSPVIVVKVVRGCWILYEKANFEGHSLALEEGELELSSIWGTEE MLDEEAESDKPVVIGSIRHVVQDYRISQIDLFTEPEGLGLLNSYFDDTEEMQGFPVMQKT CSIKVHWGTWLIYEEPGFQGVPFILEPGEYPDLSFWDTEEAYIGSMRPLKMGGRKVEFPT DPKVVIYEKPSFEGRCMELETEMSSFIMEGGETEETTGNNNLPFTSVGSMKVLRGVWVAY EKCGFTGHQYLLEEGEYRDWNAWGGYNGELQSLRPILSDFSNAHMIMYSEKNFGSKGSSI DVLGIVANLKETGYGVKTQSINVLSGVWVAYENPDFTGEQYVLDKGFYTSFEDWGGKNCK ISSVQPICLDSFTGPRRRNQIHLFSEPQFQGQSQSFEETIDQIDDSFQTKSCRVLGGSWV AYDGENFSGNQYVLEEGHYPCLSAMGCLPGATLKSLRFIDFEFSEPTIILFERENFKGKK IELNAETVNLRSLGFNTQIRSVRVVGGIWVTYEYGNYRGRQFLLSPAEVPNWYEFSACRQ IGSLRPFAQKRIYFRLRNKATGLFMSTNGNLEDLKLLRVQVMEDVGADDQIWIYQEGCIK CRIAEDCCLTIVGSLVTSGSKLGLALDQNVDSQFWCMKSDGRIYSKMKPNLVLDVKGGAQ YDQNHIILNTVSTEKLTQVWEAMVL >ENSMUSP00000020017.8 pep:known chromosome:GRCm38:10:43950307:44004846:-1 gene:ENSMUSG00000019866.13 transcript:ENSMUST00000020017.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aim1 description:absent in melanoma 1 [Source:MGI Symbol;Acc:MGI:109544] MEKRSSGRRSGRRRKSQKSTDSPGADAVAPESAAKDEAVFDDEVAPDAAAENCLAERKVK SVQAVPDGGAAPVACPEPKASSCPRGQPRGEPDRSKPLSPTKRKGRSRVPEAVPSSPGSG SRAPAKESPPKRAPAAADSGEEAGRVVPRELTVKSSSLLPEIKPEHKRGPLPHHFDSRGD GGRSRGTGASDADGLKPRNQFGVGRSTVTTKVTLPARPKHVELNLKTPKNPDSLGNEHNS FSQPVHKGNTANKISLFENKRANSSPRHPDVRNTRNNPPSSKTFVGRAKLNLAKKAKEME QPEKKAVSTSHQNGLVVKEPSAEGKGTAVPVPREEIRPATRGGEGESTKDQVLRPEPEQS DKLDVQTDTAYPSHPGPTALVPVKDSELSGEGQPEAADSKGPVLNNTSDVAQDIAAICDA REAPPKPQDAFSDSQPASAAESARESSLPPSAPISVDAPQDGCVQVPICSVPCTALEVSE NHNGCVSPVSPHTEKTPISKPGVGEASPPSTPEHSPETMGKDCPSKVLVQVRSFVLPVEN PQDVSSQVISESSEVREAQLPSCHSNEPEVVSVTTCVPQHEDAPDTSPKDIHHREGHVAQ SRPHITQSGPEKAPPIQSSSGMPQTAEFILTHSPSSRSHAEGPQRPGQDATSPLTPTRLL NISAGSDNSVLDSSSDMEKFTEIIKKMDSAVCVPQKKKKARVPNSPAPHFAMPPIHEDSL EKVFDPNVFTIGLGKKKESLAEVSPALHLLQNHDPISKLRAKRASTEQSIVFKSLHTNSN GKSEPQTTSEASDKENRDINSGGVKRSRLENSTLFSSMLSSLPQDKVFSPSVTSVNTMST SFSTSQNSSVSQSSVVQPRKEGGLPCGSDKEQPHLAPHNSLKVFNFNSSNTSRLGSSHME KYPQKEGSKEDVNSQGNLQLPENNLSDLPKLKNSDGVEGILKSNLPNVGSVDADFLGLFK ASRYDPGHSFSGMSLSDSMTLRGSMHSKLNPRPGKVVIFSEPDVSEECIEVFGDIQDCSS WRLSPVIVVKVVRGCWILYEKANFEGHSLALEEGELELSSIWGTEEMLDEEAESDKPVVI GSIRHVVQDYRISQIDLFTEPEGLGLLNSYFDDTEEMQGFPVMQKTCSIKVHWGTWLIYE EPGFQGVPFILEPGEYPDLSFWDTEEAYIGSMRPLKMGGRKVEFPTDPKVVIYEKPSFEG RCMELETEMSSFIMEGGETEETTGNNNLPFTSVGSMKVLRGVWVAYEKCGFTGHQYLLEE GEYRDWNAWGGYNGELQSLRPILSDFSNAHMIMYSEKNFGSKGSSIDVLGIVANLKETGY GVKTQSINVLSGVWVAYENPDFTGEQYVLDKGFYTSFEDWGGKNCKISSVQPICLDSFTG PRRRNQIHLFSEPQFQGQSQSFEETIDQIDDSFQTKSCRVLGGSWVAYDGENFSGNQYVL EEGHYPCLSAMGCLPGATLKSLRFIDFEFSEPTIILFERENFKGKKIELNAETVNLRSLG FNTQIRSVRVVGGIWVTYEYGNYRGRQFLLSPAEVPNWYEFSACRQIGSLRPFAQKRIYF RLRNKATGLFMSTNGNLEDLKLLRVQVMEDVGADDQIWIYQEGCIKCRIAEDCCLTIVGS LVTSGSKLGLALDQNVDSQFWCMKSDGRIYSKMKPNLVLDVKGGAQYDQNHIILNTVSTE KLTQVWEAMVL >ENSMUSP00000002025.3 pep:known chromosome:GRCm38:8:66511756:66513776:1 gene:ENSMUSG00000025519.5 transcript:ENSMUST00000002025.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tktl2 description:transketolase-like 2 [Source:MGI Symbol;Acc:MGI:1921669] MALARDAKLESDTFQVLQDVANRLRIHSIRATCACSSGHPTSCCSVAEIMAVLFFHTMRY KQADPEHPDNDRFVLSKGHAAPILYAVWVEVGRICESDLLNLRKIHCDLEGHPTPRLSFV DVATGSLGQGLGAACGMAYTGKYFDKASYRVFCLMGDGESSEGSVWEALAFASHYNLDNL VAIFDVNRLGQSGTAPLEHCTAVYEKRCQAFGWNTYVVDGHDVEALCQAFWKAAQVKNKP TALIAKTFKGRGIPNVEDAENWHGKPMPKDRADGIVKLIENRIQTNRNLTPKPPIEDSPR ISMSNTKMTSLPVYKLGDMIATREAYGLALAKLGQSNQRVIVLDGDTKNSTFSEVFKKEH PERFIECFIAEQNMVSVALGCATRGRTIAFVSTFAAFLTRAFDQIRMGAISQTNINFVGS HCGVSVGEDGPSQMALEDLAMFRSIPNCTVFYPSDAVSTEHAVYLAANTKGMCFIRTTRP KTAVIYTAEENFVIGQAKVIRQSAVDKVTVIGAGVTLHEALVAAEELSQQGIFIRVIDLF TIKPLDAVTIIQSAKATGGQIITVEDHYREGGIGEAVCAAISREPDIVVRQLAVTEVPRS GKPSELLDMFGISARHIIAAVKDTVMK >ENSMUSP00000138388.1 pep:known chromosome:GRCm38:8:66511759:66518335:1 gene:ENSMUSG00000025519.5 transcript:ENSMUST00000183187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tktl2 description:transketolase-like 2 [Source:MGI Symbol;Acc:MGI:1921669] MALARDAKLESDTFQVLQDVANRLRIHSIRATCACSSGHPTSCCSVAEIMAVLFFHTMRY KQADPEHPDNDRFVLSKGHAAPILYAVWVEVGRICESDLLNLRKIHCDLEGHPTPRLSFV DVATGSLGQGLGAACGMAYTGKYFDKASYRVFCLMGDGESSEGSVWEALAFASHYNLDNL VAIFDVNRLGQSGTAPLEHCTAVYEKRCQAFGWNTYVVDGHDVEALCQAFWKAAQVKNKP TALIAKTFKGRGIPNVEDAENWHGKPMPKDRADGIVKLIENRIQTNRNLTPKPPIEDSPR ISMSNTKMTSLPVYKLGDMIATREAYGLALAKLGQSNQRVIVLDGDTKNSTFSEVFKKEH PERFIECFIAEQNMVSVALGCATRGRTIAFVSTFAAFLTRAFDQIRMGAISQTNINFVGS HCGVSVGEDGPSQMALEDLAMFRSIPNCTVFYPSDAVSTEHAVYLAANTKGMCFIRTTRP KTAVIYTAEENFVIGQAKVIRQSAVDKVTVIGAGVTLHEALVAAEELSQQGIFIRVIDLF TIKPLDAVTIIQSAKATGGQIITVEDHYREGGIGEAVCAAISREPDIVVRQLAVTEVPRS GKPSELLDMFGISARHIIAAVKDTVMK >ENSMUSP00000084572.7 pep:known chromosome:GRCm38:17:35000987:35016322:1 gene:ENSMUSG00000007029.16 transcript:ENSMUST00000087315.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vars description:valyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:90675] MSILYVSPHPDAFPSLRALIAARYGEAGDGPGWGGPHPRICLQPPPSSRTPFPPPRLPAL EQGPGGLWVWGAPAVAQLLWPAGLGGPGGSRAAVLVQQWVSYADTELIPAACGATLPALG LRGPGQDPQAALGALGKALNPLEDWLRLHTYLAGDAPTLADLAAVTALLLPFRYVLDPSA RRIWGNVTRWFNTCVRQPEFRAVLGEVALYSGARSVTQQPGSEVIAPQKTPAQLKKEAKK REKLEKFQQKQKTQQQPPHGEKKPKPEKKEKRDPGVITYDLPTPPGEKKDVSGAMPDSYS PQYVEAAWYPWWERQGFFKPEYGRPSVSAPNPRGVFMMCIPPPNVTGSLHLGHALTNAIQ DSLTRWHRMRGETTLWNPGCDHAGIATQVVVEKKLWKERGLNRHQLGREAFLEEVWKWKA EKGDRIYHQLKKLGSSLDWDRACFTMDPKLSATVTEAFVRLHEEGVIYRSTRLVNWSCTL NSAISDIEVDKKELTGRTLLPVPGYKEKVEFGVLVSFAYKVQGSDSDEEVVVATTRIETM LGDVAVAVHPKDPRYQHLKGKCVVHPFLSRSLPIVFDDFVDMEFGTGAVKITPAHDQNDY EVGQRHRLEAISIMDSKGALINVPPPFLGLPRFEARKAVLAALKERGLFRGVKDNPMVVP LCNRSKDVVEPLLRPQWYVRCGEMAQAASAAVTRGDLRILPEAHQRTWHSWMDNIRDWCI SRQLWWGHRIPAYFITVHDPAVPPGEDPDGRYWVSGRTEAEAREKAAREFGVSPDKISLQ QDEDVLDTWFSSGLFPFSIFGWPNQSEDLSVFYPGTLLETGHDILFFWVARMVMLGLKLT GKLPFREVYLHAIVRDAHGRKMSKSLGNVIDPLDVIHGVSLQGLYDQLLNSNLDPSEVEK AKEGQKADFPAGIPECGTDALRFGLCAYTSQGRDINLDVNRILGYRHFCNKLWNATKFAL RGLGKGFVPSATSKPEGHESLVDRWIRSRLTEAVRLSNEGFQAYDFPAITTAQYSFWLYE LCDVYLECLKPVLNGVDQVAAECARQTLYTCLDVGLRLLSPFMPFVTEELFQRLPRRTPK APASLCVTPYPEPSECSWKDPEAEAALELALSITRAVRSLRADYNLTRTRPDCFLEVADE ATGALASAVSGYVQALASAGVVAVLALGAPAPQGCAVAVASDRCSIHLQLQGLVDPAREL GKLQAKRSEAQRQAQRLQERRAASSYSAKVPLEVQEADEAKLQQTEAELRKVDEAIALFQ KML >ENSMUSP00000133994.1 pep:known chromosome:GRCm38:17:35001051:35016030:1 gene:ENSMUSG00000007029.16 transcript:ENSMUST00000173584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vars description:valyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:90675] MSILYVSPHPDAFPSLRALIAARYGEAGDGPGWGGPHPRICLQPPPSSRTPFPPPRLPAL EQGPGGLWVWGAPAVAQLLWPAGLGGPGGSRAAVLVQQWVSYADTELIPAACGATLPALG LRGPGQDPQAALGALGKALNPLEDWLRLHTYLAGDAPTLADLAAVTALLLPFRYVLDPSA RRIWGNVTRWFNTCVRQPEFRAVLGEVALYSGARSVTQQPGSEVIAPQKTPAQLKKEAKK REKLEKFQQKQKTQQQPPHGEKKPKPEKKEKRDPGVITYDLPTPPGEKKDVSGAMPDSYS PQYVEAAWYPWWERQGFFKPEYGRPSVSAPNPRGVFMMCIPPPNVTGSLHLGHALTNAIQ DSLTRWHRMRGETTLWNPGCDHAGIATQVVVEKKLWKERGLNRHQLGREAFLEEVWKWKA EKGDRIYHQLKKLGSSLDWDRACFTMDPKLSATVTEAFVRLHEEGVIYRSTRLVNWSCTL NSAISDIEVDKKELTGRTLLPVPGYKEKVEFGVLVSFAYKVQGSDSDEEVVVATTRIETM LGDVAVAVHPKDPRYQHLKGKCVVHPFLSRSLPIVFDDFVDMEFGTGAVKITPAHDQNDY EVGQRHRLEAISIMDSKGALINVPPPFLGLPRFEARKAVLAALKERGLFRGVKDNPMVVP LCNRSKDVVEPLLRPQWYVRCGEMAQAASAAVTRGDLRILPEAHQRTWHSWMDNIRDWCI SRQLWWGHRIPAYFITVHDPAVPPGEDPDGRYWVSGRTEAEAREKAAREFGVSPDKISLQ QDEDVLDTWFSSGLFPFSIFGWPNQSEDLSVFYPGTLLETGHDILFFWVARMVMLGLKLT GKLPFREVYLHAIVRDAHGRKMSKSLGNVIDPLDVIHGVSLQGLYDQLLNSNLDPSEVEK AKEGQKADFPAGIPECGTDALRFGLCAYTSQGRDINLDVNRILGYRHFCNKLWNATKFAL RGLGKGFVPSATSKPEGHESLVDRWIRSRLTEAVRLSNEGFQAYDFPAITTAQYSFWLYE LCDVYLECLKPVLNGVDQVAAECARQTLYTCLDVGLRLLSPFMPFVTEELFQRLPRRTPK APASLCVTPYPEPSECSWKDPEAEAALELALSITRAVRSLRADYNLTRTRPDCFLEVADE ATGALASAVSGYVQALASAGVVAVLALGAPAPQGCAVAVASDRCSIHLQLQGLVDPAREL GKLQAKRSEAQRQAQRLQERRAASSYSAKVPLEVQEADEAKVCGGDGVCQWVVCGQAACG LLHRWGCVCSGALCHCTL >ENSMUSP00000134313.1 pep:known chromosome:GRCm38:17:35001317:35004802:1 gene:ENSMUSG00000007029.16 transcript:ENSMUST00000174260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vars description:valyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:90675] MSILYVSPHPDAFPSLRALIAARYGEAGDGPGWGGPHPRICLQPPPSSRTPFPPPRLPAL EQGPGGLWVWGAPAVAQLLWPAGLGGPGGSRAAVLVQQWVSYADTELIPAACGATLPALG LRGPGQDPQAALGALGKALNPLEDWLRLHTYLAGDAPTLADLAAVTALLLPFRYVLDPSA RRIWGNVTRWFNTCVRQPEFRAVLGEVALYSGARSVTQQPGSEVIAPQKTP >ENSMUSP00000134245.1 pep:known chromosome:GRCm38:17:35002657:35004852:1 gene:ENSMUSG00000007029.16 transcript:ENSMUST00000172570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vars description:valyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:90675] MTHQIVSAALGALGKALNPLEDWLRLHTYLAGDAPTLADLAAVTALLLPFRYVLDPSARR IWGNVTRWFNTCVRQPEFRAVLGEVALYSGARSVTQQPGSEVIAPQKTPAQLKKEAKKRE KLEKF >ENSMUSP00000134669.1 pep:known chromosome:GRCm38:17:35013827:35015047:1 gene:ENSMUSG00000007029.16 transcript:ENSMUST00000173142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vars description:valyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:90675] XGLYDQLLNSNLDPSEVEKAKEGQKADFPAGIPECGTDALRFGLCAYTSQGRDINLDVNR ILGYRHFCNKLWNATKFALRGLGKGFVPSATSKECLKPVLNGVDQVAAECARQTLYTCLD VGLRLLSPFM >ENSMUSP00000100475.1 pep:known chromosome:GRCm38:7:103309723:103310661:1 gene:ENSMUSG00000073953.3 transcript:ENSMUST00000104880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr596 description:olfactory receptor 596 [Source:MGI Symbol;Acc:MGI:3030430] MPSNNETASHPSLFHLLGIPGLEAFHIWIAFPFFVVYLIALVGNFTILCVIKNEQSLHQP MFYFLALLSFIDLGLSTSTIPKMLGIFWFNLREISFEGCLIQMFFIHTYTGMESVVLLAM AIDRFVAICYPLRYTSILTNKVVAVMASVVIGRPVLLVIPFCPLLKRLPFCGHYIIPHTY CEHMGIARLACANIRINIIYGLFTIAALIFDLILIALSYAWILQAVFCLPSRDARHKALS TCGSHVCVILAFYTPAFFSFMTHRFGRNVPRYIHILLANLYVVVPPCLNPVIYGVRTKQI REGVLKIFVKKE >ENSMUSP00000057320.7 pep:known chromosome:GRCm38:9:74110356:74283203:1 gene:ENSMUSG00000044976.16 transcript:ENSMUST00000055879.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr72 description:WD repeat domain 72 [Source:MGI Symbol;Acc:MGI:3583957] MRGALQAVALWGRKAPPHSITAIMITDDQQTIVTGSQEGQLCLWSLSPELKISAKELLFG HSASVTCLARARDFSKQPYVVSAAENGEMCMWNVSSGQCVEKTSLPYRHTAICYYHCSFR MTGEGWLLCCGEYQDVLVLDAGTLAVLHTFTSLQSPDWMKCMCIVHSVRIQEDSLLVVSI TGELKVWDLSSSINSIQEKQDVHEKESKFLDSFNCQTIRFCPYTERLLLVVFSKCWKIYD YCDFSLLWTEVSRDGQFFAGGEVLAAHRILVWTEDGHSYIYQLLNRWAQMGATLRTFSGL SKCVCPADGGVLKGTVYPHLLCSTSVEENKSLHFVMGYMNERKEPFYKVLFSGEVSGRIT LWHIPDVPISKFDGSPREIPITTTWTLQDNFDKHQMVSQSITDHFSGSRDEVGMTATITS SEYIPNLDKLICGCEDGTIFITKALNAAKAGLLEGDSLLKDSPCHTLLRGHHQSVTSLLY PHNLASKLDQSWMVSGDRGSYVILWDIFTEEILHTFFLEAGPVTRLLMSPENLKRSDGQI LCCVCGDHSVALLHLEGRRCLLRARKHLFPVRMIRWHPVENFLIVGCTDDSVYIWEIETG TLERHETGERARIILNCGDDAQLIRSEPTLSVASETHKHKSIEQKSSNSHQPGPVPCPSV QLESSCKVADASSVPRPFNVLPVKTKWSHIGFHVLLFDLENLVELLLPTPLSDVDPSGSF YGGDILRRAKSTVEKKTLTIRRNKASCSSLQTEAQAKPSGDSLVLGDSTSKFSEENNGIK RQKKMKSSKKAHPKPPRKVDASLTIDMAKLFLSCILPWGVDKDLDSLCTRHLSILKLQGP VSLGLASNEDLFSLMLPGWDACSTEMKEYSGVNLCSRKVLDLSSKYTATLLHQTGIPRGL ESHCDSVQQSDAIVYLLSRLFLVNKLVNMPLDLACEIDRPFKMETVHSKARFPGSDILNI SSFYGHPKNGGNECRAPEADLSLLKLISCWRDQSVQVTEAIQAVLLAEVQQHMKSLRNTP VSSQPDPVAEHSICERMQISAKMEWTEELELQYVGKSSPLKTSVSPVKHGNDLNSANFQD TEDILDRCVLEESESAGQPRHRPWIAKVCSCRMC >ENSMUSP00000044554.6 pep:known chromosome:GRCm38:14:45458792:45530118:-1 gene:ENSMUSG00000037712.15 transcript:ENSMUST00000045905.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fermt2 description:fermitin family member 2 [Source:MGI Symbol;Acc:MGI:2385001] MALDGIRMPDGCYADGTWELSVHVTDLNRDVTLRVTGEVHIGGVMLKLVEKLDVKKDWSD HALWWEKKRTWLLKTHWTLDKCGIQADAKLQFTPQHKLLRLQLPNMKYVKVKVNFSDRVF KAVSDICKTFNIRHPEELSLLKKPRDPTKKKKKKLDDQSEDEALELEGPLIMPGSGSIYS SPGLYSKTMTPTYDAHDGSPLSPTSAWFGDSALSEGNPGILAVSQPVTSPEILAKMFKPQ ALLDKAKTNQGWLDSSRSLMEQDVKENEALLLRFKYYSFFDLNPKYDAIRINQLYEQAKW ALLLEEIECTEEEMMMFAALQYHINKLSIMTSENHLNNSDKEVDEVDAALSDLEITLEGG KTSTILGDITSIPELADYIKVFKPKKLTLKGYKQYWCTFKDTSISCYKSREESSGTPAHQ LNLRGCEVTPDVNISGQKFNIKLLIPVAEGMNEIWLRCDNEKQYAHWMAACRLASKGKTM ADSSYNLEVQNILSFLKMQHLNPDPQLIPDQITTDVNPECLVSPRYLKKYKSKQITARIL EAHQNVAQMSLIEAKMRFIQAWQSLPEFGITHFIARFQGGKREELIGIAYNRLIRMDAST GDAIKTWRFSNMKQWNVNWEIKMVTVEFADEVRLSFICTEVDCKVVHEFIGGYIFLSTRA KDQNESLDEEMFYKLTSGWV >ENSMUSP00000120918.1 pep:known chromosome:GRCm38:14:45475898:45529964:-1 gene:ENSMUSG00000037712.15 transcript:ENSMUST00000150660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fermt2 description:fermitin family member 2 [Source:MGI Symbol;Acc:MGI:2385001] MALDGIRMPDGCYADGTWELSVHVTDLNRDVTLRVTGEVHIGGVMLKLVEKLDVKKDWSD HALWWEKKRTWLLKTHWTLDKCGIQADAKLQFTPQHKLLRLQLPNMKYVKVKVNFSDRVF KAVSDICKTFNIRHPEELSLLKKPRDPTKKKKKKLDDQSEDEALELEGPLIMPGSGTDVL YIGPLKGSIYSSPGLYSKTMTPTYDAHDGSPLSPTSAWFGDSALSEGNPGILAVSQPVTS PEILAKMFKPQALLDKAK >ENSMUSP00000118214.1 pep:known chromosome:GRCm38:14:45469314:45477861:-1 gene:ENSMUSG00000037712.15 transcript:ENSMUST00000141424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fermt2 description:fermitin family member 2 [Source:MGI Symbol;Acc:MGI:2385001] MSCHPRASIRQIETGSIYSSPGLYSKTMTPTYDAHDGSPLSPTSAWFGDSALSEGNPGIL AVSQPVTSPEILAKMFKPQALLDKAKTNQGWLDSSRSLMEQDVKENEALLLRFKYYSFFD LNPKYDAIRINQLYEQAKWALLLEEIECTEEEMMMFAALQYHINKLSIMTSENHLNNSDK >ENSMUSP00000109152.1 pep:known chromosome:GRCm38:1:78511169:78538171:1 gene:ENSMUSG00000012187.13 transcript:ENSMUST00000113524.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mogat1 description:monoacylglycerol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:1915643] MMVEFAPLNTPLARCLQTAAVLQWVLSFLLLVQVCIGIMVMLVLYNYWFLYIPYLVWFYY DWRTPEQGGRRWNWVQSWPVWKYFKEYFPICLVKTQDLDPGHNYIFGFHPHGIFVPGAFG NFCTKYSDFKKLFPGFTSYLHVAKIWFCFPLFREYLMSNGPVSVSKESLSHVLSKDGGGN VSIIVLGGAKEALEAHPGTFTLCIRQRKGFVKMALTHGASLVPVFSFGENDLYKQINNPK GSWLRTIQDAMYDSMGVALPLIYARGIFQHYFGIMPYRKLIYTVVGRPIPVQQTLNPTSE QIEELHQTYLEELKKLFNEHKGKYGIPEHETLVFK >ENSMUSP00000116178.1 pep:known chromosome:GRCm38:1:78510991:78527695:1 gene:ENSMUSG00000012187.13 transcript:ENSMUST00000134947.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mogat1 description:monoacylglycerol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:1915643] MMVEFAPLNTPLARCLQTAAVLQWVLSFLLLVQVCIGIMVMLVLYNYWFLYIPYLVWFYY DWRTPEQGGRRWNWVQSWPVWKYFKEYFPICLVKTQDLDPGHNYIFGFHPHGIFVPGAFG NFCTKYSDFKKLFPGFTSYLHVAKIWFCFPLFREYLMSNGPVSVSKESLSHVLSKDGGGN VSIIVLGGAKEALEAHPGTFT >ENSMUSP00000117903.1 pep:known chromosome:GRCm38:1:78511160:78529235:1 gene:ENSMUSG00000012187.13 transcript:ENSMUST00000149732.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mogat1 description:monoacylglycerol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:1915643] MQVCIGIMVMLVLYNYWFLYIPYLVWFYYDWRTPEQGGRRWNWVQSWPVWKYFKEYFPIC LVKTQDLDPGHNYIFGFHPHGIFVPGAFGNFCTKYSDFKKLFPGFTSYLHVAKIWFCFPL FREYLMSNGPVSVSKESLSHVLSKDGGGNVSIIVLGGAKEALEAHPGTFTLCIRQRKGFV KMALTHGASLVPVFSFGENDLYKQINNPKGSWLRTIQDAMYDSMGVALPLIYARGIFQHY FGIMPYR >ENSMUSP00000123427.1 pep:known chromosome:GRCm38:1:78511812:78522837:1 gene:ENSMUSG00000012187.13 transcript:ENSMUST00000152111.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mogat1 description:monoacylglycerol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:1915643] MMVEFAPLNTPLARCLQTAAVLQWVLSFLLLVQVCIGIMVMLVLYNYWFLYIPYLVWFYY DWRTPEQGGRRWNWVQSWPVWK >ENSMUSP00000012331.6 pep:known chromosome:GRCm38:1:78511867:78538173:1 gene:ENSMUSG00000012187.13 transcript:ENSMUST00000012331.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mogat1 description:monoacylglycerol O-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:1915643] MMVEFAPLNTPLARCLQTAAVLQWVLSFLLLVQVCIGIMVMLVLYNYWFLYIPYLVWFYY DWRTPEQGGRRWNWVQSWPVWKYFKEYFPICLVKTQDLDPGHNYIFGFHPHGIFVPGAFG NFCTKYSDFKKLFPGFTSYLHVAKIWFCFPLFREYLMSNGPVSVSKESLSHVLSKDGGGN VSIIVLGGAKEALEAHPGTFTLCIRQRKGFVKMALTHGASLVPVFSFGENDLYKQINNPK GSWLRTIQDAMYDSMGVALPLIYARGIFQHYFGIMPYRKLIYTVVGRPIPVQQTLNPTSE QIEELHQTYLEELKKLFNEHKGKYGIPEHETLVFK >ENSMUSP00000028220.3 pep:known chromosome:GRCm38:2:34805178:34826073:-1 gene:ENSMUSG00000035949.15 transcript:ENSMUST00000028220.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw2 description:F-box and WD-40 domain protein 2 [Source:MGI Symbol;Acc:MGI:1353435] MERKDFETWLDNISVTFLSLTDLQKNETLDHLISLSGAVQLRHLSNNLETLLKRDFLKLL PLELSFYLLKWLDPQTLLTCCLVSKQWNKVISACTEVWQTACKNLGWQIDDSVQDSLHWK KVYLKAILRMKQLEDHEAFETSSLIGHSARVYALYYKDGLLCTGSDDLSAKLWDVSTGQC VYGIQTHTCAAVKFDEQKLVTGSFDNTVACWEWSSGARTQHFRGHTGAVFSVDYSDELDI LVSGSADFAVKVWALSAGTCLNTLTGHTEWVTKVVLQKCKVKSLLHSPGDYILLSADKYE IKIWPIGREINCKCLKTLSVSEDRSICLQPRLHFDGKYIVCSSALGLYQWDFASYDILRV IKTPEVANLALLGFGDVFALLFDNHYLYIMDLRTESLISRWPLPEYRKSKRGSSFLAGEA SWLNGLDGHNDTGLVFATSMPDHSIHLVLWKEHC >ENSMUSP00000108701.1 pep:known chromosome:GRCm38:2:34805338:34823181:-1 gene:ENSMUSG00000035949.15 transcript:ENSMUST00000113078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw2 description:F-box and WD-40 domain protein 2 [Source:MGI Symbol;Acc:MGI:1353435] MERKDFETWLDNISVTFLSLTDLQKNETLDHLISLSGAVQLRHLSNNLETLLKRDFLKLL PLELSFYLLKWLDPQTLLTCCLVSKQWNKVISACTEVWQTACKNLGWQIDDSVQDSLHWK KVYLKAILRMKQLEDHEAFETSSLIGHSARVYALYYKDGLLCTGSDDLSAKLWDVSTGQC VYGIQTHTCAAVKFDEQKLVTGSFDNTVACWEWSSGARTQHFRGHTGAVFSVDYSDELDI LVSGSADFAVKVWALSAGTCLNTLTGHTEWVTKVVLQKCKVKSLLHSPGDYILLSADKYE IKIWPIGREINCKCLKTLSVSEDRSICLQPRLHFDGKYIVCSSALGLYQWDFASYDILRV IKTPEVANLALLGFGDVFALLFDNHYLYIMDLRTESLISRWPLPEYRKSKRGSSFLAGEA SWLNGLDGHNDTGLVFATSMPDHSIHLVLWKEHC >ENSMUSP00000088541.3 pep:known chromosome:GRCm38:2:34805339:34826235:-1 gene:ENSMUSG00000035949.15 transcript:ENSMUST00000091020.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw2 description:F-box and WD-40 domain protein 2 [Source:MGI Symbol;Acc:MGI:1353435] MKQLEDHEAFETSSLIGHSARVYALYYKDGLLCTGSDDLSAKLWDVSTGQCVYGIQTHTC AAVKFDEQKLVTGSFDNTVACWEWSSGARTQHFRGHTGAVFSVDYSDELDILVSGSADFA VKVWALSAGTCLNTLTGHTEWVTKVVLQKCKVKSLLHSPGDYILLSADKYEIKIWPIGRE INCKCLKTLSVSEDRSICLQPRLHFDGKYIVCSSALGLYQWDFASYDILRVIKTPEVANL ALLGFGDVFALLFDNHYLYIMDLRTESLISRWPLPEYRKSKRGSSFLAGEASWLNGLDGH NDTGLVFATSMPDHSIHLVLWKEHC >ENSMUSP00000108700.1 pep:known chromosome:GRCm38:2:34805341:34826071:-1 gene:ENSMUSG00000035949.15 transcript:ENSMUST00000113077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw2 description:F-box and WD-40 domain protein 2 [Source:MGI Symbol;Acc:MGI:1353435] MERKDFETWLDNISVTFLSLTDLQKNETLDHLISLSGAVQLRHLSNNLETLLKRDFLKLL PLELSFYLLKWLDPQTLLTCCLVSKQWNKVISACTEVWQTACKNLGWQIDDSVQDSLHWK KVYLKAILRMKQLEDHEAFETSSLIGHSARVYALYYKDGLLCTVFSVDYSDELDILVSGS ADFAVKVWALSAGTCLNTLTGHTEWVTKVVLQKCKVKSLLHSPGDYILLSADKYEIKIWP IGREINCKCLKTLSVSEDRSICLQPRLHFDGKYIVCSSALGLYQWDFASYDILRVIKTPE VANLALLGFGDVFALLFDNHYLYIMDLRTESLISRWPLPEYRKSKRGSSFLAGEASWLNG LDGHNDTGLVFATSMPDHSIHLVLWKEHC >ENSMUSP00000108703.2 pep:known chromosome:GRCm38:2:34805341:34826230:-1 gene:ENSMUSG00000035949.15 transcript:ENSMUST00000113080.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw2 description:F-box and WD-40 domain protein 2 [Source:MGI Symbol;Acc:MGI:1353435] MERKDFETWLDNISVTFLSLTDLQKNETLDHLISLSGAVQLRHLSNNLETLLKRDFLKLL PLELSFYLLKWLDPQTLLTCCLVSKQWNKVISACTEVWQTACKNLGWQIDDSVQDSLHWK KVYLKAILRMKQLEDHEAFETSSLIGHSARVYALYYKDGLLCTGSDDLSAKLWDVSTGQC VYGIQTHTCAAVKFDEQKLVTGSFDNTVACWEWSSGARTQHFRGHTGAVFSVDYSDELDI LVSGSADFAVKVWALSAGTCLNTLTGHTEWVTKVVLQKCKVKSLLHSPGDYILLSADKYE IKIWPIGREINCKCLKTLSVSEDRSICLQPRLHFDGKYIVCSSALGLYQWDFASYDILRV IKTPEVANLALLGFGDVFALLFDNHYLYIMDLRTESLISRWPLPEYRKSKRGSSFLAGEA SWLNGLDGHNDTGLVFATSMPDHSIHLVLWKEHC >ENSMUSP00000108698.1 pep:known chromosome:GRCm38:2:34806253:34826201:-1 gene:ENSMUSG00000035949.15 transcript:ENSMUST00000113075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw2 description:F-box and WD-40 domain protein 2 [Source:MGI Symbol;Acc:MGI:1353435] MERKDFETWLDNISVTFLSLTDLQKNETLDHLISLSGAVQLRHLSNNLETLLKRDFLKLL PLELSFYLLKWLDPQTLLTCCLVSKQWNKVISACTEVWQTACKNLGWQIDDSVQDSLHWK KVYLKAILRMKQLEDHEAFETSSLIGHSARVYALYYKDGLLCTGSDDLSAKLWDVSTGQC VYGIQTHTCAAVKFDEQKLVTGSFDNTVACWEWSSGARTQHFRGHTGAVFSVDYSDELDI LVSGSADFAVKVWALSAGTCLNTLTGHTEWVTKVVLQKCKVKSLLHSPGDYILLSADKYE IKIWPIGREINCKCLKTLSVSEDRSICLQPRLHFDGKYIVCSSALGLYQWDFASYDILR >ENSMUSP00000095804.1 pep:known chromosome:GRCm38:7:103320401:103321360:1 gene:ENSMUSG00000073952.3 transcript:ENSMUST00000098203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr597 description:olfactory receptor 597 [Source:MGI Symbol;Acc:MGI:3030431] MLSSMNTNNVTYLNPGTVILIGIPGLEHVQFWIGFPFFTVCLVALLGNIILLIIIPAERS LHQPMYIFLAVLAGTDIGLCAAIAPKMLAIFWFRAYSMAFDACLAQLFFIHTLQCMESGI LLAMAFDRYIAICDPLRHTSILTPSILGRMIVVVVIRAVVLVGLLPILIKRLHHFWSIQI AHSYCEHMAVVKLAADDVQVNKICGLFVGFSILGFDMVFIIISYALIFQAVFRLKQKEAR LKAFNTCTAHIFVFLEFYILAFFSFFSHRFGHVVPSTHILLSTIYLLLPPALNPIVYGVK NMVIRKRVAQIFFLDHAHQ >ENSMUSP00000130705.2 pep:known chromosome:GRCm38:7:103320413:103321360:1 gene:ENSMUSG00000073952.3 transcript:ENSMUST00000168315.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr597 description:olfactory receptor 597 [Source:MGI Symbol;Acc:MGI:3030431] MNTNNVTYLNPGTVILIGIPGLEHVQFWIGFPFFTVCLVALLGNIILLIIIPAERSLHQP MYIFLAVLAGTDIGLCAAIAPKMLAIFWFRAYSMAFDACLAQLFFIHTLQCMESGILLAM AFDRYIAICDPLRHTSILTPSILGRMIVVVVIRAVVLVGLLPILIKRLHHFWSIQIAHSY CEHMAVVKLAADDVQVNKICGLFVGFSILGFDMVFIIISYALIFQAVFRLKQKEARLKAF NTCTAHIFVFLEFYILAFFSFFSHRFGHVVPSTHILLSTIYLLLPPALNPIVYGVKNMVI RKRVAQIFFLDHAHQ >ENSMUSP00000124213.2 pep:known chromosome:GRCm38:1:34678188:34812739:1 gene:ENSMUSG00000037509.18 transcript:ENSMUST00000159747.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef4 description:Rho guanine nucleotide exchange factor (GEF) 4 [Source:MGI Symbol;Acc:MGI:2442507] MLGVVHFLRSFFKTPEPGVPPPGEEECEDNQLHPGPADSEEQETNPEDLETLSHKSESRS GSDTKTDPFESASEAESLPGDLTGGVGLSEREAGWGCPEDSTVRLPQGRHLVCDEGLHTE EEPDLPSGLKAGSQLSKLHMLTAGRRDEACPCSRVASFVQESVPASVCFSKHASSNSSPH LQQARSRGYLDAPVVCPCFRRHCELGQSWPQIHIRTAAAKIPPTGSQHNDFPLWIRTKKG PAPRSTVGTDLFWVHPFLDIACQPRLGTPYLLDTKCHQSTPENLGANAKPPQGYLCWQVK TLVRAHSIASFPLGCPKEPLKQKGTNWPYLREGTEIEPDHPILHKTQSPGPTQLSRLLPT SQSRAPPLQGECKLSDCSFKRASPDTPCVGLPLENQVEQASRSCPAPSHLTSELLKLNSQ EEVPRPAECKPGHSPESRLEEPKGVHPDDVISKQQCLLNISGEAGSQTENYPSMSALQEE RKPPDRASLFPQHCCHGEVPVCNRMLAGSWEEESDSACAPRTALKSSVIGSLEAAEEGVV LDLQRNGKALQSLLDFPTLEVSHRNPGSECAQGPGDDPEPSPSGQRQAPGAHGASRHSCK FIMIAVKQEGRQTSTRKAHCLIEPQCGHLPEKGTSATAYESSRASEMPVFGNECELLGCP GAEVDGGALQLLAQGIEHVRVPVSRTSSDDTLSSEETLEGELLGERLDLGSECVHTEQNQ ELPSSDRKRGRCGTGDAENAEICACAGEVEGPLSRVLASSTTTLEFQEPCGGFQQSVLES EQHPACLQMTPLRTDMPWSREMPGGDIPYSQALTSEDLNPVCPSPEERVPHSPCQGEPPL HHVHQSVSLETKSGVIQVGTSGDLSGEGPSPESYNIKRLKTTESKIKARLALAHKTFTNF FEAKVMEKTNTGSVKGEKEKRRRARGSWRAFLRSKDAEGSKRPALVSGVPEPGFPQLLCS SPPATRGHCEKWTIDTDSHVFGEHWTPLNSPATLSSSHLASPEHRRRSEPTIRRTAAQES STHLSSGVFPKSSWLTSATSPGAAQIDISFALPCSSACHLTYENQGMPCRPTSPKPRSPM AGAQRMDLCLRGRTSAVSMVSLRSDKDANRSSEVPERPKASKARASLLLSLQTLNQNDQK EDKSQCQCYHGLGTVPFLRDHPGNESYIAQESPPDKKRNCSHDQKTYTEPPHRPVSATEV TRTLPSMSAEQVPREVPLQFRRAPQHSHFSFDDIWLEKAQRRKLKKQMLLRRQMCAELVP EDPVQCWRKTTITSPESPHLPRRSHLLSQSAPMGLDHTGWPEPTSDTGMPDGTLDTVCAE ETGSEEDLYEELHNSGHHYSHPRGGGEQLAINELISDGSVVCAEALWDHVTMDDQELGFK AGDVIEVMDATNREWWWGRVADGEGWFPASFVRLRVNQDEPADDYEAPRAGAREADDSGP EAQSCKDQMRTNVINEILSTERDYIKHLRDICEGYVRQCRKREDMFSEEQLRTIFGNIED IYRCQKAFVKALEQKFNTERPHLSELGACFLEHQADFQIYSEYCNNHPNACVELSRLTKL SKYVYFFEACRLLQRMIDISLDGFLLTPVQKICKYPLQLAELLKYTHPQHRDFKNVEAAL HAMKNVAQLINERKRRLENIDKIAQWQSSIEDWEGEDLLVRSSELIHSGELTRVTQPQAR SQQRMFFLFDRQLIYCKKDLLRRDVLYYKGRLDMDDLEVVDVEDGKDRDLHVSVKNAFRL YCGTTGDSHLLCARKPEQKQRWLKAFAREREQVRLDQETGFSITELQRKQAMLNASKQQA TGKPKAVGRPGYLTRHKHPSLPASRPQQQVLVLAEPRRKPSNFWHSISRLAPFRK >ENSMUSP00000124906.2 pep:known chromosome:GRCm38:1:34732115:34811829:1 gene:ENSMUSG00000037509.18 transcript:ENSMUST00000162599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef4 description:Rho guanine nucleotide exchange factor (GEF) 4 [Source:MGI Symbol;Acc:MGI:2442507] IAQESPPDKKRNCSHDQKTYTEPPHRPVSATEVTRTLPSMSAEQVPREVPLQFRRAPQHS HFSFDDIWLEKAQRRKLKKQMLLRRQMCAELVPEDPVQCWRKTTITSPESPHLPRRSHLL SQSAPMGLDHTGWPEPTSDTGMPDGTLDTVCAEETGSEEDLYEELHNSGHHYSHPRGGGE QLAINELISDGSVVCAEALWDHVTMDDQELGFKAGDVIEVMDATNREWWWGRVADGEGWF PASFVRLRVNQDEPADDYEAPRAGAREADDSGPEAQSCKDQMRTNVINEILSTERDYIKH LRDICEQADFQIYSEYCNNHPNACVELSRLTKLSKYVYFFEACRLLQRMIDISLDGFLLT PVQKICKYPLQLAELLKYTHPQHRDFKNVEAALHAMKNVAQLINERKRRLENIDKIAQWQ SSIEDWEGEDLLVRSSELIHSGELTRVTQPQARSQQRMFFLFDRQLIYCKKDLLRRDVLY YKGRLDMDDLEVVDVEDGKDRDLHVSVKNAFRLYCGTTGDSHLLCARKPEQKQRWLKAFA REREQVRLDQETGFSITELQRKQAMLNASKQQATGKPKAVGRPGYLTRHKHPSLPASRPQ QQVLVLAEPRRKPSNFWHSISRLAPFRK >ENSMUSP00000124467.1 pep:known chromosome:GRCm38:1:34750881:34807144:1 gene:ENSMUSG00000037509.18 transcript:ENSMUST00000159021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef4 description:Rho guanine nucleotide exchange factor (GEF) 4 [Source:MGI Symbol;Acc:MGI:2442507] MDDQELGFKAGDVIEVMDATNREWWWGRVADGEGWFPASFVRLRVNQDEPADDYEAPRAG AREADDSGPEAQSCKDQMRTNVINEILSTERDYIKHLRDICEGYVRQCRKREDMFSEEQL RTIFGNIEDIYRCQKAFVKALEQKFNTERPHLSELGACFLEHQADFQIYSEYCNNHPNAC VELSRLTKLS >ENSMUSP00000035980.9 pep:known chromosome:GRCm38:1:34801704:34813309:1 gene:ENSMUSG00000037509.18 transcript:ENSMUST00000047664.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef4 description:Rho guanine nucleotide exchange factor (GEF) 4 [Source:MGI Symbol;Acc:MGI:2442507] MDDQELGFKAGDVIEVMDATNREWWWGRVADGEGWFPASFVRLRVNQDEPADDYEAPRAG AREADDSGPEAQSCKDQMRTNVINEILSTERDYIKHLRDICEGYVRQCRKREDMFSEEQL RTIFGNIEDIYRCQKAFVKALEQKFNTERPHLSELGACFLEHQADFQIYSEYCNNHPNAC VELSRLTKLSKYVYFFEACRLLQRMIDISLDGFLLTPVQKICKYPLQLAELLKYTHPQHR DFKNVEAALHAMKNVAQLINERKRRLENIDKIAQWQSSIEDWEGEDLLVRSSELIHSGEL TRVTQPQARSQQRMFFLFDRQLIYCKKDLLRRDVLYYKGRLDMDDLEVVDVEDGKDRDLH VSVKNAFRLYCGTTGDSHLLCARKPEQKQRWLKAFAREREQVRLDQETGFSITELQRKQA MLNASKQQATGKPKAVGRPGYLTRHKHPSLPASRPQQQVLVLAEPRRKPSNFWHSISRLA PFRK >ENSMUSP00000124207.1 pep:known chromosome:GRCm38:1:34801741:34808911:1 gene:ENSMUSG00000037509.18 transcript:ENSMUST00000160855.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgef4 description:Rho guanine nucleotide exchange factor (GEF) 4 [Source:MGI Symbol;Acc:MGI:2442507] MDDQELGFKAGDVIEVMDATNREWWWGRVADGEGWFPASFVRLRVNQDEPADDYEAPRAG AREADDSGPEAQSCKDQMRTNVINEILSTERDYIKHLRDICEGYVRQCRKREDMFSEEQL RTIFGNIEDIYRCQKAFVKALEQKFNTERPHLSELGACFLEHETAILTSSCAPSKQTSRS ILSTATTTPMPAWSSPGSRSSASTCTSSRPAGCCKG >ENSMUSP00000100474.1 pep:known chromosome:GRCm38:7:103328488:103329447:1 gene:ENSMUSG00000073951.2 transcript:ENSMUST00000098202.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr598 description:olfactory receptor 598 [Source:MGI Symbol;Acc:MGI:3030432] MLSSLKTNNVTYLNPGTVILIGIPGLEHVQFWIGFPFFTVCLVALLGNIILLIIIPAEHS LHQPMYIFLAVLAATDIGLCAAIAPKMLAIFWFRAYSMAFDACLAQLFFIHTLQGMESGI LLAMAFDRYIAICDPLRHTSILTPSILGRMIVVVVIRAVVLVGLLPILIKRLHHFRSIQI AHSYCEHMAVVKLAADDVQVNKICGLFVGFSVLGFDMVFIIISYALIFQAVFRLKQKEAR LKAFNTCTAHIFVFLEFYILAFFSFFSHRLGHVVPSTHILLSTIYLLLPPALNPIVYGVK NMVIRKRVAQIFFLDHAHQ >ENSMUSP00000027585.7 pep:known chromosome:GRCm38:1:127486546:127678096:-1 gene:ENSMUSG00000026347.13 transcript:ENSMUST00000027585.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem163 description:transmembrane protein 163 [Source:MGI Symbol;Acc:MGI:1919410] MEPALGSERRSPPGPGVPRPPPRGHAPSTAAPAPSPAPMSSSVQSDEERQPRISESGQFS DGLEDRGLLESSTRLKPHEAQNYRKKALWVSWLSIIVTLALAVAAFTVSVMRYSASAFGF AFDAILDVLSSAIVLWRYSNAAAVHSANREYIACVILGVIFLLSSICIVVKAIHDLSTRL LPEVDDFLFSVSILSGILCSVLAVLKFMLGKVLTSRALITDGFNSLVGGVMGFSILLSAE VFKHNAAVWYLDGSIGVLIGLTIFAYGVKLLIDMVPRVRQTRHYEMFE >ENSMUSP00000140828.1 pep:known chromosome:GRCm38:1:127490898:127679548:-1 gene:ENSMUSG00000026347.13 transcript:ENSMUST00000185560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem163 description:transmembrane protein 163 [Source:MGI Symbol;Acc:MGI:1919410] MEPALGSERRSPPGPGVPRPPPRGHAPSTAAPAPSPAPMSSSVQSDEERQPRISESGQFS DGLEDRGLLESSTRLKPHEAQNYRKKALWVSWLSIIVTLALAVAAFTVSVMRYSASAFGF AFDAILDVLSSAIVLWRYSNAAAVHSANREYIACVILGVIFLLSSICIVVKAIHDLSTRL LPEVDDFLFSVSILSGILCSVLAVLKFMLGKVLTSRALITDGFNSLVGGVMGFSILLSAE VFKHNAAVWYLDGSIGVLIGLTIFAYGVKLLIDMVPRVRQTRHYEMFE >ENSMUSP00000124307.1 pep:known chromosome:GRCm38:1:127486558:127678021:-1 gene:ENSMUSG00000026347.13 transcript:ENSMUST00000160616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem163 description:transmembrane protein 163 [Source:MGI Symbol;Acc:MGI:1919410] MEPALGSERRSPPGPGVPRPPPRGHAPSTAAPAPSPAPMSSSVQSDEERQPRISESGQFS DGLEDRGLLESSTRLKPHEAQNYRKKALWVSWLSIIVTLALAVAAFTVSVMRYSASAFGF AFDAILDVLSSAIVLWRYSNAAAVHSANREYIACVILGVIFLLSSICIVVKAIHDLSTRL LPEVDDFLFSVSILSGILCSVLAVLKFMLGKVLTSRALITDGFNSLVGGVMGFSILLSAE VFKHNAAVWYLDGSIGVLIGLTIFAYGVKLLIDMVPRVRQTRHYEMFE >ENSMUSP00000084427.5 pep:known chromosome:GRCm38:5:73481000:73560794:1 gene:ENSMUSG00000051674.14 transcript:ENSMUST00000087181.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d4 description:DCN1, defective in cullin neddylation 1, domain containing 4 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140972] MEFTQEQQACRPQRSEEMRHWKDFQLNSHLSTLASIHKIYHTLNKLNLTEDVGQDDHQTG SLRSCSSSDCFSKVMPPRKKRRPASGDDLSAKKSRHDSMYRKYESTRIKTEEEAFSSKRC LEWFYEYAGTEDAVGPEGMEKFCEDIGVEPENVVMLVLAWKLDAQNMGYFTLQEWLKGMT SLQCDTTEKLRTTLDYLRSLLNDTTNFKLIYRYAFDFAREKDQRSLDINTAKCMLGLLLG KIWPLFPVFHQFLEQSKYKVINKDQWCNVLEFSRTISLDLSNYDEDGAWPVLLDEFVEWY KDKQMS >ENSMUSP00000109187.1 pep:known chromosome:GRCm38:5:73491025:73560794:1 gene:ENSMUSG00000051674.14 transcript:ENSMUST00000113558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d4 description:DCN1, defective in cullin neddylation 1, domain containing 4 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140972] MHSDAAAVNFQLNSHLSTLASIHKIYHTLNKLNLTEDVGQDDHQTGSLRSCSSSDCFSKV MPPRKKRRPASGDDLSAKKSRHDSMYRKYESTRIKTEEEAFSSKRCLEWFYEYAGTEDAV GPEGMEKFCEDIGVEPENVVMLVLAWKLDAQNMGYFTLQEWLKGMTSLQCDTTEKLRTTL DYLRSLLNDTTNFKLIYRYAFDFARQSKYKVINKDQWCNVLEFSRTISLDLSNYDEDGAW PVLLDEFVEWYKDKQMS >ENSMUSP00000067616.5 pep:known chromosome:GRCm38:5:73491033:73560790:1 gene:ENSMUSG00000051674.14 transcript:ENSMUST00000063882.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d4 description:DCN1, defective in cullin neddylation 1, domain containing 4 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140972] MHSDAAAVNFQLNSHLSTLASIHKIYHTLNKLNLTEDVGQDDHQTGSLRSCSSSDCFSKV MPPRKKRRPASGDDLSAKKSRHDSMYRKYESTRIKTEEEAFSSKRCLEWFYEYAGTEDAV GPEGMEKFCEDIGVEPENVVMLVLAWKLDAQNMGYFTLQEWLKGMTSLQCDTTEKLRTTL DYLRSLLNDTTNFKLIYRYAFDFAREKDQRSLDINTAKCMLGLLLGKIWPLFPVFHQFLE QSKYKVINKDQWCNVLEFSRTISLDLSNYDEDGAWPVLLDEFVEWYKDKQMS >ENSMUSP00000118710.1 pep:known chromosome:GRCm38:5:73491067:73544048:1 gene:ENSMUSG00000051674.14 transcript:ENSMUST00000134092.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcun1d4 description:DCN1, defective in cullin neddylation 1, domain containing 4 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140972] MHSDAAAVNFQLNSHLSTLASIHKIYHTLNKLNLTEDVGQDDHQTGSLRSCSSSDCFSKV MPPRKKRRPASGDDLSAKKSRHDRN >ENSMUSP00000120055.1 pep:known chromosome:GRCm38:5:73491123:73531507:1 gene:ENSMUSG00000051674.14 transcript:ENSMUST00000133137.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcun1d4 description:DCN1, defective in cullin neddylation 1, domain containing 4 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140972] MHSDAAAVNFQLNSHLSTLASIHKIYHTLNKLNLTEDVGQDDHQTGSLRSCSSSDCFSKV MPPRKKRRPASGDDLSAKKSRHDSWRSRDGSKIEYNLSSKCYPGDESGF >ENSMUSP00000114637.2 pep:known chromosome:GRCm38:5:73491438:73522676:1 gene:ENSMUSG00000051674.14 transcript:ENSMUST00000141553.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d4 description:DCN1, defective in cullin neddylation 1, domain containing 4 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140972] MPPRKKRRPASGDDLSAKKSRHDSMYRKYEST >ENSMUSP00000122689.1 pep:known chromosome:GRCm38:5:73492430:73534675:1 gene:ENSMUSG00000051674.14 transcript:ENSMUST00000145645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d4 description:DCN1, defective in cullin neddylation 1, domain containing 4 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140972] MPPRKKRRPASGDDLSAKKSRHDSMYRKYESTRIKTEEEAFSSKRCLEWFYEYAGTEDAV GPEGMEKFCEDIGVEPENVVMLVLAWKLDAQNMGYFTLQEW >ENSMUSP00000116294.1 pep:known chromosome:GRCm38:5:73492656:73522719:1 gene:ENSMUSG00000051674.14 transcript:ENSMUST00000156806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d4 description:DCN1, defective in cullin neddylation 1, domain containing 4 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140972] MPPRKKRRPASGDDLSAKKSRHDSMYRKYESTRIKTEEEAFSSKRC >ENSMUSP00000119983.1 pep:known chromosome:GRCm38:5:73510868:73534691:1 gene:ENSMUSG00000051674.14 transcript:ENSMUST00000136268.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d4 description:DCN1, defective in cullin neddylation 1, domain containing 4 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140972] MPPRKKRRPASGDDLSAKKSRHDSMYRKYESTRIKTEEEAFSSKRCLEWFYEYAGTEDAV GPEGMEKFCEDIGVEPENVVMLVLAWKLDAQNMGYFTLQEWLKGMTS >ENSMUSP00000095803.2 pep:known chromosome:GRCm38:7:103338056:103339003:1 gene:ENSMUSG00000073950.3 transcript:ENSMUST00000098201.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr599 description:olfactory receptor 599 [Source:MGI Symbol;Acc:MGI:3030433] MGYTNLSYLNPGTVILIGIPGLEHVQFWIGFPFFVVCLVALLGNLFLLIIIPTERSLHQP MYIFLAVLAATDLGLCLAIAPKMLAIFWFGSCSMAFDACLTQLFFIHALQGMESGVLLAM AFDRYVAICDPLRHTAVLTPLFLLRVVLVVAIRATVLVGVLPILLKRLQWFHSVVIVHSY CEHMAVVKLAAEDVRINKSYGLFVAFAILGFDMIFVFISYILIFRAVFRLPQKEARSKAF NTCTAHIVVFLEFYILAFFSFFSHRFGHVSPYVHILLSTIYLLLPPALNPIVYGVKTKEI RKWVVQIFVLKSNTQ >ENSMUSP00000082941.5 pep:known chromosome:GRCm38:5:140872990:141000582:-1 gene:ENSMUSG00000036526.8 transcript:ENSMUST00000085786.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Card11 description:caspase recruitment domain family, member 11 [Source:MGI Symbol;Acc:MGI:1916978] MPGGGPAMDDYMETLKDEEEALWDNVECNRHMLSRYINPAKLTPYLRQCKVIDEQDEDEV LNAPMLPSKINRAGRLLDILHTKGQRGYVVFLESLEFYYPELYKLVTGKEPTRRFSTIVV EEGHEGLTHFLMNEVIKLQQQVKAKDLQRCELLAKSRQLEDEKKQLSLIRVELLTFQERY YKMKEERDSYNDELVKVKDDNYNLAMRYAQLSEEKNMAVMRSRDLQLEIDQLKHRLNKME EECKLERNQSLKLKNDIENRPRKEQVLELERENEMLKTKIQELQSIIQAGKRSLPDSDKA ILDILEHDRKEALEDRQELVNKIYNLQEEVRQAEELRDKYLEEKEDLELKCSTLGKDCEM YKHRMNTVMLQLEEVERERDQAFHSRDEAQTQYSQCLIEKDKYRKQIRELEEKNDEMRIE MVRREACIVNLESKLRRLSKDNGSLDQSLPRHLPATIISQNLGDTSPRTNGQEADDSSTS EESPEDSKYFLPYHPPRRRMNLKGIQLQRAKSPISMKQASEFQVKGHEEDFTDGSPSSSR SLPVTSSFSKMQPHRSRSSIMSITAEPPGNDSIVRRCKEDAPHRSTVEEDNDSCGFDALD LDDENHERYSFGPPSIHSSSSSHQSEGLDAYDLEQVNLMLRKFSLERPFRPSVTSGGHVR GTGPLVQHTTLNGDGLITQLTLLGGNARGSFIHSVKPGSLAERAGLREGHQLLLLEGCIR GERQSVPLDACTKEEARWTIQRCSGLITLHYKVNHEGYRKLLKEMEDGLITSGDSFYIRL NLNISSQLDACSMSLKCDDVVHVLDTMYQDRHEWLCARVDPFTDQDLDTGTIPSYSRAQQ LLLVKLQRLVHRGNREEADSAHHTLRSLRNTLQPEEMLSTSDPRVSPRLSRASFFFGQLL QFVSRSENKYKRMNSNERVRIISGSPLGSLSRSSLDATKLLTEKHEELDPENELSRNLTL IPYSLVRAFHCERRRPVLFTPTMLAKTLVQKLLNSGGAMEFTICKSDIVTRDEFLRKQKT ETIIYSREKNPNTFECIVPANIEAVAAKNKHCLLEAGIGCVRDLIKCKVYPIVLLIRVSE KNIKRFRKLLPRPETEEEFLRVCRLKEKELEALPCLYATVEAEMWSSVEELLRVLKDKIV EEQRKTIWVDEDQL >ENSMUSP00000042098.4 pep:known chromosome:GRCm38:11:83437677:83441233:1 gene:ENSMUSG00000035085.5 transcript:ENSMUST00000037378.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700020L24Rik description:RIKEN cDNA 1700020L24 gene [Source:MGI Symbol;Acc:MGI:1913580] MDKHGVKTPLWRKEVEDPEAREEDLEDDSSSSSSSSSVEERSDPESATETEEDSRDAEER EARSVSYSPLRQESSSQQVALLRRSDSSFWGWLSPFALLGGLAAPADRKRGAPEEPCVLE TRRRPPRRGGCARCEILFCKKCKTLHSHPAYVEHCILEHPDLGKAEATGNSELIDSQPPS PQCSKLFYL >ENSMUSP00000140664.1 pep:known chromosome:GRCm38:11:83440131:83440828:1 gene:ENSMUSG00000035085.5 transcript:ENSMUST00000188702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700020L24Rik description:RIKEN cDNA 1700020L24 gene [Source:MGI Symbol;Acc:MGI:1913580] GLRSPFASVKTPLWRKEVEDPEAREEDLEDDSSSSSSSSSVEERSDPESATETEEDSRDA EEREARSVSYSPLRQESSSQQVALLRRSDSSFWGWLSPFALLGGLAAPADR >ENSMUSP00000115078.1 pep:known chromosome:GRCm38:18:4920540:5119291:1 gene:ENSMUSG00000024236.17 transcript:ENSMUST00000126977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svil description:supervillin [Source:MGI Symbol;Acc:MGI:2147319] MKRKERIARRLEGIENDSQPILLQSCTGLVTHRLLEEDTPRYMRATDPASPHIGRSKEEE DTPGSSLEKQTPSKYCIETSGIHSSGSMDTHSLESKAERIARYKAERRRQLAEKYGLTLD PEADSEYLSRYAKSRKDPDVTERRGKSDKQEEQSKDANSRHSRTESGPRTSLVASQDCTP LGSNMSDQEQLLNVENQRRVQDPPLGEDGSSAFFSERSISFPEVPRSPKQIPSSPLQQPA SPNHPGDSPLPTEARASTGKPTHEWFLQRDSEGDTPSLINWPSRVKVREKLVKEESARSS PELTSESLTQRRQQPAPAHFLPIQSESSTFDRVTSKAVSSLQPSQSGVLPTDPVHAIKLV TMDTPESTSEFSWVGSATPKVIKSTTLKILEGGSRDAPVLHICESKAEDWLSPEPLERSP KSLLTSEDDRLVRGHKDPSGNKDLDKAIICSIDVESERERQVQHLPTQRTGRSEMLLYVQ SGPVSQDATLTSHTKEASPKKRKVLARSLSDYTGPPQLQVPRHKDEAPSQELELQSSRAE GPGAEASVLDTRVSVAQLRNIFMESTRASKKPELQSRVERSAEGIGLPMERERGSRKPRR YLSPGESRKTSERFRTQPITSAERKESDRYPSGSEIPVVEDEEKVDERAKLSVAAKRLLF REMEKSFDEHTVPKRHSRNAAVEQRLRRLQDRSHTQPITTEEVVIAATEPIPASCSGVTH PVTARLPSPTVARSSVQPARLQASAHQKALARDQANEGRESAEPGEPDSSTLSLAEKLAL FNKLSQPVSKAISTRNRIDVRQRRMNARYQTQPVTLGEVEQVQSGKLISFSPTVNTSVSI MASAVAPTYAGDLRKLSVDNNTSATDYKSPPAENSDSPVRSILKPQAWRPLVEHSGSKGM PGESGKTESKNALTVAAEDSGVQTRGAFEEEEEPSYPILGRVREGDGQKEPKHVVLRRGS LELGNPSAAHLGDELKEVSTAKSSLQENLDLKDKQASEENTDVETVMRKFSLKEFGETTS EQTEVAARKASVQMATPGAWKQQESSEQLAEKLFKNPCAMFASGEVKVPVGDSFLDSPSK TMSIKERLALLKKSGEEDWKNRLIRKQEYGKATGGLHTQEVEQSLKKKRVTESRESQMTI EERKHLITVREEAWKTKGRGAANDSTQFTVAGRMVKKGLASPTSITPISSPLCSKSRGTT PVSKPLEDIEARPDMQLESDLKLDRLETFLRRLNNKVAGIQETVLTVTGKSVKEVMKLDD DETFAKFYRSVDHSIPRSPVELEEDFDVIFDPYAPKLTSSVAEHKRQVRPKRRVQASKNP LKLLAARDDLLQEYTEQRLNVAFMESKRMKVEKMSSNSNFSEVTLAGLASRENFSNINLR SVNLMEQNSNNSAMPYKKLMLLQIKGRRHVQTRLVEPRASSLNSGDCFLLLSPQYCFLWV GEFSNVIEKAKASELATLIQTKRELGCRATYIQTIEEGINTHTHAAKDFWKLLGGQTSYQ SAGDPKEDELYETAIIETNCVYRLTDDKLVPDDDYWGKIPKCSLLQSKEVLVFDFGSEVY VWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINPLDPGECNPLIPRKGQGRPDWAIFG RVTEHNETILFKEKFLDWTELKRPTEKNSGEVVQQKDDPRADVKPYDVTRMVATPQITAG TILDGVNVGRGYGLVEGDDRRQFEIATVSVDVWHILEFDYSRLPRQSIGQFHEGDAYVVK WKYMASTAVGSRQKGEHLVRVAGKEKCVYFFWQGRHSTVSEKGTSALMTVELDEERGAQV QVLQGKEPPCFLQCFQGGMVVHSGRREEEEENVQSEWRLYCVRGEVPMEGNLLEVACHCS SLRSRTSMVVLNINKALIYLWHGCKAQGHTKEVGRTAANKIKEECPLEAGLHSSSNVTIH ECDEGSEPLGFWDALGRRDRKAYDCMLQDPGSFNFAPRLFILSSSSGDFSATEFVYPAQA PSAVSSMPFLQEDLYSAPQPALFLVDNHHEVYLWQGWWPTENKITGSARIRWASDRKSAM ETVLQYCRGKNLKRPPPKSYLIHAGLEPLTFTNMFPSWEHREDIAEITEMDTEVSNQITL VEDVLAKLCKTIYPLADLLARPLPEGVDPLKLEIYLTDEDFEFALDMSRDEFNALPTWKQ VNLKKSKGLF >ENSMUSP00000122242.1 pep:known chromosome:GRCm38:18:5040228:5118164:1 gene:ENSMUSG00000024236.17 transcript:ENSMUST00000131609.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Svil description:supervillin [Source:MGI Symbol;Acc:MGI:2147319] MKRKERIARRLEGIENDSQPILLQSCTGLVTHRLLEEDTPRYMRATDPASPHIGRSKEEE DTPGSSLEKQTPSKYCIETSGIHSSGSMDTHSLESKAERIARYKAERRRQLAEKYGLTLD PEADSEYLSRYAKSRKDPDVTERRGKSDKQEEQSKDANSRHSRTESGPRTSLVASQDCTP LGSNMSDQEQLLNVENQRRVQDPPLGEDGSSAFFSERSISFPEVPRSPKQIPSSPLQQPA SPNHPGDSPLPTEARASTGKPTHEWFLQRDSEGDTPSLINWPSRVKVREKLVKEESARSS PELTSESLTQRRQQPAPAHFLPIQSESSTFDRVTSKAVSSLQPSQSGVLPTDPVHAIKLV TMDTPESTSEFSWVGSATPKVIKSTTLKILEGGSRDAPVLHICESKAEDWLSPEPLERSP KSLLTSEDDRLVRGHKDPSGNKDLDKAIICSIDVESERERQVQHLPTQRTGRSEMLLYVQ SGPVSQDATLTSHTKEASPKKRKVLARSLSDYTGPPQLQVPRHKDEAPSQELELQSSRAE GPGAEASVLDTRVSVAQLRNIFMESTRASKKPELQSRVERSAEGIGLPMERERGSRKPRR YLSPGESRKTSERFRTQPITSAERKESDRYPSGSEIPVVEDEEKVDERAKLSVAAKRLLF REMEKSFDEHTVPKRHSRNAAVEQRLRRLQDRSHTQPITTEEVVIAATEPIPASCSGVTH PVTARLPSPTVARSSVQPARLQASAHQKALARDQANEGRESAEPGEPDSSTLSLAEKLAL FNKLSQPVSKAISTRNRIDVRQRRMNARYQTQPVTLGEVEQVQSGKLISFSPTVNTSVSI MASAVAPTYAGDLRKLSVDNNTSATDYKSPPAENSDSPVRSILKPQAWRPLVEHSGSKGM PGESGKTESKNALTVAAEDSGVQTRGAFEEEEEPSYPILGRVREGDGQKEPKHVVLRRGS LELGNPSAAHLGDELKEVSTAKSSLQENLDLKDKQASEENTDVETVMRKFSLKEFGETTS EQTEVAARKASVQMATPGAWKQQESSEQLAEKLFKNPCAMFASGEVKVPVGDSFLDSPSK TMSIKERLALLKKSGEEDWKNRLIRKQEYGKATGGLHTQEVEQSLKKKRVTESRESQMTI EERKHLITVREEAWKTKGRGAANDSTQFTVAGRMVKKGLASPTSITPISSPLCSKSRGTT PVSKPLEDIEARPDMQLESDLKLDRLETFLRRLNNKVAGIQETVLTVTGKSVKEVMKLDD DETFAKFYRSVDHSIPRSPVELEEDFDVIFDPYAPKLTSSVAEHKRQVRPKRRVQASKNP LKLLAARDDLLQEYTEQRLNVAFMESKRMKVEKMSSNSNFSEVTLAGLASRENFSNINLR SVNLMEQNSNNSAMPYKKLMLLQIKGRRHVQTRLVEPRASSLNSGDCFLLLSPQYCFLWV GEFSNVIEKAKASELATLIQTKRELGCRATYIQTIEEGINTHTHAAKDFWKLLGGQTSYQ SAGDPKEDELYETAIIETNCVYRLTDDKLVPDDDYWGKIPKCSLLQSKEVLVFDFGSEVY VWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINPLDPGECNPLIPRKGQGRPDWAIFG RVTEHNETILFKEKFLDWTELKRPTEKNSGEVVQQKDDPRADVKPYDVTRMVATPQITAG TILDGVNVGRGYGLVEGDDRRQFEIATVSVDVWHILEFDYSRLPRQSIGQFHEGDAYVVK WKYMASTAVGSRQKGEHLVRVAGKEKCVYFFWQGRHSTVSEKGTSALMTVELDEERGAQV QVLQGKEPPCFLQCFQGGMVVHSGRREEEEENVQSEWRLYCVRGEVPMEGNLLEVACHCS SLRSRTSMVVLNINKALIYLWHGCKAQGHTKEVGRTAANKIKEECPLEAGLHSSSNVTIH ECDEGSEPLGFWDALGRRDRKAYDCMLQALFSFLWKILEVLTSRPACSSSAAPPETSLRQ SLCTPHKRPLPSAPCLSCRRTCTARRSQLSSLLTTITRCTSGKAGGPLKTR >ENSMUSP00000121497.1 pep:known chromosome:GRCm38:18:4993813:5046877:1 gene:ENSMUSG00000024236.17 transcript:ENSMUST00000153016.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svil description:supervillin [Source:MGI Symbol;Acc:MGI:2147319] MKRKERIARRLEGIENDSQPILLQSCTGLVTHRLLEEDTP >ENSMUSP00000119803.1 pep:known chromosome:GRCm38:18:4994618:5118610:1 gene:ENSMUSG00000024236.17 transcript:ENSMUST00000140448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svil description:supervillin [Source:MGI Symbol;Acc:MGI:2147319] MKRKERIARRLEGIENDSQPILLQSCTGLVTHRLLEEDTPRYMRATDPASPHIGRSKEEE DTPGSSLEKQTPSKYCIETSGIHSSGSMDTHSLESKAERIARYKAERRRQLAEKYGLTLD PEADSEYLSRYAKSRKDPDVTERRGKSDKQEEQSKDANSRHSRTESGPRTSLVASQDCTP LGSNMSDQEQLLNVENQRRVQDPPLGEDGSSAFFSERSISFPEVPRSPKQIPSSPLQQPA SPNHPGDSPLPTEARASTGKPTHEWFLQRDSEGDTPSLINWPSRVKVREKLVKEESARSS PELTSESLTQRRQQPAPAHFLPIQSESSTFDRVTSKAVSSLQPSQSGVLPTDPVHAIKLV TMDTPESTSEFSWVGSATPKVIKSTTLKILEGGSRDAPVLHICESKAEDWLSPEPLERSP KSLLTSEDDRLVRGHKDPSGNKDLDKAIICSIDVESERERQVQHLPTQRTGRSEMLLYVQ SGPVSQDATLTSHTKEASPKKRKVLARSLSDYTGPPQLQVPRHKDEAPSQELELQSSRAE GPGAEASVLDTRVSVAQLRNIFMESTRASKKPELQSRVERSAEGIGLPMERERGSRKPRR YLSPGESRKTSERFRTQPITSAERKESDRYPSGSEIPVVEDEEKVDERAKLSVAAKRLLF REMEKSFDEHTVPKRHSRNAAVEQRLRRLQDRSHTQPITTEEVVIAATEPIPASCSGVTH PVTARLPSPTVARSSVQPARLQASAHQKALARDQANEGRESAEPGEPDSSTLSLAEKLAL FNKLSQPVSKAISTRNRIDVRQRRMNARYQTQPVTLGEVEQVQSGKLISFSPTVNTSVSI MASAVAPTYAGDLRKLSVDNNTSATDYKSPPAENSDSPVRSILKPQAWRPLVEHSGSKGM PGESGKTESKNALTVAAEDSGVQTRGAFEEEEEPSYPILGRVREGDGQKEPKHVVLRRGS LELGNPSAAHLGDELKEVSTAKSSLQENLDLKDKQASEENTDVETVMRKFSLKEFGETTS EQTEVAARKASVQMATPGAWKQQESSEQLAEKLFKNPCAMFASGEVKVPVGDSFLDSPSK TMSIKERLALLKKSGEEDWKNRLIRKQEYGKATGGLHTQEVEQSLKKKRVTESRESQMTI EERKHLITVREEAWKTKGRGAANDSTQFTVAGRMVKKGLASPTSITPISSPLCSKSRGTT PVSKPLEDIEARPDMQLESDLKLDRLETFLRRLNNKVAGIQETVLTVTGKSVKEVMKLDD DETFAKFYRSVDHSIPRSPVELEEDFDVIFDPYAPKLTSSVAEHKRQVRPKRRVQASKNP LKLLAARDDLLQEYTEQRLNVAFMESKRMKVEKMSSNSNFSEVTLAGLASRENFSNINLR SVNLMEQNSNNSAMPYKKLMLLQIKGRRHVQTRLVEPRASSLNSGDCFLLLSPQYCFLWV GEFSNVIEKAKASELATLIQTKRELGCRATYIQTIEEGINTHTHAAKDFWKLLGGQTSYQ SAGDPKEDELYETAIIETNCVYRLTDDKLVPDDDYWGKIPKCSLLQSKEVLVFDFGSEVY VWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINPLDPGECNPLIPRKGQGRPDWAIFG RVTEHNETILFKEKFLDWTELKRPTEKNSGEVVQQKDDPRADVKPYDVTRMVATPQITAG TILDGVNVGRGYGLVEGDDRRQFEIATVSVDVWHILEFDYSRLPRQSIGQFHEGDAYVVK WKYMASTAVGSRQKGEHLVRVAGKEKCVYFFWQGRHSTVSEKGTSALMTVELDEERGAQV QVLQGKEPPCFLQCFQGGMVVHSGRREEEEENVQSEWRLYCVRGEVPMEGNLLEVACHCS SLRSRTSMVVLNINKALIYLWHGCKAQGHTKEVGRTAANKIKEECPLEAGLHSSSNVTIH ECDEGSEPLGFWDALGRRDRKAYDCMLQDPGSFNFAPRLFILSSSSGDFSATEFVYPAQA PSAVSSMPFLQEDLYSAPQPALFLVDNHHEVYLWQGWWPTENKITGSARIRWASDRKSAM ETVLQYCRGKNLKRPPPKSYLIHAGLEPLTFTNMFPSWEHREDIAEITEMDTEVSNQITL VEDVLAKLCKTIYPLADLLARPLPEGVDPLKLEIYLTDEDFEFALDMSRDEFNALPTWKQ VNLKKSKGLF >ENSMUSP00000119287.1 pep:known chromosome:GRCm38:18:4921726:5119299:1 gene:ENSMUSG00000024236.17 transcript:ENSMUST00000143254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svil description:supervillin [Source:MGI Symbol;Acc:MGI:2147319] MKRKERIARRLEGIENDSQPILLQSCTGLVTHRLLEEDTPRYMRATDPASPHIGRSKEEE DTPGSSLEKQTPSKYCIETSGIHSSGSMDTHSLESKAERIARYKAERRRQLAEKYGLTLD PEADSEYLSRYAKSRKDPDVTERRGKSDKQEEQSKDANSRHSRTESGPRTSLVASQDCTP LGSNMSDQEQLLNVENQRRVQDPPLGEDGSSAFFSERSISFPEVPRSPKQIPSSPLQQPA SPNHPGDSPLPTEARARYPSGSEIPVVEDEEKVDERAKLSVAAKRLLFREMEKSFDEHTV PKRHSRNAAVEQRLRRLQDRSHTQPITTEEVVIAATLQASAHQKALARDQANEGRESAEP GEPDSSTLSLAEKLALFNKLSQPVSKAISTRNRIDVRQRRMNARYQTQPVTLGEVEQVQS GKLISFSPTVNTSVSIMASAVAPTYAGDLRKLSVDNNTSATDYKSPPAENSDSPVRSILK PQAWRPLVEHSGSKGMPGESGKTESKNALTVAAEDSGVQTRGAFEEEEEPSYPILGRVRE GDGQKEPKHVVLRRGSLELGNPSAAHLGDELKEVSTAKSSLQENLDLKDKQASEENTDVE TVMRKFSLKEFGETTSEQTEVAARKASVQMATPGAWKQQESSEQLAEKLFKNPCAMFASG EVKVPVGDSFLDSPSKTMSIKERLALLKKSGEEDWKNRLIRKQEYGKATGGLHTQEVEQS LKKKRVTESRESQMTIEERKHLITVREEAWKTKGRGAANDSTQFTVAGRMVKKGLASPTS ITPISSPLCSKSRGTTPVSKPLEDIEARPDMQLESDLKLDRLETFLRRLNNKVAGIQETV LTVTGKSVKEVMKLDDDETFAKFYRSVDHSIPRSPVELEEDFDVIFDPYAPKLTSSVAEH KRQVRPKRRVQASKNPLKLLAARDDLLQEYTEQRLNVAFMESKRMKVEKMSSNSNFSEVT LAGLASRENFSNINLRSVNLMEQNSNNSAMPYKKLMLLQIKGRRHVQTRLVEPRASSLNS GDCFLLLSPQYCFLWVGEFSNVIEKAKASELATLIQTKRELGCRATYIQTIEEGINTHTH AAKDFWKLLGGQTSYQSAGDPKEDELYETAIIETNCVYRLTDDKLVPDDDYWGKIPKCSL LQSKEVLVFDFGSEVYVWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINPLDPGECNP LIPRKGQGRPDWAIFGRVTEHNETILFKEKFLDWTELKRPTEKNSGEVVQQKDDPRADVK PYDVTRMVATPQITAGTILDGVNVGRGYGLVEGDDRRQFEIATVSVDVWHILEFDYSRLP RQSIGQFHEGDAYVVKWKYMASTAVGSRQKGEHLVRVAGKEKCVYFFWQGRHSTVSEKGT SALMTVELDEERGAQVQVLQGKEPPCFLQCFQGGMVVHSGRREEEEENVQSEWRLYCVRG EVPMEGNLLEVACHCSSLRSRTSMVVLNINKALIYLWHGCKAQGHTKEVGRTAANKIKEE CPLEAGLHSSSNVTIHECDEGSEPLGFWDALGRRDRKAYDCMLQDPGSFNFAPRLFILSS SSGDFSATEFVYPAQAPSAVSSMPFLQEDLYSAPQPALFLVDNHHEVYLWQGWWPTENKI TGSARIRWASDRKSAMETVLQYCRGKNLKRPPPKSYLIHAGLEPLTFTNMFPSWEHREDI AEITEMDTEVSNQITLVEDVLAKLCKTIYPLADLLARPLPEGVDPLKLEIYLTDEDFEFA LDMSRDEFNALPTWKQVNLKKSKGLF >ENSMUSP00000115591.1 pep:known chromosome:GRCm38:18:5103675:5117021:1 gene:ENSMUSG00000024236.17 transcript:ENSMUST00000146723.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svil description:supervillin [Source:MGI Symbol;Acc:MGI:2147319] XGTSALMTVELDEERGAQVQVLQGKEPPCFLQCFQGGMVVHSGRREEEEENVQNPGSFNF APRLFILSSSSGDFSATEFVYPAQAPSAVSSMPFLQEDLYSAPQPALFLVDNHHEVYLWQ GWWPTENKITGSARIRWASDRKSAMETVLQYCRGKNLKRPPPKSYLIHAG >ENSMUSP00000121972.1 pep:known chromosome:GRCm38:18:5064472:5119291:1 gene:ENSMUSG00000024236.17 transcript:ENSMUST00000125512.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Svil description:supervillin [Source:MGI Symbol;Acc:MGI:2147319] XFGETTSEQTEVAARKASVQMATPGAWKQQESSEQLAEKLFKNPCAMFASGEVKVPVGDS FLDSPSKTMSIKERLALLKKSGEEDWKNRLIRKQEYGKATGGLHTQEVEQSLKKKRVTES RESQMTIEERKHLITVREEAWKTKGRGAANDSTQFTVAGRMVKKGLASPTSITPISSPLC SKSRGTTPVSKPLEDIEARPDMQLESDLKLDRLETFLRRLNNKVAGIQETVLTVTGKSVK EVMKLDDDETFAKFYRSVDHSIPRSPVELEEDFDVIFDPYAPKLTSSVAEHKRQVRPKRR VQASKNPLKLLAARDDLLQEYTEQRLNVAFMESKRMKVEKMSSNSNFSEVTLAGLASREN FSNINLRSVNLMEQNSNNSAMPYKKLMLLQIKGRRHVQTRLVEPRASSLNSGDCFLLLSP QYCFLWVGEFSNVIEKAKASELATLIQTKRELGCRATYIQTIEEGINTHTHAAKDFWKLL GGQTSYQSAGDPKEDELYETAIIETNCVYRLTDDKLVPDDDYWGKIPKCSLLQSKEVLVF DFGSEVYVWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINPLDPGECNPLIPRKGQGR PDWAIFGRVTEHNETILFKEKFLDWTELKRPTEKNSGEVVQQKDDPRADVKPYDVTRMVA TPQITAGTILDGVNVGRGYGLVEGDDRRQFEIATVSVDVWHILEFDYSRLPRQSIGQFHE GDAYVVKWKYMVNGDCIVCEERCPWKGTC >ENSMUSP00000115223.1 pep:known chromosome:GRCm38:18:5040226:5118440:1 gene:ENSMUSG00000024236.17 transcript:ENSMUST00000127297.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svil description:supervillin [Source:MGI Symbol;Acc:MGI:2147319] MKRKERIARRLEGIENDSQPILLQSCTGLVTHRLLEEDTPRYMRATDPASPHIGRSKEEE DTPGSSLEKQTPSKYCIETSGIHSSGSMDTHSLESKAERIARYKAERRRQLAEKYGLTLD PEADSEYLSRYAKSRKDPDVTERRGKSDKQEEQSKDANSRHSRTESGPRTSLVASQDCTP LGSNMSDQEQLLNVENQRRVQDPPLGEDGSSAFFSERSISFPEVPRSPKQIPSSPLQQPA SPNHPGDSPLPTEARARVKVREKLVKEESARSSPELTSESLTQRRQQPAPAHFLPIQSES STFDRVTSKAVSSLQPSQSGVLPTDPVHAIKLVTMDTPESTSEFSWVGSATPKVIKSTTL KILEGGSRDAPVLHICESKAEDWLSPEPLERSPKSLLTSEDDRLVRGHKDPSGNKDLDKA IICSIDVESERERQVQHLPTQRTGRSEMLLYVQSGPVSQDATLTSHTKEASPKKRKVLAR SLSDYTGPPQLQVPRHKDEAPSQELELQSSRAEGPGAEASVLDTRVSVAQLRNIFMESTR ASKKPELYPSGSEIPVVEDEEKVDERAKLSVAAKRLLFREMEKSFDEHTVPKRHSRNAAV EQRLRRLQDRSHTQPITTEEVVIAATLQASAHQKALARDQANEGRESAEPGEPDSSTLSL AEKLALFNKLSQPVSKAISTRNRIDVRQRRMNARYQTQPVTLGEVEQVQSGKLISFSPTV NTSVSIMASAVAPTYAGDLRKLSVDNNTSATDYKSPPAENSDSPVRSILKPQAWRPLVEH SGSKGMPGESGKTESKNALTVAAEDSGVQTRGAFEEEEEPSYPILGRVREGDGQKEPKHV VLRRGSLELGNPSAAHLGDELKEVSTAKSSLQENLDLKDKQASEENTDVETVMRKFSLKE FGETTSEQTEVAARKASVQMATPGAWKQQESSEQLAEKLFKNPCAMFASGEVKVPVGDSF LDSPSKTMSIKERLALLKKSGEEDWKNRLIRKQEYGKATGGLHTQEVEQSLKKKRVTESR ESQMTIEERKHLITVREEAWKTKGRGAANDSTQFTVAGRMVKKGLASPTSITPISSPLCS KSRGTTPVSKPLEDIEARPDMQLESDLKLDRLETFLRRLNNKVAGIQETVLTVTGKSVKE VMKLDDDETFAKFYRSVDHSIPRSPVELEEDFDVIFDPYAPKLTSSVAEHKRQVRPKRRV QASKNPLKLLAARDDLLQEYTEQRLNVAFMESKRMKVEKMSSNSNFSEVTLAGLASRENF SNINLRSVNLMEQNSNNSAMPYKKLMLLQIKGRRHVQTRLVEPRASSLNSGDCFLLLSPQ YCFLWVGEFSNVIEKAKASELATLIQTKRELGCRATYIQTIEEGINTHTHAAKDFWKLLG GQTSYQSAGDPKEDELYETAIIETNCVYRLTDDKLVPDDDYWGKIPKCSLLQSKEVLVFD FGSEVYVWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINPLDPGECNPLIPRKGQGRP DWAIFGRVTEHNETILFKEKFLDWTELKRPTEKNSGEVVQQKDDPRADVKPYDVTRMVAT PQITAGTILDGVNVGRGYGLVEGDDRRQFEIATVSVDVWHILEFDYSRLPRQSIGQFHEG DAYVVKWKYMASTAVGSRQKGEHLVRVAGKEKCVYFFWQGRHSTVSEKGTSALMTVELDE ERGAQVQVLQGKEPPCFLQCFQGGMVVHSGRREEEEENVQSEWRLYCVRGEVPMEGNLLE VACHCSSLRSRTSMVVLNINKALIYLWHGCKAQGHTKEVGRTAANKIKEECPLEAGLHSS SNVTIHECDEGSEPLGFWDALGRRDRKAYDCMLQDPGSFNFAPRLFILSSSSGDFSATEF VYPAQAPSAVSSMPFLQEDLYSAPQPALFLVDNHHEVYLWQGWWPTENKITGSARIRWAS DRKSAMETVLQYCRGKNLKRPPPKSYLIHAGLEPLTFTNMFPSWEHREDIAEITEMDTEV SNQITLVEDVLAKLCKTIYPLADLLARPLPEGVDPLKLEIYLTDEDFEFALDMSRDEFNA LPTWKQVNLKKSKGLF >ENSMUSP00000025079.9 pep:known chromosome:GRCm38:18:5046575:5119288:1 gene:ENSMUSG00000024236.17 transcript:ENSMUST00000025079.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svil description:supervillin [Source:MGI Symbol;Acc:MGI:2147319] MKRKERIARRLEGIENDSQPILLQSCTGLVTHRLLEEDTPRYMRATDPASPHIGRSKEEE DTPGSSLEKQTPSKYCIETSGIHSSGSMDTHSLESKAERIARYKAERRRQLAEKYGLTLD PEADSEYLSRYAKSRKDPDVTERRGKSDKQEEQSKDANSRHSRTESGPRTSLVASQDCTP LGSNMSDQEQLLNVENQRRVQDPPLGEDGSSAFFSERSISFPEVPRSPKQIPSSPLQQPA SPNHPGDSPLPTEARASTGKPTHEWFLQRDSEGDTPSLINWPSRVKVREKLVKEESARSS PELTSESLTQRRQQPAPAHFLPIQSESSTFDRVTSKAVSSLQPSQSGVLPTDPVHAIKLV TMDTPESTSEFSWVGSATPKVIKSTTLKILEGGSRDAPVLHICESKAEDWLSPEPLERSP KSLLTSEDDRLVRGHKDPSGNKDLDKAIICSIDVESERERQVQHLPTQRTGRSEMLLYVQ SGPVSQDATLTSHTKEASPKKRKVLARSLSDYTGPPQLQVPRHKDEAPSQELELQSSRAE GPGAEASVLDTRVSVAQLRNIFMESTRASKKPELQSRVERSAEGIGLPMERERGSRKPRR YLSPGESRKTSERFRTQPITSAERKESDRYPSGSEIPVVEDEEKVDERAKLSVAAKRLLF REMEKSFDEHTVPKRHSRNAAVEQRLRRLQDRSHTQPITTEEVVIAATEPIPASCSGVTH PVTARLPSPTVARSSVQPARLQASAHQKALARDQANEGRESAEPGEPDSSTLSLAEKLAL FNKLSQPVSKAISTRNRIDVRQRRMNARYQTQPVTLGEVEQVQSGKLISFSPTVNTSVSI MASAVAPTYAGDLRKLSVDNNTSATDYKSPPAENSDSPVRSILKPQAWRPLVEHSGSKGM PGESGKTESKNALTVAAEDSGVQTRGAFEEEEEPSYPILGRVREGDGQKEPKHVVLRRGS LELGNPSAAHLGDELKEVSTAKSSLQENLDLKDKQASEENTDVETVMRKFSLKEFGETTS EQTEVAARKASVQMATPGAWKQQESSEQLAEKLFKNPCAMFASGEVKVPVGDSFLDSPSK TMSIKERLALLKKSGEEDWKNRLIRKQEYGKATGGLHTQEVEQSLKKKRVTESRESQMTI EERKHLITVREEAWKTKGRGAANDSTQFTVAGRMVKKGLASPTSITPISSPLCSKSRGTT PVSKPLEDIEARPDMQLESDLKLDRLETFLRRLNNKVAGIQETVLTVTGKSVKEVMKLDD DETFAKFYRSVDHSIPRSPVELEEDFDVIFDPYAPKLTSSVAEHKRQVRPKRRVQASKNP LKLLAARDDLLQEYTEQRLNVAFMESKRMKVEKMSSNSNFSEVTLAGLASRENFSNINLR SVNLMEQNSNNSAMPYKKLMLLQIKGRRHVQTRLVEPRASSLNSGDCFLLLSPQYCFLWV GEFSNVIEKAKASELATLIQTKRELGCRATYIQTIEEGINTHTHAAKDFWKLLGGQTSYQ SAGDPKEDELYETAIIETNCVYRLTDDKLVPDDDYWGKIPKCSLLQSKEVLVFDFGSEVY VWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINPLDPGECNPLIPRKGQGRPDWAIFG RVTEHNETILFKEKFLDWTELKRPTEKNSGEVVQQKDDPRADVKPYDVTRMVATPQITAG TILDGVNVGRGYGLVEGDDRRQFEIATVSVDVWHILEFDYSRLPRQSIGQFHEGDAYVVK WKYMASTAVGSRQKGEHLVRVAGKEKCVYFFWQGRHSTVSEKGTSALMTVELDEERGAQV QVLQGKEPPCFLQCFQGGMVVHSGRREEEEENVQSEWRLYCVRGEVPMEGNLLEVACHCS SLRSRTSMVVLNINKALIYLWHGCKAQGHTKEVGRTAANKIKEECPLEAGLHSSSNVTIH ECDEGSEPLGFWDALGRRDRKAYDCMLQDPGSFNFAPRLFILSSSSGDFSATEFVYPAQA PSAVSSMPFLQEDLYSAPQPALFLVDNHHEVYLWQGWWPTENKITGSARIRWASDRKSAM ETVLQYCRGKNLKRPPPKSYLIHAGLEPLTFTNMFPSWEHREDIAEITEMDTEVSNQITL VEDVLAKLCKTIYPLADLLARPLPEGVDPLKLEIYLTDEDFEFALDMSRDEFNALPTWKQ VNLKKSKGLF >ENSMUSP00000053887.2 pep:known chromosome:GRCm38:7:103345982:103346926:-1 gene:ENSMUSG00000045540.3 transcript:ENSMUST00000056222.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr600 description:olfactory receptor 600 [Source:MGI Symbol;Acc:MGI:3030434] MPSCNNSIPQPLIFILAGIPDLESSHGWFSISFFLIFVVTIIGNVTILHIIWIEKTLHEP MFLLLATLSVVDLCLVTVTVPRMLGIFWLNAKEISLEACLTQMFFIPSFYVMESGILLAM AFDRFAAIWYPLRYTTILDSNMLVKMALAILARAVAVVTPAPILTKRLERFQTQVISYSY CAYMAVVMIACGDISNHIVYGLMVIVASVGIDLLLVILSYTLILRAVFHIPSWQARSKAL STCGSHLCVIGLFYSPVVFSVLSQILGYHMAPYLQIIIDNLYFLVPPMVNPLIYAVRTKQ IRERVLRILNCERK >ENSMUSP00000141564.1 pep:known chromosome:GRCm38:1:166098148:166127922:-1 gene:ENSMUSG00000026564.9 transcript:ENSMUST00000192369.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp27 description:dual specificity phosphatase 27 (putative) [Source:MGI Symbol;Acc:MGI:2685055] MATGGDAEEEQVVPNEEDEADVRAVQARYLRSPSPSQYSVVSEAETESIFMEPIHLSSAV AAKQIINEELKPRGLRTDTECPGMLESAEQLLVEDLYNRVREKMDDRSLFNTPCVLDLQR ALTQDRQEAPRNEVDEVWPNVFIAEKSVAVNKGRLKRLGITHILNAAHGTGVYTGSEFYT GLEIQYLGVEVDDFPEVDISQHFRKAAEFLDEALLTYRGKVLVSSEMGISRSAVLVVAYL MIFHSMAILEALMTVRRKRAIYPNDGFLKQLRELNEKLMEEREEEDGEEESEEDAGSMLG ARVNSLMVEEEDDATSHLSGSSLGKASQVSKPVTLIDDEEEEKKLYEEWRKGQGFPKGEA AQGRKGRSCSMSSAQDGDDCEDEDVERIIQEWQSRNERYQAKGREQWNREEEEEEENSYS SRRRRHTLSESSASESVSSHDIRILKQQLERSTQSRRGRYRSDSESSESTWDMWNERLVE IEKEAARKYRSKSKREELDGDCSEAGGRVREDDEESVLSEASSFYNFCSRNKDKLTPLER WKIKRIQFGFHKKDSEAGDGGSEHGTEEAAAGEKNLSDVNLTAYQAWKLKHQKKVGSENK EEVVEMSKGEDTVLAKKRQRRLELLERSRQTLEESQSMGSWEADSSTASRSIPLSAFSSA APSVSADGDTASVLSTQSHRSHASNMPATPLPNLPVGPGDTISIASIQNWIANVVNETLA QKQNEMLLLSRPPSVASMKAAPAACGLGGDDQLSVLSTSLSGCLPPPSQGRPSSDVQSVL SSTSSLTSRAEGSGNKVRGTSKPIYSLFADNVDLKELGRKEKEMQMELQEKMSEYKMEKL ASDNKRSSLFKKKKAKDDEDMSVGDRDEDTDSAIGSFRYSSRSNSQKPETDASSSLAISD HYRNGRSMGNEMDSNINTWLSGLRMEEKSPPQSDWSGSSRGRYTRSSLLRETESKSCSYK FSKSRSQEQDTSFHEANGDTVRNTSRFSSSTTKEAREMHKFSRSTFSETSSSREESPEPY FFRRTPEPSDGEESPEPRRPNWTRPRDWEDVEESSKSDFAEFGAKRKFTQSFMRSEEEGE KERTENREEGRFASGRQSQYRRSTNQQEEEEMDDEAIIAAWRKRQEETRTKLQRRRED >ENSMUSP00000083155.2 pep:known chromosome:GRCm38:1:166098153:166127896:-1 gene:ENSMUSG00000026564.9 transcript:ENSMUST00000085992.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp27 description:dual specificity phosphatase 27 (putative) [Source:MGI Symbol;Acc:MGI:2685055] MATGGDAEEEQVVPNEEDEADVRAVQARYLRSPSPSQYSVVSEAETESIFMEPIHLSSAV AAKQIINEELKPRGLRTDTECPGMLESAEQLLVEDLYNRVREKMDDRSLFNTPCVLDLQR ALTQDRQEAPRNEVDEVWPNVFIAEKSVAVNKGRLKRLGITHILNAAHGTGVYTGSEFYT GLEIQYLGVEVDDFPEVDISQHFRKAAEFLDEALLTYRGKVLVSSEMGISRSAVLVVAYL MIFHSMAILEALMTVRRKRAIYPNDGFLKQLRELNEKLMEEREEEDGEEESEEDAGSMLG ARVNSLMVEEEDDATSHLSGSSLGKASQVSKPVTLIDDEEEEKKLYEEWRKGQGFPKGEA AQGRKGRSCSMSSAQDGDDCEDEDVERIIQEWQSRNERYQAKGREQWNREEEEEEENSYS SRRRRHTLSESSASESVSSHDIRILKQQLERSTQSRRGRYRSDSESSESTWDMWNERLVE IEKEAARKYRSKSKREELDGDCSEAGGRVREDDEESVLSEASSFYNFCSRNKDKLTPLER WKIKRIQFGFHKKDSEAGDGGSEHGTEEAAAGEKNLSDVNLTAYQAWKLKHQKKVGSENK EEVVEMSKGEDTVLAKKRQRRLELLERSRQTLEESQSMGSWEADSSTASRSIPLSAFSSA APSVSADGDTASVLSTQSHRSHASNMPATPLPNLPVGPGDTISIASIQNWIANVVNETLA QKQNEMLLLSRPPSVASMKAAPAACGLGGDDQLSVLSTSLSGCLPPPSQGRPSSDVQSVL SSTSSLTSRAEGSGNKVRGTSKPIYSLFADNVDLKELGRKEKEMQMELQEKMSEYKMEKL ASDNKRSSLFKKKKAKDDEDMSVGDRDEDTDSAIGSFRYSSRSNSQKPETDASSSLAISD HYRNGRSMGNEMDSNINTWLSGLRMEEKSPPQSDWSGSSRGRYTRSSLLRETESKSCSYK FSKSRSQEQDTSFHEANGDTVRNTSRFSSSTTKEAREMHKFSRSTFSETSSSREESPEPY FFRRTPEPSDGEESPEPRRPNWTRPRDWEDVEESSKSDFAEFGAKRKFTQSFMRSEEEGE KERTENREEGRFASGRQSQYRRSTNQQEEEEMDDEAIIAAWRKRQEETRTKLQRRRED >ENSMUSP00000132488.1 pep:known chromosome:GRCm38:1:78497026:78512158:-1 gene:ENSMUSG00000090486.2 transcript:ENSMUST00000170511.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC035947 description:cDNA sequence BC035947 [Source:MGI Symbol;Acc:MGI:2652858] MVLPGGLLSQDLWYCYRLGGRGSSWPERNQFALGQEVPHQFEVGDAVLVRRHRAGEPEPR WKGPYLVLLTTSTAVKVEGIPAWVHASCPTSRRLPQRLIQMSGPWKGLLILLSCACCTGV APPDFNPHTPIQQIWEVLNEEGNIVWATTAVHPPWTWWPDLTPDICKLAAGSLTWDLPDH TDLSDPPPEERCVPNGIGSTYGCSGQFYRANLRAAEFYVCPGQGQSRRLRQKCGGASRFF CGKWGCETTGHAFWNPSSAWDLITVKRGSDHDGSNQGERDSSKYPESGCAHKNSPSGPCK GKYCNPLLIKFTEKGRQDRQSWLKGNRWGWRVYAPSRDPGFIFKIRLTVGDPAVAPIGPN TVLLEQGPPAKSKSLTQMPAQPMGPSYTDTLTPTTALQNPLTVAPTNPSTGQRMFNLVRG AFYALNRTNPDATEDCWLCLSSGPPYYEGIAFNGDFNKTSSHTSCSWGTGQKLTLTKVSA RNPGLCIGTPPPTHKHLCAQIQSVSKTETNYYLVPSPVGWWACNTGLTPCVSTKVFESSH DFCVMIQLLPRVYYHSASSLEEIYAGTRFKKEPVTLTLATFLGIGMAVGVGTGVSALIEG RQGIQSLRDAVNVDLEMLEKSIDVLEKSLSSLSEVVLQNRRGLDLLFLKEGGLCTALKEE CCFYADHTGIVRDSMQKREKDSNDKSENERPNRDG >ENSMUSP00000102015.2 pep:known chromosome:GRCm38:7:126429066:126445901:1 gene:ENSMUSG00000030727.12 transcript:ENSMUST00000106407.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabep2 description:rabaptin, RAB GTPase binding effector protein 2 [Source:MGI Symbol;Acc:MGI:1917564] MAAAPATLALDPQPQEKQKDASESSELSRLRAELAGALAEMETMKAVAEVSESTKAEAVA AVQRQCQEEVASLQAILKDSISSYETQIAALKQERQQQQQDFEEKDRELGHLKQLLARAH PLDSLEKQMEKAHEDSEKLREIVLPMEQEITELKGKLQRAEELIQEIQRRPRQPASLHGS TELLPLSRNPSPPLEPLEEPSGDAGPAAEAFAHNCDDSASISSFSLGGAAGSASLRGPQG LSPEQEETASLVSTGTLVPEGIFLPPPGYQLVPDSQWEQLQVEGRQLQKELESVSRERDE LQEGLRRSNEDCAKQMQVLLAQVQNSEQLLRTLQGTVSQAQERVQLQMAELATSHKCLSQ EVKRLNEENQGLRAEQLPSSALQGSEQREDQDEALPSSIQELHLLVQNTRQQARARQQAQ EHEAERLRIEIVKLREALDEETAAKASLERQLRVQREETDVLEASLCSLRIETERVQQEQ RKAQLTDLLSEQRAKTLRLQAELETSEQVQRDFVRLSQALQVRLERIRQAETLQQVRSIL DEAPLRDIRDIKDS >ENSMUSP00000122141.1 pep:known chromosome:GRCm38:7:126429382:126438416:1 gene:ENSMUSG00000030727.12 transcript:ENSMUST00000150530.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabep2 description:rabaptin, RAB GTPase binding effector protein 2 [Source:MGI Symbol;Acc:MGI:1917564] METMKAVAEVSESTKAEAVAAVQRQCQEEVASLQAILKVSFYIALALLELAHRNLPASAS >ENSMUSP00000123559.1 pep:known chromosome:GRCm38:7:126429428:126438407:1 gene:ENSMUSG00000030727.12 transcript:ENSMUST00000150933.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabep2 description:rabaptin, RAB GTPase binding effector protein 2 [Source:MGI Symbol;Acc:MGI:1917564] MKAVAEVSESTKAEAVAAVQRQCQEEVASLQAILKDSISSYETQIAALKQERQQQQQDFE EKDRELGHLKQLLARAHPLDSLEKQMEKDLTV >ENSMUSP00000102013.1 pep:known chromosome:GRCm38:7:126429440:126445901:1 gene:ENSMUSG00000030727.12 transcript:ENSMUST00000106405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabep2 description:rabaptin, RAB GTPase binding effector protein 2 [Source:MGI Symbol;Acc:MGI:1917564] MKAVAEVSESTKAEAVAAVQRQCQEEVASLQAILKDSISSYETQIAALKQERQQQQQDFE EKDRELGHLKQLLARAHPLDSLEKQMEKAHEDSEKLREIVLPMEQEITELKGKLQRAEEL IQEIQRRPRQPASLHGSTELLPLSRNPSPPLEPLEEPSGDAGPAAEAFAHNCDDSASISS FSLGGAAGSASLRGPQGLSPEQEETASLVSTGTLVPEGIFLPPPGYQLVPDSQWEQLQVE GRQLQKELESVSRERDELQEGLRRSNEDCAKQMQVLLAQVQNSEQLLRTLQGTVSQAQER VQLQMAELATSHKCLSQEVKRLNEENQGLRAEQLPSSALQGSEQREDQDEALPSSIQELH LLVQNTRQQARARQQAQEHEAERLRIEIVKLREALDEETAAKASLERQLRVQREETDVLE ASLCSLRIETERVQQEQRKAQLTDLLSEQRAKTLRLQAELETSEQVQRDFVRLSQALQVR LERIRQAETLQQVRSILDEAPLRDIRDIKDS >ENSMUSP00000022242.7 pep:known chromosome:GRCm38:13:117220625:117274098:1 gene:ENSMUSG00000021728.7 transcript:ENSMUST00000022242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emb description:embigin [Source:MGI Symbol;Acc:MGI:95321] MRSHTGLRALVAPGYPLLLLCLLAATRPDPAEGDPTDPTFTSLPVREEMMAKYSNLSLKS CNISVTEKSNVSVEENVILEKPSHVELKCVYTATKDLNLMNVTWKKDDEPLETTGDFNTT KMGNTLTSQYRFIVFNSKQLGKYSCVFGEKELRGTFNIHVPKAHGKKKSLIAYVGDSTVL KCVCQDCLPLNWTWYMGNETAQVPIDAHSNEKYIINGSHANETRLKIKHLLEEDGGSYWC RATFQLGESEEQNELVVLSFLVPLKPFLAILAEVILLVAIILLCEVYTHKKKNDPDAGKE FEQIEQLKSDDSNGIENNVPRYRKTDSADQ >ENSMUSP00000145771.1 pep:known chromosome:GRCm38:7:56050196:56068032:1 gene:ENSMUSG00000030451.15 transcript:ENSMUST00000205653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc2 description:HECT and RLD domain containing E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:103234] MPSESFCLAAQSRLDSKWLKTDIQLAFTRDGLCGLWNEMV >ENSMUSP00000075579.6 pep:known chromosome:GRCm38:7:56050253:56231800:1 gene:ENSMUSG00000030451.15 transcript:ENSMUST00000076226.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc2 description:HECT and RLD domain containing E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:103234] MPSESFCLAAQSRLDSKWLKTDIQLAFTRDGLCGLWNEMVKDGEIVYTGTELAQNRELPL RKDDGVDAQSGTKKEDLNDKEKKEEEETPAPVYRAKSILESWVWGRQPDVNELKECLSVL VKEQQALAVQSATTTLSALRLKQRLVILERYFIALNRTVFQENVKVKWKSSSISVPPTEK KSARPTGRGVEGLARVGSRAALSFAFAFLRRAWRSGEDADLCSELLQESLDALRALPEAS LFDESTVSSVWLEVVERATRFLRSVVTGDVHGTPGTKGPGGVPLQDQHLALAILLELAVQ RGTLSQMLSAILLLLQLWDSGAQETDNERSAQGTSAPLLPLLQRFQSIICSKDVPHTESD MHLLSGPLSPNESFLRYLTLPQDNELAIDLRQTAVVVMAHLDRLATPCMPPLCSSPTSHK GSLQEVIGWGLIGWKYYANVIGPIQCEGLASLGVMQVACAEKRFLILSRNGRVYTQAYNS DMLAPQLVQGLASRNIVKIAAHSDGHHYLALAATGEVYSWGCGDGGRLGHGDTVPLEEPK VISAFSGKQAGKHVVHIACGSTYSAAITAEGELYTWGRGNYGRLGHGSSEDEAIPMLVAG LKGLKVIDVACGSGDAQTLAVTENGQVWSWGDGDYGKLGRGGSDGCKTPKLIEKLQDLDV IKVRCGSQFSIALTKDGQVYSWGKGDNQRLGHGTEEHVRYPKLLEGLQGKKVIDVAAGST HCLALTEDSEVHSWGSNDQCQHFDTLRVTKPEPTALPGLDSKHIVGIACGPAQSFAWSSC SEWSIGLRVPFVVDICSMTFEQLDLLLRQVSEGMDGTADWPPPQEKECMAVATLNLLRLQ LHAAISHQVDPEFLGLGLGSVLLNSLKQTVVTLASSAGVLSTVQSAAQAVLQSGWSVLLP TAEERARALSALLPCTVSGNEVNISPGRRFMIDLLVGSLMADGGLESALNAAITAEIQDI EAKKEAQKEKEIDEQEASASTFHRSRTPLDKDLINTGIYESSGKQCLPLVQLIQQLLRNI ASQTVARLKDVARRISSCLDFEQQSCERSASLDLLLRFQRLLISKLYPGEKIGPISDTSS PELMGVGSLLKKYTALVCTHIGDILPVAASIASSSWQHFAEVACVMEGDFTGVLLPELVV SIVLLLSKNASLMQEAGAIPLLGGLLEHLDRFNHLAPGKERDDHEELAWPGIMESFFTGQ NCRNNEEVTLIRKADLENHNKDGGFWTVIDGKVYGIKDFQTQSLTGNSILAQFAGEDPVV ALEAALQFEDTQESMHAFCVGQYLEPDQEVVTIPDLGSLSSPLIDTERNLGLLLGLHASY LAMSTPLSPVEVECAKWLQSSIFSGGLQTSQIHYSYNEEKDEDHCSSPGGTPISKSRLCS HRWALGDHSQAFLQAIADNNIQDYNVKDFLCQIERYCRQCHLTTPITFPPEHPVEEVGRL LLCCLLKHEDLGHVALSLVHVGTLGIEQVKHRTLPKSVVDVCRVVYQAKCSLIKTHQEQG RSYKEVCAPVIERLRFLFNELRPAVCSDLSIMSKFKLLGSLPRWRRIAQKIIRERRKKRV PKKPESIDSEEKIGNEESDLEEACVLPHSPINVDKRPISMKSPKDKWQPLLNTVTGVHKY KWLKQNVQGLYPQSALLNTIVEFALKEEPVDVEKMRKCLLKQLERAEVRLEGIDTILKLA AKSFLLPSVQYAMFCGWQRLIPEGIDIGEPLTDCLRDVDLIPPFNRMLLEVTFGKLYAWA VQNIRSVLMDASARFKELGIQPVPLQTITNENPAGPSLGTIPQARFLLVMLSMLTLQHGA NNLDLLLNSGTLALTQTALRLIGPTCDSVEDDMNASARGASATVLEETRKETAPVQLPVS GPELAAMMKIGTRVMRGVDWKWGDQDGPPPGLGRVIGELGEDGWIRVQWDTGSTNSYRMG KEGKYDLKLVELPVSSQPSAEDSDTEDDSEAEQGERNIHPTAMMLTSVINLLQTLCLSVG VHADIMQSEATKTLCGLLRMLVESGTTDKPAPPDRLVAREQHRSWCTLGFVRSIALTPQA CGALSSPRWITLLMKVVEGHAPFTAASLQRQILAVHLLQAVLPSWDKTERARDMKCLVEK LFGFLGSLLTTCSSDVPLLRESTLRKRRARPQASLTATHSSTLAEEVVGLLRTLHSLTQW NGLINKYINSQLCSVTQSYAGKTSERAQLEDYFPDSENLEVGGLMAVLAVIGGIDGRLRL GGQVMHDEFGEGTVTRITPKGRITVQFCDMRMCRVCPLNQLKPLPAVAFSVNNLPFTEPM LSVWAELVNLAGSKLEKHKTKKSAKPAFAGQVDLDLLRSQQLKLYILKAGRALLSHQDKL RQILSQPAVQGTGTLQTDDGAAASPDLGDMSPEGPQPPMILLQQLLSSATQPSPVKAIFD KQELEAAALALCQCLAVESTHPSSPGCEDCSSSEATTPVSVQHIHLARAKKRRQSPAPAL PIVVQLMEMGFPRKNIEFALKSLTGTSGNASGLPGVEALVGWLLDHSDVQVTEFSDAETL SDEYSDEEVVEDVDDTPYPVAAGAVVTESQTYKKRADFLSNDDYAVYVRENVQVGMMVRC CRTYEEVCEGDVGKVIKLDRDGLHDLNVQCDWQQKGGTYWVRYIHVELIGYPPPSSSSHI KIGDKVRVKASVTTPKYKWGSVTHQSVGLVKAFSANGKDIIVDFPQQSHWTGLLSEMELV PSIHPGVTCDGCQTFPINGSRFKCRNCDDFDFCETCFKTKKHNTRHTFGRINEPGQSAVF CGRSGKQLKRCHSSQPGMLLDSWSRMVKSLNVSSSVNQASRLIDGSEPCWQSSGSQGKHW IRLEIFPDVLVHRLKMIVDPADSSYMPSLVVVSGGNSLNNLIELKTININQTDTTVPLLS DCAEYHRYIEIAIKQCRSSGIDCKIHGLILLGRIRAEEEDLAAVPFLASDNEEEEDDKGS TGSLIRKKTPGLESTATIRTKVFVWGLNDKDQLGGLKGSKIKVPSFSETLSALNVVQVAG GSKSLFAVTVEGKVYSCGEATNGRLGLGMSSGTVPIPRQITALSSYVVKKVAVHSGGRHA TALTVDGKVFSWGEGDDGKLGHFSRMNCDKPRLIEALKTKRIRDIACGSSHSAALTSSGE LYTWGLGEYGRLGHGDNTTQLKPKMVKVLLGHRVIQVACGSRDAQTLALTDEGLVFSWGD GDFGKLGRGGSEGCNIPQNIERLNGQGVCQIECGAQFSLALTKSGVVWTWGKGDYFRLGH GSDVHVRKPQVVEGLRGKKIVHVAVGALHCLAVTDSGQVYAWGDNDHGQQGNGTTTVNRK PTLVQGLEGQKITRVACGSSHSVAWTTVDVATPSVHEPVLFQTARDPLGASYLGVPSDAD SSSSSNKISGANNCKPNRPSLAKILLSLEGNLAKQQALSHILTALQIMYARDAVVGALMP AGMLAPVECPSFSSSAPASDVSAMASPMHMEDSTLAADLEDRLSPNLWQEKREIVSSEDA VTPSAVTPSAPSASSRPFIPVTDDPGAASIIAETMTKTKEDVESQNKTSGPEPQSLDEFT SLLIPDDTRVVVELLKLSVCSRAGDKGREVLSAVLSGMGTAYPQVADMLLELCVTELEDV ATDSQSGRLSSQPVVVESSHPYTDDTSTSGTVKIPGAEGLRVEFDRQCSTERRHDPLTVM DGVNRIVSVRSGREWSDWSSELRIPGDELKWKFISDGSVNGWGWRFTVYPIMPAAGPKDL LSDRCVLSCPSMDLVTCLLDFRLNLTSNRSIVPRLAASLAACAQLSALAASHRMWALQRL RRLLTTEFGQSININRLLGENDGESRALSFTGSALAALVKGLPEALQRQFEYEDPIVRGG KQLLHSPFFKVLVALACDLELDTLPCCAETHKWAWFRRYCMASRVAVALDKRTPLPRLFL DEVAKKIRELMADSESMDVLHESHSIFKREQDEQLVQWMNRRPDDWTLSAGGSGTIYGWG HNHRGQLGGIEGAKVKVPTPCEALATLRPVQLIGGEQTLFAVTADGKLYATGYGAGGRLG IGGTESVSTPTLLESIQHVFIKKVAVNSGGKHCLALSSEGEVYSWGEAEDGKLGHGNRSP CDRPRVIESLRGIEVVDVAAGGAHSACVTAAGDLYTWGKGRYGRLGHSDSEDQLKPKLVE ALQGHRVIDIACGSGDAQTLCLTDDDTVWSWGDGDYGKLGRGGSDGCKVPMKIDSLTGLG VVKVECGSQFSVALTKSGAVYTWGKGDYHRLGHGSDDHVRRPRQVQGLQGKKVIAIATGS LHCVCCTEDGEVYTWGDNDEGQLGDGTTNAIQRPRLVAALQGKKVNRVACGSAHTLAWST SKPASAGKLPAQVPMEYNHLQEIPIIALRNRLLLLHHISELFCPCIPMFDLEGSLDETGL GPSVGFDTLRGILISQGKEAAFRKVVQATMVRDRQHGPVVELNRIQVKRSRSKGGLAGPD GTKSVFGQMCAKMSSFSPDSLLLPHRVWKVKFVGESVDDCGGGYSESIAEICEELQNGLT PLLIVTPNGRDESGANRDCYLLNPATRAPVHCSMFRFLGVLLGIAIRTGSPLSLNLAEPV WKQLAGMSLTIADLSEVDKDFIPGLMYIRDNEATSEEFEAMSLPFTVPSASGQDIQLSSK HTHITLDNRAEYVRLAINYRLHEFDEQVAAVREGMARVVPVPLLSLFTGYELETMVCGSP DIPLHLLKSVATYKGIEPSASLVQWFWEVMESFSNTERSLFLRFVWGRTRLPRTIADFRG RDFVIQVLDKYNPPDHFLPESYTCFFLLKLPRYSCKQVLEEKLKYAIHFCKSIDTDDYAR IALTGEPAADDSSEDSDNEDADSFASDSTQDYLTGH >ENSMUSP00000131573.1 pep:known chromosome:GRCm38:7:56050389:56231763:1 gene:ENSMUSG00000030451.15 transcript:ENSMUST00000164095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc2 description:HECT and RLD domain containing E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:103234] MPSESFCLAAQSRLDSKWLKTDIQLAFTRDGLCGLWNEMVKDGEIVYTGTELAQNRELPL RKDDGVDAQSGTKKEDLNDKEKKEEEETPAPVYRAKSILESWVWGRQPDVNELKECLSVL VKEQQALAVQSATTTLSALRLKQRLVILERYFIALNRTVFQENVKVKWKSSSISVPPTEK KSARPTGRGVEGLARVGSRAALSFAFAFLRRAWRSGEDADLCSELLQESLDALRALPEAS LFDESTVSSVWLEVVERATRFLRSVVTGDVHGTPGTKGPGGVPLQDQHLALAILLELAVQ RGTLSQMLSAILLLLQLWDSGAQETDNERSAQGTSAPLLPLLQRFQSIICSKDVPHTESD MHLLSGPLSPNESFLRYLTLPQDNELAIDLRQTAVVVMAHLDRLATPCMPPLCSSPTSHK GSLQEVIGWGLIGWKYYANVIGPIQCEGLASLGVMQVACAEKRFLILSRNGRVYTQAYNS DMLAPQLVQGLASRNIVKIAAHSDGHHYLALAATGEVYSWGCGDGGRLGHGDTVPLEEPK VISAFSGKQAGKHVVHIACGSTYSAAITAEGELYTWGRGNYGRLGHGSSEDEAIPMLVAG LKGLKVIDVACGSGDAQTLAVTENGQVWSWGDGDYGKLGRGGSDGCKTPKLIEKLQDLDV IKVRCGSQFSIALTKDGQVYSWGKGDNQRLGHGTEEHVRYPKLLEGLQGKKVIDVAAGST HCLALTEDSEVHSWGSNDQCQHFDTLRVTKPEPTALPGLDSKHIVGIACGPAQSFAWSSC SEWSIGLRVPFVVDICSMTFEQLDLLLRQVSEGMDGTADWPPPQEKECMAVATLNLLRLQ LHAAISHQVDPEFLGLGLGSVLLNSLKQTVVTLASSAGVLSTVQSAAQAVLQSGWSVLLP TAEERARALSALLPCTVSGNEVNISPGRRFMIDLLVGSLMADGGLESALNAAITAEIQDI EAKKEAQKEKEIDEQEASASTFHRSRTPLDKDLINTGIYESSGKQCLPLVQLIQQLLRNI ASQTVARLKDVARRISSCLDFEQQSCERSASLDLLLRFQRLLISKLYPGEKIGPISDTSS PELMGVGSLLKKYTALVCTHIGDILPVAASIASSSWQHFAEVACVMEGDFTGVLLPELVV SIVLLLSKNASLMQEAGAIPLLGGLLEHLDRFNHLAPGKERDDHEELAWPGIMESFFTGQ NCRNNEEVTLIRKADLENHNKDGGFWTVIDGKVYGIKDFQTQSLTGNSILAQFAGEDPVV ALEAALQFEDTQESMHAFCVGQYLEPDQEVVTIPDLGSLSSPLIDTERNLGLLLGLHASY LAMSTPLSPVEVECAKWLQSSIFSGGLQTSQIHYSYNEEKDEDHCSSPGGTPISKSRLCS HRWALGDHSQAFLQAIADNNIQDYNVKDFLCQIERYCRQCHLTTPITFPPEHPVEEVGRL LLCCLLKHEDLGHVALSLVHVGTLGIEQVKHRTLPKSVVDVCRVVYQAKCSLIKTHQEQG RSYKEVCAPVIERLRFLFNELRPAVCSDLSIMSKFKLLGSLPRWRRIAQKIIRERRKKRV PKKPESIDSEEKIGNEESDLEEACVLPHSPINVDKRPISMKSPKDKWQPLLNTVTGVHKY KWLKQNVQGLYPQSALLNTIVEFALKEEPVDVEKMRKCLLKQLERAEVRLEGIDTILKLA AKSFLLPSVQYAMFCGWQRLIPEGIDIGEPLTDCLRDVDLIPPFNRMLLEVTFGKLYAWA VQNIRSVLMDASARFKELGIQPVPLQTITNENPAGPSLGTIPQARFLLVMLSMLTLQHGA NNLDLLLNSGTLALTQTALRLIGPTCDSVEDDMNASARGASATVLEETRKETAPVQLPVS GPELAAMMKIGTRVMRGVDWKWGDQDGPPPGLGRVIGELGEDGWIRVQWDTGSTNSYRMG KEGKYDLKLVELPVSSQPSAEDSDTEDDSEAEQGERNIHPTAMMLTSVINLLQTLCLSVG VHADIMQSEATKTLCGLLRMLVESGTTDKPAPPDRLVAREQHRSWCTLGFVRSIALTPQA CGALSSPRWITLLMKVVEGHAPFTAASLQRQILAVHLLQAVLPSWDKTERARDMKCLVEK LFGFLGSLLTTCSSDVPLLRESTLRKRRARPQASLTATHSSTLAEEVVGLLRTLHSLTQW NGLINKYINSQLCSVTQSYAGKTSERAQLEDYFPDSENLEVGGLMAVLAVIGGIDGRLRL GGQVMHDEFGEGTVTRITPKGRITVQFCDMRMCRVCPLNQLKPLPAVAFSVNNLPFTEPM LSVWAELVNLAGSKLEKHKTKKSAKPAFAGQVDLDLLRSQQLKLYILKAGRALLSHQDKL RQILSQPAVQGTGTLQTDDGAAASPDLGDMSPEGPQPPMILLQQLLSSATQPSPVKAIFD KQELEAAALALCQCLAVESTHPSSPGCEDCSSSEATTPVSVQHIHLARAKKRRQSPAPAL PIVVQLMEMGFPRKNIEFALKSLTGTSGNASGLPGVEALVGWLLDHSDVQVTEFSDAETL SDEYSDEEVVEDVDDTPYPVAAGAVVTESQTYKKRADFLSNDDYAVYVRENVQVGMMVRC CRTYEEVCEGDVGKVIKLDRDGLHDLNVQCDWQQKGGTYWVRYIHVELIGYPPPSSSSHI KIGDKVRVKASVTTPKYKWGSVTHQSVGLVKAFSANGKDIIVDFPQQSHWTGLLSEMELV PSIHPGVTCDGCQTFPINGSRFKCRNCDDFDFCETCFKTKKHNTRHTFGRINEPGQSAVF CGRSGKQLKRCHSSQPGMLLDSWSRMVKSLNVSSSVNQASRLIDGSEPCWQSSGSQGKHW IRLEIFPDVLVHRLKMIVDPADSSYMPSLVVVSGGNSLNNLIELKTININQTDTTVPLLS DCAEYHRYIEIAIKQCRSSGIDCKIHGLILLGRIRAEEEDLAAVPFLASDNEEEEDDKGS TGSLIRKKTPGLESTATIRTKVFVWGLNDKDQLGGLKGSKIKVPSFSETLSALNVVQVAG GSKSLFAVTVEGKVYSCGEATNGRLGLGMSSGTVPIPRQITALSSYVVKKVAVHSGGRHA TALTVDGKVFSWGEGDDGKLGHFSRMNCDKPRLIEALKTKRIRDIACGSSHSAALTSSGE LYTWGLGEYGRLGHGDNTTQLKPKMVKVLLGHRVIQVACGSRDAQTLALTDEGLVFSWGD GDFGKLGRGGSEGCNIPQNIERLNGQGVCQIECGAQFSLALTKSGVVWTWGKGDYFRLGH GSDVHVRKPQVVEGLRGKKIVHVAVGALHCLAVTDSGQVYAWGDNDHGQQGNGTTTVNRK PTLVQGLEGQKITRVACGSSHSVAWTTVDVATPSVHEPVLFQTARDPLGASYLGVPSDAD SSSSSNKISGANNCKPNRPSLAKILLSLEGNLAKQQALSHILTALQIMYARDAVVGALMP AGMLAPVECPSFSSSAPASDVSAMASPMHMEDSTLAADLEDRLSPNLWQEKREIVSSEDA VTPSAVTPSAPSASSRPFIPVTDDPGAASIIAETMTKTKEDVESQNKTSGPEPQSLDEFT SLLIPDDTRVVVELLKLSVCSRAGDKGREVLSAVLSGMGTAYPQVADMLLELCVTELEDV ATDSQSGRLSSQPVVVESSHPYTDDTSTSGTVKIPGAEGLRVEFDRQCSTERRHDPLTVM DGVNRIVSVRSGREWSDWSSELRIPGDELKWKFISDGSVNGWGWRFTVYPIMPAAGPKDL LSDRCVLSCPSMDLVTCLLDFRLNLTSNRSIVPRLAASLAACAQLSALAASHRMWALQRL RRLLTTEFGQSININRLLGENDGESRALSFTGSALAALVKGLPEALQRQFEYEDPIVRGG KQLLHSPFFKVLVALACDLELDTLPCCAETHKWAWFRRYCMASRVAVALDKRTPLPRLFL DEVAKKIRELMADSESMDVLHESHSIFKREQDEQLVQWMNRRPDDWTLSAGGSGTIYGWG HNHRGQLGGIEGAKVKVPTPCEALATLRPVQLIGGEQTLFAVTADGKLYATGYGAGGRLG IGGTESVSTPTLLESIQHVFIKKVAVNSGGKHCLALSSEGEVYSWGEAEDGKLGHGNRSP CDRPRVIESLRGIEVVDVAAGGAHSACVTAAGDLYTWGKGRYGRLGHSDSEDQLKPKLVE ALQGHRVIDIACGSGDAQTLCLTDDDTVWSWGDGDYGKLGRGGSDGCKVPMKIDSLTGLG VVKVECGSQFSVALTKSGAVYTWGKGDYHRLGHGSDDHVRRPRQVQGLQGKKVIAIATGS LHCVCCTEDGEVYTWGDNDEGQLGDGTTNAIQRPRLVAALQGKKVNRVACGSAHTLAWST SKPASAGKLPAQVPMEYNHLQEIPIIALRNRLLLLHHISELFCPCIPMFDLEGSLDETGL GPSVGFDTLRGILISQGKEAAFRKVVQATMVRDRQHGPVVELNRIQVKRSRSKGGLAGPD GTKSVFGQMCAKMSSFSPDSLLLPHRVWKVKFVGESVDDCGGGYSESIAEICEELQNGLT PLLIVTPNGRDESGANRDCYLLNPATRAPVHCSMFRFLGVLLGIAIRTGSPLSLNLAEPV WKQLAGMSLTIADLSEVDKDFIPGLMYIRDNEATSEEFEAMSLPFTVPSASGQDIQLSSK HTHITLDNRAEYVRLAINYRLHEFDEQVAAVREGMARVVPVPLLSLFTGYELETMVCGSP DIPLHLLKSVATYKGIEPSASLVQWFWEVMESFSNTERSLFLRFVWGRTRLPRTIADFRG RDFVIQVLDKYNPPDHFLPESYTCFFLLKLPRYSCKQVLEEKLKYAIHFCKSIDTDDYAR IALTGEPAADDSSEDSDNEDADSFASDSTQDYLTGH >ENSMUSP00000146182.1 pep:known chromosome:GRCm38:7:56050765:56114724:1 gene:ENSMUSG00000030451.15 transcript:ENSMUST00000206537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc2 description:HECT and RLD domain containing E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:103234] MPSESFCLAAQSRLDSKWLKTDIQLAFTRDGLCGLWNEMVKDGEIVYTGTELAQNRELPL RKDDGVDAQSGTKKEDLNDKEKKEEEETPAPVYRAKSILESWVWGRQPDVNELKECLSVL VKEQQALAVQSATTTLSALRLKQRLVILERYFIALNRTVFQENVKVKWKSSSISVPPTEK KSARPTGRGVEGLARVGSRAALSFAFAFLRRAWRSGEDADLCSELLQESLDALRALPEAS LFDESTVSSVWLEVVERATRFLRSVVTGDVHGTPGTKGPGGVPLQDQHLALAILLELAVQ RGTLSQMLSAILLLLQLWDSGAQETDNERSAQGTSAPLLPLLQRFQSIICSKDVPHTESD MHLLSGPLSPNESFLRYLTLPQDNELAIDLRQTAVVVMAHLDRLATPCMPPLCSSPTSHK GSLQEVIGWGLIGWKYYANVIGPIQCEGLASLGVMQVACAEKRFLILSRNGRVYTQAYNS DMLAPQLVQGLASRNIVKIAAHSDGHHYLALAATGEVYSWGCGDGGRLGHGDTVPLEEPK VISAFSGKQAGKHVVHIACGSTYSAAITAEGELYTWGRGNYGRLGHGSSEDEAIPMLVAG LKGLKVIDVACGSGDAQTLAVTENGQVWSWGDGDYGKLGRGGSDGCKTPKLIEKLQDLDV IKVRCGSQFSIALTKDGQVYSWGKGDNQRLGHGTEEHVRYPKLLEGLQGKKVIDVAAGST HCLALTEDSEVHSWGSNDQCQHFDTLRVTKPEPTALPGLDSKHIVGIACGPAQSFAWSSC SEWSIGLRVPFVVDICSMTFEQLDLLLRQVSEGMDGTADWPPPQEKECMAVATLNLLRLQ LHAAISHQVDPEFLGLGLGSVLLNSLKQTVVTLASSAGVLSTVQSAAQAVLQSGWSVLLP TAEERARALSALLPCTVSGNEVNISPGRRFMIDLLVGSLMADGGLESALNAAITAEIQDI EAKKEA >ENSMUSP00000145997.1 pep:known chromosome:GRCm38:7:56050877:56231132:1 gene:ENSMUSG00000030451.15 transcript:ENSMUST00000205303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc2 description:HECT and RLD domain containing E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:103234] MPSESFCLAAQSRLDSKWLKTDIQLAFTRDGLCGLWNEMVKDGEIVYTGTELAQNRELPL RKDDGVDAQSGTKKEDLNDKEKKEEEETPAPVYRAKSILESWVWGRQPDVNELKECLSVL VKEQQALAVQSATTTLSALRLKQRLVILERYFIALNRTVFQENVKVKWKSSSISVPPTEK KSARPTGRGVEGLARVGSRAALSFAFAFLRRAWRSGEDADLCSELLQESLDALRALPEAS LFDESTVSSVWLEVVERATRFLRSVVTGDVHGTPGTKGPGGVPLQDQHLALAILLELAVQ RGTLSQMLSAILLLLQLWDSGAQETDNERSAQGTSAPLLPLLQRFQSIICSKDVPHTESD MHLLSGPLSPNESFLRYLTLPQDNELAIDLRQTAVVVMAHLDRLATPCMPPLCSSPTSHK GSLQEVIGWGLIGWKYYANVIGPIQCEGLASLGVMQVACAEKRFLILSRNGRVYTQAYNS DMLAPQLVQGLASRNIVKIAAHSDGHHYLALAATGEVYSWGCGDGGRLGHGDTVPLEEPK VISAFSGKQAGKHVVHIACGSTYSAAITAEGELYTWGRGNYGRLGHGSSEDEAIPMLVAG LKGLKVIDVACGSGDAQTLAVTENGQVWSWGDGDYGKLGRGGSDGCKTPKLIEKLQDLDV IKVRCGSQFSIALTKDGQVYSWGKGDNQRLGHGTEEHVRYPKLLEGLQGKKVIDVAAGST HCLALTEDSEVHSWGSNDQCQHFDTLRVTKPEPTALPGLDSKHIVGIACGPAQSFAWSSC SEWSIGLRVPFVVDICSMTFEQLDLLLRQVSEGMDGTADWPPPQEKECMAVATLNLLRLQ LHAAISHQVDPEFLGLGLGSVLLNSLKQTVVTLASSAGVLSTVQSAAQAVLQSGWSVLLP TAEERARALSALLPCTVSGNEVNISPGRRFMIDLLVGSLMADGGLESALNAAITAEIQDI EAKKEAQKEKEIDEQEASASTFHRSRTPLDKDLINTGIYESSGKQCLPLVQLIQQLLRNI ASQTVARLKDVARRISSCLDFEQQSCERSASLDLLLRFQRLLISKLYPGEKIGPISDTSS PELMGVGSLLKKYTALVCTHIGDILPVAASIASSSWQHFAEVACVMEGDFTGVLLPELVV SIVLLLSKNASLMQEAGAIPLLGGLLEHLDRFNHLAPGKERDDHEELAWPGIMESFFTGQ NCRNNEEVTLIRKADLENHNKDGGFWTVIDGKVYGIKDFQTQSLTGNSILAQFAGEDPVV ALEAALQFEDTQESMHAFCVGQYLEPDQEVVTIPDLGSLSSPLIDTERNLGLLLGLHASY LAMSTPLSPVEVECAKWLQSSIFSGGLQTSQIHYSYNEEKDEDHCSSPGGTPISKSRLCS HRWALGDHSQAFLQAIADNNIQDYNVKDFLCQIERYCRQCHLTTPITFPPEHPVEEVGRL LLCCLLKHEDLGHVALSLVHVGTLGIEQVKHRTLPKSVVDVCRVVYQAKCSLIKTHQEQG RSYKEVCAPVIERLRFLFNELRPAVCSDLSIMSKFKLLGSLPRWRRIAQKIIRERRKKRV PKKPESIDSEEKIGNEESDLEEACVLPHSPINVDKRPISMKSPKDKWQPLLNTVTGVHKY KWLKQNVQGLYPQSALLNTIVEFALKEEPVDVEKMRKCLLKQLERAEVRLEGIDTILKLA AKSFLLPSVQYAMFCGWQRLIPEGIDIGEPLTDCLRDVDLIPPFNRMLLEVTFGKLYAWA VQNIRSVLMDASARFKELGIQPVPLQTITNENPAGPSLGTIPQARFLLVMLSMLTLQHGA NNLDLLLNSGTLALTQTALRLIGPTCDSVEDDMNASARGASATVLEETRKETAPVQLPVS GPELAAMMKIGTRVMRGVDWKWGDQDGPPPGLGRVIGELGEDGWIRVQWDTGSTNSYRMG KEGKYDLKLVELPVSSQPSAEDSDTEDDSEAEQGERNIHPTAMMLTSVINLLQTLCLSVG VHADIMQSEATKTLCGLLRMLVESGTTDKPAPPDRLVAREQHRSWCTLGFVRSIALTPQA CGALSSPRWITLLMKVVEGHAPFTAASLQRQILAVHLLQAVLPSWDKTERARDMKCLVEK LFGFLGSLLTTCSSDVPLLRESTLRKRRARPQASLTATHSSTLAEEVVGLLRTLHSLTQW NGLINKYINSQLCSVTQSYAGKTSERAQLEDYFPDSENLEVGGLMAVLAVIGGIDGRLRL GGQVMHDEFGEGTVTRITPKGRITVQFCDMRMCRVCPLNQLKPLPAVAFSVNNLPFTEPM LSVWAELVNLAGSKLEKHKTKKSAKPAFAGQVDLDLLRSQQLKLYILKAGRALLSHQDKL RQILSQPAVQGTGTLQTDDGAAASPDLGDMSPEGPQPPMILLQQLLSSATQPSPVKAIFD KQELEAAALALCQCLAVESTHPSSPGCEDCSSSEATTPVSVQHIHLARAKKRRQSPAPAL PIVVQLMEMGFPRKNIEFALKSLTGTSGNASGLPGVEALVGWLLDHSDVQVTEFSDAETL SDEYSDEEVVEDVDDTPYPVAAGAVVTESQTYKKRADFLSNDDYAVYVRENVQVGMMVRC CRTYEEVCEGDVGKVIKLDRDGLHDLNVQCDWQQKGGTYWVRYIHVELIGYPPPSSSSHI KIGDKVRVKASVTTPKYKWGSVTHQSVGLVKAFSANGKDIIVDFPQQSHWTGLLSEMELV PSIHPGVTCDGCQTFPINGSRFKCRNCDDFDFCETCFKTKKHNTRHTFGRINEPGQSAVF CGRSGKQLKRCHSSQPGMLLDSWSRMVKSLNVSSSVNQASRLIDGSEPCWQSSGSQGKHW IRLEIFPDVLVHRLKMIVDPADSSYMPSLVVVSGGNSLNNLIELKTININQTDTTVPLLS DCAEYHRYIEIAIKQCRSSGIDCKIHGLILLGRIRAEEEDLAAVPFLASDNEEEEDDKGS TGSLIRKKTPGLESTATIRTKVFVWGLNDKDQLGGLKGSKIKVPSFSETLSALNVVQVAG GSKSLFAVTVEGKVYSCGEATNGRLGLGMSSGTVPIPRQITALSSYVVKKVAVHSGGRHA TALTVDGKVFSWGEGDDGKLGHFSRMNCDKPRLIEALKTKRIRDIACGSSHSAALTSSGE LYTWGLGEYGRLGHGDNTTQLKPKMVKVLLGHRVIQVACGSRDAQTLALTDEGLVFSWGD GDFGKLGRGGSEGCNIPQNIERLNGQGVCQIECGAQFSLALTKSGVVWTWGKGDYFRLGH GSDVHVRKPQVVEGLRGKKIVHVAVGALHCLAVTDSGQVYAWGDNDHGQQGNGTTTVNRK PTLVQGLEGQKITRVACGSSHSVAWTTVDVATPSVHEPVLFQTARDPLGASYLGVPSDAD SSSSSNKISGANNCKPNRPSLAKILLSLEGNLAKQQALSHILTALQIMYARDAVVGALMP AGMLAPVECPSFSSSAPASDVSAMASPMHMEDSTLAADLEDRLSPNLWQEKREIVSSEDA VTPSAVTPSAPSASSRPFIPVTDDPGAASIIAETMTKTKEDVESQNKTSGPEPQSLDEFT SLLIPDDTRVVVELLKLSVCSRAGDKGREVLSAVLSGMGTAYPQVADMLLELCVTELEDV ATDSQSGRLSSQPVVVESSHPYTDDTSTSGTVKIPGREWSDWSSELRIPGDELKWKFISD GSVNGWGWRFTVYPIMPAAGPKDLLSDRCVLSCPSMDLVTCLLDFRLNLTSNRSIVPRLA ASLAACAQLSALAASHRMWALQRLRRLLTTEFGQSININRLLGENDGESRALSFTGSALA ALVKGLPEALQRQFEYEDPIVRGGKQLLHSPFFKVLVALACDLELDTLPCCAETHKWAWF RRYCMASRVAVALDKRTPLPRLFLDEVAKKIRELMADSESMDVLHESHSIFKREQDEQLV QWMNRRPDDWTLSAGGSGTIYGWGHNHRGQLGGIEGAKVKVPTPCEALATLRPVQLIGGE QTLFAVTADGKLYATGYGAGGRLGIGGTESVSTPTLLESIQHVFIKKVAVNSGGKHCLAL SSEGEVYSWGEAEDGKLGHGNRSPCDRPRVIESLRGIEVVDVAAGGAHSACVTAAGDLYT WGKGRYGRLGHSDSEDQLKPKLVEALQGHRVIDIACGSGDAQTLCLTDDDTVWSWGDGDY GKLGRGGSDGCKVPMKIDSLTGLGVVKVECGSQFSVALTKSGAVYTWGKGDYHRLGHGSD DHVRRPRQVQGLQGKKVIAIATGSLHCVCCTEDGEVYTWGDNDEGQLGDGTTNAIQRPRL VAALQGKKVNRVACGSAHTLAWSTSKPASAGKLPAQVPMEYNHLQEIPIIALRNRLLLLH HISELFCPCIPMFDLEGSLDETGLGPSVGFDTLRGILISQGKEAAFRKVVQATMVRDRQH GPVVELNRIQVKRSRSKGGLAGPDGTKSVFGQMCAKMSSFSPDSLLLPHRVWKVKFVGES VDDCGGGYSESIAEICEELQNGLTPLLIVTPNGRDESGANRDCYLLNPATRAPVHCSMFR FLGVLLGIAIRTGSPLSLNLAEPVWKQLAGMSLTIADLSEVDKDFIPGLMYIRDNEATSE EFEAMSLPFTVPSASGQDIQLSSKHTHITLDNRAEYVRLAINYRLHEFDEQVAAVREGMA RVVPVPLLSLFTGYELETMVCGSPDIPLHLLKSVATYKGIEPSASLVQWFWEVMESFSNT ERSLFLRFVWGRTRLPRTIADFRGRDFVIQVLDKYNPPDHFLPESYTCFFLLKLPRYSCK QVLEEKLKYAIHFCKSIDTDDYARIALTGEPAADDSSEDSDNEDADSFASDSTQDYLTGH >ENSMUSP00000145560.1 pep:known chromosome:GRCm38:7:56220500:56231101:1 gene:ENSMUSG00000030451.15 transcript:ENSMUST00000205678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc2 description:HECT and RLD domain containing E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:103234] XQEIPIIALRNRLLLLHHISELFCPCIPMFDLEGSLDETGLGPSVGFDTLRGILISQGKE AAFRKVVQATMVRDRQHGPVVELNRIQVLDKYNPPDHFLPESYTCFFLLKLPRYSCKQVL EEKLKYAIHFCKSIDTDDYARIALTGEPAADDSSEDSDNEDADSFAS >ENSMUSP00000092900.2 pep:known chromosome:GRCm38:10:127525473:127534559:-1 gene:ENSMUSG00000040258.6 transcript:ENSMUST00000095266.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxph4 description:neurexophilin 4 [Source:MGI Symbol;Acc:MGI:1336197] MRLLPEWLLLLFGPWLLRKAVCGQIVESGRPQYLDLRPAMAGGGARGQQLPAPASSEGLG MARSWSWAWPANHTGALAKPGTAGGPSVPRTKRKPSIKAARAKKIFGWGDFYFRVHTLKF SLLVTGKIVDHVNGTFSVYFRHNSSSLGNLSVSIVPPSKRVEFGGVWLPGPASHPLQSTL ALEGVLPGLGPPLGMAGQGLGSNLGGALAGPLGSALGVPGAKESRAFNCHVEYEKTNRAR KHRPCLYDPSQVCFTEHTQSQAAWLCAKPFKVICIFVSFLSFDYKLVQKVCPDYNFQSEH PYFG >ENSMUSP00000034296.8 pep:known chromosome:GRCm38:8:70768176:70776713:-1 gene:ENSMUSG00000031834.15 transcript:ENSMUST00000034296.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r2 description:phosphatidylinositol 3-kinase, regulatory subunit, polypeptide 2 (p85 beta) [Source:MGI Symbol;Acc:MGI:1098772] MAGAEGFQYRAVYPFRRERPEDLELLPGDLLVVSRVALQALGVADGGERCPHNVGWMPGF NERTRQRGDFPGTYVEFLGPVALARPGPRPRGPRPLPARPLDGSSESGHILPDLAEQFSP PDPAPPILVKLVEAIEQAELDSECYSKPELPATRTDWSLSDLEQWDRTALYDAVKGFLLA LPAAVVTPEAAAEAYRALREVAGPVGLVLEPPTLPLHQALTLRFLLQHLGRVARRAPSPD TAVHALASAFGPLLLRIPPSGGEGDGSEPVPDFPVLLLERLVQEHVEEQDAAPPALPPKP SKAKPAPTALANGGSPPSLQDAEWYWGDISREEVNERLRDTPDGTFLVRDASSKIQGEYT LTLRKGGNNKLIKVFHRDGHYGFSEPLTFCSVVELISHYRHESLAQYNAKLDTRLLYPVS KYQQDQVVKEDSIEAVGAQLKVYHQQYQDKSREYDQLYEEYTRTSQELQMKRTAIEAFNE TIKIFEEQGQTQEKCSKEYLERFRREGNEKEMQRILLNSERLKSRIAEIHESRTKLEQDL RAQASDNREIDKRMNSLKPDLMQLRKIRDQYLVWLTQKGARQRKINEWLGIKNETEDQYS LMEDEDALPHHEERTWYVGKINRTQAEEMLSGKRDGTFLIRESSQRGCYACSVVVDGDTK HCVIYRTATGFGFAEPYNLYGSLKELVLHYQHASLVQHNDALTVTLAHPVRAPGPGPPSA AR >ENSMUSP00000121463.1 pep:known chromosome:GRCm38:8:70772374:70774687:-1 gene:ENSMUSG00000031834.15 transcript:ENSMUST00000154685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r2 description:phosphatidylinositol 3-kinase, regulatory subunit, polypeptide 2 (p85 beta) [Source:MGI Symbol;Acc:MGI:1098772] XARPLDGSSESECWSDGCPLPHLALSSYRPSCPPLSLGLQIPCGHILPDLAEQFSPPDPA PPILVKLVEAIEQAELDSECYSKP >ENSMUSP00000122065.1 pep:known chromosome:GRCm38:8:70768461:70769388:-1 gene:ENSMUSG00000031834.15 transcript:ENSMUST00000143785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r2 description:phosphatidylinositol 3-kinase, regulatory subunit, polypeptide 2 (p85 beta) [Source:MGI Symbol;Acc:MGI:1098772] KINEWLGIKNETEDQYSLMEDEDALPHHEERTWYVGKINRTQAEEMLSGKRDGTFLIRES SQRGCYACSVV >ENSMUSP00000019677.5 pep:known chromosome:GRCm38:4:115839198:115879250:1 gene:ENSMUSG00000028708.16 transcript:ENSMUST00000019677.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk1 description:MAP kinase-interacting serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:894316] MGSSEPLPIVDSDKRRKKKRKTRATDSLPGKFEDVYQLTSELLGEGAYAKVQGAVNLQSG KEYAVKIIEKQAGHSRSRVFREVETLYQCQGNRNILELIEFFEDDTRFYLVFEKLQGGSI LAHIQKRKHFNEREASRVVRDVATALDFLHTKGIAHRDLKPENILCESPEKVSPVKICDF DLGSGVKLNNSCTPITTPELTTPCGSAEYMAPEVVEVFRDEATFYDKRCDLWSLGVVLYI MLSGYPPFVGHCGADCGWDRGEVCRMCQNKLFESIQEGKYEFPDKDWAHISNEAKDLISK LLVRDAKQRLSAAQVLQHPWVQGQAPERGLPTPQVLQRNSSTMDLTLFAAEAIALNRQLS QHEENELAEEQEALAEGLCSMKLSPPSKSRLARRRALAQAGRSRDANPCLTPAGL >ENSMUSP00000117261.1 pep:known chromosome:GRCm38:4:115839221:115864565:1 gene:ENSMUSG00000028708.16 transcript:ENSMUST00000144427.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk1 description:MAP kinase-interacting serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:894316] MGSSEPLPIVDSDKRRKKKRKTRATDSLPGKFEDVYQLTSELLGEGAYAKVQGAVNLQSG KEYAVKIIEKQAGHSRSRVFREVETLYQCQGNRNILELIEF >ENSMUSP00000118071.1 pep:known chromosome:GRCm38:4:115839235:115866532:1 gene:ENSMUSG00000028708.16 transcript:ENSMUST00000130819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk1 description:MAP kinase-interacting serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:894316] MGSSEPLPIVDSDKRRKKKRKTRATDSLPGKFEDVYQLTSELLGEGAYAKVQGAVNLQSG KEYAVKIIEKQAGHSRSRVFREVETLYQCQGNRNILELIEFFEDDTRFYLVFEKLQGGSI LAHIQKRKHFNEREASRVVRD >ENSMUSP00000114941.1 pep:known chromosome:GRCm38:4:115839240:115864602:1 gene:ENSMUSG00000028708.16 transcript:ENSMUST00000151203.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk1 description:MAP kinase-interacting serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:894316] MGSSEPLPIVDSDKRRKKKRKTRATDSLPGKFEDVYQLTSELLGEGAYAKVQGAVNLQSG KEYAVKIIEKQAGHSRSRVFREVETLYQCQGNRNILELIEFFEDDTRFYLVFEK >ENSMUSP00000116410.1 pep:known chromosome:GRCm38:4:115839244:115857097:1 gene:ENSMUSG00000028708.16 transcript:ENSMUST00000140315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk1 description:MAP kinase-interacting serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:894316] MGSSEPLPIVDSDKRRKKKRK >ENSMUSP00000102123.3 pep:known chromosome:GRCm38:4:115839233:115879250:1 gene:ENSMUSG00000028708.16 transcript:ENSMUST00000106513.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mknk1 description:MAP kinase-interacting serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:894316] MGSSEPLPIVDSDKRRKKKRKTRATDSLPGKFEDVYQLTSELLGEGAYAKVQGAVNLQSG KEYAVKIIEKQAGHSRSRVFREVETLYQCQGNRNILELIEFFEDDTRFYLVFEKLQGGSI LAHIQKRKHFNEREASRVVRDVATALDFLHTKGIAHRDLKPENILCESPEKVSPVKICDF DLGSGVKLNNSCTPITTPELTTPCGSAEYMAPEVVEVFRDEATFYDKRCDLWSLGVVLYI MLSGYPPFVGHCGADCGWDRGEVCRMCQNKLFESIQEGKYEFPDKDWAHISNEAKDLISK LLVRDAKQRLSAAQVLQHPWVQGQAPERGLPTPQVLQRNSSTMDLTLFAAEAIALNRQLS QHEENELAEEQEALAEGLCSMKLSPPSKSRLARRRALAQAGRSRDANPCLTPAGL >ENSMUSP00000053638.7 pep:known chromosome:GRCm38:2:85136225:85139923:1 gene:ENSMUSG00000044338.9 transcript:ENSMUST00000057019.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aplnr description:apelin receptor [Source:MGI Symbol;Acc:MGI:1346086] MEDDGYNYYGADNQSECDYADWKPSGALIPAIYMLVFLLGTTGNGLVLWTVFRTSREKRR SADIFIASLAVADLTFVVTLPLWATYTYREFDWPFGTFSCKLSSYLIFVNMYASVFCLTG LSFDRYLAIVRPVANARLRLRVSGAVATAVLWVLAALLAVPVMVFRSTDASENGTKIQCY MDYSMVATSNSEWAWEVGLGVSSTAVGFVVPFTIMLTCYFFIAQTIAGHFRKERIEGLRK RRRLLSIIVVLVVTFALCWMPYHLVKTLYMLGSLLHWPCDFDIFLMNVFPYCTCISYVNS CLNPFLYAFFDPRFRQACTSMLCCDQSGCKGTPHSSSAEKSASYSSGHSQGPGPNMGKGG EQMHEKSIPYSQETLVD >ENSMUSP00000139142.1 pep:known chromosome:GRCm38:2:85137392:85139921:1 gene:ENSMUSG00000044338.9 transcript:ENSMUST00000184728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aplnr description:apelin receptor [Source:MGI Symbol;Acc:MGI:1346086] TFALCWMPYHLVKTLYMLGSLLHWPCDFDIFLMNVFPYCTCISHSQSSQFELQEASGRQQ TQPQHGTDSSRGSFSSPPPLLQDALFFF >ENSMUSP00000108690.1 pep:known chromosome:GRCm38:X:136993155:136996378:1 gene:ENSMUSG00000031428.11 transcript:ENSMUST00000113067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc18 description:zinc finger, CCHC domain containing 18 [Source:MGI Symbol;Acc:MGI:1914245] MASLLARMGNSRRQNAAFMPFAHSMLRALGRSLGPLIANIAERNIQSFSGRAELGPGEET FENWLSQVHEVLPDWPMSEEEKIKRLMRTLRGPAREAMRLFQADNPNLNVAEFLRAMKLL FGASESSITAHGKFLSTLQAQGEKPSLYVIRLEVQLQNAIQAGVLPQSEANRTRLHQLLV GAELSRELRTKLKGLLQMHAHNEQENLPDFLELIRMIREEEDWDETFLRNKRPRRSETVM ERAASPVVFQGSLPIVIGSADCNVIEIDDSQDDSDEDVILVEPEDPPLSSPGASSLRGTA STQEEMLIIESPDDFDEESPSTSSGSGQRNNGPGDLGRTRKRKYPIRCPHCGEEGHAKET CDNTSNKGQVFENLIVTLQELTHMERPKPSVPY >ENSMUSP00000098788.2 pep:known chromosome:GRCm38:X:136993159:136996116:1 gene:ENSMUSG00000031428.11 transcript:ENSMUST00000101227.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc18 description:zinc finger, CCHC domain containing 18 [Source:MGI Symbol;Acc:MGI:1914245] MASLLARMGNSRRQNAAFMPFAHSMLRALGRSLGPLIANIAERNIQSFSGRAELGPGEET FENWLSQVHEVLPDWPMSEEEKIKRLMRTLRGPAREAMRLFQADNPNLNVAEFLRAMKLL FGASESSITAHGKFLSTLQAQGEKPSLYVIRLEVQLQNAIQAGVLPQSEANRTRLHQLLV GAELSRELRTKLKGLLQMHAHNEQENLPDFLELIRMIREEEDWDETFLRNKRPRRSETVM ERAASPVVFQGSLPIVIGSADCNVIEIDDSQDDSDEDVILVEPEDPPLSSPGASSLRGTA STQEEMLIIESPDDFDEESPSTSSGSGQRNNGPGDLGRTRKRKYPIRCPHCGEEGHAKET CDNTSNKGQVFENLIVTLQELTHMERPKPSVPY >ENSMUSP00000033804.4 pep:known chromosome:GRCm38:X:136993298:136998472:1 gene:ENSMUSG00000031428.11 transcript:ENSMUST00000033804.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc18 description:zinc finger, CCHC domain containing 18 [Source:MGI Symbol;Acc:MGI:1914245] MASLLARMGNSRRQNAAFMPFAHSMLRALGRSLGPLIANIAERNIQSFSGRAELGPGEET FENWLSQVHEVLPDWPMSEEEKIKRLMRTLRGPAREAMRLFQADNPNLNVAEFLRAMKLL FGASESSITAHGKFLSTLQAQGEKPSLYVIRLEVQLQNAIQAGVLPQSEANRTRLHQLLV GAELSRELRTKLKGLLQMHAHNEQENLPDFLELIRMIREEEDWDETFLRNKRPRRSETVM ERAASPVVFQGSLPIVIGSADCNVIEIDDSQDDSDEDVILVEPEDPPLSSPGASSLRGTA STQEEMLIIESPDDFDEESPSTSSGSGQRNNGPGDLGRTRKRKYPIRCPHCGEEGHAKET CDNTSNKGQVFENLIVTLQELTHMERPKPSVPY >ENSMUSP00000083547.5 pep:known chromosome:GRCm38:9:21526176:21545333:1 gene:ENSMUSG00000057191.13 transcript:ENSMUST00000086361.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AB124611 description:cDNA sequence AB124611 [Source:MGI Symbol;Acc:MGI:3043001] MGGEMPWTILLFASGSLAIPAPSISLVPPYPSSHEDPIYISCTAPGDILGANFTLFRGGE VVQLLQAPSDRPDVTFNVTGGGSGGGGEAAGGNFCCQYGVMGEHSQPQLSDFSQQVQVSF PVPTWILALSLSLAGAVLFSGLVAITVLVRKAKAKNLQKQRERESCWAQINFTNTDMSFD NSLFAISTKMTQEDSVATLDSGPRKRPTSASSSPEPPEFSTFRACQ >ENSMUSP00000134056.2 pep:known chromosome:GRCm38:9:21526201:21545326:1 gene:ENSMUSG00000057191.13 transcript:ENSMUST00000173769.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AB124611 description:cDNA sequence AB124611 [Source:MGI Symbol;Acc:MGI:3043001] MGGEMPWTILLFASGSLAIPAPSISLVPPYPSSHEDPIYISCTAPGDILGANFTLFRGGE VVQLLQAPSDRPDVTFNVTGGGSGGGGEAAGGNFCCQYGVMGEHSQPQLSDFSQQVQVSF PAKAKNLQKQRERESCWAQINFTNTDMSFDNSLFAISTKMTQEDSVATLDSGPRKRPTSA SSSPEPPEFSTFRACQ >ENSMUSP00000075665.6 pep:known chromosome:GRCm38:9:21526228:21545331:1 gene:ENSMUSG00000057191.13 transcript:ENSMUST00000076326.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:AB124611 description:cDNA sequence AB124611 [Source:MGI Symbol;Acc:MGI:3043001] MGGEMPWTILLFASAFLCPAETSSVETPSHTFPPAVPPPPWAPGLLYSESRTWAVVESGE APWPSLHHPSPWCPPTQAATRTPSTSRAQPQGTS >ENSMUSP00000079328.2 pep:known chromosome:GRCm38:7:103358248:103359192:-1 gene:ENSMUSG00000100208.1 transcript:ENSMUST00000080474.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr601 description:olfactory receptor 601 [Source:MGI Symbol;Acc:MGI:3030435] MGPANKSQLSPSTFWLMGIPGLEHLHVWIGIPFCSMYMVALMGNVTILAVVRAERTLHEP MFLFLCMLSVTDLVLSTSTLPRMLCLFWMAAHDITFDACLAQMFFIHSFTAMESGFFLAM AIDRYVAICDPLRHATILTHSRIAVMGAVVVLRGVGFFSPHPVLLKQLPYCRTRIIAHTY CEFMAVVKLACLEIGATKRYSLGVAFGIGSCDCFFIAISYVLILRAVFRLPSREASLKAL GTCGSHVCVIVVFYSTAGFTFLTHRFGHNVAPRTHILIANMYLLVPPFLNPIVYGVRTKK IRDYVLNTLKVKGS >ENSMUSP00000060147.7 pep:known chromosome:GRCm38:1:166130238:166166510:1 gene:ENSMUSG00000000544.14 transcript:ENSMUST00000060833.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpa33 description:glycoprotein A33 (transmembrane) [Source:MGI Symbol;Acc:MGI:1891703] MLGKAGSVVWMFCAIWVAADALTVETTQDILRAARGRSVTLPCTYNTYVSDREGFIQWDK LLRSQTERVVTWNFVTKKYIYGNRYENRVRVSNDAELSNASITIDQLTMDDNGTYECSVS LMSDQDVNAKSRVRLLVLVPPSKPDCSIQGEMVIGNNIQLTCHSAEGSPSPQYSWKSYNA QNQQRPLTQPVSGEPLLLKNISTETAGYYICTSSNDVGIESCNITVAPRPPSMNIALYAG IAGSVFVALIIIGVIVYCCCCREKDDKDQDREDARPNRAAYQVPKKEQKEISRGREDEDD HRHEDRWSSGRSTPDQPFQ >ENSMUSP00000132753.1 pep:known chromosome:GRCm38:1:166130340:166157529:1 gene:ENSMUSG00000000544.14 transcript:ENSMUST00000166159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpa33 description:glycoprotein A33 (transmembrane) [Source:MGI Symbol;Acc:MGI:1891703] MDDNGTYECSVSLMSDQDVNAKSRVRLLVLVPPSKP >ENSMUSP00000125903.1 pep:known chromosome:GRCm38:1:166130467:166166509:1 gene:ENSMUSG00000000544.14 transcript:ENSMUST00000166860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpa33 description:glycoprotein A33 (transmembrane) [Source:MGI Symbol;Acc:MGI:1891703] MLGKAGSVVWMFCAIWVAADALTVETTQDILRAARGRSVTLPCTYNTYVSDREGFIQWDK LLRSQTERVVTWNFVTKKYIYGNRYENRVRVSNDAELSNASITIDQLTMDDNGTYECSVS LMSDQDVNAKSRVRLLVLVPPSKPDCSIQGEMVIGNNIQLTCHSAEGSPSPQYSWKSYNA QNQQRPLTQPVSGEPLLLKNISTETAGYYICTSSNDVGIESCNITVAPRPPSMNIALYAG IAGSVFVALIIIGVIVYCCCCREKDDKDQDREDARPNRAAYQVPKKEQKEISRGREDEDD HRHEDRWSSGRSTPDQPFQ >ENSMUSP00000118756.2 pep:known chromosome:GRCm38:2:134786067:135475247:1 gene:ENSMUSG00000051177.16 transcript:ENSMUST00000131552.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcb1 description:phospholipase C, beta 1 [Source:MGI Symbol;Acc:MGI:97613] MAGAQPGVHALQLKPVCVSDSLKKGTKFVKWDDDSTIVTPIILRTDPQGFFFYWTDQNKE TELLDLSLVKDARCGKHAKAPKDPKLRELLDVGNIGHLEQRMITVVYGPDLVNISHLNLV AFQEEVAKEWTNEVFSLATNLLAQNMSRDAFLEKAYTKLKLQVTPEGRIPLKNIYRLFSA DRKRVETALEACSLPSSRNDSIPQEDFTPDVYRVFLNNLCPRPEIDNIFSEFGAKSKPYL TVDQMMDFINLKQRDPRLNEILYPPLKQEQVQVLIEKYEPNSSLAKKGQMSVDGFMRYLS GEENGVVSPEKLDLNEDMSQPLSHYFINSSHNTYLTAGQLAGNSSVEMYRQVLLSGCRCV ELDCWKGRTAEEEPVITHGFTMTTEISFKEVIEAIAECAFKTSPFPILLSFENHVDSPKQ QAKMAEYCRLIFGDALLMEPLEKYPLESGVPLPSPMDLMYKILVKNKKKSHKSSEGSGKK KLSEQASNTYSDSSSVFEPSSPGAGEADTESDDDDDDDDCKKSSMDEGTAGSEAMATEEM SNLVNYIQPVKFESFEISKKRNKSFEMSSFVETKGLEQLTKSPVEFVEYNKMQLSRIYPK GTRVDSSNYMPQLFWNAGCQMVALNFQTVDLAMQINMGMYEYNGKSGYRLKPEFMRRPDK HFDPFTEGIVDGIVANTLSVKIISGQFLSDKKVGTYVEVDMFGLPVDTRRKAFKTKTSQG NAVNPVWEEEPIVFKKVVLPSLACLRIAAYEEGGKFIGHRILPVQAIRPGYHYICLRNER NQPLTLPAVFVYIEVKDYVPDTYADVIEALSNPIRYVNLMEQRAKQLAALTLEDEEEVKK EADPGETSSEAPSETRTTPAENGVNHTASLAPKPPSQAPHSQPAPGSVKAPAKTEDLIQS VLTEVEAQTIEELKQQKSFVKLQKKHYKEMKDLVKRHHKKTTELIKEHTTKYNEIQNDYL RRRAALEKSAKKDSKKKSEPSSPDHGSSAIEQDLAALDAEMTQKLIDLKDKQQQQLLNLR QEQYYSEKYQKREHIKLLIQKLTDVAEECQNNQLKKLKEICEKEKKELKKKMDKKRQEKI TEAKSKDKSQMEEEKTEMIRSYIQEVVQYIKRLEEAQSKRQEKLVEKHNEIRQQILDEKP KGEGPSSVLSEGCHEDPSVPPNFTPPNPQALKW >ENSMUSP00000105743.1 pep:known chromosome:GRCm38:2:134786159:135475258:1 gene:ENSMUSG00000051177.16 transcript:ENSMUST00000110116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcb1 description:phospholipase C, beta 1 [Source:MGI Symbol;Acc:MGI:97613] MAGAQPGVHALQLKPVCVSDSLKKGTKFVKWDDDSTIVTPIILRTDPQGFFFYWTDQNKE TELLDLSLVKDARCGKHAKAPKDPKLRELLDVGNIGHLEQRMITVVYGPDLVNISHLNLV AFQEEVAKEWTNEVFSLATNLLAQNMSRDAFLEKAYTKLKLQVTPEGRIPLKNIYRLFSA DRKRVETALEACSLPSSRNDSIPQEDFTPDVYRVFLNNLCPRPEIDNIFSEFGAKSKPYL TVDQMMDFINLKQRDPRLNEILYPPLKQEQVQVLIEKYEPNSSLAKKGQMSVDGFMRYLS GEENGVVSPEKLDLNEDMSQPLSHYFINSSHNTYLTAGQLAGNSSVEMYRQVLLSGCRCV ELDCWKGRTAEEEPVITHGFTMTTEISFKEVIEAIAECAFKTSPFPILLSFENHVDSPKQ QAKMAEYCRLIFGDALLMEPLEKYPLESGVPLPSPMDLMYKILVKNKKKSHKSSEGSGKK KLSEQASNTYSDSSSVFEPSSPGAGEADTESDDDDDDDDCKKSSMDEGTAGSEAMATEEM SNLVNYIQPVKFESFEISKKRNKSFEMSSFVETKGLEQLTKSPVEFVEYNKMQLSRIYPK GTRVDSSNYMPQLFWNAGCQMVALNFQTVDLAMQINMGMYEYNGKSGYRLKPEFMRRPDK HFDPFTEGIVDGIVANTLSVKIISGQFLSDKKVGTYVEVDMFGLPVDTRRKAFKTKTSQG NAVNPVWEEEPIVFKKVVLPSLACLRIAAYEEGGKFIGHRILPVQAIRPGYHYICLRNER NQPLTLPAVFVYIEVKDYVPDTYADVIEALSNPIRYVNLMEQRAKQLAALTLEDEEEVKK EADPGETSSEAPSETRTTPAENGVNHTASLAPKPPSQAPHSQPAPGSVKAPAKTEDLIQS VLTEVEAQTIEELKQQKSFVKLQKKHYKEMKDLVKRHHKKTTELIKEHTTKYNEIQNDYL RRRAALEKSAKKDSKKKSEPSSPDHGSSAIEQDLAALDAEMTQKLIDLKDKQQQQLLNLR QEQYYSEKYQKREHIKLLIQKLTDVAEECQNNQLKKLKEICEKEKKELKKKMDKKRQEKI TEAKSKDKSQMEEEKTEMIRSYIQEVVQYIKRLEEAQSKRQEKLVEKHNEIRQQILDEKP KLQTELEQEYQDKFKRLPLEILEFVQEAMKGKISEDSNHGSAPPSLASDAAKVNLKSPSS EEIERENPGREFDTPL >ENSMUSP00000064844.5 pep:known chromosome:GRCm38:2:134786530:135399675:1 gene:ENSMUSG00000051177.16 transcript:ENSMUST00000070724.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcb1 description:phospholipase C, beta 1 [Source:MGI Symbol;Acc:MGI:97613] MAGAQPGVHALQLKPVCVSDSLKKGTKFVKWDDDSTIVTPIILRTDPQGFFFYWTDQNKE TELLDLSLVKDARCGKHAKAPKDPKLRELLDVGNIGHLEQRMITVVYGPDLVNISHLNLV AFQEEVAKEWTNEVFSLATNLLAQNMSRDAFLEKAYTKLKLQVTPEGRIPLKNIYRLFSA DRKRVETALEACSLPSSRNDSIPQEDFTPDVYRVFLNNLCPRPEIDNIFSEFGAKSKPYL TVDQMMDFINLKQRDPRLNEILYPPLKQEQVQVLIEKYEPNSSLAKKGQMSVDGFMRYLS GEENGVVSPEKLDLNEDMSQPLSHYFINSSHNTYLTAGQLAGNSSVEMYRQVLLSGCRCV ELDCWKGRTAEEEPVITHGFTMTTEISFKEVIEAIAECAFKTSPFPILLSFENHVDSPKQ QAKMAEYCRLIFGDALLMEPLEKYPLESGVPLPSPMDLMYKILVKNKKKSHKSSEGSGKK KLSEQASNTYSDSSSVFEPSSPGAGEADTESDDDDDDDDCKKSSMDEGTAGSEAMATEEM SNLVNYIQPVKFESFEISKKRNKSFEMSSFVETKGLEQLTKSPVEFVEYNKMQLSRIYPK GTRVDSSNYMPQLFWNAGCQMVALNFQTVDLAMQINMGMYEYNGKSGYRLKPEFMRRPDK HFDPFTEGIVDGIVANTLSVKIISGQFLSDKKVGTYVEVDMFGLPVDTRRKAFKTKTSQG NAVNPVWEEEPIVFKKVVLPSLACLRIAAYEEGGKFIGHRILPVQAIRPGYHYICLRNER NQPLTLPAVFVYIEVKDYVPDTYADVIEALSNPIRYVNLMEQRAKQLAALTLEDEEEVKK EADPGETSSEAPSETRTTPAENGVNHTASLAPKPPSQAPHSQPAPGSVKAPAKTEDLIQS VLTEVEAQTIEELKQQKSFVKLQKKHYKEMKDLVKRHHKKTTELIKEHTTKYNEIQNDYL RRRAALEKSAKKDSKKKSEPSSPDHGSSAIEQDLAALDAEMTQKLIDLKDKQQQQLLNLR QEQYYSEKYQKREHIKLLIQKLTDVAEECQNNQLKKLKEICEKEKKELKKKMDKKRQEKI TEAKSKDKSQMEEEKTEMIRSYIQEVVQYIKRLEEAQSKRQEKLVEKHNEIRQQILDEKP KGEGPSSVLSEGCHEDPSVPPNFTPPNPQALKW >ENSMUSP00000144399.1 pep:known chromosome:GRCm38:2:135220765:135262257:1 gene:ENSMUSG00000051177.16 transcript:ENSMUST00000201485.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plcb1 description:phospholipase C, beta 1 [Source:MGI Symbol;Acc:MGI:97613] XEQRMITVVYGPDLVNISHLNLVAFQEEVAKEWTNEVFSLATNLLAQNMSRDAFLEKAA >ENSMUSP00000126231.1 pep:known chromosome:GRCm38:X:36423601:36491830:-1 gene:ENSMUSG00000091556.8 transcript:ENSMUST00000169499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14569 description:predicted gene 14569 [Source:MGI Symbol;Acc:MGI:3705243] MEGFEASGEEGKKKSKFKAFKNFFSKKKKKEPEEIPEVAVLKPRFSSSSVSGSSLQPALE KQVMESKPKSGMGVKSISHDSVFCLDPEPEKGAGKLHSSPAPHRSKSLKIKSQRSQRLSI SPLIRSEKVCEELEKFFASDRTTTTFRRRSSQCSSTPRMSSELSLDPETSESSTQQFSGF STPATSQGCLDSSAAKSKIALNPRKQKKRKSTSTPVKVKQEEQLQSVPAKEKTTTKTKEA EQGEQKVDSTELSSQEQSSKTETQDTAVDKTPSTDPALRLNPRRRRRRAKNEWEILERGL LKSTQRYSLNTKAESSANKEIAGKEHSFLKLLLEKKDIGQPTTTEAEVTTVQKMPSDKGD VERELADIDVEAQKEPAPQPTSTDVAESMISGPSPHCEDKKKKDKVGVLPWIKKSTSQKE VTVLRKEEVQVHVHPSRVCGEGEEASCPELQRVQPQMKVSLESTTYHKEKHPRSGLPVPS TSISSATAEDDVSPKMNLPLPLRRRPNTGETSSDSKSTSEYESSSEMQLSPAHSFKPTRK PKDDAGAGTADDEEDGDDEKEEKDNDDDDEENVFLKSENVDVEVSKMEKQQALRYSSKLL GKPKAREASAKLESSSEDERSCEEMTSAHSSQSLEEFEECSESRGFIRGSISEERPATRC HSQALQELEEKEVSTESSSYIEKYESSEDLSSSEQEQQVPPVSKSSLKQWSAPAKPVHPI QTAQQQPPAMVNISGGPKKSGEPLSPTQTVKSRLRSQSECQVLAEPEGVVAADWDILMQP PPRPRLEQEVSAGPELTVFEKSIAVQPSGYPPQPSVKPSIKKEISLGAESAPLESPPPQH HFQPLLKPFVKQQVSAFERVISMDPKLPTQFQPRMKPQGKQSFSSTPESTAFEGSTSVDH MTLTLSQPMLQPYQEVPLESETVAAKMISTGQLHSKYSAHPLSSHQSQPVPESTSAEGEE LLPSQPSVTPKFQPLMTQGSIPSAWAIPIYSPAPRMDSKPLMGSAIALESKPSRYSLQPW QSTPFEQVSVTPDHDPAAAAASWSPPIDPPTSRIPSQPLMRLAVKQPTCTELASVSVSQS SSLERLSSRFPFQPRADPEHYGAEEGVATLRRSRRHHSQSPVRSEFKEEVSSGSRRAFGE RSISVGKMPPKYALQPWLCPEFQQQAKEGDVLRKAWLSGHPSQTISKHKVEKTPLPPKCP SPFLTRSKVQEISSRLESVIAQESSKKPQRGRPPSKSFVNFMAQQVFSESAPSKVVLHPK PVARGRRRPSRSLLKPKLDDYAFLYNWDNEPKEDTTLQNLPMKQPFQLSRKPEEPQEVLP FSEGAPVKWNTSARGISQALGKLSVSVSLPNEWKSSEGQLPSTQPSQAFDVAKLQSPVLP VDSANVPVKWRISEGHQPPQSFFVDYQPQVSVDSASAAAKGASCWPMLKDSASTTNVKYS QGYKDFTKSTPTSVIKPATFTSAPAQKPMVSMGTYFKDEVPKCCDETGTSSLLPTSKADV ENVFGVRLRSTSQKIGMKNPDPCKPFVLISAAARKEQANKGDLQGSVGGSKQSRPAFSFE KKQGNWPRYEGTLKKSEVFRPPVAFYDQKMLHPRTRERLTRRSLSLPPTLPQREEPEWFS VAKKKAQAWSQIANIMQ >ENSMUSP00000108692.2 pep:known chromosome:GRCm38:X:136981116:137038300:-1 gene:ENSMUSG00000044348.14 transcript:ENSMUST00000113069.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a53 description:solute carrier family 25, member 53 [Source:MGI Symbol;Acc:MGI:1914312] MCRCTCCGSHVPLYLLWEPCTACCTCWVTSFFHLCPSVFRMEEQDNTAGKKLQHQTRAEA PGTKSWQSQAYTLGAISNFMSTFLTFPIYKVVFRQQIHAVALSEAVKQLWHEGPQYFYRG IYPPLLSKTLQGTLLFGTYDSLLCFLSPVGPHSLGQRWTAGLMSGVVEAVALSPFERVQN VLQDARKQACFPSTFSILKEFNSYGLWGRLSLGYYRGFWPVLVRNSLGSALYFSFKDPIQ DGLARQGLPHWVPALVSGSVNGTITCLILYPLMVLVANMQSHIGWQRMPSLWASAQDVWD TRGRKILLIYRGGSLIVLRSSVTWGLTTAIHDFLQRKAHTKKEMTD >ENSMUSP00000050337.5 pep:known chromosome:GRCm38:X:136982894:136993057:-1 gene:ENSMUSG00000044348.14 transcript:ENSMUST00000056674.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a53 description:solute carrier family 25, member 53 [Source:MGI Symbol;Acc:MGI:1914312] MEEQDNTAGKKLQHQTRAEAPGTKSWQSQAYTLGAISNFMSTFLTFPIYKVVFRQQIHAV ALSEAVKQLWHEGPQYFYRGIYPPLLSKTLQGTLLFGTYDSLLCFLSPVGPHSLGQRWTA GLMSGVVEAVALSPFERVQNVLQDARKQACFPSTFSILKEFNSYGLWGRLSLGYYRGFWP VLVRNSLGSALYFSFKDPIQDGLARQGLPHWVPALVSGSVNGTITCLILYPL >ENSMUSP00000118095.1 pep:known chromosome:GRCm38:X:136983036:136993089:-1 gene:ENSMUSG00000044348.14 transcript:ENSMUST00000129807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a53 description:solute carrier family 25, member 53 [Source:MGI Symbol;Acc:MGI:1914312] MEEQDNTAGKKLQHQTRAEAPGTKSWQSQAYTLGAISNFMSTFLTFPIYKVVFRQQIHAV ALSEAVKQLWHEGPQYFYRGIYPPLLSKTLQGTLLFGTYDSLLCFLSPVGPHSLGQRWTA GLMSGVVEAVALSPFERVQNVLQDARKQACFPSTFSILKEFNSYGLWGRLSLGYYRGFWP VLVRN >ENSMUSP00000132572.1 pep:known chromosome:GRCm38:X:136981122:136993032:-1 gene:ENSMUSG00000044348.14 transcript:ENSMUST00000171738.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a53 description:solute carrier family 25, member 53 [Source:MGI Symbol;Acc:MGI:1914312] MEEQDNTAGKKLQHQTRAEAPGTKSWQSQAYTLGAISNFMSTFLTFPIYKVVFRQQIHAV ALSEAVKQLWHEGPQYFYRGIYPPLLSKTLQGTLLFGTYDSLLCFLSPVGPHSLGQRWTA GLMSGVVEAVALSPFERVQNVLQDARKQACFPSTFSILKEFNSYGLWGRLSLGYYRGFWP VLVRNSLGSALYFSFKDPIQDGLARQGLPHWVPALVSGSVNGTITCLILYPLMVLVANMQ SHIGWQRMPSLWASAQDVWDTRGRKILLIYRGGSLIVLRSSVTWGLTTAIHDFLQRKAHT KKEMTD >ENSMUSP00000108693.2 pep:known chromosome:GRCm38:X:136981122:137038278:-1 gene:ENSMUSG00000044348.14 transcript:ENSMUST00000113070.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a53 description:solute carrier family 25, member 53 [Source:MGI Symbol;Acc:MGI:1914312] MCRCTCCGSHVPLYLLWEPCTACCTCWVTSFFHLCPSVFRMEEQDNTAGKKLQHQTRAEA PGTKSWQSQAYTLGAISNFMSTFLTFPIYKVVFRQQIHAVALSEAVKQLWHEGPQYFYRG IYPPLLSKTLQGTLLFGTYDSLLCFLSPVGPHSLGQRWTAGLMSGVVEAVALSPFERVQN VLQDARKQACFPSTFSILKEFNSYGLWGRLSLGYYRGFWPVLVRNSLGSALYFSFKDPIQ DGLARQGLPHWVPALVSGSVNGTITCLILYPLMVLVANMQSHIGWQRMPSLWASAQDVWD TRGRKILLIYRGGSLIVLRSSVTWGLTTAIHDFLQRKAHTKKEMTD >ENSMUSP00000023882.7 pep:known chromosome:GRCm38:7:19024377:19054617:1 gene:ENSMUSG00000023118.15 transcript:ENSMUST00000023882.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sympk description:symplekin [Source:MGI Symbol;Acc:MGI:1915438] MASSSGDSVTRRSVASQFFTQEEGPSIDGMTTSERVVDLLNQAALITNDSKITVLKQVQE LIINKDPTLLDNFLDEIIAFQADKSIEVRKFVIGFIEEACKRDIELLLKLIANLNMLLRD ENVNVVKKAILTMTQLYKVALQWMVKSRVISDLQEACWDMVSSMAGEIILLLDSDNDGIR THAIKFVEGLIVTLSPRMADSEVPRRQEHDISLDRIPRDHPYIQYNVLWEEGKAAVEQLL KFMVHPAISSINLTTALGSLANIARQRPMFMSEVIQAYETLHANLPPTLAKSQVSSVRKN LKLHLLSVLKHPASLEFQAQITTLLVDLGTPQAEIARNMPSSKDSRKRPRDDTDSTLKKM KLEPNLGEDDEDKDLEPGPSGTSKASAQISGQSDTDITAEFLQPLLTPDNVANLVLISMV YLPETMPASFQAIYTPVESAGTEAQIKHLARLMATQMTAAGLGPGVEQTKQCKEEPKEEK VVKPESVLIKRRLSVQGQAISVVGSQSTMSPLEEEVPQAKRRPEPIIPVTQPRLAGAGGR KKIFRLSDVLKPLTDAQVEAMKLGAVKRILRAEKAVACSGAAQVRIKILASLVTQFDSGF KAEVLSFILEDVRARLDLAFAWLYQEYNAYLAAGTSGTLDKYEDCLICLLSGLQEKPDQK DGIFTKVVLEAPLITESALEVIRKYCEDESRAYLGMSTLGDLIFKRPSRQFQYLHVLLDL SSHEKDRVRSQALLFIKRMYEKEQLREYVEKFALNYLQLLVHPNPPSVLFGADKDTEVAA PWTEETVKQCLYLYLALLPQNHKLIHELAAVYTEAIADIKRTVLRVIEQPIRGMGMNSPE LLLLVENCPKGAETLVTRCLHSLTDKVPPSPELVKRVRDLYHKRLPDVRFLIPVLNGLEK KEVIQALPKLIKLNPIVVKEVFNRLLGTQHGEGNSALSPLNPGELLIALHNIDSVKCDMK SIIKATNLCFAERNVYTSEVLAVVMQQLMEQSPLPMLLMRTVIQSLTMYPRLGGFVMNIL ARLIMKQVWKYPKVWEGFIKCCQRTKPQSFQVILQLPPQQLGAVFDKCPELREPLLAHVR SFTPHQQAHIPNSIMTILEATGKQEPEVKEAPSGPLEEDDLEPLALALAPAPAPAPAPAP APAPAPRPPQDLIGLRLAQEKALKRQLEEEQKQKPTGIGAPAACVSSTPSVPAAARAGPT PAEEVMEYREEGPECETPAIFISMDDDSGLAETTLLDSSLEGPLPKEAAAVGSSSKDERS PQNLSHAVEEALKTSSPETREPESKGNS >ENSMUSP00000121540.1 pep:known chromosome:GRCm38:7:19024420:19035994:1 gene:ENSMUSG00000023118.15 transcript:ENSMUST00000153976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sympk description:symplekin [Source:MGI Symbol;Acc:MGI:1915438] MASSSGDSVTRRSVASQFFTQEEGPSIDGMTTSERVVDLLNQAALITNDSKITVLKQVQE LIINKDPTLLDNFLDEIIAFQADKSIEVRKFVIGFIEEACKRDIELLLKLIANLNMLLRD ENVNVVKKAILTMTQLYKVALQWMVKSRVISDLQEACWDMVSSMAGEIILLLDSDNDGIR THAIKFVEGLIVTLSPRM >ENSMUSP00000138740.1 pep:known chromosome:GRCm38:7:19024426:19051753:1 gene:ENSMUSG00000023118.15 transcript:ENSMUST00000146903.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sympk description:symplekin [Source:MGI Symbol;Acc:MGI:1915438] MASSSGDSVTRRSVASQFFTQEEGPSIDGMTTSERVVDLLNQAALITNDSKITVLKQVQE LIINKDPTLLDNFLDEIIAFQADKSIEVRKFVIGFIEEACKRDIELLLKLIANLNMLLRD ENVNVVKKAILTMTQLYKVALQWMVKSRVISDLQEACWDMVSSMAGEIILLLDSDNDGIR THAIKFVEGLIVTLSPRMADSEVPRRQEHDISLDRIPRDHPYIQYNSFMYPLGS >ENSMUSP00000115900.1 pep:known chromosome:GRCm38:7:19052404:19054618:1 gene:ENSMUSG00000023118.15 transcript:ENSMUST00000130328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sympk description:symplekin [Source:MGI Symbol;Acc:MGI:1915438] XSEVLAVVMQQLMEQSPLPMLLMRTVIQSLTMYPRLGGFVMNILARLIMKQVWKYPKVWE GFIKCCQRTKPQSFQVILQLPPQQLGAVFDKCPELREPLLAHVRSFTPHQPRNQGTREQG EQLTAAGVWGEGRGGTGPPGALP >ENSMUSP00000044627.7 pep:known chromosome:GRCm38:10:127190378:127195709:-1 gene:ENSMUSG00000040415.15 transcript:ENSMUST00000038217.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx3 description:deltex 3, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2135752] MSFVLSRMAACGGSCKNKVTVSKPVWDFLSKETPARLARLREEHRVSILIDGETSDIYVL QLSPQGPPPAPPNGLYLARKALKGLLKEAEKELKKAQRQGELMGCLALGGGGEHPELHRP GPPPLRAAPLLPPGARGLPPPPPPLPPPLPPRLREDAEEQETTCPICLGEIQNAKTLEKC RHSFCEGCITRALQVKKACPMCGRFYGQLVGNQPQNGRMLVSKDATLLLPSYEKYGTIVI QYVFPPGVQGAEHPNPGVRYPGTTRVAYLPDCPEGNKVLTLFRKAFDQRLTFTIGTSMTT GRPNVITWNDIHHKTSCTGGPQLFGYPDPTYLTRVQEELRAKGITDD >ENSMUSP00000114776.1 pep:known chromosome:GRCm38:10:127190378:127195709:-1 gene:ENSMUSG00000040415.15 transcript:ENSMUST00000130855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx3 description:deltex 3, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2135752] MPILSSSGSKMAACGGSCKNKVTVSKPVWDFLSKETPARLARLREEHRVSILIDGETSDI YVLQLSPQGPPPAPPNGLYLARKALKGLLKEAEKELKKAQRQGELMGCLALGGGGEHPEL HRPGPPPLRAAPLLPPGARGLPPPPPPLPPPLPPRLREDAEEQETTCPICLGEIQNAKTL EKCRHSFCEGCITRALQVKKACPMCGRFYGQLVGNQPQNGRMLVSKDATLLLPSYEKYGT IVIQYVFPPGVQGAEHPNPGVRYPGTTRVAYLPDCPEGNKVLTLFRKAFDQRLTFTIGTS MTTGRPNVITWNDIHHKTSCTGGPQLFGYPDPTYLTRVQEELRAKGITDD >ENSMUSP00000111937.1 pep:known chromosome:GRCm38:10:127190642:127195727:-1 gene:ENSMUSG00000040415.15 transcript:ENSMUST00000116229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx3 description:deltex 3, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2135752] MSFVLSRMAACGGSCKNKVTVSKPVWDFLSKETPARLARLREEHRVSILIDGETSDIYVL QLSPQGPPPAPPNGLYLARKALKGLLKEAEKELKKAQRQGELMGCLALGGGGEHPELHRP GPPPLRAAPLLPPGARGLPPPPPPLPPPLPPRLREDAEEQETTCPICLGEIQNAKTLEKC RHSFCEGCITRALQVKKACPMCGRFYGQLVGNQPQNGRMLVSKDATLLLPSYEKYGTIVI QYVFPPGVQGAEHPNPGVRYPGTTRVAYLPDCPEGNKVLTLFRKAFDQRLTFTIGTSMTT GRPNVITWNDIHHKTSCTGGPQLCDSSSSPSPWRLPFTISNLGEPSTLSVCFHPGPDAFS LTPPLPTQKAYSGWVILVLEVPPHGKWEGSEFGPN >ENSMUSP00000115302.1 pep:known chromosome:GRCm38:10:127190825:127194466:-1 gene:ENSMUSG00000040415.15 transcript:ENSMUST00000137151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx3 description:deltex 3, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2135752] MPILSSSGSKFGYPDPTYLTRVQEELRAKGITDD >ENSMUSP00000116510.1 pep:known chromosome:GRCm38:10:127193019:127195728:-1 gene:ENSMUSG00000040415.15 transcript:ENSMUST00000144322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx3 description:deltex 3, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2135752] MPILSSSGSKMAACGGSCKNKVTVSKPVWDFLSKETPARLARLREEHRVSILIDGETSDI YVLQLSPQGPPPAPPNGLYLARKALKGLLKEAEKELKKAQRQGELMGCLALGGGGE >ENSMUSP00000099096.3 pep:known chromosome:GRCm38:X:56346400:56365674:1 gene:ENSMUSG00000073176.4 transcript:ENSMUST00000101560.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp449 description:zinc finger protein 449 [Source:MGI Symbol;Acc:MGI:1925869] MAVALGCAIQASLNQGSVLQEYDTDCEVFRQRFRQFQYTEAAGPHEAFNKLWELCCQWLK PKMRSKEQILELLVLEQFLTILPTEIETWVREHCPDNRERVVSLIEDLQRELEIPEPQID MDDMLLEELAPVGTVPMPPNLHLEEPSLQVMEPVQEPPVPDAWIPQAGPQDLNYSADAEC QSFLDPGYQLPKLDMNFPLDHREEPWVKDLEDPKEMKQLLDSKIGFEMGIENEEDTSKEK KLETLYPFVVTLEGNALHGPILQKDYVQLENQWETSPEDLQTDLTKLVDPQNPTLGETPE SPSLEEPLTPKPPKKKSPGDKPHRCPQCGKCFARKSQLTGHQRIHSGEEPHKCPECGKRF LRSSDLYRHQRLHTGERPYECTVCKKRFTRRSHLLGHQRTHSEEETYKCLECGKTFCHGS SLKRHLKTHTGEKPHRCPNCGKSFSRLTALTLHQRTHTEERPFKCSYCGKSFRQRPSLVI HLRIHTGEKPYKCTHCSKSFRQRAGLIMHQVTHFRGLL >ENSMUSP00000052600.3 pep:known chromosome:GRCm38:7:30818348:30823775:-1 gene:ENSMUSG00000051314.11 transcript:ENSMUST00000053156.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ffar2 description:free fatty acid receptor 2 [Source:MGI Symbol;Acc:MGI:2441731] MTPDWHSSLILTAYILIFLTGLPANLLALRAFMGRVRQPQPAPVHILLLNLTLADLLLLL LLPFRIVEAASNFRWYLPKIVCALTGFGFYSSIYCSTWLLAGISMERYLGVAFPVQYKLS RRPLYGVIAALVAWIMSFGHCTIVIIVQYLNSTEQVGTENQITCYENFTQEQLDVVLPVR LELCLVLFFVPMAVTIFCYWRFVWIMLTQPHVGAQRRRRAVGLAVVTLLNFLVCFGPYNM SHLVGFYLRQSPSWRVEAVVFSSLNASLDPLLFYFSSSVVRRAFGKGLLLIRNPASSMLG RGAKETVEGTKMDRGGSQAEGVQSSEFVTE >ENSMUSP00000129398.1 pep:known chromosome:GRCm38:7:30819116:30821648:-1 gene:ENSMUSG00000051314.11 transcript:ENSMUST00000168528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ffar2 description:free fatty acid receptor 2 [Source:MGI Symbol;Acc:MGI:2441731] MTPDWHSSLILTAYILIFLTGLPANLLALRAFMGRVRQPQPAPVHILLLNLTLADLLLLL LLPFRIVEAASNFRWYLPKIVCALTGFGFYSSIYCSTWLLAGISMERYLGVAFPVQYKLS RRPLYGVIAALVAWIMSFGHCTIVIIVQYLNSTEQVGTENQITCYENFTQEQLDVVLPVR LELCLVLFFVPMAVTIFCYWRFVWIMLTQPHVGAQRRRRAVGLAVVTLLNFLVCFGPYNM SHLVGFYLRQSPSWRVEAVVFSSLNASLDPLLFYFSSSVVRRAFGKGLLLIRNPASSMLG RGAKETVEGTKMDRGGSQAEGVQSSEFVTE >ENSMUSP00000140493.1 pep:known chromosome:GRCm38:7:30819568:30821138:-1 gene:ENSMUSG00000051314.11 transcript:ENSMUST00000186339.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ffar2 description:free fatty acid receptor 2 [Source:MGI Symbol;Acc:MGI:2441731] MTPDWHSSLILTAYILIFLTGLPANLLALRAFMGRVRQPQPAPVHILLLNLTLADLLLLL LLPFRIVEAASNFRWYLPKIVCALTGFGFYSSIYCSTWLLAGISMERYLGVAFPVQYKLS RRPLYGVIAALVAWIMSFGHCTIVIIVQYLNSTEQVGTENQITCYENFTQEQLDVVLPVR LE >ENSMUSP00000140215.1 pep:known chromosome:GRCm38:7:30819686:30820977:-1 gene:ENSMUSG00000051314.11 transcript:ENSMUST00000186534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ffar2 description:free fatty acid receptor 2 [Source:MGI Symbol;Acc:MGI:2441731] MTPDWHSSLILTAYILIFLTGLPANLLALRAFMGRVRQPQPAPVHILLLNLTLADLLLLL LLPFRIVEAASNFRWYLPKIVCALTGFGFYSSIYCSTWLLAGISMERYLGVAFPVQYKLS RRPLYGVIAALVAWIMSFGHCT >ENSMUSP00000140484.1 pep:known chromosome:GRCm38:7:30819713:30821147:-1 gene:ENSMUSG00000051314.11 transcript:ENSMUST00000186059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ffar2 description:free fatty acid receptor 2 [Source:MGI Symbol;Acc:MGI:2441731] MTPDWHSSLILTAYILIFLTGLPANLLALRAFMGRVRQPQPAPVHILLLNLTLADLLLLL LLPFRIVEAASNFRWYLPKIVCALTGFGFYSSIYCSTWLLAGISMERYLGVAFPVQYKLS RRPLYGVIAALVA >ENSMUSP00000127758.1 pep:known chromosome:GRCm38:7:30818357:30821139:-1 gene:ENSMUSG00000051314.11 transcript:ENSMUST00000163504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ffar2 description:free fatty acid receptor 2 [Source:MGI Symbol;Acc:MGI:2441731] MTPDWHSSLILTAYILIFLTGLPANLLALRAFMGRVRQPQPAPVHILLLNLTLADLLLLL LLPFRIVEAASNFRWYLPKIVCALTGFGFYSSIYCSTWLLAGISMERYLGVAFPVQYKLS RRPLYGVIAALVAWIMSFGHCTIVIIVQYLNSTEQVGTENQITCYENFTQEQLDVVLPVR LELCLVLFFVPMAVTIFCYWRFVWIMLTQPHVGAQRRRRAVGLAVVTLLNFLVCFGPYNM SHLVGFYLRQSPSWRVEAVVFSSLNASLDPLLFYFSSSVVRRAFGKGLLLIRNPASSMLG RGAKETVEGTKMDRGGSQAEGVQSSEFVTE >ENSMUSP00000021046.5 pep:known chromosome:GRCm38:11:106216926:106249139:1 gene:ENSMUSG00000020705.6 transcript:ENSMUST00000021046.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx42 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 42 [Source:MGI Symbol;Acc:MGI:1919297] MNWNKGGPGTKRGFGFGGFAISAGKKEEAKLPQQSHSAFGAASSSSGFGKSAPPQLPSFY KIGSKRANFDEENAYFEDEEEDSSNVDLPYIPAENSPTRQQFHSKPADSDSDDDPLEAFM AEVEDQAARDMKRLEEKDKERKNVKGIRDDIEEEDDQEAYFRYMAENPTAGVVQEEEEDN LEYDSDGNPIAPSKKIIDPLPPIDHSEIDYPPFEKNFYNEHEEITNLTPQQLIDLRHKLN LRVSGAAPPRPGSSFAHFGFDEQLMHQIRKSEYTQPTPIQCQGVPVALSGRDMIGIAKTG SGKTAAFIWPMLIHIMDQKELEPGDGPIAVIVCPTRELCQQIHAECKRFGKAYNLRSVAV YGGGSMWEQAKALQEGAEIVVCTPGRLIDHVKKKATNLQRVSYLVFDEADRMFDMGFEYQ VRSIASHVRPDRQTLLFSATFRKKIEKLARDILIDPIRVVQGDIGEANEDVTQIVEILHS GPSKWNWLTRRLVEFTSSGSVLLFVTKKANAEELASNLKQEGHNLGLLHGDMDQSERNKV ISDFKKKDIPVLVATDVAARGLDIPSIKTVINYDVARDIDTHTHRIGRTGRAGEKGVAYT LLTPKDSNFAGDLVRNLEGANQHVSKELLDLAMQNAWFRKSRFKGGKGKKLNIGGGGLGY RERPGLGSENSDRGNNNNVMSNYEAYKPSTGAMGDRLTAMKAAFQSQYKSHFVAASLSNQ KAGTSSAGASGWTSAGSLNSVPTNSAQQGHNSPDNPMTSSTKNIPGFNNSGNISSAPVTY PSIGAQGVNNTASGNNSREGIGGGNGKRERYTENRGGSRHSHGDGGNRHGDGGRHGDGYR YPESGSRHTDGHRHGETRHGGSAGRHGESRGANDGRNGESRKEGFNRENKMDPKVDSSRM DKVDSKTDKTPDGFAVPEPPKRKKSRWDS >ENSMUSP00000073061.6 pep:known chromosome:GRCm38:X:36598206:36606079:1 gene:ENSMUSG00000006373.10 transcript:ENSMUST00000073339.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgrmc1 description:progesterone receptor membrane component 1 [Source:MGI Symbol;Acc:MGI:1858305] MAAEDVVATGADPSELEGGGLLHEIFTSPLNLLLLGLCIFLLYKIVRGDQPGASGDNDDD EPPPLPRLKRRDFTPAELRRFDGVQDPRILMAINGKVFDVTKGRKFYGPEGPYGVFAGRD ASRGLATFCLDKEALKDEYDDLSDLTPAQQETLSDWDSQFTFKYHHVGKLLKEGEEPTVY SDDEEPKDETARKNE >ENSMUSP00000106970.3 pep:known chromosome:GRCm38:2:91526762:91620664:1 gene:ENSMUSG00000040549.16 transcript:ENSMUST00000111338.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckap5 description:cytoskeleton associated protein 5 [Source:MGI Symbol;Acc:MGI:1923036] MGDDSEWLKLPVDQKCEHKLWKARLSGYEEALKIFQKIKDEKSPEWSKYLGLIKKFVTDS NAVVQLKGLEAALVYVENAHVAGKTTGEVVSGVVSKVFNQPKAKAKELGIEICLMYVEIE KGESVQEELLKGLDNKNPKIIVACIETLRKALSEFGSKIISLKPIIKVLPKLFESRDKAV RDEAKLFAIEIYRWNRDAVKHTLQNINSVQLKELEEEWVKLPTGAPKPSRFLRSQQELEA KLEQQQSAGGDAEGGGDDGDEVPQVDAYELLDAVEILSKLPKDFYDKIEAKKWQERKEAL EAVEVLVKNPKLEAGDYADLVKALKKVVGKDTNVMLVALAAKCLTGLAVGLRKKFGQYAG HVVPTILEKFKEKKPQVVQALQEAIDAIFLTTTLQNISEDVLAVMDNKNPTIKQQTSLFI ARSFRHCTSSTLPKSLLKPFCAALLKHINDSAPEVRDAAFEALGTALKVVGEKSVNPFLA DVDKLKLDRIKECSEKVELVHGKKSGLATEKKESKPLPGRAAASGAAGDKDTKDVSGPKP GPLKKTPTAKAGGPSKKGKTTAPGGSASAGTKNKKGLETKEIVEPELSIEVCEEKASAVL PPTCIQLLDSSNWKERLACMEEFQKAVELMERTEMPCQALVKMLAKKPGWKETNFQVMQM KLHIVALIAQKGNFSKTSAQIVLDGLVDKIGDVKCGNNAKEAMTAIAEACMLPWTAEQVM SMAFSQKNPKNQSETLNWLSNAIKEFGFSELNVKAFISNVKTALAATNPAVRTSAITLLG VMYLYVGPSLRMIFEDEKPALLSQIDAEFQKMQGQSPPAPTRGIAKHSTSATDEGEDGEE PGEGGNDVVDLLPRIEISDKITSELVSKIGDKNWKIRKEGLDEVAGIINEAKFIQPNIGE LPTALKGRLNDSNKILVQQTLNILQQLAVAMGANIRQHVKNLGIPVITVLGDSKNNVRAA ALATVNAWAEQTGMKEWLEGEDLSEELKKENPFLRQELLGWLAEKLPTLRSTPTDLILCV PHLYSCLEDRNGDVRKKAQDALPFFMMHLGYEKMAKATGKLKPTSKDQVLAMLEKAKANM PSKPAAPAKAMSKPMGGSAPAKTQPIPAPVEDSVSSTIEAKPDLKKAKAPGVSSKAKSVQ GKKVPSKTTLKEDDDKSGPIFIVVPNGKEQRMRDEKGLKVLKWNFTTPRDEYIEQLKTQM STCVAKWLQDEMFHSDFQHHNKALAVMVDHLESEKDGVISCLDLILKWLTLRFFDTNTSV LMKALEYLKLLFTLLSEEEYHLTENEASSFIPYLILKVGEPKDVIRKDVRAILNRMCLVY PASKMFPFIMEGTKSKNSKQRAECLEELGCLIESYGMNVCQPTPGKALKEIAIHIGDRDN AVRNAALNTIVTVYNVHGDQVFKLIGNLSEKDMSMLEERIKRSAKRPSAAPVKQAEEKPQ RTQNINSNANMLRKGPAEDMSSKLNQARSLSGHPEAAQMVRREFQLDLDEIENDNGTVRC EMPELVQHKLDDIFEPVLIPEPKIRAVSPHFDDMHSNTASTINFIISQVASGDINTSIQA LTQIDEVLRQEDKAEAMSGHIDQFLIATFMQLRLIYSTHMADEKLDKDEIIKLYSCIIGN MISLFQIESLAREASTGVLKDLMHGLITLMLDSRIEDLEEGQQVIRSVNLLVVKVLEKSD QTNILSALLVLLQDSLLATASSPKFSELVMKCLWRMVRLLPDTINSINLDRILLDIHIFM KVFPKEKLKQCKSEFPIRTLKTLLHTLCKLKGPKILDHLTMIDNKNESELEAHLCRMMKH SMDQTGSKSDKETEKGASRIDEKSSKAKVNDFLAEIFKKIGSKENTKEGLAELYEYKKKY SDTDIEPFLKNSSQFFQSYVERGLRVIEMERESKGRIPTSTGISPQMEVTCVPTPTSTVS SLGNTNGEEVGPSVYLERLKILRQRCGLDNTKQDDRPPLTSLLSKPAIPPVASSTDMLHS KLSQLRESREQHQHSDLDSNQTHSAGTMTSSSSTTNIDDLKKRLERIKSSRK >ENSMUSP00000106969.2 pep:known chromosome:GRCm38:2:91546333:91620663:1 gene:ENSMUSG00000040549.16 transcript:ENSMUST00000111337.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckap5 description:cytoskeleton associated protein 5 [Source:MGI Symbol;Acc:MGI:1923036] MGDDSEWLKLPVDQKCEHKLWKARLSGYEEALKIFQKIKDEKSPEWSKYLGLIKKFVTDS NAVVQLKGLEAALVYVENAHVAGKTTGEVVSGVVSKVFNQPKAKAKELGIEICLMYVEIE KGESVQEELLKGLDNKNPKIIVACIETLRKALSEFGSKIISLKPIIKVLPKLFESRDKAV RDEAKLFAIEIYRWNRDAVKHTLQNINSVQLKELEEEWVKLPTGAPKPSRFLRSQQELEA KLEQQQSAGGDAEGGGDDGDEVPQVDAYELLDAVEILSKLPKDFYDKIEAKKWQERKEAL EAVEVLVKNPKLEAGDYADLVKALKKVVGKDTNVMLVALAAKCLTGLAVGLRKKFGQYAG HVVPTILEKFKEKKPQVVQALQEAIDAIFLTTTLQNISEDVLAVMDNKNPTIKQQTSLFI ARSFRHCTSSTLPKSLLKPFCAALLKHINDSAPEVRDAAFEALGTALKVVGEKSVNPFLA DVDKLKLDRIKECSEKVELVHGKKSGLATEKKESKPLPGRAAASGAAGDKDTKDVSGPKP GPLKKTPTAKAGGPSKKGKTTAPGGSASAGTKNKKGLETKEIVEPELSIEVCEEKASAVL PPTCIQLLDSSNWKERLACMEEFQKAVELMERTEMPCQALVKMLAKKPGWKETNFQVMQM KLHIVALIAQKGNFSKTSAQIVLDGLVDKIGDVKCGNNAKEAMTAIAEACMLPWTAEQVM SMAFSQKNPKNQSETLNWLSNAIKEFGFSELNVKAFISNVKTALAATNPAVRTSAITLLG VMYLYVGPSLRMIFEDEKPALLSQIDAEFQKMQGQSPPAPTRGIAKHSTSATDEGEDGEE PGEGGNDVVDLLPRIEISDKITSELVSKIGDKNWKIRKEGLDEVAGIINEAKFIQPNIGE LPTALKGRLNDSNKILVQQTLNILQQLAVAMGANIRQHVKNLGIPVITVLGDSKNNVRAA ALATVNAWAEQTGMKEWLEGEDLSEELKKENPFLRQELLGWLAEKLPTLRSTPTDLILCV PHLYSCLEDRNGDVRKKAQDALPFFMMHLGYEKMAKATGKLKPTSKDQVLAMLEKAKANM PSKPAAPAKAMSKPMGGSAPAKTQPIPAPVEDSVSSTIEAKPDLKKAKAPGVSSKAKSVQ GKKVPSKTTLKEDDDKSGPIFIVVPNGKEQRMRDEKGLKVLKWNFTTPRDEYIEQLKTQM STCVAKWLQDEMFHSDFQHHNKALAVMVDHLESEKDGVISCLDLILKWLTLRFFDTNTSV LMKALEYLKLLFTLLSEEEYHLTENEASSFIPYLILKVGEPKDVIRKDVRAILNRMCLVY PASKMFPFIMEGTKSKNSKQRAECLEELGCLIESYGMNVCQPTPGKALKEIAIHIGDRDN AVRNAALNTIVTVYNVHGDQVFKLIGNLSEKDMSMLEERIKRSAKRPSAAPVKQAEEKPQ RTQNINSNANMLRKGPAEDMSSKLNQARSLSGHPEAAQMVRREFQLDLDEIENDNGTVRC EMPELVQHKLDDIFEPVLIPEPKIRAVSPHFDDMHSNTASTINFIISQVASGDINTSIQA LTQLFQIESLAREASTGVLKDLMHGLITLMLDSRIEDLEEGQQVIRSVNLLVVKVLEKSD QTNILSALLVLLQDSLLATASSPKFSELVMKCLWRMVRLLPDTINSINLDRILLDIHIFM KVFPKEKLKQCKSEFPIRTLKTLLHTLCKLKGPKILDHLTMIDNKNESELEAHLCRMMKH SMDQTGSKSDKETEKGASRIDEKSSKAKVNDFLAEIFKKIGSKENTKEGLAELYEYKKKY SDTDIEPFLKNSSQFFQSYVERGLRVIEMERESKGRIPTSTGISPQMEVTCVPTPTSTVS SLGNTNGEEVGPSVYLERLKILRQRCGLDNTKQDDRPPLTSLLSKPAIPPVASSTDMLHS KLSQLRESREQHQHSDLDSNQTHSAGTMTSSSSTTNIDDLKKRLERIKSSRK >ENSMUSP00000097303.4 pep:known chromosome:GRCm38:2:91546322:91620662:1 gene:ENSMUSG00000040549.16 transcript:ENSMUST00000099716.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckap5 description:cytoskeleton associated protein 5 [Source:MGI Symbol;Acc:MGI:1923036] MGDDSEWLKLPVDQKCEHKLWKARLSGYEEALKIFQKIKDEKSPEWSKYLGLIKKFVTDS NAVVQLKGLEAALVYVENAHVAGKTTGEVVSGVVSKVFNQPKAKAKELGIEICLMYVEIE KGESVQEELLKGLDNKNPKIIVACIETLRKALSEFGSKIISLKPIIKVLPKLFESRDKAV RDEAKLFAIEIYRWNRDAVKHTLQNINSVQLKELEEEWVKLPTGAPKPSRFLRSQQELEA KLEQQQSAGGDAEGGGDDGDEVPQVDAYELLDAVEILSKLPKDFYDKIEAKKWQERKEAL EAVEVLVKNPKLEAGDYADLVKALKKVVGKDTNVMLVALAAKCLTGLAVGLRKKFGQYAG HVVPTILEKFKEKKPQVVQALQEAIDAIFLTTTLQNISEDVLAVMDNKNPTIKQQTSLFI ARSFRHCTSSTLPKSLLKPFCAALLKHINDSAPEVRDAAFEALGTALKVVGEKSVNPFLA DVDKLKLDRIKECSEKVELVHGKKSGLATEKKESKPLPGRAAASGAAGDKDTKDVSGPKP GPLKKTPTAKAGGPSKKGKTTAPGGSASAGTKNKKGLETKEIVEPELSIEVCEEKASAVL PPTCIQLLDSSNWKERLACMEEFQKAVELMERTEMPCQALVKMLAKKPGWKETNFQVMQM KLHIVALIAQKGNFSKTSAQIVLDGLVDKIGDVKCGNNAKEAMTAIAEACMLPWTAEQVM SMAFSQKNPKNQSETLNWLSNAIKEFGFSELNVKAFISNVKTALAATNPAVRTSAITLLG VMYLYVGPSLRMIFEDEKPALLSQIDAEFQKMQGQSPPAPTRGIAKHSTSATDEGEDGEE PGEGGNDVVDLLPRIEISDKITSELVSKIGDKNWKIRKEGLDEVAGIINEAKFIQPNIGE LPTALKGRLNDSNKILVQQTLNILQQLAVAMGANIRQHVKNLGIPVITVLGDSKNNVRAA ALATVNAWAEQTGMKEWLEGEDLSEELKKENPFLRQELLGWLAEKLPTLRSTPTDLILCV PHLYSCLEDRNGDVRKKAQDALPFFMMHLGYEKMAKATGKLKPTSKDQVLAMLEKAKANM PSKPAAPAKAMSKPMGGSAPAKTQPIPAPVEDSVSSTIEAKPDLKKAKAPGVSSKAKSVQ GKKVPSKTTLKEDDDKSGPIFIVVPNGKEQRMRDEKGLKVLKWNFTTPRDEYIEQLKTQM STCVAKWLQDEMFHSDFQHHNKALAVMVDHLESEKDGVISCLDLILKWLTLRFFDTNTSV LMKALEYLKLLFTLLSEEEYHLTENEASSFIPYLILKVGEPKDVIRKDVRAILNRMCLVY PASKMFPFIMEGTKSKNSKQRAECLEELGCLIESYGMNVCQPTPGKALKEIAIHIGDRDN AVRNAALNTIVTVYNVHGDQVFKLIGNLSEKDMSMLEERIKRSAKRPSAAPVKQAEEKPQ RTQNINSNANMLRKGPAEDMSSKLNQARSLSGHPEAAQMVRREFQLDLDEIENDNGTVRC EMPELVQHKLDDIFEPVLIPEPKIRAVSPHFDDMHSNTASTINFIISQVASGDINTSIQA LTQIDEVLRQEDKAEAMSGHIDQFLIATFMQLRLIYSTHMADEKLDKDEIIKLYSCIIGN MISLFQIESLAREASTGVLKDLMHGLITLMLDSRIEDLEEGQQVIRSVNLLVVKVLEKSD QTNILSALLVLLQDSLLATASSPKFSELVMKCLWRMVRLLPDTINSINLDRILLDIHIFM KVFPKEKLKQCKSEFPIRTLKTLLHTLCKLKGPKILDHLTMIDNKNESELEAHLCRMMKH SMDQTGSKSDKETEKGASRIDEKSSKAKVNDFLAEIFKKIGSKENTKEGLAELYEYKKKY SDTDIEPFLKNSSQFFQSYVERGLRVIEMERESKGRIPTSTGISPQMEVTCVPTPTSTVS SLGNTNGEEVGPSVYLERLKILRQRCGLDNTKQDDRPPLTSLLSKPAIPPVASSTDMLHS KLSQLRESREQHQHSDLDSNQTHSAGTMTSSSSTTNIDDLKKRLERIKSSRK >ENSMUSP00000046263.9 pep:known chromosome:GRCm38:2:91546322:91620662:1 gene:ENSMUSG00000040549.16 transcript:ENSMUST00000046769.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckap5 description:cytoskeleton associated protein 5 [Source:MGI Symbol;Acc:MGI:1923036] MGDDSEWLKLPVDQKCEHKLWKARLSGYEEALKIFQKIKDEKSPEWSKYLGLIKKFVTDS NAVVQLKGLEAALVYVENAHVAGKTTGEVVSGVVSKVFNQPKAKAKELGIEICLMYVEIE KGESVQEELLKGLDNKNPKIIVACIETLRKALSEFGSKIISLKPIIKVLPKLFESRDKAV RDEAKLFAIEIYRWNRDAVKHTLQNINSVQLKELEEEWVKLPTGAPKPSRFLRSQQELEA KLEQQQSAGGDAEGGGDDGDEVPQVDAYELLDAVEILSKLPKDFYDKIEAKKWQERKEAL EAVEVLVKNPKLEAGDYADLVKALKKVVGKDTNVMLVALAAKCLTGLAVGLRKKFGQYAG HVVPTILEKFKEKKPQVVQALQEAIDAIFLTTTLQNISEDVLAVMDNKNPTIKQQTSLFI ARSFRHCTSSTLPKSLLKPFCAALLKHINDSAPEVRDAAFEALGTALKVVGEKSVNPFLA DVDKLKLDRIKECSEKVELVHGKKSGLATEKKESKPLPGRAAASGAAGDKDTKDVSGPKP GPLKKTPTAKAGGPSKKGKTTAPGGSASAGTKNKKGLETKEIVEPELSIEVCEEKASAVL PPTCIQLLDSSNWKERLACMEEFQKAVELMERTEMPCQALVKMLAKKPGWKETNFQVMQM KLHIVALIAQKGNFSKTSAQIVLDGLVDKIGDVKCGNNAKEAMTAIAEACMLPWTAEQVM SMAFSQKNPKNQSETLNWLSNAIKEFGFSELNVKAFISNVKTALAATNPAVRTSAITLLG VMYLYVGPSLRMIFEDEKPALLSQIDAEFQKMQGQSPPAPTRGIAKHSTSATDEGEDGEE PGEGGNDVVDLLPRIEISDKITSELVSKIGDKNWKIRKEGLDEVAGIINEAKFIQPNIGE LPTALKGRLNDSNKILVQQTLNILQQLAVAMGANIRQHVKNLGIPVITVLGDSKNNVRAA ALATVNAWAEQTGMKEWLEGEDLSEELKKENPFLRQELLGWLAEKLPTLRSTPTDLILCV PHLYSCLEDRNGDVRKKAQDALPFFMMHLGYEKMAKATGKLKPTSKDQVLAMLEKAKANM PSKPAAPAKAMSKPMGGSAPAKTQPIPAPVEDSVSSTIEAKPDLKKAKAPGVSSKAKSVQ GKKVPSKTTLKEDDDKSGPIFIVVPNGKEQRMRDEKGLKVLKWNFTTPRDEYIEQLKTQM STCVAKWLQDEMFHSDFQHHNKALAVMVDHLESEKDGVISCLDLILKWLTLRFFDTNTSV LMKALEYLKLLFTLLSEEEYHLTENEASSFIPYLILKVGEPKDVIRKDVRAILNRMCLVY PASKMFPFIMEGTKSKNSKQRAECLEELGCLIESYGMNVCQPTPGKALKEIAIHIGDRDN AVRNAALNTIVTVYNVHGDQVFKLIGNLSEKDMSMLEERIKRSAKRPSAAPVKQAEEKPQ RTQNINSNANMLRKGPAEDMSSKLNQARSLSGHPEAAQMVRREFQLDLDEIENDNGTVRC EMPELVQHKLDDIFEPVLIPEPKIRAVSPHFDDMHSNTASTINFIISQVASGDINTSIQA LTQIDEVLRQEDKAEAMSGHIDQFLIATFMQLRLIYSTHMADEKLDKDEIIKLYSCIIGN MISLFQIESLAREASTGVLKDLMHGLITLMLDSRIEDLEEGQQVIRSVNLLVVKVLEKSD QTNILSALLVLLQDSLLATASSPKFSELVMKCLWRMVRLLPDTINSINLDRILLDIHIFM KVFPKEKLKQCKSEFPIRTLKTLLHTLCKLKGPKILDHLTMIDNKNESELEAHLCRMMKH SMDQTGSKSDKETEKGASRIDEKSSKAKVNDFLAEIFKKIGSKENTKEGLAELYEYKKKY SDTDIEPFLKNSSQFFQSYVERGLRVIEMERESKGRIPTSTGNTNGEEVGPSVYLERLKI LRQRCGLDNTKQDDRPPLTSLLSKPAIPPVASSTDMLHSKLSQLRESREQHQHSDLDSNQ THSAGTMTSSSSTTNIDDLKKRLERIKSSRK >ENSMUSP00000136363.1 pep:known chromosome:GRCm38:8:11497506:11513287:1 gene:ENSMUSG00000031505.10 transcript:ENSMUST00000177955.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carkd description:carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1913353] MGVRCVAIRACGGVLQRALSLHTAHATKDMENLFQLVRNIVPALTSKKHKGQDGRIGIVG GCQEYTGAPYFAGISALKVGADLTHVFCAREAAPVIKSYSPELIVHPVLDSSNAVEEVEK WLPRLHALVVGPGLGRDDLLLNNVRGILESTKARDIPVVIDADGLWLVAQQPALIHSYHK AILTPNHVEFSRLWEAVLSSPMDSNDLKGSTLKLSQALGNITVVQKGEQDLISNGQQVLV CNQEGSSRRCGGQGDLLSGSLGVMVHWALRAGPEKTNGSSPLLVAAWGACTLTRECNRQA FQKYGRSTTTTDMITEVGTAFSRLFTT >ENSMUSP00000137488.1 pep:known chromosome:GRCm38:8:11497567:11514960:1 gene:ENSMUSG00000031505.10 transcript:ENSMUST00000178817.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Carkd description:carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1913353] MGVRCVAIRACGGVLQRALSLHTAHATKDMENLFQLVRNIVPALTSKKHKGQDGRIGIVG GCQEYTGAPYFAGISALKV >ENSMUSP00000033901.4 pep:known chromosome:GRCm38:8:11497568:11513287:1 gene:ENSMUSG00000031505.10 transcript:ENSMUST00000033901.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carkd description:carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1913353] MGVRCVAIRACGGGKIDPGVPRMAVHACGAAAAVVALLSAAIALQWSPLYAVLQRALSLH TAHATKDMENLFQLVRNIVPALTSKKHKGQDGRIGIVGGCQEYTGAPYFAGISALKVGAD LTHVFCAREAAPVIKSYSPELIVHPVLDSSNAVEEVEKWLPRLHALVVGPGLGRDDLLLN NVRGILESTKARDIPVVIDADGLWLVAQQPALIHSYHKAILTPNHVEFSRLWEAVLSSPM DSNDLKGSTLKLSQALGNITVVQKGEQDLISNGQQVLVCNQEGSSRRCGGQGDLLSGSLG VMVHWALRAGPEKTNGSSPLLVAAWGACTLTRECNRQAFQKYGRSTTTTDMITEVGTAFS RLFTT >ENSMUSP00000136535.1 pep:known chromosome:GRCm38:8:11497584:11513287:1 gene:ENSMUSG00000031505.10 transcript:ENSMUST00000178721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carkd description:carbohydrate kinase domain containing [Source:MGI Symbol;Acc:MGI:1913353] MGVRCVAIRACGGVLQRALSLHTAHATKDMENLFQLVRNIVPALTSKKHKGQDGRIGIVG GCQEYTGAPYFAGISALKVGADLTHVFCAREAAPVIKSYSPELIVHPVLDSSNAVEEVEK WLPRLHALVVGPGLGRDDLLLNNVRGILESTKARDIPVVIDADGLWLVAQQPALIHSYHK AILTPNHVEFSRLWEAVLSSPMDSNDLKGSTLKLSQALGNITVVQKGEQDLISNGQQAPA HSWWLPGAPAHSQGSVTGRPSRSTGALQPLPT >ENSMUSP00000124382.1 pep:known chromosome:GRCm38:16:8689595:8792308:-1 gene:ENSMUSG00000022710.17 transcript:ENSMUST00000160405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp7 description:ubiquitin specific peptidase 7 [Source:MGI Symbol;Acc:MGI:2182061] MASSTSPPRSPSGRILTQDTIYFPQSNIISELLPWYLRYTPPEVPSTSVITKFILVNCPW NEGIEYQAGDTDDPPRITQNPVINGNVTLSDGHSNAEEDMEDDTSWRSEATFQFTVERFS RLSESVLSPPCFVRNLPWKIMVMPRFYPDRPHQKSVGFFLQCNAESDSTSWSCHAQAVLK IINYRDDDKSFSRRISHLFFHKENDWGFSNFMAWSEVTDPEKGFIDDDKVTFEVFVQADA PHGVAWDSKKHTGYVGLKNQGATCYMNSLLQTLFFTNQLRKAVYMMPTEGDDSSKSVPLA LQRVFYELQHSDKPVGTKKLTKSFGWETLDSFMQHDVQELCRVLLDNVENKMKGTCVEGT IPKLFRGKMVSYIQCKDVDYRSDRREDYYDIQLSIKGKKNIFESFVDYVAVEQLDGDNKY DAGEHGLQEAEKGVKFLTLPPVLHLQLMRFMYDPQTDQNIKINDRFEFPEQLPLDEFLQK TDPKDPANYILHAVLVHSGDNHGGHYVVYLNPKGDGKWCKFDDDVVSRCTKEEAIEHNYG GHDDDLSVRHCTNAYMLVYIRESKLSEVLQAVTDHDIPQQLVERLQEEKRIEAQKRKERQ EAHLYMQVQIVAEDQFCGHQGNDMYDEEKVRYTVFKVLKNSSLAEFVQSLSQTMGFPQDQ IRLWPMQARSNGTKRPAMLDNEADGNKTMIELSDNENPWTIFLETVDPELAASGATLPKF DKDHDVMLFLKMYDPKTRSLNYCGHIYTPISCKIRDLLPVMCDRAGFIQDTSLILYEEVK PNLTERIQDYDVSLDKALDELMDGDIIVFQKDDPENDNSELPTAKEYFRDLYHRVDVIFC DKTIPNDPGFVVTLSNRMNYFQVAKTVAQRLNTDPMLLQFFKSQGYRDGPGNPLRHNYEG TLRDLLQFFKPRQPKKLYYQQLKMKITDFENRRSFKCIWLNSQFREEEITLYPDKHGCVR DLLEECKKAVELGDKASGRLRLLEIVSYKIIGVHQEDELLECLSPATSRTFRIEEIPLDQ VDIDKENEMLITVAHFHKEVFGTFGIPFLLRIHQGEHFREVMKRIQSLLDIQEKEFEKFK FAIVMMGRHQYINEDEYEVNLKDFEPQPGNMSHPRPWLGLDHFNKAPKRSRYTYLEKAIK IHN >ENSMUSP00000124576.1 pep:known chromosome:GRCm38:16:8689600:8738420:-1 gene:ENSMUSG00000022710.17 transcript:ENSMUST00000160326.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp7 description:ubiquitin specific peptidase 7 [Source:MGI Symbol;Acc:MGI:2182061] MNHQQQQQQQQKAGEQQLSEPEDMEMEAGDTDDPPRITQNPVINGNVTLSDGHSNAEEDM EDDTSWRSEATFQFTVERFSRLSRGPATHKQC >ENSMUSP00000124093.2 pep:known chromosome:GRCm38:16:8690146:8738342:-1 gene:ENSMUSG00000022710.17 transcript:ENSMUST00000161046.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp7 description:ubiquitin specific peptidase 7 [Source:MGI Symbol;Acc:MGI:2182061] MNHQQQQQQQQKAGEQQLSEPEDMEMEAGDTDDPPRITQNPVINGNVTLSDGHSNAEEDM EDDTSWRSEATFQFTVERFSRLSESVLSPPCFVRNLPWKIMVMPRFYPDRPHQKSVGFFL QCNAESDSTSWSCHAQAVLKIINYRDDDKSFSRRISHLFFHKENDWGFSNFMAWSEVTDP EKGFIDDDKVTFEVFVQADAPHGVAWDSKKHTGYVGLKNQGATCYMNSLLQTLFFTNQLR KAVYMMPTEGDDSSKSVPLALQRVFYELQHSDKPVGTKKLTKSFGWETLDSFMQHDVQEL CRVLLDNVENKMKGTCVEGTIPKLFRGKMVSYIQCKDVDYRSDRREDYYDIQLSIKGKKN IFESFVDYVAVEQLDGDNKYDAGEHGLQEAEKGVKFLTLPPVLHLQLMRFMYDPQTDQNI KINDRFEFPEQLPLDEFLQKTDPKDPANYILHAVLVHSGDNHGGHYVVYLNPKGDGKWCK FDDDVVSRCTKEEAIEHNYGGHDDDLSVRHCTNAYMLVYIRESKLSEVLQAVTDHDIPQQ LVERLQEEKRIEAQKRKERQEAHLYMQVQIVAEDQFCGHQGNDMYDEEKVRYTVFKVLKN SSLAEFVQSLSQTMGFPQDQIRLWPMQARSNGTKRPAMLDNEADGNKTMIELSDNENPWT IFLETVDPELAASGATLPKFDKDHDVMLFLKMYDPKTRSLNYCGHIYTPISCKIRDLLPV MCDRAGFIQDTSLILYEEVKPNLTERIQDYDVSLDKALDELMDGDIIVFQKDDPENDNSE LPTAKEYFRDLYHRVDVIFCDKTIPNDPGFVVTLSNRMNYFQVAKTVAQRLNTDPMLLQF FKSQGYRDGPGNPLRHNYEGTLRDLLQFFKPRQPKKLYYQQLKMKITDFENRRSFKCIWL NSQFREEEITLYPDKHGCVRDLLEECKKAVELGDKASGRLRLLEIVSYKIIGVHQEDELL ECLSPATSRTFRIEEIPLDQVDIDKENEMLITVAHFHKEVFGTFGIPFLLRIHQGEHFRE VMKRIQSLLDIQEKEFEKFKFAIVMMGRHQYINEDEYEVNLKDFEPQPGNMSHPRPWLGL DHFNKAPKRSRYTYLEKAIKIHN >ENSMUSP00000133398.1 pep:known chromosome:GRCm38:16:8697651:8706729:-1 gene:ENSMUSG00000022710.17 transcript:ENSMUST00000172505.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp7 description:ubiquitin specific peptidase 7 [Source:MGI Symbol;Acc:MGI:2182061] AVYMMPTEGDDSSKSVPLALQRVFYELQHSDKPVGTKKLTKSFGWETLDSFMQHDVQELC RVLLDNVENKMKGTCVEGTIPKLFRGKMVSYIQCKDVDYRSDRREDYYDIQLSIKGKKNI FESFVDYVAVEQLDGDNKYDAGEHGLQEAEKGVKFLTLPPVLHLQLMRFMYDPQTDQNIK INDRFEFPEQLPLDEFLQKTDPKDPANYILHAVLVHSGDNHGGHYVVYLNPKGDGKWCKF DDDVVSRCTKEEAIEHNYGGHDDDLSVRHCTNAYMLVYIRESKLTVGGTIARREKDRGSE AEGAAGSPSLHASADSCRGPVLWPPRK >ENSMUSP00000103012.1 pep:known chromosome:GRCm38:11:100476353:100528000:-1 gene:ENSMUSG00000020917.17 transcript:ENSMUST00000107389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acly description:ATP citrate lyase [Source:MGI Symbol;Acc:MGI:103251] MSAKAISEQTGKELLYKYICTTSAIQNRFKYARVTPDTDWAHLLQDHPWLLSQSLVVKPD QLIKRRGKLGLVGVNLSLDGVKSWLKPRLGHEATVGKAKGFLKNFLIEPFVPHSQAEEFY VCIYATREGDYVLFHHEGGVDVGDVDAKAQKLLVGVDEKLNTEDIKRHLLVHAPEDKKEV LASFISGLFNFYEDLYFTYLEINPLVVTKDGVYILDLAAKVDATADYICKVKWGDIEFPP PFGREAYPEEAYIADLDAKSGASLKLTLLNPKGRIWTMVAGGGASVVYSDTICDLGGVNE LANYGEYSGAPSEQQTYDYAKTILSLMTREKHPEGKILIIGGSIANFTNVAATFKGIVRA IRDYQGPLKEHEVTIFVRRGGPNYQEGLRVMGEVGKTTGIPIHVFGTETHMTAIVGMALG HRPIPNQPPTAAHTANFLLNASGSTSTPAPSRTASFSESRADEVAPAKKAKPAMPQDSVP SPRSLQGKSATLFSRHTKAIVWGMQTRAVQGMLDFDYVCSRDEPSVAAMVYPFTGDHKQK FYWGHKEILIPVFKNMADAMKKHPEVDVLINFASLRSAYDSTMETMNYAQIRTIAIIAEG IPEALTRKLIKKADQKGVTIIGPATVGGIKPGCFKIGNTGGMLDNILASKLYRPGSVAYV SRSGGMSNELNNIISRTTDGVYEGVAIGGDRYPGSTFMDHVLRYQDTPGVKMIVVLGEIG GTEEYKICRGIKEGRLTKPVVCWCIGTCATMFSSEVQFGHAGACANQASETAVAKNQALK EAGVFVPRSFDELGEIIQSVYEDLVAKGAIVPAQEVPPPTVPMDYSWARELGLIRKPASF MTSICDERGQELIYAGMPITEVFKEEMGIGGVLGLLWFQRRLPKYSCQFIEMCLMVTADH GPAVSGAHNTIICARAGKDLVSSLTSGLLTIGDRFGGALDAAAKMFSKAFDSGIIPMEFV NKMKKEGKLIMGIGHRVKSINNPDMRVQILKDFVKQHFPATPLLDYALEVEKITTSKKPN LILNVDGFIGVAFVDMLRNCGSFTREEADEYVDIGALNGIFVLGRSMGFIGHYLDQKRLK QGLYRHPWDDISYVLPEHMSM >ENSMUSP00000007131.9 pep:known chromosome:GRCm38:11:100476356:100528000:-1 gene:ENSMUSG00000020917.17 transcript:ENSMUST00000007131.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acly description:ATP citrate lyase [Source:MGI Symbol;Acc:MGI:103251] MSAKAISEQTGKELLYKYICTTSAIQNRFKYARVTPDTDWAHLLQDHPWLLSQSLVVKPD QLIKRRGKLGLVGVNLSLDGVKSWLKPRLGHEATVGKAKGFLKNFLIEPFVPHSQAEEFY VCIYATREGDYVLFHHEGGVDVGDVDAKAQKLLVGVDEKLNTEDIKRHLLVHAPEDKKEV LASFISGLFNFYEDLYFTYLEINPLVVTKDGVYILDLAAKVDATADYICKVKWGDIEFPP PFGREAYPEEAYIADLDAKSGASLKLTLLNPKGRIWTMVAGGGASVVYSDTICDLGGVNE LANYGEYSGAPSEQQTYDYAKTILSLMTREKHPEGKILIIGGSIANFTNVAATFKGIVRA IRDYQGPLKEHEVTIFVRRGGPNYQEGLRVMGEVGKTTGIPIHVFGTETHMTAIVGMALG HRPIPNQPPTAAHTANFLLNASGSTSTPAPSRTASFSESRADEVAPAKKAKPAMPQGKSA TLFSRHTKAIVWGMQTRAVQGMLDFDYVCSRDEPSVAAMVYPFTGDHKQKFYWGHKEILI PVFKNMADAMKKHPEVDVLINFASLRSAYDSTMETMNYAQIRTIAIIAEGIPEALTRKLI KKADQKGVTIIGPATVGGIKPGCFKIGNTGGMLDNILASKLYRPGSVAYVSRSGGMSNEL NNIISRTTDGVYEGVAIGGDRYPGSTFMDHVLRYQDTPGVKMIVVLGEIGGTEEYKICRG IKEGRLTKPVVCWCIGTCATMFSSEVQFGHAGACANQASETAVAKNQALKEAGVFVPRSF DELGEIIQSVYEDLVAKGAIVPAQEVPPPTVPMDYSWARELGLIRKPASFMTSICDERGQ ELIYAGMPITEVFKEEMGIGGVLGLLWFQRRLPKYSCQFIEMCLMVTADHGPAVSGAHNT IICARAGKDLVSSLTSGLLTIGDRFGGALDAAAKMFSKAFDSGIIPMEFVNKMKKEGKLI MGIGHRVKSINNPDMRVQILKDFVKQHFPATPLLDYALEVEKITTSKKPNLILNVDGFIG VAFVDMLRNCGSFTREEADEYVDIGALNGIFVLGRSMGFIGHYLDQKRLKQGLYRHPWDD ISYVLPEHMSM >ENSMUSP00000103008.1 pep:known chromosome:GRCm38:11:100500579:100527869:-1 gene:ENSMUSG00000020917.17 transcript:ENSMUST00000107385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acly description:ATP citrate lyase [Source:MGI Symbol;Acc:MGI:103251] MSAKAISEQTGKELLYKYICTTSAIQNRFKYARVTPDTDWAHLLQDHPWLLSQSLVVKPD QLIKRRGKLGLVGVNLSLDGVKSWLKPRLGHEATVGKAKGFLKNFLIEPFVPHSQAEEFY VCIYATREGDYVLFHHEGGVDVGDVDAKAQKLLVGVDEKLNTEDIKRHLLVHAPEDKKEV LASFISGLFNFYEDLYFTYLEINPLVVTKDGVYILDLAAKVDATADYICKVKWGDIEFPP PFGREAYPEEAYIADLDAKSGASLKLTLLNPKGRIWTMVAGGGASVVYSDTICDLGGVNE LANYGEYSGAPSEQQTYDYAKTILSLMTREKHPEGKILIIGGSIANFTNVAATFKGIVRA IRDYQGPLKEHEVTIFVRRGGPNYQEGLRVMGEVGKTTGIPIHVFGTETHMTAIVGMALG HRPIPNQPPTAAHTANFLLNASGSTSTPAPSRTASFSESRADEVAPAKKAKPAMPQDSVP SPRSLQGHSGS >ENSMUSP00000127632.1 pep:known chromosome:GRCm38:11:100476358:100523598:-1 gene:ENSMUSG00000020917.17 transcript:ENSMUST00000165111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acly description:ATP citrate lyase [Source:MGI Symbol;Acc:MGI:103251] MSAKAISEQTGKELLYKYICTTSAIQNRFKYARVTPDTDWAHLLQDHPWLLSQSLVVKPD QLIKRRGKLGLVGVNLSLDGVKSWLKPRLGHEATVGKAKGFLKNFLIEPFVPHSQAEEFY VCIYATREGDYVLFHHEGGVDVGDVDAKAQKLLVGVDEKLNTEDIKRHLLVHAPEDKKEV LASFISGLFNFYEDLYFTYLEINPLVVTKDGVYILDLAAKVDATADYICKVKWGDIEFPP PFGREAYPEEAYIADLDAKSGASLKLTLLNPKGRIWTMVAGGGASVVYSDTICDLGGVNE LANYGEYSGAPSEQQTYDYAKTILSLMTREKHPEGKILIIGGSIANFTNVAATFKGIVRA IRDYQGPLKEHEVTIFVRRGGPNYQEGLRVMGEVGKTTGIPIHVFGTETHMTAIVGMALG HRPIPNQPPTAAHTANFLLNASGSTSTPAPSRTASFSESRADEVAPAKKAKPAMPQGKSA TLFSRHTKAIVWGMQTRAVQGMLDFDYVCSRDEPSVAAMVYPFTGDHKQKFYWGHKEILI PVFKNMADAMKKHPEVDVLINFASLRSAYDSTMETMNYAQIRTIAIIAEGIPEALTRKLI KKADQKGVTIIGPATVGGIKPGCFKIGNTGGMLDNILASKLYRPGSVAYVSRSGGMSNEL NNIISRTTDGVYEGVAIGGDRYPGSTFMDHVLRYQDTPGVKMIVVLGEIGGTEEYKICRG IKEGRLTKPVVCWCIGTCATMFSSEVQFGHAGACANQASETAVAKNQALKEAGVFVPRSF DELGEIIQSVYEDLVAKGAIVPAQEVPPPTVPMDYSWARELGLIRKPASFMTSICDERGQ ELIYAGMPITEVFKEEMGIGGVLGLLWFQRRLPKYSCQFIEMCLMVTADHGPAVSGAHNT IICARAGKDLVSSLTSGLLTIGDRFGGALDAAAKMFSKAFDSGIIPMEFVNKMKKEGKLI MGIGHRVKSINNPDMRVQILKDFVKQHFPATPLLDYALEVEKITTSKKPNLILNVDGFIG VAFVDMLRNCGSFTREEADEYVDIGALNGIFVLGRSMGFIGHYLDQKRLKQGLYRHPWDD ISYVLPEHMSM >ENSMUSP00000005751.6 pep:known chromosome:GRCm38:7:110868270:110982461:-1 gene:ENSMUSG00000005611.15 transcript:ENSMUST00000005751.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrvi1 description:MRV integration site 1 [Source:MGI Symbol;Acc:MGI:1338023] MPHIPEDEEPPGEPQAAQTQDSPSAGPFPSPPTIVLTGDASSPEGETDKNLVNRAPSPHR RLSHRHLKVSTASLTSVDPSGHVIDLVNDQLPDISISEEDKKKNLALLEEAKLVSERFLT RRGRKSRSSLGDSPSAVSPNLSSGASPASSRSCSLTISTSPGLDICSGPQSPLPGAPPQQ KGHEDGVSSPCPGEPNVSKGLADLKQNDQRKVSQGRLAPRSPTVEKTKELTVEQKENFDP LQHVEATPMAQASGASISGKMALNSPQPGPAEMELGRQLLKTAREGNPLPRTTAQGSGGT VSPHSLGQGSAGEPMGPKAGSKAELRSPVSRPPLIRGVSWDSSPEEPGPLLQKVLAKLPL AEEEKRFPGKAKPAKPPGLKDFQIQVQPVRMQKLTKLREEHILMRNQNLVGFKLPELSEA AEQDKGVSPELAPAAEEEESKSGLDVMPNISDILLRKLRVHKSLTGSAPPLTEKEVENVF VQLSLAFRNDSYTLESRINQAERERNLTEENTEKELENFKASITSSANIWYHCEHRETYQ KLLEDIAVLHRLAARLSSRAEVVGAVRQEKRMSKATEVMMQYVENLKRTYEKDHAELMEF KKLANQNSSRSCGPSEDGVPRTARSMSLTMGKNMPRRRVSVAVVPKFNALNLPGQAPSSS PMPSLPALSESSNGKSSISVSPALPALLENGKTNAEANCEVGAPVPLPSCLEETSQETKA KAEEEAYSKGYQEGVKKTEELQDLKEEEEEEQKTESPEEPEEVEETQEDEKDQGSSKLEE LVHFLQVMYPKLCQHWQVIWMMAAVMLVLSVVLGLYSSYNSCTEEADGPPGRSTCSAAQR DSWWSSGLQQELPAEQ >ENSMUSP00000114578.1 pep:known chromosome:GRCm38:7:110868272:110946187:-1 gene:ENSMUSG00000005611.15 transcript:ENSMUST00000125758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrvi1 description:MRV integration site 1 [Source:MGI Symbol;Acc:MGI:1338023] MQNQRAHLWLLDPGNGTQFGTGMGRSLTCPFGISPACGAQASWSIFGVGTAEVPGTHSHS NQAAAMPHIPEDEEPPGEPQAAQTQDSPSAGPFPSPPTIVLTGDASSPEGETDKNLVNRA PSPHRRLSHRHLKVSTASLTSVDPSGHVIDLVNDQLPDISISEEDKKKNLALLEEAKLVS ERFLTRRGRKSRSSLGDSPSAVSPNLSSGASPASSRSCSLTISTSPGLDICSGPQSPLPG APPQQKGHEDGVSSPCPGEPNVSKGLADLKQNDQRKVSQGRLAPRSPTVEKTKELTVEQK ENFDPLQHVEATPMAQASGASISGKMALNSPQPGPAEMELGRQLLKTAREGNPLPRTTAQ GSGGTVSPHSLGQGSAGEPMGPKAGSKAELRSPVSRPPLIRGVSWDSSPEEPGPLLQKVL AKLPLAEEEKRFPGKAKPAKPPGLKDFQIQVQPVRMQKLTKLREEHILMRNQNLVGFKLP ELSEAAEQDKGVSPELAPAAEEEESKSGLDVMPNISDILLRKLRVHKSLTGSAPPLTEKE VENVFVQLSLAFRNDSYTLESRINQAERERNLTEENTEKELENFKASITSSANIWYHCEH RETYQKLLEDIAVLHRLAARLSSRAEVVGAVRQEKRMSKATEVMMQYVENLKRTYEKDHA ELMEFKKLANQNSSRSCGPSEDGVPRTARSMSLTMGKNMPRRRVSVAVVPKFNALNLPGQ APSSSPMPSLPALSESSNGKSSISVSPALPALLENGKTNAEANCEVGAPVPLPSCLEETS QETKAKAEEEAYSKGYQEGVKKTEELQDLKEEEEEEQKTESPEEPEEVEETQEDEKDQGS SKLEELVHFLQVMYPKLCQHWQVIWMMAAVMLVLSVVLGLYSSYNSCTEEADGPPGRSTC SAAQRDSWWSSGLQQELPAEQ >ENSMUSP00000117880.1 pep:known chromosome:GRCm38:7:110923860:110982058:-1 gene:ENSMUSG00000005611.15 transcript:ENSMUST00000142368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrvi1 description:MRV integration site 1 [Source:MGI Symbol;Acc:MGI:1338023] MAQASGASISGKMALNSP >ENSMUSP00000120045.1 pep:known chromosome:GRCm38:7:110868266:110926403:-1 gene:ENSMUSG00000005611.15 transcript:ENSMUST00000127935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrvi1 description:MRV integration site 1 [Source:MGI Symbol;Acc:MGI:1338023] ERFLTRRGRKSRSSLGDSPSGLDICSGPQSPLPGAPPQQKGHEDGVSSPCPGEPNVSKGL ADLKQNDQRKVSQGRLAPRSPTVEKTKELTVEQKENFDPLQHVEATPMAQASGASISGKM ALNSPQPGPAEMELGRQLLKTAREGNPLPRTTAQGSGGTVSPHSLGQGSAGEPMGPKAGS KAELRSPVSRPPLIRGVSWDSSPEEPGPLLQKVLAKLPLAEEEKRFPGKAKPAKPPGLKD FQIQVQPVRMQKLTKLREEHILMRNQNLVGFKLPELSEAAEQDKGVSPELAPAAEEEESK SGLDVMPNISDILLRKLRVHKSLTGSAPPLTEKEVENVFVQLSLAFRNDSYTLESRINQA ERERNLTEENTEKELENFKASITSSANIWYHCEHRETYQKLLEDIAVLHRLAARLSSRAE VVGAVRQEKRMSKATEVMMQYVENLKRTYEKDHAELMEFKKLANQNSSRSCGPSEDGVPR TARSMSLTMGKNMPRRRVSVAVVPKFNALNLPGQAPSSSPMPSLPALSESSNGKSSISVS PALPALLENGKTNAEANCEVGAPVPLPSCLEETSQETKAKAEEEAYSKGYQEGVKKTEEL QDLKEEEEEEQKTESPEEPEEVEETQEDEKDQGSSKLEELVHFLQVMYPKLCQHWQVIWM MAAVMLVLSVVLGLYSSYNSCTEEADGPPGRSTCSAAQRDSWWSSGLQQELPAEQ >ENSMUSP00000120765.1 pep:known chromosome:GRCm38:7:110926385:110982204:-1 gene:ENSMUSG00000005611.15 transcript:ENSMUST00000154466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrvi1 description:MRV integration site 1 [Source:MGI Symbol;Acc:MGI:1338023] MPHIPEDEEPPGEPQAAQTQDSPSAGPFPSPPTIVLTGDASSPEGETDKNLVNRAPSPHR RLSHRHLKVSTASLTSVDPSGHVIDLVNDQLPDISISEEDKKKNLALLEEAKLVSERFLT R >ENSMUSP00000071744.1 pep:known chromosome:GRCm38:7:103383062:103384000:-1 gene:ENSMUSG00000059874.1 transcript:ENSMUST00000071844.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr603 description:olfactory receptor 603 [Source:MGI Symbol;Acc:MGI:3030437] MPSNNETASHPSLFHLLGIPGLEAFHIWIAFPFFVVYPIALVGNFTILCVIKNEQSLHQP MFYFLALLSFIDLGLSTSTIPKMLGIFWFNLREISFEGCLIQMFFIHTYTGMESVVLLAM AIDRFVAICYPLRYTSVLTNKVVAVMASVVIGRPVLLVIPFCPLLKRLPFCGHYIIPHTY CEHMGIARLACANIRINIIYGLFTIAALIFDLILIAFSYAWILQAVFRLPSRDARHKALS TCGSHVCVILAFYTPAFFSFMTHRFGRNVPRYIHILLANLYVVVPPCLNPVIYGVRTKQI REGVLKIFVKKE >ENSMUSP00000141652.1 pep:known chromosome:GRCm38:1:166201201:166238747:-1 gene:ENSMUSG00000040629.8 transcript:ENSMUST00000194057.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mael description:maelstrom homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2138453] MPNRRASRNAYYFFVQEKIPELRRRGLPVARVADAIPYCSADWALLREDEKEKYSEMARE WRAAQGKDSGPSEKQKLVSTPLRRPGMLVPKPSISPPDMSNLSIKSDQALLGGIFYFLNI FSHGELPPHCEQRFLPCEIGCVKYSLQEGIMADFHSFIHPGDSSHKIPISNFEFGHDQAT VLQNLYKFIHPNPGNWPPIYCKSDDRARVNWCLKRMERASEIRQDLELLTVEDLVVGIYQ QKFLKEPSKTWVRSLLDVAMWDYSSNTRCKWHEENDILFCALAVCKKIAYCISNSLATLF GIQLTGAHVPLQDYEASNSVTPKMVVLDAGRYQKLRVESPGFCHFNSYNQEQRSNTSTGY YPSGVKISGPHSSVRGRGITRLLESISNSSNNIHRFSSCETSLSPYTPQKDGYKPFSSFS >ENSMUSP00000045828.3 pep:known chromosome:GRCm38:1:166201385:166238744:-1 gene:ENSMUSG00000040629.8 transcript:ENSMUST00000038782.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mael description:maelstrom homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2138453] MPNRRASRNAYYFFVQEKIPELRRRGLPVARVADAIPYCSADWALLREDEKEKYSEMARE WRAAQGKDSGPSEKQKLVSTPLRRPGMLVPKPSISPPDMSNLSIKSDQALLGGIFYFLNI FSHGELPPHCEQRFLPCEIGCVKYSLQEGIMADFHSFIHPGEIPRGFRFHCQAASDSSHK IPISNFEFGHDQATVLQNLYKFIHPNPGNWPPIYCKSDDRARVNWCLKRMERASEIRQDL ELLTVEDLVVGIYQQKFLKEPSKTWVRSLLDVAMWDYSSNTRCKWHEENDILFCALAVCK KIAYCISNSLATLFGIQLTGAHVPLQDYEASNSVTPKMVVLDAGRYQKLRVESPGFCHFN SYNQEQRSNTSTGYYPSGVKISGPHSSVRGRGITRLLESISNSSNNIHRFSSCETSLSPY TPQKDGYKPFSSFS >ENSMUSP00000102780.1 pep:known chromosome:GRCm38:7:96171246:96908569:1 gene:ENSMUSG00000048078.16 transcript:ENSMUST00000107162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm4 description:teneurin transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:2447063] MDVKERKPYRSLTRRRDAERRYTSSSADSEEGKGPQKSYSSSETLKAYDQDARLAYGSRV KDMVPQEAEEFCRTGTNFTLRELGLGEMTPPHGTLYRTDIGLPHCGYSMGASSDADLEAD TVLSPEHPVRLWGRSTRSGRSSCLSSRANSNLTLTDTEHENTETGAPLHCSSASSTPIEQ SPSPPPSPPANESQRRLLGNGVAQPTPDSDSEEEFVPNSFLVKSGSASLGVAANDHPSSL QNHPRLRTPPPPLPHAHTPNQHHAASINSLNRGNFTPRSNPSPAPTDHSLSGEPPAGSAQ EPTHAQDNWLLNSNIPLETRNLGKQPFLGTLQDNLIEMDILSASRHDGAYSDGHFLFKPG GTSPLFCTTSPGYPLTSSTVYSPPPRPLPRSTFSRPAFNLKKPSKYCNWKCAALSAILIS ATLVILLAYFVAMHLFGLNWHLQPMEGQMQMYEITEDTASSWPVPTDVSLYPSGGTGLET PDRKGKGAAEGKPSSLFPEDSFIDSGEIDVGRRASQKIPPGTFWRSQVFIDHPVHLKFNV SLGKAALVGIYGRKGLPPSHTQFDFVELLDGRRLLTQEARSLEGPQRQSRGPVPPSSHET GFIQYLDSGIWHLAFYNDGKESEVVSFLTTAIESVDNCPSNCYGNGDCISGTCHCFLGFL GPDCGRASCPVLCSGNGQYMKGRCLCHSGWKGAECDVPTNQCIDVACSSHGTCIMGTCIC NPGYKGESCEEVDCMDPTCSSRGVCVRGECHCSVGWGGTNCETPRATCLDQCSGHGTFLP DTGLCNCDPSWTGHDCSIEICAADCGGHGVCVGGTCRCEDGWMGAACDQRACHPRCAEHG TCRDGKCECSPGWNGEHCTIEGCPGLCNGNGRCTLDLNGWHCVCQLGWRGTGCDTSMETG CGDGKDNDGDGLVDCMDPDCCLQPLCHVNPLCLGSPDPLDIIQETQAPVSQQNLNSFYDR IKFLVGRDSTHSIPGENPFDGGHACVIRGQVMTSDGTPLVGVNISFINNPLFGYTISRQD GSFDLVTNGGISIILRFERAPFITQEHTLWLPWDRFFVMETIVMRHEENEIPSCDLSNFA RPNPVVSPSPLTSFASSCAEKGPIVPEIQALQEEIVIAGCKMRLSYLSSRTPGYKSVLRI SLTHPTIPFNLMKVHLMVAVEGRLFRKWFAAAPDLSYYFIWDKTDVYNQKVFGLSEAFVS VGYEYESCPDLILWEKRTAVLQGYEIDASKLGGWSLDKHHALNIQSGILHKGNGENQFVS QQPPVIGSIMGNGRRRSISCPSCNGLADGNKLLAPVALTCGSDGSLYVGDFNYIRRIFPS GNVTNILEMSHSPAHKYYLATDPMSGAVFLSDTNSRRVFKVKSTTVVKDLVKNSEVVAGT GDQCLPFDDTRCGDGGKATEATLTNPRGITVDKFGLIYFVDGTMIRRVDQNGIISTLLGS NDLTSARPLSCDSVMEISQVRLEWPTDLAINPMDNSLYVLDNNVVLQISENHQVRIVAGR PMHCQVPGIDHFLLSKVAIHATLESATALAVSHNGVLYIAETDEKKINRIRQVTTSGEIS LVAGAPSGCDCKNDANCDCFSGDDGYAKDAKLNTPSSLAVCADGELYVADLGNIRIRFIR KNKPFLNTQNMYELSSPIDQELYLFDTSGKHLYTQSLPTGDYLYNFTYTGDGDITHITDN NGNMVNVRRDSTGMPLWLVVPDGQVYWVTMGTNSALRSVTTQGHELAMMTYHGNSGLLAT KSNENGWTTFYEYDSFGRLTNVTFPTGQVSSFRSDTDSSVHVQVETSSKDDVTITTNLSA SGAFYTLLQDQVRNSYYIGADGSLRLLLANGMEVALQTEPHLLAGTVNPTVGKRNVTLPI DNGLNLVEWRQRKEQARGQVTVFGRRLRVHNRNLLSLDFDRVTRTEKIYDDHRKFTLRIL YDQAGRPSLWSPSSRLNGVNVTYSPGGHIAGIQRGIMSERMEYDQAGRITSRIFADGKMW SYTYLEKSMVLHLHSQRQYIFEFDKNDRLSSVTMPNVARQTLETIRSVGYYRNIYQPPEG NASVIQDFTEDGHLLHTFYLGTGRRVIYKYGKLSKLAETLYDTTKVSFTYDETAGMLKTV NLQNEGFTCTIRYRQIGPLIDRQIFRFTEEGMVNARFDYNYDNSFRVTSMQAVINETPLP IDLYRYDDVSGKTEQFGKFGVIYYDINQIITTAVMTHTKHFDAYGRMKEVQYEIFRSLMY WMTVQYDNMGRVVKKELKVGPYANTTRYSYEYDADGQLQTVSINDKPLWRYSYDLNGNLH LLSPGNSARLTPLRYDLRDRITRLGDVQYKMDEDGFLRQRGGDVFEYNSAGLLIKAYNRA SGWSVRYRYDGLGRRVSSKSSHSHHLQFFYADLTNPTKVTHLYNHSSSEITSLYYDLQGH LFAMELSSGDEFYIACDNIGTPLAVFSGTGLMIKQILYTAYGEIYMDTNPNFQIIIGYHG GLYDPLTKLVHMGRRDYDVLAGRWTSPDHELWKRLSSNSIVPFHLYMFKNNNPISNSQDI KCFMTDVNSWLLTFGFQLHNVIPGYPKPDTDAMEPSYELVHTQMKTQEWDNSKSILGVQC EVQKQLKAFVTLERFDQLYGSTITSCQQAPETKKFASSGSIFGKGVKFALKDGRVTTDII SVANEDGRRIAAILNNAHYLENLHFTIDGVDTHYFVKPGPSEGDLAILGLSGGRRTLENG VNVTVSQINTVLSGRTRRYTDIQLQYRALCLNTRYGTTVDEEKVRVLELARQRAVRQAWA REQQRLREGEEGLRAWTDGEKQQVLNTGRVQGYDGFFVTSVEQYPELSDSANNIHFMRQS EMGRR >ENSMUSP00000114281.2 pep:known chromosome:GRCm38:7:96210154:96553482:1 gene:ENSMUSG00000048078.16 transcript:ENSMUST00000138760.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm4 description:teneurin transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:2447063] MEPDHSALSAARAQFVDVEEREPEAMDVKERKPYRSLTRRRDAERRYTSSSADSEEGKGP QKSYSSSETLKAYDQDARLAYGSRVKDMVPQEAEEFCRTGT >ENSMUSP00000102784.1 pep:known chromosome:GRCm38:7:96210637:96911093:1 gene:ENSMUSG00000048078.16 transcript:ENSMUST00000107166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm4 description:teneurin transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:2447063] MEPDHSALSAARAQFVDVEEREPEAMDVKERKPYRSLTRRRDAERRYTSSSADSEEGKGP QKSYSSSETLKAYDQDARLAYGSRVKDMVPQEAEEFCRTGTNFTLRELGLGEMTPPHGTL YRTDIGLPHCGYSMGASSDADLEADTVLSPEHPVRLWGRSTRSGRSSCLSSRANSNLTLT DTEHENTETDHPSSLQNHPRLRTPPPPLPHAHTPNQHHAASINSLNRGNFTPRSNPSPAP TDHSLSGEPPAGSAQEPTHAQDNWLLNSNIPLETRNLGKQPFLGTLQDNLIEMDILSASR HDGAYSDGHFLFKPGGTSPLFCTTSPGYPLTSSTVYSPPPRPLPRSTFSRPAFNLKKPSK YCNWKCAALSAILISATLVILLAYFVAMHLFGLNWHLQPMEGQMQMYEITEDTASSWPVP TDVSLYPSGGTGLETPDRKGKGAAEGKPSSLFPEDSFIDSGEIDVGRRASQKIPPGTFWR SQVFIDHPVHLKFNVSLGKAALVGIYGRKGLPPSHTQFDFVELLDGRRLLTQEARSLEGP QRQSRGPVPPSSHETGFIQYLDSGIWHLAFYNDGKESEVVSFLTTAIESVDNCPSNCYGN GDCISGTCHCFLGFLGPDCGRASCPVLCSGNGQYMKGRCLCHSGWKGAECDVPTNQCIDV ACSSHGTCIMGTCICNPGYKGESCEEVDCMDPTCSSRGVCVRGECHCSVGWGGTNCETPR ATCLDQCSGHGTFLPDTGLCNCDPSWTGHDCSIEICAADCGGHGVCVGGTCRCEDGWMGA ACDQRACHPRCAEHGTCRDGKCECSPGWNGEHCTIAHYLDRVVKEGCPGLCNGNGRCTLD LNGWHCVCQLGWRGTGCDTSMETGCGDGKDNDGDGLVDCMDPDCCLQPLCHVNPLCLGSP DPLDIIQETQAPVSQQNLNSFYDRIKFLVGRDSTHSIPGENPFDGGHACVIRGQVMTSDG TPLVGVNISFINNPLFGYTISRQDGSFDLVTNGGISIILRFERAPFITQEHTLWLPWDRF FVMETIVMRHEENEIPSCDLSNFARPNPVVSPSPLTSFASSCAEKGPIVPEIQALQEEIV IAGCKMRLSYLSSRTPGYKSVLRISLTHPTIPFNLMKVHLMVAVEGRLFRKWFAAAPDLS YYFIWDKTDVYNQKVFGLSEAFVSVGYEYESCPDLILWEKRTAVLQGYEIDASKLGGWSL DKHHALNIQSGILHKGNGENQFVSQQPPVIGSIMGNGRRRSISCPSCNGLADGNKLLAPV ALTCGSDGSLYVGDFNYIRRIFPSGNVTNILEMRNKDFRHSHSPAHKYYLATDPMSGAVF LSDTNSRRVFKVKSTTVVKDLVKNSEVVAGTGDQCLPFDDTRCGDGGKATEATLTNPRGI TVDKFGLIYFVDGTMIRRVDQNGIISTLLGSNDLTSARPLSCDSVMEISQVRLEWPTDLA INPMDNSLYVLDNNVVLQISENHQVRIVAGRPMHCQVPGIDHFLLSKVAIHATLESATAL AVSHNGVLYIAETDEKKINRIRQVTTSGEISLVAGAPSGCDCKNDANCDCFSGDDGYAKD AKLNTPSSLAVCADGELYVADLGNIRIRFIRKNKPFLNTQNMYELSSPIDQELYLFDTSG KHLYTQSLPTGDYLYNFTYTGDGDITHITDNNGNMVNVRRDSTGMPLWLVVPDGQVYWVT MGTNSALRSVTTQGHELAMMTYHGNSGLLATKSNENGWTTFYEYDSFGRLTNVTFPTGQV SSFRSDTDSSVHVQVETSSKDDVTITTNLSASGAFYTLLQDQVRNSYYIGADGSLRLLLA NGMEVALQTEPHLLAGTVNPTVGKRNVTLPIDNGLNLVEWRQRKEQARGQVTVFGRRLRV HNRNLLSLDFDRVTRTEKIYDDHRKFTLRILYDQAGRPSLWSPSSRLNGVNVTYSPGGHI AGIQRGIMSERMEYDQAGRITSRIFADGKMWSYTYLEKSMVLHLHSQRQYIFEFDKNDRL SSVTMPNVARQTLETIRSVGYYRNIYQPPEGNASVIQDFTEDGHLLHTFYLGTGRRVIYK YGKLSKLAETLYDTTKVSFTYDETAGMLKTVNLQNEGFTCTIRYRQIGPLIDRQIFRFTE EGMVNARFDYNYDNSFRVTSMQAVINETPLPIDLYRYDDVSGKTEQFGKFGVIYYDINQI ITTAVMTHTKHFDAYGRMKEVQYEIFRSLMYWMTVQYDNMGRVVKKELKVGPYANTTRYS YEYDADGQLQTVSINDKPLWRYSYDLNGNLHLLSPGNSARLTPLRYDLRDRITRLGDVQY KMDEDGFLRQRGGDVFEYNSAGLLIKAYNRASGWSVRYRYDGLGRRVSSKSSHSHHLQFF YADLTNPTKVTHLYNHSSSEITSLYYDLQGHLFAMELSSGDEFYIACDNIGTPLAVFSGT GLMIKQILYTAYGEIYMDTNPNFQIIIGYHGGLYDPLTKLVHMGRRDYDVLAGRWTSPDH ELWKRLSSNSIVPFHLYMFKNNNPISNSQDIKCFMTDVNSWLLTFGFQLHNVIPGYPKPD TDAMEPSYELVHTQMKTQEWDNSKSILGVQCEVQKQLKAFVTLERFDQLYGSTITSCQQA PETKKFASSGSIFGKGVKFALKDGRVTTDIISVANEDGRRIAAILNNAHYLENLHFTIDG VDTHYFVKPGPSEGDLAILGLSGGRRTLENGVNVTVSQINTVLSGRTRRYTDIQLQYRAL CLNTRYGTTVDEEKVRVLELARQRAVRQAWAREQQRLREGEEGLRAWTDGEKQQVLNTGR VQGYDGFFVTSVEQYPELSDSANNIHFMRQSEMGRR >ENSMUSP00000102783.1 pep:known chromosome:GRCm38:7:96211671:96908554:1 gene:ENSMUSG00000048078.16 transcript:ENSMUST00000107165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm4 description:teneurin transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:2447063] MEPDHSALSAARAQFVDVEEREPEAMDVKERKPYRSLTRRRDAERRYTSSSADSEEGKGP QKSYSSSETLKAYDQDARLAYGSRVKDMVPQEAEEFCRTGTNFTLRELGLGEMTPPHGTL YRTDIGLPHCGYSMGASSDADLEADTVLSPEHPVRLWGRSTRSGRSSCLSSRANSNLTLT DTEHENTETGAPLHCSSASSTPIEQSPSPPPSPPANESQRRLLGNGVAQPTPDSDSEEEF VPNSFLVKSGSASLGVAANDHPSSLQNHPRLRTPPPPLPHAHTPNQHHAASINSLNRGNF TPRSNPSPAPTDHSLSGEPPAGSAQEPTHAQDNWLLNSNIPLETRHFLFKPGGTSPLFCT TSPGYPLTSSTVYSPPPRPLPRSTFSRPAFNLKKPSKYCNWKCAALSAILISATLVILLA YFVAMHLFGLNWHLQPMEGQMQMYEITEDTASSWPVPTDVSLYPSGGTGLETPDRKGKGA AEGKPSSLFPEDSFIDSGEIDVGRRASQKIPPGTFWRSQVFIDHPVHLKFNVSLGKAALV GIYGRKGLPPSHTQFDFVELLDGRRLLTQEARSLEGPQRQSRGPVPPSSHETGFIQYLDS GIWHLAFYNDGKESEVVSFLTTAIESVDNCPSNCYGNGDCISGTCHCFLGFLGPDCGRAS CPVLCSGNGQYMKGRCLCHSGWKGAECDVPTNQCIDVACSSHGTCIMGTCICNPGYKGES CEEVDCMDPTCSSRGVCVRGECHCSVGWGGTNCETPRATCLDQCSGHGTFLPDTGLCNCD PSWTGHDCSIEICAADCGGHGVCVGGTCRCEDGWMGAACDQRACHPRCAEHGTCRDGKCE CSPGWNGEHCTIAHYLDRVVKEGCPGLCNGNGRCTLDLNGWHCVCQLGWRGTGCDTSMET GCGDGKDNDGDGLVDCMDPDCCLQPLCHVNPLCLGSPDPLDIIQETQAPVSQQNLNSFYD RIKFLVGRDSTHSIPGENPFDGGHACVIRGQVMTSDGTPLVGVNISFINNPLFGYTISRQ DGSFDLVTNGGISIILRFERAPFITQEHTLWLPWDRFFVMETIVMRHEENEIPSCDLSNF ARPNPVVSPSPLTSFASSCAEKGPIVPEIQALQEEIVIAGCKMRLSYLSSRTPGYKSVLR ISLTHPTIPFNLMKVHLMVAVEGRLFRKWFAAAPDLSYYFIWDKTDVYNQKVFGLSEAFV SVGYEYESCPDLILWEKRTAVLQGYEIDASKLGGWSLDKHHALNIQSGILHKGNGENQFV SQQPPVIGSIMGNGRRRSISCPSCNGLADGNKLLAPVALTCGSDGSLYVGDFNYIRRIFP SGNVTNILEMRNKDFRHSHSPAHKYYLATDPMSGAVFLSDTNSRRVFKVKSTTVVKDLVK NSEVVAGTGDQCLPFDDTRCGDGGKATEATLTNPRGITVDKFGLIYFVDGTMIRRVDQNG IISTLLGSNDLTSARPLSCDSVMEISQVRLEWPTDLAINPMDNSLYVLDNNVVLQISENH QVRIVAGRPMHCQVPGIDHFLLSKVAIHATLESATALAVSHNGVLYIAETDEKKINRIRQ VTTSGEISLVAGAPSGCDCKNDANCDCFSGDDGYAKDAKLNTPSSLAVCADGELYVADLG NIRIRFIRKNKPFLNTQNMYELSSPIDQELYLFDTSGKHLYTQSLPTGDYLYNFTYTGDG DITHITDNNGNMVNVRRDSTGMPLWLVVPDGQVYWVTMGTNSALRSVTTQGHELAMMTYH GNSGLLATKSNENGWTTFYEYDSFGRLTNVTFPTGQVSSFRSDTDSSVHVQVETSSKDDV TITTNLSASGAFYTLLQDQVRNSYYIGADGSLRLLLANGMEVALQTEPHLLAGTVNPTVG KRNVTLPIDNGLNLVEWRQRKEQARGQVTVFGRRLRVHNRNLLSLDFDRVTRTEKIYDDH RKFTLRILYDQAGRPSLWSPSSRLNGVNVTYSPGGHIAGIQRGIMSERMEYDQAGRITSR IFADGKMWSYTYLEKSMVLHLHSQRQYIFEFDKNDRLSSVTMPNVARQTLETIRSVGYYR NIYQPPEGNASVIQDFTEDGHLLHTFYLGTGRRVIYKYGKLSKLAETLYDTTKVSFTYDE TAGMLKTVNLQNEGFTCTIRYRQIGPLIDRQIFRFTEEGMVNARFDYNYDNSFRVTSMQA VINETPLPIDLYRYDDVSGKTEQFGKFGVIYYDINQIITTAVMTHTKHFDAYGRMKEVQY EIFRSLMYWMTVQYDNMGRVVKKELKVGPYANTTRYSYEYDADGQLQTVSINDKPLWRYS YDLNGNLHLLSPGNSARLTPLRYDLRDRITRLGDVQYKMDEDGFLRQRGGDVFEYNSAGL LIKAYNRASGWSVRYRYDGLGRRVSSKSSHSHHLQFFYADLTNPTKVTHLYNHSSSEITS LYYDLQGHLFAMELSSGDEFYIACDNIGTPLAVFSGTGLMIKQILYTAYGEIYMDTNPNF QIIIGYHGGLYDPLTKLVHMGRRDYDVLAGRWTSPDHELWKRLSSNSIVPFHLYMFKNNN PISNSQDIKCFMTDVNSWLLTFGFQLHNVIPGYPKPDTDAMEPSYELVHTQMKTQEWDNS KSILGVQCEVQKQLKAFVTLERFDQLYGSTITSCQQAPETKKFASSGSIFGKGVKFALKD GRVTTDIISVANEDGRRIAAILNNAHYLENLHFTIDGVDTHYFVKPGPSEGDLAILGLSG GRRTLENGVNVTVSQINTVLSGRTRRYTDIQLQYRALCLNTRYGTTVDEEKVRVLELARQ RAVRQAWAREQQRLREGEEGLRAWTDGEKQQVLNTGRVQGYDGFFVTSVEQYPELSDSAN NIHFMRQSEMGRR >ENSMUSP00000120529.2 pep:known chromosome:GRCm38:7:96522398:96553482:1 gene:ENSMUSG00000048078.16 transcript:ENSMUST00000129737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm4 description:teneurin transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:2447063] MMLWKAADNATWAGHCKTADSPEDRGSYHSALSAARAQFVDVEEREPEAMDVKERKPYRS LTRRRDAERRYTSSSADSEEGKGPQKSYSSSETLKAYDQDARLAYGSRVKDMVPQEAEEF CRTGT >ENSMUSP00000145766.1 pep:known chromosome:GRCm38:7:96777934:96797733:1 gene:ENSMUSG00000048078.16 transcript:ENSMUST00000140140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm4 description:teneurin transmembrane protein 4 [Source:MGI Symbol;Acc:MGI:2447063] XQCSGHGTFLPDTGLCNCDPSWTGHDCSIEICAADCGGHGVCVGGTCRCEDGWMGAACDQ RACHPRCAEHGTCRDGKCECSPGWNGEHCTIGMAGARPGAFGLLSRHFQGSLVLLHCMWI GTKSPFI >ENSMUSP00000031333.3 pep:known chromosome:GRCm38:5:124579140:124597680:1 gene:ENSMUSG00000029387.10 transcript:ENSMUST00000031333.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h3 description:general transcription factor IIH, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1277143] MAADEDELNLLVIIVDTNPIWWGKQALKESQFTLSKCMDAVMVLANSHLFMNRSNQLAVI ASHIQESRLLYPGKNGGLGDFFGDPGNALPDCNPSGSKDGKYELLTVANEVIAEEIKDLM TKSDIKGQHTETLLAGSLAKALCYIHRVNKAVKDNQEMKSRILVIKAAEDSALQYMNFMN VIFAAQKQNILIDACVLDSDSGLLQQACDITGGLYLKVPQMPSLLQYLLWVFLPDQDQRS QLILPPPIHVDYRAACFCHRSLIEIGYVCSVCLSIFCNFSPICTTCETAFKISLPPVLKA KKKKQKVSL >ENSMUSP00000027637.6 pep:known chromosome:GRCm38:1:120121187:120188189:1 gene:ENSMUSG00000026388.15 transcript:ENSMUST00000027637.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110009E18Rik description:RIKEN cDNA 3110009E18 gene [Source:MGI Symbol;Acc:MGI:1920353] MAAEEATLTVRLIRSFEHRNFKPVVYHGVNLHQTVKEFIIFLKQDVPLRTSLPPPLRNYK YDTLKILHQAHKAKPVKLKLHSSVEKIISDTKLIPSRPGESGCGPLFHKFCFFINCQINL F >ENSMUSP00000108263.2 pep:known chromosome:GRCm38:1:120121195:120188189:1 gene:ENSMUSG00000026388.15 transcript:ENSMUST00000112644.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110009E18Rik description:RIKEN cDNA 3110009E18 gene [Source:MGI Symbol;Acc:MGI:1920353] MAAEEATLTVRLIRSFEHRNFKPVVYHGVNLHQTVKEFIIFLKQDVPLRTSLPPPLRNYK YDTLKILHQAHKAKTNELVLGLEDDDTLLLKEDRTLKDSGVASETEIAFFCGEDYKRYKA NPISSW >ENSMUSP00000053335.8 pep:known chromosome:GRCm38:1:120121200:120188189:1 gene:ENSMUSG00000026388.15 transcript:ENSMUST00000056038.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110009E18Rik description:RIKEN cDNA 3110009E18 gene [Source:MGI Symbol;Acc:MGI:1920353] MAAEEATLTVRLIRSFEHRNFKPVVYHGVNLHQTVKEFIIFLKQDVPLRTSLPPPLRNYK YDTLKILHQAHKAKPVKLKLHSSVEKIISDTKLIPSRPGESGCGPLFHKFCFFINCQINL F >ENSMUSP00000115887.1 pep:known chromosome:GRCm38:1:120150671:120169511:1 gene:ENSMUSG00000026388.15 transcript:ENSMUST00000153037.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110009E18Rik description:RIKEN cDNA 3110009E18 gene [Source:MGI Symbol;Acc:MGI:1920353] XNFKPVVYHGVNLHQTVKEFIIFLKQDVPLRTSLPPPLRNYKYDTLKILHQAHKAKPGHS PSRRGNHGIYNQGAEPCMLEPSAPSLVSVQRPQEEENALLHYPLWYSLETGSLTEPRIRL AGGKPQLLLSALHMVLKS >ENSMUSP00000092163.1 pep:known chromosome:GRCm38:7:30854330:30856178:-1 gene:ENSMUSG00000019429.7 transcript:ENSMUST00000094583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ffar3 description:free fatty acid receptor 3 [Source:MGI Symbol;Acc:MGI:2685324] MGTSFFLGNYWLFFSVYLLVFLVGLPLNVMALVVFVGKLRRRPVAVDLLLLNLTISDLLL LLFLPFRMVEAACGMRWLLPFIFCPLSGFLFFTTIYLTSLFLTAVSIERFLSVAYPLWYK TRPRLAQAGLVSVVCWFLASAHCSVVYITEYWGNATYSQGTNGTCYLEFREDQLAILLPV RLEMAVVLFMVPLCITSYCYSRLVWILSRGASRRRRKRIMGLLAATLLIFFVCFGPYNMS HVVGYVSRESPSWRSYVLLLSTLNSCIDPLVFYFSSSKFQADFHQLLGRLLRTCVPWTQQ VSLELKVKNGEEPSKECPS >ENSMUSP00000140252.1 pep:known chromosome:GRCm38:7:30854934:30856167:-1 gene:ENSMUSG00000019429.7 transcript:ENSMUST00000185748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ffar3 description:free fatty acid receptor 3 [Source:MGI Symbol;Acc:MGI:2685324] MGTSFFLGNYWLFFSVYLLVFLVGLPLNVMALVVFVGKLRRRPVAVDLLLLNLTISDLLL LLFLPFRMVEAACGMRWLLPFIFCPLSGFLFFTTIYLTSLFLTAVSIERFLSVAYPLWYK TRPRLAQAGLVSVVCWFLASAHCSVVYITEYWGNATYSQGTNGTCYLEFREDQLAILLPV RLEMAVVLFMVPLCITSYCYSRLVWILSRGASRRRRKRIMGLLAATLLIFFVCFGPYNMS HVVGYVSRESPSWRSYVLLLSTLNSCIDPLVFYFSSSKFQADFHQLLGRLLRTCVPWTQQ VSLELKVKNGEEPSKECPS >ENSMUSP00000036021.8 pep:known chromosome:GRCm38:18:35598617:35617186:1 gene:ENSMUSG00000037058.14 transcript:ENSMUST00000041314.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip2 description:polyadenylate-binding protein-interacting protein 2 [Source:MGI Symbol;Acc:MGI:1915119] MKDPSRSSTSPSIINDDVIINGHSHEEDNPFAEYMWMENEEEFNRQIEEELWEEEFIERC FQEMLEEEEEHEWFIPARDLPQTMDQIQDQFNDLVISDGSSLEDLVVKSNLNPNAKEFVP GVKY >ENSMUSP00000111402.1 pep:known chromosome:GRCm38:18:35598624:35616350:1 gene:ENSMUSG00000037058.14 transcript:ENSMUST00000115737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip2 description:polyadenylate-binding protein-interacting protein 2 [Source:MGI Symbol;Acc:MGI:1915119] MKDPSRSSTSPSIINDDVIINGHSHEEDNPFAEYMWMENEEEFNRQIEEELWEEEFIERC FQEMLEEEEEHEWFIPARDLPQTMDQIQDQFNDLVISDGSSLEDLVVKSNLNPNAKEFVP GVKY >ENSMUSP00000111401.1 pep:known chromosome:GRCm38:18:35598641:35616519:1 gene:ENSMUSG00000037058.14 transcript:ENSMUST00000115736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip2 description:polyadenylate-binding protein-interacting protein 2 [Source:MGI Symbol;Acc:MGI:1915119] MKDPSRSSTSPSIINDDVIINGHSHEEDNPFAEYMWMENEEEFNRQIEEELWEEEFIERC FQEMLEEEEEHEWFIPARDLPQTMDQIQDQFNDLVISDGSSLEDLVVKSNLNPNAKEFVP GVKY >ENSMUSP00000111399.1 pep:known chromosome:GRCm38:18:35599237:35616395:1 gene:ENSMUSG00000037058.14 transcript:ENSMUST00000115734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip2 description:polyadenylate-binding protein-interacting protein 2 [Source:MGI Symbol;Acc:MGI:1915119] MKDPSRSSTSPSIINDDVIINGHSHEEDNPFAEYMWMENEEEFNRQIEEELWEEEFIERC FQEMLEEEEEHEWFIPARDLPQTMDQIQDQFNDLVISDGSSLEDLVVKSNLNPNAKEFVP GVKY >ENSMUSP00000111400.1 pep:known chromosome:GRCm38:18:35598649:35617183:1 gene:ENSMUSG00000037058.14 transcript:ENSMUST00000115735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip2 description:polyadenylate-binding protein-interacting protein 2 [Source:MGI Symbol;Acc:MGI:1915119] MKDPSRSSTSPSIINDDVIINGHSHEEDNPFAEYMWMENEEEFNRQIEEELWEEEFIERC FQEMLEEEEEHEWFIPARDLPQTMDQIQDQFNDLVISDGSSLEDLVVKSNLNPNAKEFVP GVKY >ENSMUSP00000042212.5 pep:known chromosome:GRCm38:1:34813226:34843065:-1 gene:ENSMUSG00000037503.12 transcript:ENSMUST00000047534.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam168b description:family with sequence similarity 168, member B [Source:MGI Symbol;Acc:MGI:2448487] MNPVYSPGSSGVPYANAKGIGYPAGFPVGYAAAPAYSPNMYPGANPTFQTGYTPGTPYKV SCSPTSGAVPPYSSSPNPYQTAVYPVRSAYPQQSPYAQQGTYYTQPLYAAPPHVIHHTTV VQPNGMPATVYPAPIPPPRGSGVTMGMVAGTTMAMSAGTLLTAHSPTPVAPHPVTVPTYR APGTPTYSYVPPQW >ENSMUSP00000128676.1 pep:known chromosome:GRCm38:1:34817235:34842964:-1 gene:ENSMUSG00000037503.12 transcript:ENSMUST00000170092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam168b description:family with sequence similarity 168, member B [Source:MGI Symbol;Acc:MGI:2448487] MNPVYSPGSSGVPYANAKGIGYPAGFPVGYAAAPAYSPNMYPGANPTFQTGYTPGTPYKV SCSPTSGAVPPYSSSPNPYQTAVYPVRSAYPQQSPYAQLSLFSPQQGTYYTQPLYAAPPH VIHHTTVVQPNGMPATVYPAPIPPPRGSGVTMGMVAGTTMAMSAGTLLTAHSPTPVAPHP VTVPTYRAPGTPTYSYVPPQW >ENSMUSP00000141150.1 pep:known chromosome:GRCm38:1:34817352:34842985:-1 gene:ENSMUSG00000037503.12 transcript:ENSMUST00000185469.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam168b description:family with sequence similarity 168, member B [Source:MGI Symbol;Acc:MGI:2448487] MNPVYSPGSSGVPYANAKGIGYPAGFPVGYAAAPAYSPNMYPGANPTFQTAELFHHTPLP PILTRRLCTQ >ENSMUSP00000140573.1 pep:known chromosome:GRCm38:1:34818009:34843034:-1 gene:ENSMUSG00000037503.12 transcript:ENSMUST00000191307.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam168b description:family with sequence similarity 168, member B [Source:MGI Symbol;Acc:MGI:2448487] MNPVYSPGSSGVPYANAKGIGYPAGFPVGYAAAPAYSPNMYPGANPTFQTVLEIEPRVLH MLGYTPGTPYKVSCSPTSGAVPPYSSSPNPYQTAVYPVRSAYPQQSPYAQQGTYYTQPLY AAPPHVIHHTTVVQPNGMPATVYPAPIPPPRGSGVTMGMVAGTTMAMSAGTLLTAHSPTP VAPHPVTVPTYRAPGTPTYSYVPPQW >ENSMUSP00000139456.1 pep:known chromosome:GRCm38:1:34819699:34843004:-1 gene:ENSMUSG00000037503.12 transcript:ENSMUST00000185231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam168b description:family with sequence similarity 168, member B [Source:MGI Symbol;Acc:MGI:2448487] MNPVYSPGSSGVPYANAKGIGYPAGFPVGYAAAPAYSPNMYPGANPTFQTDGCVPSEKCL PPAESIRPARHVLHTTSVCSTSSRHSPHHGGA >ENSMUSP00000131720.1 pep:known chromosome:GRCm38:1:34813219:34843050:-1 gene:ENSMUSG00000037503.12 transcript:ENSMUST00000167518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam168b description:family with sequence similarity 168, member B [Source:MGI Symbol;Acc:MGI:2448487] MNPVYSPGSSGVPYANAKGIGYPAGFPVGYAAAPAYSPNMYPGANPTFQTVLEIEPRVLH MLGYTPGTPYKVSCSPTSGAVPPYSSSPNPYQTAVYPVRSAYPQQSPYAQQGTYYTQPLY AAPPHVIHHTTVVQPNGMPATVYPAPIPPPRGSGVTMGMVAGTTMAMSAGTLLTAHSPTP VAPHPVTVPTYRAPGTPTYSYVPPQW >ENSMUSP00000121608.1 pep:known chromosome:GRCm38:6:47453398:47497237:1 gene:ENSMUSG00000029686.15 transcript:ENSMUST00000146828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul1 description:cullin 1 [Source:MGI Symbol;Acc:MGI:1349658] MSSNRSQNPHGLKQIGLDQIWDDLRAGIQQVYTRQSMAKSRYMELYTHVYNYCTSVHQSN QARGAGVPPSKSKKGQTPGGAQFVGLELYKRLKEFLKNYLTNLLKDGEDL >ENSMUSP00000114959.1 pep:known chromosome:GRCm38:6:47453492:47484965:1 gene:ENSMUSG00000029686.15 transcript:ENSMUST00000152547.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul1 description:cullin 1 [Source:MGI Symbol;Acc:MGI:1349658] MSSNRSQNPHGLKQIGLDQI >ENSMUSP00000122702.1 pep:known chromosome:GRCm38:6:47453904:47523766:1 gene:ENSMUSG00000029686.15 transcript:ENSMUST00000146200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul1 description:cullin 1 [Source:MGI Symbol;Acc:MGI:1349658] MSSNRSQNPHGLKQIGLDQIWDDLRAGIQQVYTRQSMAKSRYMELYTHVYNYCTSVHQSN QARGAGVPPSKSKKGQTPGGAQFVGLELYKRLKEFLKNYLTNLLKDGEDLMDESVLKFYT QQWEDYRFSSKVLNGICAYLNRHWVRRECDEGRKGIYEIYSLALVTWRDCLFRPLNKQVT NAVLKLIEKERNGETINTRLISGVVQSYVELGLNEDDAFAKGPTLTVYKESFESQFLADT ERFYTRESTEFLQQNPVTEYMKKAEARLLEEQRRVQVYLHESTQDELARKCEQVLIEKHL EIFHTEFQNLLDADKNEDLGRMYNLVSRIQDGLGELKKLLETHIHNQGLAAIEKCGEAAL NDPKMYVQTVLDVHKKYNALVMSAFNNDAGFVAALDKACGRFINNNAVTKMAQSSSKSPE LLARYCDSLLKKSSKNPEEAELEDTLNQVMVVFKYIEDKDVFQKFYAKMLAKRLVHQNSA SDDAEASMISKLKQACGFEYTSKLQRMFQDIGVSKDLNEQFKKHLTNSEPLDLDFSIQVL SSGSWPFQQSCTFALPSELERSYQRFTAFYASRHSGRKLTWLYQLSKGELVTNCFKNRYT LQASTFQMAILLQYNTEDAYTVQQLTDSTQIKMDILAQVLQILLKSKLLVLEDENANVDE VELKPDTLIKLYLGYKNKKLRVNINVPMKTEQKQEQETT >ENSMUSP00000031697.8 pep:known chromosome:GRCm38:6:47454320:47526139:1 gene:ENSMUSG00000029686.15 transcript:ENSMUST00000031697.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul1 description:cullin 1 [Source:MGI Symbol;Acc:MGI:1349658] MSSNRSQNPHGLKQIGLDQIWDDLRAGIQQVYTRQSMAKSRYMELYTHVYNYCTSVHQSN QARGAGVPPSKSKKGQTPGGAQFVGLELYKRLKEFLKNYLTNLLKDGEDLMDESVLKFYT QQWEDYRFSSKVLNGICAYLNRHWVRRECDEGRKGIYEIYSLALVTWRDCLFRPLNKQVT NAVLKLIEKERNGETINTRLISGVVQSYVELGLNEDDAFAKGPTLTVYKESFESQFLADT ERFYTRESTEFLQQNPVTEYMKKAEARLLEEQRRVQVYLHESTQDELARKCEQVLIEKHL EIFHTEFQNLLDADKNEDLGRMYNLVSRIQDGLGELKKLLETHIHNQGLAAIEKCGEAAL NDPKMYVQTVLDVHKKYNALVMSAFNNDAGFVAALDKACGRFINNNAVTKMAQSSSKSPE LLARYCDSLLKKSSKNPEEAELEDTLNQVMVVFKYIEDKDVFQKFYAKMLAKRLVHQNSA SDDAEASMISKLKQACGFEYTSKLQRMFQDIGVSKDLNEQFKKHLTNSEPLDLDFSIQVL SSGSWPFQQSCTFALPSELERSYQRFTAFYASRHSGRKLTWLYQLSKGELVTNCFKNRYT LQASTFQMAILLQYNTEDAYTVQQLTDSTQIKMDILAQVLQILLKSKLLVLEDENANVDE VELKPDTLIKLYLGYKNKKLRVNINVPMKTEQKQEQETTHKNIEEDRKLLIQAAIVRIMK MRKVLKHQQLLGEVLTQLSSRFKPRVPVIKKCIDILIEKEYLERVDGEKDTYSYLA >ENSMUSP00000144845.1 pep:known chromosome:GRCm38:6:47454388:47485177:1 gene:ENSMUSG00000029686.15 transcript:ENSMUST00000143941.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul1 description:cullin 1 [Source:MGI Symbol;Acc:MGI:1349658] MSSNRSQNPHGLKQIGLDQIWDDLRAGIQQVYTRQSMAKSRYMELYTYPLA >ENSMUSP00000025542.3 pep:known chromosome:GRCm38:19:15904678:15925059:-1 gene:ENSMUSG00000024640.9 transcript:ENSMUST00000025542.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psat1 description:phosphoserine aminotransferase 1 [Source:MGI Symbol;Acc:MGI:2183441] MEATKQVVNFGPGPAKLPHSVLLEIQKQLLDYRGLGISVLEMSHRSSDFAKIIGNTENLV RELLAVPNNYKVIFVQGGGSGQFSAVPLNLIGLKAGRSADYVVTGAWSAKAAEEAKKFGT VNIVHPKLGSYTKIPDPSTWNLNPDASYVYFCANETVHGVEFDFVPDVKGAVLVCDMSSN FLSRPVDVSKFGVIFAGAQKNVGSAGVTVVIVRDDLLGFSLRECPSVLDYKVQAGNNSLY NTPPCFSIYVMGMVLEWIKNNGGAAAMEKLSSIKSQMIYEIIDNSQGFYVCPVERQNRSR MNIPFRIGNAKGDEALEKRFLDKAVELNMISLKGHRSVGGIRASLYNAVTTEDVEKLAAF MKNFLEMHQL >ENSMUSP00000125340.1 pep:known chromosome:GRCm38:19:15905127:15924622:-1 gene:ENSMUSG00000024640.9 transcript:ENSMUST00000162053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psat1 description:phosphoserine aminotransferase 1 [Source:MGI Symbol;Acc:MGI:2183441] MPLVAGSPWTSCPSCYLVLLEIQKQLLDYRGLGISVLEMSHRSSDFAKIIGNTENLVREL LAVPNNYKVIFVQGGGSGQFSAVPLNLIGLKAGRSADYVVTGAWSAKAAEEAKKFGTVNI VHPKLGSYTKIPDPSTWNLNPDASYVYFCANETVHGVEFDFVPDVKGAVLVCDMSSNFLS RPVDVSKFGVIFAGAQKNVGSAGVTVVIVRDDLLGFSLRECPSVLDYKVQAGNNSLYNTP PCFSIYVMGMVLEWIKNNGGAAAMEKLSSIKSQMIYEIIDNSQGFYVCPVERQNRSRMNI PFRIGNAKGDEALEKRFLDKAVELNMISLKGHRSVGGIRASLYNAVTTEDVEKLAAFMKN FLEMHQL >ENSMUSP00000125593.1 pep:known chromosome:GRCm38:19:15915009:15947337:-1 gene:ENSMUSG00000024640.9 transcript:ENSMUST00000162416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psat1 description:phosphoserine aminotransferase 1 [Source:MGI Symbol;Acc:MGI:2183441] MSHRSSDFAKIIGNTENLVRELLAVPNNYKVIFVQGGGSGQFSAVPLNLIGLKAGRSADY VVTGAWSAKAAEEAKKFGTVNIVHPKLGSYTKIPDPSTWNLNPDASYVYFCANETVHGVE FDFVPDVKGAVLVCDMSSNFLSRPVDVSKFGVIFAGA >ENSMUSP00000022063.7 pep:known chromosome:GRCm38:13:74350309:74365783:1 gene:ENSMUSG00000021578.16 transcript:ENSMUST00000022063.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc127 description:coiled-coil domain containing 127 [Source:MGI Symbol;Acc:MGI:1914683] MNNLNDPPNWNIRPNARADGGDGSKWNYALLVPMLGLAAFRWIWSRESQKEIEKARKAYH QRTAAFQQDLEAKYHAVISEHRRAVAQLSLELEKEQNRTSSFREALISQGRKLAEEKKLL EQERAQIKQEKSRLQPLRNVYLSCLQEEDDWQRRAQHVLKEVGEALEERQNIYCSLIIPR SARLELEKSLLVRTSVDPVAADLEMAAGLSDIFKHDKHCGDVWNTNKRQNGKLMWMYLKY WELLVELKKFKKVEKVILEK >ENSMUSP00000124193.1 pep:known chromosome:GRCm38:13:74350317:74362701:1 gene:ENSMUSG00000021578.16 transcript:ENSMUST00000160021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc127 description:coiled-coil domain containing 127 [Source:MGI Symbol;Acc:MGI:1914683] MNNLNDPPNWNIRPNARADGGDGSKWNYALLVPMLGLAAFRWIWSRESQKEIEKARKAYH QRTAAFQQDLEAKYHAVISEHRRAVAQLSLELEKEQNRTSSFREALISQGRKLAEEKKLL EQERAQIKQEKSRLQPLRNVYLSCLQEEDDWQRRAQHVLKEST >ENSMUSP00000125027.1 pep:known chromosome:GRCm38:13:74350344:74361085:1 gene:ENSMUSG00000021578.16 transcript:ENSMUST00000162672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc127 description:coiled-coil domain containing 127 [Source:MGI Symbol;Acc:MGI:1914683] MNNLNDPPNWNIRPNARADGGDGSKWNYALLVPMLGLAAFQHVTSTPAVFSEEILWQCCC W >ENSMUSP00000124009.1 pep:known chromosome:GRCm38:13:74350345:74360695:1 gene:ENSMUSG00000021578.16 transcript:ENSMUST00000159931.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc127 description:coiled-coil domain containing 127 [Source:MGI Symbol;Acc:MGI:1914683] MNNLNDPPNWNIRPNARADGGDGSKWNYALLVPMLGLAAFRWIWSRESQKEIEKARKAYH QRTAAFQQDLEAKYHAVISEHRRAVAQLSLELEKEQNRTSSFREALISQGRKLAEEKKLL EQERAQIKQEKSRLQPLRNVYLSCLQEEDDWQRRAQHVLKEELQRARPQPF >ENSMUSP00000123805.1 pep:known chromosome:GRCm38:13:74350365:74357048:1 gene:ENSMUSG00000021578.16 transcript:ENSMUST00000162376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc127 description:coiled-coil domain containing 127 [Source:MGI Symbol;Acc:MGI:1914683] MNNLNDPPNWNIRPNARADGGDGSKWNYALLVPMLGLAAFRWIWSRESQKEIEKARKAYH QRTAAFQQDLEAKYHAVISEHRRAVAQLSLELEKEQNRTSSFREALISQGRKLAEEKKLL EQERAQIKQEKSRLQPLRNVYLSCLQEEDDWQRRAQHVLKEVGEALEERQNIYCSLIIPR SARLELEKSLLVRTSVDPVAADLEMAAGLSDIFKHDKHCGDVWNTNKRQNGKLMWMYL >ENSMUSP00000127788.1 pep:known chromosome:GRCm38:14:43288312:43293813:-1 gene:ENSMUSG00000090713.1 transcript:ENSMUST00000163655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8127 description:predicted gene 8127 [Source:MGI Symbol;Acc:MGI:3646865] MLEMQHDQVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRK ENRQLLREQIALEECNIETKTLCNEGSQKIKDHYTKQQEV >ENSMUSP00000006687.4 pep:known chromosome:GRCm38:4:63356162:63359511:1 gene:ENSMUSG00000028359.4 transcript:ENSMUST00000006687.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orm3 description:orosomucoid 3 [Source:MGI Symbol;Acc:MGI:97445] MELHTVLIMLSLLPLLEAQNPEHAINIGDPITNETLSWLSGKWFLIAVADSDPDYRQEIQ KVQTIFFYLTLNLINDTMELREYHTKDDHCVYNSNLLGFQRENGTLFKYEGEVETLLHLR VLEKHGAIMLFFDLKDEKKRGLSLSARRPDIPPELREVFQKAVTHVGMDESEIIFVDWKK DRCSEQEKKHLELEKETKKDPEESQA >ENSMUSP00000016231.6 pep:known chromosome:GRCm38:9:32422204:32541595:-1 gene:ENSMUSG00000016087.13 transcript:ENSMUST00000016231.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fli1 description:Friend leukemia integration 1 [Source:MGI Symbol;Acc:MGI:95554] MDGTIKEALSVVSDDQSLFDSAYGAAAHLPKADMTASGSPDYGQPHKINPLPPQQEWINQ PVRVNVKREYDHMNGSRESPVDCSVSKCNKLVGGGEANPMNYNSYMDEKNGPPPPNMTTN ERRVIVPADPTLWTQEHVRQWLEWAIKEYGLMEIDTSFFQNMDGKELCKMNKEDFLRATS AYNTEVLLSHLSYLRESSLLAYNTTSHTDQSSRLNVKEDPSYDSVRRGAWNNNMNSGLNK SPLLGGSQTMGKNTEQRPQPDPYQILGPTSSRLANPGSGQIQLWQFLLELLSDSANASCI TWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMTKVHGKRYAYKF DFHGIAQALQPHPTETSMYKYPSDISYMPSYHAHQQKVNFVPSHPSSMPVTSSSFFGAAS QYWTSPTAGIYPNPSVPRHPNTHVPSHLGSYY >ENSMUSP00000138984.1 pep:known chromosome:GRCm38:9:32428059:32542861:-1 gene:ENSMUSG00000016087.13 transcript:ENSMUST00000183767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fli1 description:Friend leukemia integration 1 [Source:MGI Symbol;Acc:MGI:95554] MTASGSPDYGQPHKINPLPPQQEWINQPVRVNVKREYDHMNGSRESPVDCSVSKCNKLVG GGEANPMNYNSYMDEKNGPPPPNMTTNERRVIVPADPTLWTQEHVRQWLEWAIKEYGLME IDTSFFQNMDGKELCKMNKEDFLRATSAYNTEVLLSHLSYLRESSLLAYNTTSHTDQSSR LNVKEDPSYDSVRRGAWNNNMNSGLNKSPL >ENSMUSP00000144302.1 pep:known chromosome:GRCm38:5:90931256:90933421:1 gene:ENSMUSG00000029376.8 transcript:ENSMUST00000202781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfd2l description:methylenetetrahydrofolate dehydrogenase (NADP+ dependent) 2-like [Source:MGI Symbol;Acc:MGI:1915871] MATRARGFSLLRGRLGRGPVRAPGVAERAWRGFGSSGRRASREKRLHLPEVATVCLPIQS SF >ENSMUSP00000071578.4 pep:known chromosome:GRCm38:5:90931280:91021368:1 gene:ENSMUSG00000029376.8 transcript:ENSMUST00000071652.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfd2l description:methylenetetrahydrofolate dehydrogenase (NADP+ dependent) 2-like [Source:MGI Symbol;Acc:MGI:1915871] MATRARGFSLLRGRLGRGPVRAPGVAERAWRGFGSSGRRHEAVIISGTNMAKQIQKEIQQ GVESWIALGNRRPHLSIILVGDNPASHTYVRNKIKAASAVGICSELIIKPKNVSQEELLD ITDQLNMDPRVSGILVQLPLPDHVDERTICNGIAPEKDVDGFHIINIGRLCLDQHSLIPA TASAVWEIIKRAGIETFGKNVVVAGRSKNVGMPIAMLLHTDGEHERPGGDATVTIAHRYT PREQLKAHTQLADIIIVAAGIPRLITADMVREGAAVIDVGINYIQDPVTGKTKLVGDVDF EAVKKKASFITPVPGGVGPMTVAMLLKNTLLAAKNIAY >ENSMUSP00000021048.6 pep:known chromosome:GRCm38:11:106249142:106256079:-1 gene:ENSMUSG00000020706.13 transcript:ENSMUST00000021048.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ftsj3 description:FtsJ RNA methyltransferase homolog 3 (E. coli) [Source:MGI Symbol;Acc:MGI:1860295] MGKKGKVGKSRRDKFYHLAKETGYRSRSAFKLIQLNRRFQFLQKARALLDLCAAPGGWLQ VAAKFMPVSSLIVGVDLVPIKPLPNVVTLQEDITTERCRQALRKELKTWKVDVVLNDGAP NVGASWVHDAYSQAHLTLMALRLACDFLARGGCFITKVFRSRDYQPLLWIFQQLFHRVQA TKPQASRHESAEIFVVCQGFLAPDKVDAKFFDPKFAFKEVEVQAKTVTELVTKKKPKAEG YAEGDLTLYHRTSVTDFLRAANPVDFLSKASEISIDDEELAQHPATTEDIRVCCQDIKVL GRKELRSLLNWRTKLRRYVAKKLKEQAKALDISLSSEEEEEGDEEEAVAETKQAPEEEEE REEEQLNRTLAEMKAQEVAELKRKKKKLLREQRKQRERVELKMDLPGVSIADEGETGMFS LRTIRGQQLLEEVTQGDMNAADTFLSDLPRDDIYVSDAEDDDDTSLESDLDPEELAGVRT HSDLKEQKYLRFTQVDDNKEEEGENPLLVPLEEKAVLQEEQASLWFSKDGFSGIEDDADE ALEISQAQLLYKSRQKEQQPTDPPPPPTNLKTEKKSPQGQNEVPKETEAILGTEAVTDPG GEERGNSSDSDSSSSEDEDSWKVSRGVKRGRGSKADEDGFEVVPIQDPVKYRILDPEGLA LGAVIASSKKAKRDLIDNSFNRYAFNEEEGELPEWFAQEEKQHRIRQLPVDKKEVEHYRK RWREINARPIKKVAEAKARKKRRVLKKLEQTKKKAEAVVNTVDISEREKVAQLRSLYKKA GLGKEKRQVTYVVAKKGVGRKVRRPAGVKGHFKVVDSRMKKDQRAQQRKEQKKKHKRK >ENSMUSP00000130091.1 pep:known chromosome:GRCm38:14:43298872:43306004:1 gene:ENSMUSG00000090440.1 transcript:ENSMUST00000163912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9732 description:predicted gene 9732 [Source:MGI Symbol;Acc:MGI:3796514] XDMNTDLNYRMNTEFTIIKSQHEKTMLDMEKMTQSISDTIEKYKEFIEDKDSYSFTHTYL LKECNQLKEKVRMLLNENRKLLVEQADQETSYGEEMRFCDATSKNIHPKS >ENSMUSP00000099487.4 pep:known chromosome:GRCm38:2:130274430:130279313:1 gene:ENSMUSG00000027405.16 transcript:ENSMUST00000103198.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop56 description:NOP56 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1914384] MVLLHVLFEHAVGYALLALKEVEEISLLLPQVEECVLNLGKFHNVVRLVAFCPFSSSQVA LENANAVSEGVVHEDLRLLLETYLPSKKKKVLLGVGDPKIGAAIQEELGYNCQTGGVIAE ILRGVRLHFHNLVKGLTDLSACKAQLGLGHSYSRAKVKFNVNRVDNMIIQSISLLDQLDK DINTFSMRVREWYGYHFPELVKIVNDNATYCRLAQFIGNRRELNEEKLEKLEEITMDGAK AKAILDASRSSMGMDISAIDLINIESFSSRVVSLSEYRQSLHTYLRSKMSQVAPSLSALI GEAVGARLIAHAGSLTNLAKYPASTVQILGAEKALFRALKTRGNTPKYGLIFHSTFIGRA AAKNKGRISRYLANKCSIASRIDCFSEVPTSVFGEKLREQVEERLSFYETGEIPRKNLDV MKEAVVQAEEAAAEITRKLEKQEKKRLKKEKKRLAALALASSENSSTPEECEEVNEKSKK KKKLKPQENGMEDPPVSLPKSKKKKAPKEELASDLEEMATSSAKRKKSSPKEEVASEPEE AASPTTPKKKRKFSEEPEVAANFTKSSTKKKKKSQKAQED >ENSMUSP00000124616.1 pep:known chromosome:GRCm38:2:130274455:130277537:1 gene:ENSMUSG00000027405.16 transcript:ENSMUST00000136621.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop56 description:NOP56 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1914384] MVLLHVLFEHAVGYALLALKEVEEISLLLPQVEECVLNLGKFHNVVRLVAFCPFSSSQVA LENANAVSEGVVHEDLRLLLETYLPSKKKKVLLGVGDPKIGAAIQEELGYNCQTGGVIAE ILRGVRLHFHNLVKGLTDLSACKAQLGLGHSYSRAKVKFNVNRVDNMIIQSISLLDQLDK DINTFSMRVREWYGYHFPELVKIVNDNATYCRLAQFIGNRRELNEEKLEKLEEITMDGAK AKAILDASRSSMGQCGARQPV >ENSMUSP00000125305.1 pep:known chromosome:GRCm38:2:130274492:130276098:1 gene:ENSMUSG00000027405.16 transcript:ENSMUST00000141872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop56 description:NOP56 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1914384] XGYLRSEGLSVLLHVLFEHAVGYALLALKEVEEISLLLPQVEECVLNLGKFHNVVRLVAF CPFSSSQVALENANAVSEGVVHEDLRLLLETYLPSKKKKVLLGVGDPKIGAAIQEELGYN CQTGGVIAEILRGVRLHFHNLVKGLTDLSACKAQLGLGHSYSRAKVKFNVNRV >ENSMUSP00000123879.1 pep:known chromosome:GRCm38:2:130276159:130278963:1 gene:ENSMUSG00000027405.16 transcript:ENSMUST00000149955.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nop56 description:NOP56 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1914384] XSMRVREWYGYHFPELVKIVNDNATYCRLAQFIGNRRELNEEKLEKLEEITMDGAKAKAI LDASRSSMGMDISAIDLINIESFSSRVVSLSEYRQSLHTYLRSKMSQVAPSLSALIGEAS PEDKG >ENSMUSP00000124228.1 pep:known chromosome:GRCm38:2:130276597:130277959:1 gene:ENSMUSG00000027405.16 transcript:ENSMUST00000143547.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nop56 description:NOP56 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1914384] XLDASRSSMGQCGARQPV >ENSMUSP00000125304.1 pep:known chromosome:GRCm38:2:130276717:130279253:1 gene:ENSMUSG00000027405.16 transcript:ENSMUST00000146454.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop56 description:NOP56 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1914384] XSAIDLINIESFSSRVVSLSEYRQSLHTYLRSKMSQVAPSLSALIGEAVGARLIAHAGSL TNLAKYPASTVQILGAEKALFRALKTRGNTPKYGLIFHSTFIGRAAAKNKGRISRYLANK CSIASRIDCFSEVPTSVFGEKLREQVEERLSFYETGEIPRKNLDVMKEAVVQAEEAAAEI TRKLEKQEKKRLKKEKKRLAALALASSENSSTPEECEGGDRC >ENSMUSP00000028890.8 pep:known chromosome:GRCm38:2:130277157:130279278:1 gene:ENSMUSG00000027405.16 transcript:ENSMUST00000028890.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop56 description:NOP56 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1914384] VRCCFWCPLFCLGITMMADQGNLTYTGLCYGGDGQSWCLSDSQGPAKGDRVSRALKTRGN TPKYGLIFHSTFIGRAAAKNKGRISRYLANKCSIASRIDCFSEVPTSVFGEKLREQVEER LSFYETGEIPRKNLDVMKEAVVQAEEAAAEITRKLEKQEKKRLKKEKKRLAALALASSEN SSTPEECEEVNEKSKKKKKLKPQENGMEDPPVSLPKSKKKKAPKEELASDLEEMATSSAK RKKSSPKEEVASEPEEAASPTTPKKKRKFSEEPEVAANFTKSSTKKKKKSQKAQED >ENSMUSP00000123890.1 pep:known chromosome:GRCm38:2:130277218:130279279:1 gene:ENSMUSG00000027405.16 transcript:ENSMUST00000150401.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nop56 description:NOP56 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1914384] XNLTYTGLCYGGDGQSWCLSDSQGPAKGDRVSRALKTRGNTPKYGLIFHSTFIGRAAAKN KGRISRYLANKCSIASRIDCFSEVPTSVFGEKLREQVEERLSFYETGEIPRKNLDVMKEA VVQAEEAAAEITRKLEKQEKKRLKKEKKRLAALALASSENSSTPEECEGGDRC >ENSMUSP00000124080.1 pep:known chromosome:GRCm38:2:130277315:130278230:1 gene:ENSMUSG00000027405.16 transcript:ENSMUST00000159373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop56 description:NOP56 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1914384] VSKLDAFPLCLWELGWHLVRALKTRGNTPKYGLIFHSTFIGRAAAKNKGRISRYLANKCS IASRIDCFSEVPTSVFGEKLREQVEERLSFYETGEIPRKNLDVMKEAVVQAEEAAAEITR KLEKQEKKRLKKEKK >ENSMUSP00000120525.1 pep:known chromosome:GRCm38:14:55603571:55610030:1 gene:ENSMUSG00000002325.14 transcript:ENSMUST00000134863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf9 description:interferon regulatory factor 9 [Source:MGI Symbol;Acc:MGI:107587] MHLPGGELKLRVGTVERGGEIRKSLEPAKPGTFSAAQALPISSAPPLPPAAAPPTPLAKR GMVRRRMASGKVRCTRKLRSWIVEQVESGHFPGVCWDDAAKTMFRIPWKHAGKQDFREDQ DAAIFKAWALFKEKHKDGDIGHPAVWKTRLRCALNKSSEFEEVPERGRMDVAEPYKVYRI LPAGTLPNQPRNQKSPCKRSISCVSPEREENMENGRTNGVVNHSDSGSNIGGGGNGSNRS DSNSNCNSELEEGAGTTEATIREDPVFLEHQLPLNSDYSLLLTFIYGGRVVGKTQVHSLD CRLVAERSDSESSMEQVEFPKPDPLEPTQHLLNQLDRGVLVASNSRGLFVQRLCPIPISW NAPEAPPGPGPHLLPSNKCVELFKTTYFCRDLAQYFQGQGPPPKFQATLHFWEESPGSSH SQENLITVQMEQAFARHLLEKIPEEEKAALFLLQHTEQSPSALGH >ENSMUSP00000120359.1 pep:known chromosome:GRCm38:14:55603985:55610030:1 gene:ENSMUSG00000002325.14 transcript:ENSMUST00000130697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf9 description:interferon regulatory factor 9 [Source:MGI Symbol;Acc:MGI:107587] MASGKVRCTRKLRSWIVEQVESGHFPGVCWDDAAKTMFRIPWKHAGKQDFREDQDAAIFK AWALFKEKHKDGDIGHPAVWKTRLRCALNKSSEFEEVPERGRMDVAEPYKVYRILPAGTL PNQPRNQKSPCKRSISCVSPEREENMENGRTNGVVNHSDSGSNIGGGGNGSNRSDSNSNC NSELEEGAGTTEATIREDPVFLEHQLPLNSDYSLLLTFIYGGRVVGKTQVHSLDCRLVAE RSDSESSMEQVEFPKPDPLEPTQHLLNQLDRGVLVASNSRGLFVQRLCPIPISWNAPEAP PGPGPHLLPSNKCVELFKTTYFCRDLAQYFQGQGPPPKFQATLHFWEESPGSSHSQENLI TVQMEQAFARHLLEKIPEEEKAALFLLQHTEQSPSALGH >ENSMUSP00000119477.1 pep:known chromosome:GRCm38:14:55604579:55610030:1 gene:ENSMUSG00000002325.14 transcript:ENSMUST00000138037.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf9 description:interferon regulatory factor 9 [Source:MGI Symbol;Acc:MGI:107587] MSETLQLIKTKCLRFPFRMASGKVRCTRKLRSWIVEQVESGHFPGVCWDDAAKTMFRIPW KHAGKQDFREDQDAAIFKAWALFKEKHKDGDIGHPAVWKTRLRCALNKSSEFEEVPERGR MDVAEPYKVYRILPAGTLPNQPRNQKSPCKRSISCVSPEREENMENGRTNGVVNHSDSGS NIGGGGNGSNRSDSNSNCNSELEEGAGTTEATIREDPVFLEHQLPLNSDYSLLLTFIYGG RVVGKTQVHSLDCRLVAERSDSESSMEQVEFPKPDPLEPTQHLLNQLDRGVLVASNSRGL FVQRLCPIPISWNAPEAPPGPGPHLLPSNKCVELFKTTYFCRDLAQYFQGQGPPPKFQAT LHFWEESPGSSHSQENLITVQMEQAFARHLLEKIPEEEKAALFLLQHTEQSPSALGH >ENSMUSP00000046856.6 pep:known chromosome:GRCm38:10:76531607:76555479:1 gene:ENSMUSG00000033105.12 transcript:ENSMUST00000048678.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lss description:lanosterol synthase [Source:MGI Symbol;Acc:MGI:1336155] MTEGTCLRRRGGPYKTEPATDLTRWRLQNELGRQRWTYYQAEDDPGREQTGLEAHSLGLD TRSYFTDLPKAQTAHEGALNGVTFYAKLQAEDGHWAGDYGGPLFLLPGLLITCHISHISL PAGYREEMVRYLRSVQLPDGGWGLHIEDKSTVFGTALNYVALRILGIGPDDPDLVRARNV LHKKGGAVAIPSWGKFWLAVLNVYSWEGLNTLFPEMWLFPEWVPAHPSTLWCHCRQVYLP MSYCYATRLSASEDPLVQSLRQELYVQDYASIDWPAQRNNVSPDEMYTPHSWLLHVVYGL LNLYERFHSTSLRKWAVQMLYEHIAADDCFTKCISIGPISKTINMLVRWSVDGPSSPAFQ EHVSRIKDYLWLGLDGMKMQGTNGSQIWDTSFAIQALLEAGAHHRPEFLPCLQKAHEFLR LSQVPENCPDYQKYYRHMRKGGFSFSTLDCGWIVADCTAEGLKAVLLLQNQCPSITEHIP RERLCDAVDVLLSLRNADGGFATYEKKRGGYLLELLNPSEVFGDIMIDYTYVECTSAVMQ ALKHFHEHFPDYRAAEVRETLNQGLDFCRRKQRADGSWEGSWGVCFTYGTWFGLEAFACM GHTYQDGAACAEVAQACNFLLSQQMADGGWGEDFESCEQRRYVQSARSQVHSTCWALMGL MAVRHPDITAQERGIRCLLGKQLPNGDWPQENISGVFNKSCAISYTSYRNIFPIWALGRF SNLYPDNTLAGHI >ENSMUSP00000125575.1 pep:known chromosome:GRCm38:10:76541882:76542746:1 gene:ENSMUSG00000033105.12 transcript:ENSMUST00000163049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lss description:lanosterol synthase [Source:MGI Symbol;Acc:MGI:1336155] QEHVSRIKDYLWLGLDGMKMQVRAQNGCC >ENSMUSP00000019576.8 pep:known chromosome:GRCm38:8:83715177:83723348:1 gene:ENSMUSG00000005481.17 transcript:ENSMUST00000019576.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx39 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 39 [Source:MGI Symbol;Acc:MGI:1915528] MAEQDVENELLDYDEDEEPQAPQESTPAPPKKDVKGSYVSIHSSGFRDFLLKPELLRAIV DCGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQIEPVNGQVSVLVMCH TRELAFQISKEYERFSKYMPSVKVSVFFGGLSIKKDEDVLKKNCPHVVVGTPGRILALVR SRSLNLRNVKHFVLDECDKMLEQLDMRRDVQEIFRLTPHEKQCMMFSATLSKEIRPVCRK FMQDPMEVFVDDETKLTLHGLQQYYVKLKDSEKNRKLFDLLDVLEFNQVVIFVKSVQRCM ALAQLLVEQNFPAIAIHRGMAQEERLSRYQQFKDFQRRILVATNLFGRGMDIERVNIVFN YDMPEDSDTYLHRVARAGRFGTKGLAVTFVSDENDAKILNDVQDRFEVNVAELPEEIDIS TYIEQSR >ENSMUSP00000116101.1 pep:known chromosome:GRCm38:8:83715268:83723275:1 gene:ENSMUSG00000005481.17 transcript:ENSMUST00000140521.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddx39 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 39 [Source:MGI Symbol;Acc:MGI:1915528] MAEQDVENELLDYDEDEEPQAPQESTPAPPKKDVKGSYVSIHSSGFRDFLLKPELLRAIV DCGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQIEPVNGQVSVLVMCH TRELAFQISKEYERFSKYMPSVKVSVFFGGLSIKKDEDVLKKNCPHVVVGTPGRILALVR SRSLNLRNVKHFVLDECDKMLEQLGSLS >ENSMUSP00000105435.1 pep:known chromosome:GRCm38:8:83715548:83723348:1 gene:ENSMUSG00000005481.17 transcript:ENSMUST00000109810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx39 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 39 [Source:MGI Symbol;Acc:MGI:1915528] MAEQDVENELLDYDEDEEPQAPQESTPAPPKKDVKGSYVSIHSSGFRDFLLKPELLRAIV DCGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQIEPVNGQVSVLVMCH TRELAFQISKEYERFSKYMPSVKVSVFFGGLSIKKDEDVLKKNCPHVVVGTPGRILALVR SRSLNLRNVKHFVLDECDKMLEQLDMRRDVQEIFRLTPHEKQCMMFSATLSKEIRPVCRK FMQDPMEVFVDDETKLTLHGLQQYYVKLKDSEKNRKLFDLLDVLEFNQVVIFVKSVQRCM ALAQLLVEQNFPAIAIHRGMAQEERLSRYQQFKDFQRRILVATNLFGRGMDIERVNIVFN YDMPEDSDTYLHRVARAGRFGTKGLAVTFVSDENDAKILNDVQDRFEVNVAELPEEIDIS TYIEQSR >ENSMUSP00000132222.1 pep:known chromosome:GRCm38:8:83715272:83726892:1 gene:ENSMUSG00000005481.17 transcript:ENSMUST00000172396.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx39 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 39 [Source:MGI Symbol;Acc:MGI:1915528] MAEQDVENELLDYDEDEEPQAPQESTPAPPKKDVKGSYVSIHSSGFRDFLLKPELLRAIV DCGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLATLQQIEPVNGQVSVLVMCH TRELAFQISKEYERFSKYMPSVKVSVFFGGLSIKKDEDVLKKNCPHVVVGTPGRILALVR SRSLNLRNVKHFVLDECDKMLEQLDMRRDVQEIFRLTPHEKQCMMFSATLSKEIRPVCRK FMQDPMEVFVDDETKLTLHGLQQYYVKLKDSEKNRKLFDLLDVLEFNQVVIFVKSVQRCM ALAQLLVEQNFPAIAIHRGMAQEERLSRYQQFKDFQRRILVATNLFGRGMDIERVNIVFN YDMPEDSDTYLHRVARAGRFGTKGLAVTFVSDENDAKILNDVQDRFEVNVAELPEEIDIS TYIEQSR >ENSMUSP00000099390.4 pep:known chromosome:GRCm38:2:164497520:164508301:1 gene:ENSMUSG00000017721.15 transcript:ENSMUST00000103101.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigt description:phosphatidylinositol glycan anchor biosynthesis, class T [Source:MGI Symbol;Acc:MGI:1926178] MAAAMPLGLPLRLLVLLLVGRGCCGCAEGPRDSLREELVITPLPSGDVAATFQFRTRWDS DLQREGVSHYRLFPKALGQLISKYSLRELHLSFTQGFWRTRYWGPPFLQAPSGAELWVWF QDTVTDVDKSWRELSNVLSGIFCASLNFIDATNTVTPTASFKPLGLANDTDDYFLRYAVL PREVVCTENLTPWKKLLPCSSKAGLSVLLKADRLFHTSYHSQAVHIRPICRNAHCTSISW ELRQTLSVVFDAFITGQGKKDWSLFRMFSRTLTEACPLASQSLVYVDITGYSQDNETLEV SPPPTSTYQDVILGTRKTYAVYDLFDTAMINNSRNLNIQLKWKRPPDNEALPVPFLHAQR YVSGYGLQKGELSTLLYNSHPYRAFPVLLLDVVPWYLRLYVHTLTITSKGKENKPSYIHY QPAQDRQQPHLLEMLIQLPANSVTKVSIQFERALLKWTEYTPDPNHGFYVSPSVLSALVP SVVAAKPVDWEGSPLFNTLFPVSDGSSYFVRLYTEPLLVNLPTPDFSMPYNVICLTCTVV AVCYGSFYNLLTRTFHIEEPKSGGLAKRLANLIRRARGVPPL >ENSMUSP00000112577.1 pep:known chromosome:GRCm38:2:164497535:164503120:1 gene:ENSMUSG00000017721.15 transcript:ENSMUST00000117066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigt description:phosphatidylinositol glycan anchor biosynthesis, class T [Source:MGI Symbol;Acc:MGI:1926178] MAAAMPLGLPLRLLVLLLVGRGCCGCAEGPRDSLREELVITPLPSGDVAATFQFRTRWDS DLQREGVSHYRLFPKALGQLISKYSLRELHLSFTQGFWRTRYWGPPFLQAPSGAELWVWF QDTVTDVDKSWRELSNVLSGIFCASLNFIDATNTVTPTASFKPLGLANDTDDYFLRYAVL PREVVCTENLTPWKKLLPCSSKAGLSVLLKADRLFHTSYHSQAVHIRPICRNAHCTSISW ELRQTLSVVFDAFITGQGKKDWSLFRMFSRTLTEACPLASQSLVYVDITGYSQDNETLEV SPPPTSTYQDVILGTRKTYAVYDLFDTAMINNSRNLNIQLKWKRPPDNEALPVPFLHAQR YVSGYGLQKGELSTLLYNSHPYRAFPVLLLDVVPWYLRLYVHTLTITSKGKENKPSEERP PPPPPPPNTPHSPQL >ENSMUSP00000115362.1 pep:known chromosome:GRCm38:2:164497561:164508301:1 gene:ENSMUSG00000017721.15 transcript:ENSMUST00000152522.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigt description:phosphatidylinositol glycan anchor biosynthesis, class T [Source:MGI Symbol;Acc:MGI:1926178] XAAAMPLGLPLRLLVLLLVGRGCCGCAEGPRDSLREELVITPLPSGDVAATFQFRTRWDS DLQREGVSHYRLFPKALGQLISKYSLRELHLSFTQGFWRTRYWGPPFLQAPSGAELWVWF QDTVTDYLHQYACTYNMKKLHYY >ENSMUSP00000022064.4 pep:known chromosome:GRCm38:13:74359578:74364005:-1 gene:ENSMUSG00000021579.4 transcript:ENSMUST00000022064.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc14b description:leucine rich repeat containing 14B [Source:MGI Symbol;Acc:MGI:2145269] MRSLRFISAEALVSHSQLVQENLDNIAYNLYPLLFKASYLLEQADVTRALLSHWPLEEFR LAVLLRPNTDHPEDLRDRACKACLEACMQGIADHVLKSGSNRLRVADFTGIQDVQVQQCP CGRALGRWGRTKVLARTCCQLQGQPCSAGHPIEVFADLFVTEGNFDMVVQALKPLGPAPL QVCCPSLRADSLSPGQLLQVLGLAGPGNLRKLEVVHNVRLHAGHVQQLLTQVGFPQLTSL TLPTKAFDAPPTCAPDPEGEDLLLTSIAWELSQMNQLTELSVAFSTLTGKIQTLLSPLKT PLRVLDLANCALNHEDISFLADCNHTAHLEVLDLSGHNLVHLYPSTFFRLLSQAAQTLRV LTLEECNITDSHVNMMILGLSPCSQLQQFKFLGNPLSGSALRRLFAALCELPRLRHIEFP VPKDCYPEGAIYPQDELSVSKFDQQKYDVIAEDLRAVLLRANREDIQVSTPLFGSFDPDI HETSNELGTFLLQAFKTALENFSRALEQME >ENSMUSP00000025212.5 pep:known chromosome:GRCm38:18:35614604:35627244:-1 gene:ENSMUSG00000024354.11 transcript:ENSMUST00000025212.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc23a1 description:solute carrier family 23 (nucleobase transporters), member 1 [Source:MGI Symbol;Acc:MGI:1341903] MKTPEDPGSPKQHEVVDSAGTSTRDRQAPLPTEPKFDMLYKIEDVPPWYLCILLGFQHYL TCFSGTIAVPFLLAEALCVGRDQHMVSQLIGTIFTCVGITTLIQTTVGIRLPLFQASAFA FLVPAKSILALERWKCPSEEEIYGNWSMPLNTSHIWHPRIREVQGAIMVSSMVEVVIGLM GLPGALLSYIGPLTVTPTVSLIGLSVFQAAGDRAGSHWGISACSILLIVLFSQYLRNLTF LLPVYRWGKGLTLFRVQIFKMFPIVLAIMTVWLLCYVLTLTDVLPADPTVYGFQARTDAR GDIMAISPWIRIPYPCQWGLPTVTVAAVLGMFSATLAGIIESIGDYYACARLAGAPPPPV HAINRGIFTEGICCIIAGLLGTGNGSTSSSPNIGVLGITKVGSRRVVQYGAGIMLILGAI GKFTALFASLPDPILGGMFCTLFGMITAVGLSNLQFVDMNSSRNLFVLGFSMFFGLTLPN YLDSNPGAINTGIPEVDQILTVLLTTEMFVGGCLAFILDNTVPGSPEERGLIQWKAGAHA NSETSASLKSYDFPFGMGMVKRTTFFRYIPICPVFRGFSKKTQNQPPVLEDTPDNIETGS VCTKV >ENSMUSP00000114541.1 pep:known chromosome:GRCm38:18:35619374:35627196:-1 gene:ENSMUSG00000024354.11 transcript:ENSMUST00000150877.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc23a1 description:solute carrier family 23 (nucleobase transporters), member 1 [Source:MGI Symbol;Acc:MGI:1341903] MKTPEDPGSPKQQGLPPGTGRHLCPRSPSLTCCTRLRMCHHGTCASCWASSIT >ENSMUSP00000112640.1 pep:known chromosome:GRCm38:8:66860217:66890564:1 gene:ENSMUSG00000014907.9 transcript:ENSMUST00000118009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naf1 description:nuclear assembly factor 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2682306] MEVVEAAAQLQTLKFGGSGQGSAAPQPPEDRREAPPPGVQPPPPAPSSDPGGRPPPLPAP SSDPGGRPPPLPAPNSDPGGRPPPLPAPSSDPGGRPPPLPAPNSDPGGRPPPLPAPSSDP GGRPPPLPAPNSDPGGRPPPPPAPSSDPGGRPPPPPAPNWDAGGRPPPPPAPNSDPGGRP TPPLPALNWDAGGRPPPPGGAWVTGRNAAEPPPVLQASDSSDSDSDSETDSDSSSSSSSS SSSSSSCVSFPPVLSDGDEDFQLEKENKNFPLKTKDELLLNELPSVEELTVILPEDIALK PLGKVSSIIEQLVIIESVTNIPPVNEDTVIFKSDRQAAGKIFEIFGPVAHPFYVLRFNSS DHIESKGIKINDTMYFAPSMKDFTQYIFTEKLKQDRGSDASWKNDQEPPPEVLDFSDDEK EKEAKQRKKSQIQGRKKLKSELNESGEDFGEVHENWNAYSSSEHSKGYHHREFSRGFARG RYSRRSHGRPPPQQYYNSDHMASQESLGFTPQRQDNPVMPHYPFPPPMFDMHNFPLPPPP PPPPPPPPSMGWAAPSMASHPVLNLPYSMPPPPLPPPPPPPPPSPGENNSSHFGPYF >ENSMUSP00000055564.3 pep:known chromosome:GRCm38:7:30857390:30861564:-1 gene:ENSMUSG00000044453.5 transcript:ENSMUST00000052700.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ffar1 description:free fatty acid receptor 1 [Source:MGI Symbol;Acc:MGI:2684079] MDLPPQLSFALYVSAFALGFPLNLLAIRGAVSHAKLRLTPSLVYTLHLGCSDLLLAITLP LKAVEALASGAWPLPLPFCPVFALAHFAPLYAGGGFLAALSAGRYLGAAFPFGYQAIRRP RYSWGVCVAIWALVLCHLGLALGLETSGSWLDNSTSSLGINIPVNGSPVCLEAWDPDSAR PARLSFSILLFFLPLVITAFCYVGCLRALVRSGLSHKRKLRAAWVAGGALLTLLLCLGPY NASNVASFINPDLGGSWRKLGLITGAWSVVLNPLVTGYLGTGPGRGTICVTRTQRGTIQK >ENSMUSP00000112864.1 pep:known chromosome:GRCm38:10:19934526:20141670:1 gene:ENSMUSG00000071369.10 transcript:ENSMUST00000120259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k5 description:mitogen-activated protein kinase kinase kinase 5 [Source:MGI Symbol;Acc:MGI:1346876] MGTEAGEGITFSVPPFASVGFCTIPEGGSCRRGGGAATAAEGEPSLQPLLVPPPPPPPGS FWNVESAAAPGTSCPTTAPGSSATRGRGNSGSGGGRRTTVAYVINEASQGQLVVAESEAL QSLREACEAVGATLETLHFGKLDFGETAVLDRFYNADIAVVEMSDAFRQPSLFYHLGVRE SFSMANNIILYCDTNSDSLQSLKVCTGNYTFIPYMVTPHNKVYCCDSSFMKGLTELMQPN FELLLGPICLPLVDRFVQLLKVAQASSSQYFRESILSDIRKARNLYTGKELAAELARIRQ RVDNIEVLTADIVINLLLSYRDIQDYDSIVKLVETLEKLPTFDLASHHHVKFHYAFALNR RNLPGDRAKALDIMIPMVQSEEQVASDMYCLVGRIYKDMFLDSNFTDTESRDHGASWFKK AFESEPTLQSGINYAVLLLAAGHQFESSFELRKVGVKLSSLLGKKGNLEKLQSYWEVGFF LGASVLANDHLRVIQASEKLFRLKTPAWYLKSIVETILIYKHFVKLTTEQPSAKQELVDF WMDFLVEATKTDVTVVRFPVLILEPTKIYQPSYLSINNEVEEKTISIWHVLPDDKKGIHE WNFGASSVRGVSISKFEERCCFLYVLHNSDDFQIYFCTELHCKRFFEMVNTITEEKGRGA EDGDCEGDSLEYDYEYDENGDRVVLGKGTYGIVYAGRDLSNQVRIAIKEIPERDSRYSQP LHEEIALHKHLKHKNIVQYLGSFSENGFIKIFMEQVPGGSLSALLRSKWGPLKDNEQTIG FYTKQILEGLKYLHDNQIVHRDIKGDNVLINTYSGVLKISDFGTSKRLAGINPCTETFTG TLQYMAPEIIDKGPRGYGKAADIWSLGCTIIEMATGKPPFYELGEPQAAMFKVGMFKVHP EIPESMSAEAKAFILKCFEPDPDKRACANDLLIDEFLKVSSKKKKTQPKLSALSTGSNEY LRSISLPVPVLVEDTSSSSEYGSVSPDTELKADPFSFKARAKSCGEKDGKGIRTLFLGIP DENFEDHSAPPSPEEKDSGFFMLRKDSERRATLHRILTEDQDKVVRNLMESLAQGAEEPK LKWEHITTLISSLREFVRSTDRKIIATTLSKLKLELDFDSHGISQVQVVLFGFQDAVNKV LRNHNIKPHWMFALDSIIRKAVQTAITILVPELRPHFSLASESDTADPEDLDVEDEHEEL SSNQTVRRPQAITEDAVATSGVSTLSSTVSHDSQNAHRSLNVQLGRMKIETNRLLEELVR KERELQALLHQAIEEKDQEIRHLKLKSQPIDIPGFPVCHLNSPGTTTEDSELPGWLRENG ADEDTISRFLAEDYTLVDVLYYVTRDDLKCLRLRGGMLCTLWKAIIDFRNKC >ENSMUSP00000114518.1 pep:known chromosome:GRCm38:10:19935223:20100158:1 gene:ENSMUSG00000071369.10 transcript:ENSMUST00000129437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k5 description:mitogen-activated protein kinase kinase kinase 5 [Source:MGI Symbol;Acc:MGI:1346876] MVNTITEEKGRGAEDGDCEGDSLEYDYEYDENGDRVVLGKGTYGIVYAGRDLSNQVRIAI KEIPERDSRYSQPLHEEIALHKHLKHKNIVQYLGSFSENGFIKIFMEQVPGGSLSALLRS KWGPLKDNEQTIGFYTKQILEGLK >ENSMUSP00000122553.1 pep:known chromosome:GRCm38:10:19951055:20023699:1 gene:ENSMUSG00000071369.10 transcript:ENSMUST00000152533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k5 description:mitogen-activated protein kinase kinase kinase 5 [Source:MGI Symbol;Acc:MGI:1346876] MSDAFRQPSLFYHLGVRESFSMANNIILYCDTNSDSLQSLKEIICQKNTVCTGNYTFIPY MVTPHNKVYCCDSSFMKGLT >ENSMUSP00000093485.3 pep:known chromosome:GRCm38:10:19934472:20142753:1 gene:ENSMUSG00000071369.10 transcript:ENSMUST00000095806.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k5 description:mitogen-activated protein kinase kinase kinase 5 [Source:MGI Symbol;Acc:MGI:1346876] MGTEAGEGITFSVPPFASVGFCTIPEGGSCRRGGGAATAAEGEPSLQPLLVPPPPPPPGS FWNVESAAAPGTSCPTTAPGSSATRGRGNSGSGGGRRTTVAYVINEASQGQLVVAESEAL QSLREACEAVGATLETLHFGKLDFGETAVLDRFYNADIAVVEMSDAFRQPSLFYHLGVRE SFSMANNIILYCDTNSDSLQSLKEIICQKNTVCTGNYTFIPYMVTPHNKVYCCDSSFMKG LTELMQPNFELLLGPICLPLVDRFVQLLKVAQASSSQYFRESILSDIRKARNLYTGKELA AELARIRQRVDNIEVLTADIVINLLLSYRDIQDYDSIVKLVETLEKLPTFDLASHHHVKF HYAFALNRRNLPGDRAKALDIMIPMVQSEEQVASDMYCLVGRIYKDMFLDSNFTDTESRD HGASWFKKAFESEPTLQSGINYAVLLLAAGHQFESSFELRKVGVKLSSLLGKKGNLEKLQ SYWEVGFFLGASVLANDHLRVIQASEKLFRLKTPAWYLKSIVETILIYKHFVKLTTEQPS AKQELVDFWMDFLVEATKTDVTVVRFPVLILEPTKIYQPSYLSINNEVEEKTISIWHVLP DDKKGIHEWNFGASSVRGVSISKFEERCCFLYVLHNSDDFQIYFCTELHCKRFFEMVNTI TEEKGRGAEDGDCEGDSLEYDYEYDENGDRVVLGKGTYGIVYAGRDLSNQVRIAIKEIPE RDSRYSQPLHEEIALHKHLKHKNIVQYLGSFSENGFIKIFMEQVPGGSLSALLRSKWGPL KDNEQTIGFYTKQILEGLKYLHDNQIVHRDIKGDNVLINTYSGVLKISDFGTSKRLAGIN PCTETFTGTLQYMAPEIIDKGPRGYGKAADIWSLGCTIIEMATGKPPFYELGEPQAAMFK VGMFKVHPEIPESMSAEAKAFILKCFEPDPDKRACANDLLIDEFLKVSSKKKKTQPKLSA LSTGSNEYLRSISLPVPVLVEDTSSSSEYGSVSPDTELKADPFSFKARAKSCGEKDGKGI RTLFLGIPDENFEDHSAPPSPEEKDSGFFMLRKDSERRATLHRILTEDQDKVVRNLMESL AQGAEEPKLKWEHITTLISSLREFVRSTDRKIIATTLSKLKLELDFDSHGISQVQVVLFG FQDAVNKVLRNHNIKPHWMFALDSIIRKAVQTAITILVPELRPHFSLASESDTADPEDLD VEDEHEELSSNQTVRRPQAITEDAVATSGVSTLSSTVSHDSQNAHRSLNVQLGRMKIETN RLLEELVRKERELQALLHQAIEEKDQEIRHLKLKSQPIDIPGFPVCHLNSPGTTTEDSEL PGWLRENGADEDTISRFLAEDYTLVDVLYYVTRDDLKCLRLRGGMLCTLWKAIIDFRNKC >ENSMUSP00000109366.1 pep:known chromosome:GRCm38:1:74565127:74588028:-1 gene:ENSMUSG00000026135.17 transcript:ENSMUST00000113737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp142 description:zinc finger protein 142 [Source:MGI Symbol;Acc:MGI:1924514] MTDPVLASQLANGTGEMDGLCSELLLIPPPLSNHGILGPVQNTCASGELAPLPADPGCLL VEATATEEGPGNMEIIVEAVTGTLSPGAPEETSESGCVFSAEDRKGLQNHLRQTHKAVPV PCSFRGCSLLFGSQQGMELHRQAHYPFHCSHCSFMGSNVKLFRQHQRSHGASARGELSAA VQGLPSQELLPAAKLPPGHREPSEEASTPLPGQESAEEEDAEEEESVTQKDSQKVMDKSQ GAQQLEGHVGSGTESLFKTHMCPECKRCFKKRTHLVEHLHLHFPDPSLQCPNCQKFFTSK SKLKTHLLRELGEKAHRCPLCHYSAVERNALNRHMASMHEDISNFYSDTYACPVCREEFR LSQALKEHLKSHTAAAAAEPLPLHCFQEGCTYVAPDRKAFLKHLKEIHGVRAVECRHHSC PMLFATAEAMEAHHKSHYAFHCPHCDFACSNKHLFRKHKKQGHPGSEELRCTFCPFATFN PVAYQDHVGKMHAYEKIHQCSECNFATAHKRVLIRHMLLHTGEKPHKCELCDFTCRDVSY LSKHMLTHSNTKDYMCTECGYVTKWKHYLSVHMRKHAGDLRYQCNQCSYRCHRADQLSSH KLRHQGKSLMCEVCAFACKRKYELQKHMASQHHPGTPAPLYPCRYCSYQSRHKQALLSHE NCKHTHLREFHCALCDYRTFSNTTLFFHKRKVHGYMPGDQVWQFCNASQELEGARQCLAP PSDSGPSSQLSAQPEREDREHEIVANSNMDQALPETNEEASPKRQDGIEAPQEDDQVDSP SLGEVEEGGCTLHLEALRVELEPETEPLPLEELTETATVEFRPLDPSGPLGTERPGGLEE PALSSFDSIETPALVAEEEPVVEKLASEPPRNPLISEEAPNTFKAALTAETVPLPPFPES ESLLKAMRRQDKEQAEALVLEGRVQMVVIQGEGRAFRCPHCPFITRREKALTLHSKSGCQ GRREPLLCPECGASFKQQRGLSTHMMKKCPVLLKKNKALPKPVSPTLHPQLPDNQASQDA ESRKPPPLPSKVELLLPKDAPSDLPGGPGVEEPLPTPSDFPTSPPENSLPTGTSEKFHFE QGKFHCSSCTFLCSRLSSITSHVTEGCRGGRGQKRKRGRPQTHAVVLPLNNGDSTLLNTG STESSPSDGDTAVVQKQKGALFSCPTCPFSCQQERTLRTHQTQGCPLKSGDLHCGLCPFT APAAAALRLHQKRRHPTASPASGPRPLLQCGDCGFTCKQSRCLQQHRRLKHEGVKPHQCP FCDFSTTRRYRLEAHQSRHTGVGRIPCSSCPQTFGTNSKLRLHQLRVHDKTPTHFCPLCD YSGYLRHDITRHVNSCHQGTPSFSCTQCEAQFSSETALKQHALRRHPEPTPPSSGCPVEV TEGPLHCSHCGLLCPSPASLRGHTRKQHPRLECGACQESFPNRPALDEHRRQHHFSHRCQ LCSFAARERVGLVKHYLEQHEESSTAPSDGDAGQPSLCCPFCDFACRHQLVLDHHVKGHG GTRLYKCTDCAYSTKNRQKITWHSRIHTGEKPYHCHLCAYACADPSRLKYHMRIHKEERK YLCPECGYKCKWVNQLKYHMTKHTGLKPYQCPECEYCTNRADALRVHRETRHREARAFMC EQCGKAFKTRFLLRTHLRKHSEAKPYVCNVCHRAFRWAAGLRHHALTHTDRHPFFCRLCS YKAKQKFQVVKHVRRHHPDQADPNQGVGKDPTTPTVHLHDVKLEDPSPPAPPAPSTGPEG >ENSMUSP00000027315.7 pep:known chromosome:GRCm38:1:74566426:74588025:-1 gene:ENSMUSG00000026135.17 transcript:ENSMUST00000027315.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp142 description:zinc finger protein 142 [Source:MGI Symbol;Acc:MGI:1924514] MTDPVLASQLANGTGEMDGLCSELLLIPPPLSNHGILGPVQNTCASGELAPLPADPGCLL VEATATEEGPGNMEIIVEAVTGTLSPGAPEETSGVLVKVVEVYFCERCEQSFAEPTLLSV HQCTETHIQAVQDLSSPPCSVELPPSNLALRGPLQDPSLPDSPLPCPVCRQEFVQPQALK SHFKIHRVTPNMFSCPESGCVFSAEDRKGLQNHLRQTHKAVPVPCSFRGCSLLFGSQQGM ELHRQAHYPFHCSHCSFMGSNVKLFRQHQRSHGASARGELSAAVQGLPSQELLPAAKLPP GHREPSEEASTPLPGQESAEEEDAEEEESVTQKDSQKVMDKSQGAQQLEGHVGSGTESLF KTHMCPECKRCFKKRTHLVEHLHLHFPDPSLQCPNCQKFFTSKSKLKTHLLRELGEKAHR CPLCHYSAVERNALNRHMASMHEDISNFYSDTYACPVCREEFRLSQALKEHLKSHTAAAA AEPLPLHCFQEGCTYVAPDRKAFLKHLKEIHGVRAVECRHHSCPMLFATAEAMEAHHKSH YAFHCPHCDFACSNKHLFRKHKKQGHPGSEELRCTFCPFATFNPVAYQDHVGKMHAYEKI HQCSECNFATAHKRVLIRHMLLHTGEKPHKCELCDFTCRDVSYLSKHMLTHSNTKDYMCT ECGYVTKWKHYLSVHMRKHAGDLRYQCNQCSYRCHRADQLSSHKLRHQGKSLMCEVCAFA CKRKYELQKHMASQHHPGTPAPLYPCRYCSYQSRHKQALLSHENCKHTHLREFHCALCDY RTFSNTTLFFHKRKVHGYMPGDQVWQFCNASQELEGARQCLAPPSDSGPSSQLSAQPERE DREHEIVANSNMDQALPETNEEASPKRQDGIEAPQEDDQVDSPSLGEVEEGGCTLHLEAL RVELEPETEPLPLEELTETATVEFRPLDPSGPLGTERPGGLEEPALSSFDSIETPALVAE EEPVVEKLASEPPRNPLISEEAPNTFKAALTAETVPLPPFPESESLLKAMRRQDKEQAEA LVLEGRVQMVVIQGEGRAFRCPHCPFITRREKALTLHSKSGCQGRREPLLCPECGASFKQ QRGLSTHMMKKCPVLLKKNKALPKPVSPTLHPQLPDNQASQDAESRKPPPLPSKVELLLP KDAPSDLPGGPGVEEPLPTPSDFPTSPPENSLPTGTSEKFHFEQGKFHCSSCTFLCSRLS SITSHVTEGCRGGRGQKRKRGRPQTHAVVLPLNNGDSTLLNTGSTESSPSDGDTAVVQKQ KGALFSCPTCPFSCQQERTLRTHQTQGCPLKSGDLHCGLCPFTAPAAAALRLHQKRRHPT ASPASGPRPLLQCGDCGFTCKQSRCLQQHRRLKHEGVKPHQCPFCDFSTTRRYRLEAHQS RHTGVGRIPCSSCPQTFGTNSKLRLHQLRVHDKTPTHFCPLCDYSGYLRHDITRHVNSCH QGTPSFSCTQCEAQFSSETALKQHALRRHPEPTPPSSGCPVEVTEGPLHCSHCGLLCPSP ASLRGHTRKQHPRLECGACQESFPNRPALDEHRRQHHFSHRCQLCSFAARERVGLVKHYL EQHEESSTAPSDGDAGQPSLCCPFCDFACRHQLVLDHHVKGHGGTRLYKCTDCAYSTKNR QKITWHSRIHTGEKPYHCHLCAYACADPSRLKYHMRIHKEERKYLCPECGYKCKWVNQLK YHMTKHTGLKPYQCPECEYCTNRADALRVHRETRHREARAFMCEQCGKAFKTRFLLRTHL RKHSEAKPYVCNVCHRAFRWAAGLRHHALTHTDRHPFFCRLCSYKAKQKFQVVKHVRRHH PDQADPNQGVGKDPTTPTVHLHDVKLEDPSPPAPPAPSTGPEG >ENSMUSP00000065149.6 pep:known chromosome:GRCm38:1:74566502:74588145:-1 gene:ENSMUSG00000026135.17 transcript:ENSMUST00000066986.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp142 description:zinc finger protein 142 [Source:MGI Symbol;Acc:MGI:1924514] MTDPVLASQLANGTGEMDGLCSELLLIPPPLSNHGILGPVQNTCASGELAPLPADPGCLL VEATATEEGPGNMEIIVEAVTGTLSPGAPEETSAAKLPPGHREPSEEASTPLPGQESAEE EDAEEEESVTQKDSQKVMDKSQGAQQLEGHVGSGTESLFKTHMCPECKRCFKKRTHLVEH LHLHFPDPSLQCPNCQKFFTSKSKLKTHLLRELGEKAHRCPLCHYSAVERNALNRHMASM HEDISNFYSDTYACPVCREEFRLSQALKEHLKSHTAAAAAEPLPLHCFQEGCTYVAPDRK AFLKHLKEIHGVRAVECRHHSCPMLFATAEAMEAHHKSHYAFHCPHCDFACSNKHLFRKH KKQGHPGSEELRCTFCPFATFNPVAYQDHVGKMHAYEKIHQCSECNFATAHKRVLIRHML LHTGEKPHKCELCDFTCRDVSYLSKHMLTHSNTKDYMCTECGYVTKWKHYLSVHMRKHAG DLRYQCNQCSYRCHRADQLSSHKLRHQGKSLMCEVCAFACKRKYELQKHMASQHHPGTPA PLYPCRYCSYQSRHKQALLSHENCKHTHLREFHCALCDYRTFSNTTLFFHKRKVHGYMPG DQVWQFCNASQELEGARQCLAPPSDSGPSSQLSAQPEREDREHEIVANSNMDQALPETNE EASPKRQDGIEAPQEDDQVDSPSLGEVEEGGCTLHLEALRVELEPETEPLPLEELTETAT VEFRPLDPSGPLGTERPGGLEEPALSSFDSIETPALVAEEEPVVEKLASEPPRNPLISEE APNTFKAALTAETVPLPPFPESESLLKAMRRQDKEQAEALVLEGRVQMVVIQGEGRAFRC PHCPFITRREKALTLHSKSGCQGRREPLLCPECGASFKQQRGLSTHMMKKCPVLLKKNKA LPKPVSPTLHPQLPDNQASQDAESRKPPPLPSKVELLLPKDAPSDLPGGPGVEEPLPTPS DFPTSPPENSLPTGTSEKFHFEQGKFHCSSCTFLCSRLSSITSHVTEGCRGGRGQKRKRG RPQTHAVVLPLNNGDSTLLNTGSTESSPSDGDTAVVQKQKGALFSCPTCPFSCQQERTLR THQTQGCPLKSGDLHCGLCPFTAPAAAALRLHQKRRHPTASPASGPRPLLQCGDCGFTCK QSRCLQQHRRLKHEGVKPHQCPFCDFSTTRRYRLEAHQSRHTGVGRIPCSSCPQTFGTNS KLRLHQLRVHDKTPTHFCPLCDYSGYLRHDITRHVNSCHQGTPSFSCTQCEAQFSSETAL KQHALRRHPEPTPPSSGCPVEVTEGPLHCSHCGLLCPSPASLRGHTRKQHPRLECGACQE SFPNRPALDEHRRQHHFSHRCQLCSFAARERVGLVKHYLEQHEESSTAPSDGDAGQPSLC CPFCDFACRHQLVLDHHVKGHGGTRLYKCTDCAYSTKNRQKITWHSRIHTGEKPYHCHLC AYACADPSRLKYHMRIHKEERKYLCPECGYKCKWVNQLKYHMTKHTGLKPYQCPECEYCT NRADALRVHRETRHREARAFMCEQCGKAFKTRFLLRTHLRKHSEAKPYVCNVCHRAFRWA AGLRHHALTHTDRHPFFCRLCSYKAKQKFQVVKHVRRHHPDQADPNQGVGKDPTTPTVHL HDVKLEDPSPPAPPAPSTGPEG >ENSMUSP00000138085.1 pep:known chromosome:GRCm38:1:74566683:74588246:-1 gene:ENSMUSG00000026135.17 transcript:ENSMUST00000156613.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp142 description:zinc finger protein 142 [Source:MGI Symbol;Acc:MGI:1924514] MTDPVLASQLANGTGEMDGLCSELLLIPPPLSNHGILGPVQNTCASGELAPLPADPGCLL VEATATEEGPGNMEIIVEAVTGTLSPGAPEETSAIAASWAPTSNYSGSISGAMEPVHGEN FLLLFRAFHPRSYCQLPNCLRDTENLLRKQVHLCLGRSQLKRRMQRKRRASPKKTPRKSW IKAKGLSSWKGMWVQALSLSSRHTCAQNASAALRSGPIW >ENSMUSP00000137908.1 pep:known chromosome:GRCm38:1:74566869:74585663:-1 gene:ENSMUSG00000026135.17 transcript:ENSMUST00000127921.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp142 description:zinc finger protein 142 [Source:MGI Symbol;Acc:MGI:1924514] MTDPVLASQLANGTGEMDGLCSELLLIPPPLSNHGILGPVQNTCASGELAPLPADPGCLL VEATATEEGPGNMEIIVEAVTGTLSPGAPEETSASAEPWSQCTGRTFCCCSGPSIPGATA SCQTASGTQRTF >ENSMUSP00000122745.1 pep:known chromosome:GRCm38:5:115845280:115873860:1 gene:ENSMUSG00000029516.19 transcript:ENSMUST00000137952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cit description:citron [Source:MGI Symbol;Acc:MGI:105313] MLKFKYGVRNPPEASASEPIASRASRLNLFFQGKPPLMTQQQMSALSREGMLDALFALFE ECSQPALMKMKHVSSFVQKYSDTIAELRELQPSARDFEVRSLVGCGHFAEVQVVREKATG DVYAMKIMKKKALLAQEQVSFFEEERNILSRSTSPWIPQLQYAFQDKNNLYLVME >ENSMUSP00000119769.1 pep:known chromosome:GRCm38:5:115845281:115873878:1 gene:ENSMUSG00000029516.19 transcript:ENSMUST00000148245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cit description:citron [Source:MGI Symbol;Acc:MGI:105313] MLKFKYGVRNPPEASASEPIASRASRLNLFFQGKPPLMTQQQMSALSREGMLDALFALFE ECSQPALMKMKHVSSFVQKYSDTIAELRELQPSARDFEVRSLVGCGHFAEVQVVREKATG DVYAMKIMKKKALLAQEQVSFFEEERNILSRSTSPWIPQLQYAFQDKNNLYLVMEYQPGG D >ENSMUSP00000115802.2 pep:known chromosome:GRCm38:5:115845644:116006353:1 gene:ENSMUSG00000029516.19 transcript:ENSMUST00000141101.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cit description:citron [Source:MGI Symbol;Acc:MGI:105313] MLKFKYGVRNPPEASASEPIASRASRLNLFFQGKPPLMTQQQMSALSREGMLDALFALFE ECSQPALMKMKHVSSFVQKYSDTIAELRELQPSARDFEVRSLVGCGHFAEVQVVREKATG DVYAMKIMKKKALLAQEQVSFFEEERNILSRSTSPWIPQLQYAFQDKNNLYLVMEYQPGG DLLSLLNRYEDQLDESMIQFYLAELILAVHSVHQMGYVHRDIKPENILIDRTGHIKLVDF GSAAKMNSNKVDAKLPIGTPDYMAPEVLTVMNEDRRGTYGLDCDWWSVGVVAYEMVYGKT PFTEGTSARTFNNIMNFQRFLKFPDDPKVSSELLDLLQSLLCVQKERLKFEGLCCHPFFA RTDWNNIRNSPPPFVPTLKSDDDTSNFDEPEKNSWVSSSVCQLSPSGFSGEELPFVGFSY SKALGYLGRSESVVSSLDSPAKVSSMEKKLLIKSKELQDSQDKCHKMEQEMTRLHRRVSE VEAVLSQKEVELKASETQRSLLEQDLATYITECSSLKRSLEQARMEVSQEDDKALQLLHD IREQSRKLQEIKEQEYQAQVEEMRLMMNQLEEDLVSARRRSDLYESELRESRLAAEEFKR KANECQHKLMKAKDQGKPEVGEYSKLEKINAEQQLKIQELQEKLEKAVKASTEATELLQN IRQAKERAERELEKLHNREDSSEGIKKKLVEAEELEEKHREAQVSAQHLEVHLKQKEQHY EEKIKVLDNQIKKDLADKESLENMMQRHEEEAHEKGKILSEQKAMINAMDSKIRSLEQRI VELSEANKLAANSSLFTQRNMKAQEEMISELRQQKFYLETQAGKLEAQNRKLEEQLEKIS HQDHSDKSRLLELETRLREVSLEHEEQKLELKRQLTELQLSLQERESQLTALQAARAALE SQLRQAKTELEETTAEAEEEIQALTAHRDEIQRKFDALRNSCTVITDLEEQLNQLTEDNA ELNNQNFYLSKQLDEASGANDEIVQLRSEVDHLRREITEREMQLTSQKQTMEALKTTCTM LEEQVLDLEALNDELLEKERQWEAWRSVLGDEKSQFECRVRELQRMLDTEKQSRARADQR ITESRQVVELAVKEHKAEILALQQALKEQKLKAESLSDKLNDLEKKHAMLEMNARSLQQK LETERELKQRLLEEQAKLQQQMDLQKNHIFRLTQGLQEALDRADLLKTERSDLEYQLENI QVLYSHEKVKMEGTISQQTKLIDFLQAKMDQPAKKKKVPLQYNELKLALEKEKARCAELE EALQKTRIELRSAREEAAHRKATDHPHPSTPATARQQIAMSAIVRSPEHQPSAMSLLAPP SSRRKESSTPEEFSRRLKERMHHNIPHRFNVGLNMRATKCAVCLDTVHFGRQASKCLECQ VMCHPKCSTCLPATCGLPAEYATHFTEAFCRDKMNSPGLQSKEPGSSLHLEGWMKVPRNN KRGQQGWDRKYIVLEGSKVLIYDNEAREAGQRPVEEFELCLPDGDVSIHGAVGASELANT AKADVPYILKMESHPHTTCWPGRTLYLLAPSFPDKQRWVTALESVVAGGRVSREKAEADA KLLGNSLLKLEGDDRLDMNCTLPFSDQVVLVGTEEGLYALNVLKNSLTHIPGIGAVFQIY IIKDLEKLLMIAGEERALCLVDVKKVKQSLAQSHLPAQPDVSPNIFEAVKGCHLFAAGKI ENSLCICAAMPSKVVILRYNDNLSKYCIRKEIETSEPCSCIHFTNYSILIGTNKFYEIDM KQYTLDEFLDKNDHSLAPAVFASSSNSFPVSIVQANSAGQREEYLLCFHEFGVFVDSYGR RSRTDDLKWSRLPLAFAYREPYLFVTHFNSLEVIEIQARSSLGSPARAYLEIPNPRYLGP AISSGAIYLASSYQDKLRVICCKGNLVKESGTEQHRVPSTSRSSPNKRGPPTYNEHITKR VASSPAPPEGPSHPREPSTPHRYRDREGRTELRRDKSPGRPLEREKSPGRMLSTRRERSP GRLFEDSSRGRLPAGAVRTPLSQVNKVWDQSSV >ENSMUSP00000062049.8 pep:known chromosome:GRCm38:5:115845644:116008947:1 gene:ENSMUSG00000029516.19 transcript:ENSMUST00000051704.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cit description:citron [Source:MGI Symbol;Acc:MGI:105313] MLKFKYGVRNPPEASASEPIASRASRLNLFFQGKPPLMTQQQMSALSREGMLDALFALFE ECSQPALMKMKHVSSFVQKYSDTIAELRELQPSARDFEVRSLVGCGHFAEVQVVREKATG DVYAMKIMKKKALLAQEQVSFFEEERNILSRSTSPWIPQLQYAFQDKNNLYLVMEYQPGG DLLSLLNRYEDQLDESMIQFYLAELILAVHSVHQMGYVHRDIKPENILIDRTGHIKLVDF GSAAKMNSNKVDAKLPIGTPDYMAPEVLTVMNEDRRGTYGLDCDWWSVGVVAYEMVYGKT PFTEGTSARTFNNIMNFQRFLKFPDDPKVSSELLDLLQSLLCVQKERLKFEGLCCHPFFA RTDWNNIRNSPPPFVPTLKSDDDTSNFDEPEKNSWVSSSVCQLSPSGFSGEELPFVGFSY SKALGYLGRSESVVSSLDSPAKVSSMEKKLLIKSKELQDSQDKCHKMEQEMTRLHRRVSE VEAVLSQKEVELKASETQRSLLEQDLATYITECSSLKRSLEQARMEVSQEDDKALQLLHD IREQSRKLQEIKEQEYQAQVEEMRLMMNQLEEDLVSARRRSDLYESELRESRLAAEEFKR KANECQHKLMKAKDQGKPEVGEYSKLEKINAEQQLKIQELQEKLEKAVKASTEATELLQN IRQAKERAERELEKLHNREDSSEGIKKKLVEAEERRHSLENKVKRLETMERRENRLKDDI QTKSEQIQQMADKILELEEKHREAQVSAQHLEVHLKQKEQHYEEKIKVLDNQIKKDLADK ESLENMMQRHEEEAHEKGKILSEQKAMINAMDSKIRSLEQRIVELSEANKLAANSSLFTQ RNMKAQEEMISELRQQKFYLETQAGKLEAQNRKLEEQLEKISHQDHSDKSRLLELETRLR EVSLEHEEQKLELKRQLTELQLSLQERESQLTALQAARAALESQLRQAKTELEETTAEAE EEIQALTAHRDEIQRKFDALRNSCTVITDLEEQLNQLTEDNAELNNQNFYLSKQLDEASG ANDEIVQLRSEVDHLRREITEREMQLTSQKQTMEALKTTCTMLEEQVLDLEALNDELLEK ERQWEAWRSVLGDEKSQFECRVRELQRMLDTEKQSRARADQRITESRQVVELAVKEHKAE ILALQQALKEQKLKAESLSDKLNDLEKKHAMLEMNARSLQQKLETERELKQRLLEEQAKL QQQMDLQKNHIFRLTQGLQEALDRADLLKTERSDLEYQLENIQVLYSHEKVKMEGTISQQ TKLIDFLQAKMDQPAKKKKVPLQYNELKLALEKEKARCAELEEALQKTRIELRSAREEAA HRKATDHPHPSTPATARQQIAMSAIVRSPEHQPSAMSLLAPPSSRRKESSTPEEFSRRLK ERMHHNIPHRFNVGLNMRATKCAVCLDTVHFGRQASKCLECQVMCHPKCSTCLPATCGLP AEYATHFTEAFCRDKMNSPGLQSKEPGSSLHLEGWMKVPRNNKRGQQGWDRKYIVLEGSK VLIYDNEAREAGQRPVEEFELCLPDGDVSIHGAVGASELANTAKADVPYILKMESHPHTT CWPGRTLYLLAPSFPDKQRWVTALESVVAGGRVSREKAEADAKLLGNSLLKLEGDDRLDM NCTLPFSDQVVLVGTEEGLYALNVLKNSLTHIPGIGAVFQIYIIKDLEKLLMIAGEERAL CLVDVKKVKQSLAQSHLPAQPDVSPNIFEAVKGCHLFAAGKIENSLCICAAMPSKVVILR YNDNLSKYCIRKEIETSEPCSCIHFTNYSILIGTNKFYEIDMKQYTLDEFLDKNDHSLAP AVFASSSNSFPVSIVQANSAGQREEYLLCFHEFGVFVDSYGRRSRTDDLKWSRLPLAFAY REPYLFVTHFNSLEVIEIQARSSLGSPARAYLEIPNPRYLGPAISSGAIYLASSYQDKLR VICCKGNLVKESGTEQHRVPSTSRSSPNKRGPPTYNEHITKRVASSPAPPEGPSHPREPS TPHRYRDREGRTELRRDKSPGRPLEREKSPGRMLSTRRERSPGRLFEDSSRGRLPAGAVR TPLSQVNKVWDQSSV >ENSMUSP00000107639.2 pep:known chromosome:GRCm38:5:115846371:116006328:1 gene:ENSMUSG00000029516.19 transcript:ENSMUST00000112008.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cit description:citron [Source:MGI Symbol;Acc:MGI:105313] MLKFKYGVRNPPEASASEPIASRASRLNLFFQGKPPLMTQQQMSALSREGMLDALFALFE ECSQPALMKMKHVSSFVQKYSDTIAELRELQPSARDFEVRSLVGCGHFAEVQVVREKATG DVYAMKIMKKKALLAQEQVSFFEEERNILSRSTSPWIPQLQYAFQDKNNLYLVMEYQPGG DLLSLLNRYEDQLDESMIQFYLAELILAVHSVHQMGYVHRDIKPENILIDRTGHIKLVDF GSAAKMNSNKVDAKLPIGTPDYMAPEVLTVMNEDRRGTYGLDCDWWSVGVVAYEMVYGKT PFTEGTSARTFNNIMNFQRFLKFPDDPKVSSELLDLLQSLLCVQKERLKFEGLCCHPFFA RTDWNNIRNSPPPFVPTLKSDDDTSNFDEPEKNSWVSSSVCQLSPSGFSGEELPFVGFSY SKALGYLGRSESVVSSLDSPAKVSSMEKKLLIKSKELQDSQDKCHKMEQEMTRLHRRVSE VEAVLSQKEVELKASETQRSLLEQDLATYITECSSLKRSLEQARMEVSQEDDKALQLLHD IREQSRKLQEIKEQEYQAQVEEMRLMMNQLEEDLVSARRRSDLYESELRESRLAAEEFKR KANECQHKLMKAKDQGKPEVGEYSKLEKINAEQQLKIQELQEKLEKAVKASTEATELLQN IRQAKERAERELEKLHNREDSSEGIKKKLVEAEELEEKHREAQVSAQHLEVHLKQKEQHY EEKIKVLDNQIKKDLADKESLENMMQRHEEEAHEKGKILSEQKAMINAMDSKIRSLEQRI VELSEANKLAANSSLFTQRNMKAQEEMISELRQQKFYLETQAGKLEAQNRKLEEQLEKIS HQDHSDKSRLLELETRLREVSLEHEEQKLELKRQLTELQLSLQERESQLTALQAARAALE SQLRQAKTELEETTAEAEEEIQALTAHRDEIQRKFDALRNSCTVITDLEEQLNQLTEDNA ELNNQNFYLSKQLDEASGANDEIVQLRSEVDHLRREITEREMQLTSQKQTMEALKTTCTM LEEQVLDLEALNDELLEKERQWEAWRSVLGDEKSQFECRVRELQRMLDTEKQSRARADQR ITESRQVVELAVKEHKAEILALQQALKEQKLKAESLSDKLNDLEKKHAMLEMNARSLQQK LETERELKQRLLEEQAKLQQQMDLQKNHIFRLTQGLQEALDRADLLKTERSDLEYQLENI QVLYSHEKVKMEGTISQQTKLIDFLQAKMDQPAKKKKGLFSRRKEDPALPTQVPLQYNEL KLALEKEKARCAELEEALQKTRIELRSAREEAAHRKATDHPHPSTPATARQQIAMSAIVR SPEHQPSAMSLLAPPSSRRKESSTPEEFSRRLKERMHHNIPHRFNVGLNMRATKCAVCLD TVHFGRQASKCLECQVMCHPKCSTCLPATCGLPAEYATHFTEAFCRDKMNSPGLQSKEPG SSLHLEGWMKVPRNNKRGQQGWDRKYIVLEGSKVLIYDNEAREAGQRPVEEFELCLPDGD VSIHGAVGASELANTAKADVPYILKMESHPHTTCWPGRTLYLLAPSFPDKQRWVTALESV VAGGRVSREKAEADAKLLGNSLLKLEGDDRLDMNCTLPFSDQVVLVGTEEGLYALNVLKN SLTHIPGIGAVFQIYIIKDLEKLLMIAGEERALCLVDVKKVKQSLAQSHLPAQPDVSPNI FEAVKGCHLFAAGKIENSLCICAAMPSKVVILRYNDNLSKYCIRKEIETSEPCSCIHFTN YSILIGTNKFYEIDMKQYTLDEFLDKNDHSLAPAVFASSSNSFPVSIVQANSAGQREEYL LCFHEFGVFVDSYGRRSRTDDLKWSRLPLAFAYREPYLFVTHFNSLEVIEIQARSSLGSP ARAYLEIPNPRYLGPAISSGAIYLASSYQDKLRVICCKGNLVKESGTEQHRVPSTSRSSP NKRGPPTYNEHITKRVASSPAPPEGPSHPREPSTPHRYRDREGRTELRRDKSPGRPLERE KSPGRMLSTRRERSPGRLFEDSSRGRLPAGAVRTPLSQVNKVWDQSSV >ENSMUSP00000099620.3 pep:known chromosome:GRCm38:5:115846371:116006328:1 gene:ENSMUSG00000029516.19 transcript:ENSMUST00000102560.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cit description:citron [Source:MGI Symbol;Acc:MGI:105313] MLKFKYGVRNPPEASASEPIASRASRLNLFFQGKPPLMTQQQMSALSREGMLDALFALFE ECSQPALMKMKHVSSFVQKYSDTIAELRELQPSARDFEVRSLVGCGHFAEVQVVREKATG DVYAMKIMKKKALLAQEQVSFFEEERNILSRSTSPWIPQLQYAFQDKNNLYLVMEYQPGG DLLSLLNRYEDQLDESMIQFYLAELILAVHSVHQMGYVHRDIKPENILIDRTGHIKLVDF GSAAKMNSNKVDAKLPIGTPDYMAPEVLTVMNEDRRGTYGLDCDWWSVGVVAYEMVYGKT PFTEGTSARTFNNIMNFQRFLKFPDDPKVSSELLDLLQSLLCVQKERLKFEGLCCHPFFA RTDWNNIRNSPPPFVPTLKSDDDTSNFDEPEKNSWVSSSVCQLSPSGFSGEELPFVGFSY SKALGYLGRSESVVSSLDSPAKVSSMEKKLLIKSKELQDSQDKCHKMEQEMTRLHRRVSE VEAVLSQKEVELKASETQRSLLEQDLATYITECSSLKRSLEQARMEVSQEDDKALQLLHD IREQSRKLQEIKEQEYQAQVEEMRLMMNQLEEDLVSARRRSDLYESELRESRLAAEEFKR KANECQHKLMKAKDQGKPEVGEYSKLEKINAEQQLKIQELQEKLEKAVKASTEATELLQN IRQAKERAERELEKLHNREDSSEGIKKKLVEAEERRHSLENKVKRLETMERRENRLKDDI QTKSEQIQQMADKILELEEKHREAQVSAQHLEVHLKQKEQHYEEKIKVLDNQIKKDLADK ESLENMMQRHEEEAHEKGKILSEQKAMINAMDSKIRSLEQRIVELSEANKLAANSSLFTQ RNMKAQEEMISELRQQKFYLETQAGKLEAQNRKLEEQLEKISHQDHSDKSRLLELETRLR EVSLEHEEQKLELKRQLTELQLSLQERESQLTALQAARAALESQLRQAKTELEETTAEAE EEIQALTAHRDEIQRKFDALRNSCTVITDLEEQLNQLTEDNAELNNQNFYLSKQLDEASG ANDEIVQLRSEVDHLRREITEREMQLTSQKQTMEALKTTCTMLEEQVLDLEALNDELLEK ERQWEAWRSVLGDEKSQFECRVRELQRMLDTEKQSRARADQRITESRQVVELAVKEHKAE ILALQQALKEQKLKAESLSDKLNDLEKKHAMLEMNARSLQQKLETERELKQRLLEEQAKL QQQMDLQKNHIFRLTQGLQEALDRADLLKTERSDLEYQLENIQVLYSHEKVKMEGTISQQ TKLIDFLQAKMDQPAKKKKGLFSRRKEDPALPTQVPLQYNELKLALEKEKARCAELEEAL QKTRIELRSAREEAAHRKATDHPHPSTPATARQQIAMSAIVRSPEHQPSAMSLLAPPSSR RKESSTPEEFSRRLKERMHHNIPHRFNVGLNMRATKCAVCLDTVHFGRQASKCLECQVMC HPKCSTCLPATCGLPAEYATHFTEAFCRDKMNSPGLQSKEPGSSLHLEGWMKVPRNNKRG QQGWDRKYIVLEGSKVLIYDNEAREAGQRPVEEFELCLPDGDVSIHGAVGASELANTAKA DVPYILKMESHPHTTCWPGRTLYLLAPSFPDKQRWVTALESVVAGGRVSREKAEADAKLL GNSLLKLEGDDRLDMNCTLPFSDQVVLVGTEEGLYALNVLKNSLTHIPGIGAVFQIYIIK DLEKLLMIAGEERALCLVDVKKVKQSLAQSHLPAQPDVSPNIFEAVKGCHLFAAGKIENS LCICAAMPSKVVILRYNDNLSKYCIRKEIETSEPCSCIHFTNYSILIGTNKFYEIDMKQY TLDEFLDKNDHSLAPAVFASSSNSFPVSIVQANSAGQREEYLLCFHEFGVFVDSYGRRSR TDDLKWSRLPLAFAYREPYLFVTHFNSLEVIEIQARSSLGSPARAYLEIPNPRYLGPAIS SGAIYLASSYQDKLRVICCKGNLVKESGTEQHRVPSTSRSSPNKRGPPTYNEHITKRVAS SPAPPEGPSHPREPSTPHRYRDREGRTELRRDKSPGRPLEREKSPGRMLSTRRERSPGRL FEDSSRGRLPAGAVRTPLSQVNKVWDQSSV >ENSMUSP00000134875.1 pep:known chromosome:GRCm38:5:115968025:116006365:1 gene:ENSMUSG00000029516.19 transcript:ENSMUST00000123736.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cit description:citron [Source:MGI Symbol;Acc:MGI:105313] XVDHLRREITEREMQLTSQKQRV >ENSMUSP00000141034.1 pep:known chromosome:GRCm38:X:21743440:21745097:-1 gene:ENSMUSG00000101818.1 transcript:ENSMUST00000189511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28269 description:predicted gene 28269 [Source:MGI Symbol;Acc:MGI:5578975] MINVNLILLIVSCSLLILIISLLTLLFQLIMGKKSPKKLYPGGKKVTAIRNHIPFVPLSI NRILLASGVRRGPGKKQASVKTNSLNSKVDKLEQFMMIKGLYDCVKIIKKPTRKPDKDSD SDGNN >ENSMUSP00000102505.1 pep:known chromosome:GRCm38:7:103415072:103419880:1 gene:ENSMUSG00000058976.4 transcript:ENSMUST00000106892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp17lc description:ubiquitin specific peptidase 17-like C [Source:MGI Symbol;Acc:MGI:107698] MVVSLSFPEADPALSSPGAQQLHQDEAQVVVELTANDKPSLSWECPQGPGCGLQNTGNSC YLNAALQCLTHTPPLADYMLSQEYSQTCCSPEGCKMCAMEAHVTQSLLHSHSGDVMKPSQ ILTSAFHKHQQEDAHEFLMFTLETMHESCLQVHRQSEPTSEDSSPIHDIFGGLWRSQIKC LHCQGTSDTYDRFLDVPLDISSAQSVNQALWDTEKSEELRGENAYYCGRCRQKMPASKTL HIHSAPKVLLLVLKRFSAFMGNKLDRKVSYPEFLDLKPYLSQPTGGPLPYALYAVLVHEG ATCHSGHYFSYVKARHGAWYKMDDTKVTSCDVTSVLNENAYVLFYVQQTDLKQVSIDMPE GRVHEVLDPEYQLKKSRRKKHKKKSPCTEDAGEPCKNREKRATKETSLGEGKVLQEKNHK KAGQKHENTKLVPQEQNHQKLGQKHRINEILPQEQNHQKAGQSLRNTEGELDLPADAIVI HLLRSTENWGRDAPDKENQPWHNADRLLTSQDPVNTGQLCRQEGRRRSKKGKNKNKQGQR LLLVC >ENSMUSP00000078323.3 pep:known chromosome:GRCm38:7:103416696:103419174:1 gene:ENSMUSG00000058976.4 transcript:ENSMUST00000079348.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp17lc description:ubiquitin specific peptidase 17-like C [Source:MGI Symbol;Acc:MGI:107698] MVVSLSFPEADPALSSPGAQQLHQDEAQVVVELTANDKPSLSWECPQGPGCGLQNTGNSC YLNAALQCLTHTPPLADYMLSQEYSQTCCSPEGCKMCAMEAHVTQSLLHSHSGDVMKPSQ ILTSAFHKHQQEDAHEFLMFTLETMHESCLQVHRQSEPTSEDSSPIHDIFGGLWRSQIKC LHCQGTSDTYDRFLDVPLDISSAQSVNQALWDTEKSEELRGENAYYCGRCRQKMPASKTL HIHSAPKVLLLVLKRFSAFMGNKLDRKVSYPEFLDLKPYLSQPTGGPLPYALYAVLVHEG ATCHSGHYFSYVKARHGAWYKMDDTKVTSCDVTSVLNENAYVLFYVQQTDLKQVSIDMPE GRVHEVLDPEYQLKKSRRKKHKKKSPCTEDAGEPCKNREKRATKETSLGEGKVLQEKNHK KAGQKHENTKLVPQEQNHQKLGQKHRINEILPQEQNHQKAGQSLRNTEGELDLPADAIVI HLLRSTENWGRDAPDKENQPWHNADRLLTSQDPVNTGQLCRQEGRRRSKKGKNKNKQGQR LLLVC >ENSMUSP00000118338.1 pep:known chromosome:GRCm38:4:106590909:106607395:-1 gene:ENSMUSG00000054362.9 transcript:ENSMUST00000126324.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lexm description:lymphocyte expansion molecule [Source:MGI Symbol;Acc:MGI:2681853] NTTLMESIDRRQRYRSLYMSEPKRYLQDLTRDRLMQKRITPITKGKCRPTVDYNSDPTP >ENSMUSP00000066732.2 pep:known chromosome:GRCm38:4:106590909:106617241:-1 gene:ENSMUSG00000054362.9 transcript:ENSMUST00000067387.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lexm description:lymphocyte expansion molecule [Source:MGI Symbol;Acc:MGI:2681853] MRPRTHGAPPRNIMSTIPKWFKGAPFGVQSHRFDVSAVYPNQKKFSTFTEAPYSRHHSVE LSHIGPGTYNSKDTCFSKKFLEQKLGSGWSQAHEATRLTQLPHFHYQAIKKEKEQQVHKR GPGSYNIKDFITELQKKPQSKRGLLSSGETRFRGFIGNYYPGPGNYGEKGNPYTQLEEKA WNRSHSDGLMCRVSNKPPLFHQGSGLGPGTYTIKSDLETFVKKSTGNRGPYDIFSGERSS PLPYGHYSVQKMKPKELTDYKSFLDEMNSQHKKKQGVFSKYPRDPKHPTERIFWTTLSQC PKNMDIAGPGSWLPHETEQKHVNRPPFLLASKRCGLKAYQMILGTWNPVGVGRYLNTTLM ESIDRRQRYRSLYMSEPKRYLQDLTRDRLMQKRITPITKGKCRPTVDYNSDPTP >ENSMUSP00000102400.1 pep:known chromosome:GRCm38:4:106591310:106617238:-1 gene:ENSMUSG00000054362.9 transcript:ENSMUST00000106788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lexm description:lymphocyte expansion molecule [Source:MGI Symbol;Acc:MGI:2681853] MRPRTHGAPPRNIMSTIPKWFKGAPFGVQSHRFDVSAVYPNQKKFSTFTEAPYSRHHSVE LSHIGPGTYNSKDTCFSKKFLEQKLGSGWSQAHEATRLTQLPHFHYQAIKKEKEQQVHKR GPGSYNIKDFITELQKKPQSKRGLLSSGETRFRGFIGNYYPGPGNYGEKGNPYTQLEEKA WNRSHSDGLMCRVSNKPPLFHQGSGLGPGTYTIKSDLETFVKKSTGNRGPYDIFSGERSS PLPYGHYSVQKMKPKELTDYKSFLDEMNSQHKKKQGVFSKYPRDPKHPTERIFWTTLSQC PKNMDIAGPGSWLPHETEQKHVNRPPFLLASKRCGLKAYQMILGTWNPVGVGRYLNTTLM ESIDRRQRYRSLYMSEPKRYLQDLTRDRLMQKRITPITKGKCRPTVDYNSDPTP >ENSMUSP00000139868.1 pep:known chromosome:GRCm38:4:106590909:106617238:-1 gene:ENSMUSG00000054362.9 transcript:ENSMUST00000189032.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lexm description:lymphocyte expansion molecule [Source:MGI Symbol;Acc:MGI:2681853] MRPRTHGAPPRNIMSTIPKWFKGAPFGVQSHRFDVSAVYPNQKKFSTFTEAPYSRHHSVE LSHIGPGTYNSKDTCFSKKFLEQKLGSGWSQAHEATRLTQLPHFHYQAIKKEKEQQVHKR GPGSYNIKDFITELQKKPQSKRGLLSSGETRFRGFIGNYYPGPGNYGEKGNPYTQLEEKA WNRSHSDGLMCRVSNKPPLFHQGSGLGPGTYTIKSDLETFVKKSTGNRGPYDIFSGERSS PLPYGHYSVQKMKPKELTDYKSFLDEMNSQHKKKQGVFSKYPRDPKHPTERIFWTTLSQC PKNMDIAGPGSWLPHETEQKHVNRPPFLLASKRCGLKAYQMILGTWNPVGVGRYLNTTLM ESIDRRQRYRSLYMSEPKRYLQDLTRDRLMQKRITPITKGKCRPTVDYNSDPTP >ENSMUSP00000100662.3 pep:known chromosome:GRCm38:17:35320547:35325099:1 gene:ENSMUSG00000079507.10 transcript:ENSMUST00000105041.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q1 description:histocompatibility 2, Q region locus 1 [Source:MGI Symbol;Acc:MGI:95928] MALGRLLLLLAAALTLTKTGAGSHSLRYFETSVSRPGFGKPRFISVGYVDDTQFVRFDSD AKNPRYEPRAPWMEQEGPEYWERNTRRVKGSEKRFQESLSTLLSYYNQSKGGIHTFQKLS GCDLGSDGRLQSGYLQFAYDGLDYIALNEDLETWTAADVAAQETRRKWEQAGAAEKHRTY LEGKCLMWLHRYLELGKEMLLRTDPPKAHVTHHPRSQGDVTLRCWALGFYPADITLTWQL NGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCHVYHEGLPEPLTLRWEPPPY TVSNMVIIAVLVVLGAVIVIGAVVIIGVMVSFVMKRRRNKA >ENSMUSP00000072942.5 pep:known chromosome:GRCm38:17:35320558:35325099:1 gene:ENSMUSG00000079507.10 transcript:ENSMUST00000073208.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q1 description:histocompatibility 2, Q region locus 1 [Source:MGI Symbol;Acc:MGI:95928] MALGRLLLLLAAALTLTKTGAGSHSLRYFETSVSRPGFGKPRFISVGYVDDTQFVRFDSD AKNPRYEPRAPWMEQEGPEYWERNTRRVKGSEKRFQESLSTLLSYYNQSKGGIHTFQKLS GCDLGSDGRLQSGYLQFAYDGLDYIALNEDLETWTAADVAAQETRRKWEQAGAAEKHRTY LEGKCLMWLHRYLELGKEMLLRTDPPKAHVTHHPRSQGDVTLRCWALGFYPADITLTWQL NGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCHVYHEGLPEPLTLRWEPPPY TVSNMVIIAVLVVLGAVIVIGAVVIIGVMVSFVMKRRRNKGGQGEDCALAPSRDSAQSSD ISLLDCKA >ENSMUSP00000103440.2 pep:known chromosome:GRCm38:4:45105208:45108114:-1 gene:ENSMUSG00000078713.8 transcript:ENSMUST00000107810.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm5 description:translocase of outer mitochondrial membrane 5 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1915762] MFRIEGLAPKLDPEEMKRKMREDVVSSIRNFLIYVALLRVTPYILKKLDSI >ENSMUSP00000103439.2 pep:known chromosome:GRCm38:4:45105212:45108038:-1 gene:ENSMUSG00000078713.8 transcript:ENSMUST00000107809.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm5 description:translocase of outer mitochondrial membrane 5 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1915762] MFRIEGLAPKLDPEEMKRKMREDVVSSIRNFLIYVALLRVNQPGLQMEFQDRQGGYTEKS VLKQETFVMCFSACLYVNHVPAVQKGALESLELESSWPLILHIS >ENSMUSP00000103438.2 pep:known chromosome:GRCm38:4:45105212:45108108:-1 gene:ENSMUSG00000078713.8 transcript:ENSMUST00000107808.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm5 description:translocase of outer mitochondrial membrane 5 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1915762] MFRIEGLAPKLDPEEMKRKMREDVVSSIRNFLIYVALLRVKPGMVAHTFNPSTQKAELHI S >ENSMUSP00000103437.1 pep:known chromosome:GRCm38:4:45105395:45108108:-1 gene:ENSMUSG00000078713.8 transcript:ENSMUST00000107807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm5 description:translocase of outer mitochondrial membrane 5 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1915762] MFRIEGLAPKLDPEEMKRKMREDVVSSIRNFLIYVALLRLHIS >ENSMUSP00000079489.3 pep:known chromosome:GRCm38:7:103442177:103443121:-1 gene:ENSMUSG00000099743.1 transcript:ENSMUST00000080660.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr605 description:olfactory receptor 605 [Source:MGI Symbol;Acc:MGI:3030439] MGPANKSQLSPSTFWLMGIPGLEHLHVWIGIPFCSMYMVALMGNVTILAVVRAERTLHEP MFLFLCMLSVTDLVLSTSTLPRMLCLFWMEAHDITFDACLAQMFFIHSFTAMESGFFLAM AIDRYVAICDPLRHTTILTNSRIAKMGAVVVLRGVGFFSPHPILLKQLPYCRTRIIAHTY CEFMAVVKLACVDTGATKRYSLSVASVIGSCDGFFIALSYVLILRAVFRLPSREASLKAL GTCGSHVCVILVFYSTAVFTFLTHRFGHNVAPQIHIFIANMYLLVPPFLNPIVYGIRTKK IREYVLSFLRVKFS >ENSMUSP00000075814.4 pep:known chromosome:GRCm38:11:53949965:53980332:-1 gene:ENSMUSG00000063652.10 transcript:ENSMUST00000076493.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a21 description:solute carrier family 22 (organic cation transporter), member 21 [Source:MGI Symbol;Acc:MGI:1929481] MLDYDEVTAFLGEWGTFQRLIFFLLSASIIPNGFTGLSAVFLTAIPEHRCRIPDTVNLSS AWRNHSIPMETKDGPEVPQKCRRYRLATIANFSELGLEPGRDVDLEQLEQENCLDGWEYD KDIFLSTIVTEWDLVCKDDWKAPLTTSFFYVGVLLGSFISGQLSDRFGRKNILFLTMAMH TGFSFIQVFSVNFEMFTLLYTLVGMGHISNYVAAFVLGTEMLSKSVRIIFATLGVCIFFA FGFMVLPLFAYFIREWRRLLLAITLPGVLCGALWWFIPESPRWLISQGRIKEAEVIIRKA AKINGIVAPSTIFDPSETNKLQDDSSKKPQSHHIYDLVRTPNIRILTIMSIILWLTISVG YFGLSLDTPNLNGNIYVNCFLLAAVEVPAYVLAWLLLQHVSRRYSMAGSLFLGGSVLLLV QLVPSDLHYLSTTLVMVGKFGITSAYSMVYVYTAELYPTVVRNMGVGVSSTASRLGSILS PYFVYLGAYDRRLPYILMGSLTILTAIITLFFPESSGVSLPETIDEMQKVKKLKQRQSLS KKGSPKESKGNVSRTSRTSEPKGF >ENSMUSP00000123180.1 pep:known chromosome:GRCm38:11:53957974:53979870:-1 gene:ENSMUSG00000063652.10 transcript:ENSMUST00000124221.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a21 description:solute carrier family 22 (organic cation transporter), member 21 [Source:MGI Symbol;Acc:MGI:1929481] MLDYDEVTAFLGEWGTFQRLIFFLLSASIIPNGFTGLSAVFLTAIPEHRCRIPDTVNLSS AWRNHSIPMETKDGPEVPQKCRRYRLATIANFSELGLEPGRDVDLEQLEQENCLDGWEYD KDIFLSTIVTEWDLVCKDDWKAPLTTSFFYVGVLLGSFISGQLSDRNRNAFQVS >ENSMUSP00000114966.1 pep:known chromosome:GRCm38:11:53958066:53969603:-1 gene:ENSMUSG00000063652.10 transcript:ENSMUST00000143352.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a21 description:solute carrier family 22 (organic cation transporter), member 21 [Source:MGI Symbol;Acc:MGI:1929481] WDLVCKDDWKAPLTTSFFYVGVLLGSFISGQLSDSH >ENSMUSP00000113660.1 pep:known chromosome:GRCm38:16:94570010:94695067:1 gene:ENSMUSG00000022897.14 transcript:ENSMUST00000119878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk1a description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 1a [Source:MGI Symbol;Acc:MGI:1330299] MHTGGETSACKPSSVRLAPSFSFHAAGLQMAAQMPHSHQYSDRRQPSISDQQVSALPYSD QIQQPLTNQVMPDIVMLQRRMPQTFRDPATAPLRKLSVDLIKTYKHINEVYYAKKKRRHQ QGQGDDSSHKKERKVYNDGYDDDNYDYIVKNGEKWMDRYEIDSLIGKGSFGQVVKAYDRV EQEWVAIKIIKNKKAFLNQAQIEVRLLELMNKHDTEMKYYIVHLKRHFMFRNHLCLVFEM LSYNLYDLLRNTNFRGVSLNLTRKFAQQMCTALLFLATPELSIIHCDLKPENILLCNPKR SAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGMPYDLAIDMWSLGCILVEMHTGEPL FSGANEVDQMNKIVEVLGIPPAHILDQAPKARKFFEKLPDGTWSLKKTKDGKREYKPPGT RKLHNILGVETGGPGGRRAGESGHTVADYLKFKDLILRMLDYDPKTRIQPYYALQHSFFK KTADEGTNTSNSVSTSPAMEQSQSSGTTSSTSSSSGGSSGTSNSGRARSDPTHQHRHSGG HFAAAVQAMDCETHSPQVRQQFPAPLGWSGTEAPTQVTVETHPVQETTFHVAPQQNALHH HHGNSSHHHHHHHHHHHHHGQQALGNRTRPRVYNSPTNSSSTQDSMEVGHSHHSMTSLSS STTSSSTSSSSTGNQGNQAYQNRPVAANTLDFGQNGAMDVNLTVYSNPRQETGIAGHPTY QFSANTGPAHYMTEGHLAMRQGADREESPMTGVCVQQSPVASS >ENSMUSP00000112853.1 pep:known chromosome:GRCm38:16:94570479:94692201:1 gene:ENSMUSG00000022897.14 transcript:ENSMUST00000122284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk1a description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 1a [Source:MGI Symbol;Acc:MGI:1330299] MHTGGETSACKPSSVRLAPSFSFHAAGLQMAAQMPHSHQYSDRRQPSISDQQVSALPYSD QIQQPLTNQRRMPQTFRDPATAPLRKLSVDLIKTYKHINEVYYAKKKRRHQQGQGDDSSH KKERKVYNDGYDDDNYDYIVKNGEKWMDRYEIDSLIGKGSFGQVVKAYDRVEQEWVAIKI IKNKKAFLNQAQIEVRLLELMNKHDTEMKYYIVHLKRHFMFRNHLCLVFEMLSYNLYDLL RNTNFRGVSLNLTRKFAQQMCTALLFLATPELSIIHCDLKPENILLCNPKRSAIKIVDFG SSCQLGQRIYQYIQSRFYRSPEVLLGMPYDLAIDMWSLGCILVEMHTGEPLFSGANEVDQ MNKIVEVLGIPPAHILDQAPKARKFFEKLPDGTWSLKKTKDGKREYKPPGTRKLHNILGV ETGGPGGRRAGESGHTVADYLKFKDLILRMLDYDPKTRIQPYYALQHSFFKKTADEGTNT SNSVSTSPAMEQSQSSGTTSSTSSSSGGSSGTSNSGRARSDPTHQHRHSGGHFAAAVQAM DCETHSPQVRQQFPAPLGWSGTEAPTQVTVETHPVQETTFHVAPQQNALHHHHGNSSHHH HHHHHHHHHHGQQALGNRTRPRVYNSPTNSSSTQDSMEVGHSHHSMTSLSSSTTSSSTSS SSTGNQGNQAYQNRPVAANTLDFGQNGAMDVNLTVYSNPRQETGIAGHPTYQFSANTGPA HYMTEGHLAMRQGADREESPMTGVCVQQSPVASS >ENSMUSP00000120344.1 pep:known chromosome:GRCm38:16:94570864:94665947:1 gene:ENSMUSG00000022897.14 transcript:ENSMUST00000139250.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk1a description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 1a [Source:MGI Symbol;Acc:MGI:1330299] MHTGGETSACKPSSVRLAPSFSFHAAGLQMAAQMPHSHQYSDRRQPSISDQQVSALPYSD QIQQPLTNQRRMPQTFRDPATAPLRKLSVDLIKTYKHINEVYYAKKKRRH >ENSMUSP00000119669.1 pep:known chromosome:GRCm38:16:94570907:94668831:1 gene:ENSMUSG00000022897.14 transcript:ENSMUST00000155791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk1a description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 1a [Source:MGI Symbol;Acc:MGI:1330299] MHTGGETSACKPSSVRLAPSFSFHAAGLQMAAQMPHSHQYSDRRQPSISDQQVSALPYSD QIQQPLTNQVMPDIVMLQRRMPQTFRDPATAPLRKLSVDLIKTYKHINEVYYAKKKRRHQ QGQGDDSSHKKERKVYNDGYDDDNYDYIVKNGEKWMDRYEIDSLIGKGSFGQVVKAYDRV E >ENSMUSP00000023614.4 pep:known chromosome:GRCm38:16:94570907:94695517:1 gene:ENSMUSG00000022897.14 transcript:ENSMUST00000023614.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk1a description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 1a [Source:MGI Symbol;Acc:MGI:1330299] MHTGGETSACKPSSVRLAPSFSFHAAGLQMAAQMPHSHQYSDRRQPSISDQQVSALPYSD QIQQPLTNQVMPDIVMLQRRMPQTFRDPATAPLRKLSVDLIKTYKHINEVYYAKKKRRHQ QGQGDDSSHKKERKVYNDGYDDDNYDYIVKNGEKWMDRYEIDSLIGKGSFGQVVKAYDRV EQEWVAIKIIKNKKAFLNQAQIEVRLLELMNKHDTEMKYYIVHLKRHFMFRNHLCLVFEM LSYNLYDLLRNTNFRGVSLNLTRKFAQQMCTALLFLATPELSIIHCDLKPENILLCNPKR SAIKIVDFGSSCQLGQRIYQYIQSRFYRSPEVLLGMPYDLAIDMWSLGCILVEMHTGEPL FSGANEVDQMNKIVEVLGIPPAHILDQAPKARKFFEKLPDGTWSLKKTKDGKREYKPPGT RKLHNILGVETGGPGGRRAGESGHTVADYLKFKDLILRMLDYDPKTRIQPYYALQHSFFK KTADEGTNTSNSVSTSPAMEQSQSSGTTSSTSSSSGGSSGTSNSGRARSDPTHQHRHSGG HFAAAVQAMDCETHSPQVRQQFPAPLGWSGTEAPTQVTVETHPVQETTFHVAPQQNALHH HHGNSSHHHHHHHHHHHHHGQQALGNRTRPRVYNSPTNSSSTQDSMEVGHSHHSMTSLSS STTSSSTSSSSTGNQGNQAYQNRPVAANTLDFGQNGAMDVNLTVYSNPRQETGIAGHPTY QFSANTGPAHYMTEGHLAMRQGADREESPMTGVCVQQSPVASS >ENSMUSP00000095802.1 pep:known chromosome:GRCm38:7:103451339:103452298:1 gene:ENSMUSG00000073949.3 transcript:ENSMUST00000098200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr606 description:olfactory receptor 606 [Source:MGI Symbol;Acc:MGI:3030440] MAPSNSSVSVSSTFYLTGIPGYEEFHHWISIPFCLIYIIGVTGNCSILHIVRTDPKLHEP MYYFLAMLSLTDMAMSLPAMVSLFRVLWSISREIQFNICVVQMFLIHTFSFTESSVLLAM ALDRYVAICHPLRYATILTPKLIAKIGIAALLRSAIPLIPLLVRLAFFSFCRSHVLSHSY CLHQDIIRLACADIRFNVIYGMVVILMLWGMDSLGILITYVFILHSVLRIASREGRLKAL NTCASHICAVLILYVPMIGLSIVHRFAKHSSPFVHIFMAHIYLMVPPVLNPIIYSVKTKQ IRQGIFHLICPHKINSSAM >ENSMUSP00000044222.6 pep:known chromosome:GRCm38:4:144270633:144280466:-1 gene:ENSMUSG00000036749.15 transcript:ENSMUST00000035757.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel5 description:preferentially expressed antigen in melanoma like 5 [Source:MGI Symbol;Acc:MGI:2156389] MSFKDPPTLQQLARRSLLKDEALTISALLNLPVQLFPPLFKDAFTSRQRKILSLMVAAWP FPVLPVGALGGIDHLETLKAVLDGLDLLMSQKDRPSRWNLQVLDLGNAHQDFWDGWAGLL HEVCSQDVFGKNQPVGNHPIPGGKQTITIKMNLSLKYCRHSKYLNYLHWWAEQRKDGIQV ICEKLEFTGPHCPELRFLKFSFVASIQELAIKSIHWDIYNLALIASCLGQMKNLQKLILM NIRRPPDLADLQEAHIVTEIFSEFSKLHKVHYLHVNNVYFLKERLDQMLRCFERPLETLA ITHCRLSELDMRYLSQCPSIHQLKYLDMSYTTFKPSSHRFLGSLLERLTATLQTLKLECF HLTDFQIRDLLPGLSQCSQLTEVDIAMNEFSVVSLKKLLKHTANLTQLTLEKYPAPVEVY DDGHSVISDRFVQLCSELMNTLKGVRQAKQVYFVSMPCLRNYEFLIYNLKGLDSSEQLRR RGLSWALINGEQVSRDSLQL >ENSMUSP00000101378.3 pep:known chromosome:GRCm38:4:144270635:144274027:-1 gene:ENSMUSG00000036749.15 transcript:ENSMUST00000105752.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel5 description:preferentially expressed antigen in melanoma like 5 [Source:MGI Symbol;Acc:MGI:2156389] MSFKDPPTLQQLARRSLLKDEALTISALLNLPVQLFPPLFKDAFTSRQRKILSLMVAAWP FPVLPVGALGGIDHLETLKAVLDGLDLLMSQKDRPSRWNLQVLDLGNAHQDFWDGWAGLL HEVCSQDVFGKNQPVGNHPIPGGKQTITIKMNLSLKYCRHSKYLNYLHWWAEQRKDGIQV ICEKLEFTGPHCPELRFLKFSFVASIQELAIKSIHWDIYNLALIASCLGQMKNLQKLILM NIRRPPDLADLQEAHIVTEIFSEFSKLHKVHYLHVNNVYFLKERLDQMLRCFERPLETLA ITHCRLSELDMRYLSQCPSIHQLKYLDMSYTTFKPSSHRFLGSLLERLTATLQTLKLECF HLTDFQIRDLLPGLSQCSQLTEVDIAMNEFSVVSLKKLLKHTANLTQLTLEKYPAPVEVY DDGHSVISDRFVQLCSELMNTLKGVRQAKQVYFVSMPCLRNYEFLIYNLKGLDSSEQLRR RGLSWALINGEQVSRDSLQL >ENSMUSP00000116102.1 pep:known chromosome:GRCm38:11:97799000:97806839:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000143571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MNPNCARCGKIVYPTEKVNCLDKYWHKA >ENSMUSP00000042123.5 pep:known chromosome:GRCm38:11:97799565:97838764:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000043843.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MNPNCARCGKIVYPTEKVNCLDKYWHKACFHCETCKMTLNMKNYKGYEKKPYCNAHYPKQ SFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGKGFSVVADTPELQRIKKTQDQISNI KYHEEFEKSRMGPSGGEGVEPERREAQDSSSYRRPTEQQQPQPHHIPTSAPVYQQPQQQQ MTSSYGGYKEPAAPVSIQRSAPGGGGKRYRAVYDYSAADEDEVSFQDGDTIVNVQQIDDG WMYGTVERTGDTGMLPANYVEAI >ENSMUSP00000123165.1 pep:known chromosome:GRCm38:11:97799838:97833607:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000129558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGK GFSVVADTPELQRIKKTQDQISNIKYHEEFEKSRMGPSGGEGV >ENSMUSP00000120645.1 pep:known chromosome:GRCm38:11:97799998:97833595:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000152962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGK GFSVVADTPELQRIKKTQDQISNIKYHEEFEKSRMGPSGG >ENSMUSP00000121907.1 pep:known chromosome:GRCm38:11:97800171:97833563:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000146572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGK GFSVVADTPELQRIKKTQDQISNIKYHEE >ENSMUSP00000114306.1 pep:known chromosome:GRCm38:11:97800297:97824908:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000136723.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGK GFSVVADTP >ENSMUSP00000119797.1 pep:known chromosome:GRCm38:11:97800303:97806919:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000134428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MTLNMKNYKGYEKKPYCNA >ENSMUSP00000115308.1 pep:known chromosome:GRCm38:11:97800334:97833683:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000129828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGK GFSVVADTPELQRIKKTQDQISNIKYHEEFEKSRMGPSGGEGVEPERREAQDSSSYRRPT EQQQPQPHH >ENSMUSP00000127792.1 pep:known chromosome:GRCm38:11:97801998:97824910:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000127033.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGK GFSVVADTPE >ENSMUSP00000123050.1 pep:known chromosome:GRCm38:11:97805192:97836119:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000148280.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGK GFSVVADTPELQRIKKTQDQISNIKYHEEFEKSRMGPSGGEGVEPERREAQDSSSYRRPT EQQQPQPHHIPTSAPVYQQPQQQQMTSSYGGYKEPAAPVSIQRSAPGGGGKRYRAVYDYS AADEDE >ENSMUSP00000114407.1 pep:known chromosome:GRCm38:11:97806310:97806900:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000138919.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MTLNMKNYKGYE >ENSMUSP00000120458.1 pep:known chromosome:GRCm38:11:97806502:97815782:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000153520.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQ >ENSMUSP00000119538.1 pep:known chromosome:GRCm38:11:97815248:97824949:1 gene:ENSMUSG00000038366.15 transcript:ENSMUST00000155762.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lasp1 description:LIM and SH3 protein 1 [Source:MGI Symbol;Acc:MGI:109656] MVADTPENLRLKQQSELQSQVRYKEEFEKNKGKGFSVVADTPELQRIKKTQDQISN >ENSMUSP00000039175.2 pep:known chromosome:GRCm38:4:133553376:133556536:1 gene:ENSMUSG00000037583.2 transcript:ENSMUST00000042706.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr0b2 description:nuclear receptor subfamily 0, group B, member 2 [Source:MGI Symbol;Acc:MGI:1346344] MSSGQSGVCPCQGSAGRPTILYALLSPSPRTRPVAPASHSHCLCQQQRPVRLCAPHRTCR EALDVLAKTVAFLRNLPSFCHLPHEDQRRLLECCWGPLFLLGLAQDAVTFEVAEAPVPSI LKKILLEEASSGTQGAQPSDRPQPSLAAVQWLQRCLESFWSLELGPKEYAYLKGTILFNP DVPGLRASCHIAHLQQEAHWALCEVLEPWYPASQGRLARILLMASTLKNIPGTLLVDLFF RPIMGDVDITELLEDMLLLR >ENSMUSP00000034983.5 pep:known chromosome:GRCm38:9:96332655:96364442:-1 gene:ENSMUSG00000032412.8 transcript:ENSMUST00000034983.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1b3 description:ATPase, Na+/K+ transporting, beta 3 polypeptide [Source:MGI Symbol;Acc:MGI:107788] MTKTEKKSFHQSLAEWKLFIYNPSSGEFLGRTSKSWGLILLFYLVFYGFLAALFTFTMWA MLQTLNDEVPKYRDQIPSPGLMVFPKPQTALEYTFSMSEPQTYKKLVEDLESFLKPYSVE EQKNLTSCPDGAPFIQHGPDYRACQFPVSLLEECSGVTDANFGYSKGQPCILVKMNRIID LIPDGYPQISCLPKEENATIATYPEFGVLDLKYFPYYGKKRHVGYRQPLVAVQVKFDSGL NKKEVTVECHIAGTRNLKNKNERDKFLGRVSFKVTARA >ENSMUSP00000075821.7 pep:known chromosome:GRCm38:16:69856874:69863744:-1 gene:ENSMUSG00000063163.13 transcript:ENSMUST00000076500.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer2 description:spermatogenesis associated glutamate (E)-rich protein 2 [Source:MGI Symbol;Acc:MGI:2668488] MALLKAVRGNLFGRASVDGRESRERRKDAGLPFESNEGRRRWTWRMWRAHRQTSSTAPDL IENELEKEKERLTTELHLMTQKRNEQRACLIAFKEGSMNKRTRPLQKLNPFYKQLILKKN QVMSSLHKLQMENIEAQENIKELKKEINYYTNLHSRLMMEKNLIKMSVTQKRESKEVQID WALIEKYLVDLNLNGKDEQEQTSNHETQQLQDSETPARAEISTFQEESLLQNEFPPQETP AELHSQYPQSTLDESNYIQYISSV >ENSMUSP00000130270.1 pep:known chromosome:GRCm38:16:69857134:69860486:-1 gene:ENSMUSG00000063163.13 transcript:ENSMUST00000166256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer2 description:spermatogenesis associated glutamate (E)-rich protein 2 [Source:MGI Symbol;Acc:MGI:2668488] LIAFKEGSMNKRTRPLQKLNPFYKQLILKKNQVMSSLHKLQMENIEAQENIKELKKEINY YTTQRLQQELKYPHSRKRASCRMSFHLRRPLL >ENSMUSP00000126059.1 pep:known chromosome:GRCm38:16:69858705:69861724:-1 gene:ENSMUSG00000063163.13 transcript:ENSMUST00000164146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer2 description:spermatogenesis associated glutamate (E)-rich protein 2 [Source:MGI Symbol;Acc:MGI:2668488] DGRESRERRKDAGLPFESNEGRRRWTWRMWRAHRQTSSTAPDLIENELEKEKERLTTELH LMTQKRNEQRACLIAFKEGSMNKRTRPLQKLNPFYKQLILKKNQVMSSLHKLQMENIEAQ ENIKELKKEINYYT >ENSMUSP00000095801.1 pep:known chromosome:GRCm38:7:103470041:103470991:1 gene:ENSMUSG00000073948.1 transcript:ENSMUST00000098199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr608 description:olfactory receptor 608 [Source:MGI Symbol;Acc:MGI:3030442] MVNDTTHHYISFFYLVGIPGFENFHYLISIPVCLLFVLTLLGNSIVIATIKLEPSLHQPM YFFLCMLAMNDILLTCSTSLKMLGIFWFNEHWIEFDVCLTQMYFIHTLCIFESAILVAMA FDRFVAICIPLHYATILTTAMVIKLGVVGLSRALLMVLPCPLLIKRLPYYTGYIIPHTYC EHMAVVKLASANTFINRAYGISAALSVITLDVWLIAASYIKILQAVFRLSSQNARSKALG TCAAHVCTILAFYTPALFSFLTHRIGKNVPPSVHIILASMYLLVPPTVNPLVYGVKTKQI RDRVLSLFSHLKIAEY >ENSMUSP00000029740.9 pep:known chromosome:GRCm38:3:96727664:96791152:1 gene:ENSMUSG00000028098.13 transcript:ENSMUST00000029740.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf115 description:ring finger protein 115 [Source:MGI Symbol;Acc:MGI:1915095] MAEASAAGADAGSAVAAHRFFCHFCKGEVNPKLPEYICPRCDSGFIEEVTDDSSFLGGGG SRTDNSTATHFAELWDHLDHTMFLQDFRPFLSSNPLDQDNRANERGHQTHTDFWGPSRPP RLPMTRRYRSRGSTRPDRSPAIEGIIQQIFAGFFANSAIPGSPHPFSWSGMLHSNPGDYA WGQTGLDAIVTQLLGQLENTGPPPADKEKITSLPTVTVTQEQVNTGLECPVCKEDYTVEE KVRQLPCNHFFHSSCIVPWLELHDTCPVCRKSLNGEDSTRQTQSSEASASNRFSNDSQLH DRWTF >ENSMUSP00000143321.1 pep:known chromosome:GRCm38:3:96727672:96791638:1 gene:ENSMUSG00000028098.13 transcript:ENSMUST00000199051.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf115 description:ring finger protein 115 [Source:MGI Symbol;Acc:MGI:1915095] MAEASAAGADAGSAVAAHRFFCHFCKGEVNPKLPEYICPRCDSGFIEEVTDDSSFLGGGG SRTDNSTATHFAEIEKH >ENSMUSP00000002291.7 pep:known chromosome:GRCm38:5:27740080:27791550:-1 gene:ENSMUSG00000002221.11 transcript:ENSMUST00000002291.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paxip1 description:PAX interacting (with transcription-activation domain) protein 1 [Source:MGI Symbol;Acc:MGI:1890430] MSEPAPEVPEELFREVKYYAVGDIDPQVIQLLKAGKAKEVSYNALASHIISEDGDNPEVG EAREVFDLPVVKPSWVTLSVQCGALLPVNGFSPESCQIFFGLTACLSQVSSEDRSALWAL VTFHGGSCQLNLNKKCTHLIVPEPKGEKYERAVKRTSIKIVTPDWVLDCVSEKRRKDEAF YHPRLIIYEEEEEEEEEGDNEEQDSQNEGSTEKSSVASSAVASPAEQPCSPKPRAEVSKG ELMFDDSSDSSPEKQERSLNWAPAEAPPLNTAQRRLPQGKGPGLINLCANVPPVPGDILP PDMRGNLMAPGQNLQNSERSEILGTWSPAVRTLRNITNNADIQQINRPSNVAHILQSLSA PTKSLEQQVARGQQGHPNASAVLFGQAKGAPETHVLQQHHPPQQPQQQHPALHLQPQIMQ LQQQQQQQQQQQQQPQPYPQPPSHQFPQQVHQHQFSQQQLQFPQQPLHPQQQLHRPQQQL QPFQQQHALQQQLHQLQQQQLQHHQLAQLQQQQQQQHNLLQQQQQQQQLQRLQQQQQMQN QAAHLSQASQALQHQVLPQQPLQLSLQPPPQQQQQQQLFGHDPAVEIPEESFLLGCVFAI ADYPEQMSDKQLLATWKRIIQAHGGTVDPTFTSRCTHLLCASQVSSMYTQALRERKRCVT AHWLNTVLKKKKLMPPHRALHFPVAFPPGGKPCSQHIISVTGFVDNDRDDLKLMAYLAGA KYTGYLCRSNTVLICKEPSGLKYEKAKEWRIPCVNAQWLGDILLGNFEALRQVQYSRYTA FNMPDPFVPTPHLVLGLLDAWRTPVKVTAELLMGVRLPPKLKPNEVANIQPSSKRARIED LPPPTKKLTPELTPLVLFTGFEPVQVQQYIKKLYILGGEVAECTKKCTHLIASKVTRTVK FLTAISVVKHIVTPDWLEECFKRQTFIDEQNYILRDAEAEVLFSFSLEESLKRAHVSPLF KTKYFYITPGICPSLATMKAIVECAGGKVLAKQPSFRKLMEHKQNKSLSEIILISCENDL HLCREYFARGIDVHNAEFVLTGVLTQTLDYESYKFN >ENSMUSP00000142578.1 pep:known chromosome:GRCm38:5:27772479:27791395:-1 gene:ENSMUSG00000002221.11 transcript:ENSMUST00000196734.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Paxip1 description:PAX interacting (with transcription-activation domain) protein 1 [Source:MGI Symbol;Acc:MGI:1890430] MSEPAPEVPEELFREVKYYAVGDIDPQVIQLLKAGKAKEVSYNALASHIISEDGDNPEVG EAREVFDLPVVKPSWVTLSVQCGALLPYPFVR >ENSMUSP00000051749.4 pep:known chromosome:GRCm38:7:103491917:103492876:-1 gene:ENSMUSG00000046396.5 transcript:ENSMUST00000055787.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr609 description:olfactory receptor 609 [Source:MGI Symbol;Acc:MGI:3030443] MSYSNHSSTSFFLTGLPGLETVYLWLSIPLCTMYIASLAGNGLILWVVKSEPSLHQPMYY FLSMLAVTDLGLSVSTLPTMLTIYMMGVSEVALDMCLAQLFFIHTFSIMESSVLLTMAFD RVVAISSPLHYATILTNPRVASLGMVILVRSIGLHIPAPIMLKKLPYCQKRHLSHSYCLH PDVMKLACTDTRINSAYGLFVVLSTLGVDSVLIVLSYGLILYTVLSIASKTERLKALNTC VSHICSVLLFYTPMIGLSMIHRFGKWASPCSRVLLSYLHFLTPPVLNPVVYTIKTKQIRQ RIWRIFRCGGRSIGHIQGH >ENSMUSP00000136772.1 pep:known chromosome:GRCm38:14:43342383:43347811:-1 gene:ENSMUSG00000095384.1 transcript:ENSMUST00000178178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001F09Rik description:RIKEN cDNA 1700001F09 gene [Source:MGI Symbol;Acc:MGI:1919076] MGSQAGSFRKASPQTPNIDENEKRIKRLEKLKRDLQNIKNERDILQGILAKYKDLNDRTN FETFMLEMQHNQMMTDLKRMSQDISEALYKCQHLTKENQLYCCRNCHLLIESNLIQHKVR MLWKENRQLLRKQIALEECNIKTKILCKEGSQKIKDEYSRQAAGKQIIVVPGSKEEAAMS NPCA >ENSMUSP00000065113.6 pep:known chromosome:GRCm38:6:73248382:73276911:1 gene:ENSMUSG00000052738.14 transcript:ENSMUST00000064740.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suclg1 description:succinate-CoA ligase, GDP-forming, alpha subunit [Source:MGI Symbol;Acc:MGI:1927234] MTATVVAAAATATMVSSSSGLAAARLLSRTFLLQQNGIRHGSYTASRKHIYIDKNTKIIC QGFTGKQGTFHSQQALEYGTKLVGGTTPGKGGQKHLGLPVFNTVKEAKEKTGATASVIYV PPPFAAAAINEAIDAEIPLVVCITEGIPQQDMVRVKHRLTRQGTTRLIGPNCPGVINPGE CKIGIMPGHIHKKGRIGIVSRSGTLTYEAVHQTTQVGLGQSLCIGIGGDPFNGTDFIDCL EVFLNDPATEGIILIGEIGGHAEENAAAFLKEHNSGPKAKPVVSFIAGITAPPGRRMGHA GAIIAGGKGGAKEKISALQSAGVVVSMSPAQLGTTIYKEFEKRKML >ENSMUSP00000134171.1 pep:known chromosome:GRCm38:6:73248652:73264273:1 gene:ENSMUSG00000052738.14 transcript:ENSMUST00000123159.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Suclg1 description:succinate-CoA ligase, GDP-forming, alpha subunit [Source:MGI Symbol;Acc:MGI:1927234] MTATVVAAAATATMVSSSSGLAAARLLSRTFLRHEIARPHF >ENSMUSP00000145246.1 pep:known chromosome:GRCm38:6:73248660:73276904:1 gene:ENSMUSG00000052738.14 transcript:ENSMUST00000203632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suclg1 description:succinate-CoA ligase, GDP-forming, alpha subunit [Source:MGI Symbol;Acc:MGI:1927234] TATVVAAAATATMVSSSSGLAAARLLSRTFLLQQNGIRHGSYTASRKHIYIDKNTKIICQ GFTGKQGQLLLEEKVALKRRSLLFRVLGWLSACPPHSWELPFIRSLKRGRCFEGHNKKFF KAVEEI >ENSMUSP00000052577.3 pep:known chromosome:GRCm38:7:103505997:103506944:-1 gene:ENSMUSG00000045584.3 transcript:ENSMUST00000063109.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr610 description:olfactory receptor 610 [Source:MGI Symbol;Acc:MGI:3030444] MAISKHSNASSFFFILMDLPGLETSHCWTAIPICLIYVLSVLGNITIMHIVKSVPSLHTP MYLFLSMLSMADLGLSASTLPSMVAVFLLGQRMIGAVACFMQLFFIHTFSVIESAVLLAM AFDRCVAIREPLRYATILTTRRIGAIGLAVVIRSAALHLPLPVLLGRLTFQPVSALSHSY CVHPDVLRLSCSSTLVNSGFGLFVMLSTLGMDAVLILLSYVLILKTVLSIASNAERLKAF NTCISHICAVLLFYTPLVSLSMIHRFGKKKLPAQVYMFLSYLHFLMPPMLNPIVYSVKTK EIRVRILKMLHPKKH >ENSMUSP00000096040.3 pep:known chromosome:GRCm38:9:105687809:105828160:-1 gene:ENSMUSG00000043719.14 transcript:ENSMUST00000098441.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a6 description:collagen, type VI, alpha 6 [Source:MGI Symbol;Acc:MGI:2444259] MLLVLCLTMICFHVCVNQDSGPEYADVVFLVDSSDHLGLKSFPLVKTFIHKMISSLPIEA NKYRVALAQYSDALHNEFQLGTFKNRNPMLNHLKKNFGFIGGSLKIGNALQEAHRTYFSA PTNGRDKKQFPPILVVLASAESEDDVEEAAKALREDGVKIISVGVQKASEENLKAMATSQ FHFNLRTARDLSVFAPNMTEIIKDVTQYREGMADDIIVEACQGPSVADVVFLLDMAINGS QEDLDHLKAFLGESISALDIKENCMRVGLVTYSNETRVISSLSTGNNKTEVLQRIQDLSP QVGQAYTGAALRKTRKEIFSAQRGSRKNQGVPQIAVLVTHRASEDNVTKAAVNLRREGVT IFTMGIEGANPDELEKIASHPAEQFTSKLGNFSELATHNQTFLKKLRNQITHTVSVFSER TETLKSACVDTEEADIYLLIDGSGSTQPTDFHEMKTFLSEVVGMFNIAPHKVRVGAVQYA DTWDLEFEISKYSNKPDLGKAIENIRQMGGNTNTGAALNFTLKLLQRAKKERGSKVPCHL VVLTNGMSRDSVLGPAHKLREENIRVHAIGVKEANQTQLREIAGEEKRVYYVHEFDALRN IRNQVVQEICAEEACRDMKADIMFLVDSSGSIGPENFSKMKMFMKNLVSKSQIGADRVQI GVVQFSHENKEEFQLNTFMSQSDIANAIDRMTHIGETTLTGSALTFVSQYFSPDKGARPN VRKFLILITDGEAQDIVRDPAIALRKEGVIIYSVGVFGSNVTQLEEISGKPEMVFYVENF DILQHIEDDLVLGICSPREECKRIEVLDVVFVIDSSGSIDYQEYNIMKDFMIGLVKKADV GKNQVRFGALKYADDPEVLFYLDELGTKLEVVSVLQNDHPMGGNTYTAEALAFSDHMFTE ARGSRLHKGVPQVLIVITDGESHDAEKLNTTAKALRDKGILVLAVGIAGANSWELLAMAG SSDKYYFVETFGGLKGIFSDVSASVCNSSKVDCEIEKVDLVFLMDGSNSIHPDDFQKMKG FLVSVVQDFDVSLNRVRIGVAQFSDSYRSEFLLGTFTGEREISTQIEGIQQIFGYTHIGD ALRKVKYYFQPDMGSRINAGTPQVLLVLTDGRSQDEVAQAAEELRHKGVDIYSVGIGDVD DQELVQITGTAEKKLTVHNFDELKKVKKRIVRNICTSGGESNCFVDVVVGFDISSLQRGQ TLLEGQPWMGSYLQDLLRAISSLNGVSCEVGTETQVSIAFQVTNAMERYPSKFEIYSENI LSSLQGVTVNGPSRLNANLLSSLWDTFQNKSAARGKVVLLFSDGLDDGIEKLEQKSDELR KEGLNALITIAVDGAADSSDLADLLYIEFGKGFEYRTQFTIGMRNLGSQLSRQLINVAER TCCCLLCKCTGGDGAMGDPGSAGKKGPPGFKGSDGYLGEEGIAGERGASGPMGEQGTKGC FGAKGPKGTRGLSGEEGEVGEDGLDGLDGEQGDHGIPGRRGEKGDEGSQGNPGRRGAAGD RGAKGLRGDPGTPGRDSSIQGPKGLKGDLGRQGRRGWPGSPGTPGSRRKMVVHGRRGHIG PQGNPGTPGPDGLAGSPGLRGPQGPRGEVGEKGEKGSLGMKGPQGPPGPGGQAGSQGHLG SQGNKGEPGDLGEKGAAGFPGPRGLQGDDGSPGYGSIGRKGTKGQEGFPGESGLKGDIGD PGDPGEAGPKGARGKTVSAGIPGEPGSPGEPGPPGRKGVKGARGLASFSTCDLIQYVRDH SPGRHGKPECPVHPTELVFVLDQSRDVTEQDFERMKGMMVSLVRDVKVREANCPVGARVA ILAYNSHTRHLIRFSDAYRKDQLLTAIKALPYERSSDSREIGKAMRFISRNVFKRTLPGA HVRRIATFFSSGPSADAQTITTAAMEFSALDIVPVVIAFSNVPSVKRAFSIDDTGTFQVI VVPSGSDEGPALERLQRCTFCYDLCKPDASCDQAKPPPIQSYLDTAFLLDGSRHVGSAEF EDMRDFLEALLDHFEITSEPETSVTGDRVALLSHAPLDFLPNTQRSPVRTEFNLTSYSSK RLMKRHVDQAVQQLHGDAFLGHALGWALDNVFLNTPNLRRNKVIFVISAGETSHLDAETL KKESLRAKCHGYALFVFSLGPDWDDKELEDLASHPVDQHLIQLGRIHKPDHGYSVKFVKS FINSIRHGINKYPPVNLKAKCNRLGSRDLKPPPRQFRSFVPGPQKANLKDHTAEAAKLFQ DKKRLSSMLKGGRATISSLSRSTRYAFKQGKEAIKATSKLGKRSA >ENSMUSP00000060840.4 pep:known chromosome:GRCm38:9:105774112:105809648:-1 gene:ENSMUSG00000043719.14 transcript:ENSMUST00000060896.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a6 description:collagen, type VI, alpha 6 [Source:MGI Symbol;Acc:MGI:2444259] MLLVLCLTMICFHVCVNQDSGPEYADVVFLVDSSDHLGLKSFPLVKTFIHKMISSLPIEA NKYRVALAQYSDALHNEFQLGTFKNRNPMLNHLKKNFGFIGGSLKIGNALQEAHRTYFSA PTNGRDKKQFPPILVVLASAESEDDVEEAAKALREDGVKIISVGVQKASEENLKAMATSQ FHFNLRTARDLSVFAPNMTEIIKDVTQYREGMADDIIVEACQGPSVADVVFLLDMAINGS QEDLDHLKAFLGESISALDIKENCMRVGLVTYSNETRVISSLSTGNNKTEVLQRIQDLSP QVGQAYTGAALRKTRKEIFSAQRGSRKNQGVPQIAVLVTHRASEDNVTKAAVNLRREGVT IFTMGIEGANPDELEKIASHPAEQFTSKLGNFSELATHNQTFLKKLRNQITHTVSVFSER TETLKSACVDTEEADIYLLIDGSGSTQPTDFHEMKTFLSEVVGMFNIAPHKVRVGAVQYA DTWDLEFEISKYSNKPDLGKAIENIRQMGGNTNTGAALNFTLKLLQRAKKERGSKVPCHL VVLTNGMSRDSVLGPAHKLREENIRVHAIGVKEANQTQLREIAGEEKRVYYVHEFDALRN IRNQVVQEICAEEACRDMKADIMFLVDSSGSIGPENFSKMKMFMKNLVSKSQIGADRVQI GVVQFSHENKEEFQLNTFMSQSDIANAIDRMTHIGETTLTGSALTFVSQYFSPDKGARPN VRKFLILITDGEAQDIVRDPAIALRKEGVIIYSVGVFGSNVTQLEEISGKPEMVFYVENF DILQHIEDDLVLGICSPREECKRIEVLDVVFVIDSSGSIDYQEYNIMKDFMIGLVKKADV GKNQVRFGALKYADDPEVLFYLDELGTKLEVVSVLQNDHPMGGNTYTAEALAFSDHMFTE ARGSRLHKGVPQVLIVITDGESHDAEKLNTTAKALRDKGILVLAVGIAGANSWELLAMAG SSDKYYFVETFGGLKGIFSDVSASVCNSSKVDCEIEKVDLVFLMDGSNSIHPDDFQKMKG FLVSVVQDFDVSLNRVRIGVAQFSDSYRSEFLLGTFTGEREISTQIEGIQQIFGYTHIGD ALRKVKYYFQPDMGSRINAGTPQVLLVLTDGRSQDEVAQAAEELRHKGVDIYSVGIGDVD DQELVQITGTAEKKLTVHNFDELKKVKKRIVRNICTSGGESS >ENSMUSP00000125765.2 pep:known chromosome:GRCm38:9:105689417:105828085:-1 gene:ENSMUSG00000043719.14 transcript:ENSMUST00000166431.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a6 description:collagen, type VI, alpha 6 [Source:MGI Symbol;Acc:MGI:2444259] MLLVLCLTMICFHVCVNQDSGPEYADVVFLVDSSDHLGLKSFPLVKTFIHKMISSLPIEA NKYRVALAQYSDALHNEFQLGTFKNRNPMLNHLKKNFGFIGGSLKIGNALQEAHRTYFSA PTNGRDKKQFPPILVVLASAESEDDVEEAAKALREDGVKIISVGVQKASEENLKAMATSQ FHFNLRTARDLSVFAPNMTEIIKDVTQYREGMADDIIVEACQGPSVADVVFLLDMAINGS QEDLDHLKAFLGESISALDIKENCMRVGLVTYSNETRVISSLSTGNNKTEVLQRIQDLSP QVGQAYTGAALRKTRKEIFSAQRGSRKNQGVPQIAVLVTHRASEDNVTKAAVNLRREGVT IFTMGIEGANPDELEKIASHPAEQFTSKLGNFSELATHNQTFLKKLRNQITHTVSVFSER TETLKSACVDTEEADIYLLIDGSGSTQPTDFHEMKTFLSEVVGMFNIAPHKVRVGAVQYA DTWDLEFEISKYSNKPDLGKAIENIRQMGGNTNTGAALNFTLKLLQRAKKERGSKVPCHL VVLTNGMSRDSVLGPAHKLREENIRVHAIGVKEANQTQLREIAGEEKRVYYVHEFDALRN IRNQVVQEICAEEACRDMKADIMFLVDSSGSIGPENFSKMKMFMKNLVSKSQIGADRVQI GVVQFSHENKEEFQLNTFMSQSDIANAIDRMTHIGETTLTGSALTFVSQYFSPDKGARPN VRKFLILITDGEAQDIVRDPAIALRKEGVIIYSVGVFGSNVTQLEEISGKPEMVFYVENF DILQHIEDDLVLGICSPREECKRIEVLDVVFVIDSSGSIDYQEYNIMKDFMIGLVKKADV GKNQVRFGALKYADDPEVLFYLDELGTKLEVVSVLQNDHPMGGNTYTAEALAFSDHMFTE ARGSRLHKGVPQVLIVITDGESHDAEKLNTTAKALRDKGILVLAVGIAGANSWELLAMAG SSDKYYFVETFGGLKGIFSDVSASVCNSSKVDCEIEKVDLVFLMDGSNSIHPDDFQKMKG FLVSVVQDFDVSLNRVRIGVAQFSDSYRSEFLLGTFTGEREISTQIEGIQQIFGYTHIGD ALRKVKYYFQPDMGSRINAGTPQVLLVLTDGRSQDEVAQAAEELRHKGVDIYSVGIGDVD DQELVQITGTAEKKLTVHNFDELKKVKKRIVRNICTSGGESNCFVDVVVGFDISSLQRGQ TLLEGQPWMGSYLQDLLRAISSLNGVSCEVGTETQVSIAFQVTNAMERYPSKFEIYSENI LSSLQGVTVNGPSRLNANLLSSLWDTFQNKSAARGKVVLLFSDGLDDGIEKLEQKSDELR KEGLNALITIAVDGAADSSDLADLLYIEFGKGFEYRTQFTIGMRNLGSQLSRQLINVAER TCCCLLCKCTGGDGAMGDPGSAGKKGPPGFKGSDGYLGEEGIAGERGASGPMGEQGTKGC FGAKGPKGTRGLSGEEGEVGEDGLDGLDGEQGDHGIPGRRGEKGDEGSQGNPGRRGAAGD RGAKGLRGDPGTPGRDSSIQGPKGLKGDLGRQGRRGWPGSPGTPGSRRKMVVHGRRGHIG PQGNPGTPGPDGLAGSPGLRGPQGPRGEVGEKGEKGSLGMKGPQGPPGPGGQAGSQGHLG SQGNKGEPGDLGEKGAAGFPGPRGLQGDDGSPGYGSIGRKGTKGQEGFPGESGLKGDIGD PGDPGEAGPKGARGKTVSAGIPGEPGSPGEPGPPGRKGVKGARGLASFSTCDLIQYVRDH SRKKHGKPECPVHPTELVFVLDQSRDVTEQDFERMKGMMVSLVRDVKVREANCPVGARVA ILAYNSHTRHLIRFSDAYRKDQLLTAIKALPYERSSDSREIGKAMRFISRNVFKRTLPGA HVRRIATFFSSGPSADAQTITTAAMEFSALDIVPVVIAFSNVPSVKRAFSIDDTGTFQVI VVPSGSDEGPALERLQRCTFCYDLCKPDASCDQAKPPPIQSYLDTAFLLDGSRHVGSAEF EDMRDFLEALLDHFEITSEPETSVTGDRVALLSHAPLDFLPNTQRSPVRTEFNLTSYSSK RLMKRHVDQAVQQLHGDAFLGHALGWALDNVFLNTPNLRRNKVIFVISAGETSHLDAETL KKESLRAKCHGYALFVFSLGPDWDDKELEDLASHPVDQHLIQLGRIHKPDHGYSVKFVKS FINSIRHGINKYPPVNLKAKCNRLGSRDLKPPPRQFRSFVPGPQKANLKDHTAEAAKLFQ DKKRLSSMLKGGRATISSLSRSTRYAFKQGKEAIKATSKLGKRSA >ENSMUSP00000006559.7 pep:known chromosome:GRCm38:9:85842380:85847040:1 gene:ENSMUSG00000035274.13 transcript:ENSMUST00000006559.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpbg description:trophoblast glycoprotein [Source:MGI Symbol;Acc:MGI:1341264] MPGAGSRGPSAGDGRLRLARLALVLLGWVSASAPSSSVPSSSTSPAAFLASGSAQPPPAE RCPAACECSEAARTVKCVNRNLLEVPADLPPYVRNLFLTGNQMTVLPAGAFARQPPLADL EALNLSGNHLKEVCAGAFEHLPGLRRLDLSHNPLTNLSAFAFAGSNASVSAPSPLEELIL NHIVPPEDQRQNGSFEGMVAFEGMVAAALRSGLALRGLTRLELASNHFLFLPRDLLAQLP SLRYLDLRNNSLVSLTYASFRNLTHLESLHLEDNALKVLHNSTLAEWHGLAHVKVFLDNN PWVCDCYMADMVAWLKETEVVPDKARLTCAFPEKMRNRGLLDLNSSDLDCDAVLPQSLQT SYVFLGIVLALIGAIFLLVLYLNRKGIKKWMHNIRDACRDHMEGYHYRYEINADPRLTNL SSNSDV >ENSMUSP00000096101.3 pep:known chromosome:GRCm38:9:85842865:85847040:1 gene:ENSMUSG00000035274.13 transcript:ENSMUST00000098500.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpbg description:trophoblast glycoprotein [Source:MGI Symbol;Acc:MGI:1341264] MPGAGSRGPSAGDGRLRLARLALVLLGWVSASAPSSSVPSSSTSPAAFLASGSAQPPPAE RCPAACECSEAARTVKCVNRNLLEVPADLPPYVRNLFLTGNQMTVLPAGAFARQPPLADL EALNLSGNHLKEVCAGAFEHLPGLRRLDLSHNPLTNLSAFAFAGSNASVSAPSPLEELIL NHIVPPEDQRQNGSFEGMVAFEGMVAAALRSGLALRGLTRLELASNHFLFLPRDLLAQLP SLRYLDLRNNSLVSLTYASFRNLTHLESLHLEDNALKVLHNSTLAEWHGLAHVKVFLDNN PWVCDCYMADMVAWLKETEVVPDKARLTCAFPEKMRNRGLLDLNSSDLDCDAVLPQSLQT SYVFLGIVLALIGAIFLLVLYLNRKGIKKWMHNIRDACRDHMEGYHYRYEINADPRLTNL SSNSDV >ENSMUSP00000050958.5 pep:known chromosome:GRCm38:6:57686576:57692078:-1 gene:ENSMUSG00000043162.7 transcript:ENSMUST00000053386.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pyurf description:Pigy upstream reading frame [Source:MGI Symbol;Acc:MGI:1913709] MLSATCRRLAPALRRLRALSAVAGRFLQVPGARLCSDQSERAEQPHTFHPALLQFLVCPL SKKPLRYEASTNELVNEELGIAYPIIDGIPNMIPQAARTTRQNEKQEEAEQP >ENSMUSP00000029459.3 pep:known chromosome:GRCm38:3:100162381:100206981:1 gene:ENSMUSG00000027865.10 transcript:ENSMUST00000029459.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdap2 description:ganglioside-induced differentiation-associated-protein 2 [Source:MGI Symbol;Acc:MGI:1338001] MDPLGAPSQFVDVDTLLSWGDSYEDEVDCADSTAEAFQEDASRSPFVYSRDVNGKVVLWK GDVALLNCTAIVNTSNESLTDKNPVSESIFMLAGPDLKEDLQKLKGCRTGEAKLTKGFNL AARFIIHTVGPKYKSRYRTAAESSLYSCYRNVLQLAKEQSMSSVGFCVINSAKRGYPLED ATHIALRTVRRFLEIHGENIEKVVFAVSELEEATYQKLLPLYFPRSLKEEIRSLPYLPAD IGNAEGEPVVPERQIRISEKPGASEDNEEEDEDEGLGVDLSFIGSHAFARMEGDIDKQRK LILQGQLSEAALQKQHQRNYNRWLCQARSEDLSDIASLKALYQTGVDNCGRTVMVVVGRN IPVTLIDMDKALLYFIHVMDHIAVKEYVLVYFHTLTSDYNHLDSDFLKKLYDVVDIKYKR NLKAVYFVHPTFRSKVSTWFFTTFSVSGLKDKIHHVDSLQQLFSAISPEQIDFPPFVLEY DARENGPYFASYPPSPDL >ENSMUSP00000102610.1 pep:known chromosome:GRCm38:3:100162518:100202470:1 gene:ENSMUSG00000027865.10 transcript:ENSMUST00000106997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdap2 description:ganglioside-induced differentiation-associated-protein 2 [Source:MGI Symbol;Acc:MGI:1338001] MDPLGAPSQFVDVDTLLSWGDSYEDEVDCADSTAEAFQEDASRSPFVYSRDVNGKVVLWK GDVALLNCTAIVNTSNESLTDKNPVSESIFMLAGPDLKEDLQKLKGCRTGEAKLTKGFNL AARFIIHTVGPKYKSRYRTAAESSLYSCYRNVLQLAKEQSMSSVGFCVINSAKRGYPLED ATHIALRTVRRFLEIHGENIEKVVFAVSELEEATYQKLLPLYFPRSLKEEIRSLPYLPAD IGNAEGEPVVPERQIRISEKPGASEDNEEEDEDEGLGVDLSFIGSHAFARMEGDIDKQRK LILQGQLSEAALQKQHQRNYNRWLCQARSEDLSDIASLKALYQTGVDNCGRTVMVVVGRN IPVTLIDMDKALLYFIHVMDHIAVKEYVLVYFHTLTSDYNHLDSDFLKKLYDVVDIKYKR NLKAVYFVHPTFRSKVSTWFFTTFSVSGLKDKIHHVDSLQQLFSAISPEQIDFPPFVLEY DARVSACPPLPGVVCRCAAAAGVSIWVCHGAAGVSLCVSCYMPTMKAASQSFCLITCRYT PVCSVC >ENSMUSP00000143195.1 pep:known chromosome:GRCm38:3:100194580:100206655:1 gene:ENSMUSG00000027865.10 transcript:ENSMUST00000197797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdap2 description:ganglioside-induced differentiation-associated-protein 2 [Source:MGI Symbol;Acc:MGI:1338001] HLDSDFLKKLYDVVDIKTEGQDPPRRQPAAALLCHITRADRLSSFCP >ENSMUSP00000132846.1 pep:known chromosome:GRCm38:1:36562692:36683183:-1 gene:ENSMUSG00000046337.17 transcript:ENSMUST00000170295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam178b description:family with sequence similarity 178, member B [Source:MGI Symbol;Acc:MGI:3026913] MQMPRDSFPTDWSPPPVEFLNKPKKAPPAKKGPLEDLEKDPPDPNQKVELASLEELFWNM ASPSQQAGTPEISSGSSQCYINNLDYLLQEKRMLVEKFSVSLQVISPVHPGETVFLPRRH PLPCFLDSSRLKPHSPLEELFLRSSLPEQLSFLHNGLLSNLYLHAADCPQPLLQWLFQLL TWPPETSSRAFGLLWDLSIDGLFRQPDEDMHFWCPSLQEVKEVFSSLGAYNPALYPQGPF QHSARVLESEASLDSQDPPQEVALDIKLNYIYKFLTLCLLVRPVSYTDASILDLMELLCR AGLDVGLCLLPKTDLQQLLLLLLERIQEWPGKLQPLCCALSWVSDHHHNLLALVQFFLDV TPRGRQLRSQLSLVIIARMLGQQESLPFSAEKTQLALLSQLLSLMRPSSLRQCLGIETLP SSLGQHSKASAELDYKVCYLCHSLLTLAGVVVSSQDITPNQWGELQLLCMQLDRHISTHI RESPQAMHRTKLKDLATQTYIRWQDLLAHCQPQGQYFSPWKDI >ENSMUSP00000141520.1 pep:known chromosome:GRCm38:1:36562696:36600411:-1 gene:ENSMUSG00000046337.17 transcript:ENSMUST00000194025.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam178b description:family with sequence similarity 178, member B [Source:MGI Symbol;Acc:MGI:3026913] XKLQPLCCALSWVSDHHHNLLALVQFFLDVTPRGRQLRSQLSLVIIARMLGQQESLPFSA EKTQLALLSQLLSLMRPSSLRQCLGIETLPCTGPNSRT >ENSMUSP00000141644.1 pep:known chromosome:GRCm38:1:36564328:36600954:-1 gene:ENSMUSG00000046337.17 transcript:ENSMUST00000194839.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam178b description:family with sequence similarity 178, member B [Source:MGI Symbol;Acc:MGI:3026913] XRVLESEASLDSQDPPQEVALDIKLNYIYKFLTLCLLVRPVSYTDASILDLMELLCRAGL DVGLCLLPKTDLQQLLLLLLERIQEWPGKAAEKSAESCDYCPDAGPAGVTSFLGRENPAS PAQPAPQPHEAFVPQAVSGH >ENSMUSP00000124023.1 pep:known chromosome:GRCm38:1:36599976:36683183:-1 gene:ENSMUSG00000046337.17 transcript:ENSMUST00000114981.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam178b description:family with sequence similarity 178, member B [Source:MGI Symbol;Acc:MGI:3026913] MQMPRDSFPTDWSPPPVEFLNKPKKAPPAKKGPLEDLEKDPPDPNQKVELASLEELFWNM ASPSQQAGTPEISSGSSQCYINNLDYLLQEKRMLVEKFSVSLQVISPVHPGETVFLPRRH PLPCFLDSSRLKPHSPLEELFLRSSLPEQLSFLHNGLLSNLYLHAADCPQPLLQWLFQLL TWPPETSSRAFGLLWDLSIDGLFRQPDEDMHFWCPSLQEVKEVFSSLGAYNPALYPQGPF QHSARVLESEASLDSQDPPQEVALDIKLNYIYKFLTLCLLVRPVSYTDASILDLMELLCR AGLDVGLCLLPKTDLQQLLLLLLERIQEWPGKLQPLCCALSWVSDHHHNLLALVQFFLDV TPRGRWVLLNHIPRDLSRI >ENSMUSP00000066705.3 pep:known chromosome:GRCm38:X:56374586:56377799:1 gene:ENSMUSG00000054850.4 transcript:ENSMUST00000068106.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim10l2a description:small integral membrane protein 10 like 2A [Source:MGI Symbol;Acc:MGI:2443645] MAVTAALSAAAAAAALSGLAVRLSRWAATRSSYGAFCKGLTRTLLTFFDLAWRLRVNFPY FYMVASVMLNVRLQVRIE >ENSMUSP00000032129.1 pep:known chromosome:GRCm38:6:87345650:87350942:-1 gene:ENSMUSG00000030050.4 transcript:ENSMUST00000032129.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gkn1 description:gastrokine 1 [Source:MGI Symbol;Acc:MGI:1913533] MKLTMFVVGLLGLLAAPGFAYTVNINGNDGNVDGSGQQSVSINGVHNVANIDNNNGWDSW NSLWDYENSFAATRLFSKKSCIVHRMNKDAMPSLQDLDTMVKEQKGKGPGGAPPKDLMYS VNPTRVEDLNTFGPKIAGMCRGIPTYVAEEIPGPNQPLYSKKCYTADILWILRMSFCGTS VETY >ENSMUSP00000128755.1 pep:known chromosome:GRCm38:14:43410827:43416350:-1 gene:ENSMUSG00000096372.1 transcript:ENSMUST00000169473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8138 description:predicted gene 8138 [Source:MGI Symbol;Acc:MGI:3647466] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSAGEASP QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNTETKILCKEGSQKIKDHYTKQQQV >ENSMUSP00000084466.5 pep:known chromosome:GRCm38:1:74506058:74530842:1 gene:ENSMUSG00000026174.9 transcript:ENSMUST00000087215.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rqcd1 description:rcd1 (required for cell differentiation) homolog 1 (S. pombe) [Source:MGI Symbol;Acc:MGI:1928902] MHSLATAAPVPTALAQVDREKIYQWINELSSPETRENALLELSKKRESVPDLAPMLWHSF GTIAALLQEIVNIYPSINPPTLTAHQSNRVCNALALLQCVASHPETRSAFLAAHIPLFLY PFLHTVSKTRPFEYLRLTSLGVIGALVKTDEQEVINFLLTTEIIPLCLRIMESGSELSKT VATFILQKILLDDTGLAYICQTYERFSHVAMILGKMVLQLSKEPSARLLKHVVRCYLRLS DNPRAREALRQCLPDQLKDTTFAQVLKDDTTTKRWLAQLVKNLQEGQVTDPRGIPLPPQ >ENSMUSP00000029741.2 pep:known chromosome:GRCm38:3:96711490:96727628:-1 gene:ENSMUSG00000028099.8 transcript:ENSMUST00000029741.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3c description:polymerase (RNA) III (DNA directed) polypeptide C [Source:MGI Symbol;Acc:MGI:1921664] MTQAEIKLCSLLLQEHFGEIVEKIGVHLVRTGSQPLRVIAHDTKASLDQVKKALCVLIHH NLVLYHVHKRGVVEYEAQCSRVLRMLRYPRYIYTTKTLYGDTGELIVEELLLNGKMTMSA VVKKVADRLTETMEDGKTMDYAEVSNAFVRLADTHFVQRCPLVPDTDSSDRGPPPPAPTL VINEKDMYLVPKLSLIGKGKRRRSSDEDATGEPKAKKPRYTDNKEPSPDDGIYWQVNLDR FHQHFRDQAIVSAVANRMDQTSSEIVRTMLRMSEITTPSSAPYTQPLSSNEIFRSLPVGY NISKQVLDQYLTLLADDPLEFIGKSGDSGGGMFVINLHKALASLATATLESVIQERFGSR CARIFRLVLQKKHLEQKQVEDFAMIPAKEAKDMLYKMLSENFILLQEIPKTPDHAPSRTF YLYTVNVLSAARMLLHRCYKSIANLIERRQFETKENKRLLEKSQRVEAIMASMQATGAEE VQLQEIEEMITAPERQQLETLKRNVNKLDASEIQVDETIFLLESYIESTMKRQ >ENSMUSP00000122435.1 pep:known chromosome:GRCm38:3:96711523:96727441:-1 gene:ENSMUSG00000028099.8 transcript:ENSMUST00000154679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3c description:polymerase (RNA) III (DNA directed) polypeptide C [Source:MGI Symbol;Acc:MGI:1921664] MTQAEIKLCSLLLQEHFGEIVEKIGVHLVRTGSQPLRVIAHDTKASLDQVKKALCVLIHH NLVLYHVHKRGVVEYEAQCSRVLRMLRYPRYIYTTKTLYGDTGELIVEELLLNGKMTMSA VVKKVADRLTETMEDGKTMDYAEVSNAFVRLADTHFVQRCPLVPDTDSSDRGPPPPAPTL VINEKDMYLVPKLSLIGKGKRRRSSDEDATGEPKAKKPRYTDNKEPSPDDGIYWQVNLDR FHQHFRDQAIVSAVANRMDQTSSEIVRTMLRMSEITTPSSAPYTQPLSSNEIFRSLPVGY NISKQVLDQYLTLLADDPLEFIGKSGDSGGGMFVINLHKALASLATATLESVIQERFGSR CARIFRLVLQKKHLEQKQVEDFAMIPAKEAKDMLYKMLSENFILLQEIPKTPDHAPSRTF YLYTVNVLSAARMLLHRCYKSIANLIERRQFETKENKRLLEKSQRVEAIMASMQATGAEE VQLQEIEEMITAPERQQLETLKRNVNKLDASEIQVDETIFLLESYIESTMKRQ >ENSMUSP00000119236.1 pep:known chromosome:GRCm38:3:96716451:96723819:-1 gene:ENSMUSG00000028099.8 transcript:ENSMUST00000128918.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polr3c description:polymerase (RNA) III (DNA directed) polypeptide C [Source:MGI Symbol;Acc:MGI:1921664] XRLTETMEDGKTMDYAEVSNAFVRLADTHFVQRCPLVPDTDSSDRGPPPPAPTLVINEKD MYLVPKLSLIGKGKRRRSSDEDATGEPKAKKPRYTDNKEPSPDDGIYWQVNLDRFHQHFR DQAIVSAVANRMDQTSSEIVRTMLRMSEITTPSSAPYTQPLSSNELHLAILRSDPVSSIS FCPTDLQVPARRI >ENSMUSP00000115300.1 pep:known chromosome:GRCm38:3:96723420:96727454:-1 gene:ENSMUSG00000028099.8 transcript:ENSMUST00000141377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3c description:polymerase (RNA) III (DNA directed) polypeptide C [Source:MGI Symbol;Acc:MGI:1921664] MTQAEIKLCSLLLQEHFGEIVEKIGVHLVRTGSQPLRVIAHDTKASLDQVKKALCVLIHH NLVLYHVHKRGVVEYEAQCSRVLRMLRYPRYIYTTKTLYGDTGELIVEELLLNGKMTMSA VVKKVADRLTETMEDGKTMDYAEVSNAFVRLADTHFVQRCPLVPDTDSSDRGPPPPAPTL VINEKDMYLVPKLSLIGKDFTS >ENSMUSP00000123513.1 pep:known chromosome:GRCm38:3:96723423:96727475:-1 gene:ENSMUSG00000028099.8 transcript:ENSMUST00000125183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3c description:polymerase (RNA) III (DNA directed) polypeptide C [Source:MGI Symbol;Acc:MGI:1921664] MTQAEIKLCSLLLQEHFGEIVEKIGVHLVRTGSQPLRVIAHDTKASLDQVKKALCVLIHH NLVLYHVHKRGVVEYEAQCSRVLRMLRYPRYIYTTKTLYGDTGELIVEELLLNGKMTMSA VVKKVADRLTETMEGQYWI >ENSMUSP00000019443.8 pep:known chromosome:GRCm38:14:55591708:55603693:1 gene:ENSMUSG00000047098.17 transcript:ENSMUST00000019443.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf31 description:ring finger protein 31 [Source:MGI Symbol;Acc:MGI:1934704] MPGDEERGFLAAREELASALRWDSAQVFPLEQLMPLLATSLPPAARYLQLDAGRLVRCNA HGEPRNYLNTLSTALNILEKYGRNLLSPQRPRYWRSVKFNNPVFRSTVDAVQGGRDVLRL YGYTEERPDGLSFPEGQEEPDEYQVAVVTLEVLLLRTELSLLLQNTHPRQNALDQLLRES VEDGMLQLSEFHPLLREIVPGPRPSAQGSTPGPCFLCGSAPGTLHCPACNQVSCPACDIL FHGHPSRAHHLRQALPGSHQTASLSSSLPASSQPRPPSSSLALGDSSLSSPDPANACLPW HCLTCATLNEPWAVFCAVCSQPKGCKVPGIEGSHGTGGLEPEPARDQWACQSCTFENEAA AVLCAICERPRLAQPPSLVVDSHDAGVCQQSLKQEDPLLTAAQPQVWYCDHCTFCNSGPV WVCAMCNRTRDPIPTQPALQSYPSSLEKGRPKPGSSQHLGSSLPASCGDPEKQRQDKMRK EGLQLVSMIQEGETAGASPEEVFSALQYSGTEVPLQWLRSELSYVLEMVAELAGQQDPEL GAFSCQEARKAWLDRHGNLDEAVEECVRARRRKVHELQSLGFGPKEGSLQALFQHGGDVA RALTELQRQRLEPFHQRLWDRDPEPTPCWDGLDRQSLVRRLLAVYTLPSWGRAELALALL QETPRNYELLDVVEAVRHSQDRAFLRRLLAQECAVCGWALPRNRMQALISCECTICPECF RQHFTIALKEKHITDMVCPACGRPDLTDDAQLLSYFSTLDIQLRESLDPDAYALFHKKLT EAVLMRDPKFLWCAQCSFGFIYEREQLEATCPQCHQTFCVRCKRQWEEQHRGRSCEDFQN WKRTNDPEYQAQGLAMYLQENGIDCPKCKFSYALARGGCMHFHCTQCRHQFCSGCYNAFY AKNKCPDPNCKVKKSLHGHHPRDCLFYLRDWTAARLQKLLQDNNVMFNTEPPAGTRAVPG GGCRVMEQKEVHSGFRDEACGKETPPGYAGLCQAHYKEYLVSLINAHSLDPATLYEVEEL ETATIRYLHLAPQPADGEDLPAYQARLLQKLREEVPLGQSIARRRK >ENSMUSP00000122955.1 pep:known chromosome:GRCm38:14:55591744:55597656:1 gene:ENSMUSG00000047098.17 transcript:ENSMUST00000137296.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf31 description:ring finger protein 31 [Source:MGI Symbol;Acc:MGI:1934704] MPGDEERGFLAAREELASALRWDSAQVFPLEQLMPLLATSLPPAARYLQLDAGRLVRCNA HGEPRNYLNTLSTALNILEKYGRNLLSPQRPRYWRSVKFNNPVFRSTVDAVQGGRDVLRL YGYTEERPDGLSFPEGQEEPDEYQVAVVTLEVLLLRTELSLLLQNTHPRQNALDQLLRES VEDGMLQLSEFHPLLREIVPGPRPSAQGSTPGPCFLCGSAPGTLHCPACNQVSCPACDIL FHGHPSRAHHLRQALPGSHQTASLSSSLPASSQPRPPSSSLALGDSSLSSPDPANACLPW HCLTCATLNEPWAVFCAVCSQPKGCKVPGIEGSHGTGGLEPEPARDQWACQSCTFENEAA AVLCAICERPRLAQPPSLVVDSHDAGVCQQSLKEDPLLTAAQPQVWYCDHCTFCNSGPVW VCAMCNRTRDPIPTQPALQSYPSSLEKGRPKPGSSQHLGSSLPASCGDPEKQRQDKMRKE GLQLVSMIQVITGPGMNEMGLSCQGKKMP >ENSMUSP00000118215.1 pep:known chromosome:GRCm38:14:55592275:55603664:1 gene:ENSMUSG00000047098.17 transcript:ENSMUST00000140178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf31 description:ring finger protein 31 [Source:MGI Symbol;Acc:MGI:1934704] XNNPVFRSTVDAVQGGRDVLRLYGYTEERPDGLSFPEGQEEPDEYQVAVVTLEVLLLRTE LSLLLQNTHPRQNALDQLLRESVEDGMLQLSEFHPLLREIVPGPRPSAQGSTPGPCFLCG SAPGTLHCPACNQVSCPACDILFHGHPSRAHHLRQALPGSHQTASLSSSLPASSQPRPPS SSLALGDSSLSSPDPANACLPWHCLTCATLNEPWAVFCAVCSQPKGCKVPGIEGSHGTGG LEPEPARDQWACQSCTFENEAAAVLCAICERPRLAQPPSLVVDSHDAGVCQQSLKQEDPL LTAAQPQVWYCDHCTFCNSGPVWVCAMCNRTRDPIPTQPALQSYPSSLEKGRPKPGSSQH LGSSLPASCGDPEKQRQDKMRKEGLQLVSMIQEARKAWLDRHGNLDEAVEECVRARRRKV HELQSLGFGPKEGSLQALFQHGGDVARALTELQRQRLEPFHQRLWDRDPEPTPCWDGLDR QSLVRRLLAVYTLPSWGRAELALALLQETPRNYELLDVVEAVRHSQDRAFLRRLLAQECA VCGWALPRNRMQALISCECTICPECFRQHFTIALKEKHITDMVCPACGRPDLTDDAQLLS YFSTLDIQLRESLDPDAYALFHKKLTEAVLMRDPKFLWCAQCSFGFIYEREQLEATCPQC HQTFCVRCKRQWEEQHRGRSCEDFQNWKRTNDPEYQAQGLAMYLQENGIDCPKCKFSYAL ARGGCMHFHCTQCRHQFCSGCYNAFYAKNKCPDPNCKVKKSLHGHHPRDCLFYLRDWTAA RLQKLLQDNNVMFNTEPPAGTRAVPGGGCRVMEQKEVHSGFRDEACGKETPPGYAGLCQA HYKEYLVSLINAHSLDPATLYEVEELETATIRYLHLAPQPADGEDLPAYQARLLQKLREE VPLGQSIARRRK >ENSMUSP00000006991.7 pep:known chromosome:GRCm38:13:117602480:117987418:1 gene:ENSMUSG00000021730.8 transcript:ENSMUST00000006991.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcn1 description:hyperpolarization-activated, cyclic nucleotide-gated K+ 1 [Source:MGI Symbol;Acc:MGI:1096392] MEGGGKPNSASNSRDDGNSVFPSKAPATGPVAADKRLGTPPGGGAAGKEHGNSVCFKVDG GGGEEPAGSFEDAEGPRRQYGFMQRQFTSMLQPGVNKFSLRMFGSQKAVEKEQERVKTAG FWIIHPYSDFRFYWDLIMLIMMVGNLVIIPVGITFFTEQTTTPWIIFNVASDTVFLLDLI MNFRTGTVNEDSSEIILDPKVIKMNYLKSWFVVDFISSIPVDYIFLIVEKGMDSEVYKTA RALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIFNLIGMMLLLCHW DGCLQFLVPLLQDFPPDCWVSLNEMVNDSWGKQYSYALFKAMSHMLCIGYGAQAPVSMSD LWITMLSMIVGATCYAMFVGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADMRQKI HDYYEHRYQGKIFDEENILSELNDPLREEIVNFNCRKLVATMPLFANADPNFVTAMLSKL RFEVFQPGDYIIREGAVGKKMYFIQHGVAGVITKSSKEMKLTDGSYFGEICLLTKGRRTA SVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVAIDRLDRIGKKNSILLQKFQKDLNT GVFNNQENEILKQIVKHDREMVQAIPPINYPQMTALNCTSSTTTPTSRMRTQSPPVYTAT SLSHSNLHSPSPSTQTPQPSAILSPCSYTTAVCSPPIQSPLATRTFHYASPTASQLSLMQ QPQQQLPQSQVQQTQTQTQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPQTPG SSTPKNEVHKSTQALHNTNLTKEVRPLSASQPSLPHEVSTLISRPHPTVGESLASIPQPV AAVHSTGLQAGSRSTVPQRVTLFRQMSSGAIPPNRGVPPAPPPPAAVQRESPSVLNTDPD AEKPRFASNL >ENSMUSP00000143571.1 pep:known chromosome:GRCm38:5:103425240:103529740:1 gene:ENSMUSG00000034573.14 transcript:ENSMUST00000196014.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptpn13 description:protein tyrosine phosphatase, non-receptor type 13 [Source:MGI Symbol;Acc:MGI:103293] MHVSLAEALEVRGGPLQEEEIWAVLNQSAESLQEVFRRVSIADPAALGFIISPWSLLLLP SGSVSFTDENVSNQDLRAFTAPEVLQSHSLTSLADVEKIHIYSLGMTLYWGADHEVPQSQ PIKLGDHLNSILLGMCEDVIYARVSVRTVLDACSAHIRNSNCAPSFSYVKQLVKLVLGNI SGTDPLSRSSEQKPDRSQAIRDRLRGKGLPTALHSMFLPPCLDLSKLCRLQKESICVFME EAPLQTH >ENSMUSP00000048119.9 pep:known chromosome:GRCm38:5:103425501:103598303:1 gene:ENSMUSG00000034573.14 transcript:ENSMUST00000048957.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn13 description:protein tyrosine phosphatase, non-receptor type 13 [Source:MGI Symbol;Acc:MGI:103293] MHVSLAEALEVRGGPLQEEEIWAVLNQSAESLQEVFRRVSIADPAALGFIISPWSLLLLP SGSVSFTDENVSNQDLRAFTAPEVLQSHSLTSLADVEKIHIYSLGMTLYWGADHEVPQSQ PIKLGDHLNSILLGMCEDVIYARVSVRTVLDACSAHIRNSNCAPSFSYVKQLVKLVLGNI SGTDPLSRSSEQKPDRSQAIRDRLRGKGLPTGRSSTSDALDTHEAPLSQQTFLNKGLSKS MGFLSIRDTRDEEDYLKDTPSDNNSRHEDSETFSSPYQFKTSTPQMDALSKKKTWASSMD LLCAANRDISGETGRYQRCDPETVTGRTSITPRKKEGRYSDGSIALDIFGPQKVEPVIHT RELPTSTAVSSALDRIRERQKKLQVLREAMNVEEPVRRYKTYHSDIFSISSESPSVISSE SDFRQVRKSEASKRFESSSGLPGVDETGQTRPSRQYETSLEGNLINQDIMLRRQEEEMMQ LQARMALRQSRLSLYPGDTVKASMLDISRDPLREMALETAMTQRKLRNFFGPEFVKMTVE PFVSLDLPRSILSQTKKGKSEDQRRKVNIRLLSGQRLELTCDTKTICKDVFDMVVAHIGL VEHHLFALATRKENEYFFVDPDLKLTKVAPEGWKEEPKRKGKAAVDFTLFFRIKFFMDDV SLIQHDLTCHQYYLQLRKDLLDERVHCDDEAALLLASLALQAEYGDYQPEVHGVSYFRLE HYLPARVMEKLDVSYIKEELPKLHNTYAGASEKETELEFLKVCQRLTEYGVHFHRVHPEK KSQTGILLGVCSKGVLVFEVHNGVRALVLRFPWRETKKISFSKKKITLQNTSDGIKHAFQ TDSSKACQYLLHLCSSQHKFQLQMRARQSNQDAQDIERASFRSLNLQAESVRGFNMGRAI STGSLASSTINKLAVRPLSVQAEILKRLSSSEWSLYQPLQNSSKEKTDKASWEEKPRGMS KSYHDLSQASLCPHRKQVINMEALPQAFAELVGKPLYPMARSDTESLAGLPKLDNSKSVA SLNRSPERRNHESDSSTEDPGQAYVVGMSLPSSGKSSSQVPFKDNDTLHKRWSIVSSPER EITLVNLKKDPKHGLGFQIIGGEKMGRLDLGVFISAVTPGGPADLDGCLKPGDRLISVNS VSLEGVSHHAAVDILQNAPEDVTLVISQPKEKPSKVPSTPVHFANGMKSYTKKPAYMQDS AMDPSEDQPWPRGTLRHIPESPFGLSGGLREGSLSSQDSRTESASLSQSQVNGFFASHLG DRGWQEPQHSSPSPSVTTKVNEKTFSDSNRSKAKRRGISDLIEHLDCADSDKDDSTYTSS QDHQTSKQEPSSSLSTSNKTSFPTSSASPPKPGDTFEVELAKTDGSLGISVTGGVNTSVR HGGIYVKAIIPKGAAESDGRIHKGDRVLAVNGVSLEGATHKQAVETLRNTGQVVHLLLEK GQVPTSRERDPAGPQSPPPDQDAQRQAPEKVAKQTPHVKDYSFVTEDNTFEVKLFKNSSG LGFSFSREDNLIPEQINGSIVRVKKLFPGQPAAESGKIDVGDVILKVNGAPLKGLSQQDV ISALRGTAPEVSLLLCRPAPGVLPEIDTTFLNPLYSPANSFLNSSKETSQPSSSVEQGAS SDDNGVSGKTKNHCRAPSRRESYSDHSESGEDDSVRAPAKMPNVTRVAAFPHEAPRSQEE SICAMFYLPRKIPGKLESESSHPPPLDVSPGQTCQPPAECAPSDATGKHFTHLASQLSKE ENITTLKNDLGNHLEDSELEVELLITLVKSEKGSLGFTVTKGSQSIGCYVHDVIQDPAKG DGRLKAGDRLIKVNDTDVTNMTHTDAVNLLRAAPKTVRLVLGRILELPRMPVFPHLLPDI TVTCHGEELGFSLSGGQGSPHGVVYISDINPRSAAAVDGSLQLLDIIHYVNGVSTQGMTL EDANRALDLSLPSVVLKVTRDGCPVVPTTRAAISAPRFTKANGLTSMEPSGQPALMPKNS FSKVNGEGVHEAVCPAGEGSSSQMKESAGLTETKESNSRDDDIYDDPQEAEVIQSLLDVV DEEAQNLLNQRHATRRACSPDPLRTNGEAPEEGDTDYDGSPLPEDVPESVSSGEGKVDLA SLTAASQEEKPIEEDATQESRNSTTETTDGEDSSKDPPFLTNEELAALPVVRVPPSGKYT GTQLQATIRTLQGLLDQGIPSKELENLQELKPLDQCLIGQTKENRRKNRYKNILPYDTTR VPLGDEGGYINASFIRIPVGTQEFVYIACQGPLPTTVGDFWQMVWEQNSTVIAMMTQEVE GEKIKCQRYWPSILGTTTMANERLRLALLRMQQLKGFIVRVMALEDIQTGEVRHISHLNF TAWPDHDTPSQPDDLLTFISYMRHIRRSGPVITHCSAGIGRSGTLICIDVVLGLISQDLE FDISDLVRCMRLQRHGMVQTEGQYVFCYQVILYVLTHLQAEEQKAQPGLPQ >ENSMUSP00000122360.1 pep:known chromosome:GRCm38:2:34777556:34799912:-1 gene:ENSMUSG00000070953.13 transcript:ENSMUST00000145903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabepk description:Rab9 effector protein with kelch motifs [Source:MGI Symbol;Acc:MGI:2139530] MRGLRQGIMKQLPILEPGDKPRKATWYTLTCPGDRPCPRVGHSCSYFPPVGDAESGKIFI VGGANPNQSFSDVHTMDLGTHQWDTATREGLLPRYEHASFLPSCSPHSIWVFGGADQSGN RNCLQVMSPEDRTWSTPEVTGSPPSPRTFHTSSAAIGNQLYVFGGGERGAQPVEDVKLHV FDANTLTWSQPETHGSPPSPRHGHVMVAAGTKLFIHGGLAGDKFFDDLHCIDIGDMSWQK LGPTGAVPVGCAAHAAVAVGHHVYMFGGMTATGALNMMYKYHTEKQHWTVLQFDTSLPAG RLDHSMCVIPWPVMSTSENKDSDSVILTLQDEKGDAAEKAETQSGGPHEESPTTVLLCFV FGGMNTEGEVYDDCLVTVVD >ENSMUSP00000108709.2 pep:known chromosome:GRCm38:2:34779217:34799618:-1 gene:ENSMUSG00000070953.13 transcript:ENSMUST00000113086.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabepk description:Rab9 effector protein with kelch motifs [Source:MGI Symbol;Acc:MGI:2139530] MKQLPILEPGDKPRKATWYTLTCPGDRPCPRVGHSCSYFPPVGDAESGKIFIVGGANPNQ SFSDVHTMDLEDRTWSTPEVTGSPPSPRTFHTSSAAIGNQLYVFGGGERGAQPVEDVKLH VFDANTLTWSQPETHGSPPSPRHGHVMVAAGTKLFIHGGLAGDKFFDDLHCIDIGDMSWQ KLGPTGAVPVGCAAHAAVAVGHHVYMFGGMTATGALNMMYKYHTEKQHWTVLQFDTSLPA GRLDHSMCVIPWPVMSTSENKDSDSVILTLQDEKGDAAEKAETQSGGPHEESPTTVLLCF VFGGMNTEGEVYDDCLVTVVD >ENSMUSP00000113099.1 pep:known chromosome:GRCm38:2:34779237:34799728:-1 gene:ENSMUSG00000070953.13 transcript:ENSMUST00000118108.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabepk description:Rab9 effector protein with kelch motifs [Source:MGI Symbol;Acc:MGI:2139530] MKQLPILEPGDKPRKATWYTLTCPGDRPCPRVGHSCSYFPPVGDAESGKIFIVGGANPNQ SFSDVHTMDLGTHQWDTATREGLLPRYEHASFLPSCSPHSIWVFGGADQSGNRNCLQVMS PEDRTWSTPEVTGSPPSPRTFHTSSAAIGNQLYVFGGGERGAQPVEDVKLHVFDANTLTW SQPETHGSPPSPRHGHVMVAAGTKLFIHGGLAGDKFFDDLHCIDIGDMSWQKLGPTGAVP VGCAAHAAVAVGHHVYMFGGMTATGALNMMYKYHTEKQHWTVLQFDTSLPAGRLDHSMCV IPWPVMSTSENKDSDSVILTLQDEKGDAAEKAETQSGGPHEESPTTVLLCFVFGGMNTEG EVYDDCLVTVVD >ENSMUSP00000037746.6 pep:known chromosome:GRCm38:2:34779428:34795305:-1 gene:ENSMUSG00000070953.13 transcript:ENSMUST00000047963.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabepk description:Rab9 effector protein with kelch motifs [Source:MGI Symbol;Acc:MGI:2139530] XRPCPRVGHSCSYFPPVGDAESGKIFIVGGANPNQSFSDVHTMDLASGCLEVQTSQEIET VCKS >ENSMUSP00000120887.1 pep:known chromosome:GRCm38:2:34784639:34799864:-1 gene:ENSMUSG00000070953.13 transcript:ENSMUST00000141099.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabepk description:Rab9 effector protein with kelch motifs [Source:MGI Symbol;Acc:MGI:2139530] MRGLRQGIMKQLPILEPGDKPRKATWNTPVGHGHQGGPLASVRACQLPSLLLSSQHLGVW RCRPVRKSKLSASHES >ENSMUSP00000122119.1 pep:known chromosome:GRCm38:2:34785031:34799901:-1 gene:ENSMUSG00000070953.13 transcript:ENSMUST00000140663.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabepk description:Rab9 effector protein with kelch motifs [Source:MGI Symbol;Acc:MGI:2139530] MRGLRQGIMKQLPILEPGDKPRKATWSVHFDLPWRQALPSSWPQLLIFPPSW >ENSMUSP00000129052.1 pep:known chromosome:GRCm38:14:43543576:43551213:1 gene:ENSMUSG00000091477.1 transcript:ENSMUST00000169023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5799 description:predicted gene 5799 [Source:MGI Symbol;Acc:MGI:3646715] MFSWLCRLFHRENGDQGETRPRQKESAIPSCKNRRMKSFWGRHMSAGKTSSQNCNITNHM KNRNKLEDMKFYIRKINAERLELFRILDIDMNTDLNYRMNIEFTIIKSQHEKTMLDMEKI TQSINDTIEKYKEFIEDKDSYRCEILQQKLEHGTDQHKVSFEDKFYRRTSVCAKQILHLI PSNHGYHLLHFLPLLLFPCNE >ENSMUSP00000022225.5 pep:known chromosome:GRCm38:13:104178797:104203017:1 gene:ENSMUSG00000021712.14 transcript:ENSMUST00000022225.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim23 description:tripartite motif-containing 23 [Source:MGI Symbol;Acc:MGI:1933161] MAALAVNKPGAGVDSGRQGSRGTAVVKVLECGVCEDVFSLQGDKVPRLLLCGHTVCHDCL TRLPLHGRAIRCPFDRQVTDLGDSGVWGLKKNFALLELLERLQNGHIGQYGAAEEAIGTS GESIIRCDEDEAHVASVYCTVCATHLCSDCSQVTHSTKTLAKHRRVPLADKPHEKTMCCQ HQVHAIEFVCLEEGCQTSPLMCCVCKEYGKHQGHKHSVLEPEANQIRASILDMAHCIRTF TEEISDYSRKLVGIVQHIEGGEQIVEDGIGMAHTEHVPGTAENARSCVRAYFSDLHETLC RQEEMALSVVDAHVREKLIWLRQQQEDMTILLSQVSTACLHCEKTLQQDDCRVVLAKQEI TRLLETLQKQQQQFTEVADHIQLDASIPVTFTKDNRVHIGPKMEIRVVTLGLDGAGKTTI LFKLKQDEFMQPIPTIGFNVETVEYKNLKFTIWDVGGKHKLRPLWKHYYLNTQAVVFVVD SSHRDRISEAHSELAKLLTEKELRDALLLIFANKQDVAGALSVEEITELLSLHKLCCGRS WYIQGCDARSGMGLYEGLDWLSRQLVAAGVLDVA >ENSMUSP00000070767.5 pep:known chromosome:GRCm38:13:104178839:104203372:1 gene:ENSMUSG00000021712.14 transcript:ENSMUST00000069187.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim23 description:tripartite motif-containing 23 [Source:MGI Symbol;Acc:MGI:1933161] MAALAVNKPGAGVDSGRQGSRGTAVVKVLECGVCEDVFSLQGDKVPRLLLCGHTVCHDCL TRLPLHGRAIRCPFDRQVTDLGDSGVWGLKKNFALLELLERLQNGHIGQYGAAEEAIGTS GESIIRCDEDEAHVASVYCTVCATHLCSDCSQVTHSTKTLAKHRRVPLADKPHEKTMCCQ HQVHAIEFVCLEEGCQTSPLMCCVCKEYGKHQGHKVPGTAENARSCVRAYFSDLHETLCR QEEMALSVVDAHVREKLIWLRQQQEDMTILLSQVSTACLHCEKTLQQDDCRVVLAKQEIT RLLETLQKQQQQFTEVADHIQLDASIPVTFTKDNRVHIGPKMEIRVVTLGLDGAGKTTIL FKLKQDEFMQPIPTIGFNVETVEYKNLKFTIWDVGGKHKLRPLWKHYYLNTQAVVFVVDS SHRDRISEAHSELAKLLTEKELRDALLLIFANKQDVAGALSVEEITELLSLHKLCCGRSW YIQGCDARSGMGLYEGLDWLSRQLVAAGVLDVA >ENSMUSP00000124425.1 pep:known chromosome:GRCm38:13:104178861:104189729:1 gene:ENSMUSG00000021712.14 transcript:ENSMUST00000160230.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trim23 description:tripartite motif-containing 23 [Source:MGI Symbol;Acc:MGI:1933161] MAALAVNKPGAGVDSGRQGSRGTAVVKVLECGVCEDVFSLQGDKVPRLLLCGHTVCHDCL TRLPLHGRAIRCPFDRQVTDLGPRYCRECPIMCQSLFF >ENSMUSP00000069371.5 pep:known chromosome:GRCm38:13:104179098:104202048:1 gene:ENSMUSG00000021712.14 transcript:ENSMUST00000069174.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim23 description:tripartite motif-containing 23 [Source:MGI Symbol;Acc:MGI:1933161] MFLFYIQVLECGVCEDVFSLQGDKVPRLLLCGHTVCHDCLTRLPLHGRAIRCPFDRQVTD LGDSGVWGLKKNFALLELLERLQNGHIGQYGAAEEAIGTSGESIIRCDEDEAHVASVYCT VCATHLCSDCSQVTHSTKTLAKHRRVPLADKPHEKTMCCQHQVHAIEFVCLEEGCQTSPL MCCVCKEYGKHQGHKHSVLEPEANQIRASILDMAHCIRTFTEEISDYSRKLVGIVQHIEG GEQIVEDGIGMAHTEHVPGTAENARSCVRAYFSDLHETLCRQEEMALSVVDAHVREKLIW LRQQQEDMTILLSQVSTACLHCEKTLQQDDCRVVLAKQEITRLLETLQKQQQQFTEVADH IQLDASIPVTFTKDNRVHIGPKMEIRVVTLGLDGAGKTTILFKLKQDEFMQPIPTIGFNV ETVEYKNLKFTIWDVGGKHKLRPLWKHYYLNTQAVVFVVDSSHRDRISEAHSELAKLLTE KELRDALLLIFANKQDVAGALSVEEITELLSLHKLCCGRSWYIQGCDARSGMGLYEGLDW LSRQLVAAGVLDVA >ENSMUSP00000140145.1 pep:known chromosome:GRCm38:15:74955051:74959903:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000188866.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSSFCNFSAAGLGLRASIPLL GLGLLLSLLALLQLSP >ENSMUSP00000139471.1 pep:known chromosome:GRCm38:15:74955106:74958776:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000187606.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSSFCNFSAAGLGLRASIPLL GLGLLLSLLALLQLSP >ENSMUSP00000139477.1 pep:known chromosome:GRCm38:15:74955142:74958389:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000189186.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFG >ENSMUSP00000140553.1 pep:known chromosome:GRCm38:15:74955164:74958756:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000187284.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSSFCNFSAAGLGLRASIPLL GLGLLLSLLALLQLSP >ENSMUSP00000139482.1 pep:known chromosome:GRCm38:15:74955178:74958655:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000190810.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSSFCNFSAAGLGLRASIP >ENSMUSP00000141145.1 pep:known chromosome:GRCm38:15:74955186:74958727:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000185861.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSSFCNFSAAGLGLRASIPLL GLGLLLSLLALLQLSP >ENSMUSP00000140060.1 pep:known chromosome:GRCm38:15:74955241:74958593:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000185863.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MAWTVSRLLWLANLQQMSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWP VSCQEKDHYCITLSAAAGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSY >ENSMUSP00000139966.1 pep:known chromosome:GRCm38:15:74955260:74958660:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000191127.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSSFCNFSAAGLGLRASIPL >ENSMUSP00000139549.1 pep:known chromosome:GRCm38:15:74955653:74959331:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000191436.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSSFCNFSAAGLGLRASIPLL GLGLLLSLLALLQLSP >ENSMUSP00000140829.1 pep:known chromosome:GRCm38:15:74955672:74958610:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000191145.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MAWTVRLLWLANLQQMSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPV SCQEKDHYCITLSAAAGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSS >ENSMUSP00000141059.1 pep:known chromosome:GRCm38:15:74956555:74958826:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000188042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSSFCNFSAAGLGLRASIPLL GLGLLLSLLALLQLSP >ENSMUSP00000056703.7 pep:known chromosome:GRCm38:15:74955071:74959905:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000051698.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSSFCNFSAAGLGLRASIPLL GLGLLLSLLALLQLSP >ENSMUSP00000132081.1 pep:known chromosome:GRCm38:15:74955051:74959905:1 gene:ENSMUSG00000022587.14 transcript:ENSMUST00000169343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6e description:lymphocyte antigen 6 complex, locus E [Source:MGI Symbol;Acc:MGI:106651] MSATSNMRVFLPVLLAALLGMEQVHSLMCFSCTDQKNNINCLWPVSCQEKDHYCITLSAA AGFGNVNLGYTLNKGCSPICPSENVNLNLGVASVNSYCCQSSFCNFSAAGLGLRASIPLL GLGLLLSLLALLQLSP >ENSMUSP00000077246.2 pep:known chromosome:GRCm38:7:103517411:103518382:-1 gene:ENSMUSG00000096584.1 transcript:ENSMUST00000078108.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr611 description:olfactory receptor 611 [Source:MGI Symbol;Acc:MGI:3030445] MLHVNITNSIFSTFLVTGIPGLEAVYIWIAIPFCAMFLITMVGNMTIIIVIWHEQTLHVP MYLFLAMLASSDLGLSLFTFPTLLRIFLLNDRELTTTACFTQMFFIHTFQDLESAIILAM AFDRYVAISHPLHYHSILTDTVIAKIGLAIVVRTLTLQVPAPILLRRLYFCRSNVLSHSY CLHPDIIKLSCSSTTVNSIFGLFVVLSTLGLDFLLILLSYALILKTVLSMASHSGRLKAL NTCISHLCAVVLFFTPMICLSMLHRFGPRLPSHVYVTLANMHFLIPPVMNPIVYVVKTKQ IRDKIQKLFIRKATKKAQAASIT >ENSMUSP00000027503.7 pep:known chromosome:GRCm38:1:93792576:93801934:-1 gene:ENSMUSG00000026281.15 transcript:ENSMUST00000027503.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtymk description:deoxythymidylate kinase [Source:MGI Symbol;Acc:MGI:108396] MASRRGALIVLEGVDRAGKTTQGLKLVTALCASGHRAELLRFPERSTEIGKLLNSYLEKK TELEDHSVHLLFSANRWEQVPLIKAKLNQGVTLVLDRYAFSGVAFTGAKENFSLDWCKQP DVGLPKPDLILFLQLQLLDAAARGEFGLERYETGTFQKQVLLCFQQLMEEKNLNWKVVDA SKSIEEVHKEIRAHSEDAIRNAAQRPLGELWK >ENSMUSP00000108514.1 pep:known chromosome:GRCm38:1:93792724:93799001:-1 gene:ENSMUSG00000026281.15 transcript:ENSMUST00000112893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtymk description:deoxythymidylate kinase [Source:MGI Symbol;Acc:MGI:108396] MGFLTWDSWKPVPGLRSILFFRPLIKAKLNQGVTLVLDRYAFSGVAFTGAKENFSLDWCK QPDVGLPKPDLILFLQLQLLDAAARGEFGLERYETGTFQKQVLLCFQQLMEEKNLNWKVV DASKSIEEVHKEIRAHSEDAIRNAAQRPLGELWK >ENSMUSP00000108511.2 pep:known chromosome:GRCm38:1:93800065:93801906:-1 gene:ENSMUSG00000026281.15 transcript:ENSMUST00000112890.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtymk description:deoxythymidylate kinase [Source:MGI Symbol;Acc:MGI:108396] MASRRGALIVLEGVDRAGKTTQGLKLVTALCASGHRAELLRFPERSTEIGKLLNSYLEKK TELEDHSVHLLFSANRWEQV >ENSMUSP00000072598.5 pep:known chromosome:GRCm38:5:87409942:87424203:-1 gene:ENSMUSG00000061906.5 transcript:ENSMUST00000072818.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2b38 description:UDP glucuronosyltransferase 2 family, polypeptide B38 [Source:MGI Symbol;Acc:MGI:2140794] MPGKWISALLLLQISCCFRSVKCGKVLVWPMEFSHWMNIKIILDELVQRGHEVTVLRPSA YYVLDPKKSPGLKFETFPTSVSKDNLENFFIKFVDVWTYEMPRDTCLSYSPLLQNMIDEF SDYFLSLCKDVVSNKELMTKLQESKFDVLLSDPVASCGELIAELLQIPFLYSIRFSPGYQ IEKSSGRFLLPPSYVPVILSGLGGQMTFIERVKNMICRLYFDFWFQMFNDKKWDSFYSEY LGRPTTLAETMGKAEMWLIRSNWDLEFPHPTLPNVDYVGGLHCKPAKPLPKDMEEFVQSS GDHGVVVFSLGSMVSNMTEEKANTIAWALAQIPQKVLWKFDGKTPATLGHNTRVYKWLPQ NDLLGHPKTKAFVTHGGANGVYEVIYHGIPMIGIPLFGEQHDNIAHMVAKGAAVTLNIRT MSRSDVLNALEEVIDNPFYKKNAIWLSTIHHDQPTKPLDRAVFWVEFVMRHKRAKHLRSL GHNLTWHQYHFLDVIGFLLSCVAVTIVLTVKCLLFIYRFFVKKEKKIKNE >ENSMUSP00000107047.1 pep:known chromosome:GRCm38:1:166254139:166316823:1 gene:ENSMUSG00000040612.13 transcript:ENSMUST00000111416.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ildr2 description:immunoglobulin-like domain containing receptor 2 [Source:MGI Symbol;Acc:MGI:1196370] MPAFPTLDLDGKLGKMDRVVLGWTAVFWLTAMVEGLQVTVPDKKKVAMLFQPTVLRCHFS TSSHQPAVVQWKFKSYCQDRMGESLGMSSPRAQALSKRNLEWDPYLDCLDSRRTVRVVAS KQGSTVTLGDFYRGREITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVELLV LGRTGLLADLLPSFAVEIMPEWVFVGLVILGIFLFFVLVGICWCQCCPHSCCCYVRCPCC PDSCCCPQALYEAGKAAKAGYPPSVSGVPGPYSIPSVPLGGAPSSGMLMDKPHPPPLAPS DSTGGSHSVRKGYRIQADKERDSMKVLYYVEKELAQFDPARRMRGRYNNTISELSSLHDD DSNFRQSYHQMRNKQFPMSGDLESNPDYWSGVMGGNSGTNRGPALEYNKEDRESFRHSQQ RSKSEMLSRKNFATGVPAVSMDELAAFADSYGQRSRRANGNSHEARAGSRFERSESRAHG AFYQDGSLDEYYGRGRSREPPGDGERGWTYSPAPARRRPPEDAPLPRLVSRTPGTAPKYD HSYLSSVLERQARPESSSRGGSLETPSKLGAQLGPRSASYYAWSPPTTYKAGASEGEDED DAADEDALPPYSELELSRGELSRGPSYRGRDLSFHSNSEKRRKKEPAKKPGDFPTRMSLV V >ENSMUSP00000142152.1 pep:known chromosome:GRCm38:1:166254193:166311270:1 gene:ENSMUSG00000040612.13 transcript:ENSMUST00000194964.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ildr2 description:immunoglobulin-like domain containing receptor 2 [Source:MGI Symbol;Acc:MGI:1196370] MPAFPTLDLDGKLGKMDRVVLGWTAVFWLTAMVEGLQVTVPDKKKVAMLFQPTVLRCHFS TSSHQPAVVQWKFKSYCQDRMGESLGMSSPRAQALSKRNLEWDPYLDCLDSRRTVRVVAS KQGSTVTLGDFYRGREITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVELLV LGRTGLLADLLPSFAVEIMPEWVFVGLVILGIFLFFVLVGICWCQCCPHSCCCYVRCPCC PDSCCCPQAFRKGYRIQADKERDSMKVLYYVEKELAQFDPARRMRGRYNNTISELSSLHD DDSNFRQSYHQMRNKQFPMSGDLESNPDYWSGVMGGNSGTNRGPALEYNKEDRESFRHSQ QRSKSEMLSRKNFATGVPAVSMDELAAFADSYGQRSRRANGNSHEARAGSRFERSESRAH GAFYQDGSLDEYYGRGRSREPPGDGERGWTYSPAPARRRPPEDAPLPRLVSRTPGTAPKY DHSYLSSVLERQARPESSSRGGSLETPSKLGAQLGPRSASYYAWSPPTTYKAGASEGEDE DDAADEDALPPYSELELSRGELSRGPSYRGRDLSFHSNSEKRRKKEPAKKPGDFPTRMSL VV >ENSMUSP00000142311.1 pep:known chromosome:GRCm38:1:166254193:166311270:1 gene:ENSMUSG00000040612.13 transcript:ENSMUST00000192638.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ildr2 description:immunoglobulin-like domain containing receptor 2 [Source:MGI Symbol;Acc:MGI:1196370] MPAFPTLDLDGKLGKMDRVVLGWTAVFWLTAMVEGLQVTVPDKKKVAMLFQPTVLRCHFS TSSHQPAVVQWKFKSYCQDRMGESLGMSSPRAQALSKRNLEWDPYLDCLDSRRTVRVVAS KQGSTVTLGDFYRGREITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVELLV LEWVFVGLVILGIFLFFVLVGICWCQCCPHSCCCYVRCPCCPDSCCCPQALYEAGKAAKA GYPPSVSGVPGPYSIPSVPLGGAPSSGMLMDKPHPPPLAPSDSTGGSHSVRKGYRIQADK ERDSMKVLYYVEKELAQFDPARRMRGRYNNTISELSSLHDDDSNFRQSYHQMRNKQFPMS GDLESNPDYWSGVMGGNSGTNRGPALEYNKEDRESFRHSQQRSKSEMLSRKNFATGVPAV SMDELAAFADSYGQRSRRANGNSHEARAGSRFERSESRAHGAFYQDGSLDEYYGRGRSRE PPGDGERGWTYSPAPARRRPPEDAPLPRLVSRTPGTAPKYDHSYLSSVLERQARPESSSR GGSLETPSKLGAQLGPRSASYYAWSPPTTYKAGASEGEDEDDAADEDALPPYSELELSRG ELSRGPSYRGRDLSFHSNSEKRRKKEPAKKPGDFPTRMSLVV >ENSMUSP00000141961.1 pep:known chromosome:GRCm38:1:166254193:166311270:1 gene:ENSMUSG00000040612.13 transcript:ENSMUST00000192426.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ildr2 description:immunoglobulin-like domain containing receptor 2 [Source:MGI Symbol;Acc:MGI:1196370] MPAFPTLDLDGKLGKMDRVVLGWTAVFWLTAMVEGLQVTVPDKKKVAMLFQPTVLRCHFS TSSHQPAVVQWKFKSYCQDRMGESLGMSSPRAQALSKRNLEWDPYLDCLDSRRTVRVVAS KQGSTVTLGDFYRGREITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVELLV LGRLSNQDVPCSLILIRHLSG >ENSMUSP00000142240.1 pep:known chromosome:GRCm38:1:166254193:166311270:1 gene:ENSMUSG00000040612.13 transcript:ENSMUST00000195557.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ildr2 description:immunoglobulin-like domain containing receptor 2 [Source:MGI Symbol;Acc:MGI:1196370] MPAFPTLDLDGKLGKMDRVVLGWTAVFWLTAMVEGLQVTVPDKKKVAMLFQPTVLRCHFS TSSHQPAVVQWKFKSYCQDRMGESLGMSSPRAQALSKRNLEWDPYLDCLDSRRTVRVVAS KQGSTVTLGDFYRGREITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVELLV LGRTGLLADLLPSFAVEIMPEWVFVGLVILGIFLFFVLVGICWCQCCPHSCCCYVRCPCC PDSCCCPQALYEAGKAAKAGYPPSVSGVPGPYSIPSVPLGGAPSSGMLMDKPHPPPLAPS DSTGGSHSVRKGYRIQADKERDSMKVLYYVEKELAQFDPARRMRGRYNNTISELSSLHDD DSNFRQSYHQMRNKQFPMSGDLESNPDYWSGVMGGNSGTNRGPALEYNKEDRESFRHRAT FQPGCPL >ENSMUSP00000141502.1 pep:known chromosome:GRCm38:1:166254193:166311270:1 gene:ENSMUSG00000040612.13 transcript:ENSMUST00000192732.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ildr2 description:immunoglobulin-like domain containing receptor 2 [Source:MGI Symbol;Acc:MGI:1196370] MPAFPTLDLDGKLGKMDRVVLGWTAVFWLTAMVEGLQVTVPDKKKVAMLFQPTVLRCHFS TSSHQPAVVQWKFKSYCQDRMGESLGMSSPRAQALSKRNLEWDPYLDCLDSRRTVRVVAS KQGSTVTLGDFYRGREITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVELLV LVRKGYRIQADKERDSMKVLYYVEKELAQFDPARRMRGRYNNTISELSSLHDDDSNFRQS YHQMRNKQFPMSGDLESNPDYWSGVMGGNSGTNRGPALEYNKEDRESFRHSQQRSKSEML SRKNFATGVPAVSMDELAAFADSYGQRSRRANGNSHEARAGSRFERSESRAHGAFYQDGS LDEYYGRGRSREPPGDGERGWTYSPAPARRRPPEDAPLPRLVSRTPGTAPKYDHSYLSSV LERQARPESSSRGGSLETPSKLGAQLGPRSASYYAWSPPTTYKAGASEGEDEDDAADEDA LPPYSELELSRGELSRGPSYRGRDLSFHSNSEKRRKKEPAKKPGDFPTRMSLVV >ENSMUSP00000141323.1 pep:known chromosome:GRCm38:1:166254193:166311270:1 gene:ENSMUSG00000040612.13 transcript:ENSMUST00000193860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ildr2 description:immunoglobulin-like domain containing receptor 2 [Source:MGI Symbol;Acc:MGI:1196370] MPAFPTLDLDGKLGKMDRVVLGWTAVFWLTAMVEGLQVTVPDKKKVAMLFQPTVLRCHFS TSSHQPAVVQWKFKSYCQDRMGESLGMSSPRAQALSKRNLEWDPYLDCLDSRRTVRVVAS KQGSTVTLGDFYRGREITIVHDADLQIGKLMWGDSGLYYCIITTPDDLEGKNEDSVELLV LGRTGLLADLLPSFAVEIMPVRKGYRIQADKERDSMKVLYYVEKELAQFDPARRMRGRYN NTISELSSLHDDDSNFRQSYHQMRNKQFPMSGDLESNPDYWSGVMGGNSGTNRGPALEYN KEDRESFRHSQQRSKSEMLSRKNFATGVPAVSMDELAAFADSYGQRSRRANGNSHEARAG SRFERSESRAHGAFYQDGSLDEYYGRGRSREPPGDGERGWTYSPAPARRRPPEDAPLPRL VSRTPGTAPKYDHSYLSSVLERQARPESSSRGGSLETPSKLGAQLGPRSASYYAWSPPTT YKAGASEGEDEDDAADEDALPPYSELELSRGELSRGPSYRGRDLSFHSNSEKRRKKEPAK KPGDFPTRMSLVV >ENSMUSP00000129511.1 pep:known chromosome:GRCm38:11:62648664:62664859:1 gene:ENSMUSG00000048497.11 transcript:ENSMUST00000062860.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmgt2 description:membrane magnesium transporter 2 [Source:MGI Symbol;Acc:MGI:2448491] MVAWLWKVLMG >ENSMUSP00000130397.1 pep:known chromosome:GRCm38:11:62648831:62664929:1 gene:ENSMUSG00000048497.11 transcript:ENSMUST00000127589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmgt2 description:membrane magnesium transporter 2 [Source:MGI Symbol;Acc:MGI:2448491] MVAWLWKVLMGVGLFALTHAAFSAAQHRSHARLT >ENSMUSP00000128697.1 pep:known chromosome:GRCm38:11:62648835:62665236:1 gene:ENSMUSG00000048497.11 transcript:ENSMUST00000155759.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmgt2 description:membrane magnesium transporter 2 [Source:MGI Symbol;Acc:MGI:2448491] MVAWLWKVLMGVGLFALTHAAFSAAQHRSHARLTEKKYEPLPADIVLQTLLAFALTCYGV VHTAGDFRDRDATSELKDMTFDTLRNRPSFYVFHRSGYRLFQRPDSTHSSNLSASSSDLP LKF >ENSMUSP00000128669.1 pep:known chromosome:GRCm38:11:62649449:62666354:1 gene:ENSMUSG00000048497.11 transcript:ENSMUST00000129162.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmgt2 description:membrane magnesium transporter 2 [Source:MGI Symbol;Acc:MGI:2448491] MVAWLWKVLMGVGLFALTHAAFSAAQHRSHARLTEKKYEPLPADIVLQTLLAFALTCYGV VHTAGDFRDRDATSELKDMTFDTLRNRPSFYVFHRSGYRLFQRPDSTHSSNLSASSSDLP LKF >ENSMUSP00000031692.5 pep:known chromosome:GRCm38:5:135168283:135181855:1 gene:ENSMUSG00000029681.12 transcript:ENSMUST00000031692.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl7b description:B cell CLL/lymphoma 7B [Source:MGI Symbol;Acc:MGI:1332238] MSGRSVRAETRSRAKDDIKKVMAAIEKVRKWEKKWVTVGDTSLRIFKWVPVTDSKEKEKS KSNNTAAREPNGFPSDASANSSLLLEFQDENSNQSSVSDVYQLKVDSSTNSSPSPQQSES LSPAHTSDFRTDDSQPPTLGQEILEEPSLPASEVADEPPTLTKEEPVPVETQTTEEEEDS GAPPLKRFCVDQPVVPQTTSES >ENSMUSP00000106818.3 pep:known chromosome:GRCm38:5:135168389:135173448:1 gene:ENSMUSG00000029681.12 transcript:ENSMUST00000111187.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl7b description:B cell CLL/lymphoma 7B [Source:MGI Symbol;Acc:MGI:1332238] MSGRSVRAETRSRAKDDIKKVMAAIEKVRKWEKKWVTVGDTSLRIFKWVPVTDSKEGF >ENSMUSP00000106819.1 pep:known chromosome:GRCm38:5:135168412:135181831:1 gene:ENSMUSG00000029681.12 transcript:ENSMUST00000111188.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl7b description:B cell CLL/lymphoma 7B [Source:MGI Symbol;Acc:MGI:1332238] MSGRSVRAETRSRAKDDIKKVMAAIEKVRKWEKKWVTVGDTSLRIFKWVPVTDSKEKEKS KSNNTAAREPNGFPSDASANSSLLLEFQEPSLPASEVADEPPTLTKEEPVPVETQTTEEE EDSGAPPLKRFCVDQPVVPQTTSES >ENSMUSP00000144538.1 pep:known chromosome:GRCm38:5:135168445:135180595:1 gene:ENSMUSG00000029681.12 transcript:ENSMUST00000202606.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl7b description:B cell CLL/lymphoma 7B [Source:MGI Symbol;Acc:MGI:1332238] MTSRREKKWVTVGDTSLRIFKWVPVTDSKEKEKSKSNNTAAREPNGFPSDASANSSLLLE FQDENSNQSSVSDVYQLKVDSSTNSSPSPQQSESLSPAHTSDFRTDDSQPPTLGQEILEE PSLPASEVADEPPTLTKEEPVPVETQ >ENSMUSP00000129540.1 pep:known chromosome:GRCm38:7:86795141:86812032:1 gene:ENSMUSG00000090949.1 transcript:ENSMUST00000164996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r77 description:vomeronasal 2, receptor 77 [Source:MGI Symbol;Acc:MGI:3643879] MAEMFSLIVFFLVLKLSFLFCYLSDPRCFWRIKDRENYLGDKEADCFFSIYTKRGYVKND YFSENLDKKVTLKTIYLISSVYFAIEEINRNIHILPNISLLVKIDCNLIEDNVERVWSLK KKEIIPNYYCKNQRRYLIVLTGPIWITSYILGPFLYFSRTPELYCGYFHLLLSDQEQFPH LYQMTPKDTSLPLAMVSLAVHFRWNWVGVIITDDDHGIQFLSELRTGMERNTVCLAFVTS ITYDKMLYLKMTHKYYHQIIMSSAKVVVVYGDKESPLQLNFMLWKSINIQRLWVSVSQFD MITMMGDFMLNSIHGTLIFSHQQSEMSGFKHFIQRVNPSNYSNDISLAKLWWTYFKCSLP PPDCKTLKNCPTKTLFQWFFEPPLGMSMSETCYNLYNSVYAAAHSIHEMLLQHVDTSSEN DENVLEFNSWKMFSFVKNIQFVNPAGDLVNMNQNRKLDTEYDIFYITDFLKHEGLKMKIG RFSGHFPNGQQLFISDEMIEWATDIKQTLASICSVPCRPGLRKYFQEGNSVCCFDCYPCP ENEISNMTDMDQCVKCPEDEYANTNQTKCFKKVVTFLAYEDPSGMALAVLAVCFSVLTSV VLCVFLKHRNTPIVKANNETLSYVLLTSLIFCFICSLLYIGYPTMVSCILQQTTFAIVFT VAASCVLAKTITVVLAFKITVPGRRLRWLLLSGAPNYIIPICTMIQMILCGIWLGTSPPF VDADLHMVHGHIIIVCNKGSVIAFYCVLGYMGSLALSSFTVAFLARNLPDTFNEAKLLTF SMLVFCSVWITFLPVYHSTKGKAMVAVEVFCILASSAGMLVCIFLPKCYIILLRPQINSF HDFRKIHVKVININ >ENSMUSP00000030662.2 pep:known chromosome:GRCm38:4:133574745:133584243:1 gene:ENSMUSG00000028850.2 transcript:ENSMUST00000030662.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch3 description:G patch domain containing 3 [Source:MGI Symbol;Acc:MGI:2442492] MASPRELDEESPVYLVVSGIPAVLRSAQLRSYFSQFREQRGGGFLCFHYRHRPERGPPQA SPEAARAGPDPAAEDPVLAQAPASDARAVRARGSAAAQTRTCCCVVSVRGAAQAQRLLRM YSGRRWLDSQGTWLPGRCLIRRLRLPTEVSDLGSFPFKTRKELQSRRAENEAFTLADLKQ LPELNPPVLMPNGNVGTPLRVFLELIRSCRLPPRIITQLQLQFPKTGSSRRYGNVPFLYE DSETVEQEEHVYTAEGEEIPQGSCSEDPAAGSFDEPEDEGQQQEEEEESGSEEDDDRGEE WERHEALHEDVTGQERTTERLFEEEIELKWEKGGSGLVFYTDAQFWQEEEGDFDEQTADD WDVDMSVYYDRDGGDKDARDSVQMRLERRLREGQEDGSVLGGQVGTFERHTKGIGRKVME RQGWAEGQGLGSRCSGVPEALDGDGQHPRCKRGLGYHGEKLQPFRQLKRPRRTGLGLIST IYDEPLPQDQGETLLRRQPPTSMKFRTDMTFVKGSSCALDRPEPE >ENSMUSP00000115322.1 pep:known chromosome:GRCm38:1:74542888:74567794:1 gene:ENSMUSG00000026173.15 transcript:ENSMUST00000141412.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plcd4 description:phospholipase C, delta 4 [Source:MGI Symbol;Acc:MGI:107469] MTSQIQDLLATDQDLLLMQEGTMMRKVRTKSWKKLRYFRLQNDGMTVWHGSQPESMPKPT FSISDVERIRKGQDSELLRYLVEEFPLEQGFTVVFHGRRPNLDLVANSVEEAQIWMRGLQ LLVDLVASMDHQEQMDQMLNEWFQQADRNQDGRMSFREAQRLLLLMNVEMDEEYAFSLFQ EADVTQSDDLGSEEFVQFYKALTKRTEIEEIFEDFSSDKQKLTLLEFVDFLRKEQKEKDH APDLALELIDRYEPSENGRLLHVLSKDGFLKYLCSKDGNIFNSDCLPIYQDMTQPLSHYY INSSHNTYLVGDQLCGQSSVEGYIRALKRGCRCVEVDTWDGPDGEPVVYHGHTLTSRILF KDVLATLAQYAFQSSDYPLILSLENHCTWEQQRTMAHHLTEILGEQLLRNTLEGLLVDSM PSPEQLRGKILVKGKKLRTIEVDKEEEEEEEEEELEKDEGPDLDPASPELDTQPQPETQG QAAGNKKERKKKVMKCPMSCLLICGHVMAQAPSSIPESILLSKQTMLKSIPSSCPVSSPE FHNHHVPRPICPGCLLEDCPLLQLHSFQRKLPHL >ENSMUSP00000109376.1 pep:known chromosome:GRCm38:1:74542903:74565977:1 gene:ENSMUSG00000026173.15 transcript:ENSMUST00000113747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcd4 description:phospholipase C, delta 4 [Source:MGI Symbol;Acc:MGI:107469] MTSQIQDLLATDQDLLLMQEGTMMRKVRTKSWKKLRYFRLQNDGMTVWHGSQPESMPKPT FSISDVERIRKGQDSELLRYLVEEFPLEQGFTVVFHGRRPNLDLVANSVEEAQIWMRGLQ LLVDLVASMDHQEQMDQMLNEWFQQADRNQDGRMSFREAQRLLLLMNVEMDEEYAFSLFQ EADVTQSDDLGSEEFVQFYKALTKRTEIEEIFEDFSSDKQKLTLLEFVDFLRKEQKEKDH APDLALELIDRYEPSENGRLLHVLSKDGFLKYLCSKDGNIFNSDCLPIYQDMTQPLSHYY INSSHNTYLVGDQLCGQSSVEGYIRALKRGCRCVEVDTWDGPDGEPVVYHGHTLTSRILF KDVLATLAQYAFQSSDYPLILSLENHCTWEQQRTMAHHLTEILGEQLLRNTLEGLLVDSM PSPEQLRGKILVKGKKLRTIEVDKEEEEEEEEEELEKDEGPDLDPASPELDTQPQPETQG QAAGNKKERKKKFLLLSSTTIMCPDLSALVVYLRTVPFCSFTHSKENYHIYDISSFSESK AKNLIKEAGNEFVQHNARQLCRVYPSGLRTDSSNFNPQEHWNVGCQMVAMNMQTAGSAMD ICDGLFRQNGGSGYVLKPEFLRDTQSSFNPERPISLYKAQILVVQVISGQQLPKVDKTKE TTVVDPLVKVELYGVPEDTKEQETSHVENNGINPYWGETFYFRLQVPELAMLRFVVKDYS RKSRNNFIGQYTLPWTCMKQGYRHVSLLSRDGTSLNPASIFVYTCMQEDLDMDEP >ENSMUSP00000109379.1 pep:known chromosome:GRCm38:1:74542903:74565977:1 gene:ENSMUSG00000026173.15 transcript:ENSMUST00000113750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcd4 description:phospholipase C, delta 4 [Source:MGI Symbol;Acc:MGI:107469] MTSQIQDLLATDQDLLLMQEGTMMRKVRTKSWKKLRYFRLQNDGMTVWHGSQPESMPKPT FSISDVERIRKGQDSELLRYLVEEFPLEQGFTVVFHGRRPNLDLVANSVEEAQIWMRGLQ LLVDLVASMDHQEQMDQMLNEWFQQADRNQDGRMSFREAQRLLLLMNVEMDEEYAFSLFQ EADVTQSDDLGSEEFVQFYKALTKRTEIEEIFEDFSSDKQKLTLLEFVDFLRKEQKEKDH APDLALELIDRYEPSENGRLLHVLSKDGFLKYLCSKDGNIFNSDCLPIYQDMTQPLSHYY INSSHNTYLVGDQLCGQSSVEGYIRALKRGCRCVEVDTWDGPDGEPVVYHGHTLTSRILF KDVLATLAQYAFQSSDYPLILSLENHCTWEQQRTMAHHLTEILGEQLLRNTLEGLLVDSM PSPEQLRGKILVKGKKLRTIEVDKEEEEEEEEEELEKDEGPDLDPASPELDTQPQPETQG QAAGNKKERKKKFLLLSSTTIMCPDLSALVVYLRTVPFCSFTHSKENYHIYDISSFSESK AKNLIKEAGNEFVQHNARQLCRVYPSGLRTDSSNFNPQEHWNVGCQMVAMNMQTAGSAMD ICDGLFRQNGGSGYVLKPEFLRDTQSSFNPERPISLYKAQILVVQVISGQQLPKVDKTKE TTVVDPLVKVELYGVPEDTKEQETSHVENNGINPYWGETFYFRLQVPELAMLRFVVKDYS RKSRNNFIGQYTLPWTCMKQEMALASIQLPSLYTPACRKTWIWMSPEKHREGLEEQSTDA QSFPTYNFLKIQSQPKDQ >ENSMUSP00000027362.7 pep:known chromosome:GRCm38:1:74544364:74565977:1 gene:ENSMUSG00000026173.15 transcript:ENSMUST00000027362.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcd4 description:phospholipase C, delta 4 [Source:MGI Symbol;Acc:MGI:107469] MTSQIQDLLATDQDLLLMQEGTMMRKVRTKSWKKLRYFRLQNDGMTVWHGSQPESMPKPT FSISDVERIRKGQDSELLRYLVEEFPLEQGFTVVFHGRRPNLDLVANSVEEAQIWMRGLQ LLVDLVASMDHQEQMDQMLNEWFQQADRNQDGRMSFREAQRLLLLMNVEMDEEYAFSLFQ EADVTQSDDLGSEEFVQFYKALTKRTEIEEIFEDFSSDKQKLTLLEFVDFLRKEQKEKDH APDLALELIDRYEPSENGRLLHVLSKDGFLKYLCSKDGNIFNSDCLPIYQDMTQPLSHYY INSSHNTYLVGDQLCGQSSVEGYIRALKRGCRCVEVDTWDGPDGEPVVYHGHTLTSRILF KDVLATLAQYAFQSSDYPLILSLENHCTWEQQRTMAHHLTEILGEQLLRNTLEGLLVDSM PSPEQLRGKILVKGKKLRTIEVDKEEEEEEEEEELEKDEGPDLDPASPELDTQPQPETQG QAAGNKKERKKKVMKCPMSCLLICGHVMAQAPSSIPESILLSKQFLLLSSTTIMCPDLSA LVVYLRTVPFCSFTHSKENYHIYDISSFSESKAKNLIKEAGNEFVQHNARQLCRVYPSGL RTDSSNFNPQEHWNVGCQMVAMNMQTAGSAMDICDGLFRQNGGSGYVLKPEFLRDTQSSF NPERPISLYKAQILVVQVISGQQLPKVDKTKETTVVDPLVKVELYGVPEDTKEQETSHVE NNGINPYWGETFYFRLQVPELAMLRFVVKDYSRKSRNNFIGQYTLPWTCMKQGYRHVSLL SRDGTSLNPASIFVYTCMQEDLDMDEP >ENSMUSP00000121732.1 pep:known chromosome:GRCm38:1:74544364:74565977:1 gene:ENSMUSG00000026173.15 transcript:ENSMUST00000152707.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plcd4 description:phospholipase C, delta 4 [Source:MGI Symbol;Acc:MGI:107469] MTSQIQDLLATDQDLLLMQEGTMMRKVRTKSWKKLRYFRLQNDGMTVWHGSQPESMPKPT FSISDVERIRKGQDSELLRYLVEEFPLEQGFTVVFHGRRPNLDLVANSVEEAQIWMRGLQ LLVDLVASMDHQEQMDQMLNEWFQQADRNQDGRMSFREAQRLLLLMNVEMDEEYAFSLFQ EADVTQSDDLGSEEFVQFYKALTKRTEIEEIFEDFSSDKQKLTLLEFVDFLRKEQKEKDH APDLALELIDRYEPSENGRLLHVLSKDGFLKYLCSKDGNIFNSDCLPIYQDMTQPLSHYY INSSHNTYLVGDQLCGQSSVEGYIRALKRGCRCVEVDTWDGPDGEPVVYHGHTLTSRILF KDVLATLAQYAFQSSDYPLILSLENHCTWEQQRTMAHHLTEILGEQLLRNTLEGLLVDSM PSPEQLRGKILVKGKKLRTIEVDKEEEEEEEEEELEKDEGPDLDPASPELDTQPQPETQG QAAGNKKERKKKVMKCPMSCLLICGHVMAQAPSSIPESILLSKQTMLKSIPSSCPVSSPE FHNHHVPRPICPGCLLEDCPLLQLHSFQRKLPHL >ENSMUSP00000109378.1 pep:known chromosome:GRCm38:1:74542888:74567794:1 gene:ENSMUSG00000026173.15 transcript:ENSMUST00000113749.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcd4 description:phospholipase C, delta 4 [Source:MGI Symbol;Acc:MGI:107469] MTSQIQDLLATDQDLLLMQEGTMMRKVRTKSWKKLRYFRLQNDGMTVWHGSQPESMPKPT FSISDVERIRKGQDSELLRYLVEEFPLEQGFTVVFHGRRPNLDLVANSVEEAQIWMRGLQ LLVDLVASMDHQEQMDQMLNEWFQQADRNQDGRMSFREAQRLLLLMNVEMDEEYAFSLFQ EADVTQSDDLGSEEFVQFYKALTKRTEIEEIFEDFSSDKQKLTLLEFVDFLRKEQKEKDH APDLALELIDRYEPSENGRLLHVLSKDGFLKYLCSKDGNIFNSDCLPIYQDMTQPLSHYY INSSHNTYLVGDQLCGQSSVEGYIRALKRGCRCVEVDTWDGPDGEPVVYHGHTLTSRILF KDVLATLAQYAFQSSDYPLILSLENHCTWEQQRTMAHHLTEILGEQLLRNTLEGLLVDSM PSPEQLRGKILVKGKKLRTIEVDKEEEEEEEEEELEKDEGPDLDPASPELDTQPQPETQG QAAGNKKERKKKVMKCPMSCLLICGHVMAQAPSSIPESILLSKQFLLLSSTTIMCPDLSA LVVYLRTVPFCSFTHSKENYHIYDISSFSESKAKNLIKEAGNEFVQHNARQLCRVYPSGL RTDSSNFNPQEHWNVGCQMVAMNMQTAGSAMDICDGLFRQNGGSGYVLKPEFLRDTQSSF NPERPISLYKAQILVVQVISGQQLPKVDKTKETTVVDPLVKVELYGVPEDTKEQETSHVE NNGINPYWGETFYFRLQVPELAMLRFVVKDYSRKSRNNFIGQYTLPWTCMKQGYRHVSLL SRDGTSLNPASIFVYTCMQEDLDMDEP >ENSMUSP00000064413.6 pep:known chromosome:GRCm38:1:74542888:74567794:1 gene:ENSMUSG00000026173.15 transcript:ENSMUST00000067916.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcd4 description:phospholipase C, delta 4 [Source:MGI Symbol;Acc:MGI:107469] MTSQIQDLLATDQDLLLMQEGTMMRKVRTKSWKKLRYFRLQNDGMTVWHGSQPESMPKPT FSISDVERIRKGQDSELLRYLVEEFPLEQGFTVVFHGRRPNLDLVANSVEEAQIWMRGLQ LLVDLVASMDHQEQMDQMLNEWFQQADRNQDGRMSFREAQRLLLLMNVEMDEEYAFSLFQ EADVTQSDDLGSEEFVQFYKALTKRTEIEEIFEDFSSDKQKLTLLEFVDFLRKEQKEKDH APDLALELIDRYEPSENGRLLHVLSKDGFLKYLCSKDGNIFNSDCLPIYQDMTQPLSHYY INSSHNTYLVGDQLCGQSSVEGYIRALKRGCRCVEVDTWDGPDGEPVVYHGHTLTSRILF KDVLATLAQYAFQSSDYPLILSLENHCTWEQQRTMAHHLTEILGEQLLRNTLEGLLVDSM PSPEQLRGKILVKGKKLRTIEVDKEEEEEEEEEELEKDEGPDLDPASPELDTQPQPETQG QAAGNKKERKKKFLLLSSTTIMCPDLSALVVYLRTVPFCSFTHSKENYHIYDISSFSESK AKNLIKEAGNEFVQHNARQLCRVYPSGLRTDSSNFNPQEHWNVGCQMVAMNMQTAGSAMD ICDGLFRQNGGSGYVLKPEFLRDTQSSFNPERPISLYKAQILVVQVISGQQLPKVDKTKE TTVVDPLVKVELYGVPEDTKEQETSHVENNGINPYWGETFYFRLQVPELAMLRFVVKDYS RKSRNNFIGQYTLPWTCMKQGYRHVSLLSRDGTSLNPASIFVYTCMQEDLDMDEP >ENSMUSP00000029456.4 pep:known chromosome:GRCm38:3:101275899:101287939:-1 gene:ENSMUSG00000027863.8 transcript:ENSMUST00000029456.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd2 description:CD2 antigen [Source:MGI Symbol;Acc:MGI:88320] MKCKFLGSFFLLFSLSGKGADCRDNETIWGVLGHGITLNIPNFQMTDDIDEVRWVRRGTL VAEFKRKKPPFLISETYEVLANGSLKIKKPMMRNDSGTYNVMVYGTNGMTRLEKDLDVRI LERVSKPMIHWECPNTTLTCAVLQGTDFELKLYQGETLLNSLPQKNMSYQWTNLNAPFKC EAINPVSKESKMEVVNCPEKGLSFYVTVGVGAGGLLLVLLVALFIFCICKRRKRNRRRKD EELEIKASRTSTVERGPKPHSTPAAAAQNSVALQAPPPPGHHLQTPGHRPLPPGHRTREH QQKKRPPPSGTQIHQQKGPPLPRPRVQPKPPCGSGDGVSLPPPN >ENSMUSP00000123406.1 pep:known chromosome:GRCm38:X:36611879:36645096:-1 gene:ENSMUSG00000059708.12 transcript:ENSMUST00000133980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap17b description:A kinase (PRKA) anchor protein 17B [Source:MGI Symbol;Acc:MGI:2443758] MFDTTKHFSEGAIQRRNQERLKLQELEEERKKEKKREEEVAERKRKDEVRKAQEKRKKAR DRRRALKERDRHRQRKEKVKTKPEARQELDSSGEWEERKYLLARRRVEALRLLRVLLKKI AVWMRKEKNLKPCQTHISLLLNCLGSTQCNPQEVGVMKSEAHHTPGTALKSPEEEELNTR HLLDWEEMPSYQASTLDLSQKAEKRCYQASKSDNKQKQKGKKKTKAQLQKSSHTPGVKQM RHSARKEETGKVLSDGCDYSLVSDQNSLQSTMIADQSLAKEDHASSNESHSSRQAVINRG RKQKIYETDEFIDYLLNYYQTPEYARFCLEASHLTSTCQWQRDVYAKGDGFQIYLRKQGY HSNSLSEEESLQGIEQDEEQDWPQVYIKDPESKSQKTGKINYAKEF >ENSMUSP00000052042.6 pep:known chromosome:GRCm38:X:36608314:36645395:-1 gene:ENSMUSG00000059708.12 transcript:ENSMUST00000051906.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap17b description:A kinase (PRKA) anchor protein 17B [Source:MGI Symbol;Acc:MGI:2443758] MTVTVVYDNSEATELCAAQHLYLKPIAKLMINVLLPECIEPVRPFSNWEVLDQLKSLICP DQFTTVRLSKSTKDFIRFEGEAETRSLVQILKAKLHGKIIKLNGLKTDLKVVATDAQGEW EHFPKEKEASVIEGAEEQDHDKGPDSIYFEGLPCKWFAPKGSSGEKPCEEILRVVFESFG KIKNVDIPMLDPYREVMTGGSFGGLNFGLQTFEAFIQYQESTDFIKAMESLRGMKLMLKG DDGKALACNIKVMFDTTKHFSEGAIQRRNQERLKLQELEEERKKEKKREEEVAERKRKDE VRKAQEKRKKARDRRRALKERDRHRQRKEKVKTKPEARQELDSSGEWEERKYLLARRRVE ALRLLRVLLKKIAVWMRKEKNLKPCQTHISLLLNCLGSTQCNPQEVGVMKSEAHHTPGTA LKSPEEEELNTRHLLDWEEMPSYQASTLDLSQKAEKRCYQASKSDNKQKQKGKKKTKAQL QKSSHTPGVKQMRHSARKEETGKVLSDGCDYSLVSDQNSLQSTMIADQSLAKEDHASSNE SHSSRQAVINRGRKQKIYETDEFIDYLLNYYQTPEYARFCLEASHLTSTCQWQRDVYAKG DGFQIYLRKQGYHSNSLSEEESLQGIEQDEEQDWPQVYIKDPESKSQKTGKINYAKEFTK KLKNHCKDIASETDEHLSPTDGESYPVEKIHSHGVKDSQHIRKSPVSSSLRSVDVGLPLA DFLEEISSDSECFSETLSMNQEEEERSMAVYNSSPEKRPLGTDKISTCKQKTKSSQQTLC SEWKHDNEGKYSKHRVRAPGKRSKSELRYSWLEEEGDSIRVDKNISKTRGKLAPKHMLDE GQYHESSSSDELESTTRKKRRLTSGMFDQNVKYRPLHMPSMPPKRARAFYLGYFSRKRQT PWKSEYNQDVRRFKRYENSEDYTLDSDNYYVSHDDNQEHIEYGSYLGDSYSSSLYFKMF >ENSMUSP00000136727.1 pep:known chromosome:GRCm38:11:80383279:80405733:1 gene:ENSMUSG00000017421.18 transcript:ENSMUST00000178665.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp207 description:zinc finger protein 207 [Source:MGI Symbol;Acc:MGI:1340045] MGRKKKKQLKPWCWYCNRDFDDEKILIQHQKAKHFKCHICHKKLYTGPGLAIHCMQVHKE TIDAVPNAIPGRTDIELEIYGMEGIPEKDMDERRRLLEQKTQESQKKKQQDDSDEYDDDE SAASTSFQPQPVQPQQGYIPPMAQPGLPPVPGAPGMPPGIPPLMPGVPPLMPGMPPVMPG MPPGLHHQRKYTQSFCGENIMMPMGGMMPPGPGIPPLMPGMPPGMPPPVPRPGIPPMTQA QAVSAPGILNRPPAPTAAVPAPQPPVTKPLFPSAGQAQAAVQGPVGTDFKPLNSTPAATT TEPPKPTFPAYTQSTASTTSTTNSTAAKPAASITSKPATLTTTSATSKLIHPDEDISLEE RRAQLPKYQRNLPRPGQTPIGNPPVGPIGGMMPPQPGLPQQQAMRPPMPPHGQYGGHHQG MPGYLPGAMPPYGQGPPMVPPYQGGPPRPPMGMRPPVMSQGGRY >ENSMUSP00000017567.6 pep:known chromosome:GRCm38:11:80383303:80396248:1 gene:ENSMUSG00000017421.18 transcript:ENSMUST00000017567.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp207 description:zinc finger protein 207 [Source:MGI Symbol;Acc:MGI:1340045] MGRKKKKQLKPWCWYCNRDFDDEKILIQHQKAKHFKCHICHKKLYTGPGLAIHCMQVHKE TIDAVPNAIPGRTDIELEIYGMEGIPEKDMDERRRLLEQKTQESQKKKQQDDSDEYDDDE SAASTSFQPQPVQPQQGYIPPMAQPGLPPVPGAPGMPPGIPPLMPGVPPLMPGMPPVMPG MPPGLHHQRKYTQSFCGENIMMPMGGMMPPGPGIPPLMPGMPPGMPPPVPRPGIPPMTQA QAVSAPGILNRPPAPTAAVPAPQPPVTKPLFPSAGQAQAAVQGPVGTDFKPLNSTPAATT TEPPKPTFPAYTQSTASTTSTTNSTAAKPAASITSKPATLTTTSATSKLIHPDEDISLEE RRAQLPKYQRNLPRPGQTPIGNPPVGPIGGMMPPQPGLPQQQAMRPPMPPHGQYGGHHQG MPGYLPGAMPPYGQGPPMVPPYQGGPPRPPMGMRPPVMSQGGRY >ENSMUSP00000103851.1 pep:known chromosome:GRCm38:11:80383331:80394283:1 gene:ENSMUSG00000017421.18 transcript:ENSMUST00000108216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp207 description:zinc finger protein 207 [Source:MGI Symbol;Acc:MGI:1340045] MGRKKKKQLKPWCWYCNRDFDDEKILIQHQKAKHFKCHICHKKLYTGPGLAIHCMQVHKE TIDAVPNAIPGRTDIELEIYGMEGIPEKDMDERRRLLEQKTQESQKKKQQDDSDEYDDDE SAASTSFQPQPVQPQQGYIPPMAQPGLPPVPGAPGMPPGIPPLMPGVPPLMPGMPPVMPG MPPGLHHQRKYTQSFCGENIMMPMGGMMPPGPGIPPLMPGMPPGMPPPVPRPGIPPMTQA QAVSAPGILNRPPAPTAAVPAPQPPVTKPLFPSAGQMGTPVTSSSTASSNSESLSASSKA LFPSTAQVRRKLQFKIVKMALQLNPLDPL >ENSMUSP00000054168.8 pep:known chromosome:GRCm38:11:80383397:80395566:1 gene:ENSMUSG00000017421.18 transcript:ENSMUST00000053740.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp207 description:zinc finger protein 207 [Source:MGI Symbol;Acc:MGI:1340045] MGRKKKKQLKPWCWYCNRDFDDEKILIQHQKAKHFKCHICHKKLYTGPGLAIHCMQVHKE TIDAVPNAIPGRTDIELEIYGMEGIPEKDMDERRRLLEQKTQESQKKKQQDDSDEYDDDE SAASTSFQPQPVQPQQGYIPPMAQPGLPPVPGAPGMPPGIPPLMPGVPPLMPGMPPVMPG MPPGLHHQRKYTQSFCGENIMMPMGGMMPPGPGIPPLMPGMPPGMPPPVPRPGIPPMTQA QAVSAPGILNRPPAPTAAVPAPQPPVTKPLFPSAGQMGTPVTSSSTASSNSESLSASSKA LFPSTAQAQAAVQGPVGTDFKPLNSTPAATTTEPPKPTFPAYTQSTASTTSTTNSTAAKP AASITSKPATLTTTSATSKLIHPDEDISLEERRAQLPKYQRNLPRPGQTPIGNPPVGPIG GMMPPQPGLPQQQAMRPPMPPHGQYGGHHQGMPGYLPGAMPPYGQGPPMVPPYQGGPPRP PMGMRPPVMSQGGRY >ENSMUSP00000115924.1 pep:known chromosome:GRCm38:11:80385857:80391879:1 gene:ENSMUSG00000017421.18 transcript:ENSMUST00000153824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp207 description:zinc finger protein 207 [Source:MGI Symbol;Acc:MGI:1340045] MTRYCNRDFDDEKILIQHQKAKHFKCHICHKKLYTGPGLAIHCMQVHKETIDAVPNAIPG RTDIELEIYGMEGIPEKDMDERRRLLEQKTQESQKKKQQDDSDEYDDDESAASTSFQPQP VQPQQGYIPPMAQPGLPPVPGAPGMPPGIPPLMPGVPPLMPGMPPVMPGMPPGLHHQRKY TQSFCGENIMMPMGGMMPPGPGIPPLMPGMPPGMPPPVPRPGIPPMT >ENSMUSP00000137795.1 pep:known chromosome:GRCm38:11:80389131:80404821:1 gene:ENSMUSG00000017421.18 transcript:ENSMUST00000123726.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp207 description:zinc finger protein 207 [Source:MGI Symbol;Acc:MGI:1340045] XGMPPGIPPLMPGVPPLMPGMPPVMPGMPPGLHHQRKYTQSFCGENIMMPMGGMMPPGPG IPPLMPGMPPGMPPPVPRPGIPPMTQAQAVSAPGILNRPPAPTAAVPAPQPPVTKPLFPS AGQMGTPVTSSSTASSNSESLSASSKALFPSTAQAQAAVQGPVGTDFKPLNSTPAATTTE PPKPTFPAYTQSTASTTSTTNSTAAKPAASITSKPATLTTTSATSKLIHPDEDISLEERR AQLPKYQRNLPRPGQTPIGNPPVGPIGGMMPPQPGLPQQQAMRPPMPPHGQYGGHHQGMP GYLPGAMPPYGQGPPMVPPYQGGPPRPPMGMRPPVMSQGGRY >ENSMUSP00000139653.1 pep:known chromosome:GRCm38:11:80383279:80405733:1 gene:ENSMUSG00000017421.18 transcript:ENSMUST00000188489.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp207 description:zinc finger protein 207 [Source:MGI Symbol;Acc:MGI:1340045] MGRKKKKQLKPWCWYCNRDFDDEKILIQHQKAKHFKCHICHKKLYTGPGLAIHCMQVHKE TIDAVPNAIPGRTDIELEIYGMEGIPEKDMDERRRLLEQKTQESQKKKQQDDSDEYDDDE SAASTSFQPQPVQPQQGYIPPMAQPGLPPVPGAPGMPPGIPPLMPGVPPLMPGMPPVMPG MPPGLHHQRKYTQSFCGENIMMPMGGMMPPGPGIPPLMPGMPPGMPPPVPRPGIPPMTQA QAVSAPGILNRPPAPTAAVPAPQPPVTKPLFPSAGQAQAAVQGPVGTDFKPLNSTPAATT TEPPKPTFPAYTQSTASTTSTTNSTAAKPAASITSKPATLTTTSATSKLIHPDEDISLEE RRAQLPKYQRNLPRPGQTPIGNPPVGPIGGMMPPQPGLPQQQAMRPPMPPHGQYGGHHQG MPGYLPGAMPPYGQGPPMVPPYQGGPPRPPMGMRPPVMSQGGRY >ENSMUSP00000132968.1 pep:known chromosome:GRCm38:11:80383279:80396245:1 gene:ENSMUSG00000017421.18 transcript:ENSMUST00000165565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp207 description:zinc finger protein 207 [Source:MGI Symbol;Acc:MGI:1340045] MGRKKKKQLKPWCWYCNRDFDDEKILIQHQKAKHFKCHICHKKLYTGPGLAIHCMQVHKE TIDAVPNAIPGRTDIELEIYGMEGIPEKDMDERRRLLEQKTQESQKKKQQDDSDEYDDDE SAASTSFQPQPVQPQQGYIPPMAQPGLPPVPGAPGMPPGIPPLMPGVPPLMPGMPPVMPG MPPGMMPMGGMMPPGPGIPPLMPGMPPGMPPPVPRPGIPPMTQAQAVSAPGILNRPPAPT AAVPAPQPPVTKPLFPSAGQMGTPVTSSSTASSNSESLSASSKALFPSTAQAQAAVQGPV GTDFKPLNSTPAATTTEPPKPTFPAYTQSTASTTSTTNSTAAKPAASITSKPATLTTTSA TSKLIHPDEDISLEERRAQLPKYQRNLPRPGQTPIGNPPVGPIGGMMPPQPGLPQQQAMR PPMPPHGQYGGHHQGMPGYLPGAMPPYGQGPPMVPPYQGGPPRPPMGMRPPVMSQGGRY >ENSMUSP00000122881.1 pep:known chromosome:GRCm38:1:52656286:52727462:-1 gene:ENSMUSG00000041439.15 transcript:ENSMUST00000156876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd6 description:major facilitator superfamily domain containing 6 [Source:MGI Symbol;Acc:MGI:1922925] MAADDKVAILTDDEEEQKRKYVLADPFNGICREPEPPSNETPSSTETSAIPEEEIDWIEK HCVKVNNDLLISKVFYFFFYSAYGSLYPLLPVYYKQLGMSPSQSGLLVGIRYFIEFCSAP FWGVVADRFRKGKIVLLFSLLCWVLFNLGIGFVKPATLRCLPKIPPTAHPTNVSHPVTVL PMNSSTVAFFSTPPKLLQKRDVQLSETEPNISDIDLVSTALTLTSEPTRRPQTEAITHPV TGLILNTSTVTLPPTGNVTRETTIAVVTTTKSLPSDQVTLVYDQQEVEAIFLIILVVVII GEFFSASSVTIVDTVTLQYLGKHRDRYGLQRMWGSLGWGLAMLSVGIGIDYTHIDVLIDG KGCKPPEYRNYQIVFIVFGVLMTMALIVATQFRFRYNHFNNSDGKGKEVEIPQVERDNST ESSEETPTAATHSQAFNFWDLIKLLCSVQYGSVLFVAWFMGFGYGFVFTFLYWHLEDLNG TTTLFGVCSVLSHVSELTAYFFSHKLIELIGHIRVLYIGLACNTARYIYISYLENAWTVL PMEVLQGVTHAAIWAACISYLSAAVPPELRTSAQGILQGLHLGLGRGCGAMIGGVLVNYF GAAATFRGIGMACLVILLLFALIQWLAVPDEEEDKTMLAERIPVPSSPVPIATIDLVQQQ TEDVMPRVEARLPPKKTKHQEEQEDVNKPAWGVSSSPWVTFVYALYQVKELIQLTRESRA SEIQPLQGTSENREASPAGGAQRAPRETHSASPRNQPSPDTAASQTQSSPAHPSATPHGQ ESGEKQAQPTVGEH >ENSMUSP00000115398.1 pep:known chromosome:GRCm38:1:52656527:52709195:-1 gene:ENSMUSG00000041439.15 transcript:ENSMUST00000147758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd6 description:major facilitator superfamily domain containing 6 [Source:MGI Symbol;Acc:MGI:1922925] XTNVSHPVTVLPMNSSTVAFFSTPPKLLQKRDVQLSETEPNISDIDLVSTALTLTSEPTR RPQTEAITHPVTGLILNTSTVTLPPTGNVTRETTIAVVTTTKSLPSDQVTLVYDQQEVEA IFLIILVVVIIGEFFSASSVTIVDTVTLQYLGKHRDRYGLQRMWGSLGWGLAMLSVGIGI DYTHIDVLIDGKGCKPPEYRNYQIVFIVFGVLMTMALIVATQFRFRYNHFNNSDGKGKEV EIPQVERDNSTESSEETPTAATHSQAFNFWDLIKLLCSVQYGSVLFVAWFMGFGYGFVFT FLYWHLEDLNGTTTLFGVCSVLSHVSELTAYFFSHKLIELIGHIRVLYIGLACNTARYIY ISYLENAWTVLPMEVLQGVTHAAIWAACISYLSAAVPPELRTSAQGILQGLHLGLGRGCG AMIGGVLVNYFGAAATFRGIGMACLVILLLFALIQWLAVPDEEEDKTMLAERIPVPSSPV PIATIDLVQQQTEDVMPRVEARLPPKKTKHQEEQEDVNKPAWGVSSSPWVTFVYALYQVK ELIQLTRESRASEIQPLQLHIGKEMKGDQ >ENSMUSP00000084991.3 pep:known chromosome:GRCm38:1:52659986:52727462:-1 gene:ENSMUSG00000041439.15 transcript:ENSMUST00000087701.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd6 description:major facilitator superfamily domain containing 6 [Source:MGI Symbol;Acc:MGI:1922925] MAADDKVAILTDDEEEQKRKYVLADPFNGICREPEPPSNETPSSTETSAIPEEEIDWIEK HCVKVNNDLLISKVFYFFFYSAYGSLYPLLPVYYKQLGMSPSQSGLLVGIRYFIEFCSAP FWGVVADRFRKGKIVLLFSLLCWVLFNLGIGFVKPATLRCLPKIPPTAHPTNVSHPVTVL PMNSSTVAFFSTPPKLLQKRDVQLSETEPNISDIDLVSTALTLTSEPTRRPQTEAITHPV TGLILNTSTVTLPPTGNVTRETTIAVVTTTKSLPSDQVTLVYDQQEVEAIFLIILVVVII GEFFSASSVTIVDTVTLQYLGKHRDRYGLQRMWGSLGWGLAMLSVGIGIDYTHIDVLIDG KGCKPPEYRNYQIVFIVFGVLMTMALIVATQFRFRYNHFNNSDGKGKEVEIPQVERDNST ESSEETPTAATHSQAFNFWDLIKLLCSVQYGSVLFVAWFMGFGYGFVFTFLYWHLEDLNG TTTLFGVCSVLSHVSELTAYFFSHKLIELIGHIRVLYIGLACNTARYIYISYLENAWTVL PMEVLQGVTHAAIWAACISYLSAAVPPELRTSAQGILQGLHLGLGRGCGAMIGGVLVNYF GAAATFRGIGMACLVILLLFALIQWLAVPDEEEDKTMLAERIPVPSSPVPIATIDLVQQQ TEDVMPRVEARLPPKKTKHQEEQEDVNKPAWGVSSSPWVTFVYALYQVKELIQLTRESRA SEIQPLQVTLCWASVASAPLLPPCSSKHMGNRKTGMLAKDISGLRSLCHSVYQVA >ENSMUSP00000100780.2 pep:known chromosome:GRCm38:4:88643641:88644459:-1 gene:ENSMUSG00000063376.6 transcript:ENSMUST00000105149.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna13 description:interferon alpha 13 [Source:MGI Symbol;Acc:MGI:2667155] MARPCAFLMVLVVLSYWSACSLGCDLPQTHNLRNKRALTLLEQMRRLSPLSCLKDRKDFG FPQEKVDAQQIKKAQAIPFVHELTQQILTLFTSNDSSAAWNATLLDSFCNDLHQQLNDLK ACLMQQVGVQEFPLTQEDSLLAVRKYFHSITVYLREKKHSPCAWEVVRAEVQRTLSSSAN LLARLSKEE >ENSMUSP00000092429.3 pep:known chromosome:GRCm38:5:38200080:38220457:-1 gene:ENSMUSG00000029127.15 transcript:ENSMUST00000094833.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb49 description:zinc finger and BTB domain containing 49 [Source:MGI Symbol;Acc:MGI:1922329] MDPVAVHSCHLLQQLREQRIQGLLCDCMLVVRGVCFKAHKNVLAAFSQYFRSLFQNSSSQ KNDVFHLDVTNVSGIGQILDFMYTSRLDLNQDNIQVMLDTAQCLQVQNVLNLCHTFLKSA PAAQLPGLPCAGGFSLQSVALDGTCAVSEHYPPPSLQECPVEGPQAKVPAEVNARAPSAN FSRPTEVSKPDAAGGSCPELPCKQPNHYYKLRTLYSKQYYKQTACPSQVPATQQPLTRSA STDLAAADSQPPVEGRPAVLETPEHLPSTFVAPPVRNSGNDSEADPLSEPPAKQMRLKKA MHLKKLNFLKSQQSAECTSHPEPDNGLARREESAAKEDAVERAGSQTAEEKGRGELGPES SREEELPGAPASWEDPSQALQPQKQYACELCGKPFKHPSNLELHKRSHTGEKPFECNICG KHFSQAGNLQTHLRRHSGEKPYICEICGKRFAASGDVQRHIIIHSGEKPHLCDTCGRGFS NFSNLKEHKKTHTADKVFTCDECGKSFNMQRKLVKHRVRHTGERPYSCPACGKCFGGSGD LRRHVRTHTGEKPYSCEVCSKCFTRSAVLRRHKRMHGRADARSPVVLGELSRPIEPSDLD RSQSSDSFSQDVSVTLMPVSVKLPVQPVESSVAGFDGHCSGSYCKLRSMLRPPGMSDQDR LSLEPSKLAKPPELQSQPQAYAYSDVEPSAGVEQPQADGMAVSRSSLATLDNHCTEPLGS RAPSVTYRNSEGQFFSSMTLWGLAMKTLQNEHELEQ >ENSMUSP00000122109.1 pep:known chromosome:GRCm38:5:38200246:38220413:-1 gene:ENSMUSG00000029127.15 transcript:ENSMUST00000126267.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb49 description:zinc finger and BTB domain containing 49 [Source:MGI Symbol;Acc:MGI:1922329] MDPVAVHSCHLLQQLREQRIQGLLCDCMLVVRGVCFKAHKNVLAAFSQYFRSLFQNSSSQ KNDVFHLDVTNVSGIGQILDFMYTSRLDLNQDNIQVMLDTAQCLQVQNVLNLCHTFLKSA PAAQLPGLPCAGGFSLQSVALDGTCAVSEHYPPPSLQECPVEGPQAKVPAEVNARAPSAN FSRPTEVSKPDAAGGSCPELPCKQPNHYYKLRTLYSKQYYKQTACPSQVPATQQPLTRSA STDLAAADSQPPVEGRPAVLETPEHLPSTFVAPPVRNSGNDSEADPLSEPPAKQMRLKKA MHLKKLNFLKSQQSAECTSHPEPDNGLARREESAAKEDAVERAGSQTAEEKGRGELGPES SREEELPGAPASWEDPSQALQPQKQYACELCGKPFKHPSNLELHKRSHTGRQPSDSLTPA LWGEAIHL >ENSMUSP00000115513.1 pep:known chromosome:GRCm38:5:38200249:38220425:-1 gene:ENSMUSG00000029127.15 transcript:ENSMUST00000143436.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb49 description:zinc finger and BTB domain containing 49 [Source:MGI Symbol;Acc:MGI:1922329] MDPVAVHSCHLLQQLREQRIQGLLCDCMLVVRGVCFKAHKNVLAAFSQYFRQATFRLTYA GTLGRSHTSVKSVERGLRPLVTSSATSSFTLERSHTCVTRAAEGSAISAI >ENSMUSP00000117174.1 pep:known chromosome:GRCm38:5:38200634:38220425:-1 gene:ENSMUSG00000029127.15 transcript:ENSMUST00000136475.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb49 description:zinc finger and BTB domain containing 49 [Source:MGI Symbol;Acc:MGI:1922329] MDPVAVHSCHLLQQLREQRIQGLLCDCMLVVRGVCFKAHKNVLAAFSQYFRSLFQNSSSQ KNDVFHLDVTNVSGIGQILDFMYTSRLDLNQDNIQVMLDTAQCLQVQNVLNLCHTFLKSA PAAQLPGLPCAGGFSLQSVALDGTCAVSEHYPPPSLQECPVEGPQAKVPAEVNARAPSAN FSRPTEVSKPDAAGGSCPELPCKQPNHYYKLRTLYSKQYYKQTACPSQVPATQQPLTRSA STDLAAADSQPPVEGRPAVLETPEHLPSTFVAPPVRNSGNDSEADPLSEPPAKQMRLKKA MHLKKLNFLKSQQSAECTSHPEPDNGLARREESAAKEDAVERAGSQTAEEKGRGELGPES SREEELPGAPASWEDPSQALQPQKQYACELCGKPFKHPSNLELHKRSHTGLRPLVTSSAT SSFTLERSHTCVTRAAEGSAISAI >ENSMUSP00000117913.1 pep:known chromosome:GRCm38:5:38200634:38220427:-1 gene:ENSMUSG00000029127.15 transcript:ENSMUST00000138820.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb49 description:zinc finger and BTB domain containing 49 [Source:MGI Symbol;Acc:MGI:1922329] MDPVAVHSCHLLQQLREQRIQGLLCDCMLVVRGVCFKAHKNVLAAFSQYFRSALWKARRL KSRLK >ENSMUSP00000114955.1 pep:known chromosome:GRCm38:5:38203248:38203797:-1 gene:ENSMUSG00000029127.15 transcript:ENSMUST00000146859.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb49 description:zinc finger and BTB domain containing 49 [Source:MGI Symbol;Acc:MGI:1922329] XIIIHSGEKPHLCDTCGRGFSNFSNLKEHKKTHTADKVFTCDECGKSFNMQRKLVKHRVR HTGERPYSCPACGESGLVLCEVDVPTLLLAARSHCSLTGPAKVVVPLLPRGNNATYQTLR L >ENSMUSP00000143893.1 pep:known chromosome:GRCm38:5:38203749:38213552:-1 gene:ENSMUSG00000029127.15 transcript:ENSMUST00000129161.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb49 description:zinc finger and BTB domain containing 49 [Source:MGI Symbol;Acc:MGI:1922329] XGGRSLLLRKMQWRELEARLLKKKGGENWVQRAAVRRSCQEPQLPGKTRPRLSSPRNSMH VNCVGSLLNTQAIWSCTNGLIQKLTCCSFKGAKLNC >ENSMUSP00000144200.1 pep:known chromosome:GRCm38:5:38203805:38220431:-1 gene:ENSMUSG00000029127.15 transcript:ENSMUST00000123106.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb49 description:zinc finger and BTB domain containing 49 [Source:MGI Symbol;Acc:MGI:1922329] MDPVAVHSCHLLQQLREQRIQGLLCDCMLVVRGVCFKAHKNVLAAFSQYFR >ENSMUSP00000109748.1 pep:known chromosome:GRCm38:5:38212038:38220454:-1 gene:ENSMUSG00000029127.15 transcript:ENSMUST00000114113.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb49 description:zinc finger and BTB domain containing 49 [Source:MGI Symbol;Acc:MGI:1922329] MDPVAVHSCHLLQQLREQRIQGLLCDCMLVVRGVCFKAHKNVLAAFSQYFRSLFQNSSSQ KNDVFHLDVTNVSGIGQILDFMYTSRLDLNQDNIQVMLDTAQCLQVQNVLNLCHTFLKSA PAAQLPGLPCAGGFSLQSVALDGTCAVSEHYPPPSLQECPVEGPQAKVPAEVNARAPSAN FSRPTEVSKPDAAGGSCPELPCKQPNHYYKLRTLYSKQYYKQTACPSQVPATQQPLTRSA STDLAAADSQPPVEGRPAVLETPEHLPSTFVAPPVRNSGNDSEADPLSEPPAKQMRLKKA MHLKKLNFLKSQQSAECTSHPEPDNGLARREESAAKEDAVERAGSQTAEEKGRGELGPES SREEELPGAPASWEDPSQALQPQKQYACELCGKPFKHPSNLELHKRSHTGTLPGARRHRG GSRGAGPPAGLVWKTLDSCAVFPGASCPLLHLLVMYAYVLCREHHQAAVMSSICLCTVSC >ENSMUSP00000061408.5 pep:known chromosome:GRCm38:16:91269772:91271933:1 gene:ENSMUSG00000046160.6 transcript:ENSMUST00000056882.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olig1 description:oligodendrocyte transcription factor 1 [Source:MGI Symbol;Acc:MGI:1355334] MYYAISQARVNAAPATMLRPQRPGDVQLGASLYELVGYRQPPISSSSSSSSSTASLLPKP AREKAEAPLAEPRGPAPESGGARADAKEEQQQQQLRRKINSRERKRMQDLNLAMDALREV ILPYSAAHCQGAPGRKLSKIATLLLARNYILLLGSSLQELRRALGDGAGPAAPRLLLAGL PLLAAAPGSVLLAPGAVGPPETLRPTKYLSLALDEPPCGQFSLPAGGAGSPGLCSCAVCK FPHLVPAGLGLAAVQAQFSK >ENSMUSP00000052856.4 pep:known chromosome:GRCm38:9:96470937:96478675:-1 gene:ENSMUSG00000051234.6 transcript:ENSMUST00000057500.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf7 description:ring finger protein 7 [Source:MGI Symbol;Acc:MGI:1337096] MADVEDGEEPCVLSSHSGSAGSKSGGDKMFSLKKWNAVAMWSWDVECDTCAICRVQVMDA CLRCQAENKQEDCVVVWGECNHSFHNCCMSLWVKQNNRCPLCQQDWVVQRIGK >ENSMUSP00000108581.1 pep:known chromosome:GRCm38:9:96471763:96478610:-1 gene:ENSMUSG00000051234.6 transcript:ENSMUST00000071301.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf7 description:ring finger protein 7 [Source:MGI Symbol;Acc:MGI:1337096] MADVEDGEEPCVLSSHSGSAGSKSGGDKMFSLKKWNAVAMWSWDVECDTCAICRVQMPAF DVKLKTSKRTVLWSGESVTIPSTTAACPCG >ENSMUSP00000028892.4 pep:known chromosome:GRCm38:2:130279309:130284547:-1 gene:ENSMUSG00000027406.12 transcript:ENSMUST00000028892.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh3b description:isocitrate dehydrogenase 3 (NAD+) beta [Source:MGI Symbol;Acc:MGI:2158650] MAALSNVRWLTRAVLAARNSGAWRGLGTSTAHAASQSQAQDVRVEGAFPVTMLPGDGVGP ELMHAVKEVFKAAAVPVEFKEHHLSEVQNMASEEKLEQVLSSMKENKVAIIGKIYTPMEY KGELASYDMQLRRKLDLFANVVHVKSLPGYKTRHNNLDLVIIREQTEGEYSSLEHESAKG VIECLKIVTRTKSQRIAKFAFDYATKKGRSKVTAVHKANIMKLGDGLFLQCCEEVAELYP KIKFETMIIDNCCMQLVQNPYQFDVLVMPNLYGNIIDNLAAGLVGGAGVVPGESYSAEYA VFETGARHPFAQAVGRNIANPTAMLLSATNMLRHLNLEYHSSMIADAVKKVIKAGKVRTR DMGGYSTTTDFIKSVIGHLHPHGG >ENSMUSP00000139331.1 pep:known chromosome:GRCm38:2:130279313:130280551:-1 gene:ENSMUSG00000027406.12 transcript:ENSMUST00000184538.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Idh3b description:isocitrate dehydrogenase 3 (NAD+) beta [Source:MGI Symbol;Acc:MGI:2158650] GARHPFAQAVGRNIANPTAMLLSATNMLRHLNLEYHSSMIADAVKKVIKAGKVRTRDMGG YSTTTDFIKSVIGHLHPHGG >ENSMUSP00000059139.8 pep:known chromosome:GRCm38:5:129941970:129984746:1 gene:ENSMUSG00000066735.8 transcript:ENSMUST00000051758.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vkorc1l1 description:vitamin K epoxide reductase complex, subunit 1-like 1 [Source:MGI Symbol;Acc:MGI:1916818] MAAPVLLRVSVPRWERVARYAVCAAGILLSIYAYHVEREKERDPEHRALCDLGPWVKCSA ALASRWGRGFGLLGSIFGKDGVLNQPNSVFGLIFYILQLLLGMTASAVAALVLMTSSIVS VVGSLYLAYILYFVLKEFCIICVTTYVLNFLLLIINYKRLVYLNEAWKRQLQPKED >ENSMUSP00000144608.1 pep:known chromosome:GRCm38:5:129942109:129986692:1 gene:ENSMUSG00000066735.8 transcript:ENSMUST00000201855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vkorc1l1 description:vitamin K epoxide reductase complex, subunit 1-like 1 [Source:MGI Symbol;Acc:MGI:1916818] MAAPVLLRVSVPRWERVARYAVCAAGILLSIYAYHVEREKERDPEHRALCDLGPWVKCSA ALASRHDSQRSCSSGPHDLLHCVCGGLFVPGLHSVLCAERVLHHLRHHICAELPPPHHQL QTTSLFE >ENSMUSP00000073601.5 pep:known chromosome:GRCm38:5:129942127:129982579:1 gene:ENSMUSG00000066735.8 transcript:ENSMUST00000073945.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vkorc1l1 description:vitamin K epoxide reductase complex, subunit 1-like 1 [Source:MGI Symbol;Acc:MGI:1916818] MAAPVLLRVSVPRWERVARWGRGFGLLGSIFGKDGVLNQPNSVFGLIFYILQLLLGMTAS AVAALVLMTSSIVSVVGSLYLAYILYFVLKEFCIICVTTYVLNFLLLIINYKRLVYLNEA WKRQLQPKED >ENSMUSP00000144266.1 pep:known chromosome:GRCm38:5:129942174:129982241:1 gene:ENSMUSG00000066735.8 transcript:ENSMUST00000202298.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vkorc1l1 description:vitamin K epoxide reductase complex, subunit 1-like 1 [Source:MGI Symbol;Acc:MGI:1916818] XAAPVLLRVSVPRWERVARYAVCAAGILLSIYAYHVEREKERDPEHRALCDLGPWVKCSA ALASSPQSAGITDMHPSPRSFC >ENSMUSP00000144156.1 pep:known chromosome:GRCm38:5:129976994:129982480:1 gene:ENSMUSG00000066735.8 transcript:ENSMUST00000202486.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vkorc1l1 description:vitamin K epoxide reductase complex, subunit 1-like 1 [Source:MGI Symbol;Acc:MGI:1916818] RVLELQICTPAPAPFVECSLSLTASPLKQNLHFDKSSLGDFTLPSADLECPTPVLLSI >ENSMUSP00000146489.1 pep:known chromosome:GRCm38:7:120328670:120332916:1 gene:ENSMUSG00000054746.18 transcript:ENSMUST00000207220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca15 description:ATP-binding cassette, sub-family A (ABC1), member 15 [Source:MGI Symbol;Acc:MGI:2388709] MASWESRKLLLLLWKNFTLKRRKFGTLVSEIVLVLLLSIVLLTTRHLLSIKKIEALYFPD QPISTVPSFFRASVTSFYPWELAYVPSNIMVVENIVKNVKNDLNLHMKG >ENSMUSP00000112821.1 pep:known chromosome:GRCm38:7:120328684:120407687:1 gene:ENSMUSG00000054746.18 transcript:ENSMUST00000121265.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca15 description:ATP-binding cassette, sub-family A (ABC1), member 15 [Source:MGI Symbol;Acc:MGI:2388709] MASWESRKLLLLLWKNFTLKRRKFGTLVSEIVLVLLLSIVLLTTRHLLSIKKIEALYFPD QPISTVPSFFRASVTSFYPWELAYVPSNIMVVENIVKNVKNDLNLHMKVIGFPSESDFED YARSTVNSRNILAAIVFGHNFANSSDPLPKKVKYYLRFSDIKKNINSGAYYQGDTWLTKF LFHSLRLVGPRNPYEADGGSPGYITEGFLAVQHALDKAIMLHHGGADAAALFNDISLFIQ RFPYPAYYHDYFYLFATTFIPLTVACTFFFNHYVLVWSIVWEKENRLKEYQLMIGLRNWM FWVAYFFTFLCLYFINIIVMCMVLFVKIEPAPIFQYNDPTLVFIFLLFYAISSIFFSFMV STLFNKVSLAMSLGSFLFFLTYFPAVAMHQSFERMPSKQKLIWSFDFNVGMAFGFRFLVN TDAKKTGMKWSNIFLSTDSDSFLFAYVLGMLLADAFIYGLVAWYIEAVFPGEYGVPKPWN FFLMHSYWFGEPPQQKLEITQFYERVESKYFEAEPTDLTAGIQIKHLHKVFQKNNTTKVA IKDLSLNLYEGQVTVLLGHNGAGKSTTLSILSGLYPPTSGEAYVHGEDISQHMDQVRNSL GLCPQQNLLFDHLTVSEHLYFYCRIKGVPQKMYLEETNNMLSAFNLMEKCDAFSKSLSGG MKRKLAIIIALIGGSKVAILDEPTSGMDPASRRSTWDILQTYKQNRTILLTTHYMDEADV LGDRIAIMVRGTLRCCGSSVFLKRLYGVGSHLVMVKEPYCDIAEISKLIHSYVPTATLET NVGNELSFILPKEYTHRFEALFTALEENQENLGISSFGVSITTMEEVFLKVSNLEDSKTD IEATQSPSVGSKGNKNGDVESSGRVGFPTQSEDQNIVFNTGCSLYLQQFRAMFMKRLMYN WRNWRGILVQILGLIISTFLLLKSHEFRYKKIRQMNLDEYGQTIVPFSIWGKSNLTSSLL THLENMLKPGNHQLKEVQGDLLKYLEGNDECVHLCVIALSIKVVANRVNLTVLFNNEAYH SPSLSLTVLDNILFMSLSGSDASITVFNKPQPSPQRKEWPGSTDGKIVAFKIQLGMALLV SGFCILTVTERHNKTKHMQFLSGVSILVYWLSALVFDLIIFFISCCFLLVMFKYCKFDIY VTDYHILDTMLILTLFGWSAIPLTYLLSFLFSKSNSAYINLLVFCYLSGTLSLLMDTIIE ARISTIMSNSTQTFLLNALLLFPMYNLGKCISEYTVIYRKKMLCIQQKNALKYLNCSNKH TKKNIYSLKKPMLGKYLIAMSIAGFVFLLLIFFWENISWKVKMFIHQHIYFGACKKYKPD IISKELSGTSEDNDVENERREILYQPEKFLNCPVLIKELTKIYFKSPLILAVKNISLAIQ ERACFGLLGFNGAGKTTTFQILTGENIPTAGDVFIDGISLTKNIVKVRSKIGYCPQFDAL LEYMTGWEIMIMYARIWGISEHQIQPYVKKYLNSLDLESHANSLISTYSEGNKRRLSTAI ATMGKPSVIFLDEPSTGMDPRARRLLWDTVIKIRESGKAIIITSHSMEECEALCTRLSIM VRGRLTCLGSPQYLKNKFGNIYILKAKVKSGETLDEFKNFITLTFPGIELQQENQGILNY CIPRKNNSWGKVFGILEKAKEQYNLEDYSISQITLDQVFLSFADQDRK >ENSMUSP00000075621.3 pep:known chromosome:GRCm38:7:120328684:120407687:1 gene:ENSMUSG00000054746.18 transcript:ENSMUST00000076272.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca15 description:ATP-binding cassette, sub-family A (ABC1), member 15 [Source:MGI Symbol;Acc:MGI:2388709] MASWESRKLLLLLWKNFTLKRRKFGTLVSEIVLVLLLSIVLLTTRHLLSIKKIEALYFPD QPISTVPSFFRASVTSFYPWELAYVPSNIMVVENIVKNVKNDLNLHMKVIGFPSESDFED YARSTVNSRNILAAIVFGHNFANSSDPLPKKVKYYLRFSDIKKNINSGAYYQGDTWLTKF LFHSLRLVGPRNPYEADGGSPGYITEGFLAVQHALDKAIMLHHGGADAAALFNDISLFIQ RFPYPAYYHDYFYLFATTFIPLTVACTFFFNHYVLVWSIVWEKENRLKEYQLMIGLRNWM FWVAYFFTFLCLYFINIIVMCMVLFVKIEPAPIFQYNDPTLVFIFLLFYAISSIFFSFMV STLFNKVSLAMSLGSFLFFLTYFPAVAMHQSFERMPSKQKLIWSFDFNVGMAFGFRFLVN TDAKKTGMKWSNIFLSTDSDSFLFAYVLGMLLADAFIYGLVAWYIEAVFPGEYGVPKPWN FFLMHSYWFGEPPQQKLEITQFYERVESKYFEAEPTDLTAGIQIKHLHKVFQKNNTTKVA IKDLSLNLYEGQVTVLLGHNGAGKSTTLSILSGLYPPTSGEAYVHGEDISQHMDQVRNSL GLCPQQNLLFDHLTVSEHLYFYCRIKGVPQKMYLEETNNMLSAFNLMEKCDAFSKSLSGG MKRKLAIIIALIGGSKVAILDEPTSGMDPASRRSTWDILQTYKQNRTILLTTHYMDEADV LGDRIAIMVRGTLRCCGSSVFLKRLYGVGSHLVMVKEPYCDIAEISKLIHSYVPTATLET NVGNELSFILPKEYTHRFEALFTALEENQENLGISSFGVSITTMEEVFLKVSNLEDSKTD IEATQSPSVGSKGNKNGDVESSGRVGFPTQSEDQNIVFNTGCSLYLQQFRAMFMKRLMYN WRNWRGILVQILGLIISTFLLLKSHEFRYKKIRQMNLDEYGQTIVPFSIWGKSNLTSSLL THLENMLKPGNHQLKEVQGDLLKYLEGNDECVHLCVIALSIKVVANRVNLTVLFNNEAYH SPSLSLTVLDNILFMSLSGSDASITVFNKPQPSPQRKEWPGSTDGKIVAFKIQLGMALLV SGFCILTVTERHNKTKHMQFLSGVSILVYWLSALVFDLIIFFISCCFLLVMFKYCKFDIY VTDYHILDTMLILTLFGWSAIPLTYLLSFLFSKSNSAYINLLVFCYLSGTLSLLMDTIIE ARISTIMSNSTQTFLLNALLLFPMYNLGKCISEYTVIYRKKMLCIQQKNALKYLNCSNKH TKKNIYSLKKPMLGKYLIAMSIAGFVFLLLIFFWENISWKVKMFIHQHIYFGACKKYKPD IISKELSGTSEDNDVENERREILYQPEKFLNCPVLIKELTKIYFKSPLILAVKNISLAIQ ERACFGLLGFNGAGKTTTFQILTGENIPTAGDVFIDGISLTKNIVKVRSKIGYCPQFDAL LEYMTGWEIMIMYARIWGISEHQIQPYVKKYLNSLDLESHANSLISTYSEGNKRRLSTAI ATMGKPSVIFLDEPSTGMDPRARRLLWDTVIKIRESGKAIIITSHSMEECEALCTRLSIM VRGRLTCLGSPQYLKNKFGNIYILKAKVKSGETLDEFKNFITLTFPGSELQQENQGILNY CIPRKNNSWGKVFGILEKAKEQYNLEDYSISQITLDQVFLSFADQDRK >ENSMUSP00000096496.1 pep:known chromosome:GRCm38:8:21162277:21163249:1 gene:ENSMUSG00000074443.1 transcript:ENSMUST00000098897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa22 description:defensin, alpha, 22 [Source:MGI Symbol;Acc:MGI:3639039] MKTLVLLSALILLAYQVQTDPIQNTDEETNTEEQPGEEDQAVSVSFGGQEGSALHEKLSR DLICLCRKRRCNRGELFYGTCAGPFLRCCRRRR >ENSMUSP00000083899.5 pep:known chromosome:GRCm38:17:66336982:66449750:-1 gene:ENSMUSG00000052105.16 transcript:ENSMUST00000086693.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtcl1 description:microtubule crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:1915867] METLNGPAGGGAPDTKPQPAGQHHRHHHLHPLAERRRLHRAPSPARPFLKDLHTRPATAT PSAGRAPTPAAPRSPSLAGKAPPSPGPPAAPGRLSRRSGVVPGAKDKPPPGAGARSAGGA KAVPGTRRAARAGPAEPLSRVGRPTGAEPPPAVAKGRKTKRGPGTPPARAVVPPARASRV PAVTLSVTSVAGCRINHTDSSSDLSDCASEPLSDEQRLLPAASSDAESGTGSSDREPIRG APTPSSGSRGPPPGSPEPPILLAAPPVASACLGGRSSPGGASTGSPGPGSQEDVGGRAPP ERTILGTSKEPSLGEQPRLLVVAEEEELLREMEELRSENDYLKDELDELRAEMEEMRDSY LEEDGYQLQELRRELDRANKNCRILQYRLRKAEQKSLKVAETGQVDGELIRSLEQDLKVA KDVSVRLHHELETVEEKRAKAEDDNETLRQQMIEVEVSRQALQNEVERLRESSLKRRGSR EMYKEKKLVNQDDSADLKCQLQFVKEEASLMRKKMAKLGREKDELEQELQKYKSLYGDVD SPLPTGEAGGPPSTREAELKLRLKLVEEEASILGRKIVELEVENRGLKAEMEDIRVQHER EGTGRDHVPSTPTSPFGDSMESSTELRRHLQFVEEEAELLRRSISEIEDHNRQLTHELSK FKFEPHQESGWLGDGVSKGPAASVPLQEELKSARLQIDELSGKVLKLQCENRLLLSNAQR GDLAAHLGLRAPSPRDSDAESDAGKKESDGEEGRLPQPKREGPVGGESDSEDMFEKTSGF GSGKPSEASEPCPAELLRVREDTECLVTIKLEAQRLERTVERLISDTDGFIHDSGLRGNG LASPGVQGGGGEGNSPSEPHLLETINVRMKAFRKELQAFLEQMSRIVDGLSPLSHLTESS SFLSTVTSVSRDSPIGTLGKELGPDLQSKLREQLEWQLNQDRGDEREGLRLRATRELHRR ADGDSGSHHGLGGQSCFNLEMEEDHLYALRWKELEMHSLALQNTLHKRTWSDEKNLLQQE LRSLKQNIFLFYVKLRWLLKHWRQGKQMEEGGEDLEESEHPENVPGLAELGVQGVHQTDG IDQEDADQGCSLPMGEHAPHSLVQISEHGSRLQSSDGGPLNKQVVENQQLFRALKALLED FRSELREDEHARLRLQQQYASDKAAWDVEWAVLKCRLEQLEEKTEKSLGELDSSAEGKGA LKKEREVHQKLLADSHSLVMDLRWQIHHREKNWNREKVELLERLDSERQEWGRQKEELLW RVEQLQKEKSPRRSGSFLCSRREDDTRPYPHQGSLHSSRPVSMWPCEDADSIPFEDRPLS KLKESDRCSASENLYLDALSLDDDPGDPPPLRNCLAEEEESRKGNLQRAVSVSCMSEFQR LMDVSPFLPEKGLPSAGSKEDVTPPLSPDDLKYIEEFHSNDWDYASPRAEADRPPDPWAD RTEMGRVGHEATTEPCPDPSWYLTTSVTMTTDTMTSPEHCQKQPLRTHVLTEQSGVHVLH SPPAIRRVDSIASGGEGRSRADPEGPFPMSRARGNLADAKGGHPEPVLNRWPCTPPRHPR DCVEGSLRPLDRPICPSLGFASPLNSLDMSKNMSDDMKEVAFSVRNAICAGPAEPHVRDM ACQTNGSRTAGTQTIQTISVGLQTEALRASGVTSSPHKCLTPKAGGGTTPVSSPSRSLRS RQVAPAIEKVQAKFERTCCSPKYGSPKLQRKPLSKADQPNSRTSPGIPQKGFSESAWARS TTTRESPVHTTINDGLSSLFNIIDHSPIGVRAGSRSRSAEPRQELGPGQETGTSSRGRSP SPLGVGSETFREEGGESTPVRQDLSAPPGYTLTENVARILNKKLLEHALKEERKQASHGS SGLTSDSHTGEPVPAEPGSMEELPCSALAPSLEPCFSRPERPANRRLPSRWAPPSPTASQ SQSPGHPMSMEEHGEEDPPEEKPHL >ENSMUSP00000094894.3 pep:known chromosome:GRCm38:17:66336986:66449750:-1 gene:ENSMUSG00000052105.16 transcript:ENSMUST00000097291.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtcl1 description:microtubule crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:1915867] METLNGPAGGGAPDTKPQPAGQHHRHHHLHPLAERRRLHRAPSPARPFLKDLHTRPATAT PSAGRAPTPAAPRSPSLAGKAPPSPGPPAAPGRLSRRSGVVPGAKDKPPPGAGARSAGGA KAVPGTRRAARAGPAEPLSRVGRPTGAEPPPAVAKGRKTKRGPGTPPARAVVPPARASRV PAVTLSVTSVAGCRINHTDSSSDLSDCASEPLSDEQRLLPAASSDAESGTGSSDREPIRG APTPSSGSRGPPPGSPEPPILLAAPPVASACLGGRSSPGGASTGSPGPGSQEDVGGRAPP ERTILGTSKEPSLGEQPRLLVVAEEEELLREMEELRSENDYLKDELDELRAEMEEMRDSY LEEDGYQLQELRRELDRANKNCRILQYRLRKAEQKSLKVAETGQVDGELIRSLEQDLKVA KDVSVRLHHELETVEEKRAKAEDDNETLRQQMIEVEVSRQALQNEVERLRESSLKRRGSR EMYKEKKLVNQDDSADLKCQLQFVKEEASLMRKKMAKLGREKDELEQELQKYKSLYGDVD SPLPTGEAGGPPSTREAELKLRLKLVEEEASILGRKIVELEVENRGLKAEMEDIRVQHER EGTGRDHVPSTPTSPFGDSMESSTELRRHLQFVEEEAELLRRSISEIEDHNRQLTHELSK FKFEPHQESGWLGDGVSKGPAASVPLQEELKSARLQIDELSGKVLKLQCENRLLLSNAQR GDLAAHLGLRAPSPRDSDAESDAGKKESDGEEGRLPQPKREGPVGGESDSEDMFEKTSGF GSGKPSEASEPCPAELLRVREDTECLVTIKLEAQRLERTVERLISDTDGFIHDSGLRGNG LASPGVQGGGGEGNSPSEPHLLETINVRMKAFRKELQAFLEQMSRIVDGLSPLSHLTESS SFLSTVTSVSRDSPIGTLGKELGPDLQSKLREQLEWQLNQDRGDEREGLRLRATRELHRR ADGDSGSHHGLGGQSCFNLEMEEDHLYALRWKELEMHSLALQNTLHKRTWSDEKNLLQQE LRSLKQNIFLFYVKLRWLLKHWRQGKQMEEGGEDLEESEHPENVPGLAELGVQGVHQTDG IDQEDADQGCSLPMGEHAPHSLVQISEHGSRLQSSDGGPLNKQVVENQQLFRALKALLED FRSELREDEHARLRLQQQYASDKAAWDVEWAVLKCRLEQLEEKTEKSLGELDSSAEGKGA LKKEREVHQKLLADSHSLVMDLRWQIHHREKNWNREKVELLERLDSERQEWGRQKEELLW RVEQLQKEKSPRRSGSFLCSRREDDTRPYPHQGSLHSSRPVSMWPCEDADSIPFEDRPLS KLKESDRCSASENLYLDALSLDDDPGDPPPLRNCLAEEEESRKGNLQRAVSVSCMSEFQR LMDVSPFLPEKGLPSAGSKEDVTPPLSPDDLKYIEEFHSNDWDYASPRAEADRPPDPWAD RTEMGRVGHEATTEPCPDPSWYLTTSVTMTTDTMTSPEHCQKQPLRTHVLTEQSGVHVLH SPPAIRRVDSIASGGEGRSRADPEGPFPMSRARGNLADAKGGHPEPVLNRWPCTPPRHPR DCVEGSLRPLDRPICPSLGFASPLNSLDMSKNMSDDMKEVAFSVRNAICAGPAEPHVRDM ACQTNGSRTAGTQTIQTISVGLQTEALRASGVTSSPHKCLTPKAGGGTTPVSSPSRSLRS RQVAPAIEKVQAKFERTCCSPKYGSPKLQRKPLSKADQPNSRTSPGIPQKGFSESAWARS TTTRESPVHTTINDGLSSLFNIIDHSPIGVRAGSRSRSAEPRQELGPGQETGTSSRGRSP SPLGVGSETFREEGGESTPVRQDLSAPPGYTLTENVARILNKKLLEHALKEERKQASHGS SGLTSDSHTGEPVPAEPGSMENQTVLLTAPWGL >ENSMUSP00000121387.1 pep:known chromosome:GRCm38:17:66336988:66385910:-1 gene:ENSMUSG00000052105.16 transcript:ENSMUST00000145347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtcl1 description:microtubule crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:1915867] KRRGSREMYKEKKLVNQNGGVERPGNCRSATKTQRKLAPRRKDDSADLKCQLQFVKEEAS LMRKKMAKLGREKDELEQELQKYKSLYGDVDSPLPTGEAGGPPSTREAELKLRLKLVEEE ASILGRKIVELEVENRGLKAEMEDIRVQHEREGTGRDHVPSTPTSPFGDSMESSTELRRH LQFVEEEAELLRRSISEIEDHNRQLTHELSKFKFEPHQESGWLGDGVSKGPAASVPLQEE LKSARLQIDELSGKVLKLQCENRLLLSNAQRGDLAAHLGLRAPSPRDSDAESDAGKKESD GEEGRLPQPKREGPVGGESDSEDMFEKTSGFGSGKPSEASEPCPAELLRVREDTECLVTI KLEAQRLERTVERLISDTDGFIHDSGLRGNGLASPGVQGGGGEGNSPSEPHLLETINVRM KAFRKELQAFLEQMSRIVDGLSPLSHLTESSSFLSTVTSVSRDSPIGTLGKELGPDLQSK LREQLEWQLNQDRGDEREGLRLRATRELHRRADGDSGSHHGLGGQSCFNLEMEEDHLYAL RWKELEMHSLALQNTLHKRTWSDEKNLLQQELRSLKQNIFLFYVKLRWLLKHWRQGKQME EGGEDLEESEHPENVPGLAELGVQGVHQTDGIDQEDADQGCSLPMGEHAPHSLVQISEHG SRLQSSDGGPLNKQVVENQQLFRALKALLEDFRSELREDEHARLRLQQQYASDKAAWDVE WAVLKCRLEQLEEKTEKSLGELDSSAEGKGALKKEREVHQKLLADSHSLVMDLRWQIHHR EKNWNREKVELLERLDSERQEWGRQKEELLWRVEQLQKEKSPRRSGSFLCSRREDDTRPY PHQGSLHSSRPVSMWPCEDADSIPFEDRPLSKLKESDRCSASENLYLDALSLDDDPGDPP PLRNCLAEEEESRKGNLQRAVSVSCMSEFQRLMDVSPFLPEKGLPSAGSKEDVTPPLSPD DLKYIEEFHSNDWDYASPRAEADRPPDPWADRTEMGRVGHEATTEPCPDPSWYLTTSVTM TTDTMTSPEHCQKQPLRTHVLTEQSGVHVLHSPPAIRRVDSIASGGEGRSRADPEGPFPM SRARGNLADAKGGHPEPVLNRWPCTPPRHPRDCVEGSLRPLDRPICPSLGFASPLNSLDM SKNMSDDMKEVAFSVRNAICAGPAEPHVRDMACQTNGSRTAGTQTIQTISVGLQTEALRA SGVTSSPHKCLTPKAGGGTTPVSSPSRSLRSRQVAPAIEKVQAKFERTCCSPKYGSPKLQ RKPLSKADQPNSRTSPGIPQKGFSESAWARSTTTRESPVHTTINDGLSSLFNIIDHSPIG VRAGSRSRSAEPRQELGPGQETGTSSRGRSPSPLGVGSETFREEGGESTPVRQDLSAPPG YTLTENVARILNKKLLEHALKEERKQASHGSSGLTSDSHTGEPVPAEPGSMEELPCSALA PSLEPCFSRPERPANRRLPSRWAPPSPTASQSQSPGHPMSMEEHGEEDPPEEKPHL >ENSMUSP00000135690.1 pep:known chromosome:GRCm38:17:66337678:66439032:-1 gene:ENSMUSG00000052105.16 transcript:ENSMUST00000177034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtcl1 description:microtubule crosslinking factor 1 [Source:MGI Symbol;Acc:MGI:1915867] MEEMRDSYLEEDGYQLQELRRELDRANKNCRILQYRLRKAEQKSLKVAETGQVDGELIRS LEQDLKVAKDVSVRLHHELETVEEKRAKAEDDNETLRQQMIEVEVSRQALQNEVERLRES SLKRRGSREMYKEKKLVNQDDSADLKCQLQFVKEEASLMRKKMAKLGREKDELEQELQKY KSLYGDVDSPLPTGEAGGPPSTREAELKLRLKLVEEEASILGRKIVELEVENRGLKAEME DIRVQHEREGTGRDHVPSTPTSPFGDSMESSTELRRHLQFVEEEAELLRRSISEIEDHNR QLTHELSKFKFEPHQESGWLGDGVSKGPAASVPLQEELKSARLQIDELSGKVLKLQCENR LLLSNAQRGDLAAHLGLRAPSPRDSDAESDAGKKESDGEEGRLPQPKREGPVGGESDSED MFEKTSGFGSGKPSEASEPCPAELLRVREDTECLVTIKLEAQRLERTVERLISDTDGFIH DSGLRGNGLASPGVQGGGGEGNSPSEPHLLETINVRMKAFRKELQAFLEQMSRIVDGLSP LSHLTESSSFLSTVTSVSRDSPIGTLGKELGPDLQSKLREQLEWQLNQDRGDEREGLRLR ATRELHRRADGDSGSHHGLGGQSCFNLELRGSPVLPEQSVSVEELQGQLEQAARLHQEET ETYTNKIRKMEEDHLYALRWKELEMHSLALQNTLHKRTWSDEKNLLQQELRSLKQNIFLF YVKLRWLLKHWRQGKQMEEGGEDLEESEHPENVPGLAELGVQGVHQTDGIDQEDADQGCS LPMGEHAPHSLVQISEHGSRLQSSDGGPLNKQVVENQQLFRALKALLEDFRSELREDEHA RLRLQQQYASDKAAWDVEWAVLKCRLEQLEEKTEKSLGELDSSAEGKGALKKEREVHQKL LADSHSLVMDLRWQIHHREKNWNREKVELLERLDSERQEWGRQKEELLWRVEQLQKEKSP RRSGSFLCSRREDDTRPYPHQGSLHSSRPVSMWPCEDADSIPFEDRPLSKLKESDRCSAS ENLYLDALSLDDDPGDPPPLRNCLAEVSLGLSPEEEESRKGNLQRAVSVSCMSEFQRLMD VSPFLPEKGLPSAGSKEDVTPPLSPDDLKYIEEFHSNDWDYASPRAEADRPPDPWADRTE MGRVGHEATTEPCPDPSWYLTTSVTMTTDTMTSPEHCQKQPLRTHVLTEQSGVHVLHSPP AIRRVDSIASGGEGRSRADPEGPFPMSRARGNLADAKGGHPEPVLNRWPCTPPRHPRDCV EGSLRPLDRPICPSLGFASPLNSLDMSKNMSDDMKEVAFSVRNAICAGPAEPHVRDMACQ TNGSRTAGTQTIQTISVGLQTEALRASGVTSSPHKCLTPKAGGGTTPVSSPSRSLRSRQV APAIEKVQAKFERTCCSPKYGSPKLQRKPLSKADQPNSRTSPGIPQKGFSESAWARSTTT RESPVHTTINDGLSSLFNIIDHSPIGVRAGSRSRSAEPRQELGPGQETGTSSRGRSPSPL GVGSETFREEGGESTPVRQDLSAPPGYTLTENVARILNKKLLEHALKEERKQASHGSSGL TSDSHTGEPVPAEPGSMENQTVLLTAPWGL >ENSMUSP00000102693.2 pep:known chromosome:GRCm38:4:96045231:96077546:-1 gene:ENSMUSG00000028571.15 transcript:ENSMUST00000107078.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j13 description:cytochrome P450, family 2, subfamily j, polypeptide 13 [Source:MGI Symbol;Acc:MGI:2385197] MLEAADSLAAAFWAALHLRTLLLAAVTFLFLADYLKSRRPKNYPPRPPRLPFVGNSFQMD LTQSHLAVQKFVKKYGNVISLDFGIKSAVIISSLPLIKEAFSHLDENFINRRIFPLQRQI FNGNGLIFSSGQTWKEQRRFALMTLRNFGLGKKSLEHRIQEEASHLVEAIGEEEGQPFDP HFKIYSAVSNIICSITFGERFEYHDSQFQELLQLLDKAMYLGTTSLMVHYPGKTTTSFNE ENLICSTLDLFLAGTETTSSTLRWALLYMALYPEVQENVQAEIDRVIGQSKHPSLADRDS MPYTNAVVHEILRMGNSVPLNIPREVAVDTTLAGFHLPKESELASENNWPGLSSLFSSLP LCKNLPSSHQPMRT >ENSMUSP00000095587.2 pep:known chromosome:GRCm38:4:96045231:96077524:-1 gene:ENSMUSG00000028571.15 transcript:ENSMUST00000097973.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j13 description:cytochrome P450, family 2, subfamily j, polypeptide 13 [Source:MGI Symbol;Acc:MGI:2385197] MLEAADSLAAAFWAALHLRTLLLAAVTFLFLADYLKSRRPKNYPPRPPRLPFVGNSFQMD LTQSHLAVQKFVKKYGNVISLDFGIKSAVIISSLPLIKEAFSHLDENFINRRIFPLQRQI FNGNGLIFSSGQTWKEQRRFALMTLRNFGLGKKSLEHRIQEEASHLVEAIGEEEGQPFDP HFKIYSAVSNIICSITFGERFEYHDSQFQELLQLLDKAMYLGTTSLMVHLYNIFPWITKH LPGQHQTLLATWRKLKSYIADIIDNHRKDWNPDEPRDFIDAFLNEMAKYPGKTTTSFNEE NLICSTLDLFLAGTETTSSTLRWALLYMALYPEVQENVQAEIDRVIGQSKHPSLADRDSM PYTNAVVHEILRMGNSVPLNIPREVAVDTTLAGFHLPKESELASENNWPGLSSLFSSLPL CKNLPSSHQPMRT >ENSMUSP00000121962.1 pep:known chromosome:GRCm38:4:96027534:96062029:-1 gene:ENSMUSG00000028571.15 transcript:ENSMUST00000132513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j13 description:cytochrome P450, family 2, subfamily j, polypeptide 13 [Source:MGI Symbol;Acc:MGI:2385197] XQTLLATWRKLKSYIADIIDNHRKDWNPDEPRDFIDAFLNEMAKYPGKTTTSFNEENLIC STLDLFLAGTETTSSTLRWALLYMALYPEVQENVQAEIDRVIGQSKHPSLADRDSMPYTN AVVHEILRMGNSVPLNIPREVAVDTTLAGFHLPKAV >ENSMUSP00000030305.6 pep:known chromosome:GRCm38:4:96042660:96077540:-1 gene:ENSMUSG00000028571.15 transcript:ENSMUST00000030305.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j13 description:cytochrome P450, family 2, subfamily j, polypeptide 13 [Source:MGI Symbol;Acc:MGI:2385197] MLEAADSLAAAFWAALHLRTLLLAAVTFLFLADYLKSRRPKNYPPRPPRLPFVGNSFQMD LTQSHLAVQKFVKKYGNVISLDFGIKSAVIISSLPLIKEAFSHLDENFINRRIFPLQRQI FNGNGLIFSSGQTWKEQRRFALMTLRNFGLGKKSLEHRIQEEASHLVEAIGEEEGQPFDP HFKIYSAVSNIICSITFGERFEYHDSQFQELLQLLDKAMYLGTTSLMVHLYNIFPWITKH LPGQHQTLLATWRKLKSYIADIIDNHRKDWNPDEPRDFIDAFLNEMAKYPGKTTTSFNEE NLICSTLDLFLAGTETTSSTLRWALLYMALYPEVQENVQAEIDRVIGQSKHPSLADRDSM PYTNAVVHEILRMGNSVPLNIPREVAVDTTLAGFHLPKRSVVMTNLTALHMDPKEWATPD IFNPEHFLENGQFKKRESFLPFSMGKRACLGEQLARSELFIFFTALVQKFTFKPPANENL SLKFRLGITISPVSYRIGAVPRQ >ENSMUSP00000141553.1 pep:known chromosome:GRCm38:1:159523769:159931729:1 gene:ENSMUSG00000015829.13 transcript:ENSMUST00000192069.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnr description:tenascin R [Source:MGI Symbol;Acc:MGI:99516] MGIDGETVVLKNMLIGVNLILLGSMLKPSECRLEVTTERAQRQTVEEEGGASSYNTSSKE QPMVFNHVYNINVPLESLCSSGLEASAEQDMSAEDDTLAEYIGQTSDHESQVTFTHKINL PKKACPCASSSQVLQELLSRIEMLEREVSLLRDQCNTNCCQESAATGQLDYVPHCSGHGN FSFESCGCICNEGWFGKNCSEPYCPLGCSSRGVCVDGQCICDSEYSGDDCSELRCPTDCS SRGLCVDGECVCEEPYTGEDCRELRCPGDCSGKGQCANGTCLCQEGYAGEDCSQRRCLNA CSGRGHCQEGLCICEEGYQGPDCSAVAPPEDLRVAGISDRSIELEWDGPMAVTEYVISYQ PTALGGLQLQQRVPGDWSGVTIMELEPGLTYNISVYAVISNILSLPITAKVATHLSTPQG LQFKTITETTVEVQWEPFSFSFDGWEISFIPKNNEGGVIAQLPSDVTSFNQTGLKPGEEY IVNVVALKEQARSPPTSASVSTVIDGPTQILVRDVSDTVAFVEWTPPRAKVDFILLKYGL VGGEGGKTTFRLQPPLSQYSVQALRPGSRYEVSISAVRGTNESEASSTQFTTEIDAPKNL RVGSRTATSLDLEWDNSEAEAQEYKVVYSTLAGEQYHEVLVPKGIGPTTKTTLTDLVPGT EYGVGISAVMNSKQSIPATMNARTELDSPRDLMVTASSETSISLIWTKASGPIDHYRITF TPSSGISSEVTVPRDRTSYTLTDLEPGAEYIISITAERGRQQSLESTVDAFTGFRPISHL HFSHVTSSSVNITWSDPSPPADRLILNYSPRDKEEDMLEVLLDATKRHAVLMGLQPATEY IVNLVAVHGTVTSEPIVGSITTGIDPPKNITISNVTKDSLTVSWSSPVAPFDYYRVSYRP TQVGRLDSSVVPNTVTEFAITRLYPATEYEISLNSVRGREESERICTLVHTAMDSPMDLI ATNITPTEALLQWKAPMGEVENYVIVLTHFAIAGETILVDGVSEEFQLVDLLPSTHYTVT MYATSGPLMSGTIATNFSTLLDPPDNLTASEVTRQSALISWQPPRAAIENYVLTYKSTDG SRKELIVDAEDTWIRLEGLSENTDYTVLLQAAQEATRSSLTSTVFTTGGRVFSHPQDCAQ HLMNGDTLSGVYTIFLNGELSHKLQVYCDMTTDGGGWIVFQRRQNGQTDFFRKWADYRVG FGNLEDEFWLGLDNIHRITAQGRYELRVDMRDGQEAVFAYYDKFAVEDSRSLYKIRIGSY NGTAGDSLSYHQGRPFSTEDRDNDVAVTNCAMSYKGAWWYKNCHRTNLNGKYGESRHSQG INWYHWKGHEFSIPFVEMKMRPYIHRLTAGRKRRALKF >ENSMUSP00000141752.1 pep:known chromosome:GRCm38:1:159773770:159850342:1 gene:ENSMUSG00000015829.13 transcript:ENSMUST00000193325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnr description:tenascin R [Source:MGI Symbol;Acc:MGI:99516] MGIDGETVVLKNMLIGVNLILLGSMLKPSECRLEVTTERAQRQTVEEEGGASSYNTSSKE QPMVFNHVYNINVPLESLCSSGLEASAEQDMSAEDDTLA >ENSMUSP00000141509.1 pep:known chromosome:GRCm38:1:159850397:159863649:1 gene:ENSMUSG00000015829.13 transcript:ENSMUST00000192457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnr description:tenascin R [Source:MGI Symbol;Acc:MGI:99516] XINLPKKACPCASSSQVLQELLSRIEMLEREVSLLRDQCNTNCCQESAATVAPPEDLRVA GISDRSIELEWDGPMAVTEYVISYQPTALGGLQLQQRVPGDWSGVTIMELEPGLTYNISV YAVISNILSLPITAKVATHLSTPQGLQFKTITETTVEVQWEPFSFSFDGWEISFIPKNNE G >ENSMUSP00000107298.3 pep:known chromosome:GRCm38:1:159737510:159924397:1 gene:ENSMUSG00000015829.13 transcript:ENSMUST00000111669.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnr description:tenascin R [Source:MGI Symbol;Acc:MGI:99516] MGIDGETVVLKNMLIGVNLILLGSMLKPSECRLEVTTERAQRQTVEEEGGASSYNTSSKE QPMVFNHVYNINVPLESLCSSGLEASAEQDMSAEDDTLAEYIGQTSDHESQVTFTHKINL PKKACPCASSSQVLQELLSRIEMLEREVSLLRDQCNTNCCQESAATGQLDYVPHCSGHGN FSFESCGCICNEGWFGKNCSEPYCPLGCSSRGVCVDGQCICDSEYSGDDCSELRCPTDCS SRGLCVDGECVCEEPYTGEDCRELRCPGDCSGKGQCANGTCLCQEGYAGEDCSQRRCLNA CSGRGHCQEGLCICEEGYQGPDCSAVAPPEDLRVAGISDRSIELEWDGPMAVTEYVISYQ PTALGGLQLQQRVPGDWSGVTIMELEPGLTYNISVYAVISNILSLPITAKVATHLSTPQG LQFKTITETTVEVQWEPFSFSFDGWEISFIPKNNEGGVIAQLPSDVTSFNQTGLKPGEEY IVNVVALKEQARSPPTSASVSTVIDGPTQILVRDVSDTVAFVEWTPPRAKVDFILLKYGL VGGEGGKTTFRLQPPLSQYSVQALRPGSRYEVSISAVRGTNESEASSTQFTTEIDAPKNL RVGSRTATSLDLEWDNSEAEAQEYKVVYSTLAGEQYHEVLVPKGIGPTTKTTLTDLVPGT EYGVGISAVMNSKQSIPATMNARTELDSPRDLMVTASSETSISLIWTKASGPIDHYRITF TPSSGISSEVTVPRDRTSYTLTDLEPGAEYIISITAERGRQQSLESTVDAFTGFRPISHL HFSHVTSSSVNITWSDPSPPADRLILNYSPRDKEEDMLEVLLDATKRHAVLMGLQPATEY IVNLVAVHGTVTSEPIVGSITTGIDPPKNITISNVTKDSLTVSWSSPVAPFDYYRVSYRP TQVGRLDSSVVPNTVTEFAITRLYPATEYEISLNSVRGREESERICTLVHTAMDSPMDLI ATNITPTEALLQWKAPMGEVENYVIVLTHFAIAGETILVDGVSEEFQLVDLLPSTHYTVT MYATSGPLMSGTIATNFSTLLDPPDNLTASEVTRQSALISWQPPRAAIENYVLTYKSTDG SRKELIVDAEDTWIRLEGLSENTDYTVLLQAAQEATRSSLTSTVFTTGGRVFSHPQDCAQ HLMNGDTLSGVYTIFLNGELSHKLQVYCDMTTDGGGWIVFQRRQNGQTDFFRKWADYRVG FGNLEDEFWLGLDNIHRITAQGRYELRVDMRDGQEAVFAYYDKFAVEDSRSLYKIRIGSY NGTAGDSLSYHQGRPFSTEDRDNDVAVTNCAMSYKGAWWYKNCHRTNLNGKYGESRHSQG INWYHWKGHEFSIPFVEMKMRPYIHRLTAGRKRRALKF >ENSMUSP00000143986.1 pep:known chromosome:GRCm38:5:87460240:87486806:-1 gene:ENSMUSG00000107180.1 transcript:ENSMUST00000201519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm43638 description:predicted gene 43638 [Source:MGI Symbol;Acc:MGI:5663775] MLKNILLCSLQISLLGMSLGGNVLIWPMEGSHWLNVKIIIDELLRKEHNVTVLVASGALF ITPSSISPSLTFEIYPVPFGKEKIESVIKDFVLTWLENRPSPSTIWTFYKEMAKVIEEFH LVSRGICDGVLKNEKLMSKLQKEKFEVLLSDPVFPCGDIVALKLGIPFIYSLRFSPASTV EKHCGKVPFPPSYVPAILSELTDQMSFTDRVRNFISYRMQDYMFETLWKQWDSYYTKALD GSHWLNIKILLEELVQRNHSVTVLAPSETLFINSRLDAFINFEEIPVSYTKSKIDEIIEH MIALWLDHRPTPLTMWTFYKELGNLLATFYTTNKQMCDGVLNNPTVMERLQKGGFDVLLA DPVTMCGELVALKLGIPFVYTLRFSPAFTVERHCGKIPAPISYVPAALSELTDQMSFGER VKNIISYSLQDYIFKTYWGEWNSYYSKVLGRPTTLCETMGKAEIWLMRTYWDFEFPRPYL PNFEFVGGLHCKPAKPLPKVLWRYKGKIPDTLGSNTRLFDWIPQNDLLGHPKTRAFITHG GTNGIYEAIYHGIPMVGVPMFADQPDNIAHMKAKGAAVEVNMNTMTSSDLLNALRTVINE PSYKENAMRLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHDLSWFQYHSLDVIG FLLACVASAILLVAKCCLFIFQKVGKTGKKKKRD >ENSMUSP00000141263.1 pep:known chromosome:GRCm38:1:36548639:36558349:-1 gene:ENSMUSG00000026121.13 transcript:ENSMUST00000191677.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4c description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4C [Source:MGI Symbol;Acc:MGI:109252] MAPHWAVWLLAAGLWGLGIGAEMWWNLVPRKTVSSGELVTVVRRFSQTGIQDFLTLTLTE HSGLLYVGAREALFAFSVEALELQGAISWEAPAEKKIECTQKGKSNQTECFNFIRFLQPY NSSHLYVCGTYAFQPKCTYINMLTFTLDRAEFEDGKGKCPYDPAKGHTGLLVDGELYSAT LNNFLGTEPVILRYMGTHHSIKTEYLAFWLNEPHFVGSAFVPESVGSFTGDDDKIYFFFS ERAVEYDCYSEQVVARVARVCKGDMGGARTLQKKWTTFLKARLVCSAPDWKVYFNQLKAV HTLRGASWHNTTFFGVFQARWGDMDLSAVCEYQLEQIQQVFEGPYKEYSEQAQKWARYTD PVPSPRPGSCINNWHRDNGYTSSLELPDNTLNFIKKHPLMEDQVKPRLGRPLLVKKNTNF THVVADRVPGLDGATYTVLFIGTGDGWLLKAVSLGPWIHMVEELQVFDQEPVESLVLSQS KKVLFAGSRSQLVQLSLADCTKYRFCVDCVLARDPYCAWNVNTSRCVATTSGRSGSFLVQ HVANLDTSKMCNQYGIKKVRSIPKNITVVSGTDLVLPCHLSSNLAHAHWTFGSQDLPAEQ PGSFLYDTGLQALVVMAAQSRHSGPYRCYSEEQGTRLAAESYLVAVVAGSSVTLEARAPL ENLGLVWLAVVALGAVCLVLLLLVLSLRRRLREELEKGAKASERTLVYPLELPKEPASPP FRPGPETDEKLWDPVGYYYSDGSLKIVPGHARCQPGGGPPSPPPGIPGQPLPSPTRLHLG GGRNSNANGYVRLQLGGEDRGGSGHPLPELADELRRKLQQRQPLPDSNPEESSV >ENSMUSP00000142284.1 pep:known chromosome:GRCm38:1:36548642:36557526:-1 gene:ENSMUSG00000026121.13 transcript:ENSMUST00000191642.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4c description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4C [Source:MGI Symbol;Acc:MGI:109252] MAPHWAVWLLAAGLWGLGIGAEMWWNLVPRKTVSSGELVTVVRRFSQTGIQDFLTLTLTE HSGLLYVGAREALFAFSVEALELQGAISWEAPAEKKIECTQKGKSNQTECFNFIRFLQPY NSSHLYVCGTYAFQPKCTYINMLTFTLDRAEFEDGKGKCPYDPAKGHTGLLVDGELYSAT LNNFLGTEPVILRYMGTHHSIKTEYLAFWLNEPHFVGSAFVPESVGSFTGDDDKIYFFFS ERAVEYDCYSEQVVARVARVCKGDMGGARTLQKKWTTFLKARLVCSAPDWKVYFNQLKAV HTLRGASWHNTTFFGVFQARWGDMDLSAVCEYQLEQIQQVFEGPYKEYSEQAQKWARYTD PVPSPRPGSCINNWHRDNGYTSSLELPDNTLNFIKKHPLMEDQVKPRLGRPLLVKKNTNF THVVADRVPGLDGATYTVLFIGTGDGWLLKAVSLGPWIHMVEELQVFDQEPVESLVLSQS KKVLFAGSRSQLVQLSLADCTKYRFCVDCVLARDPYCAWNVNTSRCVATTSGRSGSFLVQ HVANLDTSKMCNQYGIKKVRSIPKNITVVSGTDLVLPCHLSSNLAHAHWTFGSQDLPAEQ PGSFLYDTGLQALVVMAAQSRHSGPYRCYSEEQGTRLAAESYLVAVVAGSSVTLEARAPL ENLGLVWLAVVALGAVCLVLLLLVLSLRRRLREELEKGAKASERTLVYPLELPKEPASPP FRPGPETDEKLWDPVGYYYSDGSLKIVPGHARCQPGGGPPSPPPGIPGQPLPSPTRLHLG GGRNSNANGYVRLQLGGEDRGGSGHPLPELADELRRKLQQRQPLPDSNPEESSV >ENSMUSP00000141527.1 pep:known chromosome:GRCm38:1:36548642:36557557:-1 gene:ENSMUSG00000026121.13 transcript:ENSMUST00000195620.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4c description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4C [Source:MGI Symbol;Acc:MGI:109252] MAPHWAVWLLAAGLWGLGIGAEMWWNLVPRKTVSSGELVTVVRRFSQTGIQDFLTLTLTE HSGLLYVGAREALFAFSVEALELQGAISWEAPAEKKIECTQKGKSNQTECFNFIRFLQPY NSSHLYVCGTYAFQPKCTYINMLTFTLDRAEFEDGKGKCPYDPAKGHTGLLVDGELYSAT LNNFLGTEPVILRYMGTHHSIKTEYLAFWLNEPHFVGSAFVPESVGSFTGDDDKIYFFFS ERAVEYDCYSEQVVARVARVCKGDMGGARTLQKKWTTFLKARLVCSAPDWKVYFNQLKAV HTLRGASWHNTTFFGVFQARWGDMDLSAVCEYQLEQIQQVFEGPYKEYSEQAQKWARYTD PVPSPRPGSCINNWHRDNGYTSSLELPDNTLNFIKKHPLMEDQVKPRLGRPLLVKKNTNF THVVADRVPGLDGATYTVLFIGTGDGWLLKAVSLGPWIHMVEELQVFDQEPVESLVLSQS KKVLFAGSRSQLVQLSLADCTKYRFCVDCVLARDPYCAWNVNTSRCVATTSGRSGSFLVQ HVANLDTSKMCNQYGIKKVRSIPKNITVVSGTDLVLPCHLSSNLAHAHWTFGSQDLPAEQ PGSFLYDTGLQALVVMAAQSRHSGPYRCYSEEQGTRLAAESYLVAVVAGSSVTLEARAPL ENLGLVWLAVVALGAVCLVLLLLVLSLRRRLREELEKGAKASERTLVYPLELPKEPASPP FRPGPETDEKLWDPVGYYYSDGSLKIVPGHARCQPGGGPPSPPPGIPGQPLPSPTRLHLG GGRNSNANGYVRLQLGGEDRGGSGHPLPELADELRRKLQQRQPLPDSNPEESSV >ENSMUSP00000110643.1 pep:known chromosome:GRCm38:1:36548642:36558349:-1 gene:ENSMUSG00000026121.13 transcript:ENSMUST00000114991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4c description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4C [Source:MGI Symbol;Acc:MGI:109252] MAPHWAVWLLAAGLWGLGIGAEMWWNLVPRKTVSSGELVTVVRRFSQTGIQDFLTLTLTE HSGLLYVGAREALFAFSVEALELQGAISWEAPAEKKIECTQKGKSNQTECFNFIRFLQPY NSSHLYVCGTYAFQPKCTYINMLTFTLDRAEFEDGKGKCPYDPAKGHTGLLVDGELYSAT LNNFLGTEPVILRYMGTHHSIKTEYLAFWLNEPHFVGSAFVPESVGSFTGDDDKIYFFFS ERAVEYDCYSEQVVARVARVCKGDMGGARTLQKKWTTFLKARLVCSAPDWKVYFNQLKAV HTLRGASWHNTTFFGVFQARWGDMDLSAVCEYQLEQIQQVFEGPYKEYSEQAQKWARYTD PVPSPRPGSCINNWHRDNGYTSSLELPDNTLNFIKKHPLMEDQVKPRLGRPLLVKKNTNF THVVADRVPGLDGATYTVLFIGTGDGWLLKAVSLGPWIHMVEELQVFDQEPVESLVLSQS KKVLFAGSRSQLVQLSLADCTKYRFCVDCVLARDPYCAWNVNTSRCVATTSGRSGSFLVQ HVANLDTSKMCNQYGIKKVRSIPKNITVVSGTDLVLPCHLSSNLAHAHWTFGSQDLPAEQ PGSFLYDTGLQALVVMAAQSRHSGPYRCYSEEQGTRLAAESYLVAVVAGSSVTLEARAPL ENLGLVWLAVVALGAVCLVLLLLVLSLRRRLREELEKGAKASERTLVYPLELPKEPASPP FRPGPETDEKLWDPVGYYYSDGSLKIVPGHARCQPGGGPPSPPPGIPGQPLPSPTRLHLG GGRNSNANGYVRLQLGGEDRGGSGHPLPELADELRRKLQQRQPLPDSNPEESSV >ENSMUSP00000142034.1 pep:known chromosome:GRCm38:1:36554400:36557534:-1 gene:ENSMUSG00000026121.13 transcript:ENSMUST00000193382.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4c description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4C [Source:MGI Symbol;Acc:MGI:109252] MAPHWAVWLLAAGLWGLGIGAEMWWNLVPRKTVSSGA >ENSMUSP00000141833.1 pep:known chromosome:GRCm38:1:36555932:36558250:-1 gene:ENSMUSG00000026121.13 transcript:ENSMUST00000195339.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4c description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4C [Source:MGI Symbol;Acc:MGI:109252] MAPHWAVWLLAAGLWGLGIGAEMWWNLVPRKTVSSG >ENSMUSP00000114583.1 pep:known chromosome:GRCm38:5:87459490:87490871:-1 gene:ENSMUSG00000106677.1 transcript:ENSMUST00000147854.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2a1 description:UDP glucuronosyltransferase 2 family, polypeptide A1 [Source:MGI Symbol;Acc:MGI:2149905] MLKNILLCSLQISLLGMSLGGNVLIWPMEGSHWLNVKIIIDELLRKEHNVTVLVASGALF ITPSSISPSLTFEIYPVPFGKEKIESVIKDFVLTWLENRPSPSTIWTFYKEMAKVIEEFH LVSRGICDGVLKNEKLMSKLQKEKFEVLLSDPVFPCGDIVALKLGIPFIYSLRFSPASTV EKHCGKVPFPPSYVPAILSELTDQMSFTDRVRNFISYRMQDYMFETLWKQWDSYYTKALG RPTTLCETMGKAEIWLMRTYWDFEFPRPYLPNFEFVGGLHCKPAKPLPKEMEEFVQTSGE HGIVVFSLGSMVKNLTDEKANLIASALAQIPQKVLWRYKGKIPDTLGSNTRLFDWIPQND LLGHPKTRAFITHGGTNGIYEAIYHGIPMVGVPMFADQPDNIAHMKAKGAAVEVNMNTMT SSDLLNALRTVINEPSYKENAMRLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAH DLSWFQYHSLDVIGFLLACVASAILLVAKCCLFIFQKVGKTGKKKKRD >ENSMUSP00000022691.7 pep:known chromosome:GRCm38:14:70554056:70573548:1 gene:ENSMUSG00000022096.14 transcript:ENSMUST00000022691.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hr description:hairless [Source:MGI Symbol;Acc:MGI:96223] MESMPSFLKDTPAWEKTAPVNGIVGQEPGTSPQDGLRHGALCLGEPAPFWRGVLSTPDSW LPPGFLQGPKDTLSLVEGEGPRNGERKGSWLGGKEGLRWKEAMLAHPLAFCGPACPPRYG PLIPEHSGGHPKSDPVAFRPLHCPFLLETKILERAPFWVPTCLPPYLMSSLPPERPYDWP LAPNPWVYSGSQPKVPSAFGLGSKGFYHKDPNILRPAKEPLAESGMLGLAPGGHLQQACE SEGPSLHQRDGETGAGRQQNLCPVFLGYPDTVPRAPWPSCPPGLVHSLGNIWAGPGSNSL GYQLGPPATPRCPSPGPPTPPGGCCSSHLPAREGDLGPCRKCQDSPEGGSSGPGESSEER NKADSRACPPSHHTKLKKTWLTRHSEQFECPGGCSGKEESPATGLRALKRAGSPEVQGAS RGPAPKRPSHPFPGTGRQGARAWQETPETIIGSKAEAEQQEEQRGPRDGRIRLQESRLVD TSCQHHLAGVTQCQSCVQAAGEVGVLTGHSQKSRRSPLEEKQLEEEDSSATSEEGGGGPG PEASLNKGLAKHLLSGLGDRLCRLLRKEREALAWAQREGQGPAMTEDSPGIPHCCSRCHH GLFNTHWRCSHCSHRLCVACGRIAGAGKNREKTGSQEQHTDDCAQEAGHAACSLILTQFV SSQALAELSTVMHQVWAKFDIRGHCFCQVDARVWAPGDGGQQKEPTEKTPPTPQPSCNGD SNRTKDIKEETPDSTESPAEDGAGRSPLPCPSLCELLASTAVKLCLGHDRIHMAFAPVTP ALPSDDRITNILDSIIAQVVERKIQEKALGPGLRAGSGLRKGLSLPLSPVRTRLSPPGAL LWLQEPRPKHGFHLFQEHWRQGQPVLVSGIQKTLRLSLWGMEALGTLGGQVQTLTALGPP QPTNLDSTAFWEGFSHPETRPKLDEGSVLLLHRTLGDKDASRVQNLASSLPLPEYCAHQG KLNLASYLPLGLTLHPLEPQLWAAYGVNSHRGHLGTKNLCVEVSDLISILVHAEAQLPPW YRAQKDFLSGLDGEGLWSPGSQTSTVWHVFRAQDAQRIRRFLQMVCPAGAGTLEPGAPGS CYLDAGLRRRLREEWGVSCWTLLQAPGEAVLVPAGAPHQVQGLVSTISVTQHFLSPETSA LSAQLYHQGASLPPDHRMLYAQMDRAVFQAVKAAVGALQEAK >ENSMUSP00000124816.1 pep:known chromosome:GRCm38:14:70553687:70572900:1 gene:ENSMUSG00000022096.14 transcript:ENSMUST00000161069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hr description:hairless [Source:MGI Symbol;Acc:MGI:96223] MESMPSFLKDTPAWEKTAPVNGIVGQEPGTSPQDGLRHGALCLGEPAPFWRGVLSTPDSW LPPGFLQGPKDTLSLVEGEGPRNGERKGSWLGGKEGLRWKEAMLAHPLAFCGPACPPRYG PLIPEHSGGHPKSDPVAFRPLHCPFLLETKILERAPFWVPTCLPPYLMSSLPPERPYDWP LAPNPWVYSGSQPKVPSAFGLGSKGFYHKDPNILRPAKEPLAESGMLGLAPGGHLQQACE SEGPSLHQRDGETGAGRQQNLCPVFLGYPDTVPRAPWPSCPPGLVHSLGNIWAGPGSNSL GYQLGPPATPRCPSPGPPTPPGGCCSSHLPAREGDLGPCRKCQDSPEGGSSGPGESSEER NKADSRACPPSHHTKLKKTWLTRHSEQFECPGGCSGKEESPATGLRALKRAGSPEVQGAS RGPAPKRPSHPFPGTGRQGARAWQETPETIIGSKAEAEQQEEQRGPRDGRIRLQESRLVD TSCQHHLAGVTQCQSCVQAAGEVGVLTGHSQKSRRSPLEEKQLEEEDSSATSEEGGGGPG PEASLNKGLAKHLLSGLGDRLCRLLRKEREALAWAQREGQGPAMTEDSPGIPHCCSRCHH GLFNTHWRCSHCSHRLCVACGRIAGAGKNREKTGSQEQHTDDCAQEAGHAACSLILTQFV SSQALAELSTVMHQVWAKFDIRGHCFCQVDARVWAPGDGGQQKEPTEKTPPTPQPSCNGD SNRTKDIKEETPDSTESPAEDGAGRSPLPCPSLCELLASTAVKLCLGHDRIHMAFAPVTP ALPSDDRITNILDSIIAQVVERKIQEKALGPGLRAGSGLRKGLSLPLSPVRTRLSPPGAL LWLQEPRPKHGFHLFQEHWRQGQPVLVSGIQKTLRLSLWGMEALGTLGGQVQTLTALGPP QPTNLDSTAFWEGFSHPETRPKLDEGSVLLLHRTLGDKDASRVQNLASSLPLPEYCAHQG KLNLASYLPLGLTLHPLEPQLWAAYGVNSHRGHLGTKNLCVEVSDLISILVHAEAQLPPW YRAQKDFLSGLDGEGLWSPGSQTSTVWHVFRAQDAQRIRRFLQMVCPAGAGTLEPGAPGS CYLDAGLRRRLREEWGVSCWTLLQAPGEAVLVPAGAPHQVQGLVSTISVTQHFLSPETSA LSAQLYHQGASLPPDHRMLYAQMDRAVFQAVKAAVGALQEAK >ENSMUSP00000124042.1 pep:known chromosome:GRCm38:14:70555900:70573544:1 gene:ENSMUSG00000022096.14 transcript:ENSMUST00000163060.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hr description:hairless [Source:MGI Symbol;Acc:MGI:96223] MGHLPKGLMLCPYPPGPPSPGEAHEGRRVMESMPSFLKDTPAWEKTAPVNGIVGQEPGTS PQDGLRHGALCLGEPAPFWRGVLSTPDSWLPPGFLQGPKDTLSLVEGEGPRNGERKGSWL GGKEGLRWKEAMLAHPLAFCGPACPPRYGPLIPEHSGGHPKSDPVAFRPLHCPFLLETKI LERAPFWVPTCLPPYLMSSLPPERPYDWPLAPNPWVYSGSQPKVPSAFGLGSKGFYHKDP NILRPAKEPLAESGMLGLAPGGHLQQACESEGPSLHQRDGETGAGRQQNLCPVFLGYPDT VPRAPWPSCPPGLVHSLGNIWAGPGSNSLGYQLGPPATPRCPSPGPPTPPGGCCSSHLPA REGDLGPCRKCQDSPEGGSSGPGESSEERNKADSRACPPSHHTKLKKTWLTRHSEQFECP GGCSGKEESPATGLRALKRAGSPEVQGASRGPAPKRPSHPFPGTGRQGARAWQETPETII GSKAEAEQQEEQRGPRDGRIRLQESRLVDTSCQHHLAGVTQCQSCVQAAGEVGVLTGHSQ KSRRSPLEEKQLEEEDSSATSEEGGGGPGPEASLNKGLAKHLLSGLGDRLCRLLRKEREA LAWAQREGQGPAMTEDSPGIPHCCSRCHHGLFNTHWRCSHCSHRLCVACGRIAGAGKNRE KTGSQEQHTDDCAQEAGHAACSLILTQFVSSQALAELSTVMHQVWAKFDIRGHCFCQVDA RVWAPGDGGQQKEPTEKTPPTPQPSCNGDSNRTKDIKEETPDSTESPAEDGAGRSPLPCP SLCELLASTAVKLCLGHDRIHMAFAPVTPALPSDDRITNILDSIIAQVVERKIQEKALGP GLRAGSGLRKGLSLPLSPVRTRLSPPGALLWLQEPRPKHGFHLFQEHWRQGQPVLVSGIQ KTLRLSLWGMEALGTLGGQVQTLTALGPPQPTNLDSTAFWEGFSHPETRPKLDEGSVLLL HRTLGDKDASRVQNLASSLPLPEYCAHQGKLNLASYLPLGLTLHPLEPQLWAAYGVNSHR GHLGTKNLCVEVSDLISILVHAEAQLPPWYRAQKDFLSGLDGEGLWSPGSQTSTVWHVFR AQDAQRIRRFLQMVCPAGAGTLEPGAPGSCYLDAGLRRRLREEWGVSCWTLLQAPGEAVL VPAGAPHQVQGLVSTISVTQHFLSPETSALSAQLYHQGASLPPDHRMLYAQMDRAVFQAV KAAVGALQEAK >ENSMUSP00000094078.1 pep:known chromosome:GRCm38:X:105120378:105123927:1 gene:ENSMUSG00000031227.8 transcript:ENSMUST00000033578.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magee1 description:melanoma antigen, family E, 1 [Source:MGI Symbol;Acc:MGI:2148149] MSLVSQNSRRRRGGRANARRNNGKGHPAAVPGPDVPRDRNDPKILQGLRASEGPGTSMLP TPREGPSASVPPTASEGSSAPRQFIISQGPNTSEMPTSRKGRGASRPPAVSAGLNTAMSI TASEGPNSPVPPTAPKGSKAYEHLPVSEGLAISEQRHSDGGPNMEPTLGEGPGISVPPTF SEESGISDEGLSIFMSPNISEGPGINEPYSVSEDPSTSVPPTDSNGLGINLPPTFGEGLS ISMLFSALEEPDIFAPPPSAEGLFASMSPPSGEIQSSWVSPIIMEGCNVNVPPTSKKGLR TSVPSAACESPSTSAEGLSSSLSSISAEGFCSSLAPCAAEGSCELLPCGEGRSTSELHCL GEGSSTSQMSLAAEGPSASGMPTEANNPEEALSCCASERRNKSTSRALQKAKDPSVRPKR EDRFLDFQVLRDSKNSNSITIMGLGTSRVALTLKPQDPMEQNVAELLQFLLLKDQTKYPI KESDMREFIDKDYRHQFPEILRRAAVHLECIFRFELKELDTEEHIYILLNKLGPVPFEGL EDVPNGPKMGLLMMILGHILLNGNQAREADIWEMLWRFGVQRERRLSVFGNVKRLLSVEF VWQRYLDYRPLTDCVPVEYEFYWGPRSRAETTKMKILKFMAKIYNKDPMDWPALYNEALE EDADRVVVNNFRVARPFRRPLFAEVAPELDASGSKYSPHSWPESRLESKARKLVQLFLLM DSTKLPIPKKGILYYIGRECTKVFPDLLNRAARTLNHVYGTELVVLDPRNHSYTLYNRRE MEDTEEIMDSPNRPGNNFLMQVLSFIFIMGNHARESAVWAFLRGLGVQNGRKHVITCRYL SQRYLDSLRVPDSDPVQYDFVWGPRARLETSKMKALRYVARIHRKEPEDWPEQYREAMED EANRAEAGRRPLIVRNLR >ENSMUSP00000138326.1 pep:known chromosome:GRCm38:10:69533772:70027438:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKSDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNA LHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQS QNGFTPLYMAAQENHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDT KGKVRLPALHIAARKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNR AAAVDFTARNDITPLHVASKRGNANMVKLLLDRGAKIDAKTRDGLTPLHCGARSGHEQVV EMLLDRSAPILSKTKNGLSPLHMATQGDHLNCVQLLLQHNVPVDDVTNDYLTALHVAAHC GHYKVAKVLLDKKASPNAKALNGFTPLHIACKKNRIRVMELLLKHGASIQAVTESGLTPI HVAAFMGHVNIVSQLMHHGASPNTTNVRGETALHMAARSGQAEVVRYLVQDGAQVEAKAK DDQTPLHISARLGKADIVQQLLQQGASPNAATTSGYTPLHLAAREGHEDVAAFLLDHGAS LSITTKKGFTPLHVAAKYGKLEVASLLLQKSASPDAAGKSGLTPLHVAAHYDNQKVALLL LDQGASPHAAAKNGYTPLHIAAKKNQMDIATSLLEYGADANAVTRQGIASVHLAAQEGHV DMVSLLLSRNANVNLSNKSGLTPLHLAAQEDRVNVAEVLVNQGAHVDAQTKMGYTPLHVG CHYGNIKIVNFLLQHSAKVNAKTKNGYTALHQAAQQGHTHIINVLLQNNASPNELTVNGN TALAIARRLGYISVVDTLKVVTEEIMTTTTITEKHKMNVPETMNEVLDMSDDEVRKASAP EKLSDGEYISDGEEGDKCTWFKIPKVQEVLVKSEDAITGDTDKYLGPQDLKELGDDSLPA EGYVGFSLGARSASLRSFSSDRSYTLNRSSYARDSMMIEELLVPSKEQHLTFTREFDSDS LRHYSWAADTLDNVNLVSSPVHSGFLVSFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTR ITCRLVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLGPVIVEIPHFGSMRGKERELIV LRSENGETWKEHQFDSKNEDLAELLNGMDEELDSPEELGTKRICRIITKDFPQYFAVVSR IKQESNQIGPEGGILSSTTVPLVQASFPEGALTKRIRVGLQAQPVPEETVKKILGNKATF SPIVTVEPRRRKFHKPITMTIPVPPPSGEGVSNGYKGDATPNLRLLCSITGGTSPAQWED ITGTTPLTFIKDCVSFTTNVSARFWLADCHQVLETVGLASQLYRELICVPYMAKFVVFAK TNDPVESSLRCFCMTDDRVDKTLEQQENFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKG GQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAH KKAEKADRRQSFASLALRKRYSYLTEPSMSPQSPCERTDIRMAIVADHLGLSWTELAREL NFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTDALTSVLTKINRIDIVTLLEG PIFDYGNISGTRSFADENNVFHDPVDGHPSFQVELETPMGLYCTPPNPFQQDDHFSDISS IESPFRTPSRLSDGLVPSQGNIEHPTGGPPVVTAEDTSLEDSKMDDSVTVTDPADPLDVD ESQLKDLCQSECAQCWASVPGIPNDGRQAEPLRPQTRKVGMSSEQQEKGKSGPDEEVTED KVKSLFEDIQLEEVEAEEMTEDQGQAMLNRVQRAELAMSSLAGWQNETPSGSLESPAQAR RLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDIG KQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTA DGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000138347.1 pep:known chromosome:GRCm38:10:69533843:70024626:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKSDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNA LHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQS QNGFTPLYMAAQENHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDT KGKVRLPALHIAARKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNR AAAVDFTARNDITPLHVASKRGNANMVKLLLDRGAKIDAKTRDGLTPLHCGARSGHEQVV EMLLDRSAPILSKTKNGLSPLHMATQGDHLNCVQLLLQHNVPVDDVTNDYLTALHVAAHC GHYKVAKVLLDKKASPNAKALNGFTPLHIACKKNRIRVMELLLKHGASIQAVTESGLTPI HVAAFMGHVNIVSQLMHHGASPNTTNVRGETALHMAARSGQAEVVRYLVQDGAQVEAKAK DDQTPLHISARLGKADIVQQLLQQGASPNAATTSGYTPLHLAAREGHEDVAAFLLDHGAS LSITTKKGFTPLHVAAKYGKLEVASLLLQKSASPDAAGKSGLTPLHVAAHYDNQKVALLL LDQGASPHAAAKNGYTPLHIAAKKNQMDIATSLLEYGADANAVTRQGIASVHLAAQEGHV DMVSLLLSRNANVNLSNKSGLTPLHLAAQEDRVNVAEVLVNQGAHVDAQTKMGYTPLHVG CHYGNIKIVNFLLQHSAKVNAKTKNGYTALHQAAQQGHTHIINVLLQNNASPNELTVNGN TALAIARRLGYISVVDTLKVVTEEIMTTTTITEKHKMNVPETMNEVLDMSDDEGEDAITG DTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASLRSFSSDRSYTLNRSSYARDSMMIE ELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLVSFMVDARGGSMR GSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLG PVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNGMDEELDSPEELG TKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFPEGALTKRIRVG LQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSGEGVSNGYKGDA TPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLADCHQVLETVGLA SQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQENFEEVARSKDIE VLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEP KTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRKRYSYLTEPSMSPQSPCERTD IRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATT DALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGWQNETPSGSLES PAQARRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPES QNDIGKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKM TRTTADGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000138348.1 pep:known chromosome:GRCm38:10:69533847:70024882:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000183169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKSDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNA LHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQS QNGFTPLYMAAQENHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDT KGKVRLPALHIAARKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNR AAAVDFTARNDITPLHVASKRGNANMVKLLLDRGAKIDAKTRDGLTPLHCGARSGHEQVV EMLLDRSAPILSKTKNGLSPLHMATQGDHLNCVQLLLQHNVPVDDVTNDYLTALHVAAHC GHYKVAKVLLDKKASPNAKALNGFTPLHIACKKNRIRVMELLLKHGASIQAVTESGLTPI HVAAFMGHVNIVSQLMHHGASPNTTNVRGETALHMAARSGQAEVVRYLVQDGAQVEAKAK DDQTPLHISARLGKADIVQQLLQQGASPNAATTSGYTPLHLAAREGHEDVAAFLLDHGAS LSITTKKGFTPLHVAAKYGKLEVASLLLQKSASPDAAGKSGLTPLHVAAHYDNQKVALLL LDQGASPHAAAKNGYTPLHIAAKKNQMDIATSLLEYGADANAVTRQGIASVHLAAQEGHV DMVSLLLSRNANVNLSNKSGLTPLHLAAQEDRVNVAEVLVNQGAHVDAQTKMGYTPLHVG CHYGNIKIVNFLLQHSAKVNAKTKNGYTALHQAAQQGHTHIINVLLQNNASPNELTVNGN TALAIARRLGYISVVDTLKVVTEEIMTTTTITEKHKMNVPETMNEVLDMSDDEGEDAITG DTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASPKISSDRSYTLNRSSYARDSMMIEE LLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLVSFMVDARGGSMRG SRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLGP VIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNGMDEELDSPEELGT KRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFPEGALTKRIRVGL QAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSGEGVSNGYKGDAT PNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLADCHQVLETVGLAS QLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQENFEEVARSKDIEV LEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEPK TTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRPQSPCERTDIRMAIVADHLGLS WTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTDALTSVLTKINRI DIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGWQNETPSGSLESPAQARRLTGGLLD RLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDIGKQSIKENL KPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTADGKARLNL QEEEGSTRSEPKQVKSPGEAFTRMTACCYKDLRNSESDSSSEEEQRITTRVIRRRVIIKG EEAKNIPGESVTEEQFTDEEGNLITRKITRKVIRRIGPQERKQDDVQGEGYKVKTKKEIR NVEKKTH >ENSMUSP00000138770.1 pep:known chromosome:GRCm38:10:69533847:70024882:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000183148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKSDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNA LHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQS QNGFTPLYMAAQENHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDT KGKVRLPALHIAARKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNR AAAVDFTARNDITPLHVASKRGNANMVKLLLDRGAKIDAKTRDGLTPLHCGARSGHEQVV EMLLDRSAPILSKTKNGLSPLHMATQGDHLNCVQLLLQHNVPVDDVTNDYLTALHVAAHC GHYKVAKVLLDKKASPNAKALNGFTPLHIACKKNRIRVMELLLKHGASIQAVTESGLTPI HVAAFMGHVNIVSQLMHHGASPNTTNVRGETALHMAARSGQAEVVRYLVQDGAQVEAKAK DDQTPLHISARLGKADIVQQLLQQGASPNAATTSGYTPLHLAAREGHEDVAAFLLDHGAS LSITTKKGFTPLHVAAKYGKLEVASLLLQKSASPDAAGKSGLTPLHVAAHYDNQKVALLL LDQGASPHAAAKNGYTPLHIAAKKNQMDIATSLLEYGADANAVTRQGIASVHLAAQEGHV DMVSLLLSRNANVNLSNKSGLTPLHLAAQEDRVNVAEVLVNQGAHVDAQTKMGYTPLHVG CHYGNIKIVNFLLQHSAKVNAKTKNGYTALHQAAQQGHTHIINVLLQNNASPNELTVNGN TALAIARRLGYISVVDTLKVVTEEIMTTTTITEKHKMNVPETMNEVLDMSDDEGEDAITG DTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASPKISSDRSYTLNRSSYARDSMMIEE LLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLVSFMVDARGGSMRG SRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLGP VIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNGMDEELDSPEELGT KRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFPEGALTKRIRVGL QAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSGEGVSNGYKGDAT PNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLADCHQVLETVGLAS QLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQENFEEVARSKDIEV LEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEPK TTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRPQSPCERTDIRMAIVADHLGLS WTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTDALTSVLTKINRI DIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGHPSFQVELETPMGLYCTPPNPFQQD DHFSDISSIESPFRTPSRLSDGLVPSQGNIEHPTGGPPVVTAEDTSLEDSKMDDSVTVTD PADPLDVDESQLKDLCQSECAQCWASVPGIPNDGRQAEPLRPQTRKVGMSSEQQEKGKSG PDEEVTEDKVKSLFEDIQLEEVEAEEMTEDQGQAMLNRVQRAELAMSSLAGWQNETPSGS LESPAQARRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYF PESQNDIGKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGM KKMTRTTADGKARLNLQEEEGSTRSEPKDLRNSESDSSSEEEQRITTRVIRRRVIIKGEE AKNIPGESVTEEQFTDEEGNLITRKITRKVIRRIGPQERKQDDVQGEGYKVKTKKEIRNV EKKTH >ENSMUSP00000138603.1 pep:known chromosome:GRCm38:10:69534065:69825081:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKSDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNA LHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQS QNGFTPLYMAAQENHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDT KGKVRLPALHIAARKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNR AAAVDFTARVSTCVAKCPTFKGSQMALIGSMTFRSLRNQACYLTWHTSCYYIGMPVS >ENSMUSP00000138356.1 pep:known chromosome:GRCm38:10:69534208:70023866:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLV SFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRL VEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNG MDEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASF PEGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPS GEGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLA DCHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQE NFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQ EPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRKRYSYLTEP SMSPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLK KWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDG WQNETPSGSLESPAQARRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVI PEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDA PKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000138686.1 pep:known chromosome:GRCm38:10:69706370:70015631:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MAHAASQLKKNRDLEINAEEETEKKRKHRKRSRDRKKKSDANASYLRAARAGHLEKALDY IKNGVDVNICNQNGLNALHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQA EVVKVLVTNGANVNAQSQNGFTPLYMAAQENHLEVVRFLLDNGASQSLATEDGFTPLAVA LQQGHDQVVSLLLENDTKGKVRLPALHIAARKDDTKAAALLLQNDTNADVESKMVVNRAT ESGFTPLHIAAHYGNINVATLLLNRAAAVDFTARNDITPLHVASKRGNANMVKLLLDRGA KIDAKTRDGLTPLHCGARSGHEQVVEMLLDRSAPILSKTKNGLSPLHMATQGDHLNCVQL LLQHNVPVDDVTNDYLTALHVAAHCGHYKVAKVLLDKKASPNAKALNGFTPLHIACKKNR IRVMELLLKHGASIQAVTESGLTPIHVAAFMGHVNIVSQLMHHGASPNTTNVRGETALHM AARSGQAEVVRYLVQDGAQVEAKAKDDQTPLHISARLGKADIVQQLLQQGASPNAATTSG YTPLHLAAREGHEDVAAFLLDHGASLSITTKKGFTPLHVAAKYGKLEVASLLLQKSASPD AAGKSGLTPLHVAAHYDNQKVALLLLDQGASPHAAAKNGYTPLHIAAKKNQMDIATSLLE YGADANAVTRQGIASVHLAAQEGHVDMVSLLLSRNANVNLSNKSGLTPLHLAAQEDRVNV AEVLVNQGAHVDAQTKMGYTPLHVGCHYGNIKIVNFLLQHSAKVNAKTKNGYTALHQAAQ QGHTHIINVLLQNNASPNELTVNGNTALAIARRLGYISVVDTLKVVTEEIMTTTTITEKH KMNVPETMNEVLDMSDDEVRKASAPEKLSDGEYISDGEEGEDAITGDTDKYLGPQDLKEL GDDSLPAEGYVGFSLGARSASLRSFSSDRSYTLNRSSYARDSMMIEELLVPSKEQHLTFT REFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLVSFMVDARGGSMRGSRHHGMRIIIPPR KCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLGPVIVEIPHFGSMRG KERELIVLRSENGETWKEHQFDSKNEDLAELLNGMDEELDSPEELGTKRICRIITKDFPQ YFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFPEGALTKRIRVGLQAQPVPEETVKKI LGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSGEGVSNGYKGDATPNLRLLCSITGGT SPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLADCHQVLETVGLASQLYRELICVPYMA KFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQENFEEVARSKDIEVLEGKPIYVDCYGN LAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEPKTTKGLPQTAVCNL NITLPAHKKAEKADRRQSFASLALRKRYSYLTEPSMKTVERSSGTARSLPTTYSHKPFFS TRPYQSWTTAPITVPGPAKSGSLSSSPSNTPSASPLKSIWSVSTPSPIKSTLGASTTSSV KSISDVASPIRSFRTVSSPIKTVVSPSPYNPQVASGTLGRVPTITEATPIKGLAPNSTFS SRTSPVTTAGSLLERSSITMTPPASPKSNITMYSSSLPFKSIITSATPLISSPLKSVVSP TKSAADVISTAKATMASSLSSPLKQMSGHAEVALVNGSVSPLKYPSSSALINGCKATATL QDKISTATNAVSSVVSAASDTVEKALSTTTAMPFSPLRSYVSAAPSAFQSLRTPSASALY TSLGSSIAATTSSVTSSIITVPVYSVVNVLPEPALKKLPDSNSFTKSAAALLSPIKTLTT ETRPQPHFNRTSSPVKSSLFLASSALKPSVPSSLSSSQEILKDVAEMKEDLMRMTAILQT DVPEEKPFQTDLPREGRIDDEEPFKIVEKVKEDLVKVSEILKKDVCVESKGPPKSPKSDK GHSPEDDWTEFSSEEIREARQAAASHAPSLPERVHGKANLTRVIDYLTNDIGSSSLTNLK YKFEEAKKDGEERQKRILKPAMALQEHKLKMPPASMRPSTSEKELCKMADSFFGADAILE SPDDFSQHDQDKSPLSDSGFETRSEKTPSAPQSAESTGPKPLFHEVPIPPVITETRTEVV HVIRSYEPSSGEIPQSQPEDPVSPKPSPTFMELEPKPTTSSIKEKVKAFQMKASSEEEDH SRVLSKGMRVKEETHITTTTRMVYHSPPGGECASERIEETMSVHDIMKAFQSGRDPSKEL AGLFEHKSAMSPDVAKSAAETSAQHAEKDSQMKPKLERIIEVHIEKGPQSPCERTDIRMA IVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTDALT SVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGWQNETPSGSLESPAQA RRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDI GKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTT ADGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000138601.1 pep:known chromosome:GRCm38:10:69785525:69858521:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MAVEEPEGFPEESDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNALHLASKEGH VEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQSQNGFTPLYM AAQENHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDTKGKVRLPAL HIAARKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNRAAAVDFTAR NDITPLHVASKRGNANMVKLLLDRGAKIDAKTRRLNSGTIRKRRKRVYVHI >ENSMUSP00000138474.1 pep:known chromosome:GRCm38:10:69787456:69850148:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000183240.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] METVLAKRSDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNALHLASKEGHVEVV SELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQSQNGFTPLYMAAQE NHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDTKGKVRLPALHIAA RKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNRAAAVDFTARNDIT PLHVASKRGN >ENSMUSP00000138481.1 pep:known chromosome:GRCm38:10:69898131:70023921:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] KTKNGYTALHQAAQQGHTHIINVLLQNNASPNELTVNGNTALAIARRLGYISVVDTLKVV TEEIMTTTTITEKHKMNVPETMNEVLDMSDDEGEDAITGDTDKYLGPQDLKELGDDSLPA EGYVGFSLGARSASLRSFSSDRSYTLNRSSYARDSMMIEELLVPSKEQHLTFTREFDSDS LRHYSWAADTLDNVNLVSSPVHSGFLVSFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTR ITCRLVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLGPVIVEIPHFGSMRGKERELIV LRSENGETWKEHQFDSKNEDLAELLNGMDEELDSPEELGTKRICRIITKDFPQYFAVVSR IKQESNQIGPEGGILSSTTVPLVQASFPEGALTKRIRVGLQAQPVPEETVKKILGNKATF SPIVTVEPRRRKFHKPITMTIPVPPPSGEGVSNGYKGDATPNLRLLCSITGGTSPAQWED ITGTTPLTFIKDCVSFTTNVSARFWLADCHQVLETVGLASQLYRELICVPYMAKFVVFAK TNDPVESSLRCFCMTDDRVDKTLEQQENFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKG GQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAH KKAEKADRRQSFASLALRKRYSYLTEPSMSPQSPCERTDIRMAIVADHLGLSWTELAREL NFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTDALTSVLTKINRIDIVTLLEG PIFDYGNISGTRSFADENNVFHDPVDGHPSFQVELETPMGLYCTPPNPFQQDDHFSDISS IESPFRTPSRLSDGLVPSQGNIEHPTGGPPVVTAEDTSLEDSKMDDSVTVTDPADPLDVD ESQLKDLCQSECAQCWASVPGIPNDGRQAEPLRPQTRKVGMSSEQQEKGKSGPDEEVTED KVKSLFEDIQLEEVEAEEMTEDQGQAMLNRVQRAELAMSSLAGWQNETPSGSLESPAQAR RLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDIG KQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTA DGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000138375.1 pep:known chromosome:GRCm38:10:69899069:69973887:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182683.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] EEIMTTTTITEKHKMNVPETMNEVLDMSDDEGEDAITGDTDKYLGPQDLKELGDDSLPAE GYVGFSLGARSASLRSFSSDRSYTLNRSSYARDSMMIEELLVPSKEQHLTFTREFDSDSL RHYSWAADTLDNVNLVSSPVHSGCSSPLPQYDSRFLVSFMVDARGGSMRGSRHHGMRIII PPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLGPVIVEIPHFGS MRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNGMDEELDSPEELGTKRICRIITKD FPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQAS >ENSMUSP00000138623.1 pep:known chromosome:GRCm38:10:69925495:70023957:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MALPHSEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASLRSFSSDRSYTLN RSSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLV SFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRL VEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNG MDEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASF PEGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPS GEGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLA DCHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQE NFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQ EPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRKRYSYLTEP SMSPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLK KWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDG HPSFQVELETPMGLYCTPPNPFQQDDHFSDISSIESPFRTPSRLSDGLVPSQGNIEHPTG GPPVVTAEDTSLEDSKMDDSVTVTDPADPLDVDESQLKDLCQSWQNETPSGSLESPAQAR RLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDIG KQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTA DGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000090089.4 pep:known chromosome:GRCm38:10:69925532:70024954:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000092433.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MALPHSEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASLRSFSSDRSYTLN RSSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLV SFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRL VEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNG MDEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASF PEGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPS GEGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLA DCHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQE NFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQ EPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRKRYSYLTEP SMSPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLK KWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDG HPSFQVELETPMGLYCTPPNPFQQDDHFSDISSIESPFRTPSRLSDGLVPSQGNIEHPTG GPPVVTAEDTSLEDSKMDDSVTVTDPADPLDVDESQLKDLCQSECAQCWASVPGIPNDGR QAEPLRPQTRKVGMSSEQQEKGKSGPDEEVTEDKVKSLFEDIQLEEVEAEEMTEDQGQAM LNRVQRAELAMSSLAGWQNETPSGSLESPAQARRLTGGLLDRLDDSSDQARDSITSYLTG EPGKIEANGNHTAEVIPEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRTEEPVSPLTA YQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSEPKQGEGYKV KTKKEIRNVEKKTH >ENSMUSP00000138123.1 pep:known chromosome:GRCm38:10:69925773:70024882:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MALPHSEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASPKISSDRSYTLNR SSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLVS FMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLV EMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNGM DEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFP EGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSG EGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLAD CHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQEN FEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQE PCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRPQSPCERTDI RMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTD ALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGWQNETPSGSLESP AQARRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQ NDIGKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMT RTTADGKARLNLQEEEGSTRSEPKVKSPGEAFTRMTACCYKDLRNSESDSSSEEEQRITT RVIRRRVIIKGEEAKNIPGESVTEEQFTDEEGNLITRKITRKVIRRIGPQERKQDDVQGE GYKVKTKKEIRNVEKKTH >ENSMUSP00000138095.1 pep:known chromosome:GRCm38:10:69925773:70024882:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000183261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MALPHSEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASPKISSDRSYTLNR SSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLVS FMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLV EMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNGM DEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFP EGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSG EGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLAD CHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQEN FEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQE PCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRPQSPCERTDI RMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTD ALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGHPSFQVELETPMG LYCTPPNPFQQDDHFSDISSIESPFRTPSRLSDGLVPSQGNIEHPTGGPPVVTAEDTSLE DSKMDDSVTVTDPADPLDVDESQLKDLCQSECAQCWASVPGIPNDGRQAEPLRPQTRKVG MSSEQQEKGKSGPDEEVTEDKVKSLFEDIQLEEVEAEEMTEDQGQAMLNRVQRAELAMSS LAGWQNETPSGSLESPAQARRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTA EVIPEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVI EDAPKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSEPKVKSPGEAFTRMTACCYKDLR NSESDSSSEEEQRITTRVIRRRVIIKGEEAKNIPGESVTEEQFTDEEGNLITRKITRKVI RRIGPQERKQDDVQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000138671.1 pep:known chromosome:GRCm38:10:69925773:70024882:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000183074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MALPHSEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASPKISSDRSYTLNR SSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLVS FMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLV EMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNGM DEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFP EGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSG EGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLAD CHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQEN FEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQE PCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRPQSPCERTDI RMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTD ALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGHPSFQVELETPMG LYCTPPNPFQQDDHFSDISSIESPFRTPSRLSDGLVPSQGNIEHPTGGPPVVTAEDTSLE DSKMDDSVTVTDPADPLDVDESQLKDLCQSECAQCWASVPGIPNDGRQAEPLRPQTRKVG MSSEQQEKGKSGPDEEVTEDKVKSLFEDIQLEEVEAEEMTEDQGQAMLNRVQRAELAMSS LAGWQNETPSGSLESPAQARRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTA EVIPEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVI EDAPKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSEPKDLRNSESDSSSEEEQRITTR VIRRRVIIKGEEAKNIPGESVTEEQFTDEEGNLITRKITRKVIRRIGPQERKQDDVQGEG YKVKTKKEIRNVEKKTH >ENSMUSP00000138337.1 pep:known chromosome:GRCm38:10:69925805:70015805:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182029.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MALPHSEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASLRSFSSDRSYTLN RSSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLV SFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRL VEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNG MDEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASF PEGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPS GEGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLA DCHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQE NFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQ EPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRKRYSYLTEP SMSPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLK KWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDG HPSFQVELETPMGLYCTPPNPFQQDDHFSDISSIESPFRTPSRLSDGLVPSQGNIEHPTG GPPVVTAEDTSLEDSKMDDSVTVTDPADPLDVDESQLKDLCQSECAQCWASVPGIPNDGR QAEPLRPQTRKVGMSSEQQEKGKSGPDEEVTEDKVKSLFEDIQLEEVEAEEMTEDQGQAM LNRVQRAELAMSSLAGWQNETPSGSLESPAQARRLTGGLLDRLDDSSDQARDSITSYLTG EPGKIEANGNHTAEVIPEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRTEEPVSPLTA YQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSEPKGEGYKVK TKKEIRNVEKKTH >ENSMUSP00000138285.1 pep:known chromosome:GRCm38:10:69925954:70024643:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000181974.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MALPHSEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASLRSFSSDRSYTLN RSSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLV SFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRL VEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNG MDEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASF PEGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPS GEGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLA DCHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQE NFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQ EPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRKRYSYLTEP SMSPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLK KWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDG WQNETPSGSLESPAQARRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVI PEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDA PKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000138413.1 pep:known chromosome:GRCm38:10:69926080:70024626:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MALPHSEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASSDRSYTLNRSSYA RDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLVSFMVD ARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLVEMGP AGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNGMDEEL DSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFPEGAL TKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSGEGVS NGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLADCHQV LETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQENFEEV ARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQEPCGR LSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRPQSPCERTDIRMAI VADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTDALTS VLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGWQNETPSGSLESPAQAR RLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDIG KQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTA DGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000138586.1 pep:known chromosome:GRCm38:10:69926133:70023995:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MALPHSEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASPKISSDRSYTLNR SSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLVS FMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLV EMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNGM DEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFP EGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSG EGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLAD CHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQEN FEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQE PCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRPQSPCERTDI RMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTD ALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGWQNETPSGSLESP AQARRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQ NDIGKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMT RTTADGKARLNLQEEEGSTRSEPKDLRNSESDSSSEEEQRITTRVIRRRVIIKGEEAKNI PGESVTEEQFTDEEGNLITRKITRKVIRRIGPQERKQDDVQGEGYKVKTKKEIRNVEKKT H >ENSMUSP00000139496.1 pep:known chromosome:GRCm38:10:69978471:69999498:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000186247.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] XFWLADCHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKT LEQQENFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKI RDTSQEPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKETESDQDDEAEKADRRQSFA SLALRKRYSYLTEPSMIERSSGTARSLPTTYSHKPFFSTRPYQSWTTAPITVPGPAKSGS LSSSPSNTPSASPLKSIWSVSTPSPIKSTLGASTTSSVKSISDVASPIRSFRTVSSPIKT VVSPSPYNPQVASGTLGRVPTITEATPIKGLAPNSTFSSRTSPVTTAGSLLERSSITMTP PASPKSNITMYSSSLPFKSIITSATPLISSPLKSVVSPTKSAADVISTAKATMASSLSSP LKQMSGHAEVALVNGSVSPLKYPSSSALINGCKATATLQDKISTATNAVSSVVSAASDTV EKALSTTTAMPFSPLRSYVSAAPSAFQSLRTPSASALYTSLGSSIAATTSSVTSSIITVP VYSVVNVLPEPALKKLPDSNSFTKSAAALLSPIKTLTTETRPQPHFNRTSSPVKSSLFLA SSALKPSVPSSLSSSQEILKDVAEMKEDLMRMTAILQTDVPEEKPFQTDLPREGRIDDEE PFKIVEKVKEDLVKVSEILKKDVCVESKGPPKSPKSDKGHSPEDDWTEFSSEEIREARQA AASHAPSLPERVHGKANLTRVIDYLTNDIGSSSLTNLKYKFEEAKKDGEERQKRILKPAM ALQEHKLKMPPASMRPSTSEKELCKMADSFFGADAILESPDDFSQHDQDKSPLSDSGFET RSEKTPSAPQSAESTGPKPLFHEVPIPPVITETRTEVVHVIRSYEPSSGEIPQSQPEDPV SPKPSPTFMELEPKPTTSSIKEKVKAFQMKASSEEEDHSRVLSKGMRVKEETHITTTTRM VYHSPPGGECASERIEETMSVHDIMKAFQSGRDPSKELAGLFEHKSAMSPDVAKSAAETS AQHAEKDSQMKPKLERIIEVHIEKGNQAEPTEVIIRETKKHPEKEVSVYQKDLSRGNINL RDFLPEKHDAFPCPEEQGQQEEEELAAEESLPSYLESSRVNTPGSQEEDSRPSSAQLLSD DSYKTLKLLSQHSVEYHDDELSDLRGESYRFAEKMLLSEKLDVSHSDTEESVTDHAGPPS SELQGSDKRSREKVATAPPKEILSKIYKDVSENGLGRVSKDEHFEKLTVLHYSGNVSGPK HAMWMHLSEDRLDRGREKLMYEDRVDRTVKEAEEKLTEVSQFFRDKTEKLNDELQSPEKK PRPKNGKDYSSQSSTSSSPEKVLTELLASNDEWVKARQRGPDGQSVPQAEDRKAPSRSNS PENRVPTQQSEDDQPPEEAKRTVVAQSRGQEGPQSGFQLKQSKLSSIRLKFEQGARAKSK DPPHEEKHLDGPSRIPVKKTQETKLPTHPGFAREKQQKAVDPLEERVPVQNDVTVFKADH AQSNEIVTSKSGSGNGKSHRTEMLSKAMPDFFPEQQVEDSACPITSDLETKGPWDRKVFR TWESSGANNTKAQKEQLSHVLVHDIRENHAGRPDDSENGDPKSGFMYVTEREHKMLTNGS LSEIKEMSVKSPSKKVLYREYIVKDGDPPSSALNHPPRRSESSLASHIPIRVTDERRMLS SNIPDGFCEQSTFPKQELSPRVSRPSMSEGVVESQHFNSVDDEKVTYSEISKVSKHQSYL ALDETETSPTKSPDSLEFSPGKDSPSSDVFDHGSVDGLEKTEGGKEIKTLPVYVSFVQVG KQYEKELQPGGVKKIISQECKTVQEARGTFYTARQQKQPPSPQGSPEDDTLEQVSFLDSS GKSPLTPETPSSEEVSYEFTSKTPDSLIAFIPGQPSPIPEVSEESEEEEPKSAPLRQVTV EKETDRDVSKDSIQRPKCNRVAYIEFPPPPPLDADQMESDKKHQYLPEREVDMMEVSLQD ESDKYQLAEPVIRVQPPSPVPPGAEASDSSDDESLYQPVPVKKYTFKLKEVDEGQKDTAK SKTAATKASNQKEADGNGREGESGLDSPQNETAQNGNNDQSVTECSIATTAEFSHDTDAT EIDSLDGYDLQDEDDGLTESDSKLPSQTIDTKKDVWTEGILKPADRSFSQSKLEVIEEEE GKVGLDEEKPSPSKSPSSDRTPEKADPKSGAQFFTLEGRHPDRSVLPDTYFSYKVDEEFA TPFKTVATKGLDFDPWPNNRGDNEVFDGKSREDDTKPFGLAVDDRSPATTPDTTPARTPT DESTPTSEPNPFPFHEGKMFEMTRSGAIDMSKRDFVEERLQFFQIGEHTPEGKSGAQGEG DMVTDTPQPQSGDTSVDTNLERDVVAPSVDPNPSIPSNGECQEGTACSGSLEKSAAATNT SKVDPKSRTPIKMGISASTMTMKKEGSGEVTDKTEAVVTSCQGLENEIVKEISSAPSSQV GIRPQEKHDFQKDNFNNNNNLDASTMQTDNSTSHIVLTGRAASTCTTEEANPVKGSGKSP GTQGHSSRESRKEPIGLRRKSKLPIKATAPKDVFPPNHKADSKTGKPRQVGQYEKHKALP TSSCLDAKSRIPVKNTHRENLVSVRKACATQKRGQPERGKAKQPPSKLPVKVRSTRVTVT TTNTSTTTTTTTTTTTTTTVKVTESQLKEVCKHPIEYFKGISGETLKLVDRLTEEDKKMQ SELSDEEESTSRNTSLSETSRGGQPSVTTKSARNKKTEAPPLKSKRGKAGSRRTGPQSPC ERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGK NATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVD >ENSMUSP00000138092.1 pep:known chromosome:GRCm38:10:69979362:69986110:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000183082.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] XAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEPKTTKGLPQTAVCNL NITLPAHKKETESDQDDEAEKADRRQSFASLALRKRYSYLTEPSMKTVERSSGTA >ENSMUSP00000138531.1 pep:known chromosome:GRCm38:10:69980323:70024882:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] PKTTKGLPQTAVCNLNITLPAHKKETESDQDDEAEKADRRQSFASLALRKRYSYLTEPSM SPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKW VTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGHP SFQVELETPMGLYCTPPNPFQQDDHFSDISSIESPFRTPSRLSDGLVPSQGNIEHPTGGP PVVTAEDTSLEDSKMDDSVTVTDPADPLDVDESQLKDLCQSWQNETPSGSLESPAQARRL TGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDIGKQ SIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTADG KARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000138450.1 pep:known chromosome:GRCm38:10:69987849:70024628:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000183023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] EHKLKMPPASMRPSTSEKELCKMADSFFGADAILESPDDFSQHDQDKSPLSDSGFETRSE KTPSAPQSAESTGPKPLFHEVPIPPVITETRTEVVHVIRSYEPSSGEIPQSQPEDPVSPK PSPTFMELEPKPTTSSIKEKVKAFQMKASSEEEDHSRVLSKGMRVKEETHITTTTRMVYH SPPGGECASERIEETMSVHDIMKAFQSGRDPSKELAGLFEHKSAMSPDVAKSAAETSAQH AEKDSQMKPKLERIIEVHIEKGPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEIN QIRVENPNSLISQSFMLLKKWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNI SGTRSFADENNVFHDPVDGWQNETPSGSLESPAQARRLTGGLLDRLDDSSDQARDSITSY LTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRTEEPVSP LTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSEPKGEGY KVKTKKEIRNVEKKTH >ENSMUSP00000140773.1 pep:known chromosome:GRCm38:10:69989935:70024447:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000182665.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] XVDPLEERVPVQNDVTVFKADHAQSNEIVTSKSGSGNGKSHRTEMLSKAMPDFFPEQQVE DSACPITSDLETKGPWDRKVFRTWESSGANNTKAQKEQLSHVLVHDIRENHAGRPDDSEN GDPKSGFMYVTEREHKMLTNGSLSEIKEMSVKSPSKKVLYREYIVKDGDPPSSALNHPPR RSESSLASHIPIRVTDERRMLSSNIPDGFCEQSTFPKQELSPRVSRPSMSEGVVESQHFN SVDDEKVTYSEISKVSKHQSYLALDETETSPTKSPDSLEFSPGKDSPSSDVFDHGSVDGL EKTEGGKEIKTLPVYVSFVQVGKQYEKELQPGGVKKIISQECKTVQEARGTFYTARQQKQ PPSPQGSPEDDTLEQVSFLDSSGKSPLTPETPSSEEVSYEFTSKTPDSLIAFIPGQPSPI PEVSEESEEEEPKSAPLRQVTVEKETDRDVSKDSIQRPKCNRVAYIEFPPPPPLDADQME SDKKHQYLPEREVDMMEVSLQDESDKYQLAEPVIRVQPPSPVPPGAEASDSSDDESLYQP VPVKKYTFKLKEVDEGQKDTAKSKTAATKASNQKEADGNGREGESGLDSPQNETAQNGNN DQSVTECSIATTAEFSHDTDATEIDSLDGYDLQDEDDGLTESDSKLPSQTIDTKKDVWTE GILKPADRSFSQSKLEVIEEEEGKVGLDEEKPSPSKSPSSDRTPEKADPKSGAQFFTLEG RHPDRSVLPDTYFSYKVDEEFATPFKTVATKGLDFDPWPNNRGDNEVFDGKSREDDTKPF GLAVDDRSPATTPDTTPARTPTDESTPTSEPNPFPFHEGKMFEMTRSGAIDMSKRDFVEE RLQFFQIVARP >ENSMUSP00000140724.1 pep:known chromosome:GRCm38:10:69992091:69998168:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000185582.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] GRHPDRSVLPDTYFSYKVDEEFATPFKTVATKGLDFDPWPNNRGDNEVFDGKSREDDTKP FGLAVDDRSPATTPDTTPARTPTDESTPTSEPNPFPFHEGKMFEMTRSGAIDMSKRDFVE ERLQFFQIGPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLI >ENSMUSP00000090090.5 pep:known chromosome:GRCm38:10:69534222:70015633:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000092434.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKSDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNA LHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQS QNGFTPLYMAAQENHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDT KGKVRLPALHIAARKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNR AAAVDFTARNDITPLHVASKRGNANMVKLLLDRGAKIDAKTRDGLTPLHCGARSGHEQVV EMLLDRSAPILSKTKNGLSPLHMATQGDHLNCVQLLLQHNVPVDDVTNDYLTALHVAAHC GHYKVAKVLLDKKASPNAKALNGFTPLHIACKKNRIRVMELLLKHGASIQAVTESGLTPI HVAAFMGHVNIVSQLMHHGASPNTTNVRGETALHMAARSGQAEVVRYLVQDGAQVEAKAK DDQTPLHISARLGKADIVQQLLQQGASPNAATTSGYTPLHLAAREGHEDVAAFLLDHGAS LSITTKKGFTPLHVAAKYGKLEVASLLLQKSASPDAAGKSGLTPLHVAAHYDNQKVALLL LDQGASPHAAAKNGYTPLHIAAKKNQMDIATSLLEYGADANAVTRQGIASVHLAAQEGHV DMVSLLLSRNANVNLSNKSGLTPLHLAAQEDRVNVAEVLVNQGAHVDAQTKMGYTPLHVG CHYGNIKIVNFLLQHSAKVNAKTKNGYTALHQAAQQGHTHIINVLLQNNASPNELTVNGN TALAIARRLGYISVVDTLKVVTEEIMTTTTITEKHKMNVPETMNEVLDMSDDEVRKASAP EKLSDGEYISDGEEGDKCTWFKIPKVQEVLVKSEDAITGDTDKYLGPQDLKELGDDSLPA EGYVGFSLGARSASLRSFSSDRSYTLNRSSYARDSMMIEELLVPSKEQHLTFTREFDSDS LRHYSWAADTLDNVNLVSSPVHSGFLVSFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTR ITCRLVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLGPVIVEIPHFGSMRGKERELIV LRSENGETWKEHQFDSKNEDLAELLNGMDEELDSPEELGTKRICRIITKDFPQYFAVVSR IKQESNQIGPEGGILSSTTVPLVQASFPEGALTKRIRVGLQAQPVPEETVKKILGNKATF SPIVTVEPRRRKFHKPITMTIPVPPPSGEGVSNGYKGDATPNLRLLCSITGGTSPAQWED ITGTTPLTFIKDCVSFTTNVSARFWLADCHQVLETVGLASQLYRELICVPYMAKFVVFAK TNDPVESSLRCFCMTDDRVDKTLEQQENFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKG GQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAH KKAEKADRRQSFASLALRKRYSYLTEPSMSPQSPCERTDIRMAIVADHLGLSWTELAREL NFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATTDALTSVLTKINRIDIVTLLEG PIFDYGNISGTRSFADENNVFHDPVDGHPSFQVELETPMGLYCTPPNPFQQDDHFSDISS IESPFRTPSRLSDGLVPSQGNIEHPTGGPPVVTAEDTSLEDSKMDDSVTVTDPADPLDVD ESQLKDLCQSECAQCWASVPGIPNDGRQAEPLRPQTRKVGMSSEQQEKGKSGPDEEVTED KVKSLFEDIQLEEVEAEEMTEDQGQAMLNRVQRAELAMSSLAGWQNETPSGSLESPAQAR RLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDIG KQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTA DGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000045834.6 pep:known chromosome:GRCm38:10:69534222:70015633:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000047061.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLV SFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRL VEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNG MDEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASF PEGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPS GEGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLA DCHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQE NFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQ EPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRKRYSYLTEP SMSPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLK KWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDG WQNETPSGSLESPAQARRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHTAEVI PEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLVIEDA PKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000090088.5 pep:known chromosome:GRCm38:10:69534222:70015633:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000092432.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKSDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNA LHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQS QNGFTPLYMAAQENHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDT KGKVRLPALHIAARKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNR AAAVDFTARNDITPLHVASKRGNANMVKLLLDRGAKIDAKTRDGLTPLHCGARSGHEQVV EMLLDRSAPILSKTKNGLSPLHMATQGDHLNCVQLLLQHNVPVDDVTNDYLTALHVAAHC GHYKVAKVLLDKKASPNAKALNGFTPLHIACKKNRIRVMELLLKHGASIQAVTESGLTPI HVAAFMGHVNIVSQLMHHGASPNTTNVRGETALHMAARSGQAEVVRYLVQDGAQVEAKAK DDQTPLHISARLGKADIVQQLLQQGASPNAATTSGYTPLHLAAREGHEDVAAFLLDHGAS LSITTKKGFTPLHVAAKYGKLEVASLLLQKSASPDAAGKSGLTPLHVAAHYDNQKVALLL LDQGASPHAAAKNGYTPLHIAAKKNQMDIATSLLEYGADANAVTRQGIASVHLAAQEGHV DMVSLLLSRNANVNLSNKSGLTPLHLAAQEDRVNVAEVLVNQGAHVDAQTKMGYTPLHVG CHYGNIKIVNFLLQHSAKVNAKTKNGYTALHQAAQQGHTHIINVLLQNNASPNELTVNGN TALAIARRLGYISVVDTLKVVTEEIMTTTTITEKHKMNVPETMNEVLDMSDDEVRKASAP EKLSDGEYISDGEEGEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASLRSF SSDRSYTLNRSSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVS SPVHSGFLVSFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMV EGEGLASRLVEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKN EDLAELLNGMDEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSST TVPLVQASFPEGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPIT MTIPVPPPSGEGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTT NVSARFWLADCHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDR VDKTLEQQENFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPF SIKIRDTSQEPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALR KRYSYLTEPSMSPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSL ISQSFMLLKKWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADEN NVFHDPVDGHPSFQVELETPMGLYCTPPNPFQQDDHFSDISSIESPFRTPSRLSDGLVPS QGNIEHPTGGPPVVTAEDTSLEDSKMDDSVTVTDPADPLDVDESQLKDLCQSECAQCWAS VPGIPNDGRQAEPLRPQTRKVGMSSEQQEKGKSGPDEEVTEDKVKSLFEDIQLEEVEAEE MTEDQGQAMLNRVQRAELAMSSLAGWQNETPSGSLESPAQARRLTGGLLDRLDDSSDQAR DSITSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRT EEPVSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSE PKQGEGYKVKTKKEIRNVEKKTH >ENSMUSP00000090087.5 pep:known chromosome:GRCm38:10:69534222:70015633:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000092431.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKSDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNA LHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQS QNGFTPLYMAAQENHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDT KGKVRLPALHIAARKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNR AAAVDFTARNDITPLHVASKRGNANMVKLLLDRGAKIDAKTRDGLTPLHCGARSGHEQVV EMLLDRSAPILSKTKNGLSPLHMATQGDHLNCVQLLLQHNVPVDDVTNDYLTALHVAAHC GHYKVAKVLLDKKASPNAKALNGFTPLHIACKKNRIRVMELLLKHGASIQAVTESGLTPI HVAAFMGHVNIVSQLMHHGASPNTTNVRGETALHMAARSGQAEVVRYLVQDGAQVEAKAK DDQTPLHISARLGKADIVQQLLQQGASPNAATTSGYTPLHLAAREGHEDVAAFLLDHGAS LSITTKKGFTPLHVAAKYGKLEVASLLLQKSASPDAAGKSGLTPLHVAAHYDNQKVALLL LDQGASPHAAAKNGYTPLHIAAKKNQMDIATSLLEYGADANAVTRQGIASVHLAAQEGHV DMVSLLLSRNANVNLSNKSGLTPLHLAAQEDRVNVAEVLVNQGAHVDAQTKMGYTPLHVG CHYGNIKIVNFLLQHSAKVNAKTKNGYTALHQAAQQGHTHIINVLLQNNASPNELTVNGN TALAIARRLGYISVVDTLKVVTEEIMTTTTITEKHKMNVPETMNEVLDMSDDEGDKCTWF KIPKVQEVLVKSEDAITGDTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASLRSFSSD RSYTLNRSSYARDSMMIEELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPV HSGFLVSFMVDARGGSMRGSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGE GLASRLVEMGPAGAQFLGPVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDL AELLNGMDEELDSPEELGTKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVP LVQASFPEGALTKRIRVGLQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTI PVPPPSGEGVSNGYKGDATPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVS ARFWLADCHQVLETVGLASQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDK TLEQQENFEEVARSKDIEVLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIK IRDTSQEPCGRLSFLKEPKTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRKRY SYLTEPSMSPQSPCERTDIRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQ SFMLLKKWVTRDGKNATTDALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVF HDPVDGHPSFQVELETPMGLYCTPPNPFQQDDHFSDISSIESPFRTPSRLSDGLVPSQGN IEHPTGGPPVVTAEDTSLEDSKMDDSVTVTDPADPLDVDESQLKDLCQSECAQCWASVPG IPNDGRQAEPLRPQTRKVGMSSEQQEKGKSGPDEEVTEDKVKSLFEDIQLEEVEAEEMTE DQGQAMLNRVQRAELAMSSLAGWQNETPSGSLESPAQARRLTGGLLDRLDDSSDQARDSI TSYLTGEPGKIEANGNHTAEVIPEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRTEEP VSPLTAYQKSLEETSKLVIEDAPKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSEPKQ GEGYKVKTKKEIRNVEKKTH >ENSMUSP00000061698.8 pep:known chromosome:GRCm38:10:69534222:70015633:1 gene:ENSMUSG00000069601.13 transcript:ENSMUST00000054167.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank3 description:ankyrin 3, epithelial [Source:MGI Symbol;Acc:MGI:88026] MSEEPKEKPAKPAHRKRKGKKSDANASYLRAARAGHLEKALDYIKNGVDVNICNQNGLNA LHLASKEGHVEVVSELLQREANVDAATKKGNTALHIASLAGQAEVVKVLVTNGANVNAQS QNGFTPLYMAAQENHLEVVRFLLDNGASQSLATEDGFTPLAVALQQGHDQVVSLLLENDT KGKVRLPALHIAARKDDTKAAALLLQNDTNADVESKSGFTPLHIAAHYGNINVATLLLNR AAAVDFTARNDITPLHVASKRGNANMVKLLLDRGAKIDAKTRDGLTPLHCGARSGHEQVV EMLLDRSAPILSKTKNGLSPLHMATQGDHLNCVQLLLQHNVPVDDVTNDYLTALHVAAHC GHYKVAKVLLDKKASPNAKALNGFTPLHIACKKNRIRVMELLLKHGASIQAVTESGLTPI HVAAFMGHVNIVSQLMHHGASPNTTNVRGETALHMAARSGQAEVVRYLVQDGAQVEAKAK DDQTPLHISARLGKADIVQQLLQQGASPNAATTSGYTPLHLAAREGHEDVAAFLLDHGAS LSITTKKGFTPLHVAAKYGKLEVASLLLQKSASPDAAGKSGLTPLHVAAHYDNQKVALLL LDQGASPHAAAKNGYTPLHIAAKKNQMDIATSLLEYGADANAVTRQGIASVHLAAQEGHV DMVSLLLSRNANVNLSNKSGLTPLHLAAQEDRVNVAEVLVNQGAHVDAQTKMGYTPLHVG CHYGNIKIVNFLLQHSAKVNAKTKNGYTALHQAAQQGHTHIINVLLQNNASPNELTVNGN TALAIARRLGYISVVDTLKVVTEEIMTTTTITEKHKMNVPETMNEVLDMSDDEGEDAITG DTDKYLGPQDLKELGDDSLPAEGYVGFSLGARSASLRSFSSDRSYTLNRSSYARDSMMIE ELLVPSKEQHLTFTREFDSDSLRHYSWAADTLDNVNLVSSPVHSGFLVSFMVDARGGSMR GSRHHGMRIIIPPRKCTAPTRITCRLVKRHKLANPPPMVEGEGLASRLVEMGPAGAQFLG PVIVEIPHFGSMRGKERELIVLRSENGETWKEHQFDSKNEDLAELLNGMDEELDSPEELG TKRICRIITKDFPQYFAVVSRIKQESNQIGPEGGILSSTTVPLVQASFPEGALTKRIRVG LQAQPVPEETVKKILGNKATFSPIVTVEPRRRKFHKPITMTIPVPPPSGEGVSNGYKGDA TPNLRLLCSITGGTSPAQWEDITGTTPLTFIKDCVSFTTNVSARFWLADCHQVLETVGLA SQLYRELICVPYMAKFVVFAKTNDPVESSLRCFCMTDDRVDKTLEQQENFEEVARSKDIE VLEGKPIYVDCYGNLAPLTKGGQQLVFNFYSFKENRLPFSIKIRDTSQEPCGRLSFLKEP KTTKGLPQTAVCNLNITLPAHKKAEKADRRQSFASLALRKRYSYLTEPSMSPQSPCERTD IRMAIVADHLGLSWTELARELNFSVDEINQIRVENPNSLISQSFMLLKKWVTRDGKNATT DALTSVLTKINRIDIVTLLEGPIFDYGNISGTRSFADENNVFHDPVDGHPSFQVELETPM GLYCTPPNPFQQDDHFSDISSIESPFRTPSRLSDGLVPSQGNIEHPTGGPPVVTAEDTSL EDSKMDDSVTVTDPADPLDVDESQLKDLCQSECAQCWASVPGIPNDGRQAEPLRPQTRKV GMSSEQQEKGKSGPDEEVTEDKVKSLFEDIQLEEVEAEEMTEDQGQAMLNRVQRAELAMS SLAGWQNETPSGSLESPAQARRLTGGLLDRLDDSSDQARDSITSYLTGEPGKIEANGNHT AEVIPEAKAKPYFPESQNDIGKQSIKENLKPKTHGCGRTEEPVSPLTAYQKSLEETSKLV IEDAPKPCVPVGMKKMTRTTADGKARLNLQEEEGSTRSEPKQGEGYKVKTKKEIRNVEKK TH >ENSMUSP00000064739.4 pep:known chromosome:GRCm38:11:41910203:42000857:-1 gene:ENSMUSG00000020436.17 transcript:ENSMUST00000070725.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrg2 description:gamma-aminobutyric acid (GABA) A receptor, subunit gamma 2 [Source:MGI Symbol;Acc:MGI:95623] MSSPNTWSIGSSVYSPVFSQKMTLWILLLLSLYPGFTSQKSDDDYEDYASNKTWVLTPKV PEGDVTVILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFAQTW YDRRLKFNSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLYT LRLTIDAECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVGDTRSWRLYQFS FVGLRNTTEVVKTTSGDYVVMSVYFDLSRRMGYFTIQTYIPCTLIVVLSWVSFWINKDAV PARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFSALVEYGTLHYFVS NRKPSKDKDKKKKNPAPTIDIRPRSATIQMNNATHLQERDEEYGYECLDGKDCASFFCCF EDCRTGAWRHGRIHIRIAKMDSYARIFFPTAFCLFNLVYWVSYLYL >ENSMUSP00000063812.2 pep:known chromosome:GRCm38:11:41911709:42000532:-1 gene:ENSMUSG00000020436.17 transcript:ENSMUST00000070735.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrg2 description:gamma-aminobutyric acid (GABA) A receptor, subunit gamma 2 [Source:MGI Symbol;Acc:MGI:95623] MSSPNTWSIGSSVYSPVFSQKMTLWILLLLSLYPGFTSQKSDDDYEDYASNKTWVLTPKV PEGDVTVILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFAQTW YDRRLKFNSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLYT LRLTIDAECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVGDTRSWRLYQFS FVGLRNTTEVVKTTSGDYVVMSVYFDLSRRMGYFTIQTYIPCTLIVVLSWVSFWINKDAV PARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFSALVEYGTLHYFVS NRKPSKDKDKKKKNPLLRMFSFKAPTIDIRPRSATIQMNNATHLQERDEEYGYECLDGKD CASFFCCFEDCRTGAWRHGRIHIRIAKMDSYARIFFPTAFCLFNLVYWVSYLYL >ENSMUSP00000104913.1 pep:known chromosome:GRCm38:11:41914567:42000356:-1 gene:ENSMUSG00000020436.17 transcript:ENSMUST00000109290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrg2 description:gamma-aminobutyric acid (GABA) A receptor, subunit gamma 2 [Source:MGI Symbol;Acc:MGI:95623] MSSPNTWSIGSSVYSPVFSQKMTLWILLLLSLYPGFTSQKSDDDYEDYASNKTWVLTPKV PEGDVTVILNNLLEGYDNKLRPDIGVKPTLIHTDMYVNSIGPVNAINMEYTIDIFFAQTW YDRRLKFNSTIKVLRLNSNMVGKIWIPDTFFRNSKKADAHWITTPNRMLRIWNDGRVLYT LRLTIDAECQLQLHNFPMDEHSCPLEFSSYGYPREEIVYQWKRSSVEVGDTRSWRLYQFS FVGLRNTTEVVKTTSGDYVVMSVYFDLSRRMGYFTIQTYIPCTLIVVLSWVSFWINKDAV PARTSLGITTVLTMTTLSTIARKSLPKVSYVTAMDLFVSVCFIFVFSALVEYGTLHYFVS NRKPSKDKDKKKKNPVCIIFYWDN >ENSMUSP00000101377.1 pep:known chromosome:GRCm38:4:144330249:144333465:1 gene:ENSMUSG00000078508.1 transcript:ENSMUST00000105751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13128 description:predicted gene 13128 [Source:MGI Symbol;Acc:MGI:3651261] MDLEAPPTLLQLAAQCTVRKEVLTISVLQNLPMKLFPPLFKEADILRKAKMIKLLVEYWP YPSLLVGLLIDKPNLETFQAILEGVGTWLKRKYRPRMGKLQVVDLRNGHHDFWDMLAGRE GGDQLVETIPEKQEVEGHSRRQRLRVFSDLSFKSSRHEDKQQTHLLQWAKDREGFLHLCC EKLEIGALEVSKVKKVLKLLQPEFIKELELNTVGNLSKLTKLVPCISKMRNLQKLMLVRI FGRHTYTPLEERNVTKILSLFPKLSYLQHLTIDDVYFLRDHMNELFRCLEAPLLSLTITL CQISQSDLESFSQHWNYGQLKHLCLKGVSLSTLNVTPLKFFLESVADTLQTLELEDCRMK DSHLRILLPALTKCTRLTSINFYDNNISRDVLQDLLHRTANMSQLTMELYPAPVEVYNEW SYVQVERFSQLCAELMNTLITVRRPKSVCFGTYSCYDCDTRCIYGNQTTFCECLE >ENSMUSP00000044937.5 pep:known chromosome:GRCm38:7:96951505:97064758:1 gene:ENSMUSG00000018995.12 transcript:ENSMUST00000044466.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nars2 description:asparaginyl-tRNA synthetase 2 (mitochondrial)(putative) [Source:MGI Symbol;Acc:MGI:2142075] MLGARRLLGALRLCSSVSCPRPRASAKMRVRDALRVQDARGECVTVQGWIRSVRSQKEVL FLHVNDGSSLESLQIVADSSFDSRELTFGSSVQVQGQLVKSQSKRQNVELKAEKIEVIGD CEAKAFPIKYKERHPLEYLRQYPHLRCRTNALGSILRVRSEATAAIHSYFKDNGFVHIHT PVLTSNDCEGAGELFQVEPSSKIKGPKESFFDVPAFLTVSGQLHLEVMSGAFTQVFTFGP TFRAENSQSRRHLAEFYMVEAEISFVESLQDLMQVMEELFKATTEMVLSHCPEDVELCHQ FIAAGQKGRLEHMLKNNFLIISYTEAIEILKQASQNFAFTPKWGVDLQTEHEKYLVRHCG NIPVFVINYPSELKPFYMRENEDGPQNTVAAVDLLVPGVGELFGGSLREERYHVLEQRLA RSGLTKAYQWYLDLRKFGSVPHGGFGMGFERYLQCILGVDNIKDVIPFPRFTHSCLL >ENSMUSP00000102777.1 pep:known chromosome:GRCm38:7:96951562:97040641:1 gene:ENSMUSG00000018995.12 transcript:ENSMUST00000107159.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nars2 description:asparaginyl-tRNA synthetase 2 (mitochondrial)(putative) [Source:MGI Symbol;Acc:MGI:2142075] MLGARRLLGALRLCSSVSCPRPRASAKMRVRDALRVQDARGECVTVQGWIRSVRSQKEVL FLHVNDGSSLESLQIVADSSFDSRELTFGSSVQVQGQLVKSQSKRQNVELKAEKIEVIGD CEAKAFPIKYKERHPLEYLRQYPHLRCRTNALGSILRVRSEATAAIHSYFKDNGFVHIHT PVLTSNDCEGAGELFQVEPSSKIKGPKESFFDVPAFLTVSGQLHLEVMSGAFTQVFTFGP TFRAENSQSRRHLAEFYMVEAEISFVESLQDLMQVMEELFKATTEMVLSHCPEDVELCHQ FIAAGQKGRLEHMLKNNFLIISYTEAIEILKQASQNFAFTPKWGVDLQTEHEKYLVRHCG NIPVFVINYPSELKPFYMRENEDGPQNTEVA >ENSMUSP00000146034.1 pep:known chromosome:GRCm38:7:97023669:97062942:1 gene:ENSMUSG00000018995.12 transcript:ENSMUST00000122835.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nars2 description:asparaginyl-tRNA synthetase 2 (mitochondrial)(putative) [Source:MGI Symbol;Acc:MGI:2142075] XQSRRHLAEFYMVEAEISFVESLQDLMQVMEELFKATTEMVLSHCPEDVELCHQFIAAGQ KTRTYAKKQLPNHFLYGGN >ENSMUSP00000146169.1 pep:known chromosome:GRCm38:7:97040004:97062972:1 gene:ENSMUSG00000018995.12 transcript:ENSMUST00000150681.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nars2 description:asparaginyl-tRNA synthetase 2 (mitochondrial)(putative) [Source:MGI Symbol;Acc:MGI:2142075] MRENEDGPQNTVAAVDLLVPGVGELFGGSLREERYHVLEQRLARSGLTKAYQW >ENSMUSP00000113071.1 pep:known chromosome:GRCm38:X:96096042:96168552:1 gene:ENSMUSG00000031207.16 transcript:ENSMUST00000117399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msn description:moesin [Source:MGI Symbol;Acc:MGI:97167] MPKTISVRVTTMDAELEFAIQPNTTGKQLFDQVVKTIGLREVWFFGLQYQDTKAFSTWLK LNKKVTAQDVRKESPLLFKFRAKFYPEDVSEELIQDITQRLFFLQVKEGILNDDIYCPPE TAVLLASYAVQSKYGDFNKEVHKSGYLAGDKLLPQRVLEQHKLNKDQWEERIQVWHEEHR GMLREDAVLEYLKIAQDLEMYGVNYFSIKNKKGSELWLGVDALGLNIYEQNDRLTPKIGF PWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILALCMGNHELYMRRRKPDTI EVQQMKAQAREEKHQKQMERALLENEKKKRELAEKEKEKIEREKEELMEKLKQIEEQTKK AQQELEEQTRRALELEQERKRAQSEAEKLAKERQEAEEAKEALLQASRDQKKTQEQLASE MAELTARISQLEMARKKKESEAVEWQQKAQMVQEDLEKTRAELKTAMSTPHVAEPAENEH DEQDENGAEASAELRADAMAKDRSEEERTTEAEKNERVQKHLKALTSELANARDESKKTA NDMIHAENMRLGRDKYKTLRQIRQGNTKQRIDEFESM >ENSMUSP00000027505.6 pep:known chromosome:GRCm38:1:93803965:93822095:1 gene:ENSMUSG00000026283.13 transcript:ENSMUST00000027505.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing5 description:inhibitor of growth family, member 5 [Source:MGI Symbol;Acc:MGI:1922816] MATAMYLEHYLDSIENLPCELQRNFQLMRELDQRTEDKKAEIDILAAEYISTVKTLSSAQ RVEHLQKIQSAYSKCKEYSDDKVQLAMQTYEMVDKHIRRLDADLARFEADLKDRMDGSDF ESTGARSLKKGRSQKEKRSSRGRGRRTSEEDTPKKKKHKSGSEFTDSILSVHPSDVLDMP VDPNEPTYCLCHQVSYGEMIGCDNPDCPIEWFHFACVDLTTKPKGKWFCPRCVQEKRKKK >ENSMUSP00000140739.1 pep:known chromosome:GRCm38:1:93803983:93822101:1 gene:ENSMUSG00000026283.13 transcript:ENSMUST00000188402.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ing5 description:inhibitor of growth family, member 5 [Source:MGI Symbol;Acc:MGI:1922816] MATAMYLEHYLDSIENLPCELQRNFQLMRELDQRTEVTCPH >ENSMUSP00000140498.1 pep:known chromosome:GRCm38:1:93804345:93818382:1 gene:ENSMUSG00000026283.13 transcript:ENSMUST00000190476.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing5 description:inhibitor of growth family, member 5 [Source:MGI Symbol;Acc:MGI:1922816] MRELDQRTEDKKAEIDILAAEYISTVKTLSSAQRVEHLQKIQSAYSKCKEYSDDKVQLAM QTYEMVDKHIRRLDADLARFEADLKDRMDGSDFESTGARSLKKGRSQKEKRSSRGRGRRT SEEDTPKKKKHKSGSEFTDSILSVHPSDVLDMPVDPNEPTYCLCHQVSYGEMIGCDNPDC PIEWFHFACVDLTTKPKGKWFCPRCVQEKRKKK >ENSMUSP00000096495.4 pep:known chromosome:GRCm38:8:21191614:21192549:1 gene:ENSMUSG00000074442.4 transcript:ENSMUST00000098896.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa-rs7 description:defensin, alpha, related sequence 7 [Source:MGI Symbol;Acc:MGI:102509] MKTLVLLSALILLAFQVQADPIQNTDEETKTEEQPGKEDQAVSVSFGDPEGSSLQEESLR DLVCYCRTRGCKRRERMNGTCRKGHLIYTLCCR >ENSMUSP00000078740.6 pep:known chromosome:GRCm38:5:87459490:87482258:-1 gene:ENSMUSG00000029268.14 transcript:ENSMUST00000079811.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2a2 description:UDP glucuronosyltransferase 2 family, polypeptide A2 [Source:MGI Symbol;Acc:MGI:3576095] MIKKVLQLLIFHLTLAEIVLSGNVVVWPTDGSHWLNIKILLEELVQRNHSVTVLAPSETL FINSRLDAFINFEEIPVSYTKSKIDEIIEHMIALWLDHRPTPLTMWTFYKELGNLLATFY TTNKQMCDGVLNNPTVMERLQKGGFDVLLADPVTMCGELVALKLGIPFVYTLRFSPAFTV ERHCGKIPAPISYVPAALSELTDQMSFGERVKNIISYSLQDYIFKTYWGEWNSYYSKVLG RPTTLCETMGKAEIWLMRTYWDFEFPRPYLPNFEFVGGLHCKPAKPLPKEMEEFVQTSGE HGIVVFSLGSMVKNLTDEKANLIASALAQIPQKVLWRYKGKIPDTLGSNTRLFDWIPQND LLGHPKTRAFITHGGTNGIYEAIYHGIPMVGVPMFADQPDNIAHMKAKGAAVEVNMNTMT SSDLLNALRTVINEPSYKENAMRLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAH DLSWFQYHSLDVIGFLLACVASAILLVAKCCLFIFQKVGKTGKKKKRD >ENSMUSP00000114842.1 pep:known chromosome:GRCm38:5:87460359:87482260:-1 gene:ENSMUSG00000029268.14 transcript:ENSMUST00000144144.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt2a2 description:UDP glucuronosyltransferase 2 family, polypeptide A2 [Source:MGI Symbol;Acc:MGI:3576095] MGKAEIWLMRTYWDFEFPRPYLPNFEFVGGLHCKPAKPLPKEMEEFVQTSGEHGIVVFSL GSMVKNLTDEKANLIASALAQIPQKVLWRYKGKIPDTLGSNTRLFDWIPQNDLLGHPKTR AFITHGGTNGIYEAIYHGIPMVGVPMFADQPDNIAHMKAKGAAVEVNMNTMTSSDLLNAL RTVINEPSYKENAMRLSRIHHDQPVKPLDRAVFWIEFVMRHKGAKHLRVAAHDLSWFQYH SLDVIGFLLACVASAILLVAKCCLFIFQKVGKTGKKKKRD >ENSMUSP00000046028.7 pep:known chromosome:GRCm38:7:83584931:83625614:1 gene:ENSMUSG00000038540.14 transcript:ENSMUST00000039317.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc3 description:transmembrane channel-like gene family 3 [Source:MGI Symbol;Acc:MGI:2669033] MKTSKASQRYRSIRRNASQCYLYQDSLLLGNSDDSFNADETGDSSDPEQIFQNIQFQKDL MANIRCRPWTMGQKLRALRRAKEIVLKFEGRLTRTRGYQAAGAELWRKFARLACNFVVIF IPWEMRIKKIESHFGSGVASYFIFLRWLFGINIVLTVMTGAFVVLPELIAGQPFGSTASK TIPREQITSAQDLDTVWSLGGYLQYSVLFYGYYGRERRIGRAGYRLPLAYFLVGMAVFAY SFIVLLKKMAKNSRTSLASASNENYTFCWRVFCAWDYLIGNPEAAESKTAAILNSIREAI LEEQEKKKNKNMAVTVCLRIIANILVLLSLAGSIYLIYFVVDRSQKLEQSKKELTLWEKN EVSVVVSLVTMLAPSAFDLIAALEMYHPRTTLRFQLARVLVLYLGNLYSLIIALLDKVNS MNIEEAATKNITSHWADAPTFSATRTVPEEGQWPTPGSGAELRRNTSTWVVEETSFLTSI TPHTKANKTVPYMQGPQGQCWETYVGQEMLKLSVIDMLFTVASILLIDFFRGLFVRYLSD YWCWDLESKFPEYGEFKIAENVLHLVYNQGMIWMGAFFSPCLPAFNVLKLIGLMYLRSWA VLTCNVPHQQVFRASRSNNFYLAMLLFMLFLCMLPTIFAIVHYKPSLNCGPFSGQEKIYD IVSETIENDFPTWFHAVVGHISSPVVILPAVLLLFMLIYYLQSIARSLKLSSQQLRMQIQ NARSEDKKKVAQMVEALAIPSDARQAGSATEAESSENSKPKTLQARIQTHEESSKRLLKD SDLISQLSSVYMATSPNNGHMLNFDSLSSKSLRMEAITRSLPQSPGQGSRDPCSPLLDGS RSRPEQDTNRHPHRPCSSTSNLHKNRSCSSVTQTQPLKDVRSEPLSRKDFQPISPPFCGS GVSTLMTHDHSPRAPRYYVVNERDSHKKTHRAFWPERHFKIDALGDIVELYPRNVQQYMS WVPNQPCSPQLSEEEEEMLRRDLVQWSIPASSLTDLPRSSCFYTGDRSENNTRDPKYQRR VYYRSGDNSFEDQLERPTFVHRKPRSRNGQYPQHALKARVKAKFEPSFTESDSVSAASSS DHQNSNNDQYLHVMSSQGRFPRSASQLGRRKAKSRQVLPTDLNDLICSNV >ENSMUSP00000130348.1 pep:known chromosome:GRCm38:7:83585092:83622947:1 gene:ENSMUSG00000038540.14 transcript:ENSMUST00000164944.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc3 description:transmembrane channel-like gene family 3 [Source:MGI Symbol;Acc:MGI:2669033] MKTSKASQRYRSIRRNASQCYLYQDSLLLGNSDDSFNADETGDSSDPEQIFQNIQFQKDL MANIRCRPWTMGQKLRALRRAKEIVLKFEGRLTRTRGYQAAGAELWRKFARLACNFVVIF IPWEMRIKKIESHFGSGVASYFIFLRWLFGINIVLTVMTGAFVVLPELIAGQPFGSTASK TIPREQITSAQDLDTVWSLGGYLQYSVLFYGYYGRERRIGRAGYRLPLAYFLVGMAVFAY SFIVLLKKMAKNSRTSLASASNENYTFCWRVFCAWDYLIGNPEAAESKTAAILNSIREAI LEEQEKKKNKNMAVTVCLRIIANILVLLSLAGSIYLIYFVVDRSQKLEQSKKELTLWEKN EVSVVVSLVTMLAPSAFDLIAALEMYHPRTTLRFQLARVLVLYLGNLYSLIIALLDKVNS MNIEEAATKNITSHWADAPTFSATRTVPEEGQWPTPGSGAELRRNTSTWVVEETSFLTSI TPHTKANKTVPYMQGPQGQCWETYVGQEMLKLSVIDMLFTVASILLIDFFRGLFVRYLSD YWCWDLESKFPEYGEFKIAENVLHLVYNQGMIWMGAFFSPCLPAFNVLKLIGLMYLRSWA VLTCNVPHQQVFRASRSNNFYLAMLLFMLFLCMLPTIFAIVHYKPSLNCGPFSGQEKIYD IVSETIENDFPTWFHAVVGHISSPVVILPAVLLLFMLIYYLQSIARSLKLSSQQLRMQIQ NARSEDKKKVAQMVEARIQTHEESSKRLLKDSDLISQLSSVYMATSPNNGHMLNFDSLSS KSLRMEAITRSLPQSPGQGSRDPCSPLLDGSRSRPEQDTNRHPHRPCSSTSNLHKNRSCS SVTQTQPLKDVRSEPLSRKDFQPISPPFCGSGVSTLMTHDHSPRAPRYYVVNERDSHKKT HRAFWPERHFKIDALGDIVELYPRNVQQYMSWVPNQPCSPQLSEEEEEMLRRDLVQWSIP ASSLTDLPRSSCFYTGDRSENNTRDPKYQRRVYYRSGDNSFEDQLERPTFVHRKPRSRNG QYPQHALKARVKAKFEPSFTESDSVSAASSSDHQNSNNDQYLHVMSSQGRFPRSASQLGR RKAKSRQVLPTDLNDLICSNV >ENSMUSP00000051293.9 pep:known chromosome:GRCm38:7:19631571:19665033:-1 gene:ENSMUSG00000002981.10 transcript:ENSMUST00000055242.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clptm1 description:cleft lip and palate associated transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1927155] MAAAQEADGAGSAVVAAGGGSSGQVTSNGSIGRDTPAETQPQNPPPQPAPNAWQVIKGVL FRIFIIWAISSWFRRGPSPQDQSGPGGAPRVASRNLFPKDTLMNLHVYISEHEHFTDFNA TSALFWEQHDLVYGDWTSGENSDGCYEHFAELDIPQSVQQNGSIYIHVYFTKSGFHPDPR QKALYRRLATVHMSRMINKYKRRRFQKTKNLLTGETEADPEMIKRAEDYGPVEVISHWHP NITINIVDDHTPWVKGSVPPPLDQYVKFDAVSGDYYPIIYFNDYWNLQKDYYPINESLAS LPLRVSFCPLSLWRWQLYAAQSTKSPWNFLGDELYEQSDEEQDSVKVALLETSPYLLALT IIVSIVHSVFEFLAFKNDIQFWNSRQSLEGLSVRSVFFGVFQSFVVLLYILDNETNFVVQ VSVFIGVLIDLWKITKVMDVRLDREHRVAGIFPCPTFKDKSTYIESSTKVYDDMAFRYLS WILFPLLGCYAVYSLLYLEHKGWYSWVLSMLYGFLLTFGFITMTPQLFINYKLKSVAHLP WRMLTYKALNTFIDDLFAFVIKMPVMYRIGCLRDDVVFFIYLYQRWIYRVDPTRVNEFGM SGEDVSAAASRAQASTAAGALTPAPSTAVSGEDASTVPKATSGACTASQPQEAPPKPAED KKKD >ENSMUSP00000029504.8 pep:known chromosome:GRCm38:3:107211293:107221732:-1 gene:ENSMUSG00000046213.9 transcript:ENSMUST00000029504.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cym description:chymosin [Source:MGI Symbol;Acc:MGI:2684977] MRRFVLLLAALAISQSHVVTRIPLHKGKSLRNTLKEQGLLEDFLSRQQYEFSEKNSRIGV VASEPLINYLDSEYFGTIYIGTPPQEFTVVFDTGSSELWVPSVYCNSKVCRNHHRFDPSK SITFQNLSKPLFVQYGTGRMEGFLAYDTVTVSDIVVSHQTVGLSTQEPGDIFTYSPFDGI LGLAYPTFASKYSVPIFDNMMNRHLVAQDLFSVYMSRNEQGSMLTLGAIDQSYFIGSLHW VPVTVQGYWQFTVDRITINGEVVACQGGCPAVLDTGTALLTGPGRDILNIQQVIGAVQGH NDQFDIDCWRLDIMPTVVFEIHGREFPLPPYAYTNQVQGFCSSGFKQGSHMWILGDVFIR EFYSVFDRANNRVGLAKAI >ENSMUSP00000142707.1 pep:known chromosome:GRCm38:X:79266559:79517285:-1 gene:ENSMUSG00000073077.6 transcript:ENSMUST00000197180.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7173 description:predicted gene 7173 [Source:MGI Symbol;Acc:MGI:3645063] MDAERGSVAPRDIDTLKKEVQLRVNPLVLKFQDAVSGKVYRLPLTVHNLGRWNQKIRFQE PSKPQFKLLLTSLDKELASGLQMTAMVEYHPNKNEDMSDHIFISVGNKVLDIPLFGLIPV CQLEIVPVVDFGTLVANSKVHCKEITIINRGKAPGKFKAEYQGQLPIVISPSSGIVKAKT SMVIKVDFCADQAQIVNEMARVSLQGCPETFLNIKVRVVEQIIELFHMNSERKLECIRFG SVFFGTSKLEHALLYNNSPESINWVAIMQDDCVGEELGTNIHQRTDIAINNISYLNKIKK IDMTDFMSCVPNEGTLLPYQKIVITFCFSPKLVVDTKKDVGPSHRQDYALFVRFDSVGSK DGFLRDDNSNTMKSNRLQKVELALTGSGLPVILQFDPGKSLTFAPCHMGEHSDILCIVKN QSISLPVMYHFKKTAHFKMDPERGKIDEGCIQNVICSFVPHQIGVFKVKQVIEIIGPVAD DNLRSLSMKPFLYIHLNFSSTCKAFTRKVGVKINPGISPLISNPTRHFVAKDSEKKDDLP PVAAMLQSTATKLHDHCLKDESTKNALIAFPNDRATSIRSGDHHEHFRTIFTKIPRYDYT DPDYEYTDLEKLERKAHRDYYTNYINNLRTIRLRKEAQRERKFPVNEVDIGMLPLSGLKS PPLSQSEIEEEIPPSLKASSLKANRLLSTKKIASRESECLQRKITRGLKSKPTTHQEKQE CSKVLTPKQIHQVIVGPSVLNFGNICVKSTNTHLLHIVNMLPMYILIQLDVDFEELQKTK QFSYVIPPTSSTYISMVFESSTSGKFWKSFTFKINNIPGGHILVMAAILPVKLELSSNEI VLRPQSFLLKTCFRGTVRLYNHLNCPAQFGWKPVTTLRGIAFTICPAQGTVDPYCSLECE VTWQPGFSSPDKGEFLLQVSGGNTLTLKCIAHVGHTKVTFLEPRILFSNCSQGLTTWRKA ILHNIGQNHAYFKVAIHHANVIDLRIGGSVEIPDVEILPSTFNFSGTYVGTTEIIPFIIK NRGVTRARVEFNLKEFPLFAMDFKGNAGECKNVEGPYMYAIEVEEGTSAECGITFSPVEV ATYDFSFPVLINSFKASDLYCEYLSQQKVLMPRVSPLIPPCFVQATVLRAPLELSSTVFL FKIPLYEFQHNKEVTRIQDLVLHNISKKTVLWSLDIGRIDKYFKSGIFKFTALIGSLKPN EKYTISIHFCPKQTITYLADVAIRLNDNLFDYRILHLIGEIQLPKISFDPSFICFTPVPL DVTTGVDIRILPQNYFSNSTLHFKIPTAKLLDNDEIHPLTVTFPNGRVIKGSNTGLNDEI LCHLSFSSSKPVSFFANLFFSDDRNNWFSLPVTATSENCILTIYLYLAVHLDTQRVVLKE GKGSFLVPRRDSKSFASQKMKRGSLVPKFNDAEVICGNLFVGMEISRDYFDSDESIAEKL YAKYLEKEEKCQQFFAPEEGSKAFDYFQKVVNAAQTWFSLFGWPEGPHSLSIPETIRRDV QKIQFYSTSSPPKKFSRQSDFSKYNKTIYDVILHLSGKLPPGINAGQSLPVDNVERVMQL HLQHASLLDFITAQGGCISHVLPEFLLEPKDYMKWLEITTATKSTALSTLKGNYSVNIDM DNFEAWSKRAWTDVFLQIYKVTILSRVTPHCTSSMPILHGENKSKISPCFASSNIYSDSE RILLSWLNTNYESQRTSIWKNNKSDVPPGRWIVNFDSDLLDGLVFATQLAAYCPFLIETY FINMYTKPKRPEQYLHNCLIIINSLREIGFDLNIQAIDICDPNPVLMLMLCVYLYERLPT YLPKKVVPFSCTLYDVVVGQILLKNPSLKNLVYTATIVGRDANNFCLAQTGNVVTIGPKN HIVLVVKFVSRFLHPAEATLLLISRPKCGIGGSTLAFALKGEIRNFKAIDVIKCKAPCYQ WKEVTVNVKNPFPSGGDFHVILVESTTLMYLPAQVTDSSKVSVMPDHMRSSDYAADQSSS HAENGLRTSIKSNFIREFFCSSTTLSLRPKTSSSIDVYYLPFDMHVRYCAIILSNKDIGD LIYIIEGRGLIPLPSNFLPLEPPSPIDYSTSLEEDKEDPILYLNCKPHQILDMDLKIPLT NEAKEKALAFAAQQQMSTLEYERRAISGTLESSTIRAAVALLGLTKIECLLLFNMSKLKK PKSILYTTELSLPAHFNIPRKIYIPQIPEPPDFHMQSLQIKPQIVSGERPFQKPLPSIQA ALEGTVSIPLRFAPLGSGRYPCKLLLLSRHDVRVYLLEGIVNEEVPEAELLFKTPAFQPL TQNIPIKNESKKLSKFHVKIEGEWFHGPPILHVGPGETIQYPLTFKPILECEIMGKLTLQ NEVDGMAHIVEIDGIGTKPIALDHIIIDCKVGKVTDKSIIVPNYTKSLLTFKVTSDLSIV WGNSFITIEPDDSIPYTLHVCPWKRGTFKGAIMFFVKSRDEEESQEETDTEKDFSSQETP SDQSTIIFEEYSEEKVKALKIWYHLEIRSSPGPPVDIIELHCIALETTCIEIPISNSKNQ PVCLDVKLTCSALNGPVEMTLAPLESVTYIVWYSPATTGYKEESIIFQPEMGEEFWYLLK LTTELPKPKEIPEMQCDLGKKIIQTLPLYNPTHETLELRIRNSNPINFVIELNRKLPLVL LPHSTTELSVYFHPSGLGRHGHETCINFYCTQFKEWKFYLFGVGLYPRPIELKRVTTILG LQASVMVHFRNPTSEDVSVDLILTNKEQPKGLAIDQCWKTFLHENAAFRFSSLRRTHGII VPPKGNLDIPVLFIPSTMTLYKTMVIVKMKRTNKKNWLIDNFDELSAETKRYMGVGYGEI QAIHWMYPIIGLPQAPPPKSSPVIIRCQANKQREEKVEVSMLGSFFNTHPKPDMTEFLVF PKRNVYKSIYEDVDVNSKRREFEYEIEFESEDVKSNLDSFVTLYLFRKHFNVKSEIISLI FNVIFTPRKPFRANVTLNVECITEGIWKFPITLIATEPEVEDVINIHGIGLFKTSETEFR LTSQTRYYEPFVAHFLPGSDQEFFVKPQSGELPPFYTKGIVIIVGFKPRMYSKKYQATLV IQTDEIYWLYEINGLAPSSKSLTHVTAKVDATSKIYDCMPPIQRNFIRENAKLRSTAVSS TVKGAPLFKKHK >ENSMUSP00000098956.2 pep:known chromosome:GRCm38:X:79482568:79517285:-1 gene:ENSMUSG00000073077.6 transcript:ENSMUST00000101410.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7173 description:predicted gene 7173 [Source:MGI Symbol;Acc:MGI:3645063] MDAERGSVAPRDIDTLKKEVQLRVNPLVLKFQDAVSGKVYRLPLTVHNLGRWNQKIRFQE PSKPQFKLLLTSLDKELASGLQMTAMVEYHPNKNEDMSDHIFISVGNKVLDIPLFGLIPV CQLEIVPVVDFGTLVANSKVHCKEITIINRGKAPGKFKAEYQGQLPIVISPSSGIVKAKT SMVIKVDFCADQAQIVNEMARVSLQGCPETFLNIKVRVVEQIIELFHMNSERKLECIRFG SVFFGTSKLEHALLYNNSPESINWVAIMQDDCVGEELGTNIHQRTDIAINNISYLNKIKK IDMTDFMSCVPNEGTLLPYQKIVITFCFSPKLVVDTKKDVGPSHRQDYALFVRFDSVGSK DGFLRDDNSNTMKSNRLQKVELALTGSGLPVILQFDPGKSLTFAPCHMGEHSDILCIVKN QSISLPVMYHFKKTAHFKMDPERGKIDEGCIQNVICSFVPHQIGVFKVKQVIEIIGPVAD DNLRSLSMKPFLYIHLNFSSTCKAFTRKVGVKINPGISPLISNPTRHFVAKDSEKKDDLP PVAAMLQSTATKLHDHCLKDESTKNALIAFPNDRATSIRSGDHHEHFRTIFTKIPRYDYT DPDYEYTDLEKLERKAHRDYYTNYINNLRTIRLRKEAQRERKFPVNEVDIGMLPLSGLKS PPLSQSEIEEEIPPSLKASSLKANRLLSTKKIASRESECLQRKITRGLKSKPTTHQEKQE CSKVLTPKQIHQVIVGPSVLNFGNICVKSTNTHLLHIVNMLPMYILIQLDVDFEELQKTK QFSYVIPPTSSTYISMVFESSTSGKFWKSFTFKINNIPGGHILVMAAILPVKLELSSNEI VLRPQSFLLKTCFRGTVRLYNHLNCPAQFGWKPVTTLRGIAFTICPAQGTVDPYCSLECE VTWQPGFSSPDKGEFLLQVSGGNTLTLKCIAHVIISLQCDFHL >ENSMUSP00000109654.2 pep:known chromosome:GRCm38:X:79330711:79357959:-1 gene:ENSMUSG00000073077.6 transcript:ENSMUST00000114021.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7173 description:predicted gene 7173 [Source:MGI Symbol;Acc:MGI:3645063] MWKSLEGTVSIPLRFAPLGSGRYPCKLLLLSRHDVRVYLLEGIVNEEVPEAELLFKTPAF QPLTQNIPIKNESKKLSKFHVKIEGEWFHGPPILHVGPGETIQYPLTFKPILECEIMGKL TLQNEVDGMAHIVEIDGIGTKPIALDHIIIDCKVGKVTDKSIIVPNYTKSLLTFKVTSDL SIVWGNSFITIEPDDSIPYTLHVCPWKRGTFKGAIMFFVKSRDEEESQEETDTEKDFSSQ ETPSDQSTIIFEEYSEEKVKALKIWYHLEIRSSPGPPVDIIELHCIALETTCIEIPISNS KNQPVCLDVKLTCSALNGPVEMTLAPLESVTYIVWYSPATTGYKEERCVLGLDCNLLLSL >ENSMUSP00000137161.1 pep:known chromosome:GRCm38:X:79401625:79434418:-1 gene:ENSMUSG00000073077.6 transcript:ENSMUST00000179788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7173 description:predicted gene 7173 [Source:MGI Symbol;Acc:MGI:3645063] LAVHLDTQRVVLKEEKQGNIKKPRGSFLVPRRDSKSFASQKMKRGSLVPKFNDAEVICGN LFVGMEISRDYFDSDESIAEKLYAKYLEKEEKCQQFFAPEEGSKAFDYFQKVVNAAQTWF SLFGWPEGPHSLSIPETIRRDVQKIQFYSTSSPPKKFSRQSDFSKYNKTIYDVILHLSGK LPPGINAGQSLPVDNVERVMQLHLQHASLLDFITAQGGCISHVLPEFLLEPKDYMKWLEI TTATKSTALSTLKGNYSVNIDMDNFEAWSKRAWTDVFLQIYKVTILSRVTPHCTSSMPIL HGENKSKISPCFASSNIYSDSERILLSWLNTNYESQRTSIWKNNKSDVPPGRWIVNFDSD LLDGLVFATQLAAYCPFLIETYFINMYTKPKRPEQYLHNCLIIINSLREIGFDLNIQV >ENSMUSP00000028223.2 pep:known chromosome:GRCm38:2:43555329:43682715:1 gene:ENSMUSG00000026866.16 transcript:ENSMUST00000028223.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kynu description:kynureninase (L-kynurenine hydrolase) [Source:MGI Symbol;Acc:MGI:1918039] MMEPSPLELPVDAVRRIAAELNCDPTDERVALRLDEEDKLSHFRNCFYIPKMRDLPSIDL SLVSEDDDAIYFLGNSLGLQPKMVRTYLEEELDKWAKMGAYGHDVGKRPWIVGDESIVSL MKDIVGAHEKEIALMNALTINLHLLLLSFFKPTPKRHKILLEAKAFPSDHYAIESQIQLH GLDVEKSMRMVKPREGEETLRMEDILEVIEEEGDSIAVILFSGLHFYTGQLFNIPAITKA GHAKGCFVGFDLAHAVGNVELRLHDWGVDFACWCSYKYLNSGAGGLAGAFVHEKHAHTVK PALVGWFGHDLSTRFNMDNKLQLIPGANGFRISNPPILLVCSLHASLEVFQQATMTALRR KSILLTGYLEYMLKHYHSKDNTENKGPIVNIITPSRAEERGCQLTLTFSIPKKSVFKELE KRGVVCDKREPDGIRVAPVPLYNSFHDVYKFIRLLTSILDSSERS >ENSMUSP00000108445.1 pep:known chromosome:GRCm38:2:43555342:43680216:1 gene:ENSMUSG00000026866.16 transcript:ENSMUST00000112826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kynu description:kynureninase (L-kynurenine hydrolase) [Source:MGI Symbol;Acc:MGI:1918039] MMEPSPLELPVDAVRRIAAELNCDPTDERVALRLDEEDKLSHFRNCFYIPKMRDLPSIDL SLVSEDDDAIYFLGNSLGLQPKMVRTYLEEELDKWAKMGAYGHDVGKRPWIVGDESIVSL MKDIVGAHEKEIALMNALTINLHLLLLSFFKPTPKRHKILLEAKAFPSDHYAIESQIQLH GLDVEKSMRMVKPREGEETLRMEDILEVIEEEGDSIAVILFSGLHFYTGQLFNIPAITKA GHAKGCFVGFDLAHAVGNVELRLHDWGVDFACWCSYKYLNSGAGGLAGAFVHEKHAHTVK PALVGWFGHDLSTRFNMDNKLQLIPGANGFRISNPPILLVCSLHASLEVFQQATMTALRR KSILLTGYLEYMLKHYHSKDNTENKGPIVNIITPSRAEERGCQLTLTFSIPKKSVFKELE KRGVVVCI >ENSMUSP00000061775.6 pep:known chromosome:GRCm38:2:43555354:43636758:1 gene:ENSMUSG00000026866.16 transcript:ENSMUST00000050511.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kynu description:kynureninase (L-kynurenine hydrolase) [Source:MGI Symbol;Acc:MGI:1918039] MMEPSPLELPVDAVRRIAAELNCDPTDERVALRLDEEDKLSHFRNCFYIPKMRDLPSIDL SLVSEDDDAIYFLGNSLGLQPKMVRTYLEEELDKWAKMGAYGHDVGKRPWIVGDESIVSL MKDIVGAHEKEIALMNALTINLHLLLLSFFKPTPKRHKILLEAKAFPSDHYAIESQIQLH GLDVEKSMRMVKPREGEETLRMEDILEVIEEEGDSIAVILFSGLHFYTGQLFNIPAITKA GHAKGCFVGFDLAHAVGNVELRLHDWGVDFACWCSYKYLNSGAGGLAGAFVHEKHAHTVK PAMDDLQCDIKLKGNLFAYGQRRK >ENSMUSP00000035227.6 pep:known chromosome:GRCm38:9:108290429:108296498:1 gene:ENSMUSG00000032606.7 transcript:ENSMUST00000035227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nicn1 description:nicolin 1 [Source:MGI Symbol;Acc:MGI:1913507] MSRVLVPCHVKSTVALQVGDMRTSQGRPGVLVVDVTFPNIAPFELQEIMFKNYYTAFLSI RVRQQSSMHTAAKWVTCLRDYCLMPDPHSEEGAQDYVSLFKHQMLCDMNRVLELRLILRQ PSPLWLSFTVEELQIYQQGPKSPSLAFPKWLSHPVSNEQPAPRLEGLPDPSRVSSEVQQM WALTEMIRASHTSTRIGRFDVDGCYDLNLLSYT >ENSMUSP00000060613.7 pep:known chromosome:GRCm38:3:100138180:100162407:-1 gene:ENSMUSG00000033285.15 transcript:ENSMUST00000052120.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr3 description:WD repeat domain 3 [Source:MGI Symbol;Acc:MGI:2443143] MGLTKQYLRYVASAVFGLIGSQKGNIVFVTLRGEKGRYVAVPACEHVFIWDLRKGEKILI LQGNKQEVTCLCPSPDGLHLAVGYEDGAIRIFSLLSGEGNITFNGHKAAVTSLKYDQLGG RLASGSKDTDVIIWDVINESGLYRLKGHKDAVTQALFLRERNLLVTSGKDTMVKWWDLDN QHCFKTMVGHRTEVWGLVLVSEEKRLITGAADSELRAWDIDYLQEIDDPEEPEPKKIKEC PGIQDTPESEDSTLEADDEKSEDRILSCSKAGSIMREGRDRVVNLAVDKTGRILACHGTD SVLEVFCILSKAEVQKKMDKKLKKARKKARLNSANEEEDPETSVSMTLQDEILRVAKIKT SAKIKSFDLIHSPQGELKAVFLLQNNLVELYSLNASLPAPQPVRTSRITIGGHRSDVRTL SFSSDNIAVLSAAADSIKIWNRSTLQCIRTMPCEYALCSFFVPGDRQVVIGTKTGNLQLY DLASGTLLETIAAHDGALWSMSLSPDQRGFVTGGADKAVKFWDFELVTDKNSTQKRLSVK QTRTLQLDEDVLCVSYSPNQKLLAVSLLDCTVKVFYVDTLKFFLSLYGHKLPVLCMDISH DGALIATGSADRNVKIWGLDFGDCHRSLFAHDDSVMYLRFVPKSHLFFTAGKDHKIKQWD ADKFEHIQTLEGHHQEIWCLAVSPSGDYVVSASHDKSLRLWERTREPLILEEEREMQREA EYEESVAKEDQPAVPGETQGDNYFTGKKTIETVKAAERIMEAIELHREETAKMKEHRAIC KAAGKEVPLPVNPILMAHGNISPSAYVLETFKGIRSSELEEALLVLPFSYVPDVLTLFNE FIQTGLDVELLCRCLFFLLRIHFGQITSNQMLVPVIEKLKETTISKVRQVQDAIGFNMAG LDYLKRECEAKSEVMFFAEATSHLEEKKKKRKNRKRMILTLT >ENSMUSP00000135731.1 pep:known chromosome:GRCm38:3:100157324:100162332:-1 gene:ENSMUSG00000033285.15 transcript:ENSMUST00000125059.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr3 description:WD repeat domain 3 [Source:MGI Symbol;Acc:MGI:2443143] MGLTKQYLRYVASAVFGLIGSQKGNIVFVTLRGEKGRYVAVPACEHVFIWDLRKGEKTAS TRFCCVLKVTAKQEFA >ENSMUSP00000143010.1 pep:known chromosome:GRCm38:3:100157324:100162397:-1 gene:ENSMUSG00000033285.15 transcript:ENSMUST00000197602.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr3 description:WD repeat domain 3 [Source:MGI Symbol;Acc:MGI:2443143] MGLTKQYLRYVASAVFGLIGSQKGNIVFVTLRGEKGRYVAVPACEHVFIWDLRKGEKMGC I >ENSMUSP00000102532.1 pep:known chromosome:GRCm38:4:101834969:101844023:-1 gene:ENSMUSG00000035201.15 transcript:ENSMUST00000106919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B020004J07Rik description:RIKEN cDNA B020004J07 gene [Source:MGI Symbol;Acc:MGI:3588238] MSTYNPPTLLQLALDGVLRKDSIDFSDLEYLPITLFPPLFIKAFNSRHTEIVKKMVATWP FPCLPVGALLKTAGVEMLQAVLDGIDILLTQNVSLRCKLQVLDLRFLHQDFWTGKQNAIC PADILIKKQVPEGLPNYPLRQCLQVVTNLALVSSLNEHQICLLQWAQQKKDSLQLCCLKM TIYNLPPEVISEVLDTFQPTYIVDLEIHTREVMSFLGFFAHFLGQMRNLVKFYLNQIDFY FSVANTVTDGKIGAAKFFSQFSQLNHLQHVYMNGAYISYDNMKKLFRCLKSPLETLFFTF CHLSMSDLRHMSDCQRLYQLKHLYLKGVVFSKSYFKSLRVLLENVSATLQTLGLEHCRMK DSHLKVLLPALIQCSQLIFVNFFDNHFSSPVLKDLLRCMANLSKLTGERYPVPVECYNDI GKVMVERFFQLCPELMDLLTAKRQPKKIFFATVCCPNCLRRCVYDLNTTRLCHCWE >ENSMUSP00000081421.5 pep:known chromosome:GRCm38:4:101835134:101838139:-1 gene:ENSMUSG00000035201.15 transcript:ENSMUST00000084386.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B020004J07Rik description:RIKEN cDNA B020004J07 gene [Source:MGI Symbol;Acc:MGI:3588238] MSTYNPPTLLQLALDGVLRKDSIDFSDLEYLPITLFPPLFIKAFNSRHTEIVKKMVATWP FPCLPVGALLKTAGVEMLQAVLDGIDILLTQNVSLRCKLQVLDLRFLHQDFWTGKQNAIC PADILIKKQVPEGLPNYPLRQCLQVVTNLALVSSLNEHQICLLQWAQQKKDSLQLCCLKM TIYNLPPEVISEVLDTFQPTYIVDLEIHTREVMSFLGFFAHFLGQMRNLVKFYLNQIDFY FSVANTVTDGKIGAAKFFSQFSQLNHLQHVYMNGAYISYDNMKKLFRCLKSPLETLFFTF CHLSMSDLRHMSDCQRLYQLKHLYLKGVVFSKSYFKSLRVLLENVSATLQTLGLEHCRMK DSHLKVLLPALIQCSQLIFVNFFDNHFSSPVLKDLLRCMANLSKLTGERYPVPVECYNDI GKVMVERFFQLCPELMDLLTAKRQPKKIFFATVCCPNCLRRCVYDLNTTRLCHCWE >ENSMUSP00000023312.7 pep:known chromosome:GRCm38:16:52248996:52454074:-1 gene:ENSMUSG00000022636.13 transcript:ENSMUST00000023312.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alcam description:activated leukocyte cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1313266] MASKVSPSCRLVFCLLISAAVLRPGLGWYTVNSAYGDTIVMPCRLDVPQNLMFGKWKYEK PDGSPVFIAFRSSTKKSVQYDDVPEYKDRLSLSENYTLSIANAKISDEKRFVCMLVTEDN VFEAPTLVKVFKQPSKPEIVNKAPFLETDQLKKLGDCISRDSYPDGNITWYRNGKVLQPV EGEVAILFKKEIDPGTQLYTVTSSLEYKTTRSDIQMPFTCSVTYYGPSGQKTIYSEQEIF DIYYPTEQVTIQVLPPKNAIKEGDNITLQCLGNGNPPPEEFMFYLPGQPEGIRSSNTYTL TDVRRNATGDYKCSLIDKRNMAASTTITVHYLDLSLNPSGEVTKQIGDTLPVSCTISASR NATVVWMKDNIRLRSSPSFSSLHYQDAGNYVCETALQEVEGLKKRESLTLIVEGKPQIKM TKKTDPSGLSKTIICHVEGFPKPAIHWTITGSGSVINQTEESPYINGRYYSKIIISPEEN VTLTCTAENQLERTVNSLNVSAISIPEHDEADDISDENREKVNDQAKLIVGIVVGLLLAA LVAGVVYWLYMKKSKTASKHVNKDLGNMEENKKLEENNHKTEA >ENSMUSP00000130563.1 pep:known chromosome:GRCm38:16:52251087:52296924:-1 gene:ENSMUSG00000022636.13 transcript:ENSMUST00000167115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alcam description:activated leukocyte cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1313266] XKLGDCISRDSYPDGNITWYRNGKVLQPVEGEVAILFKKEIDPGTQLYTVTSSLEYKTTR SDIQMPFTCSVTYYGPSGQKTIYSEQEIFDIYYLDLSLNPSGEVTKQIGDTLPVSCTISA SRNATVVWMKDNIRLRSSPSFSSLHYQDAGNYVCETALQEVEGLKKRESLTLIVEGKPQI KMTKKTDPSGLSKTIICHVEGFPKPAIHWTITGSGSVINQTEESPYINGRYYSKIIISPE ENVTLTCTAENQLERTVNSLNVSAISIPEHDEADDISDENREKVNDQAKLIVGIVVGLLL AALVAGVVYWLYMKKSKTASKHVNKDLGNMEENKKLEENNHKTEA >ENSMUSP00000129714.1 pep:known chromosome:GRCm38:16:52251467:52452465:-1 gene:ENSMUSG00000022636.13 transcript:ENSMUST00000170035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alcam description:activated leukocyte cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1313266] MASKVSPSCRLVFCLLISAAVLRPGLGWYTVNSAYGDTIVMPCRLDVPQNLMFGKWKYEK PDGSPVFIAFRSSTKKSVQYDDVPEYKDRLSLSENYTLSIANAKISDEKRFVCMLVTEDN VFEAPTLVKVFKQPSKPEIVNKAPFLETDQLKKLGDCISRDSYPDGNITWYRNGKVLQPV EGEVAILFKKEIDPGTQLYTVTSSLEYKTTRSDIQMPFTCSVTYYGPSGQKTIYSEQEIF DIYYPTEQVTIQVLPPKNAIKEGDNITLQCLGNGNPPPEEFMFYLPGQPEGIRSSNTYTL TDVRRNATGDYKCSLIDKRNMAASTTITVHYLDLSLNPSGEVTKQIGDTLPVSCTISASR NATVVWMKDNIRLRSSPSFSSLHYQDAGNYVCETALQEVEGLKKRESLTLIVEGKPQIKM TKKTDPSGLSKTIICHVEGFPKPAIHWTITGSGSVINQTEESPYINGRYYSKIIISPEEN VTLTCTAENQLERTVNSLNVSANENREKVNDQAKLIVGIVVGLLLAALVAGVVYWLYMKK SKTASKHVNKDLGNMEENKKLEENNHKTEA >ENSMUSP00000127141.1 pep:known chromosome:GRCm38:16:52268161:52452465:-1 gene:ENSMUSG00000022636.13 transcript:ENSMUST00000164728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alcam description:activated leukocyte cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1313266] MASKVSPSCRLVFCLLISAAVLRPGLGWYTVNSAYGDTIVMPCRLDVPQNLMFGKWKYEK PDGSPVFIAFRSSTKKSVQYDDVPEYKDRLSLSENYTLSIANAKISDEKRFVCMLVTEDN VFEAPTLVKVFKQPSKPEIVNKAPFLETDQLKKLGDCISRDSYPDGNITWYRNGKVLQPV EGEVAILFKKEIDPGTQLYTVTSSLEYKTTRSDIQMPFTCSVTYYGPSGQKTIYSEQEIF DIYYPTEQVTIQVLPPKNAIKEGDNITLQCLGNGNPPPEEFMFYLPGQPEGIRSSNTYTL TDVRRNATGDYKCSLIDKRNMAASTTITVHYLDLSLNPSGEVTKQIGDTLPVSCTISASR NATVVWMKDNIRLRSSPSFSSLHYQDAGNYVCETALQEVEGLKKRESLTLIVEGKPQIKM TKKTDPSGLSKTIICHVEGFPKPAIHWTITGSGSVINQTEESPYINGRYYSKIIISPEEN VTLTCTAENQLERTVNSLNVSAISIPEHDEADDISDENREKVNDQAKLIVGIVVGLLLAA LVAGVVYWLYMKKSK >ENSMUSP00000131001.1 pep:known chromosome:GRCm38:16:52305264:52452654:-1 gene:ENSMUSG00000022636.13 transcript:ENSMUST00000168071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alcam description:activated leukocyte cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1313266] MASKVSPSCRLVFCLLISAAVLRPGLGWYTVNSAYGDTIVMPCRLDVPQNLMFGKWKYEK PDGSPVFIAFRSSTKKSVQYDDVPEYKDRLSLSENYTLSIANAKISDEKRFVCMLVTEDN VFEAPTLVKVFSK >ENSMUSP00000100473.1 pep:known chromosome:GRCm38:7:103538261:103539232:-1 gene:ENSMUSG00000094119.1 transcript:ENSMUST00000104879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr612 description:olfactory receptor 612 [Source:MGI Symbol;Acc:MGI:3030446] MLHVNITNSIFSTFLVTGIPGLEAVYIWIAIPFCAMFLITMVGNMTIIIVIWREQTLHVP MYLFLAMLASSDLGLSLFTFPTLLRIFLLNDRELTTTACFTQMFFIHTFQDLESAIILAM AFDRYVAISHPLHYHSILTDTVIAKIGLAIVVRTLTVQVPLPILLKRLYFCHSNVLSHSY CLHPDIIKLSCSSTTVNSIFGLFVVLSTMGLDFLLILLSYALILKTVLSMASHSGRLKAL NTCISHLCAVVLFFTPMICLSMLHRFGPRLPSHVYVTLANMHFLIPPVMNPIVYVVKTKQ IRDKIQKLFIRKATKKAQAASIT >ENSMUSP00000017867.3 pep:known chromosome:GRCm38:2:164562579:164568510:1 gene:ENSMUSG00000017723.11 transcript:ENSMUST00000017867.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc2 description:WAP four-disulfide core domain 2 [Source:MGI Symbol;Acc:MGI:1914951] MPACRLCLLAAGLLLGLLLFTPISATGTDAEKPGECPQLEPITDCVLECTLDKDCADNRK CCQAGCSSVCSKPNGPSEGELSGTDTKLSETGTTTQSAGLDHTTKPPGGQVSTKPPAVTR EGLGVREKQGTCPSVDIPKLGLCEDQCQVDSQCSGNMKCCRNGCGKMACTTPKF >ENSMUSP00000104968.2 pep:known chromosome:GRCm38:2:164562699:164568510:1 gene:ENSMUSG00000017723.11 transcript:ENSMUST00000109344.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc2 description:WAP four-disulfide core domain 2 [Source:MGI Symbol;Acc:MGI:1914951] MPACRLCLLAAGLLLGLLLFTPISATEKQGTCPSVDIPKLGLCEDQCQVDSQCSGNMKCC RNGCGKMACTTPKF >ENSMUSP00000104969.2 pep:known chromosome:GRCm38:2:164562714:164568510:1 gene:ENSMUSG00000017723.11 transcript:ENSMUST00000109345.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc2 description:WAP four-disulfide core domain 2 [Source:MGI Symbol;Acc:MGI:1914951] MPACRLCLLAAGLLLGLLLFTPISATGTDAEKPGECPQLEPITDCVLECTLDKDCADNRK CCQAGCSSVCSKPNEKQGTCPSVDIPKLGLCEDQCQVDSQCSGNMKCCRNGCGKMACTTP KF >ENSMUSP00000119391.1 pep:known chromosome:GRCm38:2:164563019:164564179:1 gene:ENSMUSG00000017723.11 transcript:ENSMUST00000125051.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc2 description:WAP four-disulfide core domain 2 [Source:MGI Symbol;Acc:MGI:1914951] MKRSGKLPGWRKLPSRGSSPRGLGTLKPSIGEFSSVRDPWLGGTDAEKPGECPQLEPITD CVLECTLDKDCADNRKCCQAGCSSVCSKPNGPSEGELSGTDTKLSETGTTTQSAGLDHTT KPPGGQVSTKP >ENSMUSP00000076693.4 pep:known chromosome:GRCm38:5:143235163:143247948:1 gene:ENSMUSG00000029587.16 transcript:ENSMUST00000077485.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp12 description:zinc finger protein 12 [Source:MGI Symbol;Acc:MGI:99157] MNTSLGPLSFKDVAVAFSQEEWQQLDPEERTTYRDVMLETYSNLVSVDEIRHMSRLMEED QGGEENQSSSAVFSYRSRADASSKATDGETKPFPSQKALPQCNSCEKSLMCVSAFIRSDG SYAKLRPNVCAGCGKPLPCSKPEETHPGGESYEFSGDGDEDPLGEEGVYQKGHFLEEPFE YVECQKSFPKGTVFLNHLEEEPCDWNDAEVAFLQTSDLSAHQDSLMEMKPYECQQCGKSF CKKSKFVIHQRTHTGEKPFKCSQCGKSFCQKGTLTVHQRTHTGEKPYECTECGKTFYQKL HLIQHQRTHSGEKPYKCGYCGKSFCQKTHLTQHQRTHSGERPYVCHDCGKTFSQKSALND HQKIHTGVKLYKCSECGKCFCRKSTLTTHMRTHTGEKPYECNECGKFFSRLSYLTVHYRT HSGEKPYECAECGKSFYLNSALMRHQRVHTGEKPYECNECGKLFSQLSYLTVHHRTHSGV KPYECSECGKTFYQNSALCRHRRIHRGEKPYECYICGKFFSQMSYLTIHHRIHSGEKPYE CRECGKSFCQNSALNRHQRTHTGEKAYECYECGKCFSQMSYLTIHHRIHSGEKPFECNEC GKAFSRMSYLTVHHRTHSGEKPYECTECGKKFYHKSAFNSHQRTHRRGSGNGVD >ENSMUSP00000032591.8 pep:known chromosome:GRCm38:5:143235174:143248834:1 gene:ENSMUSG00000029587.16 transcript:ENSMUST00000032591.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp12 description:zinc finger protein 12 [Source:MGI Symbol;Acc:MGI:99157] MNTSLGPLSFKDVAVAFSQEEWQQLDPEERTTYRDVMLETYSNLVSVGYDIIKPDVIIKL EQGEEPWIVEGAFSPQSYPDEIRHMSRLMEEDQGGEENQSSSAVFSYRSRADASSKATDG ETKPFPSQKALPQCNSCEKSLMCVSAFIRSDGSYAKLRPNVCAGCGKPLPCSKPEETHPG GESYEFSGDGDEDPLGEEGVYQKGHFLEEPFEYVECQKSFPKGTVFLNHLEEEPCDWNDA EVAFLQTSDLSAHQDSLMEMKPYECQQCGKSFCKKSKFVIHQRTHTGEKPFKCSQCGKSF CQKGTLTVHQRTHTGEKPYECTECGKTFYQKLHLIQHQRTHSGEKPYKCGYCGKSFCQKT HLTQHQRTHSGERPYVCHDCGKTFSQKSALNDHQKIHTGVKLYKCSECGKCFCRKSTLTT HMRTHTGEKPYECNECGKFFSRLSYLTVHYRTHSGEKPYECAECGKSFYLNSALMRHQRV HTGEKPYECNECGKLFSQLSYLTVHHRTHSGVKPYECSECGKTFYQNSALCRHRRIHRGE KPYECYICGKFFSQMSYLTIHHRIHSGEKPYECRECGKSFCQNSALNRHQRTHTGEKAYE CYECGKCFSQMSYLTIHHRIHSGEKPFECNECGKAFSRMSYLTVHHRTHSGEKPYECTEC GKKFYHKSAFNSHQRTHRRGSGNGVD >ENSMUSP00000137971.1 pep:known chromosome:GRCm38:5:143235247:143247956:1 gene:ENSMUSG00000029587.16 transcript:ENSMUST00000075916.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp12 description:zinc finger protein 12 [Source:MGI Symbol;Acc:MGI:99157] MNTSLGPLSFKDVAVAFSQEEWQQLDPEERTTYRDVMLETYSNLVSVGEDHLLPRCSDVG RPSELMPLALRV >ENSMUSP00000123731.1 pep:known chromosome:GRCm38:5:143235779:143240080:1 gene:ENSMUSG00000029587.16 transcript:ENSMUST00000162861.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp12 description:zinc finger protein 12 [Source:MGI Symbol;Acc:MGI:99157] MNTSLGPLSFKDVAVAFSQEEWQQLDPEERTTYRDVMLETYSNLVSV >ENSMUSP00000111380.1 pep:known chromosome:GRCm38:17:8525372:8981671:1 gene:ENSMUSG00000023868.15 transcript:ENSMUST00000115715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde10a description:phosphodiesterase 10A [Source:MGI Symbol;Acc:MGI:1345143] MQGVVYELNSYIEQRLDTGGDNHLLLYELSSIIRIATKADGFALYFLGECNNSLCVFIPP GMKEGQPRLIPAGPITQGTTISAYVAKSRKTLLVEDILGDERFPRGTGLESGTRIQSVLC LPIVTAIGDLIGILELYRHWGKEAFCLSHQEVATANLAWASVAIHQVQVCRGLAKQTELN DFLLDVSKTYFDNIVAIDSLLEHIMIYAKNLVNADRCALFQVDHKNKELYSDLFDIGEEK EGKPIFKKTKEIRFSIEKGIAGQVARTGEVLNIPDAYADPRFNREVDLYTGYTTRNILCM PIVSRGSVIGVVQMVNKISGSAFSKTDENNFKMFAVFCALALHCANMYHRIRHSECIYRV TMEKLSYHSICTSEEWQGLMRFNLPARICRDIELFHFDIGPFENMWPGIFVYMIHRSCGT SCFELEKLCRFIMSVKKNYRRVPYHNWKHAVTVAHCMYAILQNNNGLFTDLERKGLLIAC LCHDLDHRGFSNSYLQKFDHPLAALYSTSTMEQHHFSQTVSILQLEGHNIFSTLSSSEYE QVLEIIRKAIIATDLALYFGNRKQLEEMYQTGSLNLHNQSHRDRVIGLMMTACDLCSVTK LWPVTKLTANDIYAEFWAEGDEMKKLGIQPIPMMDRDKRDEVPQGQLGFYNAVAIPCYTT LTQILPPTEPLLKACRDNLNQWEKVIRGEETAMWISGPGPAPSKSTPEKLNVKVED >ENSMUSP00000111387.1 pep:known chromosome:GRCm38:17:8525450:8923426:1 gene:ENSMUSG00000023868.15 transcript:ENSMUST00000115722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde10a description:phosphodiesterase 10A [Source:MGI Symbol;Acc:MGI:1345143] MSNDSTEGTVGSCNATGGRGQSLPQLCFPPGPHDTKGEYGAVFQRLSSAQKKEEFTRGLT DEKVKAYLSLHPQVLDEFVSESVSAETVEKWLKRKTNKAKDEPSPKEVSRVSN >ENSMUSP00000111389.1 pep:known chromosome:GRCm38:17:8526801:8982496:1 gene:ENSMUSG00000023868.15 transcript:ENSMUST00000115724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde10a description:phosphodiesterase 10A [Source:MGI Symbol;Acc:MGI:1345143] MSNDSTEGTVGSCNATGLTDEKVKAYLSLHPQVLDEFVSESVSAETVEKWLKRKTNKAKD EPSPKEVSRYQDTNMQGVVYELNSYIEQRLDTGGDNHLLLYELSSIIRIATKADGFALYF LGECNNSLCVFIPPGMKEGQPRLIPAGPITQGTTISAYVAKSRKTLLVEDILGDERFPRG TGLESGTRIQSVLCLPIVTAIGDLIGILELYRHWGKEAFCLSHQEVATANLAWASVAIHQ VQVCRGLAKQTELNDFLLDVSKTYFDNIVAIDSLLEHIMIYAKNLVNADRCALFQVDHKN KELYSDLFDIGEEKEGKPIFKKTKEIRFSIEKGIAGQVARTGEVLNIPDAYADPRFNREV DLYTGYTTRNILCMPIVSRGSVIGVVQMVNKISGSAFSKTDENNFKMFAVFCALALHCAN MYHRIRHSECIYRVTMEKLSYHSICTSEEWQGLMRFNLPARICRDIELFHFDIGPFENMW PGIFVYMIHRSCGTSCFELEKLCRFIMSVKKNYRRVPYHNWKHAVTVAHCMYAILQNNNG LFTDLERKGLLIACLCHDLDHRGFSNSYLQKFDHPLAALYSTSTMEQHHFSQTVSILQLE GHNIFSTLSSSEYEQVLEIIRKAIIATDLALYFGNRKQLEEMYQTGSLNLHNQSHRDRVI GLMMTACDLCSVTKLWPVTKLTANDIYAEFWAEGDEMKKLGIQPIPMMDRDKRDEVPQGQ LGFYNAVAIPCYTTLTQILPPTEPLLKACRDNLNQWEKVIRGEETAMWISGPGPAPSKST PEKLNVKVED >ENSMUSP00000086485.2 pep:known chromosome:GRCm38:17:8801745:8986648:1 gene:ENSMUSG00000023868.15 transcript:ENSMUST00000089085.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde10a description:phosphodiesterase 10A [Source:MGI Symbol;Acc:MGI:1345143] MEDGPSNNASCFRRLTECFLSPSLTDEKVKAYLSLHPQVLDEFVSESVSAETVEKWLKRK TNKAKDEPSPKEVSRYQDTNMQGVVYELNSYIEQRLDTGGDNHLLLYELSSIIRIATKAD GFALYFLGECNNSLCVFIPPGMKEGQPRLIPAGPITQGTTISAYVAKSRKTLLVEDILGD ERFPRGTGLESGTRIQSVLCLPIVTAIGDLIGILELYRHWGKEAFCLSHQEVATANLAWA SVAIHQVQVCRGLAKQTELNDFLLDVSKTYFDNIVAIDSLLEHIMIYAKNLVNADRCALF QVDHKNKELYSDLFDIGEEKEGKPIFKKTKEIRFSIEKGIAGQVARTGEVLNIPDAYADP RFNREVDLYTGYTTRNILCMPIVSRGSVIGVVQMVNKISGSAFSKTDENNFKMFAVFCAL ALHCANMYHRIRHSECIYRVTMEKLSYHSICTSEEWQGLMRFNLPARICRDIELFHFDIG PFENMWPGIFVYMIHRSCGTSCFELEKLCRFIMSVKKNYRRVPYHNWKHAVTVAHCMYAI LQNNNGLFTDLERKGLLIACLCHDLDHRGFSNSYLQKFDHPLAALYSTSTMEQHHFSQTV SILQLEGHNIFSTLSSSEYEQVLEIIRKAIIATDLALYFGNRKQLEEMYQTGSLNLHNQS HRDRVIGLMMTACDLCSVTKLWPVTKLTANDIYAEFWAEGDEMKKLGIQPIPMMDRDKRD EVPQGQLGFYNAVAIPCYTTLTQILPPTEPLLKACRDNLNQWEKVIRGEETAMWISGPGP APSKSTPEKLNVKVED >ENSMUSP00000138135.1 pep:known chromosome:GRCm38:17:8801779:8986645:1 gene:ENSMUSG00000023868.15 transcript:ENSMUST00000115717.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde10a description:phosphodiesterase 10A [Source:MGI Symbol;Acc:MGI:1345143] MEDGPSNNASCFRRLTECFLSPSLTDEKVKAYLSLHPQVLDEFVSESVSAETVEKWLKRK TNKAKDEPSPKEVSRYQDTNMQGVVYELNSYIEQRLDTGGDNHLLLYELSSIIRIEPVCV HTTRDEGRPTPAHPCRAHHPGYHHLCLRGQV >ENSMUSP00000111385.1 pep:known chromosome:GRCm38:17:8849985:8982400:1 gene:ENSMUSG00000023868.15 transcript:ENSMUST00000115720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde10a description:phosphodiesterase 10A [Source:MGI Symbol;Acc:MGI:1345143] MEKLYGLTDEKVKAYLSLHPQVLDEFVSESVSAETVEKWLKRKTNKAKDEPSPKEVSRYQ DTNMQGVVYELNSYIEQRLDTGGDNHLLLYELSSIIRIATKADGFALYFLGECNNSLCVF IPPGMKEGQPRLIPAGPITQGTTISAYVAKSRKTLLVEDILGDERFPRGTGLESGTRIQS VLCLPIVTAIGDLIGILELYRHWGKEAFCLSHQEVATANLAWASVAIHQVQVCRGLAKQT ELNDFLLDVSKTYFDNIVAIDSLLEHIMIYAKNLVNADRCALFQVDHKNKELYSDLFDIG EEKEGKPIFKKTKEIRFSIEKGIAGQVARTGEVLNIPDAYADPRFNREVDLYTGYTTRNI LCMPIVSRGSVIGVVQMVNKISGSAFSKTDENNFKMFAVFCALALHCANMYHRIRHSECI YRVTMEKLSYHSICTSEEWQGLMRFNLPARICRDIELFHFDIGPFENMWPGIFVYMIHRS CGTSCFELEKLCRFIMSVKKNYRRVPYHNWKHAVTVAHCMYAILQNNNGLFTDLERKGLL IACLCHDLDHRGFSNSYLQKFDHPLAALYSTSTMEQHHFSQTVSILQLEGHNIFSTLSSS EYEQVLEIIRKAIIATDLALYFGNRKQLEEMYQTGSLNLHNQSHRDRVIGLMMTACDLCS VTKLWPVTKLTANDIYAEFWAEGDEMKKLGIQPIPMMDRDKRDEVPQGQLGFYNAVAIPC YTTLTQILPPTEPLLKACRDNLNQWEKVIRGEETAMWISGPGPAPSKSTPEKLNVKVED >ENSMUSP00000024647.6 pep:known chromosome:GRCm38:17:8880426:8982367:1 gene:ENSMUSG00000023868.15 transcript:ENSMUST00000024647.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde10a description:phosphodiesterase 10A [Source:MGI Symbol;Acc:MGI:1345143] MQGVVYELNSYIEQRLDTGGDNHLLLYELSSIIRIATKADGFALYFLGECNNSLCVFIPP GMKEGQPRLIPAGPITQGTTISAYVAKSRKTLLVEDILGDERFPRGTGLESGTRIQSVLC LPIVTAIGDLIGILELYRHWGKEAFCLSHQEVATANLAWASVAIHQVQVCRGLAKQTELN DFLLDVSKTYFDNIVAIDSLLEHIMIYAKNLVNADRCALFQVDHKNKELYSDLFDIGEEK EGKPIFKKTKEIRFSIEKGIAGQVARTGEVLNIPDAYADPRFNREVDLYTGYTTRNILCM PIVSRGSVIGVVQMVNKISGSAFSKTDENNFKMFAVFCALALHCANMYHRIRHSECIYRV TMEKLSYHSICTSEEWQGLMRFNLPARICRDIELFHFDIGPFENMWPGIFVYMIHRSCGT SCFELEKLCRFIMSVKKNYRRVPYHNWKHAVTVAHCMYAILQNNNGLFTDLERKGLLIAC LCHDLDHRGFSNSYLQKFDHPLAALYSTSTMEQHHFSQTVSILQLEGHNIFSTLSSSEYE QVLEIIRKAIIATDLALYFGNRKQLEEMYQTGSLNLHNQSHRDRVIGLMMTACDLCSVTK LWPVTKLTANDIYAEFWAEGDEMKKLGIQPIPMMDRDKRDEVPQGQLGFYNAVAIPCYTT LTQILPPTEPLLKACRDNLNQWEKVIRGEETAMWISGPGPAPSKSTPEKLNVKVED >ENSMUSP00000123216.1 pep:known chromosome:GRCm38:17:8924143:8984288:1 gene:ENSMUSG00000023868.15 transcript:ENSMUST00000149440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde10a description:phosphodiesterase 10A [Source:MGI Symbol;Acc:MGI:1345143] MPGPGQYQDTNMQGVVYELNSYIEQRLDTGGDNHLLLYELSSIIRIATKADGFALYFLGE CNNSLCVFIPPGMKEGQPRLIPAGPITQGTTISAYVAKSRKTLLVEDILGDERFPRGTGL ESGTRIQSVLCLPIVTAIGDLIGILELYRHWGKEAFCLSHQEVATANLAWASVAIHQVQV CRGLAKQTELNDFLLDVSKTYFDNIVAIDSLLEHIMIYAKNLVNADRCALFQVDHKNKEL YSDLFDIGEEKEGKPIFKKTKEIRFSIEKGIAGQVARTGEVLNIPDAYADPRFNREVDLY TGYTTRNILCMPIVSRGSVIGVVQMVNKISGSAFSKTDENNFKMFAVFCALALHCANMYH RIRHSECIYRVTMEKLSYHSICTSEEWQGLMRFNLPARICRDIELFHFDIGPFENMWPGI FVYMIHRSCGTSCFELEKLCRFIMSVKKNYRRVPYHNWKHAVTVAHCMYAILQNNNGLFT DLERKGLLIACLCHDLDHRGFSNSYLQKFDHPLAALYSTSTMEQHHFSQTVSILQLEGHN IFSTLSSSEYEQVLEIIRKAIIATDLALYFGNRKQLEEMYQTGSLNLHNQSHRDRVIGLM MTACDLCSVTKLWPVTKLTANDIYAEFWAEGDEMKKLGIQPIPMMDRDKRDEVPQGQLGF YNAVAIPCYTTLTQILPPTEPLLKACRDNLNQWEKVIRGEETAMWISGPGPAPSKSTPEK LNVKVED >ENSMUSP00000111373.1 pep:known chromosome:GRCm38:17:8945569:8982391:1 gene:ENSMUSG00000023868.15 transcript:ENSMUST00000115708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde10a description:phosphodiesterase 10A [Source:MGI Symbol;Acc:MGI:1345143] MIYAKNLVNADRCALFQVDHKNKELYSDLFDIGEEKEGKPIFKKTKEIRFSIEKGIAGQV ARTGEVLNIPDAYADPRFNREVDLYTGYTTRNILCMPIVSRGSVIGVVQMVNKISGSAFS KTDENNFKMFAVFCALALHCANMYHRIRHSECIYRVTMEKLSYHSICTSEEWQGLMRFNL PARICRDIELFHFDIGPFENMWPGIFVYMIHRSCGTSCFELEKLCRFIMSVKKNYRRVPY HNWKHAVTVAHCMYAILQNNNGLFTDLERKGLLIACLCHDLDHRGFSNSYLQKFDHPLAA LYSTSTMEQHHFSQTVSILQLEGHNIFSTLSSSEYEQVLEIIRKAIIATDLALYFGNRKQ LEEMYQTGSLNLHNQSHRDRVIGLMMTACDLCSVTKLWPVTKLTANDIYAEFWAEGDEMK KLGIQPIPMMDRDKRDEVPQGQLGFYNAVAIPCYTTLTQILPPTEPLLKACRDNLNQWEK VIRGEETAMWISGPGPAPSKSTPEKLNVKVED >ENSMUSP00000092103.4 pep:known chromosome:GRCm38:4:144357942:144364419:1 gene:ENSMUSG00000070619.5 transcript:ENSMUST00000094526.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13119 description:predicted gene 13119 [Source:MGI Symbol;Acc:MGI:3651680] MSFQAPPTLLSLAVQSLAKNEALAINALQELPRELFPPLFKAAFTGRHTKILKEMVGAWP LPCLPVGALMNIPDMTVLQAVLDGVDMQLTGNFHPSKRKLQVLDLRNVHHHFWDVSAGPE DEDSSAETVCQKQKATHPHRYALRRRLKVVTDLHLQFDLEEHQTYFLQWAQERRASLNLC CVKLQIRGLAMHTVRKILQIFQPYCIEELELNTGWTLSTLACFAPCLGQMKNLRKLHLTL VHEKLFTFLHTSTDIQEKSVTKFISQFSKLNSLQHLALAGLYFLTGHMNELLGCLKTPLE FLSMALCKYSQSDMESFAQCQSLHHLKHLHLAGIILHDLSLVPLGIFLENVANTLKTLEL EHCRMTDSQVIAFIPALNKCSQLIEVNFCDNDISTSVLMNLLHHTANLSQLIMELYPAPL ECYETGFVLRAERFVQLSSELMNILRTVRQPHSICFASQLCLICSQRCTYGLETRLCHCC Q >ENSMUSP00000037406.8 pep:known chromosome:GRCm38:1:74661745:74703730:1 gene:ENSMUSG00000033257.14 transcript:ENSMUST00000042125.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll4 description:tubulin tyrosine ligase-like family, member 4 [Source:MGI Symbol;Acc:MGI:1914784] MASAGTEHYSIGLRRGNSFKQRHPSGTVSASPSEKPSEVKVWSQAHQQVKPIWKLEKKHV GTLSAGLGTSFLGVPSQPAYFLCPSTLCSSGTTAVIAGHSNPCYLQSLPNLFSNTLLYRR TNVRQKPYQQLESFCLRSSPSEKRSFSLPQKGLPVSVTANKATSSTVFPMAQPMATSPTD PYLSLAAAGENPSRKSLASAISGKIASPLSYKPMLNNNSFMRPNSTKVPLSQATDGLKPV SSPKIQPVSWHHSGGTGDCVPQPGDHKVPQNIATVLDDVTAPITPSIPSTLNISTASVTS SQCSQSNFRMEAHPCGLDENPDSQSATKEVHFTEAVRKLAEKGLEKMPRQGYQFEQACFV NPSFQWGLLNRSRRWKPLMGQRFPQEDIGLDSAILPGTSDTLGLDSTVFCTKRISIHLLA SHVHGLNPSPACGSAVDPQVLGEDRAPVPPSSLQPLGVAEVATRLSSVHLDQPGKEPEEA KDLNSCTKGGGSATDLQPNQVEPEDTEDELGDGLEDSCSHDENEEEEGDSECSSLSVVSP SESVALISRNCVDLMSKSLPNHEKVVRPALIYSLFPNVTPTIYFGTRDERVEKLPWEQRR LLRWKMSTVTPNIVKQTIGRSHFKISKRNDDWLGCWGHHMKSPGFRSIREHQKLNHFPGS FQIGRKDRLWRNLSRMQSRFGKKEFSFFPQSFILPQDSKLLRKAWESSSRQKWIVKPPAS ARGIGIQVIHKWSQLPKRRPLLVQRYLHKPYLISGSKFDLRIYVYVTSYDPLRIYLFSDG LVRFASCKYSPSMKSLSNKFMHLTNYSVNKKNTEYQANADETACQGHKWALKALWNYLSQ KGINSDAIWEKIKDVVVKTIISSEPYVTNLLKLYVRRPYSCHELFGFDIMLDENLKPWVL EVNISPSLHSNSPLDISIKGQMIRDLLNLAGFVLPNMEDIISSSSSPSSSSGSSTSLPSS PRDKCQMTPEHFTAQKMKKAYYLTQKIPDQDFYASVLDVLTPDDVRVLVEMEDEFSRRGQ FERIFPSRISSRYLRFFEQPRYFNILTTQWEQKYHGNKLKGVDLLRNWCYKGFHTGIVSD SAPLWSLPTSLMTTSKGDGTPNSASKSRKKSASEGTTLSSEDRSTPKSKKSQAGLSPISR KTLSSRSNENTSKQSKRSTPGLPVLKYSGQSSRLSAASASQSVTDSRLTAVSS >ENSMUSP00000116733.1 pep:known chromosome:GRCm38:1:74661750:74685357:1 gene:ENSMUSG00000033257.14 transcript:ENSMUST00000141119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll4 description:tubulin tyrosine ligase-like family, member 4 [Source:MGI Symbol;Acc:MGI:1914784] MQLVLEPLGVAEVATRLSSVHLDQPGKEPEEAKDLNSCTKGGGSATDLQPNQVEPEDTED ELGDGLEDSCSHDENEEEEGDSECSSLSVVSPSESVALISRNCVDLMSKSLPN >ENSMUSP00000119964.1 pep:known chromosome:GRCm38:1:74661817:74681791:1 gene:ENSMUSG00000033257.14 transcript:ENSMUST00000129890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll4 description:tubulin tyrosine ligase-like family, member 4 [Source:MGI Symbol;Acc:MGI:1914784] MQRGWEEEECPGNSGDFTQPLGVAEVATRLSSVHLDQPGKEPEEAKDLNSCTKGGGSATD LQPNQVEPEDTEDELGDGLEDSCSHDENEEEEGDSECSSLSVV >ENSMUSP00000109308.1 pep:known chromosome:GRCm38:1:74678898:74697977:1 gene:ENSMUSG00000033257.14 transcript:ENSMUST00000113678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll4 description:tubulin tyrosine ligase-like family, member 4 [Source:MGI Symbol;Acc:MGI:1914784] MASAGTEHYSIGLRRGNSFKQRHPSGTVSASPSEKPSEVKVWSQAHQQVKPIWKLEKKHV GTLSAGLGTSFLGVPSQPAYFLCPSTLCSSGTTAVIAGHSNPCYLQSLPNLFSNTLLYRR TNVRQKPYQQLESFCLRSSPSEKRSFSLPQKGLPVSVTANKATSSTVFPMAQPMATSPTD PYLSLAAAGENPSRKSLASAISGKIASPLSYKPMLNNNSFMRPNSTKVPLSQATDGLKPV SSPKIQPVSWHHSGGTGDCVPQPGDHKVPQNIATVLDDVTAPITPSIPSTLNISTASVTS SQCSQSNFRMEAHPCGLDENPDSQSATKEVHFTEAVRKLAEKGLEKMPRQGYQFEQACFV NPSFQWGLLNRSRRWKPLMGQRFPQEDIGLDSAILPGTSDTLGLDSTVFCTKRISIHLLA SHVHGLNPSPACGSAVDPQVLGEDRAPVPPSSLQPLGVAEVATRLSSVHLDQPGKEPEEA KDLNSCTKGGGSATDLQPNQVEPEDTEDELGDGLEDSCSHDENEEEEGDSECSSLSVVSP SESVALISRNCVDLMSKSLPNHEKVVRPALIYSLFPNVTPTIYFGTRDERVEKLPWEQRR LLRWKMSTVTPNIVKQTIGRSHFKISKRNDDWLGCWGHHMKSPGFRSIREHQKPASARGI GIQVIHKWSQLPKRRPLLVQRYLHKPYLISGSKFDLRIYVYVTSYDPLRIYLFSDGLVRF ASCKYSPSMKSLSNKFMHLTNYSVNKKNTEYQANADETACQGHKWALKALWNYLSQKGIN SDAIWEKIKDVVVKTIISSEPYVTNLLKLYVRRPYSCHELFGFDIMLDENLKPWVLEVNI SPSLHSNSPLDISIKGQMIRDLLNLAGFVLPNMEDIISSSSSPSSSSGSSTSLPSSPRDK CQMTPEHFTAQKMKKAYYLTQKIPDQDFYASVLDVLTPDDVRVLVEMEDEFSRRGQFERI FPSRISSRYLRFFEQPRYFNILTTQWEQKYHGNKLKGVDLLRNWCYKGFHTGIVSDSAPL WSLPTSLMTTSKGDGTPNSASKSRKKSASEGTTLSSEDRSTPKSKKSQAGLSPISRKTLS SRSNENTSKQSKRSTPGLPVLKYSGQSSRLSAASASQSVTDSRLTAVSS >ENSMUSP00000102501.1 pep:known chromosome:GRCm38:7:103550368:103555504:1 gene:ENSMUSG00000078624.2 transcript:ENSMUST00000106888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr613 description:olfactory receptor 613 [Source:MGI Symbol;Acc:MGI:3030447] MSDVNTTSNWPTFSFIGIPGLEAAHMWISIPFCLLYLVALGGNFLLLLLVRAEQNLHEPQ FYFLAMLALTDLGLSLSTMPSVLAIFWFDVHDVGLDACLTQMFFIHTLSSVESGVLVAMA FDRLVAICAPLTYTRILNHQTVLCLSGAALIRGATLLAPLPFFLRTFPFCGANILSHSYC YYPDMLNLACGDVTFSSVYGLVCVLCTFAVDVIFILVSYMKILGTVMKLGIQDRNWKSLQ TCVCHLCTVLVFYLPLISLAVLHRYTQETSPILYTTMSNAYLLMTPLLNPLVYSLKSRQI QAALHKRFGVQRVVAGE >ENSMUSP00000106382.1 pep:known chromosome:GRCm38:8:21201561:21202539:1 gene:ENSMUSG00000079116.1 transcript:ENSMUST00000110754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15293 description:predicted gene 15293 [Source:MGI Symbol;Acc:MGI:3705230] MKTFVLLSALVLLAFQAQADPIHKTDEETNTEEQPGEEDQAVSISSGGQEGSALHEELSK KLICYCRIRGCKRRECVFGTCRNLFLTFVFCCS >ENSMUSP00000095800.2 pep:known chromosome:GRCm38:7:103560479:103561420:1 gene:ENSMUSG00000073947.3 transcript:ENSMUST00000098198.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr615 description:olfactory receptor 615 [Source:MGI Symbol;Acc:MGI:3030449] MSEFNTTFQPSVFILTGLRGLVGARLWLGPLLSLMYITTLAGNCTVIYLVRTERSLQEPQ YQFLSMLAGADIVLSVSTLFSVLKVFIFDLYEIAFDSCLAQLFFIHTSSSMGSGILLAMA FDRFVAISHPLQYTTILTNSRVTRMGLAAFLRGVALMMPLPILLKRLPFCKGQLLSYSYC IHPNVMKLACGQVKINIFYGLVLVIFSFGVDFLLIAISYALIFQAVMGIASREGQMKALN TCLSHIFIVFIYYGPLLAITVMHRISRRSSPIAHAVLGNIYLFMPPMLNPIVYSLKTKQI RSALRKSLKIQRR >ENSMUSP00000057238.8 pep:known chromosome:GRCm38:6:18848579:18854053:1 gene:ENSMUSG00000044155.11 transcript:ENSMUST00000056398.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm8 description:LSM8 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923772] MTSALENYINRTVAVITSDGRMIVGTLKGFDQTINLILDESHERVFSSSQGVEQVVLGLY IVRGDNVAVIGEIDEETDSALDLGNIRAEPLNSVAH >ENSMUSP00000144884.1 pep:known chromosome:GRCm38:6:18848668:18853747:1 gene:ENSMUSG00000044155.11 transcript:ENSMUST00000201141.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm8 description:LSM8 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923772] MSTVILREFSLTYTQDTVLAARRYEILTGTLKGFDQTINLILDESHERVFSSSQGVEQVV LGLYIVRGDNVAVIGEIDEETDSALDLGNIRAEPLNSVAH >ENSMUSP00000032128.4 pep:known chromosome:GRCm38:6:87373357:87379497:1 gene:ENSMUSG00000030049.5 transcript:ENSMUST00000032128.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gkn2 description:gastrokine 2 [Source:MGI Symbol;Acc:MGI:1913534] MKPLVAFLVVLSIFGIQSQAEEIFNIFVPSKNGGNIQETVTIDNQQNTATINIHSGSCSS TTIFDYKHGYIASRVLSRRACYVIKMDHKAIPALDKLQRFLYEKQTMNAIDSPEYTWVRY NPLKSLITKVDWFLFGSPIRQLCKHMPLYEGEVATKPKEVSTGACAKVGLLGILGVSICG GIHL >ENSMUSP00000021345.6 pep:known chromosome:GRCm38:12:91555916:91590009:-1 gene:ENSMUSG00000020962.13 transcript:ENSMUST00000021345.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2a1 description:general transcription factor II A, 1 [Source:MGI Symbol;Acc:MGI:1933277] MANSANTNTVPKLYRSVIEDVINDVRDIFLDDGVDEQVLMELKTLWENKLMQSRAVDGFH SEEQQLLLQVQQQHQPQQQQHHHHHHQHQQAQPQQTVPQQAQTQQVLIPASQQATAPQVI VPDSKLLQHMNASSITSAAATAATLALPAGVTPVQQLLTNSGQLLQVVRAANGAQYILQP QQSVVLQQQVIPQMQPGGVQAPVIQQVLAPLPGGISPQTGVIIQPQQILFTGNKTQVIPT TVAAPAPAQAPMPAAGQQQPQAQPAQQQAPLVLQVDGTGDTSSEEDEDEEEDYDDDEEED KEKDGAEDGQVEEEPLNSEDDVSDEEGQELFDTENVVVCQYDKIHRSKNKWKFHLKDGIM NLNGRDYIFSKAIGDAEW >ENSMUSP00000068562.6 pep:known chromosome:GRCm38:12:91558688:91590487:-1 gene:ENSMUSG00000020962.13 transcript:ENSMUST00000063314.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2a1 description:general transcription factor II A, 1 [Source:MGI Symbol;Acc:MGI:1933277] MELKTLWENKLMQSRAVDGFHSEEQQLLLQVQQQHQPQQQQHHHHHHQHQQAQPQQTVPQ QAQTQQVLIPASQQATAPQVIVPDSKLLQHMNASSITSAAATAATLALPAGVTPVQQLLT NSGQLLQVVRAANGAQYILQPQQSVVLQQQVIPQMQPGGVQAPVIQQVLAPLPGGISPQT GVIIQPQQILFTGNKTQVIPTTVAAPAPAQAPMPAAGQQQPQAQPAQQQAPLVLQVDGTG DTSSEEDEDEEEDYDDDEEEDKEKDGAEDGQVEEEPLNSEDDVSDEEGQELFDTENVVVC QYDKIHRSKNKWKFHLKDGIMNLNGRDYIFSKAIGDAEW >ENSMUSP00000126039.1 pep:known chromosome:GRCm38:9:106499967:106502244:1 gene:ENSMUSG00000066383.6 transcript:ENSMUST00000164965.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcf1 description:IQ motif containing F1 [Source:MGI Symbol;Acc:MGI:1921517] MSKKKQELSEKDQVVKIQAWWRGTLVRRSLLHAALSAWIIQCWWRLILPKIMEKRRQSML DTFQQEQWAVVRLQSWIRMWRIRRRYCRLLKAVRTIQSHWRGHTCSSRGVIKGQYRISTS QMHLELEVLLGSGPCIVTECIPLPIKQ >ENSMUSP00000082195.5 pep:known chromosome:GRCm38:9:106499992:106502249:1 gene:ENSMUSG00000066383.6 transcript:ENSMUST00000085114.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcf1 description:IQ motif containing F1 [Source:MGI Symbol;Acc:MGI:1921517] MGEEQQKPEELNAPTDDAPQEKQQPADLSSETEKAKSKKKQELSEKDQVVKIQAWWRGTL VRRSLLHAALSAWIIQCWWRLILPKIMEKRRQSMLDTFQQEQWAVVRLQSWIRMWRIRRR YCRLLKAVRTIQSHWRGHTCSSRGVIKGQYRISTSQMHLELEVLLGSGPCIVTECIPLPI KQ >ENSMUSP00000128952.1 pep:known chromosome:GRCm38:14:118266960:118267688:1 gene:ENSMUSG00000092109.2 transcript:ENSMUST00000171107.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9376 description:predicted gene 9376 [Source:MGI Symbol;Acc:MGI:3643023] MKPETGEDPGKLCTHRRSDNGKLKEGTQVSSSLMMEEIDRMIVQMRLKDSKTVLISKTEL TDVQFQKLRKHFETDCYPNEETLQAFAEELKLQKDVIRSWFITQRRRMMRYRWFFTSYYR DWKTSCEYSTTRRFDRQKNSKECSQNDPGLPEELEALKRLKLSSGYQSRDGMSQDF >ENSMUSP00000096695.3 pep:known chromosome:GRCm38:2:164569695:164573459:-1 gene:ENSMUSG00000074596.3 transcript:ENSMUST00000099097.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spint3 description:serine peptidase inhibitor, Kunitz type, 3 [Source:MGI Symbol;Acc:MGI:3651470] MQLQAYFFLFLILIFCQELCAEPNNVPRKSLPPMCILPKDIGGCRAVFVRWYYNSKTGKC EWFRYGGCKGNENNFPSRSQCQAVCTNT >ENSMUSP00000118779.1 pep:known chromosome:GRCm38:12:41024090:41955588:1 gene:ENSMUSG00000056899.10 transcript:ENSMUST00000132121.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immp2l description:IMP2 inner mitochondrial membrane peptidase-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2135611] MAQSQSWARRCFKAFCKGFFVAVPVAVTFLDRVACVARVEGSSMQPSLNPGGSQSSDVVL LNHWKVRNFEVQRGDIVSLVSPKNPEQKIIKRVIALEGDIVRTIGHKNRLVKVPRGHMWV EGDHHGHSFDSNSFGPVSLGLLHAHATHILWPPERWQRLESVLPPERCPLQTGEK >ENSMUSP00000116441.1 pep:known chromosome:GRCm38:12:41024329:41955242:1 gene:ENSMUSG00000056899.10 transcript:ENSMUST00000134965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immp2l description:IMP2 inner mitochondrial membrane peptidase-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2135611] MAQSQSWARRCFKAFCKGFFVAVPVAVTFLDRVACVARVEGSSMQPSLNPGGSQSSDVVL LNHWKVRNFEVQRGDIVSLVSPKNPEQKIIKRVIALEGDIVRTIGHKNRLVKVPRGHMWV EGDHHGHSFDSNSFGPVSLGLLHAHATHILWPPERWQRLESVLPPERCPLQTGEK >ENSMUSP00000079055.3 pep:known chromosome:GRCm38:12:41068312:41110943:1 gene:ENSMUSG00000056899.10 transcript:ENSMUST00000080160.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immp2l description:IMP2 inner mitochondrial membrane peptidase-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2135611] MAQSQSWARRCFKAFCKGFFVAVPVAVTFLDRVACVARVEGSSMQPSLNPGGSQSSDVVL LNHWKVRNFEVQRGDIVSLV >ENSMUSP00000021049.2 pep:known chromosome:GRCm38:11:106256154:106263120:1 gene:ENSMUSG00000020708.12 transcript:ENSMUST00000021049.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc5 description:protease (prosome, macropain) 26S subunit, ATPase 5 [Source:MGI Symbol;Acc:MGI:105047] MALDGPEQMELEEGKAGSGLRQYYLSKIEELQLIVNDKSQNLRRLQAQRNELNAKVRLLR EELQLLQEQGSYVGEVVRAMDKKKVLVKVHPEGKFVVDVDKNIDINDVTPNCRVALRNDS YTLHKILPNKVDPLVSLMMVEKVPDSTYEMIGGLDKQIKEIKEVIELPVKHPELFEALGI AQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKFIGEGARMVRELFVMARE HAPSIIFMDEIDSIGSSRLEGGSGGDSEVQRTMLELLNQLDGFEATKNIKVIMATNRIDI LDSALLRPGRIDRKIEFPPPNEEARLDILKIHSRKMNLTRGINLRKIAELMPGASGAEVK GVCTEAGMYALRERRVHVTQEDFEMAVAKVMQKDSEKNMSIKKLWK >ENSMUSP00000138057.1 pep:known chromosome:GRCm38:11:106256154:106263120:1 gene:ENSMUSG00000020708.12 transcript:ENSMUST00000133131.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmc5 description:protease (prosome, macropain) 26S subunit, ATPase 5 [Source:MGI Symbol;Acc:MGI:105047] MALDGPEQMELEEGKAGSGLRQYYLSKIEELQLIVNDKSQNLRRLQAQRNELNAKVRLLR EELQLLQEQGSYVGEVVRAMDKKKVLVKVHPEGKFVVDVDKNIDINDVTPNCRVALRNDS YTLHKILPNKVDPLVSLMMVEKVPDSTYEMIGGLDKQIKEIKEVIELPVKHPELFEALGI AQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKFIGEGARMVRELFVMARE HAPSIIFMDEIDSIGSSRLEGGSGGDSEVQRTMLELLNQLDGFEATKNIKVIMATNRIDI LDSALLRPGRIDRKIEFPPPNEEVCAGGAWRSSGYGDSAGLRAFFSYP >ENSMUSP00000146666.1 pep:known chromosome:GRCm38:17:37563239:37569449:-1 gene:ENSMUSG00000051611.8 transcript:ENSMUST00000208832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr112 description:olfactory receptor 112 [Source:MGI Symbol;Acc:MGI:2177495] MIVENITTMRGFLLMGFSDNHELQIVQAVLFLVTYLVGSAGNVIIITITTLDPQLQSPMY YFLKHLSILDLSSLSVTVPQYVDSSLAQSGYISYAQCMLQIFFFTAFAWGELAILTVMSY DRYVAICLPLHYEVIMSPRKCTWAVATVWLSGGISGTLYITGTLFIRFCGDKIIHQFFCD VPQLLKLSCSNDHLVIMDMVSFLTAVSFACFTGIVISYVHIFSTVLRMPSAESRSKVFST CLPHLFVVSLFLSTGAFAYLNLTSDSSTALEFLLSIFYTVLPPTLNPVIYSLRNETIKNV VRKLLLSTKFTVRIIFSCCF >ENSMUSP00000133654.1 pep:known chromosome:GRCm38:17:37563410:37569451:-1 gene:ENSMUSG00000051611.8 transcript:ENSMUST00000174798.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr112 description:olfactory receptor 112 [Source:MGI Symbol;Acc:MGI:2177495] MIVENITTMRGFLLMGFSDNHELQIVQAVLFLVTYLVGSAGNVIIITITTLDPQLQSPMY YFLKHLSILDLSSLSVTVPQYVDSSLAQSGYISYAQCMLQIFFFTAFAWGELAILTVMSY DRYVAICLPLHYEVIMSPRKCTWAVATVWLSGGISGTLYITGTLFIRFCGDKIIHQFFCD VPQLLKLSCSNDHLVIMDMVSFLTAVSFACFTGIVISYVHIFSTVLRMPSAESRSKVFST CLPHLFVVSLFLSTGAFAYLNLTSDSSTALEFLLSIFYTVLPPTLNPVIYSLRNETIKNV >ENSMUSP00000082684.3 pep:known chromosome:GRCm38:17:37563239:37567094:-1 gene:ENSMUSG00000051611.8 transcript:ENSMUST00000085548.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr112 description:olfactory receptor 112 [Source:MGI Symbol;Acc:MGI:2177495] MIVENITTMRGFLLMGFSDNHELQIVQAVLFLVTYLVGSAGNVIIITITTLDPQLQSPMY YFLKHLSILDLSSLSVTVPQYVDSSLAQSGYISYAQCMLQIFFFTAFAWGELAILTVMSY DRYVAICLPLHYEVIMSPRKCTWAVATVWLSGGISGTLYITGTLFIRFCGDKIIHQFFCD VPQLLKLSCSNDHLVIMDMVSFLTAVSFACFTGIVISYVHIFSTVLRMPSAESRSKVFST CLPHLFVVSLFLSTGAFAYLNLTSDSSTALEFLLSIFYTVLPPTLNPVIYSLRNETIKNV VRKLLLSTKFTVRIIFSCCF >ENSMUSP00000143197.1 pep:known chromosome:GRCm38:3:107232797:107238652:-1 gene:ENSMUSG00000070368.9 transcript:ENSMUST00000197758.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prok1 description:prokineticin 1 [Source:MGI Symbol;Acc:MGI:2180370] MKAVVKTKACERDIQCGAGTCCAISLWLRGLRLCTPLGREGEECHPGSHKIPFLRKRQHH TCPCSPSLLCSRFPDGRYRCFRDLKNANF >ENSMUSP00000060617.8 pep:known chromosome:GRCm38:3:107235132:107239707:-1 gene:ENSMUSG00000070368.9 transcript:ENSMUST00000049852.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prok1 description:prokineticin 1 [Source:MGI Symbol;Acc:MGI:2180370] MRGAVHIFIMLLLATASDCAVITGACERDIQCGAGTCCAISLWLRGLRLCTPLGREGEEC HPGSHKIPFLRKRQHHTCPCSPSLLCSRFPDGRYRCFRDLKNANF >ENSMUSP00000014499.3 pep:known chromosome:GRCm38:2:128610104:128687391:-1 gene:ENSMUSG00000014355.10 transcript:ENSMUST00000014499.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc1 description:anaphase promoting complex subunit 1 [Source:MGI Symbol;Acc:MGI:103097] MSNFSEERATMIAAGDLQEFVPFGRDHCKHHPNALNLQLRQLQPASELWSSDGAAGLVGS LQEVTIHEKQKESWQLRKGVSEIGDAADYDEELYVAGNMVIWSKGSKSQALAVYKAFTVD STVQQALWCDFIISQDKSEKIYKSHELEKCICILQSSCMNMHSIDGKDYIASLPFQVANV WATKYGLLFERCSSSHEVPPSLPREPLPTMFSMLHPLDEITPLVCKSGSLFGSSRVQYVV DPAVKIVFLNIDPSIVMTYDAVQNVHSVWTLRRVKPEEENAVLKFPEQAGTLQNATTSSS LTAHLRSLSKGESPVASPFQNYSSIHSQSRSTSSPSLHSRSPSISNMAALSRAHSPALGV HSFSGAQRFNLSSHSQSPKRHSISHSPSGSFNDSFLAPETEPIVPELCIDHLWTETLPNI REKNSQASKVFITTDLCGQKFLCFLVEAQLQLRCVKFQESNDKTQLIFGSVTNIHAKDAA PVEKIHTMLVLEGNGNLVLYTGVVRVGKVFIPGLPAPSLTMSNMMPRPSTPLDGVGTPKP LSKLLGSMDEVVLLSPVPELRDSSKLNDSLYNEDCTFQQLGTYIHSVRDPVHNRVTLELS NGSMVRITIPEVATSELVQTCLQAIKFILPKEVAIQVLVKWYNVHSAPGGPSCHSEWSLF VICLLNMMGYNTDRLAWTRSFDFEGSLSPVIAPKKARPSDTGSDEDWEYLLNSEYHRNVE SHLLNKSLCLTALEVSNAKDEDFSQNLSLDSSTLLFAHIPAIFFVLHLVYEELKLNTLMG EGICSLIDLLVQLARDLKLDSYLDHYYRDSPTLVKTTGQVCTIDQGQMGFMHHPPFFTSE PPSIYQWVSSCLKGEGMPPYPYLPGICERSRLVVLSIALYTLGDESCVSDETCQYLSKVT STPQKPQAEQEENRFTFRHSASVSVLAERLVVWMASVGFTLRDLETLPFGIALPIRDAIY HCREQPDSDWSEAVCLLIGRQDLSKQACEGNLPRGKSVLSSEVSSGTEAEEEDDGMNDLN HEVMSLIWSEDLRVQDVRRLLQSAQPVRVNVVQYPELSDHEFIEEKENRLLQLCQRTMAL PVGRGMFTLFSYHPVPTEPLPVPKLNLTGRAPPRNTTVDLNSGNIDVPPNMASWASFHNG VAAGLKIAPASQIDSAWIVYNKPKHAELANEYAGFLMALGLNGHLTKLATLNIHDYLTKG HEMTSIGLLLGVSAAKLGTMDMSITRLLSIHVPALLPPTSTELDVPHNVQVAAVVGIGLV YQGTAHRHTAEVLLAEIGRPPGPEMEYCTDRESYSLAAGLALGMVCLGHGSNLIGMSDLN VPEQLYQYMVGGHRRFQTGMHREKHKSPSYQIKEGDTINVDVTCPGATLALAMIYLKTNN RSIADWLRAPDTMYLLDFVKPEFLLLRTLARCLILWDDILPNSKWVDSNVPQIIRENSIS LSEIELPCSEDLNLETLSQAHVYIIAGACLSLGFRFAGSENLSAFSCLHKFAKDFMNYLS APNASVTGPYNLETCLSVVLLSLAMVMAGSGNLKVLQLCRFLHMKTGGEMNYGFHLAHHM ALGLLFLGGGRYSLSTSNSSIAALLCALYPHFPAHSTDNRYHLQALRHLYVLAAEPRLLV PVDVDTNTPCYALIEVTYKGTQWYEQTKEELMAPTLLPELHLLKQMKVKGPRYWELLIDL SKGEQHLRSILSKDGVLYVKLRAGQLSYKEDPMGWQSLLAQTVANRNSEARAFKPETISS FTSDPALLSFAEYFCKPTVSMGPKQEILDLFSSILYECVAQETPEMLPAYIAMDQALRSL KKRDMSDTSDLWQIKLILEFFSSRSHQDRQHTYPKRGLFINSEFLPVVKCTVDATLDQWL QAGGDVCVHAYLSGQPVEKSQLNMLACFLVYHSVPAPRHLPPMGLEGSTSFAELLYRFRH LKMPVRALLRLAPVLLGNPQPMVM >ENSMUSP00000105961.1 pep:known chromosome:GRCm38:2:128685049:128687354:-1 gene:ENSMUSG00000014355.10 transcript:ENSMUST00000110332.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc1 description:anaphase promoting complex subunit 1 [Source:MGI Symbol;Acc:MGI:103097] MSNFSEERATMIAAGDLQEFVPFGRDHCKHHPNALNLQLRQLQPASELWSSDGAAGLVGS LQEVTIHEKQKVLLRFSSYF >ENSMUSP00000105962.1 pep:known chromosome:GRCm38:2:128642077:128687376:-1 gene:ENSMUSG00000014355.10 transcript:ENSMUST00000110333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc1 description:anaphase promoting complex subunit 1 [Source:MGI Symbol;Acc:MGI:103097] MSNFSEERATMIAAGDLQEFVPFGRDHCKHHPNALNLQLRQLQPASELWSSDGAAGLVGS LQEVTIHEKQKESWQLRKGVSEIGDAADYDEELYVAGNMVIWSKGSKSQALAVYKAFTVD STVQQALWCDFIISQDKSEKIYKSHELEKCICILQSSCMNMHSIDGKDYIASLPFQVANV WATKYGLLFERCSSSHEVPPSLPREPLPTMFSMLHPLDEITPLVCKSGSLFGSSRVQYVV DPAVKIVFLNIDPSIVMTYDAVQNVHSVWTLRRVKPEEENAVLKFPEQAGTLQNATTSSS LTAHLRSLSKGESPVASPFQNYSSIHSQSRSTSSPSLHSRSPSISNMAALSRAHSPALGV HSFSGAQRFNLSSHSQSPKRHSISHSPSGSFNDSFLAPETEPIVPELCIDHLWTETLPNI REKNSQASKVFITTDLCGQKFLCFLVEAQLQLRCVKFQESNDKTQLIFGSVTNIHAKDAA PVEKIHTMLVLEGNGNLVLYTGVVRVGKVFIPGLPAPSLTMSNMMPRPSTPLDGVGTPKP LSKLLGSMDEVVLLSPVPELRDSSKLNDSLYNEDCTFQQLGTYIHSVRDPVHNRVTLELS NGSMVRITIPEVATSELVQTCLQAIKFILPKEVAIQVLVKWYNVHSAPGGPSCHSEWSLF VICLLNMMGYNTDRLAWTRSFDFEGSLSPVIAPKKARPSDTGSDEDWEYLLNSEYHRNVE SHLLNKSLCLTALEVSNAKDEDFSQNLSLDSSTLLFAHIPAIFFVLHLVYEELKLNTLMG EGICSLIDLLVQLARDLKLDSYLDHYYRDSPTLVKTTGQVCTIDQGQMGFMHHPPFFTSE PPSIYQWVSSCLKGEGMPPYPYLPGICERSRLVVLSIALYTLGDESCVSDETCQYLSKVT STPQKPQAEQEENRFTFRHSASVSVLAERLVVWMASVGFTLRDLETLPFGIALPIRDAIY HCREQPDSDWSEAVCLLIGRQDLSKQACEGNLPRGKSVLSSEVSSGTEAEEEDDGMNDLN HEVMSLIWSEDLRVQDVRRLLQSAQPVRVNVVQYPELSDHEFIEEKENRLLQLCQRTMAL PVGRGMFTLFSYHPVPTEPLPVPKLNLTGRAPPRNTTVDLNSGNIDVPPNMASWASFHNG VAAGLKIAPASQIDSAWIVYNKPKHAELANEYAGFLMALGLNGHLTKLATLNIHDYLTKV RTWHPYPGVMPAT >ENSMUSP00000034041.7 pep:known chromosome:GRCm38:8:46739732:46847458:1 gene:ENSMUSG00000031627.8 transcript:ENSMUST00000034041.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf2 description:interferon regulatory factor 2 [Source:MGI Symbol;Acc:MGI:96591] MPVERMRMRPWLEEQINSNTIPGLKWLNKEKKIFQIPWMHAARHGWDVEKDAPLFRNWAI HTGKHQPGIDKPDPKTWKANFRCAMNSLPDIEEVKDRSIKKGNNAFRVYRMLPLSERPSK KGKKPKTEKEERVKHIKQEPVESSLGLSNGVSGFSPEYAVLTSAIKNEVDSTVNIIVVGQ SHLDSNIEDQEIVTNPPDICQVVEVTTESDDQPVSMSELYPLQISPVSSYAESETTDSVA SDEENAEGRPHWRKRSIEGKQYLSNMGTRNTYLLPSMATFVTSNKPDLQVTIKEDSCPMP YNSSWPPFTDLPLPAPVTPTPSSSRPDRETRASVIKKTSDITQARVKSC >ENSMUSP00000147138.1 pep:known chromosome:GRCm38:8:46739761:46807375:1 gene:ENSMUSG00000031627.8 transcript:ENSMUST00000208507.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf2 description:interferon regulatory factor 2 [Source:MGI Symbol;Acc:MGI:96591] MPVERMRMRPWLEEQINSNTIPGLKWLNKEKKIFQIPWMHAARHGWDVEKDAPLFRNWAI HTGKHQPGIDKPDPKTWKANFRCAMNSLPDIEEVKDRSIKKGNNAFRVYRMLP >ENSMUSP00000146714.1 pep:known chromosome:GRCm38:8:46739784:46837798:1 gene:ENSMUSG00000031627.8 transcript:ENSMUST00000207105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf2 description:interferon regulatory factor 2 [Source:MGI Symbol;Acc:MGI:96591] MHAARHGWDVEKDAPLFRNWAIHTGKHQPGIDKPDPKTWKANFRCAMNSLPDIEEVKDRS IKKGNNAFRVYRMLPLSERPSKKGKKPKTEKEERVKHIKQEPVESSLGLSNGVSGFSPEY AVLTSAIKNEVDSTVNIIVVGQSHLDSNIEDQEIVTNPPDICQVVEVTTESDDQPVSMSE >ENSMUSP00000146992.1 pep:known chromosome:GRCm38:8:46740028:46807390:1 gene:ENSMUSG00000031627.8 transcript:ENSMUST00000208433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf2 description:interferon regulatory factor 2 [Source:MGI Symbol;Acc:MGI:96591] MPVERMRMRPWLEEQINSNTIPGLKWLNKEKKIFQIPWMHAARHGWDVEKDAPLFRNWAI HTGKHQPGIDKPDPKTWKANFRCAMNSLPDIEEVKDRSIKKGNNAFRVYRMLPLSERPS >ENSMUSP00000146495.1 pep:known chromosome:GRCm38:8:46740266:46807390:1 gene:ENSMUSG00000031627.8 transcript:ENSMUST00000207571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf2 description:interferon regulatory factor 2 [Source:MGI Symbol;Acc:MGI:96591] MPVERMRMRPWLEEQINSNTIPGLKWLNKEKKIFQIPWMHAARHGWDVEKDAPLFRNWAI HTGKHQPGIDKPDPKTWKANFRCAMNSLPDIEEVKDRSIKKGNNAFRVYRMLPLSERPS >ENSMUSP00000125416.1 pep:known chromosome:GRCm38:5:143249697:143270022:-1 gene:ENSMUSG00000046658.16 transcript:ENSMUST00000161448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp316 description:zinc finger protein 316 [Source:MGI Symbol;Acc:MGI:1860402] MMAALQSAPDSPATQLEPAEDGSECDADPEEEEEEEQQEEEDEEEEEEVVVEEVATPVQE VAEVEVEANSADNGGGDDDDDGGGGDDDVEEVLAEEQTLSLGTQERHSNGGHAKAPVLQG KALQTSRVSPTTQDEDVEEEEEEEDEEHFLTQGLVTFEDVAVYFSLEEWERLGVDQRDLY REVMQENYGILVSLGYPIPKPDLIFHLEQGEEPWVEDGPHPEEGDVVTGVYTGAWFWNDD IEDHEEEDDEDFLAEVAEEENEPPGLWSAAYGVGDVPGTWGPDDSDSVQTPEGWGPNPGS LGILAEEVEAKHFLSGREPGENFLVPWAFPAVAVPIGCPETTCDVCGKVFPHRSRLAKHQ RYHAAVKPFGCDECGKGFVYRSHLAIHQRTHTGEKPFPCPDCGKRFVYKSHLVTHRRIHT GERPYRCVFCGAGFGRRSYLVTHQRTHTGERPYPCLHCGRSFSQSSALARHQAVHTADRP HCCPDCGQAFRLRADFQRHRRSGGCTEPSSGDGARMAPHEVGMAPNEVEMAVAAVATVEP EELEAAPAETEEPEAGVADGDTEAEARQDEQVVVAPAAEATVPDSKKDPEPDRRFREMGN GLAEGEGPSSHPFGFHFPMHPKSWLHPDGFPILGFPEFSERLQADGRHLPGPLGSPLSLQ GMGLACDPFRSVGPGAGVDGGLRAFPPAVRSLLSEPAPAALAEEESPWICSDCGKTFGRR AALAKHQRYHAGERPHRCADCGKSFVYGSHLARHRRTHTGERPFPCPECGARFARGSHLA AHVRGHTGEKPFVCGVCGAGFSRRAHLTAHGRAHTGERPYACAECGRRFGQSAALTRHQW AHAEEKPHRCPDCGKGFGHSSDFKRHRRTHTGEKPFRCADCGRGFAQRSNLAKHRRGHTG ERPFPCPECGKRFSQRSVLVTHQRTHTGERPYLCSNCGRRFSQSSHLLTHMKTHRGPGSA PAHTSSTKAQAPAKATPPLPPPGSGSGTLLEFAGGTSFGSDPAAFAGPSGSYEESIL >ENSMUSP00000059418.7 pep:known chromosome:GRCm38:5:143253209:143264656:-1 gene:ENSMUSG00000046658.16 transcript:ENSMUST00000051665.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp316 description:zinc finger protein 316 [Source:MGI Symbol;Acc:MGI:1860402] MMAALQSAPDSPATQLEPAEDGSECDADPEEEEEEEQQEEEDEEEEEEVVVEEVATPVQE VAEVEVEANSADNGGGDDDDDGGGGDDDVEEVLAEEQTLSLGTQERHSNGGHAKAPVLQG KALQTSRVSPTTQDEDVEEEEEEEDEEHFLTQGLVTFEDVAVYFSLEEWERLGVDQRDLY REVMQENYGILVSLGYPIPKPDLIFHLEQGEEPWVEDGPHPEEGDVVTGVYTGAWFWNDD IEDHEEEDDEDFLAEVAEEENEPPGLWSAAYGVGDVPGTWGPDDSDSVQTPEGWGPNPGS LGILAEEVEAKHFLSGREPGENFLVPWAFPAVAVPIGCPETTCDVCGKVFPHRSRLAKHQ RYHAAVKPFGCDECGKGFVYRSHLAIHQRTHTGEKPFPCPDCGKRFVYKSHLVTHRRIHT GERPYRCVFCGAGFGRRSYLVTHQRTHTGERPYPCLHCGRSFSQSSALARHQAVHTADRP HCCPDCGQAFRLRADFQRHRRSGGCTEPSSGDGARMAPHEVGMAPNEVEMAVAAVATVEP EELEAAPAETEEPEAGVADGDTEAEARQDEQVVVAPAAEATVPDSKKDPEPDRRFREMGN GLAEGEGPSSHPFGFHFPMHPKSWLHPDGFPILGFPEFSERLQADGRHLPGPLGSPLSLQ GMGLACDPFRSVGPGAGVDGGLRAFPPAVRSLLSEPAPAALAEEESPWICSDCGKTFGRR AALAKHQRYHAGERPHRCADCGKSFVYGSHLARHRRTHTGERPFPCPECGARFARGSHLA AHVRGHTGEKPFVCGVCGAGFSRRAHLTAHGRAHTGERPYACAECGRRFGQSAALTRHQW AHAEEKPHRCPDCGKGFGHSSDFKRHRRTHTGEKPFRCADCGRGFAQRSNLAKHRRGHTG ERPFPCPECGKRFSQRSVLVTHQRTHTGERPYLCSNCGRRFSQSSHLLTHMKTHRGPGSA PAHTSSTKAQAPAKATPPLPPPGSGSGTLLEFAGGTSFGSDPAAFAGPSGSYEESIL >ENSMUSP00000137603.1 pep:known chromosome:GRCm38:3:107230614:107234723:1 gene:ENSMUSG00000094613.2 transcript:ENSMUST00000179399.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630076J17Rik description:RIKEN cDNA A630076J17 gene [Source:MGI Symbol;Acc:MGI:2442999] MTAAALSDTPCLDIILSSSGMERSFSYQEAGFLTSTVQDLQWSPNQEELPRLFLKSCSPL FAFTFLATSTLSTRWRQIPIVEDGSVGPKNSHLSCGWILKECQLCLS >ENSMUSP00000060344.7 pep:known chromosome:GRCm38:8:3493138:3497208:1 gene:ENSMUSG00000047264.8 transcript:ENSMUST00000061508.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp358 description:zinc finger protein 358 [Source:MGI Symbol;Acc:MGI:2153740] MRRSVLVRNPGHKNLRPLYGDLHSDPEDLDSSPKDPDPISESPEPEPEDLNTVSEDGDAS LEDLDPEADEAPRSILGKPDLDSQDLDPMSSSFDLDPDPDVIGPVPLVLDPSNDTPSPAA PDVDSLPSGLTATPEILATSPAVLPAPASPPRPFSCPDCGRAFRRSSGLSQHRRTHSGEK PYRCPDCGKSFSHGATLAQHRGIHTGARPYQCAACGKAFGWRSTLLKHRSSHSGEKPHHC PVCGKAFGHGSLLAQHLRTHGGPRPHKCPVCAKGFGQGSALLKHLRTHTGERPYPCPQCG KAFGQSSALLQHQRTHTAERPYRCPHCGKAFGQSSNLQHHLRIHTGERPYACPHCSKAFG QSSALLQHLHVHSGERPYRCQLCGKAFGQASSLTKHKRVHEGAAAAAAAAAAAAAAAAAA AGLGLGSGLSPVSMMRPGQISFLGPDAVSVLESGLGLSSGASSARSSAPTSVLGSLQNPI LQTHSGSISSPDLVLSSDPKPGHVADPDVVPSPDQESDPSPNPDLVPSPDPKPKSQTDPC SPTHDSSSPALPTGESPKWVKEEGALLGPDG >ENSMUSP00000147158.1 pep:known chromosome:GRCm38:8:3493170:3495840:1 gene:ENSMUSG00000047264.8 transcript:ENSMUST00000207318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp358 description:zinc finger protein 358 [Source:MGI Symbol;Acc:MGI:2153740] MIGVHLPSAHLRDRCPVPEAASSSTFRMRRSVLVRNPGHKNLRPLYGDLHSDPEDLDSSP KDPDPISESPEPEPEDLNTVSEDGDASLEDLDPEADEAPRSILGKPDLDSQDLDPMSSSF DLDPDPDVIGPVPLVLDPSNDTPSPAAPDVDSLPSGLTATPEILATS >ENSMUSP00000146953.1 pep:known chromosome:GRCm38:8:3495009:3497208:1 gene:ENSMUSG00000047264.8 transcript:ENSMUST00000208423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp358 description:zinc finger protein 358 [Source:MGI Symbol;Acc:MGI:2153740] MRRSVLVRNPGHKNLRPLYGDLHSDPEDLDSSPKDPDPISESPEPEPEDLNTVSEDGDAS LEDLDPEADEAPRSILGKPDLDSQDLDPMSSSFDLDPDPDVIGPVPLVLDPSNDTPSPAA PDVDSLPSGLTATPEILATSPAVLPAPASPPRPFSCPDCGRAFRRSSGLSQHRRTHSGEK PYRCPDCGKSFSHGATLAQHRGIHTGARPYQCAACGKAFGWRSTLLKHRSSHSGEKPHHC PVCGKAFGHGSLLAQHLRTHGGPRPHKCPVCAKGFGQGSALLKHLRTHTGERPYPCPQCG KAFGQSSALLQHQRTHTAERPYRCPHCGKAFGQSSNLQHHLRIHTGERPYACPHCSKAFG QSSALLQHLHVHSGERPYRCQLCGKAFGQASSLTKHKRVHEGAAAAAAAAAAAAAAAAAA AGLGLGSGLSPVSMMRPGQISFLGPDAVSVLESGLGLSSGASSARSSAPTSVLGSLQNPI LQTHSGSISSPDLVLSSDPKPGHVADPDVVPSPDQESDPSPNPDLVPSPDPKPKSQTDPC SPTHDSSSPALPTGESPKWVKEEGALLGPDG >ENSMUSP00000099807.4 pep:known chromosome:GRCm38:7:34140688:34168575:-1 gene:ENSMUSG00000052997.15 transcript:ENSMUST00000102746.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba2 description:ubiquitin-like modifier activating enzyme 2 [Source:MGI Symbol;Acc:MGI:1858313] MALSRGLPRELAEAVSGGRVLVVGAGGIGCELLKNLVLTGFSHIDLIDLDTIDVSNLNRQ FLFQKKHVGRSKAQVAKESVLQFHPQANIEAHHDSIMNPDYNVEFFRQFILVMNALDNRA ARNHVNRMCLAADVPLIESGTAGYLGQVTTIKKGVTECYECHPKPTQRTFPGCTIRNTPS EPIHCIVWAKYLFNQLFGEEDADQEVSPDRADPEAAWEPTEAEARARASNEDGDIKRIST KEWAKSTGYDPVKLFTKLFKDDIRYLLTMDKLWRKRKPPVPLDWAEVQSQGEANADQQNE PQLGLKDQQVLDVKSYASLFSKSIETLRVHLAEKGDGAELIWDKDDPPAMDFVTSAANLR MHIFSMNMKSRFDIKSMAGNIIPAIATTNAVIAGLIVLEGLKILSGKIDQCRTIFLNKQP NPRKKLLVPCALDPPNTNCYVCASKPEVTVRLNVHKVTVLTLQDKIVKEKFAMVAPDVQI EDGKGTILISSEEGETEANNPKKLSDFGIRNGSRLQADDFLQDYTLLINILHSEDLGKDV EFEVVGDSPEKVGPKQAEDAAKSIANGSDDGAQPSTSTAQEQDDVLIVDSDEEGPSNSTD CSGDDKARKRKLEENEAASTKKCRLEQMEDPDDVIALD >ENSMUSP00000135078.1 pep:known chromosome:GRCm38:7:34141083:34144548:-1 gene:ENSMUSG00000052997.15 transcript:ENSMUST00000152037.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba2 description:ubiquitin-like modifier activating enzyme 2 [Source:MGI Symbol;Acc:MGI:1858313] RLQADDFLQDYTLLINILHSEDLGKDVEFEVVGDSPEKVGPKQAEDAAKSIANGSDDGAQ PSTSTGMIR >ENSMUSP00000135885.1 pep:known chromosome:GRCm38:7:34151044:34161626:-1 gene:ENSMUSG00000052997.15 transcript:ENSMUST00000175991.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uba2 description:ubiquitin-like modifier activating enzyme 2 [Source:MGI Symbol;Acc:MGI:1858313] HVNRMCLAADVPLIESGTAGYLGQVTTIKKGVTECYECHPKPTQRTFPGCTIRNTPSEPI HCIVWAKYLFNQLFGEEDADQEVSPDRADPEAAWEPTEAEARARASNEDGDIKRISTKEW AKSTGYDPVKLFTKEKPMPINKMSPSWV >ENSMUSP00000135841.1 pep:known chromosome:GRCm38:7:34154638:34164418:-1 gene:ENSMUSG00000052997.15 transcript:ENSMUST00000134856.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uba2 description:ubiquitin-like modifier activating enzyme 2 [Source:MGI Symbol;Acc:MGI:1858313] XNIEAHHDSIMNPDYNVEFFRQFILVMNALDNRAARNHVNRMCLAADVPLIESGTAGYLG QVTTIKKGVTECYECHPKPTQRTFPGCTIRNTPSEPIHCIVWAKYLFNQLFGEEDADQEV SPDRADPEAASF >ENSMUSP00000116605.1 pep:known chromosome:GRCm38:7:34161573:34169599:-1 gene:ENSMUSG00000052997.15 transcript:ENSMUST00000156253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba2 description:ubiquitin-like modifier activating enzyme 2 [Source:MGI Symbol;Acc:MGI:1858313] MVNRSKSVSSHEWLTKATVYFINLIDLDTIDVSNLNRQFLFQKKHVGRSKAQVAKESVLQ FHPQANIEAHHDSIMNPDYNVEFFRQFILVMNALDNRAARNHVNRMCLAADVPLIESGT >ENSMUSP00000137410.1 pep:known chromosome:GRCm38:1:160075162:160075461:1 gene:ENSMUSG00000090394.8 transcript:ENSMUST00000097513.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930523C07Rik description:RIKEN cDNA 4930523C07 gene [Source:MGI Symbol;Acc:MGI:1914897] MERNSSFFNSVWETIRIRHERGVFNTVCLAVLLGLPLVVVLTLFFICCHCCCSRPPKSNQ QPDQNKKKKKKKKKKKDEDLWISAQPKLLQMEKRPSLLV >ENSMUSP00000015855.7 pep:known chromosome:GRCm38:3:95253674:95282076:-1 gene:ENSMUSG00000015711.8 transcript:ENSMUST00000015855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prune description:prune homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1925152] MEDYLQDCRAALQDSRPLHVVLGNEACDLDSMVSALALAFYLTKTSEAEDIFIPVLNIKR SELPLRGDNVFFLQEVKIPEPALIFRDEIDLLALHQAGQLTLILVDHHILPKSDAALEEA VAEVLDHRPIEQKYCPPCHVSVELVGSCATLVTERILQGAPETLDRQTAALLHGTIILDC VNMDTNIGKATPKDSKYVEELEALFPDLPKRKDIFDSLQKAKFDVSGLTTEQMLRKDQKT VYRQGTKVAISAIYMDLKAFLQRTDLFTDLSSFCHDHSYDALVAMTIFFNTQNEPVRQLA IFCPHEALRMTICGILERSTSPPLKLTPIPSTSPNLQAYHQGNTQVSRKKLLPVLQEALS AYLDSAKMASGQSEVAVGMSREQVDKDLDKASNSLISGLSQDEEDPPLPPTPMNSLVDEC PLDQGLPKFSAEAVFEKCSQISLSQSARACTSNK >ENSMUSP00000102499.1 pep:known chromosome:GRCm38:7:103564324:103565277:-1 gene:ENSMUSG00000047544.6 transcript:ENSMUST00000106886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr616 description:olfactory receptor 616 [Source:MGI Symbol;Acc:MGI:3030450] MEITNSSWFQPPTLLLTGIPGLEDVQIWFCIPLCVMYLIALLGNCTILFVIKTTSSLHEP QYIFLSMLAATDVGLSVSTLPTVLNVFLLNHRDIEFHSCLTQMFFIHTFSSMESAILLAM AFDRFVAIRNPLHYTAVLTPTRIIKIGLAAVVRGVMLMIPLPILLKRLPFCKGVILSHCY CYHPDIMKLACGPVRVNIIYGLSLVLCSFGVDSVFIVISYILILKTVLGIASGDGKLKAL NTCVSHIFTVFIFYVPLIVLALIHRFGTFASPLLHVTMANLFLFLTPVLNPLVYSLKTKQ IRSAVCKIFKVWGNLLK >ENSMUSP00000100779.1 pep:known chromosome:GRCm38:4:88675915:88676924:-1 gene:ENSMUSG00000078355.1 transcript:ENSMUST00000105148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna16 description:interferon alpha 16 [Source:MGI Symbol;Acc:MGI:3649260] MARLCAFLMVLAVMSYWSTCSLGCDLPQTHKLRNKRALTLLIQMRRLSPLSCLKDRKDFG FPQEKVDTLKIQKEKAIPVLSEVTQQILNIFTSKDSSAAWDATLLDTFCNDLYQQLNDLQ ACLVQQVRLQEPPLTQEVSLLAVRKYFHRITVYLREKKHSPCAWEVVRAEVWRALSSSAN VLGRLREEK >ENSMUSP00000120649.1 pep:known chromosome:GRCm38:11:102475915:102556199:-1 gene:ENSMUSG00000034621.14 transcript:ENSMUST00000143842.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch8 description:G patch domain containing 8 [Source:MGI Symbol;Acc:MGI:1918667] MADRFSRFNEDRDFQGNHFDQYEEGHLEIEQASLDKPIESDNIGHRLLQKHGWKLGQGLG KSLQGRTDPIPIVVKYDVMGMGRMEMELDYAEDATERRRVLEVEKEDTEELRQKYKDYVD KEKAIAKALEDLRANFYCELCDKQYQKHQEFDNHINSYDHAHKQRLKDLKQREFARNVSS RSRKDEKKQEKALRRLHELAEQRKQAECAPGSGPMFRPTTVAVDEDGGEEDKDESSTNSG ASAVSSCGFGADFSTDKGGSFTSVQITNTTGLSQAPGLASQGISFGIKNNLGPPLQKLGV SFSFAKKAPVKLESIASVFKDHAEEGSSEDGTKADEKSSDQGVQKVGDTDGTGNLDGKKE DEDPQDGGSLASTLSKLKRMKREEGTGATEPEYYHYIPPAHCKVKPNFPFLLFMRASEQM EGDHSAHSKSAPENRKSSSPKPQGCSKTAASPGAERTVSEASELQKEAAVAGPSEPGGKT ETKKGSGGGEDEQSVESRETSESPMCESNPKDISQATPATKAGQGPKHPTGPFFPVLSKD ESTALQWPSELLIFTKAEPSISYSCNPLYFDFKLSRNKDAKAKGTEKPKDVAGSSKDHLQ SLDPREPNKSQEEEQDVVLSSEGRVDEPASGAACSSLNKQEPGGSHMSETEDTGRSHPSK KEPSGKSHRHKKKKKHKKSSKHKRKHKADTEEKSSKAESGEKSKKRKKRKRKKNKSSAAA DSERGPKSEPPGSGSPAPPRRRRRAQDDSQRRSLPAEEGNSGKKDDGGGGSSCQDHSGRK HKGEPPTSSCQRRANTKHSSRSSHRSQPSSGDEDSDDASSHRLHQKSPSQYSEEEEEEEE EEEEEDEDSGSEHSRSRSRSGHRHSSHRSSRRSYSSSSDASSDQSCYSRQHSYSDDSYSD YSDRSRRHSKRSHDSDDSDYTSSKHRSKRHKYSSSDDDYSLSCSQSRSRSRSHTRERSRS RGRSRSSSCSRSRSKRRSRSTTAHSWQRSRSYSRDRSRSTRSPSQRSGSRKGSWGHESPE ERRSGRRDFIRSKIYRSQSPHYFQSGRGEGPGKKEDGRGDDSKGAGLPSQNSNTGTGRGS ESDCSPEDKNSVTARLLLEKIQSRKVERKPNVCEEVLATPNKAGLKYKNPPQGYFGPKLP PSLGNKPVLPMIGKLPATRKSNKKCEESGLERGEEQEHSEPEEGSPRSSDAPFGHQFSEE AAGPLSDPPPEEPKSEEATADHSVAPLGTPAHTDCYPGDPAISHNYLPDPSDGDTLESLD SGSQPGPVESSLLPIAPDLEHFPNYAPPSGEPSIESTDGTEDASLAPLESQPITFTPEEM EKYSKLQQAAQQHIQQQLLAKQVKAFPASTALAPATPALQPIHIQQPATASATSITTVQH AILQHHAAAAAAAIGIHPHPHPQPLAQVHHIPQPHLTPISLSHLTHSIIPGHPATFLASH PIHIIPASAIHPGPFTFHPVPHAALYPTLLAPRPAAAAATALHLHPLLHPIFSGQDLQHP PSHGT >ENSMUSP00000134066.1 pep:known chromosome:GRCm38:3:99885417:100143322:1 gene:ENSMUSG00000027867.10 transcript:ENSMUST00000164539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag17 description:sperm associated antigen 17 [Source:MGI Symbol;Acc:MGI:1921612] MAPKKEKPTGSANYKIWEPSLIAAHLNQNDWKASIAFVVGNRVEDDLLIHALDLAVRLPQ RKLFSIVSWEDILQQMDEIQSLAESASAKKGKKPTSVNLPLHYEVFLAAKIIMESGEKLT LPLIGKLLKCQLLHIKSKDQQRRENEKKMVEERTKSEKDKGKGKSPKEKKVASAKPGKGK SKDQPEATVTVRKTTQLKRRGEDDEAKSYIDDEPDDGAQYYIIVVGFNNPQLLAIMTELG IPITSVIKISSENYEPLQTHLAAVRQQQEAVLQPEDIEAEKLKRKNSIKELEVFWKYLEP ILNNEKLEIHLFDVARLQYMVKATYFPSDWSDNEQMLALGTEIFENIACLMYDSLDWKRQ HHHYLQSMQLINVPQVVSEKTVLEAITIPEPPPSTAPAPTGKKKAQYEESHAPPTVAFII TTEVDMRYYNDLLNPIPEEFISVSLILHCMVEQVVATEEDLIPPSLVEPAPRADGLDYRI AAHIVSILPSLCLSEKEKKNLREIFLTEGESESKALPKGPLLLNYHDAHAHKKYALKDQK NFDPVQVEQEMQSKLPLWEFLQFPLPPPWNSTKRLATIHELMHFCTNEVLSWNEVERAFK VFTFESLKLSEVDEEGRLKPTETTSDTDVENFNIPWDNPARFAKLIRQRYIHRMSMQKAP PVVVEIENTERTLFVNKNFAKAEQDAQGDENSPNSDEPDAISVTGSTSNSTKPWNSSNRQ FSEKETSGSMWPQPESMDQTMDTEIKDDAATKDDSPEKKPKKMVVEADIEDIKKTQQRSL MDWSFTEYFQPKVLLQVLQEAHQQYRCVDSYYHTQDNSLLLVFHNPMNLQRLQCEHWNIA LHSNVGFRNYLELVAKSIEDWVTQEEAKYQEAKMAEELNRIRIELELKATVKTSASKIPG PKRSKTNKVSSKTELSDQEKDKEKEKDKIPFVLEGSLKAWKEEQERLAEEERLKEEKKAE KKGKDTGKKKGKDKADKDDAKALKKKSSSKEKPKEEPAKTLEVIEETAPLPVPEVVYPFR GYNMGDIPIQISGTNYYLYPSDGGQIEVEKTRFERGSTFIKVKVKKDKHNFIIHLKDPKE IVKKEKEEKNSEEEEEEEEEKEEVEEKKPKEGEEEKVKQKVEMKRAQIEKEAVSKFGSFS ATLENGICLSISYYGSNGMAPEVINSELEAMMNIPSAMTATVVPAVVTVPQGKGKAKPKG KEKHKDSIKEEELPKEEEKKNHIQEEVEPEIVIQESPPYVPTFQNLNVSCPSGLLLTFIG QESTDYSIVDEEPTRNLMIRQSYPQRLKHYEFYKAVMPPLEQEASRVVTSQGTVIKYMLD GSTQILFADGAVSSSPDSGPVYTSPELPTSPHNGDLVDSASQPKSETGPEIIITKKGKGH KNQTVANKSETHDIIPEVPPPTPVESHIGTWFTTTPDGRRIGTKGLEKIEDLKPYLFFQA TDPINGTVMTTREDKVIIVEKKDGTRVVDHADGTRITTFYQVYEDHITPSNDEETTEGPR TVTRQVKCMRIESSHYATIITNCEDSSCCATFGDGTSIIAKPQGSYQVLPPNTGCLYIDK DCSATYCHESSNNLYHPFQKREQLRASRYIMKHTSEVICEVQDPEGNTFQVMADGSVSTT LPKKKLEDDFNVQMEGYESLSSLHLEKNHMQIYGEHVPRFFVVYTDGSGVELLRDSDIEE YLSLAYGESTTVVLQEPVQEYPGALSITVLRPFHEASQWIMKKELDTIVPPNLQSRSWER FPSVEKKTPGPPFGTQIWKGLSIGSKQLTNIPAPILEGPKVLQMRQFIQHEVIKNEVKLK LQISLKDYINHILKKEDELQEMTVKDSRTEEERGNAADLLKLVMSFPKMEETTKSHMTKV AAHLTVLFKQSMASAPKCSPDSYSKEFLEKKWRSLSQGTSWKEKLEQQRNNIKKTQSYLM QIKTKEVTPYFKSELSSLFKSKYDYLEKFSKSLPPFVKKNEAKLMTAVPDLYSDSTLTVD TEKEASNTHPLLNQEVAENIQESPREKETEYVNKSLQTSSSQNQYENVTISPKESVYQSQ TEIETKDTKESAIQNFTEKFKKYTKKSASQNEIEDLIKSTKESVSQRQTENVTRPPTEEP DIYMPIKIPTQSLLQDVTGQARKEKVRLPYYMMSSKPKSQPYAKVEDPVGGRVNTSSIAS AAMYNPNASPFGFHLLPPSVKFGVLKEGHTYATIVKLKNVGVDFCRFRVKQPPPSTGLKV TYKPGPVAAGLQAELKVELFAMAVGEDGAKGSAHISHNIEIMTEHDVLFLPVEATVLTSS NYDNRPKNLPQGKENPMVFRTSTISSSSLGVVMSQKATHH >ENSMUSP00000041800.2 pep:known chromosome:GRCm38:5:143301207:143315360:-1 gene:ENSMUSG00000039244.10 transcript:ENSMUST00000046418.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130309D02Rik description:RIKEN cDNA E130309D02 gene [Source:MGI Symbol;Acc:MGI:2442621] MSDIRHSLLRRDALSAAKEVLYHLDIYFSSQLQSAPLPIVDKGSVELLEEFVFQVPKERG AQPKRLNSLQELQLLEIMCSYFQEQSKDSVRQIIFSSLFSPQGNKADDSRMSLLGKLVSM AVAVCRIPVLECAASWLQRTPVVYCVRLARVLVEDYCCLVPGSVQTLKQIFSASPRFCCQ FITSVTALYDLSSDDLIPPLDLLEMIVSWIFEDPRLILITFLNTPIAANLPIGFLELTPL IGLIRWCVKAPLAYKRKKPCLSNGHISHKVAKDSGASTDRDSHLLYSKLHLSVLQVLMTL QLHLTEKNLYGRLGLILFDHMVPLVEEINRLADELNPLNASQEIELALDRLAQALQVAMT SGALLCTRDDLRTLCSRLPHNNLLQLVISGPVQQSPHTALPPGFYPHIHTPPLAYGAVPA HPAAHPALPTHPGHTFISGVTFPFRPIR >ENSMUSP00000021282.5 pep:known chromosome:GRCm38:11:68985697:69008460:-1 gene:ENSMUSG00000020899.15 transcript:ENSMUST00000021282.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfas description:phosphoribosylformylglycinamidine synthase (FGAR amidotransferase) [Source:MGI Symbol;Acc:MGI:2684864] MAPVLHFYVRPSGHEGAASGRVFRRLQEKLPTLQSVETELCYNVHWAAETLPWAEEMKKL MWLFGCPLVRDDVAQEPWLVPGSNDLLLEVGPRLNFSTPASTNIVSVCQAAGLRAVDRVE TTRRYRLSFTDHPTAEMEAISLAALHDRMTEQHYPDPIQSFSPQSIPAPLKGSIDILAEG RPALEKANQELGLALDSWDLDFYTKRFQELQRNPSTVEVFDLAQSNSEHSRHWFFKGQLH VDGKKLAHSLFESIMSTQASSNPNNVLKFCDNSSAIQGKKVKFLRPEDSTRPSCFQQQQG LRHVVFTAETHNFPTGVAPFSGATTGTGGRIRDVQCTGRGAHVVAGTAGYCFGNLHIPDY NLPWEDPSFQYPGNFARPLEVAIEASNGASDYGNKFGEPVLAGFARSLGLQLPDGQRREW IKPIMFSGGIGSMEAKHVGKKPPEPGMEVVKVGGPVYRIGVGGGAASSVQVQGDNTSDLD FGAVQRGDPEMEQKMNRVIRACVEAPGGNPICSLHDQGAGGNGNVLKELSDPEGAIIYTS RFQLGDPTLNALEIWGAEYQESNALLLRPSDRDFLSRASARERCPACFVGTITGDKRIVL VDDRECLVGKTGQGDAPLTPPTPVDLDLDWVLGKMPQKEFFLQRKPPVLQPLALPPELSV RQALNRVLRLPAVASKRYLTNKVDRSVGGLVAQQQCVGPLQTPLADVAVVALSHQECIGA ATALGEQPVKSLLDPKAAARLAVSEALTNLVFALVTDLRDVKCSGNWMWAAKLPGEGAAL ADACEAMVAVMAALGVAVDGGKDSLSMAARVGTETVQAPGSLVISAYAVCPDITATVTPD LKHPGGKGHLLYVPLSPGQHRLGGTALAQCFSQLGEHPPDLDLPENLVRAFHITQGLLKE CRLCSGHDVSDGGLVTCLLEMAFAGNCGIEVDVPAPGIHALPVLFAEEPGLVLEVQEADV AGVRQRYESAGLRCLELGHTGEAGPQAMARISVNKAVVVEEPVGELRALWEETSFQLDLL QAEPRCVIEEKQGLKERTGPSYYLPPTFPVASVPCKPGGPVPRVAILREEGSNGDREMAD AFHLAGFEVWDVTMQDLCSGAIRLDTFRGVAFVGGFSYADVLGSAKGWAAAVTFNPQARE ELGRFRRRPDTFSLGVCNGCQLLALLGWVGSDPSEEQAEPGQDSQPTQPGLLLRHNLSGR FESRWATVRVEPGPALMLRGMEGSVLPVWSAHGEGYMAFSSPELQAKIEAKGLVPLHWAD DDGNPTEQYPLNPNGSPGGIAGICSQDGRHLALMPHPERAVRLWQWAWRPSPFDVLPTSP WLQLFINARNWTQEDSC >ENSMUSP00000121808.2 pep:known chromosome:GRCm38:11:68987963:68991349:-1 gene:ENSMUSG00000020899.15 transcript:ENSMUST00000152964.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfas description:phosphoribosylformylglycinamidine synthase (FGAR amidotransferase) [Source:MGI Symbol;Acc:MGI:2684864] XLLKECRLCSGHDVSDGGLVTCLLEMAFAGNCGIEVDVPAPGIHALPVLFAEEPGLVLEV QEADVAGVRQRYESAGLRCLELGHTGEAGPQAMARISVNKAVVVEEPVGELRALWEETSF QLDLLQAEPRCVIEEKQGLKERTGPSYYLPPTFPVASVPCKPGGPVPRVAILREEGSNGD REMADAFHLAGFEVWDVTMQDLCSGAIRLDTFRGVAFVGGFSYADVLGSAKGWAAAVTFN PQAREELGRFRRRPDTFSLGVCNGCQLLALLGWVGSDPSEEQAEPGQDSQPTQPGLLLRH NLSGRFESRWATVRVEPGPALMLRGMEGSVLPVWSAHGEGQAGKAGEGLQAGAGAGPEGT WPSLSSPSLPGYMAFSSPELQAKIEAKGLVPLHWADDDGNPTEQYPLNPNGSPGGIAGIC SQDGRHLALMPHPERAVRLWQWAWRPSPFDVLPTSPWLQLFINARNWTQEDSC >ENSMUSP00000133984.1 pep:known chromosome:GRCm38:11:68992982:68997665:-1 gene:ENSMUSG00000020899.15 transcript:ENSMUST00000149703.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfas description:phosphoribosylformylglycinamidine synthase (FGAR amidotransferase) [Source:MGI Symbol;Acc:MGI:2684864] XGMEVVKVGGPVYRIGVGGGAASSVQVQGDNTSDLDFGAVQRGDPEMEQKMNRVIRACVE APGGNPICSLHDQGAGGNGNVLKELSDPEGAIIYTSRFQIVLVDDRECLVGKTGQGDA >ENSMUSP00000100778.1 pep:known chromosome:GRCm38:4:88682881:88683912:-1 gene:ENSMUSG00000078354.1 transcript:ENSMUST00000105147.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna2 description:interferon alpha 2 [Source:MGI Symbol;Acc:MGI:107666] MARLCAFLVMLIVMSYWSTCSLGCDLPHTYNLRNKRALKVLAQMRRLPFLSCLKDRQDFG FPLEKVDNQQIQKAQAIPVLRDLTQQTLNLFTSKASSAAWNTTLLDSFCNDLHQQLNDLQ TCLMQQVGVQEPPLTQEDALLAVRKYFHRITVYLREKKHSPCAWEVVRAEVWRALSSSVN LLPRLSEEKE >ENSMUSP00000099939.4 pep:known chromosome:GRCm38:11:21321138:21370477:-1 gene:ENSMUSG00000001891.16 transcript:ENSMUST00000102875.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugp2 description:UDP-glucose pyrophosphorylase 2 [Source:MGI Symbol;Acc:MGI:2183447] MSRFVQDLSKAMSQDGASQFQEVILQELELSVKKELEKILTTAASHEFEHTKKDLDGFRK LFHRFLQEKGPSVDWGKIQRPPEDSIQPYEKIKARGLPDNISSVLNKLVVVKLNGGLGTS MGCKGPKSLIGVRNENTFLDLTVQQIEHLNKTYNTDVPLVLMNSFNTDEDTKKILQKYNH CRVKIYTFNQSRYPRINKESLLPIAKDVSYSGENTEAWYPPGHGDIYASFYNSGLLDTFI EEGKEYIFVSNIDNLGATVDLYILNHLMNPPNGKRCEFVMEVTNKTRADVKGGTLTQYEG KLRLVEIAQVPKAHVDEFKSVSKFKIFNTNNLWISLGAVKRLQEQNAIDMEIIVNPKTLD GGLNVIQLETAVGAAIKSFENSLGINVPRSRFLPVKTTSDLLLVMSNLYSLNAGSLTMSE KREFPTVPLVKLGSSFTKVQDYLRRFESIPDMLELDHLTVSGDVTFGKNVSLKGTVIIIA NHGDRIDIPPGAVLENKIVSGNLRILDH >ENSMUSP00000056324.5 pep:known chromosome:GRCm38:11:21321673:21371201:-1 gene:ENSMUSG00000001891.16 transcript:ENSMUST00000060895.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugp2 description:UDP-glucose pyrophosphorylase 2 [Source:MGI Symbol;Acc:MGI:2183447] MSQDGASQFQEVILQELELSVKKELEKILTTAASHEFEHTKKDLDGFRKLFHRFLQEKGP SVDWGKIQRPPEDSIQPYEKIKARGLPDNISSVLNKLVVVKLNGGLGTSMGCKGPKSLIG VRNENTFLDLTVQQIEHLNKTYNTDVPLVLMNSFNTDEDTKKILQKYNHCRVKIYTFNQS RYPRINKESLLPIAKDVSYSGENTEAWYPPGHGDIYASFYNSGLLDTFIEEGKEYIFVSN IDNLGATVDLYILNHLMNPPNGKRCEFVMEVTNKTRADVKGGTLTQYEGKLRLVEIAQVP KAHVDEFKSVSKFKIFNTNNLWISLGAVKRLQEQNAIDMEIIVNPKTLDGGLNVIQLETA VGAAIKSFENSLGINVPRSRFLPVKTTSDLLLVMSNLYSLNAGSLTMSEKREFPTVPLVK LGSSFTKVQDYLRRFESIPDMLELDHLTVSGDVTFGKNVSLKGTVIIIANHGDRIDIPPG AVLENKIVSGNLRILDH >ENSMUSP00000049128.7 pep:known chromosome:GRCm38:17:46650337:46664364:1 gene:ENSMUSG00000038545.13 transcript:ENSMUST00000043464.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul7 description:cullin 7 [Source:MGI Symbol;Acc:MGI:1913765] MVGELRYREFRVPLGPGLHAYPDELIRQRVGHNGHPEYQIRWLILRRGDDGDRDSTVDCK AEHILLWMSDDEIYANCHKMLGENGQVIAPSRESTEAGALDKSVLGEMETDVKSLIQRAL RQLEECVGTVPPAPLLHTVHVLSAYASIEPLTGIFKDRRVVNLLMHMLSSPDYQIRWSAG RMIQALSSHDAGTRTQILLSLSQQEAIEKHLDFDSRCALLALFAQATLTEHPMSFEGVQL PQVPGRLLFSLVKRYLHVTFLLDRLNGDAGDQGAQNNFIPEELNVGRGRLELEFSMAMGT LISELVQAMRWDGASSRPESSSSSTFQPRPAQFRPYTQRFRRSRRFRPRASFASFNTYAL YVRDTLRPGMRVRMLENYEEIAAGDEGQFRQSNDGVPPAQVLWDSTGHTYWVHWHMLEIL GFEEDIEDVIDIEELQELGANGALSIVPPSQRWKPITQLFAEPYVVPEEEDREESENLTQ AEWWELLFFIRQLSEAERLHIVDLLQDHLEEERVLDYDMLPELTVPVDLAQDLLLSLPQQ LEDSALRDLFSCSVYRKYGPEVLVGHLSYPFVPGAQPNLFGANEESEAKDPPLQSASPAL QRLVESLGPEGEVLVELEQALGSEAPQETEVKSCLLQLQEQPQPFLALMRSLDTSASNKT LHLTVLRILMQLVNFPEALLLPWHEAMDACVTCLRSPNTDREVLQELIFFLHRLTTTSRD YAVILNQLGARDAISKVLEKHRGKLELAQELRDMVSKCEKHAHLYRKLTTNILGGCIQMV LGQIEDHRRTHRPIQIPFFDVFLRYLCQGSSEEMKKNRYWEKVEVSSNPQRASRLTDRNP KTYWESSGRAGSHFITLHMRPGVIIRQLTLLVAGEDSSYMPAWVVVCGGNSIKSVNKELN TVNVMPSASRVTLLENLTRFWPIIQIRIKRCQQGGINTRIRGLEVLGPKPTFWPVFREQL CRHTRLFYMVRAQAWSQDIAEDRRSLLHLSSRLNGALRHEQNFAERFLPDMEAAQALSKT CWEALVSPLVQNITSPDEDSTSSLGWLLDQYLGCREAAYNPQSRAAAFSSRVRRLTHLLV HVEPREAAPPVVAIPRSKGRNRIHDWSYLITRGLPSSIMKNLTRCWRSVVEEQMNKFLTA SWKDDDFVPRYCERYYVLQKSSSELFGPRAAFLLAMRNGCADAVLRLPFLRAAHVSEQFA RHIDQRIQGSRMGGARGMEMLAQLQRCLESVLIFSPLEIATTFEHYYQHYMADRLLSVGS SWLEGAVLEQIGPCFPSRLPQQMLQSLNVSEELQRQFHVYQLQQLDQELLKLEDTEKKIQ VAHEDSGREDKSKKEEAIGEAAAVAMAEEEDQGKKEEGEEEGEGEDEEEERYYKGTMPEV CVLVVTPRFWPVASVCQMLNPATCLPAYLRGTINHYTNFYSKSQSRSSLEKEPQRRLQWT WQGRAEVQFGGQILHVSTVQMWLLLHLNNQKEVSVESLQAISELPPDVLHRAIGPLTSSR GPLDLQEQKNVPGGVLKIRDDSEEPRPRRGNVWLIPPQTYLQAEAEEGRNMEKRRNLLNC LVVRILKAHGDEGLHVDRLVYLVLEAWEKGPCPARGLVSSLGRGATCRSSDVLSCILHLL VKGTLRRHDDRPQVLYYAVPVTVMEPHMESLNPGSAGPNPPLTFHTLQIRSRGVPYASCT DNHTFSTFR >ENSMUSP00000116133.1 pep:known chromosome:GRCm38:17:46651423:46664364:1 gene:ENSMUSG00000038545.13 transcript:ENSMUST00000145567.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cul7 description:cullin 7 [Source:MGI Symbol;Acc:MGI:1913765] MVGELRYREFRVPLGPGLHAYPDELIRQRVGHNGHPEYQIRWLILRRGDDGDRDSTVDCK AEHILLWMSDDEIYANCHKMLGENGQVIAPSRESTEAGALDKSVLGEMETDVKSLIQRAL RQLEECVGTVPPAPLLHTVHVLSAYASIEPLTGIFKDRRVVNLLMHMLSSPDYQIRWSAG RMIQALSSHDAGTRTQILLSLSQQEAIEKHLDFDSRCALLALFAQATLTEHPMSFEGVQL PQVPGRLLFSLVKRYLHVTFLLDRLNGDAGDQGAQNNFIPEELNVGRGRLELEFSMAMGT LISELVQAMRWDGASSRPESSSSSTFQPRPAQFRPYTQRFRRSRRFRPRASFASFNTYAL YVRDTLRPGMRVRMLENYEEIAAGDEGQFRQSNDGVPPAQVLWDSTGHTYWVHWHMLEIL GFEEDIEDVIDIEELQELGANGALSIVPPSQRWKPITQLFAEPYVVPEEEDREESENLTQ AEWWELLFFIRQLSEAERLHIVDLLQDHLEEERVLDYDMLPELTVPVDLAQDLLLSLPQQ LEDSALRDLFSCSVYRKYGPEVLVGHLSYPFVPGAQPNLFGANEESEAPGAAPALPRSDA EPGHFRQQQDPAPHCAQNLNAAGELPRGAVATLARGHGCLRDLPSVPQY >ENSMUSP00000119393.1 pep:known chromosome:GRCm38:17:46652893:46664364:1 gene:ENSMUSG00000038545.13 transcript:ENSMUST00000133393.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cul7 description:cullin 7 [Source:MGI Symbol;Acc:MGI:1913765] GTLISELVQAMRWDGASSRPESSSSSTFQPRPAQFRPYTQRFRRSRRFRPRASFASFNTY ALYVRDTLRPGMRVRMLENYEEIAAGDEGQFRQSNDGVPPAQVLWDSTGHTYWVHWHMLE ILGFEEDIEDVIDIEELQELGANGALSIVPPSQRWKPITQLFAEPYVVPEEEDREESENL TQAEWWELLFFIRQLSEAERLHIVDLLQDHLEEERVLDYDMLPELTVPVDLAQDLLLSLP QQLEDSALRDLFSCSVYRKYGPEVLVGHLSYPFVPGAQPNLFGANEESEAKDPPLQSASP ALQRLVESLGPEGEVLVELEQALGSEAPQETEVKSCLLQLQEQPQPFLALMRSLDTSASN KTLHLTVLRILMQLVNFPEALLLPWHEAMDACVTCLRSPNTDREVLQELIFFLHRLTTTS RDYAVILNQLGARDAISKVLEKHRGKLELAQELRDMVSKCEKHAHLYRKLTTNILGGCIQ MVLGQIEDHRRTHRPIQIPFFDVFLRYLCQGSSEEMKKNRYWEKVEVSSNPQRASRLTDR NPKTYWESSGRAGSHFITLHMRPGVIIRVALTRASGA >ENSMUSP00000121110.1 pep:known chromosome:GRCm38:3:95314791:95321880:1 gene:ENSMUSG00000015714.11 transcript:ENSMUST00000129267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers2 description:ceramide synthase 2 [Source:MGI Symbol;Acc:MGI:1924143] MLQTLYDYFWWERLWLPVNLTWADLEDKDGRVYAKASDLYITLPLALLFLVIRYFFELYV ATPLAALLNVKEKTRLRAPPNATLEHFYQTSGKQPKQVEVDLLSRQSGLSGRQVERWFRR RRNQDRPSLLKKFREASWRFTYYLIAFVAGMAVTVDKPWFYDLRKVWEGYPIQSIIPSQY WYYMIELSFYWSLLFSIASDVKRKDFKEQIIHHVATIILL >ENSMUSP00000015858.4 pep:known chromosome:GRCm38:3:95315084:95323599:1 gene:ENSMUSG00000015714.11 transcript:ENSMUST00000015858.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers2 description:ceramide synthase 2 [Source:MGI Symbol;Acc:MGI:1924143] MLQTLYDYFWWERLWLPVNLTWADLEDKDGRVYAKASDLYITLPLALLFLVIRYFFELYV ATPLAALLNVKEKTRLRAPPNATLEHFYQTSGKQPKQVEVDLLSRQSGLSGRQVERWFRR RRNQDRPSLLKKFREASWRFTYYLIAFVAGMAVTVDKPWFYDLRKVWEGYPIQSIIPSQY WYYMIELSFYWSLLFSIASDVKRKDFKEQIIHHVATIILLCFSWFANYVRAGTLIMALHD ASDYLLESAKMFNYAGWKNTCNNLFIVFAIVFIITRLVIMPFWILHCTMIYPLELYPAFF GYYFFNFMMAVLQMLHIFWAYFILRMAHKFITGKLIEDERSDREETESSEGEETAAGAGA KSRLLANGHPILNNNHPKND >ENSMUSP00000122672.1 pep:known chromosome:GRCm38:3:95318021:95321042:1 gene:ENSMUSG00000015714.11 transcript:ENSMUST00000139498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers2 description:ceramide synthase 2 [Source:MGI Symbol;Acc:MGI:1924143] MLQTLYDYFWWERLWLPVNLTWADLEDKDGRVYAKASDLYITLPLALLFLVIRYFFELYV ATPLAALLNVKEKTRLRAPPNATLEHFYQTSGKQPKQVEVDLLSRQSGLSGRQVERWFRR RRNQDRPSLL >ENSMUSP00000120190.1 pep:known chromosome:GRCm38:3:95318792:95321955:1 gene:ENSMUSG00000015714.11 transcript:ENSMUST00000139866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers2 description:ceramide synthase 2 [Source:MGI Symbol;Acc:MGI:1924143] MLQTLYDYFWWERLWLPVNLTWADLEDKDGRVYAKASDLYITLPLALLFLVIRYFFELYV ATPLAALLNVKEKTRLRAPPNATLEHFYQTSGKQPKQVEVDLLSRQSGLSGRQVERWFRR RRNQDRPSLLKKFREASWRFTYYLIAFVAGMAVTVDKPWFYDLRKVWEGYPIQSIIPSQY WYYMIELSFYWSLLFSIASDVKRKDFKEQIIHHVATIILLCFSWFANYVRAGTLIMALHD ASDYLL >ENSMUSP00000072019.3 pep:known chromosome:GRCm38:7:127582383:127588595:-1 gene:ENSMUSG00000057176.4 transcript:ENSMUST00000072155.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc189 description:coiled-coil domain containing 189 [Source:MGI Symbol;Acc:MGI:2685012] MITPSSSQSLGMKVQMESEQSPKLQEELDRSPSSVDGSAIRNGTDMQTESPAEATSSPVE VAEDPGANLFPPPLPQPRICMWKYLDIHSMHRLEKAATVEKMREVLAELLELGFPEQSLR DAIILDLFSHALIFCRQQGFSPEQTSAACAMLQDLHKACVATPLGNVEECYRYFTSVLFC HGIRRPPFSIDLFKEEQLLALADYVVNTYFRHFKLYKYVFTPQVRLDLSLTYTGLQPLTL WPEEKENEEMMVVEQVATPQEEEPETVTEPEQQPSEVCILQTYIKSQLSKELRQLQQLVE ERLKESEERLSSKLAALEQPFQTPPSKGKTKTK >ENSMUSP00000145691.1 pep:known chromosome:GRCm38:7:127583360:127586340:-1 gene:ENSMUSG00000057176.4 transcript:ENSMUST00000205839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc189 description:coiled-coil domain containing 189 [Source:MGI Symbol;Acc:MGI:2685012] XFSPEQTSAACAMLQDLHKACVATPLGNVEECYRYFTSVLFCHGIRVRLDLSLTYTGLQP LTLWPEEKENEEMMVVEQVATPQEEEPETVTEPEQQPSEVCILQTYIKSQLSKELRQLQQ LVEERLKESEERLSSKLAALEQPFQTPPSKGKTKTK >ENSMUSP00000027846.7 pep:known chromosome:GRCm38:1:166379097:166393621:1 gene:ENSMUSG00000026563.13 transcript:ENSMUST00000027846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tada1 description:transcriptional adaptor 1 [Source:MGI Symbol;Acc:MGI:1196415] MATFVSELEAAKKNLSEALGDNVKQYWANLKLWFKQKISKEEFDLEAHRLLTQDNVHSHN DFLLAILTRCQILVSTPEGAGSLPWTGGSAAKPGKPKGKKKLSSVRQKFDHRFQPQNPLS GAQQFVAKEPQGDDDLKLCSHTMMLPTRGQLEGRMIVTAYEHGLDNVTEEAVSAVVYAVE NHLKDILTSVVSRRKAYRVRDGHFKYAFGSNVTPQPYLKNSVVAYNNLVEGPPAFSAPCA NQSPASQPHPDDAEQQAAFLLACSGDTLPASLPPVNMYDLFEALQVHREVIPTHTVYALN IERIIMKLWHPNHEELQQDKVHRQRLAAKEGLLLC >ENSMUSP00000122172.1 pep:known chromosome:GRCm38:1:166379339:166382470:1 gene:ENSMUSG00000026563.13 transcript:ENSMUST00000132817.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tada1 description:transcriptional adaptor 1 [Source:MGI Symbol;Acc:MGI:1196415] MATFVSELEAAKKNLSEALGDNVKHLIVLPQDCHTKRHG >ENSMUSP00000109608.2 pep:known chromosome:GRCm38:16:92058322:92087473:1 gene:ENSMUSG00000089774.2 transcript:ENSMUST00000113975.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a3 description:solute carrier family 5 (inositol transporters), member 3 [Source:MGI Symbol;Acc:MGI:1858226] MRAVLEAADIAVVALYFILVMCIGFFAMWKSNRSTVSGYFLAGRSMTWVAIGASLFVSNI GSEHFIGLAGSGAASGFAVGAWEFNALLLLQLLGWVFIPIYIRSGVYTMPEYLSKRFGGH RIQVYFAALSLLLYIFTKLSVDLYSGALFIQESLGWNLYVSVILLIGMTALLTVTGGLVA VIYTDTLQALLMIIGALTLMVISMVKIGGFEEVKRRYMLASPDVASILLKYNLSNTNACM VHPKANALKMLRDPTDEDVPWPGFILGQTPASVWYWCADQVIVQRVLAAKNIAHAKGSTL MAGFLKLLPMFIIVVPGMISRIVFADEIACINPEHCMQVCGSRAGCSNIAYPRLVMTLVP VGLRGLMMAVMIAALMSDLDSIFNSASTIFTLDVYKLIRKSASSRELMIVGRIFVAFMVV ISIAWVPIIVEMQGGQMYLYIQEVADYLTPPVAALFLLAIFWKRCNEQGAFYGGMAGFVL GAVRLILAFTYRAPECDQPDNRPGFIKDIHYMYVATALFWITGLITVIVSLLTPPPTKDQ IRTTTFWSKKTLVTKESCSQKDEPYKMQEKSILQCSENSEVISHTIPNGKSEDSIKGLQP EDVNLLVTCREEGNPVASMGHSEAETPVDAYSNGQAALMGEREREKETENRSRYWKFIDW FCGFKSKSLSKRSLRDLMDEEAVCLQMLEETPQVKVILNIGLFAVCSLGIFMFVYFSL >ENSMUSP00000139098.1 pep:known chromosome:GRCm38:16:92078062:92083808:1 gene:ENSMUSG00000089774.2 transcript:ENSMUST00000131098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a3 description:solute carrier family 5 (inositol transporters), member 3 [Source:MGI Symbol;Acc:MGI:1858226] CMQVCGSRAGCSNIAYPRLVMTLVPVGLRGLMMAVMIAALMSDLDSIFNSASTIFTLDVY KLIRKSASSRELMIVGRIFVAFMVVISIAWVPIIVEMQGGQMYLYIQEVADYLTPPVAAL FLLAIFWKRCNEQGAFYGGMAGFVLGAVRLI >ENSMUSP00000103652.1 pep:known chromosome:GRCm38:7:39407294:39413160:-1 gene:ENSMUSG00000053742.8 transcript:ENSMUST00000108017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5114 description:predicted gene 5114 [Source:MGI Symbol;Acc:MGI:3647631] MSENLQSSPFFGTESTLHPSLPLLSNSIQPAGTVCNFSRVSTPDVSSAWLLPSASSTSLQ PLMGNAYLNPHAGTTMLTVLTEQGQISTSAPSYPGALKWDCTGSTHRREDALQDFNMTLI DQDTTLSSLAVTNQCDKILDPNVIVPFYPTLPASFVQVTPPQMLSQEYSLAPSYQEGSQV YYYEHNNLGPLITGEFGQCLQPHGSVSYPGSQTSVLQPEKVMVLQEIQPRNIQIPLFTSA FSYSTSAQSMPDNGLPVVQMEMSLGLPPSGQTHCQLQSPELCNTSVQVSQIRPPAVNGDR ALTAPIHSPSQFLALCPAPSLEQSENKTMPEIKEGTKENQDTPILTLEHPDLQQPLHCTD TESLRQKPDSDNAHLGCIYMGPKELVGLEKEIGSSFDFKDITRFGADIQLPQLLNTLTDI DQDQSCENWRVTSGPSDQVRKNKHKSFELLEGDPQAKIQHWDLVEGEGAVGVAGASDRAI DNMAKHPEGKDPKVPPSKNRRARKQRQERPSVPENTSKKTEELKQSRNRVKAEEKPTIPK TKRKRNPPELSQNSFKKPRSNLAMHMLESVQVFHPLGKKIEKKTGISSFGGLRTSTNNKD PGPSSVTTTVLNMPGEGQGPPKSPGKLQRAESSVDKDCLSPSQYELPPAGKVKLVPLPFP TLDKPQSRPASRKPLSLALRRPTTVYPVQPHSHSAQPTTLRPAQPPPVSSSLIASAKPAP PISSSATGPNVTNPNQSSAVNQLATSRPVPYRASSHTSFQRELVSAARNKVPSPPKPQTQ YLLHDFSRQPIPWKKVDILGPVVSQPITKEQRPEREAMKRRAQQERENAVKNPSTGKLQI FLQRERDMEISQYYGYAM >ENSMUSP00000065877.3 pep:known chromosome:GRCm38:4:130792537:130826319:1 gene:ENSMUSG00000025743.14 transcript:ENSMUST00000070478.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdc3 description:syndecan 3 [Source:MGI Symbol;Acc:MGI:1349163] MKPGPPRRGTAQGQRVDTATHAPGARGLLLPPLLLLLLAGRAAGAQRWRNENFERPVDLE GSGDDDSFPDDELDDLYSGSGSGYFEQESGLETAMRFIPDMALAAPTAPAMLPTTVIQPV DTPFEELLSEHPSPEPVTSPPLVTEVTEVVEESSQKATTISTTTSTTAATTTGAPTMATA PATAATTAPSTPEAPPATATVADVRTTGIQGMLPLPLTTAATAKITTPAAPSPPTTVATL DTEAPTPRLVNTATSRPRALPRPVTTQEPDVAERSTLPLGTTAPGPTEMAQTPTPESLLT TIQDEPEVPVSGGPSGDFELQEETTQPDTANEVVAVEGAAAKPSPPLGTLPKGARPGPGL HDNAIDSGSSAAQLPQKSILERKEVLVAVIVGGVVGALFAAFLVTLLIYRMKKKDEGSYT LEEPKQASVTYQKPDKQEEFYA >ENSMUSP00000118685.1 pep:known chromosome:GRCm38:4:130811938:130819059:1 gene:ENSMUSG00000025743.14 transcript:ENSMUST00000152591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdc3 description:syndecan 3 [Source:MGI Symbol;Acc:MGI:1349163] MRFIPDMALAAPTAPAMLPTTVIQPVDTPFEELLSEHPSPEPVTSPPLVTEVTEVVEESS QKATTISTTTSTTAATTTGAPTMATAPATAATTAPSTPEAPPATATVADVRTTGIQGMLP LPLTTAATAKITTPAAPSPPTTVATLDT >ENSMUSP00000123608.1 pep:known chromosome:GRCm38:4:130814304:130819059:1 gene:ENSMUSG00000025743.14 transcript:ENSMUST00000141297.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdc3 description:syndecan 3 [Source:MGI Symbol;Acc:MGI:1349163] MRFIPDMALAAPTAPAMLPTTVIQPVDTPFEELLSEHPSPEPVTSPPLVTEVTEVVEESS QKATTISTTTSTTAATTTGAPTMATAPATAATTAPSTPEAPPATATVADVRTTGIQGMLP LPLTTAATAKITTPAAPSPPTTVATLDT >ENSMUSP00000137420.1 pep:known chromosome:GRCm38:1:6359218:6394731:1 gene:ENSMUSG00000087247.3 transcript:ENSMUST00000133144.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam150a description:family with sequence similarity 150, member A [Source:MGI Symbol;Acc:MGI:3645495] MWLTKPSTPVSALLLLALALSPPGTQGRPQRSLAARVAELRPELFLPVTGTRLPPRASRS TEIFPRDLTLKDKFIKHFTGPVTFSAECSKHFHRLYHNTRDCSTPAYYKRCARLLTRLAV SPLCSQT >ENSMUSP00000071333.5 pep:known chromosome:GRCm38:4:88690302:88691359:-1 gene:ENSMUSG00000100079.1 transcript:ENSMUST00000071378.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnab description:interferon alpha B [Source:MGI Symbol;Acc:MGI:1097683] MARLSTFLMVLAVMSYWSTCPLGCDLPQTHNLRNKRALTLLVQMRRLSPLSCLKDRKDFG FPQEKVDAQQIQEAQAIPVLTELTQQMLTLFTSKASSAAWNATLLDSFCNDLHQQLNDLK TCLMQEVGVQESPLTQEDSLLAVRKYFHSITVYLREKKHSPCAWEVVRAEVWRALSSSAK LLASLSEEKE >ENSMUSP00000113973.1 pep:known chromosome:GRCm38:3:103968110:104007488:1 gene:ENSMUSG00000058388.14 transcript:ENSMUST00000117150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phtf1 description:putative homeodomain transcription factor 1 [Source:MGI Symbol;Acc:MGI:1332671] MASNERDAISWYQKKIGAYDQQIWEKSIEQTQIKGFKNKPKKMGHIKPDLIDVDLIRGST FAKAKPEIPWTSLTRKGLVRVVFFPLFSSWWIQVTSLRIFVWLLLLYLMQVTAVVLYLLM PIVSASEVLGPLCLMLLMGTVHCQIVSTQITRPSGNNGNRRRRKLRKTVNGDGSRDNGNN SPDKVRAVETLESASSVGGFWGTLFGNRIKRVKLVSNKGTETDNDSGCFHPILKKRQGRP EIRMWQAREKAKVSDGEKCRREAYRRLGNGISDDLSSEEDGEARTQMILLRRSVEGASSD NGYEVKNRRSILSRHLNSQVKKTTRWCHIVRDSDSLAESEFESAVFSQGSRSGMSGGSRS LNLSRRDSESTRHDSETEDMLWDDLLHGPECRSSVTSDSEGAHVNTIHSGTKRDPKEDVF QQNHLFWLQNSSPASERVSAIIWEGNECKKMDMSVLEISGIIMSRVNAYEQGVGYQMLGN AVTVGLALFPFLYRLFREKSFDQLKSISAEEVLTLFCGAPPVTPVVILSIINFIERLCLT WMFFFMMCVAERTYKQRFLFAKLFSHITSARKARKYEIPHFRLKKVENIKIWLSLRSYLK RRGPQRSVDVVVSSVFLLTLSIAFICCAQVLQGHKTFLNDAYNWEFLIWETALLLFLLRL ASLGSETNKKYSNVSILLTEQINLYLKMEKKPNKKEQLTLVNNVLKLSTKLLKELDTPFR LYGLTMNPLIYNITRVVILSAVSGVISDLLGFNIRLWKIKS >ENSMUSP00000066607.7 pep:known chromosome:GRCm38:3:103968258:104007488:1 gene:ENSMUSG00000058388.14 transcript:ENSMUST00000063717.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phtf1 description:putative homeodomain transcription factor 1 [Source:MGI Symbol;Acc:MGI:1332671] MASNERDAISWYQKKIGAYDQQIWEKSIEQTQIKGFKNKPKKMGHIKPDLIDVDLIRGST FAKAKPEIPWTSLTRKGLVRVVFFPLFSSWWIQVTSLRIFVWLLLLYLMQVTAVVLYLLM PIVSASEVLGPLCLMLLMGTVHCQIVSTQITRPSGNNGNRRRRKLRKTVNGDGSRDNGNN SPDKVRAVETLESASSVGGFWGTLFGNRIKRVKLVSNKGTETDNDSGCFHPILKKRQGRP EIRMWQAREKAKVSDGEKCRREAYRRLGNGISDDLSSEEDGEARTQMILLRRSVEGASSD NGYEVKNRRSILSRHLNSQVKKTTRWCHIVRDSDSLAESEFESAVFSQGSRSGMSGGSRS LNLSRRDSESTRHDSETEDMLWDDLLHGPECRSSVTSDSEGAHVNTIHSGTKRDPKEDVF QQNHLFWLQNSSPASERVSAIIWEGNECKKMDMSVLEISGIIMSRVNAYEQGVGYQMLGN AVTVGLALFPFLYRLFREKSFDQLKSISAEEVLTLFCGAPPVTPVVILSIINFIERLCLT WMFFFMMCVAERTYKQRFLFAKLFSHITSARKARKYEIPHFRLKKVENIKIWLSLRSYLK RRGPQRSVDVVVSSVFLLTLSIAFICCAQVLQGHKTFLNDAYNWEFLIWETALLLFLLRL ASLGSETNKKYSNVSILLTEQINLYLKMEKKPNKKEQLTLVNNVLKLSTKLLKELDTPFR LYGLTMNPLIYNITRVVILSAVSGVISDLLGFNIRLWKIKS >ENSMUSP00000114722.1 pep:known chromosome:GRCm38:3:103968366:104024598:1 gene:ENSMUSG00000058388.14 transcript:ENSMUST00000145727.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phtf1 description:putative homeodomain transcription factor 1 [Source:MGI Symbol;Acc:MGI:1332671] MASNERDAISWYQKKIGAYDQQIWEKSIEQTQIKGFKNKPKKMGHIKPDLIDVDLIRGST FAKAKPEIPWTSLTRKGLVRVVFFPLFSSWWIQVTSLRIFVWLLLLYLMQVTAVVLYLLM PIVSASEVLGPLCLMLLMGTVHCQIVSTQITRPSGNNGNRRRRKLRKTVNGDGSRDNGNN SPDKVRAVETLESASSVGGFWGTLFGNRIKRVKLVSNKGTETDNDSGCFHPILKKRQGRP EIRMWQAREKAKVSDGEKCRREAYRRLGNGISDDLSSEEDGEARTQMILLRRSVEGASSD NGYEVKNRRSILSRHLNSQVKKTTRWCHIVRDSDSLAESEFESAVFSQGSRSGMSGGSRS LNLSRRDSESTRHDSETEDMLWDDLLHGPECRSSVTSDSEGAHVNTIHSGTKRDPKEDVF QQNHLFWLQNSSPASERVSAIIWEGNECKKMDMSVLEISGIIMSRVNAYEQGVGYQMLGN AVTVGLALFPFLYRLFREKSFDQLKSISAEEVLTLFCGAPPVTPVVILSIINFIERLCLT WMFFFMMCVAERTYKQRFLFAKLFSHITSARKARKYEIPHFRLKKVENIKIWLSLRSYLK RRGPQRSVDVVVSSVFLLTLSIAFICCAQVLQGHKTFLNDAYNWEFLIWETALLLFLLRL ASLGSETNKKYSNVSILLTEQINLYLKMEKKPNKKEQLTLVNNVLKLSTKLLKELDTPFR LYGLTMNPLIYNITRVVILSAVSGVISDLLGFNIRLWKIKS >ENSMUSP00000058137.8 pep:known chromosome:GRCm38:3:103968368:104007490:1 gene:ENSMUSG00000058388.14 transcript:ENSMUST00000055425.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phtf1 description:putative homeodomain transcription factor 1 [Source:MGI Symbol;Acc:MGI:1332671] MASNERDAISWYQKKIGAYDQQIWEKSIEQTQIKGFKNKPKKMGHIKPDLIDVDLIRVTA VVLYLLMPIVSASEVLGPLCLMLLMGTVHCQIVSTQITRPSGNNGNRRRRKLRKTVNGDG SRDNGNNSPDKVRAVETLESASSVGGFWGTLFGNRIKRVKLVSNKGTETDNDSGCFHPIL KKRQGRPEIRMWQAREKAKVSDGEKCRREAYRRLGNGISDDLSSEEDGEARTQMILLRRS VEGASSDNGYEVKNRRSILSRHLNSQVKKTTRWCHIVRDSDSLAESEFESAVFSQGSRSG MSGGSRSLNLSRRDSESTRHDSETEDMLWDDLLHGPECRSSVTSDSEGAHVNTIHSGTKR DPKEDVFQQNHLFWLQNSSPASERVSAIIWEGNECKKMDMSVLEISGIIMSRVNAYEQGV GYQMLGNAVTVGLALFPFLYRLFREKSFDQLKSISAEEVLTLFCGAPPVTPVVILSIINF IERLCLTWMFFFMMCVAERTYKQRFLFAKLFSHITSARKARKYEIPHFRLKKVENIKIWL SLRSYLKRRGPQRSVDVVVSSVFLLTLSIAFICCAQVLQGHKTFLNDAYNWEFLIWETAL LLFLLRLASLGSETNKKYSNVSILLTEQINLYLKMEKKPNKKEQLTLVNNVLKLSTKLLK ELDTPFRLYGLTMNPLIYNITRVVILSAVSGVISDLLGFNIRLWKIKS >ENSMUSP00000116193.1 pep:known chromosome:GRCm38:3:103968374:103991127:1 gene:ENSMUSG00000058388.14 transcript:ENSMUST00000123611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phtf1 description:putative homeodomain transcription factor 1 [Source:MGI Symbol;Acc:MGI:1332671] MASNERDAISWYQKKGFKNKPKKMGHIKPDLIDVDLIRGSTFAKAKPEIPWTSLTRKGLV RVVFFPLFSSWWIQVTSLRIFVWLLLLYLMQVTAVVLYLLMPIVSASEVLGPLCLMLLMG TVHCQIVSTQITRPSGNNGNRRRRKLRKTVNGDGSRDNGNNSPDKVRAVETLESASSVGG FWGTLFGNRIKRVKLVSNKGTETDNDSGCFHPILKKRQGRPEIRMWQAREKAKVSDGEKC RREAYRRLGNGISDDLSSEEDGEARTQMILLR >ENSMUSP00000088184.4 pep:known chromosome:GRCm38:3:103968446:104007490:1 gene:ENSMUSG00000058388.14 transcript:ENSMUST00000090685.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phtf1 description:putative homeodomain transcription factor 1 [Source:MGI Symbol;Acc:MGI:1332671] MASNERDAISWYQKKIGAYDQQIWEKSIEQTQIKGFKNKPKKMGHIKPDLIDVDLIRGST FAKAKPEIPWTSLTRKGLVRVVFFPLFSSWWIQVTSLRIFVWLLLLYLMQVTAVVLYLLM PIVSASEVLGPLCLMLLMGTVHCQIVSTQITRPSGNNGNRRRRIKRVKLVSNKGTETDND SGCFHPILKKRQGRPEIRMWQAREKAKVSDGEKCRREAYRRLGNGISDDLSSEEDGEART QMILLRRSVEGASSDNGYEVKNRRSILSRHLNSQVKKTTRWCHIVRDSDSLAESEFESAV FSQGSRSGMSGGSRSLNLSRRDSESTRHDSETEDMLWDDLLHGPECRSSVTSDSEGAHVN TIHSGTKRDPKEDVFQQNHLFWLQNSSPASERVSAIIWEGNECKKMDMSVLEISGIIMSR VNAYEQGVGYQMLGNAVTVGLALFPFLYRLFREKSFDQLKSISAEEVLTLFCGAPPVTPV VILSIINFIERLCLTWMFFFMMCVAERTYKQRFLFAKLFSHITSARKARKYEIPHFRLKK VENIKIWLSLRSYLKRRGPQRSVDVVVSSVFLLTLSIAFICCAQVLQGHKTFLNDAYNWE FLIWETALLLFLLRLASLGSETNKKYSNVSILLTEQINLYLKMEKKPNKKEQLTLVNNVL KLSTKLLKELDTPFRLYGLTMNPLIYNITRVVILSAVSGVISDLLGFNIRLWKIKS >ENSMUSP00000121036.1 pep:known chromosome:GRCm38:3:103968588:103987561:1 gene:ENSMUSG00000058388.14 transcript:ENSMUST00000156262.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phtf1 description:putative homeodomain transcription factor 1 [Source:MGI Symbol;Acc:MGI:1332671] MASNERDAISWYQKKIGAYDQQIWEKSIEQTQIKGFKNKPKKMGHIKPDLIDVDLIRVTA VVLYLLMPIVSASEVLGPLCLMLLMGTVHCQIVSTQITRPSGNNGNRRRRKLRKTVNGDG SRDNGNNSPDKVRAVETLESASSVGGFWGTLFGN >ENSMUSP00000118281.1 pep:known chromosome:GRCm38:3:103968925:103997521:1 gene:ENSMUSG00000058388.14 transcript:ENSMUST00000150849.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phtf1 description:putative homeodomain transcription factor 1 [Source:MGI Symbol;Acc:MGI:1332671] MASNERDAISWYQKKIGAYDQQIWEKSIEQTQIKGFKNKPKKMGHIKPDLIDVDLIRGST FAKAKPEIPWTSLTRKGLVRVVFFPLFSSWWIQVTSLRIFVWLLLLYLMQVTAVVLYLLM PIVSASEVLGPLCLMLLMGTVHCQIVSTQITRPSGNNGNRRRRKLRKTVNGDGSRDNGNN SPDKVRAVETLESASSVGGFWGTLFGNRRLIGVWVMEFQTICQVRRMVKHGHR >ENSMUSP00000130744.1 pep:known chromosome:GRCm38:14:43573843:43579146:-1 gene:ENSMUSG00000095743.1 transcript:ENSMUST00000166110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17654 description:predicted gene, 17654 [Source:MGI Symbol;Acc:MGI:4937288] XRYSLVPKQACCPCSSGYFRERIEYTQTPDQGRRTQAAHHGGKGQETTGHGEGSAGEASI QAPTINEQEKRRERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCQLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIKTKTLCNEGSQKIKDHYTK >ENSMUSP00000037631.8 pep:known chromosome:GRCm38:16:92058270:92112227:1 gene:ENSMUSG00000039680.9 transcript:ENSMUST00000047429.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps6 description:mitochondrial ribosomal protein S6 [Source:MGI Symbol;Acc:MGI:2153111] MPRYELALILKAMRRPETAAALKRTIESLMDRGAIVRNLESLGERALPYRISSHSQQHSR GGYFLVDFYAPTSAVENILEHLARDIDVVRPNIVKHPLTQEVKECDGIVPVPLEEKLYST KRRKK >ENSMUSP00000038976.2 pep:known chromosome:GRCm38:4:136610437:136613226:1 gene:ENSMUSG00000036921.2 transcript:ENSMUST00000046647.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930549C01Rik description:RIKEN cDNA 4930549C01 gene [Source:MGI Symbol;Acc:MGI:1914913] MLGELIFLLRSLHGFLASSGPIGAALAWLISFKPILFAFLLLLLLLSNWLVKQEHNLAPS PPQEEAETTTAPEVHPKNNVKNKEELEKINACFALQDKVLERLMFSEMKLKALENQVFVI WNKINRRRWSSRQRNFSRRRHRSRRHDSTFSTLSKCTTVTPTECD >ENSMUSP00000107624.2 pep:known chromosome:GRCm38:2:74520291:74578948:-1 gene:ENSMUSG00000009207.15 transcript:ENSMUST00000111993.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnp description:limb and neural patterns [Source:MGI Symbol;Acc:MGI:1918115] MGGLFSRWRAKPSTVEVLENIDKEIQALEEFREKNQRLQKLWVGRLIIYSSILYLFTCLI VYLWYLPDEFTARLVMTLPFFAFPLIIWTLRTVLIFFFSKRTERNNEALDDLKSQKKKIL EEVMEKETYKTAKLILERFDPDSKKAKEFEPPSAGAAVTAKPGQEIRQRTAAQRNLSPAP ASSSQGPPPQGPVSPGPAKDASAPGGPPERTVAPALPRRLGSPATSVPGMGLHPPGPPLA RPILPRERGALDRIVEYLVGDGPQNRYALICQQCFSHNGMALKEEFEYIAFRCAYCFFLN PARKTRPQAPRLPEFSFEKRQAVEGSSSTGPTLLESVPSAESQLIEGGTIPQMSHFLSEI SGVKSNWLKVNPSKTL >ENSMUSP00000066891.6 pep:known chromosome:GRCm38:2:74520334:74578948:-1 gene:ENSMUSG00000009207.15 transcript:ENSMUST00000064503.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnp description:limb and neural patterns [Source:MGI Symbol;Acc:MGI:1918115] MGGLFSRWRAKPSTVEVLENIDKEIQALEEFREKNQRLQKLWVGRLIIYSSILYLFTCLI VYLWYLPDEFTARLVMTLPFFAFPLIIWTLRTVLIFFFSKRTERNNEALDDLKSQKKKIL EEVMEKETYKTAKLILERFDPDSKKAKEFEPPSAGAAVTAKPGQEIRQRTAAQRNLSPAP ASSSQGPPPQGPVSPGPAKDASAPGGPPERTVAPALPRRLGSPATSVPGMGLHPPGPPLA RPILPRERGALDRIVEYLVGDGPQNRYALICQQCFSHNGMALKEEFEYIAFRCAYCFFLN PARKTRPQAPRLPEFSFEKRQAVEGSSSTGPTLLESVPSAESQLIEDSLEEQDVLDNSTE QRDDKIPVTEQTSQVIEKTSGPEEPAENQEETENEETSTNEAKSPVLRADSVPNLEPSEE SLVTK >ENSMUSP00000099737.2 pep:known chromosome:GRCm38:2:74522040:74578223:-1 gene:ENSMUSG00000009207.15 transcript:ENSMUST00000102676.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnp description:limb and neural patterns [Source:MGI Symbol;Acc:MGI:1918115] MGGLFSRWRAKPSTVEVLENIDKEIQALEEFREKNQRLQKLWVGRLIIYSSILYLFTCLI VYLWYLPDEFTARLVMTLPFFAFPLIIWTLRTVLIFFFSKRTERNNEALDDLKSQKKKIL EEVMEKETYKTAKLILERFDPDSKKAKEFEPPSAGAAVTAKPGQEIRQRTAAQRNLSPAP ASSSQGPPPQGPVSPGPAKDASAPGGPPERTVAPALPRRLGSPATSVPGMGLHPPGPPLA RPILPRERGALDRIVEYLVGDGPQNRYALICQQCFSHNGMALKEEFEYIAFRCAYCFFLN PARKTRPQAPRLPEFSFEKRQAVEGSSSTGPTLLESVPSAESQLIEGGTIPQMSHFLSEI SGVKSNWLKVNPSKTL >ENSMUSP00000122488.1 pep:known chromosome:GRCm38:2:74531909:74579379:-1 gene:ENSMUSG00000009207.15 transcript:ENSMUST00000130586.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnp description:limb and neural patterns [Source:MGI Symbol;Acc:MGI:1918115] MGGLFSRWRAKPSTVEVLENIDKEIQALEEFREKNQRLQKLWVGRLIIYSSILYLFTCLI VYLWYLPDEFTARLVMTLPFFAFPLIIWTLRTVLIFFFSKRTERNNEALDDLKSQKKKIL EEVMEKETYKTAKLILERFDPDSKKAKEFEPPSAGAAVTAKPGQEIRQRTAAQRNLSPAP ASSSQGPPPQGPVSPGPAKDASAPGGPPERTVAPALPRRLGSPATSVPGMGLHPPGPPLA RPILPRERGALDRIV >ENSMUSP00000120494.1 pep:known chromosome:GRCm38:2:74537406:74578517:-1 gene:ENSMUSG00000009207.15 transcript:ENSMUST00000130232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnp description:limb and neural patterns [Source:MGI Symbol;Acc:MGI:1918115] MGGLFSRWRAKPSTVEVLENIDKEIQALEEFREKNQRLQKLWVGRLIIYSSILYLFTCLI VYLWYLPDEFTARLVMTLPFFAFPLIIWTLRTVLIFFFSKRTERNNEALDDLKSQKKKIL EEVMEKETYKTAKLILERFDPDSKKAKEFEPPSAGAAVTAKPGQEIRQRTAAQRNLSPAP ASSSQGPPPQGPVSPG >ENSMUSP00000123058.1 pep:known chromosome:GRCm38:2:74569104:74578894:-1 gene:ENSMUSG00000009207.15 transcript:ENSMUST00000134168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnp description:limb and neural patterns [Source:MGI Symbol;Acc:MGI:1918115] MGGLFSRWRAKPSTVEVLENIDKEIQALEEF >ENSMUSP00000141255.1 pep:known chromosome:GRCm38:1:133619862:133661318:-1 gene:ENSMUSG00000102976.5 transcript:ENSMUST00000191896.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h11a description:zinc finger CCCH type containing 11A [Source:MGI Symbol;Acc:MGI:1917829] MPNQGEDCYFYFYSTCAKGDSCPFRHCEAALGNETVCTLWQEGRCFRQVCRFRHMEIDKK RSEIPCYWENQPVGCQKLNCAFHHTRSRYVDGLFLPPSKTVLPTVPESQEEEVKTSQLTV QQSKLSVQSNPSPQLRSVMKVESSENVPSPTHPPVVINAADDDEDDDDQFSEEGDESKTP ALQPSPDVHNGLRVASARKPGVSLKQGECLNFGIKTLEEIKSKKMKEKSKKQGEGSSGVS SVLQQPQPNPGPEKENVRTVVRMVTLSSKPEEPLVRLSLSERLGKRKLSVGGDSDPPLKR SLAQRLGKKVESPETNIDKAPKKERGHKAGEIHVKTLEEILLERASQKRGELQTKLKAEE PSGADDSPSGTKSSSSVRIKTFSEVLAEKKHRQQEMERQKSKKDTSCLTLTDDTEMKKTV SLPTVAVSKGQPEEPAGRARSMQEVHIKTLEEIKLEKALRVQQSSESSGNSRPQAEAAPG TKRLLRITKRAGVKEEKKCGLEDSGDPPQSSVTKMEANETSDETISDPTKLAVNRCDTVK EKHTQRLQERGASQKEKAALSSVRGDEASSYTRVAGKPVLTAVSGVTRHLAKRLPVESSQ KGEVETSGIGDSILNVKCAAQTLEKRSKVKPKVNVKPSVVKVVSAPKLAPKRKAVEMHSA VIAAVKPLSSSSVLQESPTKKAAVAVVPLLSEDKPVTMSETENPKDSSVLSSAQAASEPL LPEGSGPSSSQTATKPRRLSSASTGKPPLSVEDDFEKLIWEISGGKLEAEIDLDPGKDED DLLLELSEMIDS >ENSMUSP00000142009.1 pep:known chromosome:GRCm38:1:133627130:133639170:-1 gene:ENSMUSG00000102976.5 transcript:ENSMUST00000195669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h11a description:zinc finger CCCH type containing 11A [Source:MGI Symbol;Acc:MGI:1917829] XLSVQSNPSPQLRSVMKVESSENVPSPTHPPVVINAADDDEDDDDQFSEEGDESKTPALQ PSPDVHNGLRVASARKPGVSLKQGECLNFGIKTLEEIKSKKMKEKSKKQGEGSSGVSSVL QQPQPNPGPEKENVRTVVRMVTLSSKPERGHKAGEIHVKTLEEILLERASQKRGELQTKL KAEEPSGADDSPSG >ENSMUSP00000142066.1 pep:known chromosome:GRCm38:1:133638884:133661378:-1 gene:ENSMUSG00000102976.5 transcript:ENSMUST00000195424.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h11a description:zinc finger CCCH type containing 11A [Source:MGI Symbol;Acc:MGI:1917829] MPNQGEDCYFYFYSTCAKGDSCPFRHCEAALGNETVCTLWQEGRCFRQVCRFRHMEIDKK RSEIPCYWENQPVGCQKLNCAFHHTRSRYVDGLFLPPSKTVLPTVPESQEEEVKTSQLTV QQSKLSVQSNPSPQLRSVMKVESSENVPSPTHPPVVINAADDDEDDDDQFSEEGDESKTP ALQPSPDVH >ENSMUSP00000141727.1 pep:known chromosome:GRCm38:1:133639142:133661358:-1 gene:ENSMUSG00000102976.5 transcript:ENSMUST00000194668.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h11a description:zinc finger CCCH type containing 11A [Source:MGI Symbol;Acc:MGI:1917829] MPNQGEDCYFYFYSTCAKGDSCPFRHCEAALGNETVCTLWQEGRCFRQVCRFRHMEIDKK RSEIPCYWENQPVGCQKLNCAFHHTRSRYVDGLFLPPSKTVLPTVPESQEEEVKTSQLTV QQSKLSVQSNPSP >ENSMUSP00000141895.1 pep:known chromosome:GRCm38:1:133640658:133661282:-1 gene:ENSMUSG00000102976.5 transcript:ENSMUST00000193504.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h11a description:zinc finger CCCH type containing 11A [Source:MGI Symbol;Acc:MGI:1917829] MPNQGEDCYFYFYSTCAKGDSCPFRHCEAALGNETVCTLWQEGRCFRQVCRFRHMEIDKK RSEIPCYWENQPVGCQKLNCAFHH >ENSMUSP00000141825.1 pep:known chromosome:GRCm38:1:133645781:133661296:-1 gene:ENSMUSG00000102976.5 transcript:ENSMUST00000195067.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h11a description:zinc finger CCCH type containing 11A [Source:MGI Symbol;Acc:MGI:1917829] MPNQGEDCYFYFYSTCAKGDSCPFRHCEAALGNETVCTLW >ENSMUSP00000027736.6 pep:known chromosome:GRCm38:1:133619871:133661380:-1 gene:ENSMUSG00000102976.5 transcript:ENSMUST00000027736.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h11a description:zinc finger CCCH type containing 11A [Source:MGI Symbol;Acc:MGI:1917829] MPNQGEDCYFYFYSTCAKGDSCPFRHCEAALGNETVCTLWQEGRCFRQVCRFRHMEIDKK RSEIPCYWENQPVGCQKLNCAFHHTRSRYVDGLFLPPSKTVLPTVPESQEEEVKTSQLTV QQSKLSVQSNPSPQLRSVMKVESSENVPSPTHPPVVINAADDDEDDDDQFSEEGDESKTP ALQPSPDVHNGLRVASARKPGVSLKQGECLNFGIKTLEEIKSKKMKEKSKKQGEGSSGVS SVLQQPQPNPGPEKENVRTVVRMVTLSSKPEEPLVRLSLSERLGKRKLSVGGDSDPPLKR SLAQRLGKKVESPETNIDKAPKKERGHKAGEIHVKTLEEILLERASQKRGELQTKLKAEE PSGADDSPSGTKSSSSVRIKTFSEVLAEKKHRQQEMERQKSKKDTSCLTLTDDTEMKKTV SLPTVAVSKGQPEEPAGRARSMQEVHIKTLEEIKLEKALRVQQSSESSGNSRPQAEAAPG TKRLLRITKRAGVKEEKKCGLEDSGDPPQSSVTKMEANETSDETISDPTKLAVNRCDTVK EKHTQRLQERGASQKEKAALSSVRGDEASSYTRVAGKPVLTAVSGVTRHLAKRLPVESSQ KGEVETSGIGDSILNVKCAAQTLEKRSKVKPKVNVKPSVVKVVSAPKLAPKRKAVEMHSA VIAAVKPLSSSSVLQESPTKKAAVAVVPLLSEDKPVTMSETENPKDSSVLSSAQAASEPL LPEGSGPSSSQTATKPRRLSSASTGKPPLSVEDDFEKLIWEISGGKLEAEIDLDPGKDED DLLLELSEMIDS >ENSMUSP00000072466.5 pep:known chromosome:GRCm38:4:61224310:61228271:-1 gene:ENSMUSG00000089873.8 transcript:ENSMUST00000072678.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup13 description:major urinary protein 13 [Source:MGI Symbol;Acc:MGI:3702003] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEEHGNFRLFL EQIHVLENSLVLKVHTVRDEECSELSMVADKTEKAGKYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000095650.3 pep:known chromosome:GRCm38:4:61224307:61228286:-1 gene:ENSMUSG00000089873.8 transcript:ENSMUST00000098042.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup13 description:major urinary protein 13 [Source:MGI Symbol;Acc:MGI:3702003] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEEHGNFRLFL EQIHVLENSLVLKVHTVRDEECSELSMVADKTEKAGKYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNAMDLVPEHV LVLTLQIAASRPENEEWPEPPVLSGDFSPGLHHHPFLSIQHPQYKFCDLHSILSH >ENSMUSP00000036270.7 pep:known chromosome:GRCm38:3:109340653:109685698:1 gene:ENSMUSG00000033721.16 transcript:ENSMUST00000046864.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vav3 description:vav 3 oncogene [Source:MGI Symbol;Acc:MGI:1888518] MEPWKQCAQWLIHSKVLPPNHRVTWDSAQVFDLAQTLRDGVLLCQLLNNLRPHSINLKEI NLRPQMSQFLCLKNIRTFLAACCDTFGMRKSELFEAFDLFDVRDFGKVIETLSRLSRTPI ALATGIRPFPTEESINDEDIYKGLPDLIDETRVEDEEDLYDCVYGEDEGGEVYEDLMKAE EAQQPKSQENDIRSCCLAEIRQTEEKYTETLESIEKYFMAPLKRFLTAAEFDSVFINIPD LVKVHRSLMQEIHDSIVNKDDQNLYQVFINYKERLVIYGQYCSGVESAISNLDYISKTKE DVKLKLEECSKRANNGKFTLRDLLVVPMQRVLKYHLLLQELVKHTHDPMEKANLKLALDA MKDLAQYVNEVKRDNETLREIKQFQLSIENLNQPVLLFGRPQGDGEIRITTLDKHTKQER HIFLFDLAVIVCKRKGDNYEMKEIIDLQQYKIANNPTTDKENKKWSYGFYLIHTQGQNGL EFYCKTKDLKKKWLEQFEMALSNIRPDYADSNFHDFKMHTFTRVTSCRVCQMLLRGTFYQ GYLCFKCGAKAHKECLGRVDNCGRVNSVEQGPFKPPEKRTNGLRRASRQVDPGLPKMQVI RNYTGTPAPGLHEGPPLHIQAGDTVELLRGDAHSVFWQGRNLASGEVGFFPSDAVKPSPC VPKPVDYSCQPWYAGPMERLQAETELINRVNSTYLVRHRTKESGEYAISIKYNNEAKHIK ILTRDGFFHIAENRKFKSLMELVEYYKHHSLKEGFRTLDTTLQFPYKEPEQPAGQRGNRT GNSLLSPKVLGIAIARYDFCARDMRELSLLKGDMVKIYTKMSANGWWRGEVNGRVGWFPS TYVEEDE >ENSMUSP00000102186.2 pep:known chromosome:GRCm38:3:109573907:109685694:1 gene:ENSMUSG00000033721.16 transcript:ENSMUST00000106576.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vav3 description:vav 3 oncogene [Source:MGI Symbol;Acc:MGI:1888518] MPIFTFVSEQGPFKPPEKRTNGLRRASRQVDPGLPKMQVIRNYTGTPAPGLHEGPPLHIQ AGDTVELLRGDAHSVFWQGRNLASGEVGFFPSDAVKPSPCVPKPVDYSCQPWYAGPMERL QAETELINRVNSTYLVRHRTKESGEYAISIKYNNEAKHIKILTRDGFFHIAENRKFKSLM ELVEYYKHHSLKEGFRTLDTTLQFPYKEPEQPAGQRGNRTGNSLLSPKVLGIAIARYDFC ARDMRELSLLKGDMVKIYTKMSANGWWRGEVNGRVGWFPSTYVEEDE >ENSMUSP00000082194.3 pep:known chromosome:GRCm38:9:106514573:106516010:1 gene:ENSMUSG00000066382.4 transcript:ENSMUST00000085113.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcf5 description:IQ motif containing F5 [Source:MGI Symbol;Acc:MGI:1922720] MGPEKSTAMTETSAAVRIQAWWRGTLLRRTLLHAALSAWIIQCWWRKIMIMLQGKKRRMA LELYARKTWAIVKLQSWFRMWHIRHRYCRLLNAVRIIQVYWRWHSCHTRGFIQGNYEIKE NRLNIQLEISLGSQACKVEQCITLPIKE >ENSMUSP00000115593.1 pep:known chromosome:GRCm38:7:127573380:127582447:1 gene:ENSMUSG00000030815.11 transcript:ENSMUST00000146383.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phkg2 description:phosphorylase kinase, gamma 2 (testis) [Source:MGI Symbol;Acc:MGI:1916211] MTLDVGPEDELPDWAAAKEFYQKYDPKDIIGRGVSSVVRRCVHRATGDEFAVKIMEVSAE RLSLEQLEEVRDATRREMHILRQDAERRAV >ENSMUSP00000145927.1 pep:known chromosome:GRCm38:7:127573402:127582447:1 gene:ENSMUSG00000030815.11 transcript:ENSMUST00000205633.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phkg2 description:phosphorylase kinase, gamma 2 (testis) [Source:MGI Symbol;Acc:MGI:1916211] MTLDVGPEDELPDWAAAKEFYQKYDPKDIIGRGVSSVVRRCVHRATGDEFAVKIMEVSAE RLSLEQLEEDAERRAV >ENSMUSP00000033086.1 pep:known chromosome:GRCm38:7:127573404:127583307:1 gene:ENSMUSG00000030815.11 transcript:ENSMUST00000033086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phkg2 description:phosphorylase kinase, gamma 2 (testis) [Source:MGI Symbol;Acc:MGI:1916211] MTLDVGPEDELPDWAAAKEFYQKYDPKDIIGRGVSSVVRRCVHRATGDEFAVKIMEVSAE RLSLEQLEEVRDATRREMHILRQVAGHPHIITLIDSYESSSFMFLVFDLMRKGELFDYLT EKVALSEKETRSIMRSLLEAVSFLHANNIVHRDLKPENILLDDNMQIRLSDFGFSCHLEA GEKLRELCGTPGYLAPEILKCSMDETHPGYGKEVDLWACGVILFTLLAGSPPFWHRRQIL MLRMIMEGQYQFTSPEWDDRSNTVKDLISKLLQVDPEARLTAEQALQHPFFERCEGSQPW NLTPRQRFRVAVWTILAAGRVALSSHRLRPLTKNALLRDPYALRPVRRLIDNCAFRLYGH WVKKGEQQNRAALFQHQPPRLFPIAATELEGDSGAITEDEATLVRS >ENSMUSP00000113533.1 pep:known chromosome:GRCm38:7:127573529:127583305:1 gene:ENSMUSG00000030815.11 transcript:ENSMUST00000121004.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phkg2 description:phosphorylase kinase, gamma 2 (testis) [Source:MGI Symbol;Acc:MGI:1916211] MTLDVGPEDELPDWAAAKEFYQKYDPKDIIGRGVSSVVRRCVHRATGDEFAVKIMEVSAE RLSLEQLEEVRDATRREMHILRQVAGHPHIITLIDSYESSSFMFLVFDLMRKGELFDYLT EKVALSEKETRSIMRSLLEAVSFLHANNIVHRDLKPENILLDDNMQIRLSDFGFSCHLEA GEKLRELCGTPGYLAPEILKCSMDETHPGYGKEVDLWACGVILFTLLAGSPPFWHRRQIL MLRMIMEGQYQFTSPEWDDRSNTVKDLISKLLQVDPEARLTAEQALQHPFFERCEGSQPW NLTPRQRFRVAVWTILAAGRVALSSHRLRPLTKNALLRDPYALRPVRRLIDNCAFRLYGH WVKKGEQQNRAALFQHQPPRLFPIAATELEGDSGAITEDEATLVRS >ENSMUSP00000116860.1 pep:known chromosome:GRCm38:7:127573583:127583305:1 gene:ENSMUSG00000030815.11 transcript:ENSMUST00000154891.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phkg2 description:phosphorylase kinase, gamma 2 (testis) [Source:MGI Symbol;Acc:MGI:1916211] MTLDVGPEDELPDWAAAKEFYQKYDPKDIIGRGVSSVVRRCVHRATGDEFAVKIMEVSAE RLSLEQLEESPSSIPTSLLASCSWCLT >ENSMUSP00000145834.1 pep:known chromosome:GRCm38:7:127577975:127583300:1 gene:ENSMUSG00000030815.11 transcript:ENSMUST00000206818.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phkg2 description:phosphorylase kinase, gamma 2 (testis) [Source:MGI Symbol;Acc:MGI:1916211] XTLIDSYESSSFMFLVFDLMRKGELFDYLTEKVALSEKETRSIMRSLLEAVSFLHANNIV HRDLKPENILLDDNMQIRLSDFGFSCHLEAGEKLRDLQAAAGGS >ENSMUSP00000114644.1 pep:known chromosome:GRCm38:18:53465051:53575857:1 gene:ENSMUSG00000069378.13 transcript:ENSMUST00000154557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm6 description:PR domain containing 6 [Source:MGI Symbol;Acc:MGI:2684938] RGVPRFRCSAEELDYYLYGQQRMEIIPLNQHTSDPNNRCDMCADNRNGECPMHGPLHSLR RLVGTSSAAAAAPPPELPEWLRDLPREVCLCTSTVPGLAYGICAAQRIQQGTWIGPFQGV LLSPEKVQTGVVRNTQHLWEIYDQDGTLQHFIDGGEPSKSSWMRYIRCARHCGEQNLTVV QYRSNIFYRACIDIPRGTELLVWYNDSYTSFFGIPLQCIAQDENLNVPSTVMEAMCRQDA LQPFNKSSKLSPSGQQRSVVFPQTPCSRNFSLLDKSGPMEAGFNQINVKNQRVLASPTST SQLHSEFSDWHLWKCGQCFKTFTQRILLQMHVCTQNPDRPYQCGHCSQSFSQPSELRNHV VTHSSDRPFKCGYCGRAFAGATTLNNHIRTHTGEKPFKCERCERSFTQATQLSRHQRMPN ECKPITESPESIEVD >ENSMUSP00000111057.1 pep:known chromosome:GRCm38:18:53472981:53575907:1 gene:ENSMUSG00000069378.13 transcript:ENSMUST00000115399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm6 description:PR domain containing 6 [Source:MGI Symbol;Acc:MGI:2684938] MCADNRNGECPMHGPLHSLRRLVGTSSAAAAAPPPELPEWLRDLPREVCLCTSTVPGLAY GICAAQRIQQGTWIGPFQGVLLSPEKVQTGVVRNTQHLWEIYDQDGTLQHFIDGGEPSKS SWMRYIRCARHCGEQNLTVVQYRSNIFYRACIDIPRGTELLVWYNDSYTSFFGIPLQCIA QDENLNVPSTVMEAMCRQDALQPFNKSSKLSPSGQQRSVVFPQTPCSRNFSLLDKSGPME AGFNQINVKNQRVLASPTSTSQLHSEFSDWHLWKCGQCFKTFTQRILLQMHVCTQNPDRP YQCGHCSQSFSQPSELRNHVVTHSSDRPFKCGYCGRAFAGATTLNNHIRTHTGEKPFKCE RCERSFTQATQLSRHQRMPNECKPITESPESIEVD >ENSMUSP00000111056.1 pep:known chromosome:GRCm38:18:53551594:53575857:1 gene:ENSMUSG00000069378.13 transcript:ENSMUST00000115398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm6 description:PR domain containing 6 [Source:MGI Symbol;Acc:MGI:2684938] MEAMCRQDALQPFNKSSKLSPSGQQRSVVFPQTPCSRNFSLLDKSGPMEAGFNQINVKNQ RVLASPTSTSQLHSEFSDWHLWKCGQCFKTFTQRILLQMHVCTQNPDRPYQCGHCSQSFS QPSELRNHVVTHSSDRPFKCGYCGRAFAGATTLNNHIRTHTGEKPFKCERCERSFTQATQ LSRHQRMPNECKPITESPESIEVD >ENSMUSP00000089513.3 pep:known chromosome:GRCm38:18:53464546:53575857:1 gene:ENSMUSG00000069378.13 transcript:ENSMUST00000091900.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm6 description:PR domain containing 6 [Source:MGI Symbol;Acc:MGI:2684938] MLKPGDPGGSAFLKVDPAYLQHWQQLFPHGGGGGGPLKASGAALALGAPQPLQPPPPPPP PPPERAEPPPDGLRPRPASLSSTPAPSSTSASSASSCAAAAAAAALAGLSALPVAQMPVF APLAAAAVAAEPLPPKDLCLGASAGPGPAKCGGGGGSVGDGRGVPRFRCSAEELDYYLYG QQRMEIIPLNQHTSDPNNRCDMCADNRNGECPMHGPLHSLRRLVGTSSAAAAAPPPELPE WLRDLPREVCLCTSTVPGLAYGICAAQRIQQGTWIGPFQGVLLSPEKVQTGVVRNTQHLW EIYDQDGTLQHFIDGGEPSKSSWMRYIRCARHCGEQNLTVVQYRSNIFYRACIDIPRGTE LLVWYNDSYTSFFGIPLQCIAQDENLNVPSTVMEAMCRQDALQPFNKSSKLSPSGQQRSV VFPQTPCSRNFSLLDKSGPMEAGFNQINVKNQRVLASPTSTSQLHSEFSDWHLWKCGQCF KTFTQRILLQMHVCTQNPDRPYQCGHCSQSFSQPSELRNHVVTHSSDRPFKCGYCGRAFA GATTLNNHIRTHTGEKPFKCERCERSFTQATQLSRHQRMPNECKPITESPESIEVD >ENSMUSP00000134080.1 pep:known chromosome:GRCm38:17:37574454:37575448:-1 gene:ENSMUSG00000092292.1 transcript:ENSMUST00000174139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr113 description:olfactory receptor 113 [Source:MGI Symbol;Acc:MGI:2177496] MIVENITTMRGFLLMGFSDNHELQILQALLFLVTYLLGSAGNVIIITITTLDPQLQSPMY YFLKHLSILDLSSLSVTVPQYVDICLTQSGYISYAQCMLQIFFFTGFAWGEVAILTVMSY DRYVAVCLPLHYEVIMGPSKCRWAVTAVWLSSVIPGTLYIASIFSIRFCGDRIIHQFFCD VPQVLKFSCSDDYLVTVGVADFLSAVAFACFIGIVNSYVHIFSTVLRMPSAESRSKVFST CLPHLFVVLLFLSTGIFAYLNPTSDSPTALQFLVSIFYTVLPPTLNPVIYSLRNETIKSV IRKLLLSSKFTG >ENSMUSP00000035773.2 pep:known chromosome:GRCm38:4:106622432:106640189:1 gene:ENSMUSG00000034919.2 transcript:ENSMUST00000047922.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc22 description:tetratricopeptide repeat domain 22 [Source:MGI Symbol;Acc:MGI:3045307] MTEAEVGAEDLDTLLDELDYLPGHFHLEMQLNFEPRSPAQLRARDLKLQREGLRQELELV ATPQLPAVRHLLGTFSFYLEELGDAREHFLEVARKDPGNLNAWANLAHVYGQLGQEEEEE ASAGRLASLMGLEGDPEDAGDPRLRAARCLAEQGYAHGFDVGCASPEERAQVLEAGIALY DKALGYGQQIPIEEKRSWYFTMATLFIRLDGIFLEMGSEEQKRLPAFNRTLALLGEVLKS SDSRHQALAWCYVGMLLERKDTFSTTPMGVHEYGYSGTEPLDCFGKAIEIAKNQPPILNR LAKIFHFLGKQDMAVGTCNMVLAVLTDPELNWQAYCTRAKVRIRAYVHDLERAKVGLGGL PDRNHLACAKADLEEVVKVCPSLRTYLDISQVYYYMGVDAMRELLAVDEAALNQALVFLA KAGELELGDTLPELQLLRGKCLRVQGEDANAAACFKRAVELDDEGSSHTEGFGCLLEALL AQWSQAQLSDGEVGYEVDVWLRHAQGKYPAARLRQELQRVWRGHTEEVLGLARALVAQGR PALVRLLFETMEHEGEDAGGSGKSRVSS >ENSMUSP00000117138.1 pep:known chromosome:GRCm38:5:137553517:137563204:1 gene:ENSMUSG00000029712.14 transcript:ENSMUST00000136088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl6b description:actin-like 6B [Source:MGI Symbol;Acc:MGI:1933548] MSPLKNGMIEDWECFRAILDHTYSKHVKSEPNLHPVLMSEAPWNTRAKREKLTELMFEQY NIPAFFLCKTAVLTA >ENSMUSP00000119356.1 pep:known chromosome:GRCm38:5:137553520:137569582:1 gene:ENSMUSG00000029712.14 transcript:ENSMUST00000139395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl6b description:actin-like 6B [Source:MGI Symbol;Acc:MGI:1933548] MSGGVYGGDEVGALVFDIGSFSVRAGYAGEDCPKADFPTTVGLLAAEEGGGLELEGEKEK KGKIFHIDTNALHVPRDGAEVMSPLKNGMIEDWECFRAILDHTYSKHVKSEPNLHPVLMS EAPWNTRAKREKLTELMFEQYNIPAFFLCKTAVLTAFANGRSTGLVLDSGATHTTAIPVH DGYVLQQGIVKSPLAGDFISMQCRELFQEMAIDIIPPYMIAAKEPVREGAPPNWKKKEKL PQVSKSWHNYMCNEVIQDFQASVLQVSDSPYDEQVAAQMPTVHYEMPNGYNTDYGAERLR IPEGLFDPSNVKGLSGNTMLGVGHVVTTSIGMCDIDIRPGLYGSVIVTGGNTLLQGFTDR LNRELSQKTPPSMRLKLIASNSTMERKFSPWIGGSILASLGTFQQMWISKQEYEEGGKQC VERKCP >ENSMUSP00000143702.1 pep:known chromosome:GRCm38:5:137553538:137566644:1 gene:ENSMUSG00000029712.14 transcript:ENSMUST00000198601.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Actl6b description:actin-like 6B [Source:MGI Symbol;Acc:MGI:1933548] MSGGVYGGVEHSGQAGEADGADVRAVQHSCLLLMQDGRAHSLCKWTLHRPGAGQWGHPHY SHPSP >ENSMUSP00000117425.1 pep:known chromosome:GRCm38:5:137553539:137565778:1 gene:ENSMUSG00000029712.14 transcript:ENSMUST00000136565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl6b description:actin-like 6B [Source:MGI Symbol;Acc:MGI:1933548] MFEQYNIPAFFLCKTAVLTAFANGRSTGLVLDSGATHTTAIPVHDGYVLQQGIVKSPLAG DFISMQCRELFQEMAIDIIPPYMIAAKEPVREGAPPNWKKKEKLPQVSKSWHNYMC >ENSMUSP00000123004.1 pep:known chromosome:GRCm38:5:137553555:137554677:1 gene:ENSMUSG00000029712.14 transcript:ENSMUST00000149292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl6b description:actin-like 6B [Source:MGI Symbol;Acc:MGI:1933548] MSPLKNGM >ENSMUSP00000121672.1 pep:known chromosome:GRCm38:5:137553555:137555032:1 gene:ENSMUSG00000029712.14 transcript:ENSMUST00000125489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl6b description:actin-like 6B [Source:MGI Symbol;Acc:MGI:1933548] MSPLKNGMIEDWECFRAILD >ENSMUSP00000031725.8 pep:known chromosome:GRCm38:5:137553557:137569557:1 gene:ENSMUSG00000029712.14 transcript:ENSMUST00000031725.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Actl6b description:actin-like 6B [Source:MGI Symbol;Acc:MGI:1933548] MSGGVYGGDEVGALVFDIGSFSVRAGYAGEDCPKADFPTTVGLLAAEEGGGLELEGEKEK KGKIFHIDTNALHVPRDGAEVMSPLKNGMIEDWECFRAILDHTYSKHVKSEPNLHPVLMS EAPWNTRAKREKLTELMFEQYNIPAFFLCKTAVLTAFANGRSTGLVLDSGATHTTAIPVH DGYVLQQGIVKSPLAGDFISMQCRELFQEMAIDIIPPYMIAAKEPVREGAPPNWKKKEKL PQVSKSWHNYMCNEVIQDFQASVLQVSDSPYDEQVAAQMPTVHYEMPNGYNTDYGAERLR IPEGLFDPSNVKGLSGNTMLGVGHVVTTSIGMCDIDIRPGLYGSVIVTGGNTLLQGFTDR LNRELSQKTPPPPFPSPEHAS >ENSMUSP00000040319.4 pep:known chromosome:GRCm38:7:103584024:103584980:1 gene:ENSMUSG00000073946.4 transcript:ENSMUST00000048265.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr617 description:olfactory receptor 617 [Source:MGI Symbol;Acc:MGI:3030451] MATSSTTLNYTNVRDIWYTMIGIPGLEYAHIWISIPICSMYIVAIAGNALLLFLIITERS LHEPMYLFLSMLALADIFLSTVTTPKMLAIFWFRAGGISFASCVSQMFFLHFIFVAESAI LLAMAFDRYVAICYPLRYTTILTTSVIIKMGIAAVIRSFFICFPLIFLVYRLTYCGKSTI RHSYCEHMGIARLACDSIKVNIYYGVIVALFSTCLDAVLIIVSYALILCAVFRIPSRDAR LKALGTCGSHVCVILLFYTPAFFSFFAHRFGGHSIPLHVHILLANLYVVVPPSVNPIIYG VKTKQIQERVIQVFSLGK >ENSMUSP00000044352.7 pep:known chromosome:GRCm38:10:88091072:88092375:1 gene:ENSMUSG00000035383.7 transcript:ENSMUST00000048621.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmch description:pro-melanin-concentrating hormone [Source:MGI Symbol;Acc:MGI:97629] MAKMTLSSYMLMLAFSLFSQGILLSASKSIRNLEDDIVFNTFRMGKAFQKEDTAERSVVA PSLEQYKNDESGFMNDDDNKNSKNTGSKQNLVTHGLPLSLAVKPYLALKGSVAFPAENGV QNAESTQEKREIGDEENSAKFPIGRRDFDMLRCMLGRVYRPCWQV >ENSMUSP00000120352.2 pep:known chromosome:GRCm38:1:166384622:166409782:-1 gene:ENSMUSG00000040596.15 transcript:ENSMUST00000135673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pogk description:pogo transposable element with KRAB domain [Source:MGI Symbol;Acc:MGI:1918842] MPGHSLRRPLRRRRPLGREMESRAYPLNLTLKEEQKEEEVEIQELEDGPIDMQKVQICSE GAWVPALFDEVAIYFSDEEWEVLTEQQKALYREVMRMNYETVLSLEFPFPKPDMINRLER DEECPNSDEWRLQGVTFAENEESDFRTPDWASPTNATSHFPQPQPFNSFGLRLPQDITEL PEWTEGYPFYMAMGFPGYDLSADDLASKFQFSRGMRRSYDAGFKLMVVEYAESTNNCQAA KQFGVLEKNVRDWRKVKPQLQNAHAMRRAFRGPKNGRFALVDQRVAEYVRYMQAKGDPIT REAMQLKALEIAQEMNIPEKGFKASLGWCRRMMRRYDLSLRHKVPVPQHLAEDLTEKLVT YQQSVLALRRTHDYEVAQMGNADETPICLEVPSRVTVDNQGEKPILVKTPGREKLRITAM LGVLADGRKLPPYIILRGTYIPPGKFPSGMEIRCHRYGWMTEDLMQDWLEVVWRRRTGAV PRQRGMLILNGFRCHATDSVKSSMENMNTDMVIIPGGLTSQLQVLDVVVYKPLNDSVRAQ YSNWLLAGNLALSPTGNAKKPPLGLFLEWIMVAWNSISSESIVQGFRKCHISSNLEDEGD VLWEIEGELPKEPPKECGPESVAEGD >ENSMUSP00000116477.2 pep:known chromosome:GRCm38:1:166392228:166409391:-1 gene:ENSMUSG00000040596.15 transcript:ENSMUST00000131487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pogk description:pogo transposable element with KRAB domain [Source:MGI Symbol;Acc:MGI:1918842] MNLENPEMESRAYPLNLTLKEEQKEEEVEIQELEDGPIDMQKVQICSEGAWVPALFDEVA IYFSDEEWEVLTEQQKALYREVMRMNYETVLSLEFPFPKPDMINRLERDEECPNSDEWRL QGVTFAENEESDFRTPDWASPTNATSHFPQPQPFNSFGLRLPQDITELPEWTEGYPFYMA MGFPGYDLSADDLASKFQFSRGMRRSYDAGFKLMVVEYAESTNNCQAAKQFGVLEKNVRD WRKVKPQLQNAHAMRRAFRGPKNGRFALVDQRVAEYVRYMQAKGDPITREAMQLKALEIA QEMNIPEKGFKASLGWCRRMMRRYDLSLRHKVPVPQHLAEDLTEKLVTYQQSVLALRRTH DYEVAQMGNADETPICLEVPSRVTVDNQGEKPILVKTPGREKLRITAMLGVLADGRKLPP YIILRGTYIPPGKFPSGMEIRCHRYGWMTEDLMQDWLEVVWRRRTGAVPRQRGMLILNGF RCHATDSVKSSMENMNTDMVIIPGGLTSQLQVLDVVVYKPLNDSVRAQYSNWLLAGNLAL SPTGNAKKPPLGLFLEWIMVAWNSISSESIVQGFRKCHISSNLEDEGDVLWEIEGELPKE PPKECGPESVAEGD >ENSMUSP00000118877.1 pep:known chromosome:GRCm38:1:166395397:166409787:-1 gene:ENSMUSG00000040596.15 transcript:ENSMUST00000148243.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pogk description:pogo transposable element with KRAB domain [Source:MGI Symbol;Acc:MGI:1918842] MESRAYPLNLTLKEEQKEEEVEIQELEDGPIDMQKVQICSEGAWKMKNLTLGLQIGQARR MPPPIFLNLSPSTALACVCLRTSLNCLSGLRATPSIWPWASPGMTSQLTTWRASFSSVVA CAAVMMQGSS >ENSMUSP00000118270.2 pep:known chromosome:GRCm38:1:166396169:166409863:-1 gene:ENSMUSG00000040596.15 transcript:ENSMUST00000128861.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pogk description:pogo transposable element with KRAB domain [Source:MGI Symbol;Acc:MGI:1918842] MNLENPEMESRAYPLNLTLKEEQKEEEVEIQELEDGPIDMQKVQICSEGAWVPALFDEVA IYFSDEEWEVLTEQQKALYREVMRMNYETVLSLEFPFPKPDMINRLERDEECPNSDEWRL QGVTFAENEESDFRTPDWASPTNATSHFPQPQPFNSFGLRLPQDITELPEWTEGYPFYMA MGFPGYDLSADDLASKFQFSRGMRRSYDAGFKLMVVEYAESTNNCQAAKQFGVLEKNVRD WRKVKPQLQNAHAMRRAFRGPKNGRFALVDQRVAEYVRYMQAKGDPITREAMQLKALEIA QEMNIPEKGFKASLGWCRRMMRRYDLSLRHKVPVPQHLAEDLTEKLVTYQQSVLALRRTH DYEVAQMGNADETPICLEVPSRVTVDNQGEKPILVKTPGREKLRITAMLGVLADGRKLPP YIILRGTYIPPGKFPSGMEIRCHRYGWMTEDLMQDWLEVVWRRRTGAVPRQRGMLILNGF RCHATDSVKSSMENMNTDMVIIPGGLTSQLQVLDVVVYKPLNDSVRAQYSNWLLAGNLAL SPTGNAKKPPLGLFLEWIMVAWNSISSESIVQGFRKCHISSNLEDEGDVLWEIEGELPKE PPKECGPESVAEGD >ENSMUSP00000120963.1 pep:known chromosome:GRCm38:1:166403282:166409289:-1 gene:ENSMUSG00000040596.15 transcript:ENSMUST00000127596.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pogk description:pogo transposable element with KRAB domain [Source:MGI Symbol;Acc:MGI:1918842] MESRAYPLNLTLKEEQKEEEVEIQELEDGPIDMQKVQICSEGAWVPALFDEVAIYFSDEE WEVLTEQQKALYREVMRMNYETVLSLGNALFSFVSGTLQTQRHSSVSVFSAISNLLL >ENSMUSP00000127395.1 pep:known chromosome:GRCm38:1:166393614:166409828:-1 gene:ENSMUSG00000040596.15 transcript:ENSMUST00000169324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pogk description:pogo transposable element with KRAB domain [Source:MGI Symbol;Acc:MGI:1918842] MPGHSLRRPLRRRRPLGREMESRAYPLNLTLKEEQKEEEVEIQELEDGPIDMQKVQICSE GAWVPALFDEVAIYFSDEEWEVLTEQQKALYREVMRMNYETVLSLEFPFPKPDMINRLER DEECPNSDEWRLQGVTFAENEESDFRTPDWASPTNATSHFPQPQPFNSFGLRLPQDITEL PEWTEGYPFYMAMGFPGYDLSADDLASKFQFSRGMRRSYDAGFKLMVVEYAESTNNCQAA KQFGVLEKNVRDWRKVKPQLQNAHAMRRAFRGPKNGRFALVDQRVAEYVRYMQAKGDPIT REAMQLKALEIAQEMNIPEKGFKASLGWCRRMMRRYDLSLRHKVPVPQHLAEDLTEKLVT YQQSVLALRRTHDYEVAQMGNADETPICLEVPSRVTVDNQGEKPILVKTPGREKLRITAM LGVLADGRKLPPYIILRGTYIPPGKFPSGMEIRCHRYGWMTEDLMQDWLEVVWRRRTGAV PRQRGMLILNGFRCHATDSVKSSMENMNTDMVIIPGGLTSQLQVLDVVVYKPLNDSVRAQ YSNWLLAGNLALSPTGNAKKPPLGLFLEWIMVAWNSISSESIVQGFRKCHISSNLEDEGD VLWEIEGELPKEPPKECGPESVAEGD >ENSMUSP00000054276.8 pep:known chromosome:GRCm38:9:106543386:106561631:-1 gene:ENSMUSG00000023577.14 transcript:ENSMUST00000062917.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcf3 description:IQ motif containing F3 [Source:MGI Symbol;Acc:MGI:1915515] MELDQDKKKETPEETENVNEVQLEKQNQDEETEAEAEEADKAILERSDSVKTECPPQAEK QNQDEETEAEAEEADKAILERSDSVKTECPPQAEKQIQEEKCETQEADRSEGTELGKLHS QLDQLPDNVMLAGVKIQAWWRGTLVRRTLLLAALNAWTIQCWWREAKARLQGRKLHEVMR YRLRNLNLKSISKRKQPNQSSFL >ENSMUSP00000140327.1 pep:known chromosome:GRCm38:9:106543795:106561629:-1 gene:ENSMUSG00000023577.14 transcript:ENSMUST00000186668.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcf3 description:IQ motif containing F3 [Source:MGI Symbol;Acc:MGI:1915515] MELDQDKKKETPEETENVNEVQLEKQNQDEETEAEAEEADKAILERSDSVKTECPPQAEK QNQDEETEAEAEEADKAILERSDSVKTECPPQAEQLPDNVMLAGVKIQAWWRGTLVRRTL LLAALNAWTIQCWWREAKARLQGRKLHEVMRYRLRNLNLKSISKRKQPNQSSFL >ENSMUSP00000141002.1 pep:known chromosome:GRCm38:9:106553598:106561599:-1 gene:ENSMUSG00000023577.14 transcript:ENSMUST00000190975.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcf3 description:IQ motif containing F3 [Source:MGI Symbol;Acc:MGI:1915515] MELDQDKKKETPEETENVNEVQLEQNQDEETEAEAEEADKAILERSDSVKTECPPQAEKQ NQDEETEAEAEEADKAILERSDSVKTECPPQAEKQIQEEKCETQEADRSEGTELGKL >ENSMUSP00000140880.1 pep:known chromosome:GRCm38:9:106554144:106561599:-1 gene:ENSMUSG00000023577.14 transcript:ENSMUST00000189407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcf3 description:IQ motif containing F3 [Source:MGI Symbol;Acc:MGI:1915515] MELDQDKKKETPEETENVNEVQLEKQNQDEETEAEAEEADKAILERSDSVKT >ENSMUSP00000039452.5 pep:known chromosome:GRCm38:1:160085029:160153580:-1 gene:ENSMUSG00000026725.17 transcript:ENSMUST00000039178.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnn description:tenascin N [Source:MGI Symbol;Acc:MGI:2665790] MGLWGMLAFPLGFLLASVLLVASAPATPESPGCSNKEQQVTVSHTYKIDVPKSALVQVET DPQSLSDDGTSLLAPGEDGEEQNIIFRHNIRLQTPQKNCDLADSVQDLLARMKKLEEEMA ELKEQCNTNRCCQGAADLSRHCSGHGTFLPETCSCHCDQGWEGADCDQPTCPGACNGHGR CVDGQCVCDAPYVGVDCAYAACPQDCSGHGVCVQGVCQCHEDFTAEDCSEQRCPGDCSGN GFCDTGECYCEMGFTGPDCSQVVAPQGLQLLKSTENSLLVSWEPSSEVDYYLLSYYPLGK EQATKQVRVPKEQHTYDITGLLPGTKYIVTLRNVKKDISSSPQHLLATTDLAVVGTAWVN EETETSLDVEWENPLTEVDYYKLRYGPLTGQEVTEVTVPKSRDPKSRYDITGLQPGTEYK ITVVPIRGDLEGKPILLNGRTEIDGPTNVVTNQVTEDTASVSWDPVRADIDKYVVRYIAP DGETKEKAVPKDQSSTVLTGLKPGEAYKVFVWAERGNQGSKKADTKALTEIDSPENLVTD RVTENSLSVSWDPVEADIDRYVVSYTSVDGETKQVPVKKDQRSTVLTGLSPGVEYKVYVW AEKGDRESKKANTKAPTDIDSPKNLVTDQVTENTLSVSWDPVQANIDRYMVSYTSADGET REVPVPKEKSSTVLTGLRPGVEYKVHVWAQKGTQESRKANTKAPTDIDGPKNLVTDQVTE TTLSVSWDPVEADIDRYMVRYTSPDGETKEVPVSKDKSSTVLRGLRPGVEYKVDVWAQKG AQDSRKANTKAPTDIDSPKNLVTEQVTESTATVSWDPVEADIDRYVVRYTSVDGETREFL VGKDQTSTVLTGMRPGVEYQVDVWAQKGTQESRKTSTKAPTDIDGPKNLVTDQVTETTLS VSWDPVEADIDRYMVRYTSPDGETKEVPVSKDKSSTVLRGLRPGVEYKVDVWAQKGAQDS RKANTKAPTDIDSPKNLAIDQVTETTLSVSWDPVQADIDRYVVRYTSADGESKEFLIGKE QRSTVLTGLRPGVEYKVEVWAQKGARESKKANTEGHTDIDSPKNLVTNQVTENTATISWD PVQADIDRYMVRYTSADGETREIPVRKEKSSTVLTGLRPGVEYTVQVWAQKGARESKKAK TKAPTEIDSPKNLVTNRVTENTATISWDPVRANIDRYMVRYTSADGETKEIPVSKDQSST ILTGLKPGMEYTIHVWAQKGARESKKADTKALTEIDPPRNLRPFGVTHSGGVLTWLPPSA QIDGYILTYQFPNGTVKEVELPRGQQRFELQDLEQGVTYPVSLVAFKGNQRSRTVSTTLS TVDARFPHPSDCSQVQQNTNAASGLYTIYLNGDASRPMQVYCDMDTDGGGWIVFQRRNTG QLDFFKRWRSYVEGFGDPMKEFWLGLDKLHNLTTGTTTRYEVRADLQTFNESAYAVYDFF QVASSKERYKLSVGKYRGTAGDALTYHNGWKFTTFDRDNDIALSNCALTHHGGWWYKNCH LANPNGKYGETKHSEGVNWEPWKGHEFSIPYVELKIRPFGYSRDRFSGRKKRSIGKARMF >ENSMUSP00000115685.1 pep:known chromosome:GRCm38:1:160085968:160147888:-1 gene:ENSMUSG00000026725.17 transcript:ENSMUST00000131919.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnn description:tenascin N [Source:MGI Symbol;Acc:MGI:2665790] MGLWGMLAFPLGFLLASVLLVASAPATPESPGCSNKEQQVTVSHTYKIDVPKSALVQVET DPQSLSDDGTSLLAPGEDGEEQNIIFRHNIRLQTPQKNCDLADSVQDLLARMKKLEEEMA ELKEQCNTNRCCQGAADLSRHCSGHGTFLPETCSCHCDQGWEGADCDQPTCPGACNGHGR CVDGQCVCDAPYVGVDCAYAACPQDCSGHGVCVQGVCQCHEDFTAEDCSEQRCPGDCSGN GFCDTGECYCEMGFTGPDCSQVVAPQGLQLLKSTENSLLVSWEPSSEVDYYLLSYYPLGK EQATKQVRVPKEQHTYDITGLLPGTKYIVTLRNVKKDISSSPQHLLATTDLAVVGTAWVN EETETSLDVEWENPLTEVDYYKLRYGPLTGQEVTEVTVPKSRDPKSRYDITGLQPGTEYK ITVVPIRGDLEGKPILLNGRTEIDGPTNVVTNQVTEDTASVSWDPVRADIDKYVVRYIAP DGETKEKAVPKDQSSTVLTGLKPGEAYKVFVWAERGNQGSKKADTKALTEIDSPENLVTD RVTENSLSVSWDPVEADIDRYVVSYTSVDGETKQVPVKKDQRSTVLTGLSPGVEYKVYVW AEKGDRESKKANTKAPTDIDSPKNLVTDQVTENTLSVSWDPVQANIDRYMVSYTSADGET REVPVPKEKSSTVLTGLRPGVEYKVHVWAQKGTQESRKANTKAPTDIDGPKNLVTDQVTE TTLSVSWDPVEADIDRYMVRYTSPDGETKEVPVSKDKSSTVLRGLRPGVEYKVDVWAQKG AQDSRKANTKAPTDIDSPKNLVTNQVTENTATISWDPVQADIDRYMVRYTSADGETREIP VRKEKSSTVLTGLRPGVEYTVQVWAQKGARESKKAKTKAPTEIDSPKNLVTNRVTENTAT ISWDPVRANIDRYMVRYTSADGETKEIPVSKDQSSTILTGLKPGMEYTIHVWAQKGARES KKADTKALTEIDPPRNLRPFGVTHSGGVLTWLPPSAQIDGYILTYQFPNGTVKEVELPRG QQRFELQDLEQGVTYPVSLVAFKGNQRSRTVSTTLSTVDARFPHPSDCSQVQQNTNAASG LYTIYLNGDASRPMQVYCDMDTDGGGWIVFQRRNTGQLDFFKRWRSYVEGFGDPMKEFWL GLDKLHNLTTGTTTRYEVRADLQTFNESAYAVYDFFQVASSKERYKLSVGKYRGTAGDAL TYHNGWKFTTFDRDNDIALSNCALTHHGGWWYKNCHLANPNGKYGETKHSEGVNWEPWKG HEFSIPYVELKIRPFGYSRDRFSGRKKRSIGKARMF >ENSMUSP00000102100.2 pep:known chromosome:GRCm38:3:116272553:116423986:-1 gene:ENSMUSG00000033502.14 transcript:ENSMUST00000106491.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc14a description:CDC14 cell division cycle 14A [Source:MGI Symbol;Acc:MGI:2442676] MAAESGELIGACEFMKDRLYFATLRNRPKSTINIHYFSIDEELVYENFYADFGPLNLAMV YRYCCKLNKKLKSYSLSRKKIVHYTSFDQRKRANAAFLIGAYAGLQHGFFDFETFDAEEY EHYERVENGDFNWIVPGKFLAFSGPHPKSKIENGYPLHAPEAYFPYFKKNNVTTIVRLNK KIYEAKRFTDAGFEHYDLFFIDGSTPSDNIVRRFLNICENTEGAIAVHCKAGLGRTGTLI ACYVMKHYRFTHAEIIAWIRICRPGSIIGPQQHFLKEKQASLWVQGDIFRSKLKNRPSSE GSITKIISTLDDMSIGANLSKLQSTERIGENNFEDEDMEIKNNVTQGDKLRALKSQRHPR SSPSCAFRSDDMKGHQRAMAQTFRLSSSPQPTMSTMKTSKVCLSPSVTAKKISRGSLSSG ANIRSFSINSRLASSLGNLNAGTEEPETKKTTSLTKAAFIASPFTSFLNGSTQTPGRNYP ELNNNQYTRSSNSNSSSSSSGLGGNLNSSPVPQSAKPEEHTTILRPSFPGSLSSSSVRFL SRSIPSLQSEYVHY >ENSMUSP00000087950.4 pep:known chromosome:GRCm38:3:116272553:116424032:-1 gene:ENSMUSG00000033502.14 transcript:ENSMUST00000090464.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc14a description:CDC14 cell division cycle 14A [Source:MGI Symbol;Acc:MGI:2442676] MAAESGELIGACEFMKDRLYFATLRNRPKSTINIHYFSIDEELVYENFYADFGPLNLAMV YRYCCKLNKKLKSYSLSRKKIVHYTSFDQRKRANAAFLIGAYAVIYLKKTPEEAYRALLS GSNPPYLPFRDASFGNCTYNLTVLDCLQGIRKGLQHGFFDFETFDAEEYEHYERVENGDF NWIVPGKFLAFSGPHPKSKIENGYPLHAPEAYFPYFKKNNVTTIVRLNKKIYEAKRFTDA GFEHYDLFFIDGSTPSDNIVRRFLNICENTEGAIAVHCKAGLGRTGTLIACYVMKHYRFT HAEIIAWIRICRPGSIIGPQQHFLKEKQASLWVQGDIFRSKLKNRPSSEGSITKIISTLD DMSIGANLSKLQSTERIGENNFEDEDMEIKNNVTQGDKLRALKSQRHPRSSPSCAFRSDD MKGHQRAMAQTFRLSSSPQPTMSTMKTSKVCLSPSVTAKKISRGSLSSGANIRSFSINSR LASSLGNLNAGTEEPETKKTTSLTKAAFIASPFTSFLNGSTQTPGRNYPELNNNQYTRSS NSNSSSSSSGLGGNLNSSPVPQSAKPEEHTTILRPSFPGSLSSSSVRFLSRSIPSLQSEY VHY >ENSMUSP00000142479.1 pep:known chromosome:GRCm38:3:116322210:116422649:-1 gene:ENSMUSG00000033502.14 transcript:ENSMUST00000197830.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdc14a description:CDC14 cell division cycle 14A [Source:MGI Symbol;Acc:MGI:2442676] YFATLRNRPKSTINIHYFSIDEELVYENHTVYQERR >ENSMUSP00000018716.3 pep:known chromosome:GRCm38:11:69995777:70000009:1 gene:ENSMUSG00000018572.10 transcript:ENSMUST00000018716.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf23 description:PHD finger protein 23 [Source:MGI Symbol;Acc:MGI:1925496] MLEAMAEPSPEDPPPTLKPETQPPEKRRRTIEDFNKFCSFVLAYAGYIPPSKEESDWPAS GSSSPLRGESAADSDGWDSAPSDLRTIQTFVKKAKSSKRRAVQSGPTQPGPPRSTFSRLQ APDSATLLEKMKLKDSLFDLDGPKVASPLSPTSLTHTSRPPAALAPVPLSQGDLSQPRKK DRKNRKLGPGGGAGFGVLRRPRPAPGDGEKRSRIKKSKKRKLKKADRGDRLPPPGPPRAP PSDTDSEEEEEEEEEEDDEEEMTVGGGVPAPVLPTPPEAPRPPVTVHSEGAPPTDSEGKD VGSTETSQDGDASSSEGEMRVMDEDIMVESGDDSWDLITCYCRKPFAGRPMIECSLCGTW IHLSCAKIKKTNVPDFFYCQKCKELRPEARRLGGLPKSGEP >ENSMUSP00000099064.2 pep:known chromosome:GRCm38:11:69995876:70000009:1 gene:ENSMUSG00000018572.10 transcript:ENSMUST00000101526.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf23 description:PHD finger protein 23 [Source:MGI Symbol;Acc:MGI:1925496] MLEAMAEPSPEDPPPTLKPETQPPEKRRRTIEDFNKFCSFVLAYAGYIPPSKEAPDSATL LEKMKLKDSLFDLDGPKVASPLSPTSLTHTSRPPAALAPVPLSQGDLSQPRKKDRKNRKL GPGGGAGFGVLRRPRPAPGDGEKRSRIKKSKKRKLKKADRGDRLPPPGPPRAPPSDTDSE EEEEEEEEEDDEEEMTVGGGVPAPVLPTPPEAPRPPVTVHSEGAPPTDSEGKDVGSTETS QDGDASSSEGEMRVMDEDIMVESGDDSWDLITCYCRKPFAGRPMIECSLCGTWIHLSCAK IKKTNVPDFFYCQKCKELRPEARRLGGLPKSGEP >ENSMUSP00000117373.1 pep:known chromosome:GRCm38:11:69996388:69999765:1 gene:ENSMUSG00000018572.10 transcript:ENSMUST00000133485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf23 description:PHD finger protein 23 [Source:MGI Symbol;Acc:MGI:1925496] MKLKDSLFDLDGPKVASPLSPTSLTHTSRPPAALAPVPLSQGDLSQPRKKDRKNRKLGPG GGAGFGVLRRPRPAPGDGEKRSRIKKSKKRKLKKADRGDRLPPPGPPRAPPSDTDSEEEE EEEEEEDDEEEMTVGGGVPAPVLPTPPEAPRPPVTVHSEGAPPTDSEGKDVGSTETSQDG DASSSEGEMRVMDEDIMVESGDDSWDLITCYCRKPFAGRPMIECSLCGTWIHLSCAKIKK TNVPDFFYCQKCKELRPEARRLGGLPKSGEP >ENSMUSP00000117635.1 pep:known chromosome:GRCm38:11:69996731:69998751:1 gene:ENSMUSG00000018572.10 transcript:ENSMUST00000138186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf23 description:PHD finger protein 23 [Source:MGI Symbol;Acc:MGI:1925496] MDPPPTLKPETQPPEKRRRTIEDFNKFCSFVLAYAGYIPPSKEESDWPASGSSSPLRGES AADSDGWDSAPSDLRTIQTFVKKAKSSKRRAVQSGPTQPGPPRSTFSRLQAPDSATLLEK MKLKDSLFDLDGPKVASPLSPTSLTHTSRPPAALAPVPLSQGDLSQPRKKDRKNRKLGPG GGAGFGVLRRPRP >ENSMUSP00000121780.1 pep:known chromosome:GRCm38:11:69996991:70000008:1 gene:ENSMUSG00000018572.10 transcript:ENSMUST00000153684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf23 description:PHD finger protein 23 [Source:MGI Symbol;Acc:MGI:1925496] MKLKDSLFDLDGPKVASPLSPTSLTHTSRPPAALAPVPLSQGDLSQPRKKDRKNRKLGPG GGAGFGVLRRPRPAPGDGEKRSRIKKSKKRKLKKADRGDRLPPPGPPRAPPSDTDSEEEE EEEEEEDDEEEMTVGGGVPAPVLPTPPEAPRPPVTVHSEGAPPTDSEGKDVGSTETSQDG DASSSEGEMRVMDEDIMVESGDDSWDLITCYCRKPFAGRPMIECSLCGTWIHLSCAKIKK TNVPDFFYCQKCKELRPEARRLGGLPKSGEP >ENSMUSP00000120665.1 pep:known chromosome:GRCm38:11:69997109:70000006:1 gene:ENSMUSG00000018572.10 transcript:ENSMUST00000135814.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf23 description:PHD finger protein 23 [Source:MGI Symbol;Acc:MGI:1925496] MKLKDSLFDLDGPKVASPLSPTSLTHTSRPPAALAPVPLSQGDLSQPRKKDRKNRKLGPG GGAGFGVLRRPRPAPGDGEKRSRIKKSKKRKLKKADRGDRLPPPGPPRAPPSDTDSEEEE EEEEEEDDEEEMTVGGGVPAPVLPTPPEAPRPPVTVHSEGAPPTDSEGKDVGSTETSQDG DASSSEGEMRVMDEDIMVESGDDSWDLITCYCRKPFAGRPMIECSLCGTWIHLSCAKIKK TNVPDFFYCQKCKELRPEARRLGGLPKSGEP >ENSMUSP00000038375.9 pep:known chromosome:GRCm38:10:88091432:88146941:-1 gene:ENSMUSG00000035365.15 transcript:ENSMUST00000048518.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parpbp description:PARP1 binding protein [Source:MGI Symbol;Acc:MGI:1922567] MAVLNQLPVLGMIKEFRRSWRALCSSERTTLCGPDSMLLALQLSMAENNKQHRGEFTVCL SDVLLTWKYFLHEKLNLPIENMKVVEHYEDIKKTYDDFLKNSNTLDLIDVYKKCSSLTSN YENNMISPIQLRDFLSGTEYAVSDEANPHMPESPVKCSQNDEQVRVLVKKIFFSYLSLLV NSKNDLALASILNIPDRGLGREAFTNLKHAAREKQLSMFLMATSFIRTLELGGKGYAPSP SDPLWAHVKGLSEFINFIDKLDEILGKIPNPSLAGSRILSAIKMQLIKGHSSGEPFYKAV EEVVQDLNLRIKNIINSQEGVAVSANNISPVPPKSFAINHDTAYCGRDAVKILLVLLDED AASAPTRNKAELLYNDESTVPHSGPSVLTLFRSPTQEKNTSVKPLRERIHKSLQTEKNKM WQTLIRSQFACTYKDDCIMSKNKWHVNSSSKPLSALHLEDDVSEGAQSSVGKARIEASSE NAHVGRWKGDKLPRKSTQRQISKRKQLDLDSENLHCDRGNELYQHKNIKIPKVPSGSHSK AGGKLAQGTKGNRCPARGKLIPGQTKLTQFFML >ENSMUSP00000128492.1 pep:known chromosome:GRCm38:10:88126230:88146933:-1 gene:ENSMUSG00000035365.15 transcript:ENSMUST00000168163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parpbp description:PARP1 binding protein [Source:MGI Symbol;Acc:MGI:1922567] MAVLNQLPVLGMIKEFRRSWRALCSSERTTLCGPDSMLLALQLSMAENNKQHRGEFTVCL SDVLLTWKYFLHEKLNLPIENMKVVEHYEDIKKTYDDFLKNSNTLDLIDVYKKCSSLTSN YENNMISPIQLRDFLSGTEYAVSDEANPHMPESPVKCSQNDEQVRVLVKKIFFSYLSLLV NSKNDLALASILNIP >ENSMUSP00000128043.1 pep:known chromosome:GRCm38:10:88133151:88146878:-1 gene:ENSMUSG00000035365.15 transcript:ENSMUST00000164803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parpbp description:PARP1 binding protein [Source:MGI Symbol;Acc:MGI:1922567] MAVLNQLPVLGMIKEFRRSWRALCSSERTTLCGPDSMLLALQLSMAENNKQHRGEFTVCL SDVLLTWKYFLHEKLNLPIENMKVVEHYEDIKKTYDDFLKNSNTLDLIDVYKKCSSLTSN YENNMISPIQLRDFLSGTEYAVSD >ENSMUSP00000130777.1 pep:known chromosome:GRCm38:10:88126210:88146878:-1 gene:ENSMUSG00000035365.15 transcript:ENSMUST00000164121.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parpbp description:PARP1 binding protein [Source:MGI Symbol;Acc:MGI:1922567] MAVLNQLPVLGMIKEFRRSWRALCSSERTTLCGPDSMLLALQLSMAENNKQHRGEFTVCL SDVLLTWKYFLHEKLNLPIENMKVVEHYEDIKKTYDDFLKNSNTLDLIDVYKKCSSLTSN YENNMISPIQLRDFLSGTEYAVSDEANPHMPESPVKCSQNDEQVRVLVKKIFFSYLSLLV NSKNDLALASILNIPDRGLGRE >ENSMUSP00000138455.1 pep:known chromosome:GRCm38:4:136622621:136639110:1 gene:ENSMUSG00000070683.7 transcript:ENSMUST00000178843.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lactbl1 description:lactamase, beta-like 1 [Source:MGI Symbol;Acc:MGI:2448566] MKTRARQQPHLLKGKKKWFLWALSGFLFLLSATMTGCFLWQLYLPKLQTGSLKPEVPPAP VKMCPRHPELVPLAHPLPVLKEALEKVDGILRKAMLAPGLAAMSALVIHNDTVLWTGNFG RKNGSDPNSGTPNEYTMYRIASISKIFPVLMLYRLWEEGIVDSLDDPLERYASTFSINNP LGTAQGPGAQGPTGESEEMGSLPRPSPVTLRRMASQLSGLPRRLRGTSLLWRGSTQEALS LLKDDVLVADPGTRCHYSTLAFSLLAHVLAAHRAQGDYERWVSEQVLEPLGMSDTGFALT GTVRARLAAGFYGSGRSAPLYDLGWYRPSGQMYSTAADLARLAAALLGAGPRRLLRPDSL ATLLAPLRACAHGYFASETGTPWEFHERRGYRVARKDGDLDGYAASLALVPPLRLGFVLL LAGPRPPTRDLVADALDTLLPALERALREAELAPAPPPRARPFAGFFTFANRTFYEVRAA GPRGELRLRQFGPRVEALVPAAFRSLALRHVRGRVFQLHVAREFPCALPLADAWLSLESQ HGQLVRFYPLDRHGLAPGFDVPGLNTYGVLRLPRRPVFSSQ >ENSMUSP00000059395.8 pep:known chromosome:GRCm38:X:94188707:94212709:-1 gene:ENSMUSG00000035150.15 transcript:ENSMUST00000050328.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2s3x description:eukaryotic translation initiation factor 2, subunit 3, structural gene X-linked [Source:MGI Symbol;Acc:MGI:1349431] MAGGEGGVTLGQPHLSRQDLATLDVTKLTPLSHEVISRQATINIGTIGHVAHGKSTVVKA ISGVHTVRFKNELERNITIKLGYANAKIYKLDDPSCPRPECYRSCGSSTPDEFPTDIPGT KGNFKLVRHVSFVDCPGHDILMATMLNGAAVMDAALLLIAGNESCPQPQTSEHLAAIEIM KLKHILILQNKIDLVKESQAKEQYEQILAFVQGTVAEGAPIIPISAQLKYNIEVVCEYIV KKIPVPPRDFTSEPRLIVIRSFDVNKPGCEVDDLKGGVAGGSILKGVLKVGQEIEVRPGI VSKDSEGKLMCKPIFSKIVSLFAEHNDLQYAAPGGLIGVGTKIDPTLCRADRMVGQVLGA VGALPEIFTELEISYFLLRRLLGVRTEGDKKAAKVQKLSKNEVLMVNIGSLSTGGRVSAV KADLGKIVLTNPVCTEVGEKIALSRRVEKHWRLIGWGQIRRGVTIKPTVDDD >ENSMUSP00000109555.1 pep:known chromosome:GRCm38:X:94199641:94212651:-1 gene:ENSMUSG00000035150.15 transcript:ENSMUST00000113922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2s3x description:eukaryotic translation initiation factor 2, subunit 3, structural gene X-linked [Source:MGI Symbol;Acc:MGI:1349431] MAGGEGGVTLGQPHLSRQDLATLDVTKLTPLSHEVISRQATINIGTIGHVAHGKSTVVKA ISGVHTVRFKNELERNITIKLGYANAKIYKLDDPSCPRPECYRSCGSSTPDEFPTDIPGT KGNFKLVRHVSFVDCPGHDILMATMLNGAAVMDAALLLIAGNESCPQPQTSEHLAAIEIM KLKHILILQNKIDLVKESQAKEQYEQILAFVQGTVAEGAPIIPISAQLKYNIEVVCEYIV KKIPVPPRDFTSEPRLIVIRSFDVNKPGCEVDDLKGGVAGGSILKGVLKVGQEIEVRPGI VSKDSEGKLMCKPIFSKIVSLFAEHNDLQYAAPGGLIGKDFPLF >ENSMUSP00000092602.2 pep:known chromosome:GRCm38:4:88718292:88722465:-1 gene:ENSMUSG00000070923.4 transcript:ENSMUST00000094993.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl9 description:kelch-like 9 [Source:MGI Symbol;Acc:MGI:2180122] MKVSLGNGDMGVSAHLQPCKSGTTRFFTSNTHSSVVLQGFDQLRIEGLLCDVTLVPGDGE EIFPVHRAMMASASDYFKAMFTGGMKEKDLMCIKLHGVNKVGLKKIIDFIYTAKLSLNMD NLQDTLEAASFLQILPVLDFCKVFLISGVSLDNCVEVGRIANTYNLIEVDKYVNNFILKN FPALLNTGEFLKLPFERLAFVLSSNSLKHCSELELFKAACRWLRLEDPRMDYAAKLMKNI RFPLMTPQDLINYVQTVDFMRTDNTCVNLLLEASNYQMMPYMQPVMQSDRTAIRSDSTHL VTLGGVLRQQLVVSKELRMYDERAQEWKSLAPMDAPRYQHGIAVIGNFLYVVGGQSNYDT KGKTAVDTVFRFDPRYNKWMQVASLNEKRTFFHLSALKGHLYAVGGRSAAGELATVECYN PRMNEWSYVAKMSEPHYGHAGTVYGGLMYISGGITHDTFQNELMCFDPDTDKWTQKAPMT TVRGLHCMCTVGDKLYVIGGNHFRGTSDYDDVLSCEYYSPTLDQWTPIAAMLRGQSDVGV AVFENKIYVVGGYSWNNRCMVEIVQKYDPEKDEWHKVFDLPESLGGIRACTLTVFPPEEN PGSPSRESPLSAPSDHS >ENSMUSP00000074827.3 pep:known chromosome:GRCm38:4:152178134:152271852:1 gene:ENSMUSG00000028937.14 transcript:ENSMUST00000075363.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot7 description:acyl-CoA thioesterase 7 [Source:MGI Symbol;Acc:MGI:1917275] MAPPLPSSSMAPPRLIHSGTGLLDTCSQIPPPPPSSAVAAKMSGPTTDTPAAIQICRIMR PDDANVAGNVHGGTILKMIEEAGAIISTRHCNSQNGERCVAALARVERTDFLSPMCIGEV AHVSAEITYTSKHSVEVQVHVMSENILTGTKKLTNKATLWYVPLSLKNVDKVLEVPPIVY LRQEQEEEGRKRYEAQKLERMETKWRNGDIVQPVLNPEPNTVSYSQSSLIHLVGPSDCTL HGFVHGGVTMKLMDEVAGIVAARHCKTNIVTASVDAINFHDKIRKGCVITISGRMTFTSN KSMEIEVLVDADPVVDNSQKRYRAASAFFTYVSLNQEGKPMPVPQLVPETEDEKKRFEEG KGRYLQMKAKRQGHTEPQP >ENSMUSP00000030779.3 pep:known chromosome:GRCm38:4:152186206:152271847:1 gene:ENSMUSG00000028937.14 transcript:ENSMUST00000030779.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot7 description:acyl-CoA thioesterase 7 [Source:MGI Symbol;Acc:MGI:1917275] MKLLVGTLRLWEVGRQVAFSSLTPGQECSGLRKTFWAAMRAVRTRADHQKLGHCVTMGRI MRPDDANVAGNVHGGTILKMIEEAGAIISTRHCNSQNGERCVAALARVERTDFLSPMCIG EVAHVSAEITYTSKHSVEVQVHVMSENILTGTKKLTNKATLWYVPLSLKNVDKVLEVPPI VYLRQEQEEEGRKRYEAQKLERMETKWRNGDIVQPVLNPEPNTVSYSQSSLIHLVGPSDC TLHGFVHGGVTMKLMDEVAGIVAARHCKTNIVTASVDAINFHDKIRKGCVITISGRMTFT SNKSMEIEVLVDADPVVDNSQKRYRAASAFFTYVSLNQEGKPMPVPQLVPETEDEKKRFE EGKGRYLQMKAKRQGHTEPQP >ENSMUSP00000101277.2 pep:known chromosome:GRCm38:4:152199810:152271847:1 gene:ENSMUSG00000028937.14 transcript:ENSMUST00000105652.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot7 description:acyl-CoA thioesterase 7 [Source:MGI Symbol;Acc:MGI:1917275] MLTLHRALALRVLRKEVTEAYLREKVKQIMRPDDANVAGNVHGGTILKMIEEAGAIISTR HCNSQNGERCVAALARVERTDFLSPMCIGEVAHVSAEITYTSKHSVEVQVHVMSENILTG TKKLTNKATLWYVPLSLKNVDKVLEVPPIVYLRQEQEEEGRKRYEAQKLERMETKWRNGD IVQPVLNPEPNTVSYSQSSLIHLVGPSDCTLHGFVHGGVTMKLMDEVAGIVAARHCKTNI VTASVDAINFHDKIRKGCVITISGRMTFTSNKSMEIEVLVDADPVVDNSQKRYRAASAFF TYVSLNQEGKPMPVPQLVPETEDEKKRFEEGKGRYLQMKAKRQGHTEPQP >ENSMUSP00000129121.1 pep:known chromosome:GRCm38:4:152186134:152271855:1 gene:ENSMUSG00000028937.14 transcript:ENSMUST00000167926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot7 description:acyl-CoA thioesterase 7 [Source:MGI Symbol;Acc:MGI:1917275] MSAMKLLVGTLRLWEVGRQVAFSSLTPGQECSGLRKTFWAAMRAVRTRADHQKLGHCVTM GRIMRPDDANVAGNVHGGTILKMIEEAGAIISTRHCNSQNGERCVAALARVERTDFLSPM CIGEVAHVSAEITYTSKHSVEVQVHVMSENILTGTKKLTNKATLWYVPLSLKNVDKVLEV PPIVYLRQEQEEEGRKRYEAQKLERMETKWRNGDIVQPVLNPEPNTVSYSQSSLIHLVGP SDCTLHGFVHGGVTMKLMDEVAGIVAARHCKTNIVTASVDAINFHDKIRKGCVITISGRM TFTSNKSMEIEVLVDADPVVDNSQKRYRAASAFFTYVSLNQEGKPMPVPQLVPETEDEKK RFEEGKGRYLQMKAKRQGHTEPQP >ENSMUSP00000035498.9 pep:known chromosome:GRCm38:12:76837414:76921412:1 gene:ENSMUSG00000033373.16 transcript:ENSMUST00000041008.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fntb description:farnesyltransferase, CAAX box, beta [Source:MGI Symbol;Acc:MGI:1861305] MASSSSFTYYCPPSSSPVWSEPLYSLRPEHVRERLQDDSVETVTSIEQAKVEEKIQEVFS SYKFNHLVPRLILQREKHFHYLKRGLRQLTDAYECLDASRPWLCYWILHSLELLDEPIPQ IVATDVCQFLELCQSPDGGFGGGPGQYPHLAPTYAAVNALCIIGTEEAYNVINREKLLQY LYSLKQPDGSFLMHVGGEVDVRSAYCAASVASLTNIITPDLFEGTAEWIARCQNWEGGIG GVPGMEAHGGYTFCGLAALVILKKERSLNLKSLLQWVTSRQMRFEGGFQGRCNKLVDGCY SFWQAGLLPLLHRALHAQGDPALSMSHWMFHQQALQEYILMCCQCPAGGLLDKPGKSRDF YHTCYCLSGLSIAQHFGSGAMLHDMVMGVPENVLQPTHPVYNIGPEKVIQATTHFLQKPV PGFEECEDEVTSDPATD >ENSMUSP00000120713.1 pep:known chromosome:GRCm38:12:76765598:76920231:1 gene:ENSMUSG00000033373.16 transcript:ENSMUST00000137826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fntb description:farnesyltransferase, CAAX box, beta [Source:MGI Symbol;Acc:MGI:1861305] MCGDCVEKEYPNRGTTCLENGSFLLNFAGCAVCSKRDFMLITNRSLKEEDGEEIVTYDHL CKNCHHVVARHEYTFSIMDEFQAKVEEKIQEVFSSYKFNHLVPRLILQREKHFHYLKRGL RQLTDAYECLDASRPWLCYWILHSLELLDEPIPQIVATDVCQFLELCQSPDGGFGGGPGQ YPHLAPTYAAVNALCIIGTEEAYNVINREKLLQYLYSLKQPDGSFLMHVGGEVDVRSAYC AASVASLTNIITPDLFEGTAEWIARCQNWEGGIGGVPGMEAHGGYTFCGLAALVILKKER SLNLKSLLQWVTSRQMRFEGGFQGRCNKLVDGCYSFWQAGLLPLLHRALHAQGDPALSMS HWMFHQQALQEYILMCCQCPAGGLLDKPGKSRDFYHTCYCLSGLSIAQHFGSGAMLHDMV MGVPENVLQPTHPVYNIGPEKVIQATTHFLQKPVPGFEECEDEVTSDPATD >ENSMUSP00000116906.1 pep:known chromosome:GRCm38:12:76765589:76920659:1 gene:ENSMUSG00000033373.16 transcript:ENSMUST00000125842.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fntb description:farnesyltransferase, CAAX box, beta [Source:MGI Symbol;Acc:MGI:1861305] MCGDCVEKEYPNRGTTCLENGSFLLNFAGCAVCSKRDFMLITNRSLKEEDGEEIVTYDRQ SRREDPGGLQFLQV >ENSMUSP00000048900.5 pep:known chromosome:GRCm38:3:101377083:101463059:1 gene:ENSMUSG00000042035.11 transcript:ENSMUST00000043983.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf3 description:immunoglobulin superfamily, member 3 [Source:MGI Symbol;Acc:MGI:1926158] MKCFFPVLSCLAVLGVVSAQRQVTVQEGPLYRTESSHITIWCNVSGYQGPSEQNFQWSIY LPSAPEREVQIVSTVDSSFPYAIYTQRVRGGKIYVERIQGNSALLHITDLQARDAGEYEC HTPNTDERYFGSYSAKMNLVVIPDSLQTTAVPQTLHKVEQDPLELSCEVATETVQHTHLS VSWLRQKGGENPVEVISLSRDFILHSSSEYAQRQSLGEVRLDKLGRSTFRLTIFHLQPSD QGEFYCEAAEWIQDPDGSWYAMTRKRSEGAVVNVQPTDKEFTVRLETDKRLHTVGEPVEF RCILEAQNIPDRYFAVSWAFNSSLIATMGPNAVPVLNSDFAHREAKGQLKVAKESDGVFV LKIYHLRQEDSGKYNCRVTEREKTVTGEFIDKESKRPKNIPIVVLPLKSSISVEVASNAS VVLEGEDLHFSCTVRTVGRLQARFSVIWQLVDRQNRRSNVMWLDRDGTLQPGSAYWERSS YGGIQMEQVQPNSFSLGIFNSRKEDEGQYECHVTEWVRAVDGEWQIVGERRASTLVSITA LETGFAVTAISRTPGVTYSDSFDLQCIIKPHYPARVPVSVTWRFQPVGTVEFHDLVTFTR DGGVQWGDKSSTFRTRTAIEKAESSNNVRLSISRASDTEAGKYQCVAELWRRNYNNTWTR LAERTSNLLEIRVLQPVTKLQVSKSKRTLTLVENRAIQLNCSVKSQTSPNSHFAVLWYVH KPSDADGKLILKTTHSSAFEYGTYAEEEGLRGRLQFERHVSGGLFSLTVQRAEVSDSGSY YCHVEEWLLSPNYAWYKLAEEVSGRTEVTVKQPDSRLKLSQVQGSLSVLETRQIQLECVV LNRTSVASQLLVEWFVWKPNHPEREVVAHLSRDATFHYGEQAAKNNLKGRLHAESPSSGV YRLFIQNVAVQDSGTYSCRVEEWLPSPSGVWYKRAEDTAGQTAVTVMRPDAALQVDTVVP NATVTEKAAFQLDCSILSRSSQDSRFAVAWYSLRTKGGGKRGSLGIDEQEEEEEEEDISQ EEDSEDPTERTVLLSVGPDAVFGPEGSPWEGRLRFQRLSPLLYRLTVLEASPQDTGNYSC HVEEWLPSPQKEWYRLTEEESAPIGIRVLDTSSTLQSLICSNDALFYFVFFYPFPIFGIL IITILLVRFKSRNSSKNSEGKNGVPLLWIKEPHLNYSPTCLEPPVLSIHPGAID >ENSMUSP00000141823.1 pep:known chromosome:GRCm38:3:101378338:101460156:1 gene:ENSMUSG00000042035.11 transcript:ENSMUST00000195164.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf3 description:immunoglobulin superfamily, member 3 [Source:MGI Symbol;Acc:MGI:1926158] MKCFFPVLSCLAVLGVVSAQRQVTVQEGPLYRTESSHITIWCNVSGYQGPSEQNFQWSIY LPSAPEREVQIVSTVDSSFPYAIYTQRVRGGKIYVERIQGNSALLHITDLQARDAGEYEC HTPNTDERYFGSYSAKMNLVVIPDSLQTTAVPQTLHKVEQDPLELSCEVATETVQHTHLS VSWLRQKGGENPVEVISLSRDFILHSSSEYAQRQSLGEVRLDKLGRSTFRLTIFHLQPSD QGEFYCEAAEWIQDPDGSWYAMTRKRSEGAVVNVQPTDKEFTVRLETDKRLHTVGEPVEF RCILEAQNIPDRYFAVSWAFNSSLIATMGPNAVPVLNSDFAHREAKGQLKVAKESDGVFV LKIYHLRQEDSGKYNCRVTEREKTVTGEFIDKESKRPKNIPIVVLPLTDNWVVKVPQQHQ ILPQGHLESSISVEVASNASVVLEGEDLHFSCTVRTVGRLQARFSVIWQLVDRQNRRSNV MWLDRDGTLQPGSAYWERSSYGGIQMEQVQPNSFSLGIFNSRKEDEGQYECHVTEWVRAV DGEWQIVGERRASTLVSITALETGFAVTAISRTPGVTYSDSFDLQCIIKPHYPARVPVSV TWRFQPVGTVEFHDLVTFTRDGGVQWGDKSSTFRTRTAIEKAESSNNVRLSISRASDTEA GKYQCVAELWRRNYNNTWTRLAERTSNLLEIRVLQPVTKLQVSKSKRTLTLVENRAIQLN CSVKSQTSPNSHFAVLWYVHKPSDADGKLILKTTHSSAFEYGTYAEEEGLRGRLQFERHV SGGLFSLTVQRAEVSDSGSYYCHVEEWLLSPNYAWYKLAEEVSGRTEVTVKQPDSRLKLS QVQGSLSVLETRQIQLECVVLNRTSVASQLLVEWFVWKPNHPEREVVAHLSRDATFHYGE QAAKNNLKGRLHAESPSSGVYRLFIQNVAVQDSGTYSCRVEEWLPSPSGVWYKRAEDTAG QTAVTVMRPDAALQVDTVVPNATVTEKAAFQLDCSILSRSSQDSRFAVAWYSLRTKGGGK RGSLGIDEQEEEEEEEDISQEEDSEDPTERTVLLSVGPDAVFGPEGSPWEGRLRFQRLSP LLYRLTVLEASPQDTGNYSCHVEEWLPSPQKEWYRLTEEESAPIGIRVLDTSSTLQSLIC SNDALFYFVFFYPFPIFGILIITILLVRFKSRNSSKNSEGKNGVPLLWIKEPHLNYSPTC LEPPVLSIHPGAID >ENSMUSP00000029803.7 pep:known chromosome:GRCm38:3:138526179:138559696:1 gene:ENSMUSG00000028156.12 transcript:ENSMUST00000029803.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e description:eukaryotic translation initiation factor 4E [Source:MGI Symbol;Acc:MGI:95305] MATVEPETTPTTNPPPAEEEKTESNQEVANPEHYIKHPLQNRWALWFFKNDKSKTWQANL RLISKFDTVEDFWALYNHIQLSSNLMPGCDYSLFKDGIEPMWEDEKNKRGGRWLITLNKQ QRRSDLDRFWLETLLCLIGESFDDYSDDVCGAVVNVRAKGDKIAIWTTECENRDAVTHIG RVYKERLGLPPKIVIGYQSHADTATKSGSTTKNRFVV >ENSMUSP00000143690.1 pep:known chromosome:GRCm38:3:138526425:138555399:1 gene:ENSMUSG00000028156.12 transcript:ENSMUST00000200020.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e description:eukaryotic translation initiation factor 4E [Source:MGI Symbol;Acc:MGI:95305] MATVEPETTPTTNPPPAEEEKTESNQEVANPEHYIKHPLQNRWALWFFKNDKSKTWQANL RLISKFDTVEDFWALYNHIQLSSNLMPGCDYSLFKDGIEPMWEDEKNKRGGRWLITLNKQ QRRSDLDRFWLETLLCLIGESFDDYSDDVCGAVVNVRAKGDKIAIWTTECENRDAVTHIG RVY >ENSMUSP00000143104.1 pep:known chromosome:GRCm38:3:138527285:138555558:1 gene:ENSMUSG00000028156.12 transcript:ENSMUST00000196990.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e description:eukaryotic translation initiation factor 4E [Source:MGI Symbol;Acc:MGI:95305] MPGCDYSLFKDGIEPMWEDEKNKRGGRWLITLNKQQRRSDLDRFWLETLLCLIGESFDDY SDDVCGAVVNVRAKGDKIAIWTTECENRDAVTHIGRVYKERLGLPPKIVIGYQSHADTAT KSGSTTKNRFVV >ENSMUSP00000143481.1 pep:known chromosome:GRCm38:3:138527285:138556214:1 gene:ENSMUSG00000028156.12 transcript:ENSMUST00000200239.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e description:eukaryotic translation initiation factor 4E [Source:MGI Symbol;Acc:MGI:95305] MATVEPETTPTTNPPPAEEEKTESNQEVANPEHYIKHPLQNRWALWFFKNDKSKTWQANL RLISKFDTVEDFWALSTAF >ENSMUSP00000143772.1 pep:known chromosome:GRCm38:3:138527296:138555674:1 gene:ENSMUSG00000028156.12 transcript:ENSMUST00000200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e description:eukaryotic translation initiation factor 4E [Source:MGI Symbol;Acc:MGI:95305] MATVEPETTPTTNPPPAEEEKTESNQEVANPEHYIKHPLQNSLYNHIQLSSNLMPGCDYS LFKDGIEPMWEDEKNKRGGRWLITLNKQQRRSDLDRFWLETLLCLIGESFDDYSDDVCGA VVNVRAKGDKIAIWTTECENRDAVTHIGRVYKERLGLPPKIVIGYQSHADTATKSGSTTK NRFVV >ENSMUSP00000139143.1 pep:known chromosome:GRCm38:2:104713926:104716379:-1 gene:ENSMUSG00000074997.3 transcript:ENSMUST00000099659.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pin1rt1 description:protein (peptidyl-prolyl cis/trans isomerase) NIMA-interacting 1, retrogene 1 [Source:MGI Symbol;Acc:MGI:3649546] MADEKLPPGWKKYMSRSSGREYYFNHITNASQWERPSEGSSKNGQGEPARVRCSHLLVKH SQSRRPSSWRQEKITRSKEEALELINGYIRKIKSGEEDFESLASQFSDCSSAKARGDLGA FSRGQMEKPFEDASFALRTGEMSGPVFTESGIHIILRTE >ENSMUSP00000112703.2 pep:known chromosome:GRCm38:1:88204732:88205782:-1 gene:ENSMUSG00000081984.3 transcript:ENSMUST00000119972.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb3 description:DnaJ heat shock protein family (Hsp40) member B3 [Source:MGI Symbol;Acc:MGI:1306822] MVDYYEVLGVPRQASAEAIRKAYRKLALKWHPDKNPEHKEEAERRFKQVAQAYEVLSDVR KREVYDRCGEVGEVGGGGAAGSPFHDAFQYVFSFRDPAEVFREFFGGHDPFSFDFFGGDP LENFFGDRRSTRGSRSRGAVPFSTSFTEFPGFGGGFASLDTGFTSFGSPGNSGLSSFSMS CGGGAAGNYKSVSTSTEIINGKKITTKRIVENGQERVEVEEDGELKSLIINGKEQLLRIN TQ >ENSMUSP00000022230.8 pep:known chromosome:GRCm38:13:104817177:104839274:1 gene:ENSMUSG00000021716.14 transcript:ENSMUST00000022230.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srek1ip1 description:splicing regulatory glutamine/lysine-rich protein 1interacting protein 1 [Source:MGI Symbol;Acc:MGI:1914538] MAVPGCNKDNVRAGCRKCGYPGHLTFECRNFLRVDPKRDIVLDVSSTSSEDSDEENEELN KLQALQEKRINEEEEKKKEKSREKIKLKKRRKRSYSSATEEDSAKQKKQKYQKKEKKKEK KNKSKKGKHHKKEKKKRKKEKRSSPYHSELTKK >ENSMUSP00000122592.1 pep:known chromosome:GRCm38:13:104817239:104838669:1 gene:ENSMUSG00000021716.14 transcript:ENSMUST00000156105.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srek1ip1 description:splicing regulatory glutamine/lysine-rich protein 1interacting protein 1 [Source:MGI Symbol;Acc:MGI:1914538] MAVPGCNKDNVRAGCRKCGYPGHLTFECRNFLRVDPKRDIVLDVSSTSSEDSDEENEELN KLQALQEKSKPWFLPTVLF >ENSMUSP00000103650.1 pep:known chromosome:GRCm38:7:39517766:39540420:1 gene:ENSMUSG00000068959.6 transcript:ENSMUST00000108015.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp619 description:zinc finger protein 619 [Source:MGI Symbol;Acc:MGI:1917477] MDLVTFEDVAVNFTQEEWTLLDPSQKNLYINVMLETCSNLASVGIKSEYQTIEDQYKQPG KNIRNIITRSGYTPYALEDCGEKPYHSSSLTTIHIYVGIHTVSGAYGYEVYSKPVGAPSS CGTGEQIQSGEQPHGYTINKTAFICPSSFCSSEVTDTEENPSECKPGGTTLSPFNYPPFC SGTHNGGKHVDCTQCVKAFRCHSSLQRQERMCAEEKPYECKQCGKSFVYPYLLKMHERHH TGEKPYKCKTCGKAFLCSSFLQRHKRTHTGEKPYKCKQCAKPFRRLSNLQVHERTHSGEK PYECRECGKALRSHSSLQRHKIVHAGKKPYECKQCGKSFIYPYLLQTHERSHTGEKPYKC PLCSKAFRCSSFLQRHERTHTGGKPYECKQCGKAFKGHSSLRLHARSHGGEKPYKCNLCS KAFLCPSFLRRHERTHTRERPYECRKCNKAFRGQSSLKLHERSHTGEKPYKCKICSKAFL CSSFLQRHERIHCEEKPYVCKQSGKDLKDRSSFQRQKVSHAGEKPYECKQCGKSFVYPCL LQVHVRTHTGEKPYRCKQCDKAFRSLSYLRIHEGSHTEEKPYECKECGKTLSCSSALQLH TRTHTGEKPYVCQQCGKAFRGFSYLRVHERTHTEAKPYECKQCGKILSCSSSLQLHERTH TGEKPYSCNQCGKAFRGLSRLRVHEIIHTGEKPHECKLCGKAFRYRSLLRVHERTHTGEK PYACKQCSKAFKSLSNLRIHEKTHSGFYECKQCGKTLSSSNSLQVHERVHSEEKSHACKQ CGKTFRRLSNLQVHEKTHAEGKPYECKQCAKSFLYQYLLEKHEKSHTAEKPYKCMICSKA FICASFLQRHERIHSAEKPYECVQCGKAFKGLSSLQLHERAHAREKHYDCTQCGKTFRCH SLLQAHERTHTGEKPFVCAECGKTFKSAGYLRIHEKTHSGFYECKQCGKILSCSSSLQLH ERTHTGEKPYECKQCGKAFRSQSSLRRHERIHAGEKPYECKQCGKSFIYPCYLQMHERSH TGEKLYKCKICSKAFLYPCFLQMHERTHTGEKPYKCKQCAKAFRSHSSLQMHEKTHTGDR PYECKQCGKVLISHSSLQRHKIAHAGRKRYECKQCGKSFAYPYLLQTHERSHTGEKPYEC KDCRKAFTCHRSLQRHRKTHT >ENSMUSP00000036514.7 pep:known chromosome:GRCm38:17:32965814:32979233:1 gene:ENSMUSG00000053600.7 transcript:ENSMUST00000039132.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp472 description:zinc finger protein 472 [Source:MGI Symbol;Acc:MGI:2385049] MHQKQEMEPVTFEDVAVNFTLGEWTMLDSSQKKLYRDVMKETFLNLISIGKTEEEDTEEE YQNPKGNLRTLMVERLCKYDYGNQYGETHQQSPEHVANEKMPPAITECERDICTHLPSDM HFKGQTGKKSCQYQEHTEKALKHKNCWKDFTYSELLWMHKSPPMRQKPYEDKQGTEASRS FTTDHDYEGAYTEEKSYICKQCGKAWSDSCSLLWHERSHIQEKRHTCKQCGKAFSRPSQL HKHERIHTGEKPYVCTHCGKAFIDRRTCHNHERTHTGVKPFACKQCGKAFLRSCQLLIHE RIHTGERPFVCKHCGKAFTYSSACYYHERIHTGEKPCVCKQCGKAFKCSAYLHIHERSHS GEKPYVCKHCGKAFAYATGCHKHERIHTGEKPYMCVQCGKLFTFPRSLHIHERSHSGEKP YVCKQCGKSFTQGTSLRRHERIHTGEKPYVCKQCGKAFIQRDDCYSHERTHTGEKPFMCV QCGKTFTFSKSLQIHEKNHTGEKPYICKQCGKAFTCSTYLLRHERTHSEKKLSG >ENSMUSP00000119985.1 pep:known chromosome:GRCm38:1:88055388:88216357:1 gene:ENSMUSG00000090165.8 transcript:ENSMUST00000138182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a10 description:UDP glycosyltransferase 1 family, polypeptide A10 [Source:MGI Symbol;Acc:MGI:3580642] MVPAAFPTSLPLCVCLLLASGLVQAGRLLVVPMDGSHWFDMQMVVEKLIQRGHEVVVVIP EEFEAYVNASGEHGIVVFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAK NTILVKWLPQNDLLGHPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETR GAGVTLNVLEMTADDLENALKTVINNK >ENSMUSP00000108767.3 pep:known chromosome:GRCm38:1:88055411:88219004:1 gene:ENSMUSG00000090165.8 transcript:ENSMUST00000113142.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a10 description:UDP glycosyltransferase 1 family, polypeptide A10 [Source:MGI Symbol;Acc:MGI:3580642] MVPAAFPTSLPLCVCLLLASGLVQAGRLLVVPMDGSHWFDMQMVVEKLIQRGHEVVVVIP EVSWRLGKSLNCTVKTYSVSHTLEDLDREFKYFTYTQWKTPEQSIRSFMTGSARGFFELM FSHSRGLFNDKKLVEYLKQRSFDAVFLDPFDVCGLIVAKYLSLPSVIFARLSFCYYLEEG AQCPSLLSYVPRLFSKYTDTMTFKERVWNHYMYIEDYVFCPYFFKTAVEIASEVLQTPVT MTDLFSPVSIWLLRTDFVLEFPRPVMPNMVFVGGMNCLQGKPLSKEFEAYVNASGEHGIV VFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLGH PKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADDL ENALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHDLTW YQYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000134443.1 pep:known chromosome:GRCm38:1:88055481:88216289:1 gene:ENSMUSG00000090165.8 transcript:ENSMUST00000173325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a10 description:UDP glycosyltransferase 1 family, polypeptide A10 [Source:MGI Symbol;Acc:MGI:3580642] MVPAAFPTSLPLCVCLLLASGLVQAGRLLVVPMDGSHWFDMQMVVEKLIQRGHEVVVVIP EFPRPVMPNMVFVGGMNCLQGKPLSKEFEAYVNASGEHGIVVFSLGSMVSEIPEKKAMEI AEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLGHPKTRAFITHSGSHGIYEGI CNGVPMVMMPLFGDQMDNAKRMETRGAGVT >ENSMUSP00000014080.6 pep:known chromosome:GRCm38:5:122100951:122106854:1 gene:ENSMUSG00000013936.12 transcript:ENSMUST00000014080.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl2 description:myosin, light polypeptide 2, regulatory, cardiac, slow [Source:MGI Symbol;Acc:MGI:97272] MAPKKAKKRIEGGSSNVFSMFEQTQIQEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVN VKNEEIDEMIKEAPGPINFTVFLTMFGEKLKGADPEETILNAFKVFDPEGKGSLKADYVR EMLTTQAERFSKEEIDQMFAAFPPDVTGNLDYKNLVHIITHGEEKD >ENSMUSP00000107379.1 pep:known chromosome:GRCm38:5:122100957:122105293:1 gene:ENSMUSG00000013936.12 transcript:ENSMUST00000111750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl2 description:myosin, light polypeptide 2, regulatory, cardiac, slow [Source:MGI Symbol;Acc:MGI:97272] MAPKKAKKRIEGGSSNVFSMFEQTQIQEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVN VKNEEIDEMIKEAPGPINFTVFLTMFGEKLKGADPEETILNAFKVFDPEGKGSLKADYVR EMLTTQAERFSKEEVQGLQCSHHPAPFIDTPSRPSDIPSGSSESQLSTPHSRDFRL >ENSMUSP00000114156.1 pep:known chromosome:GRCm38:5:122100980:122104905:1 gene:ENSMUSG00000013936.12 transcript:ENSMUST00000139213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl2 description:myosin, light polypeptide 2, regulatory, cardiac, slow [Source:MGI Symbol;Acc:MGI:97272] MFEQTQIQEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVNVKNEEIDEMIKEAPGPINF TVFLTMFGEKLKGADPEETILNAFKVFDPEGKGSLKAD >ENSMUSP00000107380.1 pep:known chromosome:GRCm38:5:122100983:122113472:1 gene:ENSMUSG00000013936.12 transcript:ENSMUST00000111751.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl2 description:myosin, light polypeptide 2, regulatory, cardiac, slow [Source:MGI Symbol;Acc:MGI:97272] MAPKKAKKRIEGGSSNVFSMFEQTQIQEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVN VKNEEIDEMIKEAPGPINFTVFLTMFGEKLKGADPEETILNAFKVFDPEGKGSLKADYVR EMLTTQAERFSKEEIDQMFAAFPPDVTGNLDYKNLVHIITHGEEKD >ENSMUSP00000120105.1 pep:known chromosome:GRCm38:5:122101032:122105036:1 gene:ENSMUSG00000013936.12 transcript:ENSMUST00000155612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl2 description:myosin, light polypeptide 2, regulatory, cardiac, slow [Source:MGI Symbol;Acc:MGI:97272] MFEQTQIQEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVNVKNEEIDEMIKEAPGPINF TVFLTMFGEKLKGADPEETILNAFKVFDPEGKGSLKADYVREMLTTQAERFSKEE >ENSMUSP00000120274.1 pep:known chromosome:GRCm38:5:122101385:122104888:1 gene:ENSMUSG00000013936.12 transcript:ENSMUST00000150535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl2 description:myosin, light polypeptide 2, regulatory, cardiac, slow [Source:MGI Symbol;Acc:MGI:97272] MFEQTQIQEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVNVKNEEIDEMIKEAPGPINF TVFLTMFGEKLKGADPEETILNAFKVFDPEGKG >ENSMUSP00000119627.1 pep:known chromosome:GRCm38:5:122101580:122103928:1 gene:ENSMUSG00000013936.12 transcript:ENSMUST00000153816.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl2 description:myosin, light polypeptide 2, regulatory, cardiac, slow [Source:MGI Symbol;Acc:MGI:97272] XVSLVEQRRALDNVWRFWGIISPRMLSGYQRLTPQAPKKAKKRIEGGSSNVFSMFEQTQI QEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVNVKNEEIDEMIKEAPGPINFTVFLTMF GEK >ENSMUSP00000142592.1 pep:known chromosome:GRCm38:5:122101797:122104706:1 gene:ENSMUSG00000013936.12 transcript:ENSMUST00000146733.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl2 description:myosin, light polypeptide 2, regulatory, cardiac, slow [Source:MGI Symbol;Acc:MGI:97272] MFEQTQIQEFKEAFTIMDQNRDGFIDKNDLRDTFAALGRVNVKNEEIDEMIKEAPGPINF TVFLTMFGEKLKAHTCNTCEGQRSWRCWELKFYVGTGPLREQ >ENSMUSP00000123261.1 pep:known chromosome:GRCm38:5:122103913:122105003:1 gene:ENSMUSG00000013936.12 transcript:ENSMUST00000126006.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl2 description:myosin, light polypeptide 2, regulatory, cardiac, slow [Source:MGI Symbol;Acc:MGI:97272] XMFGEKLKAHTCNTCEGQRSWRCWELKFYVGADPEETILNAFKVFDPEGKGSLKADYVRE ML >ENSMUSP00000139417.1 pep:known chromosome:GRCm38:1:133619940:133661318:-1 gene:ENSMUSG00000094410.7 transcript:ENSMUST00000186476.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm38394 description:predicted gene, 38394 [Source:MGI Symbol;Acc:MGI:5614057] MSVCTLSVPVSSISPGRRCSTFGDAGILGCVSINSNTDEDDVVEGKMVAEGANKETKLPA KKKRKKGLRIKGKRRRKKLILAKKFSKDLGSGRPVADAPASLASGAPEQDEESLFEGNIE KQIYLPSTRAKTSIVWHFFHVDPQYTWRAICNLCEKSVSRGKPGSHLGTSTLQRHLQARH SPHWTRANKFGVTNGEEDFTLDLSLSPPSPGSNGSFEYIPTDSVDENRMGKKRDKSASDA LRAKRGRFLIKSNIVKHALIPGTRAKTSAVWNFFYTDPQHISRAVCNICKRSVSRGRPGS HLGTSTLQRHLQATHPIHWAVANKDSGAIGNGLDETETESSDLLNDTMPGEKSSGSQDLT AEDLSDSDTDEPPCLEVENRSESPIPVADQDNPVHAQERETTTHCENAAANQISQAVIQM IVEDLHPYNYFSTPAFQRFLQIVAPDYRLPSETYFFTKAVPQLYDSVREKIFLTLENVQS QKIHLTADIWTHDPSTDYFIVTVHWVSLETASSPSNGGTPNFRKWAVLCVTGLAKDCLIT NILQELNDQIGLWLSPNFLTPSFIVSDNSSNVVHAIKGGGFTHVPCFLHCLNIVIQDFFC EHKSIENMLVAARKTCHHFSHSVKARQILQEFQNDHQLPWKNLKQDETGHWISTFYMLKW LLEHCYSVHHSLGRASGVVLTSLQWTLMTYVCDILKPFEEATQRVSVKTTGLNQVLPLIH HLLFSLQRLREDFQVRGITQALNLVDSLSLKLESDALLSAMLKSKHCILATLLDPCFKNS LEDFFPQGADLETYKQILAEEVCNYMESSPGACQISSSETSGPLVRLGTDSFTSIKEGTS SAGSLDSSAAGSVAVGSKSSLLPAAVAVVDEYFKEKYSELSGGDDPLVYWQRKVSIWPAL TQVAIQYLSCPMCSWQSECMFTTNSHFHPKQIMNMDFDNIEQLIFLKMNLENVNYDYSTL ILSWDPENKAVQSNEKEILP >ENSMUSP00000136026.1 pep:known chromosome:GRCm38:1:133619940:133661318:-1 gene:ENSMUSG00000094410.7 transcript:ENSMUST00000179598.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm38394 description:predicted gene, 38394 [Source:MGI Symbol;Acc:MGI:5614057] MSVCTLSVPVSSISPGRRCSTFGDAGILGCVSINSNTDEDDVVEGKMVAEGANKETKLPA KKKRKKGLRIKGKRRRKKLILAKKFSKDLGSGRPVADAPASLASGAPEQDEESLFEGNIE KQIYLPSTRAKTSIVWHFFHVDPQYTWRAICNLCEKSVSRGKPGSHLGTSTLQRHLQARH SPHWTRANKFGVTNGEEDFTLDLSLSPPSPGSNGSFEYIPTDSVDENRMGKKRDKSASDA LRAKRGRFLIKSNIVKHALIPGTRAKTSAVWNFFYTDPQHISRAVCNICKRSVSRGRPGS HLGTSTLQRHLQATHPIHWAVANKDSGAIGNGLDETETESSDLLNDTMPGEKSSGSQDLT AEDLSDSDTDEPPCLEVENRSESPIPVADQDNPVHAQERETTTHCENAAANQISQAVIQM IVEDLHPYNYFSTPAFQRFLQIVAPDYRLPSETYFFTKAVPQLYDSVREKIFLTLENVQS QKIHLTADIWTHDPSTDYFIVTVHWVSLETASSPSNGGTPNFRKWAVLCVTGLAKDCLIT NILQELNDQIGLWLSPNFLTPSFIVSDNSSNVVHAIKGGGFTHVPCFLHCLNIVIQDFFC EHKSIENMLVAARKTCHHFSHSVKARQILQEFQNDHQLPWKNLKQDETGHWISTFYMLKW LLEHCYSVHHSLGRASGVVLTSLQWTLMTYVCDILKPFEEATQRVSVKTTGLNQVLPLIH HLLFSLQRLREDFQVRGITQALNLVDSLSLKLESDALLSAMLKSKHCILATLLDPCFKNS LEDFFPQGADLETYKQILAEEVCNYMESSPGACQISSSETSGPLVRLGTDSFTSIKEGTS SAGSLDSSAAGSVAVGSKSSLLPAAVAVVDEYFKEKYSELSGGDDPLVYWQRKVSIWPAL TQVAIQYLSCPMCSWQSECMFTTNSHFHPKQIMNMDFDNIEQLIFLKMNLENVNYDYSTL ILSWDPENKAVQSNEKEILP >ENSMUSP00000112052.2 pep:known chromosome:GRCm38:11:94663851:94677515:-1 gene:ENSMUSG00000020868.15 transcript:ENSMUST00000116349.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xylt2 description:xylosyltransferase II [Source:MGI Symbol;Acc:MGI:2444797] MVASARVQKLVRRYKLAIATALAILLLQGLVVWSFSGLEEDEPGEKGRQRKPRPLDPGEG SKDTDSSAGRRGSAGRRHGRWRGRAESPGVPVAKVVRAVTSRQRASRRVPPAPPPEAPGR QNLSGAAAGEALIGAPGFPQHGDTGSVEGAPQPTDNTFTPKCEIVGKDALSALARASTKQ CQQEIANVVCLHQAGNLMPKSVPRHCQLAGKMSPGVQWEEIRAQQPVGGPPVRIAYMLVV HGRAIRQLKRLLKAVYHEQHFFYIHVDKRSNYLYREVVELAQHYENVRVTPWRMVTIWGG ASLLRMYLRSMKDLLEIPGWTWDFFINLSATDYPTRTNEELVAFLSKNRDKNFLKSHGRD NSRFIKKQGLDRLFHECDSHMWRLGERQIPAGIVVDGGSDWFVLTRSFVEYVVYTDDPLV AQLRQFYTYTLLPAESFFHTVLENSPACASLVDNNLRVTNWNRKLGCKCQYKHIVDWCGC SPNDFKPQDFLRLQQVSRPTFFARKFESTVNQEVLEILDFHLYGSYPPSTPALKAYWENI YDVADGPGGLSDVLLTAYTAFARLSLRHAATAVSPLATAVCRFEPRGLPSSVHLYFYDDH FQGYLVTQAVQPSAQGPAETLEMWLMPQRSLKLLGHSDQASRLQSLEVGTEWDPKERLFR NFGGLLGPLDEPVAMQRWARGPNLTATVVWIDPTYVVATSYDITVDADTEVTQYKPPLSL PLRPGAWTVRLLQFWEPLGETRFLVLPLTFNRKLPLRKDDASWLHAGPPHNEYMEQSFQG LSGILNLPQAEALEEAARRHTELTGSALEAWTDGELSNFWSVAGLCAIGPSACPSLELCR LTSWSSLSPDPKSELGPVKADGRLR >ENSMUSP00000122581.2 pep:known chromosome:GRCm38:11:94664587:94677515:-1 gene:ENSMUSG00000020868.15 transcript:ENSMUST00000153485.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xylt2 description:xylosyltransferase II [Source:MGI Symbol;Acc:MGI:2444797] MVASARVQKLVRRYKLAIATALAILLLQGLVVWSFSGLEEDEPGEKGRQRKPRPLDPGEG SKDTDSSAGRRGSAGRRHGRWRGRAESPGVPVAKVVRAVTSRQRASRRVPPAPPPEAPGR QNLSGAAAGEALIGAPGFPQHGDTGSVEGAPQPTDNTFTPKCEIVGKDALSALARASTKQ CQQEIANVVCLHQAGNLMPKSVPRHCQLAGKMSPGVQWEEIRAQQPVGGPPVRIAYMLVV HGRAIRQLKRLLKAVYHEQHFFYIHVDKRSNYLYREVVELAQHYENVRVTPWRMVTIWGG ASLLRMYLRSMKDLLEIPGWTWDFFINLSATDYPTRTNEELVAFLSKNRDKNFLKSHGRD NSRFIKKQGLDRLFHECDSHMWRLGERQIPAGIVVDGGSDWFVLTRSFVEYVVYTDDPLV AQLRQFYTYTLLPAESFFHTVLENSPACASLVDNNLRVTNWNRKLGCKCQYKHIVDWCGC SPNDFKPQDFLRLQQVSRPTFFARKFESTVNQEVLEILDFHLYGSYPPSTPALKAYWENI YDVADGPGGLSDVLLTAYTAFARLSLRHAATAVSPLATAVCRLVLSGTPKNVSSGTLGAC WDHWMSP >ENSMUSP00000134495.1 pep:known chromosome:GRCm38:11:94666227:94668192:-1 gene:ENSMUSG00000020868.15 transcript:ENSMUST00000150377.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xylt2 description:xylosyltransferase II [Source:MGI Symbol;Acc:MGI:2444797] LVDNNLRVTNWNRKLGCKCQYKHIVDWCGCSPNDFKPQDFLRLQVGTEWDPKERLFRNFG GLLGPLDEPVAMQRWARGPNLTATVVWIDPTYVVATSYDITVDADTEVTQYKPPLSLPLR PGAWTVRLLQFWEPLGETRFLVLPLT >ENSMUSP00000122728.2 pep:known chromosome:GRCm38:11:94668527:94677407:-1 gene:ENSMUSG00000020868.15 transcript:ENSMUST00000146693.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xylt2 description:xylosyltransferase II [Source:MGI Symbol;Acc:MGI:2444797] MVASARVQKLVRRYKLAIATALAILLLQGLVVWSFSGLEEDEPGEAR >ENSMUSP00000115844.1 pep:known chromosome:GRCm38:X:150588229:150625183:1 gene:ENSMUSG00000025271.13 transcript:ENSMUST00000153221.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb1 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 1 [Source:MGI Symbol;Acc:MGI:107816] MEEKASKRAASIPQFTNSPTMVIMVGLPARGKTYISTKLTRYLNWIGTPTKVFNLGQYRR EAVSYRNYEFFRPDNMEAQLIRKQCALAALKDVHKYLSREEGHVAVFDATNTTRERRSLI LQFAKEHGYKVFFIESICNDPDIIAENIKQVKLGSPDYIDCDQEKVLEDFLKRIECYEIN YQPLDEELDSHLSYIKIF >ENSMUSP00000079692.4 pep:known chromosome:GRCm38:X:150589921:150643878:1 gene:ENSMUSG00000025271.13 transcript:ENSMUST00000080884.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb1 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 1 [Source:MGI Symbol;Acc:MGI:107816] MEEKASKRAASIPQFTNSPTMVIMVGLPARGKTYISTKLTRYLNWIGTPTKVFNLGQYRR EAVSYRNYEFFRPDNMEAQLIRKQCALAALKDVHKYLSREEGHVAVFDATNTTRERRSLI LQFAKEHGYKVFFIESICNDPDIIAENIKQVKLGSPDYIDCDQEKVLEDFLKRIECYEIN YQPLDEELDSHLSYIKIFDVGTRYMVNRVQDHVQSRTAYYLMNIHVTPRSIYLCRHGESE LNLRGRIGGDSGLSARGKQYAYALANFIRSQSISSLKVWTSHMKRTIQTAEALGVPYEQW KALNEIDAGVCEEMTYEEIQEHYPEEFALRDQDKYRYRYPKGESYEDLVQRLEPVIMELE RQENVLVICHQAVMRCLLAYFLDKSSDELPYLKCPLHTVLKLTPVAYGCRVESIYLNVEA VNTHRDKPENVDITREPEEALDTVPAHY >ENSMUSP00000108333.2 pep:known chromosome:GRCm38:X:150594478:150643878:1 gene:ENSMUSG00000025271.13 transcript:ENSMUST00000112713.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb1 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 1 [Source:MGI Symbol;Acc:MGI:107816] MSREMGELTQTRLQKIWIPHSSSSSLLQRRRGSSIPQFTNSPTMVIMVGLPARGKTYIST KLTRYLNWIGTPTKVFNLGQYRREAVSYRNYEFFRPDNMEAQLIRKQCALAALKDVHKYL SREEGHVAVFDATNTTRERRSLILQFAKEHGYKVFFIESICNDPDIIAENIKQVKLGSPD YIDCDQEKVLEDFLKRIECYEINYQPLDEELDSHLSYIKIFDVGTRYMVNRVQDHVQSRT AYYLMNIHVTPRSIYLCRHGESELNLRGRIGGDSGLSARGKQYAYALANFIRSQSISSLK VWTSHMKRTIQTAEALGVPYEQWKALNEIDAGVCEEMTYEEIQEHYPEEFALRDQDKYRY RYPKGESYEDLVQRLEPVIMELERQENVLVICHQAVMRCLLAYFLDKSSDELPYLKCPLH TVLKLTPVAYGCRVESIYLNVEAVNTHRDKPENVDITREPEEALDTVPAHY >ENSMUSP00000092600.1 pep:known chromosome:GRCm38:4:88754868:88755416:1 gene:ENSMUSG00000094618.1 transcript:ENSMUST00000094992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13271 description:predicted gene 13271 [Source:MGI Symbol;Acc:MGI:3701966] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLEHSETPRFLAELRSVPGHQCLRDRTDFP CPWKEGTNITQMTLGETTSCYSQTLRHVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRVFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000052096.5 pep:known chromosome:GRCm38:11:115381916:115396132:1 gene:ENSMUSG00000050910.5 transcript:ENSMUST00000053288.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdr2l description:cerebellar degeneration-related protein 2-like [Source:MGI Symbol;Acc:MGI:2684867] MRRAAGMEDYSAEEEESWYDHQDLEQDLHLAAELGKTLLERNKELEESLQQMYSTNEEQV HEIEYLTKQLDTLRLVNEQHAKVYEQLDLTARDLELTNQRLVMESKAAQQKIHGLTETIE RLQSQVEELQAQVEQLRGLEQLRIRREKRERRRTIHTFPCLKELCTSSRCEDAFRLHSSS LELGPRPLEQENERLQTLVGVLRSQVSQERQRKERAEREYTVVLQEYTELERQLCEMEGC RLRVQELEAELLELQQMKQAKTYLLAREEHLAEALLAPLTQAPEADDPQPGSGDDSNAQD GVSSPAASPSHAVRKSCSDTALNAIVAKDPASRHAGNLTLHANSVRRRGMSILREVDEQY HALLEKYEELLSKCRQHGAGVRHAGVQTSRPISRDSSWRDLLGGEESPGEGKAGEKSLSQ HVEAVDKRLEQSQPEYKALFKEIFARIQKTKADINATKVKTHSSK >ENSMUSP00000096694.2 pep:known chromosome:GRCm38:2:164579519:164585177:-1 gene:ENSMUSG00000074595.9 transcript:ENSMUST00000099096.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc6a description:WAP four-disulfide core domain 6A [Source:MGI Symbol;Acc:MGI:2684968] MRLWGLLPFLVPFILLWSIQEPELAEGFFIRTCPRVRVKCEVEERNECTRHRQCPNKKRC CLFSCGKKCMDLRQDVCSLPQDPGPCLAYLPRWWYNQETDLCTEFIYGGCQGNPNNFPSE GICTVVCKKKQMSSWI >ENSMUSP00000104966.1 pep:known chromosome:GRCm38:2:164579519:164585447:-1 gene:ENSMUSG00000074595.9 transcript:ENSMUST00000109342.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc6a description:WAP four-disulfide core domain 6A [Source:MGI Symbol;Acc:MGI:2684968] MRLWGLLPFLVPFILLWSIQEPELAEGFFIRTCPRVRVKCEVEERNECTRHRQCPNKKRC CLFSCGKKCMDLRQDVCSLPQDPGPCLAYLPRWWYNQETDLCTEFIYGGCQGNPNNFPSE GICTVVCKKKQMSSWI >ENSMUSP00000035445.5 pep:known chromosome:GRCm38:1:74435511:74505803:-1 gene:ENSMUSG00000033364.13 transcript:ENSMUST00000044260.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp37 description:ubiquitin specific peptidase 37 [Source:MGI Symbol;Acc:MGI:2442483] MSPLKIYGPIRIRSMQTGITKWKEGSFEIVEKDNRVSLLVHYNTGGIPRVFQLSHNIKNV VLRPSGIKQSRLMLTLQDNSFLSIDKVPSKDAEEMRLFLDAVHQNRLHAAMKASQGSGSF GTILGSRTSQKETNRQLSYSDNQASSKRGSLETKDEIPFRKVLGSPGRGPIKTVTGGGMA VTRTIPSLTLTSTPLRSGLLENRTEKRKRMLSGSELTEDYPKENDSSSNNKAMTDPSRKY LTSCREKQLSLKQAEENRTSGLLPLQSSSFYGSRAGSKDYSSGVTNLDRCNVSSQTPSAK RSLGFLPQPTPLSVKKLRCNQDYAGWNRPRVPLSSHQQQLQGFSNLGNTCYMNAILQSLF SLQSFANDLLKQSIPWKKIPFNALIRRFANLLIKKDICNSETKKELLKKVKNAISATAER FSGYVQNDAHEFLSQCLDQLKEDMEKLNKTWKTEPVLGEENLPDTSATKVFTCPVITNLE FEVQHSIICKACGETIPKREQFNDLSIDLPRRKKPLPPRSIQDSLDLFFRAEELEYSCEK CGGKCALVRHKFNRLPRVLILHLKRYSFNVALSLNNKLGQQVIIPRFLTLASHCTESTKP PVTLGWSAPVAMKFTFKSKSSVTSCLDSDSEDELKRSVVLSQRLCDLPGNEQYQEDVEKD LKLCRLEPGKAELENSGFDRMSEEEVLAAVLEISRREASPVLSPEDDDKPTSSPDTGFAE DDIPEMPENPDAMEIEKSKTITEPGPASFTEITKDCDENKENKTPEGSQGEVDWLQQYDV DREREEQELQQALAQSLQEQEAWEQKEDDDLKRATELSLQEFNNSFLDSLGSDEDSGNED VFDMEYTEAEAEELKRNAETGALPHSYRLISVVSHIGSTSSSGHYISDVYDIKKQAWFTY NDLEVSKIQEAAVQSDRDRSGYIFFYMHKEIFDELLETEKTSQALSMEVGRAARQAS >ENSMUSP00000140670.1 pep:known chromosome:GRCm38:1:74437730:74544284:-1 gene:ENSMUSG00000033364.13 transcript:ENSMUST00000189257.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp37 description:ubiquitin specific peptidase 37 [Source:MGI Symbol;Acc:MGI:2442483] MSPLKIYGPIRIRSMQTGITKWKEGSFEIVEKDNRVSLLVHYNTGGIPRVFQLSHNIKNV VLRPSGIKQSRLMLTLQDNSFLSIDKVPSKDAEEMRLFLDAVHQNRLHAAMKASQGSGSF GTILGSRTSQKETNRQLSYSDNQASSKRGSLETKDEIPFRKVLGSPGRGPIKTVTGGGMA VTRTIPSLTLTSTPLRSGLLENRTEKRKRMLSGSELTEDYPKENDSSSNNKAMTDPSRKY LTSCREKQLSLKQAEENRTSGLLPLQSSSFYGSRAGSKDYSSGVTNLDRCNVSSQTPSAK RSLGFLPQPTPLSVKKLRCNQDYAGWNRPRVPLSSHQQQLQGFSNLGNTCYMNAILQSLF SLQSFANDLLKQSIPWKKIPFNALIRRFANLLIKKDICNSETKKELLKKVKNAISATAER FSGYVQNDAHEFLSQCLDQLKEDMEKLNKTWKTEPVLGEENLPDTSATKVFTCPVITNLE FEVQHSIICKACGETIPKREQFNDLSIDLPRRKKPLPPRSIQDSLDLFFRAEELEYSCEK CGGKCALVRHKFNRLPRVLILHLKRYSFNVALSLNNKLGQQVIIPRFLTLASHCTESTKP PVTLGWSAPVAISRPLRACQMVNSCITSPSAPSKKFTFKSKSSVTSCLDSDSEDELKRSV VLSQRLCDLPGNEQYQEDVEKDLKLCRLEPGKAELENSGFDRMSEEEVLAAVLEISRREA SPVLSPEDDDKPTSSPDTGFAEDDIPEMPENPDAMEIEKSKTITEPGPASFTEITKDCDE NKENKTPEGSQGEVDWLQQYDVDREREEQELQQALAQSLQEQEAWEQKEDDDLKRATELS LQEFNNSFLDSLGSDEDSGNEDVFDMEYTEAEAEELKRNAETGALPHSYRLISVVSHIGS TSSSGHYISDVYDIKKQAWFTYNDLEVSKIQEAAVQSDRDRSGYIFFYMHKEIFDELLET EKTSQALSMEVGRAARQAS >ENSMUSP00000140158.1 pep:known chromosome:GRCm38:1:74495718:74505806:-1 gene:ENSMUSG00000033364.13 transcript:ENSMUST00000186282.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp37 description:ubiquitin specific peptidase 37 [Source:MGI Symbol;Acc:MGI:2442483] MSPLKIYGPIRIRSMQTGITKW >ENSMUSP00000076181.3 pep:known chromosome:GRCm38:17:37589382:37590381:-1 gene:ENSMUSG00000062629.3 transcript:ENSMUST00000076914.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr114 description:olfactory receptor 114 [Source:MGI Symbol;Acc:MGI:2177497] MIMENITTMSGFLLMGFSDNHELQILQAVLFLVTYLVGSAGNVIIITITTLDPQLQSPMY YFLKQLSILDLSSLSVTVPQYVDSSLARSGYISYGQCMLQIFFFTWFAWGEMAILTVMSY DRYIAVCLPLHYEIIMCPRKCRWAVTAVWLSSSIPGTLYLATIFSIRICRAKIIHQFFCD VPQLLKLSCSNDYLVIMGVADFLSVIGFACFVGIVISYVHIFSTVLRMPSAESRSKVFST CLPHLFVVSLFLSTGIFAYLNPTSDFPTALEFLFSVFYTVLPPTLNPVIYSLRNDAIKSV VRKLLLSRKFTS >ENSMUSP00000022228.6 pep:known chromosome:GRCm38:13:104631140:104816953:-1 gene:ENSMUSG00000021715.12 transcript:ENSMUST00000022228.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc27 description:CWC27 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:1914535] MSNIYIQEPPTNGKVLLKTTAGDIDIELWSKEAPKACRNFIQLCLEAYYDNTIFHRVVPG FIVQGGDPTGTGTGGESIYGAPFKDEFHSRLRFNRRGLVAMANAGPHDNGSQFFFTLGRA DELNNKHTIFGKVTGDTVYNMLRLTEVDIDDEERPRNPHRIKSCEVLFNPFDDITPREIK KPKNEKPEEEVKKLKPKGTKNFSLLSFGEEAEEEEEEVNRVSQSMKGRSKSSHDLLKDDP HLSSVPAVESEKDDATGDLEDDGEDDSAERDEYMEDDEKNLMRERIAKRLKKDASASVKS AGDGEKKPASRSEELRKEARQLKRELLAAKQKKETAIKVEEGREEEEAAPDGAVAEYRRE KQKYEALRKQQPKKGTSREDQTLALLSQFKSKLTQAITETPENSVPEAEVEDDEGWMSHV LQFEDKTRKVKDASMQDSDTFEIYDPRNPVNKRRREESKKLLREKKERR >ENSMUSP00000119076.1 pep:known chromosome:GRCm38:13:104807722:104817142:-1 gene:ENSMUSG00000021715.12 transcript:ENSMUST00000154165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwc27 description:CWC27 spliceosome-associated protein [Source:MGI Symbol;Acc:MGI:1914535] MSNIYIQEPPTNGKVLLKTTAGDIDIELWSKEAPKACRNFIQLCLEAYYDNTIFHRVVPG FIVQGGDPTGTGTGGESIYGAPF >ENSMUSP00000035392.6 pep:known chromosome:GRCm38:X:36743659:36777202:1 gene:ENSMUSG00000037636.6 transcript:ENSMUST00000047655.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a43 description:solute carrier family 25, member 43 [Source:MGI Symbol;Acc:MGI:2684854] MATWRRDGRLTGSQRLLCAGLAGAFSLSLTAPLELATVLAQVGKVQSHSLGLWATGRRVW LSEGPRALWKGNGVACLRLFPCSMVQLAAYRKFVVLFMDDLGRISQWSSIVTGSLAGMVS TIVTYPTDLIKTRLMVQNVLEPSYRGLIHAFSTIYQQEGFLALYRGVSLTVLGAVPFSAG SLLVYMNLEKVWNGPRDRFSHLQNFANVCVAAAVSQTLSFPFDTVKRKMQAQSPYLPHYG GVDVHFSGAADCFRQIVKTQGVLGLWNGLTANLLKVVPYFGVMFSMFEFCKRIFLYQNGY TLSPLTYKLTPGVDQSLKPQELRELKKFFKRRKLHSKTPPW >ENSMUSP00000005066.8 pep:known chromosome:GRCm38:9:64185770:64253631:-1 gene:ENSMUSG00000004936.8 transcript:ENSMUST00000005066.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k1 description:mitogen-activated protein kinase kinase 1 [Source:MGI Symbol;Acc:MGI:1346866] MPKKKPTPIQLNPAPDGSAVNGTSSAETNLEALQKKLEELELDEQQRKRLEAFLTQKQKV GELKDDDFEKISELGAGNGGVVFKVSHKPSGLVMARKLIHLEIKPAIRNQIIRELQVLHE CNSPYIVGFYGAFYSDGEISICMEHMDGGSLDQVLKKAGRIPEQILGKVSIAVIKGLTYL REKHKIMHRDVKPSNILVNSRGEIKLCDFGVSGQLIDSMANSFVGTRSYMSPERLQGTHY SVQSDIWSMGLSLVEMAVGRYPIPPPDAKELELLFGCHVEGDAAETPPRPRTPGRPLSSY GMDSRPPMAIFELLDYIVNEPPPKLPSGVFSLEFQDFVNKCLIKNPAERADLKQLMVHAF IKRSDAEEVDFAGWLCSTIGLNQPSTPTHAASI >ENSMUSP00000096688.2 pep:known chromosome:GRCm38:3:58414715:58466783:1 gene:ENSMUSG00000027806.9 transcript:ENSMUST00000099090.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d2 description:TSC22 domain family, member 2 [Source:MGI Symbol;Acc:MGI:1919283] MSKMPAKKKSCFQITSVTTAQVATSITEDTESLDDPDESRTEDVSSEIFDVSRATDYGPE EVCERSSSEETLNNVGDAETPGTVSPNLILDGQLAAASAAPANGGGGGVSARSVAGALAQ TLAAAAASVSTPGPSSATPSQPPATCSSRFRVIKLDHGSGEPYRRGRWTCMEYYERDSDS SVLTRSGDCIRHSNTLEQTAERDSGLGATGGSVVVVVASMQGAHGLDSGTDSSLTAVSQL PPSEKMSQPTLAQPQSFSVGQPQPPPPVGGAVAPSSASLPPFPGAATGPQPMTAAVQPTQ LQGAVAGGALPGPVGQGLPPPPNVNLAQPVALAAQPGPAGGSSLSQQFAYPQPQIPPGHL LPMQPSGQSEYLPPHVALQPPSPAQPLSTSASATSASAASFPLGSGQSVSSLGAQMMGAS AQPSEAVAPGPVPVGQAAPCQPAGVAPAALGGVVQPGSGLTGVGQPQPVQPPQQMGGSGQ LPAVPGGPHTVVPGVPNVPAAVPVPSVPSVPTTSVTMPNVPAPLGQSQQLSSHTPVSRSS SVIQQVGSPLAQGTHSAPTSLPQSDLSQFQTQTQPLVGQVDDTRRKSEPLPQAPLSLIAE SKPVVKPPVADALTNPLQLTPMNSLATSVFSIAIPVDGDEDRNPSTAFYQAFHLNTCQES KSLWDSASGGGVVAIDNKIEQAMDLVKSHLMYAVREEVEVLKEQIKELVERNSLLERENA LLKSLSNNDQLSQLPAQQANPGSTSQQQAMIAQPPQPTQPPQQPNVSSA >ENSMUSP00000143364.1 pep:known chromosome:GRCm38:3:58414720:58461720:1 gene:ENSMUSG00000027806.9 transcript:ENSMUST00000199164.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d2 description:TSC22 domain family, member 2 [Source:MGI Symbol;Acc:MGI:1919283] MSKMPAKKKSCFQITSVTTAQVATSITEDTESLDDPDESRTEDVSSEIFDVSRATDYGPE EVCERSSSEETLNNVGDAETPGTVSPNLILDGQLAAASAAPANGGGGGVSARSVAGALAQ TLAAAAASVSTPGPSSATPSQPPATCSSRFRVIKLDHGSGEPYRRGRWTCMEYYERDSDS SVLTRSGDCIRHSNTLEQTAERDSGLGATGGSVVVVVASMQGAHGLDSGTDSSLTAVSQL PPSEKMSQPTLAQPQSFSVGQPQPPPPVGGAVAPSSASLPPFPGAATGPQPMTAAVQPTQ LQGAVAGGALPGPVGQGLPPPPNVNLAQPVALAAQPGPAGGSSLSQQFAYPQPQIPPGHL LPMQPSGQSEYLPPHVALQPPSPAQPLSTSASATSASAASFPLGSGQSVSSLGAQMMGAS AQPSEAVAPGPVPVGQAAPCQPAGVAPAALGGVVQPGSGLTGVGQPQPVQPPQQMGGSGQ LPAVPGGPHTVVPGVPNVPAAVPVPSVPSVPTTSVTMPNVPAPLGQSQQLSSHTPVSRSS SVIQQVGSPLAQGTHSAPTSLPQSDLSQFQTQTQPLVGQVDDTRRKSEPLPQAPLSLIAE SKPVVKPPVADALTNPLQLTPMNSLATSVFSIAIPVDGDEDSASGGGVVAIDNKIEQAMD LVKSHLMYAVREEVEVLKEQIKELVERNSLLERENALLKSLSNNDQLSQLPAQQANPGST SQQQAMIAQPPQPTQPPQQPNVSSA >ENSMUSP00000143214.1 pep:known chromosome:GRCm38:3:58417484:58460309:1 gene:ENSMUSG00000027806.9 transcript:ENSMUST00000196049.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsc22d2 description:TSC22 domain family, member 2 [Source:MGI Symbol;Acc:MGI:1919283] XESKPVVKPPVADALTNPLQLTPMNSLATSVFSIAIPVDGDEDRNPSTAFYQAFHLNTCQ ESKSLWDRIFFRIQHRRRNVT >ENSMUSP00000021459.7 pep:known chromosome:GRCm38:12:76797960:76822553:-1 gene:ENSMUSG00000021062.15 transcript:ENSMUST00000021459.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab15 description:RAB15, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1916865] MAKQYDVLFRLLLIGDSGVGKTCLLCRFTDNEFHSSHISTIGVDFKMKTIEVDGIKVRIQ IWDTAGQERYQTITKQYYRRAQGIFLVYDISSERSYQHIMKWVSDVDEYAPEGVQKILIG NKADEEQKRQVGREQGQQLAKEYGMDFYETSACTNLNIKESFTRLTELVLQAHRKELDGL RTRASNELALAELEEDEGKPEGPANSSKTCWC >ENSMUSP00000122067.1 pep:known chromosome:GRCm38:12:76800330:76822908:-1 gene:ENSMUSG00000021062.15 transcript:ENSMUST00000154765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab15 description:RAB15, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1916865] MKTIEVDGIKVRIQIWDTAGQERYQTITKQYYRRAQGIFLVYDISSERSYQHIMKWVSDV DEYAPEGVQKILIGNKADEEQKRQVGREQGQQLAKEYGMDFYETSACTNLNIKESFTRLT ELVLQAHRKELDGLRTRA >ENSMUSP00000115720.1 pep:known chromosome:GRCm38:12:76800566:76819096:-1 gene:ENSMUSG00000021062.15 transcript:ENSMUST00000141622.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab15 description:RAB15, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1916865] MKTIEVDGIKVRIQIWDTAGQERYQTITKQYYRRAQGIFLVYDISSERSYQHIMKWVSDV DEYAPEGVQKILIGNKADEEQKRQVGREQGQQLAKEYGMDFYETSACTNLNIKE >ENSMUSP00000112789.1 pep:known chromosome:GRCm38:12:76800122:76818374:-1 gene:ENSMUSG00000021062.15 transcript:ENSMUST00000118604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab15 description:RAB15, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1916865] MKTIEVDGIKVRIQIWDTAGQERYQTITKQYYRRAQGIFLVYDISSERSYQHIMKWVSDV DEYAPEGVQKILIGNKADEEQKRQVGREQGQQLAKEYGMDFYETSACTNLNIKESFTRLT ELVLQAHRKELDGLRTRASNELALAELEEDEGKPEGPANSSKTCWC >ENSMUSP00000113299.1 pep:known chromosome:GRCm38:12:76800122:76813856:-1 gene:ENSMUSG00000021062.15 transcript:ENSMUST00000121716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab15 description:RAB15, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1916865] MKTIEVDGIKVRIQIWDTAGQERYQTITKQYYRRAQGIFLVYDISSERSYQHIMKWVSDV DEYAPEGVQKILIGNKADEEQKRQVGREQGQQLAKEYGMDFYETSACTNLNIKESFTRLT ELVLQAHRKELDGLRTRASNELALAELEEDEGKPEGPANSSKTCWC >ENSMUSP00000112457.1 pep:known chromosome:GRCm38:12:76800122:76805478:-1 gene:ENSMUSG00000021062.15 transcript:ENSMUST00000122419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab15 description:RAB15, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1916865] MFPSSRPWIVMPCVDFKMKTIEVDGIKVRIQIWDTAGQERYQTITKQYYRRAQGIFLVYD ISSERSYQHIMKWVSDVDEYAPEGVQKILIGNKADEEQKRQVGREQGQQLAKEYGMDFYE TSACTNLNIKESFTRLTELVLQAHRKELDGLRTRASNELALAELEEDEGKPEGPANSSKT CWC >ENSMUSP00000072115.2 pep:known chromosome:GRCm38:17:37623668:37624633:-1 gene:ENSMUSG00000061336.2 transcript:ENSMUST00000072265.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr116 description:olfactory receptor 116 [Source:MGI Symbol;Acc:MGI:2177499] MTVKNITTMSGFLLMGFSDNRELQILYALLFLLTYLLGSAGNFIIITITTLDPQLQSPMY YFLKHLSILDLSSLSVTVPQYVDSSLAGSGYISYGQCMLQIFFFAAFAWGEVAILTVMSY DRYVAICLPLHYEVIMSPRKCTWAVTSVWLSSVIPGTLYIASIFSIRFCRAKIIHQLFCD VPQLLKLSCSNDHLVVIGMVSFMTAVAFACFVGIVISYVHIFSTVLRMPSAESRSKVFST CLPHLFVVSLFLSTGSCAYLNTSSDSPTALEFLFSIFYTVLPPTLNPVIYSLRNETIKSV VRKLLLSSKFTVRIICPVATD >ENSMUSP00000112488.1 pep:known chromosome:GRCm38:4:144372760:144377933:-1 gene:ENSMUSG00000073721.5 transcript:ENSMUST00000121109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13125 description:predicted gene 13125 [Source:MGI Symbol;Acc:MGI:3712553] MSSKPLLTLQELAAQNILRNEALAISALQYLPELFLPQLLKQAYDGNHLKVLRAIVSSWP FPRLPLGALKKRTPYLETQLQVVLEEVDKLLIQEVHPREYKLEVLDLRSVGQNYLNVWPG AMDDWLPKTTQTDPCCSKTVMTQPLKVLVDLQLNNRGQSRLFSYLVQWSDRRKGLLQLYC NKLQIWSPSQQNYRKLSQKVNLDYVETLGLHSSCSPSFLLNFAPYLRQMRNLRKLALSNI REEHIISPEKRRCIITRFTLQILKLESLQKLHLDAVCFLEGHLDQLLGSVKTSLDELAVT HCNLSVSEWSHFSEFPCVSQLQQLNLENVRLTGLSPEPLQVLLVKAGPTLVALDLEDCHL EDGQLYAILPALSKCFKLTKFSFYGNQISMLAMKDLLHHTASLIHLRLELYPVPQDSYDY HGTPLMQRMQQHCDELMNLLKTFRNPGRVFFGTDRCDQCCNRYIYNKTIMCNCRRSY >ENSMUSP00000133907.2 pep:known chromosome:GRCm38:17:37609605:37627109:-1 gene:ENSMUSG00000092413.2 transcript:ENSMUST00000173118.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr115 description:olfactory receptor 115 [Source:MGI Symbol;Acc:MGI:2177498] MENITTMSGFLLMGFSDNRELQILQALLFLVTYLVGSAGNCIIITITTLDPQLKSPMYYF LKHLSILDLSSLSVTVPQYVDSSLARSGYISYEQCMLQILFFTCFAWDEMAILTVMSYDR YVAVCLPLHYEVIMSPRKCTWALAAVWLSGGVSGTLYTASTLSIRFCGDRIIHQFFCDVP QVLKLSCSNDYLVTIGVANILSAVAFACFIGIVISYVHIFSTVLRMPSAESRYKVFSTCL PHLFVVSLFLSTSTFAYLNPTADSPTALEFLFSILYTVLPPTINPVIYSLRNETIKRVVR KLLSSTKFTV >ENSMUSP00000108763.1 pep:known chromosome:GRCm38:1:88103252:88219003:1 gene:ENSMUSG00000090145.7 transcript:ENSMUST00000113138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a6b description:UDP glucuronosyltransferase 1 family, polypeptide A6B [Source:MGI Symbol;Acc:MGI:3580629] MACLLPAAQTLPAGFLFLVLWASVLGDKLLVVPQDGSHWLSMKEIVEHLSERGHDIVVLV PEVNLLLGESKYYRRKIFSVPYSLEELQTRFRTFGRNQFVPGAPLMGPLREYRNSMLTLE MFFSNCQSLLKDSATLSFLRENKFDALFTDPAMPCGVILAEYLNLPSVYLFRGFPCSLEH MLGQSPSPVSYVPRFYTKFSDHMTFPQRLANFIVNILENYLYYCLYSKYEIIVTDLLKRD VSLPSLHQNSLWLLRYDFVFEYPRPIMPNMIFIGGINCKKKGKLTQEFEAYVNASGEHGI VVFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLG HPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADD LENALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHDLT WYQYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000108762.1 pep:known chromosome:GRCm38:1:88106862:88218997:1 gene:ENSMUSG00000090145.7 transcript:ENSMUST00000113137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a6b description:UDP glucuronosyltransferase 1 family, polypeptide A6B [Source:MGI Symbol;Acc:MGI:3580629] MACLLPAAQTLPAGFLFLVLWASVLGDKLLVVPQDGSHWLSMKEIVEHLSERGHDIVVLV PEVNLLLGESKYYRRKIFSVPYSLEELQTRFRTFGRNQFVPGAPLMGPLREYRNSMLTLE MFFSNCQSLLKDSATLSFLRENKFDALFTDPAMPCGVILAEYLNLPSVYLFRGFPCSLEH MLGQSPSPVSYVPRFYTKFSDHMTFPQRLANFIVNILENYLYYCLYSKYEIIVTDLLKRD VSLPSLHQNSLWLLRYDFVFEYPRPIMPNMIFIGGINCKKKGKLTQEFEAYVNASGEHGI VVFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLG HPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADD LENALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHDLT WYQYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000099389.1 pep:known chromosome:GRCm38:2:164588343:164593594:-1 gene:ENSMUSG00000017733.4 transcript:ENSMUST00000103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eppin description:epididymal peptidase inhibitor [Source:MGI Symbol;Acc:MGI:1922776] MKLSGFVSILVLFGLLARVQGPSLADLLFPRRCPRFREECEHQERDLCTRDRDCPKKEKC CVFNCGKKCLNPQQDICSLPKDSGYCMAYFRRWWFNKENSTCQVFIYGGCQGNNNNFQSQ SICQNACEKKSSLT >ENSMUSP00000000326.5 pep:known chromosome:GRCm38:11:70224128:70229739:-1 gene:ENSMUSG00000000317.11 transcript:ENSMUST00000000326.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl6b description:B cell CLL/lymphoma 6, member B [Source:MGI Symbol;Acc:MGI:1278332] MGSTAAPEGALGYVREFTRHSSDVLSNLNELRLRGILTDVTLLVGGQPLRAHKAVLIACS GFFYSIFRGRAGLGVDVLSLPGGPEARGFAPLLDFMYTSRLRLSPATAPAVLAAATYLQM EHVVQACHRFIQASYEPLGISLRPVEVEPPRPPTVAPPGSPRRSEGHPDPPTESRSCSQG SPSPASPDPKACNWKKYKFIVLNSQTSQAGSLVGESSGQPCPQARLPSGDEACSSSSSSE EGTTPGLQSRLSLATTTARFKCGALANNSYLFTPRAQETSLPASKQANPPPGSEFFSCQN CEAVAGCSSGLELLAPGDEDKPYKCQLCRSAFRYKGNLASHRTVHTGEKPYRCSICGARF NRPANLKTHSRIHSGEKPYKCETCGSRFVQVAHLRAHVLIHTGEKPYPCPTCGTRFRHLQ TLKSHVRIHTGEKPYHCDPCGLHFRHKSQLRLHLRQKHGAATNTKVRYHILGGP >ENSMUSP00000121365.1 pep:known chromosome:GRCm38:11:70228540:70229677:-1 gene:ENSMUSG00000000317.11 transcript:ENSMUST00000153449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl6b description:B cell CLL/lymphoma 6, member B [Source:MGI Symbol;Acc:MGI:1278332] MGSTAAPEGALGYVREFTRHSSDVLSNLNELRLRGILTDVTLLVGGQPLRAHKAVLIACS GFFYSIFRGRAGLGVDVLSLPGGPEARGFAPLLDFMYTSRLRLSPATAPAVLAAAT >ENSMUSP00000025312.6 pep:known chromosome:GRCm38:17:36185572:36190220:-1 gene:ENSMUSG00000054128.16 transcript:ENSMUST00000025312.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-T3 description:histocompatibility 2, T region locus 3 [Source:MGI Symbol;Acc:MGI:95959] MRMGTMVPGTLLILLAASQGQTQTCPGSHSLRYFYTALSRPAISEPWYIAVGYLDDTQFV RFNSSGETATYKLSAPWVEQEGPEYWARETEIVTSNAQFFRENLQTMLDYYNLSQNAADT AAEITRSKWEQAGYTELRRTYLEGPCKDSLLRYLENRKKTQECTDPPKTHVTHHPRPEGY VTLRCWALRFYPADITLTWQLNGEELIQDTELVETRPAGDGTFQKWAAVVVPLGKEQKYT CHVYHEGLPEPLTLRWEPPQTSMPNRTTVRALLGAMIILGFMSGSVMMWMRKNNGGNGDD NTAAYQNEREHLSLSPRAESEALGVEAGMKDLPSAPPLVS >ENSMUSP00000099736.3 pep:known chromosome:GRCm38:17:36185573:36190287:-1 gene:ENSMUSG00000054128.16 transcript:ENSMUST00000102675.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-T3 description:histocompatibility 2, T region locus 3 [Source:MGI Symbol;Acc:MGI:95959] MRMGTMVPGTLLILLAASQGQTQTCPGSHSLRYFYTALSRPAISEPWYIAVGYLDDTQFV RFNSSGETATYKLSAPWVEQEGPEYWARETEIVTSNAQFFRENLQTMLDYYNLSQNGSHT IQVMYGCEVEFFGSLFRAYEQHGYDGRDYIALNEDLKTWTAADTAAEITRSKWEQAGYTE LRRTYLEGPCKDSLLRYLENRKKTQECTDPPKTHVTHHPRPEGYVTLRCWALRFYPADIT LTWQLNGEELIQDTELVETRPAGDGTFQKWAAVVVPLGKEQKYTCHVYHEGLPEPLTLRW EPPQTSMPNRTTVRALLGAMIILGFMSGSVMMWMRKNNGGNGDDNTAAYQNEREHLSLSP RAESEALGVEAGMKDLPSAPPLVS >ENSMUSP00000134469.1 pep:known chromosome:GRCm38:17:36185686:36190134:-1 gene:ENSMUSG00000054128.16 transcript:ENSMUST00000173133.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:H2-T3 description:histocompatibility 2, T region locus 3 [Source:MGI Symbol;Acc:MGI:95959] MRMGTMVPGTLLILLAASQGQTQTCPGSHSLRYFYTALSRPAISEPWYIAVGYLDDTQFV RFNSSGETATYKLSAPWVEQEGPEYWARETEIVTSNAQFFRENLQTMLDYYNLSQNGSHT IQVMYGCEVEFFGSLFRAYEQHGYDGRDYIALNEDLKTWTAADTAAEITRSKWEQAGYTE LRRTYLEGPCKDSLLRYLENRKKTQECTDPPKTHVTHHPRPEGYVTLRCWALRFYPADIT LTWQLNGEELIQDTELVETRPAGDGTFQKWAAVVVPLGKEQKYTCHVYHEGLPEPLTLRW EPPQTSMPNRTTVRALLGAMIILGFMSGSVMMWMRKNNGGNGDDNTAAYQNEREHLSLSP RAESEALGVEAGMKDLPSAPPLVS >ENSMUSP00000134607.1 pep:known chromosome:GRCm38:17:36186576:36190122:-1 gene:ENSMUSG00000054128.16 transcript:ENSMUST00000173629.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:H2-T3 description:histocompatibility 2, T region locus 3 [Source:MGI Symbol;Acc:MGI:95959] MGTMVPGTLLILLAASQGQTQTCPGSHSLRYFYTALSRPAISEPWYIAVGYLDDTQFVRF NSSGETATYKLSAPWVEQEGPEYWARETEIVTSNAQFFRENLQTMLDYYNLSQNGDVWL >ENSMUSP00000134547.1 pep:known chromosome:GRCm38:17:36186576:36190122:-1 gene:ENSMUSG00000054128.16 transcript:ENSMUST00000172663.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:H2-T3 description:histocompatibility 2, T region locus 3 [Source:MGI Symbol;Acc:MGI:95959] MGTMVPGTLLILLAASQGQTQTCPGSHSLRYFYTALSRPAISEPWYIAVGYLDDTQFVRF NSSGETATYKLSAPWVEQEGPEYWARETEIVTSNAQFFRENLQTMLDYYNLSQNGSHTIQ VMYGCEVEFFGSLFRAYEQHGYDGRDYIALNEDLKTWTAADTAAEITRSKWEQAGYTELR RTYLEGPCKDSLLRYLENRKKTQECTGGLTDTSGVTRKDI >ENSMUSP00000138177.1 pep:known chromosome:GRCm38:17:36186579:36190122:-1 gene:ENSMUSG00000054128.16 transcript:ENSMUST00000097329.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-T3 description:histocompatibility 2, T region locus 3 [Source:MGI Symbol;Acc:MGI:95959] MGTMVPGTLLILLAASQGQTQTCPGGNGDDNTAAYQNEREHLSLSPRAESEALGVEAGMK DLPSAPPL >ENSMUSP00000138563.1 pep:known chromosome:GRCm38:17:36186588:36190122:-1 gene:ENSMUSG00000054128.16 transcript:ENSMUST00000174101.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:H2-T3 description:histocompatibility 2, T region locus 3 [Source:MGI Symbol;Acc:MGI:95959] MGTMVPGTLLILLAASQGQTQTCPGGLTDTSGVTRKDI >ENSMUSP00000138582.1 pep:known chromosome:GRCm38:17:36186588:36190122:-1 gene:ENSMUSG00000054128.16 transcript:ENSMUST00000095300.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:H2-T3 description:histocompatibility 2, T region locus 3 [Source:MGI Symbol;Acc:MGI:95959] MGTMVPGTLLILLAASQGQTQTCPVISFPGGLTDTSGVTRKDI >ENSMUSP00000102789.3 pep:known chromosome:GRCm38:3:95323525:95357156:-1 gene:ENSMUSG00000015697.14 transcript:ENSMUST00000107171.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setdb1 description:SET domain, bifurcated 1 [Source:MGI Symbol;Acc:MGI:1934229] MSSLPGCMSLAAAPAAADSAEIAELQQAVVEELGISMEELRQYIDEELEKMDCIQQRKKQ LAELETWVLQKESEVAYVDRLFDDASREVTNCESLVKDFYSKLGLQYHDSSSEDEASRPT EIIEIPDEDDDVLSIDSGDAGSRTPKDQKLREAMAALRKSAQDVQKFMDAVNKKSSSQDL HKGTLGQVSGELSKDGDLIVSMRILGKKRTKTWHKGTLIAIQTVGLGKKYKVKFDNKGKS LLSGNHIAYDYHPPADKLFVGSRVVAKYKDGNQVWLYAGIVAETPNVKNKLRFLIFFDDG YASYVTQSELYPICRPLKKTWEDIEDSSCRDFIEEYITAYPNRPMVLLKSGQLIKTEWEG TWWKSRVEEVDGSLVRILFLDDKRCEWIYRGSTRLEPMFSMKTSSASAMEKKQGGQLRTR PNMGAVRSKGPVVQYTQDLTGTGIQFKPMEPLQPIAPPAPLPIPPLSPQAADTDLESQLA QSRKQVAKKSTSFRPGSVGSGHSSPTSSTLSENVSAGKLGINQTYRSPLASVTSTPASAA PPVPPVPPGPPTPPGPPAPPGPLAPPAFHGMLERAPAEPSYRAPMEKLFYLPHVCSYTCL SRIRPMRNEQYRGKNPLLVPLLYDFRRMTARRRVNRKMGFHVIYKTPCGLCLRTMQEIER YLFETGCDFLFLEMFCLDPYVLVDRKFQPFKPFYYILDITYGKEDVPLSCVNEIDTTPPP QVAYSKERIPGKGVFINTGPEFLVGCDCKDGCRDKSKCACHQLTIQATACTPGGQVNPNS GYQYKRLEECLPTGVYECNKRCNCDPNMCTNRLVQHGLQVRLQLFKTQNKGWGIRCLDDI AKGSFVCIYAGKILTDDFADKEGLEMGDEYFANLDHIESVENFKEGYESDVPTSSDSSGV DMKDQEDGNSGSEDPEESNDDSSDDNFCKDEDFSTSSVWRSYATRRQTRGQKENELSEMT SKDSRPPDLGPPHVPIPSSVSVGGCNPPSSEETPKNKVASWLSCNSVSEGGFADSDSRSS FKTSEGGDGRAGGGRGEAERASTSGLSFKDEGDNKQPKKEDPENRNKMPVVTEGSQNHGH NPPMKSEGLRRSASKMSVLQSQRVVTSTQSNPDDILTLSSSTESEGESGTSRKPTAGHTS ATAVDSDDIQTISSGSDGDDFEDKKNLSGPTKRQVAVKSTRGFALKSTHGIAIKSTNMAS VDKGESAPVRKNTRQFYDGEESCYIIDAKLEGNLGRYLNHSCSPNLFVQNVFVDTHDLRF PWVAFFASKRIRAGTELTWDYNYEVGSVEGKELLCCCGAIECRGRLL >ENSMUSP00000015841.5 pep:known chromosome:GRCm38:3:95323525:95357200:-1 gene:ENSMUSG00000015697.14 transcript:ENSMUST00000015841.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setdb1 description:SET domain, bifurcated 1 [Source:MGI Symbol;Acc:MGI:1934229] MSSLPGCMSLAAAPAAADSAEIAELQQAVVEELGISMEELRQYIDEELEKMDCIQQRKKQ LAELETWVLQKESEVAYVDRLFDDASREVTNCESLVKDFYSKLGLQYHDSSSEDEASRPT EIIEIPDEDDDVLSIDSGDAGSRTPKDQKLREAMAALRKSAQDVQKFMDAVNKKSSSQDL HKGTLGQVSGELSKDGDLIVSMRILGKKRTKTWHKGTLIAIQTVGLGKKYKVKFDNKGKS LLSGNHIAYDYHPPADKLFVGSRVVAKYKDGNQVWLYAGIVAETPNVKNKLRFLIFFDDG YASYVTQSELYPICRPLKKTWEDIEDSSCRDFIEEYITAYPNRPMVLLKSGQLIKTEWEG TWWKSRVEEVDGSLVRILFLDDKRCEWIYRGSTRLEPMFSMKTSSASAMEKKQGGQLRTR PNMGAVRSKGPVVQYTQDLTGTGIQFKPMEPLQPIAPPAPLPIPPLSPQAADTESLESQL AQSRKQVAKKSTSFRPGSVGSGHSSPTSSTLSENVSAGKLGINQTYRSPLASVTSTPASA APPVPPVPPGPPTPPGPPAPPGPLAPPAFHGMLERAPAEPSYRAPMEKLFYLPHVCSYTC LSRIRPMRNEQYRGKNPLLVPLLYDFRRMTARRRVNRKMGFHVIYKTPCGLCLRTMQEIE RYLFETGCDFLFLEMFCLDPYVLVDRKFQPFKPFYYILDITYGKEDVPLSCVNEIDTTPP PQVAYSKERIPGKGVFINTGPEFLVGCDCKDGCRDKSKCACHQLTIQATACTPGGQVNPN SGYQYKRLEECLPTGVYECNKRCNCDPNMCTNRLVQHGLQVRLQLFKTQNKGWGIRCLDD IAKGSFVCIYAGKILTDDFADKEGLEMGDEYFANLDHIESVENFKEGYESDVPTSSDSSG VDMKDQEDGNSGSEDPEESNDDSSDDNFCKDEDFSTSSVWRSYATRRQTRGQKENELSEM TSKDSRPPDLGPPHVPIPSSVSVGGCNPPSSEETPKNKVASWLSCNSVSEGGFADSDSRS SFKTSEGGDGRAGGGRGEAERASTSGLSFKDEGDNKQPKKEDPENRNKMPVVTEGSQNHG HNPPMKSEGLRRSASKMSVLQSQRVVTSTQSNPDDILTLSSSTESEGESGTSRKPTAGHT SATAVDSDDIQTISSGSDGDDFEDKKNLSGPTKRQVAVKSTRGFALKSTHGIAIKSTNMA SVDKGESAPVRKNTRQFYDGEESCYIIDAKLEGNLGRYLNHSCSPNLFVQNVFVDTHDLR FPWVAFFASKRIRAGTELTWDYNYEVGSVEGKELLCCCGAIECRGRLL >ENSMUSP00000102788.2 pep:known chromosome:GRCm38:3:95323525:95357202:-1 gene:ENSMUSG00000015697.14 transcript:ENSMUST00000107170.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setdb1 description:SET domain, bifurcated 1 [Source:MGI Symbol;Acc:MGI:1934229] MSSLPGCMSLAAAPAAADSAEIAELQQAVVEELGISMEELRQYIDEELEKMDCIQQRKKQ LAELETWVLQKESEVAYVDRLFDDASREVTNCESLVKDFYSKLGLQYHDSSSEDEASRPT EIIEIPDEDDDVLSIDSGDAGSRTPKDQKLREAMAALRKSAQDVQKFMDAVNKKSSSQDL HKGTLGQVSGELSKDGDLIVSMRILGKKRTKTWHKGTLIAIQTVGLGKKYKVKFDNKGKS LLSGNHIAYDYHPPADKLFVGSRVVAKYKDGNQVWLYAGIVAETPNVKNKLRFLIFFDDG YASYVTQSELYPICRPLKKTWEDIEDSSCRDFIEEYITAYPNRPMVLLKSGQLIKTEWEG TWWKSRVEEVDGSLVRILFLDDKRCEWIYRGSTRLEPMFSMKTSSASAMEKKQGGQLRTR PNMGAVRSKGPVVQYTQDLTGTGIQFKPMEPLQPIAPPAPLPIPPLSPQAADTESLESQL AQSRKQVAKKSTSFRPGSVGSGHSSPTSSTLSENVSAGKLGINQTYRSPLASVTSTPASA APPVPPVPPGPPTPPGPPAPPGPLAPPAFHGMLERAPAEPSYRAPMEKLFYLPHVCSYTC LSRIRPMRNEQYRGKNPLLVPLLYDFRRMTARRRVNRKMGFHVIYKTPCGLCLRTMQEIE RYLFETGCDFLFLEMFCLDPYVLVDRKFQPFKPFYYILDITYGKEDVPLSCVNEIDTTPP PQVAYSKERIPGKGVFINTGPEFLVGCDCKDGCRDKSKCACHQLTIQATACTPGGQVNPN SGYQYKRLEECLPTGVYECNKRCNCDPNMCTNRLVQHGLQVRLQLFKTQNKGWGIRCLDD IAKGSFVCIYAGKILTDDFADKEGLEMGDEYFANLDHIESVENFKEGYESDVPTSSDSSG VDMKDQEDGNSGSEDPEESNDDSSDDNFCKDEDFSTSSVWRSYATRRQTRGQKENELSEM TSKDSRPPDLGPPHVPIPSSVSVGGCNPPSSEETPKNKVASWLSCNSVSEGGFADSDSRS SFKTSEGGDGRAGGGRGEAERASTSGLSFKDEGDNKQPKKEDPENRNKMPVVTEGSQNHG HNPPMKSEGLRRSASKMSVLQSQRVVTSTQSNPDDILTLSSSTESEGESGTSRKPTAGHT SATAVDSDDIQTISSGSDGDDFEDKKNLSGPTKRQVAVKSTRGFALKSTHGIAIKSTNMA SVDKGESAPVRKNTRQFYDGEESCYIIDAKLEGNLGRYLNHSCSPNLFVQNVFVDTHDLR FPWVAFFASKRIRAGTELTWDYNYEVGSVEGKELLCCCGAIECRGRLL >ENSMUSP00000050128.9 pep:known chromosome:GRCm38:7:131338220:131362759:-1 gene:ENSMUSG00000040177.10 transcript:ENSMUST00000059438.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310057M21Rik description:RIKEN cDNA 2310057M21 gene [Source:MGI Symbol;Acc:MGI:1915527] METAIEDAGLDRGPTLTSSWDAACGALTQSLFLTRTGPRAQDLDFEQLLEPPAPSQDPVS LKSSLSPRDENPCFIYLNCGPNGGEEILSVGVLSSARNMEVYLGEEYCGTSRGKTACTVL DDSEHEKILLYKKYLKLDSPTHACKIKLLSFGEKQCVLVSKVVVHLRPRSADPSPRSAAL GSRIDLDNIQTIMESMGSRLSPGAQQLMSMIRFQQQNRLPIGDQLQSVLGSAGHKHLMAL QSSPSPAVLDKASSTPFPFRTGLTPSAITENLKALIDKSAQPSGEGNTTNHDEGHLMPQN HSLESDLKNAVSSFLPKKASGSSSVPSSELLPFLQNLCSQVNHLRVGHNARWQENISKPR EGMVGVPMEEQPVCSYLEKILSKNMELMEKKLMDHIDERIYQLQEHIDAKMALLVDLLRG PNSPPPGMPLRHYDSRERLSNGER >ENSMUSP00000147153.1 pep:known chromosome:GRCm38:7:131343186:131362696:-1 gene:ENSMUSG00000040177.10 transcript:ENSMUST00000208526.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2310057M21Rik description:RIKEN cDNA 2310057M21 gene [Source:MGI Symbol;Acc:MGI:1915527] METAIEDAGLDRGPTLTSSWDAACGALTQSLFLTRTGPRAQDLDFEQLLEPPAPSQDPVS LKSSLSPRDENPCFIYLNCGPNGGEEILSVGVLSSARNMEVYLGEEYCGTSRGKTACTVL DDSEHEKILLYKKYLKLDSPTHACKIKLLSFGEKQCVLVSKVVVHLRPRSADPSPRSAAL GSRIDLDNIQTIMESMGSRLSPGAQQLMSMIRFQQQNRLPIGDQLQSVLGSAGHKHLMAL QSSPSPAVLDKASSTPFPFRTGLTPSAITENLKALIDKSAQPSGEGNTTNHDEGHLMPQN HSLESDLKNAVSSFLPKKASGSSSVPSSELLPFLQNLCSQVNHLRVGHNARWQENISKPR EGMVGVP >ENSMUSP00000147242.1 pep:known chromosome:GRCm38:7:131350929:131362454:-1 gene:ENSMUSG00000040177.10 transcript:ENSMUST00000207354.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310057M21Rik description:RIKEN cDNA 2310057M21 gene [Source:MGI Symbol;Acc:MGI:1915527] MGESGGAWSQDPVSLKSSLSPRDENPCFIYLNCGPNGGEEILSVGVLSSARNMEVYLGEE YCGTSRGKTACTVLDDSEHEKILLYKKYLKLDSPTHACKIKLLSFGEKQCVLVSKVVVHL RPRSADPSPRSAALGSRIDLDNIQTIMESMGSRLSPGAQQLMSMIRFQQQNRLPIGDQLQ SVLGSAGHKHLMALQSSPSPAVLDKASSTPFPFRTGLTPSAITENLKA >ENSMUSP00000146800.1 pep:known chromosome:GRCm38:7:131360927:131362387:-1 gene:ENSMUSG00000040177.10 transcript:ENSMUST00000207305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310057M21Rik description:RIKEN cDNA 2310057M21 gene [Source:MGI Symbol;Acc:MGI:1915527] GGAWRWARLRAKGARWAGEAGKLVFKMPSCYNPPRPPPANAPSLLFGGNKNHGVLEKDTC QDPVSLKSSLSPRDENPCFIYLNCGPNGGEEILSVGVLSSARNMEVYLGEEYCGTSRGKT ACTVLDDSEHEKILLYKKY >ENSMUSP00000099038.3 pep:known chromosome:GRCm38:12:31484936:31499616:-1 gene:ENSMUSG00000020659.15 transcript:ENSMUST00000101499.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbll1 description:Casitas B-lineage lymphoma-like 1 [Source:MGI Symbol;Acc:MGI:2144842] MDHTDNELQGTNSSGSLGGLDVRRRIPIKLISKQASKVKPAPRTQRTVSRMPAKAPQGDE EGFDYNEEQRYDCKGGELFGNQRRFPGHLFWDFKINILGEKDDTPVHFCDKCGLPIKVYG RMIPCKHVFCYDCAILHEKKGDKMCPGCSDPVQRIEQCTRGSLFMCSIVQGCKRTYLSQR DLQAHINHRHMRAGKPVTRASLENVHPPIAPPPTDIPDRFIMPPDKHHMSHIPPKQHIMM PPPPLQHVPHEHYNQPHEDIRAPPAELSMAPPPPRSVSQETFRISTRKHSNLITVPIQDD SSSGAREPPPPAPAPAHHHPEYQGQPVVSHPHHIMPPQQHYAPPPPPPPPISHPMPHPPQ AAGTPHLVYSQAPPPPMTSAPPPITPPPGHIIAQMPPYMNHPPPGPPPPQHGGPPVTAPP PHHYNPNSLPQFTEDQGTLSPPFTQPGGMSPGIWPAPRGPPPPPRMQGPPSQTPLPGPHH PDQTRYRPYYQ >ENSMUSP00000141007.1 pep:known chromosome:GRCm38:12:31487915:31499557:-1 gene:ENSMUSG00000020659.15 transcript:ENSMUST00000185739.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbll1 description:Casitas B-lineage lymphoma-like 1 [Source:MGI Symbol;Acc:MGI:2144842] MDHTDNELQGTNSSGSLGGLDVRRRIPIKLISKQASKVKPAPRTQRTVSRMPAKAPQGDE GFDYNEEQRYDCKGGELFGNQRRFPGHLFWDFKINILGEKDDTPVHFCDKCGLPIKVYGR MIPCKHVFCYDCAILHEKKGDKMCPGCSDPVQRIEQCTRGSLFMCSIVQGCKRTYLSQRD LQAHINHRHMRAGKPVTRASLENVHPPIAPPPTDIPDRFIMPPDKHHMSHIPPKQHIMMP PPPLQHVPHEHYNQPHEDIRAPPAELSMAPPPPRSVSQE >ENSMUSP00000139809.1 pep:known chromosome:GRCm38:12:31487925:31499555:-1 gene:ENSMUSG00000020659.15 transcript:ENSMUST00000188326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbll1 description:Casitas B-lineage lymphoma-like 1 [Source:MGI Symbol;Acc:MGI:2144842] MDHTDNELQGTNSSGSLGGLDVRRRIPIKLISKQASKVKPAPRTQRTVSRMPAKAPQEGF DYNEEQRYDCKGGELFGNQRRFPGHLFWDFKINILGEKDDTPVHFCDKCGLPIKVYGRMI PCKHVFCYDCAILHEKKGDKMCPGCSDPVQRIEQCTRGSLFMCSIVQGCKRTYLSQRDLQ AHINHRHMRAGKPVTRASLENVHPPIAPPPTDIPDRFIMPPDKHHMSHIPPKQHIMMPPP PLQHVPHEHYNQPHEDIRAPPAELSMAPPPPRSV >ENSMUSP00000063266.6 pep:known chromosome:GRCm38:12:31484829:31499616:-1 gene:ENSMUSG00000020659.15 transcript:ENSMUST00000064240.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbll1 description:Casitas B-lineage lymphoma-like 1 [Source:MGI Symbol;Acc:MGI:2144842] MDHTDNELQGTNSSGSLGGLDVRRRIPIKLISKQASKVKPAPRTQRTVSRMPAKAPQGFD YNEEQRYDCKGGELFGNQRRFPGHLFWDFKINILGEKDDTPVHFCDKCGLPIKVYGRMIP CKHVFCYDCAILHEKKGDKMCPGCSDPVQRIEQCTRGSLFMCSIVQGCKRTYLSQRDLQA HINHRHMRAGKPVTRASLENVHPPIAPPPTDIPDRFIMPPDKHHMSHIPPKQHIMMPPPP LQHVPHEHYNQPHEDIRAPPAELSMAPPPPRSFTEDQGTLSPPFTQPGGMSPGIWPAPRG PPPPPRMQGPPSQTPLPGPHHPDQTRYRPYYQ >ENSMUSP00000082616.5 pep:known chromosome:GRCm38:12:31487279:31499616:-1 gene:ENSMUSG00000020659.15 transcript:ENSMUST00000085487.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbll1 description:Casitas B-lineage lymphoma-like 1 [Source:MGI Symbol;Acc:MGI:2144842] MDHTDNELQGTNSSGSLGGLDVRRRIPIKLISKQASKVKPAPRTQRTVSRMPAKAPQGDE EGFDYNEEQRYDCKGGELFGNQRRFPGHLFWDFKINILGEKDDTPVHFCDKCGLPIKVYG RMIPCKHVFCYDCAILHEKKGDKMCPGCSDPVQRIEQCTRGSLFMCSIVQGCKRTYLSQR DLQAHINHRHMRAGKPVTRASLENVHPPIAPPPTDIPDRFIMPPDKHHMSHIPPKQHIMM PPPPLQHVPHEHYNQPHEDIRAPPAELSMAPPPPRSVSQETFRISTRKHSNLITVPIQDD SSSGAREPPPPPPMTSAPPPITPPPGHIIAQMPPYHGGPPVTAPPPHHYNPNSLPQFTED QGTLSPPFTQPGGMSPGIWPAPRGPPPPPRMQGPPSQTPLPGPHHPDQTRYRPYYQ >ENSMUSP00000038884.5 pep:known chromosome:GRCm38:5:27842149:27855088:1 gene:ENSMUSG00000039106.6 transcript:ENSMUST00000036227.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr5a description:5-hydroxytryptamine (serotonin) receptor 5A [Source:MGI Symbol;Acc:MGI:96283] MDLPVNLTSFSLSTPSSLEPNRSLDTEVLRPSRPFLSAFRVLVLTLLGFLAAATFTWNLL VLATILKVRTFHRVPHNLVASMAISDVLVAVLVMPLSLVHELSGRRWQLGRRLCQLWIAC DVLCCTASIWNVTAIALDRYWSITRHLEYTLRTRKRVSNVMILLTWALSTVISLAPLLFG WGETYSEPSEECQVSREPSYTVFSTVGAFYLPLCVVLFVYWKIYRAAKFRMGSRKTNSVS PVPEAVEVKNATQHPQMVFTVRHATVTFQTEGDTWREQKEQRAALMVGILIGVFVLCWFP FFVTELISPLCSWDVPAIWKSIFLWLGYSNSFFNPLIYTAFNRSYSSAFKVFFSKQQ >ENSMUSP00000140892.1 pep:known chromosome:GRCm38:1:133655879:133660885:-1 gene:ENSMUSG00000102049.1 transcript:ENSMUST00000190574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbed6 description:zinc finger, BED type containing 6 [Source:MGI Symbol;Acc:MGI:3828086] MSVCTLSVPVSSISPGRRCSTFGDAGILGCVSINSNTDEDDVVEGKMVAEGANKETKLPA KKKRKKGLRIKGKRRRKKLILAKKFSKDLGSGRPVADAPASLASGAPEQDEESLFEGNIE KQIYLPSTRAKTSIVWHFFHVDPQYTWRAICNLCEKSVSRGKPGSHLGTSTLQRHLQARH SPHWTRANKFGVTNGEEDFTLDLSLSPPSPGSNGSFEYIPTDSVDENRMGKKRDKSASDA LRAKRGRFLIKSNIVKHALIPGTRAKTSAVWNFFYTDPQHISRAVCNICKRSVSRGRPGS HLGTSTLQRHLQATHPIHWAVANKDSGAIGNGLDETETESSDLLNDTMPGEKSSGSQDLT AEDLSDSDTDEPPCLEVENRSESPIPVADQDNPVHAQERETTTHCENAAANQISQAVIQM IVEDLHPYNYFSTPAFQRFLQIVAPDYRLPSETYFFTKAVPQLYDSVREKIFLTLENVQS QKIHLTADIWTHDPSTDYFIVTVHWVSLETASSPSNGGTPNFRKWAVLCVTGLAKDCLIT NILQELNDQIGLWLSPNFLTPSFIVSDNSSNVVHAIKGGGFTHVPCFLHCLNIVIQDFFC EHKSIENMLVAARKTCHHFSHSVKARQILQEFQNDHQLPWKNLKQDETGHWISTFYMLKW LLEHCYSVHHSLGRASGVVLTSLQWTLMTYVCDILKPFEEATQRVSVKTTGLNQVLPLIH HLLFSLQRLREDFQVRGITQALNLVDSLSLKLESDALLSAMLKSKHCILATLLDPCFKNS LEDFFPQGADLETYKQILAEEVCNYMESSPGACQISSSETSGPLVRLGTDSFTSIKEGTS SAGSLDSSAAGSVAVGSKSSLLPAAVAVVDEYFKEKYSELSGGDDPLVYWQRKVSIWPAL TQVAIQYLSCPMCSWQSECMFTTNSHFHPKQIMNMDFDNIEQLIFLKMNLENVNYDYSTL ILSWDPENKAVQSNEKEILP >ENSMUSP00000139768.1 pep:known chromosome:GRCm38:4:88760119:88761581:1 gene:ENSMUSG00000100505.1 transcript:ENSMUST00000191112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13283 description:predicted gene 13283 [Source:MGI Symbol;Acc:MGI:3649573] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLADLRSVPGHQCLRDRTDFP CPWKEGTNITQMTLGETTSCYSQSLRQVLHRFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000031199.4 pep:known chromosome:GRCm38:5:87513339:87538195:-1 gene:ENSMUSG00000029269.11 transcript:ENSMUST00000031199.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1b1 description:sulfotransferase family 1B, member 1 [Source:MGI Symbol;Acc:MGI:2136282] MSASEDVWRKDLKMIHGYPMIYAFALNWERIEEFQSTPGDIVITTYPKSGTTWLSEIVDM VLNDGNVEKCKRDVITSKVPMLELSVPGIRISGVELLKKTPSPRIIKTHLPIDLLPKSFW ENKCKMIYLARNGKDVAVSYYHFDLMNSINPLPGTWEEYLEKFLAGNVAYGSWFDHVKSW WEKREEHPLLYLYYEELKQNPKKEIKKIASFLDKTLDEEALDRIVHHTSFEMMKENPLVN YTHLPTAMMDHSKSPFMRKGIVGDWKNYFTMTQTEQFDAVYKKKMSGTTLEFCTDIQSA >ENSMUSP00000112679.1 pep:known chromosome:GRCm38:5:87513366:87536222:-1 gene:ENSMUSG00000029269.11 transcript:ENSMUST00000117455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1b1 description:sulfotransferase family 1B, member 1 [Source:MGI Symbol;Acc:MGI:2136282] MSASEDVWRKDLKMIHGYPMIYAFALNWERIEEFQSTPGDIVITTYPKSGTTWLSEIVDM VLNDGNVEKCKRDVITSKVPMLELSVPGIRISGVELLKKTPSPRIIKTHLPIDLLPKSFW ENKCKMIYLARNGKDVAVSYYHFDLMNSINPLPGTWEEYLEKFLAGNVAYGSWFDHVKSW WEKREEHPLLYLYYEELKQNPKKEIKKIASFLDKTLDEEALDRIVHHTSFEMMKENPLVN YTHLPTAMMDHSKSPFMRKGIVGDWKNYFTMTQTEQFDAVYKKKMSGTTLEFCTDIQSA >ENSMUSP00000112844.1 pep:known chromosome:GRCm38:5:87514689:87535146:-1 gene:ENSMUSG00000029269.11 transcript:ENSMUST00000120150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1b1 description:sulfotransferase family 1B, member 1 [Source:MGI Symbol;Acc:MGI:2136282] MSASEDVWRKDLKMIHGYPMIYAFALNWERIEEFQSTPGDIVITTYPKSGTTWLSEIVDM VLNDGNVEKCKRDVITSKVPMLELSVPGIRISGVELLKKTPSPRIIKTHLPIDLLPKSFW ENKCKMIYLARNGKDVAVSYYHFDLMNSINPLPGTWEEYLEKFLAGNVAYGSWFDHVKSW WEKREEHPLLYLYYEELKQNPKKEIKKIASFLDKTLDEEALDRIVHHTSFEMMKENPLVN YTHLPTAMMDHSKSPFMRKGIVGDWKNYFTMTQTEQFDAVYKKKMSGTTLEFCTDIQSA >ENSMUSP00000105198.1 pep:known chromosome:GRCm38:2:156547584:156568156:1 gene:ENSMUSG00000027628.10 transcript:ENSMUST00000109570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aar2 description:AAR2 splicing factor homolog [Source:MGI Symbol;Acc:MGI:1915545] MSSMQMDPELAKQLFFEGATVVILNMPKGTEFGIDYNSWEVGPKFRGVKMIPPGIHFLYY SSVDKANPREVGPRMGFFLSLKQRGLTVLRWNAVQEEVDLSPAPEAEVEAMRANLPDLDQ FLGPYPYATLKKWISLTNFISEATMEKLQPESRQICAFSDVLPVLFMKHTKDRVGQNLPL CGTECRSYQEGLARLPEMRPRAGTEIRFSELPTQMFPAGATPAEITRHSMDLSYALETVL SKQFPGNPQDVLGELQFAFVCFLLGNVYEAFEHWKRLLNLLCRSESAMGKYHALYISLIS ILYHQLGEIPADFFVDIVSQDNFLTSTLQVFFSSACSIAVEATLRKKAEKFQAHLTKKFR WDFTSEPEDCAPVVVELPEGIETA >ENSMUSP00000029158.3 pep:known chromosome:GRCm38:2:156547596:156568972:1 gene:ENSMUSG00000027628.10 transcript:ENSMUST00000029158.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aar2 description:AAR2 splicing factor homolog [Source:MGI Symbol;Acc:MGI:1915545] MSSMQMDPELAKQLFFEGATVVILNMPKGTEFGIDYNSWEVGPKFRGVKMIPPGIHFLYY SSVDKANPREVGPRMGFFLSLKQRGLTVLRWNAVQEEVDLSPAPEAEVEAMRANLPDLDQ FLGPYPYATLKKWISLTNFISEATMEKLQPESRQICAFSDVLPVLFMKHTKDRVGQNLPL CGTECRSYQEGLARLPEMRPRAGTEIRFSELPTQMFPAGATPAEITRHSMDLSYALETVL SKQFPGNPQDVLGELQFAFVCFLLGNVYEAFEHWKRLLNLLCRSESAMGKYHALYISLIS ILYHQLGEIPADFFVDIVSQDNFLTSTLQVFFSSACSIAVEATLRKKAEKFQAHLTKKFR WDFTSEPEDCAPVVVELPEGIETA >ENSMUSP00000118090.1 pep:known chromosome:GRCm38:2:156547610:156551065:1 gene:ENSMUSG00000027628.10 transcript:ENSMUST00000150212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aar2 description:AAR2 splicing factor homolog [Source:MGI Symbol;Acc:MGI:1915545] MSSMQMDPELAKQLFFEGATVVILNMPKGTEFGIDYNSWEVGPKFRGVKMIPPGIHFLYY SSVDKANPREVGPRMGFFLSLKQRGLTVLRWNAVQEEVDLSPAPEAEVEAMRANLPDLDQ FLGP >ENSMUSP00000114728.1 pep:known chromosome:GRCm38:2:156547624:156550818:1 gene:ENSMUSG00000027628.10 transcript:ENSMUST00000126250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aar2 description:AAR2 splicing factor homolog [Source:MGI Symbol;Acc:MGI:1915545] MSSMQMDPELAKQLFFEGATVVILNMPKGTEFGIDYNSWEVG >ENSMUSP00000104037.1 pep:known chromosome:GRCm38:11:77089915:77188968:-1 gene:ENSMUSG00000050944.14 transcript:ENSMUST00000108400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab5 description:EF-hand calcium binding domain 5 [Source:MGI Symbol;Acc:MGI:2442440] MLMQVEKKKLLSQADIPTKFDPINYLGEYLMRHNTHYIKDPGVSGYQRVMKEITEELKTH VPDTINNRISKMKEKVKEKREQREYISTVKVKVAGMRKQALEEQFNEWVLNPKGMIPIVV YISSYITDLKSEVFEEFLKHLCHSAEEFREIILTDMRRQMFAELFLQCDSGKVMALDRQR TLALLEAFYDQCSSTTRSLLRNPRQWPFVEFEEIELIEFWGDMDIKKHIYEDFDALLLKM NMLVAEKLAGKLAENKDLPQQQRDQELSSDSTTEPETATQLTSQQRSRRVSLTGQGQGKG PRKTSASKQGASRGSVAEQGSRRSSGVNQTQQRGSVAEQGSRRSSAVEQTQQRGSVAEQG SRRSSTVEQTRQRGSVAEQGSRRSSTVEQTQRRGSVAEQGSRRSSGVNQTQQRGSVAEQG SRRSSAVEQTQQRGSVAEQGSRRSSAMEQEPQTAQDPNSDSLPEQESHRGSITEGSHRGS ISEPGQRRASVTGQRRKSSVDDSGSAGSRRGSGTDQGQHRGSVGQRKGSGERKMSASEYG PHQESITEEPLTASEPGPQIDTIQELDEDSTPQLEDDSALKESKTSELTKIETQEEKPLL LINEEQVPRDSKQPEVPTSSKKERPSGSPKKGRLSGTSKRDSQKDKACEPKPQHVEGKKW SGEFLICDWKIKHVKSEEEEQAKLICDDTRFTDLHATIRNFQTYKGIKGRSAFNGVSLDL LQFVQLLETFVGEDTSFSLSKDLASFFQKNYSETKQEKIKALEQARQNSSRIRRRILLQA IFEKWDNDGSGFLDLNEVDDLLYTYKEGMERESMKKAKLHINFPEPSPGHEVKLSSKQFQ RYIELVVSELRGNEDEVLESVVEFLMGSLERSHVEGLRNCARRKWLHQIQYAAETSGVSL EPVYTETFRVLTQDAEAHGNKKISAHISLLEENVFLPERGHVLLRNVACTLDDAPFVLNK VLYRDMKGISFTVVDEGKPIHVPQVQHHGNIFFWNSFRSKNEYNGSFLALPLQDAYMRIF GVLAVDTLRDPHEINIFLPHEIKFYQGVANAFSTAYHHVHSREHVLHSVMTGIRWLFSVT SGITTITTCFIEPSSEQEDYVLRNMMVTDCLGLAEIHTDPPTITRNACIFRDFLFKCTDT SEVILASSGGETHIAIPLRQRTKEAMGILDVNIGRSRMLLYQEYKDLQKMVKMIQNVSYE ILGEFSGEIEKTMVIEMESAGEVKRAGILFFRTMLQELQECLCLLDSMDFVSLLLYEHKY HVDSILQDITLQEVEANVALVHDVLKGVILFSQREKDSLSDLEEWEKWKFHINKYLVEEI CVLDPTASNVEVNVELVTSYIQAHSRTEVWNFRNIVIELLYHWINICLTLIELNMRQDVS IIPPLPKKSATSIYAISSERSIREKL >ENSMUSP00000118152.1 pep:known chromosome:GRCm38:11:77090185:77151925:-1 gene:ENSMUSG00000050944.14 transcript:ENSMUST00000130901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab5 description:EF-hand calcium binding domain 5 [Source:MGI Symbol;Acc:MGI:2442440] FLKHLCHSAEEFREIILTDMRRQMFAELFLQCDSGKVMALDRQRTLALLEAFYDQCSSTT RSLLRNPRQWPFVEFEEIELIEFWGDMDIKKHIYEDFDALLLKMNMLVAEKLAGKLAENK DLPQQQRDQELSSDSTTEPETATQLTSQQRSRRVSLTGQGQGKGPRKTSASKQGASRGSV AEQGSRRSSGVNQTQQRGSVAEQGSRRSSAVEQTQQRGSVAEQGSRRSSTVEQTRQRGSV AEQGSRRSSTVEQTQRRGSVAEQGSRRSSGVNQTQQRGSVAEQGSRRSSAVEQTQQRGSV AEQGSRRSSAMEQEPQTAQDPNSDSLPEQESHRGSITEGSHRGSISEPGQRRASVTGQRR KSSVDDSGSAGSRRGSGTDQGQHRGSVGQRKGSGERKMSASEYGPHQESITEEPLTASEP GPQIDTIQELDEDSTPQLEDDSALKESKTSELTKIETQEEKPLLLINEEQVPRDSKQPEV PTSSKKERPSGSPKKGRLSGTSKRDSQKDKACEPKPQHVEGKKWSGEFLICDWKIKHVKS EEEEQAKLICDDTRFTDLHATIRNFQTYKGIKGRSAFNGVSLDLLQFVQLLETFVGEDTS FSLSKDLASFFQKNYSETKQEKIKALEQARQNSSRIRRRILLQAIFEKWDNDGSGFLDLN EVDDLLYTYKEGMERESMKKAKLHINFPEPSPGHEVKLSSKQFQRYIELVVSELRGNEDE VLESVVEFLMGSLERSHVEGLRNCARRKWLHQIQYAAETSGVSLEPVYTETFRVLTQDAE AHGNKKISAHISLLEENVFLPERGHVLLRNVACTLDDAPFVLNKVLYRDMKGISFTVVDE GKPIHVPQVQHHGNIFFWNSFRSKNEYNGSFLALPLQDAYMRIFGVLAVDTLRDPHEINI FLPHEIKFYQGVANAFSTAYHHVHSREHVLHSVMTGIRWLFSVTSGITTITTCFIEPSSE QLILGLKSGISGTLSLNSCITG >ENSMUSP00000108260.1 pep:known chromosome:GRCm38:1:120224386:120271082:-1 gene:ENSMUSG00000026389.16 transcript:ENSMUST00000112641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap3 description:STEAP family member 3 [Source:MGI Symbol;Acc:MGI:1915678] MSGEMDKPLISRRLVDSDGSLAEVPKEAPKVGILGSGDFARSLATRLVGSGFSVVVGSRN PKRTAGLFPSLAQVTFQEEAVSSPEVIFVAVFREHYSSLCSLADQLAGKILVDVSNPTEK EHLQHRQSNAEYLASLFPACTVVKAFNVISAWALQAGPRDGNRQVLICSDQPEAKRTISE MARAMGFTPLDMGSLASAREVEAIPLRLLPSWKVPTLLALGLFVCFYTYNFIRDVLQPYI RKDENKFYKMPLSVVNTTLPCVAYVLLSLVYLPGVLAAALQLRRGTKYQRFPDWLDHWLQ HRKQIGLLSFFFAMLHALYSFCLPLRRSHRYDLVNLAVKQVLANKSRLWAEEEVWRMEIY LSLGVLALGMLSLLAVTSLPSIANSLNWKEFSFVQSTLGFVALILSTMHTLTYGWTRAFE ENHYKFYLPPTFTLTLLLPCVIILAKGLFLLPCLNRRLTKIRRGWEKDGAVKFMLPGDHT QGEKTSHV >ENSMUSP00000108258.1 pep:known chromosome:GRCm38:1:120226632:120270423:-1 gene:ENSMUSG00000026389.16 transcript:ENSMUST00000112639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap3 description:STEAP family member 3 [Source:MGI Symbol;Acc:MGI:1915678] MSGEMDKPLISRRLVDSDGSLAEVPKEAPKVGILGSGDFARSLATRLVGSGFSVVVGSRN PKRTAGLFPSLAQVTFQEEAVSSPEVIFVAVFREHYSSLCSLADQLAGKILVDVSNPTEK EHLQHRQSNAEYLASLFPACTVVKAFNVISAWALQAGPRDGNRQVLICSDQPEAKRTISE MARAMGFTPLDMGSLASAREVEAIPLRLLPSWKVPTLLALGLFVCFYTYNFIRDVLQPYI RKDENKFYKMPLSVVNTTLPCVAYVLLSLVYLPGVLAAALQLRRGTKYQRFPDWLDHWLQ HRKQIGLLSFFFAMLHALYSFCLPLRRSHRYDLVNLAVKQVLANKSRLWAEEEVWRMEIY LSLGVLALGMLSLLAVTSLPSIANSLNWKEFSFVQSTLGFVALILSTMHTLTYGWTRAFE ENHYKFYLPPTFTLTLLLPCVIILAKGLFLLPCLNRRLTKIRRGWEKDGAVKFMLPGDHT QGEKTSHV >ENSMUSP00000108259.1 pep:known chromosome:GRCm38:1:120226423:120265284:-1 gene:ENSMUSG00000026389.16 transcript:ENSMUST00000112640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap3 description:STEAP family member 3 [Source:MGI Symbol;Acc:MGI:1915678] MSGEMDKPLISRRLVDSDGSLAEVPKEAPKVGILGSGDFARSLATRLVGSGFSVVVGSRN PKRTAGLFPSLAQVTFQEEAVSSPEVIFVAVFREHYSSLCSLADQLAGKILVDVSNPTEK EHLQHRQSNAEYLASLFPACTVVKAFNVISAWALQAGPRDGNRQVLICSDQPEAKRTISE MARAMGFTPLDMGSLASAREVEAIPLRLLPSWKVPTLLALGLFVCFYTYNFIRDVLQPYI RKDENKFYKMPLSVVNTTLPCVAYVLLSLVYLPGVLAAALQLRRGTKYQRFPDWLDHWLQ HRKQIGLLSFFFAMLHALYSFCLPLRRSHRYDLVNLAVKQVLANKSRLWAEEEVWRMEIY LSLGVLALGMLSLLAVTSLPSIANSLNWKEFSFVQSTLGFVALILSTMHTLTYGWTRAFE ENHYKFYLPPTFTLTLLLPCVIILAKGLFLLPCLNRRLTKIRRGWEKDGAVKFMLPGDHT QGEKTSHV >ENSMUSP00000121046.1 pep:known chromosome:GRCm38:1:120190757:120265255:-1 gene:ENSMUSG00000026389.16 transcript:ENSMUST00000140490.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap3 description:STEAP family member 3 [Source:MGI Symbol;Acc:MGI:1915678] MSGEMDKPLISRRLVDSDGSLAEVPKEAPKVGILGSGDFARSLATRLVGSGFSVVVGSRN PKRTAGLFPSLAQVTFQEEAVSSPEVIFVAVFREHYSSLCSLADQLAGKILVDVSNPTEK EHLQHRQSNAEYLASLFPACTVVKAFNVISAWALQAGPRDGNRQVLICSDQPEAKRTISE MARAMGFTPLDMGSLASAREVEAIPLRLLPSWKVPTLLALGLFVCFYTYNFIRDVLQPYI RKDENKFYKMPLSVVNTTLPCVAYVLLSLVYLPGVLAAALQLRRGTKYQRFPDWLDHWLQ HRKQIGLLSFFFAMLHALYSFCLPLRRSHRYDLVNLAVKQVLANKSRLWAEEEVWRMEIY LSLGVLALGMLSLLAVTSLPSIANSLNWKEFSFVQQVFYLLRILSSPLYSFYTNLSKLS >ENSMUSP00000108262.1 pep:known chromosome:GRCm38:1:120226653:120272705:-1 gene:ENSMUSG00000026389.16 transcript:ENSMUST00000112643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Steap3 description:STEAP family member 3 [Source:MGI Symbol;Acc:MGI:1915678] MAAEAHRQQGSCPTIPSEGCGKSPEKKGSAADSRPGTAMSGEMDKPLISRRLVDSDGSLA EVPKEAPKVGILGSGDFARSLATRLVGSGFSVVVGSRNPKRTAGLFPSLAQVTFQEEAVS SPEVIFVAVFREHYSSLCSLADQLAGKILVDVSNPTEKEHLQHRQSNAEYLASLFPACTV VKAFNVISAWALQAGPRDGNRQVLICSDQPEAKRTISEMARAMGFTPLDMGSLASAREVE AIPLRLLPSWKVPTLLALGLFVCFYTYNFIRDVLQPYIRKDENKFYKMPLSVVNTTLPCV AYVLLSLVYLPGVLAAALQLRRGTKYQRFPDWLDHWLQHRKQIGLLSFFFAMLHALYSFC LPLRRSHRYDLVNLAVKQVLANKSRLWAEEEVWRMEIYLSLGVLALGMLSLLAVTSLPSI ANSLNWKEFSFVQSTLGFVALILSTMHTLTYGWTRAFEENHYKFYLPPTFTLTLLLPCVI ILAKGLFLLPCLNRRLTKIRRGWEKDGAVKFMLPGDHTQGEKTSHV >ENSMUSP00000030326.3 pep:known chromosome:GRCm38:4:144391674:144408464:-1 gene:ENSMUSG00000028591.11 transcript:ENSMUST00000030326.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef12 description:PRAME family member 12 [Source:MGI Symbol;Acc:MGI:1924882] MSLRATPTLLQLAMQSLLRDEALAISALQDLPMELLPPLFKDAITHKRLNILRKMVQVWP FPCLPLGGLMKMKTPYLDILQTVLDGVDALLDQNTHPRNYKLQVLDLRALHKDFWTVWAG DTTTSCAPGVKCRRKIQKRGPKIEAKQNSLKVFIDLYLKPRALDTCLSYVFLWARERKAL LQLGCKKLKINTVAIQNIVKILEMLDLDYVEEVEVCCTWKLSTLAIFAPYLGQMKNLLSI ILSHIHVPASITPEEEKQLVSQFTSQFSNLQYLQDLSLDSIDFLKGQMDQLFRCLEVPLE ILSITDSKISESDLQSLSQCPGIRQLKHLNLSGVILTDISPEPLRVLLERVAATLKTLDL ENCMIMDSQLDVLLPALSQCAQLIMFNYLRNPISVSILERLLHHTSGLNYLSLEMYSTPW EIYGAQGASYHKRLEQLREELSKAMQHLEHNRTVWFSVAPCLP >ENSMUSP00000119614.1 pep:known chromosome:GRCm38:4:144395056:144408417:-1 gene:ENSMUSG00000028591.11 transcript:ENSMUST00000123854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef12 description:PRAME family member 12 [Source:MGI Symbol;Acc:MGI:1924882] MSLRATPTLLQLAMQSLLRDEALAISALQDLPMELLPPLFKDAITHKRLNILRKMVQVWP FPCLPLGGLMKMKTPYLDILQTVLDGVDALLDQNTHPRNYKLQVLDLRALHKDFWTVWAG DTTTSCAPGVKC >ENSMUSP00000139264.1 pep:known chromosome:GRCm38:17:8566399:8566869:-1 gene:ENSMUSG00000099104.1 transcript:ENSMUST00000184183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17087 description:predicted gene 17087 [Source:MGI Symbol;Acc:MGI:4937914] MLPQAIFPSIVGLPRHQGMMVGMGQRDSYVGDEAQSKRGFLTLKYPIEHGIVTNWDNIKK VWHHTFYNELRVAPEEYPVLLTEGPLNPKANREKMTQIMFETFNIPAMYNAIQAVLSLYA SGCTTGILMDFRDGLPTLCPSTRAMPCCVWTWLAGT >ENSMUSP00000104301.1 pep:known chromosome:GRCm38:11:69343273:69369406:-1 gene:ENSMUSG00000018474.17 transcript:ENSMUST00000108661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd3 description:chromodomain helicase DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1344395] MASPLRDEEEEEEEMVVSEEEEEEEEEGDEEEEEVEAADEDDEEEDEEGVLGRGPGHDRS RDRHSPPSCHLFPPPPPPLPPPPPPDKDDIRLLPSALGVKKRKRGPKKQKENKPGKPRKR KKLDSEEEFGSERDEYREKSESGGSEYGTGPGRKRRRKHREKKEKKTKRRKRGEGDGGHK QVEQKSSATLLLTWGLEDVEHVFSEEDYHTLTNYKAFSQFMRPLIAKKNPKIPMSKMMTI LGAKWREFSANNPFKGSAAAVAAAAAAAAAAVAEQVSAAVSSATPIAPSGPPPALPPPPA PEIQPPPIRRAKTKEGKGPGHKRRNKSPRVPDGRKKLRGKKMAPLKIKLGLLGGKRKKAG SCAFQSEEGHEPEAEESDLDSGSVHSASGWPDGPVRAKKLKRGRPGRKKKKVLGCPAVTG EEEVDGYETDHQDYCEVCQQGGEIILCDTCPRAYHLVCLDPELDRAPEGKWSCPHCEKEG VQWEAKEEEEEYEEEGEEGEKEEEDDHMEYCRVCKDGGELLCCDACISSYHIHCLNPPLP DIPNGEWLCPRCTCPVLKGRVQKILHWRWGEPPVAVPAPQQADGNPDVPPPRPLQGRSER EFFVKWVGLSYWHCSWAKELQLEIFHLVMYRNYQRKNDMDEPPPLDYGSGEDDGKSDKRK VKDPHYAEMEEKYYRFGIKPEWMTVHRIINHSMDKKGNYHYLVKWKDLPYDQSTWEEDEM NIPEYDDHKQSYWRHRELIMGEDPAQPRKYKKKKKELQGDGPPSSPTNDPTVKYETQPRF ITATGGTLHMYQLEGLNWLRFSWAQGTDTILADEMGLGKTIQTIVFLYSLYKEGHTKGPF LVSAPLSTIINWEREFQMWAPKFYVVTYTGDKDSRAIIRENEFSFEDNAIKGGKKAFKMK REAQVKFHVLLTSYELITIDQAALGSIRWACLVVDEAHRLKNNQSKFFRVLNGYKIDHKL LLTGTPLQNNLEELFHLLNFLTPERFNNLEGFLEEFADISKEDQIKKLHDLLGPHMLRRL KADVFKNMPAKTELIVRVELSPMQKKYYKYILTRNFEALNSRGGGNQVSLLNIMMDLKKC CNHPYLFPVAAMESPKLPSGAYEGGALIKSSGKLLLLQKMLRKLKEQGHRVLIFSQMTKM LDLLEDFLDYEGYKYERIDGGITGALRQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATA DTVIIFDSDWNPHNDIQAFSRAHRIGQANKVMIYRFVTRASVEERITQVAKRKMMLTHLV VRPGLGSKAGSMSKQELDDILKFGTEELFKDENEGENKEEDSSVIHYDNEAIARLLDRNQ DATEDTDVQNMNEYLSSFKVAQYVVREEDKIEEIEREIIKQEENVDPDYWEKLLRHHYEQ QQEDLARNLGKGKRVRKQVNYNDAAQEDQDNQSEYSVGSEEEDEDFDERPEGRRQSKRQL RNEKDKPLPPLLARVGGNIEVLGFNTRQRKAFLNAVMRWGMPPQDAFTTQWLVRDLRGKT EKEFKAYVSLFMRHLCEPGADGSETFADGVPREGLSRQQVLTRIGVMSLVKKKVQEFEHI NGRWSMPELMPDPSAESKRSSRASSPTKTSPTTPEASTTNSPCTSKPATPAPSEKGDGVR TPLDKDDTENQEEKPEKNSKVGEKMEAEVDSPSPAPSLGERLEHRKILLEDEAPGVPGET EPEPGYRGDREKSASEPTPGERGEEKPLDVQEHRERTEGETGDLGKREDVKADRELRLGP PRDEPRPNGRREEKVEKPRFMFNIADGGFTELHTLWQNEERAAISSGKLNEIWHRRHDYW LLAGIVLHGYARWQDIQNDAQFAIINEPFKTEANKGNFLEMKNKFLARRFKLLEQALVIE EQLRRAAYLNLSQEPAHPAMALHARFAEAECLAESHQHLSKESLAGNKPANAVLHKVLNQ LEELLSDMKADVTRLPATLSRIPPIAARLQMSERSILSRLASKGTEPHPTPAFPPGPYAT PPGYGAAFSAAPVGALAAAGANYSQMPAGSFITATTNGPPVLVKKEKEMVGALVSDGLGL DRKEPRAGEVICIDD >ENSMUSP00000122137.1 pep:known chromosome:GRCm38:11:69345136:69364779:-1 gene:ENSMUSG00000018474.17 transcript:ENSMUST00000128981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd3 description:chromodomain helicase DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1344395] XSALGVKKRKRGPKKQKENKPGKPRKRKKLDSEEEFGSERDEYREKSESGGSEYGTGPGR KRRRKHREKKEKKTKRRKRGEGDGGHKQVEQKSSATLLLTWGLEDVEHVFSEEDYHTLTN YKAFSQFMRPLIAKKNPKIPMSKMMTILGAKWREFSANNPFKGSAAAVAAAAAAAAAAVA EQVSAAVSSATPIAPSGPPPALPPPPAPEIQPPPIRRAKTKEGKGPGHKRRNKSPRVPDG RKKLRGKKMAPLKIKLGLLGGKRKKAGSCAFQSEEGHEPEAEESDLDSGSVHSASGWPDG PVRAKKLKRGRPGRKKKKVLGCPAVTGEEEVDGYETDHQDYCEVCQQGGEIILCDTCPRA YHLVCLDPELDRAPEGKWSCPHCEKEGVQWEAKEEEEEYEEEGEEGEKEEEDDHMEYCRV CKDGGELLCCDACISSYHIHCLNPPLPDIPNGEWLCPRCTCPVLKGRVQKILHWRWGEPP VAVPAPQQADGNPDVPPPRPLQGRSEREFFVKWVGLSYWHCSWAKELQLEIFHLVMYRNY QRKNDMDEPPPLDYGSGEDDGKSDKRKVKDPHYAEMEEKYYRFGIKPEWMTVHRIINHSM DKKGNYHYLVKWKDLPYDQSTWEEDEMNIPEYDDHKQSYWRHRELIMGEDPAQPRKYKKK KKELQGDGPPSSPTNDPTVKYETQPRFITATGGTLHMYQLEGLNWLRFSWAQGTDTILAD EMGLGKTIQTIVFLYSLYKEGHTKGPFLVSAPLSTIINWEREFQMWAPKFYVVTYTGDKD SRAIIRENEFSFEDNAIKGGKKAFKMKREAQVKFHVLLTSYELITIDQAALGSIRWACLV VDEAHRLKNNQSKFFRVLNGYKIDHKLLLTGTPLQNNLEELFHLLNFLTPERFNNLEGFL EEFADISKEDQIKKLHDLLGPHMLRRLKADVFKNMPAKTELIVRVELSPMQKKYYKYILT RNFEALNSRGGGNQVSLLNIMMDLKKCCNHPYLFPVAAMESPKLPSGAYEGGALIKSSGK LLLLQKMLRKLKEQGHRVLIFSQMTKMLDLLEDFLDYEGYKYERIDGGITGALRQEAIDR FNAPGAQQFCFLLSTRAGGLGINLATADTVIIFDSDWNPHNDIQAFSRAHRIGQANKVMI YRFVTRASVEERITQVAKRKMMLTHLVVRPGLGSKAGSMSKQELDDILKFGTEELFKDEN EGENKEEDSSVIHYDNEAIARLLDRNQDATEDTDVQNMNEYLSSFKVAQYVVREEDKIEE IEREIIKQEENVDPDYWEKLLRHHYEQQQEDLARNLGKGKRVRKQVNYNDAAQEDQDNQS EYSVGSEEEDEDFDERPEGRRQSKRQLRNEKDKPLPPLLARVGGNIEVLGFNTRQRKAFL NAVMRWGMPPQDAFTTQWLVRDLRGKTEKEFKAYVSLFMRHLCEPGADGSETFADGVPRE GLSRQQVLTRIGVMSLVKKKVQEFEHINGRWSMPELMPDPSAESKRSSRASSPTKTSPTT PEASTTNSPCTSKPATPAPSEKGDGVRTPLDKDDTENQEEKPEKNSKVGEKMEAEVDSPS PAPSLGERLEHRKILLEDEAPGVPGETEPEPGYRGDREKSEDVKADRELRLGPPRDEPRP NGRREEKVEKPRFMFNIADGGFTELHTLWQNEERAAISSGKLNEIWHRRHDYWLLAGIVL HGYARWQDIQNDAQFAIINEPFKTEANKGNFLEMKNKFLARRFKLLEQALVIEEQLRRAA YLNLSQEPAHPAMALHARFAEAECLAESHQHLSKESLAGNKPANAVLHKVLNQLEELLSD MKADVTRLPATLSRIPPIAARLQMSERSILSRLASKGTEPHPTPAFPPGPYATPPGYGAA FSAAPVGALAAAGANYSQMPAGSFIT >ENSMUSP00000118172.1 pep:known chromosome:GRCm38:11:69354463:69356610:-1 gene:ENSMUSG00000018474.17 transcript:ENSMUST00000151436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd3 description:chromodomain helicase DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1344395] XTGTPLQNNLEELFHLLNFLTPERFNNLEGFLEEFADISKEDQIKKLHDLLGPHMLRRLK ADVFKNMPAKTELIVRVELSPMQKLLFYSYLLYIPTLVFRKYYKYILTRNFEALNSRGGG NQVSLLNIMMDLKKCCNHPYLFPVAAMESPKLPSGAYEGGALIKSSGKLLLLQKMLRKLK EQGHRVLIFSQMTKMLDL >ENSMUSP00000121192.1 pep:known chromosome:GRCm38:11:69360707:69361721:-1 gene:ENSMUSG00000018474.17 transcript:ENSMUST00000154046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd3 description:chromodomain helicase DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1344395] LKIKLGLLGGKRKKAGSSEEGHEPEAEESDLDSGSVHSASGWPDGPVRAKKLKRGRPGRK KKKVLGCPAVTGEEEVDGYETDHQDYCEVCQQGGEIILCDTCPRAYHLVCLDPELDRAPE GKWSCPHCEKEGVQWEAKEEEEEYEEEGEEG >ENSMUSP00000114520.1 pep:known chromosome:GRCm38:11:69360724:69361721:-1 gene:ENSMUSG00000018474.17 transcript:ENSMUST00000144701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd3 description:chromodomain helicase DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1344395] LKIKLGLLGGKRKKAGSCAFQSEEGHEPEAEESDLDSGSVHSASGWPDGPVRAKKLKRGR PGRKKKKVTGEEEVDGYETDHQDYCEVCQQGGEIILCDTCPRAYHLVCLDPELDRAPEGK WSCPHCEKEGVQWEAKEEEEEYE >ENSMUSP00000090649.6 pep:known chromosome:GRCm38:11:69344351:69369391:-1 gene:ENSMUSG00000018474.17 transcript:ENSMUST00000092971.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd3 description:chromodomain helicase DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1344395] MASPLRDEEEEEEEMVVSEEEEEEEEEGDEEEEEVEAADEDDEEEDEEGVLGRGPGHDRS RDRHSPPSCHLFPPPPPPLPPPPPPDKDDIRLLPSALGVKKRKRGPKKQKENKPGKPRKR KKLDSEEEFGSERDEYREKSESGGSEYGTGPGRKRRRKHREKKEKKTKRRKRGEGDGGHK QVEQKSSATLLLTWGLEDVEHVFSEEDYHTLTNYKAFSQFMRPLIAKKNPKIPMSKMMTI LGAKWREFSANNPFKGSAAAVAAAAAAAAAAVAEQVSAAVSSATPIAPSGPPPALPPPPA PEIQPPPIRRAKTKEGKGPGHKRRNKSPRVPDGRKKLRGKKMAPLKIKLGLLGGKRKKAG SCAFQSEEGHEPEAEESDLDSGSVHSASGWPDGPVRAKKLKRGRPGRKKKKVLGCPAVTG EEEVDGYETDHQDYCEVCQQGGEIILCDTCPRAYHLVCLDPELDRAPEGKWSCPHCEKEG VQWEAKEEEEEYEEEGEEGEKEEEDDHMEYCRVCKDGGELLCCDACISSYHIHCLNPPLP DIPNGEWLCPRCTCPVLKGRVQKILHWRWGEPPVAVPAPQQADGNPDVPPPRPLQGRSER EFFVKWVGLSYWHCSWAKELQLEIFHLVMYRNYQRKNDMDEPPPLDYGSGEDDGKSDKRK VKDPHYAEMEEKYYRFGIKPEWMTVHRIINHSMDKKGNYHYLVKWKDLPYDQSTWEEDEM NIPEYDDHKQSYWRHRELIMGEDPAQPRKYKKKKKELQGDGPPSSPTNDPTVKYETQPRF ITATGGTLHMYQLEGLNWLRFSWAQGTDTILADEMGLGKTIQTIVFLYSLYKEGHTKGPF LVSAPLSTIINWEREFQMWAPKFYVVTYTGDKDSRAIIRENEFSFEDNAIKGGKKAFKMK REAQVKFHVLLTSYELITIDQAALGSIRWACLVVDEAHRLKNNQSKFFRVLNGYKIDHKL LLTGTPLQNNLEELFHLLNFLTPERFNNLEGFLEEFADISKEDQIKKLHDLLGPHMLRRL KADVFKNMPAKTELIVRVELSPMQKKYYKYILTRNFEALNSRGGGNQVSLLNIMMDLKKC CNHPYLFPVAAMESPKLPSGAYEGGALIKSSGKLLLLQKMLRKLKEQGHRVLIFSQMTKM LDLLEDFLDYEGYKYERIDGGITGALRQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATA DTVIIFDSDWNPHNDIQAFSRAHRIGQANKVMIYRFVTRASVEERITQVAKRKMMLTHLV VRPGLGSKAGSMSKQELDDILKFGTEELFKDENEGENKEEDSSVIHYDNEAIARLLDRNQ DATEDTDVQNMNEYLSSFKVAQYVVREEDKIEEIEREIIKQEENVDPDYWEKLLRHHYEQ QQEDLARNLGKGKRVRKQVNYNDAAQEDQDNQSEYSVGSEEEDEDFDERPEGRRQSKRQL RNEKDKPLPPLLARVGGNIEVLGFNTRQRKAFLNAVMRWGMPPQDAFTTQWLVRDLRGKT EKEFKAYVSLFMRHLCEPGADGSETFADGVPREGLSRQQVLTRIGVMSLVKKKVQEFEHI NGRWSMPELMPDPSAESKRSSRASSPTKTSPTTPEASTTNSPCTSKPATPAPSEKGDGVR TPLDKDDTENQEEKPEKNSKVGEKMEAEVDSPSPAPSLGERLEHRKILLEDEAPGVPGET EPEPGYRGDREKSEDVKADRELRLGPPRDEPRPNGRREEKVEKPRFMFNIADGGFTELHT LWQNEERAAISSGKLNEIWHRRHDYWLLAGIVLHGYARWQDIQNDAQFAIINEPFKTEAN KGNFLEMKNKFLARRFKLLEQALVIEEQLRRAAYLNLSQEPAHPAMALHARFAEAECLAE SHQHLSKESLAGNKPANAVLHKVLNQLEELLSDMKADVTRLPATLSRIPPIAARLQMSER SILSRLASKGTEPHPTPAFPPGPYATPPGYGAAFSAAPVGALAAAGANYSQMPAGSFITA TTNGPPVLVKKEKEMVGALVSDGLGLDRKEPRAGEVICIDD >ENSMUSP00000039632.8 pep:known chromosome:GRCm38:16:33185071:33243311:1 gene:ENSMUSG00000022807.8 transcript:ENSMUST00000039733.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl11 description:oxysterol binding protein-like 11 [Source:MGI Symbol;Acc:MGI:2146553] MNFGVKMQGGEPASVMKVSESEGKLEGLATAVTPNKNSGNSSCGGAISSSSSNSSRGGSA KGWQYSDHMESVNGYLMKYTNLVTGWQYRFFVLNNEAGLLEYFVNEQSRNQKPRGTLQLA GAVISPSDEDSHTFTVNAASGEQYKLRATDAKERQHWVSRLQICTQHHTEAIGKNNPPLK SRSFSLASSGNSPISQRRPSQNAMSFFNVGHSKLQSVNKRAHLHPDHLVEVREMMSHAEG QQRDLIRRIECLPASGLLSSLDQDLLMLKATSMATMNCLNDCFHILQLQHASHQKGALPS GTTIEWLEPKIPLSNHYKNGAEQPFATEPNKPMGAPEAQCVAESGVLAREPEDINADDEV EDTCDNKEDDLGAVEEQRSVILHLLSQLKLGMDLTRVVLPTFILEKRSLLEMYADFMSHP DLFIGITNGATPEDRMIRFVEYYLTSFHEGRKGAIAKKPYNPIIGETFHCSWRMPKSEVA SGVSSSSSTPAITDHAPLPEEAPTQSVSDCYTVRFVAEQVSHHPPVSGFYAECAERKMCV NAHVWTKSKFLGMSIGVTMVGEGVLCLLEHGEEYTFSLPCAYARSILTVPWVELGGKVSV NCAKTGYSASITFHTKPFYGGKLHRVTAEVKYNLTNTVVCRVQGEWNSVLEFTYSNGETK FVDLAKLAVTKKRVRPLEKQDPFESRRLWKNVTDSLRESEIDKATEHKRSLEERQRTEER LRTETGTPWKTKYFIKEGDGWVYHKPLWKGIPSQPAE >ENSMUSP00000016463.3 pep:known chromosome:GRCm38:X:36795651:36798807:1 gene:ENSMUSG00000016319.3 transcript:ENSMUST00000016463.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a5 description:solute carrier family 25 (mitochondrial carrier, adenine nucleotide translocator), member 5 [Source:MGI Symbol;Acc:MGI:1353496] MTDAAVSFAKDFLAGGVAAAISKTAVAPIERVKLLLQVQHASKQITADKQYKGIIDCVVR IPKEQGVLSFWRGNLANVIRYFPTQALNFAFKDKYKQIFLGGVDKRTQFWRYFAGNLASG GAAGATSLCFVYPLDFARTRLAADVGKAGAEREFKGLGDCLVKIYKSDGIKGLYQGFNVS VQGIIIYRAAYFGIYDTAKGMLPDPKNTHIFISWMIAQSVTAVAGLTSYPFDTVRRRMMM QSGRKGTDIMYTGTLDCWRKIARDEGSKAFFKGAWSNVLRGMGGAFVLVLYDEIKKYT >ENSMUSP00000047123.8 pep:known chromosome:GRCm38:6:125131909:125144753:1 gene:ENSMUSG00000038279.10 transcript:ENSMUST00000044200.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop2 description:NOP2 nucleolar protein [Source:MGI Symbol;Acc:MGI:107891] MGRKLDPTKKEKRGPGRKARKQKGAETELVRFLPAAGDENSKRLSSRARKRAAKRRAGSV DVPKPNKSPGIKTLPGELSKGAVQARGKKRPAPIQNSDGDEEEDSGEDDVVTQGDLWGSE DSDEDMVDDYGAASNSEDEEEKLLPIERAALKQKAQDATAGVLWNEEDTDEDEDDDGVSP ESHPRKDDKAEGDLQINVEDEEAFVLPPAGETDQDGQAPDLQRVHKRIQDIVGVLRDFGA QREEGRSRAEYLSRLQKDLATYYSYGDFLLSKLMELFPLSELIEFLEANEVPRPITLRTN TLKTRRRDLAQALINRGVNLDPLGKWSKSGLVVYDSSVPIGATPEYLAGHYMLQGASSML PVMALAPQEHERILDMCCAPGGKTSYIAQLMKNTGVILANDANADRLKSVVGNLHRLGVT NTIISHYDGRQFPKVVGGFDRVLLDAPCSGTGVISKDPAVKTNKDEKDIQRCAHLQKELL LSAIDSVNAASKTGGYLVYCTCSITVEENEWVVDYALKKRNVRLVPTGLDFGQEGFTRFQ ARRFHPTLRSTRRFYPHTHNMDGFFIAKFKKFSNSIPQPHAGNSAAATPTEPDLKDQVTP KSENGSQPTKKARGAVKAKQQLLRQPHSKKPFQKLNGIAKGPGLSTEPSVPDAQVSTRPS QSAGNADVNSKRKRSEKLKQRGPKWKPSKEAAVPKPSAPSRVEDSRTPPVPTPSEIRAAP RPKDCAPSLGEAKKKQKGKQQLAQQPANGAAPLKEDAVSKGPSAPFVSPHSSTRPPPAKR RKSMTKGNSQPLLS >ENSMUSP00000145333.1 pep:known chromosome:GRCm38:6:125132033:125134704:1 gene:ENSMUSG00000038279.10 transcript:ENSMUST00000204185.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop2 description:NOP2 nucleolar protein [Source:MGI Symbol;Acc:MGI:107891] MGRKLDPTKKEKRGPGRKARKQKGAETELVRFLPAAGDENSKRLSSRARKRAAKRRAGSV DVPKPNKSPGIKTLPGELSKGAVQARGKKRPAPIQNSDGDEEEDSGEDDVVTQGDLWGSE DSDEDMVDDYGAA >ENSMUSP00000136603.1 pep:known chromosome:GRCm38:4:88773834:88774801:1 gene:ENSMUSG00000094271.1 transcript:ENSMUST00000177806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13290 description:predicted gene 13290 [Source:MGI Symbol;Acc:MGI:3701990] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLKQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000108759.1 pep:known chromosome:GRCm38:1:88134809:88218997:1 gene:ENSMUSG00000054545.17 transcript:ENSMUST00000113134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a6a description:UDP glucuronosyltransferase 1 family, polypeptide A6A [Source:MGI Symbol;Acc:MGI:2137698] MACLLPAAQTLPAGFLFLVLWASVLGDKLLVVPQDGSHWLSMKEIVEHLSERGHDIMVLV PEVNLLLGESKYYRRKIFSVTYSLEELQTRFRTFGNNHFLPGASLMGPLREYRNNMIVVD MFFSNCQSLLKDSATLSFLRENKFDALFTDPAMPCGVILAEYLNLPSVYLFRGFPCSLEH MLGQSPSPVSYVPRFYTKFSDHMTFPQRLANFIVNILENYLYYCLYSKYEIIASDLLKRD VSLPSLHQNSLWLLRYDFVFEYPRPVMPNMIFLGGINCKKKGKLTQEFEAYVNASGEHGI VVFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLG HPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADD LENALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHDLT WYQYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000115642.1 pep:known chromosome:GRCm38:1:88134882:88216357:1 gene:ENSMUSG00000054545.17 transcript:ENSMUST00000140092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a6a description:UDP glucuronosyltransferase 1 family, polypeptide A6A [Source:MGI Symbol;Acc:MGI:2137698] MPNMIFLGGINCKKKGKLTQEFEAYVNASGEHGIVVFSLGSMVSEIPEKKAMEIAEALGR IPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLGHPKTRAFITHSGSHGIYEGICNGVPM VMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADDLENALKTVINNK >ENSMUSP00000014263.4 pep:known chromosome:GRCm38:1:88138378:88218997:1 gene:ENSMUSG00000054545.17 transcript:ENSMUST00000014263.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a6a description:UDP glucuronosyltransferase 1 family, polypeptide A6A [Source:MGI Symbol;Acc:MGI:2137698] MACLLPAAQTLPAGFLFLVLWASVLGDKLLVVPQDGSHWLSMKEIVEHLSERGHDIMVLV PEVNLLLGESKYYRRKIFSVTYSLEELQTRFRTFGNNHFLPGASLMGPLREYRNNMIVVD MFFSNCQSLLKDSATLSFLRENKFDALFTDPAMPCGVILAEYLNLPSVYLFRGFPCSLEH MLGQSPSPVSYVPRFYTKFSDHMTFPQRLANFIVNILENYLYYCLYSKYEIIASDLLKRD VSLPSLHQNSLWLLRYDFVFEYPRPVMPNMIFLGGINCKKKGKLTQEFEAYVNASGEHGI VVFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLG HPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADD LENALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHDLT WYQYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000032157.7 pep:known chromosome:GRCm38:6:100704734:100810913:1 gene:ENSMUSG00000030074.9 transcript:ENSMUST00000032157.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gxylt2 description:glucoside xylosyltransferase 2 [Source:MGI Symbol;Acc:MGI:2682940] MKLRSKAAALLLLALAVLLLALLSLRARRDPEPPGFPARPEAAPQRRHAPVPTLPPEPRA FPGAAGRRSPRRQPPRLRPRAGRPRAASREKLARRPGETRSLHSVPPELWIHLAVVACGN RLEETLVMLKSAVLFSHRKMRFHIFTEDALKPEFDKQLRQWPDSYTKKFEHRLYPITFSV GNPQEWKKLFKPCAAQRLFLPAILKDVDSLLYVDTDVLFLRPVDDIWKLLRQFNSTQLAA MAPEHEIPKIGWYSRFARHPFYGSAGVNSGVMLMNLTRIRNTQFKNSLIPAGLAWEEMLL PLYQKYKSAITWGDQDLLNIIFYYNPECLYVFPCQWNYRPDHCMYGSNCKEAEREGVSVL HGNRGVYHDDKQPTFRALYEAIRDFPFQDNLFQSMYYPLQLKFLETVHTLCGRIPQVFLK QIEKTMRRAYEKHVIIHMGPNPMS >ENSMUSP00000143266.1 pep:known chromosome:GRCm38:3:35959312:36000609:-1 gene:ENSMUSG00000027709.9 transcript:ENSMUST00000199113.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mccc1 description:methylcrotonoyl-Coenzyme A carboxylase 1 (alpha) [Source:MGI Symbol;Acc:MGI:1919289] MAAAALLAAVDRNQLRRVPILLLQPREWAWKLRTMKYGTTPGGSITKVLIANRGEIACRV IRTAKKMGVQSVAVYSEADRNSMHVDMADEAYSIGPAPSQQSYLAMEKIIQVAKSSAAQA IHPGYGFLSENMEFAELCKQEGIIFIGPPSSAIRDMGIKSTSKSIMAAAGVPVVEGYHGK DQSDQCLREHAGKIGYPVMIKAVRGGGGKGMRIVRSEREFQEQLESARREAKKSFNDDAM LIEKFVDTPRSTAGM >ENSMUSP00000029259.3 pep:known chromosome:GRCm38:3:35959833:36000678:-1 gene:ENSMUSG00000027709.9 transcript:ENSMUST00000029259.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mccc1 description:methylcrotonoyl-Coenzyme A carboxylase 1 (alpha) [Source:MGI Symbol;Acc:MGI:1919289] MAAAALLAAVDRNQLRRVPILLLQPREWAWKLRTMKYGTTPGGSITKVLIANRGEIACRV IRTAKKMGVQSVAVYSEADRNSMHVDMADEAYSIGPAPSQQSYLAMEKIIQVAKSSAAQA IHPGYGFLSENMEFAELCKQEGIIFIGPPSSAIRDMGIKSTSKSIMAAAGVPVVEGYHGK DQSDQCLREHAGKIGYPVMIKAVRGGGGKGMRIVRSEREFQEQLESARREAKKSFNDDAM LIEKFVDTPRHVEVQVFGDHHGNAVYLFERDCSVQRRHQKIIEEAPAPGINPEVRRKLGE AAVRAAKAVKYVGAGTVEFIMDSRHNFYFMEMNTRLQVEHPVTEMITGTDLVEWQLRIAA GEKIPLSQEEIPLQGHAFEARIYAEDPDNNFMPGAGPLVHLSTPSADMSTRIETGVRQGD EVSVHYDPMIAKLVVWASDRQSALSKLRYCLHQYNIVGLRSNVDFLLRLSGHPEFEAGNV HTDFIPQHHKDLLPSHSTIAKESVCQAALGLILKEKEMTSAFKLHTQDQFSPFSFSSGRR LNISYTRNMTLRSGKSDIVIAVTYNRDGSYDMQIDNKSFRVLGDLSSEDGCTYLKSSING VARKSKFILLDNTVHLFSMEGSIEVGIPVPKYLSPVSAEGAQGGTIAPMTGTIEKVFVKA GDRVKAGDSLMVMIAMKMEHTIKAPKDGRIKKVFFSEGAQANRHAPLVEFEEEESDK >ENSMUSP00000143039.1 pep:known chromosome:GRCm38:3:35975796:35989932:-1 gene:ENSMUSG00000027709.9 transcript:ENSMUST00000200163.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mccc1 description:methylcrotonoyl-Coenzyme A carboxylase 1 (alpha) [Source:MGI Symbol;Acc:MGI:1919289] QEQLESARREAKKSFNDDAMLIEKFVDTPRHVEVQVFGDHHGNAVYLFERDCSVQRRHQK IIEEAPAPGINPEVRRKLGEAAVRAAKAVKYVGAGTVEFIMDSRHNFYFMEMNTRLQVEH PVTEMITGTDLVEWQLRIAAGEKIPLSQEEIPLQGHAFEARIYAEDPDNNFMPGAGPLVH LSTPSADMSTRIETGVRQDWI >ENSMUSP00000143396.1 pep:known chromosome:GRCm38:3:35984986:36000641:-1 gene:ENSMUSG00000027709.9 transcript:ENSMUST00000200162.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mccc1 description:methylcrotonoyl-Coenzyme A carboxylase 1 (alpha) [Source:MGI Symbol;Acc:MGI:1919289] MAAAALLAAVDRNQLRRVPILLLQPRQTRHIPLALLHLSRATSQWRKSFKWPRALQHRPS IQATVFFRKTWSLLNFVSKRESFL >ENSMUSP00000119141.1 pep:known chromosome:GRCm38:17:56560965:56564516:-1 gene:ENSMUSG00000042625.16 transcript:ENSMUST00000142752.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Safb2 description:scaffold attachment factor B2 [Source:MGI Symbol;Acc:MGI:2146808] XPPPRVSREWAEHSSQLEEQQVPVWHSAVDTNMTGHEHIRWRGAERGLAGGPGHGHVAAG RGGMAG >ENSMUSP00000120845.1 pep:known chromosome:GRCm38:17:56560973:56564567:-1 gene:ENSMUSG00000042625.16 transcript:ENSMUST00000124111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Safb2 description:scaffold attachment factor B2 [Source:MGI Symbol;Acc:MGI:2146808] XWHGYSSDKKLNEGQGLPPPPRVSREWAEHSSQLEEQQVPVWHSAVDTNMTGHEHIRWRG AERGLAGGPGHGHVAAGRGGMAG >ENSMUSP00000123229.1 pep:known chromosome:GRCm38:17:56562939:56567015:-1 gene:ENSMUSG00000042625.16 transcript:ENSMUST00000142940.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Safb2 description:scaffold attachment factor B2 [Source:MGI Symbol;Acc:MGI:2146808] SSKSQDRKSEGREKRDILSFDKIKEQRERERQRQREREIRETERRREREQREREQRLDAF QERREKARLQRERMQLQCQRQRLERERLERERLERERMRVERERRKEQQRIMREREELRR QQEQLRAEQERRALRRPYDLDARRDDGYWPEGKRAALEDRYRDFPRPDHRFHDFDHRDRG HYQEHVIDRRDGSRTRVEERDGQYYPDDQHSHGRLLEHHAWDSGDGWHGYSSDKKLNEGQ GLPPPPRC >ENSMUSP00000123673.1 pep:known chromosome:GRCm38:17:56562939:56584512:-1 gene:ENSMUSG00000042625.16 transcript:ENSMUST00000144255.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Safb2 description:scaffold attachment factor B2 [Source:MGI Symbol;Acc:MGI:2146808] MAEPGTGSGDPAFGPGASESGTRRLSDLRVIDLRAELKKRNLDTGGNKSVLMERLRKAFK EEGQEPEEVGISWGAVSKRAVKRNTKGSKMEEEGSEDNGLEEDSRYGQDGVVILQSSQDR DTMDTGVPDGMEAEDLSVPCLGKADTVNQILHAFDDSKEYVAAQLGQLPAQLLKHAVGG >ENSMUSP00000074953.5 pep:known chromosome:GRCm38:17:56562939:56584585:-1 gene:ENSMUSG00000042625.16 transcript:ENSMUST00000075510.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Safb2 description:scaffold attachment factor B2 [Source:MGI Symbol;Acc:MGI:2146808] MAEPGTGSGDPAFGPGASESGTRRLSDLRVIDLRAELKKRNLDTGGNKSVLMERLRKAFK EEGQEPEEVGISWGAVSKRAVKRNTKGSKMEEEGSEDNGLEEDSRYGQDGVVILQSSQDR DTMDTGVPDGMEAEDLSVPCLGKADTVNQILHAFDDSKEYVAAQLGQLPAQLLKHAVDEE VFKNTLEASVSDLKVTLADEEAPMEPENEKILDILGETCKSEPVKEEGSELEQPFAQATS SVGPDRKLAEEEDLFESCGHPEEEEEEEEEDQEEEQEEEGDLALASSSKSESPSTRCQWS EADAPLAVVKRELADAPGGGGGTRHRRKRKRRRKHQAQAEALGTGGGAGMNCEPVGLEEP VEQSSTAAQLPEATSQELVRAPTAALSPEPQDSKEDVKKFAFDACNDVPAPPKESSASEG ADQKMSSVKEEQDIKPVIKDEKGRASCSSGRNLWVSGLSSSTRAADLKSLFSKHGKVIGA KVVTNARSPGARCYGFVTMSTSDEATKCISHLHRTELHGRMISVEKAKNEPSEKKSSDRR ACDQKEKVPGPDRPHPVKIKTEKTVIKKEEKLERKEEKGPEDIKKEKDQDELTPGAAGHS RVTKSGSRGMERTVVMDKSKGEPVISVKATSRSKDRSSKSQDRKSEGREKRDILSFDKIK EQRERERQRQREREIRETERRREREQREREQRLDAFQERREKARLQRERMQLQCQRQRLE RERLERERLERERMRVERERRKEQQRIMREREELRRQQEQLRAEQERRALRRPYDLDARR DDGYWPEGKRAALEDRYRDFPRPDHRFHDFDHRDRGHYQEHVIDRRDGSRTRVEERDGQY YPDDQHSHGRLLEHHAWDSGDGWHGYSSDKKLNEGQGLPPPPRVSREWAEHSSQLEEQQV PVWHSAVDTNMTGHEHIRWRGAERGLAGGPGHGHVAAGRGGMAGQGSFAHGGHSQGYIVP SGRLEGGGMASQDQGGRVPNPHPHPHFTRRY >ENSMUSP00000117696.1 pep:known chromosome:GRCm38:17:56563305:56567018:-1 gene:ENSMUSG00000042625.16 transcript:ENSMUST00000154991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Safb2 description:scaffold attachment factor B2 [Source:MGI Symbol;Acc:MGI:2146808] QSSKSQDRKSEGREKRDILSFDKIKEQRERERQRQREREIRETERRREREQREREQRLDA FQERREKARLQRERMQLQCQRQRLERERLERERLERERMRVERERRKEQQRIMREREELR RQQEQLRAEQERRALRRPYDLDARRDDGYWPEGKRAALEDRYRDFPRPDHRFHDFDHRDR GHYQEHVIDRRDGSRTRVEERDGQYYPDDQHSHGRLLEHHAWDSGDGWHGYSSDKKLNEG QGLPPPPRC >ENSMUSP00000120750.1 pep:known chromosome:GRCm38:17:56565997:56571438:-1 gene:ENSMUSG00000042625.16 transcript:ENSMUST00000131056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Safb2 description:scaffold attachment factor B2 [Source:MGI Symbol;Acc:MGI:2146808] RTELHGRMISVEKAKNEPSEKKSSDRRACDQKEKVPGPDRPHPVKIKTEKTVIKKEEKLE RKEEKGPEDIKKEKDQDELTPGAAGHSRVTKSGSRGMERTVVMDKSKGEPVISVKATSRS KDRQSSKSQDRKSEGREKRDILSFDKIKEQRERERQRQREREIRETERRREREQREREQR LDAFQERREKARLQRERMQLQCQRQRLERERLERERLERERMRVERERRKEQQRIMRERE ELRRQQEQLRAEQERRALRRPYDLDARRDDGYWPEGKRAALEDRYRDFPRPDHRF >ENSMUSP00000119324.1 pep:known chromosome:GRCm38:17:56568991:56584524:-1 gene:ENSMUSG00000042625.16 transcript:ENSMUST00000133604.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Safb2 description:scaffold attachment factor B2 [Source:MGI Symbol;Acc:MGI:2146808] MAEPGTGSGDPAFGPGASESGTRRLSDLRVIDLRAELKKRNLDTGGNKSVLMERLRKAFK EEGQEPEEVGISWGAVSKRAVKRNTKGSKMEEEGSEDNGLEEDSRYGQDGVVILQSSQDR DTMDTGVPDGMEAEDLSVPCLGKADTVNQILHAFDDSKEYVAAQLGQLPAQLLKHAVGG >ENSMUSP00000116363.1 pep:known chromosome:GRCm38:17:56571268:56584562:-1 gene:ENSMUSG00000042625.16 transcript:ENSMUST00000155983.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Safb2 description:scaffold attachment factor B2 [Source:MGI Symbol;Acc:MGI:2146808] MAEPGTGSGDPAFGPGASESGTRRLSDLRVIDLRAELKKRNLDTGGNKSVLMERLRKAFK EEGQEPEEVGISWGAVSKRAVKRNTKGSKMEEEGSEDNGLEEDSRYGQDGVVILQSSQDR DTMDTGVPDGMEAEDLSVPCLGKADTVNQILHAFDDSKEYVAAQLGQLPAQLLKHAVDEE VFKNTLEASVSDLKVTLADEEAPMEPALLRKNKI >ENSMUSP00000068770.5 pep:known chromosome:GRCm38:6:73468573:73469667:-1 gene:ENSMUSG00000056197.6 transcript:ENSMUST00000070163.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931417E11Rik description:RIKEN cDNA 4931417E11 gene [Source:MGI Symbol;Acc:MGI:1913990] MACFGLYCGKTLLFKNSSTEIYGECGACPRGQRTNDQKYCQPCTDPPELYDWLYLGFMAM LPLVSHLLCIQWNAKNSNSRGVFFQQVSALLECSMAAVVTLLVSNPVGTLVIHSCRVLML SDWYTMLYNPSPDYITTVHCTQEAVYPLYTIVFVYYAFCLVLMLLLRPLLVKKIQWHLYV SNQLESMYAALYFFPGLTVLQAVAGGLLYYAFPYIVLVASLANLAVYLVFAKVESYSDLI KKDRLLVLFSQWFLHAYGLMALSRGQQLEQDLLLLTLVPAPTLFYFFTIKFTKPSRIIIE GTKEH >ENSMUSP00000004683.6 pep:known chromosome:GRCm38:8:3500457:3515232:1 gene:ENSMUSG00000004567.16 transcript:ENSMUST00000004683.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln1 description:mucolipin 1 [Source:MGI Symbol;Acc:MGI:1890498] MATPAGRRASETERLLTPNPGYGTQVGTSPAPTTPTEEEDLRRRLKYFFMSPCDKFRAKG RKPCKLMLQVVKILVVTVQLILFGLSNQLVVTFREENTIAFRHLFLLGYSDGSDDTFAAY TQEQLYQAIFYAVDQYLILPEISLGRYAYVRGGGGPWANGSALALCQRYYHRGHVDPAND TFDIDPRVVTDCIQVDPPDRPPDIPSEDLDFLDGSASYKNLTLKFHKLINVTIHFQLKTI NLQSLINNEIPDCYTFSILITFDNKAHSGRIPIRLETKTHIQECKHPSVSRHGDNSFRLL FDVVVILTCSLSFLLCARSLLRGFLLQNEFVVFMWRRRGREISLWERLEFVNGWYILLVT SDVLTISGTVMKIGIEAKNLASYDVCSILLGTSTLLVWVGVIRYLTFFHKYNILIATLRV ALPSVMRFCCCVAVIYLGYCFCGWIVLGPYHVKFRSLSMVSECLFSLINGDDMFVTFAAM QAQQGHSSLVWLFSQLYLYSFISLFIYMVLSLFIALITGAYDTIKHPGGTGTEKSELQAY IEQCQDSPTSGKFRRGSGSACSLFCCCGRDSPEDHSLLVN >ENSMUSP00000123717.1 pep:known chromosome:GRCm38:8:3500504:3506941:1 gene:ENSMUSG00000004567.16 transcript:ENSMUST00000160338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln1 description:mucolipin 1 [Source:MGI Symbol;Acc:MGI:1890498] MATPAGRRASETERLLTPNPGYGTQVGTSPAPTTPTEEEDLRRRLKYFFMSPCDKFRAKG RKPCKLMLQVVKILVVTVQYCINSFWCTPV >ENSMUSP00000146575.1 pep:known chromosome:GRCm38:8:3500534:3512784:1 gene:ENSMUSG00000004567.16 transcript:ENSMUST00000208306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln1 description:mucolipin 1 [Source:MGI Symbol;Acc:MGI:1890498] MATPAGRRASETERLLTPNPGYGTQVGTSPAPTTPTEEEDLRRRLKYFFMSPCDKFRAKG RKPCKLMLQVVKILVVTVQFRSLSMVSECLFSLINGDDMFVTFAAMQAQQGHSSLVWLFS QLYL >ENSMUSP00000146727.1 pep:known chromosome:GRCm38:8:3511790:3515229:1 gene:ENSMUSG00000004567.16 transcript:ENSMUST00000208359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln1 description:mucolipin 1 [Source:MGI Symbol;Acc:MGI:1890498] LGPYHVKFRSLSMVSECLFSLINGDDMFVTFAAMQAQQGHSSLVWLFSQLYLYSFISLFI YMVLSLFIALITGAYDTIKEVLAQRRVSSRPTSSSARIAPHLASSVVAVAQLVAFSAAVE GTPRRTIRCW >ENSMUSP00000146452.1 pep:known chromosome:GRCm38:8:3511801:3515232:1 gene:ENSMUSG00000004567.16 transcript:ENSMUST00000208943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln1 description:mucolipin 1 [Source:MGI Symbol;Acc:MGI:1890498] XHVKFRSLSMVSECLFSLINGDDMFVTFAAMQAQQGHSSLVWLFSQLYLYSFISLFIYMV LSLFIALITGAYDTIKGLPGGPFAAGELIQDDCH >ENSMUSP00000146598.1 pep:known chromosome:GRCm38:8:3512682:3515224:1 gene:ENSMUSG00000004567.16 transcript:ENSMUST00000161842.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln1 description:mucolipin 1 [Source:MGI Symbol;Acc:MGI:1890498] XFSLINGDDMFVTFAAMQAQQGHSSLVWLFSQLYLYSFISLFIYMVLSLFIALITGAYDT IKHPGGTGTEKSELQAYIEQCQDSPTSGKFRRGSGSACSLFCCCGRLEPQIWVVD >ENSMUSP00000091911.4 pep:known chromosome:GRCm38:2:164596458:164613626:-1 gene:ENSMUSG00000070533.11 transcript:ENSMUST00000094351.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc8 description:WAP four-disulfide core domain 8 [Source:MGI Symbol;Acc:MGI:2685552] MAKCGVPQRVPGDCFRPVSTRHPRQIPSCFSICFLTHEAQSLRALAHSWWSGALLLLLLF LFLSLEQTSTSYNAKIKQKVGECPRQRLECRNESLSSCKTDFNCKAHFKCCQFACGRKCM DPYEEPCMLPSDKGNCQDILTRWYFDSQKHQCRAFLYSGCRGNANNFLTKTDCRNACMFV EKKGQCPLFPFQMRMECPASCKNDMDCPEKEKCCESRCGFICARVWLVSCFRPGQQPGRI LSLIDKRDPFIFSVTFGESGSHGL >ENSMUSP00000104963.1 pep:known chromosome:GRCm38:2:164596466:164613386:-1 gene:ENSMUSG00000070533.11 transcript:ENSMUST00000109339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc8 description:WAP four-disulfide core domain 8 [Source:MGI Symbol;Acc:MGI:2685552] MAKCGVPQRVPGDCFRPVSTRHPRQIPSCFSICFLTHEAQSLRALAHSWWSGALLLLLLF LFLSLEQTSTSYNAKIKQKVGECPRQRLECRNESLSSCKTDFNCKAHFKCCQFACGRKCM DPYEEPCMLPSDKGNCQDILTRWYFDSQKHQCRAFLYSGCRGNANNFLTKTDCRNACMFV EKKGQCPLFPFQMRMECPASCKNDMDCPEKEKCCESRCGFICARVWLVSCFRPGQQPGRI LSLIDKRDPFIFSVTFGVRSTHSVTQLSSCHFTGCSSHCIHGIFGFVPAMHGVPVQRVPG LTGHHGLGLSHEHRAMPDTQPRLSSPLASQTTASLHSCRLCTRIWGEIPPHCTPRPPPWG GASSAPLTHPWTRCTLCQRPLATGSGLLLLSSSPYCPCNLPAVPRCISVLNNCLKLIRFF YIFQTY >ENSMUSP00000104962.1 pep:known chromosome:GRCm38:2:164597213:164613626:-1 gene:ENSMUSG00000070533.11 transcript:ENSMUST00000109338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc8 description:WAP four-disulfide core domain 8 [Source:MGI Symbol;Acc:MGI:2685552] MAKCGVPQRVPGDCFRPVSTRHPRQIPSCFSICFLTHEAQSLRALAHSWWSGALLLLLLF LFLSLEQTSTSYNAKIKQKVGECPRQRLECRNESLSSCKTDFNCKAHFKCCQFACGRKCM DPYEEPCMLPSDKGNCQDILTRWYFDSQKHQCRAFLYSGCRGNANNFLTKTDCRNACMFV EKKGQCPLFPFQMRMECPASCKNDMDCPEKEKCCESRCGFICARVWLVKTGFCPRKPIVC SKIDKPKCLQDIDCPLDEKCCTRCGLKCLKPRH >ENSMUSP00000034984.6 pep:known chromosome:GRCm38:9:96539300:96631617:-1 gene:ENSMUSG00000032413.12 transcript:ENSMUST00000034984.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasa2 description:RAS p21 protein activator 2 [Source:MGI Symbol;Acc:MGI:2149960] MAAAAPAAAASPEAPAVSGSADPETGDEDSREVRVLQSLRGRIYEAKNLLPYLGPNKMRD CFCTINLDQEEVYRTQVVEKSLSPYFSEEFYFEIPRTFQYLSFYVYDKNVLQRDLRIGKV AIKKEDLCSHSGKETWFSLQPIDSNSEVQGKVHLELRLNELITENGTVCQQLVVHIKACH GLPLINGQSCDPYATVSLVGPSRNDQKKTKVKKKTSNPQFNEVFYFEVTRSSSYSRKSQF QVEEEDIEKLEIRIDLWNNENLVQDVFLGEIKVPVNVLRSDSFHQAWYLLQPRDNGNKSS KTDDLGSLLLTLCYTEDCVLPSEYYGPLKTLLLKSPDVQPVSASAAYILGEICQDQKDAV LPLVRLLLHHNKLVPFITAVAELDLKDTPDANAIFRGNSLATQCLTEMMKIVGGHYLKVT LKPVLDEICESSKSCEIDPVKLKEGDNVENNKENLYYYVDKVFNTIVGSSVSCPTVMCDI FYSLRQMAAKKFPNHPHVQYSAVSSFVFLRFFAVAILSPHAFHLRPHYPDTQTVRTLTLI SKTIQIIGNWGCQSRKKSRFKKSVMCEFLKMFQEERYFTDVKKFLDEISSTETKESSGTS EPVHLKEGEMYKRAQGRTRIGKKNFKKRWFCLTSRELTYHRQQGKDAIYTIPVKNILAVE KLEEGSFNKKNMFQVIHTEKTLYIQANNCVEANEWIDVLCRVSRCNHNRLSSFHPSAYLN GNWLCCQETSESTPGCKPCTAGIPADIQIDIDEDRETERIYSIFTLSLLKLQKMEETCGS IAVYQGPQKEPGYSKFTIEDSVATFKTIQQIKSTIEKLDEPHEKYRKKRSSSAKYGSKEN PIVGKIS >ENSMUSP00000115629.2 pep:known chromosome:GRCm38:9:96546282:96631536:-1 gene:ENSMUSG00000032413.12 transcript:ENSMUST00000128346.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rasa2 description:RAS p21 protein activator 2 [Source:MGI Symbol;Acc:MGI:2149960] MAAAAPAAAASPEAPAVSGSADPETGDEDSREMKQKIYCHILDPTKCAIVSAL >ENSMUSP00000081556.3 pep:known chromosome:GRCm38:7:131032076:131121628:1 gene:ENSMUSG00000047517.10 transcript:ENSMUST00000084509.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmbt1 description:deleted in malignant brain tumors 1 [Source:MGI Symbol;Acc:MGI:106210] MGISTVIFEICLLWGQILSTASQTAVPTDGTDSGLAVRLVNGGDRCQGRVEILYQGSWGT VCDDSWDLNDANVVCRQLGCGLAVSAPGNARFGQGSGPIVMDDVACGGYEDYLWRCSHRG WLSHNCGHQEDAGVICSDSQTSSPTPGWWNPGGTNNDVFYPTEQTTAEQTTIPDYTPIGT DSGLAVRLVNGGDRCQGRVEILYQGSWGTVCDDSWDVSDANVVCRQLGCGWAVSAPGNAY FGQGQGPIVLDDVACGGYENYLWSCSHQGWLSHNCGHQEDAGVICSASQSSSPTPGWWNP GGTNNDVFYPTEQTTAGTDSGLAVRLVNGGDRCQGRVEILYQGSWGTVCDDSWDTNDANV VCRQLGCGWAVSAPGNAYFGPGSGSIVLDDVACTGHEDYLWRCSHRGWLSHNCGHHEDAG VICSASQSSSPTPDVFYPTDQTTAEQTPVPDYTPIGTDSGLAVRLVNGGDRCQGRVEILY QGSWGTVCDDSWDLNDANVVCRQLGCGLAVSAPGSARFGQGTGPIVMDDVACGGYEDYLW RCSHRGWLSHNCGHHEDAGVICSASQSSSPTPDVFYPTDQTTAEQTTVPDYTTIGTENSL AVRLENGGDRCQGRVEILYQGSWGTVCDDSWDLNDANVVCRQLGCGLAVSAPGSARFGQG TGPIVMDDVACGGYEDYLWRCSHRGWLSHNCGHHEDAGVICSASQSSSPTPDVFYPTDQT TVEQTTVPDYTPIGTENSLAVRLENGGDRCQGRVEILYQGSWGTVCDDSWDTKDANVVCR QLGCGWAVSAPGNAYFGPGSGSIVLDDVACTGHEDYLWSCSHRGWLSHNCGHHEDAGVIC SDAQIQSTTRPDLWPTTTTPETTTELLTTTPYFDWWTTTSDYSCGGLLTQPSGQFSSPYY PSNYPNNARCSWKIVLPNMNRVTVVFTDVQLEGGCNYDYILVYDGPEYNSSLIARVCDGS NGSFTSTGNFMSVVFITDGSVTRRGFQAHYYSTVSTNYSCGGLLTQPSGQFSSPYYPSNY PNNARCSWEILVPNMNRVTVVFTDVQLEGGCNYDYILVYDGPQYNSSLIARVCDGSNGSF TSTGNFMSVVFITDGSVTRRGFQAHYYSTVSTTPPVPIPTTDDYSCGGLLTLPSGQFSSP HYPSNYPNNARCSWEILVPNMNRVTVAFTDVQLEGGCNYDYILVYDGPEYNSSLIARVCD GSNGSFTSTGNFMSVVFITDGSVTRRGFQAHYYSTVSTNYSCGGLLTQPSGQFSSPHYPS NYPNNVRCSWEILVPSMNRVTVAFTDVQLEGGCSFDYILVYDGPEYNSSLIAPVCDGFNG SFTSTGNFMSVVFITDGSVTRRGFQAYYYSTVSTSPPSFPNITGNDSSLALRLVNGSNRC EGRVEILYRGSWGTVCDDSWGISDANVVCRQLGCGSALSAPGNAWFGQGSGLIVLDDVSC SGYESHLWNCHHPGWLVHNCRHSEDAGVICALPEVTSPSPGWWTTSPSYVNYTCGGFLTQ PSGQFSSPFYPGNYPNNARCLWNIEVPNNYRVTVVFRDLQLERGCSYDYIEIFDGPHHSS PLIARVCDGSLGSFTSTSNFMSIRFITDHSITARGFQAHYYSDFDNNTTNLLCQSNHMQA SVSRSYLQSMGYSARDLVIPGWNSSYHCQPQITQREVIFTIPYTGCGTIKQADNETINYS NFLRAVVSNGIIKRRKDLNIHVSCKMLQNTWVNTMYITNNTVEIQEVQYGNFDVNISFYT SSSFLFPVTSSPYYVDLDQNLYLQAEILHSDASLALFVDTCVASPHPNDFSSLTYDLIRS GCVRDDTYQSYSSPSPRVSRFKFSSFHFLNRFPSVYLQCKLVVCRAYDTSSRCYRGCVVR SKRDVGSYQEKVDVVLGPIQLQSPSKEKRSLDLAVEDVKKPASSQAVYPTAAIFGGVFLA MVLAVAAFTLGRRTHIDRGQPPSTKL >ENSMUSP00000146685.1 pep:known chromosome:GRCm38:7:131032080:131121628:1 gene:ENSMUSG00000047517.10 transcript:ENSMUST00000208311.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmbt1 description:deleted in malignant brain tumors 1 [Source:MGI Symbol;Acc:MGI:106210] MGISTVIFEICLLWGQILSTASQTAVPTDEVSYTAEQSTEGTDSGLAVRLVNGGDRCQGR VEILYQGSWGTVCDDSWDLNDANVVCRQLGCGLAVSAPGNARFGQGSGPIVMDDVACGGY EDYLWRCSHRGWLSHNCGHQEDAGVICSDSQTSSPTPGWWNPGGTNNDVFYPTEQTTAEQ TTIPDYTPIGTDSGLAVRLVNGGDRCQGRVEILYQGSWGTVCDDSWDVSDANVVCRQLGC GWAVSAPGNAYFGQGQGPIVLDDVACGGYENYLWSCSHQGWLSHNCGHQEDAGVICSASQ SSSPTPGWWNPGGTNNDVFYPTEQTTAGTDSGLAVRLVNGGDRCQGRVEILYQGSWGTVC DDSWDTNDANVVCRQLGCGWAVSAPGNAYFGPGSGSIVLDDVACTGHEDYLWRCSHRGWL SHNCGHHEDAGVICSASQSSSPTPDVFYPTDQTTAEQTPVPDYTPIGTDSGLAVRLVNGG DRCQGRVEILYQGSWGTVCDDSWDLNDANVVCRQLGCGLAVSAPGSARFGQGTGPIVMDD VACGGYEDYLWRCSHRGWLSHNCGHHEDAGVICSASQSSSPTPDVFYPTDQTTAEQTTVP DYTTIGTENSLAVRLENGGDRCQGRVEILYQGSWGTVCDDSWDLNDANVVCRQLGCGLAV SAPGSARFGQGTGPIVMDDVACGGYEDYLWRCSHRGWLSHNCGHHEDAGVICSASQSSSP TPDVFYPTDQTTVEQTTVPDYTPIGTENSLAVRLENGGDRCQGRVEILYQGSWGTVCDDS WDTKDANVVCRQLGCGWAVSAPGNAYFGPGSGSIVLDDVACTGHEDYLWSCSHRGWLSHN CGHHEDAGVICSDAQIQSTTRPDLWPTTTTPETTTELLTTTPYFDWWTTTSDYSCGGLLT QPSGQFSSPYYPSNYPNNARCSWKIVLPNMNRVTVVFTDVQLEGGCNYDYILVYDGPEYN SSLIARVCDGSNGSFTSTGNFMSVVFITDGSVTRRGFQAHYYSTVSTNYSCGGLLTQPSG QFSSPYYPSNYPNNARCSWEILVPNMNRVTVVFTDVQLEGGCNYDYILVYDGPQYNSSLI ARVCDGSNGSFTSTGNFMSVVFITDGSVTRRGFQAHYYSTVSTTPPVPIPTTDDYSCGGL LTLPSGQFSSPHYPSNYPNNARCSWEILVPNMNRVTVAFTDVQLEGGCNYDYILVYDGPE YNSSLIARVCDGSNGSFTSTGNFMSVVFITDGSVTRRGFQAHYYSTVSTNYSCGGLLTQP SGQFSSPHYPSNYPNNVRCSWEILVPSMNRVTVAFTDVQLEGGCSFDYILVYDGPEYNSS LIAPVCDGFNGSFTSTGNFMSVVFITDGSVTRRGFQAYYYSTVSTSPPSFPNITGNDSSL ALRLVNGSNRCEGRVEILYRGSWGTVCDDSWGISDANVVCRQLGCGSALSAPGNAWFGQG SGLIVLDDVSCSGYESHLWNCHHPGWLVHNCRHSEDAGVICALPEVTSPSPGWWTTSPSY VNYTCGGFLTQPSGQFSSPFYPGNYPNNARCLWNIEVPNNYRVTVVFRDLQLERGCSYDY IEIFDGPHHSSPLIARVCDGSLGSFTSTSNFMSIRFITDHSITARGFQAHYYSDFDNNTT NLLCQSNHMQASVSRSYLQSMGYSARDLVIPGWNSSYHCQPQITQREVIFTIPYTGCGTI KQADNETINYSNFLRAVVSNGIIKRRKDLNIHVSCKMLQNTWVNTMYITNNTVEIQEVQY GNFDVNISFYTSSSFLFPVTSSPYYVDLDQNLYLQAEILHSDASLALFVDTCVASPHPND FSSLTYDLIRSGCVRDDTYQSYSSPSPRVSRFKFSSFHFLNRFPSVYLQCKLVVCRAYDT SSRCYRGCVVRSKRDVGSYQEKVDVVLGPIQLQSPSKEKRSLDLAVEDVKKPASSQAVYP TAAIFGGVFLAMVLAVAAFTLGRRTHIDRGQPPSTKL >ENSMUSP00000146345.1 pep:known chromosome:GRCm38:7:131119491:131121225:1 gene:ENSMUSG00000047517.10 transcript:ENSMUST00000209015.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmbt1 description:deleted in malignant brain tumors 1 [Source:MGI Symbol;Acc:MGI:106210] XNRFPSVYLQCKLVVCRAYDTSSRCYRGCVVRSKRDVGSYQEKVDVVLGPIQLQSPSKEK RSLDPELSSQSQGPSSH >ENSMUSP00000123452.1 pep:known chromosome:GRCm38:1:88095062:88216357:1 gene:ENSMUSG00000090124.7 transcript:ENSMUST00000150634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a7c description:UDP glucuronosyltransferase 1 family, polypeptide A7C [Source:MGI Symbol;Acc:MGI:3032636] MAPADFPASLPLCVCLLLASGLAQAGRLLVVPMDGSHWFTMQTVVEKLLHKGHEVVVVVP EEFEAYVNASGEHGIVVFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAK NTILVKWLPQNDLLGHPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETR GAGVTLNVLEMTADDLENALKTVINNK >ENSMUSP00000058683.7 pep:known chromosome:GRCm38:1:88095064:88220002:1 gene:ENSMUSG00000090124.7 transcript:ENSMUST00000058237.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a7c description:UDP glucuronosyltransferase 1 family, polypeptide A7C [Source:MGI Symbol;Acc:MGI:3032636] MAPADFPASLPLCVCLLLASGLAQAGRLLVVPMDGSHWFTMQTVVEKLLHKGHEVVVVVP EVSWQLTKPLNFVVKTYAVSHTQEDLNREFKIFIDAQWKSQQEGGILPLLDSPAKGFFEL LFSHCRSLFNDKKLVEYLKQTSFDAVFLDPFDVCGLTVAKYFSLPSVVFSRGIFCHYLED AAQCPSPPSYIPRMLLKFTDTMTFKERTRNLLAYMGERAFCHKFFKSAADIASEVLQTPV TMTDLFSPVSIWLLRTDFVLEFPRPVMPNVIYIGGINCHQGKPLSKEFEAYVNASGEHGI VVFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLG HPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADD LENALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHDLT WYQYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000116653.1 pep:known chromosome:GRCm38:1:88095114:88218427:1 gene:ENSMUSG00000090124.7 transcript:ENSMUST00000126203.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ugt1a7c description:UDP glucuronosyltransferase 1 family, polypeptide A7C [Source:MGI Symbol;Acc:MGI:3032636] MAPADFPASLPLCVCLLLASGLAQAGRLLVVPMDGSHWFTMQTVVEKLLHKGHEVVVVVP EEFEAYVNASGEHGIVVFSLGSMVSEIPEKKAMEIAEALGRIPQTVIQRLGHSSHTLAPM VFMKEYAMEFRW >ENSMUSP00000108760.3 pep:known chromosome:GRCm38:1:88103252:88220002:1 gene:ENSMUSG00000090124.7 transcript:ENSMUST00000113135.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a7c description:UDP glucuronosyltransferase 1 family, polypeptide A7C [Source:MGI Symbol;Acc:MGI:3032636] MACLLPAAQTLPAGFLFLVLWASVLGDKLLVVPQDGSHWLSMKEIVEHLSERGHDIMVLV PEVNLLLGESKYYRRKIFSVTYSLEELQTRFRTFGNNHFLPGASLMGPLREYRNNMIVVD MFFSNCQSLLKDSATLSFLRENKFDALFTDPAMPCGVILAEYLNLPSVYLFRGFPCSLEH MLGQSPSPVSYVPRFYTKFSDHMTFPQRLANFIVNILENYLYYCLYSKYEIIASDLLKRD VSLPSLHQNSLWLLRYDFVFEYPRPVMPNMIFLGGINCKKKGKLTQEFEAYVNASGEHGI VVFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLG HPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADD LENALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHDLT WYQYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000047015.7 pep:known chromosome:GRCm38:12:76765538:76783180:1 gene:ENSMUSG00000090258.7 transcript:ENSMUST00000041262.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Churc1 description:churchill domain containing 1 [Source:MGI Symbol;Acc:MGI:1923684] MCGDCVEKEYPNRGTTCLENGSFLLNFAGCAVCSKRDFMLITNRSLKEEDGEEIVTYDHL CKNCHHVVARHEYTFSIMDEFQEYTMLCLLCGKAEDTISILPDDPRQMTLLF >ENSMUSP00000106029.2 pep:known chromosome:GRCm38:12:76765575:76783147:1 gene:ENSMUSG00000090258.7 transcript:ENSMUST00000110399.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Churc1 description:churchill domain containing 1 [Source:MGI Symbol;Acc:MGI:1923684] MCGDCVEKEYPNRGTTCLENGSFLLNFAGCAVCSKRDFMLITNRSLKEEDGEEIVTYDRI YHAVSVVWQS >ENSMUSP00000122587.1 pep:known chromosome:GRCm38:12:76765555:76783119:1 gene:ENSMUSG00000090258.7 transcript:ENSMUST00000126408.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Churc1 description:churchill domain containing 1 [Source:MGI Symbol;Acc:MGI:1923684] MDEFQEYTMLCLLCGKAEDTISILPDDPRQMTLLF >ENSMUSP00000037238.6 pep:known chromosome:GRCm38:11:75510094:75513168:1 gene:ENSMUSG00000038195.6 transcript:ENSMUST00000042972.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rilp description:Rab interacting lysosomal protein [Source:MGI Symbol;Acc:MGI:2144271] MEPRRAAPRLPSQASSSVGAGSAAELVYHLAGALGTELQGLARRFGPDAAAGLVPLVVRA LELLEKAAVGPAPDSLQVSAQQAEVELRRLREENQRLRQELGSGPQEERALLRQLKEVTD RQRDELRAHNRDLQRRSQETEALQEQLQRLLLINSELRHKLAAVQTQLRAAQDRERERQI AQDGSSQLAKEQSLEPDAATSDDPVDTQKQPGNLPEAVQCGFSREELKQILQERNELKAN VFLLKEELAYFQRELLTDHRVPGLLLEAMKVAVKKQRRKIKAKMLGTPEEAESSEDEDGS WLLLSNDKEDVPLVPESRIQNFFGLWYRGETEAPEAETSNPASSSLQKGEETPQQPHLQP VNSPPAPNS >ENSMUSP00000051250.7 pep:known chromosome:GRCm38:X:135993824:135995355:1 gene:ENSMUSG00000048040.8 transcript:ENSMUST00000058119.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arxes2 description:adipocyte-related X-chromosome expressed sequence 2 [Source:MGI Symbol;Acc:MGI:1924226] MNSLLSRANSLFAFTLSVMAALTLGCILTTAFKDRSAPVRLHVSRILLKKVEDFTGPRKK SDLGFITFHISADLEKTFDWNVKQLFLYLSAEYSTKSNAVNQVVLWDKILLRGENPKLNL KDVKSKYFFFDDGHGLKGNRNVTLTLSWQVIPIAGILPLVTGSGRVSVPFPDSYEIATTF >ENSMUSP00000090086.4 pep:known chromosome:GRCm38:10:71225464:71238280:-1 gene:ENSMUSG00000003923.14 transcript:ENSMUST00000092430.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfam description:transcription factor A, mitochondrial [Source:MGI Symbol;Acc:MGI:107810] MALFRGMWSVLKALGRTGVEMCAGCGGRIPSSISLVCIPKCFSSMGSYPKKPMSSYLRFS TEQLPKFKAKHPDAKLSELVRKIAALWRELPEAEKKVYEADFKAEWKAYKEAVSKYKEQL TPSQLMGMEKEARQRRLKKKALVKRRELILLGKPKRPRSAYNIYVSESFQEAKDDSAQGK LKLVNEAWKNLSPEEKQAYIQLAKDDRIRYDNEMKSWEEQMAEVGRSDLIRRSVKRSGDI SEH >ENSMUSP00000101072.3 pep:known chromosome:GRCm38:10:71228457:71238280:-1 gene:ENSMUSG00000003923.14 transcript:ENSMUST00000105432.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfam description:transcription factor A, mitochondrial [Source:MGI Symbol;Acc:MGI:107810] MAGAWGLVCIPKCFSSMGSYPKKPMSSYLRFSTEQLPKFKAKHPDAKLSELVRKIAALWR ELPEAEKKVYEADFKAEWKAYKEAVSKYKEQLTPSQLMGMEKEARQRRLKKKALVKRREL ILLGKPKRPRSAYNIYVSESFQEAKDDSAQGKLKLVNEAWKNLSPEEKQAYIQLAKDDRI RYDNEMKSWEEQMAEVGRSDLIRRSVKRSGDISEH >ENSMUSP00000113581.1 pep:known chromosome:GRCm38:10:71228512:71237678:-1 gene:ENSMUSG00000003923.14 transcript:ENSMUST00000121685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfam description:transcription factor A, mitochondrial [Source:MGI Symbol;Acc:MGI:107810] MGSYPKKPMSSYLRFSTEQLPKFKAKHPDAKLSELVRKIAALWRELPEAEKKVYEADFKA EWKAYKEAVSKYKEQLTPSQLMGMEKEARQRRLKKKALVKRRELILLGKPKRPRSAYNIY VSESFQEAKDDSAQGKLKLVNEAWKNLSPEEKQAYIQLAKDDRIRYDNEMKSWEEQMAEV GRSDLIRRSVKRSGDISEH >ENSMUSP00000120871.1 pep:known chromosome:GRCm38:10:71229116:71237042:-1 gene:ENSMUSG00000003923.14 transcript:ENSMUST00000152505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfam description:transcription factor A, mitochondrial [Source:MGI Symbol;Acc:MGI:107810] MGSYPKKPMSSYLRFSTEQLPKFKAKHPDAKLSELVRKIAALWRELPEAEKKVYEADFKA EWKAYKEAVSKYKEQLTPSQLMGMEKEARQRRLKKKALVKRRELILLGKPKRPRSAYNIY VSESFQEAKDDSAQGKLKLVNEA >ENSMUSP00000078886.4 pep:known chromosome:GRCm38:7:4660521:4685068:-1 gene:ENSMUSG00000063802.5 transcript:ENSMUST00000079970.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspbp1 description:HSPA (heat shock 70kDa) binding protein, cytoplasmic cochaperone 1 [Source:MGI Symbol;Acc:MGI:1913495] MADKGSGGSRLPLALPPASQGCSSGGSGSSAGGSGNPRPPRNLQGLLQMAITAGSQEPDP PPEPMSEERRQWLQEAMSAAFRGQREEVEQMKNCLRVLSQATPAMAGEAELATDQQEREG ALELLADLCENMDNAADFCQLSGMHLLVGRYLEAGAAGLRWRAAQLIGTCSQNVAAIQEQ VLGLGALRKLLRLLDRDSCDTVRVKALFAISCLVREQEAGLLQFLRLDGFSVLMRAMQQQ VQKLKVKSAFLLQNLLVGHPEHKGTLCSMGMVQQLVALVRTEHSPFHEHVLGALCSLVTD FPQGVRECREPELGLEELLRHRCQLLQQREEYQEELEFCEKLLQTCFSSPTDDSMDR >ENSMUSP00000145614.1 pep:known chromosome:GRCm38:7:4662134:4664604:-1 gene:ENSMUSG00000063802.5 transcript:ENSMUST00000205474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspbp1 description:HSPA (heat shock 70kDa) binding protein, cytoplasmic cochaperone 1 [Source:MGI Symbol;Acc:MGI:1913495] XMGMVQQLVALVRTEHSPFHEHVLGALCSLVTDFPQGVRECREPELGLEELLRHRCQLLQ QREEYQETLSRIGNSKSSLAPPLEVPTIYRQTNQALY >ENSMUSP00000145960.1 pep:known chromosome:GRCm38:7:4664582:4684994:-1 gene:ENSMUSG00000063802.5 transcript:ENSMUST00000205952.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspbp1 description:HSPA (heat shock 70kDa) binding protein, cytoplasmic cochaperone 1 [Source:MGI Symbol;Acc:MGI:1913495] MADKGSGGSRLPLALPPASQGCSSGGSGSSAGGSGNPRPPRNLQGLLQMAITAGSQEPDP PPEPMSEERRQWLQEAMSAAFRGQREEVEQMKNCLRVLSQATPAMAGEAELATDQQEREG ALELLADLCENMDNAADFCQLSGMHLLVGRYLEAGAAGLRWRAAQLIGTCSQNVAAIQEQ VLGLGALRKLLRLLDRDSCDTVRVKALFAISCLVREQEAGLLQFLRLDGFSVLMRAMQQQ VQKLKVKSAFLLQNLLVGHPEHKGTLCSMGMVQQL >ENSMUSP00000146248.1 pep:known chromosome:GRCm38:7:4677707:4684661:-1 gene:ENSMUSG00000063802.5 transcript:ENSMUST00000206946.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspbp1 description:HSPA (heat shock 70kDa) binding protein, cytoplasmic cochaperone 1 [Source:MGI Symbol;Acc:MGI:1913495] MRRLLTPSKLHLLSRPSRVDPSGHHCCLSGDHQFPLNTFFLHRKSMADKGSGGSRLPLAL PPASQGCSSGGSGSSAGGSGNPRPPRNLQGLLQMAITAGSQEPDPPPEPMSEERRQWLQE AMSAAFRGQREEVEQMKNCLRVLSQATPAMAGEAELATDQQEREGALELLADLCENMDNA ADFCQLSGMHLLVGRYLEAGAAGLRWRAAQLIGTCSQNVAAIQEQVLGLGALRKLLRLLD RDSCDTVRVKALFAIS >ENSMUSP00000145954.1 pep:known chromosome:GRCm38:7:4677707:4684981:-1 gene:ENSMUSG00000063802.5 transcript:ENSMUST00000206306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspbp1 description:HSPA (heat shock 70kDa) binding protein, cytoplasmic cochaperone 1 [Source:MGI Symbol;Acc:MGI:1913495] MADKGSGGSRLPLALPPASQGCSSGGSGSSAGGSGNPRPPRNLQGLLQMAITAGSQEPDP PPEPMSEERRQWLQEAMSAAFRGQREEVEQMKNCLRVLSQATPAMAGEAELATDQQEREG ALELLADLCENMDNAADFCQLSGMHLLVGRYLEAGAAGLRWRAAQLIGTCSQNVAAIQEQ VLGLGALRKLLRLLDRDSCDTVRVKALFAIS >ENSMUSP00000028595.7 pep:known chromosome:GRCm38:2:104721784:104742878:-1 gene:ENSMUSG00000027173.7 transcript:ENSMUST00000028595.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Depdc7 description:DEP domain containing 7 [Source:MGI Symbol;Acc:MGI:2139258] MATVREKAAALNLSALHSPAQRPPGFSVAQKPFGATYVWSSIINTLQTQVEVKKRRHHLK RHNDCFVGSEAVDVIYSHLTQNKFFGDVDIPRAKVVRVCQALMDYKVFEAVQTRVFGKDK KPTFEDSSCSLYRFTTIPNQDNQLGHENKVHSPSRYSDASFMSSDIKSDSLEDLWENLSL KPASSPHIHISTRLSPQVINEVWQEETIGRLLQLVDLPFLDSLLKQQEVVPKAPQSKRQP DMVNTSNYLDRGILRAYGDSQEDEWISAAIDCLEYLPDQMVVDISRNFPEQPDRTDLVKE LLFDAIGRYYSTREPLLNHLYDVHNGIAELLVNGKTEMALEATQLFLKLQDSQNREEFRR LLYFMAVAADPSEFKLQEESDNRMVVKRVFSKAIVNNKNVSKGKTDLLVLFLMDHQKDVF KIPAALHKIVSVKLLAIQKGRDPNKDTGYIYCQRIDESEYSSSTKKKTKDQLLSLLKTID EDSKLSAKEKKKLLGQFRKTHPDIFTEYFGD >ENSMUSP00000135952.1 pep:known chromosome:GRCm38:4:88776922:88777470:1 gene:ENSMUSG00000096582.1 transcript:ENSMUST00000179158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13289 description:predicted gene 13289 [Source:MGI Symbol;Acc:MGI:3701987] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLKQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000138072.1 pep:known chromosome:GRCm38:1:160195215:160199820:1 gene:ENSMUSG00000058267.13 transcript:ENSMUST00000078878.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrps14 description:mitochondrial ribosomal protein S14 [Source:MGI Symbol;Acc:MGI:1928141] MAASVLGSLLRTFRQDNPCLSFLGSSSISVRSSSRLLCRLENVA >ENSMUSP00000120075.1 pep:known chromosome:GRCm38:1:160195215:160202170:1 gene:ENSMUSG00000058267.13 transcript:ENSMUST00000135680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps14 description:mitochondrial ribosomal protein S14 [Source:MGI Symbol;Acc:MGI:1928141] MAASVLGSLLRTFRQAVPPSASGQVRGYYVDWRMLRDLKRRKMAYEYADERLRINSLRKN TILPKDLQEMAGDEIAALPRDSCPVRIRNRCVMTSRPRGVKRRWRLSRIVFRHLADHGLL SGVQRAIW >ENSMUSP00000107285.1 pep:known chromosome:GRCm38:1:160195303:160199812:1 gene:ENSMUSG00000058267.13 transcript:ENSMUST00000097193.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps14 description:mitochondrial ribosomal protein S14 [Source:MGI Symbol;Acc:MGI:1928141] MTPGYKEPSAVPPSASGQVRGYYVDWRMLRDLKRRKMAYEYADERLRINSLRKNTILPKD LQEMAGDEIAALPRDSCPVRIRNRCVMTSRPRGVKRRWRLSRIVFRHLADHGLLSGVQRA IW >ENSMUSP00000091906.2 pep:known chromosome:GRCm38:2:164613522:164618212:1 gene:ENSMUSG00000070531.4 transcript:ENSMUST00000094346.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc6b description:WAP four-disulfide core domain 6B [Source:MGI Symbol;Acc:MGI:3575430] MPPNRLLLPKMRLWGLLPFLVPFILLWSIQEPALAEGVFIRTCPKYNKIKCDFEERNQCL RHRECPGEERCCLFACGRKCLDLSEDICSLPQDAGPCLAYLPRWWYNQDTKLCIEFIYGG CQGNPNNFESKAVCTSICINKRKMSSWI >ENSMUSP00000009259.4 pep:known chromosome:GRCm38:10:76562272:76570201:1 gene:ENSMUSG00000009115.5 transcript:ENSMUST00000009259.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spatc1l description:spermatogenesis and centriole associated 1 like [Source:MGI Symbol;Acc:MGI:1923823] MAEGSELMSRLMSENADLKKQVRLLKENQMLKRLLSESCQESCGRGSRDLLYPKVPTYPE ACSPGNGGPDFGRFAGVPDTPSQLPTSSLEDLLCSHAPLSSEDEASPGCATTSQMPFKSF LSPSELHSRIADRKLSPLLSPLQDSLADKTLLEPREISRPKKVCFSESNLPTGDRSRRTY YLNEIQSFSGAEKDGRIVGEIAFQLDRRILAYVFPGVTRLYGFTVSNIPEKIKQTSIKSL DGSVDEKKLRELTHRYLTLTARLEKLGYSREVHPVFSEFLINTYGILKQRPDLRANPLHS SPAALRKLVIDIVPPKFLGDSLLLLNCLCELSKEDSKPLFAW >ENSMUSP00000101054.1 pep:known chromosome:GRCm38:10:76562309:76570030:1 gene:ENSMUSG00000009115.5 transcript:ENSMUST00000105414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spatc1l description:spermatogenesis and centriole associated 1 like [Source:MGI Symbol;Acc:MGI:1923823] MAEGSELMSRLMSENADLKKQVRLLKENQMLKRLLSESCQESCGRGSRDLLYPKVPTYPE ACSPGNGGPDFGRFAGVPDTPSQLPTSSLEDLLCSHAPLSSEDEASPGCATTSQMPFKSF LSPSELHSRIADRKLSPLLSPLQDSLADKTLLEPREISRPKKRSRVFRVLRRMAESLGRS RSSLTGVSWPMCSQG >ENSMUSP00000066040.6 pep:known chromosome:GRCm38:X:150547375:150570638:1 gene:ENSMUSG00000025270.13 transcript:ENSMUST00000066337.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alas2 description:aminolevulinic acid synthase 2, erythroid [Source:MGI Symbol;Acc:MGI:87990] MVAAAMLLRSCPVLSQGPTGLLGKVAKTYQFLFSIGRCPILATQGPTCSQIHLKATKAGG DSPSWAKSHCPFMLSELQDRKSKIVQRAAPEVQEDVKTFKTDLLSTMDSTTRSHSFPSFQ EPEQTEGAVPHLIQNNMTGSQAFGYDQFFRDKIMEKKQDHTYRVFKTVNRWANAYPFAQH FSEASMASKDVSVWCSNDYLGISRHPRVLQAIEETLKNHGAGAGGTRNISGTSKFHVELE QELAELHQKDSALLFSSCFVANDSTLFTLAKLLPGCEIYSDAGNHASMIQGIRNSGAAKF VFRHNDPGHLKKLLEKSDPKTPKIVAFETVHSMDGAICPLEELCDVAHQYGALTFVDEVH AVGLYGARGAGIGERDGIMHKLDIISGTLGKAFGCVGGYIASTRDLVDMVRSYAAGFIFT TSLPPMVLSGALESVRLLKGEEGQALRRAHQRNVKHMRQLLMDRGFPVIPCPSHIIPIRV GNAALNSKICDLLLSKHSIYVQAINYPTVPRGEELLRLAPSPHHSPQMMENFVEKLLLAW TEVGLPLQDVSVAACNFCHRPVHFELMSEWERSYFGNMGPQYVTTYA >ENSMUSP00000108335.1 pep:known chromosome:GRCm38:X:150547459:150570622:1 gene:ENSMUSG00000025270.13 transcript:ENSMUST00000112715.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alas2 description:aminolevulinic acid synthase 2, erythroid [Source:MGI Symbol;Acc:MGI:87990] MVAAAMLLRSCPVLSQGPTGLLGKVAKTYQFLFSIGRCPILATQGPTCSQIHLKATKAGG ELQDRKSKIVQRAAPEVQEDVKTFKTDLLSTMDSTTRSHSFPSFQEPEQTEGAVPHLIQN NMTGSQAFGYDQFFRDKIMEKKQDHTYRVFKTVNRWANAYPFAQHFSEASMASKDVSVWC SNDYLGISRHPRVLQAIEETLKNHGAGAGGTRNISGTSKFHVELEQELAELHQKDSALLF SSCFVANDSTLFTLAKLLPGCEIYSDAGNHASMIQGIRNSGAAKFVFRHNDPGHLKKLLE KSDPKTPKIVAFETVHSMDGAICPLEELCDVAHQYGALTFVDEVHAVGLYGARGAGIGER DGIMHKLDIISGTLGKAFGCVGGYIASTRDLVDMVRSYAAGFIFTTSLPPMVLSGALESV RLLKGEEGQALRRAHQRNVKHMRQLLMDRGFPVIPCPSHIIPIRVGNAALNSKICDLLLS KHSIYVQAINYPTVPRGEELLRLAPSPHHSPQMMENFVEKLLLAWTEVGLPLQDVSVAAC NFCHRPVHFELMSEWERSYFGNMGPQYVTTYA >ENSMUSP00000135918.1 pep:known chromosome:GRCm38:4:88779850:88780660:1 gene:ENSMUSG00000096591.1 transcript:ENSMUST00000179425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13272 description:predicted gene 13272 [Source:MGI Symbol;Acc:MGI:3701968] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLRQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000126698.2 pep:known chromosome:GRCm38:7:86915300:86955177:1 gene:ENSMUSG00000091962.2 transcript:ENSMUST00000170835.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r78 description:vomeronasal 2, receptor 78 [Source:MGI Symbol;Acc:MGI:3761335] MFSLIVVFLLLKLSFLFCSLSDPRCFWRIKDRENDLGDKETDCFFSIYTKRGYVKNDYFS ENLDKKVTLKTIHLISSVYFAAEEINKNIYILPNISLIVKIECNLIADNVKRIWSLKKKE IIPNYYCKNQRRYLIVLTGPIWITSYILGPFLYFSQTPELYCGHFHFLLNDQEQFPHLYQ MTPKDTSLPQGMVSLAVHFRWNWVGVIITDDDHGIQFLSELRTGMESNTVCLAFVTIITY NRMLYLKMYHKYYHQITMSSAKVVIVYGDKESPLQFNFILWKSENIQRLWVSVSQFDMIT VIRDFMLNSLHGTLIFSHQQSEISGFKQFMQTVHPSNYSNDISLAKMWWTYFKCSLKPPD CKTLKNCPTKTLFKWFFVPPLGMSMSETCYNLYNSVYAVAHSLHEMLLQQVDTCSENDGT VMEFNSWKMFYFLKTIQFVNPAGDLINMNQNRERDTEYDIFYIMDFLKHEGLKMKIGRFS GHFPNGQQLFMSDEMIEWATDIKQTLASACSVPCRPGLRKYFQEGKAVCCFDCYPCPENE ISNMTDMDQCVKCPEDEYANTDQTNCLKKVVTFLDYKEPLGMALAVLAVFFSSLTFIILC VFLKHRDTPIVKANNETLSYVLLTSLIFCFICSLLYIGYPTMVSCILQQTTFALVFTVAA SCVLAKTITVVLAFKITVPGRRLRWLLLSGAPNYIIPICTTIQMILCGIWLGTSPPFVDA DLHMIHEHIIIVCNKGSVTAFYCVLGYMGSLALASFTVAFLARNLPDTFNEAKLLTFSML VFCSVWVTFLPVYHSTKGKAMVAVEVFCILASSAGMLLCIFVPKCYLILLRPQINSFHEF RKIHAKSININRM >ENSMUSP00000051805.4 pep:known chromosome:GRCm38:6:59347226:59426294:-1 gene:ENSMUSG00000045441.5 transcript:ENSMUST00000051065.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprin3 description:GPRIN family member 3 [Source:MGI Symbol;Acc:MGI:1924785] MGTVPDPLRVTKASIVAASGKEESRGESQSVSPQPAQPDNNASGIGNVPAELSLQLSAAA QALMQACVSESSQQDMASPGVFSEGEPVSPKQKTPDDFLLHGSKESAAPGLNATAQKELI SAPCLISVVQHTHHAIQRDAPNTSTCAVPEGSLVKSEANSNGENPEKPGCPARVTCCSSK NQEGLCDFPSPENSQGILQTPDIASPSADRPEGEGQKVINNITAVSSEPPVREGCSENKQ PSATALNTTAERSENPPPSHLTSKGATCSSEARQALLPAQYPVSRFKEASTMTCQAESGA KEVSGRAWQDAEVQAVASVESRSVSTSPSILPAYLKENPAPELENGQEQLRVICHGKGSG NHLLELSNSMVDSQESRQCPSIVPQVHIQAATATPAAFKGGCKPANQPAEGLKSPLIHVT SSQNTETEEDLRLSASKEATSRQPEGTNPDFQKANAIGQISLPAGSQAEINQGLWNSGPR EPEIVVKTAKDHKAESSCKPSNSGGGANKDYPPESLDPTDKKGAKDKKPASPLIVKDHAP GATSTLDAKTLLLNPKSQVKEGEGPEVSPAPSPGRKSQQNTLEELRQPKTVMSLSLPSDG TGDSSPGSGKRTPSLSVKASPRRGSRVSEFLKELSVTAAAAQVGLTPGEKKKQLGADSKL HLKQSKRVRDVVWDDQGMTWEVYGASLDPESLGVAIQNHLQRQIREHEKIVKTQSGQTRR SISSDSSSSKKLKGRQHGVLQSMLQNFRRPNCCVRPAPSSVLD >ENSMUSP00000144128.1 pep:known chromosome:GRCm38:13:74480054:74493970:-1 gene:ENSMUSG00000069208.8 transcript:ENSMUST00000202645.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp825 description:zinc finger protein 825 [Source:MGI Symbol;Acc:MGI:2385315] MDAVTYDDVRVNFTEEEWDLLDPSQKSLYEEVMLETYQNITAIGYKWEDHHIEEYCQSSR RHERHERIHIGEKPYERNQCGKAFASDNHLQIHKRTNTEEKPYECNQCGKAFSQHSRLQY HKRSHTGEKPYKCNQCGKAYGHHSSLQYHKRRHTGEKPYHCNQCDKAFSEHSSLEYHKIT HLGEKPYECDQCGKAFAWQSSLNIHKRTHSGEKPYECNECGKAFTHHASLQSHKRIHTGE KPYQCDQCGKAFAHQTSLQCHKRTHTGEKPYECN >ENSMUSP00000073973.6 pep:known chromosome:GRCm38:13:74480517:74482943:-1 gene:ENSMUSG00000069208.8 transcript:ENSMUST00000074369.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp825 description:zinc finger protein 825 [Source:MGI Symbol;Acc:MGI:2385315] DAVTYDDVRVNFTEEEWDLLDPSQKSLYEEVMLETYQNITAIGYKWEDHHIEEYCQSSRR HERHERIHIGEKPYERNQCGKAFASDNHLQIHKRTNTEEKPYECNQCGKAFSQHSRLQYH KRSHTGEKPYKCNQCGKAYGHHSSLQYHKRRHTGEKPYHCNQCDKAFSEHSSLEYHKITH LGEKPYECDQCGKAFAWQSSLNIHKRTHSGEKPYECNECGKAFTHHASLQSHKRIHTGEK PYQCDQCGKAFAHQTSLQCHKRTHTGEKPYECN >ENSMUSP00000032127.4 pep:known chromosome:GRCm38:6:87383256:87388935:-1 gene:ENSMUSG00000030048.5 transcript:ENSMUST00000032127.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gkn3 description:gastrokine 3 [Source:MGI Symbol;Acc:MGI:1916138] MPLHSLERDNMRRLIAPSILVTVFLVPALALTNTSDSYPLDGSVGTQTIHVDALRGVVSI RDNSVQSEWDGVMDYKNDLLAAKLFSKMACVLAKMDPAAFPSLDDITQALGKQASGHYPP TRGLTYTVLPSRIKNLAQYGVPIKDLCRAVPTYFARQQKEGTALTMDPDSCSELQLLSFM GLSICGEIPGL >ENSMUSP00000146602.1 pep:known chromosome:GRCm38:7:30522226:30535009:-1 gene:ENSMUSG00000036882.7 transcript:ENSMUST00000207860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap33 description:Rho GTPase activating protein 33 [Source:MGI Symbol;Acc:MGI:2673998] MLQAQKQSDPILPWGASWAGRGQTLRARSTDSLDGPGEGSVQPVPTTGGPGTKGKPGKRL SAPRGPFPRLADCAHFHYENVDFGHIQLLLSPEREGPSLSGENELVFGVQVTCQGRSWPV LRSYDDFRSLDAHLHRCIFDRRFSCLPELPPPPEGTRAAQMLVPLLLQYLETLSGLVDSN LNCGPVLTWMELDNHGRRLLLSEEASLNIPAVAAAHVVKRYTAQAPDELSFEVGDIVSVI DMPPTEDRSWWRGKRGFQVGFFPSECVELFTERPGPGLKADADSPLCGIPAPQGISSLTS AVPRPRGKLAGLLRTFMRSRPSRQRLRQRGILRQRVFGCDLGEHLSNSGQDVPQVLRCCS EFIEAHGVVDGIYRLSGVSSNIQRLRHEFDSERIPELSGPAFLQDIHSVSSLCKLYFREL PNPLLTYQLYGKFSEAMSVPGEEERLVRVHDVIQQLPPPHYRTLEYLLRHLARMARHSAN TSMHARNLAIVWAPNLLRSMELESVGLGGAAAFREVRVQSVVVEFLLTHVEVLFSDTFTS AGLDPAGRCLLPRPKSLAGSSPSTRLLTLEEAQARTQGRLGTPTEPTTPKTPASPVERRK RERAEKQRKPGGSSWKTFFALGRGPSIPRKKPLPWLGGSRAPPQPSGSRPDTVTLRSAKS EESLSSQASGAGLQRLHRLRRPHSSSDAFPVGPAPAGSCESLSSSSSSSSSSSSSSSSES SAGGLGPLSGSPSHRTSAWLDDGDDLDFSPPRCLEGLRGLDFDPLTFRCSSPTPGDPAPP ASPAPPASASAFPPRATPQALSPHGPTKPASPTALDISEPLAVSVPPAVLELLGAGGTPA SATPTPALSPHLIPLLLRGAEAQLSDTCQQEISSKLAPTRGAPGQQSPGGMDSPLLPPPL PLLRPGGAPPPPPKNPARLMALALAERAQQVAEQQSQQEQGGTPPAPHSPFRRSLSLEVG GEPVGTSGSGIHPPSLAHPGAWAPGPPPYLPRQQSDGSLVRSQRPLGTSRRSPRGPSQVS AHLRASGAYRDAPEMAAQSPCSVPSQGSNPSFFSTPRECLPPFLGVPKQGLYSLGPPSFP PSSPAPVWRNSLGAPSALDRGENLYYEIGVGEGTSYSGPSRSWSPFRSMPPDRHNASYGM LGQSPPLHRSPDFLLSYPPPPSCFPPEHLTHSVSQRLARRPTRPEPLYVNLALGPRGPSP ASSSSSSPPAHPRSRSDPGPPVPRLPQKQRAPWGPHTPHRVPGPWGSPEPFLLYRPAPPS YGRGGEVRGSLYRNGGHRGEGAGPPPPYPTPSWSLHSEGQTRSYC >ENSMUSP00000146767.1 pep:known chromosome:GRCm38:7:30522226:30535012:-1 gene:ENSMUSG00000036882.7 transcript:ENSMUST00000208538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap33 description:Rho GTPase activating protein 33 [Source:MGI Symbol;Acc:MGI:2673998] MLQAQKQSDPILPWGASWAGRGQTLRARSTDSLDGPGEGSVQPVPTTGGPGTKGKPGKRL SAPRGPFPRLADCAHFHYENVDFGHIQLLLSPEREGPSLSGENELVFGVQVTCQGRSWPV LRSYDDFRSLDAHLHRCIFDRRFSCLPELPPPPEGTRAAQMLVPLLLQYLETLSGLVDSN LNCGPVLTWMELDNHGRRLLLSEEASLNIPAVAAAHVVKRYTAQAPDELSFEVGDIVSVI DMPPTEDRSWWRGKRGFQVGFFPSECVELFTERPGPGLKADADSPLCGIPAPQGISSLTS AVPRPRGKLAGLLRTFMRSRPSRQRLRQRGILRQRVFGCDLGEHLSNSGQDVPQVLRCCS EFIEAHGVVDGIYRLSGVSSNIQRLRHEFDSERIPELSGPAFLQDIHSVSSLCKLYFREL PNPLLTYQLYGKFSEAMSVPGEEERLVRVHDVIQQLPPPHYRTLEYLLRHLARMARHSAN TSMHARNLAIVWAPNLLRSMELESVGLGGAAAFREVRVQSVVVEFLLTHVEVLFSDTFTS AGLDPAGRCLLPRPKSLAGSSPSTRLLTLEEAQARTQGRLGTPTEPTTPKTPASPVERRK RERAEKQRKPGGSSWKTFFALGRGPSIPRKKPLPWLGGSRAPPQPSGSRPDTVTLRSAKS EESLSSQASGAGLQRLHRLRRPHSSSDAFPVGPAPAGSCESLSSSSSSSSSSSSSSSSES SAGGLGPLSGSPSHRTSAWLDDGDDLDFSPPRCLEGLRGLDFDPLTFRCSSPTPGDPAPP ASPAPPASASAFPPRATPQALSPHGPTKPASPTALDISEPLAVSVPPAVLELLGAGGTPA SATPTPALSPHLIPLLLRGAEAQLSDTCQQEISSKLAPTRGAPGQQSPGGMDSPLLPPPL PLLRPGGAPPPPPKNPARLMALALAERAQQVAEQQSQQEQGGTPPAPHSPFRRSLSLEVG GEPVGTSGSGIHPPSLAHPGAWAPGPPPYLPRQQSDGSLVRSQRPLGTSRRSPRGPSQVS AHLRASGAYRDAPEMAAQSPCSVPSQGSNPSFFSTPRECLPPFLGVPKQGLYSLGPPSFP PSSPAPVWRNSLGAPSALDRGENLYYEIGVGEGTSYSGPSRSWSPFRSMPPDRHNASYGM LGQSPPLHRSPDFLLSYPPPPSCFPPEHLTHSVSQRLARRPTRPEPLYVNLALGPRGPSP ASSSSSSPPAHPRSRSDPGPPVPRLPQKQRAPWGPHTPHRVPGPWGSPEPFLLYRPAPPS YGRGGEVRGSLYRNGGHRGEGAGPPPPYPTPSWSLHSEGQTRSYC >ENSMUSP00000146571.1 pep:known chromosome:GRCm38:7:30522226:30535060:-1 gene:ENSMUSG00000036882.7 transcript:ENSMUST00000207858.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap33 description:Rho GTPase activating protein 33 [Source:MGI Symbol;Acc:MGI:2673998] MVARSTDSLDGPGEGSVQPVPTTGGPGTKGKPGKRLSAPRGPFPRLADCAHFHYENVDFG HIQLLLSPEREGPSLSGENELVFGVQVTCQGRSWPVLRSYDDFRSLDAHLHRCIFDRRFS CLPELPPPPEGTRAAQMLVPLLLQYLETLSGLVDSNLNCGPVLTWMELDNHGRRLLLSEE ASLNIPAVAAAHVVKRYTAQAPDELSFEVGDIVSVIDMPPTEDRSWWRGKRGFQVGFFPS ECVELFTERPGPGLKADADSPLCGIPAPQGISSLTSAVPRPRGKLAGLLRTFMRSRPSRQ RLRQRGILRQRVFGCDLGEHLSNSGQDVPQVLRCCSEFIEAHGVVDGIYRLSGVSSNIQR LRHEFDSERIPELSGPAFLQDIHSVSSLCKLYFRELPNPLLTYQLYGKFSEAMSVPGEEE RLVRVHDVIQQLPPPHYRTLEYLLRHLARMARHSANTSMHARNLAIVWAPNLLRSMELES VGLGGAAAFREVRVQSVVVEFLLTHVEVLFSDTFTSAGLDPAGRCLLPRPKSLAGSSPST RLLTLEEAQARTQGRLGTPTEPTTPKTPASPVERRKRERAEKQRKPGGSSWKTFFALGRG PSIPRKKPLPWLGGSRAPPQPSGSRPDTVTLRSAKSEESLSSQASGAGLQRLHRLRRPHS SSDAFPVGPAPAGSCESLSSSSSSSSSSSSSSSSESSAGGLGPLSGSPSHRTSAWLDDGD DLDFSPPRCLEGLRGLDFDPLTFRCSSPTPGDPAPPASPAPPASASAFPPRATPQALSPH GPTKPASPTALDISEPLAVSVPPAVLELLGAGGTPASATPTPALSPHLIPLLLRGAEAQL SDTCQQEISSKLAPTRGAPGQQSPGGMDSPLLPPPLPLLRPGGAPPPPPKNPARLMALAL AERAQQVAEQQSQQEQGGTPPAPHSPFRRSLSLEVGGEPVGTSGSGIHPPSLAHPGAWAP GPPPYLPRQQSDGSLVRSQRPLGTSRRSPRGPSQVSAHLRASGAYRDAPEMAAQSPCSVP SQGSNPSFFSTPRECLPPFLGVPKQGLYSLGPPSFPPSSPAPVWRNSLGAPSALDRGENL YYEIGVGEGTSYSGPSRSWSPFRSMPPDRHNASYGMLGQSPPLHRSPDFLLSYPPPPSCF PPEHLTHSVSQRLARRPTRPEPLYVNLALGPRGPSPASSSSSSPPAHPRSRSDPGPPVPR LPQKQRAPWGPHTPHRVPGPWGSPEPFLLYRPAPPSYGRGGEVRGSLYRNGGHRGEGAGP PPPYPTPSWSLHSEGQTRSYC >ENSMUSP00000146766.1 pep:known chromosome:GRCm38:7:30523972:30534997:-1 gene:ENSMUSG00000036882.7 transcript:ENSMUST00000208522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap33 description:Rho GTPase activating protein 33 [Source:MGI Symbol;Acc:MGI:2673998] MLQAQKQSDPILPWGASWAGRGQTLRARSTDSLDGPGEGSVQPVPTTGGPGTKGKPGKRL SAPRGPFPRLADCAHFHYENVDFGHSLAPPHTAPQPG >ENSMUSP00000147001.1 pep:known chromosome:GRCm38:7:30532122:30534565:-1 gene:ENSMUSG00000036882.7 transcript:ENSMUST00000208491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap33 description:Rho GTPase activating protein 33 [Source:MGI Symbol;Acc:MGI:2673998] MLQAQKQSDPILPWGASWAGRGQTLRARSTDSLDGPGEGSVQPVPTTGGPGTKGKPGKRL SAPRGPFPRLADCAHFHYENVDFGHIQLLLSPEREGPSLSGENELVFGVQVTCQGRSWPV LRSYDDFRSLDAHLHRCIFDRRFSCL >ENSMUSP00000038412.4 pep:known chromosome:GRCm38:7:30522226:30534180:-1 gene:ENSMUSG00000036882.7 transcript:ENSMUST00000044338.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap33 description:Rho GTPase activating protein 33 [Source:MGI Symbol;Acc:MGI:2673998] MLQAQKQSDPILPWGASWAGRGQTLRARSTDSLDGPGEGSVQPVPTTGGPGTKGKPGKRL SAPRGPFPRLADCAHFHYENVDFGHIQLLLSPEREGPSLSGENELVFGVQVTCQGRSWPV LRSYDDFRSLDAHLHRCIFDRRFSCLPELPPPPEGTRAAQMLVPLLLQYLETLSGLVDSN LNCGPVLTWMELDNHGRRLLLSEEASLNIPAVAAAHVVKRYTAQAPDELSFEVGDIVSVI DMPPTEDRSWWRGKRGFQVGFFPSECVELFTERPGPGLKADADSPLCGIPAPQGISSLTS AVPRPRGKLAGLLRTFMRSRPSRQRLRQRGILRQRVFGCDLGEHLSNSGQDVPQVLRCCS EFIEAHGVVDGIYRLSGVSSNIQRLRHEFDSERIPELSGPAFLQDIHSVSSLCKLYFREL PNPLLTYQLYGKFSEAMSVPGEEERLVRVHDVIQQLPPPHYRTLEYLLRHLARMARHSAN TSMHARNLAIVWAPNLLRSMELESVGLGGAAAFREVRVQSVVVEFLLTHVEVLFSDTFTS AGLDPAGRCLLPRPKSLAGSSPSTRLLTLEEAQARTQGRLGTPTEPTTPKTPASPVERRK RERAEKQRKPGGSSWKTFFALGRGPSIPRKKPLPWLGGSRAPPQPSGSRPDTVTLRSAKS EESLSSQASGAGLQRLHRLRRPHSSSDAFPVGPAPAGSCESLSSSSSSSSSSSSSSSSES SAGGLGPLSGSPSHRTSAWLDDGDDLDFSPPRCLEGLRGLDFDPLTFRCSSPTPGDPAPP ASPAPPASASAFPPRATPQALSPHGPTKPASPTALDISEPLAVSVPPAVLELLGAGGTPA SATPTPALSPHLIPLLLRGAEAQLSDTCQQEISSKLAPTRGAPGQQSPGGMDSPLLPPPL PLLRPGGAPPPPPKNPARLMALALAERAQQVAEQQSQQEQGGTPPAPHSPFRRSLSLEVG GEPVGTSGSGIHPPSLAHPGAWAPGPPPYLPRQQSDGSLVRSQRPLGTSRRSPRGPSQVS AHLRASGAYRDAPEMAAQSPCSVPSQGSNPSFFSTPRECLPPFLGVPKQGLYSLGPPSFP PSSPAPVWRNSLGAPSALDRGENLYYEIGVGEGTSYSGPSRSWSPFRSMPPDRHNASYGM LGQSPPLHRSPDFLLSYPPPPSCFPPEHLTHSVSQRLARRPTRPEPLYVNLALGPRGPSP ASSSSSSPPAHPRSRSDPGPPVPRLPQKQRAPWGPHTPHRVPGPWGSPEPFLLYRPAPPS YGRGGEVRGSLYRNGGHRGEGAGPPPPYPTPSWSLHSEGQTRSYC >ENSMUSP00000122504.1 pep:known chromosome:GRCm38:10:127281956:127283668:-1 gene:ENSMUSG00000025409.14 transcript:ENSMUST00000136169.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mbd6 description:methyl-CpG binding domain protein 6 [Source:MGI Symbol;Acc:MGI:106378] XGTRGGFNGQMERSPRRTHHWQHNGELAEGGAEPKDPPLPGTHSEDLKVPPGIVRKSRRG RRRKYNPARNSSSSRQDVTLEPSPTTRAAVPLPPRARPGRPAKNKRRKLAP >ENSMUSP00000115238.2 pep:known chromosome:GRCm38:10:127281956:127285900:-1 gene:ENSMUSG00000025409.14 transcript:ENSMUST00000126243.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mbd6 description:methyl-CpG binding domain protein 6 [Source:MGI Symbol;Acc:MGI:106378] XPSAAHASPRPSQRRPRRPPTVLRLLEGGGPQTPRRTRPRAPAPVPQPFPLPEPSQPILP SVLSLLGLPTPGPSHSDGSFNLLGSDAHLPPPPALSSGSPPQPRHPIQPSLPGTTSGSLS SVPGAPAPPAASKAPVVPSPVLQSPSDGLGMGAGPACPLPPLAGGEAFPFPSPEQGLALS GAGFPGMLGALPLPLSLGQPPPSPFLSHSLFGVLAGGGQPPPEPLLPPPGGPGPPSAPGE PEGPSLLVASLLSPPPSDLLPPPSAPPSNLLASFLPLLALGPTAGDGEGSAEGAGGPNGE PFSGLGDLPPLLFPPLSAPPTLIALNSALLAASLDPPSGTPPQPCVLSAPQPGPPTSSVT TATTDPGASSLGKAPSNSGRPQLLSPLLSASLLGDLSSLASSPGALPSLLQPPGPLLSSQ LGLQLLPGGGAPPALSEASSPLACLLQSLQQIPPEQPDAPCLPPESPASALEPEPARPPL SALAPPHASPDPPVPELLTGRGSGKRGRRGGGGLRGINGETRPGRGRKPGSRREPGRLAL KWGTRGGFNGQMERSPRRTHHWQHNGELAEGGAEPKDPPLPGTHSEDLKVPPGIVRKSRR GRRRKYKSLSPAF >ENSMUSP00000026476.6 pep:known chromosome:GRCm38:10:127281956:127288890:-1 gene:ENSMUSG00000025409.14 transcript:ENSMUST00000026476.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd6 description:methyl-CpG binding domain protein 6 [Source:MGI Symbol;Acc:MGI:106378] MNGDNASSAADRAGGPAATPVPIPIGWQRCVREGAVYYISPSGTELSSLEQTRSYLLSDG TCKCGLECPLNVPKVFNFDPLAPVTPGGAGVGPASEEDMTKLCNHRRKAVAMATLYRSME TTCSHSSPGEGASPQMFHTVSPGPPSVRPPCRAPPTTPLNGGPGSIPQDPPSVPQAFPPL TGPAGLFPPPRLPDPVPSAGSSSPCFLPRGNAPSPAPPPPPAISLNAPSYNWGASLRSNL VPSDLGSPPAPHASSSPPSDSPLFHCSDALTSPPLPPSNNPPGPPGPPGPATQPPVSSAT MHLPLVLGSLGGAPAVEGPGAPPFLASSLLSAAAKAQLPPPSTLQGRRPRAQAPSAAHAS PRPSQRRPRRPPTVLRLLEGGGPQTPRRTRPRAPAPVPQPFPLPEPSQPILPSVLSLLGL PTPGPSHSDGSFNLLGSDAHLPPPPALSSGSPPQPRHPIQPSLPGTTSGSLSSVPGAPAP PAASKAPVVPSPVLQSPSDGLGMGAGPACPLPPLAGGEAFPFPSPEQGLALSGAGFPGML GALPLPLSLGQPPPSPFLSHSLFGVLAGGGQPPPEPLLPPPGGPGPPSAPGEPEGPSLLV ASLLSPPPSDLLPPPSAPPSNLLASFLPLLALGPTAGDGEGSAEGAGGPNGEPFSGLGDL PPLLFPPLSAPPTLIALNSALLAASLDPPSGTPPQPCVLSAPQPGPPTSSVTTATTDPGA SSLGKAPSNSGRPQLLSPLLSASLLAGDLSSLASSPGALPSLLQPPGPLLSSQLGLQLLP GGGAPPALSEASSPLACLLQSLQQIPPEQPDAPCLPPESPASALEPEPARPPLSALAPPH ASPDPPVPELLTGRGSGKRGRRGGGGLRGINGETRPGRGRKPGSRREPGRLALKWGTRGG FNGQMERSPRRTHHWQHNGELAEGGAEPKDPPLPGTHSEDLKVPPGIVRKSRRGRRRKYN PARNSSSSRQDVTLEPSPTTRAAVPLPPRARPGRPAKNKRRKLAP >ENSMUSP00000112805.1 pep:known chromosome:GRCm38:10:127281956:127289018:-1 gene:ENSMUSG00000025409.14 transcript:ENSMUST00000119078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd6 description:methyl-CpG binding domain protein 6 [Source:MGI Symbol;Acc:MGI:106378] MNGDNASSAADRAGGPAATPVPIPIGWQRCVREGAVYYISPSGTELSSLEQTRSYLLSDG TCKCGLECPLNVPKVFNFDPLAPVTPGGAGVGPASEEDMTKLCNHRRKAVAMATLYRSME TTCSHSSPGEGASPQMFHTVSPGPPSVRPPCRAPPTTPLNGGPGSIPQDPPSVPQAFPPL TGPAGLFPPPRLPDPVPSAGSSSPCFLPRGNAPSPAPPPPPAISLNAPSYNWGASLRSNL VPSDLGSPPAPHASSSPPSDSPLFHCSDALTSPPLPPSNNPPGPPGPPGPATQPPVSSAT MHLPLVLGSLGGAPAVEGPGAPPFLASSLLSAAAKAQLPPPSTLQGRRPRAQAPSAAHAS PRPSQRRPRRPPTVLRLLEGGGPQTPRRTRPRAPAPVPQPFPLPEPSQPILPSVLSLLGL PTPGPSHSDGSFNLLGSDAHLPPPPALSSGSPPQPRHPIQPSLPGTTSGSLSSVPGAPAP PAASKAPVVPSPVLQSPSDGLGMGAGPACPLPPLAGGEAFPFPSPEQGLALSGAGFPGML GALPLPLSLGQPPPSPFLSHSLFGVLAGGGQPPPEPLLPPPGGPGPPSAPGEPEGPSLLV ASLLSPPPSDLLPPPSAPPSNLLASFLPLLALGPTAGDGEGSAEGAGGPNGEPFSGLGDL PPLLFPPLSAPPTLIALNSALLAASLDPPSGTPPQPCVLSAPQPGPPTSSVTTATTDPGA SSLGKAPSNSGRPQLLSPLLSASLLGDLSSLASSPGALPSLLQPPGPLLSSQLGLQLLPG GGAPPALSEASSPLACLLQSLQIPPEQPDAPCLPPESPASALEPEPARPPLSALAPPHAS PDPPVPELLTGRGSGKRGRRGGGGLRGINGETRPGRGRKPGSRREPGRLALKWGTRGGFN GQMERSPRRTHHWQHNGELAEGGAEPKDPPLPGTHSEDLKVPPGIVRKSRRGRRRKYNPA RNSSSSRQDVTLEPSPTTRAAVPLPPRARPGRPAKNKRRKLAP >ENSMUSP00000133835.1 pep:known chromosome:GRCm38:10:127282676:127284358:-1 gene:ENSMUSG00000025409.14 transcript:ENSMUST00000154851.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd6 description:methyl-CpG binding domain protein 6 [Source:MGI Symbol;Acc:MGI:106378] XLLSASLLGDLSSLASSPGALPSLLQPPGPLLSSQLGLQLLPGGGAPPALSEASSPLACL LQSLQVPPGIVRKSRRGRRRKYNPARNSSSSRQDVTLEPSPTTRAAVPLPPRARPGRPAK NKRRKLAP >ENSMUSP00000134527.1 pep:known chromosome:GRCm38:10:127283526:127284371:-1 gene:ENSMUSG00000025409.14 transcript:ENSMUST00000172567.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd6 description:methyl-CpG binding domain protein 6 [Source:MGI Symbol;Acc:MGI:106378] QLLSPLLSASLLAGDLSSLASSPGALPSLLQPPGPLLSSQLGLQLLPGGGAPPALSEASS PLACLLQSLQIPPEQPDAPCLPPESPASALEPEPARPPLSALAPPHASPDPPVPELLTGR GSGKRGRRGGGGLRGINGETRPGRGRKPGSRREPGRLALKWGTRGGFNGQMERSPRRTHH WQHNGELAEGGAEPKDPPLPGTHSEDLK >ENSMUSP00000114590.1 pep:known chromosome:GRCm38:10:127286568:127288853:-1 gene:ENSMUSG00000025409.14 transcript:ENSMUST00000156208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd6 description:methyl-CpG binding domain protein 6 [Source:MGI Symbol;Acc:MGI:106378] MNGDNASSAADRAGGPAATPVPIPIGWQRCVREGAVYYISPSGTELSSLEQTRSYLLSDG TCKCGLECPLNVPKVFNFDPLAPVTPGGAGVGPASEEDMTKLCNHRRKAVAMATLYRSME TTCSHSSPGE >ENSMUSP00000112736.1 pep:known chromosome:GRCm38:7:120421701:120544813:1 gene:ENSMUSG00000051900.12 transcript:ENSMUST00000120490.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca16 description:ATP-binding cassette, sub-family A (ABC1), member 16 [Source:MGI Symbol;Acc:MGI:2388711] MNQLTVNQLTVLLWKNFTLKKRQIISTSAELLAALLFVIMLLIFRALTDMNIAGPYHFTA QPIAIMPSFIKDAQEWELVYIPSEIDVVREIIEDVKRNLNTTIKVRGFPSEAEFEEYILF DNMSQKVLAAIVFDCGFKNKSDPLPLQVKYHLRFVGAQRTIWWPDKLGWKTRLLFPNHPS IRPRNPNYLDGGSPGYIKEGFLAVQHALDKSIMLYHESSAGKKLFDEIDTVIQRFPYPSH PQDKLLWISSPFIPLMFILMFSSIVLSIMRSIVFEKEKRLKEYQLIMGLRNWIIWIGYFF TFFPLYVIIILLICILLFIVEEPILRYSDCSFIFVFLTCYAIASICFAFMVSTFFSKTRL AASAGNLLFFASFFPYNFISEYYGMLNLTTKITACLSANVALALGINILIKLEIQEIGVK WHNLWTPANLEDNLNFGYMLGMLLFDAFLYSLVTWYVEAVFPGQCGVPQPWYFFLMRSYW FGKPKIRKTTEEAKCTPIVHNCYEAEPPNLEAGIHIMHLHKEFKNKPAVNNLSLNIYEGQ VTVLLGHNGAGKTTTLSVLTGVYPYASRVKAYINGYNISDNMIEVRKDLGFCPQHDLLFD DLTLSEHLFFYCMVKGIPQNINCEEIDRMLSAFNLQENYHTLSGSASGGVRRKLSIVLAL MAGSKVVILDEPSSGMDPVSRRATWDILQHYKHNRTILLTTHYMDEADVLGDRVAIMVRG TLHCCGSSVFLKQIYGAGYHIVMEKQQYCDVDNIIAMIQQHVPGAVLENNIENELSFILP KKYVSRFETLFTELEMRQKALGIASFGASITTMEEVFVKVNKLATPQKSIQTIQPYYLAY RKMRQDEQQNVNMPINYSKPNFPYLSEIATVKFNTGVPLYRQQFYSLFIKRALFISRNWK FMLLQIIVVMVVTTYLLLALHLNNNDIPERELNLSHYGRTIVPYSTSGNSDLALNLTKNL NIFLKSKNQNLRKIRGDMNDYILKNKDCHTFCLVALSIKVERNKTVLTIFFNNEAYHSPA ISLSILDNILFMTLSGPDASITVFNKPQPLPHYGSNIVPVNGLQIVQCLAFGISVVVGSF SIQTVTERTSQAKHIQFLTGVCVHTYWLSALLCDLIFFFFACCVLLAIFKFCQLEAFVVH YNFLDTILIFMLYGWCVVPLTYIASFLFNSSTAAYIKITLFNYFSTMFSIIIYTIIQFYG NDFPNFVHILIRAILMALPSYNLAMSISKYFDDYEVKRLCAREFKSIYLDCSDPYTQNNV YGFGEHGIGKFLITLATIGLVFLLLLLSLESVSCSLKSFVFRNIIFYFYNKLRKGRNAIP SNQRTKEDEDEDIKKEKGKVFTLLLRLQNTPLLLNEVTKIYFKCPVVKAVKNISLVVKKS ECFGLLGLNGAGKTTTFKMLTGEETITSGIAFIDGNSVTRTPRKIRSRIGYCPQTESVLN HMTGRESLVMYARLWGVLEQDINEYVEAFLHSVHLEPIADQFIHTYSAGSKRRLSTAIAL MGKSSVVFLDEPSIGMDPVAQHLLWETITWICKTGKAIIITSHRMEECEALCTRLAIMVK GRFTCLGTPQHVRKRFGHVYTLTVRINIAKDEDKVEEFKNFIKVTFPGNIKFQEFHGTIG YYIPSKEIYWGKVFAILEEAKVLFKLEDYSVKRVTLEQIFLTFANTDKMRTYQEIKLQ >ENSMUSP00000061094.5 pep:known chromosome:GRCm38:7:120421701:120544813:1 gene:ENSMUSG00000051900.12 transcript:ENSMUST00000056042.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca16 description:ATP-binding cassette, sub-family A (ABC1), member 16 [Source:MGI Symbol;Acc:MGI:2388711] MNQLTVNQLTVLLWKNFTLKKRQIISTSAELLAALLFVIMLLIFRALTDMNIAGPYHFTA QPIAIMPSFIKDAQEWELVYIPSEIDVVREIIEDVKRNLNTTIKVRGFPSEAEFEEYILF DNMSQKVLAAIVFDCGFKNKSDPLPLQVKYHLRFVGAQRTIWWPDKLGWKTRLLFPNHPS IRPRNPNYLDGGSPGYIKEGFLAVQHALDKSIMLYHESSAGKKLFDEIDTVIQRFPYPSH PQDKLLWISSPFIPLMFILMFSSIVLSIMRSIVFEKEKRLKEYQLIMGLRNWIIWIGYFF TFFPLYVIIILLICILLFIVEEPILRYSDCSFIFVFLTCYAIASICFAFMVSTFFSKTRL AASAGNLLFFASFFPYNFISEYYGMLNLTTKITACLSANVALALGINILIKLEYKIGVKW HNLWTPANLEDNLNFGYMLGMLLFDAFLYSLVTWYVEAVFPGQCGVPQPWYFFLMRSYWF GKPKIRKTTEEAKCTPIVHNCYEAEPPNLEAGIHIMHLHKEFKNKPAVNNLSLNIYEGQV TVLLGHNGAGKTTTLSVLTGVYPYASRVKAYINGYNISDNMIEVRKDLGFCPQHDLLFDD LTLSEHLFFYCMVKGIPQNINCEEIDRMLSAFNLQENYHTLSGSASGGVRRKLSIVLALM AGSKVVILDEPSSGMDPVSRRATWDILQHYKHNRTILLTTHYMDEADVLGDRVAIMVRGT LHCCGSSVFLKQIYGAGYHIVMEKQQYCDVDNIIAMIQQHVPGAVLENNIENELSFILPK KYVSRFETLFTELEMRQKALGIASFGASITTMEEVFVKVNKLATPQKSIQTIQPYYLAYR KMRQDEQQNVNMPINYSKPNFPYLSEIATVKFNTGVPLYRQQFYSLFIKRALFISRNWKF MLLQIIVVMVVTTYLLLALHLNNNDIPERELNLSHYGRTIVPYSTSGNSDLALNLTKNLN IFLKSKNQNLRKIRGDMNDYILKNKDCHTFCLVALSIKVERNKTVLTIFFNNEAYHSPAI SLSILDNILFMTLSGPDASITVFNKPQPLPHYGSNIVPVNGLQIVQCLAFGISVVVGSFS IQTVTERTSQAKHIQFLTGVCVHTYWLSALLCDLIFFFFACCVLLAIFKFCQLEAFVVHY NFLDTILIFMLYGWCVVPLTYIASFLFNSSTAAYIKITLFNYFSTMFSIIIYTIIQFYGN DFPNFVHILIRAILMALPSYNLAMSISKYFDDYEVKRLCAREFKSIYLDCSDPYTQNNVY GFGEHGIGKFLITLATIGLVFLLLLLSLESVSCSLKSFVFRNIIFYFYNKLRKGRNAIPS NQRTKEDEDEDIKKEKGKVFTLLLRLQNTPLLLNEVTKIYFKCPVVKAVKNISLVVKKSE CFGLLGLNGAGKTTTFKMLTGEETITSGIAFIDGNSVTRTPRKIRSRIGYCPQTESVLNH MTGRESLVMYARLWGVLEQDINEYVEAFLHSVHLEPIADQFIHTYSAGSKRRLSTAIALM GKSSVVFLDEPSIGMDPVAQHLLWETITWICKTGKAIIITSHRMEECEALCTRLAIMVKG RFTCLGTPQHVRKRFGHVYTLTVRINIAKDEDKVEEFKNFIKVTFPGNIKFQEFHGTIGY YIPSKEIYWGKVFAILEEAKVLFKLEDYSVKRVTLEQIFLTFANTDKMRTYQEIKLQ >ENSMUSP00000100775.1 pep:known chromosome:GRCm38:4:88782131:88783592:1 gene:ENSMUSG00000096854.1 transcript:ENSMUST00000105144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnz description:interferon zeta [Source:MGI Symbol;Acc:MGI:2448469] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLKQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAYSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000104960.1 pep:known chromosome:GRCm38:2:164634707:164638796:-1 gene:ENSMUSG00000070530.5 transcript:ENSMUST00000109336.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc16 description:WAP four-disulfide core domain 16 [Source:MGI Symbol;Acc:MGI:2670994] MSPVGLMKWQVTLQMLLLLGTLGLPVLARWKDRYFSEIQIQDYILTRPKLPPCLTRPTST QCTSYCRAHLDCEQDFHCCRSFCGNVCMSPEEAEGAKPNHTIRNSVSTVVP >ENSMUSP00000132478.1 pep:known chromosome:GRCm38:7:86996465:87037968:1 gene:ENSMUSG00000090362.1 transcript:ENSMUST00000164462.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r79 description:vomeronasal 2, receptor 79 [Source:MGI Symbol;Acc:MGI:3646882] MFSLTFVFLVLKLSFLFCYLSDPRCFWRIKDRENYLGDKEADCFFSMYTKHGYVKNDYFS ENLDKKVTLKTIHLISSVYFAAEEINRNSYILPNTSLIVKIECNLIADNVKRIWSLKKKE IIPNYYCKNQRRYLIVLTGPIWITSYILGPFLYFSQTPELYCGHFHLLLNDQEQFPHLYQ MTPKDTSLPQGMVSLAVHFRWNWVGVIITDDDHGIQFLSELRAGMESNTVCLAFVTIITY NRKLYLKMYHKYYHQITMSSAKVVIVYGDKESPLQFNFILWKSENIQRLWVSVSQFDIIT MIGEFMLNSVHGTLIFSHQQSEMSGFKQFMQTVHPSNYSNDISLAKLWWTYFKCSLPPPD CKTLKNCPTKTLLKRFFVPPLGMSMSETCYNLYNSVYAVAHSLHEMLLQQVDTCSENDGK VLEFNSWKMFSFLKTIQFVNPVGDLINMNQNRERDTEYDIFYIMDFLKHEGLKMKIGRFS GHFPNGQQLFISDEMIEWATDIKQTIASACSVPCRPGLRKYFQEGKAVCCFDCYPCPENE ISNMTDMDQCLKCPEDEYANTDQTNCLKKVVTFLDYKEPLGMALTGLAVLFSSLTVVVLC VFLKHRDTPIVKANNETLSYVLLISLIFCFICSLLYIGYPSMVSCILQQTTFAMVFTVSA SCVLAKTITVVLAFKITVPGRRLRWLLLSGAPNYIIPICTTIQMMLCGIWLGISPPFVDA DLHMVHGHIIIVCNKGSVIAFYCVLGYMGSLALASFTVAFLARNLPDTFNEAKLLTFSML VFCSVWITFLPVYHSTKGKAMVAVEVFCILASSAGMLLCIFVPKCYLILWRPQINSFHEF RKMHVKNKNIN >ENSMUSP00000115882.1 pep:known chromosome:GRCm38:5:45520229:45529276:1 gene:ENSMUSG00000015804.14 transcript:ENSMUST00000156481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med28 description:mediator complex subunit 28 [Source:MGI Symbol;Acc:MGI:1914249] MAASLGGMFTGQPPGPPPPPPGLPGQASLLQAAPGAPRPSNSTLVDELESSFEACFASLV SQDYVNGTDQEEIRTGVDQCIQKFLDIARQTECFFLQKRLQLSVQKPDQVIKEDVSELRS ELQRKDALVQKHLTKLRHWQQVLEDINVQHKKPADMPQGSLAFLEQASANIPAPLKQT >ENSMUSP00000112418.1 pep:known chromosome:GRCm38:5:45520295:45525598:1 gene:ENSMUSG00000015804.14 transcript:ENSMUST00000119579.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med28 description:mediator complex subunit 28 [Source:MGI Symbol;Acc:MGI:1914249] MAASLGGMFTGQPPGPPPPPPGLPGQASLLQAAPGAPRPSNSTLVDELESSFEDVSELRS ELQRKDALVQKHLTKLRHWQQVLEDINVQHKKPADMPQGSLAFLEQASANIPAPLKQT >ENSMUSP00000113027.1 pep:known chromosome:GRCm38:5:45520303:45525637:1 gene:ENSMUSG00000015804.14 transcript:ENSMUST00000118833.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med28 description:mediator complex subunit 28 [Source:MGI Symbol;Acc:MGI:1914249] MAASLGGMFTGQPPGPPPPPPGLPGQASLLQAAPGAPRPSNSTLVDELESSFEACFASLV SQDYVNGTDQEEIRTGCLGTKE >ENSMUSP00000140435.1 pep:known chromosome:GRCm38:4:88785709:88786257:1 gene:ENSMUSG00000099545.1 transcript:ENSMUST00000179372.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13276 description:predicted gene 13276 [Source:MGI Symbol;Acc:MGI:3701972] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITQMTLGETTSCYSQSLRQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000026324.9 pep:known chromosome:GRCm38:X:155262443:155297654:1 gene:ENSMUSG00000025287.15 transcript:ENSMUST00000026324.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot9 description:acyl-CoA thioesterase 9 [Source:MGI Symbol;Acc:MGI:1928939] MKRAAIRLWTLNKGLLTHGRGLSQGSQYKISEPLHIHQVRDKLREIVGVSTVWRDHVKAM EERKLLHSFLPKSQKVLPPRKMRDSYIEVLLPLGTDPELRDKYVTVQNTVRFGRILEDLD SLGVLVCYMHNHNHSTKMSPLSIVTVLVDKIDMCKHSLSPEQDIKFTGHVSWVGNTSMEV KMKMFQLHNDEKYWPVLDATFVMVARDSENKGPAFVNPLIPENKEEEELFKQGELNKSRR IAFSTSSLLKVAPSSEERNIIHELFLTTLDPKTISFQSRILPPKAVWMEDTKLKSLDICH PQERNVFNRIFGGFLMRKAYELAWATACSFGGSRPYVVTVDDIMFQKPVEVGSLLFLSSQ VCFTQDNYIQVRVHSEVSSLDSREHMTTNVFHFTFMSEKEVPLIFPKTYGESMLYLDGQR HFKSMSTPVTLKKDYPVEP >ENSMUSP00000138519.1 pep:known chromosome:GRCm38:X:155262505:155297646:1 gene:ENSMUSG00000025287.15 transcript:ENSMUST00000131332.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acot9 description:acyl-CoA thioesterase 9 [Source:MGI Symbol;Acc:MGI:1928939] MKRAAIRLPGSKRMALALDSEAIPLLALDLEQGASYSWQRTVSRIPIQNK >ENSMUSP00000030323.2 pep:known chromosome:GRCm38:4:144418189:144438772:-1 gene:ENSMUSG00000028589.2 transcript:ENSMUST00000030323.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700012P22Rik description:RIKEN cDNA 1700012P22 gene [Source:MGI Symbol;Acc:MGI:1916614] MAMLSTSVVPEAFSTPGWQIEKKYSTKVLLGNWVEERGKFTKAIDHTPQCIYRKEYVPMP DHRPDFVSRWYSKSKMEGLPYKHLITHHQEPSHRYLISTYDDHYNRHNYNPGLPALRTWN GQKLLWLPEKSDFPLVAPPTNYGLLEQLQQKWLASKTSLKESIYTTSYPRLPVCAMSRRE HAIPVPHPRLQPIPRF >ENSMUSP00000069238.8 pep:known chromosome:GRCm38:7:66743504:66823691:1 gene:ENSMUSG00000030510.11 transcript:ENSMUST00000066475.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers3 description:ceramide synthase 3 [Source:MGI Symbol;Acc:MGI:2681008] MRCVALENKAAWNGKPLQLLPTGEEYPVQTGALGRRMFQTFRKWFWSERYWLPPTIKWSD LEDHDGLVFVKASHLYITIPYAFLLMVVRYFFEKFVATPLANALGIKKTQHKIKPNAILE NFFKHSTSKPSHTDIYGLAKKCNLTERQVERWLRIRQKQNKPCRLQKFQESCWRFTFYLL ITMAGAVFLYDKPWAYDLWEVWNDYPRQPLLPSQYWYYILEMSFYWSLVFSLSTDIKRKD FLAHVIHHLAAISLMSFSWCANYIRSGTLVMFIHDISDIWLESAKMFSYAGWKQTCNTLF FIFTVVFFISRFIIFPFWILYCTLILPLHYLEPFFSYIFLNLQLMILQGLHVYWGYFILK MLNRCIFTQNVQDVRSDNEEEEEEEEEEEAESTKGKETEYLKNGLGTNRHLIANGQHGR >ENSMUSP00000146745.1 pep:known chromosome:GRCm38:7:66764169:66821842:1 gene:ENSMUSG00000030510.11 transcript:ENSMUST00000208521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers3 description:ceramide synthase 3 [Source:MGI Symbol;Acc:MGI:2681008] MFQTFRKWFWSERYWLPPTIKWSDLEDHDGLVFVKASHLYITIPYAFLLMVVRYFFEKFV ATPLANALGIKKTQHKIKPNAILENFFKHSTSKPSHTDIYGLAKKCNLTERQVERWLRIR QKQNKPCRLQKFQESCWRFTFYLLITMAGAVFLYDKPWAYDLWEVWNDYPRQPLLPSQYW YYILEMSFYWSLVFSLSTDIKRKDFLAHVIHHLAAISLMSFSWCANYIRSGTLVMFIHDI SDIWLESAKMFSYAGWKQTCNTLFFIFTVVFFISRFIIFPFWILYCTLILPLHYLEPFFS YIFLNLQLMILQGLHVYWGYFILKMLNRCIFTQNVQDVRSDNEEEEEEEEEEEAESTKGK ETEYLKNGLGTNRHLIANGQHGR >ENSMUSP00000123476.1 pep:known chromosome:GRCm38:1:166483613:166497588:-1 gene:ENSMUSG00000086056.1 transcript:ENSMUST00000143922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4846 description:predicted gene 4846 [Source:MGI Symbol;Acc:MGI:3643319] MEVKQIAIIGAGVSGLGAIKSCLEEGLEPTCFEKSNDIGGLWRYKETPENGRPGIYKSLT CNTSKEMTTFSDYPIPDHYPNYMHHSKMMEYLRMYARHFGLMKHIQFQTRVCVVRKRPDF SSSGQWDVVVEADGKQKNYIFDGVMVCSGHYTEKYLPLQDFAGISKFQGSCLHSWEYKHP DSFVGKRVVVIGIGNSGADVANEISCVTEQVFLSTRRGTWIWNRVWDNGDPLDIALFTRY NRTVKSFYPTFLINRWTENKLNLRFNHANYGLQAKHRFLSHQSIFSDDLPNRIISGRVLV KTNVREFTSTSAIFEDGSEEIVDVVVFATGYTLSFPFLDDSSEILDSKHTMFKFVFPPQL EKPTLAFIGILQPIGATIPTSELQSRWVTRVFAGLQKLPSQSNMMADINRKKRKMEKEFV KSPRDVRRVPYIDYMDEIASEIGVKPNLLSFFLWDTKLAKEIFWGPCTPYQYRLQGPGKW AGARAAILTQRDRILKPLRSRVLKNSETSSSSLFWVRCICAVIFPFVSVFAIIHAIYQ >ENSMUSP00000116746.1 pep:known chromosome:GRCm38:11:115403752:115412674:1 gene:ENSMUSG00000018858.15 transcript:ENSMUST00000153983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ict1 description:immature colon carcinoma transcript 1 [Source:MGI Symbol;Acc:MGI:1915822] MATAWGLRWGLSRTGTLLLAPPARCARRALHRQVDGTTFQSIYSLDKLYPESKGADTAWK VPEHAKQASSYIPLDRLSISYCRSSGPGGQNVNKVNSKAEVRFHLASADWIEEPVRQKIA LTHKNKINKAGELVLTSESSRYQFRNLAECLQKIRDMIAEASQVPKEPSKEDARLQRLRI EKMNRERLRQKRLNSALKTSRRMTMD >ENSMUSP00000099325.4 pep:known chromosome:GRCm38:11:115403757:115410919:1 gene:ENSMUSG00000018858.15 transcript:ENSMUST00000103036.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ict1 description:immature colon carcinoma transcript 1 [Source:MGI Symbol;Acc:MGI:1915822] MATAWGLRWGLSRTGTLLLAPPARCARRALHRQEHAKQASSYIPLDRLSISYCRSSGPGG QNVNKVNSKAEVRFHLASADWIEEPVRQKIALTHKNKINKAGELVLTSESSRYQFRNLAE CLQKIRDMIAEASQVPKEPSKEDARLQRLRIEKMNRERLRQKRLNSALKTSRRMTMD >ENSMUSP00000102149.3 pep:known chromosome:GRCm38:11:115403754:115412662:1 gene:ENSMUSG00000018858.15 transcript:ENSMUST00000106539.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ict1 description:immature colon carcinoma transcript 1 [Source:MGI Symbol;Acc:MGI:1915822] MATAWGLRWGLSRTGTLLLAPPARCARRALHRQVDGTTFQSIYSLDKLYPESKGADTAWK VPEHAKQASSYIPLVNSKAEVRFHLASADWIEEPVRQKIALTHKNKINKAGELVLTSESS RYQFRNLAECLQKIRDMIAEASQVPKEPSKEDARLQRLRIEKMNRERLRQKRLNSALKTS RRMTMD >ENSMUSP00000116245.1 pep:known chromosome:GRCm38:11:115403771:115410261:1 gene:ENSMUSG00000018858.15 transcript:ENSMUST00000122946.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ict1 description:immature colon carcinoma transcript 1 [Source:MGI Symbol;Acc:MGI:1915822] MATAWGLRWGLSRTGTLLLAPPARCARRALHRQVDGTTFQSIYSLDKLYPESKGADTAWK VPIG >ENSMUSP00000035230.5 pep:known chromosome:GRCm38:9:108296870:108302302:1 gene:ENSMUSG00000032607.12 transcript:ENSMUST00000035230.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amt description:aminomethyltransferase [Source:MGI Symbol;Acc:MGI:3646700] MHRIVSVVAPLGFRLQAQPLVQSRPLSSVQDVLRRTPLYDFHLAHGGKMVAFAGWSLPVQ YRDSHVDSHLHTRRHCSLFDVSHMLQTKIFGCDRVKLLESVVVGDIAELRPNQGTLSLFT NEAGGILDDLIVSNTSEGHLYVVSNAGCRDKDLALMQDKVKEFQNRGLDVGLEVVENALL ALQGPTATQVLQAGVTDDMKKLPFMTSAVMEVFGVSGCRVTRCGYTGEDGVEISVPATGA VHLATTLLKNPEVKLAGLAARDSLRLEAGLCLYGNDIDEHTTPVEGSLSWTLGKRRRIAM DFPGAKIIVPQLKGEVQRRRVGLICEGAPVRAHSPILNTEGTVIGTVTSGCPSPSLKKNV AMGYVPFKYSRPGTQLLVEVRRKQQMTVVSKMPFVPTNYYTLK >ENSMUSP00000027366.6 pep:known chromosome:GRCm38:1:74409376:74435559:1 gene:ENSMUSG00000026175.12 transcript:ENSMUST00000027366.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vil1 description:villin 1 [Source:MGI Symbol;Acc:MGI:98930] MTKLNAQVKGSLNITTPGIQIWRIEAMQMVPVPSSTFGSFFDGDCYVVLAIHKTSSTLSY DIHYWIGQDSSQDEQGAAAIYTTQMDDYLKGRAVQHREVQGNESETFRSYFKQGLVIRKG GVASGMKHVETNSCDVQRLLHVKGKRNVLAGEVEMSWKSFNRGDVFLLDLGKLIIQWNGP ESNRMERLRGMALAKEIRDQERGGRTYVGVVDGEKEGDSPQLMAIMNHVLGPRKELKAAI SDSVVEPAAKAALKLYHVSDSEGKLVVREVATRPLTQDLLKHEDCYILDQGGLKIFVWKG KNANAQERSGAMSQALNFIKAKQYPPSTQVEVQNDGAESPIFQQLFQKWTVPNRTSGLGK THTVGSVAKVEQVKFDALTMHVQPQVAAQQKMVDDGSGEVQVWRIEDLELVPVESKWLGH FYGGDCYLLLYTYLIGEKQHYLLYIWQGSQASQDEIAASAYQAVLLDQKYNDEPVQIRVT MGKEPPHLMSIFKGRMVVYQGGTSRKNNLEPVPSTRLFQVRGTNADNTKAFEVTARATSL NSNDVFILKTPSCCYLWCGKGCSGDEREMAKMVADTISRTEKQVVVEGQEPANFWMALGG KAPYANTKRLQEENQVITPRLFECSNQTGRFLATEIFDFNQDDLEEEDVFLLDVWDQVFF WIGKHANEEEKKAAATTVQEYLKTHPGNRDLETPIIVVKQGHEPPTFTGWFLAWDPFKWS NTKSYDDLKAELGNSGDWSQIADEVMSPKVDVFTANTSLSSGPLPTFPLEQLVNKSVEDL PEGVDPSRKEEHLSTEDFTRALGMTPAAFSALPRWKQQNIKKEKGLF >ENSMUSP00000123786.1 pep:known chromosome:GRCm38:1:74419053:74423018:1 gene:ENSMUSG00000026175.12 transcript:ENSMUST00000159749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vil1 description:villin 1 [Source:MGI Symbol;Acc:MGI:98930] XLALLMETPAPPPQFHLTIRKGGVASGMKHVETNSCDVQRLLHVKGKRNVLAGEVEMSWK SFNRGDVFLLDLGKLIIQWNGPESNRMERLRGMALAKEIRDQERGGRTYVGVVDGEKEGD SPQLMAIMNHVLGPRKELKAAISDSVVEPAAKAALKLYHVSDSEGKLVVREVATRPLTQD LLKHEDCYILDQGGLKIFVWKGKNANAQERSGAMSQALNFIKAKQYPPSTQVEVQNDGAE SPIFQQLF >ENSMUSP00000136624.2 pep:known chromosome:GRCm38:4:88788632:88789180:1 gene:ENSMUSG00000100234.1 transcript:ENSMUST00000178480.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13277 description:predicted gene 13277 [Source:MGI Symbol;Acc:MGI:3701974] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLKQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000031101.4 pep:known chromosome:GRCm38:5:65391540:65410693:1 gene:ENSMUSG00000029199.11 transcript:ENSMUST00000031101.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lias description:lipoic acid synthetase [Source:MGI Symbol;Acc:MGI:1934604] MGKNYNKLKNTLRNLSLHTVCEEARCPNIGECWGGGEYATATATIMLMGDTCTRGCRFCS VKTARNPPPLDANEPDNTAKAIAEWGLDYVVLTSVDRDDVADGGAEHIAKTVSCLKERNP KILVECLTPDFRGDLRAVEKVALSGLDVYAHNVETVPELQRKVRDPRANFDQSLRVLRHA KEVQPDVVSKTSIMLGLGETDEQVYATLKALRAADVDCLTLGQYMQPTKRHLKVEEYVTP EKFKYWEKVGNELGFLYTASGPLVRSSYKAGEFFLKNLVARRKTKASKV >ENSMUSP00000143152.1 pep:known chromosome:GRCm38:5:65391565:65397686:1 gene:ENSMUSG00000029199.11 transcript:ENSMUST00000200374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lias description:lipoic acid synthetase [Source:MGI Symbol;Acc:MGI:1934604] MGKNYNKLKNTLRNLSLHTVCEEARCPNIGECWGGGEYATATATIMLMGDTCTRGCRFCS VKTARNPPPLDANE >ENSMUSP00000113228.2 pep:known chromosome:GRCm38:5:65391497:65409207:1 gene:ENSMUSG00000029199.11 transcript:ENSMUST00000122026.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lias description:lipoic acid synthetase [Source:MGI Symbol;Acc:MGI:1934604] MALRCWDTARSLGSRIFGRYAFTVRALSSLPDKKKEFLHNGPDLQDFVSGDLADKSTWDE YKGNLKRQKGERLRLPPWLKTKIPMGKNYNKLKNTLRNLSLHTVCEEARCPNIGECWGGG EYATATATIMLMGDTCTRGCRFCSVKTARNPPPLDANEPDNTAKAIAEWGLDYVVLTSVD RDDVADGGAEHIAKTVSCLKERNPKILVECLTPDFRGDLRAVEKVALSGLDVYAHNVETV PELQRKVRDPRANFDQSLRVLRHAKEVQPDVVSKTSIMLGLGETDEQVYATLKALRAADV DCLTLGQYMQPTKRHLKVEEYVTPEKFKYWEKVGNELGFLYTASGPLVRSSYKAGEFFLK NLVARRKTKASKV >ENSMUSP00000114755.1 pep:known chromosome:GRCm38:3:121201761:121283098:-1 gene:ENSMUSG00000028132.15 transcript:ENSMUST00000135818.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem56 description:transmembrane protein 56 [Source:MGI Symbol;Acc:MGI:1923195] MEASTKAAVGSGAMEASTKAVICTVCSSFVVFQILFHFVSYWFSARVSSGYNSLSIDKKI EWNSRVVSTCHSLLVGIFGLYLFFFDEATITDPLWGDPTYVNINIATASGYLISDLLIIL FNWKVIGDKFFIIHHCAGLTAYYFVLTTGALAYIANFRLLAELSSPFVNQRWFFEALKYP KFSKANVINGILMTVVFFIVRIISIPPMYFFLYSVYGTEPYIRTCILKVNF >ENSMUSP00000119468.1 pep:known chromosome:GRCm38:3:121202008:121263314:-1 gene:ENSMUSG00000028132.15 transcript:ENSMUST00000128909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem56 description:transmembrane protein 56 [Source:MGI Symbol;Acc:MGI:1923195] MEASTKAAVGSGAMEASTKAVICTVCSSFVVFQILFHFVSYWFSARVSSGYNSLSIDKKI EWNSRVVSTCHSLLVGIFGLYLFFFDEATITDPLWGDPTYVNINIATASGYLISDLLIIL FNWKVIGDKFFIIHHCAGLTAYYFVLTTGALAYIANFRLLAELSSPFVNQRWFFEALKYP KFSKANVINGILMTVVFFIVRIISIPPMYFFLYSVYGTEPYIRFGFVIQSVWIVTCVILD VMNIMWMIKITKGCIKVISLIRQEKAKDSLQNGKLD >ENSMUSP00000029777.7 pep:known chromosome:GRCm38:3:121202008:121263340:-1 gene:ENSMUSG00000028132.15 transcript:ENSMUST00000029777.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem56 description:transmembrane protein 56 [Source:MGI Symbol;Acc:MGI:1923195] MEASTKAAVGSGAMEASTKAVICTVCSSFVVFQILFHFVSYWFSARVSSGYNSLSIDKKI EWNSRVVSTCHSLLVGIFGLYLFFFDEATITDPLWGDPTYVNINIATASGYLISDLLIIL FNWKVIGDKFFIIHHCAGLTAYYFVLTTGALAYIANFRLLAELSSPFVNQRWFFEALKYP KFSKANVINGILMTVVFFIVRIISIPPMYFFLYSVYGTEPYIRFGFVIQSVWIVTCVILD VMNIMWMIKITKGCIKVISLIRQEKAKDSLQNGKLD >ENSMUSP00000120821.1 pep:known chromosome:GRCm38:3:121206038:121252863:-1 gene:ENSMUSG00000028132.15 transcript:ENSMUST00000155309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem56 description:transmembrane protein 56 [Source:MGI Symbol;Acc:MGI:1923195] MEASTKAAVGSGAMEASTKAVICTVCSSFVVFQILFHFVSYWFSARVSSGYNSLSIDKKI EWNSRVVSTCHSLLVGIFGLYLFFFDEATITDPLWGDPTYVNINIATASGYLISDLLIIL FNWKVIGDKFFIIHHCAGLTAYYFVLTTGALAYIANFRLLAELSSPFVNQRWFFEALKYP KFSKANVINGILMTVVFFIVRIISIPPMYFFLYSVYGTEPYIRFGFVIQSVWIVTCVILD VMNIMWMIKITKGCIKVISLIRQEKAKDSLQNGKLD >ENSMUSP00000122001.1 pep:known chromosome:GRCm38:3:121228334:121283098:-1 gene:ENSMUSG00000028132.15 transcript:ENSMUST00000137234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem56 description:transmembrane protein 56 [Source:MGI Symbol;Acc:MGI:1923195] MEASTKAAVGSGAMEASTKAVICTVCSSFVVFQILFHFVSYWFSARVSSGYNSLSIDKKI EWNSRVVSTCHSLLVGIFGLYLFFFDEATITDPLWGDPTYVNINIATASGYLISDLLIIL FNWKVIGDKFFIIHHCAG >ENSMUSP00000014370.5 pep:known chromosome:GRCm38:1:160202367:160212875:-1 gene:ENSMUSG00000014226.10 transcript:ENSMUST00000014370.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacybp description:calcyclin binding protein [Source:MGI Symbol;Acc:MGI:1270839] MASVLEELQKDLEEVKVLLEKSTRKRLRDTLTSEKSKIETELKNKMQQKSQKKPELDNEK PAAVVAPLTTGYTVKISNYGWDQSDKFVKIYITLTGVHQVPTENVQVHFTERSFDLLVKN LNGKNYSMIVNNLLKPISVESSSKKVKTDTVIILCRKKAENTRWDYLTQVEKECKEKEKP SYDTEADPSEGLMNVLKKIYEDGDDDMKRTINKAWVESREKQAREDTEF >ENSMUSP00000142252.1 pep:known chromosome:GRCm38:1:160206116:160212805:-1 gene:ENSMUSG00000014226.10 transcript:ENSMUST00000195654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacybp description:calcyclin binding protein [Source:MGI Symbol;Acc:MGI:1270839] MASVLEELQKDLEEVKVLLEKSTRKRLRDTLTSEKSKIETELKNKMQQKSQKKPELDNEK PAAVVAPLTTGYTVKIRWDQSDKFVKIYITLTGVHQVPTENVQVHFTERSFDLLVKNLNG KNYSMIVNNLLKPIS >ENSMUSP00000077422.5 pep:known chromosome:GRCm38:1:37905923:37916493:-1 gene:ENSMUSG00000061584.6 transcript:ENSMUST00000078307.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyg2 description:lysozyme G-like 2 [Source:MGI Symbol;Acc:MGI:2685622] MVPSVVFWGLIALVGTAKGSYTHSVHSMNPHVHPRLYHGCYGDIMTMETFGAPCDINNLM NCGIHGSEMFAEMDLKAIKPYRILIKEVGQRHCIDPALIAAIISRESHGGAVLQNGWDHK GQRFGLMQLDKNMYHPIGSWDSKEHLLQSVGILTERIKAMKRKFPTWNTAQQLKGGLTAF KSGMETIVTPADIDGDLVDDVLARAKFYKRHGF >ENSMUSP00000037258.8 pep:known chromosome:GRCm38:1:88200601:88218997:1 gene:ENSMUSG00000090171.1 transcript:ENSMUST00000049289.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a2 description:UDP glucuronosyltransferase 1 family, polypeptide A2 [Source:MGI Symbol;Acc:MGI:3576049] MDTGLCVPLRGISGLLLLLCALPWAEGAKVLVLPMEGSQWLSMRDVVRELHARGHQTVVL ASEVTVHIKGEDFFTLKTYAFPYTKEEYQQEILSDIEKTFKTQHFVKAFFETTASIRNFF DLYSNSCIALLHNKMLIQQLNSSFFDVILTDPIFPCGAVLAKYLQIPAVFILRSLSCGIE YEATQCPNPSSYIPNLLTRLSDHMDFLQRVQNMLYYLVLKYICRLSITPYESLASELLQR EVSLVEVLSHASVWLFRGDFVLDYPRPIMPNMVFIGGINCVTKKPLSQEFEAYVNASGEH GIVVFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDL LGHPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTA DDLENALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHD LTWYQYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000101375.1 pep:known chromosome:GRCm38:4:144453771:144463756:-1 gene:ENSMUSG00000078507.1 transcript:ENSMUST00000105749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aadacl3 description:arylacetamide deacetylase-like 3 [Source:MGI Symbol;Acc:MGI:2685281] MVVLALTLLVGSVAVFSLGSLLWVVGKHFWTEHIPEGITHPWRLRILSCLFHLTMTWGMI FEKLGLCYAPQFASFLHDLKPLKRDPDVVVKDLHFGTIPVKLYKPKKPSSIPRLGIIFFH GGGTIIGSLRTHNSICLRLSKECDSVVVSVGYRKSPMYKYPVMKDDCVVATTHFLESLDV YGVDPARVVTCGDSVGGTAATVTSQMLVHRPDLPRIKAQILIYPLLQLIDFGSPSYQQNR NIPLLSWDLAFYCFCCHLDVNISWKSVVKNGMHLPPDVWEKYRKWLGAENIPERFKNRGY KSIPWGPVNNDAYQEIKRSLNYTCSPLISEDSIVSQLPETCIVSCEYDLLRDHSLLYKKR LEDLGVPVTWHHMEDGFHGVLSALDYGLLSFPCASRIMDLIIQFIRKF >ENSMUSP00000003071.8 pep:known chromosome:GRCm38:7:19678083:19681479:-1 gene:ENSMUSG00000074336.5 transcript:ENSMUST00000003071.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc4 description:apolipoprotein C-IV [Source:MGI Symbol;Acc:MGI:87878] MSLLRCRPRDLPSVSLSVLFLVSFVASMSTESLSPTPGPESSRWSLVRARVLEMVEPLVT RTRDRWQWFWGPGAVQGFMQTYYEDHLKDLGPRTQAWLQSSRDHLLNKTHSLCPRLLCKD RTQG >ENSMUSP00000039839.7 pep:known chromosome:GRCm38:1:166628971:166647693:-1 gene:ENSMUSG00000051081.7 transcript:ENSMUST00000046662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4847 description:predicted gene 4847 [Source:MGI Symbol;Acc:MGI:3643320] MGVKRIAVIGAGVSGLGAIKCCLEEGLEPTCFEKKSDIGGLWKYEEIPKSGNLGIYKSLT CNTSKEMTAFSDYPIPDHYPNYMHHSKMMEYLRMYARHFGLMKHIQFQTNVCNIKKRPDF SSSGQWDVVVETEEMQKTYIFDGIMVCSGHYTEKYFPLQDFEGISKFQGSYLHTWEYKHP DNFVGKRVAVIGLGNSGADVAGEISRVADQVFLSTRQGAWIWNRVWDHGEPMDTTVFTRY NRAVQKICPRYIINRQMEKKLNGRFNHANYGLLPTHRILEQRTVLSDDLPNRIIIGKVKI KPNVKEFTSTSAIFEDGTKENIDVVIFATGYKLSFPFLSDDSGVLDNQYSMFKYVFPPEL EKPTLAFIGILQPAGAILPTSELQSRWVVHVFKGIKKLPSRRAMIADINRKNHQIMAKGS KKILQDHRRVTFVDYMDEIASEIGVKPNLLSLLLWDTKLAKEVFCGPCTSYQYRLQGPGK WDGARAAILTQRERMLKPLRTRVVKQSHLSHLSWVKSACVVVFFFISVVVIMHITSH >ENSMUSP00000050582.7 pep:known chromosome:GRCm38:5:122108040:122138062:-1 gene:ENSMUSG00000043036.13 transcript:ENSMUST00000058960.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc63 description:coiled-coil domain containing 63 [Source:MGI Symbol;Acc:MGI:3607777] MPVKKYRWTTTVGRVGILFLCLAQMKKVRRKGSSGLSELSEKAREQLAQAELRKLRQQFR KMVDSRKSFNFRHQRMIAGQYKEIETLKAEQAETTMLLSLVKSPKNLDINQKNFMELRFL LQTKGDYEALISSMKVLLGELDDKIVQMERKITNQRQLFLRTQEANNPKRLQRQIHVLES RLNLVTVHFDTMLTSNSQLRKEIEDLLFEKAAYDHVYQQLQRRLQTQKKTMNVAIEQSAQ AYEQRVEAMARMAAMKDRQQKDISQYNLEIRELERLYDHETKLKSFLLAKLNDRSEFEDQ AKKQEDVKSKKLGKKGKGESFASYEVAHLRLLKLAENGDLNQLTEDFLAKEEKNFARFTY VTELNNDMETMHKKTQRIQDDIINLRSQQQTSHEGTRSILKQMEEKLRKTTQEADIYETK YKEMSKTLEYLKNSVEKMFKKINCDATEILGKLGESGKVTDINLQQYFAIIEKKTNDLLL LESFRRLQEAEGPDVDVPQPFVNPFWGGSALLKPPEPIRVVLPVFGADSFSDKLEEVDSP LDHSTLQQMVLENFLQRERTKELQDTMSEKGDEIRLKKKVIG >ENSMUSP00000114143.1 pep:known chromosome:GRCm38:5:122108085:122134815:-1 gene:ENSMUSG00000043036.13 transcript:ENSMUST00000152389.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc63 description:coiled-coil domain containing 63 [Source:MGI Symbol;Acc:MGI:3607777] MPVMKKVRRKGSSGLSELSEKAREQLAQAELRKLRQQFRKMVDSRKSFNFRHQRMIAGQ >ENSMUSP00000114804.1 pep:known chromosome:GRCm38:5:122125032:122132003:-1 gene:ENSMUSG00000043036.13 transcript:ENSMUST00000128101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc63 description:coiled-coil domain containing 63 [Source:MGI Symbol;Acc:MGI:3607777] MPVMKKVRRKGSSGLSELSEKAREQLAQAELRKLRQQFRKMVDSRKSFNFRHQRMIAGQY KEIETLKAEQAETTMLLSLVKSPKNLDINQKNFMELRFLLQTKGDYEALISSMKVLLGEL DDKIVQM >ENSMUSP00000114311.1 pep:known chromosome:GRCm38:5:122129898:122132003:-1 gene:ENSMUSG00000043036.13 transcript:ENSMUST00000132701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc63 description:coiled-coil domain containing 63 [Source:MGI Symbol;Acc:MGI:3607777] MPVKKYRWTTTVGRVGILFLCL >ENSMUSP00000137618.1 pep:known chromosome:GRCm38:4:88791550:88792098:1 gene:ENSMUSG00000101163.1 transcript:ENSMUST00000177771.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13278 description:predicted gene 13278 [Source:MGI Symbol;Acc:MGI:3701976] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLKQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000122859.1 pep:known chromosome:GRCm38:7:27168430:27181086:-1 gene:ENSMUSG00000095538.1 transcript:ENSMUST00000154724.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21983 description:predicted gene 21983 [Source:MGI Symbol;Acc:MGI:5439452] MMVWSPVLLGIVVLSVFSGPSRADRAMPKLADWKLCADEECSHPISMAVALQDYVAPDCR FLTIYRGQVVYVFSKLKGRGRLFWGGSVQGGYYGDLAARLGYFPSSIVREDLTLKPGKID MKTDTSSSNSW >ENSMUSP00000109649.3 pep:known chromosome:GRCm38:X:79623231:79635582:-1 gene:ENSMUSG00000046942.17 transcript:ENSMUST00000114016.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb16 description:melanoma antigen family B, 16 [Source:MGI Symbol;Acc:MGI:1919217] MSQSSEECAADQGQTCEETQKLQVAAASIDVEEPCSSPHLMATSLKDQTSEETQVSKDVE EPCSSSQLLMASDQDDSEDETASTSSDLQHPYDSSSESTEDLDDQEVQGSPVIPPDQSDS TDLPVMTVDGKVDFLVNYMLYKYQVKEVMSMNDIMTLIVREDEDRFHEILMRASERMEMV FGLDVKEVDPINHCYALFIKLGLTYDGMRNDEYSFPKTGLLILILGVVFMKGNRATEEEI WEVLNPMGIYAGMTHFMFGDPRELITDEFVREQYLEYQPIANSDPIQYEYVWGLRAKAET SKMRVLEFVAKVHGSDPTVFLSQYEEALIEEEERTLTMLLEHADSSSTSGESSSDTSSNF SQV >ENSMUSP00000087292.3 pep:known chromosome:GRCm38:X:79623231:79671435:-1 gene:ENSMUSG00000046942.17 transcript:ENSMUST00000052283.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb16 description:melanoma antigen family B, 16 [Source:MGI Symbol;Acc:MGI:1919217] MSQSSEECAADQGQTCEETQKLQVAAASIDVEEPCSSPHLMATSLKDQTSEETQVSKDVE EPCSSSQLLMASDQDDSEDETASTSSDLQHPYDSSSESTEDLDDQEVQGSPVIPPDQSDS TDLPVMTVDGKVDFLVNYMLYKYQVKEVMSMNDIMTLIVREDEDRFHEILMRASERMEMV FGLDVKEVDPINHCYALFIKLGLTYDGMRNDEYSFPKTGLLILILGVVFMKGNRATEEEI WEVLNPMGIYAGMTHFMFGDPRELITDEFVREQYLEYQPIANSDPIQYEYVWGLRAKAET SKMRVLEFVAKVHGSDPTVFLSQYEEALIEEEERTLTMLLEHADSSSTSGESSSDTSSNF SQV >ENSMUSP00000103817.5 pep:known chromosome:GRCm38:3:35892105:35933472:-1 gene:ENSMUSG00000027708.14 transcript:ENSMUST00000108182.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d1 description:DCN1, defective in cullin neddylation 1, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2150386] MNKLKSSQKDKVRQFMIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSL DRKKLEQLYTRYKDPQDENKIGIDGIQQFCDDLALDPASISVLIIAWKFRAATQCEFSKQ EFMDGMTELGCDSIEKLKAQIPKMEQELKEPGRFKDFYQFTFNFAKNPGQKGLDLEMAIA YWNLVLNGRFKFLDLWNKFLLEHHKRSIPKDTWNLLLDFSSMIADDMSNYDEEGAWPVLI DDFVEFARPQIAGTKSTTV >ENSMUSP00000143243.1 pep:known chromosome:GRCm38:3:35893506:35930074:-1 gene:ENSMUSG00000027708.14 transcript:ENSMUST00000198389.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d1 description:DCN1, defective in cullin neddylation 1, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2150386] MIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSLDRKKLEQLYTRYKDP QDENKIGIDGIQQFCDDLALDPASISVLIIAWKFRAATQCEFSKQEFMDGMTELGCDSIE KLKAQIPKMEQELKEPGRFKDFYQFTFNFAKNPGQKGLDLEMAIAYWNLVLNGRFKFLDL WNKFLLEHHKRSIPKDTWNLLLDFSSMIADDMSNYDEEGAWPVLIDDFVEFARPQIAGTK STTV >ENSMUSP00000142690.1 pep:known chromosome:GRCm38:3:35895310:35932836:-1 gene:ENSMUSG00000027708.14 transcript:ENSMUST00000197489.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcun1d1 description:DCN1, defective in cullin neddylation 1, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2150386] MNKLKSSQKDKVRQFMIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSL DRKKLEQLYTRYKDPQDENKIGIDGIQQFYVTA >ENSMUSP00000142421.1 pep:known chromosome:GRCm38:3:35895646:35921188:-1 gene:ENSMUSG00000027708.14 transcript:ENSMUST00000197546.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcun1d1 description:DCN1, defective in cullin neddylation 1, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2150386] XNKLKSSQKDKVRQFMIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSL DRKKLEQLYTRYKDPQDENKIGIDGIQQFCDDLALDPASISVLIIAWKFRAATQCEFSKQ EFMDGMTELGSRNGHCLLELSA >ENSMUSP00000143716.1 pep:known chromosome:GRCm38:3:35897458:35932334:-1 gene:ENSMUSG00000027708.14 transcript:ENSMUST00000200661.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d1 description:DCN1, defective in cullin neddylation 1, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2150386] MIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSLDRKKLEQLYTRYKDP QDENKIGIDGIQQFCDDLALDPASISVLIIAWKFRAATQCEFSKQEFMDGMTELGCDSIE KLKAQIPKMEQELKEPGRFKDFYQFTFNFAKNPGQKGLDLEMAIAYWNLVLNGRFKFLDL WNKFLLEHHKRSIPKDTWNLLLDFSSMIADDMSNYDEEGS >ENSMUSP00000115420.3 pep:known chromosome:GRCm38:3:35897475:35937445:-1 gene:ENSMUSG00000027708.14 transcript:ENSMUST00000148465.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d1 description:DCN1, defective in cullin neddylation 1, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2150386] MIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSLDRKKLEQLYTRYKDP QDENKIGIDGIQQFCDDLALDPASISVLIIAWKFRAATQCEFSKQEFMDGMTELGCDSIE KLKAQIPKMEQELKEPGRFKDFYQFTFNFAKNPGQKGLDLEMAIAYWNLVLNGRFKFLDL WNKFLLEHHKRSIPKDTWNLLLDFSSMIADDMSN >ENSMUSP00000143252.1 pep:known chromosome:GRCm38:3:35916314:35921188:-1 gene:ENSMUSG00000027708.14 transcript:ENSMUST00000198362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d1 description:DCN1, defective in cullin neddylation 1, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2150386] MDGMTELGCDSIEKLKAQIP >ENSMUSP00000142384.1 pep:known chromosome:GRCm38:3:35918998:35930119:-1 gene:ENSMUSG00000027708.14 transcript:ENSMUST00000196270.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d1 description:DCN1, defective in cullin neddylation 1, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2150386] MIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSLDRKKLEQLYTRYKDP QDENKIGIDGIQQFCDDLALDPASISVLIIAWKFRAATQCEFSKQEFMDGMTELG >ENSMUSP00000142443.1 pep:known chromosome:GRCm38:3:35919001:35937439:-1 gene:ENSMUSG00000027708.14 transcript:ENSMUST00000199173.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d1 description:DCN1, defective in cullin neddylation 1, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2150386] MIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSLDRKKLEQLYTRYKDP QDENKIGIDGIQQFCDDLALDPASISVLIIAWKFRAATQCEFSKQEFMDGMTELG >ENSMUSP00000137324.1 pep:known chromosome:GRCm38:3:35892105:35932334:-1 gene:ENSMUSG00000027708.14 transcript:ENSMUST00000178098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d1 description:DCN1, defective in cullin neddylation 1, domain containing 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2150386] MIFTQSSEKTAVSCLSQNDWKLDVATDNFFQNPELYIRESVKGSLDRKKLEQLYTRYKDP QDENKIGIDGIQQFCDDLALDPASISVLIIAWKFRAATQCEFSKQEFMDGMTELGCDSIE KLKAQIPKMEQELKEPGRFKDFYQFTFNFAKNPGQKGLDLEMAIAYWNLVLNGRFKFLDL WNKFLLEHHKRSIPKDTWNLLLDFSSMIADDMSNYDEEGAWPVLIDDFVEFARPQIAGTK STTV >ENSMUSP00000063001.6 pep:known chromosome:GRCm38:6:119923969:120038672:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000060043.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] MSDGAAEKQSGTPGFLTPPAPVPKNGSSSDSSVGEKLGATVADSGVGRTEEYRRRRHTMD KDSRGAAATTTPTEHRFFRRSVICDSNATALELPGLPLSIPQPSVPAVVPQSAPPEPHRE ETLTATVASQVSQQPSAAASPGEQAVVGSATTTVPSSTSKDRPVSQPSLVGSKEEPPPSR SGSGSGGASAKEAQEDRSQQQDDIEELETKAVGMSNDGRFLKFDIEIGRGSFKTVYKGLD TETTVEVAWCELQDRKLTKSERQRFKEEAEMLKGLQHPNIVRFYDSWESTVKGKKCIVLV TELMTSGTLKTYLKRFKVMKIKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGP TGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDESVDVYAFGMCMLEMATSEY PYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKDERYSIKDLLNHAFFQ EETGVRVELAEEDDGEKIAIKLWLRIEDIKKLKGKYKDNEAIEFSFDLERDVPEDVAQEM VESGYVCEGDHKTMAKAIKDRVSLIKRKREQRQLVREEQEKRKQEESSFKQQNEQQASVS QAGIQQLSAASTGIPTAPATSASVSTQVEPEEPEADQHQQLQYQQPSISVLSDGTIDSGQ GSSVFTESRVSSQQTVSYGSQHEQAHSTGTAPGHTVSSIQAQSQPHGVYPPSSMAQGQNQ GQPSSSLAGVLSSQPIQHPQQQGIQPTVPSQQAVQYSLPQAASSSEGTTAQPVSQPQVSA GTQLPVSQTVATVQGEPHIPVSTQPSVVPVHSGAHFLPMGQPIPTSLLPQYPVSQIPIST PHVSTAQTGFSSVPITMAAGINQPLLTLASSATASSIPGGSPVVPNQLPTLLQPVNQLQS QVHPQLLQPTTVQSIGIPANLGQAAEGPLPSGDVLYQGFPSRLPPQYPGDSNIAPSSNVA SVCIHSTVLAPPSMPTEALATQGYFPTVVQPYVESTPLVPMGSVGGQVQVSQPAVSLTQQ PPTTSSQQAVLESTQGVSQAAPPEQTPITQSQPTQPVPLVTSADSAHSDVASGMSDGNEN APSSSGRHEGRTTKRHYRKSVRSRSRHEKTSRPKLRILNVSNKGDRVVECQLETHNRKMV TFKFDLDGDNPEEIATIMVNNDFILAIERESFVAQVREIIEKADEMLSEDVSVEPEGDQG LESLQGKDDYGFPGSQKLEGEFKQPIAVSSMPQQIGVPTSSLTQVVHSAGRRFIVSPVPE SRLRESKVFTSDISDPVVASTSQAPGMNLSHSASSLSLQQAFSELKHGQMTEGPNTAPPN FNHMAGPTFSPFLASIAGVQTVAASTPSVSVPITSSPLNDISTSVMQSETALPTEKGIVG VTTTSTGVVASGGLTTMSVSESPTSSSAVSSSTVPAVVTVSTPSQPVQASTSGSIASSTG SFPPGTFSTTTATTMGSVVAPDAKPPTVLLQQVASNTAGVAIVTSVSTTTPFPGMASQPS LPLSSSTSAPTLAETMVVSAHSLDKASHSSTAGLGLSFCAPSSSSSSGTAVSTSVSQPGM VHPLVISSAVVSTPGLPQPVVPTSTPLLPQVPNIPPLVQPVVNVPAVQQTLIHSQPQPAL LPNQPHTHCPEMDADTQSKAPGIDDIKTLEEKLRSLFSEHSSSGTQHASVSLETPLVVET TVTPGITTTAVAPSKLMTSTTSTCLPPTSLPLGAAGMPVMPVGTPGQVSTPGTHASAPVG TATGVKPGTTPPKPTKTVVPPVGTELSAGTVPCEQLPPFPGPSLIQSQQPLEDLDAQLRR TLSPETITVAPAVGPLSTMSSTTVTEAGTRLQKDGTEGHVTATSSGAGVVKMGRFQVSVT MDDAQKERKNRSEDTKSVHFESSTSESSVLSSSSPESTLVKPEPNGISISGISLDVPDST HKAPTPEAKSDAGQPTKVGRFQVTTTANKVGRFSVSRTEDKVTELKKEGPVTSPPFRDSE QTVIPAVIPKKEKPELAEPSHLNGPSSDLEAAFLSRGTEDGSGSPHSPPHLCSKSLPVQN LSQSLSNSFNSSYMSSDNESDIEDEDLRLELRRLREKHLKEIQDLQSRQKHEIESLYTKL GKVPPAVIIPPAAPLSGRRRRPTKSKGSKSSRSSSLGNKSPQLSGNLSGQSGTSVLHPQQ TLHPAGNTPETGHNQLLQPLKPSPSSDNLYSAFTSDGAISVPSLSAPGQGTSSTNTVGGT VSSQAAQAQPPAMTSSRKGTFTDDLHKLVDNWARDAMNLSGRRGSKGHMNYEGPGMARKF SAPGQLCVPMTSNLGGSTPISAASATSLGHFTKSMCPPQQYGFPPAPFGTQWSGTGGPAP QPLGQFQPVGTASLQNFNISNLQKSISNPPGSNLRTT >ENSMUSP00000086019.5 pep:known chromosome:GRCm38:6:119924621:120037659:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000088646.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] MSDGAAEKQSGTPGFLTPPAPVPKNGSSSDSSVGEKLGATVADSGVGRTEEYRRRRHTMD KDSRGAAATTTPTEHRFFRRSVICDSNATALELPGLPLSIPQPSVPAVVPQSAPPEPHRE ETLTATVASQVSQQPSAAASPGEQAVVGSATTTVPSSTSKDRPVSQPSLVGSKEEPPPSR SGSGSGGASAKEAQEDRSQQQDDIEELETKAVGMSNDGRFLKFDIEIGRGSFKTVYKGLD TETTVEVAWCELQDRKLTKSERQRFKEEAEMLKGLQHPNIVRFYDSWESTVKGKKCIVLV TELMTSGTLKTYLKRFKVMKIKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGP TGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDESVDVYAFGMCMLEMATSEY PYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKDERYSIKDLLNHAFFQ EETGVRVELAEEDDGEKIAIKLWLRIEDIKKLKGKYKDNEAIEFSFDLERDVPEDVAQEM VESGYVCEGDHKTMAKAIKDRVSLIKRKREQRQLVREEQEKRKQEESSFKQQNEQQASVS QAGIQQLSAASTGIPTAPATSASVSTQVEPEEPEADQHQQLQYQQPSISVLSDGTIDSGQ GSSVFTESRVSSQQTVSYGSQHEQAHSTGTAPGHTVSSIQAQSQPHGVYPPSSMAQGQNQ GQPSSSLAGVLSSQPIQHPQQQGIQPTVPSQQAVQYSLPQAASSSEGTTAQPVSQPQVSA GTQGFPSRLPPQYPGDSNIAPSSNVASVCIHSTVLAPPSMPTEALATQGYFPTVVQPYVE STPLVPMGSVGGQVQVSQPAVSLTQQPPTTSSQQAVLESTQGVSQAAPPEQTPITQSQPT QPVPLVTSADSAHSDVASGMSDGNENAPSSSGRHEGRTTKRHYRKSVRSRSRHEKTSRPK LRILNVSNKGDRVVECQLETHNRKMVTFKFDLDGDNPEEIATIMVNNDFILAIERESFVA QVREIIEKADEMLSEDVSVEPEGDQGLESLQGKDDYGFPGSQKLEGEFKQPIAVSSMPQQ IGVPTSSLTQVVHSAGRRFIVSPVPESRLRESKVFTSDISDPVVASTSQAPGMNLSHSAS SLSLQQAFSELKHGQMTEGPNTAPPNFNHMAGPTFSPFLASIAGVQTVAASTPSVSVPIT SSPLNDISTSVMQSETALPTEKGIVGVTTTSTGVVASGGLTTMSVSESPTSSSAVSSSTV PAVVTVSTPSQPVQASTSGSIASSTGSFPPGTFSTTTATTMGSVVAPDAKPPTVLLQQVA SNTAGVAIVTSVSTTTPFPGMASQPSLPLSSSTSAPTLAETMVVSAHSLDKASHSSTAGL GLSFCAPSSSSSSGTAVSTSVSQPGMVHPLVISSAVVSTPGLPQPVVPTSTPLLPQVPNI PPLVQPVVNVPAVQQTLIHSQPQPALLPNQPHTHCPEMDADTQSKAPGIDDIKTLEEKLR SLFSEHSSSGTQHASVSLETPLVVETTVTPGITTTAVAPSKLMTSTTSTCLPPTSLPLGA AGMPVMPVGTPGQVSTPGTHASAPVGTATGVKPGTTPPKPTKTVVPPVGTELSAGTVPCE QLPPFPGPSLIQPLSTMSSTTVTEAGTRLQKDGTEGHVTATSSGAGVVKMGRFQVSVTMD DAQKERKNRSEDTKSVHFESSTSESSVLSSSSPESTLVKPEPNGISISGISLDVPDSTHK APTPEAKSDAGQPTKVGRFQVTTTANKVGRFSVSRTEDKVTELKKEGPVTSPPFRDSEQT VIPAVIPKKEKPELAEPSHLNGPSSDLEAAFLSRGTEDGSGSPHSPPHLCSKSLPVQNLS QSLSNSFNSSYMSSDNESDIEDEDLRLELRRLREKHLKEIQDLQSRQKHEIESLYTKLGK VPPAVIIPPAAPLSGRRRRPTKSKGSKSSRSSSLGNKSPQLSGNLSGQSGTSVLHPQQTL HPAGNTPETGHNQLLQPLKPSPSSDNLYSAFTSDGAISVPSLSAPGQGTSSTNTVGGTVS SQAAQAQPPAMTSSRKGTFTDDLHKLVDNWARDAMNLSGRRGSKGHMNYEGPGMARKFSA PGQLCVPMTSNLGGSTPISAASATSLGHFTKSMCPPQQYGFPPAPFGTQWSGTGGPAPQP LGQFQPVGTASLQNFNISNLQKSISNPPGSNLRTT >ENSMUSP00000145304.1 pep:known chromosome:GRCm38:6:119924621:120037659:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000203030.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] MSDGAAEKQSGTPGFLTPPAPVPKNGSSSDSSVGEKLGATVADSGVGRTEEYRRRRHTMD KDSRGAAATTTPTEHRFFRRSVICDSNATALELPGLPLSIPQPSVPAVVPQSAPPEPHRE ETLTATVASQVSQQPSAAASPGEQAVVGSATTTVPSSTSKDRPVSQPSLVGSKEEPPPSR SGSGSGGASAKEAQEDRSQQQDDIEELETKAVGMSNDGRFLKFDIEIGRGSFKTVYKGLD TETTVEVAWCELQDRKLTKSERQRFKEEAEMLKGLQHPNIVRFYDSWESTVKGKKCIVLV TELMTSGTLKTYLKRFKVMKIKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGP TGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDESVDVYAFGMCMLEMATSEY PYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKDERYSIKDLLNHAFFQ EETGVRVELAEEDDGEKIAIKLWLRIEDIKKLKGKYKDNEAIEFSFDLERDVPEDVAQEM VESGYVCEGDHKTMAKAIKDRVSLIKRKREQRQLVREEQEKRKQEESSFKQQNEQQASVS QAGIQQLSAASTGIPTAPATSASVSTQVEPEEPEADQHQQLQYQQPSISVLSDGTIDSGQ GSSVFTESRVSSQQTVSYGSQHEQAHSTGTAPGHTVSSIQAQSQPHGVYPPSSMAQGQNQ GQPSSSLAGVLSSQPIQHPQQQGIQPTVPSQQAVQYSLPQAASSSEGTTAQPVSQPQVSA GTQSTQGVSQAAPPEQTPITQSQPTQPVPLVTSADSAHSDVASGMSDGNENAPSSSGRHE GRTTKRHYRKSVRSRSRHEKTSRPKLRILNVSNKGDRVVECQLETHNRKMVTFKFDLDGD NPEEIATIMVNNDFILAIERESFVAQVREIIEKADEMLSEDVSVEPEGDQGLESLQGKDD YGFPGSQKLEGEFKQPIAVSSMPQQIGVPTSSLTQVVHSAGRRFIVSPVPESRLRESKVF TSDISDPVVASTSQAPGMNLSHSASSLSLQQAFSELKHGQMTEGPNTAPPNFNHMAGPTF SPFLASIAGVQTVAASTPSVSVPITSSPLNDISTSVMQSETALPTEKGIVGVTTTSTGVV ASGGLTTMSVSESPTSSSAVSSSTVPAVVTVSTPSQPVQASTSGSIASSTGSFPPGTFST TTATTMGSVVAPDAKPPTVLLQQVASNTAGVAIVTSVSTTTPFPGMASQPSLPLSSSTSA PTLAETMVVSAHSLDKASHSSTAGLGLSFCAPSSSSSSGTAVSTSVSQPGMVHPLVISSA VVSTPGLPQPVVPTSTPLLPQVPNIPPLVQPVVNVPAVQQTLIHSQPQPALLPNQPHTHC PEMDADTQSKAPGIDDIKTLEEKLRSLFSEHSSSGTQHASVSLETPLVVETTVTPGITTT AVAPSKLMTSTTSTCLPPTSLPLGAAGMPVMPVGTPGQVSTPGTHASAPVGTATGVKPGT TPPKPTKTVVPPVGTELSAGTVPCEQLPPFPGPSLIQSQQPLEDLDAQLRRTLSPETITV APAVGPLSTMSSTTVTEAGTRLQKDGTEGHVTATSSGAGVVKMGRFQVSVTMDDAQKERK NRSEDTKSVHFESSTSESSVLSSSSPESTLVKPEPNGISISGISLDVPDSTHKAPTPEAK SDAGQPTKVGRFQVTTTANKVGRFSVSRTEDKVTELKKEGPVTSPPFRDSEQTVIPAVIP KKEKPELAEPSHLNGPSSDLEAAFLSRGTEDGSGSPHSPPHLCSKSLPVQNLSQSLSNSF NSSYMSSDNESDIEDEDLRLELRRLREKHLKEIQDLQSRQKHEIESLYTKLGKVPPAVII PPAAPLSGRRRRPTKSKGSKSSRSSSLGNKSPQLSGNLSGQSGTSVLHPQQTLHPAGNTP ETGHNQLLQPLKPSPSSDNLYSAFTSDGAISVPSLSAPGQGTSSTNTVGGTVSSQAAQAQ PPAMTSSRKGTFTDDLHKLVDNWARDAMNLSGRRGSKGHMNYEGPGMARKFSAPGQLCVP MTSNLGGSTPISAASATSLGHFTKSMCPPQQYGFPPAPFGTQWSGTGGPAPQPLGQFQPV GTASLQNFNISNLQKSISNPPGSNLRTT >ENSMUSP00000124377.1 pep:known chromosome:GRCm38:6:119926579:119936449:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000160493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] XRRRPTKSKGSKSSRSSSLGNKSPQLSGNLSGQSGTSVLHPQQTLHPAGNTPETGHNQLL QPLKPSPSSDNLYSAFTSDGAISVPSLSAPGQVCPPAEPKSGTSSTNTVGGTVSSQAAQA QPPAMTSSRKGTFTDDLHKLVDNWARDAMNLSGRRGSKGHMNYEGPGMARKFSAPGQLCV PMTSNLGGSTPISAASATSL >ENSMUSP00000124050.1 pep:known chromosome:GRCm38:6:119927125:119937649:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000161243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] EQTVIPAVIPKKEKPELAEPSHLNGPSSDLEAAFLSRGTEDGSGSPHSPPHLCSKSLPVQ NLSQSLSNSFNSSYMSSDNESDIEDEDLRLELRRLREKHLKEIQDLQSRQKHEIESLYTK LGKVPPAVIIPPAAPLSGRRRRPTKSKGSKSSRSSSLGNKSPQLSGNLSGQSGTSVLHPQ QTLHPAGNTPETGHNQLLQPLKPSPSSDNLYSAFTSDGAISVPSLSAPGQGKMVKKVCPC NQLCRTSSTNTVGGTVSSQAAQAQPPAMTSSRKGTFTDDLH >ENSMUSP00000125686.1 pep:known chromosome:GRCm38:6:119929833:119934328:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000162190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] SDNLYSAFTSDGAISVPSLSAPGQGCAKFNCASEQVTFKPGGRRTRFLSTPCLALWKMVK KVCPCNQ >ENSMUSP00000123739.1 pep:known chromosome:GRCm38:6:119929833:119934329:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000160872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] XSDNLYSAFTSDGAISVPSLSAPGQGCAKFNCASEQVTFKPGGRRTRFLRKMVKKVCPCN Q >ENSMUSP00000125193.1 pep:known chromosome:GRCm38:6:119937460:119948426:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000162541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] XQTLIHSQPQPALLPNQPHTHCPEMDADTQSKAPGIDDIKTLEEKLRSLFSEHSSSGTQH ASVSLETPLVVETTVTPGITTTAVAPSKLMTSTTSTCLPPTSLPLGAAGMPVMPVGTPGQ VSTPGTHASAPVGTATGVKPGTTPPKPTKTVVPPVGTELSAGTVPCEQLPPFPGPSLIQP LSTMSSTTVTEAGTRLQKDGTEGHVTATSSGAGVVKMGRFQVSVTMDDAQKERKNRSEDT KSVHFESSTSESSVLSSSSPESTLVKPEPNGISISGISLDVPDSTHKAPTPEAKSDAGQP TKVGRFQVTTTANKVGRFSVSRTEDKVTELKKEGPVTSPPFRDSEQTVIPAVIPKKEKPE LAEPSHLNGPSSDLEAAFLSRGTEDGSGSPHSPPHLCSKSLPVQNLS >ENSMUSP00000124724.1 pep:known chromosome:GRCm38:6:119937615:119948105:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000161802.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] XGMPVMPVGTPGQVSTPGTHASAPVGTATGVKPGTTPPKPTKTVVPPVGTELSAGTVPCE QLPPFPGPSLIQYCFCLVPATTRRS >ENSMUSP00000125383.1 pep:known chromosome:GRCm38:6:119951651:119968663:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000162368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] XDGTIDSGQGSSVFTESRVSSQQTVSYGSQHEQAHSTGTAPGHTVSSIQAQSQPHGVYPP SSMAQGQNQGQPSSSLAGVLSSQPIQHPQQQGIQPTVPSQQAVQYSLPQAASSSEGTTAQ PVSQPQVSAGTQSTQGVSQAAPPEQTPITQSQPTQPVPLVTSADSAHSDVASGMSDGNEN APSSSGRHEGRTTKRHYRKSVRSRSRHEKTSRP >ENSMUSP00000124905.1 pep:known chromosome:GRCm38:6:119951658:119956170:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000159785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] XQQAVQYSLPQAASSSEGTTAQPVSQPQVSAGTQPVNQLQSQVHPQLLQPTTVQSIGIPA NLGQAAEGPLPSGDVLYQGFPSRLPPQYPGDSNIAPSSNVASVCIHSTVLAPPSMPTEAL ATQGYFPTVVQPYVESTPLVPMGSVGGQVQVSQPAVSLTQQPPTTSSQQAVLESTQGVSQ AAPPEQTPITQSQPTQPVPLVTSADSAHSDVASGMSDGNENAPSSSGRHEGRTTKRHYRK SVRSRSRHEKTS >ENSMUSP00000125345.1 pep:known chromosome:GRCm38:6:119952869:119990167:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000161048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] XLEMATSEYPYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKDERYSIK DLLNHAFFQEETGVRVELAEEDDGEKIAIKLWLRIEDIKKLKGKYKDNEAIEFSFDLERD VPEDVAQEMVESGYVCEGDHKTMAKAIKDRVSLIKRKREQRQLVREEQEKRKQEESSFKQ QNEQQASVSQAGIQQLSAASTGIPTAPATSASVSTQVEPEEPEADQHQQLQYQQPSISVL SDGTIDSGQGSSVFTESRVSSQQTVSYGSQHEQAHSTGTAPGHTVSSIQAQSQPHGVYPP SSMAQGQNQGQPSSSLAGVLSSQPIQHPQQQGIQPTVPSQQAVQYSLPQAASSSEGTTAQ PVSQPQVSAGTQGFPSRLPPQYPGDSNIAPSSNVASVCIHSTVLAP >ENSMUSP00000137334.1 pep:known chromosome:GRCm38:6:119956096:119962930:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000160686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] PHSAPAVLTNSNENRSNCVFEFHAQTPSSSGEGGGILPQRVYRNRQVAVDSNQEELSPQS VGLHCHLQPVTEEQRNNHAPELTISVVEPMGQIWPIGSPEYSSDSSQITSSDLSDFQSPP PTGGTAAPFGSDVSLPFIRLPQTVLQESPLFFCFPQGTTSQQVLSASYSSGGSTLHPQAQ GQNQGQPSSSLAGVLSSQPIQHPQQQGIQPTVPSQQAVQYSLPQAASSSEGTTAQPVS >ENSMUSP00000136086.1 pep:known chromosome:GRCm38:6:119962308:119963733:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000161512.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] MYELLVLFMLIQPQSMAHPCGGTPTYPESQIFFPTIHERPVSFSPPPTCPPKVAISQRRK STSFLEAQTRHFQPLLRTVGQNHLPPGSSPTNWTPEAIVMLGATANRVNRELCEMQVQPV FEPTQIYSDYRPGLVLAEEAHYFIPQETVYLAGVHYQAQVAGQYEGISYNSPVLSSPMKQ ISEQKPVPGGPASSSVFEFPSGQAFLVGHLQNLRLDSGPSPASPLSSISAPNSTDATHLK FHPVFVPHSAPAVLTNSNENRSNCVFEFHAQTPSSSGEGGGILPQRVYRNRQVAVDSNQE ELSPQSVGLHCHLQPVTEEQRNNHAPELTISVVEPMGQIWPIGSPEYSSDSSQITSSDLS DFQSPPPTGGTAAPFGSDVSLPFIRLPQTVLQESPLFFCFPQGTTSQQVLSASYSSGGST LHPQVIGKLSQFF >ENSMUSP00000136777.1 pep:known chromosome:GRCm38:6:119923971:120038655:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000177761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] MSDGAAEKQSGTPGFLTPPAPVPKNGSSSDSSVGEKLGATVADSGVGRTEEYRRRRHTMD KDSRGAAATTTPTEHRFFRRSVICDSNATALELPGLPLSIPQPSVPAVVPQSAPPEPHRE ETLTATVASQVSQQPSAAASPGEQAVVGSATTTVPSSTSKDRPVSQPSLVGSKEEPPPSR SGSGSGGASAKEAQEDRSQQQDDIEELETKAVGMSNDGRFLKFDIEIGRGSFKTVYKGLD TETTVEVAWCELQDRKLTKSERQRFKEEAEMLKGLQHPNIVRFYDSWESTVKGKKCIVLV TELMTSGTLKTYLKRFKVMKIKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGP TGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDESVDVYAFGMCMLEMATSEY PYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKDERYSIKDLLNHAFFQ EETGVRVELAEEDDGEKIAIKLWLRIEDIKKLKGKYKDNEAIEFSFDLERDVPEDVAQEM VESGYVCEGDHKTMAKAIKDRVSLIKRKREQRQLVREEQEKRKQEESSFKQQNEQQASVS QAGIQQLSAASTGIPTAPATSASVSTQVEPEEPEADQHQQLQYQQPSISVLSDGTIDSGQ GSSVFTESRVSSQQTVSYGSQHEQAHSTGTAPGHTVSSIQAQSQPHGVYPPSSMPRRGRS MSVCVPHLSAVPSLSRISPSAPSTPPPVLSAPLCPSLLRTAPEETFAEKLSKALESVLPM HSASQRKHRRSSLPSLFVTTPQSMAHPCGGTPTYPESQIFFPTIHERPVSFSPPPTCPPK VAISQRRKSTSFLEAQTRHFQPLLRTVGQNHLPPGSSPTNWTPEAIVMLGATANRVNREL CEMQVQPVFEPTQIYSDYRPGLVLAEEAHYFIPQETVYLAGVHYQAQVAGQYEGISYNSP VLSSPMKQISEQKPVPGGPASSSVFEFPSGQAFLVGHLQNLRLDSGPSPASPLSSISAPN STDATHLKFHPVFVPHSAPAVLTNSNENRSNCVFEFHAQTPSSSGEGGGILPQRVYRNRQ VAVDSNQEELSPQSVGLHCHLQPVTEEQRNNHAPELTISVVEPMGQIWPIGSPEYSSDSS QITSSDLSDFQSPPPTGGTAAPFGSDVSLPFIRLPQTVLQESPLFFCFPQGTTSQQVLSA SYSSGGSTLHPQAQGQNQGQPSSSLAGVLSSQPIQHPQQQGIQPTVPSQQAVQYSLPQAA SSSEGTTAQPVSQPQVSAGTQSTQGVSQAAPPEQTPITQSQPTQPVPLVTSADSAHSDVA SGMSDGNENAPSSSGRHEGRTTKRHYRKSVRSRSRHEKTSRPKLRILNVSNKGDRVVECQ LETHNRKMVTFKFDLDGDNPEEIATIMVNNDFILAIERESFVAQVREIIEKADEMLSEDV SVEPEGDQGLESLQGKDDYGFPGSQKLEGEFKQPIAVSSMPQQIGVPTSSLTQVVHSAGR RFIVSPVPESRLRESKVFTSDISDPVVASTSQAPGMNLSHSASSLSLQQAFSELKHGQMT EGPNTAPPNFNHMAGPTFSPFLASIAGVQTVAASTPSVSVPITSSPLNDISTSVMQSETA LPTEKGIVGVTTTSTGVVASGGLTTMSVSESPTSSSAVSSSTVPAVVTVSTPSQPVQAST SGSIASSTGSFPPGTFSTTTATTMGSVVAPDAKPPTVLLQQVASNTAGVAIVTSVSTTTP FPGMASQPSLPLSSSTSAPTLAETMVVSAHSLDKASHSSTAGLGLSFCAPSSSSSSGTAV STSVSQPGMVHPLVISSAVVSTPGLPQPVVPTSTPLLPQVPNIPPLVQPVVNVPAVQQTL IHSQPQPALLPNQPHTHCPEMDADTQSKAPGIDDIKTLEEKLRSLFSEHSSSGTQHASVS LETPLVVETTVTPGITTTAVAPSKLMTSTTSTCLPPTSLPLGAAGMPVMPVGTPGQVSTP GTHASAPVGTATGVKPGTTPPKPTKTVVPPVGTELSAGTVPCEQLPPFPGPSLIQSQQPL EDLDAQLRRTLSPETITVAPAVGPLSTMSSTTVTEAGTRLQKDGTEGHVTATSSGAGVVK MGRFQVSVTMDDAQKERKNRSEDTKSVHFESSTSESSVLSSSSPESTLVKPEPNGISISG ISLDVPDSTHKAPTPEAKSDAGQPTKVGRFQVTTTANKVGRFSVSRTEDKVTELKKEGPV TSPPFRDSEQTVIPAVIPKKEKPELAEPSHLNGPSSDLEAAFLSRGTEDGSGSPHSPPHL CSKSLPVQNLSQSLSNSFNSSYMSSDNESDIEDEDLRLELRRLREKHLKEIQDLQSRQKH EIESLYTKLGKVPPAVIIPPAAPLSGRRRRPTKSKGSKSSRSSSLGNKSPQLSGNLSGQS GTSVLHPQQTLHPAGNTPETGHNQLLQPLKPSPSSDNLYSAFTSDGAISVPSLSAPGQGT SSTNTVGGTVSSQAAQAQPPAMTSSRKGTFTDDLHKLVDNWARDAMNLSGRRGSKGHMNY EGPGMARKFSAPGQLCVPMTSNLGGSTPISAASATSLGHFTKSMCPPQQYGFPPAPFGTQ WSGTGGPAPQPLGQFQPVGTASLQNFNISNLQKSISNPPGSNLRTT >ENSMUSP00000086017.6 pep:known chromosome:GRCm38:6:119923971:120038655:-1 gene:ENSMUSG00000045962.16 transcript:ENSMUST00000088644.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk1 description:WNK lysine deficient protein kinase 1 [Source:MGI Symbol;Acc:MGI:2442092] MSDGAAEKQSGTPGFLTPPAPVPKNGSSSDSSVGEKLGATVADSGVGRTEEYRRRRHTMD KDSRGAAATTTPTEHRFFRRSVICDSNATALELPGLPLSIPQPSVPAVVPQSAPPEPHRE ETLTATVASQVSQQPSAAASPGEQAVVGSATTTVPSSTSKDRPVSQPSLVGSKEEPPPSR SGSGSGGASAKEAQEDRSQQQDDIEELETKAVGMSNDGRFLKFDIEIGRGSFKTVYKGLD TETTVEVAWCELQDRKLTKSERQRFKEEAEMLKGLQHPNIVRFYDSWESTVKGKKCIVLV TELMTSGTLKTYLKRFKVMKIKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGP TGSVKIGDLGLATLKRASFAKSVIGTPEFMAPEMYEEKYDESVDVYAFGMCMLEMATSEY PYSECQNAAQIYRRVTSGVKPASFDKVAIPEVKEIIEGCIRQNKDERYSIKDLLNHAFFQ EETGVRVELAEEDDGEKIAIKLWLRIEDIKKLKGKYKDNEAIEFSFDLERDVPEDVAQEM VESGYVCEGDHKTMAKAIKDRVSLIKRKREQRQLVREEQEKRKQEESSFKQQNEQQASVS QAGIQQLSAASTGIPTAPATSASVSTQVEPEEPEADQHQQLQYQQPSISVLSDGTIDSGQ GSSVFTESRVSSQQTVSYGSQHEQAHSTGTAPGHTVSSIQAQSQPHGVYPPSSMPQSMAH PCGGTPTYPESQIFFPTIHERPVSFSPPPTCPPKVAISQRRKSTSFLEAQTRHFQPLLRT VGQNHLPPGSSPTNWTPEAIVMLGATANRVNRELCEMQVQPVFEPTQIYSDYRPGLVLAE EAHYFIPQETVYLAGVHYQAQVAGQYEGISYNSPVLSSPMKQISEQKPVPGGPASSSVFE FPSGQAFLVGHLQNLRLDSGPSPASPLSSISAPNSTDATHLKFHPVFVPHSAPAVLTNSN ENRSNCVFEFHAQTPSSSGEGGGILPQRVYRNRQVAVDSNQEELSPQSVGLHCHLQPVTE EQRNNHAPELTISVVEPMGQIWPIGSPEYSSDSSQITSSDLSDFQSPPPTGGTAAPFGSD VSLPFIRLPQTVLQESPLFFCFPQGTTSQQVLSASYSSGGSTLHPQAQGQNQGQPSSSLA GVLSSQPIQHPQQQGIQPTVPSQQAVQYSLPQAASSSEGTTAQPVSQPQVSAGTQGFPSR LPPQYPGDSNIAPSSNVASVCIHSTVLAPPSMPTEALATQGYFPTVVQPYVESTPLVPMG SVGGQVQVSQPAVSLTQQPPTTSSQQAVLESTQGVSQAAPPEQTPITQSQPTQPVPLVTS ADSAHSDVASGMSDGNENAPSSSGRHEGRTTKRHYRKSVRSRSRHEKTSRPKLRILNVSN KGDRVVECQLETHNRKMVTFKFDLDGDNPEEIATIMVNNDFILAIERESFVAQVREIIEK ADEMLSEDVSVEPEGDQGLESLQGKDDYGFPGSQKLEGEFKQPIAVSSMPQQIGVPTSSL TQVVHSAGRRFIVSPVPESRLRESKVFTSDISDPVVASTSQAPGMNLSHSASSLSLQQAF SELKHGQMTEGPNTAPPNFNHMAGPTFSPFLASIAGVQTVAASTPSVSVPITSSPLNDIS TSVMQSETALPTEKGIVGVTTTSTGVVASGGLTTMSVSESPTSSSAVSSSTVPAVVTVST PSQPVQASTSGSIASSTGSFPPGTFSTTTATTMGSVVAPDAKPPTVLLQQVASNTAGVAI VTSVSTTTPFPGMASQPSLPLSSSTSAPTLAETMVVSAHSLDKASHSSTAGLGLSFCAPS SSSSSGTAVSTSVSQPGMVHPLVISSAVVSTPGLPQPVVPTSTPLLPQVPNIPPLVQPVV NVPAVQQTLIHSQPQPALLPNQPHTHCPEMDADTQSKAPGIDDIKTLEEKLRSLFSEHSS SGTQHASVSLETPLVVETTVTPGITTTAVAPSKLMTSTTSTCLPPTSLPLGAAGMPVMPV GTPGQVSTPGTHASAPVGTATGVKPGTTPPKPTKTVVPPVGTELSAGTVPCEQLPPFPGP SLIQSQQPLEDLDAQLRRTLSPETITVAPAVGPLSTMSSTTVTEAGTRLQKDGTEGHVTA TSSGAGVVKMGRFQVSVTMDDAQKERKNRSEDTKSVHFESSTSESSVLSSSSPESTLVKP EPNGISISGISLDVPDSTHKAPTPEAKSDAGQPTKVGRFQVTTTANKVGRFSVSRTEDKV TELKKEGPVTSPPFRDSEQTVIPAVIPKKEKPELAEPSHLNGPSSDLEAAFLSRGTEDGS GSPHSPPHLCSKSLPVQNLSQSLSNSFNSSYMSSDNESDIEDEDLRLELRRLREKHLKEI QDLQSRQKHEIESLYTKLGKVPPAVIIPPAAPLSGRRRRPTKSKGSKSSRSSSLGNKSPQ LSGNLSGQSGTSVLHPQQTLHPAGNTPETGHNQLLQPLKPSPSSDNLYSAFTSDGAISVP SLSAPGQGTSSTNTVGGTVSSQAAQAQPPAMTSSRKGTFTDDLHKLVDNWARDAMNLSGR RGSKGHMNYEGPGMARKFSAPGQLCVPMTSNLGGSTPISAASATSLGHFTKSMCPPQQYG FPPAPFGTQWSGTGGPAPQPLGQFQPVGTASLQNFNISNLQKSISNPPGSNLRTT >ENSMUSP00000115173.1 pep:known chromosome:GRCm38:7:19671579:19677941:-1 gene:ENSMUSG00000002992.17 transcript:ENSMUST00000142352.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc2 description:apolipoprotein C-II [Source:MGI Symbol;Acc:MGI:88054] MGSRFFLALFLVILMLGNEVQGNQEDDSGSLALLGTVQGSLLSYWTSAKEVAKDLYQKTY PISMDEKLRDMYSKSSAAMSTYAGIFTDQLLTLLRGE >ENSMUSP00000118291.1 pep:known chromosome:GRCm38:7:19671584:19673920:-1 gene:ENSMUSG00000002992.17 transcript:ENSMUST00000134116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc2 description:apolipoprotein C-II [Source:MGI Symbol;Acc:MGI:88054] MGSRFFLALFLVILMLGNEVQGNQEDDSGSLALLGTVQGSLLSYWTSAKEVAKDLYQKTY PISMDEKLRDMYSKSSAAMSTYAGIFTDQLLTLLRGE >ENSMUSP00000003074.9 pep:known chromosome:GRCm38:7:19671579:19676864:-1 gene:ENSMUSG00000002992.17 transcript:ENSMUST00000003074.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc2 description:apolipoprotein C-II [Source:MGI Symbol;Acc:MGI:88054] MGSRFFLALFLVILMLGNEVQGNQEDDSGSLALLGTVQGSLLSYWTSAKEVAKDLYQKTY PISMDEKLRDMYSKSSAAMSTYAGIFTDQLLTLLRGE >ENSMUSP00000137245.1 pep:known chromosome:GRCm38:4:88794487:88795035:1 gene:ENSMUSG00000099518.1 transcript:ENSMUST00000178347.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13275 description:predicted gene 13275 [Source:MGI Symbol;Acc:MGI:3701970] MLPVHIFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLRQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000032125.5 pep:known chromosome:GRCm38:6:87428994:87437677:1 gene:ENSMUSG00000030046.6 transcript:ENSMUST00000032125.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp10 description:bone morphogenetic protein 10 [Source:MGI Symbol;Acc:MGI:1338820] MGSLVLPLSAVFCLVAHSASGSPIMGLEQSPLEEDMPFFDDIFTEQDGIDFNTLLQSMKN EFLKTLNLSDIPVQDTGRVDPPEYMLELYNKFATDRTSMPSANIIRSFKNEDLFSQPVTF NGLRKYPLLFNVSIPHHEEVVMAELRLYTLVQRDRMMYDGVDRKITIFEVLESADGSEEE RSMLVLVSTEIYGTNSEWETFDVTDATRRWQKSGPSTHQLEIHIESRQNQAEDTGRGQLE IDMSAQNKHDPLLVVFSDDQSNDKEQKEELNELITHEQDLDLDSDAFFSGPDEEALLQMR SNMIDDSSARIRRNAKGNYCKKTPLYIDFKEIGWDSWIIAPPGYEAYECRGVCNYPLAEH LTPTKHAIIQALVHLKNSQKASKACCVPTKLDPISILYLDKGVVTYKFKYEGMAVSECGC R >ENSMUSP00000072803.6 pep:known chromosome:GRCm38:1:88211959:88218997:1 gene:ENSMUSG00000089960.1 transcript:ENSMUST00000073049.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a1 description:UDP glucuronosyltransferase 1 family, polypeptide A1 [Source:MGI Symbol;Acc:MGI:98898] MTVVCWSSRLLLLLPYLLLCVFGPSASHAGRLLVFPMDGSHWLSMLGVIQQLQQKGHEVV VIAPEASIHIKEGSFYTLRKFPVPFQKENVTATLVELGRTAFNQDSFLLRVVKIYMKVKR DSSMLLAGCSHLLHNAEFMASLEESHFDALLTDPFLPCGSIVAQYLTVPTVYFLNKLPCS LDSEATQCPVPLSYVPKSLSFNSDRMNFLQRVKNVLLAVSENFMCRVVYSPYGSLATEIL QKEVTVQDLLSPASIWLMRSDFVKDYPRPIMPNMVFIGGINCLQKKPLSQEFEAYVNASG EHGIVVFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQN DLLGHPKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEM TADDLENALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAA HDLTWYQYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000114063.1 pep:known chromosome:GRCm38:7:27179744:27181157:-1 gene:ENSMUSG00000089661.9 transcript:ENSMUST00000121848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mia description:melanoma inhibitory activity [Source:MGI Symbol;Acc:MGI:109615] MMVWSPVLLGIVVLSVFSGPSRADRAMPKLADWKLCADEECSHPISMAVALQDYVAPDCR FLTIYRGQVVYVFSKLKGRGRLFWGGSVQGGYYGDLAARLGYFPSSIVREDLTLKPGKID MKTDQWDFYCQ >ENSMUSP00000071876.6 pep:known chromosome:GRCm38:7:27179742:27181149:-1 gene:ENSMUSG00000089661.9 transcript:ENSMUST00000071986.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mia description:melanoma inhibitory activity [Source:MGI Symbol;Acc:MGI:109615] MVWSPVLLGIVVLSVFSGPSRADRAMPKLADWKLCADEECSHPISMAVALQDYVAPDCRF LTIYRGQVVYVFSKLKGRGRLFWGGSVQGGYYGDLAARLGYFPSSIVREDLTLKPGKIDM KTDQWDFYCQ >ENSMUSP00000108340.1 pep:known chromosome:GRCm38:X:150568862:150589844:-1 gene:ENSMUSG00000025269.16 transcript:ENSMUST00000112720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex2 description:apurinic/apyrimidinic endonuclease 2 [Source:MGI Symbol;Acc:MGI:1924872] MLRVVSWNINGIRSPLQGLACQEPSSCPTALRRVLDELDADIVCLQETKVTRDVLTEPLA IVEGYNSYFSFSRSRSGYSGVATFCKDSATPVAAEEGLSGVFATLNGDIGCYGNMDEFTQ EELRVLDSEGRALLTQHKIRTLEGKEKTLTLINVYCPHADPGKPERLTFKMRFYRLLQMR AEALLAAGSHVIILGDLNTAHRPIDHCDASSLECFEEDPGRKWMDGLLSNPGDEAGPHIG LFMDSYRYLHPKQQRAFTCWSVVSGARHLNYGSRLDYVLGDRALVIDTFQASFLLPEVMG SDHCPVGAVLNVSCVPAKQCPALCTRFLPEFAGTQLKILRFLVPLEQEPVREQQVLQPSH QIQAQRQPRKAFMHSTRLRKSQGGPKRKQKNLMSYFQPSSSLSQTSGVELPTLPLVGPLT TPKTAEEVATATMLEEKNKVPESKDEKGERTAFWKSMLSGPSPMPLCGGHREPCVMRTVK KTGPNFGRQFYMCARPRGPPSDPSSRCNFFLWSRPS >ENSMUSP00000108345.1 pep:known chromosome:GRCm38:X:150519519:150589844:-1 gene:ENSMUSG00000025269.16 transcript:ENSMUST00000112725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex2 description:apurinic/apyrimidinic endonuclease 2 [Source:MGI Symbol;Acc:MGI:1924872] MLRVVSWNINGIRSPLQGLACQEPSSCPTALRRVLDELDADIVCLQETKVTRDVLTEPLA IVEGYNSYFSFSRSRSGYSGVATFCKDSATPVAAEEGLSGVFATLNGDIGCYGNMDEFTQ EELRVLDSEGRALLTQHKIRTLEGKEKTLTLINVYCPHADPGKPERLTFKMRFYRLLQMR AEALLAAGSHVIILGDLNTAHRPIDHCDASSLLPVAACGHTNLVPEWEAGPVWERTMREI MEVKTRFCSRPLKFTESPCL >ENSMUSP00000108347.3 pep:known chromosome:GRCm38:X:150520352:150588082:-1 gene:ENSMUSG00000025269.16 transcript:ENSMUST00000112727.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex2 description:apurinic/apyrimidinic endonuclease 2 [Source:MGI Symbol;Acc:MGI:1924872] MLRVVSWNINGIRSPLQGLACQEPSSCPTALRRVLDELDADIVCLQETKVTRDVLTEPLA IVEGYNSYFSFSRSRSGYSGVATFCKDSATPVAAEEGLSGVFATLNGDIGCYGNMDEFTQ EELRVLDSEGRALLTQHKIRTLEGKEKTLTLINVYCPHADPGKPERLTFKMRFYRLLQMR AEALLAAGSHVIILGDLNTAHRPIDHCDASSLLPVAACGHTNLVPEWEAGPVWERTMREI MEGFCDLLHSVRIFHHHTASLLRPSY >ENSMUSP00000108341.3 pep:known chromosome:GRCm38:X:150571508:150588096:-1 gene:ENSMUSG00000025269.16 transcript:ENSMUST00000112721.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex2 description:apurinic/apyrimidinic endonuclease 2 [Source:MGI Symbol;Acc:MGI:1924872] MLRVVSWNINGIRSPLQGLACQEPSSCPTALRRVLDELDADIVCLQETKVTRDVLTEPLA IVEGYNSYFSFSRSRSGYSECSCPSPGVATFCKDSATPVAAEEGLSGVFATLNGDIGCYG NMDEFTQEELRVLDSEGRALLTQHKIRTLEGKEKTLTLINVYCPHADPGKPERLTFKMRF YRLLQMRAEALLAAGSHVIILGDLNTAHRPIDHCDASSLECFEEDPGRKWMDGLLSNPGD EAGPHIGLFMDSYRYLHPKQQRAFTCWSVVSGARHLNYGSRLDYVLGDRALVIDTFQASF LLPEVMGSDHCPVGAVLNVSCVPAKQCPALCTRFLPEFAGTQLKILRFLVPLEQEPVREQ QVLQPSHQIQAQRQPRKAFMHSTRLRKSQGGPKRKQKNLMSYFQPSSSLSQTSGVELPTL PLVGPLTTPKTAEEVATATMLEEKNKVPESKDEKGERTAFWKSMLSGPSPMPLCGGHREP CVMRTVKKTGPNFGRQFYMCARPRGPPSDPSSRCNFFLWSRPS >ENSMUSP00000108339.1 pep:known chromosome:GRCm38:X:150584137:150588081:-1 gene:ENSMUSG00000025269.16 transcript:ENSMUST00000112719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex2 description:apurinic/apyrimidinic endonuclease 2 [Source:MGI Symbol;Acc:MGI:1924872] MLRVVSWNINGIRSPLQGLACQEPSSCPTALRRVLDELDADIVCLQETKVTRDVLTEPLA IVEGYNSYFSFSRSRSGYSGVATFCKDSATPVAAEEGLSGVFATLNGDIGCYGNMDEFTQ EELRVLDSEGRALLTQHKIRTLEGKEKTLTLINVYCPHADPGKPERLTFKMRFYRLLQMR AEALLAAGSHVIILGDLNTAHRPIDHCDASSLVRFPLNHRPQFCSVHPASQNWEFGTRGS LFYGKK >ENSMUSP00000122554.1 pep:known chromosome:GRCm38:X:150572549:150588071:-1 gene:ENSMUSG00000025269.16 transcript:ENSMUST00000140207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex2 description:apurinic/apyrimidinic endonuclease 2 [Source:MGI Symbol;Acc:MGI:1924872] XRVVSWNINGIRSPLQGLACQEPSSCPTALRRVLDELDADIVCLQETKVTSEHSRIQDLY GDVLTEPLAIVEGYNSYFSFSRSRSGYSGVATFCKDSATPVAAEEGLSGVFATLNGDIGC YGNMDEFTQEELRVLDSEGRALLTQHKIRTLEGKEKTLTLINVYCPHADPGKPERLTFKM RFYRLLQMRAEALLAAGSHVIILGDLNTAHRPIDHCDASSLECFEEDPGRKWMDGLLSNP GDEAGPHIGLFMDSYRYLHPKQQRA >ENSMUSP00000026303.9 pep:known chromosome:GRCm38:X:150571508:150588149:-1 gene:ENSMUSG00000025269.16 transcript:ENSMUST00000026303.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex2 description:apurinic/apyrimidinic endonuclease 2 [Source:MGI Symbol;Acc:MGI:1924872] MLRVVSWNINGIRSPLQGLACQEPSSCPTALRRVLDELDADIVCLQETKVTRDVLTEPLA IVEGYNSYFSFSRSRSGYSGVATFCKDSATPVAAEEGLSGVFATLNGDIGCYGNMDEFTQ EELRVLDSEGRALLTQHKIRTLEGKEKTLTLINVYCPHADPGKPERLTFKMRFYRLLQMR AEALLAAGSHVIILGDLNTAHRPIDHCDASSLECFEEDPGRKWMDGLLSNPGDEAGPHIG LFMDSYRYLHPKQQRAFTCWSVVSGARHLNYGSRLDYVLGDRALVIDTFQASFLLPEVMG SDHCPVGAVLNVSCVPAKQCPALCTRFLPEFAGTQLKILRFLVPLEQEPVREQQVLQPSH QIQAQRQPRKAFMHSTRLRKSQGGPKRKQKNLMSYFQPSSSLSQTSGVELPTLPLVGPLT TPKTAEEVATATMLEEKNKVPESKDEKGERTAFWKSMLSGPSPMPLCGGHREPCVMRTVK KTGPNFGRQFYMCARPRGPPSDPSSRCNFFLWSRPS >ENSMUSP00000041727.4 pep:known chromosome:GRCm38:8:40423815:40485725:1 gene:ENSMUSG00000039470.15 transcript:ENSMUST00000049389.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc2 description:zinc finger, DHHC domain containing 2 [Source:MGI Symbol;Acc:MGI:1923452] MAPSGSGGVRRRCRRVLYWIPVVFISLLLGWSYYAYAIQLCIVSMENIGEQVVCLMAYHL LFAMFVWSYWKTIFTLPMNPSKEFHLSYAEKELLEREPRGEAHQEVLRRAAKDLPIYTRT MSGAIRYCDRCQLIKPDRCHHCSVCDKCILKMDHHCPWVNNCVGFSNYKFFLLFLAYSLL YCLFIAATDLQYFIRFWTNGLPDTQAKFHIMFLFFAAAMFSVSLSSLFGYHCWLVSKNKS TLEAFRNPVFRHGTDKNGFSLGFSKNMRQVFGDEKKYWLLPVFSSQGDGCSFPTCLVNQD PEQPSTPAGLNSTVKNPENHQFPAKPLRESQSHLLKDSQTWTESSANPGKGKAGMSNPAL TMENET >ENSMUSP00000123070.1 pep:known chromosome:GRCm38:8:40423821:40510266:1 gene:ENSMUSG00000039470.15 transcript:ENSMUST00000128166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc2 description:zinc finger, DHHC domain containing 2 [Source:MGI Symbol;Acc:MGI:1923452] MAPSGSGGVRRRCRRVLYWIPVVFISLLLGWSYYAYAIQLCIVSMENIGEQVVCLMAYHL LFAMFVWSYWKTIFTLPMNPSKEFHLSYAEKELLEREPRGEAHQEVLRRAAKDLPIYTRT MSGAIRYCDRCQLIKPDRCHHCSVCDKCILKMDHHCPWVNNCVGFSNYKFFLLFLAYSLL YCLFIAATDLQYFIRFWTNGLPDTQAKFHIMFLFFAAAMFSVSLSSLFGYHCWLVSKNKS TLEAFRNPVFRHGTDKNGFSLGFSKNMRQVFGDEKKYWLLPVFSSQGDGCSFPTCLVNQD PEQPSTPAGLNSTVKNPENHQFPAKPLRESQSHLLKDSQTWTESSANPGKGKAGMSNPAL TMENET >ENSMUSP00000129996.1 pep:known chromosome:GRCm38:8:40423840:40484269:1 gene:ENSMUSG00000039470.15 transcript:ENSMUST00000167766.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc2 description:zinc finger, DHHC domain containing 2 [Source:MGI Symbol;Acc:MGI:1923452] MAPSGSGGVRRRCRRVLYWIPVVFISLLLGWSYYAYAIQLCIVSMENIGEQVVCLMAYHL LFAMFVWSYWKTIFTLPMNPSKEFHLSYAEKELLEREPRGEAHQEVLRRAAKDLPIYTRT MSGAIRYCDRCQLIKPDRCHHCSVCDKCILKMDHHCPWVNNCVGFSNYKFFLLFLAYSLL YCLFIAATDLQYFIRFWTNGLPDTQAKFHIMFLFFAAAMFSVSLSSLFGYHCWLVSKNKS TLEAFRNPVFRHGTDKNGFSLGFSKNMRQVFGDEKKYWLLPVFSSQGDGCSFPTCLVNQD PEQPSTPAGLNSTVKNPENHQFPAKPLRESQSHLLKDSQTWTESSANPGKGKAGMSNPAL TMENET >ENSMUSP00000136472.1 pep:known chromosome:GRCm38:4:88797397:88797945:1 gene:ENSMUSG00000099420.1 transcript:ENSMUST00000178602.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13279 description:predicted gene 13279 [Source:MGI Symbol;Acc:MGI:3701978] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLKQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000091904.5 pep:known chromosome:GRCm38:2:164656046:164657368:1 gene:ENSMUSG00000070529.7 transcript:ENSMUST00000094344.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc10 description:WAP four-disulfide core domain 10 [Source:MGI Symbol;Acc:MGI:3616889] MLSRNLLLFLGVLLLLSLADGGVQRRQRYEAPVHTEIKECEGKPKRYLCSRHCEAHQDCQ ANNICCSAACGNVCVNLLDKDVWEMPVTPPSDYVYPESGSPPSFEGDMILPGITLSSLTT AVTK >ENSMUSP00000029941.9 pep:known chromosome:GRCm38:3:142239590:142395693:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000029941.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQAHVRIGDVVLSIDGISAQG MTHLEAQNKIKACTGSLNMTLQRASAAAKSEPVSVQKGEPKEVVKPVPITSPAVSKVTST TNMAYNKAPRPFGSVSSPKVTSIPSPSSAFTPAHAATSSHASPTPVAAATPLHLSASGLH VSANLSADQCSSPPNTGKPAVNVPRQPTVTSVCSESAQELAEGQRRGSQGDIKQQNGPPR KHIVERNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSFRILAQITGTEHLTESEN DNTKKANSTQEPSQQPASSGASPLSASEGPESPGSSRPSVAGLRSAAAFKPVGSTSVKSP SWQRPNQAAPSTGRISNNARSSGTGASVGPPQPSDQDTLVQRAEHIPAGKRTPMCAHCNQ VIRGPFLVALGKSWHPEEFNCAHCKNTMAYIGFVEEKGALYCELCYEKFFAPECGRCQRK ILGEVINALKQTWHVSCFVCVACGKPIRNNVFHLEDGEPYCETDYYALFGTICRGCEFPI EAGDMFLEALGYTWHDTCFVCSVCCESLEGQTFFSKKDKPLCKKHAHSVNF >ENSMUSP00000142737.1 pep:known chromosome:GRCm38:3:142242680:142391808:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000195975.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQAHVRIGDVVLSIDGISAQG MTHLEAQNKIKACTGSLNMTLQRASAAAKSEPVSVQKPTVTSVCSESAQELAEGQRRGSQ GDIKQQNGPPRKHIVERNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSFRILAQI TGTEHLTESENDNTKKAKFYSSLEDPLKNGPHPPAAPQLLKVHSQVAIVSKEAATYSSVS RSTRTVEGALEGFGNFPAFSPPTRYSAVVVSDAAATVSAALAAKTRLFGPENSQSLLDAL CISTVPKPLALSCLQSSEESSGSVHVKKSSSTQEPSQQPASSGASPLSASEGPESPGSSR PSVAGLRSAAAFKPVGSTSVKSPSWQRPNQAAPSTGRISNNARSSGTGASVGPPQPSDQD TLVQRAEHIPAGKRTPMCAHCNQVIRGPFLVALGKSWHPEEFNCAHCKNTMAYIGFVEEK GALYCELCYEKFFAPECGRCQRKILGEVINALKQTWHVSCFVCVACGKPIRNNVFHLEDG EPYCETDYYALFGTICRGCEFPIEAGDMFLEALGYTWHDTCFVCSVCCESLEGQTFFSKK DKPLCKKHAHSVNF >ENSMUSP00000143343.1 pep:known chromosome:GRCm38:3:142242680:142391808:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000200043.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQAHVRIGDVVLSIDGISAQG MTHLEAQNKIKACTGSLNMTLQRASAAAKSEPVSVQKPTVTSVCSESAQELAEGQRRGSQ GDIKQQNGPPRKHIVERNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSFRILAQI TGTEHLTESENDNTKKAKLFGPENSQSLLDALCISTVPKPLALSCLQSSEESSGSVHVKK SSSTQEPSQQPASSGASPLSASEGPESPGSSRPSVAGLRSAAAFKPVGSTSVKSPSWQRP NQAAPSTGRISNNARSSGTGASVGPPQPSDQDTLVQRAEHIPAGKRTPMCAHCNQVIRGP FLVALGKSWHPEEFNCAHCKNTMAYIGFVEEKGALYCELCYEKFFAPECGRCQRKILGEV INALKQTWHVSCFVCVACGKPIRNNVFHLEDGEPYCETDYYALFGTICRGCEFPIEAGDM FLEALGYTWHDTCFVCSVCCESLEGQTFFSKKDKPLCKKHAHSVNF >ENSMUSP00000142460.1 pep:known chromosome:GRCm38:3:142242680:142391808:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000196220.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQAHVRIGDVVLSIDGISAQG MTHLEAQNKIKACTGSLNMTLQRASAAAKSEPVSVQKPTVTSVCSESAQELAEGQRRGSQ GDIKQQNGPPRKHIVERNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSFRILAQI TGTEHLTESENDNTKKANVSRSTRTVEGALEGFGNFPAFSPPTRYSAVVVSDAAATVSAA LAAKTRLFGPENSQSLLDALCISTVPKPLALSCLQSSEESSGSVHVKKSSSTQEPSQQPA SSGASPLSASEGPESPGSSRPSVAGLRSAAAFKPVGSTSVKSPSWQRPNQAAPSTGRISN NARSSGTGASVGPPQPSDQDTLVQRAEHIPAGKRTPMCAHCNQVIRGPFLVALGKSWHPE EFNCAHCKNTMAYIGFVEEKGALYCELCYEKFFAPECGRCQRKILGEVINALKQTWHVSC FVCVACGKPIRNNVFHLEDGEPYCETDYYALFGTICRGCEFPIEAGDMFLEALGYTWHDT CFVCSVCCESLEGQTFFSKKDKPLCKKHAHSVNF >ENSMUSP00000142899.1 pep:known chromosome:GRCm38:3:142242680:142395578:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000198381.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQAHVRIGDVVLSIDGISAQG MTHLEAQNKIKACTGSLNMTLQRASAAAKSEPVSVQKPTVTSVCSESAQELAEGQRRGSQ GDIKQQNGPPRKHIVERNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSFRILAQI TGTEHLTESENDNTKKANSTQEPSQQPASSGASPLSASEGPESPGSSRPSVAGLRSAAAF KPVGSTSVKSPSWQRPNQAAPSTGRISNNARSSGTGASVGPPQPSDQDTLVQRAEHIPAG KRTPMCAHCNQVIRGPFLVALGKSWHPEEFNCAHCKNTMAYIGFVEEKGALYCELCYEKF FAPECGRCQRKILGEVINALKQTWHVSCFVCVACGKPIRNNVFHLEDGEPYCETDYYALF GTICRGCEFPIEAGDMFLEALGYTWHDTCFVCSVCCESLEGQTFFSKKDKPLCKKHAHSV NF >ENSMUSP00000143762.1 pep:known chromosome:GRCm38:3:142249368:142303979:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000200650.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] XTESENDNTKKANVSRSTRTVEGALEGFGNFPAFSPPTRYSAVVVSDAAATVSAALAAKT STQEPSQQPASSGASPLSASEGPESPGSSRPSVAGLRSAAAFKPVGSTSVKSPSWQRPNQ AAPSTGRISNNARSSGTGASVGPPQPSDQDTLVQRAEHIPAGKRTPMCAHCNQVIRGPFL VALGKSWHPEEFNCAHCKNTMAYIGFVEEKGALYCELCYEKFF >ENSMUSP00000142790.1 pep:known chromosome:GRCm38:3:142249427:142304442:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000197808.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] XNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSFRILAQITGTEHLTESENDNTKK ANVSRSTRTVEGALEGFGNFPAFSPPTRYSAVVVSDAAATVSAALAAKTSSTQEPSQQPA SSGASPLSASEGPESPGSSRPSVAGLRSAAAFKPVGSTSVKSPSWQRPNQAAPSTGRISN NARSSGTGASVGPPQPSDQDTLVQRAEHIPAGKRTPMCAHCNQVIRGPFLVALGKSWHPE EFNCAHCKNTMAY >ENSMUSP00000128752.2 pep:known chromosome:GRCm38:3:142302080:142391850:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000170361.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQAHVRIGDVVLSIDGISAQG MTHLEAQNKIKACTGSLNMTLQRASAAAKSEPVSVQKPTVTSVCSESAQELAEGQRRGSQ GDIKQQNGNPGTVKIPPKRPPRKHIVERNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTT QSRSFRILAQITGTEHLTESENDNTKKAKEKIPLHVFSPKYTKLRDWHHEVSARALNVQ >ENSMUSP00000087595.5 pep:known chromosome:GRCm38:3:142302315:142395607:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000090134.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQAHVRIGDVVLSIDGISAQG MTHLEAQNKIKACTGSLNMTLQRASAAAKSEPVSVQKKTHVTNNPGTVKIPPKRPPRKHI VERNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSFRILAQITGTEHLTESENDNT KKAKEKIPLHVFSPKYTKLRDWHHEVSARALNVQ >ENSMUSP00000132647.3 pep:known chromosome:GRCm38:3:142302320:142391850:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000168967.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQAHVRIGDVVLSIDGISAQG MTHLEAQNKIKACTGSLNMTLQRASAAAKSEPVSVQKPTVTSVCSESAQELAEGQRRGSQ GDIKQQNGKIPPKRPPRKHIVERNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSF RILAQITGTEHLTESENDNTKKAKEKIPLHVFSPKYTKLRDWHHEVSARALNVQ >ENSMUSP00000143098.1 pep:known chromosome:GRCm38:3:142303221:142395618:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000196908.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQAHVRIGDVVLSIDGISAQG MTHLEAQNKIKACTGSLNMTLQRASAAAKSEPVSVQKGEPKEVVKPVPITSPAVSKVTST TNMAYNKAPRPFGSVSSPKVTSIPSPSSAFTPAHAATSSHASPTPVAAATPLHLSASGLH VSANLSADQCSSPPNTGKPAVNVPRQPTVTSVCSESAQELAEGQRRGSQGDIKQQNGPPR KHIVERNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTTQSRSFRILAQITGTEHLTESEN DNTKKAKEKIPLHVFSPKYTKLRDWHHEVSARALNVQ >ENSMUSP00000059267.8 pep:known chromosome:GRCm38:3:142303328:142395696:-1 gene:ENSMUSG00000028273.15 transcript:ENSMUST00000058626.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim5 description:PDZ and LIM domain 5 [Source:MGI Symbol;Acc:MGI:1927489] MSNYSVSLVGPAPWGFRLQGGKDFNMPLTISSLKDGGKASQAHVRIGDVVLSIDGISAQG MTHLEAQNKIKACTGSLNMTLQRASAAAKSEPVSVQKPTVTSVCSESAQELAEGQRRGSQ GDIKQQNGKKSTVQSSYKSPPRKHIVERNTEFYHIPTHSDASKKRLIEDTEDWRPRTGTT QSRSFRILAQITGTEHLTESENDNTKKAKEKIPLHVFSPKYTKLRDWHHEVSARALNVQ >ENSMUSP00000113248.1 pep:known chromosome:GRCm38:7:130162451:130266263:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000120187.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MGLPSTWRYGRGPGIGTVTMVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEGAPYW TNTEKMEKRLHAVPAANTVKFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSL IMESVVPSDKGNYTCLVENEYGSINHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEF VCKVYSDAQPHIQWIKHVEKNGSKYGPDGLPYLKVLKHSGINSSNAEVLALFNVTEMDAG EYICKVSNYIGQANQSAWLTVLPKQQAPVREKEITASPDYLEIAIYCIGVFLIACMVVTV IFCRMKTTTKKPDFSSQPAVHKLTKRIPLRRQVTVSAESSSSMNSNTPLVRITTRLSSTA DTPMLAGVSEYELPEDPKWEFPRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVA VKMLKDDATEKDLSDLVSEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREY LRARRPPGMEYSYDINRVPEEQMTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVT ENNVMKIADFGLARDINNIDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWE IFTLGGSPYPGIPVEELFKLLKEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVE DLDRILTLTTNEEYLDLTQPLEQYSPSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHI NGSVKT >ENSMUSP00000130971.1 pep:known chromosome:GRCm38:7:130162451:133123350:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000124096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MKIADFGLARDINNIDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTL GGSPYPGIPVEELFKLLKEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDR ILTLTTNEEYLDLTQPLEQYSPSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHINGSV KT >ENSMUSP00000120100.1 pep:known chromosome:GRCm38:7:130162512:130266245:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000153166.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MGLPSTWRYGRGPGIGTVTMVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEEPPTK YQISQPEAYVVAPGESLELQCMLKDAAVISWTKDGVHLGPNNRTVLIGEYLQIKGATPRD SGLYACTAARTVDSETWYFMVNVTDAISSGDDEDDTDSSEDVVSENRSNQRAPYWTNTEK MEKRLHAVPAANTVKFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESV VPSDKGNYTCLVENEYGSINHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVY SDAQPHIQWIKHVEKNGSKYGPDGLPYLKVLKRL >ENSMUSP00000113994.1 pep:known chromosome:GRCm38:7:130162512:130266245:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000117872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MGLPSTWRYGRGPGIGTVTMVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEGAPYW TNTEKMEKRLHAVPAANTVKFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSL IMESVVPSDKGNYTCLVENEYGSINHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEF VCKVYSDAQPHIQWIKHVEKNGSKYGPDGLPYLKVLKAAGVNTTDKEIEVLYIRNVTFED AGEYTCLAGNSIGISFHSAWLTVLPAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVI FCRMKTTTKKPDFSSQPAVHKLTKRIPLRRQVSAESSSSMNSNTPLVRITTRLSSTADTP MLAGVSEYELPEDPKWEFPRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKM LKDDATEKDLSDLVSEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRA RRPPGMEYSYDINRVPEEQMTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENN VMKIADFGLARDINNIDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFT LGGSPYPGIPVEELFKLLKEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLD RILTLTTNEEYLDLTQPLEQYSPSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHINGS VKT >ENSMUSP00000112430.1 pep:known chromosome:GRCm38:7:130163709:130266191:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000122054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MGLPSTWRYGRGPGIGTVTMVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEEPPTK YQISQPEAYVVAPGESLELQCMLKDAAVISWTKDGVHLGPNNRTVLIGEYLQIKGATPRD SGLYACTAARTVDSETWYFMVNVTDAISSGDDEDDTDSSEDVVSENRSNQRAPYWTNTEK MEKRLHAVPAANTVKFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESV VPSDKGNYTCLVENEYGSINHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVY SDAQPHIQWIKHVEKNGSKYGPDGLPYLKVLKAAGVNTTDKEIEVLYIRNVTFEDAGEYT CLAGNSIGISFHSAWLTVLPAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVIFCRMK TTTKKPDFSSQPAVHKLTKRIPLRRQVTVSAESSSSMNSNTPLVRITTRLSSTADTPMLA GVSEYELPEDPKWEFPRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKD DATEKDLSDLVSEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRP PGMEYSYDINRVPEEQMTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMK IADFGLARDINNIDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGG SPYPGIPVEELFKLLKEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRIL TLTTNEEYLDLTQPLEQYSPSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHINGSVKT >ENSMUSP00000113452.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000121064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEERSPHRPILQAGLPANASTVVGGD VEFVCKVYSDAQPHIQWIKHVEKNGSKYGPDGLPYLKVLKHSGINSSNAEVLALFNVTEM DAGEYICKVSNYIGQANQSAWLTVLPKQQAPVREKEITASPDYLEIAIYCIGVFLIACMV VTVIFCRMKTTTKKPDFSSQPAVHKLTKRIPLRRQVTVSAESSSSMNSNTPLVRITTRLS STADTPMLAGVSEYELPEDPKWEFPRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAV TVAVKMLKDDATEKDLSDLVSEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNL REYLRARRPPGMEYSYDINRVPEEQMTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNV LVTENNVMKIADFGLARDINNIDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVL MWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQ LVEDLDRILTLTTNEEYLDLTQPLEQYSPSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQY PHINGSVKT >ENSMUSP00000113187.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000117754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEERSPHRPILQAGLPANASTVVGGD VEFVCKVYSDAQPHIQWIKHVEKNGSKYGPDGLPYLKVLKAAGVNTTDKEIEVLYIRNVT FEDAGEYTCLAGNSIGISFHSAWLTVLPAPVREKEITASPDYLEIAIYCIGVFLIACMVV TVIFCRMKTTTKKPDFSSQPAVHKLTKRIPLRRQVTVSAESSSSMNSNTPLVRITTRLSS TADTPMLAGVSEYELPEDPKWEFPRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVT VAVKMLKDDATEKDLSDLVSEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLR EYLRARRPPGMEYSYDINRVPEEQMTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNVL VTENNVMKIADFGLARDINNIDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLM WEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQL VEDLDRILTLTTNEEYLDLTQPLEQYSPSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYP HINGSVKT >ENSMUSP00000113474.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000120715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEEPPTKYQISQPEAYVVAPGESLEL QCMLKDAAVISWTKDGVHLGPNNRTVLIGEYLQIKGATPRDSGLYACTAARTVDSETWYF MVNVTDAISSGDDEDDTDSSEDVVSENRSNQRAPYWTNTEKMEKRLHAVPAANTVKFRCP AGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCLVENEYGSI NHTYHLDVVAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVIFCRMKTTTKKPDFSSQ PAVHKLTKRIPLRRQVTVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDP KWEFPRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLV SEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINR VPEEQMTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDIN NIDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEEL FKLLKEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNEEYLDL TQPLEQYSPSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHINGSVKT >ENSMUSP00000113010.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000119260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEEPPTKYQISQPEAYVVAPGESLEL QCMLKDAAVISWTKDGVHLGPNNRTVLIGEYLQIKGATPRDSGLYACTAARTVDSETWYF MVNVTDAISSGDDEDDTDSSEDVVSENRSNQRAPYWTNTEKMEKRLHAVPAANTVKFRCP AGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCLVENEYGSI NHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVYSDAQPHIQWIKHVEKNGSK YGPDGLPYLKVLKAAGVNTTDKEIEVLYIRNVTFEDAGEYTCLAGNSIGISFHSAWLTVL PAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVIFCRMKTTTKKPDFSSQPAVHKLTK RIPLRRQVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDPKWEFPRDKLT LGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLVSEMEMMKMIG KHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVPEEQMTFKD LVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNIDYYKKTTN GRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFKLLKEGHRM DKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNEEYLDLTQPLEQYSPS YPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHINGSVKT >ENSMUSP00000112992.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000117089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEEPPTKYQISQPEAYVVAPGESLEL QCMLKDAAVISWTKDGVHLGPNNRTVLIGEYLQIKGATPRDSGLYACTAARTVDSETWYF MVNVTDAISSGDDEDDTDSSEDVVSENRSNQRAPYWTNTEKMEKRLHAVPAANTVKFRCP AGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCLVENEYGSI NHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVYSDAQPHIQWIKHVEKNGSK YGPDGLPYLKVLKHSGINSSNAEVLALFNVTEMDAGEYICKVSNYIGQANQSAWLTVLPK QQAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVIFCRMKTTTKKPDFSSQPAVHKLT KRIPLRRQVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDPKWEFPRDKL TLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLVSEMEMMKMI GKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVPEEQMTFK DLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNIDYYKKTT NGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFKLLKEGHR MDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNEEYLDLTQPLEQYSP SYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHINGSVKT >ENSMUSP00000113180.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000117691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEEPPTKYQISQPEAYVVAPGESLEL QCMLKDAAVISWTKDGVHLGPNNRTVLIGEYLQIKGATPRDSGLYACTAARTVDSETWYF MVNVTDAISSGDDEDDTDSSEDVVSENRSNQRAPYWTNTEKMEKRLHAVPAANTVKFRCP AGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCLVENEYGSI NHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVYSDAQPHIQWIKHVEKNGSK YGPDGLPYLKVLKHSGINSSNAEVLALFNVTEMDAGEYICKVSNYIGQANQSAWLTVLPK QQAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVIFCRMKTTTKKPDFSSQPAVHKLT KRIPLRRQVTVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDPKWEFPRD KLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLVSEMEMMK MIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVPEEQMT FKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNIDYYKK TTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFKLLKEG HRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNEEYLDLTQPLEQY SPSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHINGSVKT >ENSMUSP00000112623.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000117858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEEPPTKYQISQPEAYVVAPGESLEL QCMLKDAAVISWTKDGVHLGPNNRTVLIGEYLQIKGATPRDSGLYACTAARTVDSETWYF MVNVTDAISSGDDEDDTDSSEDVVSENRSNQRAPYWTNTEKMEKRLHAVPAANTVKFRCP AGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCLVENEYGSI NHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVYSDAQPHIQWIKHVEKNGSK YGPDGLPYLKVLKHSGINSSNAEVLALFNVTEMDAGEYICKVSNYIGQANQSAWLTVLPK QQAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVIFCRMKTTTKKPDFSSQPAVHKLT KRIPLRRQVTVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDPKWEFPRD KLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLVSEMEMMK MIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVPEEQMT FKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNIDYYKK TTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFKLLKEG HRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNERNTWTSVSLSNR IHLVILTQGEIKRLSSLLAGILGSHPASRTVFS >ENSMUSP00000113415.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000120141.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEDAISSGDDEDDTDSSEDVVSENRS NQRAPYWTNTEKMEKRLHAVPAANTVKFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKV RNQHWSLIMESVVPSDKGNYTCLVENEYGSINHTYHLDVVERSPHRPILQAGLPANASTV VGGDVEFVCKVYSDAQPHIQWIKHVEKNGSKYGPDGLPYLKVLKHSGINSSNAEVLALFN VTEMDAGEYICKVSNYIGQANQSAWLTVLPKQQAPVREKEITASPDYLEIAIYCIGVFLI ACMVVTVIFCRMKTTTKKPDFSSQPAVHKLTKRIPLRRQVSAESSSSMNSNTPLVRITTR LSSTADTPMLAGVSEYELPEDPKWEFPRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKE AVTVAVKMLKDDATEKDLSDLVSEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKG NLREYLRARRPPGMEYSYDINRVPEEQMTFKDLVSCTYQLARGMEYLASQKCIHRDLAAR NVLVTENNVMKIADFGLARDINNIDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFG VLMWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTF KQLVEDLDRILTLTTNEEYLDLTQPLEQYSPSYPDTRSSCSSGDDSVFSPDPMPYEPCLP QYPHINGSVKT >ENSMUSP00000112580.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000117357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEGAPYWTNTEKMEKRLHAVPAANTV KFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCLVEN EYGSINHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVYSDAQPHIQWIKHVE KNGSKYGPDGLPYLKVLKHSGINSSNAEVLALFNVTEMDAGEYICKVSNYIGQANQSAWL TVLPKQQAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVIFCRMKTTTKKPDFSSQPA VHKLTKRIPLRRQVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDPKWEF PRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLVSEME MMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVPEE QMTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNIDY YKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFKLL KEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNEEYLDLTQPL EQYSPSYPDTRSSCSSGDDSVFSPDPMPYEPCLPQYPHINGSVKT >ENSMUSP00000113993.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000122448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEGAPYWTNTEKMEKRLHAVPAANTV KFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCLVEN EYGSINHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVYSDAQPHIQWIKHVE KNGSKYGPDGLPYLKVLKHSGINSSNAEVLALFNVTEMDAGEYICKVSNYIGQANQSAWL TVLPKQQAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVIFCRMKTTTKKPDFSSQPA VHKLTKRIPLRRQVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDPKWEF PRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLVSEME MMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVPEE QMTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNIDY YKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFKLL KEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNERNTWTSVSL SNRIHLVILTQGEIKRLSSLLAGILGSHPASRTVFS >ENSMUSP00000112471.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000118296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEDAISSGDDEDDTDSSEDVVSENRS NQRAPYWTNTEKMEKRLHAVPAANTVKFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKV RNQHWSLIMESVVPSDKGNYTCLVENEYGSINHTYHLDVVERSPHRPILQAGLPANASTV VGGDVEFVCKVYSDAQPHIQWIKHVEKNGSKYGPDGLPYLKVLKHSGINSSNAEVLALFN VTEMDAGEYICKVSNYIGQANQSAWLTVLPKQQAPVREKEITASPDYLEIAIYCIGVFLI ACMVVTVIFCRMKTTTKKPDFSSQPAVHKLTKRIPLRRQVTVSAESSSSMNSNTPLVRIT TRLSSTADTPMLAGVSEYELPEDPKWEFPRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKP KEAVTVAVKMLKDDATEKDLSDLVSEMEMMKMIGKHKNIINLLGACTQDGPLYVIVEYAS KGNLREYLRARRPPGMEYSYDINRVPEEQMTFKDLVSCTYQLARGMEYLASQKCIHRDLA ARNVLVTENNVMKIADFGLARDINNIDYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWS FGVLMWEIFTLGGSPYPGIPVEELFKLLKEGHRMDKPTNCTNELYMMMRDCWHAVPSQRP TFKQLVEDLDRILTLTTNERNTWTSVSLSNRIHLVILTQGEIKRLSSLLAGILGSHPASR TVFS >ENSMUSP00000112585.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000121080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEGAPYWTNTEKMEKRLHAVPAANTV KFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCLVEN EYGSINHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVYSDAQPHIQWIKHVE KNGSKYGPDGLPYLKVLKHSGINSSNAEVLALFNVTEMDAGEYICKVSNYIGQANQSAWL TVLPKQQAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVIFCRMKTTTKKPDFSSQPA VHKLTKRIPLRRQVTVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDPKW EFPRDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLVSE MEMMKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVP EEQMTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNI DYYKKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFK LLKEGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNERNTWTSV SLSNRIHLVILTQGEIKRLSSLLAGILGSHPASRTVFS >ENSMUSP00000112672.1 pep:known chromosome:GRCm38:7:130163959:130261857:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000117073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] MVSWGRFICLVLVTMATLSLARPSFSLVEDTTLEPEGAPYWTNTEKMEKRLHAVPAANTV KFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKVRNQHWSLIMESVVPSDKGNYTCLVEN EYGSINHTYHLDVVERSPHRPILQAGLPANASTVVGGDVEFVCKVYSDAQPHIQWIKHVE KNGSKYGPDGLPYLKVLKAAGVNTTDKEIEVLYIRNVTFEDAGEYTCLAGNSIGISFHSA WLTVLPAPVREKEITASPDYLEIAIYCIGVFLIACMVVTVIFCRMKTTTKKPDFSSQPAV HKLTKRIPLRRQVSAESSSSMNSNTPLVRITTRLSSTADTPMLAGVSEYELPEDPKWEFP RDKLTLGKPLGEGCFGQVVMAEAVGIDKDKPKEAVTVAVKMLKDDATEKDLSDLVSEMEM MKMIGKHKNIINLLGACTQDGPLYVIVEYASKGNLREYLRARRPPGMEYSYDINRVPEEQ MTFKDLVSCTYQLARGMEYLASQKCIHRDLAARNVLVTENNVMKIADFGLARDINNIDYY KKTTNGRLPVKWMAPEALFDRVYTHQSDVWSFGVLMWEIFTLGGSPYPGIPVEELFKLLK EGHRMDKPTNCTNELYMMMRDCWHAVPSQRPTFKQLVEDLDRILTLTTNERNTWTSVSLS NRIHLVILTQGEIKRLSSLLAGILGSHPASRTVFS >ENSMUSP00000122856.1 pep:known chromosome:GRCm38:7:130199807:130228756:-1 gene:ENSMUSG00000030849.18 transcript:ENSMUST00000127091.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fgfr2 description:fibroblast growth factor receptor 2 [Source:MGI Symbol;Acc:MGI:95523] XRLHAVPAANTVKFRCPAGGNPTPTMRWLKNGKEFKQEHRIGGYKVPREELSQGHECQEY H >ENSMUSP00000104959.1 pep:known chromosome:GRCm38:2:164649631:164656583:-1 gene:ENSMUSG00000074594.9 transcript:ENSMUST00000109335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc9 description:WAP four-disulfide core domain 9 [Source:MGI Symbol;Acc:MGI:3652032] MKPWIIVLTVSAHGILVFLHVLGSLKDDLEEIDQCWVQPPTRFCGKRCTKVRKCVSPNYT CCWTYCGNICLNNEEPFETLMKV >ENSMUSP00000096693.3 pep:known chromosome:GRCm38:2:164649787:164651763:-1 gene:ENSMUSG00000074594.9 transcript:ENSMUST00000099095.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc9 description:WAP four-disulfide core domain 9 [Source:MGI Symbol;Acc:MGI:3652032] MKPWIIVLTVSAHGILVFLHVLGSLKDDLEEIDQCWVQPPTRFCGKRCTKVRKCVSPNYT CCWTYCGNICLNNEEPFETLMKV >ENSMUSP00000124782.1 pep:known chromosome:GRCm38:14:50926070:50930849:-1 gene:ENSMUSG00000035953.13 transcript:ENSMUST00000160835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem55b description:transmembrane protein 55b [Source:MGI Symbol;Acc:MGI:2448501] MAADGERSPLLSEAGDGGAGGNGLAGPGGSATGPGGGLTPSAPPYGAGKHAPPQAFPPFP EGHPAVLPGEDPPPYSPLTSPDSGSAPMITCRVCQSPINVEGKMHQHVVKCGVCNEATPI KNAPPGKKYVRCPCNCLLICKVTSQRIACPRPYCKRIINLGPVHPGPLSPEPQPMGVRVI CGHCKNTFLWTEFTDRTLARCPHCRKVSSIGRRYPRKRCICCFLLGLLLAVTATGLAFGT WKHAQQYGGIYAAWAFVILLAVLCLGRALYWACMKVSHPVQNFS >ENSMUSP00000123697.1 pep:known chromosome:GRCm38:14:50927215:50930812:-1 gene:ENSMUSG00000035953.13 transcript:ENSMUST00000162957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem55b description:transmembrane protein 55b [Source:MGI Symbol;Acc:MGI:2448501] MAADGERSPLLSEAGDGGAGGNGLAGPGGSATGPGGGLTPSAPPYGAGKHAPPQAFPPFP EGHPAVLPGEDPPPYSPLTSPDSGSAPMITCRVCQSPINVEGKMHQHVVKCGVCNEATPI KNAPPGKKYVRCPCNCLLICKVTSQRIACPRPYCGQNSQTEPWHDALTAEKCHLLGDDIL GRDVFAASCLVYSWQSLPLALLLVHGSMHSNMEGSTQPGHLSFCWLFYVWVGPFIGLV >ENSMUSP00000125414.1 pep:known chromosome:GRCm38:14:50927215:50930813:-1 gene:ENSMUSG00000035953.13 transcript:ENSMUST00000161166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem55b description:transmembrane protein 55b [Source:MGI Symbol;Acc:MGI:2448501] MAADGERSPLLSEAGDGGAGGNGLAGPGGSATGPGGGLTPSAPPYGAAFPPFPEGHPAVL PGEDPPPYSPLTSPDSGSAPMITCRVCQSPINVEGKMHQHVVKCGVCNEATPIKNAPPGK KYVRCPCNCLLICKVTSQRIACPRPYCGQNSQTEPWHDALTAEKCHLLGDDILGRDVFAA SCLVYSWQSLPLALLLVHGSMHSNMEGSTQPGHLSFCWLFYVWVGPFIGLV >ENSMUSP00000038276.7 pep:known chromosome:GRCm38:14:50927215:50930856:-1 gene:ENSMUSG00000035953.13 transcript:ENSMUST00000049312.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem55b description:transmembrane protein 55b [Source:MGI Symbol;Acc:MGI:2448501] MAADGERSPLLSEAGDGGAGGNGLAGPGGSATGPGGGLTPSAPPYGAAFPPFPEGHPAVL PGEDPPPYSPLTSPDSGSAPMITCRVCQSPINVEGKMHQHVVKCGVCNEATPIKNAPPGK KYVRCPCNCLLICKVTSQRIACPRPYCKRIINLGPVHPGPLSPEPQPMGVRVICGHCKNT FLWTEFTDRTLARCPHCRKVSSIGRRYPRKRCICCFLLGLLLAVTATGLAFGTWKHAQQY GGIYAAWAFVILLAVLCLGRALYWACMKVSHPVQNFS >ENSMUSP00000125281.1 pep:known chromosome:GRCm38:14:50927866:50929209:-1 gene:ENSMUSG00000035953.13 transcript:ENSMUST00000161669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem55b description:transmembrane protein 55b [Source:MGI Symbol;Acc:MGI:2448501] XFLVRRDIGKPWRSGQNSQTEPWHDALTAEKCHLLGDDILGRDVFAASCLVYSWQSLPLA LLLVHGSMHSNMEGSTQPGHLSFCWLFYVWVGPFIGLV >ENSMUSP00000124259.1 pep:known chromosome:GRCm38:14:50928826:50930803:-1 gene:ENSMUSG00000035953.13 transcript:ENSMUST00000160538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem55b description:transmembrane protein 55b [Source:MGI Symbol;Acc:MGI:2448501] MAADGERSPLLSEAGDGGAGGNGLAGPGGSATGPGGGLTPSAPPYGAGKHAPPQAFPPFP EGHPAVLPGEDPPPYSPLTSPDSGSAPMITCRVCQSPINVEGKMHQHVVKCGVCNEATPI KNAPPGKKYVRCPCNCLLICKVTSQRIACPRPYCKRIINLGPVHPGPLSPEPQPMGVRVI CGHCKNTFLVRRDIGKPWRRQVLGIVVNPEKNFLLPPAFGFSWRRAFFLWLFFFFHSGQN SQTEPWHDALTAEKCHLLGDDILGRDVFAASCLVYSWQSLPLA >ENSMUSP00000048849.3 pep:known chromosome:GRCm38:16:92292389:92298129:1 gene:ENSMUSG00000039672.12 transcript:ENSMUST00000047383.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne2 description:potassium voltage-gated channel, Isk-related subfamily, gene 2 [Source:MGI Symbol;Acc:MGI:1891123] MATLANLTQTLEDAFKKIFITYMDSWRRNTTAEEQALQARVDAENFYYVILYLMVMIGMF SFIVVAILVSTVKSKRREHSQDPYHQYIVEDWQEKYKSQILHLEDSKATIHENMGATGFT VSP >ENSMUSP00000109604.1 pep:known chromosome:GRCm38:16:92295616:92298129:1 gene:ENSMUSG00000039672.12 transcript:ENSMUST00000113971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne2 description:potassium voltage-gated channel, Isk-related subfamily, gene 2 [Source:MGI Symbol;Acc:MGI:1891123] MATLANLTQTLEDAFKKIFITYMDSWRRNTTAEEQALQARVDAENFYYVILYLMVMIGMF SFIVVAILVSTVKSKRREHSQDPYHQYIVEDWQEKYKSQILHLEDSKATIHENMGATGFT VSP >ENSMUSP00000090727.6 pep:known chromosome:GRCm38:7:27168424:27178896:-1 gene:ENSMUSG00000053291.15 transcript:ENSMUST00000093040.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab4b description:RAB4B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105071] MAETYDFLFKFLVIGSAGTGKSCLLHQFIENKFKQDSNHTIGVEFGSRVVNVGGKTVKLQ IWDTAGQERFRSVTRSYYRGAAGALLVYDITSRETYNSLAAWLTDARTLASPNIVVILCG NKKDLDPEREVTFLEASRFAQENELMFLETSALTGENVEEAFLKCARTILNKIDSGELDP ERMGSGIQYGDISLRQLRQPRSAQAVAPQPCGC >ENSMUSP00000138477.1 pep:known chromosome:GRCm38:7:27168426:27178810:-1 gene:ENSMUSG00000053291.15 transcript:ENSMUST00000153511.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab4b description:RAB4B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105071] MAETYDFLFKFLVIGSAGTGKSCLLHQFIENKFKQDSNHTIGVEFGSRVVNVGGKTVKLQ IWDTAGQERFRSVTRSYYRGAAGALLVYDITRADVPGDQRSHG >ENSMUSP00000031263.1 pep:known chromosome:GRCm38:5:103605711:103629403:-1 gene:ENSMUSG00000029321.1 transcript:ENSMUST00000031263.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a6 description:solute carrier family 10 (sodium/bile acid cotransporter family), member 6 [Source:MGI Symbol;Acc:MGI:1923000] MSTDCAGNSTCPVNSTEEDPPVGMEGHANLKLLFTVLSAVMVGLVMFSFGCSVESQKLWL HLRRPWGIAVGLLSQFGLMPLTAYLLAIGFGLKPFQAIAVLMMGSCPGGTISNVLTFWVD GDMDLSISMTTCSTVAALGMMPLCLYIYTRSWTLTQNLVIPYQSIGITLVSLVVPVASGV YVNYRWPKQATVILKVGAILGGMLLLVVAVTGMVLAKGWNTDVTLLVISCIFPLVGHVTG FLLAFLTHQSWQRCRTISIETGAQNIQLCIAMLQLSFSAEYLVQLLNFALAYGLFQVLHG LLIVAAYQAYKRRQKSKCRRQHPDCPDVCYEKQPRETSAFLDKGDEAAVTLGPVQPEQHH RAAELTSHIPSCE >ENSMUSP00000118305.2 pep:known chromosome:GRCm38:7:19671584:19681423:-1 gene:ENSMUSG00000109350.1 transcript:ENSMUST00000127648.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc2 description:apolipoprotein C-II [Source:MGI Symbol;Acc:MGI:88054] MGSRFFLALFLVILMLGNEVQGNQEDDSGSLALLGTVQGSLLSYWTSAKEVAKDLYQKTY PISMDEKLRDMYSKSSAAMSTYAGIFTDQLLTLLRGE >ENSMUSP00000114512.1 pep:known chromosome:GRCm38:7:19671586:19681410:-1 gene:ENSMUSG00000109350.1 transcript:ENSMUST00000150569.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc2 description:apolipoprotein C-II [Source:MGI Symbol;Acc:MGI:88054] MGSRFFLALFLVILMLGNEVQGNQEDDSGSLALLGTVQGSLLSYWTSAKEVAKDLYQKTY PISMDEKLRDMYSKSSAAMSTYAGIFTDQLLTLLRGE >ENSMUSP00000027146.2 pep:known chromosome:GRCm38:1:69531214:69685821:-1 gene:ENSMUSG00000025997.13 transcript:ENSMUST00000027146.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf2 description:IKAROS family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1342541] METDAIDGYITCDNELSPEGEHANMAIDLTSSTPNGQHASPSHMTSTNSVKLEMQSDEEC DRQPLSREDEIRGHDEGSSLEEPLIESSEVADNRKVQDLQGEGGIRLPNGKLKCDVCGMV CIGPNVLMVHKRSHTGERPFHCNQCGASFTQKGNLLRHIKLHSGEKPFKCPFCSYACRRR DALTGHLRTHSVGKPHKCNYCGRSYKQRSSLEEHKERCHNYLQNVSMEAAGQVMSHHVPP MEDCKEQEPIMDNNISLVPFERPAVIEKLTANMGKRKSSTPQKFVGEKLMRFSYPDIHFD MNLTYEKEAELMQSHMMDQAINNAITYLGAEALHPLMQHAPSTIAEVAPVISSAYSQVYH PNRIERPISRETSDSHENNMDGPISLIRPKSRPQEREASPSNSCLDSTDSESSHDDRQSY QGNPALNPKRKQSPAYMKEDVKALDATKAPKGSLKDIYKVFNGEGEQIRAFKCEHCRVLF LDHVMYTIHMGCHGYRDPLECNICGYRSQDRYEFSSHIVRGEHTFH >ENSMUSP00000139543.1 pep:known chromosome:GRCm38:1:69538037:69685886:-1 gene:ENSMUSG00000025997.13 transcript:ENSMUST00000190771.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf2 description:IKAROS family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1342541] MHCTLTMETDAIDGYITCDNELSPEGEHANMAIDLTSSTPNGQHASPSHMTSTNSVKLEM QSDEECDRQPLSREDEIRGHDEGSSLEEPLIESSEVADNRKVQDLQGEGGIRLPNGKLKC DVCGMVCIGPNVLMVHKRSHTGERPFHCNQCGASFTQKGNLLRHIKLHSGEKPFKCPFCS YACRRRDALTGHLRTHSVGKPHKCNYCGRSYKQRSSLEEHKERCHNYLQNVSMEAAGQVM SHHVPPMEDCKEQEPIMDNNISLVPFERPAVIEKLTANMGKRKSSTPQKFVGEKLMRFSY PDIHFDMNLTYEKEAELMQSHMMDQAINNAITYLGAEALHPLMQHAPSTIAEVAPVISSA YSQVYHPNRIERPISRETSDSHENNMDGPISLIRPKSRPQEREASPSNSCLDSTDSESSH DDRQSYQGNPALNPKRKQSPAYMKEDVKALDATKAPKGSLKDIYKVFNGEGEQIRAFKCE HCRVLFLDHVMYTIHMGCHGYRDPLECNICGYRSQDRYEFSSHIVRGEHTFH >ENSMUSP00000141075.1 pep:known chromosome:GRCm38:1:69538582:69687245:-1 gene:ENSMUSG00000025997.13 transcript:ENSMUST00000187184.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf2 description:IKAROS family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1342541] METDAIDGYITCDNELSPEGEHANMAIDLTSSTPNGQHASPSHMTSTNSVKLEMQSDEEC DRQPLSREDEIRGHDEGSSLEEPLIESSEVADNRKVQDLQGEGGIRLPNGERPFHCNQCG ASFTQKGNLLRHIKLHSGEKPFKCPFCSYACRRRDALTGHLRTHSVGKPHKCNYCGRSYK QRSSLEEHKERCHNYLQNVSMEAAGQVMSHHVPPMEDCKEQEPIMDNNISLVPFERPAVI EKLTANMGKRKSSTPQKFVGEKLMRFSYPDIHFDMNLTYEKEAELMQSHMMDQAINNAIT YLGAEALHPLMQHAPSTIAEVAPVISSAYSQVYHPNRIERPISRETSDSHENNMDGPISL IRPKSRPQEREASPSNSCLDSTDSESSHDDRQSYQGNPALNPKRKQSPAYMKEDVKALDA TKAPKGSLKDIYKVFNGEGEQIRAFKCEHCRVLFLDHVMYTIHMGCHGYRDPLECNICGY RSQDRYEFSSHIVRGEHTFH >ENSMUSP00000140043.1 pep:known chromosome:GRCm38:1:69538768:69684157:-1 gene:ENSMUSG00000025997.13 transcript:ENSMUST00000190855.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf2 description:IKAROS family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1342541] METDAIDGYITCDNELSPEGEHANMAIDLTSSTPNGQHASPSHMTSTNSVKLEMQSDEEC DRQPLSREDEIRGHDEGSSLEEPLIESSEVADNRKVQDLQGEGGIRLPNGERPFHCNQCG ASFTQKGNLLRHIKLHSGEKPFKCPFCSYACRRRDALTGHLRTHSVGKPHKCNYCGRSYK QRSSLEEHKERCHNYLQNVSMEAAGQVMSHHGEKLMRFSYPDIHFDMNLTYEKEAELMQS HMMDQAINNAITYLGAEALHPLMQHAPSTIAEVAPVISSAYSQVYHPNRIERPISRETSD SHENNMDGPISLIRPKSRPQEREASPSNSCLDSTDSESSHDDRQSYQGNPALNPKRKQSP AYMKEDVKALDATKAPKGSLKDIYKVFNGEGEQIRAFKCEHCRVLFLDHVMYTIHMGCHG YRDPLECNICGYRSQDRYEFSSHIVRGEHTFH >ENSMUSP00000140069.1 pep:known chromosome:GRCm38:1:69538768:69684157:-1 gene:ENSMUSG00000025997.13 transcript:ENSMUST00000188110.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf2 description:IKAROS family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1342541] METDAIDGYITCDNELSPEGEHANMAIDLTSSTPNGQHASPSHMTSTNSVKLEMQSDEEC DRQPLSREDEIRGHDEGSSLEEPLIESSEVADNRKVQDLQGEGGIRLPNGERPFHCNQCG ASFTQKGNLLRHIKLHSGEKPFKCPFCSYACRRRDALTGHLRTHSVPPMEDCKEQEPIMD NNISLVPFERPAVIEKLTANMGKRKSSTPQKFVGEKLMRFSYPDIHFDMNLTYEKEAELM QSHMMDQAINNAITYLGAEALHPLMQHAPSTIAEVAPVISSAYSQVYHPNRIERPISRET SDSHENNMDGPISLIRPKSRPQEREASPSNSCLDSTDSESSHDDRQSYQGNPALNPKRKQ SPAYMKEDVKALDATKAPKGSLKDIYKVFNGEGEQIRAFKCEHCRVLFLDHVMYTIHMGC HGYRDPLECNICGYRSQDRYEFSSHIVRGEHTFH >ENSMUSP00000139530.1 pep:known chromosome:GRCm38:1:69538768:69684157:-1 gene:ENSMUSG00000025997.13 transcript:ENSMUST00000191262.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf2 description:IKAROS family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1342541] METDAIDGYITCDNELSPEGEHANMAIDLTSSTPNGQHASPSHMTSMGKPHKCNYCGRSY KQRSSLEEHKERCHNYLQNVSMEAAGQVMSHHVPPMEDCKEQEPIMDNNISLVPFERPAV IEKLTANMGKRKSSTPQKFVGEKLMRFSYPDIHFDMNLTYEKEAELMQSHMMDQAINNAI TYLGAEALHPLMQHAPSTIAEVAPVISSAYSQVYHPNRIERPISRETSDSHENNMDGPIS LIRPKSRPQEREASPSNSCLDSTDSESSHDDRQSYQGNPALNPKRKQSPAYMKEDVKALD ATKAPKGSLKDIYKVFNGEGEQIRAFKCEHCRVLFLDHVMYTIHMGCHGYRDPLECNICG YRSQDRYEFSSHIVRGEHTFH >ENSMUSP00000140378.1 pep:known chromosome:GRCm38:1:69577890:69685300:-1 gene:ENSMUSG00000025997.13 transcript:ENSMUST00000190016.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf2 description:IKAROS family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1342541] METDAIDGYITCDNELSPEGEHANMAIDLTSSTPNGQHASPSHMTSTNSVKLEMQSDEEC DRQPLSREDEIRGHDEGSSLEEPLIESSEVADNRKVQDL >ENSMUSP00000028668.7 pep:known chromosome:GRCm38:2:122028546:122056598:1 gene:ENSMUSG00000027236.8 transcript:ENSMUST00000028668.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3j1 description:eukaryotic translation initiation factor 3, subunit J1 [Source:MGI Symbol;Acc:MGI:1925905] MAAAAAAAAAAGDSDSWDADTFSMEDPVRKVAGGGTAGGDRWEGEDEDEDVKDNWDDDDD ENKEEAEVKPEVKISEKKKIAEKIKEKERQQKKRQEEIKKRLEEPEESKVLTPEEQLADK LRLKKLQEESDLELAKETFGVNNTVYGIDAMNPSSRDDFTEFGKLLKDKITQYEKSLYYA SFLEALVRDVCISLEIDDLKKITNSLTVLCSEKQKQEKQSKAKKKKKGVVPGGGLKATMK DDLADYGGYEGGYVQDYEDFM >ENSMUSP00000137222.1 pep:known chromosome:GRCm38:4:88799665:88801126:1 gene:ENSMUSG00000095101.2 transcript:ENSMUST00000179725.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13285 description:predicted gene 13285 [Source:MGI Symbol;Acc:MGI:3701981] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLRQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000078303.7 pep:known chromosome:GRCm38:5:148504635:148552662:-1 gene:ENSMUSG00000001687.15 transcript:ENSMUST00000079324.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl3 description:ubiquitin-like 3 [Source:MGI Symbol;Acc:MGI:1344373] MSSHVPADMINLRLILVSGKTKEFLFSPNDSASDIAKHVYDNWPMDWEEEQVSSPNILRL IYQGRFLHGNVTLGALKLPFGKTTVMHLVARETLPEPNSQGQRNREKTGESNCCVIL >ENSMUSP00000144073.1 pep:known chromosome:GRCm38:5:148505129:148552789:-1 gene:ENSMUSG00000001687.15 transcript:ENSMUST00000201595.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl3 description:ubiquitin-like 3 [Source:MGI Symbol;Acc:MGI:1344373] MSSHVPADMINLRLILVSGKTKEFLFSPNDSASDIAKHVYDNWPMDWEEEQVSSPNILRL IYQGRFLHGNVTLGALKLPFGKTTVMHLVARETLPEPNSQGQRNREKTGESNCCVIL >ENSMUSP00000131049.1 pep:known chromosome:GRCm38:5:148505142:148552789:-1 gene:ENSMUSG00000001687.15 transcript:ENSMUST00000164904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl3 description:ubiquitin-like 3 [Source:MGI Symbol;Acc:MGI:1344373] MSSHVPADMINLRLILVSGKTKEFLFSPNDSASDIAKHVYDNWPMDWEEEQVSSPNILRL IYQGRFLHGNVTLGALKLPFGKTTVMHLVARETLPEPNSQGQRNREKTGESNCCVIL >ENSMUSP00000104956.1 pep:known chromosome:GRCm38:2:164662894:164674087:-1 gene:ENSMUSG00000078940.8 transcript:ENSMUST00000109332.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc11 description:WAP four-disulfide core domain 11 [Source:MGI Symbol;Acc:MGI:3651686] MKPSWFPCLVFLCMLLLSALGGRKNKYYPGELLLEECWGQPKTNDCVKKCSRTFKCVYRN HTCCWTYCGNICAENGKFFERK >ENSMUSP00000125964.1 pep:known chromosome:GRCm38:2:164663037:164665535:-1 gene:ENSMUSG00000078940.8 transcript:ENSMUST00000167694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc11 description:WAP four-disulfide core domain 11 [Source:MGI Symbol;Acc:MGI:3651686] MKPSWFPCLVFLCMLLLSALGGRKNKYYPGELLLEECWGQPKTNDCVKKCSRTFKCVYRN HTCCWTYCGNICAENGKFFERK >ENSMUSP00000073320.2 pep:known chromosome:GRCm38:17:37659378:37660331:-1 gene:ENSMUSG00000095286.1 transcript:ENSMUST00000073636.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr117 description:olfactory receptor 117 [Source:MGI Symbol;Acc:MGI:2177500] MTINKSSGGDFILVGFSDQPQLEKILFVLVLISYLLTLVGNTAIILVSCLDSALQTPMYY FLTNLSFVDICFSTSIVPQLLWNLHGPAKTITATGCAIQLYVSLALGSTECVLLAVMAFD RYAAVCRPLHYATVMHPRLCQSLAGVAWLSGVGNTLIQGTITLRLPRCGNHKIYHFICEV PAMIKLACVDIHANEVQLFMASLVLLLLPLTLILVSYGYIAQALMRLRSALTWGKALGTC GSHLIVVVLFYGTSTAVYIHPNSSYAQSQGKFITLLYTVVIPTLNPLIYTLRNKDVKGAL KRLVRKDSSTGKKILSR >ENSMUSP00000073444.4 pep:known chromosome:GRCm38:1:88070800:88218997:1 gene:ENSMUSG00000090175.1 transcript:ENSMUST00000073772.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a9 description:UDP glucuronosyltransferase 1 family, polypeptide A9 [Source:MGI Symbol;Acc:MGI:3576092] MAPVAFPTSFFLCLLLASGLAQAGRLLVVPMDGSHWFTMQMVVEKLIHRGHEVVVVIPEV SWQLGKSLNCTVKTYSISHTLEDLDREFKYLSYTQWKTPEHSIRSFLTGSARGFFELTFS HCRSLFNDKKLVEYLKQRFFDAVFLDPFDVCGLIVAKYFSLPSVIFARGVFCDYLEEGAQ CPSLPSYVPRLFSKYTDTMTFKERVWNHLIYIEEHAFCSYFLRTAVEVASEILQTPVTMT DLFSPVSIWLLRTDFVLEFPRPVMPNMVFIGGINCLQKKSLSKEFEAYVNASGEHGIVVF SLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLGHPK TRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADDLEN ALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHDLTWYQ YHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000027174.4 pep:known chromosome:GRCm38:1:59684977:59711510:1 gene:ENSMUSG00000026020.9 transcript:ENSMUST00000027174.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop58 description:NOP58 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1933184] MLVLFETSVGYAIFKVLNEKKLQEVDSLWKEFETPEKANKIVKLKHFEKFQDTAEALAAF TALMEGKINKQLKKVLKKIVKEAHEPLAVADAKLGGVIKEKLNLSCIHSPVVNELMRGIR SQMDGLIPGVEPREMAAMCLGLAHSLSRYRLKFSADKVDTMIVQAISLLDDLDKELNNYI MRCREWYGWHFPELGKIISDNLTYCKCLQKVGDRKNYASASLSEFLSEEVEAEVKAAAEI SMGTEVSEEDICNILHLCTQVIEISEYRTQLYEYLQNRMMAIAPNVTVMVGELVGARLIA HAGSLLNLAKHAASTVQILGAEKALFRALKSRRDTPKYGLIYHASLVGQSSPKHKGKISR MLAAKTVLAIRYDAFGEDSSSAMGIENRAKLEARLRILEDRGIRKISGTGKALAKAEKYE HKRVQRKRRKRKRRKMLKTNVKEP >ENSMUSP00000140581.1 pep:known chromosome:GRCm38:1:59684985:59696077:1 gene:ENSMUSG00000026020.9 transcript:ENSMUST00000190231.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop58 description:NOP58 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1933184] MEGKINKQLKKVLKKIVKEAHEPLAVADA >ENSMUSP00000140250.1 pep:known chromosome:GRCm38:1:59685009:59719044:1 gene:ENSMUSG00000026020.9 transcript:ENSMUST00000191142.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop58 description:NOP58 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1933184] MLVLFETSVGYAIFKVLNEKKLQEVDSLWKEFETPEKANKIVKLKHFEKFQDTAEALAAF TALMEGKINKQLKKVLKKIVKEAHEPLAVADAKLGGVIKEKLNLSCIHSPVVNELMRGIR SQMDGLIPGVEPREMAAMCLGLAHSLSRYRLKFSADKVDTMIVQAISLLDDLDKELNNYI MRCREWYGWHFPELGKIISDNLTYCKCLQKVGDRKNYASASLSEFLSEEVEAEVKAAAEI SMGTEVSEEDICNILHLCTQVIEISEYRTQLYEYLQNRMMAIAPNVTVMVGELVGARLIA HAGSLLNLAKHAASTVQILGAEKALFRALKSRRDTPKYGLIYHASLVGQSSPKHKGKISR MLAAKTVLAIRYDAFGEDSSSAMGIENRAKLEARLRILEDRGIRKISGTGKALAKAEKYE HKSEVKTYDPSGDSTLPTCSKKRKIEEVDKEDEITEKKAKKAKIKIKAEVEEEMEEEEAE EEQVVEEEPTVKKKKKKDKKKHIKEEPLSEEEPCTSTAVPSPEKKKKKKKKKDAED >ENSMUSP00000139474.1 pep:known chromosome:GRCm38:1:59685021:59696052:1 gene:ENSMUSG00000026020.9 transcript:ENSMUST00000185772.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop58 description:NOP58 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1933184] MEGKINKQLKKVLKKIVKEA >ENSMUSP00000139517.1 pep:known chromosome:GRCm38:1:59685054:59698422:1 gene:ENSMUSG00000026020.9 transcript:ENSMUST00000189327.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nop58 description:NOP58 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1933184] MLVLFETSVGYAIFKVLNEKKLQEVDSLWKEFETPEKANKIVKLKHFEKFQDTAEALAVI >ENSMUSP00000141192.1 pep:known chromosome:GRCm38:1:59696027:59704161:1 gene:ENSMUSG00000026020.9 transcript:ENSMUST00000189919.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nop58 description:NOP58 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1933184] XLKKIVKEAHEPLAVADAKLGGVIKEKLNLSCIHSPVVNELMRGIRSQMDGLIPGVEPRE MAAMCLGLAHSLSRYRLKFSADKVDTMIVQAISLLDDLDKELNNYIMRCREWYGWHFPEL GKIISDNLTYCKCLQKVALAVLELTL >ENSMUSP00000141100.1 pep:known chromosome:GRCm38:1:59698416:59707064:1 gene:ENSMUSG00000026020.9 transcript:ENSMUST00000190265.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nop58 description:NOP58 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1933184] EPREMAAMCLGLAHSLSRYRLKFSADKVDTMIVQAISLLDDLDKELNNYIMRCREWYGWH FPELGKIISDNLTYCKCLQKVGDRKNYASASLSEFLSEEVEAEVKAAAEISMGTEVSEED ICNILHLCTQVIEISEYRTQLYEYLQNRMMAIAPNVTVMVGELVGARLIAHADFSNAGSQ NCSGYQIRRFW >ENSMUSP00000140053.1 pep:known chromosome:GRCm38:1:59704159:59709099:1 gene:ENSMUSG00000026020.9 transcript:ENSMUST00000187491.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nop58 description:NOP58 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1933184] XEVSEEDICNILHLCTQASQVPNTKERFLECWQPKLFWLSDTTLLVKIPVLQWELRTEPN >ENSMUSP00000140038.1 pep:known chromosome:GRCm38:1:59704198:59711279:1 gene:ENSMUSG00000026020.9 transcript:ENSMUST00000187837.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop58 description:NOP58 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1933184] XCTQVIEISEYRTQLYEYLQNRMMAIAPNVTVMVGELVGARLIAHAGSLLNLAKHAASTV QILGAEKALFRALKSRRDTPKYGLIYHASLVGQSSPKHKGKALAKAEKYEHKSEVKTYDP SGDSTLPTCSKKRKIEEVDKEDEITEKKAKKAKIKIKAEVEEEMEEEEAEEEQVVEEEPT VKKKKKKDKKKHIKEEPLSEEEPCTSTAVPSPE >ENSMUSP00000139568.1 pep:known chromosome:GRCm38:1:59704709:59711280:1 gene:ENSMUSG00000026020.9 transcript:ENSMUST00000188390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop58 description:NOP58 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1933184] XVGELVGARLIAHAGSLLNLAKHAASTVQILGAEKALFRALKSRRDTPKYGLIYHASLVG QSSPKHKGKISRMLAAKTVLAIRYDAFGEDSSSAMGIENRAKLEARLRILEDRGIRKISG TGKALAKAEKYEHKSEVKTYDPSGDSTLPTCSKKRKIEEEPTVKKKKKKDKKKHIKEEPL SEEEPCTSTAVPSPE >ENSMUSP00000034703.8 pep:known chromosome:GRCm38:9:21546894:21589467:1 gene:ENSMUSG00000032185.14 transcript:ENSMUST00000034703.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carm1 description:coactivator-associated arginine methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913208] MAAAAATAVGPGAGSAGVAGPGGAGPCATVSVFPGARLLTIGDANGEIQRHAEQQALRLE VRAGPDAAGIALYSHEDVCVFKCSVSRETECSRVGRQSFIITLGCNSVLIQFATPHDFCS FYNILKTCRGHTLERSVFSERTEESSAVQYFQFYGYLSQQQNMMQDYVRTGTYQRAILQN HTDFKDKIVLDVGCGSGILSFFAAQAGARKIYAVEASTMAQHAEVLVKSNNLTDRIVVIP GKVEEVSLPEQVDIIISEPMGYMLFNERMLESYLHAKKYLKPSGNMFPTIGDVHLAPFTD EQLYMEQFTKANFWYQPSFHGVDLSALRGAAVDEYFRQPVVDTFDIRILMAKSVKYTVNF LEAKEGDLHRIEIPFKFHMLHSGLVHGLAFWFDVAFIGSIMTVWLSTAPTEPLTHWYQVR CLFQSPLFAKAGDTLSGTCLLIANKRQSYDISIVAQVDQTGSKSSNLLDLKNPFFRYTGT TPSPPPGSHYTSPSENMWNTGSTYNLSSGVAVAGMPTAYDLSSVIAGGSSVGHNNLIPLA NTGIVNHTHSRMGSIMSTGIVQGSSGAQGGGGSSSAHYAVNNQFTMGGPAISMASPMSIP TNTMHYGS >ENSMUSP00000111053.3 pep:known chromosome:GRCm38:9:21546913:21589487:1 gene:ENSMUSG00000032185.14 transcript:ENSMUST00000115395.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carm1 description:coactivator-associated arginine methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913208] MAAAAATAVGPGAGSAGVAGPGGAGPCATVSVFPGARLLTIGDANGEIQRHAEQQALRLE VRAGPDAAGIALYSHEDVCVFKCSVSRETECSRVGRQSFIITLGCNSVLIQFATPHDFCS FYNILKTCRGHTLERSVFSERTEESSAVQYFQFYGYLSQQQNMMQDYVRTGTYQRAILQN HTDFKDKIVLDVGCGSGILSFFAAQAGARKIYAVEASTMAQHAEVLVKSNNLTDRIVVIP GKVEEVSLPEQVDIIISEPMGYMLFNERMLESYLHAKKYLKPSGNMFPTIGDVHLAPFTD EQLYMEQFTKANFWYQPSFHGVDLSALRGAAVDEYFRQPVVDTFDIRILMAKSVKYTVNF LEAKEGDLHRIEIPFKFHMLHSGLVHGLAFWFDVAFIGSIMTVWLSTAPTEPLTHWYQVR CLFQSPLFAKAGDTLSGTCLLIANKRQSYDISIVAQVDQTGSKSSNLLDLKNPFFRYTGT TPSPPPGSHYTSPSENMWNTGSTYNLSSGVAVAGMPTAYDLSSVIAGGSSVGHNNLIPLG SSGAQGGGGSSSAHYAVNNQFTMGGPAISMASPMSIPTNTMHYGS >ENSMUSP00000111052.1 pep:known chromosome:GRCm38:9:21546923:21589467:1 gene:ENSMUSG00000032185.14 transcript:ENSMUST00000115394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carm1 description:coactivator-associated arginine methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913208] MAAAAATAVGPGAGSAGVAGPGGAGPCATVSVFPGARLLTIGDANGEIQRHAEQQALRLE VRAGPDAAGIALYSHEDVCVFKCSVSRETECSRVGRQSFIITLGCNSVLIQFATPHDFCS FYNILKTCRGHTLERSVFSERTEESSAVQYFQFYGYLSQQQNMMQDYVRTGTYQRAILQN HTDFKDKIVLDVGCGSGILSFFAAQAGARKIYAVEASTMAQHAEVLVKSNNLTDRIVVIP GKVEEVSLPEQVDIIISEPMGYMLFNERMLESYLHAKKYLKPSGNMFPTIGDVHLAPFTD EQLYMEQFTKANFWYQPSFHGVDLSALRGAAVDEYFRQPVVDTFDIRILMAKSVKYTVNF LEAKEGDLHRIEIPFKFHMLHSGLVHGLAFWFDVAFIGSIMTVWLSTAPTEPLTHWYQVR CLFQSPLFAKAGDTLSGTCLLIANKRQSYDISIVAQVDQTGSKSSNLLDLKNPFFRYTGT TPSPPPGSHYTSPSENMWNTGSTYNLSSGVAVAGMPTAYDLSSVIAGGSSVGHNNLIPLA NTGIVNHTHSRMGSIMSTGIVQGNRVVGPWAGDVPPGLRTRSSYQWGPGRLRGHAGSSVP VTCPTGSSGAQGGGGSSSAHYAVNNQFTMGGPAISMASPMSIPTNTMHYGS >ENSMUSP00000117243.1 pep:known chromosome:GRCm38:9:21546978:21589467:1 gene:ENSMUSG00000032185.14 transcript:ENSMUST00000130032.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Carm1 description:coactivator-associated arginine methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913208] MAAAAATAVGPGAGSAGVAGPGGAGPCATVSVFPGARLLTIGDANGEIQRHAEQQALRLE VRAGPDAAGIALYSHEDVCVFKCSVSRETECSRVGRQSFIITLGCNSVLIQFATPHDFCS FYNILKTCRGHTLERSVFSERTEESSAVQYFQMRNLRLREVK >ENSMUSP00000136234.1 pep:known chromosome:GRCm38:4:88803254:88803802:1 gene:ENSMUSG00000094648.1 transcript:ENSMUST00000179490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13287 description:predicted gene 13287 [Source:MGI Symbol;Acc:MGI:3701983] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLRQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000033665.8 pep:known chromosome:GRCm38:X:159988433:160123351:1 gene:ENSMUSG00000031303.8 transcript:ENSMUST00000033665.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k15 description:mitogen-activated protein kinase kinase kinase 15 [Source:MGI Symbol;Acc:MGI:2448588] MEGGGGSGGGGGPVPAEAPEEAGEPPQGRLPPGPEGAAGLAEPESTGDAAGGEAEGGRGP RRALRAVYVRSESSQGAAAGGGPEAGALKCLLRACEAEGAHLTSVPFGELDFGETAVLDA FYDADVAIVDMSDISRQPSLFYHLGVRESFDMANNVILYYDTDADTALSLKDMVTQKNTA SSGNYYFIPYTVTPCADYFCCESDAQRRASEYMQPNWDTILGPLCMPLVDRFTSLLKDIR VTSCAYYKETLLNDIRKAREKYQGDELAKELTRIKFRMDNIEVLTSDIIINLLLSYRDIQ DYDAMVKLVETLKMLPTCDLADQHNIKFHYAFALNRRNSTGDREKALQVMLQVLQSCDHP APDMFCLCGRIYKDIFLDSGCEEDASRDSAIEWYRKGFELQSSLYSGINLAVLLIVSGQQ FETSMELRKIGVRLNSLLGRKGSLEKMNNYWDVGQFFTVSMLASDIGKAVQAAERLFKLK PPVWYLRSLVQNLLLIQRFKKPITEHSPRQERLNFWLDIIFEATNEVTNGLRFPVLVIEP TKVYQPSYVSINNEAEERTVSLWHVSPTEMKQIHEWNFTASSIKGISLSKFDERCCFLYV HDNSDDFQIYFSTEDQCNRFCSLVKEMLNNGVGSTVELEGEADGDTLEYEYDHDANGERV VLGKGSYGIVYAGRDLSNQVRIAIKEIPERDIRYSQPLHEEIALHKYLKHRNIVQYLGSV SENGYIKIFMEQVPGGSLSALLRSKWGPMKEPTIKFYTKQILEGLKYLHENQIVHRDIKG DNVLVNTYSGVVKISDFGTSKRLAGINPCTETFTGTLQYMAPEIIDQGPRGYGAPADIWS LGCTIIEMATSRPPFHELGEPQAAMFKVGMFKIHPEIPEALSAEARAFILSCFEPDPQKR VTAADLLQEGFLRQVNKGKKNRIAFKPSEGVRSGTGTLALPSSGELVGSSSSEHGSISPD SDAQPDAFFEKVQVPKHQLSHLLSVPDESPALDDRSTALPPEERDPGLFLLRKDSERRAI LYRILWEEQNQVASNLQECVVQSSEELLLSVSHIKQIIGILRDFIRSPEHRVMAATISKL KVDLDFDSSSINQIHLILFGFQDAVNRILRNHLIRPHWMFAMDNIIRRAVQAAVTILIPE LQAHFEPASETEGVDKDTEVEGDYPLVDLLSQEVHVTPRGTRPGSVAIQEGQPHQQDPSL QLSKLRQETNRLWEHLVQKEREYQNLLRLILDQKTQELYHLQLQYKSNGGTENPPPPDGL GTDRELIDWLQLQGVDANTIEKIVEEDYTLSDILNDITKEDLRCLRLRGGVLCRLWHAVS QHRRQMQESSQ >ENSMUSP00000095799.1 pep:known chromosome:GRCm38:7:103597318:103598274:1 gene:ENSMUSG00000073945.1 transcript:ENSMUST00000098197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr618 description:olfactory receptor 618 [Source:MGI Symbol;Acc:MGI:3030452] MTMSSNHTNLRDIWYTMIGIPGLEDAHVWLSIPICSMYIVALIGNTLLIFLIFTEHSLHE PMYLFLSMLALADIILSTVTTPKVLAIFWFQAGGISFASCVSQMFFLHFMFVTESAILLA MAIDRYIAICFPLRYTTILTPSVICRMGIASVTRSFLIIFPLVFLVYRLNYCGRNIIRHS YCEHMGIARLACDSIKVNIYYGMTVPLFSIGLDIMLIIISYTLILNTVFRIPSQNARRKA LGTCGSHVCVILLFYTPSLFTFFAHRFGGHTIPRHMHILFANLYVVVPPALNPIIYGVKT KQIQDRFFQLFSFTKACF >ENSMUSP00000070724.4 pep:known chromosome:GRCm38:16:92301286:92313041:1 gene:ENSMUSG00000051989.11 transcript:ENSMUST00000063641.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim11 description:small integral membrane protein 11 [Source:MGI Symbol;Acc:MGI:1916186] MNWKVLEHVPLLLYILAAKTLILCLAFAGVKMYQRRSLEGKLQAEKRKQSEKKAS >ENSMUSP00000113086.1 pep:known chromosome:GRCm38:16:92301296:92311424:1 gene:ENSMUSG00000051989.11 transcript:ENSMUST00000118064.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim11 description:small integral membrane protein 11 [Source:MGI Symbol;Acc:MGI:1916186] MNWKVLEHVPLLLYILAAKTLILCLAFAGVKMYQRRSLEGKLQAEKRKQSEKKAS >ENSMUSP00000039248.4 pep:known chromosome:GRCm38:10:44437177:44458748:-1 gene:ENSMUSG00000038151.12 transcript:ENSMUST00000039174.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm1 description:PR domain containing 1, with ZNF domain [Source:MGI Symbol;Acc:MGI:99655] MREAYLRCWIFSWKNVWVRPCQRLHFKTVLLQGSLLYTALDSYSTVQAAPKSSSGSVKFQ GLAETGIMKMDMEDADMTLWTEAEFEEKCTYIVNDHPWDSGADGGTSVQAEASLPRNLLF KYAANNSKEVIGVVSKEYIPKGTRFGPLIGEVYTNDTVPKNANRKYFWRIYSREEFHHFI DGFNEEKSNWMRYVNPAHSAREQNLAACQNGMNIYFYTIKPIPANQELLVWYCRDFAERL HYPYPGELTVINLTQTESNPKQYSSEKNELYPKSVPKREYSVKEILKLDSNPSKRKDIYR SNISPFTLEKDMDGFRKNGSPDMPFYPRVVYPIRAPLPEDFLKASLAYGMERPTYITHSP LPSSTTPSPPASSSPEQSLKSSSPHSSPGNTVSPLAPGLPEHRDSYSYLNVSYGSEGLGS YPGYAPAPHLPPAFIPSYNAHYPKFLLPPYGISSNGLSTMNNINGINNFSLFPRLYPVYS NLLSGSSLPHPMLNPASLPSSLPTDGARRLLPPEHPKEVLIPAPHSAFSLTGAAASMKDE SSPPSGSPTAGTAATSEHVVQPKATSSVMAAPSTDGAMNLIKNKRNMTGYKTLPYPLKKQ NGKIKYECNVCAKTFGQLSNLKVHLRVHSGERPFKCQTCNKGFTQLAHLQKHYLVHTGEK PHECQVCHKRFSSTSNLKTHLRLHSGEKPYQCKVCPAKFTQFVHLKLHKRLHTRERPHKC AQCHKSYIHLCSLKVHLKGNCPAGPAAGLPLEDLTRINEEIERFDISDNADRLEDMEDSV DVTSMVEKEILAVVRKEKEETSLKVSLQRNMGNGLLSSGCSLYESSDLSLMKLPHSNPLP LVPVKVKQETVEPMDP >ENSMUSP00000101129.2 pep:known chromosome:GRCm38:10:44438293:44458687:-1 gene:ENSMUSG00000038151.12 transcript:ENSMUST00000105490.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm1 description:PR domain containing 1, with ZNF domain [Source:MGI Symbol;Acc:MGI:99655] MLDLLLEKRVGTTLAAPKSSSGSVKFQGLAETGIMKMDMEDADMTLWTEAEFEEKCTYIV NDHPWDSGADGGTSVQAEASLPRNLLFKYAANNSKEVIGVVSKEYIPKGTRFGPLIGEVY TNDTVPKNANRKYFWRIYSREEFHHFIDGFNEEKSNWMRYVNPAHSAREQNLAACQNGMN IYFYTIKPIPANQELLVWYCRDFAERLHYPYPGELTVINLTQTESNPKQYSSEKNELYPK SVPKREYSVKEILKLDSNPSKRKDIYRSNISPFTLEKDMDGFRKNGSPDMPFYPRVVYPI RAPLPEDFLKASLAYGMERPTYITHSPLPSSTTPSPPASSSPEQSLKSSSPHSSPGNTVS PLAPGLPEHRDSYSYLNVSYGSEGLGSYPGYAPAPHLPPAFIPSYNAHYPKFLLPPYGIS SNGLSTMNNINGINNFSLFPRLYPVYSNLLSGSSLPHPMLNPASLPSSLPTDGARRLLPP EHPKEVLIPAPHSAFSLTGAAASMKDESSPPSGSPTAGTAATSEHVVQPKATSSVMAAPS TDGAMNLIKNKRNMTGYKTLPYPLKKQNGKIKYECNVCAKTFGQLSNLKVHLRVHSGERP FKCQTCNKGFTQLAHLQKHYLVHTGEKPHECQVCHKRFSSTSNLKTHLRLHSGEKPYQCK VCPAKFTQFVHLKLHKRLHTRERPHKCAQCHKSYIHLCSLKVHLKGNCPAGPAAGLPLED LTRINEEIERFDISDNADRLEDMEDSVDVTSMVEKEILAVVRKEKEETSLKVSLQRNMGN GLLSSGCSLYESSDLSLMKLPHSNPLPLVPVKVKQETVEPMDP >ENSMUSP00000101663.3 pep:known chromosome:GRCm38:7:140863670:140881869:-1 gene:ENSMUSG00000025486.16 transcript:ENSMUST00000106048.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt3 description:sirtuin 3 [Source:MGI Symbol;Acc:MGI:1927665] MVGAGISTPSGIPDFRSPGSGLYSNLQQYDIPYPEAIFELGFFFHNPKPFFMLAKELYPG HYRPNVTHYFLRLLHDKELLLRLYTQNIDGLERASGIPASKLVEAHGTFVTATCTVCRRS FPGEDIWADVMADRVPRCPVCTGVVKPDIVFFGEQLPARFLLHMADFALADLLLILGTSL EVEPFASLSEAVQKSVPRLLINRDLVGPFVLSPRRKDVVQLGDVVHGVERLVDLLGWTQE LLDLMQRERGKLDGQDR >ENSMUSP00000026559.7 pep:known chromosome:GRCm38:7:140863670:140882309:-1 gene:ENSMUSG00000025486.16 transcript:ENSMUST00000026559.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt3 description:sirtuin 3 [Source:MGI Symbol;Acc:MGI:1927665] MVGAGISTPSGIPDFRSPGSGLYSNLQQYDIPYPEAIFELGFFFHNPKPFFMLAKELYPG HYRPNVTHYFLRLLHDKELLLRLYTQNIDGLERASGIPASKLVEAHGTFVTATCTVCRRS FPGEDIWADVMADRVPRCPVCTGVVKPDIVFFGEQLPARFLLHMADFALADLLLILGTSL EVEPFASLSEAVQKSVPRLLINRDLVGPFVLSPRRKDVVQLGDVVHGVERLVDLLGWTQE LLDLMQRERGKLDGQDR >ENSMUSP00000114247.1 pep:known chromosome:GRCm38:7:140865020:140878147:-1 gene:ENSMUSG00000025486.16 transcript:ENSMUST00000137024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt3 description:sirtuin 3 [Source:MGI Symbol;Acc:MGI:1927665] MVGAGISTPSGIPDFRSPGSGLYSNLQQYDIPYPEAIFELGFFFHNPKPFFMLAKELYPG HYRPNVTHYFLRLLHDKELLLRLYTQNIDGLERASGIPASKLVEAHGTFVTATCTVCRRS FPGEDIWVEPFASLSEAVQKSVPRLLINRDLVGPFVLSPRRKDVVQLGDVVHGVERLVDL LG >ENSMUSP00000121151.2 pep:known chromosome:GRCm38:7:140869489:140881869:-1 gene:ENSMUSG00000025486.16 transcript:ENSMUST00000147331.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt3 description:sirtuin 3 [Source:MGI Symbol;Acc:MGI:1927665] MALDPLGAVVLQSIMALSGRLALAALRLWGPGGGRRPISLCVGASGGFGGGGSSEKKFSL QDVAELLRTRACSRVVVMVGAGISTPSGIPDFRSPGSGLYSNLQQYDIPYPEAIFELGFF FHNPKPFFMLAKELYPGHYRPNVTHYFLRLLHDKELLLRLYTQNIDGLERASGIPASKLV EAHGTFVTATCTVCRRSFPGEDIWADVMADRVPRCPVCTGVVKPDIVFFGEQLPARFLLH MADFALADLLLILGTSLE >ENSMUSP00000115202.1 pep:known chromosome:GRCm38:7:140876434:140881869:-1 gene:ENSMUSG00000025486.16 transcript:ENSMUST00000137710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt3 description:sirtuin 3 [Source:MGI Symbol;Acc:MGI:1927665] MVGAGISTPSGIPDFRSPGSGLYSNLQQYDIPYPEAIFELGFFFHNPKPFFMLAKELYPG HYRPNVTHYFLRLLHDKELLLRLYTQNIDGLERASGIPAS >ENSMUSP00000138322.1 pep:known chromosome:GRCm38:8:94472763:94527272:1 gene:ENSMUSG00000074151.12 transcript:ENSMUST00000053085.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrc5 description:NLR family, CARD domain containing 5 [Source:MGI Symbol;Acc:MGI:3612191] MDAESIRLNNENLWAWLVRLLSKNPEWLSAKLRSFLPTMDLDCSYEPSNPEVIHRQLNRL FAQGMATWKSFINDLCFELDVPLDMEIPLVSIWGPRDEFSKQLGAGEESCPGPQLYHGAK RPFQSYGSSPRRKNSKKQQLELAKKYLKLLKTSAQQWHGGVCPGAWLTPHSPQTYIPPVL QWSRATAPLDAQEGATLGDPEAADNIDVSIQDLFSFKAHKGPRVTVLLGKAGMGKTTLAY RLRWRWAQGQLDRFQALFLFEFRQLNMITQLPTLPQLLFDLYLMPESEPDAVFQYLKENA QEVLLIFDGLDEALHADSVGTDNAGSALTLFSELCHGNLLPGCWVMTTSRPGKLPSCVPT EAATVHMWGFDGLRVEKYVTCFFSDLLSQELALKEMRTNARLRGMCAIPALCTVTCFCLR RLLPGSSPGQSAALLPTITQLYLQMVETFSPSETLLDTSILGFGKVALRGLDTGKVVFSV EDISPQLMSFGAVHSLLTSFCIHTRPGHEEIGYAFVHLSLQEFFAALYLMASHTVDKDTL VEYVTLNSHWVLRTKGRLGLSDHLPAFLAGLASHTCHMFLCQLAQQDRAWVGSRQAAVIQ VLRKLASRKLTGPKMIELYHCVAETQDLELARFTAQSLPSRLSFHNFPLTHADLAALANI LEHRDDPIHLDFDGCPLEPHCPEALVGCGQVENLSFKSRKCGDAFAEALCRSLPTMGSLK TLGLTGSRITAQGISHLIQTLPLCSQLEEVSLHDNQLKDPEVLSLVELLPSLPKLQKLDL SRNSFSRSILLSLVKVAITCPTVRKLQVRELDLIFYLSPVTETATQQSGASDVQGKDSLK EGQSRSLQLRLQKCQLRIRDAEALVELFQKSPQLEEVNLSGNHLEDDGCRLVAEAASQLH IAQKLDLSDNGLSQTGVTYVLKAMSTCGTLEDLHISLLNNTVVLTFAQEPREQEGSCKGR APLISFVSPVTSELSQRSRRIRLTHCGFLAKHTETLCEALRASCQTHNLDHLDLSDNSLG GKGVILLTELLPGLGPLKSLNLSRNGLSMDAVFSLVQCLSSLQWVFHLDVSLESDCIFLR GAGTSRDALEPKFQTGVQVLELSQRYTSRSFCLQECQLEPTSLTFLCATLEKSPGPLEVQ LSCKSLSDDSLKILLQCLPQLPQLSLLQLRHTVLSSRSPFLLADIFNLCPRVRKVTLRSL CHAVLHFDSNEEQEGVCCGFPGCSLSQEHMETLCCALSKCNALSQLDLTDNLLGDIGLRC LLECLPQLPISGWLDLSHNNISQEGILYLLETLPSYPNIQEVSVSLSSEQIFRMCFSKKE GAGTSLRLCECSFSPEQVSKLASSLSQAQQLTELWLTKCHLDLPQLTMLLNLVNRPTGLL GLRLEEPWVDSVSLPALMEVCAQASGCLTELSISEIQRKLWLQLEFPHQEGNSDSMALRL AHCDLETEHSHLMIQLVETYARLQQLSLSQVSFNDNDGTSSKLLQNILLSSCELKSFRLT FSQVSTKSLTHLAFGLGHCHHLEELDFSNNSLREEDTELLMGALQGTCRLKKLHLSFLPL GASSLALLIQGLSRMTLLQDLCLSHNQIGDVGTQCLAAILPKLPELRKFDLSHNQIGDVG TQCLAAILPKLPELRKFNLSHNQIGHVGTQCLAAILPKLPELRKFDLSRNQIGDVGTQCL AAILPKLPELRKFDLSGNRIGPAGGVQLVKSLTHFEHLEEIKLGNNALGEPTALELAQRL PPQLRVLCLPSSHLGPEGALGLAQALEQCPHIEEVSLAENNLAGGVPRFSKRLPLLRQID LEFCKIEDQAARHLAANLTLFPALEKLLLSGNLLGDEVAAELAQVLPQMGQLKKVNLEWN RITARGAQLLAQGLVQGSCVPVIRLWNNPILNDVAQSLQSQEPRLDFSITDQQTL >ENSMUSP00000138166.1 pep:known chromosome:GRCm38:8:94482039:94491589:1 gene:ENSMUSG00000074151.12 transcript:ENSMUST00000183132.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nlrc5 description:NLR family, CARD domain containing 5 [Source:MGI Symbol;Acc:MGI:3612191] XPTVRKLQVRELDLIFYLSPVTETATQQSGASDVQGKDSLKEGQSRSLQLRYHGKG >ENSMUSP00000138409.1 pep:known chromosome:GRCm38:8:94525067:94527264:1 gene:ENSMUSG00000074151.12 transcript:ENSMUST00000098489.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrc5 description:NLR family, CARD domain containing 5 [Source:MGI Symbol;Acc:MGI:3612191] MEKEHPLPCLQPRLPLFPSCPATVHCKAWSGTGSQHVEPNSWLKGWSRDPASQSFASGTT PFLTMWPRVCRARNPGWTSPSLTSRLFEEPNGLLKTYESSPVTATSPTRRGGSEEPLLVP SILRMPQEERTHFSCHDP >ENSMUSP00000059092.3 pep:known chromosome:GRCm38:4:101890020:101893789:-1 gene:ENSMUSG00000046133.3 transcript:ENSMUST00000051043.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130073F10Rik description:RIKEN cDNA C130073F10 gene [Source:MGI Symbol;Acc:MGI:3045359] MDFQALPTLQHLTIQFLLNHEDLAVSALKDLPSVFFLPLFKEAFTKRRHKLVKHLVVTWP YRNLYIGPLKHSFNLYNFKGVYNGVDWLSNQKVWPRRCRLKEVYLLDANHDFLVIMNPGQ DHLCTPQPQREEEDPTTVQRKPITVYADSAFMADSLKPYRDLLEESFNERLTTMSVNFKE MEPQKKDQIQGVRSLEISWDL >ENSMUSP00000029748.3 pep:known chromosome:GRCm38:3:96282909:96293969:-1 gene:ENSMUSG00000015947.10 transcript:ENSMUST00000029748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgr1 description:Fc receptor, IgG, high affinity I [Source:MGI Symbol;Acc:MGI:95498] MILTSFGDDMWLLTTLLLWVPVGGEVVNATKAVITLQPPWVSIFQKENVTLWCEGPHLPG DSSTQWFINGTAVQISTPSYSIPEASFQDSGEYRCQIGSSMPSDPVQLQIHNDWLLLQAS RRVLTEGEPLALRCHGWKNKLVYNVVFYRNGKSFQFSSDSEVAILKTNLSHSGIYHCSGT GRHRYTSAGVSITVKELFTTPVLRASVSSPFPEGSLVTLNCETNLLLQRPGLQLHFSFYV GSKILEYRNTSSEYHIARAEREDAGFYWCEVATEDSSVLKRSPELELQVLGPQSSAPVWF HILFYLSVGIMFSLNTVLYVKIHRLQREKKYNLEVPLVSEQGKKANSFQQVRSDGVYEEV TATASQTTPKEAPDGPRSSVGDCGPEQPEPLPPSDSTGAQTSQS >ENSMUSP00000142661.1 pep:known chromosome:GRCm38:3:96285834:96293933:-1 gene:ENSMUSG00000015947.10 transcript:ENSMUST00000200420.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fcgr1 description:Fc receptor, IgG, high affinity I [Source:MGI Symbol;Acc:MGI:95498] XWLLTTLLLWVPVGGEVVNATKAVITLQPPWIGCYSRPPAESSQKENPWP >ENSMUSP00000037543.7 pep:known chromosome:GRCm38:2:122053520:122118386:-1 gene:ENSMUSG00000033396.13 transcript:ENSMUST00000036450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg11 description:spastic paraplegia 11 [Source:MGI Symbol;Acc:MGI:2444989] MAAQPGPGSAASPGCAGAMERVLPMLLVRIPAEETAQLGPRAQLHRELEALGSLTAAGSL QVLSLAPGSRGGSSCCLPGPFRQFLWEESQNSTSRDRPKLLVAREDYELLVYEFDLRDGR CDAALLHGCCGRTLQKLTEDQGVSISFKSLRILSFHNNTSLLLINRCLILRVVFPGKEPG VPVLDCLSLPLPAQAADMIIDAQLCERFLFVLSTVGLIYIFNTMDGTQVAQVDLALLRED SEQLEPASVSSFTSLRVSQDLDVLVLVSSASTAVALNLHLYFRQHPGHLLCEGTLEDLPI EGPPGIDEDDLVNSAHNMKLSKVSFQVDRSWRAQLLSLNESVRGSEPEVSCCAPWFQSAL RLESLESADHTPTVPTHVFIPGDVPRGRCAFPQKEHVKSSDPGRPWKTMHLSEHEQPTEL TCLSVTGFTALFTWAVGATSCTIGLWDLETQSMQCFSLSQKCTPVDIGGDQQLCLALTDD GLSLILFGLTQEEFLNRLMIHGSASTVDSLCHLNGWGRCSIPIHALEAGIENRQLDTVDF FLKSKENLLTPSSQSPAPDQQHPFSSHLYLRQVEEMSPALDLLCSAIRESDSETQSKHFA EQLLHLTLSFLNKQIRELCVHTEELDEHFQKGVAILTSYINELRTFMIKFPWKPGDAIDE SDVNEGVVTVKEDRVWEELSFEEVIADAILNNRIPEAQTFFRISGHSAQRLEELVRIGLD LAFDSLKKNNVEEASRLLRNMGFSVEDELLKICFYTTDKNIRDFLVEILKEKECFSEKER RTVDFVHQLEALYSGHFQENAQTQAFPRYWIKEQDCFKHKSVLDTFLKYDKKDEFHKQDH RIALNWAHRWDLQTQECILLRRLSPEEYKARSPEALWRHLTARHDCSSISLWMEEFQTQE TESPQQSKWPPLSADIIEQGTCCHSHMRNEILDKLARSGIFLASELEDFERLLLRLSRIG GVMQDSLPVQSYKSRAGCDFHSRFILYCLEHGLQHLLYTYLDYYKLSPGNCPFLEKKELH EAHPWLEFLVQCRQVSSNLTDPKLIFQASLANAQILIPTNQASVSSMLLEGHTLLALATT MYAPGGVSQVIQNEDSENCLKKVDPQLLKVALTPYPKLKAALFPQYTAPSILPSDITLYH LIQSLPPFDPSRLFVWQSANTLAIGDTGSQLPHFSSPDLVSKYAVLERLNYAYYLHHGRP SFAFGTFLVQELIKSKTPKQLIQQVGKEAYTLGLSSFTNPSVGAACVCFLELLGLSSLKL RVDLKMANVILGSKRRDEDARSSFIRESLAEKLSKLADGDRAATEELLVLLEEGVWDSIE QQGFSRLSSESSSQWALVLQFCMLHDRKLSVSYLRECAKANDWLQFLVHSQLHNYHPAEV ESLLQYFSPVLQSHLKLASEKLSSGSISRDDSCLQELQKNKGETSNFFEILHRCSDESTS WSWLLAEAVRHRAPILSVLASCVQGASVVSCLCVWIVTSVEDKVAAEAMGHIQISVEDHH WSLKDLSIIWRTVLTRRKSHTLIRGFQLFIKDSPLLLIMEMYELCMFFKNYEKAKVKLLE FQKSLETLDTVAARVLPIIPASWMKDQVCFLLKLMPQQCETQYELGKLLQLFVGTEQLFS DGPDVQKLCLLSQVLKDTPIAISPAVISSYSTENFQRECRSILEKLKADGQFAVARRVAE LAALPVDSLLIEQLTQEMQTLTHTPQWSLKQERLGFWKKCHEIFKKNSISKRAASSFFSS QAPEVSEHPAEQGSLEERHLLLTLAGHWLAQEEPVPVEELEGLEKQIWICRVAQHTCGGA EEEAKPSLSQHKLAAAELSFDSLASELSFSKLAALNTSKYLGLNDLPSKTTCENRLKHKE QESLNTLIGQLLDGGCVHEASRVCQYFRFYSQDLVLVLHCRALASAEATMEDLHSEIRAL LSSAAQPEDLESPSVPLRKAHSSSSLDSQSFVMVPPTDEVAQNLHTLISKCLHGKNYCRQ VLCLYELAKDLGCSYGDVAARDSEAMLRAILASQRPDRCRQAQVFINTQGLEADTVAELV AEEVTRELLTPSEGTGEKQPFNPAEESQTFLQLTALCQDRTLVGMKLLDKIPSVPHGELS CTTELLILAHHCFTFTCHMEGITRVLQAARMLTDNHLAPNEEYGLVVRLLTGIGRYNEMT YIFDLLHQKHYFEVLMRKKLDPTGTLKTALLDYIKRCRPGDSEKHNMIALCFSMCREIGE NHEAAACIQLKLIESQPWEESLKDGAQLKQLLLKALTLMLDAAESYAKDSCVRQALHCNR LTKLITLQIHFLNSGQNTMLINLGHQKLMDCIMTLPRFYQASIVAEAYDFVPDWAEVLYQ QVILKGDFSYLEEFKQQKLLRPNIFEDISKKYKQHQPTDRVTENLKKLLSYCEDIYLYYK LAYEHKFFEIVNMLLKDPQTGCCLKDMLAG >ENSMUSP00000026613.7 pep:known chromosome:GRCm38:5:129989011:130003049:-1 gene:ENSMUSG00000025534.17 transcript:ENSMUST00000026613.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gusb description:glucuronidase, beta [Source:MGI Symbol;Acc:MGI:95872] MSLKWSACWVALGQLLCSCALALKGGMLFPKESPSRELKALDGLWHFRADLSNNRLQGFE QQWYRQPLRESGPVLDMPVPSSFNDITQEAALRDFIGWVWYEREAILPRRWTQDTDMRVV LRINSAHYYAVVWVNGIHVVEHEGGHLPFEADISKLVQSGPLTTCRITIAINNTLTPHTL PPGTIVYKTDTSMYPKGYFVQDTSFDFFNYAGLHRSVVLYTTPTTYIDDITVITNVEQDI GLVTYWISVQGSEHFQLEVQLLDEGGKVVAHGTGNQGQLQVPSANLWWPYLMHEHPAYMY SLEVKVTTTESVTDYYTLPIGIRTVAVTKSKFLINGKPFYFQGVNKHEDSDIRGKGFDWP LLVKDFNLLRWLGANSFRTSHYPYSEEVLQLCDRYGIVVIDECPGVGIVLPQSFGNESLR HHLEVMEELVRRDKNHPAVVMWSVANEPSSALKPAAYYFKTLITHTKALDLTRPVTFVSN AKYDADLGAPYVDVICVNSYFSWYHDYGHLEVIQPQLNSQFENWYKTHQKPIIQSEYGAD AIPGIHEDPPRMFSEEYQKAVLENYHSVLDQKRKEYVVGELIWNFADFMTNQSPLRVIGN KKGIFTRQRQPKTSAFILRERYWRIANETGGHGSGPRTQCFGSRPFTF >ENSMUSP00000144478.1 pep:known chromosome:GRCm38:5:129989023:130002828:-1 gene:ENSMUSG00000025534.17 transcript:ENSMUST00000201801.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gusb description:glucuronidase, beta [Source:MGI Symbol;Acc:MGI:95872] MSLKWSACWVALGQLLCSCALALKGGMLFPKESPSRELKALDGLWHFRADLSNNRLQGFE QQWYRQPLRESGPVLDMPVPSSFNDITQEAALRDFIGWVWYEREAILPRRWTQDTDMRVV LRINSAHYYAVVVSQGLLCPGHKL >ENSMUSP00000106940.1 pep:known chromosome:GRCm38:5:129998659:130002865:-1 gene:ENSMUSG00000025534.17 transcript:ENSMUST00000111308.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gusb description:glucuronidase, beta [Source:MGI Symbol;Acc:MGI:95872] MSLKWSACWVALGQLLCSCALALKGGMLFPKESPSRELKALDGLWHFRADLSNNRLQGFE QQWYRQPLRESGPVLDMPVPSSFNDITQEAALRDFIGWVWYEREAILPRRWTQDTDMRVV LRINSAHYYAVVWVNGIHVVEHEGGHLPFEADISKLVQSGPLTTCRITIAINNTLTPHTL PPGTIVYKTDTSMYPKGYFVQDTSFDFFNYAGLHRSVVLYTTPTTYIDDITVITNVEQDI GEESRREALC >ENSMUSP00000106939.1 pep:known chromosome:GRCm38:5:129999599:130002881:-1 gene:ENSMUSG00000025534.17 transcript:ENSMUST00000111307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gusb description:glucuronidase, beta [Source:MGI Symbol;Acc:MGI:95872] MSLKWSACWVALGQLLCSCALALKGGMLFPKESPSRELKALDGLWHFRADLSNNRLQGFE QQWYRQPLRESGPVLDMPVPSSFNDITQEAALRDFIGWVWYEREAILPRRWTQDTDMRVV LRINSAHYYAVVVSQGLLCPGHKL >ENSMUSP00000027843.3 pep:known chromosome:GRCm38:1:166662055:166681845:-1 gene:ENSMUSG00000026560.13 transcript:ENSMUST00000027843.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo9 description:flavin containing monooxygenase 9 [Source:MGI Symbol;Acc:MGI:3606068] MVKKQIAVIGAGISGLGAIKCCLDEDLEPTCFERNDDIGGLWKFQKNASEKMPSIYRSVT INTSKEMMCFSDFPIPDHFPNYMHNSKLMDYFRMYAKRFSLLDYIRFKTTVRSVRKRPDF HIHGQWDVVVETDGKQESLVFDGVLVCSGHHTDPHLPLKSFPGIEKFEGCYFHSREYKSP EDYVGKRIIVVGIGNSGVDIAVELGRVAKQVFLSTRRGSWILHRVWNNGYPMDSSFFTRF HSFLQKILTTEAVNKYLEKTLNSRFNHAHYGLQPQHRPLSQHPTISDDLPNHIISGKVQV KPNVKEFTGTDVHFDDGTVEENIDVVIFATGYSISFPFLGDLIAVTDNEVSLYKLMFPPD LEKPTLAVIGLIQPLGIILPIAELQSRWAVRVFKGLSKLPSVKAMKADMDQRKKAMEKRY VKTARHTIQVDHIEYMDEIASLAGVKPNLLLLFLSDPTLAMEVFFGPCTPYQYRLQGPGK WDGARRAILTQRERIIKPLKTRITSEKSRSAPGLFWIKMALFGLAFLVPSLTYFSYICQ >ENSMUSP00000114750.1 pep:known chromosome:GRCm38:1:166662387:166681835:-1 gene:ENSMUSG00000026560.13 transcript:ENSMUST00000148677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo9 description:flavin containing monooxygenase 9 [Source:MGI Symbol;Acc:MGI:3606068] MPSIYRSVTINTSKEMMCFSDFPIPDHFPNYMHNSKLMDYFRMYAKRFSLLDYIRFKTTV RSVRKRPDFHIHGQWDVVVETDGKQESLVFDGVLVCSGHHTDPHLPLKSFPGIEKFEGCY FHSREYKSPEDYVGKRIIVVGIGNSGVDIAVELGRVAKQVFLSTRRGSWILHRVWNNGYP MDSSFFTRFHSFLQKILTTEAVNKYLEKTLNSRFNHAHYGLQPQHRPLSQHPTISDDLPN HIISGKVQVKPNVKEFTGTDVHFDDGTVEENIDVVIFATGYSISFPFLGDLIAVTDNEVS LYKLMFPPDLEKPTLAVIGLIQPLGIILPIAELQSRWAVRVFKGLSKLPSVKAMKADMDQ RKKAMEKRYVKTARHTIQVDHIEYMDEIASLAGVKPNLLLLFLSDPTLAMEVFFGPCTPY QYRLQGPGKWDGARRAILTQRERIIKPLKTRITSEKSRSAPGLFWIKMALFGLAFLVPSL TYFSYICQ >ENSMUSP00000095798.2 pep:known chromosome:GRCm38:7:103603656:103604618:1 gene:ENSMUSG00000073944.3 transcript:ENSMUST00000098196.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr619 description:olfactory receptor 619 [Source:MGI Symbol;Acc:MGI:3030453] MTSSTYLNHTILRDIWYTMIGIPGLEDAHIWLSIPIFSMYIVAVIGNTFLILLISIEHSL HEPMYFFLTMLALADIFLSTVTIPKVLAIFWFQDRSISFASCVSQMFFLHFIFVTESGIL LSMAFDRYVAICYPLRYTTILTPSVIIKMGIAAVTRSFFICFPLIFLVYRLTYCGKSIIR HSYCEHMGIARLACDSIKVNIYYGLIVALFSIFLDVVLIIVSYARILCAVYRIPSQDTRL KALSTCGSHVCVILLFYMPVFFSSLGHRFGGDSIPLHVHILLANLYVVLPPSLNPIIYGV KTKQIQERVVQLFSLNKVIC >ENSMUSP00000110814.1 pep:known chromosome:GRCm38:1:33852052:33907768:-1 gene:ENSMUSG00000042182.16 transcript:ENSMUST00000115161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend6 description:BEN domain containing 6 [Source:MGI Symbol;Acc:MGI:2444572] MELSKEELCNKIESLKEKLRSIRKENSRLRQSLVMLQVLPQAVTQFEELVGMAETLLKSG GAVSTPASTLWRATNNSSPDSFASLCSNSNSTSSSPSSVKAEEEQHPGEKQFTIERWQIA RCNKSKPQKFINDLMQVLYTNEYMATHSLTGAKSSTSRDKVVKPAMNQNEVQEIIGVTKQ VFPSADDVSIRRMIGQKLNNCTKKPNASKAPNSQDGILK >ENSMUSP00000141053.1 pep:known chromosome:GRCm38:1:33852052:33907791:-1 gene:ENSMUSG00000042182.16 transcript:ENSMUST00000129464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend6 description:BEN domain containing 6 [Source:MGI Symbol;Acc:MGI:2444572] MQKILQTDDITDNQVLRKRKRKRTETANSENANSALEKAQVS >ENSMUSP00000052919.8 pep:known chromosome:GRCm38:1:33852052:33907816:-1 gene:ENSMUSG00000042182.16 transcript:ENSMUST00000062289.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend6 description:BEN domain containing 6 [Source:MGI Symbol;Acc:MGI:2444572] MQKILQTDDITDNQVLRKRKRKRTETANSENANSALEKAQRDPYSGNAFLPGESSSDEET PLMELSKEELCNKIESLKEKLRSIRKENSRLRQSLVMLQVLPQAVTQFEELVGMAETLLK SGGAVSTPASTLWRATNNSSPDSFASLCSNSNSTSSSPSSVKAEEEQHPGEKQFTIERWQ IARCNKSKPQKFINDLMQVLYTNEYMATHSLTGAKSSTSRDKVVKPAMNQNEVQEIIGVT KQVFPSADDVSIRRMIGQKLNNCTKKPNASKAPNSQDGILK >ENSMUSP00000108764.1 pep:known chromosome:GRCm38:1:88087813:88219004:1 gene:ENSMUSG00000089675.1 transcript:ENSMUST00000113139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a8 description:UDP glucuronosyltransferase 1 family, polypeptide A8 [Source:MGI Symbol;Acc:MGI:3576090] MAPAVFPASLPLRVCLLLAFGLAQAGRLLVVPMDGSHWFSMKMIVEKLSHRGHEVVVVIP EVSWHLGKSQNFTVKTYSVSYTLEDLSHHFNFFAHKQWENREVGMFSLLKHSGKGFFQVL FSHCRSLFNDKKLVEYLKQRSFDAVFLDPFDVCGLTIAKYFSLPSVVFSGGIFCHYLDEG AQCPSPPSYVPRILSKFADTMTFKERVWNYFSYMKERAFCPYFFKTAIEIASEVLQTPVT MTDLFSSVSIWMFRTDFVLEFPRPVMPNMVYIGGINCHPGKPLSKEFEAYVNASGEHGIV VFSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLGH PKTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADDL ENALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHDLTW YQYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000061764.1 pep:known chromosome:GRCm38:7:103611410:103612351:-1 gene:ENSMUSG00000045132.1 transcript:ENSMUST00000052152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr620 description:olfactory receptor 620 [Source:MGI Symbol;Acc:MGI:3030454] MTALSVTNYTSSRFALTGFPGLEIYYFWISVPFFIIYVTVFLGNCMVLHVIRTELSLHQP MFYFLAMLALTDLCMGLSTVHTVMGILWGFLQEISLDACIAQSYFIHGLSFMESSVLLAM SFDRYIAICNPLRYSSILTNDRILKIGVAILCRSSMLIPPVIIRLKFLNYCRPHFLSHSF CLHQDLIRMACGDIRFNSIYGLALVISNLLVDSVLILISYIMILYTVLSIASREERIKSL QTCVSHISAVLVFYIPIIGLTMVHRFGKHLSPLVHVLMGNVYILFPPLMNPIIYSIKTQQ IRVRIQRLFLKGT >ENSMUSP00000113988.1 pep:known chromosome:GRCm38:17:37672025:37672990:1 gene:ENSMUSG00000080990.1 transcript:ENSMUST00000122036.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr118 description:olfactory receptor 118 [Source:MGI Symbol;Acc:MGI:2177501] MSVNCSLWQENKLSVKHFAFAKFSEVPEECFLLFTLILLMFLVSLTGNALITLAICTSPA LHTPMYFFLANLSLLEIGYTCSVIPKMLQNLVSEIRGISREGCATQMFFFIFFGITECCL LAAMAFDRYMAICSPLHYSTRMSREVCAHLALVSWGMGCIVGLGQTNFIFSLNFCGPCEI DHFFCDLPPVLALACGDTSQNEAAIFVAVVLCISSPFLLIIYSYVRILVAVLVMPSPEGR HKALSTCSSHLLVVTLFFGSGSITYLRPKSSHLPGMDKLLALFYTAVTSMLNPIIYSLRN KEVKAALRKTLSLKTSRAINR >ENSMUSP00000095263.4 pep:known chromosome:GRCm38:1:88166012:88218997:1 gene:ENSMUSG00000089943.1 transcript:ENSMUST00000097659.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt1a5 description:UDP glucuronosyltransferase 1 family, polypeptide A5 [Source:MGI Symbol;Acc:MGI:3032634] MGLRMPLQGLVGLLLLCALPWTEGEKVLVFPVGGSHWLSMRDVVRELHAQGHQTVVLAPE VNMRIKEEDFFTFKVYAVPYTRQELEEMMENLKVFFDTGNYMKKIFKTSEALRNMSTVLL KTCTNILHNESLLHHLNSSSFDVVFTDPVFPCGALLAKYLGIPAVFFLRYIPCGIEYEAT QCPSPSSYIPNLFTRLSDHMDFLQRVQNMLYHLVLKYICHLLITPYESLASELFQREVSS VELFSYASVWLFRGDFVLDYPRPIMPNMVFIGGINCVTKKPLSQEFEAYVNASGEHGIVV FSLGSMVSEIPEKKAMEIAEALGRIPQTVLWRYTGTRPSNLAKNTILVKWLPQNDLLGHP KTRAFITHSGSHGIYEGICNGVPMVMMPLFGDQMDNAKRMETRGAGVTLNVLEMTADDLE NALKTVINNKSYKENIMRLSSLHKDRPIEPLDLAVFWVEYVMRHKGAPHLRPAAHDLTWY QYHSLDVIGFLLAIVLTVVFIVFKCCAYGCRKCFGGKGRVKKSHKSKTH >ENSMUSP00000140957.1 pep:known chromosome:GRCm38:1:59120935:59158668:-1 gene:ENSMUSG00000079550.9 transcript:ENSMUST00000191200.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp4 description:membrane protein, palmitoylated 4 (MAGUK p55 subfamily member 4) [Source:MGI Symbol;Acc:MGI:2386681] MRQSDRGAELTNEDRALPTPPDPENGLSGILRLLLQELSLFYSRDVNGLCLLYDLLHSPW LQALLKVYDCLQRFKEKKLVPDTTHAQILACEVLELLPKASGSPEIQELRQVLQAPHCKA LLSAHDTVAQKDFEPLLPPLPDNIPDSEEAMRIVCLVKNQQPLGATIKRHEITGDILVAR VIHGGLVERSGLLYAGDKLVEVNGVSVEGLDPEQVIHILAMSCGTIMFKVIPVSAPPVSS QKMVYVRAMIDYWPQEDPDIPCMDAGLPFLKGDILQIVDQNDALWWQARKISDLTICAGL IPSNHLLKRKQREFWWSQPYQPHTCLKSTREDSMKIDEKCVEADEETFESEELAEAKDEF VGDGQKFFIAGFRRSMRLCRRKSHFSQLHASLCCSCSCYSAVGAPYEEVVRYQRQPADKH RLIVLVGPSGVGVNELRRQLIGCNPSCFQSAVPHTTRFPKSYEMDGREYHYVSRETFESL MYGHKMLEYGEYKGHLYGTSVNAVHAVLDEGKICIMDLEPQDIQSARTRDLKPYVIFIKP PNTSSMRHSRKNAKITTDYYVDMKFKDEDLQEMEELAQKMESQFGQFFDHVIVNDNLQDA CGQLLSAIQKAQEELQWVPEAWVSPDTES >ENSMUSP00000077914.7 pep:known chromosome:GRCm38:1:59120935:59161636:-1 gene:ENSMUSG00000079550.9 transcript:ENSMUST00000078874.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp4 description:membrane protein, palmitoylated 4 (MAGUK p55 subfamily member 4) [Source:MGI Symbol;Acc:MGI:2386681] MRQSDRGAELTNEDRALPTPPDPENGLSGILRLLLQELSLFYSRDVNGLCLLYDLLHSPW LQALLKVYDCLQRFKEKKLVPDTTHAQILACEVLELLPKASGSPEIQELRQVLQAPHCKA LLSAHDTVAQKDFEPLLPPLPDNIPDSEEAMRIVCLVKNQQPLGATIKRHEITGDILVAR VIHGGLVERSGLLYAGDKLVEVNGVSVEGLDPEQVIHILAMSCGTIMFKVIPVSAPPVSS QKMVYVRAMIDYWPQEDPDIPCMDAGLPFLKGDILQIVDQNDALWWQARKISDLTICAGL IPSNHLLKRKQREFWWSQPYQPHTCLKSTRALSMEEEDSMKIDEKCVEADEETFESEELA EAKDEFVGDGQKFFIAGFRRSMRLCRRKSHFSQLHASLCCSCSCYSAVGAPYEEVVRYQR QPADKHRLIVLVGPSGVGVNELRRQLIGCNPSCFQSAVPHTTRFPKSYEMDGREYHYVSR ETFESLMYGHKMLEYGEYKGHLYGTSVNAVHAVLDEGKICIMDLEPQDIQSARTRDLKPY VIFIKPPNTSSMRHSRKNAKITTDYYVDMKFKDEDLQEMEELAQKMESQFGQFFDHVIVN DNLQDACGQLLSAIQKAQEELQWVPEAWVSPDTES >ENSMUSP00000109914.2 pep:known chromosome:GRCm38:1:59120935:59163389:-1 gene:ENSMUSG00000079550.9 transcript:ENSMUST00000114275.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp4 description:membrane protein, palmitoylated 4 (MAGUK p55 subfamily member 4) [Source:MGI Symbol;Acc:MGI:2386681] MPHFQKAPAAATDNPPAGRMRQSDRGAELTNEDRALPTPPDPENGLSGILRLLLQELSLF YSRDVNGLCLLYDLLHSPWLQALLKVYDCLQRFKEKKLVPDTTHAQILACEVLELLPKAS GSPEIQELRQVLQAPHCKALLSAHDTVAQKDFEPLLPPLPDNIPDSEEAMRIVCLVKNQQ PLGATIKRHEITGDILVARVIHGGLVERSGLLYAGDKLVEVNGVSVEGLDPEQVIHILAM SCGTIMFKVIPVSAPPVSSQKMVYVRAMIDYWPQEDPDIPCMDAGLPFLKGDILQIVDQN DALWWQARKISDLTICAGLIPSNHLLKRKQREFWWSQPYQPHTCLKSTRALSMEEEDSMK IDEKCVEADEETFESEELAEAKDEFVGDGQKFFIAGFRRSMRLCRRKSHFSQLHASLCCS CSCYSAVGAPYEEVVRYQRQPADKHRLIVLVGPSGVGVNELRRQLIGCNPSCFQSAVPHT TRFPKSYEMDGREYHYVSRETFESLMYGHKMLEYGEYKGHLYGTSVNAVHAVLDEGKICI MDLEPQDIQSARTRDLKPYVIFIKPPNTSSMRHSRKNAKITTDYYVDMKFKDEDLQEMEE LAQKMESQFGQFFDHVIVNDNLQDACGQLLSAIQKAQEELQWVPEAWVSPDTES >ENSMUSP00000140352.1 pep:known chromosome:GRCm38:1:59121144:59158661:-1 gene:ENSMUSG00000079550.9 transcript:ENSMUST00000186477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp4 description:membrane protein, palmitoylated 4 (MAGUK p55 subfamily member 4) [Source:MGI Symbol;Acc:MGI:2386681] MRQSDRGAELTNEDRALPTPPDPENGLSGILRLLLQELSLFYSRDVNGLCLLYDLLHSPW LQALLKVYDCLQRFKEKKLVPDTTHAQILACEVLELLPKASGSPEIQELRQVLQAPHCKA LLSAHDTVAQKDFEPLLPPLPDNIPDSEEAMRIVCLVKNQQPLGATIKRHEITGDILVAR VIHGGLVERSGLLYAGDKLVEVNGVSVEGLDPEQVIHILAMSCGTIMFKVIPVSAPPVSS QKMDPDIPCMDAGLPFLKGDILQIVDQNDALWWQARKISDLTICAGLIPSNHLLKRKQRE FWWSQPYQPHTCLKSTRAKDEFVGDGQKFFIAGFRRSMRLCRRKSHFSQLHASLCCSCSC YSAVGAPYEEVVRYQRQPADKHRLIVLVGPSGVGVNELRRQLIGCNPSCFQSAVPHTTRF PKSYEMDGREYHYVSRETFESLMYGHKMLEYGEYKGHLYGTSVNAVHAVLDEGKICIMDL EPQDIQSARTRDLKPYVIFIKPPNTSSMRHSRKNAKITTDYYVDMKFKDEDLQEMEELAQ KMESQFGQFFDHVIVNDNLQDACGQLLSAIQKAQEELQWVPEAWVSPDTES >ENSMUSP00000070711.7 pep:known chromosome:GRCm38:1:59120936:59161636:-1 gene:ENSMUSG00000079550.9 transcript:ENSMUST00000066374.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp4 description:membrane protein, palmitoylated 4 (MAGUK p55 subfamily member 4) [Source:MGI Symbol;Acc:MGI:2386681] MRQSDRGAELTNEDRALPTPPDPENGLSGILRLLLQELSLFYSRDVNGLCLLYDLLHSPW LQALLKVYDCLQRFKEKKLVPDTTHAQILACEVLELLPKASGSPEIQELRQVLQAPHCKA LLSAHDTVAQKDFEPLLPPLPDNIPDSEEAMRIVCLVKNQQPLGATIKRHEITGDILVAR VIHGGLVERSGLLYAGDKLVEVNGVSVEGLDPEQVIHILAMSCGTIMFKVIPVSAPPVSS QKMVYVRAMIDYWPQEDPDIPCMDAGLPFLKGDILQIVDQNDALWWQARKISDLTICAGL IPSNHLLKRKQREFWWSQPYQPHTCLKSTRACTFENEDSMKIDEKCVEAEEIIYEGVRLL ETKDEFVGDGQKFFIAGFRRSMRLCRRKSHFSQLHASLCCSCSCYSAVGAPYEEVVRYQR QPADKHRLIVLVGPSGVGVNELRRQLIGCNPSCFQSAVPHTTRFPKSYEMDGREYHYVSR ETFESLMYGHKMLEYGEYKGHLYGTSVNAVHAVLDEGKICIMDLEPQDIQSARTRDLKPY VIFIKPPNTSSMRHSRKNAKITTDYYVDMKFKDEDLQEMEELAQKMESQFGQFFDHVIVN DNLQDACGQLLSAIQKAQEELQWVPEAWVSPDTES >ENSMUSP00000017629.4 pep:known chromosome:GRCm38:14:16365179:16430787:1 gene:ENSMUSG00000017485.10 transcript:ENSMUST00000017629.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top2b description:topoisomerase (DNA) II beta [Source:MGI Symbol;Acc:MGI:98791] MAKSSLAGSDGALTWVNNATKKEELETANKNDSTKKLSVERVYQKKTQLEHILLRPDTYI GSVEPLTQLMWVYDEDVGMNCREVTFVPGLYKIFDEILVNAADNKQRDKNMTCIKVSIDP ESNIISIWNNGKGIPVVEHKVEKVYVPALIFGQLLTSSNYDDDEKKVTGGRNGYGAKLCN IFSTKFTVETACKEYKHSFKQTWMNNMMKTSEAKIKHFDGEDYTCITFQPDLSKFKMEKL DKDIVALMTRRAYDLAGSCKGVKVMFNGKKLPVNGFRSYVDLYVKDKLDETGVALKVIHE LANERWDVCLTLSEKGFQQISFVNSIATTKGGRHVDYVVDQVVSKLIEVVKKKNKAGVSV KPFQVKNHIWVFINCLIENPTFDSQTKENMTLQPKSFGSKCQLSEKFFKAASNCGIVESI LNWVKFKAQTQLNKKCSSVKYSKIKGIPKLDDANDAGGKHSLECTLILTEGDSAKSLAVS GLGVIGRDRYGVFPLRGKILNVREASHKQIMENAEINNIIKIVGLQYKKSYDDAESLKTL RYGKIMIMTDQDQDGSHIKGLLINFIHHNWPSLLKHGFLEEFITPIVKASKNKQELSFYS IPEFDEWKKHIENQKAWKIKYYKGLGTSTAKEAKEYFADMERHRILFRYAGPEDDAAITL AFSKKKIDDRKEWLTNFMEDRRQRRLHGLPEQFLYGTATKHLTYNDFINKELILFSNSDN ERSIPSLVDGFKPGQRKVLFTCFKRNDKREVKVAQLAGSVAEMSAYHHGEQALMMTIVNL AQNFVGSNNINLLQPIGQFGTRLHGGKDAASPRYIFTMLSSLARLLFPAVDDNLLKFLYD DNQRVEPEWYIPIIPMVLINGAEGIGTGWACKLPNYDAREIVNNVRRMLEGLDPHPMLPN YKNFKGTIQELGQNQYAVSGEIFVVDRNTVEITELPVRTWTQVYKEQVLEPMLNGTDKTP ALISDYKEYHTDTTVKFVVKMTEEKLAQAEAAGLHKVFKLQTTLTCNSMVLFDHMGCLKK YETVQDILKEFFDLRLSYYGLRKEWLVGMLGAESTKLNNQARFILEKIQGKITIENRSKK DLIQMLVQRGYESDPVKAWKEAQEKAAEEEDSQNQHDDSSSDSGTPSGPDFNYILNMSLW SLTKEKVEELIKQRDTKGREVNDLKRKSPSDLWKEDLAAFVEELDKVEAQEREDILAGMS GKAIKGKVGKPKVKKLQLEETMPSPYGRRIVPEITAMKADASRKLLKKKKGDPDTTVVKV EFDEEFSGTPAEGTGEETLTPSAPVNKGPKPKREKKEPGTRVRKTPTSTGKTNAKKVKKR NPWSDDESKSESDLEEAEPVVIPRDSLLRRAAAERPKYTFDFSEEEDDDAAAADDSNDLE ELKVKASPITNDGEDEFVPSDGLDKDEYAFSSGKSKATPEKSSNDKKSQDFGNLFSFPSY SQKSEDDSAKFDSNEEDTASVFAPSFGLKQTDKLPSKTVAAKKGKPPSDTAPKAKRAPKQ KKIVETINSDSDSEFGIPKKTTTPKGKGRGAKKRKASGSENEGDYNPGRKPSKTASKKPK KTSFDQDSDVDIFPSDFTSEPPALPRTGRARKEVKYFAESDEEEDVDFAMFN >ENSMUSP00000124889.1 pep:known chromosome:GRCm38:14:16409908:16417030:1 gene:ENSMUSG00000017485.10 transcript:ENSMUST00000160501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top2b description:topoisomerase (DNA) II beta [Source:MGI Symbol;Acc:MGI:98791] XINGAEGIGTGWACKLPNYDAREIVNNVRRMLEGLDPHPMLPNYKNFKGTIQELGQNQYA VSGEIFVVDRNTVEITELPVRTWTQVYKEQVLEPMLNGTDKTPALISDYKEYHTDTTVKF VVKMTEEKLAQAEAAGLHKVFKLQTTLTCNSMAAEEEDSQNQHDDSSSDSGTPSGPDFNY ILNMSLWSLTKEKVEELIKQRDTKGREVNDLKRKSPSDLWKEDLAAFVEE >ENSMUSP00000123789.1 pep:known chromosome:GRCm38:14:16412083:16416709:1 gene:ENSMUSG00000017485.10 transcript:ENSMUST00000159302.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Top2b description:topoisomerase (DNA) II beta [Source:MGI Symbol;Acc:MGI:98791] TIQELGQNQYAVSGEIFVVDRNTVEITELPVRTWTQVYKEQVLEPMLNGTDKTPALISDY KEYHTDTTVKFVVKMTEEKLAQAEAAGLHKVFKLQTTLTCNSMVLFDHMGCLKKYETVQD ILKEFFDLRLSYYGLRKEWLVGMLGAESTKLNNQARFILEKIQGKITIGCRRGRFTKPT >ENSMUSP00000123992.1 pep:known chromosome:GRCm38:14:16413717:16425437:1 gene:ENSMUSG00000017485.10 transcript:ENSMUST00000161693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top2b description:topoisomerase (DNA) II beta [Source:MGI Symbol;Acc:MGI:98791] RFILEKIQGKITIENRSKKDLIQMLVQRGYESDPVKAWKEAQEKAAEEEDSQNQHDDSSS DSGTPSGPDFNYILNMSLWSLTKEKVEELIKQRDTKGREVNDLKRKSPSDLWKEDLAAFV EELDGDPDTTVVKVEFDEEFSGTPAEGTGEETLTPSAPVNKGPKPKREKKEPGTRVRKTP TSTGKTNAKKVKKRNPWSDDESKSESDLEEAEPVVIPRDSLLRRAAAERPKYTFDFSEEE DDDAAAADDSNDLEELKVKASPITNDGEDEFVPSDGLDKDEYAFSSGKSKATPEKSSNDK KSQDFGNLFSFPSYSQKSEDDSAKFDSNEEDTASVFAPSFGLKQTDKL >ENSMUSP00000018522.6 pep:known chromosome:GRCm38:11:88099146:88194140:1 gene:ENSMUSG00000018378.13 transcript:ENSMUST00000018522.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cuedc1 description:CUE domain containing 1 [Source:MGI Symbol;Acc:MGI:2144281] MTSLFRRSSSGSGGGGATGARGAGTGAGDGSTAPQELNNSRPARQVRRLEFNQAMDDFKT MFPNMDYDIIECVLRANSGAVDATIDQLLQMNLEAGGGSAYEDSSDSEDSIPPEILERTL EPDSSEEEPPPVYSPPAYHMHVFDRPYLMAPPTPPPRIDVPGSGQPASQRRYRNWNPPLL GSLPDDFLRILPQQMDSIQGHPGGSKPMSGEGGPPPAPGPMACDQDSRWKQYLEDERIAL FLQNEEFMKELQRNRDFLLALERDRLKYESQKSKSNNAAVGNDGGFPSSVPGTSETNPTV SEDALFRDKLKHMGKSTRRKLFELARAFSEKTKMRKSKKKHLPKLQSLGAAASTANLLDD VEGHAYEEDFRGRRQEVPKVEEALREGQ >ENSMUSP00000120867.1 pep:known chromosome:GRCm38:11:88162261:88194140:1 gene:ENSMUSG00000018378.13 transcript:ENSMUST00000154812.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cuedc1 description:CUE domain containing 1 [Source:MGI Symbol;Acc:MGI:2144281] MTSLFRRSSSGSGGGGATGARGAGTGAGDGSTAPQELNNSRPARQVRRLEFNQAMDDFKT MFPNMDYDIIECVLRANSGAVDATIDQLLQMNLEAGGGSAYEDSSDSEDSIPPEILERTL EPDSSEEEPPPVYSPPAYHMHVFDRPYLMAPPTPPPRIDVPGSGQPASQRRYRNWNPPLL GSLPDDFLRILPQQMDSIQGHPGGSKPMSGEGGPPPAPGPMACDQDSRWKQYLEDERIAL FLQNEEFMKELQRNRDFLLALERDRLKYESQKSKSNNAAVGNDGGFPSSVPAGVSSFQEP VKPTPLCQKMPYSGTS >ENSMUSP00000099750.3 pep:known chromosome:GRCm38:2:72936427:72980446:-1 gene:ENSMUSG00000027109.16 transcript:ENSMUST00000102689.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp3 description:trans-acting transcription factor 3 [Source:MGI Symbol;Acc:MGI:1277166] MTAPEKPVKQEEMAALDVDGGGGGGGHGEYLQQQQQQQQQHGNGAAAAAAQDTQPSPLAL LAATCSKIGPPSPGDDDEEAAVAAAAGVPAAAAGATGDLASAQLGGAPNRWEVLSATPTT IKDEAGNLVQIPGAATSSGQYVLPLQNLQNQQIFSVAPGSDSSNGTVSNVQYQVIPQIQS TDAQQVQIGFTGSSDNGGINQENSQIQIIPGSNQTLLASGTPPANIQNLIPQTGQVQVQG VAIGGSSFPGQTQVVANVPLGLPGNITFVPINSVDLDSLGLSGSSQTMTAGINADGHLIN TGQAMDSSDNSERTGERVSPDVNETNADTDLFVPTSSSSQLPVTIDSTGILQQNTNSLTT TSGQVHSSDLQGNYIQSPVSEETQAQNIQVSTAQPVVQHLQLQDSQQPTSQAQIVQGITP QTIHGVQASGQNISQQALQNLQLQLNPGTFLIQAQTVTPSGQITWQTFQVQGVQNLQNLQ IQNTAAQQITLTPVQTLTLGQVAAGGALTSTPVSLSTGQLPNLQTVTVNSIDSTGIQLHP GENADSPADIRIKEEEPDPEEWQLSGDSTLNTNDLTHLRVQVVDEEGDQQHQEGKRLRRV ACTCPNCKEGGGRGTNLGKKKQHICHIPGCGKVYGKTSHLRAHLRWHSGERPFICNWMFC GKRFTRSDELQRHRRTHTGEKKFVCPECSKRFMRSDHLAKHIKTHQNKKVIHSSSTVLAS VEAGRDDALITAGGTTLILANIQQGSVSGIGTVNTSATSNQDILTNTEIPLQLVTVSGNE TME >ENSMUSP00000065807.6 pep:known chromosome:GRCm38:2:72936648:72980426:-1 gene:ENSMUSG00000027109.16 transcript:ENSMUST00000066003.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp3 description:trans-acting transcription factor 3 [Source:MGI Symbol;Acc:MGI:1277166] MTAPEKPVKQEEMAALDVDGGGGGGGHGEYLQQQQQQQQQHGNGAAAAAAQTGDLASAQL GGAPNRWEVLSATPTTIKDEAGNLVQIPGAATSSGQYVLPLQNLQNQQIFSVAPGSDSSN GTVSNVQYQVIPQIQSTDAQQVQIGFTGSSDNGGINQENSQIQIIPGSNQTLLASGTPPA NIQNLIPQTGQVQVQGVAIGGSSFPGQTQVVANVPLGLPGNITFVPINSVDLDSLGLSGS SQTMTAGINADGHLINTGQAMDSSDNSERTGERVSPDVNETNADTDLFVPTSSSSQLPVT IDSTGILQQNTNSLTTTSGQVHSSDLQGNYIQSPVSEETQAQNIQVSTAQPVVQHLQLQD SQQPTSQAQIVQGITPQTIHGVQASGQNISQQALQNLQLQLNPGTFLIQAQTVTPSGQIT WQTFQVQGVQNLQNLQIQNTAAQQITLTPVQTLTLGQVAAGGALTSTPVSLSTGQLPNLQ TVTVNSIDSTGIQLHPGENADSPADIRIKEEEPDPEEWQLSGDSTLNTNDLTHLRVQVVD EEGDQQHQEGKRLRRVACTCPNCKEGGGRGTNLGKKKQHICHIPGCGKVYGKTSHLRAHL RWHSGERPFICNWMFCGKRFTRSDELQRHRRTHTGEKKFVCPECSKRFMRSDHLAKHIKT HQNKKVIHSSSTVLASVEAGRDDALITAGGTTLILANIQQGSVSGIGTVNTSATSNQDIL TNTEIPLQLVTVSGNETME >ENSMUSP00000084949.4 pep:known chromosome:GRCm38:17:32993197:33005457:1 gene:ENSMUSG00000053390.15 transcript:ENSMUST00000087666.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp952 description:zinc finger protein 952 [Source:MGI Symbol;Acc:MGI:2441928] MHQKQRMEPVTFEDVAVNFSLGEWALLDSSQKKLYRDVMTETFMNLISIGKTEEKENIED NYQNLRKNVRTLVAERSCDYGDVSQCGETRQRIPECIIRRDTPPEISVCKNSVLARDILV HSLSEVHLRCETAEKPCGSQEYVKCCKESTSSESFQTKQSPPRETPYQNKQSNEAYRSLN SDRYCEQIHTGDKLREWKQVEKAFWRYSYGQIYERITIGEEPFVCKQYGEALVNSSHLIK HEVISPEEKCYTCKQCGKAFRYSSSLQNHERIHSGERPYVCKQCGKTFIRSYDLLIHERI HSGEKPYTCEHCGKSFTHYSGWYSHERIHTREKPYVCTQCGKAFSCSTSFRRHERIHTGE KPYSCKHCGKAFTHSSARYIHERIHTGEKPYVCKHCGKAFLRASHLNNHERIHTGEKPYI CKHCGKAFIQRDACYNHERIHTGEKPYVCKECGKAFRISTSLRDHERIHTGEKPYICNYC GKAFRVSTCLHKHERAHAEKKPSG >ENSMUSP00000123066.1 pep:known chromosome:GRCm38:17:32993201:33003086:1 gene:ENSMUSG00000053390.15 transcript:ENSMUST00000157017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp952 description:zinc finger protein 952 [Source:MGI Symbol;Acc:MGI:2441928] MTETFMNLISIGKTEEKENIEDNYQNLRKNVRTLVAERSCDYGDVSQCGETRQRIPECII RRDTPPEISVCKNSVLARDILVHSLSEVHLRCETAEKPCGSQEYVKCCKESTSSESFQTK QSPPRETPYQNKQSNEAYRSL >ENSMUSP00000034012.3 pep:known chromosome:GRCm38:8:40492540:40511754:-1 gene:ENSMUSG00000031601.16 transcript:ENSMUST00000034012.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot7 description:CCR4-NOT transcription complex, subunit 7 [Source:MGI Symbol;Acc:MGI:1298230] MPAATVDHSQRICEVWACNLDEEMKKIRQVIRKYNYVAMDTEFPGVVARPIGEFRSNADY QYQLLRCNVDLLKIIQLGLTFMNEQGEYPPGTSTWQFNFKFNLTEDMYAQDSIELLTTSG IQFKKHEEEGIETQYFAELLMTSGVVLCEGVKWLSFHSGYDFGYLIKILTNSNLPEEELD FFEILRLFFPVIYDVKYLMKSCKNLKGGLQEVAEQLELERIGPQHQAGSDSLLTGMAFFK MREMFFEDHIDDAKYCGHLYGLGSGSSYVQNGTGNAYEEEASKQS >ENSMUSP00000119319.1 pep:known chromosome:GRCm38:8:40492547:40511718:-1 gene:ENSMUSG00000031601.16 transcript:ENSMUST00000135269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot7 description:CCR4-NOT transcription complex, subunit 7 [Source:MGI Symbol;Acc:MGI:1298230] MPAATVDHSQRICEVWACNLDEEMKKIRQVIRKYNYVAMDTEFPGVVARPIGEFRSNADY QYQLLRCNVDLLKIIQLGLTFMNEQGEYPPGTSTWQFNFKFNLTEDMYAQDSIELLTTSG IQFKKHEEEGIETQYFAELLMTSGVVLCEGVKWLSFHSGYDFGYLIKILTNSNLPEEELD FFEILRLFFPVIYDVKYLMKSCKNLKMFFEDHIDDAKYCGHLYGLGSGSSYVQNGTGNAY EEEASKQS >ENSMUSP00000117304.1 pep:known chromosome:GRCm38:8:40493036:40511304:-1 gene:ENSMUSG00000031601.16 transcript:ENSMUST00000149992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot7 description:CCR4-NOT transcription complex, subunit 7 [Source:MGI Symbol;Acc:MGI:1298230] MPAATVDHSQRICEVWACNLDEEMKKIRQVIRKYNYVAMDTEFPGVVARPIGEFRSNADY QYQLLRCNVDLLKIIQLGLTFMNEQGEYPPGTSTWQFNFKFNLTEDMYAQDSIELLTTSG IQFKKHEEEGIETQYFAELLMTSGVVLCEGVKWLSFHSGYDFGYLIKILTNSNLPEEELD FFEILRLFFPVIYDVKYLMKSCKNLKGGLQEVAEQLELERIGPQHQAGSDSLLTGMAFFK MREMFFEDHIDDAKYCGHLYGLGSGSSYVQNGTGNAYEEEASKQS >ENSMUSP00000122933.1 pep:known chromosome:GRCm38:8:40492542:40515847:-1 gene:ENSMUSG00000031601.16 transcript:ENSMUST00000132032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot7 description:CCR4-NOT transcription complex, subunit 7 [Source:MGI Symbol;Acc:MGI:1298230] MPAATVDHSQRICEVWACNLDEEMKKIRQVIRKYNYVAMDTEFPGVVARPIGEFRSNADY QYQLLRCNVDLLKIIQLGLTFMNEQGEYPPGTSTWQFNFKFNLTEDMYAQDSIELLTTSG IQFKKHEEEGIETQYFAELLMTSGVVLCEGVKWLSFHSGYDFGYLIKILTNSNLPEEELD FFEILRLFFPVIYDVKYLMKSCKNLKGGLQEVAEQLELERIGPQHQAGSDSLLTGMAFFK MREMFFEDHIDDAKYCGHLYGLGSGSSYVQNGTGNAYEEEASKQS >ENSMUSP00000025541.5 pep:known chromosome:GRCm38:19:16132831:16387463:1 gene:ENSMUSG00000024639.5 transcript:ENSMUST00000025541.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnaq description:guanine nucleotide binding protein, alpha q polypeptide [Source:MGI Symbol;Acc:MGI:95776] MTLESIMACCLSEEAKEARRINDEIERQLRRDKRDARRELKLLLLGTGESGKSTFIKQMR IIHGSGYSDEDKRGFTKLVYQNIFTAMQAMIRAMDTLKIPYKYEHNKAHAQLVREVDVEK VSAFENPYVDAIKSLWNDPGIQECYDRRREYQLSDSTKYYLNDLDRVADPSYLPTQQDVL RVRVPTTGIIEYPFDLQSVIFRMVDVGGQRSERRKWIHCFENVTSIMFLVALSEYDQVLV ESDNENRMEESKALFRTIITYPWFQNSSVILFLNKKDLLEEKIMYSHLVDYFPEYDGPQR DAQAAREFILKMFVDLNPDSDKIIYSHFTCATDTENIRFVFAAVKDTILQLNLKEYNLV >ENSMUSP00000059903.7 pep:known chromosome:GRCm38:11:61448442:61454005:-1 gene:ENSMUSG00000010086.17 transcript:ENSMUST00000060255.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf112 description:ring finger protein 112 [Source:MGI Symbol;Acc:MGI:106611] MPRPVLSVTAFCHRLGKRESKRSFMGNSSNSWVLPREEAQGWMGQAVQGGTRTSRSHASF PKLELGLGHRPSPTREPPTCSICLERLREPISLDCGHDFCIRCFSTHRIPGCELPCCPEC RKICKQRKGLRSLGERMKLLPQRPLPPALQETCAVRAERLLLVRINASGGLILRMGAINR CLKHPLARDTPVCLLAVLGEQHSGKSFLLDHLLSGLPSLESGDSGRPRAEGSLPGIRWGA NGLTRGIWMWSHPFLLGKEGKKVAVFLVDTGDVMSPELSKETRVKLCALTMMLSSYQILN TSQELKDTDLGYLEMFVHVAEVMGKHYGMVPIQVRQFLVSSILTHQSRLPVSGPFLFQHL DLLVRDSSHHNKSGQGHVGDILQKLSGKYPKVQELLLGKRARCYLLPAPERQWVNKDQAS PRGNTEDDFSHHFRAYILDVLSTAPQHAKSRCQGYWSEGRAVARGDRRLLTGQQLAQEIK NLSGWMGKTGPSFNSPDEMAAQLHDLRKVEAAKKEFEEYVRQQDIATKRIFSALRVLPDT MRNLLSTQKDAILARHGVALLCKEREQTLEALEAELQAEAKAFMDSYTMRFCGHLAAVGG AVGAGLMGLAGGVVGAGMAAAALAAEAGMVAAGAAVGATGAAVVGGGVGAGLAATVGCME KEEDERVQGGDREPLLQEE >ENSMUSP00000056464.7 pep:known chromosome:GRCm38:11:61448442:61454005:-1 gene:ENSMUSG00000010086.17 transcript:ENSMUST00000054927.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf112 description:ring finger protein 112 [Source:MGI Symbol;Acc:MGI:106611] MPRPVLSVTAFCHRLGKRESKRSFMGNSSNSWVLPREEAQGWMGQAVQGGTRTSRSHASF PKLELGLGHRPSPTREPPTCSICLERLREPISLDCGHDFCIRCFSTHRIPGCELPCCPEC RKICKQRKGLRSLGERMKLLPQRPLPPALQETCAVRAERLLLVRINASGGLILRMGAINR CLKHPLARDTPVCLLAVLGEQHSGKSFLLDHLLSGLPSLESGDSGRPRAEGSLPGIRWGA NGLTRGIWMWSHPFLLGKEGKKVAVFLVDTGDVMSPELSKETRVKLCALTMMLSSYQILN TSQELKDTDLGYLEMFVHVAEVMGKHYGMVPIQHLDLLVRDSSHHNKSGQGHVGDILQKL SGKYPKVQELLLGKRARCYLLPAPERQWVNKDQASPRGNTEDDFSHHFRAYILDVLSTAP QHAKSRCQGYWSEGRAVARGDRRLLTGQQLAQEIKNLSGWMGKTGPSFNSPDEMAAQLHD LRKVEAAKKEFEEYVRQQDIATKRIFSALRVLPDTMRNLLSTQKDAILARHGVALLCKER EQTLEALEAELQAEAKAFMDSYTMRFCGHLAAVGGAVGAGLMGLAGGVVGAGMAAAALAA EAGMVAAGAAVGATGAAVVGGGVGAGLAATVGCMEKEEDERVQGGDREPLLQEE >ENSMUSP00000099722.3 pep:known chromosome:GRCm38:11:61448442:61454106:-1 gene:ENSMUSG00000010086.17 transcript:ENSMUST00000102661.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf112 description:ring finger protein 112 [Source:MGI Symbol;Acc:MGI:106611] MPRPVLSVTAFCHRLGKRESKRSFMGNSSNSWSHASFPKLELGLGHRPSPTREPPTCSIC LERLREPISLDCGHDFCIRCFSTHRIPGCELPCCPECRKICKQRKGLRSLGERMKLLPQR PLPPALQETCAVRAERLLLVRINASGGLILRMGAINRCLKHPLARDTPVCLLAVLGEQHS GKSFLLDHLLSGLPSLESGDSGRPRAEGSLPGIRWGANGLTRGIWMWSHPFLLGKEGKKV AVFLVDTGDVMSPELSKETRVKLCALTMMLSSYQILNTSQELKDTDLGYLEMFVHVAEVM GKHYGMVPIQHLDLLVRDSSHHNKSGQGHVGDILQKLSGKYPKVQELLLGKRARCYLLPA PERQWVNKDQASPRGNTEDDFSHHFRAYILDVLSTAPQHAKSRCQGYWSEGRAVARGDRR LLTGQQLAQEIKNLSGWMGKTGPSFNSPDEMAAQLHDLRKVEAAKKEFEEYVRQQDIATK RIFSALRVLPDTMRNLLSTQKDAILARHGVALLCKEREQTLEALEAELQAEAKAFMDSYT MRFCGHLAAVGGAVGAGLMGLAGGVVGAGMAAAALAAEAGMVAAGAAVGATGAAVVGGGV GAGLAATVGCMEKEEDERVQGGDREPLLQEE >ENSMUSP00000085594.1 pep:known chromosome:GRCm38:2:164685107:164687706:1 gene:ENSMUSG00000067704.1 transcript:ENSMUST00000088260.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc13 description:WAP four-disulfide core domain 13 [Source:MGI Symbol;Acc:MGI:3582777] MRPVSPLQLLLVLSLAPQPVLGSPKQYFLKYILEPPPCRSEPGACNMFCTQQEECPEPLQ CCSAYCGIVCTSNQAPVLGLS >ENSMUSP00000102197.2 pep:known chromosome:GRCm38:4:110397698:110662970:1 gene:ENSMUSG00000061298.14 transcript:ENSMUST00000106587.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl4 description:ATP/GTP binding protein-like 4 [Source:MGI Symbol;Acc:MGI:1918244] MAERSQTAPEAGNDTGNEDAIGGNVNKYIVLPNGYSGQPKKGHLTFDACFESGNLGRVEQ VSDFEYDLFIRPDTCNPRFRVWFNFTVENVKELQLPLLSNKDQSWSNSLKIKRQDTGKPS TEALGMSGKRDALTLSSLGRTAQGKRVGQRKGESDCGILFRLCH >ENSMUSP00000102201.1 pep:known chromosome:GRCm38:4:110397698:111567010:1 gene:ENSMUSG00000061298.14 transcript:ENSMUST00000106591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl4 description:ATP/GTP binding protein-like 4 [Source:MGI Symbol;Acc:MGI:1918244] MAERSQTAPEAGNDTGNEDAIGGNVNKYIVLPNGYSGQPKKGHLTFDACFESGNLGRVEQ VSDFEYDLFIRPDTCNPRFRVWFNFTVENVKELQRVIFNIVNFSKTKSLYRDGMAPMVKS TSRPKWQRLPPKNVYYYRCPDHRKNYVMSFAFCFDREDDIYQFAYCYPYTYTRFQHYLDS LQKKNMDYFFREQLGQSVQQRQLDLLTITSPENLREGSEKKVIFITGRVHPGETPSSFVC QGIIDFLVSQHPIARVLREHLVFKIAPMLNPDGVYLGNYRCSLMGFDLNRHWLDPSPWAH PTLHGVKQLIIKMYNDPVSSRMSSAATGCWPHLGMEDCMGDILGQL >ENSMUSP00000102202.1 pep:known chromosome:GRCm38:4:110397698:111664324:1 gene:ENSMUSG00000061298.14 transcript:ENSMUST00000106592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl4 description:ATP/GTP binding protein-like 4 [Source:MGI Symbol;Acc:MGI:1918244] MAERSQTAPEAGNDTGNEDAIGGNVNKYIVLPNGYSGQPKKGHLTFDACFESGNLGRVEQ VSDFEYDLFIRPDTCNPRFRVWFNFTVENVKELQRVIFNIVNFSKTKSLYRDGMAPMVKS TSRPKWQRLPPKNVYYYRCPDHRKNYVMSFAFCFDREDDIYQFAYCYPYTYTRFQHYLDS LQKKNMDYFFREQLGQSVQQRQLDLLTITSPENLREGSEKKVIFITGRVHPGETPSSFVC QGIIDFLVSQHPIARVLREHLVFKIAPMLNPDGVYLGNYRCSLMGFDLNRHWLDPSPWAH PTLHGVKQLIIKMYNDPKTSLEFYIDIHAHSTMMNGFMYGNIFEDEERFQRQSIFPKLLC QNAEDFSYTSTSFNRDAVKAGTGRRFLGGLLDHSSYCYTLEVSFYSYIIGGTTAAVPYTE EAYMKLGRNVARTFLDYYRLNSLVEKIAVPMPRLRKEKAPPCKHPPPRGSTSNVSIGKGD KKNSLNHKDPSTPF >ENSMUSP00000118551.1 pep:known chromosome:GRCm38:4:111118911:111620490:1 gene:ENSMUSG00000061298.14 transcript:ENSMUST00000148038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl4 description:ATP/GTP binding protein-like 4 [Source:MGI Symbol;Acc:MGI:1918244] XREDDIYQFAYCYPYTYTRFQHYLDSLQKKNMDYFFREQLGQSVQQRQLDLLTITSPENL REGSEKKVIFITGRVHPGETPSSFVCQGIIDFLVSQHPIARVLREHLVFKIAPMLNPDGV YLGNYRCSLMGFDLNRHWLDPSPWAHPTLHGVKQLIIKMYNDPKTSLEFYIDIHAHSTMM NGFMYGNIFEDEERFQRQSIFPKLLCQNAEDFSYTSTSFNRDAVKAGTGRRFLGGLLDHS SYCYTLEVSFYSYIIGGTTAAVPYTEEACILARSHYI >ENSMUSP00000102199.2 pep:known chromosome:GRCm38:4:110397661:110955596:1 gene:ENSMUSG00000061298.14 transcript:ENSMUST00000106589.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl4 description:ATP/GTP binding protein-like 4 [Source:MGI Symbol;Acc:MGI:1918244] MAERSQTAPEAGNLGRVEQVSDFEYDLFIRPDTCNPRFRVWFNFTVENVKELQRVIFNIV NFS >ENSMUSP00000095533.2 pep:known chromosome:GRCm38:4:110397791:111657510:1 gene:ENSMUSG00000061298.14 transcript:ENSMUST00000097920.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl4 description:ATP/GTP binding protein-like 4 [Source:MGI Symbol;Acc:MGI:1918244] MAERSQTAPEAGNDTGNEDAIGGNVNKYIVLPNGYSGQPKKGHLTFDACFESGNLGRVEQ VSDFEYDLFIRPDTCNPRFRVWFNFTVENVKELQRVIFNIVNFSKTKSLYRDGMAPMVKS TSRPKWQRLPPKNVYYYRCPDHRKNYVMSFAFCFDREDDIYQFAYCYPYTYTRFQHYLDS LQKKNMDYFFREQLGQSVQQRQLDLLTITSPENLREGSEKKVIFITGRVHPGETPSSFVC QGIIDFLVSQHPIARVLREHLVFKIAPMLNPDGVYLGNYRCSLMGFDLNRHWLDPSPWAH PTLHGVKQLIIKMYNDPKTSLEFYIDIHAHSTMMNGFMYGNIFEDEERFQRQSIFPKLLC QNAEDFSYTSTSFNRDAVKAGTGRRFLGGLLDHSSYCYTLEVSFYSYIIGGTTAAVPYTE EAYMKLGRNVARTFLDYYRLNSLVEKIAVPMPRLRSKEERRLGWEHPSCLRAEQPLEVLG IPMCSGKALNEHLGNDIQWHLDCGSSTLPLGLISCSPSSSASWNDMAMSNSILLPDHSFH >ENSMUSP00000079568.6 pep:known chromosome:GRCm38:4:110397791:111657510:1 gene:ENSMUSG00000061298.14 transcript:ENSMUST00000080744.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl4 description:ATP/GTP binding protein-like 4 [Source:MGI Symbol;Acc:MGI:1918244] MAERSQTAPEAGNDTGNEDAIGGNVNKYIVLPNGYSGQPKKGHLTFDACFESGNLGRVEQ VSDFEYDLFIRPDTCNPRFRVWFNFTVENVKELQRVIFNIVNFSKTKSLYRDGMAPMVKS TSRPKWQRLPPKNVYYYRCPDHRKNYVMSFAFCFDREDDIYQFAYCYPYTYTRFQHYLDS LQKKNMDYFFREQLGQSVQQRQLDLLTITSPENLREGSEKKVIFITGRVHPGETPSSFVC QGIIDFLVSQHPIARVLREHLVFKIAPMLNPDGVYLGNYRCSLMGFDLNRHWLDPSPWAH PTLHGVKQLIIKMYNDPKTSLEFYIDIHAHSTMMNGFMYGNIFEDEERFQRQSIFPKLLC QNAEDFSYTSTSFNRDAVKAGTGRRFLGGLLDHSSYCYTLEVSFYSYIIGGTTAAVPYTE EAYMKLGRNVARTFLDYYRLNSLVEKIAVPMPRLRFRLQRTHL >ENSMUSP00000033662.8 pep:known chromosome:GRCm38:X:160122209:160138413:-1 gene:ENSMUSG00000031299.10 transcript:ENSMUST00000033662.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdha1 description:pyruvate dehydrogenase E1 alpha 1 [Source:MGI Symbol;Acc:MGI:97532] MRKMLAAVSRVLAGSAQKPASRVLVASRNFANDATFEIKKCDLHRLEEGPPVTTVLTRED GLKYYRMMQTVRRMELKADQLYKQKIIRGFCHLCDGQEACCVGLEAGINPTDHLITAYRA HGFTFTRGLPVRAILAELTGRRGGCAKGKGGSMHMYAKNFYGGNGIVGAQVPLGAGIALA CKYNGKDEVCLTLYGDGAANQGQIFEAYNMAALWKLPCIFICENNRYGMGTSVERAAAST DYYKRGDFIPGLRVDGMDILCVREATKFAAAYCRSGKGPILMELQTYRYHGHSMSDPGVS YRTREEIQEVRSKSDPIMLLKDRMVNSNLASVEELKEIDVEVRKEIEDAAQFATADPEPP LEELGYHIYSSDPPFEVRGANQWIKFKSVS >ENSMUSP00000017454.7 pep:known chromosome:GRCm38:2:164698501:164702453:1 gene:ENSMUSG00000017310.7 transcript:ENSMUST00000017454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spint4 description:serine protease inhibitor, Kunitz type 4 [Source:MGI Symbol;Acc:MGI:1925489] MKPTKLGFLLGLSLLCSLSPPVLSGVERLANYLCKDYNDPCLLDVEPGSCYEVHFRFFYN QTAKQCQIFLFTGCNGNLNNFKLKIDCDVTCHETYKNPPLPSGDKRKRSLRALATKNLAT DWRLTTLQPGRLRQTERKETLLQRARRESRRQPLARHHT >ENSMUSP00000124322.1 pep:known chromosome:GRCm38:14:66635251:66733462:1 gene:ENSMUSG00000045875.12 transcript:ENSMUST00000159365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra1a description:adrenergic receptor, alpha 1a [Source:MGI Symbol;Acc:MGI:104773] MVLLSENASEGSNCTHPPAQVNISKAILLGVILGGLIIFGVLGNILVILSVACHRHLHSV THYYIVNLAVADLLLTSTVLPFSAIFEILGYWAFGRVFCNIWAAVDVLCCTASIMGLCII SIDRYIGVSYPLRYPTIVTQRRGVRALLCVWALSLVISIGPLFGWRQQAPEDETICQINE EPGYVLFSALGSFYVPLTIILVMYCRVYVVAKRESRGLKSGLKTDKSDSEQVTLRIHRKN VPAEGSGVSSAKNKTHFSVRLLKFSREKKAAKTLGIVVGCFVLCWLPFFLVMPIGSFFPN FKPPETVFKIVFWLGYLNSCINPIIYPCSSQEFKKAFQNVLRIQCLRRRQSSKHALGYTL HPPSQAVEGQHRGMVRIPVGSGETFYKISKTDGVREWKFFSSMPQGSARITMPKDQSACT TARGFYP >ENSMUSP00000053703.1 pep:known chromosome:GRCm38:14:66635258:66733329:1 gene:ENSMUSG00000045875.12 transcript:ENSMUST00000054661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra1a description:adrenergic receptor, alpha 1a [Source:MGI Symbol;Acc:MGI:104773] MVLLSENASEGSNCTHPPAQVNISKAILLGVILGGLIIFGVLGNILVILSVACHRHLHSV THYYIVNLAVADLLLTSTVLPFSAIFEILGYWAFGRVFCNIWAAVDVLCCTASIMGLCII SIDRYIGVSYPLRYPTIVTQRRGVRALLCVWALSLVISIGPLFGWRQQAPEDETICQINE EPGYVLFSALGSFYVPLTIILVMYCRVYVVAKRESRGLKSGLKTDKSDSEQVTLRIHRKN VPAEGSGVSSAKNKTHFSVRLLKFSREKKAAKTLGIVVGCFVLCWLPFFLVMPIGSFFPN FKPPETVFKIVFWLGYLNSCINPIIYPCSSQEFKKAFQNVLRIQCLRRRQSSKHALGYTL HPPSQAVEGQHRGMVRIPVGSGETFYKISKTDGVREWKFFSSMPQGSARITMPKDQSACT TARVRSKSFLQVCCCVGSSTPRPEENHQVPTIKIHTISLGENGEEV >ENSMUSP00000125354.1 pep:known chromosome:GRCm38:14:66635556:66732741:1 gene:ENSMUSG00000045875.12 transcript:ENSMUST00000161339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra1a description:adrenergic receptor, alpha 1a [Source:MGI Symbol;Acc:MGI:104773] MVLLSENASEGSNCTHPPAQVNISKAILLGVILGGLIIFGVLGNILVILSVACHRHLHSV THYYIVNLAVADLLLTSTVLPFSAIFEILGYWAFGRVFCNIWAAVDVLCCTASIMGLCII SIDRYIGVSYPLRYPTIVTQRRGVRALLCVWALSLVISIGPLFGWRQQAPEDETICQINE EPGYVLFSALGSFYVPLTIILVMYCRVYVVAKRESRGLKSGLKTDKSDSEQVTLRIHRKN VPAEGSGVSSAKNKTHFSVRLLKFSREKKAAKTLGIVVGCFVLCWLPFFLVMPIGSFFPN FKPPETVFKIVFWLGYLNSCINPIIYPCSSQEFKKAFQNVLRIQCLRRRQSSKHALGYTL HPPSQAVEGQHRGMVRIPVGSGETFYKISKTDGVREWKFFSSMPQGSARITMPKDQSACT TARVRSKSFLQVCCCVGSSTPRPEENHQVPTIKIHTISLGENGEEV >ENSMUSP00000124570.1 pep:known chromosome:GRCm38:14:66635341:66729984:1 gene:ENSMUSG00000045875.12 transcript:ENSMUST00000159068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra1a description:adrenergic receptor, alpha 1a [Source:MGI Symbol;Acc:MGI:104773] MVLLSENASEGSNCTHPPAQVNISKAILLGVILGGLIIFGVLGNILVILSVACHRHLHSV THYYIVNLAVADLLLTSTVLPFSAIFEILGYWAFGRVFCNIWAAVDVLCCTASIMGLCII SIDRYIGVSYPLRYPTIVTQRRGVRALLCVWALSLVISIGPLFGWRQQAPEDETICQINE EPGYVLFSALGSFYVPLTIILVMYCRVYVVAKRESRGLKSGLKTDKSDSEQVTLRIHRKN VPAEGSGVSSAKNKTHFSVRLLKFSREKKAAKTLGIVVGCFVLCWLPFFLVMPIGSFFPN FKPPETVFKIVFWLGYLNSCINPIIYPCSSQEFKKAFQNVLRIQCLRRRQSSKHALGYTL HPPSQAVEGQHRGMVRIPVGSGETFYKISKTDGVREWKFFSSMPQGSARITMPKDQSACT TARVRSKSFLQVCCCVGSSTPRPEENHQVPTIKIHTISLGENGEEV >ENSMUSP00000006123.3 pep:known chromosome:GRCm38:3:94612757:94658871:-1 gene:ENSMUSG00000005968.14 transcript:ENSMUST00000006123.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuft1 description:tuftelin 1 [Source:MGI Symbol;Acc:MGI:109572] MNGTRNWCTLVDVHPESQTAGSVDILRLTLQSELTGDELEHIAQKAGRKTYAMMPGHSSG HSLASELVESHDGHEEIIKVYLKGRSGDKMTHEKNIDQLKSEVQYIQEARNCLQKLREDI SSKLDRSPGDPLRQQEIQVVLEKPNGFSQSPMTLYSSPPEVDPSMSEDVESLKKTVQELL VKLREAERRHQSDRVAFEVTLSRYQREAEQSNVALQREEDRVEQKAAEIEELQRRLLGME AEHQALLVKVREGEMALEELRIKNADCQTEREKSAALEKEVAGFREKIHHLDDMLKSQQR KVRQMIEQLQNSKAVIQSKDATIQELKEKIAYLEAENLEMHDRMEHLIEKQVSHGNFSTQ ARAKTENLGSVRISKPPSPKPMPLIRVVET >ENSMUSP00000143278.1 pep:known chromosome:GRCm38:3:94612759:94658872:-1 gene:ENSMUSG00000005968.14 transcript:ENSMUST00000196733.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuft1 description:tuftelin 1 [Source:MGI Symbol;Acc:MGI:109572] MNGTRNWCTLVDVHPESQTAAGRKTYAMMPGHSSGHSLASELVESHDGHEEIIKVYLKGR SGDKMTHEKNIDQLKSEVQYIQEARNCLQKLREDISSKLDRSPGDPLRQQEIQVVLEKPN GFSQSPMTLYSSPPEVDPSMSEDVESLKKTVQELLVKLREAERRHQSDRVAFEVTLSRYQ REAEQSNVALQREEDRVEQKAAEIEELQRRLLGMEAEHQALLVKVREGEMALEELRIKNA DCQTEREKSAALEKEVAGFREKIHHLDDMLKSQQRKVRQMIEQLQNSKAVIQSKDATIQE LKEKIAYLEAENLEMHDRMEHLIEKQVSHGNFSTQARAKTENLGSVRISKPPSPKPMPLI RVVET >ENSMUSP00000142537.1 pep:known chromosome:GRCm38:3:94612996:94658800:-1 gene:ENSMUSG00000005968.14 transcript:ENSMUST00000196655.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuft1 description:tuftelin 1 [Source:MGI Symbol;Acc:MGI:109572] MNGTRNWCTLVDVHPESQTAAGRKTYAMMPGHSSGHSLASELVESHDGHEEIIKVYLKGR SGDKMTHEKNIDQLKSEVQYIQEVVLEKPNGFSQSPMTLYSSPPEVDPSMSEDVESLKKT VQELLVKLREAERRHQSDRVAFEVTLSRYQREAEQSNVALQREEDRVEQKAAEIEELQRR LLGMEAEHQALLVKVREGEMALEELRIKNADCQTEREKSAALEKEVAGFREKIHHLDDML KSQQRKVRQMIEQLQNSKAVIQSKDATIQELKEKIAYLEAENLEMHDRMEHLIEKQVSHG NFSTQARAKTENLGSVRISKPPSPKPMPLIRVVET >ENSMUSP00000143473.1 pep:known chromosome:GRCm38:3:94622711:94658800:-1 gene:ENSMUSG00000005968.14 transcript:ENSMUST00000200407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuft1 description:tuftelin 1 [Source:MGI Symbol;Acc:MGI:109572] MNGTRNWCTLVDVHPESQTAVYLKGRSGDKMTHEKNIDQLKSEVQYIQEARNCLQKLRED ISSKLDRSPGDPLRQQEIQVVLEKPNGFSQSPMTLYSSPPEVDPSMSEDVESLKKTVQEL LVKLREAERRHQSDRVAFEVTLSRYQREAEQSNVALQREEDRVEQKAAEIEELQ >ENSMUSP00000143416.1 pep:known chromosome:GRCm38:3:94635418:94658803:-1 gene:ENSMUSG00000005968.14 transcript:ENSMUST00000196496.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tuft1 description:tuftelin 1 [Source:MGI Symbol;Acc:MGI:109572] MNGTRNWCTLVDVHPESQTAGSVDILRLTLQSELTGDELEHIAQKVSRRAGRPMP >ENSMUSP00000026558.6 pep:known chromosome:GRCm38:7:140856957:140863730:1 gene:ENSMUSG00000025485.12 transcript:ENSMUST00000026558.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ric8 description:resistance to inhibitors of cholinesterase 8 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2141866] MEPRAVADALETGEEDAVTEALRSFNREHSQSFTFDDAQQEDRKRLAKLLVSVLEQGLSP KHRVTWLQTIRILSRDRSCLDSFASRQSLHALACYADITVSEEPIPQSPDMDVLLESLKC LCNLVLSSPTAQMLAAEARLVVRLAERVGLYRKRSYPHEVQFFDLRLLFLLTALRTDVRQ QLFQELHGVRLLTDALELTLGVAPKENPPVMLPAQETERAMEILKVLFNITFDSVKREVD EEDAALYRYLGTLLRHCVMVEAAGDRTEEFHGHTVNLLGNLPLKCLDVLLALELHEGSLE FMGVNMDVISALLAFLEKRLHQTHRLKECVAPVLNVLTECARMHRPARKFLKAQVLPPLR DVRTRPEVGDLLRNKLVRLMTHLDTDVKRVAAEFLFVLCSESVPRFIKYTGYGNAAGLLA ARGLMAGGRPEGQYSEDEDTDTEEYREAKASINPVTGRVEEKPPNPMEGMTEEQKEHEAM KLVNMFDKLSRHRVIQPMGMSPRGHLTSLQDAMCETMEGQLSSDPDSDPD >ENSMUSP00000139350.1 pep:known chromosome:GRCm38:18:45269005:45685883:1 gene:ENSMUSG00000054477.14 transcript:ENSMUST00000183850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnn2 description:potassium intermediate/small conductance calcium-activated channel, subfamily N, member 2 [Source:MGI Symbol;Acc:MGI:2153182] MPIVLVRPTNRTRRLDSTGAGMGPSSHQQQESPLPTITHCAGCTTAWSPCSFNSSDMETP LQFQRGFFPEQPPPPPRSSHLHCQQQQQSQDKPCAPFAPLPHPHHHPHLAHQQPGSGGSS PCLRCNSCASSGAPAAGAGAGDNLSLLLRTSSPGGAFRTRTSSPLSGSSCCCCCSSRRGS QLNVSELTPSSHASALRQQYAQQPASASQYHQCHSLQPATSPTGSLGSLGSGPPLSHHHH HPHPAHHQHHQPQARRESNPFTEIAMSSCRYNGGVMRPLSNLSSSRRNLQEMDSEAQPLQ PPASVVGGGGGASSPSAAAAASSSAPEIVVSKPEHNNSNNLALYGTGGGGSTGGGGGGSG HGSSSGTKSSKKKNQNIGYKLGHRRALFEKRKRLSDYALIFGMFGIVVMVIETELSWGAY DKASLYSLALKCLISLSTIILLGLIIVYHAREIQLFMVDNGADDWRIAMTYERIFFICLE ILVCAIHPIPGNYTFTWTARLAFSYAPSTTTADVDIILSIPMFLRLYLIARVMLLHSKLF TDASSRSIGALNKINFNTRFVMKTLMTICPGTVLLVFSISLWIIAAWTVRACERYHDQQD VTSNFLGAMWLISITFLSIGYGDMVPNTYCGKGVCLLTGIMGAGCTALVVAVVARKLELT KAEKHVHNFMMDTQLTKRVKNAAANVLRETWLIYKNTKLVKKIDHAKVRKHQRKFLQAIH QLRSVKMEQRKLNDQANTLVDLAKTQNIMYDMISDLNERSEDFEKRIVTLETKLETLIGS IHALPGLISQTIRQQQRDFIETQMENYDKHVSYNAERSRSSSRRRRSSSTAPPTSSESS >ENSMUSP00000067884.7 pep:known chromosome:GRCm38:18:45269005:45686024:1 gene:ENSMUSG00000054477.14 transcript:ENSMUST00000066890.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnn2 description:potassium intermediate/small conductance calcium-activated channel, subfamily N, member 2 [Source:MGI Symbol;Acc:MGI:2153182] MPIVLVRPTNRTRRLDSTGAGMGPSSHQQQESPLPTITHCAGCTTAWSPCSFNSSDMETP LQFQRGFFPEQPPPPPRSSHLHCQQQQQSQDKPCAPFAPLPHPHHHPHLAHQQPGSGGSS PCLRCNSCASSGAPAAGAGAGDNLSLLLRTSSPGGAFRTRTSSPLSGSSCCCCCSSRRGS QLNVSELTPSSHASALRQQYAQQPASASQYHQCHSLQPATSPTGSLGSLGSGPPLSHHHH HPHPAHHQHHQPQARRESNPFTEIAMSSCRYNGGVMRPLSNLSSSRRNLQEMDSEAQPLQ PPASVVGGGGGASSPSAAAAASSSAPEIVVSKPEHNNSNNLALYGTGGGGSTGGGGGGSG HGSSSGTKSSKKKNQNIGYKLGHRRALFEKRKRLSDYALIFGMFGIVVMVIETELSWGAY DKASLYSLALKCLISLSTIILLGLIIVYHAREIQLFMVDNGADDWRIAMTYERIFFICLE ILVCAIHPIPGNYTFTWTARLAFSYAPSTTTADVDIILSIPMFLRLYLIARVMLLHSKLF TDASSRSIGALNKINFNTRFVMKTLMTICPGTVLLVFSISLWIIAAWTVRACERYHDQQD VTSNFLGAMWLISITFLSIGYGDMVPNTYCGKGVCLLTGIMGAGCTALVVAVVARKLELT KAEKHVHNFMMDTQLTKRVKNAAANVLRETWLIYKNTKLVKKIDHAKVRKHQRKFLQAIH QLRSVKMEQRKLNDQANTLVDLAKTQNIMYDMISDLNERSEDFEKRIVTLETKLETLIGS IHALPGLISQTIRQQQRDFIETQMENYDKHVSYNAERSRSSSRRRRSSSTAPPTSSESS >ENSMUSP00000129659.1 pep:known chromosome:GRCm38:18:45560154:45685882:1 gene:ENSMUSG00000054477.14 transcript:ENSMUST00000169783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnn2 description:potassium intermediate/small conductance calcium-activated channel, subfamily N, member 2 [Source:MGI Symbol;Acc:MGI:2153182] MSSCRYNGGVMRPLSNLSSSRRNLQEMDSEAQPLQPPASVVGGGGGASSPSAAAAASSSA PEIVVSKPEHNNSNNLALYGTGGGGSTGGGGGGSGHGSSSGTKSSKKKNQNIGYKLGHRR ALFEKRKRLSDYALIFGMFGIVVMVIETELSWGAYDKASLYSLALKCLISLSTIILLGLI IVYHAREIQYHDQQDVTSNFLGAMWLISITFLSIGYGDMVPNTYCGKGVCLLTGIMGAGC TALVVAVVARKLELTKAEKHVHNFMMDTQLTKRVKNAAANVLRETWLIYKNTKLVKKIDH AKVRKHQRKFLQAIHQLRSVKMEQRKLNDQANTLVDLAKTQNIMYDMISDLNERSEDFEK RIVTLETKLETLIGSIHALPGLISQTIRQQQRDFIETQMENYDKHVSYNAERSRSSSRRR RSSSTAPPTSSESS >ENSMUSP00000031489.6 pep:known chromosome:GRCm38:6:18866318:18879586:1 gene:ENSMUSG00000029517.13 transcript:ENSMUST00000031489.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd7 description:ankyrin repeat domain 7 [Source:MGI Symbol;Acc:MGI:1922446] MKKFFPFRGKRKTDDSHSHSSEVPISLAKTAPPSLSIGGGYHLRDKHLKKLHKAATIGNE QKLKDYLERKKYNVNGRDKRSRTPLHLACANGYTNIVSLLIENQCKINVQDSENRTPLIK AVECQQESCATVLLLHGADPNLVDVYSNTALHYAVCGQNISLANKLLQYKANLEAKNKDG HTPLLLAVAENNENMVKFLLKKGADVNASDKNHRTAIMIALIVEPTSSVKLLLQQDTDLA HKDIYGFTAEEYASFNGFTMYHHITANNENKKKTEQTAY >ENSMUSP00000111054.2 pep:known chromosome:GRCm38:6:18866350:18879582:1 gene:ENSMUSG00000029517.13 transcript:ENSMUST00000115396.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd7 description:ankyrin repeat domain 7 [Source:MGI Symbol;Acc:MGI:1922446] MKKFFPFRGKRKTDDSHSHSSEVPISLAKTAPPSLSIGGGYHLRDKHLKKLHKAATIGNE QKLKDYLERKKYNVNGRDKRSRTPLHLACANGYTNIVSLLIENQCKINVQDSENRTPLIK QAVECQQESCATVLLLHGADPNLVDVYSNTALHYAVCGQNISLANKLLQYKANLEAKNKD GHTPLLLAVAENNENMVKFLLKKGADVNASDKNHRTAIMIALIVEPTSSVKLLLQQDTDL AHKDIYGFTAEEYASFNGFTMYHHITANNENKKKTEQTAY >ENSMUSP00000019512.7 pep:known chromosome:GRCm38:11:4031783:4048024:1 gene:ENSMUSG00000019368.13 transcript:ENSMUST00000019512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec14l4 description:SEC14-like lipid binding 4 [Source:MGI Symbol;Acc:MGI:2144095] MRGQVGDLSPQQQEALARFRETLQDLLPTLPKADDYFLLRWLRARNFDLKKSEDMLRKHV EFRNQQNLDQILTWQAPEVIQLYDSGGLSGYDYEGCPVWFDIIGTMDPKGLFMSASKQDM IRKRIKVCEMLLHECELQSQKLGRKIERMVMVFDMEGLSLRHLWKPAVEVYQQFFAILEA NYPETVKNLIIIRAPKLFPVAFNLVKSFMGEETQKKIVILGGNWKQELVKFVSPDQLPVE FGGTMTDPDGNPKCLTKINYGGEVPKRYYLSNQERPQYEHSVVVGRGSSHQVENEILFPG CVLRWQFASDGGDIGFGVFLKTRMGERQKAGEMVEVLPSQRYNAHMVPEDGSLNCLKAGV YVLRFDNTYSLLHTKKVGYTAEVLLPDKACEEKLQGLGSVSPP >ENSMUSP00000099386.3 pep:known chromosome:GRCm38:2:164715305:164718068:1 gene:ENSMUSG00000074593.11 transcript:ENSMUST00000103097.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spint5 description:serine protease inhibitor, Kunitz type 5 [Source:MGI Symbol;Acc:MGI:3651687] MAGPGIRLALWLLTFAMSLSMLSSSLLYPAGVRSQLCESGHLGAKRIICNQPVKKGFCSF TFYRYYFNPESALCESFIFTGCGGNRNNFKTKYLCEVRCIHVEKD >ENSMUSP00000135911.1 pep:known chromosome:GRCm38:2:164715305:164718068:1 gene:ENSMUSG00000074593.11 transcript:ENSMUST00000180193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spint5 description:serine protease inhibitor, Kunitz type 5 [Source:MGI Symbol;Acc:MGI:3651687] MAGPGIRLALWLLTFAMSLSMLSSSLLYPAGVRSQLCESGHLGAKTVICNQPVKKGFCSF TFYRYYFNPESALCESFIFTGCGGNRNNFKTKYLCEVRCIHVEKD >ENSMUSP00000053908.7 pep:known chromosome:GRCm38:12:91638542:91786436:-1 gene:ENSMUSG00000020961.14 transcript:ENSMUST00000052969.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ston2 description:stonin 2 [Source:MGI Symbol;Acc:MGI:1918272] MTTLDHVIATHQSEWVSFSEEPLFPTPLEGGTEEHFPGLSSSSERSESSSGENHVVDEGS QDLSHSEQDDSSEKMGLISEAASPPGSPVQPTPDLASAISNWVQFEDDTPWSSTSPPHKE TALTLTMPCWTCPSFDSLRRCPLTSESSWTTHSEDTSSPSVAPSYTDLQLINTEEQASGR ASGTDSTDNSSSLQEDEEVEMEAISWWAGSPAMNGHPAAPPVTTARFPSWVTFEDNEVGC PSPPVPSPKKPNTPSAATAAPDVPFNSTGSFKRDRPKSTLMNLPKVQKLDISSLNRPPSV IEAPPWRATNPFLNETLQDVQPSPINPFSAFFEEQERRSQNSSVSSTTGKSQRDSLIVVY QDAISFDDSGKSQPHPDAIEKLKQLQIDDPDPVGNTALPDDDPTASVELDAPSPASALSQ PRDGWPMMLRIPEKKNIMSSRHWGPIYIKLTASGYLQLYYEQGLEKPFREFKLEICHEVS EPRLQNYDENGRIHSLRIDRVTYKEKKKYQPKPAVAHAAEREQVIKLGTTNYDDFRSFIH AVQDRLMDLPVLSMDLSTVGLNYLEEEITVDVRDEFSGTVGKGDNQILQHHVLTRIHILS FLSGLAECRLGLNDILIKGNEIVSRQDIMPTTTTKWIKLHECRFHGCVDEDVFNSSRVIL FNPLDACRFELMRFRTVFAEKTLPFTLRTAASINGAEVEVQSWLRMSPGFSSNRDPLTQV PCENVMVRYPVPSEWVKNFRRDSVLGEKSLKAKVNRGASFGSAGASGSEPVMRVTLGTAK YEHAFNSIVWRINRLPDKNSASGHPHCFFCHLELGSDREVPSRFANYVNVEFSMPTTSAS KAAVRSVSVEDKPDVRKWVNYSAHYSYKVEIEQKKSLKPDFEGEDLENPKECGVQ >ENSMUSP00000131098.1 pep:known chromosome:GRCm38:12:91641543:91743855:-1 gene:ENSMUSG00000020961.14 transcript:ENSMUST00000164713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ston2 description:stonin 2 [Source:MGI Symbol;Acc:MGI:1918272] MTTLDHVIATHQSEWVSFSEEPLFPTPLEGGTEEHFPGLSSSSERSESSSGENHVVDEGS QDLSHSEQDDSSEKMGLISEAASPPGSPVQPTPDLASAISNWVQFEDDTPWSSTSPPHKE TALTLTMPCWTCPSFDSLRRCPLTSESSWTTHSEDTSSPSVAPSYTDLQLINTEEQASGR ASGTDSTDNSSSLQEDEEVEMEAISWWAGSPAMNGHPAAPPVTTARFPSWVTFEDNEVGC PSPPVPSPKKPNTPSAATAAPDVPFNSTGSFKRDRPKSTLMNLPKVQKLDISSLNRPPSV IEAPPWRATNPFLNETLQDVQPSPINPFSAFFEEQERRSQNSSVSSTTGKSQRDSLIVVY QDAISFDDSGKSQPHPDAIEKLKQLQIDDPDPVGNTALPDDDPTASVELDAPSPASALSQ PRDGWPMMLRIPEKKNIMSSRHWGPIYIKLTASGYLQLYYEQGLEKPFREFKLEICHEVS EPRLQNYDENGRIHSLRIDRVTYKEKKKYQPKPAVAHAAEREQVIKLGTTNYDDFRSFIH AVQDRLMDLPVLSMDLSTVGLNYLEEEITVDVRDEFSGTVGKGDNQILQHHVLTRIHILS FLSGLAECRLGLNDILIKGNEIVSRQDIMPTTTTKWIKLHECRFHGCVDEDVFNSSRVIL FNPLDACRFELMRFRTVFAEKTLPFTLRTAASINGAEVEVQSWLRMSPGFSSNRDPLTQV PCENVMVRYPVPSEWVKNFRRDSVLGEKSLKAKVNRGASFGSAGASGSEPVMRVTLGTAK YEHAFNSIVWRINRLPDKNSASGHPHCFFCHLELGSDREVPSRFANYVNVEFSMPTTSAS KAAVRSVSVEDKPDVRKWVNYSAHYSYKVAWRGLPAEVSKPICLPRPSPHSFQQMLLI >ENSMUSP00000126429.1 pep:known chromosome:GRCm38:12:91714082:91779137:-1 gene:ENSMUSG00000020961.14 transcript:ENSMUST00000170077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ston2 description:stonin 2 [Source:MGI Symbol;Acc:MGI:1918272] MTTLDHVIATHQSEWVSFSEEPLFPTPLEGGTEEHFPGLSSSSERSESSSGENHVVDEGS QDLSHSEQDDSSEKMGLISEAASPPGSPVQPTPDLASAISNWVQFEDDTPWSSTSPPHKE TALTLTMPCWTCPSF >ENSMUSP00000128561.1 pep:known chromosome:GRCm38:12:91743618:91746084:-1 gene:ENSMUSG00000020961.14 transcript:ENSMUST00000166967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ston2 description:stonin 2 [Source:MGI Symbol;Acc:MGI:1918272] MTTLDHVIATHQSEWVSFSEEPLFP >ENSMUSP00000056739.5 pep:known chromosome:GRCm38:2:31152316:31218775:1 gene:ENSMUSG00000000194.13 transcript:ENSMUST00000056433.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr107 description:G protein-coupled receptor 107 [Source:MGI Symbol;Acc:MGI:2139054] MAVPVPLGRFGSFCLRLLRLLALLELLVHPVLGRVHHLALKDDVRHKVHLNTFGFFKDGY MVVNVSSLSVNEPEGATDKDAEIGFSLDRTKNDGFSSYLDEDVNYCILKKKSMSSVTLVI LDISGSIVKVRSPPEAGKQLPEIVFSKDEKILSQSQEPAVSSNPKDSEARRTLDGFKAGR STVDSKAITERSFSIHKNDGVVSFQFFFNISTDDQEGLYSLYFHKCSGNNVKPGEQASFS LNIAITEKNPNSYLSAGEIPLPKLYVSMALFFFLSGTIWIHILRKRRNDVFKIHWLMAAL PFTKSLSLVFHAIDYHYISSQGFPIEGWAVVYYITHLLKGALLFITIALIGTGWAFIKHI LSDKDKKIFMIVIPLQVLANVAYIIIESTEEGTTEYGLWKDSLFLVDLLCCGAILFPVVW SIRHLQEASATDGKAAINLAKLRLFRHYYVLIVCYIYFTRIIAFLLKFAVPFQWKWLYQL LDETATLVFFVLTGYKFRPASDNPYLQLSQEDDDLEMESVVTTSGVMENMKKVKKVSNGA VEPQGSWEGTA >ENSMUSP00000045063.7 pep:known chromosome:GRCm38:9:108302958:108305990:-1 gene:ENSMUSG00000039461.12 transcript:ENSMUST00000044725.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcta description:T cell leukemia translocation altered gene [Source:MGI Symbol;Acc:MGI:1918829] MAEPWAGQFLQALPATVLGALGTLGSDFLREWETQDMRVTLFKLLLLWLVLSLLGIQLAW GFYGNTVTGLYHRPDPHPQPPAAMGVFLPPGLGGQNGSTPDGSTHFSSWEIAANEALKTH RE >ENSMUSP00000142002.1 pep:known chromosome:GRCm38:9:108303654:108306160:-1 gene:ENSMUSG00000039461.12 transcript:ENSMUST00000192886.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcta description:T cell leukemia translocation altered gene [Source:MGI Symbol;Acc:MGI:1918829] MAEPWAGQFLQALPATVLGALGTLGSDFLREWETQDMRVTLFKLLLLWLVLSLLGIQLAW GFYGNTVTGLYHRPGLGGQNGSTPDGSTHFSSWEIAANEALKTHRE >ENSMUSP00000141592.1 pep:known chromosome:GRCm38:9:108303890:108305948:-1 gene:ENSMUSG00000039461.12 transcript:ENSMUST00000195615.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcta description:T cell leukemia translocation altered gene [Source:MGI Symbol;Acc:MGI:1918829] MAEPWAGQFLQALPATVLGALGTLGSDFLREWETQDMRVTLFKLLLLWLVLSLLGIQLAW GFYGNTVTGLYHRPGLGGQNGSTPDGSTHFSSWQQQKGLQRYRWVRWLLCYLLLLSRPLG QLQRAWGWGTGVP >ENSMUSP00000034986.7 pep:known chromosome:GRCm38:9:86307306:86464950:-1 gene:ENSMUSG00000032415.14 transcript:ENSMUST00000034986.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2cbp description:ubiquitin-conjugating enzyme E2C binding protein [Source:MGI Symbol;Acc:MGI:1917598] MAVAAAETRVFLEVRRRLQSALLILGGPDEGGMHLDISITPTSLLVRTPDGCTEIRLPAG VRLVPSSCGGLQYISGDGLHLRLRVQAESSPQPISVFNQSLQAQECCTFYCQSCGEVTIK DRKLLRVLPLPSENWSALVGEWCCHPDPFANRPLHPRENDCFIGDSFFLVNLKSDLEQEP KANTKVICKRCKVTLGETMSSETTKFYMTEVIIRPSEGSFPNIPRSQFLQSIIAQCLVEL SSARSTFRFTIQGQDGKVYILLWVLNSDSLVIEPLRSSSCSRKFPLLESSLEAGSGSAWN AIKVLYQPCIKSRNKELASSWEGDISVHPLTLPSATCLELLLILSRNNASLPLSLRQMNS FQVAFLKM >ENSMUSP00000113549.2 pep:known chromosome:GRCm38:9:86307742:86464950:-1 gene:ENSMUSG00000032415.14 transcript:ENSMUST00000121189.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube2cbp description:ubiquitin-conjugating enzyme E2C binding protein [Source:MGI Symbol;Acc:MGI:1917598] MAVAAAETRVFLEVRRRLQSALLILGGPDEGGMHLDISITPTSLLVRTPDGCTEIRLPAG VRLVPSSCGGLQYISGDGLHLRLRVQAESSPRNSSGCSPCPVRTGALWSESGAAIPTPLL IGLFIREKMTVLLGTLSS >ENSMUSP00000092659.4 pep:known chromosome:GRCm38:4:61435790:61439743:-1 gene:ENSMUSG00000096674.1 transcript:ENSMUST00000095049.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup15 description:major urinary protein 15 [Source:MGI Symbol;Acc:MGI:3780235] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVQKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEKHGILRENIIDLSNANRCLQARE >ENSMUSP00000042602.5 pep:known chromosome:GRCm38:14:50924968:50927139:1 gene:ENSMUSG00000035960.13 transcript:ENSMUST00000049411.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex1 description:apurinic/apyrimidinic endonuclease 1 [Source:MGI Symbol;Acc:MGI:88042] MPKRGKKAAADDGEEPKSEPETKKSKGAAKKTEKEAAGEGPVLYEDPPDQKTSPSGKSAT LKICSWNVDGLRAWIKKKGLDWVKEEAPDILCLQETKCSENKLPAELQELPGLTHQYWSA PSDKEGYSGVGLLSRQCPLKVSYGIGEEEHDQEGRVIVAEFESFVLVTAYVPNAGRGLVR LEYRQRWDEAFRKFLKDLASRKPLVLCGDLNVAHEEIDLRNPKGNKKNAGFTPQERQGFG ELLQAVPLADSFRHLYPNTAYAYTFWTYMMNARSKNVGWRLDYFLLSHSLLPALCDSKIR SKALGSDHCPITLYLAL >ENSMUSP00000123148.1 pep:known chromosome:GRCm38:14:50924969:50926551:1 gene:ENSMUSG00000035960.13 transcript:ENSMUST00000136753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex1 description:apurinic/apyrimidinic endonuclease 1 [Source:MGI Symbol;Acc:MGI:88042] MPKRGKKAAADDGEEPKSEPETKKSKGAAKKTEKEAAGEGPVLYEDPPDQKTSPSGKSAT LKICSWNVDGLRAWIKKKGLDWVKEEAPDILCLQETKCSENKLPAELQELPGLTHQYWSA PSDKEGYSGVGLLSRQCPLKVSYGIGEEEHDQEGRVIVAEFESFVLVTAYVPNAGRG >ENSMUSP00000122343.1 pep:known chromosome:GRCm38:14:50924978:50925608:1 gene:ENSMUSG00000035960.13 transcript:ENSMUST00000154288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex1 description:apurinic/apyrimidinic endonuclease 1 [Source:MGI Symbol;Acc:MGI:88042] MPKRGKKAAADDGEEPKSEPETKKSKGAAKKTEKEAAGEGPVLYEDPPD >ENSMUSP00000116319.1 pep:known chromosome:GRCm38:14:50925285:50927139:1 gene:ENSMUSG00000035960.13 transcript:ENSMUST00000128395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apex1 description:apurinic/apyrimidinic endonuclease 1 [Source:MGI Symbol;Acc:MGI:88042] KKAAADDGEEPKSEPETKKSKGAAKKTEKEAAGEGPVLYEDPPDQKTSPSGKSATLKICS WNVDGLRAWIKKKGLDWVKEEAPDILCLQETKCSENKLPAELQELPGLTHQYWSAPSDKE GYSGVGLLSRQCPLKVSYGIAYVPNAGRGLVRLEYRQRWDEAFRKFLKDLASRKPLVLCG DLNVAHEEIDLRNPKGNKKNAGFTPQERQGFGELLQAVPLADSFRHLYPNTAYAYTFWTY MMNARSKNVGWRLDYFLLSHSLLPALCDSKIRSKALGSDHCPITLYLAL >ENSMUSP00000142910.1 pep:known chromosome:GRCm38:3:36007244:36053547:-1 gene:ENSMUSG00000047696.16 transcript:ENSMUST00000200469.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc144b description:coiled-coil domain containing 144B [Source:MGI Symbol;Acc:MGI:2681852] MEDVGDLTQSSETASEYGRPPCPNYEAILRLIERLSLECKDSGSLLKIQNAIHSYKRLIQ LKTGHCKVLLEKLQTVENEVNGLRKKLADAAREQLQQGQELCNVRFTLKQEEEKRKNVVW VYDKMKEQLREKEDQYNKEVKMKQKLEIRVRELDMDLKTVRHNLNTVLEERNCIERQLFQ EQNTRIIQDEILANHLHKQKELKMAQEKISSQLQEAPDQHTQCTEKMRDCIQKLGLENHK LKETARKQAEKIEQLKKNLLSGVLSDDLTEKLESASAKYLHLYEQNQLLRRELLSMRTIQ RKCEKLKKHKKKLEQEVVSLRSHMKRNMIECGQIEQYKWEIEEKTKQELVGKLKQVNLFL QTQAAYEDKLEKLRQKQNASVRTQMELRIKDLESEFSKMKSQVDCNQIEMENYKQLYLAE VKIRKSLSNKLSKTDERLAEVKTKLLLERKQYRASQYSMVDTRPALEPTCPRDSNKFFIP RSFSGEIMLPKSDLWHSIETMENCKTKASDQLCICLSSLH >ENSMUSP00000142406.1 pep:known chromosome:GRCm38:3:36015245:36053532:-1 gene:ENSMUSG00000047696.16 transcript:ENSMUST00000196964.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc144b description:coiled-coil domain containing 144B [Source:MGI Symbol;Acc:MGI:2681852] MEDVGDLTQSSETASEYGRPPCPNYEAILRLIERLSLECKDSGSLLKIQNAIHSYKRLIQ LKTGHCKVLLEKLQTVENEVNGLRKKLADAAREQLQQGQELCNVRFTLKQEEEKRKNVVW VYDKMKEQLREKEDQYNKEVKMKQKLEIRVRELDMDLKTVRHNLNTVLEERNCIERQLFQ EQNTRIIQDEILANHLHKQKELKMAQEKISSQLQEAPDQHTQCTEKMRDCIQKYTAACK >ENSMUSP00000132995.2 pep:known chromosome:GRCm38:3:36010660:36053532:-1 gene:ENSMUSG00000047696.16 transcript:ENSMUST00000166644.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc144b description:coiled-coil domain containing 144B [Source:MGI Symbol;Acc:MGI:2681852] MEDVGDLTQSSETASEYGRPPCPNYEAILRLIERLSLECKDSGSLLKIQNAIHSYKRLIQ LKTGHCKVLLEKLQTVENEVNGLRKKLADAAREQLQQGQELCNVRFTLKQEEEKRKNVVW VYDKMKEQLREKEDQYNKEVKMKQKLEIRVRELDMDLKTVRHNLNTVLEERNCIERQLFQ EQNTRIIQDEILANHLHKQKELKMAQEKISSQLQEAPDQHTQCTEKMRDCIQKLGLENHK LKETARKQAEKIEQLKKNLLSGVLSDDLTEKLESASAKYLHLYEQNQLLRRELLSMRTIQ RKCEKLKKHKKKLEQEVVSLRSHMKRNMIECGQIEQYKWEIEEKTKQELVGKLKQVNLFL QTQAAYEDKLEKLRQKQNASVRTQMELRIKDLESEFSKMKSQVDCNQIEMENYKQLYLAE VKIRKSLSNKLSKTDERLAEVKTKLLLERKQYRASQYSMVDTRPALEPTCPRDSNKFFIP RSFSGEIMLPKSDLWHSIETMENCKTKASDQLCICLSSLH >ENSMUSP00000069624.8 pep:known chromosome:GRCm38:5:115506676:115555987:1 gene:ENSMUSG00000029528.17 transcript:ENSMUST00000067268.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxn description:paxillin [Source:MGI Symbol;Acc:MGI:108295] MDDLDALLADLESTTSHISKRPVFLSEEPPYSYPTGNHTYQEIAVPPPVPPPPSSEALNG TVLDPLDQWQPSGSRYAHQQPPSPLPVYSSSAKNSSASNTQDGVGSLCSRAGEEEHVYSF PNKQKSAEPSPTVMSSSLGSNLSELDRLLLELNAVQHSPPGFPADEAESSPPLPGALSPL YGIPENNTPLGGKAGPLVKEKPKRNGGRGLEDVRPSVESLLDELESSVPSPVPAITVNQG EMSSPQRVTSSQQQTRISASSATRELDELMASLSDFKFMAQGKTGSSSPPGGLSKPGSQL DSMLGSLQSDLNKLGVATVAKGVCGACKKPIAGQVVTAMGKTWHPEHFVCTHCQEEIGSR NFFERDGQPYCEKDYHSLFSPRCYYCNGPILDKVVTALDRTWHPEHFFCAQCGAFFGPEG FHEKDGKAYCRKDYFDMFAPKCGGCARAILENYISALNTLWHPECFVCRECFTPFVNGSF FEHDGQPYCEVHYHERRGSLCSGCQKPITGRCITAMAKKFHPEHFVCAFCLKQLNKGTFK EQNDKPYCQSCFVKLFC >ENSMUSP00000083709.3 pep:known chromosome:GRCm38:5:115506808:115554978:1 gene:ENSMUSG00000029528.17 transcript:ENSMUST00000086523.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxn description:paxillin [Source:MGI Symbol;Acc:MGI:108295] MDDLDALLADLESTTSHISKRPVFLSEEPPYSYPTGNHTYQEIAVPPPVPPPPSSEALNG TVLDPLDQWQPSGSRYAHQQPPSPLPVYSSSAKNSSASNTQDGVGSLCSRAGEEEHVYSF PNKQKSAEPSPTVMSSSLGSNLSELDRLLLELNAVQHSPPGFPADEAESSPPLPGALSPL YGIPENNTPLGGKAGPLVKEKPKRNGGRGLEDVRPSVESLLDELESSVPSPVPAITVNQG EMSSPQRVTSSQQQTRISASSATRELDELMASLSDFKMQGLEQRVDGERPWAASWPPSSR QSSPEGQDEGGFMAQGKTGSSSPPGGLSKPGSQLDSMLGSLQSDLNKLGVATVAKGVCGA CKKPIAGQVVTAMGKTWHPEHFVCTHCQEEIGSRNFFERDGQPYCEKDYHSLFSPRCYYC NGPILDKVVTALDRTWHPEHFFCAQCGAFFGPEGFHEKDGKAYCRKDYFDMFAPKCGGCA RAILENYISALNTLWHPECFVCRECFTPFVNGSFFEHDGQPYCEVHYHERRGSLCSGCQK PITGRCITAMAKKFHPEHFVCAFCLKQLNKGTFKEQNDKPYCQSCFVKLFC >ENSMUSP00000144513.1 pep:known chromosome:GRCm38:5:115542914:115546880:1 gene:ENSMUSG00000029528.17 transcript:ENSMUST00000137716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxn description:paxillin [Source:MGI Symbol;Acc:MGI:108295] MSSSLGSNLSELDRLLLELNAVQHSPPGFPADEAESSPPLPGALSPLYGIPENNTPLGGK AGPLVKEKPKRNGGRGLEDVRPSVESLLDELESSVPSPVPAITVNQGEMSSPQRVTSSQQ Q >ENSMUSP00000143926.1 pep:known chromosome:GRCm38:5:115542950:115546835:1 gene:ENSMUSG00000029528.17 transcript:ENSMUST00000157050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxn description:paxillin [Source:MGI Symbol;Acc:MGI:108295] MSSSLGSNLSELDRLLLELNAVQHSPPGFPADEAESSPPLPGALSPLYGIPENNTPLGGK AGPLVKEKPKRNGGRGLEDVRPSVESLLDELESSVPSPVPAITVNQ >ENSMUSP00000144459.1 pep:known chromosome:GRCm38:5:115544085:115554501:1 gene:ENSMUSG00000029528.17 transcript:ENSMUST00000202564.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxn description:paxillin [Source:MGI Symbol;Acc:MGI:108295] MSSSLGSNLSELDRLLLELNAVQHSPPGFPADEAESSPPLPGALSPLYGIPENNTPLGGK AGPLVKEKPKRNGGRGLEDVRPSVESLLDELESSVPSPVPAITVNQGEMSSPQRVTSSQQ QTRISASSATRELDELMASLSDFKFMAQGKTGSSSPPGGLSKPGSQLDSMLGSLQSDLNK LGVATVAKGVCGACKKPIAGQVVTAMGKTWHPEHFVCTHCQEEIGSRNFFERDGQPYCEK DYHSLFSPRCYYCNGPILDKVVTALDRTWHPEHFFCAQCGAFFGPEGFHEKDGKAYCRKD YFDMFAPKCGGCARAILENYISALNTLWHPECFVCRECFTPFVNGSFFEHDGQPYCEVHY HERRGSLCSGCQKPITGRCITAMAKKFHPEHFVCAFCLKQLNKGTFKEQNDKPYCQSCFV KLFC >ENSMUSP00000103108.1 pep:known chromosome:GRCm38:4:61591929:61595871:-1 gene:ENSMUSG00000096688.1 transcript:ENSMUST00000107484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup17 description:major urinary protein 17 [Source:MGI Symbol;Acc:MGI:3705217] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEEHGNFRLFL EQIHVLENSLVLKVHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000044248.6 pep:known chromosome:GRCm38:11:75513540:75526582:1 gene:ENSMUSG00000038188.17 transcript:ENSMUST00000042808.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scarf1 description:scavenger receptor class F, member 1 [Source:MGI Symbol;Acc:MGI:2449455] MLAAMGLELVFSLLLLWTQGTQGSTLDPAGQHVCKGSSPSELQCCPGWRQKDQECTIPIC EGPDACRKEEVCVKPGLCRCKPGFFGAQCSSRCPGQYWGHDCRETCPCHPRGQCEPATGD CQCQPNYWGRLCEFPCTCGPHGQCDPKTGLCHCDPGWWSPTCRRPCQCNPASRCDQATGT CVCPPGWWGRRCSFSCNCHTSPCMQDSGRCVCLPGWWGPECSRKCQCVRGQCSVTSGHCS CPPGFHGIRCELPCNPGHYGAQCKESCGHCELNATCSPVTGNCESCKPGWNGTQCKQPCP AGTFGERCTGQCPRCRLGEPCQAETGHCQHCDPGWLGHRCENPCPLGTFGKGCSSTCPAC AQGTCDAVTGECVCSAGYWGTSCNSSCPAGFHGNNCSMPCQCPEGLCHPVSGTCQLGRHG KNALIVGILVPLLLLLMGIVCCAYCCSGTRLDPKDRPERNGAAFFRMKQQVWGALTNLGS ALPCGSLSNYKLPWVTVSHHDPEVPFNHSFIEPPSAGWASDDSFSSDPDSGEEDEAHAYF VPPREEMVPMAQEESPEASLPGGSFPPPEDASTPFPIPRTSSLARAKRPSVSFAEGTKFA PQNGRSSGDLSSPIRKPKRLSRGAQPRPEGQEAEESTGPEQVNTEEDAPTATSSGDPATS HGQLPPGSQMVAECAETTDGGIQESSGSVATIYMLAGTPQKPEGPVWSVFRRLGNYQKDQ MDPKVKSAIPKPLRRSLGRNQASAGSAPGAVLSQAMESTAVRPEETPRGLGDGIESSGTV QEPDAGGSSLEQDSQKQAEEKEQEEPLYENVVPMSVPPQH >ENSMUSP00000114090.1 pep:known chromosome:GRCm38:11:75513541:75526582:1 gene:ENSMUSG00000038188.17 transcript:ENSMUST00000118243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scarf1 description:scavenger receptor class F, member 1 [Source:MGI Symbol;Acc:MGI:2449455] MLAAMGLELVFSLLLLWTQGTQGSTLDPAGQHVCKGSSPSELQCCPGWRQKDQECTIPIC EGPDACRKEEVCVKPGLCRCKPGFFGAQCSSRCPGQYWGHDCRETCPCHPRGQCEPATGD CQCQPNYWGRLCEFPCTCGPHGQCDPKTGLCHCDPGWWSPTCRRPCQCNPASRCDQATGT CVCPPGWWGRRCSFSCNCHTSPCMQDSGRCVCLPGWWGPECSRKCQCVRGQCSVTSGHCS CPPGFHGIRCELPCNPGHYGAQCKESCGHCELNATCSPVTGNCESCKPGWNGTQCKQPCP AGTFGERCTGQCPRCRLGEPCQAETGHCQHCDPGWLGHRCENPCPLGTFGKGCSSTCPAC AQGTCDAVTGECVCSAGYWGTSCNSSCPAGFHGNNCSMPCQCPEGLCHPVSGTCQLGRHG KNALIVGILVPLLLLLMGIVCCAYCCSGTRLDPKDRDGPYGPRRVTRSQPSWRLLPSP >ENSMUSP00000120097.1 pep:known chromosome:GRCm38:11:75513575:75526578:1 gene:ENSMUSG00000038188.17 transcript:ENSMUST00000123819.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scarf1 description:scavenger receptor class F, member 1 [Source:MGI Symbol;Acc:MGI:2449455] MLAAMGLELVFSLLLLWTQGTQGSTLDPAGQHVCKGSSHL >ENSMUSP00000007959.8 pep:known chromosome:GRCm38:9:108306129:108337934:1 gene:ENSMUSG00000007815.13 transcript:ENSMUST00000007959.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoa description:ras homolog gene family, member A [Source:MGI Symbol;Acc:MGI:1096342] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYVADIEVDGKQVELALWDT AGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKD LRNDEHTRRELAKMKQEPVKPEEGRDMANRIGAFGYMECSAKTKDGVREVFEMATRAALQ ARRGKKKSGCLIL >ENSMUSP00000141967.1 pep:known chromosome:GRCm38:9:108306797:108336734:1 gene:ENSMUSG00000007815.13 transcript:ENSMUST00000194701.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoa description:ras homolog gene family, member A [Source:MGI Symbol;Acc:MGI:1096342] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYVADIEVDGKQVELALWDT AGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKD LRNDEHTRRELAKMKQEPVKPEEGRDMANRIGAFGYMECSAKTKD >ENSMUSP00000141572.1 pep:known chromosome:GRCm38:9:108306829:108330916:1 gene:ENSMUSG00000007815.13 transcript:ENSMUST00000193490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoa description:ras homolog gene family, member A [Source:MGI Symbol;Acc:MGI:1096342] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYVADIEVDGKQVELALWDT AGQEDYD >ENSMUSP00000033992.8 pep:known chromosome:GRCm38:8:33653238:33698163:1 gene:ENSMUSG00000031584.16 transcript:ENSMUST00000033992.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsr description:glutathione reductase [Source:MGI Symbol;Acc:MGI:95804] MALLPRALGVGAAPSLRRAARALTCAMASPGEPQPPAPDTSSFDYLVIGGGSGGLASARR AAELGARAAVVESHKLGGTCVNVGCVPKKVMWNTAVHSEFMHDHVDYGFQSCEGKFSWHV IKQKRDAYVSRLNTIYQNNLTKSHIEIIHGYATFADGPRPTVEVNGKKFTAPHILIATGG VPTVPHESQIPGASLGITSDGFFQLEDLPSRSVIVGAGYIAVEIAGILSALGSKTSLMIR HDKVLRNFDSLISSNCTEELENAGVEVLKFTQVKEVKKTSSGLELQVVTSVPGRKPTTTM IPDVDCLLWAIGRDPNSKGLNLNKVGIQTDEKGHILVDEFQNTNVKGVYAVGDVCGKALL TPVAIAAGRKLAHRLFECKQDSKLDYDNIPTVVFSHPPIGTVGLTEDEAVHKYGKDNVKI YSTAFTPMYHAVTTRKTKCVMKMVCANKEEKVVGIHMQGIGCDEMLQGFAVAVKMGATKA DFDNTVAIHPTSSEELVTLR >ENSMUSP00000025981.8 pep:known chromosome:GRCm38:19:40596446:40612233:-1 gene:ENSMUSG00000025008.15 transcript:ENSMUST00000025981.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctn3 description:tectonic family member 3 [Source:MGI Symbol;Acc:MGI:1914840] MCTLQLHLLLLVVLMLSETARPQPSSTARAFPTSWGLEPVTPEVPTSAPPDSSESPTPWT LSMPVNATTDPFPALPICVCDLTPGTCDLNCCCDKDCDLLHPRTVFSFCLPGSVRSSSWV CVDNSLMFRSNSPFPSRVFTDSSGTTQFCVRVNNSKANYFQKLQTVNATNFQALAAEFGG QSFPSMPPETQPPVLFYRAGDPILTYYPSWSVVSLLRQPAAVGAGGLCAESNPAGFLESK STTCPRFFRDLASSCTSEPALDAASYYNFRVLKVPRGVTDLQNMKFQVPVTLASQASPPL LAGNTCQNIVSQVVYEIETNGTFGIQKVSVSFRQTNLTVKPGVSLQQDFIVHFRAFQQRK AAAPAAPRSGNPGYLTGKPLLVLTGDTSHSMTLLQSEGNGLCSAKRHAVQFGVNAVSGCQ LRPREVNCSHLQEEVYQTLHGRPRPEHVAVFGNADPAQRGGWTRILSRDCSVSAVNCTSC CLIPVSLEIQVLWAHLGLQSNPQAHVAGARFLYQCKSVQEHQRGIEVSLTTLVNFVDITQ KPEPPRDQPRIDWKLPFDFFFPFKAALSRGASVQKDSLVLILCVLLLGLLNSQTK >ENSMUSP00000123461.1 pep:known chromosome:GRCm38:19:40605098:40612203:-1 gene:ENSMUSG00000025008.15 transcript:ENSMUST00000135795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctn3 description:tectonic family member 3 [Source:MGI Symbol;Acc:MGI:1914840] MCTLQLHLLLLVVLMLSETARPQPSSTARAFPTSWGLEPVTPEVPTSAPPDSSESPTPWT LSMPVNATTDPFPALPICVCDLTPGTCDLNCCCDKDCDLLHPRTVFSFCLPGSVRSSSWV CVDNSLMFRSNSPFPSRVFTDSSGTTQFCVRVNNSKANYFQKLQTVNATNFQALAAEFGG QSFPSMPPETQPPVLFYRAGDPILTYYPSWSVVSLLRQPAAVGAGGLCAESNPAGFLESK STTCPRFFRDLASSCTSEPALDAASYYNFRVLKVPRGVTDLQNMKFQVPVTLASQASPPL LAGNTCQNIVSQVVYEIETNGTFGIQKVSVSFRQTNLTVKPGVSLQQDFIVHFRAFQQRK AAAPAAPRSGNPGYLTGKPLLVLTGDTSHSMTLLQSEGNGLCSAKRHAVQFGVNAVSGCQ LRPREVNCSHLQEEVYQTLHGRPRPEHVAVFGNADPAQRGGWTRILSRDCSVSVRKEATT AWWLVFHLCNYA >ENSMUSP00000121760.1 pep:known chromosome:GRCm38:19:40607125:40612200:-1 gene:ENSMUSG00000025008.15 transcript:ENSMUST00000132452.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctn3 description:tectonic family member 3 [Source:MGI Symbol;Acc:MGI:1914840] MCTLQLHLLLLVVLMLSETARPQPSSTARAFPTSWGLEPVTPEVPTSAPPDSSESPTPWT LSMPVNATTDPFPALPICVCDLTPGTCDLNCCCDKDCDLLHPRTVFSFCLPGSVRSSSWV CVDNSLMFRSNSPFPSRVFTDSSGTTQFCVRVNNSKANYFQKLQTVNATNFQALAAEFGG QSFPSMPPETQPPVLFYRAGDPILTYYPSWSVVSLLRQPAAVGAGGLCAESNPAGFLESK STTCPRFFRDLASSCTSEPALDAASYYNFRVLKVPRGVTDLQNMKFQVPVTLASQASPPL LAGNTCQNIVSQVVYEIETNGTFGIQKVSVSFRQTNLTVKPGVSLQQDFIVHFRVSSLPS ERVQRLSPRNSTLQSQYPHCLSVCLSEYLLFLL >ENSMUSP00000143494.1 pep:known chromosome:GRCm38:3:107278999:107284082:1 gene:ENSMUSG00000087260.5 transcript:ENSMUST00000199317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamtor5 description:late endosomal/lysosomal adaptor, MAPK and MTOR activator 5 [Source:MGI Symbol;Acc:MGI:1915826] MEATLEQHLEDTMKNPSIVGVLCTDSQGLNLGCRGTLSDEHAGVISVLAQQAARLTSDPT DIPVVCLESDNGNIMIQKHDGITVAVHKMAS >ENSMUSP00000129012.1 pep:known chromosome:GRCm38:3:107278858:107284079:1 gene:ENSMUSG00000087260.5 transcript:ENSMUST00000145735.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamtor5 description:late endosomal/lysosomal adaptor, MAPK and MTOR activator 5 [Source:MGI Symbol;Acc:MGI:1915826] MPFRKERGRGAALNFVPLETPSRRPPRSSHVIEVCGEGGSVLLRGPGLGRTACGMEATLE QHLEDTMKNPSIVGVLCTDSQGLNLGCRGTLSDEHAGVISVLAQQAARLTSDPTDIPVVC LESDNGNIMIQKHDGITVAVHKMAS >ENSMUSP00000126980.2 pep:known chromosome:GRCm38:2:156613705:156764363:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000169464.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MKGLGDSRPRHLSDSLDPPHEPLFAGPDRNPYLLSPTEAFAREARFPGQNTLPGDGLFPL NNQLPPPSSTFPRIHYNSHFEVPEESPFPSHAQATKINRLPANLLDQFEKQLPIHRDGFS TLQFPRGEAKARGESPGRIRHLVHSVQRLFFTKAPSMEGTAGKVGGNGSKKGGLEDGKGR RAKSKERAKAGEPKRRSRSNISGWWSSDDNLDGEGGAFRSGPASGLMTLGRQQERTQPRY FMHAYNTISGHMLKTTKNTTTELTAPPPPPAPPATCPSLGVGTDTNYVKRGSWSTLTLSH AHEVCQKTSATLDKSLLKSKSCHQGLAYHYLQVPGGGGEWSTTLLSPRDMDSTAEGPIPC RRMRSGSYIKAMGDEDSDESGGGSPKPSPKTAARRQSYLRATQQSLGEQSNPRRSLDRLD SVDMLLPSKCPSWEDDYNPISDSLNDSSCISQVFGQASLIPQLFGHDQQVREADLSDQYE AACESACSEAESTTAEALDLPLPSYFRSRSHSYLRAIQAGCSQEEDSVSLQSLSPPPSTG SLSNSRTLPSSSCLVAYKKTPPPVPPRTTSKPFISVTVQSSTESAQDTYLDSQDHKSEVT SQSGLSNSSDSLDSSTRPPSVTRGGITPGPEAPEPPPKHAALKSEQGTLTSSESHSEAIP KRKLSSIGIQVDCIQPVPKEEPSPATKFQSIGIQVEDDWRSSAPSHSMSSRRDTDSDTQD ANDSSCKSSERSLPDCTSHPNSISIDAGPRQAPKIAQIKRNLSYGDNSDPALEASSLPPP DPWLETSSSSPAEPAQPGACRRDGYWFLKLLQAETERLEGWCCQMDKETKENNLSEEVLG KVLSAVGSAQLLMSQKFQQFRGLCEQNLNPDANPRPTAQDLAGFWDLLQLSIEDISMKFD ELYHLKANSWQLVETPEKRKEEKKPPPPVPKKPAKSKAAVSRDKASDAGDKQRQEARKRL LAAKRAASVRQNSATESADSIEIYVPEAQTRL >ENSMUSP00000105196.3 pep:known chromosome:GRCm38:2:156700622:156763174:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000109568.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MKGLGDSRPRHLSDSLDPPHEPLFAGPDRNPYLLSPTEAFAREARFPGQNTLPGDGLFPL NNQLPPPSSTFPRIHYNSHFEVPEESPFPSHAQATKINRLPANLLDQFEKQLPIHRDGFS TLQFPRGEAKARGESPGRIRHLVHSVQRLFFTKAPSMEGTAGKVGGNGSKKGGLEDGKGR RAKSKERAKAGEPKRRSRSNISGWWSSDDNLDGEGGAFRSGPASGLMTLGRQQERTQPRY FMHAYNTISGHMLKTTKNTTTELTAPPPPPAPPATCPSLGVGTDTNYVKRGSWSTLTLSH AHEVCQKTSATLDKSLLKSKSCHQGLAYHYLQVPGGGGEWSTTLLSPRDMDSTAEGPIPC RRMRSGSYIKAMGDEDSDESGGGSPKPSPKTAARRQSYLRATQQSLGEQSNPRRSLDRLD SVDMLLPSKCPSWEDDYNPISDSLNDSSCISQVREADLSDQYEAACESACSEAESTTAEA LDLPLPSYFRSRSHSYLRAIQAGCSQEEDSVSLQSLSPPPSTGSLSNSRTLPSSSCLVAY KKTPPPVPPRTTSKPFISVTVQSSTESAQDTYLDSQDHKSEVTSQSGLSNSSDSLDSSTR PPSVTRGGITPGPEAPEPPPKHAALKSEQGTLTSSESHSEAIPKRKLSSIGIQVDCIQPV PKEEPSPATKFQSIGIQVEDDWRSSAPSHSMSSRRDTDSDTQDANDSSCKSSERSLPDCT SHPNSISIDAGPRQAPKIAQIKRNLSYGDNSDPALEASSLPPPDPWLETSSSSPAEPAQP GACRRDGYWFLKLLQAETERLEGWCCQMDKETKENNLSEEVLGKVLSAVGSAQLLMSQKF QQFRGLCEQNLNPDANPRPTAQDLAGFWDLLQLSIEDISMKFDELYHLKANSWQLVETPE KRKEEKKPPPPVPKKPAKSKAAVSRDKASDAGDKQRQEARKRLLAAKRAASVRQNSATES ADSIEIYVPEAQTRL >ENSMUSP00000068745.6 pep:known chromosome:GRCm38:2:156700622:156763179:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000070782.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MKGLGDSRPRHLSDSLDPPHEPLFAGPDRNPYLLSPTEAFAREARFPGQNTLPGDGLFPL NNQLPPPSSTFPRIHYNSHFEVPEESPFPSHAQATKINRLPANLLDQFEKQLPIHRDGFS TLQFPRGEAKARGESPGRIRHLVHSVQRLFFTKAPSMEGTAGKVGGNGSKKGGLEDGKGR RAKSKERAKAGEPKRRSRSNISGWWSSDDNLDGEGGAFRSGPASGLMTLGRQQERTQPRY FMHAYNTISGHMLKTTKNTTTELTAPPPPPAPPATCPSLGVGTDTNYVKRGSWSTLTLSH AHEVCQKTSATLDKSLLKSKSCHQGLAYHYLQVPGGGGEWSTTLLSPRDMDSTAEGPIPC RRMRSGSYIKAMGDEDSDESGGGSPKPSPKTAARRQSYLRATQQSLGEQSNPRRSLDRLD SVDMLLPSKCPSWEDDYNPISDSLNDSSCISQVFGQASLIPQLFGHDQQVREADLSDQYE AACESACSEAESTTAEALDLPLPSYFRSRSHSYLRAIQAGCSQEEDSVSLQSLSPPPSTG SLSNSRTLPSSSCLVAYKKTPPPVPPRTTSKPFISVTVQSSTESAQDTYLDSQDHKSEVT SQSGLSNSSDSLDSSTRPPSVTRGGITPGPEAPEPPPKHAALKSEQGTLTSSESHSEAIP KRKLSSIGIQERTRRSGSHLSEDNGPKAIDVMAPSSESSAPSHSMSSRRDTDSDTQDAND SSCKSSERSLPDCTSHPNSISIDAGPRQAPKIAQIKRNLSYGDNSDPALEASSLPPPDPW LETSSSSPAEPAQPGACRRDGYWFLKLLQAETERLEGWCCQMDKETKENNLSEEVLGKVL SAVGSAQLLMSQKFQQFRGLCEQNLNPDANPRPTAQDLAGFWDLLQLSIEDISMKFDELY HLKANSWQLVETPEKRKEEKKPPPPVPKKPAKSKAAVSRDKASDAGDKQRQEARKRLLAA KRAASVRQNSATESADSIEIYVPEAQTRL >ENSMUSP00000135698.1 pep:known chromosome:GRCm38:2:156720572:156749091:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000137356.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MSSRRDTDSDTQDANDSSCKSSERSLPDCTSHPNSISIDAGP >ENSMUSP00000134941.1 pep:known chromosome:GRCm38:2:156721031:156762725:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000131157.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MDKETKENNLSEEVLGKVLSAVGSAQLLMSQKFQQFRGLCEQNLNPDANPRPTAQDLAGF WDLLQLSIEDISMKFDELYHLKANSWQLVETPEKRKEEKKPPPPVPKKPA >ENSMUSP00000105194.2 pep:known chromosome:GRCm38:2:156721045:156763124:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000109566.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MSSRRDTDSDTQDANDSSCKSSERSLPDCTSHPNSISIDAGPRQAPKIAQIKRNLSYGDN SDPALEASSLPPPDPWLETSSSSPAEPAQPGACRRDGYWFLKLLQAETERLEGWCCQMDK ETKENNLSEEVLGKVLSAVGSAQLLMSQKFQQFRGLCEQNLNPDANPRPTAQDLAGFWDL LQLSIEDISMKFDELYHLKANSWQLVETPEKRKEEKKPPPPVPKKPAKSKAAVSRDKASD AGDKQRQEARKRLLAAKRAASVRQNSATESADSIEIYVPEAQTRL >ENSMUSP00000135156.1 pep:known chromosome:GRCm38:2:156721057:156749332:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000146412.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MSSRRDTDSDTQDANDSSCKSSERSLPDCTSHPNSISIDAGPRQAPKIAQIKRNLSYGDN SDPALEASSLPPPDPWLETSSSSPAEPAQPGACRRDGYWFLKLLQAETERLEGWCCQMDK ET >ENSMUSP00000135409.1 pep:known chromosome:GRCm38:2:156721060:156749169:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000177013.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MSSRRDTDSDTQDANDSSCKSSERSLPDCTSHPNSISIDAGPRQAPKIAQIKRNLSYGDN SDPALEAS >ENSMUSP00000129756.2 pep:known chromosome:GRCm38:2:156721062:156762844:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000171030.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MSSRRDTDSDTQDANDSSCKSSERSLPDCTSHPNSISIDAGPRQAPKIAQIKRNLSYGDN SDPALEASSLPPPDPWLETSSSSPAEPAQPGACRRDGYWFLKLLQAETERLEGWCCQMDK ETKENNLSEEVLGKVLSAVGSAQLLMSQKFQQFRGLCEQNLNPDANPRPTAQDLAGFWDL LQLSIEDISMKFDELYHLKANSWQLVETPEKRKEEKKPPPPVPKKPAKSKAAVSRDKASD AGDKQRQEARKRLLAAKRAASVRQNS >ENSMUSP00000000094.7 pep:known chromosome:GRCm38:2:156721070:156762903:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000000094.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MAPSSESSAPSHSMSSRRDTDSDTQDANDSSCKSSERSLPDCTSHPNSISIDAGPRQAPK IAQIKRNLSYGDNSDPALEASSLPPPDPWLETSSSSPAEPAQPGACRRDGYWFLKLLQAE TERLEGWCCQMDKETKENNLSEEVLGKVLSAVGSAQLLMSQKFQQFRGLCEQNLNPDANP RPTAQDLAGFWDLLQLSIEDISMKFDELYHLKANSWQLVETPEKRKVSMEQCGGEVQGQI PGRQ >ENSMUSP00000096749.5 pep:known chromosome:GRCm38:2:156721492:156764363:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000099145.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MALCLELLKQCSSCLVAYKKTPPPVPPRTTSKPFISVTVQSSTESAQDTYLDSQDHKSEV TSQSGLSNSSDSLDSSTRPPSVTRGGITPGPEAPEPPPKHAALKSEQGTLTSSESHSEAI PKRKLSSIGIQVDCIQPVPKEEPSPATKFQSIGIQVEDDWRSSAPSHSMSSRRDTDSDTQ DANDSSCKSSERSLPDCTSHPNSISIDAGPRQAPKIAQIKRNLSYGDNSDPALEASSLPP PDPWLETSSSSPAEPAQPGACRRDGYWFLKLLQAETERLEGWCCQMDKETKENNLSEEVL GKVLSAVGSAQLLMSQKFQQFRGLCEQNLNPDANPRPTAQDLAGFWDLLQLSIEDISMKF DELYHLKANSWQLVETPEKRKEEKKPPPPVPKKPAKSKAAVSRDKASDAGDKQRQEARKR LLAAKRAASVRQNSATESADSIEIYVPEAQTRL >ENSMUSP00000105195.3 pep:known chromosome:GRCm38:2:156613705:156764361:1 gene:ENSMUSG00000061689.15 transcript:ENSMUST00000109567.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap4 description:discs, large homolog-associated protein 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2138865] MKGLGDSRPRHLSDSLDPPHEPLFAGPDRNPYLLSPTEAFAREARFPGQNTLPGDGLFPL NNQLPPPSSTFPRIHYNSHFEVPEESPFPSHAQATKINRLPANLLDQFEKQLPIHRDGFS TLQFPRGEAKARGESPGRIRHLVHSVQRLFFTKAPSMEGTAGKVGGNGSKKGGLEDGKGR RAKSKERAKAGEPKRRSRSNISGWWSSDDNLDGEGGAFRSGPASGLMTLGRQQERTQPRY FMHAYNTISGHMLKTTKNTTTELTAPPPPPAPPATCPSLGVGTDTNYVKRGSWSTLTLSH AHEVCQKTSATLDKSLLKSKSCHQGLAYHYLQVPGGGGEWSTTLLSPRDMDSTAEGPIPC RRMRSGSYIKAMGDEDSDESGGGSPKPSPKTAARRQSYLRATQQSLGEQSNPRRSLDRLD SVDMLLPSKCPSWEDDYNPISDSLNDSSCISQVFGQASLIPQLFGHDQQVREADLSDQYE AACESACSEAESTTAEALDLPLPSYFRSRSHSYLRAIQAGCSQEEDSVSLQSLSPPPSTG SLSNSRTLPSSSCLVAYKKTPPPVPPRTTSKPFISVTVQSSTESAQDTYLDSQDHKSEVT SQSGLSNSSDSLDSSTRPPSVTRGGITPGPEAPEPPPKHAALKSEQGTLTSSESHSEAIP KRKLSSIGIQERTRRSGSHLSEDNGPKAIDVMAPSSESSAPSHSMSSRRDTDSDTQDAND SSCKSSERSLPDCTSHPNSISIDAGPRQAPKIAQIKRNLSYGDNSDPALEASSLPPPDPW LETSSSSPAEPAQPGACRRDGYWFLKLLQAETERLEGWCCQMDKETKENNLSEEVLGKVL SAVGSAQLLMSQKFQQFRGLCEQNLNPDANPRPTAQDLAGFWDLLQLSIEDISMKFDELY HLKANSWQLVETPEKRKEEKKPPPPVPKKPAKSKAAVSRDKASDAGDKQRQEARKRLLAA KRAASVRQNSATESADSIEIYVPEAQTRL >ENSMUSP00000099385.3 pep:known chromosome:GRCm38:2:164731180:164743312:-1 gene:ENSMUSG00000076434.9 transcript:ENSMUST00000103096.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc3 description:WAP four-disulfide core domain 3 [Source:MGI Symbol;Acc:MGI:1923897] MKALLALGFLASWVAAGEHALRGECPADPLPCQELCTGDESCPQGHKCCSTGCGHACRGD IEGGRDGQCPRILVGLCIVQCMMDENCQSGERCCKSGCGRFCIPGLQPLQQLKDSNLTDG FNSKLEAQAP >ENSMUSP00000104951.1 pep:known chromosome:GRCm38:2:164731180:164745931:-1 gene:ENSMUSG00000076434.9 transcript:ENSMUST00000109328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc3 description:WAP four-disulfide core domain 3 [Source:MGI Symbol;Acc:MGI:1923897] MDENCQSGERCCKSGCGRFCIPGLQPLQQLKDSNLTDGFNSKLEAQAP >ENSMUSP00000026560.7 pep:known chromosome:GRCm38:7:140881968:140898642:1 gene:ENSMUSG00000025487.14 transcript:ENSMUST00000026560.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd13 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 13 [Source:MGI Symbol;Acc:MGI:1345192] MKDVPAFLQQSQSSGPGQAAVWHRLEELYTKKLWHQLTLEVLDFVQDPCFAQGDGLIKLY ENFISEFEHRVNPLSLVEIILHVVRQMTDPNVALTFLEKTREKVKSSDEAVILCKTAIGA LKLNIGDLQATKETIEDVEEMLNNLPGVTSVHSRFYDLSSKYYQTIGNHASYYKDALRFL GCVDIKDLPVSEQQERAFTLGLAGLLGEGVFNFGELLMHPVLESLRDTDRQWLIDTLYAF NSGAVDRFQTLKCAWGQQPDLAANEAQLLRKIQLLCLMEMTFTRPANHRQLTFEEIAKSA KITVNKVELLVMKALSVGLVRGSIDEVDKRVHMTWVQPRVLDLQQIKGMKDRLELWCTDV KSMEMLVEHQAQDILT >ENSMUSP00000132405.1 pep:known chromosome:GRCm38:7:140882472:140898233:1 gene:ENSMUSG00000025487.14 transcript:ENSMUST00000164681.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd13 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 13 [Source:MGI Symbol;Acc:MGI:1345192] MKDVPAFLQQSQSSGPGQAAVWHRLEELYTKKLWHQLTLEVLDFVQDPCFAQGDGLIKLY ENFISEFEHRVNPLSLVEIILHVVRQMTDPNVALTFLEKTREKVKSSDEAVILCKTAIGA LKLNIGDLQATKETIEDVEEMLNNLPGVTSVHSRFYDLSSKYYQTIGNHASYYKDALRFL GCVDIKDLPGGVAGDEGTLGGAGERQHRRGGQAGSHDVGAAASAGFAADQGDEGPSGALV H >ENSMUSP00000130580.1 pep:known chromosome:GRCm38:7:140882472:140898288:1 gene:ENSMUSG00000025487.14 transcript:ENSMUST00000163610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd13 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 13 [Source:MGI Symbol;Acc:MGI:1345192] MKDVPAFLQQSQSSGPGQAAVWHRLEELYTKKLWHQLTLEVLDFVQDPCFAQGDGLIKLY ENFISEFEHRVNPLSLVEIILHVVRQMTDPNVALTFLEKTREKVKSSDEAVILCKTAIGA LKLNIGDLQATKETIEDVEEMLNNLPGVTSVHSRFYDLSSKYYQTIGNHASYYKDALRFL GCVDIKDLPVSEQQERAFTLGLAGLLGEGVFNFGELLMHPVLESLRDTDRQWLIDTLYAF NSGAVDRFQTLKCAWGQQPDLAANEAQLLRKIQLLCLMEVELLVMKALSVGLVRGSIDEV DKRVHMTWVQPRVLDLQQIKGMKDRLELWCTDVKSMEMLVEHQAQDILT >ENSMUSP00000126532.1 pep:known chromosome:GRCm38:7:140882472:140898288:1 gene:ENSMUSG00000025487.14 transcript:ENSMUST00000166889.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmd13 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 13 [Source:MGI Symbol;Acc:MGI:1345192] MKDVPAFLQQSQSSGPGQAAVWHRLEELYTKKLWHQLTLEVLDFVQDPCFAQGDGLIKGK PSVPGRNNSPCG >ENSMUSP00000126160.1 pep:known chromosome:GRCm38:7:140889400:140898395:1 gene:ENSMUSG00000025487.14 transcript:ENSMUST00000130462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd13 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 13 [Source:MGI Symbol;Acc:MGI:1345192] XTIGNHASYYKDALRFLGCVDIKDLPVSEQQERAFTLGLAGLLGEGVFNFGELLMHPVLE SLRDTDRQWLIDTLYAFNSGAVDRFQTLKCAWGQQPDLAANEAQLLRKIQLLCLMEMTFT RPANHRQLTFEEIAKSAKITVNKVELLVMKALSVGLVRGSIDEVDKRVHMTWVQPRVLDL QQVRGLGPCPLRVYQCCPSFMWTEAI >ENSMUSP00000130256.1 pep:known chromosome:GRCm38:7:140894515:140898643:1 gene:ENSMUSG00000025487.14 transcript:ENSMUST00000165539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd13 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 13 [Source:MGI Symbol;Acc:MGI:1345192] XKIQLLCLMEMTFTRPANHRQLTFEEIAKSAKITVNKVELLVMKALSVGLVRGSIDEVMR KGTNGNGDRVVSTQLHLSGLCPVWSECCSNKCLGIV >ENSMUSP00000043596.7 pep:known chromosome:GRCm38:13:54701461:54736662:1 gene:ENSMUSG00000034918.8 transcript:ENSMUST00000037145.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr2 description:cadherin-related family member 2 [Source:MGI Symbol;Acc:MGI:2687323] MAWLWLLCALLPAFMVSVTANSPPSFGVNMTLVTLPEDLPVGAVAFWLVATDSDNDHLTY GISGPNASYFSVNANTGEVKLASPLDFETVPFFKITISTSDGLNIRTAEMQVIVEDRNDN IPVFLNTEFSTSINETLPVGSVVFSVLAEDKDTGTAGLVQYFIEKVIPSTANSNNLFRIL ENGSIVLNDTLSYNNKSAFYQLELKACDSGGILDNKPKTQCSQPVFVSISVIDEPDLDPR FIREFYSASVAEDATLGTSVLTVEAVDSDKGINDIVTYSVSNSTRPGWFDIREDGVIFVN GSLDREQLLLENEEVQIQVTATEKNLNIYGQEAKASMWVTIRVTDVNDHKPEFYNCSLPG CSFSPQEAQVNFIGYVDEHASARISIDGLTMVAYDPDQGDNGTFLLSLNGQDAEAFNVSP ERAAGSVSVQVVVRNSEMVDYEKETVMVVEVVATDSVSNNYSVATVTIHLRNINDHRPVF SQSLYELTVPEHCPTGYLVTDKIQATDLDGDEWGPITYSLLPGNGADLFEVEPNSGNLTV KNGTLLDREKQAVYYLTLQATDGGNQSTTTALEITLLDINDNPPVVRGSYNVFVPEENGN VSVTIQAYDDDQPDTNNSLLVFSLLPGPYSSNFSLDPNTGLLRNLGPLDREAIDPALEGR IVLTVIVADCGEPSLSTNVNVTITVEDINDNLPVFNQSYEFSVWERVPGAWVGTVKAWDA DQTAANNRISFSLSGTGANNFILQGNVLEQGWAEGSLWLLPDVRLDYETQKFFHLTVSAE NPGPQGLDSTANVTVTVMDVNDEPPTLDAASLQAISVTENGSEHGQVTRVIAQDVDTAAL LRIELVDVICTKAGVDVGSVCHGWFSVDGNGSVYINQSEAIDYEACHLVTLVVRAYDLNT DPGFDAYSSNGSLLINIKDKNDNAPYFLPNNQTFVIIPELVLPNQQVASVQARDEDSEDN GIIMFSILKAEFVRKDGTSNPVQVFRITRSVEAGLFTGSIELVTNLDSTIQGTYQVTVQA QDQPTLGPALETQTTLNLFTVDQSYRVRLQFSTSKEDVGANMEEIKEALIQATRTSVYVV TIQNIDSTARARASSYMDAYFVFSNGTALTLTELNMMIRKDQDALRQLLQLGLVVVSSQE SQEPDQQKLLTSVIIGLVVSLVLVLVILITALVCLRKSYHRKLRAMKAGKEARKTPIETT APTAAIPGTNMYNTDRANPVLDLPTKDLGLECHSSSDLDYDSLNSLDENSVDLDMDSKEF KRKDLPGDPPEPDPEPLTAVLSGRSAGASEQQKKNLSFTNPGLDTTDL >ENSMUSP00000115821.1 pep:known chromosome:GRCm38:4:61300023:61303802:-1 gene:ENSMUSG00000073830.11 transcript:ENSMUST00000125461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup14 description:major urinary protein 14 [Source:MGI Symbol;Acc:MGI:3702005] VEKINGEWHTIILASDKREKIEDNGNFRLFLEQIRVLENSLVLKFHTVDEECSELSMVAD KTEKAGEYSVTYDGFNTFTIPKTDYDNFLMAHLINEKDGETFQLMGLYGREPDLSSDIKE RFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000074696.5 pep:known chromosome:GRCm38:4:61300040:61304000:-1 gene:ENSMUSG00000073830.11 transcript:ENSMUST00000075206.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup14 description:major urinary protein 14 [Source:MGI Symbol;Acc:MGI:3702005] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIRVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000064072.8 pep:known chromosome:GRCm38:4:61301100:61303998:-1 gene:ENSMUSG00000073830.11 transcript:ENSMUST00000071005.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup14 description:major urinary protein 14 [Source:MGI Symbol;Acc:MGI:3702005] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIRVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000140181.1 pep:known chromosome:GRCm38:1:93824909:93826458:1 gene:ENSMUSG00000073609.9 transcript:ENSMUST00000187321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D2hgdh description:D-2-hydroxyglutarate dehydrogenase [Source:MGI Symbol;Acc:MGI:2138209] MVLPLVSRWSARVLWASPGWRRTYTQRACVGLKRLGCPRGVYSPLAHRAYS >ENSMUSP00000095235.3 pep:known chromosome:GRCm38:1:93825240:93852348:1 gene:ENSMUSG00000073609.9 transcript:ENSMUST00000097633.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D2hgdh description:D-2-hydroxyglutarate dehydrogenase [Source:MGI Symbol;Acc:MGI:2138209] MVLPLVSRWSARVLWASPGWRRTYTQRACVGLKRLGCPRGVYSPLAHRAYSVVAGGPEVT LTPERYPVQRLPFSTVSEEDLAAFECIIPGRVITDPEQLQTCNVDWLKTVRGCSKVLLRP QTSEEVSQILRHCYKRNLAVNPQGGNTGMVGGSVPVFDEVILSTALMNQVISFHDVSGIL VCQAGCVLEELSRYVQERDFIMPLDLGAKGSCHIGGNVATNAGGLRFLRYGSLRGTVLGL EVVLADGTILNCLTSLRKDNTGYDLKQMFIGSEGTLGVITAVSIVCPPRPKAVNVAFLGC PGFAEVLQTFRTCRGMLGEILSAFEFMDTECMQLVGQHLQLTNPVQESPFYVLVETSGSS AGHDAEKLTNVLEQVLNSGLVTDGTMATDQRKVQMLWALRERITEALSRDGYVFKYDLSL PVERLYDLVIDLRTRLGPRAKHVVGYGHLGDGNLHLNVTAEAFSRELLGALEPYVYAWTA EQRGSVSAEHGLGFKKKDVLGYSKPPVAVTLMQQLKAMLDPEGILNPYKTLPAQA >ENSMUSP00000108502.1 pep:known chromosome:GRCm38:1:93825274:93852348:1 gene:ENSMUSG00000073609.9 transcript:ENSMUST00000112881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D2hgdh description:D-2-hydroxyglutarate dehydrogenase [Source:MGI Symbol;Acc:MGI:2138209] MRMLCACRVVFFRLSPLSRAGTMVLPLVSRWSARVLWASPGWRRTYTQRACVGLKRLGCP RGVYSPLAHRAYSVVAGGPEVTLTPERYPVQRLPFSTVSEEDLAAFECIIPGRVITDPEQ LQTCNVDWLKTVRGCSKVLLRPQTSEEVSQILRHCYKRNLAVNPQGGNTGMVGGSVPVFD EVILSTALMNQVISFHDVSGILVCQAGCVLEELSRYVQERDFIMPLDLGAKGSCHIGGNV ATNAGGLRFLRYGSLRGTVLGLEVVLADGTILNCLTSLRKDNTGYDLKQMFIGSEGTLGV ITAVSIVCPPRPKAVNVAFLGCPGFAEVLQTFRTCRGMLGEILSAFEFMDTECMQLVGQH LQLTNPVQESPFYVLVETSGSSAGHDAEKLTNVLEQVLNSGLVTDGTMATDQRKVQMLWA LRERITEALSRDGYVFKYDLSLPVERLYDLVIDLRTRLGPRAKHVVGYGHLGDGNLHLNV TAEAFSRELLGALEPYVYAWTAEQRGSVSAEHGLGFKKKDVLGYSKPPVAVTLMQQLKAM LDPEGILNPYKTLPAQA >ENSMUSP00000140506.1 pep:known chromosome:GRCm38:1:93826285:93838066:1 gene:ENSMUSG00000073609.9 transcript:ENSMUST00000189154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D2hgdh description:D-2-hydroxyglutarate dehydrogenase [Source:MGI Symbol;Acc:MGI:2138209] MVLPLVSRWSARVLWASPGWRRTYTQRACVGLKRLGCPRGVYSPLAHRAYSVVAGGPEVT LTPERYPVQRLPFSTVSEEDLAAFECIIPGRVITDPEQLQTCNVDWLKTVRGCSKVLLRP QTSEEVSQILRHCYKRNLAVNPQGGNTGMVGGSVPVFDEVILSTALMNQVISFHDVSGCP GFAEVLQTFRTCRGMLGEILSAFEFMDTECMQLVGQHLQL >ENSMUSP00000139770.1 pep:known chromosome:GRCm38:1:93829796:93837976:1 gene:ENSMUSG00000073609.9 transcript:ENSMUST00000186783.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:D2hgdh description:D-2-hydroxyglutarate dehydrogenase [Source:MGI Symbol;Acc:MGI:2138209] XGNTGMVGGSVPVFDEVILSTALMNQVISFHDVSGTR >ENSMUSP00000139812.1 pep:known chromosome:GRCm38:1:93829797:93838953:1 gene:ENSMUSG00000073609.9 transcript:ENSMUST00000188532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D2hgdh description:D-2-hydroxyglutarate dehydrogenase [Source:MGI Symbol;Acc:MGI:2138209] GNTGMVGGSVPVFDEVILSTALMNQVISFHDVSESPFYVLVETSGSSAGHDAEKLTNVLE QVLNSGLVTDGTMATDQ >ENSMUSP00000030274.6 pep:known chromosome:GRCm38:4:111415006:111460298:1 gene:ENSMUSG00000028545.13 transcript:ENSMUST00000030274.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend5 description:BEN domain containing 5 [Source:MGI Symbol;Acc:MGI:1914871] MYAFVRFLEDNVCYALPVSCVRDFSPRSRLDFDNQKVYAVYRGPEELGAEPESPPRAPRD WGALLLHKAQILALAEDKSDLENSVMQKKIKIPKLSLSHVEDDGEVKDYGEEDLQLRHIK RPEGRKPSEAAHKSIEAVVARLERQNGLSLGHSTCPEEVFVEASPGTEDMDSLEDAVVPR ALYEELLRNYQQQQEEMRHLQQELERTRRQLVQQAKKLKEYGALVSEMKELRDLNRRLQD VLLLRLGSGPAIDLEKVKSECLEPEPELRSTFSEEANTSSYYPAPAPVMDKYILDNGKVH LGSGIWVDEEKWHQLQVTQGDSKYTKNLAVMIWGTDVLKNRSVTGVATKKKKDAIPKPPL SPHKLSIVRECLYDRIAQETVDETEIAQRLSKVNKYICEKIMDINKSCKNEERREAKYNL Q >ENSMUSP00000119368.1 pep:known chromosome:GRCm38:4:111433197:111460296:1 gene:ENSMUSG00000028545.13 transcript:ENSMUST00000139876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend5 description:BEN domain containing 5 [Source:MGI Symbol;Acc:MGI:1914871] XAHKSIEAVVARLERQNGLSLGHSTCPEEVFVEASPGTEDMDSLEDAVVPRALYEELLRN YQQQQEEMRHLQQELERTRRQLVQQAKKLKEYGALVSEMKELRDLNRRLQDVLLLRLGSE CLYDRIAQETVDETEIAQRLSKVNKYICEKIMDINKSCKNEERREAKYNLQ >ENSMUSP00000057312.6 pep:known chromosome:GRCm38:7:140046157:140049089:-1 gene:ENSMUSG00000025469.10 transcript:ENSMUST00000055353.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msx3 description:msh homeobox 3 [Source:MGI Symbol;Acc:MGI:106587] MARATFDMNAAGLEARGGGHTEHGPLPFSVESLLEAERVPGSESGELGVERPLGASKPGA WPPPVAHSCPPRKCSVSRSQALKSDRAVKARKSKVPRALHPAPSANTKPIANHGRHSPPR SCWRLSASFTRSNTYPLRSAPSSPAA >ENSMUSP00000133484.1 pep:known chromosome:GRCm38:7:140046374:140049088:-1 gene:ENSMUSG00000025469.10 transcript:ENSMUST00000172775.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msx3 description:msh homeobox 3 [Source:MGI Symbol;Acc:MGI:106587] MARATFDMNAAGLEARGGGHTEHGPLPFSVESLLEAERVPGSESGELGVERPLGASKPGA WPPPVAHSCPPRAPSPPPCTLRKHKTNRKPRTPFTTAQLLALERKFHQKQYLSIAERAEF SSSLSLTETQVKIWFQNRRAKAKRLQEAELEKLKLAAKPLLPAAFALPFPLGTQLHSSAA TFGGNAVPGILAGPVAAYGMYYLS >ENSMUSP00000043910.7 pep:known chromosome:GRCm38:3:95282944:95296166:1 gene:ENSMUSG00000038712.16 transcript:ENSMUST00000039537.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam63a description:family with sequence similarity 63, member A [Source:MGI Symbol;Acc:MGI:1922257] MEQPQTENPAPSKATSAETVESENHEALSGPEKHPQDKDGADADGAAGEQEPGDQTLPPA QDGENLECPPPEASSSPPGPACGTSPKVETAEVCSRPQELPQSPRIQQPELDFYCVKWIP WKGERTPIITQSTNGPCPLLAIMNILFLQWKVKLPPQKEVITSDELLTHLGNCLLSIKPQ EKSEGLQLNFQQNVDDAMTVLPKLATGLDVNVRFTGVSDFEYTPECSIFDLLGIPLYHGW LVDPQSPEAVSAVGKLSYNQLVEKIITCKHSSDSNLVTEGLVAEQFLETTAAQLTYHGLC ELTAAATEDELSVFFRNNHFSTMTKHKSHLYLLVTDQGFLQEEQVVWESLHNVDGDSCFC DSDFHLSHSLGKSHGAEGGGGSPEKQLQVDQQQQQPQGTLGLSDLELAQQLQQEEYQQQQ AVQPVRTRAPSPQGRGATSGRPAGERRQRSKTESDCVLL >ENSMUSP00000102805.2 pep:known chromosome:GRCm38:3:95282944:95296166:1 gene:ENSMUSG00000038712.16 transcript:ENSMUST00000107187.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam63a description:family with sequence similarity 63, member A [Source:MGI Symbol;Acc:MGI:1922257] MEQPQTENPAPSKATSAETVESENHEALSGPEKHPQDKDGADADGAAGEQEPGDQTLPPA QDGENLECPPPEASSSPPGPACGTSPKVETAEVCSRPQELPQSPRIQQPELDFYCVKWIP WKGERTPIITQSTNGPCPLLAIMNILFLQWKVKLPPQKEVITSDELLTHLGNCLLSIKPQ EKSEGLQLNFQQNVDDAMTVLPKLATGLDVNVRFTGVSDFEYTPECSIFDLLGIPLYHGW LVDPQSPEAVSAVGKLSYNQLVEKIITCKHSSDSNLVTEGLVAEQFLETTAAQLTYHGLC ELTAAATEDELSVFFRNNHFSTMTKHKSHLYLLVTDQGFLQEEQVVWESLHNVDGDSCFC DSDFHLSHSLGKSHGAEGGGGSPEKQLQVDQDYLIALSLQQQQQPQGTLGLSDLELAQQL QQEEYQQQQAVQPVRTRAPSPQGRGATSGRPAGERRQRSKTESDCVLL >ENSMUSP00000142400.1 pep:known chromosome:GRCm38:3:95285839:95288014:1 gene:ENSMUSG00000038712.16 transcript:ENSMUST00000143498.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam63a description:family with sequence similarity 63, member A [Source:MGI Symbol;Acc:MGI:1922257] MEQPQTENPAPSKATSAETVES >ENSMUSP00000127839.1 pep:known chromosome:GRCm38:3:95287948:95296163:1 gene:ENSMUSG00000038712.16 transcript:ENSMUST00000168223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam63a description:family with sequence similarity 63, member A [Source:MGI Symbol;Acc:MGI:1922257] MEQPQTENPAPSKATSAETVESENHEALSGPEKHPQDKDGADADGAAGEQEPGDQTLPPA QDGENLECPPPEASSSPPGPACGTSPKVETAEVCSRPQELPQSPRIQQPELDFYCVKWIP WKGERTPIITQSTNGPCPLLAIMNILFLQWKVKLPPQKEVITSDELLTHLGNCLLSIKPQ EKSEGLQLNFQQNVDDAMTVLPKLATGLDVNVRFTGVSDFEYTPECSIFDLLGIPLYHGW LVDPQSPEAVSAVGKLSYNQLVEKIITCKHSSDSNLVTEGLVAEQFLETTAAQLTYHGLC ELTAAATEDELSVFFRNNHFSTMTKHKSHLYLLVTDQGFLQEEQVVWESLHNVDGDSCFC DSDFHLSHSLGKSHGAEGGGGSPEKQLQVDQQQQQPQGTLGLSDLELAQQLQQEEYQQQQ AVQPVRTRAPSPQGRGATSGRPAGERRQRSKTESDCVLL >ENSMUSP00000082928.4 pep:known chromosome:GRCm38:5:141241490:142215586:1 gene:ENSMUSG00000039683.16 transcript:ENSMUST00000085774.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdk1 description:sidekick homolog 1 (chicken) [Source:MGI Symbol;Acc:MGI:2444413] MARARPSVAGGGVAAPPERAGPGRPRRSRTGHHCDPECPGLRAAPRTPGPGAGRRAAKLR PGRGWWALLLLQLHLLRALAQDDVAPYFKTEPGLPQIHLEGNRLVLTCLAEGSWPLEFKW IRNDSELTTYSSEYKYIIPSLQKLDAGFYRCVVRNRMGALLQRKSEIQVAYMGNFMDTDQ RKTVSQGHAALLNLLPIVSCPQPQVTWFREGHKIIPSSRIAITLENQLVILATTASDAGA YYVQAVNEKNGENKTSPFIHLSVARDTGTHEAMAPIIVVAPGNRSVVAGSSETTLECIAN ARPVEELSVHWKRNGVRLTSGLHSYGRRLTITNPTSADTGMYVCEATLRGSTFEPARARA FLSIIEPPYFTAEPESRILGEVEETMDIPCRAMGVPLPTLQWYKDAVPLSKLQNPRYKVL PSGGLHIQKLSPEDSGIFQCFASNEGGEVQTHTYLDVTNIAPAFTQRPVDTTVTDGMTAV LRCEVSGAPKPAITWKRGNHILASGSVRIPRFMLLESGGLRIAPVFIQDAGNYTCYAANT EASVNASAMLTVWNRTSIVHPPEDRVVIKGTTATLCCGATHDPRTSLRYVWKKDNVVITA SSSSRIVVEKDGSLVISQTWSGDIGDYTCEIISEGGSDSRTARLEVIELPHPPQNLLASL SPARSHSVTLSWVRPFDGNSPVLYYIVQVSENNSPWKVHLSNVGPEMTGVTVSGLTPART YQFRVCAVNQVGKGQYSTETSRLMLPEEPPSAPPKNIVASGRTNQSIMVQWQPPPETEHN GVLRGYILRYRLAGLPGEHQQRNISSPEVNYCLVTDLIIWTQYEIQVAAYNGAGLGVFSR AVTEYTLQGVPTAPPQNVQAEAVNSTTVHFLWNPPPQQFINGINQGYKLLAWPADAPETV TVVTIAPDFHGIHHGYITNLKKFTAYFTSVLCFTTPGDGPPSSPQLVWTHEDKPGAVGHL SFTEILDTSLKVSWQEPLERNGIIMGYQISWEVYGRNDSRLTHTLNSTMHEYKIQGLSSL TTYTIDVAALTAAGVGVTTSSTISSGVPPDLPGAPSNLVISNISPRSATLQFRPGYDGKT AICRWIVEGQVGAIGDEEEWVTLYEEENEPDAQMLEIPNLTPYTHYRFRMRQVNIVGPSP FSQSSRVIQTLQAPPDVAPTSLTVRTASETSLRLRWVPLPDSQYNGNPESVGYRVKYWRS DQPSSALAQVVSDRLERELTIEELEEWTEYELRMQAFNAIGAGPWSELVRGRTRESVPSA APENVSAEAVSSTQILLTWASVPEQDQNGLILGYKVLYCAKDLDPEPRSHVVRGNHTQSA LLAGLRKFVVYELQVLAFTRIGNGVPSSPLILERTKDDTPGPPVRLVFPEVRLTAVRIVW QPPEEPNGVILGYQIAYRLASGSPHTFTTVEVGATVRQFTATELAPESAYIFRLSAKTRQ GWGEPLEATVITTEKRERPAPPRELLVPQAEVTARSLRLQWVPGSDGASPIRYFTVQVRE LPGGEWQTYSSSISHEATACAVERLRPFTSYKLRLKATNDIGDSDFSAETEAVTTLQDVP GEPPGSVSATPHTTSSVLIQWQPPRDESLNGLLQGYRIYYRELESETGMSPEPKTLKSPS ALRAELTAQSSFKTVNSSSSLTTYELTHLKKYRRYEVIMTAYNIIGESPASVPVEVFVGE AAPAMAPQNVQVTPLTASQLEVTWDPPPPESQNGNIQGYKVYYWEADSRNETEKMKVLFL PEPVVKIKDLTSHTKYLISISAFNAAGDGPKSDPCQGRTHQAAPGPPSFLAFSEITSTTL NVSWGEPSAANGILQGYRVVYEPLAPVQGVSKVVTVDVKGNWQRWLKVRDLTKGVTYFFR VQARTIAYGPELQANVTAGPAEGSPGSPRNVLVTKSASELTLQWTEGNAGTTPTTGYVIE ARPSDEGLWDMFAKDIPRSATSYTVDLDKLRQGVTYEFRVVAVNKAGFGEPSRPSIAVSA QAEAPFYEEWWFLLVMALSSLLLILLVVFVLVLHGQSKKYKSCSTGKGISNMEETVTLDN GGFAALELNSRHLNVKSTFSKKNGTRSPPRPSPGGLHYSDEDICNKYNGAVLTESVNLKE KSVDGSESEASDSDYEEALPKHSFVNHYMSDPTYYNSWKRRPPAAAPHRYEAVAGAEAGP HLHTVITTQSAGGVYTPAGPGARAPLTGFSSFV >ENSMUSP00000074133.6 pep:known chromosome:GRCm38:5:141856787:142213194:1 gene:ENSMUSG00000039683.16 transcript:ENSMUST00000074546.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdk1 description:sidekick homolog 1 (chicken) [Source:MGI Symbol;Acc:MGI:2444413] MDRSGDTGTHEAMAPIIVVAPGNRSVVAGSSETTLECIANARPVEELSVHWKRNGVRLTS GLHSYGRRLTITNPTSADTGMYVCEATLRGSTFEPARARAFLSIIEPPYFTAEPESRILG EVEETMDIPCRAMGVPLPTLQWYKDAVPLSKLQNPRYKVLPSGGLHIQKLSPEDSGIFQC FASNEGGEVQTHTYLDVTNIAPAFTQRPVDTTVTDGMTAVLRCEVSGAPKPAITWKRGNH ILASGSVRIPRFMLLESGGLRIAPVFIQDAGNYTCYAANTEASVNASAMLTVWNRTSIVH PPEDRVVIKGTTATLCCGATHDPRTSLRYVWKKDNVVITASSSSRIVVEKDGSLVISQTW SGDIGDYTCEIISEGGSDSRTARLEVIELPHPPQNLLASLSPARSHSVTLSWVRPFDGNS PVLYYIVQVSENNSPWKVHLSNVGPEMTGVTVSGLTPARTYQFRVCAVNQVGKGQYSTET SRLMLPEEPPSAPPKNIVASGRTNQSIMVQWQPPPETEHNGVLRGYILRYRLAGLPGEHQ QRNISSPEVNYCLVTDLIIWTQYEIQVAAYNGAGLGVFSRAVTEYTLQGVPTAPPQNVQA EAVNSTTVHFLWNPPPQQFINGINQGYKLLAWPADAPETVTVVTIAPDFHGIHHGYITNL KKFTAYFTSVLCFTTPGDGPPSSPQLVWTHEDKPGAVGHLSFTEILDTSLKVSWQEPLER NGIIMGYQISWEVYGRNDSRLTHTLNSTMHEYKIQGLSSLTTYTIDVAALTAAGVGVTTS STISSGVPPDLPGAPSNLVISNISPRSATLQFRPGYDGKTAICRWIVEGQVGAIGDEEEW VTLYEEENEPDAQMLEIPNLTPYTHYRFRMRQVNIVGPSPFSQSSRVIQTLQAPPDVAPT SLTVRTASETSLRLRWVPLPDSQYNGNPESVGYRVKYWRSDQPSSALAQVVSDRLERELT IEELEEWTEYELRMQAFNAIGAGPWSELVRGRTRESVPSAAPENVSAEAVSSTQILLTWA SVPEQDQNGLILGYKVLYCAKDLDPEPRSHVVRGNHTQSALLAGLRKFVVYELQVLAFTR IGNGVPSSPLILERTKDDTPGPPVRLVFPEVRLTAVRIVWQPPEEPNGVILGYQIAYRLA SGSPHTFTTVEVGATVRQFTATELAPESAYIFRLSAKTRQGWGEPLEATVITTEKRERPA PPRELLVPQAEVTARSLRLQWVPGSDGASPIRYFTVQVRELPGGEWQTYSSSISHEATAC AVERLRPFTSYKLRLKATNDIGDSDFSAETEAVTTLQDVPGEPPGSVSATPHTTSSVLIQ WQPPRDESLNGLLQGYRIYYRELESETGMSPEPKTLKSPSALRAELTAQSSFKTVNSSSS LTTYELTHLKKYRRYEVIMTAYNIIGESPASVPVEVFVGEAAPAMAPQNVQVTPLTASQL EVTWDPPPPESQNGNIQGYKVYYWEADSRNETEKMKVLFLPEPVVKIKDLTSHTKYLISI SAFNAAGDGPKSDPCQGRTHQAAPGPPSFLAFSEITSTTLNVSWGEPSAANGILQGYRVV YEPLAPVQGVSKVVTVDVKGNWQRWLKVRDLTKGVTYFFRVQARTIAYGPELQANVTAGP AEGSPGSPRNVLVTKSASELTLQWTEGNAGTTPTTGYVIEARPSDEGLWDMFAKDIPRSA TSYTVDLDKLRQGVTYEFRVVAVNKAGFGEPSRPSIAVSAQAEAPFYEEWWFLLVMALSS LLLILLVVFVLVLHGQSKKYKSCSTGKGISNMEETVTLDNGGFAALELNSRHLNVKSTFS KKNGTRSPPRPSPGGLHYSDEDICNKYNGAVLTESVNLKEKSVDGSESEASDSDYEEALP KHSFVNHYMSDPTYYNSWKRRPPAAAPHRYEAVAGAEAGPHLHTVITTQSAGGVYTPAGP GARAPLTGFSSFV >ENSMUSP00000027254.3 pep:known chromosome:GRCm38:1:37946736:37957759:-1 gene:ENSMUSG00000026085.11 transcript:ENSMUST00000027254.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyg1 description:lysozyme G-like 1 [Source:MGI Symbol;Acc:MGI:1916791] MSPLWLLLGLLALTGSSESSSWGCYGNIRTLDTPGASCRIGRRYGLTYCGIRASERLAEV DRPYLLRHQPTMRLVGQKYCMDPAVIAGVLSRESPGGNYVVDLGNIGSGLGMVKETKFYP PTAWKSETWVSQKTQTLTSSIKEIKTRFPTWTADQHLRGGLCAYSKGPNFVRSNQDLNCD FCNDVLARAKYFKDHGF >ENSMUSP00000110544.1 pep:known chromosome:GRCm38:1:37946738:37957759:-1 gene:ENSMUSG00000026085.11 transcript:ENSMUST00000114894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyg1 description:lysozyme G-like 1 [Source:MGI Symbol;Acc:MGI:1916791] MSPLWLLLGLLALTGSSESSSWGCYGNIRTLDTPGASCRIGRRYGLTYCGVRASERLAEV DRPYLLRHQPTMRLVGQKYCMDPAVIAGVLSRESPGGNYVVDLGNIGSGLGMVKETKFYP PTAWKSETWVSQKTQTLTSSIKEIKTRFPTWTADQHLRGGLCAYSKGPNFVRSNQDLNCD FCNDVLARAKYFKDHGF >ENSMUSP00000037758.6 pep:known chromosome:GRCm38:10:80172944:80175146:1 gene:ENSMUSG00000035595.6 transcript:ENSMUST00000041882.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600002K03Rik description:RIKEN cDNA 1600002K03 gene [Source:MGI Symbol;Acc:MGI:1917020] MALRMLLLLLLLWCSNPGSAENSSLPGPPYNHTNGRLPDRDTGSAVLRLFYVITGLCGLI SLYFLIRAFRLKKSQRRRYGLLTNTEEHEEMASQDSEEETVFETRNLR >ENSMUSP00000067932.7 pep:known chromosome:GRCm38:3:104013259:104220374:-1 gene:ENSMUSG00000052539.15 transcript:ENSMUST00000064371.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi3 description:membrane associated guanylate kinase, WW and PDZ domain containing 3 [Source:MGI Symbol;Acc:MGI:1923484] MSKTLKKKKHWLSKVQECAVSWAGPPGDLGAEIRGGAERGEFPYLGRLRDEAGGGGGTCC VVSGKAPSPGDVLLEVNGTPVSGLTNRDTLAVIRHFREPIRLKTVKPGKVINKDLRHYLS LQFQKGSIDHKLQQVIRDNLYLRTIPCTTRAPRDGEVPGVDYNFISVEQFKALEESGALL ESGTYDGNFYGTPKPPAEPSPFQPDPVDQVLFDNEFDTESQRKRTTSVSKMERMDSSLPE EEEDEDKEAVNGSGSMETREMHSETSDCWMKTVPSYNQTNSSMDFRNYMMRDENLEPLPK NWEMAYTDTGMIYFIDHNTKTTTWLDPRLCKKAKAPEDCEDGELPYGWEKIEDPQYGTYY VDHLNQKTQFENPVEEAKRKKQLGQAEIHSAKTDVERAHFTRDPSQLKGVLVRASLKKST MGFGFTIIGGDRPDEFLQVKNVLKDGPAAQDGKIAPGDVIVDINGNCVLGHTHADVVQMF QLVPVNQYVNLTLCRGYPLPDDSEDPVVDIVAATPVINGQSLTKGETCMNTQDFKLGAMV LDQNGKSGQILASDRLNGPSESSEQRASLASSGSSQPELVTIPLIKGPKGFGFAIADSPT GQKVKMILDSQWCQGLQKGDIIKEIYHQNVQNLTHLQVVEVLKQFPVGADVPLLILRGGP CSPTKTAKTKTDTKENSGSLETINEPIPQPMPFPPSIIRSGSPKLDPSEVYLKSKTLYED KPPNTKDLDVFLRKQESGFGFRVLGGDGPDQSIYIGAIIPLGAAEKDGRLRAADELMCID GIPVKGKSHKQVLDLMTTAARNGHVLLTVRRKIFYGEKQPEDESHQAFSQNGSPRLNRAE LPTRSAPQEAYDVTLQRKENEGFGFVILTSKSKPPPGVIPHKIGRVIDGSPADRCGGLKV GDHISAVNGQSIVDLSHDNIVQLIKDAGVTVTLTVVAEEEHHGPPSGTNSARQSPALQHR PMGQAQANHIPGDRIALEGEIGRDVCSSYRHSWSDHKHLAQPDTAVISVVGSRHNQSLGC YPVELERGPRGFGFSLRGGKEYNMGLFILRLAEDGPAIKDGRIHVGDQIVEINGEPTQGI THTRAIELIQAGGNKVLLLLRPGTGLIPDHGLAPSGLCSYVKPEQH >ENSMUSP00000112934.1 pep:known chromosome:GRCm38:3:104013625:104220103:-1 gene:ENSMUSG00000052539.15 transcript:ENSMUST00000121198.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi3 description:membrane associated guanylate kinase, WW and PDZ domain containing 3 [Source:MGI Symbol;Acc:MGI:1923484] MSKTLKKKKHWLSKVQECAVSWAGPPGDLGAEIRGGAERGEFPYLGRLRDEAGGGGGTCC VVSGKAPSPGDVLLEVNGTPVSGLTNRDTLAVIRHFREPIRLKTVKPGKVINKDLRHYLS LQFQKGSIDHKLQQVIRDNLYLRTIPCTTRAPRDGEVPGVDYNFISVEQFKALEESGALL ESGTYDGNFYGTPKPPAEPSPFQPDPVDQVLFDNEFDTESQRKRTTSVSKMERMDSSLPE EEEDEDKEAVNGSGSMETREMHSETSDCWMKTVPSYNQTNSSMDFRNYMMRDENLEPLPK NWEMAYTDTGMIYFIDHNTKTTTWLDPRLCKKAKAPEDCEDGELPYGWEKIEDPQYGTYY VDHLNQKTQFENPVEEAKRKKQLGQAEIHSAKTDVERAHFTRDPSQLKGVLVRASLKKST MGFGFTIIGGDRPDEFLQVKNVLKDGPAAQDGKIAPGDVIVDINGNCVLGHTHADVVQMF QLVPVNQYVNLTLCRGYPLPDDSEDPVVDIVAATPVINGQSLTKGETCMNTQDFKLGAMV LDQNGKSGQILASDRLNGPSESSEQRASLASSGSSQPELVTIPLIKGPKGFGFAIADSPT GQKVKMILDSQWCQGLQKGDIIKEIYHQNVQNLTHLQVVEVLKQFPVGADVPLLILRGGP CSPTKTAKTKTDTKENSGSLETINEPIPQPMPFPPSIIRSGSPKLDPSEVYLKSKTLYED KPPNTKDLDVFLRKQESGFGFRVLGGDGPDQSIYIGAIIPLGAAEKDGRLRAADELMCID GIPVKGKSHKQVLDLMTTAARNGHVLLTVRRKIFYGEKQPEDESHQAFSQNGSPRLNRAE LPTRSAPQEAYDVTLQRKENEGFGFVILTSKSKPPPGVIPHKIGRVIDGSPADRCGGLKV GDHISAVNGQSIVDLSHDNIVQLIKDAGVTVTLTVVAEEEHHGPPSGTNSARQSPALQHR PMGQAQANHIPGDRIALEGEIGRDVCSSYRHSWSDHKHLAQPDTAVISVVGSRHNQSLGC YPVELERGPRGFGFSLRGGKEYNMGLFILRLAEDGPAIKDGRIHVGDQIVEINGEPTQGI THTRAIELIQAGGNKVLLLLRPGTGLIPDHGDWDTNSPSSSNVIYDEQPPPLPSSHSAST FEESHVPATEDSLTRVQICEKAEELKDTVQEKKSTLNGSQPEMKYQSVHKTMSKKDPPRG SGHGEKSRLKGENGVTRRGRSASPKKSVNRHSEEHLEKIPRPLKSDPKEKSRDRSLSPRK GESKGRLTIKAGSGQDPNRKDRGRSSSPKKQQKIGGNSLSNTEGKLSEAGSRRAAGHPRD STEQLPDGREKSGVSRKDLKQSQPGKTRTKSPEKKSSKVDETSLPSKKTSSTAGRVVSEK EKGKKPTAGETSRETVEHTQISAKQLKQEAQEKTALGNADDHKGRESEVTDRCRERAGCT PQSSSLVKKAPITPGPWRVPRANKVTGTTGMADKQL >ENSMUSP00000113713.1 pep:known chromosome:GRCm38:3:104016469:104220238:-1 gene:ENSMUSG00000052539.15 transcript:ENSMUST00000122303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi3 description:membrane associated guanylate kinase, WW and PDZ domain containing 3 [Source:MGI Symbol;Acc:MGI:1923484] MSKTLKKKKHWLSKVQECAVSWAGPPGDLGAEIRGGAERGEFPYLGRLRDEAGGGGGTCC VVSGKAPSPGDVLLEVNGTPVSGLTNRDTLAVIRHFREPIRLKTVKPGKVINKDLRHYLS LQFQKGSIDHKLQQVIRDNLYLRTIPCTTRAPRDGEVPGVDYNFISVEQFKALEESGALL ESGTYDGNFYGTPKPPAEPSPFQPDPVDQVLFDNEFDTESQRKRTTSVSKMERMDSSLPE EEEDEDKEAVNGSGSMETREMHSETSDCWMKTVPSYNQTNSSMDFRNYMMRDENLEPLPK NWEMAYTDTGMIYFIDHNTKTTTWLDPRLCKKAKAPEDCEDGELPYGWEKIEDPQYGTYY VDHLNQKTQFENPVEEAKRKKQLGQAEIHSAKTDVERAHFTRDPSQLKGVLVRASLKKST MGFGFTIIGGDRPDEFLQVKNVLKDGPAAQDGKIAPGDVIVDINGNCVLGHTHADVVQMF QLVPVNQYVNLTLCRGYPLPDDSEDPVVDIVAATPVINGQSLTKGETCMNTQDFKLGAMV LDQNGKSGQILASDRLNGPSESSEQRASLASSGSSQPELVTIPLIKGPKGFGFAIADSPT GQKVKMILDSQWCQGLQKGDIIKEIYHQNVQNLTHLQVVEVLKQFPVGADVPLLILRGGP CSPTKTAKTKTDTKENSGSLETINEPIPQPMPFPPSIIRSGSPKLDPSEVYLKSKTLYED KPPNTKDLDVFLRKQESGFGFRVLGGDGPDQSIYIGAIIPLGAAEKDGRLRAADELMCID GIPVKGKSHKQVLDLMTTAARNGHVLLTVRRKIFYGEKQPEDESHQAFSQNGSPRLNRAE LPTRSAPQEAYDVTLQRKENEGFGFVILTSKSKPPPGVIPHKIGRVIDGSPADRCGGLKV GDHISAVNGQSIVDLSHDNIVQLIKDAGVTVTLTVVAEEEHHGPPSGTNSARQSPALQHR PMGQAQANHIPGDRIALEGEIGRDVCSSYRHSWSDHKHLAQPDTAVISVVGSRHNQSLGC YPVELERGPRGFGFSLRGGKEYNMGLFILRLAEDGPAIKDGRIHVGDQIVEINGEPTQGI THTRAIELIQAGGNKVLLLLRPGTGLIPDHGLAPSGLCSYVKPEQH >ENSMUSP00000043367.10 pep:known chromosome:GRCm38:8:40551095:40634789:-1 gene:ENSMUSG00000039431.16 transcript:ENSMUST00000048890.16 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr7 description:myotubularin related protein 7 [Source:MGI Symbol;Acc:MGI:1891693] MEHIRTPKVENVRLVDRVSCKKAALGTLYLTATHVIFVENAPDTRKETWILHSQISTIEK QATTATGCPLLIRCKNFQIVQLVIPQERDCHDVYISLIRLARPVKYEELYCFSFNPKLDK EEREQGWLLVDLSEEYKRMGLPDNYWQLSDVNRDYRVCDSYPTELYVPRSATAHIIVGSS KFRSRRRFPALSYYCKDSHASICRSSQPLSGFSARCLEDEQMLQAIRKANPGSDFIYVVD TRPKLNAMANRAAGKGYENEDNYSNIKFQFIGIENIHVMRNSLQKMLEVCELKSPSMSDF LWGLENSGWLRHIKAIMDAGIFIAKAVSEEGASVLVHCSDGWDRTAQVCSVASLLLDPYY RTLKGFMVLIEKDWISFGHKFNHRYGNLDGDPKEISPVIDQFIECVWQLTEQFPCAFEFN ERFLTHIQHHVYSCQFGNFLCNSQKERRELNENGKSKKGSRFLKEDS >ENSMUSP00000043851.10 pep:known chromosome:GRCm38:8:40551101:40634776:-1 gene:ENSMUSG00000039431.16 transcript:ENSMUST00000048898.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr7 description:myotubularin related protein 7 [Source:MGI Symbol;Acc:MGI:1891693] MEHIRTPKVENVRLVDRVSCKKAALGTLYLTATHVIFVENAPDTRKETWILHSQISTIEK QATTATGCPLLIRCKNFQIVQLVIPQERDCHDVYISLIRLARPVKYEELYCFSFNPKLDK EEREQGWLLVDLSEEYKRMGLPDNYWQLSDVNRDYRVCDSYPTELYVPRSATAHIIVGSS KFRSRRRFPALSYYCKDSHASICRSSQPLSGFSARCLEDEQMLQAIRKANPGSDFIYVVD TRPKLNAMANRAAGKGYENEDNYSNIKFQFIGIENIHVMRNSLQKMLEVCELKSPSMSDF LWGLENSGWLRHIKAIMDAGIFIAKAVSEEGASVLVHCSDGWDRTAQVCSVASLLLDPYY RTLKGFMVLIEKDWISFGHKFNHRYGNLDGDPKEISPVIDQFIECVWQLTEQFPCAFEFN ERFLTHIQHHVYSCQFGNFLCNSQKERRELKIQERTYSLWSNLWKNRADYLNPLFRADHS QTQGSLHLPTAPCNFTYKFWNGMYNRFEKGLQPRQSVTDYLMAVKEESQQLEEELESLEE RLEKIQKVHLHGTKVKSKQSEPSKHSGFSTSDHSTANTPQDYSGNSKSFPSRSPSQGDED SALILTQDNLKSSDPDLSVNSDQESGVEDLSCRSPSGGEHAPSEDSGKDRDSDEAVFLTA >ENSMUSP00000134281.1 pep:known chromosome:GRCm38:8:40553858:40590514:-1 gene:ENSMUSG00000039431.16 transcript:ENSMUST00000173487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr7 description:myotubularin related protein 7 [Source:MGI Symbol;Acc:MGI:1891693] LYVPRSATAHIIVGSSKFRSRRRFPALSYYCKDSHASICRSSQPLSGFSARCLEDEQMLQ AIRKANPGSDFIYVVDTRPKLNAMANRAAGKGYENEDNYSNIKFQFIGIENIHVMRNSLQ KMLEVCELKSPSMSDFLWGLENSGWLRHIKAIMDAGIFIAKAVSEEGASVLVHCSDGWDR TAQVCSVASLLLDPYYRTLKGFMVLIEKDWISFGHKFNHRYGNLDGDPKEISPVIDQFIE CVWQLTEQFPCAFEFNERFLTHIQHHVYSCQFGNFLCNSQKERRELKIQERTYSLWSNLW KNRADYLNPLFRADHSQTQGSLHLPTAPCNFTYKFWNGMYNRFEKGLQPRQSVTDYLMAV KEESQQLEEELESLEEVRVLLLFIFSCAPVLSITSRKILKIWNINVAQAIFKSVGQHPDN EAQT >ENSMUSP00000134731.1 pep:known chromosome:GRCm38:8:40554661:40634792:-1 gene:ENSMUSG00000039431.16 transcript:ENSMUST00000174205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr7 description:myotubularin related protein 7 [Source:MGI Symbol;Acc:MGI:1891693] MEHIRTPKVENVRLVDRVSCKKAALGTLYLTATHVIFVENAPDTRKETWILHSQISTIEK QATTATGCPLLIRCKNFQIVQLVIPQERDCHDVYISLIRLARPVKYEELYCFSFNPKLDK EEREQGWLLVDLSEEYKRMGLPDNYWQLSDVNRDYRVCDSYPTELYVPRSATAHIIVGSS KFRSRRRFPALSYYCKDSHASICRSSQPLSGFSARCLEDEQMLQAIRKANPGSDFIYVVD TRPKLNAMANRAAGKGYENEDNYSNIKFQFIGIENIHVMRNSLQKMLEVCELKSPSMSDF LWGLENSGWLRHIKAIMDAGIFIAKAVSEEGASVLVHCSDGWDRTAQVCSVASLLLDPYY RTLKGFMVLIEKDWISFGHKFNHRYGNLDGDPKEISPVIDQFIECVWQLTEQFPCAFEFN ERFLTHIQHHVYSCQFGNFLCNSQKERRELKIQERTYSLWSNLWKNRADYLNPLFRADHS QTQGSLHLPTAPCNFTYK >ENSMUSP00000134020.1 pep:known chromosome:GRCm38:8:40564031:40634759:-1 gene:ENSMUSG00000039431.16 transcript:ENSMUST00000173957.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr7 description:myotubularin related protein 7 [Source:MGI Symbol;Acc:MGI:1891693] MEHIRTPKILHSQISTIEKQATTATGCPLLIRCKNFQIVQLVIPQERDCHDVYISLIRLA RPVKYEELYCFSFNPKLDKEEREQGWLLVDLSEEYKRMGLPDNYWQLSDVNRDYRVCDSY PTELYVPRSATAHIIVGSSKFRSRRRFPALSYYCKDSHASICRSSQPLSGFSARCLEDEQ MLQAIRKANPGSDFIYVVDTRPKLNAMANRAAGKGYENEDNYSNIKFQFIGIENIHVMRN SLQKMLEVCELKSPSMSDFL >ENSMUSP00000058283.9 pep:known chromosome:GRCm38:9:21592722:21595970:1 gene:ENSMUSG00000048429.10 transcript:ENSMUST00000062125.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810026J23Rik description:RIKEN cDNA 1810026J23 gene [Source:MGI Symbol;Acc:MGI:1917023] MVTAALKRFWSGGHGEAGGEAGGATTVAVKPGLWTRLSTWAGALLRDYAEACGDAAAAAR ARPGRAALYVGLLGGAAACCALAPSEAAFEEALLDASGSLLLLAPATRNRHSEAFLQRLL WLRGRGRLRHVNLGFCSLVYEAPFDAQASLYQARCRYLQPRWVDFPGRILDVGFVGRWWI LQNRMHDCDINDDEFLHLPAHLRVVAPHQLHSEANERLFEEKYKPIILTDDQVDQALWEE QVLQKERKDRLALSEADSLVQSDVSR >ENSMUSP00000140465.1 pep:known chromosome:GRCm38:18:35650351:35655238:-1 gene:ENSMUSG00000073600.3 transcript:ENSMUST00000190196.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prob1 description:proline rich basic protein 1 [Source:MGI Symbol;Acc:MGI:2686460] MLTALAPLALPGLSRRLPAPAGRQDSSGSSGSYHTAPGSPEPPDVGPDTEGRGTWLWVAP GRGAGAQPVLSVSAQNSRQQHGSGSGFPRGPGSGPLPPRPQLRMLPSGEMEVIFGAGPLF NRSDAEDLQEQQLMAPTFSSPQLPGPASPASVSSQPQVPDGGSRWATYLELPPREPSPAV SGQYECVEVALEERSAPVRPRTVPKRQIELRPRPRSPSQDSRAPRPRLLLRTGSLDESLS RLQAAAGIVQTALARKLGSAVPAPSNVTFKSTAKPESTTNSQETTDSTRVLLEEAKSRPP RAHDNSSSARVPRPWPSLRERAIRRDKPAPGTEPLGPVSSSIFLQSEEKPHQAYKQESKT QFPRETPDRTVPRARSPPFHSRGSRVVPSRVVRPRSPSPPQQAPNGSPHNPPQPSKIVWR RSSPAFPGGTSSAWENRNASVEEVVSRRTPSPPPLPQWTQGVARVGNPCPEAPLVWKVPN SRGGDAVGLSRDSSLPALLPREAPHPIETRTPSPGETWSPVVQGASTALHQEAMNGLTQE LEPPTPSAPATPELTEALCLLSLENAGIAVRGGQRSPDVDTPEQPRSHLTSTLDDDVCPE AVGSGEAASERPRVTIPRPRDVRKMVKTTYAPSFPAGTPGSGLPAPPADTCGEDGDASKT HKLPALESPAPAHYTSIFLKDFLPVVPHPYESPEPSLHATPKDASQSSGVPRRRAENSTA KPFARSEIRLPGALAFGQRREKTPSVQRRGPGGENPDAEAQRLVRDPEGRTSPLGGARTS TQCSPLESTGTRPPRPGSPQACPNSSLRAAPELETPLVAPATAVQAPLLPDHPATAARAA APFPRAASAPPTDRLPPASSLGRRPPGAAPAGKVLVDPESGRYYFVEAPRQPRLRLLFDP ESGQYVEVLLPPSPSPSRQPRQPYAPLALGPGLYPPAYGPISGPSLSPLSPNLSALGSLQ LPWAPEAGPLEGMYYMPLSGTPSPAPPMLFCAPPSSSGPIQSSKGSVFPL >ENSMUSP00000095224.1 pep:known chromosome:GRCm38:18:35652167:35655187:-1 gene:ENSMUSG00000073600.3 transcript:ENSMUST00000097619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prob1 description:proline rich basic protein 1 [Source:MGI Symbol;Acc:MGI:2686460] LAPLALPGLSRRLPAPAGRQDSSGSSGSYHTAPGSPEPPDVGPDTEGRGTWLWVAPGRGA GAQPVLSVSAQNSRQQHGSGSGFPRGPGSGPLPPRPQLRMLPSGEMEVIFGAGPLFNRSD AEDLQEQQLMAPTFSSPQLPGPASPASVSSQPQVPDGGSRWATYLELPPREPSPAVSGQY ECVEVALEERSAPVRPRTVPKRQIELRPRPRSPSQDSRAPRPRLLLRTGSLDESLSRLQA AAGIVQTALARKLGSAVPAPSNVTFKSTAKPESTTNSQETTDSTRVLLEEAKSRPPRAHD NSSSARVPRPWPSLRERAIRRDKPAPGTEPLGPVSSSIFLQSEEKPHQAYKQESKTQFPR ETPDRTVPRARSPPFHSRGSRVVPSRVVRPRSPSPPQQAPNGSPHNPPQPSKIVWRRSSP AFPGGTSSAWENRNASVEEVVSRRTPSPPPLPQWTQGVARVGNPCPEAPLVWKVPNSRGG DAVGLSRDSSLPALLPREAPHPIETRTPSPGETWSPVVQGASTALHQEAMNGLTQELEPP TPSAPATPELTEALCLLSLENAGIAVRGGQRSPDVDTPEQPRSHLTSTLDDDVCPEAVGS GEAASERPRVTIPRPRDVRKMVKTTYAPSFPAGTPGSGLPAPPADTCGEDGDASKTHKLP ALESPAPAHYTSIFLKDFLPVVPHPYESPEPSLHATPKDASQSSGVPRRRAENSTAKPFA RSEIRLPGALAFGQRREKTPSVQRRGPGGENPDAEAQRLVRDPEGRTSPLGGARTSTQCS PLESTGTRPPRPGSPQACPNSSLRAAPELETPLVAPATAVQAPLLPDHPATAARAAAPFP RAASAPPTDRLPPASSLGRRPPGAAPAGKVLVDPESGRYYFVEAPRQPRLRLLFDPESGQ YVEVLLPPSPSPSRQPRQPYAPLALGPGLYPPAYGPISGPSLSPLSPNLSALGSLQLPWA PEAGPLEGMYYMPLSGTPSPAPPMLFCAPPSSSGPIQSSKGSVFPL >ENSMUSP00000123588.1 pep:known chromosome:GRCm38:X:108737016:108834348:-1 gene:ENSMUSG00000063663.11 transcript:ENSMUST00000150434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brwd3 description:bromodomain and WD repeat domain containing 3 [Source:MGI Symbol;Acc:MGI:3029414] MAAAPTQIEAELYYLIARFLQSGPCNKSAQVLVQELEEHQLIPRRLDWEGKEHRRSFEDL VAANAHIPPDYLLKICERIGPLLDKEIPQSVPGVQTLLGVGRQSLLRDAKDCKSTLWNGS AFAALHRGRPPELPVNYVKPPNVVNITSARQLTGCSRFSHVFPSSAYQHIKMHKRILGHL SSVYCVAFDRSGRRIFTGSDDCLVKIWATDDGRLLATLRGHSAEISDMAVNYENTLIAAG SCDKVVRVWCLRTCAPVAVLQGHSASITSIQFCPSTKGTTRYLTSTGADGTICFWQWHVK TMKFRDRPVKFTERSRPGVQISCSSFSSGGMFITTGSTDHVIRIYYLGSEIPEKIAELES HTDKVVAVQFCNNGDSLRFVSGSRDGTARIWQYQQQEWKSIVLDMATKMSGNNLTSAEDK VTKLKVTMVAWDRYDTTVITAVNNFLLKVWNSVTGQLLHTLSGHDDEVFVLEAHPFDQRI ILSAGHDGNIFIWDLDRGTKIRNYFNMIEGQGHGAVFDCKFSPDGNHFACTDSHGHLLLF GFGCSKYYEKIPDQMFFHTDYRPLIRDANNYVLDEQTQQAPHLMPPPFLVDVDGNPHPTK FQRLVPGRENCKDEQLIPQLGYVANGDGEVVEQVIGQQTNDQEESILDGIIRELQREQDL RLINEGDVPHFPINRSYSVNGALSSPNMDIPSSPNIGLRRSGQIEGVRQMHNNAPRSQMA TERDLMAWSRRVVVNELNSGVSRVQEECRNAKGDLEVSLYTVEKKKKPSYPIQRNDYQPS CGRSLRRTQRKRQHTYLTRSNIEHNSQASSQTSGVQEDSDSSSEEDETVGTSDASVEDPV VEWQSESSSSDSSSEYSDWIADAGINLQPPKRQTRQATQKIYSSSEDENLKLEDRQKKPK QTKKKKGGLVSMAGEPNEEWLAPQWILDTIPRRSPFVPQMGDELIYFRQGHEAYVRAVRK SKIYSVNLQKQPWNKMDLREQEFVKIVGIKYEIGPPTLCCLKLAFLDPISGKMTGESFSI KYHDMPDVIDFLVLHQFYNEAKERNWQIGDRFRSIIDDAWWFGTVESQQPFQPEYPDSSF QCYSVHWDNNEREKMSPWDMEPIPDGTAFPDEVGAGIPVSQEELTALLYKPQEGEWGAHS RDEECERVIQGINNLLSLDFASPFAVPVDLSAYPLYCTVVAYPTDLNTIKQRLENRFYRR ISALMWEVRYIEHNARTFNEPDSPIVKAAKIVTDVLLRFIGDQSCSDILDTYNKIKAEDP DSSDLEEDSEMVDLDSDGPGTSSGRRAKCRGRRQSLKCNPDAWKKQCEELLSLIYEREDS EPFRQPADPQSYPVQQQQEGESSQSVPPDRQDPSLSEDYQDGIDTPMDFSTVKETLESGN YDSPLEFYKDVRQIFSNSKAYTSNKKSRIYSMTLRLSALFENHIKNIISDYKSAIQSQKR RRPRYRKRLRSSSSSLSSSRAPSPKGKQKQMKLQPKNDQNTSVAYARTSSPFSSPVSDAA EGVSLYLLDDEGDGPFSPSSFSGYSRSGNSHDPGKAKSFRNRVLPAKQDHSLDGPLTNVD GREPRTGAKRKLLSASEEDESMGGEEKEMKETKEQVHLSSSESGELGSSLSSESTSGSDS DSESTSRTDQDYVDGDHDYSKFIQTRPKRKLRKQHTNGKRNWKTRGTGGRGRWGRWGRWS RGGRGRGGRGRGGRGRGGGGGRGRGRGRGGRGASRGSSRAKRARVADDEFDTMFSGRFSR LPRIKTRNQGRRTVLYNDDSDNDNFVSTEDPLNLGTSRSGRVRKMTEKARVSHLMGWNY >ENSMUSP00000134621.1 pep:known chromosome:GRCm38:X:108742568:108834372:-1 gene:ENSMUSG00000063663.11 transcript:ENSMUST00000041866.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brwd3 description:bromodomain and WD repeat domain containing 3 [Source:MGI Symbol;Acc:MGI:3029414] MAAAPTQIEAELYYLIARFLQSGPCNKSAQVLVQELEEHQLIPRRLDWEGKEHRRSFEDL TVRVHYGMGLLLQPSTEADPQNYL >ENSMUSP00000133674.1 pep:known chromosome:GRCm38:X:108742568:108834372:-1 gene:ENSMUSG00000063663.11 transcript:ENSMUST00000144521.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brwd3 description:bromodomain and WD repeat domain containing 3 [Source:MGI Symbol;Acc:MGI:3029414] MAAAPTQIEAELYYLIARFLQSGPCNKSAQVLVQELEEHQLIPRRLDWEGKEHRRSFEDL >ENSMUSP00000098841.3 pep:known chromosome:GRCm38:X:108820897:108834346:-1 gene:ENSMUSG00000063663.11 transcript:ENSMUST00000101283.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brwd3 description:bromodomain and WD repeat domain containing 3 [Source:MGI Symbol;Acc:MGI:3029414] MAAAPTQIEAELYYLIARFLQSGPCNKSAQVLVQELEEHQLIPRRLDWEGKEHRRSFEDL VAANAHIPPDYLLKICERIGPLLDKEIPQSVPGVQTLLGVGRQSLLRDAKDCKSTLWNGS AFAALHRGRPPELPVNYVKPPNVGFFFVALTVLELYVDQAGLCLSSAGIKVVCHMTSYIT F >ENSMUSP00000052149.5 pep:known chromosome:GRCm38:1:78658038:78671512:1 gene:ENSMUSG00000079470.8 transcript:ENSMUST00000053760.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp14b description:UTP14, U3 small nucleolar ribonucleoprotein, homolog B (yeast) [Source:MGI Symbol;Acc:MGI:2445092] MKPKMRPDPSSRANRPCEKKEAATMNMARNVTDLLALSQQEELVDLPENYPLSTSEDEGD SDGEGKRQKLLEAVSSLGRKNKWKLAERSEASRMVSEFNVTSEGSGEKLVLSDLLGSATA LSSVAAVKKQLHRVKSKTLTPPLNKEEADRALREAAFSKTSQMLSRWDPVVLKNRQAEQL IFPMEKEPPAVAPIEHVFTDWKVRTPLEREVFNLLHKNKQPVTDPLLTPVETASIRAMSL EEAKIRRAELQRTRALQSYYEARARREKRIKSKKYHRALKKGKAKKALKEFEELWKDCPN AALQELEKMEKARMTERMSLKHQGSGKWAKSKAIMAKYDPEARKAMQEQLAKNRELTQKL QVVSESEEEDGCTEEGIVSVSHGMDDLQMNADGVNPWMLSSCNSNAKRGEIKTDPEQMPE FVAHVSSESEGDERPVAEELVLKERSFQERVDPNNAKLMDGQETEDSDSQEVLQKLNKES HQSDNQKVSSEENVLHIQREDLASEKLLVLQRLERAHVLEQQGELSKEEHYPKKGLSRPL LKGDWKEMKPLTNPDASGGKKKKEQMIDLRNLLTANSSPVRSLAVPTIQQLEDEVETDHK QLIREAFAGDDVIREFLKEKREAIETNKPKDLDLSLPGWGEWVGMGLKPSAKKRRRFLIK APESSPRKDKNLPNVIISEKRNIHAAAHQVRALPHPFTHQQQFERTIQNPIGYMWNTQRT FQKLTVPKVGTKLGHIIKPIKAENVGYCSSTRSDLSILQSSQKCLSRKQQKQLKKLSSAD >ENSMUSP00000121391.1 pep:known chromosome:GRCm38:1:78658440:78667584:1 gene:ENSMUSG00000079470.8 transcript:ENSMUST00000151622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp14b description:UTP14, U3 small nucleolar ribonucleoprotein, homolog B (yeast) [Source:MGI Symbol;Acc:MGI:2445092] MKPKMRPDPSSRANRPCEKKEAATMNMARNVTDLLALSQQEELVDLPENYPLSTSEDEGD SDGEGKRQKLLEAVSSLGRKNKWKLAERSEASRMVSEFNVTSEGSGEKLVLSDLLGSATA LSSVAAVKKQLHRVKSKTLTPPLNKEEADRALREAAFSKTSQMLSRWDPVVLKNRQAEQL IFPMEKEPPAVAPIEHVFTDWKVRTPLEREVFNLLHKNKQPVTDPLLTPVETASIRAMSL EEAKIRRAELQRTRALQSYYEARARREKRIKSKKYHRALKKGKAKKALKEFEELWKDCPN AALQELEKMEKARMTERMSLKHQGSGKWAKSKAIMAKYDPEARKAMQEQLAKNRELTQKL QVVSESEEEDGCTEEGIVSVSHGMDDLQMNADGVNPWMLSSCNSNAKRGEIKTDPEQMPE FVAHVSSESEGDERPVAEELVLKERSFQERVDPNNAKLMDGQETEDSDSQEVLQKLNKES HQSDNQKVSSEENVLHIQREDLASEKLLVLQRLERAHVLEQQGELSKEEHYPKKGLSRPL LKGDWKEMKPLTNPDASGGKKKKEQMIDLRNLLTANSSPVRSLAVPTIQQLEDEVETDHK QLIREAFAGDDVIREFLKEKREAIETNKPKDLDLSLPGWGEWVGMGLKPSAKKRRRFLIK APESSPRKDKNLPNVIISEKRNIHAAAHQVRALPHPFTHQQQFERTIQNPIGYMWNTQRT FQKLTVPKVGTKLGHIIKPIKAENVGYCSSTRSDLSILQSSQKCLSRKQQKQLKKLSSAD >ENSMUSP00000123397.1 pep:known chromosome:GRCm38:19:10533865:10555736:-1 gene:ENSMUSG00000024667.11 transcript:ENSMUST00000145210.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem216 description:transmembrane protein 216 [Source:MGI Symbol;Acc:MGI:1920020] MLLLYLGIEVIRLFFGTKGNLCQRKMPLGISVALTFPSAMMASYYLLLQTYVLRLEAIMN SILLFFCGSELLLEMLTLATFSSMDRI >ENSMUSP00000119596.1 pep:known chromosome:GRCm38:19:10539403:10555751:-1 gene:ENSMUSG00000024667.11 transcript:ENSMUST00000123788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem216 description:transmembrane protein 216 [Source:MGI Symbol;Acc:MGI:1920020] MAPRDKRLSSTPLEVLFFLNGWYYATYFLLELLIFLYKGLLLPYPTANLVLDVVMLLLYL GIEVIRLFFGTKGNLCQRKMPLGISVALTFPSAMMASYYLLLQTYVLRLEAIMNSILLFF CGSELLLEMLTLATFSSMDRI >ENSMUSP00000025569.2 pep:known chromosome:GRCm38:19:10550461:10556238:-1 gene:ENSMUSG00000024667.11 transcript:ENSMUST00000025569.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem216 description:transmembrane protein 216 [Source:MGI Symbol;Acc:MGI:1920020] MLLLYLGIEVIRLFFGTKGNLCQRKMPLGISVALTFPSAMMASYYLLLQTYVLRLEAIMN SILLFFCGSELLLEMLTLATFSSMDRI >ENSMUSP00000059878.2 pep:known chromosome:GRCm38:19:10550492:10555751:-1 gene:ENSMUSG00000024667.11 transcript:ENSMUST00000059582.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem216 description:transmembrane protein 216 [Source:MGI Symbol;Acc:MGI:1920020] MLLLYLGIEVIRLFFGTKGNLCQRKMPLGISVALTFPSAMMASYYLLLQTYVLRLEAIMN SILLFFCGSELLLEMLTLATFSSMDRI >ENSMUSP00000115319.1 pep:known chromosome:GRCm38:19:10550550:10555751:-1 gene:ENSMUSG00000024667.11 transcript:ENSMUST00000154383.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem216 description:transmembrane protein 216 [Source:MGI Symbol;Acc:MGI:1920020] MAPRDKRLSSTPLEVLFFLNGWYYATYFLLELLIFLYKGLLLPYPTANLVLDVVMLLLYL GIEVIRLFFGTKGNLCQRKMPLGISVALTFPSAMMASYYLLLQTYVLRLEAIMNSILLFF CGSELLLEMLTLATFSSMDRI >ENSMUSP00000103107.1 pep:known chromosome:GRCm38:4:61515592:61519531:-1 gene:ENSMUSG00000078675.9 transcript:ENSMUST00000107483.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup16 description:major urinary protein 16 [Source:MGI Symbol;Acc:MGI:3780250] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000092661.5 pep:known chromosome:GRCm38:4:61516520:61519467:-1 gene:ENSMUSG00000078675.9 transcript:ENSMUST00000095051.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup16 description:major urinary protein 16 [Source:MGI Symbol;Acc:MGI:3780250] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000066721.1 pep:known chromosome:GRCm38:11:94694498:94704499:-1 gene:ENSMUSG00000056008.1 transcript:ENSMUST00000069852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11541 description:predicted gene 11541 [Source:MGI Symbol;Acc:MGI:3650066] MKTRGRCPLVDSFEEVTLKEWSHVGKKQRLNPPPLRAIHPHFYRKEAVEGTTRDEGYKKQ LELYFTRQTLQMQFVVLLSSVRADISCSCLGRETKKGDVYSLKYIHGA >ENSMUSP00000141090.1 pep:known chromosome:GRCm38:Y:84562572:84564907:-1 gene:ENSMUSG00000094660.2 transcript:ENSMUST00000189463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21394 description:predicted gene, 21394 [Source:MGI Symbol;Acc:MGI:5434749] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYLYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAGARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136571.1 pep:known chromosome:GRCm38:Y:84562711:84563394:-1 gene:ENSMUSG00000094660.2 transcript:ENSMUST00000179523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21394 description:predicted gene, 21394 [Source:MGI Symbol;Acc:MGI:5434749] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYLYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAGARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000117572.1 pep:known chromosome:GRCm38:1:167001432:167089077:1 gene:ENSMUSG00000060568.14 transcript:ENSMUST00000156025.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam78b description:family with sequence similarity 78, member B [Source:MGI Symbol;Acc:MGI:2443050] MGCIQSITCKARIRRENIVVYDVCATIDQCPTRIEETSPIVLRYKTPYFKASARVVMPPI PRHETWVVGWIQACNQMEFFNTYSDLGMSSWELPDLREGRVKAISDSDGVSYPWYGNTTE TVTLVGPTNKISRFSVSMNDNFYPSVTWAVPVSDSNVPLLTRIKRDQSFTTWLVAMNTTT KEKIILQTIKWRMRVDIEVDPLQLLGQRARLVGRTQQEQPRILSRMEPIPPNALVKPNAN DAQVLMWRPKRGPPLVVIPPK >ENSMUSP00000122636.1 pep:known chromosome:GRCm38:1:167001466:167081124:1 gene:ENSMUSG00000060568.14 transcript:ENSMUST00000126198.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam78b description:family with sequence similarity 78, member B [Source:MGI Symbol;Acc:MGI:2443050] MGCIQSITCKARIRRENIVVYDVCATIDQCPTRIEETSPIVLRYKTPYFKASARVVMPPI PRHETWVVGWIQACNQMEFFNTYSDLGMSSWELPDLREGRVKAISDSDGVSYPWYGNTTE TVTLVGPTNKISRFSVSMNDNFYPSVTWAVPVSDSNVPLLTRIKRDQSFTTWLVAMNTTT KEKIILQTIKWRMRVDIEVDPLQLLGQRARLVGRTQQEQPRILSRMEPIPPNALVKPNAN DAQVLMWRPKRGPPLVVIPPK >ENSMUSP00000115826.1 pep:known chromosome:GRCm38:1:167001580:167088724:1 gene:ENSMUSG00000060568.14 transcript:ENSMUST00000122929.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam78b description:family with sequence similarity 78, member B [Source:MGI Symbol;Acc:MGI:2443050] XSITCKARIRRENIVVYDVCATIDQCPTRIEETSPIVLRYKTPYFKASARVVMPPIPRHE TWVVGWIQACNQMEFFNTYSDLGMSSWELPDLREGRVKAISDSDGVSYPWYGNTTETVTL VGPTNKISRFSVSMNDNFYPSVTWAVPVSDSNVPLLTRIKRDQSFTTWLVAMNTTTKEKI ILQTIKWRMRVDIEVDPLQLLGQRARLVGRTQQEQPRILSRMEPIPPNALVKPNANDAQV LMWRPKRGPPLVVIPPK >ENSMUSP00000117516.1 pep:known chromosome:GRCm38:1:167001589:167091009:1 gene:ENSMUSG00000060568.14 transcript:ENSMUST00000128373.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam78b description:family with sequence similarity 78, member B [Source:MGI Symbol;Acc:MGI:2443050] XCKARIRRENIVVYDVCATIDQCPTRIEETSPIVLRYKTPYFKASARVVMPPIPRHETWV VGWIQACNQMEFFNTYSDLGMSSWELPDLREGRVKAISDSDGVSYPWYGNTTETVTLVGP TNKISRFSVSMNDNFYPSVTWAVPVSDSNVPLLTRIKRDQSFTTWLVAMNTTTKEKIILQ TIKWRMRVDIEVDPLQLLGQRARLVGRTQQEQPRILSRMEPIPPNALVKPNANDAQVLMW RPKRGPPLVVIPPK >ENSMUSP00000131620.1 pep:known chromosome:GRCm38:1:167001417:167091302:1 gene:ENSMUSG00000060568.14 transcript:ENSMUST00000165874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam78b description:family with sequence similarity 78, member B [Source:MGI Symbol;Acc:MGI:2443050] MGCIQSITCKARIRRENIVVYDVCATIDQCPTRIEETSPIVLRYKTPYFKASARVVMPPI PRHETWVVGWIQACNQMEFFNTYSDLGMSSWELPDLREGRVKAISDSDGVSYPWYGNTTE TVTLVGPTNKISRFSVSMNDNFYPSVTWAVPVSDSNVPLLTRIKRDQSFTTWLVAMNTTT KEKIILQTIKWRMRVDIEVDPLQLLGQRARLVGRTQQEQPRILSRMEPIPPNALVKPNAN DAQVLMWRPKRGPPLVVIPPK >ENSMUSP00000139628.1 pep:known chromosome:GRCm38:1:167001417:167091302:1 gene:ENSMUSG00000060568.14 transcript:ENSMUST00000190081.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam78b description:family with sequence similarity 78, member B [Source:MGI Symbol;Acc:MGI:2443050] MGCIQSITCKARIRRENIVVYDVCATIDQCPTRIEETSPIVLRYKTPYFKASARVVMPPI PRHETWVVGWIQACNQMEFFNTYSDLGMSSWELPDLREGRVKAISDSDGVSYPWYGNTTE TVTLVGPTNKISRFSVSMNDNFYPSVTWAVPVSDSNVPLLTRIKRDQSFTTWLVAMNTTT KEKIILQTIKWRMRVDIEVDPLQLLGQRARLVGRTQQEQPRILSRMEPIPPNALVKPNAN DAQVLMWRPKRGPPLVVIPPK >ENSMUSP00000000809.2 pep:known chromosome:GRCm38:8:70882889:70892757:-1 gene:ENSMUSG00000000792.2 transcript:ENSMUST00000000809.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a5 description:solute carrier family 5 (sodium iodide symporter), member 5 [Source:MGI Symbol;Acc:MGI:2149330] MEGAEAGARATFGPWDYGVFATMLLVSTGIGLWVGLARGGQRSADDFFTGGRQLAAVPVG LSLAASFMSAVQVLGVPAEAARYGLKFLWMCVGQLLNSLLTALLFLPIFYRLGLTSTYQY LELRFSRAVRLCGTLQYLVATMLYTGIVIYAPALILNQVTGLDIWASLLSTGIICTLYTT VGGMKAVVWTDVFQVVVMLVGFWVILARGVMLMGGPWNVLSLAQNHSRINLMDFDPDPRS RYTFWTFVVGGSLVWLSMYGVNQAQVQRYVACHTERKAKLALLVNQLGLFLIVASAACCG IVMFVYYKDCDPLLTGRIAAPDQYMPLLVLDIFEDLPGVPGLFLACAYSGTLSTASTSIN AMAAVTVEDLIKPRMPSLAPRKLVFISKGLSFIYGSTCLTVAALSSLLGGGVLQGSFTVM GVISGPLLGAFTLGMLLPACNTPGVLSGLTAGLAVSLWVAVGATLYPPGEQTMGVLPTSA AGCTNASVLPSPPGAANTSRGIPSSGMDSGRPAFADTFYAVSYLYYGALGTLTTMLCGAL ISYLTGPTKRSSLGPGLLWWDLARQTASVAPKEDTTTLEDSLVKGPEDIPAATKKPPGFR PEAETHPLYLGHDAETNL >ENSMUSP00000121717.1 pep:known chromosome:GRCm38:11:62700356:62731885:-1 gene:ENSMUSG00000005267.13 transcript:ENSMUST00000150336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp287 description:zinc finger protein 287 [Source:MGI Symbol;Acc:MGI:2176561] MANSSLSQVLLMWKPGKIQKGPCSAEQQTLTSRLLRDTETCRRNFRNFPYPDVAGPRKAL CQLRELCLKWLRPEVHSKEQILELLVLEQFLSILPGEVRTWVNSQYPESSEEVVALVEDL TQILEEEEAPQSSALPQDTPEDDPNHDPNPASQAGWLSDVVTKDLVTFNDVAVDITQEDW ELMPPVQKELYKTVTLQNYWNMVSLGLTVYRPTVIPVLEEPWMVIKEIVEGPNPVSHSQN TQLSFTLLALVPPVPCIRRLKQLSHDLKNEPRATHLDQLLKFSSQPGTVTYAFDPST >ENSMUSP00000114531.1 pep:known chromosome:GRCm38:11:62711251:62728673:-1 gene:ENSMUSG00000005267.13 transcript:ENSMUST00000149228.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp287 description:zinc finger protein 287 [Source:MGI Symbol;Acc:MGI:2176561] MANSSLSQVLLMWKPGKIQKGPCSAEQQTLTSRLLRDTETCRRNFRNFPYPDVAGPRKAL CQLRELCLKWLRPEVHSKEQILELLVLEQFLSILPGEVRTWVNSQYPESSEEVVALVEDL TQILEEEEAPQSSALPQDTPEDDPNHDPNPASQAGWLSDVVTKDLVTFNDVAVDITQEDW ELMPPVQKELYKTVTLQNYWNMVSLGLTVYRPTVIPVLEEPWMVIKEIVEGPNPEWEPKA QAQCPAKHLPELKQDGTQTVKLEDSYDDDNDDSVESPPVCAFGMIHIDEEGFSVKSELSQ EDPTEEYLSKCDIYRVTFEKHTNLGVQFDTQSDDKTALYNESKPPFSNASSGGAVRGKIL PGDKPYSCNVCGKQFRKYPSLLAHRENHAKEKAYECEECGKEFKHLSSLIAHQRMHTGEK PYECHQCGKAFSQRAHLTIHQRIHTGEKPYKCEDCGKDFSQRAHLTIHQRTHTGEKPYKC LECSKTFSHSSSLINHQRVHTGEKPYICNECGKTFSQSTHLLQHQKIHTGKKPYKCNECW KVFSQSTYLIRHQRIHSGEKCYKCTACGKAFAHSSTLIQHQTTHTGEKSYICNVCGKAFS QSANLTQHHRTHTGEKPYKCSVCGKAFSQSVHLTQHQRIHNGEKPFKCNTCGKAYRQGAN LTQHQRVHTGEKPYKCHHCGKAFIYSSSLNQHRRTHTGERPYKCSHCNKDFSQRTCLIQH QRIHTGEKPYGCRICGKAFTQSTNLIQHQRVHTGARHRN >ENSMUSP00000005399.3 pep:known chromosome:GRCm38:11:62713287:62729093:-1 gene:ENSMUSG00000005267.13 transcript:ENSMUST00000005399.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp287 description:zinc finger protein 287 [Source:MGI Symbol;Acc:MGI:2176561] MWKPGKIQKGPCSAEQQTLTSRLLRDTETCRRNFRNFPYPDVAGPRKALCQLRELCLKWL RPEVHSKEQILELLVLEQFLSILPGEVRTWVNSQYPESSEEVVALVEDLTQILEEEEAPQ SSALPQDTPEDDPNHDPNPASQAGWLSDVVTKDLVTFNDVAVDITQEDWELMPPVQKELY KTVTLQNYWNMVSLGLTVYRPTVIPVLEEPWMVIKEIVEGPNPEWEPKAQAQCPAKHLPE LKQDGTQTVKLEDSYDDDNDDSVESPPVCAFGMIHIDEEGFSVKSELSQEDPTEEYLSKC DIYRVTFEKHTNLGVQFDTQSDDKTALYNESKPPFSNASSGGAVRGKILPGDKPYSCNVC GKQFRKYPSLLAHRENHAKEKAYECEECGKEFKHLSSLIAHQRMHTGEKPYECHQCGKAF SQRAHLTIHQRIHTGEKPYKCEDCGKDFSQRAHLTIHQRTHTGEKPYKCLECSKTFSHSS SLINHQRVHTGEKPYICNECGKTFSQSTHLLQHQKIHTGKKPYKCNECWKVFSQSTYLIR HQRIHSGEKCYKCTACGKAFAHSSTLIQHQTTHTGEKSYICNVCGKAFSQSANLTQHHRT HTGEKPYKCSVCGKAFSQSVHLTQHQRIHNGEKPFKCNTCGKAYRQGANLTQHQRVHTGE KPYKCHHCGKAFIYSSSLNQHRRTHTGERPYKCSHCNKDFSQRTCLIQHQRIHTGEKPYG CRICGKAFTQSTNLIQHQRVHTGARHRN >ENSMUSP00000117179.1 pep:known chromosome:GRCm38:11:62721580:62728671:-1 gene:ENSMUSG00000005267.13 transcript:ENSMUST00000128370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp287 description:zinc finger protein 287 [Source:MGI Symbol;Acc:MGI:2176561] MWKPGKIQKGPCSAEQQTLTSRLLRDTETCRRNFRNFPYPDVAGPRKALCQLRELCLKWL RPEVHSKEQILELLVLEQFLSILPGEVRTWVNSQYPESSEEVVALVEDLTQILEEEEAPQ SSALPQDTPEDDPNHDPNPASQAGWLSDVVTKDLVTFNDVAVDITQEDWELMPPVQKELY KTVTLQNYWNMVSLGLTVYRPTVIPVLEEPWMVIKEIVEGPNPGRQTCRTVGSLIHGTTH PSGVMSCSCSFEHLKDALSVPALIHLLAPVSRPF >ENSMUSP00000141046.1 pep:known chromosome:GRCm38:11:62711265:62728666:-1 gene:ENSMUSG00000005267.13 transcript:ENSMUST00000185656.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp287 description:zinc finger protein 287 [Source:MGI Symbol;Acc:MGI:2176561] MANSSLSQVLLMWKPGKIQKGPCSAEQQTLTSRLLRDTETCRRNFRNFPYPDVAGPRKAL CQLRELCLKWLRPEVHSKEQILELLVLEQFLSILPGEVRTWVNSQYPESSEEVVALVEDL TQILEEEEAPQSSALPQDTPEDDPNHDPNPASQAGWLSDVVTKDLVTFNDVAVDITQEDW ELMPPVQKELYKTVTLQNYWNMVSLGLTVYRPTVIPVLEEPWMVIKEIVEGPNPEWEPKA QAQCPAKHLPELKQDGTQTVKLEDSYDDDNDDSVESPPVCAFGMIHIDEEGFSVKSELSQ EDPTEEYLSKCDIYRVTFEKHTNLGVQFDTQSDDKTALYNESKPPFSNASSGGAVRGKIL PGDKPYSCNVCGKQFRKYPSLLAHRENHAKEKAYECEECGKEFKHLSSLIAHQRMHTGEK PYECHQCGKAFSQRAHLTIHQRIHTGEKPYKCEDCGKDFSQRAHLTIHQRTHTGEKPYKC LECSKTFSHSSSLINHQRVHTGEKPYICNECGKTFSQSTHLLQHQKIHTGKKPYKCNECW KVFSQSTYLIRHQRIHSGEKCYKCTACGKAFAHSSTLIQHQTTHTGEKSYICNVCGKAFS QSANLTQHHRTHTGEKPYKCSVCGKAFSQSVHLTQHQRIHNGEKPFKCNTCGKAYRQGAN LTQHQRVHTGEKPYKCHHCGKAFIYSSSLNQHRRTHTGERPYKCSHCNKDFSQRTCLIQH QRIHTGEKPYGCRICGKAFTQSTNLIQHQRVHTGARHRN >ENSMUSP00000022629.8 pep:known chromosome:GRCm38:14:66802864:66868688:-1 gene:ENSMUSG00000022048.8 transcript:ENSMUST00000022629.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl2 description:dihydropyrimidinase-like 2 [Source:MGI Symbol;Acc:MGI:1349763] MSYQGKKNIPRITSDRLLIKGGKIVNDDQSFYADIYMEDGLIKQIGENLIVPGGVKTIEA HSRMVIPGGIDVHTRFQMPDQGMTSADDFFQGTKAALAGGTTMIIDHVVPEPGTSLLAAF DQWREWADSKSCCDYSLHVDITEWHKGIQEEMEALVKDHGVNSFLVYMAFKDRFQLTDSQ IYEVLSVIRDIGAIAQVHAENGDIIAEEQQRILDLGITGPEGHVLSRPEEVEAEAVNRSI TIANQTNCPLYVTKVMSKSAAEVIAQARKKGTVVYGEPITASLGTDGSHYWSKNWAKAAA FVTSPPLSPDPTTPDFLNSLLSCGDLQVTGSAHCTFNTAQKAVGKDNFTLIPEGTNGTEE RMSVIWDKAVVTGKMDENQFVAVTSTNAAKVFNLYPRKGRISVGSDADLVIWDPDSVKTI SAKTHNSALEYNIFEGMECRGSPLVVISQGKIVLEDGTLHVTEGSGRYIPRKPFPDFVYK RIKARSRLAELRGVPRGLYDGPVCEVSVTPKTVTPASSAKTSPAKQQAPPVRNLHQSGFS LSGAQIDDNIPRRTTQRIVAPPGGRANITSLG >ENSMUSP00000021240.6 pep:known chromosome:GRCm38:11:94741782:94743014:1 gene:ENSMUSG00000020870.7 transcript:ENSMUST00000021240.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc34b description:cell division cycle 34B [Source:MGI Symbol;Acc:MGI:1858429] MAGPLVPSSQKVLLLELKGLQEEPVEGFRVTLVDEGDLYNWEVAIFGPPNTYYEGGYFKA HLKFPIDYPYSPPTFWFLTKMWHPNIHETGFVCISILHPPDDNPQGGELPSERWNPTQNV RTILLSVISLLNEPNTSSPANVDASVMYRKWKESKGKDHEYTDIIRKQVLGTKVDAERDG VKVPTTLAEYCVKTKAPAPDEGSDLFDYYVDGEVEEADSCFGDEEDDFGPKSPDPRP >ENSMUSP00000139570.1 pep:known chromosome:GRCm38:11:94741782:94743033:1 gene:ENSMUSG00000020870.7 transcript:ENSMUST00000188741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc34b description:cell division cycle 34B [Source:MGI Symbol;Acc:MGI:1858429] MAGPLVPSSQKVLLLELKGLQEEPVEGFRVTLVDEGDLYNWEVAIFGPPNTYYEGGYFKA HLKFPIDYPYSPPTFWFLTKMWHPNIHETGFVCISILHPPDDNPQGGELPSERWNPTQNV RTILLSVISLLNEPNTSSPANVDASVMYRKWKESKGKDHEYTDIIRKQVLGTKVDAERDG VKVPTTLAEYCVKTKAPAPDEGSDLFDYYVDGEVEEADSCFGDEEDDFGPKSP >ENSMUSP00000045291.8 pep:known chromosome:GRCm38:1:78657825:78707743:1 gene:ENSMUSG00000032883.15 transcript:ENSMUST00000035779.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl3 description:acyl-CoA synthetase long-chain family member 3 [Source:MGI Symbol;Acc:MGI:1921455] MNNHVSSTPSTMKLKQTINPILLYFIHFIISLYTILTYIPFYFLCESKQEKPNQIKAKPV SSKPDSAYRSINSVDGLASVLYPGCDTLDKVFMYAKNKFKNKRLLGTREILNEEDEIQPN GKIFKKVILGHYNWLSYEDVFIRALDFGNGLQMLGQKPKANIAIFCETRAEWMIAAQACF MYNFQLVTLYATLGGPAIVHGLNETEVTNIITSKELLQTKLKDIVSLVPRLRHIITVDGK PPTWSEFPKGVIVHTMAAVQALGVKANVEKKAHSKPLPSDIAVIMYTSGSTGIPKGVMIS HSNIIASITGMARRIPRLGEEDVYIGYLPLAHVLELSAELVCLSHGCRIGYSSPQTLADQ SSKIKKGSKGDTSVLKPTLMAAVPEIMDRIYKNVMNKVNEMSAFQRNLFILAYNYKMEQI SKGCSTPLCDRFVFRNVRRLLGGNIRLLLCGGAPLSATTQRFMNICFCCPVGQGYGLTES TGAGTITEVWDYNTGRVGAPLVCCEIKLKNWEEGGYFNTDKPHPRGEILIGGQNVTMGYY KNEAKTKTDFFEDENGQRWLCTGDIGEFDPDGCLKIIDRKKDLVKLQAGEYVSLGKVEAA LKNLPLIDNICAYANSYHSYVIGFVVPNQKELTELARTKGFKGTWEELCNSSEMENEVLK VLSEAAISASLEKFEIPLKIRLSPDPWTPETGLVTDAFKLKRKELKTHYQADIERMYGRK >ENSMUSP00000117952.1 pep:known chromosome:GRCm38:1:78657883:78706901:1 gene:ENSMUSG00000032883.15 transcript:ENSMUST00000134566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl3 description:acyl-CoA synthetase long-chain family member 3 [Source:MGI Symbol;Acc:MGI:1921455] MLGQKPKANIAIFCETRAEWMIAAQACFMYNFQLVTLYATLGGPAIVHGLNETEVTNIIT SKELLQTKLKDIVSLVPRLRHIITVDGKPPTWSEFPKGVIVHTMAAVQALGVKANVEKKA HSKPLPSDIAVIMYTSGSTGIPKGVMISHSNIIASITGMARRIPRLGEEDVYIGYLPLAH VLELSAELVCLSHGCRIGYSSPQTLADQSSKIKKGSKGDTSVLKPTLMAAVPEIMDRIYK NVMNKVNEMSAFQRNLFILAYNYKMEQISKGCSTPLCDRFVFRNVRRLLGGNIRLLLCGG APLSATTQRFMNICFCCPVGQGYGLTESTGAGTITEVWDYNTGRVGAPLVCCEIKLKNWE EGGYFNTDKPHPRGEILIGGQNVTMGYYKNEAKTKTDFFEDENGQRWLCTGDIGEFDPDG CLKIIDRKKDLVKLQAGEYVSLGKVEAALKNLPLIDNICAYANSYHSYVIGFVVPNQKEL TELARTKGFKGTWEELCNSSEMENEVLKVLSEAAISASLEKFEIPLKIRLSPDPWTPETG LVTDAFKLKRKELKTHYQADIERMYGRK >ENSMUSP00000121695.1 pep:known chromosome:GRCm38:1:78657883:78706967:1 gene:ENSMUSG00000032883.15 transcript:ENSMUST00000142704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl3 description:acyl-CoA synthetase long-chain family member 3 [Source:MGI Symbol;Acc:MGI:1921455] MNNHVSSTPSTMKLKQTINPILLYFIHFIISLYTILTYIPFYFLCESKQEKPNQIKAKPV SSKPDSAYRSINSVDGLASVLYPGCDTLDKVFMYAKNKFKNKRLLGTREILNEEDEIQPN GKIFKKVILGHYNWLSYEDVFIRALDFGNGLQMLGQKPKANIAIFCETRAEWMIAAQACF MYNFQLVTLYATLGGPAIVHGLNETEVTNIITSKELLQTKLKDIVSLVPRLRHIITVDGK PPTWSEFPKGVIVHTMAAVQALGVKANVEKKAHSKPLPSDIAVIMYTSGSTGIPKGVMIS HSNIIASITGMARRIPRLGEEDVYIGYLPLAHVLELSAELVCLSHGCRIGYSSPQTLADQ SSKIKKGSKGDTSVLKPTLMAAVPEIMDRIYKNVMNKVNEMSAFQRNLFILAYNYKMEQI SKGCSTPLCDRFVFRNVRRLLGGNIRLLLCGGAPLSATTQRFMNICFCCPVGQGYGLTES TGAGTITEVWDYNTGRVGAPLVCCEIKLKNWEEGGYFNTDKPHPRGEILIGGQNVTMGYY KNEAKTKTDFFEDENGQRWLCTGDIGEFDPDGCLKIIDRKKDLVKLQAGEYVSLGKVEAA LKNLPLIDNICAYANSYHSYVIGFVVPNQKELTELARTKGFKGTWEELCNSSEMENEVLK VLSEAAISASLEKFEIPLKIRLSPDPWTPETGLVTDAFKLKRKELKTHYQADIERMYGRK >ENSMUSP00000116576.1 pep:known chromosome:GRCm38:1:78670893:78688282:1 gene:ENSMUSG00000032883.15 transcript:ENSMUST00000135642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl3 description:acyl-CoA synthetase long-chain family member 3 [Source:MGI Symbol;Acc:MGI:1921455] MNNHVSSTPSTMKLKQTINPILLYFIHFIISLYTILTYIPFYFLCESKQEKPNQIKAKPV SSKPDSAYRSINSVDGLASVLYPGCDTLDKVFMYAKNKFKNKRLLGTREILNEEDEIQPN GKIFKKVILGHYNWLSYEDVF >ENSMUSP00000002825.5 pep:known chromosome:GRCm38:5:135187264:135246129:1 gene:ENSMUSG00000002748.7 transcript:ENSMUST00000002825.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz1b description:bromodomain adjacent to zinc finger domain, 1B [Source:MGI Symbol;Acc:MGI:1353499] MAPLLGRKPFPLVKPLPGEEPLFTIPHTQEAFRTREEYEARLERYSERIWTCKSTGSSQL THKEAWEEEQEVAELLKEEFPNWYEKLVLEMVHHNTASLEKLVDSAWLEIMTKYAVGEEC DFEVGKEKMLKVKIVKIHPLEKVDEEAVEKKSDGACDSPSSDKENSSQMAQDLQKKETVV KEDEGRRESINDRARRSPRKLPTSLKKGERKWAPPKFLPHKYDVKLQNEDKIISNVPADS LIRTERPPNKEILRYFIRHNALRAGTGENAPWVVEDELVKKYSLPSKFSDFLLDPYKYMT LNPSTKRRNTGSPDRKPSKKPKRDSSSLSSPLNPKLWCHVHLEKSLNGPPLKVKNSKNSK SPEEHLEGVMKIMSPNNNKLHSFHIPKKGPAAKKPGKHSDKPLKAKGRGKGILNGQKSTG NSKSPSKCVKTPKTKMKQMTLLDMAKGTQKMTRTPRSSGGVPRSSGKPHKHLPPAALHLI AYYKENKDKEDKKSALSCVISKTARLLSNEDRARLPEELRALVQKRYELLEHKKRWASMS EEQRKEYLKKKRQELKERLREKAKERREREMLERLEKQKRFEDQELGGRNLPAFRLVDTP EGLPNTLFGDVALVVEFLSCYSGLLLPDAQYPITAVSLMEALSADKGGFLYLNRVLVILL QTLLQDEIAEDYGELGMKLSEIPLTLHSVSELVRLCLRRCDVQEDSEGSETDDNKDSTPF EDNEVQDEFLEKLETSEFFELTSEEKLRILTALCHRILMTYSVQDHMETRQQVSAELWKE RLAVLKEENDKKRAEKQKRKEMEARNKENGKEENVLGKVDRKKEIVKIEQQVEVEADDMI SAVKSRRLLSMQAKRKREIQERETKVRLEREAEEERMRKHKAAAEKAFQEGIAKAKLVLR RTPIGTDRNHNRYWLFSNEVPGLFIEKGWVHNSIDYRFKHHRKDHSNLPDDDYCPRSKKA NLGKNASVNAHHGPALEAVETTVPKQGQNLWFLCDSQKELDELLSCLHPQGIRESQLKER LEKRYQEITHSIYLARKPNLGLKSCDGNQELLNFLRSDLIEVATRLQKGGLGYMEGTSEF EARVISLEKLKDFGECVIALQASVIKKFLQGFMAPKQKKRKLQSEDSTKSEEVDEEKKMV EEAKVASALEKWKTAIREAQTFSRMHVLLGMLDACIKWDMSAENARCKVCRKKGEDDKLI LCDECNKAFHLFCLRPALYEVPDGEWQCPACQPPTARRNSRGRNYTEESTSEGSEGDESG EEEEEEEEEEEEEEDYEVAGLRLRPRKTIRGKQSVIPAARPGRPPGKKSHPARRSRPKDD PEVDDLVLQTKRISRRQSLELQKCEDILHKLVKYRFSWPFREPVTRDEAEDYYDVIEHPM DFQTIQNKCSCGNYRSVQEFLTDMKQVFANAELYNCRGSHVLSCMEKTEQCLLALLQKHL PGHPYVRRKRRKFPDRLADDEGDSDSESVGQSRGRRQKK >ENSMUSP00000131126.1 pep:known chromosome:GRCm38:1:133679091:133690108:-1 gene:ENSMUSG00000051998.14 transcript:ENSMUST00000169295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lax1 description:lymphocyte transmembrane adaptor 1 [Source:MGI Symbol;Acc:MGI:2443362] MYSTPAPPEVTRRNSEPSTRQGTLGSLQGEKGQIIFPGFVVLLTIILVIIAACILWSWKK QKKRPVPYFQVAPSLTLPPPRHRAKNIYDFLPRQQTELGRHQLSGFSTESLLSRASDSPE PEAPQANGSLQMHRVSVHTVEYSVNIYDNGTVPQMCRHLASSTHHVCVRTSRSNPSISSK ESNDYVNIPTVEDTCETLTRIESTPENHLGLPSALRLEFAEGGHAGCGNATDHDGFWAPG PKCSDSLSDGDDLSQTSNDYVNMTGLDLENIQENRPRGAFQCCRDYENVPWVDTNESQLP TLEEVASSTVDHREPVWRTLSSVYHMAFQPSAQSEDSAMVHREEQSSEDSSDYETVLVAE LEGRDWKQGPGTQHPSDEGTPGDLAGKLCEVVYPAGSLATETSDEDA >ENSMUSP00000140039.1 pep:known chromosome:GRCm38:1:133680470:133690086:-1 gene:ENSMUSG00000051998.14 transcript:ENSMUST00000189524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lax1 description:lymphocyte transmembrane adaptor 1 [Source:MGI Symbol;Acc:MGI:2443362] MYSTPAPPEVTRRNSEPSTRQGTLGSLQGPPKPMVPFRCIECPFILWSIQ >ENSMUSP00000019063.2 pep:known chromosome:GRCm38:11:70505244:70511178:1 gene:ENSMUSG00000018919.10 transcript:ENSMUST00000019063.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm4sf5 description:transmembrane 4 superfamily member 5 [Source:MGI Symbol;Acc:MGI:1922854] MCTGKCARCLGLSLIPLSLVCIVANALLLVPDGKTTWTDGNLSLQVWLMGGFIGGGLMVL CPGIAAVRAGGKGCCGAGCCGNRCRMLRSVFSSAFGVLGAIYCLSVAGAGLRIGPKCLID NKWDYHFQETEGAYLRNDTLWNLCEAPPHVVPWNVTLFSILVVASSLELVLCGIQLVNAT FGVLCGDCRKKEGAAH >ENSMUSP00000099701.3 pep:known chromosome:GRCm38:4:123312645:123342803:-1 gene:ENSMUSG00000032726.12 transcript:ENSMUST00000102641.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp8a description:bone morphogenetic protein 8a [Source:MGI Symbol;Acc:MGI:104515] MAMRPGPLWLLGLALCALGGGHGPRPPHTCPQRRLGARERRDMQREILAVLGLPGRPRPR AQPAAARQPASAPLFMLDLYHAMTDDDDGGPPQAHLGRADLVMSFVNMVERDRTLGYQEP HWKEFHFDLTQIPAGEAVTAAEFRIYKEPSTHPLNTTLHISMFEVVQEHSNRESDLFFLD LQTLRSGDEGWLVLDITAASDRWLLNHHKDLGLRLYVETADGHSMDPGLAGLLGRQAPRS RQPFMVTFFRASQSPVRAPRAARPLKRRQPKKTNELPHPNKLPGIFDDGHGSRGREVCRR HELYVSFRDLGWLDWVIAPQGYSAYYCEGECAFPLDSCMNATNHAILQSLVHLMKPDVVP KACCAPTKLSATSVLYYDSSNNVILRKHRNMVVKACGCH >ENSMUSP00000037779.5 pep:known chromosome:GRCm38:4:123312645:123343252:-1 gene:ENSMUSG00000032726.12 transcript:ENSMUST00000040496.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp8a description:bone morphogenetic protein 8a [Source:MGI Symbol;Acc:MGI:104515] MAMRPGPLWLLGLALCALGGGHGPRPPHTCPQRRLGARERRDMQREILAVLGLPGRPRPR AQPAAARQPASAPLFMLDLYHAMTDDDDGGPPQAHLGRADLVMSFVNMVERDRTLGYQEP HWKEFHFDLTQIPAGEAVTAAEFRIYKEPSTHPLNTTLHISMFEVVQEHSNRESDLFFLD LQTLRSGDEGWLVLDITAASDRWLLNHHKDLGLRLYVETADGHSMDPGLAGLLGRQAPRS RQPFMVTFFRASQSPVRAPRAARPLKRRQPKKTNELPHPNKLPGIFDDGHGSRGREVCRR HELYVSFRDLGWLDWVIAPQGYSAYYCEGECAFPLDSCMNATNHAILQSLVSTTVACCDR WSGVHLMKPDVVPKACCAPTKLSATSVLYYDSSNNVILRKHRNMVVKACGCH >ENSMUSP00000126067.1 pep:known chromosome:GRCm38:6:60731575:60829855:-1 gene:ENSMUSG00000025889.13 transcript:ENSMUST00000163779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snca description:synuclein, alpha [Source:MGI Symbol;Acc:MGI:1277151] MDVFMKGLSKAKEGVVAAAEKTKQGVAEAAGKTKEGVLYVGSKTKEGVVHGVTTVAEKTK EQVTNVGGAVVTGVTAVAQKTVEGAGNIAAATGFVKKDQMGKGEEGYPQEGILEDMPVDP GSEAYEMPSEEGYQDYEPEA >ENSMUSP00000109907.3 pep:known chromosome:GRCm38:6:60731579:60829004:-1 gene:ENSMUSG00000025889.13 transcript:ENSMUST00000114268.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snca description:synuclein, alpha [Source:MGI Symbol;Acc:MGI:1277151] MDVFMKGLSKAKEGVVAAAEKTKQGVAEAAGKTKEGVLYVGSKTKEGVVHGVTTVAEKTK EQVTNVGGAVVTGVTAVAQKTVEGAGNIAAATGFVKKDQMGKGEEGYPQEGILEDMPVDP GSEAYEMPSEEGYQDYEPEA >ENSMUSP00000096494.2 pep:known chromosome:GRCm38:8:21249754:21250556:1 gene:ENSMUSG00000074441.3 transcript:ENSMUST00000098895.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15292 description:predicted gene 15292 [Source:MGI Symbol;Acc:MGI:3708769] MKTLVLLSALFLLAFQVQADPIQKTDEETNTEVQPEEEEQAMSVSFGNPEGSDLQEESLR DLGCYCRKRGCTRRERINGTCRKGHLMYTLCCL >ENSMUSP00000118796.1 pep:known chromosome:GRCm38:3:123526166:123690853:-1 gene:ENSMUSG00000027977.15 transcript:ENSMUST00000137404.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndst3 description:N-deacetylase/N-sulfotransferase (heparan glucosaminyl) 3 [Source:MGI Symbol;Acc:MGI:1932544] MSFIMKPHRHFQRTLILLATFCMVSIIISAYYLYSGYKQESEVSGRASEVDCGDLQHIPS RLMEVRRTMISDASRTDPTVLVFVESQYSSLGQDIIMMLESIRFHYHTEIAPGKGDLPAL TDNVKGKYVLIIYENILKYINMDSWNRSLLDKYCIEYGVGIIGFHKTSEKNLQSFQFRGF PFSISGNLAVKDCCINPHSPLLRVTKSSKLDRGSLPGTDWTVFQINHSTYQPVIFAKVKT PENLSPPISKHAFYATIIHDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLS LDRYILVDIDDIFVGKEGTRMNTNDVKALLDTQNLLRTQITNFTFNLGFSGKFYHTGTEE EDEGDDCLLGSVDEFWWFPHMWSHMQPHLFHNESSLIEQMILNKKFALEHGIPTDMGYAV SPHHSGVYPVHVQLYEAWKKVWNIKITSTEEYPHLKPARYRRGFIHKNIMVLPRQTCGLF THTIFYKEYPGGPRELDKSIHGGELFFTVVLNPISIFMTHLSNYGNDRLGLYTFVNLANF VQTWTNLRLQTLPPAQLAHKYFELFPDQKDPLWQNPCDDKRHRDIWSKEKTCDRLPKFLV IGPQKTGTTALCLFLIMHPSILSNSPSPKSFEEVHGFLPSPI >ENSMUSP00000120623.1 pep:known chromosome:GRCm38:3:123526168:123619501:-1 gene:ENSMUSG00000027977.15 transcript:ENSMUST00000132112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst3 description:N-deacetylase/N-sulfotransferase (heparan glucosaminyl) 3 [Source:MGI Symbol;Acc:MGI:1932544] MGYAVSPHHSGVYPVHVQLYEAWKKVWNIKITSTEEYPHLKPARYRRGFIHKNIMVLPRQ TCGLFTHTIFYKEYPGGPRELDKSIHGGELFFTVVLNPISIFMTHLSNYGNDRLGLYTFV NLANFVQTWTNLRLQTLPPAQLAHKYFELFPDQKDPLWQNPCDDKRHRDIWSKEKTCDRL PKFLVIGPQKTGTTALCLFLIMHPSILSNSPSPKSFEEVQFFNRNNYHRGIDWYMDFFPV PSNVTTDFLFEKSANYFHSEDAPKRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPA ALKFSFYEVISAGPNAPWELRTLQKRCLVPGWYANHIERWLVYFPPFQLLIIDGQQLRTT PATVMDEVQKFLGVSPHYNYSEALTFDSHKGFWCQLLEEGKTKCLGKSKGRKYPPMDSDS RAFLSSYYRDHNVELSKLLHRLGQPLPSWLRQELQKVR >ENSMUSP00000118207.1 pep:known chromosome:GRCm38:3:123526168:123690853:-1 gene:ENSMUSG00000027977.15 transcript:ENSMUST00000154668.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst3 description:N-deacetylase/N-sulfotransferase (heparan glucosaminyl) 3 [Source:MGI Symbol;Acc:MGI:1932544] MSFIMKPHRHFQRTLILLATFCMVSIIISAYYLYSGYKQESEVSGRASEVDCGDLQHIPS RLMEVRRTMISDASRTDPTVLVFVESQYSSLGQDIIMMLESIRFHYHTEIAPGKGDLPAL TDNVKGKYVLIIYENILKYINMDSWNRSLLDKYCIEYGVGIIGFHKTSEKNLQSFQFRGF PFSISGNLAVKDCCINPHSPLLRVTKSSKLDRGSLPGTDWTVFQINHSTYQPVIFAKVKT PENLSPPISKHAFYATIIHDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLS LDRYILVDIDDIFVGKEGTRMNTNDVKALLDTQNLLRTQITNFTFNLGFSGKFYHTGTEE EDEGDDCLLGSVDEFWWFPHMWSHMQPHLFHNESSLIEQMILNKKFALEHGIPTDMGYAV SPHHSGVYPVHVQLYEAWKKVWNIKITSTEEYPHLKPARYRRGFIHKNIMVLPRQTCGLF THTIFYKEYPGGPRELDKSIHGGELFFTVVLNPISIFMTHLSNYGNDRLGLYTFVNLANF VQTWTNLRLQTLPPAQLAHKYFELFPDQKDPLWQNPCDDKRHRDIWSKEKTCDRLPKFLV IGPQKTGTTALCLFLIMHPSILSNSPSPKSFEEVQFFNRNNYHRGIDWYMDFFPVPSNVT TDFLFEKSANYFHSEDAPKRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPAALKFS FYEVISAGPNAPWELRTLQKRCLVPGWYANHIERWLVYFPPFQLLIIDGQQLRTTPATVM DEVQKFLGVSPHYNYSEALTFDSHKGFWCQLLEEGKTKCLGKSKGRKYPPMDSDSRAFLS SYYRDHNVELSKLLHRLGQPLPSWLRQELQKVR >ENSMUSP00000122617.1 pep:known chromosome:GRCm38:3:123528559:123619450:-1 gene:ENSMUSG00000027977.15 transcript:ENSMUST00000124803.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst3 description:N-deacetylase/N-sulfotransferase (heparan glucosaminyl) 3 [Source:MGI Symbol;Acc:MGI:1932544] MGYAVSPHHSGVYPVHVQLYEAWKKVWNIKITSTEEYPHLKPARYRRGFIHKNIMVLPRQ TCGLFTHTIFYKEYPGGPRELDKSIHGGELFFTVVLNPISIFMTHLSNYGNDRLGLYTFV NLANFVQTWTNLRLQTLPPAQLAHKYFELFPDQKDPLWQNPCDDKRHRDIWSKEKTCDRL PKFLVIGPQKTGTTALCLFLIMHPSILSNSPSPKSFEEVQFFNRNNYHRGIDWYMDFFPV PSNVTTDFLFEKSANYFHSEDAPKRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPA ALKFSFYEVISAGPNAPWELRTLQKRCLVPGWYANHIERWLVYFPPFQLLIIDGQQLRTT PATVMDEVQKFLGVSPHYNYSEALTFDSHKGFWCQLLEEGKTKCLGKSKGRKYPPMDSDS RAFLSSYYRDHNVELSKLLHRLGQPLPSWLRQELQKVR >ENSMUSP00000133657.2 pep:known chromosome:GRCm38:3:123528688:123690280:-1 gene:ENSMUSG00000027977.15 transcript:ENSMUST00000172537.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst3 description:N-deacetylase/N-sulfotransferase (heparan glucosaminyl) 3 [Source:MGI Symbol;Acc:MGI:1932544] MSFIMKPHRHFQRTLILLATFCMVSIIISAYYLYSGYKQESEVSGRASEVDCGDLQHIPS RLMEVRRTMISDASRTDPTVLVFVESQYSSLGQDIIMMLESIRFHYHTEIAPGKGDLPAL TDNVKGKYVLIIYENILKYINMDSWNRSLLDKYCIEYGVGIIGFHKTSEKNLQSFQFRGF PFSISGNLAVKDCCINPHSPLLRVTKSSKLDRGSLPGTDWTVFQINHSTYQPVIFAKVKT PENLSPPISKHAFYATIIHDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLS LDRYILVDIDDIFVGKEGTRMNTNDVKALLDTQNLLRTQITNFTFNLGFSGKFYHTGTEE EDEGDDCLLGSVDEFWWFPHMWSHMQPHLFHNESSLIEQMILNKKFALEHGIPTDMGYAV SPHHSGVYPVHVQLYEAWKKVWNIKITSTEEYPHLKPARYRRGFIHKNIMVLPRQTCGLF THTIFYKEYPGGPRELDKSIHGGELFFTVVLNPISIFMTHLSNYGNDRLGLYTFVNLANF VQTWTNLRLQTLPPAQLAHKYFELFPDQKDPLWQNPCDDKRHRDIWSKEKTCDRLPKFLV IGPQKTGTTALCLFLIMHPSILSNSPSPKSFEEVQFFNRNNYHRGIDWYMDFFPVPSNVT TDFLFEKSANYFHSEDAPKRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPAALKFS FYEVISAGPNAPWELRTLQKRCLVPGWYANHIERWLVYFPPFQLLIIDGQQLRTTPATVM DEVQKFLGVSPHYNYSEALTFDSHKGFWCQLLEEGKTKCLGKSKGRKYPPMDSDSRAFLS SYYRDHNVELSKLLHRLGQPLPSWLRQELQKVR >ENSMUSP00000029602.6 pep:known chromosome:GRCm38:3:123526169:123672392:-1 gene:ENSMUSG00000027977.15 transcript:ENSMUST00000029602.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst3 description:N-deacetylase/N-sulfotransferase (heparan glucosaminyl) 3 [Source:MGI Symbol;Acc:MGI:1932544] MSFIMKPHRHFQRTLILLATFCMVSIIISAYYLYSGYKQESEVSGRASEVDCGDLQHIPS RLMEVRRTMISDASRTDPTVLVFVESQYSSLGQDIIMMLESIRFHYHTEIAPGKGDLPAL TDNVKGKYVLIIYENILKYINMDSWNRSLLDKYCIEYGVGIIGFHKTSEKNLQSFQFRGF PFSISGNLAVKDCCINPHSPLLRVTKSSKLDRGSLPGTDWTVFQINHSTYQPVIFAKVKT PENLSPPISKHAFYATIIHDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLS LDRYILVDIDDIFVGKEGTRMNTNDVKALLDTQNLLRTQITNFTFNLGFSGKFYHTGTEE EDEGDDCLLGSVDEFWWFPHMWSHMQPHLFHNESSLIEQMILNKKFALEHGIPTDMGYAV SPHHSGVYPVHVQLYEAWKKVWNIKITSTEEYPHLKPARYRRGFIHKNIMVLPRQTCGLF THTIFYKEYPGGPRELDKSIHGGELFFTVVLNPISIFMTHLSNYGNDRLGLYTFVNLANF VQTWTNLRLQTLPPAQLAHKYFELFPDQKDPLWQNPCDDKRHRDIWSKEKTCDRLPKFLV IGPQKTGTTALCLFLIMHPSILSNSPSPKSFEEVQFFNRNNYHRGIDWYMDFFPVPSNVT TDFLFEKSANYFHSEDAPKRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPAALKFS FYEVISAGPNAPWELRTLQKRCLVPGWYANHIERWLVYFPPFQLLIIDGQQLRTTPATVM DEVQKFLGVSPHYNYSEALTFDSHKGFWCQLLEEGKTKCLGKSKGRKYPPMDSDSRAFLS SYYRDHNVELSKLLHRLGQPLPSWLRQELQKVR >ENSMUSP00000020586.6 pep:known chromosome:GRCm38:11:53983123:54028090:-1 gene:ENSMUSG00000020334.6 transcript:ENSMUST00000020586.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a4 description:solute carrier family 22 (organic cation transporter), member 4 [Source:MGI Symbol;Acc:MGI:1353479] MRDYDEVIAFLGEWGPFQRLIFFLLSASIIPNGFNGMSVVFLAGTPEHRCLVPDTVNLSS SWRNHSIPLETKDGRQVPQSCRRYRLATIANFSAMGLEPGQDVDLEQLEQESCLDGWEYD KDIFLSTIVTEWNLVCEDDWKTPLTTSLFFVGVLCGSFVSGQLSDRFGRKKVLFATMAVQ TGFSFVQIFSTNWEMFTVLFAIVGMGQISNYVVAFILGTEILSKSVRIIFSTLGVCTFFA IGYMVLPLFAYFIRDWRMLLLALTLPGLFCVPLWWFIPESPRWLISQRRFAEAEQIIQKA AKMNSIVAPAGIFDPLELQELNSLKQQKVIILDLFRTRNIATITVMAVMLWMLTSVGYFA LSLNVPNLHGDVYLNCFLSGLIEVPAYFTAWLLLRTLPRRYIIAGVLFWGGGVLLLIQVV PEDYNFVSIGLVMLGKFGITSAFSMLYVFTAELYPTLVRNMAVGITSMASRVGSIIAPYF VYLGAYNRLLPYILMGSLTVLIGIITLFFPESFGVTLPENLEQMQKVRGFRCGKKSTVSV DREESPKVLITAF >ENSMUSP00000095223.3 pep:known chromosome:GRCm38:18:35713086:35721491:-1 gene:ENSMUSG00000073599.9 transcript:ENSMUST00000097618.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecscr description:endothelial cell surface expressed chemotaxis and apoptosis regulator [Source:MGI Symbol;Acc:MGI:1915795] MLRDISLEAHGLGSTLTPLLAHQLPQGRVRGYSSQPTTTQTSQEILQKSSQVSLVSNQPV TPRSSTMDKQSLSLPDLMSFQPQKHTLGPGTGTPERSSSSSSSSSSRRGEASLDATPSPE TTSLQTKKMTILLTILPTPTSESVLTVAAFGVISFIVILVVVVIILVSVVSLRFKCRKNK ESEDPQKPGSSGLSESCSTANGEKDSITLISMRNINVNNSKGSMSAEKIL >ENSMUSP00000118628.1 pep:known chromosome:GRCm38:18:35713086:35722356:-1 gene:ENSMUSG00000073599.9 transcript:ENSMUST00000133064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecscr description:endothelial cell surface expressed chemotaxis and apoptosis regulator [Source:MGI Symbol;Acc:MGI:1915795] MDREYTEEPATHPADLGTSGAMRLGSAILGLLLLQGYSSQPTTTQTSQEILQKSSQVSLV SNQPVTPRSSTMDKQSLSLPDLMSFQPQKHTLGPGTGTPERSSSSSSSSSSRRGEASLDA TPSPETTSLQTKKMTILLTILPTPTSESVLTVAAFGVISFIVILVVVVIILVSVVSLRFK CRKNKESEDPQKPGSSGLSESCSTANGEKDSITLISMRNINVNNSKGSMSAEKIL >ENSMUSP00000116109.1 pep:known chromosome:GRCm38:18:35713273:35717290:-1 gene:ENSMUSG00000073599.9 transcript:ENSMUST00000134656.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecscr description:endothelial cell surface expressed chemotaxis and apoptosis regulator [Source:MGI Symbol;Acc:MGI:1915795] XILQKSSQVSLVSNQPVTPRSSTMDKQSLSLPDLMSFQPQKHTLGPGTGTPERSSSSSSS SSSRRGEASLDATPSPETTSLQTKKMTILLTILPTPTSESVLTVAAFGVISFIVILVVVV IILVSVVSLRFKCRKNKESEDPQKPGSSGLSERFFKSDLESPWVHV >ENSMUSP00000118479.1 pep:known chromosome:GRCm38:18:35713760:35717290:-1 gene:ENSMUSG00000073599.9 transcript:ENSMUST00000123973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecscr description:endothelial cell surface expressed chemotaxis and apoptosis regulator [Source:MGI Symbol;Acc:MGI:1915795] XILQKSSQVSLVSNQPVTPRSSTMDKQSLSLPDLMSFQPQKHTLGPGTGTPERSSSSSSS SSSRRGEASLDATPSPETTSLQTKKMTILLTILPTPTSESVLTVAAFGVISFIVILVVVV IILVSVVSLRFKCRKNKESEDPQKPGSSGLSERVFWHED >ENSMUSP00000092585.1 pep:known chromosome:GRCm38:4:88805531:88808380:1 gene:ENSMUSG00000070908.1 transcript:ENSMUST00000094977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13288 description:predicted gene 13288 [Source:MGI Symbol;Acc:MGI:3701985] MLPVHLFLVGGVMLSCSPASSLDSGKSGSLHLERSETARFLAELRSVPGHQCLRDRTDFP CPWKEGTNITPMTLGETTSCYSQTLKQVLHLFDTEASRAAWHERALDQLLSSLWRELQVL KRPREQGQSCPLPFALAIRTYFRGFFRYLKAKAHSACSWEIVRVQLQVDLPAFPLSARRG PR >ENSMUSP00000112688.1 pep:known chromosome:GRCm38:6:3372257:3399572:-1 gene:ENSMUSG00000047735.14 transcript:ENSMUST00000120087.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd9l description:sterile alpha motif domain containing 9-like [Source:MGI Symbol;Acc:MGI:1343184] MSGQVTQPKLIKDWTKEHVRKWVTEDLNIVEKYAQILFKEEVTGMVLQELTEEDLREMGL PRGPALLIKRMYNKLISSPESHNQDSRELNDKKLSTKEQQTKTKNEEENSVSSNSDHGLR ETGQNEEQEPSLTKENMLGDVVTKDMEDNKPKPEQMSCTPYPFDSFCDVKQYIEHSILRV AETGPLNLIDPIHEFKAFTNTKKATEEDIKMKFSNETFRFAAACMNSRTNGTIHFGVKDK PHGEIVGVQVTSKDIFVNHFNTMITKYFEDSEISEARACIREPRFVEVLLQNNTQSNRFV IEVDVIPRHSICQEKYFYIMMQSSTGKTWKQSKDTSLFVREGASSKNILGNPNQRDREFK KFLEDLKMWTASRKAAEEELRMVTKKESEGLKLSKLLTRHQGSLDESYYDWYILVTNTCA PTQLEHLEFIKEMKLFAVLDFDPYSHIKGVVKAYRESRIANLHLPSHYEEKTTIAEKIST LKLYEQPSWIFCNGRVDLSCQPLEPHLWQRDRASGVRRLISFLTDENIIVKGKVLVVFLL LSPIENQKDPLIETFCAFYQVFNGMDNMLCICVNSAIYQQWSDLLQVRLEIKDDLAKHSI STLNIELVNNTILKLKSVIQSSRRFLPSCGSSSVILEKMDEDIMSALEILCENECKDTDI EKDESQFLEFKKSREEHFYRGGRVSWWNFYFSSENYSSAFVKRDSFEELTTLIQQCADSP KPVFVKVINLYHHPGCGGTTLAMHVLWDLKQKFRCAVLKNKATDFVEIGEQVSKLMSYKA TSHEDFIPVLLLVDDFEEQENAYILQNAINAFIAEKGLRYEKTLVIILNCMRSQNPDESA KLANSISLKYQLSPKEQRAFEAKLQEIEKEHKNCENFYSFMILKGNFDTTYIKNVVKNTL KDLDAKSRRAQLISYLALLNSYVTDSTISVSQCEIFLGITYTKKYGKPETVEKNMGTYST LLIRTEVSDYGRYTGIRIIHPLIATHCLKELEMSYRMDKCQIALNMLEENVLYDSGLGRD KFKYDVQTLLLTRQRKEHGAETDTLFSPLIEELQNEETEKVLIAGSDRFPQNAFICQALA RHFYIKEKNFSTALVWANLAKRKAPKNSYISDTLGQVYKSELKQWLGKNKTCGNISVDDL AYFLEVAEKASKAFKESQNQSDSKDYGTEAWSPQNSQRRYDTFNTAGFFGEIEVGLDTIQ LLQLTPLFHKENEISKESMAEFLSGKGTILSDPKGEYCVVLSKFTSLLQNLHSDLERCFH FFGDYMGFLKPRNTPKELTELLLSKKVSRCFKKYVELFCHLDTNLVQGKEDLLLQKENCR KRIQAWRADTFSGLLEYLNPNHKEANNIENIVGNYTFLLQDILNKQLSKVLTKDIQNFIL ANIILSCLKPSSKYILPFSTLKKKLREVLQIVGLTHSYPDPYFLACLLFWPENKELDEDS TLIEKYVSSLNRSFRRQYKHMCRSRQPSTLFYLGQKKGLNSLVHKAEIERYFSEVQDSNS FWHSGVVWEKREVKDLLRLLDGQAEGKLISLEYGTEAKIKIPVTSVYSAPLRSGRNIERV SFYLGFSIEGPLAYGIKVI >ENSMUSP00000144632.1 pep:known chromosome:GRCm38:6:3376950:3385006:-1 gene:ENSMUSG00000047735.14 transcript:ENSMUST00000201638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd9l description:sterile alpha motif domain containing 9-like [Source:MGI Symbol;Acc:MGI:1343184] MSGQVTQPKLIKDWTKEHVRKWVTEDLNIVEKYAQILFKEEVTGMVLQELTEEDLREMGL PRGPALLIKRMYNKLISSPESHNQDSRELNDKKLSTKEQQTKT >ENSMUSP00000114649.1 pep:known chromosome:GRCm38:1:4773206:4785710:-1 gene:ENSMUSG00000033845.13 transcript:ENSMUST00000130201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl15 description:mitochondrial ribosomal protein L15 [Source:MGI Symbol;Acc:MGI:1351639] MAGTARGCGTSLDLLRSLPRVSLANLKPSPNSRKRERRPRDRRRGRKCGRGHKGERQRGT RPRLGFEGGQTPFYIRIPKYGFNEGHSFRHQYQPLSLRRLQYLIDLGRVDPTQPIDLTQL VNGRGVTIQPLKRDYGVQLVEEGADTFQAKINIEVQLASELAIAAIEKNGGVVTTAFYDP RSLGHLMHSSDFHGFSARYSIHIK >ENSMUSP00000115512.1 pep:known chromosome:GRCm38:1:4773211:4785739:-1 gene:ENSMUSG00000033845.13 transcript:ENSMUST00000156816.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl15 description:mitochondrial ribosomal protein L15 [Source:MGI Symbol;Acc:MGI:1351639] MAGTARGCGTSLDLLRSLPRVSLANLKPSPNSRKRERRPRDRRRGRKCGRGHKGERQRGT RPRLGFEGGQTPFYIRIPKYGFNEGHSFRHQYQPLSLRRLQYLIDLGRVDPTQPIDLTQL VNGRGVTIQPLKRDYGVQLVEEGADTFQAKINIEVQLASELAIAAIEKNGGVVTTAFYDP RSLEILCKPIPFFLRGQPIPKRMLPPESLVPYYTDAKNRGYLADPAKFPEARLELAMKFG YVLPDITKDELFRMLSARKDPRQIFFGLAPGWVVNMADKKILKPTDENLLKYYSS >ENSMUSP00000048472.7 pep:known chromosome:GRCm38:1:4774436:4785698:-1 gene:ENSMUSG00000033845.13 transcript:ENSMUST00000045689.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl15 description:mitochondrial ribosomal protein L15 [Source:MGI Symbol;Acc:MGI:1351639] MAGTARGCGTSLDLLRSLPRVSLANLKPSPNSRKRFQAPVSAFESQETTVSH >ENSMUSP00000141204.1 pep:known chromosome:GRCm38:1:4781221:4785739:-1 gene:ENSMUSG00000033845.13 transcript:ENSMUST00000146665.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl15 description:mitochondrial ribosomal protein L15 [Source:MGI Symbol;Acc:MGI:1351639] MAGTARGCGTSLDLLRSLPRVSLANLKPSPNSRKRERRPRDRRRGRKCGRGHKGERQRGT RPRLGFEGGQTPFYIRIPKYGFNEGHSFRHQYQPLSLRRLQYLIDLGRVDPTQPIDLTQL VNGRGVTIQPLKRDYGVQLVEEVHTLWLLFAMSELSALLLSYTGAFIE >ENSMUSP00000099700.1 pep:known chromosome:GRCm38:4:123321875:123323634:-1 gene:ENSMUSG00000076436.1 transcript:ENSMUST00000102640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxct2a description:3-oxoacid CoA transferase 2A [Source:MGI Symbol;Acc:MGI:1891061] MAALRLLAWALPRGVSALRPPPALPHRLIRRYVSDRSGSVHFYTDPVKAVEGVKDGSTVM LGGFGLCGIPENLIGALKTKGVKDLKIVSSNVGVDDFGLGILLASKQVRRVVCSYLGENA LCEKLYLAGELELEMTPQGTLAERIRAGGTGVPAFYTPTGYGTLVQEGGSPIRYAPDGHL ITLSEPREVREFQGRFYLLEHAIRADFALIKGWKADRSGNVIFRGSARNFNVPMCKAADI SVVEVEEIVDVGTFAPEDIHVPNIYVDRVIKGPKFEKRIERLTTRDSKPAPGSKDNDPSR TRIIKRAALEFQDGMYANLGIGIPVLASNYISPKMTVYLHSENGILGLGPFPLKNEVDAD VINAGKQTVTVVPGGCFFASDDSFAMIRGGHLQLTMLGAMQVSQYGDLANWMVPGKKVKG MGGAMDLVSSKKTRVVVTMEHCTKTKQPKILKKCTMPLTGKRCVDLIITEKAVFEVNHSK GLTLVELWEGSSVDDIKATTACSFAVSPNLKPMQQIKLDA >ENSMUSP00000100774.1 pep:known chromosome:GRCm38:4:88816228:88816800:1 gene:ENSMUSG00000100713.1 transcript:ENSMUST00000105143.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna7 description:interferon alpha 7 [Source:MGI Symbol;Acc:MGI:107661] MARLCAFLMVLVVLSYWPTCSLGYDLPQTHNLRNKRALTLLVKMRRLSPLSCLKDRKDFR FPQEKVDAQQIQEAQALCVLHELTQQILNIFTSKDSSAAWDGSLLDSFCNDLHQQLNDLQ GCLMQQVGVQEPPLTQEDALLAVRTYFHSITVFLREKKHSPCAWEVVRAEVWRALSSSAK LLARLSEKKE >ENSMUSP00000092919.4 pep:known chromosome:GRCm38:17:37696685:37701637:1 gene:ENSMUSG00000059964.7 transcript:ENSMUST00000080483.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr119 description:olfactory receptor 119 [Source:MGI Symbol;Acc:MGI:2177502] MSINCSLWQENSLSVKRFAFAKFSEVPGECFLLFTLILLMFLVSLTGNALIALVICTNPS LHNPMYFFLANLSLLEIGYTCSVIPKMLQSLVSEAREISREGCATQMFFFTFFGITECCL LAAMAYDRCMAICSPLHYPTRMSSGVCAHLAIVSWGMGCIVGLGQTNFIFSLEFCGPCEI DHFFCDLPPVLALACGDTSQNEAAIFVAAVLCISSPFLLIIYSYVRILVAVLVMPSPEGR HKALSTCSSHLLVVTMFYGSASITYLRPKSSHSPGMDKLLALFYTAVTSMLNPIIYSLRN KEVKAALRKTLSLKKPLAINR >ENSMUSP00000061877.10 pep:known chromosome:GRCm38:5:28071363:28078662:1 gene:ENSMUSG00000045294.11 transcript:ENSMUST00000059155.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig1 description:insulin induced gene 1 [Source:MGI Symbol;Acc:MGI:1916289] MPRLHDHVWNYPSAGAARPYSLPRGMIAAAACPQGPGVPEPEHAPRGQRAGTTGCSARPG SWHHDLVQRSLVLFSFGVVLALVLNLLQIQRNVTLFPDEVIATIFSSAWWVPPCCGTAAA VVGLLYPCIDSHLGEPHKFKREWASVMRCIAVFVGINHASAKLDFANNVQLSLTLAALSL GLWWTFDRSRSGLGLGITIAFLATLITQFLVYNGVYQYTSPDFLYIRSWLPCIFFSGGVT VGNIGRQLAMGVPEKPHSD >ENSMUSP00000103966.1 pep:known chromosome:GRCm38:4:10874568:10899425:1 gene:ENSMUSG00000059482.15 transcript:ENSMUST00000101504.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610301B20Rik description:RIKEN cDNA 2610301B20 gene [Source:MGI Symbol;Acc:MGI:1914407] MAKDLDELLDEVETKFCRLDPLRLDLGERPKGDGGGGSHSGDRNGAQEKETLRSTETFKK EDDLDSLINEIFEEPDFDRKSFQKFKSKSSSNTCVRAPMQGVSKSCSPVYLSGSAIPCGI GTNTSQRACDRLRCVACDFRIVSYNDYMWDKSCDYLFFSRNNMPEFHKLKTKLIEKKGAR AYACQCSWRTVEELTDLQTDHQLRWVCGKH >ENSMUSP00000079361.7 pep:known chromosome:GRCm38:4:10874498:10899421:1 gene:ENSMUSG00000059482.15 transcript:ENSMUST00000080517.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610301B20Rik description:RIKEN cDNA 2610301B20 gene [Source:MGI Symbol;Acc:MGI:1914407] MAKDLDELLDEVETKFCRLDPLRLDLGERPKGDGGGGSHSGDRNGAQEKETLRSTETFKK EDDLDSLINEIFEEPDFDRKSFQKFKSKSSSNTCVRAPMQGVSKSCSPVYLSGSAIPCGI GTNTSQRACDRLRCVACDFRIVSYNDYMWDKSCDYLFFRNNMPEFHKLKTKLIEKKGARA YACQCSWRTVEELTDLQTDHQLRWVCGKH >ENSMUSP00000113935.1 pep:known chromosome:GRCm38:5:103648039:103656010:-1 gene:ENSMUSG00000029320.11 transcript:ENSMUST00000119824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700016H13Rik description:RIKEN cDNA 1700016H13 gene [Source:MGI Symbol;Acc:MGI:1921468] MAYGLPRRNTVQTILKGSCYKVQEPWDLAELTKTWYTNLTNIRLPFLGEIVFGSPMNLLA SQTKQECQFPSMQSMALEKEYEAKRLTKLKCQENVCKEIQASLREKKVGLRRPLQPK >ENSMUSP00000114503.1 pep:known chromosome:GRCm38:5:103648429:103655732:-1 gene:ENSMUSG00000029320.11 transcript:ENSMUST00000134926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700016H13Rik description:RIKEN cDNA 1700016H13 gene [Source:MGI Symbol;Acc:MGI:1921468] MAYGLPRRNTVQTILKGSCYKVQEPWDLAELTKTWYTNLTNIRLPFLGEIVFGSPMNLLA SQTKQECQFPSMQSMALEKEYEAKRLTKLKCQENVCKEIQASLREKKVGLRRPLQPK >ENSMUSP00000031261.4 pep:known chromosome:GRCm38:5:103648587:103655723:-1 gene:ENSMUSG00000029320.11 transcript:ENSMUST00000031261.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700016H13Rik description:RIKEN cDNA 1700016H13 gene [Source:MGI Symbol;Acc:MGI:1921468] MAYGLPRRNTVQTILKGSCYKVSHGTLRNSQRPGTQT >ENSMUSP00000113969.1 pep:known chromosome:GRCm38:5:103648593:103655748:-1 gene:ENSMUSG00000029320.11 transcript:ENSMUST00000120108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700016H13Rik description:RIKEN cDNA 1700016H13 gene [Source:MGI Symbol;Acc:MGI:1921468] MAYGLPRRNTVQTILKGSCYKVQEPWDLAELTKTWYTNLTNIRLPFLGEIVFGSPMNLLA SQTKQECQFPSMQSMALEKEYEAKRLTKLKCQENVCKEIQASLREKKVGLRRPLQPK >ENSMUSP00000122224.1 pep:known chromosome:GRCm38:5:103648698:103655723:-1 gene:ENSMUSG00000029320.11 transcript:ENSMUST00000154408.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700016H13Rik description:RIKEN cDNA 1700016H13 gene [Source:MGI Symbol;Acc:MGI:1921468] MAYGLPRRNTVQTILKGSCYKVSHGTLRNSQRPGTQT >ENSMUSP00000113469.1 pep:known chromosome:GRCm38:5:103648771:103655723:-1 gene:ENSMUSG00000029320.11 transcript:ENSMUST00000120688.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700016H13Rik description:RIKEN cDNA 1700016H13 gene [Source:MGI Symbol;Acc:MGI:1921468] MAYGLPRRNTVQTILKGSCYKVQEPWDLAELTKTWYTNLTNIRLPFLGEIVFGSPMNLLA SQTKQECQFPSMQSMALEKEYEAKRLTKLKCQENVCKEIQASLREKKVGLRRPLQPK >ENSMUSP00000118111.1 pep:known chromosome:GRCm38:5:103648771:103655724:-1 gene:ENSMUSG00000029320.11 transcript:ENSMUST00000154096.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700016H13Rik description:RIKEN cDNA 1700016H13 gene [Source:MGI Symbol;Acc:MGI:1921468] MAYGLPRRNTVQTILKGSCYKVSHGTLRNSQRPGTQT >ENSMUSP00000042413.3 pep:known chromosome:GRCm38:2:71528113:71533980:1 gene:ENSMUSG00000041911.3 transcript:ENSMUST00000037119.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx1 description:distal-less homeobox 1 [Source:MGI Symbol;Acc:MGI:94901] MTMTTMPESLNSPVSGKAVFMEFGPPNQQMSPSPMSHGHYSMHCLHSAGHSQPDGAYSSA SSFSRPLGYPYVNSVSSHASSPYISSVQSYPGSASLAQSRLEDPGADSEKSTVVEGGEVR FNGKGKKIRKPRTIYSSLQLQALNRRFQQTQYLALPERAELAASLGLTQTQVKIWFQNKR SKFKKLMKQGGAALEGSALANGRALSAGSPPVPPGWNPNSSSGKGSGSSAGSYVPSYTSW YPSAHQEAMQQPQLM >ENSMUSP00000133592.1 pep:known chromosome:GRCm38:2:71528683:71530065:1 gene:ENSMUSG00000041911.3 transcript:ENSMUST00000126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx1 description:distal-less homeobox 1 [Source:MGI Symbol;Acc:MGI:94901] MTTMPESLNSPVSGKAVFMEFG >ENSMUSP00000133288.1 pep:known chromosome:GRCm38:2:71529101:71530231:1 gene:ENSMUSG00000041911.3 transcript:ENSMUST00000152711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx1 description:distal-less homeobox 1 [Source:MGI Symbol;Acc:MGI:94901] MTMTTMPESLNSPVSGKAVFMEFGPPNQQMSPSPMSHGHYSMHCLHSAGHSQPDGAYSSA SSFSRPLGYPYVNSVSSHA >ENSMUSP00000134713.1 pep:known chromosome:GRCm38:2:71529853:71532519:1 gene:ENSMUSG00000041911.3 transcript:ENSMUST00000145444.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dlx1 description:distal-less homeobox 1 [Source:MGI Symbol;Acc:MGI:94901] MTMTTMPESLNSPVSGKAVFMEFGPPNQQMSPSPMSHGHYSMHCLHSAGHSQPDGAYSSA SSFSRPLGYPYVNSVSSHASSPYISSVQSYPGSASLAQSRLEDPVCSCRL >ENSMUSP00000049003.8 pep:known chromosome:GRCm38:18:10325179:10562934:1 gene:ENSMUSG00000042942.15 transcript:ENSMUST00000048977.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Greb1l description:growth regulation by estrogen in breast cancer-like [Source:MGI Symbol;Acc:MGI:3576497] MGNSYAGQLKSARFEEALHNSIEASLRCSTAVPRPIFSQLYLDPDQHPFSTADVKPKVED LDKDLVHPYTQNGSVDFSHNVAMNEMEDDEDEEEMSDSNSPPIPYSQKPAPEGSCTTDGF CQAGKDLRLVSLCMEQIDIPAGFLLVGAKSPNLPEHILVCAVDKRFLPDDHGKNALLGFS GNCIGCGERGFRYFTEFSNHINLKLTTQPKKQKHLKYYLVRTSQGVLSKGPLICWKECRS RQSSALCHSTKPISSVSSAVAPENGTANGYKAGFTVTEAANGTSGHGGKSSSCSSTPSRP GNYSLSPRPTFTSVDQANMFISGPPKKRHRGWYPGSPVSQSALVVPAPTVRPLSRTEPLL STPVPQTPLTGILQPRPVLAGETVIVPENLLSNSGVRPVILIGYGTLPYFYGNVGDIVVS PLLVNCYKIPQLENKDLEQLGLTSTHLLSVENMILLTIQYLVRLGPDQIPLREEFEQIML TAMQEFSVRERALPLGAPCAPMSPAQLPWLARLAASVSQDLVHVIVTQNSLAEGISETLR LLSEMKHYQRLPDYVVAICASKIRGNEFCVVVLGQHQSRALAESMLTTSEFLKEISYELI TGKVSFLASHFKTTSLGDDLDKLLEKMQQRRGDSVVTPFNGDLDECVSPQEAAAMIPTQN LDVDNETFQIYQPQLTVARRLLSQVCAIADSGSQSLDLGHFSKVDFIIIVPRSEVLVQQT LQRVRQSGVLVDLGLEESGLAHQRAERYVVRLDNEIQSKFEVFMRRVKQNPYTLFVLVHD NSHVELTSVISGSLSHGEPTHGLADRVINCREVLEAFNLLVLQVSSFPYTLQTQQSRISS SNEVHWIQLDTMEDAGCEKLYFGLDEYSKSLQWGITSPLLRCDETFEKMVSTLLERYPRL HSMVVRCYLLIQQYSEALMALTTMASLRDHSTPETLSIMDDLITSPGKNKSGKGHMLVIR VPSVQLAMLAKERLQEVRDKLGLQYRFEIILGSPASELTVETHFVTRLKTWRGNDQDEWI PRTYQDLEGLPCIVILTGKDPLGETFPRSLKYCDLRLIDSSYLTRTALEQEVGLACCYVS KEVIRGPAAALDLSAKEAERVPASENDSEELLIDLERPQSNSSAVTGTSGSIMENGVSSS STAGKPQQQLLTPTSSIRLDEGVSASTAVVGEILKQECDSLDPPMASSTTSKPSSSSSSS AQALAWSRQPRGLHTALPPVIILSKAAYSLLGSQKGGRLPSSSSLLPHADVAWVSSLRPG LHKDMSSEEQSLYYRQWTSARQHHADYSNQPDPISGARTLHPRRLLLTGPPQVGKTGSYL QFLRILFRMLIRLLEVDVYNEEEINTDHSDDSELSQSEGEPWPDIETFSKMPFDVSVHDP KYRLMSLVYSEKLAGIKQEVIKEYKVEEPRQRETMSMMLTQYAAYNTFHHCEQCQQYMAF TPASQMSDSTLHAFTFSSSMLGEEVQLYFIIPKSKESHFVFSKQGRHLESMRLPLVSDKN LNAVKSPIFTPSSGRHEHGLLNLFHAMEGISHLHLLVVKEYEMPLYRKYWPNHIMLVLPG MFNNAGVGAARFLIKELSYHNLELERNRLEELGVKRQCVWPFIVVMDDSCVLWNIHSVQE QTSQPTEAGISSKNVSLKSVLQHIEATPKIIHYAILGIQKWNSKLTSQSLKAPFSRCHVH DFILLNIDLTQNVQYDFNRYFCEDVDFNLRTNSSGLLICRFNNFSLMKKHVQVGGQRDFI IKPKLMVSENVVPILPLQYVCAPDSEHTLLAAPAQFLLEKFLQHASYKLFPKAIHNFKSP VLAIDCYLNIGQEVAICYVSSRPHSSNVNCEGVFFSGLLLYLCDSFVGADLLKKFKFLKG ATLCVICQDRSSLRQTIVRLELEDEWQFRLRDEFQTANSSDDKPLYFLTGRHV >ENSMUSP00000134090.1 pep:known chromosome:GRCm38:18:10458225:10538289:1 gene:ENSMUSG00000042942.15 transcript:ENSMUST00000172532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Greb1l description:growth regulation by estrogen in breast cancer-like [Source:MGI Symbol;Acc:MGI:3576497] MGNSYAGQLKSARFEEALHNSIEASLRCSTAVPRPIFSQLYLDPDQHPFSTADVKPKVED LDKDLVHPYTQNGSVDFSHNVAMNEMEDDEDEEEMSDSNSPPIPYSQKPAPEGSCTTDGF CQAGKDLRLVSLCMEQIDIPAGFLLVGAKSPNLPEHILVCAVDKRFLPDDHGKNALLGFS GNCIGCGERGFRYFTEFSNHINLKLTTQPKKQKHLKYYLVRTSQGVLSKGPLICWKECRS RQSSALCHSTKPISSVSSAVAPENGTANGYKAGFTVTEAANGTSGHGGKSSSCSSTPSRP GNYSLSPRPTFTSVDQANMFISGPPKKRHRGWYPGSPVSQSALVVPAPTVRPLSRTEPLL STPVPQTPLTGILQPRPVLAGETVIVPENLLSNSGVRPVILIGYGTLPYFYGNVGDIVVS PLLVNCYKIPQLENKDLEQLGLTSTHLLSVENMILLTIQYLVRLGQHQSRALAESMLTTS EFLKEISYELITGKVSFLASHFKTTSLGDDLDKLLEKMQQRRGDSVVTPFNGDLDECVSP QEAAAMIPTQNLDVDNETFQIYQPQLTVARRLLSQVCAIADSGSQSLDLGHFSKVDFIII VPRSEVLVQQTLQRVRQSGVLVDLGLEESGLAHQRAERYVVRLDNEIQSKFEVFMRRVKQ NPYTLFVLVHDNSHVELTSVISGSLSHGEPTHGLADRVINCREVLEAFNLLVLQVSSFPY TLQTQQSRISSSNEVHWIQLDTMEDAGCEKLYFGLDEYSKSLQWGITSPLLRCDETFEKM VSTLLERYPRLHSMVVRCYLLIQQYSEALMALTTMASLRDHSTPETLSIMDDLITSPGKN KSGKGHMLVIRVPSVQLAMLAKERLQEVRDKLGLQYRFEIILGSPASELTVETHFVTRLK TWRGNDQDEWIPRTYQDLEGLPCIVILTGKDPLGETFPRSLKYCDLRLIDSSYLTRTALE QEVGLACCYVSKEVIRGPAAALDLSAKEAER >ENSMUSP00000134314.1 pep:known chromosome:GRCm38:18:10510705:10553704:1 gene:ENSMUSG00000042942.15 transcript:ENSMUST00000172680.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Greb1l description:growth regulation by estrogen in breast cancer-like [Source:MGI Symbol;Acc:MGI:3576497] ITGKVSFLASHFKTTSLGDDLDKLLEKMQQRRGDSVVTPFNGDLDECVSPQEAAAMIPTQ NLDVDNETFQIYQPQLTVARRLLSQVCAIADSGSQSLDLGHFSKVDFIIIVPRSEVLVQQ TLQRVRQSV >ENSMUSP00000127921.1 pep:known chromosome:GRCm38:4:88819959:88820531:1 gene:ENSMUSG00000100549.1 transcript:ENSMUST00000170428.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna11 description:interferon alpha 11 [Source:MGI Symbol;Acc:MGI:109210] MARLCAFLMILIVMSYWSTCSLGCDLPHTYNLRNKRALKVLAQMRRLTPLSCLKDRKDFG FPLEKVDAQQIQKAQSIPVLRDLTQQILNLFASKDSSAAWNATLLDSFCNDLHQQLNDLQ GCLMQQVGVQESPLTQEDSLLAVRIYFHRITVFLREKKHSPCAWEVVRAEVWRALSSSAN VLGRLREEKA >ENSMUSP00000073964.2 pep:known chromosome:GRCm38:7:44215945:44220703:1 gene:ENSMUSG00000066512.3 transcript:ENSMUST00000074359.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1b5 description:kallikrein 1-related peptidase b5 [Source:MGI Symbol;Acc:MGI:892020] MWFLILFLALSLGGIDAAPPVQSRIFGGFNCEKNSQPWQVAVYRFTKYQCGGVLLNANWV LTAAHCHNDKYQVWLGKNNFFEDEPSAQHRLVSKAIPHPDFNMSLLNEHTPQPEDDYSND LMLLRLKKPADITDVVKPIDLPTEEPKLGSTCLASGWGSITPVIYEPADDLQCVNFKLLP NEDCVKAHIEKVTDVMLCAGDMDGGKDTCMGDSGGPLICDGVLHGITSWGPSPCGKPNVP GIYTKLIKFNSWIKDTIAKNA >ENSMUSP00000017443.7 pep:known chromosome:GRCm38:2:164745983:164768607:1 gene:ENSMUSG00000017299.13 transcript:ENSMUST00000017443.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnttip1 description:deoxynucleotidyltransferase, terminal, interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923483] MGATGDTEQPRGPGGAERGGLELGDAGAAGQPVLTNPWNIMIKHRQVQRRGRRSQMTTSF TDPAISMDLLRAVLQPSINEEIQGVFNKYMKFFQKAALNVRDNVGEEVDAEQLIQEACRS CLEQAKLLFSDGEKVIPRLAHELPGIKRGRQAEEESHRGSPIPKKRKGRPPGHVLSNDRA AAGMVWKQKSCEPIRREGPKWDPARLNESTTFVLGSRANKALGMGGTRGRIYIKHPHLFK YAADPQDKHWLAEQHHMRATGGKMAYLLIEEDIRDLAASDDYRGCLDLKLEELKSFVLPS WMVEKMRKYMETLRTENEHRAAEAPPQT >ENSMUSP00000104949.3 pep:known chromosome:GRCm38:2:164746014:164751394:1 gene:ENSMUSG00000017299.13 transcript:ENSMUST00000109326.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnttip1 description:deoxynucleotidyltransferase, terminal, interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923483] MGATGDTEQPRGPGGAERGGLELGDAGAAGQPVLTNPWNIMIKHRQVQRRGRRSQMTTSF TDPAISMDLLRAVLQPSINEEIQGVFNKYMKIFQDQKMAKRVKALPTKSDS >ENSMUSP00000104950.3 pep:known chromosome:GRCm38:2:164746031:164761560:1 gene:ENSMUSG00000017299.13 transcript:ENSMUST00000109327.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnttip1 description:deoxynucleotidyltransferase, terminal, interacting protein 1 [Source:MGI Symbol;Acc:MGI:1923483] MGATGDTEQPRGPGGAERGGLELGDAGAAGQPVLTNPWNIMIKHRQVQRRGRRSQMTTSF TDPAISMDLLRAVLQPSINEEIQGVFNKYMKFFQKAALNVRDNVGEEVDAEQLIQEACRS CLEQAKLLFSDGEKVIPRLAHELPGIKRGRQAEEESHRGSPIPKKRKGRPPGHVLSNDRA AAGMVWKQKSCEPIRREGPKDFRTRSSEGFP >ENSMUSP00000102456.1 pep:known chromosome:GRCm38:11:106263179:106267799:-1 gene:ENSMUSG00000078619.10 transcript:ENSMUST00000106843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcd2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily d, member 2 [Source:MGI Symbol;Acc:MGI:1933621] MEGMGYGPRRAPPLTCVPPLFHFPQRPGMSPGSRMPMAGLQVGPPAGSPFGTAAPLRPGM PPTMMDPFRKRLLVPQAQPPMPAQRRGLKRRKMADKVLPQRIRELVPESQAYMDLLAFER KLDQTIARKRMEIQEAIKKPLTQKRKLRIYISNTFSPSKADGDNAGTAGTPGGTPAADKV ASWELRVEGKLLDDPSKQKRKFSSFFKSLVIELDKELYGPDNHLVEWHRMPTTQETDGFQ VKRPGDLNVKCTLLLMLDHQPPQYKLDPRLARLLGVHTQTRAAIMQALWLYIKHNQLQDG HEREYINCNRYFRQIFSCGRLRFSEIPMKLAGLLQHPDPIVINHVISVDPNDQKKTACYD IDVEVDDPLKAQMSNFLASTTNQQEIASLDVKIHETIESINQLKTQRDFMLSFSTEPQDF IQEWLRSQRRDLKIITDVIGNPEEERRAAFYHQPWAQEAVGRHIFAKVQQRRQELEQVLG IRLT >ENSMUSP00000021052.9 pep:known chromosome:GRCm38:11:106263179:106272972:-1 gene:ENSMUSG00000078619.10 transcript:ENSMUST00000021052.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcd2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily d, member 2 [Source:MGI Symbol;Acc:MGI:1933621] MSGRGAGGFPLPPLSPGGGAVAAALGAPPPPAGPGMLPSPALRGPGPSGGMGVPGAAAFR PMGPAGPAAQYQRPGMSPGSRMPMAGLQVGPPAGSPFGTAAPLRPGMPPTMMDPFRKRLL VPQAQPPMPAQRRGLKRRKMADKVLPQRIRELVPESQAYMDLLAFERKLDQTIARKRMEI QEAIKKPLTQKRKLRIYISNTFSPSKADGDNAGTAGTPGGTPAADKVASWELRVEGKLLD DPSKQKRKFSSFFKSLVIELDKELYGPDNHLVEWHRMPTTQETDGFQVKRPGDLNVKCTL LLMLDHQPPQYKLDPRLARLLGVHTQTRAAIMQALWLYIKHNQLQDGHEREYINCNRYFR QIFSCGRLRFSEIPMKLAGLLQHPDPIVINHVISVDPNDQKKTACYDIDVEVDDPLKAQM SNFLASTTNQQEIASLDVKIHETIESINQLKTQRDFMLSFSTEPQDFIQEWLRSQRRDLK IITDVIGNPEEERRAAFYHQPWAQEAVGRHIFAKVQQRRQELEQVLGIRLT >ENSMUSP00000133629.1 pep:known chromosome:GRCm38:11:106264019:106265746:-1 gene:ENSMUSG00000078619.10 transcript:ENSMUST00000140255.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcd2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily d, member 2 [Source:MGI Symbol;Acc:MGI:1933621] TTQETDGFQVKRPGDLNVKCTLLLMLDHQPPQYKLDPRLARLLGVHTQTRAAIMQALWLY IKHNQLQDGHEREYINCNRYFRQIFSCGRLRFSEIPMKLAGLLQHPDPIVINHVISVDPN DQKKTACYDIDVEVDDPLKAQMSNFLASTTNQQEIASLDVKIHETIESINQLKTQRDFML SFSTEPQDFIQEWLRSQRRDLKIITDVIGNPEEERRAAFYHQPWAQEAVGRHIFAKVRLC HFGLRFS >ENSMUSP00000098768.4 pep:known chromosome:GRCm38:5:52363791:52371418:1 gene:ENSMUSG00000072941.5 transcript:ENSMUST00000101208.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sod3 description:superoxide dismutase 3, extracellular [Source:MGI Symbol;Acc:MGI:103181] MLAFLFYGLLLAACGSVTMSNPGESSFDLADRLDPVEKIDRLDLVEKIGDTHAKVLEIWM ELGRRREVDAAEMHAICRVQPSATLPPDQPQITGLVLFRQLGPGSRLEAYFSLEGFPAEQ NASNRAIHVHEFGDLSQGCDSTGPHYNPMEVPHPQHPGDFGNFVVRNGQLWRHRVGLTAS LAGPHAILGRSVVVHAGEDDLGKGGNQASLQNGNAGRRLACCVVGTSSSAAWESQTKERK KRRRESECKTT >ENSMUSP00000075380.3 pep:known chromosome:GRCm38:4:101909121:101911908:1 gene:ENSMUSG00000037028.7 transcript:ENSMUST00000075999.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12800 description:predicted gene 12800 [Source:MGI Symbol;Acc:MGI:3652120] MSTYNPPTLLQLALEGVVRKDSIDFSNLEDLPITLFPPLFIKAFNSRHTEIIKKMVATWP FPCLPVGALLKTAGVEMLQAVLNGIDILLTQNVSLRRRKLQVVDLRDLHHDFWDVWTGKN NGIHSAEKGRKEQISKRIPKYALRRRLKVVTDLSLFFSLNKDQTCFLQWAQQRNEYVRLC CLKMNIIAFPVEIIKWILNIFHPNYIEELEIHTNQVLSFLSCFAPCFGQMRNLLRFHLCQ MYLMSGSGVYRFAEVKKGAAKFLSQFSKLKCLQHLSMNGDYFSRDHMKHLFRCLKSPLES LSMNRCQLSKSDFKHMSDCQRLYQLKHLQFHGVEFPKSGFKSLQILLKNVSETLQTLKFE HCKMNDSQLKVLLPALSQCSQLTMVNFCDNDFSNLVLKDLLKCMANLSKLCVEQYPAPLE CYDHRRNVVVEEFVQLCSDLMDILIAKRQPKTIIFATDTCSHCLRRCIYGRKTSLCLCWQ QREV >ENSMUSP00000044608.7 pep:known chromosome:GRCm38:8:46986927:47094895:1 gene:ENSMUSG00000038173.14 transcript:ENSMUST00000039840.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp6 description:ectonucleotide pyrophosphatase/phosphodiesterase 6 [Source:MGI Symbol;Acc:MGI:2445171] MAAKLWTFLLGFGLSWVWPASAHRKLLVLLLDGFRSDYISEDALASLPGFREIVNRGVKV DYLTPDFPSLSYPNYYTLMTGRHCEVHQMIGNYMWDPRTNKSFDIGVNRDSLMPLWWNGS EPLWITLMKARRKVYMYYWPGCEVEILGVRPTYCLEYKTVPTDINFANAVSDALDSLKSG RADLAAIYHERIDVEGHHYGPSSPQRKDALRAVDTVLKYMIQWIQDRGLQQDLNVILFSD HGMTDIFWMDKVIELSNYISLDDLQQVKDRGPVVSLWPVPGKHSEIYHKLRTVEHMTVYE KESIPNRFYYKKGKFVSPLTLVADEGWFIAESREMLPFWMNSTGKREGWQRGWHGYDNEL MDMRGIFLAIGPDFKSNFRAAPIRSVDVYNIMCHVAGITPLPNNGSWSRVVCMLKGQTSS APPTPLNSCALVLILLLYFV >ENSMUSP00000112633.1 pep:known chromosome:GRCm38:8:46986954:47082794:1 gene:ENSMUSG00000038173.14 transcript:ENSMUST00000119686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp6 description:ectonucleotide pyrophosphatase/phosphodiesterase 6 [Source:MGI Symbol;Acc:MGI:2445171] MAAKLWTFLLGFGLSWVWPASAHRKLLVLLLDGFRSDYISEDALASLPGFREIVNRGVKV DYLTPDFPSLSYPNYYTLMTGRHCEVHQMIGNYMWDPRTNKSFDIGVNRDSLMPLWWNGS EPLWITLMKARRKVYMYYWPGCEVEILGVRPTYCLEYKTVPTDINFANAVSDALDSLKSG RADLAAIYHERIDVEGHHYGPSSPQRKDALRAVDTVLKYMIQWIQDRGLQQDLNVILFSD HGMTDIFWMDKVIELSNYISLDDLQQVKDRGPVVSLWPVPGKHSEIYHKLRTVEHMTVYE KESIPNRFYYKKGKFVSPLTLVADEGWFIAESREMLPFWMNSTGKREGWQRGWHGYDNEL MDMRGIFLAIGPGRQGDDWTLVGSLPRKQL >ENSMUSP00000121470.1 pep:known chromosome:GRCm38:8:47068931:47083476:1 gene:ENSMUSG00000038173.14 transcript:ENSMUST00000149593.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp6 description:ectonucleotide pyrophosphatase/phosphodiesterase 6 [Source:MGI Symbol;Acc:MGI:2445171] XKESIPNRFYYKKGKFVSPLTLVADEGWFIAESREMLPFWMNSTGKREGWQRGWHGYDNE LMDMRGIFLAIGPAI >ENSMUSP00000103434.1 pep:known chromosome:GRCm38:4:45203925:45285936:1 gene:ENSMUSG00000035615.12 transcript:ENSMUST00000107804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmpd1 description:FERM and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:2446274] MEELDGSLSQTRKAHRIEQMVARWLRRSRDSSARAKVAAADGPPGNPAQALTPVRHTVTL DKDVLLQNYGFHISETLPLTVVAVTAGGSAHGKLFPGDQILQMNNELAEDLSCERAADIL RETEDALSITVVRCTSGVPKSSFLTEEKRARLKSNPVKVHFAEEVLVSGHSQGNSLLCMP NVLKVYLENGQTKAFKFEANTTVKDIILTVKEKLSIRSIEYFALALEEQYSISRLHLLHE EELVQQVVEREESQDSRCLFRVSFVPKDPLDLLKEDPVAFEYLYLQSCSDVLQERFAVEM KCNSALRLAALHIQERIYACAQPQKISLKYIEKDWGIENFISPTLLRNMKGKDIKKAISF HMKRNQNLLEPRQKQLISAAQLRLNYLQILGELKTYGGKVFNATLMLQDRESYIALLVGA KYGISQIINSKLNIISTLAEFANISRVELTEESEKVSMVKVYLQDVKVLTLLLESSSAKD LACLIAGYYRLFVDPANSVFHWSGNRRPTHRVSAEEGYESRACSDSEESSEVDCVLEPLS DRCLVKLSLCRPFAREEQPPGDSPTPEATRRGPSTCGASSMTDSAESEASDSANTESRGC RTSGSSESMDALEEDDLDACSSSGTSFFHFGPPGFSKGLETNSQEENSRVETSGFLCLLD LGQNANPQCQKIDGPQGLASEACSWGPELSMGRLDPRLYEGSRTDYYNLCSSISPGSHLS DSGSESTASRQGAAPPQWCQQGWMEAQSGSMLESLGLPALPPLAFEGGSSDEEYYDAADK LTPPDTLSGPRAADPSAMRLQSQSRTRGSEESLHPGPEGGEPSRQGGVKKYAKSLRKRRS FLQTDHTSQVSFPLEASASQENTDDVCYYDREPYLTLTAPSPTVSSLQDMQGEPGLLETK ALGLLASLRETKSTNPASRIMEMEPETMETKSVIDSRVSSISAIRLRIDPSNTENPVTTD GSSASIPHSPHHSNPGSSSPQAAQVRPFPIVSPDQDPGGTTPKELTAEPEDSTFPLSSDH PNPDNPGPHHVSQGDTSELGEVRSEIGSESFLINHVQEVIPQITGPLCPGDGPTSGECEV NSEETALAADEVQGQLSLDSDREVMHRNGPSLFQKGSGKDLGDSKGDRLDNVPQALDVRA PAGEINSSLCSEPPATGTGQTSSDSEGENREAQEQELLTELDLAPDFLLPSAFPPETIKA EQLDRVIGEDSVPVSTSQQVCVHTVPSLPKLSPCQEEPRSADSGHGSPAESKGDDSPIIC LPPERSFLCFAPESHPEGSTSLSRVTSFSFAGINEVAPAEIGIEHCRCQFSYATCFRGLQ PETEEEDGDPQTHPAAPLTSPPSAGSQVTLPWRAARAYSCTTPLSRKSHIWPEFCSRALR QLKTTPTNAPEGFVQLTESLLELQDILEASWGVGNKHPPDKCTLHFSESRSRLCMGSQKL LASCQHVIRMDQSPEEMQGAVRVTFQHLVQLAGLCFQFTDCSRCSTRHREVAGNLRDVVY TYHQFVEAAKLTCERGYHDFSVKLLARQCTALTAAVFCLTQKFRASTAL >ENSMUSP00000118757.1 pep:known chromosome:GRCm38:4:45184875:45261900:1 gene:ENSMUSG00000035615.12 transcript:ENSMUST00000134280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmpd1 description:FERM and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:2446274] MEELDGSLSQTRKAHRIEQMVARWLRRSRDSSARAKVAAADGPPGNPAQALTPVRHTVTL DKDVLLQNYGFHISETLPLTVVAVTAGGSAHGKLFPGDQILQMNNELAEDLSCERAADIL RETEDALSITVVRCTSGVPKSSFLTEEKRARLKSNPVKVHFAEEVLVSGHSQGNSLLCMP NVLKVYLENGQTKA >ENSMUSP00000047232.5 pep:known chromosome:GRCm38:4:45184906:45285936:1 gene:ENSMUSG00000035615.12 transcript:ENSMUST00000044773.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmpd1 description:FERM and PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:2446274] MEELDGSLSQTRKAHRIEQMVARWLRRSRDSSARAKVAAADGPPGNPAQALTPVRHTVTL DKDVLLQNYGFHISETLPLTVVAVTAGGSAHGKLFPGDQILQMNNELAEDLSCERAADIL RETEDALSITVVRCTSGVPKSSFLTEEKRARLKSNPVKVHFAEEVLVSGHSQGNSLLCMP NVLKVYLENGQTKAFKFEANTTVKDIILTVKEKLSIRSIEYFALALEEQYSISRLHLLHE EELVQQVVEREESQDSRCLFRVSFVPKDPLDLLKEDPVAFEYLYLQSCSDVLQERFAVEM KCNSALRLAALHIQERIYACAQPQKISLKYIEKDWGIENFISPTLLRNMKGKDIKKAISF HMKRNQNLLEPRQKQLISAAQLRLNYLQILGELKTYGGKVFNATLMLQDRESYIALLVGA KYGISQIINSKLNIISTLAEFANISRVELTEESEKVSMVKVYLQDVKVLTLLLESSSAKD LACLIAGYYRLFVDPANSVFHWSGNRRPTHRVSAEEGYESRACSDSEESSEVDCVLEPLS DRCLVKLSLCRPFAREEQPPGDSPTPEATRRGPSTCGASSMTDSAESEASDSANTESRGC RTSGSSESMDALEEDDLDACSSSGTSFFHFGPPGFSKGLETNSQEENSRVETSGFLCLLD LGQNANPQCQKIDGPQGLASEACSWGPELSMGRLDPRLYEGSRTDYYNLCSSISPGSHLS DSGSESTASRQGAAPPQWCQQGWMEAQSGSMLESLGLPALPPLAFEGGSSDEEYYDAADK LTPPDTLSGPRAADPSAMRLQSQSRTRGSEESLHPGPEGGEPSRQGGVKKYAKSLRKRRS FLQTDHTSQVSFPLEASASQENTDDVCYYDREPYLTLTAPSPTVSSLQDMQGEPGLLETK ALGLLASLRETKSTNPASRIMEMEPETMETKSVIDSRVSSISAIRLRIDPSNTENPVTTD GSSASIPHSPHHSNPGSSSPQAAQVRPFPIVSPDQDPGGTTPKELTAEPEDSTFPLSSDH PNPDNPGPHHVSQGDTSELGEVRSEIGSESFLINHVQEVIPQITGPLCPGDGPTSGECEV NSEETALAADEVQGQLSLDSDREVMHRNGPSLFQKGSGKDLGDSKGDRLDNVPQALDVRA PAGEINSSLCSEPPATGTGQTSSDSEGENREAQEQELLTELDLAPDFLLPSAFPPETIKA EQLDRVIGEDSVPVSTSQQVCVHTVPSLPKLSPCQEEPRSADSGHGSPAESKGDDSPIIC LPPERSFLCFAPESHPEGSTSLSRVTSFSFAGINEVAPAEIGIEHCRCQFSYATCFRGLQ PETEEEDGDPQTHPAAPLTSPPSAGSQVTLPWRAARAYSCTTPLSRKSHIWPEFCSRALR QLKTTPTNAPEGFVQLTESLLELQDILEASWGVGNKHPPDKCTLHFSESRSRLCMGSQKL LASCQHVIRMDQSPEEMQGAVRVTFQHLVQLAGLCFQFTDCSRCSTRHREVAGNLRDVVY TYHQFVEAAKLTCERGYHDFSVKLLARQCTALTAAVFCLTQKFRASTAL >ENSMUSP00000100777.3 pep:known chromosome:GRCm38:4:88827416:88827985:1 gene:ENSMUSG00000101252.1 transcript:ENSMUST00000105146.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna6 description:interferon alpha 6 [Source:MGI Symbol;Acc:MGI:107662] MARLCAFLMVLVVLSYWSTCSLGCDLPQTHNLRNKKALTLLVQMRRLSPLSCLKDRKDFG FPLEKVDAQQIQEAQAIPVLTELTQQILTLFTSKDSSAAWNATLLDSFCNDLHQQLNDLK ACVMQEVGVQESPLTQEDSLLAVRTYFHRITVYLREKKHSPCAWEVVRAEVWRALSSSAK LLARLSEDE >ENSMUSP00000048190.8 pep:known chromosome:GRCm38:2:71453276:71525191:1 gene:ENSMUSG00000041921.16 transcript:ENSMUST00000037210.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap1d description:methionyl aminopeptidase type 1D (mitochondrial) [Source:MGI Symbol;Acc:MGI:1913809] MAAPIGVPLLVRGGCQRILSSPLNHIYLHKRSGSQQRRHFFFWRQRDISHSVVSPAAVSP AHPVPKRIKKPDYVTTGIVPDWGDSIEVKDEDQIQGLREACRLARHVLLLAGKSLKVDMT TEEIDALVHWEIIRHDAYPSPLGYGRFPKSVCTSVNNVLCHGIPDSRPLQDGDIINIDVT VYYNGYHGDTSETFLVGNVDESGKKLVEVARRCRDEAIAACRAGAPFSVIGNTISRITHQ NGLQVCPHFVGHGIGSYFHGHPEIWHHANDNDLPMEEGMAFTIEPIITEGSPEFKVLEDA WTVVSLDNQRSAQFEHTVLITPRGVEILTKLPQEA >ENSMUSP00000053551.2 pep:known chromosome:GRCm38:5:135248938:135251230:-1 gene:ENSMUSG00000049551.2 transcript:ENSMUST00000062572.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd9 description:frizzled class receptor 9 [Source:MGI Symbol;Acc:MGI:1313278] MAVPPLLRGALLLWQLLATGGAALEIGRFDPERGRGPAPCQAMEIPMCRGIGYNLTRMPN LLGHTSQGEAAAQLAEFSPLVQYGCHSHLRFFLCSLYAPMCTDQVSTPIPACRPMCEQAR LRCAPIMEQFNFGWPDSLDCARLPTRNDPHALCMEAPENATAGPTEPHKGLGMLPVAPRP ARPPGDSAPGPGSGGTCDNPEKFQYVEKSRSCAPRCGPGVEVFWSRRDKDFALVWMAVWS ALCFFSTAFTVFTFLLEPHRFQYPERPIIFLSMCYNVYSLAFLIRAVAGAQSVACDQEAG ALYVIQEGLENTGCTLVFLLLYYFGMASSLWWVVLTLTWFLAAGKKWGHEAIEAHGSYFH MAAWGLPALKTIVVLTLRKVAGDELTGLCYVASMDPAALTGFVLVPLSCYLVLGTSFLLT GFVALFHIRKIMKTGGTNTEKLEKLMVKIGVFSILYTVPATCVIVCYVYERLNMDFWRLR ATEQPCTAATVPGGRRDCSLPGGSVPTVAVFMLKIFMSLVVGITSGVWVWSSKTFQTWQS LCYRKMAAGRARAKACRTPGGYGRGTHCHYKAPTVVLHMTKTDPSLENPTHL >ENSMUSP00000095222.2 pep:known chromosome:GRCm38:18:35726989:35730869:1 gene:ENSMUSG00000073598.3 transcript:ENSMUST00000097617.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700066B19Rik description:RIKEN cDNA 1700066B19 gene [Source:MGI Symbol;Acc:MGI:1920699] MHQDDYYPQPSLLVNGSLDQEPQRQLPDMPPRGGDGLPLLAAIIAAFVLLAICIVLAVHF GPALHQGQATLLTEPPALKPENGVYLIHWRLLSLQDSHRESQQGLFIPHSGPALDGHRPS IDEVTYL >ENSMUSP00000145939.1 pep:known chromosome:GRCm38:7:125640954:125707677:-1 gene:ENSMUSG00000032777.9 transcript:ENSMUST00000205659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c1 description:general transcription factor III C 1 [Source:MGI Symbol;Acc:MGI:107887] MDALESLLDEVALEGLDGLCLPALWSRLESRSPAFPLPLEPYTQEFLWRALATHPGISFY EEPRERPDLQLQDRYEEIDLETGILESRRDPVTLEDVYPIHMILENKDGIQGSCRYFKER KDITSSIRSKCLQPRCTMVEAFSRWGKKLIIVASQDMRYRALIGLEGDPDLKLPDFSYCI LERLGRSRWQGELQRDLHTTAFKVDAGKLHYHRKILNKNGLITMQSHVIRLPTGAQQHSI LLLLNRFHVDRRSKYDILMEKLSMMLSTRSNQIETLGKLREELGLCERTFKRLYQYMLNA GLAKVVSLPLQEIHPECGPCKTKKGTDVMVRCLKLLKEFKRKMEDDHDDDDDEEVISKGV PPVDIVFERDMLTQTYELIERRGTKGISQAEIRVAMNVGKLEARMLCRLLQRFKVVKGFM EDEGRQRTTKYISCVFAEESDLSRQYAREKARGELLTTVSLASVQDESLMPEGEEAFLSD SESEEESSCSGGKRRGRGSRGHARASGDAGSGSRPHHSTPAKGGWKVLNLHPLKKPKAAA EERSRRSSACRDGLDTSSSSELNAPFDPHSMDSHSGDIAVIEEVRLDNPKEGGGSQKGGR HGSSQDKPHKTYRLLKRRNLIIEAVTNLRLIESLFTIQKMIMDQEKQEGVSTKCCKKSII RLVRNLSEEGLLRLYRTTVIQDGIKKKVDLVVHPSMDQNDPLVRSAIEQVRFRISNSSTA NRVKVPPAPAPQEEAEEENQEPEVPSRSANSDPNTSSKPESTRVKKTDEKMGITPLKNYK PVIVPGLGRSIGFLPKMPRLKAMHLFLWYLVYGHPAGHTGEQPALHSERKTGKQESSRPG AQPSSGDDWDTSEAKNNTESSSWESEMELSTEIVYVDEISWMRYVPPIPIHRDFGFGWAL VSDILLCLPLSIFVQLVQVSYKVDNLEDFLNDPLKKHTLIRFLPRHIRQQLLYKRRYIFS VVENLQRLCYMGLLQFGPTEKFQDKDQVFVFLKKNAVIVDTTICDPHYNLAHSSRPFERR LYVLDSMQDVECYWFDLQCICLNTPLGVVRCPCAQKICPDPGSDPEGSLRKEQESAMDKH NLERKCAMLEYTTGSREVVDEGLVPGDGLGAAGLDSSFYAHLKRNWVWTSYIINKARKNN TSENGLTGRLQTFLSKRPMPLGSGGSGRLPLWSEGRADAELCADKEEQFELDREPTPGRN RKVRGGKSQKRKRLKKEPIRKTKRRRRGEHPEAKSKKLRYQDEADQNALRMMTRLRVSWS MQEDGLLMLCRIASNVLNTKVKGPFVTWQVVRDILHATFEESLDKTSHSVGRRARYIVKN PQAFMNYKVCLAEVYQDKALVGDFMSRKGNYEDPKVCAKEFKEFVEKLKEKFSSGLRNPN LEIPNTLQELFAKYRVLAIGDEKDRVRKEDELNSVEDIHFLVLQNLIQSTLSLSNSQSNS CQSFQIFRLYREFREPVLVRAFMECQKRSLVNRRRVSHSQGPKKNRAVPFVPMSYQLSQS YYNLGKDGGLDDDEEEEDLDEGSGTKRQGVEVKAHQASHTKYLLMRGYYTVPGMVSTRNL NPNDSIVVNSCQVKFRLRNTPASTQLGPTGFTATPLEELQAGLSCLPASFTSLVDPQLRT HCPEEFAHQMAQSGYSPEDVAASLEILQAVAAADCFGIDKEKLSRQFSALEKIADRRTRT FLDYIQDLLEQEQVMEVGGNTVRLVTMASAQPWLLHSMRLRDMEVDTKASGDDSQSRLPE GPSIEDHTSEGAAVPPVSSHSTKKRPHCPETDAEEATRLPAKKPTLQDVRVAASPRPGAE EQAEAQAPAQLAAPEDADAGGPRQENQENVGVSGLEQLGCEFQLPEGSEDPRGLTESNMA QAAWESGCERVCFVGRPWRGVDGHLNMPVCKGMLEAVLYHIMSRPGVPESCLLQYYQGVL QPVAVLELLRGLESLGCIQKRTLRKPASVSLFSRPVVEGLGQASEAEALSCHESTVTFYE PTLDCTIRLGRVFPHDINWNKWIHL >ENSMUSP00000056719.7 pep:known chromosome:GRCm38:7:125640954:125707780:-1 gene:ENSMUSG00000032777.9 transcript:ENSMUST00000055506.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c1 description:general transcription factor III C 1 [Source:MGI Symbol;Acc:MGI:107887] MDALESLLDEVALEGLDGLCLPALWSRLESRSPAFPLPLEPYTQEFLWRALATHPGISFY EEPRERPDLQLQDRYEEIDLETGILESRRDPVTLEDVYPIHMILENKDGIQGSCRYFKER KDITSSIRSKCLQPRCTMVEAFSRWGKKLIIVASQDMRYRALIGLEGDPDLKLPDFSYCI LERLGRSRWQGELQRDLHTTAFKVDAGKLHYHRKILNKNGLITMQSHVIRLPTGAQQHSI LLLLNRFHVDRRSKYDILMEKLSMMLSTRSNQIETLGKLREELGLCERTFKRLYQYMLNA GLAKVVSLPLQEIHPECGPCKTKKGTDVMVRCLKLLKEFKRKMEDDHDDDDDEEVISKGV PPVDIVFERDMLTQTYELIERRGTKGISQAEIRVAMNVGKLEARMLCRLLQRFKVVKGFM EDEGRQRTTKYISCVFAEESDLSRQYAREKARGELLTTVSLASVQDESLMPEGEEAFLSD SESEEESSCSGGKRRGRGSRGHARASGDAGSGSRPHHSTPAKGGWKVLNLHPLKKPKAAA EERSRRSSACRDGLDTSSSSELNAPFDPHSMDSHSGDIAVIEEVRLDNPKEGGGSQKGGR HGSSQDKPHKTYRLLKRRNLIIEAVTNLRLIESLFTIQKMIMDQEKQEGVSTKCCKKSII RLVRNLSEEGLLRLYRTTVIQDGIKKKVDLVVHPSMDQNDPLVRSAIEQVRFRISNSSTA NRVKVPPAPAPQEEAEEENQEPEVPSRSANSDPNTSSKPESTRVKKTDEKMGITPLKNYK PVIVPGLGRSIGFLPKMPRLKAMHLFLWYLVYGHPAGHTGEQPALHSERKTGKQESSRPG AQPSSGDDWDTSEAKNNTESSSWESEMELSTEIVYVDEISWMRYVPPIPIHRDFGFGWAL VSDILLCLPLSIFVQLVQVSYKVDNLEDFLNDPLKKHTLIRFLPRHIRQQLLYKRRYIFS VVENLQRLCYMGLLQFGPTEKFQDKDQVFVFLKKNAVIVDTTICDPHYNLAHSSRPFERR LYVLDSMQDVECYWFDLQCICLNTPLGVVRCPCAQKICPDPGSDPEGSLRKEQESAMDKH NLERKCAMLEYTTGSREVVDEGLVPGDGLGAAGLDSSFYAHLKRNWVWTSYIINKARKNN TSENGLTGRLQTFLSKRPMPLGSGGSGRLPLWSEGRADAELCADKEEQFELDREPTPGRN RKVRGGKSQKRKRLKKEPIRKTKRRRRGEHPEAKSKKLRYQDEADQNALRMMTRLRVSWS MQEDGLLMLCRIASNVLNTKVKGPFVTWQVVRDILHATFEESLDKTSHSVGRRARYIVKN PQAFMNYKVCLAEVYQDKALVGDFMSRKGNYEDPKVCAKEFKEFVEKLKEKFSSGLRNPN LEIPNTLQELFAKYRVLAIGDEKDRVRKEDELNSVEDIHFLVLQNLIQSTLSLSNSQSNS CQSFQIFRLYREFREPVLVRAFMECQKRSLVNRRRVSHSQGPKKNRAVPFVPMSYQLSQS YYKLFTWRFPTTICTESFQFYDRLRTNGMLDQPDHFSFKDLDSSDPSNDLVAFSLDSPGG HCVTALALFSLGLLSVDVRIPEQIVVVDSSMVESEVMKSLGKDGGLDDDEEEEDLDEGSG TKRQGVEVKAHQASHTKYLLMRGYYTVPGMVSTRNLNPNDSIVVNSCQVKFRLRNTPAST QLGPTGFTATPLEELQAGLSCLPASFTSLVDPQLRTHCPEEFAHQMAQSGYSPEDVAASL EILQAVAAADCFGIDKEKLSRQFSALEKIADRRTRTFLDYIQDLLEQEQVMEVGGNTVRL VTMASAQPWLLHSMRLRDMEVDTKASGDDSQSRLPEGPSIEDHTSEGAAVPPVSSHSTKK RPHCPETDAEEATRLPAKKPTLQDVRVAASPRPGAEEQAEAQAPAQLAAPEDADAGGPRQ ENQENVGVSGLEQLGCEFQLPEGSEDPRGLTESNMAQAAWESGCERVCFVGRPWRGVDGH LNMPVCKGMLEAVLYHIMSRPGVPESCLLQYYQGVLQPVAVLELLRGLESLGCIQKRTLR KPASVSLFSRPVVEGLGQASEAEALSCHESTVTFYEPTLDCTIRLGRVFPHDINWNKWIH L >ENSMUSP00000146022.1 pep:known chromosome:GRCm38:7:125647530:125655891:-1 gene:ENSMUSG00000032777.9 transcript:ENSMUST00000206183.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf3c1 description:general transcription factor III C 1 [Source:MGI Symbol;Acc:MGI:107887] LVGDFMSRKGNYEDPKAGGGRLSVSLDSWTQFLA >ENSMUSP00000145856.1 pep:known chromosome:GRCm38:7:125662270:125707742:-1 gene:ENSMUSG00000032777.9 transcript:ENSMUST00000205444.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf3c1 description:general transcription factor III C 1 [Source:MGI Symbol;Acc:MGI:107887] MDALESLLDEVALEGLDGLCLPALWSRLESRSPAFPLPLEPYTQEFLWRALATHPGISFY EEPRERPDLQLQDRYEEIDLETGILESRRDPVTLEDVYPIHMILENKDGIQGSCRYFKER KDITSSIRSKCLQPRCTMVEAFSRWGKKLIIVASQDMRYRALIGLEGDPDLKLPDFSYCI LERLGRSRWQGELQRDLHTTAFKVDAGKLHYHRKILNKNGLITMQSHVIRLPTGAQQHSI LLLLNRFHVDRRSKYDILMEKLSMMLSTRSNQIETLGKLREELELMSWCAVLSS >ENSMUSP00000145711.1 pep:known chromosome:GRCm38:7:125662390:125668992:-1 gene:ENSMUSG00000032777.9 transcript:ENSMUST00000206694.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf3c1 description:general transcription factor III C 1 [Source:MGI Symbol;Acc:MGI:107887] XDEISWMRYVPPIPIHRDFGFGWALVSDILLCLPLSIFVQLVQVSYKVDNLEDFLNDPLK KHTLIRFLPRHIRQQLLYKRRYIFSVVENLQRLCYMGLLQFGPTEKFQDKDQVWCVARVH RRSALIQAVTQKAACGRSRRVPWTSTT >ENSMUSP00000145607.1 pep:known chromosome:GRCm38:7:125693229:125706536:-1 gene:ENSMUSG00000032777.9 transcript:ENSMUST00000206127.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c1 description:general transcription factor III C 1 [Source:MGI Symbol;Acc:MGI:107887] MILENKDGIQGSCRYFKERKDITSSIRSKCLQPRCTMVEAFSRWGKKLIIVASQDMRYRA LIGLEGDPDLKLPDFSYCILERLGRSRWQGELQRDLHTTAFKVDAGKLHYHRKILNKNGL ITMQSHVIRLPTGAQQHSILLLLNRFHVDRRSKYDILMEKLSMMLSTRSNQIETLGKLRE ELGLCERTFKRLY >ENSMUSP00000112340.2 pep:known chromosome:GRCm38:17:25579177:25662826:1 gene:ENSMUSG00000002279.18 transcript:ENSMUST00000116641.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmf1 description:lipase maturation factor 1 [Source:MGI Symbol;Acc:MGI:1923733] MRPDSLVMAAPEGSLRKRKVGGAEHSPASQPSLARDPADSPARLHTGTFWLTRIVLLRAL AFIYFVAFLVAFNQNKALIGDRGLLPCKLYLKNVQEYFQGSTGWAAWTYAPTIMWLLDWS DMNFNLDLIALLGLGISSFVLVTGCANMILMTALWALYMSLVNVGQIWYSFGWESQLLET GFLGIFLSPLWTLSRLPKNTPTSQIVLWGFRWLIFRIMLGAGLIKVRGDKCWLDLTCMDF HYETQPVPNPIAYYLHRSPWWFHRFETLSNHFVELLVPFFLFLGRRMRILHGVLQILFQV ILIISGNLSFLNWLTIVPSLACFDDAALGFLFPSGPQGLKKQVLEIQREDTQRVQPKPRD RGCLVRQVVNISLGILVAWLSVPVVINLLSSRQIMNTSFNPLRIVNTYGAFGSVTKERTE VILQGTVSPNASAPDAVWEDYEFKCKPGDPWRQPCLISPYHYRLDWLMWFAAFQTYEQNE WILHLAGKLLAGDSEALALLAVNPFEGRTPPRWIRGEHYRYKFSLPGGQHATQGKWWIRK RIGPYFPPLRLEDLKEYFKTREWPLPEPPSRHTR >ENSMUSP00000114651.1 pep:known chromosome:GRCm38:17:25579187:25662723:1 gene:ENSMUSG00000002279.18 transcript:ENSMUST00000137201.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lmf1 description:lipase maturation factor 1 [Source:MGI Symbol;Acc:MGI:1923733] MRPDSLVMAAPEGSLRKRKVGGAEHSPASQPSLARDPADSPARLHTGTFWLTRIVLLRAL AFIY >ENSMUSP00000119563.1 pep:known chromosome:GRCm38:17:25579197:25654854:1 gene:ENSMUSG00000002279.18 transcript:ENSMUST00000154842.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmf1 description:lipase maturation factor 1 [Source:MGI Symbol;Acc:MGI:1923733] XSLVMAAPEGSLRKRKVGGAEHSPASQPSLARDPADSPARLHTGTFWLTRIVLLRALAFI YFVAFLVAFNQNKALIGDRGLLPCKLYLKNVQEYFQGSTGWAAWTYAPTIMWLLDWSDMN FNLDLIALLGLGISSFVLVTGCANMILMTALWALYMSLVNVGQIWYSFGWESQLLETGFL GIFLSPLWTLSRLPKNTPTSQIVLWGFRWLIFRIMLGAGLIKVRGDKCWLDLTCMDFHYE TQPVPNPIAYYLHRSPWWFHRFETLSNHFVELLVPFFLFLGRRMRILHGVLQILFQDLKA >ENSMUSP00000129263.1 pep:known chromosome:GRCm38:17:25655415:25656450:1 gene:ENSMUSG00000002279.18 transcript:ENSMUST00000141606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmf1 description:lipase maturation factor 1 [Source:MGI Symbol;Acc:MGI:1923733] XQGTVSPNASAPDAVWEDYEFKCKPGDPWRQPCLISPYHYRLDWLMWFAAFQTYEQNEWI LHLAGKLLAGDSEALALLAVNPFEGRTPPR >ENSMUSP00000066682.8 pep:known chromosome:GRCm38:17:25579174:25662826:1 gene:ENSMUSG00000002279.18 transcript:ENSMUST00000063344.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmf1 description:lipase maturation factor 1 [Source:MGI Symbol;Acc:MGI:1923733] MRPDSLVMAAPEGSLRKRKVGGAEHSPASQPSLARDPADSPARLHTGTFWLTRIVLLRAL AFIYFVAFLVAFNQNKALIGDRGLLPCKLYLKNVQEYFQGSTGWAAWTYAPTIMWLLDWS DMNFNLDLIALLGLGISSFVLVTGCANMILMTALWALYMSLVNVGQICHSLGWESQLLET GFLGIFLSPLWTLSRLPKNTPTSQIVLWGFRWLIFRIMLGAGLIKVRGDKCWLDLTCMDF HYETQPVPNPIAYYLHRSPWWFHRFETLSNHFVELLVPFFLFLGRRMRILHGVLQILFQV ILIISGNLSFLNWLTIVPSLACFDDAALGFLFPSGPQGLKKQVLEIQREDTQRVQPKPRD RGCLVRQVVNISLGILVAWLSVPVVINLLSSRQIMNTSFNPLRIVNTYGAFGSVTKERTE VILQGTVSPNASAPDAVWEDYEFKCKPGDPWRQPCLISPYHYRLDWLMWFAAFQTYEQNE WILHLAGKLLAGDSEALALLAVNPFEGRTPPRWIRGEHYRYKFSLPGGQHATQGKWWIRK RIGPYFPPLRLEDLKEYFKTREWPLPEPPSRHTR >ENSMUSP00000051550.7 pep:known chromosome:GRCm38:4:101940407:101943183:1 gene:ENSMUSG00000070890.3 transcript:ENSMUST00000052027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12794 description:predicted gene 12794 [Source:MGI Symbol;Acc:MGI:3795847] MSTYNPPTLLQLALDEVVKKDSIDFSDLEDLPITLFPPLFIKAFNSRHTEIVKKMVATWP FPCLPVGALLKTAGVEMLQAVLDGIDILLTQNVSLRRKLQVVDMRDVHQDFWDVWTGKND GVHSADEGIKEPISKHVPKYALRRLLKVVTDLSLFFYLNTHQRCFLQWAQQRNEYVRLCC LKMKILAFPVENIKWILNIFHPNYIEELEIYTNQVLPFLSCFAPCFGQMRNLLRFHVCQI YLMSGSGVYRFAEVKRGAAKFLSQFSKLKCLQHLSMNGDYFSRYHMKHLFRCLKSPLESL SMNRCQLSKSDFKHMSDCQRLYQLKHLQFHGVEFPKSGFKSLQILLKNVSETLQTLKFEH CKMNDSQLKVLLPALSQCSQLTMVNFCDNDFSNLVLKDLLKCMANLSKLCVEQYPAPLEC YDHRRNVVVEEFVQLCSDLMDILIAKRQPKTIIFATDTCSHCLRRCIYGRKTSLCLCWQQ REV >ENSMUSP00000023812.2 pep:known chromosome:GRCm38:15:102497649:102516836:-1 gene:ENSMUSG00000023050.8 transcript:ENSMUST00000023812.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k12 description:mitogen-activated protein kinase kinase kinase 12 [Source:MGI Symbol;Acc:MGI:1346881] MACLHETRTPSPSFGGFVSTLSEASMRKLDPDTSDCTPEKDLTPTQCVLRDVVPLGGQGG GGPSPSPGGEPPPEPFANSVLQLHEQDTGGPGGATGSPESRASRVRADEVRLQCQSGSGF LEGLFGCLRPVWTMIGKAYSTEHKQQQEDLWEVPFEEILDLQWVGSGAQGAVFLGRFHGE EVAVKKVRDLKETDIKHLRKLKHPNIITFKGVCTQAPCYCILMEFCAQGQLYEVLRAGRP VTPSLLVDWSMGIAGGMNYLHLHKIIHRDLKSPNMLITYDDVVKISDFGTSKELSDKSTK MSFAGTVAWMAPEVIRNEPVSEKVDIWSFGVVLWELLTGEIPYKDVDSSAIIWGVGSNSL HLPVPSSCPDGFKILLRQCWNSKPRNRPSFRQILLHLDIASADVLSTPQETYFKSQAEWR EEVKLHFEKIKSEGTCLHRLEEELVMRRREELRHALDIREHYERKLERANNLYMELNALM LQLELKERELLRREQALERRCPGLLKSHPSRGLLHGNTMEKLIKKRNVPQKLSPHSKRPD ILKTESLLPKLDAALSGVGLPGCPKGPPSPGRSRRGKTRHRKASAKGSCGDLPGLRAALP PHEPGGLGSPGGLGVGPSAWDACPPALRGLHHDLLLRKMSSSSPDLLSAALGARGRGATG GARDPGSPPPPQGDTPPSEGSAPGSTSPDSPGGAKGEPPPPVGPGEGVGLLGTGREGTAG RGGNRAGSQHLTPAALLYRAAVTRSQKRGISSEEEEGEVDSEVELPPSQRWPQGPNMRQS LSTFSSENPSDVEEGTASEPSPSGTPEVGSTNTDERPDERSDDMCSQGSEIPLDLPTSEV VPEREASSLPMQHQDGQGPNPEDSDCDSTELDNSNSIDALRPPASLPP >ENSMUSP00000127629.1 pep:known chromosome:GRCm38:15:102498776:102510700:-1 gene:ENSMUSG00000023050.8 transcript:ENSMUST00000171565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k12 description:mitogen-activated protein kinase kinase kinase 12 [Source:MGI Symbol;Acc:MGI:1346881] MACLHETRTPSPSFGGFVSTLSEASMRKLDPDTSDCTPEKDLTPTQCVLRDVVPLGGQGG GGPSPSPGGEPPPEPFANSVLQLHEQDTGGPGGATGSPESRASRVRADEVRLQCQSGSGF LEGLFGCLRPVWTMIGKAYSTEHKQQQEDLWEVPFEEILDLQWVGSGAQGAVFLGRFHGE EVAVKKVRDLKETDIKHLRKLKHPNIITFKGVCTQAPCYCILMEFCAQGQLYEVLRAGRP VTPSLLVDWSMGIAGGMNYLHLHKIIHRDLKSPNMLITYDDVVKISDFGTSKELSDKSTK MSFAGTVAWMAPEVIRNEPVSEKVDIWSFGVVLWELLTGEIPYKDVDSSAIIWGVGSNSL HLPVPSSCPDGFKILLRQCWNSKPRNRPSFRQILLHLDIASADVLSTPQETYFKSQAEWR EEVKLHFEKIKSEGTCLHRLEEELVMRRREELRHALDIREHYERKLERANNLYMELNALM LQLELKERELLRREQALERRCPGLLKSHPSRGLLHGNTMEKLIKKRNVPQKLSPHSKRPD ILKTESLLPKLDAALSGVGLPGCPKGPPSPGRSRRGKTRHRKASAKGSCGDLPGLRAALP PHEPGGLGSPGGLGVGPSAWDACPPALRGLHHDLLLRKMSSSSPDLLSAALGARGRGATG GARDPGSPPPPQGDTPPSEGSAPGSTSPDSPGGAKGEPPPPVGPGEGVGLLGTGREGTAG RGGNRAGSQHLTPAALLYRAAVTRSQKRGISSEEEEGEVDSEVELPPSQRWPQGPNMRQS LSTFSSENPSDVEEGTASEPSPSGTPEVGSTNTDERPDERSDDMCSQGSEIPLDLPTSEV VPEREASSLPMQHQDGQGPNPEDSDCDSTELDNSNSIDALRPPASLPP >ENSMUSP00000133209.1 pep:known chromosome:GRCm38:15:102499025:102517064:-1 gene:ENSMUSG00000023050.8 transcript:ENSMUST00000169377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k12 description:mitogen-activated protein kinase kinase kinase 12 [Source:MGI Symbol;Acc:MGI:1346881] MACLHETRTPSPSFGGFVSTLSEASMRKLDPDTSDCTPEKDLTPTQCVLRDVVPLGGQGG GGPSPSPGGEPPPEPFANSVLQLHEQDTGGPGGATGSPESRASRVRADEVRLQCQSGSGF LEGLFGCLRPVWTMIGKAYSTEHKQQQEDLWEVPFEEILDLQWVGSGAQGAVFLGRFHGE EVAVKKVRDLKETDIKHLRKLKHPNIITFKGVCTQAPCYCILMEFCAQGQLYEVLRAGRP VTPSLLVDWSMGIAGGMNYLHLHKIIHRDLKSPNMLITYDDVVKISDFGTSKELSDKSTK MSFAGTVAWMAPEVIRNEPVSEKVDIWSFGVVLWELLTGEIPYKDVDSSAIIWGVGSNSL HLPVPSSCPDGFKILLRQCWNSKPRNRPSFRQILLHLDIASADVLSTPQETYFKSQAEWR EEVKLHFEKIKSEGTCLHRLEEELVMRRREELRHALDIREHYERKLERANNLYMELNALM LQLELKERELLRREQALERRCPGLLKSHPSRGLLHGNTMEKLIKKRNVPQKLSPHSKRPD ILKTESLLPKLDAALSGVGLPGCPKGPPSPGRSRRGKTRHRKASAKGSCGDLPGLRAALP PHEPGGLGSPGGLGVGPSAWDACPPALRGLHHDLLLRKMSSSSPDLLSAALGARGRGATG GARDPGSPPPPQGDTPPSEGSAPGSTSPDSPGGAKGEPPPPVGPGEGVGLLGTGREGTAG RGGNRAGSQHLTPAALLYRAAVTRSQKRGISSEEEEGEVDSEVELPPSQRWPQGPNMRQS LSTFSSENPSDVEEGTASEPSPSGTPEVGSTNTDERPDERSDDMCSQGSEIPLDLPTSEV VPEREASSLPMQHQDGQGPNPEDSDCDSTELDNSNSIDALRPPASLPP >ENSMUSP00000129472.1 pep:known chromosome:GRCm38:15:102505370:102517004:-1 gene:ENSMUSG00000023050.8 transcript:ENSMUST00000169367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k12 description:mitogen-activated protein kinase kinase kinase 12 [Source:MGI Symbol;Acc:MGI:1346881] MACLHETRTPSPSFGGFVSTLSEASMRKLDPDTSDCTPEKDLTPTQCVLRDVVPL >ENSMUSP00000130786.1 pep:known chromosome:GRCm38:15:102505432:102516975:-1 gene:ENSMUSG00000023050.8 transcript:ENSMUST00000165174.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k12 description:mitogen-activated protein kinase kinase kinase 12 [Source:MGI Symbol;Acc:MGI:1346881] MACLHETRTPSPSFGGFVSTLSEASMRKLDPDTS >ENSMUSP00000129251.1 pep:known chromosome:GRCm38:15:102505469:102516816:-1 gene:ENSMUSG00000023050.8 transcript:ENSMUST00000169162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k12 description:mitogen-activated protein kinase kinase kinase 12 [Source:MGI Symbol;Acc:MGI:1346881] MACLHETRTPSPSFGGFVSTLS >ENSMUSP00000139478.1 pep:known chromosome:GRCm38:Y:71469449:71495632:1 gene:ENSMUSG00000099531.1 transcript:ENSMUST00000188958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20869 description:predicted gene, 20869 [Source:MGI Symbol;Acc:MGI:5434225] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000096492.3 pep:known chromosome:GRCm38:8:21287409:21288377:1 gene:ENSMUSG00000074440.3 transcript:ENSMUST00000098893.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa3 description:defensin, alpha, 3 [Source:MGI Symbol;Acc:MGI:94883] MKTLVLLSALVLLAFQVQADPIQNTDEETKTEEQPGEDDQAVSVSFGDPEGSSLQEESLR DLVCYCRKRGCKRRERMNGTCRKGHLMYTLCCR >ENSMUSP00000037735.8 pep:known chromosome:GRCm38:2:39016155:39065541:-1 gene:ENSMUSG00000026754.16 transcript:ENSMUST00000039165.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga1 description:golgi autoantigen, golgin subfamily a, 1 [Source:MGI Symbol;Acc:MGI:1924149] MFAKLKKKIAEETAVAQRPGGTTRIPRSVSKESVASMGADSGDDFASDGSSSREDLSSQL LRRNEQIRKLEARLSDYAEQVRNLQKIKEKLEIALEKHQDSSMRKFQEQNETFQASRAKM AEGLALALARKDQEWSEKMEQLEKDKRFLTSQLQEVKNQSLSLFQKRDEIDELEGFQQQE ISKVKHMLLKKEECLGKMEQELDARTRELNRTQEELVTSNQLSSDLNERLEELQRHCSTL EEQRDHLTASKAGAEHKIVVLEQKEQELQAIIQQHSIDLQKVTAETQEKEKVITHLQEKV IFLEKRLEQNLSGEDHVQELLKEKTVAEQNLEDTRQQLLAARNSHTKALYLLETRVKDLE RSLQAAEEQLSQSRNVVADQEAQIQKLITTNQENSLSQQQVLALEQHCRERIHALEAQIE ALEQTRVADQIASEQGMLQLQQENVALKESRNECEHSLQHHQLELKKLKDEWSQREIVSV AMAQALEEVRKQREEFQQQATELTAIIEEKNQSLCEKDEALLQKEQELRQLEKGHSSALL QMHKLQRELEALKTCKAQEAMPATTGEDCLPLQGQEPLVISKAMQNSEYELPAAEGTPNG EVGASDLKQLQKEKQDLEQQLIEKNKIMKQMQQRMLELKKTLQKELKIRPDSELFEVREK TGPEIPNMAPSVTNNTDLTDAREINFEYLKHVVLKFMSCRESEAFHLIKAVSVLLNFSQE EENMLKETLEYKMSWFGSKPTPKGSIRPSISNPRIPWS >ENSMUSP00000108471.2 pep:known chromosome:GRCm38:2:39016261:39065488:-1 gene:ENSMUSG00000026754.16 transcript:ENSMUST00000112850.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga1 description:golgi autoantigen, golgin subfamily a, 1 [Source:MGI Symbol;Acc:MGI:1924149] MFAKLKKKIAEETAVAQRPGGTTRIPRSVSKESVASMGADSGDDFASDGSSSREDLSSQL LRRNEQIRKLEARLSASMRKFQEQNETFQASRAKMAEGLALALARKDQEWSEKMEQLEKD KRFLTSQLQEVKNQSLSLFQKRDEIDELEGFQQQEISKVKHMLLKKEECLGKMEQELDAR TRELNRTQEELVTSNQLSSDLNERLEELQRHCSTLEEQRDHLTASKAGAEHKIVVLEQKE QELQAIIQQHSIDLQKVTAETQEKEKVITHLQEKVIFLEKRLEQNLSGEDHVQELLKEKT VAEQNLEDTRQQLLAARNSHTKALYLLETRVKDLERSLQAAEEQLSQSRNVVADQEAQIQ KLITTNQENSLSQQQVLALEQHCRERIHALEAQIEALEQTRVADQIASEQGMLQLQQENV ALKESRNECEHSLQHHQLELKKLKDEWSQREIVSVAMAQALEEVRKQREEFQQQATELTA IIEEKNQSLCEKDEALLQKEQELRQLEKGHSSALLQMHKLQRELEALKTCKAQEAMPATT GEDCLPLQGQEPLVISKAMQNSEYELPAAEGTPNGEVGASDLKQLQKEKQDLEQQLIEKN KIMKQMQQRMLELKKTLQKELKIRPDSELFEVREKTGPEIPNMAPSVTNNTDLTDAREIN FEYLKHVVLKFMSCRESEAFHLIKAVSVLLNFSQEEENMLKETLEYKMSWFGSKPTPKGS IRPSISNPRIPWS >ENSMUSP00000145253.1 pep:known chromosome:GRCm38:2:39016503:39053008:-1 gene:ENSMUSG00000026754.16 transcript:ENSMUST00000153914.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Golga1 description:golgi autoantigen, golgin subfamily a, 1 [Source:MGI Symbol;Acc:MGI:1924149] XLQKIKEKLEIALEKHQDSSMRKFQEQNETFQASRAKMAEGLALALARKDQEWSEKMEQL EKDKRFLTSQLQEVKNQSLSLFQKRDEIDELEGFQQQEISKVKHMLLKKEECLGKMEQEL DARTRELNRTQEELVTSNQLSSDLNERLEELQRHCSTLEEQRFLLGHC >ENSMUSP00000139001.1 pep:known chromosome:GRCm38:2:39017653:39065488:-1 gene:ENSMUSG00000026754.16 transcript:ENSMUST00000184996.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Golga1 description:golgi autoantigen, golgin subfamily a, 1 [Source:MGI Symbol;Acc:MGI:1924149] MFAKLKKKIAEETAVAQRPGGTTRIPRSVSKESVASMGADSGDDFASDGSSSREDLSSQL LRRNEQIRKLEARLSDYAEQVRNLQKIKEKLEIALEKHQDSSMRKFQEQNETFQASRAKM AEGLALALARKDQEWSEKMEQLEKDKRFLTSQLQEVKNQSLSLFQKRDEIDELEGFQQQE ISKVKHMLLKKEECLGKMEQELDARTRELNRTQEELVTSNQLSSDLNERLEELQRHCSTL EEQRDHLTASKAGAEHKIVVLEQKEQELQAIIQQHSIDLQKVTAETQEKEKVITHLQEKV IFLEKRLEQNLSGEDHVQELLKEKTVAEQNLEDTRQQLLAARNSHTKALYLLETRVKDLE RSLQAAEEQLSQSRNVVADQEAQIQKLITTNQENSLSQQQVLALEQHCRERIHALEAQIE ALEQTRVADQIASEQGMLQLQQENVALKESRNECEHSLQHHQLELKKLKDEWSQREIVSV AMAQALEEVRKQREEFQQQATELTAIIEEKNQSLCEKDEALLQKEQELRQLEKGHSSALL QMHKLQRELEALKTCKAQEAMPATTGEDCLPLQGQEPLVISKAMQNSEYELPAAEGTPNG EVGASDLKQLQKEKQDLEQQLIEKNKIMKQMQQRMLELKKTLQKELKIRPDSELFEVREK TGPEIPNMAPSVTNNTDLTDAREINFEYLKHVVLKFMSCRESEAFHLIKAVSVLLNFSQE EENMLKETLEYKMSWFGSKPTPKGSIRPSISNPRIPWS >ENSMUSP00000145051.1 pep:known chromosome:GRCm38:2:39017813:39019561:-1 gene:ENSMUSG00000026754.16 transcript:ENSMUST00000154210.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Golga1 description:golgi autoantigen, golgin subfamily a, 1 [Source:MGI Symbol;Acc:MGI:1924149] XLTDAREINFEYLKHVVLKFMSCRESEAFHLIKAVSVLLNFSQEEENMLKETLEYKMSWF GSKPTPKGSIRPSISNPRIPWS >ENSMUSP00000145206.1 pep:known chromosome:GRCm38:2:39041339:39056117:-1 gene:ENSMUSG00000026754.16 transcript:ENSMUST00000149810.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga1 description:golgi autoantigen, golgin subfamily a, 1 [Source:MGI Symbol;Acc:MGI:1924149] SSQLLRRNEQIRKLEARLSDYAEQVRNLQKIKEKLEIALEKHQDSSMRKFQEQNETFQAS RAKMAEGLALALARKDQEWSEKMEQLEKLLKKEECLGKMEQELDARTRELNRTQEELVTS NQLSSDLNERLEELQRHCSTLEEQRDHLTASKAGAEHKIVVLEQKEQELQAIIQQHSIDL QKVTAET >ENSMUSP00000023670.3 pep:known chromosome:GRCm38:16:92498134:92541243:1 gene:ENSMUSG00000022949.9 transcript:ENSMUST00000023670.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clic6 description:chloride intracellular channel 6 [Source:MGI Symbol;Acc:MGI:2146607] MAEATEPKEVAPGSQGQPEGATIEGPGEPGAADLEGREASEEAAEAPRDLGAGVEARASG KEEGGCGQDEGTGGAQAQDPRTGPEAETPGASGAPGEAEAAERDPEGAIPQGAEEAPSAQ QVQGMSSGLDSQGEAPEVPGDSRREPEDPTASEAGEEAESGQEAQGGGALGLQINPEVQG LAGDNMDTEAPAGGPLGSESEPQGGGESSPQPQDEAIEIVTTEIGGNESGELAGASAADA AGEGETLGKDGSEEAASEDARVDAHENGDQGKLQEETGEEEARPEPELKGPCEGAIQEKP PDGSLDGEEAKSTEHEEESQAELSNHLAEEPSVQGGEELGRVNGRRENGPALEEGDPGQE HDITLFVKAGYDGESIGNCPFSQRLFMILWLKGVIFNVTTVDLKRKPADLQNLAPGTNPP FMTFDGEVKTDVNKIEEFLEEKLVPPRYPKLGTQHPESNSAGNDVFAKFSAFIKNTKKDA NEIYEKNLLRALKKLDSYLNSPLPDEIDADSSEDVTVSQRKFLDGDELTLADCNLLPKLH IIKIVAKKYRDFEFPSEMTGIWRYLNNAYARDEFTNTCPADREIEHAYSDAAKRMK >ENSMUSP00000124498.1 pep:known chromosome:GRCm38:16:92485736:92528805:1 gene:ENSMUSG00000022949.9 transcript:ENSMUST00000162181.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clic6 description:chloride intracellular channel 6 [Source:MGI Symbol;Acc:MGI:2146607] MSQTHFQLFISRTQRSSDTIPGTRVSEAGYDGESIGNCPFSQRLFMILWLKGVIFNVTTV DLKRKPADLQNLAPGTNPPFMTFDGEVKTDVNKIEEFLEE >ENSMUSP00000102529.1 pep:known chromosome:GRCm38:4:101967453:101981049:-1 gene:ENSMUSG00000078626.2 transcript:ENSMUST00000106916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12790 description:predicted gene 12790 [Source:MGI Symbol;Acc:MGI:3649398] MGFQDLPTLQQLAIQGLASNEDTAVSALKDLPKVFFPLLFKNAFIKRHVKLVKHMVANWP YPNLYIGPLMDYYHVDTFQAVLDAVDWLSSQKVRPKRCRLKELNLVDVNCDFLEIWTPTR DLLRVPPTQSEEKEEEDHTTEMVQPISVHADYVFLYPILKQDHEHFFRWVRQRLDIMPFA SYKLVPKKKKLRQDKMQEPLG >ENSMUSP00000102801.1 pep:known chromosome:GRCm38:3:95296096:95306804:-1 gene:ENSMUSG00000015702.13 transcript:ENSMUST00000107183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa9 description:annexin A9 [Source:MGI Symbol;Acc:MGI:1923711] MSASCGPLGTSLTQEILSSLGLADKTAAWGTLGTLRTFLSFSVDKDVQRLLKAIAGQGVD YDTIVDVLTNRSREQRQLISRAFQERTKQDLLKSLQAALSGNLEKIVVALLQPAAQFDAQ ELRTALKTSGSAEDVALEILATRAAPGLQACLAVYKHDFQVEAEEDIRTETNGILQDLLL ALSKGDRESYSGIIDYNLEEQDVRALQQAGESSTAGQWVLLLTQRSPEHLIRVFDQYRRC TGQELEDAIRNCFHGDAQLALISLASMLRNTALYFANKLHQALQETEPNFQVLTRVLISR SESDLLSIRAEFKKKFGKSLYSSLQDVVRGDCRSALLALCRAEDI >ENSMUSP00000015846.2 pep:known chromosome:GRCm38:3:95296096:95307176:-1 gene:ENSMUSG00000015702.13 transcript:ENSMUST00000015846.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa9 description:annexin A9 [Source:MGI Symbol;Acc:MGI:1923711] MSASCGPLGTSLTQEILSSLGLADKTAAWGTLGTLRTFLSFSVDKDVQRLLKAIAGQGVD YDTIVDVLTNRSREQRQLISRAFQERTKQDLLKSLQAALSGNLEKIVVALLQPAAQFDAQ ELRTALKTSGSAEDVALEILATRAAPGLQACLAVYKHDFQVEAEEDIRTETNGILQDLLL ALSKGDRESYSGIIDYNLEEQDVRALQQAGESSTAGQWVLLLTQRSPEHLIRVFDQYRRC TGQELEDAIRNCFHGDAQLALISLASMLRNTALYFANKLHQALQETEPNFQVLTRVLISR SESDLLSIRAEFKKKFGKSLYSSLQDVVRGDCRSALLALCRAEDI >ENSMUSP00000121913.1 pep:known chromosome:GRCm38:3:95305933:95306804:-1 gene:ENSMUSG00000015702.13 transcript:ENSMUST00000123365.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa9 description:annexin A9 [Source:MGI Symbol;Acc:MGI:1923711] MSASCGPLGTSLTQEILSSLGLADKTAAWGTLGT >ENSMUSP00000127424.1 pep:known chromosome:GRCm38:3:95296298:95306804:-1 gene:ENSMUSG00000015702.13 transcript:ENSMUST00000164406.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa9 description:annexin A9 [Source:MGI Symbol;Acc:MGI:1923711] MSASCGPLGTSLTQEILSSLGLADKTAAWGTLGTLRTFLSFSVDKDVQRLLKAIAGQGVD YDTIVDVLTNRSREQRQLISRAFQERTKQDLLKSLQAALSGNLEKIVVALLQPAAQFDAQ ELRTALKTSGSAEDVALEILATRAAPGLQACLAVYKHDFQVEAEEDIRTETNGILQDLLL ALSKGDRESYSGIIDYNLEEQDVRALQQAGESSTAGQWVLLLTQRSPEHLIRVFDQYRRC TGQELEDAIRNCFHGDAQLALISLASMLRNTALYFANKLHQALQETEPNFQVLTRVLISR SESDLLSIRAEFKKKFGKSLYSSLQDVVRGDCRSALLALCRAEDI >ENSMUSP00000026076.7 pep:known chromosome:GRCm38:19:58235604:58455398:-1 gene:ENSMUSG00000025089.15 transcript:ENSMUST00000026076.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra1 description:glial cell line derived neurotrophic factor family receptor alpha 1 [Source:MGI Symbol;Acc:MGI:1100842] MFLATLYFVLPLLDLLMSAEVSGGDRLDCVKASDQCLKEQSCSTKYRTLRQCVAGKETNF SLTSGLEAKDECRSAMEALKQKSLYNCRCKRGMKKEKNCLRIYWSMYQSLQGNDLLEDSP YEPVNSRLSDIFRAVPFISDVFQQVEHISKGNNCLDAAKACNLDDTCKKYRSAYITPCTT SMSNEVCNRRKCHKALRQFFDKVPAKHSYGMLFCSCRDVACTERRRQTIVPVCSYEERER PNCLNLQDSCKTNYICRSRLADFFTNCQPESRSVSNCLKENYADCLLAYSGLIGTVMTPN YIDSSSLSVAPWCDCSNSGNDLEDCLKFLNFFKDNTCLKNAIQAFGNGSDVTMWQPAPPV QTTTATTTTAFRIKNKPLGPAGSENEIPTHVLPPCANLQAQKLKSNVSGSTHLCLSDNDY GKDGLAGASSHITTKSMAAPPSCGLSSLPVMVFTALAALLSVSLAETS >ENSMUSP00000120333.1 pep:known chromosome:GRCm38:19:58238162:58455301:-1 gene:ENSMUSG00000025089.15 transcript:ENSMUST00000152507.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra1 description:glial cell line derived neurotrophic factor family receptor alpha 1 [Source:MGI Symbol;Acc:MGI:1100842] MFLATLYFVLPLLDLLMSAEVSGGDRLDCVKASDQCLKEQSCSTKYRTLRQCVAGKETNF SLTSGLEAKDECRSAMEALKQKSLYNCRCKRGMKKEKNCLRIYWSMYQSLQGNDLLEDSP YEPVNSRLSDIFRAVPFISDVFQQVEHISKGNNCLDAAKACNLDDTCKKYRSAYITPCTT SMSNEVCNRRKCHKALRQFFDKVPAKHSYGMLFCSCRDVACTERRRQTIVPVCSYEERER PNCLNLQDSCKTNYICRSRLADFFTNCQPESRSVSNCLKENYADCLLAYSGLIGTVMTPN YIDSSSLSVAPWCDCSNSGNDLEDCLKFLNFFKDNTCLKNAIQAFGNGSDVTMWQPAPPV QTTTATTTTAFRIKNKPLGPAGSENEIPTHVLPPCANLQAQKLKSNVSGSTHLCLSDNDY GKDGLAGASSHITTKSMAAPPSCGLSSLPVMVFTALAALLSVSLAETS >ENSMUSP00000123022.1 pep:known chromosome:GRCm38:19:58238162:58455359:-1 gene:ENSMUSG00000025089.15 transcript:ENSMUST00000140141.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gfra1 description:glial cell line derived neurotrophic factor family receptor alpha 1 [Source:MGI Symbol;Acc:MGI:1100842] MFLATLYFVLPLLDLLMSAEVSGGDRLDCVKASDQCLKEQSCSTKYRTLRQCVAGKETNF SLTSGLEAKDECRSAMEALKQKSLYNCRCKRGMKKEKNCLRIYWSMYQSLQGNDLLEDSP YEPVNSRLSDIFRAVPFISDVFQQVEHISKGNNCLDAAKACNLDDTCKKYRSAYITPCTT SMSNEVCNRRKCHKALRQFFDKVPAKHSYGMLFCSCRDVACTERRRQTIVPVCSYEERER PNCLNLQDSCKTNYICRSRLADFFTNCQPESRSVSNCLKENYADCLLAYSGLIGTVMTPN YIDSSSLSVAPWCDCSNSGNDLEDCLKFLNFFKDNTCLKNAIQAFGNGSDVTMWQPAPPV QTTTATTTTAFRIKNKPLGPAGSENEIPTHVLPPCANLQYRMGSKQSPFVPDRDRPVQN >ENSMUSP00000117196.1 pep:known chromosome:GRCm38:19:58235612:58454592:-1 gene:ENSMUSG00000025089.15 transcript:ENSMUST00000129100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra1 description:glial cell line derived neurotrophic factor family receptor alpha 1 [Source:MGI Symbol;Acc:MGI:1100842] MFLATLYFVLPLLDLLMSAEVSGGDRLDCVKASDQCLKEQSCSTKYRTLRQCVAGKETNF SLTSGLEAKDECRSAMEALKQKSLYNCRCKRGMKKEKNCLRIYWSMYQSLQGNDLLEDSP YEPVNSRLSDIFRAVPFISVEHISKGNNCLDAAKACNLDDTCKKYRSAYITPCTTSMSNE VCNRRKCHKALRQFFDKVPAKHSYGMLFCSCRDVACTERRRQTIVPVCSYEERERPNCLN LQDSCKTNYICRSRLADFFTNCQPESRSVSNCLKENYADCLLAYSGLIGTVMTPNYIDSS SLSVAPWCDCSNSGNDLEDCLKFLNFFKDNTCLKNAIQAFGNGSDVTMWQPAPPVQTTTA TTTTAFRIKNKPLGPAGSENEIPTHVLPPCANLQAQKLKSNVSGSTHLCLSDNDYGKDGL AGASSHITTKSMAAPPSCGLSSLPVMVFTALAALLSVSLAETS >ENSMUSP00000122810.1 pep:known chromosome:GRCm38:19:58453352:58455909:-1 gene:ENSMUSG00000025089.15 transcript:ENSMUST00000131877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra1 description:glial cell line derived neurotrophic factor family receptor alpha 1 [Source:MGI Symbol;Acc:MGI:1100842] MFLATLYFVLPLLDLLMSAEVSGGDRLDCVKASDQCLKEQSCSTKYRTL >ENSMUSP00000121447.1 pep:known chromosome:GRCm38:19:58454324:58455161:-1 gene:ENSMUSG00000025089.15 transcript:ENSMUST00000135730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra1 description:glial cell line derived neurotrophic factor family receptor alpha 1 [Source:MGI Symbol;Acc:MGI:1100842] MFLATLYF >ENSMUSP00000115239.1 pep:known chromosome:GRCm38:19:58453335:58455006:-1 gene:ENSMUSG00000025089.15 transcript:ENSMUST00000138530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra1 description:glial cell line derived neurotrophic factor family receptor alpha 1 [Source:MGI Symbol;Acc:MGI:1100842] MFLATLYFVLPLLDLLMSAEVSGGDRLDCVKASDQCLKEQSCSTKYRTLRQCVA >ENSMUSP00000120058.1 pep:known chromosome:GRCm38:19:58453421:58454620:-1 gene:ENSMUSG00000025089.15 transcript:ENSMUST00000123957.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra1 description:glial cell line derived neurotrophic factor family receptor alpha 1 [Source:MGI Symbol;Acc:MGI:1100842] MFLATLYFVLPLLDLLMSAEVSGGDR >ENSMUSP00000130128.1 pep:known chromosome:GRCm38:19:58236692:58454466:-1 gene:ENSMUSG00000025089.15 transcript:ENSMUST00000169850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra1 description:glial cell line derived neurotrophic factor family receptor alpha 1 [Source:MGI Symbol;Acc:MGI:1100842] MFLATLYFVLPLLDLLMSAEVSGGDRLDCVKASDQCLKEQSCSTKYRTLRQCVAGKETNF SLTSGLEAKDECRSAMEALKQKSLYNCRCKRGMKKEKNCLRIYWSMYQSLQGNDLLEDSP YEPVNSRLSDIFRAVPFISDVFQQVEHISKGNNCLDAAKACNLDDTCKKYRSAYITPCTT SMSNEVCNRRKCHKALRQFFDKVPAKHSYGMLFCSCRDVACTERRRQTIVPVCSYEERER PNCLNLQDSCKTNYICRSRLADFFTNCQPESRSVSNCLKENYADCLLAYSGLIGTVMTPN YIDSSSLSVAPWCDCSNSGNDLEDCLKFLNFFKDNTCLKNAIQAFGNGSDVTMWQPAPPV QTTTATTTTAFRIKNKPLGPAGSENEIPTHVLPPCANLQAQKLKSNVSGSTHLCLSDNDY GKDGLAGASSHITTKSMAAPPSCGLSSLPVMVFTALAALLSVSLAETS >ENSMUSP00000135373.1 pep:known chromosome:GRCm38:10:45178098:45315114:1 gene:ENSMUSG00000019848.14 transcript:ENSMUST00000175658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Popdc3 description:popeye domain containing 3 [Source:MGI Symbol;Acc:MGI:1930153] MEKNSSLWKSLVTEHPLCTTWKQEAEGAIYHLASILFVVGFMGGSGFFGLLYVFSLLGLG FLSSAVWAWVDICAADIFSWNFVLFVICFMQFVHIAYQVHSITFAR >ENSMUSP00000019994.7 pep:known chromosome:GRCm38:10:45289305:45318452:1 gene:ENSMUSG00000019848.14 transcript:ENSMUST00000019994.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Popdc3 description:popeye domain containing 3 [Source:MGI Symbol;Acc:MGI:1930153] MEKNSSLWKSLVTEHPLCTTWKQEAEGAIYHLASILFVVGFMGGSGFFGLLYVFSLLGLG FLSSAVWAWVDICAADIFSWNFVLFVICFMQFVHIAYQVHSITFARDFHVLYSSLFKPLG IPLPVFRTIALSSEVVSLEKEHCYAMQGKTSIDRLSVLISGRIRVTVDGEFLHYISPFQF LDSPEWDSLRPTEEGIFQVTLTADTDCRYVSWRRKKLYLLFAQHRYISRLFSVLIGSDIA DKLYALNDRVYIGKKHHYDIRLPNYYHMSTPDLSRSPLTEQFRNSRQHCNK >ENSMUSP00000125532.1 pep:known chromosome:GRCm38:10:45289402:45318117:1 gene:ENSMUSG00000019848.14 transcript:ENSMUST00000161803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Popdc3 description:popeye domain containing 3 [Source:MGI Symbol;Acc:MGI:1930153] MEKNSSLWKSLVTEHPLCTTWKQEAEGAIYHLASILFVVGFMGGSGFFGLLYVFSLLGLG FLSSAVWAWVDICAADIFSWNFVLFVICFMQFVHIAYQVHSITFARDFHVLYSSLFKPLG IPLPVFRTIALSSEVVSLEKEHCYAMQGKTSIDRLSVLISGSSWILLSGIR >ENSMUSP00000113376.2 pep:known chromosome:GRCm38:6:125145241:125161782:1 gene:ENSMUSG00000038271.17 transcript:ENSMUST00000119527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iffo1 description:intermediate filament family orphan 1 [Source:MGI Symbol;Acc:MGI:2444516] MNPLFGPNLFLLQQEQQGLAGPLGDPLGGDHFAGGGDLASAPLASAGPSAYSPPGPGPAP PAAMALRNDLGSNINVLKTLNLRFRCFLAKVHELERRNRLLEKQLQQALEEGKQGRRGLA RRDQAVQTGFISPIRPLGLPLSSRPAAVCPPSARVLGSPSRSPAGPLASSAACHTSSSTS TSTAFSSSTRFMPGTIWSFSHARRLGPGLEPTLVQGPGLSWVHPDGVGVQIDTITPEIRA LYNVLAKVKRERDEYKRRWEEEYTVRIQLQERVTELQEEAQEADACQEELAMKVEQLKAE LVVFKGLMSNNLTELDTKIQEKAMKVDMDICRRIDITAKLCDLAQQRNCEDMIQMFQVPS MGGRKRERKAAVEEDTSLSESDGPRQPEGAEEESTALSINEEMQRMLSQLREYDFEDDCD SLTWEETEETLLLWEDFSGYAMAAAEAQGEQEDSLEKVIKDTESLFKTREKEYQETIDQI ELELATAKNDMNRHLHEYMEMCSMKRGLDVQMETCRRLITQSGDRKSPAFTAVPLSDPPP PPSETEDSDRDVSSDSSMR >ENSMUSP00000116701.2 pep:known chromosome:GRCm38:6:125145241:125161782:1 gene:ENSMUSG00000038271.17 transcript:ENSMUST00000144364.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Iffo1 description:intermediate filament family orphan 1 [Source:MGI Symbol;Acc:MGI:2444516] MNPLFGPNLFLLQQEQQGLAGPLGDPLGGDHFAGGGDLASAPLASAGPSAYSPPGPGPAP PAAMALRNDLGSNINVLKTLNLRFRCFLAKVHELERRNRLLEKQLQQALEEGKQGRRGLA RRDQAVQTGFISPIRPLGLPLSSRPAAVCPPSARVLGSPSRSPAGPLASSAACHTSSSTS TSTAFSSSTRFMPGTIWSFSHARRLGPGLEPTLVQGPGLSWVHPDGVGVQIDTITPEIRA LYNVLAKVKRERDEYKRRWEEEYTVRIQLQERVTELQEEAQEADACQEELAMKVEQLKAE LVVFKGLMSNNLTELDTKIQEKAMKVDMDICRRIDITAKLCDLAQQRNCEDMIQMFQPCP VHVCLPVSVPVM >ENSMUSP00000113088.2 pep:known chromosome:GRCm38:6:125145251:125161776:1 gene:ENSMUSG00000038271.17 transcript:ENSMUST00000117675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iffo1 description:intermediate filament family orphan 1 [Source:MGI Symbol;Acc:MGI:2444516] MNPLFGPNLFLLQQEQQGLAGPLGDPLGGDHFAGGGDLASAPLASAGPSAYSPPGPGPAP PAAMALRNDLGSNINVLKTLNLRFRCFLAKVHELERRNRLLEKQLQQALEEGKQGRRGLA RRDQAVQTGFISPIRPLGLPLSSRPAAVCPPSARVLGSPSRSPAGPLASSAACHTSSSTS TSTAFSSSTRFMPGTIWSFSHARRLGPGLEPTLVQGPGLSWVHPDGVGVQIDTITPEIRA LYNVLAKVKRERDEYKRRWEEEYTVRIQLQERVTELQEEAQEADACQEELAMKVEQLKAE LVVFKGLMSNNLTELDTKIQEKAMKVDMDICRRIDITAKLCDLAQQRNCEDMIQMFQKKL VPSMGGRKRERKAAVEEDTSLSESDGPRQPEGAEEESTALSINEEMQRMLSQLREYDFED DCDSLTWEETEETLLLWEDFSGYAMAAAEAQGEQEDSLEKVIKDTESLFKTREKEYQETI DQIELELATAKNDMNRHLHEYMEMCSMKRGLDVQMETCRRLITQSGDRKSPAFTAVPLSD PPPPPSETEDSDRDVSSDSSMR >ENSMUSP00000115080.1 pep:known chromosome:GRCm38:6:125145801:125161776:1 gene:ENSMUSG00000038271.17 transcript:ENSMUST00000148835.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iffo1 description:intermediate filament family orphan 1 [Source:MGI Symbol;Acc:MGI:2444516] XTAFSSSTRFMPGTIWSFSHARRLGPGLEPTLVQGPGLSWVHPDGVGVQIDTITPEIRAL YNVLAKVKRERDEYKRRWEEEYTVRIQLQERVTELQEEAQEADACQEELAMKVEQLKAEL VVFKGLMSNNLTELDTKIQEKAMKVDMDICRRIDITAKLCDLAQQRNCEDMIQMFQKLVP SMGGRKRERKAAVEEDTSLSESDGPRQPEGAEEESTALSINEEMQRMLSQLREYDFEDDC DSLTWEETEETLLLWEDFSGYAMAAAEAQGEQQEDSLEKVIKDTESLFKTREKEYQETID QIELELATAKNDMNRHLHEYMEMCSMKRGLDVQMETCRRLITQSGDRKSPAFTAVPLSDP PPPPSETEDSDRDVSSDSSMR >ENSMUSP00000096491.4 pep:known chromosome:GRCm38:8:21297439:21298375:1 gene:ENSMUSG00000074439.4 transcript:ENSMUST00000098892.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa5 description:defensin, alpha, 5 [Source:MGI Symbol;Acc:MGI:99583] MKTFVLLSALVLLAFQAQADPIHKTDEETNTEEQPGEEDQAVSISFGGQEGSALHEELSK KLICYCRIRGCKRRERVFGTCRNLFLTFVFCCS >ENSMUSP00000055237.3 pep:known chromosome:GRCm38:19:4445908:4477447:-1 gene:ENSMUSG00000049303.10 transcript:ENSMUST00000059295.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt12 description:synaptotagmin XII [Source:MGI Symbol;Acc:MGI:2159601] MAVDVTEYHLSVIKSPPGWEVGVYAAGALALLGIAAVSLWKLWTSGSFPSPSPFPNYDYR YLQQKYGEAYVEAKLKRVPPWNDQRTTTRGPPSRKGSLSIEDTFESISELGPLELMGREL DLAPYGTLRKSQSADSLNSISSVSNTFGQDFTLGQVEVSMDYDGASHTLHVAVLQGKDLL EREEATFESCFMRVSLLPDEQIVGISRIQRNAYSIFFDEKFSVPLDPTALEEKSLRFSVF GIDEDERNVSTGVVELKLSVLDLPLQPFSGWLYLQDQNKAADAVGEILLSLSYLPTAERL TVVVVKAKNLIWTNEKSTADPFVKVYLLQDGRKMSKKKTAVKRDDPNPVFNEAMIFSVPA IVLQDLSLRVTVAESSSDGRGDNVGHVIIGPGVSGMGTTHWNQMLATLRRPVSMWHPVRR N >ENSMUSP00000130418.1 pep:known chromosome:GRCm38:19:4456837:4477126:-1 gene:ENSMUSG00000049303.10 transcript:ENSMUST00000166191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt12 description:synaptotagmin XII [Source:MGI Symbol;Acc:MGI:2159601] MAVDVTEYHLSVIKSPPGWEVGVYAAGALALLGIAAVSLWKLWTSGSFPSPSPFPNYDYS GSLLGMTSGPLLGDHPA >ENSMUSP00000045544.7 pep:known chromosome:GRCm38:X:137049594:137082503:1 gene:ENSMUSG00000042595.7 transcript:ENSMUST00000047852.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam199x description:family with sequence similarity 199, X-linked [Source:MGI Symbol;Acc:MGI:2384304] MSDEASATTSYEKFLTPEEPFPFLGAPRGVGTCPSEEPGCLDISDFGCQLSSCHRTDPLH RFHTNRWNLTSCGTSVASSECSEELFSSVSVGDQDDCYSLLDDQDFTSFDLFPEGSVCSD VSSSISTYWDWSDSEFEWQLPGSDIASGSDVLSDVIPSIPSSPCLVSKKKNKHRNLDELA WSAMTNDEQVEYIEYLSRKVSTEMGLREQLDIIKIIDPSAQISPTDSEFIIELNCLTDEK LKQVRNYIKEHSLRQRPTREAWKRSNFSCASTSGVSGASASASSSSASMVSSASSSGSSV GNSASNSSANMSRAHSDSNLSASAAERIRDSKKRSKQRKLQQKAFRKRQLKEQRQARKER LSGLFLNEEVLSLKVTEEDHEADVDVLM >ENSMUSP00000035271.6 pep:known chromosome:GRCm38:9:86743649:86758443:1 gene:ENSMUSG00000033491.13 transcript:ENSMUST00000036426.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss35 description:protease, serine 35 [Source:MGI Symbol;Acc:MGI:2444800] MENTLLWLVILIPGWALSDGSETELDFTWHLSRIPQVVSENTIHLASPTFQADAGVVKAT VCGIECQEELPAPSLSQLEESLSYETIFENGTRTLTRVKVQGLVLEPTRNSSVKGAHPRR RRQVYGTDSRFSILDKRFATNFPFNTAVKLSTGCSGTLVSPNHVLTAAHCVHDGKDYVKG SKKLRVGVLKMRNKGGRKKRRGSKRSRREAESAGQSQAHLRESTTQRPGKKSRRGPRVTQ GRPSFQWTRVKSTHIPKGWVRGENGGLALDYDYALLELKRAHKQQHMELGVSPTITKLPG GRIHFSGFDNDRDEQLVYRFCSVSEESNDLLYQYCDAEAGSTGSGIYLRLKEPGQKNWKR KIVAVYSGHQWVDVHGVQKDYNVAVRITPLKYAQICLWIHGNAANCAYG >ENSMUSP00000137445.1 pep:known chromosome:GRCm38:9:86743649:86758272:1 gene:ENSMUSG00000033491.13 transcript:ENSMUST00000179574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss35 description:protease, serine 35 [Source:MGI Symbol;Acc:MGI:2444800] MENTLLWLVILIPGWALSDGSETELDFTWHLSRIPQVVSENTIHLASPTFQADAGVVKAT VCGIECQEELPAPSLSQLEESLSYETIFENGTRTLTRVKVQGLVLEPTRNSSVKGAHPRR RRQVYGTDSRFSILDKRFATNFPFNTAVKLSTGCSGTLVSPNHVLTAAHCVHDGKDYVKG SKKLRVGVLKMRNKGGRKKRRGSKRSRREAESAGQSQAHLRESTTQRPGKKSRRGPRVTQ GRPSFQWTRVKSTHIPKGWVRGENGGLALDYDYALLELKRAHKQQHMELGVSPTITKLPG GRIHFSGFDNDRDEQLVYRFCSVSEESNDLLYQYCDAEAGSTGSGIYLRLKEPGQKNWKR KIVAVYSGHQWVDVHGVQKDYNVAVRITPLKYAQICLWIHGNAANCAYG >ENSMUSP00000112429.1 pep:known chromosome:GRCm38:7:140096770:140102403:-1 gene:ENSMUSG00000025466.18 transcript:ENSMUST00000120034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuom description:fucose mutarotase [Source:MGI Symbol;Acc:MGI:1916314] MVALKGIPKVLSPELLFALARMGHGDEIVLADANFPTSSICQCGPVEIRADGLDIPQLLE AVLRLLPLDTYVESPAAVMDLVPSDKEKGLQTPIWKRYESLLLEADCKKTLMKLERFEFY ERAKKAFAVVATGT >ENSMUSP00000120353.1 pep:known chromosome:GRCm38:7:140098511:140102406:-1 gene:ENSMUSG00000025466.18 transcript:ENSMUST00000148716.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fuom description:fucose mutarotase [Source:MGI Symbol;Acc:MGI:1916314] MVALKGIPKVLSPELLFALARMGHGDEIVLADANFPTSSICQCGPVEIRADGLDIPQLLE AVLRLLPLDTYVESPAAVMDLVPSDKEKGLQTPIWKRYESLLLEADCKKTLMKLERFEFY ERAKKAFAVVATG >ENSMUSP00000115799.1 pep:known chromosome:GRCm38:7:140099470:140102376:-1 gene:ENSMUSG00000025466.18 transcript:ENSMUST00000142105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuom description:fucose mutarotase [Source:MGI Symbol;Acc:MGI:1916314] GIPKVLSPELLFALARMGHGDEIVLADANFPTSSICQCGPVEIRADGLDIPQLLEAVLRL LPLDTYVESPAAVMDLVPSDKEKGLQTPIWKRYESLLLEADCKKTLMKLERFEFYERAKK AFAVVATGNQTWTSASGSRRWQILRDSADPDKFPLP >ENSMUSP00000026539.7 pep:known chromosome:GRCm38:7:140099470:140102441:-1 gene:ENSMUSG00000025466.18 transcript:ENSMUST00000026539.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuom description:fucose mutarotase [Source:MGI Symbol;Acc:MGI:1916314] MVALKGIPKVLSPELLFALARMGHGDEIVLADANFPTSSICQCGPVEIRADGLDIPQLLE AVLRLLPLDTYVESPAAVMDLVPSDKEKGLQTPIWKRYESLLLEADCKKTLMKLERFEFY ERAKKAFAVVATGEMALYGNIILKKGTLDLGPS >ENSMUSP00000118717.1 pep:known chromosome:GRCm38:7:140099889:140102344:-1 gene:ENSMUSG00000025466.18 transcript:ENSMUST00000128527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuom description:fucose mutarotase [Source:MGI Symbol;Acc:MGI:1916314] XFALARMGHGDEIVLADANFPTSSICQCGPVEIRADGLDIPQLLEAVLRLLPLDTYVESP AAVMDLVPSDKEKGLQTPIWKRYESLLLEADCKAQTEKRYKRYPLSLDP >ENSMUSP00000112970.1 pep:known chromosome:GRCm38:7:140100557:140102406:-1 gene:ENSMUSG00000025466.18 transcript:ENSMUST00000121115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuom description:fucose mutarotase [Source:MGI Symbol;Acc:MGI:1916314] MVALKGIPKVLSPELLFALARMGHGDEIVLADANFPTSSICQCGPVEIRADGLDIPQLLE AVLRLLPLDTYVESPAAVMDLVPSDKEKGLQTPIWKRYESLLLEADCKVSAAPTMGRSVS WHLGSEG >ENSMUSP00000146861.1 pep:known chromosome:GRCm38:17:37721982:37726991:1 gene:ENSMUSG00000063994.4 transcript:ENSMUST00000207771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr120 description:olfactory receptor 120 [Source:MGI Symbol;Acc:MGI:2177503] MSVNCSLWQENKLSVKHFAFAKFSEVPEECFLLFTLILLMFLVSLTGNALITLAICTSPA LHTPMYFFLANLSLLEIGYTCSVIPKMLKNLVTEARGISREGCATQMFFFIFFGITECCL LAAMAFDRYMAICSPLHYATRMSREVCAHLAIVSWGMGCIVGLGQTNFIFSLNFCGPCEI DHFFCDLPPVLALACGDTSQNEAAIFVTVVLCISSPFLLIIYSYVRILFAVLVMPSPEGR HKALSTCSSHLLVVTLFYGSASITYLRPKSSHSPGIDKLLALFYTAVTSMLNPIIYSLRN KEVKAALRRTLSLKKPLAINR >ENSMUSP00000094931.1 pep:known chromosome:GRCm38:17:37725999:37726991:1 gene:ENSMUSG00000063994.4 transcript:ENSMUST00000077498.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr120 description:olfactory receptor 120 [Source:MGI Symbol;Acc:MGI:2177503] MISYSFFQEMSVNCSLWQENKLSVKHFAFAKFSEVPEECFLLFTLILLMFLVSLTGNALI TLAICTSPALHTPMYFFLANLSLLEIGYTCSVIPKMLKNLVTEARGISREGCATQMFFFI FFGITECCLLAAMAFDRYMAICSPLHYATRMSREVCAHLAIVSWGMGCIVGLGQTNFIFS LNFCGPCEIDHFFCDLPPVLALACGDTSQNEAAIFVTVVLCISSPFLLIIYSYVRILFAV LVMPSPEGRHKALSTCSSHLLVVTLFYGSASITYLRPKSSHSPGIDKLLALFYTAVTSML NPIIYSLRNKEVKAALRRTLSLKKPLAINR >ENSMUSP00000108050.1 pep:known chromosome:GRCm38:2:65088350:65238721:-1 gene:ENSMUSG00000034903.18 transcript:ENSMUST00000112431.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobll1 description:Cobl-like 1 [Source:MGI Symbol;Acc:MGI:2442894] MDRSVPDPLPRSAPRTPAMQPAGSAGRKTKGKAPLPPAETKGTDVSSAEDPVESTAVVTE QQDNMIDKDIELSVVLPGDILKSTTVHGSKPMMDLLVFLCAQYHLNPSSHTIDLLSAEEN LIKFKPNTPIGMLDVEKVILKPKSLDKKKPTPIIPEKTVRVVINFKKTQKTIVRVSPHAP LQDLAPIICSKCEFDPLHTVLLKDYQAQEPLDLTKSLNDLGLRELYAMDISRESCQISHN PDIVKEKENKGIFSFFQRSKKKREQTASAPATPLVSKHRPSFTRSNTISKPYISNTLPSD APKKRRAPLPPMPTSQGAAQGQERRASCVERSTSVDDTDKSSSEAIMVRTGSLQLSSTSI GTSSLKRTKRKAPAPPSKTPLAQTDERNSAMAHGLPLEDGIAPDSMLELSSPEGMSTPEG SLGPGFLSQEQCAVPKPPDEISEGPGTPEAAVASLTSGVSSDYSLEEIDEKEELSEASKD PAGSISVKSPDIASASTDMRITVEKDPDSALGISDGETSPSSKGKTQEGRSTEGQGPYHP VVGHIGNEDRVSDSIKDMKTLGPNQESVVQNEIMVCATSTDYVKNRPGKMETTIEGEGEA LKKASDMETDRLSGSPACRMDNVKSSRENHLTASPGPDQKLNQPGVEKTKMQDAAIQATP ARGTFDGNHEVSNSSDPRADETVQTSDGSISAQHSSASLQDSVNASREFRSQGTSTYVQD RLPEKEPACTYGNNVPLSPVDGSNKNPAASYLKNFPLYRQDSNPKPKPSNEITREYIPKI GMTTYKIVPPKSLEMAKDWESEAMGRKDDQKMLPVGQRHTIENMTETSMQTEVPATSKSS QQPQPDLKPKPSSGTERHLHRTLSSPTGTETNPPKAPRVTTDTGTIPFAPNLEDINNILE SKFRSRASNPQAKPSSFFLQMQKRASGHYVTSAAAKSVHTAPGPAPKEPTIKEVQRDPQL SPEQHPSSLSERTHSAPLPNISKADDDIIQKPAETSPPPVAPKPMTLRAETSPPPVFPKP MTLPAETSPPPVFPKPMTLPAETSLPLVFPKPMTLRAETSPPPVAAKPVALPGSQGTSLN LKTLKTFGAPRPYSSSGPSPFALAVVKRSQSFSKACPESASEGSSALPPAATQDEKTHTV NKPTVGSQHGDGDKQNNPVQNEHSSQVLTPADGPSFTLKRQSSLTFQSSDPEHVRQSLLT AIRSGEAAAKLKRVTVPSNTISVNGKSGLSQSMSIDAQDSR >ENSMUSP00000099787.1 pep:known chromosome:GRCm38:2:65088350:65238626:-1 gene:ENSMUSG00000034903.18 transcript:ENSMUST00000102726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobll1 description:Cobl-like 1 [Source:MGI Symbol;Acc:MGI:2442894] MDRSVPDPLPRSAPRTPAMQPAGSAGRKTKGKAPLPPAETKGTDVSSAEDPVESTAVVTE QQDNMIDKDIELSVVLPGDILKSTTVHGSKPMMDLLVFLCAQYHLNPSSHTIDLLSAEEN LIKFKPNTPIGMLDVEKVILKPKSLDKKKPTPIIPEKTVRVVINFKKTQKTIVRVSPHAP LQDLAPIICSKCEFDPLHTVLLKDYQAQEPLDLTKSLNDLGLRELYAMDISRESCQISHN PDIVKEKENKGIFSFFQRSKKKREQTASAPATPLVSKHRPSFTRSNTISKPYISNTLPSD APKKRRAPLPPMPTSQGAAQGQERRASCVERSTSVDDTDKSSSEAIMVRTGSLQLSSTSI GTSSLKRTKRKAPAPPSKTPLAQTDERNSAMAHGLPLEDGIAPDSMLELSSPEGMSTPEG SLGPGFLSQEQCAVPKPPDEISEGPGTPEAAVASLTSGVSSDYSLEEIDEKEELSEASKD PAGSISVKSPDIASASTDMRITVEKDPDSALGISDGETSPSSKGKTQEGRSTEGQGPYHP VVGHIGNEDRVSDSIKDMKTLGPNQESVQNEIMVCATSTDYVKNRPGKMETTIEGEGEAL KKASDMETDRLSGSPACRMDNVKSSRENHLTASPGPDQKLNQPGVEKTKMQDAAIQATPA RGTFDGNHEVSNSSDPRADETVQTSDGSISAQHSSASLQDSVNASREFRSQGTSTYVQDR LPEKEPACTYGNNVPLSPVDGSNKNPAASYLKNFPLYRQDSNPKPKPSNEITREYIPKIG MTTYKIVPPKSLEMAKDWESEAMGRKDDQKMLPVGQRHTIENMTETSMQTEVPATSKSSQ QPQPDLKPKPSSGTERHLHRTLSSPTGTETNPPKAPRVTTDTGTIPFAPNLEDINNILES KFRSRASNPQAKPSSFFLQMQKRASGHYVTSAAAKSVHTAPGPAPKEPTIKEVQRDPQLS PEQHPSSLSERTHSAPLPNISKADDDIIQKPAETSPPPVAPKPMTLRAETSPPPVFPKPM TLPAETSPPPVFPKPMTLPAETSLPLVFPKPMTLRAETSPPPVAAKPVALPGSQGTSLNL KTLKTFGAPRPYSSSGPSPFALAVVKRSQSFSKACPESASEGSSALPPAATQDEKTHTVN KPTVGSQHGDGDKQNNPVQNEHSSQVLTPADGPSFTLKRQSSLTFQSSDPEHVRQSLLTA IRSGEAAAKLKRVTVPSNTISVNGKSGLSQSMSIDAQDSR >ENSMUSP00000088412.3 pep:known chromosome:GRCm38:2:65088350:65238573:-1 gene:ENSMUSG00000034903.18 transcript:ENSMUST00000090896.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobll1 description:Cobl-like 1 [Source:MGI Symbol;Acc:MGI:2442894] MDRSVPDPLPRSAPRTPAMQPAGSAGRKTKGKAPLPPAETKGTDVSSAEDPVESTAVVTE QQDNMIDKDIELSVVLPGDILKSTTVHGSKPMMDLLVFLCAQYHLNPSSHTIDLLSAEEN LIKFKPNTPIGMLDVEKVILKPKSLDKKKPTPIIPEKTVRVVINFKKTQKTIVRVSPHAP LQDLAPIICSKCEFDPLHTVLLKDYQAQEPLDLTKSLNDLGLRELYAMDISRESCQISHN PDIVKEKENKGIFSFFQRSKKKREQTASAPATPLVSKHRPSFTRSNTISKPYISNTLPSD APKKRRAPLPPMPTSQGAAQGQERRASCVERSTSVDDTDKSSSEAIMVRTGSLQLSSTSI GTSSLKRTKRKAPAPPSKTPLAQTDERNSAMAHGLPLEDGIAPDSMLELSSPEGMSTPAG VSSDYSLEEIDEKEELSEASKDPAGSISVKSPDIASASTDMRITVEKDPDSALGISDGET SPSSKGKTQEGRSTEGQGPYHPVVGHIGNEDRVSDSIKDMKTLGPNQESVVQNEIMVCAT STDYVKNRPGKMETTIEGEGEALKKASDMETDRLSGSPACRMDNVKSSRENHLTASPGPD QKLNQPGVEKTKMQDAAIQATPARGTFDGNHEVSNSSDPRADETVQTSDGSISAQHSSAS LQDSVNASREFRSQGTSTYVQDRLPEKEPACTYGNNVPLSPVDGSNKNPAASYLKNFPLY RQDSNPKPKPSNEITREYIPKIGMTTYKIVPPKSLEMAKDWESEAMGRKDDQKMLPVGQR HTIENMTETSMQTEVPATSKSSQQPQPDLKPKPSSGTERHLHRTLSSPTGTETNPPKAPR VTTDTGTIPFAPNLEDINNILESKFRSRASNPQAKPSSFFLQMQKRASGHYVTSAAAKSV HTAPGPAPKEPTIKEVQRDPQLSPEQHPSSLSERTHSAPLPNISKADDDIIQKPAETSPP PVAPKPMTLRAETSPPPVFPKPMTLPAETSPPPVFPKPMTLPAETSLPLVFPKPMTLRAE TSPPPVAAKPVALPGSQGTSLNLKTLKTFGAPRPYSSSGPSPFALAVVKRSQSFSKACPE SASEGSSALPPAATQDEKTHTVNKPTVGSQHGDGDKQNNPVQNEHSSQVLTPADGPSFTL KRQSSLTFQSSDPEHVRQSLLTAIRSGEAAAKLKRVTVPSNTISVNGKSGLSQSMSIDAQ DSR >ENSMUSP00000108049.1 pep:known chromosome:GRCm38:2:65088350:65238626:-1 gene:ENSMUSG00000034903.18 transcript:ENSMUST00000112430.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobll1 description:Cobl-like 1 [Source:MGI Symbol;Acc:MGI:2442894] MDRSVPDPLPRSAPRTPAMQPAGSAGKTKGKAPLPPAETKGTDVSSAEDPVESTAVVTEQ QDNMIDKDIELSVVLPGDILKSTTVHGSKPMMDLLVFLCAQYHLNPSSHTIDLLSAEENL IKFKPNTPIGMLDVEKVILKPKSLDKKKPTPIIPEKTVRVVINFKKTQKTIVRVSPHAPL QDLAPIICSKCEFDPLHTVLLKDYQAQEPLDLTKSLNDLGLRELYAMDISRESCQISHNP DIVKEKENKGIFSFFQRSKKKREQTASAPATPLVSKHRPSFTRSNTISKPYISNTLPSDA PKKRRAPLPPMPTSQGAAQGQERRASCVERSTSVDDTDKSSSEAIMVRTGSLQLSSTSIG TSSLKRTKRKAPAPPSKTPLAQTDERNSAMAHGLPLEDGIAPDSMLELSSPEGMSTPAGV SSDYSLEEIDEKEELSEASKDPAGSISVKSPDIASASTDMRITVEKDPDSALGISDGETS PSSKGKTQEGRSTEGQGPYHPVVGHIGNEDRVSDSIKDMKTLGPNQESVVQNEIMVCATS TDYVKNRPGKMETTIEGEGEALKKASDMETDRLSGSPACRMDNVKSSRENHLTASPGPDQ KLNQPGVEKTKMQDAAIQATPARGTFDGNHEVSNSSDPRADETVQTSDGSISAQHSSASL QDSVNASREFRSQGTSTYVQDRLPEKEPACTYGNNVPLSPVDGSNKNPAASYLKNFPLYR QDSNPKPKPSNEITREYIPKIGMTTYKIVPPKSLEMAKDWESEAMGRKDDQKMLPVGQRH TIENMTETSMQTEVPATSKSSQQPQPDLKPKPSSGTERHLHRTLSSPTGTETNPPKAPRV TTDTGTIPFAPNLEDINNILESKFRSRASNPQAKPSSFFLQMQKRASGHYVTSAAAKSVH TAPGPAPKEPTIKEVQRDPQLSPEQHPSSLSERTHSAPLPNISKADDDIIQKPAETSPPP VAPKPMTLRAETSPPPVFPKPMTLPAETSPPPVFPKPMTLPAETSLPLVFPKPMTLRAET SPPPVAAKPVALPGSQGTSLNLKTLKTFGAPRPYSSSGPSPFALAVVKRSQSFSKACPES ASEGSSALPPAATQDEKTHTVNKPTVGSQHGDGDKQNNPVQNEHSSQVLTPADGPSFTLK RQSSLTFQSSDPEHVRQSLLTAIRSGEAAAKLKRVTVPSNTISVNGKSGLSQSMSIDAQD SR >ENSMUSP00000114447.1 pep:known chromosome:GRCm38:2:65133780:65239096:-1 gene:ENSMUSG00000034903.18 transcript:ENSMUST00000156643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobll1 description:Cobl-like 1 [Source:MGI Symbol;Acc:MGI:2442894] MGQGKTKGKAPLPPAETKGTDVSSAEDPVESTAVVTEQQDNMIDKDIELSVVLPGDILKS TTVHGSKPMMDLLVFLCAQYHLNPSSHTIDLLSAEENLIKFKPNTPIGMLDVEKVILKPK SLDKKKPTPIIPEKTVRVVINF >ENSMUSP00000115065.1 pep:known chromosome:GRCm38:2:65125972:65239044:-1 gene:ENSMUSG00000034903.18 transcript:ENSMUST00000155916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobll1 description:Cobl-like 1 [Source:MGI Symbol;Acc:MGI:2442894] MGQAVTRRLRARARAAASSAMDRSVPDPLPRSAPRTPAMQPAGSAGRKTKGKAPLPPAET KGTDVSSAEDPVESTAVVTEQQDNMIDKDIELSVVLPGDILKSTTVHGSKPMMDLLVFLC AQYHLNPSSHTIDLLSAEENLIKFKPNTPIGMLDVEKVILKPKSLDKKKPTPIIPEKTVR VVINFKKTQKTIVRVSPHAPLQDLAPIICSKCEFDPLHTVLLKDYQAQEPLDLTKSLNDL GLRELYAMDISRAPSATAFSKSSFQESCQISHNPDIVKEKENKGIFSFFQRSKKKREQTA SAPATPLVSKHRPSFTRSNTISKPYISNTLPSDAPKKRRAPLPPMPT >ENSMUSP00000116196.1 pep:known chromosome:GRCm38:2:65136383:65238573:-1 gene:ENSMUSG00000034903.18 transcript:ENSMUST00000155082.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobll1 description:Cobl-like 1 [Source:MGI Symbol;Acc:MGI:2442894] MDRSVPDPLPRSAPRTPAMQPAGSAGKRRFMKMLHAHSKNDFVRIKNHKFLPLHICQSRK TKGKAPLPPAETKGTDVSSAEDPVESTAVVTEQQDNMIDKDIELSVVLPGDILKSTTVHG SKPMMDLLVFLCAQYHLNPSSHTIDLLSAEENLIKFKPNTPIGMLDVEKVILKPK >ENSMUSP00000108048.2 pep:known chromosome:GRCm38:2:65088339:65238626:-1 gene:ENSMUSG00000034903.18 transcript:ENSMUST00000112429.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cobll1 description:Cobl-like 1 [Source:MGI Symbol;Acc:MGI:2442894] MDRSVPDPLPRSAPRTPAMQPAGSAGRKTKGKAPLPPAETKGTDVSSAEDPVESTAVVTE QQDNMIDKDIELSVVLPGDILKSTTVHGSKPMMDLLVFLCAQYHLNPSSHTIDLLSAEEN LIKFKPNTPIGMLDVEKVILKPKSLDKKKPTPIIPEKTVRVVINFKKTQKTIVRVSPHAP LQDLAPIICSKCEFDPLHTVLLKDYQAQEPLDLTKSLNDLGLRELYAMDISRESCQISHN PDIVKEKENKGIFSFFQRSKKKREQTASAPATPLVSKHRPSFTRSNTISKPYISNTLPSD APKKRRAPLPPMPTSQGAAQGQERRASCVERSTSVDDTDKSSSEAIMVRTGSLQLSSTSI GTSSLKRTKRKAPAPPSKTPLAQTDERNSAMAHGLPLEDGIAPDSMLELSSPEGMSTPEG SLGPGFLSQEQCAVPKPPDEISEGPGTPEAAVASLTSGVSSDYSLEEIDEKEELSEASKD PAGSISVKSPDIASASTDMRITVEKDPDSALGISDGETSPSSKGKTQEGRSTEGQGPYHP VVGHIGNEDRVSDSIKDMKTLGPNQESVVQNEIMVCATSTDYVKNRPGKMETTIEGEGEA LKKASDMETDRLSGSPACRMDNVKSSRENHLTASPGPDQKLNQPGVEKTKMQDAAIQATP ARGTFDGNHEVSNSSDPRADETVQTSDGSISAQHSSASLQDSVNASREFRSQGTSTYVQD RLPEKEPACTYGNNVPLSPVDGSNKNPAASYLKNFPLYRQDSNPKPKPSNEITREYIPKI GMTTYKIVPPKSLEMAKDWESEAMGRKDDQKMLPVGQRHTIENMTETSMQTEVPATSKSS QQPQPDLKPKPSSGTERHLHRTLSSPTGTETNPPKAPRVTTDTGTIPFAPNLEDINNILE SKFRSRASNPQAKPSSFFLQMQKRASGHYVTSAAAKSVHTAPGPAPKEPTIKEVQRDPQL SPEQHPSSLSERTHSAPLPNISKADDDIIQKPAETSPPPVAPKPMTLRAETSPPPVFPKP MTLPAETSPPPVFPKPMTLPAETSLPLVFPKPMTLRAETSPPPVAAKPVALPGSQGTSLN LKTLKTFGAPRPYSSSGPSPFALAVVKRSQSFSKACPESASEGSSALPPAATQDEKTHTV NKPTVGSQHGDGDKQNNPVQNEHSSQVLTPADGPSFTLKRQSSLTFQSSDPEHVRQSLLT AIRSGEAAAKLKRVTVPSNTISVNGKSGLSQSMSIDAQDSR >ENSMUSP00000030328.2 pep:known chromosome:GRCm38:4:144519822:144529353:1 gene:ENSMUSG00000028593.5 transcript:ENSMUST00000030328.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430007A20Rik description:RIKEN cDNA 9430007A20 gene [Source:MGI Symbol;Acc:MGI:2685880] MLYLVGFLLATVCLLVLGVNVWVLIDHFLTIDVPPSIPHPVKFRILHFCFHLTTTWGHIL EKMNICSMPQFFCFLQDSLSSKENHGVFVKDLRFGTIPVRLFRPKAASSKPRRGILFFHG GGAMIGSLDSHHNLCTFLARETDSVLVSVGYRKLPYYHHPSLYHDCINASIHFLKSLKAY GIDPSRVVICGESIGGAAAVVVTQTLLSRTDIPKIRAQVLIYPILQAFYFQSPSHLMHKN IPFLTKDFMITCICKYLAIDFSWKDAMLTGACISPSAWKKYEKWLSPDNIPKRFRTTYQP PESPAPFNEAAYLETKHAMNIDISPLVADDKIIAQLPEAFLVSLHWDIIRDDVLLYKKRL EDQGVPVTWHHVEDGFHGCILLFDKKLFSFPCSLNIVNAVVSYIKDL >ENSMUSP00000119681.1 pep:known chromosome:GRCm38:11:77216287:77393153:1 gene:ENSMUSG00000037926.15 transcript:ENSMUST00000156488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssh2 description:slingshot homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2679255] MALVTVQRSPTPSTTSSPCASSSYLEDSESAALLCCEYGESEIFSDFNEADSGEEECRSQ PRSISESFLTVKGAALFLPRGNGSSTPRVSHRRNKHA >ENSMUSP00000042625.5 pep:known chromosome:GRCm38:11:77216287:77460220:1 gene:ENSMUSG00000037926.15 transcript:ENSMUST00000037912.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssh2 description:slingshot homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2679255] MALVTVQRSPTPSTTSSPCASEADSGEEECRSQPRSISESFLTVKGAALFLPRGNGSSTP RVSHRRNKHAGDLQQHLQAMFILLRPEDNIRLAVRLESTYQNRTRYMVVVSTNGRQDTEE SIVLGMDFSSNDSSTCTMGLVLPLWSDTLIHLDGDGGFSVSTDNRVHIFKPVSVQAMWSA LQSLHKACEVARMHNYYPGSLFLTWVSYYESHINSDQSSVNEWNAMQDVQSHRPDSPALF TDIPTERERTERLIKTKLREIMMQKDLENITSKEIRTELEMQMVCNLREFKEFIDNEMIV ILGQMDSPTQIFEHVFLGSEWNASNLEDLQNRGVRYILNVTREIDNFFPGVFEYHNIRVY DEEATDLLAYWNDTYKFISKAKKHGSKCLVHCKMGVSRSASTVIAYAMKEYGWNLDRAYD YVKERRTVTKPNPSFMRQLEEYQGILLASKQRHNKLWRSHSDSDLSDHHEPICKPGLELN KKEMTTSADQIAEVKTVENLAAMPTVFMEHVVPQDANQKGLHTKERVICLEFSSQEFRAG QIEDELNLNDINGCSSGCCLSESKLPLDNCHASKALLQPGQAPDIANKFPDLAVEDLETD ALKADMNVHLLPMEELTSRLKDLPMSPDLESPSPQASCQAAISDFSTDRIDFFSALEKFV ELSQETRSRSFSHSRIEELGGGRSEGCRLSVIEVAASEMAADDQRSSSLSNTPHASEESS VDEDQSKAITELVSPDIIMQSHSENAISVKEIVTEIESISQGVGQVQLKGDILSNPCHTP KKSTIHELPLERVPAPESKPGHWEQDESFCSVQPELARDSGKCAPEEGCLTTHSSTADLE EEEPVEGEHDWGPGMHSGAKWCPGSVRRATLEFEERLRQEQENHGTASAGPTLSNRKNSK NDSSVADLMPKWKSDETTPEHSFFLKEAEPSKGKGKCSGSEAGSLSHCERNPTMPDCELL EHHSLPAPQDCLGSDSRSKKQEGDLKKQRAVVPNQECDTQAILLPLPKKIEIIEYTPTVT SLGHTEPGGEATPSKEGEKQGLRKVKMEQSITMFCALDENLNRTLEPSQVSLHPQVLPLP HSSSECDRPADPNPMLSSPQDKGDCPSTPFKTAAPFVSCSTQGASFSLDYLLPHSVVHLE GCTEQSSATDNELSPEQASWEDSRGHFLSSGSGMAHTSSPLTNEDLSLINKLGDSVGVLQ KKLDPSPEACRIPHSSSSENIRDLSHSRGVVKEHAKEIESRVIFQAGFSKTSQMKRSASL AKLGYLDLCKDYLPDRELVSSESPHLKLLQPFLRTDSGMHALMAHEPSESAGAQQNPQPT KYSVEQLKTSECIVQSKPVERPSVQYAKEFGYSQQCLLPKARPELTSSEGGLPLLQTQGL QYTGPSPGLAVAPRQQHGRTHPLRRLKRANDKKRTTNPFYNTM >ENSMUSP00000137933.1 pep:known chromosome:GRCm38:11:77348282:77455521:1 gene:ENSMUSG00000037926.15 transcript:ENSMUST00000181283.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssh2 description:slingshot homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2679255] MTLSTLAGERKALPASTCSLGGPDMIPYFSANAVISQNAINQLISESFLTVKGAALFLPR GNGSSTPRVSHRRNKHAGDLQQHLQAMFILLRPEDNIRLAVRLESTYQNRTRYMVVVSTN GRQDTEESIVLGMDFSSNDSTCTMGLVLPLWSDTLIHLDGDGGFSVSTDNRVHIFKPVSV QAMWSALQSLHKACEVARMHNYYPGSLFLTWVSYYESHINSDQSSVNEWNAMQDVQSHRP DSPALFTDIPTERERTERLIKTKLREIMMQKDLENITSKEIRTELEMQMVCNLREFKEFI DNEMIVILGQMDSPTQIFEHVFLGSEWNASNLEDLQNRGVRYILNVTREIDNFFPGVFEY HNIRVYDEEATDLLAYWNDTYKFISKAKKHGSKCLVHCKMGVSRSASTVIAYAMKEYGWN LDRAYDYVKERRTVTKPNPSFMRQLEEYQGILLASKQRHNKLWRSHSDSDLSDHHEPICK PGLELNKKEMTTSADQIAEVKTVENLAAMPTVFMEHVVPQDANQKGLHTKERVICLEFSS QEFRAGQIEDELNLNDINGCSSGCCLSESKLPLDNCHASKALLQPGQAPDIANKFPDLAV EDLETDALKADMNVHLLPMEELTSRLKDLPMSPDLESPSPQASCQAAISDFSTDRIDFFS ALEKFVELSQETRSRSFSHSRIEELGGGRSEGCRLSVIEVAASEMAADDQRSSSLSNTPH ASEESSVDEDQSKAITELVSPDIIMQSHSENAISVKEIVTEIESISQGVGQVQLKGDILS NPCHTPKKSTIHELPLERVPAPESKPGHWEQDESFCSVQPELARDSGKCAPEEGCLTTHS STADLEEEEPVEGEHDWGPGMHSGAKWCPGSVRRATLEFEERLRQEQENHGTASAGPTLS NRKNSKNDSSVADLMPKWKSDETTPEHSFFLKEAEPSKGKGKCSGSEAGSLSHCERNPTM PDCELLEHHSLPAPQDCLGSDSRSKKQEGDLKKQRAVVPNQECDTQAILLPLPKKIEIIE YTPTVTSLGHTEPGGEATPSKEGEKQGLRKVKMEQSITMFCALDENLNRTLEPSQVSLHP QVLPLPHSSSECDRPADPNPMLSSPQDKGDCPSTPFKTAAPFVSCSTQGASFSLDYLLPH SVVHLEGCTEQSSATDNELSPEQASWEDSRGHFLSSGSGMAHTSSPLTNEDLSLINKLGD SVGVLQKKLDPSPEACRIPHSSSSENIRDLSHSRGVVKEHAKEIESRVIFQAGFSKTSQM KRSASLAKLGYLDLCKDYLPDRELVSSESPHLKLLQPFLRTDSGMHALMAHEPSESAGAQ QNPQPTKYSVEQLKTSECIVQSKPVERPSVQYAKEFGYSQQCLLPKARPELTSSEGGLPL LQTQGLQYTGPSPGLAVAPRQQHGRTHPLRRLKRANDKKRTTNPFYNTM >ENSMUSP00000026328.4 pep:known chromosome:GRCm38:X:155323918:155338467:-1 gene:ENSMUSG00000025289.15 transcript:ENSMUST00000026328.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx4 description:peroxiredoxin 4 [Source:MGI Symbol;Acc:MGI:1859815] MEARSKLLDGTTASRRWTRKLVLLLPPLLLFLLRTESLQGLESDERFRTRENECHFYAGG QVYPGEASRVSVADHSLHLSKAKISKPAPYWEGTAVINGEFKELKLTDYRGKYLVFFFYP LDFTFVCPTEIIAFGDRIEEFKSINTEVVACSVDSQFTHLAWINTPRRQGGLGPIRIPLL SDLNHQISKDYGVYLEDSGHTLRGLFIIDDKGVLRQITLNDLPVGRSVDETLRLVQAFQY TDKHGEVCPAGWKPGSETIIPDPAGKLKYFDKLN >ENSMUSP00000122997.1 pep:known chromosome:GRCm38:X:155328446:155340754:-1 gene:ENSMUSG00000025289.15 transcript:ENSMUST00000130349.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx4 description:peroxiredoxin 4 [Source:MGI Symbol;Acc:MGI:1859815] MDHRCRSRGMSHSRVSGSHIRTPRVPLPFHVEQEAREGEEWERELPRQRPIIYGPPESEE LQETVMISKPAPYWEGTAVINGEFKELKLTDYRGKYLVFFFYPLDFTFVCPTEIIAFGDR IEEFKSINTEVVACSVDSQFTHLAWINTPRRQGGLGPIRIPLLSDLNHQISKDYGVYLED SGHTLRGLFIIDDKGVLRQITLNDLPVGRSVDETLRLVQAFQYTDKHGE >ENSMUSP00000054444.5 pep:known chromosome:GRCm38:11:87405065:87555823:1 gene:ENSMUSG00000010342.16 transcript:ENSMUST00000060835.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex14 description:testis expressed gene 14 [Source:MGI Symbol;Acc:MGI:1933227] MSRGAPFPVPCPVLLGTFTDDSLEAQLHEYAKQGNCVKLKKILKKGVCVDAVNTQGQSAL FVAALLGHVKLVDVLVDYGSDPNHRCFDGSTPVHAAAFSGNQWILSKLLTAGGDLRLHDE KGRNPQAWALTAGKDRSTQMVEFMQRCTSHMKAIIQGFSYDLLKKIDSPQRLIGSPPWFG SLIQGSPNSSPNRQLKPGIISAQNIYSFGFGKFYLTSGMQLTYPGSLPVIGEKEVVQADD EPTFSFFSGPYMVMTNLVWNRSRVTVKELNLPTRPHCSRLRLADLLIAEQEHSSNLRHPN LLQLMAVCLSRDLEKIRLVYERITVGTLFSVLHERRSQFPVLHMEVIVHLLLQVADALIY LHSRGFIHRSLSSYAVHIVSAGEARLTNLEYLTESQDSGAHRNVTRMPLPTQLYNWAAPE VVLQKAATVKSDIYSFSVIIQEILTDSIPWNGLDGSLVKETIALGNYLEADVRLPEPYYD IVKSGIHAKQKNRTMNLQDIRYILKNDLKEFIGAQKTQPTESPRGQSYEPHPDVNICLGL TSEYQKDPPDLDIKELKEMGSQPHSPTDHSFLTVKPTLAPQTLDSSLSAQKPDNANVPSP PAACLAEEVRSPTASQDSLCSFEINEIYSGCLTLGTDKEEECLGTAASPEGDRPNQGDEL PSLEEELDKMERELHCFCEEDKSISEVDTDLLFEDDDWQSDSLGSLNLPEPTREAKGKTS SWSKTDEYVSKCVLNLKISQVMMQQSAEWLRKLEQEVEELEWAQKELDSQCSSLRDASLK FANAKFQPAVGPPSLAYLPPVMQLPGLKQPENGGTWLTLARSPGNEREFQEGHFSKKPEK LSACGWKPFTQVSEESRGDCSELNNQLPTLRGPGKQSTGEQLPSTQEARESLEKNTNQNS RSMASVSSEIYATKSRNNEDNGEAHLKWRLAVKEMAEKAVSGQLLLPPWNPQSSAPFESK VENESTPLPRPPIRGPESTEWQHILEYQRENDEPKGNTKFGKMDNSDCDKNKHSRWTGLQ RFTGIRYPFFRNHEQPEQNEASQASCDTSVGTEKFYSTSSPIGDDFERFQDSFAQRQGYV EENFQIREIFEKNAEILTKPQFQAIQCAEDKQDETLGETPKELKEKNTSLTDIQDLSSIT YDQDGYFKETSYKTPKLKHAPTSASTPLSPESISSAASHYEDCLENTTFHVKRGSTFCWN GQEAMRTLSAKFTTVRERAKSLESLLASSKSLPAKLTDSKRLCMLSETGSSNVSAAFVTS THATKRKSLPRELAEATSQQHLDELPPPAQELLDEIEQLKQQQVSSLASHENTARDLSVT NKDKKHLEEQETNSSKDSSFLSSREIQDLEDTERAHSSLDEDLERFLQSPEENTALLDPT KGSTREKKNKDQDVVEQKRKKKESIKPERRESDSSLGTLEEDELKPCFWKRLGWSEPSRI IVLDQSDLSD >ENSMUSP00000118114.1 pep:known chromosome:GRCm38:11:87427591:87484766:1 gene:ENSMUSG00000010342.16 transcript:ENSMUST00000156483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex14 description:testis expressed gene 14 [Source:MGI Symbol;Acc:MGI:1933227] MRPCLQTNKQNNMSRGAPFPVPCPVLLGTFTDDSLEAQLHEYAKQGNCVKLKKILKKGVC VDAVNTQGQSALFVAALLGHVKLVDVLVDYGSDPNHRCFDGSTPVHAAAFSGNQWILS >ENSMUSP00000117564.1 pep:known chromosome:GRCm38:11:87536724:87554957:1 gene:ENSMUSG00000010342.16 transcript:ENSMUST00000131973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex14 description:testis expressed gene 14 [Source:MGI Symbol;Acc:MGI:1933227] EDCLENTTFHVKRGSTFCWNGQEAMRTLSAKFTTVRERAKSLESLLASSKSLPAKLTDSK RLCMLSETGSSNVSAAFVTSTHATKRKSLPRDKKHLEEQETNSSKDSSFLSSREIQDLED TERAHSSLDEDLERFLQSPEENTALLDPTKGSTREKKNKDQDVVEQKRKKKESIKPERRE SDSSLGTLEEDELKPCFWKR >ENSMUSP00000098222.3 pep:known chromosome:GRCm38:11:87539004:87555819:1 gene:ENSMUSG00000010342.16 transcript:ENSMUST00000100657.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex14 description:testis expressed gene 14 [Source:MGI Symbol;Acc:MGI:1933227] EATSQQHLDELPPPAQELLDEIDELKPCFWKRLGWSEPSRIIVLDQSDLSD >ENSMUSP00000036031.3 pep:known chromosome:GRCm38:19:4425459:4439432:-1 gene:ENSMUSG00000041845.10 transcript:ENSMUST00000048197.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhod description:ras homolog gene family, member D [Source:MGI Symbol;Acc:MGI:108446] MNASQVAGEEAPQSGHSVKVVLVGDGGCGKTSLMMVFAKGAFPESYSPTVFERYNATLQM KGKPVHLQIWDTAGQDDYDRLRPLFYPDANVLLLCFDVTNPNSFDNVSNRWYPEVTHFCK GVPIIVVGCKIDLRKDKVLVNNLRKKRLEPVTYHRGHDMARSVGAVAYLECSARLHDNVE AVFQEAAEVALSSRRHNFWRRITQNCCLAT >ENSMUSP00000112607.1 pep:known chromosome:GRCm38:19:4425667:4439421:-1 gene:ENSMUSG00000041845.10 transcript:ENSMUST00000117462.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhod description:ras homolog gene family, member D [Source:MGI Symbol;Acc:MGI:108446] MNASQVAGEEAPQSGHSVKVVLVGDGGCGKTSLMMVFAKGAFPESYSPTVFERYNATLQM KGKPVHLQIWDTAGQDDYDRLRPLFYPDANVLLLCFDVTNPNSFDNVSNRWYPEVTHFCK GVPIIVVGCKIDLRKDKVLVNNLRKKRLEPVTYHRVGRKLGNLWILPHCARCVAHGGLGG CQQSGRGSTWKQNPECSRRL >ENSMUSP00000021179.3 pep:known chromosome:GRCm38:11:70513516:70514616:-1 gene:ENSMUSG00000020830.3 transcript:ENSMUST00000021179.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmo1 description:vitelline membrane outer layer 1 homolog (chicken) [Source:MGI Symbol;Acc:MGI:2685587] MELQAGARLLLLLGVMCYGHAQIQVHVEPRYASIVDVTNGGTWGDWAWPEMCPDGYFASG FSVKVEPPQGIPGDDTALNGIRLHCTRGNSQKNTHVVESQSGSWGSWSEPLWCPGTSFLV AFCLRVEPFTFPGDNTGVNNVRFRCSDGVELEGPGLNWGDYGEWSNSCPKGVCGLQTKIQ KPRGLRDDTALNDIRIFCCAS >ENSMUSP00000027518.5 pep:known chromosome:GRCm38:1:88406961:88426438:1 gene:ENSMUSG00000026295.12 transcript:ENSMUST00000027518.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spp2 description:secreted phosphoprotein 2 [Source:MGI Symbol;Acc:MGI:1922646] MEQAMLKTLALLVLGMHYWCATGFPVYDYDPSSLQEALSASVAKVNSQSLSPYLFRATRS SLKRVNVLDEDTLVMNLEFSVQETTCLRDSGDPSTCAFQRGYSVPTAACRSTVQMSKGQV KDVWAHCRWASSSESNSSEEMMFGDMARSHRRRNDYLLGFLSDESRSEQFRDRSLEIMRR GQPPAHRRFLNLHRRARVNSGFE >ENSMUSP00000135862.1 pep:known chromosome:GRCm38:1:88407279:88426438:1 gene:ENSMUSG00000026295.12 transcript:ENSMUST00000176708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spp2 description:secreted phosphoprotein 2 [Source:MGI Symbol;Acc:MGI:1922646] MSKGQVKDVWAHCRWASSSESNSSEEMMFGDMARSHRRRNDYLLGFLSDESRSEQFRDRS LEIMRRGQPPAHRRFLNLHRRARVNSGFE >ENSMUSP00000141021.1 pep:known chromosome:GRCm38:1:88407406:88426432:1 gene:ENSMUSG00000026295.12 transcript:ENSMUST00000189225.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spp2 description:secreted phosphoprotein 2 [Source:MGI Symbol;Acc:MGI:1922646] YLFRATRSSLKRVNVLDEDTLVMNLEFSVQETTCLRDSGDPSTCAFQRGYSVMMFGDMAR SHRRRNDYLLESASPFHP >ENSMUSP00000041616.5 pep:known chromosome:GRCm38:14:118787908:118874524:1 gene:ENSMUSG00000022132.15 transcript:ENSMUST00000047761.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn10 description:claudin 10 [Source:MGI Symbol;Acc:MGI:1913101] MSRAQISALVCGVGGFGALVAATTSNEWKVTTRASSVITATWVYQGLWMNCAGNALGSFH CRPHFTIFKVEGYIQACRGLMIAAVSLGFFGSIFALFGMKCTKVGGSDQAKAKIACLAGI VFILSGLCSMTGCSLYANKITTEFFDPLYMEQKYELGAALFIGWAGASLCIIGGVIFCFS ISDNNKTPRMGYTYNGPTSAMSSRTKYQGGEGDFKTTGPSKQFDKNAYV >ENSMUSP00000071476.6 pep:known chromosome:GRCm38:14:118787918:118874525:1 gene:ENSMUSG00000022132.15 transcript:ENSMUST00000071546.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn10 description:claudin 10 [Source:MGI Symbol;Acc:MGI:1913101] MSRAQISALVCGVGGFGALVAATTSNEWKVTTRASSVITATWVYQGLWMNCAGNALGSFH CRPHFTIFKVEGYIQACRGLMIAAVSLGFFGSIFALFGMKCTKVGGSDQAKAKIACLAGI VFILSGLCSMTGCSLYANKITTEFFDPLYMEQKMGYTYNGPTSAMSSRTKYQGGEGDFKT TGPSKQFDKNAYV >ENSMUSP00000097889.3 pep:known chromosome:GRCm38:14:118854732:118875489:1 gene:ENSMUSG00000022132.15 transcript:ENSMUST00000100314.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn10 description:claudin 10 [Source:MGI Symbol;Acc:MGI:1913101] MASTALEIVAFVVSISGWVLVSSTLPTDYWKVSTIDGTVITTATYFANLWKICVTDSTGV ANCKEFPSMLALDGYIQACRGLMIAAVSLGFFGSIFALFGMKCTKVGGSDQAKAKIACLA GIVFILSGLCSMTGCSLYANKITTEFFDPLYMEQKYELGAALFIGWAGASLCIIGGVIFC FSISDNNKTPRMGYTYNGPTSAMSSRTKYQGGEGDFKTTGPSKQFDKNAYV >ENSMUSP00000058934.7 pep:known chromosome:GRCm38:3:103914120:103966613:1 gene:ENSMUSG00000044098.14 transcript:ENSMUST00000051139.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsbn1 description:rosbin, round spermatid basic protein 1 [Source:MGI Symbol;Acc:MGI:2444993] MFRSTRTTDQWRVGERLQCPAGHARAALARTADGGAVGPFKCVFVGEMAAQVGAVRVVRA VAAQEEPDKEGKEKPHVGVSPRGVKRQRRASSGGSQEKRGRPSQDPPLAPPHRRRRSRQH PGPLPPTNAAPTVPGPVEPLLLPPPPPPSLAPAGPTVAAPLPAPGTSALFTFSPLTVSAA GPKHKGHKERHKHHHHRGSDGDPGACVPGDLKHKDKQENGERSGGVPLIKAPKRETADEN GKTQRADDFVLKKIKKKKKKKHREDMRGRRLKMYNKEVQTVCAGLTRISKEILTQGQLNS TSGVNKESFRYLKDEQLCRLNLGMQEYRVPQGVQTPFTTHQEHSIRRNFLKTGTKFSNFI HEEHQSNGGALVLHAYMDELSFLSPMEMERFSEEFLALTFSENEKNAAYYALAIVHGAAA YLPDFLDYFAFNFPNTPVKMEILGKKDIETTTISNFHTQVNRTYCCGTYRAGPMRQISLV GAVDEEVGDYFPEFLDMLEESPFLKMTLPWGTLSSLQLQCRSQSDDGPIMWVRPGEQMIP TADMPKSPFKRRRSMNEIKNLQYLPRTSEPREVLFEDRTRAHADHVGQGFDWQSTAAVGV LKAVQFGEWSDQPRITKDVICFHAEDFTDVVQRLQLDLHEPPVSQCVQWVDEAKLNQMRR EGIRYARIQLCDNDIYFIPRNVIHQFKTVSAVCSLAWHIRLKQYHPVVETAQNTESNSNM DCGLEVDSQCVRIKTESEERCTEMQLLTTASPSFPPPSELHLQDLKTQPLPVFKVESRLD SDQQHSLQAHPSTPV >ENSMUSP00000069246.4 pep:known chromosome:GRCm38:3:103914151:103966636:1 gene:ENSMUSG00000044098.14 transcript:ENSMUST00000068879.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsbn1 description:rosbin, round spermatid basic protein 1 [Source:MGI Symbol;Acc:MGI:2444993] MFRSTRTTDQWRVGERLQCPAGHARAALARTADGGAVGPFKCVFVGEMAAQVGAVRVVRA VAAQEEPDKEGKEKPHVGVSPRGVKRQRRASSGGSQEKRGRPSQDPPLAPPHRRRRSRQH PGPLPPTNAAPTVPGPVEPLLLPPPPPPSLAPAGPTVAAPLPAPGTSALFTFSPLTVSAA GPKHKGHKERHKHHHHRGSDGDPGACVPGDLKHKDKQENGERSGGVPLIKAPKRETADEN GKTQRADDFVLKKIKKKKKKKHREDMRGRRLKMYNKEVQTVCAGLTRISKEILTQGQLNS TSGVNKESFRYLKDEQLCRLNLGMQEYRVPQGVQTPFTTHQEHSIRRNFLKTGTKFSNFI HEEHQSNGGALVLHAYMDELSFLSPMEMERFSEEFLALTFSENEKNAAYYALAIVHGAAA YLPDFLDYFAFNFPNTPVKMEILGKKDIETTTISNFHTQVNRTYCCGTYRAGPMRQISLV GAVDEEVGDYFPEFLDMLEESPFLKMTLPWGTLSSLQLQCRSQSDDGPIMWVRPGEQMIP TADMPKSPFKRRRSMNEIKNLQYLPRTSEPREVLFEDRTRAHADHVGQGFDWQSTAAVGV LKAVQFGEWSDQPRITKDVICFHAEDFTDVVQRLQLDLHEPPVSQCVQWVDEAKLNQMRR EGIRYARIQLCDNDIYFIPRNVIHQFKTVSAVCSLAWHIRLKQYHPVVETAQNTESNSNM DCGLEVDSQCVRIKTESEERCTEMQLLTTASPSFPPPSELHLQDLKTQPLPVFKVESRLD SDQQHSLQAHPSTPV >ENSMUSP00000115693.2 pep:known chromosome:GRCm38:3:103914567:103963871:1 gene:ENSMUSG00000044098.14 transcript:ENSMUST00000151927.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsbn1 description:rosbin, round spermatid basic protein 1 [Source:MGI Symbol;Acc:MGI:2444993] XFKCVFVGEMAAQVGAVRVVRAVAAQEEPDKEGKEKPHVGVSPRGVKRQRRASSGGSQEK RGRPSQDPPLAPPHRRRRSRQHPGPLPPTNAAPTVPGPVEPLLLPPPPPPSLAPAGPTVA APLPAPGTSALFTFSPLTVSAAGPKHKGHKERHKHHHHRGSDGDPGACVPGDLKHKDKQE NGERSGGVPLIKAPKRETADENGKTQRADDFVLKKIKKKKKKKHREDMRGRRLKMYNKEV QTVCAGLTRISKEILTQGQLNSTSGVNKESFRYLKDEQLCRLNLGMQEYRVPQGVQTPFT THQEHSIRRNFLKTGTKFSNFIHEEHQSNGGALVLHAYMDELSFLSPMEMERFSEEFLAL TFSENEKNAAYYALAIVHGAAAYLPDFLDYFAFNFPNTPVKMEILGKKDIETTTISNFHT Q >ENSMUSP00000140185.2 pep:known chromosome:GRCm38:3:103928618:103960136:1 gene:ENSMUSG00000044098.14 transcript:ENSMUST00000185731.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsbn1 description:rosbin, round spermatid basic protein 1 [Source:MGI Symbol;Acc:MGI:2444993] XQEYRVPQGVQTPFTTHQEHSIRRNFLKTGTKFSNFIHEEHQSNGGALVLHAYMDELSFL SPMEMERFSEEFLALTFSENEKNAAYYALAIVHGAAAYLPDFLDYFAFNFPNTPVKMEIL GKKDIETTTISNFHTQMTLPWGTLSSLQLQCRSQSDDGPIMWVRPGEQMIPTADMPKSPF KRRRSMNEIKNLQYLPRTSEPREVLFEDRTRAHADHVGQGFDWQSTAA >ENSMUSP00000058312.2 pep:known chromosome:GRCm38:7:103639185:103640138:-1 gene:ENSMUSG00000050085.3 transcript:ENSMUST00000058744.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr622 description:olfactory receptor 622 [Source:MGI Symbol;Acc:MGI:3030456] MVGNITHQQIASFFLVGIPGLENVHCWIGISVCLLFVLTLLGNSIVIATIKLEPSLHQPM YFFLCMLAMNDMCLSSSAALKMLGIFWFDAHWINFDACLTQMYFIHTLCIMESAILVAMA FDRFVAICIPLHYASILTTSMVIKLGLVGLMRCVLMVLPCPILIKRLPYYTKYVIPHTYC EHMAVVKLASANTLINRAYGISVALSVITVDLGLIATSYVKILQSVFRLSSQNARSKALG TCAAHVCTILVSYIPALFSFLSHRIGKKVPPSVHIIFASMYLLVPSAVNPVVYGVKTKQI RDRVIDLFFTHKKFSEK >ENSMUSP00000134394.1 pep:known chromosome:GRCm38:4:96099318:96141152:-1 gene:ENSMUSG00000081225.3 transcript:ENSMUST00000121694.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp2j12 description:cytochrome P450, family 2, subfamily j, polypeptide 12 [Source:MGI Symbol;Acc:MGI:3717097] MLATEDTFETAIWALLHFKTLVLAAVTFMLLAHYLKTQRPKNYPPGPWRLPFVGNLFQLD LEQPHVVIQQD >ENSMUSP00000133811.1 pep:known chromosome:GRCm38:4:96099573:96141138:-1 gene:ENSMUSG00000081225.3 transcript:ENSMUST00000097972.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j12 description:cytochrome P450, family 2, subfamily j, polypeptide 12 [Source:MGI Symbol;Acc:MGI:3717097] MLATEDTFETAIWALLHFKTLVLAAVTFMLLAHYLKTQRPKNYPPGPWRLPFVGNLFQLD LEQPHVVIQQFVKKYGNLTSLDFGNIPSVIITGLPLIKEVFTNMEQNFLNRPITPIRKRV FNNNGLIISNGQTWKEQRRFALMTLKNFGLGKKSLEQRIQKEADCLVEAIGEEKGQPFDP HFKINSAVSNIICTFAFGERFEYEDSHFHELLRLLDEATCLEASMMCQLYNVFPSIIQYV PGSHQTVLRNWEKLKLFIYQMMESHRKDWNPDEPRDFIDAFLTEMSKYGDKTTTSFNEEN LINSTLDLFFAGSETTTNTLRWALLYITTNPEVQEKVHSEIDRVIGHGRQPSTDDRDSMP YTNAVIHEVLRMGNILPMNVPREVTADSTLAGFHLPKGTMVLTNLTALHRDPKEWATPNT FNPEHFLENGQFKKRESFLPFSLGKRACPGEQLARSELFIFFTALMQKFTFKPPINEKLS LKFRNGLTLSPVSHCICAVPRH >ENSMUSP00000040134.5 pep:known chromosome:GRCm38:X:36848550:36874111:-1 gene:ENSMUSG00000006423.15 transcript:ENSMUST00000047486.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330007P06Rik description:RIKEN cDNA C330007P06 gene [Source:MGI Symbol;Acc:MGI:1924894] MPKVVSRSVVCSDTRDREEYDDGEKPLHVYYCLCGQMVLVLDCQLEKLPMRPRDRSRVID AAKHAHKFCNTEDEETTYLRRPEGIERQYRKKCAKCGLPLFYQSQPKNAPVTFIVDGAVV KFGQGFGKTNIYTQKQEPPKKVMMTKRTKDMGKFSSVTVSTIDEEEEEIEAREVADSYAQ NAKVIEKQLERKGMSKRRLQELAELEAKKAKMKGTLIDNQFK >ENSMUSP00000110904.3 pep:known chromosome:GRCm38:X:36823737:36864238:-1 gene:ENSMUSG00000006423.15 transcript:ENSMUST00000115249.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330007P06Rik description:RIKEN cDNA C330007P06 gene [Source:MGI Symbol;Acc:MGI:1924894] MPKVVSRSVVCSDTRDREEYDDGEKPLHVYYCLCGQMVLVLDCQLEKLPMRPRDRSRVID AAKHAHKFCNTEDEETTYLRRPEGIERQYRKKCAKCGLPLFYQSQPKNAPVTFIVDGAVV KFGQGFGKTNIYTQKQEPPKKVMMTKRTKDMGKFSSVTVSTIDEEEEEIEAREVADSYAQ NAKVIEKQLERKGMSKRRLQELACCCTPCLGRLAEVLTGLHSLLASA >ENSMUSP00000110903.3 pep:known chromosome:GRCm38:X:36848543:36864246:-1 gene:ENSMUSG00000006423.15 transcript:ENSMUST00000115248.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330007P06Rik description:RIKEN cDNA C330007P06 gene [Source:MGI Symbol;Acc:MGI:1924894] MPKVVSRSVVCSDTRDREEYDDGEKPLHVYYCLCGQMVLVLDCQLEKLPMRPRDRSRVID AAKHAHKFCNTEDEETTYLRRPEGIERQYRKKCAKCGLPLFYQSQPKNAPVTFIVDGAVV KFGQGFGKTNIYTQKQEPPKKVMMTKRTKDMGKFSSVTVSTIDEEEEEIEAREVADSYAQ NAKVIEKQLERKGMSKRRLQELAELEAKKAKMKGTLIDNQFK >ENSMUSP00000070658.2 pep:known chromosome:GRCm38:7:103660295:103661248:-1 gene:ENSMUSG00000099687.1 transcript:ENSMUST00000068531.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr623 description:olfactory receptor 623 [Source:MGI Symbol;Acc:MGI:3030457] MPVIWLNTSTYPFLLTGFPGLEKSHHLISLPLLMAYIFILLGNGTLLFLIKDDHNLHEPM YYFLGMLAATDLGVTLTTMPTVLGVLWFNHREIGHGACFSQAYFIHSFSIVESGVLLAMA YDRFIAIRNPLRYTTILTDIKVIKIGIGVVMRAGLSIMPIIIRLNWFPYCRSHVLSHAFC LHQDVIKLACADITFNRLYPIVVVFCMGLLDFLIICFSYILILKTVMGIASTDERAKALN TCVSHICCILVFYVTVVGLTFIHRFGKNIPHIVHITMSYICFLFPPFMNPVIFSIKTKQI QSGLLRLFSLPYSRTRL >ENSMUSP00000090355.5 pep:known chromosome:GRCm38:11:100545607:100571963:1 gene:ENSMUSG00000006784.14 transcript:ENSMUST00000092684.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc25 description:tetratricopeptide repeat domain 25 [Source:MGI Symbol;Acc:MGI:1921657] MSDGDILRSTFPSYMAEGERLYLCGEFTKAIQSFTNALHLQSGDKNCLVARSKCYLKMGD LEKSLNDAEASLRNDPTFCKGILQKAETLYTMGDFEFALVFYHRGYKLRPDREFKVGIQK AQEAINNSVGSPSSIKLENKGDLSFLSKQAESKKAQQKHLPIKQLSYSTKHEIKRKGSLK SEKTVRQLLGELYVDKEYLEKLLLDEDLIKGTIKSGLTVEDLIMTGINYLDTRSNFWRQQ KPIYARERDRKLMQEKWLRDRKRSPSQTAHYILKSLEDIDMLLTSGSADGSLQKAEKVLK KVLEWNQEEVPNKDELVGNLYSCIGNAQIELGQMVAALQSHRKDLEIAKEHDLPDAKSRA LDNIGRVFARVGKFQQAIDTWEEKIPLAKTTLEKTWLFHEIGRCYLELDQAWQAQSYGEK SQQYAEEEGDLEWQLNASVLVAQAQVKLRDFESAVNNFEKALERAKLVHNNEAQQAIISA LDDANKGIIEELKKTNYREILREKAERQDIMSQMDLQGASEKEPLRGREEQERVVKQWER DQESEREATDDEQDRKSSGGLSKKLLGDGHSSNLGIRRESREIYRRLSDYSSHLPSEDGS QKQEKKQAEAAKGEVQKLEKTKEE >ENSMUSP00000006976.7 pep:known chromosome:GRCm38:11:100545694:100572568:1 gene:ENSMUSG00000006784.14 transcript:ENSMUST00000006976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc25 description:tetratricopeptide repeat domain 25 [Source:MGI Symbol;Acc:MGI:1921657] MSDGDILRSTFPSYMAEGERLYLCGEFTKAIQSFTNALHLQSGDKNCLVARSKCYLKMGD LEKSLNDAEASLRNDPTFCKGILQKAETLYTMGDFEFALVFYHRGYKLRPDREFKVGIQK AQEAINNSVGSPSSIKLENKGDLSFLSKQAESKKAQQKHLPIKQLSYSTKHEIKRKGSLK SEKTVRQLLGELYVDKEYLEKLLLDEDLIKGTIKSGLTVEDLIMTGINYLDTRSNFWRQQ KPIYARERDRKLMQEKWLRDRKRSPSQTAHYILKSLEDIDMLLTSGSADGSLQKAEKVLK KVLEWNQEEVPNKDELVGNLYSCIGNAQIELGQMVAALQSHRKDLEIAKEHDLPDAKSRA LDNIGRVFARVGKFQQAIDTWEEKIPLAKTTLEKTWLFHEIGRCYLELDQAWQAQSYGEK SQQYAEEEGDLEWQLNASVLVAQAQVKLRDFESAVNNFEKALERAKLVHNNEAQQAIISR TSCHRWICREHLRRSRCEGERSRRGW >ENSMUSP00000108940.2 pep:known chromosome:GRCm38:5:87554645:87569027:-1 gene:ENSMUSG00000029273.10 transcript:ENSMUST00000113314.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1d1 description:sulfotransferase family 1D, member 1 [Source:MGI Symbol;Acc:MGI:1926341] MDNKLDVFRRELVDVEGIPLFWSIAEHWSQVESFEARPDDILISTYPKSGTTWVSEILDL IYNNGDAEKCKRDAIYKRVPFMELIIPGITNGVEMLNNMPSPRIVKTHLPVQLLPSSFWK NDCKIIYVARNAKDVVVSYYYFYQMAKIHPEPGTWEEFLEKFMAGQVSFGPWYDHVKSWW EKRKEYRILYLFYEDMKENPKCEIQKILKFLEKDIPEEILNKILYHSSFSVMKENPSANY TTMMKEEMDHSVSPFMRKGISGDWKNQFTVAQYEKFEEDYVKKMEDSTLKFRSEI >ENSMUSP00000127181.1 pep:known chromosome:GRCm38:14:43602627:43608065:-1 gene:ENSMUSG00000095528.7 transcript:ENSMUST00000163970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10375 description:predicted gene 10375 [Source:MGI Symbol;Acc:MGI:3704433] MGSQAGSFRKASPQTPNIDENEKRIKRLEKLKRNLQNIKNERDILQGILAKYKDLNDRTN FETFMLEMQNNQMMTDLKRMSQDISEALYKCQHLTKENQLYCCRNCHLLIESNLIQHKVR MLWKENRQLLRKQIALEECNIKTKILCKEGSQKIKDEYSRQAAGKQIIVVPGSKEEAVMS NPCA >ENSMUSP00000098257.3 pep:known chromosome:GRCm38:14:43602627:43608013:-1 gene:ENSMUSG00000095528.7 transcript:ENSMUST00000100692.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10375 description:predicted gene 10375 [Source:MGI Symbol;Acc:MGI:3704433] MGSQAGSFRKASPQTPNIDENEKRIKRLEKLKRNLQNIKNERDILQGILAKYKDLNDRTN FETFMLEMQNNQMMTDLKRMSQDISEALYKCQHLTKENQLYCCRNCHLLIESNLIQHKVR MLWKENRQLLRKQIALEECNIKTKILCKEGSQKIKDEYSRKTNNCGTWK >ENSMUSP00000113309.1 pep:known chromosome:GRCm38:5:123252102:123327484:1 gene:ENSMUSG00000029442.18 transcript:ENSMUST00000121964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr66 description:WD repeat domain 66 [Source:MGI Symbol;Acc:MGI:1918495] MSDTEENPLEESRESGEMEEEKEEKKEEEEEEEEGEKEKEEEEEEEKGKEEEKEEEKEEG KEEEKKEEEEEKGKKEEEEEEEEEGKGKEEEKEEEKEEEEKEEEKEKEEEEEEEGKGKEE EEEGKEEEEEEKEEEEKEEEKEEEEEEEEEKEEYNPSFEEDALQQSLTEGSLSWEESQED GEGLEEWVEKEEQREGEEVRGEEESEVRGREEEEGWEEEKSGEEEKSEESERSKERGGEE EGQEKEEAEHEGEREEGREEEEKEKSVEREEEEEEEDTETTETKAGRAKEEKKEKQNKTA LVDNIVISHEPPRSSSSLADSPEVSQIYKDNSMKVDDTEEASQKPEDILAQGKDEARLSL EERRKLFQSKGLSAEESLVSVSTEDTLFQKEEDSKVYPLSMTWSFGWNSSLPVYYMREDR RVILYTCAHTAIMYDVVRNTQYHLQGHPNIISCLCVSEDRRWIATADEGPDCLIIIWDSF TGIPVHTIFDSCPEGNGMRSIAITRDSKFLATISDSATQKVCIWKWTLAVETPACTLELP KEYGFQDNLVFNPANNKELVSNSKTQAIYYCWFEDKGILAHSAPVLTEKTFNKLVGKFSQ SVFHLKLPQVLSATKEGKLVVWDIHYPSSTSSSAISAFPFIKPRKLVHLQKEAITVLMTI DSYIVTGDIKGNIKFYDHTLSVVNWYSNFKLGAIRTLSFSKTIPSLPTEKSNLPTDCTLR GDLFVVRNFIIGTFDATVYHMTVDGTKLEKLFVEPRDAVYAVSCHPYQPLIAVGSVCGMI KVWDFEKKVYLFSRTFEKGLGVQCLTYNPEGALLGAGFTEGTVYILDAMSLENESPEPFK YSKSSVSHCCFSHDSNYMATADVNFTVAVYMVVVKNGQRVWEYLARLRSHQNSIQSLLFG VHLDSNEPRLLSLGKDRFLIEYNLVKSCKDHLDVLDVHRTDQGNYPTCMIWYPPLTKELF LLICNSGYKVKLFNATTKMCRKTLLGPAYGSPIEHAQVLPVKSTLELQKRYLVFINKDKV GLQILPVDGNPHKTCAIVCHPNGVAGMALSYDGRFAFTAGGQDRSVVQWKINLGALEAAV SLGGEDLTPFYGLVSGGREGKFYRELEDYFYYSQIRSQGIDTMETRQVSEHICLSELPFV MRAIGFYPSEEKIEDMFNEIKFSEYVETGKLIDKINLPDFLKVYLNHRPPFGNTMDGIQN SFNVLGYTNSEGKKAIRREDFLNLLLTKGEHMTEEEMIDCFSTLFGLTPEGWKSEPAATC INGPEICLQKELPEEITAEIFTTEILGLTLSDSSEQLIQ >ENSMUSP00000118944.1 pep:known chromosome:GRCm38:5:123290009:123326794:1 gene:ENSMUSG00000029442.18 transcript:ENSMUST00000150155.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr66 description:WD repeat domain 66 [Source:MGI Symbol;Acc:MGI:1918495] XICNSGYKVKLFNATTKMCRSCLSICI >ENSMUSP00000069782.4 pep:known chromosome:GRCm38:5:123293901:123326630:1 gene:ENSMUSG00000029442.18 transcript:ENSMUST00000069311.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr66 description:WD repeat domain 66 [Source:MGI Symbol;Acc:MGI:1918495] MQLNYAVKTLLGPAYGSPIEHAQVLPVKSTLELQKRYLVFINKDKVGLQILPVDGNPHKT CAIVCHPNGVAGMALSYDGRFAFTAGGQDRSVVQWKINLGALEAAVSLGGEDLTPFYGLV SGGREGKFYRELEDYFYYSQIRSQGIDTMETRQVSEHICLSELPFVMRAIGFYPSEEKIE DMFNEIKFSEYVETGKLIDKINLPDFLKVYLNHRPPFGNTMDGIQNSFNVLGYTNSEGKK AIRREDFLNLLLTKGEHMTEEEMIDCFSTLFGLTPEGWKSEPAATCINGPEICLQKELPE EITAEIFTTEILGLTLSDSSEQLIQ >ENSMUSP00000126995.1 pep:known chromosome:GRCm38:5:123271595:123297777:1 gene:ENSMUSG00000029442.18 transcript:ENSMUST00000163092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr66 description:WD repeat domain 66 [Source:MGI Symbol;Acc:MGI:1918495] MRSIAITRDSKFLATISDSATQKVCIWKWTLAVETPACTLELPKEYGFQDNLVFNPANNK ELVSNSKTQAIYYCWFEDKGILAHSAPVLTEKTFNKLVGKFSQSVFHLKLPQVLSATKEG KLVVWDIHYPSSTSSSAISAFPFIKPRKLVHLQKEAITVLMTIDSYIVTGDIKGNIKFYD HTLSVVNWYSNFKLGAIRTLSFSKTIPSLPTEKSNLPTDCTLRGDLFVVRNFIIGTFDAT VYHMTVDGTKLEKLFVEPRDAVYAVSCHPYQPLIAVGSVCGMIKVWDFEKKVYLFSRTFE KGLGVQCLTYNPEGALLGAGFTEGTVYILDAMSLENESPEPFKYSKSSVSHCCFSHDSNY MATADVNFTVAVYMVVVKNGQRVWEYLARLRSHQNSIQSLLFGVHLDSNEPRLLSLGKDR FLIEYNLVKSCKDHLDVLDVHRTDQGNYPTCMIWYPPLQGTLPAHLQQRLQSEALQCHHE DVQKDAPWTGVWVAY >ENSMUSP00000129769.1 pep:known chromosome:GRCm38:5:123271595:123293814:1 gene:ENSMUSG00000029442.18 transcript:ENSMUST00000170536.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr66 description:WD repeat domain 66 [Source:MGI Symbol;Acc:MGI:1918495] MGHKYSVAQTDHSVGLAGFSLPGKPGIPLQGPPLSVRFFFFFRIPVHTIFDSCPEGNGMR SIAITRDSKFLATISDSATQKVCIWKWTLAVETPACTLELPKEYGFQDNLVFNPANNKEL VSNSKTQAIYYCWFEDKGILAHSAPVLTEKTFNKLVGKFSQSVFHLKLPQVLSATKEGKL VVWDIHYPSSTSSSAISAFPFIKPRKLVHLQKEAITVLMTIDSYIVTGDIKGNIKFYDHT LSVVNWYSNFKLGAIRTLSFSKTIPSLPTEKSNLPTDCTLRGDLFVVRNFIIGTFDATVY HMTVDGTKLEKLFVEPRDAVYAVSCHPYQPLIAVGSVCGMIKVWDFEKKVYLFSRTFEKG LGVQCLTYNPEGALLGAGFTEGTVYILDAMSLENESPEPFKYSKSSVSHCCFSHDSNYMA TADVNFTVAVYMVVVKNGQRVWEYLARLRSHQNSIQSLLFGVHLDSNEPRLLSLGKDRFL IEYNLVKSCKDHLDVLDVHRTDQGNYPTCMIWYPPLTKELFLLICNSGYKVKLFNATTKM CRSCLSICI >ENSMUSP00000049938.2 pep:known chromosome:GRCm38:7:103670103:103671029:-1 gene:ENSMUSG00000045780.2 transcript:ENSMUST00000062144.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr624 description:olfactory receptor 624 [Source:MGI Symbol;Acc:MGI:3030458] MSNLSTSRFVLTGFPGLEVYYFFAIPFSTIYAMVFLGNCMILHVIRTESSLHQPMFYFLA MLALTDLCMGLSTVHTVLGILWGFLQEISLDACIAQSYFIHGLSFMESSVLLAMSFDRYI AICNPLRYSSILTNDRILKIGVAILCRSSMLIPPVIIRLKFLNYCRPHFLSHSFCLHQDL IRMACSDIRFNSFYALSLVICTLLLDAVLILASYVMILHTVLSIASREERIKSLQTCVSH ISAVLVFYIPIIGLTMVHRFGKHLSPLVQVLMGNIYILFPPLMNPIIYSIKTQQIRVRIQ RLFSLNGI >ENSMUSP00000109548.1 pep:known chromosome:GRCm38:X:94235811:94277724:1 gene:ENSMUSG00000043929.16 transcript:ENSMUST00000113915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl15 description:kelch-like 15 [Source:MGI Symbol;Acc:MGI:1923400] MAGDVEGFCSSIHDTSVSAGFRALYEEGLLLDVTLVIEDHQFQAHKALLATQSDYFRIMF TADMRERDQDKIHLKGLTATGFSHVLQFMYYGTIELSMNTVHEILQAAMYVQLIEVVKFC CSFLLAKICLENCAEIMRLLDDFGVNIEGVREKLDAFLLDNFVPLMSRPDFLSYLSFEKL MSYLDNDHLSRFPEIELYEAVQSWLRHDRRRWRHTDTIIQNIRFCLMTPSSVFEKVKTSE FYRYSRQLRYEVDQALNYFQNVHQQPLLDMKSSRIRSAKPQTTVFRGMIGHSMVNSKILL LKKPRVWWELEGPQVPLRPDCLAIVNNFVFLLGGEELGPDGEFHASSKVFRYDPRQNSWL RMADMSVPRSEFAVGVIGKFIYAVAGRTRDETFYSTERYDITNDKWEFVDPYPVNKYGHE GTVLNNKLFITGGITSSSTSKQVCVFDPSKEGTIEQRTRRTQVVTNCWENKSKMNYARCF HKMISYNGKLYVFGGVCVILRASFESQGCPSTEVYNPDTDQWTILASMPIGRSGHGVTVL DKQIMVLGGLCYNGHYSDSILTFDPDENKWKEDEYPRMPCKLDGLQVCNLHFPDYVLDEV RRCN >ENSMUSP00000109544.2 pep:known chromosome:GRCm38:X:94234990:94254067:1 gene:ENSMUSG00000043929.16 transcript:ENSMUST00000113911.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl15 description:kelch-like 15 [Source:MGI Symbol;Acc:MGI:1923400] MAGDVEGFCSSIHDTSVSAGFRALYEEGLLLDVTLVIEDHQFQAHKALLATQSDYFRIMF TADMRERDQDKIHLKGLTATGFSHVLQFMYYGTIELSMNTVHEILQAAMYVQLIEVVKFC CSFLLAKICLENCAEIMRLLDDFGVNIEGVREKLDAFLLDNFVPLMSRPDFLSYLSFEKL MSYLDNDHLSRFPEIELYEAVQSWLRHDRRRWRHTDTIIQNIRFCLMTPSSVFEKTS >ENSMUSP00000109541.1 pep:known chromosome:GRCm38:X:94234927:94254063:1 gene:ENSMUSG00000043929.16 transcript:ENSMUST00000113908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl15 description:kelch-like 15 [Source:MGI Symbol;Acc:MGI:1923400] MAGDVEGFCSSIHDTSVSAGFRALYEEGLLLDVTLVIEDHQFQAHKALLATQSDYFRIMF TADMRERDQDKIHLKGLTATGFSHVLQFMYYGTIELSMNTVHEILQAAMYVQLIEVVKFC CSFLLAKICLENCAEIMRLLDDFGVNIEGVREKLDAFLLDNFVPLMSRPDFLSYLSFEKL MSYLDNDHLSRFPEIELYEAVQSWLRHDRRRWRHTDTIIQNIRFCLMTPSSVFEKTS >ENSMUSP00000094097.3 pep:known chromosome:GRCm38:X:94234987:94255968:1 gene:ENSMUSG00000043929.16 transcript:ENSMUST00000096369.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl15 description:kelch-like 15 [Source:MGI Symbol;Acc:MGI:1923400] MAGDVEGFCSSIHDTSVSAGFRALYEEGLLLDVTLVIEDHQFQAHKALLATQSDYFRIMF TADMRERDQDKIHLKGLTATGFSHVLQFMYYGTIELSMNTVHEILQAAMYVQLIEVVKFC CSFLLAKICLENCAEIMRLLDDFGVNIEGVREKLDAFLLDNFVPLMSRPDFLSYLSFEKL MSYLDNDHLSRFPEIELYEAVQSWLRHDRRRWRHTDTIIQNIRFCLMTPSSVFEKVGTFE SDRQDSSI >ENSMUSP00000120863.1 pep:known chromosome:GRCm38:X:94234604:94252740:1 gene:ENSMUSG00000043929.16 transcript:ENSMUST00000153900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl15 description:kelch-like 15 [Source:MGI Symbol;Acc:MGI:1923400] MAGDVEGFCSSIHDTSVSAGFRALYEEGLLLDVTLVIEDHQFQAHKALLATQSDYFRIMF TADMRERDQDKIHLKGLTATGFSHVLQFMYYGTIELSMNTVHEILQ >ENSMUSP00000109549.3 pep:known chromosome:GRCm38:X:94234930:94273515:1 gene:ENSMUSG00000043929.16 transcript:ENSMUST00000113916.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl15 description:kelch-like 15 [Source:MGI Symbol;Acc:MGI:1923400] MAGDVEGFCSSIHDTSVSAGFRALYEEGLLLDVTLVIEDHQFQAHKALLATQSDYFRIMF TADMRERDQDKIHLKGLTATGFSHVLQFMYYGTIELSMNTVHEILQAAMYVQLIEVVKFC CSFLLAKICLENCAEIMRLLDDFGVNIEGVREKLDAFLLDNFVPLMSRPDFLSYLSFEKL MSYLDNDHLSRFPEIELYEAVQSWLRHDRRRWRHTDTIIQNIRFCLMTPSSVFEKVKTSE FYRYSRQLRYEVDQALNYFQNVHQQPLLDMKSSRIRSAKPQTTVFRGMIGHSMVNSKILL LKKPRVWWELEGPQVPLRPDCLAIVNNFVFLLGGEELGPDGEFHASSKVFRYDPRQNSWL RMADMSVPRSEFAVGVIGKFIYAVAGRTRDETFYSTERYDITNDKWEFVDPYPVNKYGHE GTVLNNKLFITGGITSSSTSKQVCVFDPSKEGTIEQRTRRTQVVTNCWENKSKMNYARCF HKMISYNGKLYVFGGVCVILRASFESQGCPSTEVYNPDTDQWTILASMPIGRSGHGVTVL DKQIMVLGGLCYNGHYSDSILTFDPDENKWKEDEYPRMPCKLDGLQVCNLHFPDYVLDEV RRCN >ENSMUSP00000129734.1 pep:known chromosome:GRCm38:X:94235311:94273509:1 gene:ENSMUSG00000043929.16 transcript:ENSMUST00000170594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl15 description:kelch-like 15 [Source:MGI Symbol;Acc:MGI:1923400] MAGDVEGFCSSIHDTSVSAGFRALYEEGLLLDVTLVIEDHQFQAHKALLATQSDYFRIMF TADMRERDQDKIHLKGLTATGFSHVLQFMYYGTIELSMNTVHEILQAAMYVQLIEVVKFC CSFLLAKICLENCAEIMRLLDDFGVNIEGVREKLDAFLLDNFVPLMSRPDFLSYLSFEKL MSYLDNDHLSRFPEIELYEAVQSWLRHDRRRWRHTDTIIQNIRFCLMTPSSVFEKVKTSE FYRYSRQLRYEVDQALNYFQNVHQQPLLDMKSSRIRSAKPQTTVFRGMIGHSMVNSKILL LKKPRVWWELEGPQVPLRPDCLAIVNNFVFLLGGEELGPDGEFHASSKVFRYDPRQNSWL RMADMSVPRSEFAVGVIGKFIYAVAGRTRDETFYSTERYDITNDKWEFVDPYPVNKYGHE GTVLNNKLFITGGITSSSTSKQVCVFDPSKEGTIEQRTRRTQVVTNCWENKSKMNYARCF HKMISYNGKLYVFGGVCVILRASFESQGCPSTEVYNPDTDQWTILASMPIGRSGHGVTVL DKQIMVLGGLCYNGHYSDSILTFDPDENKWKEDEYPRMPCKLDGLQVCNLHFPDYVLDEV RRCN >ENSMUSP00000037257.8 pep:known chromosome:GRCm38:1:120340582:120343174:1 gene:ENSMUSG00000036907.9 transcript:ENSMUST00000037286.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1ql2 description:complement component 1, q subcomponent-like 2 [Source:MGI Symbol;Acc:MGI:3032521] MALGLLIAVPLLLQAAPPGAAHYEMLGTCRMICDPYSVAPAGGPAGAKAPPPGPSTAALE VMQDLSANPPPPFIQGPKGDPGRPGKPGPRGPPGEPGPPGPRGPPGEKGDSGRPGLPGLQ LTTSAAGGVGVVSGGTGGGGDTEGEVTSALSAAFSGPKIAFYVGLKSPHEGYEVLKFDDV VTNLGNHYDPTTGKFSCQVRGIYFFTYHILMRGGDGTSMWADLCKNGQVRASAIAQDADQ NYDYASNSVVLHLDSGDEVYVKLDGGKAHGGNNNKYSTFSGFLLYPD >ENSMUSP00000022075.4 pep:known chromosome:GRCm38:13:75089826:75132498:1 gene:ENSMUSG00000021587.4 transcript:ENSMUST00000022075.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk1 description:proprotein convertase subtilisin/kexin type 1 [Source:MGI Symbol;Acc:MGI:97511] MEQRGWTLQCTAFAFFCVWCALNSVKAKRQFVNEWAAEIPGGQEAASAIAEELGYDLLGQ IGSLENHYLFKHKSHPRRSRRSALHITKRLSDDDRVTWAEQQYEKERSKRSVQKDSALDL FNDPMWNQQWYLQDTRMTAALPKLDLHVIPVWEKGITGKGVVITVLDDGLEWNHTDIYAN YDPEASYDFNDNDHDPFPRYDLTNENKHGTRCAGEIAMQANNHKCGVGVAYNSKVGGIRM LDGIVTDAIEASSIGFNPGHVDIYSASWGPNDDGKTVEGPGRLAQKAFEYGVKQGRQGKG SIFVWASGNGGRQGDNCDCDGYTDSIYTISISSASQQGLSPWYAEKCSSTLATSYSSGDY TDQRITSADLHNDCTETHTGTSASAPLAAGIFALALEANPNLTWRDMQHLVVWTSEYDPL ASNPGWKKNGAGLMVNSRFGFGLLNAKALVDLADPRTWRNVPEKKECVVKDNNFEPRALK ANGEVIVEIPTRACEGQENAIKSLEHVQFEATIEYSRRGDLHVTLTSAVGTSTVLLAERE RDTSPNGFKNWDFMSVHTWGENPVGTWTLKITDMSGRMQNEGRIVNWKLILHGTSSQPEH MKQPRVYTSYNTVQNDRRGVEKMVNVVEKRPTQKSLNGNLLVPKNSSSSNVEGRRDEQVQ GTPSKAMLRLLQSAFSKNALSKQSPKKSPSAKLSIPYESFYEALEKLNKPSKLEGSEDSL YSDYVDVFYNTKPYKHRDDRLLQALMDILNEEN >ENSMUSP00000107803.2 pep:known chromosome:GRCm38:5:114727407:114773495:-1 gene:ENSMUSG00000041890.17 transcript:ENSMUST00000112185.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git2 description:G protein-coupled receptor kinase-interactor 2 [Source:MGI Symbol;Acc:MGI:1347053] MSKRLRSSDVCADCNGPDPSWASVNRGTFICDECCSVHRSLGRHISQVRHLKHTAWPPTL LQMVETLYNNGANSIWEHSLLDPASIMSGRRKANPQDKVHPNKAEFIRAKYQMLAFVHRL PCREDDSVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGSTPLHVASKAGQI LQAELLAVYGADPGTQDSSGKTPVDYARQGGHHELAERLIEIQYELTDRLAFYLCGRKPD HKSGQHFLIPQRADSLDLSELAKAAKKKLQSLSNHLFEELAMDVYDEVDRRETDAVWLAT QNHSTLVTETTVVPFLPVNPEYSSTRNQGRQKLARFNAHEFATLVIDILSDAKRRQQGSP LSRSKDNVELILRTVSTQHSTESQDNDQPDYDSVASDEDTDVETRASKANRQKLQTLQSE NSSLRRQATASACQVQTGSDHKDTASHSSLKRRPSARGSRPMSMYETGSGQKPYLPMGEA SHPEESRTRLQPFPTHIGRSALVTSSSSLPSFPSTLSWSRDESARRASRLEKQNSTPESD YDNTACDPEPDDTGSTRKGRQRSMLWQGDGLLPDTAEPHSVPSPTLPSTEDVIRKTEQIT KNIQELLRAAQENKHDSYIPCSERIHVAVTEMAALFPKKPKSDTVRTSLRLLTSSAYRLQ SECRKALPGDSSLPTDVQLVTQQVIQCAYDIAKAAKQLVTITTKENSS >ENSMUSP00000115988.1 pep:known chromosome:GRCm38:5:114727411:114743868:-1 gene:ENSMUSG00000041890.17 transcript:ENSMUST00000146044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git2 description:G protein-coupled receptor kinase-interactor 2 [Source:MGI Symbol;Acc:MGI:1347053] DLSDGPVTVQEFMEVKSALVASEAKRQQLMKVNNNLSDELRIMQKKLQTLQSENSSLRRQ ATASACQVQTGSDHKDTASHSSLKRRPSARGSRPMSMYETGSGQKPYLPMGEASHPEESR TRLQPFPTHASRLEKQNSTPESDYDNTACDPEPDDTGSTRKGRQRSMLWQGDGLLPDTAE PHSVPSPTLPSTEDVIRKTEQITKNIQELLRAAQENKHDSYIPCSERIHVAVTEMAALFP KKPKSDTVRTSLRLLTSSAYRLQSECRKALPGDSSLPTDVQLVTQQVIQCAYDIAKAAKQ LVTITTKENSS >ENSMUSP00000107801.1 pep:known chromosome:GRCm38:5:114727411:114773434:-1 gene:ENSMUSG00000041890.17 transcript:ENSMUST00000112183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git2 description:G protein-coupled receptor kinase-interactor 2 [Source:MGI Symbol;Acc:MGI:1347053] MSKRLRSSDVCADCNGPDPSWASVNRGTFICDECCSVHRSLGRHISQVRHLKHTAWPPTL LQMVETLYNNGANSIWEHSLLDPASIMSGRRKANPQDKVHPNKAEFIRAKYQMLAFVHRL PCREDDSVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGSTPLHVASKAGQI LQAELLAVYGADPGTQDSSGKTPVDYARQGGHHELAERLIEIQYELTDRLAFYLCGRKPD HKSGQHFLIPQRADRRLSLDLSELAKAAKKKLQSLSNHLFEELAMDVYDEVDRRETDAVW LATQNHSTLVTETTVVPFLPVNPEYSSTRNQGRQKLARFNAHEFATLVIDILSDAKRRQQ GSPLSRSKDNVELILRTVSTQHSTESQDNDQPDYDSVASDEDTDVETRASKANRQKLQTL QSENSSLRRQATASACQVQTGSDHKDTASHSSLKRRPSARGSRPMSMYETGSGQKPYLPM GEASHPEESRTRLQPFPTHASRLEKQNSTPESDYDNTACDPEPDDTGSTRKGRQRSMLWQ GDGLLPDTAEPHSVPSPTLPSTEDVIRKTEQITKNIQELLRAAQENKHDSYIPCSERIHV AVTEMAALFPKKPKSDTVRTSLRLLTSSAYRLQSECRKALPGDSSLPTDVQLVTQQVIQC AYDIAKAAKQLVTITTKENSS >ENSMUSP00000039718.7 pep:known chromosome:GRCm38:5:114727411:114773492:-1 gene:ENSMUSG00000041890.17 transcript:ENSMUST00000043283.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git2 description:G protein-coupled receptor kinase-interactor 2 [Source:MGI Symbol;Acc:MGI:1347053] MSKRLRSSDVCADCNGPDPSWASVNRGTFICDECCSVHRSLGRHISQVRHLKHTAWPPTL LQMVETLYNNGANSIWEHSLLDPASIMSGRRKANPQDKVHPNKAEFIRAKYQMLAFVHRL PCREDDSVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGSTPLHVASKAGQI LQAELLAVYGADPGTQDSSGKTPVDYARQGGHHELAERLIEIQYELTDRLAFYLCGRKPD HKSGQHFLIPQRADSSLDLSELAKAAKKKLQSLSNHLFEELAMDVYDEVDRRETDAVWLA TQNHSTLVTETTVVPFLPVNPEYSSTRNQGRQKLARFNAHEFATLVIDILSDAKRRQQGS PLSRSKDNVELILRTVSTQHSTESQDNDQPDYDSVASDEDTDVETRASKANRQKLQTLQS ENSSLRRQATASACQVQTGSDHKDTASHSSLKRRPSARGSRPMSMYETGSGQKPYLPMGE ASHPEESRTRLQPFPTHASRLEKQNSTPESDYDNTACDPEPDDTGSTRKGRQRSMLWQGD GLLPDTAEPHSVPSPTLPSTEDVIRKTEQITKNIQELLRAAQENKHDSYIPCSERIHVAV TEMAALFPKKPKSDTVRTSLRLLTSSAYRLQSECRKALPGDSSLPTDVQLVTQQVIQCAY DIAKAAKQLVTITTKENSS >ENSMUSP00000083754.4 pep:known chromosome:GRCm38:5:114729575:114773462:-1 gene:ENSMUSG00000041890.17 transcript:ENSMUST00000086564.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git2 description:G protein-coupled receptor kinase-interactor 2 [Source:MGI Symbol;Acc:MGI:1347053] MSKRLRSSDVCADCNGPDPSWASVNRGTFICDECCSVHRSLGRHISQVRHLKHTAWPPTL LQMVETLYNNGANSIWEHSLLDPASIMSGRRKANPQDKVHPNKAEFIRAKYQMLAFVHRL PCREDDSVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGSTPLHVASKAGQI LQAELLAVYGADPGTQDSSGKTPVDYARQGGHHELAERLIEIQYELTDRLAFYLCGRKPD HKSGQHFLIPQRADSSLDLSELAKAAKKKLQSLSNHLFEELAMDVYDEVDRRETDAVWLA TQNHSTLVTETTVVPFLPVNPEYSSTRNQGRQKLARFNAHEFATLVIDILSDAKRRQQGS PLSRSKDNVELILRTVSTQHSTESQDNDQPDYDSVASDEDTDVETRASKANRQKSLDSDL SDGPVTVQEFMEVKSALVASEAKRQQLMKVNNNLSDELRIMQKKLQTLQSENSSLRRQAT ASACQVQTGSDHKDTASHSSLKRRPSARGSRPMSMYETGSGQKPYLPMGEASHPEESRTR LQPFPTHIGRSALVTSSSSLPSFPSTLSWSRDESARRASRLEKQNSTPESDYDNTACDPE PDDTGSTRKGRQRSMLWQGDGLLPDTAEPHSVPSPTLPSTEDVIRKTEQITKNIQELLRA AQENKHDSYIPCSERIHVAVTEMAALFPKKPKSDTVRTSLRLLTSSAYRLQSECRKALPG DSSLPTDVQLVTQQVIQCAYDIAKAAKQLVTITTKENSS >ENSMUSP00000122302.2 pep:known chromosome:GRCm38:5:114729870:114773495:-1 gene:ENSMUSG00000041890.17 transcript:ENSMUST00000155908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git2 description:G protein-coupled receptor kinase-interactor 2 [Source:MGI Symbol;Acc:MGI:1347053] MSKRLRSSDVCADCNGPDPSWASVNRGTFICDECCSVHRSLGRHISQVRHLKHTAWPPTL LQMVETLYNNGANSIWEHSLLDPASIMSGRRKANPQDKVHPNKAEFIRAKYQMLAFVHRL PCREDDSVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGSTPLHVASKAGQI LQAELLAVYGADPGTQDSSGKTPVDYARQGGHHELAERLIEIQYELTDRLAFYLCGRKPD HKSGQHFLIPQRADSSLDLSELAKAAKKKLQSLSNHLFEELAMDVYDEVDRRETDAVWLA TQNHSTLVTETTVVPFLPVNPEYSSTRNQGRQKLARFNAHEFATLVIDILSDAKRRQQGS PLSRSKDNVELILRTVSTQHSTESQDNDQPDYDSVASDEDTDVETRASKANRQKSLDSDL SDGPVTVQEFMEVKSALVASEAKRQQLMKASRLEKQNSTPESDYDNTACDPEPDDTGSTR KGRQRSMLWQGDGLLPDTAEPHSVPSPTLPSTEDVIRKTEQITKNIQELLRAAQENKHDS YIPCSERIHVAVTEMAALFPKKPKSDTVRTSLRLLTSSAYRLQSECRKALPGDSSLPTDV QLVTQQVIQCAYDIAKAAKQLVTITTKENSS >ENSMUSP00000115185.1 pep:known chromosome:GRCm38:5:114733864:114748269:-1 gene:ENSMUSG00000041890.17 transcript:ENSMUST00000130925.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git2 description:G protein-coupled receptor kinase-interactor 2 [Source:MGI Symbol;Acc:MGI:1347053] XAHEFATLVIDILSDAKRRQQGSPLSRSKDNVELILRTVSTQHSTESQDNDQPDYDSVAS DEDTDVETRASKANRQKSLDSDLSDGPVTVQEFMEVKSALVASEAKRQQLMKLQTLQSEN SSLRRQATASACQVQTGSDHKDTASHSSLKRRPSARGSRPMSMYETGSGQKPYLPMGEAS HPEESRTRLQPFPTHIGRSALVTSSSSLPSFPSTLSWSRDESARRASRLEKQNSTPESDY DNTACDPEPDDT >ENSMUSP00000118812.1 pep:known chromosome:GRCm38:5:114748207:114766523:-1 gene:ENSMUSG00000041890.17 transcript:ENSMUST00000131993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git2 description:G protein-coupled receptor kinase-interactor 2 [Source:MGI Symbol;Acc:MGI:1347053] QMLAFVHRLPCREDDSVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGSTPL HVASKAGQILQAELLAVYGADPGTQDSSGKTPVDYARQGGHHELAERLIEIQYELTDRLA FYLCGRKPDHKSGQHFLIPQRADRRLLDLSELAKAAKKKLQSLSNHLFEELAMDVYDEVD RRETDAVWLATQNHSTLVTETTVVPFLPVNPEYSSTRNQGRQKLARFNAHEFATLVIDIL SDAKRRQQG >ENSMUSP00000116722.1 pep:known chromosome:GRCm38:5:114764351:114775517:-1 gene:ENSMUSG00000041890.17 transcript:ENSMUST00000131016.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git2 description:G protein-coupled receptor kinase-interactor 2 [Source:MGI Symbol;Acc:MGI:1347053] MDQKPPAPSPVYDPSWASVNRGTFICDECCSVHRSLGRHISQVRHLKHTAWPPTLLQMVE TLYNNGANSIWEHSLLDPASIMSGRRKANPQDKVHPNKAEFIRAKYQMLAFVHRLPCRED DSVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGSTPLHVASKAGQILQAEL LAVYGADPGTQDSSGKTPVDY >ENSMUSP00000136796.1 pep:known chromosome:GRCm38:5:114727408:114773492:-1 gene:ENSMUSG00000041890.17 transcript:ENSMUST00000178440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git2 description:G protein-coupled receptor kinase-interactor 2 [Source:MGI Symbol;Acc:MGI:1347053] MSKRLRSSDVCADCNGPDPSWASVNRGTFICDECCSVHRSLGRHISQVRHLKHTAWPPTL LQMVETLYNNGANSIWEHSLLDPASIMSGRRKANPQDKVHPNKAEFIRAKYQMLAFVHRL PCREDDSVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGSTPLHVASKAGQI LQAELLAVYGADPGTQDSSGKTPVDYARQGGHHELAERLIEIQYELTDRLAFYLCGRKPD HKSGQHFLIPQRADSHSLDLSELAKAAKKKLQSLSNHLFEELAMDVYDEVDRRETDAVWL ATQNHSTLVTETTVVPFLPVNPEYSSTRNQGRQKLARFNAHEFATLVIDILSDAKRRQQG SPLSRSKDNVELILRTVSTQHSTESQDNDQPDYDSVASDEDTDVETRASKANRQKLQTLQ SENSSLRRQATASACQVQTGSDHKDTASHSSLKRRPSARGSRPMSMYETGSGQKPYLPMG EASHPEESRTRLQPFPTHASRLEKQNSTPESDYDNTACDPEPDDTGSTRKGRQRSMLWQG DGLLPDTAEPHSVPSPTLPSTEDVIRKTEQITKNIQELLRAAQENKHDSYIPCSERIHVA VTEMAALFPKKPKSDTVRTSLRLLTSSAYRLQSECRKALPGDSSLPTDVQLVTQQVIQCA YDIAKAAKQLVTITTKENSS >ENSMUSP00000139523.1 pep:known chromosome:GRCm38:Y:42550083:42582250:-1 gene:ENSMUSG00000101471.1 transcript:ENSMUST00000190453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29276 description:predicted gene 29276 [Source:MGI Symbol;Acc:MGI:5579982] MRKMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNHPAIGKDENISPQVKGHEDRGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMDVLMNLGTKN >ENSMUSP00000144906.1 pep:known chromosome:GRCm38:14:26579561:26628413:1 gene:ENSMUSG00000040818.9 transcript:ENSMUST00000203874.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd6a description:DENN/MADD domain containing 6A [Source:MGI Symbol;Acc:MGI:2442980] MALPGPAVFGPGSRGSLDEAGAEGREAAALAAAGVALEDEEEDDGRRGLLRWDGFSAWLH CVCVVGFDLELGQAVEVIYPQHSKLTDKEKTNICYLSFPDSNSGCLGDTQFCFRFRQSSG RRVSLHCLLDEFDKDLPVYLKKDPAYFYGYVYFRQVRDKTLKRGYFQKSLVLISKLPYIH FFHTVLKQIAPEYFEKNEPYLEAACNDVDRWPAPVPGKTLHLPIMGLVMKVRIPTCHDKP GTTQMVQLTQQADTHTSIILPTVHEVDLFRCFCPVFLHSQMLWELVLLGEPLVVMAPSPS ESSETVLALVNCISPLKYFSDFRPYFTIHDSEFKEYTTRTQAPPSVILGVTNPFFAKTLQ HWPHIIRIGDLKPAGEIPKQVKVKKLKNLKTLDSKPGVYTSYKPYLNRDEEIIKQLQKGI QQKRPSEAQSVILRRYFLELTQSFIIPLERYVASLMPLQKSISPWKSPPQLRQFLPEEFM KTLEKTGPQLTSGIKGDWIGLYRQFLKSPNFDGWFKTRRKEMTQKLEALHLEALCEEVRK KCISTCKCSEYKSILRGLE >ENSMUSP00000039361.7 pep:known chromosome:GRCm38:14:26579578:26634322:1 gene:ENSMUSG00000040818.9 transcript:ENSMUST00000037585.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd6a description:DENN/MADD domain containing 6A [Source:MGI Symbol;Acc:MGI:2442980] MALPGPAVFGPGSRGSLDEAGAEGREAAALAAAGVALEDEEEDDGRRGLLRWDGFSAWLH CVCVVGFDLELGQAVEVIYPQHSKLTDKEKTNICYLSFPDSNSGCLGDTQFCFRFRQSSG RRVSLHCLLDEFDKDLPVYLKKDPAYFYGYVYFRQVRDKTLKRGYFQKSLVLISKLPYIH FFHTVLKQIAPEYFEKNEPYLEAACNDVDRWPAPVPGKTLHLPIMGLVMKVRIPTCHDKP GTTQMVQLTQQADTHTSIILPTVHEVDLFRCFCPVFLHSQMLWELVLLGEPLVVMAPSPS ESSETVLALVNCISPLKYFSDFRPYFTIHDSEFKEYTTRTQAPPSVILGVTNPFFAKTLQ HWPHIIRIGDLKPAGEIPKQVKVKKLKNLKTLDSKPGVYTSYKPYLNRDEEIIKQLQKGI QQKRPSEAQSVILRRYFLELTQSFIIPLERYVASLMPLQKSISPWKSPPQLRQFLPEEFM KTLEKTGPQLTSGIKGDWIGLYRQFLKSPNFDGWFKTRRKEMTQKLEALHLEALCEEDLL LWIQKHTEVETVDLVLKLKNKLLQAGRESLPVKPDTVEKLRTHIDAIILALPDDLQGILL KTGMT >ENSMUSP00000060202.4 pep:known chromosome:GRCm38:1:167222883:167285320:-1 gene:ENSMUSG00000026558.13 transcript:ENSMUST00000053686.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uck2 description:uridine-cytidine kinase 2 [Source:MGI Symbol;Acc:MGI:1931744] MAGDSEQTLQNHQQPNGGEPFLIGVSGGTASGKSSVCAKIVQLLGQNEVDYHQKQVVILS QDSFYRVLTSEQKAKALKGQFNFDHPDAFDNELIFKTLKEITEGKTVQIPVYDFVSHSRK EETVTIYPADVVLFEGILAFYSQEVRDLFQMKLFVDTDADTRLSRRVLRDISERGRDLEQ ILSQYITFVKPAFEEFCLPTKKYADVIIPRGADNLVAINLIVQHIQDILNGGLSKRQTNG YLNGYTPSRKRQASESSSRPH >ENSMUSP00000141216.1 pep:known chromosome:GRCm38:1:167225841:167284884:-1 gene:ENSMUSG00000026558.13 transcript:ENSMUST00000192702.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uck2 description:uridine-cytidine kinase 2 [Source:MGI Symbol;Acc:MGI:1931744] MAGDSEQTLQNHQQPNGGEPFLIGVSGGTASGKSSVCAKIVQLLGQNEVDYHQKQVVILS QDSFYRVLTSEQKAKALKGQFNFDHPDAFDNELIFKTLKEITEGKTVQIPVYDFVSHSRI EGHQRKREGP >ENSMUSP00000141986.1 pep:known chromosome:GRCm38:1:167226227:167236835:-1 gene:ENSMUSG00000026558.13 transcript:ENSMUST00000195443.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uck2 description:uridine-cytidine kinase 2 [Source:MGI Symbol;Acc:MGI:1931744] XECLYSVTGGHWLWRGNIRDAFDNELIFKTLKEITEGKTVQIPVYDFVSHSRKEETVTIY PADVVLFEGILAFYSQEVRDLFQMKLFVDTDADTRLSRRVLRDISERGRDLEQILSQYIT FVKPAFEEFCLPTKKYADVIIPRGADNLVAINLIVQHIQDILNGGLSKRQTNGYLNGYTP SRKRQASESSSRPH >ENSMUSP00000027839.9 pep:known chromosome:GRCm38:1:167226332:167243158:-1 gene:ENSMUSG00000026558.13 transcript:ENSMUST00000027839.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uck2 description:uridine-cytidine kinase 2 [Source:MGI Symbol;Acc:MGI:1931744] VRGEFASAQLVTRQDDPRPQFFSSVCAKIVQLLGQNEVDYHQKQVVILSQDSFYRVLTSE QKAKALKGQFNFDHPDAFDNELIFKTLKEITEGKTVQIPVYDFVSHSRKEETVTIYPADV VLFEGILAFYSQEVRDLFQMKLFVDTDADTRLSRRVLRDISERGRDLEQILSQYITFVKP AFEEFCLPTKKYADVIIPRGADNLVAINLIVQHIQDILNGGLSKRQTNGYLNGYTPSRKR QASESSSRPH >ENSMUSP00000142172.1 pep:known chromosome:GRCm38:1:167229893:167284601:-1 gene:ENSMUSG00000026558.13 transcript:ENSMUST00000192269.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uck2 description:uridine-cytidine kinase 2 [Source:MGI Symbol;Acc:MGI:1931744] XGPGRPRVQDAGSSYKSPAGMSSVCAKIVQLLGQNEVDYHQKQVVILSQDSFYRVLTSEQ KAKALKGQFNFDHPDAFDNELIFKTLKEITEGKTVQIPVYDFVSHSRKEETVTIYPADVV LFEGILAFYSQEVRDLFQMKLFVDTDADTRLSRRVLRDISERGRDLEQILSQYITFVKPA FEEFCLP >ENSMUSP00000141787.1 pep:known chromosome:GRCm38:1:167234692:167284878:-1 gene:ENSMUSG00000026558.13 transcript:ENSMUST00000191745.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uck2 description:uridine-cytidine kinase 2 [Source:MGI Symbol;Acc:MGI:1931744] MAGDSEQTLQNHQQPNGGEPFLIGVSGGTASGKWLLVQRCSNCFQKGTRHPVPSSEPACL RQTCILPCTMLGSR >ENSMUSP00000009003.7 pep:known chromosome:GRCm38:13:17880575:17944239:-1 gene:ENSMUSG00000008859.7 transcript:ENSMUST00000009003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rala description:v-ral simian leukemia viral oncogene homolog A (ras related) [Source:MGI Symbol;Acc:MGI:1927243] MAANKPKGQNSLALHKVIMVGSGGVGKSALTLQFMYDEFVEDYEPTKADSYRKKVVLDGE EVQIDILDTAGQEDYAAIRDNYFRSGEGFLCVFSITEMESFAATADFREQILRVKEDENV PFLLVGNKSDLEDKRQVSVEEAKNRADQWNVNYVETSAKTRANVDKVFFDLMREIRARKM EDSKEKNGKKKRKSLAKRIRERCCIL >ENSMUSP00000062660.2 pep:known chromosome:GRCm38:X:136033367:136034946:1 gene:ENSMUSG00000048355.2 transcript:ENSMUST00000057625.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arxes1 description:adipocyte-related X-chromosome expressed sequence 1 [Source:MGI Symbol;Acc:MGI:1923469] MNSLLSRANSLFAFTLSVMAALTLGCILTTAFKDRSAPVRLHVSRILLKKVEDFTGPRKK SDLGFITFHISADLEKTFDWNVKQLFLYLSAEYSTKSNAVNQVVLWDKILLRGENPKLNL KDVKSKYFFFDDGHGLKGNRNVTLTLSWQVIPIAGILPLVTGSGRVSVPFPDSYEIATTF >ENSMUSP00000030799.8 pep:known chromosome:GRCm38:5:24445458:24447854:-1 gene:ENSMUSG00000028958.14 transcript:ENSMUST00000030799.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmub1 description:transmembrane and ubiquitin-like domain containing 1 [Source:MGI Symbol;Acc:MGI:1923764] MALIEGVGDEVTVLFAVLACLLVLALAWVSTHTTESTDPQPQPPGTTTPAQPSEAMSASD SIREEAPGAESPSLRHRGPSAQPEPDTGVTASTPPDSPQEPLLLRLKFLNDSEQVARAWP QDTIGSLKRTQFPGQEQQVRLIYQGQLLGDDTQTLGSLHLPPNCVLHCHVSTRVGPPHPP CPPGSEPGPSGLEIGSLLLPLLLLLLLLLWYCQIQYRPFFPLTATLGLAGFTLLLSLLAF AMYRP >ENSMUSP00000110688.1 pep:known chromosome:GRCm38:5:24445466:24447317:-1 gene:ENSMUSG00000028958.14 transcript:ENSMUST00000115036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmub1 description:transmembrane and ubiquitin-like domain containing 1 [Source:MGI Symbol;Acc:MGI:1923764] MGRDLLSTSGSSTGAMALIEGVGDEVTVLFAVLACLLVLALAWVSTHTTESTDPQPQPPG TTTPAQPSEAMSASDSIREEAPGAESPSLRHRGPSAQPEPDTGVTASTPPDSPQEPLLLR LKFLNDSEQVARAWPQDTIGSLKRTQFPGQEQQVRLIYQGQLLGDDTQTLGSLHLPPNCV LHCHVSTRVGPPHPPCPPGSEPGPSGLEIGSLLLPLLLLLLLLLWYCQIQYRPFFPLTAT LGLAGFTLLLSLLAFAMYRP >ENSMUSP00000110685.1 pep:known chromosome:GRCm38:5:24445466:24447625:-1 gene:ENSMUSG00000028958.14 transcript:ENSMUST00000115033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmub1 description:transmembrane and ubiquitin-like domain containing 1 [Source:MGI Symbol;Acc:MGI:1923764] MALIEGVGDEVTVLFAVLACLLVLALAWVSTHTTESTDPQPQPPGTTTPAQPSEAMSASD SIREEAPGAESPSLRHRGPSAQPEPDTGVTASTPPDSPQEPLLLRLKFLNDSEQVARAWP QDTIGSLKRTQFPGQEQQVRLIYQGQLLGDDTQTLGSLHLPPNCVLHCHVSTRVGPPHPP CPPGSEPGPSGLEIGSLLLPLLLLLLLLLWYCQIQYRPFFPLTATLGLAGFTLLLSLLAF AMYRP >ENSMUSP00000123173.1 pep:known chromosome:GRCm38:5:24446049:24447587:-1 gene:ENSMUSG00000028958.14 transcript:ENSMUST00000127194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmub1 description:transmembrane and ubiquitin-like domain containing 1 [Source:MGI Symbol;Acc:MGI:1923764] MALIEGVGDEVTVLFAVLACLLVLALAWVSTHTTESTDPQPQPPGTTTPAQPSEAMSASD SIREEAPGAESPSLRHRGPSAQPEPDTGVTASTPPDSPQEPLLLRLKFLNDSEQVARAWP QDTIGSLKRTQFPGQEQQVRLIYQGQLLGDDTQTLGSLHLPPNCVLHCHVSTRVGPPHPP CPPGSEPGPSGLEIGSLLLPLLLL >ENSMUSP00000122487.1 pep:known chromosome:GRCm38:5:24446553:24447791:-1 gene:ENSMUSG00000028958.14 transcript:ENSMUST00000123167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmub1 description:transmembrane and ubiquitin-like domain containing 1 [Source:MGI Symbol;Acc:MGI:1923764] MALIEGVGDEVTVLFAVLACLLVLALAWVSTHTTESTDPQPQPPGTTTPAQPSEAMSASD SIREEAPGAESPSLRHRGPSAQPEPDTGVTASTPPDSPQEPLLLRLKFLNDSEQVARAWP QD >ENSMUSP00000051268.6 pep:known chromosome:GRCm38:4:108165437:108179308:1 gene:ENSMUSG00000028601.18 transcript:ENSMUST00000052999.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echdc2 description:enoyl Coenzyme A hydratase domain containing 2 [Source:MGI Symbol;Acc:MGI:1289238] MLRVLPRALRLPCSWRFSGARDCASHATTRTPEIQVQALTGPNQGITEILMNRPNARNAL GNVFVSELLEALAQLREDQQVRVLLFRSAVKGVFCAGADLKEREQMSDVEVGTFVQRLRG LMSEIAAFPVPTIAAMDGFALGGGLELALACDLRIAASSAVMGLIETTRGLLPGAGGTQR LPRCLGVALAKELIFTGRRLNGAQARELGLVNHAVAQNEEGNAAYHRALALAQEILPQAP IAVRLGKVAIDRGMEVDIASGMAIEQMCYAQNIPTQDRLEGMAAFREKRAPKFVGK >ENSMUSP00000124746.1 pep:known chromosome:GRCm38:4:108165466:108179071:1 gene:ENSMUSG00000028601.18 transcript:ENSMUST00000130942.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Echdc2 description:enoyl Coenzyme A hydratase domain containing 2 [Source:MGI Symbol;Acc:MGI:1289238] MLRVLPRALRLPCSWRFSGARDCASHATTRTPEIQVQALTGPNQGITEILMNRPNARNAL GNVFVSELLEALAQLREDQQVRVLLFRSAVKGVFCAGGCPVTLSSSGTGMP >ENSMUSP00000123913.1 pep:known chromosome:GRCm38:4:108165488:108179306:1 gene:ENSMUSG00000028601.18 transcript:ENSMUST00000125647.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Echdc2 description:enoyl Coenzyme A hydratase domain containing 2 [Source:MGI Symbol;Acc:MGI:1289238] MLRVLPRALRLPCSWRFSGARDCASHATTRTPEIQVQALTGPNQAAGSSGPASGRPASPG PALQKCGEGSVLCRCRPEGAGADE >ENSMUSP00000112009.1 pep:known chromosome:GRCm38:4:108165518:108179068:1 gene:ENSMUSG00000028601.18 transcript:ENSMUST00000116307.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echdc2 description:enoyl Coenzyme A hydratase domain containing 2 [Source:MGI Symbol;Acc:MGI:1289238] MLRVLPRALRLPCSWRFSGARDCASHATTRTPEIQVQALTGPNQGITEILMNRPNARNAL GNVFVSELLEALAQLREDQQVRVLLFRSAVKGVFCAGADLKEREQMSDVEVGTFVQRLRG LMSEIASSAVMGLIETTRGLLPGAGGTQRLPRCLGVALAKELIFTGRRLNGAQARELGLV NHAVAQNEEGNAAYHRALALAQEILPQAPIAVRLGKVAIDRGMEVDIASGMAIEQMCYAQ NIPTQDRLEGMAAFREKRAPKFVGK >ENSMUSP00000114371.1 pep:known chromosome:GRCm38:4:108169715:108178932:1 gene:ENSMUSG00000028601.18 transcript:ENSMUST00000135718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echdc2 description:enoyl Coenzyme A hydratase domain containing 2 [Source:MGI Symbol;Acc:MGI:1289238] XITEILMNRPNARNALGNVFVSELLEALAQLREDQQVRVLLFRSAVKGVFCAGADLKERE QMSDVEVGTFVQRLRGLMSEIGGTQRLPRCLGVALAKELIFTGRRLNGAQARELGLVNHA VAQNEEGNAAYHRALALAQEILPQAPIAVRLGKVAIDRGMEVDIASGMAIEQMCYAQNIP TQDRL >ENSMUSP00000123734.1 pep:known chromosome:GRCm38:4:108172160:108179159:1 gene:ENSMUSG00000028601.18 transcript:ENSMUST00000126900.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Echdc2 description:enoyl Coenzyme A hydratase domain containing 2 [Source:MGI Symbol;Acc:MGI:1289238] LMSEIAAFPVPTIAAMDGFALGGGLELALACDLRIAGM >ENSMUSP00000102302.2 pep:known chromosome:GRCm38:4:108173810:108179088:1 gene:ENSMUSG00000028601.18 transcript:ENSMUST00000106691.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echdc2 description:enoyl Coenzyme A hydratase domain containing 2 [Source:MGI Symbol;Acc:MGI:1289238] XGLIETTRGLLPGAGGTQRLPRCLGVALAKELIFTGRRLNGAQARELGLVNHAVAQNEEG NAAYHRALALAQEILPQAPIAVRLGKVAIDRGMENIPTQDRLEGMAAFREKRAPKFVGK >ENSMUSP00000112011.3 pep:known chromosome:GRCm38:4:108165466:108179307:1 gene:ENSMUSG00000028601.18 transcript:ENSMUST00000116309.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echdc2 description:enoyl Coenzyme A hydratase domain containing 2 [Source:MGI Symbol;Acc:MGI:1289238] MLRVLPRALRLPCSWRFSGARDCASHATTRTPEIQVQALTGPNQGITEILMNRPNARNAL GNVFVSELLEALAQLREDQQVRVLLFRSAVKGVFCAGADLKEREQMSDVEVGTFVQRLRG LMSEIAAFPVPTIAAMDGFALGGGLELALACDLRIAASSAVMGLIETTRGLLPGAGGTQR LPRCLGVALAKELIFTGRRLNGAQARELGLVNHAVAQNEEGNAAYHRALALAQEILPQAP IAVRLGKVAIDRGMEVDIASGMAIEQMCYAQNIPTQDRLEGMAAFREKRAPKFVGK >ENSMUSP00000026540.8 pep:known chromosome:GRCm38:7:140093395:140097200:1 gene:ENSMUSG00000025467.8 transcript:ENSMUST00000026540.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prap1 description:proline-rich acidic protein 1 [Source:MGI Symbol;Acc:MGI:893573] MKRFLLATCLVAALLWEAGAAPAHQVPVKTKGKHVFPEQETEKVWDTRALEPLEKDNQLG PLLPEPKQKPAAAEEKRPDAMTWVETEDILSHLRSPLQGPELDLDSIDHPMSDDVQDEEV PQSRPILYRQVLQGPEEDLDHLAHSMEDS >ENSMUSP00000107576.2 pep:known chromosome:GRCm38:14:33377898:33447158:-1 gene:ENSMUSG00000021936.13 transcript:ENSMUST00000111945.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8 description:mitogen-activated protein kinase 8 [Source:MGI Symbol;Acc:MGI:1346861] MSRSKRDNNFYSVEIGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAILERNVAIKKLSRP FQNQTHAKRAYRELVLMKCVNHKNIIGLLNVFTPQKSLEEFQDVYIVMELMDANLCQVIQ MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSF MMTPYVVTRYYRAPEVILGMGYKENVDLWSVGCIMGEMVCHKILFPGRDYIDQWNKVIEQ LGTPCPEFMKKLQPTVRTYVENRPKYAGYSFEKLFPDVLFPADSEHNKLKASQARDLLSK MLVIDASKRISVDEALQHPYINVWYDPSEAEAPPPKIPDKQLDEREHTIEEWKELIYKEV MDLEERTKNGVIRGQPSPLAQVQQ >ENSMUSP00000022504.5 pep:known chromosome:GRCm38:14:33381049:33447145:-1 gene:ENSMUSG00000021936.13 transcript:ENSMUST00000022504.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8 description:mitogen-activated protein kinase 8 [Source:MGI Symbol;Acc:MGI:1346861] MSRSKRDNNFYSVEIGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAILERNVAIKKLSRP FQNQTHAKRAYRELVLMKCVNHKNIIGLLNVFTPQKSLEEFQDVYIVMELMDANLCQVIQ MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSF MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMGEMIKGGVLFPGTDHIDQWNKVIEQ LGTPCPEFMKKLQPTVRTYVENRPKYAGYSFEKLFPDVLFPADSEHNKLKASQARDLLSK MLVIDASKRISVDEALQHPYINVWYDPSEAEAPPPKIPDKQLDEREHTIEEWKELIYKEV MDLEERTKNGVIRGQPSPLGAAMINGSQHPSSSPSVNDMSSMSTDPTLASDTDSSLEASA GPLGCCR >ENSMUSP00000107575.3 pep:known chromosome:GRCm38:14:33382105:33447142:-1 gene:ENSMUSG00000021936.13 transcript:ENSMUST00000111944.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8 description:mitogen-activated protein kinase 8 [Source:MGI Symbol;Acc:MGI:1346861] MSRSKRDNNFYSVEIGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAILERNVAIKKLSRP FQNQTHAKRAYRELVLMKCVNHKNIIGLLNVFTPQKSLEEFQDVYIVMELMDANLCQVIQ MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSF MMTPYVVTRYYRAPEVILGMGYKENVDLWSVGCIMGEMVCHKILFPGRDYIDQWNKVIEQ LGTPCPEFMKKLQPTVRTYVENRPKYAGYSFEKLFPDVLFPADSEHNKLKASQARDLLSK MLVIDASKRISVDEALQHPYINVWYDPSEAEAPPPKIPDKQLDEREHTIEEWKELIYKEV MDLEERTKNGVIRGQPSPLGAAMINGSQHPSSSPSVNDMSSMSTDPTLASDTDSSLEASA GPLGCCR >ENSMUSP00000107574.1 pep:known chromosome:GRCm38:14:33382170:33410994:-1 gene:ENSMUSG00000021936.13 transcript:ENSMUST00000111943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8 description:mitogen-activated protein kinase 8 [Source:MGI Symbol;Acc:MGI:1346861] MSRSKRDNNFYSVEIGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAILERNVAIKKLSRP FQNQTHAKRAYRELVLMKCVNHKNIIGLLNVFTPQKSLEEFQDVYIVMELMDANLCQVIQ MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSF MMTPYVVTRYYRAPEVILGMGYKENVDIWSVGCIMGEMIKGGVLFPGTDHIDQWNKVIEQ LGTPCPEFMKKLQPTVRTYVENRPKYAGYSFEKLFPDVLFPADSEHNKLKASQARDLLSK MLVIDASKRISVDEALQHPYINVWYDPSEAEAPPPKIPDKQLDEREHTIEEWKELIYKEV MDLEERTKNGVIRGQPSPLAQVQQ >ENSMUSP00000107573.1 pep:known chromosome:GRCm38:14:33382308:33410977:-1 gene:ENSMUSG00000021936.13 transcript:ENSMUST00000111942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk8 description:mitogen-activated protein kinase 8 [Source:MGI Symbol;Acc:MGI:1346861] MSRSKRDNNFYSVEIGDSTFTVLKRYQNLKPIGSGAQGIVCAAYDAILERNVAIKKLSRP FQNQTHAKRAYRELVLMKCVNHKNIIGLLNVFTPQKSLEEFQDVYIVMELMDANLCQVIQ MELDHERMSYLLYQMLCGIKHLHSAGIIHRDLKPSNIVVKSDCTLKILDFGLARTAGTSF MMTPYVVTRYYRAPEVILGMGYKENADSEHNKLKASQARDLLSKMLVIDASKRISVDEAL QHPYINVWYDPSEAEAPPPKIPDKQLDEREHTIEEWKELIYKEVMDLEERTKNGVIRGQP SPLAQVQQ >ENSMUSP00000101503.2 pep:known chromosome:GRCm38:4:134275002:134287239:-1 gene:ENSMUSG00000050890.15 transcript:ENSMUST00000105877.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdik1l description:PDLIM1 interacting kinase 1 like [Source:MGI Symbol;Acc:MGI:2385213] MRGRSGGGCPEEGVLPWGGGPLASGRGQGTAAEKRVTWKCLVTGNQHRAGQNGPPGDRKP DIGESRKKLKETSTLKMVSSQPKYDLIREVGRGSYGVVYEAVIRKTSARVAVKKIRCHAP ENVELALREFWALSSIKSQHPNVIHLEECILQKDGMVQKMSHGSNSSLYLQLVETSLKGE IAFDPRSAYYLWFVMDFCDGGDMNEYLLSRKPNRKTNTSFMLQLSSALAFLHKNQIIHRD LKPDNILISQSRMDTSDLEPTLKVADFGLSKVCSASGQNPEEPVSVNKCFLSTACGTDFY MAPEVWEGHYTAKADIFALGIIIWAMLERITFIDTETKKELLGSYVKQGTEIVPVGEALL ENPKMELLIPVKKKSMNGRMKQLIKEMLAANPQDRPDAFELELRLVQIAFKDSSWET >ENSMUSP00000060381.7 pep:known chromosome:GRCm38:4:134275002:134287895:-1 gene:ENSMUSG00000050890.15 transcript:ENSMUST00000061234.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdik1l description:PDLIM1 interacting kinase 1 like [Source:MGI Symbol;Acc:MGI:2385213] MVSSQPKYDLIREVGRGSYGVVYEAVIRKTSARVAVKKIRCHAPENVELALREFWALSSI KSQHPNVIHLEECILQKDGMVQKMSHGSNSSLYLQLVETSLKGEIAFDPRSAYYLWFVMD FCDGGDMNEYLLSRKPNRKTNTSFMLQLSSALAFLHKNQIIHRDLKPDNILISQSRMDTS DLEPTLKVADFGLSKVCSASGQNPEEPVSVNKCFLSTACGTDFYMAPEVWEGHYTAKADI FALGIIIWAMLERITFIDTETKKELLGSYVKQGTEIVPVGEALLENPKMELLIPVKKKSM NGRMKQLIKEMLAANPQDRPDAFELELRLVQIAFKDSSWET >ENSMUSP00000101502.2 pep:known chromosome:GRCm38:4:134275003:134287253:-1 gene:ENSMUSG00000050890.15 transcript:ENSMUST00000105876.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdik1l description:PDLIM1 interacting kinase 1 like [Source:MGI Symbol;Acc:MGI:2385213] MVSSQPKYDLIREVGRGSYGVVYEAVIRKTSARVAVKKIRCHAPENVELALREFWALSSI KSQHPNVIHLEECILQKDGMVQKMSHGSNSSLYLQLVETSLKGEIAFDPRSAYYLWFVMD FCDGGDMNEYLLSRKPNRKTNTSFMLQLSSALAFLHKNQIIHRDLKPDNILISQSRMDTS DLEPTLKVADFGLSKVCSASGQNPEEPVSVNKCFLSTACGTDFYMAPEVWEGHYTAKADI FALGIIIWAMLERITFIDTETKKELLGSYVKQGTEIVPVGEALLENPKMELLIPVKKKSM NGRMKQLIKEMLAANPQDRPDAFELELRLVQIAFKDSSWET >ENSMUSP00000118116.1 pep:known chromosome:GRCm38:4:134278545:134287225:-1 gene:ENSMUSG00000050890.15 transcript:ENSMUST00000145006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdik1l description:PDLIM1 interacting kinase 1 like [Source:MGI Symbol;Acc:MGI:2385213] MLVETSLKGEIAFDPRSAYYLWFVMDFCDGGDMNEYLLSRKPNRKTNTSFMLQLSSALAF LHKNQIIHRDLKPDNILISQSRMDTSDLEPTLKVADFGLSKVCSASGQNPEEPVSVNKCF LSTACGTDFYMAPEVWEGHYTAKADIFALGIIIWAMLERITFIDTETKKELLGSYVKQGT EIVPVGEALLE >ENSMUSP00000117719.1 pep:known chromosome:GRCm38:4:134279361:134287250:-1 gene:ENSMUSG00000050890.15 transcript:ENSMUST00000127857.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdik1l description:PDLIM1 interacting kinase 1 like [Source:MGI Symbol;Acc:MGI:2385213] MVSSQPKYDLIREVGRGSYGVVYEAVIRKTSARVAVKKIRCHAPENVELALREFWALSSI KSQHPNVIHLEECILQKDGMVQKMSHGSNSSLYLQYIFKRFIASGGGASLKSLLLGVRGR RISVFKPTWSTEQVPGQPGLSLSKSPSQKIKQKHE >ENSMUSP00000020478.7 pep:known chromosome:GRCm38:10:82699007:82742428:1 gene:ENSMUSG00000020246.13 transcript:ENSMUST00000020478.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcfc2 description:host cell factor C2 [Source:MGI Symbol;Acc:MGI:1915183] MAAPSLLNWRRVSSFTGPVPRARHGHRAVAIRELMIIFGGGNEGIADELHVYNTVTNQWF LPAVRGDIPPGCAAHGFVCDGTRILVFGGMVEYGRYSNELYELQASRWLWKKVKPQPPPS GFPPCPRLGHSFSLYGNKCYLFGGLANESEDSNNNVPRYLNDFYELELQHGSGVVGWSIP ATKGVVPSPRESHTAIIYCKKDSASPKMYVFGGMCGARLDDLWQLDLETMSWSKPETKGT VPLPRSLHTASVIGNKMYIFGGWVPHKGENPETSPHDCEWRCTSSFSYLNLDTAEWTTLV SDSQEDKKNSRPRPRAGHCAVAIGTRLYFWSGRDGYKKALNSQVCCKDLWYLDTEKPPAP SQVQLIKATTNSFHVKWDEVPTVEGYLLQLNTDLTYQATSSDSSAAPSVLGGRMDPHRQG SNSTLHNSVSDTVNSTKTEHTAVRGTSLRSKPDSRAVDSSAALHSPLAPNTSNNSSWVTD MLRKNEVDEICALPATKISRVEVHAAATPFSKETPSNPVAILKAEQWCDVGIFKNNTALV SQFYLLPKGKQSMSKVGNADVPDYSLLKKQDLVPGTVYKFRVAAINGCGIGPLSKVSEFK TCTPGFPGAPSTVRISKNVDGIHLSWEPPTSPSGNILEYSAYLAIRTAQMQDNPSQLVFM RIYCGLKTSCTVTAGQLANAHIDYTSRPAIVFRISAKNEKGYGPATQVRWLQDQEKDVGP WF >ENSMUSP00000124472.1 pep:known chromosome:GRCm38:10:82699211:82712230:1 gene:ENSMUSG00000020246.13 transcript:ENSMUST00000162422.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hcfc2 description:host cell factor C2 [Source:MGI Symbol;Acc:MGI:1915183] XHGHRAVAIRELMIIFGGGNEGIADELHVYNTVTNQWFLPAVRGDIPPGCAAHGFVCDGT RILVFGGMVEYGRYSNELYELQASRWLWKKVKPQPPPSGFPPCPRLGHSFSLYGNKCYLF GGLANESEDSNNNVPRNYVMVQTRN >ENSMUSP00000124489.1 pep:known chromosome:GRCm38:10:82728349:82741395:1 gene:ENSMUSG00000020246.13 transcript:ENSMUST00000160681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcfc2 description:host cell factor C2 [Source:MGI Symbol;Acc:MGI:1915183] AALHSPLAPNTSNNSSWVTDMLRKNEVDEICALPATKISRVEVHAAATPFSKETPSNPVA ILKAEQWCDVGIFKNNTALVSQFYLLPKGKQSMSKVGNADVPDYSLLKKQDLVPGTVYKF RVAAINGCGIGPLSKVSEFKTCTPGFPGAPSTVRISKNVDGIHLSWEPPTSPSGNILEYS AYLAIRTAQMQDNPSQLVFMRIYCGLKTSCTVTAGQLANAHIDYTSRPAIVFRISAKNEK GYGPATQVRWLQGNSKKAPLS >ENSMUSP00000022232.5 pep:known chromosome:GRCm38:13:105082122:105121782:1 gene:ENSMUSG00000021718.5 transcript:ENSMUST00000022232.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933425L06Rik description:RIKEN cDNA 4933425L06 gene [Source:MGI Symbol;Acc:MGI:1914013] MEAPEEEPPALAPPQPAKLGEELSPQTQGNQSGDMDSYNLTILHFNDVYDVDSSTEEPVG GAARFATAVKRFSILNPLLIFSGDCLNPSILSTITKGKHMISILNELGVHFAVFGNHEFD FGVDILEEYMKQMHFTWFLSNVHDRFTSEPLGHGAVKKIVNWNNRKIGLMGLVEEDWLDT LATVNKANVNYKDYVETANELAVELRAEGADLVIAMTHMKWKNDTRLAQHAEGLDLILGG HDHEYGIKKVNETWIVKSGSDFKNLTKIDIQLFDASFQYVFEKVEILSYLEEDSYIKAIV RDFTQNIQYMLEEVLCPIDVALDGREITVRRCESNLGNLVTNAMLEATHADVALLNSGTL RYDRIHPPGNFTLHDLLAILPIVDPVLVVRATGAQLLEALENGVYKYPALDGRFPQVAGL EFGFDPDAEPGHRVIRDTVKVQGQYLQRKKVYLLAIKEYIANGKDGYSMFRACPRMFDPE TAQVLSTVVMNHFESIKILQGKKKCLSGHRMSLITTTNKSPSLTAFEEETDGPLGVIAVP GLEGRIFHISPDMKEHLHQLRAARQQGLRTFPTISDSARETSDSD >ENSMUSP00000014545.4 pep:known chromosome:GRCm38:7:46861203:46878142:1 gene:ENSMUSG00000030851.13 transcript:ENSMUST00000014545.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldhc description:lactate dehydrogenase C [Source:MGI Symbol;Acc:MGI:96764] MSTVKEQLIQNLVPEDKLSRCKITVVGVGNVGMACAISILLKGLADELALVDADTNKLRG EALDLLHGSLFLSTPKIVFGKDYNVSANSKLVIITAGARMVSGETRLDLLQRNVAIMKAI VPGIVQNSPDCKIIIVTNPVDILTYVVWKISGFPVGRVIGSGCNLDSARFRYLIGEKLGV NPTSCHGWVLGEHGDSSVPIWSGVNVAGVTLKSLNPAIGTDSDKEHWKNVHKQVVEGGYE VLNMKGYTSWAIGLSVTDLARSILKNLKRVHPVTTLVKGFHGIKEEVFLSIPCVLGQSGI TDFVKVNMTAEEEGLLKKSADTLWNMQKDLQL >ENSMUSP00000114206.1 pep:known chromosome:GRCm38:7:46861322:46875788:1 gene:ENSMUSG00000030851.13 transcript:ENSMUST00000148565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldhc description:lactate dehydrogenase C [Source:MGI Symbol;Acc:MGI:96764] MSTVKEQLIQNLVPEDKLSRCKITVVGVGNVGMACAISILLKGLADELALVDADTNKLRG EALDLLHGSLFLSTPKIVFGKDYNVSANSKLVIITAGARMVSGETRLDLLQRNVAIMKAI VPGIVQNSPDCKIIIVTNPVDILTYVVWKISGFPVGRVIGSGCNLDSARFRYLIGEKLGV NPTSCHGWVLGEHGDSSVPIWSGVNVAGVTLKSLNPAIGTDSDKEHWKNVHKQVV >ENSMUSP00000115652.1 pep:known chromosome:GRCm38:7:46861407:46876474:1 gene:ENSMUSG00000030851.13 transcript:ENSMUST00000126004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldhc description:lactate dehydrogenase C [Source:MGI Symbol;Acc:MGI:96764] MSTVKEQLIQNLVPEDKLSRCKITVVGVGNVGMACAISILLKGLADELALVDADTNKLRG EALDLLHGSLFLSTPKIVFGKDYNVSANSKLVIITAGARMVSGETRLDLLQRNVAIMKAI VPGIVQNSPDCKIIIVTNPVDILTYVVWKISGFPVGRVIGSGCNLDSARFRYLIGEKLGV NPTSCHGWVLGEHGDSSVPIWSGVNVAGVTLKSLNPAIGTDSDKEHWKNVHKQVVEG >ENSMUSP00000139398.2 pep:known chromosome:GRCm38:9:105856070:105960643:-1 gene:ENSMUSG00000091345.8 transcript:ENSMUST00000190193.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a5 description:collagen, type VI, alpha 5 [Source:MGI Symbol;Acc:MGI:3648134] MKLRLIAFVLILWTETLADQSPGPGPEYADVVFLVDSSNYLGIKSFPFVRTFLNRMISSL PIEANKYRVALAQYSDALHNEFQLGTFKNRNPMLNHLKKNFGFIGGSLKIGNALQEAHRT YFSAPTNGRDKKQFPPILVVLASAESEDDVEEAAKALREDGVKIISVGVQKASEENLKAM ATSQFHFNLRTARDLGMFAPNMTRIIKDVTQYREGTTVDLITAVAPTTPAAPATPAAPTI PAALTTAANHVDKTVPFPTSCQKDSLADLIFLVDESVGTTQNLRDLQNFLENVTSSVDVK DNCMRLGLMSFSDRAQTISSLRSSANQSEFQQQIQKLSLQTGASNVGAAIEQMRKEGFSE SSGSRKAQGVPQIAVLVTHRASDDMVREAALDLRLEGVTMFAMGIEGANNTQLEDIVSYP SRQSISTHSSYSHLESYSGNFLKKIRNEIWTQVSTRAEQMELDKTGCVDTKEADIYFLID GSSSIRKKEFEQIQIFMSSVIDMFPIGPNKVRVGVVQYSHKNEVEFPVSRYTDGIDLKKA VFNIKQLKGLTFTGKALDFILPLIKKGKTERTDRAPCYLIVLTDGKSNDSVLEPANRLRA EQITIHAIGIGEANKTQLRQIAGKDERVNFGQNFDSLKSIKNEIVHRICSEKGCEDMKAD IMFLVDSSGSIGPTNFETMKTFMKNLVGKIQIGADRSQVGVVQFSDYNREEFQLNKYSTH EEIYAAIDRMSPINRNTLTGGALTFVNEYFDLSKGGRPQVRKFLILLTDGKAQDEVGGPA TALRSKSVTIFSVGVYGANRAQLEEISGDGSLVFHVENFDHLKAIESKLIFRVCALHDCK RIELLDIVFVLDHSGSIGPREQESMMNLTIHLVKKADVGRDRVQIGALTYSNHPEILFYL NTYSSGSAIAEHLRRPRDTGGETYTAKALQHSNVLFTEEHGSRLTQNVRQLMIVITDGVS HDRDKLDEAARELRDKGITIFAVGVGNANQDELETMAGKKENTVHVDNFDKLRDIYLPLQ ETLCNNSQETCNLPEADVIFLCDGSDMVSDSEFVTMTTFLSDLIDNFDIESQRMKIGMAQ YGSRYQEIIELESSLNKTQWKSQVHSVAQSKGLPRLDFALKHVSDMFDPSVGGRRNAGVP QTLVVITSSSPRYDVTDAVKVLKDLGICVLALGIGDVYKEQLLPITGNSEKIITFRDFNK LKNVDVKKRMVREICQSCGKANCFVDVVVGFDISTHRQGQPLFQGHPRLESYLPGILEDI TSIRGVSCGAGAEAQVSLAFKVNSDQEFPAKFQIYQKAAFDSLLHVTVRGPTHLDAPFLQ SLWDMFEERSASRGQVLLIFSDGLQGESITLLERQSDRLREAGLDALLVVSLNTFGHDEF SSFEFGKGFDYRTQLTIGMLDLGKTLSQYLGNIAERACCCTFCKCPGIPGPHGTRGLQAS KGSSGPKGSRGHRGEDGDPGRRGEIGLQGDRGVVGCPGTRGQKGVKGFSGAQGEHGEDGL DGLDGEEGFYGFRGGKGQKGDPGNQGYPGIRGAAGEDGEKGFPGDPGDPGKDSNIKGQKG EKGERGRQGITGQKGTHGRPSSKGSRGMEGQRGPQGPSGQAGNPGPQGTQGPEGLQGSQG SSGNRGGKGDKGSQGYQGPQGSPGPAGPRGDIGRPGFGGRKGEPGVPGGPGPVGPPGQRG KQGDYGIPGYGQTGRKGVKGPTGFPGDPGQKGDAGNPGIPGGPGPKGFKGLTLSQGLKGR SGLQGSQGPPGRRGPKGTAGQPIYSPCELIQFLRDHSPCWKDKCPVYPTELVFALDQSSG ITERRFNETRDTITSIVSDLNIRENNCPVGARVAVVSYDSDTSYLIRGSDYHNKKHLLQL LSQIKYQVPRKARDIGNAMRFVARNVFKRMSAGTNTRRVAVFFSNGQAASRASILTATME LSALDISLAVFAYNERVFLDEAFGFDDTGTFQVIPVPPVGDYEPLEKLRRCTLCYDKCFP NTCAEEPFFPENSYMDVAFLLDNSKNIASDDFQAVKALVSSVIDSFHITSNPSASESGDR VALLSYSPSESSRRKGRVKTEFAFTTYDNQSIMKNYIYTSLQQLNGDATIGLALQWAMEG LFLGTPNPRKHKVIIVISAGENHEEKEFVKTVALRAKCQGYVVFVISLGSTQRDEMEELA SYPLDHHLIQLGRMYKPDLNYIVKFLKPFIYSVRRGFNQYPPPTLKDDCRLVELERGDTL PHGLRLTAKLREVPESTISLADQELNAGKDSSFVLEDHRGDHLVYVPSQMLEPHKLVSHY GNDRESVAMASLTSEHESHGREELGLAHEPGDASLQEYYMDVAFLIDASQRVGGRNEFKE VRTLITSVLDYFHIAPAPLTSVLGDRVAVLTYSPPGYLPNTEECPVYLEFDLVTYNTVHQ MKHHLQESLQQLNGDVFIGHALQWTVDNVFVGTPNLRKNKVIFIVTAGETNPLDKEVLRN ASLRAKCQGYSIFVFSFGPIHNDMELEELASHPLDHHLVRLGRVHRPDLDYVIKFIKPFV HSIRRAINKYPGRDLQAKCDNLTFPGPENAGTEDSALLIPEVYRIEAGENELSGDSGSQE QHFFLLGNSHGNHSESTADLMRQLYLLLSSGELMVNDKEEPCSAETPAPVNSKQDGEDAR >ENSMUSP00000131146.2 pep:known chromosome:GRCm38:9:105858668:105960643:-1 gene:ENSMUSG00000091345.8 transcript:ENSMUST00000165165.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a5 description:collagen, type VI, alpha 5 [Source:MGI Symbol;Acc:MGI:3648134] MKLRLIAFVLILWTETLADQSPGPGPEYADVVFLVDSSNYLGIKSFPFVRTFLNRMISSL PIEANKYRVALAQYSDALHNEFQLGTFKNRNPMLNHLKKNFGFIGGSLKIGNALQEAHRT YFSAPTNGRDKKQFPPILVVLASAESEDDVEEAAKALREDGVKIISVGVQKASEENLKAM ATSQFHFNLRTARDLGMFAPNMTRIIKDVTQYREGTTVDLITAVAPTTPAAPATPAAPTI PAALTTAANHVDKTVPFPTSCQKDSLADLIFLVDESVGTTQNLRDLQNFLENVTSSVDVK DNCMRLGLMSFSDRAQTISSLRSSANQSEFQQQIQKLSLQTGASNVGAAIEQMRKEGFSE SSGSRKAQGVPQIAVLVTHRASDDMVREAALDLRLEGVTMFAMGIEGANNTQLEDIVSYP SRQSISTHSSYSHLESYSGNFLKKIRNEIWTQVSTRAEQMELDKTGCVDTKEADIYFLID GSSSIRKKEFEQIQIFMSSVIDMFPIGPNKVRVGVVQYSHKNEVEFPVSRYTDGIDLKKA VFNIKQLKGLTFTGKALDFILPLIKKGKTERTDRAPCYLIVLTDGKSNDSVLEPANRLRA EQITIHAIGIGEANKTQLRQIAGKDERVNFGQNFDSLKSIKNEIVHRICSEKGCEDMKAD IMFLVDSSGSIGPTNFETMKTFMKNLVGKIQIGADRSQVGVVQFSDYNREEFQLNKYSTH EEIYAAIDRMSPINRNTLTGGALTFVNEYFDLSKGGRPQVRKFLILLTDGKAQDEVGGPA TALRSKSVTIFSVGVYGANRAQLEEISGDGSLVFHVENFDHLKAIESKLIFRVCALHDCK RIELLDIVFVLDHSGSIGPREQESMMNLTIHLVKKADVGRDRVQIGALTYSNHPEILFYL NTYSSGSAIAEHLRRPRDTGGETYTAKALQHSNVLFTEEHGSRLTQNVRQLMIVITDGVS HDRDKLDEAARELRDKGITIFAVGVGNANQDELETMAGKKENTVHVDNFDKLRDIYLPLQ ETLCNNSQETCNLPEADVIFLCDGSDMVSDSEFVTMTTFLSDLIDNFDIESQRMKIGMAQ YGSRYQEIIELESSLNKTQWKSQVHSVAQSKGLPRLDFALKHVSDMFDPSVGGRRNAGVP QTLVVITSSSPRYDVTDAVKVLKDLGICVLALGIGDVYKEQLLPITGNSEKIITFRDFNK LKNVDVKKRMVREICQSCGKANCFVDVVVGFDISTHRQGQPLFQGHPRLESYLPGILEDI TSIRGVSCGAGAEAQVSLAFKVNSDQEFPAKFQIYQKAAFDSLLHVTVRGPTHLDAPFLQ SLWDMFEERSASRGQVLLIFSDGLQGESITLLERQSDRLREAGLDALLVVSLNTFGHDEF SSFEFGKGFDYRTQLTIGMLDLGKTLSQYLGNIAERACCCTFCKCPGIPGPHGTRGLQAS KGSSGPKGSRGHRGEDGDPGRRGEIGLQGDRGVVGCPGTRGQKGVKGFSGAQGEHGEDGL DGLDGEEGFYGFRGGKGQKGDPGNQGYPGIRGAAGEDGEKGFPGDPGDPGKDSNIKGQKG EKGERGRQGITGQKGTHGRPSSKGSRGMEGQRGPQGPSGQAGNPGPQGTQGPEGLQGSQG SSGNRGGKGDKGSQGYQGPQGSPGPAGPRGDIGRPGFGGRKGEPGVPGGPGPVGPPGQRG KQGDYGIPGYGQTGRKGVKGPTGFPGDPGQKGDAGNPGIPGGPGPKGFKGLTLSQGLKGR SGLQGSQGPPGRRGPKGTAGQPIYSPCELIQFLRDHSRDFTDKCPVYPTELVFALDQSSG ITERRFNETRDTITSIVSDLNIRENNCPVGARVAVVSYDSDTSYLIRGSDYHNKKHLLQL LSQIKYQVPRKARDIGNAMRFVARNVFKRMSAGTNTRRVAVFFSNGQAASRASILTATME LSALDISLAVFAYNERVFLDEAFGFDDTGTFQVIPVPPVGDYEPLEKLRRCTLCYDKCFP NTCAEEPFFPENSYMDVAFLLDNSKNIASDDFQAVKALVSSVIDSFHITSNPSASESGDR VALLSYSPSESSRRKGRVKTEFAFTTYDNQSIMKNYIYTSLQQLNGDATIGLALQWAMEG LFLGTPNPRKHKVIIVISAGENHEEKEFVKTVALRAKCQGYVVFVISLGSTQRDEMEELA SYPLDHHLIQLGRMYKPDLNYIVKFLKPFIYSVRRGFNQYPPPTLKDDCRLVELERGDTL PHGLRLTAKLREVPESTISLADQELNAGKDSSFVLEDHRGDHLVYVPSQMLEPHKLVSHY GNDRESVAMASLTSEHESHGREELGLAHEPGDASLQEYYMDVAFLIDASQRVGGRNEFKE VRTLITSVLDYFHIAPAPLTSVLGDRVAVLTYSPPGYLPNTEECPVYLEFDLVTYNTVHQ MKHHLQESLQQLNGDVFIGHALQWTVDNVFVGTPNLRKNKVIFIVTAGETNPLDKEVLRN ASLRAKCQGYSIFVFSFGPIHNDMELEELASHPLDHHLVRLGRVHRPDLDYVIKFIKPFV HSIRRAINKYPGRDLQAKCDNLTFPGPENAGTEDSALLIPEVYRIEAGENELSGDSGSQE QHFFLLGNSHGNHSESTADLMRQLYLLLSSGELMVNDKEEPCSAETPAPVNSKQGGGGRR >ENSMUSP00000058882.7 pep:known chromosome:GRCm38:6:3457096:3494597:-1 gene:ENSMUSG00000044156.14 transcript:ENSMUST00000049985.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hepacam2 description:HEPACAM family member 2 [Source:MGI Symbol;Acc:MGI:2141520] MGQDAFMELLRSMVGLSLCKIHLLLIAGSCLGLKVTVPSYTVHGIRGQALYLPVHYGFHT PASDIQIIWLFERSHTMPKYLLGSVNKSVVPDLEYQHKFTMMPPNASLLINPLQFTDEGN YIVKVNIQGNGTLSASQKIQVTVDDPVMKPMVQFHPASGAVEYVGNITLTCQVEGGTRLV YQWRKSGKPISINSSHSFSPQNNTLWIVPVTKEDIGNYTCLVSNPVSEMESDIIMPTIYY GPYGLQVNSDKGLKVGEVFTVDLGEAVLFDCSADSYPPNTYSWIQRSDNTTHVIKHGPHL EVASEKVAQKTADYVCCAYNNITGRRDETRFTVIITSVGLEKLAQRGKSLSPLASITGIS LFLIISMCLLFLWKKYQPYKAIRQKLEGRPESEYRKAQTFSGHEDALSDFGIYEFVTFPD ASGVSRMSSRSSPASDGVTGQDIHGTIYEVIQHIPEQQQENTE >ENSMUSP00000143834.1 pep:known chromosome:GRCm38:6:3476076:3487429:-1 gene:ENSMUSG00000044156.14 transcript:ENSMUST00000201607.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hepacam2 description:HEPACAM family member 2 [Source:MGI Symbol;Acc:MGI:2141520] MPKYLLGSVNKSVVPDLEYQHKFTMMPPNASLLINPLQFTDEGNYIVKVNIQGNGTLSAS QKIQVTVDDPVMKPMVQFHPASGAVEYVGNITLTCQVEGGTRLVYQWRKSGKPISINSSH SFSPQNNTLWIVPVTKEDIGNYTCLVSNPVSEMESDIIMPTIYYGPYGLQVNSDKGLKVG EVFTVDLGEAVLFDCSADSYPPNTYS >ENSMUSP00000144362.1 pep:known chromosome:GRCm38:6:3483320:3498298:-1 gene:ENSMUSG00000044156.14 transcript:ENSMUST00000200854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hepacam2 description:HEPACAM family member 2 [Source:MGI Symbol;Acc:MGI:2141520] MPKYLLGSVNKSVVPDLEYQHKFTMMPPNASLLINPLQFTDEGNYIVKVNIQGNGTLSAS QKIQVTVDDPVMKPMVQFHPASGAVEYVGNITLTCQVEGGTRLVYQWRKSGKPISINSSH SFSPQNNTLWIVPVTKEDIGNYTCLVSNPVSEM >ENSMUSP00000084327.2 pep:known chromosome:GRCm38:7:47980837:47982095:-1 gene:ENSMUSG00000067173.3 transcript:ENSMUST00000087092.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpra4 description:MAS-related GPR, member A4 [Source:MGI Symbol;Acc:MGI:3033100] MAPTTTNPMNETIPGSIDIETLIPNLMIIIFGLVGLTGNVILFWLLGFHLHRNAFLVYIL NLALADFLFLLCHIINSTMLLLKVHLPNNILNHCFDIIMTVLYITGLSMLSAISTERCLS VLCPIWYRCRRPEHTSTVLCAVIWFLPLLICILNGYFCHFFGPKYVIDSVCLATNFFIRT YPMFLFIVLCLSTLALLARLFCGAGKTKFTRLFVTIMLTVLVFLLCGLPLGFFWFLVPWI NRDFSVLDYILFQTSLVLTSVNSCANPIIYFFVGSFRHRLKHKTLKMVLQSALQDTPETP ENMVEMSRSKAEP >ENSMUSP00000026698.7 pep:known chromosome:GRCm38:6:31519488:31563981:-1 gene:ENSMUSG00000025608.9 transcript:ENSMUST00000026698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podxl description:podocalyxin-like [Source:MGI Symbol;Acc:MGI:1351317] MPPTTALSALLLLLLSPASHSHNGNETSTSAIKSSTVQSHQSATTSTEVTTGHPVASTLA STQPSNPTPFTTSTQSPSMPTSTPNPTSNQSGGNLTSSVSEVDKTKTSSPSSTAFTSSSG QTASSGGKSGDSFTTAPTTTLGLINVSSQPTDLNTTSKLLSTPTTDNTTSPQQPVDSSPS TASHPVGQHTPAAVPSSSGSTPSTDNSTLTWKPTTHKPLGTSEATQPLTSQTPGITTLPV STLQQSMASTVGTTTEEFTHLISNGTPVAPPGPSTPSPIWAFGNYQLNCEPPIRPDEELL ILNLTRASLCERSPLDEKEKLVELLCHSVKASFKPAEDLCTLHVAPILDNQAVAVKRIII ETKLSPKAVYELLKDRWDDLTEAGVSDMKLGKEGPPEVNEDRFSLPLIITIVCMASFLLL VAALYGCCHQRISQRKDQQRLTEELQTVENGYHDNPTLEVMETPSEMQEKKVVNLNGELG DSWIVPLDNLTKDDLDEEEDTHL >ENSMUSP00000039737.8 pep:known chromosome:GRCm38:5:124629052:124724455:1 gene:ENSMUSG00000038023.12 transcript:ENSMUST00000037865.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0a2 description:ATPase, H+ transporting, lysosomal V0 subunit A2 [Source:MGI Symbol;Acc:MGI:104855] MGSLFRSESMCLAQLFLQSGTAYECLSALGEKGLVQFRDLNQNVSSFQRKFVGEVKRCEE LERILVYLVQEITRADIPLPEGEASPPAPPLKHVLEMQEQLQKLEVELREVTKNKEKLRK NLLELVEYTHMLRVTKTFLKRNVEFEPTYEEFPALENDSLLDYSCMQRLGAKLGFVSGLI QQGRVEAFERMLWRACKGYTIVTYAELDECLEDPETGEVIKWYVFLISFWGEQIGHKVKK ICDCYHCHIYPYPNTAEERREIQEGLNTRIQDLYTVLHKTEDYLRQVLCKAAESVCSRVV QVRKMKAIYHMLNMCSFDVTNKCLIAEVWCPEVDLPGLRRALEEGSRESGATIPSFMNTI PTKETPPTLIRTNKFTEGFQNIVDAYGVGSYREVNPALFTIITFPFLFAVMFGDFGHGFV MFLFALLLVLNENHPRLSQSQEILRMFFDGRYILLLMGLFSVYTGLIYNDCFSKSVNLFG SGWNVSAMYSSSHSPEEQRKMVLWNDSTIRHSRTLQLDPNIPGVFRGPYPFGIDPIWNLA TNRLTFLNSFKMKMSVILGIFHMTFGVVLGIFNHLHFRKKFNVYLVSVPEILFMLCIFGY LIFMIIYKWLAYSAETSREAPSILIEFINMFLFPTSKTHGLYPGQAHVQRVLVALTVLAV PVLFLGKPLFLLWLHNGRNCFGMSRSGYTLVRKDSEEEVSLLGNQDIEEGNSRMEEGCRE VTCEEFNFGEILMTQAIHSIEYCLGCISNTASYLRLWALSLAHAQLSDVLWAMLMRVGLR VDTTYGVLLLLPVMAFFAVLTIFILLVMEGLSAFLHAIRLHWVEFQNKFYVGAGTKFVPF SFSLLSSKFSNDDSIA >ENSMUSP00000143284.1 pep:known chromosome:GRCm38:5:124629065:124722139:1 gene:ENSMUSG00000038023.12 transcript:ENSMUST00000198382.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp6v0a2 description:ATPase, H+ transporting, lysosomal V0 subunit A2 [Source:MGI Symbol;Acc:MGI:104855] MGSLFRSESMCLAQLFLQSGTAYECLSALGEKGLVQFRDLNQNVSSFQRKFVGEVKRCEE LERILVYLVQEITRADIPLPEGEASPPAPPLKHVLEMQEQLQKLEVELREVTKNKEKLRK NLLELVEYTHMLRVTKTFLKRNVEFEPTYEEFPALENDSLLDYSCMQRLGAKLGAVRLLP CP >ENSMUSP00000143461.1 pep:known chromosome:GRCm38:5:124718196:124721816:1 gene:ENSMUSG00000038023.12 transcript:ENSMUST00000197161.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp6v0a2 description:ATPase, H+ transporting, lysosomal V0 subunit A2 [Source:MGI Symbol;Acc:MGI:104855] ACLRTCHTFSVAAGVFYIPGRSALGCQHKLLSAFPIALRQSKGLWERLHTREEGQRGRGV SSGQPGHRRGQQPHGRRLPRSDV >ENSMUSP00000029080.3 pep:known chromosome:GRCm38:3:17948410:17948959:1 gene:ENSMUSG00000027564.4 transcript:ENSMUST00000029080.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cypt12 description:cysteine-rich perinuclear theca 12 [Source:MGI Symbol;Acc:MGI:1922689] MARVAKRAPEPTTAAAAARSKQRNRRASYGRRCKNSNKNQRASRRRKSCAEIMRKGKRGR QQASKGKKAIKVRILKEASQNALALVIHEPVNENVSLTPPEVPSCPENSPVPKEDLVSS >ENSMUSP00000092522.3 pep:known chromosome:GRCm38:1:59100590:59120094:-1 gene:ENSMUSG00000038079.14 transcript:ENSMUST00000094917.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem237 description:transmembrane protein 237 [Source:MGI Symbol;Acc:MGI:2138365] MTSRETVGEPPPLLGTPADAERPPRALPPVPSAIQDDIPLSHPKKKKSRTKSSLATASSE GHAEPVVNRRAEGSEPPAAELKEHPEAPAPRRQKKIRPPPELETSLTERPSSPSLLRNEN GIDAEPREEAVIPKPRRKAKKTQPAEPQYASELGVEDEDILTDEQSTLEHHSRFTAPTGV SQPVGKVFVEKSRRFQAADRSELIKTTENIDVSMDVKPSWTTRDVALSVHRAFRMVGLFS HGFLAGCAVWNTVVIYVLAGDQLSNVSNLLQQYKPLAYPFQSLLYLLLALSTVSAFDRTD FAKISVAIRNFLALEPTALASFLYFTALILSLSQQMTSDRIHLYEPSVNGSLWAAEAEEP ILVPWIIVNLVVALLVGLSWLFLSYRPGMDLSEELMFFSDVDEHPETGTKASP >ENSMUSP00000084745.4 pep:known chromosome:GRCm38:1:59100597:59119806:-1 gene:ENSMUSG00000038079.14 transcript:ENSMUST00000087475.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem237 description:transmembrane protein 237 [Source:MGI Symbol;Acc:MGI:2138365] MGKKQVVSEPQRPPRALPPVPSAIQDDIPLSHPKKKKSRTKSSLATASSEGHAEPVVNRR AEGSEPPAAELKEHPEAPAPRRQKKIRPPPELETSLTERPSSPSLLRNENGIDAEPREEA VIPKPRRKAKKTQPAEPQYASELGVEDEDILTDEQSTLEHHSRFTAPTGVSQPVGKVFVE KSRRFQAADRSELIKTTENIDVSMDVKPSWTTRDVALSVHRAFRMVGLFSHGFLAGCAVW NTVVIYVLAGDQLSNVSNLLQQYKPLAYPFQSLLYLLLALSTVSAFDRTDFAKISVAIRN FLALEPTALASFLYFTALILSLSQQMTSDRIHLYEPSVNGSLWAAEAEEPILVPWIIVNL VVALLVGLSWLFLSYRPGMDLSEELMFFSDVDEHPETGTKASP >ENSMUSP00000139823.1 pep:known chromosome:GRCm38:1:59100911:59120382:-1 gene:ENSMUSG00000038079.14 transcript:ENSMUST00000186794.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem237 description:transmembrane protein 237 [Source:MGI Symbol;Acc:MGI:2138365] MRDDSGPPLEEDQARPPRALPPVPSAIQVCSSFVENNSRMDQQDDLVGEDDIPLSHPKKK KSRTKSSLATASSEGHAEPVVNRRAEGSEPPAAELKEHPEAPAPRRQKKIRPPPELETSL TERPSSPSLLRNENGIDAEPREEAVIPKPRRKAKKTQPAEPQYASELGVEDEDILTDEQS TLEHHSRFTAPTGVSQPVGKVFVEKSRRFQAADRSELIKTTENIDVSMDVKPSWTTRDVA LSVHRAFRMVGLFSHGFLAGCAVWNTVVIYVLAGDQLSNVSNLLQQYKPLAYPFQSLLYL LLALSTVSAFDRTDFAKISVAIRNFLALEPTALASFLYFTALILSLSQQMTSDRIHLYEP SVNGSLWAAEAEEPILVPWIIVNLVVALLVGLSWLFLSYRPGMDLSEELMFFSDVDEHPE TGTKASP >ENSMUSP00000140134.1 pep:known chromosome:GRCm38:1:59108195:59120112:-1 gene:ENSMUSG00000038079.14 transcript:ENSMUST00000190014.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem237 description:transmembrane protein 237 [Source:MGI Symbol;Acc:MGI:2138365] MTSRETRPPRALPPVPSAIQDDIPLSHPKKKKSRTKSSLATASSEGHAEPVVNRRAEGSE PPAAELKEHPEAPAPRRQKKIRPPPELETSLTERPSSPSLLRNENGIDAEPREEAVIPKP RRKAKKTQPAEPQYASELGVEDEDILTDEQSTLEHHSRFTAPTGVSQPVGKVFVEKSRRF QAADRSELIKTTENIDVSMDVKPSWTTRDVALSVHRAFRMVGLFSHGFLA >ENSMUSP00000140461.1 pep:known chromosome:GRCm38:1:59109090:59120408:-1 gene:ENSMUSG00000038079.14 transcript:ENSMUST00000186395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem237 description:transmembrane protein 237 [Source:MGI Symbol;Acc:MGI:2138365] MRDDSGPPLEEDQARPPRALPPVPSAIQDDIPLSHPKKKKSRTKSSLATASSEGHAEPVV NRRAEGSEPPAAELKEHPEAPAPRRQKKIRPPPELETSLTERPSSPSLLRNENGIDAEPR EEAVIPKPRRKAKKTQPAEPQYASELGVEDEDILTDEQSTLEHHSRFT >ENSMUSP00000030687.7 pep:known chromosome:GRCm38:4:124986430:125009099:1 gene:ENSMUSG00000028871.7 transcript:ENSMUST00000030687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rspo1 description:R-spondin 1 [Source:MGI Symbol;Acc:MGI:2183426] MRLGLCVVALVLSWTHIAVGSRGIKGKRQRRISAEGSQACAKGCELCSEVNGCLKCSPKL FILLERNDIRQVGVCLPSCPPGYFDARNPDMNKCIKCKIEHCEACFSHNFCTKCQEGLYL HKGRCYPACPEGSTAANSTMECGSPAQCEMSEWSPWGPCSKKRKLCGFRKGSEERTRRVL HAPGGDHTTCSDTKETRKCTVRRTPCPEGQKRRKGGQGRRENANRHPARKNSKEPGSNSR RHKGQQQPQPGTTGPLTSVGPTWAQ >ENSMUSP00000074659.3 pep:known chromosome:GRCm38:7:44225360:44229618:1 gene:ENSMUSG00000063903.5 transcript:ENSMUST00000075162.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1 description:kallikrein 1 [Source:MGI Symbol;Acc:MGI:102850] MRFLILFLALSLGGIDAAPPVQSRIVGGFNCEKNSQPWQVAVYRFTKYQCGGILLNANWV LTAAHCHNDKYQVWLGKNNFLEDEPSAQHRLVSKAIPHPDFNMSLLNEHTPQPEDDYSND LMLLRLKKPADITDVVKPIDLPTEEPKLGSTCLASGWGSITPVKYEYPDELQCVNLKLLP NEDCAKAHIEKVTDDMLCAGDMDGGKDTCAGDSGGPLICDGVLQGITSWGPSPCGKPNVP GIYTRVLNFNTWIRETMAEND >ENSMUSP00000146057.1 pep:known chromosome:GRCm38:7:44225443:44229543:1 gene:ENSMUSG00000063903.5 transcript:ENSMUST00000206144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klk1 description:kallikrein 1 [Source:MGI Symbol;Acc:MGI:102850] MSLLNEHTPQPEDDYSNDLMLLRLKKPADITDVVKPIDLPTEEPKLGSTCLASGWGSITP VKYEYPDELQCVNLKLLPNEDCAKAHIEKVTDDMLCAGDMDGGKDTCAGDSGGPLICDGV LQGITSWGPSPCGKPNVPGIYTRVLNFNTWI >ENSMUSP00000032451.6 pep:known chromosome:GRCm38:6:114131241:114249952:1 gene:ENSMUSG00000030307.8 transcript:ENSMUST00000032451.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a11 description:solute carrier family 6 (neurotransmitter transporter, GABA), member 11 [Source:MGI Symbol;Acc:MGI:95630] MTAEQALPLGNGKAAEEARGSETLGGGGGGAAGTREARDKAVHERGHWNNKVEFVLSVAG EIIGLGNVWRFPYLCYKNGGGAFLIPYVVFFICCGIPVFFLETALGQFTSEGGITCWRRV CPLFEGIGYATQVIEAHLNVYYIIILAWAIFYLSNCFTTELPWATCGHEWNTEKCVEFQK LNFSNYSHVSLQNATSPVMEFWERRVLAISDGIEHIGNLRWELALCLLAAWTICYFCIWK GTKSTGKVVYVTATFPYIMLLILLIRGVTLPGASEGIKFYLYPDLSRLSDPQVWVDAGTQ IFFSYAICLGCLTALGSYNNYNNNCYRDCIMLCCLNSGTSFVAGFAIFSVLGFMAYEQGV PIAEVAESGPGLAFIAYPKAVTMMPLSPLWATLFFMMLIFLGLDSQFVCVESLVTAVVDM YPKVFRRGYRRELLILALSIISYFLGLVMLTEGGMYIFQLFDSYAASGMCLLFVAIFECV CIGWVYGSNRFYDNIEDMIGYRPLSLIKWCWKVVTPGICAGIFIFFLVKYKPLKYNNVYT YPAWGYGIGWLMALSSMLCIPLWIFIKLWKTEGTLPEKLQKLTVPSADLKMRGKLGASPR TVTVNDCEAKVKGDGTISAITEKETHF >ENSMUSP00000084936.4 pep:known chromosome:GRCm38:17:33016863:33033402:-1 gene:ENSMUSG00000067430.7 transcript:ENSMUST00000087654.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp763 description:zinc finger protein 763 [Source:MGI Symbol;Acc:MGI:1920701] MHMKEEMEPVTFEDVAVNFSPGEWALLDSSQKKLYRDVMTETFMNLISIGKTEENIEEDY ANVRSLRTQMIGRNCKYEDSFQRGEAHHRIPEYVVNKGMPSATAVYESNVWARGIRAHSP SRVHLTDETGAKPFENKEHVHVAFQYETRYADVTSSEPSHILEGPREIVYQKKQPNEAYR ILTSDQNYERIDSEGTYNQFDKAIQRYAYFQMYEKLHTRVKPFMCKQCGEAFVHFSQLVY HERIHTGEECYVCSQCGKAFRHYSYLQKHERIHSGDTPYVCKQCGKAFVRSSHLLVHERT HTGEKPYTCKHCGKAFSHHSACYRHEVIHTEEKPYVCKQCGKAFTCSTSLRNHGRIHTGE KPYACKQCGKSFIQRDACYNHERTHTGEKPYVCKQCGKAFTWSTCLRNHERTHTGEKPYT CKHCGKAFTHSSTRYSHERIHTGEKPYVCKKCGKAFIHSSHLISHEKIHTGEKPYPCKHC GKAFIQRKACHNHERTHTGEKPYACKYCEKAFRCSTYLRNHERTHTGEKPYICKHCGKAF THQSARYRHERIHTGEKPYVCKKCGKAFSDCSYLVKHERIHWRETLHM >ENSMUSP00000054745.3 pep:known chromosome:GRCm38:4:10988662:11007676:-1 gene:ENSMUSG00000049969.3 transcript:ENSMUST00000054776.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhf2 description:pleckstrin homology domain containing, family F (with FYVE domain) member 2 [Source:MGI Symbol;Acc:MGI:1919051] MVDRLANSEANTRRISIVESCFGAAGQPLTIPGRVLIGEGVLTKLCRKKPKARQFFLFND ILVYGNIVIQKKKYNKQHIIPLENVTIDSIKDEGELRNGWLIKTPTKSFAVYAATATEKS EWMNHINKCVTDLLSKSGKTPSNEHAAVWVPDSEATVCMRCQKAKFTPVNRRHHCRKCGF VVCGPCSEKRFLLPSQSSKPVRICDFCYDLLSTGDMAACQPTRSDSYSQSLKSPLNDASD DDDDDDSSD >ENSMUSP00000123520.1 pep:known chromosome:GRCm38:4:10990749:11007927:-1 gene:ENSMUSG00000049969.3 transcript:ENSMUST00000137891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhf2 description:pleckstrin homology domain containing, family F (with FYVE domain) member 2 [Source:MGI Symbol;Acc:MGI:1919051] MVDRLANSEANTRRISIVESCFGAAGQPLTIPGRVLIGEGVLTKLCRKKPKARQFFLFND ILVYGNIVIQKKKYNKQHIIPLENVTIDSIKDEGELRNGWLIKTPTKSFAVYAATATEKS EWMNHINKCVTDLLSKSGKTPSNEHAAVWVPDSEATVCMRCQKAKFTPVNRRHHCRKCGF VVCGPCSEKRFLLPSQS >ENSMUSP00000128300.1 pep:known chromosome:GRCm38:11:94777217:94782703:-1 gene:ENSMUSG00000075610.9 transcript:ENSMUST00000100554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem92 description:transmembrane protein 92 [Source:MGI Symbol;Acc:MGI:3034723] MLDTWVWGTLTLTFGLLSSLQGVSFNETANTCDILNCPKGFTCCVKECCPERKVWDPAND RFRFLVILACIIFPILFICALVVQMTPPTEPPPPYSLRPEGPAGQMRGRAYATL >ENSMUSP00000129874.1 pep:known chromosome:GRCm38:11:94777764:94782500:-1 gene:ENSMUSG00000075610.9 transcript:ENSMUST00000143620.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem92 description:transmembrane protein 92 [Source:MGI Symbol;Acc:MGI:3034723] MLDTWVWGTLTLTFGLLSSLQGVSFNETANTCDILNCPKGFTCCVKECCPERKVWDPAND RFSESLLSQLH >ENSMUSP00000125159.2 pep:known chromosome:GRCm38:11:94777764:94782500:-1 gene:ENSMUSG00000075610.9 transcript:ENSMUST00000162809.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem92 description:transmembrane protein 92 [Source:MGI Symbol;Acc:MGI:3034723] MLDTWVWGTLTLTFGLLSSLQGVSFNETANTCDILNCPKGFTCCVKECCPERKVWDPAND RFRFLVILACIIFPILFICALVSLFCPNCTELQHDVRRVDHQTPIEPPSIAPLESIWVTS LDPPPPYSQVVQMTPPTEPPPPYSLRPEGPAGQMRGRAYATL >ENSMUSP00000016452.7 pep:known chromosome:GRCm38:X:36874295:36884222:1 gene:ENSMUSG00000016308.12 transcript:ENSMUST00000016452.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2a description:ubiquitin-conjugating enzyme E2A [Source:MGI Symbol;Acc:MGI:102959] MSTPARRRLMRDFKRLQEDPPAGVSGAPSENNIMVWNAVIFGPEGTPFEDGTFKLTIEFT EEYPNKPPTVRFVSKMFHPNVYADGSICLDILQNRWSPTYDVSSILTSIQSLLDEPNPNS PANSQAAQLYQENKREYEKRVSAIVEQSWRDC >ENSMUSP00000144242.1 pep:known chromosome:GRCm38:X:36874331:36883250:1 gene:ENSMUSG00000016308.12 transcript:ENSMUST00000202991.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2a description:ubiquitin-conjugating enzyme E2A [Source:MGI Symbol;Acc:MGI:102959] MSTPARRRLMRDFKRLQEDPPAGVSGAPSENNIMVWNAVIFGPEGTPFEDGTFKLTIEFT EEYPNKPPTVRFVSKMFHPNDGSICLDILQNRWSPTYDVSSILTSIQSLLDEPNPNSPAN SQAAQLYQENKREYEKRVSAIVEQSWRDC >ENSMUSP00000143836.1 pep:known chromosome:GRCm38:X:36874341:36883924:1 gene:ENSMUSG00000016308.12 transcript:ENSMUST00000201068.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2a description:ubiquitin-conjugating enzyme E2A [Source:MGI Symbol;Acc:MGI:102959] MSTPARRRLMRDFKRLQEDPPAGVSGAPSENNIMVWNAVIFGPEGTPFEDVYADGSICLD ILQNRWSPTYDVSSILTSIQSLLDEPNPNSPANSQAAQLYQENKREYEKRVSAIVEQSWR DC >ENSMUSP00000144306.1 pep:known chromosome:GRCm38:X:36874346:36883541:1 gene:ENSMUSG00000016308.12 transcript:ENSMUST00000200835.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2a description:ubiquitin-conjugating enzyme E2A [Source:MGI Symbol;Acc:MGI:102959] MSTPARRRLMRDFKRLQEDPPAGVSGAPSENNIMVWNAVIFGPEGTPFEDGTFKLTIEFT EEYPNKPPTVRFVSKMFHPNVSIG >ENSMUSP00000144372.1 pep:known chromosome:GRCm38:X:36874358:36883112:1 gene:ENSMUSG00000016308.12 transcript:ENSMUST00000201117.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2a description:ubiquitin-conjugating enzyme E2A [Source:MGI Symbol;Acc:MGI:102959] MVWNAVIFGPEGTPFEDGTFKLTIEFTEEYPNKPPTVRFVSKMFHPNVYADGSICLDILQ NRWSPTYDVSSILTSIQSLLDEPNPNSPANSQAAQLYQENKREYEKRVSA >ENSMUSP00000144262.1 pep:known chromosome:GRCm38:X:36874653:36883386:1 gene:ENSMUSG00000016308.12 transcript:ENSMUST00000202812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2a description:ubiquitin-conjugating enzyme E2A [Source:MGI Symbol;Acc:MGI:102959] MVWNAVIFGPEGTPFEDGTFKLTIEFTEEYPNKPPTVRFVSKMFHPNVYADGSICLDILQ NRWSPTYDVSSILTSIQSLLDEPNPNSPANSQAAQLYQENKREYEKRVSAIVEQSWRDC >ENSMUSP00000101374.1 pep:known chromosome:GRCm38:4:144555000:144565134:-1 gene:ENSMUSG00000078506.1 transcript:ENSMUST00000105748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13124 description:predicted gene 13124 [Source:MGI Symbol;Acc:MGI:3652194] MILMITLFTTATFLVLGVSVWVLIKEILTVHVPPPIPQRVKFHMLHYFFQLTIALGNVLE KMKICPMPRFFCFIQDLLVSKNNFGVLVKNMHFGTIPVRLFQPKATSSGPRKGIIFYHGG GGVFGSLDSYHNTCSYLAHETDSVVMAVGYRKLPDHHHPTAYHDCLNATVHFLKELKTYG VDPARVVVSGESIGAGAAAIIAQVVLARKDLPQFRAQVLINPVVQGVNFQLPSYQQYSDV PFLSRKFLMTCACKYLAIDQSWKDAMLKGTFIPPDHWKKYAKWLSSDNIPQRFKSQGRQP EFPGPFNESAYLETNHIFSLETSPLLADDKIIAQLPETFLVSSEYDVLRDDTLLYKKRLE EQGVPVTWCHLEDGFHGCIVLFDKNALSFPCSKKAMSSTVSFIKGI >ENSMUSP00000074872.7 pep:known chromosome:GRCm38:7:83631959:83642328:1 gene:ENSMUSG00000046027.17 transcript:ENSMUST00000075418.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard5 description:StAR-related lipid transfer (START) domain containing 5 [Source:MGI Symbol;Acc:MGI:2156765] MDPSWATQESEAVAEKVLRYRRDASGWKKCREGNGVSISWRPSEEFPGNLYRGEGILCGT PEEVWDCIKPVASGLREKWDDNVSSFEIVQSITDMLCVSRTSTPSAAMKLISPRDFVDLV LVKKYEDGTISSNATHVEHPLCPPKPGFVRGFNHPCGCFCEPLPGDPNKTNLVTFFQTDL SGYLPQSVVDSFFPRSMAEFYPNLQKAVRKFHH >ENSMUSP00000112781.1 pep:known chromosome:GRCm38:7:83632000:83653127:1 gene:ENSMUSG00000046027.17 transcript:ENSMUST00000117410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard5 description:StAR-related lipid transfer (START) domain containing 5 [Source:MGI Symbol;Acc:MGI:2156765] MDPSWATQESEAVAEKVLRYRRDASGWKKCREGNGVSISWRPSEEFPGNLYRGEGILCGT PEEVWDCIKPVASGLREKWDDNVSSFEIVQSITDMLCVSRTSTPSAAMKLISPRDFVDLV LVKKYEDGTISSNATHVEHPLCPPKPGFVRGFNHPCGCFCEPLPGDIIGNRWEEVCAAET FVKQSSHGFWLETAWEELFLLHSARR >ENSMUSP00000059033.8 pep:known chromosome:GRCm38:5:135406531:135545115:-1 gene:ENSMUSG00000039959.12 transcript:ENSMUST00000060311.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hip1 description:huntingtin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1099804] MDRMASSMKQVSNPLPKVLSRRGVGAGMEAAERESFERTQTVSVNKAINTQEVAVKEKHA RTCILGTHHEKGAQTFWSVVNRLPLSSNAMLCWKFCHVFHKLLRDGHPNVLKDSLRYKNE LSDMSRMWGHLSEGYGQLCSIYLKLLRTRMEYHTKNPRFPGNLQMSDRQLDEAGESDVNN FFQLTVEMFDYLECELNLFQTVFNSLDMSRSVSVTTAGQCRLAPLIQVILDCSHLYDYTV KLLFKLHSCLPADTLQGHRDRFMEQFTKLKDLFQRSSNLQYFKRLIQIPQLPENPPNFLR ASALSEHISPVVVIPAEVSSPDSEPVLEKDDLMDMDASQQTLFDNKFDDVFGSSLSSDPF NFNNQNGVNKDEKDHLIERLYREISGLTGQLDNMKIESQRAMLQLKGRVSELEAELAEQQ HLGRQAMDDCEFLRTELDELKRQREDTEKAQRSLTEIERKAQANEQRYSKLKEKYSELVQ NHADLLRKNAEVTKQVSVARQAQVDLEREKKELADSFARTQEQQDVLENLKHELATSRQE LQVLHSNLETSAQSEAKWLTQIAELEKEQGSLATVAAQREEELSALRDQLESTQIKLAGA QESMCQQVKDQRKTLLAGIRKAAEREIQEALSQLEEPTLISCAGSTDHLLSKVSSVSSCL EQLEKNGSQYLACPEDISELLHSITLLAHLTGDTIIQGSATSLRAPPEPADSLTEACRQY GRETLAYLSSLEEEGTMENADVTALRNCLSRVKTLGEELLPRGLDIKQEELGDLVDKEMA ATSAAIEAATTRIEEILSKSRAGDTGVKLEVNERILGSCTSLMQAIKVLVVASKDLQKEI VESGRGTASPKEFYAKNSRWTEGLISASKAVGWGATIMVDAADLVVQGKGKFEELMVCSR EIAASTAQLVAASKVKANKGSLNLTQLQQASRGVNQATAAVVASTISGKSQIEETDSMDF SSMTLTQIKRQEMDSQVRVLELENDLQKERQKLGELRKKHYELAGVAEGWEEGTEASPST VQEAIPDKE >ENSMUSP00000144086.1 pep:known chromosome:GRCm38:5:135406535:135435148:-1 gene:ENSMUSG00000039959.12 transcript:ENSMUST00000202643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hip1 description:huntingtin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1099804] LEAELAEQQHLGRQAMDDCEFLRTELDELKRQREDTEKAQRSLTEIERKAQANEQRYSKL KEKYSELVQNHADLLRKNAEVTKQVSVARQAQVDLEREKKELADSFARTQEQQDVLENLK HELATSRQELQVLHSNLETSAQSEAKWLTQIAELEKEQGSLATVAAQREEELSALRDQLE STQIKLAGAQESMCQQVKDQRKTLLAGIRKAAEREIQEALSQLEEPTLISCAGSTDHLLS KVSSVSSCLEQLEKNGSQYLACPEDISELLHSITLLAHLTGDTIIQGSATSLRAPPEPAD SLTEACRQYGRETLAYLSSLEEEGTMENADVTALRNCLSRVKTLGEELLPRGLDIKQEEL GDLVDKEMAATSAAIEAATTRIEEILSKSRAGDTGVKLEVNERILGSCTSLMQAIKVLVV ASKDLQKEIVESGRGTASPKEFYAKNSRWTEGLISASKAVGWGATIMVDAADLVVQGKGK FEELMVCSREIAASTAQLVAASKVKANKGSLNLTQLQQASRGVNQATAAVVASTISGKSQ IEETDSMDFSSMTLTQIKRQEMDSQVRVLELENDLQKERQKLGELRKKHYELAGVAEGWE EGTEASPSTVQEAIPDKE >ENSMUSP00000144429.1 pep:known chromosome:GRCm38:5:135430221:135440324:-1 gene:ENSMUSG00000039959.12 transcript:ENSMUST00000200808.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hip1 description:huntingtin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1099804] XLKDLFQRSSNLQYFKRLIQIPQLPENPPNFLRASALSEHISPVVVIPAEVSSPDSEPVL EKDDLMDMDASQQTLFDNKFDDVFGSSLSSDPFNFNNQNGVNKDEKTQR >ENSMUSP00000144392.1 pep:known chromosome:GRCm38:5:135449749:135489258:-1 gene:ENSMUSG00000039959.12 transcript:ENSMUST00000201998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hip1 description:huntingtin interacting protein 1 [Source:MGI Symbol;Acc:MGI:1099804] MDVNKMTVSVNKAINTQEVAVKEKHARTCILGTHHEKGAQTFWSVVNRLPLSSNAMLCWK FCHVFHKLLRDGHPNVLKDSLRYKNELSDMSRMWGHLSEGYGQLCSIYLKLLRTRMEYHT KNPRFPGNLQMSDRQLDEAGESDVNNFFQLTVEMFDYLECELNLFQTVFNSLDMSRSVSV TTAGQCRLAPLI >ENSMUSP00000113942.2 pep:known chromosome:GRCm38:6:125161853:125166467:-1 gene:ENSMUSG00000057666.18 transcript:ENSMUST00000117757.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapdh description:glyceraldehyde-3-phosphate dehydrogenase [Source:MGI Symbol;Acc:MGI:95640] MLPLPRGPSLGSSGKLRRVFPRPVDKMVKVGVNGFGRIGRLVTRAAICSGKVEIVAINDP FIDLNYMVYMFQYDSTHGKFNGTVKAENGKLVINGKPITIFQERDPTNIKWGEAGAEYVV ESTGVFTTMEKAGAHLKGGAKRVIISAPSADAPMFVMGVNHEKYDNSLKIVSNASCTTNC LAPLAKVIHDNFGIVEGLMTTVHAITATQKTVDGPSGKLWRDGRGAAQNIIPASTGAAKA VGKVIPELNGKLTGMAFRVPTPNVSVVDLTCRLEKPAKYDDIKKVVKQASEGPLKGILGY TEDQVVSCDFNSNSHSSTFDAGAGIALNDNFVKLISWYDNEYGYSNRVVDLMAYMASKE >ENSMUSP00000113213.1 pep:known chromosome:GRCm38:6:125161854:125165773:-1 gene:ENSMUSG00000057666.18 transcript:ENSMUST00000118875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapdh description:glyceraldehyde-3-phosphate dehydrogenase [Source:MGI Symbol;Acc:MGI:95640] MVKVGVNGFGRIGRLVTRAAICSGKVEIVAINDPFIDLNYMVYMFQYDSTHGKFNGTVKA ENGKLVINGKPITIFQERDPTNIKWGEAGAEYVVESTGVFTTMEKAGAHLKGGAKRVIIS APSADAPMFVMGVNHEKYDNSLKIVSNASCTTNCLAPLAKVIHDNFGIVEGLMTTVHAIT ATQKTVDGPSGKLWRDGRGAAQNIIPASTGAAKAVGKVIPELNGKLTGMAFRVPTPNVSV VDLTCRLEKPAKYDDIKKVVKQASEGPLKGILGYTEDQVVSCDFNSNSHSSTFDAGAGIA LNDNFVKLISWYDNEYGYSNRVVDLMAYMASKE >ENSMUSP00000138508.1 pep:known chromosome:GRCm38:6:125162064:125165576:-1 gene:ENSMUSG00000057666.18 transcript:ENSMUST00000183272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapdh description:glyceraldehyde-3-phosphate dehydrogenase [Source:MGI Symbol;Acc:MGI:95640] MVKYDSTHGKFNGTVKAENGKLVINGKPITIFQERDPTNIKWGEAGAEYVVESTGVFTTM EKAGAHLKGGAKRVIISAPSADAPMFVMGVNHEKYDNSLKIVSNASCTTNCLAPLAKVIH DNFGIVEGLMTTVHAITATQKTVDGPSGKLWRDGRGAAQNIIPASTGAAKAVGKVIPELN GKLTGMAFRVPTPNVSVVDLTCRLEKPAKYDDIKKVVKQASEGPLKGILGYTEDQVVSCD FNSNSHSSTFDAGAGIALNDNFVKLISWYDNEYGYSNRVV >ENSMUSP00000138403.1 pep:known chromosome:GRCm38:6:125163175:125165603:-1 gene:ENSMUSG00000057666.18 transcript:ENSMUST00000182052.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapdh description:glyceraldehyde-3-phosphate dehydrogenase [Source:MGI Symbol;Acc:MGI:95640] MVYMFQYDSTHGKFNGTVKAENGKLVINGKPITIFQERDPTNIKWGEAGAEYVVE >ENSMUSP00000138295.1 pep:known chromosome:GRCm38:6:125163288:125165603:-1 gene:ENSMUSG00000057666.18 transcript:ENSMUST00000182277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapdh description:glyceraldehyde-3-phosphate dehydrogenase [Source:MGI Symbol;Acc:MGI:95640] MVKVGVNGFGRIGRLVTRAAICSGKVEIVAINDPFIDLNYMVYMFQYDSTHGKFNGT >ENSMUSP00000073289.8 pep:known chromosome:GRCm38:6:125161854:125166467:-1 gene:ENSMUSG00000057666.18 transcript:ENSMUST00000073605.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapdh description:glyceraldehyde-3-phosphate dehydrogenase [Source:MGI Symbol;Acc:MGI:95640] MVKVGVNGFGRIGRLVTRAAICSGKVEIVAINDPFIDLNYMVYMFQYDSTHGKFNGTVKA ENGKLVINGKPITIFQERDPTNIKWGEAGAEYVVESTGVFTTMEKAGAHLKGGAKRVIIS APSADAPMFVMGVNHEKYDNSLKIVSNASCTTNCLAPLAKVIHDNFGIVEGLMTTVHAIT ATQKTVDGPSGKLWRDGRGAAQNIIPASTGAAKAVGKVIPELNGKLTGMAFRVPTPNVSV VDLTCRLEKPAKYDDIKKVVKQASEGPLKGILGYTEDQVVSCDFNSNSHSSTFDAGAGIA LNDNFVKLISWYDNEYGYSNRVVDLMAYMASKE >ENSMUSP00000119609.1 pep:known chromosome:GRCm38:6:60944476:60989378:1 gene:ENSMUSG00000054641.10 transcript:ENSMUST00000129603.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmrn1 description:multimerin 1 [Source:MGI Symbol;Acc:MGI:1918195] MLGLKFLVLLSILWGRVFRLTNTQHSWTAPKDEDASLTPNPASASVSEILSLQVLSATQN PSTQGPAAAERSSEDDVLLQSTSQPSETSTPPDGRSQTSLEKTGTAVVSLPLSLQDKPSI KPSTGAGTVMLANATLKFLQSFSRKSDQQEVSTKSAGDMGNRSARETHLRRSDNSRNQRP SYQKPSFETTRGKNWCAHVHTKLSPTVILDTGSHLPSGRGSCGWYSSGLCSRRSQKTSNA VYRMQHKIVTSLEWRCCPGYIGPNCQLKVEEQQQLAHSNQAESHTAVDQGRAQQQKQDCG DPAMIQKLAEQLSQQERKLSLLQKKVDNASLVADDMRNAYLSLEGKVGEDNSRQFQSFLK ALKSKSIEDLLKNIVKEQFKVFQDDMQETTAQIFKTVSSLSEDLESTRQAVLQVNQSFVS STAQKDFAFMQENQPTWKDITDLKNSIMNIRQEMALTCEKPVKELEAKQAHLEGALRQEH SQIVLYHQSLNETLSKMQEAHTQLLSVLQVSGTENVATEESLNSNVTKYISVLQETASKQ GLMLLQMLSDLHVQESKISNLTILLEMEKESARGECEEMLSKCRHDFKFQLKDTEENLHV LNQTLSEVIFPMDIKVDKMSEQLNDLTYDMEILQPLLEQRSSLQHQVIHKPKEATVTRRE LQNLIGAINQLNVLTKELTKRHNLLRNEVQSRGEAFERRISEHALETEDGLNKTMTVINN AIDFVQDNYVLKETLSAMTYNPKVCECNQNMDNILTFVSEFQHLNDSIQTLVNNKEKYNF ILQIAKALTAIPKDEKLNQLNFQNIYQLFNETTSQVNKCQQNMSHLEENMLSVTKTAKEF ETRLQGIESKVTKTLIPYYISFKKGGILSNERDVDLQLKVLNTRFKALEAKSIHLSVSFS LLNKTVRELSMACRNASTGTCGQNALIPRWTKGSLPGSQSSQKSLTELVESIVEIKTQAA LSNLTWNVDRLLSDTLANIVKPQKQIKLQKKPNTLKKTVNMTTILIGRTQRNTDTIIHPV AQEHSSCSSFPCQNGGTCISGRSNFICACRHPFMGDTCTVKIKEDDAVAPDFSKGSYRYA PMVAFFVSHTHGMTAPGPILFNDLSVNYGASYNPRTGKFRIPYLGVYIFKYTIESFSAHI SGFFVVDGVDKLRFESENADNEIHCDRVLTGDALFELNYGQEVWLRLVKGTIPIKYPPVT TFSGYLLYRT >ENSMUSP00000145156.1 pep:known chromosome:GRCm38:6:60944478:60989378:1 gene:ENSMUSG00000054641.10 transcript:ENSMUST00000204333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmrn1 description:multimerin 1 [Source:MGI Symbol;Acc:MGI:1918195] MLGLKFLVLLSILWGRVFRLTNTQHSWTAPKDEDASLTPNPASASVSEILSLQVLSATQN PSTQGPAAAERSSEDDVLLQSTSQPSETSTPPDGRSQTSLEKTGTAVVSLPLSLQDKPSI KPSTGAGTVMLANATLKFLQSFSRKSDQQEVSTKSAGDMGNRSARETHLRRSDNSRNQRP SYQKPSFETTRGKNWCAHVHTKLSPTVILDTGSHLPSGRGSCGWYSSGLCSRRSQKTSNA VYRMQHKIVTSLEWRCCPGYIGPNCQLKVEEQQQLAHSNQAESHTAVDQGRAQQQKQDCG DPAMIQKLAEQLSQQERKLSLLQKKVDNASLVADDMRNAYLSLEGKVGEDNSRQFQSFLK ALKSKSIEDLLKNIVKEQFKVFQDDMQETTAQIFKTVSSLSEDLESTRQAVLQVNQSFVS STAQKDFAFMQENQPTWKDITDLKNSIMNIRQEMALTCEKPVKELEAKQAHLEGALRQEH SQIVLYHQSLNETLSKMQEAHTQLLSVLQVSGTENVATEESLNSNVTKYISVLQETASKQ GLMLLQMLSDLHVQESKISNLTILLEMEKESARGECEEMLSKCRHDFKFQLKDTEENLHV LNQTLSEVIFPMDIKVDKMSEQLNDLTYDMEILQPLLEQRSSLQHQVIHKPKEATVTRRE LQNLIGAINQLNVLTKELTKRHNLLRNEVQSRGEAFERRISEHALETEDGLNKTMTVINN AIDFVQDNYVLKETLSAMTYNPKVCECNQNMDNILTFVSEFQHLNDSIQTLVNNKEKYNF ILQIAKALTAIPKDEKLNQLNFQNIYQLFNETTSQVNKCQQNMSHLEENMLSVTKTAKEF ETRLQGIESKVTKTLIPYYISFKKGGILSNERDVDLQLKVLNTRFKALEAKSIHLSVSFS LLNKTVRELSMACRNASTGTCGQNALIPRWTKGSLPGSQSSQKSLTELVESIVEIKTQAA LSNLTWNVDRLLSDTLANIVKPQKQIKLQKKPNTLKKTVNMTTILIGRTQRNTDTIIHPA QEHSSCSSFPCQNGGTCISGRSNFICACRHPFMGDTCTVKIKEDDAVAPDFSKGSYRYAP MVAFFVSHTHGMTAPGPILFNDLSVNYGASYNPRTGKFRIPYLGVYIFKYTIESFSAHIS GFFVVDGVDKLRFESENADNEIHCDRVLTGDALFELNYGQEVWLRLVKGTIPIKYPPVTT FSGYLLYRT >ENSMUSP00000103793.2 pep:known chromosome:GRCm38:11:82952095:82960012:1 gene:ENSMUSG00000054404.12 transcript:ENSMUST00000108158.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn5 description:schlafen 5 [Source:MGI Symbol;Acc:MGI:1329004] MSFLEDLELNFAECIQDGGKATLGVRQREEMDTTHCMKQNEIISQAVCALLNSGGGVVRV EIENGDYNFERDGVGLNLPPLFRNHLDEMLYGKLFLIYVSSWDVAASHVRLATLCSNLYH RCGTFTEVMDPEKALKFLKRVQDPRILGDSDSLNLQEAPVDDAQMILASDLFHSPQLQYL EKLNFTKSSHVEFQMFSADLSQGIRERLPKCVSALANSEGGYVFFGVHDETRHVIGCEKE KINCTNLKSTIDACIRKMPVYHFCGQNHKVQYELKFLEVYDKEALHGYVCAIKVERFCCA AFAKAPDSWEIKDNNKKPLTANDWASRMIEINPDLSSFPQMIPWKSMLNTTPCSKTVFTH KYLKCVEDLQKDYFPVSP >ENSMUSP00000064819.2 pep:known chromosome:GRCm38:11:82952102:82962941:1 gene:ENSMUSG00000054404.12 transcript:ENSMUST00000067443.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn5 description:schlafen 5 [Source:MGI Symbol;Acc:MGI:1329004] MSFLEDLELNFAECIQDGGKATLGVRQREEMDTTHCMKQNEIISQAVCALLNSGGGVVRV EIENGDYNFERDGVGLNLPPLFRNHLDEMLYGKLFLIYVSSWDVAASHVRLATLCSNLYH RCGTFTEVMDPEKALKFLKRVQDPRILGDSDSLNLQEAPVDDAQMILASDLFHSPQLQYL EKLNFTKSSHVEFQMFSADLSQGIRERLPKCVSALANSEGGYVFFGVHDETRHVIGCEKE KINCTNLKSTIDACIRKMPVYHFCGQNHKVQYELKFLEVYDKEALHGYVCAIKVERFCCA AFAKAPDSWEIKDNNKKPLTANDWASRMIEINPDLSSFPQMIPWKSMLNTTPCSKTVFTH KYLKCVEDLQKDYFPVSPNRITYTPESVYKDLFADYRGLRNLINMEMRCFSQGILIFSHS WAVDLGLQRRQDVICDALLISPNNVPILYTICNKWDLGNRHYSMKVARTLKQKLVNMGGY PGRLGIIPLVLPLGSHQRVRNDLEMPVYPESYNFITTQQVEALLQSLVIILFGFRPLLNE ELNLESETVALLSDQQYGLLSTNLSKHREMFVHGLPGSGKTTLALMIVGKIRNVFNCQAD NILYICENQSLKRFIVRKNVCQAVTRKTFMKNTFDNVQHIIVDEAQNFRTEDGNWYAKAK AITQRARDGPGVLYIFLDYFQINHLCCSGLPELQHQKPLLKLTRMLRSGDNITSYLQDIM HQIRENPPPNVPQEALMVGEELEWGPDVTGNLEITDNLNLEQMSVYVAEKCQSLWRSGYF TDVAVLFTRARDIEKCRDKLLLAMRRRSMSQLAEEPSLLVQVREGLDSLGSHVVLESVHR FSGMERSIVFGIIPMGSETAIFYNALLCLASRARTHLYIVKVVF >ENSMUSP00000103792.1 pep:known chromosome:GRCm38:11:82954661:82961906:1 gene:ENSMUSG00000054404.12 transcript:ENSMUST00000108157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slfn5 description:schlafen 5 [Source:MGI Symbol;Acc:MGI:1329004] MSFLEDLELNFAECIQDGGKATLGVRQREEMDTTHCMKQNEIISQAVCALLNSGGGVVRV EIENGDYNFERDGVGLNLPPLFRNHLDEMLYGKLFLIYVSSWDVAASHVRLATLCSNLYH RCGTFTEVMDPEKALKFLKRVQDPRILGDSDSLNLQEAPVDDAQMILASDLFHSPQLQYL EKLNFTKSSHVEFQMFSADLSQGIRERLPKCVSALANSEGGYVFFGVHDETRHVIGCEKE KINCTNLKSTIDACIRKMPVYHFCGQNHKVQYELKFLEVYDKEALHGYVCAIKVERFCCA AFAKAPDSWEIKDNNKKPLTANDWASRMIEINPDLSSFPQMIPWKSMLNTTPCSKTVFTH KYLKCVEDLQKDYFPVSPNRITYTPESVYKDLFADYRGLRNLINMEMRCFSQGILIFSHS WAVDLGLQRRQDVICDALLISPNNVPILYTICNKWDLGNRHYSMKVARTLKQKLVNMGGY PGRLGIIPLVLPLGSHQRVRNDLEMPVYPESYNFITTQQVEALLQSLVIILFGFRPLLNE ELNLESETVALLSDQQYGLLSTNLSKHREMFVHGLPGSGKTTLALMIVGKIRNVFNCQAD NILYICENQSLKRFIVRKNVCQAVTRKTFMKNTFDNVQHIIVDEAQNFRTEDGNWYAKAK AITQRARDGPGVLYIFLDYFQINHLCCSGLPELQHQKPLLKLTRMLRSGDNITSYLQDIM HQIRENPPPNVPQEALMVGEELEWGPDVTGNLEITDNLNLEQMSVYVAEKCQSLWRSGYF TDVAVLFTRARDIEKCRDKLLLAMRRRSMSQLAEEPSLLVQVREGLDSLGSHVVLESVHR FSGMERSIVFGIIPMGSETAIFYNALLCLASRARTHLYIVKVVF >ENSMUSP00000138459.1 pep:known chromosome:GRCm38:6:8630527:8758399:-1 gene:ENSMUSG00000062995.12 transcript:ENSMUST00000156695.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ica1 description:islet cell autoantigen 1 [Source:MGI Symbol;Acc:MGI:96391] MSGHKCYSWELQDRFAQDKSVVNKMQQKYWETKQAFIKATGKKEDEHVVASDADLDAKLE LFHSIQRTCLDLSKAIVLYQKRICFLSQEENELGKFLRSQGFQDKTRAGKMMQATGKALC FSSQQRLALRNPLCRFHQEVETFRHRAISDTWLTVNRMEQYRTEYRGALLWMKDVSQELD PDLYKQMEKFRKVQTQVRLAKKNFDKLKMDVCQKVDLLGASRCNLLSHMLATYQTTLLHF WEKTSHTMAAIHESFKGYQPYEFTTLKSLQDPMKKLVEKEGKKTSWRENREAVAPEPRQT HR >ENSMUSP00000111181.1 pep:known chromosome:GRCm38:6:8630527:8778154:-1 gene:ENSMUSG00000062995.12 transcript:ENSMUST00000115519.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1 description:islet cell autoantigen 1 [Source:MGI Symbol;Acc:MGI:96391] MSGHKCYSWELQDRFAQDKSVVNKMQQKYWETKQAFIKATGKKEDEHVVASDADLDAKLE LFHSIQRTCLDLSKAIVLYQKRICFLSQEENELGKFLRSQGFQDKTRAGKMMQATGKALC FSSQQRLALRNPLCRFHQEVETFRHRAISDTWLTVNRMEQYRTEYRGALLWMKDVSQELD PDLYKQMEKFRKVQTQVRLAKKNFDKLKMDVCQKVDLLGASRCNLLSHMLATYQTTLLHF WEKTSHTMAAIHESFKGYQPYEFTTLKSLQDPMKKLVEKEGKKTSWRENREAVAPEPRQL ISLEDEHKDSSAYKTEEGTSVLSSVDKGSVHDTCSACLGPTAGTPEPESGDKDDLLLLNE IFSTSCLDEGEFSREWAAVFGDDQLKEPAPMGAQGEPDPKPQIGSGFLPSQLLDQNMKDL QASLQEPAKAASDLTAWFSLFADLDPLSNPDAVGKTDKEHELLNA >ENSMUSP00000111182.1 pep:known chromosome:GRCm38:6:8630527:8778439:-1 gene:ENSMUSG00000062995.12 transcript:ENSMUST00000115520.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1 description:islet cell autoantigen 1 [Source:MGI Symbol;Acc:MGI:96391] MSGHKCYSWELQDRFAQDKSVVNKMQQKYWETKQAFIKATGKKEDEHVVASDADLDAKLE LFHSIQRTCLDLSKAIVLYQKRICFLSQEENELGKFLRSQGFQDKTRAGKMMQATGKALC FSSQQRLALRNPLCRFHQEVETFRHRAISDTWLTVNRMEQYRTEYRGALLWMKDVSQELD PDLYKQMEKFRKVQTQVRLAKKNFDKLKMDVCQKVDLLGASRCNLLSHMLATYQTTLLHF WEKTSHTMAAIHESFKGYQPYEFTTLKSLQDPMKKLVEKEGKKTSWRENREAVAPEPRQL ISLEDEHKDSSAYKTEEGTSVLSSVDKGSVHDTCSGPIDELLDGKPEEACLGPTAGTPEP ESGDKDDLLLLNEIFSTSCLDEGEFSREWAAVFGDDQLKEPAPMGAQGEPDPKPQIGSGF LPSQLLDQNMKDLQASLQEPAKAASDLTAWFSLFADLDPLSNPDAVGKTDKEHELLNA >ENSMUSP00000040062.5 pep:known chromosome:GRCm38:6:8630527:8778484:-1 gene:ENSMUSG00000062995.12 transcript:ENSMUST00000038403.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1 description:islet cell autoantigen 1 [Source:MGI Symbol;Acc:MGI:96391] MSGHKCYSWELQDRFAQDKSVVNKMQQKYWETKQAFIKATGKKEDEHVVASDADLDAKLE LFHSIQRTCLDLSKAIVLYQKRICFLSQEENELGKFLRSQGFQDKTRAGKMMQATGKALC FSSQQRLALRNPLCRFHQEVETFRHRAISDTWLTVNRMEQYRTEYRGALLWMKDVSQELD PDLYKQMEKFRKVQTQVRLAKKNFDKLKMDVCQKVDLLGASRCNLLSHMLATYQTTLLHF WEKTSHTMAAIHESFKGYQPYEFTTLKSLQDPMKKLVEKEGKKTSWRENREAVAPEPRQL ISLEDEHKDSSAYKTEEGTSVLSSVDKGSVHDTCSGPIDELLDGKPEEACLGPTAGTPEP ESGDKDDLLLLNEIFSTSCLDEGEFSREWAAVFGDDQLKEPAPMGAQGEPDPKPQIGSGF LPSQLLDQNMKDLQASLQEPAKAASDLTAWFSLFADLDPLSNPDAVGKTDKEHELLNA >ENSMUSP00000117734.1 pep:known chromosome:GRCm38:6:8658284:8778154:-1 gene:ENSMUSG00000062995.12 transcript:ENSMUST00000153390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1 description:islet cell autoantigen 1 [Source:MGI Symbol;Acc:MGI:96391] MSGHKCYSWELQDRFAQDKSVVNKMQQKYWETKQAFIKATGKKEDEHVVASDADLDAKLE LFHSIQRTCLDLSKAIVLYQKRICFLSQEENELGKFLRSQGFQDKTRAGKMMQATGKALC FSSQQRLALRNPLCRFHQEVETFRHRAISDTWLTVNRMEQYRTEYRGALLWMKDVSQELD PDLYKQMEKFRKVQTQVRLAKKNFDKLKMDVCQKVDLLGASRCNLLSHMLATYQTTLLHF WEKTSHTMAAIHESFKGYQPYEFTTLKSLQDPMKKLV >ENSMUSP00000118194.1 pep:known chromosome:GRCm38:6:8658991:8672317:-1 gene:ENSMUSG00000062995.12 transcript:ENSMUST00000127398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1 description:islet cell autoantigen 1 [Source:MGI Symbol;Acc:MGI:96391] XMLATYQTTLLHFWEKTSHTMAAIHESFKGYQPYEFTTLKVSATGVQFVRSCSFITTTTK KLSLNV >ENSMUSP00000111180.1 pep:known chromosome:GRCm38:6:8659001:8778487:-1 gene:ENSMUSG00000062995.12 transcript:ENSMUST00000115518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1 description:islet cell autoantigen 1 [Source:MGI Symbol;Acc:MGI:96391] MSGHKCYSWELQDRFAQDKSVVNKMQQKYWETKQAFIKATGKKEDEHVVASDADLDAKLE LFHSIQRTCLDLSKAIVLYQKRICFLSQEENELGKFLRSQGFQDKTRAGKMMQATGKALC FSSQQRLALRNPLCRFHQEVETFRHRAISDTWLTVNRMEQYRTEYRGALLWMKDVSQELD PDLYKQMEKFRKVQTQVRLAKKNFDKLKMDVCQKVDLLGASRCNLLSHMLATYQTTLLHF WEKTSHTMAAIHESFKGYQPYEFTTLKDITYTLLHGSRAGDSHRGVKWLFPGSATVPFAS TAIPSLSGSW >ENSMUSP00000116861.1 pep:known chromosome:GRCm38:6:8659002:8737097:-1 gene:ENSMUSG00000062995.12 transcript:ENSMUST00000126430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1 description:islet cell autoantigen 1 [Source:MGI Symbol;Acc:MGI:96391] RGALLWMKDVSQELDPDLYKQMEKFRKVQTQVRLAKKNFDKLKMDVCQKVDLLGASRCNL LSHMLATYQTTLLHFWEKTSHTMAAIHESFKGYQPYEFTTLKELCCYKVSIKRHTLIGLL TQLGVRRTFLNLECPVKLCRK >ENSMUSP00000118010.1 pep:known chromosome:GRCm38:6:8749729:8770540:-1 gene:ENSMUSG00000062995.12 transcript:ENSMUST00000126039.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1 description:islet cell autoantigen 1 [Source:MGI Symbol;Acc:MGI:96391] MSGHKCYSWELQDRFAQDKSVVNKMQQKYWETKQAFIKATGKKEDEHVVASDADLDAKLE LFHSIQRTCLDLS >ENSMUSP00000117112.1 pep:known chromosome:GRCm38:6:8754634:8778123:-1 gene:ENSMUSG00000062995.12 transcript:ENSMUST00000151758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1 description:islet cell autoantigen 1 [Source:MGI Symbol;Acc:MGI:96391] MSGHKCYSWELQDRFAQDKSVVNKMQQKYWETKQAFIKATGKKED >ENSMUSP00000084377.5 pep:known chromosome:GRCm38:17:37748855:37753009:1 gene:ENSMUSG00000060017.7 transcript:ENSMUST00000074555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr121 description:olfactory receptor 121 [Source:MGI Symbol;Acc:MGI:2177504] MSINCSLWQENSLSVKRFAFSKFSEVPGECFLLFTLILLMFLVSLTGNELIAIAICTSPA LHTPMYFFLANLSLLEIGYTCSVIPKMLQSLVSEAREISREGCATQMFFFTFFGITECCL LAAMAYDRCMAICSPLHYATRMSHGVCAHLAIVSWGMGCIVGLGQTNFIFSLNFCGPCEI DHFFCDLPPVLALACGDTSQNEAAIFVAAILCISSPFLLIIYSYVRILVAVLVMPSPEGR HKALSTCSSHLLVVTLFFGSGSITYLRPKSSHLPGMDKLLALFYTAVTSMLNPIIYSLRN KEVKAALRKTLSLKTSRAINR >ENSMUSP00000021807.6 pep:known chromosome:GRCm38:13:47084782:47106190:-1 gene:ENSMUSG00000021377.14 transcript:ENSMUST00000021807.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dek description:DEK oncogene (DNA binding) [Source:MGI Symbol;Acc:MGI:1926209] MSAAAAPAAEGEDAPVPPSSEKEPEMPGPREESEEEEEDDEDDDEEDEEEEKEKSLIVEG KREKKKVERLTMQVSSLQREPFTVTQGKGQKLCEIERIHFFLSKKKPDELRNLHKLLYNR PGTVSSLKKNVGQFSGFPFEKGSTQYKKKEEMLKKFRNAMLKSICEVLDLERSGVNSELV KRILNFLMHPKPSGKPLPKSKKSSSKGSKKERNSSGTTRKSKQTKCPEILSDESSSDEDE KKNKEESSEDEEKESEEEQPPKKTSKKEKAKQKATAKSKKSVKSANVKKADSSTTKKNQK SSKKESESEDSSDDEPLIKKLKKPPTDEELKETVKKLLADANLEEVTMKQICKEVYENYP AYDLTERKDFIKTTVKELIS >ENSMUSP00000121663.1 pep:known chromosome:GRCm38:13:47088595:47106201:-1 gene:ENSMUSG00000021377.14 transcript:ENSMUST00000135278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dek description:DEK oncogene (DNA binding) [Source:MGI Symbol;Acc:MGI:1926209] MSAAAAPAAEGEDAPVPPSSEKEPEMPGPREESEEEEEDDEDDDEEDEEEEKEKSLIVEG KREKKKVERLTMQVSSLQREPFTVTQGKGQKLCEIERIHFFLSKKKPDELRNLHKLLYNR PGTVSSLKKNVGQFSGFPFEKGSTQYKKKEEMLKKFRNAMLKSICEVLDLERSGVNSELV KRILNFLMHPKPSGKQPPKKTS >ENSMUSP00000114392.1 pep:known chromosome:GRCm38:13:47098155:47105830:-1 gene:ENSMUSG00000021377.14 transcript:ENSMUST00000129352.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dek description:DEK oncogene (DNA binding) [Source:MGI Symbol;Acc:MGI:1926209] MSAAAAPAAEGEDAPVPPSSEKEPEMPGPREESEEEEEDDEDDDEEDEEEEKEKSLIVEG KREKKKVERLTMQVSSLQREPFTVTQGKGQKLCEIERIHFFLSKKKPDELRNLHKLLYNR PGTVSSLKKNVGQFSGFPFEKGSTQYKKKEEMLKKFRNAMLKSICEVLDLERSGVNSELV KRILNFLMHPKPSGKPLPKSKKSSSKGSKKERNSSGTTRKSKQTKCPEILSDESSSDEDE KKNKEESSEDEEKESEEE >ENSMUSP00000073241.5 pep:known chromosome:GRCm38:12:116047724:116060592:1 gene:ENSMUSG00000042063.11 transcript:ENSMUST00000073551.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp386 description:zinc finger protein 386 (Kruppel-like) [Source:MGI Symbol;Acc:MGI:1930708] MEMEQLTFRDVAVDFSPDEWECLDPPQQRLYRDVMVENYRNLVSVALSHHCTQNFSPEQI LKYSFTEVTNGSYSLQHLYLREDCANVGGNKAAACHGRHKQCLITSNTKDNRNQEQKTAL KKPHARTDTFDEPCVYNCKYPQEIFQHDLTLKESWEDLIDRLIFHSSNFIDKELRNRGPI SKSDQVESSYPGRAILFSQQIPVSCGQKHNTNDCGLPATDPLLHSQNLDTDIWETPYMYK EISKGFSSGSLLNSCNDVVILEKSGQRDKVQNDFDHCLSPNNHQCNLPKKLFNCDNFFTQ CSKLTIQQCNYIQDNHYKSIDCDTMFNKTLNVTRRKIQHSKKSYKCNECGKAFKYCSSYR KHSIIHTGEKPYKCKLCGKSFTQCASLKKHQRIHTGEKPYRCEECGRSFNHYSILGQHQR IHTGEKPYKCKQCGKSFTQCSSLQKHQVIHTGEKPYRCAECGKSFTQNSTLSQHQRIHTG EKPYKCEECGKAFTQCSSLRKHQRIHTGEKPYKCEVCGRAFNCRSSFTKHKRIHTGEKPY KCKDCDKAFIHCTNLIQHQRIHTGEKPYKCNECGKSFSQCSNLRKHERIHT >ENSMUSP00000138305.1 pep:known chromosome:GRCm38:12:116047776:116063360:1 gene:ENSMUSG00000042063.11 transcript:ENSMUST00000183125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp386 description:zinc finger protein 386 (Kruppel-like) [Source:MGI Symbol;Acc:MGI:1930708] MEMEQLTFRDVAVDFSPDEWECLDPPQQRLYRDVMVENYRNLVSVGEDSIPAELPTHCHH FMPFLSKMSAIACAFHKQYKPLSHHCTQNFSPEQILKYSFTEVTNGSYSLQHLYLREDCA NVGGNKAAACHGRHKQCLITSNTKDNRNQEQKTALKKPHARTDTFDEPCVYNCKYPQEIF QHDLTLKESWEDLIDRLIFHSSNFIDKELRNRGPISKSDQVESSYPGRAILFSQQIPVSC GQKHNTNDCGLPATDPLLHSQNLDTDIWETPYMYKEISKGFSSGSLLNSCNDVVILEKSG QRDKVQNDFDHCLSPNNHQCNLPKKLFNCDNFFTQCSKLTIQQCNYIQDNHYKSIDCDTM FNKTLNVTRRKIQHSKKSYKCNECGKAFKYCSSYRKHSIIHTGEKPYKCKLCGKSFTQCA SLKKHQRIHTGEKPYRCEECGRSFNHYSILGQHQRIHTGEKPYKCKQCGKSFTQCSSLQK HQVIHTGEKPYRCAECGKSFTQNSTLSQHQRIHTGEKPYKCEECGKAFTQCSSLRKHQRI HTGEKPYKCEVCGRAFNCRSSFTKHKRIHTGEKPYKCKDCDKAFIHCTNLIQHQRIHTGE KPYKCNECGKSFSQCSNLRKHERIHT >ENSMUSP00000038387.7 pep:known chromosome:GRCm38:3:121291773:121363094:1 gene:ENSMUSG00000039887.11 transcript:ENSMUST00000039442.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg14 description:asparagine-linked glycosylation 14 [Source:MGI Symbol;Acc:MGI:1914039] MLSILILAATAAGLVILLFQRLWTVLGPHHVTPRESLRLLIVAGSGGHTTEILRLVGSLS NAYSPRHYVIAESDEMSAKKIHSLEELSRAQNDSTTEYPKYHLHRIPRSREVRQSWLSSV FTTFYSMWFSFPLVLRIKPDLVLCNGPGTCVPICVSALLLGILGVKKVIIVYVESICRVE TLSLSGKILRHLSDYFIVQWPTLKEKYPKSVYLGRIV >ENSMUSP00000142989.1 pep:known chromosome:GRCm38:3:121291798:121320197:1 gene:ENSMUSG00000039887.11 transcript:ENSMUST00000198235.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg14 description:asparagine-linked glycosylation 14 [Source:MGI Symbol;Acc:MGI:1914039] MRHPLNAEHSDSSCYSRRASDLAIPATMDRAWAPSRHSPRVSQTLDRGWIRILVPRWTHH >ENSMUSP00000142857.1 pep:known chromosome:GRCm38:3:121291827:121361707:1 gene:ENSMUSG00000039887.11 transcript:ENSMUST00000199554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg14 description:asparagine-linked glycosylation 14 [Source:MGI Symbol;Acc:MGI:1914039] MLSILILAATAAGLVILLFQRLWTVLGPHHVTPRESLRLLIVAGSVPQVPPSPNSEKPGG SAVLALLRVHYLLLHVVLLPTGSPNKARFGAV >ENSMUSP00000142775.1 pep:known chromosome:GRCm38:3:121291890:121320661:1 gene:ENSMUSG00000039887.11 transcript:ENSMUST00000198341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg14 description:asparagine-linked glycosylation 14 [Source:MGI Symbol;Acc:MGI:1914039] VILLFQRLWTVLGPHHVTPRESLRLLIVAGSGGHTTEILRLVGSLSNAYSPRHYVIAESD EMSAKKIHSLEELSRAQNDSTTEYPKYHLHRIPRSREVRQSWLSSVFTTFYSMWFSFPLV LRIKPDLVCKPVP >ENSMUSP00000026432.6 pep:known chromosome:GRCm38:15:16778101:16857094:1 gene:ENSMUSG00000025370.6 transcript:ENSMUST00000026432.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh9 description:cadherin 9 [Source:MGI Symbol;Acc:MGI:107433] MRTYSCLQLVIWTCIFHMVDNSTLQGKDSSHFLRRIVNLKKDEGKMLHRAKRGWMWNQFF LLEEYTGTDTQYVGKLHTDQDKGDGNLKYILTGDGAGNLFVIDENTGDIHAAKRLDREEK SLYILRAKAIDRKTGRQVEPESEFIIKIHDINDNEPKFTKDLYTASVPEMSGVGTSVIQV TATDADDANYGNSAKVVYSILQGQPYFSVDPESGIIKTALPDMSRENKEQYQVVIQAKDM GGQMGGLSGTTTVNITLTDVNNNPPRFPQSTYQFNSLESAPLGTHLGRIKANDPDMGENA ELEYSIAEGEGSDMFDVITDKDTQEGIITVKQNLDFEKKMLYTLRVDASNTHPDPRFLHL GPFKDSAMVKISVEDVDEPPVFSKLSYLMEVDEDVKEGSIIGQVTAYDPDAMNNIIKYSV DRHTDMDRVFSIHSENGSIFTLKPLDRESSPWHNITITATEINNPKQSSQIPVFIRILDI NDHAPEFATYYETFVCENAKSGQLIQTISVMDKDDPPRGHKFFFEPVPEFPLNPNFTIVD NKDNTAGIVTRKDGYSRNKMNTYLLPVLIFDNDYPIQSSTGTLTIRVCACDNLGNMQSCN AEALMLAAGLSTGALIAILLCVVILLTLIVLFAALKRQRKKEPLIISKDDVRDNIVTYND EGGGEEDTQAFDIGTLRNPEAREDSKLRRDVMPETIFQIRRTVPLWENIDVQDFIHRRLK ENDSDPSAPPYDSLATYAYEGNDSVANSLSSLESLTADCNQDYDYLSDWGPRFKKLAEMY GGNDSDLN >ENSMUSP00000143041.1 pep:known chromosome:GRCm38:5:52374651:52471483:-1 gene:ENSMUSG00000045790.9 transcript:ENSMUST00000198008.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc149 description:coiled-coil domain containing 149 [Source:MGI Symbol;Acc:MGI:2685293] MDGDRTESDWQGLVSEYLVCKRKLESKKEALLILSKELDTCQQERDQYKLMANQLRERHQ SLKKKYRELIDGDPSLPPEKRKQANLAQLLRESQDRTKHLGEEIKELRQRLGEVQGDNKG RFRSRKMKAAQLLRHWQSLRMLESLLSAPQPR >ENSMUSP00000062411.5 pep:known chromosome:GRCm38:5:52374651:52471521:-1 gene:ENSMUSG00000045790.9 transcript:ENSMUST00000059428.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc149 description:coiled-coil domain containing 149 [Source:MGI Symbol;Acc:MGI:2685293] MDGDRTESDWQGLVSEYLVCKRKLESKKEALLILSKELDTCQQERDQYKLMANQLRERHQ SLKKKYRELIDGDPSLPPEKRKQANLAQLLRESQDRTKHLGEEIKELRQRLGEVQGDNKL LRMTIAKQRLGDEEIGMRHFAAHEREDLVEQLERAKEQIESLEHDLQASADELQDVKEER ASYQDKVDRLNQELNHILGGHENRIIDVDALCMENRYLQERLKQLHEEVSLLKSNIAKYK NALERRKNSKSQGKSSSSALTGVLSAKQVQDLLSEDHGCSLPATPQSIADLKSLATALLE TIHEKNMVIQHQRQTNRILGNRVAELEKKLRTLEVSGLWSLPGGKDTILLNDQTLPTIQR SKSPLLKFVEQSTDNKESARDGEIQKQEDESCAAAEALAEPEDAGKPAVSSTAPLSHANQ QHLDFHHPPVPQPPSEEVNTVGRESIQLTDGQQAADLEEVPREAPTEEQKCEPGLPPSSL ASQEECTTTFQDHLPSTQPEVKASSVGGNRGISEGGDTRSTVHT >ENSMUSP00000053349.4 pep:known chromosome:GRCm38:6:34871771:34878021:-1 gene:ENSMUSG00000046806.13 transcript:ENSMUST00000055097.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110062M04Rik description:RIKEN cDNA 3110062M04 gene [Source:MGI Symbol;Acc:MGI:1925662] METLKSKTKTRVLPSWMTAPVDERKVVSVKTATRKQTAAWAQRVGAATRAPATETVYCMN EAEMVDVALGILIEGRKQEKPWEQRSLEATDKLQLSPPCSSSPGSSSEEEDSRISSLAPG LSPPRGPEASDSPCSRSPEEEKEEEDALKYVREIFFS >ENSMUSP00000110659.2 pep:known chromosome:GRCm38:6:34871840:34878060:-1 gene:ENSMUSG00000046806.13 transcript:ENSMUST00000115007.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110062M04Rik description:RIKEN cDNA 3110062M04 gene [Source:MGI Symbol;Acc:MGI:1925662] METLKSKTKTRVLPSWMTAPVDERKVVSVKTATRKQTAAWAQRVGAATRAPATETVYCMN EAEMVDVALGILIEGRKQEKPWEQRSLEATDKLQLSPPCSSSPGSSSEEEDSRISSLAPG LSPPRGPEASDSPCSRSPEEEKEEEDALKYVREIFFS >ENSMUSP00000110658.1 pep:known chromosome:GRCm38:6:34873695:34878009:-1 gene:ENSMUSG00000046806.13 transcript:ENSMUST00000115006.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110062M04Rik description:RIKEN cDNA 3110062M04 gene [Source:MGI Symbol;Acc:MGI:1925662] METLKSKTKTRVLPSWMTAPVDERKVVSVKTATRKQTAAWAQRVGAATRAPATETVYCMN EAEMVDVALGILIEVTSVLSLVSPMPGDSSWVGTSTGPPLLGRKQEKPWEQRSLEATDKL QLSPPCSSSPGSSSEEEDSRISSLAPGLSPPRGPEASDSPCSRSPEEEKEEEDALKYVRE IFFS >ENSMUSP00000113335.1 pep:known chromosome:GRCm38:6:34874646:34877129:-1 gene:ENSMUSG00000046806.13 transcript:ENSMUST00000118559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110062M04Rik description:RIKEN cDNA 3110062M04 gene [Source:MGI Symbol;Acc:MGI:1925662] METLKSKTKTRVLPSWMTAPVDERKVVSVKTATRKQTAAWAQRVGAATRAPATETVYCMN EAEMVDVALGILIEGRKQEKPWEQRSLEAT >ENSMUSP00000030937.1 pep:known chromosome:GRCm38:4:155650655:155653384:-1 gene:ENSMUSG00000029061.2 transcript:ENSMUST00000030937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp23 description:matrix metallopeptidase 23 [Source:MGI Symbol;Acc:MGI:1347361] MGCRACLRPEASGAVQGRWLGAALSGLCLLSALALLEWLGAPTETAWRAAQGNVDAPNVG SSTAQVPRLLTMSVTRRRRYTLTPARLRWDHFNLTYRVLSFPRNLLSPEETRRGLAAAFR MWSDVSPFSFREVAPERPSDLKIGFYPVNHTDCLVSAVHHCFDGPTGELAHAFFPPHGGI HFDDSEYWVLGPTRYSWKKGVWLTNLVHVAAHEIGHALGLMHSQQDQALMHLNATLRGWK ALSQDELWGLHRLYGCLDRIFVCASWARKGFCDVRQRLMKRLCPRSCDFCYEFPFPTVAT TTSPTRTKTRLVREGRNMTFHCGQKILHKKGKVYWYKDQEPLEFSYPGYLALGEAQLSII ANAVNEGTYTCVVRRHQRVLSTYSWRVRVRN >ENSMUSP00000092087.3 pep:known chromosome:GRCm38:4:144613707:144623398:1 gene:ENSMUSG00000070609.3 transcript:ENSMUST00000094510.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13177 description:predicted gene 13177 [Source:MGI Symbol;Acc:MGI:3650257] MAIPWLVLLVSLSTFYLGVFIWTVIQHFLTVEIPSALKHPVKFRLLHCLMLYIITLGNIL EKMKICSMIRFIQFFQDLIVIKKNHNLVVTNMHFGTIPVRLFQPKAVSSEGRRGIIFYHG GGAICGSLDCYHNLCSFLAQQTDSVVLSVGYRKLPDHHYPCISKDCLNASIHFLKNLMTY GVDPSRVVACGESIGGGTVALVTQALVSFPSLPHIRAQVLITPSMQAINFRLPSHQQNQN VPFLTRDILTIAVCKYLDIDLSWKDALFNGTYMHPDTWEKYRKWLSSDNIPRRFKNKSLL PEFSRPFNEAAFLEIRHNSGLEVSPILVDDKIIAQVPEAFLVTCENDPLRDDALLYKKRL EDQGVPVTWYHVEDGFHGCILLFDKQPFSFPCSIKIANAVASYIKGI >ENSMUSP00000041298.5 pep:known chromosome:GRCm38:10:63386563:63408840:1 gene:ENSMUSG00000036764.11 transcript:ENSMUST00000043317.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc12 description:DnaJ heat shock protein family (Hsp40) member C12 [Source:MGI Symbol;Acc:MGI:1353428] MDAILNYRPEGSEDYYALLGCDELSSVEQILAEFKIRALECHPDKHPENSKAVETFQKLQ KAKEILCNAESRARYDHWRRSQMSMPFEQWEALADSVKTSMHWAVRSKKDLMLEGSGQTF TSSVPNKERSEQRETKKGDPDSNPEKMKQKEPKFPEEGISPQNPDSPGLSDLNCGHLRFR WSGDAPSELLRKFRNYEI >ENSMUSP00000120892.1 pep:known chromosome:GRCm38:10:63382443:63408280:1 gene:ENSMUSG00000036764.11 transcript:ENSMUST00000129625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc12 description:DnaJ heat shock protein family (Hsp40) member C12 [Source:MGI Symbol;Acc:MGI:1353428] MSMPFEQWEALADSVKTSMHWAVRSKKDLMLEGSGQTFTSSVPNKERSEQRETKKGDPDS NPEKMKQKEPKFPEEGISPQNPDSPGLSDLNCGHLRFRWSGDAPSELLRKFRNYEI >ENSMUSP00000001183.7 pep:known chromosome:GRCm38:10:76575648:76589561:1 gene:ENSMUSG00000001155.13 transcript:ENSMUST00000001183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ftcd description:formiminotransferase cyclodeaminase [Source:MGI Symbol;Acc:MGI:1339962] MSQLVECVPNFSEGNNQEVIDAISRAISQTPGCVLLDVDAGPSTNRTVYTFVGQPECVVE GALHAARTASQLIDMSKHKGEHPRMGALDVCPFIPVRGVSMEECVLCAKAFGQRLAEELN VPVYLYGEAAQTPSRQTLPAIRAGEYEALPEKLKQAEWVPDFGPSSFVPSWGATVTGARK FLIAFNINLLSTKEQAHRIALNLREQGRGKDQPGRLKKVQGIGWYLEEKNLAQVSTNLLD FEVTALHTVFEEARREAQELNLPVVGSQLVGLVPLKALLDAAAFYCDKEKLFVLEEEHRI RLVVNRLGLDSLAPFDPKERIIEYLVPDSGPEQSLLDTSLRGFVREVGARSAAPGGGSVA AAVAALGAALASMVGQMTYGRRQFDHLDSTMRRLIPPFHAASAQLTSLVDADARAFAACL EAIKLPKNTPEERDRRACALQEGLRQAVAVPLKLAETVSQLWPALQELAHCGNLSCLSDL QVAAKALETGVFGAYFNVLINLKDMTDDVFKEKTHHRISSLLQEAKTQAALVLGSLEARK E >ENSMUSP00000092408.4 pep:known chromosome:GRCm38:4:120666572:120667820:1 gene:ENSMUSG00000070803.6 transcript:ENSMUST00000094814.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cited4 description:Cbp/p300-interacting transactivator, with Glu/Asp-rich carboxy-terminal domain, 4 [Source:MGI Symbol;Acc:MGI:1861694] MADHLMLAEGYCLLQVPPHTHGPHAPRTLQPYAGPGMDSGLRPRGAPLGPPPPPGTLAYG SFGSPVSFQPFPVSQSPGAGSTHLQSAATPSPGRIPAPPAAAGGPSPLQPAPGAAASLPP PPPPPALGCMDTELIDEEALTSLELELGLHRVRELPELFLGQSEFDCFSDLGSAPAAGSV SC >ENSMUSP00000027639.1 pep:known chromosome:GRCm38:1:120474538:120505024:-1 gene:ENSMUSG00000026390.7 transcript:ENSMUST00000027639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marco description:macrophage receptor with collagenous structure [Source:MGI Symbol;Acc:MGI:1309998] MGSKELLKEEDFLGSTEDRADFDQAMFPVMETFEINDPVPKKRNGGTFCMAVMAIHLILL TAGTALLLIQVLNLQEQLQMLEMCCGNGSLAIEDKPFFSLQWAPKTHLVPRAQGLQALQA QLSWVHTSQEQLRQQFNNLTQNPELFQIKGERGSPGPKGAPGAPGIPGLPGPAAEKGEKG AAGRDGTPGVQGPQGPPGSKGEAGLQGLTGAPGKQGATGAPGPRGEKGSKGDIGLTGPKG EHGTKGDKGDLGLPGNKGDMGMKGDTGPMGSPGAQGGKGDAGKPGLPGLAGSPGVKGDQG KPGVQGVPGPQGAPGLSGAKGEPGRTGLPGPAGPPGIAGNPGIAGVKGSKGDTGIQGQKG TKGESGVPGLVGRKGDTGSPGLAGPKGEPGRVGQKGDPGMKGSSGQQGQKGEKGQKGESF QRVRIMGGTNRGRAEVYYNNEWGTICDDDWDNNDATVFCRMLGYSRGRALSSYGGGSGNI WLDNVNCRGTENSLWDCSKNSWGNHNCVHNEDAGVECS >ENSMUSP00000140948.1 pep:known chromosome:GRCm38:1:120490913:120504756:-1 gene:ENSMUSG00000026390.7 transcript:ENSMUST00000186432.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marco description:macrophage receptor with collagenous structure [Source:MGI Symbol;Acc:MGI:1309998] KEEDFLGSTEDRADFDQAMFPVMETFEINDPVPKKRNGGTFCMAVMAIHLILLTAGTALL LIQELFQIKGERGSPGPKGAPGAPGIPGLPGPAAEKGEKGAAGRDGTPGVQGPQGPPGSK GEA >ENSMUSP00000001792.4 pep:known chromosome:GRCm38:7:83642825:83735523:-1 gene:ENSMUSG00000001741.12 transcript:ENSMUST00000001792.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il16 description:interleukin 16 [Source:MGI Symbol;Acc:MGI:1270855] MEPHGHSGKSRKSTKFRSISRSLILCNAKTSDDGSSPDEKYPDPFETSLCQGKEGFFHSS MQLADTFEAGLSNIPDLALASDSAQLAAAGSDRGKHCRKMFFMKESSSTSSKEKSGKPEA QSSSFLFPKACHQRTRSNSTSVNPYSAGEIDFPMTKKSAAPTDRQPYSLCSNRKSLSQQL DYPILGTARPTRSLSTAQLGQLSGGLQASVISNIVLMKGQAKGLGFSIVGGKDSIYGPIG IYVKSIFAGGAAAADGRLQEGDEILELNGESMAGLTHQDALQKFKQAKKGLLTLTVRTRL TTPPSLCSHLSPPLCRSLSSSTCGAQDSSPFSLESPASPASTAKPNYRIMVEVSLKKEAG VGLGIGLCSIPYFQCISGIFVHTLSPGSVAHLDGRLRCGDEIVEINDSPVHCLTLNEVYT ILSHCDPGPVPIIVSRHPDPQVSEQQLKEAVAQAVEGVKFGKDRHQWSLEGVKRLESSWH GRPTLEKEREKHSAPPHRRAQKIMVRSSSDSSYMSGSPGGSPCSAGAEPQPSEREGSTHS PSLSPGEEQEPCPGVPSRPQQESPPLPESLERESHPPLRLKKSFEILVRKPTSSKPKPPP RKYFKNDSEPQKKLEEKEKVTDPSGHTLPTCSQETRELLPLLLQEDTAGRAPCTAACCPG PAASTQTSSSTEGESRRSASPETPASPGKHPLLKRQARMDYSFDITAEDPWVRISDCIKN LFSPIMSENHSHTPLQPNTSLGEEDGTQGCPEGGLSKMDAANGAPRVYKSADGSTVKKGP PVAPKPAWFRQSLKGLRNRAPDPRRPPEVASAIQPTPVSRDPPGPQPQASSSIRQRISSF ENFGSSQLPDRGVQRLSLQPSSGETTKFPGKQDGGRFSGLLGQGATVTAKHRQTEVESMS TTFPNSSEVRDPGLPESPPPGQRPSTKALSPDPLLRLLTTQSEDTQGPGLKMPSQRARSF PLTRTQSCETKLLDEKASKLYSISSQLSSAVMKSLLCLPSSVSCGQITCIPKERVSPKSP CNNSSAAEGFGEAMASDTGFSLNLSELREYSEGLTEPGETEDRNHCSSQAGQSVISLLSA EELEKLIEEVRVLDEATLKQLDSIHVTILHKEEGAGLGFSLAGGADLENKVITVHRVFPN GLASQEGTIQKGNEVLSINGKSLKGATHNDALAILRQARDPRQAVIVTRRTTVEATHDLN SSTDSAASASAASDISVESKEATVCTVTLEKTSAGLGFSLEGGKGSLHGDKPLTINRIFK GTEQGEMVQPGDEILQLAGTAVQGLTRFEAWNVIKALPDGPVTIVIRRTSLQCKQTTASA DS >ENSMUSP00000146496.1 pep:known chromosome:GRCm38:7:83642848:83655495:-1 gene:ENSMUSG00000001741.12 transcript:ENSMUST00000145610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il16 description:interleukin 16 [Source:MGI Symbol;Acc:MGI:1270855] MDYSFDITAEDPWVRISDCIKNLFSPIMSENHSHTPLQPNTSLGEEDGTQGCPEGGLSKM DAANGAPRVYKSADGSTVKKGPPVAPKPAWFRQSLKGLRNRAPDPRRPPEVASAIQPTPV SRDPPGPQPQASSSIRQRISSFENFGSSQLPDRGVQRLSLQPSSGETTKFPGKQDGGRFS GLLGQGATVTAKHRQTEVESMSTTFPNSSEVRDPGLPESPPPGQRPSTKALSPDPLLRLL TTQSEDTQGPGLKMPSQRARSFPLTRTQSCETKLLDEKASKLYSISSQLSSAVMKSLLCL PSSVSCGQITCIPKERVSPKSPCNNSSAAEGFGEAMASDTGFSLNLSELREYSEGLTEPG ETEDRNHCSSQAGQSVISLLSAEELEKLIEEVRVLDEATLKQLDSIHVTILHKEEGAGLG FSLAGGADLENKVITVHRVFPNGLASQEGTIQKGNEVLSINGKSLKGATHNDALAILRQA RDPRQAVIVTRRTTVEATHDLNSSTDSAASASAASDISVESKEATVCTVTLEKTSAGLGF SLEGGKGSLHGDKPLTINRIFKGTEQGEMVQPGDEILQLAGTAVQGLTRFEAWNVIKALP DGPVTIVIRRTSLQCKQTTASADS >ENSMUSP00000118516.1 pep:known chromosome:GRCm38:7:83660897:83745726:-1 gene:ENSMUSG00000001741.12 transcript:ENSMUST00000153560.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il16 description:interleukin 16 [Source:MGI Symbol;Acc:MGI:1270855] MEPHGHSGKSRKSTKFRSISRSLILCNAKTSDDGSSPDEKYPDPFETSLCQGKEGFFHSS MQLADTFEAGLSNIPDLALASDSAQLAAAGSDRGKHCRKMFFMKESSSTSSKEKSGKPEA QSSSFLFPKACHQRTRSNSTSVNPYSAGEIDFPMTKKSAAPTDRQPYSLCSNRKSLSQQL DYPILETEAPRDKVTSPKQSADNRQSRENHLGNGCGFQSFSTPPNHAACQWGSETQRC >ENSMUSP00000122022.1 pep:known chromosome:GRCm38:7:83722510:83732407:-1 gene:ENSMUSG00000001741.12 transcript:ENSMUST00000131916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il16 description:interleukin 16 [Source:MGI Symbol;Acc:MGI:1270855] MEPHGHSGKSRKSTKFRSISRSLILCNAK >ENSMUSP00000122813.1 pep:known chromosome:GRCm38:7:83722510:83739937:-1 gene:ENSMUSG00000001741.12 transcript:ENSMUST00000156553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il16 description:interleukin 16 [Source:MGI Symbol;Acc:MGI:1270855] MEPHGHSGKSRKSTKFRSISRSLILCNAK >ENSMUSP00000103795.1 pep:known chromosome:GRCm38:11:82910550:82943403:1 gene:ENSMUSG00000018845.14 transcript:ENSMUST00000108160.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc45b description:unc-45 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:2443377] MAEAEAAQLKEEGNRHFQLQDYKAATKSYSQALKLTKDKALLATLYRNRAACGLKMESYA QAASDASRAIDINSADIKALYRRCQALEHLGKLDQAFKDVQRCATLEPRNQNFQETLRRL NTSIQEQLRVQFSTDSRVQTMFEILLNENSEADKREKAANNLIVLGREEAGAERIFQSNG VALLLQLMNTQRPELLLAAVRTLSGMCSGHRARATAILHAVRIDRICSLMALENEEMSLA VCNLLQAIIDSLSGEDKREHRGKEEALVLDTKKDLKQITSHLLDMLVSKKVSGQGRDQAL NLLNKNVPRKDLSIHDNSRTIYVVDNGLRKILKVVGQVPDLPSCLPLTDNTRMLASILIN KLYDDLRCDPERDHFRKICEEYITSKFDPQDMDKNVNAIQTVSGILQGPFDLGNQLLGMK GVMEMMVALCGSEREADQLVAVEALIHASTKLSRATFIITNGVTLLKQIYKTTKNEKIKI RTLVGLCKLGSAGGSDYGLRQFAEGSTEKLAKQCRKWLCNTAIDTRTRRWAVEGLAYLTL DADVKDDFVQDIPALQAMFELAKARTSDKTILYSVANTLVNCTNSYDVKEVVPELVQLAK FSKQHVPEEHPKDKKDFVDLRVKRLLKAGVISALACMVKADSAILTDQTKELLARVFLAL CDNPKDRGTIVAQGGGKALIPLALEGTDVGKVKAAHGLAKIAAVSNPDIAFPGERVYEVV RPLVSLLDTQRDGLQNYEALLGLTNLSGRSDKLRQKIFKEKALPDIENYMFENHDQLRQA ATECMCNMVLNKEVQERFLADGNDRLKLVVLLCGEDDHKLQNAAAGALAMLTAAHKKLCL KMTEVTTQWLEILQRLCLHDQLSVQHRGLVIAHNLLSADAELARKLVESELLEILTVVGK QEPDEKRAAVVQTARECLIKCMDYGFIKPVS >ENSMUSP00000018989.7 pep:known chromosome:GRCm38:11:82911253:82943403:1 gene:ENSMUSG00000018845.14 transcript:ENSMUST00000018989.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc45b description:unc-45 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:2443377] MAEAEAAQLKEEGNRHFQLQDYKAATKSYSQALKLTKDKALLATLYRNRAACGLKMESYA QAASDASRAIDINSADIKALYRRCQALEHLGKLDQAFKDVQRCATLEPRNQNFQETLRRL NTSIQEQLRVQFSTDSRVQTMFEILLNENSEADKREKAANNLIVLGREEAGAERIFQSNG VALLLQLMNTQRPELLLAAVRTLSGMCSGHRARATAILHAVRIDRICSLMALENEEMSLA VCNLLQAIIDSLSGEDKREHRGKEEALVLDTKKDLKQITSHLLDMLVSKKVSGQGRDQAL NLLNKNVPRKDLSIHDNSRTIYVVDNGLRKILKVVGQVPDLPSCLPLTDNTRMLASILIN KLYDDLRCDPERDHFRKICEEYITSKFDPQDMDKNVNAIQTVSGILQGPFDLGNQLLGMK GVMEMMVALCGSEREADQLVAVEALIHASTKLSRATFIITNGVTLLKQIYKTTKNEKIKI RTLVGLCKLGSAGGSDYGLRQFAEGSTEKLAKQCRKWLCNTAIDTRTRRWAVEGLAYLTL DADVKDDFVQDIPALQAMFELAKTSDKTILYSVANTLVNCTNSYDVKEVVPELVQLAKFS KQHVPEEHPKDKKDFVDLRVKRLLKAGVISALACMVKADSAILTDQTKELLARVFLALCD NPKDRGTIVAQGGGKALIPLALEGTDVGKVKAAHGLAKIAAVSNPDIAFPGERVYEVVRP LVSLLDTQRDGLQNYEALLGLTNLSGRSDKLRQKIFKEKALPDIENYMFENHDQLRQAAT ECMCNMVLNKEVQERFLADGNDRLKLVVLLCGEDDHKLQNAAAGALAMLTAAHKKLCLKM TEVTTQWLEILQRLCLHDQLSVQHRGLVIAHNLLSADAELARKLVESELLEILTVVGKQE PDEKRAAVVQTARECLIKCMDYGFIKPVS >ENSMUSP00000129405.1 pep:known chromosome:GRCm38:11:82911253:82943403:1 gene:ENSMUSG00000018845.14 transcript:ENSMUST00000164945.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc45b description:unc-45 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:2443377] MAEAEAAQLKEEGNRHFQLQDYKAATKSYSQALKLTKDKALLATLYRNRAACGLKMESYA QAASDASRAIDINSADIKALYRRCQALEHLGKLDQAFKDVQRCATLEPRNQNFQETLRRL NTSIQEQLRVQFSTDSRVQTMFEILLNENSEADKREKAANNLIVLGREEAGAERIFQSNG VALLLQLMNTQRPELLLAAVRTLSGMCSGHRARATAILHAVRIDRICSLMALENEEMSLA VCNLLQAIIDSLSGEDKREHRGKEEALVLDTKKDLKQITSHLLDMLVSKKVSGQGRDQAL NLLNKNVPRKDLSIHDNSRTIYVVDNGLRKILKVVGQVPDLPSCLPLTDNTRMLASILIN KLYDDLRCDPERDHFRKICEEYITSKFDPQDMDKNVNAIQTVSGILQGPFDLGNQLLGMK GVMEMMVALCGSEREADQLVAVEALIHASTKLSRATFIITNGVTLLKQIYKTTKNEKIKI RTLVGLCKLGSAGGSDYGLRQFAEGSTEKLAKQCRKWLCNTAIDTRTRRWAVEGLAYLTL DADVKDDFVQDIPALQAMFELAKARTSDKTILYSVANTLVNCTNSYDVKEVVPELVQLAK FSKQHVPEEHPKDKKDFVDLRVKRLLKAGVISALACMVKADSAILTDQTKELLARVFLAL CDNPKDRGTIVAQGGGKALIPLALEGTDVGKVKAAHGLAKIAAVSNPDIAFPGERVYEVV RPLVSLLDTQRDGLQNYEALLGLTNLSGRSDKLRQKIFKEKALPDIENYMFENHDQLRQA ATECMCNMVLNKEVQERFLADGNDRLKLVVLLCGEDDHKLQNAAAGALAMLTAAHKKLCL KMTEVTTQWLEILQRLCLHDQLSVQHRGLVIAHNLLSADAELARKLVESELLEILTVVGK QEPDEKRAAVVQTARECLIKCMDYGFIKPVS >ENSMUSP00000101373.1 pep:known chromosome:GRCm38:4:144669937:144686368:-1 gene:ENSMUSG00000078505.1 transcript:ENSMUST00000105747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm436 description:predicted gene 436 [Source:MGI Symbol;Acc:MGI:2685282] MAVLCLLLLVGLPTFLLGVFIWAVVKHFITAEIPSSLQHPVKFRCMHCLMLFIMALGNIL QKLRICSLPSFIIFMHDLVPIRKDPTLVVTNMHLGTIPVRLFQPKAVSSKLRRGIIFYHG GAAAFGSLDIYHNLCSFLVRETDSVLLSVGYRKLPKHHHPAALNDCLSATILFLKALETY GVDPSRVVLCGDSFGGWVVASISQTLVSTPSLPQIRAQVLIYPILQVINFLLPSLQQNKN IPLLSKDLLIIFVCNYLAIDLSWGDSMLTGAVIPLDKWKKYRKWLSSDNIPRRLWSQDTQ PEFLGHFNEAAYLETKHIFNPEISPIIADDKTIAQLPEAFLVSCEYDIVRDDTLLYKKRL EDQGVPVTWHHVMDGFHGCVLLFDRKFLSFPCSIKVVNTVVSCIKSI >ENSMUSP00000134283.1 pep:known chromosome:GRCm38:17:37768642:37772620:1 gene:ENSMUSG00000083947.3 transcript:ENSMUST00000172582.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr122 description:olfactory receptor 122 [Source:MGI Symbol;Acc:MGI:2177505] MSINCSLWQENSLSVKRFAFAKFSEVPGECFLLFTLILLMFLVSLTGNSLIALAICTSAA LHTPMYFFLANLSLLEIGYTCSVIPKMLQSLVSEARGISREGCATQMFFFIFFGITECCL LAAMAFDRYMAICSPLHYATRMSRGVCAHLAIVSWGMGCIVGLGQTNFIFSLNFCGPCEI DHFFCDLPPVLALACGDTSQNEAAIFVAAVLCIFSPFLLIISSYVRILIAVLVMPSREGR HKALSICSSHLLVVTLFYGSTSATYLRPKSDHSPEVDKLLALFYTAVTSMLNPIIYSLRN KEVKAALRKTLSLKKVLIMNR >ENSMUSP00000113170.1 pep:known chromosome:GRCm38:17:37771628:37772620:1 gene:ENSMUSG00000083947.3 transcript:ENSMUST00000119082.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr122 description:olfactory receptor 122 [Source:MGI Symbol;Acc:MGI:2177505] MICCPFFQEMSINCSLWQENSLSVKRFAFAKFSEVPGECFLLFTLILLMFLVSLTGNSLI ALAICTSAALHTPMYFFLANLSLLEIGYTCSVIPKMLQSLVSEARGISREGCATQMFFFI FFGITECCLLAAMAFDRYMAICSPLHYATRMSRGVCAHLAIVSWGMGCIVGLGQTNFIFS LNFCGPCEIDHFFCDLPPVLALACGDTSQNEAAIFVAAVLCIFSPFLLIISSYVRILIAV LVMPSREGRHKALSICSSHLLVVTLFYGSTSATYLRPKSDHSPEVDKLLALFYTAVTSML NPIIYSLRNKEVKAALRKTLSLKKVLIMNR >ENSMUSP00000085581.6 pep:known chromosome:GRCm38:2:164769898:164772907:1 gene:ENSMUSG00000001403.13 transcript:ENSMUST00000088248.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2c description:ubiquitin-conjugating enzyme E2C [Source:MGI Symbol;Acc:MGI:1915862] MASQNRDPAAASVAAVRKGAEPCGGAARGPVGKRLQQELMILMTSGDKGISAFPESDNLF KWVGTIHGAAGTVYEDLRYKLSLEFPSGYPYNAPTVKFLTPCYHPNVDTQGNICLDILKD KWSALYDVRTILLSIQSLLGEPNIDSPLNTHAAELWKNPTAFKKYLQETYSKQVSSQDP >ENSMUSP00000001439.6 pep:known chromosome:GRCm38:2:164769903:164778822:1 gene:ENSMUSG00000001403.13 transcript:ENSMUST00000001439.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2c description:ubiquitin-conjugating enzyme E2C [Source:MGI Symbol;Acc:MGI:1915862] MASQNRDPAAASVAAVRKGAEPCGGAARGPVGKRLQQELMILMTSGDKGISAFPESDNLF KWVGTIHGAAGTVYEDLRYKLSLEFPSGYPYNAPTVKFLTPCYHPNVDTQGNICLDILKD KWSALYDVRTILLSIQSLLGEPNIDSPLNTHAAELWKNPTVTEPKGAAFPV >ENSMUSP00000059666.9 pep:known chromosome:GRCm38:14:26659958:26669883:-1 gene:ENSMUSG00000043702.9 transcript:ENSMUST00000052932.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde12 description:phosphodiesterase 12 [Source:MGI Symbol;Acc:MGI:2443226] MWRLPGRSALRGVRSVVERRSRAEAGTHEAVRAMERAVVRCVPSEPKLSLSFALADGSHK NMQRDQSEPLGRALSRIATNALKGHAKVAAAKKSRKNRAHSSGGAACEATGPEPVATCEP VVKLYYREEAVAEDVLNVDAWQDGAVLQIGDVKYKVERNPPTFTELQLPRYIMAGFPVCP KLGVEFGDPASSVFRWYKEVKPGAAEPGDSGPASSSHSSQPSAWIETGVDERVYTPCNAD IGLRLRLHCTPGNGQRFGPSRELESLCPVEAGPGTCTFDHRHLYTKKVTEDSFIRTVSYN ILADTYAQTEFSRTVLYPYCAPYALELDYRQNLIQKELTGYNADLICLQEVDRAVFSDSL VPALEAFGLEGVFRIKQHEGLATFYRKSKFRLLSQHDISFQEALKSDPLHKELLEKLALN PLAQEKVLQRSSVLQISVLQSTTDSSKKICVANTHLYWHPKGGYIRLIQMEVALVHIRHV SRDLYPGIPVIFCGDFNSTPSTGMYHFVISGSIAEDHEDWASNGEEERCSMPLSHCFKLK SACGEPAYTNYVGGFHGCLDYIFIDLNTLEVEQVIPLPSHEEVTTHQALPSVSHPSDHIA LVCDLKWK >ENSMUSP00000045343.7 pep:known chromosome:GRCm38:4:144703191:144721404:-1 gene:ENSMUSG00000041735.7 transcript:ENSMUST00000036876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13178 description:predicted gene 13178 [Source:MGI Symbol;Acc:MGI:3650721] MAGFWLVLIGGLLTFFLGVFVWVFIQHLLTIEIPSTLKHPVKLWILHLIFQYLITLGTIL EKLRICSMPAILQFFQDLIIIKKKVPNVIVTDTRFGTVPVRLFRPKEVSSKPRRGIIFYH GGGAVCGSLDFYHGLGNVLAHETDSVVLLVGYRKLPDHHHPVVYLDCLNASIHFLKNLQT YGVDPSRVVISGESIGGWTVATVTQALVSQAILPQIRAQVLITPGLQGINFQLPSHQQKQ NVPLLTRDLVITFVCRYLSIDLSWKNAIVTGAFVPLDTWKKYSKWLSSDNIPKRFKSKKF HPEFLGPFSETAYLETKHVFDVNISPLLADDKIIAQLPDTFLVSCEHDVLRDDALLYKKR LEDQGVPVSWYHAEDGFHGCISLFDKQPFSFPCSMNVLNAVISYIKDV >ENSMUSP00000005371.5 pep:known chromosome:GRCm38:11:69580359:69591873:1 gene:ENSMUSG00000059552.13 transcript:ENSMUST00000005371.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53 description:transformation related protein 53 [Source:MGI Symbol;Acc:MGI:98834] MEESQSDISLELPLSQETFSGLWKLLPPEDILPSPHCMDDLLLPQDVEEFFEGPSEALRV SGAPAAQDPVTETPGPVAPAPATPWPLSSFVPSQKTYQGNYGFHLGFLQSGTAKSVMCTY SPPLNKLFCQLAKTCPVQLWVSATPPAGSRVRAMAIYKKSQHMTEVVRRCPHHERCSDGD GLAPPQHLIRVEGNLYPEYLEDRQTFRHSVVVPYEPPEAGSEYTTIHYKYMCNSSCMGGM NRRPILTIITLEDSSGNLLGRDSFEVRVCACPGRDRRTEEENFRKKEVLCPELPPGSAKR ALPTCTSASPPQKKKPLDGEYFTLKIRGRKRFEMFRELNEALELKDAHATEESGDSRAHS SYLKTKKGQSTSRHKKTMVKKVGPDSD >ENSMUSP00000104297.3 pep:known chromosome:GRCm38:11:69580405:69591873:1 gene:ENSMUSG00000059552.13 transcript:ENSMUST00000108657.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53 description:transformation related protein 53 [Source:MGI Symbol;Acc:MGI:98834] MEESQSDISLELPLSQETFSGLWKLLPPEDILPSPHCMDDLLLPQDVEEFFEGPSEALRV SGAPAAQDPVTETPGPVAPAPATPWPLSSFVPSQKTYQGNYGFHLGFLQSGTAKSVMCTY SPPLNKLFCQLAKTCPVQLWVSATPPAGSRVRAMAIYKKSQHMTEVVRRCPHHERCSDGD GLAPPQHLIRVEGNLYPEYLEDRQTFRHSVVVPYEPPEAGSEYTTIHYKYMCNSSCMGGM NRRPILTIITLEDSSGNLLGRDSFEVRVCACPGRDRRTEEENFRKKEVLCPELPPGSAKR ALPTCTSASPPQKKKPLDGEYFTLKIRGRKRFEMFRELNEALELKDAHATEESGDSRAHS SLQPRAFQALIKEESPNC >ENSMUSP00000127130.1 pep:known chromosome:GRCm38:11:69580359:69591872:1 gene:ENSMUSG00000059552.13 transcript:ENSMUST00000171247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53 description:transformation related protein 53 [Source:MGI Symbol;Acc:MGI:98834] MTAMEESQSDISLELPLSQETFSGLWKLLPPEDILPSPHCMDDLLLPQDVEEFFEGPSEA LRVSGAPAAQDPVTETPGPVAPAPATPWPLSSFVPSQKTYQGNYGFHLGFLQSGTAKSVM CTYSPPLNKLFCQLAKTCPVQLWVSATPPAGSRVRAMAIYKKSQHMTEVVRRCPHHERCS DGDGLAPPQHLIRVEGNLYPEYLEDRQTFRHSVVVPYEPPEAGSEYTTIHYKYMCNSSCM GGMNRRPILTIITLEDSSGNLLGRDSFEVRVCACPGRDRRTEEENFRKKEVLCPELPPGS AKRALPTCTSASPPQKKKPLDGEYFTLKIRGRKRFEMFRELNEALELKDAHATEESGDSR AHSSLQPRAFQALIKEESPNC >ENSMUSP00000104298.3 pep:known chromosome:GRCm38:11:69580359:69591872:1 gene:ENSMUSG00000059552.13 transcript:ENSMUST00000108658.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53 description:transformation related protein 53 [Source:MGI Symbol;Acc:MGI:98834] MTAMEESQSDISLELPLSQETFSGLWKLLPPEDILPSPHCMDDLLLPQDVEEFFEGPSEA LRVSGAPAAQDPVTETPGPVAPAPATPWPLSSFVPSQKTYQGNYGFHLGFLQSGTAKSVM CTYSPPLNKLFCQLAKTCPVQLWVSATPPAGSRVRAMAIYKKSQHMTEVVRRCPHHERCS DGDGLAPPQHLIRVEGNLYPEYLEDRQTFRHSVVVPYEPPEAGSEYTTIHYKYMCNSSCM GGMNRRPILTIITLEDSSGNLLGRDSFEVRVCACPGRDRRTEEENFRKKEVLCPELPPGS AKRALPTCTSASPPQKKKPLDGEYFTLKIRGRKRFEMFRELNEALELKDAHATEESGDSR AHSSYLKTKKGQSTSRHKKTMVKKVGPDSD >ENSMUSP00000084701.5 pep:known chromosome:GRCm38:1:59763400:59879014:1 gene:ENSMUSG00000067336.6 transcript:ENSMUST00000087435.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmpr2 description:bone morphogenetic protein receptor, type II (serine/threonine kinase) [Source:MGI Symbol;Acc:MGI:1095407] MTSSLHRPFRVPWLLWAVLLVSTTAASQNQERLCAFKDPYQQDLGIGESRISHENGTILC SKGSTCYGLWEKSKGDINLVKQGCWSHIGDPQECHYEECVVTTTPPSIQNGTYRFCCCST DLCNVNFTENFPPPDTTPLSPPHSFNRDETIIIALASVSVLAVLIVALCFGYRMLTGDRK QGLHSMNMMEAAAAEPSLDLDNLKLLELIGRGRYGAVYKGSLDERPVAVKVFSFANRQNF INEKNIYRVPLMEHDNIARFIVGDERLTADGRMEYLLVMEYYPNGSLCKYLSLHTSDWVS SCRLAHSVTRGLAYLHTELPRGDHYKPAISHRDLNSRNVLVKNDGACVISDFGLSMRLTG NRLVRPGEEDNAAISEVGTIRYMAPEVLEGAVNLRDCESALKQVDMYALGLIYWEVFMRC TDLFPGESVPDYQMAFQTEVGNHPTFEDMQVLVSREKQRPKFPEAWKENSLAVRSLKETI EDCWDQDAEARLTAQCAEERMAELMMIWERNKSVSPTVNPMSTAMQNERNLSHNRRVPKI GPYPDYSSSSYIEDSIHHTDSIVKNISSEHSMSSTPLTIGEKNRNSINYERQQAQARIPS PETSVTSLSTNTTTTNTTGLTPSTGMTTISEMPYPDETHLHATNVAQSIGPTPVCLQLTE EDLETNKLDPKEVDKNLKESSDENLMEHSLKQFSGPDPLSSTSSSLLYPLIKLAVEVTGQ QDFTQAANGQACLIPDVPPAQIYPLPKQQNLPKRPTSLPLNTKNSTKEPRLKFGNKHKSN LKQVETGVAKMNTINAAEPHVVTVTMNGVAGRSHNVNSHAATTQYANGAVPAGQAANIVA HRSQEMLQNQFIGEDTRLNINSSPDEHEPLLRREQQAGHDEGVLDRLVDRRERPLEGGRT NSNNNNSNPCSEQDILTQGVTSTAADPGPSKPRRAQRPNSLDLSATNILDGSSIQIGEST QDGKSGSGEKIKRRVKTPYSLKRWRPSTWVISTEPLDCEVNNNGSDRAVHSKSSTAVYLA EGGTATTTVSKDIGMNCL >ENSMUSP00000141111.1 pep:known chromosome:GRCm38:9:98287435:98399516:1 gene:ENSMUSG00000032456.13 transcript:ENSMUST00000134253.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmnat3 description:nicotinamide nucleotide adenylyltransferase 3 [Source:MGI Symbol;Acc:MGI:1921330] MKNRIPVVLLACGSFNPITNMHLRLFEVARDHLHQTGRYQVIEGIISPVNDSYGKK >ENSMUSP00000108557.2 pep:known chromosome:GRCm38:9:98296583:98411434:1 gene:ENSMUSG00000032456.13 transcript:ENSMUST00000112935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmnat3 description:nicotinamide nucleotide adenylyltransferase 3 [Source:MGI Symbol;Acc:MGI:1921330] MKNRIPVVLLACGSFNPITNMHLRLFEVARDHLHQTGRYQVIEGIISPVNDSYGKKDLVA SHHRVAMARLALQTSDWIRVDPWESEQAQWMETVKVLRHHHRELLRSSAQMDGPDPSKTP SASAALPELKLLCGADVLKTFQTPNLWKDTHIQEIVEKFGLVCVSRSGHDPERYISDSPI LQQFQHNIHLAREPVLNEISATYVRKALGQGQSVKYLLPEAVITYIRDQGLYINDGSWKG KGKTG >ENSMUSP00000108560.1 pep:known chromosome:GRCm38:9:98296604:98420438:1 gene:ENSMUSG00000032456.13 transcript:ENSMUST00000112938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmnat3 description:nicotinamide nucleotide adenylyltransferase 3 [Source:MGI Symbol;Acc:MGI:1921330] MKNRIPVVLLACGSFNPITNMHLRLFEVARDHLHQTGRYQVIEGIISPVNDSYGKKDLVA SHHRVAMARLALQTSDWIRVDPWESEQAQWMETVKVLRHHHRELLRSSAQMDGPDPSKTP SASADA >ENSMUSP00000108559.1 pep:known chromosome:GRCm38:9:98296662:98411391:1 gene:ENSMUSG00000032456.13 transcript:ENSMUST00000112937.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmnat3 description:nicotinamide nucleotide adenylyltransferase 3 [Source:MGI Symbol;Acc:MGI:1921330] MARLALQTSDWIRVDPWESEQAQWMETVKVLRHHHRELLRSSAQMDGPDPSKTPSASAAL PELKLLCGADVLKTFQTPNLWKDTHIQEIVEKFGLVCVSRSGHDPERYISDSPILQQFQH NIHLAREPVLNEISATYVRKALGQGQSVKYLLPEAVITYIRDQGLYINDGSWKGKGKTG >ENSMUSP00000020248.8 pep:known chromosome:GRCm38:10:88201093:88246158:1 gene:ENSMUSG00000020056.16 transcript:ENSMUST00000020248.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc53 description:coiled-coil domain containing 53 [Source:MGI Symbol;Acc:MGI:1914532] MDEDGLPLMGSGIDLTKVPAIQQKRTVAFLNQFVVHTVQFLNRFSAVCEEKLADLSLRIQ QIETTLNILDAKLSSIPGLEDVTVEVSPLNVTAVTNGSHSETTSEQTQQNSTQDSGAQES EAPSENVLTVAKDPRYARYLKMVQVGVPVMAIRDKMISEGLDPELLEKPDAPVPNGESER AVEESSDSDSSFSD >ENSMUSP00000138261.1 pep:known chromosome:GRCm38:10:88201146:88216065:1 gene:ENSMUSG00000020056.16 transcript:ENSMUST00000182299.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc53 description:coiled-coil domain containing 53 [Source:MGI Symbol;Acc:MGI:1914532] MDEDGLPLMGSGIDLTKVPAIQQKRTVAFLNQFVVHTVQFLNRFSAVCEE >ENSMUSP00000138540.1 pep:known chromosome:GRCm38:10:88201152:88246006:1 gene:ENSMUSG00000020056.16 transcript:ENSMUST00000182183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc53 description:coiled-coil domain containing 53 [Source:MGI Symbol;Acc:MGI:1914532] MDEDGLPLMGSGIDLTKVPAIQQKRTVAFLNQFVVHTVQFLNRFSAVCEEKLADLSLRIQ QIETTLNILDAKLSSIPGLEDVTVEVSPLNVTAVTNGSHSETTSEQTQNSTQDSGAQESE APSENVLTVAKDPRYARYLKMVQVGVPVMAIRDKMISEGLDPELLEKPDAPVPNGESERA VEESSDSDSSFSD >ENSMUSP00000132246.1 pep:known chromosome:GRCm38:10:88201171:88225362:1 gene:ENSMUSG00000020056.16 transcript:ENSMUST00000171151.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc53 description:coiled-coil domain containing 53 [Source:MGI Symbol;Acc:MGI:1914532] MDEDGLPLMGSGIDLTKVPAIQQKRTVAFLNQFVVHTVQFLNRFSAVCEEKLADLSLRIQ QIETTLNILDAKLSSIPGLEDVTVEVSPLNVTAVTNGSHSETTSEQTQQNSTQDSGAQES EAPSENVLTVAKDPRYARYLKMVQVFLSTEQEAVPCILASTPFTVTHLGMWKHCRKQLF >ENSMUSP00000138310.1 pep:known chromosome:GRCm38:10:88201263:88225141:1 gene:ENSMUSG00000020056.16 transcript:ENSMUST00000182619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc53 description:coiled-coil domain containing 53 [Source:MGI Symbol;Acc:MGI:1914532] LMGSGIDLTKVPAIQQKRTVAFLNQFVVHTVQFLNRFSAVCEEKLADLSLRIQQIETTLN ILDAKLSSIPGLEDVTVEVSPLNVTAVTNGSHSETTSEQTQNSTQDSGAQESEAPSENVL TVAKDPRYARYLKMVQVFLSTEQEAVPCILASTPFTVTHLGMWKHCRKQLF >ENSMUSP00000084005.6 pep:known chromosome:GRCm38:5:106452523:106458166:-1 gene:ENSMUSG00000034384.11 transcript:ENSMUST00000086795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Barhl2 description:BarH-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1859314] MTAMEGASGSSFGIDTILSGAGSGSPGMMNGDFRSLGEARTTDFRSQATPSPCSEIDTVG TAPSSPISVTLEPPEPHLVTDGPQHHHHLHHSQQPPPPSAVPAQSLQPSPQQQPPPQPQS AAQQLGSAAAAPRTSTSSFLIKDILGDSKPLAACAPYSTSVSSPHHTPKQESNAAHESFR PKLEQEDGKTKLDKREDPQSDIKCHGTKEEGDREITSSRESPPVRAKKPRKARTAFSDHQ LNQLERSFERQKYLSVQDRMDLAAALNLTDTQVKTWYQNRRTKWKRQTAVGLELLAEAGN YSALQRMFPSPYFYHPSLLGSMDSTTAAAAAAAMYSSMYRTPPAPHPQLQRPLVPRVLIH GLGPGGQPALNPLSNPIPGTPHPR >ENSMUSP00000029385.7 pep:known chromosome:GRCm38:3:58519817:58525892:-1 gene:ENSMUSG00000027808.8 transcript:ENSMUST00000029385.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serp1 description:stress-associated endoplasmic reticulum protein 1 [Source:MGI Symbol;Acc:MGI:92638] MVAKQRIRMANEKHSKNITQRGNVAKTSRNAPEEKASVGPWLLALFIFVVCGSAIFQIIQ SIRMGM >ENSMUSP00000093679.4 pep:known chromosome:GRCm38:14:43671962:43677199:-1 gene:ENSMUSG00000093968.1 transcript:ENSMUST00000095981.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8165 description:predicted gene 8165 [Source:MGI Symbol;Acc:MGI:3648126] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSAGEASL QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEECNIETKILCKEGSQKIKDHYTKQQQV >ENSMUSP00000022429.2 pep:known chromosome:GRCm38:14:26638074:26657258:1 gene:ENSMUSG00000021877.11 transcript:ENSMUST00000022429.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf4 description:ADP-ribosylation factor 4 [Source:MGI Symbol;Acc:MGI:99433] MGLTISSLFSRLFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN ICFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERIQEGAAVLQKMLLEDELQDAV LLLFANKQDLPNAMAISEMTDKLGLQSLRNRTWYVQATCATQGTGLYEGLDWLSNELSKR >ENSMUSP00000132159.1 pep:known chromosome:GRCm38:14:26638226:26656801:1 gene:ENSMUSG00000021877.11 transcript:ENSMUST00000166075.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arf4 description:ADP-ribosylation factor 4 [Source:MGI Symbol;Acc:MGI:99433] MGLTISSLFSRLFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIVIILVFFLQVL MWKQ >ENSMUSP00000107937.3 pep:known chromosome:GRCm38:14:26638347:26656814:1 gene:ENSMUSG00000021877.11 transcript:ENSMUST00000112318.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf4 description:ADP-ribosylation factor 4 [Source:MGI Symbol;Acc:MGI:99433] MGLTISSLFSRLFGKKQMRILMGFNVETVEYKNICFTVWDVGGQDKIRPLWRHYFQNTQG LIFVVDSNDRERIQEGAAVLQKMLLEDELQDAVLLLFANKQDLPNAMAISEMTDKLGLQS LRNRTWYVQATCATQGTGLYEGLDWLSNELSKR >ENSMUSP00000127272.1 pep:known chromosome:GRCm38:14:26653131:26665084:1 gene:ENSMUSG00000021877.11 transcript:ENSMUST00000167376.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arf4 description:ADP-ribosylation factor 4 [Source:MGI Symbol;Acc:MGI:99433] XDRERIQEGAAVLQKMLLEDELQDAVLLLFANKQDLPNAMAISEMTDKLGLQSLRNRTTY >ENSMUSP00000147022.1 pep:known chromosome:GRCm38:11:62752577:62789421:-1 gene:ENSMUSG00000047342.17 transcript:ENSMUST00000207597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp286 description:zinc finger protein 286 [Source:MGI Symbol;Acc:MGI:2384758] MEEVVIMETGLAEVPQKRALSSPDSLLSHEKSAEGDVAALRLTVRSQEAMTFKDVAMDFT PEEWGKLDPAHRAVMLENYRNLVSLWLPISKPDNYNSEDGKELSKLEKSPKCSDSGQPPF QKAEAEMAAGMFAIGPLTWRETKSATKDAVLSENGFGKDLSQKIAVLGEKGLWGSRMEGL RSGMAGYEDCEIFKSITRVRD >ENSMUSP00000055517.6 pep:known chromosome:GRCm38:11:62778387:62789462:-1 gene:ENSMUSG00000047342.17 transcript:ENSMUST00000054654.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp286 description:zinc finger protein 286 [Source:MGI Symbol;Acc:MGI:2384758] MEEVVIMETGLAEVPQKRALSSPDSLLSHEKSAEGDVAALRLTVRSQEAMTFKDVAMDFT PEEWGKLDPAHRAVMLENYRNLVSLWLPISKPDNYNSEDGKELSKLEKSPKCSDSDMETR PESKESTSVLDFSKEESNRLTENTASDSDIETALECERWLEHRQRNRERYPRQMSAHRNS LPAQEDGERDVYWKSFSQKPLLTTDDRDPKGSWDVHTLGKRLKQKSKLMTKQRPYKEKKP HKCDECGELFTYRSVLIRHQRVHTGEKPYTCSECGKSFSHRANLTKHQRTHTRILFECGE CKKTFIESSSLEVHQRIHIGERPYECAECGKGFNRSTHLAQHQLIHTGVKPYECNECDKA FIHSSALIKHQRTHTGEKPYKCQDCGKAFSHCSSLTKHQRVHTGERPYECSECGKTFSQS THLVQHQRIHTGEKPYECNECGKTFSRSSNFAKHQRIHMGKKPYKCGECGKAFVHSSALI QHQRTHTGEKPFRCTECGKSFKSSPSLIRHQRTHTEEQP >ENSMUSP00000104345.1 pep:known chromosome:GRCm38:11:62779605:62789423:-1 gene:ENSMUSG00000047342.17 transcript:ENSMUST00000108705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp286 description:zinc finger protein 286 [Source:MGI Symbol;Acc:MGI:2384758] MEEVVIMETGLAEVPQKRALSSPDSLLSHEKSAEGDVAALRLTVRSQEAMTFKDVAMDFT PEEWGKLDPAHRAVMLENYRNLVSLWLPISKPDNYNSEDGKELSKLEKSPKCSDSAIRLH IHPPRFPSYFTNHPVRASDLLTPQRHASILIHLHLL >ENSMUSP00000073459.6 pep:known chromosome:GRCm38:4:139310744:139318426:1 gene:ENSMUSG00000028743.7 transcript:ENSMUST00000073787.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr7a5 description:aldo-keto reductase family 7, member A5 (aflatoxin aldehyde reductase) [Source:MGI Symbol;Acc:MGI:107796] MLRAASRAVGRAAVRSAQRSGTSVGRPLAMSRPPPPRAASGAPLRPATVLGTMEMGRRMD ASASAASVRAFLERGHSELDTAFMYCDGQSENILGGLGLGLGSGDCTVKIATKANPWEGK SLKPDSIRSQLETSLKRLQCPRVDLFYLHAPDHSTPVEETLRACHQLHQEGKFVELGLSN YASWEVAEICTLCKSNGWILPTVYQGMYNATTRQVEAELLPCLRHFGLRFYAYNPLAGGL LTGKYKYEDKDGKQPVGRFFGNNWAETYRNRFWKEHHFEAIALVEKALQTTYGTNAPRMT SAALRWMYHHSQLQGTRGDAVILGMSSLEQLEQNLAATEEGPLEPAVVEAFDQAWNMVAH ECPNYFR >ENSMUSP00000074482.3 pep:known chromosome:GRCm38:6:34863146:34874946:1 gene:ENSMUSG00000057137.9 transcript:ENSMUST00000074949.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem140 description:transmembrane protein 140 [Source:MGI Symbol;Acc:MGI:1915737] MAFSRLWRNNHLPFVGIMILLAAALCLMFYALLWKAGNLADLPSLRIGFYNFCLWKEDMG SLACYNFPELDVLGIPQVGLALARLGVYGALVLTVFVPLPLLLAQYNRDEGEWRLAVCFL AASSILLAGGLSLFLSFVWKWLRLSFLGPALPALCLAQLLLIFLLVATVRFPPRDKEDKN QWENC >ENSMUSP00000144511.1 pep:known chromosome:GRCm38:6:34863262:34872613:1 gene:ENSMUSG00000057137.9 transcript:ENSMUST00000202010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem140 description:transmembrane protein 140 [Source:MGI Symbol;Acc:MGI:1915737] MAFSRLWRNNHLPFVGIMILL >ENSMUSP00000144113.1 pep:known chromosome:GRCm38:6:34867052:34872738:1 gene:ENSMUSG00000057137.9 transcript:ENSMUST00000202999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem140 description:transmembrane protein 140 [Source:MGI Symbol;Acc:MGI:1915737] MAFSRLWRNNHLPFVGIMILLAAALCLMFYALLWKAGNLADLPSLRIGFYNFCLWKEDMG SLA >ENSMUSP00000092250.2 pep:known chromosome:GRCm38:1:93861346:93876494:1 gene:ENSMUSG00000094651.2 transcript:ENSMUST00000094663.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal3st2 description:galactose-3-O-sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:2685834] MSILRGTQRSFQVALWFLVLAVFLLVGFLHVDFRLLKPDKVQEPPVTNIMFLKTHKTASS TILNILYRFSESHNLSTALPEGSRVHLGYPWFFVTRYVEGLKQDAHLQHHFNIMCNHLRF NYPEVQKVMPRDTFYFSILRNPVFQLESSFIYYKDYAPAFQRAKSLDEFLADPWKYYNAS VSLKNVYAKNNMWFDFGFDNNAPADMDYVRKRLAEVEQRFHLVLIADYFDESMVLLRRRL RWQLDDVVSFKLNVRSQSTVSHLTPESQERVRHWCALDWQLYQHFNRTFWTQLHAELSPR QLTEEVEQLRERQRELMALCLQDPEPKNLTHIDDQNLRPYQGGKANILGYNLRHGLDTTT LHICQRMAMPELQHMAHMYSLQFPDKTPKDIPFLKK >ENSMUSP00000140697.1 pep:known chromosome:GRCm38:1:93861826:93873824:1 gene:ENSMUSG00000094651.2 transcript:ENSMUST00000187896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal3st2 description:galactose-3-O-sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:2685834] MFLKTHKTASSTILNILYRFSESHNLSTALPEGSRVHLGYPWFFVTRYVEGLKQDAHLQH HFNIMCN >ENSMUSP00000029387.8 pep:known chromosome:GRCm38:3:58525821:58557501:1 gene:ENSMUSG00000027810.14 transcript:ENSMUST00000029387.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2a description:eukaryotic translation initiation factor 2A [Source:MGI Symbol;Acc:MGI:1098684] MAPSTPLLTVRGSEGLYMVNGPPHFTESTVLPRESGRNCKVYTFSKDGTLFAWSNGEKVN IINVANKGLLHSFDLPKAVCLEFSPNNTVLATWQPYTTSKDGTAGTPNLQLYDMKTGACL KSFIQKKMQNWCPSWSDDEIICARNVNNEVHFFENNNFNTIANKLHLQKVNDFNLSPGTQ PYKVAVYVPGSKGAPSFVRLYQYPNFAGPQAALANKSFFKADKVTMLWNKKATAVLVIAS TEVDKTGASYYGEQTLHYIATNGESAVVQLPKNGPIYDVVWNSSSTEFCAVYGFMPAKAT VFNLKCDPVFDFGTGPRNAAFYSPHGHILVLAGFGNLRGQMEVWDVKNYKLISKPVASDS TYFAWCPDGEHILTATCAPRLRVNNGYKIWHYTGSLLHKYDVPSNGELWQVSWQPFLDGI FPAKTIKYQAVPSEVPSEEPKVATAYRPPALRNKPVTNSKLHEEEPPQNMKPHPGSDKPL SKTALKNQRKHEAKKAAKQEARSDAAPTPVPQSAPRNTVTQSASGDPEVDKKIKNLKKKL KAIEQLKEQAAAGKQLEKNQLEKIQKETALLQELEDLELGV >ENSMUSP00000122375.1 pep:known chromosome:GRCm38:3:58526043:58555644:1 gene:ENSMUSG00000027810.14 transcript:ENSMUST00000135876.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif2a description:eukaryotic translation initiation factor 2A [Source:MGI Symbol;Acc:MGI:1098684] MAPSTPLLTVRGSEGLYMVNGPPHFTESTVLPRESGRNCKVYTFSKDGTLFAWSNGENLP >ENSMUSP00000121236.1 pep:known chromosome:GRCm38:3:58526056:58557501:1 gene:ENSMUSG00000027810.14 transcript:ENSMUST00000154219.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif2a description:eukaryotic translation initiation factor 2A [Source:MGI Symbol;Acc:MGI:1098684] MAPSTPLLTVRGSEGLYMVNGPPHFTESTVLPRESGRNCKVYTFSKDGTLFAWSNGENF >ENSMUSP00000120901.1 pep:known chromosome:GRCm38:3:58526303:58545611:1 gene:ENSMUSG00000027810.14 transcript:ENSMUST00000138848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2a description:eukaryotic translation initiation factor 2A [Source:MGI Symbol;Acc:MGI:1098684] MVNGPPHFTESTVLPRESGRNCKVYTFSKDGTLFAWSNGEKVNIINVANKGLLHSFDLPK AVCLEFSPNNTVLATWQPYTTSKDGTAGTPNLQLYDMKTGACLKSFIQKKMQNWCPSWSD DEIICARNVNNEVHFFENNNFNTIANKLHLQKVNDFNLSPGTQPYKVAVYVPGSKGAPSF VRLYQYPNFAGPQAALANKSFFKADKVTMLWNKKATAVLVIASTEVDKTGASYYGEQTLH YIATNGESAVV >ENSMUSP00000048482.6 pep:known chromosome:GRCm38:1:127729413:127767978:1 gene:ENSMUSG00000026348.7 transcript:ENSMUST00000038006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acmsd description:amino carboxymuconate semialdehyde decarboxylase [Source:MGI Symbol;Acc:MGI:2386323] MKIDIHTHILPKEWPDLEKRFGYGGWVQLQQQGKGEAKMIKDGKLFRVIQQNCWDPEVRI REMNQKGVTVQALSTVPVMFSYWAKPKDTLELCQFLNNDLAATVARYPRRFVGLGTLPMQ APELAVEEMERCVKALGFPGIQIGSHINTWDLNDPELFPIYAAAERLNCSLFVHPWDMQM DGRMAKYWLPWLVGMPSETTMAICSMIMGGVFEKFPKLKVCFAHGGGAFPFTIGRIAHGF NMRPDLCAQDNPSDPRKYLGSFYTDSLVHDPLSLKLLTDVIGKDKVMLGTDYPFPLGEQE PGKLIESMAEFDEETKDKLTAGNALAFLGLERKLFE >ENSMUSP00000078659.7 pep:known chromosome:GRCm38:1:120602418:120607992:1 gene:ENSMUSG00000058665.8 transcript:ENSMUST00000079721.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:En1 description:engrailed 1 [Source:MGI Symbol;Acc:MGI:95389] MEEQQPEPKSQRDSGLGAVAAAAPSGLSLSLSPGASGSSGSDGDSVPVSPQPAPPSPPAA PCLPPLAHHPHLPPHPPPPPPPPPPPPQHLAAPAHQPQPAAQLHRTTNFFIDNILRPDFG CKKEQPLPQLLVASAAAGGGAAAGGGSRVERDRGQTGAGRDPVHSLGTRASGAASLLCAP DANCGPPDGSQPATAVSAGASKAGNPAAAAAAAAAAAAAAVAAAAAAASKPSDSGGGSGG NAGSPGAQGAKFPEHNPAILLMGSANGGPVVKTDSQQPLVWPAWVYCTRYSDRPSSGPRT RKLKKKKNEKEDKRPRTAFTAEQLQRLKAEFQANRYITEQRRQTLAQELSLNESQIKIWF QNKRAKIKKATGIKNGLALHLMAQGLYNHSTTTVQDKDESE >ENSMUSP00000049732.2 pep:known chromosome:GRCm38:11:70519196:70520736:1 gene:ENSMUSG00000046811.3 transcript:ENSMUST00000057685.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gltpd2 description:glycolipid transfer protein domain containing 2 [Source:MGI Symbol;Acc:MGI:2444527] MVMGVSLSPALGRWFRHAIPFAILTLLLLYISIWFFYEWPFPLPAQRTQQSGLRGLKLPS PSPVLGSLLSFPAGVQSCNPERPLPSQTGPAARPLVVPEKEELPCLGPHGALGRMVSPFL ACMSPEGDVALSQYLAGWRELLRFLTPLGTVFAFATSEAFNKVTDLEARVHGPNASHYTS LMTMITWERGAGLLQRPGTEPGHSAGSSGSRTLLLLHRALRWSQLCLHRVATGTLGGPDA GTQCGEAYSTALAPHHPWLIRQAARLAILALPSRGRLLQLACPGTGEADARVALARAAGV LEDVYNRTQGLLAGHGLLQLA >ENSMUSP00000136029.1 pep:known chromosome:GRCm38:11:70519639:70520519:1 gene:ENSMUSG00000046811.3 transcript:ENSMUST00000179000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gltpd2 description:glycolipid transfer protein domain containing 2 [Source:MGI Symbol;Acc:MGI:2444527] MVSPFLACMSPEGDVALSQYLAGWRELLRFLTPLGTVFAFATSEAFNKVTDLEARVHGPN ASHYTSLMTMITWERGAGLLQRPGTEPGHSAGSSGSRTLLLLHRALRWSQLCLHRVATGT LGGPDAGTQCGEAYSTALAPHHPWLIRQAARLAILALPSRGRLLQLACPGTGEADARVAL ARAAGVLEDVYNRTQGLLAGHGLLQLA >ENSMUSP00000099384.4 pep:known chromosome:GRCm38:2:164777161:164779741:-1 gene:ENSMUSG00000017300.9 transcript:ENSMUST00000103095.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnc2 description:troponin C2, fast [Source:MGI Symbol;Acc:MGI:98780] MTDQQAEARSYLSEEMIAEFKAAFDMFDADGGGDISVKELGTVMRMLGQTPTKEELDAII EEVDEDGSGTIDFEEFLVMMVRQMKEDAKGKSEEELAECFRIFDRNADGYIDAEELAEIF RASGEHVTEEEIESLMKDGDKNNDGRIDFDEFLKMMEGVQ >ENSMUSP00000141796.2 pep:known chromosome:GRCm38:7:35469098:35537537:-1 gene:ENSMUSG00000030491.16 transcript:ENSMUST00000193633.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd12 description:tudor domain containing 12 [Source:MGI Symbol;Acc:MGI:1919231] MFEVLVLKIEDPGCFWVIIKGCSHFLEQEVDYQKLNTAMNDFYNSMCQDVEMKPLMLEEG QVCVVYCQELKCWCRALIKSIISSADHYLAECFLVDFAKYIPVKSKNIRVAVESFMQLPY RAKKFRLYGTKPVTLHIDFCEDNAEIVPATKWDSAAIQYFQNLLRATTQVEAKLCAVEED TFEVYLYATIKNEKVCVNDDLVAKNFAYYVSPMGNKNLNPLEKPRQSLNSVTCSSKLSPS LTLWPMLLQGKDYHRMENKALNYKDSLTDSPKMMLEKQQQSLPLKHTEKCTESSVYWPTK RGITIYADPDVPSVSGSSQRPNEKPLRLTEKKDCDEKNGCVKLLQFLNPDPLRADGTSDL HQLQKVKLGTLQPGVVLRNRIEPCLTLEKSPLSADLKKHMALTCLNSDTEDMKVAMEEMI PPEALQRNKFPGPSHTASYSWPPIARGCDMVVISHCGNDPLLYLPPLLTILQMGGCYKSL PSRNGPLAVIVCPGWKKAQFIFELLGDYSMSSRPLHPVLLTIGLHKDEAKNMKLPRGCDV IVTTPHSLLRLLTYRSLLFLRLCHLVLDEVHMLFFEANEQMFAILDNFKKNVEVEERESA PHQIVAVGVHWNKHIDHLVREFMKDPCVVITALEEAALYGSVQQVVHLCLECEKTSTLLQ VLDFVPSQAQKTLIFTCSVAETETVCKGSPAEQGDKKTKSVLLLTERNASHAVGILRYLE RADAKIPSELYEFTAGVLEAKEDKKARRPLCPYLKAFGFCKDKRICPDRHHINPEMDIPR KLSNKTLPVFGHIRVIPFYISNATNYFGRIIDKHVDLYETLNAEMNEYFKESSNKTSAEK VENLGLYGLEEKTLFQRVQVLEVSQKEDTWGLGSILVKLIDEGRTKLITRDQLLLLPEKF HTLPPQAVEFIVCRVKPADSEIEWNPKVTRYIHHKIVGKMHDAKVVLALGNTLWIDPMVH VTKLSNLKTSIIDYNVRAEILSMGMGIDNSEHLEQLKKLYKEAKLPAFEDLPCQTSIPTT VEDTVCLQGTQQGDGGTERGAGSQEDSDNQKPGVLSEDIGSETISSAPQPHGRSFHPQIK WFQKDDVVILKIKIRNVKDYKCKFFTDRVIFSAWVGDKFYLADLELQGDIRKDDCKCIIK DDEPLITLAKEKQECWCGLLKQRNPNVAFDFDHWEECEEDSPFSKVVNSKNLSCKVAALA ESSGSSSDTTDGSESE >ENSMUSP00000140328.3 pep:known chromosome:GRCm38:7:35471192:35537538:-1 gene:ENSMUSG00000030491.16 transcript:ENSMUST00000187190.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd12 description:tudor domain containing 12 [Source:MGI Symbol;Acc:MGI:1919231] MFEVLVLKIEDPGCFWVIIKGCSHFLEQEVDYQKLNTAMNDFYNSMCQDVEMKPLMLEEG QVCVVYCQELKCWCRALIKSIISSADHYLAECFLVDFAKYIPVKSKNIRVAVESFMQLPY RAKKFRLYGTKPVTLHIDFCEDNAEIVPATKWDSAAIQYFQNLLRATTQVEAKLCAVEED TFEVYLYATIKNEKVCVNDDLVAKNFAYYVSPMGNKNLNPLEKPRQSLNSVTCSSKLSPS LTLWPMLLQGKDYHRMENKALNYKDSLTDSPKMMLEKQQQSLPLKHTEKCTESSVYWPTK RGITIYADPDVPSVSGSSQRPNEKPLRLTEKKDCDEKNGCVKLLQFLNPDPLRADGTSDL HQLQKVKLGTLQPGVVLRNRIEPCLTLEKSPLSADLKKALQRNKFPGPSHTASYSWPPIA RGCDMVVISHCGNDPLLYLPPLLTILQMGGCYKSLPSRNGPLAVIVCPGWKKAQFIFELL GDYSMSSRPLHPVLLTIGLHKDEAKNMKLPRGCDVIVTTPHSLLRLLTYRSLLFLRLCHL VLDEVHMLFFEANEQMFAILDNFKKNVEVEERESAPHQIVAVGVHWNKHIDHLVREFMKD PCVVITALEEAALYGSVQQVVHLCLECEKTSTLLQVLDFVPSQAQKTLIFTCSVAETETV CKVVESNSIFCLKMHKEMAFNLKSILEQWKKKLSPGSHIVLTLTDDCIPLLAITDATCVV HFSFPSSPKVLGGRLYCMSDHFQSLTEQGSPAEQGDKKTKSVLLLTERNASHAVGILRYL ERADAKIPSELYEFTAGVLEAKEDKKARRPLCPYLKAFGFCKDKRICPDRHHINPEMDIP RKLSNKTLPVFGHIRVIPFYISNATNYFGRIIDKHVDLYETLNAEMNEYFKESSNKTSAE KVENLGLYGLEEKTLFQRVQVLEVSQKEDTWGLGSILVKLIDEGRTKLITRDQLLLLPEK FHTLPPQAVEFIVCRVKPADSEIEWNPKVTRYIHHKIVGKMHDAKVVLALGNTLWIDPMV HVTKLSNLKTSIIDYNVRAEILSMGMGIDNSEHLEQLKKLYKEAKLPAFEDLPCQTSIPT TVEDTVCLQGTQQGDGGTERGAGSQEDSDNQKP >ENSMUSP00000145609.1 pep:known chromosome:GRCm38:7:35493609:35537693:-1 gene:ENSMUSG00000030491.16 transcript:ENSMUST00000205407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd12 description:tudor domain containing 12 [Source:MGI Symbol;Acc:MGI:1919231] MFEVLVLKVCVVYCQELKCWCRALIKSIISSADHYLAECFLVDFAKYIPVKSKNIRVAVE SFMQLPYRAKKFRLYGTKPVTLHIDFCEDNAEIVPATKWDSAAIQYFQNLLRATTQVEAK LCAVEEDTFEVYLYATIKNEKVCVNDDLVAKNFAYYVSPMGNKNLNPLEKPRQSLNSVTC SSKLSPSLTLWPMLLQGKDYHRMENKALNYKDSLTDSPKMMLEKQQQSLPLKHTEKCTES SVYWPTKRGITIYADPDVPSVSGSSQRPNEKPLRLTEKKDCDEKNGCVKLLQFLNPDPLR ADGTSDLHQLQKVKLGTLQPGVVLRNRIEPCLTLEKSPLSADLKKVNMFLKPDS >ENSMUSP00000032701.7 pep:known chromosome:GRCm38:7:35493612:35537745:-1 gene:ENSMUSG00000030491.16 transcript:ENSMUST00000032701.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd12 description:tudor domain containing 12 [Source:MGI Symbol;Acc:MGI:1919231] MFEVLVLKIEDPGCFWVIIKGCSHFLEQEVDYQKLNTAMNDFYNSMCQDVEMKPLMLEEG QVCVVYCQELKCWCRALIKSIISSADHYLAECFLVDFAKYIPVKSKNIRVAVESFMQLPY RAKKFRLYGTKPVTLHIDFCEDNAEIVPATKWDSAAIQYFQNLLRATTQVEAKLCAVEED TFEVYLYATIKNEKVCVNDDLVAKNFAYYVSPMGNKNLNPLEKPRQSLNSVTCSSKLSPS LTLWPMLLQGKDYHRMENKALNYKDSLTDSPKMMLEKQQQSLPLKHTEKCTESSVYWPTK RGITIYADPDVPSVSGSSQRPNEKPLRLTEKKDCDEKNGCVKLLQFLNPDPLRADGTSDL HQLQKVKLGTLQPGVVLRNRIEPCLTLEKSPLSADLKKVNMFLKPDS >ENSMUSP00000145652.1 pep:known chromosome:GRCm38:7:35493641:35505008:-1 gene:ENSMUSG00000030491.16 transcript:ENSMUST00000206641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd12 description:tudor domain containing 12 [Source:MGI Symbol;Acc:MGI:1919231] QQSLPLKHTEKCTESSVYWPTKRGITIYADPDVPSVSGSSQRPNEKPLRLTEKKDCDEKN GCVKLLQFLNPDPLRADGTSDLHQKVKLGTLQPGVVLRNRIEPCLTLEKSPLSADLKKVN MFLKPDS >ENSMUSP00000118671.1 pep:known chromosome:GRCm38:7:35508776:35537693:-1 gene:ENSMUSG00000030491.16 transcript:ENSMUST00000127472.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd12 description:tudor domain containing 12 [Source:MGI Symbol;Acc:MGI:1919231] MFEVLVLKVCVVYCQELKCWCRALIKSIISSADHYLAECFLVDFAKYIPVKSKNIRVAVE SFMQLPYRAKKFRLYGTKPVTLHIDFCEDNAEIVPATKWDSAAIQYFQNLLRATTQVEAK LCAVEEDTFEVYLYATIKNEKVCVNDDLVAKNFAYYVSPMGNKNLNPLEKPRQSLNSVTC SSKLSPSLTLWPMLLQGKDYHRME >ENSMUSP00000082192.3 pep:known chromosome:GRCm38:9:106568319:106570996:-1 gene:ENSMUSG00000041009.8 transcript:ENSMUST00000085111.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcf4 description:IQ motif containing F4 [Source:MGI Symbol;Acc:MGI:1914570] MGCLYSKGNVHFSIDDEIYDIKETRKQQQKEKEKEEEEKKLRPSSTPKVEHTWPSKLELS LQHLSQKTQNARKIQAWWRGTLVRRSLLRAALSAWIIQSWWRKILAKRQEKNRLIILHLM AQETRACVLIQSWVRMLKIRQNYCRLCYATRVIQASWRWYNCRTRGFFQGSYELVGNKLR LRLDIFLGSQVCRISDCISLPIKN >ENSMUSP00000110968.1 pep:known chromosome:GRCm38:X:23219271:23365082:-1 gene:ENSMUSG00000036782.13 transcript:ENSMUST00000115313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl13 description:kelch-like 13 [Source:MGI Symbol;Acc:MGI:1914705] MGLSSHLQSCKAGSTRIFTSNSHSSVVLQGFDQLRLDGLLCDVTLMPGDTDDAYPVHRVM MASASDYFKAMFTGGMKEQELMCIKLHGVSRVGLRKIIDFIYTAKLSLNMDTLQDTLEAA SFLQILPVLDFCKVFLISGVTLDNCVEVGRIANTYHLTEVDKYVNSFVLKNFAALLSTGE FLKLPFERLAFVLSSNSLKRCTELDLFKATCRWLRLEEPRMDVAAKLMKNIRFPLMTPQE LINYVQTVDFMRTDNTCVNLLLEASNYQMMPFMQPVMQSDRTAIRSDTTRLVTLGGVLRQ QLVVSKELRMYDEKTHEWKSLAPMDAPRYQHGIAVIGNFLYVVGGQSNYDTKGKTAVDTV FRFDPRYNKWIQVASLNEKRTFFHLSALKGFLYAVGGRNAAGELPTVECYNPRTNEWTYV AKMNEPHYGHAGTVYGGVMYISGGITHDTFQKELMCFDPDTDKWTQKAPMTTVRGLHCMC TVGDRLYVIGGNHFRGTSDYDDVLSCEYYSPILDQWTPIASMLRGQSDVGVAVFENKIYV VGGYSWNNRCMVEIVQKYDPEKNEWHKVFDLPESLGGIRACTLTVYPPEEATPSPSRESP LSGP >ENSMUSP00000110974.2 pep:known chromosome:GRCm38:X:23219285:23285559:-1 gene:ENSMUSG00000036782.13 transcript:ENSMUST00000115319.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl13 description:kelch-like 13 [Source:MGI Symbol;Acc:MGI:1914705] MLRFISHLYCCSSKEECSEDDKCILSRSLVEEEDQHLKLCLGSEMGLSSHLQSCKAGSTR IFTSNSHSSVVLQGFDQLRLDGLLCDVTLMPGDTDDAYPVHRVMMASASDYFKAMFTGGM KEQELMCIKLHGVSRVGLRKIIDFIYTAKLSLNMDTLQDTLEAASFLQILPVLDFCKVFL ISGVTLDNCVEVGRIANTYHLTEVDKYVNSFVLKNFAALLSTGEFLKLPFERLAFVLSSN SLKRCTELDLFKATCRWLRLEEPRMDVAAKLMKNIRFPLMTPQELINYVQTVDFMRTDNT CVNLLLEASNYQMMPFMQPVMQSDRTAIRSDTTRLVTLGGVLRQQLVVSKELRMYDEKTH EWKSLAPMDAPRYQHGIAVIGNFLYVVGGQSNYDTKGKTAVDTVFRFDPRYNKWIQVASL NEKRTFFHLSALKGFLYAVGGRNAAGELPTVECYNPRTNEWTYVAKMNEPHYGHAGTVYG GVMYISGGITHDTFQKELMCFDPDTDKWTQKAPMTTVRGLHCMCTVGDRLYVIGGNHFRG TSDYDDVLSCEYYSPILDQWTPIASMLRGQSDVGVAVFENKIYVVGGYSWNNRCMVEIVQ KYDPEKNEWHKVFDLPESLGGIRACTLTVYPPEEATPSPSRESPLSGP >ENSMUSP00000110971.2 pep:known chromosome:GRCm38:X:23219286:23266802:-1 gene:ENSMUSG00000036782.13 transcript:ENSMUST00000115316.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl13 description:kelch-like 13 [Source:MGI Symbol;Acc:MGI:1914705] MEGEYFFEENPFLEFLLKLSLVEEEDQHLKLCLGSEMGLSSHLQSCKAGSTRIFTSNSHS SVVLQGFDQLRLDGLLCDVTLMPGDTDDAYPVHRVMMASASDYFKAMFTGGMKEQELMCI KLHGVSRVGLRKIIDFIYTAKLSLNMDTLQDTLEAASFLQILPVLDFCKVFLISGVTLDN CVEVGRIANTYHLTEVDKYVNSFVLKNFAALLSTGEFLKLPFERLAFVLSSNSLKRCTEL DLFKATCRWLRLEEPRMDVAAKLMKNIRFPLMTPQELINYVQTVDFMRTDNTCVNLLLEA SNYQMMPFMQPVMQSDRTAIRSDTTRLVTLGGVLRQQLVVSKELRMYDEKTHEWKSLAPM DAPRYQHGIAVIGNFLYVVGGQSNYDTKGKTAVDTVFRFDPRYNKWIQVASLNEKRTFFH LSALKGFLYAVGGRNAAGELPTVECYNPRTNEWTYVAKMNEPHYGHAGTVYGGVMYISGG ITHDTFQKELMCFDPDTDKWTQKAPMTTVRGLHCMCTVGDRLYVIGGNHFRGTSDYDDVL SCEYYSPILDQWTPIASMLRGQSDVGVAVFENKIYVVGGYSWNNRCMVEIVQKYDPEKNE WHKVFDLPESLGGIRACTLTVYPPEEATPSPSRESPLSGP >ENSMUSP00000110972.2 pep:known chromosome:GRCm38:X:23219286:23284829:-1 gene:ENSMUSG00000036782.13 transcript:ENSMUST00000115317.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl13 description:kelch-like 13 [Source:MGI Symbol;Acc:MGI:1914705] MPLKWKTSSPAIWKFPVPVLKTSRSTPLSPAYISLVEEEDQHLKLCLGSEMGLSSHLQSC KAGSTRIFTSNSHSSVVLQGFDQLRLDGLLCDVTLMPGDTDDAYPVHRVMMASASDYFKA MFTGGMKEQELMCIKLHGVSRVGLRKIIDFIYTAKLSLNMDTLQDTLEAASFLQILPVLD FCKVFLISGVTLDNCVEVGRIANTYHLTEVDKYVNSFVLKNFAALLSTGEFLKLPFERLA FVLSSNSLKRCTELDLFKATCRWLRLEEPRMDVAAKLMKNIRFPLMTPQELINYVQTVDF MRTDNTCVNLLLEASNYQMMPFMQPVMQSDRTAIRSDTTRLVTLGGVLRQQLVVSKELRM YDEKTHEWKSLAPMDAPRYQHGIAVIGNFLYVVGGQSNYDTKGKTAVDTVFRFDPRYNKW IQVASLNEKRTFFHLSALKGFLYAVGGRNAAGELPTVECYNPRTNEWTYVAKMNEPHYGH AGTVYGGVMYISGGITHDTFQKELMCFDPDTDKWTQKAPMTTVRGLHCMCTVGDRLYVIG GNHFRGTSDYDDVLSCEYYSPILDQWTPIASMLRGQSDVGVAVFENKIYVVGGYSWNNRC MVEIVQKYDPEKNEWHKVFDLPESLGGIRACTLTVYPPEEATPSPSRESPLSGP >ENSMUSP00000041190.4 pep:known chromosome:GRCm38:X:23219286:23315179:-1 gene:ENSMUSG00000036782.13 transcript:ENSMUST00000035973.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl13 description:kelch-like 13 [Source:MGI Symbol;Acc:MGI:1914705] MDILHRGELVAAILRNRSLVEEEDQHLKLCLGSEMGLSSHLQSCKAGSTRIFTSNSHSSV VLQGFDQLRLDGLLCDVTLMPGDTDDAYPVHRVMMASASDYFKAMFTGGMKEQELMCIKL HGVSRVGLRKIIDFIYTAKLSLNMDTLQDTLEAASFLQILPVLDFCKVFLISGVTLDNCV EVGRIANTYHLTEVDKYVNSFVLKNFAALLSTGEFLKLPFERLAFVLSSNSLKRCTELDL FKATCRWLRLEEPRMDVAAKLMKNIRFPLMTPQELINYVQTVDFMRTDNTCVNLLLEASN YQMMPFMQPVMQSDRTAIRSDTTRLVTLGGVLRQQLVVSKELRMYDEKTHEWKSLAPMDA PRYQHGIAVIGNFLYVVGGQSNYDTKGKTAVDTVFRFDPRYNKWIQVASLNEKRTFFHLS ALKGFLYAVGGRNAAGELPTVECYNPRTNEWTYVAKMNEPHYGHAGTVYGGVMYISGGIT HDTFQKELMCFDPDTDKWTQKAPMTTVRGLHCMCTVGDRLYVIGGNHFRGTSDYDDVLSC EYYSPILDQWTPIASMLRGQSDVGVAVFENKIYVVGGYSWNNRCMVEIVQKYDPEKNEWH KVFDLPESLGGIRACTLTVYPPEEATPSPSRESPLSGP >ENSMUSP00000030376.7 pep:known chromosome:GRCm38:4:120696138:120747248:-1 gene:ENSMUSG00000028631.7 transcript:ENSMUST00000030376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq4 description:potassium voltage-gated channel, subfamily Q, member 4 [Source:MGI Symbol;Acc:MGI:1926803] MAEAPPRRLGLGPPPGDAPRAELVALTAVQSEQGEAGGGGSPRRLGLLGSPLPPGAPLPG PGSGSGSACGGQRSSAAQKRYRRLQNWVYNVLERPRGWAFVYHVFIFLLVFSCLVLSVLS TIQEHQELANECLLILEFVMIVVFGLEYIIRVWSAGCCCRYRGWQGRFRFARKPFCVIDF IVFVASVAVIAAGTQGNIFATSALRSMRFLQILRMVRMDRRGGTWKLLGSVVYAHSKELI TAWYIGFLVLIFASFLVYLAEKDANSDFSSYADSLWWGTITLTTIGYGDKTPHTWLGRVL AAGFALLGISFFALPAGILGSGFALKVQEQHRQKHFEKRRMPAANLIQAAWRLYSTDTSR AYLTATWYYYDSILPSFRELALLFEHIQRARNGGLRPLEVRRAPVPDGAPSRYPPVATCH RPGSASFCPGESSRMGIKDRIRISSSQKRTGPSKQHLAPPPIPTSPSSEQVGEASSPSKV QKSWSFNDRTRFRASLRLKPRCSAEEGPSEEVAEEKSYQCELTVDDVMPAVKTVIRSVRI LKFLVAKRKFKETLRPYDVKDVIEQYSAGHLDMLGRIKSLQARVDQIVGRGPGDRKTREK GDKGPSDTEAVDEISMMGRVVKVEKQVQSIEHKLDLLLGFYSRCLRSGTSASLGTVQVPL FDPDITSDYHSPVDHEDISVSAQTLSISRSVSTNMD >ENSMUSP00000113295.2 pep:known chromosome:GRCm38:5:77086988:77095253:-1 gene:ENSMUSG00000059325.14 transcript:ENSMUST00000120827.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hopx description:HOP homeobox [Source:MGI Symbol;Acc:MGI:1916782] MSAQTASGPTEDQVEILEYNFNKVNKHPDPTTLCLIAAEAGLTEEQTQKWFKQRLAEWRR SEGLPSECRSVTD >ENSMUSP00000109080.2 pep:known chromosome:GRCm38:5:77086988:77095277:-1 gene:ENSMUSG00000059325.14 transcript:ENSMUST00000113453.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hopx description:HOP homeobox [Source:MGI Symbol;Acc:MGI:1916782] MSAQTASGPTEDQVEILEYNFNKVNKHPDPTTLCLIAAEAGLTEEQTQKWFKQRLAEWRR SEGLPSECRSVTD >ENSMUSP00000080630.4 pep:known chromosome:GRCm38:5:77086988:77115121:-1 gene:ENSMUSG00000059325.14 transcript:ENSMUST00000081964.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hopx description:HOP homeobox [Source:MGI Symbol;Acc:MGI:1916782] MSAQTASGPTEDQVEILEYNFNKVNKHPDPTTLCLIAAEAGLTEEQTQKWFKQRLAEWRR SEGLPSECRSVTD >ENSMUSP00000115544.1 pep:known chromosome:GRCm38:11:106276672:106288133:1 gene:ENSMUSG00000020712.11 transcript:ENSMUST00000142472.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcam1 description:testicular cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1923120] MKMLLLGVWTLLALIPCPGAAEELFQVSVHPNEALVEFGHSLTVNCSTTCPDPGPSGIET FLKKTQLSKGSQWKEFLLEDITEDLVLQCFFSCAGEQKDTVLAITMYQPPEQVILDLQPE WVAVDEAFTVTCHVPSVAPLQSLTLTLLQGDQELHRKDFLSLSLVSQRAEVTATVRAHRD NDRRNFSCRAELDLSPHGGGLFHGSSATKQLRIFEFSQNPQIWVPSLLEVGKAEIVSCEV TRVFPAQEAVFRMFLEDQELSPFSSWREDAAWASATIQAMETGDQELTCLVSLGPVEQKT RKPVYVYSFPPPILEIEDAYPLAGTDVNVTCSGHVLTSPSPTLRLQGSLNHSAPGKPAWL LFTAREEDDGRTLSCEASLEVQGQRLVRTTESQLHVLCE >ENSMUSP00000044757.3 pep:known chromosome:GRCm38:11:106276748:106288745:1 gene:ENSMUSG00000020712.11 transcript:ENSMUST00000044462.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcam1 description:testicular cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1923120] MKMLLLGVWTLLALIPCPGAAEELFQVSVHPNEALVEFGHSLTVNCSTTCPDPGPSGIET FLKKTQLSKGSQWKEFLLEDITEDLVLQCFFSCAGEQKDTVLAITMYQPPEQVILDLQPE WVAVDEAFTVTCHVPSVAPLQSLTLTLLQGDQELHRKDFLSLSLVSQRAEVTATVRAHRD NDRRNFSCRAELDLSPHGGGLFHGSSATKQLRIFEFSQNPQIWVPSLLEVGKAEIVSCEV TRVFPAQEAVFRMFLEDQELSPFSSWREDAAWASATIQAMETGDQELTCLVSLGPVEQKT RKPVYVYSFPPPILEIEDAYPLAGTDVNVTCSGHVLTSPSPTLRLQGSLNHSAPGKPAWL LFTAREEDDGRTLSCEASLEVQGQRLVRTTESQLHVLYKPRFQESRCPGNQIWVEGMHQM LACIPEGNPTPVLVCVWNGMIFDLDVPQKATQNHTGTYCCTATNPLGSVSKDITIIVQGL PEGISSSTIFIIIIFTLGMAVITVALYLNYQPCKGNSRKRMHRPREQSKGEESQFSDIRA EECHAHLC >ENSMUSP00000108147.1 pep:known chromosome:GRCm38:5:110110092:110129794:1 gene:ENSMUSG00000023284.15 transcript:ENSMUST00000112528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp605 description:zinc finger protein 605 [Source:MGI Symbol;Acc:MGI:2444933] MTESRISFEDVAVDFSWDQWQLLSPTQKSLYRDVMLENCSSLVFLGNQTTKPDVTFKLDQ QESRIMDEECLSQNFLEIWLDSYSELWLQDEQDKPKRMERGNGDNVLEEVCHSSMNSVYL EMRFHICGTCGKNLKSPLNFFIPKGNRVRKKSKVSKKLLFYLKFDKPYSKRKCHDCNKYR KANSNESQLVTKQRTHSGIFLCMECGRIFNKKSQLIVHQRNHTEEKPCQCNGYGKTFAQK SQLAIHQKTHSGEEPERQKAFGGKSLGLQQQRTHTGQKPYARGKTYSRKPTQNRPAMSHA VHKPYRCGDCGEVFSQKLKLIIHRSTHPGEKPYKCSECRKAFFWNSQLITHRRSHRGKKP YVCSECEKAFRRNSLLIRHQRIHTGEKPHRSRECGEAFIGKPQLAKHHMTHTGEKKCYGF EEVFFKKSKLMRHQKSHLGEKPNRCAEFGKIFPEKSQLLTHQKSHSGERPYRCAECGKTF PGRSSLLSHQRTHTGEKPYKCNLCGRAFSQRSSIISHQRTHTGEKPFKCGDCGKAFTEKS NLLHHKRTHTGEKPFGCSDCGKAFAWKPHLLQHQRIHTGEKPFECSECGKAFVQKVQLIK HQRHHTGEKIYKCSSCEKAFFEKTQLTIHQKIHTGERPYTCGECGRSFTRNSHLMRHKPI HTRDKCYRCSQCGSIFNKKSHLIRHQKDHIIKAV >ENSMUSP00000122236.1 pep:known chromosome:GRCm38:5:110110351:110127418:1 gene:ENSMUSG00000023284.15 transcript:ENSMUST00000147631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp605 description:zinc finger protein 605 [Source:MGI Symbol;Acc:MGI:2444933] MTESRISFEDVAVDFSWDQWQLLSPTQKSLYRDVMLENCSSLVFLGNQTTKPDVTFKLDQ QESRIMDEECLSQNFLEIWLDSYSELWLQDEQDKPKRMERGNGDNVLEEVCHSSMNSVYL EMRFHICGTCGKNL >ENSMUSP00000083891.6 pep:known chromosome:GRCm38:5:110112052:110129102:1 gene:ENSMUSG00000023284.15 transcript:ENSMUST00000086686.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp605 description:zinc finger protein 605 [Source:MGI Symbol;Acc:MGI:2444933] MTESRISFEDVAVDFSWDQWQLLSPTQKSLYRDVMLENCSSLVFLGNQTTKPDVTFKLDQ QESRIMDEECLSQNFLEIWLDSYSELWLQDEQDKPKRMERGNGDNVLEEVCHSSMNSVYL EMRFHICGTCGKNLKSPLNFFIPKGNRVRKKSKVSKKLLFYLKFDKPYSKRKCHDCNKYR KANSNESQLVTKQRTHSGIFLCMECGRIFNKKSQLIVHQRNHTEEKPCQCNGYGKTFAQK SQLAIHQKTHSGEEPERQKAFGGKSLGLQQQRTHTGQKPYARGKTYSRKPTQNRPAMSHA VHKPYRCGDCGEVFSQKLKLIIHRSTHPGEKPYKCSECRKAFFWNSQLITHRRSHRGKKP YVCSECEKAFRRNSLLIRHQRIHTGEKPHRSRECGEAFIGKPQLAKHHMTHTGEKKCYGF EEVFFKKSKLMRHQKSHLGEKPNRCAEFGKIFPEKSQLLTHQKSHSGERPYRCAECGKTF PGRSSLLSHQRTHTGEKPYKCNLCGRAFSQRSSIISHQRTHTGEKPFKCGDCGKAFTEKS NLLHHKRTHTGEKPFGCSDCGKAFAWKPHLLQHQRIHTGEKPFECSECGKAFVQKVQLIK HQRHHTGEKIYKCSSCEKAFFEKTQLTIHQKIHTGERPYTCGECGRSFTRNSHLMRHKPI HTRDKCYRCSQCGSIFNKKSHLIRHQKDHIIKAV >ENSMUSP00000102394.1 pep:known chromosome:GRCm38:4:106651069:106653539:1 gene:ENSMUSG00000043572.7 transcript:ENSMUST00000106782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pars2 description:prolyl-tRNA synthetase (mitochondrial)(putative) [Source:MGI Symbol;Acc:MGI:2386296] MSAQAHWLCVTEERPTQVLSCCWCQGVMEGLLTRCRTLSALAACSLRHCRYIIHKCYHRA PGRGQRLVLSRMFQPQNLREDQVLSLEGRASDLTCKSQRLMLQVGLILPASPGCYHLMPY TVRAVEKLVRVIDQEMQAIGGQKINMPSLSPAELWRATSRWDL >ENSMUSP00000102393.1 pep:known chromosome:GRCm38:4:106651098:106655280:1 gene:ENSMUSG00000043572.7 transcript:ENSMUST00000106781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pars2 description:prolyl-tRNA synthetase (mitochondrial)(putative) [Source:MGI Symbol;Acc:MGI:2386296] MCWANRHGRIHILDPVLRTKLIVIPRDSFFSRCQGVMEGLLTRCRTLSALAACSLRHCRY IIHKCYHRAPGRGQRLVLSRMFQPQNLREDQVLSLEGRASDLTCKSQRLMLQVGLILPAS PGCYHLMPYTVRAVEKLVRVIDQEMQAIGGQKINMPSLSPAELWRATSRWDLMGRELLRL RDRHGKEYCLGPTHEEAVTALVASQKKLSYKQLPLLLYQVTRKFRDEPRPRFGLLRGREF YMKDMYTFDSSSEAAQETYGLVCDAYCRLFDRLGLQWMKARADVGSIGGTMSHEFQLPVD IGEDRLVVCPSCHFSANTEILDLSQKICPDCQGPLTETKGIEVGHTFYLSTKYSSIFNAL FTNAHGESLLAEMGCYGLGVTRILAAAIEVLSTEDCIRWPRLLAPYQVCVIPPKKGSKET AATEIVEKLYDDIMEAVPQLRGEVLLDDRTHLTIGNRLKDANKLGYPFVIIAGKRALEDP AHFEVWSQNTGEVVFLTKEGVMELLTGVHVV >ENSMUSP00000053160.7 pep:known chromosome:GRCm38:4:106651106:106655282:1 gene:ENSMUSG00000043572.7 transcript:ENSMUST00000058905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pars2 description:prolyl-tRNA synthetase (mitochondrial)(putative) [Source:MGI Symbol;Acc:MGI:2386296] MEGLLTRCRTLSALAACSLRHCRYIIHKCYHRAPGRGQRLVLSRMFQPQNLREDQVLSLE GRASDLTCKSQRLMLQVGLILPASPGCYHLMPYTVRAVEKLVRVIDQEMQAIGGQKINMP SLSPAELWRATSRWDLMGRELLRLRDRHGKEYCLGPTHEEAVTALVASQKKLSYKQLPLL LYQVTRKFRDEPRPRFGLLRGREFYMKDMYTFDSSSEAAQETYGLVCDAYCRLFDRLGLQ WMKARADVGSIGGTMSHEFQLPVDIGEDRLVVCPSCHFSANTEILDLSQKICPDCQGPLT ETKGIEVGHTFYLSTKYSSIFNALFTNAHGESLLAEMGCYGLGVTRILAAAIEVLSTEDC IRWPRLLAPYQVCVIPPKKGSKETAATEIVEKLYDDIMEAVPQLRGEVLLDDRTHLTIGN RLKDANKLGYPFVIIAGKRALEDPAHFEVWSQNTGEVVFLTKEGVMELLTGVHVV >ENSMUSP00000109267.2 pep:known chromosome:GRCm38:6:87458545:87533235:-1 gene:ENSMUSG00000030047.14 transcript:ENSMUST00000113637.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap25 description:Rho GTPase activating protein 25 [Source:MGI Symbol;Acc:MGI:2443687] MSLKLPRNWDFNLKAEASKIARSRSVMTGEQMAAFHPPTTPNPLERPIKVGWLKKQRSIV KNWQQRYFVLRAQQLYYYKDEEDSKPQGCMYLPGSTVKEIATNPEEAGKFVFEVIPASSD QNRIGQDSYVLMASSQVEMEEWVKFLRRVAGTPSGAVFGQRLDETVAYEQKFGPHLVPIL VEKCAEFILEHGVSEEGIFRLPGQDNLVKQLRDAFDAGERPSFDRDTDVHTVASLLKLYL RDLPEPVVPWSQYEGFLLCGQLMNADEAKAQQELVKQLSTLPRDNYNLLSYICRFLHEIQ LNCAVNKMSVDNLATVIGVNLIRSKVEDPAVIMRGTPQIQRVMTMMIRDHEVLFPKSKDA PISPPAQKNDAKKAPVPRSSVGWDATEDPPLSRTDSFSNTASSPDATSPTGPLPSDQHQE DSGKAPRENPGDWKMQSRKRTQTLPNRKCFLTSAFQGTTSSKLEIFKNEFWSPSSEAKAG EGHRRTMSQDLRHLSNDQRTSTYDNVPTSPQSQGNPAGALSPPASDSKRDALVSTDSEME AGSKNSGEDDLDSLQRTVQSLQKEIETQKQVYEEQIKNLEKENYDVWAKVVRLNEELERE RKKFAALEISLRNVERSREDVEKRNRVLEEEVKEFVKSMEKPKTKTDP >ENSMUSP00000098758.2 pep:known chromosome:GRCm38:6:87459405:87496314:-1 gene:ENSMUSG00000030047.14 transcript:ENSMUST00000101197.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap25 description:Rho GTPase activating protein 25 [Source:MGI Symbol;Acc:MGI:2443687] MTGEQMAAFHPPTTPNPLERPIKVGWLKKQRSIVKNWQQRYFVLRAQQLYYYKDEEDSKP QGCMYLPGSTVKEIATNPEEAGKFVFEVIPASSDQNRIGQDSYVLMASSQVEMEEWVKFL RRVAGTPSGAVFGQRLDETVAYEQKFGPHLVPILVEKCAEFILEHGVSEEGIFRLPGQDN LVKQLRDAFDAGERPSFDRDTDVHTVASLLKLYLRDLPEPVVPWSQYEGFLLCGQLMNAD EAKAQQELVKQLSTLPRDNYNLLSYICRFLHEIQLNCAVNKMSVDNLATVIGVNLIRSKV EDPAVIMRGTPQIQRVMTMMIRDHEVLFPKSKDAPISPPAQKNDAKKAPVPRSSVGWDAT EDPPLSRTDSFSNTASSPDATSPTGPLPSDQHQEDSGKAPRENPGDWKMQSRKRTQTLPN RKCFLTSAFQGTTSSKLEIFKNEFWSPSSEAKAGEGHRRTMSQDLRHLSNDQRTSTYDNV PTSPQSQGNPAGALSPPASDSKRDALVSTDSEMEAGSKNSGEDDLDSLQRTVQSLQKEIE TQKQVYEEQIKNLEKENYDVWAKVVRLNEELERERKKFAALEISLRNVERSREDVEKRNR VLEEEVKEFVKSMEKPKTKTDP >ENSMUSP00000068964.6 pep:known chromosome:GRCm38:6:87459405:87533259:-1 gene:ENSMUSG00000030047.14 transcript:ENSMUST00000071024.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap25 description:Rho GTPase activating protein 25 [Source:MGI Symbol;Acc:MGI:2443687] MYLPGSTVKEIATNPEEAGKFVFEVIPASSDQNRIGQDSYVLMASSQVEMEEWVKFLRRV AGTPSGAVFGQRLDETVAYEQKFGPHLVPILVEKCAEFILEHGVSEEGIFRLPGQDNLVK QLRDAFDAGERPSFDRDTDVHTVASLLKLYLRDLPEPVVPWSQYEGFLLCGQLMNADEAK AQQELVKQLSTLPRDNYNLLSYICRFLHEIQLNCAVNKMSVDNLATVIGVNLIRSKVEDP AVIMRGTPQIQRVMTMMIRDHEVLFPKSKDAPISPPAQKNDAKKAPVPRSSVGWDATEDP PLSRTDSFSNTASSPDATSPTGPLPSDQHQEDSGKAPRENPGDWKMQSRKRTQTLPNRKC FLTSAFQGTTSSKLEIFKNEFWSPSSEAKAGEGHRRTMSQDLRHLSNDQRTSTYDNVPTS PQSQGNPAGALSPPASDSKRDALVSTDSEMEAGSKNSGEDDLDSLQRTVQSLQKEIETQK QVYEEQIKNLEKENYDVWAKVVRLNEELERERKKFAALEISLRNVERSREDVEKRNRVLE EEVKEFVKSMEKPKTKTDP >ENSMUSP00000131823.1 pep:known chromosome:GRCm38:9:106626582:106627675:1 gene:ENSMUSG00000091129.2 transcript:ENSMUST00000171091.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcf6 description:IQ motif containing F6 [Source:MGI Symbol;Acc:MGI:3781315] MTDEWTLKTKAMDIKTVNTDLSKETCPVDNEQSVKLEKTAIKIQSWWRGNMVRRTLLDAA LGAWAIQCWWRSVQTKIVEQKRRLALKLYTCQEWAVVKVQAYVRMWQARRRFLQARQAAC IIQTHWRWHASQSQGMIHSRYEVKASRLELDIEILVT >ENSMUSP00000135891.1 pep:known chromosome:GRCm38:11:70238124:70239780:-1 gene:ENSMUSG00000093989.1 transcript:ENSMUST00000125752.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnasek description:ribonuclease, RNase K [Source:MGI Symbol;Acc:MGI:106369] MASLLCCGPKLAACGIVLSAWGVIMLVRGLTRERV >ENSMUSP00000048271.3 pep:known chromosome:GRCm38:11:70238124:70239842:-1 gene:ENSMUSG00000093989.1 transcript:ENSMUST00000040428.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnasek description:ribonuclease, RNase K [Source:MGI Symbol;Acc:MGI:106369] MASLLCCGPKLAACGIVLSAWGVIMLIMLGIFFNVHSAVLIEDVPFTEKDFENGPQNIYN LYEQVSYNCFIAAGLYLLLGGFSFCQVRLNKRKEYMVR >ENSMUSP00000135383.1 pep:known chromosome:GRCm38:11:70238127:70239058:-1 gene:ENSMUSG00000093989.1 transcript:ENSMUST00000141880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnasek description:ribonuclease, RNase K [Source:MGI Symbol;Acc:MGI:106369] MLGIFFNVHSAVLIEDVPFTEKDFENGPQNIYNLYEQVSYNCFIAAGLYLLLGGFSFCQV RLNKRKEYMVR >ENSMUSP00000014505.4 pep:known chromosome:GRCm38:2:128698956:128802894:1 gene:ENSMUSG00000014361.5 transcript:ENSMUST00000014505.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mertk description:c-mer proto-oncogene tyrosine kinase [Source:MGI Symbol;Acc:MGI:96965] MVLAPLLLGLLLLPALWSGGTAEKWEETELDQLFSGPLPGRLPVNHRPFSAPHSSRDQLP PPQTGRSHPAHTAAPQVTSTASKLLPPVAFNHTIGHIVLSEHKNVKFNCSINIPNTYQET AGISWWKDGKELLGAHHSITQFYPDEEGVSIIALFSIASVQRSDNGSYFCKMKVNNREIV SDPIYVEVQGLPYFIKQPESVNVTRNTAFNLTCQAVGPPEPVNIFWVQNSSRVNEKPERS PSVLTVPGLTETAVFSCEAHNDKGLTVSKGVHINIKVIPSPPTEVHILNSTAHSILVSWV PGFDGYSPLQNCSIQVKEADRLSNGSVMVFNTSASPHLYEIQQLQALANYSIAVSCRNEI GWSAVSPWILASTTEGAPSVAPLNITVFLNESNNILDIRWTKPPIKRQDGELVGYRISHV WESAGTYKELSEEVSQNGSWAQIPVQIHNATCTVRIAAITKGGIGPFSEPVNIIIPEHSK VDYAPSSTPAPGNTDSMFIILGCFCGFILIGLILCISLALRRRVQETKFGGAFSEEDSQL VVNYRAKKSFCRRAIELTLQSLGVSEELQNKLEDVVIDRNLLVLGKVLGEGEFGSVMEGN LKQEDGTSQKVAVKTMKLDNFSQREIEEFLSEAACMKDFNHPNVIRLLGVCIELSSQGIP KPMVILPFMKYGDLHTFLLYSRLNTGPKYIHLQTLLKFMMDIAQGMEYLSNRNFLHRDLA ARNCMLRDDMTVCVADFGLSKKIYSGDYYRQGRIAKMPVKWIAIESLADRVYTSKSDVWA FGVTMWEITTRGMTPYPGVQNHEMYDYLLHGHRLKQPEDCLDELYDIMYSCWSADPLDRP TFSVLRLQLEKLSESLPDAQDKESIIYINTQLLESCEGIANGPSLTGLDMNIDPDSIIAS CTPGAAVSVVTAEVHENNLREERYILNGGNEEWEDVSSTPFAAVTPEKDGVLPEDRLTKN GVSWSHHSTLPLGSPSPDELLFVDDSLEDSEVLM >ENSMUSP00000078269.5 pep:known chromosome:GRCm38:18:34562636:34579115:-1 gene:ENSMUSG00000035984.14 transcript:ENSMUST00000079287.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme5 description:NME/NM23 family member 5 [Source:MGI Symbol;Acc:MGI:1922783] MEVSMPLPQIYVEKTLALIKPDVVDKEEEIQDIILGSGFTIIQRRKLHLSPEHCSNFYVE QYGKMFFPNLTAYMSSGPLVAMILARHKAISYWKELMGPSNSLVAKETHPDSLRAIYGTD ELRNALHGSNDFAASEREIRFMFPAVIIEPIPIGQAAKDYINLYVAPTLLQGLTELCKEK PPDPYLWLADWLMKNNPNKPKLCHFPVTEEP >ENSMUSP00000118213.1 pep:known chromosome:GRCm38:18:34562634:34579100:-1 gene:ENSMUSG00000035984.14 transcript:ENSMUST00000134875.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nme5 description:NME/NM23 family member 5 [Source:MGI Symbol;Acc:MGI:1922783] MEVSMPLPQIYVEKTLALIKPDVVDKEEEIQDIILGSGFTIIQRRKLHLSPEHCSNFYVE QYGKMFFPNLTAYMSSGPLVAMILARHKAISYWKELMGPSNSLVAKETHPDSDY >ENSMUSP00000116092.1 pep:known chromosome:GRCm38:18:34562684:34579087:-1 gene:ENSMUSG00000035984.14 transcript:ENSMUST00000155114.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nme5 description:NME/NM23 family member 5 [Source:MGI Symbol;Acc:MGI:1922783] MEVSMPLPQIYVEKTLALIKPDVVDKEEEIQDIILGSGFTIIQ >ENSMUSP00000117443.1 pep:known chromosome:GRCm38:18:34567038:34579083:-1 gene:ENSMUSG00000035984.14 transcript:ENSMUST00000154342.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nme5 description:NME/NM23 family member 5 [Source:MGI Symbol;Acc:MGI:1922783] MEVSMPLPQIYVEKTLALIKPDVVDKEEEIQDIILGSGFTIIQRRKLHLSPEHCSNFYVE QYGKMFFPNLTAYMSSGPLVAMILARHKAISYWKELMGPSNSLVAKETHPDSDY >ENSMUSP00000113448.1 pep:known chromosome:GRCm38:7:4690604:4715981:1 gene:ENSMUSG00000035390.16 transcript:ENSMUST00000120836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk1 description:BR serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:2685946] MKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEARK FFRQIVSALDFCHSYSICHRDLKPENLLLDEKNNIRIADFGMASLQVGDSLLETSCGSPH YACPEVIKGEKYDGRRADMWSCGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFIP PDCQSLLRGMIEVEPEKRLSLEQIQKHPWYLGGKHEPDPCLEPAPGRRVAMRSLPSNGEL DPDVLESMASLGCFRDRERLHRELRSEEENQEKMIYYLLLDRKERYPSCEDQDLPPRNDV DPPRKRVDSPMLSRHGKRRPERKSMEVLSITDAGSGGSPVPTRRALEMAQHSQRSRSVSG ASTGLSSSPLSSPRSPVFSFSPEPGAGDEARGGGSPTSKTQTLPSRGPRGGGAGEQPPPP SARSTPLPGPPGSPRSSGGTPLHSPLHTPRASPTGTPGTTPPPSPGGGVGGAAWRSRLNS IRNSFLGSPRFHRRKMQVPTAEEMSSLTPESSPELAKRSWFGNFISLDKEEQIFLVLKDK PLSSIKADIVHAFLSIPSLSHSVLSQTSFRAEYKASGGPSVFQKPVRFQVDISSSEGPEP SPRRDGSSGGGIYSVTFTLISGPSRRFKRVVETIQAQLLSTHDQPSVQALADEKNGAQTR PAGTPPRSLQPPPGRSDPDLSSSPRRGPPKDKKLLATNGTPLP >ENSMUSP00000039517.7 pep:known chromosome:GRCm38:7:4690928:4715997:1 gene:ENSMUSG00000035390.16 transcript:ENSMUST00000048248.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk1 description:BR serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:2685946] MSSGSKEGGGGSPAYHLPHPHPHPPQHAQYVGPYRLEKTLGKGQTGLVKLGVHCITGQKV AVKIVNREKLSESVLMKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFD YLVKKGRLTPKEARKFFRQIVSALDFCHSYSICHRDLKPENLLLDEKNNIRIADFGMASL QVGDSLLETSCGSPHYACPEVIKGEKYDGRRADMWSCGVILFALLVGALPFDDDNLRQLL EKVKRGVFHMPHFIPPDCQSLLRGMIEVEPEKRLSLEQIQKHPWYLGGKHEPDPCLEPAP GRRVAMRSLPSNGELDPDVLESMASLGCFRDRERLHRELRSEEENQEKMIYYLLLDRKER YPSCEDQDLPPRNDVDPPRKRVDSPMLSRHGKRRPERKSMEVLSITDAGSGGSPVPTRRA LEMAQHSQRSRSVSGASTGLSSSPLSSPRSPVFSFSPEPGAGDEARGGGSPTSKTQTLPS RGPRGGGAGEQPPPPSARSTPLPGPPGSPRSSGGTPLHSPLHTPRASPTGTPGTTPPPSP GGGVGGAAWRSRLNSIRNSFLGSPRFHRRKMQVPTAEEMSSLTPESSPELAKRSWFGNFI SLDKEEQIFLVLKDKPLSSIKADIVHAFLSIPSLSHSVLSQTSFRAEYKASGGPSVFQKP VRFQVDISSSEGPEPSPRRDGSSGGGIYSVTFTLISGPSRRFKRVVETIQAQLLSTHDQP SVQALADEKNGAQTRPAGTPPRSLQPPPGRSDPDLSSSPRRGPPKDKKLLATNGTPLP >ENSMUSP00000145970.1 pep:known chromosome:GRCm38:7:4691080:4705426:1 gene:ENSMUSG00000035390.16 transcript:ENSMUST00000206024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk1 description:BR serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:2685946] MSSGSKEGGGGSPAYHLPHPHPHPPQHAQYVGPYRLEKTLGKGQTGLVKLGVHCITGQKV AVKIVNREKLSESVLMKVEREIAILKLIEHPHVLKLHDVYENKKYLYLVLEHVSGGELFD YLVKKGRLTPKEARKFFRQIVSALDFCHSYSICHRDLKPENLLLDEKNNIRIADFGMASL QVGDSLLETSCGSPHYACPEVIKGEKYDGRRADMWSCGVILFALLVGALPFDDDNLRQLL EKVKRGVFHMPHFIPPDCQSLLRGMIEVEPEKRLSLEQIQKHPWYLGGKHEPDPCLEPAP GRRVAMRSLPSNGELDPDVLESMASLGCFRDRERLHRELRSEE >ENSMUSP00000145845.1 pep:known chromosome:GRCm38:7:4692243:4705426:1 gene:ENSMUSG00000035390.16 transcript:ENSMUST00000205666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk1 description:BR serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:2685946] MQKFGIEEMGLVKLGVHCITGQKVAVKIVNREKLSESVLMKVEREIAILKLIEHPHVLKL HDVYENKKYLYLVLEHVSGGELFDYLVKKGRLTPKEARKFFRQIVSALDFCHSYSICHRD LKPENLLLDEKNNIRIADFGMASLQVGDSLLETSCGSPHYACPEVIKGEKYDGRRADMWS CGVILFALLVGALPFDDDNLRQLLEKVKRGVFHMPHFIPPDCQSLLRGMIEVEPEKRLSL EQIQKHPWYLGGKHEPDPCLEPAPGRRVAMRSLPSNGELDPDVLESMASLGCFRDRERLH RELRSEE >ENSMUSP00000145821.1 pep:known chromosome:GRCm38:7:4708978:4710992:1 gene:ENSMUSG00000035390.16 transcript:ENSMUST00000123637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brsk1 description:BR serine/threonine kinase 1 [Source:MGI Symbol;Acc:MGI:2685946] XGGIYSVTFTLISGPSRRFKRVVETIQAQLLSTHDQPSVQALAGR >ENSMUSP00000142042.1 pep:known chromosome:GRCm38:1:167308378:167330785:1 gene:ENSMUSG00000052428.10 transcript:ENSMUST00000195015.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco1 description:transmembrane and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:1921173] MSTMFADTLLIVFISVCTALLAEGITWVLVYRTDKYKRLKAEVEKQSKKLEKKKETITES AGRQQKKKIERQEEKLKNNNRDLSMVRMKSMFAIGFCFTALMGMFNSIFDGRVVAKLPFT PLSYIQGLSHRNLLGDDTTDCSFIFLYILCTMSIRQNIQKILGLAPSRAATKQAGGFLGP PPPSGKFS >ENSMUSP00000141241.1 pep:known chromosome:GRCm38:1:167308670:167330785:1 gene:ENSMUSG00000052428.10 transcript:ENSMUST00000193446.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco1 description:transmembrane and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:1921173] XLWRPDGGSEACSSGSAPRKRKCRLGAVKVVALLEGITWVLVYRTDKYKRLKAEVEKQSK KLEKKKETITESAGRQQKKKIERQEEKLKNNNRDLSMVRMKSMFAIGFCFTALMGMFNSI FDGRVVAKLPFTPLSYIQGLSHRNLLGDDTTDCSFIFLYILCTMSIRQNIQKILGLAPSR AATKQAGGFLGPPPPSGKFS >ENSMUSP00000095081.4 pep:known chromosome:GRCm38:1:167308736:167333978:1 gene:ENSMUSG00000052428.10 transcript:ENSMUST00000097473.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmco1 description:transmembrane and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:1921173] MSTMFADTLLIVFISVCTALLAEGITWVLVYRTDKYKRLKAEVEKQSKKLEKKKETITES AGRQQKKKIERQEEKLKNNNRDLSMVRMKSMFAIGFCFTALMGMFNSIFDGRVVAKLPFT PLSYIQGLSHRNLLGDDTTDCSFIFLYILCTMSIRQNIQKILGLAPSRAATKQAGGFLGP PPPSGKFS >ENSMUSP00000141373.1 pep:known chromosome:GRCm38:1:167314137:167330600:1 gene:ENSMUSG00000052428.10 transcript:ENSMUST00000193101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco1 description:transmembrane and coiled-coil domains 1 [Source:MGI Symbol;Acc:MGI:1921173] XAGRQQKKKIERQEEKLKNNNRDLSMDYLIGTCWEMTPRTAPSSSCISSVPCQFDKTSRR FLASPLHELPPSRLVDFLAHHLRLGSFPEGKQNSEFPVILFRHSHQTYRAPGHILGLCYF SAMDMIK >ENSMUSP00000036761.7 pep:known chromosome:GRCm38:5:28165694:28172166:1 gene:ENSMUSG00000039095.8 transcript:ENSMUST00000036177.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:En2 description:engrailed 2 [Source:MGI Symbol;Acc:MGI:95390] MEEKDSKPSETAAEAQRQPEPSSGGGSGGGSSPSDSDTGRRRALMLPEVLQAPGNHQHPH RITNFFIDNILRPEFGRRKDAGTCCAGAGGARGGEGGAGTTEGGGGGAGGAEQLLGARES RPNPACAPSAGGTLSAAAGDPAVDGEGGSKTLSLHGGAKKPGDPGGSLDGVLKARGLGGG DLSVSSDSDSSQASATLGAQPMLWPAWVYCTRYSDRPSSGPRSRKPKKKNPNKEDKRPRT AFTAEQLQRLKAEFQTNRYLTEQRRQSLAQELSLNESQIKIWFQNKRAKIKKATGNKNTL AVHLMAQGLYNHSTTAKEGKSDSE >ENSMUSP00000144076.1 pep:known chromosome:GRCm38:9:106643095:106648103:-1 gene:ENSMUSG00000023192.12 transcript:ENSMUST00000200826.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm2 description:glutamate receptor, metabotropic 2 [Source:MGI Symbol;Acc:MGI:1351339] XGYWAEGLTLDTSIIPWASPSAGTLPASRCSEPCLQNEVKSVQPGEVCCWLCIPCQPYEY RLDEFTCADCGLGYWPNASLTGCFELPQEYIRWGDAWAVGPVTIACLGALATLFVLGVFV RHNATPVVKASGRELCYILLGGVFLCYCMTFIFIAKPSTAVCTLRRLGLGTAFSVCYSAL LTKTNRIARIFGGAREGAQRPRFISPASQVAICLALISGQLLIVAAWLVVEAPGIGKETA PERREVVTLRCNHRDASMLGSLAYNVLLIALCTLYAFKTRKCPENFNEAKFIGFTMYTTC IIWLAFLPIFYVTSSDYRVQTTTMCVSVSLSGSVVLGCLFAPKLHIILFQPQKNVVSHRA PTSRFGSAAPRASANLGQALG >ENSMUSP00000023959.7 pep:known chromosome:GRCm38:9:106643117:106656080:-1 gene:ENSMUSG00000023192.12 transcript:ENSMUST00000023959.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm2 description:glutamate receptor, metabotropic 2 [Source:MGI Symbol;Acc:MGI:1351339] MESLLRFLALLLLRGAVAEGPAKKVLTLEGDLVLGGLFPVHQKGGPAEECGPVNEHRGIQ RLEAMLFALDRINRDPHLLPGVRLGAHILDSCSKDTHALEQALDFVRASLSRGADGSRHI CPDGSYATLSDAPTAITGVIGGSYSDVSIQVANLLRLFQIPQISYASTSAKLSDKSRYDY FARTVPPDFFQAKAMAEILRFFNWTYVSTVASEGDYGETGIEAFELEARARNICVATSEK VGRAMSRAAFEGVVRALLQKPSARVAVLFTRSEDARELLAATQRLNASFTWVASDGWGAL ESVVAGSERAAEGAITIELASYPISDFASYFQNLDPWNNSRNPWFREFWEERFRCSFRQR DCAAHSLRAVPFEQESKIMFVVNAVYAMAHALHNMHRALCPNTTRLCDAMRPVNGRRLYK DFVLNVKFDAPFRPADTDDEVRFDRFGDGIGRYNIFTYLRAGNGRYRYQKVGYWAEGLTL DTSIIPWASPSAGTLPASRCSEPCLQNEVKSVQPGEVCCWLCIPCQPYEYRLDEFTCADC GLGYWPNASLTGCFELPQEYIRWGDAWAVGPVTIACLGALATLFVLGVFVRHNATPVVKA SGRELCYILLGGVFLCYCMTFIFIAKPSTAVCTLRRLGLGTAFSVCYSALLTKTNRIARI FGGAREGAQRPRFISPASQVAICLALISGQLLIVAAWLVVEAPGIGKETAPERREVVTLR CNHRDASMLGSLAYNVLLIALCTLYAFKTRKCPENFNEAKFIGFTMYTTCIIWLAFLPIF YVTSSDYRVQTTTMCVSVSLSGSVVLGCLFAPKLHIILFQPQKNVVSHRAPTSRFGSAAP RASANLGQGSGSQLVPTVCNGREVVDSTTSSL >ENSMUSP00000144631.1 pep:known chromosome:GRCm38:9:106644885:106656082:-1 gene:ENSMUSG00000023192.12 transcript:ENSMUST00000201681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm2 description:glutamate receptor, metabotropic 2 [Source:MGI Symbol;Acc:MGI:1351339] MESLLRFLALLLLRGAVAEGPAKKVLTLEGDLVLGGLFPVHQKGGPAEECGPVNEHRGIQ RLEAMLFALDRINRDPHLLPGVRLGAHILDSCSKDTHALEQALDFVRASLSRGADGSRHI CPDGSYATLSDAPTAITGVIGGSYSDVSIQVANLLRLFQIPQISYASTSAKLSDKSRYDY FARTVPPDFFQAKAMAEILRFFNWTYVSTVASEGDYGETGIEAFELEARARNICVATSEK VGRAMSRAAFEGVVRALLQKPSARVAVLFTRSEDARELLAATQRLNASFTWVASDGWGAL ESVVAGSERAAEGAITIELASYPISDFASYFQNLDPWNNSRNPWFREFWEERFRCSFRQR DCAAHSLRAVPFEQESKIMFVVNAVYAMAHALHNMHRALCPNTTRLCDAMRPVNGRRLYK DFVLNVKFDAPFRPADTDDEVRFDRFGDGIGRYNIFTYLRAGNGRYRYQKTRKCPENFNE AKFIGFTMYTTCIIWLAFLPIFYVTSSDYRVQTTTMCVSVSLSGSVVLGCLFAPKLHIIL FQPQKNVVSHRAPTSRFGSAAPRASANLGQGSGSQLVPTVCNGREVVDSTTSSL >ENSMUSP00000114062.1 pep:known chromosome:GRCm38:2:104754795:104816696:-1 gene:ENSMUSG00000074994.4 transcript:ENSMUST00000117237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qser1 description:glutamine and serine rich 1 [Source:MGI Symbol;Acc:MGI:2138986] MHSSAATELFVAGPLPTTGTLPPPTLSAYQHSSTFSNRNFATTSPLVLQDSSFNTTSNGI LSPHDPLLQIKTSQGTVPTALAFERLGSSALSNSVPPQSSTYRSAQESAPHLLQPQFSLL PSTLGGAQQTPQAYNSALFPSSAASIERALLRECSVIKHHQRPSVTQSIQAQLTGSQHPL HSYLSSASIGNFQEPSRQSSLSCSSVRDSTQVSNGVLPQKTPQVSAELAQSYSSVIPSSG YLPSATKVDSCSTKQPLTSTTIPKPQSVIPPVQTLNYSKPLHNQSSVISGQAQIYSTAQL PSLLSVSQSQNYGLVQPHNVPSIVHSQVYRSSRVEKLPSLYKTLTFSGSSQPVTSENQTL SYSSNQQEVLSLVTNENYPAQTRDLPSVSESQNYSSGQSQGLSPVSQTQVSYSSQSQVLS VVSPSESYASGQSLTLTAPSLSYSSASRGQSLPVSTPTPSYTSMHPSPNAQTQGSSAQPQ EFLPAVQSSFASSTRGQTLQSSIPSPDPKSYAERKLDSSVYTSSKQDEFPVQKLQALQSQ ASLESSSQRLPDGEVNAQESVYKTSKADDRYSQSVTRNNSHLEDQVVGVALQGSEQEENM VGSMTQLNQQSGQSNNAVATDLKKATNLMQTPQVRLNTKDLNQQHSLMHKMHEAKVQQQH DQIMSASSQIQIPNPALGQSHQALPHTSVLLDSACDLQILQQAGILQASLGQAKASLQVQ RVQSPQQIVHPFLQMDGHIIQSNGEHPQQQLHPHNSDIMKLDLPEPSKPLQQLTTKGPFS EANPHDSKNQFVSLGSICFSEAMLLSDERNILSNVDDILAATAAACGVTPSDFSKSAANE TMQDIESSDSKSHYQQSLNVRHVNSDFNSIAASVGKPQSINDISLNGNQVSVSLSSVPTL QSETVLDQPHMETPSQTIPTKVPSAMVGLGQEIQEQSSDPFKKQLTINHESKEDREIAVD SALSNNRNQEFVSNSRSISGDSVVSERDFTLVGDDTGVLVNPRRSTLALLAMPQPGDAAS GKTEDEKQDVTYFNLPKEKAKGKEQGKEEEDNQKQLKRSAQCKRQNPRGTDVYVPYTSPS LESCDEGFQHQEKMRQKIKEVEEKQPEVKTGFIASFLDFLKCGPKQQFSTLAVRVPNRTR RSGIQTTRTFCPPPFAKTSPAAQAPSETGGVSLSEKVDSELKTLEQLSSFSSDEEDPGSC GHDIYKNTSAPLTVLDATSDKTKKTVLEALPVATPGASAETAGVAPTASTAVATIKQDLH LTSLTVNTMENANSTESPTAIELDSLPSDQLAKGQDTVAIEGFTDEENIESGGEGQYRER DEFVVKIEDIETFKEALNTGKEPPAIWKVQKALLQKFVPEIRDGQREFAATNSYLGYFGD AKTKYKRIYVKFIENANKKEYVRVCSKKPRNKPSQTIRNIPSKPSSISKTSDPPVSKTTT TKTPSTKPKAKQLKIKAEPPPKKRKKWKEEFSSSQSESSPEVRSSSSEDEGFEPPAPSVT RFLNTRAMKETFKSYMELLVSIALDPDTMQALEKSNDELLLPHMKKIDGMLNDNRKRLLV NLHLDQPFKNALESFPELTVITRDSKAKSGGSAISKIKMNGKAYNKKTLRTSKTTTKSAQ EFAVDPEKIQLYSLYHSLHHYKYHVYLICKNEISSVQKKNEDLGQEEIVQLCMKNVKWVE DLFEKFGELLNHVQQKCS >ENSMUSP00000070871.4 pep:known chromosome:GRCm38:9:86554377:86571827:-1 gene:ENSMUSG00000056131.13 transcript:ENSMUST00000070064.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgm3 description:phosphoglucomutase 3 [Source:MGI Symbol;Acc:MGI:97566] MDLEAVCKRSALHAKPQGLILQYGTAGFRTNAQHLDHIMFRMGLLAVLRSKQTRSTIGVM VTASHNPEEDNGVKLVDPLGEMLAPSWEEHATCLASAEEQDVRQVLAAIVEKEAVDLTQT AFVVIARDTRPSSEKLSQSVIDGVTVLGGQFHDYGLLTTPQLHYMVYCRNSGGRYGQATV EGYCQKLSKAFVDLTNQVSCSGDVKRSVKVDCANGIGALKLREMEHYFSRGLSVLLFNDG TQGRLNHLCGADFVKSQQKPPQGIEMKSGERCCSFDGDADRIVYYYCDADGHFHLIDGDK IATLISSFLKELLLEIGESVNLGVVQTAYANGSSTRYLEEVMKVPVYCTKTGVKHLHHKA QEFDIGVYFEANGHGTALFSEAVEVKIKRLAQELDDGKGKAARTLASIIDLFNQAAGDAI SDMLVIEAILALKGLTVQQWDAIYVDLPNRQLKVKVADRRVISTTDAERQAVTPPGLQEA INDLVKKYTLARAFVRPSGTEDIVRVYAEANSQESADRLAYEVSLLVFQLAGGIGERPQP TF >ENSMUSP00000072390.6 pep:known chromosome:GRCm38:9:86555001:86571828:-1 gene:ENSMUSG00000056131.13 transcript:ENSMUST00000072585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgm3 description:phosphoglucomutase 3 [Source:MGI Symbol;Acc:MGI:97566] MDLEAVCKRSALHAKPQGLILQYGTAGFRTNAQHLDHIMFRMGLLAVLRSKQTRSTIGVM VTASHNPEEDNGVKLVDPLGEMLAPSWEEHATCLASAEEQDVRQVLAAIVEKEAVDLTQT AFVVIARDTRPSSEKLSQSVIDGVTVLGGQFHDYGLLTTPQLHYMVYCRNSGGRYGQATV EGYCQKLSKAFVDLTNQVSCSGDVKRSVKVDCANGIGALKLREMEHYFSRGLSVLLFNDG TQGRLNHLCGADFVKSQQKPPQGIEMKSGERCCSFDGDADRIVYYYCDADGHFHLIDGDK IATLISSFLKELLLEIGESVNLGVVQTAYANGSSTRYLEEVMKVPVYCTKTGVKHLHHKA QEFDIGVYFEANGHGTALFSEAVEVKIKRLAQELDDGKGKAARTLASIIDLFNQVADRRV ISTTDAERQAVTPPGLQEAINDLVKKYTLARAFVRPSGTEDIVRVYAEANSQESADRLAY EVSLLVFQLAGGIGERPQPTF >ENSMUSP00000129358.1 pep:known chromosome:GRCm38:14:43722253:43727485:-1 gene:ENSMUSG00000091718.1 transcript:ENSMUST00000165553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16506 description:predicted gene 16506 [Source:MGI Symbol;Acc:MGI:3641772] XRSSWVPKQACCPCSSGYFRERIEYTQTPDQGRRRPAARHGGKGQETIGHGEGSAGAASP QAPTINEQEKRHERLEKLKRELQNIKNARNELQGILANYTNKDLNDRINFETFMLVMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEEGNIETKILCNEGSQKIKGYYTKQQQV >ENSMUSP00000023693.7 pep:known chromosome:GRCm38:16:91372783:91405589:1 gene:ENSMUSG00000022971.18 transcript:ENSMUST00000023693.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnar2 description:interferon (alpha and beta) receptor 2 [Source:MGI Symbol;Acc:MGI:1098243] MRSRCTVSAVGLLSLCLVVSASLETITPSAFDGYPDEPCTINITIRNSRLILSWELENKS GPPANYTLWYTVMSKDENLTKVKNCSDTTKSSCDVTDKWLEGMESYVVAIVIVHRGDLTV CRCSDYIVPANAPLEPPEFEIVGFTDHINVTMEFPPVTSKIIQEKMKTTPFVIKEQIGDS VRKKHEPKVNNVTGNFTFVLRDLLPKTNYCVSLYFDDDPAIKSPLKCIVLQPGQESGLSE SAIVGITTSCLVVMVFVSTIVMLKRIGYICLKDNLPNVLNFRHFLTWIIPERSPSEAIDR LEIIPTNKKKRLWNYDYEDGSDSDEEVPTASVTGYTMHGLTGKPLQQTSDTSASPEDPLH EEDSGAEESDEAGAGAGAEPELPTEAGAGPSEDPTGPYERRKSVLEDSFPREDNSSMDEP GDNIIFNVNLNSVFLRVLHDEDASETLSLEEDTILLDEGPQRTESDLRIAGGDRTQPPLP SLPSQDLWTEDGSSEKSDTSDSDADVGDGYIMR >ENSMUSP00000134796.1 pep:known chromosome:GRCm38:16:91372841:91393863:1 gene:ENSMUSG00000022971.18 transcript:ENSMUST00000134491.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnar2 description:interferon (alpha and beta) receptor 2 [Source:MGI Symbol;Acc:MGI:1098243] MESYVVAIVIVHRGDLTVCRCSDYIVPANAPLEPPEFEIVGFTDHINVTMEFPPVTSKII QEKMKTTPFVIKEQIGDSVRKKHEPKVNNVTGNFTFVLRDLLPKTNYCVSLYFDDDPA >ENSMUSP00000113358.1 pep:known chromosome:GRCm38:16:91372850:91394040:1 gene:ENSMUSG00000022971.18 transcript:ENSMUST00000117836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnar2 description:interferon (alpha and beta) receptor 2 [Source:MGI Symbol;Acc:MGI:1098243] MRSRCTVSAVGLLSLCLVVSASLETITPSAFDGYPDEPCTINITIRNSRLILSWELENKS GPPANYTLWYTVMSKDENLTKVKNCSDTTKSSCDVTDKWLEGMESYVVAIVIVHRGDLTV CRCSDYIVPANAPLEPPEFEIVGFTDHINVTMEFPPVTSKIIQEKMKTTPFVIKEQIGDS VRKKHEPKVNNVTGNFTFVLRDLLPKTNYCVSLYFDDDPAIKSPLKCIVLQPGQESGMAR FLKFALLF >ENSMUSP00000086443.6 pep:known chromosome:GRCm38:16:91372899:91405366:1 gene:ENSMUSG00000022971.18 transcript:ENSMUST00000089042.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnar2 description:interferon (alpha and beta) receptor 2 [Source:MGI Symbol;Acc:MGI:1098243] MRSRCTVSAVGLLSLCLVVSASLETITPSAFDGYPDEPCTINITIRNSRLILSWELENKS GPPANYTLWYTVMSKDENLTKVKNCSDTTKSSCDVTDKWLEGMESYVVAIVIVHRGDLTV CRCSDYIVPANAPLEPPEFEIVGFTDHINVTMEFPPVTSKIIQEKMKTTPFVIKEQIGDS VRKKHEPKVNNVTGNFTFVLRDLLPKTNYCVSLYFDDDPAIKSPLKCIVLQPGQESELPP LFNLDNP >ENSMUSP00000135322.1 pep:known chromosome:GRCm38:16:91387789:91391776:1 gene:ENSMUSG00000022971.18 transcript:ENSMUST00000139503.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnar2 description:interferon (alpha and beta) receptor 2 [Source:MGI Symbol;Acc:MGI:1098243] MESYVVAIVIVHRGDLTVCRCSDYIVPANAPLEPPEFEIVGFTDHINV >ENSMUSP00000099868.1 pep:known chromosome:GRCm38:4:88835525:88836094:1 gene:ENSMUSG00000096682.1 transcript:ENSMUST00000102804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna5 description:interferon alpha 5 [Source:MGI Symbol;Acc:MGI:107663] MARLCAFLMVLAVLSYWPTCSLGCDLPQTHNLRNKRALTLLVKMRRLSPLSCLKDRKDFG FPQEKVGAQQIQEAQAIPVLSELTQQVLNIFTSKDSSAAWNATLLDSFCNEVHQQLNDLK ACVMQQVGVQESPLTQEDSLLAVRKYFHRITVYLREKKHSPCAWEVVRAEVWRALSSSVN LLARLSKEE >ENSMUSP00000145185.1 pep:known chromosome:GRCm38:10:78611669:78612680:-1 gene:ENSMUSG00000071185.4 transcript:ENSMUST00000205085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1357 description:olfactory receptor 1357 [Source:MGI Symbol;Acc:MGI:3031191] MAPENQTTVLEFHLMGLSEDPDLQTILFGLFLSMYLVTVFGNLLIILAIISDSHLHTPMY FFLCNLSLVDIFFCSTTVPKMLVNIQTQSRAISFTGCLVQMYAFHLFGTIDSFLLAVMAI DRLVAIAYPLRYSVLMSPHVCALLVGGTWVITNLQSLVHTCLMAQLTFCARSEIPHFFCD LMPLLKLSCSDTHINELVIFAFGIVMGLSPLSCILVSYICIFRAVFRIPSAQGKWKAFST CGSHLTVVSLFYGTIFTGYLLPASPSSSQKDKAAALMFGVVIPTLNPFIYSLRNKDMKAA LRKLGSKAVSFQS >ENSMUSP00000145032.1 pep:known chromosome:GRCm38:10:78611933:78618074:-1 gene:ENSMUSG00000071185.4 transcript:ENSMUST00000203305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1357 description:olfactory receptor 1357 [Source:MGI Symbol;Acc:MGI:3031191] MAPENQTTVLEFHLMGLSEDPDLQTILFGLFLSMYLVTVFGNLLIILAIISDSHLHTPMY FFLCNLSLVDIFFCSTTVPKMLVNIQTQSRAISFTGCLVQMYAFHLFGTIDSFLLAVMAI DRLVAIAYPLRYSVLMSPHVCALLVGGTWVITNLQSLVHTCLMAQLTFCARSEIPHFFCD LMPLLKLSCSDTHINELVIFAFGIVMGLSPLSCILVSYICIFRAVFRIPSAQGKW >ENSMUSP00000093127.2 pep:known chromosome:GRCm38:10:78611669:78618074:-1 gene:ENSMUSG00000071185.4 transcript:ENSMUST00000095473.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1357 description:olfactory receptor 1357 [Source:MGI Symbol;Acc:MGI:3031191] MAPENQTTVLEFHLMGLSEDPDLQTILFGLFLSMYLVTVFGNLLIILAIISDSHLHTPMY FFLCNLSLVDIFFCSTTVPKMLVNIQTQSRAISFTGCLVQMYAFHLFGTIDSFLLAVMAI DRLVAIAYPLRYSVLMSPHVCALLVGGTWVITNLQSLVHTCLMAQLTFCARSEIPHFFCD LMPLLKLSCSDTHINELVIFAFGIVMGLSPLSCILVSYICIFRAVFRIPSAQGKWKAFST CGSHLTVVSLFYGTIFTGYLLPASPSSSQKDKAAALMFGVVIPTLNPFIYSLRNKDMKAA LRKLGSKAVSFQS >ENSMUSP00000101471.2 pep:known chromosome:GRCm38:4:136647539:136835988:-1 gene:ENSMUSG00000028664.14 transcript:ENSMUST00000105845.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb2 description:Eph receptor B2 [Source:MGI Symbol;Acc:MGI:99611] MAVRRLGAALLLLPLLAAVEETLMDSTTATAELGWMVHPPSGWEEVSGYDENMNTIRTYQ VCNVFESSQNNWLRTKFIRRRGAHRIHVEMKFSVRDCSSIPSVPGSCKETFNLYYYEADF DLATKTFPNWMENPWVKVDTIAADESFSQVDLGGRVMKINTEVRSFGPVSRNGFYLAFQD YGGCMSLIAVRVFYRKCPRIIQNGAIFQETLSGAESTSLVAARGSCIANAEEVDVPIKLY CNGDGEWLVPIGRCMCKAGFEAVENGTVCRGCPSGTFKANQGDEACTHCPINSRTTSEGA TNCVCRNGYYRADLDPLDMPCTTIPSAPQAVISSVNETSLMLEWTPPRDSGGREDLVYNI ICKSCGSGRGACTRCGDNVQYAPRQLGLTEPRIYISDLLAHTQYTFEIQAVNGVTDQSPF SPQFASVNITTNQAAPSAVSIMHQVSRTVDSITLSWSQPDQPNGVILDYELQYYEKELSE YNATAIKSPTNTVTVQGLKAGAIYVFQVRARTVAGYGRYSGKMYFQTMTEAEYQTSIKEK LPLIVGSSAAGLVFLIAVVVIAIVCNRRGFERADSEYTDKLQHYTSGHMTPGMKIYIDPF TYEDPNEAVREFAKEIDISCVKIEQVIGAGEFGEVCSGHLKLPGKREIFVAIKTLKSGYT EKQRRDFLSEASIMGQFDHPNVIHLEGVVTKSTPVMIITEFMENGSLDSFLRQNDGQFTV IQLVGMLRGIAAGMKYLADMNYVHRDLAARNILVNSNLVCKVSDFGLSRFLEDDTSDPTY TSALGGKIPIRWTAPEAIQYRKFTSASDVWSYGIVMWEVMSYGERPYWDMTNQDVINAIE QDYRLPPPMDCPSALHQLMLDCWQKDRNHRPKFGQIVNTLDKMIRNPNSLKAMAPLSSGI NLPLLDRTIPDYTSFNTVDEWLEAIKMGQYKESFANAGFTSFDVVSQMMMEDILRVGVTL AGHQKKILNSIQVMRAQMNQIQSVEV >ENSMUSP00000058135.7 pep:known chromosome:GRCm38:4:136653608:136835956:-1 gene:ENSMUSG00000028664.14 transcript:ENSMUST00000059287.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb2 description:Eph receptor B2 [Source:MGI Symbol;Acc:MGI:99611] MAVRRLGAALLLLPLLAAVEETLMDSTTATAELGWMVHPPSGWEEVSGYDENMNTIRTYQ VCNVFESSQNNWLRTKFIRRRGAHRIHVEMKFSVRDCSSIPSVPGSCKETFNLYYYEADF DLATKTFPNWMENPWVKVDTIAADESFSQVDLGGRVMKINTEVRSFGPVSRNGFYLAFQD YGGCMSLIAVRVFYRKCPRIIQNGAIFQETLSGAESTSLVAARGSCIANAEEVDVPIKLY CNGDGEWLVPIGRCMCKAGFEAVENGTVCRGCPSGTFKANQGDEACTHCPINSRTTSEGA TNCVCRNGYYRADLDPLDMPCTTIPSAPQAVISSVNETSLMLEWTPPRDSGGREDLVYNI ICKSCGSGRGACTRCGDNVQYAPRQLGLTEPRIYISDLLAHTQYTFEIQAVNGVTDQSPF SPQFASVNITTNQAAPSAVSIMHQVSRTVDSITLSWSQPDQPNGVILDYELQYYEKQELS EYNATAIKSPTNTVTVQGLKAGAIYVFQVRARTVAGYGRYSGKMYFQTMTEAEYQTSIKE KLPLIVGSSAAGLVFLIAVVVIAIVCNRRGFERADSEYTDKLQHYTSGHMTPGMKIYIDP FTYEDPNEAVREFAKEIDISCVKIEQVIGAGEFGEVCSGHLKLPGKREIFVAIKTLKSGY TEKQRRDFLSEASIMGQFDHPNVIHLEGVVTKSTPVMIITEFMENGSLDSFLRQNDGQFT VIQLVGMLRGIAAGMKYLADMNYVHRDLAARNILVNSNLVCKVSDFGLSRFLEDDTSDPT YTSALGGKIPIRWTAPEAIQYRKFTSASDVWSYGIVMWEVMSYGERPYWDMTNQDVINAI EQDYRLPPPMDCPSALHQLMLDCWQKDRNHRPKFGQIVNTLDKMIRNPNSLKAMAPLSSG INLPLLDRTIPDYTSFNTVDEWLEAIKMGQYKESFANAGFTSFDVVSQMMMEDILRVGVT LAGHQKKILNSIQVMRAQMNQIQSVEV >ENSMUSP00000101472.2 pep:known chromosome:GRCm38:4:136654646:136835843:-1 gene:ENSMUSG00000028664.14 transcript:ENSMUST00000105846.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb2 description:Eph receptor B2 [Source:MGI Symbol;Acc:MGI:99611] MAVRRLGAALLLLPLLAAVEETLMDSTTATAELGWMVHPPSGWEEVSGYDENMNTIRTYQ VCNVFESSQNNWLRTKFIRRRGAHRIHVEMKFSVRDCSSIPSVPGSCKETFNLYYYEADF DLATKTFPNWMENPWVKVDTIAADESFSQVDLGGRVMKINTEVRSFGPVSRNGFYLAFQD YGGCMSLIAVRVFYRKCPRIIQNGAIFQETLSGAESTSLVAARGSCIANAEEVDVPIKLY CNGDGEWLVPIGRCMCKAGFEAVENGTVCRGCPSGTFKANQGDEACTHCPINSRTTSEGA TNCVCRNGYYRADLDPLDMPCTTIPSAPQAVISSVNETSLMLEWTPPRDSGGREDLVYNI ICKSCGSGRGACTRCGDNVQYAPRQLGLTEPRIYISDLLAHTQYTFEIQAVNGVTDQSPF SPQFASVNITTNQAAPSAVSIMHQVSRTVDSITLSWSQPDQPNGVILDYELQYYEKELSE YNATAIKSPTNTVTVQGLKAGAIYVFQVRARTVAGYGRYSGKMYFQTMTEAEYQTSIKEK LPLIVGSSAAGLVFLIAVVVIAIVCNRRRGFERADSEYTDKLQHYTSGHMTPGMKIYIDP FTYEDPNEAVREFAKEIDISCVKIEQVIGAGEFGEVCSGHLKLPGKREIFVAIKTLKSGY TEKQRRDFLSEASIMGQFDHPNVIHLEGVVTKSTPVMIITEFMENGSLDSFLRQNDGQFT VIQLVGMLRGIAAGMKYLADMNYVHRDLAARNILVNSNLVCKVSDFGLSRFLEDDTSDPT YTSALGGKIPIRWTAPEAIQYRKFTSASDVWSYGIVMWEVMSYGERPYWDMTNQDVINAI EQDYRLPPPMDCPSALHQLMLDCWQKDRNHRPKFGQIVNTLDKMIRNPNSLKAMAPLSSG INLPLLDRTIPDYTSFNTVDEWLEAIKMGQYKESFANAGFTSFDVVSQMMMEDILRVGVT LAGHQKKILNSIQVMRAQMNQIQSVEV >ENSMUSP00000116350.1 pep:known chromosome:GRCm38:4:136661463:136694040:-1 gene:ENSMUSG00000028664.14 transcript:ENSMUST00000156558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb2 description:Eph receptor B2 [Source:MGI Symbol;Acc:MGI:99611] XSVNETSLMLEWTPPRDSGGREDLVYNIICKSCGSGRGACTRCGDNVQYAPRQLGLTEPR IYISDLLAHTQYTFEIQAVNGVTDQSPFSPQFASVNITTNQAAPSAVSIMHQVSRTVDSI TLSWSQPDQPNGVILDYELQYYEKQELSEYNATAIKSPTNTVTVQGLKAGAIYVFQVRAR TVAGYGRYSGKMYFQTMTEAEYQTSIKEKLPLIVGSSAAGLVFLIAVVVIAIVCNRRRGF ERADSEYTDKLQHYTSGHMTPGMKIYIDPFTYEDPN >ENSMUSP00000072534.6 pep:known chromosome:GRCm38:10:81384428:81388352:1 gene:ENSMUSG00000078440.9 transcript:ENSMUST00000072751.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dohh description:deoxyhypusine hydroxylase/monooxygenase [Source:MGI Symbol;Acc:MGI:1915964] MVTEQEIEAIGKTLVDPKQPLQARFRALFTLRGLGGPDAISWISRGFEDSSALLKHELAY CLGQMRDARAIPVLADVLQDTSQEPMVRHEAGEALGAIGNPEVLGLLKQYSTDPVVEVAE TCQLAVGRLEWLQQHPGEATCAGPYLSVDPAPPAAEQDVGRLREALLDEARPLFERYRAM FALRNVGGKEAALALAEGLQCGSALFRHEVGYVLGQLQHEAAVPGLAATLARTTESPMVR HECAEALGAIARPACLAALREHIEDPEQVVRESCEVALDMYEYESSQDFQYADGLERLRP PP >ENSMUSP00000122952.1 pep:known chromosome:GRCm38:10:81384459:81387451:1 gene:ENSMUSG00000078440.9 transcript:ENSMUST00000142346.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dohh description:deoxyhypusine hydroxylase/monooxygenase [Source:MGI Symbol;Acc:MGI:1915964] MVTEQEIEAIGKTLVDPKQPLQARFRALFTLRGLGGPDAISWISRGFEDSSALLKHELAY CLGQMRDARAIPVLADVLQDTSQEPMVRHEAGEALGAIGNPEVLGLLKQYSTDPVVEVAE TCQLAVGRLEWLQQHPGEATCAGPYLSVDPAPPAAEQDVGRLREALLDEARPLFERYRAM FALRNVGG >ENSMUSP00000116074.1 pep:known chromosome:GRCm38:10:81384466:81391560:1 gene:ENSMUSG00000078440.9 transcript:ENSMUST00000144647.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dohh description:deoxyhypusine hydroxylase/monooxygenase [Source:MGI Symbol;Acc:MGI:1915964] MVTEQEIEAIGKTLVDPKQPLQARFRALFTLRGLGGPDAISWISRGFEDSSALLKHELAY CLGQMRDARAIPVLADVLQDTSQEPMVRHEAGEALGAIGNPEVLGLLKQYSTDPVVEVAE TCQLAVGRLEWLQQHPGEATCAGPYLSVDPAPPAAEQDVGRLREALLDEARPLFERYRAM FALRNVGGKEAALALAEGSC >ENSMUSP00000120386.1 pep:known chromosome:GRCm38:10:81384895:81385994:1 gene:ENSMUSG00000078440.9 transcript:ENSMUST00000134592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dohh description:deoxyhypusine hydroxylase/monooxygenase [Source:MGI Symbol;Acc:MGI:1915964] MVTEQEIEAIGKTLVDPKQPLQARFRALFTLRGLGGPDAISWISRGFEDSSALLKHELAY CLGQMRDARAIPVL >ENSMUSP00000113112.1 pep:known chromosome:GRCm38:10:81385621:81387681:1 gene:ENSMUSG00000078440.9 transcript:ENSMUST00000121047.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dohh description:deoxyhypusine hydroxylase/monooxygenase [Source:MGI Symbol;Acc:MGI:1915964] MVTEQEIEAIGKTLVDPKQPLQARFRALFTLRGLGGPDAISWISRGFEDSSALLKHELAY CLGQMRDARAIPVLADVLQDTSQEPMVRHEAGEALGAIGNPEVLGLLKQYSTDPVVEVAE TCQLAVGRLEWLQQHPGEATCAGPYLSVDPAPPAAEQDVGRLREALLDEARPLFERYRAM FALRNVGGKEAALALAEGEAGAYPWTWVISCAA >ENSMUSP00000115416.1 pep:known chromosome:GRCm38:10:81387317:81390858:1 gene:ENSMUSG00000078440.9 transcript:ENSMUST00000131968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dohh description:deoxyhypusine hydroxylase/monooxygenase [Source:MGI Symbol;Acc:MGI:1915964] XPYLSVDPAPPAAEQDVGRLREALLDEARPLFERYRAMFALRNVGGKEAALALAEGLQCG SALFRHEVGYVLGQLQHEAAVPGLAATLARTTESPMVRHECAEALGAIARPACLAALREH IEDPEQAPAEGVSAMAGSVDEKEAEDWSPAPPMYEEYRPPALDAIRLPRYALYLLMAAIL VVAVAYAIVGHLIKDLAHDLADWAFGPKPDQEEEGSRELRASLAA >ENSMUSP00000103102.1 pep:known chromosome:GRCm38:7:66839813:67153171:1 gene:ENSMUSG00000058145.16 transcript:ENSMUST00000107478.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts17 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 17 [Source:MGI Symbol;Acc:MGI:3588195] MCDGTLLPPLVLSLLLLVWGLDPGTAVGDAAADVEVVLPRRLRPEDVHLQLLPGAAGLRR WRRPRASPGGHRAGQGERALLLHLPAFGRDLYLQLHRDLRFLSPGFEVEEAGVSGRSGHP AELCFYSGRVLGHPGSLVSLSACGAAGGLVGLIQLEQEQVFIQPLNSSGGPFIGREHLIR RKWASTPSPATEVEGPRQRCKVVTEKKPRKDHLSRDWRERRNAIRLTNEHTVETLVVADA DMVQYHGAEAAQRFILTVMNMVYNMFQHRSLGIKINIQVTKLVLLRQRPAKLSIGHHGER SLESFCHWQNEEYGGARYLGNNQVPGGKDDTPPVDAAVFVTRTDFCVHKDEPCDTVGIAY LGGVCSAKRKCVLAEDNGLNLAFTIAHELGHNLGMNHDDDHSSCAGRSHIMSGEWVKGRN PSDLSWSSCSRDDLENFLKSKVSTCLLITDPRSQHALRLPHKLPGMHYSANEQCQILFGT NATFCKNMEHLMCAGLWCLVEGDTSCKTKLDPPLDGTECGADKWCRAGECVSKTPIPEHV DGDWSLWSAWSMCSRTCGTGARFRQRKCDNPPPGPGGTHCQGASVEHAACEKLPCPKGVP SFRDQQCQAHDRLSSKKRGLLTAVVVDDKPCELYCSPLGKESPLLVADRVLDGTPCGPYE ADLCVYGRCQKIGCDGIIGSAAKEDRCGVCSGDGKTCRVVKGDFSHSRGTALKDSSKRSI NSDWKIELPGEFQIAGTTVRYVRRGLWEKFSAKGPTTVPLHLMVLLFHDQNYGIHYEYTI PVNQSSENQSEPAKPQDALFLWTHSGWEGCSVQCGGGERRTIVSCTRIVNKTTTLVNDSD CPQASRPEPQVRRCNSHPCQSRWVTGQWSPCSATCEKGVQHREVTCVYQLQNGTHVTTRP IYCSEPRPTPVQSCEGQDCLSIWEASEWSECSANCGKGIQKRTVTCTNSQGKCDASTRPK AEEECEDYSGCYEWKTGDWSKCSSTCGKGLQSRVVQCMHKLTGRHGSECPTLSKPAAYRQ CHQEVCNEKINVNTITSPRLAALTYKCTRDQWTVYCRVIREKNLCQDMRWYQRCCQTCRD FYADKMRQPPSPPSS >ENSMUSP00000147080.1 pep:known chromosome:GRCm38:7:66849707:66871825:1 gene:ENSMUSG00000058145.16 transcript:ENSMUST00000125014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts17 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 17 [Source:MGI Symbol;Acc:MGI:3588195] VGLIQLEQEQVFIQPLNSSGGPFIGREHLIRRKWASTPSPATEVEGPRQRCKVVTAGHDR QPLACVPDGYTSFLDAR >ENSMUSP00000121836.1 pep:known chromosome:GRCm38:7:67047471:67078304:1 gene:ENSMUSG00000058145.16 transcript:ENSMUST00000154103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts17 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 17 [Source:MGI Symbol;Acc:MGI:3588195] XELYCSPLGKESPLLVADRVLDGTPCGPYEADLCVYGRCQKIGCDGIIGSAAKEDRCGVC SGDGKTCRVVKGDFSHSRGTGYIEAVVIPVGARRIRVVEDKPAHSFLALKDSSKRSINSD WKIELPGEFQIAGTTVRYVRRGLWEKFSAKGPTTVPLHLMVLLFHDQNYGIHYEYTIPVN QSSENQSEPAKPQDALFLWTHSGWEGCSVQCGGGERRTIVSCTRIVNKTTTLVN >ENSMUSP00000095984.3 pep:known chromosome:GRCm38:7:66839735:67152621:1 gene:ENSMUSG00000058145.16 transcript:ENSMUST00000098382.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts17 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 17 [Source:MGI Symbol;Acc:MGI:3588195] MCDGTLLPPLVLSLLLLVWGLDPGTAVGDAAADVEVVLPRRLRPEDVHLQLLPGAAGLRR WRRPRASPGGHRAGQGERALLLHLPAFGRDLYLQLHRDLRFLSPGFEVEEAGVSGRSGHP AELCFYSGRVLGHPGSLVSLSACGAAGGLVGLIQLEQEQVFIQPLNSSGGPFIGREHLIR RKWASTPSPATEVEGPRQRCKVVTEKKPRKDHLSRDWRERRNAIRLTNEHTVETLVVADA DMVQYHGAEAAQRFILTVMNMVYNMFQHRSLGIKINIQVTKLVLLRQRPAKLSIGHHGER SLESFCHWQNEEYGGARYLGNNQVPGGKDDTPPVDAAVFVTRTDFCVHKDEPCDTVGIAY LGGVCSAKRKCVLAEDNGLNLAFTIAHELGHNLGMNHDDDHSSCAGRSHIMSGEWVKGRN PSDLSWSSCSRDDLENFLKSKVSTCLLITDPRSQHALRLPHKLPGMHYSANEQCQILFGT NATFCKNMEHLMCAGLWCLVEGDTSCKTKLDPPLDGTECGADKWCRAGECVSKTPIPEHV DGDWSLWSAWSMCSRTCGTGARFRQRKCDNPPPGPGGTHCQGASVEHAACEKLPCPKGVP SFRDQQCQAHDRLSSKKRGLLTAVVVDDKPCELYCSPLGKESPLLVADRVLDGTPCGPYE ADLCVYGRCQKIGCDGIIGSAAKEDRCGVCSGDGKTCRVVKGDFSHSRGTGYIEAVVIPV GARRIRVVEDKPAHSFLALKDSSKRSINSDWKIELPGEFQIAGTTVRYVRRGLWEKFSAK GPTTVPLHLMVLLFHDQNYGIHYEYTIPVNQSSENQSEPAKPQDALFLWTHSGWEGCSVQ CGGGERRTIVSCTRIVNKTTTLVNDSDCPQASRPEPQVRRCNSHPCQSRWVTGQWSPCSA TCEKGVQHREVTCVYQLQNGTHVTTRPIYCSEPRPTPVQSCEGQDCLSIWEASEWSECSA NCGKGIQKRTVTCTNSQGKCDASTRPKAEEECEDYSGCYEWKTGDWSKCSSTCGKGLQSR VVQCMHKLTGRHGSECPTLSKPAAYRQCHQEVCNEKINVNTITSPRLAALTYKCTRDQWT VYCRVIREKNLCQDMRWYQRCCQTCRDFYADKMRQPPSPPSS >ENSMUSP00000126092.1 pep:known chromosome:GRCm38:7:116337265:116443449:-1 gene:ENSMUSG00000030660.9 transcript:ENSMUST00000170430.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2a description:phosphatidylinositol 3-kinase, C2 domain containing, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1203729] MAQISNNSEFKQCSSSHPEPIRTKDVNKAEALQMEAEALAKLQKDRQMTDSPRGFELSSS TRQRTQGFNKQDYDLMVFPELDSQKRAVDIDVEKLTQAELEKILLDDNFETRKPPALPVT PVLSPSFSTQLYLRPSGQRGQWPPGLCGPSTYTLPSTYPSAYSKQATFQNGFSPRMPTFP STESVYLRLPGQSPYFSYPLTPATPFHPQGSLPVYRPLVSPDMAKLFEKIASTSEFLKNG KARTDLEIANSKASVCNLQISPKSEDINKFDWLDLDPLSKPKVDYVEVLEHEEEKKDPVL LAEDPWDAVLLEERSPSCHLERKVNGKSLSGATVTRSQSLIIRTAQFTKAQGQVSQKDPN GTSSLPTGSSLLQEFEVQNDEVAAFCQSIMKLKTKFPYTDHCTNPGYLLSPVTVQRNMCG ENASVKVSIEIEGLQLPVTFTCDVSSTVEIIIMQALCWVHDDLNQVDVGSYILKVCGQEE VLQNNHCLGSHEHIQNCRKWDTEIKLQLLTLSAMCQNLARTAEDDEAPVDLNKYLYQIEK PYKEVMTRHPVEELLDSYHYQVELALQTENQHRAVDQVIKAVRKICSALDGVETPSVTEA VKKLKRAVNLPRNKSADVTSLSGSDTRKNSTKGSLNPENPVQVSMDHLTTAIYDLLRLHA NSSRCSTGCPRGSRNIKEAWTATEQLQFTVYAAHGISSNWVSNYEKYYLICSLSHNGKDL FKPIQSKKVGTYKNFFYLIKWDELIIFPIQISQLPLESVLHLTLFGVLNQSSGSSPDSNK QRKGPEALGKVSLTLFDFKRFLTCGTKLLYLWTSSHTNSIPGAIPKKSYVMERIVLQVDF PSPAFDIIYTSPQIDRNIIQQDKLETLESDIKGKLLDIIHRDSSFGLSKEDKVFLWENRY YCLKHPNCLPKILASAPNWKWANLAKTYSLLHQWPPLCPLAALELLDAKFADQEVRSLAV SWMEAISDDELADLLPQFVQALKYEIYLNSSLVRFLLSRALGNIQIAHSLYWLLKDALHD THFGSRYEHVLGALLSVGGKGLREELSKQMKLVQLLGGVAEKVRQASGSTRQVVLQKSME RVQSFFLRNKCRLPLKPSLVAKELNIKSCSFFSSNAMPLKVTMVNADPLGEEINVMFKVG EDLRQDMLALQMIKIMDKIWLKEGLDLRMVIFRCLSTGRDRGMVELVPASDTLRKIQVEY GVTGSFKDKPLAEWLRKYNPSEEEYEKASENFIYSCAGCCVATYVLGICDRHNDNIMLRS TGHMFHIDFGKFLGHAQMFGSFKRDRAPFVLTSDMAYVINGGEKPTIRFQLFVDLCCQAY NLIRKQTNLFLNLLSLMIPSGLPELTSIQDLKYVRDALQPQTTDAEATIFFTRLIESSLG SIATKFNFFIHNLAQLRFSGLPSNDEPILSFSPKTYSFRQDGRIKEVSVFTYHKKYNPDK HYIYVVRILREGHLEPSFVFRTFDEFQELHNKLSIIFPLWKLPGFPNRMVLGRTHIKDVA AKRKIELNSYLQSLMNASTDVAECDLVCTFFHPLLRDEKAEGIARSAGAVPFSPTLGQIG GAVKLSVSYRNGTLFIMVMHIKDLVTEDGADPNPYVKTYLLPDTHKTSKRKTKISRKTRN PTFNEMLVYSGYSKETLRQRELQLSVLSAESLRENFFLGGITLPLKDFNLSKETVKWYQL TAATYL >ENSMUSP00000145690.1 pep:known chromosome:GRCm38:7:116339605:116368190:-1 gene:ENSMUSG00000030660.9 transcript:ENSMUST00000206385.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pik3c2a description:phosphatidylinositol 3-kinase, C2 domain containing, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1203729] XVDFPSPAFDIIYTSPQIDRNIIQQDKLETLESDIKGKLLDIIHRDSSFGLSKEDKVFLW ENRYYCLKHPNCLPKILASAPNWKWANLAKTYSLLHQWPPLCPLAALELLDAKFADQEVR SLAVSWMEAISDDELADLLPQFVQALKYEIYLNSSLVRFLLSRALGNIQIAHSLYWLLKD ALHDTHFGSRYEHVLGALLSVGGKGLREELSKQMKLVQLLGGVAEKVRQASGSTRQVVLQ KSMERVQSFFLRNKCRLPLKPSLVAKELNIKSCSFFSSNAMPLKVTMVNADPLGEEINVM FKVGEDLRQDMLALQMIKIMDKIWLKEGLDLRMVIFRCLSTGRDRGMVELVPASDTLRKI QVEYGVTGSFKDKPLAEWLRKYNPSEEEYEKASENFIYSCAGCCVATYVLGICDRHNDNI MLRSTGHMFHIDFGKFLGHAQMFGSFKR >ENSMUSP00000146181.1 pep:known chromosome:GRCm38:7:116339727:116443449:-1 gene:ENSMUSG00000030660.9 transcript:ENSMUST00000206219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2a description:phosphatidylinositol 3-kinase, C2 domain containing, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1203729] MAQISNNSEFKQCSSSHPEPIRTKDVNKAEALQMEAEALAKLQKDRQMTDSPRGFELSSS TRQRTQGFNKQDYDLMVFPELDSQKRAVDIDVEKLTQAELEKILLDDNFETRKPPALPVT PVLSPSFSTQLYLRPSGQRGQWPPGLCGPSTYTLPSTYPSAYSKQATFQNGFSPRMPTFP STESVYLRLPGQSPYFSYPLTPATPFHPQGSLPVYRPLVSPDMAKLFEKIASTSEFLKNG KARTDLEIANSKASVCNLQISPKSEDINKFDWLDLDPLSKPKVDYVEVLEHEEEKKDPVL LAEDPWDAVLLEERSPSCHLERKVNGKSLSGATVTRSQSLIIRTAQFTKAQGQVSQKDPN GTSSLPTGSSLLQEFEVQNDEVAAFCQSIMKLKTKFPYTDHCTNPGYLLSPVTVQRNMCG ENASVKVSIEIEGLQLPVTFTCDVSSTVEIIIMQALCWVHDDLNQVDVGSYILKVCGQEE VLQNNHCLGSHEHIQNCRKWDTEIKLQLLTLSAMCQNLARTAEDDEAPVDLNKYLYQIEK PYKEVMTRHPVEELLDSYHYQVELALQTENQHRAVDQVIKAVRKICSALDGVETPSVTEA VKKLKRAVNLPRNKSADVTSLSGSDTRKNSTKGSLNPENPVQVSMDHLTTAIYDLLRLHA NSSRCSTGCPRGSRNIKEAWTATEQLQFTVYAAHGISSNWVSNYEKYYLICSLSHNGKDL FKPIQSKKVGTYKNFFYLIKWDELIIFPIQISQLPLESVLHLTLFGVLNQSSGSSPDSNK QRKGPEALGKVSLTLFDFKRFLTCGTKLLYLWTSSHTNSIPGAIPKKSYVMERIVLQVDF PSPAFDIIYTSPQIDRNIIQQDKLETLESDIKGKLLDIIHRDSSFGLSKEDKVFLWENRY YCLKHPNCLPKILASAPNWKWANLAKTYSLLHQWPPLCPLAALELLDAKFADQEVRSLAV SWMEAISDDELADLLPQFVQALKYEIYLNSSLVRFLLSRALGNIQIAHSLYWLLKDALHD THFGSRYEHVLGALLSVGGKGLREELSKQMKLVQLLGGVAEKVRQASGSTRQVVLQKSME RVQSFFLRNKCRLPLKPSLVAKELNIKSCSFFSSNAMPLKVTMVNADPLGEEINVMFKVG EDLRQDMLALQMIKIMDKIWLKEGLDLRMVIFRCLSTGRDRGMVELVPASDTLRKIQVEY GVTGSFKDKPLAEWLRKYNPSEEEYEKASENFIYSCAGCCVATYVLGICDRHNDNIMLRS TGHMFHIDFGKFLGHAQMFGSFKRDRAPFVLTSDMAYVINGGEKPTIRFQLFVDLCCQAY NLIRKQTNLFLNLLSLMIPSGLPELTSIQDLKYVRDALQPQTTDAEATIFFTRLIESSLG SIATKFNFFIHNLAQLRFSGLPSNDEPILSFSPKTYSFRQDGRIKEVSVFTYHKKYNPDK HYIYVVRILREGHLEPSFVFRTFDEFQELHNKLSIIFPLWKLPGFPNRMVLGRTHIKDVA AKRKIELNSYLQSLMNASTDVAECDLVCTFFHPLLRDEKAEGIARSAGAVPFSPTLGQIG GAVKLSVSYRNGTLFIMVMHIKDLVTEDGADPNPYVKTYLLPDTHKTSKRKTKISRKTRN PTFNEMLVYSGYSKETLRQRELQLSVLSAESLRENFFLGGITLPLKDFNLSKETVKWYQL TAATYL >ENSMUSP00000145572.1 pep:known chromosome:GRCm38:7:116388076:116443447:-1 gene:ENSMUSG00000030660.9 transcript:ENSMUST00000205378.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pik3c2a description:phosphatidylinositol 3-kinase, C2 domain containing, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1203729] MAQISNNSEFKQCSSSHPEPIRTKDVNKAEALQMEAEALAKLQKDRQMTDSPRGFELSSS TRQRTQGFNKQDYDLMVFPELDSQKRAVDIDVEKLTQAELEKILLDDNFETRKPPALPVT PVLSPSFSTQLYLRPSGQRGQWPPGLCGPSTYTLPSTYPSAYSKQATFQNGFSPRMPTFP STESVYLRLPGQSPYFSYPLTPATPFHPQGSLPVYRPLVSPDMAKLFEKIASTSEFLKNG KARTDLEIANSKASVCNLQISPKSEDINKFDWLDLDPLSKPKVDYVEVLEHEEEKKDPVL LAEDPWDAVLLEERSPSCHLERKVNGKSLSGATVTRSQSLIIRTAQFTKAQGQVSQIEDQ ISIY >ENSMUSP00000098208.3 pep:known chromosome:GRCm38:14:43747866:43755948:1 gene:ENSMUSG00000091698.8 transcript:ENSMUST00000100643.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6526 description:predicted gene 6526 [Source:MGI Symbol;Acc:MGI:3648758] MFSWLRRLFHRENGDQGETRPRQKESAIPSCKKRRMKSFWGRHMSAGKKSSQNCNITNHM KNMNKLEDMKFYIRKINAKRLELFPILDIDMNTDLNYRMNIEFTIIKSQHEKTMLDMEKM TQSISDTIETYKEFIEDKDSYSFTHSYLLKECNQLKEKVRMLLNENRKLLVEQADQEASY GEEKRFCDESSKNIHPKC >ENSMUSP00000136216.1 pep:known chromosome:GRCm38:14:43747883:43750875:1 gene:ENSMUSG00000091698.8 transcript:ENSMUST00000179860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6526 description:predicted gene 6526 [Source:MGI Symbol;Acc:MGI:3648758] QSGMFSWLRRLFHRENGDQGETRPRQKESAIPSCKKRRMKSFWGRHMSAGKKSSQNCNIT NHMKNMNKLEDMKFYIRKINAKRLELFPILDIDMNTDLNYRMNIEFTIIKSQHEKTMLDM EKMTQSISDTIETYKEFIEDKDSYSFTHSYLLKECNQLKEKVRMLLNENRKLLVEQADQE ASYGEEKRFCDESSKNI >ENSMUSP00000102695.1 pep:known chromosome:GRCm38:11:102576491:102579119:-1 gene:ENSMUSG00000078640.9 transcript:ENSMUST00000107080.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11627 description:predicted gene 11627 [Source:MGI Symbol;Acc:MGI:3650659] MFGGNSQASKIFVRSGASNSYLHGTCRQEGLFVSKKICTGCTQIERHFDMKDFSP >ENSMUSP00000102696.1 pep:known chromosome:GRCm38:11:102576398:102579461:-1 gene:ENSMUSG00000078640.9 transcript:ENSMUST00000107081.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11627 description:predicted gene 11627 [Source:MGI Symbol;Acc:MGI:3650659] MFGGNSQASKIFVRSGASNSYLHGTCRQEGLFVSKKICTGCTQIERHFDMKDFSP >ENSMUSP00000028475.8 pep:known chromosome:GRCm38:2:84723122:84727350:-1 gene:ENSMUSG00000027079.15 transcript:ENSMUST00000028475.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clp1 description:CLP1, cleavage and polyadenylation factor I subunit [Source:MGI Symbol;Acc:MGI:2138968] MSEESNDDKKPTTKFELERETELRFEVEASQSVQLELLAGMAEIFGTELTRNKKFTFDAG AKVAVFTWHGCSLQLSGRTEVAYVSKDTPMLLYLNTHTALEQMRRQAEKEEERGPRVMVV GPTDVGKSTVCRLLLNYAVRLGRRPTYVELDVGQGSVSIPGTMGALYIERPADVEEGFSI QAPLVYHFGSTTPGTNIKLYNKITSRLADVFNQRCEVNRRASVSGCVINTCGWVKGYGYQ ALVHAASAFEVDVVVVLDQERLYNELKRDLPHFVRTVLLPKSGGVVERSKDFRRECRDER IREYFYGFRGCFYPHAFNVKFSDVKIYKVGAPTIPDSCLPLGMSQEDNQLKLVPVTPGRD MVHHLLSVSTAEGTEENLSETSVAGFIVVTSVDVEHQVFTVLSPAPRPLPKNFLLIMDIR FMDLK >ENSMUSP00000129300.1 pep:known chromosome:GRCm38:2:84722104:84727242:-1 gene:ENSMUSG00000027079.15 transcript:ENSMUST00000165219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clp1 description:CLP1, cleavage and polyadenylation factor I subunit [Source:MGI Symbol;Acc:MGI:2138968] MSEESNDDKKPTTKFELERETELRFEVEASQSVQLELLAGMAEIFGTELTRNKKFTFDAG AKVAVFTWHGCSLQLSGRTEVAYVSKDTPMLLYLNTHTALEQMRRQAEKEEERGPRVMVV GPTDVGKSTVCRLLLNYAVRLGRRPTYVELDVGQGSVSIPGTMGALYIERPADVEEGFSI QAPLVYHFGSTTPGTNIKLYNKITSRLADVFNQRCEVNRRASVSGCVINTCGWVKGYGYQ ALVHAASAFEVDVVVVLDQERLYNELKRDLPHFVRTVLLPKSGGVVERSKDFRRECRDER IREYFYGFRGCFYPHAFNVKFSDVKIYKVGAPTIPDSCLPLGMSQEDNQLKLVPVTPGRD MVHHLLSVSTAEGTEENLSETSVAGFIVVTSVDVEHQVFTVLSPAPRPLPKNFLLIMDIR FMDLK >ENSMUSP00000032454.5 pep:known chromosome:GRCm38:6:114282635:114317532:1 gene:ENSMUSG00000030310.10 transcript:ENSMUST00000032454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a1 description:solute carrier family 6 (neurotransmitter transporter, GABA), member 1 [Source:MGI Symbol;Acc:MGI:95627] MATDNSKVADGQISTEVSEAPVASDKPKTLVVKVQKKAGDLPDRDTWKGRFDFLMSCVGY AIGLGNVWRFPYLCGKNGGGAFLIPYFLTLIFAGVPLFLLECSLGQYTSIGGLGVWKLAP MFKGVGLAAAVLSFWLNIYYIVIISWAIYYLYNSFTTTLPWKQCDNPWNTDRCFSNYSLV NTTNMTSAVVEFWERNMHQMTDGLDKPGQIRWPLAITLAIAWVLVYFCIWKGVGWTGKVV YFSATYPYIMLIILFFRGVTLPGAKEGILFYITPNFRKLSDSEVWLDAATQIFFSYGLGL GSLIALGSYNSFHNNVYRDSIIVCCINSCTSMFAGFVIFSIVGFMAHVTKRSIADVAASG PGLAFLAYPEAVTQLPISPLWAILFFSMLLMLGIDSQFCTVEGFITALVDEYPRLLRNRR ELFIAAVCIVSYLIGLSNITQGGIYVFKLFDYYSASGMSLLFLVFFECVSISWFYGVNRF YDNIQEMVGSRPCIWWKLCWSFFTPIIVAGVFLFSAVQMTPLTMGSYVFPKWGQGVGWLM ALSSMVLIPGYMAYMFLTLKGSLKQRLQVMIQPSEDIVRPENGPEQPQAGSSASKEAYI >ENSMUSP00000145080.1 pep:known chromosome:GRCm38:6:114301863:114315584:1 gene:ENSMUSG00000030310.10 transcript:ENSMUST00000204074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a1 description:solute carrier family 6 (neurotransmitter transporter, GABA), member 1 [Source:MGI Symbol;Acc:MGI:95627] MTSAVVEFWERNMHQMTDGLDKPGQIRWPLAITLAIAWVLVYFCIWKGVGWTGKVVYFSA TYPYIMLIILFFRGVTLPGAKEGILFYITPNFRKLSDSEVWLDAATQIFFSYGLGLGSLI ALGSYNSFHNNVYRDSIIVCCINSCTSMFAGFVIFSIVGFMAHVTKRSIADVAASGPGLA FLAYPEAVTQLPISPLWAILFFSMLLMLGIDSQFCTVEGFITALVDEYPRLLRNRRELFI AAVCIVSYLIGLSNITQGGIYVFKLFDYYSASGMSLLFLVFFECVSISWFYGVNRFYDNI QEMVGSRPCIWWKLCWSFFTPIIVAGVFLFSAVQMTPLTMGSYVFPKWGQGVGWLMALSS MVLIPGYMAYMFLTLKGSLKQRLQVMIQPSEDIVRPENGPEQPQAGSSASKEAYI >ENSMUSP00000134994.1 pep:known chromosome:GRCm38:11:70235206:70236196:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000135390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] XTVKRKVYEDSGIPLPAESPKKGPKKMTSGVLSPPNAPPPSSSSVPEAGVPPIKKQKADV TLSALNDSDANSDLVDVEGLGETPPAKKLNFDQGRSPPPPTLAGGGEHTHLDGRGGSPQK TPFWCRGLRRKIQCRGMGQLVIRRLRR >ENSMUSP00000119483.1 pep:known chromosome:GRCm38:11:70235206:70236904:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000134700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] XSCVIKERTVAQIKTTVKRKVYEDSGIPLPAESPKKGPKKMTSGVLSPPNAPPPSSSSVP EAGVPPIKKQKAVTWWMSKG >ENSMUSP00000104215.2 pep:known chromosome:GRCm38:11:70235206:70237853:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000108575.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] MTSASTKVGEIFSAAGAAFTKLGELTMQLHPVSDSSPAGAQIKTTVKRKVYEDSGIPLPA ESPKKGPKKMTSGVLSPPNAPPPSSSSVPEAGVPPIKKQKAGEGCGVAA >ENSMUSP00000104220.1 pep:known chromosome:GRCm38:11:70235206:70237904:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000108579.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] MTSASTKVGEIFSAAGAAFTKLGELTMQLHPVSDSSPAGAQIKTTVKRKVYEDSGIPLPA ESPKKGPKKMTSGVLSPPNAPPPSSSSVPEAGVPPIKKQKADSLTLDSGLLTTSADAPLL SC >ENSMUSP00000021181.6 pep:known chromosome:GRCm38:11:70235206:70237914:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000021181.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] MTSASTKVGEIFSAAGAAFTKLGELTMQLHPVSDSSPAGAKWTETEIEMLRAAVKRFGDD LNHISCVIKERTVAQIKTTVKRKVYEDSGIPLPAESPKKGPKKMTSGVLSPPNAPPPSSS SVPEAGVPPIKKQKADSLTLDSGLLTTSADAPLLSC >ENSMUSP00000104219.2 pep:known chromosome:GRCm38:11:70235206:70237914:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000108578.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] MTSASTKVGEIFSAAGAAFTKLGELTMQLHPVSDSSPAGAKWTETEIEMLRAAVKRFGDD LNHISCVIKERTVAQIKTTVKRKVYEDSGIPLPAESPKKGPKKMTSGVLSPPNAPPPSSS SVPEAGVPPIKKQKADVTLSALNDSDANSDLVDVEGLGETPPAKKLNFDQA >ENSMUSP00000099629.3 pep:known chromosome:GRCm38:11:70235206:70237914:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000102569.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] MTSASTKVGEIFSAAGAAFTKLGELTMQLHPVSDSSPAGAQIKTTVKRKVYEDSGIPLPA ESPKKGPKKMTSGVLSPPNAPPPSSSSVPEAGVPPIKKQKADVTLSALNDSDANSDLVDV EGLGETPPAKKLNFDQA >ENSMUSP00000104218.1 pep:known chromosome:GRCm38:11:70235208:70237902:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000108577.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] MTSASTKVGEIFSAAGAAFTKLGELTMQLHPVSDSSPAGAQIKTTVKRKVYEDSGIPLPA ESPKKGPKKMTSGVLSPPNAPPPSSSSVPEAGVPPIKKQKAA >ENSMUSP00000098510.3 pep:known chromosome:GRCm38:11:70235362:70237678:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000100950.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] MLLRPQVGEIFSAAGAAFTKLGELTMQLHPVSDSSPAGAKWTETEIEMLRAAVKRFGDDL NHISCVIKERTVAQIKTTVKRKVYEDSGIPLPAESPKKGPKKMTSGVLSPPNAPPPSSSS VPEAGVPPIKKQKADSLTLDSGLLTTSADAPLLSC >ENSMUSP00000104216.3 pep:known chromosome:GRCm38:11:70235404:70237804:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000108576.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] MTSASTKVGEIFSAAGAAFTKLGELTMQLHPVSDSSPAGAKWTETEIEMLRAAVKRFGDD LNHISCVIKERTVAQIKTTVKRKVYEDSGIPLPAESPKKGPKKMTSGVLSPPNAPPPSSS SVPEAGVPPIKKQKADVTLSALNDSDANSDLVDVEGLGETPPAKKLNFDQDSLTLDSGLL TTSADAPLLSC >ENSMUSP00000021180.7 pep:known chromosome:GRCm38:11:70235736:70237861:-1 gene:ENSMUSG00000020831.18 transcript:ENSMUST00000021180.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:0610010K14Rik description:RIKEN cDNA 0610010K14 gene [Source:MGI Symbol;Acc:MGI:1915609] MTSASTKVGEIFSAAGAAFTKLGELTMQLHPVSDSSPAGAKWTETEIEMLRAAVKRFGDD LNHISCVIKGSDKDHCEAKSV >ENSMUSP00000092581.3 pep:known chromosome:GRCm38:4:88841861:88842421:1 gene:ENSMUSG00000070904.4 transcript:ENSMUST00000094973.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna4 description:interferon alpha 4 [Source:MGI Symbol;Acc:MGI:107664] MARLCAFLMILVMMSYYWSACSLGCDLPHTYNLGNKRALTVLEEMRRLPPLSCLKDRKDF GFPLEKVDNQQIQKAQAILVLRDLTQQILNLFTSKDLSATWNATLLDSFCNDLHQQLNDL KACVMQEPPLTQEDSLLAVRTYFHRITVYLRKKKHSLCAWEVIRAEVWRALSSSTNLLAR LSEEKE >ENSMUSP00000125491.1 pep:known chromosome:GRCm38:1:37985189:37997315:-1 gene:ENSMUSG00000058407.12 transcript:ENSMUST00000162031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc9 description:thioredoxin domain containing 9 [Source:MGI Symbol;Acc:MGI:2138153] MEGNGSVDMFSEVLENQFLQAAKLVENHLDSEIQKLDQIGEDELELLKEKRLAALRKAQQ QKQEWLSKGHGEYREIGSERDFFQEVKESEKVVCHFYRDTTFRCKILDRHLAILAKKHLE TKFLKLNVEKAPFLCERLRIKVIPTLALLRDGKTQDYVVGFTDLGNTDDFTTETLEWRLG CSDVINYSGNLMEPPFQSQKKFGTNFTKLEKKTIRGKKYDSDSDDD >ENSMUSP00000142188.1 pep:known chromosome:GRCm38:1:37987394:37997243:-1 gene:ENSMUSG00000058407.12 transcript:ENSMUST00000193832.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc9 description:thioredoxin domain containing 9 [Source:MGI Symbol;Acc:MGI:2138153] MEGNGSVDMFSEVLENQFLQAAKLVENHLDSEIQKLDQIGEDELELLKEKRLAALRKAQQ QKQEWLSKGHGEYREIGSERDFFQEVKESEKVVCHFYRDTTFRCKILDRHLAILAKKHLE TKFLKLNVEKAPFLCERLRIKVIPTLALLRDGKTQDYVVGFTDLGNTDDFTTETLEWRLG CSDVINYR >ENSMUSP00000141609.1 pep:known chromosome:GRCm38:1:37987394:37997893:-1 gene:ENSMUSG00000058407.12 transcript:ENSMUST00000195247.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc9 description:thioredoxin domain containing 9 [Source:MGI Symbol;Acc:MGI:2138153] MEGNGSVDMFSEVLENQFLQAAKLVENHLDSEIQKLDQIGEDELELLKEKRLAALRKAQQ QKQEWLSKGHGEYREIGSERDFFQEVKESEKVVCHFYRDTTFRCKILDRHLAILAKKHLE TKFLKLNVEKAPFLCERLRIKVIPTLALLRDGKTQDYVVGFTDLGNTDDFTTETLEWRLG CSDVINYSGNLMEPPFQSQKKFGTNFTKLEKKTIRGKKYDSDSDDD >ENSMUSP00000141281.1 pep:known chromosome:GRCm38:1:37987640:37995845:-1 gene:ENSMUSG00000058407.12 transcript:ENSMUST00000192960.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc9 description:thioredoxin domain containing 9 [Source:MGI Symbol;Acc:MGI:2138153] MEGNGSVDMFSEVLENQFLQAAKLVENHLDSEIQKLDQIGEDELELLKEKRLAALRKAQQ QKQEWLSKGHGEYREIGSERDFFQEVKESEKVVCHFYRDTTFSGNLMEPPFQSQKKFGTN FTKLEKKTIRGKKYDSDSDDD >ENSMUSP00000141595.1 pep:known chromosome:GRCm38:1:37987643:37997616:-1 gene:ENSMUSG00000058407.12 transcript:ENSMUST00000195032.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc9 description:thioredoxin domain containing 9 [Source:MGI Symbol;Acc:MGI:2138153] MEGNGSVDMFSEVLENQFLQAAKLVENHLDSEIQKLDQIGEDELELLKEKRLAALRKAQQ QKQEWLSKGHGEYREIGSERDFFQEVKESEKVVCHFYRDTTFRCKILDRHLAILAKKHLE TKFLKLNVEKAPFLCERLRIKVIPTLALLRDGKTQDYVVGFTDLGNTDDFTTETLEWRLG CSDVINYSGNLMEPPFQSQKKFGTNFTKLEKKTIRGKKYDSDSDDD >ENSMUSP00000141640.1 pep:known chromosome:GRCm38:1:37990258:37996658:-1 gene:ENSMUSG00000058407.12 transcript:ENSMUST00000192237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc9 description:thioredoxin domain containing 9 [Source:MGI Symbol;Acc:MGI:2138153] MEGNGSVDMFSEVLENQFLQAAKLVENHLDSEIQKLDQIGEDELELLKEKRLAALRKAQQ QKQEWLSKGHGEYREIGSERDFFQEVKESEKVVCHFYRDTTFRCKILDRHLAILAKKHLE TKFLKLNVEKAPFLCERLRIKVIPTLALLRDGKTQDYVVGFTDLGNTDD >ENSMUSP00000122165.1 pep:known chromosome:GRCm38:9:62342075:62377672:1 gene:ENSMUSG00000032249.14 transcript:ENSMUST00000135395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32a description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member A [Source:MGI Symbol;Acc:MGI:108447] MRREVKELVLDNCKSIEGKIEGLTDEFEELEFLSTINVGLTSISNLPKLNKLKKLELSEN RISGDLEVLAEKCPNLKHLNLSGNKIKDLSTIEPLKKLENLKSLDLFNCEVTNLNAYREN VFKLLPQVMYLDGYDRDNKEAPDSDVEGYVEDDDEEDEDEEEYDEYAQLVEDEEEEDEEE EGEEEDVSGEEEEDEEGYNDGEVDDEEDEEEAGEEEGSQKRKREPDDEGEEDD >ENSMUSP00000115293.1 pep:known chromosome:GRCm38:9:62342464:62373583:1 gene:ENSMUSG00000032249.14 transcript:ENSMUST00000156461.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32a description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member A [Source:MGI Symbol;Acc:MGI:108447] XDNPRASPTTSVANVKELVLDNCKSIEGKIEGLTDEFEELEFLSTINVGLTSISNLPKLN KLKKLELSENRISGDLEVLAEKCPNLKHLNLSGNKIKDLSTIEPLKKLENLKSLDLFNCE VTNLNAYRENVFKLLPQV >ENSMUSP00000082652.6 pep:known chromosome:GRCm38:9:62341329:62378812:1 gene:ENSMUSG00000032249.14 transcript:ENSMUST00000085519.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32a description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member A [Source:MGI Symbol;Acc:MGI:108447] MEMDKRIYLELRNRTPSDVKELVLDNCKSIEGKIEGLTDEFEELEFLSTINVGLTSISNL PKLNKLKKLELSENRISGDLEVLAEKCPNLKHLNLSGNKIKDLSTIEPLKKLENLKSLDL FNCEVTNLNAYRENVFKLLPQVMYLDGYDRDNKEAPDSDVEGYVEDDDEEDEDEEEYDEY AQLVEDEEEEDEEEEGEEEDVSGEEEEDEEGYNDGEVDDEEDEEEAGEEEGSQKRKREPD DEGEEDD >ENSMUSP00000123574.1 pep:known chromosome:GRCm38:9:62341902:62374718:1 gene:ENSMUSG00000032249.14 transcript:ENSMUST00000128636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32a description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member A [Source:MGI Symbol;Acc:MGI:108447] MEKMIEGRRRWAASWIKQQKGVKELVLDNCKSIEGKIEGLTDEFEELEFLSTINVGLTSI SNLPKLNKLKKLELSENRISGDLEVLAEKCPNLKHLNLSGNKIKDLSTIEPLKKLENLKS LDLFNCEVTNLNAYRENVFKLLPQVMYLDGYDRDNKEAPDSDVEGYVEDDDEEDEDEEEY DEYAQLVEDEEEEDEEEE >ENSMUSP00000028052.5 pep:known chromosome:GRCm38:1:160219174:160351571:-1 gene:ENSMUSG00000026721.15 transcript:ENSMUST00000028052.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1l description:RAB GTPase activating protein 1-like [Source:MGI Symbol;Acc:MGI:1352507] MEEGVPCPAPAAKLTPPVKKSQDMHDERSKLVNEYACRVLELLGMGHRLFVPRLLATSKE DLLQADFEGALKFFRVQLPKRYRAEENARRLMEQACNIKVPTKKLKKYEKEYQAMRENQL QQEDPMDRYKRENRRLQEASMRLEQENDDLAHELVTSKIALRNDLDQAEDKADVLNKELL FTKQRLVETEEEKRKQEEETAQLKEVFRKQLEKAEYEIKKTTAIIAEYKQICSQLSTRLE KQQAASKEELEAVKGKMMACKHCSDIFSKEGALKPVAVNREDQGLEADDEKDSLKKQLRE MELELAQTKLQLVEAKCKIQELEHQRGALMNEIQAAKNSWFSKTLNSIKTATGTQPLQPP QAPQPPKEST >ENSMUSP00000141688.1 pep:known chromosome:GRCm38:1:160226558:160251443:-1 gene:ENSMUSG00000026721.15 transcript:ENSMUST00000191909.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1l description:RAB GTPase activating protein 1-like [Source:MGI Symbol;Acc:MGI:1352507] XVTERTSLSDILDKQRSINKCLFLLLLFPKCISRCEISLDIPFLFFSIVFVPMVENSSWS LTFEERENRRLQEASMRLEQENDDLAHELVTSKIALRNDLDQAEDKADVLNKELLFTKQR LVETEEEKRKQEEETAQLKEVFRKQLEKAEYEIKKTTAIIAEYKQICSQLSTRLEKQQAA SKEELEAVKGKMMACKHCSDIFSKEGALKPVAVNREDQGLEADDEKDSLK >ENSMUSP00000142258.1 pep:known chromosome:GRCm38:1:160226610:160307339:-1 gene:ENSMUSG00000026721.15 transcript:ENSMUST00000193185.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1l description:RAB GTPase activating protein 1-like [Source:MGI Symbol;Acc:MGI:1352507] XWSGAGAAAAAVKKPTGKMMEEISIMVAYDAHVFSQLHDEDFLTSLVATSKPRSMVPTKK LKKYEKEYQAMRENQLQQEDPMDRYKRENRRLQEASMRLEQENDDLAHELVTSKIALRND LDQAEDKADVLNKELLFTKQRLVETEEEKRKQEEETAQLKEVFRKQLEKAEYEIKKTTAI IAEYKQICSQLSTRLEKQQAASKEELEAVKGKMMACKHCSDIFSKEGALKPVAV >ENSMUSP00000141790.1 pep:known chromosome:GRCm38:1:160256572:160309171:-1 gene:ENSMUSG00000026721.15 transcript:ENSMUST00000191941.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabgap1l description:RAB GTPase activating protein 1-like [Source:MGI Symbol;Acc:MGI:1352507] XCYSAIRVAALSHCRKGRGEAVALAFTSGEPRCEVNLQVCCPDENMTRSTLSLKILLKR >ENSMUSP00000141357.1 pep:known chromosome:GRCm38:1:160256933:160441867:-1 gene:ENSMUSG00000026721.15 transcript:ENSMUST00000191651.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1l description:RAB GTPase activating protein 1-like [Source:MGI Symbol;Acc:MGI:1352507] PLCMVFHIIDLLLCEGLNIIFHVALALLKTSKEDLLQADFEGALKFFRVQLPKRYRAEEN ARRLMEQACNIKVPTKKLKKYEKEYQAMRENQLQQEDPMDRYKFVYL >ENSMUSP00000028049.7 pep:known chromosome:GRCm38:1:160257205:160792946:-1 gene:ENSMUSG00000026721.15 transcript:ENSMUST00000028049.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1l description:RAB GTPase activating protein 1-like [Source:MGI Symbol;Acc:MGI:1352507] MEVRASFQKVSGSSDSVATLNSEEFVLVSQHTDATSIKDDGKPQLKIASNGDEQLEKAME EILRDSEKGQSGLPVDCQGSSEISDCPFGDVPASQTTKPPLQLILDPSNTEISTPRPSSP SRFPEEDSVLFNKLTYLGCMKVSSPRSEVEALRAMATMRASSQYPFAVTLYVPNVPEGSV RIIDQSSNVEIASFPIYKVLFCARGHDGTAESNCFAFTESSHGSEEFQIHVFSCEIKEAV SRILYSFCTAFKRSSRQVSDVKDSVIPTPDSDVFTFSVSLEVKEDDGKGNFSPVPKDRDK FYFKIKQGIEKKVVITVQQLSNKELAIERCFGMLLSPGRNVKNSDMHLLDMESMGKSYDG RAYVITGMWNPNAPIFLALNEETPKDKRVYMTVAVDMVVTEVVEPVRFLLETVVRVYPAN ERFWYFSRKTFTETFFMRLKQSEGKGHSSAGDAIYEVVSLQRESDKEEPITPTSAGGPMS PQEDEAEEESDNELSSGTGDVSKDCPEKILYSWGELLGRWHNNLGGRPKGLFTLVKSGVP EALRAEVWQLLAGCHDNQEMLDKYRILITKDSAQESVITRDIHRTFPAHDYFKDTGGDGQ ESLYKICKAYSVFDEDIGYCQGQSFLAAVLLLHMPEEQAFCVLVTIMYGYKLRDLYRNNF EDLHCKFYQLEKLMQEQLPDLYSHFCDLNLEAHMYASQWFLTLFTAKFPLCMVFHIIDLL LCEGLNIIFHVALALLKTSKEDLLQADFEGALKFFRVQLPKRYRAEENARRLMEQACNIK VPTKKLKKYEKEYQAMRENQLQQEDPMDRYKFVYL >ENSMUSP00000141666.1 pep:known chromosome:GRCm38:1:160672451:160792938:-1 gene:ENSMUSG00000026721.15 transcript:ENSMUST00000195442.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1l description:RAB GTPase activating protein 1-like [Source:MGI Symbol;Acc:MGI:1352507] MEHTDATSIKDDGKPQLKIASNGDEQLEKAMEEILRDSEKGQSGLPVDCQGSSEISDCPF GDVPASQTTKPPLQLILDPSNTEISTPRPSSPSRFPEEDSVLFNKLTYLGCMKVSSPRSE VEALRAMATMRASSQYPFAVTLYVPNVPEGSVRIIDQSSNVEIASFPIYKVLFCARGHDG TAESNCFAFTESSHGSEEFQIHVFSCEIKEAVSRILYSFCTAFKRSSRQVSDVKDSVIPT PDSDVFTFSVSLEVKEDDGKGNFSPVPKDRDKFYFKIKQGIEKKVVITVQQLSNKELAIE RCFGMLLSPGRNVKNSDMHLLDMESMGKSYDGRAYVITGMWNPNAPIFLALNEETPKDKR VYMTVAVDMVVTEVVEPVRFLLETVVRVYPANERFWYFSRKTFTETFFMRLKQSEGKGHS SAGDAIYEVVSLQRESDKEEPITPTSAGGPMSPQEDEAEEDPGHASPDTVGFLTLFL >ENSMUSP00000141749.1 pep:known chromosome:GRCm38:1:160735648:160793211:-1 gene:ENSMUSG00000026721.15 transcript:ENSMUST00000193810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1l description:RAB GTPase activating protein 1-like [Source:MGI Symbol;Acc:MGI:1352507] MEVRASFQKVSGSSDSVATLNSEEFVLVSQHTDATSIKDDGKPQLKIASNGDEQLEKAME EILRDSEKGQSGLPVDCQGSSEISDCPFGDVPASQTTKPPLQLILDPSNTEISTPRPSSP SRFPEEDSVLFNKLTYLGCMKVSSPRS >ENSMUSP00000131109.1 pep:known chromosome:GRCm38:14:43779488:43784745:-1 gene:ENSMUSG00000091584.1 transcript:ENSMUST00000163599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8180 description:predicted gene 8180 [Source:MGI Symbol;Acc:MGI:3643326] MKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNNRINFETFMLEMQHDQVMTDLKR MPQDISEALSKCKQLTKENQFYCCRNCHLLIESNLIQHKVRMLRKENRQLLREQIALEEC NIETKTLCKEGIQKIKDHYTKQQQV >ENSMUSP00000017276.7 pep:known chromosome:GRCm38:11:118164175:118248560:-1 gene:ENSMUSG00000017132.17 transcript:ENSMUST00000017276.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth1 description:cytohesin 1 [Source:MGI Symbol;Acc:MGI:1334257] MEDDDSYVPSDLTAEERQELENIRRRKQELLADIQRLKEEIAEVANEIESLGSTEERKNM QRNKQVAMGRKKFNMDPKKGIQFLIENGLLKNTCEDIAQFLYKGEGLNKTAIGDYLGERD EFSIQVLHAFVELHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCQCNTGVF QSTDTCYVLSFAIIMLNTSLHNPNVKDKPTVERFIAMNRGINDGGDLPEELLRNLYESIK NEPFKIPEDDGNDLTHTFFNPDREGWLLKLGGRVKTWKRRWFILTDNCLYYFEYTTDKEP RGIIPLENLSIREVEDSKKPNCFELYIPDNKDQVIKACKTEADGRVVEGNHTVYRISAPT PEEKEDWIKCIKAAISRDPFYEMLAARKKKVSSTKRH >ENSMUSP00000097756.4 pep:known chromosome:GRCm38:11:118132019:118248502:-1 gene:ENSMUSG00000017132.17 transcript:ENSMUST00000100181.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth1 description:cytohesin 1 [Source:MGI Symbol;Acc:MGI:1334257] EVSGGRGPEPVASTMEDDDSYVPSDLTAEERQELENIRRRKQELLADIQRLKEEIAEVAN EIESLGSTEERKNMQRNKQVAMGRKKFNMDPKKGIQFLIENGLLKNTCEDIAQFLYKGEG LNKTAIGDYLGERDEFSIQVLHAFVELHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMME AFAQRYCQCNTGVFQSTDTCYVLSFAIIMLNTSLHNPNVKDKPTVERFIAMNRGINDGGD LPEELLRNLYESIKNEPFKIPEDDGNDLTHTFFNPDREGWLLKLGGRVKTWKRRWFILTD NCLYYFEYTTDKEPRGIIPLENLSIREVEDSKKPNCFELYIPDNKDQVIKACKTEADGRV VEGNHTVYRISAPTPEEKEDWIKCIKNTSPRGHMEASWKLHLPASPAAVVAIAVVTASTL VLLLIPGDSECQEWPSGNEPGPAFTMPLTHLPEDTTRGRN >ENSMUSP00000114792.1 pep:known chromosome:GRCm38:11:118185446:118212742:-1 gene:ENSMUSG00000017132.17 transcript:ENSMUST00000151165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth1 description:cytohesin 1 [Source:MGI Symbol;Acc:MGI:1334257] MVLKEEGEDVPSDLTAEERQELENIRRRKQELLADIQRLKEEIAEVANEIESLGSTEERK NMQRNKQVAMGRKKFNMDPKKGIQFLIENGLLKNTCEDI >ENSMUSP00000101909.2 pep:known chromosome:GRCm38:11:118164166:118212742:-1 gene:ENSMUSG00000017132.17 transcript:ENSMUST00000106302.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth1 description:cytohesin 1 [Source:MGI Symbol;Acc:MGI:1334257] MVLKEEGEDVPSDLTAEERQELENIRRRKQELLADIQRLKEEIAEVANEIESLGSTEERK NMQRNKQVAMGRKKFNMDPKKGIQFLIENGLLKNTCEDIAQFLYKGEGLNKTAIGDYLGE RDEFSIQVLHAFVELHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCQCNTG VFQSTDTCYVLSFAIIMLNTSLHNPNVKDKPTVERFIAMNRGINDGGDLPEELLRNLYES IKNEPFKIPEDDGNDLTHTFFNPDREGWLLKLGGGRVKTWKRRWFILTDNCLYYFEYTTD KEPRGIIPLENLSIREVEDSKKPNCFELYIPDNKDQVIKACKTEADGRVVEGNHTVYRIS APTPEEKEDWIKCIKAAISRDPFYEMLAARKKKVSSTKRH >ENSMUSP00000101912.2 pep:known chromosome:GRCm38:11:118164166:118248592:-1 gene:ENSMUSG00000017132.17 transcript:ENSMUST00000106305.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth1 description:cytohesin 1 [Source:MGI Symbol;Acc:MGI:1334257] MEDDDSYVPSDLTAEERQELENIRRRKQELLADIQRLKEEIAEVANEIESLGSTEERKNM QRNKQVAMGRKKFNMDPKKGIQFLIENGLLKNTCEDIAQFLYKGEGLNKTAIGDYLGERD EFSIQVLHAFVELHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCQCNTGVF QSTDTCYVLSFAIIMLNTSLHNPNVKDKPTVERFIAMNRGINDGGDLPEELLRNLYESIK NEPFKIPEDDGNDLTHTFFNPDREGWLLKLGGGRVKTWKRRWFILTDNCLYYFEYTTDKE PRGIIPLENLSIREVEDSKKPNCFELYIPDNKDQVIKACKTEADGRVVEGNHTVYRISAP TPEEKEDWIKCIKAAISRDPFYEMLAARKKKVSSTKRH >ENSMUSP00000133935.2 pep:known chromosome:GRCm38:19:17326141:17356667:-1 gene:ENSMUSG00000038843.17 transcript:ENSMUST00000174236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcnt1 description:glucosaminyl (N-acetyl) transferase 1, core 2 [Source:MGI Symbol;Acc:MGI:95676] MLRNLFRRRLFSCPTKYYFMLLVLSLITFSVLRIHQKPEFFSVRHLELAGDDPYSNVNCT KILQGDPEEIQKVKLEILTVQFKKRPRRTPHDYINMTRDCASFIRTRKYIVEPLTKEEVG FPIAYSIVVHHKIEMLDRLLRAIYMPQNFYCIHVDRKAEESFLAAVQGIASCFDNVFVAS QLESVVYASWSRVKADLNCMKDLYRMNANWKYLINLCGMDFPIKTNLEIVRKLKCSTGEN NLETEKMPPNKEERWKKRYTVVDGKLTNTGIVKAPPPLKTPLFSGSAYFVVTREYVGYVL ENENIQKLMEWAQDTYSPDEFLWATIQRIPEVPGSFPSSNKYDLSDMNAIARFVKWQYFE GHVSNGAPYPPCSGVHVRSVCVFGAGDLSWMLRQHHLFANKFDMDVDPFAIQCLDEHLRH KALENLEH >ENSMUSP00000127835.1 pep:known chromosome:GRCm38:19:17326143:17339568:-1 gene:ENSMUSG00000038843.17 transcript:ENSMUST00000169897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcnt1 description:glucosaminyl (N-acetyl) transferase 1, core 2 [Source:MGI Symbol;Acc:MGI:95676] MLRNLFRRRLFSCPTKYYFMLLVLSLITFSVLRIHQKPEFFSVRHLELAGDDPYSNVNCT KILQGDPEEIQKVKLEILTVQFKKRPRRTPHDYINMTRDCASFIRTRKYIVEPLTKEEVG FPIAYSIVVHHKIEMLDRLLRAIYMPQNFYCIHVDRKAEESFLAAVQGIASCFDNVFVAS QLESVVYASWSRVKADLNCMKDLYRMNANWKYLINLCGMDFPIKTNLEIVRKLKCSTGEN NLETEKMPPNKEERWKKRYTVVDGKLTNTGIVKAPPPLKTPLFSGSAYFVVTREYVGYVL ENENIQKLMEWAQDTYSPDEFLWATIQRIPEVPGSFPSSNKYDLSDMNAIARFVKWQYFE GHVSNGAPYPPCSGVHVRSVCVFGAGDLSWMLRQHHLFANKFDMDVDPFAIQCLDEHLRH KALENLEH >ENSMUSP00000092580.1 pep:known chromosome:GRCm38:4:88850087:88850656:1 gene:ENSMUSG00000095498.1 transcript:ENSMUST00000094972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifna1 description:interferon alpha 1 [Source:MGI Symbol;Acc:MGI:107668] MARLCAFLMVLAVLSYWPTCSLGCDLPQTHNLRNKRALTLLVQMRRLSPLSCLKDRKDFG FPQEKVDAQQIKKAQAIPVLSELTQQILNIFTSKDSSAAWNTTLLDSFCNDLHQQLNDLQ GCLMQQVGVQEFPLTQEDALLAVRKYFHRITVYLREKKHSPCAWEVVRAEVWRALSSSAN VLGRLREEK >ENSMUSP00000036369.3 pep:known chromosome:GRCm38:14:77036772:77112204:1 gene:ENSMUSG00000034795.14 transcript:ENSMUST00000048208.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc122 description:coiled-coil domain containing 122 [Source:MGI Symbol;Acc:MGI:1918358] MSGDTERKNEVIPTKAAVKDTSALTDAVEQVAKQQQSQTSEIEKHKKILFQLQIELHELE KQIATIAEEAKETDRQMHRQDAAMENSKLQCGRLEAQIESLYSESLKLKFDTETAQEKFE EQMIKYNAYYVKIKAYKDNLGEIKSQCPFMTELYEKRDLIKNLKTMKEDLMENLQDSQGN CTIQIQEDISEIKNKIMTVKESITEKTSFVEEEKKTHEKLRKEIEVQHKRYDAILKRLHC QMNKIQLNRRKWQWNIQQLEKTAAELKKRIREKEASEIQSRPAAPWERIL >ENSMUSP00000093285.4 pep:known chromosome:GRCm38:14:77036782:77112204:1 gene:ENSMUSG00000034795.14 transcript:ENSMUST00000095625.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc122 description:coiled-coil domain containing 122 [Source:MGI Symbol;Acc:MGI:1918358] MSGDTERKNEVIPTKAAVKDTSALTDAVEQVAKQQQSQTSEIEKHKKILFQLQVQHKRYD AILKRLHCQMNKIQLNRRKWQWNIQQLEKTAAELKKRIREKEASEIQSRPAAPWERIL >ENSMUSP00000135167.1 pep:known chromosome:GRCm38:14:77036864:77111695:1 gene:ENSMUSG00000034795.14 transcript:ENSMUST00000175810.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc122 description:coiled-coil domain containing 122 [Source:MGI Symbol;Acc:MGI:1918358] MSGDTERKNEVIPTKAAVKDTSALTDAVEQVAKQQQSQTSEIEKHKKILFQLQFSRRAHN GYKE >ENSMUSP00000027178.6 pep:known chromosome:GRCm38:1:59162926:59237231:-1 gene:ENSMUSG00000026024.14 transcript:ENSMUST00000027178.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2 description:amyotrophic lateral sclerosis 2 (juvenile) [Source:MGI Symbol;Acc:MGI:1921268] MDSKKKSSTEAEGSKERGLVHVWQAGSFSLTPERLPGWGGKTVLQAALGVRHGVLLTEDG EVYSFGTLPWKSESAEICPSSPLLESALVGHHVITVATGSFHSGAVTESGVVYMWGENAA GQCAVANQQYVPEPSPVSISDSETSPSLAVRILQLACGEEHTLALSLSREIWAWGTGCQL GLITTTFPVTKPQKVEHLAGRVVLQVACGAFHSLALVQCLPPQDLKPVPERCNQCSQLLI TMTDKEDHVIISDSHCCPLGVTLSESQAEKHASPAPSPHPEALDEQGEVFENTVVEAELN MGSSQTTSGSAISTQQNVVGTAEVSSARTAPSYPDTHAVTAYLQKLSEHSMRENHEPGEK PPQVQPLVEEAVPDLHSPPTTSTSALNSLVVSCASAVGVRVAATYEAGALSLKKVMNFYS TAPCETAAQSGSASTGPESLKDLREEQVKQESLQGKKSSSLMDIREEELEGGSRRLSLPG LLSQVSPRLLRKAARVKTRTVVLTPTYSGEADALLPSLRTEVWTWGKGKEGQLGHGDVLP RLQPLCVKCLDGKEVIHLEAGGSHSLALTAKSQVYSWGSNTFGQLGHSEFPTTVPRLSKV SSENGVWSVAAGQDYSLFLVDTEDFQPGLYYSGRQDRAEGDTLPENPSGTKTPVLLSCSK LGYISRVTAGKDSYLALVDKNIMGYIASLHELASTERRFYSKLSEIKSQILRPLLSLENL GTVTTVQLLQEVASRFSKLCYLIGQHGASLSSYLQGMKEASSLVIMKHSSLFLDSYTEYC TSVSNFLVMGGFQLLAKPAIDFLNKNQELLQDLSEVNDENTQLMEILNMLFFLPIRRLHN YAKVLLKLATCFEVTSPEYQKLQDSSSCYESLALHLGKKRKEAEYTLSFWKTFPGKMTDS LRKPERRLLCESSNRALSLQHAGRFSVNWFILFNDALVHAQFSTHHVFPLATLWAEPLSE EAGSVNGLKITTPEEQFTLISSTPQEKTKWLRAISQAVDQALRGTSDFPLYGGGSSVQRQ EPPISRSAKYTFYKDTRLKDATYDGRWLSGKPHGRGVLKWPDGKMYSGMFRNGLEDGYGE YRIPNKALNKEDHYVGHWKEGKMCGQGVYSYASGEVFEGCFQDNMRHGHGLLRSGKLTSS SPSMFIGQWVMDKKAGYGVFDDITRGEKYMGMWQDDVCQGNGVVVTQFGLYYEGNFHLNK MMGNGVLLSEDDTIYEGEFSDDWTLSGKGTLTMPHGDYIEGYFSGEWGSGIKITGTYFKP SLYESDKDKPKAFRKLGNLAVAADEKWRAVFEECWRQLGCESPGQGEVWKAWDNIAVALT TNRRQHKDSPEILSRSQTQTLESLEYIPQHIGAFSVEKYDDIKKYLIKACDTPLHPLGRL VETLVAVYRMTYVGVGANRRLLQEAVKEIKSYLKRIFQLVRFLFPELPEEGSTIPLSAPL PTGRRSFCTGKSDSRSESPEPGYVVTSSGLLLPVLLPRLYPPLFMLYALDNDREEDIYWE CVLRLNKQPDIALLGFLGVQKKFWPATLSILGESKKVLSTTKDACFASAVECLQQISTTF TPSDKLKVIQQTFEEISQSVLASLQEDFLWSMDDLFPVFLYVVLRARIRNLGSEVHLIED LMDPFLQHGEQGIMFTTLKACYFQIQREKLN >ENSMUSP00000125753.1 pep:known chromosome:GRCm38:1:59164058:59237093:-1 gene:ENSMUSG00000026024.14 transcript:ENSMUST00000163058.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2 description:amyotrophic lateral sclerosis 2 (juvenile) [Source:MGI Symbol;Acc:MGI:1921268] MDSKKKSSTEAEGSKERGLVHVWQAGSFSLTPERLPGWGGKTVLQAALGVRHGVLLTEDG EVYSFGTLPWKSESAEICPSSPLLESALVGHHVITVATGSFHSGAVTESGVVYMWGENAA GQCAVANQQYVPEPSPVSISDSETSPSLAVRILQLACGEEHTLALSLSREIWAWGTGCQL GLITTTFPVTKPQKVEHLAGRVVLQVACGAFHSLALVQCLPPQDLKPVPERCNQCSQLLI TMTDKEDHVIISDSHCCPLGVTLSESQAEKHASPAPSPHPEALDEQGEVFENTVVEAELN MGSSQTTSGSAISTQQNVVGTAEVSSARTAPSYPDTHAVTAYLQKLSEHSMRENHEPGEK PPQVQPLVEEAVPDLHSPPTTSTSALNSLVVSCASAVGVRVAATYEAGALSLKKVMNFYS TAPCETAAQSGSASTGPESLKDLREEQVKQESLQGKKSSSLMDIREEELEGGSRRLSLPG LLSQVSPRLLRKAARVKTRTVVLTPTYSGEADALLPSLRTEVWTWGKGKEGQLGHGDVLP RLQPLCVKCLDGKEVIHLEAGGSHSLALTAKSQVYSWGSNTFGQLGHSEFPTTVPRLSKV SSENGVWSVAAGQDYSLFLVDTEDFQPGLYYSGRQDRAEGDTLPENPSGTKTPVLLSCSK LGYISRVTAGKDSYLALVDKNIMGYIASLHELASTERRFYSKLSEIKSQILRPLLSLENL GTVTTVQLLQEVASRFSKLCYLIGQHGASLSSYLQGMKEASSLVIMKHSSLFLDSYTEYC TSVSNFLVMGGFQLLAKPAIDFLNKNQELLQDLSEVNDENTQLMEILNMLFFLPIRRLHN YAKVLLKLATCFEVTSPEYQKLQDSSSCYESLALHLGKKRKEAEYTLSFWKTFPGKMTDS LRKPERRLLCESSNRALSLQHAGRFSVNWFILFNDALVHAQFSTHHVFPLATLWAEPLSE EAGSVNGLKITTPEEQFTLISSTPQEKTKWLRAISQAVDQALRGTSDFPLYGGGSSVQRQ EPPISRSAKYTFYKDTRLKDATYDGRWLSGKPHGRGVLKWPDGKMYSGMFRNGLEDGYGE YRIPNKALNKEDHYVGHWKEGKMCGQGVYSYASGEVFEGCFQDNMRHGHGLLRSGKLTSS SPSMFIGQWVMDKKAGYGVFDDITRGEKYMGMWQDDVCQGNGVVVTQFGLYYEGNFHLNK MMGNGVLLSEDDTIYEGEFSDDWTLSGKGTLTMPHGDYIEGYFSGEWGSGIKITGTYFKP SLYESDKDKPKAFRKLGNLAVAADEKWRAVFEECWRQLGCESPGQGEVWKAWDNIAVALT TNRRQHKDSPEILSRSQTQTLESLEYIPQHIGAFSVEKYDDIKKYLIKACDTPLHPLGRL VETLVAVYRMTYVGVGANRRLLQEAVKEIKSYLKRIFQLVRFLFPELPEEGSTIPLSAPL PTGRRSFCTGKSDSRSESPEPGYVVTSSGLLLPVLLPRLYPPLFMLYALDNDREEDIYWE CVLRLNKQPDIALLGFLGVQKKFWPATLSILGESKKVLSTTKDACFASAVECLQQISTTF TPSDKLKVIQQTFEEISQSVLASLQEDFLWSMDDLFPVFLYVVLRARIRNLGSEVHLIED LMDPFLQHGEQGIMFTTLKACYFQIQREKLN >ENSMUSP00000140990.1 pep:known chromosome:GRCm38:1:59215651:59237226:-1 gene:ENSMUSG00000026024.14 transcript:ENSMUST00000160945.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2 description:amyotrophic lateral sclerosis 2 (juvenile) [Source:MGI Symbol;Acc:MGI:1921268] MWGENAAGQCAVANQQYVPEPSPVSISDSETSPSLAVRILQLACGEEHTLALSLSREIWA WGTGCQLGL >ENSMUSP00000127204.1 pep:known chromosome:GRCm38:11:97840780:97843043:1 gene:ENSMUSG00000050538.7 transcript:ENSMUST00000054783.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230217C12Rik description:RIKEN cDNA B230217C12 gene [Source:MGI Symbol;Acc:MGI:1915377] MTPSTLQVPGRGREQEAGGKRSHFSALTDLHACSSHFPGIEGTPLLVLSHAGYFHPHVLC HHRPGGSVLHNLPSLVAWRCRGCEGKDGAEGPSILLADAPVEFCFWSLFGSCVGSSKRPL TTSSNPEAQLISSSVSGCG >ENSMUSP00000066314.4 pep:known chromosome:GRCm38:6:100833622:100869934:1 gene:ENSMUSG00000052144.8 transcript:ENSMUST00000063854.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r2 description:protein phosphatase 4, regulatory subunit 2 [Source:MGI Symbol;Acc:MGI:3027896] MDVERLQEALKDFEKRGKKEVCPVLDQFLCHVAKTGETMIQWSQFKGYFIFKLEKVMDDF RTSAPEPRGPPNPNVEYIPFDEMKERILKIVTGFNGIPFTIQRLCELLTDPRRNYTGTDK FLRGVEKNVMVVSCVCPSSEKNNSNSLNRMNGVMFPGNSPNYTDRSNINGPGTPRPLNRP KLSLSAPLTTNGLPESTDSKDSELQLSEEKGHSDSSASESEVSLLSPVKNKHPDEDAVES EEHEVKRLKFDKEGDVRETASQTVSGEVSSVRAEETETAAPPPDKDRESRTRQHCTEEEE EEEEEEEEEEEESFMTPREMVPERKNQEKESDDALTVNEETSEESHQMEGSGVSPAQTDS TSERSDSAGASRSGSDCLETQESGGPPSSKTGESVSVPSSMESEEAAEVTDDPMEQD >ENSMUSP00000144898.1 pep:known chromosome:GRCm38:6:100852536:100866076:1 gene:ENSMUSG00000052144.8 transcript:ENSMUST00000203940.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r2 description:protein phosphatase 4, regulatory subunit 2 [Source:MGI Symbol;Acc:MGI:3027896] XMDDFRTSAPEPRGPPNPNVEYIPFDEMKERILKIVTGFNGIPFTIQRLCELLTDPRRNY TGTDKFLRGVEKNVMVVSCVCPSSESNINGPGTPRPLNRPKLSLSAPLTTNGLPESTDSK DSELQLSEEKGHSDSSASESEVSLLSPVKNKHPDEDAVESEEHEVKRLKFDKEGDVRETA SQTVSGEVSSVRAEETETAAPPPDKDRESRTRQHCTEEEEEEEEEEEEE >ENSMUSP00000145102.1 pep:known chromosome:GRCm38:6:100852577:100867622:1 gene:ENSMUSG00000052144.8 transcript:ENSMUST00000203580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4r2 description:protein phosphatase 4, regulatory subunit 2 [Source:MGI Symbol;Acc:MGI:3027896] XPNPNVEYIPFDEMKERILKIVTGFNGIPFTIQRLCELLTDPRRNYTGTDKFLRGVEKNV MVVSCVCPSSEKNNSNSLNRMNGVMFPGNSPNYTDSDSSASESEVSLLSPVKNKHPDEDA VESEEHEVKRLKFDKEGDVRETASQTVSGEVSSVRAEETETAAPPPDKDRESRTRQHCTE EEEEEEEEEEEEEEESFMTPREMVPERKNQEKESDDALTVNEETSEESHQMEGSGVSPAQ TDSTSERSDSAGASRSGSDCLETQESGGPPSSKTGESVSVPSSMESEEAAEVTDDPMEQD >ENSMUSP00000084423.2 pep:known chromosome:GRCm38:5:73606642:73632526:-1 gene:ENSMUSG00000067206.3 transcript:ENSMUST00000087177.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc66 description:leucine rich repeat containing 66 [Source:MGI Symbol;Acc:MGI:2387634] MRDFYVRVTILVTGLCFVETVTTPSRKSSVSFNPEYQRNGDLLVNWSSIRHVSQNTDAMD RSFYFFRVLFQPHTQKERHIKPPDRTHHRISKVTLDPLAHLHALEILNLSNKAIHYFSLD QPLPPSSHQKRHGGHSHSRLPRLQVLILQRNQLSGTPKGLWKLKSLRSLDLSFNRIVHIG LSDFHGCLQLESIYLKSNKICTIHPKAFKGLKKLQVVDLRSNALTTLVPIVTIALELPHL ELGLADNQWQCSESNVNFQNITSSSWREIWKAICNMSVENKRPNAETHQIRKSRDTHLLL SPPSDLKSLIQSKAERPQAGMDMHLSALGKEAKDGYGDLRGMWPQSPVELRDSQDEQVTD RKDDKPPALELAICLSVFITFVVAFCLGAFARPYIDRLRQQRCSNKRPGSDNAYSNKGFH GDIEGAQHMEYQGTDLHQTTHHLHLSENQNPSWVAEPIPHSAVQSEQMLGSNGTDPGHQQ SPEQLKDSNESRSGDSIVLPSGPVAHLALHGLPNADAHKAISPVQHHHDFLEEAHYDTVA QEYSLIDDVMDRSSITGPLGTFPSSVESRRDDLHPSQPRDVVASFSKTLAHANTREAEGS METGCPEPLGAMDSQMGSSEERQVSNSIRELATQQPSFQGVDAEERLSHVYSEVLHNDPP SLRPRWGSGHYVIPATGEPVERDAPFDPHYDLVTNYESDSDEGSLFTLSSEGSEDTRSLA EEQASVENDGTSQPLPSRNLGEYKDSVTSAESVEDLTSQRIPEKCEAQEAHLRNTLISGP DSCVCETNQENDSSSLDPENRSTWPQLPGHKLSHHETLGTYGDIEPQSEAVDWHYSLRDL ESPNVDSSPSPPYSDEDLSGPEDRARKRSKHW >ENSMUSP00000120280.1 pep:known chromosome:GRCm38:5:73629676:73630312:-1 gene:ENSMUSG00000067206.3 transcript:ENSMUST00000152215.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc66 description:leucine rich repeat containing 66 [Source:MGI Symbol;Acc:MGI:2387634] MRDFYVRVTILVTGLCFVETVTTPSRKSSVSFNPEYQRNGDLLVNWSSIRHVSQNTDAMD RSFYFFRVLFQPHTQKERHIKPPDRTHHRISKVTLDPLAHLHALEILNLS >ENSMUSP00000102527.1 pep:known chromosome:GRCm38:4:101986626:101990053:1 gene:ENSMUSG00000078625.10 transcript:ENSMUST00000106914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12789 description:predicted gene 12789 [Source:MGI Symbol;Acc:MGI:3649399] MSTYNPPTLLQLALDGVLRKDSIDFSDLEYLPITLFPPLFIKAFNSRHTEIVKKMVATWP FPCLPVGTLLKTAGVEMLQAVLDGIDILLTQNVSLRCKLQVLELRDVHQHFWDVWAGRED EVHSAETKSEKKVGERISRKPPRGVKEYYVHSYQTDWSVQTFCL >ENSMUSP00000102528.3 pep:known chromosome:GRCm38:4:101988116:101990014:1 gene:ENSMUSG00000078625.10 transcript:ENSMUST00000106915.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12789 description:predicted gene 12789 [Source:MGI Symbol;Acc:MGI:3649399] MSTYNPPTLLQLALDGVLRKDSIDFSDLEYLPITLFPPLFIKAFNSRHTEIVKKMVATWP FPCLPVGTLLKTAGVEMLQAVLDGIDILLTQNVSLRCKLQVLELRDVHQHFWDVWAGRED EVHSAETKSEKKVGERISRKPPRGVKEYYVHSYQTDWSVQTFCL >ENSMUSP00000059199.2 pep:known chromosome:GRCm38:4:88879538:88880201:-1 gene:ENSMUSG00000045364.2 transcript:ENSMUST00000056014.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifne description:interferon epsilon [Source:MGI Symbol;Acc:MGI:2667156] MVHRQLPETVLLLLVSSTIFSLEPKRIPFQLWMNRESLQLLKPLPSSSVQQCLAHRKNFL LPQQPVSPHQYQEGQVLAVVHEILQQIFTLLQTHGTMGIWEENHIEKVLAALHRQLEYVE SLGGLNAAQKSGGSSAQNLRLQIKAYFRRIHDYLENQRYSSCAWIIVQTEIHRCMFFVFR FTTWLSRQDPDP >ENSMUSP00000108510.1 pep:known chromosome:GRCm38:X:142681406:142843494:1 gene:ENSMUSG00000047045.17 transcript:ENSMUST00000112889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem164 description:transmembrane protein 164 [Source:MGI Symbol;Acc:MGI:2148020] MHMLNGALLALLFPVVNTRLLPFELEIYYIQHAMLYVVPVYLLWKGGAYTPEPLCNFQWA LLSTGLMFFYHFSFLQILGLVTEVNLNNMLCPAISDPFYGPWYRIWASGHQTLMTMTHGK LVILFSYMAGPLCKYLLDLLRLPAKKID >ENSMUSP00000098759.2 pep:known chromosome:GRCm38:X:142681415:142838238:1 gene:ENSMUSG00000047045.17 transcript:ENSMUST00000101198.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem164 description:transmembrane protein 164 [Source:MGI Symbol;Acc:MGI:2148020] MHMLNGALLALLFPVVNTRLLPFELEIYYIQHAMLYVVPVYLLWKGGAYTPEPLCNFQWA LLSTGLMFFYHFSFLQILGLVTEVNLNNMLCPAISDPFYGPWYRIWASGHQTLMTMTHGK LVILFSYMAGPLCKYLLDLLRLPAKKID >ENSMUSP00000108512.1 pep:known chromosome:GRCm38:X:142681415:142843494:1 gene:ENSMUSG00000047045.17 transcript:ENSMUST00000112891.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem164 description:transmembrane protein 164 [Source:MGI Symbol;Acc:MGI:2148020] MSGSYRHLQVTDAHVEWSSSGIAVPRGKYPAGAYTPEPLCNFQWALLSTGLMFFYHFSFL QILGLVTEVNLNNMLCPAISDPFYGPWYRIWASGHQTLMTMTHGKLVILFSYMAGPLCKY LLDLLRLPAKKID >ENSMUSP00000084591.2 pep:known chromosome:GRCm38:X:142681418:142838238:1 gene:ENSMUSG00000047045.17 transcript:ENSMUST00000087333.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem164 description:transmembrane protein 164 [Source:MGI Symbol;Acc:MGI:2148020] MSRYSYQSLLDWLYGGVDPSFAGNGGPDCAAFLSWQQRLLESVVVLTLALLEILVALRHI LRQKEDGRGGRSSQPQQVTQRPEEGKESLSKNLLLVALCLIFGVEVGFKFATKTVIYLLN PCHLVTMMHIFLLACPPCPGATVIFKLQMHMLNGALLALLFPVVNTRLLPFELEIYYIQH AMLYVVPVYLLWKGGAYTPEPLCNFQWALLSTGLMFFYHFSFLQILGLVTEVNLNNMLCP AISDPFYGPWYRIWASGHQTLMTMTHGKLVILFSYMAGPLCKYLLDLLRLPAKKID >ENSMUSP00000108517.2 pep:known chromosome:GRCm38:X:142681726:142838238:1 gene:ENSMUSG00000047045.17 transcript:ENSMUST00000112896.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem164 description:transmembrane protein 164 [Source:MGI Symbol;Acc:MGI:2148020] MSRYSYQSLLDWLYGGVDPSFAGNGGPDCAAFLSWQQRLLESVVVLTLALLEILVALRHI LRQKEDGRGGRSSQPQQVTQRPEEGKESLSKNLLLVALCLIFGVEVGFKFATKTVIYLLN PCHLVTMMHIFLLACPPCPGATVIFKLQMHMLNGALLALLFPVVNTRLLPFELEIYYIQH AMLYVVPVYLLWKGGAYTPEPLCNFQWALLSTGLMFFYHFSFLQILGLVTEVNLNNMLCP AISDPFYGPWYRIWASGHQTLMTMTHGKLVILFSYMAGPLCKYLLDLLRLPAKKID >ENSMUSP00000138503.1 pep:known chromosome:GRCm38:X:142681726:142843494:1 gene:ENSMUSG00000047045.17 transcript:ENSMUST00000133634.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem164 description:transmembrane protein 164 [Source:MGI Symbol;Acc:MGI:2148020] MSRYSYQSLLDWLYGGVDPSFAGNGGPDCAAFLSWQQRLLESVVVLTLALLEILVALRHI LRQKEDGRGGRSSQPQQVTQRPEEGKESLSKNLLLVALCLIFGVEVGFKFATKTVIYLLN PCHLVTMMHIFLLACPPCPGATVIFKLQMHMLNGALLALLFPVVNTRLLPFELEIYYIQH AMLYVVPVYLLWKGGAYTPEPLCNFQWALLSTGLMFFYHFSFLQILGLVTEVNLNNMLCP AISDPFYGPWYRIWASGHQTLMTMTHGKLVILFSYMAGPLCKYLLDLLRLPAKKID >ENSMUSP00000116443.1 pep:known chromosome:GRCm38:X:142770993:142843494:1 gene:ENSMUSG00000047045.17 transcript:ENSMUST00000151914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem164 description:transmembrane protein 164 [Source:MGI Symbol;Acc:MGI:2148020] XLLALLFPVVNTRLLPFELEIYYIQHAMLYVVPVYLLWKGGAYTPEPLCNFQWALLSTGL MFFYHFSFLQILGLVLFPNHNLKKRAQSGSLRNVKEWSLVLHLSLSPFVKRGGGSSTEGP Q >ENSMUSP00000108509.1 pep:known chromosome:GRCm38:X:142825741:142838238:1 gene:ENSMUSG00000047045.17 transcript:ENSMUST00000112888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem164 description:transmembrane protein 164 [Source:MGI Symbol;Acc:MGI:2148020] MFFYHFSFLQILGLVTEVNLNNMLCPAISDPFYGPWYRIWASGHQTLMTMTHGKLVILFS YMAGPLCKYLLDLLRLPAKKID >ENSMUSP00000043401.7 pep:known chromosome:GRCm38:1:97706048:97770411:-1 gene:ENSMUSG00000040648.14 transcript:ENSMUST00000042509.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k2 description:diphosphoinositol pentakisphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:2142810] MSNSRKMSEPPRFFVGPEDAEINPGNYRRFFHHAEEEEEEEDESPPERQIVVGICSMAKK SKSKPMKEILERISLFKYITVVVFEEEIILNEPVENWPLCDCLISFHSKGFPLDKAVAYA KLRNPFVINDLNMQYLIQDRRDVYSILQAEGILLPRYAILNRDPNNPKECNLIEGEDHVE VNGEVFQKPFVEKPVSAEDHNVYIYYPTSAGGGSQRLFRKIGSRSSVYSPESNVRKTGSY IYEEFMPTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVILNAREKLIA WKVCLAFKQTVCGFDLLRANGQSYVCDVNGFSFVKNSMKYYDDCAKILGNIVMRELAPQF HIPWSIPLEAEDIPIVPTTSGTMMELRCVIAVIRHGDRTPKQKMKMEVRHQKFFDLFEKC DGYKSGKLKLKKPKQLQEVLDIARQLLMELGQNNDSEIEENKSKLEQLKTVLEMYGHFSG INRKVQLTYLPHGCPKTSSEEEDNRREEPSLLLVLKWGGELTPAGRVQAEELGRAFRCMY PGGQGDYAGFPGCGLLRLHSTYRHDLKIYASDEGRVQMTAAAFAKGLLALEGELTPILVQ MVKSANMNGLLDSDSDSLSSCQQRVKARLHEILQKDRDFTAEDYEKLTPSGSISVIKSMH LIKNPVKTCDKVYSLIQSLTSQIRYRMEDPKSADIQLYHSETLELMLRRWSKLEKDFKTK NGRYDISKIPDIYDCIKYDVQHNGSLKLENTMELYRLSKALADIVIPQEYGITKAEKLEI AKGYCTPLVRKIRSDLQRTQDDDTVNKLHPVYSRGVLSPERHVRTRLYFTSESHVHSLLS ILRYGALCDDSKDEQWKRAMDYLNVVNELNYMTQIVIMLYEDPNKDLSSEERFHVELHFS PGAKGCEEDKNLPSGYGYRPASRENEGRRSLKTDDDEPHTSKRDEVDRAVMLFKPLVSEP IHIHRKSPLPRSRKITANEVVSENANYLRTPRNLVEQKQNPTVGFELYSMVPSICPLETL HNALFLKQVDDFLASIASPSTEVLRKVPEMSSMATRSSPGMRRKISLNTYTPTKILPTPP AALKSSKASSKAAAGGPSQAMAPHTSSRKKSINSKTEGHEPKKSTGKKR >ENSMUSP00000108466.1 pep:known chromosome:GRCm38:1:97706048:97770411:-1 gene:ENSMUSG00000040648.14 transcript:ENSMUST00000112845.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k2 description:diphosphoinositol pentakisphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:2142810] MSEPPRFFVGPEDAEINPGNYRRFFHHAEEEEEEEDESPPERQIVVGICSMAKKSKSKPM KEILERISLFKYITVVVFEEEIILNEPVENWPLCDCLISFHSKGFPLDKAVAYAKLRNPF VINDLNMQYLIQDRRDVYSILQAEGILLPRYAILNRDPNNPKECNLIEGEDHVEVNGEVF QKPFVEKPVSAEDHNVYIYYPTSAGGGSQRLFRKIGSRSSVYSPESNVRKTGSYIYEEFM PTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVILNAREKLIAWKVCLA FKQTVCGFDLLRANGQSYVCDVNGFSFVKNSMKYYDDCAKILGNIVMRELAPQFHIPWSI PLEAEDIPIVPTTSGTMMELRCVIAVIRHGDRTPKQKMKMEVRHQKFFDLFEKCDGYKSG KLKLKKPKQLQEVLDIARQLLMELGQNNDSEIEENKSKLEQLKTVLEMYGHFSGINRKVQ LTYLPHGCPKTSSEEEDNRREEPSLLLVLKWGGELTPAGRVQAEELGRAFRCMYPGGQGD YAGFPGCGLLRLHSTYRHDLKIYASDEGRVQMTAAAFAKGLLALEGELTPILVQMVKSAN MNGLLDSDSDSLSSCQQRVKARLHEILQKDRDFTAEDYEKLTPSGSISVIKSMHLIKNPV KTCDKVYSLIQSLTSQIRYRMEDPKSADIQLYHSETLELMLRRWSKLEKDFKTKNGRYDI SKIPDIYDCIKYDVQHNGSLKLENTMELYRLSKALADIVIPQEYGITKAEKLEIAKGYCT PLVRKIRSDLQRTQDDDTVNKLHPVYSRGVLSPERHVRTRLYFTSESHVHSLLSILRYGA LCDDSKDEQWKRAMDYLNVVNELNYMTQIVIMLYEDPNKDLSSEERFHVELHFSPGAKGC EEDKNLPSGYGYRPASRENEGRRSLKTDDDEPHTSKRDEVDRAVMLFKPLVSEPIHIHRK SPLPRSRKITANEEETPLSVSSPEGTGTWLHYTSGVGTGRRRRRSGEQITSSPVSPKSLA FTSSIFGSWQQVVSENANYLRTPRNLVEQKQNPTVGSHCAGLFSTSVLGGSSSAPNLQDY ARTHRKKLTSSGCIDDATRGSAVKRFSISFARHPTNGFELYSMVPSICPLETLHNALFLK QVDDFLASIASPSTEVLRKVPEMSSMATRSSPGMRRKISLNTYTPTKILPTPPAALKSSK ASSKAAAGGPSQAMAPHTSSRKKSINSKTEGHEPKKSTGKKR >ENSMUSP00000139980.1 pep:known chromosome:GRCm38:1:97711434:97716546:-1 gene:ENSMUSG00000040648.14 transcript:ENSMUST00000191556.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k2 description:diphosphoinositol pentakisphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:2142810] XNGFELYSMVPSICPLETLHNALFLKQVDDFLASIASPSTEVLRKVPEMSSMATRSSPGM RRKISLNTYTPTKILPTPPAALKSSKASSKAG >ENSMUSP00000132889.1 pep:known chromosome:GRCm38:1:97707665:97761538:-1 gene:ENSMUSG00000040648.14 transcript:ENSMUST00000171129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppip5k2 description:diphosphoinositol pentakisphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:2142810] MSEPPRFFVGPEDAEINPGNYRRFFHHAEEEEEEEDESPPERQIVVGICSMAKKSKSKPM KEILERISLFKYITVVVFEEEIILNEPVENWPLCDCLISFHSKGFPLDKAVAYAKLRNPF VINDLNMQYLIQDRRDVYSILQAEGILLPRYAILNRDPNNPKECNLIEGEDHVEVNGEVF QKPFVEKPVSAEDHNVYIYYPTSAGGGSQRLFRKIGSRSSVYSPESNVRKTGSYIYEEFM PTDGTDVKVYTVGPDYAHAEARKSPALDGKVERDSEGKEVRYPVILNAREKLIAWKVCLA FKQTVCGFDLLRANGQSYVCDVNGFSFVKNSMKYYDDCAKILGNIVMRELAPQFHIPWSI PLEAEDIPIVPTTSGTMMELRCVIAVIRHGDRTPKQKMKMEVRHQKFFDLFEKCDGYKSG KLKLKKPKQLQEVLDIARQLLMELGQNNDSEIEENKSKLEQLKTVLEMYGHFSGINRKVQ LTYLPHGCPKTSSEEEDNRREEPSLLLVLKWGGELTPAGRVQAEELGRAFRCMYPGGQGD YAGFPGCGLLRLHSTYRHDLKIYASDEGRVQMTAAAFAKGLLALEGELTPILVQMVKSAN MNGLLDSDSDSLSSCQQRVKARLHEILQKDRDFTAEDYEKLTPSGSISVIKSMHLIKNPV KTCDKVYSLIQSLTSQIRYRMEDPKSADIQLYHSETLELMLRRWSKLEKDFKTKNGRYDI SKIPDIYDCIKYDVQHNGSLKLENTMELYRLSKALADIVIPQEYGITKAEKLEIAKGYCT PLVRKIRSDLQRTQDDDTVNKLHPVYSRGVLSPERHVRTRLYFTSESHVHSLLSILRYGA LCDDSKDEQWKRAMDYLNVVNELNYMTQIVIMLYEDPNKDLSSEERFHVELHFSPGAKGC EEDKNLPSGYGYRPASRENEGRRSLKTDDDEPHTSKRDEVDRAVMLFKPLVSEPIHIHRK SPLPRSRKITANEVVSENANYLRTPRNLVEQKQNPTVGFELYSMVPSICPLETLHNALFL KQVDDFLASIASPSTEVLRKVPEMSSMATRSSPGMRRKISLNTYTPTKILPTPPAALKSS KASSKAAAGGPSQAMAPHTSSRKKSINSKTEGHEPKKSTGKKR >ENSMUSP00000139722.1 pep:known chromosome:GRCm38:Y:71692043:71718226:1 gene:ENSMUSG00000100535.1 transcript:ENSMUST00000189315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20870 description:predicted gene, 20870 [Source:MGI Symbol;Acc:MGI:5434226] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000091471.3 pep:known chromosome:GRCm38:11:97853826:97884154:-1 gene:ENSMUSG00000070336.3 transcript:ENSMUST00000093939.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo47 description:F-box protein 47 [Source:MGI Symbol;Acc:MGI:1920223] MASRVNTSFTLIPKQKCRRSNHHSSYFCNTLDSDPQLLSTLGNFKVLPLEILHIILRYLS VKDIGMLSMVSKTVSQHIINYISTSSGSRRLLLQNFHDLDLPGTKEETALLEHYRALGLL FKRCTLLLPTKERLKYIHKILSEVSCFKFSGCSVPLQCLGLSCYGMFLQTLTAGWDELEC HRVYNFLCELTNLSRKMQTVVCNKPGSARKLELRVRLFCRNVLLDHWTHRSDSAFWLTRI LKPWPMVNQARLLYIIFGPTSPHDGQVIWQEMIEGPTDESSLKGLANAIKLLYDTGAKGW TADDVISLVDELSVVPREWLLENNARLLILSGNNICFTFMASKAVNGRAVELARLVVFLA LVCEKELYCMDWTVRMMQKVCKVFSTAAERKSFLQSIANAFACVTMEMLQPVMSGDRDDD DRGFLNLFHLLHAQANFHKEVLYLTMNAISS >ENSMUSP00000115539.1 pep:known chromosome:GRCm38:13:54736671:54749874:-1 gene:ENSMUSG00000069227.4 transcript:ENSMUST00000135343.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprin1 description:G protein-regulated inducer of neurite outgrowth 1 [Source:MGI Symbol;Acc:MGI:1349455] MRDCCSSPKAIPAPPRHALDQSLGMDPRHTSSSGAAEGASCSERPAGSLACPSPNCSPLP ETPRAHGALTSDNSGTTLFGKPEPMSSAEATPTASEIRNPVFSGKMDGNSLKQADSTSTR KEEAGSLRNEESMLKGKAEPMIYGKGEPGTVGRVDCTASGAENSGSLGKVDMPCSSKVDI VSPGGDNAGSLRKVETISSGKMDPKTENVMHSRRERPGSTGEGDLVSLRENDMKPPDNTD SASTKKTDPEFSGKLTPGSSGKTELVSSVTVAPVTSENVNPVCSGGAGPAAVGNSETLSS VKKDPQLLGKKEAVSSGEGGSVSVRMAETVSARQPEGMFPAKTDSTSSNSTGPSGRADPV SLRNSELVSPVKPERLSSGQAERVSLVKTETLSSGKEDPRSSRRVDHTTVTGNMQTSQKG NPESSGKTDLGSSSSGDTRSLGTWGSLSAAKAEVTEGKGDPQPWKKASLPASEKTDPLAS SKAGSASQGKAETVSPGEVDAMTLGKTVPTSSGKTALVSPGKVDLMTSERAEGIPELQAS EKGNPVNSTRVDTGATGSTEPKSGVKVITQIPGATSPGKVETPSLQKEQPQLSEKTDPSR KVDPPTTVEPVSLGKADSASPSPRKAESQTSAKTVPQAPDKATSSLRQSDGTPYSSAQPQ RDTRSIGSLPEREPSASTSQKDLAAAAAQKSPSAEAAAPPPGPRTRDNFTKAPSWDAGAP PPREDAGTQAGAQACVSVAVSPMSPQDGAGGPAFSFQAAPRAPSPAPRPPSRRDAGLQVS LGAAETRSVATGPMTPQAAAPPAVPPVFPEVRVRPGSVLAAALAPQEATEPVRDVSWDEK GMTWEVYGASMEVEVLGMAIQKHLERQIEEHGRQGAPAPAPPPAVRAGPGRAGSVRTAPA EGAAKRPPGLFRALLQSVRRPRCCSRAGPTAE >ENSMUSP00000097106.1 pep:known chromosome:GRCm38:13:54736673:54749669:-1 gene:ENSMUSG00000069227.4 transcript:ENSMUST00000099506.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprin1 description:G protein-regulated inducer of neurite outgrowth 1 [Source:MGI Symbol;Acc:MGI:1349455] MRDCCSSPKAIPAPPRHALDQSLGMDPRHTSSSGAAEGASCSERPAGSLACPSPNCSPLP ETPRAHGALTSDNSGTTLFGKPEPMSSAEATPTASEIRNPVFSGKMDGNSLKQADSTSTR KEEAGSLRNEESMLKGKAEPMIYGKGEPGTVGRVDCTASGAENSGSLGKVDMPCSSKVDI VSPGGDNAGSLRKVETISSGKMDPKTENVMHSRRERPGSTGEGDLVSLRENDMKPPDNTD SASTKKTDPEFSGKLTPGSSGKTELVSSVTVAPVTSENVNPVCSGGAGPAAVGNSETLSS VKKDPQLLGKKEAVSSGEGGSVSVRMAETVSARQPEGMFPAKTDSTSSNSTGPSGRADPV SLRNSELVSPVKPERLSSGQAERVSLVKTETLSSGKEDPRSSRRVDHTTVTGNMQTSQKG NPESSGKTDLGSSSSGDTRSLGTWGSLSAAKAEVTEGKGDPQPWKKASLPASEKTDPLAS SKAGSASQGKAETVSPGEVDAMTLGKTVPTSSGKTALVSPGKVDLMTSERAEGIPELQAS EKGNPVNSTRVDTGATGSTEPKSGVKVITQIPGATSPGKVETPSLQKEQPQLSEKTDPSR KVDPPTTVEPVSLGKADSASPSPRKAESQTSAKTVPQAPDKATSSLRQSDGTPYSSAQPQ RDTRSIGSLPEREPSASTSQKDLAAAAAQKSPSAEAAAPPPGPRTRDNFTKAPSWDAGAP PPREDAGTQAGAQACVSVAVSPMSPQDGAGGPAFSFQAAPRAPSPAPRPPSRRDAGLQVS LGAAETRSVATGPMTPQAAAPPAVPPVFPEVRVRPGSVLAAALAPQEATEPVRDVSWDEK GMTWEVYGASMEVEVLGMAIQKHLERQIEEHGRQGAPAPAPPPAVRAGPGRAGSVRTAPA EGAAKRPPGLFRALLQSVRRPRCCSRAGPTAE >ENSMUSP00000115682.1 pep:known chromosome:GRCm38:11:87568903:87585241:1 gene:ENSMUSG00000020486.18 transcript:ENSMUST00000122945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept4 description:septin 4 [Source:MGI Symbol;Acc:MGI:1270156] MYNRILWWLKDEEIKHFLEDSSDDAELSKFVKDFPGSEPYHSAESKTRVARPQILEPRPQ SPDLCDDDVEFRGSLWPQPSDSQQYFSAPAPLSPSSRPRSPWGKLDPYDSSEDDKEYVGF ATLPNQVHRKSVKKGFDFTLMVAGESGLGKSTLVNSLFLTDLYRDRKLLGAEERIMQTVE ITKHAVDIEEKGVRLRLTIVDTPGFGDAVNNTE >ENSMUSP00000103596.1 pep:known chromosome:GRCm38:11:87578401:87590539:1 gene:ENSMUSG00000020486.18 transcript:ENSMUST00000107962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept4 description:septin 4 [Source:MGI Symbol;Acc:MGI:1270156] MIKHFLEDSSDDAELSKFVKDFPGSEPYHSAESKTRVARPQILEPRPQSPDLCDDDVEFR GSLWPQPSDSQQYFSAPAPLSPSSRPRSPWGKLDPYDSSEDDKEYVGFATLPNQVHRKSV KKGFDFTLMVAGESGLGKSTLVNSLFLTDLYRDRKLLGAEERIMQTVEITKHAVDIEEKG VRLRLTIVDTPGFGDAVNNTECWKPVAEYIDQQFEQYFRDESGLNRKNIQDNRVHCCLYF ISPFGHGLRPLDVEFMKALHQRVNIVPILAKADTLTPPEVDRKKCKIREEIEHFGIKIYQ FPDCDSDEDEDFKLQDQALKESIPFAVIGSNTVVEARGRRVRGRLYPWGIVEVENPGHCD FVKLRTMLVRTHMQDLKDVTRETHYENYRAQCIQSMTRLVVKERNRNKLTRESGTDFPIP AVPPGTDPETEKLIREKDEELRRMQEMLHKIQRQMKETH >ENSMUSP00000112960.1 pep:known chromosome:GRCm38:11:87578424:87590537:1 gene:ENSMUSG00000020486.18 transcript:ENSMUST00000122067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept4 description:septin 4 [Source:MGI Symbol;Acc:MGI:1270156] MDDKEYVGFATLPNQVHRKSVKKGFDFTLMVAGESGLGKSTLVNSLFLTDLYRDRKLLGA EERIMQTVEITKHAVDIEEKGVRLRLTIVDTPGFGDAVNNTECWKPVAEYIDQQFEQYFR DESGLNRKNIQDNRVHCCLYFISPFGHGLRPLDVEFMKALHQRVNIVPILAKADTLTPPE VDRKKCKIREEIEHFGIKIYQFPDCDSDEDEDFKLQDQALKESIPFAVIGSNTVVEARGR RVRGRLYPWGIVEVENPGHCDFVKLRTMLVRTHMQDLKDVTRETHYENYRAQCIQSMTRL VVKERNRKDRSRN >ENSMUSP00000103595.1 pep:known chromosome:GRCm38:11:87578928:87589274:1 gene:ENSMUSG00000020486.18 transcript:ENSMUST00000107961.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept4 description:septin 4 [Source:MGI Symbol;Acc:MGI:1270156] MTHIPWTFLGEEEDDKEYVGFATLPNQVHRKSVKKGFDFTLMVAGESGLGKSTLVNSLFL TDLYRDRKLLGAEERIMQTVEITKHAVDIEEKGVRLRLTIVDTPGFGDAVNNTECWKPVA EYIDQQFEQYFRDESGLNRKNIQDNRVHCCLYFISPFGHGLRPLDVEFMKALHQRVNIVP ILAKADTLTPPEVDRKKCKIREEIEHFGIKIYQFPDCDSDEDEDFKLQDQAL >ENSMUSP00000018544.5 pep:known chromosome:GRCm38:11:87581041:87590539:1 gene:ENSMUSG00000020486.18 transcript:ENSMUST00000018544.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept4 description:septin 4 [Source:MGI Symbol;Acc:MGI:1270156] MDHSLGWQGNSVPEDGTEAGIKHFLEDSSDDAELSKFVKDFPGSEPYHSAESKTRVARPQ ILEPRPQSPDLCDDDVEFRGSLWPQPSDSQQYFSAPAPLSPSSRPRSPWGKLDPYDSSED DKEYVGFATLPNQVHRKSVKKGFDFTLMVAGESGLGKSTLVNSLFLTDLYRDRKLLGAEE RIMQTVEITKHAVDIEEKGVRLRLTIVDTPGFGDAVNNTECWKPVAEYIDQQFEQYFRDE SGLNRKNIQDNRVHCCLYFISPFGHGLRPLDVEFMKALHQRVNIVPILAKADTLTPPEVD RKKCKIREEIEHFGIKIYQFPDCDSDEDEDFKLQDQALKESIPFAVIGSNTVVEARGRRV RGRLYPWGIVEVENPGHCDFVKLRTMLVRTHMQDLKDVTRETHYENYRAQCIQSMTRLVV KERNRNKLTRESGTDFPIPAVPPGTDPETEKLIREKDEELRRMQEMLHKIQRQMKETH >ENSMUSP00000060127.4 pep:known chromosome:GRCm38:11:87581061:87590375:1 gene:ENSMUSG00000020486.18 transcript:ENSMUST00000063156.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept4 description:septin 4 [Source:MGI Symbol;Acc:MGI:1270156] MDHSLGWQGNSVPEDGTEAGDDKEYVGFATLPNQVHRKSVKKGFDFTLMVAGESGLGKST LVNSLFLTDLYRDRKLLGAEERIMQTVEITKHAVDIEEKGVRLRLTIVDTPGFGDAVNNT ECWKPVAEYIDQQFEQYFRDESGLNRKNIQDNRVHCCLYFISPFGHGLRPLDVEFMKALH QRVNIVPILAKADTLTPPEVDRKKCKIREEIEHFGIKIYQFPDCDSDEDEDFKLQDQALK ESIPFAVIGSNTVVEARGRRVRGRLYPWGIVEVENPGHCDFVKLRTMLVRTHMQDLKDVT RETHYENYRAQCIQSMTRLVVKERNRNKLTRESGTDFPIPAVPPGTDPETEKLIREKDEE LRRMQEMLHKIQRQMKETH >ENSMUSP00000103594.1 pep:known chromosome:GRCm38:11:87581061:87590539:1 gene:ENSMUSG00000020486.18 transcript:ENSMUST00000107960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept4 description:septin 4 [Source:MGI Symbol;Acc:MGI:1270156] MDHSLGWQGNSVPEDGTEAGIKHFLEDSSDDAELSKFVKDFPGSEPYHSAESKTRVARPQ ILEPRPQSPDLCDDDVEFRGSLWPQPSDSQQYFSAPAPLSPSSRPRSPWGKLDPYDSSED DKEYVGFATLPNQVHRKSVKKGFDFTLMVAGESGLGKSTLVNSLFLTDLYRDRKLLGAEE RIMQTVEITKHAVDIEEKGVRLRLTIVDTPGFGDAVNNTECWKPVAEYIDQQFEQYFRDE SGLNRKNIQDNRVHCCLYFISPFGHGLRPLDVEFMKALHQRVNIVPILAKADTLTPPEVD RKKCKIREEIEHFGIKIYQFPDCDSDEDEDFKLQDQALKESIPFAVIGSNTVVEARGRRV RGRLYPWGIVEVENPGHCDFVKLRTMLVRTHMQDLKDVTRETHYENYRAQCIQSMTRLVV KERNRKDRSRN >ENSMUSP00000115790.1 pep:known chromosome:GRCm38:11:87582209:87589041:1 gene:ENSMUSG00000020486.18 transcript:ENSMUST00000133202.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept4 description:septin 4 [Source:MGI Symbol;Acc:MGI:1270156] MKLMAMGELRIKHFLEDSSDDAELSKFVKDFPGSEPYHSAESKTRVARPQILEPRPQSPD LCDDDVEFRGSLWPQPSDSQQYFSAPAPLSPSSRPRSPWGKLDPYDSSEDDKEYVGFATL PNQVHRKSVKKGFDFTLMVAGESGLGKSTLVNSLFLTDLYRDRKLLGAEERIMQTVEITK HAVDIEEKGVRLRLTIVDTPGFGDAVNNTECWKPVAEYIDQQFEQYFRDESGLNRKNIQD NRVHCCLYFISPFGHGLRPLDVEFMKALHQRVNIVPILAK >ENSMUSP00000132007.1 pep:known chromosome:GRCm38:X:105499772:105500639:1 gene:ENSMUSG00000090132.2 transcript:ENSMUST00000041758.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cypt2 description:cysteine-rich perinuclear theca 2 [Source:MGI Symbol;Acc:MGI:2676331] MARVAKKVHWSRAATAVAATAKAKRSKLKKTAAKRSKLKKTAAKRFKLNKKRNPRSKLPK RSHHSLIHSFIRSRSCGCCHCCCHCCCLHSRPSYRKSTFKITKKGEQSLRRRIRRRIKRA TELRLMQSHLERSQLKLIELEPSEITVALFSHENVNVSEPQEVPPCLDSDPFPNVDLASF >ENSMUSP00000059173.8 pep:known chromosome:GRCm38:14:77024200:77036641:-1 gene:ENSMUSG00000044350.14 transcript:ENSMUST00000062789.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lacc1 description:laccase (multicopper oxidoreductase) domain containing 1 [Source:MGI Symbol;Acc:MGI:2445077] MAEAVLIDLSGLQLNAQKNCHETLLETLDGIHYHHAPKAKFLCIICCRNASKEKDGEYGL CELEAGNGFSRLAGKFETVSHPCLAASLYTIKQKIDEENLSCIKVIVPEHRKLLMKAYVG QLFTEVYEFEFEDLQGAWRDSLLKPSTGINVTTTQELEDIQHEIETYLRSLPALKGDLTI VTSPLIPDNFLHGFTTRTGGISSVPTLSSLNLFSSSKRRDPKVVVQENVRRLANAAGFNA EKFYRIKTDHASEVWVMGKKEPESYDGIVTNQRGVTITALGADCIPIVFADPVKKACGVA HSGWKGTLLGVAMATVNAMIAEYGCDVEDIIVVLGPSVGSCCFTLPKESAVSFHSLHPSC VRHFDSPRPYVDIRKATRILLERGGILPQNIQDQKEDLDLCTSCHPEKFFSHVRDGLNFG TQIGFISLRE >ENSMUSP00000135628.1 pep:known chromosome:GRCm38:14:77034950:77036081:-1 gene:ENSMUSG00000044350.14 transcript:ENSMUST00000142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lacc1 description:laccase (multicopper oxidoreductase) domain containing 1 [Source:MGI Symbol;Acc:MGI:2445077] MAEAVLIDLSGLQLNAQKNCHETLLETLDGIHYHHAPKAKFLCIICCRNASKEKDGEYGL CELEAGNGFSRLAGKFETVSHPCLAASLYTIKQKIDEENLSCIKVIVPEHRKLLMKAYVG QLFTEVYEFEFEDLQ >ENSMUSP00000034534.5 pep:known chromosome:GRCm38:9:32696022:32757820:1 gene:ENSMUSG00000032035.15 transcript:ENSMUST00000034534.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ets1 description:E26 avian leukemia oncogene 1, 5' domain [Source:MGI Symbol;Acc:MGI:95455] MKAAVDLKPTLTIIKTEKVDLELFPSPDMECADVPLLTPSSKEMMSQALKATFSGFTKEQ QRLGIPKDPRQWTETHVRDWVMWAVNEFSLKGVDFQKFCMSGAALCALGKECFLELAPDF VGDILWEHLEILQKEDVKPYQVNGANPTYPESCYTSDYFISYGIEHAQCVPPSEFSEPSF ITESYQTLHPISSEELLSLKYENDYPSVILQDPLQTDTLQTDYFAIKQEVLTPDNMCLGR ASRGKLGGQDSFESVESYDSCDRLTQSWSSQSSFNSLQRVPSYDSFDYEDYPAALPNHKP KGTFKDYVRDRADLNKDKPVIPAAALAGYTGSGPIQLWQFLLELLTDKSCQSFISWTGDG WEFKLSDPDEVARRWGKRKNKPKMNYEKLSRGLRYYYDKNIIHKTAGKRYVYRFVCDLQS LLGYTPEELHAMLDVKPDAD >ENSMUSP00000051303.6 pep:known chromosome:GRCm38:9:32696049:32755004:1 gene:ENSMUSG00000032035.15 transcript:ENSMUST00000050797.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ets1 description:E26 avian leukemia oncogene 1, 5' domain [Source:MGI Symbol;Acc:MGI:95455] MKAAVDLKPTLTIIKTEKVDLELFPSPDMECADVPLLTPSSKEMMSQALKATFSGFTKEQ QRLGIPKDPRQWTETHVRDWVMWAVNEFSLKGVDFQKFCMSGAALCALGKECFLELAPDF VGDILWEHLEILQKEDVKPYQVNGANPTYPESCYTSDYFISYGIEHAQCVPPSEFSEPSF ITESYQTLHPISSEELLSLKYENDYPSVILQDPLQTDTLQTDYFAIKQEVLTPDNMCLGR ASRGSGPIQLWQFLLELLTDKSCQSFISWTGDGWEFKLSDPDEVARRWGKRKNKPKMNYE KLSRGLRYYYDKNIIHKTAGKRYVYRFVCDLQSLLGYTPEELHAMLDVKPDAD >ENSMUSP00000138951.1 pep:known chromosome:GRCm38:9:32696121:32755275:1 gene:ENSMUSG00000032035.15 transcript:ENSMUST00000184887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ets1 description:E26 avian leukemia oncogene 1, 5' domain [Source:MGI Symbol;Acc:MGI:95455] MKAAVDLKPTLTIIKTEKVDLELFPSPDMECADVPLLTPSSKEMMSQALKATFSGFTKEQ QRLGIPKDPRQWTETHVRDWVMWAVNEFSLKGVDFQKFCMSGAALCALGKECFLELAPDF VGDILWEHLEILQKEDVKPYQVNGANPTYPESCYTSDYFISYGIEHAQCVPPSEFSEPSF ITESYQTLHPISSEELLSLKYENDYPSVILQDPLQTDTLQTDYFAIKQEVLTPDNMCLGR ASRGKLGGQDSFESVESYDSCGQEMGKEEKQT >ENSMUSP00000139107.1 pep:known chromosome:GRCm38:9:32696384:32754428:1 gene:ENSMUSG00000032035.15 transcript:ENSMUST00000184364.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ets1 description:E26 avian leukemia oncogene 1, 5' domain [Source:MGI Symbol;Acc:MGI:95455] MKAAVDLKPTLTIIKTEKVDLELFPSPGKLGGQDSFESVESYDSCDRLTQSWSSQSSFNS LQRVPSYDSFDYEDYPAALPNHKPKGTFKDYVRDRADLNKDKPVIPAAALAGYTGSGPIQ LWQFLLELLTDKSCQSFISWTGDGWEFKLSDPDEVARRWGKRKNKPKMNYEKLSRGLRYY YDKNIIHKTAGKRYVYRFVCDLQSLLGYTPEELHAMLDVKPDAD >ENSMUSP00000097590.2 pep:known chromosome:GRCm38:10:25169090:25200158:-1 gene:ENSMUSG00000039166.15 transcript:ENSMUST00000100012.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap7 description:A kinase (PRKA) anchor protein 7 [Source:MGI Symbol;Acc:MGI:1859150] MGQLCCFPFARDEGKICEKDRREPEDAELVRLSKRLVENAVLKAVQQYLEETQNKKQPGE GNSTKAEEGDRNGDGSDNNRK >ENSMUSP00000043624.7 pep:known chromosome:GRCm38:10:25170122:25299164:-1 gene:ENSMUSG00000039166.15 transcript:ENSMUST00000041984.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap7 description:A kinase (PRKA) anchor protein 7 [Source:MGI Symbol;Acc:MGI:1859150] MPFAAVDIQDDCGSPDVPQANPKRSKEEEEDRGDKNDHVKKRKKAKKDYQPNYFLSIPIT NKKITTGIKVLQNSILQQDKRLTKAMVGDGSFHITLLVMQLLNEDEVNIGTDALLELKPF VEEILEGKHLALPFQGIGTFQGQVGFVKLADGDHVSALLEIAETAKRTFREKGILAGESR TFKPHLTFMKLSKAPMLRKKGVRKIEPGLYEQFIDHRFGEELLYQIDLCSMLKKKQSNGY YHCESSIVIGEKDRREPEDAELVRLSKRLVENAVLKAVQQYLEETQNKKQPGEGNSTKAE EGDRNGDGSDNNRK >ENSMUSP00000135037.1 pep:known chromosome:GRCm38:10:25267370:25296100:-1 gene:ENSMUSG00000039166.15 transcript:ENSMUST00000176258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap7 description:A kinase (PRKA) anchor protein 7 [Source:MGI Symbol;Acc:MGI:1859150] MPFAAVDIQDDCGSPDVPQANPKRSKEEEEDRGDKNDHVKKRKKAKKDYQPNYFLSIPIT NKKITTGIKVLQNSILQQDKRLTKAMVGDGSFHITLLVMQLLNEDEVNIGTDALLELKPF VEEILEGKHLALPFQGIGTFQG >ENSMUSP00000093455.4 pep:known chromosome:GRCm38:10:25279329:25299107:-1 gene:ENSMUSG00000039166.15 transcript:ENSMUST00000095779.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap7 description:A kinase (PRKA) anchor protein 7 [Source:MGI Symbol;Acc:MGI:1859150] MPFAAVDIQDDCGSPDVPQANPKRSKEEEEDRGDKNDHVKKRKKAKKDYQPNYFLSIPIT NKKAQNPLHAWSLWWSKTAFLLAKERGLNQDTEIPSRLLPQGSNDSSLGAQRVTAPKLHP GH >ENSMUSP00000135137.1 pep:known chromosome:GRCm38:10:25283923:25297073:-1 gene:ENSMUSG00000039166.15 transcript:ENSMUST00000177124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap7 description:A kinase (PRKA) anchor protein 7 [Source:MGI Symbol;Acc:MGI:1859150] MLRLAGRCASAGLAAAARAPAVPRAPGPRLRLCAATMERPAVGKLDSGTCEHISGEEEVA RELEPSPVGSLTDMPFAAVDIQDDCGSPDVPQANPKRSKEEEEDRGDKNDHVKKRKKAKK DYQPNY >ENSMUSP00000135433.1 pep:known chromosome:GRCm38:10:25284024:25307870:-1 gene:ENSMUSG00000039166.15 transcript:ENSMUST00000176231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap7 description:A kinase (PRKA) anchor protein 7 [Source:MGI Symbol;Acc:MGI:1859150] MPFAAVDIQDDCGSPDVPQ >ENSMUSP00000112472.1 pep:known chromosome:GRCm38:9:105990461:106096783:-1 gene:ENSMUSG00000032572.7 transcript:ENSMUST00000121963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a4 description:collagen, type VI, alpha 4 [Source:MGI Symbol;Acc:MGI:1915803] MGTWKTFWLIISLAAGLGFVKSQRIVCREASVGDIVFLVHNSINPQHAHSVRNFLYILAN SLQVGRDNIRVGLAQYSDTPTSEFLLSVYHRKGDVLKHIRGLQFKPGGNRMGQALQFILE HHFREGAGSRASQGVPQVAVVVSSGLTEDHIREPAEALRRAGILVYAIGVKDASQAELRE ISSSPKDNFTFFVPNFPGLPGLAQKLRPELCSTLGKAAQYTERESPACSEASPADIVFLV DSSTSIGLQNFQKVKHFLHSVVSGLDVRSDQVQVGLVQYSDNIYPAFPLKQSSLKSAVLD RIRNLPYSMGGTSTGSALEFIRANSLTEMSGSRAKDGVPQIVVLVTDGESSDEVQDVADQ LKRDGVFVFVVGINIQDVQELQKIANEPFEEFLFTTENFSILQALSGTLLQALCSTVERQ MKKSTKTYADVVFLIDTSQGTSQASFQWMQNFISRIIGILEVGQDKYQIGLAQYSDQGHT EFLFNTHKTRNEMVAHIHELLVFQGGSRKTGQGLRFLHRTFFQEAAGSRLLQGVPQYVVV ITSGKSEDEVGEVAQILRKRGVDIVSVGLQDFDRAELEGIGPVVLVSDLQGEDRIRQLML DVNMFIQGSPKPPRVMTDVAKDAVEECLVPVPADLVFLVEDFSSARQPNFQRVVHFLTTT VHSLNIHPDTTRVSLVFYSEKPRLEFSLDMYQSAAQVLRHLDRLTFRARRGRAKAGAALD FLRKEVFLPEKGSRPHRGVQQIAVVIIESPSLDNVSTPASYLRRAGVTIYAAGTQPASES KDLEKIVTYPPWKHAIRLESFLQLSVVGNKLKKKLCPEMLSGMPPLMSFIPESTRQSTQE GCESVEKADIYFLIDGSGSIKPNDFIEMKDFMKEVIKMFHIGPDRVRFGVVQYSDKIISQ FFLTQYASMAGLSAAIDNIQQVGGGTTTGKALSKMVPVFQNTARIDVARYLIVITDGQST DPVAEAAQGLRDIGVNIYAIGVRDANTTELEEIASKKMFFIYEFDSLKSIHQEVIRDICS SENCKSQKADIIFLIDGSESIAPKDFEKMKDFMERMVNQSNIGADEIQIGLLQFSSNPQE EFRLNRYSSKVDMCRAILSVQQMSDGTHTGKALNFTLPFFDSSRGGRPRVHQYLIVITDG VSQDNVAPPAKALRDRNIIIFAIGVGNVQRAQLLEITNDQDKVFQEENFESLQSLEKEIL SEVCSSQGCNIDLSVGVDTSTSSERAQQELRRLLPELMQQLAFLSNISCEAPGQMEPRFR YVVPGSSDQPVFDSGFEKYSDETIQKFLVHQGSVNNRMDVDFLQSLGETAIHLSLAKVKV LLVFTDGLDEDLERLRRTSEFLRSRGLSGLLLIGLGGAHKLEELQELEFGRGFAYRQPLS SSLPSLPSVLLKQLDTIVERTCCNMYAKCYGDDGIRGEPGSRGEQGERGLDGLPGHPGEE GDHGQRGPRGLPGLRGEEGCPGVRGPKGARGFSGEKGNPGEEGVGGLDGEQGDRGAAGPS GEKGSSGSRGLTGLPGPAGPRGEPGLRGDPGDPGIDNLIQGPKGEKGRRGHQGSPGFHGP LGEAGSVGPRGSLGRHGLPGLKGVLGETGELGSRGEPGHPGPQGPRGRQGPPGFFGQKGD PGTQGNPGLPGPSGSKGPDGPRGLKGEVGPAGERGPRGQQGPRGQPGLFGPDGHGYPGRK GRKGEPGFPGYPGVQGEDGNPGRGGEKGAKGIRGKRGNSGFPGLAGTPGDQGPPGKMGTK GSKGLADRTPCEIVDFVRGNCPCSTGISRCPAFPTEVVFTLDMSNDVAPSDFERMRNILL SLLMKLEMCESNCPTGARVAIVSYNTRTDYLVRLSDHRGKAALLQAVRKIPLERSSGSRN LGATMRFVARHVFKRVRSGLLVRKVAVFFQAGRNYDTASVSTATLELHAADIATAVVTFT EEHNLPEAGLVDGPNEFHLFTWETEGQQDVERLASCTLCYDKCRPALGCQLRAPGPQKLD MDLVFLVDSSQGVSRDIYLGALRLVDSVLKDLEVAAQPGTSWHGARAALLTHTTPGFWPG VDQAPVLEYFHLTSHGHRTEMQRQIREAASGLLQGGPALGHALEWTLENVLLTAVLPRRS RVLYAIVASETSIWDREKLRTLSQEAKCKGIALFVLAVGPGVGAQELAELAKVASAPWEQ HLLRLEGVSEAEVAYASRFTEAFLNLLNSGINQYPPPELVKECGGPNRGDTLLHFFTSAK RFSRSQSGTSAAFANDSEALKSQGIFLGERKSRVASVALQEALGSHGKDRADTEDIDQET PAKGRHLGPTHGPCPMGPEEGECLNYVLK >ENSMUSP00000143978.1 pep:known chromosome:GRCm38:5:31452431:31466657:1 gene:ENSMUSG00000062761.7 transcript:ENSMUST00000202061.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp512 description:zinc finger protein 512 [Source:MGI Symbol;Acc:MGI:1917345] MKMRRIKPAATSQVEGAGEKEKERAKGKRNVKQEEDEDYRELPQKKHKLYGRKQRPKAQP HPKPQARRVRKE >ENSMUSP00000075613.4 pep:known chromosome:GRCm38:5:31452435:31481754:1 gene:ENSMUSG00000062761.7 transcript:ENSMUST00000076264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp512 description:zinc finger protein 512 [Source:MGI Symbol;Acc:MGI:1917345] MSSRLGAVTATPGPTSLKQQRSTRIVGAKNNRAQCSIKDNSFQYTIPHEDSLSGSSSASS CEPVSDFTATLRKSTYWMKMRRIKPAATSQVEGAGEKEKERAKGKRNVKQEEDEDYRELP QKKHKLYGRKQRPKAQPHPKPQARRVRKEPPVYAAGSMEEKWYLEIMDKGSVSCPTCQAV GRKTIEGLKKHMENCKQEMFTCHHCGKQLHSLAGMKYHVMANHNSLPILKAGDEVDEPSE RERLRTVLKRMGKLRCMRESCSSTFTSIMGYLYHVRKCGKEASELEKLALKCHHCGKPYR SKAGLAYHMRSEHGPVFFPESEQPDCLKEMSLEAKGGGRVQRRSAKIAVYHLQELASAEL TKEWPKRKVLQDLVPDDRKLKYTRPGLPTFSQEVLHKWKTDIKKYHRIQCPNQGCEAVYS SVSGLKAHLGSCTLGTFVAGKYKCLLCEKEFVSESGVKYHINSVHAEDWFVVNPTTTKSF EKLMKIKQRQQEEEKQRQQHGRRRSLRRQQQPCMEPPESQLEPKAGKEQGGNEELVGPDP EPVPAQPQKAEPAKTTHKRGRK >ENSMUSP00000144433.1 pep:known chromosome:GRCm38:5:31452450:31473456:1 gene:ENSMUSG00000062761.7 transcript:ENSMUST00000201450.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp512 description:zinc finger protein 512 [Source:MGI Symbol;Acc:MGI:1917345] MKTIASFLKRSTSCMGGSSGLKLSLTPNPRLVGSGRSRQSMQQEMFTCHHCGKQLHSLAG MKYHVMANHNSLPILKAGDEVDEPSERERLRTVLKRMGKLRCMRESCSSTFTSIMGYLYH VRKCGKEASELEKLALKCHHCGKPYRSKAGLAYHMRSEHGPVFFPESEQPDCLKEMSLEA KGGGRVQRRSAKIAVYHLQELASAELTKEWPKRKVLQDLVPDDRKL >ENSMUSP00000143860.1 pep:known chromosome:GRCm38:5:31452516:31481749:1 gene:ENSMUSG00000062761.7 transcript:ENSMUST00000202244.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp512 description:zinc finger protein 512 [Source:MGI Symbol;Acc:MGI:1917345] MSSRLGAVTATPGPTSLKQQRSTRIVGAKNNRAQCSIKDNSFQYTIPHEDSLSGSSSASS CEPVSDFTATLRKSTYWMKMRRIKPAATSQVEGAGEKEKERAKGKRNVKQEEDEDYRELP QKKHKLYGRKQRPKAQPHPKPQARRVRKEPPVYAAGSMEEKWYLEIMDKGSVSCPTCQAV GRKTIEGLKKHMENCKQEMFTCHHCGKQLHSLAGMKYHVMANHNSLPILKAGDEVDEPSE RERLRTVLKRMGKLRCMREVFFPESEQPDCLKEMSLEAKGGGRVQRRSAKIAVYHLQELA SAELTKEWPKRKVLQDLVPDDRKLKYTRPGLPTFSQEVLHKWKTDIKKYHRIQCPNQGCE AVYSSVSGLKAHLGSCTLGTFVAGKYKCLLCEKEFVSESGVKYHINSVHAEDWFVVNPTT TKSFEKLMKIKQRQQEEEKQRQQHGRRRSLRRQQQPCMEPPESQLEPKAGKEQGGNEELV GPDPEPVPAQPQKAEPAKTTHKRGRK >ENSMUSP00000143874.1 pep:known chromosome:GRCm38:5:31465603:31472843:1 gene:ENSMUSG00000062761.7 transcript:ENSMUST00000200782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp512 description:zinc finger protein 512 [Source:MGI Symbol;Acc:MGI:1917345] IKPAATSQVEGRKQRPKAQPHPKPQARRVRKEPPVYAAGSMEEKWYLEIMDKGSVSCPTC QAVGRKTIEGLKKHMENCKQEMFTCHHCGKQLHSLAGMKYHVMANHNSLPILKAGDEVDE PSERERLRTVLKRMGKLRCMRESCSSTFTSIMGYLYHVRKCGKEASELEKLALKCHHCGK PYRSKAGLAYHMRSEHGPVFFPESEQPDCLKEMSLEAKGGGRV >ENSMUSP00000081334.5 pep:known chromosome:GRCm38:4:119255414:119259855:1 gene:ENSMUSG00000066058.11 transcript:ENSMUST00000084309.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn19 description:claudin 19 [Source:MGI Symbol;Acc:MGI:3033992] MANSGLQLLGYFLALGGWVGIIASTALPQWKQSSYAGDAIITAVGLYEGLWMSCASQSTG QVQCKLYDSLLALDGHIQSARALMVVAVLLGFVAMVLSVVGMKCTRVGDSNPTAKSRVAI SGGALFLLAGLCTLTAVSWYATLVTQEFFNPSTPVNARYEFGPALFVGWASAGLAMLGGS FLCCTCPEPERANSIPQPYRSGPSTAAREPVVKLPASVKGPLGV >ENSMUSP00000092418.3 pep:known chromosome:GRCm38:4:119255509:119262438:1 gene:ENSMUSG00000066058.11 transcript:ENSMUST00000094823.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn19 description:claudin 19 [Source:MGI Symbol;Acc:MGI:3033992] MANSGLQLLGYFLALGGWVGIIASTALPQWKQSSYAGDAIITAVGLYEGLWMSCASQSTG QVQCKLYDSLLALDGHIQSARALMVVAVLLGFVAMVLSVVGMKCTRVGDSNPTAKSRVAI SGGALFLLAGLCTLTAVSWYATLVTQEFFNPSTPVNARYEFGPALFVGWASAGLAMLGGS FLCCTCPEPERANSIPQPYRSGPSTAAREYV >ENSMUSP00000133344.1 pep:known chromosome:GRCm38:2:164785823:164792487:1 gene:ENSMUSG00000050373.13 transcript:ENSMUST00000174070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx21 description:sorting nexin family member 21 [Source:MGI Symbol;Acc:MGI:1917729] MASRLLHRLRHALASDGPGEAAAGPEAEQFPESSELEDDDAEGLSSRLSGTLSFTSAEDD PDDEDEDDEAGLDSPPSGDGASGEDAERSPPPDGQRSSQLLARQLQDFWKKSRNTLVPQR LLFEVTSANVVKDPPSKYVRSLSSTPSP >ENSMUSP00000134133.1 pep:known chromosome:GRCm38:2:164785991:164793052:1 gene:ENSMUSG00000050373.13 transcript:ENSMUST00000172577.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx21 description:sorting nexin family member 21 [Source:MGI Symbol;Acc:MGI:1917729] MASRLLHRLRHALASDGPGEAAAGPEAEQFPESSELEDDDAEGLSSRLSGTLSFTSAEDD PDDEDEDDEAGLDSPPSGDGASGEDAERSPPPDGQRSSQLLARQLQDFWKKSRNTLVPQR LLFEVTSANVVKDPPSNSTPSP >ENSMUSP00000054137.6 pep:known chromosome:GRCm38:2:164785991:164793810:1 gene:ENSMUSG00000050373.13 transcript:ENSMUST00000056181.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx21 description:sorting nexin family member 21 [Source:MGI Symbol;Acc:MGI:1917729] MASRLLHRLRHALASDGPGEAAAGPEAEQFPESSELEDDDAEGLSSRLSGTLSFTSAEDD PDDEDEDDEAGLDSPPSGDGASGEDAERSPPPDGQRSSQLLARQLQDFWKKSRNTLVPQR LLFEVTSANVVKDPPSKYVLYTLAVMGPGPPDRQPAQISRRYSDFERLHRNLQRQFRGPM SAISFPRKRLRRNFTAETIARRSRAFEQFLGHLQAVPELRQAPDLQDFFVLPELRRAQSL TCTGLYREALALWANAWQLQTQLGTPSGPDRPLLTLAGLAVCHQELEDPGEARACSEKAL QLLGDKRPHPFLAPFLEAHVRLSWRLGLDKRQSEAQLQALQEAGLTSTPPPSLKELLIKE VLD >ENSMUSP00000133914.1 pep:known chromosome:GRCm38:2:164786017:164787632:1 gene:ENSMUSG00000050373.13 transcript:ENSMUST00000152471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx21 description:sorting nexin family member 21 [Source:MGI Symbol;Acc:MGI:1917729] MASRLLHRLRHALASDGPGEAAAGPEAEQFPESSELEDDDAEGLSSRLSGTLSFTSAEDD PDDEDEDDEAGLDSPPSGDGASGEDAERSPPPDGQRSSQLLARQLQDFWKKSRNTLVPQR LLFEVTSANVVKDPPSKYVVSEVPGTLEQRV >ENSMUSP00000134256.1 pep:known chromosome:GRCm38:2:164786794:164792624:1 gene:ENSMUSG00000050373.13 transcript:ENSMUST00000140519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx21 description:sorting nexin family member 21 [Source:MGI Symbol;Acc:MGI:1917729] XRLLFEVTSANVVKDPPSKYVLQALQEAGLTSTPPPSLKELLIKEVLD >ENSMUSP00000029502.7 pep:known chromosome:GRCm38:3:107291230:107312115:1 gene:ENSMUSG00000027896.15 transcript:ENSMUST00000029502.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a4 description:solute carrier family 16 (monocarboxylic acid transporters), member 4 [Source:MGI Symbol;Acc:MGI:2385183] MVKKEKTPSPYTKPLDGGWGWMVVLHFFLVNVFVMGMTKTFAIFFVVFQEEFEGTSEQIG WIGSIMSSLRFSAGPLAAIICDVLGEKATSILGTFLVSGGYVISSWATGIPFLCVTMGLL PGLGSAFLYQVAAVVITKYFKKRLGLSTAIARSGMGLTFLLAPFTKFLIDLYDWTGALIL FGAIILNLVPSSMLLRPIHSQSNNNSDIENKGSILSATEPEASYKTETSKCKETQEPFIK DSTMKKSEQPTTTLTVLGNQSEEFSNRPHRNRPLLMSNGKSHKKKFVSWNCKQKLLDISL FRNPFFYIFTWSFLLSQLAYFIPTFHLVARAKTLGIDVMDASYLVSVAGITETVSQLISG WIADQNWIKKYQYHKSYLILCGVTNLLAPLATTFPLLMAYTILFAIFAGGYLALILPVLV DLSKNSRVHKFLGYASFFAGIAVLSGPPIAGWIYDYTQTYTGSFYFSGTCYILSSVSLFF VPLAERWKRKQSDLLRTTIK >ENSMUSP00000102334.1 pep:known chromosome:GRCm38:3:107291315:107307717:1 gene:ENSMUSG00000027896.15 transcript:ENSMUST00000106723.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a4 description:solute carrier family 16 (monocarboxylic acid transporters), member 4 [Source:MGI Symbol;Acc:MGI:2385183] MVKKEKTPSPYTKPLDGGWGWMVVLHFFLVNVFVMGMTKTFAIFFVVFQEEFEGTSEQIG WIGSIMSSLRFSAGPLAAIICDVLGEKATSILGTFLVSGGYVISSWATGIPFLCVTMGLL PGLGSAFLYQVAAVVITKYFKKRLGLSTAIARSGMGLTFLLAPFTKFLIDLYDWTGALIL FGAIILNLVPSSMLLRPIHSQSNNNSDIENKGSILSATEPEASYKTETSKCKETQEPFIK DSTMKKSEQPTTTLTVLGNQSEEFSNRPHRNRPLLMSNGKSHKKKFVSWNCKQKLLDISL FRNPFFYIFTWSFLLSQLAYFIPTFHLVARAKTLGIDVMDASYLVSVAGITETVSQLISG WIADQNWIKKYQYHKSYLILCGVTNLLAPLATTFPLLMAYTILFAIFAGGYLALILPVLV DLSKNSRVHKFLGYASFFAGIAVLSGPPIADVTHGWTTYLMHMKPLKT >ENSMUSP00000098256.3 pep:known chromosome:GRCm38:14:43818765:43819639:-1 gene:ENSMUSG00000072601.4 transcript:ENSMUST00000100691.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ear1 description:eosinophil-associated, ribonuclease A family, member 1 [Source:MGI Symbol;Acc:MGI:108021] MGPKLLESRLCLLLLLGLVLMLASCLGQTPSQKFAIQHINNNTNLQCNVEMMRINRARRT CKGLNTFLHTSFANAVGVCGNPSGLCSDKRSQNCHNSSSRVHITVCNITSRATNYTQCRY QSRRSLEYYTVACDPRTPQDSPMYPVVPVHLDGTF >ENSMUSP00000136385.1 pep:known chromosome:GRCm38:14:43818913:43875517:-1 gene:ENSMUSG00000072601.4 transcript:ENSMUST00000179200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ear1 description:eosinophil-associated, ribonuclease A family, member 1 [Source:MGI Symbol;Acc:MGI:108021] MGPKLLESRLCLLLLLGLVLMLASCLGQTPSQKFAIQHINNNTNLQCNVEMMRINRARRT CKGLNTFLHTSFANAVGVCGNPSGLCSDKRSQNCHNSSSRVHITVCNITSRATNYTQCRY QSRRSLEYYTVACDPRTPQDSPMYPVVPVHLDGTF >ENSMUSP00000001900.2 pep:known chromosome:GRCm38:5:143316489:143329256:-1 gene:ENSMUSG00000001844.10 transcript:ENSMUST00000001900.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc4 description:zinc finger, DHHC domain containing 4 [Source:MGI Symbol;Acc:MGI:1920131] MDFLVLFLFYLAFLLICVVLICIFTKSQRLKAVVLGGAQVCSRVIPQCLQRAVQTLLHQL FHTRHPTFIVLHLLLQGLVYAEYTCEVFGYCRELEFSLPYLLLPYVLLSVNLVFFTLTCA ANPGTITKANESFLLQVYKFDDVMFPKNSRCPTCDLRKPARSKHCRLCDRCVHRFDHHCV WVNNCIGAWNTRYFLIYLLTLTASAATIATVTAAFLLRLVTVSDLYQETYLDDVGHFQAV DTVFLIQHLFLAFPRIVFLLGFVIVLSMLLAGYLCFALYLAATNQTTNEWYKGDWAWCQR WPLVAWSPSAEPRIHQNIHSHGFRSNLREIFLPATPSYKKKEK >ENSMUSP00000137935.1 pep:known chromosome:GRCm38:5:143316490:143329220:-1 gene:ENSMUSG00000001844.10 transcript:ENSMUST00000159813.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc4 description:zinc finger, DHHC domain containing 4 [Source:MGI Symbol;Acc:MGI:1920131] MDFLVLFLFYLAFLLICVVLICIFTKSQRLKAVVLGGAQVCSRVIPQCLQRAVQTLLHQL FHTRHPTFIVLHLLLQGLVYAEYTCEVFGYCRELEFSLPYLLLPYVLLSVNLVFFTLTCA ANPGTITKANESFLLQVYKFDDVMFPKNSRCPTCDLRKPARSKHCSKCTAGCVTAVCTGS TITVFG >ENSMUSP00000124813.1 pep:known chromosome:GRCm38:5:143316497:143326354:-1 gene:ENSMUSG00000001844.10 transcript:ENSMUST00000161915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc4 description:zinc finger, DHHC domain containing 4 [Source:MGI Symbol;Acc:MGI:1920131] MDFLVLFLFYLAFLLICVVLICIFTKSQRLKAVVLGGAQVCSRVIPQCLQRAVQTLLHQL FHTRHPTFIVLHLLLQGLVYAEYTCEVFGYCRELEFSLPYLLLPYVLLSVNLVFFTLTCA ANPGTITKANESFLLQVYKFDDVMFPKNSRCPTCDLRKPARSKHCRLCDRCVHRFDHHCV WVNNCIGAWNTRYFLIYLLTLTASAATIATVTAAFLLRLVTVSDLYQETYLDDVGHFQAV DTVFLIQHLFLAFPRIVFLLGFVIVLSMLLAGYLCFALYLAATNQTTNEWYKGDWAWCQR WPLVAWSPSAEPRIHQNIHSHGFRSNLREIFLPATPSYKKKEK >ENSMUSP00000124026.1 pep:known chromosome:GRCm38:5:143320437:143329223:-1 gene:ENSMUSG00000001844.10 transcript:ENSMUST00000159941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc4 description:zinc finger, DHHC domain containing 4 [Source:MGI Symbol;Acc:MGI:1920131] MDFLVLFLFYLAFLLICVVLICIFTKSQRLKAVVLGGAQVCSRVIPQCLQRAVQTLLHQL FHTRHPTFIVLHLLLQGLVYAEYTCEVFGYCRELEFSLPYLLLPYVLLSVNLVFFTLTCA ANPGTITKANESFLLQVYKFDDVMFPKNSRCPTCDLRKPARSKHCRLCDRCVHRFDHH >ENSMUSP00000124997.1 pep:known chromosome:GRCm38:5:143320443:143329214:-1 gene:ENSMUSG00000001844.10 transcript:ENSMUST00000162941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc4 description:zinc finger, DHHC domain containing 4 [Source:MGI Symbol;Acc:MGI:1920131] MDFLVLFLFYLAFLLICVVLICIFTKSQRLKAVVLGGAQVCSRVIPQCLQRAVQTLLHQL FHTRHPTFIVLHLLLQGLVYAEYTCEVFGYCRELEFSLPYLLLPYVLLSVNLVFFTLTCA ANPGTITKANESFLLQVYKFDDVMFPKNSRCPTCDLRKPARSKHCRLCDRCVHRFD >ENSMUSP00000124416.1 pep:known chromosome:GRCm38:5:143324133:143329229:-1 gene:ENSMUSG00000001844.10 transcript:ENSMUST00000162358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc4 description:zinc finger, DHHC domain containing 4 [Source:MGI Symbol;Acc:MGI:1920131] MDFLVLFLFYLAFLLICVVLICIFTKSQRLKAVVLGGAQVCSRVIPQCLQRAVQTLLHQL FHTRHPTFIVLHLLLQGLVYAEYTCEVFGYCRELEFSLPYLLLPYVLLSVNLVFFTLTCA ANP >ENSMUSP00000125130.1 pep:known chromosome:GRCm38:5:143324235:143329228:-1 gene:ENSMUSG00000001844.10 transcript:ENSMUST00000162066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc4 description:zinc finger, DHHC domain containing 4 [Source:MGI Symbol;Acc:MGI:1920131] MDFLVLFLFYLAFLLICVVLICIFTKSQRLKAVVLGGAQVCSRVIPQCLQRAVQTLLHQL FHTRHPTFIVLHLLLQGLVYAEYTCEVFG >ENSMUSP00000131618.1 pep:known chromosome:GRCm38:8:21315546:21316481:1 gene:ENSMUSG00000082211.3 transcript:ENSMUST00000168340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15299 description:predicted pseudogene 15299 [Source:MGI Symbol;Acc:MGI:3642780] MKRVKLMSSQGKKTKLFLSPLETQKALLFKRNEDLICYCRTRGCKRRERLNGTCRKGHLM YTLCCR >ENSMUSP00000126797.2 pep:known chromosome:GRCm38:8:21315546:21316387:1 gene:ENSMUSG00000082211.3 transcript:ENSMUST00000167683.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15299 description:predicted pseudogene 15299 [Source:MGI Symbol;Acc:MGI:3642780] MKTLVLLSALALLASQVQADPIQNRDEESKIDEQPGKEDQAVSVSFGDPEGSSLQEESLR DLICYCRTRGCKRRERLNGTCRKGHLMYTLCCR >ENSMUSP00000009740.2 pep:known chromosome:GRCm38:X:134460118:134476490:-1 gene:ENSMUSG00000009596.9 transcript:ENSMUST00000009740.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf7l description:TAF7-like RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1921719] MERGEEAPTEGAPPEGALVEAKAPVIPEAPATDVSTTEEAGSKEPQVPSGPRPEGAGDTC DTRGARGPPTPGRAKSQKTPRQGTARCQTLESAMRSMSVRLECHDVEEQFILRLPPEQAY AVRKIIHSRNAAWKDKLKIDFSPDGHHAVVQVDNVSLPAKLVNLPCVIGSLKTIDRKTFY KTADVSQMLVCSPEGEPHSPPEEPVVSTGPTVIGISEGKAERKKYNWKHGITPPLKNVRK KRFRKTTKKLPDVKQVDEINFSEYTQSPSVEKEVKRLLYSDAEAVSVRWEVVDDDDAKEI ESQGSMPTTPGISQMGGASLSDYDVFREMMGDSGSNSNDVEEKSNEGDDDDDEDEDDEDY GNEKEEEETDNSEEELEKELQAKFNEFSLHEADQDYSSITMAIQKLIFIKEKRLQMIYKK AQRQKELLRKVENLTLKRHFQNVLGKLNIMEKEKCEQIYHLQEQLKCFLKE >ENSMUSP00000108849.2 pep:known chromosome:GRCm38:X:134460558:134474993:-1 gene:ENSMUSG00000009596.9 transcript:ENSMUST00000113223.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf7l description:TAF7-like RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1921719] MSKKPRPAAAAMSVRLECHDVEEQFILRLPPEQAYAVRKIIHSRNAAWKDKLKIDFSPDG HHAVVQVDNVSLPAKLVNLPCVIGSLKTIDRKTFYKTADVSQMLVCSPEVTPPLKNVRKK RFRKTTKKLPDVKQVDEINFSEYTQSPSVEKEVKRLLYSDAEAVSVRWEVVDDDDAKEIE SQGSMPTTPGISQMGGASLSDYDVFREMMGDSGSNSNDVEEKSNEGDDDDDEDEDDEDYG NEKEEEETDNSEEELEKELQAKFNEFSLHEADQDYSSITMAIQKLIFIKEKRLQMIYKKA QRQKELLRKVENLTLKRHFQNVLGKLNIMEKEKCEQIYHLQEQLKCFLKE >ENSMUSP00000122363.1 pep:known chromosome:GRCm38:5:65446844:65493400:-1 gene:ENSMUSG00000037822.12 transcript:ENSMUST00000139122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim14 description:small integral membrane protein 14 [Source:MGI Symbol;Acc:MGI:1915802] MAEGGFDPCECVCSHEHAMRRLINLLRQSQSYCTDTECLRELPGPSSDSGISITVILMAW MVIAMLLFLLRPPNLRGSSLPGKPSSPHSGQDPPAPPVD >ENSMUSP00000113456.1 pep:known chromosome:GRCm38:5:65449803:65493060:-1 gene:ENSMUSG00000037822.12 transcript:ENSMUST00000121661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim14 description:small integral membrane protein 14 [Source:MGI Symbol;Acc:MGI:1915802] MAEGGFDPCECVCSHEHAMRRLINLLRQSQSYCTDTECLRELPGPSSDSGISITVILMAW MVIAMLLFLLRPPNLRGSSLPGKPSSPHSGQDPPAPPVD >ENSMUSP00000040511.5 pep:known chromosome:GRCm38:5:65449804:65492871:-1 gene:ENSMUSG00000037822.12 transcript:ENSMUST00000040532.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smim14 description:small integral membrane protein 14 [Source:MGI Symbol;Acc:MGI:1915802] MAEGGFDPCECVCSHEHAMRRLINLLRQSQSYCTDTECLRELPGPSSDSGISITVILMAW MVIAMLLFLLRPPNLRGSSLPGKPSSPHSAPL >ENSMUSP00000118195.1 pep:known chromosome:GRCm38:5:65460558:65537184:-1 gene:ENSMUSG00000037822.12 transcript:ENSMUST00000149167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim14 description:small integral membrane protein 14 [Source:MGI Symbol;Acc:MGI:1915802] MAEGGFDPCECVCSHEHAMRRLINLLRQSQ >ENSMUSP00000039657.6 pep:known chromosome:GRCm38:3:101576219:101604684:-1 gene:ENSMUSG00000033161.10 transcript:ENSMUST00000036493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1a1 description:ATPase, Na+/K+ transporting, alpha 1 polypeptide [Source:MGI Symbol;Acc:MGI:88105] MGKGVGRDKYEPAAVSEHGDKKGKKAKKERDMDELKKEVSMDDHKLSLDELHRKYGTDLS RGLTPARAAEILARDGPNALTPPPTTPEWVKFCRQLFGGFSMLLWIGAILCFLAYGIRSA TEEEPPNDDLYLGVVLSAVVIITGCFSYYQEAKSSKIMESFKNMVPQQALVIRNGEKMSI NAEDVVVGDLVEVKGGDRIPADLRIISANGCKVDNSSLTGESEPQTRSPDFTNENPLETR NIAFFSTNCVEGTARGIVVYTGDRTVMGRIATLASGLEGGQTPIAEEIEHFIHLITGVAV FLGVSFFILSLILEYTWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKN LEAVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTENQSGVSFDKTSATWFA LSRIAGLCNRAVFQANQENLPILKRAVAGDASESALLKCIEVCCGSVMEMREKYSKIVEI PFNSTNKYQLSIHKNPNASEPKHLLVMKGAPERILDRCSSILLHGKEQPLDEELKDAFQN AYLELGGLGERVLGFCHLLLPDEQFPEGFQFDTDDVNFPVDNLCFVGLISMIDPPRAAVP DAVGKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVNQVNPRDA KACVVHGSDLKDMTSEELDDILRYHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVN DSPALKKADIGVAMGIVGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTL TSNIPEITPFLIFIIANIPLPLGTVTILCIDLGTDMVPAISLAYEQAESDIMKRQPRNPK TDKLVNERLISMAYGQIGMIQALGGFFTYFVILAENGFLPFHLLGIRETWDDRWVNDVED SYGQQWTYEQRKIVEFTCHTAFFVSIVVVQWADLVICKTRRNSVFQQGMKNKILIFGLFE ETALAAFLSYCPGMGAALRMYPLKPTWWFCAFPYSLLIFVYDEVRKLIIRRRPGGWVEKE TYY >ENSMUSP00000122171.2 pep:known chromosome:GRCm38:5:28200819:28245792:-1 gene:ENSMUSG00000044681.18 transcript:ENSMUST00000141601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnpy1 description:canopy FGF signaling regulator 1 [Source:MGI Symbol;Acc:MGI:2442451] MRMNDYQLEDDPVTKQKYFRRYAPRKGDKIYKEYKKFFFYSDAFRPLKFACEAIIEKYED EIFELIAQEANHLADMLCNEKSDLCGTPTNSPEP >ENSMUSP00000113944.1 pep:known chromosome:GRCm38:5:28202449:28210022:-1 gene:ENSMUSG00000044681.18 transcript:ENSMUST00000118882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnpy1 description:canopy FGF signaling regulator 1 [Source:MGI Symbol;Acc:MGI:2442451] MRMNDYQLEDDPVTKQKYFRRYAPRKGDKIYKEYKKFFFYSDAFRPLKFACEAIIEKYED EIFELIAQEANHLADMLCNEKSDLCGTPTNSPEP >ENSMUSP00000112773.1 pep:known chromosome:GRCm38:5:28205460:28237873:-1 gene:ENSMUSG00000044681.18 transcript:ENSMUST00000120068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnpy1 description:canopy FGF signaling regulator 1 [Source:MGI Symbol;Acc:MGI:2442451] MRMNDYQLEDDPVTKQKYFRRYAPRKGDKIYKEYKKFFFYSDAFRPLKFACEAIIEKYED EIFELIAQEANHLADMLCNEKSGTVSDVTRAPSLTYQLQLSLAQLIHRVAWLSYCQPGST QTSGDQTPMPERRATEPHARAFLMTFLLCLCFFVFVCFPKNVGLTLPLIFRAG >ENSMUSP00000113956.1 pep:known chromosome:GRCm38:5:28205768:28210168:-1 gene:ENSMUSG00000044681.18 transcript:ENSMUST00000117098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnpy1 description:canopy FGF signaling regulator 1 [Source:MGI Symbol;Acc:MGI:2442451] MRMNDYQLEDDPVTKQKYFRRYAPRKGDKIYKEYKKFFFYSDAFRPLKFACEAIIEKYED EIFELIAQEANHLADMLCNEKSGTVSDVTRAPSLTYQLQLSLAQLIHRVAWLSYCQPGST QTSGDQTPMPERRATEPHARAFLMTFLLCLCFFVFVCFPKNVGLTLPLIFRAG >ENSMUSP00000116024.1 pep:known chromosome:GRCm38:5:28209245:28210363:-1 gene:ENSMUSG00000044681.18 transcript:ENSMUST00000141196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnpy1 description:canopy FGF signaling regulator 1 [Source:MGI Symbol;Acc:MGI:2442451] MRMNDYQLE >ENSMUSP00000083550.4 pep:known chromosome:GRCm38:7:4706832:4725249:-1 gene:ENSMUSG00000046456.14 transcript:ENSMUST00000086364.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150b description:transmembrane protein 150B [Source:MGI Symbol;Acc:MGI:2679718] MWNYLSLLPVILFLWAIAGIWIVFAIAVVNGSVDLNEGFPFISICGSYAPQSCIFGQVLN IGAALTVWICIVRHHQLRDWGVKTWQNQLILWSGILCALGTSIVGNFQRSPIPQSWSRET HRR >ENSMUSP00000083549.4 pep:known chromosome:GRCm38:7:4715686:4725082:-1 gene:ENSMUSG00000046456.14 transcript:ENSMUST00000086363.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150b description:transmembrane protein 150B [Source:MGI Symbol;Acc:MGI:2679718] MWNYLSLLPVILFLWAIAGIWIVFAIAVVNGSVDLNEGFPFISICGSYAPQSCIFGQVLN IGAALTVWICIVRHHQLRDWGVKTWQNQLILWSGILCALGTSIVGNFQDKNQKPTHLAGA FLAFILGNLYFWLQFFLSWWVKGLPQPGPHWIKSLRLSLCSLSTILIVAMIVLHALHMRS ASAICEWVVAMLLFMLFGFFAVDFSILRGCTLHLHPRLDSSLPQAPSGSPNIQMAQVL >ENSMUSP00000099383.4 pep:known chromosome:GRCm38:2:164792768:164804882:-1 gene:ENSMUSG00000017307.15 transcript:ENSMUST00000103094.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot8 description:acyl-CoA thioesterase 8 [Source:MGI Symbol;Acc:MGI:2158201] MSAPEGLGDAHGDADRGDLSGDLRSVLVTSVLNLEPLDEDLYRGRHYWVPTSQRLFGGQI MGQALVAAAKSVSEDVHVHSLHCYFVRAGDPKVPVLYHVERIRTGASFSVRAVKAVQHGK AIFICQASFQQMQPSPLQHQFSMPSVPPPEDLLDHEALIDQYLRDPNLHKKYRVGLNRVA AQEVPIEIKVVNPPTLTQLQALEPKQMFWVRARGYIGEGDIKMHCCVAAYISDYAFLGTA LLPHQSKYKVNFMASLDHSMWFHAPFRADHWMLYECESPWAGGSRGLVHGRLWRRDGVLA VTCAQEGVIRLKPQVSESKL >ENSMUSP00000017451.6 pep:known chromosome:GRCm38:2:164792768:164804882:-1 gene:ENSMUSG00000017307.15 transcript:ENSMUST00000017451.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot8 description:acyl-CoA thioesterase 8 [Source:MGI Symbol;Acc:MGI:2158201] MSAPEGLGDAHGDADRGDLSGDLRSVLVTSVLNLEPLDEDLYRGRHYWVPTSQRLFGGQI MGQALVAAAKSVSEDVHVHSLHCYFVRAGDPKVPVLYHVERIRTGASFSVRAVKAVQHGK AIFICQASFQQMQPSPLQHQFSMPSVPPPEDLLDHEALIDQYLRDPNLHKKYRVGLNRVA AQEVPIEIKVVNPPTLTQLQALEPKQMFWVRARGYIGEGDIKMHCCVAAYISDYAFLGTA LLPHQSKYKVALEGWCMGGCGVGMGSLL >ENSMUSP00000133718.1 pep:known chromosome:GRCm38:2:164795808:164804881:-1 gene:ENSMUSG00000017307.15 transcript:ENSMUST00000134611.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acot8 description:acyl-CoA thioesterase 8 [Source:MGI Symbol;Acc:MGI:2158201] MSAPEGLGDAHGDADRGDLSGDLRSVLVTSVLNLEPLDEDLYRGPESASAVPRREDTDRS QLLSARREGCAAWQGHLHLPGLLPADAAQPAAAPVLHALRAPARRPAGSRGPH >ENSMUSP00000114234.1 pep:known chromosome:GRCm38:4:107889813:107899384:1 gene:ENSMUSG00000028608.15 transcript:ENSMUST00000135454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610037L13Rik description:RIKEN cDNA 0610037L13 gene [Source:MGI Symbol;Acc:MGI:1921348] MGKIALQLKATLENVTNLRPVGEDFRWYLKMKCGNCGEISEKWQYIRLMDSVALKGGRGS ASMVQKCKLCARENSIDILSSTIKAYNAEDNEKFKTIVEFECRGLEPVDFQPQAGFAADG VESGTVFSDINLQEKDWTDYDEKAQESVGIFEVTHQFVKC >ENSMUSP00000102337.3 pep:known chromosome:GRCm38:4:107889825:107896980:1 gene:ENSMUSG00000028608.15 transcript:ENSMUST00000106726.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610037L13Rik description:RIKEN cDNA 0610037L13 gene [Source:MGI Symbol;Acc:MGI:1921348] MGKIALQLKATLENVTNLRPVGEDFRWYLKMKCGNCGEISEKWQYIRLMDSVALKGGRGS ASMVQKCKLCARENSIDILSSTIKAYNAEDNEKFKTIVEFECRGLEPVDFQPQDWTDYDE KAQESVGIFEVTHQFVKC >ENSMUSP00000102338.3 pep:known chromosome:GRCm38:4:107889863:107897118:1 gene:ENSMUSG00000028608.15 transcript:ENSMUST00000106727.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610037L13Rik description:RIKEN cDNA 0610037L13 gene [Source:MGI Symbol;Acc:MGI:1921348] MGKIALQLKATLENVTNLRPVGEDFRWYLKMKCGNCGEISEKWQYIRLMDSVALKGGRGS ASMVQKCKLCARENSIDILSSTIKAYNAEDNEKFKTIVEFECRGLEPVDFQPQAGFAADG VESGTVFSDINLQEKAHVFSSYNCFLQEGTQVWENDMIFLVRRPRDGV >ENSMUSP00000113991.1 pep:known chromosome:GRCm38:4:107889866:107895861:1 gene:ENSMUSG00000028608.15 transcript:ENSMUST00000119394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610037L13Rik description:RIKEN cDNA 0610037L13 gene [Source:MGI Symbol;Acc:MGI:1921348] MGKIALQLKATLENVTNLRPVGEDFRWYLKMKCGNCGEISEKWQYIRLMDSVALKGGRGS ASMVQKCKLCARENSIDILSSTIKAYNAEDNEKFKTIVEFECRGLEPVDFQPQAGFAADG VESGTVFSDINLQEKAHVFSSYNCFLQVHTKNL >ENSMUSP00000113866.1 pep:known chromosome:GRCm38:4:107889869:107895346:1 gene:ENSMUSG00000028608.15 transcript:ENSMUST00000120473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610037L13Rik description:RIKEN cDNA 0610037L13 gene [Source:MGI Symbol;Acc:MGI:1921348] MGKIALQLKATLENVTNLRPVGEDFRWYLKMKCGNCGEISEKWQYIRLMDSVALKGGRGS ASMVQKCKLCARENSIDILSSTIKAYNAEDNEKFKTIVEFECRGLEPVDFQPQAGFAADG VESGTVFSDINLQEKVRLGEPVRCSMVPGGNSFLR >ENSMUSP00000117717.1 pep:known chromosome:GRCm38:4:107889898:107897118:1 gene:ENSMUSG00000028608.15 transcript:ENSMUST00000132417.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:0610037L13Rik description:RIKEN cDNA 0610037L13 gene [Source:MGI Symbol;Acc:MGI:1921348] MEGVRLGWRGLSREGRGGAWHAAERTRPCTQRVPPSSQKIALQLKATLENVTNLRPVGED FRWYLKMKCGNCGEISEKWQYIRLMRGAERRPRQCLHGPEVQAMCTGKLY >ENSMUSP00000119565.1 pep:known chromosome:GRCm38:4:107889899:107897802:1 gene:ENSMUSG00000028608.15 transcript:ENSMUST00000125107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610037L13Rik description:RIKEN cDNA 0610037L13 gene [Source:MGI Symbol;Acc:MGI:1921348] MEGVRLGWRGLSREGRGGAWHAAERTRPCTQRVPPSSQKIALQLKATLENVTNLRPVGED FRWYLKMKCGNCGEISEKWQYIRLMDSVALKGGRGSASMVQKCKLCARENSIDILSSTIK AYNAEDNEKFKTIVEFECRGLEPVDFQPQAGFAADGVESGTVFSDINLQEKDWTDYDEKA QESVGIFEVTHQFVKC >ENSMUSP00000115797.1 pep:known chromosome:GRCm38:4:107889908:107892003:1 gene:ENSMUSG00000028608.15 transcript:ENSMUST00000128474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610037L13Rik description:RIKEN cDNA 0610037L13 gene [Source:MGI Symbol;Acc:MGI:1921348] MEGKIALQLKATLENVTNLRPVGEDFRWYLKMKCGNCGEISEKWQYIRLMDSVALKGGRG SASMVQ >ENSMUSP00000119309.1 pep:known chromosome:GRCm38:4:107893370:107897118:1 gene:ENSMUSG00000028608.15 transcript:ENSMUST00000134254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610037L13Rik description:RIKEN cDNA 0610037L13 gene [Source:MGI Symbol;Acc:MGI:1921348] FECRGLEPVDFQPQAGFAADGVESGTVFSDINLQEKEGTQVWENDMIFLVRRPRDGV >ENSMUSP00000101275.1 pep:known chromosome:GRCm38:4:152274232:152285337:1 gene:ENSMUSG00000042804.13 transcript:ENSMUST00000105650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr153 description:G protein-coupled receptor 153 [Source:MGI Symbol;Acc:MGI:1916157] MSDERRLPSSAVGWLACGGLSLLANAWGILSVGAKQKKWKPLEFLLCTLAATHMLNVAVP IATYAVVQLRRQRPDYEWNEGLCKVFVSTFYTLTLATCFSVTSISYHRMWMVRWPVNYRL SNAKKQAVHTVMGIWMVSFILSALPAVGWHDTSERFYTHGCRFIVAEIGLGFGVCFLLLV GGSVAMGMVCTAIALFQTLATQVGHRADRRTFTVPTIVVEDAQGKRRSSIDGSEPARTSL QITGLVATIVVIYDCLMGFPVLVVSFSSLRADASAPWMALCVLWCSVTQALLLPLFLWTC DRYRADLKAVWEKCVALMANDEDSDNETSLEGSISPDMVLERSLDYSYGGDFVALDRMAK YELSALEGGLPQLYPLRPLQEDRMQYLQVPPTRRFSHDDADVWAAVPLPTFLPRWSSGED LAALAHLMLPAGSDRRRGSLLAFAEDAPPFRPRRRSAESLLSLQPSSLDGGPRHAQDSPP GSPRRRPGPGARSASVSLLPDAFALTAFEREPQALRRVPAPAQPFPAARDSAEPAEVPTP PGGRTQRSQGRRAARTHVGPLQSSLSASWGEPGGLHAAGCGSISSFLSSPSESSGYVTLH SDSLGSAS >ENSMUSP00000052742.7 pep:known chromosome:GRCm38:4:152279012:152285337:1 gene:ENSMUSG00000042804.13 transcript:ENSMUST00000055754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr153 description:G protein-coupled receptor 153 [Source:MGI Symbol;Acc:MGI:1916157] MSDERRLPSSAVGWLACGGLSLLANAWGILSVGAKQKKWKPLEFLLCTLAATHMLNVAVP IATYAVVQLRRQRPDYEWNEGLCKVFVSTFYTLTLATCFSVTSISYHRMWMVRWPVNYRL SNAKKQAVHTVMGIWMVSFILSALPAVGWHDTSERFYTHGCRFIVAEIGLGFGVCFLLLV GGSVAMGMVCTAIALFQTLATQVGHRADRRTFTVPTIVVEDAQGKRRSSIDGSEPARTSL QITGLVATIVVIYDCLMGFPVLVVSFSSLRADASAPWMALCVLWCSVTQALLLPLFLWTC DRYRADLKAVWEKCVALMANDEDSDNETSLEGSISPDMVLERSLDYSYGGDFVALDRMAK YELSALEGGLPQLYPLRPLQEDRMQYLQGAGRHRCGFPGGQPCFSPAGCSQVPPTRRFSH DDADVWAAVPLPTFLPRWSSGEDLAALAHLMLPAGSDRRRGSLLAFAEDAPPFRPRRRSA ESLLSLQPSSLDGGPRHAQDSPPGSPRRRPGPGARSASVSLLPDAFALTAFEREPQALRR VPAPAQPFPAARDSAEPAEVPTPPGGRTQRSQGRRAARTHVGPLQSSLSASWGEPGGLHA AGCGSISSFLSSPSESSGYVTLHSDSLGSAS >ENSMUSP00000101276.1 pep:known chromosome:GRCm38:4:152274362:152285337:1 gene:ENSMUSG00000042804.13 transcript:ENSMUST00000105651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr153 description:G protein-coupled receptor 153 [Source:MGI Symbol;Acc:MGI:1916157] MSDERRLPSSAVGWLACGGLSLLANAWGILSVGAKQKKWKPLEFLLCTLAATHMLNVAVP IATYAVVQLRRQRPDYEWNEGLCKVFVSTFYTLTLATCFSVTSISYHRMWMVRWPVNYRL SNAKKQAVHTVMGIWMVSFILSALPAVGWHDTSERFYTHGCRFIVAEIGLGFGVCFLLLV GGSVAMGMVCTAIALFQTLATQVGHRADRRTFTVPTIVVEDAQGKRRSSIDGSEPARTSL QITGLVATIVVIYDCLMGFPVLVVSFSSLRADASAPWMALCVLWCSVTQALLLPLFLWTC DRYRADLKAVWEKCVALMANDEDSDNETSLEGSISPDMVLERSLDYSYGGDFVALDRMAK YELSALEGGLPQLYPLRPLQEDRMQYLQGAGRHRCGFPGGQPCFSPAGCSQVPPTRRFSH DDADVWAAVPLPTFLPRWSSGEDLAALAHLMLPAGSDRRRGSLLAFAEDAPPFRPRRRSA ESLLSLQPSSLDGGPRHAQDSPPGSPRRRPGPGARSASVSLLPDAFALTAFEREPQALRR VPAPAQPFPAARDSAEPAEVPTPPGGRTQRSQGRRAARTHVGPLQSSLSASWGEPGGLHA AGCGSISSFLSSPSESSGYVTLHSDSLGSAS >ENSMUSP00000058678.4 pep:known chromosome:GRCm38:17:37795435:37796375:1 gene:ENSMUSG00000045202.4 transcript:ENSMUST00000054748.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr123 description:olfactory receptor 123 [Source:MGI Symbol;Acc:MGI:2177506] MINSSVSSDFILVGFSDQPQLERRLFIVVLISYLLTLVGNTIIILISSIDSKLKTPMYFF LTHLSFVDICFTTSIVPQLLWNLKGPAKTITAVGCAVQLYVSLTLGSTECILLAVMAFDR YAAVCKPLHYVAVMNPQLCRALAGISWLSGIGNALIQGTITLWLPRCGHLWLHHFFCEVP SMIKLACVDIHANEVQLFVASLVLLLLPLALILTSYGHIAKAVIRIKSSQAWRRALGTCG SHLMVVSLFYGSITAIYIQPNSSYAHTHGKFISLFYTVMTPTLNPLIYTLRNKEVKGALG RLFNRASGV >ENSMUSP00000096489.3 pep:known chromosome:GRCm38:8:21325887:21327020:-1 gene:ENSMUSG00000074437.5 transcript:ENSMUST00000098890.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa-rs1 description:defensin, alpha, related sequence 1 [Source:MGI Symbol;Acc:MGI:94881] MKTLVLLSALVLLAFQVQADPIQNTDEETKTEEQPEEEDQAVSVSFGGTEGSALQDVAQR RFPWCRKCRVCQKCQVCQKCPVCPTCPQCPKQPLCEERQNKTAITTQAPNTQHKGC >ENSMUSP00000050544.4 pep:known chromosome:GRCm38:17:37805069:37806513:1 gene:ENSMUSG00000044985.5 transcript:ENSMUST00000053599.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr124 description:olfactory receptor 124 [Source:MGI Symbol;Acc:MGI:2177507] MWINNQSSVDDFILLGFSDRPWLETPLFVIFLVAYIFALFGNISIILVSRLDPQLDSPMY FFVSNLSLLDLCYTTSTVPQMLVNLRGPEKTISYGGCVAQLYIFLALGSTECILLAIMAF DRFAAICRPLHYPIIMNQKRCIHMATGTWISGFANSLVQSTLTVVAPRCGQRVIDHFFCE VPALLKLACTDTSVNEAELNVLGALLLLVPLSLILGTYVFIAQAVLKLRSAESRRKAFNT CASHLLVVSLFYFTAISMYVQPPSSYSHERGKIMALFYGIVTPTLNPFIYTLRNKDVKAA LRRALTKEFWVKARQ >ENSMUSP00000125300.1 pep:known chromosome:GRCm38:7:130532526:130547381:-1 gene:ENSMUSG00000040331.16 transcript:ENSMUST00000160289.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmce4a description:non-SMC element 4 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915122] MSGDSSGRRSEGRGRGRDPHRDRTRSRSRSRSPLARRGAAPERREAAERPSLEDSDLSDS GDELMDPACLEAEYDQGLCRQIRHQYRALINSVQQNREDILNAGDKLTEVLEEANTLFNE VSRAREAVLDAQFLVLASDLGKEKAKQLRSDLSSFDMLRYVETLLTHMGVNPLEAQEVIR DEDRADIELIVYDSWKISGKTAENTFNKTHTFHFLLGSIHGEFPVPKPRSDRPRQPRMIE EQRAMPAQLKCMEESHQEATEKEVERILGLLQTYFREDPDTPMSFFDFVVDPHSFPRTVE NIFHVSFIIRDGFARIRLDQDRLPIIEPVINEESEGIDHNIQIRSQGIIALSYHDWEEIV KTFEISEPVIPLSQSQQRLSA >ENSMUSP00000124794.1 pep:known chromosome:GRCm38:7:130536983:130545954:-1 gene:ENSMUSG00000040331.16 transcript:ENSMUST00000161076.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nsmce4a description:non-SMC element 4 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915122] XNAGDKLTEVLEEANTLFNEDFVSSLPTCSGHSLGVTAAQCHEQERQFWMPSFLFWLQTW AKRKPNSCALT >ENSMUSP00000055221.4 pep:known chromosome:GRCm38:17:37834911:37836028:1 gene:ENSMUSG00000050613.4 transcript:ENSMUST00000050255.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr125 description:olfactory receptor 125 [Source:MGI Symbol;Acc:MGI:2177508] MNVSFKTGFLLMGFSDERNLQILHSVLFLITYLLAIMGNLLIITIITLDQRLHSPMYYFL KHLSFLDLCFISVTVPQSIANSLMDNGFISLGQCMLQVFFFIALASSEVAILTVMSYDRY VAICRPLQYETIMDPHACKCAVIAVWMAGGLSGLLHTGVNFSIPLCGKRIIHQFFCDIPQ MLKLACSYEFINEIAVAAFTTSTAFVCLIAIVFSYTQIFSTVMRIPSADSRTKVFSTCLP HLFVVMFFLSAAGFEFLRPPSDSLSAMDLIFSIFYTVIPPTLNPLIYSLRNEAMKAALRK VLSKEEFSRRMVYVKAIFNL >ENSMUSP00000121753.1 pep:known chromosome:GRCm38:9:96682770:96752831:-1 gene:ENSMUSG00000040433.16 transcript:ENSMUST00000152594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb38 description:zinc finger and BTB domain containing 38 [Source:MGI Symbol;Acc:MGI:2442866] MTVMSLSRDLKDDFHSDTVLSILNEQRIRGILCDVTIIVEDTKFKAHSNVLAASSLYFKN IFWSHTICISSHVLELDDLKAEVFTEILNYIYSSTVVVKRQETVTDLAAAGKKLGISFLE DLSDRNFSNSPGPYVVCITEKGVVKEEKNEKRHEEPAVTNGPRITNAFSIIETENSNNMF SPLDLRASFKKVSDSMRTASLCQERASVCHEVEPVRTLAEHSYAVSSITEAYRSQPPREQ DSSSSAKTGKETGDALATKAKPCRKPKPQTQDSDSTTENMPLSLVTCPEVNQERSPQPAP DLSHSEPPSNEGDIHFPREDENQPSDAPGPGAAEVPPLVYNCSCCSKSFDSSTLLSAHMQ LHKPTQEPFVCKYCNKQFTTLNRLDRHEQICMRSSHVPIPGGNPPFLENYPTIGQDGTSF RSPESLGPENRIGELSSAGSALSDADHMVKFVNGQMLYSCIVCKRSYVTLSSLRRHANVH SWRRTYPCHYCNKVFALAEYRTRHEIWHTGERRYQCIFCLETFMTYYILKNHQKSFHAID HRLSINKKTANGGLKPTVYPYKLYRLLPMRCKRAPYKSYRNSSYVSAQGSSQRGESAPDT FIVPNLQSSEMPTLDFQDGRNTLPSSPAVPVETPSRQGAPTSARVKNAEGIKWRKQAVKT NLVDNFDSTEVSVSSTGNTVSATLQTEPACVSSVGKGSEHSASVISYSGSVPSVIVHSSQ FSSVIKHSNTIASLTNSNHKSPSQPVVSPSLIKDSKPETDKASKPASRPKSIKEKKKTAP SNRGEITEEAKYIADHGGSSGKTTNAEETSKIETYIAKPALPGTSTNSNVAPLCQITVKI GNEAIVKRHILGSKLFYKRGRKPKYQMQEETLPRENDPETPGDSPLGLCQAECVEMSEAF DEVSDQDSTDKPWRPYYNYKPKKKSKQLRKMRKVKWRKERRSRSPVGRCRYPAELDRAEV KLPPDKAFEEEEEEEENKEMPKLQCELCDGCPDGAAGAGAEGKPHQHLTSKPYICELCAK QFQSSSTLKMHMRCHTGEKPYQCKTCGRCFSVQGNLQKHERIHLGVKEFICQYCNKAFTL NETLKIHERIHTGEKRYHCQFCFQGFLYLSTKRNHERRHIREHDGKGFACFQCPKICKTA AALRMHQKKHLYKTLPKQEETGDTCHENADLLESQLCTDSEDSEQKDDIKAFAENVL >ENSMUSP00000114300.2 pep:known chromosome:GRCm38:9:96686258:96719850:-1 gene:ENSMUSG00000040433.16 transcript:ENSMUST00000126066.7 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Zbtb38 description:zinc finger and BTB domain containing 38 [Source:MGI Symbol;Acc:MGI:2442866] MTVMSLSRDLKDDFHSDTVLSILNEQRIRGILCDVTIIVEDTKFKAHSNVLAASSLYFKN IFWSHTICISSHVLELDDLKAEVFTEILNYIYSSTVVVKRQETVTDLAAAGKKLGISFLE DLSDRNFSNSPGPYVVCITEKGVVKEEKNEKRHEEPAVTNGPRITNAFSIIETENSNNMF SPLDLRASFKKVSDSMRTASLCQERASVCHEVEPVRTLAEHSYAVSSITEAYRSQPPREQ DSSSSAKTGKETGDALATKAKPCRKPKPQTQDSDSTTENMPLSLVTCPEVNQERSPQPAP DLSHSEPPSNEGDIHFPREDENQPSDAPGPGAAEVPPLVYNCSCCSKSFDSSTLLSAHMQ LHKPTQEPFVCKYCNKQFTTLNRLDRHEQICMRSSHVPIPGGNPPFLENYPTIGQDGTSF RSPESLGPENRIGELSSAGSALSDADHMVKFVNGQMLYSCIVCKRSYVTLSSLRRHANVH SWRRTYPCHYCNKVFALAEYRTRHEIWHTGERRYQCIFCLETFMTYYILKNHQKSFHAID HRLSINKKTANGGLKPTVYPYKLYRLLPMRCKRAPYKSYRNSSYVSAQGSSQRGESAPDT FIVPNLQSSEMPTLDFQDGRNTLPSSPAVPVETPSRQGAPTSARVKNAEGIKWRKQAVKT NLVDNFDSTEVSVSSTGNTVSATLQTEPACVSSVGKGSEHSASVISYSGSVPSVIVHSSQ FSSVIKHSNTIASLTNSNHKSPSQPVVSPSLIKDSKPETDKASKPASRPKSIKEKKKTAP SNRGEITEEAKYIADHGGSSGKTTNAEETSKIETYIAKPALPGTSTNSNVAPLCQITVKI GNEAIVKRHILGSKLFYKRGRKPKYQMQEETLPRENDPETPGDSPLGLCQAECVEMSEAF DEVSDQDSTDKPWRPYYNYKPKKK >ENSMUSP00000120040.1 pep:known chromosome:GRCm38:9:96687398:96720300:-1 gene:ENSMUSG00000040433.16 transcript:ENSMUST00000140121.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb38 description:zinc finger and BTB domain containing 38 [Source:MGI Symbol;Acc:MGI:2442866] MTVMSLSRDLKDDFHSDTVLSILNEQRIRGILCDVTIIVEDTKFKAHSNVLAASSLYFKN IFWSHTICISSHVLELDDLKAEVFTEILNYIYSSTVVVKRQETVTDLAAAGKKLGISFLE DLSDRNFSNSPGPYVVCITEKGVVKEEKNEKRHEEPAVTNGPRITNAFSIIETENSNNMF SPLDLRASFKKVSDSMRTASLCQERASVCHEVEPVRTLAEHSYAVSSITEAYRSQPPREQ DSSSSAKTGKETGDALATKAKPCRKPKPQTQDSDSTTENMPLSLVTCPEVNQERSPQPAP DLSHSEPPSNEGDIHFPREDENQPSDAPGPGAAEVPPLVYNCSCCSKSFDSSTLLSAHMQ LHKPTQEPFVCKYCNKQFTTLNRLDRHEQICMRSSHVPIPGGNPPFLENYPTIGQDGTSF RSPESLGPENRIGELSSAGSALSDADHMVKFVNGQMLYSCIVCKRSYVTLSSLRRHANVH SWRRTYPCHYCNKVFALAEYRTRHEIWHTGERRYQCIFCLETFMTYYILKNHQKSFHAID HRLS >ENSMUSP00000121871.1 pep:known chromosome:GRCm38:9:96688477:96719565:-1 gene:ENSMUSG00000040433.16 transcript:ENSMUST00000128269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb38 description:zinc finger and BTB domain containing 38 [Source:MGI Symbol;Acc:MGI:2442866] MTVMSLSRDLKDDFHSDTVLSILNEQRIRGILCDVTIIVEDTKFKAHSNVLAASSLYFKN IFWSHTICISSHVLELDDLKAEVFTEILNYIYSSTVVVKRQETVTDLAAAGKKLGISFLE DLSDRNFSNSPGPYVVCITEKGVVKEEKNEKRHEEPAVTNGPRITNAFSIIETENSNNMF SPLD >ENSMUSP00000091315.2 pep:known chromosome:GRCm38:9:96685423:96731675:-1 gene:ENSMUSG00000040433.16 transcript:ENSMUST00000093798.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb38 description:zinc finger and BTB domain containing 38 [Source:MGI Symbol;Acc:MGI:2442866] MTVMSLSRDLKDDFHSDTVLSILNEQRIRGILCDVTIIVEDTKFKAHSNVLAASSLYFKN IFWSHTICISSHVLELDDLKAEVFTEILNYIYSSTVVVKRQETVTDLAAAGKKLGISFLE DLSDRNFSNSPGPYVVCITEKGVVKEEKNEKRHEEPAVTNGPRITNAFSIIETENSNNMF SPLDLRASFKKVSDSMRTASLCQERASVCHEVEPVRTLAEHSYAVSSITEAYRSQPPREQ DSSSSAKTGKETGDALATKAKPCRKPKPQTQDSDSTTENMPLSLVTCPEVNQERSPQPAP DLSHSEPPSNEGDIHFPREDENQPSDAPGPGAAEVPPLVYNCSCCSKSFDSSTLLSAHMQ LHKPTQEPFVCKYCNKQFTTLNRLDRHEQICMRSSHVPIPGGNPPFLENYPTIGQDGTSF RSPESLGPENRIGELSSAGSALSDADHMVKFVNGQMLYSCIVCKRSYVTLSSLRRHANVH SWRRTYPCHYCNKVFALAEYRTRHEIWHTGERRYQCIFCLETFMTYYILKNHQKSFHAID HRLSINKKTANGGLKPTVYPYKLYRLLPMRCKRAPYKSYRNSSYVSAQGSSQRGESAPDT FIVPNLQSSEMPTLDFQDGRNTLPSSPAVPVETPSRQGAPTSARVKNAEGIKWRKQAVKT NLVDNFDSTEVSVSSTGNTVSATLQTEPACVSSVGKGSEHSASVISYSGSVPSVIVHSSQ FSSVIKHSNTIASLTNSNHKSPSQPVVSPSLIKDSKPETDKASKPASRPKSIKEKKKTAP SNRGEITEEAKYIADHGGSSGKTTNAEETSKIETYIAKPALPGTSTNSNVAPLCQITVKI GNEAIVKRHILGSKLFYKRGRKPKYQMQEETLPRENDPETPGDSPLGLCQAECVEMSEAF DEVSDQDSTDKPWRPYYNYKPKKKSKQLRKMRKVKWRKERRSRSPVGRCRYPAELDRAEV KLPPDKAFEEEEEEEENKEMPKLQCELCDGCPDGAAGAGAEGKPHQHLTSKPYICELCAK QFQSSSTLKMHMRCHTGEKPYQCKTCGRCFSVQGNLQKHERIHLGVKEFICQYCNKAFTL NETLKIHERIHTGEKRYHCQFCFQGFLYLSTKRNHERRHIREHDGKGFACFQCPKICKTA AALRMHQKKHLYKTLPKQEETGDTCHENADLLESQLCTDSEDSEQKDDIKAFAENVL >ENSMUSP00000101079.1 pep:known chromosome:GRCm38:10:63928472:64090274:-1 gene:ENSMUSG00000042846.8 transcript:ENSMUST00000105439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm3 description:leucine rich repeat transmembrane neuronal 3 [Source:MGI Symbol;Acc:MGI:2389177] MGFNVIRLLRGSAVAVVLAPTVLLTMLSSAERGCPKGCRCEGKMVYCESQKLQEIPSSIS AGCLGLSLRYNSLQKLKYNQFKGLNQLTWLYLDHNHISNIDENAFNGIRRLKELILSSNR ISYFLNNTFRPVTNLRNLDLSYNQLHSLGSEQFRGLRKLLSLHLRSNSLRTIPVRIFQDC RNLELLDLGYNRIRSLARNVFAGMIRLKELHLEHNQFSKLNLALFPRLVSLQNLYMQWNK ISVIGQTMSWTWSSLQRLDLSGNEIEAFSGPSVFQCVPNLQRLNLDSNKLTFIGQEILDS WISLNDISLAGNIWECSRNICSLVNWLRSFKGLRENTIICASPKELQGVNVIDAVKNYSI CGKSTTTERFDLARALPKPTFKPKLPRPKHESKPPLPPTVGATEPSPETDVDTEHISFHK IIAGSVALFLSVLVILLVMYVSWKRYPASMKQLQQRSLMRRHRKKKRQSLKQMTPGTQEF YVDYKPTNTETSEMLLNGTGPCTYSKSGSRECEIPLSMNVSTFLAYDQPTISYCGVHHEL LSHKSFETNAQEDTMESHLETELDLSTITSAGRISDHKPQLA >ENSMUSP00000114794.1 pep:known chromosome:GRCm38:10:64089054:64090250:-1 gene:ENSMUSG00000042846.8 transcript:ENSMUST00000133588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm3 description:leucine rich repeat transmembrane neuronal 3 [Source:MGI Symbol;Acc:MGI:2389177] MEYRCGSTGFNVIRLLRGSAVAVVLAPTVLLTMLSSAERGCPKGCRCEGKMVYCESQKLQ EIPSSISAGCLGLSLRYNSLQKLKYNQFKGLNQLTWLYLDHNHISNIDENAFNGIRRL >ENSMUSP00000140740.1 pep:known chromosome:GRCm38:9:86467154:86555923:1 gene:ENSMUSG00000034973.16 transcript:ENSMUST00000190957.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dopey1 description:dopey family member 1 [Source:MGI Symbol;Acc:MGI:1289294] MNTEELELLSDSKYRNYVAAIDKALKNFEYSSEWADLISALGKLNKVLQNNAKYQVVPKK LTIGKRLAQCLHPALPGGVHRKALETYEIIFKIIGPKRLAKDLFLYSSGLFPLLANAAMS VKPALLGLYEMYYLPLGKTLKPGLQGLLTGILPGLEEGSEYYERTNTLLEKVAAAVEQSA FYSALWGSLLTSPAVRLPGITYVLAHLNRKLSMEDQLYIIGSDIELMVEAVSTSVQDSSV LVQRSTLDLILFCFPFHMSQATRPDMIRILSAALHVVLRRDMSLNRRLYAWLLGFDNNGA IIGPRSTRHSNPEEHATYYFTTFSKELLVQAMVGILQVNGFGEESTLMQDLKPFRILISL LDKPELGPVILEDVLIEVFRTLYSQCKAELDLQMEPPFSKDHAQLSSKLRENKKTAELIK TANLLFNSFEPYYMWDYIARWFEECCRRTLHARLQVGPGDSSDSSELQLTNFCLLVDFLL DIVSLPTRSMRVLCQETYIEIQTEHLPQLLLRMISALTSHLQTLRLSELTDSLRLCSKIL SKVQPPLLSAGNGGVVQFPSGQNSTVKEWEDKKVSSVSMENPAEVFEDGENPPSSRSSES GFTEFIQYQADRPDDLDRELNGQGAATIPIGSTSSETETASTVGSEETVIQPPSTFTQGA AGRSGKAVQKTAMQCCLEYVQQFLSRLINLYIIHSDSFPQALAADHQGDFSRIQRETSKW DRDSQGDAKERNIHTPKTSKEYLSAFLAACQLFLECSSFPVYIAEGNHTSESHSEKPDTD CEHAHPPQWLRTLMSACSQARDFRVQSAAVSLVMDLVGLTQSVAMVTGENINSMEPAQPL SPNQGRVAVVIRPPLTQGNLKYIAEKTEFFKHVALTLWDQLGDGTPQHHQKSVELFYQLH NLVPSSSICEDVVSQQLTHKDKKIRMEAHAKFAVLWHLTRDLHINKSSFARSFDRSLFIM LDSLTSLDGSTSSVGQAWLNQVLQRHDIARVLEPLLLLLLHPKTQRVSVQRVQAERYWSK TSCYPGEENDKHFMQNFTCNNVSQVHLIASKGNGEKPLTMDEMENFSLTVNPLSDRLSLL STSSETIPMVVSDFDLPDQQMEILQSSDSGCSQSSAGDNFSYEVDPENANAHEDSHMAKA SSPDDDVQQVVFDLICKVVSGLEAESESVTSELEIESLQTKSSDLDPGKEATKIEDQAPQ HSQHVLLSDDSPRFLSVSTEEGCECLANGISRNSSSPCISGTAQTLNDSSVPSETKSRQR SHSSIQFSFKEKLSEKVSEKETIVKESGKQPGAKPKVKLARKKDEDKKKAASEKLKQANV FFSEGLDLENWYSCGEGEISEIESDMGSPGSRKSPNFNIHPLYQHVLLYLQLYDSSRTLY AFSAIKSILKTNPIAFVNAISTTSVNNAYTPQLSLLQNLLARHRISVMGKDFYSHIPVDS NHNFRSSMYIEILISLCLYYMRSHYPTHVKVTTQDLIGNRNMQMMSIEILTLLFTELAKV IESSAKGFPSFISDMLSKCKVQKVILHCLLSSIFSVQKWHSEKTAGKSMVAVEEGFSEDS LINFSEDELDNGSTLQSQLLRVLQRLIVLEHHVMTIPEENEAGFDFVVSDLEHISPHQPM TSLQYLHAQPITCQGMFLCAVIRALHQHCACKMHPQWIGLITSTLPYMGKVLQRVVVSVT LQLCRNLDNLIQQYKYETGLSDSRPLWVASIIPPDMILTLLEGITAIIHYCLLDPTTQYH QLLVNVDQKHLVEARSGILSILHMIMSSVTLLWSILHQADASEKMAVAASASVTTINLGA TKNLRQQILELLGPISMNHGVHFMAAIAFVWNERRQNKTPSRTKVIPAASEEQLLLVELV RSISVMRAETVIQTVKEVLKQPPAIAKDKKHLSLEVCMLQFFYAYIQRIPVPNIVDSWTS LLVLLKDSIQLSLPAPGQFLILGVLNEFIMKNPSLENKKDQRDLQDVTHKIVDAIGAIAG SSLEQTTWLRRNLEVKPSPKIMVDGTNLESDVEDMLSPAMETSNITPSVYSVHALTLLSE VLAHLLDMVFYSDEKERVIPLLVNIMHYVVPYLRNHSAHNAPSYRACVQLLSSLSGYQYT RRAWKKEAFDLFMDPSFFQMDASCVSHWRAIMDNLMTHDKTTFRDLMTRVAVAQSSSLNL FANRDVELEQRAMLLKRLAFAVLSSESDQYQKYLPDIQERLVESLRLPQVPTLHSQVFLF FRVLLLRMSPQHLTSLWPTMITELVQVFLLMEQELTADEDISRTSGPSAAGLETTYTGGN GFSTSYNSQRWLNLYLSACKFLDLALALPSENLPQFQMYRWAFIPEASDDSGLEVRRQGI HQREFKPYVVRLAKLLRKRAKKNPEEDCSGRTLGWEPGHLLLTLCTMRNMEQLLPFFNVL SQVFNSKVTSRCGGHSGSPVLYPNSFPNKDMKLENHKAFSSKARQKIEEMIEKDFLEGVI KT >ENSMUSP00000139413.1 pep:known chromosome:GRCm38:9:86467211:86513024:1 gene:ENSMUSG00000034973.16 transcript:ENSMUST00000188675.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dopey1 description:dopey family member 1 [Source:MGI Symbol;Acc:MGI:1289294] MNTEELELLSDSKYRNYVAAIDKALKNFEYSSEWADLISALGKLNKVLQNNAKYQVVPKK LTIGKRLAQCLHPALPGGVHRKALETYEIIFKIIGPKRLAKDLFLYSSGLFPLLANAAMS VKPALLGLYEMYYLPLGKTLKPGLQGLLTGILPGLEEGSEYYERTNTLLEKVAAAVEQSA FYSALWGSLLTSPAVRLPGITYVLAHLNRKLSMEDQLYIIGSDIELMVEAVSTSVQDSSV LVQRSTLDLILFCFPFHMSQATRPDMIRILSAALHVVLRRDMSLNRRLYAWLLGFDNNGA IIGPRSTRHSNPEEHATYYFTTFSKELLVQAMVGILQVNGFGEESTLMQDLKPFRILISL LDKPELGPVILEDVLIEVFRTLYSQCKAELDLQMEPPFSKDHAQLSSKLRENKKTAELIK TANLLFNSFEPYYMWDYIARWFEECCRRTLHARLQVGPGDSSDSSELQLTNFCLLVDFLL DIVSLETYIEIQTEHLPQLLLRMISALTSHLQTLRLSELTDSLRLCSKILSKVQPPLLSA GNGGVVQFPSGQNSTVKEWEDKKQVSSVSMENPAEVFEDGENPPSSRSSESGFTEFIQYQ ADRPDDLDRELNGQGAATIPIGSTSSETETASTVGSEETVIQPPSTFTQGAAGRSGKAVQ KTAMQCCLEYVQQFLSRLINLYIIHSDSFPQALAADHQGDFSRIQRETSKWDRDSQGDA >ENSMUSP00000140040.1 pep:known chromosome:GRCm38:9:86467214:86555804:1 gene:ENSMUSG00000034973.16 transcript:ENSMUST00000185919.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dopey1 description:dopey family member 1 [Source:MGI Symbol;Acc:MGI:1289294] MNTEELELLSDSKYRNYVAAIDKALKNFEYSSEWADLISALGKLNKVLQNNAKYQVVPKK LTIGKRLAQCLHPALPGGVHRKALETYEIIFKIIGPKRLAKDLFLYSSGLFPLLANAAMS VKPALLGLYEMYYLPLGKTLKPGLQGLLTGILPGLEEGSEYYERTNTLLEKVAAAVEQSA FYSALWGSLLTSPAVRLPGITYVLAHLNRKLSMEDQLYIIGSDIELMVEAVSTSVQDSSV LVQRSTLDLILFCFPFHMSQATRPDMIRILSAALHVVLRRDMSLNRRLYAWLLGPRSTRH SNPEEHATYYFTTFSKELLVQAMVGILQVNGFGEESTLMQDLKPFRILISLLDKPELGPV ILEDVLIEVFRTLYSQCKAELDLQMEPPFSKDHAQLSSKLRENKKTAELIKTANLLFNSF EPYYMWDYIARWFEECCRQSFFLSRRTLHARLQVGPGDSSDSSELQLTNFCLLVDFLLDI VSLPTRSMRVLCQETYIEIQTEHLPQLLLRMISALTSHLQTLRLSELTDSLRLCSKILSK VQPPLLSAGNGGVVQFPSGQNSTVKEWEDKKVSSVSMENPAEVFEDGENPPSSRSSESGF TEFIQYQADRPDDLDRELNGQGAATIPIGSTSSETETASTVGSEETVIQPPSTFTQGAAG RSGKAVQKTAMQCCLEYVQQFLSRLINLYIIHSDSFPQALAADHQGDFSRIQRETSKWDR DSQGDAKERNIHTPKTSKEYLSAFLAACQLFLECSSFPVYIAEGNHTSESHSEKPDTDCE HAHPPQWLRTLMSACSQARDFRVQSAAVSLVMDLVGLTQSVAMVTGENINSMEPAQPLSP NQGRVAVVIRPPLTQGNLKYIAEKTEFFKHVALTLWDQLGDGTPQHHQKSVELFYQLHNL VPSSSICEDVVSQQLTHKDKKIRMEAHAKFAVLWHLTRDLHINKSSFARSFDRSLFIMLD SLTSLDGSTSSVGQAWLNQVLQRHDIARVLEPLLLLLLHPKTQRVSVQRVQAERYWSKTS CYPGEENDKHFMQNFTCNNVSQVHLIASKGNGEKPLTMDEMENFSLTVNPLSDRLSLLST SSETIPMVVSDFDLPDQQMEILQSSDSGCSQSSAGDNFSYEVDPENANAHEDSHMAKASS PDDDVQQVVFDLICKVVSGLEAESESVTSELEIESLQTKSSDLDPGKEATKIEDQAPQHS QHVLLSDDSPRFLSVSTEEGCECLANGISRNSSSPCISGTAQTLNDSSVPSETKSRQRSH SSIQFSFKEKLSEKVSEKETIVKESGKQPGAKPKVKLARKKDEDKKKAASEKLKQANVFF SEGLDLENWYSCGEGEISEIESDMGSPGSRKSPNFNIHPLYQHVLLYLQLYDSSRTLYAF SAIKSILKTNPIAFVNAISTTSVNNAYTPQLSLLQNLLARHRISVMGKDFYSHIPVDSNH NFRSSMYIEILISLCLYYMRSHYPTHVKVTTQDLIGNRNMQMMSIEILTLLFTELAKVIE SSAKGFPSFISDMLSKCKVQKVILHCLLSSIFSVQKWHSEKTAGKSMVAVEEGFSEDSLI NFSEDELDNGSTLQSQLLRVLQRLIVLEHHVMTIPEENEAGFDFVVSDLEHISPHQPMTS LQYLHAQPITCQGMFLCAVIRALHQHCACKMHPQWIGLITSTLPYMGKVLQRVVVSVTLQ LCRNLDNLIQQYKYETGLSDSSFWSM >ENSMUSP00000140258.1 pep:known chromosome:GRCm38:9:86521141:86525085:1 gene:ENSMUSG00000034973.16 transcript:ENSMUST00000185297.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dopey1 description:dopey family member 1 [Source:MGI Symbol;Acc:MGI:1289294] YPTHVKVTTQDLIGNRNMQMMSIEILTLLFTELAKVIESSAKGFPSFISDMLSKCKVQKV ILHCLLSSIFSVQKWHSEKTAGKSMVAVEEGFSEDSLINFSEDELDNGSTLQSQLLRVLQ RLIVLEHHVMTIPEENEAGFDFVVSDLEHISPHQPMTSLQYLHAQPITCQGMFLCAVIRA LHQHCACKMHPQWIGLITSTLPYMGKVLQRVVVSVTLQLCRNLDNLIQQYKYETGLSDSR PLWVASIIPPDMILTLLEGITAIIHYCLLDPTTQYHQLLVNVDQKHLVEARSGILSILHM IMSSVTLLWSILHQADASEKMAVAASASVTTINLGATKNLRQQILELLGPISMNHGVHFM AAIAFVWNERRQNKTPSRTKVILEK >ENSMUSP00000139642.1 pep:known chromosome:GRCm38:9:86533003:86554630:1 gene:ENSMUSG00000034973.16 transcript:ENSMUST00000189817.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dopey1 description:dopey family member 1 [Source:MGI Symbol;Acc:MGI:1289294] LPAPGQFLILGVLNEFIMKNPSLENKKDQRDLQDVTHKIVDAIGAIAGSSLEQTTWLRRN LEVKPSPKIMVDGTNLESDVEDMLSPAMETSNITPSVYSVHALTLLSEVLAHLLDMVFYS DEKERVIPLLVNIMHYVVPYLRNHSAHNAPSYRACVQLLSSLSGYQYTRRAWKKEAFDLF MDPSFFQMDASCVSHWRAIMDNLMTHDKTTFRDLMTRVAVAQSSSLNLFANRDVELEQRA MLLKRLAFAVLSSESDQYQKYLPDIQGAGVLTDGAGAHC >ENSMUSP00000034987.8 pep:known chromosome:GRCm38:9:86485407:86555806:1 gene:ENSMUSG00000034973.16 transcript:ENSMUST00000034987.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dopey1 description:dopey family member 1 [Source:MGI Symbol;Acc:MGI:1289294] MNTEELELLSDSKYRNYVAAIDKALKNFEYSSEWADLISALGKLNKVLQNNAKYQVVPKK LTIGKRLAQCLHPALPGGVHRKALETYEIIFKIIGPKRLAKDLFLYSSGLFPLLANAAMS VKPALLGLYEMYYLPLGKTLKPGLQGLLTGILPGLEEGSEYYERTNTLLEKVAAAVEQSA FYSALWGSLLTSPAVRLPGITYVLAHLNRKLSMEDQLYIIGSDIELMVEAVSTSVQDSSV LVQRSTLDLILFCFPFHMSQATRPDMIRILSAALHVVLRRDMSLNRRLYAWLLGFDNNGA IIGPRSTRHSNPEEHATYYFTTFSKELLVQAMVGILQVNGFGEESTLMQDLKPFRILISL LDKPELGPVILEDVLIEVFRTLYSQCKAELDLQMEPPFSKDHAQLSSKLRENKKTAELIK TANLLFNSFEPYYMWDYIARWFEECCRRTLHARLQVGPGDSSDSSELQLTNFCLLVDFLL DIVSLPTRSMRVLCQETYIEIQTEHLPQLLLRMISALTSHLQTLRLSELTDSLRLCSKIL SKVQPPLLSAGNGGVVQFPSGQNSTVKEWEDKKVSSVSMENPAEVFEDGENPPSSRSSES GFTEFIQYQADRPDDLDRELNGQGAATIPIGSTSSETETASTVGSEETVIQPPSTFTQGA AGRSGKAVQKTAMQCCLEYVQQFLSRLINLYIIHSDSFPQALAADHQGDFSRIQRETSKW DRDSQGDAKERNIHTPKTSKEYLSAFLAACQLFLECSSFPVYIAEGNHTSESHSEKPDTD CEHAHPPQWLRTLMSACSQARDFRVQSAAVSLVMDLVGLTQSVAMVTGENINSMEPAQPL SPNQGRVAVVIRPPLTQGNLKYIAEKTEFFKHVALTLWDQLGDGTPQHHQKSVELFYQLH NLVPSSSICEDVVSQQLTHKDKKIRMEAHAKFAVLWHLTRDLHINKSSFARSFDRSLFIM LDSLTSLDGSTSSVGQAWLNQVLQRHDIARVLEPLLLLLLHPKTQRVSVQRVQAERYWSK TSCYPGEENDKHFMQNFTCNNVSQVHLIASKGNGEKPLTMDEMENFSLTVNPLSDRLSLL STSSETIPMVVSDFDLPDQQMEILQSSDSGCSQSSAGDNFSYEVDPENANAHEDSHMAKA SSPDDDVQQVVFDLICKVVSGLEAESESVTSELEIESLQTKSSDLDPGKEATKIEDQAPQ HSQHVLLSDDSPRFLSVSTEEGCECLANGISRNSSSPCISGTAQTLNDSSVPSETKSRQR SHSSIQFSFKEKLSEKVSEKETIVKESGKQPGAKPKVKLARKKDEDKKKAASEKLKQANV FFSEGLDLENWYSCGEGEISEIESDMGSPGSRKSPNFNIHPLYQHVLLYLQLYDSSRTLY AFSAIKSILKTNPIAFVNAISTTSVNNAYTPQLSLLQNLLARHRISVMGKDFYSHIPVDS NHNFRSSMYIEILISLCLYYMRSHYPTHVKVTTQDLIGNRNMQMMSIEILTLLFTELAKV IESSAKGFPSFISDMLSKCKVQKVILHCLLSSIFSVQKWHSEKTAGKSMVAVEEGFSEDS LINFSEDELDNGSTLQSQLLRVLQRLIVLEHHVMTIPEENEAGFDFVVSDLEHISPHQPM TSLQYLHAQPITCQGMFLCAVIRALHQHCACKMHPQWIGLITSTLPYMGKVLQRVVVSVT LQLCRNLDNLIQQYKYETGLSDSRPLWVASIIPPDMILTLLEGITAIIHYCLLDPTTQYH QLLVNVDQKHLVEARSGILSILHMIMSSVTLLWSILHQADASEKMAVAASASVTTINLGA TKNLRQQILELLGPISMNHGVHFMAAIAFVWNERRQNKTPSRTKVIPAASEEQLLLVELV RSISVMRAETVIQTVKEVLKQPPAIAKDKKHLSLEVCMLQFFYAYIQRIPVPNIVDSWTS LLVLLKDSIQLSLPAPGQFLILGVLNEFIMKNPSLENKKDQRDLQDVTHKIVDAIGAIAG SSLEQTTWLRRNLEVKPSPKIMVDGTNLESDVEDMLSPAMETSNITPSVYSVHALTLLSE VLAHLLDMVFYSDEKERVIPLLVNIMHYVVPYLRNHSAHNAPSYRACVQLLSSLSGYQYT RRAWKKEAFDLFMDPSFFQMDASCVSHWRAIMDNLMTHDKTTFRDLMTRVAVAQSSSLNL FANRDVELEQRAMLLKRLAFAVLSSESDQYQKYLPDIQERLVESLRLPQVPTLHSQVFLF FRVLLLRMSPQHLTSLWPTMITELVQVFLLMEQELTADEDISRTSGPSAAGLETTYTGGN GFSTSYNSQRWLNLYLSACKFLDLALALPSENLPQFQMYRWAFIPEASDDSGLEVRRQGI HQREFKPYVVRLAKLLRKRAKKNPEEDCSGRTLGWEPGHLLLTLCTMRNMEQLLPFFNVL SQVFNSKVTSRCGGHSGSPVLYPNSFPNKDMKLENHKAFSSKARQKIEEMIEKDFLEGVI KT >ENSMUSP00000086646.3 pep:known chromosome:GRCm38:14:66911170:66920054:1 gene:ENSMUSG00000046204.13 transcript:ENSMUST00000089236.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnma2 description:paraneoplastic antigen MA2 [Source:MGI Symbol;Acc:MGI:2444129] MAVALLEEWCKIMGVDVQKSLLVVDIPVDCGEPEIQTVLQEALKCVGSYRLLGKIFQKQD NTSVVLVELMEDTDMSVVPSEVQGKGGVWKVIFKTPNQDTEFLQRLNLFLEKEGQTVAGM FRALKHEGVSPATPPCTSPELLAHLTGQAMVHGQRPLLPVKYCKMRIFSGSTAAAPEEEP FEVWLEQATEIAKEWPIPEAEKKRWVAESLRGPALDLMHIVQADNPSISVGECLEAFKQV FGSTESRRTSQVKYLRTYQQEGEKISAYVLRLETLLRRAVEKRAIPRNIADQVRLEQVMA GANLGNVLWCRLQELKDQGPLPTFLQLMKVIREEEEEEDAYFEQESREEPGEREGSGCWN NSRNN >ENSMUSP00000112629.2 pep:known chromosome:GRCm38:14:66911208:66918815:1 gene:ENSMUSG00000046204.13 transcript:ENSMUST00000122431.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnma2 description:paraneoplastic antigen MA2 [Source:MGI Symbol;Acc:MGI:2444129] MAVALLEEWCKIMGVDVQKSLLVVDIPVDCGEPEIQTVLQEALKCVGSYRLLGKIFQKQD NTSVVLVELMEDTDMSVVPSEVQGKGGVWKVIFKTPNQDTEFLQRLNLFLEKEGQTVAGM FRALKHEGVSPATPPCTSPELLAHLTGQAMVHGQRPLLPVKYCKMRIFSGSTAAAPEEEP FEVWLEQATEIAKEWPIPEAEKKRWVAESLRGPALDLMHIVQADNPSISVGECLEAFKQV FGSTESRRTSQVKYLRTYQQEGEKISAYVLRLETLLRRAVEKRAIPRNIADQVRLEQVMA GANLGNVLWCRLQELKDQGPLPTFLQLMKVIREEEEEEDAYFEQESREEPGEREGSGCWN NSRNN >ENSMUSP00000101372.2 pep:known chromosome:GRCm38:4:144777204:144786583:-1 gene:ENSMUSG00000078504.2 transcript:ENSMUST00000105746.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm438 description:predicted gene 438 [Source:MGI Symbol;Acc:MGI:2685284] MSVLWLVLLAGVSTFFLGVFVWAIIQHFLTVEIPSTLKHPAKLRFLHCMLLYLITLGNIL EKLRICSIPRFLQFVQDLILIRKNSNVAVTDMHFGTVPVRLFKPKEASSKYRRDTYHGLG SFLAHETDSLVLLVGYRKLPDYHHPVPFKDCLNATIYFLKNLEILGVDPSRVVLCGDSLG GWAVATVTQVLTSMPNLPRIQTQVLIYPILHFINFQLPSYQQNKNVPFLTRDMLLMCVCK YLAIDLSWTDAMSTGAVIPLDKWKKYRKWLSYDNIPRRFWRQDTQPEVLGPFNEAAYLET KHVWNAEISPLLADDKIIAQLPKTFLVSCEHDVLRDDALLYKRRLEDQGIPVSWYHAEDG FHACINLFDKQPFSFPCSMKVTNAVARYIKDMC >ENSMUSP00000026120.6 pep:known chromosome:GRCm38:3:18054174:18057517:1 gene:ENSMUSG00000025128.7 transcript:ENSMUST00000026120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlhe22 description:basic helix-loop-helix family, member e22 [Source:MGI Symbol;Acc:MGI:1930001] MERGLHLGAAAASEDDLFLHKSLGTSAAKRLEAAFRSTPPGMDLSLAPPTRERPASSSSP LGCFEPADPEGAGLLLPPPGGGGGASGGGGGVSVPGLLVGSAGVGGEPSLSSLPAGAALC LKYGESAGRGSVAESSGGEQSPDDDSDGRCELVLRAGGPDPRASPGAGGGSAKVAEGCSN AHLHGGSGLPPGGPTSGGGSGGGGGGSSKKSKEQKALRLNINARERRRMHDLNDALDELR AVIPYAHSPSVRKLSKIATLLLAKNYILMQAQALEEMRRLVAYLNQGQAISAASLPSSAA AAAAAAALHPALGAYEQAAGYPFSAGLPPAASCPEKCALFNSVSSSLCKQCTEKP >ENSMUSP00000138232.1 pep:known chromosome:GRCm38:6:30479053:30509704:-1 gene:ENSMUSG00000029782.18 transcript:ENSMUST00000151187.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem209 description:transmembrane protein 209 [Source:MGI Symbol;Acc:MGI:1919899] MTGYSPQLQGLSSGGLGSYSPGVTYSPVSGYNKLASFSLSPSSPYPTTVGPVESSGLRAR YRSPPTVYNSPTDKEDYMTDLRTLDTFLRSEEEKQHRVKLGSPDSTSPSTSPTFWNYSRS VGDYAQTLKKFQYQLACRSQAPCANKDEADLISKQAAEEVWARVTMNRQLLDHMDSWTAK FRNWISETILVPLVQEIESVSTQMRRMGCPELQIGEASVTSLKQAALVRAPLIPTLNAIV QYLDLTPNQEYLFERIKELSQGGCMSSFRWNRGGDFKGRKWDTDLPTDSAIIMHVFCTYL DSRLPPHPKYPDGKTFTSQHFVQTPNKPDVTNENVFCIYQSAVNPPHYELIYQRHVYNLP KGRNNMFHTLLMFLYIIKTKESGMLGRVNLGLSGVNILWIFGE >ENSMUSP00000067667.6 pep:known chromosome:GRCm38:6:30480797:30509715:-1 gene:ENSMUSG00000029782.18 transcript:ENSMUST00000064330.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem209 description:transmembrane protein 209 [Source:MGI Symbol;Acc:MGI:1919899] MMQGDVSPNPSLIDRTIKMRKETETRKVVLAWGLLNVSMAGMIYTEMTGKLISTYYNVTY WPLWYIELALASLFSLNALFDFWRYFKYTVAPTSLVVSPGQQALLGLKQAVVQTTPPRDL AATQISPSPPSPSIQGQSVLSYSPSRSPSTSPKFATSCMTGYSPQLQGLSSGGLGSYSPG VTYSPVSGYNKLASFSLSPSSPYPTTVGPVESSGLRARYRSPPTVYNSPTDKEDYMTDLR TLDTFLRSEEEKQHRVKLGSPDSTSPSTSPTFWNYSRSVGDYAQTLKKFQYQLACRSQAP CANKDEADLISKQAAEEVWARVTMNRQLLDHMDSWTAKFRNIIMHVFCTYLDSRLPPHPK YPDGKTFTSQHFVQTPNKPDVTNENVFCIYQSAVNPPHYELIYQRHVYNLPKGRNNMFHT LLMFLYIIKTKESGMLGN >ENSMUSP00000138292.1 pep:known chromosome:GRCm38:6:30481022:30509716:-1 gene:ENSMUSG00000029782.18 transcript:ENSMUST00000138823.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem209 description:transmembrane protein 209 [Source:MGI Symbol;Acc:MGI:1919899] MMQGDVSPNPSLIDRTIKMRKETETRKVVLAWGLLNVSMAGMIYTEMTGKLISTYYNVTY WPLWYIELALASLFSLNALFDFWRYFKYTVAPTSLVVSPGQQALLGLKQAVVQTTPPRDL AATQISPSPPSPSIQGQSVLSYSPSRSPSTSPKFATSCMTGYSPQLQGLSSGGLGSYSPG VTYSPVSGYNKLASFSLSPSSPYPTTVGPVESSGLRARYRSPPTVYNSPTDKEDYMTDLR TLDTFLRSEEEKQHRVKLGSPDSTSPSTSPTFWNYSRSVGDYAQTLKKFQYQLACRSQAP CANKDEADLISKQAAEEVWARVTMNRQLLDHMDSWTAKFRNWISETILVPLVQEIESVST QMRRMGCPELQIGEASVTSLKQAALVRAPLIPTLNAIVQYLDLTPNQEYLFERIKELSQG GCMSSFRWNRGGDFKGRKWDTDLPTDSAIIMHVFCTYLDSRLPPHPKYPDGKTFTSQHFV QTPNKPDVTNENVFCIYQSAVNPPHYELIYQRHVYNLPKGRNNMFHTLLMFLYIIKTKES GMLGRVNLGLSGVNILWIFGE >ENSMUSP00000110813.3 pep:known chromosome:GRCm38:6:30481228:30509783:-1 gene:ENSMUSG00000029782.18 transcript:ENSMUST00000115160.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem209 description:transmembrane protein 209 [Source:MGI Symbol;Acc:MGI:1919899] MMQGDVSPNPSLIDRTIKMRKETETRKVVLAWGLLNVSMAGMIYTEMTGKLISTYYNVTY WPLWYIELALASLFSLNALFDFWRYFKYTVAPTSLVVSPGQQALLGLKQAVVQTTPPRDL AATQISPSPPSPSIQGQSVLSYSPSRSPSTSPKFATSCMTGYSPQLQGLSSGGLGSYSPG VTYSPVSGYNKLASFSLSPSSPYPTTVGPVESSGLRARYRSPPTVYNSPTDKEDYMTDLR TLDTFLRSEEEKQHRVKLGSPDSTSPSTSPTFWNYSRSVGDYAQTLKKFQYQLACRSQAP CANKDEADLISKQAAEEVWARVTMNRQLLDHMDSWTAKFRNWISETILVPLVQEIESVST QMRRMGCPELQIGEASVTSLKQAALVRAPLIPTLNAIVQYLDLTPNQEYLFERIKELSQG GCMSSFRWNRGGDFKGRKWDTDLPTDSAIIMHVFCTYLDSRLPPHPKYPDGKTFTSQHFV QTPNKPDVTNENVFCIYQSAVNPPHYELIYQRHVYNLPKGRNNMFHTLLMFLYIIKTKES GMLGRVNLGLSGVNILWIFGE >ENSMUSP00000110810.1 pep:known chromosome:GRCm38:6:30482415:30509718:-1 gene:ENSMUSG00000029782.18 transcript:ENSMUST00000115157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem209 description:transmembrane protein 209 [Source:MGI Symbol;Acc:MGI:1919899] MQGDVSPNPSLIDRTIKMRKETETRKVVLAWGLLNVSMAGMIYTEMTGKLISTYYNVTYW PLWYIELALASLFSLNALFDFWRYFKYTVAPTSLVVSPGQQALLGLKQAVVQTTPPRDLA ATQISPSPPSPSIQGQSVLSYSPSRSPSTSPKFATSCMTGYSPQLQGLSSGGLGSYSPGV TYSPVSGYNKLASFSLSPSSPYPTTVGPVESSGLRARYRSPPTVYNSPTDKEDYMTDLRT LDTFLRSEEEKQHRVKLGSPDSTSPSTSPTFWNYSRSVGDYAQTLKKFQYQLACRSQAPC ANKDEADLISKQAAEEVWARVTMNRQLLDHMDSWTAKFRNWISETILVPLVQEIESVSTQ MRRMGCPELQIGEASVTSLKQAALVRAPLIPTLNAIVQYLDLTPNQEYLFERIKELSQGG CMSSFRWNRGGDFKGRKWDTDLPTDSAIIMHVFCTYLDSRLPPHPKYPDGKTFTSQHFVQ TPNKPDVTNENVFCIYQSAVNPPHYELIYQRHVYNLPKGRNNMFHTLLMFLYIIKTKESG MLGRVNLGLSGVNILWIFGE >ENSMUSP00000100056.2 pep:known chromosome:GRCm38:6:30482801:30509715:-1 gene:ENSMUSG00000029782.18 transcript:ENSMUST00000102991.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem209 description:transmembrane protein 209 [Source:MGI Symbol;Acc:MGI:1919899] MMQGDVSPNPSLIDRTIKMRKETETRKVVLAWGLLNVSMAGMIYTEMTGKLISTYYNVTY WPLWYIELALASLFSLNALFDFWRYFKYTVAPTSLVVSPGQQALLGLKQAVVQTTPPRDL AATQISPSPPSPSIQGQSVLSYSPSRSPSTSPKFATSCMTGYSPQLQGLSSGGLGSYSPG VTYSPVSGYNKLASFSLSPSSPYPTTVGPVESSGLRARYRSPPTVYNSPTDKEDYMTDLR TLDTFLRSEEEKQHRVKLGSPDSTSPSTSPTFWNYSRSVGDYAQTLKKFQYQLACRSQAP CANKDEADLISKQAAEEVWARVTMNRQLLDHMDSWTAKFRNWISETILVPLVQEIESVST QMRRMGCPELQIGELSQGGCMSSFRWNRGGDFKGRKWDTDLPTDSAIIMHVFCTYLDSRL PPHPKYPDGKTFTSQHFVQTPNKPDVTNENVFCIYQSAVNPPHYELIYQRHVYNLPKGRN NMFHTLLMFLYIIKTKESGMLGRVNLGLSGVNILWIFGE >ENSMUSP00000145248.1 pep:known chromosome:GRCm38:6:30502003:30509749:-1 gene:ENSMUSG00000029782.18 transcript:ENSMUST00000154547.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem209 description:transmembrane protein 209 [Source:MGI Symbol;Acc:MGI:1919899] MTGYSPQLQGLSSGGLGSYSPGVTYSPVSGYNKLASFSLSPSSPYPTTVGPVESSGLRAR YRSPPT >ENSMUSP00000115567.1 pep:known chromosome:GRCm38:6:30505752:30509724:-1 gene:ENSMUSG00000029782.18 transcript:ENSMUST00000148638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem209 description:transmembrane protein 209 [Source:MGI Symbol;Acc:MGI:1919899] MMQGDVSPNPSLIDRTIKMRKETETRKVVLAWGLLNVSMAGMIYTEMTGKLISTYYNVTY WPLWYIVVQTTPPRDLAATQISPSPPSPSIQGQSVLSYSPSRSPSTSPKFATSCMTGYSP QLQGLSSGGLGSYSPGVTYSP >ENSMUSP00000091463.4 pep:known chromosome:GRCm38:11:102604396:102608058:1 gene:ENSMUSG00000050288.6 transcript:ENSMUST00000057893.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd2 description:frizzled class receptor 2 [Source:MGI Symbol;Acc:MGI:1888513] MRARSALPRSALPRLLLPLLLLPAAGPAQFHGEKGISIPDHGFCQPISIPLCTDIAYNQT IMPNLLGHTNQEDAGLEVHQFYPLVKVQCSPELRFFLCSMYAPVCTVLEQAIPPCRSICE RARQGCEALMNKFGFQWPERLRCEHFPRHGAEQICVGQNHSEDGAPALLTTAPPSGLQPG AGGTPGGPGGGGSPPRYATLEHPFHCPRVLKVPSYLSYKFLGERDCAAPCEPARPDGSMF FSQEETRFARLWILTWSVLCCASTFFTVTTYLVDMQRFRYPERPIIFLSGCYTMVSVAYI AGFVLQERVVCNERFSEDGYRTVVQGTKKEGCTILFMMLYFFSMASSIWWVILSLTWFLA AGMKWGHEAIEANSQYFHLAAWAVPAVKTITILAMGQIDGDLLSGVCFVGLNSLDPLRGF VLAPLFVYLFIGTSFLLAGFVSLFRIRTIMKHDGTKTEKLERLMVRIGVFSVLYTVPATI VIACYFYEQAFREHWERSWVSQHCKSLAIPCPAHYTPRMSPDFTVYMIKYLMTLIVGITS GFWIWSGKTLHSWRKFYTRLTNSRHGETTV >ENSMUSP00000033581.3 pep:known chromosome:GRCm38:X:105764279:105774939:1 gene:ENSMUSG00000031230.3 transcript:ENSMUST00000033581.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf16 description:fibroblast growth factor 16 [Source:MGI Symbol;Acc:MGI:1931627] MAEVGGVFASLDWDLHGFSSSLGNVPLADSPGFLNERLGQIEGKLQRGSPTDFAHLKGIL RRRQLYCRTGFHLEIFPNGTVHGTRHDHSRFGILEFISLAVGLISIRGVDSGLYLGMNER GELYGSKKLTRECVFREQFEENWYNTYASTLYKHSDSERQYYVALNKDGSPREGYRTKRH QKFTHFLPRPVDPSKLPSMSRDLFRYR >ENSMUSP00000003154.5 pep:known chromosome:GRCm38:10:80179482:80190010:1 gene:ENSMUSG00000003070.6 transcript:ENSMUST00000003154.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efna2 description:ephrin A2 [Source:MGI Symbol;Acc:MGI:102707] MAPAQRPLLPLLLLLLPLRARNEDPARANADRYAVYWNRSNPRFQVSAVGDGGGYTVEVS INDYLDIYCPHYGAPLPPAERMERYILYMVNGEGHASCDHRQRGFKRWECNRPAAPGGPL KFSEKFQLFTPFSLGFEFRPGHEYYYISATPPNLVDRPCLRLKVYVRPTNETLYEAPEPI FTSNSSCSGLGGCHLFLTTVPVLWSLLGS >ENSMUSP00000131648.1 pep:known chromosome:GRCm38:17:25717171:25719406:1 gene:ENSMUSG00000025739.13 transcript:ENSMUST00000172002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng13 description:guanine nucleotide binding protein (G protein), gamma 13 [Source:MGI Symbol;Acc:MGI:1925616] MEEWDVPQMKKEVESLKYQLAFKREMSSKTIPELLKWIEDGIPKDPFLNPDLMKNNPWVE KAKCTIL >ENSMUSP00000110760.3 pep:known chromosome:GRCm38:17:25717528:25719087:1 gene:ENSMUSG00000025739.13 transcript:ENSMUST00000115108.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng13 description:guanine nucleotide binding protein (G protein), gamma 13 [Source:MGI Symbol;Acc:MGI:1925616] MEEWDVPQMKKEVESLKYQLAFKREMSSKTIPELLKWIEDGIPKDPFLNPDLMKNNPWVE KAKCTIL >ENSMUSP00000031198.4 pep:known chromosome:GRCm38:5:107908053:107987085:-1 gene:ENSMUSG00000029270.10 transcript:ENSMUST00000031198.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam69a description:family with sequence similarity 69, member A [Source:MGI Symbol;Acc:MGI:1914516] MARSLCAGAWLRKPHYLQARLSYMRVKYLFFSWLVVFVGSWIIYVQYSTYTELCRGKDCK KIICDKYKTGVIDGPACNSLCVTETLYFGKCLSNKPSNQMYLGVWDNLPGVVKCQMEQAL HLDFGTELEPRKEIVLFDKPTRGTTVQKFKEMVYSLFKAKLGDQGNLSELVNLILTVADG DRDGQVSLGEAKSAWALLQLNEFLLMVILQDKEHTPKLMGFCGDLYVMESVEYTSLYGIS LPWVMELFIPSGFRRSMDQLFTPSWPRKAKIAIGLLEFVEDVFHGPYGNFLMCDTSAKNL GYNEKYDLKMVDMRKIVPETNLKELIKDRHCESDLDCVYGTDCRTSCDLSTMKCTSEVIQ PNLAKACQLLKDYLLHGAPSEIREELEKQLYSCIALKVTANQMEMEHSLILNNLKTLLWK KISYTNDS >ENSMUSP00000117801.1 pep:known chromosome:GRCm38:5:107909703:107987033:-1 gene:ENSMUSG00000029270.10 transcript:ENSMUST00000145239.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam69a description:family with sequence similarity 69, member A [Source:MGI Symbol;Acc:MGI:1914516] MARSLCAGAWLRKPHYLQCDKYKTGVIDGPACNSLCVTETLYFGKCLSNKPSNQMYLGVW DNLPGVVKCQMEQALHLDFGTELEPRKEIVLFDKPTRGTTVQKFKEMVYSLFKAKLGDQG NLSELVNLILTVADGDRDGQVSLGEAKSAWALLQLNEFLLMVILQDKEHTPKLMGFCGDL YVMESVEYTSLYGISLPWVMELFIPSGFRRSMDQLFTPSWPRKAKIAIGLLEFVEDVFHG PYGNFLMCDTSAKNLGYNEKYDLKMVDMRKIVPETNLKELIKDR >ENSMUSP00000114892.1 pep:known chromosome:GRCm38:5:107914398:107972998:-1 gene:ENSMUSG00000029270.10 transcript:ENSMUST00000153172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam69a description:family with sequence similarity 69, member A [Source:MGI Symbol;Acc:MGI:1914516] MSPPSLHHSFAMPLLRTKCKACSARLSYMRVKYLFFSWLVVFVGSWIIYVQYSTYTELCR GKDCKKIICDKYKTGVIDGPACNSLCV >ENSMUSP00000101081.1 pep:known chromosome:GRCm38:10:63430098:65002965:1 gene:ENSMUSG00000060843.11 transcript:ENSMUST00000105441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnna3 description:catenin (cadherin associated protein), alpha 3 [Source:MGI Symbol;Acc:MGI:2661445] MSAETPITLNMDTQDLQIQTFTVEKLLEPLIIQVTTLVNCPQNPSNRKKGRSKRARVLLA SVEEATWNLLDKGEMIAKEATVLKEELAAALQEVRKESKALKVSAERFTDDPCYLPKREA VVQAARALLAAVTRLLVLADMIDVMCLLQHVSSFQRTFESLKNVSNKSDLQRTYQKLGKE LESLDYLAFKRQQDLKSPSQRDEIAGARATLKENSPLLHSICSACLEHSDVASLKASKDT VCEEIQNALDVISNASQGIQNAPAPPEPQAATLGSAFDELENLIVLNPLTVTEEDVRPSL EKRLEAIISGAALLADSSCTRDLHRERIIAECNAIRQALQDLLTEYMSNTGKTERSNTLN TAIVNMSKKTRDLRRQLRKAIIDHISDSFLDTTVPLLVLIEAAKNGRVKEIKDYAAIFHE HTGRLVEVANLACSMSTNEDGIKIVRIAANHLETLCPQIINAALALASRPKSQVVKNTME MYKRTWEHYIHVLTEAVDDITSIDDFLAVSESHILEDVNKCIIALRDQDADNLDRAAGAI RGRAARVAHIVAGEMDSYEPGAYTEGVMRNVNFLTSTVIPEFVTQVNVALDALSKNSLTA LDDNQFVDISKKIYDTIHDIRCSVMMIRTPEELEDVSDLEDDHEVRSHTSIQTEGKTDRA KMTQLPEAEKEKIAEQVADFKKVKSKLDAEIEIWDDTSNDIIVLAKKMCMIMMEMTDFTR GKGPLKHTTDVIYAAKMISESGSRMDVLARQIANQCPDPPCKQDLLAYLEQIKFYSHQLK ICSQVKAEIQNLGGELIVSALDSVTSLIQAAKNLMNAVVQTVKMSYIASTKIIRIQSSAG PRHPVVMWRMKAPAKKPLIKREKPEETWAAVRRGSAKKKIHPVQVMSEFRGRQVY >ENSMUSP00000101080.1 pep:known chromosome:GRCm38:10:63457510:65003667:1 gene:ENSMUSG00000060843.11 transcript:ENSMUST00000105440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnna3 description:catenin (cadherin associated protein), alpha 3 [Source:MGI Symbol;Acc:MGI:2661445] MSAETPITLNMDTQDLQIQTFTVEKLLEPLIIQVTTLVNCPQNPSNRKKGRSKRARVLLA SVEEATWNLLDKGEMIAKEATVLKEELAAALQEVRKESKALKVSAERFTDDPCYLPKREA VVQAARALLAAVTRLLVLADMIDVMCLLQHVSSFQRTFESLKNVSNKSDLQRTYQKLGKE LESLDYLAFKRQQDLKSPSQRDEIAGARATLKENSPLLHSICSACLEHSDVASLKASKDT VCEEIQNALDVISNASQGIQNAPAPPEPQAATLGSAFDELENLIVLNPLTVTEEDVRPSL EKRLEAIISGAALLADSSCTRDLHRERIIAECNAIRQALQDLLTEYMSNTGKTERSNTLN TAIVNMSKKTRDLRRQLRKAIIDHISDSFLDTTVPLLVLIEAAKNGRVKEIKDYAAIFHE HTGRLVEVANLACSMSTNEDGIKIVRIAANHLETLCPQIINAALALASRPKSQVVKNTME MYKRTWEHYIHVLTEAVDDITSIDDFLAVSESHILEDVNKCIIALRDQDADNLDRAAGAI RGRAARVAHIVAGEMDSYEPGAYTEGVMRNVNFLTSTVIPEFVTQVNVALDALSKNSLTA LDDNQFVDISKKIYDTIHDIRCSVMMIRTPEELEDVSDLEDDHEVRSHTSIQTEGKTDRA KMTQLPEAEKEKIAEQVADFKKVKSKLDAEIEIWDDTSNDIIVLAKKMCMIMMEMTDFTR GKGPLKHTTDVIYAAKMISESGSRMDVLARQIANQCPDPPCKQDLLAYLEQIKFYSHQLK ICSQVKAEIQNLGGELIVSALDSVTSLIQAAKNLMNAVVQTVKMSYIASTKIIRIQSSAG PRHPVVMWRMKAPAKKPLIKREKPEETWAAVRRGSAKKKIHPVQVMSEFRGRQVY >ENSMUSP00000074606.4 pep:known chromosome:GRCm38:10:63504037:65002833:1 gene:ENSMUSG00000060843.11 transcript:ENSMUST00000075099.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnna3 description:catenin (cadherin associated protein), alpha 3 [Source:MGI Symbol;Acc:MGI:2661445] MSAETPITLNMDTQDLQIQTFTVEKLLEPLIIQVTTLVNCPQNPSNRKKGRSKRARVLLA SVEEATWNLLDKGEMIAKEATVLKEELAAALQEVRKESKALKVSAERFTDDPCYLPKREA VVQAARALLAAVTRLLVLADMIDVMCLLQHVSSFQRTFESLKNVSNKSDLQRTYQKLGKE LESLDYLAFKRQQDLKSPSQRDEIAGARATLKENSPLLHSICSACLEHSDVASLKASKDT VCEEIQNALDVISNASQGIQNAPAPPEPQAATLGSAFDELENLIVLNPLTVTEEDVRPSL EKRLEAIISGAALLADSSCTRDLHRERIIAECNAIRQALQDLLTEYMSNTGKTERSNTLN TAIVNMSKKTRDLRRQLRKAIIDHISDSFLDTTVPLLVLIEAAKNGRVKEIKDYAAIFHE HTGRLVEVANLACSMSTNEDGIKIVRIAANHLETLCPQIINAALALASRPKSQVVKNTME MYKRTWEHYIHVLTEAVDDITSIDDFLAVSESHILEDVNKCIIALRDQDADNLDRAAGAI RGRAARVAHIVAGEMDSYEPGAYTEGVMRNVNFLTSTVIPEFVTQVNVALDALSKNSLTA LDDNQFVDISKKIYDTIHDIRCSVMMIRTPEELEDVSDLEDDHEVRSHTSIQTEGKTDRA KMTQLPEAEKEKIAEQVADFKKVKSKLDAEIEIWDDTSNDIIVLAKKMCMIMMEMTDFTR GKGPLKHTTDVIYAAKMISESGSRMDVLARQIANQCPDPPCKQDLLAYLEQIKFYSHQLK ICSQVKAEIQNLGGELIVSALDSVTSLIQAAKNLMNAVVQTVKMSYIASTKIIRIQSSAG PRHPVVMWRMKAPAKKPLIKREKPEETWAAVRRGSAKKKIHPVQVMSEFRGRQVY >ENSMUSP00000099638.4 pep:known chromosome:GRCm38:5:114774677:114805822:1 gene:ENSMUSG00000041870.16 transcript:ENSMUST00000102578.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13a description:ankyrin repeat domain 13a [Source:MGI Symbol;Acc:MGI:1915670] MSSARDTSSRFPLHLLVWNNDYEQLEKELRDQNAEALDPRGRTLLHLAVSLGHLESARVL LRHKADVTKENGQGWTVLHEAVSTGDPEMVYTVLQHRDYHNTSMALEGVPELLHKILEAP DFYVQMKWEFTSWVPLVSRICPNDVCRIWKSGAKLRVDITLLGFENMSWIRGRRSFIFKG GDNWAELMEVNHDDRVVTTEHFDLSQEMERLTLDLMKPKSREVERRLTSPVINTSLDTKN VAFERTKSGFWGWRTDKAEVVNGYEAKVYSVNNVSVITRIRTEHLTEEEKKRYKEDRNPL ESLLGTVEHQFGAQGDLATECATVNNPTAITPDEYFDEDFDLKDRDIGRPKELTIRTQKF KATLWMCEEFPLSLVEQVIPIIDLMARTSAHFARLRDFIKLDFPPGFPVKIEIPLFHVLN ARITFGNVNGCSTADESQGVEGTPAEAVSEATNFEVDQSVFEIPESYHIQDNGRNVHLQD EDYEIMQFAIQQSLLESSRSQDLSGPASNGGVSHTHSYEAQYERAIQESLLTNMEGRCPG GLSESSRFDSDLQLAMELSAKELAERELRLQEEEAELQQVLQLSLTEK >ENSMUSP00000116994.1 pep:known chromosome:GRCm38:5:114786045:114803611:1 gene:ENSMUSG00000041870.16 transcript:ENSMUST00000137519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13a description:ankyrin repeat domain 13a [Source:MGI Symbol;Acc:MGI:1915670] XTKENGQGWTVLHEAVSTGDPEMVYTVLQHRDYHNTSMALEGVPELLHKILEAPDFYVQM KWEFTSWVPLVSRICPNDVCRIWKSGAKLRVDITLLGFENMSWIRGRRSFIFKGGDNWAE LMEVNHDDRVVTTEHFDLSQEMERLTLDLMKPKSREVERRLTSPVINTSLDTKNVAFERT KSGFWGWRTDKAEVVNGYEAKVYSVNNVSVITRIRTEHLTEEEKKRYKEDRNPLESLLGT VEHQFGAQGQDLATECATVNNPTAITPDEYFDEDFDLKDRDIGRPKELTIRTQKFKATLW MCEEFPLSLVEQVIPIIDLMARTSAHFARLRDFIKLDFPPGFPVKIEIPLFHVLNARITF GNVNGCSTADESQGVEGTPAEAVSEATNFEVDQSVFEIPESYHIQDNGRNVHLQDEDYEI MQFAIQQSLLESSRSQDLSGPASNGGVSHTHSYE >ENSMUSP00000022082.7 pep:known chromosome:GRCm38:13:75839868:75850151:1 gene:ENSMUSG00000021591.7 transcript:ENSMUST00000022082.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrx description:glutaredoxin [Source:MGI Symbol;Acc:MGI:2135625] MAQEFVNCKIQSGKVVVFIKPTCPYCRKTQEILSQLPFKQGLLEFVDITATNNTSAIQDY LQQLTGARTVPRVFIGKDCIGGCSDLISMQQTGELMTRLKQIGALQL >ENSMUSP00000144815.1 pep:known chromosome:GRCm38:10:78846951:78852166:-1 gene:ENSMUSG00000051190.7 transcript:ENSMUST00000205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1356 description:olfactory receptor 1356 [Source:MGI Symbol;Acc:MGI:3031190] MERENQTGERNFLLLGFTEDSDLQSFFFGLLLSMYLVTITGNLLIIVAIISDPHLHMPMY LFLSNLSIADIGFTSTTIPKVLQNIRTQSKFISFSGCITQIFFFIVFGCLDNLLLSVMAY DRFVAICHPLHYVVIMNSCFCAMLALGSWIVSVMSSLPETLTVLRLSFCTNMEIPHFFCD LPEVLKLACSDTLVNNIVTYSITIVIAGFPFSGILLSYSKIFSSILRIPSAGGKYKAFST CGSHLLVVFLFYSNGLGVYLSSAATSSSRMSLVASLMYSIVTPMLNPFIYSLRNKDMQKA LGKLLRKIMLLGEGTMVGLP >ENSMUSP00000054345.6 pep:known chromosome:GRCm38:10:78846951:78847913:-1 gene:ENSMUSG00000051190.7 transcript:ENSMUST00000061289.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1356 description:olfactory receptor 1356 [Source:MGI Symbol;Acc:MGI:3031190] MERENQTGERNFLLLGFTEDSDLQSFFFGLLLSMYLVTITGNLLIIVAIISDPHLHMPMY LFLSNLSIADIGFTSTTIPKVLQNIRTQSKFISFSGCITQIFFFIVFGCLDNLLLSVMAY DRFVAICHPLHYVVIMNSCFCAMLALGSWIVSVMSSLPETLTVLRLSFCTNMEIPHFFCD LPEVLKLACSDTLVNNIVTYSITIVIAGFPFSGILLSYSKIFSSILRIPSAGGKYKAFST CGSHLLVVFLFYSNGLGVYLSSAATSSSRMSLVASLMYSIVTPMLNPFIYSLRNKDMQKA LGKLLRKIMLLGEGTMVGLP >ENSMUSP00000020103.2 pep:known chromosome:GRCm38:10:68430953:68541896:-1 gene:ENSMUSG00000019945.9 transcript:ENSMUST00000020103.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700040L02Rik description:RIKEN cDNA 1700040L02 gene [Source:MGI Symbol;Acc:MGI:1920537] MKAASSKENVSGSSTGLTSWALTTPGVSPVGSTSDSEENERILSPDILSSDQIIDLLGEE NENGIQEKLGVFLNFKNLQTCLRDAILLDYYVSGFCWAKEMNFSIEQYSKFMTLLDMLLH NLQTLHMSLEDSIKWLGEVMAEIGPNHSQKSEDFHVFEVKEANAIIDYLKISLFQHYRLY EFLFYSTREEIVIGTEQTIEVVKPADYPFPAPLEEGISLDTYSTFIEPLPTPDMEQKVLD QEQGTQEALLESEMREEDPLGGFTIDDVKSALERVTDEVLISMQKEISEKLQVQEEAFNA RIEKLKKA >ENSMUSP00000128895.1 pep:known chromosome:GRCm38:10:68436280:68525767:-1 gene:ENSMUSG00000019945.9 transcript:ENSMUST00000166919.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700040L02Rik description:RIKEN cDNA 1700040L02 gene [Source:MGI Symbol;Acc:MGI:1920537] MNFSIEQYSKFMTLLDMLLHNLQTLHMSLEDSIKWLGEVMAEIGPNHSQKSEDFHVFEVK EANAIIDYLKISLFQHYRLYEFLFYSTREEIVIGTEQTIEVVKPADYPFPAPLEEGISLD TYSTFIEPLPTPDMEQKVLDQEQGTQEALLESEMREEDPLGGFTIDDVKSALERVTDEVL ISMQ >ENSMUSP00000063883.7 pep:known chromosome:GRCm38:1:88499871:88510066:-1 gene:ENSMUSG00000062310.7 transcript:ENSMUST00000067625.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrp1 description:glutamine repeat protein 1 [Source:MGI Symbol;Acc:MGI:108038] MSSICLFRDVAIIKSGKRRKRRAYSRLDGAKEQHQQHQQHQQDQHQQHQQQQQQQQQQQQ HQQHQQHQQHQQHQQHQQHQQHQQHQQHQQHQQHQQHQQHQQHQQQQQHQQHQQHQQQQQ QQQHQQHQQHQQHQHQQHQHQQHQQHQQQQQNQMDKIPGMMIFEIPETKNLERI >ENSMUSP00000101782.1 pep:known chromosome:GRCm38:4:125016585:125037579:1 gene:ENSMUSG00000028869.13 transcript:ENSMUST00000106176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnl2 description:guanine nucleotide binding protein-like 2 (nucleolar) [Source:MGI Symbol;Acc:MGI:2385207] MVKPKYKGRSTINRSAASTNPDRVQGAGGQNMRDRGTIRRLNMYRQKERRNSRGKVIKPL QYQSTVASGTVARVEPNIKWFGNTRVIKQASLQKFQEEMD >ENSMUSP00000030684.7 pep:known chromosome:GRCm38:4:125029992:125055376:1 gene:ENSMUSG00000028869.13 transcript:ENSMUST00000030684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnl2 description:guanine nucleotide binding protein-like 2 (nucleolar) [Source:MGI Symbol;Acc:MGI:2385207] MVKPKYKGRSTINRSAASTNPDRVQGAGGQNMRDRGTIRRLNMYRQKERRNSRGKVIKPL QYQSTVASGTVARVEPNIKWFGNTRVIKQASLQKFQEEMDKVMKDPYKVVMKQSKLPMSL LHDRIQPHNAKVHILDTESFESTFGPKSQRKRPNLFASDMQSLLENAEMSTESYDQGKDR DLVMEDTGVRNEAQEEIYKKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRSPHIEAY LKKEKPWKHLIFVLNKCDLVPTWATKRWVAVLSQDYPTLAFHASLTNPFGKGAFIQLLRQ FGKLHTDKKQISVGFIGYPNVGKSSVINTLRSKKVCNVAPIAGETKVWQYITLMRRIFLI DCPGVVYPSEDSETDIVLKGVVQVEKIKAPQDHIGAVLERAKPEYISKTYKIESWENAED FLEKLALRTGKLLKGGEPDMLTVSKMVLNDWQRGRIPFFVKPPNAELPTDSQLPPSSPLE VPTETTQNNPEEETTETEVERSDSITEKEPEGDCSQDRNSEMQQILARVRQNFGKINVGP QFSADDLVPVEMSDLEDLESSGEEEEQEQEQPGEDAEEERSPDTQEEPVGNDTKAVLRAL DEKIAKYQRFLNKAKAKKFSAVRISKDLSEKVFAKYKEEKKTSAEDSDAAPTKKARKWDA QMEEEPSNKTQRMLTCKERRRAARQQQSKKVGVRYYETHNVKNRNRNKKKTSDSEGQKHR RNKFRQKQ >ENSMUSP00000037487.6 pep:known chromosome:GRCm38:3:18071950:18243338:-1 gene:ENSMUSG00000039519.6 transcript:ENSMUST00000035625.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp7b1 description:cytochrome P450, family 7, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:104978] MQGATTLDAASPGPLALLGLLFAATLLLSALFLLTRRTRRPREPPLIKGWLPYLGMALKF FKDPLTFLKTLQRQHGDTFTVFLVGKYITFVLNPFQYQYVTKNPKQLSFQKFSSRLSAKA FSVKKLLTDDDLNEDVHRAYLLLQGKPLDALLETMIQEVKELFESQLLKITDWNTERIFA FCGSLVFEITFATLYGKILAGNKKQIISELRDDFFKFDDMFPYLVSDIPIQLLRNEESMQ KKIIKCLTSEKVAQMQGQSKIVQERQDLLKRYYRHDDPEIGAHHLGFLWASLANTIPAMF WAMYYILRHPEAMEALRDEIDSFLQSTGQKKGPGISVHFTREQLDSLVCLESTILEVLRL CSYSSIIREVQEDMNLSLESKSFSLRKGDFVALFPPLIHNDPEIFDAPKEFRFDRFIEDG KKKSTFFKGGKKLKTYVMPFGLGTSKCPGRYFAVNEMKLLLIMLLTYFDLEIIDRKPIGL NHSRMFLGIQHPDSAVSFRYKAKSWRS >ENSMUSP00000028004.9 pep:known chromosome:GRCm38:1:167349991:167368532:1 gene:ENSMUSG00000026687.14 transcript:ENSMUST00000028004.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh9a1 description:aldehyde dehydrogenase 9, subfamily A1 [Source:MGI Symbol;Acc:MGI:1861622] MILGAVGSVLTSLLRIHRAAAVAAMSTGTFVVSQPLNYRGGARVEPVDASGTEKAFEPAT GRVIATFACSGEKEVNLAVENAKAAFKLWSKKSGLERCQVLLEAARIIKERKDEIATVET INNGKSIFEARLDVDTCWQCLEYYAGLAASMAGEHIQLPGGSFGYTRREPLGVCVGIGAW NYPFQIACWKSAPALACGNAMIFKPSPFTPVSALLLAEIYTKAGAPPGLFNVVQGGAATG QFLCHHREVAKISFTGSVPTGVKIMEMSAKGVKPITLELGGKSPLIIFSDCNMENAVKGA LMANFLTQGQVCCNGTRVFVQKEIADKFINEVVKQTQKIKLGDPLLEDTRMGPLINAPHL ERVLGFVKLAKEQGATVLCGGEVYVPEDPKLKHGYYMTPCILTNCRDDMTCVKEEIFGPV MSILTFGTEAEVLERANDTTFGLAAGVFTRDIQRAHRVAAELQAGTCYINNYNVSPVELP FGGYKKSGFGRENGRVTIEYYSQLKTVCVEMGDVESAF >ENSMUSP00000095797.2 pep:known chromosome:GRCm38:7:103682720:103683685:1 gene:ENSMUSG00000073943.4 transcript:ENSMUST00000098195.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr625-ps1 description:olfactory receptor 625, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030459] MTTSSNTLNYTNVRDIWYTMIGIPGLEESHIWISIPICSMYIVAIAGNALLLFLIITECS LHEPMYLFLSMLALADIFLSTVTTPKMLAIFWFQAAGISFASCVSQMFFLHFIFVAESAI LLAMAFDRYVAICYPLRYTTILTTSVIIKMGIAAVIRSFFICFPLIFLVYRLTYCGKSII LHSYCEHMGIARLACDSIKVNIYYGMIVALFSICLDAVLIIVSYALILCAVFRIPSRDAR LKALGTCGSHVCVILLFYTPAFFSFFAHRFGGHSIPLHVHILLANLYVVVPPSVNPIIYG VRTKQIQERIIQMFSLGKSFC >ENSMUSP00000140044.1 pep:known chromosome:GRCm38:7:103682750:103683676:1 gene:ENSMUSG00000073943.4 transcript:ENSMUST00000190218.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr625-ps1 description:olfactory receptor 625, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030459] TNVRDIWYTMIGIPGLEESHIWISIPICSMYIVAIAGNALLLFLIITECSLHEPMYLFLS MLALADIFLSTVTTPKMLAIFWFQAAGISFASCVSQMFFLHFIFVAESAILLAMAFDRYV AICYPLRYTTILTTSVIIKMGIAAVIRSFFICFPLIFLVYRLTYCGKSIILHSYCEHMGI ARLACDSIKVNIYYGMIVALFSICLDAVLIIVSYALILCAVFRIPSRDARLKALGTCGSH VCVILLFYTPAFFSFFAHRFGGHSIPLHVHILLANLYVVVPPSVNPIIYGVRTKQIQERI IQMFSLGKS >ENSMUSP00000125579.1 pep:known chromosome:GRCm38:16:91391721:91419451:1 gene:ENSMUSG00000093701.7 transcript:ENSMUST00000161517.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21970 description:predicted gene 21970 [Source:MGI Symbol;Acc:MGI:5439439] XPLEPPEFEIVGFTDHINVTMEFPPVTSKIIQEKMKTTPFVIKEQIGDSVRKKHEPKVNN VTGNFTFVLRDLLPKTNYCVSLYFDDDPAIKSPLKCIVLQPGQESVTGLSKITASALPRL SATSLIFLNTETTL >ENSMUSP00000123997.1 pep:known chromosome:GRCm38:16:91391721:91419451:1 gene:ENSMUSG00000093701.7 transcript:ENSMUST00000160764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21970 description:predicted gene 21970 [Source:MGI Symbol;Acc:MGI:5439439] XPLEPPEFEIVGFTDHINVTMEFPPVTSKIIQEKMKTTPFVIKEQIGDSVRKKHEPKVNN VTGNFTFVLRDLLPKTNYCVSLYFDDDPAIKSPLKCIVLQPGQESALGMIPPPEKVRMNS VNFKNILQWEVPAFPKTNLTFTAQYESYRSFQDHCKRTASTQCDFSHLSKYGDYTVRVRA ELADEHSEWVNVTFCPVEDTIIGPPEMQIESLAESLHLRFSAPQIENEPETWTLKNIYDS WAYRVQYWKNGTNEKFQVVSPYDSEVLRNLEPWTTYCIQVQGFLLDQNRTGEWSE >ENSMUSP00000063830.7 pep:known chromosome:GRCm38:9:64306757:64341288:-1 gene:ENSMUSG00000032396.17 transcript:ENSMUST00000068367.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dis3l description:DIS3 like exosome 3'-5' exoribonuclease [Source:MGI Symbol;Acc:MGI:2143272] MQTACQAVQHQRGRRQYNKLRNLLKDARHDCVLFANEFQQHCYLPREKGEAMEKWQTRSI YNSAVWYYHHCEDRMPIVMVTEDEEAIQKYGSETEGVFVISFKNYLDNFWPDLKAAHDLC DSILQSRRERETESQETHGKEYPEHLPLEVLEAGIKSGRYIQGILNVNKHRAQIEAFVRL HGASSKDSGLVSDILIHGSKARNRSIHGDVVVVEMLPKSEWKGRTAALGENDSDDKASGE SPSEPMPTGRVVGILQKNWRDYVVTFPSKEEVQSQGKNAQKILVTPWDYRIPKIRISTQQ AEALQDFRVVVRIDSWEATSVYPNGHFVRVLGRIGDLEGEIATILVENSISVVPFSEAQM CEMPVNTPENPWKVSPKEEQERKDLRTTHLVFSIDPKGCEDVDDTLSVRTLNNGNLELGV HIADVTHFVAPNSYIDVEARTRATTYYLADRRYDMLPSILSADLCSLLGGVDRYAVSVMW ELDKTSYEIKKVWYGRTIIRSAYKLFYEAAQELLDGNFSIVDDIPELKALDKQSQQAKLE ELVWAIGKLTDIARHIRAKRDRCGALELEGVEVRVQLDDKKNIRDLIPKQPLEVHETVAE CMILANHWVAKKIWESFPHQALLRQHPPPHQEFFSELRECAKAKGFFIDTRSNKTLADSL DSANDPKDPLVNKLLRSMATQAMSNALYFSTGSCAEEEFHHYGLALDKYTHFTSPIRRYS DIVVHRLLMAAISKDKKMEIKENLFSNKNLEELCRHINNRNRAAQRSQKQSTELFQCMYF KDRDAETEERCIADGVIYSIRTNGVLVFIPRFGIKGAAYLKNKDSLVISCGPEGSSEWKP GSLQRSQNKIISTTAGGQSVTFHLFDHVTVRISVQASRCHSDTIRLEIVSNKPYMIPNTE LCHQSSLLKSELVKEVTRSVEEAQLAQEVKGKVIQEEHQEYCQTKGRSLYTLLEEIRDLA LLDVSDSCAM >ENSMUSP00000113503.1 pep:known chromosome:GRCm38:9:64307011:64341153:-1 gene:ENSMUSG00000032396.17 transcript:ENSMUST00000120760.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dis3l description:DIS3 like exosome 3'-5' exoribonuclease [Source:MGI Symbol;Acc:MGI:2143272] MQTACQAVQHQRGRRQYNKLRNLLKDARHDCVLFANEFQQHCYLPREKGEAMEKWQTRSI YNSAVWYYHHCEDRMPIVMVTEDEEAIQKYGSETEGVFVISFKNYLDNFWPDLKAAHDLC DSILQSRRERETESQETHGKEYPEHLPLEVLEAGIKSGRYIQGILNVNKHRAQIEAFVRL HGASSKDSGLVSDILIHGSKARNRSIHGDVVVVEMLPKSEWKGRTAALGENDSDDKASGE SPSEPMPTGRVVGILQKNWRDYVVTFPSKEEVQSQGKNAQKILVTPWDYRIPKIRISTQQ AEALQDFRVVVRIDSWEATSVYPNGHFVRVLGRIGDLEGEIATILVENSISVVPFSEAQM CEMPVNTPENPWKVSPKEEQERKDLRTTHLVFSIDPKGCEDVDDTLSVRTLNNGNLELGV HIADVTHFVAPNSYIDVEARTRATTYYLADRRYDMLPSILSADLCSLLGGVDRYAVSVMW ELDKTSYEIKKVWYGRTIIRSAYKLFYEAAQELLDGNFSIVDDIPELKALDKQSQQAKLE ELVWAIGKLTDIARHIRAKRDRCGALELEGVEVRVQLDDKKNIRDLIPKQPLEVHETVAE CMILANHWVAKKIWESFPHQALLRQHPPPHQEFFSELRECAKAKGFFIDTRSNKTLADSL DSANDPKDPLVNKLLRSMATQAMSNALYFSTGSCAEEEFHHYGLALDKYTHFTSPIRRYS DIVVHRLLMAAISKDKKMEIKENLFSNKNLEELCRHINNRNRAAQRSQKQSTELFQCMYF KDRDAETEERCIADGVIYSIRTNGVLVFIPRFGIKGAAYLKNKDSLVISCGPEGSSEWKP GSLQRSQNKIISTTAGGQSVTFHLFDHVTVRISVQASRCHSDTIRLEIVSNKPYMIPNTE LCHQSSLLKSELVKEVTRSVEEAQLAQEVKGKVIQEEHQEYCQTKGRSLYTLLEEIRDLA LLDVSDSCAM >ENSMUSP00000109522.1 pep:known chromosome:GRCm38:9:64307011:64340457:-1 gene:ENSMUSG00000032396.17 transcript:ENSMUST00000113890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dis3l description:DIS3 like exosome 3'-5' exoribonuclease [Source:MGI Symbol;Acc:MGI:2143272] MQTACQAVQHQRGRRQYNKLRNLLKDARHDCVLFANEFQQHCYLPREKGEAMEKWQTRSI YNSAVWYYHHCEDRMPIVMVTEDEEAIQKYGSETEGVFVISFKNYLDNFWPDLKAAHDLC DSILQSRRERETESQETHGKEYPEHLPLEVLEAGIKSGRYIQGILNVNKHRAQIEAFVRL HGASSKDSGLVSDILIHGSKARNRSIHGDVVVVEMLPKSEWKGRTAALGENDSDDKASGE SPSEPMPTGRVVGILQKNWRDYVVTFPSKEEVQSQGKNAQKILVTPWDYRIPKIRISTQQ AEALQDFRVVVRIDSWEATSVYPNGHFVRVLGRIGDLEGEIATILVENSISVVPFSEAQM CEMPVNTPENPWKVSPKEEQERKDLRTTHLVFSIDPKGCEDVDDTLSVRTLNNGNLELGV HIADVTHFVAPNSYIDVEARTRATTYYLADRRYDMLPSILSADLCSLLGGVDRYAVSVMW ELDKTSYEIKKVWYGRTIIRSAYKLFYEAAQELLDGNFSIVDDIPELKALDKQSQQAKLE ELVWAIGKLTDIARHIRAKRDRCGALELEGVEVRVQLDDKKNIRDLIPKQPLEVHETVAE CMILANHWVAKKIWESFPHQALLRQHPPPHQEFFSELRECAKAKGFFIDTRSNKTLADSL DSANDPKDPLVNKLLRSMATQAMSNALYFSTGSCAEEEFHHYGLALDKYTHFTSPIRRYS DIVVHRLLMAAISKDKKMEIKENLFSNKNLEELCRHINNRNRAAQRSQKQSTELFQCMYF KDRDAETEERCIADGVIYSIRTNGVLVFIPRFGIKGAAYLKNKDSLVISCGPEGSSEWKP GSLQRSQNKIISTTAGGQSVTFHLFDHVTVRISVQASRCHSDTIRLEIVSNKPYMIPNTE LCHQSSLLKSELVKEVTRSVEEAQLAQEVKGKVIQEEHQEYCQTKGRSLYTLLEEIRDLA LLDVSDSCAM >ENSMUSP00000115236.1 pep:known chromosome:GRCm38:9:64329528:64336597:-1 gene:ENSMUSG00000032396.17 transcript:ENSMUST00000154076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dis3l description:DIS3 like exosome 3'-5' exoribonuclease [Source:MGI Symbol;Acc:MGI:2143272] MLAMTAFSLLTSSSSTVTSPGKRGKPWRSGRPGAYTTQRFGTITTV >ENSMUSP00000129772.2 pep:known chromosome:GRCm38:9:64306756:64341257:-1 gene:ENSMUSG00000032396.17 transcript:ENSMUST00000168844.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dis3l description:DIS3 like exosome 3'-5' exoribonuclease [Source:MGI Symbol;Acc:MGI:2143272] MLQKREKVLLLRTFQGRTLRIVREHYLRPSVPCNSPLCPQPAACRNDGKLLAAEVTHYVI PDWKVVQDYLEVLEFPELKGVIFMQTACQAVQHQRGRRQYNKLRNLLKDARHDCVLFANE FQQHCYLPREKGEAMEKWQTRSIYNSAVWYYHHCEDRMPIVMVTEDEEAIQKYGSETEGV FVISFKNYLDNFWPDLKAAHDLCDSILQSRRERETESQETHGKEYPEHLPLEVLEAGIKS GRYIQGILNVNKHRAQIEAFVRLHGASSKDSGLVSDILIHGSKARNRSIHGDVVVVEMLP KSEWKGRTAALGENDSDDKASGESPSEPMPTGRVVGILQKNWRDYVVTFPSKEEVQSQGK NAQKILVTPWDYRIPKIRISTQQAEALQDFRVVVRIDSWEATSVYPNGHFVRVLGRIGDL EGEIATILVENSISVVPFSEAQMCEMPVNTPENPWKVSPKEEQERKDLRTTHLVFSIDPK GCEDVDDTLSVRTLNNGNLELGVHIADVTHFVAPNSYIDVEARTRATTYYLADRRYDMLP SILSADLCSLLGGVDRYAVSVMWELDKTSYEIKKVWYGRTIIRSAYKLFYEAAQELLDGN FSIVDDIPELKALDKQSQQAKLEELVWAIGKLTDIARHIRAKRDRCGALELEGVEVRVQL DDKKNIRDLIPKQPLEVHETVAECMILANHWVAKKIWESFPHQALLRQHPPPHQEFFSEL RECAKAKGFFIDTRSNKTLADSLDSANDPKDPLVNKLLRSMATQAMSNALYFSTGSCAEE EFHHYGLALDKYTHFTSPIRRYSDIVVHRLLMAAISKDKKMEIKENLFSNKNLEELCRHI NNRNRAAQRSQKQSTELFQCMYFKDRDAETEERCIADGVIYSIRTNGVLVFIPRFGIKGA AYLKNKDSLVISCGPEGSSEWKPGSLQRSQNKIISTTAGGQSVTFHLFDHVTVRISVQAS RCHSDTIRLEIVSNKPYMIPNTELCHQSSLLKSELVKEVTRSVEEAQLAQEVKGKVIQEE HQEYCQTKGRSLYTLLEEIRDLALLDVSDSCAM >ENSMUSP00000023673.7 pep:known chromosome:GRCm38:16:92601466:92826149:-1 gene:ENSMUSG00000022952.16 transcript:ENSMUST00000023673.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx1 description:runt related transcription factor 1 [Source:MGI Symbol;Acc:MGI:99852] MASDSIFESFPSYPQCFMRDASTSRRFTPPSTALSPGKMSEALPLGAPDGGAALASKLRS GDRSMVEVLADHPGELVRTDSPNFLCSVLPTHWRCNKTLPIAFKVVALGDVPDGTLVTVM AGNDENYSAELRNATAAMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAI KITVDGPREPRRHRQKLDDQTKPGSLSFSERLSELEQLRRTAMRVSPHHPAPTPNPRASL NHSTAFNPQPQSQMQDARQIQPSPPWSYDQSYQYLGSITSSSVHPATPISPGRASGMTSL SAELSSRLSTAPDLTAFGDPRQFPTLPSISDPRMHYPGAFTYSPPVTSGIGIGMSAMSSA SRYHTYLPPPYPGSSQAQAGPFQTGSPSYHLYYGASAGSYQFSMVGGERSPPRILPPCTN ASTGAALLNPSLPSQSDVVETEGSHSNSPTNMPPARLEEAVWRPY >ENSMUSP00000109589.3 pep:known chromosome:GRCm38:16:92603656:92697328:-1 gene:ENSMUSG00000022952.16 transcript:ENSMUST00000113956.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx1 description:runt related transcription factor 1 [Source:MGI Symbol;Acc:MGI:99852] MRIPVDASTSRRFTPPSTALSPGKMSEALPLGAPDGGAALASKLRSGDRSMVEVLADHPG ELVRTDSPNFLCSVLPTHWRCNKTLPIAFKVVALGDVPDGTLVTVMAGNDENYSAELRNA TAAMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKITVDGPREPRNAR QIQPSPPWSYDQSYQYLGSITSSSVHPATPISPGRASGMTSLSAELSSRLSTAPDLTAFG DPRQFPTLPSISDPRMHYPGAFTYSPPVTSGIGIGMSAMSSASRYHTYLPPPYPGSSQAQ AGPFQTGSPSYHLYYGASAGSYQFSMVGGERSPPRILPPCTNASTGAALLNPSLPSQSDV VETEGSHSNSPTNMPPARLEEAVWRPY >ENSMUSP00000131079.1 pep:known chromosome:GRCm38:16:92605398:92696125:-1 gene:ENSMUSG00000022952.16 transcript:ENSMUST00000168195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx1 description:runt related transcription factor 1 [Source:MGI Symbol;Acc:MGI:99852] MRIPVDASTSRRFTPPSTALSPGKMSEALPLGAPDGGAALASKLRSGDRSMVEVLADHPG ELVRTDSPNFLCSVLPTHWRCNKTLPIAFKVVALGDVPDGTLVTVMAGNDENYSAELRNA TAAMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPPQVATYHRAIKITVDGPREPRRHR QKLDDQTKPGSLSFSERLSELEQLRRTAMRVSPHHPAPTPNPRASLNHSTAFNPQPQSQM QDARQIQPSPPWSYDQSYQYLGSITSSSVHPATPISPGRASGMTSLSAELSSRLSTAPDL TAFGDPRQFPTLPSISDPRMHYPGAFTYSPPVTSGIGIGMSAMSSASRYHTYLPPPYPGS SQAQAGPFQTGSPSYHLYYGASAGSYQFSMVGGERSPPRILPPCTNASTGAALLNPSLPS QSDVVETEGSHSNSPTNMPPARLEEAVWRPY >ENSMUSP00000139819.1 pep:known chromosome:GRCm38:16:92686956:92695495:-1 gene:ENSMUSG00000022952.16 transcript:ENSMUST00000187242.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx1 description:runt related transcription factor 1 [Source:MGI Symbol;Acc:MGI:99852] IAFKVVALGDVPDGTLVTVMAGNDENYSAELRNATAAMKNQVARFNDLRFVGRSGRGSCM VAL >ENSMUSP00000140419.1 pep:known chromosome:GRCm38:16:92695592:92825778:-1 gene:ENSMUSG00000022952.16 transcript:ENSMUST00000186296.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx1 description:runt related transcription factor 1 [Source:MGI Symbol;Acc:MGI:99852] MASDSIFESFPSYPQCFMRDASTSRRFTPPSTALSPGKMSEALPLGAPDGGAALASKLRS GDRSMVEV >ENSMUSP00000140495.1 pep:known chromosome:GRCm38:16:92815428:92826074:-1 gene:ENSMUSG00000022952.16 transcript:ENSMUST00000189679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx1 description:runt related transcription factor 1 [Source:MGI Symbol;Acc:MGI:99852] MASDSIFESFPSYPQCFMRALITLTGAKGAQHGDEHRAQGCRQ >ENSMUSP00000142319.1 pep:known chromosome:GRCm38:5:57717967:58133230:1 gene:ENSMUSG00000029108.14 transcript:ENSMUST00000191837.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh7 description:protocadherin 7 [Source:MGI Symbol;Acc:MGI:1860487] MLRMRTTGWARGWCLGCCLLLPLCFSLAAAKQLLRYRLAEEGPADVRIGNVASDLGIVTG SGEVTFSLESGSEYLKIDNLTGELSTSERRIDREKLPQCQMIFDENECFLDFEVSVIGPS QSWVDLFEGRVIVLDINDNTPTFPSPVLTLTVEENRPVGTLYLLPTATDRDFGRNGIERY ELLQEPGGGGGSGEGRRLGPADSAPYPGGGGNSASGGGSGGSKRRLDAPEGGGGTSPSGR SSVFELQVADTPDGEKQPQLIVKGALDREQRDSYELTLRVRDGGDPPRSSQAILRVLITD VNDNSPRFEKSVYEADLAENSAPGTPILQLRATDLDVGVNGQIEYVFGAATESVRRLLRL DETSGWLSVLHRIDREEVNQLRFTVMARDRGQPPKTDKATVVLNIKDENDNVPSIEIRKI GRIPLKDGVANVAEDVLVDTPIALVQVSDRDQGENGVVTCTVVGDVPFQLKPASDTEGDQ NKKKYFLHTSAPLDYETTREFNVVIVAVDSGSPSLSSNNSLVVKVGDTNDNPPVFGQSVV EVYFPENNIPGERVATVLATDADSGKNAEIAYSLDSSVMGTFAIDPDSGDILVNTVLDRE QTDRYEFKVNAKDKGIPVLQGSTTVIVQVADKNDNDPKFMQDVFTFYVKENLQPNSPVGM VTVMDADKGRNAEMSLYIEENSNIFSIENDTGTIYSTMSFDREHQTTYTFRVKAVDGGDP PRSATATVSLFVMDENDNAPTVTLPRNISYTLLPPSSNVRTVVATVLATDSDDGINADLN YSIVGGNPFKLFEIDSTSGVVSLVGKLTQKHYGLHRLVVQVNDSGQPSQSTTTLVHVFVN ESVSNATVIDSQIVRSLHTPLTQDIAGDPSYEISKQRLSIVIGVVAGIMTVILIILIVMM ARYCRSKNKNGYEAGKKDHEDFFTPQQHDKSKKPKKDKKNKKSKQPLYSSIVTVEASKPN GQRYDSVNEKLSDSPSMGRYRSVNGGPGSPDLARHYKSSSPLPTVQLHPQSPTAGKKHQA VQDLPPANTFVGAGDNISIGSDHCSEYSCQTSNKYSKQPFRRVTFSVVSQPQDPHQGSLQ SCYDSGLEESETPSSKSSSGPRLGALPLPEDNYERTTPDGSVGEAEHMENDSRPLPDVAL TGKCTRECDEYGHSDSCWMPVRTSPERKKSQPKLSTFMPVDERGSQEKLANGEAAIMGDR NRNLLNKKLTSSYETFSAASFSKNEEANPEDIPLTKTGEYKPSPVNTLTRREVYL >ENSMUSP00000066306.7 pep:known chromosome:GRCm38:5:57718020:57729465:1 gene:ENSMUSG00000029108.14 transcript:ENSMUST00000068110.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh7 description:protocadherin 7 [Source:MGI Symbol;Acc:MGI:1860487] MLRMRTTGWARGWCLGCCLLLPLCFSLAAAKQLLRYRLAEEGPADVRIGNVASDLGIVTG SGEVTFSLESGSEYLKIDNLTGELSTSERRIDREKLPQCQMIFDENECFLDFEVSVIGPS QSWVDLFEGRVIVLDINDNTPTFPSPVLTLTVEENRPVGTLYLLPTATDRDFGRNGIERY ELLQEPGGGGGSGEGRRLGPADSAPYPGGGGNSASGGGSGGSKRRLDAPEGGGGTSPSGR SSVFELQVADTPDGEKQPQLIVKGALDREQRDSYELTLRVRDGGDPPRSSQAILRVLITD VNDNSPRFEKSVYEADLAENSAPGTPILQLRATDLDVGVNGQIEYVFGAATESVRRLLRL DETSGWLSVLHRIDREEVNQLRFTVMARDRGQPPKTDKATVVLNIKDENDNVPSIEIRKI GRIPLKDGVANVAEDVLVDTPIALVQVSDRDQGENGVVTCTVVGDVPFQLKPASDTEGDQ NKKKYFLHTSAPLDYETTREFNVVIVAVDSGSPSLSSNNSLVVKVGDTNDNPPVFGQSVV EVYFPENNIPGERVATVLATDADSGKNAEIAYSLDSSVMGTFAIDPDSGDILVNTVLDRE QTDRYEFKVNAKDKGIPVLQGSTTVIVQVADKNDNDPKFMQDVFTFYVKENLQPNSPVGM VTVMDADKGRNAEMSLYIEENSNIFSIENDTGTIYSTMSFDREHQTTYTFRVKAVDGGDP PRSATATVSLFVMDENDNAPTVTLPRNISYTLLPPSSNVRTVVATVLATDSDDGINADLN YSIVGGNPFKLFEIDSTSGVVSLVGKLTQKHYGLHRLVVQVNDSGQPSQSTTTLVHVFVN ESVSNATVIDSQIVRSLHTPLTQDIAGDPSYEISKQRLSIVIGVVAGIMTVILIILIVMM ARYCRSKNKNGYEAGKKDHEDFFTPQQHDKSKKPKKDKKNKKSKQPLYSSIVTVEASKPN GQRYDSVNEKLSDSPSMGRYRSVNGGPGSPDLARHYKSSSPLPTVQLHPQSPTAGKKHQA VQDLPPANTFVGAGDNISIGSDHCSEYSCQTSNKYSKQMRVHPYITVFG >ENSMUSP00000092376.4 pep:known chromosome:GRCm38:5:57719097:58129351:1 gene:ENSMUSG00000029108.14 transcript:ENSMUST00000094783.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh7 description:protocadherin 7 [Source:MGI Symbol;Acc:MGI:1860487] MLRMRTTGWARGWCLGCCLLLPLCFSLAAAKQLLRYRLAEEGPADVRIGNVASDLGIVTG SGEVTFSLESGSEYLKIDNLTGELSTSERRIDREKLPQCQMIFDENECFLDFEVSVIGPS QSWVDLFEGRVIVLDINDNTPTFPSPVLTLTVEENRPVGTLYLLPTATDRDFGRNGIERY ELLQEPGGGGGSGEGRRLGPADSAPYPGGGGNSASGGGSGGSKRRLDAPEGGGGTSPSGR SSVFELQVADTPDGEKQPQLIVKGALDREQRDSYELTLRVRDGGDPPRSSQAILRVLITD VNDNSPRFEKSVYEADLAENSAPGTPILQLRATDLDVGVNGQIEYVFGAATESVRRLLRL DETSGWLSVLHRIDREEVNQLRFTVMARDRGQPPKTDKATVVLNIKDENDNVPSIEIRKI GRIPLKDGVANVAEDVLVDTPIALVQVSDRDQGENGVVTCTVVGDVPFQLKPASDTEGDQ NKKKYFLHTSAPLDYETTREFNVVIVAVDSGSPSLSSNNSLVVKVGDTNDNPPVFGQSVV EVYFPENNIPGERVATVLATDADSGKNAEIAYSLDSSVMGTFAIDPDSGDILVNTVLDRE QTDRYEFKVNAKDKGIPVLQGSTTVIVQVADKNDNDPKFMQDVFTFYVKENLQPNSPVGM VTVMDADKGRNAEMSLYIEENSNIFSIENDTGTIYSTMSFDREHQTTYTFRVKAVDGGDP PRSATATVSLFVMDENDNAPTVTLPRNISYTLLPPSSNVRTVVATVLATDSDDGINADLN YSIVGGNPFKLFEIDSTSGVVSLVGKLTQKHYGLHRLVVQVNDSGQPSQSTTTLVHVFVN ESVSNATVIDSQIVRSLHTPLTQDIAGDPSYEISKQRLSIVIGVVAGIMTVILIILIVMM ARYCRSKNKNGYEAGKKDHEDFFTPQQHDKSKKPKKDKKNKKSKQPLYSSIVTVEASKPN GQRYDSVNEKLSDSPSMGRYRSVNGGPGSPDLARHYKSSSPLPTVQLHPQSPTAGKKHQA VQDLPPANTFVGAGDNISIGSDHCSEYSCQTSNKYSKQPFRRVTFSVVSQPQDPHQGSLQ SCYDSGLEESETPSSKSSSGPRLGALPLPEDNYERTTPDGSVDSRPLPDVALTGKCTREC DEYGHSDSCWMPVRTSPERKKSQPKLSTFMPVDERGSQEKLANGEAAIMGDRNRNLLNKK LTSSYETFSAASFSKNEEANPEDIPLTKTGEYKPSPVNTLTRREVYL >ENSMUSP00000142276.1 pep:known chromosome:GRCm38:5:57720123:57916032:1 gene:ENSMUSG00000029108.14 transcript:ENSMUST00000192287.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh7 description:protocadherin 7 [Source:MGI Symbol;Acc:MGI:1860487] XGQIEYVFGAATESVRRLLRLDETSGWLSVLHRIDREEVNQLRFTVMARDRGQPPKTDKA TVVLNIKDENDNVPSIEIRKIGRIPLKDGVANVAEDVLVDTPIALVQVSDRDQGENGVVT CTVVGDVPFQLKPASDTEGDQNKKKYFLHTSAPLDYETTREFNVVIVAVDSGSPSLSSNN SLVVKVGDTNDNPPVFGQSVVEVYFPENNIPGERVATVLATDADSGKNAEIAYSLDSSVM GTFAIDPDSGDILVNTVLDREQTDRYEFKVNAKDKGIPVLQGSTTVIVQVADKNDNDPKF MQDVFTFYVKENLQPNSPVGMVTVMDADKGRNAEMSLYIEENSNIFSIENDTGTIYSTMS FDREHQTTYTFRVKAVDGGDPPRSATATVSLFVMDENDNAPTVTLPRNISYTLLPPSSNV RTVVATVLATDSDDGINADLNYSIVGGNPFKLFEIDSTSGVVSLVGKLTQKHYGLHRLVV QVNDSGQPSQSTTTLVHVFVNESVSNATVIDSQIVRSLHTPLTQDIAGDPSYEISKQRLS IVIGVVAGIMTVILIILIVMMARYCRSKNKNGYEAGKKDHEDFFTPQQHDKSKKPKKDKK NKKSKQPLYSSIVTVEASKPNGQRYDSVNEKLSDSPSMGRYRSVNGGPGSPDLARHYKSS SPLPTVQLHPQSPTAGKKHQAVQDLPPANTFVGAGDNISIGSDHCSEYSCQTSNKYSKQP FRRVTFSVVSQPQDPHQGSLQSCYDSGLEESETPSSKSSSGPRLGALPLPEDNYERTTPD GSVGEAEHMENVSTWMKMCAHH >ENSMUSP00000141378.1 pep:known chromosome:GRCm38:5:57721162:58129797:1 gene:ENSMUSG00000029108.14 transcript:ENSMUST00000195156.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcdh7 description:protocadherin 7 [Source:MGI Symbol;Acc:MGI:1860487] XIENDTGTIYSTMSFDREHQTTYTFRVKAVDGGDPPRSATATVSLFVMDENDNAPTVTLP RNISYTLLPPSSNVRTVVATVLATDSDDGINADLNYSIVGGNPFKLFEIDSTSGVVSLVG KLTQKHYGLHRLVVQVNDSGQPSQSTTTLVHVFVNESVSNATVIDSQIVRSLHTPLTQDI AGDPSYEISKQRLSIVIGVVAGIMTVILIILIVMMARYCRSKNKNGYEAGKKDHEDFFTP QQHDKSKKPKKDKKNKKSKQPLYSSIVTVEASKPNGQRYDSVNEKLSDSPSMGRYRSVNG GPGSPDLARHYKSSSPLPTVQLHPQSPTAGKKHQAVQDLPPANTFVGAGDNISIGSDHCS EYSCQTSNKYSKQVGWRIT >ENSMUSP00000143387.1 pep:known chromosome:GRCm38:5:57721913:57913448:1 gene:ENSMUSG00000029108.14 transcript:ENSMUST00000199310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh7 description:protocadherin 7 [Source:MGI Symbol;Acc:MGI:1860487] MGRYRSVNGGPGSPDLARHYKSSSPLPTVQLHPQSPTAGKKHQAVQDLPPANTFVGAGDN ISIGSDHCSEYSCQTSNKYSKQPFRRVTFSVVSQPQDPHQGSLQSCYDSGLEESETPSSK SSSGPRLGALPLPEDNYERTTPDGSVGEAEHMENGRGKHNIHTHNHASEPE >ENSMUSP00000141505.1 pep:known chromosome:GRCm38:5:57722209:58129454:1 gene:ENSMUSG00000029108.14 transcript:ENSMUST00000192048.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh7 description:protocadherin 7 [Source:MGI Symbol;Acc:MGI:1860487] XNISIGSDHCSEYSCQTSNKYSKQPFRRVTFSVVSQPQDPHQGSLQSCYDSGLEESETPS SKSSSGPRLGALPLPEDNYERTTPDGSVGEAEHMENGVAVITTFPFLPFPHGKTHGRRVL LRPLH >ENSMUSP00000033257.8 pep:known chromosome:GRCm38:7:132827457:132852673:-1 gene:ENSMUSG00000030960.16 transcript:ENSMUST00000033257.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl10 description:methyltransferase like 10 [Source:MGI Symbol;Acc:MGI:1919346] MNADAEGHSGAVVPAQSPEGSSAADDFVPSALGTREHWDAVYERELRTFQEYGDTGEIWF GEESMNRLIRWMQKHKIPLDASVLDIGTGNGVFLVELVKHGFSNITGIDYSPSAIKLSAS ILEKEGLSNINLKVEDFLNPSTKLSGFHVCVDKGTYDAISLNPDNAIEKRKQYVMSLSRV LEVKGFFLITSCNWTKAELLDAFSEGFELFEELPTPKFSFGGRSGNTVAALVFQKRGTSL DKIS >ENSMUSP00000113039.1 pep:known chromosome:GRCm38:7:132827609:132852616:-1 gene:ENSMUSG00000030960.16 transcript:ENSMUST00000120425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl10 description:methyltransferase like 10 [Source:MGI Symbol;Acc:MGI:1919346] MNADAEGHSGAVVPAQSPEGSSAADDFVPSALGTREHWDAVYERELRTFQEYGDTGEIWF GEESMNRLIRWMQKHKIPLDASVLDIGTGNGVFLVELDLNFLKSCQHPSSALEVDLETL >ENSMUSP00000140096.1 pep:known chromosome:GRCm38:7:132831360:132852615:-1 gene:ENSMUSG00000030960.16 transcript:ENSMUST00000152410.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl10 description:methyltransferase like 10 [Source:MGI Symbol;Acc:MGI:1919346] MNADAEGHSGAVVPAQSPEGSSAADDFVPSALGTREHWDAVYERELRTFQEYGDTGEIWF GEESMNRLIRWMQKHKIPLDASVLDIGTGNGVFLVELVGETWFL >ENSMUSP00000140277.1 pep:known chromosome:GRCm38:7:132831368:132852585:-1 gene:ENSMUSG00000030960.16 transcript:ENSMUST00000141920.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl10 description:methyltransferase like 10 [Source:MGI Symbol;Acc:MGI:1919346] XSGAVVPAQSPEGSSAADDFVPSALGTREHWDAVYERELRTFQEYGDTGEIW >ENSMUSP00000144500.1 pep:known chromosome:GRCm38:5:91027464:91034213:1 gene:ENSMUSG00000035020.11 transcript:ENSMUST00000202724.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epgn description:epithelial mitogen [Source:MGI Symbol;Acc:MGI:1919170] MKAALSEEAEVIPPSTAQQSNWTFNNTEADYIEEPVALKFSHPCLEDHNSYCINGACAFH HELKQAICRCFTGYTGQRCEHLTLTSYAVDSYEKYIAIGIGVGLLISAFLAVFYCYIRKR CINLKSPYIICSGGSPL >ENSMUSP00000046987.8 pep:known chromosome:GRCm38:5:91027464:91035215:1 gene:ENSMUSG00000035020.11 transcript:ENSMUST00000041516.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epgn description:epithelial mitogen [Source:MGI Symbol;Acc:MGI:1919170] MALGVLIAVCLLFKAMKAALSEEAEVIPPSTAQQSNWTFNNTEADYIEEPVALKFSHPCL EDHNSYCINGACAFHHELKQAICRCFTGYTGQRCEHLTLTSYAVDSYEKYIAIGIGVGLL ISAFLAVFYCYIRKRCINLKSPYIICSGGSPL >ENSMUSP00000120227.1 pep:known chromosome:GRCm38:16:91406164:91425822:1 gene:ENSMUSG00000022969.13 transcript:ENSMUST00000156133.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il10rb description:interleukin 10 receptor, beta [Source:MGI Symbol;Acc:MGI:109380] MSWAPSVAGWLGGFLLVPGEVGEQGPQHSFLSPVLCSASSPSPHLPLHMSTP >ENSMUSP00000023691.5 pep:known chromosome:GRCm38:16:91406235:91425834:1 gene:ENSMUSG00000022969.13 transcript:ENSMUST00000023691.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il10rb description:interleukin 10 receptor, beta [Source:MGI Symbol;Acc:MGI:109380] MSWAPSVAGWLGGFLLVPALGMIPPPEKVRMNSVNFKNILQWEVPAFPKTNLTFTAQYES YRSFQDHCKRTASTQCDFSHLSKYGDYTVRVRAELADEHSEWVNVTFCPVEDTIIGPPEM QIESLAESLHLRFSAPQIENEPETWTLKNIYDSWAYRVQYWKNGTNEKFQVVSPYDSEVL RNLEPWTTYCIQVQGFLLDQNRTGEWSEPICERTGNDEITPSWIVAIILIVSVLVVFLFL LGCFVVLWLIYKKTKHTFRSGTSLPQHLKEFLGHPHHSTFLLFSFPPPEEAEVFDKLSII SEESEGSKQSPEDNCASEPPSDPGPRELESKDEAPSPPHDDPKLLTSTSEV >ENSMUSP00000120485.2 pep:known chromosome:GRCm38:16:91406320:91424924:1 gene:ENSMUSG00000022969.13 transcript:ENSMUST00000144215.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il10rb description:interleukin 10 receptor, beta [Source:MGI Symbol;Acc:MGI:109380] XSWAPSVAGWLGGFLLVPALGMIPPPEKVRMNSVNFKNILQWEVPAFPKTNLTFTAQYES HHWTS >ENSMUSP00000131366.1 pep:known chromosome:GRCm38:17:25718926:25727371:-1 gene:ENSMUSG00000019214.13 transcript:ENSMUST00000170575.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chtf18 description:CTF18, chromosome transmission fidelity factor 18 [Source:MGI Symbol;Acc:MGI:2384887] MEDYEEDLYGVEDDFQNQFAAELEVLAELEAGTRDQAPPGTLQTPASRPPLTFEEAIAGG DTVPRPCPAGSPGNVNRNTRKNVRRDQPAPSSPMVKRPRLDVVKKLNFEPDMEELLYPDS PPGDITPPPSPEVFPEMLDAGYSDANADKDLMQTLPSPRNRNPVLRRPPILEDYINVTST SGERAFLVLRADLIGPGVQNPLLDVHWRGCGQLDLLGVPFASLKEQVDSKRRQQLLEDAQ QLSDTLHSLRSEGEEAVLEGPPAEEPAPGQNTAQHCLWVDEFAPQHYTELLSDDFTNRCL LKWLKLWDLVVFGRERPARKPRPGVETTRVGKEATAPGKWKSHEQALEEMLEAELDPSQR PRQKD >ENSMUSP00000043896.7 pep:known chromosome:GRCm38:17:25718926:25727419:-1 gene:ENSMUSG00000019214.13 transcript:ENSMUST00000048054.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtf18 description:CTF18, chromosome transmission fidelity factor 18 [Source:MGI Symbol;Acc:MGI:2384887] MEDYEEDLYGVEDDFQNQFAAELEVLAELEGTRDQAPPGTLQTPASRPPLTFEEAIAGGD TVPRPCPAGSPGNVNRNTRKNVRRDQPAPSSPMVKRPRLDVVKKLNFEPDMEELLYPDSP PGDITPPPSPEVFPEMLDAGYSDANADKDLMQTLPSPRNRNPVLRRPPILEDYINVTSTS GERAFLVLRADLIGPGVQNPLLDVHWRGCGQLDLLGVPFASLKEQVDSKRRQQLLEDAQQ LSDTLHSLRSEGEEAVLEGPPAEEPAPGQNTAQHCLWVDEFAPQHYTELLSDDFTNRCLL KWLKLWDLVVFGRERPARKPRPGVETTRVGKEATAPGKWKSHEQALEEMLEAELDPSQRP RQKVALLCGPPGLGKTTLAHVVARHAGYCVVEMNASDDRSPEAFRTRIEAATQMESVLGV GGRPNCLVIDEIDGAPTAAINVLLGILNRKGPQEADQGGTAVAAGGRRRRAEGGLLTRPI ICICNDQFTPSLRQLKQQALLLHVPPTLPSRLVQRLQEISLQHGMRSDPGALVALCEKTD NDIRACINTLQFLYGRGRRELSVKAVQTTHVGLKDQRKGLFSVWQEVFQLPRTQRRIVGQ DLMLPAHALLLSNGDKGSLTLASQRFYHILRVTTSAGEHEKVVQGLFDNFLRLRLRDSSL STVCCALDWLAFDDLLEQAAHRGQSFQLLCYLPFVPAAFHVLFASSHVPRITFPSSQQEA QTRMSQTRNHIQTLVSGMAPTTRSRATPQALVLDTLCLLLDVLAPKLRPVSTQLYSAHEK QQLSCLVGTMLAYSLTYHQERTPDGQYLYKLEPNVEEVCRFPELPARKPLTYQAKQLIAR EIEMEKMRRAEALAWARSGPQVDQGSSGPASLWTDSGEKGTRQPVPRNHEQRLEHIMTRA TVQEQPERDFFGRVVIRKVAVPSREVEAPQKDADEWRMGVAVGRSEVWFRFNEGVSNAVR RSLYIRDLL >ENSMUSP00000131349.1 pep:known chromosome:GRCm38:17:25719046:25720901:-1 gene:ENSMUSG00000019214.13 transcript:ENSMUST00000167940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtf18 description:CTF18, chromosome transmission fidelity factor 18 [Source:MGI Symbol;Acc:MGI:2384887] SRATPQALVLDTLCLLLDVLAPKLRPVSTQLYSAHEKQQLSCLVGTMLAYSLTYHQERTP DGQYLYKLEPNVEEVCRFPELPARKPLTYQAKQLIAREIEMEKMRRAEALAWARSGPQDI RSHWLPQGMD >ENSMUSP00000129694.1 pep:known chromosome:GRCm38:17:25719047:25719785:-1 gene:ENSMUSG00000019214.13 transcript:ENSMUST00000169767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtf18 description:CTF18, chromosome transmission fidelity factor 18 [Source:MGI Symbol;Acc:MGI:2384887] XVDQGSSGPASLWTDSGEKGTRQPVPRNHEQRLEHIMTRATVQEQPERDFFGRVVIRKVA VPSRGVEAPQKDADEWRMGVAVGRSEVWFRFNEGVSNAVRRSLYIRDLL >ENSMUSP00000131768.1 pep:known chromosome:GRCm38:17:25725576:25727410:-1 gene:ENSMUSG00000019214.13 transcript:ENSMUST00000170070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtf18 description:CTF18, chromosome transmission fidelity factor 18 [Source:MGI Symbol;Acc:MGI:2384887] MEDYEEDLYGVEDDFQNQFAAELEVLAELEAGTRDQAPPGTLQTPASRPPLTFEEAIAGG DTVPRPCPAGSPGNVNRNTRKNVRRDQPAPSSPMVKRPRLDVVKKLNFEPDMEELLYPDS PPGDITPPPSPEVFPEMLDAGYSDANADKDLMQTLPSPRNRNPVLRRPPILEDYINVTST SGERAFLVLRADLIGPGVQNPLLDVHWRGCGQLDLLGVPFASLKEQVDSKRRQQLLEDAQ QLSDTLHSLRSEGEEAVLEGPPAEEPA >ENSMUSP00000031103.7 pep:known chromosome:GRCm38:5:65413221:65435949:-1 gene:ENSMUSG00000029201.14 transcript:ENSMUST00000031103.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugdh description:UDP-glucose dehydrogenase [Source:MGI Symbol;Acc:MGI:1306785] MVEIKKICCIGAGYVGGPTCSVIAHMCPEIRVTVVDVNEARINAWNSPTLPIYEPGLKEV VESCRGKNLFFSTNIDDAIREADLVFISVNTPTKTYGMGKGRAADLKYIEACARRIVQNS NGYKIVTEKSTVPVRAAESIRRIFDANTKPNLNLQVLSNPEFLAEGTAIKDLKNPDRVLI GGDETPEGQKAVRALCAVYEHWVPKEKILTTNTWSSELSKLAANAFLAQRISSINSISAL CEATGADVEEVATAIGMDQRIGNKFLKASVGFGGSCFQKDVLNLVYLCEALNLPEVARYW QQVIDMNDYQRRRFASRIIDSLFNTVTDKKIAILGFAFKKDTGDTRESSSIYISKYLMDE GAHLHIYDPKVPREQIVVDLSHPGVSADDQVSRLVTISKDPYEACDGAHALVICTEWDMF KELDYERIHKKMLKPAFIFDGRRVLDGLHSELQTIGFQIETIGKKVSSKRIPYTPGEIPK FSLQDPPNKKPKV >ENSMUSP00000118999.1 pep:known chromosome:GRCm38:5:65423354:65428387:-1 gene:ENSMUSG00000029201.14 transcript:ENSMUST00000131263.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugdh description:UDP-glucose dehydrogenase [Source:MGI Symbol;Acc:MGI:1306785] MVEIKKICCIGAGYVGGPTCSVIAHMCPEIRVTVVDVNEARINAWNSPTLPIYEPGLKEV VESCRGKNLFFSTNIDDAIREADLVFISVNTPTKTYGMGKGRAADLKYIEACARRIVQNS NGYKIVTEKSTVPVRAAESIRRIFDANTKPNLNLQVL >ENSMUSP00000106744.1 pep:known chromosome:GRCm38:14:66985239:67008856:-1 gene:ENSMUSG00000022051.15 transcript:ENSMUST00000111115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip3l description:BCL2/adenovirus E1B interacting protein 3-like [Source:MGI Symbol;Acc:MGI:1332659] MSHLVEPPPPLHNNNNNCEEGEQPLPPPAGLNSSWVELPMNSSNGNENGNGKNGGLEHVP SSSSIHNGDMEKILLDAQHESGQSSSRGSSHCDSPSPQEDGQIMFDVEMHTSRDHSSQSE EEVVEGEKEVEALKKSADWVSDWSSRPENIPPKEFHFRHPKRAASLSMRKSGAMKKGGIF SAEFLKVFIPSLFLSHVLALGLGW >ENSMUSP00000022634.8 pep:known chromosome:GRCm38:14:66985239:67008877:-1 gene:ENSMUSG00000022051.15 transcript:ENSMUST00000022634.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip3l description:BCL2/adenovirus E1B interacting protein 3-like [Source:MGI Symbol;Acc:MGI:1332659] MSHLVEPPPPLHNNNNNCEEGEQPLPPPAGLNSSWVELPMNSSNGNENGNGKNGGLEHVP SSSSIHNGDMEKILLDAQHESGQSSSRGSSHCDSPSPQEDGQIMFDVEMHTSRDHSSQSE EEVVEGEKEVEALKKSADWVSDWSSRPENIPPKEFHFRHPKRAASLSMRKSGAMKKGGIF SAEFLKVFIPSLFLSHVLALGLGIYIGKRLSTPSASTY >ENSMUSP00000099360.3 pep:known chromosome:GRCm38:11:106300271:106301865:-1 gene:ENSMUSG00000020713.6 transcript:ENSMUST00000103071.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gh description:growth hormone [Source:MGI Symbol;Acc:MGI:95707] MATDSRTSWLLTVSLLCLLWPQEASAFPAMPLSSLFSNAVLRAQHLHQLAADTYKEFERA YIPEGQRYSIQNAQAAFCFSETIPAPTGKEEAQQRTDMELLRFSLLLIQSWLGPVQFLSR IFTNSLMFGTSDRVYEKLKDLEEGIQALMQELEDGSPRVGQILKQTYDKFDANMRSDDAL LKNYGLLSCFKKDLHKAETYLRVMKCRRFVESSCAF >ENSMUSP00000011315.3 pep:known chromosome:GRCm38:12:116077728:116146261:1 gene:ENSMUSG00000011171.11 transcript:ENSMUST00000011315.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vipr2 description:vasoactive intestinal peptide receptor 2 [Source:MGI Symbol;Acc:MGI:107166] MRASVVLTCYCWLLVRVSSIHPECRFHLEIQEEETKCAELLSSQTENQRACSGVWDNITC WRPADVGETVTVPCPKVFSNFYSRPGNISKNCTSDGWSETFPDFIDACGYNDPEDESKIS FYILVKAIYTLGYSVSLMSLTTGSIIICLFRKLHCTRNYIHLNLFLSFMLRAISVLVKDS VLYSSSGLLRCHDQPASWVGCKLSLVFFQYCIMANFYWLLVEGLYLHTLLVAILPPSRCF LAYLLIGWGIPSVCIGAWTATRLSLEDTGCWDTNDHSIPWWVIRMPILISIVVNFALFIS IVRILLQKLTSPDVGGNDQSQYKRLAKSTLLLIPLFGVHYMVFAAFPIGISSTYQILFEL CVGSFQGLVVAVLYCFLNSEVQCELKRRWRGLCLTQAGSRDYRLHSWSMSRNGSESALQI HRGSRTQSFLQSETSVI >ENSMUSP00000135149.1 pep:known chromosome:GRCm38:12:116137347:116143741:1 gene:ENSMUSG00000011171.11 transcript:ENSMUST00000176433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vipr2 description:vasoactive intestinal peptide receptor 2 [Source:MGI Symbol;Acc:MGI:107166] XTRLSLEDTGCWDTNDHSIPWWVIRMPILISIVVNFALFISIVRILLQKLTSPDVGGNDQ SQYKRLAKSTLLLIPLFGVHYMVFAAFPIGISSTYQILFELCVGSFQGLVVAVLYCFLNS ESL >ENSMUSP00000118809.1 pep:known chromosome:GRCm38:17:33089310:33106203:1 gene:ENSMUSG00000067424.12 transcript:ENSMUST00000131722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp563 description:zinc finger protein 563 [Source:MGI Symbol;Acc:MGI:2677168] MDCLTFEDVAVNFTLEEWDLLDPSQKQLYTDVMQETFKNLIAIGQIEEVQRSKDVDEDSR RNLRRGLVELLYKPSEGRQCDGIFSEFPDTVMNKKTSHGVKRCESNLCEDILIGLPPLNM HIPLQPGHKRYEYEVYEDSLYKFRECGKTFMYPECYLKHGNTHTIEKPYKCHQCGKAFSS SSKVRRHERTHTGEKPYICNHCGKAFPSRGSLRRHDRIHSGEKPFVCKYCGKAFTGQSSL PRHERIHSGEKPYVCKYCGKCFISSSTCRVHERTHTGEKLYVCNLCNKAVTTRTSLRNHE RIHSGEKPYVCEQCGKGFISSGTFRIHERIHTGEKPYRCKECGKAFTIQSSLQRHERIHT REKPYDCKECGKAFSGYSSLRRHERIHSGERPYACKQCGKAFPALGDCQRHEQIHTGEKP YICKQCGKAFTRCGSLRIHEKTHARENS >ENSMUSP00000119142.1 pep:known chromosome:GRCm38:17:33089564:33104724:1 gene:ENSMUSG00000067424.12 transcript:ENSMUST00000153072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp563 description:zinc finger protein 563 [Source:MGI Symbol;Acc:MGI:2677168] XSGQLRGQRVRLSLFGSGTADLWSLLETTRGPGSRRGERRGNDCLTFEDVAVNFTLEEWD LLDPSQKQLYTDVMQETFKNLIAIGQIEEVQRSKDVDEDSRRNLRRGLVELLYKPSEGRQ CDGIFSEFPDTVMNKKTS >ENSMUSP00000121678.1 pep:known chromosome:GRCm38:17:33092458:33105058:1 gene:ENSMUSG00000067424.12 transcript:ENSMUST00000140829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp563 description:zinc finger protein 563 [Source:MGI Symbol;Acc:MGI:2677168] MDCLTFEDVAVNFTLEEWDLLDPSQKQLYTDVMQETFKNLIAIGQIEEVQRSKDVDEDSR RNLRRGLVELLYKPSEGRQCDGIFSEFPDTVMNKKTSHGVKRCESNLCEDILIGLPPLNM HIPLQPGHKRYEYEVYEDSLYKFRECGKTFMYPECYLKHGNTHTIEKPYKCHQCGKAFSS SSKVRRHERTHTGEKPYICNHCGKAFPSR >ENSMUSP00000080854.6 pep:known chromosome:GRCm38:5:107900502:107909005:1 gene:ENSMUSG00000058558.12 transcript:ENSMUST00000082223.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl5 description:ribosomal protein L5 [Source:MGI Symbol;Acc:MGI:102854] MGFVKVVKNKAYFKRYQVRFRRRREGKTDYYARKRLVIQDKNKYNTPKYRMIVRVTNRDI ICQIAYARIEGDMIVCAAYAHELPKYGVKVGLTNYAAAYCTGLLLARRLLNRFGMDKIYE GQVEVNGGEYNVESIDGQPGAFTCYLDAGLARTTTGNKVFGALKGAVDGGLSIPHSTKRF PGYDSESKEFNAEVHRKHIMGQNVADYMRYLMEEDEDAYKKQFSQYIKNNVTPDMMEEMY KKAHAAIRENPVYEKKPKREVKKKRWNRPKMSLAQKKDRVAQKKASFLRAQERAAES >ENSMUSP00000123474.1 pep:known chromosome:GRCm38:5:107900874:107904828:1 gene:ENSMUSG00000058558.12 transcript:ENSMUST00000153590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl5 description:ribosomal protein L5 [Source:MGI Symbol;Acc:MGI:102854] MIVRVTNRDIICQIAYARIEGDMIVCAAYAHELPKYGVKVGLTNYAAAYCTGLLLARRLL NRFGMDKIYEGQVEVNGGEYNVESIDGQPGAFTCYLDAGLARTTTGNKVFGALKGAVDGG LSIPHSTKRFPGYDSESKEFNAEVHRKHIMGQNVADYMRYLME >ENSMUSP00000103663.2 pep:known chromosome:GRCm38:4:41941572:41942629:1 gene:ENSMUSG00000078747.9 transcript:ENSMUST00000108028.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20878 description:predicted gene, 20878 [Source:MGI Symbol;Acc:MGI:5434234] MQAPHIECEGTVQSLDMSRLRRYEVALEAEEEIYWGCFYFFPRLRMWRRERSSAHPREQK LEPLRGLMSCLSSGLRPAPQRSGRGLLCRTPTAAAQTAGALKI >ENSMUSP00000116356.1 pep:known chromosome:GRCm38:4:41941599:41943124:1 gene:ENSMUSG00000078747.9 transcript:ENSMUST00000153997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20878 description:predicted gene, 20878 [Source:MGI Symbol;Acc:MGI:5434234] MSRLRRYEVALEAEEEIYWGCFYFFPRLRMWRRERSSAHPREQKLEPLRGLMSCLSSGLR PAPQRSGRGLLCRTPTAAAQTAGALKI >ENSMUSP00000137739.1 pep:known chromosome:GRCm38:4:41942037:41942625:1 gene:ENSMUSG00000078747.9 transcript:ENSMUST00000181518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20878 description:predicted gene, 20878 [Source:MGI Symbol;Acc:MGI:5434234] MSRLRRYEVALEAEEEIYWGCFYFFPRLRMWRRERSSAHPREQKLEPLRGLMSCLSSGLR PAPQRSGRGLLCRTPTAAAQTAGALKI >ENSMUSP00000091954.1 pep:known chromosome:GRCm38:7:48020971:48027597:-1 gene:ENSMUSG00000070552.2 transcript:ENSMUST00000094390.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprx1 description:MAS-related GPR, member X1 [Source:MGI Symbol;Acc:MGI:3033139] MDPTISSHDTESTPLNETGHPNCTPILTLSFLVLITTLVGLAGNTIVLWLLGFRMRRKAI SVYILNLALADSFFLCCHFIDSLLRIIDFYGLYAHKLSKDILGNAAIIPYISGLSILSAI STERCLCVLWPIWYHCHRPRNMSAIICALIWVLSFLMGILDWFSGFLGETHHHLWKNVDF IITAFLIFLFMLLSGSSLALLLRILCGPRRKPLSRLYVTIALTVMVYLICGLPLGLYLFL LYWFGVHLHYPFCHIYQVTAVLSCVNSSANPIIYFLVGSFRQHRKHRSLKRVLKRALEDT PEEDEYTDSHLHKTTEISESRY >ENSMUSP00000092006.1 pep:known chromosome:GRCm38:4:152285972:152291662:-1 gene:ENSMUSG00000028946.6 transcript:ENSMUST00000094438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hes3 description:hairy and enhancer of split 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:104877] MEKKRRARINVSLEQLRSLLERHYSHQIRKRKLEKADILELSVKYMRSLQNSLQGLWPVP SGVDYPSGFQGGLRGVSQRLRPGEGDSGLRCPLLLQRREGSTTDSANPQATSVLNPCLPA IWAPSRAAGGSHSPQSPLPLPGGLLESSTDVVAPHPASNCQAESTRPGFRVWRPW >ENSMUSP00000031201.7 pep:known chromosome:GRCm38:5:87575969:87591594:-1 gene:ENSMUSG00000029272.8 transcript:ENSMUST00000031201.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1e1 description:sulfotransferase family 1E, member 1 [Source:MGI Symbol;Acc:MGI:98431] METSMPEYYEVFGEFRGVLMDKRFTKYWEDVEMFLARPDDLVIATYPKSGTTWISEVVYM IYKEGDVEKCKEDAIFNRIPYLECRNEDLINGIKQLKEKESPRIVKTHLPPKLLPASFWE KNCKMIYLCRNAKDVAVSYYYFLLMITSYPNPKSFSEFVEKFMQGQVPYGSWYDHVKAWW EKSKNSRVLFMFYEDMKEDIRREVVKLIEFLERKPSAELVDRIVQHTSFQEMKNNPSTNY TMMPEEMMNQKVSPFMRKGIIGDWKNHFPEALRERFDEHYKQQMKDCTVKFRMEL >ENSMUSP00000077517.6 pep:known chromosome:GRCm38:10:78875549:78880106:1 gene:ENSMUSG00000062873.6 transcript:ENSMUST00000078414.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1355 description:olfactory receptor 1355 [Source:MGI Symbol;Acc:MGI:3031189] MESGNRTRRISSFFLLGFSENPHLQFLIFVLFLSMYLVTVLGNLLIIMVTITQSPLHTPM YFFLANLSFVDICFTSTTVPKMLVNIQTQSKAITYADCISQMSVFLVFGELDNFLLAVMA YDRYVAICHPLYYTVIVNQQLCMLMVLLSWVVSILHAFLQSSIVLQLTFCGDVKIPHFFC ELNQLSQLTCSDSLSSHLIMHLVPVLLGAISFSSILYSYFKIVSSICSISSVQGKYKAFS TCVSHLSIVSLFYSTGLGVYVSSAVVQSSHSSARASVMYTVVTPMLNPFIYSLRNKDVKK AVERLLEGKL >ENSMUSP00000112977.1 pep:known chromosome:GRCm38:5:96209493:96266727:1 gene:ENSMUSG00000029486.13 transcript:ENSMUST00000117766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl1 description:mitochondrial ribosomal protein L1 [Source:MGI Symbol;Acc:MGI:2137202] MAAAVRCLRRVLIHHQRHCLCKMASQASLYPCSVNSLLHNRHFAAAAAAATKPARKIKKG AKEKTSDEKPVDDIEKIKSYTYMESDPEDDVYLKRLYPRRIYEVEKAIHLLKKFQVLDFT NPKQGVYLDLTLDMALGKKKTVEPFASVIALPHLFSSEVNKVAVFTANASEIKIAEENGA AFAGGTDLVKKIMDDEVVVDFYVAVPEIMGELNPLRKKLKKRFPKATRNSIGRDIPKMLE LFKTAHEIMVDEERQNFLSTKIATLDMPSDQIAANLQAVINEVCKHRPLNLGPFVVRAFL RSSTSEGLLLKTDSLLPKEAKTTEAETEETQTAEAA >ENSMUSP00000112451.1 pep:known chromosome:GRCm38:5:96210144:96239684:1 gene:ENSMUSG00000029486.13 transcript:ENSMUST00000121477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl1 description:mitochondrial ribosomal protein L1 [Source:MGI Symbol;Acc:MGI:2137202] MAAAVRCLRRVLIHHQRHCLCKMASQASLYPCSVNSLLHNRHFAAAAAAATKPARKIKKG AKEKTSDEKPVDDIEKIKSYTYMESDPEDDVYLKRLYPRRIYEVEKAIHLLKKFQVLDFT NPKQGVYLDLTLDMALGKKKTVEPFASVIALPHLFSSEVNKVAVFTANASEIKIAEENGA AFAGGTDLVKKIMDDEVVVDFYVAVPEIMGELNPLRKKLKKRFPKATRNSIGRDIPKMLE LFKTAHEIMVDEERQNFLSTKIATVSYSCIPCADAP >ENSMUSP00000037046.6 pep:known chromosome:GRCm38:5:96210115:96266709:1 gene:ENSMUSG00000029486.13 transcript:ENSMUST00000036437.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl1 description:mitochondrial ribosomal protein L1 [Source:MGI Symbol;Acc:MGI:2137202] MAAAVRCLRRVLIHHQRHCLCKMASQASLYPCSVNSLLHNRHFAAAAAAATKPARKIKKG AKEKTSDEKPVDDIEKIKSYTYMESDPEDDVYLKRLYPRRIYEVEKAIHLLKKFQVLDFT NPKQGVYLDLTLDMALGKKKTVEPFASVIALPHLFSSEVNKVAVFTANASEIKIAEENGA AFAGGTDLVKKIMDDEVVVDFYVAVPEIMGELNPLRKKLKKRFPKATRNSIGRDIPKMLE LFKTAHEIMVDEERQNFLSTKIATLDMPSDQIAANLQAVINEVCKHRPLNLGPFVVRAFL RSSTSEGLLLKTDSLLPKEAKTTEAETEETQTAEAA >ENSMUSP00000114173.2 pep:known chromosome:GRCm38:13:18121101:18382041:-1 gene:ENSMUSG00000009734.17 transcript:ENSMUST00000139064.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou6f2 description:POU domain, class 6, transcription factor 2 [Source:MGI Symbol;Acc:MGI:2443631] XGARGNTVLPDPGTPDQHQACQTHPTFPVGPQPLLTAQQLASAVAGVMPGGPPALNQPIL IPFNMAGQLGGQQGLVLTLPTANLTNIQGLVAAAAAGGIMTLPLQNLQATSSLNSQLQQL QQLQLQQQQQQQQQQQQQQQQQQQPPPPPTSQHPQPASQAPPQSQPTPPHQPPPASQQLP APPAQLQQATQPQQHQPHSHPQNQTQNQPSPTQQSSSPPQKPSPSPGHSLPSPLTPPNPL QLVNNPLASQAAAAAAAMGSIASSQAFGNALSSLQGVTGQLVTNAQGQIIGTIPLMPNPG PSSQAASGTQGLQVQPITPQLLTNAQGQIIATVIGNQILPVINTQGITLSPIKPGQQLHQ SSQTSVGQAGTQGNLLHLAHGQAATSHSPVRQASSSSSSSSSSSALSVGQLVSNPQTAAG EVDGVNLEEIREFAKAFKIRRLSLGLTQTQVGQALSATEGPAYSQSAICRHTILRSHFFL PQEAQENTIASSLTAKLNPGLLYPARFEKLDITPKSAQKIKPVLERWMAEAEARHRAGMQ NLTEFIGSEPSKKRKRRTSFTPQALEILNAHFEKNTHPSGQEMTEIAEKLNYDREVVRVW FCNKRQALKNTIKRLKQHEPTSAAPLEPLADSPEENC >ENSMUSP00000139282.1 pep:known chromosome:GRCm38:13:18125093:18382041:-1 gene:ENSMUSG00000009734.17 transcript:ENSMUST00000175703.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou6f2 description:POU domain, class 6, transcription factor 2 [Source:MGI Symbol;Acc:MGI:2443631] XGARGNTVLPDPGTPDQHQACQTHPTFPVGPQPLLTAQQLASAVAGVMPGGPPALNQPIL IPFNMAGQLGGQQGLVLTLPTANLTNIQGLVAAAAAGGIMTLPLQNLQATSSLNSQLQQL QQLQLQQQQQQQQQQQQQQQQQQQPPPPPTSQHPQPASQAPPQSQPTPPHQPPPASQQLP APPAQLQQATQPQQHQPHSHPQNQTQNQPSPTQQSSSPPQKPSPSPGHSLPSPLTPPNPL QLVNNPLASQAAAAAAAMGSIASSQAFGNALSSLQGVTGQLVTNAQGQIIGTIPLMPNPG PSSQAASGTQGLQVQPITPQLLTNAQGQIIATVIGNQILPVINTQGITLSPIKPGQQLHQ SSQTSVGQAGTQGNLLHLAHGQAATSHSPVRQASSSSSSSSSSSALSVGQLVSNPQTAAG EVDGVNLEEIREFAKAFKIRRLSLGLTQTQVGQALSATEGPAYSQSAICRFEKLDITPKS AQKIKPVLERWMAEAEARHRAGMQNLTEFIGSEPSKKRKRRTSFTPQALEILNAHFEKNT HPSGQEMTEIAEKLNYDREVVRVWFCNKRQALKNTIKRLKQHEPTSAAPLEPLADSPEEN C >ENSMUSP00000134780.2 pep:known chromosome:GRCm38:13:18125124:18172535:-1 gene:ENSMUSG00000009734.17 transcript:ENSMUST00000176795.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pou6f2 description:POU domain, class 6, transcription factor 2 [Source:MGI Symbol;Acc:MGI:2443631] ASQAAAAAAAMGSIASSQAFGNALSSLQGVTGQLVTNAQGQIIGTIPLMPNPGPSSQAAS GTQGLQVQPITPQLLTNAQGQIIATVIGNQILPVINTQGITLSPIKPGQQILKQQRVRWT GLIWKRSENLPKLLKSDACPLA >ENSMUSP00000138840.1 pep:known chromosome:GRCm38:13:18138821:18172540:-1 gene:ENSMUSG00000009734.17 transcript:ENSMUST00000184299.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou6f2 description:POU domain, class 6, transcription factor 2 [Source:MGI Symbol;Acc:MGI:2443631] MGSIASSQAFGNALSSLQGVTGQLVTNAQGQIIGTIPLMPNPGPSSQAASGTQGLQVQPI TPQLLTNAQGQIIATVIGNQILPVINTQGITLSPIKPGQQLHQSSQTSVGQAGTQGNLLH LAHGQAATSHSPVRQASSSSSSSSSSSALSVGQLVSSVRGNKGEHACGRICE >ENSMUSP00000116424.1 pep:known chromosome:GRCm38:10:62108210:62111050:-1 gene:ENSMUSG00000020083.13 transcript:ENSMUST00000142796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G23Rik description:RIKEN cDNA 2010107G23 gene [Source:MGI Symbol;Acc:MGI:1917144] MVRILANGEIVQDDDPRVRTTTQHRSSSSQQGFFNRGHGAPPGGPGPRQQQAGARLGAAQ SPFSDLNRQLVNMGFPQWHLGNHVVEPVTSILLLFLLMMLGVRGLLLVGLVYLVSHLSQR >ENSMUSP00000115865.1 pep:known chromosome:GRCm38:10:62107655:62111050:-1 gene:ENSMUSG00000020083.13 transcript:ENSMUST00000125704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G23Rik description:RIKEN cDNA 2010107G23 gene [Source:MGI Symbol;Acc:MGI:1917144] MVRILANGEIVQDDDPRVRTTTQHRSSSSQQGFFNRGHGAPPGGPGPRQQQAGARLGAAQ SPFSDLNRQLVNMGFPQWHLGNHVVEPVTSILLLFLLMMLGVRGLLLVGLVYLVSHLSQR >ENSMUSP00000118912.1 pep:known chromosome:GRCm38:10:62108210:62110968:-1 gene:ENSMUSG00000020083.13 transcript:ENSMUST00000124615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G23Rik description:RIKEN cDNA 2010107G23 gene [Source:MGI Symbol;Acc:MGI:1917144] MVRILANGEIVQDDDPRVRTTTQHRSSSSQQGFFNRGHGAPPGGPGPRQQQAGARLGAAQ SPFSDLNRQLVNMGFPQWHLGNHVVEPVTSILLLFLLMMLGVRGLLLVGLVYLVSHLSQR >ENSMUSP00000119289.1 pep:known chromosome:GRCm38:10:62108210:62110945:-1 gene:ENSMUSG00000020083.13 transcript:ENSMUST00000142821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G23Rik description:RIKEN cDNA 2010107G23 gene [Source:MGI Symbol;Acc:MGI:1917144] MVRILANGEIVQDDDPRVRTTTQHRSSSSQQGFFNRGHGAPPGGPGPRQQQAGARLGAAQ SPFSDLNRQLVNMGFPQWHLGNHVVEPVTSILLLFLLMMLGVRGLLLVGLVYLVSHLSQR >ENSMUSP00000119343.1 pep:known chromosome:GRCm38:10:62108918:62143906:-1 gene:ENSMUSG00000020083.13 transcript:ENSMUST00000141724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G23Rik description:RIKEN cDNA 2010107G23 gene [Source:MGI Symbol;Acc:MGI:1917144] MGQELDGGASEVQRSRNSQRPGRMVRILANGEIVQDDDPRVRTTTQHRSSSSQQGFFNRG HGAPPGGPGPRQQQAGARLGAAQSPFSDLNRQLVNMGFPQWHLGNHVVEPVTSILLLFLL MML >ENSMUSP00000114453.1 pep:known chromosome:GRCm38:10:62109947:62143910:-1 gene:ENSMUSG00000020083.13 transcript:ENSMUST00000150057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G23Rik description:RIKEN cDNA 2010107G23 gene [Source:MGI Symbol;Acc:MGI:1917144] MGQELDGGASEVQRSRNSQRPGRMVRILANGEI >ENSMUSP00000027719.5 pep:known chromosome:GRCm38:10:62108835:62139870:-1 gene:ENSMUSG00000020083.13 transcript:ENSMUST00000027719.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G23Rik description:RIKEN cDNA 2010107G23 gene [Source:MGI Symbol;Acc:MGI:1917144] MGQELDGGASEGFFNRGHGAPPGGPGPRQQQAGARLGAAQSPFSDLNRQLVNMGFPQWHL GNHVVEPVTSILLLFLLMMLGVRGLLLVGLVYLVSHLSQR >ENSMUSP00000115712.1 pep:known chromosome:GRCm38:10:62108917:62134706:-1 gene:ENSMUSG00000020083.13 transcript:ENSMUST00000156798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G23Rik description:RIKEN cDNA 2010107G23 gene [Source:MGI Symbol;Acc:MGI:1917144] MVRILANGEIVQDDDPRVRTTTQHRSSSSQQGFFNRGHGAPPGGPGPRQQQAGARLGAAQ SPFSDLNRQLVNMGFPQWHLGNHVVEPVTSILLLFLLMML >ENSMUSP00000119982.1 pep:known chromosome:GRCm38:10:62108920:62110496:-1 gene:ENSMUSG00000020083.13 transcript:ENSMUST00000141144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G23Rik description:RIKEN cDNA 2010107G23 gene [Source:MGI Symbol;Acc:MGI:1917144] MVRILANGEIVQDDDPRVRTTTQHRSSSSQQGFFNRGHGAPPGGPGPRQQQAGARLGAAQ SPFSDLNRQLVNMGFPQWHLGNHVVEPVTSILLLFLLMM >ENSMUSP00000063504.4 pep:known chromosome:GRCm38:10:62108212:62111013:-1 gene:ENSMUSG00000020083.13 transcript:ENSMUST00000064050.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G23Rik description:RIKEN cDNA 2010107G23 gene [Source:MGI Symbol;Acc:MGI:1917144] MVRILANGEIVQDDDPRVRTTTQHRSSSSQQGFFNRGHGAPPGGPGPRQQQAGARLGAAQ SPFSDLNRQLVNMGFPQWHLGNHVVEPVTSILLLFLLMMLGVRGLLLVGLVYLVSHLSQR >ENSMUSP00000122560.1 pep:known chromosome:GRCm38:12:44282573:44312343:1 gene:ENSMUSG00000036257.14 transcript:ENSMUST00000143771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla8 description:patatin-like phospholipase domain containing 8 [Source:MGI Symbol;Acc:MGI:1914702] MSINLTLDIYIYFLNNARSLCGKQRSKQLHFVCSKQYWRMNHVNVHREFHTSKKSCKWNR SEAHCSKHWHSPSNHGLHFGIVRLSTSAPKGLTKVSIHMSRIKSTLNSVSKAIFGSQNEM VTRLAQFKPSSRILRKVSDKGWLKQKNVKQAVESLKNYSDKSAGKNSLAEQKSYFADKEE DSGKHSLFHYTYGITTRFGESFSVLANHINSYFKSKGKMSQTKEDKQLQDKPDLEERKSS SPGPDTVADRPDSESPLEVKDKLSSPTQMPEAHPVSAKQSIANFLSRPTEGVQALVGGYI GGLVPKLKSDPKSPPEEQEVSAKTEQAVNKDKKAEEKKRVLLQQEKIIARVSIDNRTRAL VQALRRTADPKLCITRVEELTFHLLEFPEGKGVAIKEKIIPYLLRLRQVKDETLQAAVRE ILALIGYVDPVKGRGIRILTIDGGGTRGVVALQTLRKLVELTQKPIHQLFDYICGVSTGA ILAFMLGLFHMPLDECEELYRKLGSDVFTQNVIVGTVKMSWSHAFYDSNTWEKILKDRIG SALMIETARNPACPKDGGLLLNNPSALALHECKCIWPDTPLECIVSLGTGRYESDVRNTS TYTSLKTKLSNVISSATDTEEVHIMLDGLLPSDTYFRFNPVICENIPLDESRDEKLDQLQ LEGMKYIERNDQKMKKVAKILSQEKTTLQKINDWIKLKSDMYEGLPFFSKL >ENSMUSP00000117126.1 pep:known chromosome:GRCm38:12:44275271:44282890:1 gene:ENSMUSG00000036257.14 transcript:ENSMUST00000125757.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla8 description:patatin-like phospholipase domain containing 8 [Source:MGI Symbol;Acc:MGI:1914702] MSINLTLDIYIYFLNNARSLCGKQRSKQLHFVCSKQYWRMNHVNVHREFHTSKKSCKWNR SEAHCSKHWHSPSN >ENSMUSP00000116922.1 pep:known chromosome:GRCm38:12:44299277:44311844:1 gene:ENSMUSG00000036257.14 transcript:ENSMUST00000156082.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla8 description:patatin-like phospholipase domain containing 8 [Source:MGI Symbol;Acc:MGI:1914702] PACPKVAAISTIVNRGQTPKAFVFRNYGHFPGTNSHYLGGCQYKMWQAIRASSAAPGYFA EYALGSDLHQDGGLLLNNPSALALHECKCIWPDTPLECIVSLGTGRYESDVRNTSTYTSL KTKLSNVISSATDTEG >ENSMUSP00000043286.8 pep:known chromosome:GRCm38:12:44269186:44312244:1 gene:ENSMUSG00000036257.14 transcript:ENSMUST00000043082.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla8 description:patatin-like phospholipase domain containing 8 [Source:MGI Symbol;Acc:MGI:1914702] MSINLTLDIYIYFLNNARSLCGKQRSKQLHFVCSKQYWRMNHVNVHREFHTSKKSCKWNR SEAHCSKHWHSPSNHGLHFGIVRLSTSAPKGLTKVSIHMSRIKSTLNSVSKAIFGSQNEM VTRLAQFKPSSRILRKVSDKGWLKQKNVKQAVESLKNYSDKSAGKNSLAEQKSYFADKEE DSGKHSLFHYTYGITTRFGESFSVLANHINSYFKSKGKMSQTKEDKQLQDKPDLEERKSS SPGPDTVADRPDSESPLEVKDKLSSPTQMPEAHPVSAKQSIANFLSRPTEGVQALVGGYI GGLVPKLKSDPKSPPEEQEVSAKTEQAVNKDKKAEEKKRVLLQQEKIIARVSIDNRTRAL VQALRRTADPKLCITRVEELTFHLLEFPEGKGVAIKEKIIPYLLRLRQVKDETLQAAVRE ILALIGYVDPVKGRGIRILTIDGGGTRGVVALQTLRKLVELTQKPIHQLFDYICGVSTGA ILAFMLGLFHMPLDECEELYRKLGSDVFTQNVIVGTVKMSWSHAFYDSNTWEKILKDRIG SALMIETARNPACPKVAAISTIVNRGQTPKAFVFRNYGHFPGTNSHYLGGCQYKMWQAIR ASSAAPGYFAEYALGSDLHQDGGLLLNNPSALALHECKCIWPDTPLECIVSLGTGRYESD VRNTSTYTSLKTKLSNVISSATDTEEVHIMLDGLLPSDTYFRFNPVICENIPLDESRDEK LDQLQLEGMKYIERNDQKMKKVAKILSQEKTTLQKINDWIKLKSDMYEGLPFFSKL >ENSMUSP00000120877.1 pep:known chromosome:GRCm38:12:44221370:44312092:1 gene:ENSMUSG00000036257.14 transcript:ENSMUST00000122902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla8 description:patatin-like phospholipase domain containing 8 [Source:MGI Symbol;Acc:MGI:1914702] MSQTKEDKQLQDKPDLEERKSSSPGPDTVADRPDSESPLEVKDKLSSPTQMPEAHPVSAK QSIANFLSRPTEGVQALVGGYIGGLVPKLKSDPKSPPEEQEVSAKTEQAVNKDKKAEEKK RVLLQQEKIIARVSIDNRTRALVQALRRTADPKLCITRVEELTFHLLEFPEGKGVAIKEK IIPYLLRLRQVKDETLQAAVREILALIGYVDPVKGRGIRILTIDGGGTRGVVALQTLRKL VELTQKPIHQLFDYICGVSTGAILAFMLGLFHMPLDECEELYRKLGSDVFTQNVIVGTVK MSWSHAFYDSNTWEKILKDRIGSALMIETARNPACPKVAAISTIVNRGQTPKAFVFRNYG HFPGTNSHYLGGCQYKMWQAIRASSAAPGYFAEYALGSDLHQDGGLLLNNPSALALHECK CIWPDTPLECIVSLGTGRYESDVRNTSTYTSLKTKLSNVISSATDTEEVHIMLDGLLPSD TYFRFNPVICENIPLDESRDEKLDQLQLEGMKYIERNDQKMKKVAKILSQEKTTLQKIND WIKLKSDMYEGLPFFSKL >ENSMUSP00000126976.2 pep:known chromosome:GRCm38:6:76495432:76497784:-1 gene:ENSMUSG00000072476.6 transcript:ENSMUST00000097218.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9008 description:predicted pseudogene 9008 [Source:MGI Symbol;Acc:MGI:3644000] MEAVYLVVNGVGLVLDLLTLMLDLNFLLMSSLLATLAWLLAFIYNLPHTVLTSLLHLGRG FLLSLLALVEAVVRFTFGGLQALGTLLYSCYSGLESLKLLGHLASHGALRSREFLNRGIL NMVSNGHALLRQACDICAIAMSLVAYVINSLVNICLIGTQNFFSLVLALWDAVTGPLWRM TDVVAAFLAHISSSAVAMAILLWTPCQLALELLASAARLLASCVVFHLTGLVLLACVLAV ILIVLHPEQTLRLATQALSQLHARPSYHRLWEDIVRLTRLPLRLEAWRRVWSRSLQLASW PNRGRAPGAPQGGPRRVFSARIQPQDTPPEAEEEVIRAAPARGREQLNEDEPAAGQDPWK LLKEQEERKKCVICQDQSKTVLLLPCRHLCLCQACTEILMRHPVYHCNCPLCRRSILQTL NVYL >ENSMUSP00000054361.4 pep:known chromosome:GRCm38:14:54281895:54282925:-1 gene:ENSMUSG00000048153.5 transcript:ENSMUST00000059996.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr49 description:olfactory receptor 49 [Source:MGI Symbol;Acc:MGI:1333764] MANSTTVTEFILLGLSDACELQVLIFLGFLLTYFLILLGNFLIIFITLVDRRLYTPMYYF LRNFAMLEIWFTSVIFPKMLTNIITGHKTISLLGCFLQAFLYFFLGTTEFFLLAVMSFDR YVAICNPLRYATIMSKRVCVQLVFCSWMSGLLLIIVPSSIVFQQPFCGPNIINHFFCDNF PLMELICADTSLVEFLGFVIANFSLLGTLAVTATCYGHILYTILHIPSAKERKKAFSTCS SHIIVVSLFYGSCIFMYVRSGKNGQGEDHNKVVALLNTVVTPTLNPFIYTLRNKQVKQVF REHVSKFQKFSQT >ENSMUSP00000102147.1 pep:known chromosome:GRCm38:11:115415689:115419917:-1 gene:ENSMUSG00000034566.10 transcript:ENSMUST00000106537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5h description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit D [Source:MGI Symbol;Acc:MGI:1918929] MAGRKLALKTIDWVSFVEVMPQNQKAIGNALKSWNETFHARLASLSEKPPAIDWAYYRAN VAKPGLVDDFEKKYNALKIPVPEDKYTALVDQEEKEDVKSCAEFVSGSQLRIQEYEKQLE KMRNIIPFDQMTIDDLNEIFPETKLDKKKYPYWPHQPIENL >ENSMUSP00000046256.2 pep:known chromosome:GRCm38:11:115415689:115419962:-1 gene:ENSMUSG00000034566.10 transcript:ENSMUST00000043931.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5h description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit D [Source:MGI Symbol;Acc:MGI:1918929] MAGRKLALKTIDWVSFVEVMPQNQKAIGNALKSWNETFHARLASLSEKPPAIDWAYYRAN VAKPGLVDDFEKKYNALKIPVPEDKYTALVDQEEKEDVKSCAEFVSGSQLRIQEYEKQLE KMRNIIPFDQMTIDDLNEIFPETKLDKKKYPYWPHQPIENL >ENSMUSP00000086072.2 pep:known chromosome:GRCm38:11:115415689:115419962:-1 gene:ENSMUSG00000034566.10 transcript:ENSMUST00000073791.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5h description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit D [Source:MGI Symbol;Acc:MGI:1918929] MAGRKLALKTIDWVSFVEVMPQNQKAIGNALKSWNETFHARLASLSEKPPAIDWAYYRAN VAKPGLVDDFEKKYNALKIPVPEDKYTALVDQEEKEDVKSCAEFVSGSQLRIQEYEKQLE KMRNIIPFDQMTIDDLNEIFPETKLDKKKYPYWPHQPIENL >ENSMUSP00000121240.1 pep:known chromosome:GRCm38:11:115415818:115418741:-1 gene:ENSMUSG00000034566.10 transcript:ENSMUST00000137754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5h description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit D [Source:MGI Symbol;Acc:MGI:1918929] MAGRKLALKTIDWVSFVEVMPQNQKAIGNALKSWNETFHARLASLSEKPPAIDWAYYRAN VAKPGLVDDFEKKYNALKIPVPEDKYTALVDQEEKEDVKSCAEFVSGSQLRIQEYEKQLE KMRNIIPFDQMTIDDLNE >ENSMUSP00000137071.1 pep:known chromosome:GRCm38:11:115415748:115418507:-1 gene:ENSMUSG00000034566.10 transcript:ENSMUST00000180072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5h description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit D [Source:MGI Symbol;Acc:MGI:1918929] MAGRKLALKTIDWVSFVEVMPQNQKAIGNALKSWNETFHARLASLSEKPPAIDWAYYRAN VAKPGLVDDFEKKYNALKIPVPEDKYTALVDQEEKEDVKSCAEFVSGSQLRIQEYEKQLE KMRNIIPFDQMTIDDLNEIFPETKLDKKKYPYWPHQPIENL >ENSMUSP00000014546.8 pep:known chromosome:GRCm38:7:46888949:46919969:-1 gene:ENSMUSG00000014402.14 transcript:ENSMUST00000014546.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsg101 description:tumor susceptibility gene 101 [Source:MGI Symbol;Acc:MGI:106581] MAVSESQLKKMMSKYKYRDLTVRQTVNVIAMYKDLKPVLDSYVFNDGSSRELVNLTGTIP VRYRGNIYNIPICLWLLDTYPYNPPICFVKPTSSMTIKTGKHVDANGKIYLPYLHDWKHP RSELLELIQIMIVIFGEEPPVFSRPTVSASYPPYTATGPPNTSYMPGMPSGISAYPSGYP PNPSGYPGCPYPPAGPYPATTSSQYPSQPPVTTVGPSRDGTISEDTIRASLISAVSDKLR WRMKEEMDGAQAELNALKRTEEDLKKGHQKLEEMVTRLDQEVAEVDKNIELLKKKDEELS SALEKMENQSENNDIDEVIIPTAPLYKQILNLYAEENAIEDTIFYLGEALRRGVIDLDVF LKHVRLLSRKQFQLRALMQKARKTAGLSDLY >ENSMUSP00000120856.1 pep:known chromosome:GRCm38:7:46901025:46914410:-1 gene:ENSMUSG00000014402.14 transcript:ENSMUST00000156335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsg101 description:tumor susceptibility gene 101 [Source:MGI Symbol;Acc:MGI:106581] MKHGKYFVKREKDVKQWWRTPLIPALGGRGRQISEFEASLVYRYKYRDLTVRQTVNVIAM YKDLKPVLDSYVFNDGSSRELVNLTGTIPVRYRGNIYNIPICLWLLDTYPYNPPICFVKP TSSMTIKTGKHVDANGKIYLPYLHDWKHPRSELLELIQIMIVIFGEEPPVFSRPTVSASY PPYTATGPPNTSYMPGMPSGISAYPSGYPPNPSGYPGCPYPPAGPYPATTSSQYPSQPPV TTVG >ENSMUSP00000121314.1 pep:known chromosome:GRCm38:7:46907051:46919937:-1 gene:ENSMUSG00000014402.14 transcript:ENSMUST00000143413.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsg101 description:tumor susceptibility gene 101 [Source:MGI Symbol;Acc:MGI:106581] MAVSESQLKKMMSKYKYRDLTVRQTVNVIAMYKDLKPVLDSYGNIYNIPICLWLLDTYPY NPPICFVKPTSSMTIKTGKHVDANGKIYLPYLHDWKHPRSELLELIQIMIVIFGEEPPVF SRPTVSASYPPYTATGPPN >ENSMUSP00000040771.5 pep:known chromosome:GRCm38:17:87282886:87381769:1 gene:ENSMUSG00000036918.15 transcript:ENSMUST00000041110.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc7 description:tetratricopeptide repeat domain 7 [Source:MGI Symbol;Acc:MGI:1920999] MAAKGAHGTHLKVESEVERCRAEGQWDRMFELARHLQMLGISGGGSSNRRNSPSGRFTTL DTDDFVKLLLAEALLEQCLKDNHDKIKNSIPLLEKTDHRLNEAKDHLSSLLNNGKLPPQY MCEAMLILGKLHYVEGSYRDAVSMYARAGIDDISVENKPLYQMRLLSEAFVIKGLSLERL PNSVASHIRLTEREEEVVACFERASWVAQVFLQELEKTSNNSTSRHLKGSLSPDYELSYF LEAALQSAYVKNLKKGNIVKGMRELREILRTVETKATQNFKVVAAKHLAGVLLHSLSEDC YWSPLSHPLPEFMNKEENSFVTQTLRKPHLYEGDNLYCPKDNIEEALLLLLISESMATRD VVLSRAPEQAEDRKVSLQNASAIYDLLSITLGRRGQYVMLSECLERAMKCAFGEFHLWYQ VALSMVACGKSAYAVSLLRECMKLQPSDPTVPLMAAKVCIGSLHWLEEAEHFATVVIGLG EEAGESLPKGYLALGLTYSLQATDATLKSKQDELHRKALQTLERARELAPDDPQIIFYVA LQLALVRQISSAMERLQEALTMCRDDANALHLLALLFSAQKYYQHALDVINMAITEHPEN FNLMFTKVKLEQVLKGPEEALVTCRQMLRLWQTLYNFSQLGGLEKDGSFEGLTVKKQNGI HLTLPDAHDADSGSRRASSIAASRLEEAMSELTLTTSVLKQGPMQLWTTLEQIWLQAAEL FMEQRQLKEAGFCIQEAAGLFPTSHSVLYMRGRLAEVKGSFEEAKQLYKEALTVNPDGVR IMHSLGLMLSQLGHKSLAQKVLRDAVERQSTFHEAWQGLGEVLQDQGQNEAAVDCFLTAL ELEASSPVLPFSIIAREL >ENSMUSP00000115351.1 pep:known chromosome:GRCm38:17:87282905:87371904:1 gene:ENSMUSG00000036918.15 transcript:ENSMUST00000125875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc7 description:tetratricopeptide repeat domain 7 [Source:MGI Symbol;Acc:MGI:1920999] MAAKGAHGTHLKVESEVERCRAEGQWDRMFELARHLQMLGISGGGSSNRRNSPSGRFTTL DTDDFVKLLLAEALLEQCLKDNHDKIKNSIPLLEKTDHRLNEAKDHLSSLLNNGKLPPQY MCEAMLILGKLHYVEGSYRDAVSMYARAGIDDISVENKPLYQMRLLSEAFVIKGLSLERL PNSVASHIRLTEREEEVVACFERASWVAQVFLQELEKTSNNSTSRHLKGSLSPDYELSYF LEAALQSAYVKNLKKGNIVKGMRELREILRTVETKATQNFKVVAAKHLAGVLLHSLSEDC YWSPLSHPLPEFMNKEENSFVTQTLRKPHLYEGDNLYCPKDNIEEALLLLLISESMATRD VVLSRAPEQAEDRKVSLQNASAIYDLLSITLGRRGQYVMLSECLERAMKCAFGEFHLWYQ VALSMVACGKSAYAVSLLRECMKLQPSDPTVPLMAAKVCIGSLHWLEEAEHFATVVIGLG EEAGESLPKGYLALGLTYSLQATDATLKSKQDELHRKALQTLERARELAPDDPQIIFYVA LQLALVRQISSAMERLQEALTMCRDDANALHLLALLFSAQKYYQHALDVINMAITEHPEN FNLMFTKVKLEQVLKGPEEALVTCRQMLRLWQTLYNFSQLGGLEKDGSFEGLTVKKQNGI HLTLPDAHDADSGSRRASSIAASRLEEAMSELTLTTSVLKQGPMQLWTTLEQIWLQAAEL FMEQRQLKEAGFCIQEAAGLFPTSHSVLYMRGRLAEVKGSFEEAKQLYKEALTVNPDGVR IMHSLVSHSPLPRPPFSSPSPRQVPAAAAADDDDVDDASGHL >ENSMUSP00000122563.1 pep:known chromosome:GRCm38:17:87282951:87361921:1 gene:ENSMUSG00000036918.15 transcript:ENSMUST00000144204.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc7 description:tetratricopeptide repeat domain 7 [Source:MGI Symbol;Acc:MGI:1920999] MAAKGAHGTHLKVESEVERCRAEGQWDRMFELARHLQMLGISGGGSSNRRNSPSGRFTTL DTDDFVKLLLAEALLEQCLKDNHDKIKNSIPLLEKTDHRLNEAKDHLSSLLNNGKLPPQY MCEAMLILGKLHYVEGSYRDAVSMYARAGIDDISVENKPLYQMRLLSEAFVIKACLQLLG GTQSWWSEVLPGRPLPGTPAQLRRLPHPPD >ENSMUSP00000114181.1 pep:known chromosome:GRCm38:17:87292811:87380086:1 gene:ENSMUSG00000036918.15 transcript:ENSMUST00000154255.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc7 description:tetratricopeptide repeat domain 7 [Source:MGI Symbol;Acc:MGI:1920999] PQYMCEAMLILGKLHYVEGSYRDAVSMYARAGIDDISVENKPLYQMRLLSEAFVIKACLQ LLGGTQSWWSEVLPGRPLPGTPAQLRRLPHPPD >ENSMUSP00000136012.1 pep:known chromosome:GRCm38:1:93918311:93942650:1 gene:ENSMUSG00000093805.7 transcript:ENSMUST00000178757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9994 description:predicted gene 9994 [Source:MGI Symbol;Acc:MGI:3711964] MWGSQHRSFQVALWFLVLAVFLLVGFLHVDFRLLIPDKVQEPPVTNIMFLKTHKTASSTI LNILYRFSESHNLSTALPEGSRVHLGYPWFFVTRYVEGLKQDAHLQHHFNIMCNHLRFNY PEVQKVMPRDTFYFSILRNPVFQLESSFIYYKDYAPAFQRAKSLDEFLADPWKYYNASVS LKNVYAKNNMWFDFGFDNNAPADMDYVRKRLAEVEQRFHLVLIADYFDESMVLLRRRLRW QLDDVVSFKLNVRSQSTVSHLTPESQERVQHWCALDWQLYQHFNRTFWTQLHAELSPRQL TEEVEQLRERQRELMALCLQDPEPKNLTHIDDQNLRPYQGGKANILGYNLRHGLDTTTLH ICQRMAMPELQHMAHMYSLQFPDKTPKDIPFLKK >ENSMUSP00000136311.1 pep:known chromosome:GRCm38:1:93928037:93941239:1 gene:ENSMUSG00000093805.7 transcript:ENSMUST00000177958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9994 description:predicted gene 9994 [Source:MGI Symbol;Acc:MGI:3711964] MSILRGTQRSFQVALWFLVLAVFLLVGFLHVDFRLLIPDKVQEPPVTNIMFLKTHKTASS TILNILYRFSESHNLSTALPEGSRVHLGYPWFFVTRYVEGLKQDAHLQHHFNIMCNHLRF NYPEVQKVMPRDTFYFSILRNPVFQLESSFIYYKDYAPAFQRAKSLDEFLADPWKYYNAS VSLKNVYAKNNMWFDFGFDNNAPADMDYVRKRLAEVEQRFHLVLIADYFDESMVLLRRRL RWQLDDVVSFKLNVRSQSTVSHLTPESQERVQHWCALDWQLYQHFNRTFWTQLHAELSPR QLTEEVEQLRERQRELMALCLQDPEPKNLTHIDDQNLRPYQGGKANILGYNLRHGLDTTT LHICQRMAMPELQHMAHMYSLQFPDKTPKDIPFLKK >ENSMUSP00000047783.3 pep:known chromosome:GRCm38:4:125055338:125065703:-1 gene:ENSMUSG00000042707.6 transcript:ENSMUST00000036383.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnali1 description:dynein, axonemal, light intermediate polypeptide 1 [Source:MGI Symbol;Acc:MGI:1922813] MIPPADSLLKYDTPVLVSRNTEKRSPTARPLKVTIQQPGPSSTSPQPPKAKLPSTSCVPD PTKQAEEILNAILPPREWVEDTQLWIQQVSSTPSTRMDVVHLQEQLDLKLQQRQARETGI CPVRRELYSQCFDELIREVTINCAERGLLLLRVRDEIHMTIAAYQTLYESSVAFGMRKAL QAEQGKSDMERKITELETEKRDLERQVNEQKAKCEATEKRESERRQVEEKKHNEEIQFLK RTNQQLKAQLEGIIAPKK >ENSMUSP00000144897.1 pep:known chromosome:GRCm38:10:78913171:78917774:1 gene:ENSMUSG00000094673.2 transcript:ENSMUST00000203132.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1354 description:olfactory receptor 1354 [Source:MGI Symbol;Acc:MGI:3031188] MESGNSTRRIPSFFLLGFSENPHLQFLIFVLFLSMYLVTVLGNLLIIMVIITQSHLHTPM YFFLANLSFVDICFTSTTVPKMLVNIQTQSKAITYADCISQMSVFLVFAELDNFLLAVMA YDRYVAICHPLYYTVIVNQQLCILMVLLSWVVSILHAFLQSSIVLQLTFCGDVKIPHFFC ELNQLSQLTCSDSFSSQLIMNLVPVLLAVISFSSILYSYFKIVSSICSISSVQGKYKAFS TCVSHLSIVSLFYSTGLGVYVSSVVIQSSHSAARASVMYTVVTPMLNPFIYSLRNKDVKK AVERLLEGKL >ENSMUSP00000144994.1 pep:known chromosome:GRCm38:10:78913293:78917283:1 gene:ENSMUSG00000094673.2 transcript:ENSMUST00000205040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1354 description:olfactory receptor 1354 [Source:MGI Symbol;Acc:MGI:3031188] MESGNSTRRIPSFFLLGFSENPHLQFLIFVLFLSMYLVTVLGNLLIIMVIITQSHLHTPM YFFLANLSFVDICFTSTTVPKMLVNIQTQSKAITYADCISQMSVFLVFAELDNFLLAVMA YDRYVAICHPLYYTVIVNQQLCILMVL >ENSMUSP00000144801.1 pep:known chromosome:GRCm38:10:78913309:78916976:1 gene:ENSMUSG00000094673.2 transcript:ENSMUST00000204587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1354 description:olfactory receptor 1354 [Source:MGI Symbol;Acc:MGI:3031188] MESGNSTRRIPSFFLLGFSENPHLQFLIFVLFLSMYLVTVLGNLL >ENSMUSP00000093126.2 pep:known chromosome:GRCm38:10:78916842:78917936:1 gene:ENSMUSG00000094673.2 transcript:ENSMUST00000075859.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1354 description:olfactory receptor 1354 [Source:MGI Symbol;Acc:MGI:3031188] MESGNSTRRIPSFFLLGFSENPHLQFLIFVLFLSMYLVTVLGNLLIIMVIITQSHLHTPM YFFLANLSFVDICFTSTTVPKMLVNIQTQSKAITYADCISQMSVFLVFAELDNFLLAVMA YDRYVAICHPLYYTVIVNQQLCILMVLLSWVVSILHAFLQSSIVLQLTFCGDVKIPHFFC ELNQLSQLTCSDSFSSQLIMNLVPVLLAVISFSSILYSYFKIVSSICSISSVQGKYKAFS TCVSHLSIVSLFYSTGLGVYVSSVVIQSSHSAARASVMYTVVTPMLNPFIYSLRNKDVKK AVERLLEGKL >ENSMUSP00000108689.2 pep:known chromosome:GRCm38:X:137115397:137120171:-1 gene:ENSMUSG00000023443.13 transcript:ENSMUST00000113066.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esx1 description:extraembryonic, spermatogenesis, homeobox 1 [Source:MGI Symbol;Acc:MGI:1096388] MENSLFNSMFLEEANYQEPEGFEPSRGEAAAPVAEAPQAWNGNENLGGGFLESNAQLGEA DAAPVRQSLMRPLMQPVAQSSPQPLPANPLQAPQQPEEQEEEEEEQPGEEQPQQEPKPRR YRICFTPIQLQELEAFFQRVQYPDLFARVELARRLGLPEPRVQVWFQNRRAKWRRLRRAQ AFRNMVPVAMSPPVGVYLDDHYGPIPIVEVIWKCYPMVPRPMHPQMMPLPPRPPPGFRMP PPFRPPPLPPFPWPPVPPDAHIPNAAREYNPFPFPFPFPFPFPNPFPNPNPNPNPNPNPN PNPNQNFAGPRYRY >ENSMUSP00000074262.2 pep:known chromosome:GRCm38:X:137115397:137120326:-1 gene:ENSMUSG00000023443.13 transcript:ENSMUST00000074698.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esx1 description:extraembryonic, spermatogenesis, homeobox 1 [Source:MGI Symbol;Acc:MGI:1096388] MESHKKCPCCYCTDLKTFVGAVKEETLQDPQPSLSSTLLEGADYQENAESETTFYSDFGA SPKEEEVEMENSLFNSMFLEEANYQEPEGFEPSRGEAAAPVAEAPQAWNGNENLGGGFLE SNAQLGEADAAPVRQSLMRPLMQPVAQSSPQPLPANPLQAPQQPEEQEEEEEEQPGEEQP QQEPKPRRYRICFTPIQLQELEAFFQRVQYPDLFARVELARRLGLPEPRVQVWFQNRRAK WRRLRRAQAFRNMVPVAMSPPVGVYLDDHYGPIPIVEVIWKCYPMVPRPMHPQMMPLPPR PPPGFRMPPPFRPPPLPPFPWPPVPPDAHIPNAAREYNPFPFPFPFPFPFPNPFPNPNPN PNPNPNPNPNPNQNFAGPRYRY >ENSMUSP00000133711.1 pep:known chromosome:GRCm38:X:137118511:137122083:-1 gene:ENSMUSG00000023443.13 transcript:ENSMUST00000155283.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esx1 description:extraembryonic, spermatogenesis, homeobox 1 [Source:MGI Symbol;Acc:MGI:1096388] MENSLFNSMFLEEANYQEPEGFEPSRGEAAAPVAEAPQAWNGNENLGGGFLESNAQLGEA DAAPVRQSLMRPLMQPVAQSSPQPLPANPLQAPQQPEEQ >ENSMUSP00000057085.4 pep:known chromosome:GRCm38:1:88673125:88701876:-1 gene:ENSMUSG00000049866.12 transcript:ENSMUST00000051236.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arl4c description:ADP-ribosylation factor-like 4C [Source:MGI Symbol;Acc:MGI:2445172] MGNISSNISAFQSLHIVMLGLDSAGKTTVLYRLKFNEFVNTVPTIGFNTEKIKLSNGTAK GISCHFWDVGGQEKLRPLWKSYSRCTDGIIYVVDSVDVDRLEEAKTELHKVTKFAENQGT PLLVIANKQDLPKSLPVAEIEKQLALHELIPATTYHVQPACAIIGEGLTEGMDKLYEMIL KRRKSLKQKKKR >ENSMUSP00000124344.1 pep:known chromosome:GRCm38:1:88698225:88702221:-1 gene:ENSMUSG00000049866.12 transcript:ENSMUST00000159814.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl4c description:ADP-ribosylation factor-like 4C [Source:MGI Symbol;Acc:MGI:2445172] MGNISSNISAFQSLHIVMLGLDSAGKTTVLYRLKFNEFVNTVPTIGFNTEKIKLSNGTAK GISCHFWDVGGQEKLRPLWKSYSRCTDGIIYVVDSVDVDRLEEAKTELHKVTKFAENQGT PLLVIANKQDLPKSLPVAEIEKQLALHELIPATTYHVQPACAIIGEGLTEGMDKLYEMIL KRRKSLKQKKKR >ENSMUSP00000139499.1 pep:known chromosome:GRCm38:1:88673127:88701876:-1 gene:ENSMUSG00000049866.12 transcript:ENSMUST00000187810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl4c description:ADP-ribosylation factor-like 4C [Source:MGI Symbol;Acc:MGI:2445172] MGNISSNISAFQSLHIVMLGLDSAGKTTVLYRLKFNEFVNTVPTIGFNTEKIKLSNGTAK GISCHFWDVGGQEKLRPLWKSYSRCTDGIIYVVDSVDVDRLEEAKTELHKVTKFAENQGT PLLVIANKQDLPKSLPVAEIEKQLALHELIPATTYHVQPACAIIGEGLTEGMDKLYEMIL KRRKSLKQKKKR >ENSMUSP00000108464.3 pep:known chromosome:GRCm38:1:97770172:97792969:1 gene:ENSMUSG00000026333.14 transcript:ENSMUST00000112844.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gin1 description:gypsy retrotransposon integrase 1 [Source:MGI Symbol;Acc:MGI:2182036] MVRSGKNGDLHLKQIAYYKRTGEYHPTTLPSERSGIRRAAKKFVFKEKKLFYVGKDRKQN RLVVVSEEEKKKVLRECHENGPGVHHGISRTLTLVESGYYWTSVTNDVKQWVYACQHCQV AKNTVIVAPQQHLPMVGNPWSVVTVDLMGPFHTSNRSHVYAIIMTDLFTKWVMILPLCDV SASEISKAIINIFFLYGPPQKIIMDQRDEFIEQINVELYRLFGAKEIVISRASGSVNPAE NTPSTIKTFLSKHCADHPNSWDEHLPALSFAFNVTHLEPNKNTPYFQMFNRNPCVLECPP EEGSEGTSVFARIVAAIREADGVVENKTPASSQMENNNSDELSKSKVVKKKAKQLNPFHL KVGHEVLRQRKNWWKDGRFQSEWVGPCVIDYITESGCAVLRDNTGTRLKRPIKMSHLRPY VRESSEQDSLYLLQGSIVADHDYIGLPEIPVGTYQANILVEDATIGIADNELLISSKDHE LLEYRNSKISALVEDHSSLEKQTFSLLDSSNQVLEYLS >ENSMUSP00000108461.1 pep:known chromosome:GRCm38:1:97770179:97778139:1 gene:ENSMUSG00000026333.14 transcript:ENSMUST00000112842.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gin1 description:gypsy retrotransposon integrase 1 [Source:MGI Symbol;Acc:MGI:2182036] MVRSGKNGDLHLKQIAYYKRTGEYHPTTLPSERSGIRRAAKKFVFKEKKLFYVGKDRKQN RLVVVSEEEKKKVLRECHENGPGVHHGISRTLTLVESGYYWTSVTNDVKQWVWLPRRA >ENSMUSP00000027571.6 pep:known chromosome:GRCm38:1:97770197:97793709:1 gene:ENSMUSG00000026333.14 transcript:ENSMUST00000027571.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gin1 description:gypsy retrotransposon integrase 1 [Source:MGI Symbol;Acc:MGI:2182036] MVRSGKNGDLHLKQIAYYKRTGEYHPTTLPSERSGIRRAAKKFVFKEKKLFYVGKDRKQN RLVVVSEEEKKKVLRECHENGPGVHHGISRTLTLVESGYYWTSVTNDVKQWKIIMDQRDE FIEQINVELYRLFGAKEIVISRASGSVNPAENTPSTIKTFLSKHCADHPNSWDEHLPALS FAFNVTHLEPNKNTPYFQMFNRNPCVLECPPEEGSEGTSVFARIVAAIREADGVVENKTP ASSQMENNNSDELSKSKVVKKKAKQLNPFHLKVGHEVLRQRKNWWKDGRFQSEWVGPCVI DYITESGCAVLRDNTGTRLKRPIKMSHLRPYVRESSEQDSLYLLQGSIVADHDYIGLPEI PVGTYQANILVEDATIGIADNELLISSKDHELLEYRNSKISALVEDHSSLEKQTFSLLDS SNQVLEYLS >ENSMUSP00000137741.1 pep:known chromosome:GRCm38:1:97770210:97793006:1 gene:ENSMUSG00000026333.14 transcript:ENSMUST00000138142.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gin1 description:gypsy retrotransposon integrase 1 [Source:MGI Symbol;Acc:MGI:2182036] MVRSGKNGDLHLKQIAYYKRTGEYHPTTLPSERSGIRRAAKKFVFKGICLSALPSSKKYS YRSTSAAPSHGGKPVECSYC >ENSMUSP00000141037.1 pep:known chromosome:GRCm38:1:97777399:97785063:1 gene:ENSMUSG00000026333.14 transcript:ENSMUST00000189031.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gin1 description:gypsy retrotransposon integrase 1 [Source:MGI Symbol;Acc:MGI:2182036] XKVLRECHENGPGVHHGISRTLTLVESGYYWTSVTNDVKQWHQKFLKLLSIYFFYMDLLR K >ENSMUSP00000039443.5 pep:known chromosome:GRCm38:X:155573455:155623325:-1 gene:ENSMUSG00000041552.7 transcript:ENSMUST00000038665.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptchd1 description:patched domain containing 1 [Source:MGI Symbol;Acc:MGI:2685233] MLRQVLHRGLRTCFSRLGHFIASHPVFFASAPVLISILLGASFSRYQVEESVEHLLAPQH SLAKIERNLVNSLFPVNRSKHRLYSDLQTPGRYGRVIVTSYQKANMLDQHHTDLILKLHT AVTKIQVPRPGFNYTFAHICVLNNDKTCIVDDIVHVLEELKNARATNRTNFAITYPITHL KDGRAVYNGHQLGGVTVHSKDRVKSAEAIQLTYYLQSINSLNDMVAERWESSFCDTVKLF QKSNSKVKIYPYTSSSLREDFQKTSRVSERYLVTSLILVVTMAILCCSMQDCVRSKPWLG LLGLVTISLATLTAAGIINLTGGKYNSTFLGVPFVMLGHGLYGTFEMLSSWRKTREDQHV KERTAEVYADSMLSFSLTTAMYLVTFGIGASPFTNIEAARIFCCNSCIAILFNYLYVLSF YGSSLVFTGYIENNYQHSIFCRKVPKPDVLQEKPAWYRFLLTARFSEETAEGEEANTYES HLLVCFLKRYYCDWITNTYVKPFVVLFYLIYISFALMGYLQVSEGSDLSNIVATATQTIE YTTAHQKYFNNYSPVIGFYIYESIEYWNSSVQEDVLEYTKGFVRISWFESYLNYLRKLNV STDLPKKNFTDMLRNSFLKTPQFSHFQEDIIFSKKYNDEVDVVASRMFLVAKTMETNREE LYDLLETLRRLSVTSKVKFIVFNPSFVYMDRYASSLGAPLHNSCISALFLLFFSAFLVAD SLINVWITLTVVSVEFGVIGFMTLWKVELDCISVLCLIYGINYTIDNCAPLLSTFVLGKD FTRTKWVKNALEVHGVAILQSYLCYIVGLFPLAAVPSNLTCTLFRCLFLIAFVTFFHCFA ILPVILTFLPPSKKKRKEKKNPENREEIECVEMVDIDSTRVVDQITTV >ENSMUSP00000132293.1 pep:known chromosome:GRCm38:X:155573541:155623118:-1 gene:ENSMUSG00000041552.7 transcript:ENSMUST00000170236.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptchd1 description:patched domain containing 1 [Source:MGI Symbol;Acc:MGI:2685233] IERNLVNSLFPVNRSKHRLYSDLQTPGRYGRVIVTSYQKANMLDQHHTDLILKVMDYTGL LKCYPRGGKLERTNMLKSELQKSMQTPCSPSLSPLPCTWSPSASGPALSQTLRQPGFSAA TPVLQSSSTTSMYSHFMVPALCSLAT >ENSMUSP00000028005.2 pep:known chromosome:GRCm38:1:167371966:167393841:-1 gene:ENSMUSG00000026688.5 transcript:ENSMUST00000028005.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst3 description:microsomal glutathione S-transferase 3 [Source:MGI Symbol;Acc:MGI:1913697] MAVLSKEYGFVLLTGAASFVMVLHLAINVGKARKKYKVEYPVMYSTDPENGHMFNCIQRA HQNTLEVYPPFLFFLTVGGVYHPRIASGLGLAWIIGRVLYAYGYYTGDPSKRYRGAVGSL ALFALMGTTVCSAFQHLGWIRPGLGYGSRSCHH >ENSMUSP00000095732.3 pep:known chromosome:GRCm38:4:41903610:41904743:1 gene:ENSMUSG00000094065.1 transcript:ENSMUST00000098128.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21541 description:predicted gene, 21541 [Source:MGI Symbol;Acc:MGI:5434896] MAQMMTLSLLSLVLALCIPWTQGSDGGGQDCCLKYSQKKIPYSIVRGYRKQEPSLGCPIP AILFLPRKHSKPELCANPEEGWVQNLMRRLDQPPAPGKQSPGCRKNRGTSKSGKKGKGSK GCKRTEQTQPSRG >ENSMUSP00000034588.8 pep:known chromosome:GRCm38:9:46228580:46230466:1 gene:ENSMUSG00000032083.8 transcript:ENSMUST00000034588.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoa1 description:apolipoprotein A-I [Source:MGI Symbol;Acc:MGI:88049] MKAVVLAVALVFLTGSQAWHVWQQDEPQSQWDKVKDFANVYVDAVKDSGRDYVSQFESSS LGQQLNLNLLENWDTLGSTVSQLQERLGPLTRDFWDNLEKETDWVRQEMNKDLEEVKQKV QPYLDEFQKKWKEDVELYRQKVAPLGAELQESARQKLQELQGRLSPVAEEFRDRMRTHVD SLRTQLAPHSEQMRESLAQRLAELKSNPTLNEYHTRAKTHLKTLGEKARPALEDLRHSLM PMLETLKTQVQSVIDKASETLTAQ >ENSMUSP00000031324.4 pep:known chromosome:GRCm38:5:91074622:91093646:1 gene:ENSMUSG00000029377.5 transcript:ENSMUST00000031324.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ereg description:epiregulin [Source:MGI Symbol;Acc:MGI:107508] METLPASWVLTLLCLGSHLLQAVISTTVIPSCIPGESEDNCTALVQMEDDPRVAQVQITK CSSDMDGYCLHGQCIYLVDMREKFCRCEVGYTGLRCEHFFLTVHQPLSKEYVALTVILIF LFLIITAGCIYYFCRWYKNRKSKKSREEYERVTSGDPVLPQV >ENSMUSP00000070291.3 pep:known chromosome:GRCm38:7:131137713:131146314:-1 gene:ENSMUSG00000013668.9 transcript:ENSMUST00000070980.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933402N03Rik description:RIKEN cDNA 4933402N03 gene [Source:MGI Symbol;Acc:MGI:1914681] MIKEWENHFQQYKSQRCGYPKAQEGTPAEGEQNSRKPSRICSDNHPWVVQDFPHHKEDLC TPSPLGIWTAFYKSDPRIALGKYSPMEQEIQHLGGVHTRAARRFLVEKQYKEWRMLRELQ KQSADYKRAIELGRDPSSYAVCGPPEKIWTAKVSVPAEEFQTPHREVTGIKKHIKRMQLA RALENKQSSTDIGRLSPKTDKFSEEGEDDDTDSNDKANRGEKGEAKFEPTNKREVILNVA FKSEETKSCVVCHRNDRKTFLPVKRPERRITGLTNRNLFPITGFPGDLMLMNQDFVSKGI HPSDAIKIYWLPEEDLFKGRKQRPACCPH >ENSMUSP00000051521.1 pep:known chromosome:GRCm38:X:96247203:96293438:-1 gene:ENSMUSG00000044206.3 transcript:ENSMUST00000050707.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsig4 description:V-set and immunoglobulin domain containing 4 [Source:MGI Symbol;Acc:MGI:2679720] MEISSGLLFLGHLIVLTYGHPTLKTPESVTGTWKGDVKIQCIYDPLRGYRQVLVKWLVRH GSDSVTIFLRDSTGDHIQQAKYRGRLKVSHKVPGDVSLQINTLQMDDRNHYTCEVTWQTP DGNQVIRDKIIELRVRKYNPPRINTEAPTTLHSSLEATTIMSSTSDLTTNGTGKLEETIA GSGRNLPIFAIIFIISLCCIVAVTIPYILFRCRTFQQEYVYGVSRVFARKTSNSEETTRV TTIATDEPDSQALISDYSDDPCLSQEYQITIRSTMSIPAC >ENSMUSP00000047213.4 pep:known chromosome:GRCm38:1:167445669:167466780:-1 gene:ENSMUSG00000040485.5 transcript:ENSMUST00000036643.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc52 description:leucine rich repeat containing 52 [Source:MGI Symbol;Acc:MGI:1924118] MSLASGPSSKLLLFSLGMGLVSGSKCPNKCVCQDQEVACIDLHLTEYPADIPLNTRRLYL NNNKITSLPALQLGFLSDLVYLDCQNNRIREVMDYTFIGIFRLIYLDLSSNNLTSISPFS FSVLTNLVRLNISHNPHLLYLDKYVFANTTSLRYLDLRNTGLHIIDHNGFHHLVVLQTLY LSGNPWICNCSFLDFTIHLLVSHMDHPDAQNATCTEPAELKGWPITKVGNPLQYMCITHL DQQDYIFLLLIGFCIFAAGTVAAWLTGVCAVLYQNALRTSSGDDTEDETGSRFANQIFRS NTHLGPIRRFPELI >ENSMUSP00000100959.2 pep:known chromosome:GRCm38:10:81393051:81395392:1 gene:ENSMUSG00000078439.9 transcript:ENSMUST00000105322.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim24 description:small integral membrane protein 24 [Source:MGI Symbol;Acc:MGI:1919523] MDTLGRLLLLATLFLTPAEAQQASERRLKPWLVGLAAVVGFLFIVFILMLANRVWCAKGR AEDEEATFRMEHIMNENSQPSKADKKQKKKVDRKGGQSNEALELEEKESSDEERGKKTAL >ENSMUSP00000113817.1 pep:known chromosome:GRCm38:10:81393627:81395392:1 gene:ENSMUSG00000078439.9 transcript:ENSMUST00000118206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim24 description:small integral membrane protein 24 [Source:MGI Symbol;Acc:MGI:1919523] MLANRVWCAKGRAEDEEATFRMEHIMNENSQPSKADKKQKKKVDRKGGQSNEALELEEKE SSDEERGKKTAL >ENSMUSP00000147167.1 pep:known chromosome:GRCm38:17:37850568:37851629:1 gene:ENSMUSG00000109212.1 transcript:ENSMUST00000201362.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr126 description:olfactory receptor 126 [Source:MGI Symbol;Acc:MGI:2177509] MTARNMTTMSGFLLMGFSDNHELQILQALLFLLTYLLGSAGNFIIITITTLDPQLQSPMY YFLKQLSTLDLSSLSVTVPQYVASSLARSGYISYGQCMLQIFFFTGLAWSEMATLTVMSY DRYVAICLPLHYEVIMSPRKCTWAVAAVWLSGGISGTLFTASTLSIRFCGDKIIHQFFCD IPQLLKLSCSNDYFGVLEVSTFMSVMAFACFVGIAFSYGQIFSTVLRMPSAEGRSKVFST CLPHLFVVSFFLSTGICAYLKPTSDSPTALDLMLSIFYTLLPPTLNPVIYSLRNESLKRA LKKLLLSEEFIRKKCLFYF >ENSMUSP00000143665.2 pep:known chromosome:GRCm38:5:65427427:65492833:-1 gene:ENSMUSG00000105835.1 transcript:ENSMUST00000196121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm43552 description:predicted gene 43552 [Source:MGI Symbol;Acc:MGI:5663689] MAEGGFDPCECVCSHEHAMRRLINLFSHG >ENSMUSP00000035583.8 pep:known chromosome:GRCm38:7:131388652:131410521:-1 gene:ENSMUSG00000040167.16 transcript:ENSMUST00000046306.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf5 description:IKAROS family zinc finger 5 [Source:MGI Symbol;Acc:MGI:1914393] MGEKKPEPLDFVKDFQEYLTQQTHHVNMISGSVSGDKEAETLQGAGTDGDQNGLDHPSVE VSLDENSGMLVDGFERTFDGKLKCRYCNYASKGTARLIEHIRIHTGEKPHRCHLCPFASA YERHLEAHMRSHTGEKPYKCELCSFRCSDRSNLSHHRRRKHKMVPIKGTRSSLSSKKMWG VLQKKTSNLGYSRRALINLSPPSMVVQKPDYLNDFTHEIPNIQTDSYEAMAKTTPTGGLP RDPQELMVDNPLNQLSTLAGQLSSLPPENQNPASPDVDACPDEKPFMIQQPSAQAVVSAV SASIPQSSSPTSPEPRPSHSQRNYSPVAGPSSEPSAHTSTPSIGNSQPSTPAPTLPVQDP QLLHHCQHCDVYFADNVLYTVHMGCHGYDSPFQCNVCGCKCKDKYDFACHFARGQHNQH >ENSMUSP00000113714.1 pep:known chromosome:GRCm38:7:131390368:131410497:-1 gene:ENSMUSG00000040167.16 transcript:ENSMUST00000121033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf5 description:IKAROS family zinc finger 5 [Source:MGI Symbol;Acc:MGI:1914393] MGEKKPEPLDFVKDFQEYLTQQTHHVNMISGSVSGDKEAETLQGAGTDGDQNGLDHPSVE VSLDENSGMLVDGFERTFDGKLKCRYCNYASKGTARLIEHIRIHTAGQLSSLPPENQNPA SPDVDACPDEKPFMIQQPSAQAVVSAVSASIPQSSSPTSPEPRPSHSQRNYSPVAGPSSE PSAHTSTPSIGNSQPSTPAPTLPVQDPQLLHHCQHCDVYFADNVLYTVHMGCHGYDSPFQ CNVCGCKCKDKYDFACHFARGQHNQH >ENSMUSP00000123530.1 pep:known chromosome:GRCm38:7:131392526:131410378:-1 gene:ENSMUSG00000040167.16 transcript:ENSMUST00000154602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf5 description:IKAROS family zinc finger 5 [Source:MGI Symbol;Acc:MGI:1914393] MGEKKPEPLDFVKDFQEYLTQQTHHVNMISGSVSGDKEAETLQGAGTDGDQNGLDHPSVE VSLDENSGMLVDGFERTFDGKLKCRYCNYASKGTARLIEHIRIHTGEKPHRCHLCPFASA YER >ENSMUSP00000146590.1 pep:known chromosome:GRCm38:7:131392647:131410473:-1 gene:ENSMUSG00000040167.16 transcript:ENSMUST00000207243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf5 description:IKAROS family zinc finger 5 [Source:MGI Symbol;Acc:MGI:1914393] MGEKKPEPLDFVKDFQEYLTQQTHHVNMISGSVSGDKEAETLQGAGTDGDQNGLDHPSVE VSLDENSGMLVDGFERTFDGKLKCRYCNYASKGTARLIEHIRIHTDLTNLVYKYAFLQRI LFLYQFSHAV >ENSMUSP00000139528.1 pep:known chromosome:GRCm38:7:131393395:131410495:-1 gene:ENSMUSG00000040167.16 transcript:ENSMUST00000128432.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf5 description:IKAROS family zinc finger 5 [Source:MGI Symbol;Acc:MGI:1914393] MGEKKPEPLDFVKDFQEYLTQQTHHVNMISGSVSGDKEAETLQGAGTDGDQNGLDHPSVE VSLDENSGMLVDGFERTFDGKLKCRYCNYASKGTARLIEHIRIHTVA >ENSMUSP00000117823.1 pep:known chromosome:GRCm38:7:131396741:131410405:-1 gene:ENSMUSG00000040167.16 transcript:ENSMUST00000142349.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf5 description:IKAROS family zinc finger 5 [Source:MGI Symbol;Acc:MGI:1914393] MGEKKPEPLDFVKDFQEYLT >ENSMUSP00000085913.6 pep:known chromosome:GRCm38:2:156775420:156781658:1 gene:ENSMUSG00000067818.6 transcript:ENSMUST00000088552.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl9 description:myosin, light polypeptide 9, regulatory [Source:MGI Symbol;Acc:MGI:2138915] MSSKRAKAKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLAS LGKNPTDEYLEGMMNEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEASGFIHE DHLRELLTTMGDRFTDEEVDEMYREAPIDKKGNFNYVEFTRILKHGAKDKDD >ENSMUSP00000018430.6 pep:known chromosome:GRCm38:11:70525386:70527649:1 gene:ENSMUSG00000018286.6 transcript:ENSMUST00000018430.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb6 description:proteasome (prosome, macropain) subunit, beta type 6 [Source:MGI Symbol;Acc:MGI:104880] MAAALAVRRAGSAPAFGPEALTPDWENREVSTGTTIMAVQFNGGVVLGADSRTTTGSYIA NRVTDKLTPIHDHIFCCRSGSAADTQAVADAVTYQLGFHSIELNEPPLVHTAASLFKEMC YRYREDLMAGIIIAGWDPQEGGQVYSVPMGGMMVRQSFAIGGSGSSYIYGYVDATYREGM TKDECLQFTANALALAMERDGSSGGVIRLAAIQESGVERQVLLGDQIPKFTIATLPPP >ENSMUSP00000050970.3 pep:known chromosome:GRCm38:2:164805098:164822130:1 gene:ENSMUSG00000045822.4 transcript:ENSMUST00000052107.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zswim3 description:zinc finger SWIM-type containing 3 [Source:MGI Symbol;Acc:MGI:1914788] MELGSCFKTYEDFKECFSAYKKETRCSFIVRDCISVRFHNLNNGTSFREDILYVQVKFVC IRTQSNRKKTRKVDRCPAYLLLQYNETLDRLFISELNTQHIHADSNASGGIPASKPQAIC LHKLPPVQLSIRKDLDTAEKPSVEPSFCLDKIHKPSNPEQEGISPSDMAKIAKVMKNFLT VDEGSMASFSVGTSQDLDRLSFQSSKMSDLFARFPETLLLHRVENSQGHILYAFLVENKE RESRVVHFAVLKAETAPSVAKMLNIFTEFNSDWPKVKMVFVDPSFPHRAILQEIFPSART LLSIYHTTRLLEKKLHQSSVNASFKRLMKEALREAVFVSSDASLKNLCEMSQVLLDEELF SFLQAHWFSCELLWYIHVRKGLHACNTYMDSLDIVTSKVSSLFREQRSLLDCILHFVDYI DFFNTKGLKSLPTNAPKLRRTRLPSTPPRPKKPFRICGGGDTRLPVEEVEETKADSAQSQ LPQPQDQSSKAGMLDILHQSGSELAYKLCHNEWEVVQNSTHLVDEAGSSVAVQLLENSHQ VSKDGCSCSCSFQQCYHLPCRHILALLHTSQQPVGEAMVCCRWQKKYQHLLDPNGELQDR GIIPNTDQPEKQGQNHMIRDLSRELANLLMQTEGPELEERCSTLRKIVDIWADPYQLPES SQQPVDFRDVGCLPFLWGKLEEADSPPLAEAMVHD >ENSMUSP00000136316.1 pep:known chromosome:GRCm38:10:25527943:25536267:-1 gene:ENSMUSG00000096546.2 transcript:ENSMUST00000179685.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smlr1 description:small leucine-rich protein 1 [Source:MGI Symbol;Acc:MGI:1922856] MSSVLAIFLQELPGPVLVLGIFLPVTLLLLLLLAYFRIKLMAVEEELAHTSDRQNKFGSS LRKRMR >ENSMUSP00000144916.1 pep:known chromosome:GRCm38:10:78950662:78956139:1 gene:ENSMUSG00000094080.2 transcript:ENSMUST00000203851.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr8 description:olfactory receptor 8 [Source:MGI Symbol;Acc:MGI:107174] MESGNSTRRIPSFFLLGFSENPHLQFLIFVLFLSMYLVTVLGNLLIIMVIITQSPLHTPM YFFLANLSFVDICFTSTTVPKMLVNIQTQSKAITYADCISQMSVFLVFAELDNFLLAVMA YDRYVAICHPLYYTFIVNQHLCILMVLLSWVVSILHAFLQSSIVLQLTFCGDVKIPHFFC ELNQLSQLTCLDSLSSHLIMNLVPVLLAVISFSSILYSYFKIVSSICSISSVQGKYTAFS TCVSHLSIVFLFYSTGLGVYVSSAVVQSSHSAARASVMYTVVTPMLNPFIYSLRNKDVKK ALERLLEGKL >ENSMUSP00000080282.1 pep:known chromosome:GRCm38:10:78955207:78956139:1 gene:ENSMUSG00000094080.2 transcript:ENSMUST00000081571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr8 description:olfactory receptor 8 [Source:MGI Symbol;Acc:MGI:107174] MESGNSTRRIPSFFLLGFSENPHLQFLIFVLFLSMYLVTVLGNLLIIMVIITQSPLHTPM YFFLANLSFVDICFTSTTVPKMLVNIQTQSKAITYADCISQMSVFLVFAELDNFLLAVMA YDRYVAICHPLYYTFIVNQHLCILMVLLSWVVSILHAFLQSSIVLQLTFCGDVKIPHFFC ELNQLSQLTCLDSLSSHLIMNLVPVLLAVISFSSILYSYFKIVSSICSISSVQGKYTAFS TCVSHLSIVFLFYSTGLGVYVSSAVVQSSHSAARASVMYTVVTPMLNPFIYSLRNKDVKK ALERLLEGKL >ENSMUSP00000106877.1 pep:known chromosome:GRCm38:2:93833467:93849941:-1 gene:ENSMUSG00000040272.14 transcript:ENSMUST00000111246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Accs description:1-aminocyclopropane-1-carboxylate synthase (non-functional) [Source:MGI Symbol;Acc:MGI:1919717] MFCLPQQESTAPTTCTGSASTQDMDSGYGDGLQGECLRKPDQTQPKLYGVGDPTATFSSD SSCLSSRGRVIKWFWDSAEEGYRTYHMDEYDEDKNPSGIINLGTSENKLCFDLLSWRLTQ GDMLHVEPSLLQYPDWRGHLFLREEVAKFLSFYCKSPAPLKPENVVVLNGCASLFSALAT VLCEAGEALLIPTPYYGAITQHIYLYGNVRLAYVYLDSKVTGLNTRPFQLTVEKLEMVLQ GVSSEGVKVKGLILINPQNPLGDVYSPEELQDFLRFAMRHKLHVIMDEVYMLSVFEESLG YRSVLSLERLPDPQRTHVMWATSKDFGMSGLRFGVLYTENQHVATAVASLCRYHGLSGLV QHQMAQLLRDHDWISQVYLPENHARLKAAHTYVSEELRALGIPFVSRGAGFFIWVDLRKY LCKGTFEEEALLWRQFLDNKVLLSSGKTFECKEPGWFRVVFSDKENRLRLGMQRMRQVLE GQSQVVEDASPCHAQEPQSQPR >ENSMUSP00000065389.4 pep:known chromosome:GRCm38:2:93835438:93849679:-1 gene:ENSMUSG00000040272.14 transcript:ENSMUST00000068513.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Accs description:1-aminocyclopropane-1-carboxylate synthase (non-functional) [Source:MGI Symbol;Acc:MGI:1919717] MDSGYGDGLQGECLRKPDQTQPKLYGVGDPTATFSSDSSCLSSRGRVIKWFWDSAEEGYR TYHMDEYDEDKNPSGIINLGTSENKLCFDLLSWRLTQGDMLHVEPSLLQYPDWRGHLFLR EEVAKFLSFYCKSPAPLKPENVVVLNGCASLFSALATVLCEAGEALLIPTPYYGAITQHI YLYGNVRLAYVYLDSKVTGLNTRPFQLTVEKLEMVLQGVSSEGVKVKGLILINPQNPLGD VYSPEELQDFLRFAMRHKLHVIMDEVYMLSVFEESLGYRSVLSLERLPDPQRTHVMWATS KDFGMSGLRFGVLYTENQHVATAVASLCRYHGLSGLVQHQMAQLLRDHDWISQVYLPENH ARLKAAHTYVSEELRALGIPFVSRGAGFFIWVDLRKYLCKGTFEEEALLWRQFLDNKVLL SSGKTFECKEPGWFRVVFSDKENRLRLGMQRMRQVLEGQSQVVEDASPCHAQEPQSQPR >ENSMUSP00000036268.8 pep:known chromosome:GRCm38:2:93835438:93849799:-1 gene:ENSMUSG00000040272.14 transcript:ENSMUST00000041593.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Accs description:1-aminocyclopropane-1-carboxylate synthase (non-functional) [Source:MGI Symbol;Acc:MGI:1919717] MDSGYGDGLQGECLRKPDQTQPKLYGVGDPTATFSSDSSCLSSRGRVIKWFWDSAEEGYR TYHMDEYDEDKNPSGIINLGTSENKLCFDLLSWRLTQGDMLHVEPSLLQYPDWRGHLFLR EEVAKFLSFYCKSPAPLKPENVVVLNGCASLFSALATVLCEAGEALLIPTPYYGAITQHI YLYGNVRLAYVYLDSKVTGLNTRPFQLTVEKLEMVLQGVSSEGVKVKGLILINPQNPLGD VYSPEELQDFLRFAMRHKLHVIMDEVYMLSVFEESLGYRSVLSLERLPDPQRTHVMWATS KDFGMSGLRFGVLYTENQHVATAVASLCRYHGLSGLVQHQMAQLLRDHDWISQVYLPENH ARLKAAHTYVSEELRALGIPFVSRGAGFFIWVDLRKYLCKGTFEEEALLWRQFLDNKVLL SSGKTFECKEPGWFRVVFSDKENRLRLGMQRMRQVLEGQSQVVEDASPCHAQEPQSQPR >ENSMUSP00000119096.1 pep:known chromosome:GRCm38:2:93838011:93847929:-1 gene:ENSMUSG00000040272.14 transcript:ENSMUST00000150666.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Accs description:1-aminocyclopropane-1-carboxylate synthase (non-functional) [Source:MGI Symbol;Acc:MGI:1919717] XKNPSGIINLGTSENKLCFDLLSWRLTQGDMLHVEPSLLQYPDWRGHLFLREEVAKFLSF YCKSPAPLKPENRLS >ENSMUSP00000114687.1 pep:known chromosome:GRCm38:2:93842569:93849816:-1 gene:ENSMUSG00000040272.14 transcript:ENSMUST00000130077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Accs description:1-aminocyclopropane-1-carboxylate synthase (non-functional) [Source:MGI Symbol;Acc:MGI:1919717] MDSGYGDGLQGECLRKPDQTQPKLYGVGDPTATFSSDSSCLSSRGRVIKWFWDSAEEGYR TYHMDEYDEDKNPSGIINLGTSENKLCFDLLSWRLTQGDMLHVEPSLLQYPDWRGHLFLR EEVAKFLSFYCKSPAPLKPENVVVLNGCASLFSALAT >ENSMUSP00000062039.8 pep:known chromosome:GRCm38:4:11051045:11076204:-1 gene:ENSMUSG00000050323.14 transcript:ENSMUST00000058183.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf6 description:NADH dehydrogenase (ubiquinone) complex I, assembly factor 6 [Source:MGI Symbol;Acc:MGI:1924197] MATSMLGSVRGPRPFGLANLFHRQPPRDAWERVRRLPGPSAVRRSVAAASGPGIPGSHLY CLELLRKRDYESYLCSLLFPAECQRSASALRAFNVELAQVKDSVSEKTIGLMRMQFWKKA VEDMYCDNPPHQPVAIELWKAVKKHNLTKRWLMRIIDEREKNLDDKAYRSMQELENYAEN TQGSLLYLTLEVLGVKDLHADHAASHIGKAQGIVTCLRATPYHSSRRQVFLPMDVCVQHG VSQEDFLRRNQDKNVRDVVYDIASQAHLHLKHARSFHRSVPAEAFPAFLQTVSLEDYLKK IQRVDFDIFHPSLQQKNMLLPLSLYIQSWRKRY >ENSMUSP00000031434.6 pep:known chromosome:GRCm38:5:122850048:122871291:1 gene:ENSMUSG00000029474.7 transcript:ENSMUST00000031434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf34 description:ring finger protein 34 [Source:MGI Symbol;Acc:MGI:2153340] MKAGATSMWASCCGLLNEVMGTGAVRGQQAGFPGSTGPFRFTPSSDFPTYPPAATEGPNI VCKACGLSFSVFRKKHVCCDCKKDFCSLCSVSQENLRRCSTCHLLQETAFQRPQLMRLKV KDLRQYLLLRNIPTDTCREKEDLVDLVLCHRGLGSGDDLDSSSLNSSRSQTSSFFTQSLF SNYTPPSATVSSFQGELMDRDGAFRSEVLAQVQSEIASANTDDDDDDDDDDDDDEDDDDE QEEEEQNPGLSKKKARASLSDLSSLEEVEGMSVRQLKEILARNFVNYSGCCEKWELVEKV NRLYKENEENQKSYGERMQLQDEEDDSLCRICMDAVIDCVLLECGHMVTCTKCGKRMSEC PICRQYVVRAVHVFKS >ENSMUSP00000143603.1 pep:known chromosome:GRCm38:5:122850157:122864295:1 gene:ENSMUSG00000029474.7 transcript:ENSMUST00000198602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf34 description:ring finger protein 34 [Source:MGI Symbol;Acc:MGI:2153340] MKHVCCDCKKDFCSLCSVSQENLRRCSTCHLLQETAFQRPQLMRLKVKDLRQYLLLRNIP TDTCREKEDLVDLVLCHRGLGSGDDLDSSSLNSSRSQTSSFFTQS >ENSMUSP00000017908.2 pep:known chromosome:GRCm38:2:164822686:164826871:1 gene:ENSMUSG00000017764.2 transcript:ENSMUST00000017908.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zswim1 description:zinc finger SWIM-type containing 1 [Source:MGI Symbol;Acc:MGI:1919221] MALTMLNGLLIKDSSPPMLHQISKTPQLDAFNYQSCFMQDLFAHFPEVLFIHRTYNPRGK VLYTFLVDGPRVQVEGPLARAVYFAIPTNEDARGLAQMFQVFKKFNPAWERVNTILVDPH FLLLPTLTMEFPTAEVLLSAFHICKFLQGKFYQLPLEQPVQRLLLSSLQSTMCSATAGNL RKLYTLLNNCIPSSRLPELHSHWLLNDRIWLAHRWRSRAQSSRYFQSLEIMAHILSQFFG TTPFEKQGMASVFRYMQQNSSDKASLSLAETPQDSHTPSEASAENPNTEQLVEARIQHSL NAICTGPAAQLCLGELAVVQKSMHLIGSGSEKMSIQILEDTHTVQPQPPASCSCYFNQAF HLPCRHILAMLSARQQVLQPDMLPAQWTSGCASSLDSILGSKWSASLDKHLAVTLLTEEV GRLLQHCSEEEFERRYSTLRELADNWIGPYEQVQL >ENSMUSP00000120356.1 pep:known chromosome:GRCm38:2:164823013:164825268:1 gene:ENSMUSG00000017764.2 transcript:ENSMUST00000132282.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zswim1 description:zinc finger SWIM-type containing 1 [Source:MGI Symbol;Acc:MGI:1919221] MALTMLNGLLIKDSSPPMLHQISKTPQLDAFNYQSCFMQDLFAHFPEVLFIHRTYNPRGK VLYTFLVDGPRVQVEGPLARAVYFAIPTNEDARGLAQMFQVFKKFNPAWERVNTILVDPH FLLLPTLTMEFPTAEVLLSAFHICKF >ENSMUSP00000115377.1 pep:known chromosome:GRCm38:6:57727807:57825136:-1 gene:ENSMUSG00000037788.14 transcript:ENSMUST00000127485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vopp1 description:vesicular, overexpressed in cancer, prosurvival protein 1 [Source:MGI Symbol;Acc:MGI:2141658] MGRRLGRVAALLLGLLVECTEAKKHCWYFEGLYPTYYICRSYEDCCGSRCCVRALSIQRL WYFWFLLMMGVLFCCGAGFFIRRRMYPPPLIEEPTFNVSYTRQPPNPAPELTDS >ENSMUSP00000109936.2 pep:known chromosome:GRCm38:6:57752265:57825159:-1 gene:ENSMUSG00000037788.14 transcript:ENSMUST00000114297.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vopp1 description:vesicular, overexpressed in cancer, prosurvival protein 1 [Source:MGI Symbol;Acc:MGI:2141658] MGRRLGRVAALLLGLLVECTEAKKHCWYFEGLYPTYYICRSYEDCCGSRCCVRALSIQRL WYFWFLLMMGVLFCCGAGFFIRRRMYPPPLIEEPTFNVSYTRQPPNPAPGAQQMGPPYYT DPGGPGMNPVGNTMAMAFQVQPNSPHGGTTYPPPPSYCNTPPPPYEQVVKDK >ENSMUSP00000144953.1 pep:known chromosome:GRCm38:6:57754563:57825150:-1 gene:ENSMUSG00000037788.14 transcript:ENSMUST00000204878.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vopp1 description:vesicular, overexpressed in cancer, prosurvival protein 1 [Source:MGI Symbol;Acc:MGI:2141658] MMGVLFCCGAGFFIRRRMYPPPLIEEPTFNVSYTRQPPNPAPGAQQMGPPYYTDPGGPGM NPVGNTMAMAFQVQPNSPHG >ENSMUSP00000145084.1 pep:known chromosome:GRCm38:6:57758347:57825154:-1 gene:ENSMUSG00000037788.14 transcript:ENSMUST00000145608.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vopp1 description:vesicular, overexpressed in cancer, prosurvival protein 1 [Source:MGI Symbol;Acc:MGI:2141658] MGRRLGRVAALLLGLLVECTEAKKHCWYFEGLYPTYYICRSYEDCCGSRCCVRALSIQRL WYFWFLLMMGVLFCCGAGFFIRRRMYPPPLIEEPTFNVSYTRQPPNPAPGQLVSSLSVLL VI >ENSMUSP00000144859.1 pep:known chromosome:GRCm38:6:57762449:57825154:-1 gene:ENSMUSG00000037788.14 transcript:ENSMUST00000203212.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vopp1 description:vesicular, overexpressed in cancer, prosurvival protein 1 [Source:MGI Symbol;Acc:MGI:2141658] MMGVLFCCGAGFFIRRRMYPPPLIEEPTFNVSYTRQPP >ENSMUSP00000112439.1 pep:known chromosome:GRCm38:16:33517328:33663408:1 gene:ENSMUSG00000035506.16 transcript:ENSMUST00000121925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a8 description:solute carrier family 12 (potassium/chloride transporters), member 8 [Source:MGI Symbol;Acc:MGI:2443672] MAQRSPQELFHEAAQQGILAQPQPWWKIQLFMWEPVLFGTWDGVFTSCMINIFGVVLFLR TGWLVGNTGVLLGLLLVSFVVLVALITVLSGIGVAEHGGISSGGVYSMISSVLGGQMGGT VGLLYVFGQCVAGAMYITGFAESISDLLGLGDIWAVRGISVAVLLALLGINLAGVKWIIR LQLLLLLLLAVSTLDFVVGSFTHLDPEHGFIGYSPELLQSNILPEYSPGESFFTVFGVFF PAATGVMAGFNMGGDLRDPADSVPLGSLAAVGVSWFLYIIFAFLLGAVCTREALRSDFLI AEKVSLVGFLFLLGLYISSLASCMGGLYGAPRILQCIAQDKVIPALAFLANGKGPNKTPV AAICLTSLVTMAFVLVGQVNVLAPVVTINFMLTYIMVDYSYFALSMAHCGLAPSPEPVPR QGPDTLHCSEHLLQDRAPSYGSDVPARSLSEGTLLEFTKDMDQFLQPIEELESRQLGSRE GNNPKNQKRKGKKGAKQTLQDSFLLDPGSPLSFPTRTSERLSVAFCGEQESYQKQQTSRS ESHDHLVPDLRNQPRVNREDFFLKCRLQEQEIQRRPSVFYACMCNPWVSLLGALASLLIM FVIQWLYTLASMGVAALVYFYIGQASPGLYLGSASNFSFFQWMKSFLVPSCRSLRSAQEQ IILAPSPAKVDMAMTQLTQDNADFATRDRYHHSSFLSREQLMPPY >ENSMUSP00000113633.1 pep:known chromosome:GRCm38:16:33518536:33663408:1 gene:ENSMUSG00000035506.16 transcript:ENSMUST00000119173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a8 description:solute carrier family 12 (potassium/chloride transporters), member 8 [Source:MGI Symbol;Acc:MGI:2443672] MWEPVLFGTWDGVFTSCMINIFGVVLFLRTGWLVGNTGVLLGLLLVSFVVLVALITVLSG IGVAEHGGISSGGVYSMISSVLGGQMGGTVGLLYVFGQCVAGAMYITGFAESISDLLGLG DIWAVRGISVAVLLALLGINLAGVKWIIRLQLLLLLLLAVSTLDFVVGSFTHLDPEHGFI GYSPELLQSNILPEYSPGESFFTVFGVFFPAATGVMAGFNMGGDLRDPADSVPLGSLAAV GVSWFLYIIFAFLLGAVCTREALRSDFLIAEKKGPNKTPVAAICLTSLVTMAFVLVGQVN VLAPVVTINFMLTYIMVDYSYFALSMAHCGLAPSPEPVPRQGPDTLHCSEHLLQDRAPSY GSDVPARSLSEGTLLEFTKDMDQFLQPIEELESRQLGSREGNNPKNQKRKGKKGAKQTLQ DSFLLDPGSPLSFPTRTSERLSVAFCGEQESYQKQQTSRSESHDHLVPDLRNQPRVNRED FFLKCRLQEQEIQRRPSVFYACMCNPWVSLLGALASLLIMFVIQWLYTLASMGVAALVYF YIGQASPGLYLGSASNFSFFQWMKSFLVPSCRSLRSAQEQIILAPSPAKVDMAMTQLTQD NADFATRDRYHHSSFLSREQLMPPY >ENSMUSP00000113901.1 pep:known chromosome:GRCm38:16:33596562:33664135:1 gene:ENSMUSG00000035506.16 transcript:ENSMUST00000122314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a8 description:solute carrier family 12 (potassium/chloride transporters), member 8 [Source:MGI Symbol;Acc:MGI:2443672] MAGFNMGGDLRDPADSVPLGSLAAVGVSWFLYIIFAFLLGAVCTREALRSDFLIAEKVSL VGFLFLLGLYISSLASCMGGLYGAPRILQCIAQDKVIPALAFLANGKGPNKTPVAAICLT SLVTMAFVLVGQVNVLAPVVTINFMLTYIMVDYSYFALSMAHCGLAPSPEPVPRQGPDTL HCSEHLLQDRAPSYGSDVPARSLSEGTLLEFTKDMDQFLQPIEELESRQLGSREGNNPKN QKRKGKKGAKQTLQDSFLLDPGSPLSFPTRTSERLSVAFCGEQESYQKQQTSRSESHDHL VPDLRNQPRVNREDFFLKCRLQEQEIQRRPSVFYACMCNPWVSLLGALASLLIMFVIQWL YTLASMGVAALVYFYIGQASPGLYLGSASNFSFFQWMKSFLVPSCRSLRSAQEQIILAPS PAKVDMAMTQLTQDNADFATRDRYHHSSFLSREQLMPPY >ENSMUSP00000113164.1 pep:known chromosome:GRCm38:16:33518278:33663245:1 gene:ENSMUSG00000035506.16 transcript:ENSMUST00000122427.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a8 description:solute carrier family 12 (potassium/chloride transporters), member 8 [Source:MGI Symbol;Acc:MGI:2443672] MAQRSPQELFHEAAQQGILAQPQPWWKIQLFMWEPVLFGTWDGVFTSCMINIFGVVLFLR TGWLVGNTGVLLGLLLVSFVVLVALITVLSGIGVAEHGGISSGGVYSMISSVLGGQMGGT VGLLYVFGQCVAGAMYITGFAESISDLLGLGDIWAVRGISVAVLLALLGINLAGVKWIIR LQLLLLLLLAVSTLDFVVGSFTHLDPEHGFIGYSPELLQSNILPEYSPGESFFTVFGVFF PAATGVMAGFNMGGDLRDPADSVPLGSLAAVGVSWFLYIIFAFLLGAVCTREALRSDFLI AEKVSLVGFLFLLGLYISSLASCMGGLYGAPRILQCIAQDKVIPALAFLANGVNVLAPVV TINFMLTYIMVDYSYFALSMAHCGLAPSPEPVPRQGPDTLHCSEHLLQDRAPSYGSDVPA RSLSEGTLLEFTKDMDQFLQPIEELESRQLGSREGNNPKNQKRKGKKGAKQTLQDSFLLD PGSPLSFPTRTSERLSVAFCGEQESYQKQQTSRSESHDHLVPDLRNQPRVNREDFFLKCR LQEQEIQRRPSVFYACMCNPWVSLLGEPEVRPGANHLGAITSQG >ENSMUSP00000112925.1 pep:known chromosome:GRCm38:16:33596627:33663406:1 gene:ENSMUSG00000035506.16 transcript:ENSMUST00000117134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a8 description:solute carrier family 12 (potassium/chloride transporters), member 8 [Source:MGI Symbol;Acc:MGI:2443672] MAGFNMGGDLRDPADSVPLGSLAAVGVSWFLYIIFAFLLGAVCTREALRSDFLIAEKVSL VGFLFLLGLYISSLASCMGGLYGAPRILQCIAQDKVIPALAFLANGKGPNKTPVAAICLT SLVTMAFVLVGQVNVLAPVVTINFMLTYIMVDYSYFALSMAHCGLAPSPEPVPRQGPDTL HCSEHLLQDRAPSYGSDVPARSLSEGTLLEFTKDMDQFLQPIEELESRQLGSREGNNPKN QKRKGKKGAKQTLQDSFLLDPGSPLSFPTRTSERLSVAFCGEQESYQKQQTSRSESHDHL VPDLRNQPRVNREDFFLKCRLQEQEIQRRPSVFYACMCNPWVSLLGALASLLIMFVIQWL YTLASMGVAALVYFYIGQASPGLYLGSASNFSFFQWMKSFLVPSCRSVPTGAGTRRDECP RGWGGA >ENSMUSP00000062337.8 pep:known chromosome:GRCm38:16:33518329:33664135:1 gene:ENSMUSG00000035506.16 transcript:ENSMUST00000059056.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a8 description:solute carrier family 12 (potassium/chloride transporters), member 8 [Source:MGI Symbol;Acc:MGI:2443672] MAQRSPQELFHEAAQQGILAQPQPWWKIQLFMWEPVLFGTWDGVFTSCMINIFGVVLFLR TGWLVGNTGVLLGLLLVSFVVLVALITVLSGIGVAEHGGISSGGVYSMISSVLGGQMGGT VGLLYVFGQCVAGAMYITGFAESISDLLGLGDIWAVRGISVAVLLALLGINLAGVKWIIR LQLLLLLLLAVSTLDFVVGSFTHLDPEHGFIGYSPELLQSNILPEYSPGESFFTVFGVFF PAATGVMAGFNMGGDLRDPADSVPLGSLAAVGVSWFLYIIFAFLLGAVCTREALRSDFLI AEKVSLVGFLFLLGLYISSLASCMGGLYGAPRILQCIAQDKVIPALAFLANGKGPNKTPV AAICLTSLVTMAFVLVGQVNVLAPVVTINFMLTYIMVDYSYFALSMAHCGLAPSPEPVPR QGPDTLHCSEHLLQDRAPSYGSDVPARSLSEGTLLEFTKDMDQFLQPIEELESRQLGSRE GNNPKNQKRKGKKGAKQTLQDSFLLDPGSPLSFPTRTSERLSVAFCGEQESYQKQQTSRS ESHDHLVPDLRNQPRVNREDFFLKCRLQEQEIQRRPSVFYACMCNPWVSLLGALASLLIM FVIQWLYTLASMGVAALVYFYIGQASPGLYLGSASNFSFFQWMKSFLVPSCRSLRSAQEQ IILAPSPAKVDMAMTQLTQDNADFATRDRYHHSSFLSREQLMPPY >ENSMUSP00000145073.1 pep:known chromosome:GRCm38:10:78963323:78969934:1 gene:ENSMUSG00000042774.7 transcript:ENSMUST00000205193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1353 description:olfactory receptor 1353 [Source:MGI Symbol;Acc:MGI:3031187] MDSSNRTRVAEFLLLGFLENKDLQPIIYGLFLSMYLVTVVGNMLIIVAIISGPRLHTPMY FFLSNLSFVDICFISTTIPKMLVNIQTQNKVITYA >ENSMUSP00000145454.1 pep:known chromosome:GRCm38:10:78969598:78970612:1 gene:ENSMUSG00000042774.7 transcript:ENSMUST00000204849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1353 description:olfactory receptor 1353 [Source:MGI Symbol;Acc:MGI:3031187] MDSSNRTRVAEFLLLGFLENKDLQPIIYGLFLSMYLVTVVGNMLIIVAIISGPRLHTPMY FFLSNLSFVDICFISTTIPKMLVNIQTQNKVITYAGCITQIYFFLLFVELDNFLLTIMAY DRYVAICHPMRYTVIMNYQLCGFLVLVSWIVSVLHALFQSLMMLELPFCTQPEIPHFFCE PNQVIQLTCSDAFLNDMVIYFTLVLLAIVPLAGVFYSYFKIVSSIRAMSSVHGKYKAFST CASHLLVVSLFYCTGLGVYLSSAANHGSQTSATASVTYTVVTPMMNPFIYSLRNKDVKSA LKRLFVRKL >ENSMUSP00000038992.5 pep:known chromosome:GRCm38:10:78963309:78970612:1 gene:ENSMUSG00000042774.7 transcript:ENSMUST00000039718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1353 description:olfactory receptor 1353 [Source:MGI Symbol;Acc:MGI:3031187] MDSSNRTRVAEFLLLGFLENKDLQPIIYGLFLSMYLVTVVGNMLIIVAIISGPRLHTPMY FFLSNLSFVDICFISTTIPKMLVNIQTQNKVITYAGCITQIYFFLLFVELDNFLLTIMAY DRYVAICHPMRYTVIMNYQLCGFLVLVSWIVSVLHALFQSLMMLELPFCTQPEIPHFFCE PNQVIQLTCSDAFLNDMVIYFTLVLLAIVPLAGVFYSYFKIVSSIRAMSSVHGKYKAFST CASHLLVVSLFYCTGLGVYLSSAANHGSQTSATASVTYTVVTPMMNPFIYSLRNKDVKSA LKRLFVRKL >ENSMUSP00000052778.2 pep:known chromosome:GRCm38:7:132594878:132599637:-1 gene:ENSMUSG00000048528.7 transcript:ENSMUST00000054562.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx1-2 description:NK1 transcription factor related, locus 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:104806] MLAWQDVGAKAAPSHHKISFSVLDILDPQKFTRAALPPVRLAALEAKKSLEEVEAGQDAC SGNPIGSQETPDAVGRGIDPGSPVEGSEAEEEEEAEDAGRAHQPERWQGVHEGSPEARAV AVGTEESGAEGLPASPGSPGSPRPRRRRAESSCAKPRRARTAFTYEQLVALENKFRATRY LSVCERLNLALSLSLTETQVKIWFQNRRTKWKKQNPGADGAVQAGGGAPQPGTPGAVAGG GGSATGSSPGPPVPGALPYQTFPTYPATNVLFPAASFPLTTAANGSPFTPFLGPSYLTPF YAPHL >ENSMUSP00000016023.7 pep:known chromosome:GRCm38:5:45529705:45639614:-1 gene:ENSMUSG00000015879.8 transcript:ENSMUST00000016023.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam184b description:family with sequence similarity 184, member B [Source:MGI Symbol;Acc:MGI:2442958] MASALNSKIHPPGTCASSKADARGGSGWRMDCDPEMHVKMCKKIAQLTKVIYALNTRQDE VEVSVESIREAHQEDLQDTGAETRTRLPQEQSRTSEDAETLLKRIQTLENALELQKRLTQ EALAESASCKLETKERELRVEAEHAERVLILSKEMLELKADYEKRLQLLTSHEGPQWGQL SQESPDATAESSQRPEMHQVLLEVERLRAENKQLSQDYARKAEELQATYERENEAIRQAM QQSVSEALWQWQEKESGLRKNFQVQESALQAQVRKLEGDLEHRGRKISDLKKYAQKLKER IQDLDVQLREARQENSELKSTARKLGEKLAIAKDRLMLQECHVTQKTDDMKTEDGVLGKR DDLEACSLHPQQEQGFPKLCHCRNGGSETQTKKEASGEMENMKQQYEEDLRKVRHQTEEE KQQLREQLGKRLEDLVKKHTMEMKSVCSTVEVERKKLKEVEAQLEEVKTKSEREIQQLQE EKAALSTKLQNSLLEDPCSRPKKPARDEGLEKLTDEEESSSDEEERTGESVKGKSDLQPP FESVMKEKAVEIGHRPEDWQSQRTKLQTQAAECLNKDSTDSLQAHLLELQALEDNARQEL QEDCEQMQVQQSGLLESLRQELTEQRVACCEHQKALEMLQNEFRAVGPLGKWQATNQCPG DRRDHTFITEDMGVTGPPGSLPCAAEKGLLEENAQLQDTVLRLRAEVDQHLQEALQLREQ HRLLEEDQKAQRAMEVEALRQEHRKEMQAMVADFSGAQARLQARLAALETELKESGEKAG KGTSRPEDLQLIGRLQTRLKEREDIIRQLTEERRFHYAAFPSAVSHRNRSFSFNPHPGYL TPSMKKKKMEEVPSRVVSVPNLASYAKNFLSGDLSSRINAPPITKSPSLDPSPSCSQPYK PTQLLDGKTASRTQDGEPAQPKEAPQKQGSPHQEWFTKYFSF >ENSMUSP00000017911.3 pep:known chromosome:GRCm38:2:164827382:164828537:-1 gene:ENSMUSG00000017767.3 transcript:ENSMUST00000017911.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata25 description:spermatogenesis associated 25 [Source:MGI Symbol;Acc:MGI:1922892] MSYFVSPQSHLGLLPSGQGGAASSGSSLGLYSPAEPVVVAPGGLGPLSQKAEQVAPAAQA WGPTLAVPEARGCSGGVSWETPRRKEHNRYCPKLPPMRPLESLGWADPCSRSRAPYLGGP SRPRPLLLCGLSPGVLPISSEAGGKEAASQPDICILTLAMMIAGIPTVPVPGLREEDLIR AAQAFMMAHPEPEGAVEGVQWEQAHAHAHMASGQMPLVRSRRGSCL >ENSMUSP00000110639.1 pep:known chromosome:GRCm38:17:26211822:26244223:-1 gene:ENSMUSG00000024187.14 transcript:ENSMUST00000114988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam234a description:family with sequence similarity 234, member A [Source:MGI Symbol;Acc:MGI:2146854] MMDNKDLEAEIHPLKNEDKKSQENPGNLPRNEDNLKSKPVPSRLSRCRTVAFFLSLFTCL FVVFVLSFIIPCPDRPSSQGTWKLDYNNAVMYDFLALGDINKDKVQDVLFLYKNTNSSNN LTRSCADEGFSTPCAFVVAVSGANGSVLWERPVAQDVALVKCAMPQTLDSDEVSSACIVV GRAGSFVAVSFFTGETLWSHPSSFSGNVSILSPLLQVPDIDGDGDGTPDLLILAQEGQEV SGALYSGSTGYQIGHRGSLGVDGDGVALLHVTRTGAQYILLPCASALCGFSVKSLYERIT GRDGHFKEDPYWENMLNHSVHRRLLHRLGAVRYLMNIPGKAGQDLLLVTSEACVLLDGQD LEPRWTLGEVQVLRKPILGHYKPDTLAVVIENGTSIDRQILLLDLSTGSILWSQPLPSLP GGPPSTSLMTADHRSAFFFWGLHDLVSTNEMDPPDVQHSLYMFHPTLPGILLELANVSAN IVAFDAVLLEPSRHAAYVLLTGPASSDVPGLVSVTKHKVQDLVPGSRVIHLGEGSSDSDQ AIRDRFSRLRYRSEM >ENSMUSP00000113418.1 pep:known chromosome:GRCm38:17:26212713:26244141:-1 gene:ENSMUSG00000024187.14 transcript:ENSMUST00000118487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam234a description:family with sequence similarity 234, member A [Source:MGI Symbol;Acc:MGI:2146854] MMDNKDLEAEIHPLKNEDKKSQENPGNLPRNEDNLKSKPVPSRLSRCRTVAFFLSLFTCL FVVFVLSFIIPCPDRPSSQGTWKLDYNNAVMYDFLALGDINKDKVQDVLFLYKNTNSSNN LTRSCADEGFSTPCAFVVAVSGANGSVLWERPVAQDVALVKCAMPQTLDSDEVSSACIVV GRAGSFVAVSFFTGETLWSHPSSFSGNVSILSPLLQVPDIDGDGDGTPDLLILAQEGQEV SGALYSGSTGYQIGHRGSLGVDGDGVALLHVTRTGAQYILLPCASALCGFSVKSLYERIT GRDGHFKEDPYWENMLNHSVHRRLLHRLGAVRYLMNIPGKAGQDLLLVTSEACVLLDGQD LEPRWTLGEVQVLRKPILGHYKPDTLAVVIENGTSIDRQILLLDLSTGSILWSQPLPSLP GGPPSTSLMTADHRSAFFFWGLHDLVSTNEMDPPDVQHSLYMFHPTLPGILLELANVSAN IVAFDAVLLEPSRHAAYVLLTGPASSDVPGLVSVTKHKVQDLVPGSRVIHLGEGSSDSDQ AIRDRFSRLRYRSEM >ENSMUSP00000115724.1 pep:known chromosome:GRCm38:17:26213544:26216234:-1 gene:ENSMUSG00000024187.14 transcript:ENSMUST00000141240.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam234a description:family with sequence similarity 234, member A [Source:MGI Symbol;Acc:MGI:2146854] XENMLNHSVHRRLLHRLGAVRYLMNIPGKAGQDLLLVTSEACVLLDGQDLEPRWTLGEVQ VLRKPILGHYKPDTLAVVIENGTSIDRQDPPDVQHSLYMFHPTLPGILLELANVSANIVA FDAVLLEPSRHAAYVLLTGPASSDVPGLVSVTKHKVQDLVPGSRVIHLGEGSSDSDQAIR >ENSMUSP00000117020.1 pep:known chromosome:GRCm38:17:26218279:26220580:-1 gene:ENSMUSG00000024187.14 transcript:ENSMUST00000151293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam234a description:family with sequence similarity 234, member A [Source:MGI Symbol;Acc:MGI:2146854] MMDNKDLEAEIHPLKNEDKKSQENPGNLPRNEDNLKSKPVPSRLSRCRTVAFFLSLFTCL FVVFVLSFIIPCPDRPSSQGTWKLDYNNAGFSTPCAFVVAVSG >ENSMUSP00000143707.1 pep:known chromosome:GRCm38:5:52533517:52566303:-1 gene:ENSMUSG00000039252.11 transcript:ENSMUST00000199942.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgi2 description:leucine-rich repeat LGI family, member 2 [Source:MGI Symbol;Acc:MGI:2180196] MALWRGGGALGLLLLSAACLIPPSAQVRRLARCPATCSCTKESIICVGSSWVPRIVPGDI SSLSLVNGTFLEIKDRMFSHLPSLQLLLLNSNSFTVIRDDAFAGLFHLEYLFIEGNKIET ISRNAFRGLRDLTHLSLANNHIKALPRDVFSDLDSLIELDLRGNKFECDCKAKWLYLWLK MTNSTVSDVLCIGPPEYQEKKLNEVTSFDYECTTTDFVVHQTLPYQSVSVDTFNSKNDVY VAIAQPSMENCMVLEWDHIEMNFRSYDNITGQSIVGCKAILIDDQVFVVVAQLFGGSHIY KYDESWTKFVKFQDIEVSRISKPNDIELFEIDDETFFIIADSSKAGLSTVYKWNSKGFYS YQSLHEWFRDTDAEFVDIDGKSHLILSSRSQVPIILQWNKSSKKFVPHGDIPNMEDVLAV KSFRMQNTLYLSLTRFIGDSRVMRWNSKQFVEVQALPSRGAMTLQPFSFKDNHYLALGSD YTFSQIYQWDKEKQQFKKFKEIYVQAPRSFTAVSTDRRDFFFASSFKGKTKIFEHIIVDL SL >ENSMUSP00000040436.5 pep:known chromosome:GRCm38:5:52533517:52566462:-1 gene:ENSMUSG00000039252.11 transcript:ENSMUST00000039750.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgi2 description:leucine-rich repeat LGI family, member 2 [Source:MGI Symbol;Acc:MGI:2180196] MALWRGGGALGLLLLSAACLIPPSAQVRRLARCPATCSCTKESIICVGSSWVPRIVPGDI SSLSLVNGTFLEIKDRMFSHLPSLQLLLLNSNSFTVIRDDAFAGLFHLEYLFIEGNKIET ISRNAFRGLRDLTHLDLRGNKFECDCKAKWLYLWLKMTNSTVSDVLCIGPPEYQEKKLNE VTSFDYECTTTGPQTDEAKQRGWQLELSLGFCELIFVFQHPLSDFVVHQTLPYQSVSVDT FNSKNDVYVAIAQPSMENCMVLEWDHIEMNFRSYDNITGQSIVGCKAILIDDQVFVVVAQ LFGGSHIYKYDESWTKFVKFQDIEVSRISKPNDIELFEIDDETFFIIADSSKAGLSTVYK WNSKGFYSYQSLHEWFRDTDAEFVDIDGKSHLILSSRSQVPIILQWNKSSKKFVPHGDIP NMEDVLAVKSFRMQNTLYLSLTRFIGDSRVMRWNSKQFVEVQALPSRGAMTLQPFSFKDN HYLALGSDYTFSQIYQWDKEKQQFKKFKEIYVQAPRSFTAVSTDRRDFFFASSFKGKTKI FEHIIVDLSL >ENSMUSP00000076682.3 pep:known chromosome:GRCm38:7:132235780:132316714:-1 gene:ENSMUSG00000030930.14 transcript:ENSMUST00000077472.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst15 description:carbohydrate (N-acetylgalactosamine 4-sulfate 6-O) sulfotransferase 15 [Source:MGI Symbol;Acc:MGI:1924840] MRHCINCCVQLFPEDTHKQQVACQGGPHHSHQACPTCKGENKILFRVDSKQMNLLAVLEV RTEGNENWGGFLRFRKGKRCSLVFGLIIMTLVMASYILSGAHQELLISSPFHYGGFPSNP SVMDGENPSDVKEHHYQPSVNNISYVKDYPSIKLIIDSIAARIEFTTRQLPDLQDLKRQE LHMFSVIPSKFLPTSKSPCWYEEFSGRNTTDPYLTNSYVLYSKRFRSTFDALRKVFWGHL SHVQGKHFRLRCLPHFYIIGQPKCGTTDLYDRLRLHPEVKFSAIKEPHWWTRKRFGIVRL RDGLRDRYPVEDYLDLFDLAAHQIHQGLQAASAEQPSKMNKIIIGEASASTMWDNNAWTF FYDNSTDGEPPFLTQDFIHAFQPEAKLIVMLRDPVERLYSDYLYFASSNKSADDFHEKVT EALQLFENCMLDYSLRACVYNNTLNNAMPVRLQVGLYAVYLLDWLTVFSKEQFLILRLED HASNVKYTMHKVFQFLNLGPLSEKQEALMTKSPASNTRRPEDRSLGPMWPITQKILREFY GPFNTRLAQVLDDEAFAWKTT >ENSMUSP00000079105.5 pep:known chromosome:GRCm38:7:132235780:132317228:-1 gene:ENSMUSG00000030930.14 transcript:ENSMUST00000080215.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst15 description:carbohydrate (N-acetylgalactosamine 4-sulfate 6-O) sulfotransferase 15 [Source:MGI Symbol;Acc:MGI:1924840] MRHCINCCVQLFPEDTHKQQVACQGGPHHSHQACPTCKGENKILFRVDSKQMNLLAVLEV RTEGNENWGGFLRFRKGKRCSLVFGLIIMTLVMASYILSGAHQELLISSPFHYGGFPSNP SVMDGENPSDVKEHHYQPSVNNISYVKDYPSIKLIIDSIAARIEFTTRQLPDLQDLKRQE LHMFSVIPSKFLPTSKSPCWYEEFSGRNTTDPYLTNSYVLYSKRFRSTFDALRKVFWGHL SHVQGKHFRLRCLPHFYIIGQPKCGTTDLYDRLRLHPEVKFSAIKEPHWWTRKRFGIVRL RDGLRDRYPVEDYLDLFDLAAHQIHQGLQAASAEQPSKMNKIIIGEASASTMWDNNAWTF FYDNSTDGEPPFLTQDFIHAFQPEAKLIVMLRDPVERLYSDYLYFASSNKSADDFHEKVT EALQLFENCMLDYSLRACVYNNTLNNAMPVRLQVGLYAVYLLDWLTVFSKEQFLILRLED HASNVKYTMHKVFQFLNLGPLSEKQEALMTKSPASNTRRPEDRSLGPMWPITQKILREFY GPFNTRLAQVLDDEAFAWKTT >ENSMUSP00000027587.8 pep:known chromosome:GRCm38:1:127774164:127808061:1 gene:ENSMUSG00000026349.14 transcript:ENSMUST00000027587.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnt2 description:cyclin T2 [Source:MGI Symbol;Acc:MGI:1920199] MASGRGASSRWFFTREQLENTPSRRCGVEADEELSHRQQAANLIQDMGQRLNVSQLTINT AIVYMHRFYMHHSFTKFNRNIISPTALFLAAKVEEQARKLEHVIKVAHACLHPLEPLLDT KCDAYLQQTQELVLLETIMLQTLGFEITIEHPHTDVVKCTQLVRASKDLAQTSYFMATNS LHLTTFCLQYKPTVIACVCIHLACKWSNWEIPVSTDGKHWWEYVDPTVTLELLDELTHEF LQILEKTPSRLKRIRNWRAMAKKPKVDGQVSETPLLGSSLVQNSILVDSVTGVPANPSFQ KPSTSTFPAPIPLNSGSTSVQDSRASDNLSVLAAGMPSTSYSLSSHQEWPQHPDSARTDP VYTQKQEATLSGSQYISFQQGPSMALHSGLHHRPDKVADHSSAKQEYTHKAGSSKHHGPI PATPGMLPQKMSLDKYREKRKLETLDVDTRDHYLAAHAEQQHKHGPAQAVTGTSVTSPIK MKLPLTNSDRPEKHVAEKKERSGSLKLRIPIPPPDKGPSKEELKMKIKVASSERHSSSDE GSGKSKHSSPHISRDHKEKHKEHPANRHHSSHKYLHMHSGGSKHTADGMPPTVLRSPVGL GPEGVSSASSARKKLHSSEASHNHHSKMSKSSKSAGSSSSSSSVKQYLSSHSSVFNHPLP PPPPVTYQVGYGHLSTLVKLDKKPVEPHGPEANHEYSTSSQHMDYKDTFDMLDSLLSAQG MNM >ENSMUSP00000108189.1 pep:known chromosome:GRCm38:1:127774164:127805932:1 gene:ENSMUSG00000026349.14 transcript:ENSMUST00000112570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnt2 description:cyclin T2 [Source:MGI Symbol;Acc:MGI:1920199] MASGRGASSRWFFTREQLENTPSRRCGVEADEELSHRQQAANLIQDMGQRLNVSQLTINT AIVYMHRFYMHHSFTKFNRNIISPTALFLAAKVEEQARKLEHVIKVAHACLHPLEPLLDT KCDAYLQQTQELVLLETIMLQTLGFEITIEHPHTDVVKCTQLVRASKDLAQTSYFMATNS LHLTTFCLQYKPTVIACVCIHLACKWSNWEIPVSTDGKHWWEYVDPTVTLELLDELTHEF LQILEKTPSRLKRIRNWRAMAKKPKVDGQVSETPLLGSSLVQNSILVDSVTGVPANPSFQ KPSTSTFPAPIPLNSGSTSVQDSRASDNLSVLAAGMPSTSYSLSSHQEWPQHPDSARTDP VYTQKQEATLSGSQYISFQQGPSMALHSGLHHRPDKVADHSSAKQEYTHKAGSSKHHGPI PATPGMLPQKMSLDKYREKRKLETLDVDTRDHYLAAHAEQQHKHGPAQAVTGTSVTSPIK MKLPLTNSDRPEKHVAEKKERSGSLKLRIPIPPPDKGPSKEELKMKIKVASSERHSSSDE GSGKSKHSSPHISRDHKEKHKEHPANRHHSSHKYLHMHSGGSKHTADGMPPTVLRSPVGL GPEGVSSASSARKKLHSSEASHNHHSKMSKSSKSAGGLRTSQHPRETGQETSGAPRS >ENSMUSP00000031325.4 pep:known chromosome:GRCm38:5:91139599:91148432:1 gene:ENSMUSG00000029378.5 transcript:ENSMUST00000031325.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Areg description:amphiregulin [Source:MGI Symbol;Acc:MGI:88068] MRTPLLPLARSVLLLLVLGSGHYAAALELNDPSSGKGESLSGDHSAGGLELSVGREVSTI SEMPSGSELSTGDYDYSEEYDNEPQISGYIIDDSVRVEQVIKPKKNKTEGEKSTEKPKRK KKGGKNGKGRRNKKKKNPCTAKFQNFCIHGECRYIENLEVVTCNCHQDYFGERCGEKSMK THSEDDKDLSKIAVVAVTIFVSAIILAAIGIGIVITVHLWKRYFREYEGETEERRRLRQE NGTVHAIA >ENSMUSP00000103007.3 pep:known chromosome:GRCm38:7:80094846:80115392:-1 gene:ENSMUSG00000030541.16 transcript:ENSMUST00000107384.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh2 description:isocitrate dehydrogenase 2 (NADP+), mitochondrial [Source:MGI Symbol;Acc:MGI:96414] MAGYLRAVSSLCRASGSARTWAPAALTVPSWPEQPRRHYAEKRIKVEKPVVEMDGDEMTR IIWQFIKEKLILPHVDVQLKYFDLGLPNRDQTNDQVTIDSALATQKYSVAVKCATITPDE ARVEEFKLKKMWKSPNGTIRNILGGTVFREPIICKNIPRLVPGWTKPITIGRHAHGDQYK ATDFVVDRAGTFKLVFTPKDGSSAKEWEVYNFPAGGVGMGMYNTDESISGFAHSCFQYSI QKKWPLYLSTKNTILKAYDGRFKDIFQEIFDKHYKTDFDKNKIWYEHRLIDDMVAQVLKS SGGFVWACKNYDGDVQSDILAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYREHQK GRPTSTNPIASIFAWTRGLEHRGKLDGNQDLIRFAQTLEKVCVQTVESGAMTKDLAGCIH GLSNVKLNEHFLNTTDFLDTIKSNLDRALGKQ >ENSMUSP00000118184.1 pep:known chromosome:GRCm38:7:80094850:80115332:-1 gene:ENSMUSG00000030541.16 transcript:ENSMUST00000134328.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Idh2 description:isocitrate dehydrogenase 2 (NADP+), mitochondrial [Source:MGI Symbol;Acc:MGI:96414] MAGYLRAVSSLCRASGSARTWAPAALTVPSWPEQPRRHYAEKRIKVEKPVVEMDGDEMTR IIWQFIKEKLILPHVDVQLKYFDLGLPNRDQTNDQVTIDSALATQKYSVAVKCATITPDE ARVEEFKLKKMWKSPNGTIRNILGGTVFREPIICKNIPRLVPGWTKPITIGRHAHGDQYK ATDFVVDRAGTFKLVFTPKDGSSAKEWEVYNFPAGGVGMGMYNTDEESRSLMEVLLALAL ALGPWQSGSFQPGGHAMPALPTVHFGLRAQLLPVLYPEEMAALLEHQEHHSEGL >ENSMUSP00000146084.1 pep:known chromosome:GRCm38:7:80095059:80115304:-1 gene:ENSMUSG00000030541.16 transcript:ENSMUST00000206714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh2 description:isocitrate dehydrogenase 2 (NADP+), mitochondrial [Source:MGI Symbol;Acc:MGI:96414] MAGYLRAVSSLCRASGSARTWAPAALTVPSWPEQPRRHYAEKRIKVEKPVVEMDGDEMTR IIWQFIKEKLILPHVDVQLKYFDLGLPGHH >ENSMUSP00000145852.1 pep:known chromosome:GRCm38:7:80096028:80100881:-1 gene:ENSMUSG00000030541.16 transcript:ENSMUST00000125542.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Idh2 description:isocitrate dehydrogenase 2 (NADP+), mitochondrial [Source:MGI Symbol;Acc:MGI:96414] LKYFDLGLPNRDQTNDQVTIDSALATQKYSVAVKCATITPDEARVEEFKLKKMWKSPNGT IRNILGGTVFREPIICKNIPRLVPGWTKPITIGRHAHGDQYKATDFVVDRAGTFKLVFTP KDGSSAKEWEVYNFPAGGVGMGMYNTDEAL >ENSMUSP00000106380.3 pep:known chromosome:GRCm38:8:21455445:21456560:-1 gene:ENSMUSG00000079114.3 transcript:ENSMUST00000110752.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7849 description:predicted gene 7849 [Source:MGI Symbol;Acc:MGI:3645033] MKTLVLLSALALLALQVQADPIQNTDEETKTQEQPGEEDQAVSVSFGGTEGSALQDVAQR RFPWCRKCRVCQKCEVCQKCPVCPTCPQCPKQPLCKERQNKTAITTQAPNTHHKGC >ENSMUSP00000118415.1 pep:known chromosome:GRCm38:4:130913125:130936141:1 gene:ENSMUSG00000028581.17 transcript:ENSMUST00000151698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Laptm5 description:lysosomal-associated protein transmembrane 5 [Source:MGI Symbol;Acc:MGI:108046] MASRAAPVRQTCCCFNIRVATIALAIYHIVMSVLLFIEHVVEVARGKVSCRFFKMPYLRM ADLLSSFLLIGVLFIISISLLFGVVKNREKYLIPFLSLQIMDFLLCLLTLLGSYIELPAY LKLARPRPGPSKVPLMTLQLLDFCLSILTLCSSYMEVPTYLNFKSMNHMNYLPSQEGVPH SQFINMMLIFSVAFITVLILKVYMFKCVYTCYKFLKHMNSAMEDSSSKMFLKVALPSYEE ALSLPPKTPEGDPAPPPYSEV >ENSMUSP00000121133.1 pep:known chromosome:GRCm38:4:130913308:130928669:1 gene:ENSMUSG00000028581.17 transcript:ENSMUST00000156225.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Laptm5 description:lysosomal-associated protein transmembrane 5 [Source:MGI Symbol;Acc:MGI:108046] MASRAAPVRQTCCCFNIRVATIALAIYHIVMSVLLFIEHVVEVARGKVSCRFFKMPYLRM ALPQSLPCLAADLLSSFLLIGVLFIISISLLFGVVKNREKYLIPFLS >ENSMUSP00000123382.1 pep:known chromosome:GRCm38:4:130913358:130933666:1 gene:ENSMUSG00000028581.17 transcript:ENSMUST00000156742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Laptm5 description:lysosomal-associated protein transmembrane 5 [Source:MGI Symbol;Acc:MGI:108046] XAAPVRQTCCCFNIRVATIALAIYHIVMSVLLFIEHVVEVARGKVSCRFFKMPYLRMADL LSSFLLIGVLFIISISLLFGVVKNREKYLIPFLSLQIMDFLLCLLTLLGSYIELPAYLKL ARPRPGPSKVPLMTLQLLDFCLSILTLCSSYMEVPTYLNFKSMNHMNYLPSQEGVPHSQF INMMLIFSVAFITVLILKVYMFKCVYTCYKFLKHMNSAMEDSSSKMFLKRCAQSTVNPVA E >ENSMUSP00000030316.6 pep:known chromosome:GRCm38:4:130915949:130935078:1 gene:ENSMUSG00000028581.17 transcript:ENSMUST00000030316.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Laptm5 description:lysosomal-associated protein transmembrane 5 [Source:MGI Symbol;Acc:MGI:108046] XGGLNWAIDDRDGATLCGTVGCSYRKILPGQRVMSVLLFIEHVVEVARGKVSCRFFKMPY LRMADLLSSFLLIGVLFIISISLLFGVVKNREKYLIPFLSLQIMDFLLCLLTLLGSYIEL PAYLKLARPRPGPSKVPLMTLQLLDFCLSILTLCSSYMEVPTYLNFKSMNHMNYLPSQEG VPHSQFINMMLIFSVAFITVLILKVYMFKCVYTCYKFLKHMNSAMEDSSSKMFLKVALPS YEEALSLPPKTPEGDPAPPPYSEV >ENSMUSP00000121249.1 pep:known chromosome:GRCm38:14:118985039:119099430:-1 gene:ENSMUSG00000042104.18 transcript:ENSMUST00000156203.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uggt2 description:UDP-glucose glycoprotein glucosyltransferase 2 [Source:MGI Symbol;Acc:MGI:1913685] MRLLLRSVALWLALLGASTATASKAVTAHLTAKWPETPLLLEASEFMAEESNEKFWQFVE TVRELAVYKQTESDYSYYNLILKKAGQFLDNIHINLLKFAFSIRAYSPTIQMFQQMAADE PPPEGCTAFVVIHTKCTCKVNEIKKLLNKAVSRPRPYLFERDHKFPTSSDNLPVIVLYAE IGTRAFAEFHRVLSKKSKNGKILYVLRHYIQKPSSRKMYLSGYGVELAIKDTEYKALDDT QIKTTTDTDIENETEVDEVQGFLFGKLKEIYSDLKDNLTIFQKYLIESSKEMTPLKVWEL QDLSFQAATQIVSTPVYDAIKLMKDISQNFPVKARTLTRIAVNELMRKEIQENQKDLRDR FEIKPGDARLFINGLRVDVDVYDPFSILDMLKSEGKLLSGLKSLGLSEEERNRFLKLNSP VWDHDFVLDIRHSSIVWINDLENDGLYIDWPSSCWEFLKPVLHGTVPSVRRNFHNLVLFI DPAQEYTLDFINLAEFFYFNEIPLRIGFVFILNVDNEVDGTTDAGVALWRAFNYIEEKYD VSEAFISMTHMYQEVKGHRILTVDEVKSILQNKCPHADILDILGIHSKYDGRRMEGATFY KMTGLGPLPQALYNGEPFDLKEMNTEELKGAVLEKMVGTFVDLQRDVFMGTIRDETSAID FLMDKSNVVSRLNSLILQTEPQYLNLLSSSVTADIEDFSTFSFLDSQDKSAVIAKHMHYV TQEDAVISPVTLWIIADFDVPSGRKLLFNALKHMETSFHSRLGIVYNPTSKINEESTVIS RGILAAFLTHKNKHLRSFLRRLAEEETAEAIYSGDKVQTFLAVEMDKNAFEKKYNTVGVN IFRTHQLFCQDVLKLRPGEPGIISNGKFLGPLSDELYQEDFHLLEKITFSNSLQNIAGIV ESMDMNSKHMSDLVMKIDGLMSSLAVRASRYDVTLLKENLSVIKINPPENDTFFDVFAIV DPLTREAQKMAQFLVVLGKIVNARIKLFMNCRGKLSEAPLDSFYRFVLEPELTSGPNNRP SHGPVAKFLDIPESHLLTLNMITPEGWLVETVHSNCDLDNINLKDTERSVTAEYELEYLL LEGHCFDMTTEQPPQGLQFTLGTRSNPVVVDTIVMANLGYFQLKANPGAWILKLREGKSE DIYEITGHEGAEPETNVGNVIVVLNTFKSKILKIQVKKKSGKIQEDVLADKHENRGMWDS IKSFTKSLHKDEKKENDILNIFSVASGHLYERFLRIMMLSVLRNTETPVKFWFLKNYLSP TFKEVIPHMAKEYGFQYELVQYKWPHWLHQQTDKQRIIWGYKILFLDVLFPLAVDKVIFV DADQIVRHDLKELRDFDLGGAPYGYTPFCDSRTEMDGYRFWKTGYWASHLVKRKYHISAL YVVDLKKFRRISAGDRLRGQYQALSQDPNSLSNLDQDLPNNMIYQVAIKSLPQDWLWCET WCDDESKQRAKTIDLCNNPKTKEPKLEAAARIVPEWVTYDTEIRTLLDHLENKKKSANSV HDEL >ENSMUSP00000133772.1 pep:known chromosome:GRCm38:14:119002835:119018877:-1 gene:ENSMUSG00000042104.18 transcript:ENSMUST00000173391.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uggt2 description:UDP-glucose glycoprotein glucosyltransferase 2 [Source:MGI Symbol;Acc:MGI:1913685] XGAWILKLREGKSEDIYEITGHEGAEPETNVGNVIVVLNTFKSKILKIQVKKKSGKIQED VLADKHENRGMWDSIKRIMMLSVLRNTETPVKFWFLKNYLSPTFKEVIPHMAKEYGFQYE LVQYKWPHWLHQQTDKQRIIWGYKILFLDVLFPLAVDKVIFVDADQIVRHDLKELRDFDL GGAPYGYTPFCDSRTEMDGYRFWKTGYWASHLVKRK >ENSMUSP00000123327.1 pep:known chromosome:GRCm38:14:119014532:119032233:-1 gene:ENSMUSG00000042104.18 transcript:ENSMUST00000153693.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uggt2 description:UDP-glucose glycoprotein glucosyltransferase 2 [Source:MGI Symbol;Acc:MGI:1913685] XLTREAQKMAQFLVVLGKIVNARIKLFMNCRGKLSEAPLDSFYRFVLEPELTSGPNNRPS HGPVAKFLDIPESHLLTLNMITPEGWLVETVHSNCDLDNINLKDDS >ENSMUSP00000117738.1 pep:known chromosome:GRCm38:14:119014532:119058280:-1 gene:ENSMUSG00000042104.18 transcript:ENSMUST00000127153.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uggt2 description:UDP-glucose glycoprotein glucosyltransferase 2 [Source:MGI Symbol;Acc:MGI:1913685] VLFIDPAQEYTLDFINLAEFFYFNEIPLRIGFVFILNVDNEVDGTTDAGVALWRAFNYIE EKYDVSEAFISMTHMYQEVKGHRILTVDEVKSILQNKCPHADILDILGIHSKYDGRRMEG ATFYKMTGLGPLPQALYNGEPFDLKEMNTEELKGAVLEKMVGTFVDLQRDVFMGTIRDET SAIDFLMDKSNVVSRLNSLILQTEPQYLNLLSSSVTADIEDFSTFSFLDSQDKSAVIAKH MHYVTQEDAVISPVTLWIIADFDVPSGRKLLFNALKHMETSFHSRLGIVYNPTSKINEES TVISRGILAAFLTHKNKHLRSFLRRLAEEETAEAIYSGDKVQTFLAVEMDKNAFEKKYNT VGVNIFRTHQLFCQDVLKLRPGEPGIISNGKFLGPLSDELYQEDFHLLEKITFSNSLQNI AGIVESMDMNSKHMSDLVMKIDGLMSSLAVRASRYDVTLLKENLRI >ENSMUSP00000142720.1 pep:known chromosome:GRCm38:5:137569840:137587479:1 gene:ENSMUSG00000029716.13 transcript:ENSMUST00000198866.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfr2 description:transferrin receptor 2 [Source:MGI Symbol;Acc:MGI:1354956] MEQRWGLLRRVQQWSPRPSQTIYRRVEGPQLEHLEEEDREEGAELPAQFCPMELKGPEHL GSCPGRSIPIPWAAAGRKAAPYLVLITLLIFTGAFLLGYVAFRGSCQACGDSVLVVDEDV NPEDSGRTTLYWSDLQAMFLRFLGEGRMEDTIRLTSLRERVAGSARMATLVQDILDKLSR QKLDHVWTDTHYVGLQFPDPAHANTLHWVDADGSVQEQLPLEDPEVYCPYSATGNATGKL VYAHYGRSEDLQDLKAKGVELAGSLLLVRVGITSFAQKVAVAQDFGAQGVLIYPDPSDFS QDPHKPGLSSHQAVYGHVHLGTGDPYTPGFPSFNQTQFPPVESSGLPSIPAQPISADIAD QLLRKLTGPVAPQEWKGHLSGSPYRLGPGPDLRLVVNNHRVSTPISNIFACIEGFAEPDH YVVIGAQRDAWGPGAAKSAVGTAILLELVRTFSSMVSNGFRPRRSLLFISWDGGDFGSVG ATEWLEGYLSVLHLKAVVYVSLDNSVLGDGKFHAKTSPLLVSLIENILKQVDSPNHSGQT LYEQVALTHPSWDAEVIQPLPMDSSAYSFTAFAGVPAVEFSFMEDDRVYPFLHTKEDTYE NLHKMLRGRLPAVVQAVAQLAGQLLIRLSHDHLLPLDFGRYGDVVLRHIGNLNEFSGDLK ERGLTLQWVYSARGDYIRAAEKLRKEIYSSERNDERLMRMYNVRIMRVEFYFLSQYVSPA DSPFRHIFLGQGDHTLGALVDHLRMLRADGSGAASSRLTAGLGFQESRFRRQLALLTWTL QGAANALSGDVWNIDNNF >ENSMUSP00000142478.1 pep:known chromosome:GRCm38:5:137569869:137587479:1 gene:ENSMUSG00000029716.13 transcript:ENSMUST00000199054.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfr2 description:transferrin receptor 2 [Source:MGI Symbol;Acc:MGI:1354956] MEQRWGLLRRVQQWSPRPSQTIYRRVEGPQLEHLEEEDREEGAELPAQFCPMELKGPEHL GSCPGRSIPIPWAAAGRKAAPYLVLITLLIFTGAFLLGYVAFRGSCQACGDSVLVVDEDV NPEDSGRTTLYWSDLQAMFLRFLGEGRMEDTIRLTSLRERVAGSARMATLVQDILDKLSR QKLDHVWTDTHYVGLQFPDPAHANTLHWVDADGSVQEQLPLEDPEVYCPYSATGNATGKL VYAHYGRSEDLQDLKAKGVELAGSLLLVRVGITSFAQKVAVAQDFGAQGVLIYPDPSDFS QDPHKPGLSSHQAVYGHVHLGTGDPYTPGFPSFNQTQFPPVESSGLPSIPAQPISADIAD QLLRKLTGPVAPQEWKGHLSGSPYRLGPGPDLRLVVNNHRVSTPISNIFACIEGFAEPDH YVVIGAQRDAWGPGAAKSAVGTAILLELVRTFSSMVSNGFRPRRSLLFISWDGGDFGSVG ATEWLEGYLSVLHLKAVVYVSLDNSVLGDGKFHAKTSPLLVSLIENILKQVDSPNHSGQT LYEQVALTHPSWDAEVIQPLPMDSSAYSFTAFAGVPAVEFSFMEDDRVYPFLHTKEDTYE NLHKMLRGRLPAVVQAVAQLAGQLLIRLSHDHLLPLDFGRYGDVVLRHIGNLNEFSGDLK ERGLTLQWVYSARGDYIRAAEKLRKEIYSSERNDERLMRMYNVRIMRVEFYFLSQYVSPA DSPFRHIFLGQGDHTLGALVDHLRMLRADGSGAASSRLTAGLGFQESRFRRQLALLTWTL QGAANALSGDVWNIDNNF >ENSMUSP00000142814.1 pep:known chromosome:GRCm38:5:137570720:137587465:1 gene:ENSMUSG00000029716.13 transcript:ENSMUST00000196471.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfr2 description:transferrin receptor 2 [Source:MGI Symbol;Acc:MGI:1354956] MEQRWGLLRRVQQWSPRPSQTIYRRVEGPQLEHLEEEDREEGAELPAQFCPMELKGPEHL GSCPGRSIPIPWAAAGRKAAPYLVLITLLIFTGAFLLGYVAFRGSCQACGDSVLVVDEDV NPEDSGRTTLYWSDLQAMFLRFLGEGRMEDTIRLTSLRERVAGSARMATLVQDILDKLSR QKLDHVWTDTHYVGLQFPDPAHANTLHWVDADGSVQEQLPLEDPEVYCPYSATGNATGKL VYAHYGRSEDLQDLKAKGVELAGSLLLVRVGITSFAQKVAVAQDFGAQGVLIYPDPSDFS QDPHKPGLSSHQAVYGHVHLGTGDPYTPGFPSFNQTQFPPVESSGLPSIPAQPISADIAD QLLRKLTGPVAPQEWKGHLSGSPYRLGPGPDLRLVVNNHRVSTPISNIFACIEGFAEPDH YVVIGAQRDAWGPGAAKSAVGTAILLELVRTFSSMVSNGFRPRRSLLFISWDGGDFGSVG ATEWLEGYLSVLHLKAVVYVSLDNSVLGDGKFHAKTSPLLVSLIENILKQVDSPNHSGQT LYEQVALTHPSWDAEVIQPLPMDSSAYSFTAFAGVPAVEFSFMEDDRVYPFLHTKEDTYE NLHKMLRGRLPAVVQAVAQLAGQLLIRLSHDHLLPLDFGRYGDVVLRHIGNLNEFSGDLK ERGLTLQWVYSARGDYIRAAEKLRKEIYSSERNDERLMRMYNVRIMRVEFYFLSQYVSPA DSPFRHIFLGQGDHTLGALVDHLRMLRADGSGAASSRLTAGLGFQESRFRRQLALLTWTL QGAANALSGDVWNIDNNF >ENSMUSP00000142502.1 pep:known chromosome:GRCm38:5:137570805:137587476:1 gene:ENSMUSG00000029716.13 transcript:ENSMUST00000198783.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfr2 description:transferrin receptor 2 [Source:MGI Symbol;Acc:MGI:1354956] MEQRWGLLRRVQQWSPRPSQTIYRRVEGPQLEHLEEEDREEGAELPAQFCPMELKGPEHL GSCPGRSIPIPWAAAGRKAAPYLVLITLLIFTGAFLLGYVAFRGSCQACGDSVLVVDEDV NPEDSGRTTLYWSDLQAMFLRFLGEGRMEDTIRLTSLRERVAGSARMATLVQDILDKLSR QKLDHVWTDTHYVGLQFPDPAHANTLHWVDADGSVQEQLPLEDPEVYCPYSATGNATGKL VYAHYGRSEDLQDLKAKGVELAGSLLLVRVGITSFAQKVAVAQDFGAQGVLIYPDPSDFS QDPHKPGLSSHQAVYGHVHLGTGDPYTPGFPSFNQTQFPPVESSGLPSIPAQPISADIAD QLLRKLTGPVAPQEWKGHLSGSPYRLGPGPDLRLVVNNHRVSTPISNIFACIEGFAEPDH YVVIGAQRDAWGPGAAKSAVGTAILLELVRTFSSMVSNGFRPRRSLLFISWDGGDFGSVG ATEWLEGYLSVLHLKAVVYVSLDNSVLGDGKFHAKTSPLLVSLIENILKQVDSPNHSGQT LYEQVALTHPSWDAEVIQPLPMDSSAYSFTAFAGVPAVEFSFMEDDRVYPFLHTKEDTYE NLHKMLRGRLPAVVQAVAQLAGQLLIRLSHDHLLPLDFGRYGDVVLRHIGNLNEFSGDLK ERGLTLQWVYSARGDYIRAAEKLRKEIYSSERNDERLMRMYNVRIMRVEFYFLSQYVSPA DSPFRHIFLGQGDHTLGALVDHLRMLRADGSGAASSRLTAGLGFQESRFRRQLALLTWTL QGAANALSGDVWNIDNNF >ENSMUSP00000142731.1 pep:known chromosome:GRCm38:5:137578893:137580832:1 gene:ENSMUSG00000029716.13 transcript:ENSMUST00000196375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfr2 description:transferrin receptor 2 [Source:MGI Symbol;Acc:MGI:1354956] XVAPQEWKGHLSGSPYRLGPGPDLRLVVNNHRVSTPISNIFACIEGFAEPDHYVVIGAQR DAWGPGAAKSAVGTAILLELVRTFSSMVSNGAGEMAQQLRALTALLKVLSSNPRNHMVAH NRP >ENSMUSP00000031729.8 pep:known chromosome:GRCm38:5:137569851:137587481:1 gene:ENSMUSG00000029716.13 transcript:ENSMUST00000031729.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfr2 description:transferrin receptor 2 [Source:MGI Symbol;Acc:MGI:1354956] MEQRWGLLRRVQQWSPRPSQTIYRRVEGPQLEHLEEEDREEGAELPAQFCPMELKGPEHL GSCPGRSIPIPWAAAGRKAAPYLVLITLLIFTGAFLLGYVAFRGSCQACGDSVLVVDEDV NPEDSGRTTLYWSDLQAMFLRFLGEGRMEDTIRLTSLRERVAGSARMATLVQDILDKLSR QKLDHVWTDTHYVGLQFPDPAHANTLHWVDADGSVQEQLPLEDPEVYCPYSATGNATGKL VYAHYGRSEDLQDLKAKGVELAGSLLLVRVGITSFAQKVAVAQDFGAQGVLIYPDPSDFS QDPHKPGLSSHQAVYGHVHLGTGDPYTPGFPSFNQTQFPPVESSGLPSIPAQPISADIAD QLLRKLTGPVAPQEWKGHLSGSPYRLGPGPDLRLVVNNHRVSTPISNIFACIEGFAEPDH YVVIGAQRDAWGPGAAKSAVGTAILLELVRTFSSMVSNGFRPRRSLLFISWDGGDFGSVG ATEWLEGYLSVLHLKAVVYVSLDNSVLGDGKFHAKTSPLLVSLIENILKQVDSPNHSGQT LYEQVALTHPSWDAEVIQPLPMDSSAYSFTAFAGVPAVEFSFMEDDRVYPFLHTKEDTYE NLHKMLRGRLPAVVQAVAQLAGQLLIRLSHDHLLPLDFGRYGDVVLRHIGNLNEFSGDLK ERGLTLQWVYSARGDYIRAAEKLRKEIYSSERNDERLMRMYNVRIMRVEFYFLSQYVSPA DSPFRHIFLGQGDHTLGALVDHLRMLRADGSGAASSRLTAGLGFQESRFRRQLALLTWTL QGAANALSGDVWNIDNNF >ENSMUSP00000109493.1 pep:known chromosome:GRCm38:16:95257558:95300211:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000113862.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MVARWEKGSEDAPLTLQKIPDLQSGPKMDAIHLGMSSAPLVKHTNGVGLKAHRPRVMSKS GHSNVRIDKVDGIYLLYLQDLWTTVIDMKWRYKLTLFAATFVMTWFLFGVVYYAIAFIHG DLQLGESNSNHTPCIMKVDSLTGAFLFSLESQTTIGYGVRSITEECPHAIFLLVAQLVIT TLIEIFITGTFLAKIARPKKRAETIKFSHCAVISKQNGKLCLVIQVANMRKSLLIQCQLS GKLLQTHVTKEGERILLNQATVKFHVDSSSESPFLILPMTFYHVLDETSPLRDLTPQNLK EKEFELVVLLNATVESTSAVCQSRTSYIPEEIYWGFEFVPVVSLSKNGKYVADFSQFEQI RKSPDCTFYCADSEKQKLEEQYRQEDQRERELRSLLLQQSNV >ENSMUSP00000109492.1 pep:known chromosome:GRCm38:16:95257558:95298507:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000113861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MVARWEKGSEDAPLTLQKIPDLQSGPKMDAIHLGMSSAPLVKHTNGVGLKAHRPRVMSKS GHSNVRIDKVDGIYLLYLQDLWTTVIDMKWRYKLTLFAATFVMTWFLFGVVYYAIAFIHG DLQLGESNSNHTPCIMKVDSLTGAFLFSLESQTTIGYGVRSITEECPHAIFLLVAQLVIT TLIEIFITGTFLAKIARPKKRAETIKFSHCAVISKQNGKLCLVIQVANMRKSLLIQCQLS GKLLQTHVTKEGERILLNQATVKFHVDSSSESPFLILPMTFYHVLDETSPLRDLTPQNLK EKEFELVVLLNATVESTSAVCQSRTSYIPEEIYWGFEFVPVVSLSKNGKYVADFSQFEQI RKSPDCTFYCADSEKQKLEEQYRQEDQRERELRSLLLQQSNV >ENSMUSP00000109489.1 pep:known chromosome:GRCm38:16:95258252:95300211:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000113858.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MVARWEKGSEDAPLTLQKIPDLQSGPKMDAIHLGMSSAPLVKHTNGVGLKAHRPRVMSKS GHSNVRIDKVDGIYLLYLQDLWTTVIDMKWRYKLTLFAATFVMTWFLFGVVYYAIAFIHG DLQLGESNSNHTPCIMKVDSLTGAFLFSLESQTTIGYGVRSITEECPHAIFLLVAQLVIT TLIEIFITGTFLAKIARPKKRAETIKFSHCAVISKQNGKLCLVIQVANMRKSLLIQCQLS GKLLQTHVTKEGERILLNQATVKFHVDSSSESPFLILPMTFYHVLDETSPLRDLTPQNLK EKEFELVVLLNATVESTSAVCQSRTSYIPEEIYWGFEFVPVVSLSKNGKYVADFSQFEQI RKSPDCTFYCADSEKQKLEEQYRQEDQRERELRSLLLQQSNV >ENSMUSP00000045218.7 pep:known chromosome:GRCm38:16:95257558:95300211:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000037154.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MVARWEKGSEDAPLTLQKIPDLQSGPKMDAIHLGMSSAPLVKHTNGVGLKAHRPRVMSKS GHSNVRIDKVDGIYLLYLQDLWTTVIDMKWRYKLTLFAATFVMTWFLFGVVYYAIAFIHG DLQLGESNSNHTPCIMKVDSLTGAFLFSLESQTTIGYGVRSITEECPHAIFLLVAQLVIT TLIEIFITGTFLAKIARPKKRAETIKFSHCAVISKQNGKLCLVIQVANMRKSLLIQCQLS GKLLQTHVTKEGERILLNQATVKFHVDSSSESPFLILPMTFYHVLDETSPLRDLTPQNLK EKEFELVVLLNATVESTSAVCQSRTSYIPEEIYWGFEFVPVVSLSKNGKYVADFSQFEQI RKSPDCTFYCADSEKQKLEEQYRQEDQRERELRSLLLQQSNV >ENSMUSP00000109485.1 pep:known chromosome:GRCm38:16:95257558:95299623:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000113854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MDAIHLGMSSAPLVKHTNGVGLKAHRPRVMSKSGHSNVRIDKVDGIYLLYLQDLWTTVID MKWRYKLTLFAATFVMTWFLFGVVYYAIAFIHGDLQLGESNSNHTPCIMKVDSLTGAFLF SLESQTTIGYGVRSITEECPHAIFLLVAQLVITTLIEIFITGTFLAKIARPKKRAETIKF SHCAVISKQNGKLCLVIQVANMRKSLLIQCQLSGKLLQTHVTKEGERILLNQATVKFHVD SSSESPFLILPMTFYHVLDETSPLRDLTPQNLKEKEFELVVLLNATVESTSAVCQSRTSY IPEEIYWGFEFVPVVSLSKNGKYVADFSQFEQIRKSPDCTFYCADSEKQKLEEQYRQEDQ RERELRSLLLQQSNV >ENSMUSP00000109486.1 pep:known chromosome:GRCm38:16:95257558:95300211:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000113855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MDAIHLGMSSAPLVKHTNGVGLKAHRPRVMSKSGHSNVRIDKVDGIYLLYLQDLWTTVID MKWRYKLTLFAATFVMTWFLFGVVYYAIAFIHGDLQLGESNSNHTPCIMKVDSLTGAFLF SLESQTTIGYGVRSITEECPHAIFLLVAQLVITTLIEIFITGTFLAKIARPKKRAETIKF SHCAVISKQNGKLCLVIQVANMRKSLLIQCQLSGKLLQTHVTKEGERILLNQATVKFHVD SSSESPFLILPMTFYHVLDETSPLRDLTPQNLKEKEFELVVLLNATVESTSAVCQSRTSY IPEEIYWGFEFVPVVSLSKNGKYVADFSQFEQIRKSPDCTFYCADSEKQKLEEQYRQEDQ RERELRSLLLQQSNV >ENSMUSP00000109490.1 pep:known chromosome:GRCm38:16:95258036:95300211:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000113859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MVARWEKGSEDAPLTLQKIPDLQSGPKMDAIHLGMSSAPLVKHTNGVGLKAHRPRVMSKS GHSNVRIDKVDGIYLLYLQDLWTTVIDMKWRYKLTLFAATFVMTWFLFGVVYYAIAFIHG DLQLGESNSNHTPCIMKVDSLTGAFLFSLESQTTIGYGVRSITEECPHAIFLLVAQLVIT TLIEIFITGTFLAKIARPKKRAETIKFSHCAVISKQNGKLCLVIQVANMRKSLLIQCQLS GKLLQTHVTKEGERILLNQATVKFHVDSSSESPFLILPMTFYHVLDETSPLRDLTPQNLK EKEFELVVLLNATVESTSAVCQSRTSYIPEEIYWGFEFVPVVSLSKNGKYVADFSQFEQI RKSPDCTFYCADSEKQKLEEQYRQEDQRERELRSLLLQQSNV >ENSMUSP00000118992.1 pep:known chromosome:GRCm38:16:95257659:95296039:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000134166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MVARWEKGSEDAPLTLQKIPDLQSGPKMDAIHLGMSSAPLVKHTNGVGLKAHRPRVMSKS GHSNVRIDKVDGIYLLYLQDLWTTVIDMKWRYKLTLFAATFVMTWFLFGVVYYAIAFIHG DLQLGESNSNHTPCIMKVDSLTGAFLFSLESQTTIGYGVRSITEECPHAIFLL >ENSMUSP00000114646.1 pep:known chromosome:GRCm38:16:95257659:95295704:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000125847.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MDAIHLGMSSAPLVKHTNGVGLKAHRPRVMSKSG >ENSMUSP00000114449.1 pep:known chromosome:GRCm38:16:95257683:95295681:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000140222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MVARWEKGSEDAPLTLQKIPDLQSGPKMDAIHLGMSSAPLVKHTNGVGLKAHRP >ENSMUSP00000117744.1 pep:known chromosome:GRCm38:16:95279193:95291897:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000138329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MVARWEKGSEDAPLTLQKIPDLQ >ENSMUSP00000109487.1 pep:known chromosome:GRCm38:16:95257651:95300260:1 gene:ENSMUSG00000062609.13 transcript:ENSMUST00000113856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj15 description:potassium inwardly-rectifying channel, subfamily J, member 15 [Source:MGI Symbol;Acc:MGI:1310000] MDAIHLGMSSAPLVKHTNGVGLKAHRPRVMSKSGHSNVRIDKVDGIYLLYLQDLWTTVID MKWRYKLTLFAATFVMTWFLFGVVYYAIAFIHGDLQLGESNSNHTPCIMKVDSLTGAFLF SLESQTTIGYGVRSITEECPHAIFLLVAQLVITTLIEIFITGTFLAKIARPKKRAETIKF SHCAVISKQNGKLCLVIQVANMRKSLLIQCQLSGKLLQTHVTKEGERILLNQATVKFHVD SSSESPFLILPMTFYHVLDETSPLRDLTPQNLKEKEFELVVLLNATVESTSAVCQSRTSY IPEEIYWGFEFVPVVSLSKNGKYVADFSQFEQIRKSPDCTFYCADSEKQKLEEQYRQEDQ RERELRSLLLQQSNV >ENSMUSP00000072723.1 pep:known chromosome:GRCm38:6:57702540:57739439:1 gene:ENSMUSG00000062190.12 transcript:ENSMUST00000072954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lancl2 description:LanC (bacterial lantibiotic synthetase component C)-like 2 [Source:MGI Symbol;Acc:MGI:1919085] MGETMSKRLKFHLGEAEMEERSFPNPFPDYEAAASAAGLAAGSAEETGRVCPLPTTEDPG LPFHPNGKIVPNFIKRIQTKIKDLLQQMEEGLKTADPHDCSAYTGWTGIALLYLQLYRVT GDQTYLLRSLDYVKRTLRNLSGRRVTFLCGDAGPLAVGAVIYHKLKSECESQECITKLLQ MHRTIVCQESELPDELLYGRAGYLYALLYLNTEIGPGTVGETAIKEVVSAIIESGKSLSR EERKSERCPLLYQWHRKQYVGAAHGMAGIYYMLMQPEAKVDQETLTEMVKPSIDYVRHKK FRSGNYPSSLSNETDRLVHWCHGAPGVIHVLLQAYQVFKEEKYLKEAMECSDVIWQRGLL RKGYGICHGTSGNGYSFLSLYRLTQDKKYLYRACKFAEWCLDYGAHGCRIPDRPYSLFEG MAGAVHFLSDILVPETARFPAFELGFLQKD >ENSMUSP00000052146.8 pep:known chromosome:GRCm38:6:57702636:57738482:1 gene:ENSMUSG00000062190.12 transcript:ENSMUST00000050077.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lancl2 description:LanC (bacterial lantibiotic synthetase component C)-like 2 [Source:MGI Symbol;Acc:MGI:1919085] MGETMSKRLKFHLGEAEMEERSFPNPFPDYEAAASAAGLAAGSAEETGRVCPLPTTEDPG LPFHPNGKIVPNFIKRIQTKIKDLLQQMEEGLKTADPHDCSAYTGWTGIALLYLQLYRVT GDQTYLLRSLDYVKRTLRNLSGRRVTFLCGDAGPLAVGAVIYHKLKSECESQECITKLLQ MHRTIVCQESELPDELLYGRAGYLYALLYLNTEIGPGTVGETAIKEVVSAIIESGKSLSR EERKSERCPLLYQWHRKQYVGAAHGMAGIYYMLMQPEAKVDQETLTEMVKPSIDYVRHKK FRSGNYPSSLSNETDRLVHWCHGAPGVIHVLLQAYQVFKEEKYLKEAMECSDVIWQRGLL RKGYGICHGTSGNGYSFLSLYRLTQDKKYLYRACKFAEWCLDYGAHGCRIPDRPYSLFEG MAGAVHFLSDILVPETARFPAFELGFLQKD >ENSMUSP00000121377.1 pep:known chromosome:GRCm38:6:57703087:57739438:1 gene:ENSMUSG00000062190.12 transcript:ENSMUST00000153777.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lancl2 description:LanC (bacterial lantibiotic synthetase component C)-like 2 [Source:MGI Symbol;Acc:MGI:1919085] KFHLGEAEMEERSFPNPFPDYEAAASAAGLAAGSAEETGRVCPLPTTEDPGLPFHPNGKI VPNFIKRIQTKIKDLLQQMEEGLKTADPHDCSAYTGWTGIALLYLQLYRVTGDQTYLLRS LDYVKRTLRNLSGRRVTFLCGDAGPLAVGAVIYHKLKSECESQECITKLLQMHRTIVCQE SELPDELLYGRAGYLYALLYLNTEIGPGTVGETAIKEVVSAIIESGKSLSREERKSERCP LLYQWHRKQYVGAAHGMAGIYYMLMQPEAKVDQETLTEMVKPSIDYVRHKKFRSGNYPSS LSNETDRLVHWCHGAPGVIHVLLQAYQVFKEEKYLKEAMECSDVIWQRGLLRKGYGICHG TSGNGYSFLSLYRLTQDKKYLYRACKFAEWCLDYGAHGCRIPDRPYSLFEGMAGAVHFLS DILVPETARFPAFELGFLQKD >ENSMUSP00000038229.9 pep:known chromosome:GRCm38:5:122870665:122989099:-1 gene:ENSMUSG00000029475.17 transcript:ENSMUST00000046073.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2b description:lysine (K)-specific demethylase 2B [Source:MGI Symbol;Acc:MGI:1354737] MEAEKDSGRRLRAIDRQRYDENEDLSDVEEIVSVRGFSLEEKLRSQLYQGDFVHAMEGKD FNYEYVQREALRVPLVFRDKDGLGIKMPDPDFTVRDVKLLVGSRRLVDVMDVNTQKGTEM SMSQFVRYYETPEAQRDKLYNVISLEFSHTKLEHLVKRPTVVDLVDWVDNMWPQHLKEKQ TEATNALAEMKYPKVKKYCLMSVKGCFTDFHIDFGGTSVWYHVFRGGKIFWLIPPTLHNL ALYEEWVLSGKQSDIFLGDRVERCQRIELKQGYTFFIPSGWIHAVYTPVDSLVFGGNILH SFNVPMQLRIYEIEDRTRVQPKFRYPFYYEMCWYVLERYVYCVTQRSYLTQEYQRELMLI DAPRKTSVDGFSSDSWLDMEEESCEQQPQEEEEEEEDKEEEGDGADKTPKPPTDDPTSPT STPPEDQDSTGKKPKAPAIRFLKRTLSNESEESVKSTSMPTDDPKTPTGSPATEVSTKWT HLTEFELKGLKALVEKLESLPENKKCVPEGIEDPQALLEGVKNVLKEHVDDDPTLAITGV PVVSWPKKTAKNRVVGRPKGKLGPASAVKLAANRTTAGARRRRTRCRKCEACLRTECGEC HFCKDMKKFGGPGRMKQSCIMRQCIAPVLPHTAVCLVCGEAGKEDTVEEEEGKFNLMLME CSICNEIIHPGCLKIKESEGVVNDELPNCWECPKCNHAGKTGKQKRGPGFKYASNLPGSL LKEQKMNRDNKEGQEPAKRRSECEEAPRRRSDEHPKKVPADGILRRKSDDVHLRRKRKYE KPQELSGRKRASSLQTSPGSSSHLSPRPPLGSSLSPWWRSSLTYFQQQLKPGKEDKLFRK KRRSWKNAEDRLSLANKPLRRFKQEPEDDLPEAPPKTRESDQSRSSSPTAGPSTEGAEGP EEKKKVKMRRKRRLVNKELSKELSKELNHEIQKTESTLAHESQQPIKSEPESENDEPKRP LSHCERPHRFSKGLNGTPRELRHSLGPGLRSPPRVMSRPPPSASPPKCIQMERHVIRPPP ISPPPDSLPLDDGAAHVMHREVWMAVFSYLSHRDLCVCMRVCRTWNRWCCDKRLWTRIDL NRCKSITPLMLSGIIRRQPVSLDLSWTNISKKQLSWLINRLPGLRDLVLSGCSWIAVSAL CSSSCPLLRTLDVQWVEGLKDAQMRDLLSPPTDNRPGQMDNRSKLRNIVELRLAGLDITD VSLRLIIRHMPLLSKLQLSYCNHINDQSINLLTAVGTTTRDSLTEVNLSDCNKVTDLCLS FFKRCGNICHIDLRYCKQVTKEGCEQFIAEMSVSVQFGQVEEKLLQKLS >ENSMUSP00000031435.7 pep:known chromosome:GRCm38:5:122870668:122900355:-1 gene:ENSMUSG00000029475.17 transcript:ENSMUST00000031435.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2b description:lysine (K)-specific demethylase 2B [Source:MGI Symbol;Acc:MGI:1354737] MAMSVSAEDDDYESEPDQNRVVGRPKGKLGPASAVKLAANRTTAGARRRRTRCRKCEACL RTECGECHFCKDMKKFGGPGRMKQSCIMRQCIAPVLPHTAVCLVCGEAGKEDTVEEEEGK FNLMLMECSICNEIIHPGCLKIKESEGVVNDELPNCWECPKCNHAGKTGKQKRGPGFKYA SNLPGSLLKEQKMNRDNKEGQEPAKRRSECEEAPRRRSDEHPKKVPADGILRRKSDDVHL RRKRKYEKPQELSGRKRASSLQTSPGSSSHLSPRPPLGSSLSPWWRSSLTYFQQQLKPGK EDKLFRKKRRSWKNAEDRLSLANKPLRRFKQEPEDDLPEAPPKTRESDQSRSSSPTAGPS TEGAEGPEEKKKVKMRRKRRLVNKELSKELSKELNHEIQKTESTLAHESQQPIKSEPESE NDEPKRPLSHCERPHRFSKGLNGTPRELRHSLGPGLRSPPRVMSRPPPSASPPKCIQMER HVIRPPPISPPPDSLPLDDGAAHVMHREVWMAVFSYLSHRDLCVCMRVCRTWNRWCCDKR LWTRIDLNRCKSITPLMLSGIIRRQPVSLDLSWTNISKKQLSWLINRLPGLRDLVLSGCS WIAVSALCSSSCPLLRTLDVQWVEGLKDAQMRDLLSPPTDNRPGQMDNRSKLRNIVELRL AGLDITDVSLRLIIRHMPLLSKLQLSYCNHINDQSINLLTAVGTTTRDSLTEVNLSDCNK VTDLCLSFFKRCGNICHIDLRYCKQVTKEGCEQFIAEMSVSVQFGQVEEKLLQKLS >ENSMUSP00000114052.2 pep:known chromosome:GRCm38:5:122870679:122989306:-1 gene:ENSMUSG00000029475.17 transcript:ENSMUST00000118027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2b description:lysine (K)-specific demethylase 2B [Source:MGI Symbol;Acc:MGI:1354737] MEAEKDSGRRLRAIDRQRYDENEDLSDVEEIVSVRGFSLEEKLRSQLYQGDFVHAMEGKD FNYEYVQREALRVPLVFRDKDGLGIKMPDPDFTVRDVKLLVGSRRLVDVMDVNTQKGTEM SMSQFVRYYETPEAQRDKLYNVISLEFSHTKLEHLVKRPTVVDLVDWVDNMWPQHLKEKQ TEATNALAEMKYPKVKKYCLMSVKGCFTDFHIDFGGTSVWYHVFRGGKIFWLIPPTLHNL ALYEEWVLSGKQSDIFLGDRVERCQRIELKQGYTFFIPSGWIHAVYTPVDSLVFGGNILH SFNVPMQLRIYEIEDRTRVQPKFRYPFYYEMCWYVLERYVYCVTQRSYLTQEYQRELMLI DAPRKTSVDGFSSDSWLDMEEESCEQQPQEEEEEEEDKEEEGDGADKTPKPPTDDPTSPT STPPEDQDSTGKKPKAPAIRFLKRTLSNESEESVKSTSMPTDDPKTPTGSPATEVSTKWT HLTEFELKGLKALVEKLESLPENKKCVPEGIEDPQALLEGVKNVLKEHVDDDPTLAITGV PVVSWPKKTAKNRVVGRPKGKLGPASAVKLAANRTTAGARRRRTRCRKCEACLRTECGEC HFCKDMKKFGGPGRMKQSCIMRQCIAPVLPHTAVCLVCGEAGKEDTVEEEEGKFNLMLME CSICNEIIHPGCLKIKESEGVVNDELPNCWECPKCNHAGKTGKQKRGPGFKYASNLPGSL LKEQKMNRDNKEGQEPAKRRSECEEAPRRRSDEHPKKVPADGILRRKSDDVHLRRKRKYE KPQELSGRKRLKPGKEDKLFRKKRRSWKNAEDRLSLANKPLRRFKQEPEDDLPEAPPKTR ESDQSRSSSPTAGPSTEGAEGPEEKKKVKMRRKRRLVNKELSKELSKELNHEIQKTESTL AHESQQPIKSEPESENDEPKRPLSHCERPHRFSKGLNGTPRELRHSLGPGLRSPPRVMSR PPPSASPPKCIQMERHVIRPPPISPPPDSLPLDDGAAHVMHREVWMAVFSYLSHRDLCVC MRVCRTWNRWCCDKRLWTRIDLNRCKSITPLMLSGIIRRQPVSLDLSWTNISKKQLSWLI NRLPGLRDLVLSGCSWIAVSALCSSSCPLLRTLDVQWVEGLKDAQMRDLLSPPTDNRPGQ MDNRSKLRNIVELRLAGLDITDVSLRLIIRHMPLLSKLQLSYCNHINDQSINLLTAVGTT TRDSLTEVNLSDCNKVTDLCLSFFKRCGNICHIDLRYCKQVTKEGCEQFIAEMSVSGEAI QPPILG >ENSMUSP00000120912.1 pep:known chromosome:GRCm38:5:122871291:122989794:-1 gene:ENSMUSG00000029475.17 transcript:ENSMUST00000127403.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kdm2b description:lysine (K)-specific demethylase 2B [Source:MGI Symbol;Acc:MGI:1354737] MEGKGCQTLISQSETSNSWWGAAVWWMSWTSTPRRVPR >ENSMUSP00000114049.1 pep:known chromosome:GRCm38:5:122871705:122989823:-1 gene:ENSMUSG00000029475.17 transcript:ENSMUST00000121739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2b description:lysine (K)-specific demethylase 2B [Source:MGI Symbol;Acc:MGI:1354737] MEGKDFNYEYVQREALRVPLVFRDKDGLGIKMPDPDFTVRDVKLLVGSRRLVDVMDVNTQ KGTEMSMSQFVRYYETPEAQRDKLYNVISLEFSHTKLEHLVKRPTVVDLVDWVDNMWPQH LKEKQTEATNALAEMKYPKVKKYCLMSVKGCFTDFHIDFGGTSVWYHVFRGGKIFWLIPP TLHNLALYEEWVLSGKQSDIFLGDRVERCQRIELKQGYTFFIPSGWIHAVYTPVDSLVFG GNILHSFNVPMQLRIYEIEDRTRVQPKFRYPFYYEMCWYVLERYVYCVTQRSYLTQEYQR ELMLIDAPRKTSVDGFSSDSWLDMEEESCEQQPQEEEEEEEDKEEEGDGADKTPKPPTDD PTSPTSTPPEDQDSTGKKPKAPAIRFLKRTLSNESEESVKSTSMPTDDPKTPTGSPATEV STKWTHLTEFELKGLKALVEKLESLPENKKCVPEGIEDPQALLEGVKNVLKEHVDDDPTL AITGVPVVSWPKKTAKNRVVGRPKGKLGPASAVKLAANRTTAGARRRRTRCRKCEACLRT ECGECHFCKDMKKFGGPGRMKQSCIMRQCIAPVLPHTAVCLVCGEAGKEDTVEEEEGKFN LMLMECSICNEIIHPGCLKIKESEGVVNDELPNCWECPKCNHAGKTGKQKRGPGFKYASN LPGSLLKEQKMNRDNKEGQEPAKRRSECEEAPRRRSDEHPKKVPADGILRRKSDDVHLRR KRKYEKPQELSGRKRASSLQTSPGSSSHLSPRPPLGSSLSPWWRSSLTYFQQQLKPGKED KLFRKKRRSWKNAEDRLSLANKPLRRFKQEPEDDLPEAPPKTRESDQSRSSSPTAGPSTE GAEGPEEKKKVKMRRKRRLVNKELSKELSKELNHEIQKTESTLAHESQQPIKSEPESEND EPKRPLSHCERPHRFSKGLNGTPRELRHSLGPGLRSPPRVMSRPPPSASPPKCIQMERHV IRPPPISPPPDSLPLDDGAAHVMHREVWMAVFSYLSHRDLCVCMRVCRTWNRWCCDKRLW TRIDLNRCKSITPLMLSGIIRRQPVSLDLSWTNISKKQLSWLINRLPGLRDLVLSGCSWI AVSALCSSSCPLLRTLDVQWVEGLKDAQMRDLLSPPTDNRPGQMDNRSKLRNIVELRLAG LDITDVSLRLIIRHMPLLSKLQLSYCNHINDQSINLLTAVGTTTRDSLTEVNLSDCNKVT DLCLSFFKRCGNICHIDLRYCKQVTKEGCEQFIAEMSVSVQFGQVEEKLLQKLS >ENSMUSP00000119746.1 pep:known chromosome:GRCm38:5:122880508:122888580:-1 gene:ENSMUSG00000029475.17 transcript:ENSMUST00000152872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2b description:lysine (K)-specific demethylase 2B [Source:MGI Symbol;Acc:MGI:1354737] GGPGRMKQSCIMRQCIAPVLPHTAVCLVCGEAGKEDTVEEEEGKFNLMLMECSICNEIIH PGCLKIKESEGVVNDELPNCWECPKCNHAGKTGKQKRGPGFKYASNLPGSLLKEQKMNRD NKEGQEPAKRRSECEEAPRRRSDEHPKKVPADGILRRKSDDVHLRRKRKYEKPQELSGRK RRRSWKNAEDRLSLANKPLRRFKQEPEDDLPEAPPKTRESDQSRSSSPTAGPSTEGAEGP EEKKKVKMRRKRRLVNKELSKELSKELNHEIQKTESTLAHESQQPIKSEPESENDE >ENSMUSP00000118488.1 pep:known chromosome:GRCm38:5:122932472:122988536:-1 gene:ENSMUSG00000029475.17 transcript:ENSMUST00000156474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2b description:lysine (K)-specific demethylase 2B [Source:MGI Symbol;Acc:MGI:1354737] MRQLLRAIDRQRYDENEDLSDVEEIVSVRGFSLEEKLRSQLYQGDFVHAMEGKDFNYEYV QREALRVPLVFRDKDGLGIKMPDPDFTVRDVKLLVGSRRLVDVMDVNTQKGTEMSMSQFV RYYETPEAQRDKLYNVISLEFSHTKLEHLVKRPTVVDLVDWVDNMWPQHLKEKQTEATNA LAEMKYPKVKKYCLMSVKGCFTDFHIDFGGTSVWYHVFRGGKIFWLIPPTLHNLALYEEW VLSGKQSDIFLGDRVERCQRIELKQGYTFFIPSGWIHAVYTPVDSLVFGGNILHSFNVPM QLRIYEIEDRTRVQPKFRYPFYYEMCWYVLERYVYCVTQRSYLTQEYQRELMLIDAPRKT SVDGFSSDSWLDMEEESCEQQPQEEEEEEEDKEEEGDGADKTPKPPTDDPTSPTSTPPED QDSTGKKPKAPAIRFLKRTLSNESEESVKSTSMPTDDPKTPTGSPATEVSTKWTHLTEFE LKGLKALVEKLESLPENKKCVPEGIEDPQALLEG >ENSMUSP00000114731.1 pep:known chromosome:GRCm38:5:122932575:122952506:-1 gene:ENSMUSG00000029475.17 transcript:ENSMUST00000145082.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2b description:lysine (K)-specific demethylase 2B [Source:MGI Symbol;Acc:MGI:1354737] MSVKGCFTDFHIDFGGTSVWYHVFRGGKIFWLIPPTLHNLALYEEWVLSGKQSDIFLGDR VERCQRIELKQGYTFFIPSGWIHAVYTPVDSLVFGGNILHSFNVPMQLRIYEIEDRTRVQ PKFRYPFYYEMCWYVLERYVYCVTQRSYLTQEYQRELMLIDAPRKTSVDGFSSDSWLDME EESCEQQPQEEEEEEEDKEEEGDGADKTPKPPTDDPTSPTSTPPEDQDSTGKKPKAPAIR FLKRTLSNESEESVKSTSMPTDDPKTPTGSPATEVSTKWTHLTEFE >ENSMUSP00000083376.4 pep:known chromosome:GRCm38:5:122870681:122988533:-1 gene:ENSMUSG00000029475.17 transcript:ENSMUST00000086200.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm2b description:lysine (K)-specific demethylase 2B [Source:MGI Symbol;Acc:MGI:1354737] MRQLLRAIDRQRYDENEDLSDVEEIVSVRGFSLEEKLRSQLYQGDFVHAMEGKDFNYEYV QREALRVPLVFRDKDGLGIKMPDPDFTVRDVKLLVGSRRLVDVMDVNTQKGTEMSMSQFV RYYETPEAQRDKLYNVISLEFSHTKLEHLVKRPTVVDLVDWVDNMWPQHLKEKQTEATNA LAEMKYPKVKKYCLMSVKGCFTDFHIDFGGTSVWYHVFRGGKIFWLIPPTLHNLALYEEW VLSGKQSDIFLGDRVERCQRIELKQGYTFFIPSGWIHAVYTPVDSLVFGGNILHSFNVPM QLRIYEIEDRTRVQPKFRYPFYYEMCWYVLERYVYCVTQRSYLTQEYQRELMLIDAPRKT SVDGFSSDSWLDMEEESCEQQPQEEEEEEEDKEEEGDGADKTPKPPTDDPTSPTSTPPED QDSTGKKPKAPAIRFLKRTLSNESEESVKSTSMPTDDPKTPTGSPATEVSTKWTHLTEFE LKGLKALVEKLESLPENKKCVPEGIEDPQALLEGVKNVLKEHVDDDPTLAITGVPVVSWP KKTAKNRVVGRPKGKLGPASAVKLAANRTTAGARRRRTRCRKCEACLRTECGECHFCKDM KKFGGPGRMKQSCIMRQCIAPVLPHTAVCLVCGEAGKEDTVEEEEGKFNLMLMECSICNE IIHPGCLKIKESEGVVNDELPNCWECPKCNHAGKTGKQKRGPGFKYASNLPGSLLKEQKM NRDNKEGQEPAKRRSECEEAPRRRSDEHPKKVPADGILRRKSDDVHLRRKRKYEKPQELS GRKRASSLQTSPGSSSHLSPRPPLGSSLSPWWRSSLTYFQQQLKPGKEDKLFRKKRRSWK NAEDRLSLANKPLRRFKQEPEDDLPEAPPKTRESDQSRSSSPTAGPSTEGAEGPEEKKKV KMRRKRRLVNKELSKELSKELNHEIQKTESTLAHESQQPIKSEPESENDEPKRPLSHCER PHRFSKGLNGTPRELRHSLGPGLRSPPRVMSRPPPSASPPKCIQMERHVIRPPPISPPPD SLPLDDGAAHVMHREVWMAVFSYLSHRDLCVCMRVCRTWNRWCCDKRLWTRIDLNRCKSI TPLMLSGIIRRQPVSLDLSWTNISKKQLSWLINRLPGLRDLVLSGCSWIAVSALCSSSCP LLRTLDVQWVEGLKDAQMRDLLSPPTDNRPGQMDNRSKLRNIVELRLAGLDITDVSLRLI IRHMPLLSKLQLSYCNHINDQSINLLTAVGTTTRDSLTEVNLSDCNKVTDLCLSFFKRCG NICHIDLRYCKQVTKEGCEQFIAEMSVSVQFGQVEEKLLQKLS >ENSMUSP00000096745.4 pep:known chromosome:GRCm38:2:156840007:156855570:1 gene:ENSMUSG00000062175.13 transcript:ENSMUST00000081335.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif2 description:TGFB-induced factor homeobox 2 [Source:MGI Symbol;Acc:MGI:1915299] MSDSDLGEDEGLLSLTGKRKRRGNLPKESVKILRDWLYLHRYNAYPSEQEKLSLSGQTNL SVLQICNWFINARRRLLPDMLRKDGKDPNQFTISRRGGKASDVALPRGSSPSLLAVSVPA PTNMLSLSVCSMPLHSGQGEKPAAPFPQVELESPKALVTPASTLTLLTRAEAGSPTGGLF NTPPPTPPEQDKDDFSSFQLLVEVALQRAAEMELQKQQEPAPPLLHTPLPFVSENAK >ENSMUSP00000073074.3 pep:known chromosome:GRCm38:2:156840100:156855570:1 gene:ENSMUSG00000062175.13 transcript:ENSMUST00000073352.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif2 description:TGFB-induced factor homeobox 2 [Source:MGI Symbol;Acc:MGI:1915299] MSDSDLGEDEGLLSLTGKRKRRGNLPKESVKILRDWLYLHRYNAYPSEQEKLSLSGQTNL SVLQICNWFINARRRLLPDMLRKDGKDPNQFTISRRGGQGEKPAAPFPQVELESPKALVT PASTLTLLTRAEAGSPTGGLFNTPPPTPPEQDKDDFSSFQLLVEVALQRAAEMELQKQQE PAPPLLHTPLPFVSENAK >ENSMUSP00000134959.1 pep:known chromosome:GRCm38:2:156841022:156847674:1 gene:ENSMUSG00000062175.13 transcript:ENSMUST00000150078.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tgif2 description:TGFB-induced factor homeobox 2 [Source:MGI Symbol;Acc:MGI:1915299] MSDSDLGEDEGLLSLTGKRKRRGNLPKESVKILRDWLYLHRYNAYPSEQEKLSLSGQTNL SVLQVILT >ENSMUSP00000039018.5 pep:known chromosome:GRCm38:7:131542867:131544925:1 gene:ENSMUSG00000040148.5 transcript:ENSMUST00000046093.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmx3 description:H6 homeobox 3 [Source:MGI Symbol;Acc:MGI:107160] MPEPGPDASGTASAPPPQPPPQPPAPKESPFSIRNLLNGDHHRPPPKPQPPPRTLFAPAS AAAAAAAAAAAAAKGALEGAAGFALSQVGDLAFPRFEIPAQRFALPAHYLERSPAWWYPY TLTPAGGHLPRPEASEKALLRDSSPASGTDRDSPEPLLKADPDHKELDSKSPDEIILEES DSEEGKKEGEAVPGAAGTTVGATTATPGSEDWKAGAESPEKKPACRKKKTRTVFSRSQVF QLESTFDMKRYLSSSERAGLAASLHLTETQVKIWFQNRRNKWKRQLAAELEAANLSHAAA QRIVRVPILYHENSAAEGAAAAAGAPVPVSQPLLTFPHPVYYSHPVVSSVPLLRPV >ENSMUSP00000050088.6 pep:known chromosome:GRCm38:14:45593174:45658143:-1 gene:ENSMUSG00000037697.18 transcript:ENSMUST00000051310.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddhd1 description:DDHD domain containing 1 [Source:MGI Symbol;Acc:MGI:2150302] MNYPGRGAPRSPERNGRGGGAWELGSDAAGVFGGGGCCFEHQPGDSVPLSLLRAEPLHLA PGADDLSHLALDPCLSDETYDFSSAESGSSLRYYSEGESAGGGSSSSPPPPLVAQNSGGG GAAGGGPGDRKRARPGGAAARHRYEVVTELGPEEVRWFYKEDKKTWKPFIGYDSLRIELA FRTLLQTTGAQARAAGRDGDRVCGPSSSFGEEDEEDSACGFCPRAAGPEPEMEELVTIEP VCVRGGLYEVDVTQGECYPVYWNQADKIPVMRGQWFIDGTWQPLEEEESNLIEQEHLSCF RGQQMQENFDIEVSKSLDGKDAVHSFKLSRNHVDWHSMDEVYLYSDATTSKIARTVTQKL GFSKASSSGTRLHRGYVEEATLEDKPSQTSHIVFVVHGIGQKMDQGRIIKNTAMMREAAR KMEEKHFSNHATHVEFLPVEWRSKLTLDGDTVDSITPDKVRGLRDMLNSSAMDIMYYTSP LYRDELVKGLQQELNRLYSLFCSRNPDFEEKGGKVSIVSHSLGCVITYDIMMGWNPGGLY EQLLQKEEELPDERWMSYEERHLLDELYITKRRLREIEDRLHGLKAPSISQTPALKFKVE NFFCMGSPLAVFLALRGIRPGNSGSQDHILPREICNRLLNIFHPTDPVAYRLEPLILKHY SNISPVQIHWYNTSNPLPYEHMKPNFLNPAKEPTSVSDSENIAAIPSPVTSPVLSRRHYG ESITNIGKASILGAASIGKGLGGMLFSRFGRSSASQPSEPSKDSLEDDKKPSASPSTTTV ATQTLPHSGSGFLDSALELEHRIDFELREGLVESRYWSAVTSHTAYWSSLDVALFLLTFM YKHEHDTEAKPSLGSL >ENSMUSP00000118848.1 pep:known chromosome:GRCm38:14:45594651:45658098:-1 gene:ENSMUSG00000037697.18 transcript:ENSMUST00000149286.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddhd1 description:DDHD domain containing 1 [Source:MGI Symbol;Acc:MGI:2150302] MNYPGRGAPRSPERNGRGGGAWELGSDAAGVFGGGGCCFEHQPGDSVPLSLLRAEPLHLA PGADDLSHLALDPCLSDETYDFSSAESGSSLRYYSEGESAGGGSSSSPPPPLVAQNSGGG GAAGGGPGDRKRARPGGAAARHRYEVVTELGPEEVRWFYKEDKKTWKPFIGYDSLRIELA FRTLLQTTGAQARAAGRDGDRVCGPSSSFGEEDEEDSACGFCPRAAGPEPEMEELVTIEP VCVRGGLYEVDVTQGECYPVYWNQADKIPVMRGQWFIDGTWQPLEEEESNLIEQEHLSCF RGQQMQENFDIEVSKSLDGKDGSGINYSEDIRRAQMPQVLSESVPKLFTVSS >ENSMUSP00000084577.5 pep:known chromosome:GRCm38:14:45594651:45658098:-1 gene:ENSMUSG00000037697.18 transcript:ENSMUST00000087320.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddhd1 description:DDHD domain containing 1 [Source:MGI Symbol;Acc:MGI:2150302] MNYPGRGAPRSPERNGRGGGAWELGSDAAGVFGGGGCCFEHQPGDSVPLSLLRAEPLHLA PGADDLSHLALDPCLSDETYDFSSAESGSSLRYYSEGESAGGGSSSSPPPPLVAQNSGGG GAAGGGPGDRKRARPGGAAARHRYEVVTELGPEEVRWFYKEDKKTWKPFIGYDSLRIELA FRTLLQTTGAQARAAGRDGDRVCGPSSSFGEEDEEDSACGFCPRAAGPEPEMEELVTIEP VCVRGGLYEVDVTQGECYPVYWNQADKIPVMRGQWFIDGTWQPLEEEESNLIEQEHLSCF RGQQMQENFDIEVSKSLDGKDVVYHLPPFSLPSLFKWRGYKESTDATGLKRKRSQAVHSF KLSRNHVDWHSMDEVYLYSDATTSKIARTVTQKLGFSKASSSGTRLHRGYVEEATLEDKP SQTSHIVFVVHGIGQKMDQGRIIKNTAMMREAARKMEEKHFSNHATHVEFLPVEWRSKLT LDGDTVDSITPDKVRGLRDMLNSSAMDIMYYTSPLYRDELVKGLQQELNRLYSLFCSRNP DFEEKGGKVSIVSHSLGCVITYDIMMGWNPGGLYEQLLQKEEELPDERWMSYEERHLLDE LYITKRRLREIEDRLHGLKAPSISQTPALKFKVENFFCMGSPLAVFLALRGIRPGNSGSQ DHILPREICNRLLNIFHPTDPVAYRLEPLILKHYSNISPVQIHWYNTSNPLPYEHMKPNF LNPAKEPTSVSDSENIAAIPSPVTSPVLSRRHYGESITNIGKASILGAASIGKGLGGMLF SRFGRSSASQPSEPSKDSLEDDKKPSASPSTTTVATQTLPHSGSGFLDSAYFRLQESFFY LPQLLFPENVMQSKDDSLVELEHRIDFELREGLVESRYWSAVTSHTAYWSSLDVALFLLT FMYKHEHDTEAKPSLGSL >ENSMUSP00000121837.2 pep:known chromosome:GRCm38:14:45594935:45602727:-1 gene:ENSMUSG00000037697.18 transcript:ENSMUST00000156758.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddhd1 description:DDHD domain containing 1 [Source:MGI Symbol;Acc:MGI:2150302] XAIPSPVTSPVLSRRHYGESITNIGKASILGAASIGKGLGGMLFSRFGRSSASQPSEPSK DSLEDDKKPSASPSTTTVATQTLPHSGSGFLDSAYFRLQESFFYLPQLLFPENVMQSKDD SLVELEHRIDFELREGLVESRYWSAVTSHTAYWSSLDVALFLLTFMYKHEHDTEAKPSLG SL >ENSMUSP00000133358.1 pep:known chromosome:GRCm38:14:45595327:45620786:-1 gene:ENSMUSG00000037697.18 transcript:ENSMUST00000141487.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddhd1 description:DDHD domain containing 1 [Source:MGI Symbol;Acc:MGI:2150302] XVYLYSDATTSKIARTVTQKLGFSKASSSGTRLHRGYVEEATLEDKPSQTSHIVFVVHGI GQKMDQGRIIKNTAMMREAARKMEEKHFSNHATHVEFLPVEWRSKLTLDGDTVDSITPDK VRGLRDMLNSSAMDIMYYTSPLYRDETLKRKGVKSQ >ENSMUSP00000107459.2 pep:known chromosome:GRCm38:14:45595417:45658142:-1 gene:ENSMUSG00000037697.18 transcript:ENSMUST00000111828.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddhd1 description:DDHD domain containing 1 [Source:MGI Symbol;Acc:MGI:2150302] MNYPGRGAPRSPERNGRGGGAWELGSDAAGVFGGGGCCFEHQPGDSVPLSLLRAEPLHLA PGADDLSHLALDPCLSDETYDFSSAESGSSLRYYSEGESAGGGSSSSPPPPLVAQNSGGG GAAGGGPGDRKRARPGGAAARHRYEVVTELGPEEVRWFYKEDKKTWKPFIGYDSLRIELA FRTLLQTTGAQARAAGRDGDRVCGPSSSFGEEDEEDSACGFCPRAAGPEPEMEELVTIEP VCVRGGLYEVDVTQGECYPVYWNQADKIPVMRGQWFIDGTWQPLEEEESNLIEQEHLSCF RGQQMQENFDIEVSKSLDGKDAVHSFKLSRNHVDWHSMDEVYLYSDATTSKIARTVTQKL GFSKASSSGTRLHRGYVEEATLEDKPSQTSHIVFVVHGIGQKMDQGRIIKNTAMMREAAR KMEEKHFSNHATHVEFLPVEWRSKLTLDGDTVDSITPDKVRGLRDMLNSSAMDIMYYTSP LYRDELVKGLQQELNRLYSLFCSRNPDFEEKGGKVSIVSHSLGCVITYDIMMGWNPGGLY EQLLQKEEELPDERWMSYEERHLLDELYITKRRLREIEDRLHGLKAPSISQTPALKFKVE NFFCMGSPLAVFLALRGIRPGNSGSQDHILPREICNRLLNIFHPTDPVAYRLEPLILKHY SNISPVQIHWYNTSNPLPYEHMKPNFLNPAKEPTSVSDSENIAAIPSPVTSPVLSRRHYG ESITNIGKASILGAASIGKGLGGMLFSRFGRSSASQPSEPSKDSLEDDKKPSASPSTTTV ATQTLPHSGSGFLDSAYFRLQESFFYLPQLLFPENVMQSKDDSLVELEHRIDFELREGLV ESRYWSAVTSHTAYWSSLDVALFLLTFMYKHEHDTEAKPSLGSL >ENSMUSP00000047562.8 pep:known chromosome:GRCm38:8:47490115:47533467:-1 gene:ENSMUSG00000038102.15 transcript:ENSMUST00000039061.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc11 description:trafficking protein particle complex 11 [Source:MGI Symbol;Acc:MGI:2444585] MSPTQWDFPVELCCRPMAFVTLTGLDVVYNAVHRAVWDAFCANRRADRVPISFKVLPGDH EYPKCRSKRTSYEWYIPKGVLKTGWMNKHLNLVPALVVVFYELDWDEPQWKEKQSECATR VEIVRQSLQGRNTKVAVVLIQKKTPLPPGEDVIASERAAALCNVCELSGKSLFVLPHTDH LVGYIIRLENAFYEHAQTYYYTEIRRVKSHKEFLNKTTHQLLFVRHQFKIAFFSELKQDT QNALKNYRIAYNLVHELRAHETNILEIKTMAGFINYKICRLCFQHNTPLDAIAQFRKHID LCKKKIGSAELAFEHAAWMAKQFQAFGDLFDEAIKLGLTAIQTQNPGFYYQQAAYYAQER KQHAKALCNHDAAVMYPNPDPLETQSGVLDFYGQRPWRQGILSFDLSDPEKEKAGILAIQ LKERSVVHSEIIIALLSNAVAQFKKYKCPRMKSHLMVQMGEEYYYAKDYTKALKLLDYVM CDYRSEAWWTLLTSILTTALKCSYLMAQLKDYITYSLELLGRASTLKDEQKSRIEKNLMN VLMNESPDPEPDCDVLAVKTAQKLWADRVSLAGSNVFQIGVQDFVPFVQCKAKFHAPSFH VDVPVEFDVFLKADCPHPIRFSKLCVSFNNQVYNQFCVLEEASKASEVLENLTQGKMCLV PGKTRKLSFKFVAKTEDVGKKIEITSVDLFLGSESGRCVVLSWQGGGGDAASSQEALQAA RSFKRRPKLAEDEIHWDSVIIQASTMIISRVPNISVHLRHEPPALMNEMYCLVVTVQSHE KSPIRDVKLTAGLKPGQDANLTQKTHVTLHGAELCDESYPALLTDIPVGDLHPGEQLEKT VYVRCGTVGSRMFLVYVSYLINTTVEGKEIICKCHKDDTVTIETVFPFDVAVRFVSTKFE HLERVYADIPFLLMTDVLSASPWALTIVSSELQLAPSMTAMDHLESQIDKVVLQTGESAS ECFCLRCPSAGNIEGGVATGHYIISWKRASVVESIPAVSTVITLPHVIAENIPLHVNADL PSFGRVRESLPVRYHLQNKTDLVQDVEISVEPSDAFMFSGLKQIRLRILPGTKQEMLYNF YPLMAGYQQLPSLNINLLRFPNFTNQLLRRFIPTSIFVKPQGRLLEDTSIAAA >ENSMUSP00000113779.1 pep:known chromosome:GRCm38:8:47504245:47511348:-1 gene:ENSMUSG00000038102.15 transcript:ENSMUST00000120987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc11 description:trafficking protein particle complex 11 [Source:MGI Symbol;Acc:MGI:2444585] MCLVPGKTRKLSFKFVAKTEDVGKKIEITSVDLFLGSESGRCVVLSWQGGGGDAASSQEA LQAARSFKRRPKLAEDEIHWDSVIIQASTMIISRVPNISVHLRHEPPALMNEMYCLVVTV QSHEKSPIRDVKLTAGLKPGEHPLYKFLLGVRWTRSHVLWWLKRQCGLFALLKFAM >ENSMUSP00000075669.2 pep:known chromosome:GRCm38:17:37903522:37904616:1 gene:ENSMUSG00000058114.2 transcript:ENSMUST00000076331.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr127 description:olfactory receptor 127 [Source:MGI Symbol;Acc:MGI:2177510] MTPRNMTTVSGFLLMGFSDNHELQILQALLFLVTYLLDSAGNFIIITITTIDKQLQSPMY YFLKHLSIMDFSSLSVTVPQYVDSSLARSGYISYGQCMLQVFFFTGLAWSEVAILTVMSY DRYVAICLPLHYEVIMSPRKCTWAVAAVWLSGGISGTLFTASTLSIRFCGHKIIHQFFCD IPQLLKLSCSNDDFGLLKVSTFIAVMGFACFVGIAFSYCQIFSTVLRMPSAEGRSKVFST CLPHLFVVSFFLSTGICAYLKPTSDSPTALDLMLSIFYTVLPPTLNPVIYSLRNESLKRA VKKLLLSEEFIGKNYVCSVFSAC >ENSMUSP00000139545.1 pep:known chromosome:GRCm38:7:131325944:131329516:-1 gene:ENSMUSG00000030858.10 transcript:ENSMUST00000188899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700007K09Rik description:RIKEN cDNA 1700007K09 gene [Source:MGI Symbol;Acc:MGI:1916568] MYKPFDLRTIITIIIGCGILTAMFLLIGLVLCLYSKISKALKSPGIAKEADDECYIDPCK DPHESIILANSIPAEACHSYQANTIAVASCGPLQCCNVCGVYADVNSLPPCLCSIREGL >ENSMUSP00000033146.7 pep:known chromosome:GRCm38:7:131326099:131327325:-1 gene:ENSMUSG00000030858.10 transcript:ENSMUST00000033146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700007K09Rik description:RIKEN cDNA 1700007K09 gene [Source:MGI Symbol;Acc:MGI:1916568] MYKPFDLRTIITIIIGCGILTAMFLLIGLVLCLYSKISKALKSPGIAKEADDECYIDPCK DPHESIILANSIPAEACHSYQANTIAVASCGPLQCCNVCGVYADVNSLPPCLCSIREGL >ENSMUSP00000059744.3 pep:known chromosome:GRCm38:5:103754162:103855322:1 gene:ENSMUSG00000029313.18 transcript:ENSMUST00000054979.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aff1 description:AF4/FMR2 family, member 1 [Source:MGI Symbol;Acc:MGI:1100819] MAAHSSLYNEDRNLLRIREKERRNQEAHQEKEAFPEKAPLFPEPYKTAKGDELSSRIQTM LGDYEEMKEFLSSKSHPHRLDGSEDRPGKPRYPLGHDRGNGAASSSLRTHVYHQPIHTSA PGSRPVGNISHSPKMAQPRMEPSLHTKIYDGPRLTQDHLSQGHCSRKCDRRAEGDSAPER KLSPLISSLPSPVPPLSPVHSRLQGTSKAHSSGVSSKSCCVAKSSKDLVAKAQDKETPHD GLVAVTSLGSAPPQPPCQTFPPPPLPSKSAAMQQKPTAYVRPMDGQDQAPSESPELKLPL EDYGQQSFEKPDLKVPAKAKLTRLRMPSQSVEQPYSNEVHCVEEILKEMTHSWPPPLTAI HTPSTAEPSRFPFPTKDPLHVSPATQSQKQYDTPSKTHPNPQQGTSMLEDDLQLSDSEDS DTEQATEKPPSPPAPPSAPQTLPEPVASAHSSSGESESSESDSSSDSESESSSSDSEEEE ENEPLETRAPEPEPPTTNKWQLDNWLTKVNQPSVPLDGRGSTESPQWRQESKGVAEGSSD QQHPDSKDPLPKSSSKTLRGPSEGPQPGKRGCPKSPAQQEPPPRQTVGSKQPRKPAKGSG QAEPQASSQAESEVGPLPYGSKEQTSKDRPKVKTKGRPRAVGSREPKPEVPAPTPQAAVP RPKPPVPTPSEKRKHKSSTAPSKAPSAPQPPKDSAGDRNPEHSALVSLTQSQGPSHSSRG SSGSVRTSGCRQAVIAQGDGCKDKLLLPLRDTKLLSPLRDSPPPTSLVVKITLDLLTRIP QPLGKGSRPRKAEDKQLSAGKKQDSETKSCDSSSRVTKKRKGDPEKEHSNKRHKLDKSQT ASSSSSHTESSRTKAPRSSSENSRKEMLPPASASSVSSSSSSQKPSRPAQKRPRPDEDTC SQEPPRSASSTKSSSTDPPAPKHRKVQARGSEHKGSSGDAANAANPFPVPSLPNGNAKPG KPQVKSDRQQADFHMKEAKKLKCKAETMVDKAGKAFKYLEAVLSFIECGMASESESSAKS AYAVYSETIDLIRYVMSLKCFSDNTMPAQEKIFAVLCLRCQSLLNMAMFRCKKDTVMKYS RTLSEHFKSTSKVAQAPSPCTARSTGVPSPLSPMPSPASSVGSQSSAGSSMGSVGVTATV STPVSIQNMTSSYVTITSHVLTAFSLWEQAEALTRKNKEFFAQLSTKVRVLALNSSLVDL VHYTRQGLQRLKQSPKGP >ENSMUSP00000119631.1 pep:known chromosome:GRCm38:5:103754564:103842253:1 gene:ENSMUSG00000029313.18 transcript:ENSMUST00000153165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aff1 description:AF4/FMR2 family, member 1 [Source:MGI Symbol;Acc:MGI:1100819] METRILPRESGGACLYNEDRNLLRIREKERRNQEAHQEKEAFPEKAPLFPEPYKTAKGDE LSSRIQTMLGDYEEMKEFLSSKSHPHRLDGSEDRPGKPRYPLGHDRGNGAASSSLRTHVY HQPIHTSAPGSRPVGNISHSPKMAQPRMEPSLHTKIYDGPRLTQDHLSQGHCSRKCDRRA EGDSAPERKLSPLISSLPSPVPPLSPVHSRLQGTSKAHSSGVSSKSCCVAKSSKDLVAKA QDKETPHDGLVAVTSLGSAPPQPPCQTFPPPPLPSKSAAMQQKPTAYVRPMDGQDQAPSE SPELKLPLEDYGQQSFEKPDLKVPAKAKLTRLRMPSQSVEQPYSNEVHCVEEILKEMTHS WPPPLTAIHTPSTAEPSRFPFPTKDPLHVSPATQSQKQYDTPSKTHPNPQQGTSMLEDDL QLSDSEDSDTEQATEKPPSPPAPPSAPQTLPEPVASAHSSSGESESSESDSSSDSESESS SSDSEEEEENEPLETRAPEPEPPTTNKWQLDNWLTKVNQPSVPLDGRGSTESPQWRQESK GVAEGSSDQQHPDSKDPLPKSSSKTLRGPSEGPQPGKRGCPKSPAQQEPPPRQTVGSKQP RKPAKGSGQAEPQASSQAESEVGPLPYGSKEQTSKDRPKVKTKGRPRAVGSREPKPEVPA PTPQAAVPRPKPPVPTPSEKRKHKSSTAPSKAPSAPQPPKDSAGDRNPEHSALVSLTQSQ GPSHSSRGSSGSVRTSGCRQAVIAQGDGCKDKLLLPLRDTKLLSPLRDSPPPTSLVVKIT LDLLTRIPQPLGKGSRPRKAEDKQLSAGKKQDSETKSCDSSSRVTKKRKGDPEKEHSNKR HKLDKSQTASSSSSHTESSRTKAPRSSSENS >ENSMUSP00000031256.5 pep:known chromosome:GRCm38:5:103754573:103855322:1 gene:ENSMUSG00000029313.18 transcript:ENSMUST00000031256.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aff1 description:AF4/FMR2 family, member 1 [Source:MGI Symbol;Acc:MGI:1100819] METRILPRESGGACLYNEDRNLLRIREKERRNQEAHQEKEAFPEKAPLFPEPYKTAKGDE LSSRIQTMLGDYEEMKEFLSSKSHPHRLDGSEDRPGKPRYPLGHDRGNGAASSSLRTHVY HQPIHTSAPGSRPVGNISHSPKMAQPRMEPSLHTKIYDGPRLTQDHLSQGHCSRKCDRRA EGDSAPERKLSPLISSLPSPVPPLSPVHSRLQGTSKAHSSGVSSKSCCVAKSSKDLVAKA QDKETPHDGLVAVTSLGSAPPQPPCQTFPPPPLPSKSAAMQQKPTAYVRPMDGQDQAPSE SPELKLPLEDYGQQSFEKPDLKVPAKAKLTRLRMPSQSVEQPYSNEVHCVEEILKEMTHS WPPPLTAIHTPSTAEPSRFPFPTKDPLHVSPATQSQKQYDTPSKTHPNPQQGTSMLEDDL QLSDSEDSDTEQATEKPPSPPAPPSAPQTLPEPVASAHSSSGESESSESDSSSDSESESS SSDSEEEEENEPLETRAPEPEPPTTNKWQLDNWLTKVNQPSVPLDGRGSTESPQWRQESK GVAEGSSDQQHPDSKDPLPKSSSKTLRGPSEGPQPGKRGCPKSPAQQEPPPRQTVGSKQP RKPAKGSGQAEPQASSQAESEVGPLPYGSKEQTSKDRPKVKTKGRPRAVGSREPKPEVPA PTPQAAVPRPKPPVPTPSEKRKHKSSTAPSKAPSAPQPPKDSAGDRNPEHSALVSLTQSQ GPSHSSRGSSGSVRTSGCRQAVIAQGDGCKDKLLLPLRDTKLLSPLRDSPPPTSLVVKIT LDLLTRIPQPLGKGSRPRKAEDKQLSAGKKQDSETKSCDSSSRVTKKRKGDPEKEHSNKR HKLDKSQTASSSSSHTESSRTKAPRSSSENSRKEMLPPASASSVSSSSSSQKPSRPAQKR PRPDEDTCSQEPPRSASSTKSSSTDPPAPKHRKVQARGSEHKGSSGDAANAANPFPVPSL PNGNAKPGKPQVKSDRQQADFHMKEAKKLKCKAETMVDKAGKAFKYLEAVLSFIECGMAS ESESSAKSAYAVYSETIDLIRYVMSLKCFSDNTMPAQEKIFAVLCLRCQSLLNMAMFRCK KDTVMKYSRTLSEHFKSTSKVAQAPSPCTARSTGVPSPLSPMPSPASSVGSQSSAGSSMG SVGVTATVSTPVSIQNMTSSYVTITSHVLTAFSLWEQAEALTRKNKEFFAQLSTKVRVLA LNSSLVDLVHYTRQGLQRLKQSPKGP >ENSMUSP00000005630.4 pep:known chromosome:GRCm38:3:153857149:153906138:-1 gene:ENSMUSG00000005493.15 transcript:ENSMUST00000005630.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh4 description:mutS homolog 4 (E. coli) [Source:MGI Symbol;Acc:MGI:1860077] MCCLFLRLRDYSTAHALSLPPCQRCGLQPWSARSHARRTLGVRKAGEMLRQEAASLSSSP RWTPSRRDAPCGRTLASASRPSTEGAMADRSSSSSSSPAPASAPGSSFGNKRSYAIHRAA SSFPVGTSSSSARDTTYPHTFRTPLSAGNPQRSGHKSWTPQVGYSATSSAVSAHAPSVIV AVVEGRGLARGEIGMASIDLKSPQIMLSQFADNTTYAKVITKLQVLSPLEIIMSNTACVV GNSTKLFTLITENFKNVNFTTVQRKYFNETKGLEYIEQLCIAEFSSVLMEVQSRYYCLAA AAALLKYVEFIQNSVYAPKSLKIYFQGSEQTAMIDSSSAQNLELLVNNQDYRSNHTLFGV LNYTKTAGGSRRLRSNILEPLVDVETISMRLDCVQELLQDEELFFGLQSVISRFLDTEQL LSVLVQIPKQDTVNAAESKITNLIYLKHTLELVEPLKVTLKNCSTPLLRAYYGSLEDHRF GLILDKIKTVINDDARYMKGCLNMRTQKCYAVRSNISEFLDIARRTYTEIVDDIAGMIAQ LAEKYSLPLRTSFSSSRGFFIQMTTDCAALSSDQLPSEFIKISKVKNSYSFTSADLIKMN ERCQESLREIYHMTYMIVCKLLSEIYEHIHCLYKLSDTVSMLDMLLSFAHACTLSDYVRP EFTDTLAIKQGWHPILEKISAEKPVANNTYITEGSNVLIITGPNMSGKSTYLKQIALCQI MAQIGSYVPAEYASFRIAAQIFTRISTDDDIETNSSTFMKEMKEIAYILHNANDKSLILI DELGRGTNTEEGIGISYAVCEHLLSIKAFTLFTTHFLELCHLDALYLNVENMHFEVQHVK NTSRNKDAILYTYKLSRGLTEEKNYGLKAAEASSLPSSIVLDARDITTQITRQILQNQRS SPEMDRQRAVYHLATRLVQAARNSQLEPDRLRTYLSNLKKKYAGDFPRAVGLPEKTEE >ENSMUSP00000140190.1 pep:known chromosome:GRCm38:3:153857269:153904731:-1 gene:ENSMUSG00000005493.15 transcript:ENSMUST00000188338.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh4 description:mutS homolog 4 (E. coli) [Source:MGI Symbol;Acc:MGI:1860077] MHTGKTPVHLGEKVLQGSSFGNKRSYAIHRAASSFPVGTSSSSARDTTYPHTFRTPLSAG NPQRSGHKSWTPQVGYSATSSAVSAHAPSVIVAVVEGRGLARGEIGMASIDLKSPQIMLS QFADNTTYAKVITKLQVLSPLEIIMSNTACVVGNSTKLFTLITENFKNVNFTTVQRKYFN ETKGLEYIEQLCIAEFSSVLMEVQSRYYCLAAAAALLKYVEFIQNSVYAPKSLKIYFQGS EQTAMIDSSSAQNLELLVNNQDYRSNHTLFGVLNYTKTAGGSRRLRSNILEPLVDVETIS MRLDCVQELLQDEELFFGLQSVISRFLDTEQLLSVLVQIPKQDTVNAAESKITNLIYLKH TLELVEPLKVTLKNCSTPLLRAYYGSLEDHRFGLILDKIKTVINDDARYMKGCLNMRTQK CYAVRSNISEFLDIARRTYTEIVDDIAGMIAQLAEKYSLPLRTSFSSSRGFFIQMTTDCA ALSSDQLPSEFIKISKVKNSYSFTSADLIKMNERCQESLREIYHMTYMIVCKLLSEIYEH IHCLYKLSDTVSMLDMLLSFAHACTLSDYVRPEFTDTLAIKQGWHPILEKISAEKPVANN TYITEGSNVLIITGPNMSGKSTYLKQIALCQIMAQIGSYVPAEYASFRIAAQIFTRISTD DDIETNSSTFMKEMKEIAYILHNANDKSLILIDELGRGTNTEEGIGISYAVCEHLLSIKA FTLFTTHFLELCHLDALYLNVENMHFEVQHVKNTSRNKDAILYTYKLSRGLTEEKNYGLK AAEASSLPSSIVLDARDITTQITRQILQNQRSSPEMDRQRAVYHLATRLVQAARNSQLEP DRLRTYLSNLKKKYAGDFPRAVGLPEKTEE >ENSMUSP00000140265.1 pep:known chromosome:GRCm38:3:153857273:153904891:-1 gene:ENSMUSG00000005493.15 transcript:ENSMUST00000190449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh4 description:mutS homolog 4 (E. coli) [Source:MGI Symbol;Acc:MGI:1860077] MASIDLKSPQIMLSQFADNTTYAKVITKLQVLSPLEIIMSNTACVVGNSTKLFTLITENF KNVNFTTVQRKYFNETKGLEYIEQLCIAEFSSVLMEVQSRYYCLAAAAALLKYVEFIQNS VYAPKSLKIYFQGSEQTAMIDSSSAQNLELLVNNQDYRSNHTLFGVLNYTKTAGGSRRLR SNILEPLVDVETISMRLDCVQELLQDEELFFGLQSVISRFLDTEQLLSVLVQIPKQDTVN AAESKITNLIYLKHTLELVEPLKVTLKNCSTPLLRAYYGSLEDHRFGLILDKIKTVINDD ARYMKGCLNMRTQKCYAVRSNISEFLDIARRTYTEIVDDIAGMIAQLAEKYSLPLRTSFS SSRGFFIQMTTDCAALSSDQLPSEFIKISKVKNSYSFTSADLIKMNERCQESLREIYHMT YMIVCKLLSEIYEHIHCLYKLSDTVSMLDMLLSFAHACTLSDYVRPEFTDTLAIKQGWHP ILEKISAEKPVANNTYITEGSNVLIITGPNMSGKSTYLKQIALCQIMAQIGSYVPAEYAS FRIAAQIFTRISTDDDIETNSSTFMKEMKEIAYILHNANDKSLILIDELGRGTNTEEGIG ISYAVCEHLLSIKAFTLFTTHFLELCHLDALYLNVENMHFEVQHVKNTSRNKDAILYTYK LSRGLTEEKNYGLKAAEASSLPSSIVLDARDITTQITRQILQNQRSSPEMDRQRAVYHLA TRLVQAARNSQLEPDRLRTYLSNLKKKYAGDFPRAVGLPEKTEE >ENSMUSP00000015987.3 pep:known chromosome:GRCm38:1:167598384:167639623:1 gene:ENSMUSG00000015843.10 transcript:ENSMUST00000015987.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxrg description:retinoid X receptor gamma [Source:MGI Symbol;Acc:MGI:98216] MYGNYSHFMKFPTGFGGSPGHTGSTSMSPSVALPTGKPMDSHPSYTDTPVSAPRTLSAVG TPLNALGSPYRVITSAMGPPSGALAAPPGINLVAPPSSQLNVVNSVSSSEDIKPLPGLPG IGNMNYPSTSPGSLVKHICAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLIYTCRDNKD CLIDKRQRNRCQYCRYQKCLVMGMKREAVQEERQRSRERAESEAECASSSHEDMPVERIL EAELAVEPKTESYGDMNVENSTNDPVTNICHAADKQLFTLVEWAKRIPHFSDLTLEDQVI LLRAGWNELLIASFSHRSVSVQDGILLATGLHVHRSSAHSAGVGSIFDRVLTELVSKMKD MQMDKSELGCLRAIVLFNPDAKGLSNPSEVETLREKVYATLEAYTKQKYPEQPGRFAKLL LRLPALRSIGLKCLEHLFFFKLIGDTPIDSFLMEMLETPLQIT >ENSMUSP00000107017.1 pep:known chromosome:GRCm38:1:167598460:167639424:1 gene:ENSMUSG00000015843.10 transcript:ENSMUST00000111386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxrg description:retinoid X receptor gamma [Source:MGI Symbol;Acc:MGI:98216] MYGNYSHFMKFPTGFGGSPGHTGSTSMSPSVALPTGKPMDSHPSYTDTPVSAPRTLSAVG TPLNALGSPYRVITSAMGPPSGALAAPPGINLVAPPSSQLNVVNSVSSSEDIKPLPGLPG IGNMNYPSTSPGSLVKHICAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLIYTCRDNKD CLIDKRQRNRCQYCRYQKCLVMGMKREAVQEERQRSRERAESEAECASSSHEDMPVERIL EAELAVEPKTESYGDMNVENSTNDPVTNICHAADKQLFTLVEWAKRIPHFSDLTLEDQVI LLRAGWNELLIASFSHRSVSVQDGILLATGLHVHRSSAHSAGVGSIFDRVLTELVSKMKD MQMDKSELGCLRAIVLFNPDAKGLSNPSEVETLREKVYATLEAYTKQKYPEQPGRFAKLL LRLPALRSIGLKCLEHLFFFKLIGDTPIDSFLMEMLETPLQIT >ENSMUSP00000107015.1 pep:known chromosome:GRCm38:1:167598480:167639618:1 gene:ENSMUSG00000015843.10 transcript:ENSMUST00000111384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxrg description:retinoid X receptor gamma [Source:MGI Symbol;Acc:MGI:98216] MYGNYSHFMKFPTGFGGSPGHTGSTSMSPSVALPTGKPMDSHPSYTDTPVSAPRTLSAVG TPLNALGSPYRVITSAMGPPSGALAAPPGINLVAPPSSQLNVVNSVSSSEDIKPLPGLPG IGNMNYPSTSPGSLVKHICAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLIYTCRDNKD CLIDKRQRNRCQYCRYQKCLVMGMKREAVQEERQRSRERAESEAECASSSHEDMPVERIL EAELAVEPKTESYGDMNVENSTNDPVTNICHAADKQLFTLVEWAKRIPHFSDLTLEDQVI LLRAGWNELLIASFSHRSVSVQDGILLATGLHVHRSSAHSAGVGSIFDRVLTELVSKMKD MQMDKSELGCLRAIVLFNPDAKGLSNPSEVETLREKVYATLEAYTKQKYPEQPGRFAKLL LRLPALRSIGLKCLEHLFFFKLIGDTPIDSFLMEMLETPLQIT >ENSMUSP00000107011.1 pep:known chromosome:GRCm38:1:167618309:167639621:1 gene:ENSMUSG00000015843.10 transcript:ENSMUST00000111380.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxrg description:retinoid X receptor gamma [Source:MGI Symbol;Acc:MGI:98216] MNYPSTSPGSLVKHICAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLIYTCRDNKDCLI DKRQRNRCQYCRYQKCLVMGMKREAVQEERQRSRERAESEAECASSSHEDMPVERILEAE LAVEPKTESYGDMNVENSTNDPVTNICHAADKQLFTLVEWAKRIPHFSDLTLEDQVILLR AGWNELLIASFSHRSVSVQDGILLATGLHVHRSSAHSAGVGSIFDRVLTELVSKMKDMQM DKSELGCLRAIVLFNPDAKGLSNPSEVETLREKVYATLEAYTKQKYPEQPGRFAKLLLRL PALRSIGLKCLEHLFFFKLIGDTPIDSFLMEMLETPLQIT >ENSMUSP00000033720.5 pep:known chromosome:GRCm38:X:162760402:162779092:1 gene:ENSMUSG00000031353.13 transcript:ENSMUST00000033720.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp7 description:retinoblastoma binding protein 7 [Source:MGI Symbol;Acc:MGI:1194910] MASKEMFEDTVEERVINEEYKIWKKNTPFLYDLVMTHALQWPSLTVQWLPEVTKPEGKDY ALHWLVLGTHTSDEQNHLVVARVHIPNDDAQFDASHCDSDKGEFGGFGSVTGKIECEIKI NHEGEVNRARYMPQNPHIIATKTPSSDVLVFDYTKHPAKPDPSGECNPDLRLRGHQKEGY GLSWNSNLSGHLLSASDDHTVCLWDINAGPKEGKIVDAKAIFTGHSAVVEDVAWHLLHES LFGSVADDQKLMIWDTRSNTTSKPSHLVDAHTAEVNCLSFNPYSEFILATGSADKTVALW DLRNLKLKLHTFESHKDEIFQVHWSPHNETILASSGTDRRLNVWDLSKIGEEQSAEDAED GPPELLFIHGGHTAKISDFSWNPNEPWVICSVSEDNIMQIWQMAENIYNDEESDVTASEL EGQGS >ENSMUSP00000107945.1 pep:known chromosome:GRCm38:X:162760462:162775895:1 gene:ENSMUSG00000031353.13 transcript:ENSMUST00000112326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp7 description:retinoblastoma binding protein 7 [Source:MGI Symbol;Acc:MGI:1194910] MASKEMFEDTVEERVINEEYKIWKKNTPFLYDLVMTHALQWPSLTVQWLPEVTKPEGKDY ALHWLVLGTHTSDEQNHLVVARVHIPNDDAQFDASHCDSDKGEFGGFGSVTGKIECEIKI NHEGEVNRARYMPQNPHIIATKTPSSDVLVFDYTKHPAKPDPSGECNPDLRLRGHQKEGY GLSWNSNLSGHLLSASDDHTVCLWDINAGPKEGKIVDAKAIFTGHSAVVEDVAWHLLHES LFGSVADDQKLMIWDTRSNTTSKPSHLVDAHTAEVNCLSFNPYSEFILATGSADKTVALW DLRNLKLKLHTFESHKDEIFQVHWSPHNETILASSGTDRRLNVWDLSKIGEEQSAEDAED GPPELLVCIRLNVILCTLEYFFTRLF >ENSMUSP00000107946.1 pep:known chromosome:GRCm38:X:162760421:162778756:1 gene:ENSMUSG00000031353.13 transcript:ENSMUST00000112327.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp7 description:retinoblastoma binding protein 7 [Source:MGI Symbol;Acc:MGI:1194910] MASKEMFEDTVEERVINEEYKIWKKNTPFLYDLVMTHALQWPSLTVQWLPEVTKPEGKDY ALHWLVLGTHTSDEQNHLVVARVHIPNDDAQFDASHCDSDKGGKIECEIKINHEGEVNRA RYMPQNPHIIATKTPSSDVLVFDYTKHPAKPDPSGECNPDLRLRGHQKEGYGLSWNSNLS GHLLSASDDHTVCLWDINAGPKEGKIVDAKAIFTGHSAVVEDVAWHLLHESLFGSVADDQ KLMIWDTRSNTTSKPSHLVDAHTAEVNCLSFNPYSEFILATGSADKTVALWDLRNLKLKL HTFESHKDEIFQVHWSPHNETILASSGTDRRLNVWDLSKIGEEQSAEDAEDGPPELLFIH GGHTAKISDFSWNPNEPWVICSVSEDNIMQIWQMAENIYNDEESDVTASELEGQGS >ENSMUSP00000115354.1 pep:known chromosome:GRCm38:X:162774578:162778445:1 gene:ENSMUSG00000031353.13 transcript:ENSMUST00000143681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp7 description:retinoblastoma binding protein 7 [Source:MGI Symbol;Acc:MGI:1194910] XILASSGTDRRLNVWDLSKIGEEQSAEDAEDGPPELLFIHGGHTAKISDFSWNPNEPWVI CSVSEDNIMQIWQMVS >ENSMUSP00000114734.1 pep:known chromosome:GRCm38:X:162761066:162772230:1 gene:ENSMUSG00000031353.13 transcript:ENSMUST00000138791.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp7 description:retinoblastoma binding protein 7 [Source:MGI Symbol;Acc:MGI:1194910] XRGRRPSGVAARVRVGRGGRSWIPPDYGCRSWLVFEDTVEERVINEEYKIWKKNTPFLYD LVMTHALQWPSLTVQWLPEVTKPEGKDYALHWLVLGTHTSDEQNHLVVARVHIPNDDAQF DASHCDSDKGEFGGFGSVTGKIECEIKINHEGEVNRARYMPQNPHIIATKTPSSDVLVFD YTKHPAKPDPSGECNPDLRLRGHQKEGYGLSWNSNLSGHLLSASDDHTVCLWDINA >ENSMUSP00000135134.1 pep:known chromosome:GRCm38:11:70235206:70239830:-1 gene:ENSMUSG00000040904.10 transcript:ENSMUST00000176116.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21988 description:predicted gene 21988 [Source:MGI Symbol;Acc:MGI:5439457] MLGIFFNVHSAVLIEDVPFTEKDFENGPQNIYNLYEQVSYNCFIAAGLYLLLGGFSFCQV RLNKRKEYMVR >ENSMUSP00000135088.1 pep:known chromosome:GRCm38:11:70235250:70239803:-1 gene:ENSMUSG00000040904.10 transcript:ENSMUST00000176268.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21988 description:predicted gene 21988 [Source:MGI Symbol;Acc:MGI:5439457] MASLLCCGPKLAACGIVLSAWGVIMLIMLGIFFNVHSAVLIEDVPFTEKDFENGPQNIYN LYEQVSYNCFIAAGLYLLLGGFSFCQVRLNKRKEYMVR >ENSMUSP00000146382.1 pep:known chromosome:GRCm38:7:132032687:132049118:-1 gene:ENSMUSG00000030862.13 transcript:ENSMUST00000209155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpxm2 description:carboxypeptidase X 2 (M14 family) [Source:MGI Symbol;Acc:MGI:1926006] XFSYLHTNCFELSIYVGCDKYPHESELPEEWENNRESLIVFMEQVHRGIKGIVRDLQGKG ISNAVISVEGVNHDIRTG >ENSMUSP00000033149.4 pep:known chromosome:GRCm38:7:132042816:132154739:-1 gene:ENSMUSG00000030862.13 transcript:ENSMUST00000033149.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpxm2 description:carboxypeptidase X 2 (M14 family) [Source:MGI Symbol;Acc:MGI:1926006] MARLGTACPALALALALVAVALAGVRAQGAAFEEPDYYSQELWRRGRYYGHPEPEPEPEL FSPSMHEDLRVEEQEQQEPHQQGHRTPKKAIKPKKAPKREKLVAETPPPGKNSNRKGRRS KNLEKAASDDHGVPVAHEDVRESCPPLGLETLKITDFQLHASTSKRYGLGAHRGRLNIQA GINENDFYDGAWCAGRNDLHQWIEVDARRLTKFTGVITQGRNSLWLSDWVTSYKVMVSND SHTWVTVKNGSGDMIFEGNSEKEIPVLNELPVPMVARYIRINPQSWFDNGSICMRMEILG CPLPDPNNYYHRRNEMTTTDDLDFKHHNYKEMRQLMKVVNEMCPNITRIYNIGKSHQGLK LYAVEISDHPGEHEVGEPEFHYIAGAHGNEVLGRELLLLLLHFLCQEYSAQNARIVRLVE ETRIHILPSLNPDGYEKAYEGGSELGGWSLGRWTHDGIDINNNFPDLNSLLWEAEDQQNA PRKVPNHYIAIPEWFLSENATVATETRAVIAWMEKIPFVLGGNLQGGELVVAYPYDMVRS LWKTQEHTPTPDDHVFRWLAYSYASTHRLMTDARRRVCHTEDFQKEEGTVNGASWHTVAG SLNDFSYLHTNCFELSIYVGCDKYPHESELPEEWENNRESLIVFMEQVHRGIKGIVRDLQ GKGISNAVISVEGVNHDIRTASDGDYWRLLNPGEYVVTAKAEGFITSTKNCMVGYDMGAT RCDFTLTKTNLARIREIMETFGKQPVSLPSRRLKLRGRKRRQRG >ENSMUSP00000138052.1 pep:known chromosome:GRCm38:7:105425817:105470898:1 gene:ENSMUSG00000030898.14 transcript:ENSMUST00000181339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cckbr description:cholecystokinin B receptor [Source:MGI Symbol;Acc:MGI:99479] MDLLKLNRSLQGPGPGSGSSLCRPGVSLLNSSSAGNLSCETPRIRGTGTRELELTIRITL YAVIFLMSVGGNVLIIVVLGLSRRLRTVTNAFLLSLAVSDLLLAVACMPFTLLPNLMGTF IFGTVICKAVSYLMGVSVSVSTLNLAAIALERYSAICRPLQARVWQTRSHAARVILATWL LSGLLMVPYPVYTVVQPVGPRILQCMHLWPSERVQQMWSVLLLILLFFIPGVVMAVAYGL ISRELYLGLRFDGDNDSETQSRVRNQGGLPGGAAAPDFNGRNKDASKSAKRAKNLASASC SRIKNGVDLSWLLF >ENSMUSP00000033189.3 pep:known chromosome:GRCm38:7:105425820:105436339:1 gene:ENSMUSG00000030898.14 transcript:ENSMUST00000033189.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cckbr description:cholecystokinin B receptor [Source:MGI Symbol;Acc:MGI:99479] MDLLKLNRSLQGPGPGSGSSLCRPGVSLLNSSSAGNLSCETPRIRGTGTRELELTIRITL YAVIFLMSVGGNVLIIVVLGLSRRLRTVTNAFLLSLAVSDLLLAVACMPFTLLPNLMGTF IFGTVICKAVSYLMGVSVSVSTLNLAAIALERYSAICRPLQARVWQTRSHAARVILATWL LSGLLMVPYPVYTVVQPVGPRILQCMHLWPSERVQQMWSVLLLILLFFIPGVVMAVAYGL ISRELYLGLRFDGDNDSETQSRVRNQGGLPGGAAAPGPVHQNGGCRHVTSLTGEDSDGCY VQLPRSRLEMTTLTTPTTGPGPGPRPNQAKLLAKKRVVRMLLVIVLLFFVCWLPVYSANT WRAFDGPGARRALAGAPISFIHLLSYTSACANPLVYCFMHRRFRQACLDTCARCCPRPPR ARPRPLPDEDPPTPSIASLSRLSYTTISTLGPG >ENSMUSP00000033906.4 pep:known chromosome:GRCm38:8:11654924:11678750:-1 gene:ENSMUSG00000031509.10 transcript:ENSMUST00000033906.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700016D06Rik description:RIKEN cDNA 1700016D06 gene [Source:MGI Symbol;Acc:MGI:1923663] MTANRGAMTFLSVFLLCCWQDVQPWPNRELSGSSGKQWPNLETLDDDIATVFDEILVRDI LEPGKAPYFENQSPSTTSQQKTTKEKEVHTKEPIPSKTYQKQSSSGTMHTPSFDDKQKEI LFQLRSLEALEKMIDKIRRAIETKLKRRQKLQQSRSSQLLGKPIQP >ENSMUSP00000140232.1 pep:known chromosome:GRCm38:8:11655479:11701063:-1 gene:ENSMUSG00000031509.10 transcript:ENSMUST00000190741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700016D06Rik description:RIKEN cDNA 1700016D06 gene [Source:MGI Symbol;Acc:MGI:1923663] MIDKIRRAIETKLKRRQKLQQSRSSQLLGKPIQP >ENSMUSP00000139631.1 pep:known chromosome:GRCm38:8:11655480:11662604:-1 gene:ENSMUSG00000031509.10 transcript:ENSMUST00000191350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700016D06Rik description:RIKEN cDNA 1700016D06 gene [Source:MGI Symbol;Acc:MGI:1923663] XTKEKDKQKEILFQLRSLEALEKMIDKIRRAIETKLKRRQKLQQSRSSQLLGKPIQP >ENSMUSP00000043958.5 pep:known chromosome:GRCm38:X:94355053:94366777:-1 gene:ENSMUSG00000043549.13 transcript:ENSMUST00000044989.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam90a1b description:family with sequence similarity 90, member A1B [Source:MGI Symbol;Acc:MGI:1921682] MDTSSDKNMESQSAENQKEPAAQRRPPPEENPRVKCRDCGAFGHTVRSRKCPIKCWDGAK APLPLGVKKEKENRDPKKKPQNPQSPEPETEAEREERERLEKRKKALVLRFPKKPPEKKP PSWKDTTHSGDYLRRPSRPAFICINRKLFLSDTQDSMQADEKSDGQPYHTAPSTEDTDII FPLEEEKCQTWDVLSEPKTASGHSDEDPAVGENPTDQSIEYCFYPVPEDTFQVQEMDCML NMQSEDQHTDEDKHSHLYSAAHTDSQDAQDAELSFKVTGEVHTQVIQNSTKRFRLSFYQT PKKSTKRPMLDASHPVPCCSTSSVEPTGLPEGANVEQQPPHNTALLNFTQPYTEFHHPLA SHVPVQPLRMVFTRLKNDCWSSKILETSSYYISKKKITPGKISLFLKRSGGPSSWVPRK >ENSMUSP00000109536.1 pep:known chromosome:GRCm38:X:94355872:94365733:-1 gene:ENSMUSG00000043549.13 transcript:ENSMUST00000113903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam90a1b description:family with sequence similarity 90, member A1B [Source:MGI Symbol;Acc:MGI:1921682] MDTSSDKNMESQSAENQKEPAAQRRPPPEENPRVKCRDCGAFGHTVRSRKCPIKCWDGAK APLPLGVKKEKENRDPKKKPQNPQSPEPETEAEREERERLEKRKKALVLRFPKKPPEKKP PSWKDTTHSGDYLRRPSRPAFICINRKLFLSDTQDSMQADEKSDGQPYHTAPSTEDTDII FPLEEEKCQTWDVLSEPKTASGHSDEDPAVGENPTDQSIEYCFYPVPEDTFQVQEMDCML NMQSEDQHTDEDKHSHLYSAAHTDSQDAQDAELSFKVTGEVHTQVIQNSTKRFRLSFYQT PKKSTKRPMLDASHPVPCCSTSSVEPTGLPEGANVEQQPPHNTALLNFTQPYTEFHHPLA SHVPVQPLRMVFTRLKNDCWSSKILETSSYYISKKKITPGKISLFLKRSGGPSSWVPRK >ENSMUSP00000109535.1 pep:known chromosome:GRCm38:X:94357156:94366028:-1 gene:ENSMUSG00000043549.13 transcript:ENSMUST00000113902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam90a1b description:family with sequence similarity 90, member A1B [Source:MGI Symbol;Acc:MGI:1921682] MDTSSDKNMESQSAENQKEPAA >ENSMUSP00000026760.2 pep:known chromosome:GRCm38:X:81070716:81097872:1 gene:ENSMUSG00000025666.16 transcript:ENSMUST00000026760.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem47 description:transmembrane protein 47 [Source:MGI Symbol;Acc:MGI:2177570] MASAGSGMEEVRVSVLTPLKLVGLVCIFLALCLDLGAVLSPAWVTADHQYYLSLWESCRK PANLDIWHCESTLGSDWQIATLALLLGGAAIILIAFLVGLISICVGSRRRFYRPVAVMLF AAVVLQVCSLVLYPIKFIETVSLKIYHEFNWGYGLAWGATIFSFGGAILYCLNPKNYEDY Y >ENSMUSP00000129793.1 pep:known chromosome:GRCm38:X:81070705:81095731:1 gene:ENSMUSG00000025666.16 transcript:ENSMUST00000171953.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem47 description:transmembrane protein 47 [Source:MGI Symbol;Acc:MGI:2177570] MASAGSGMEEVRVSVLTPLKLVGLVCIFLALCLDLGAVLSPAWVTADHQYYLSLWESCRK PANLDIWHCESTLGSDWQIATLALLLGGAAIILIAFLVGLISICVGSRRRFYRPVAVMLF AAVVLQVCSLVLYPIKFIETVSLKIYHEFNWGYGLAWGATIFSFGGAILYCLNPKNYEDY Y >ENSMUSP00000120502.1 pep:known chromosome:GRCm38:5:148893775:148995147:-1 gene:ENSMUSG00000106892.1 transcript:ENSMUST00000147473.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm42791 description:predicted gene 42791 [Source:MGI Symbol;Acc:MGI:5662928] MNLAEICENAKKGREYALLGNYDSSMVYYQGVIQQIQRHCQSLRDPATKAKWQQVRQELL EEYEQVKSIVSTLESFKMDKPPDFPVSCRDEPFRDPAVWPPPVPAEHRAPPQIRRPNREV RPLRKDVGAGARGLVGRAHQISKSDKPASRDKDYRARGRDDKARKNVQDGASDSEIPKFD GAGYDKDLVEALERDIVSRNPSIHWDDIA >ENSMUSP00000081055.3 pep:known chromosome:GRCm38:8:21509258:21510237:1 gene:ENSMUSG00000095066.1 transcript:ENSMUST00000084042.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa20 description:defensin, alpha, 20 [Source:MGI Symbol;Acc:MGI:1915259] MKTLVLLSALVLLAFQVQADPIQNTDEETNTEEQPGEEDQAVSVSFGDPEGSALHEKSSR DLICYCRKGGCNRGEQVYGTCSGRLLFCCRRRHRH >ENSMUSP00000104091.2 pep:known chromosome:GRCm38:7:19689481:19692638:-1 gene:ENSMUSG00000040564.14 transcript:ENSMUST00000108451.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc1 description:apolipoprotein C-I [Source:MGI Symbol;Acc:MGI:88053] MRLFIALPVLIVVVAMTLEGPAPAQAAPDLSGTLESIPDKLKEFGNTLEDKARAAIEHIK QKEILTKTRAWFSEAFGKVKEKLKTTFS >ENSMUSP00000045571.4 pep:known chromosome:GRCm38:7:19689481:19692660:-1 gene:ENSMUSG00000040564.14 transcript:ENSMUST00000045035.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc1 description:apolipoprotein C-I [Source:MGI Symbol;Acc:MGI:88053] MRLFIALPVLIVVVAMTLEGPAPAQAAPDLSGTLESIPDKLKEFGNTLEDKARAAIEHIK QKEILTKTRAWFSEAFGKVKEKLKTTFS >ENSMUSP00000147008.1 pep:known chromosome:GRCm38:7:19689492:19692604:-1 gene:ENSMUSG00000040564.14 transcript:ENSMUST00000207978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc1 description:apolipoprotein C-I [Source:MGI Symbol;Acc:MGI:88053] MRLFIALPVLIVVVAMTLEGPGSQRHLAK >ENSMUSP00000021060.5 pep:known chromosome:GRCm38:11:106768253:106779515:-1 gene:ENSMUSG00000020718.12 transcript:ENSMUST00000021060.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polg2 description:polymerase (DNA directed), gamma 2, accessory subunit [Source:MGI Symbol;Acc:MGI:1354947] MRCGGGARACRRACRCWLSGYAGPADGTQQPDAPEHAVAREALVDLCRRRHFFSGTPQQL STAALLSGCHARFGPLGVELRKNLASQWWSSMVVFREQVFAVDSLHQEPGSSQPRDSAFR LVSPESIREILQDREPSKEQLVAFLENLLKTSGKLRATLLHGALEHYVNCLDLVNRKLPF GLAQIGVCFHPVSNSNQTPSSVTRVGEKTEASLVWFTPTRTSSQWLDFWLRHRLLWWRKF AMSPSNFSSADCQDELGRKGSKLYYSFPWGKEPIETLWNLGDQELLHTYPGNVSTIQGRD GRKNVVPCVLSVSGDVDLGTLAYLYDSFQLAENSFARKKSLQRKVLKLHPCLAPIKVALD VGKGPTVELRQVCQGLLNELLENGISVWPGYSETVHSSLEQLHSKYDEMSVLFSVLVTET TLENGLIQLRSRDTTMKEMMHISKLRDFLVKYLASASNV >ENSMUSP00000117441.1 pep:known chromosome:GRCm38:11:106768253:106779537:-1 gene:ENSMUSG00000020718.12 transcript:ENSMUST00000127061.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polg2 description:polymerase (DNA directed), gamma 2, accessory subunit [Source:MGI Symbol;Acc:MGI:1354947] MRCGGGARACRRACRCWLSGYAGPADGTQQPDAPEHAVAREALVDLCRRRHFFSGTPQQL STAALLSGCHARFGPLGVELRKNLASQWWSSMVVFREQVFAVDSLHQEPGSSQPRDSAFR LVSPESIREILQDREPSKEQLVAFLENLLKTSGKLRATLLHGMALRVSCF >ENSMUSP00000118975.1 pep:known chromosome:GRCm38:11:106768733:106779473:-1 gene:ENSMUSG00000020718.12 transcript:ENSMUST00000155107.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polg2 description:polymerase (DNA directed), gamma 2, accessory subunit [Source:MGI Symbol;Acc:MGI:1354947] MRCGGGARACRRACRCWLSGYAGPADGTQQPDAPEHAVAREALVDLCRRRHFFSGTPQQL STAALLSGCHARFGPLGVELRKNLASQWWSSMVVFREQVFAVDSLHQEPGSSQPRDSAFR CLGALC >ENSMUSP00000122755.1 pep:known chromosome:GRCm38:11:106771044:106779473:-1 gene:ENSMUSG00000020718.12 transcript:ENSMUST00000134029.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polg2 description:polymerase (DNA directed), gamma 2, accessory subunit [Source:MGI Symbol;Acc:MGI:1354947] MRCGGGARACRRACRCWLSGYAGPADGTQQPDAPEHAVAREALVDLCRRRHFFSGTPQQL STAALLSGCHARFGPLGVELRKNLASQWWSSMVVFREQVFAVDSLHQEPGSSQPRDSAFR CLGALC >ENSMUSP00000116583.1 pep:known chromosome:GRCm38:11:106775515:106779502:-1 gene:ENSMUSG00000020718.12 transcript:ENSMUST00000126201.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polg2 description:polymerase (DNA directed), gamma 2, accessory subunit [Source:MGI Symbol;Acc:MGI:1354947] MRCGGGARACRRACRCWLSGYAGPADGTQQPDAPEHAVAREALVDLCRRRHFFSGTPQQL STAALLSGCHARFGPLGVELRKNLASQWWSSMVVFREQVFAVDSLHQEPGSSQPRDSAFR VIHLEAKVRGIIPEEICKAFSLTSKPKTIEVPWSTMLIAWIW >ENSMUSP00000138154.1 pep:known chromosome:GRCm38:3:95160494:95168346:1 gene:ENSMUSG00000038777.19 transcript:ENSMUST00000131620.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema6c description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6C [Source:MGI Symbol;Acc:MGI:1338032] MPRAPHSMPLLLLLLLLSSLPQAQAAFPQDPTPLLTSDLQGASPSSWFRGLEDDAVAAEL GLDFQRFLTLNRTLLVAARF >ENSMUSP00000144702.1 pep:known chromosome:GRCm38:3:95160494:95173653:1 gene:ENSMUSG00000038777.19 transcript:ENSMUST00000204709.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema6c description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6C [Source:MGI Symbol;Acc:MGI:1338032] MPRAPHSMPLLLLLLLLSSLPQAQAAFPQDPTPLLTSDLQGASPSSWFRGLEDDAVAAEL GLDFQRFLTLNRTLLVAARDHVFSFDLQAQEEGEGLVPNKFLTWRSQDMENCAVRGKLTV RSGV >ENSMUSP00000142525.1 pep:known chromosome:GRCm38:3:95160501:95164481:1 gene:ENSMUSG00000038777.19 transcript:ENSMUST00000131742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6c description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6C [Source:MGI Symbol;Acc:MGI:1338032] MPRAPHSMPLLLLLLLLSSLPQAQAAFPQ >ENSMUSP00000088333.1 pep:known chromosome:GRCm38:3:95160505:95174024:1 gene:ENSMUSG00000038777.19 transcript:ENSMUST00000090823.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6c description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6C [Source:MGI Symbol;Acc:MGI:1338032] MPRAPHSMPLLLLLLLLSSLPQAQAAFPQDPTPLLTSDLQGASPSSWFRGLEDDAVAAEL GLDFQRFLTLNRTLLVAARDHVFSFDLQAQEEGEGLVPNKFLTWRSQDMENCAVRGKLTD ECYNYIRVLVPWNSQTLLACGTNSFSPMCRSYGITSLQQEGEELSGQARCPFDATQSTVA IFAEGSLYSATAADFQASDAVVYRSLGPQPPLRSAKYDSKWLREPHFVYALEHGEHVYFF FREVSVEDARLGRVQFSRVARVCKRDMGGSPRALDRHWTSFLKLRLNCSVPGDSTFYFDV LQSLTGPVNLHGRSALFGVFTTQTNSIPGSAVCAFYLDDIERGFEGKFKEQRSLDGAWTP VSEDKVPSPRPGSCAGVGAAASFSSSQDLPDDVLLFIKAHPLLDPAVPPATHQPLLTLTS RALLTQVAVDGMAGPHRNTTVLFLGSNDGTVLKVLPPGGQSLGSEPIVLEEIDAYSHARC SGKRSPRAARRIIGLELDTEGHRLFVAFPGCIVYLSLSRCARHGACQRSCLASLDPYCGW HRSRGCMSIRGPGGTDVDLTGNQESTEHGDCQDGATGSQSGPGDSAYVLLGPGPSPETPS SPSDAQQGPQSSTLGAHTQGVRRDLSPASASRSIPIPLLLACVAAAFALGASVSGLLVSC ACRRANRRRSKDIETPGLPRPLSLRSLARLHGGGPEPPPPPKDGDAAQTPQLYTTFLPPP DGGSPPELACLPTPETTPELPVKHLRASGGPWEWNQNGNNASEGPGRPPRGCSGAGGPAP RVLVRPPPPGCPGQAVEVTTLEELLRYLHGPQPPRKGSEPLASAPFTSRPPASEPGASLF VDSSPMPRDGVPPLRLDVPPEGKRAAPSGRPALSAPAPRLGVGGSRRLPFPTHRAPPGLL TRVPSGGPARYSGGPGRHLLYLGRPEGHRGRSLKRVDVKSPLSPKPPLASPPQPAPHGGH FNF >ENSMUSP00000123457.2 pep:known chromosome:GRCm38:3:95160505:95174024:1 gene:ENSMUSG00000038777.19 transcript:ENSMUST00000142449.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema6c description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6C [Source:MGI Symbol;Acc:MGI:1338032] MPRAPHSMPLLLLLLLLSSLPQAQAAFPQDPTPLLTSDLQGASPSSWFRGLEDDAVAAEL GLDFQRFLTLNRTLLVAARDHVFSFDLQAQEEGEGLVPNKFLTWRSQDMENCAVRGKLTV RSGV >ENSMUSP00000088331.3 pep:known chromosome:GRCm38:3:95160505:95174024:1 gene:ENSMUSG00000038777.19 transcript:ENSMUST00000090821.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6c description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6C [Source:MGI Symbol;Acc:MGI:1338032] MPRAPHSMPLLLLLLLLSSLPQAQAAFPQDPTPLLTSDLQGASPSSWFRGLEDDAVAAEL GLDFQRFLTLNRTLLVAARDHVFSFDLQAQEEGEGLVPNKFLTWRSQDMENCAVRGKLTD ECYNYIRVLVPWNSQTLLACGTNSFSPMCRSYGITSLQQEGEELSGQARCPFDATQSTVA IFAEGSLYSATAADFQASDAVVYRSLGPQPPLRSAKYDSKWLREPHFVYALEHGEHVYFF FREVSVEDARLGRVQFSRVARVCKRDMGGSPRALDRHWTSFLKLRLNCSVPGDSTFYFDV LQSLTGPVNLHGRSALFGVFTTQTNSIPGSAVCAFYLDDIERGFEGKFKEQRSLDGAWTP VSEDKVPSPRPGSCAGVGAAASFSSSQDLPDDVLLFIKAHPLLDPAVPPATHQPLLTLTS RALLTQVAVDGMAGPHRNTTVLFLGSNDGTVLKVLPPGGQSLGSEPIVLEEIDAYSHARC SGKRSPRAARRIIGLELDTEGHRLFVAFPGCIVYLSLSRCARHGACQRSCLASLDPYCGW HRSRGCMSIRGPGGTDVDLTGNQESTEHGDCQDGATGSQSGPGDSAYGVRRDLSPASASR SIPIPLLLACVAAAFALGASVSGLLVSCACRRANRRRSKDIETPGLPRPLSLRSLARLHG GGPEPPPPPKDGDAAQTPQLYTTFLPPPDGGSPPELACLPTPETTPELPVKHLRASGGPW EWNQNGNNASEGPGRPPRGCSGAGGPAPRVLVRPPPPGCPGQAVEVTTLEELLRYLHGPQ PPRKGSEPLASAPFTSRPPASEPGASLFVDSSPMPRDGVPPLRLDVPPEGKRAAPSGRPA LSAPAPRLGVGGSRRLPFPTHRAPPGLLTRVPSGGPARYSGGPGRHLLYLGRPEGHRGRS LKRVDVKSPLSPKPPLASPPQPAPHGGHFNF >ENSMUSP00000129081.2 pep:known chromosome:GRCm38:3:95164306:95173862:1 gene:ENSMUSG00000038777.19 transcript:ENSMUST00000168321.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6c description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6C [Source:MGI Symbol;Acc:MGI:1338032] MPRAPHSMPLLLLLLLLSSLPQAQAAFPQDPTPLLTSDLQGASPSSWFRGLEDDAVAAEL GLDFQRFLTLNRTLLVAARDHVFSFDLQAQEEGEGLVPNKFLTWRSQDMENCAVRGKLTD ECYNYIRVLVPWNSQTLLACGTNSFSPMCRSYGITSLQQEGEELSGQARCPFDATQSTVA IFAEGSLYSATAADFQASDAVVYRSLGPQPPLRSAKYDSKWLREPHFVYALEHGEHVYFF FREVSVEDARLGRVQFSRVARVCKRDMGGSPRALDRHWTSFLKLRLNCSVPGDSTFYFDV LQSLTGPVNLHGRSALFGVFTTQTNSIPGSAVCAFYLDDIERGFEGKFKEQRSLDGAWTP VSEDKVPSPRPGSCAGVGAAASFSSSQDLPDDVLLFIKAHPLLDPAVPPATHQPLLTLTS RALLTQVAVDGMAGPHRNTTVLFLGSNDGTVLKVLPPGGQSLGSEPIVLEEIDAYSHARC SGKRSPRAARRIIGLELDTEGHRLFVAFPGCIVYLSLSRCARHGACQRSCLASLDPYCGW HRSRGCMSIRGPGGTDVDLTGNQESTEHGDCQDGATGSQSGPGDSAYVLLGPGPSPETPS SPSDAQQGPQSSTLGAHTQGVRRDLSPASASRSIPIPLLLACVAAAFALGASVSGLLVSC ACRRANRRRSKDIETPGLPRPLSLRSLARLHGGGPEPPPPPKDGDAAQTPQLYTTFLPPP DGGSPPELACLPTPETTPELPVKHLRASGGPWEWNQNGNNASEGPGRPPRGCSGAGGPAP RVLVRPPPPGCPGQAVEVTTLEELLRYLHGPQPPRKGSEPLASAPFTSRPPASEPGASLF VDSSPMPRDGVPPLRLDVPPEGKRAAPSGRPALSAPAPRLGVGGSRRLPFPTHRAPPGLL TRVPSGGPARYSGGPGRHLLYLGRPEGHRGRSLKRVDVKSPLSPKPPLASPPQPAPHGGH FNF >ENSMUSP00000102835.1 pep:known chromosome:GRCm38:3:95164306:95174024:1 gene:ENSMUSG00000038777.19 transcript:ENSMUST00000107217.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6c description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6C [Source:MGI Symbol;Acc:MGI:1338032] MPRAPHSMPLLLLLLLLSSLPQAQAAFPQDPTPLLTSDLQGASPSSWFRGLEDDAVAAEL GLDFQRFLTLNRTLLVAARDHVFSFDLQAQEEGEGLVPNKFLTWRSQDMENCAVRGKLTD ECYNYIRVLVPWNSQTLLACGTNSFSPMCRSYGITSLQQEGEELSGQARCPFDATQSTVA IFAEPHFVYALEHGEHVYFFFREVSVEDARLGRVQFSRVARVCKRDMGGSPRALDRHWTS FLKLRLNCSVPGDSTFYFDVLQSLTGPVNLHGRSALFGVFTTQTNSIPGSAVCAFYLDDI ERGFEGKFKEQRSLDGAWTPVSEDKVPSPRPGSCAGVGAAASFSSSQDLPDDVLLFIKAH PLLDPAVPPATHQPLLTLTSRALLTQVAVDGMAGPHRNTTVLFLGSNDGTVLKVLPPGGQ SLGSEPIVLEEIDAYSHARCSGKRSPRAARRIIGLELDTEGHRLFVAFPGCIVYLSLSRC ARHGACQRSCLASLDPYCGWHRSRGCMSIRGPGGTDVDLTGNQESTEHGDCQDGATGSQS GPGDSAYVLLGPGPSPETPSSPSDAQQGPQSSTLGAHTQGVRRDLSPASASRSIPIPLLL ACVAAAFALGASVSGLLVSCACRRANRRRSKDIETPGLPRPLSLRSLARLHGGGPEPPPP PKDGDAAQTPQLYTTFLPPPDGGSPPELACLPTPETTPELPVKHLRASGGPWEWNQNGNN ASEGPGRPPRGCSGAGGPAPRVLVRPPPPGCPGQAVEVTTLEELLRYLHGPQPPRKGSEP LASAPFTSRPPASEPGASLFVDSSPMPRDGVPPLRLDVPPEGKRAAPSGRPALSAPAPRL GVGGSRRLPFPTHRAPPGLLTRVPSGGPARYSGGPGRHLLYLGRPEGHRGRSLKRVDVKS PLSPKPPLASPPQPAPHGGHFNF >ENSMUSP00000144039.1 pep:known chromosome:GRCm38:3:95164374:95173316:1 gene:ENSMUSG00000038777.19 transcript:ENSMUST00000202315.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6c description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6C [Source:MGI Symbol;Acc:MGI:1338032] MPRAPHSMPLLLLLLLLSSLPQAQAAFPQDPTPLLTSDLQGASPSSWFRGLEDDAVAAEL GLDFQRFLTLNRTLLVAARDHVFSFDLQAQEEGEGLVPNKFLTWRSQDMENCAVRGKLTD ECYNYIRVLVPWNSQTLLACGTNSFSPMCRSYGITSLQQEGEELSGQARCPFDATQSTVA IFAEGSLYSATAADFQASDAVVYRSLGPQPPLRSAKYDSKWLREPHFVYALEHGEHVYFF FREVSVEDARLGRVQFSRVARVCKRDMGGSPRALDRHWTSFLKLRLNCSVPGDSTFYFDV LQSLTGPVNLHGRSALFGVFTTQTNSIPGSAVCAFYLDDIERGFEGKFKEQRSLDGAWTP VSEDKVPSPRPGSCAGVGAAASFSSSQDLPDDVLLFIKAHPLLDPAVPPATHQPLLTLTS RALLTQVAVDGMAGPHRNTTVLFLGSNDGTVLKVLPPGGQSLGSEPIVLEEIDAYSHARC SGKRSPRAARRIIGLELDTEGHRLFVAFPGCIVYLSLSRCARHGACQRSCLASLDPYCGW HRSRGCMSIRGPGGTDVDLTGNQESTEHGDCQDGATGSQSGPGDSAYGVRRDLSPASASR SIPIPLLLACVAAAFALGASVSGLLVSCACRRANRRRSKDIETPGLPRPLSLRSLARLHG GGPEPPPPPKDGDAAQTPQLYTTFLPPPDGGSPPELACLPTPETTPELPVKHLRASGGPW EWNQNGNNASEGPGRPPRGCSGAGGPAPRVLVRPPPPGCPGQAVEVTTLEELLRYLHGPQ PPRKGSEPLASAPFTSRPPASEPGASLFVDSSPMPRDGVPPLRLDVPPEGKRAAPSGRPA LSAPAPRLGVGGSRRLPFPTHRAPPGLLTRVPSGGPARYSGGPGRHLLYLGRPEGHRGRS LKRVDVKSPLSPKPPLASPPQPAPHGGHFNF >ENSMUSP00000017974.6 pep:known chromosome:GRCm38:11:100694884:100704271:-1 gene:ENSMUSG00000017830.15 transcript:ENSMUST00000017974.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx58 description:DEXH (Asp-Glu-X-His) box polypeptide 58 [Source:MGI Symbol;Acc:MGI:1931560] MELRPYQWEVILPALEGKNIIIWLPTGAGKTRAAAFVAKRHLETVDRGKVVVLVNRVHLV SQHAEEFRRMLDKHWTVTTLSGDMGSRAGFGLMARSHDLLICTAELLQLALNSSEEDEHV ELREFSLIVVDECHHTHKDTVYNTILSRYLEQKLKKAEPLPQVLGLTASPGTGGATKLQG AIDHILQLCANLDTCHIMSPKNCYSQLLMHNPKPCKQYDLCQRRAQDPFGDLIKKLMNQI HQQLEMPDLKQQFGTQMYEQQVVQLCKDAAEAGLQEQRVYALHLRRYNDALFIHDTVRAR DALDMLQDFYDRERTTKTQMVRAESWLLKLFDDHKNVLGQLAARGPENPKLEMLERILLK QFGSPGHTRGIIFTRTRQTASSLLLWLRQQPCLQTVGIKPQMLIGAGNTSQSTHMTQKDQ QEVIQEFRDGILSLLVATSVAEEGLDIAQCNVVVRYGLLTNEISMVQARGRARAGQSVYS FLATEGSREMKRELTNEALEVLMEKAVAAVQKMDPDEFKAKIRDLQQASLVKRAARAAHR EIQQGQFLPEHVQLLCINCMVAVGYGSDLRKVEGTHHVNVNPNFSVYYTTSQNPVVINKV FKDWRPGGTIRCSNCGEVWGFQMIYKSVTLPVLKIGSILLETPRGKIQAKKWSRVPFSIP VFDILQDCTQSLSELSLD >ENSMUSP00000081054.3 pep:known chromosome:GRCm38:8:21529032:21530012:1 gene:ENSMUSG00000094818.1 transcript:ENSMUST00000084041.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15308 description:predicted gene 15308 [Source:MGI Symbol;Acc:MGI:3709042] MKTLVLLSALVLLAFQVQADPIQNTDEETNTEEQPGEEDQAVSVSFGDPEGSALHEKSSR DLICYCRKGGCNRGEQVYGTCSGRLLFCCRRRHRH >ENSMUSP00000122759.1 pep:known chromosome:GRCm38:11:118428203:118443843:1 gene:ENSMUSG00000017446.14 transcript:ENSMUST00000124861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf1 description:C1q and tumor necrosis factor related protein 1 [Source:MGI Symbol;Acc:MGI:1919254] MGSCAQGFMLGCCLLLAITWGPILSLVPRVQEEQQEWEETEELPSPLDP >ENSMUSP00000017590.2 pep:known chromosome:GRCm38:11:118428499:118449963:1 gene:ENSMUSG00000017446.14 transcript:ENSMUST00000017590.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf1 description:C1q and tumor necrosis factor related protein 1 [Source:MGI Symbol;Acc:MGI:1919254] MGSCAQGFMLGCCLLLAITWGPILSLVPRVQEEQQEWEETEELPSPLDPVTRPEETREKY SPRQGEDLPTSRCYRCCDPSTPVYQTIPPPQINITILKGEKGDRGDRGLQGKYGKIGSTG PRGHVGPKGQKGSIGAPGNHCKSQYAAFSVGRKKALHSNDYFQPVVFDTEFVNLYKHFNM FTGKFYCYVPGIYFFSLNVHTWNQKETYLHIMKNEEEVVILYAQVSDRSIMQSQSLMMEL REEDEVWVRLFKGERENAIFSDEFDTYITFSGYLVKPASEP >ENSMUSP00000101893.1 pep:known chromosome:GRCm38:11:118433853:118449963:1 gene:ENSMUSG00000017446.14 transcript:ENSMUST00000106286.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf1 description:C1q and tumor necrosis factor related protein 1 [Source:MGI Symbol;Acc:MGI:1919254] MGSCAQGFMLGCCLLLAITWGPILSLVPRVQEEQQEWEETEELPSPLDPVTRPEETREKY SPRQGEDLPTSRCYRCCDPSTPVYQTIPPPQINITILKGEKGDRGDRGLQGKYGKIGSTG PRGHVGPKGQKGSIGAPGNHCKSQYAAFSVGRKKALHSNDYFQPVVFDTEFVNLYKHFNM FTGKFYCYVPGIYFFSLNVHTWNQKETYLHIMKNEEEVVILYAQVSDRSIMQSQSLMMEL REEDEVWVRLFKGERENAIFSDEFDTYITFSGYLVKPASEP >ENSMUSP00000117467.1 pep:known chromosome:GRCm38:11:118443471:118443850:1 gene:ENSMUSG00000017446.14 transcript:ENSMUST00000133558.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf1 description:C1q and tumor necrosis factor related protein 1 [Source:MGI Symbol;Acc:MGI:1919254] MGSCAQGFMLGCCLLLAITWGPILSLVPRVQEEQQEWEETEELPSPLDPVT >ENSMUSP00000105954.1 pep:known chromosome:GRCm38:2:128818118:128854261:1 gene:ENSMUSG00000014353.14 transcript:ENSMUST00000110325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem87b description:transmembrane protein 87B [Source:MGI Symbol;Acc:MGI:1919727] MAAACRSEAGLLPSLLCRRPAGAQLLRVALCLLCWVPAAVDAVPELGLWTRTVNDKSGPL VFRKTMFNSTEIKFSVKSFSCSGPVKFTIEWHLKYHTCHNDYPDLEEELSQRHELHADPD VCAYFKNIDCWTTKSENLDCSSDSQAFPSLNNKELTGIRNISSQEGSTDVVARTQKDGFH IFIVSIKTEKTDAVWDLNVSLSMVGPHGYISASDWPLMIFYMVMCIVYILYGVLWLLWSA CYWKDILRIQFWIAAVIFLGMLEKAVFYSEYQNINSTGLSTQGLLIFAELISAVKRTLAR LLVIIVSLGYGIVKPRLGTVMHRVIGLGLLYLIFAAIEGVMRVIGGSKHLAVVLTDIVLA VIDSIFVWFIFISLAQTMKTLRLRKNTVKFSLYRHFTNTLIFAVLASIVFMVWTTKTFRI AKCQSDWMELWVDDAFWSFLFSVILIVIMFLWRPSANNQRYAFMPLIDDSDDEVEEFMVT SENLTEGIKLRASKTVSNGTAKPTSDNFDEDLKWVEENIPSSFTDVALPVLVDSDEEIMT RSEIAEKMFSSEKIM >ENSMUSP00000119093.1 pep:known chromosome:GRCm38:2:128818384:128851792:1 gene:ENSMUSG00000014353.14 transcript:ENSMUST00000152210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem87b description:transmembrane protein 87B [Source:MGI Symbol;Acc:MGI:1919727] LCRRPAGAQLLRVALCLLCWVPAAVDAVPELGLWTRTVNDKSGPLVFRKTMFNSTEIKFS VKSFSCSGPVKFTIEWHLKYHTCHNDYPDLEEELSQRHELHADPDVCAYFKNIDCWTTKS ENLDCSSDSQAFPSLNNKELTGIRNISSQEGSTDVVARTQKDGFHIFIVSIKTEKTDAVW DLNVSLSMVGPHGYISASDWPLMIFYMVMCIVYILYGVLWLLWSACYWKDILRIQFWIAA VIFLGMLEKAVFYSEYQNINSTGLSTQGLLIFAELISAVKRTLARLLVIIVSLGYGIVKP RLGTVMHRVIGLGLLYLIFAAIEGVMRVIGGSKHLAVVLTDIVLAVIDSIFVWFISFTMS FQNSWCLAQTMKTLRLRKNTVKFSLYRHFTNTLIFAVLASIVFMVWTTKTFRIAKCQSDW MELWVDDAFWSFLFSVILIVIMFLWRPSANNQRYAFMPLIDDSDDEVEEFMVTSENLTEG IKLRASKTVSNGTAKPTSDNFDEDLKWVEENIPSSFTDVALPVLVDSDEEIMTRSEIAEK MFSSEKIM >ENSMUSP00000000985.5 pep:known chromosome:GRCm38:14:54360841:54369673:1 gene:ENSMUSG00000000959.6 transcript:ENSMUST00000000985.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxa1l description:oxidase assembly 1-like [Source:MGI Symbol;Acc:MGI:1916339] MARNLVCGRWQLLRLLRPQRSYHSVAVSLRPLAAELLAARRGNGRPPCALLAVFTPRCIS TSATLFAEAQVQAPPVIPATSIPAAVPEVASGGAADVVQCATEPSFTELGLGSYTPVGLI QNLLEYIHVDLGLPWWGAIATCTVLARCLVFPLIVKGQREAAKIHNHMPEMQKFSARIRE AKLAGDQAEFYKATIEMTRYQKKHDIKLLRPLILPLTQAPVFISFFIALREMANLPVPSL QTGGLWWFQDLTVSDPIYVLPLVVTATMWCVLELGAETGVQSNDLQFMRNIIRVMPLVVL PVTIHFPSAVFMYWLSSNVFSLCQVACLRIPAVRTVLKIPQRVVHDPDKLPPREGFLKSF KKGWKNAEIAQQLREREQRMQKHLDLAARGPLRQTFTHNPLLQHDPSHPPKAPNSNNSSI KANAKKPWQDTLG >ENSMUSP00000049116.3 pep:known chromosome:GRCm38:11:60537978:60558512:1 gene:ENSMUSG00000042650.3 transcript:ENSMUST00000044250.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh5 description:alkB homolog 5, RNA demethylase [Source:MGI Symbol;Acc:MGI:2144489] MAAASGYTDLREKLKSMTSRDNYKAGSREAAAAAAAAVAAAAAAAAAAEPYPASGTTKRK YQEDSDPERSDYEEHQLQKEEEARKVKSGIRQIRLFSQDECSKIEARIDEVVSRAEKGLY NEHTVDRAPLRNKYFFGEGYTYGAQLQKRGPGQERLYPPGDVDEIPDWVHQLVIQKLVEH RVIPEGFVNSAVINDYQPGGCIVSHVDPIHIFERPIVSVSFFSDSALCFGCKFQFKPIRV SEPVLSLPVRRGSVTVLSGYAADEITHCIRPQDIKERRAVIILRKTRLDAPRLETKSLSS STLPPSYASDRLSGNTRDPALKPKRSHRKADPDAAHRPRILEMDKEENRRSVLLPTHRRR GSFSSENYWRKSYESSEDCPEAASSPTRKVKMRRH >ENSMUSP00000056461.6 pep:known chromosome:GRCm38:2:43748870:44387623:1 gene:ENSMUSG00000049744.15 transcript:ENSMUST00000055776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap15 description:Rho GTPase activating protein 15 [Source:MGI Symbol;Acc:MGI:1923367] MEKRTSCSVQTSTNCDNSLEILNSAHQATGAVQMRIKNANSHQDRQSQTKSMILTDAGKV TEPISRHRRNHSQHVLKDVIPPLEHPMVEKEGYLQKAKIADGGKKLRKNWSTSWIVLSGR KIEFYKDSKQQALPNMKTRHNVESVDLCGAHIEWAKEKSSRKSVFQITTVSGNEFLLQSD IDFLILDWFQAIKNAIDRLPKNPSCGSLELFNLQRSSSSELPSHCHIDRKEQKPEHRKSF MFRLHHSASDTSDKNRVKSRLKKFISRRPSLKTLQEKGLIKDQIFGSHLHTVCEREHSTV PWFVKQCIEAVEKRGLDVDGIYRVSGNLATIQKLRFIVNQEEKLNLDDSQWEDIHVVTGA LKMFFRELSEPLFPYSFFERFVEAIKKQDSNEKIETMRSLVKRLPPPNHDTMKILFRHLT KIVAKASQNLMSTQSLGIVFGPTLLRAENESGNVAVHMVYQNQIAEFMLTEYDKIFSSEE D >ENSMUSP00000108443.1 pep:known chromosome:GRCm38:2:43748824:44395953:1 gene:ENSMUSG00000049744.15 transcript:ENSMUST00000112824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap15 description:Rho GTPase activating protein 15 [Source:MGI Symbol;Acc:MGI:1923367] MEKRTSCSVQTSTNCDNSLEILNSAHQATGAVQMRIKNANSHQDRQSQTKSMILTDAGKV TEPISRHRRNHSQHVLKDVIPPLEHPMVEKEGYLQKAKIADGGKKLRKNWSTSWIVLSGR KIEFYKDSKQQALPNMKTRHNVESVDLCGAHIEWAKEKSSRKSVFQITTVSGNEFLLQSD IDFLILDWFQAIKNAIDRLPKNPSCGSLELFNLQRSSSSELPSHCHIDRKEQKPEHRKSF MFRLHHSASDTSDKNRVKSRLKKFISRRPSLKTLQEKGLIKDQIFGSHLHTVCEREHSTV PWFVKQCIEAVEKRGLDVDGIYRVSGNLATIQKLRFIVNQEEKLNLDDSQWEDIHVVTGA LKMFFRELSEPLFPYSFFERFVEAIKKQDSNEKIETMRSLVKRLPPPNHDTMKILFRHLT KFIIFKRTSFLISNQMGFSLIQTPAVSCSCLLRALHQRTCFLSRGRPFQDPASQLSL >ENSMUSP00000108441.1 pep:known chromosome:GRCm38:2:43748834:44387619:1 gene:ENSMUSG00000049744.15 transcript:ENSMUST00000112822.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap15 description:Rho GTPase activating protein 15 [Source:MGI Symbol;Acc:MGI:1923367] MEKRTSCSVQTSTNCDNSLEILNSAHQATGAVQMRIKNANSHQDRQSQTKSMILTDAGKV TEPISRHRRNHSQHVLKDVIPPLEHPMVEKEGYLQKAKIADGGKKLRK >ENSMUSP00000015829.7 pep:known chromosome:GRCm38:7:131410601:131448944:1 gene:ENSMUSG00000030861.15 transcript:ENSMUST00000015829.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acadsb description:acyl-Coenzyme A dehydrogenase, short/branched chain [Source:MGI Symbol;Acc:MGI:1914135] MAVSALQLWRMGGLLRRRFPTCLSPWKIPPRVLKSSQPEALVSLTNNAVAFAPLQTLTDE EIMMKQTVKKFAQEHVAPLVSSMDENSKMEKSVIQGLFQQGLMGIEVEAQYGGTEASFFC SVLVIEELAKVDASVALLCDIQNTIINNLFRKHASEEQKATYLPKLVTEKLGSFCLSEAG AGSDSFAMKTRADKSGNYYVLNGSKMWISHAEHAELFLVFANVDPSSGYRGITCFLVDRD TEGFQIGKRENKMGIRASSTCQLTFENVKVPETNILGKIGHGYKYAIGSLNEGRIGIAAQ MLGLAQGCFDYTIPYIKERMQFGKRIFDFQGLQHQVAQVATQLEATRLLTYNAARLVEAG RPFIKEASMAKYYASEVAGLTTSKCIEWMGGVGYTKDYPVEKFFRDAKIGTIYEGASNIQ LNTIAKHIDAEY >ENSMUSP00000113948.1 pep:known chromosome:GRCm38:7:131410703:131444763:1 gene:ENSMUSG00000030861.15 transcript:ENSMUST00000117518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acadsb description:acyl-Coenzyme A dehydrogenase, short/branched chain [Source:MGI Symbol;Acc:MGI:1914135] MAVSALQLWRMGGLLRRRFPTCLSPWKIPPRVLKSSQPEALVSLTNNAVAFAPLQTLTDE EIMMKQTVKKFAQEHVAPLVSSMDENSKMEKSVIQGLFQQGLMGIEVEAQYGGTEASFFC SVLVIEELAKVDASVALLCDIQNTIINNLFRKHASEEQKATYLPKLVTEKLGSFCLSEAG AGSDSFAMKTRADKSGNYYVLNGSKMWISHAEHAELFLVFANVDPSSGYRGITCFLVDRD TEGFQIGKRENKMGIRASSTCQLTFENVKVPETNILGKIGHGYKYAIGSLNEGRIGIAAQ MLGLAQGCFDYTIPYIKERMQFGKRIFDFQGLQHQVAQVATQLEATRLLTYNAARLVEAG RPFIKEASMAKYYASEVAGLTTSKCIEWMGGVGYTKDYPVEKFFRDAKIGKQAFHGLLFY LPLWVFGLLCLSILYYCYLGKSILISTECLLTFRGWISSFFLSEK >ENSMUSP00000146461.1 pep:known chromosome:GRCm38:7:131410775:131428786:1 gene:ENSMUSG00000030861.15 transcript:ENSMUST00000133277.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acadsb description:acyl-Coenzyme A dehydrogenase, short/branched chain [Source:MGI Symbol;Acc:MGI:1914135] XMGGLLRRRFPTCLSPWKIPPRVLKSSQPEALVSLTNNAVAFAPLQTLTDEEIMMKQTVK KFAQEHVAPLVSSMDENSKMEKSVIQGLFQQGLMGIEVEAQYGGTEASFFCSVLVIEELA KVDASVALLCDIQNTIINNLFRKHASEEQKATYLPKLVTEKVS >ENSMUSP00000053087.5 pep:known chromosome:GRCm38:11:87566653:87569250:1 gene:ENSMUSG00000090107.1 transcript:ENSMUST00000060360.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11492 description:predicted gene 11492 [Source:MGI Symbol;Acc:MGI:3651136] MASTQKATVYQVYKTNKNGSKVAVSSHRGAEVTTSTPQRGHGYYSSSQRATAAVSLSPPP LPSQRRAEATSTTHHSTSDYLHPVSPQPGPGLSAVSTSRGTETRTRIEVPCHHSPHHSPH HSPHHSPHRKNQSIQTMSSHLAGVHRNVSPVREESTRRTETRPGREVAHHSSTTSDAKCR HLYFTGEKEEDPPSKVQNPQGVKVPRRISAYPKDEAIQTEPTRRTTAEVRSSRNISVQEH GIRMANNPQIVIRKVPPQEPEVGHSSIYSEPKTSQKSTKLSSGLKLSVLRDLDGAPRAAP PRPERSVCIGTKPSPKILISEAENTMRSPTREREVTRKVTISPGKQSTQPPHRVTCRTVS EGSYKSPLYPELSTKPSTHVPSAFELTPRPLPPRSLPRYGPDCSWWALLNPKVETPPNHS SFDLEPKSPPPLDPLESFYEMDSTPFCEDLLFQRDKASLPPSPKDSLYRVPLTEVQKTPK YTSKQPTQGFNAFFLDVSEEMYNRILWWLKGLCFPFLGGGGGVGGREGLGKGFGEG >ENSMUSP00000041939.7 pep:known chromosome:GRCm38:3:151437887:151545086:1 gene:ENSMUSG00000039167.11 transcript:ENSMUST00000046977.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl4 description:adhesion G protein-coupled receptor L4 [Source:MGI Symbol;Acc:MGI:2655562] MRLLPLLVGFSTLLNCSYTQNCSKTTCLPNAKCEVHNGVEACFCSQGYSGNGVTICEDID ECSESSVCGDHAVCENVNGGFSCFCREGYQTATGKSQFTPNDGSYCQDIDECSESSVCGD HAVCENVNGGFSCFCREGYQTATGKSQFTPNDGSYCQESMNSNCHLEHACIAANINKTLK RIGPITEQTTLLQEIYRNSEAELSLMDIVTYIEILTESSSLLGHPNSTTSYKDAHFNSTL TEFGETINNFVERSTHKMWDQLPTNHRRLHLTKLMHTAELVTLQIAQNTQKNSQFDMNST DLALKVFAFDSTHMKHAHPHMNVDGGYVKISPRRKAAHGTTGNVVVAFLCYKSIGPLLSS SDNFLLDTQNDNSEGKEKVISSVISASISSNPPTLYELEKITFTLSHVKLSDKHRTQCAF WNYSVDAMNNGSWSTEGCELTHSNDTHTSCRCSHLTHFAILMSSTSSIGIKDYNILTRIT QLGIIISLICLAICIFTFWFFSEIQSTRTTIHKNLCCSLFLAELVFLIGININTNKLVCS IIAGLLHYFFLAAFAWMCIEGIHLYLIVVGVIYNKGFLHKNFYIFGYLSPAVVVGFSASL GYRYYGTTKVCWLSTENNFIWSFIGPACLIILVNLLAFGVIIYKVFRHTAGLKPEVSCYE NIRSCARGALALLFLLGTTWIFGVLHVVHASVVTAYLFTVSNAFQGMFIFLFLCVLSRKI QEEYYRLFKNVPCCFGCLR >ENSMUSP00000143744.1 pep:known chromosome:GRCm38:3:151437929:151500196:1 gene:ENSMUSG00000039167.11 transcript:ENSMUST00000196970.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl4 description:adhesion G protein-coupled receptor L4 [Source:MGI Symbol;Acc:MGI:2655562] MRLLPLLVGFSTLLNCSYTQNCSKTTCLPNAKCEVHNGVEACFCSQGYSGNGVTICEDID ECSESSVCGDHAVCENVNGGFSCFCREGYQTATGKSQFTPNDGSYCQESMNSNCHLEHAC IAANINKTLKRIGPITEQTTLLQEIYRNSEAELSLMDIVTYIEILTESSSLLGHPNSTTS Y >ENSMUSP00000043113.7 pep:known chromosome:GRCm38:X:136066564:136068236:-1 gene:ENSMUSG00000042750.7 transcript:ENSMUST00000049130.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bex2 description:brain expressed X-linked 2 [Source:MGI Symbol;Acc:MGI:1338017] MESKVEQGVKNLNMENDHQEKEEKEEKPQDASKRDPIVALPFEAGDYYVPRGGRRRFRVR QPIVHYRWDLMHRVGEPQGRMREENVQRFGDDVRQLMEKLRERQLSHSLRAVSTDPPHHD HHDEFCLMP >ENSMUSP00000133194.2 pep:known chromosome:GRCm38:9:106658746:106685682:-1 gene:ENSMUSG00000040813.15 transcript:ENSMUST00000169068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex264 description:testis expressed gene 264 [Source:MGI Symbol;Acc:MGI:1096570] MPDLLLLGLIGALTLLLLLTLLAFAGYSGLLTGVTVSAGSPPIRNITVAYKFHVGSYGDT GHLFTESCSISPKLRSIAVYYDNPHTVPPEKCRCAVGSILSEGEESPSPELIHLYQKFGF KIFSFPAPSHVVIATFPYTTPISIWLAARRVHPALDTYIKERKLCAHPRLEIYHQDKIHF MCPLARQGDFYVPEVKETERKCRELAEATDTQTDGTGADTSDASSVSLDVRPGSRETSAT TLSPGAGNRGWDDGDNRSEHSYSESGASGSSFEELDLEGEGPLGEPRLNPEAKLLGPPRE LSTPERGEE >ENSMUSP00000132247.1 pep:known chromosome:GRCm38:9:106658746:106685823:-1 gene:ENSMUSG00000040813.15 transcript:ENSMUST00000163441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex264 description:testis expressed gene 264 [Source:MGI Symbol;Acc:MGI:1096570] MPDLLLLGLIGALTLLLLLTLLAFAGYSGLLTGVTVSAGSPPIRNITVAYKFHVGSYGDT GHLFTESCSISPKLRSIAVYYDNPHTVPPEKCRCAVGSILSEGEESPSPELIHLYQKFGF KIFSFPAPSHVVIATFPYTTPISIWLAARRVHPALDTYIKERKLCAHPRLEIYHQDKIHF MCPLARQGDFYVPEVKETERKCRELAEATDTQTDGTGADTSDASSVSLDVRPGSRETSAT TLSPGAGNRGWDDGDNRSEHSYSESGASGSSFEELDLEGEGPLGEPRLNPEAKLLGPPRE LSTPERGEE >ENSMUSP00000044654.4 pep:known chromosome:GRCm38:9:106658752:106685915:-1 gene:ENSMUSG00000040813.15 transcript:ENSMUST00000046735.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex264 description:testis expressed gene 264 [Source:MGI Symbol;Acc:MGI:1096570] MPDLLLLGLIGALTLLLLLTLLAFAGYSGLLTGVTVSAGSPPIRNITVAYKFHVGSYGDT GHLFTESCSISPKLRSIAVYYDNPHTVPPEKCRCAVGSILSEGEESPSPELIHLYQKFGF KIFSFPAPSHVVIATFPYTTPISIWLAARRVHPALDTYIKERKLCAHPRLEIYHQDKIHF MCPLARQGDFYVPEVKETERKCRELAEATDTQTDGTGADTSDASSVSLDVRPGSRETSAT TLSPGAGNRGWDDGDNRSEHSYSESGASGSSFEELDLEGEGPLGEPRLNPEAKLLGPPRE LSTPERGEE >ENSMUSP00000051922.9 pep:known chromosome:GRCm38:4:116995317:117005277:1 gene:ENSMUSG00000046861.9 transcript:ENSMUST00000050067.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hectd3 description:HECT domain containing 3 [Source:MGI Symbol;Acc:MGI:1923858] MAGPGPGAALESPRQLLGRVRFLAEAARSLRAGLPLPAALAFVPREVLYKLYKDPAGPSR VLLPVWEAEGLGLRVGAVGAAPGTGSGPLRAARDSIELRRGACVRTTGEELCNGHGLWVK LTKEQLAEHLSDCSLDEGWLLVCRPAEGGARLVPIDTPDHLQRQQQLFGVDYRPVLRWEQ VVDLTYSHRLGSRPQPAEAYTEAIQRLLYVPPTWTYECDEDLIHFLYDHLGKEDENLGSV KQYVESIDVSSYTEEFNVSCLTDSNADTYWESDGSQCQHWVRLTMKKGTIVKKLLLTVDT TDDNFMPKRVVVYGGEGDNLKKLSDVNIDETLIGDVCVLEDMTVHLPIIEIRIVECRDDG IDVRLRGVKIKSSRQRELGLNADLFQPASLVRYPRLEGTDPEVLYRRAVLLQRFIKILDS VLHHLVPAWDHTLGTFSEIKQVKQFLLLSRQRPSLVAQCLRDSESSKPSFMPRLYINRRL AMEHRACPSRDPACKNAVFTQVYEGLKPSDKYEKPLDYRWPMRYDQWWECKFIAEGIIDQ GGGFRDSLADMSEELCPSSADTPVPLPFFVRTANQGNGTGEARDMYVPNPSCRDFAKYEW IGQLMGAALRGKEFLVLALPGFVWKQLSGEEVSWSKDFPAVDSVLVKLLEVMEGVDKETF EFKFGKELTFTTVLSDQQVVELIPGGTGIVVEYEDRSRFIQLVRKARLEESKEQVAAMQA GLLKVVPQAVLDLLTWQELEKKVCGDPEVTVDALRKLTRFEDFEPSDTRVQYFWEALNNF TNEDRSRFLRFVTGRSRLPARIYIYPDKLGYETTDALPESSTCSSTLFLPHYASAKVCEE KLRYAAYNCVAIDTDMSPWEE >ENSMUSP00000043210.8 pep:known chromosome:GRCm38:5:148871584:148928647:-1 gene:ENSMUSG00000041298.15 transcript:ENSMUST00000047257.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnal1 description:katanin p60 subunit A-like 1 [Source:MGI Symbol;Acc:MGI:2387638] MNLAEICENAKKGREYALLGNYDSSMVYYQGVIQQIQRHCQSLRDPATKAKWQQVRQELL EEYEQVKSIVSTLESFKMDKPPDFPVSCRDEPFRDPAVWPPPVPAEHRAPPQIRRPNREV RPLRKDVGAGARGLVGRAHQISKSDKPASRDKDYRARGRDDKARKNVQDGASDSEIPKFD GAGYDKDLVEALERDIVSRNPSIHWDDIADLEEAKKLLREAVVLPMWMPDFFKGIRRPWK GVLMVGPPGTGKTMLAKAVATECGTTFFNVSSSTLTSKYRGESEKLVRLLFEMARFYAPT TIFIDEIDSICSRRGTSDEHEASRRVKSELLIQMDGVGGALENDDPSKMVMVLAATNFPW DIDEALRRRLEKRIYIPLPTAKGRAELLKISLREVELDPDVHLEDIADKTEGYSGADITN ICRDASLMAMRRRINGLSPEEIRALSKEELQMPVTRGDLELALKKIAKSVSAADLEKYEK WMVEFGSA >ENSMUSP00000106136.2 pep:known chromosome:GRCm38:5:148893699:148929320:-1 gene:ENSMUSG00000041298.15 transcript:ENSMUST00000110509.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnal1 description:katanin p60 subunit A-like 1 [Source:MGI Symbol;Acc:MGI:2387638] MNLAEICENAKKGREYALLGNYDSSMVYYQGVIQQIQRHCQSLRDPATKAKWQQVRQELL EEYEQVKSIVSTLESFKMDKPPDFPVSCRDEPFRDPAVWPPPVPAEHRAPPQIRRPNREV RPLRKDVGAGARGLVGRAHQISKSDKPASRDKDYRARGRDDKARKNVQDGASDSEIPKFD GAGYDKDLVEALERDIVSRNPSIHWDDIADLEEAKKLLREAVVLPMWMPDFFKG >ENSMUSP00000120707.1 pep:known chromosome:GRCm38:5:148904593:148928628:-1 gene:ENSMUSG00000041298.15 transcript:ENSMUST00000149169.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnal1 description:katanin p60 subunit A-like 1 [Source:MGI Symbol;Acc:MGI:2387638] MNLAEICENAKKGREYALLGNYDSSMVYYQGVIQQIQRHCQSLRDPATKAKWQQVRQELL EEYEQVKSIVSTLESFKMDKPPDFPVSCRDEPFRDPAVWPPPVPAEHRAPPQIRRPNREV RPLRKDVGAGARGLVGRAHQISKSDKPASRDKD >ENSMUSP00000081053.2 pep:known chromosome:GRCm38:8:21565386:21566349:1 gene:ENSMUSG00000065956.2 transcript:ENSMUST00000084040.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14850 description:predicted gene 14850 [Source:MGI Symbol;Acc:MGI:3705236] MKTFVLLSALVLLAYQVQADPIHKTDEETNTEEQPGEEDQAVSISFGGQEGSALHEELSK KLICYCRIRGCKRRERVFGTCRNLFLTFVFCCS >ENSMUSP00000079121.2 pep:known chromosome:GRCm38:17:37923506:37924555:1 gene:ENSMUSG00000059030.4 transcript:ENSMUST00000080231.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr128 description:olfactory receptor 128 [Source:MGI Symbol;Acc:MGI:2177511] MTPRNMTTMSGFLLMGFSDNHELQILQALLFLLTYLLGSAGNFIIITITTLDPQLQSPMY YFLKHLSILDLSSLSVTVPQYVDSSLARSGYISYGQCMLQIFFFTGLAWSEVALLTVMSY DRYVAICLPLHYEVIMSPRKCTWAVAAVWLSGGISGTLFTASTLSIRFCGHKIIHQFFCD IPQLLKLSCSNDDFGLLKVSTFIAVMGFACFMGIAFSYGQIFSTVLRMPSAEGRSKVFST CLPHLFVVSFFLSTGICAYLKPTSDSPTTLDFMLSIFYTVLPPTLNPVIYSLRNQSLKRA IKKLLLSE >ENSMUSP00000029165.3 pep:known chromosome:GRCm38:2:156863128:156873563:1 gene:ENSMUSG00000027637.3 transcript:ENSMUST00000029165.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110008F13Rik description:RIKEN cDNA 1110008F13 gene [Source:MGI Symbol;Acc:MGI:1914638] MSGGRRKEEPPQPQLANGALKVSVWSKVLRSDAAWDDKDEFLDVIYWFRQIIALVLGVIW GVLPLRGFLGIAGFCLINAGVLYLYFSNYLQIDEEEYGGTWELTKEGFMTSFALFMVIWI IFYTAIHYD >ENSMUSP00000000984.4 pep:known chromosome:GRCm38:14:54369442:54409460:-1 gene:ENSMUSG00000000958.9 transcript:ENSMUST00000000984.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a7 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 7 [Source:MGI Symbol;Acc:MGI:1337120] MVNSTKYEVAAQHEADDGSALGDGASPVAEQVKLKKEISLLNGVCLIVGNMIGSGIFVSP KGVLMYSASFGLSLVIWAVGGIFSVFGALCYAELGTTIKKSGASYAYILEAFGGFLAFIR LWTSLLIIEPTSQAVIAITFANYMVQPLFPSCGAPYAAGRLLAAACICLLTFINCAYVKW GTLVQDIFTYAKVLALIAVIIAGIVRLGQGATANFENSFEGSSFAMGDIALALYSALFSY SGWDTLNYVTEEIRNPERNLPLSIGISMPIVTIIYLLTNVAYYSVLDIKEILASDAVAVT FADQIFGVFNWIIPVAVAFSCFGGLNASIVAASRLLFVGSREGHLPDAICMVHVERFTPV PSLLFNGVLSLVYLCVEDIFQLINYYSFSYWFFVGLSIVGQLYLRWKDPDRPRPLKLSLF FPIIFCLCTIFLVAVPLYSDTINSLIGIGIALSGLPFYFFIIRVPEHKRPLFLRRIVASI TRYLQILCMSVAAEMDLEDGELSKQDPKSK >ENSMUSP00000143743.1 pep:known chromosome:GRCm38:14:54369444:54417699:-1 gene:ENSMUSG00000000958.9 transcript:ENSMUST00000197440.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a7 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 7 [Source:MGI Symbol;Acc:MGI:1337120] MVNSTKYEVAAQHEADDGSALGDGASPVAEQVKLKKEISLLNGVCLIVGNMIGSGIFVSP KGVLMYSASFGLSLVIWAVGGIFSVFGALCYAELGTTIKKSGASYAYILEAFGGFLAFIR LWTSLLIIEPTSQAVIAITFANYMVQPLFPSCGAPYAAGRLLAAACICLLTFINCAYVKW GTLVQDIFTYAKVLALIAVIIAGIVRLGQGATANFENSFEGSSFAMGDIALALYSALFSY SGWDTLNYVTEEIRNPERNLPLSIGISMPIVTIIYLLTNVAYYSVLDIKEILASDAVAVT FADQIFGVFNWIIPVAVAFSCFGGLNASIVAASRLLFVGSREGHLPDAICMVHVERFTPV PSLLFNGVLSLVYLCVEDIFQLINYYSFSYWFFVGLSIVGQLYLRWKDPDRPRPLKLSLF FPIIFCLCTIFLVAVPLYSDTINSLIGIGIALSGLPFYFFIIRVPEHKRPLFLRRIVASI TRYLQILCMSVAAEMDLEDGELSKQDPKSK >ENSMUSP00000143091.1 pep:known chromosome:GRCm38:14:54369445:54410216:-1 gene:ENSMUSG00000000958.9 transcript:ENSMUST00000195970.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a7 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 7 [Source:MGI Symbol;Acc:MGI:1337120] MVNSTKYEVAAQHEADDGSALGDGASPVAEQVKLKKEISLLNGVCLIVGNMIGSGIFVSP KGVLMYSASFGLSLVIWAVGGIFSVFGALCYAELGTTIKKSGASYAYILEAFGGFLAFIR LWTSLLIIEPTSQAVIAITFANYMVQPLFPSCGAPYAAGRLLAAACICLLTFINCAYVKW GTLVQDIFTYAKVLALIAVIIAGIVRLGQGATANFENSFEGSSFAMGDIALALYSALFSY SGWDTLNYVTEEIRNPERNLPLSIGISMPIVTIIYLLTNVAYYSVLDIKEILASDAVAVT FADQIFGVFNWIIPVAVAFSCFGGLNASIVAASRLLFVGSREGHLPDAICMVHVERFTPV PSLLFNGVLSLVYLCVEDIFQLINYYSFSYWFFVGLSIVGQLYLRWKDPDRPRPLKLSLF FPIIFCLCTIFLVAVPLYSDTINSLIGIGIALSGLPFYFFIIRVPEHKRPLFLRRIVASI TRYLQILCMSVAAEMDLEDGELSKQDPKSK >ENSMUSP00000142587.1 pep:known chromosome:GRCm38:14:54379065:54410600:-1 gene:ENSMUSG00000000958.9 transcript:ENSMUST00000200545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a7 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 7 [Source:MGI Symbol;Acc:MGI:1337120] MVNSTKYEVAAQHEADDGSALGDGASPVAEQVKLKKEISLLNGVCLIVGNMIGSGIFVSP KGVLMYSASFGLSLVIWAVGGIFSVFGALCYAELGTTIKKSGASYAYILEAFGGFLAFIR LWTSLLIIEPTSQAVIAITFANYMVQPLFPSCGAPYAAGRLLAAACICLLTFINCAYVKW GTLVQD >ENSMUSP00000142710.1 pep:known chromosome:GRCm38:14:54408827:54412193:-1 gene:ENSMUSG00000000958.9 transcript:ENSMUST00000196215.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a7 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 7 [Source:MGI Symbol;Acc:MGI:1337120] MVNSTKYEVAAQHEADDGSALGDGASPVAEQVKLKKEISLLNGVCLIVGNMIGSGIFVS >ENSMUSP00000142826.1 pep:known chromosome:GRCm38:14:54408964:54417780:-1 gene:ENSMUSG00000000958.9 transcript:ENSMUST00000195999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a7 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 7 [Source:MGI Symbol;Acc:MGI:1337120] MVNSTKYEVAAQH >ENSMUSP00000044002.9 pep:known chromosome:GRCm38:7:40898328:40930594:1 gene:ENSMUSG00000039257.11 transcript:ENSMUST00000044705.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm2b description:V-set and transmembrane domain containing 2B [Source:MGI Symbol;Acc:MGI:1914525] MEQRNRLGALGYLLPLLLHSLLLFVADATFTEVPKDVTVREGDDIEMPCAFRASGATSYS LEIQWWYLKEPPRELLHELALSVPGARSKVTNKDATKISTVRVQGNDISHRLRLSAVRLQ DEGVYECRVSDYSDDDTQEHKAQALLRVLSRFAPPNMQAAEAVSHIQSSGPRRHGASSAV SSNNAGAAVRTTSETSHDDKNPPPGSPPAGSGVPEAAAAAASATHTATTTAAAAAASSSA SPPSGQAVLLRQRHGSGTGPGYSADPLLSLLLLALHKFLHPLLGH >ENSMUSP00000145703.1 pep:known chromosome:GRCm38:7:40899332:40902788:1 gene:ENSMUSG00000039257.11 transcript:ENSMUST00000206223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm2b description:V-set and transmembrane domain containing 2B [Source:MGI Symbol;Acc:MGI:1914525] MEQRNRLGALGYLLPLLLHSLLLFVADATFTEVPKDVTVREGDDIEMPCAFRASGATSYS LEIQWWYLKEPPRELLHELALSVPGARSKDEGVYECRVSDYSDDDTQEHKAQALLRVLSR FAPPNMQAAEAVSHIQSSGPRRHGASSAVSSNNAGAAVRTTSETSHDDKNPPPGSPPAGS GVPEAAAA >ENSMUSP00000140241.1 pep:known chromosome:GRCm38:7:40900129:40902801:1 gene:ENSMUSG00000039257.11 transcript:ENSMUST00000186352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm2b description:V-set and transmembrane domain containing 2B [Source:MGI Symbol;Acc:MGI:1914525] EPPRELLHELALSVPGARSKTVKAFMMLDLPSSVRFQVENLEEMMRSPWLSSIPTLPSRQ TVRVQGNDISHRLRLSAVRLQDEGVYECRVSDYSDDDTQEHKAQALLRVLSRFAPPNMQA AEAVSHIQSSGPRRHGASSAVSSNNAGAAVRTTSETSHDDKNPPPGSPPAGSGVPEAAAA AASA >ENSMUSP00000146231.1 pep:known chromosome:GRCm38:7:40899278:40929968:1 gene:ENSMUSG00000039257.11 transcript:ENSMUST00000205845.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm2b description:V-set and transmembrane domain containing 2B [Source:MGI Symbol;Acc:MGI:1914525] MEQRNRLGALGYLLPLLLHSLLLFVADATFTEVPKDVTVREGDDIEMPCAFRASGATSYS LEIQWWYLKEPPRELLHELALSVPGARSKVTNKDATKISTVRVQGNDISHRLRLSAVRLQ DEGVYECRVSDYSDDDTQEHKAQALLRVLSRFAPPNMQAAEAVSHIQSSGPRRHGASSAV SSNNAGAAVRTTSETSHDDKNPPPGSPPAGSGVPEAAAAAASATHTATTTAAAAAASSSA SPPSGQAVLLRQRHGSGTGPGYSADPLLSLLLLALHKFLHPLLGH >ENSMUSP00000142481.1 pep:known chromosome:GRCm38:5:124368708:124425610:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000199808.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] MVEPGQDLLLAALSESGISPNDLFDVDGGDAGLATPTPPSVQQSVPLSALELGLETEAAV PVKQEPEPMSTPALLNVRQQPPSTTTFVLNQINQLPTLGSTIVMTKTPPATTNRQTITLT KFIQTTANTRPSVSAPAVRNAMPAAPSKDQVQLKDLLKNNSLNELMKLKPPANIAQPVAT AATDVSNGAVKKESSNKEVARIWINDMKMRSFSPTMKVPVVKEEDEPEEEDEEEMGHAET YAEYMPIKLKIGLRHPDAVVETSSLSSVTPPDVWYKTSISEETIDNGWLSALQLEAVTYA AQQHETFLPNGDRAGFLIGDGAGVGKGRTIAGIIYENYLLSRKRALWFSVSNDLKYDAER DLRDIGAKNILVHSLNKFKYGKISSKHNGSVKKGVIFATYSSLIGESQSGGKYKTRLKQL LHWCGDDFDGVIVFDECHKAKNLCPVGSSKPTKTGLAVLELQNKLPKARVVYASATGASE PRNMAYMNRLGIWGEGTPFREFSDFIQAVERRGVGAMEIVAMDMKLRGMYIARQLSFTGV TFKIEEVLLSQSYVKMYNKAVKLWVIARERFQQAADLIDAEQRMKKSMWGQFWSAHQRFF KYLCIASKVKRVVQLAREEIKNGKCVVIGLQSTGEARTLEALEEGGGELNDFVSTAKGVL QSLIEKHFPAPDRKKLYSLLGIDLTAPSNNSSPRDSPCKENKIKKRKGEEITREAKKARK VGGLTGSSSDDSGSESVSDNDESDYESSKNMSSGDDDDFNPFRDESSEDNEDDPWLIRKD HKKSKDKKKKKSIDPDSIQSALLASGLGSKRPSFSSAPVISPASNSAPANSNSNSNSSLV TSQDAVERAQQMKKDLLDKLEKLAEDLPPNTLDELIDELGGPENVAEMTGRKGRVVSNDD GSISYESRSELDVPVEILNITEKQRFMDGDKNIAIISEAASSGISLQADRRAKNQRRRVH MTLELPWSADRAIQQFGRTHRSNQVTAPEYVFLISELAGEQRFASIVAKRLESLGALTHG DRRATESRDLSRFNFDNKYGRNALEIVMKSIVNLDSPMVSPPPDYPGEFFKDVRQGLIGV GLINVEDRSGILTLDKDYNNIGKFLNRILGMEVHQQNALFQYFADTLTAVVQNAKKNGRY DMGILDLGSGDEKVRKSDVKKFLTPGYSTSGHVELYTISVERGMSWEEATKIWAELTGPD DGFYLSLQIRNNKKTAILVKEVNPKKKLFLIYRPNTGKQLKLEIYADLKKKYKKVVSDDA LVHWLDQYNSSADTCTHAYWRGNCKKASLGLVCEIGLRCRTYYVLCGSVLSVWTKVEGVL ASVSGTNVKMQIVRLRTEDGQRIVGLIIPANCVSPLVNLLSTSDQSQQLAVQQKQLWQQH HPQSITNLSNL >ENSMUSP00000130860.2 pep:known chromosome:GRCm38:5:124374532:124414527:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000168651.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] MVEPGQDLLLAALSESGISPNDLFDVDGGDAGLATPTPPSVQQSVPLSALELGLETEAAV PVKQEPEPMSTPALLNVRQPPSTTTFVLNQINQLPTLGSTIVMTKTPPATTNRQTITLTK FIQTTANTRPSVSAPAVRNAMPAAPSKDQVQLKDLLKNNSLNELMKLKPPANIAQPVATA ATDVSNGAVKKESSNKEVARIWINDMKMRSFSPTMKVPVVKEEDEPEEEDEEEMGHAETY AEYMPIKLKIGLRHPDAVVETSSLSSVTPPDVWYKTSISEETIDNGWLSALQLEAVTYAA QQHETFLPNGDRAGFLIGDGAGVGKGRTIAGIIYENYLLSRKRALWFSVSNDLKYDAERD LRDIGAKNILVHSLNKFKYGKISSKHNGSVKKGVIFATYSSLIGESQSGGKYKTRLKQLL HWCGDDFDGVIVFDECHKAKNLCPVGSSKPTKTGLAVLELQNKLPKARVVYASATGASEP RNMAYMNRLGIWGEGTPFREFSDFIQAVERRGVGAMEIVAMDMKLRGMYIARQLSFTGVT FKIEEVLLSQSYVKMYNKAVKLWVIARERFQQAADLIDAEQRMKKSMWGQFWSAHQRFFK YLCIASKVKRVVQLAREEIKNGKCVVIGLQSTGEARTLEALEEGGGELNDFVSTAKGVLQ SLIEKHFPAPDRKKLYSLLGIDLTAPSNNSSPRDSPCKENKIKKRKGEEITREAKKARKV GGLTGSSSDDSGSESVSDNDESDYESSKNMSSGDDDDFNPFRDESSEDNEDDPWLIRKDH KKSKDKKKKKSIDPDSIQSALLASGLGSKRPSFSSAPVISPASNSAPANSNSNSNSSLVT SQDAVERAQQMKKDLLDKLEKLAEDLPPNTLDELIDELGGPENVAEMTGRKGRVVSNDDG SISYESRSELDVPVEILNITEKQRFMDGDKNIAIISEAASSGISLQADRRAKNQRRRVHM TLELPWSADRAIQQFGRTHRSNQVTAPEYVFLISELAGEQRFASIVAKRLESLGALTHGD RRATESRDLSRFNFDNKYGRNALEIVMKSIVNLDSPMVSPPPDYPGEFFKDVRQGLIGVG LINVEDRSGILTLDKDYNNIGKFLNRILGMEVHQQNALFQYFADTLTAVVQNAKKNGRYD MGILDLGSGDEKVRKSDVKKFLTPGYSTSGHVELYTISVERGMSWEEATKIWAELTGPDD GFYLSLQIRNNKKTAILVKEVNPKKKLFLIYRPNTGKQLKLEIYADLKKKYKKVVSDDAL VHWLDQYNSSADTCTHAYWRGNCKKASLGLVCEIGLRCRTYYVLCGSVLSVWTKVEGVLA SVSGTNVKMQIVRLRTEDGQRIVGLIIPANCVSPLVNLLSTSDQSQQLAVQQKQLWQQHH PQSITNLSNL >ENSMUSP00000143516.1 pep:known chromosome:GRCm38:5:124392950:124425593:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000200474.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] MVEPGQDLLLAALSESGISPNDLFDVDGGDAGLATPTPPSVQQQPPSTTTFVLNQINQLP TLGSTIVMTKTPPATTNRQTITLTKFIQTTANTRPSVSAPAVRNAMPAAPSKDQVQLKDL LKNNSLNELMKLKPPANIAQPVATAATDVSNGAVKKESSNKEVARIWINDMKMRSFSPTM KVPVVKEEDEPEEEDEEEMGHAETYAEYMPIKLKIGLRHPDAVVETSSLSSVTPPDVWYK TSISEETIDNGWLSALQLEAVTYAAQQHETFLPNGDRAGFLIGDGAGVGKGRTIAGIIYE NYLLSRKRALWFSVSNDLKYDAERDLRDIGAKNILVHSLNKFKYGKISSKHNGSVKKGVI FATYSSLIGESQSGGKYKTRLKQLLHWCGDDFDGVIVFDECHKAKNLCPVGSSKPTKTGL AVLELQNKLPKARVVYASATGASEPRNMAYMNRLGIWGEGTPFREFSDFIQAVERRGVGA MEIVAMDMKLRGMYIARQLSFTGVTFKIEEVLLSQSYVKMYNKAVKLWVIARERFQQAAD LIDAEQRMKKSMWGQFWSAHQRFFKYLCIASKVKRVVQLAREEIKNGKCVVIGLQSTGEA RTLEALEEGGGELNDFVSTAKGVLQSLIEKHFPAPDRKKLYSLLGIDLTAPSNNSSPRDS PCKENKIKKRKGEEITREAKKARKVGGLTGSSSDDSGSESVSDNDESDYESSKNMSSGDD DDFNPFRDESSEDNEDDPWLIRKDHKKSKDKKKKK >ENSMUSP00000142827.1 pep:known chromosome:GRCm38:5:124392968:124426001:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000196644.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] MVEPGQDLLLAALSESGISPNDLFDVDGGDAGLATPTPPSVQQSVPLSALELGLETEAAV PVKQEPEPMSTPALLNVRQQPPSTTTFVLNQINQLPTLGSTIVMTKTPPATTNRQTITLT KFIQTTANTRPSVSAPAVRNAMPAAPSKDQVQLKDLLKNNSLNELMKLKPPANIAQPVAT AATDVSNGAVKKESSNKEVARIWINDMKMRSFSPTMKVPVVKEEDEPEEEDEEEMGHAET YAEYMPIKLKIGLRHPDAVVETSSLSSVTPPDVWYKTSISEETIDNGWLSALQLEAVTYA AQQHETFLPNGDRAGFLIGDGAGVGKGRTIAGIIYENYLLSRKRALWFSVSNDLKYDAER DLRDIGAKNILVHSLNKFKYGKISSKHNGSVKKGVIFATYSSLIGESQSGGKYKTRLKQL LHWCGDDFDGVIVFDECHKAKNLCPVGSSKPTKTGLAVLELQNKLPKARVVYASATGASE PRNMAYMNRLGIWGEGTPFREFSDFIQAVERRGVGAMEIVAMDMKLRGMYIARQLSFTGV TFKIEEVLLSQSYVKMYNKAVKLWVIARERFQQAADLIDAEQRMKKSMWGQFWSAHQRFF KYLCIASKVKRVVQLAREEIKNGKCVVIGLQSTGEARTLEALEEGGGELNDFVSTAKGVL QSLIEKHFPAPDRKKLYSLLGIDLTAPSNNSSPRDSPCKENKIKKRKGEEITREAKKARK VGGLTGSSSDDSGSESVSDNDESDYESSKNMSSGDDDDFNPFRDESSEDNEDDPWLIRKD HKKSK >ENSMUSP00000143084.1 pep:known chromosome:GRCm38:5:124395923:124425957:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000196329.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] MVEPGQDLLLAALSESGISPNDLFDVDGGDAGLATPTPPSVQQQQPPSTTTFVLNQINQL PTLGSTIVMTKTPPATTNRQTITLTKFIQTTANTRPSVSAPAVRNAMPAAPSKDQVQLKD LLKNNSLNELMKLKPPANIAQPVATAATDVSNGAVKKESSNKEVARIWINDMKMRSFSPT MKVPVVKEEDEPEEEDEEEMGHAETYAEYMPIKLKIGLRHPDAVVETSSLSSVTPPDVWY KTSISEETIDNGWLSALQLEAVTYAAQQHETFLPNGDRAGFLIGDGAGVGKGRTIAGIIY ENYLLSRKRALWFSVSNDLKYDAERDLRDIGAKNILVHSLNKFKYGKISSKHNGSVKKGV IFATYSSLIGESQSGGKYKTRLKQLLHWCGDDFDGVIVFDECHKAKNLCPVGSSKPTKTG LAVLELQNKLPKARVVYASATGASEPRNMAYMNRLGIWGEGTPFREFSDFIQAVERRGVG AMEIVAMDMKLRGMYIARQLSFTGVTFKIEEVLLSQSYVKMYNKAVKLWVIARERFQQAA DLIDAEQRMKKSMWGQFWSAHQRFFKYLCIASKVKRVVQLAREEIKNGKCVVIGLQSTGE ARTLEALEEGGGELNDFVSTAKGVLQSLIEKHFPAPDRKKLYSLLGIDLTAPSNNSSPRD SPC >ENSMUSP00000143314.1 pep:known chromosome:GRCm38:5:124398607:124425592:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000199004.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] MVEPGQDLLLAALSESGISPNDLFDVDGGDAGLATPTPPSVQQSVPLSALELGLETEAAV PVKQEPEPMSTPALLNVRQQPPSTTTFVLNQINQLPTLGSTIVMTKTPPATTNRQTITLT KFIQTTANTRPSVSAPAVRNAMPAAPSKDQVQLKDLLKNNSLNELMKLKPPANIAQPVAT AATDVSNGAVKKESSNKEVARIWINDMKMRSFSPTMKVPVVKEEDEPEEEDEEEMGHAET YAEYMPIKCMFFGFVKTMDSSSS >ENSMUSP00000143440.1 pep:known chromosome:GRCm38:5:124399980:124405729:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000200674.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] XKIGLRHPDAVVETSSLSSVTPPDVWYKTSISEETIDNGWLSALQLEAVTYAAQQHETFL PNGDRAGFLIGDGAGVGKGRTIAGIIYENYLLSRKRALWFSVSNDLKYDAERDLRDIGAK NILVHSLNKFKYGKISSKHNGSVKKGVIFATYSSLIGESQSGGKYKTRLKQLLHWCGDDF DGVIVFDECHKAKNLCPVGSSKPTKTGLAVLELQNKLPKARVVYASATGKYIFNQKYICM LL >ENSMUSP00000142980.1 pep:known chromosome:GRCm38:5:124407097:124425584:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000196711.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] MVEPGQDLLLAALSESGISPNDLFDVDGGDAGLATPTPPSVQQQQPPSTTTFVLNQINQL PTLGSTIVMTKTPPATTNRQTITLTKFIQTTANTRPSVSAPAVRNAMPAAPSKDQVQLKD LLKNNSLNELMKLKPPANIAQPVATAATDVSNGAVKKESSNKEVARIWINDMKMRSFSPT MKVPVVKEEDEPEEEDEEEMGHAETYAEYMP >ENSMUSP00000142416.1 pep:known chromosome:GRCm38:5:124410109:124425568:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000198420.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] MVEPGQDLLLAALSESGISPNDLFDVDGGDAGLATPTPPSVQQQPPSTTTFVLNQINQLP TLGSTIVMTKTPPATTNRQTITLTKFIQTTANTRPSVSAPAVRNAMPAAPSKDQVQLKDL LKNNSLNELMKLK >ENSMUSP00000143572.1 pep:known chromosome:GRCm38:5:124410341:124419887:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000197777.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] MVEPGQDLLLAALSESGISPNDLFDVDGGDAGLATPTPPSVQQSVPLSALELGLETEAAV PVKQEPEPMSTPALLNVRQQPPSTTTFVLNQI >ENSMUSP00000066808.5 pep:known chromosome:GRCm38:5:124368702:124425914:-1 gene:ENSMUSG00000038095.15 transcript:ENSMUST00000065263.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbno1 description:sno, strawberry notch homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384298] MVEPGQDLLLAALSESGISPNDLFDVDGGDAGLATPTPPSVQQSVPLSALELGLETEAAV PVKQEPEPMSTPALLNVRQQPPSTTTFVLNQINQLPTLGSTIVMTKTPPATTNRQTITLT KFIQTTANTRPSVSAPAVRNAMPAAPSKDQVQLKDLLKNNSLNELMKLKPPANIAQPVAT AATDVSNGAVKKESSNKEVARIWINDMKMRSFSPTMKVPVVKEEDEPEEEDEEEMGHAET YAEYMPIKLKIGLRHPDAVVETSSLSSVTPPDVWYKTSISEETIDNGWLSALQLEAVTYA AQQHETFLPNGDRAGFLIGDGAGVGKGRTIAGIIYENYLLSRKRALWFSVSNDLKYDAER DLRDIGAKNILVHSLNKFKYGKISSKHNGSVKKGVIFATYSSLIGESQSGGKYKTRLKQL LHWCGDDFDGVIVFDECHKAKNLCPVGSSKPTKTGLAVLELQNKLPKARVVYASATGASE PRNMAYMNRLGIWGEGTPFREFSDFIQAVERRGVGAMEIVAMDMKLRGMYIARQLSFTGV TFKIEEVLLSQSYVKMYNKAVKLWVIARERFQQAADLIDAEQRMKKSMWGQFWSAHQRFF KYLCIASKVKRVVQLAREEIKNGKCVVIGLQSTGEARTLEALEEGGGELNDFVSTAKGVL QSLIEKHFPAPDRKKLYSLLGIDLTAPSNNSSPRDSPCKENKIKKRKGEEITREAKKARK VGGLTGSSSDDSGSESVSDNDESDYESSKNMSSGDDDDFNPFRDESSEDNEDDPWLIRKD HKKSKDKKKKKSIDPDSIQSALLASGLGSKRPSFSSAPVISPASNSAPANSNSNSNSSLV TSQDAVERAQQMKKDLLDKLEKLAEDLPPNTLDELIDELGGPENVAEMTGRKGRVVSNDD GSISYESRSELDVPVEILNITEKQRFMDGDKNIAIISEAASSGISLQADRRAKNQRRRVH MTLELPWSADRAIQQFGRTHRSNQVTAPEYVFLISELAGEQRFASIVAKRLESLGALTHG DRRATESRDLSRFNFDNKYGRNALEIVMKSIVNLDSPMVSPPPDYPGEFFKDVRQGLIGV GLINVEDRSGILTLDKDYNNIGKFLNRILGMEVHQQNALFQYFADTLTAVVQNAKKNGRY DMGILDLGSGDEKVRKSDVKKFLTPGYSTSGHVELYTISVERGMSWEEATKIWAELTGPD DGFYLSLQIRNNKKTAILVKEVNPKKKLFLIYRPNTGKQLKLEIYADLKKKYKKVVSDDA LVHWLDQYNSSADTCTHAYWRGNCKKASLGLVCEIGLRCRTYYVLCGSVLSVWTKVEGVL ASVSGTNVKMQIVRLRTEDGQRIVGLIIPANCVSPLVNLLSTSDQSQQLAVQQKQLWQQH HPQSITNLSNL >ENSMUSP00000025617.3 pep:known chromosome:GRCm38:19:17394043:17401349:1 gene:ENSMUSG00000024712.9 transcript:ENSMUST00000025617.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfk description:riboflavin kinase [Source:MGI Symbol;Acc:MGI:1914688] MRSLPFFCRGQVVRGFGRGSKQLGIPTANFPEQVVDNLPADVSTGIYYGWASVGSGDVHK MVVSIGWNPYYKNVKKSMETHIIHTFKEDFYGEILNVAIVGYLRPEKNFDSLESLISAIQ GDIEEAKKQLDLPEHLKLKDDNFFQVSKGKIMNGH >ENSMUSP00000138742.1 pep:known chromosome:GRCm38:11:106751226:106768794:1 gene:ENSMUSG00000040528.15 transcript:ENSMUST00000147326.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Milr1 description:mast cell immunoglobulin like receptor 1 [Source:MGI Symbol;Acc:MGI:2685731] MGDGDSPMCLSAVSFKGIRCWLDKLLLWALTISITLQNAAVDCTRVENNELPSPNLNSSM NVVRMGQNVSLSCSTKNTSVDITYSLFWGTKYLESKRRRGGAVDFHLRISNANESGPYKC KVNVSNLMKYSQDFNFTMAKDESCPSCRLSLLLPGLLLGILVIVLVLAYLIHLKYKKGKK TQREDQSKGSGDAPAQDELYVNACKTQTEQPQEIHYATPVFKEMAPMEEEGGTDGKADYI YSELTH >ENSMUSP00000138617.1 pep:known chromosome:GRCm38:11:106751240:106767145:1 gene:ENSMUSG00000040528.15 transcript:ENSMUST00000182896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Milr1 description:mast cell immunoglobulin like receptor 1 [Source:MGI Symbol;Acc:MGI:2685731] MGDGDSPMCLSAVSFKGIRCWLDKLLLWALTISITLQNAAVDCTRVENNELPSPNLNSSM NVVRMGQNVSLSCSTKNTSVDITYSLFWGTKYLESKRRRGGAVDFHLRISNANESGPYKC KVNVSNLMKYSQDFNFTMARGRHKTQTNGSQAVPAVVKDTSTVWRKARHEEGTTWERRLR ERTSPRVLEMRLHRTSCMSTPARLRQSNPRRYTMPLQSSRRWHPWKKKVVRMEKLITSTL NSPTEV >ENSMUSP00000138678.1 pep:known chromosome:GRCm38:11:106751240:106767145:1 gene:ENSMUSG00000040528.15 transcript:ENSMUST00000182908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Milr1 description:mast cell immunoglobulin like receptor 1 [Source:MGI Symbol;Acc:MGI:2685731] MGDGDSPMCLSAVSFKGIRCWLDKLLLWALTISITLQNAAVDCTRVENNELPSPNLNSSM NVVRMGQNVSLSCSTKNTSVDITYSLFWGTKYLESKRRRGGAVDFHLRISNANESGPYKC KVNVSNLMKYSQDFNFTMARKKTQREDQSKGSGDAPAQDELYVNACKTQTEQPQEIHYAT PVFKEMAPMEEEGGTDGKADYIYSELTH >ENSMUSP00000083538.3 pep:known chromosome:GRCm38:11:106751240:106767568:1 gene:ENSMUSG00000040528.15 transcript:ENSMUST00000086353.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Milr1 description:mast cell immunoglobulin like receptor 1 [Source:MGI Symbol;Acc:MGI:2685731] MGDGDSPMCLSAVSFKGIRCWLDKLLLWALTISITLQNAAVDCTRVENNELPSPNLNSSM NVVRMGQNVSLSCSTKNTSVDITYSLFWGTKYLESKRRRGGAVDFHLRISNANESGPYKC KVNVSNLMKYSQDFNFTMAKDESCPSCRLSLLLPGLLLGILVIVLVLAYLIHLKYKKGKK TQREDQSKGSGDAPAQDELYVNACKTQTEQPQEIHYATPVFKEMAPMEEEGGTDGKADYI YSELTH >ENSMUSP00000138513.1 pep:known chromosome:GRCm38:11:106751265:106768719:1 gene:ENSMUSG00000040528.15 transcript:ENSMUST00000183111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Milr1 description:mast cell immunoglobulin like receptor 1 [Source:MGI Symbol;Acc:MGI:2685731] MGDGDSPMCLSAVSFKGIRCWLDKLLLWALTISITLQNAAVDCTRVENNELPSPNLNSSM NVVRMGQNVSLSCSTKNTSVDITYSLFWGTKYLESKRRRGGAVDFHLRISNANESGPYKC KVNVSNLMKYSQDFNFTMARKKTQREDQSKGSGDAPAQDELYVNACKTQTEQPQEIHYAT PVFKEMAPMEEEGGTDGKADYIYSELTH >ENSMUSP00000102406.2 pep:known chromosome:GRCm38:11:106751268:106767765:1 gene:ENSMUSG00000040528.15 transcript:ENSMUST00000106794.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Milr1 description:mast cell immunoglobulin like receptor 1 [Source:MGI Symbol;Acc:MGI:2685731] MGDGDSPMCLSAVSFKGIRCWLDKLLLWALTISITLQNAAVDCTRVENNELPSPNLNSSM NVVRMGQNVSLSCSTKNTSVDITYSLFWGTKYLESKRRRGGAVDFHLRISNANESGPYKC KVNVSNLMKYSQDFNFTMANESCPSCRLSLLLPGLLLGILVIVLVLAYLIHLKYKKGKKT QREDQSKGSGDAPAQDELYVNACKTQTEQPQEIHYATPVFKEMAPMEEEGGTDGKADYIY SELTH >ENSMUSP00000138286.1 pep:known chromosome:GRCm38:11:106751268:106768717:1 gene:ENSMUSG00000040528.15 transcript:ENSMUST00000182023.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Milr1 description:mast cell immunoglobulin like receptor 1 [Source:MGI Symbol;Acc:MGI:2685731] MGDGDSPMCLSAVSFKGIRCWLDKLLLWALTISITLQNAAVDCTRVENNELPSPNLNSSM NVVRMGQNVSLSCSTKNTSVDITYSLFWGTKYLESKRRRGGAVDFHLRISNANESGPYKC KVNVSNLMKYSQDFNFTMARGRHKTQTNGSQAVPAVVKDTSTVWRKARHEEGTTWVRR >ENSMUSP00000138386.1 pep:known chromosome:GRCm38:11:106754943:106768720:1 gene:ENSMUSG00000040528.15 transcript:ENSMUST00000182479.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Milr1 description:mast cell immunoglobulin like receptor 1 [Source:MGI Symbol;Acc:MGI:2685731] DFHLRISNANESGPYKCKVNVSNLMKYSQDFNFTMAKDESCPSCRLSLLLPGLLLGILVI VLVLAYLIHLKYKKGKKTQREDQSKGSGDAPAQDELYVNACKTQTEQPQEIHYATPVFKE MAPMEEEGGTDGKADYIYSELTH >ENSMUSP00000099408.3 pep:known chromosome:GRCm38:11:100627543:100650693:-1 gene:ENSMUSG00000014198.15 transcript:ENSMUST00000103119.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp385c description:zinc finger protein 385C [Source:MGI Symbol;Acc:MGI:3608347] MLLGPASGSPSPLLASLTLPARPLQPPLDLKHLLAFHLNGTTPLSLFPNFSTMDPVQKAV ISHTFGVPSPLKKKLFISCNICHLRFNSANQAEAHYKGHRHARKLKAVEAAKSKQRPRNP TTNGTVVSSASPPASGSPGTPQSKGPASPPLGPSLQLPPTPDPSAGDPVHSAGDPVHSEL CDAAASSSSSSCPPCSPDPSREAPGPEPAEGAVGSGVNGEGRGEKGRLYCPTCKVTVNSA SQLQAHNTGAKHRWMVEGHQGAPRRGRGRPVSRGGTGHKTKRVIGNRGGRQGPSPPFHCA LCQLHVNSETQLKQHMSSRRHKDRLAGKPPKSSSQHNKLQKHTALAVSVLKSKLALQKQL TKTLAARFLPGPLPTTAAAICALPGPLTLRPAATAAATLFPAPVLGPALFRSPAGAVRPA AGPILFAPY >ENSMUSP00000119259.1 pep:known chromosome:GRCm38:11:100630720:100657622:-1 gene:ENSMUSG00000014198.15 transcript:ENSMUST00000151589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp385c description:zinc finger protein 385C [Source:MGI Symbol;Acc:MGI:3608347] XKRPLSPPPSGEKEPPTTAASECPPHSPESSKPKRERKRPSYTLCDVCNIQLNSAAQAQV HCGGRAHQRRLRQLSLGKTSTGPGPASGSPSPLLASLTLPARPLQPPLDLKHLLAFHLNG TTPLSLFPNFSTMDPVQKAVISHTFGVPSPLKKKLFISCNICHLRFNSANQAEAHYKGHR HARKLKAVEAAKSKQRPRNPTTNGTVVSSASPPASGSPGTPQSKGPASPPLGPSLQLPPT PDPSAGDPVHS >ENSMUSP00000115268.1 pep:known chromosome:GRCm38:11:100637797:100692455:-1 gene:ENSMUSG00000014198.15 transcript:ENSMUST00000153494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp385c description:zinc finger protein 385C [Source:MGI Symbol;Acc:MGI:3608347] MKRPLSPPPSGEKEPPTTAASECPPHSPESSKPKRERKRPSYTLCDVCNIQLNSAAQAQV HCGGRAHQRRLRQLSLGKTSTGPAGPASGSPSPLLASLTLPARPLQPPLDLKHLL >ENSMUSP00000096486.2 pep:known chromosome:GRCm38:8:21583027:21583961:1 gene:ENSMUSG00000074434.3 transcript:ENSMUST00000098887.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6696 description:predicted gene 6696 [Source:MGI Symbol;Acc:MGI:3646688] MKTLVLLSALALLAFQVQADPIQNRDEESKIDEQPGKEDQAVSVSFGDPEGSSLQEESSS ALRDRICYCRTSCKKRERLNGTCRKGHLMYKLCCR >ENSMUSP00000128706.1 pep:known chromosome:GRCm38:8:21583027:21583867:1 gene:ENSMUSG00000074434.3 transcript:ENSMUST00000168331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6696 description:predicted gene 6696 [Source:MGI Symbol;Acc:MGI:3646688] MKTLVLLSALALLAFQVQADPIQNRDEESKIDEQPGKEDQAVSVSFGDPEGSSLQEECDA LRDRICYCRTSCKKRERLNGTCRKGHLMYKLCCR >ENSMUSP00000002840.8 pep:known chromosome:GRCm38:17:46711463:46725541:1 gene:ENSMUSG00000002763.16 transcript:ENSMUST00000002840.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex6 description:peroxisomal biogenesis factor 6 [Source:MGI Symbol;Acc:MGI:2385054] MALAVLRVLDPFPTETPPLAVLLPPGGPWPATGLGLVLALRPASESPAKPALLVAAVEGS GAQGEQRGPGPPPLLVSRALLRVLALGPGARVRARLVRRPPALGWALLATAPGPGLGPRV GPLLVRRGETLPVPGSRVLETRPALQGLLGPGTRLAVTELRGRAKLGQESRDHSHPPPPP VVSSFAASHSVRRLRGVLGGTGDALGVSRSCLRSLGLFQGEWVWVAQVAELPNSSQPRLA QVQVLEPRWELSERLGPNSGQQPGEPLADGLVFLPATLAFNLGCDPLEVGELRIQRYLEG SIAPENKGSCSPLPGPPFARELHIEILSSPHYSANGNYDHVLYRHFQTPRVVQEGDVLCV STAGQVEILEGSLERLPRWREMFFKVKKTVGEAPEGPASAFLADTTHTSLYLAGTALSHV PSLPSGRSPPWDSLSPPGLEALVNELCAILKPHLQPGGTLLTGTSCVLLQGPPGSGKTTA VTAACSRLGLHLLKVPCSSLCADSSRAVETKLQATFSRARRCRPAVLLLTAVDLLGRDRD GLGEDARVAATLRHLLLDEDALSRCPPLMVVATTSRVQDLPTDVQTAFPHELEVPVLSEA QRLSILQALTAHLPLGQEVNLPQLARRCAGFVVGDLYALLTHTCRAACTRIRASGSAGGL SEEDEGDLCVAGFPLLAEDFGQALDQLQTAHSQAVGAPRIPSVSWHDVGGLQDVKKEILE TIQLPLEHPELLSLGLRRSGLLLHGPPGTGKTLLAKAVATECSLTFLSVKGPELINMYVG QSEENVREVFARARAAAPCIIFFDELDSLAPSRGRSGDSGGVMDRVVSQLLAELDGLHST QDVFVIGATNRPDLLDPALLRPGRFDKLVFVGASEDRASQLRVLSAITRKFKLEASVSLA NVLDCCPPQLTGADLYSLCSDAMMTALKRRVRDLEEGLELRSSALLLTMEDLLQAAARLQ PSVSEQELLRYKRIQRKFAAC >ENSMUSP00000022304.8 pep:known chromosome:GRCm38:14:17981644:18038086:1 gene:ENSMUSG00000021779.16 transcript:ENSMUST00000022304.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrb description:thyroid hormone receptor beta [Source:MGI Symbol;Acc:MGI:98743] MNYCMPEVHEVCPAASSNCYMQVTDYLAYLEDSPALSGRDVQAVPSSSIYMEQAWAVNQP YTCSYPGNLFKSKDSDLDMALSQSSQPAHLPEEKPFPQVQSPPHSQKKGYIPSYLDKDEL CVVCGDKATGYHYRCITCEGCKGFFRRTIQKSLHPSYSCKYEGKCIIDKVTRNQCQECRF KKCIYVGMATDLVLDDSKRLAKRKLIEENREKRRREELQKSIGHKPEPTDEEWELIKTVT EAHVATNAQGSHWKQKRKFLPEDIGQAPIVNAPEGGKVDLEAFSHFTKIITPAITRVVDF AKKLPMFCELPCEDQIILLKGCCMEIMSLRAAVRYDPDSETLTLNGEMAVTRGQLKNGGL GVVSDAIFDLGMSLSSFNLDDTEVALLQAVLLMSSDRPGLACVERIEKYQDSFLLAFEHY INYRKHHVTHFWPKLLMKVTDLRMIGACHASRFLHMKVECPTELFPPLFLEVFED >ENSMUSP00000022303.7 pep:known chromosome:GRCm38:14:17660960:18036559:1 gene:ENSMUSG00000021779.16 transcript:ENSMUST00000022303.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrb description:thyroid hormone receptor beta [Source:MGI Symbol;Acc:MGI:98743] MTPNSMTENGLPAWDKQKPRPDRGQDWKLVGMSEACLHRKSHVERRGALKNEQTSPHLIQ ATWTSSIFHLDPDDVNDQSISSAQTFQTEEKKCKGYIPSYLDKDELCVVCGDKATGYHYR CITCEGCKGFFRRTIQKSLHPSYSCKYEGKCIIDKVTRNQCQECRFKKCIYVGMATDLVL DDSKRLAKRKLIEENREKRRREELQKSIGHKPEPTDEEWELIKTVTEAHVATNAQGSHWK QKRKFLPEDIGQAPIVNAPEGGKVDLEAFSHFTKIITPAITRVVDFAKKLPMFCELPCED QIILLKGCCMEIMSLRAAVRYDPDSETLTLNGEMAVTRGQLKNGGLGVVSDAIFDLGMSL SSFNLDDTEVALLQAVLLMSSDRPGLACVERIEKYQDSFLLAFEHYINYRKHHVTHFWPK LLMKVTDLRMIGACHASRFLHMKVECPTELFPPLFLEVFED >ENSMUSP00000089053.4 pep:known chromosome:GRCm38:14:17660960:18038088:1 gene:ENSMUSG00000021779.16 transcript:ENSMUST00000091471.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrb description:thyroid hormone receptor beta [Source:MGI Symbol;Acc:MGI:98743] MTPNSMTENGLPAWDKQKPRPDRGQDWKLVGMSEACLHRKSHVERRGALKNEQTSPHLIQ ATWTSSIFHLDPDDVNDQSISSAQTFQTEEKKCKGYIPSYLDKDELCVVCGDKATGYHYR CITCEGCKGFFRRTIQKSLHPSYSCKYEGKCIIDKVTRNQCQECRFKKCIYVGMATDLVL DDSKRLAKRKLIEENREKRRREELQKSIGHKPEPTDEEWELIKTVTEAHVATNAQGSHWK QKRKFLPEDIGQAPIVNAPEGGKVDLEAFSHFTKIITPAITRVVDFAKKLPMFCELPCED QIILLKGCCMEIMSLRAAVRYDPDSETLTLNGEMAVTRGQLKNGGLGVVSDAIFDLGMSL SSFNLDDTEVALLQAVLLMSSDRPGLACVERIEKYQDSFLLAFEHYINYRKHHVTHFWPK LLMKVTDLRMIGACHASRFLHMKVECPTELFPPLFLEVFED >ENSMUSP00000139505.1 pep:known chromosome:GRCm38:Y:67045743:67048072:-1 gene:ENSMUSG00000095302.2 transcript:ENSMUST00000190565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssty2 description:spermiogenesis specific transcript on the Y 2 [Source:MGI Symbol;Acc:MGI:1917259] MTSLKKKSRRKHSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVDFPQVREVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAGVRSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000137417.1 pep:known chromosome:GRCm38:Y:67045883:67046566:-1 gene:ENSMUSG00000095302.2 transcript:ENSMUST00000179136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssty2 description:spermiogenesis specific transcript on the Y 2 [Source:MGI Symbol;Acc:MGI:1917259] MTSLKKKSRRKHSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVDFPQVREVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAGVRSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000022078.5 pep:known chromosome:GRCm38:13:75869537:75943867:-1 gene:ENSMUSG00000021589.12 transcript:ENSMUST00000022078.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb3 description:Rho-related BTB domain containing 3 [Source:MGI Symbol;Acc:MGI:1920546] MSIHIVALGNEGDTFHQDNRPSGLIRTYLGRSPLVSGDESSLLLNAASTVARPVFTEYQA SAFGNVKLVVHDCPVWDIFDSDWYTSRNLIGGADIIVIKYNVNDKFSFHEVKDNYIPVIK RASNSVPVIIAAVGTRQNEELPCTCPLCTSDRGSCVTTTEGIQLAKELGATYLELHSLDD FYIGKYFGGVLEYFMIQALNQKTSEKMKKRKMTSSFHGIRPPQLEQPEKMPVLKAEASHY HSDLNNLLLCCQCVDVVFYHPEVTGVVEAHKIVLCSVSHVFMLLFNVKSPADIQDSSIIR TTQDLFAINRDAVLPGASQEAPSNPPLPVIVKDALFCSCLSDILRFIYSGAFQWEELEED VRRKLKDSGDVSDIIEKVKCILKTPGKINCLRNCKTYQARKPLWFYNTSLKFFLNKPMLA DVVFEIQGATVPAHRAILVARCEVMAAMFNGNYMEAKSVLIPVYGVSKETFLSFLEYLYT DSCCPAGIFQAMCLLICAEMYQVSRLQHICELFIITQLQSMPSRELASMNLDIVDLLKKA KFHHSDCLSTWLLHFIATNYLIFSQKPEFQDLSVEERSFVEKHRWPSNMYLKQLAEYRKY IHSRKCRCLVM >ENSMUSP00000105235.1 pep:known chromosome:GRCm38:13:75912747:75943925:-1 gene:ENSMUSG00000021589.12 transcript:ENSMUST00000109606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb3 description:Rho-related BTB domain containing 3 [Source:MGI Symbol;Acc:MGI:1920546] MSIHIVALGNEGDTFHQDNRPSGLIRTYLGRSPLVSGDESSLLLNAASTVARPVFTEYQA SAFGNVKLVVHDCPVWDIFDSDWYTSRNLIGGADIIVIKYNVNDKFSFHEVKDNYIPVIK RASNSVPVIIAAVGTRQNEELPCTCPLCTSDRGSCVTTTEGIQLAKELGATYLELHSLDD FYIGKYFGGVLEYFMIQALNQKTSEKMKKRKMTSSFHGIRPPQLEQPGVAALKHISYKAQ ILEKLLLNLSVLRAHLGQGL >ENSMUSP00000027271.2 pep:known chromosome:GRCm38:1:52785427:52817688:-1 gene:ENSMUSG00000026102.9 transcript:ENSMUST00000027271.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp1 description:inositol polyphosphate-1-phosphatase [Source:MGI Symbol;Acc:MGI:104848] MSDILLELLCVSEKAANIARACRQQETLFQLLIEEKKGAEKNKKFAADFKTLADVLVQEV IKQNMENKFPGLGKKVFGEESNEFTNDLGEKITVELQSTEEETAELLSKVLNGNMPASEA LAQVVHEDVDLTDPTLESLDISIPHESLGIWVDPIDSTYQYIKGSANVKSNQGIFPSGLQ CVTILIGVYDLQTGLPLMGVINQPFASQNLTTLRWKGQCYWGLSYMGTNIHSLQLAISKS DSETQTENSDREFSSPFSAVISTSEKDTIKAALSRVCGGSVFPAAGAGYKSLCVIQGLAD IYIFSEDTTYKWDSCAAHAILRAMGGGIVDMKECLERSPDTGLDLPQLLYHVENKGASGV ELWANKGGLIAYRSRNRLDTFLSRLIQNLGPVKTQA >ENSMUSP00000135225.1 pep:known chromosome:GRCm38:1:52789668:52799488:-1 gene:ENSMUSG00000026102.9 transcript:ENSMUST00000177279.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Inpp1 description:inositol polyphosphate-1-phosphatase [Source:MGI Symbol;Acc:MGI:104848] MSDILLELLCVSEKAANIARACRQQETLFQLLIEEKKGAEKNKKFAADFKTLADVLVQEV IKQNMENKGKRSQWSCSPRRRRRQSFSARSLTATCRHRKRWLRWFMRTWT >ENSMUSP00000124890.1 pep:known chromosome:GRCm38:1:52794665:52817568:-1 gene:ENSMUSG00000026102.9 transcript:ENSMUST00000162576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp1 description:inositol polyphosphate-1-phosphatase [Source:MGI Symbol;Acc:MGI:104848] MSDILLELLCVSEKAANIARACRQQETLFQLLIEEKKGAEKNKKFAADFKTLADVLVQEV IKQNMENKFPGLGKKVFGEESNEFTNDLGE >ENSMUSP00000123977.1 pep:known chromosome:GRCm38:1:52797066:52800371:-1 gene:ENSMUSG00000026102.9 transcript:ENSMUST00000159725.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp1 description:inositol polyphosphate-1-phosphatase [Source:MGI Symbol;Acc:MGI:104848] MSDILLELLCVSEKAANIARACRQQETLFQLLIEEKKGAEKNKKFAADFKTLADVLVQEV IKQNMENKFPGLGKKVFGEE >ENSMUSP00000029164.2 pep:known chromosome:GRCm38:2:156872457:156887078:-1 gene:ENSMUSG00000027636.11 transcript:ENSMUST00000029164.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sla2 description:Src-like-adaptor 2 [Source:MGI Symbol;Acc:MGI:1925049] MGSLSSRGKTSSPSPSSSGPDQEPVSMQPERHKVTAVALGSFPAGEQARLSLRLGEPLTI ISEDGDWWTVQSEVSGREYHMPSVYVAKVAHGWLYEGLSREKAEELLLLPGNPGGAFLIR ESQTRRGCYSLSVRLSRPASWDRIRHYRIQRLDNGWLYISPRLTFPSLHALVEHYSELAD GICCPLREPCVLQKLGPLPGKDTPPPVTVPTSSLNWKKLDRSLLFLEAPASGEASLLSEG LRESLSSYISLAEDPLDDA >ENSMUSP00000105189.3 pep:known chromosome:GRCm38:2:156872922:156887192:-1 gene:ENSMUSG00000027636.11 transcript:ENSMUST00000109561.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sla2 description:Src-like-adaptor 2 [Source:MGI Symbol;Acc:MGI:1925049] MGSLSSRGKTSSPSPSSSGPDQEPVSMQPERHKVTAVALGSFPAGEQARLSLRLGEPLTI ISEDGDWWTVQSEVSGREYHMPSVYVAKVAHGWLYEGLSREKAEELLLLPGNPGGAFLIR ESQTRRGCYSLSVRLSRPASWDRIRHYRIQRLDNGWLYISPRLTFPSLHALVEHYSELAD GICCPLREPCVLQKLGPLPGKDTPPPVTVPTSSLNWKKLDRSLLFLEAPASGEASLLSEG LRESLSSYISLAEDPLDDA >ENSMUSP00000096096.3 pep:known chromosome:GRCm38:9:86765923:86880439:-1 gene:ENSMUSG00000033419.15 transcript:ENSMUST00000098495.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap91 description:synaptosomal-associated protein 91 [Source:MGI Symbol;Acc:MGI:109132] MSGQTLTDRIAAAQYSVTGSAVARAVCKATTHEVMGPKKKHLDYLIQATNETNVNIPQMA DTLFERATNSSWVVVFKALVTTHHLMVHGNERFIQYLASRNTLFNLSNFLDKSGSHGYDM STFIRRYSRYLNEKAFSYRQMAFDFARVKKGADGVMRTMVPEKLLKSMPILQGQIDALLE FDVHPNELTNGVINAAFMLLFKDLIKLFACYNDGVINLLEKFFEMKKGQCKDALEIYKRF LTRMTRVSEFLKVAEQVGIDKGDIPDLTQAPSSLMETLEQHLNTLEGKKPGNKSGAPSPL SKSSPATTVTSPNSTPAKTIDTSPPVDIFATASAAAPVSSAKPSSDLLDLQPDFSGAAAG AAAPVVPPSGGATAWGDSLAALSSVPCEAPISDPFAPEPSPPTTTTEPASASASTTTAVT AVTTEVDLFGDAFAASPGEAPAASEGATAPATPAPVAAALDACSGNDPFAPSEGSAEAAP ELDLFAMKPPETSAPVVTPTASTAPPVPATAPSPAPTAVAATAATTTAAAAATTTATTSA AAATTAAAPPALDIFGDLFDSAPEVAAAPKPDAAPSIDLFGTDAFSSPPRGASPVPESSL TADLLSVDAFAAPSPASTASPAKAESSGVIDLFGGFGGSFMAPSTTPVTPAQNNLLQPSF EAAFGTTPSTSSSSSFDPSVFDGLGDLLMPTMAPSGQPAPVSMVPPSPAMAASKGLGSDL DSSLASLVGNLGISGTTSKKGDLQWNAGEKKLTGGANWQPKVTPATWSAGVPPPPSGTGM TMMSQQPVMFAQPMMRPPFGAAAVPGTQLSPSPTPATQSPKKPPAKDPLADLNIKDFL >ENSMUSP00000074095.5 pep:known chromosome:GRCm38:9:86766678:86880414:-1 gene:ENSMUSG00000033419.15 transcript:ENSMUST00000074501.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap91 description:synaptosomal-associated protein 91 [Source:MGI Symbol;Acc:MGI:109132] MSGQTLTDRIAAAQYSVTGSAVARAVCKATTHEVMGPKKKHLDYLIQATNETNVNIPQMA DTLFERATNSSWVVVFKALVTTHHLMVHGNERFIQYLASRNTLFNLSNFLDKSGSHGYDM STFIRRYSRYLNEKAFSYRQMAFDFARVKKGADGVMRTMVPEKLLKSMPILQGQIDALLE FDVHPNELTNGVINAAFMLLFKDLIKLFACYNDGVINLLEKFFEMKKGQCKDALEIYKRF LTRMTRVSEFLKVAEQVGIDKGDIPDLTQAPSSLMETLEQHLNTLEGKKPGNKSGAPSPL SKSSPATTVTSPNSTPAKTIDTSPPVDIFATASAAAPVSSAKPSSDLLDLQPDFSGAAAG AAAPVVPPSGGATAWGGFGGSFMAPSTTPVTPAQNNLLQPSFEAAFGTTPSTSSSSSFDP SVFDGLGDLLMPTMAPSGQPAPVSMVPPSPAMAASKGLGSDLDSSLASLVGNLGISGTTS KKGDLQWNAGEKKLTGGANWQPKVTPATWSAGVPPQGTVPPTSSVPPGAGAPSVGQPGAG FGMPPSGTGMTMMSQQPVMFAQPMMRPPFGAAAVPGTQLQFL >ENSMUSP00000141065.1 pep:known chromosome:GRCm38:9:86835109:86880397:-1 gene:ENSMUSG00000033419.15 transcript:ENSMUST00000191290.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap91 description:synaptosomal-associated protein 91 [Source:MGI Symbol;Acc:MGI:109132] MSGQTLTDRIAAAQYSVTGSAVARAVCKATTHEVMGPKKKHLDYLIQATNETNVNIPQMA DTLFERATNSSWVVVFKALVTTHHLMVHGNERFIQYLASRNTLFNLSNFLDKSGSHGYDM STFIRRYSRYLNEKAFSYRQMAFDFARVKKGADGVMRTMVPEKLLKSMPILQGQIDALLE FDVHPNELTNGVINAAFMLLFKDLIKLFACYNDGVINLL >ENSMUSP00000046189.6 pep:known chromosome:GRCm38:9:86765936:86880449:-1 gene:ENSMUSG00000033419.15 transcript:ENSMUST00000036347.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap91 description:synaptosomal-associated protein 91 [Source:MGI Symbol;Acc:MGI:109132] MSGQTLTDRIAAAQYSVTGSAVARAVCKATTHEVMGPKKKHLDYLIQATNETNVNIPQMA DTLFERATNSSWVVVFKALVTTHHLMVHGNERFIQYLASRNTLFNLSNFLDKSGSHGYDM STFIRRYSRYLNEKAFSYRQMAFDFARVKKGADGVMRTMVPEKLLKSMPILQGQIDALLE FDVHPNELTNGVINAAFMLLFKDLIKLFACYNDGVINLLEKFFEMKKGQCKDALEIYKRF LTRMTRVSEFLKVAEQVGIDKGDIPDLTQAPSSLMETLEQHLNTLEGKKPGNNQASGAPS PLSKSSPATTVTSPNSTPAKTIDTSPPVDIFATASAAAPVSSAKPSSDLLDLQPDFSGAA AGAAAPVVPPSGGATAWGDLLGEDSLAALSSVPCEAPISDPFAPEPSPPTTTTEPASASA STTTAVTAVTTEVDLFGDAFAASPGEAPAASEGATAPATPAPVAAALDACSGNDPFAPSE GSAEAAPELDLFAMKPPETSAPVVTPTASTAPPVPATAPSPAPTAVAATAATTTAAAAAT TTATTSAAAATTAAAPPALDIFGDLFDSAPEVAAAPKPDAAPSIDLFGTDAFSSPPRGAS PVPESSLTADLLSVDAFAAPSPASTASPAKAESSGVIDLFGDAFGSGASETQPAPQAVSS SSASADLLAGFGGSFMAPSTTPVTPAQNNLLQPSFEAAFGTTPSTSSSSSFDPSGDLLMP TMAPSGQPAPVSMVPPSPAMAASKGLGSDLDSSLASLVGNLGISGTTSKKGDLQWNAGEK KLTGGANWQPKVTPATWSAGVPPPPSGTGMTMMSQQPVMFAQPMMRPPFGAAAVPGTQLS PSPTPATQSPKKPPAKDPLADLNIKDFL >ENSMUSP00000074066.6 pep:known chromosome:GRCm38:9:86765936:86880449:-1 gene:ENSMUSG00000033419.15 transcript:ENSMUST00000074468.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap91 description:synaptosomal-associated protein 91 [Source:MGI Symbol;Acc:MGI:109132] MSGQTLTDRIAAAQYSVTGSAVARAVCKATTHEVMGPKKKHLDYLIQATNETNVNIPQMA DTLFERATNSSWVVVFKALVTTHHLMVHGNERFIQYLASRNTLFNLSNFLDKSGSHGYDM STFIRRYSRYLNEKAFSYRQMAFDFARVKKGADGVMRTMVPEKLLKSMPILQGQIDALLE FDVHPNELTNGVINAAFMLLFKDLIKLFACYNDGVINLLEKFFEMKKGQCKDALEIYKRF LTRMTRVSEFLKVAEQVGIDKGDIPDLTQAPSSLMETLEQHLNTLEGKKPGNNQASGAPS PLSKSSPATTVTSPNSTPAKTIDTSPPVDIFATASAAAPVSSAKPSSDLLDLQPDFSGAA AGAAAPVVPPSGGATAWGDLLGEDSLAALSSVPCEAPISDPFAPEPSPPTTTTEPASASA STTTAVTAVTTEVDLFGDAFAASPGEAPAASEGATAPATPAPVAAALDACSGNDPFAPSE GSAEAAPELDLFAMKPPETSAPVVTPTASTAPPVPATAPSPAPTAVAATAATTTAAAAAT TTATTSAAAATTAAAPPALDIFGDLFDSAPEVAAAPKPDAAPSIDLFGTDAFSSPPRGAS PVPESSLTADLLSVDAFAAPSPASTASPAKAESSGVIDLFGDAFGSGASETQPAPQAVSS SSASADLLAGFGGSFMAPSTTPVTPAQNNLLQPSFEAAFGTTPSTSSSSSFDPSVFDGLG DLLMPTMAPSGQPAPVSMVPPSPAMAASKGLGSDLDSSLASLVGNLGISGTTSKKGDLQW NAGEKKLTGGANWQPKVTPATWSAGVPPQGTVPPTSSVPPGAGAPSVGQPGAGFGMPPSG TGMTMMSQQPVMFAQPMMRPPFGAAAVPGTQLSPSPTPATQSPKKPPAKDPLADLNIKDF L >ENSMUSP00000063109.4 pep:known chromosome:GRCm38:14:52034493:52036408:-1 gene:ENSMUSG00000044286.5 transcript:ENSMUST00000052560.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr221 description:olfactory receptor 221 [Source:MGI Symbol;Acc:MGI:3030055] MERTNLSHEMEFELLGLTSDPQLQKLLFVVFLVMYAITVLGNLVMFFLIHVSTTLHTPMY SLLKSLSLLDFCYSSTVVPQTLLNFLVERKVISYFGCMAQMFFFAGFATSECYLIAAMAY DRYVAVCSPLLYPTIVSPNVCASLIGGSYGAGFLNSLIHTSCIFSLNFCGAHVVTHFFCD GPPILSLSCVDTSLCEILLFIFAGFNLLSCTLTILISYLLIFIAILQMRSNQGRFKAFST CSSHLTAVCFFFGTTLFMYLRPKSSYSLTQDRTVAVIYTAVIPMLNPLIYSLRNKDVKEA LRRVWGWKAMG >ENSMUSP00000045755.2 pep:known chromosome:GRCm38:8:94532990:94570529:1 gene:ENSMUSG00000034361.9 transcript:ENSMUST00000048653.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne2 description:copine II [Source:MGI Symbol;Acc:MGI:2387578] MAYIPDGGAPTAGAIPLGSQCCVCKVELSVSGQNLLDRDVTSKSDPFCVLFIEDNGRWME FDRTETAVNNLNPAFSKKFVLDYHFEEVQKLKFALFDQDKSSAQLDEHDFLGQFSCSLGT IVSSKKITRPLLLMNDKPAGKGVITIAAQELSDNRVITLSLAGRKLDKKDLFGKSDPFLE FYKPGDDGKWMLVHRTEVIKYTLDPVWKPFTVPLVSLCDGDLEKPIQVMCYDYDSNGGHD FIGEFQTSVLQMSEARDGVPLEIECINPKKQRKKKSYKNSGIIILRSCKIHRNYSFLDYI LGGCQLMFTVGIDFTASNGNPLDPSSLHYINPMGTNEYLSAIWAVGQIIQDYDSDKMFPA LGFGAQLPPDWKVSHEFAINFNPTNPFCSGVDGIAQAYSACLPHIRFYGPTNFSPIVNHV ARFAAQATQQQTATQYFILLIITDGVISDMEETRHAVVQASKLPMSIIIVGVGNADFAAM EFLDGDNRRLRSHTGEEAARDIVQFVPFREFRNAAKETLAKAVLAELPQQVVQYFKHKNL PPTNSEPA >ENSMUSP00000105163.1 pep:known chromosome:GRCm38:8:94533067:94570390:1 gene:ENSMUSG00000034361.9 transcript:ENSMUST00000109537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpne2 description:copine II [Source:MGI Symbol;Acc:MGI:2387578] MAYIPDGGAPTAGAIPLGSQCCVCKVELSVSGQNLLDRDVTSKSDPFCVLFIEDNGRWME FDRTETAVNNLNPAFSKKFVLDYHFEEVQKLKFALFDQDKSSAQLDEHDFLGQFSCSLGT IVSSKKITRPLLLMNDKPAGKGVITIAAQELSDNRVITLSLAGRKLDKKDLFGKSDPFLE FYKPGDDGKWMLVHRTEVIKYTLDPVWKPFTVPLVSLCDGDLEKPIQVMCYDYDSNGGHD FIGEFQTSVLQMSEARDGVPLEIECINPKKQRKKKSYKNSGIIILRSCKIHRNYSFLDYI LGGCQLMFTVGIDFTASNGNPLDPSSLHYINPMGTNEYLSAIWAVGQIIQDYDSDKMFPA LGFGAQLPPDWKVSHEFAINFNPTNPFCSGVDGIAQAYSACLPHIRFYGPTNFSPIVNHV ARFAAQATQQQTATYFILLIITDGVISDMEETRHAVVQASKLPMSIIIVGVGNADFAAME FLDGDNRRLRSHTGEEAARDIVQFVPFREFRNAAKETLAKAVLAELPQQVVQYFKHKNLP PTNSEPA >ENSMUSP00000101763.1 pep:known chromosome:GRCm38:7:132931142:132986391:1 gene:ENSMUSG00000030967.13 transcript:ENSMUST00000106157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zranb1 description:zinc finger, RAN-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:106441] MSEHGIKWACEYCTYENWPSAIKCTMCRAQRPSGTIITEDPFKSGSSDVGRDWDPSSTEG GSSPLICPDSSARPRVKSSYSMENANKWSCHMCTYLNWPRAIRCTQCLSQRRTRSPTESP QSSGSGSRPVAFSVDPCEEYNDRNKLNTRTQHWTCSVCTYENWAKAKKCVVCDHPRPNNI EAIELAETEEASSIINEQDRARWRGGCSSGNSQRRSPPTTKRDSEVKMDFQRIELAGAVG TKEELEVDFKKLKQIKNRMKKTDWLFLNACVGVVEGDLAAIEAYKSSGGDIARQLTADEV RLLNRPSAFDVGYTLVHLAIRFQRQDMLAILLTEVSQQAAKCIPAMVCPELTEQIRREIA ASLHQRKGDFACYFLTDLVTFTLPADIEDLPPTVQEKLFDEVLDRDVQKELEEESPIINW SLELATRLDSRLYALWNRTAGDCLLDSVLQATWGIYDKDSVLRKALHDSLHDCSHWFYTR WKDWESWYSQSFGLHFSLREEQWQEDWAFILSLASQPGASLEQTHIFVLAHILRRPIIVY GVKYYKSFRGETLGYTRFQGVYLPLLWEQSFCWKSPIALGYTRGHFSALVAMENDGYGNR GAGANLNTDDDVTITFLPLVDSERKLLHVHFLSAQELGNEEQQEKLLREWLDCCVTEGGV LVAMQKSSRRRNHPLVTQMVEKWLDRYRQIRPCTSLSDGEEDEDDEDE >ENSMUSP00000033265.4 pep:known chromosome:GRCm38:7:132949584:132983996:1 gene:ENSMUSG00000030967.13 transcript:ENSMUST00000033265.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zranb1 description:zinc finger, RAN-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:106441] MSEHGIKWACEYCTYENWPSAIKCTMCRAQRPSGTIITEDPFKSGSSDVGRDWDPSSTEG GSSPLICPDSSARPRVKSSYSMENANKWSCHMCTYLNWPRAIRCTQCLSQRRTRSPTESP QSSGSGSRPVAFSVDPCEEYNDRNKLNTRTQHWTCSVCTYENWAKAKKCVVCDHPRPNNI EAIELAETEEASSIINEQDRARWRGGCSSGNSQRRSPPTTKRDSEVKMDFQRIELAGAVG TKEELEVDFKKLKQIKNRMKKTDWLFLNACVGVVEGDLAAIEAYKSSGGDIARQLTADEV RLLNRPSAFDVGYTLVHLAIRFQRQDMLAILLTEVSQQAAKCIPAMVCPELTEQIRREIA ASLHQRKGDFACYFLTDLVTFTLPADIEDLPPTVQEKLFDEVLDRDVQKELEEESPIINW SLELATRLDSRLYALWNRTAGDCLLDSVLQATWGIYDKDSVLRKALHDSLHDCSHWFYTR WKDWESWYSQSFGLHFSLREEQWQEDWAFILSLASQPGASLEQTHIFVLAHILRRPIIVY GVKYYKSFRGETLGYTRFQGVYLPLLWEQSFCWKSPIALGYTRGHFSALVAMENDGYGNR GAGANLNTDDDVTITFLPLVDSERKLLHVHFLSAQELGNEEQQEKLLREWLDCCVTEGGV LVAMQKSSRRRNHPLVTQMVEKWLDRYRQIRPCTSLSDGEEDEDDEDE >ENSMUSP00000040447.7 pep:known chromosome:GRCm38:1:51749765:51916071:-1 gene:ENSMUSG00000018417.14 transcript:ENSMUST00000046390.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1b description:myosin IB [Source:MGI Symbol;Acc:MGI:107752] MAKMEVKSSLLDNMIGVGDMVLLEPLNEETFIDNLKKRFDHNEIYTYIGSVVISVNPYRS LPIYSPEKVEDYRNRNFYELSPHIFALSDEAYRSLRDQDKDQCILITGESGAGKTEASKL VMSYVAAVCGKGAEVNQVKEQLLQSNPVLEAFGNAKTVRNDNSSRFGKYMDIEFDFKGDP LGGVISNYLLEKSRVVKQPRGERNFHVFYQLLSGASEELLYKLKLERDFSRYNYLSLDSA KVNGVDDAANFRTVRNAMQIVGFLDHEAEAVLEVVAAVLKLGNIEFKPESRVNGLDESKI KDKNELKEICELTSIDQVVLERAFSFRTVEAKQEKVSTTLNVAQAYYARDALAKNLYSRL FSWLVNRINESIKAQTKVRKKVMGVLDIYGFEIFEDNSFEQFIINYCNEKLQQIFIELTL KEEQEEYIREDIEWTHIDYFNNAIICDLIENNTNGILAMLDEECLRPGTVTDETFLEKLN QVCATHQHFESRMSKCSRFLNDTTLPHSCFRIQHYAGKVLYQVEGFVDKNNDLLYRDLSQ AMWKAGHSLIKSLFPEGNPAKVNLKRPPTAGSQFKASVATLMRNLQTKNPNYIRCIKPND KKAAHIFNESLVCHQIRYLGLLENVRVRRAGYAFRQAYEPCLERYKMLCKQTWPHWKGPA RSGVEVLFNELEIPVEEHSFGRSKIFIRNPRTLFQLEDLRKQRLEDLATLIQKIYRGWKC RTHFLLMKRSQVVIAAWYRRYAQQKRYQQIKSSALVIQSYIRGWKARKILRELKHQKRCK EAATTIAAYWHGTQARRELKRLKEEARRKHAVAVIWAYWLGLKVRREYRKFFRANAGKKI YEFTLQRIVQKYLLEMKNKMPSLSPIDKNWPSRPYLFLDSTHKELKRIFHLWRCKKYRDQ FTDQQKLIYEEKLEASELFKDKKALYPSSVGQPFQGAYLEINKNPKYKKLKDAIEEKIII AEVVNKINRANGKSTSRIFLLTNNNLLLADQKSGQIKSEVPLVDVTKVSMSSQNDGFFAV HLKEGSEAASKGDFLFSSDHLIEMATKLYRTTLSQTKQKLNIEISDEFLVQFRQDKVCVK FIQGNQKNGSVPTCKRKNNRLLEVAVP >ENSMUSP00000110184.2 pep:known chromosome:GRCm38:1:51749982:51915941:-1 gene:ENSMUSG00000018417.14 transcript:ENSMUST00000114537.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1b description:myosin IB [Source:MGI Symbol;Acc:MGI:107752] MAKMEVKSSLLDNMIGVGDMVLLEPLNEETFIDNLKKRFDHNEIYTYIGSVVISVNPYRS LPIYSPEKVEDYRNRNFYELSPHIFALSDEAYRSLRDQDKDQCILITGESGAGKTEASKL VMSYVAAVCGKGAEVNQVKEQLLQSNPVLEAFGNAKTVRNDNSSRFGKYMDIEFDFKGDP LGGVISNYLLEKSRVVKQPRGERNFHVFYQLLSGASEELLYKLKLERDFSRYNYLSLDSA KVNGVDDAANFRTVRNAMQIVGFLDHEAEAVLEVVAAVLKLGNIEFKPESRVNGLDESKI KDKNELKEICELTSIDQVVLERAFSFRTVEAKQEKVSTTLNVAQAYYARDALAKNLYSRL FSWLVNRINESIKAQTKVRKKVMGVLDIYGFEIFEDNSFEQFIINYCNEKLQQIFIELTL KEEQEEYIREDIEWTHIDYFNNAIICDLIENNTNGILAMLDEECLRPGTVTDETFLEKLN QVCATHQHFESRMSKCSRFLNDTTLPHSCFRIQHYAGKVLYQVEGFVDKNNDLLYRDLSQ AMWKAGHSLIKSLFPEGNPAKVNLKRPPTAGSQFKASVATLMRNLQTKNPNYIRCIKPND KKAAHIFNESLVCHQIRYLGLLENVRVRRAGYAFRQAYEPCLERYKMLCKQTWPHWKGPA RSGVEVLFNELEIPVEEHSFGRSKIFIRNPRTLFQLEDLRKQRLEDLATLIQKIYRGWKC RTHFLLMKRSQVVIAAWYRRYAQQKRYQQIKSSALVIQSYIRGWKARKILRELKHQKRCK EAATTIAAYWHGTQVRREYRKFFRANAGKKIYEFTLQRIVQKYLLEMKNKMPSLSPIDKN WPSRPYLFLDSTHKELKRIFHLWRCKKYRDQFTDQQKLIYEEKLEASELFKDKKALYPSS VGQPFQGAYLEINKNPKYKKLKDAIEEKIIIAEVVNKINRANGKSTSRIFLLTNNNLLLA DQKSGQIKSEVPLVDVTKVSMSSQNDGFFAVHLKEGSEAASKGDFLFSSDHLIEMATKLY RTTLSQTKQKLNIEISDEFLVQFRQDKVCVKFIQGNQKNGSVPTCKRKNNRLLEVAVP >ENSMUSP00000110188.1 pep:known chromosome:GRCm38:1:51749989:51907316:-1 gene:ENSMUSG00000018417.14 transcript:ENSMUST00000114541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1b description:myosin IB [Source:MGI Symbol;Acc:MGI:107752] MPPLETMAKMEVKSSLLDNMIGVGDMVLLEPLNEETFIDNLKKRFDHNEIYTYIGSVVIS VNPYRSLPIYSPEKVEDYRNRNFYELSPHIFALSDEAYRSLRDQDKDQCILITGESGAGK TEASKLVMSYVAAVCGKGAEVNQVKEQLLQSNPVLEAFGNAKTVRNDNSSRFGKYMDIEF DFKGDPLGGVISNYLLEKSRVVKQPRGERNFHVFYQLLSGASEELLYKLKLERDFSRYNY LSLDSAKVNGVDDAANFRTVRNAMQIVGFLDHEAEAVLEVVAAVLKLGNIEFKPESRVNG LDESKIKDKNELKEICELTSIDQVVLERAFSFRTVEAKQEKVSTTLNVAQAYYARDALAK NLYSRLFSWLVNRINESIKAQTKVRKKVMGVLDIYGFEIFEDNSFEQFIINYCNEKLQQI FIELTLKEEQEEYIREDIEWTHIDYFNNAIICDLIENNTNGILAMLDEECLRPGTVTDET FLEKLNQVCATHQHFESRMSKCSRFLNDTTLPHSCFRIQHYAGKVLYQVEGFVDKNNDLL YRDLSQAMWKAGHSLIKSLFPEGNPAKVNLKRPPTAGSQFKASVATLMRNLQTKNPNYIR CIKPNDKKAAHIFNESLVCHQIRYLGLLENVRVRRAGYAFRQAYEPCLERYKMLCKQTWP HWKGPARSGVEVLFNELEIPVEEHSFGRSKIFIRNPRTLFQLEDLRKQRLEDLATLIQKI YRGWKCRTHFLLMKRSQVVIAAWYRRYAQQKRYQQIKSSALVIQSYIRGWKARKILRELK HQKRCKEAATTIAAYWHGTQVRREYRKFFRANAGKKIYEFTLQRIVQKYLLEMKNKMPSL SPIDKNWPSRPYLFLDSTHKELKRIFHLWRCKKYRDQFTDQQKLIYEEKLEASELFKDKK ALYPSSVGQPFQGAYLEINKNPKYKKLKDAIEEKIIIAEVVNKINRANGKSTSRIFLLTN NNLLLADQKSGQIKSEVPLVDVTKVSMSSQNDGFFAVHLKEGSEAASKGDFLFSSDHLIE MATKLYRTTLSQTKQKLNIEISDEFLVQFRQDKVCVKFIQGNQKNGSVPTCKRKNNRLLE VAVP >ENSMUSP00000018561.7 pep:known chromosome:GRCm38:1:51749994:51915928:-1 gene:ENSMUSG00000018417.14 transcript:ENSMUST00000018561.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1b description:myosin IB [Source:MGI Symbol;Acc:MGI:107752] MAKMEVKSSLLDNMIGVGDMVLLEPLNEETFIDNLKKRFDHNEIYTYIGSVVISVNPYRS LPIYSPEKVEDYRNRNFYELSPHIFALSDEAYRSLRDQDKDQCILITGESGAGKTEASKL VMSYVAAVCGKGAEVNQVKEQLLQSNPVLEAFGNAKTVRNDNSSRFGKYMDIEFDFKGDP LGGVISNYLLEKSRVVKQPRGERNFHVFYQLLSGASEELLYKLKLERDFSRYNYLSLDSA KVNGVDDAANFRTVRNAMQIVGFLDHEAEAVLEVVAAVLKLGNIEFKPESRVNGLDESKI KDKNELKEICELTSIDQVVLERAFSFRTVEAKQEKVSTTLNVAQAYYARDALAKNLYSRL FSWLVNRINESIKAQTKVRKKVMGVLDIYGFEIFEDNSFEQFIINYCNEKLQQIFIELTL KEEQEEYIREDIEWTHIDYFNNAIICDLIENNTNGILAMLDEECLRPGTVTDETFLEKLN QVCATHQHFESRMSKCSRFLNDTTLPHSCFRIQHYAGKVLYQVEGFVDKNNDLLYRDLSQ AMWKAGHSLIKSLFPEGNPAKVNLKRPPTAGSQFKASVATLMRNLQTKNPNYIRCIKPND KKAAHIFNESLVCHQIRYLGLLENVRVRRAGYAFRQAYEPCLERYKMLCKQTWPHWKGPA RSGVEVLFNELEIPVEEHSFGRSKIFIRNPRTLFQLEDLRKQRLEDLATLIQKIYRGWKC RTHFLLMKRSQVVIAAWYRRYAQQKRYQQIKSSALVIQSYIRGWKARKILRELKHQKRCK EAATTIAAYWHGTQARRELRRLKDEARNKHAIAVIWAYWLGSKARRELKRLKEEARRKHA VAVIWAYWLGLKVRREYRKFFRANAGKKIYEFTLQRIVQKYLLEMKNKMPSLSPIDKNWP SRPYLFLDSTHKELKRIFHLWRCKKYRDQFTDQQKLIYEEKLEASELFKDKKALYPSSVG QPFQGAYLEINKNPKYKKLKDAIEEKIIIAEVVNKINRANGKSTSRIFLLTNNNLLLADQ KSGQIKSEVPLVDVTKVSMSSQNDGFFAVHLKEGSEAASKGDFLFSSDHLIEMATKLYRT TLSQTKQKLNIEISDEFLVQFRQDKVCVKFIQGNQKNGSVPTCKRKNNRLLEVAVP >ENSMUSP00000114603.1 pep:known chromosome:GRCm38:1:51797403:51896301:-1 gene:ENSMUSG00000018417.14 transcript:ENSMUST00000144694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1b description:myosin IB [Source:MGI Symbol;Acc:MGI:107752] MAKMEVKSSLLDNMIGVGDMVLLEPLNEETFIDNLKKRFDHNEIYTYIGSVVISVNPYRS LPIYSPEKVEDYRNRNFYELSPHIFALSDEAYRSLRDQDKDQCILITGESGAGKTEASKL VMSYVAAVCGKGAEVNQVKEQLLQSNPVLEAFGNAKTVRNDNSSRFGKYMDIEFDFKGDP LGGVISNYLLEKSRVVKQPRGERNFHVFYQLLSGASEELLYKLKLERDFSRYNYLSLDSA KVNGVDDAANFRTVRNAMQIVGFLDHEAEAVLEVVAAVLKLGNIEFKPESRVNGLDESK >ENSMUSP00000102999.1 pep:known chromosome:GRCm38:11:100619244:100626799:1 gene:ENSMUSG00000017837.12 transcript:ENSMUST00000107376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkiras2 description:NFKB inhibitor interacting Ras-like protein 2 [Source:MGI Symbol;Acc:MGI:1919216] MGKSCKVVVCGQASVGKTSILEQLLYGNHVVGSEMIETQEDIYVGSIETDRGVREQVRFY DTRGLRDGAELPKHCFSCTDGYVLVYSTDSRESFQRVELLKKEIDKSKDKKEVTIVVLGN KCDLQEQRRVDPDVAQHWAKSEKVKLWEVSVADRRSLLEPFIYLASKMTQPQSKSAFPLS RKNKGSGSLDG >ENSMUSP00000059559.3 pep:known chromosome:GRCm38:11:100620284:100626416:1 gene:ENSMUSG00000017837.12 transcript:ENSMUST00000051947.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkiras2 description:NFKB inhibitor interacting Ras-like protein 2 [Source:MGI Symbol;Acc:MGI:1919216] MGKSCKVVVCGQASVGKTSILEQLLYGNHVVGSEMIETQEDIYVGSIETDRGVREQVRFY DTRGLRDGAELPKHCFSCTDGYVLVYSTDSRESFQRVELLKKEIDKSKDKKEVTIVVLGN KCDLQEQRRVDPDVAQHWAKSEKVKLWEVSVADRRSLLEPFIYLASKMTQPQSKSAFPLS RKNKGSGSLDG >ENSMUSP00000017981.3 pep:known chromosome:GRCm38:11:100622876:100627607:1 gene:ENSMUSG00000017837.12 transcript:ENSMUST00000017981.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkiras2 description:NFKB inhibitor interacting Ras-like protein 2 [Source:MGI Symbol;Acc:MGI:1919216] MGKSCKVVVCGQASVGKTSILEQLLYGNHVVGSEMIETQEDIYVGSIETDRGVREQVRFY DTRGLRDGAELPKHCFSCTDGYVLVYSTDSRESFQRVELLKKEIDKSKDKKEVTIVVLGN KCDLQEQRRVDPDVAQHWAKSEKVKLWEVSVADRRSLLEPFIYLASKMTQPQSKSAFPLS RKNKGSGSLDG >ENSMUSP00000114456.1 pep:known chromosome:GRCm38:11:100623011:100626117:1 gene:ENSMUSG00000017837.12 transcript:ENSMUST00000142993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkiras2 description:NFKB inhibitor interacting Ras-like protein 2 [Source:MGI Symbol;Acc:MGI:1919216] MGKSCKVVVCGQASVGKTSILEQLLYGNHVVGSEMIETQEDIYVGSIETDRGVREQVRFY DTRGLRDGAELPKHCFSCTDGYVLVYSTDSRESFQRVELLKKEIDKSKDKKEVTIVVLGN KCDLQEQRRVDPDVAQHWAKSEKVKLWEVSVAD >ENSMUSP00000095796.1 pep:known chromosome:GRCm38:7:103716596:103717546:1 gene:ENSMUSG00000094822.1 transcript:ENSMUST00000098194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr243 description:olfactory receptor 243 [Source:MGI Symbol;Acc:MGI:3030077] MPHLNSTIFRPSVLTLTGIPGLESVQFWIGIPFCIMYIIALLGNSLLLVVIKVERSLHEP MYLFLAMLGATDIAISTCILPKMLGIFWFHLPTIYFDVCLLQMWLIHTFQCIESGILFAM AMDRYVAICDPLRHASIFTQRLLTQIGVGVTLRAALFVAPCLILIKCRLKFYWTTVVSHS YCEHMAIVKLAAEDVHVNKIYGLFVAFSILGLDIIFITLSYIRIFITVFKLPQKEARLKA FNTCIAHICVFLEFYLLAFFSFFTHRFGYHVPSYIHILLSNLYLLVPPLLNPIVYGVKTK QIRDQVSKILYCNYSY >ENSMUSP00000145554.1 pep:known chromosome:GRCm38:7:126466994:126475090:-1 gene:ENSMUSG00000030733.10 transcript:ENSMUST00000205440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b1 description:SH2B adaptor protein 1 [Source:MGI Symbol;Acc:MGI:1201407] MNGAPSPEDGVFPSPPALPPPPPPSWQEFCESHARAAALDLARRFRLYLASHPQYAEPGA EAAFSGRFAELFLQHFEAEVARASGSLSPPVLAPLSPGVEIPPSHDLSLESCRVGGPLAV LGPSRSSEDLAGPLPSSVPSSTTSSKPKLKKRFSLRSVGRSVRGSVRGILQWRGAVDSPS QAGPLETTSGPPVLGGNSNSNSSGGAGTVGRALANDGTSPGERWTHRFERLRLSRGGGTL KDGAGMIQREELLSFMGAEEAAPDPAGVGRGGGAAGLTSGGGGQPQWQKCRLLLRSEGEG GGGSRLEFFVPPKASRPRLSIPCSTITDVRTATALEMPDRENTFVVKVEGPSEYILETSD ALHVKAWVSDIQECLSPGPCPAISPRPMTLPLAPGTSFFTKDNTDSLELPCLNHSESLPS QDLLLGPSESNDRLSQGAYGGLSDRPSASFSPSSASIAASHFDSMELLPPELPPRIPIEE GPPAGTVHPLSTPYPPLDTPEAATGSFLFQGESEGGEGDQPLSGYPWFHGMLSRLKAAQL VLEGGTGSHGVFLVRQSETRRGEYVLTFNFQGKAKHLRLSLNEEGQCRVQHLWFQSIFDM LEHFRVHPIPLESGGSSDVVLVSYVPSQRQQGREQAGSHAGVCEGDRCYPDASSTLLPFG ASDCVTEHLP >ENSMUSP00000032978.6 pep:known chromosome:GRCm38:7:126466996:126475103:-1 gene:ENSMUSG00000030733.10 transcript:ENSMUST00000032978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b1 description:SH2B adaptor protein 1 [Source:MGI Symbol;Acc:MGI:1201407] MNGAPSPEDGVFPSPPALPPPPPPSWQEFCESHARAAALDLARRFRLYLASHPQYAEPGA EAAFSGRFAELFLQHFEAEVARASGSLSPPVLAPLSPGVEIPPSHDLSLESCRVGGPLAV LGPSRSSEDLAGPLPSSVPSSTTSSKPKLKKRFSLRSVGRSVRGSVRGILQWRGAVDSPS QAGPLETTSGPPVLGGNSNSNSSGGAGTVGRALANDGTSPGERWTHRFERLRLSRGGGTL KDGAGMIQREELLSFMGAEEAAPDPAGVGRGGGAAGLTSGGGGQPQWQKCRLLLRSEGEG GGGSRLEFFVPPKASRPRLSIPCSTITDVRTATALEMPDRENTFVVKVEGPSEYILETSD ALHVKAWVSDIQECLSPGPCPAISPRPMTLPLAPGTSFFTKDNTDSLELPCLNHSESLPS QDLLLGPSESNDRLSQGAYGGLSDRPSASFSPSSASIAASHFDSMELLPPELPPRIPIEE GPPAGTVHPLSTPYPPLDTPEAATGSFLFQGESEGGEGDQPLSGYPWFHGMLSRLKAAQL VLEGGTGSHGVFLVRQSETRRGEYVLTFNFQGKAKHLRLSLNEEGQCRVQHLWFQSIFDM LEHFRVHPIPLESGGSSDVVLVSYVPSQRQQGEQSRSAGEEVPVHPRSEAGSRLGAMQGC ARATDATPMPPPPSCPSERVTV >ENSMUSP00000145953.1 pep:known chromosome:GRCm38:7:126466997:126475130:-1 gene:ENSMUSG00000030733.10 transcript:ENSMUST00000205340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b1 description:SH2B adaptor protein 1 [Source:MGI Symbol;Acc:MGI:1201407] MNGAPSPEDGVFPSPPALPPPPPPSWQEFCESHARAAALDLARRFRLYLASHPQYAEPGA EAAFSGRFAELFLQHFEAEVARASGSLSPPVLAPLSPGVEIPPSHDLSLESCRVGGPLAV LGPSRSSEDLAGPLPSSVPSSTTSSKPKLKKRFSLRSVGRSVRGSVRGILQWRGAVDSPS QAGPLETTSGPPVLGGNSNSNSSGGAGTVGRALANDGTSPGERWTHRFERLRLSRGGGTL KDGAGMIQREELLSFMGAEEAAPDPAGVGRGGGAAGLTSGGGGQPQWQKCRLLLRSEGEG GGGSRLEFFVPPKASRPRLSIPCSTITDVRTATALEMPDRENTFVVKVEGPSEYILETSD ALHVKAWVSDIQECLSPGPCPAISPRPMTLPLAPGTSFFTKDNTDSLELPCLNHSESLPS QDLLLGPSESNDRLSQGAYGGLSDRPSASFSPSSASIAASHFDSMELLPPELPPRIPIEE GPPAGTVHPLSTPYPPLDTPEAATGSFLFQGESEGGEGDQPLSGYPWFHGMLSRLKAAQL VLEGGTGSHGVFLVRQSETRRGEYVLTFNFQGKAKHLRLSLNEEGQCRVQHLWFQSIFDM LEHFRVHPIPLESGGSSDVVLVSYVPSQRQQGREQAGSHAGVCEGDRCYPDASSTLLPFG ASDCVTEHLP >ENSMUSP00000146121.1 pep:known chromosome:GRCm38:7:126466999:126473068:-1 gene:ENSMUSG00000030733.10 transcript:ENSMUST00000206664.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh2b1 description:SH2B adaptor protein 1 [Source:MGI Symbol;Acc:MGI:1201407] MNGAPSPEDGVFPSPPALPPPPPPSWQEFCESHARAAALDLARRFRLYLASHPQYAEPGA EAAFSGRFAELFLQHFEAEVARASGSLSPPVLAPLSPGVEIPPSHDLSLESCRVGGPLAV LGPSRSSEDLAGPLPSSVPSSTTSSKPKLKKRFSLRSVGRSVRGSVRGILQWRGAVDSPS QAGPLETTSGPPVLGGNSNSNSSGGAGTVGRALANDGTSPGERWTHRFERLRLSRGGGTL KDGAGMIQREELLSFMGAEEAAPDPAGVGRGGGAAGLTSGGGGQPQWQKCRLLLRSEGEG GGGSRLEFFVPPKASRPRLSIPCSTITDVRTATALEMPDRENTFVVKVEGPSEYILETSD ALHVKAWVSDIQECLSPGPCPAISPRPMTLPLAPGTSFFTKDNTDSLELPCLNHSESLPS QDLLLGPSESNDRLSQAVDSEKT >ENSMUSP00000145754.1 pep:known chromosome:GRCm38:7:126466999:126474822:-1 gene:ENSMUSG00000030733.10 transcript:ENSMUST00000205733.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b1 description:SH2B adaptor protein 1 [Source:MGI Symbol;Acc:MGI:1201407] MNGAPSPEDGVFPSPPALPPPPPPSWQEFCESHARAAALDLARRFRLYLASHPQYAEPGA EAAFSGRFAELFLQHFEAEVARASGSLSPPVLAPLSPGVEIPPSHDLSLESCRVGGPLAV LGPSRSSEDLAGPLPSSVPSSTTSSKPKLKKRFSLRSVGRSVRGSVRGILQWRGAVDSPS QAGPLETTSGPPVLGGNSNSNSSGGAGTVGRALANDGTSPGERWTHRFERLRLSRGGGTL KDGAGMIQREELLSFMGAEEAAPDPAGVGRGGGAAGLTSGGGGQPQWQKCRLLLRSEGEG GGGSRLEFFVPPKASRPRLSIPCSTITDVRTATALEMPDRENTFVVKVEGPSEYILETSD ALHVKAWVSDIQECLSPGPCPAISPRPMTLPLAPGTSFFTKDNTDSLELPCLNHSESLPS QDLLLGPSESNDRLSQGAYGGLSDRPSASFSPSSASIAASHFDSMELLPPELPPRIPIEE GPPAGTVHPLSTPYPPLDTPEAATGSFLFQGESEGGEGDQPLSGYPWFHGMLSRLKAAQL VLEGGTGSHGVFLVRQSETRRGEYVLTFNFQGKAKHLRLSLNEEGQCRVQHLWFQSIFDM LEHFRVHPIPLESGGSSDVVLVSYVPSQRQQERSTSRDPAQPSEPPPWTDPPHPGAEEAS GAPEVAAATAAAAKERQEKEKAGSGGVQEELVPVAELVPMVELEEAIAPGTEAQGGAGSS GDLEVSLMVQLQQLPLGGNGEEGGHPRAINNQYSFV >ENSMUSP00000146282.1 pep:known chromosome:GRCm38:7:126466999:126474824:-1 gene:ENSMUSG00000030733.10 transcript:ENSMUST00000205889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b1 description:SH2B adaptor protein 1 [Source:MGI Symbol;Acc:MGI:1201407] MNGAPSPEDGVFPSPPALPPPPPPSWQEFCESHARAAALDLARRFRLYLASHPQYAEPGA EAAFSGRFAELFLQHFEAEVARASGSLSPPVLAPLSPGVEIPPSHDLSLESCRVGGPLAV LGPSRSSEDLAGPLPSSVPSSTTSSKPKLKKRFSLRSVGRSVRGSVRGILQWRGAVDSPS QAGPLETTSGPPVLGGNSNSNSSGGAGTVGRALANDGTSPGERWTHRFERLRLSRGGGTL KDGAGMIQREELLSFMGAEEAAPDPAGVGRGGGAAGLTSGGGGQPQWQKCRLLLRSEGEG GGGSRLEFFVPPKASRPRLSIPCSTITDVRTATALEMPDRENTFVVKVEGPSEYILETSD ALHVKAWVSDIQECLSPGPCPAISPRPMTLPLAPGTSFFTKDNTDSLELPCLNHSESLPS QDLLLGPSESNDRLSQGAYGGLSDRPSASFSPSSASIAASHFDSMELLPPELPPRIPIEE GPPAGTVHPLSTPYPPLDTPEAATGSFLFQGESEGGEGDQPLSGYPWFHGMLSRLKAAQL VLEGGTGSHGVFLVRQSETRRGEYVLTFNFQGKAKHLRLSLNEEGQCRVQHLWFQSIFDM LEHFRVHPIPLESGGSSDVVLVSYVPSQRQQGEQSRSAGEEVPVHPRSENGAPPVTQPSP LNPLHGQIPHILGQKRRRGRQKLRQPQPQQPKRGKRKRKRAVEGSRKSWSPWLSWSPWLN WKRP >ENSMUSP00000145842.1 pep:known chromosome:GRCm38:7:126467005:126475050:-1 gene:ENSMUSG00000030733.10 transcript:ENSMUST00000205497.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b1 description:SH2B adaptor protein 1 [Source:MGI Symbol;Acc:MGI:1201407] MNGAPSPEDGVFPSPPALPPPPPPSWQEFCESHARAAALDLARRFRLYLASHPQYAEPGA EAAFSGRFAELFLQHFEAEVARASGSLSPPVLAPLSPGVEIPPSHDLSLESCRVGGPLAV LGPSRSSEDLAGPLPSSVPSSTTSSKPKLKKRFSLRSVGRSVRGSVRGILQWRGAVDSPS QAGPLETTSGPPVLGGNSNSNSSGGAGTVGRALANDGTSPGERWTHRFERLRLSRGGGTL KDGAGMIQREELLSFMGAEEAAPDPAGVGRGGGAAGLTSGGGGQPQWQKCRLLLRSEGEG GGGSRLEFFVPPKASRPRLSIPCSTITDVRTATALEMPDRENTFVVKVEGPSEYILETSD ALHVKAWVSDIQECLSPGPCPAISPRPMTLPLAPGTSFFTKDNTDSLELPCLNHSESLPS QDLLLGPSESNDRLSQGAYGGLSDRPSASFSPSSASIAASHFDSMELLPPELPPRIPIEE GPPAGTVHPLSTPYPPLDTPEAATGSFLFQGESEGGEGDQPLSGYPWFHGMLSRLKAAQL VLEGGTGSHGVFLVRQSETRRGEYVLTFNFQGKAKHLRLSLNEEGQCRVQHLWFQSIFDM LEHFRVHPIPLESGGSSDVVLVSYVPSQRQQGEQSRSAGEEVPVHPRSENGAPPVTQPSP LNPLHGQIPHILGQKRRRGRQKLRQPQPQQPKRGKRKRKRAVEGSRKSWSPWLSWSPWLN WKRP >ENSMUSP00000146229.1 pep:known chromosome:GRCm38:7:126472792:126475424:-1 gene:ENSMUSG00000030733.10 transcript:ENSMUST00000206643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b1 description:SH2B adaptor protein 1 [Source:MGI Symbol;Acc:MGI:1201407] MNGAPSPEDGVFPSPPALPPPPPPSWQEFCESHARAAALDLARRFRLYLASHPQYAEPGA EAAFSGRFAELFL >ENSMUSP00000113283.1 pep:known chromosome:GRCm38:1:53806876:54194048:-1 gene:ENSMUSG00000042807.15 transcript:ENSMUST00000120904.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hecw2 description:HECT, C2 and WW domain containing E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:2685817] MASSAREHLLFVRRRNPQMRYTLSPENLQSLAAQNSMPENMALQRANSDTDLVTSESRSS LTASMYEYTLGQAQNLIIFWDIKEEVDPSDWIGLYHIDENSPANFWDSKNRGVTGTQKGQ IVWRIEPGPYFMEPEIKICFKYYHGISGALRATTPCITVKNPAVMMGAEGMEGGASGSLH SRKLVSFTLSDLRAVGLKKGMFFNPDPYLKMSIQPGKKSSFPTCAHHGQERRSTIISNTT NPIWHREKYSFFALLTDVLEIEIKDKFAKSRPIIKRFLGKLTIPVQRLLERQAGDQMLSY NLGRRLPADHVSGYLQFKVEVTSSAHEDASPEAVGTILGVHTVNGDLGSPSDEEDMPGSH HDSTICANGPVSEDSVADGTPKHSFRTSSTLEIDTEDLISTSSRNSPPRGRQDSLNDYLD AIEHNGPARPGAASSSERSMGASPKLRSSFPTDTRLNAMLHIDSDEEDHEFQQDLGYPSS LEEEGGLIMCSRASRIDDGSLTSQTKPEDDNPVENEDASIHETASLEERLENLPEVADGS LPSSTAPDENEANLEPQPSADQGSTELCSSQEVDQPTSGADAGASDTSGGSRRAASETES LDQGSEPSQVSSETEPSDPARTESVSEASTRPEGESDPEGADSSCNESVTTQLSSVETRC SSLESARFPETPAFSSQEEEDGACAAEPTSSGPAEGSQESVCTPSSLPAVQVPSREEEGS AAEAAALSEQGELGEVWQRRGSLEGAAAAAPAAAATDSQPQEDGDAGDAQGACEGATAQE EGATGGSQTNGHQPLRSLPSVRQDVSRYQRVDEALPPNWEARIDSHGRIFYVDHVNRTTT WQRPTAPPAPQVLQRSNSIQQMEQLNRRYQSIRRTMTNERPEENTSAIDGAGEEADFHQA SADFRRENVLPHSTSRSRLTLLLQSPPVKFLISPEFFTVLHSNPSAYRMFTNNTCLKHMI TKVRRDTHHFERYQHNRDLVGFLNMFANKQLELPRGWEMKHDHQGKAFFVDHNSRTTTFI DPRLPLQSSRPTSALVHRQHLTRQRSHSAGEVGEDSRHAGPPVLPRPSSTFNTVSRPQYQ DMVPVAYNDKIVAFLRQPNILEILQERQPDLARNHSLREKIQFIRTEGTPGLVRLSSDAD LVMLLSLFEEEIMSYVPPHALLHPSYCQSPRGSPVSSPQNSPGTQRANARAPAPYKRDFE AKLRNFYRKLETKGYGQGPGKLKLIIRRDHLLEDAFNQIMGYSRKDLQRNKLYVTFVGEE GLDYSGPSREFFFLVSRELFNPYYGLFEYSANDTYTVQISPMSAFVDNHHEWFRFSGRIL GLALIHQYLLDAFFTRPFYKALLRILCDLSDLEYLDEEFHQSLQWMKDNDIHDILDLTFT VNEEVFGQITERELKPGGANIPVTEKNKKEYIERMVKWRIERGVVQQTESLVRGFYEVVD ARLVSVFDARELELVIAGTAEIDLNDWRNNTEYRGGYHDNHIVIRWFWAAVERFNNEQRL RLLQFVTGTSSIPYEGFASLRGSNGPRRFCVEKWGKITALPRAHTCFNRLDLPPYPSFSM LYEKLLTAVEETSTFGLE >ENSMUSP00000095348.2 pep:known chromosome:GRCm38:1:53930813:54195034:-1 gene:ENSMUSG00000042807.15 transcript:ENSMUST00000097741.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hecw2 description:HECT, C2 and WW domain containing E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:2685817] MASSAREHLLFVRRRNPQMRYTLSPENLQSLAAQNSMPENMALQRANSDTDLVTSESRSS LTASMYEYTLGQAQNLIIFWDIKEEVDPSDWIGLYHIDENSPANFWDSKNRGVTGTQKGQ IVWRIEPGPYFMEPEIKICFKYYHGISGALRATTPCITVKNPAVMMGAEGMEGGASGSLH SRKLVSFTLSDLRAVGLKKGMFFNPDPYLKMSIQPGKKSSFPTCAHHGQERRSTIISNTT NPIWHREKYSFFALLTDVLEIEIKDKFAKSRPIIKRFLGKLTIPVQRLLERQAG >ENSMUSP00000084942.4 pep:known chromosome:GRCm38:1:53806882:54195034:-1 gene:ENSMUSG00000042807.15 transcript:ENSMUST00000087659.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hecw2 description:HECT, C2 and WW domain containing E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:2685817] MASSAREHLLFVRRRNPQMRYTLSPENLQSLAAQNSMPENMALQRANSDTDLVTSESRSS LTASMYEYTLGQAQNLIIFWDIKEEVDPSDWIGLYHIDENSPANFWDSKNRGVTGTQKGQ IVWRIEPGPYFMEPEIKICFKYYHGISGALRATTPCITVKNPAVMMGAEGMEGGASGSLH SRKLVSFTLSDLRAVGLKKGMFFNPDPYLKMSIQPGKKSSFPTCAHHGQERRSTIISNTT NPIWHREKYSFFALLTDVLEIEIKDKFAKSRPIIKRFLGKLTIPVQRLLERQAGDQMLSY NLGRRLPADHVSGYLQFKVEVTSSAHEDASPEAVGTILGVHTVNGDLGSPSDEEDMPGSH HDSTICANGPVSEDSVADGTPKHSFRTSSTLEIDTEDLISTSSRNSPPRGRQDSLNDYLD AIEHNGPARPGAASSSERSMGASPKLRSSFPTDTRLNAMLHIDSDEEDHEFQQDLGYPSS LEEEGGLIMCSRASRIDDGSLTSQTKPEDDNPVENEDASIHETASLEERLENLPEVADGS LPSSTAPDENEANLEPQPSADQGSTELCSSQEVDQPTSGADAGASDTSGGSRRAASETES LDQGSEPSQVSSETEPSDPARTESVSEASTRPEGESDPEGADSSCNESVTTQLSSVETRC SSLESARFPETPAFSSQEEEDGACAAEPTSSGPAEGSQESVCTPSSLPAVQVPSREEEGS AAEAAALSEQGELGEVWQRRGSLEGAAAAAPAAAATDSQPQEDGDAGDAQGACEGATAQE EGATGGSQTNGHQPLRSLPSVRQDVSRYQRVDEALPPNWEARIDSHGRIFYVDHVNRTTT WQRPTAPPAPQVLQRSNSIQQMEQLNRRYQSIRRTMTNERPEENTSAIDGAGEEADFHQA SADFRRENVLPHSTSRSRLTLLLQSPPVKFLISPEFFTVLHSNPSAYRMFTNNTCLKHMI TKVRRDTHHFERYQHNRDLVGFLNMFANKQLELPRGWEMKHDHQGKAFFVDHNSRTTTFI DPRLPLQSSRPTSALVHRQHLTRQRSHSAGEVGEDSRHAGPPVLPRPSSTFNTVSRPQYQ DMVPVAYNDKIVAFLRQPNILEILQERQPDLARNHSLREKIQFIRTEGTPGLVRLSSDAD LVMLLSLFEEEIMSYVPPHALLHPSYCQSPRGSPVSSPQNSPGTQRANARAPAPYKRDFE AKLRNFYRKLETKGYGQGPGKLKLIIRRDHLLEDAFNQIMGYSRKDLQRNKLYVTFVGEE GLDYSGPSREFFFLVSRELFNPYYGLFEYSANDTYTVQISPMSAFVDNHHEWFRFSGRIL GLALIHQYLLDAFFTRPFYKALLRILCDLSDLEYLDEEFHQSLQWMKDNDIHDILDLTFT VNEEVFGQITERELKPGGANIPVTEKNKKEYIERMVKWRIERGVVQQTESLVRGFYEVVD ARLVSVFDARELELVIAGTAEIDLNDWRNNTEYRGGYHDNHIVIRWFWAAVERFNNEQRL RLLQFVTGTSSIPYEGFASLRGSNGPRRFCVEKWGKITALPRAHTCFNRLDLPPYPSFSM LYEKLLTAVEETSTFGLE >ENSMUSP00000050156.4 pep:known chromosome:GRCm38:X:134537256:134541865:-1 gene:ENSMUSG00000048007.4 transcript:ENSMUST00000054213.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm8a1 description:translocase of inner mitochondrial membrane 8A1 [Source:MGI Symbol;Acc:MGI:1353433] MESSTSSSGSALGAVDPQLQHFIEVETQKQRFQQLVHQMTELCWEKCMDKPGPKLDSRAE ACFVNCVERFIDTSQFILNRLEQTQKSKPVFSESLSD >ENSMUSP00000048239.4 pep:known chromosome:GRCm38:11:106311341:106314762:-1 gene:ENSMUSG00000040592.11 transcript:ENSMUST00000044228.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd79b description:CD79B antigen [Source:MGI Symbol;Acc:MGI:96431] MKLPSHRVPGGMVPPLGLNLHGRKGPNGEEAERRQAAAQADIQLHVSPPNLLGLRDRAVT MATLVLSSMPCHWLLFLLLLFSGEPVPAMTSSDLPLNFQGSPCSQIWQHPRFAAKKRSSM VKFHCYTNHSGALTWFRKRGSQQPQELVSEEGRIVQTQNGSVYTLTIQNIQYEDNGIYFC KQKCDSANHNVTDSCGTELLVLGFSTLDQLKRRNTLKDGIILIQTLLIILFIIVPIFLLL DKDDGKAGMEEDHTYEGLNIDQTATYEDIVTLRTGEVKWSVGEHPGQE >ENSMUSP00000129029.1 pep:known chromosome:GRCm38:11:106311344:106314529:-1 gene:ENSMUSG00000040592.11 transcript:ENSMUST00000167143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd79b description:CD79B antigen [Source:MGI Symbol;Acc:MGI:96431] MATLVLSSMPCHWLLFLLLLFSGEPVPAMTSSDLPLNFQGSPCSQIWQHPRFAAKKRSSM VKFHCYTNHSGALTWFRKRGSQQPQELVSEEGRIVQTQNGSVYTLTIQNIQYEDNGIYFC KQKCDSANHNVTDSCGTELLVLGFSTLDQLKRRNTLKDGIILIQTLLIILFIIVPIFLLL DKDDGKAGMEEDHTYEGLNIDQTATYEDIVTLRTGEVKWSVGEHPGQE >ENSMUSP00000099884.2 pep:known chromosome:GRCm38:4:84275095:84675034:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000102820.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MARFVPSPPPNCLSYKSEGRLGEQDWQAHFKVPCCGVDPSQLESEEAEVDVRERDTQRDR EPKRARDLTLRDSCTDNSMQFGTRTTAAEPGFMGTWQNADTNLLFRMSQQVPLACAGRVL GADFCPNLEEPDQRLEVQAIRCTLVNCTCECFQPGKINLRTCDQCKHGWVAHALDKLSTQ HLYHPTQVEIVQSNVVFDISSLMLYGTQAVPVRLKILLDRLFSVLKQEEVLHILHGLGWT LRDYVRGYILQDAAGKVLDRWAIMSREEEIITLQQFLRFGETKSIVELMAIQEKEGQAVA VPSSKTDSDIRTFIESNNRTRSPSLLAHLENSNPSSIHHFENIPNSLAFLLPFQYINPVS APLLGLPPNGLLLEQPGLRLREPSISTQNEYNESSESEVSPTPYKSDQTPNRNALTSITN VEPKTEPACVSPIQNSAPVSDLSKTEHPKSSFRIHRMRRMGSASRKGRVFCNACGKTFYD KGTLKIHYNAVHLKIKHRCTIEGCNMVFSSLRSRNRHSANPNPRLHMPMLRNNRDKDLIR ATSGAATPVIASTKSNLTLTSPGRPPMGFTTPPLDPVLQNPLPSQLVFSGLKTVQPVPPF YRSLLTPGEMVSPPTSLPTSPIIPTSGTIEQHPPPPSEPIVPAVMMGTHEPSADLAPKKK PRKSSMPVKIEKEIIDTADEFDDEDDDPNDGGTVVNDMSHDNHCHSQDEMSPGMSVKDFS KHNRTRCISRTEIRRADSMTSEDQEPERDYENESESSEPKLGEESMEGDEHLHSEVSEKV LMNSERPDENHSEPSHQDVIKVKEEFTDPTYDMFYMSQYGLYNGGGASMAALHESFTSSL NYGSPQKFSPEGDLCSSPDPKICYVCKKSFKSSYSVKLHYRNVHLKEMHVCTVAGCNAAF PSRRSRDRHSANINLHRKLLTKELDDMSLDSSQPSLSKDLRDEFLMKIYGAQHPLGLDGR EDASSPAGTEDSHLNGYGRGMAEDYMVLDLSTTSSLQSSSSVHSSRESDAGSDEGILLDD IDGASDSGESTHKAEAPTLPGSLGAEVSGSLMFSSLSGSNGGIMCNICHKMYSNKGTLRV HYKTVHLREMHKCKVPGCNMMFSSVRSRNRHSQNPNLHKNIPFTSID >ENSMUSP00000102816.2 pep:known chromosome:GRCm38:4:84275229:84675067:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000107198.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MARFVPSPPPNCLSYKSEGRLGEQDWQAHFKVPCCGVDPSQLESEEAEVDVRERDTQRDR EPKRARDLTLRDSCTDNSMQFGTRTTAAEPGFMGTWQNADTNLLFRMSQQAIRCTLVNCT CECFQPGKINLRTCDQCKHGWVAHALDKLSTQHLYHPTQVEIVQSNVVFDISSLMLYGTQ AVPVRLKILLDRLFSVLKQEEVLHILHGLGWTLRDYVRGYILQDAAGKVLDRWAIMSREE EIITLQQFLRFGETKSIVELMAIQEKEGQAVAVPSSKTDSDIRTFIESNNRTRSPSLLAH LENSNPSSIHHFENIPNSLAFLLPFQYINPVSAPLLGLPPNGLLLEQPGLRLREPSISTQ NEYNESSESEVSPTPYKSDQTPNRNALTSITNVEPKTEPACVSPIQNSAPVSDLSKTEHP KSSFRIHRMRRMGSASRKGRVFCNACGKTFYDKGTLKIHYNAVHLKIKHRCTIEGCNMVF SSLRSRNRHSANPNPRLHMPMLRNNRDKDLIRATSGAATPVIASTKSNLTLTSPGRPPMG FTTPPLDPVLQNPLPSQLVFSGLKTVQPVPPFYRSLLTPGEMVSPPTSLPTSPIIPTSGT IEQHPPPPSEPIVPAVMMGTHEPSADLAPKKKPRKSSMPVKIEKEIIDTADEFDDEDDDP NDGGTVVNDMSHDNHCHSQDEMSPGMSVKDFSKHNRTRCISRTEIRRADSMTSEDQEPER DYENESESSEPKLGEESMEGDEHLHSEVSEKVLMNSERPDENHSEPSHQDVIKVKEEFTD PTYDMFYMSQYGLYNGGGASMAALHESFTSSLNYGSPQKFSPEGDLCSSPDPKICYVCKK SFKSSYSVKLHYRNVHLKEMHVCTVAGCNAAFPSRRSRDRHSANINLHRKLLTKELDDMS LDSSQPSLSKDLRDEFLMKIYGAQHPLGLDGREDASSPAGTEDSHLNGYGRGMAEDYMVL DLSTTSSLQSSSSVHSSRESDAGSDEGILLDDIDGASDSGESTHKAEAPTLPGSLGAEVS GSLMFSSLSGSNGGIMCNICHKMYSNKGTLRVHYKTVHLREMHKCKVPGCNMMFSSVRSR NRHSQNPNLHKNIPFTSID >ENSMUSP00000135778.1 pep:known chromosome:GRCm38:4:84275352:84675275:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000176612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MSEEAEVDVRERDTQRDREPKRARDLTLRDSCTDNSMQFGTRTTAAEPGFMGTWQNADTN LLFRMSQQAIRCTLVNCTCECFQPGKINLRTCDQCKHGWVAHALDKLSTQHLYHPTQVEI VQSNVVFDISSLMLYGTQAVPVRLKILLDRLFSVLKQEEVLHILHGLGWTLRDYVRGYIL QDAAGKVLDRWAIMSREEEIITLQQFLRFGETKSIVELMAIQEKEGQAVAVPSSKTDSDI RTFIESNNRTRSPSLLAHLENSNPSSIHHFENIPNSLAFLLPFQYINPVSAPLLGLPPNG LLLEQPGLRLREPSISTQNEYNESSESEVSPTPYKSDQTPNRNALTSITNVEPKTEPACV SPIQNSAPVSDLSKTEHPKSSFRIHRMRRMGSASRKGRVFCNACGKTFYDKGTLKIHYNA VHLKIKHRCTIEGCNMVFSSLRSRNRHSANPNPRLHMPMLRNNRDKDLIRATSGAATPVI ASTKSNLTLTSPGRPPMGFTTPPLDPVLQNPLPSQLVFSGLKTVQPVPPFYRSLLTPGEM VSPPTSLPTSPIIPTSGTIEQHPPPPSEPIVPAVMMGTHEPSADLAPKKKPRKSSMPVKI EKEIIDTADEFDDEDDDPNDGGTVVNDMSHDNHCHSQDEMSPGMSVKDFSKHNRTRCISR TEIRRADSMTSEDQEPERDYENESESSEPKLGEESMEGDEHLHSEVSEKVLMNSERPDEN HSEPSHQDVIKVKEEFTDPTYDMFYMSQYGLYNGGGASMAALHESFTSSLNYGSPQKFSP EGDLCSSPDPKICYVCKKSFKSSYSVKLHYRNVHLKEMHVCTVAGCNAAFPSRRSRDRNR NLRMERTIGPGHRDSLHCLPI >ENSMUSP00000135607.1 pep:known chromosome:GRCm38:4:84275380:84291757:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000176971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] EMHVCTVAGCNAAFPSRRSRDSANINLHRKLLTKELDDMSLDSSQPSLSKDLRDEFLMKI YGAQHPLGLDGREDASSPAGTEDSHLNGYGRGMAEDYMVLDLSTTSSLQSSSSVHSSRES DAGSDEGILLDDIDGASDSGESTHKAEAPTLPGSLGAEVSGSLMFSSLSGSNGGIMCNIC HKMYSNKGTLRVHYKTVHLREMHKCKVPGCNMMFSSVRSRNRHSQNPNLHKNIPFTSID >ENSMUSP00000135375.1 pep:known chromosome:GRCm38:4:84275610:84675086:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000176691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MARFVPSPPPNCLSYKSEGRLGEQDWQAHFKVPCCGVDPSQLEAIRCTLVNCTCECFQPG KINLRTCDQCKHGWVAHALDKLSTQHLYHPTQVEIVQSNVVFDISSLMLYGTQAVPVRLK ILLDRLFSVLKQEEVLHILHGLGWTLRDYVRGYILQDAAGKVLDRWAIMSREEEIITLQQ FLRFGETKSIVELMAIQEKEGQAVAVPSSKTDSDIRTFIESNNRTRSPSLLAHLENSNPS SIHHFENIPNSLAFLLPFQYINPVSAPLLGLPPNGLLLEQPGLRLREPSISTQNEYNESS ESEVSPTPYKSDQTPNRNALTSITNVEPKTEPACVSPIQNSAPVSDLSKTEHPKSSFRIH RMRRMGSASRKGRVFCNACGKTFYDKGTLKIHYNAVHLKIKHRCTIEGCNMVFSSLRSRN RHSANPNPRLHMPMLRNNRDKDLIRATSGAATPVIASTKSNLTLTSPGRPPMGFTTPPLD PVLQNPLPSQLVFSGLKTVQPVPPFYRSLLTPGEMVSPPTSLPTSPIIPTSGTIEQHPPP PSEPIVPAVMMGTHEPSADLAPKKKPRKSSMPVKIEKEIIDTADEFDDEDDDPNDGGTVV NDMSHDNHCHSQDEMSPGMSVKDFSKHNRTRCISRTEIRRADSMTSEDQEPERDYENESE SSEPKLGEESMEGDEHLHSEVSEKVLMNSERPDENHSEPSHQDVIKVKEEFTDPTYDMFY MSQYGLYNGGGASMAALHESFTSSLNYGSPQKFSPEGDLCSSPDPKICYVCKKSFKSSYS VKLHYRNVHLKEMHVCTVAGCNAAFPSRRSRDRHSANINLHRKLLTKELDDMSLDSSQPS LSKDLRDEFLMKIYGAQHPLGLDGREDASSPAGTEDSHLNGYGRGMAEDYMVLDLSTTSS LQSSSSVHSSRESDAGSDEGILLDDIDGASDSGESTHKAEAPTLPGSLGAEVSGSLMFSS LSGSNGGIMCNICHKMYSNKGTLRVHYKTVHLREMHKCKVPGCNMMFSSVRSRNRHSQNP NLHKNIPFTSID >ENSMUSP00000135580.1 pep:known chromosome:GRCm38:4:84276159:84291930:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000177277.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] XAALHESFTSSLNYGSPQKFSPEGDLCSSPDPKICYVCKKSFKSSYSVKLHYRNVHLKEM HVCTVAGCNAAFPSRRSRDSKRLES >ENSMUSP00000134774.1 pep:known chromosome:GRCm38:4:84276245:84292146:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000176702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] XEPKLGEESMEGDEHLHSEVSEKVLMNSERPDENHSEPSHQDVIKVKEEFTDPTYDMFYM SQYGLYNGGGASMAALHESFTSSLNYGSPQKFSPEGDLCSSPDPKICYVCKKSFKSSYSV KLHYRNVHLKEMHVCTVAGCNAAFPSRRSRDRNRNLRMERTIGPGHRDSLHCRRHSANIN LHRKLLTKELDDMSLDSSQPSLSKDLRDEFLMKIYGAQHPLGLDGREDASSPAGTEDSHL N >ENSMUSP00000135283.1 pep:known chromosome:GRCm38:4:84276444:84291868:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000176998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] PEGDLCSSPDPKICYVCKKSFKSSYSVKLHYRNVHLKEMHVCTVAGCNAAFPSRRSRDRN RNLRMERTIGPGHRDSLHCPKD >ENSMUSP00000135480.1 pep:known chromosome:GRCm38:4:84276444:84291868:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000176601.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] PEGDLCSSPDPKICYVCKKSFKSSYSVKLHYRNVHLKEMHVCTVAGCNAAFPSRRSRDRN RNLRMERTIGPGHRDSLHCRSKRLES >ENSMUSP00000134795.1 pep:known chromosome:GRCm38:4:84293214:84674996:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000175800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MQFGTRTTAAEPGFMGTWQNADTNLLFRMSQQAIRCTLVNCTCECFQPGKINLRTCDQCK HGWVAHALDKLSTQHLYHPTQVEIVQSNVVFDISSLMLYGTQAVPVRLKILLDRLFSVLK QEEVLHILHGLGWTLRDYVRGYILQDAAGKVLDRWAIMSREEEIITLQQFLRFGETKSIV ELMAIQEKEGQAVAVPSSKTDSDIRTFIESNNRTRSPSLLAHLENSNPSSIHHFENIPNS LAFLLPFQYINPVSAPLLGLPPNGLLLEQPGLRLREPSISTQNEYNESSESEVSP >ENSMUSP00000135569.1 pep:known chromosome:GRCm38:4:84293214:84674996:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000176418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MARFVPSPPPNCLSYKSEGRLGEQDWQAHFKVPCCGVDPSQLESEEAEVDVRERDTQRDR EPKRARDLTLRDSCTDNSMQFGTRTTAAEPGFMGTWQNADTNLLFRMSQQAGLELRDPPA SASRVLGLKACATTPGLQVFFKKAIRCTLVNCTCECFQPGKINLRTCDQCKHGWVAHALD KLSTQHLYHPTQVEIVQSNVVFDISSLMLYGTQAVPVRLKILLDRLFSVLKQEEVLHILH GLGWTLRDYVRGYILQDAAGKVLDRWAIMSREEEIITLQQFLRFGETKSIVELMAIQEKE GQAVAVPSSKTDSDIRTFIESNNRTRSPSLLAHLENSNPSSIHHFENIPNSLAFLLPFQY INPVSAPLLGLPPNGLLLEQPGLRLREPSISTQNEYNESSESEVSP >ENSMUSP00000135411.1 pep:known chromosome:GRCm38:4:84293577:84675027:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000176947.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MSEEAEVDVRERDTQRDREPKRARDLTLRDSCTDNSMQFGTRTTAAEPGFMGTWQNADTN LLFRMSQQVPLACAGRVLGADFCPNLEEPDQRLEVQAIRCTLVNCTCECFQPGKINLRTC DQCKHGWVAHALDKLSTQHLYHPTQVEIVQSNVVFDISSLMLYGTQAVPVRLKILLDRLF SVLKQEEVLHILHGLGWTLRDYVRGYILQDAAGKVLDRWAIMSREEEIITLQQFLRFG >ENSMUSP00000135656.1 pep:known chromosome:GRCm38:4:84293604:84674997:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000175969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MDCRAWLARFVPSPPPNCLSYKSEGRLGEQDWQAHFKVPCCGVDPSQLEAIRCTLVNCTC ECFQPGKINLRTCDQCKHGWVAHALDKLSTQHLYHPTQVEIVQSNVVFDISSLMLYGTQA VPVRLKILLDRLFSVLKQEEVLHILHGLGWTLRDYVRGYILQDAAGKVLDRWAIMSREEE I >ENSMUSP00000134942.1 pep:known chromosome:GRCm38:4:84390927:84674997:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000176346.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MVRSFHLLSACVCTWHVLCPPRLQIASVTNQRAGLVSKTGKHILRSRAVGLIHLNLSQKR QKWM >ENSMUSP00000135499.1 pep:known chromosome:GRCm38:4:84391052:84675078:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000175756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MVPLACAGRVLGADFCPNLEEPDQRLEVQAIRCTLVNCTCECFQPGKINLRTCDQCKHGW VAHALDKLSTQHLYHPTQVEIVQSNVV >ENSMUSP00000135089.1 pep:known chromosome:GRCm38:4:84411154:84546290:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000177040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] XTRTTAAEPGFMGTWQNADTNLLFRMSQQAIRCTLVNCTCECFQPGKINLRTCDQCKHGW VAHETLKSCLQLFLLRGKTYQSSWPPYPCSVLRMGLGGNDLAFLVCDFLPKGCETEKTLP HSTISW >ENSMUSP00000134953.1 pep:known chromosome:GRCm38:4:84546212:84674999:-1 gene:ENSMUSG00000028487.18 transcript:ENSMUST00000176370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnc2 description:basonuclin 2 [Source:MGI Symbol;Acc:MGI:2443805] MQFGTRTTAAEPGFMGTWQNADTNLLFRMS >ENSMUSP00000072074.2 pep:known chromosome:GRCm38:10:75589340:75617200:1 gene:ENSMUSG00000006344.16 transcript:ENSMUST00000072217.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt5 description:gamma-glutamyltransferase 5 [Source:MGI Symbol;Acc:MGI:1346063] MAWGHRATVCLVLLGVGLGLVIVVLAAVLSPRQASCGPGAFTRAAVAADSKICSDIGRAI LQQRGSPVDAAIAALVCTGVVNPQSMGLGGGVVFTIYNASTGKVEIINARETVPASYDQG LLNQCKNVLPLGTGAQWIGVPGELRGYAEAHRRHGRLPWAQLFQPTIALLREGFRVPFIL SQFLNNSILRPHLSASTLRQLFFNGTETLRSQDPFPWPALANTLETVAKEGAEVLYTGRL GRMLVEDIAKQGSLLTVQDLAAFQPEVVEPLEMPLGNYTLYSPPPPAGGAILSFILNVLK GFNFSAETVARPGGEVNMYHHLVETLKFAVGQRWRLWDPSSHPGIQNISRDLLREDLAQR IRQQIDGRGDHHQLSHYNLTGVRGNRMGTSHVSVLGEDGSAVAATSTINTPFGAMVYSPR TGILLNNELLDLCWRHMPTSPITPPPVPGERPPSSMVPSILVNKGQGSKLVIGGAGGELI ISAVAQTIMNKLWLGFDLTEAIASPILHVNSKGHVEYEPKFNQEVQKGLQDRGQIQSQSQ RPVFLNAVQAVFQEGPCVYAASDLRKAGKASGY >ENSMUSP00000139459.1 pep:known chromosome:GRCm38:10:75589381:75605401:1 gene:ENSMUSG00000006344.16 transcript:ENSMUST00000189972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt5 description:gamma-glutamyltransferase 5 [Source:MGI Symbol;Acc:MGI:1346063] MAWGHRATVCLVLLGVGLGLVIVVLAAVLSPRQASCGPGAFTRAAVAADSKICSDIGRKP ADSSGLGCLPA >ENSMUSP00000141106.1 pep:known chromosome:GRCm38:10:75589792:75605403:1 gene:ENSMUSG00000006344.16 transcript:ENSMUST00000189991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggt5 description:gamma-glutamyltransferase 5 [Source:MGI Symbol;Acc:MGI:1346063] XLSPRQASCGPGAFTRAAVAADSKICSDIGRAILQQRGSPVDAAIAALVCTGVVNPQSMG LGGGVVFTIYNASTGKVEIINARETVPASYDQGLLNQCKNVLPLGTGAQWIGVPGELRGY AEAHRRHGRLPWAQLFQPTIALLREGFRVPFILSQFLNNSILRPHLSASTLSARTLAVTQ RRILTISLGLCRKPADSSGLGCLPA >ENSMUSP00000020085.6 pep:known chromosome:GRCm38:10:71254980:71285262:-1 gene:ENSMUSG00000019927.6 transcript:ENSMUST00000020085.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d1 description:ubiquitin-conjugating enzyme E2D 1 [Source:MGI Symbol;Acc:MGI:2384911] MALKRIQKELSDLQRDPPAHCSAGPVGDDLFHWQATIMGPPDSAYQGGVFFLTVHFPTDY PFKPPKIAFTTKIYHPNINSNGSICLDILRSQWSPALTVSKVLLSICSLLCDPNPDDPLV PDIAQIYKSDKEKYNRHAREWTQKYAM >ENSMUSP00000031391.2 pep:known chromosome:GRCm38:5:123344448:123374992:1 gene:ENSMUSG00000029438.9 transcript:ENSMUST00000031391.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl7a description:B cell CLL/lymphoma 7A [Source:MGI Symbol;Acc:MGI:1924295] MSGRSVRAETRSRAKDDIKRVMAAIEKVRKWEKKWVTVGDTSLRIYKWVPVTEPKVDDKN KNKKKGKDEKCGSEVTTPENSSSPGMMDMHDDNSNQSSIADASPIKQENSSNSSPAPETN PPVPSDGTEAKADEAQADGKEHPGAEDASEEQNSQSSMENSVNSSEKAERQPSAESGLAA ETSAVSQDLEGVPPSKKMKLEASQQNSEEM >ENSMUSP00000113264.1 pep:known chromosome:GRCm38:5:123344500:123360278:1 gene:ENSMUSG00000029438.9 transcript:ENSMUST00000117971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl7a description:B cell CLL/lymphoma 7A [Source:MGI Symbol;Acc:MGI:1924295] MSGRSVRAETRSRAKDDIKRVMAAIEKVRKWEKKWVTVGDTSLRIYKWVPVTEPKVDDKN KNKKKGKDEKCGSEVTTPENSSSPGMMDMHGEPRPSRSPCLGLSCVFVSLTG >ENSMUSP00000126126.1 pep:known chromosome:GRCm38:7:132987563:133015490:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000165457.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MPVPSRHINIGRSQSWDAAGWYEGPWENAGPPGRRSSLTYGPGEGTWCELLNHRAQDTES YLSREAFYNSLASRKGSVPDFTFYDSRQAVMSGRGSVLPQDYYGDPSRGTRVPKEPPFYR DPGTSRPVPSYGMLGSRMPWEQVQGQLPALQDTGHLYPESGGKTVPHGQRTHGRAPSPGS CLGHPGGC >ENSMUSP00000130294.1 pep:known chromosome:GRCm38:7:132987578:133015248:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000169570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MPVPSRHINIGRSQSWDAAGWYEGPWENAGPPGRRSSLTYGPGEGTWCELLNHRAQDTES YLSREAFYNSLASRKGSVPDFTFYDSRQAVMSGRGSVLPQDYYGDPSRGTRVPKEPPFYR DPGTSRPVPSYGMLGSRMPWEQVQGQLPALQDTGHLYPESGGKTVPHGQRTHGRAPSPGR YGREQPDTRLGIEVPTYSPNSSQVYNDICERPVDSTPARQVAPTCLVVDPSSAVPTENST GVAPGSLNRGYGPTRESIHSKLAYENYEADLSTFQGPGGKRTMYPEFLALLRAEGVAEAT LAALLQQGFDSPAVLATLEDADIKSVAPNLGQARVLSRLASSCRTEMQFRRQDRTGPLPR NRSSSFSHRSELLPNDMASLGTTALQFHPAGPLQTPSPRAGDLGRRPSSAPSQHLLETAA TYSTPVVGSQTPHLPSNSGYSSPTPCALTARLASSYPSQAGVALTANPGPSAPLHSNPRT AYSTSYTVPMELLKRERSMTASPLPSPHGSPQLLRKPGAAPVEPSTLPPVSQSLHTPHSP YQKVARRTGAPIIVSTMLTPEPSIRPQIMNGPLHPRPLVALLDGRDCTVEMPILKDLATV AFCDAQSTQEIHEKVLNEAVGAMMYHTITLTREDLEKFKALRVIVRIGSGYDNVDIKAAG ELGIAVCNIPSAAVEETADSTVCHILNLYRRNTWLYQALREGTRVQSVEQIREVASGAAR IRGETLGLIGFGRTGQAVAVRAKAFGFSVIFYDPYLQDGIERSLGVQRVYTLQDLLYQSD CVSLHCNLNEHNHHLINDFTIKQMRQGAFLVNAARGGLVDEKALAQALKEGRIRGAALDV HESEPFSFAQGPLKDAPNLICTPHTAWYSEQASLEMREAAATEIRRAITGRIPESLRNCV NKEFFVTSAPWSVIDQQAIHPELNGATYRYPPGIVGVAPGGLPPAMEGIIPGGIPVTHNL PTVAHPSQAPSPNQPTKHGDNREHPNEQ >ENSMUSP00000127448.1 pep:known chromosome:GRCm38:7:132987584:133123166:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000166439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MNGPLHPRPLVALLDGRDCTVEMPILKDLATVAFCDAQSTQEIHEKVLNEAVGAMMYHTI TLTREDLEKFKALRVIVRIGSGYDNVDIKAAGELGIAVCNIPSAAVEETADSTVCHILNL YRRNTWLYQALREGTRVQSVEQIREVASGAARIRGETLGLIGFGRTGQAVAVRAKAFGFS VIFYDPYLQDGIERSLGVQRVYTLQDLLYQSDCVSLHCNLNEHNHHLINDFTIKQMRQGA FLVNAARGGLVDEKALAQALKEGRIRGAALDVHESEPFSFAQGPLKDAPNLICTPHTAWY SEQASLEMREAAATEIRRAITGRIPESLRNCVNKEFFVTSAPWSVIDQQAIHPELNGATY RYPPGIVGVAPGGLPPAMEGIIPGGIPVTHNLPTVAHPSQAPSPNQPTKHGDNREHPNEQ >ENSMUSP00000033269.8 pep:known chromosome:GRCm38:7:132987621:133123367:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000033269.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MALVDKHKVKRQRLDRICEGIRPQIMNGPLHPRPLVALLDGRDCTVEMPILKDLATVAFC DAQSTQEIHEKVLNEAVGAMMYHTITLTREDLEKFKALRVIVRIGSGYDNVDIKAAGELG IAVCNIPSAAVEETADSTVCHILNLYRRNTWLYQALREGTRVQSVEQIREVASGAARIRG ETLGLIGFGRTGQAVAVRAKAFGFSVIFYDPYLQDGIERSLGVQRVYTLQDLLYQSDCVS LHCNLNEHNHHLINDFTIKQMRQGAFLVNAARGGLVDEKALAQALKEGRIRGAALDVHES EPFSFAQGPLKDAPNLICTPHTAWYSEQASLEMREAAATEIRRAITGRIPESLRNCVNKE FFVTSAPWSVIDQQAIHPELNGATYRYPPGIVGVAPGGLPPAMEGIIPGGIPVTHNLPTV AHPSQAPSPNQPTKHGDNREHPNEQ >ENSMUSP00000132892.1 pep:known chromosome:GRCm38:7:132991727:133023757:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000168958.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MFGIRPQIMNGPLHPRPLVALLDGRDCTVEMPILKDLATVAFCDAQSTQEIHEKVLNEAV GAMMYHTITLTREDLEKFKALRVIVRIGSGYDNVDIKAAGELGIAVCNIPSAAVEETADS TVCHILNLYRRNTWLYQALREGTRVQSVEQIREVASGAARIRGETLGLIGFGRTGQAVAV RAKAFGFS >ENSMUSP00000127701.1 pep:known chromosome:GRCm38:7:132995194:133122200:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000172341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MALVDKHKVKRQRLDRICEGIRPQIMNGPLHPRPLVALLDGRDCTVEMPILKDLATVAFC DAQSTQEIHEKVLNEAVGAMMYHTITLTREDLEKFKALRVIVRIGSGYDNVDIKAAGELG IAVCNIPSAAVEETADSTVCHILNLYRRNTWLYQALREGTRVQSVEQIREVASGAAR >ENSMUSP00000128944.1 pep:known chromosome:GRCm38:7:133014657:133123346:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000163601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MSGRGSVLPQDYYGDPSRGTRVPKEPPFYRDPGTSRPVPSYGMLGSRMPWEQVQGQLPAL QDTGHLYPESGGKTVPHGQRTHGRAPSPGRYG >ENSMUSP00000133269.1 pep:known chromosome:GRCm38:7:133015122:133122615:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000167218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MPVPSRHINIGRSQSWDAAGWYEGPWE >ENSMUSP00000129590.1 pep:known chromosome:GRCm38:7:133015164:133123425:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000170459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MPVPSRHINIGRS >ENSMUSP00000129312.1 pep:known chromosome:GRCm38:7:133025702:133124354:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000171882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MALVDKHKVKRQRLDRICEGKSQ >ENSMUSP00000132558.1 pep:known chromosome:GRCm38:7:133025720:133111791:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000155996.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MALVDKHKVKRQRLDRI >ENSMUSP00000132399.1 pep:known chromosome:GRCm38:7:133025721:133123312:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000171022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MALVDKHKVKRQRLDR >ENSMUSP00000130727.1 pep:known chromosome:GRCm38:7:133025764:133123856:-1 gene:ENSMUSG00000030970.16 transcript:ENSMUST00000171968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctbp2 description:C-terminal binding protein 2 [Source:MGI Symbol;Acc:MGI:1201686] MA >ENSMUSP00000095795.1 pep:known chromosome:GRCm38:7:103731928:103732878:1 gene:ENSMUSG00000096516.1 transcript:ENSMUST00000098193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr628 description:olfactory receptor 628 [Source:MGI Symbol;Acc:MGI:3030462] MPHLNSTIFRPSVLTLTGIPGLESVQFWIGIPFCIMYIIALLGNSLLLVVIKVERSLHEP MYLFLAMLGATDISLSTSILPKMLGIFWFHLPTIYFDACLLQMWLIHTFQGIESGILFAM AMDRYVAICYPLRHASIFTQRLLTQIGVGVTLRAALFVAPCLFLIKCRLKFYWTTVVSHS YCEHMAIVKLAAEDVHVNKIYGLFVAFSILGLDIIFITLSYIRIFITVFKLPQKEARLKA FNTCVAHICVFLEFYLLAFFSFFTHRFGYHVPSYIHILLSNLYLLVPPLLNPIVYGVKTK QIRDQVSKILYCNYSY >ENSMUSP00000099409.4 pep:known chromosome:GRCm38:11:100574904:100581728:1 gene:ENSMUSG00000006782.16 transcript:ENSMUST00000103120.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnp description:2',3'-cyclic nucleotide 3' phosphodiesterase [Source:MGI Symbol;Acc:MGI:88437] MNTSFTRKSHTFLPKLFFRKMSSSGAKEKPELQFPFLQDEDTVATLHECKTLFILRGLPG SGKSTLARLILEKYHDGTKMVSADAYKIIPGSRADFSEAYKRLDEDLAGYCRRDIRVLVL DDTNHERERLDQLFEMADQYQYQVVLVEPKTAWRLDCAQLKEKNQWQLSADDLKKLKPGL EKDFLPLYFGWFLTKKSSETLRKAGQVFLEELGNHKAFKKELRHFISGDEPKEKLELVSY FGKRPPGVLHCTTKFCDYGKAAGAEEYAQQEVVKRSYGKAFKLSISALFVTPKTAGAQVV LTDQELQLWPSDLDKPSASEGLPPGSRAHVTLGCAADVQPVQTGLDLLDILQQVKGGSQG EAVGELPRGKLYSLGKGRWMLSLTKKMEVKAIFTGYYGKGKPVPIHGSRKGGAMQICTII >ENSMUSP00000146838.1 pep:known chromosome:GRCm38:3:89361975:89377549:1 gene:ENSMUSG00000109293.1 transcript:ENSMUST00000208216.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcst2 description:DC-STAMP domain containing 2 [Source:MGI Symbol;Acc:MGI:2685606] MEEGSSTARAVVRSTCGFTVGLSLATAFGLLELLGEGHSPFGCLVTTVTLAAFLSLGMGF SRQVRVSVLLLLPQAFSKQSRLLLLVASFGLVLQGPCANTLQNFTRASEAVACGAELALN QTAEMLERAKQPLISALSKIKAIAQKAKVVGDRIRKFFRSIIDAVKHIARCLQNVWYWLL HIGDMCNSELGNPYSKCTQVFDDAKIHCMKVVSGFPHLCYALLPYKLLVCGLASLVQKFC VLPSYLEFFLRTVIRTPVMKLLGKLRREFEFNMTATHYFSVDLNSSRSLSQVALDLQEAV SMKLYTAREALSLMGYTMPLLIGFLYIQALCYRYYYLNSDKFDNVYITRRFLDMEAVRSL AGMPTVLPLSSHEAKHYIQPDSMFLSQREQMFYTLEIFNLTRHLLIMLLLVFLDYGVFWL LDLARYHLQGEIVARSPVVVSISVEGSGYSGNIYRDLASAFDVMQQGNVSVLSPRCTLHP SEPDAKGYIVIGTMYGLCFFVTLFGSYVSRLRRAICASYYPSREQERITYLYNMLLSHRT NITATVQRAVRRRSADQGQMNILQVLAIRLPFLRPLLGPFSLQQSYCMGCGEPEDKGGME NFVSCSTPGCRGLYCPTCFRLLNNTCSVCSAPLSNQGHLDLELDSSDEESPQLWLAAARR KAPEQELKLRQQLQEALGTNLSDKSTSKPERAGNRNQDRKQ >ENSMUSP00000084467.5 pep:known chromosome:GRCm38:5:72513301:72559684:-1 gene:ENSMUSG00000072889.9 transcript:ENSMUST00000087216.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfxl1 description:nuclear transcription factor, X-box binding-like 1 [Source:MGI Symbol;Acc:MGI:1923646] MDAPWRQVAAGRGRARGRATAVPLSGNGVPFGGAGGGRAKGSGGAVSSGPGPGGAAALAP AGRGQRSAGGEAPQPPGARGEDGSELMSQKKFEEIKKSNQAAARKLLEEHCSSSSEEEGD EDLEGKQGKIVANTFTTYTTHTDGDIHELERTKQYLNEAFQAGAMTCLICIASVKRNQAV WSCSGCFCIFHMPCIQKWAKDSQFLVSSVTDDDFGKKDYPWPCPKCRFEYKRSETPSRYY CYCGKVEDPPLDPWLVPHSCGQVCEREFKPSCGHKCLLLCHPGPCPPCPKMVTTTCYCKK AKPIPRRCSAKQWSCQLPCGRKLLCGHHKCENPCHAGNCQPCPRVSRQKCVCGKKVAERS CASPVWHCDQVCGKTLPCGNHTCEQVCHVGACGECPRSGKRSCPCQKSKFSLPCTEDVPT CGDSCDKILECGIHRCSQRCHRGPCETCRQEVEKQCRCGKHTKRMPCHKPYLCETKCVKM RDCQKHQCRRKCCPGNCPPCDQNCGRTLGCRNHKCPSVCHRGSCYPCPETVDVKCNCGNA KVTVPCGRERTTRPPKCKELCSRPPTCHHASQEKHRCHFGPCPPCHQPCQKVLEKCGHLC PESCHDQALVKQTGRHQPSGPWEQPAEPAFIQTALPCPPCQVPIPVECLGKHEVSPLPCH AVGPYSCKRLCGRMLRCQNHTCMKECHKVTEVDSSTGKNKAGPECFHCEEGCSKSRPAGC PHPCVLPCHPGKCPPCVQMLRIKCHCKITSLYVECRKITTADVSEKNLLSCCKNQCPKEL PCGHRCKEMCHPGECPFNCNQKVKLRCPCKRIKRELPCNKVRENQVSVECDATCKEMKRK ASEVKEAEANAALEEEKRRQQAELEAFENRLKGRRKKSRKRDEVAVELSPWQKYKSYLLP VCAVVVAVLMWYIFHGVD >ENSMUSP00000114355.1 pep:known chromosome:GRCm38:5:72513304:72559645:-1 gene:ENSMUSG00000072889.9 transcript:ENSMUST00000135318.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nfxl1 description:nuclear transcription factor, X-box binding-like 1 [Source:MGI Symbol;Acc:MGI:1923646] MDAPWRQVAAGRGRARGRATAVPLSGNGVPFGGAGGGRAKGSGGAVSSGPGPGGAAALAP AGRGQRSAGGEAPQPPGARGEDGSELMSQKKFEEIKKSNQAAARKLLEEHCSSSSEEEGD EDLEGKQGKIVANTFTTYTTHTDGDIHELERTKQYLNEAFQAGAMTCLICIASVKRNQAV WSCSGCFCIFHMPCIQKWAKDSQFLVSSVTDDDFGKKDYPWPCPKCRFEYKRSETPSRYY CYCGKVEDPPLDPWLVPHSCGQVCEREFKPSCGHKCLLLCHPGPCPPCPKMVTTTCYCKK AKPIPRRCSAKQWSCQLPCGRKLLCGHHKCENPCHAGNCQPCPRVSRQKCVCGKKVAERS CASPVWHCDQVCGKTLPCGNHTCEQVCHVGACGECPRSGKRSCPCQKSKFSLPCTEDVPT CGDSCDKILECGIHRCSQRCHRGPCETCRQEVEKQCRCGKHTKRMPCHKPYLCETKCVKM RDCQKHQCRRKAVAIHVQKR >ENSMUSP00000074481.4 pep:known chromosome:GRCm38:5:72540364:72559599:-1 gene:ENSMUSG00000072889.9 transcript:ENSMUST00000074948.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfxl1 description:nuclear transcription factor, X-box binding-like 1 [Source:MGI Symbol;Acc:MGI:1923646] MDAPWRQVAAGRGRARGRATAVPLSGNGVPFGGAGGGRAKGSGGAVSSGPGPGGAAALAP AGRGQRSAGGEAPQPPGARGEDGSELMSQKKFEEIKKSNQAAARKLLEEHCSSSSEEEGD EDLEGKQGKIVANTFTTYTTHTDGDIHELERTKQYLNEAFQAGAMTCLICIASVKRNQAV WSCSGCFCIFHMPCIQKWAKDSQFLVSSVTDDDFGKKDYPWPCPKCRFEYKRSETPSRYY CYCGKVEDPPLDPWLVPHSCGQVCEREFKPSCGHKCLLLCHPGPCPPCPKMVTTTCYCKK AKPIPRRCSAKQWSCQLPCGRKLLCGHHKCENPCHAGNCQPCPRVS >ENSMUSP00000145781.1 pep:known chromosome:GRCm38:7:44229930:44232491:-1 gene:ENSMUSG00000038782.6 transcript:ENSMUST00000206366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700028J19Rik description:RIKEN cDNA 1700028J19 gene [Source:MGI Symbol;Acc:MGI:1917254] IFLATLLVFSVVDGRMAYVHGPYTGFIGLWIDCRRHKCVNVGQFTVYIHMSKGFIFLALA LCLILLPTMFLSFRPVCRRLKTIDFIFSFLSIVIGLLILLSLTFFVVNCNRLRPRPQELC PSSTKCERGATVYLPWSVGSATSVGPRDTTHCICSSRPLWTGTWSLSRSCLL >ENSMUSP00000145629.1 pep:known chromosome:GRCm38:7:44229933:44236104:-1 gene:ENSMUSG00000038782.6 transcript:ENSMUST00000206686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700028J19Rik description:RIKEN cDNA 1700028J19 gene [Source:MGI Symbol;Acc:MGI:1917254] MASDTTVSLPDLLSSWEEDRKLVLRGWSLTFIFLATLLVFSVVDGRMAYVHGPYTGFIGL WIDCRRHKCVNVGQFTVYIHMSKGFIFLALALCLILLPTMFLSFRPVCRRLKTIDFIFSF LSIVIVQDS >ENSMUSP00000048665.5 pep:known chromosome:GRCm38:7:44229933:44236122:-1 gene:ENSMUSG00000038782.6 transcript:ENSMUST00000037220.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700028J19Rik description:RIKEN cDNA 1700028J19 gene [Source:MGI Symbol;Acc:MGI:1917254] MASDTTVSLPDLLSSWEEDRKLVLRGWSLTFIFLATLLVFSVVDGRMAYVHGPYTGFIGL WIDCRRHKCVNVGQFTVYIHMSKGFIFLALALCLILLPTMFLSFRPVCRRLKTIDFIFSF LSIVIVQDS >ENSMUSP00000117538.2 pep:known chromosome:GRCm38:11:118476829:118489209:1 gene:ENSMUSG00000033857.12 transcript:ENSMUST00000135383.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Engase description:endo-beta-N-acetylglucosaminidase [Source:MGI Symbol;Acc:MGI:2443788] METSSVLTRGAARQRSPAAPEKQARDQTERRPGRRRQGRRINEDQEEEAVFREVVSFTPD PLPARYYDKDTTRPISFYLSTLEELLAWTPLMEDGFNVALEPLVCRRPPLSSPRPRTLLC HDMMGGYLEDRFIQGSEVQNPYSFYHWQYIDIFVYFSHHTVTIPPVCWTNAAHRHGVCVL GTFITEWQEGGRLCEAFLAGDEPSFQAVADRLVQIAQFFRFDGWLINIENSLTPAAVRNT PLFLQYLTAQLHQQVPGGLVLWYDSVVQSGQLKWQDELNDQNRVFFDSCDGFFTNYNWRE DHLQRMVAQAGERLADVYVGVDVFARSNVVGGRFDTDKSLELIRKHGFSAALFAPGWVYE CLEKSDFFQNQDKFWSLLERFLPTHSICSLPFVTSFCLGLGTRRVCYGKEQAVGPWYHPS AQETQPLFGEHKLAGDSRGWVKTHCCLTDAWHGGSSLLLRGLIPPEVDSVAVRLFSLHIP VPPKVFLSMVYKFEGSTDVQVALELTTGDASSCHVGGMLVLNETGSRHSPRPLRVPPTRL ARWASSCGQQLSGGWIQRCYEVNLRGCLLQDLLVSFSRPPGSREEESFICRLGEIQVVDA SSLLAPLPRVQNVTISQIRWLPLITGSEGLPTRLLLSCTLHWSYLLLRARCFRIHCWKRT GSSSSVAESPETEKPTFLGLAFANQYRVVDLAVEAAGFGQDGRVEFLVEPVPREGFLVPQ AEWGKAVLLFSVPQ >ENSMUSP00000130097.1 pep:known chromosome:GRCm38:11:118476941:118479176:1 gene:ENSMUSG00000033857.12 transcript:ENSMUST00000172279.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Engase description:endo-beta-N-acetylglucosaminidase [Source:MGI Symbol;Acc:MGI:2443788] METSSVLTRGAARQRSPAAPEKQARDQTERRPGRRRQGRRCARLG >ENSMUSP00000127862.1 pep:known chromosome:GRCm38:11:118476946:118483064:1 gene:ENSMUSG00000033857.12 transcript:ENSMUST00000166995.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Engase description:endo-beta-N-acetylglucosaminidase [Source:MGI Symbol;Acc:MGI:2443788] METSSVLTRGAARQRSPAAPEKQARDQTERRPGRRRQGRRINEDQEEEAVFREVVSFTPD PLPARYYDKDTTRPISFYLSTLEELLAWTPLMEDGFNVALEPLVCRRPPLSSPRPRTLLC HDMMGGYLEDRDLHHRVARGRQALRSLPGRG >ENSMUSP00000132734.1 pep:known chromosome:GRCm38:11:118476960:118489087:1 gene:ENSMUSG00000033857.12 transcript:ENSMUST00000171769.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Engase description:endo-beta-N-acetylglucosaminidase [Source:MGI Symbol;Acc:MGI:2443788] METSSVLTRGAARQRSPAAPEKQARDQTERRPGRRRQGRRINEDQEEEAVFREVVSFTPD PLPDIMTRILPDLSAFTCRRWRSSWPGPRSWRMASM >ENSMUSP00000126050.1 pep:known chromosome:GRCm38:11:118476972:118487773:1 gene:ENSMUSG00000033857.12 transcript:ENSMUST00000043447.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Engase description:endo-beta-N-acetylglucosaminidase [Source:MGI Symbol;Acc:MGI:2443788] METSSVLTRGAARQRSPAAPEKQARDQTERRPGRRRQGRRCARLG >ENSMUSP00000129698.1 pep:known chromosome:GRCm38:11:118476983:118489209:1 gene:ENSMUSG00000033857.12 transcript:ENSMUST00000139917.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Engase description:endo-beta-N-acetylglucosaminidase [Source:MGI Symbol;Acc:MGI:2443788] METSSVLTRGAARQRSPAAPEKQARDQTERRPGRRRQGRRINEDQEEEAVFREVVSFTPD PLPDIMTRILPDLSAFTCRRWRSSWPGPRSWRMASM >ENSMUSP00000125886.1 pep:known chromosome:GRCm38:11:118484490:118487509:1 gene:ENSMUSG00000033857.12 transcript:ENSMUST00000168689.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Engase description:endo-beta-N-acetylglucosaminidase [Source:MGI Symbol;Acc:MGI:2443788] EKSDFFQNQDKFWSLLERFLPTHSICSLPFVTSFCLGLGTRRVCYGKEQAVGPWYHPSAQ ETQPLFGEHKLAGDSRGWVKTHCCLTDAWHGGSSLLLRGLIPPEVDSVAVRLFSLHIPVP PKVFLSMVYKFEGSTDVQVALELTTGDASSCHVGGMLVLNAETGSRHSPRPLRVPPTRLA RWASSCGQQLSGGWIQRCYEVNLRGCLLQDLLVSFSRPPGSREEESFICRLGEIQVVDAS SLLAPLPRVQNVTISQIRWLPLITGSEGLPTRLLLSCTLHWSYLLLRARCFRIHCWKRTG SSSSVAESPETEKPTFLGLAFANQYRVVDLAVEAAGFGQDGRVEFLVEPVPREGFLVPQA EWGKAVLLFSVPQ >ENSMUSP00000021134.3 pep:known chromosome:GRCm38:11:115814724:115823094:1 gene:ENSMUSG00000020781.14 transcript:ENSMUST00000021134.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen54 description:tRNA splicing endonuclease 54 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923515] MEPEPEPGSVEVPAGRVLSASELRAARSRSQKLPQRSHGPKDFLPDGSEAQAERLRLCRQ ELWQLLAEERVERLGSLVAAEWKPEEGFVELTSPAGKFWQTMGYSEEGRQRLHPEEALYL LECGSIQLFYQDLPLSIQEAYQLLLTEDTLSFLQYQVFSHLKRLGYVVRRFQLSSVVSPY ERQLNLDGYAQCLEDGSGKRKRSSSCRSVNKKPKVLQNSLPPVSLAASSSPACDQSSQYP EEKSQDSSPRQGSELPLQFLGSSEPCSDLAREDVGCDRESHKIENGAKGTPKLRWNFEQI SFPNMASDSRHTFLPAPAPELLPANVIGRGTDAESWCQKLNQRREKLSRRDREQQAVVQQ FREDVNADPEVRGCSSWQEYKELLQRRQTQKSQPRPPHLWGQSVTPLLDPDKADCPAAVL QHISVLQTTHLADGGYRLLEKSGGLQISFDVYQADAVATFRKNSPGKPYVRMCISGFDDP VPDLCSLKCLTYQSGDVPLIFALVDHGDISFYSFRDFTLPRDLGH >ENSMUSP00000102090.2 pep:known chromosome:GRCm38:11:115814724:115823094:1 gene:ENSMUSG00000020781.14 transcript:ENSMUST00000106481.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen54 description:tRNA splicing endonuclease 54 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923515] MEPEPEPGSVEVPAGRVLSASELRAARSRSQKLPQRSHGPKDFLPDGSEAQAERLRLCRQ ELWQLLAEERVERLGSLVAAEWKPEEGFVELTSPAGKFWQTMGYSEEGRQRLHPEEALYL LECGSIQLFYQDLPLSIQEAYQLLLTEDTLSFLQYQVFSHLKRLGYVVRRFQLSSVVSPY ERQLNLDGYAQCLEDGSGKRKRSSSCRSVNKKPKVLQNSLPPVSLAASSSPACDQSSQYP EEKSQDSSPRQGSELPLQFLGSSEPCSDLAREDVGCDRESHKIENGAKGTPKLRWNFEQI SFPNMASDSRHTFLPAPAPELLPANVIGRGTDAESWCQKLNQRREKLSRRDREQQAVVQQ FREDVNADPEVRGCSSWQEYKELLQRRQTQKSQPRPPHLWGQSVTPLLDPDKADCPAAVL QHISVLQTTHLADGGYRFDDPVPDLCSLKCLTYQSGDVPLIFALVDHGDISFYSFRDFTL PRDLGH >ENSMUSP00000120506.1 pep:known chromosome:GRCm38:11:115815698:115821699:1 gene:ENSMUSG00000020781.14 transcript:ENSMUST00000136343.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen54 description:tRNA splicing endonuclease 54 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923515] GSIQLFYQDLPLSIQEAYQLLLTEDTLSFLQYQVFSHLKRLGYVVRRFQLRSVNKKPKVL QNSLPPVSLAASSSPACDQSSQYPEEKSQDSSPRQGSELPLQFLGSSEPCSDLAREDVGC DRESHKIENGAKGTPKLRWNFEQISFPNMASDSRHTFLPAPAPELLPANVIGRGTDAESW CQKLNQRREKLSRRDREQQAVVQQFREDVNADPEVRGCSSWQEYKELLQRRQTQKSQPRP PHLWGQSVTPLLDPDKADCPAAVLQHISVLQTTHL >ENSMUSP00000116955.1 pep:known chromosome:GRCm38:11:115820710:115823089:1 gene:ENSMUSG00000020781.14 transcript:ENSMUST00000154304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen54 description:tRNA splicing endonuclease 54 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923515] APELLPANVIGRGTDAESWCQKLNQRREKLSRRDREQQAVVQQFREDVNADPEVRGCSSW QEYKELLQRRQTQKSQPRPPHLWGQSVTPLLDPDKADCPAAVLQHISVLQTTHLADGGYR LLEKSGGLQISFDVYQADAVATFRKNSPGKPYV >ENSMUSP00000118342.1 pep:known chromosome:GRCm38:11:115820846:115822269:1 gene:ENSMUSG00000020781.14 transcript:ENSMUST00000157061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen54 description:tRNA splicing endonuclease 54 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923515] XDVNADPEVRGCSSWQEYKELLQRRQTQKSQPRPPHLWGQSVTPLLDPDKADCPAAVLQH ISVLQTTHLADGGYRLLEKSGGLQISFDVYQADAVATFRKNSPGKPYVRMCISGYEMIEQ CLWLLPAHPLNPATSLPDPEMSLRCRRVKCPYLRPYGWLVPWEPK >ENSMUSP00000054355.3 pep:known chromosome:GRCm38:10:78981050:78984721:1 gene:ENSMUSG00000046493.4 transcript:ENSMUST00000058991.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1352 description:olfactory receptor 1352 [Source:MGI Symbol;Acc:MGI:3031186] MEPRNNTHILEFFLLGFSQDPNLQPVICGLFLSMYLITVVGNLLIILTIISDANLHTPMY FFLSNLSFVDICFVSTTVPKMLVNIQTKRKSISYADCITQMYFFLIFVELDNFLLAVMAY DRYVAICHPLHYTGIMNRRLCGFLVLVCWIVSVLHALLQSMMVLRLSFCTDLEIPHFFCE LNQVAQLTCSDTFLNDVVMYFALVLLAIVPLFGILYSYSKIVSSIRAMSTVQGKYKAFST CASHLSVVSLFYFTGLGVYLSSAVSHSSQASATASVMYTVVTPMLNPFIYSLRNKDVKGA LKRLLGVKL >ENSMUSP00000144895.1 pep:known chromosome:GRCm38:10:78981115:78984258:1 gene:ENSMUSG00000046493.4 transcript:ENSMUST00000203973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1352 description:olfactory receptor 1352 [Source:MGI Symbol;Acc:MGI:3031186] MYLITVVGNLLIILTIISDANLHTPMYFFLSNLSFVDICFVSTTVPKMLVNIQTKRKSIS YADCITQMYFFLIFVELDNFLLAVMAYDRYVAICHPLHYTGIMNRRLCGFLVLVCWIVSV LHA >ENSMUSP00000065502.6 pep:known chromosome:GRCm38:3:89350219:89365253:-1 gene:ENSMUSG00000042672.15 transcript:ENSMUST00000070820.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcst1 description:DC-STAMP domain containing 1 [Source:MGI Symbol;Acc:MGI:1925022] MAFLSSTLHSLGIFEKISRIKEVLKNRLLDLTKRRDQAREQQRKRPHTIIQGLLLWSLPV SWIRFLWRQPGEFPVTAFLLGAGTGGLLAIGLFQLLVNPMNIYEEQKVVALYCLASLGAI GWGTSPHIRCASLLLVPKMLGKEGRLFVMGYALAAIYSGPAANLRSNINEVIASLGCTVE LQINNTRSAWRVSTAPLRAVFKGMVGSKDSLNKEIQNVSTSFEEMDEQVKSDAGYSSEDW DKNRESTEMFGTSRVRPYLSTQMVYELRTRLRCIHVVNKAILSCYRWFDKKHKNCMRRIH LPLFNNMICVPMKFKFLCNIAKVIEIWCYKRIPVEGNFGQTYDSVNQSIHGLSGEFSANI NLKEEKQSSMVGLNTTNWEHMGTEVRDYVRQQETYLQWAMGLLHVLLSCTFLLVFHSAFS YMDHYNWDIRFDNIYISTYFCQIDARRKKLGKQSLLPLRKAERKTVIFPFKATIQAWEMR YVIRELLETLPIVLLLLVLCAIDWALYSVFDTIRQHSFVQYSFRSSHKLEIKVEGDSILA KLLRKTIGALNTSSSTDVETNNMPCLPQPISLNARDYFKASLPTLLLVCLCLAQAFGYRL RRVIAAFYFPKREKKRALFFYNEFLKKRSAFTKLRRAAIVRRANQQKAPPHYLVEALYRR CPLLHRFMRQRCVVCQAMETPDSYVCPTPDCKALYCRSCWDDMQRLCPVCTPREELSSSA HSDSNDDAVYGD >ENSMUSP00000038615.4 pep:known chromosome:GRCm38:X:162778919:162829454:-1 gene:ENSMUSG00000038344.14 transcript:ENSMUST00000041370.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlng description:taxilin gamma [Source:MGI Symbol;Acc:MGI:3590652] MATRLEEVTRGRGGGTEEASEGGRGGRRRSPPQKFEIGTMEEARICGLGVKADMVCNSQA NDILQHQDPSCGGTTKKHSLEGDEGSDFITKNRNLVSSVFCTQEKREEIPGREARTGPPD GQQDSECSRNKEKTLGKEVLLLMQALNTLSTPEEKLAALCKKYADLLEESRNVQKQMKIL QKKQAQIVKEKVHLQSEHSKAILARSKLESLCRELQRHNKTLKEENMQQAREEEERRKEA TAHFQITLNEIQAQLEQHDIHNAKLRQENIELGEKLKKLIEQYALREEHIDKVFKHKELQ QQLVDAKLQQTTQLIKEADEKHQREREFLLKEATESRHKYEQMKQQEVQLKQQLSLYMDK FEEFQTTMAKSNELFTTFRQEMEKMTKKIKKLEKETIIWRTKWENNNKALLQMAEEKTVR DKEYKAFQIKLERLEKLCRALQTERNELNEKVEVLKEQVSIKAADGDLVSPATQPCAVLD SFKETSRRTLGMHLEARAKSVCEKSAAQKPSSSGSPAQGIESVD >ENSMUSP00000107935.2 pep:known chromosome:GRCm38:X:162778919:162829454:-1 gene:ENSMUSG00000038344.14 transcript:ENSMUST00000112316.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlng description:taxilin gamma [Source:MGI Symbol;Acc:MGI:3590652] MATRLEEVTRGRGGGTEEASEGGRGGRRRSPPQKFEIGTMEEARICGLGVKADMEKREEI PGREARTGPPDGQQDSECSRNKEKTLGKEVLLLMQALNTLSTPEEKLAALCKKYADLLEE SRNVQKQMKILQKKQAQIVKEKVHLQSEHSKAILARSKLESLCRELQRHNKTLKEENMQQ AREEEERRKEATAHFQITLNEIQAQLEQHDIHNAKLRQENIELGEKLKKLIEQYALREEH IDKVFKHKELQQQLVDAKLQQTTQLIKEADEKHQREREFLLKEATESRHKYEQMKQQEVQ LKQQLSLYMDKFEEFQTTMAKSNELFTTFRQEMEKMTKKIKKLEKETIIWRTKWENNNKA LLQMAEEKTVRDKEYKAFQIKLERLEKLCRALQTERNELNEKVEVLKEQVSIKAADGDLV SPATQPCAVLDSFKETSRRTLGMHLEARAKSVCEKSAAQKPSSSGSPAQGIESVD >ENSMUSP00000107934.1 pep:known chromosome:GRCm38:X:162788918:162829454:-1 gene:ENSMUSG00000038344.14 transcript:ENSMUST00000112315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlng description:taxilin gamma [Source:MGI Symbol;Acc:MGI:3590652] MATRLEEVTRGRGGGTEEASEGGRGGRRRSPPQKFEIGTMEEARICGLGVKADMEKREEI PGREARTGPPDGQQDSECSRNKEKTLGKEVLLLMQALNTLSTPEEKLAALCKKYADLLEE SRNVQKQMKILQKKQAQIVKEKVHLQSEHSKAILARSKLESLCRELQRHNKTLKEENMQQ AREEEERRKEATAHFQITLNEIQAQLEQHDIHNAKLRQENIELGEKLKKLIEQYALREEV I >ENSMUSP00000107933.1 pep:known chromosome:GRCm38:X:162788917:162812633:-1 gene:ENSMUSG00000038344.14 transcript:ENSMUST00000112314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txlng description:taxilin gamma [Source:MGI Symbol;Acc:MGI:3590652] MEEARICGLGVKADMEKREEIPGREARTGPPDGQQDSECSRNKEKTLGKEVLLLMQALNT LSTPEEKLAALCKKYADLLEESRNVQKQMKILQKKQAQIVKEKVHLQSEHSKAILARSKL ESLCRELQRHNKTLKEENMQQAREEEERRKEATAHFQITLNEIQAQLEQHDIHNAKLRQE NIELGEKLKKLIEQYALREEVI >ENSMUSP00000122894.1 pep:known chromosome:GRCm38:6:61180324:62382865:1 gene:ENSMUSG00000039578.16 transcript:ENSMUST00000126214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser1 description:coiled-coil serine rich 1 [Source:MGI Symbol;Acc:MGI:3045354] MGDSGSRRCTLVSRLPIFRKSINRRHDSLPSSPSSSNTAGVHSSSPSSTNSSSGSTGKRR SIFRAPSISFHHKKGSEPKPEPTEQNLSISNGAQPSHSNMQKLSLEEHVKTRGRHSVGFS SSRSKKITRSLTEDFEREKEPSTNKNVFINCLSSGRSEGDDSGFTEEQSRRSIKQSTKKL LPKSFSSHYKFCKSVPQSQSTSLIQQPEFSLAIAQYQEQEAALGRPSPSCSVDVTERAGS SLQSPLLSADLTTAQTPSEFLALTEDSLSEADAFPKSGSTASHCDNFGHNDATSQPTSSL TAVSKTKMEFVGTAPCVMSPGRYRLEGRCSTELHSSPETPAGNRREVSLQSTELSVGNGS DPETHLPAHHQRGESPLAHAGEPALRTGSPRTLGSYDQHKALAERFKGVHPVSDSRVIPS SGDHVFNKTSYGYEASAAKVLASSLSPYREGRYIERRLRSSSEGTAGSSRMVLKPKDGHV EASSLRKHRTGSSSSKMNSLDVLNHLGSCELDEDDLMLDLEFLEEQNLQPPVCREDSCHS VMSCTAVLLSPVDPGKEVNMLEEPKCPEPSKQNLSLRITKDTDQEARCSHVSCMPNSPSA DWPQQGVEENGGIDSLPFRLMLQECTAVKTLLLKMKRVLQESDVSPSSSTTSLPISPLTE EPLPFKDITRDECSMLRLQLKDRDELISQLQAELEKVQHLQKAFASRVDKSTQTELLGCD GLSLKRLEAVQGGRETTHRNRTMSQSHSTRDRKAIHTPTEDRFRYSTADQTSPYKNICQL PGLCLSNFLKDKELGGVMKHTRGNHEAVTSEMTQNSRTTMGQSFLKAAAKPEGLPMFSEK PKDPAALSRQHSTFTGRFGQPPRGPISLHTYSRKNVFLHHNLHTTEFQTLGQQDG >ENSMUSP00000067639.4 pep:known chromosome:GRCm38:6:61373997:61811718:1 gene:ENSMUSG00000039578.16 transcript:ENSMUST00000067307.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser1 description:coiled-coil serine rich 1 [Source:MGI Symbol;Acc:MGI:3045354] EDDLMLDLEFLEEQNLQPPVCREDSCHSVMSCTAVLLSPVDPGKEVNMLEEPKCPEPSKQ NLSLRITKDTDQEARCSHVSCMPNSPSADWPQQGVEENGGIDSLPFRLMLQECTAVKTLL LKMKRVLQESDVSPSSSTTSLPISPLTEEPLPFKDITRDECSMLRLQLKDRDELISQLQA ELEKVQHLQKAFASRVDKSTQTELLGCDTNLKHLGAFRRIIPGINGIPNSY >ENSMUSP00000122633.1 pep:known chromosome:GRCm38:6:61570670:61820582:1 gene:ENSMUSG00000039578.16 transcript:ENSMUST00000134710.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser1 description:coiled-coil serine rich 1 [Source:MGI Symbol;Acc:MGI:3045354] XNLSLRITKDTDQEARCSHVSCMPNSPSADWPQQGVEENGGIDSLPFRLMLQECTAVKTL LLKMKRVLQESDVSPSSSTTSLPISPLTEEPLPFKDITRDECSMLRLQLKDRDELISQLQ AELEKVQHLQKAFASRVDKSTQTELLGCDALWNPTCTGGLFKPVYISS >ENSMUSP00000040251.6 pep:known chromosome:GRCm38:6:61310855:62380267:1 gene:ENSMUSG00000039578.16 transcript:ENSMUST00000045522.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser1 description:coiled-coil serine rich 1 [Source:MGI Symbol;Acc:MGI:3045354] MGDSGSRRCTLVSRLPIFRKSINRRHDSLPSSPSSSNTAGVHSSSPSSTNSSSGSTGKRR SIFRAPSISFHHKKGSEPKPEPTEQNLSISNGAQPSHSNMQKLSLEEHVKTRGRHSVGFS SSRSKKITRSLTEDFEREKEPSTNKNVFINCLSSGRSEGDDSGFTEEQSRRSIKQSTKKL LPKSFSSHYKFCKSVPQSQSTSLIQQPEFSLAIAQYQEQEAALGRPSPSCSVDVTERAGS SLQSPLLSADLTTAQTPSEFLALTEDSLSEADAFPKSGSTASHCDNFGHNDATSQPTSSL TAVSKTKMEFVGTAPCVMSPGRYRLEGRCSTELHSSPETPAGNRREVSLQSTELSVGNGS DPETHLPAHHQRGESPLAHAGEPALRTGSPRTLGSYDQHKALAERFKGVHPVSDSRVIPS SGDHVFNKTSYGYEASAAKVLASSLSPYREGRYIERRLRSSSEGTAGSSRMVLKPKDGHV EASSLRKHRTGSSSSKMNSLDVLNHLGSCELDEDDLMLDLEFLEEQNLQPPVCREDSCHS VMSCTAVLLSPVDPGKEVNMLEEPKCPEPSKQNLSLRITKDTDQEARCSHVSCMPNSPSA DWPQQGVEENGGIDSLPFRLMLQECTAVKTLLLKMKRVLQESDVSPSSSTTSLPISPLTE EPLPFKDITRDECSMLRLQLKDRDELISQLQAELEKVQHLQKAFASRVDKSTQTELLGCD GLSLKRLEAVQGGRETTHRNRTMSQSHSTRDRKAIHTPTEDRFRYSTADQTSPYKNICQL PGLCLSNFLKDKELGGVMKHTRGNHEAVTSEMTQNSRTTMGQSFLKAAAKPEGLPMFSEK PKDPAALSRQHSTFTGRFGQPPRGPISLHTYSRKNVFLHHNLHTTEFQTLGQQDG >ENSMUSP00000088231.2 pep:known chromosome:GRCm38:2:84734058:84738655:1 gene:ENSMUSG00000034059.13 transcript:ENSMUST00000090729.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel4 description:yippee-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:3605071] MPSCDPGPAPACLPTKTFRSYLPRCHRTYSCVHCRAHLAKHDELISKSFQGSHGRAYLFN SVVNVGCGPAEQRLLLTGLHSVADIFCESCKTTLGWKYEQAFETSQKYKEGKYIIEMSHM VKDNGWD >ENSMUSP00000107270.3 pep:known chromosome:GRCm38:2:84734098:84738655:1 gene:ENSMUSG00000034059.13 transcript:ENSMUST00000111643.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ypel4 description:yippee-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:3605071] MPSCDPGPAPACLPTKTFRSYLPRCHRTYSCVHCRAHLAKHDELISKGQRGLWAS >ENSMUSP00000035303.3 pep:known chromosome:GRCm38:2:30807826:30823033:1 gene:ENSMUSG00000026857.9 transcript:ENSMUST00000041830.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntmt1 description:N-terminal Xaa-Pro-Lys N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913867] MTSEVIEDEKQFYSKAKTYWKQIPPTVDGMLGGYGHISNIDLNSSRKFLQRFLREGPNKT GTSCALDCGAGIGRITKRLLLPLFRVVDMVDVTEDFLAKAKTYLGEEGKRVRNYFCCGLQ DFSPEPGSYDVIWIQWVIGHLTDQHLAEFLRRCKRGLRPNGIIVIKDNMAQEGVILDDVD SSVCRDLEVVRRIIRTAGLSLLAEERQENLPDEIYHVYSFALR >ENSMUSP00000141905.1 pep:known chromosome:GRCm38:2:30807941:30823017:1 gene:ENSMUSG00000026857.9 transcript:ENSMUST00000138889.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ntmt1 description:N-terminal Xaa-Pro-Lys N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913867] MTSEVIEDEKQFYSKAKTYWKQIPPTVDGMLGGKAQTRQGLPVPWTVALVLEGSPSACSC HSSGWSTWST >ENSMUSP00000116760.1 pep:known chromosome:GRCm38:2:30807942:30822385:1 gene:ENSMUSG00000026857.9 transcript:ENSMUST00000152374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntmt1 description:N-terminal Xaa-Pro-Lys N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913867] MTSEVIEDEKQFYSKAKTYWKQIPPTVDGMLGGYGHISNIDLNSSRKFLQRFLREGPNKT GTSCALDCGAGIGRITKRLLLPLFRVVDMVDVTEDFLAKAKTYLGEEGKRVRNYFCCGLQ DFSPEPGSYDVIWIQWVIGHLTDQHL >ENSMUSP00000141222.1 pep:known chromosome:GRCm38:2:30808113:30822552:1 gene:ENSMUSG00000026857.9 transcript:ENSMUST00000129712.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ntmt1 description:N-terminal Xaa-Pro-Lys N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913867] MTSEVIEDEKQFYSKAKTYWKQIPPTVDGMLGGKAQTRQGLPVPWTVALVLEGSPSACSC HSSGWSTWST >ENSMUSP00000123140.1 pep:known chromosome:GRCm38:2:30808190:30820521:1 gene:ENSMUSG00000026857.9 transcript:ENSMUST00000128303.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntmt1 description:N-terminal Xaa-Pro-Lys N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913867] MTSEVIEDEKQFYSKAKTYWKQIPPTVDGMLGGYGHISNIDLNSSRKFLQRFLREGPNKT GTSCALDCGAGIGRITKR >ENSMUSP00000142189.1 pep:known chromosome:GRCm38:2:30817969:30820641:1 gene:ENSMUSG00000026857.9 transcript:ENSMUST00000127566.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntmt1 description:N-terminal Xaa-Pro-Lys N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913867] MTSEVIEDEKQFYSKAKTYWKQIPPTVDGMLGGYGHISNIDLNSSRKFLQRFLREGPNKT GTSCALDCGAGIGRITKRLLLPLFRVVDMVDVTEDFLAKAKTYLGEEGKRVRNYFCCG >ENSMUSP00000141900.1 pep:known chromosome:GRCm38:2:30819675:30822559:1 gene:ENSMUSG00000026857.9 transcript:ENSMUST00000143970.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntmt1 description:N-terminal Xaa-Pro-Lys N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913867] XSEVIEDEKQFYSKAKTYWKQIPPTVDGMLGGYGHISNIDLNSSRKFLQRFLREGPNKTG TSCALDCGAGIGRITKRLLLPLFRVVDMVDVTEDFLAKAKTYLGEEGKRVRNYFCCGLQD FSPEPGSYDVIWIQWVIELWPPLA >ENSMUSP00000014339.8 pep:known chromosome:GRCm38:11:100582818:100620168:-1 gene:ENSMUSG00000014195.15 transcript:ENSMUST00000014339.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc7 description:DnaJ heat shock protein family (Hsp40) member C7 [Source:MGI Symbol;Acc:MGI:1928373] MAATAECDVVMAATEPELLEDEDAKREAESFKEQGNAYYAKKDYNEAYNYYTKAIDMCPN NASYYGNRAATLMMLGRFREALGDAQQSVRLDDSFVRGHLREGKCHLSLGNAMAACRSFQ RALELDHKNAQAQQEFKNANAVMEYEKIAEVDFEKRDFRKVVFCMDRALEFAPACHRFKI LKAECLAMLGRYPEAQFVASDILRMDSTNADALYVRGLCLYYEDCIEKAVQFFVQALRMA PDHEKACVACRNAKALKAKKEDGNKAFKEGNYKLAYELYTEALGIDPNNIKTNAKLYCNR GTVNSKLRQLEDAIEDCTNAVKLDDTYIKAYLRRAQCYMDTEQFEEAVRDYEKVYQTEKT KEHKQLLKNAQLELKKSKRKDYYKILGVDKNASEDEIKKAYRKRALMHHPDRHSGASAEV QKEEEKKFKEVGEAFTILSDPKKKTRYDSGQDLDEEGMNMGDFDANNIFKAFFGGPGGFS FEASGPGNFYFQFG >ENSMUSP00000116793.1 pep:known chromosome:GRCm38:11:100590887:100619576:-1 gene:ENSMUSG00000014195.15 transcript:ENSMUST00000155152.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc7 description:DnaJ heat shock protein family (Hsp40) member C7 [Source:MGI Symbol;Acc:MGI:1928373] XMAATEPELLEDEDAKREAESFKEQGNAYYAKKDYNEAYNYYTKAIDMCPNNASYYGNRA ATLMMLGRFREALGDAQQSVRLDDSFVRFKNANAVMEYEKIAEVDFEKRDFRKVVFCMDR ALEFAPACHRFKILKAECLAMLGRYPEAQFVASDILRMDSTNADALYVRGLCLYYEDCIE KAVQFFVQALRMAPDHEKACVACRNAKALKAKKEDGNKAFKEGNYKLAYELYTEALGIDP NNIKTNAKLYCNRGTVNSK >ENSMUSP00000115438.1 pep:known chromosome:GRCm38:11:100592964:100613342:-1 gene:ENSMUSG00000014195.15 transcript:ENSMUST00000146840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc7 description:DnaJ heat shock protein family (Hsp40) member C7 [Source:MGI Symbol;Acc:MGI:1928373] MWKLCRRRSREAESFKEQGNAYYAKKDYNEAYNYYTKAIDMCPNNASYYGNRAATLMMLG RFREALGDAQQSVRLDDSFVRGHLREGKCHLSLGNAMAACRSFQRALELDHKNAQAQQEF KNANAVMEYEKIAEVDFEKRDFRKVVF >ENSMUSP00000134331.1 pep:known chromosome:GRCm38:4:96195197:96236659:-1 gene:ENSMUSG00000081362.3 transcript:ENSMUST00000162514.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j7 description:cytochrome P450, family 2, subfamily j, polypeptide 7 [Source:MGI Symbol;Acc:MGI:2449816] MLSTVGSLVARIWSAIHLWTLLLTLLVFRLLADYLKNRRPKNYPPGPWRLPFVGNLFQFD LDVSQLHLRIQLFVKKYGNLISIDFGNISSVVITGLPLIKEAFTDMEQNLLKRPIIPSRK HVFKDNGIIFSSGQKWKEQRRFALMILKSFGLGKKSLEQRIQEEAYHLVKAMEEEKGQPF DPHFRINSAVSNIICSINFGERFEYGDNKFQELLKITNETLSAETSMMLVLYNALPSIMK YLPGPHQTVFRNWERLKMIVYHMMESHRKDWNPDEPRDFIDAFLTEMTKYPDKTTTSFNE ENLICSCLDLFIAGTETSSTALRWALLYMTLNPEVQERVHSEIDGVIGQWRQPSTGDRDS MPYTNAVIHEVLRMGNIVPLNVPREVTADSTLAGFHLPKGKMILTNLTALHRDPKEWATP DIFNPEHFLENGQFKKRESFLPFSMGKRACPGEQLARTELFIFFTALMQKFTFKAPVNEK LSLKFRMSVPISPVSYCICAVPRQ >ENSMUSP00000046074.7 pep:known chromosome:GRCm38:11:75531698:75577575:1 gene:ENSMUSG00000038178.16 transcript:ENSMUST00000042561.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a2 description:solute carrier family 43, member 2 [Source:MGI Symbol;Acc:MGI:2442746] MAPTLATAHRRRWWMACTAVLENLLFSAVLLGWGSLLIMLKSEGFYSYLCTKPENVTNST VGGSAEPEPEELSLVNGWLSCKAQDEILNLAFTVGSFLLSAITLPLGIIMDKYGPRKLRL LGSACFAVSCLLIAYGASNPDSLSVLIFIALALNGFGGMCMTFTSLTLPNMFGDLRSTFI ALMIGSYASSAVTFPGIKLIYDAGASFIGILVVWAGCSGLVFFNCFFNWPLEPFPGPEDM DYSVKIKFSWLGFDHKITGKQFYKQVTTVGRRLSVGSSMRTAKEQAALQEGHKLCLSTVD LEVKCQPDAAAAPSFMHSVFSPLLVLSLVTMCVTQLRLIFYMGAMNSILEFLVRGDQKTV ALYTSIFGALQLLCLLTAPVIGYIMDWKLKECEDTSEEPEEKEGTQGEKKQKRDRQIQKV TNAMRAFAFTNVLLVGFGVTCLIPNLPLQIFSFVLHTIVRGFIHSAVGGLYAAVYPSTQF GSLTGLQSLVSALFALLQQPLYLAMMGPLGGDPLWVNVGLLAMSMLGFCLPLYLICYRRQ LERQLQQKREDSKLFLKINGSSNREAFV >ENSMUSP00000104071.1 pep:known chromosome:GRCm38:11:75531698:75573883:1 gene:ENSMUSG00000038178.16 transcript:ENSMUST00000108433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a2 description:solute carrier family 43, member 2 [Source:MGI Symbol;Acc:MGI:2442746] MAPTLATAHRRRWWMACTAVLENLLFSAVLLGWGSLLIMLKSEGFYSYLCTKPENVTNST VGGSAEPEPEELSLVNGWLSCKAQDEILNLAFTVGSFLLSAITLPLGIIMDKYGPRKLRL LGSACFAVSCLLIAYGASNPDSLSVLIFIALALNGFGGMCMTFTSLTLPNMFGDLRSTFI ALMIGSYASSAVTFPGIKLIYDAGASFIGILVVWAGCSGLVFFNCFFNWPLEPFPGPEDM DYSVKIKFSWLGFDHKITGKQFYKQVTTVGRRLSVGSSMRTAKEQAALQEGHKLCLSTVD LEVKCQPDAAAAPSFMHSVFSPLLVLSLVTMCVTQLRLIFYMGAMNSILEFLVRGDQKTV ALYTSIFGALQLLCLLTAPVIGYIMDWKLKECEDTSEEPEEKEGTQGEKKQKRDRQIQKV TNAMRAFAFTNVLLVGFGVTCLIPNLPLQIFSFVLHTIVRGFIHSAVGGLYAAVYPSTQF GSLTGLQSLVSALFALLQQPLYLAMMGPLGGDPLWVNVGLLAMSMLGFCLPLYLICYRRQ LERQLQQKREDSKLFLKINGSSNREAFV >ENSMUSP00000117264.1 pep:known chromosome:GRCm38:11:75532138:75545830:1 gene:ENSMUSG00000038178.16 transcript:ENSMUST00000127226.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a2 description:solute carrier family 43, member 2 [Source:MGI Symbol;Acc:MGI:2442746] MAPTLATAHRRRWWMACTAVLENLLFSAVLLGWGSLLIMLKSEGFYSYLCTKPENVTNST VGGSAEPEPEELSLVNGWLSCKAQDEILNLAFTVGSFLLSAITLPLGIIMDKYGPRKLRL LGSACFAVSCLLIAYGASNPDSLSVLIFIALALNGFGGMCMTFTSLT >ENSMUSP00000123101.1 pep:known chromosome:GRCm38:11:75531743:75545777:1 gene:ENSMUSG00000038178.16 transcript:ENSMUST00000143035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a2 description:solute carrier family 43, member 2 [Source:MGI Symbol;Acc:MGI:2442746] MAPTLATAHRRRWWMACTAVLENLLFSAVLLGWGSLLIMLKSEGFYSYLCTKPENVTNST VGGSAEPEPEELSLVNGWLSCKAQDEILNLAFTVGSFLLSAITLPLGIIMDKYGPRKLRL LGSACFAVSCLLIAYGASNPDSLSVLIFI >ENSMUSP00000116255.1 pep:known chromosome:GRCm38:11:75531694:75543323:1 gene:ENSMUSG00000038178.16 transcript:ENSMUST00000149727.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a2 description:solute carrier family 43, member 2 [Source:MGI Symbol;Acc:MGI:2442746] MAPTLATAHRRRWWMACTAVLENLLFSAVLLGWGSLLIMLKSEGFYSYLCTKPENVTNST VGGSAEPEPEELSLVNGWLSCKAQDEILNLAFTVGSFLLSAITLPLGI >ENSMUSP00000126838.2 pep:known chromosome:GRCm38:11:75532112:75577570:1 gene:ENSMUSG00000038178.16 transcript:ENSMUST00000169547.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc43a2 description:solute carrier family 43, member 2 [Source:MGI Symbol;Acc:MGI:2442746] MAPTLATAHRRRWWMACTAVLENLLFSAVLLGWGSLLIMLKSEGFYSYLCTKPENVTNST VGGSAEPEPEELSLVNGWLSCKAQDEILNLAFTVGSFLLSAITLPLGIIMDKYGPRKLRL LGSACFAVSCLLIAYGASNPDSLSVLIFIALALNGFGGMCMTFTSLTLPNMFGDLRSTFI ALMIGSYASSAVTFPGIKLIYDAGASFIGILVVWAGCSGLVFFNCFFNWPLEPFPGPEDM DYSVKIKFSWLGFDHKITGKQFYKQVTTVGRRLSVGSSMRTAKEQAALQEGHKLCLSTVD LEVKCQPDAAAAPSFMHSVFSPLLVLSLVTMCVTQLRLIFYMGAMNSILEFLVRGDQKTV ALYTSIFGALQLLCLLTAPVIGYIMDWKLKECEDTSEEPEEKEGTQGEKKQKRDRQIQKV TNAMRAFAFTNVLLVGFGVTCLIPNLPLQIFSFVLHTIVRGFIHSAVGGLYAAVYPSTQF GSLTGLQSLVSALFALLQQPLYLAMMGPLGGDPLWVNVGLLAMSMLGFCLPLYLICYRRQ LERQLQQKREDSKLFLKINGSSNREAFV >ENSMUSP00000034648.9 pep:known chromosome:GRCm38:9:44273188:44287544:-1 gene:ENSMUSG00000032131.15 transcript:ENSMUST00000034648.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg4 description:ATP-binding cassette, sub-family G (WHITE), member 4 [Source:MGI Symbol;Acc:MGI:1890594] MAEKALEAVGCGLGPGAVAMAVTLEDGAEPPVLTTHLKKVENHITEAQRFSHLPKRSAVD IEFVELSYSVREGPCWRKRGYKTLLKCLSGKFCRRELIGIMGPSGAGKSTFMNILAGYRE SGMKGQILVNGRPRELRTFRKMSCYIMQDDMLLPHLTVLEAMMVSANLKLSEKQEVKKEL VTEILTALGLMSCSHTRTALLSGGQRKRLAIALELVNNPPVMFFDEPTSGLDSASCFQVV SLMKSLAHGGRTVICTIHQPSAKLFEMFDKLYILSQGQCIFKGVVTNLIPYLKGLGLHCP TYHNPADFIIEVASGEYGDLNPMLFRAVQNGLCTMAEKKSSPGKNELPAHCPTCPPELDP IESHTFATSTLTQFCILFRRTFLSILRDTVLTHLRFMSHVLIGVLIGLLYLHIGDDASKV FNNTGFLFFSMLFLMFAALMPTVLTFPLEMAVFMREHLNYWYTLKAYYLAKTMADVPFQV VCPVVYCSIVYWMTGQPAETSRFLLFSALAIATALVAQSLGLLIGAASTSLQVATFVGPV TAIPVLLFSGFFVSFKTIPTYLQWSSYLSYVRYGFEGLILTIYGMERGHLTCLDEQCPFR DPQIILRELDVEEAKLYMDFLVLGIFFLALRLLAYLVLRYRVKSER >ENSMUSP00000124647.1 pep:known chromosome:GRCm38:9:44273190:44288615:-1 gene:ENSMUSG00000032131.15 transcript:ENSMUST00000161354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg4 description:ATP-binding cassette, sub-family G (WHITE), member 4 [Source:MGI Symbol;Acc:MGI:1890594] MAEKALEAVGCGLGPGAVAMAVTLEDGAEPPVLTTHLKKVENHITEAQRFSHLPKRSAVD IEFVELSYSVREGPCWRKRGYKTLLKCLSGKFCRRELIGIMGPSGAGKSTFMNILAGYRE SGMKGQILVNGRPRELRTFRKMSCYIMQDDMLLPHLTVLEAMMVSANLKLSEKQEVKKEL VTEILTALGLMSCSHTRTALLSGGQRKRLAIALELVNNPPVMFFDEPTSGLDSASCFQVV SLMKSLAHGGRTVICTIHQPSAKLFEMFDKLYILSQGQCIFKGVVTNLIPYLKGLGLHCP TYHNPADFIIEVASGEYGDLNPMLFRAVQNGLCTMAEKKSSPGKNELPAHCPTCPPELDP IESHTFATSTLTQFCILFRRTFLSILRDTVLTHLRFMSHVLIGVLIGLLYLHIGDDASKV FNNTGFLFFSMLFLMFAALMPTVLTFPLEMAVFMREHLNYWYTLKAYYLAKTMADVPFQV VCPVVYCSIVYWMTGQPAETSRFLLFSALAIATALVAQSLGLLIGAASTSLQVATFVGPV TAIPVLLFSGFFVSFKTIPTYLQWSSYLSYVRYGFEGLILTIYGMERGHLTCLDEQCPFR DPQIILRELDVEEAKLYMDFLVLGIFFLALRLLAYLVLRYRVKSER >ENSMUSP00000123999.1 pep:known chromosome:GRCm38:9:44275095:44279600:-1 gene:ENSMUSG00000032131.15 transcript:ENSMUST00000162783.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcg4 description:ATP-binding cassette, sub-family G (WHITE), member 4 [Source:MGI Symbol;Acc:MGI:1890594] GGRTVICTIHQPSAKLFEMFDKLYILSQGQCIFKGVVTNLIPYLKGLGLHCPTYHNPADF RAGSY >ENSMUSP00000124154.1 pep:known chromosome:GRCm38:9:44281846:44288357:-1 gene:ENSMUSG00000032131.15 transcript:ENSMUST00000161408.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg4 description:ATP-binding cassette, sub-family G (WHITE), member 4 [Source:MGI Symbol;Acc:MGI:1890594] MAEKALEAVGCGLGPGAVAMAVTLEDGAEPPVLTTHLKKVENHITEAQRFSHLPKRSAVD IEFVELSYSVREGPCWRKRGYKTLLKCLSGKFCRRELIGIMGPSG >ENSMUSP00000124583.1 pep:known chromosome:GRCm38:9:44281909:44288138:-1 gene:ENSMUSG00000032131.15 transcript:ENSMUST00000160384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg4 description:ATP-binding cassette, sub-family G (WHITE), member 4 [Source:MGI Symbol;Acc:MGI:1890594] MAEKALEAVGCGLGPGAVAMAVTLEDGAEPPVLTTHLKKVENHITEAQRFSHLPKRSAVD IEFVELSYSVREGPCWRKRGYKTL >ENSMUSP00000002176.5 pep:known chromosome:GRCm38:2:6539694:7396000:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000002176.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCN ENDIRVMFSPFGQIEECRILRGPDGLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSP IVVKFADTQKDKEQRRLQQQLAQQMQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLG AFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATSTNANPLSSTSSALGALTSPVAASTP NSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAGMAALNGGLGATGLTNGTAGTMDALT QAYSGIQQYAAAALPTLYSQSLLQQQSAAGSQKEGPEGANLFIYHLPQEFGDQDILQMFM PFGNVISAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKRSKND SKPY >ENSMUSP00000110584.3 pep:known chromosome:GRCm38:2:6541869:6884996:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000114934.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MFERTSELAFVETISVESMRCPKSAVTMRNEELLLSNGTANKMNGALDHSDQPDPDAIKM FVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNA LHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECR ILRGPDGLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQ QQLAQQMQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQN LATLAAAAAAAQTSATSTNANPLSSTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQ GLAGATVGLNNINALAGMAALNGGLGATGLTNGTAGTMDALTQAYSGIQQYAAAALPTLY SQSLLQQQSAAGSQKEGPEGANLFIYHLPQEFGDQDILQMFMPFGNVISAKVFIDKQTNL SKCFGFVSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKRSKNDSKPY >ENSMUSP00000138355.1 pep:known chromosome:GRCm38:2:6543430:7081302:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000183209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MVSLISDLDSLRGWKALRETATELSGSPPSNGTANKMNGALDHSDQPDPDAIKMFVGQIP RSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNIKT LPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECRILRGPD GLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQQQLAQQ MQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQNLATLAA AAAAAQTSATSTNANPLSSTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQGLAGAT VGLNNINALAGMAALNGGLGATGLTNGTAGTMDALTQAYSGIQQYAAAALPTLYSQSLLQ QQSAAGSQKEGFVSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKRSKNDSKPY >ENSMUSP00000138363.1 pep:known chromosome:GRCm38:2:6544807:6884947:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000182851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MRCPKSAVTMRNEELLLSNGTANKMNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELF EPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKP ADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECRILRGPDGLSRGCAFVTFS TRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQQQLAQQMQQLNTATWGNL TGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATST NANPLSSTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAGM AALNGGLGATGLTNGTAGTMDALTQAYSGIQQYAAAALPTLYSQSLLQQQSAAGSQKEGP EGANLFIYHLPQEFGDQDILQMFMPFGNVISAKVFIDKQTNLSKCFGFVSYDNPVSAQAA IQAMNGFQIGMKRLKVQLKRSKNDSKPY >ENSMUSP00000138359.1 pep:known chromosome:GRCm38:2:6544841:6721615:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000182879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCN ENDIRVMFSPFGQIEECRILRGPDGLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSP IVVKFADTQKDKEQRRLQQQLAQQMQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLG AFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATSTNANPLSSTSSALGALTSPVAASTP NSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAGTINSMAALNGGLGATGLTNGTAGTM DALTQAYSGIQQYAAAALPTLYSQSLLQQQSAAGSQKEGFVSYDNPVSAQAAIQAMNGFQ IGMKRLKVQLKRSKNDSKPY >ENSMUSP00000138764.1 pep:known chromosome:GRCm38:2:6544841:7081242:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000182706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MVSLISDLDSLRGWKALRETATELSGSPPSNGTANKMNGALDHSDQPDPDAIKMFVGQIP RSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNIKT LPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECRILRGPD GLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQQQLAQQ MQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQNLATLAA AAAAAQTSATSTNANPLSSTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQGLAGAT VGLNNINALAGMAALNGGLGATGLTNGTAGTMDALTQAYSGIQQYAAAALPTLYSQSLLQ QQSAAGSQKEGPEGANLFIYHLPQEFGDQDILQMFMPFGNVISAKVFIDKQTNLSKCFGF VSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKRSKNDSKPY >ENSMUSP00000110577.1 pep:known chromosome:GRCm38:2:6545635:6721928:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000114927.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCN ENDIRVMFSPFGQIEECRILRGPDGLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSP IVVKFADTQKDKEQRRLQQQLAQQMQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLG AFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATSTNANPLSSTSSALGALTSPVAASTP NSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAGTINSMAALNGGLGATGLTNGTAGTM DALTQAYSGIQQYAAAALPTLYSQSLLQQQSAAGSQKEGPEGANLFIYHLPQEFGDQDIL QMFMPFGNVISAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKR SKNDSKPY >ENSMUSP00000120459.2 pep:known chromosome:GRCm38:2:6546240:6721613:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000142941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCN ENDIRVMFSPFGQIEECRILRGPDGLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSP IVVKFADTQKDKEQRRLQQQLAQQMQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLG AFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATSTNANPLSSTSSALGALTSPVAASTP NSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAVAQMLSGMAALNGGLGATGLTNGTAG TMDALTQAYSGIQQYAAAALPTLYSQSLLQQQSAAGSQKEGPEGANLFIYHLPQEFGDQD ILQMFMPFGNVISAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQAMNGFQIGMKRLKVQL KRSKNDSKPY >ENSMUSP00000138297.1 pep:known chromosome:GRCm38:2:6546240:6721613:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000150624.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCN ENDIRVMFSPFGQIEECRILRGPDGLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSP IVVKFADTQKDKEQRRLQQQLAQQMQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLG AFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATSTNANPLSSTSSALGALTSPVAASTP NSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAGTINSMAALNGGLGATGLTNGTAGTM DALTQAYSGIQQYAAAALPTLYSQSLLQQQSAAGSQKEGPEGANLFIYHLPQEFGDQDIL QMFMPFGNVISAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKR SKNDSKPY >ENSMUSP00000138795.1 pep:known chromosome:GRCm38:2:6546334:6884946:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000183091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MRCPKSAVTMRNEELLLSNGTANKMNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELF EPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKP ADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECRILRGPDGLSRGCAFVTFS TRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQQQLAQQMQQLNTATWGNL TGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATST NANPLSSTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAGM AALNGGLGATGLTNGTAGTMDALTQAYSGIQQYAAAALPTLYSQSLLQQQSAAGSQKEGF VSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKRSKNDSKPY >ENSMUSP00000138974.1 pep:known chromosome:GRCm38:2:6546859:6872597:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000183984.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] SARFGGRPGRPRAPVPACLAPPAAARTRAQPPAAAAAAAAAAASATAAAAAACAENMTSA FKLDFLPDMMVEGRLLVADRINGTANKMNGALDHSDQPDPDAIKMFVGQIPRSWSEKELK ELFEPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQ MKPADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECRILRGPDGLSRGCAFV TFSTRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQQQLAQQMQQLNTATW GNLTGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQNLATLAAAAAAAQTSA TSTNANPLSSTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQGLAGATVGLNNINAL AGTINNLRSRGIYFQKIPVVWRL >ENSMUSP00000138769.1 pep:known chromosome:GRCm38:2:6553889:7395893:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000182404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECRILRGPDGLS RGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQQQLAQQMQQ LNTATWGNLTGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQNLATLAAAAA AAQTSATSTNANPLSSTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQGLAGATVGL NNINALAGTINSMAALNGGLGATGLTNGTAGTMDALT >ENSMUSP00000138694.1 pep:known chromosome:GRCm38:2:6615729:7396198:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000137733.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCN ENDIRVMFSPFGQI >ENSMUSP00000138666.1 pep:known chromosome:GRCm38:2:6624704:6871972:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000123142.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNIKTLPGMHHPI >ENSMUSP00000110573.2 pep:known chromosome:GRCm38:2:6664003:7081207:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000114923.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MVSLISDLDSLRGWKALRETATELSGSPPSNGTANKMNGALDHSDQPDPDAIKMFVGQIP RSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNALHNIKT LPGVSRFTFVPKSLYCLKMVLSTKGSSYGFLVPKMTLVF >ENSMUSP00000138785.1 pep:known chromosome:GRCm38:2:6721456:6722209:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000182657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELFEPYGAVYQIN >ENSMUSP00000130829.1 pep:known chromosome:GRCm38:2:6539705:6884996:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000170438.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MFERTSELAFVETISVESMRCPKSAVTMRNEELLLSNGTANKMNGALDHSDQPDPDAIKM FVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNA LHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECR ILRGPDGLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQ QQLAQQMQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQN LATLAAAAAAAQTSATSTNANPLSSTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQ GLAGATVGLNNINALAGMAALNGGLGATGLTNGTAGTMDALTQAYSGIQQYAAAALPTLY SQSLLQQQSAAGSQKEGFVSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKRSKNDSKPY >ENSMUSP00000110574.3 pep:known chromosome:GRCm38:2:6539705:6884996:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000114924.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MFERTSELAFVETISVESMRCPKSAVTMRNEELLLSNGTANKMNGALDHSDQPDPDAIKM FVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKGCCFVTFYTRKAALEAQNA LHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCNENDIRVMFSPFGQIEECR ILRGPDGLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSPIVVKFADTQKDKEQRRLQ QQLAQQMQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQN LATLAAAAAAAQTSATSTNANPLSSTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQ GLAGATVGLNNINALAGMAALNGGLGATGLTNGTAGTMDALTQAYSGIQQYAAAALPTLY SQSLLQQQSAAGSQKEGPEGANLFIYHLPQEFGDQDILQMFMPFGNVISAKVFIDKQTNL SKCFGFVSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKRSKNDSKPY >ENSMUSP00000097996.4 pep:known chromosome:GRCm38:2:6539705:6721615:-1 gene:ENSMUSG00000002107.18 transcript:ENSMUST00000100429.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf2 description:CUGBP, Elav-like family member 2 [Source:MGI Symbol;Acc:MGI:1338822] MNGALDHSDQPDPDAIKMFVGQIPRSWSEKELKELFEPYGAVYQINVLRDRSQNPPQSKG CCFVTFYTRKAALEAQNALHNIKTLPGMHHPIQMKPADSEKSNAVEDRKLFIGMVSKKCN ENDIRVMFSPFGQIEECRILRGPDGLSRGCAFVTFSTRAMAQNAIKAMHQSQTMEGCSSP IVVKFADTQKDKEQRRLQQQLAQQMQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLG AFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATSTNANPLSSTSSALGALTSPVAASTP NSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAGMAALNGGLGATGLTNGTAGTMDALT QAYSGIQQYAAAALPTLYSQSLLQQQSAAGSQKEGPEGANLFIYHLPQEFGDQDILQMFM PFGNVISAKVFIDKQTNLSKCFGFVSYDNPVSAQAAIQAMNGFQIGMKRLKVQLKRSKND SKPY >ENSMUSP00000052662.2 pep:known chromosome:GRCm38:7:103740294:103741238:-1 gene:ENSMUSG00000047545.3 transcript:ENSMUST00000051346.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr629 description:olfactory receptor 629 [Source:MGI Symbol;Acc:MGI:3030463] MAGNATHHIASFFLVGIPGLENFHCWIGIPVCLLFALTLLGNSIILTTVKLEPSLHQPMY FFLCMLAMNDMCLTCSTALKMLGIFWFDEHWINFDACLTQMFFIHTLCIMESAILVAMAF DRFVAICIPLHYTSILTTPMVIKIGLVGLSRAILMIMPCPLLIKRLLYYTKYVIHHAYCE HMAVVKMASGNTQVNRIYGILVALSVTIFDLGLIVTSYIKILQAVFRLSSQNARSKALGT CVAHVCTILAFYTPALFSFLTHRFGKNVPASIHIIFAILYLLVSPTVNPLVYGAKTKQIR DRVVSLLFSQKQKF >ENSMUSP00000020568.3 pep:known chromosome:GRCm38:11:21572235:21898989:1 gene:ENSMUSG00000020319.9 transcript:ENSMUST00000020568.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdpcp description:WD repeat containing planar cell polarity effector [Source:MGI Symbol;Acc:MGI:2144467] MSFCLTELHLWSLKSTLHIADRDIGVYQYYDKKDPSVSATEHGNLEEKQRLAESRDYPWT LKNRRPEKLRDSLKELEELMQSSPCVLSKWKSKYICQLLFGSGVLVSLSLSGPQLEKVVI DRSLVGKLISDTISDALLTDSFIILSFLAQNKLCFIQFTKKMDSLDGNKRLEKLSALDLK ISYYDIPGPANRTIDRHLAVNSTQDLVVCWWPLVSDDVWPWTPVSSEKDRANMLLLGFTQ GGLEVLSFVRTEWSPLDVHFGTKQPYQVFTVECSVSVDKEPMADSCIYESVRNKLHCVSV TRIPLRSKAISCCRNSTEDKLIVGCEDSSVILYEAHRGVTLLAQAELRPSLISCHPSGAI LLVGSNQGELQIFDIALSPINIQLLAEDYSPKETLQFKKFFDVSSSLVQMQWMAPPVVFQ KPKRGEICDLLFLRFNKGPLGVLLFKLGILTRGQLGLVDLILQYIHYSEVYEAISILRSM DWDTLGQQCLIGMGTIVNHLLRQRLTPEREAQLEASLGTFYAPTRPLLDTTILEYREPVS KYARRLFHHLLRYKRFEKAFLLAVDIGARDLFMDIHYLALDMGELALAEVARRRAHDIDV ESVSSGVELLGPLDRRDMLNEGFASSALMPEGENKFPGLLPSIGSTHMQTLQQKIPNGPS SRWAIERRTEEEEEEEEEEEEELCTDSSGATTWNAEGELKEDQRKQDIGDVGSLRMVHFG LV >ENSMUSP00000120122.1 pep:known chromosome:GRCm38:11:21572235:21664385:1 gene:ENSMUSG00000020319.9 transcript:ENSMUST00000131135.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdpcp description:WD repeat containing planar cell polarity effector [Source:MGI Symbol;Acc:MGI:2144467] MSFCLTELHLWSLKSTLHIADRDIGVYQYYDKKDPSVSATEHGNLEEKQRLAESRDYPWT LKNRRPEKLRDSLKELEELMQSSPCVLSKWKSKYICQ >ENSMUSP00000035379.2 pep:known chromosome:GRCm38:X:56454877:56507839:1 gene:ENSMUSG00000035967.15 transcript:ENSMUST00000039374.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx26b description:DEAD/H (Asp-Glu-Ala-Asp/His) box polypeptide 26B [Source:MGI Symbol;Acc:MGI:2442593] MPILLFLIDTSASMNQRTDLGTSYLDIAKGAVELFLKLRARDPASRGDRYMLVTYDEPPY CIKAGWKENHATFMNELKNLQASGLTTLGQALRSSFDLLNLNRLISGIDNYGQGRNPFFL EPSILITITDGNKLTSTASVQEELHLPLNSPLPGSELTKEPFRWDQRLFALVLRLPGVAS TEPEQLGSVPSDESAITQMCEVTGGRSYCVRTQRMLNQCLESLVQKVQSGVVINFEKTGP DPLPVGEDTLMELCRPSNLFAAQPWHSCHKLIYVRPNSKTGVPVGHWPIPESFWPEQNLP SLPPRTSHPVVRFSCVDCEPMVIDKLPFDKYELEPSPLTQYILERKSPHTCWQVFVTSSS KYNELGYPFGYLKASTTLTCVNLFVMPYNYPVLLPLLDDLFKVHKLKPNLKWRQAFDSYL KTLPPYYLITKLESDQILASVGKKPPQEIGIKVKNHSACGLPLAHNKSFRKLLKEIIGES APRLTELNPKEFAGFQVGLLNKDLKPQTYRNAYDIPRRGLLDQLTRMRSNLLQTRKFIVG QDEDSLHSVPVAQMGNYQEYLKMLASPLRELDPDQPKRLHTFGNPFKQDKKGMMIDEADE FVVGPQNKVKRPGEPNSPMSSKRRRSMSLLLRRAQTAPTVTNHVGGKGPLSASWFPSCPS LVKHTFGHPDTTVIHDTHEEKMENGQSPPDGFLLKSAPAEFMNVSGESFISSQLDSLSDD FTGLRKDGFIHKPGNNILLGGAKTCSLSVADQKITMASALETVPNSMQITPAMAQGINAD IKHQLMKEVRKFGRKYERIFILLEEVQGPLETKKQFVEFTIKEAARFKRRVLIQYLEKVL EKIDSHHLLNNVNHINSRSSC >ENSMUSP00000099089.2 pep:known chromosome:GRCm38:X:56454880:56495720:1 gene:ENSMUSG00000035967.15 transcript:ENSMUST00000101553.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx26b description:DEAD/H (Asp-Glu-Ala-Asp/His) box polypeptide 26B [Source:MGI Symbol;Acc:MGI:2442593] MPILLFLIDTSASMNQRTDLGTSYLDIAKGAVELFLKLRARDPASRGDRYMLVTYDEPPY CIKAGWKENHATFMNELKNLQASGLTTLGQALRSSFDLLNLNRLISGIDNYGQGRNPFFL EPSILITITDGNKLTSTASVQEELHLPLNSPLPGSELTKEPFRWDQRLFALVLRLPGVAS TEPEQLGSVPSDESAITQMCEVTGGRSYCVRTQRMLNQCLESLVQKVQSGVVINFEKTGP DPLPVGEDTLMELCRPSNLFAAQPWHSCHKLIYVRPNSKTGVPVGHWPIPESFWPEQNLP SLPPRTSHPVVRFSCVDCEPMVIDKLPFDKYELEPSPLTQYILERKSPHTCWQVFVTSSS KYNELGYPFGYLKASTTLTCVNLFVMPYNYPVLLPLLDDLFKVHKLKPNLKWRQAFDSYL KTLPPYYLIPLKKALRMMGAPNLISDNLDCGLSYSVISYLKKLSQQVVLVKSNKPKSFAL RSAFPYSLV >ENSMUSP00000138630.1 pep:known chromosome:GRCm38:X:56454885:56507843:1 gene:ENSMUSG00000035967.15 transcript:ENSMUST00000132428.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddx26b description:DEAD/H (Asp-Glu-Ala-Asp/His) box polypeptide 26B [Source:MGI Symbol;Acc:MGI:2442593] MPILLFLIDTSASMNQRTDLGTSYLDIAKGAVELFLKLRARDPASRGDRYMLVTYDEPPY CIKAGWKENHATFMNELKNLQASGLTTLGQALRSSFDLLNLNRLISGIDNYGQGRNPFFL EPSILITITDGNKLTSTASVQEELHLPLNSPLPGSELTKEPFRWDQRLFALVLRLPGVAS TEPEQLGSVPSDESAITQMCEVTGGRSYCVRTQRMLNQCLESLVQKVQSGVVINFEKTGP DPLPVGEDTLMELCRPSNLFAAQPWHSCHKLIYVRPNSKTGVPVGHWPIPESFWPEQNLP SLPPRTSHPVVRFSCVDCEPMVIDKLPFDKYELEPSPLTQYILERKSPHTCWQVFVTSSS KYNELGYPFGYLKASTTLTCVNLFVMPYNYPVLLPLLDDLFKVHKLKPNLKWRQAFDSYL KTLPPYYLITKLESDQILASVGKKPPQEIGIKVKNHSACGLPLAHNKSFRKLLKEIIGES APRLTELNPKEFAGFQVGLLNKDLKPQTYRNAYDIPRRGLLDQLTRMRSNLLQTRKFIVG QDEDSLHSVPVAQMGNYQEYLKMLASPLRELDPDQPKRLHTFGNPFKQDKKGMMIDEADE FVVGPQNKVKRPGEPNSPMSSKRRRSMSLLLRRAQTAPTVTNHVGGKGPLSASWFPSCPS LVKHTFGHPDTTVIHDTHEEKMENGQSPPDGFLLKSAPAEFMNVSGESFISSQLDSLSDD FTGLRKDGFIHKPGNNILLGGAKTCSLSVADQKITMASALETVPNSMQITPAMAQGINAD IKHQLMKEVRKFGRKYERIFILLEEVQGPLETKKQFVEFTIKEAARFKRRVLIQYLEKVL EKIDSHHLLNNVNHINSRSSC >ENSMUSP00000118865.1 pep:known chromosome:GRCm38:X:56483818:56507838:1 gene:ENSMUSG00000035967.15 transcript:ENSMUST00000143142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx26b description:DEAD/H (Asp-Glu-Ala-Asp/His) box polypeptide 26B [Source:MGI Symbol;Acc:MGI:2442593] XAQPWHSCHKLIYVRPNSKTGVPVGHWPIPESFWPEQNLPSLPPRTSHPVVRFSCVDCEP MVIDKLPFDKYELEPSPLTQYILERKSPHTCWQVFVTSSSKYNELGYPFGYLKASTTLTC VNLFVMPYNYPVLLPLLDDLFKVHKLKPNLKWRQAFDSYLKTLPPYYLIPLKKALRMMGA PNLISDNLDCGLSYSVISYLKKLSQQTKLESDQILASVGKKPPQEIGIKVKNHSACGLPL AHNKSFRKLLKEIIGESAPRLTELNPKEFAGFQVGLLNKDLKPQTYRNAYDIPRRGLLDQ LTRMRSNLLQTRKFIVGQDEDSLHSVPVAQMGNYQEYLKMLASPLRELDPDQPKRLHTFG NPFKQDKKGMMIDEADEFVVGPQNKVKRPGEPNSPMSSKRRRSMSLLLRRAQTAPTVTNH VGGKGPLSASWFPSCPSLVKHTFGHPDTTVIHDTHEEKMENGQSPPDGFLLKSAPAEFMN VSGESFISSQLDSLSDDFTGLRKDGFIHKPGNNILLGGAKTCSLSVADQKITMASALETV PNSMQITPAMAQGINADIKHQLMKEVRKFGRKYERIFILLEEVQGPLETKKQFVEFTIKE AARFKRRVLIQYLEKVLEKIDSHHLLNNVNHINSRSSC >ENSMUSP00000119095.1 pep:known chromosome:GRCm38:X:56505067:56507685:1 gene:ENSMUSG00000035967.15 transcript:ENSMUST00000142624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx26b description:DEAD/H (Asp-Glu-Ala-Asp/His) box polypeptide 26B [Source:MGI Symbol;Acc:MGI:2442593] XLMKEVRKFGRKYERIFILLEEVQGPLETKKQFVEFTIKEAARFKRRVLIQYLEKVLEKI DSHHLLNNVNHINSRSSC >ENSMUSP00000139507.1 pep:known chromosome:GRCm38:X:56454885:56507840:1 gene:ENSMUSG00000035967.15 transcript:ENSMUST00000186445.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx26b description:DEAD/H (Asp-Glu-Ala-Asp/His) box polypeptide 26B [Source:MGI Symbol;Acc:MGI:2442593] MPILLFLIDTSASMNQRTDLGTSYLDIAKGAVELFLKLRARDPASRGDRYMLVTYDEPPY CIKAGWKENHATFMNELKNLQASGLTTLGQALRSSFDLLNLNRLISGIDNYGQGRNPFFL EPSILITITDGNKLTSTASVQEELHLPLNSPLPGSELTKEPFRWDQRLFALVLRLPGVAS TEPEQLGSVPSDESAITQMCEVTGGRSYCVRTQRMLNQCLESLVQKVQSGVVINFEKTGP DPLPVGEDTLMELCRPSNLFAAQPWHSCHKLIYVRPNSKTGVPVGHWPIPESFWPEQNLP SLPPRTSHPVVRFSCVDCEPMVIDKLPFDKYELEPSPLTQYILERKSPHTCWQVFVTSSS KYNELGYPFGYLKASTTLTCVNLFVMPYNYPVLLPLLDDLFKVHKLKPNLKWRQAFDSYL KTLPPYYLITKLESDQILASVGKKPPQEIGIKVKNHSACGLPLAHNKSFRKLLKEIIGES APRLTELNPKEFAGFQVGLLNKDLKPQTYRNAYDIPRRGLLDQLTRMRSNLLQTRKFIVG QDEDSLHSVPVAQMGNYQEYLKMLASPLRELDPDQPKRLHTFGNPFKQDKKGMMIDEADE FVVGPQNKVKRPGEPNSPMSSKRRRSMSLLLRRAQTAPTVTNHVGGKGPLSASWFPSCPS LVKHTFGHPDTTVIHDTHEEKMENGQSPPDGFLLKSAPAEFMNVSGESFISSQLDSLSDD FTGLRKDGFIHKPGNNILLGGAKTCSLSVADQKITMASALETVPNSMQITPAMAQGINAD IKHQLMKEVRKFGRKYERIFILLEEVQGPLETKKQFVEFTIKEAARFKRRVLIQYLEKVL EKIDSHHLLNNVNHINSRSSC >ENSMUSP00000102493.1 pep:known chromosome:GRCm38:7:103754587:103755652:-1 gene:ENSMUSG00000050281.3 transcript:ENSMUST00000106880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr630 description:olfactory receptor 630 [Source:MGI Symbol;Acc:MGI:3030464] MATSNSSTIVSSTFYLTGIPGYEEFHHWISIPFCFLYLVGITGNCMILHIVRTDPRLHEP MYYFLAMLSLTDMAMSLPTMMSLFRVLWSISREIQFNICVVQMFLIHTFSFTESSVLLAM ALDRYVAICHPLRYATILTPKLIAKIGTAALLRSSILIIPLIARLAFFPFCGSHVLSHSY CLHQDMIRLACADIRFNVIYGLVLITLLWGMDSLGIFVSYVLILHSVLKIASREGRLKAL NTCASHICAVLILYVPMIGLSIVHRFAKHSSPLIHIFMAHIYLLVPPVLNPIIYSVKTKQ IREGILHLLCSPKISSITM >ENSMUSP00000030638.6 pep:known chromosome:GRCm38:4:134315122:134329609:1 gene:ENSMUSG00000028834.13 transcript:ENSMUST00000030638.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim63 description:tripartite motif-containing 63 [Source:MGI Symbol;Acc:MGI:2447992] MDYKSSLIPDGNAMENLEKQLICPICLEMFTKPVVILPCQHNLCRKCANDIFQAANPYWT NRGGSVSMSGGRFRCPSCRHEVIMDRHGVYGLQRNLLVENIIDIYKQECSSRPLQKGSHP MCKEHEDEKINIYCLTCEVPTCSLCKVFGAHQACEVAPLQSIFQGQKTELSNCISMLVAG NDRVQTIISQLEDSCRVTKENSHQVKEELSQKFDTLYAILDEKKSELLQRITQEQEEKLG FIEALILQYREQLEKSTKLVETAIQSLDEPGGATFLSSAKQLIKSIVEASKGCQLGKTEQ GFENMDYFTLDLEHIAEALRAIDFGTDEEEEEEEFTEEEADEEEGVTTEEHQ >ENSMUSP00000101501.1 pep:known chromosome:GRCm38:4:134315120:134329629:1 gene:ENSMUSG00000028834.13 transcript:ENSMUST00000105875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim63 description:tripartite motif-containing 63 [Source:MGI Symbol;Acc:MGI:2447992] MDYKSSLIPDGNAMENLEKQLICPICLEMFTKPVVILPCQHNLCRKCANDIFQAANPYWT NRGGSVSMSGGRFRCPSCRHEVIMDRHGVYGLQRNLLVENIIDIYKQECSSRPLQKGSHP MCKEHEDEKINIYCLTCEVPTCSLCKVFGAHQACEVAPLQSIFQGQKTELSNCISMLVAG NDRVQTIISQLEDSCRVTKENSHQVKEELSQKFDTLYAILDEKKSELLQRITQEQEEKLG FIEALILQYREQLEKSTKLVETAIQSLDEPGGATFLSSAKQLIKSIVEASKGCQLGKTEQ GFENMDYFTLDLEHIAEALRAIDFGTDEEEEEEEFTEEEADEEEGVTTEGKEEHQ >ENSMUSP00000102491.1 pep:known chromosome:GRCm38:7:103767445:103768395:-1 gene:ENSMUSG00000058662.3 transcript:ENSMUST00000106878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr69 description:olfactory receptor 69 [Source:MGI Symbol;Acc:MGI:1341789] MIKFNGSVFMPSVLTLVGIPGLESVQCWIGIPFCVMYIIAMIGNSLILVIIKSEKSLHIP MYIFLAILAVTDIALSTCILPKMLGIFWFHMPQISFDACLLQMELIHSFQATESGILLAM ALDRYVAICNPLRHATIFSPQLTTCLGAGALLRSLITTFPLILLIKCRLKYFRTTIISHS YCEHMAIVKLAAQDIRINKICGLLVAFAILGFDIVFITFSYVRIFITVFQLPQKEARFKA FNTCIAHICVFLQFYLLAFFSFFTHRFGAHIPPYVHILLSDLYLLVPPFLNPIVYGVKTK QIRDQVLKMLFSKKPL >ENSMUSP00000090814.4 pep:known chromosome:GRCm38:3:96433788:96452306:-1 gene:ENSMUSG00000046317.14 transcript:ENSMUST00000093126.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC107364 description:cDNA sequence BC107364 [Source:MGI Symbol;Acc:MGI:3618860] MLRRFVLAAKPRLGGVRLQNRSRGKEKFNPEFELLACLNRKPDNQTDCPNHLKSASLTQT KTGPHRSELQCPKANSRGRQRYKKLWIRFCQHVYIPWCPRKPEEGIRSPETGVIDWSLWF CHHDTSGSVSLMDFSTCCGS >ENSMUSP00000096440.3 pep:known chromosome:GRCm38:3:96433788:96452306:-1 gene:ENSMUSG00000046317.14 transcript:ENSMUST00000098841.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC107364 description:cDNA sequence BC107364 [Source:MGI Symbol;Acc:MGI:3618860] MLRRFVLAAKPRLGGVRLQNRSRGKEKFNPEFELLACLNRKPDNQTDCPNHLKSASLTQT KTGPHRSELQCPKANSRGRQRYKKLWISSATMTRRVLFH >ENSMUSP00000099465.3 pep:known chromosome:GRCm38:4:155654682:155669198:-1 gene:ENSMUSG00000029060.17 transcript:ENSMUST00000103176.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mib2 description:mindbomb homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2679684] MDLDPHAGVQVGMRVVRGMDWKWGQQDGGEGGVGTVVELGRHGSPSTPDRTVVVQWDQGT RTNYRAGYQGAHDLLLYDNAQIGIRHPNIICDCCKKHGLRGMRWKCRVCFDYDLCTQCYM HNKHDLTHAFERYETSHSRPVTLSPRQGLPRIPLRGIFQGAKVVRGPDWEWGSQDGGEGK TGRVVDIRGWDVETGRSVASVTWADGTTNVYRVGHKGKVDLRCVGEAAGGFYYKEHLPKL GKPAELQRRVSADGQPFQRGDKVKCLLDTDVLRDMQEGHGGWNPRMAEHNSFWVGDVVRV IGDLDTVKRLQAGHGEWTDDMAPALGRVGKVVKVFGDGNLRVAVGGQRWTFSPSCLVAYR PEEDANLDVAERARENKSSLSVALDKLRTQKSDPEHPGRLVVEAALGNVARALDLLRRHP EQVDTKNQGRTALQVAAYLGQVELVRLLLQARASMDLPDDEGNTVLHYTAMGNQPEATRV LLSAGCAVDARNGTRSTALHVAVQRGFLEVVKILCERGCDVNLPDAHADTPLHSAISAGA GASSIVEVLTEVPGIDVTATNSQGFTLLHHASLKGHVLAVRKILARARQLVDAKKEDGFT ALHLAALNNHREVAQVLIREGRCDVNVRNRKLQSPLHLAVQQAHLGLVPLLVDAGCSVNT EDEEGDTALHVALQRHQLLPLVADRAGGDPGPLQLLSRLQASGLPGCTELTVGAAVACFL ALEGADVSYANHRGRSPLDLATEGRVLKALQGCAQRFRERQAGGGGGVPPGPRHVLSTPN TVTNLHVSGTAGPEAAECLVCSELALLILFSPCQHRTVCEECARRMKKCIRCQVVISKKL RPDGSEVVNAIQVPGPPRQLVEELQSRYRQMEERITCPICIDSHIRLVFQCGHGACAPCG AALNACPICRQPIRDRIQIFV >ENSMUSP00000122269.1 pep:known chromosome:GRCm38:4:155656943:155659583:-1 gene:ENSMUSG00000029060.17 transcript:ENSMUST00000141108.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mib2 description:mindbomb homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2679684] GGEGKTGRVVDIRGWDVETGRSVASVTWADGTTNVYRVGHKGKVDLRCVGEAAGGFYYKE HLPKLGKPAELQRRVSADGQPFQRGDKVKCLLDTDVLRDMQEGHGGWNPRMAEFIGQMGT VHRITDRGDVRVQFNHETRWTFHPGALTKHNSFWVGDVVRVIGDLDTVKRLQAGHGEWTD DMAPALGRVGKVVKVFGDGNLRVAVGGQRWTFSPSCLVAYRPEEDANLDVAERARENKSS LSVALDKLRTQKSDPEHPGRLVVEAALGNVARALDLLRRHPEQVDTKNQGRTALQVAAYL GQVELVRLLLQARASMDLPDDEGNTVLHYTAMGNQPEATRVLLSAGCAVDARNGTRSTAL HVAVQRGFLEVVKIL >ENSMUSP00000072329.3 pep:known chromosome:GRCm38:7:103777396:103778343:-1 gene:ENSMUSG00000061626.5 transcript:ENSMUST00000072513.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr68 description:olfactory receptor 68 [Source:MGI Symbol;Acc:MGI:1341790] MIKFNGSVFMPSVLTLVGIPGLESVQCWIGIPFCVMYIIAMIGNSLILVIIKSEKSLHIP MYIFLAILAVTDIALSTCILPKMLGIFWFHMPQIFFDACLLQMELIHSFQATESGILLAM ALDRYVAICNPLRHATIFSPQLTTCLGAGALLRAFILVSPSILLIKCRLKYFQTTIISHS YCEHMAIVKLAAQDIRINKICGLLVAFAILGFDIVFITFSYVRIFITVFQLPQKEARFKA FNTCIAHICVFLQFYLLAFFSFFTHRFGAHIPPYVHILLSDLYLLVPPFLNPIVYGVKTK QIRDQVLKMLFSKKH >ENSMUSP00000079974.5 pep:known chromosome:GRCm38:6:34880426:34910876:-1 gene:ENSMUSG00000058486.11 transcript:ENSMUST00000081214.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr91 description:WD repeat domain 91 [Source:MGI Symbol;Acc:MGI:2141558] MAEAVERTDELVREYLLFRGFTHTLRQLDAEIKADKEKGFRVDKIVDQLQQLMQVYDLAA LRDYWSYLERRLFSRLEDIYRPTINKLKTSLFRFYLVYTIQTNRNDKAQEFFAKQATELQ NQAEWKDWFVLPFLPSPDTNPTFATYFSRQWADTFIISLHNFLSVLFQCMPVPVILNFDA ECQRTNQVQEENEVLRQKLFALQAEIHRLKKEEQQQEEEAAALVQHKLPPYVSSMDRLGD SELALVCSQRPASLSQSPRVGFLSSLLPQSKKSPSRLSPAQGPPQAQSSAKKDSFSSQAT KGKDSVPGAKDGKSLLSGPVPGEASWTHQRQRRLQDHGKERRELLSTSSSQSQCAERKPE VSGAEAEPCLELHMGPVEVLARVSTAGSEGDRPEQPFIVLSQEEYGEHHSSIMHCRVDCS GRRVASLDVDGVIKVWSFNPIMQTKASSISKSPLLSLEWATKRDRLLLLGSGVGTVRLYD TEAKKNLCEININDDMPRILSLACSPNGASFVCSAAAPSLTSQTDSSAPDIGSKGMNQVP GKLLLWDTKTMKQQLQFSLDPEPIAINCTAFNHNGNLLVTGAADGVIRLFDMQQHECAMS WKAHCGEVYSVEFSCDENAVYSIGEDRKFIQWNIHKSGLKVSESNLPSDATGPFVLSGYS GYKQVQVPRGRLFAFDSEGNYMLTCSATGGLIYKLGSEEKVLENCLSLGGHRAPVVTVDW STAMDCGTCLTASMDGKIKLTTLLAHKL >ENSMUSP00000138406.1 pep:known chromosome:GRCm38:6:34880426:34910876:-1 gene:ENSMUSG00000058486.11 transcript:ENSMUST00000146968.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr91 description:WD repeat domain 91 [Source:MGI Symbol;Acc:MGI:2141558] MAEAVERTDELVREYLLFRGFTHTLRQLDAEIKADKEKGFRVDKIVDQLQQLMQVYDLAA LRDYWSYLERRLFSRLEDIYRPTINKLKTSLFRFYLVYTIQTNRNDKAQEFFAKQATELQ NQAEWKDWFVLPFLPSPDTNPTFATYFSRQWADTFIISLHNFLSVLFQCMPVPVILNFDA ECQRTNQVQEENEVLRQKLFALQAEIHRLKKEEQQQEEEAAALVQHKLPPYVSSMDRLGD SELALVCSQRPASLSQSPRVGFLSSLLPQSKKSPSRLSPAQGPPQAQSSAKKDSFSSQAT KGKDSVPGAKDGKSLLSGPVPGEASWTHQRQRRLQDHGKERRELLSTSSSQSQCAERKPE VSGAEAEPCLELHMGPVEVLARVSTAGSEGDRPEQPFIVLSQEEYGEHHSSIMHCRVDCS GRRVASLDVDGVIKVWSFNPIMQTKASSISKSPLLSLEWATKRDRLLLLGSGVGTVRLYD TEAKKNLCEININDDMPRILSLACSPNGASFVCSAAAPSLTSQTDSSAPDIGSKGMNQVP GKLLLWDTKTMKQQLQFSLDPEPIAINCTAFNHNGNLLVTGAADGVIRLFVHPVEYPQEW PQGV >ENSMUSP00000120164.1 pep:known chromosome:GRCm38:6:34904667:34910595:-1 gene:ENSMUSG00000058486.11 transcript:ENSMUST00000149448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr91 description:WD repeat domain 91 [Source:MGI Symbol;Acc:MGI:2141558] MQVYDLAALRDYWSYLERRLFSRLEDIYRPTINKLKTSLFRFYLVYTIQTNRNDKAQEFF AKQATELQNQAEWKDWFVLPFLPSPDTNPTFATYFSRQWADTFIISLHNFLSVLFQCMPV PVILNFDAECQRTNQVQEENEVLRQKLFALQAEIHRLKKEEQQQEEEAAALVQHKLPPYV SSMDRLGDSELALVCS >ENSMUSP00000120805.1 pep:known chromosome:GRCm38:6:34906663:34910662:-1 gene:ENSMUSG00000058486.11 transcript:ENSMUST00000133336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr91 description:WD repeat domain 91 [Source:MGI Symbol;Acc:MGI:2141558] MQVYDLAALRDYWSYLERRLFSRLEDIYRPTINKLKTSLFRFYLVYTIQTNRNDKAQEFF AKQATELQNQAEWKDWFVLPFLPSPDTNPTFATYFSRQWADTFIISLHNFLSVLFQCMPV PVILNFDAECQRTNQVQE >ENSMUSP00000117396.1 pep:known chromosome:GRCm38:6:34908295:34910563:-1 gene:ENSMUSG00000058486.11 transcript:ENSMUST00000152488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr91 description:WD repeat domain 91 [Source:MGI Symbol;Acc:MGI:2141558] MQVYDLAALRDYWSYLERRLFSRLEDIYRPTINKLKTSLFRFYLVYTIQTNRNDKAQE >ENSMUSP00000140850.1 pep:known chromosome:GRCm38:Y:84746685:84772902:1 gene:ENSMUSG00000099840.1 transcript:ENSMUST00000186110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21409 description:predicted gene, 21409 [Source:MGI Symbol;Acc:MGI:5434764] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000077119.4 pep:known chromosome:GRCm38:12:78691535:78734513:1 gene:ENSMUSG00000056987.7 transcript:ENSMUST00000077968.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71d description:family with sequence similarity 71, member D [Source:MGI Symbol;Acc:MGI:1918147] MMKKNRNKKAMNKQEALFIPHYYRLGHLKNILDGGEYAPYVSPPILESNFIQVNRRGESI YLHNRANWVTVGICSSNPIFKTPNMMLLAHLTPEARKEPEPLFKTLLKSSSSGTLVLTRF IPLQFVTLSVHSAKNMRLKVKLINGRSYYLQLCAPVYKQDIIFSQWVDLIPLLNQEKIKN TKVSEVSSLSELTNSTDIAGSMDITDITAFRELRPRHPKTHRYPCNIMESADFSEYTDVT DVTDVTDVTDMLDNGITDVQDIKIVTEVTEVTEVTEVTEVSEVSDVKMATNISGVKIVFE NDDIIKTKQEEKEYTLKHRSLQDTKTKSDYQDSPNPVTMSNIALALQDEGCFQTTQTPVK SKEDIYKEICDETSEENMIRLQNIHLKATESRSTRTDSDISDGELKLGRYLHKQCSSREQ SFIHSLGSLPRGFVFLHLLL >ENSMUSP00000047761.6 pep:known chromosome:GRCm38:9:106152857:106158118:-1 gene:ENSMUSG00000020258.15 transcript:ENSMUST00000036382.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glyctk description:glycerate kinase [Source:MGI Symbol;Acc:MGI:2444085] MAAALQVLPCLLRAPSRPLLWGPPVARMTSGMALAEQARQLFDSAVGAVQPGPMLQRTLS LDPSGRQLKVRDRTFQLRENLYLVGFGKAVLGMAAAAEELLAQHLVQGVISVPKGIRAAM EHAGKKEMLLKPHSRIQVFEGAEDNLPDRDALRAALTIQQLAEGLTADDLLLVLISGGGS ALLPAPIPPVTLEEKQMLTKLLAARGATIQELNTIRKALSQLKGGGLAQAAYPAQVISLI LSDVIGDPLEVIASGPTVASTHSVQDCLHILNHYGLRAALPRSVKTVLSRADSDPHGPHT CGHVLNVIIGSNSLALAEAQRQAEVLGYHAMVLSTAMQGDVKRVARFYGLLARVAAAHLT PSLAERPLEEEAELHQLAAELQLPDLQLEEALEAVVKAKGPVCLLAGGEPTVQLQGSGKG GRNQELALHVGVELGRQPLGPIDVLFLSGGTDGQDGPTKVAGAWVMSDLISQASAESLDI ATSLANNDSYTFFCRFRGGTHLLHTGLTGTNVMDVHLLILHPQ >ENSMUSP00000108162.2 pep:known chromosome:GRCm38:9:106152869:106158138:-1 gene:ENSMUSG00000020258.15 transcript:ENSMUST00000112543.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glyctk description:glycerate kinase [Source:MGI Symbol;Acc:MGI:2444085] MAAALQVLPCLLRAPSRPLLWGPPVARMTSGMALAEQARQLFDSAVGAVQPGPMLQRTLS LDPSGRQLKVRDRTFQLRENLYLVGFGKAVLGMAAAAEELLAQHLVQGVISVPKGIRAAM EHAGKKEMLLKPHSRIQVFEGAEDNLPDRDALRAALTIQQLAEGLTADDLLLVLISGGGS ALLPAPIPPVTLEEKQMLTKLLAARGATIQELNTIRKALSQLKGGGLAQAAYPAQVISLI LSDVIGDPLEVIASGPTVASTHSVQDCLHILNHYGLRAALPRSVKTVLSRADSDPHGPHT CGHVLNVIIGSNSLALAEAQRQAEVLGYHAMVLSTAMQGDVKRVARFYGLLARVAAAHLT PSLAERPLEEEAELHQLAAELQLPDLQLEEALEAVVKAKGPVCLLAGGEPTVQLQGSGKG GRNQELALHVGVELGRQPLGPIDVLFLSGGTDGQDGPTKVAGAWVMSDLISQASAESLDI ATSLANNDSYTFFCRFRGGTHLLHTGLTGTNVMDVHLLILHPQ >ENSMUSP00000125392.1 pep:known chromosome:GRCm38:9:106157294:106158117:-1 gene:ENSMUSG00000020258.15 transcript:ENSMUST00000162562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glyctk description:glycerate kinase [Source:MGI Symbol;Acc:MGI:2444085] MAAALQVLPCLLRAPSRPLLWGPPVARMTSGMALAEQARQLFDSAVGAVQPGPMLQRTLS LDPSGRQLKVRDRTFQLRENLYLVGFGKAVLGMAAAAEELLAQHLVQGVISVPKGIRAAM EHAGKK >ENSMUSP00000125369.1 pep:known chromosome:GRCm38:9:106157590:106158110:-1 gene:ENSMUSG00000020258.15 transcript:ENSMUST00000159809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glyctk description:glycerate kinase [Source:MGI Symbol;Acc:MGI:2444085] MAAALQVLPCLLRAPSRPLLWGPPVARMTSGMALAEQARQLFDSAVGAVQPGPMLQRTLS LDPSGRQLKVRDRTFQLRENLYLVGFGKAVLG >ENSMUSP00000061092.7 pep:known chromosome:GRCm38:4:89137122:89181081:1 gene:ENSMUSG00000062937.7 transcript:ENSMUST00000058030.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtap description:methylthioadenosine phosphorylase [Source:MGI Symbol;Acc:MGI:1914152] MASGSACTAVKIGIIGGTGLDDPEILEGRTEKYVDTPFGKPSDALILGKIKNVDCVLLAR HGRQHTIMPSKVNYQANIWALKEEGCTHVIVTTACGSLREEIQPGDMVIIDQFIDRTSLR PQTFYDGSHCSARGVCHIPMAEPFCPKTREVLIETAKKLGLRCHSKGTIVTIEGPRFSSR AESLIFRTWGADVVNMTTVPEVVLAKEAGICYASIAMATDYDCWKEHEEAVSVDGVLKTM KENANKAKSLLLTTIPQIGSMEWSETLRNLKNMAQFSVLPPRH >ENSMUSP00000146390.1 pep:known chromosome:GRCm38:9:35509222:35518166:-1 gene:ENSMUSG00000098590.2 transcript:ENSMUST00000183573.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1113 description:predicted gene 1113 [Source:MGI Symbol;Acc:MGI:2685959] MVGLSAHHRPLGCRLLILFCLLHPGASGQPYPTSNTAGEEALSVQGVRGSSVELECRTGP APMAVLWSFTPLGSLVLQPVAVTSGASSKVESGALALGVVSLRNSSLVIEELREGARGHF LCQTLLVSGGQVHTAYLYLMLTVLVPVSKPRVQLNDPSPVEGVSVVATCAVREGTEPLTF SWHHHMPQGPGEVLVGLSEPRLQLDPVNRTHLGWYTCSVSNVVNQLKSDGAFLDVIYGPD KPVITVEPLGFSEDGFWASEREEVTLSCLAASNPPSHYVWFRDDSQIHTGPTYIIASASR THTGLYTCLAHNRHLDTHTQTTVQLIIYWEPPGSPTCWTTATVGDQYIMLSCEWPGGEPP AMLSWLDRQQSLGDLGSSQAVHLLQAQSDLAGREFTCQGSHPLTAPGSHCRLRLEVPQLT VAEPRVSVLEGEEAWLGCALQRGTPPAQLLWLGPQQQQLEGSTPGFILHPEGTHLRLQVR DADPAHHRGTYQCVARNALGNSSQSVLLEVLSECKGFVCPCGYPTPPNVTISRLTYRRQR REVQLQWAIYGPGNLTGFLVQQRASVPSSEAGAWEVAASDIEPESRDRRLGGLDPGVLYA FRILAMNHHTAGYPSEVKTPVDPAFSAYPAVLGAAGTGVVVALATSLLVFQYAARHPHTF PCTETASTTSSSDPIQESIDAPVNVTITVTATP >ENSMUSP00000030785.8 pep:known chromosome:GRCm38:4:152120331:152152207:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000030785.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MALEQALQAARRGDLDVLRSLHAAGLLGPSLRDSLDALPVHHAARSGKLHCLRYLVEEVA LPAVSRARNGATPAHDAAATGYLSCLQWLLTQGGCRVQEKDNSGATVLHLAARFGHPDVV KWLLYQGGANSAITTDTGALPIHYAAAKGDLPSLKLLVGHYPEGVNAQTNNGATPLYLAC QEGHLEVTKYLVQECSADPHLRAQDGMTPLHAAAQMGHNPVLVWLVSFADVSFSEQDHDG ATAMHFAASRGHTKVLSWLLLHGAEISQDLWGGTPLHDAAENGELECCQILAVNGAGLDV RDHDGYTAADLAEFNGHTHCSRYLRTVQTLSLEHRVLSRDQSMDLEAKQLDSGMSSPNTT MSVQPMTFDLGSPTSTFSNYDSCSSSHSSSKGQRSNRGIPGARAADLQSYMDMLNPEKSL PRGKLGKPSPPPPPPPPPPSFPPPPPPTGTQPPPPPPGYPAPNPPVGLHLNNIYMQTKNK LRHVEVDSLKEPKVELNDQFAQPSSGDGHSGLHRQDSGLLRQDSELLHRQELLRHSTGLR RQDSDRKQRSFSKQPSTGDYYRQLGRSPGEPLAARPGMAHSEEAALLPGNHVHNGCSADS KASRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACGQRRSSSSTGKVRVLRHRKSTKS FNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPASQPESPQPLVSPAPSRT RSPTPPASGSQPLLNGSVVPAPPATPAPGVHLDVEALIPTLDEQGRPIPEWKRQVMVRKL QQKMQEEEEQRRKEEEEEARLASLPAWRRDILRKKLEEEREQKRKEEERQKLEEIQRAKE QSEKLRTLGYDEAKLAPWQRQVILKKGEIPK >ENSMUSP00000101284.2 pep:known chromosome:GRCm38:4:152120336:152152371:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000105659.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MALEQALQAARRGDLDVLRSLHAAGLLGPSLRDSLDALPVHHAARSGKLHCLRYLVEEVA LPAVSRARNGATPAHDAAATGYLSCLQWLLTQGGCRVQEKDNSGATVLHLAARFGHPDVV KWLLYQGGANSAITTDTGALPIHYAAAKGDLPSLKLLVGHYPEGVNAQTNNGATPLYLAC QEGHLEVTKYLVQECSADPHLRAQDGMTPLHAAAQMGHNPVLVWLVSFADVSFSEQDHDG ATAMHFAASRGHTKVLSWLLLHGAEISQDLWGGTPLHDAAENGELECCQILAVNGAGLDV RDHDGYTAADLAEFNGHTHCSRYLRTVQTLSLEHRVLSRDQSMDLEAKQLDSGMSSPNTT MSVQPMTFDLGSPTSTFSNYDSCSSSHSSSKGQRSNRGIPGARAADLQSYMDMLNPEKSL PRGKLGKPSPPPPPPPPPPSFPPPPPPTGTQPPPPPPGYPAPNPPVGLHLNNIYMQTKNK LRHVEVDSLKEPSSGDGHSGLHRQDSGLLRQDSELLHRQELLRHSTGLRRQDSDRKQRSF SKQPSTGDYYRQLGRSPGEPLAARPGMAHSEEVRVHQPALAGCSGPSPVPRPSLSGPSAP PQAALLPGNHVHNGCSADSKASRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACGQRR SSSSTGSTKSFNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPASQPESPQ PLVSPAPSRTRSPTPPASGSQPLLNGSVVPAPPATPAPGVHLDVEALIPTLDEQGRPIPE WKRQVMVRKLQQKMQEEEEQRRKEEEEEARLASLPAWRRDILRKKLEEEREQKRKEEERQ KLEEIQRAKEQSEKLRTLGYDEAKLAPWQRQVILKKGEIPK >ENSMUSP00000037982.7 pep:known chromosome:GRCm38:4:152120857:152128925:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000049305.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MNSQGPLGGGHIPSTKSFNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPA SQVGTGRVPRPGSQCLPSAQPYCFSRQPESPQPLVSPAPSRTRSPTPPASGSQPLLNGSV VPAPPATPAPGVHLDVEALIPTLDEQGRPIPEWKRQVMVRKLQQKMQEEEEQRRKEEEEE ARLASLPAWRRDILRKKLEEEREQKRKEEERQKLEEIQRAKEQSEKLRTLGYDEAKLAPW QRQVILKKGEIPK >ENSMUSP00000101283.1 pep:known chromosome:GRCm38:4:152120868:152152222:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000105658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MALEQALQAARRGDLDVLRSLHAAGLLGPSLRDSLDALPVHHAARSGKLHCLRYLVEEVA LPAVSRARNGATPAHDAAATGYLSCLQWLLTQGGCRVQEKDNSGATVLHLAARFGHPDVV KWLLYQGGANSAITTDTGALPIHYAAAKGDLPSLKLLVGHYPEGVNAQTNNGATPLYLAC QEGHLEVTKYLVQECSADPHLRAQDGMTPLHAAAQMGHNPVLVWLVSFADVSFSEQDHDG ATAMHFAASRGHTKVLSWLLLHGAEISQDLWGGTPLHDAAENGELECCQILAVNGAGLDV RDHDGYTAADLAEFNGHTHCSRYLRTVQTLSLEHRVLSRDQSMDLEAKQLDSGMSSPNTT MSVQPMTFDLGSPTSTFSNYDSCSSSHSSSKGQRSNRGARAADLQSYMDMLNPEKSLPRG KLGKPSPPPPPPPPPPSFPPPPPPTGTQPPPPPPGYPAPNPPVGLHLNNIYMQTKNKLRH VEVDSLKEPSSGDGHSGLHRQDSGLLRQDSELLHRQELLRHSTGLRRQDSDRKQRSFSKQ PSTGDYYRQLGRSPGEPLAARPGMAHSEEAALLPGNHVHNGCSADSKASRELPPPPPPPP LPEALSSPPPAPPLPIEGAGAACGQRRSSSSTGSTKSFNMMSPTGDNSELLAEIKAGKSL KPTPQSKGLTTVFSGSGQPASQPESPQPLVSPAPSRTRSPTPPASGSQPLLNGSVVPAPP ATPAPGVHLDVEALIPTLDEQGRPIPEWKRQVMVRKLQQKMQEEEEQRRKEEEEEARLAS LPAWRRDILRKKLEEEREQKRKEEERQKLEEIQRAKEQSEKLRTLGYDEAKLAPWQRQVI LKKGEIPK >ENSMUSP00000101278.1 pep:known chromosome:GRCm38:4:152120870:152131865:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000105653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MAHSEEAALLPGNHVHNGCSADSKASRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAAC GQRRSSSSTGSTKSFNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPASQP ESPQPLVSPAPSRTRSPTPPASGSQPLLNGSVVPAPPATPAPGVHLDVEALIPTLDEQGR PIPEWKRQVMVRKLQQKMQEEEEQRRKEEEEEARLASLPAWRRDILRKKLEEEREQKRKE EERQKLEEIQRAKEQSEKLRTLGYDEAKLAPWQRQVILKKGEIPK >ENSMUSP00000101280.1 pep:known chromosome:GRCm38:4:152121121:152136282:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000105655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MGNSLEHRVLSRDQSMDLEAKQLDSGMSSPNTTMSVQPMTFDLGSPTSTFSNYDSCSSSH SSSKGQRSNRGARAADLQSYMDMLNPEKSLPRGKLGKPSPPPPPPPPPPSFPPPPPPTGT QPPPPPPGYPAPNPPVGLHLNNIYMQTKNKLRHVEVDSLKEAALLPGNHVHNGCSADSKA SRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACGQRRSSSSTGKVRVLRHRKSTKSFN MMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPASQPESPQPLVSPAPSRTRS PTPPASGSQPLLNGSVVPAPPATPAPGVHLDVEALIPTLDEQGRPIPEWKRQVMVRKLQQ KMQEEEEQRRKEEEEEARLASLPAWRRDILRKKLEEEREQKRKEEERQKLEEIQRAKEQS EKLRTLGYDEAKLAPWQRQVILKKGEIPK >ENSMUSP00000101281.1 pep:known chromosome:GRCm38:4:152121121:152136282:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000105656.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MGNSLEHRVLSRDQSMDLEAKQLDSGMSSPNTTMSVQPMTFDLGSPTSTFSNYDSCSSSH SSSKGQRSNRGARAADLQSYMDMLNPEKSLPRGKLGKPSPPPPPPPPPPSFPPPPPPTGT QPPPPPPGYPAPNPPVGLHLNNIYMQTKNKLRHVEVDSLKEPSSGDGHSGLHRQDSGLLR QDSELLHRQELLRHSTGLRRQDSDRKQRSFSKQPSTGDYYRQLGRSPGEPLAARPGMAHS EEAALLPGNHVHNGCSADSKASRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACGQRR SSSSTGKVRVLRHRKSTKSFNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQ PASQPESPQPLVSPAPSRTRSPTPPASGSQPLLNGSVVPAPPATPAPGVHLDVEALIPTL DEQGRPIPEWKRQVMVRKLQQKMQEEEEQRRKEEEEEARLASLPAWRRDILRKKLEEERE QKRKEEERQKLEEIQRAKEQSEKLRTLGYDEAKLAPWQRQVILKKGEIPK >ENSMUSP00000099485.2 pep:known chromosome:GRCm38:4:152121121:152136282:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000103196.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MGNSLEHRVLSRDQSMDLEAKQLDSGMSSPNTTMSVQPMTFDLGSPTSTFSNYDSCSSSH SSSKGQRSNRGARAADLQSYMDMLNPEKSLPRGKLGKPSPPPPPPPPPPSFPPPPPPTGT QPPPPPPGYPAPNPPVGLHLNNIYMQTKNKLRHVEVDSLKEAALLPGNHVHNGCSADSKA SRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACGQRRSSSSTGSTKSFNMMSPTGDNS ELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPASQPESPQPLVSPAPSRTRSPTPPASGSQ PLLNGSVVPAPPATPAPGVHLDVEALIPTLDEQGRPIPEWKRQVMVRKLQQKMQEEEEQR RKEEEEEARLASLPAWRRDILRKKLEEEREQKRKEEERQKLEEIQRAKEQSEKLRTLGYD EAKLAPWQRQVILKKGEIPK >ENSMUSP00000101279.1 pep:known chromosome:GRCm38:4:152121121:152136282:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000105654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MGNSLEHRVLSRDQSMDLEAKQLDSGMSSPNTTMSVQPMTFDLGSPTSTFSNYDSCSSSH SSSKGQRSNRGARAADLQSYMDMLNPEKSLPRGKLGKPSPPPPPPPPPPSFPPPPPPTGT QPPPPPPGYPAPNPPVGLHLNNIYMQTKNKLRHVEVDSLKEPSSGDGHSGLHRQDSGLLR QDSELLHRQELLRHSTGLRRQDSDRKQRSFSKQPSTGDYYRQLGRSPGEPLAARPGMAHS EEAALLPGNHVHNGCSADSKASRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACGQRR SSSSTGSTKSFNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPASQPESPQ PLVSPAPSRTRSPTPPASGSQPLLNGSVVPAPPATPAPGVHLDVEALIPTLDEQGRPIPE WKRQVMVRKLQQKMQEEEEQRRKEEEEEARLASLPAWRRDILRKKLEEEREQKRKEEERQ KLEEIQRAKEQSEKLRTLGYDEAKLAPWQRQVILKKGEIPK >ENSMUSP00000065545.7 pep:known chromosome:GRCm38:4:152121121:152136325:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000070018.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MGNSLEHRVLSRDQSMDLEAKQLDSGMSSPNTTMSVQPMTFDLGSPTSTFSNYDSCSSSH SSSKGQRSNRGIPGARAADLQSYMDMLNPEKSLPRGKLGKPSPPPPPPPPPPSFPPPPPP TGTQPPPPPPGYPAPNPPVGLHLNNIYMQTKNKLRHVEVDSLKEPSSGDGHSGLHRQDSG LLRQDSELLHRQELLRHSTGLRRQDSDRKQRSFSKQPSTGDYYRQLGRSPGEPLAARPGM AHSEEAALLPGNHVHNGCSADSKASRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACG QRRSSSSTGSTKSFNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPASQPE SPQPLVSPAPSRTRSPTPPASGSQPLLNGSVVPAPPATPAPGVHLDVEALIPTLDEQGRP IPEWKRQVMVRKLQQKMQEEEEQRRKEEEEEARLASLPAWRRDILRKKLEEEREQKRKEE ERQKLEEIQRAKEQSEKLRTLGYDEAKLAPWQRQVILKKGEIPK >ENSMUSP00000101282.2 pep:known chromosome:GRCm38:4:152121121:152136325:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000105657.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MGNSLEHRVLSRDQSMDLEAKQLDSGMSSPNTTMSVQPMTFDLGSPTSTFSNYDSCSSSH SSSKGQRSNRGIPGARAADLQSYMDMLNPEKSLPRGKLGKPSPPPPPPPPPPSFPPPPPP TGTQPPPPPPGYPAPNPPVGLHLNNIYMQTKNKLRHVEVDSLKEAALLPGNHVHNGCSAD SKASRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACGQRRSSSSTGSTKSFNMMSPTG DNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPASQPESPQPLVSPAPSRTRSPTPPAS GSQPLLNGSVVPAPPATPAPGVHLDVEALIPTLDEQGRPIPEWKRQVMVRKLQQKMQEEE EQRRKEEEEEARLASLPAWRRDILRKKLEEEREQKRKEEERQKLEEIQRAKEQSEKLRTL GYDEAKLAPWQRQVILKKGEIPK >ENSMUSP00000078951.6 pep:known chromosome:GRCm38:4:152121121:152136325:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000080042.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MGNSLEHRVLSRDQSMDLEAKQLDSGMSSPNTTMSVQPMTFDLGSPTSTFSNYDSCSSSH SSSKGQRSNRGIPGARAADLQSYMDMLNPEKSLPRGKLGKPSPPPPPPPPPPSFPPPPPP TGTQPPPPPPGYPAPNPPVGLHLNNIYMQTKNKLRHVEVDSLKEAALLPGNHVHNGCSAD SKASRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACGQRRSSSSTGKVRVLRHRKSTK SFNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPASQPESPQPLVSPAPSR TRSPTPPASGSQPLLNGSVVPAPPATPAPGVHLDVEALIPTLDEQGRPIPEWKRQVMVRK LQQKMQEEEEQRRKEEEEEARLASLPAWRRDILRKKLEEEREQKRKEEERQKLEEIQRAK EQSEKLRTLGYDEAKLAPWQRQVILKKGEIPK >ENSMUSP00000081131.5 pep:known chromosome:GRCm38:4:152121121:152136325:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000084114.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MGNSLEHRVLSRDQSMDLEAKQLDSGMSSPNTTMSVQPMTFDLGSPTSTFSNYDSCSSSH SSSKGQRSNRGIPGARAADLQSYMDMLNPEKSLPRGKLGKPSPPPPPPPPPPSFPPPPPP TGTQPPPPPPGYPAPNPPVGLHLNNIYMQTKNKLRHVEVDSLKEPSSGDGHSGLHRQDSG LLRQDSELLHRQELLRHSTGLRRQDSDRKQRSFSKQPSTGDYYRQLGRSPGEPLAARPGM AHSEEAALLPGNHVHNGCSADSKASRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACG QRRSSSSTGKVRVLRHRKSTKSFNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSG SGQPASQPESPQPLVSPAPSRTRSPTPPASGSQPLLNGSVVPAPPATPAPGVHLDVEALI PTLDEQGRPIPEWKRQVMVRKLQQKMQEEEEQRRKEEEEEARLASLPAWRRDILRKKLEE EREQKRKEEERQKLEEIQRAKEQSEKLRTLGYDEAKLAPWQRQVILKKGEIPK >ENSMUSP00000122464.1 pep:known chromosome:GRCm38:4:152123714:152149052:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000135185.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] EKDNSGATVLHLAARFGHPDVVKWLLYQGGANSAITTDTGALPIHYAAAKGDLPSLKLLV GHYPEGVNAQTNNGATPLYLACQEGHLEVTKYLVQECSADPHLRAQDGMTPLHAAAQMGH NPVLVWLVSFADVSFSEQDHDGATAMHFAASRGHTKVLSWLLLHGAEISQDLWGGTPLHD AAENGELECCQILAVNGAGLDVRDHDGYTAADLAEFNGHTHCSRYLRTVQTLSLEHRVLS RDQSMDLEAKQLDSGMSSPNTTMSVQPMTFDLGSPTSTFSNYDSCSSSHSSSKGQRSNRG IPGARAADLQSYMDMLNPEKSLPRGKLGKPSPPPPPPPPPPSFPPPPPPTGTQPPPPPPG YPAPNPPVGLHLNNIYMQTKNKLRHVEVDSLKEPSSGDGHSGLHRQDSGLLRQDSELLHR QELLRHSTGLRRQDSDRKQRSFSKQPSTGDYYRQLGRSPGEPLAARPGMAHSEEAALLPG NHVHNGCSADSKASRELPPPPPPPPLPEALSSPPPAPPLPIEGAGAACGQRRSSSSTGST KSFNMMSPTGDNSELLAEIKAGKSLKPTPQSKGLTTVFSGSGQPASQPESPQPLVSPAPS RTRSPTPPASGSQPLLNGSVVPAPPATPAPGVHLDVEALIPTLDEQGRPIPEWKRQVMVR KLQQKMQEEEEQRRKEEEEARLASLPAWRRDILRKKLEEE >ENSMUSP00000147144.1 pep:known chromosome:GRCm38:4:152124279:152152222:-1 gene:ENSMUSG00000028943.18 transcript:ENSMUST00000207676.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espn description:espin [Source:MGI Symbol;Acc:MGI:1861630] MALEQALQAARRGDLDVLRSLHAAGLLGPSLRDSLDALPVHHAARSGKLHCLRYLVEEVA LPAVSRARNGATPAHDAAATGYLSCLQWLLTQGGCRVQEKDNSGATVLHLAARFGHPDVV KWLLYQGGANSAITTDTGALPIHYAAAKGDLPSLKLLVGHYPEGVNAQTNNGATPLYLAC QEGHLEVTKYLVQECSADPHLRAQDGMTPLHAAAQMGHNPVLVWLVSFADVSFSEQDHDG ATAMHFAASRGHTKVLSWLLLHGAEISQDLWGGTPLHDAAENGELECCQILAVNGAGLDV RDHDGYTAADLAEFNGHTHCSRYLRTVQTLSLEHRVLSRDQSMDLEAKQLDSGMSSPNTT MSVQPMTFDLGSPTSTFSNYDSCSSSHSSSKGQRSNRGARAADLQSYMDMLNPEKSLPRG KLGKPSPPPPPPPPPPSFPPPPPPTGTQPPPPPPGYPAPNPPVGLHLNNIYMQTKNKLRH VEVDSLKEPSSGDGHSGLHRQDSGLLRQDSELLHRQELLRHSTGLRRQDSDRKQRSFSKQ PSTGDYYRQLGRSPGEPLAARPGMAHSEEAALLPGNHVHNGCSADSKASRELPPPPPPPP LPEALSSPPPAPPLPIEGAGAACGQRRSSSSTGSTKSFNMMSPTGDNSELLAEIKAGKSL KPTPQSKGLTTVFSGSGQPASQPESPQPLVSPAPSRTRSPTPPASGSQPLLNGSVVPAPP ATPAPGVHLDVEALIPTLDEQGRPIPEWKRQVMVRKLQQKMQEEEEQRRKLTAASSCCYP PEGWRYSREHNAILGPFGELMTEADILRIEQQIENLQVLHKAKKLEARLEQLELELEQLL PISAALSAPRFTVDPRRMHGRAANLPAWCSKISTLLKSMATLLAALGGRPAHLAELLAAD TGQPLPPLPDAPSRPGPLCLGRSHSLSWCREAVAREILECGVSVQDLRAAYEQRTQGAAP THSLRRKLPQPASTLDREPILEENYVAISSSEPRAAIANGLWATEEPPDSLDSSEPWHQE EALPEPEQLASRPPLSTELPGVQDYIDMRKERIVYLFLEHWRKWTFQGPGRHAQARLRRL LPRVVASGAGPLPEAEDILQQQPAVEDSDRGPEERLLQLLKQRQVVGKLLGHWRSLLRQV PARQAPGSELTHGLYWPEHFLPPLDGGAPRSYDSLTLDLFMLGYFQLLEMGLSREERKFR HLLCYEMFDRLGSHPWELIRQFHRAVLEEVEAGTRSWDDGFEDLRRQFFGDSPEPEPAPE ETREEEEEGEEKEQDQEEEKEEEKEKLEEEEEEEEEKREDEKDREKKGEEDEKEDREKRE EPTEEESAPSQGSPEDQTEDPTPAPPPLPPAAPPPTSNLPSSEPPTEEPLELVSEMGEFS NEAICRYIDRSFSFWKEKEAELFDI >ENSMUSP00000106377.3 pep:known chromosome:GRCm38:8:21593375:21594508:-1 gene:ENSMUSG00000079113.3 transcript:ENSMUST00000110749.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7861 description:predicted gene 7861 [Source:MGI Symbol;Acc:MGI:3648003] MKTLVLLSALALLALQVQADPIQNTDEETKTQEQPGEEDQAVSVSFGGTEGSALQDVAQR RFPWCRKCRVCQKCEVCQKCPVCPTCPQCPKQPLCKERQNKTAITTQAPNTHHKGC >ENSMUSP00000107225.1 pep:known chromosome:GRCm38:2:85330967:85339295:-1 gene:ENSMUSG00000075223.3 transcript:ENSMUST00000111598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr987 description:olfactory receptor 987 [Source:MGI Symbol;Acc:MGI:3030821] MEQSNDTKVTEFILLGFAGQHKSWHILFIIFLMIYVVTLMGNIGMIVLIKIDSSLHTPMY FFLQHLAFVDLCYTSAITPKMLKNFTETKASISFIGCMLQLLAYGTFATIDCFILAAMAV DRYVAICNPLRYPIVMSQRLCILLLVGSYTMGFLNASVNTSFTFSLKFCKSNAINHFFCD EPPILALSCSSIDFSIMLLTVFVGFNLLSTVLVVIFSYIYILSAILRMSSAAGRKKAFST CASHLTAVTIFYGTLAYMYLHPHTNDSQEQEKAASVFYGIIIPMLNPLIYSLRNQDVIEA FKKIAKKCL >ENSMUSP00000097513.2 pep:known chromosome:GRCm38:2:85330897:85331971:-1 gene:ENSMUSG00000075223.3 transcript:ENSMUST00000099929.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr987 description:olfactory receptor 987 [Source:MGI Symbol;Acc:MGI:3030821] MEQSNDTKVTEFILLGFAGQHKSWHILFIIFLMIYVVTLMGNIGMIVLIKIDSSLHTPMY FFLQHLAFVDLCYTSAITPKMLKNFTETKASISFIGCMLQLLAYGTFATIDCFILAAMAV DRYVAICNPLRYPIVMSQRLCILLLVGSYTMGFLNASVNTSFTFSLKFCKSNAINHFFCD EPPILALSCSSIDFSIMLLTVFVGFNLLSTVLVVIFSYIYILSAILRMSSAAGRKKAFST CASHLTAVTIFYGTLAYMYLHPHTNDSQEQEKAASVFYGIIIPMLNPLIYSLRNQDVIEA FKKIAKKCL >ENSMUSP00000092917.1 pep:known chromosome:GRCm38:17:37952051:37953079:-1 gene:ENSMUSG00000109376.1 transcript:ENSMUST00000049620.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr761 description:olfactory receptor 761 [Source:MGI Symbol;Acc:MGI:3030595] MTARNMTTMSGFLLVGFSDNHELQILQALLFLVTYLLGSAGNFIIITITTLDPQLQSPMY YFLKHLSILDLSSLSVTIPQYVDSSLARSGYISYAQCMLQIFFFASFAWGELGTLTVMSY DRYVAICLPLHYEVIMSPRKCTWAVAAVWLSGGISGTLFTASTLSIRFCGDKIIHQFFCD IPQLLKLSCSNDDFGVLEVSIFMAVMAFACFMGIAFSYGQIFSTVLRMPSAEGRSKVFST CLPHLFVVSFFLSTGSCAYLKPTSDSPTASDLMLSIFYTVLPPTLNPFIYSLRNKSLKEA VKKLLLSEELVGKIYVCSVFSAC >ENSMUSP00000124871.1 pep:known chromosome:GRCm38:1:160906418:160974978:1 gene:ENSMUSG00000040423.10 transcript:ENSMUST00000161609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rc3h1 description:RING CCCH (C3H) domains 1 [Source:MGI Symbol;Acc:MGI:2685397] MPVQAPQWTDFLSCPICTQTFDETIRKPISLGCGHTVCKMCLNKLHRKACPFDQTTINTD IELLPVNSALLQLVGAQIPEQQPITLCSGVEDTKHYEEAKKCVEELALYLKPLSSARGVG LNSTTQSVLSRPMQRKLVTLVHCQLVEEEGRIRAMRAARSLGERTVTELILQHQNPQQLS SNLWAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKT SIGHVVQLLYRASCFKVTKRDEDSSLMQLKEEFRTYEALRREHDSQIVQIAMEAGLRIAP DQWSSLLYGDQSHKSHMQSIIDKLQTPASFAQSVQELTIALQRTGDPANLNRLRPHLELL ANIDPSPDAPPPTWEQLENGLVAVRTVVHGLVDYIQNHSKKGADQQQPPQHSKYKTYMCR DMKQRGGCPRGASCTFAHSQEELEKFRKMNKRLVPRRPLSASLGQLNEVGLPSAPILSDE SAVDLSNRKPPALPNGIASSGSTVTQLIPRGTDPSFDSSLKPVKLDHLSSSAPGSPPDLL ESAPKSISALPVNPHPVPPRGPTDLPPMPVTKPIQMVPRGSQLYPAQQADVYYQDPRGSA PAFETAPYQQGMYYTPPPCVSRFVRPPPSAPEPGPPYLDHYSPYLQDRVINSQYGTQPQQ YPPMYPAHYDGRRVYPAQSYTREEMFRESPIPIDIPSAAVPSYVPESRERYQQVEGYYPV APHPAQIRPSYPRDPPYSRLPPPQPHPSLDELHRRRKEIMAQLEERKVISPPPFAPSPTL PPAFHPEEFLDEDLKVAGKYKANDYSQYSPWSCDTIGSYIGTKDAKPKDVVAAGSVEMMN VESKGTREQRLDLQRRAVETSDDDLIPFGDRPTVSRFGAISRTSKTLYQGAGPLQAIAPQ GAPTKSINISDYSAYGAHGGWGDSPYSPHANIPPQGHFIEREKMSMAEVASHGKPLLSAE REQLRLELQQLNHQISQQTQLRGLEAVSNRLVLQREVNTLASQPQPPQLPPKWPGMISSE QLSLELHQVEREIGKRTRELSMENQCSVDMKSKLGTSKQAENGQPEPQNKIRTEDLTLTF SDVPNGSALTQENLSLLSNKTSSLNLSEDSEGGGDNNDSQRSGVVSNSAP >ENSMUSP00000037178.4 pep:known chromosome:GRCm38:1:160930024:160967817:1 gene:ENSMUSG00000040423.10 transcript:ENSMUST00000035911.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rc3h1 description:RING CCCH (C3H) domains 1 [Source:MGI Symbol;Acc:MGI:2685397] MPVQAPQWTDFLSCPICTQTFDETIRKPISLGCGHTVCKMCLNKLHRKACPFDQTTINTD IELLPVNSALLQLVGAQIPEQQPITLCSGVEDTKHYEEAKKCVEELALYLKPLSSARGVG LNSTTQSVLSRPMQRKLVTLVHCQLVEEEGRIRAMRAARSLGERTVTELILQHQNPQQLS SNLWAAVRARGCQFLGPAMQEEALKLVLLALEDGSALSRKVLVLFVVQRLEPRFPQASKT SIGHVVQLLYRASCFKVTKRDEDSSLMQLKEEFRTYEALRREHDSQIVQIAMEAGLRIAP DQWSSLLYGDQSHKSHMQSIIDKLQTPASFAQSVQELTIALQRTGDPANLNRLRPHLELL ANIDPSPDAPPPTWEQLENGLVAVRTVVHGLVDYIQNHSKKGADQQQPPQHSKYKTYMCR DMKQRGGCPRGASCTFAHSQEELEKFRKMNKRLVPRRPLSASLGQLNEVGLPSAPILSDE SAVDLSNRKPPALPNGIASSGSTVTQLIPRGTDPSFDSSLKPVKLDHLSSSAPGSPPDLL ESAPKSISALPVNPHPVPPRGPTDLPPMPVTKPIQMVPRGSQLYPAQQADVYYQDPRGSA PAFETAPYQQGMYYTPPPCVSRFVRPPPSAPEPGPPYLDHYSPYLQDRVINSQYGTQPQQ YPPMYPAHYDGRRVYPAQSYTREEMFRESPIPIDIPSAAVPSYVPESRERYQQVEGYYPV APHPAQIRPSYPRDPPYSRLPPPQPHPSLDELHRRRKEIMAQLEERKVISPPPFAPSPTL PPAFHPEEFLDEDLKVAGKYKANDYSQYSPWSCDTIGSYIGTKDAKPKDVVAAGSVEMMN VESKGTREQRLDLQRRAVETSDDDLIPFGDRPTVSRFGAISRTSKTLYQGAGPLQAIAPQ GAPTKSINISDYSAYGAHGGWGDSPYSPHANIPPQGHFIEREKMSMAEVASHGKPLLSAE REQLRLELQQLNHQISQQTQLRGLEREVNTLASQPQPPQLPPKWPGMISSEQLSLELHQV EREIGKRTRELSMENQCSVDMKSKLGTSKQAENGQPEPQNKIRTEDLTLTFSDVPNGSAL TQENLSLLSNKTSSLNLSEDSEGGGDNNDSQRSGVVSNSAP >ENSMUSP00000113126.1 pep:known chromosome:GRCm38:9:46232933:46235260:-1 gene:ENSMUSG00000032081.9 transcript:ENSMUST00000121916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc3 description:apolipoprotein C-III [Source:MGI Symbol;Acc:MGI:88055] MPLGRRGRKGRNKELEGEALTTQPSSPQKAWDSWYVGAMQPRTLLTVALLALLASARAEE VEGSLLLGSVQGYMEQASKTVQDALSSVQESDIAVVARGWMDNHFRSLKGYWSKFTDKFT GFWDSNPEDQPTPAIES >ENSMUSP00000034586.2 pep:known chromosome:GRCm38:9:46233051:46235299:-1 gene:ENSMUSG00000032081.9 transcript:ENSMUST00000034586.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc3 description:apolipoprotein C-III [Source:MGI Symbol;Acc:MGI:88055] MQPRTLLTVALLALLASARAEEVEGSLLLGSVQGYMEQASKTVQDALSSVQESDIAVVAR GWMDNHFRSLKGYWSKFTDKFTGFWDSNPEDQPTPAIES >ENSMUSP00000113058.1 pep:known chromosome:GRCm38:9:46233198:46235636:-1 gene:ENSMUSG00000032081.9 transcript:ENSMUST00000118649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc3 description:apolipoprotein C-III [Source:MGI Symbol;Acc:MGI:88055] MQPRTLLTVALLALLASARAEEVEGSLLLGSVQGYMEQASKTVQDALSSVQESDIAVVAR GWMDNHFRSLKGYWSKFTDKFTGFWDSNPEDQPTPAIES >ENSMUSP00000115025.1 pep:known chromosome:GRCm38:9:46234437:46235469:-1 gene:ENSMUSG00000032081.9 transcript:ENSMUST00000145672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoc3 description:apolipoprotein C-III [Source:MGI Symbol;Acc:MGI:88055] MQPRTLLTVALLALLASARAEEVEGSLLLGSVQGYMEQASKTVQDALSSVQES >ENSMUSP00000047164.7 pep:known chromosome:GRCm38:1:182565012:182632352:1 gene:ENSMUSG00000038599.14 transcript:ENSMUST00000048941.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn8 description:calpain 8 [Source:MGI Symbol;Acc:MGI:2181366] MAALAAGISKQRAAAQGLGSNQNAVKYLGQDFETLRKQCLNSGVLFKDPEFPACPSALGY RDLGPGSAETQGIIWKRPTELCSNPQFIVGGATRTDIRQGGLGDCWLLAAIASLTLNEKL LYRVVPRDQSFQKNYAGIFHFQFWQYGEWVEVVIDDRLPTKNGQLLFLHSEEGNEFWSAL LEKAYAKLNGSYEALAGGSTIEGFEDFTGGISEFYDLRKPPGNLYYTIQKALRKGSLLGC SIDVSNAAEAEATTRQKLVKGHAYSVTGVEEVDFRGLPEKLIRLRNPWGEVEWTGAWSDS APEWNYIDPQKKGELDKRAEDGEFWMSFSDFLKQFSRLEICNLSPDSLSSEEIHKWNLVL FNGRWTRGSTAGGCQNYPATYWTNPQFKIHLDEVDEDQEEGTSEPCCTVLLGLMQKNRRR QRRIGQGMLSIGYAVYQIPKELENHTDEHLGRDFFQGRQPSTCSSTYMNLREVSSRVQLP PGQYLVVPSTFEPFKDGDFCLRVFSEKKAQALEIGDAVAGDPHEPHPRDMDGEDEHFWSL SEEFADKDSEISAHQLKRVLNGLLSKRTDMKFDGFNINTCREMISLLDGDGTGSLRPVEF KTLWLKICKYLEIYQEMDHSRAGTIDAHEMRTALKKAGFTLNNQVQQTIATRYACSKLGV DFDGFVACMIRLEILFKLFRLLDKDQNGIVQLSLAEWLCCALV >ENSMUSP00000141275.1 pep:known chromosome:GRCm38:1:182565038:182632240:1 gene:ENSMUSG00000038599.14 transcript:ENSMUST00000192671.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Capn8 description:calpain 8 [Source:MGI Symbol;Acc:MGI:2181366] MAALAAGISKQRAAAQGLGSNQNAVKYLGQDFETLRKQCLNSGVLFKDPEFPACPSALGY RDLGPGSAETQGIIWKRPTELCSNPQFIVGGATRTDIRQGGLGDCWLLAAIASLTLNEKL LYRVVPRDQSFQKNYAGIFHFQFWQYGEWVEVVIDDRLPTKNGQLLFLHSEEGNEFWSAL LEKAYAKLNGSYEALAGGSTIEGFEDFTGGISEFYDLRKPPGNLYYTIQKALRKGSLLGC SIDVSNAAEAEATTRQKLVKGHAYSVTGVEEVDFRGLPEKLIRLRNPWGEVEWTGAWSDS APEWNYIDPQKKGELDKRAEDGEFWMSFSDFLKQFSRLEICNLSPDSLSSEEIHKWNLVL FNGRWTRGSTAGGCQNYPATYWTNPQFKIHLDEVDEDQEEGTSEPCCTVLLGLMQKNRRR QRRIGQGMLSIGYAVYQIPKELENHTDEHLGRDFFQGRQPSTCSSTYMNLREVSSRVQLP PGQYLVVPSTFEPFKDGDFCLRVFSEKKAQALEIGDAVAGDPHEPHPRDMDGEDEHFWSL SEEFADKDSEISAHQLKRVLNGLLSKRTDMKFDGFNINTCREMISLLDGDGTGSLRPVEF KTLWLKICKYLEIYQEMDHSRAGTIDAHEMRTALKKAVYQENAKTFAPPMNDTVSLGHQV SPSTTRCSRPLPRGMHAASLVLTLTALWLV >ENSMUSP00000129549.1 pep:known chromosome:GRCm38:1:182565007:182606526:1 gene:ENSMUSG00000038599.14 transcript:ENSMUST00000168514.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn8 description:calpain 8 [Source:MGI Symbol;Acc:MGI:2181366] MAALAAGISKQRAAAQGLGSNQNAVKYLGQDFETLRKQCLNSGVLFKDPEFPACPSALGY RDLGPGSAETQGIIWKRPTELCSNPQFIVGGATRTDIRQGGLGDCWLLAAIASLTLNEKL LYRVVPRDQSFQKNYAGIFHFQFWQYGEWVEVVIDDRLPTKNGQLLFLHSEEGNEFWSAL LEKAYAKLNGSYEALAGGSTIEGFEDFTGGISEFYDLRKPPGNLYYTIQKALRKGSLLGC SIDVSNAAEAEATTRQKLVKGHAYSVTGVEEVDFRGLPEKLIRLRNPWGEVEWTGAWSDS APEWNYIDPQKKGELDKRAEDGEFWMSFSDFLKQFSRLEICNLSPDSLSSEEIHKWNLVL FNGRWTRGSTAGGCQNYPGSF >ENSMUSP00000107224.1 pep:known chromosome:GRCm38:2:85352995:85363058:-1 gene:ENSMUSG00000075222.3 transcript:ENSMUST00000111597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr988 description:olfactory receptor 988 [Source:MGI Symbol;Acc:MGI:3030822] MEQSNDTKVTEFILLGFSGQHKSWHILFIIFLMIYVVTLMGNIGMIVLIKIDSSLHTPMY FFLQHLAFVDLCYTSAITPKMLKNFIETKASISIMGCMLQLLAYGTFATIDSFILAAMAV DRYVAICNPLRYPIVMSQRLCILLLVGSYTMGFLNASVNTSFTFSLKFCKSNAINHFFCD EPPILALSCSSIDFSIMLLTVFVGFNLVSTVLVVIFSYTYVLSAILRMSSAAGRKKAFST CASHLTAVTIFYGTLAYMYLHPHTNDSQEQEKAASVFYGIIIPMLNPLIYSLRNQDVIEA FKKIVKKCL >ENSMUSP00000097512.2 pep:known chromosome:GRCm38:2:85352925:85354000:-1 gene:ENSMUSG00000075222.3 transcript:ENSMUST00000099928.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr988 description:olfactory receptor 988 [Source:MGI Symbol;Acc:MGI:3030822] MEQSNDTKVTEFILLGFSGQHKSWHILFIIFLMIYVVTLMGNIGMIVLIKIDSSLHTPMY FFLQHLAFVDLCYTSAITPKMLKNFIETKASISIMGCMLQLLAYGTFATIDSFILAAMAV DRYVAICNPLRYPIVMSQRLCILLLVGSYTMGFLNASVNTSFTFSLKFCKSNAINHFFCD EPPILALSCSSIDFSIMLLTVFVGFNLVSTVLVVIFSYTYVLSAILRMSSAAGRKKAFST CASHLTAVTIFYGTLAYMYLHPHTNDSQEQEKAASVFYGIIIPMLNPLIYSLRNQDVIEA FKKIVKKCL >ENSMUSP00000112545.1 pep:known chromosome:GRCm38:8:67689089:67818295:-1 gene:ENSMUSG00000030465.18 transcript:ENSMUST00000120071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd3 description:pleckstrin and Sec7 domain containing 3 [Source:MGI Symbol;Acc:MGI:1918215] MIGVNSVQSASKVRVRTSGSVKYSREDSIRRQSHRSKSMKISNSSEFSAKESKALYNSIK NEKLEWAVDDEEKKKSPSEGTDEKANGTHPKTISRIGSTTNPFLDIPHDPNAAVYKSGFL ARKIHADMDGKKTPRGKRGWKTFYAVLKGTVLYLQKDEYKPEKSLSDEDLKNAVSVHHAL ASKATDYEKKPNVFKLKTADWRVLLFQTQSPEEMQGWINKINCVAAVFSAPPFPAAIGSQ KKFSRPLLPATTTKLSQEEQLKSHESKLKQITTELAEHRSYPPDKKVKAKDVDEYKLKDH YLEFEKTRYEIYVSVLKEGGKELLTTDGNEPVGLKKSHSSPSLNPDASPVTAKVKRNVSE RKDHRPETPGIKQKVT >ENSMUSP00000091179.4 pep:known chromosome:GRCm38:8:67696047:67974574:-1 gene:ENSMUSG00000030465.18 transcript:ENSMUST00000093469.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd3 description:pleckstrin and Sec7 domain containing 3 [Source:MGI Symbol;Acc:MGI:1918215] MGNCWSYSNLCDHGGSTLLPPTVTNEFPEYGTMEEGGEGLRASLDFDAKSPPCRLPGQQA VHLLAGQDSILNSVTEGPNDAPQCHPQEQSLQPIDSLISALKATEARIASGTFQATKVLD KDANFSVYQVDKELSTASHKPQRAHRTFPVGPGKSPDIPLSAEVPTEENLSLHIQEDLSA LLPEEAQAHRSQITNYRRQGPLRVPESACPVSSSSAGSHNPVDRVGALREQRSDLGREHP RGYDRGGSMGRQGRIKHVEFQGVEILWTGEEAESRHPPERTASPVSKEFAKRPSHSSPAC GVCSTSTHLTGDVWDETCKAPSERPGTSAGTLSPMPLGESGEDDVFLRESKEHLEENFAI QGDKERILDQEEHLRGDDDILGPGYTEDSTDVYSSQFETILDNTSLYYSAESLETLYSEP DSYFSFEMPLTPMIQQRIKEGGQFLERTSVGGQHDVLSVSADGGIVMGYSAGITNGLHDS ANSVYTRGPQEIAFWGSRDRCFAEGKTTGVDAGSEMGSTDILEKETTESLSNGTNSNVEA AKRLAKRLYHLDRFKRSDVAKHLGKNNEFSKLVAEEYLKFFDFTGMTLDQSLRYFLKAFS LVGETQERERVLIHFSNRYFSCNPDTITSKDGVHCLTCAMMLLNTDLHGHVNIGKKMTCQ EFITNLQGVNEGGDFSKDLLKALYNSIKNEKLEWAVDDEEKKKSPSEGTDEKANGTHPKT ISRIGSTTNPFLDIPHDPNAAVYKSGFLARKIHADMDGKKTPRGKRGWKTFYAVLKGTVL YLQKDEYKPEKSLSDEDLKNAVSVHHALASKATDYEKKPNVFKLKTADWRVLLFQTQSPE EMQGWINKINCVAAVFSAPPFPAAIGSQKKFSRPLLPATTTKLSQEEQLKSHESKLKQIT TELAEHRSYPPDKKVKAKDVDEYKLKDHYLEFEKTRYEIYVSVLKEGGKELLTTDGNEPV GLKKSHSSPSLNPDASPVTAKVKRNVSERKDHRPETPGIKQKVT >ENSMUSP00000091178.5 pep:known chromosome:GRCm38:8:67696881:67910932:-1 gene:ENSMUSG00000030465.18 transcript:ENSMUST00000093468.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd3 description:pleckstrin and Sec7 domain containing 3 [Source:MGI Symbol;Acc:MGI:1918215] MGIIMCLIYCYCRDRCFAEGKTTGVDAGSEMGSTDILEKETTESLSNGTNSNVEAAKRLA KRLYHLDRFKRSDVAKHLGKNNEFSKLVAEEYLKFFDFTGMTLDQSLRYFLKAFSLVGET QERERVLIHFSNRYFSCNPDTITSKDGVHCLTCAMMLLNTDLHGHNIGKKMTCQEFITNL QGVNEGGDFSKDLLKALYNSIKNEKLEWAVDDEEKKKSPSEGTDEKANGTHPKTISRIGS TTNPFLDIPHDPNAAVYKSGFLARKIHADMDGKKTPRGKRGWKTFYAVLKGTVLYLQKDE YKPEKSLSDEDLKNAVSVHHALASKATDYEKKPNVFKLKTADWRVLLFQTQSPEEMQGWI NKINCVAAVFSAPPFPAAIGSQKKFSRPLLPATTTKLSQEEQLKSHESKLKQITTELAEH RSYPPDKKVKAKDVDEYKLKDHYLEFEKTRYEIYVSVLKEGGKELLTTDGNEPVGLKKSH SSPSLNPDASPVTAKVKRNVSERKDHRPETPGIKQKVT >ENSMUSP00000060306.4 pep:known chromosome:GRCm38:8:67711526:67818233:-1 gene:ENSMUSG00000030465.18 transcript:ENSMUST00000059374.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd3 description:pleckstrin and Sec7 domain containing 3 [Source:MGI Symbol;Acc:MGI:1918215] MIGVNSVQSASKVRVRTSGSVKYSREDSIRRQSHRSKSMKISNSSEFSAKESKALYNSIK NEKLEWAVDDEEKKKSPSEGTDEKANGTHPKTISRIGSTTNPFLDIPHDPNAAVYKSGFL ARKIHADMDGKKTPRGKRGWKTFYAVLKGTVLYLQKDEYKPEKSLSDEDLKNAVSVHHAL ASKATDYEKKPNVFKLKTADWRVLLFQTQSPEEMQGWINKINCVAAVFSAPPFPAAIGSQ KKFSRPLLPATTTKLSQEEQLKSHESKLKQITTELAEHRSYPPDKKVKAKDVDEYKLKDH YLEFESLGQNQHSGGNELPSERKHLLFCTRLLLGDISALLSIAHRHALQEGTAERRLGSF ILNDMNASVVRREADIQTQAESLIPGAPFI >ENSMUSP00000096293.3 pep:known chromosome:GRCm38:8:67689082:67974574:-1 gene:ENSMUSG00000030465.18 transcript:ENSMUST00000098696.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd3 description:pleckstrin and Sec7 domain containing 3 [Source:MGI Symbol;Acc:MGI:1918215] MGNCWSYSNLCDHGGSTLLPPTVTNEFPEYGTMEEGGEGLRASLDFDAKSPPCRLPGQQA VHLLAGQDSILNSVTEGPNDAPQCHPQEQSLQPIDSLISALKATEARIASGTFQATKVLD KDANFSVYQVDKELSTASHKPQRAHRTFPVGPGKSPDIPLSAEVPTEENLSLHIQEDLSA LLPEEAQAHRSQITNYRRQGPLRVPESACPVSSSSAGSHNPVDRVGALREQRSDLGREHP RGYDRGGSMGRQGRIKHVEFQGVEILWTGEEAESRHPPERTASPVSKEFAKRPSHSSPAC GVCSTSTHLTGDVWDETCKAPSERPGTSAGTLSPMPLGESGEDDVFLRESKEHLEENFAI QGDKERILDQEEHLRGDDDILGPGYTEDSTDVYSSQFETILDNTSLYYSAESLETLYSEP DSYFSFEMPLTPMIQQRIKEGGQFLERTSVGGQHDVLSVSADGGIVMGYSAGITNGLHDS ANSVYTRGPQEIAFWGSRDRCFAEGKTTGVDAGSEMGSTDILEKETTESLSNGTNSNVEA AKRLAKRLYHLDRFKRSDVAKHLGKNNEFSKLVAEEYLKFFDFTGMTLDQSLRYFLKAFS LVGETQERERVLIHFSNRYFSCNPDTITSKDGVHCLTCAMMLLNTDLHGHVNIGKKMTCQ EFITNLQGVNEGGDFSKDLLKALYNSIKNEKLEWAVDDEEKKKSPSEGTDEKANGTHPKT ISRIGSTTNPFLDIPHDPNAAVYKSGFLARKIHADMDGKKTPRGKRGWKTFYAVLKGTVL YLQKDEYKPEKSLSDEDLKNAVSVHHALASKATDYEKKPNVFKLKTADWRVLLFQTQSPE EMQGWINKINCVAAVFSAPPFPAAIGSQKKFSRPLLPATTTKLSQEEQLKSHESKLKQIT TELAEHRSYPPDKKVKAKDVDEYKLKDHYLEFEKTRYEIYVSVLKEGGKELLTTDGNEPV GLKKSHSSPSLNPDASPVTAKVKRNVSERKDHRPETPGIKQKVT >ENSMUSP00000041339.9 pep:known chromosome:GRCm38:8:67689082:67974574:-1 gene:ENSMUSG00000030465.18 transcript:ENSMUST00000038959.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd3 description:pleckstrin and Sec7 domain containing 3 [Source:MGI Symbol;Acc:MGI:1918215] MGNCWSYSNLCDHGGSTLLPPTVTNEFPEYGTMEEGGEGLRASLDFDAKSPPCRLPGQQA VHLLAGQDSILNSVTEGPNDAPQCHPQEQSLQPIDSLISALKATEARIASGTFQATKVLD KDANFSVYQVDKELSTASHKPQRAHRTFPVGPGKSPDIPLSAEVPTEENLSLHIQEDLSA LLPEEAQAHRSQITNYRRQGPLRVPESACPVSSSSAGSHNPVDRVGALREQRSDLGREHP RGYDRGGSMGRQGRIKHVEFQGVEILWTGEEAESRHPPERTASPVSKEFAKRPSHSSPAC GVCSTSTHLTGDVWDETCKAPSERPGTSAGTLSPMPLGESGEDDVFLRESKEHLEENFAI QGDKERILDQEEHLRGDDDILGPGYTEDSTDVYSSQFETILDNTSLYYSAESLETLYSEP DSYFSFEMPLTPMIQQRIKEGGQFLERTSVGGQHDVLSVSADGGIVMGYSAGITNGLHDS ANSVYTRGPQEIAFWGSRDRCFAEGKTTGVDAGSEMGSTDILEKETTESLSNGTNSNVEA AKRLAKRLYHLDRFKRSDVAKHLGKNNEFSKLVAEEYLKFFDFTGMTLDQSLRYFLKAFS LVGETQERERVLIHFSNRYFSCNPDTITSKDGVHCLTCAMMLLNTDLHGHVSNIGKKMTC QEFITNLQGVNEGGDFSKDLLKALYNSIKNEKLEWAVDDEEKKKSPSEGTDEKANGTHPK TISRIGSTTNPFLDIPHDPNAAVYKSGFLARKIHADMDGKKTPRGKRGWKTFYAVLKGTV LYLQKDEYKPEKSLSDEDLKNAVSVHHALASKATDYEKKPNVFKLKTADWRVLLFQTQSP EEMQGWINKINCVAAVFSAPPFPAAIGSQKKFSRPLLPATTTKLSQEEQLKSHESKLKQI TTELAEHRSYPPDKKVKAKDVDEYKLKDHYLEFEKTRYEIYVSVLKEGGKELLTTDGNEP VGLKKSHSSPSLNPDASPVTAKVKRNVSERKDHRPETPGIKQKVT >ENSMUSP00000097511.1 pep:known chromosome:GRCm38:2:85399602:85400531:-1 gene:ENSMUSG00000075221.1 transcript:ENSMUST00000099927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr992 description:olfactory receptor 992 [Source:MGI Symbol;Acc:MGI:3030826] MKHSNDSKVTEFILLGFAGQNESWHILFVVFLVIYIATLVGNIGMILLIKLHSSLHTPMY FFLQHLAFVDLCYSSAITPRTLQNFVSTKPSISFTGCLAQLLVYGIFVTSDCFILAAMAV DRYVAICNPLRYPIIMSQRLCILLLLGSYTMGFLNATVNTGFTFLSNFCKSNVINHFFCD VPPILALSCSSIDLNIMVLTIFVGFNLTFTVSVVILSYTFILAAILRMSSASGRRKAFST CASHMTAVTIFYGTLSYMYVLHGTNRSQEQEKVASVFYGIMIPMLNPLIYSLRNQDVIEA LRHIGNKCF >ENSMUSP00000104939.1 pep:known chromosome:GRCm38:2:164839518:164857542:-1 gene:ENSMUSG00000017754.13 transcript:ENSMUST00000109316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pltp description:phospholipid transfer protein [Source:MGI Symbol;Acc:MGI:103151] MVLLWALFLALLAGAHAELPGCKIRVTSAALDLVKQEGLRFLEQELETITIPDVYGAKGH FYYNISDVRVTQLHLISSELHFQPDQDLLLNISNASLGLHFRRQLLYWFLYDGGYINASA EGVSIRTGLQLSQDSSGRIKVSNVSCEASVSKMNMAFGGTFRRMYNFFSTFITSGMRFLL NQQICPVLYHAGTVLLNSLLDTVPVRSSVDDLVGIDYSLLKDPVVSNGNLDMEFRGAFFP LKEDNWSLPNRAVEPQLEDDERMVYVAFSEFFFDSAMESYFQAGALQLTLVGDKVPSDLD MLLRATYFGSIVLLSPTVINSPLKLKLEATSPPRCTIKPSGTTISITASVTITLAPPMLP EVELSKMIMEGRLSAKLTLRGKALRVKLDLRRFQIYSNQSALESLALIPLQAPLKTLLQI GVMPLLNERTWRGVQIPLPEGINFVREVVTNHAGFVTVGADLHFAKGLREVIDKNRPADV AASHVPPPSAAAA >ENSMUSP00000104940.3 pep:known chromosome:GRCm38:2:164839518:164857633:-1 gene:ENSMUSG00000017754.13 transcript:ENSMUST00000109317.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pltp description:phospholipid transfer protein [Source:MGI Symbol;Acc:MGI:103151] MVLLWALFLALLAGAHAELPGCKIRVTSAALDLVKQEGLRFLEQELETITIPDVYGAKGH FYYNISDVRVTQLHLISSELHFQPDQDLLLNISNASLGLHFRRQLLYWFLRMYNFFSTFI TSGMRFLLNQQICPVLYHAGTVLLNSLLDTVPVRSSVDDLVGIDYSLLKDPVVSNGNLDM EFRGAFFPLKEDNWSLPNRAVEPQLEDDERMVYVAFSEFFFDSAMESYFQAGALQLTLVG DKVPSDLDMLLRATYFGSIVLLSPTVINSPLKLKLEATSPPRCTIKPSGTTISITASVTI TLAPPMLPEVELSKMIMEGRLSAKLTLRGKALRVKLDLRRFQIYSNQSALESLALIPLQA PLKTLLQIGVMPLLNERTWRGVQIPLPEGINFVREVVTNHAGFVTVGADLHFAKGLREVI DKNRPADVAASHVPPPSAAAA >ENSMUSP00000061519.7 pep:known chromosome:GRCm38:2:164839518:164857711:-1 gene:ENSMUSG00000017754.13 transcript:ENSMUST00000059954.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pltp description:phospholipid transfer protein [Source:MGI Symbol;Acc:MGI:103151] MVLLWALFLALLAGAHAELPGCKIRVTSAALDLVKQEGLRFLEQELETITIPDVYGAKGH FYYNISDVRVTQLHLISSELHFQPDQDLLLNISNASLGLHFRRQLLYWFLYDGGYINASA EGVSIRTGLQLSQDSSGRIKVSNVSCEASVSKMNMAFGGTFRRMYNFFSTFITSGMRFLL NQQICPVLYHAGTVLLNSLLDTVPVRSSVDDLVGIDYSLLKDPVVSNGNLDMEFRGAFFP LKEDNWSLPNRAVEPQLEDDERMVYVAFSEFFFDSAMESYFQAGALQLTLVGDKVPSDLD MLLRATYFGSIVLLSPTVINSPLKLKLEATSPPRCTIKPSGTTISITASVTITLAPPMLP EVELSKMIMEGRLSAKLTLRGKALRVKLDLRRFQIYSNQSALESLALIPLQAPLKTLLQI GVMPLLNERTWRGVQIPLPEGINFVREVVTNHAGFVTVGADLHFAKGLREVIDKNRPADV AASHVPPPSAAAA >ENSMUSP00000119955.1 pep:known chromosome:GRCm38:2:164852610:164857620:-1 gene:ENSMUSG00000017754.13 transcript:ENSMUST00000156255.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pltp description:phospholipid transfer protein [Source:MGI Symbol;Acc:MGI:103151] MVLLWALFLALLAVKQEGLRFLEQELETITIPDVYGAKGHFYYNISDVRVTQLHLISSEL HFQPDQDLLLNISNASLGLHFRRQLLYWFLYDGGYINASAEGVSIRTGLQLSQDSSGRIK VSNVSCEASVSKMNMAFGGTFRRMYNFFSTFITSGMRFLLNQQVW >ENSMUSP00000122760.1 pep:known chromosome:GRCm38:2:164854310:164857630:-1 gene:ENSMUSG00000017754.13 transcript:ENSMUST00000128110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pltp description:phospholipid transfer protein [Source:MGI Symbol;Acc:MGI:103151] MVLLWALFLALLAGAHAELPGCKIRVTSAALDLVKQEGLRFLEQELETITIPDVYGAKGH FYYNISDVRVTQLHLISSELHFQPDQDLLLNISNASLGLHFRRQLLYWFLYDGGYINASA EGVSIRTGLQLSQDSSGRIKVSNVSCE >ENSMUSP00000079730.6 pep:known chromosome:GRCm38:X:136072099:136085255:-1 gene:ENSMUSG00000057000.12 transcript:ENSMUST00000080929.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf3 description:nuclear RNA export factor 3 [Source:MGI Symbol;Acc:MGI:2685230] MGRSSETNPLRRRMRCRRIFQQRFLNSPAHVSDTVHTSFYQQPAMSNPPMHTRRYTPYGI PSRYQRVSVQKWNQTDVNMEGGSKPPERKMQRDKQDDTSENWFKVTIPFGIKYDKKWLLN LIQSQCSIPFTPVQFHYEKMQAHFFVDNPNIAFMLKAISDKILDETDNKPQSHRTPSVIL QLTTNQQCATSQRVLNRQRLPFDQDLMTRPTDLALVPRRYMTPSLSIHKEDMTQVNSEGE VAKVHAPDLEKICADQSSLSTTMPDKSSNINSILELFPKLLSLDGQESHKPTLCGPEDHK TLSACKEIFFGSESIKTMVLQFLKQYYFIYDNGDRQGLLNAYHPEACFTLTIPFSSIDLS MNSLCEYFKFSRNMKILKDPYMRRQLLKHKKCDIIHFLRTLPKTQHDLTSFVVDICLQTE KMLCFSVSGLFKEVDNSAQGCMHAFTRIFVVTHDNSSELCIINDKLSVTNVHEVPSASTP VATSSTSYLPSFSQDQQEMVPTFCAQSGVNVDRSQKSLHDDQWNYNRAIQSLALLKVEDT ISEDKFTQTDPRS >ENSMUSP00000121486.1 pep:known chromosome:GRCm38:X:136079613:136085242:-1 gene:ENSMUSG00000057000.12 transcript:ENSMUST00000138878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf3 description:nuclear RNA export factor 3 [Source:MGI Symbol;Acc:MGI:2685230] MRCRRIFQQRFLNSPAHVSDTVHTSFYQQPAMSNPPMHTRRYTPYGIPSRYQRVSVQKWN QTDVNMEGGSKPPERKMQRDKQDDTSENWFKVTIPFGIKYDKK >ENSMUSP00000126284.1 pep:known chromosome:GRCm38:8:33731833:33777164:1 gene:ENSMUSG00000031585.13 transcript:ENSMUST00000170705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2e2 description:general transcription factor II E, polypeptide 2 (beta subunit) [Source:MGI Symbol;Acc:MGI:1915403] MDPSLLRDRELFKKRALSTPVVEKRAVPSESPSSSSSKKKKAKVEHGGSSGSKQNSDHNN GSFNLKALSGSSGYKFGVLAKIVNYMKTRHQRGDTHPLTLEEILDETQHLDIGLKQKQWL MTEALVNNPKIEVVDGKYAFKPKYNLKDKKALLRLLDNHDQRGLGGILLEDIEEGLPNSQ KAVKALGDQILFVSRPDKKKILFFNDKSCQFSVDEEFQKLWRSVTVDSMDEEKIEEYLKR QGISSMQESGPKKVASIQRRKKPASQKKRRFKTHNEHLAGVLKDYSDITPGK >ENSMUSP00000129834.1 pep:known chromosome:GRCm38:8:33732042:33777164:1 gene:ENSMUSG00000031585.13 transcript:ENSMUST00000167264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2e2 description:general transcription factor II E, polypeptide 2 (beta subunit) [Source:MGI Symbol;Acc:MGI:1915403] MDPSLLRDRELFKKRALSTPVVEKRAVPSESPSSSSSKKKKAKVEHGGSSGSKQNSDHNN GSFNLKALSGSSGYKFGVLAKIVNYMKTRHQRGDTHPLTLEEILDETQHLDIGLKQKQWL MTEALVNNPKIEVVDGKYAFKPKYNLKDKKALLRLLDNHDQRGLGGILLEDIEEGLPNSQ KAVKALGDQILFVSRPDKKKILFFNDKSCQFSVDEEFQKLWRSVTVDSMDEEKIEEYLKR QGISSMQESGPKKVASIQRRKKPASQKKRRFKTHNEHLAGVLKDYSDITPGK >ENSMUSP00000141167.1 pep:known chromosome:GRCm38:8:33732133:33752459:1 gene:ENSMUSG00000031585.13 transcript:ENSMUST00000187392.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2e2 description:general transcription factor II E, polypeptide 2 (beta subunit) [Source:MGI Symbol;Acc:MGI:1915403] MDPSLLRDRELFKKRALSTPVVEKRAVPSESPSSSSSKKKKAKVEHGGSSGSKQNSDHNN GS >ENSMUSP00000140383.1 pep:known chromosome:GRCm38:8:33732311:33735828:1 gene:ENSMUSG00000031585.13 transcript:ENSMUST00000190675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2e2 description:general transcription factor II E, polypeptide 2 (beta subunit) [Source:MGI Symbol;Acc:MGI:1915403] MDPSLLRDRELFKKRALSTPVVEKRAVPSE >ENSMUSP00000141016.1 pep:known chromosome:GRCm38:8:33733443:33758567:1 gene:ENSMUSG00000031585.13 transcript:ENSMUST00000190639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2e2 description:general transcription factor II E, polypeptide 2 (beta subunit) [Source:MGI Symbol;Acc:MGI:1915403] MDPSLLRDRELFKKRALSTPVVEKRAVPSESPSSSSSKKKKAKVEHGGSSGSKQNSDHNN GSFNLKALSGSSGYKFGVLAKIVNYMKTRHQRGDTHPLTLEEILDETQHLDIGLKQKQWL MTEALVN >ENSMUSP00000132287.1 pep:known chromosome:GRCm38:8:33732339:33777173:1 gene:ENSMUSG00000031585.13 transcript:ENSMUST00000171010.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2e2 description:general transcription factor II E, polypeptide 2 (beta subunit) [Source:MGI Symbol;Acc:MGI:1915403] MDPSLLRDRELFKKRALSTPVVEKRAVPSESPSSSSSKKKKAKVEHGGSSGSKQNSDHNN GSFNLKALSGSSGYKFGVLAKIVNYMKTRHQRGDTHPLTLEEILDETQHLDIGLKQKQWL MTEALVNNPKIEVVDGKYAFKPKYNLKDKKALLRLLDNHDQRGLGGILLEDIEEGLPNSQ KAVKALGDQILFVSRPDKKKILFFNDKSCQFSVDEEFQKLWRSVTVDSMDEEKIEEYLKR QGISSMQESGPKKVASIQRRKKPASQKKRRFKTHNEHLAGVLKDYSDITPGK >ENSMUSP00000143542.1 pep:known chromosome:GRCm38:3:96904693:97077416:1 gene:ENSMUSG00000057123.14 transcript:ENSMUST00000199597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gja5 description:gap junction protein, alpha 5 [Source:MGI Symbol;Acc:MGI:95716] MGDWSFLGEFLEEVHKHSTVIGKVWLTVLFIFRMLVLGTAAESSWGDEQADFRCDTIQPG CQNVCYDQAFPISHIRYWVLQIIFVSTPSLVYMGHAMHTVRMQEKQKLRDAEKAKEAHRT GAYEYPVAEKAELSCWKEVDGKIVLQGTLLNTYVCTILIRTTMEVAFIVGQYLLYGIFLD TLHVCRRSPCPHPVNCYVSRPTEKNVFIVFMMAVAGLSLFLSLAELYHLGWKKIRQRFGK SRQGVDKHQLPGPPTSLVQSLTPPPDFNQCLKNSSGEKFFSDFSNNMGSRKNPDALATGE VPNQEQIPGEGFIHMHYSQKPEYASGASAGHRLPQGYHSDKRRLSKASSKARSGGFTVIS LESPSIQG >ENSMUSP00000123582.1 pep:known chromosome:GRCm38:3:97032416:97050873:1 gene:ENSMUSG00000057123.14 transcript:ENSMUST00000132256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gja5 description:gap junction protein, alpha 5 [Source:MGI Symbol;Acc:MGI:95716] MGDWSFLGEFLEEVHKHSTVIGKVWLTVLFIFRMLVLGTAAESSWGDEQADFRCDTIQPG CQNVCYDQAFPISHIRYWVLQ >ENSMUSP00000088264.5 pep:known chromosome:GRCm38:3:97032416:97053634:1 gene:ENSMUSG00000057123.14 transcript:ENSMUST00000072600.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gja5 description:gap junction protein, alpha 5 [Source:MGI Symbol;Acc:MGI:95716] MGDWSFLGEFLEEVHKHSTVIGKVWLTVLFIFRMLVLGTAAESSWGDEQADFRCDTIQPG CQNVCYDQAFPISHIRYWVLQIIFVSTPSLVYMGHAMHTVRMQEKQKLRDAEKAKEAHRT GAYEYPVAEKAELSCWKEVDGKIVLQGTLLNTYVCTILIRTTMEVAFIVGQYLLYGIFLD TLHVCRRSPCPHPVNCYVSRPTEKNVFIVFMMAVAGLSLFLSLAELYHLGWKKIRQRFGK SRQGVDKHQLPGPPTSLVQSLTPPPDFNQCLKNSSGEKFFSDFSNNMGSRKNPDALATGE VPNQEQIPGEGFIHMHYSQKPEYASGASAGHRLPQGYHSDKRRLSKASSKARSDDLSV >ENSMUSP00000040802.6 pep:known chromosome:GRCm38:8:71251125:71272934:-1 gene:ENSMUSG00000035439.13 transcript:ENSMUST00000035960.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus8 description:4HAUS augmin-like complex, subunit 8 [Source:MGI Symbol;Acc:MGI:1923728] MADSSERDAGKSAAAGACAVPKTKGRRVQGRRVVESRYLQYDKKAKKVSGAAKEEKPPEG RKASTVPRSREESQVMGTGNLQSTMLEGHGMNPPDLDLSAIDDKILSRKASWPDREMTDK AKSTSFISCDKKRILRKKRRDLQETMDMMESQTLLMTLLSVKMENNLALLEERAEKDLAA MCHEKERLQRQALELRRQLLLRQKHQELAATLDAQIEVLSPLQPVLERFKEEYMTLGRAL DTTRHELPMQAVHMEGSGQELLDDLEPALRITLQLLGDLSICSPYATAQVQGASAQEPGA STQLSCLLKELKGLVTEKDLELRRLVSQVVELSSQASKEAALMNQEVWEEAEGALTSSQW YFSPDACRDDSPS >ENSMUSP00000105698.2 pep:known chromosome:GRCm38:8:71251125:71272376:-1 gene:ENSMUSG00000035439.13 transcript:ENSMUST00000110071.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus8 description:4HAUS augmin-like complex, subunit 8 [Source:MGI Symbol;Acc:MGI:1923728] MADSSERDAGKSAAAGACAVPKTKGRRVQGRRVVESRYLQYDKKAKKVSGAAKEEKPPEG RKASTVPRSRESQVMGTGNLQSTMLEGHGMNPPDLDLSAIDDKILSRKASWPDREMTDKA KSTSFISCDKKRILRKKRRDLQETMDMMESQTLLMTLLSVKMENNLALLEERAEKDLAAM CHEKERLQRQALELRRQLLLRQKHQELAATLDAQIEVLSPLQPVLERFKEEYMTLGRALD TTRHELPMQAVHMEGSGQELLDDLEPALRITLQLLGDLSICSPYATAQVQGASAQEPGAS TQLSCLLKELKGLVTEKDLELRRLVSQVVELSSQASKEAALMNQEVWEEAEGALTSSQWY FSPDACRDDSPS >ENSMUSP00000123517.1 pep:known chromosome:GRCm38:8:71248561:71272460:-1 gene:ENSMUSG00000035439.13 transcript:ENSMUST00000123495.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Haus8 description:4HAUS augmin-like complex, subunit 8 [Source:MGI Symbol;Acc:MGI:1923728] MADSSERDAGKSAAAGACAVPKTKGRRVQGRRVVESRYLQYDKKAKKVSGAAKEEKPPEG RKASTVPRSRESQVMGTGNLQSTMLEGHGMNPPDLDLSAIDGSAGDHGHDGVTDTADDPA VCEDGEQPGSAGGEGRERLGSHVP >ENSMUSP00000041671.5 pep:known chromosome:GRCm38:1:59913006:59985346:1 gene:ENSMUSG00000041040.12 transcript:ENSMUST00000036540.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam117b description:family with sequence similarity 117, member B [Source:MGI Symbol;Acc:MGI:1920000] MSQRVRRNGSPTPAGALAGGAVGPPGGPGSRLQPMRATVPFQLKQQQQHGSPTRGGGGGG NNGGNGGASGPSGGGGSGGPRTASRSTSPTRGGGGSAAARTSPTVATQTGASVTSTRGTS PTRGTAPGARSSPPRPQPPPPLLGTVSSPSSSPTHLWPSEVIAAPPSARVRHRRRSPEQG RPSAEKRSPSAPVCKAGDKTHPPSSSSSSIIRRTSSLDTLAAPYLAGHWPRDIRGQAAPC MRDKATQTESAWAEEYEKKKGSHKRSSSWGSTEQLKEIAKLRQQLQRSKHSSRHHRDKER QSPFHGNHAAINQSQAPAPKSTLVPAGPITKSSGSRFRNSVEGLNQEIEIIIKETGEKEE QLIPQDIPDGHRAPPPLAQRSSSTRSIDTQTPGGADKGSNNSSRSQSVSPTSFLTISNEG SEESPCSADDLLADPRDKENGNNSPLPKYATSPKPNNSYMFKREPPEGCERVKVFEECSP KQLHEIPAFYCPDKNKVNFIPKSGSAFCLVSILKPLLPTPDLTLKGSGHSLTVTTGMTTT LLQPISMASLSTNTEQERVSRGTSTVLPSASLHAPPEPIEEAEG >ENSMUSP00000138042.1 pep:known chromosome:GRCm38:1:59970680:59981515:1 gene:ENSMUSG00000041040.12 transcript:ENSMUST00000151630.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam117b description:family with sequence similarity 117, member B [Source:MGI Symbol;Acc:MGI:1920000] XDDLLADPRDKENGNNSPLPKYATSPKPNNSYMFKREPPEGCERVKVFEECSLWGVQCQW SLNPASLGTHTLLEPRHQVCYLLL >ENSMUSP00000049532.5 pep:known chromosome:GRCm38:3:96913566:96926020:-1 gene:ENSMUSG00000049908.6 transcript:ENSMUST00000062944.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gja8 description:gap junction protein, alpha 8 [Source:MGI Symbol;Acc:MGI:99953] MGDWSFLGNILEEVNEHSTVIGRVWLTVLFIFRILILGTAAEFVWGDEQSDFVCNTQQPG CENVCYDEAFPISHIRLWVLQIIFVSTPSLMYVGHAVHHVRMEEKRKDREAEELCQQSRS NGGERVPIAPDQASIRKSSSSSKGTKKFRLEGTLLRTYVCHIIFKTLFEVGFIVGHYFLY GFRILPLYRCSRWPCPNVVDCFVSRPTEKTIFILFMLSVAFVSLFLNIMEMSHLGMKGIR SAFKRPVEQPLGEIAEKSLHSIAVSSIQKAKGYQLLEEEKIVSHYFPLTEVGMVETSPLS AKPFSQFEEKIGTGPLADMSRSYQETLPSYAQVGVQEVEREEPPIEEAVEPEVGEKKQEA EKVAPEGQETVAVPDRERVETPGVGKEDEKEELQAEKVTKQGLSAEKAPSLCPELTTDDN RPLSRLSKASSRARSDDLTI >ENSMUSP00000034612.4 pep:known chromosome:GRCm38:9:35536647:35558528:-1 gene:ENSMUSG00000032101.6 transcript:ENSMUST00000034612.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx25 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 25 [Source:MGI Symbol;Acc:MGI:1353582] MASLLWGGDAGAAESERLNSHFSNLVHPRKNLRGIRSTTVPNIDGSLNTEDDDDDEDDVV DLAANSLLNKLIRQSLIESSHRVEVLQKDPSSPLYSVKTFEELRLKEELLKGIYAMGFNR PSKIQEMALPMMLAHPPQNLIAQSQSGTGKTAAFVLAMLSRVNALELFPQCLCLAPTYEL ALQTGRVVERMGKFCVDVEVMYAIRGNRIPRGTEVTKQIIIGTPGTVLDWCFKRKLIDLT KIRVFVLDEADVMIDTQGFSDQSIRIQRALPSECQMLLFSATFEDSVWQFAERIIPDPNV IKLRKEELTLNNIRQYYVLCENRKGKYQALCNIYGGITIGQAIIFCQTRRNAKWLTVEMM QDGHQVSLLSGELTVEQRASIIQRFRDGKEKVLITTNVCARGIDVKQVTIVVNFDLPVNQ SEEPDYETYLHRIGRTGRFGKKGLAFNMIEVDKLPLLMKIQDHFNSNIKQLDPEDMDEIE KIEY >ENSMUSP00000140930.2 pep:known chromosome:GRCm38:1:127815253:127840321:-1 gene:ENSMUSG00000051590.9 transcript:ENSMUST00000187653.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k19 description:mitogen-activated protein kinase kinase kinase 19 [Source:MGI Symbol;Acc:MGI:1203481] XWRKQQLLDILMDSMPRPERHAESLLDICHEAGSTPMEMTVSQTENLTLQSISSSEDFDL EDDFSPFILAREGAAPRGENWPRRTEEFPTSDISYSSRRTGLPLPPLSCLPMRSCIFNME KSPKSPRHRERKVPSLSLSVPKLLEPLSRPLSQSAEFSSSKNHQEVTQEGPVEHTLRGSN CTLWSRNMCSFRKSGKQGVAESWPSQEMEGWDKTKTSGFKEGPSLFSCESVKEDTTPTER ERDSGYHVSEMQRGGEDSQYLSSRKKESWTARVVERDSGVEHPILCKLLEVSNSEMTPAE EKEIGNENVPDAKSNSVHKSGAMEPHAASEEVSVPKNGPSVNSDGPAEELEGHRDIEQNR KIPMEEETNPEMNGVVPLTHIAFPGEGTSKGPARAEPHLQRRKRPAQNSNSFNLLAHREH DKLQTNTHRTKLDSRTKARNRAPPNLMVSIQASIKPNMHKNSIKTQVFPALELIDHRPHP SSKFQRRAPLTEKKSTHQTQKPKKQAFPRIGKHAGIKKPGIPLSAETTDPRLHFLDLKYS DMFKEINSASNGPGIYEMFGTPVYCHIREAERHDHRCYREIRTAPSGRCVVNKCQSSESD RCSNSRARLLQKRQHIKPPKPLHGLRQKHRGFISKDKGCKDMGGHTEDSVSEPDGQMKSP GNDFLSSKDDAQLMHLIPIPELSPEQKAPAPVSDLSIVEEIFTEECADEEGILNDDSLTQ SLGDLKEPEGLHPQAPLVPSENSWAVLSEKRSGKRVSPEKHNVEPLDKINAEQMFPGYLE FDSLSEKSKTLVSFSSCSFQENLERAPSPTEQHWARSLEQDSLENNSTTYQTFGKISQEI LDPGKNEELTDELLGCLVEELLALDEKDNNSCQIMTNEADAKNLNLVFSRRGNTIEELGR ETTDVKLQRCINGFRIYDEENFLTSNEKKTLSDKSLNHEEAIFWTKGEILGRGAYGTVYC GLTSLGQLIAVKQVALDTSDKLATEKEYRKLQEEVDLLKALKHVNIVAYLGTCLEENTLS IFMEFVPGGSISSIINRFGPLPEMVFCKYTRQILQGVAYLHDNCVVHRDIKGNNVMLMPT GIIKLIDFGCAKRLAWAGLNGTHSDMLKSMRGTPYWMAPEVINESGYGRKSDIWSIGCTV FEMATGKPPLASMDRMAAMFYIGAHRGLMPPLPARFSEPAADFVRLCLTRDQHERPSALQ LLKHSFLKRSQ >ENSMUSP00000140449.2 pep:known chromosome:GRCm38:1:127815262:127840321:-1 gene:ENSMUSG00000051590.9 transcript:ENSMUST00000189398.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k19 description:mitogen-activated protein kinase kinase kinase 19 [Source:MGI Symbol;Acc:MGI:1203481] XWRKQQLLDILMDSMPRPERHAESLLDICHEAGSTPMEMTVSQTENLTLQSISSSEDFDL EDDFSPFILAREGAAPRGENWPRRTEGVEIIVTFPPDPLQEASQEDLKESNQVTSEHQER EQVHPVSPPDDAEMVGLTGRMLTTQPSLLKTDGSSEELCGVDVALSPPRPCLDSSLAASA AGEVAPCVLKEQQRQSDEFPTSDISYSSRRTGRCINGFRIYDEENFLTSNEKKTLSDKSL NHEEAIFWTKVDLLKALKHVNIVAYLGTCLEENTLSIFMEFVPGGSISSIINRFGPLPEM VFCKYTRQILQGVAYLHDNCVVHRDIKGNNVMLMPTGIIKLIDFGCAKRLAWAGLNGTHS DMLKSMRGTPYWMAPEVINESGYGRKSDIWSIGCTVFEMATGKPPLASMDRMAAMFYIGA HRGLMPPLPARFSEPAADFVRLCLTRDQHERPSALQLLKHSFLKRSQ >ENSMUSP00000141029.2 pep:known chromosome:GRCm38:1:127815262:127840321:-1 gene:ENSMUSG00000051590.9 transcript:ENSMUST00000191333.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k19 description:mitogen-activated protein kinase kinase kinase 19 [Source:MGI Symbol;Acc:MGI:1203481] XWRKQQLLDILMDSMPRPERHAESLLDICHEAGSTPMEMTVSQTENLTLQSISSSEDFDL EDDFSPFILAREGAAPRGENWPRRTEGVEIIVTFPPDPLQEASQEDLKESNQVTSEHQER EQVHPVSPPDDAEMVGLTGRMLTTQPSLLKTDGSSEELCGVDVALSPPRPCLDSSLAASA AGEVAPCVLKEQQRQSDEFPTSDISYSSRRTGRCINGFRIYDEENFLTSNEKKTLSDKSL NHEEAIFWTKGEILGRGAYGTVYCGLTSLGQLIAVKQVALDTSDKLATEKEYRKLQEEVD LLKALKHVNIVAYLGTCLEENTLSIFMEFVPGGSISSIINRFGPLPEMVFCKYTRQILQG VAYLHDNCVVHRDIKGNNVMLMPTGIIKLIDFGCAKRLAWAGLNGTHSDMLKSMRGTPYW MAPEVINESGYGRKSDIWSIGCTVFEMATGKPPLASMDRMAAMFYIGAHRGLMPPLPARF SEPAADFVRLCLTRDQHERPSALQLLKHSFLKRSQ >ENSMUSP00000146463.1 pep:known chromosome:GRCm38:1:127815271:127855031:-1 gene:ENSMUSG00000051590.9 transcript:ENSMUST00000208183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k19 description:mitogen-activated protein kinase kinase kinase 19 [Source:MGI Symbol;Acc:MGI:1203481] MNKNRLIHDFLGVVSRGDVECICGLIPEVHSVLGNLDFQHPQTGNTPLITAAEENLAEVV EILLEGGADITLCNYSNQTALHVANGDIQRQLLAGKGIQAPQMQLLRSSWLGDLKQLRHL LACEEFLDINFPNSHGLTPLMLAVRDVDLFESLDLLTAYRPDEVLAELLRHHADPKLCDF SGKSAIHYVSQIESWRKQQLLDILMDSMPRPERHAESLLDICHEAGSTPMEMTVSQTENL TLQSISSSEDFDLEDDFSPFILAREGAAPRGENWPRRTEGVEIIVTFPPDPLQEASQEDL KESNQVTSEHQEREQVHPVSPPDDAEMVGLTGRMLTTQPSLLKTDGSSEELCGVDVALSP PRPCLDSSLAASAAGEVAPCVLKEQQRQSDEFPTSDISYSSRRTGLPLPPLSCLPMRSCI FNMEKSPKSPRHRERKVPSLSLSVPKLLEPLSRPLSQSAEFSSSKNHQEVTQEGPVEHTL RGSNCTLWSRNMCSFRKSGKQGVAESWPSQEMEGWDKTKTSGFKEGPSLFSCESVKEDTT PTERERDSGYHVSEMQRGGEDSQYLSSRKKESWTARVVERDSGVEHPILCKLLEVSNSEM TPAEEKEIGNENVPDAKSNSVHKSGAMEPHAASEEVSVPKNGPSVNSDGPAEELEGHRDI EQNRKIPMEEETNPEMNGVVPLTHIAFPGEGTSKGPARAEPHLQRRKRPAQNSNSFNLLA HREHDKLQTNTHRTKLDSRTKARNRAPPNLMVSIQASIKPNMHKNSIKTQVFPALELIDH RPHPSSKFQRRAPLTEKKSTHQTQKPKKQAFPRIGKHAGIKKPGIPLSAETTDPRLHFLD LKYSDMFKEINSASNGPGIYEMFGTPVYCHIREAERHDHRCYREIRTAPSGRCVVNKCQS SESDRCSNSRARLLQKRQHIKPPKPLHGLRQKHRGFISKDKGCKDMGGHTEDSVSEPDGQ MKSPGNDFLSSKDDAQLMHLIPIPELSPEQKAPAPVSDLSIVEEIFTEECADEEGILNDD SLTQSLGDLKEPEGLHPQAPLVPSENSWAVLSEKRSGKRVSPEKHNVEPLDKINAEQMFP GYLEFDSLSEKSKTLVSFSSCSFQENLERAPSPTEQHWARSLEQDSLENNSTTYQTFGKI SQEILDPGKNEELTDELLGCLVEELLALDEKDNNSCQIMTNEADAKNLNLVFSRRGNTIE ELGRETTDVKLQRCINGFRIYDEENFLTSNEKKTLSDKSLNHEEAIFWTKGEILGRGAYG TVYCGLTSLGQLIAVKQVALDTSDKLATEKEYRKLQEEVDLLKALKHVNIVAYLGTCLEE NTLSIFMEFVPGGSISSIINRFGPLPEMVFCKYTRQILQGVAYLHDNCVVHRDIKGNNVM LMPTGIIKLIDFGCAKRLAWAGLNGTHSDMLKSMRGTPYWMAPEVINESGYGRKSDIWSI GCTVFEMATGKPPLASMDRMAAMFYIGAHRGLMPPLPARFSEPAADFVRLCLTRDQHERP SALQLLKHSFLKRSQ >ENSMUSP00000056254.2 pep:known chromosome:GRCm38:1:127815271:127840290:-1 gene:ENSMUSG00000051590.9 transcript:ENSMUST00000061512.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k19 description:mitogen-activated protein kinase kinase kinase 19 [Source:MGI Symbol;Acc:MGI:1203481] MDSMPRPERHAESLLDICHEAGSTPMEMTVSQTENLTLQSISSSEDFDLEDDFSPFILAR EGAAPRGENWPRRTEGVEIIVTFPPDPLQEASQEDLKESNQVTSEHQEREQVHPVSPPDD AEMVGLTGRMLTTQPSLLKTDGSSEELCGVDVALSPPRPCLDSSLAASAAGEVAPCVLKE QQRQSDEFPTSDISYSSRRTGLPLPPLSCLPMRSCIFNMEKSPKSPRHRERKVPSLSLSV PKLLEPLSRPLSQSAEFSSSKNHQEVTQEGPVEHTLRGSNCTLWSRNMCSFRKSGKQGVA ESWPSQEMEGWDKTKTSGFKEGPSLFSCESVKEDTTPTERERDSGYHVSEMQRGGEDSQY LSSRKKESWTARVVERDSGVEHPILCKLLEVSNSEMTPAEEKEIGNENVPDAKSNSVHKS GAMEPHAASEEVSVPKNGPSVNSDGPAEELEGHRDIEQNRKIPMEEETNPEMNGVVPLTH IAFPGEGTSKGPARAEPHLQRRKRPAQNSNSFNLLAHREHDKLQTNTHRTKLDSRTKARN RAPPNLMVSIQASIKPNMHKNSIKTQVFPALELIDHRPHPSSKFQRRAPLTEKKSTHQTQ KPKKQAFPRIGKHAGIKKPGIPLSAETTDPRLHFLDLKYSDMFKEINSASNGPGIYEMFG TPVYCHIREAERHDHRCYREIRTAPSGRCVVNKCQSSESDRCSNSRARLLQKRQHIKPPK PLHGLRQKHRGFISKDKGCKDMGGHTEDSVSEPDGQMKSPGNDFLSSKDDAQLMHLIPIP ELSPEQKAPAPVSDLSIVEEIFTEECADEEGILNDDSLTQSLGDLKEPEGLHPQAPLVPS ENSWAVLSEKRSGKRVSPEKHNVEPLDKINAEQMFPGYLEFDSLSEKSKTLVSFSSCSFQ ENLERAPSPTEQHWARSLEQDSLENNSTTYQTFGKISQEILDPGKNEELTDELLGCLVEE LLALDEKDNNSCQIMTNEADAKNLNLVFSRRGNTIEELGRETTDVKLQRCINGFRIYDEE NFLTSNEKKTLSDKSLNHEEAIFWTKGEILGRGAYGTVYCGLTSLGQLIAVKQVALDTSD KLATEKEYRKLQEEVDLLKALKHVNIVAYLGTCLEENTLSIFMEFVPGGSISSIINRFGP LPEMVFCKYTRQILQGVAYLHDNCVVHRDIKGNNVMLMPTGIIKLIDFGCAKRLAWAGLN GTHSDMLKSMRGTPYWMAPEVINESGYGRKSDIWSIGCTVFEMATGKPPLASMDRMAAMF YIGAHRGLMPPLPARFSEPAADFVRLCLTRDQHERPSALQLLKHSFLKRSQ >ENSMUSP00000099324.3 pep:known chromosome:GRCm38:11:115420128:115431274:1 gene:ENSMUSG00000016940.18 transcript:ENSMUST00000103035.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd2 description:potassium channel tetramerisation domain containing 2 [Source:MGI Symbol;Acc:MGI:1917632] MAELQLDPAVAGLGGGGSSAMGDGGGSGRGPPSPRPAGPTPRGHGRQPAAAAQPLEPGPG PPERTGGGGAARWVRLNVGGTYFVTTRQTLGREPKSFLCRLCCQEDPELDSDKDETGAYL IDRDPTYFGPILNYLRHGKLIITKELAEEGVLEEAEFYNIASLVRLVKERIRDNENRTSQ GPVKHVYRVLQCQEEELTQMVSTMSDGWKFEQLISIGSSYNYGNEDQAEFLCVVSRELNN STNGIVIEPSEKAKILQERGSRM >ENSMUSP00000102143.1 pep:known chromosome:GRCm38:11:115420150:115431274:1 gene:ENSMUSG00000016940.18 transcript:ENSMUST00000106533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd2 description:potassium channel tetramerisation domain containing 2 [Source:MGI Symbol;Acc:MGI:1917632] MAELQLDPAVAGLGGGGSSAMGDGGGSGRGPPSPRPAGPTPRGHGRQPAAAAQPLEPGPG PPERTGGGGAARWVRLNVGGTYFVTTRQTLGREPKSFLCRLCCQEDPELDSDKDETGAYL IDRDPTYFGPILNYLRHGKLIITKELAEEGVLEEAEFYNIASLVRLVKERIRDNENRTSQ GPVKHVYRVLQCQEEELTQMVSTMSDGWKFEQLISIGSSYNYGNEDQAEFLCVVSRELNN STNGIVIEPSEKAKVGHCFFPPAVLCLPVPSSLQLCQVAAGFLPLLLQLRASSHHTLLEA GFKSGFVGSGEVASIARLVSPSFSESPRPQGKTKGGGE >ENSMUSP00000115862.1 pep:known chromosome:GRCm38:11:115420182:115430041:1 gene:ENSMUSG00000016940.18 transcript:ENSMUST00000123345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd2 description:potassium channel tetramerisation domain containing 2 [Source:MGI Symbol;Acc:MGI:1917632] XLDPAVAGLGGGGSSAMGDGGGSGRGPPSPRPAGPTPRGHGRQPAAAAQPLEPGPGPPER TGGGGAARWVRLNVGGTYFVTTRQTLGREPKSFLCRLCCQEDPELDSDKDETGAYLIDRD PTYFGPILNYLRHGKLIITKELAEEGVLEEAEFYNIASLVRLVKERIRDNENRTSQGPVK HVYRVLQCQEEELTQMVSTMSDGWKFEQLISIGSSYNYGNEDQAEFLCVVSRELNNSTNG IVIEPSEKAKCPADTHYTLVKNLCADCEPLSLTWKTLV >ENSMUSP00000081010.5 pep:known chromosome:GRCm38:9:108339054:108340343:1 gene:ENSMUSG00000063856.7 transcript:ENSMUST00000082429.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx1 description:glutathione peroxidase 1 [Source:MGI Symbol;Acc:MGI:104887] MCAARLSAAAQSTVYAFSARPLTGGEPVSLGSLRGKVLLIENVASLUGTTIRDYTEMNDL QKRLGPRGLVVLGFPCNQFGHQENGKNEEILNSLKYVRPGGGFEPNFTLFEKCEVNGEKA HPLFTFLRNALPTPSDDPTALMTDPKYIIWSPVCRNDIAWNFEKFLVGPDGVPVRRYSRR FRTIDIEPDIETLLSQQSGNS >ENSMUSP00000142257.1 pep:known chromosome:GRCm38:9:108339243:108340250:1 gene:ENSMUSG00000063856.7 transcript:ENSMUST00000191997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx1 description:glutathione peroxidase 1 [Source:MGI Symbol;Acc:MGI:104887] MNDLQKRLGPRGLVVLGFPCNQFGHQNGKNEEILNSLKYVRPGGGFEPNFTLFEKCEVNG EKAHPLFTFLRNALPTPSDDPTALMTDPKYIIWSPVCRNDIAWNFEKFLVGPDGVPVRRY SRRFRTIDIEPDIETLLSQQSGNS >ENSMUSP00000141279.1 pep:known chromosome:GRCm38:9:108339276:108340250:1 gene:ENSMUSG00000063856.7 transcript:ENSMUST00000193987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx1 description:glutathione peroxidase 1 [Source:MGI Symbol;Acc:MGI:104887] MNDLQKRLGPRGLVVLGFPCNQFGHQENGKNEEILNSLKYVRPGGGFEPNFTLFEKCEVN GEKAHPLFTFLRNALPTPSDDPTALMTDPKYIIWSPVCRNDIAWNFEKFLVGPDGVPVRR YSRRFRTIDIEPDIETLLSQQSGNS >ENSMUSP00000106154.1 pep:known chromosome:GRCm38:2:122426477:122461137:1 gene:ENSMUSG00000027219.13 transcript:ENSMUST00000110525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc28a2 description:solute carrier family 28 (sodium-coupled nucleoside transporter), member 2 [Source:MGI Symbol;Acc:MGI:1913105] MEKSKGRKSVSQATVENCMENPGLELMEGGNLEQRYTQEEVTQGHSLEDGLGHSSLWSRR IFQPFTKARSFFERHAGLFRKILLGLLCLAYAAYFLAACILNFQRALALFVITCLVIFIL ACHFLKKFFPKEQLRCLKPLENTHLNLWAKRVFVGLSVVGLILWLALDTAQRPEQLISFA GICMFILILFACSKHHSAVCWRTVFWGLGLQFIFGILVIRTEPGFNAFQWLGDQIQIFLA YTVEGSSFVFGDTLVQNVFAFQSLPIIIFFGCVMSILYYLGLVQWVIQKVAWFLQITMGT TAAETLAVAGNIFVGMTEAPLLIRPYLADMTISEIHAVMTGGFATIAGTVLGAFISFGID ASSLISASVMAAPCALALSKLVYPEVEESKFKSKEGLKLPRGEERNILEAASNGATDAIS LVANVAANLIAFLAVLAFINATLSWLGEMVDIHGLSFQVICSYVLRPMVFMMGVQWADCP LVAEIVGVKFFINEFVAYQQLSQYKNKRLSGVEEWINGEKQWISVKAEIITTFSLCGFAN LSSIGITLGGLTSMIPQRKSDLCKIVVRALFTGACVSFISACMAGILYVPRGAETDCVSF LNTNFTNRTYETYVCCRELFQSTSLNGTNMPSFSGPWQDNVSSLRNLASCCDLYTSTVCA >ENSMUSP00000106153.1 pep:known chromosome:GRCm38:2:122440763:122461133:1 gene:ENSMUSG00000027219.13 transcript:ENSMUST00000110524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc28a2 description:solute carrier family 28 (sodium-coupled nucleoside transporter), member 2 [Source:MGI Symbol;Acc:MGI:1913105] MEKSKGRKSVSQATVENCMENPGLELMEGGNLEQRYTQEEVTQGHSLEDGLGHSSLWSRR IFQPFTKARSFFERHAGLFRKILLGLLCLAYAAYFLAACILNFQRALALFVITCLVIFIL ACHFLKKFFPKEQLRCLKPLENTHLNLWAKRVFVGLSVVGLILWLALDTAQRPEQLISFA GICMFILILFACSKHHSAVCWRTVFWGLGLQFIFGILVIRTEPGFNAFQWLGDQIQIFLA YTVEGSSFVFGDTLVQNVFAFQSLPIIIFFGCVMSILYYLGLVQWVIQKVAWFLQITMGT TAAETLAVAGNIFVGMTEAPLLIRPYLADMTISEIHAVMTGGFATIAGTVLGAFISFGID ASSLISASVMAAPCALALSKLVYPEVEESKFKSKEGLKLPRGEERNILEAASNGATDAIS LVANVAANLIAFLAVLAFINATLSWLGEMVDIHGLSFQVICSYVLRPMVFMMGVQWADCP LVAEIVGVKFFINEFVAYQQLSQYKNKRLSGVEEWINGEKQWISVKAEIITTFSLCGFAN LSSIGITLGGLTSMIPQRKSDLCKIVVRALFTGACVSFISACMAGILYVPRGAETDCVSF LNTNFTNRTYETYVCCRELFQSTSLNGTNMPSFSGPWQDNVSSLRNLASCCDLYTSTVCA >ENSMUSP00000028652.5 pep:known chromosome:GRCm38:2:122439747:122461130:1 gene:ENSMUSG00000027219.13 transcript:ENSMUST00000028652.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc28a2 description:solute carrier family 28 (sodium-coupled nucleoside transporter), member 2 [Source:MGI Symbol;Acc:MGI:1913105] MEKSKGRKSVSQATVENCMENPGLELMEGGNLEQRYTQEEVTQGHSLEDGLGHSSLWSRR IFQPFTKARSFFERHAGLFRKILLGLLCLAYAAYFLAACILNFQRALALFVITCLVIFIL ACHFLKKFFPKEQLRCLKPLENTHLNLWAKRVFVGLSVVGLILWLALDTAQRPEQLISFA GICMFILILFACSKHHSAVCWRTVFWGLGLQFIFGILVIRTEPGFNAFQWLGDQIQIFLA YTVEGSSFVFGDTLVQNVFAFQSLPIIIFFGCVMSILYYLGLVQWVIQKVAWFLQITMGT TAAETLAVAGNIFVGMTEAPLLIRPYLADMTISEIHAVMTGGFATIAGTVLGAFISFGID ASSLISASVMAAPCALALSKLVYPEVEESKFKSKEGLKLPRGEERNILEAASNGATDAIS LVANVAANLIAFLAVLAFINATLSWLGEMVDIHGLSFQVICSYVLRPMVFMMGVQWADCP LVAEIVGVKFFINEFVAYQQLSQYKNKRLSGVEEWINGEKQWISVKAEIITTFSLCGFAN LSSIGITLGGLTSMIPQRKSDLCKIVVRALFTGACVSFISACMAGILYVPRGAETDCVSF LNTNFTNRTYETYVCCRELFQSTSLNGTNMPSFSGPWQDNVSSLRNLASCCDLYTSTVCA >ENSMUSP00000029738.7 pep:known chromosome:GRCm38:3:96868281:96905346:-1 gene:ENSMUSG00000028096.13 transcript:ENSMUST00000029738.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr89 description:G protein-coupled receptor 89 [Source:MGI Symbol;Acc:MGI:1914799] MSFLIDSSIMITSQILFFGFGWLFFMRQLFKDYEVRQYVVQVIFSVTFAFSCTMFELIIF EILGVLNSSSRYFHWKLNLCVILLILVFMVPFYIGYFIVSNIQLLHKQRLLFSCLLWLTF MYFFWKLGDPFPILSPKHGILSIEQLISRVGVIGVTLMALLSGFGAVNCPYTYMSYFLRN VTDTDILALERRLLQTMDMIISKKKRMAVARRTMFQRGDVQNKPSGLWGMLKSVTASAPG SENLTLIQQEVDALEELSRQLFLETADLYATKERIEYSKTFKGKYFNFLGYFFSIYCVWK IFMATINIVLDRVGKTDPVTRGIEITVNYLGIQFDVKFWSQHISFILVGIIIVTSIRGLL ITLTKFFYAISSSKSSNVIVLLLAQIMGMYFVSSVLLIRMSMPPEYRTIITEVLGELQFN FYHRWFDVIFLVSALSSILFLYLAHKQAPEKHMAP >ENSMUSP00000116016.1 pep:known chromosome:GRCm38:3:96882519:96905312:-1 gene:ENSMUSG00000028096.13 transcript:ENSMUST00000154750.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpr89 description:G protein-coupled receptor 89 [Source:MGI Symbol;Acc:MGI:1914799] MSFLIDSSIMITSQILFFGFGWLFFMRQLFKDYEVRQYVVQVIFSVTFAFSCTMFELIIF EILGVLNSSSRYFHWKLNLCVILLILVFMVPFYIGYFICTNSACSFPVSYG >ENSMUSP00000108161.1 pep:known chromosome:GRCm38:X:155624775:156345887:1 gene:ENSMUSG00000055109.5 transcript:ENSMUST00000112542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15155 description:predicted gene 15155 [Source:MGI Symbol;Acc:MGI:3642241] MWSYESKNSERDSHIKENEKLYFSRGSLNTALSFLVAEKKRTKHVTVNKHGACQIAWSPS LKRADSPEDEVLLLLRNSSPEVSLCTLSCLGTHSVDETGVELRDMLAFASTVLRLKCVCV YTPLRKTKTTKKKEVKKN >ENSMUSP00000078984.2 pep:known chromosome:GRCm38:X:81419575:81458121:-1 gene:ENSMUSG00000060673.2 transcript:ENSMUST00000080083.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930595M18Rik description:RIKEN cDNA 4930595M18 gene [Source:MGI Symbol;Acc:MGI:3045300] MCCSHALLNVDGMTCLKVENLAHHTSSYTLKHVFEDYGPLGDVYIPRNHLTEEHYGFAFI HFYNKCDANDALHSLNGFLLDGCKLKVQMVYNDDPHYAQPDCSSGRQYQYKEENHDLQSE CERQHFSNTRIQTRSHSRSSGDDSEFKSQSSNHLHRRSSSTRFKTQSSVSTQQLPNRACP KSKNLPGKKKKPKPKSSYKSASKYPSGGGLLVGEKLENNQRQVENGQSNSMFEKPCRTKV NATHTLITRPSTRSQTRKRLRSPQNSSENSKLERKYAENKERQMEYPQSPSIIKKTYLSK EIEPEILTVYDRTQRRTRRRSQGLPRSNNSNRCGANTADVLHSVDSESGQLNSLQQSEKR TKMGIEECGFWTANCQGNSSNDITGRMRFTCNKGNTIPQNGLSGLSCHDSNRKIHKQLDY QELESMHVTPFTQEGNITEVLMEDTCSKETSTRRKTPDILTLKETIESRLHPDTKDVALG GPHYCLSLETFEDLRKDKAYIFSNSHTFLPLRDNLAISELQKLYFFPSFPTIEHILTEST AHRSQSTSVTPTKFTTVEIDQKEHTKTSSCSNQTDIQISDVISDDETLNTNLECTIPSAP CETSGNIMLGVFEVDDNFTEFDLQSCDSLSSQNNRRVHSGHSRISRSVSSLNSNSTTSSS TNHSLLSLLNYSQQFLPVSSTSDNIIGNDINLPHTSQINQESNEFIVFNCPPFEARQQMH VTSPETSNDSDYWPVLPDFSNFNDIHNNHPKGLTEEQINNLPVIYFCENDEISHCSICLT QYIKNSKIRVLPCFHEYHDKCIDRWLSDNSTCPICRKHIINSDDTEFLF >ENSMUSP00000039206.6 pep:known chromosome:GRCm38:10:45577829:45712345:1 gene:ENSMUSG00000038822.15 transcript:ENSMUST00000037044.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hace1 description:HECT domain and ankyrin repeat containing, E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:2446110] MERAMEQLNRLTRSLRRARTVELPEDNETAVYTLMPMVMADQHRSVSELLSNSKFDVNYA FGRVKRSLLHIAANCGSVECLVLLLKKGANPNYQDISGCTPLHLAARNGQKKCMSKLLEY SADVNICNNEGLTAIHWLAVNGRTELLHDLVQHVTDVDVEDAMGQTALHVACQNGHKTTV QCLLDSGADINRPNVSGATPLYFACSHGQRDTAQILLLRGAKYLPDKNGVTPLDLCVQGG YGQTCEVLIQYHPRLFQTIVQMTQNEDLRENMLRQVLQHLSQQSESQYLKILTGLAEVAT TNGHKLLSLSSNYDAQMKSLLRIVRIFCHVFRIGPSSPSNGIDMGYNGNKTPRSQVFKPL ELLWHSLDEWLVLIATELMKNKEDSTDITSILLKQKGQDQEAPSLSAFEPPGPGSYESLP PGPGDSKPEVLAGEQEASADCQDVISVTANRLSAVIQAFYMCCSCQMPPGMTSPRFIEFV CKHDEVLKCFVNRNPKIIFDHFHFLLECPELMSRFMHIIKAQPFKDRCEWFYEHLHSGQP DSDMVHRPVSENDILLVHRDSIFRSSCEIVSKANCAKLKQGIAVRFHGEEGMGQGVVREW FDILSNEIVNPDYALFTQSADGTTFQPNSNSYVNPDHLNYFRFAGQILGLALNHRQLVNI YFTRSFYKHILGIPVNYQDVASIDPEYAKNLQWILDNDISDLGLELTFSVETDVFGAMEE VPLKPGGGSILVTQNNKAEYVQLVTELRMTRAIQPQINAFLQGFHMFIPPSLIQLFDEYE LELLLSGMPEIDVNDWIKNTEYTSGYEREDPVIQWFWEVVEDITQEERVLLLQFVTGSSR VPHGGFANIMGGSGLQNFTIAAVPYTPNLLPTSSTCINMLKLPEYPSKEILKDRLLVALH CGSYGYTMA >ENSMUSP00000121196.1 pep:known chromosome:GRCm38:10:45578141:45605633:1 gene:ENSMUSG00000038822.15 transcript:ENSMUST00000131568.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hace1 description:HECT domain and ankyrin repeat containing, E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:2446110] LPEDNETAVYTLMPMVMADQHRSVSELLSNSKFDVNYAFGRVKRSLLHIAANCGSVECLV LLLKKGANPNYQDISGCTPLHLAARNGQKKCMSKLLEYSADVNICNNEGLTAVCVITFL >ENSMUSP00000118554.1 pep:known chromosome:GRCm38:10:45672558:45712159:1 gene:ENSMUSG00000038822.15 transcript:ENSMUST00000131406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hace1 description:HECT domain and ankyrin repeat containing, E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:2446110] XCEIVSKANCAKLKQGIAVRFHGEEGMGQGVVREWFDILSNEIVNPDYALFTQSADGTTF QPNSNSYVNPDHLNYFRFAGQILGLALNHRQLVNIYFTRSFYKHILGIPVNYQDVASIDP EYAKNLQWILDNDISDLGLELTFSVETDVFGAMEEVPLKPGGGSILVTQNNKELLLSGMP EIDVNDWIKNTEYTSGYEREDPVIQWFWEVVEDITQEERVLLLQFVTGSSRVPHGGFANI MGGSGLQNFTIAAVPYTPNLLPTSSTCINMLKLPEYPSKEILKDRLLVALHCGSYGYTMA >ENSMUSP00000117985.1 pep:known chromosome:GRCm38:10:45671514:45712159:1 gene:ENSMUSG00000038822.15 transcript:ENSMUST00000150511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hace1 description:HECT domain and ankyrin repeat containing, E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:2446110] XIKAQPFKDRCEWFYEHLHSGQPDSDMVHRPVSENDILLVHRDSIFRSSCEIVSKANCAK LKQGIAVRFHGEEGMGQGVVREWFDILSNEIVNPDYALFTQSADGTTFQPNSNSYVNPDH LNYFRFAGQILGLALNHRQLVNIYFTRSFYKHILGIPVNYQDVASIDPEYAKNLQWILDN DISDLGLELTFSVETDVFGAMEEVPLKPGGGSILVTQNNKELLLSGMPEIDVNDWIKNTE YTSGYEREDPVIQFVTGSSRVPHGGFANIMGGSGLQNFTIAAVPYTPNLLPTSSTCINML KLPEYPSKEILKDRLLVALHCGSYGYTMA >ENSMUSP00000108316.1 pep:known chromosome:GRCm38:5:106616739:106696830:-1 gene:ENSMUSG00000049606.16 transcript:ENSMUST00000112696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp644 description:zinc finger protein 644 [Source:MGI Symbol;Acc:MGI:1277212] MRLFLHQDVNKPKFRLKVLNDLANNMDDLKINTDITGAKEELQDDNNFISEKDSGVHKPK DCQTSFQKNSSLTRSEELSEDQSEQALSGGQSALFLPASTPAVPTENFPLPTGAVVNGPV SCSSSTKTSNMNEGSVSLTPGQPADQLAAESCSTLKAAADHQLSTPQKASQHQVLFLLSD VAHAKNPTHSIKKVPTSALVGCDVQNSVGNNMKSESTLLSQAEVGQGDASTVVRDDCVSA LAVISSGTDEFRSENDTNWDPQKEFIQFLMTSEETVDKPPAHSKVVGLEKKRKRKMDVSK ITRYTEDCYSDSGRAPGKSKILQVDFLGQNEEMQAIDSQNYTLSKVKPESAGGDLESVDT FQHLVYNSDKCGEDNSPVHTRTLISNTLKKKCEESDPESPVAFSTEEPSFYPCTKCNVNF REKKHLHRHMMYHLDGNSHFRHLNVPRPYACRECGRTFRDRNSLLKHMIIHQERRQKLME EIRELKELQDEGRSARLQCPQCVFGTNCPKTFVQHAKTHEKDKRYYCCEECNFMAVTENE LECHRGIAHGAAVKCPVVSSEVTQRKTQKKTSVKDSVIGSSKKPATYLCKMCPFTTSARS ILKKHTEYLHSSACVDSFGNPLGLDKRKNDSLEESVDVDSTKSLAKQQPSTFPKNSALKQ DAKRTFGSSSQSSNFSKFHKRPHRIQKARKSIAQSGVNSCSQNKSPHKNVMVKSSTDQQP KYFHQAPREKPNARADSSYLYRHKYNNYRTIRKSGDSYPLPFKKEVNSLNSLHLFSSSNS HNFISDPHNADTKRPENEKDHKRVAVKRVAKASKKESSAGEDLDSYPDFLHKMTVVVLQK LHSDKKDSYETEDDSSWDNVELGDYTTQAMEEEAYSDLSQEHVNLLPLFKSKIEGQGPGD SAALSYDQNDGFYFEYYEDGGTNSFLQDIHDPQHLENPETPLSKHSSVFHWTDLSLEKKS CPYCPATFETGVGLSNHVRGHLHRAGLSYEARHVVSPEQIATSDKMQHFKRTGNGTPVKR VRKAIEKSETTSEHTCQLCGGWFDTKIGLSNHVRGHLKRLGKTKWDAHKSPICVLNEMMQ NEEKYEKILKALNSRRIIPRPFVAQKLSSGDDFLSHNVLPLDEYHNGLKTEALSVSASEE EGLHFLSECGERKPELPSGRKNQSLTLIELLKSRRLGEERNSAVSPHKTHNQTARKRFVQ KCVLPLNEDSPLIYQPQKMDLTVHSAIDCKQKKSRSRSGSKKKMLTLPHGADEVYILRCR FCGLVFRGPLSVQEDWIKHLQRHIVNANLPRTGAGMVEVTSLLKKPASITETSFSLLMAE AAS >ENSMUSP00000038047.7 pep:known chromosome:GRCm38:5:106616741:106695975:-1 gene:ENSMUSG00000049606.16 transcript:ENSMUST00000045466.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp644 description:zinc finger protein 644 [Source:MGI Symbol;Acc:MGI:1277212] MRLFLHQDVNKPKFRLKVLNDLANNMDDLKINTDITGAKEELQDDNNFISEKDSGVHKPK DCQTSFQKNSSLTRSEELSEDQSEQALSGGQSALFLPASTPAVPTENFPLPTGAVVNGPV SCSSSTKTSNMNEGSVSLTPGQPADQLAAESCSTLKAAADHQLSTPQKASQHQVLFLLSD VAHAKNPTHSIKKVPTSALVGCDVQNSVGNNMKSESTLLSQAEVGQGDASTVVRDDCVSA LAVISSGTDEFRSENDTNWDPQKEFIQFLMTSEETVDKPPAHSKVVGLEKKRKRKMDVSK ITRYTEDCYSDSGRAPGKSKILQVDFLGQNEEMQAIDSQNYTLSKVKPESAGGDLESVDT FQHLVYNSDKCGEDNSPVHTRTLISNTLKKKCEESDPESPVAFSTEEPSFYPCTKCNVNF REKKHLHRHMMYHLDGNSHFRHLNVPRPYACRECGRTFRDRNSLLKHMIIHQERRQKLME EIRELKELQDEGRSARLQCPQCVFGTNCPKTFVQHAKTHEKDKRYYCCEECNFMAVTENE LECHRGIAHGAAVKCPVVSSEVTQRKTQKKTSVKDSVIGSSKKPATYLCKMCPFTTSARS ILKKHTEYLHSSACVDSFGNPLGLDKRKNDSLEESVDVDSTKSLAKQQPSTFPKNSALKQ DAKRTFGSSSQSSNFSKFHKRPHRIQKARKSIAQSGVNSCSQNKSPHKNVMVKSSTDQQP KYFHQAPREKPNARADSSYLYRHKYNNYRTIRKSDPHNADTKRPENEKDHKRVAVKRVAK ASKKESSAGEDLDSYPDFLHKMTVVVLQKLHSDKKDSYETEDDSSWDNVELGDYTTQAME EEAYSDLSQEHVNLLPLFKSKIEGQGPGDSAALSYDQNDGFYFEYYEDGGTNSFLQDIHD PQHLENPETPLSKHSSVFHWTDLSLEKKSCPYCPATFETGVGLSNHVRGHLHRAGLSYEA RHVVSPEQIATSDKMQHFKRTGNGTPVKRVRKAIEKSETTSEHTCQLCGGWFDTKIGLSN HVRGHLKRLGKTKWDAHKSPICVLNEMMQNEEKYEKILKALNSRRIIPRPFVAQKLSSGD DFLSHNVLPLDEYHNGLKTEALSVSASEEEGLHFLSECGERKPELPSGRKNQSLTLIELL KSRRLGEERNSAVSPHKTHNQTARKRFVQKCVLPLNEDSPLIYQPQKMDLTVHSAIDCKQ KKSRSRSGSKKKMLTLPHGADEVYILRCRFCGLVFRGPLSVQEDWIKHLQRHIVNANLPR TGAGMVEVTSLLKKPASITETSFSLLMAEAAS >ENSMUSP00000108315.1 pep:known chromosome:GRCm38:5:106616744:106696597:-1 gene:ENSMUSG00000049606.16 transcript:ENSMUST00000112695.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp644 description:zinc finger protein 644 [Source:MGI Symbol;Acc:MGI:1277212] MLISQNLAIDCKQKKSRSRSGSKKKMLTLPHGADEVYILRCRFCGLVFRGPLSVQEDWIK HLQRHIVNANLPRTGAGMVEVTSLLKKPASITETSFSLLMAEAAS >ENSMUSP00000122421.1 pep:known chromosome:GRCm38:5:106636807:106696826:-1 gene:ENSMUSG00000049606.16 transcript:ENSMUST00000127434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp644 description:zinc finger protein 644 [Source:MGI Symbol;Acc:MGI:1277212] MRLFLHQDVNKPKFRLKVLNDLANNMDDLKINTDITGAKEELQDDNNFISEKDSGVHKPK DCQTSFQKNSSLTRSEELSEDQSEQALSGGQSALFLPASTPAVPTENFPLPTGAVVNGPV SCSSSTKTSNMNEGSVSLTPGQPADQLAAESCSTLKAAADHQLSTPQKASQHQVLFLLSD VAHAKNPTHSIKKVPTSALVGCDVQNSVGNNMKSESTLLSQAEVGQGDASTVVRDDCVSA LAVISSGTDEFRSENDTNWDPQKEFIQFLMTSEETVDKPPAHSKVVGLEKKRKRKMDVSK ITRYTEDCYSDSGRAPGKSKILQVDFLGQNEEMQAIDSQNYTLSKVKPESAGGDLESVDT FQHLVYNSDKCGEDNSPVHTRTLISNTLKKKCEESDPESPVAFSTEEPSFYPCTKCNVNF REKKHLHRHMMYHLDGNSHFRHLNVPRPYACRECGRTFRDRNSLLKHMIIHQERRQKLME EIRELKELQDEGRSARLQCPQCVFGTNCPKTFVQHAKTHEKDKRYYCCEECNFMAVTENE LECHRGIAHGAAVKCPVVSSEVTQRKTQKKTSVKDSVIGSSKKPATYLCKMCPFTTSARS ILKKHTEYLHSSACVDSFGNPLGL >ENSMUSP00000114698.1 pep:known chromosome:GRCm38:5:106637818:106696564:-1 gene:ENSMUSG00000049606.16 transcript:ENSMUST00000137285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp644 description:zinc finger protein 644 [Source:MGI Symbol;Acc:MGI:1277212] MRLFLHQDVNKPKFRLKVLNDLANNMDDLKINTDITGAKEELQDDNNFISEKDSGVHKPK DCQTSFQKNSSLTRSEELSEDQSEQALSGGQSALFLPASTPAVPTENFPLPTGAVVNGPV SCSSSTKTSNMNEGSVSLTPGQPADQLAAESCSTLKAAADHQLSTPQKASQHQVLFLLSD VAHAKNPTHSIKKVPTSALVGCDVQNSVGNNMKSESTLLSQAEVGQGDASTVVRDDCVSA LAVISSGTDEFRSENDTNWDPQKEFIQFLMTSEETVDKPPAHSKVVG >ENSMUSP00000118856.1 pep:known chromosome:GRCm38:5:106638069:106667617:-1 gene:ENSMUSG00000049606.16 transcript:ENSMUST00000122980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp644 description:zinc finger protein 644 [Source:MGI Symbol;Acc:MGI:1277212] MRLFLHQDVNKPKFRLKVLNDLANNMDDLKINTDITGAKEELQDDNNFISEKDSGVHKPK DCQTSFQKNSSLTRSEELSEDQSEQALSGGQSALFLPASTPAVPTENFPLPTGAVVNGPV SCSSSTKTSNMNEGSVSLTPGQPADQLAAESCSTLKAAADHQLSTPQKASQHQVLFLLSD VAHAKNPTHSIKKVPTSALVGCDV >ENSMUSP00000116876.1 pep:known chromosome:GRCm38:5:106638237:106696650:-1 gene:ENSMUSG00000049606.16 transcript:ENSMUST00000155495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp644 description:zinc finger protein 644 [Source:MGI Symbol;Acc:MGI:1277212] MDDLKINTDITGAKEELQDDNNFISEKDSGVHKPKDCQTSFQKNSSLTRSEELSEDQSEQ ALSGGQSALFLPASTPAVPTENFPLPTGAVVNGPVSCSSSTKTSNMNEGSVSLTPGQPAD QLA >ENSMUSP00000119893.1 pep:known chromosome:GRCm38:5:106638284:106696564:-1 gene:ENSMUSG00000049606.16 transcript:ENSMUST00000124263.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp644 description:zinc finger protein 644 [Source:MGI Symbol;Acc:MGI:1277212] MRLFLHQDVNKPKFRLKVLNDLANNMDDLKINTDITGAKEELQDDNNFISEKDSGVHKPK DCQTSFQKNSSLTRSEELSEDQSEQALSGGQSALFLPASTPAVPTENFPLPTGAVVNGPV SCSSSTKTSNMN >ENSMUSP00000122012.1 pep:known chromosome:GRCm38:5:106638427:106696650:-1 gene:ENSMUSG00000049606.16 transcript:ENSMUST00000135108.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp644 description:zinc finger protein 644 [Source:MGI Symbol;Acc:MGI:1277212] MLTRLKVLNDLANNMDDLKINTDITGAKEELQDDNNFISEKDSGVHKPKDCQTSFQKNSS LTRSEELSEDQSE >ENSMUSP00000108318.1 pep:known chromosome:GRCm38:5:106616742:106696830:-1 gene:ENSMUSG00000049606.16 transcript:ENSMUST00000112698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp644 description:zinc finger protein 644 [Source:MGI Symbol;Acc:MGI:1277212] MRLFLHQDVNKPKFRLKVLNDLANNMDDLKINTDITGAKEELQDDNNFISEKDSGVHKPK DCQTSFQKNSSLTRSEELSEDQSEQALSGGQSALFLPASTPAVPTENFPLPTGAVVNGPV SCSSSTKTSNMNEGSVSLTPGQPADQLAAESCSTLKAAADHQLSTPQKASQHQVLFLLSD VAHAKNPTHSIKKVPTSALVGCDVQNSVGNNMKSESTLLSQAEVGQGDASTVVRDDCVSA LAVISSGTDEFRSENDTNWDPQKEFIQFLMTSEETVDKPPAHSKVVGLEKKRKRKMDVSK ITRYTEDCYSDSGRAPGKSKILQVDFLGQNEEMQAIDSQNYTLSKVKPESAGGDLESVDT FQHLVYNSDKCGEDNSPVHTRTLISNTLKKKCEESDPESPVAFSTEEPSFYPCTKCNVNF REKKHLHRHMMYHLDGNSHFRHLNVPRPYACRECGRTFRDRNSLLKHMIIHQERRQKLME EIRELKELQDEGRSARLQCPQCVFGTNCPKTFVQHAKTHEKDKRYYCCEECNFMAVTENE LECHRGIAHGAAVKCPVVSSEVTQRKTQKKTSVKDSVIGSSKKPATYLCKMCPFTTSARS ILKKHTEYLHSSACVDSFGNPLGLDKRKNDSLEESVDVDSTKSLAKQQPSTFPKNSALKQ DAKRTFGSSSQSSNFSKFHKRPHRIQKARKSIAQSGVNSCSQNKSPHKNVMVKSSTDQQP KYFHQAPREKPNARADSSYLYRHKYNNYRTIRKSDPHNADTKRPENEKDHKRVAVKRVAK ASKKESSAGEDLDSYPDFLHKMTVVVLQKLHSDKKDSYETEDDSSWDNVELGDYTTQAME EEAYSDLSQEHVNLLPLFKSKIEGQGPGDSAALSYDQNDGFYFEYYEDGGTNSFLQDIHD PQHLENPETPLSKHSSVFHWTDLSLEKKSCPYCPATFETGVGLSNHVRGHLHRAGLSYEA RHVVSPEQIATSDKMQHFKRTGNGTPVKRVRKAIEKSETTSEHTCQLCGGWFDTKIGLSN HVRGHLKRLGKTKWDAHKSPICVLNEMMQNEEKYEKILKALNSRRIIPRPFVAQKLSSGD DFLSHNVLPLDEYHNGLKTEALSVSASEEEGLHFLSECGERKPELPSGRKNQSLTLIELL KSRRLGEERNSAVSPHKTHNQTARKRFVQKCVLPLNEDSPLIYQPQKMDLTVHSAIDCKQ KKSRSRSGSKKKMLTLPHGADEVYILRCRFCGLVFRGPLSVQEDWIKHLQRHIVNANLPR TGAGMVEVTSLLKKPASITETSFSLLMAEAAS >ENSMUSP00000079937.7 pep:known chromosome:GRCm38:5:73632749:73647790:-1 gene:ENSMUSG00000029156.11 transcript:ENSMUST00000081170.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgcb description:sarcoglycan, beta (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:1346523] MAAAAAAAAATEQQGSNGPVKKSMREKAVERRNVNKEHNSNFKAGYIPIDEDRLHKTGLR GRKGNLAICVIVLLFILAVINLLITLVIWAVIRIGPNGCDSMEFHESGLLRFKQVSDMGV IHPLYKSTVGGRRNENLVITGNNQPIVFQQGTTKLSVEKNKTSITSDIGMQFFDPRTHNI LFSTDYETHEFHLPSGVKSLNVQKASTERITSNATSDLNIKVDGRAIVRGNEGVFIMGKT IEFHMGGDVELKAENSIILNGTVMVSPTRLPSSSSGDQSGSGDWVRYKLCMCADGTLFKV QVTGHNMGCQVSDNPCGNTH >ENSMUSP00000037446.5 pep:known chromosome:GRCm38:10:127296221:127311786:-1 gene:ENSMUSG00000040354.14 transcript:ENSMUST00000037290.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mars description:methionine-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1345633] MRLFVSEGSPGSLPVLAAAARARGRAELLISTVGPEECVVPFLTRPKVPVLQLDSGNYLF SASAICRYFFLLCGWEQDDLTNQWLEWEATELQPVLSAALHCLVVQGKKGEDILGPLRRV LTHIDHSLSRQNCPFLAGDTESLADIVLWGALYPLLQDPAYLPEELGALQSWFQTLSTQE PCQRAAETVLKQQGVLALRLYLQKQPQPQPPPPEGRTVSNELEEEELATLSEEDIVTAVA AWEKGLESLPPLKLQQHPVLPVPGERNVLITSALPYVNNVPHLGNIIGCVLSADVFARYC RLRQWNTLYLCGTDEYGTATETKAMEEGLTPREICDKYHAIHADIYRWFGISFDTFGRTT TPQQTKITQDIFQRLLTRGFVLRDTVEQLRCERCARFLADRFVEGVCPFCGYEEARGDQC DRCGKLINAIELKKPQCKICRSCPVVRSSQHLFLDLPKLEKRLEDWLGKTVPGSDWTPNA RFIIRSWLRDGLKPRCITRDLKWGTPVPLEGFEDKVFYVWFDATIGYVSITANYTDQWEK WWKNPEQVDLYQFMAKDNVPFHGLVFPCSVLGAEDNYTLVKHIIATEYLNYEDGKFSKSR GIGVFGDMAKDTGIPADIWRFYLLYIRPEGQDSAFSWTDLLIKNNSELLNNLGNFINRAG MFVSKFFGGCVPEMALTPDDRRLVAHVSWELQHYHQLLEKVRIRDALRSILTISRHGNQY IQVNEPWKRIKGGEMDRQRAGTVTGMAVNMAALLSVMLQPYMPTVSSTIQTQLQLPPAAC RILATSFICTLPAGHRIGTVSPLFQKLENDQIENLRQRFGGGQAKGSPKPAAVEAVTAAG SQHIQTLTDEVTKQGNVVRELKAQKADKNQVAAEVAKLLDLKKQLALAEGKPIETPKGKK KK >ENSMUSP00000118031.2 pep:known chromosome:GRCm38:10:127296226:127297764:-1 gene:ENSMUSG00000040354.14 transcript:ENSMUST00000134778.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mars description:methionine-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1345633] XKRIKGGEMDRQRAGTVTGMAVNMAALLSVMLQPYMPTVSSTIQTQLQLPPAACRILATS FICTLPAGHRIGTVSPLFQKLENDQIENLRQRFGGGQLEESLELKAKGSPKPAAVEAVTA AGSQHIQTLTDEVTKQGNVVRELKAQKADKNQVAAEVAKLLDLKKQLALAEGKPIETPKG KKKK >ENSMUSP00000130666.1 pep:known chromosome:GRCm38:10:127296222:127311786:-1 gene:ENSMUSG00000040354.14 transcript:ENSMUST00000171564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mars description:methionine-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1345633] MRLFVSEGSPGSLPVLAAAARARGRAELLISTVGPEECVVPFLTRPKVPVLQLDSGNYLF SASAICRYFFLLCGWEQDDLTNQWLEWEATELQPVLSAALHCLVVQGKKGEDILGPLRRV LTHIDHSLSRQNCPFLAGDTESLADIVLWGALYPLLQDPAYLPEELGALQSWFQTLSTQE PCQRAAETVLKQQGVLALRLYLQKQPQPQPPPPEGRTVSNELEEEELATLSEEDIVTAVA AWEKGLESLPPLKLQQHPVLPVPGERNVLITSALPYVNNVPHLGNIIGCVLSADVFARYC RLRQWNTLYLCGTDEYGTATETKAMEEGLTPREICDKYHAIHADIYRWFGISFDTFGRTT TPQQTKITQDIFQRLLTRGFVLRDTVEQLRCERCARFLADRFVEGVCPFCGYEEARGDQC DRCGKLINAIELKKPQCKICRSCPVVRSSQHLFLDLPKLEKRLEDWLGKTVPGSDWTPNA RFIIRSWLRDGLKPRCITRDLKWGTPVPLEGFEDKVFYVWFDATIGYVSITANYTDQWEK WWKNPEQVDLYQFMAKDNVPFHGLVFPCSVLGAEDNYTLVKHIIATEYLNYEDGKFSKSR GIGVFGDMAKDTGIPADIWRFYLLYIRPEGQDSAFSWTDLLIKNNSELLNNLGNFINRAG MFVSKFFGGCVPEMALTPDDRRLVAHVSWELQHYHQLLEKVRIRDALRSILTISRHGNQY IQVNEPWKRIKGGEMDRQRAGTVTGMAVNMAALLSVMLQPYMPTVSSTIQTQLQLPPAAC RILATSFICTLPAGHRIGTVSPLFQKLENDQIENLRQRFGGGQLEESLELKAKGSPKPAA VEAVTAAGSQHIQTLTDEVTKQGNVVRELKAQKADKNQVAAEVAKLLDLKKQLALAEGKP IETPKGKKKK >ENSMUSP00000027172.6 pep:known chromosome:GRCm38:1:59989068:60043087:-1 gene:ENSMUSG00000026018.12 transcript:ENSMUST00000027172.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1l description:islet cell autoantigen 1-like [Source:MGI Symbol;Acc:MGI:1917625] MDSSEQLRAEDNQSVVSRMQKNYWRTKQVFIKATGKKEDEHVVASDAELDAKLEVFHSIQ ETCNELVKIVEKYQLRLNVISEEENELGLFLKFQAERDSTQAGEMMDAAGKALCSSAKQR LALCTPLSRLKQEVATFSQRAISDTLVTINRMERARTEYRGALLWMKDASQELDPDTFKQ MEKFRKVQNQVRNSKDSFDKLKKDVCQKVDLLGASRCNMLSHSLTTYQRTLLGFWEKTAQ MMTQIQEACAGFHPYDFLALKRLQDTPGNLTADCTEGQTEGSCLTTDLNKVALSEEEEEE RFEREPAVARALPRDSLEGDDFEKEFSFLNSLLSPTSSSASEFTQECQPACGSPCTGLTS QEPSVGPGSLTSSSQFLPSQLFDLGLHADGAFNTPNNGNQDMSAWFNLFADLGPLSNPDA IGHSDDELLNA >ENSMUSP00000140520.1 pep:known chromosome:GRCm38:1:59989075:60043122:-1 gene:ENSMUSG00000026018.12 transcript:ENSMUST00000191251.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1l description:islet cell autoantigen 1-like [Source:MGI Symbol;Acc:MGI:1917625] MDSSEQLRAEDNQSVVSRMQKNYWRTKQVFIKATGKKEDEHVVASDAELDAKLEVFHSIQ ETCNELVKIVEKYQLRLNVISEEENELGLFLKFQAERDSTQAGEMMDAAGKALCSSAKQR LALCTPLSRLKQEVATFSQRAISDTLVTINRMERARTEYRGALLWMKDASQELDPDTFKQ MEKFRKVQNQVRNSKDSFDKLKKDVCQKVDLLGASRCNMLSHSLTTYQRTLLGFWEKTAQ MMTQIQEACAGFHPYDFLALKRLQDTPGNLTADCTEGQTEGSCLTTDLNKVALSEEEEEE RFEREPAVARALPRDSLEGDDFEKEFSFLNSLLSPTSSSASEFTQECQPACGSPCTGLTS QEPSVGPGSLTSSSQFLPSQLFDLGLHADGAFNTPNNGNQDMSAWFNLFADLGPLSNPDA IGHSDDELLNA >ENSMUSP00000141103.1 pep:known chromosome:GRCm38:1:59991124:60028229:-1 gene:ENSMUSG00000026018.12 transcript:ENSMUST00000189776.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ica1l description:islet cell autoantigen 1-like [Source:MGI Symbol;Acc:MGI:1917625] MDSSEQLRAEDNQSVVSRMQKNYWRTKQVFIKATGKKEDEHVVASDAELDAKLEVFHSIQ ETCNELVKIVEKYQLRLNVISEEENELGLFLKFQAERDSTQAGEMMDAAGKALCSSAKQR LALCTPLSRLKQEVATFSQRAISDTLVTINRMERARTEYRGALLWMKDASQELDPDTFKQ MEKFRKVQNQVRNSKDSFDKLKKDVCQKVDLLGASRCNMLSHSLTTYQRTLLGFWEKTAQ MMTQIQEACAGFHPYDFLALKRLQDTPGNLTADCTEGQTEGSCLTTDLNKVALSEEEEEE RFEREPAVARALPRDSLEGDDFEKEFSFLNSLLSPTSSSASEFTQECQPACGSPCTGLTS QEPSVGPGSLTSSSQFLPSQLFDLGLHADGAFNIWASQKRSEHSDTLPVPSQHPKKLKYL GPLSNPDAIGHSDDELLNA >ENSMUSP00000032485.5 pep:known chromosome:GRCm38:6:125192165:125196269:1 gene:ENSMUSG00000030335.6 transcript:ENSMUST00000032485.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl51 description:mitochondrial ribosomal protein L51 [Source:MGI Symbol;Acc:MGI:1913743] MAGSVPWAASRRLWGWVPSACRSFSLGVPRLAFVRLTLPPPKVVDRWNEKRALFGVYDNI GILGNFEKHPKELIKGPVWLRGWRGNELQRCVRKKKFVGNRMFIEDLHNLNKRISYLYKH FNRHGKYR >ENSMUSP00000136891.1 pep:known chromosome:GRCm38:8:33742112:33747770:-1 gene:ENSMUSG00000094500.2 transcript:ENSMUST00000179364.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim18 description:small integral membrane protein 18 [Source:MGI Symbol;Acc:MGI:1919882] MASGRWNETTTSVYLGFQVQQIHPFHDNWNTACFVILLLFIMTVVSLVVLAFLYEVLDCC CCAKNKTVKDLKSEPNPLRSMMDNIRKRDSEVV >ENSMUSP00000139400.1 pep:known chromosome:GRCm38:Y:49571156:49597425:1 gene:ENSMUSG00000095979.7 transcript:ENSMUST00000189354.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21209 description:predicted gene, 21209 [Source:MGI Symbol;Acc:MGI:5434564] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKCSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKY >ENSMUSP00000136754.1 pep:known chromosome:GRCm38:Y:49572579:49597230:1 gene:ENSMUSG00000095979.7 transcript:ENSMUST00000178556.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21209 description:predicted gene, 21209 [Source:MGI Symbol;Acc:MGI:5434564] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKCSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKY >ENSMUSP00000035417.6 pep:known chromosome:GRCm38:3:89136142:89146594:1 gene:ENSMUSG00000041237.12 transcript:ENSMUST00000047111.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pklr description:pyruvate kinase liver and red blood cell [Source:MGI Symbol;Acc:MGI:97604] MSVQENELPQQLWPWIFKSQKDLAKSALSGAPGGPAGYLRRASVAQLTQELGTAFFQQQQ LPAAMADTFLEHLCLLDIDSEPVAARSTSIIATIGPASRSVDRLKEMIKAGMNIARLNFS HGSHEYHAESIANIREAAESFATSPLSYRPVAIALDTKGPEIRTGVLQGGPESEVEIVKG SQVLVTVDPKFRTRGDAKTVWVDYHNITQVVAVGGRIYIDDGLISLVVRKIGPEGLVTEV EHGGFLGNRKGVNLPNAEVDLPGLSEQDLLDLRFGVEHNVDIIFASFVRKASDVVAVRDA LGPEGRGIKIISKIENHEGVKKFDEILEVSDGIMVARGDLGIEIPAEKVFLAQKMMIGRC NLAGKPVVCATQMLESMITKARPTRAETSDVANAVLDGADCIMLSGETAKGSFPVEAVKM QHAIAREAEAAVYHRQLFEELRRAAPLSRDPTEVTAIGAVEASFKCCAAAIIVLTKTGRS AQLLSRYRPRAAVIAVTRSAQAARQVHLSRGVFPLLYREPPEAVWADDVDRRVQFGIESG KLRGFLRVGDLVIVVTGWRPGSGYTNIMRVLTIS >ENSMUSP00000103106.3 pep:known chromosome:GRCm38:3:89136572:89146784:1 gene:ENSMUSG00000041237.12 transcript:ENSMUST00000107482.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pklr description:pyruvate kinase liver and red blood cell [Source:MGI Symbol;Acc:MGI:97604] MEGPAGYLRRASVAQLTQELGTAFFQQQQLPAAMADTFLEHLCLLDIDSEPVAARSTSII ATIGPASRSVDRLKEMIKAGMNIARLNFSHGSHEYHAESIANIREAAESFATSPLSYRPV AIALDTKGPEIRTGVLQGGPESEVEIVKGSQVLVTVDPKFRTRGDAKTVWVDYHNITQVV AVGGRIYIDDGLISLVVRKIGPEGLVTEVEHGGFLGNRKGVNLPNAEVDLPGLSEQDLLD LRFGVEHNVDIIFASFVRKASDVVAVRDALGPEGRGIKIISKIENHEGVKKFDEILEVSD GIMVARGDLGIEIPAEKVFLAQKMMIGRCNLAGKPVVCATQMLESMITKARPTRAETSDV ANAVLDGADCIMLSGETAKGSFPVEAVKMQHAIAREAEAAVYHRQLFEELRRAAPLSRDP TEVTAIGAVEASFKCCAAAIIVLTKTGRSAQLLSRYRPRAAVIAVTRSAQAARQVHLSRG VFPLLYREPPEAVWADDVDRRVQFGIESGKLRGFLRVGDLVIVVTGWRPGSGYTNIMRVL TIS >ENSMUSP00000119392.1 pep:known chromosome:GRCm38:3:89136579:89141539:1 gene:ENSMUSG00000041237.12 transcript:ENSMUST00000127058.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pklr description:pyruvate kinase liver and red blood cell [Source:MGI Symbol;Acc:MGI:97604] MADTFLEHLCLLDIDSEPVAARSTSIIATIGPASRSVDRLKEMIKAGMNIARLNFSHGSH EYHAESIANIRE >ENSMUSP00000146310.1 pep:known chromosome:GRCm38:7:127588767:127604799:1 gene:ENSMUSG00000030816.8 transcript:ENSMUST00000205694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf40 description:ring finger protein 40 [Source:MGI Symbol;Acc:MGI:2142048] MSGLSNKRAAGDGGSGPPEKKMNREEKTTTTLIEPIRLGGISSTEEMDSKVLQFKNKKLA ERLEQRQACEDELRERIEKLEKRQATDDATLLIVNRYWAQLDETVEALLQCYENQRELSS GTEVPGCQEGLTRDVIPRPDPGTSDLREPLPVQFRAPLSEPALAFVVALGASSCEEVELQ LQGRMEFSKAAVSRVVEASDRLQRQVEELCQRVYSRGDSEAPGEVARVRTRELGRENRRL QDLATQLQEKHHRISLEYSELQDKVTSTETKVLEMETTVEDLQWDIEKLRKREQKLNKHL AEALEQLNSGYYVSGSSTGFQGGQITLSMQKFEMLNAELEENQELANSRMAELEKLQAEL QGAVRTNERLKVALRSLPEEVVRETGEYRMLQAQFSLLYNESLQVKTQLDEARGLLLASK NSHLRHIEHMESDELGLQKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQNLAANEQAGPIN REMRHLISSLQNHNHQLKGDAQRYKRKLREVQAEIGKLRAQASGSSHCIPTLSHPDDPGL NALAPGKEDSGPGPGGTPDCKKEMALLAGATSATSSIKKEELVSSEDDAQALTPVTQGLP SRGREPEARPKRELREREGPSLGPPPAASTLSRADREKAKVEEAKRKESELLKGLRAELK KAQESQKEMKLLLDMYKSAPKEQRDKVQLMAAERKAKAEVDELRSRIRELEERDRRESKK IADEDALRRIRQAEEQIEHLQRKLGATKQEEEALLSEMDVTGQAFEDMQEQNGRLLQQLR EKDDANFKLMSERIKANQIHKLLREEKDELGEQVLGLKSQVDAQLLTVQKLEEKERALQG SLGGVEKELTLRSQALELNKRKAVEAAQLAEDLKVQLEHVQTRLREIQPCLAESRAAREK ESFNLKRAQEDISRLRRKLEKQRKVEVYADADEILQEEIKEYKARLTCPCCNTRKKDAVL TKCFHVFCFECVRGRYEARQRKCPKCNAAFGAHDFHRVYIS >ENSMUSP00000033088.7 pep:known chromosome:GRCm38:7:127588919:127603585:1 gene:ENSMUSG00000030816.8 transcript:ENSMUST00000033088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf40 description:ring finger protein 40 [Source:MGI Symbol;Acc:MGI:2142048] MSGLSNKRAAGDGGSGPPEKKMNREEKTTTTLIEPIRLGGISSTEEMDSKVLQFKNKKLA ERLEQRQACEDELRERIEKLEKRQATDDATLLIVNRYWAQLDETVEALLQCYENQRELSS GTEVPGCQEGLTRDVIPRPDPGTSDLREPLPVQFRAPLSEPALAFVVALGASSCEEVELQ LQGRMEFSKAAVSRVVEASDRLQRQVEELCQRVYSRGDSEAPGEVARVRTRELGRENRRL QDLATQLQEKHHRISLEYSELQDKVTSTETKVLEMETTVEDLQWDIEKLRKREQKLNKHL AEALEQLNSGYYVSGSSTGFQGGQITLSMQKVALRSLPEEVVRETGEYRMLQAQFSLLYN ESLQVKTQLDEARGLLLASKNSHLRHIEHMESDELGLQKKLRTEVIQLEDTLAQVRKEYE MLRIEFEQNLAANEQAGPINREMRHLISSLQNHNHQLKGDAQRYKRKLREVQAEIGKLRA QASGSSHCIPTLSHPDDPGLNALAPGKEDSGPGPGGTPDCKKEMALLAGATSATSSIKKE ELVSSEDDAQALTPVTQGLPSRGREPEARPKRELREREGPSLGPPPAASTLSRADREKAK VEEAKRKESELLKGLRAELKKAQESQKEMKLLLDMYKSAPKEQRDKVQLMAAERKAKAEV DELRSRIRELEERDRRESKKIADEDALRRIRQAEEQIEHLQRKLGATKQEEEALLSEMDV TGQAFEDMQEQNGRLLQQLREKDDANFKLMSERIKANQIHKLLREEKDELGEQVLGLKSQ VDAQLLTVQKLEEKERALQGSLGGVEKELTLRSQALELNKRKAVEAAQLAEDLKVQLEHV QTRLREIQPCLAESRAAREKESFNLKRAQEDISRLRRKLEKQRKVEVYADADEILQEEIK EYKARLTCPCCNTRKKDAVLTKCFHVFCFECVRGRYEARQRKCPKCNAAFGAHDFHRVYI S >ENSMUSP00000146004.1 pep:known chromosome:GRCm38:7:127588919:127603594:1 gene:ENSMUSG00000030816.8 transcript:ENSMUST00000206914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf40 description:ring finger protein 40 [Source:MGI Symbol;Acc:MGI:2142048] MSGLSNKRAAGDGGSGPPEKKMNREEKTTTTLIEPIRLGGISSTEEMDSKVLQFKNKKLA ERLEQRQACEDELRERIEKLEKRQATDDATLLIVNRYWAQLDETVEALLQCYENQRELSS GTEVPGCQEGLTRDVIPRPDPGTSDLREPLPVQFRAPLSEPALAFVVALGASSCEEVELQ LQGRMEFSKAAVSRVVEASDRLQRQVEELCQRVYSRGDSEAPGEVARVRTRELGRENRRL QDLATQLQEKHHRISLEYSELQDKVTSTETKVLEMETTVEDLQWDIEKLRKREQKLNKHL AEALEQLNSGYYVSGSSTGFQGGQITLSMQKSDELGLQKKLRTEVIQLEDTLAQVRKEYE MLRIEFEQNLAANEQAGPINREMRHLISSLQNHNHQLKGDAQRYKRKLREVQAEIGKLRA QASGSSHCIPTLSHPDDPGLNALAPGKEDSGPGPGGTPDCKKEMALLAGATSATSSIKKE ELVSSEDDAQALTPVTQGLPSRGREPEARPKRELREREGPSLGPPPAASTLSRADREKAK VEEAKRKESELLKGLRAELKKAQESQKEMKLLLDMYKSAPKEQRDKVQLMAAERKAKAEV DELRSRIRELEERDRRESKKIADEDALRRIRQAEEQIEHLQRKLGATKQEEEALLSEMDV TGQAFEDMQEQNGRLLQQLREKDDANFKLMSERIKANQIHKLLREEKDELGEQVLGLKSQ VDAQLLTVQKLEEKERALQGSLGGVEKELTLRSQALELNKRKAVEAAQLAEDLKVQLEHV QTRLREIQPCLAESRAAREKESFNLKRAQEDISRLRRKLEKQRKVEVYADADEILQEEIK EYKARLTCPCCNTRKKDAVLTKCFHVFCFECVRGRYEARQRKCPKCNAAFGAHDFHRVYI S >ENSMUSP00000041744.8 pep:known chromosome:GRCm38:X:166390033:166440704:-1 gene:ENSMUSG00000040586.8 transcript:ENSMUST00000049501.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ofd1 description:oral-facial-digital syndrome 1 gene homolog (human) [Source:MGI Symbol;Acc:MGI:1350328] MRMAQSNMPHKSDVLSQDELRKKLYQTFKDRGVLDTLQTQLRNQLIHELMHPVLSGEVKP PSISVEGSALLIGASNSLVADHLQRCGYEYSLSVFFPESGLAKEKIFTMQDLLQLIRINP SSSLYKSLISGFDKENKKGFLMSFLKELAEYYQAKESCDAETQTSTTFPSQVSLAEKFQL IDAQFADGFPHRSKLESLETKLNEYKKEVQHQLQVEMCHKLKYFREAEITKVKMEERRKY EKELAEFQNEFERTCQAKNEALISQEKNSLERIKKHREMESKEIYAQRQLLLNDIALLRG REAELKERIETFELTQKLQEEKIKSEAEALERREQNLKNIEDTYDQKLKTELLKYQLELK DDYITRTNKLLEEERKNKEKTIHLQEELTVINSKKEELSKSVKHMKEVELELESVKAQFL AISKQNHLLNEKVREMSDYSQLKEEKVELQAQNKLLKLQLEETRNENLRLLDRITQPPPE LVIFQKELQKTEKAMELEHKDFETHRQALEKQLQSEIENSAQLRTQIAEYDASVKRLTVQ VAELKSQLKQTQIALENEVYRNPKHSLIHSLSGLLLSGKMAPHSEDKSGDFLNVPLEQNK VIAGAVMSRVPPYVNTATEASSPESDFEFIASSTKAKVRELEQEAERLEKAFRTYYQRAT QNPSTSPQPAKSPPSVNSVAALRSIASSSMDRPVSAEDRVVSEQPLGDMLKEEMSDMSKA FMGSVVSRPRRTSSSTRLSSTPHPKSRRSLDNEMYLEGLGRLHMTSSSPLLDRVSASPAA SPSPCPERTAQASPVPSRHSFSGLPEQNACLYQRQTETQDKSELSNVDKQSLKDEKFEPP FRWNKTEQFEAEGLHPAGDMPGIDFAVATQSSRLISYDYPSAVQSQTGEQDEQELWELHM KERRQREEQRHNERQEALERERRELGKLEQERRMIEESLKMEMEEELEKSVQDQKDKSAH CENTLEKYMKIIQQRQEESNADKSSKKSGKECSLVDMMMPSDKDESSPGFSHEEPDDMW >ENSMUSP00000035237.6 pep:known chromosome:GRCm38:9:108347853:108392545:1 gene:ENSMUSG00000032612.14 transcript:ENSMUST00000035237.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp4 description:ubiquitin specific peptidase 4 (proto-oncogene) [Source:MGI Symbol;Acc:MGI:98905] MAEGRGSRERPDVETQKTELGALMGTTLQRGAQWYLIDSRWFKQWKKYVGFDSWDMYNVG EHNLFPGPIDNSGLFSDPESQTLKEHLIDELDYVLVPAEAWNKLLNWYGCVEGQQPIVRK VVEHGLFVKHCKVEVYLLELKLCENSDPTNVLSCHFSKADTIATIEKEMRKLFNIPAERE TRLWNKYMSNTYEQLSKLDNTIQDAGLYQGQVLVIEPQNEDGTWPRQSLQSKSSTAPSRN FTTSSKPSASPYCSVSASLIANGDSTNSSGMHSSGVSRGGSGFSASYNCQEPPSPHIQPG LCGLGNLGNTCFMNSALQCLSNTAPLTEYFLKDEYEAEINRDNPLGMKGEIAEAYAELIK QMWSGRDTHVAPRMFKTQVGRFAPQFSGYQQQDSQELLAFILDGLHEDLNRVKKKPYLEP KDANGRPDAVVAKEAWENHRLRNDSVIVDTFHGLFKSTLVCPECAKVSVTFDPFCYLTLP LPLKKDRIMEVFLVPADPQCRPIQYRVTVPLMGAISDLCEALSKLSGIAAENMVVTDVYN HRFHKIFQMDEGLSHITPRDDIFVYEVCNTSMDGSECITLPVYFREKKSRPSSASSGAVL YGQPLLVSVPKHKLTLESLYQAVCDRISRYIKQPLPDEFLSSPLEPGACNGSRSSYEGDE EEEMDHQEEGKEQLSEVEGSGEDDQGDDHSESAQKVKGQPRHKRLFTFSLVNSCGTADIN SLATDGKLLKLNSRSTLAIDWDSETRSLYFDEQESEACEKHLSMSQPQKKKKAAVALREC IELFTTMETLGEHDPWYCPTCKKHQQATKKFDLWSLPKILVVHLKRFSYNRYWRDKLDTV VEFPVRALNMSEFVCDRSARPYVYDLIAVSNHYGAMGVGHYTAYAKNRLNGKWYYFDDSS VSLASEDQIVTKAAYVLFYQRRDDECSSTSSLGSFPGSDGGVKLSSSHQGMGDEEAYNMD TN >ENSMUSP00000141321.1 pep:known chromosome:GRCm38:9:108347874:108385903:1 gene:ENSMUSG00000032612.14 transcript:ENSMUST00000194224.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp4 description:ubiquitin specific peptidase 4 (proto-oncogene) [Source:MGI Symbol;Acc:MGI:98905] MAEGRGSRERPDVETQKTELGALMGTTLQRGAQWYLIDSRWFKQWKKYVGFDSWDMYNVG EHNLFPGPIDNSGLFSDPESQTLKEHLIDELDYVLVPAEAWNKLLNWYGCVEGQQPIVRK VVEHGLFVKHCKVEVYLLELKLCENSDPTNVLSCHFSKADTIATIEKEMRKLFNIPAERE TRLWNKYMSNTYEQLSKLDNTIQDAGLYQGQVLVIEPQNEDGTWPRQSLQSKSSTAPSRN FTTSSKPSASPYCSVSASLIANGDSTNSSGMHSSGVSRGGSGFSASYNCQEPPSPHIQPG LCGLGNLGNTCFMNSALQCLSNTAPLTEYFLKDEYEAEINRDNPLGMKGEIAEAYAELIK QMWSGRDTHVAPRMFKTQVGRFAPQFSGYQQQDSQELLAFILDGLHEDLNRVKKKPYLEP KDANGRPDAVVAKEAWENHRLRNDSVIVDTFHGLFKSTLVCPECAKVSVTFDPFCYLTLP LPLKKDRIMEVFLVPADPQCRPIQYRVTVPLMGAISDLCEALSKLSGIAAENMVVTDVYN HRFHKIFQMDEGLSHITPRDDIFVYEVCNTSMDGSECITLPVYFREKKSRPSSASSGAVL YGQPLLVSVPKHKLTLESLYQAVCDRISRYIKQPLPDEFLSSPLEPGACNGSRSSYEGDE EEEMDHQEEGKEQLSEVEGSGEDDQGDDHSESAQKVKGQPRHKRLFTFSLVNSCGTADIN SLATDGKLLKLNCL >ENSMUSP00000141368.1 pep:known chromosome:GRCm38:9:108347913:108392520:1 gene:ENSMUSG00000032612.14 transcript:ENSMUST00000194959.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp4 description:ubiquitin specific peptidase 4 (proto-oncogene) [Source:MGI Symbol;Acc:MGI:98905] MAEGRGSRERPDVETQKTELGALMGTTLQRGAQWYLIDSRWFKQWKKYVGFDSWDMYNVG EHNLFPGPIDNSGLFSDPESQTLKEHLIDELDYVLVPAEAWNKLLNWYGCVEGQQPIVRK VVEHGLFVKHCKVEVYLLELKLCENSDPTNVLSCHFSKADTIATIEKEMRKLFNIPAERE TRLWNKYMSNTYEQLSKLDNTIQDAGLYQGQVLVIEPQNEDGTWPRQSLQSNGSGFSASY NCQEPPSPHIQPGLCGLGNLGNTCFMNSALQCLSNTAPLTEYFLKDEYEAEINRDNPLGM KGEIAEAYAELIKQMWSGRDTHVAPRMFKTQVGRFAPQFSGYQQQDSQELLAFILDGLHE DLNRVKKKPYLEPKDANGRPDAVVAKEAWENHRLRNDSVIVDTFHGLFKSTLVCPECAKV SVTFDPFCYLTLPLPLKKDRIMEVFLVPADPQCRPIQYRVTVPLMGAISDLCEALSKLSG IAAENMVVTDVYNHRFHKIFQMDEGLSHITPRDDIFVYEVCNTSMDGSECITLPVYFREK KSRPSSASSGAVLYGQPLLVSVPKHKLTLESLYQAVCDRISRYIKQPLPDEFLSSPLEPG ACNGSRSSYEGDEEEEMDHQEEGKEQLSEVEGSGEDDQGDDHSESAQKVKGQPRHKRLFT FSLVNSCGTADINSLATDGKLLKLNSRSTLAIDWDSETRSLYFDEQESEACEKHLSMSQP QKKKKAAVALRECIELFTTMETLGEHDPWYCPTCKKHQQATKKFDLWSLPKILVVHLKRF SYNRYWRDKLDTVVEFPVRALNMSEFVCDRSARPYVYDLIAVSNHYGAMGVGHYTAYAKN RLNGKWYYFDDSSVSLASEDQIVTKAAYVLFYQRRDDECSSTSSLGSFPGSDGGVKLSSS HQGMGDEEAYNMDTN >ENSMUSP00000141612.1 pep:known chromosome:GRCm38:9:108372693:108392259:1 gene:ENSMUSG00000032612.14 transcript:ENSMUST00000193288.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp4 description:ubiquitin specific peptidase 4 (proto-oncogene) [Source:MGI Symbol;Acc:MGI:98905] XFCYLTLPLPLKKDRIMEVFLVPADPQCRPIQYRVTVPLMGAISDLCEALSKLSGIAAEN MVVTDVYNHRFHKIFQMDEGLSHITPRDDIFVYEVCNTSMDGSECITLPVYFREKKSRPS SASSGAVLYGQPLLVSVPKHKLTLESLYQAVCDRISRYIKQPLPDEFLSSPLEPGACNGS RSSYEGDEEEEMDHQEEGKEQLSEVEGSGEDDQGDDHSESAQKVKGQPRHKRLFTFSLVN SCGTADINSLATDGKLLKLNSRSTLAIDWDSETRSLYFDEQESECFSPQACEKHLSMSQP QKKKKAAVALRECIELFTTMETLGEHDPWYCPTCKKHQQATKKFDLWSLPKILVVHLKRF SYNRYWRDKLDTVVEFPVRHCICEEQTEREMVLL >ENSMUSP00000141411.1 pep:known chromosome:GRCm38:9:108381371:108391792:1 gene:ENSMUSG00000032612.14 transcript:ENSMUST00000195608.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp4 description:ubiquitin specific peptidase 4 (proto-oncogene) [Source:MGI Symbol;Acc:MGI:98905] XQLSEVEGSGEDDQGDDHSESAQKVKGQPRHKRLFTFSLVNSCGTADINSLATDGKLLKL NSRSTLAIDWDSETRSLYFDEQESEACEKHLSMSQPQKKKKAAVALRECIELFTTMETLG EHDPWYCPTCKKHQQATKKFDLWSLPKILVVHLKRFSYNRYWRDKLDTVVEFPVRHCICE EQTEREMVLL >ENSMUSP00000108331.2 pep:known chromosome:GRCm38:6:120093350:120200339:1 gene:ENSMUSG00000041377.12 transcript:ENSMUST00000112711.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ninj2 description:ninjurin 2 [Source:MGI Symbol;Acc:MGI:1352751] MESDRETIHLQHRHSMRGGNQRIDLNFYATKKSVAESMLDVALFMSNAMRLKSVLQQGPF AEYYTTLVTLIIVSLLLQVVISLLLVFIAILNLNEVENQRHLNKLNNAATILVFITVVIN IFITAFGAHHAASMAARTSSNPI >ENSMUSP00000046306.5 pep:known chromosome:GRCm38:6:120193823:120200334:1 gene:ENSMUSG00000041377.12 transcript:ENSMUST00000035244.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ninj2 description:ninjurin 2 [Source:MGI Symbol;Acc:MGI:1352751] MHRHSMRGGNQRIDLNFYATKKSVAESMLDVALFMSNAMRLKSVLQQGPFAEYYTTLVTL IIVSLLLQVVISLLLVFIAILNLNEVENQRHLNKLNNAATILVFITVVINIFITAFGAHH AASMAARTSSNPI >ENSMUSP00000035489.7 pep:known chromosome:GRCm38:1:134037254:134048277:1 gene:ENSMUSG00000041559.7 transcript:ENSMUST00000048183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmod description:fibromodulin [Source:MGI Symbol;Acc:MGI:1328364] MQWASVLLLAGLCSLSQGQYDEDSHWWIQYLRNQQSTYYDPYDPYPYEPSEPYPYGVEEG PAYAYGAPPPPEPRDCPQECDCPPNFPTAMYCDNRNLKYLPFVPSRMKYVYFQNNQISAI QEGVFDNATGLLWVALHGNQITSDKVGRKVFSKLRHLERLYLDHNNLTRMPGPLPRSLRE LHLDHNQISRVPNNALEGLENLTALYLHHNEIQEVGSSMRGLRSLILLDLSYNHLRRVPD GLPSALEQLYLEHNNVYTVPDSYFRGSPKLLYVRLSHNSLTNNGLATNTFNSSSLLELDL SYNQLQKIPPVNTNLENLYLQGNRINEFSISSFCTVVDVMNFSKLQVLRLDGNEIKRSAM PVDAPLCLRLANLIEI >ENSMUSP00000124896.1 pep:known chromosome:GRCm38:1:134037496:134040474:1 gene:ENSMUSG00000041559.7 transcript:ENSMUST00000162779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmod description:fibromodulin [Source:MGI Symbol;Acc:MGI:1328364] MQWASVLLLAGLCSLSQGQYDEDSHWWIQYLRNQQSTYYDPYDPYPYEPSEPYPYGVEEG PAYAYGAPPPPEPRDCPQECDCPP >ENSMUSP00000097510.1 pep:known chromosome:GRCm38:2:85413933:85414877:-1 gene:ENSMUSG00000075220.1 transcript:ENSMUST00000099926.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr993 description:olfactory receptor 993 [Source:MGI Symbol;Acc:MGI:3030827] MIQYNETEVKGFYLLGFGVQHDIQCFLFIVFLIIYMTSMVGNTGMILLIHTDSRLQTPMY FFLQHLAFVDICYTSAITPKMLQTFVVEDRYISFGGCVVQLLIYAIFATCDCYLLAAMAV DRYVAICKPLRYPILMSRKVCIQMVAGSYLIGSINSSVHTGFTFSLSYCKSNHINHFFCD VPPIISLSCSNIETNIKILVIFVGFNLIFTVLVVIFSYMYIMAAILKMSSTAGRKKTFST CASHLTAVTIFYGTLAYMYLQPHSDNSEENMKVASVFYGIVIPMLNPLIYSLRNKEVKDA IKLTKKKLFRFDTQ >ENSMUSP00000070134.4 pep:known chromosome:GRCm38:17:66494512:66519717:-1 gene:ENSMUSG00000023460.12 transcript:ENSMUST00000070538.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab12 description:RAB12, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:894284] MLLPLLRRSCFPSSTPGGGGGGGSAERVEGARGPGARGRRPEREPYACMDPSAALHRRPA GGSLGAVSPALSGGQARRRKQPPRPADFKLQVIIIGSRGVGKTSLMERFTDDTFCEACKS TVGVDFKIKTVELRGKKIRLQIWDTAGQERFNSITSAYYRSAKGIILVYDITKKETFDDL PKWMKMIDKYASEDAELLLVGNKLDCETDREISRQQGEKFAQQITGMRFCEASAKDNFNV DEIFLKLVDDILKKMPLDVLRNELSNSILSLQPEPEIPPELPPPRPHVRCC >ENSMUSP00000128645.1 pep:known chromosome:GRCm38:17:66494512:66519670:-1 gene:ENSMUSG00000023460.12 transcript:ENSMUST00000167962.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab12 description:RAB12, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:894284] MDPSAALHRRPAGGSLGAVSPALSGGQARRRKQPPRPADFKLQVIIIGSRGVGKTSLMER FTDDTFCEACKSTVGVDFKIKTVELRGKKIRLQIWDTAGQERFNSITSAYYRSAKGIILV YDITKKETFDDLPKWMKMIDKYASEDAELLLVGNKLDCETDREISRQQGEKFAQQITGMR FCEASAKDNFNVDEIFLKLVDDILKKMPLDVLRNELSNSILSLQPEPEIPPELPPPRPHV RCC >ENSMUSP00000050820.6 pep:known chromosome:GRCm38:17:84183931:84187947:-1 gene:ENSMUSG00000045817.8 transcript:ENSMUST00000060366.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp36l2 description:zinc finger protein 36, C3H type-like 2 [Source:MGI Symbol;Acc:MGI:107945] MSTTLLSPFYDIDFLCKTEKSLANLNLNNMLDKKAVGTPVAAAPSSSFTPGFLRRHSASN LHALAHPVPSPGSCSPKFPGAPNGGGSSCGPAGGGGLASYGQLKEPSGGSGTALVTKESK FRDRSFSENGERSQHLLHLQQQQKGGSGSQINSTRYKTELCRPFEESGTCKYGEKCQFAH GFHELRSLTRHPKYKTELCRTFHTIGFCPYGPRCHFIHNADERRPAPSGGGGASGDLRAF GARDALHLGFAREPRPKLHHSLSFSGFPSGHHQPPGGLESPLLLDSPTSRTPPPPSSSAS SCSSSASSCSSASAASTPSGAPTCCATAAAAALLYGPGGAEDLLSPGAPCASCSSSGANN AFAFGPELSSLITPLAIQTHNFAAAAAAAYYRNQQQGLTGPAPPPAQPPAAPAPPSPPFG FQLPRRLSESPVFDAPPSPPDSLSDRDSYLSGSLSSGSLSGSESPSLDPGRRLPIFSRLS ISDD >ENSMUSP00000078589.6 pep:known chromosome:GRCm38:4:119277981:119294604:-1 gene:ENSMUSG00000028639.14 transcript:ENSMUST00000079644.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ybx1 description:Y box protein 1 [Source:MGI Symbol;Acc:MGI:99146] MSSEAETQQPPAAPAAALSAADTKPGSTGSGAGSGGPGGLTSAAPAGGDKKVIATKVLGT VKWFNVRNGYGFINRNDTKEDVFVHQTAIKKNNPRKYLRSVGDGETVEFDVVEGEKGAEA ANVTGPGGVPVQGSKYAADRNHYRRYPRRRGPPRNYQQNYQNSESGEKNEGSESAPEGQA QQRRPYRRRRFPPYYMRRPYARRPQYSNPPVQGEVMEGADNQGAGEQGRPVRQNMYRGYR PRFRRGPPRQRQPREDGNEEDKENQGDETQGQQPPQRRYRRNFNYRRRRPENPKPQDGKE TKAADPPAENSSAPEAEQGGAE >ENSMUSP00000117225.1 pep:known chromosome:GRCm38:4:119277990:119282792:-1 gene:ENSMUSG00000028639.14 transcript:ENSMUST00000127737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ybx1 description:Y box protein 1 [Source:MGI Symbol;Acc:MGI:99146] EGEKGAEAANVTGPGGVPVQGSKYAADRNHYRRYPRRRGPPRNYQQNYQNSESGEKNEGS ESAPEGQAQQRRPYRRRRFPPYYMRRPYARRPQYSNPPVQGEVMEGADNQGAGEQGRPVR QNMYRGYRPRFRSIHFRGPPRQRQPREDGNEEDKENQGDETQGQQPPQRRYRRNFNYRRR RPENPKPQDGKETKAADPPAENSSAPEAEQGGAE >ENSMUSP00000123714.1 pep:known chromosome:GRCm38:6:76881637:77979699:-1 gene:ENSMUSG00000063063.12 transcript:ENSMUST00000161846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnna2 description:catenin (cadherin associated protein), alpha 2 [Source:MGI Symbol;Acc:MGI:88275] MTDIHSSYTYTGSMTSATSPIILKWDPKSLEIRTLTVERLLEPLVTQVTTLVNTSNKGPS GKKKGRSKKAHVLAASVEQATQNFLEKGEQIAKESQDLKEELVAAVEDVRKQGETMRIAS SEFADDPCSSVKRGTMVRAARALLSAVTRLLILADMADVMRLLSHLKIVEEALEAVKNAT NEQDLANRFKEFGKEMVKLNYVAARRQQELKDPHCRDEMAAARGALKKNATMLYTASQAF LRHPDVAATRANRDYVFKQVQEAIAGISSAAQATSPTDEAKGHTGIGELAAALNEFDNKI ILDPMTFSEARFRPSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLS EYMNNTGRKEKGDPLNIAIDKMTKKTRDLRRQLRKAVMDHISDSFLETNVPLLVLIEAAK SGNEKEVKEYAQVFREHANKLVEVANLACSISNNEEGVKLVRMAATQIDSLCPQVINAAL TLAARPQSKVAQDNMDVFKDQWEKQVRVLTEAVDDITSVDDFLSVSENHILEDVNKCVIA LQEGDVDTLDRTAGAIRGRAARVIHIINAEMENYEAGVYTEKVLEATKLLSETVMPRFAE QVEVAIEALSANVPQPFEENEFIDASRLVYDGVRDIRKAVLMIRTPEELEDDSDFEQEDY DVRSRTSVQTEDDQLIAGQSARAIMAQLPQEEKAKIAEQVEIFHQEKSKLDAEVAKWDDS GNDIIVLAKQMCMIMMEMTDFTRGKGPLKNTSDVINAAKKIAEAGSRMDKLARAVADQCP DSACKQDLLAYLQRIALYCHQLNICSKVKAEVQNLGGELIVSGLDSATSLIQAAKNLMNA VVLTVKASYVASTKYQKVYGTAAVNSPVVSWKMKAPEKKPLVKREKPEEFQTRVRRGSQK KHISPVQALSEFKAMDSF >ENSMUSP00000124764.1 pep:known chromosome:GRCm38:6:76881637:77979699:-1 gene:ENSMUSG00000063063.12 transcript:ENSMUST00000160894.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnna2 description:catenin (cadherin associated protein), alpha 2 [Source:MGI Symbol;Acc:MGI:88275] MTDIHSSYTYTGSMTSATSPIILKWDPKSLEIRTLTVERLLEPLVTQVTTLVNTSNKGPS GKKKGRSKKAHVLAASVEQATQNFLEKGEQIAKESQDLKEELVAAVEDVRKQGETMRIAS SEFADDPCSSVKRGTMVRAARALLSAVTRLLILADMADVMRLLSHLKIVEEALEAVKNAT NEQDLANRFKEFGKEMVKLNYVAARRQQELKDPHCRDEMAAARGALKKNATMLYTASQAF LRHPDVAATRANRDYVFKQVQEAIAGISSAAQATSPTDEAKGHTGIGELAAALNEFDNKI ILDPMTFSEARFRPSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLS EYMNNTGRKEKGDPLNIAIDKMTKKTRDLRRQLRKAVMDHISDSFLETNVPLLVLIEAAK SGNEKEVKEYAQVFREHANKLVEVANLACSISNNEEGVKLVRMAATQIDSLCPQVINAAL TLAARPQSKVAQDNMDVFKDQWEKQVRVLTEAVDDITSVDDFLSVSENHILEDVNKCVIA LQEGDVDTLDRTAGAIRGRAARVIHIINAEMENYEAGVYTEKVLEATKLLSETVMPRFAE QVEVAIEALSANVPQPFEENEFIDASRLVYDGVRDIRKAVLMIRTPEELEDDSDFEQEDY DVRSRTSVQTEDDQLIAGQSARAIMAQLPQEEKAKIAEQVEIFHQEKSKLDAEVAKWDDS GNDIIVLAKQMCMIMMEMTDFTRGKGPLKNTSDVINAAKKIAEAGSRMDKLARAVADQCP DSACKQDLLAYLQRIALYCHQLNICSKVKAEVQNLGGELIVSGTGVQSTFTTFYEVDCDV IDGGRASQLSTHLPTCAEGAPIGSGSSDSSMLDSATSLIQAAKNLMNAVVLTVKASYVAS TKYQKVYGTAAVNSPVVSWKMKAPEKKPLVKREKPEEFQTRVRRGSQKKHISPVQALSEF KAMDSF >ENSMUSP00000124376.1 pep:known chromosome:GRCm38:6:76881640:77979550:-1 gene:ENSMUSG00000063063.12 transcript:ENSMUST00000159626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnna2 description:catenin (cadherin associated protein), alpha 2 [Source:MGI Symbol;Acc:MGI:88275] MTSATSPIILKWDPKSLEIRTLTVERLLEPLVTQVTTLVNTSNKGPSGKKKGRSKKAHVL AASVEQATQNFLEKGEQIAKESQDLKEELVAAVEDVRKQGETMRIASSEFADDPCSSVKR GTMVRAARALLSAVTRLLILADMADVMRLLSHLKIVEEALEAVKNATNEQDLANRFKEFG KEMVKLNYVAARRQQELKDPHCRDEMAAARGALKKNATMLYTASQAFLRHPDVAATRANR DYVFKQVQEAIAGISSAAQATSPTDEAKGHTGIGELAAALNEFDNKIILDPMTFSEARFR PSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLSEYMNNTGRKEKGD PLNIAIDKMTKKTRDLRRQLRKAVMDHISDSFLETNVPLLVLIEAAKSGNEKEVKEYAQV FREHANKLVEVANLACSISNNEEGVKLVRMAATQIDSLCPQVINAALTLAARPQSKVAQD NMDVFKDQWEKQVRVLTEAVDDITSVDDFLSVSENHILEDVNKCVIALQEGDVDTLDRTA GAIRGRAARVIHIINAEMENYEAGVYTEKVLEATKLLSETVMPRFAEQVEVAIEALSANV PQPFEENEFIDASRLVYDGVRDIRKAVLMIRTPEELEDDSDFEQEDYDVRSRTSVQTEDD QLIAGQSARAIMAQLPQEEKAKIAEQVEIFHQEKSKLDAEVAKWDDSGNDIIVLAKQMCM IMMEMTDFTRGKGPLKNTSDVINAAKKIAEAGSRMDKLARAVADQCPDSACKQDLLAYLQ RIALYCHQLNICSKVKAEVQNLGGELIVSGTGVQSTFTTFYEVDCDVIDGGRASQLSTHL PTCAEGAPIGSGSSDSSMLDSATSLIQAAKNLMNAVVLTVKASYVASTKYQKVYGTAAVN SPVVSWKMKAPEKKPLVKREKPEEFQTRVRRGSQKKHISPVQALSEFKAMDSF >ENSMUSP00000144890.1 pep:known chromosome:GRCm38:6:76915737:77143943:-1 gene:ENSMUSG00000063063.12 transcript:ENSMUST00000204527.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctnna2 description:catenin (cadherin associated protein), alpha 2 [Source:MGI Symbol;Acc:MGI:88275] TGRKEKGDPLNIAIDKMTKKTRDLRRQLRKAVMDHISDSFLETNVPLLVLIEAAKSGNEK EVKEYAQVFREHANKLVEVANLACSISNNEEGVKLVRMAATQIDSLCPQVINAALTLAAR PQSKVAQDNMDVFKDQWEKQVRVLTEAVDDITSVDDFLSVSENHILEDVNKCVIALQEGD VDTLDRTAGAIRGRAARVIHIINAEMENYEAGVYTEKVLEATKLLSETGHHGATTTGGES KNS >ENSMUSP00000124689.1 pep:known chromosome:GRCm38:6:77598746:77979560:-1 gene:ENSMUSG00000063063.12 transcript:ENSMUST00000162273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnna2 description:catenin (cadherin associated protein), alpha 2 [Source:MGI Symbol;Acc:MGI:88275] MTSATSPIILKWDPKSLEIRTLTVERLLEPLVTQVTTLVNTSNKGPSGKKKGRSKKAHVL AASVEQATQNFLEKGEQIAKESQDLKEELVAAVEDVRKQGETMRIASSEFADDPCSSVKR GTMVRAARALLSAVTRLLILADMADVMRLLSHLKIVEEALEAVKNATNEQDLANRFKEFG KEMVKLNYVAARRQQELKDPHCRDEMAAARGALKKNATMLYTASQAFLRHPDVAATRANR DYVFKQVQEAIAGISSAAQATSPTDEAKGHTGIGELAAALNEFDNKIILDPMTFSEARFR PSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLSEYMNNVLKR >ENSMUSP00000074809.5 pep:known chromosome:GRCm38:6:76882550:77979560:-1 gene:ENSMUSG00000063063.12 transcript:ENSMUST00000075340.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnna2 description:catenin (cadherin associated protein), alpha 2 [Source:MGI Symbol;Acc:MGI:88275] MTSATSPIILKWDPKSLEIRTLTVERLLEPLVTQVTTLVNTSNKGPSGKKKGRSKKAHVL AASVEQATQNFLEKGEQIAKESQDLKEELVAAVEDVRKQGETMRIASSEFADDPCSSVKR GTMVRAARALLSAVTRLLILADMADVMRLLSHLKIVEEALEAVKNATNEQDLANRFKEFG KEMVKLNYVAARRQQELKDPHCRDEMAAARGALKKNATMLYTASQAFLRHPDVAATRANR DYVFKQVQEAIAGISSAAQATSPTDEAKGHTGIGELAAALNEFDNKIILDPMTFSEARFR PSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLSEYMNNTGRKEKGD PLNIAIDKMTKKTRDLRRQLRKAVMDHISDSFLETNVPLLVLIEAAKSGNEKEVKEYAQV FREHANKLVEVANLACSISNNEEGVKLVRMAATQIDSLCPQVINAALTLAARPQSKVAQD NMDVFKDQWEKQVRVLTEAVDDITSVDDFLSVSENHILEDVNKCVIALQEGDVDTLDRTA GAIRGRAARVIHIINAEMENYEAGVYTEKVLEATKLLSETVMPRFAEQVEVAIEALSANV PQPFEENEFIDASRLVYDGVRDIRKAVLMIRTPEELEDDSDFEQEDYDVRSRTSVQTEDD QLIAGQSARAIMAQLPQEEKAKIAEQVEIFHQEKSKLDAEVAKWDDSGNDIIVLAKQMCM IMMEMTDFTRGKGPLKNTSDVINAAKKIAEAGSRMDKLARAVADQCPDSACKQDLLAYLQ RIALYCHQLNICSKVKAEVQNLGGELIVSGLDSATSLIQAAKNLMNAVVLTVKASYVAST KYQKVYGTAAVNSPVVSWKMKAPEKKPLVKREKPEEFQTRVRRGSQKKHISPVQALSEFK AMDSF >ENSMUSP00000017561.8 pep:known chromosome:GRCm38:11:97923238:97986444:-1 gene:ENSMUSG00000017417.14 transcript:ENSMUST00000017561.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxdc1 description:plexin domain containing 1 [Source:MGI Symbol;Acc:MGI:1919574] MRAQLWLLQLLLLRGAARALSPATPAGHNEGQDSAWTAKRTRQGWSRRPRESPAQVLKPG KTQLSQDLGGGSLAIDTLPDNRTRVVEDNHNYYVSRVYGPGEKQSQDLWVDLAVANRSHV KIHRILSSSHRQASRVVLSFDFPFYGHPLRQITIATGGFIFMGDMLHRMLTATQYVAPLM ANFNPGYSDNSTVAYFDNGTVFVVQWDHVYLQDREDRGSFTFQAALHRDGRIVFGYKEIP MAVLDISSAQHPVKAGLSDAFMILNSSPEVPASQRRTIFEYHRVELDSSKITTTSAVEFT PLPTCLQHQSCDTCVSSNLTFNCSWCHVLQRCSSGFDRYRQEWLTYGCAQEAEGKTCEDF QDDSHYSASPDSSFSPFNGDSTTSSSLFIDSLTTEDDTKLNPYAEGDGLPDHSSPKSKGP PVHLGTIVGIVLAVLLVAAIILAGIYISGHPNSNAALFFIERRPHHWPAMKFHNHPNHST YTEVEPSGHEKEGFVEAEQC >ENSMUSP00000103191.2 pep:known chromosome:GRCm38:11:97923656:97986399:-1 gene:ENSMUSG00000017417.14 transcript:ENSMUST00000107565.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxdc1 description:plexin domain containing 1 [Source:MGI Symbol;Acc:MGI:1919574] MRAQLWLLQLLLLRGAARALSPATPAGHNEGQDSAWTAKRTRQGWSRRPRESPAQVLKPG KTQLSQDLGGGSLAIDTLPDNRTRVVALSLSPQEDNHNYYVSRVYGPGEKQSQDLWVDLA VANRSHVKIHRILSSSHRQASRVVLSFDFPFYGHPLRQITIATGGFIFMGDMLHRMLTAT QYVAPLMANFNPGYSDNSTVAYFDNGTVFVVQWDHVYLQDREDRGSFTFQAALHRDGRIV FGYKEIPMAVLDISSAQHPVKAGLSDAFMILNSSPEVPASQRRTIFEYHRVELDSSKITT TSAVEFTPLPTCLQHQSCDTCVSSNLTFNCSWCHVLQRCSSGFDRYRQEWLTYGCAQEAE GKTCEDFQDDSHYSASPDSSFSPFNGDSTTSSSLFIDSLTTEDDTKLNPYAEGDGLPDHS SPKSKGPPVHLGTIVGIVLAVLLVAAIILAGIYISGHPNSNAALFFIERRPHHWPAMKFH NHPNHSTYTEVEPSGHEKEGFVEAEQC >ENSMUSP00000103190.1 pep:known chromosome:GRCm38:11:97978256:97986430:-1 gene:ENSMUSG00000017417.14 transcript:ENSMUST00000107564.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxdc1 description:plexin domain containing 1 [Source:MGI Symbol;Acc:MGI:1919574] MRAQLWLLQLLLLRGAARALSPATPAGHNEGQDSAWTAKRTRQGWSRRPRESPAQVLKPG KTQLSQDLGGGSLAIDTLPDNRTRVVVSAEPTWRERRAGACLRVRYGEWDG >ENSMUSP00000029773.8 pep:known chromosome:GRCm38:3:121426497:121458207:1 gene:ENSMUSG00000053931.11 transcript:ENSMUST00000029773.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnn3 description:calponin 3, acidic [Source:MGI Symbol;Acc:MGI:1919244] MTHFNKGPSYGLSAEVKNKIASKYDQQAEEDLRNWIEEVTGLGIGTNFQLGLKDGIILCE LINKLQPGSVKKVNESSLNWPQLENIGNFIKAIQAYGMKPHDIFEANDLFENGNMTQVQT TLVALAGLAKTKGFHTTIDIGVKYAEKQTRRFDEGKLKAGQSVIGLQMGTNKCASQAGMT AYGTRRHLYDPKMQTDKPFDQTTISLQMGTNKGASQAGMLAPGTRRDIYDQKLTLQPVDN STISLQMGTNKVASQKGMSVYGLGRQVYDPKYCAAPTEPVIHNGSQGTGTNGSEISDSDY QAEYPDEYHGEYPDDYPREYQYGDDQGIDY >ENSMUSP00000142526.1 pep:known chromosome:GRCm38:3:121426770:121457811:1 gene:ENSMUSG00000053931.11 transcript:ENSMUST00000197135.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnn3 description:calponin 3, acidic [Source:MGI Symbol;Acc:MGI:1919244] MTHFNKGPSYGLSAEVKNKIASKYDQQAEEDLRNWIEEVTGLGIGTNFQLGLKDGIILCE LINKLQPGSVKKVNESSLNWPQAKTKGFHTTIDIGVKYAEKQTRRFDEGKLKAGQSVIGL QMGTNKCASQAGMTAYGTRRHLYDPKMQTDKPFDQTTISLQMGTNKGASQAGMLAPGTRR DIYDQKLTLQPVDNSTISLQMGTNKVASQKGMSVYGLGRQVYDPKYCAAPTEPVIHNGSQ GTGTNGSEISDSDYQAEYPDEYHGEYPDDYPREYQYGDDQGIDY >ENSMUSP00000143186.1 pep:known chromosome:GRCm38:3:121449766:121457143:1 gene:ENSMUSG00000053931.11 transcript:ENSMUST00000198393.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnn3 description:calponin 3, acidic [Source:MGI Symbol;Acc:MGI:1919244] MKPHDIFEANDLFENGNMTQVQTTLVALAGLAKTKGFHTTIDIGVKYAEKQTRRFDEGKL KAGQSVIGLQMGTNKCASQAGMTAYGTRRHLYDPKMQTDKPFDQTTISLQMGTNKGASQA GMLAPGTRRDIYDQKLTLQP >ENSMUSP00000143122.1 pep:known chromosome:GRCm38:3:121457084:121457947:1 gene:ENSMUSG00000053931.11 transcript:ENSMUST00000198620.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnn3 description:calponin 3, acidic [Source:MGI Symbol;Acc:MGI:1919244] XGMLAPGTRRDIYDQKLTLQPVDNSTISLQMGTNKVASQKGMSVCLLVL >ENSMUSP00000143159.1 pep:known chromosome:GRCm38:3:121457113:121457949:1 gene:ENSMUSG00000053931.11 transcript:ENSMUST00000199499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnn3 description:calponin 3, acidic [Source:MGI Symbol;Acc:MGI:1919244] XIYDQKLTLQPVDNSTISLQMGTNKVASQKGMSVFSTYLACMWKTKKLIC >ENSMUSP00000075037.6 pep:known chromosome:GRCm38:7:131371141:131387839:1 gene:ENSMUSG00000063179.13 transcript:ENSMUST00000075610.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pstk description:phosphoseryl-tRNA kinase [Source:MGI Symbol;Acc:MGI:2685945] MKTAAARGATRRDGQPKLGLCVLCGLPAAGKSTFARALALRLRRERGWAVGVLSYDDVLP LALPDCDGTQPRPSQWKMFRQELLKHLECFLVAVISGAQMSAPPNRTEAVWEDFITCLKS QDLMIFPTALEAQPCHLLAKPAVSRPLFLVLDDNFYYQSMRYEVYQLARKYSLGFCQLFL DCPLETCLKRNGERSQPLPDETIQLMGRKIEKPNPEKNAWEHNSLIIQSSACSLEASLEV TGLLLTALENPIKCVEDNTEQKETDRIICSTNILHKADETLRRTVSQTMREAKDEQIPLN NLKHLAEELNKLKADVLEDLRQGNRKYLCFQQTTDLSDIISSFCKERDTIVQKYFSKQH >ENSMUSP00000118636.1 pep:known chromosome:GRCm38:7:131371146:131387836:1 gene:ENSMUSG00000063179.13 transcript:ENSMUST00000145114.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pstk description:phosphoseryl-tRNA kinase [Source:MGI Symbol;Acc:MGI:2685945] MKTAAARGATRRDGQPKLGLCVLCGLPAAGKSTFARALALRLRRERGWAVGVLSYDDVLP LALPDCDGTQPRPSQWKMFRQELLKHLECFLVAVISGAQMSAPPNRTEAVWEDFITCLKS QDLMIFPTALEAQPCHLLAKPAVSRPLFLVLDDNFYYQSMRYEVYQLARKYSLGFCQLFL DCPLETCLKRNGERSQPLPDETIQLMGRKIEKPNPEKNAWEHNSLIIQSSACSLEASLEV TGLLLTALENPIKCVEDNTEQKNYLFY >ENSMUSP00000146739.1 pep:known chromosome:GRCm38:7:131371157:131383951:1 gene:ENSMUSG00000063179.13 transcript:ENSMUST00000141914.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pstk description:phosphoseryl-tRNA kinase [Source:MGI Symbol;Acc:MGI:2685945] MKTAAARGATRRDGQPKLGLCVLCGLPAAGKSTFARALALRLRRERGWAVGVLSYDDVLP LALPDCDGTQPRIR >ENSMUSP00000146722.1 pep:known chromosome:GRCm38:7:131373723:131387836:1 gene:ENSMUSG00000063179.13 transcript:ENSMUST00000128092.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pstk description:phosphoseryl-tRNA kinase [Source:MGI Symbol;Acc:MGI:2685945] XHLLAKPAVSRPLFLVLDDNFYYQSMRYEVYQLARKYSLGFCQLFLDCPLETCLKRNGER SQPLPDETIQLMGRKIEKPNPEKNAWEHNSLIIQSSACSLEASLEVTGLLLTALENPIKC VEDNTEQKMNKYLLIT >ENSMUSP00000146708.1 pep:known chromosome:GRCm38:7:131374122:131392768:1 gene:ENSMUSG00000063179.13 transcript:ENSMUST00000207489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pstk description:phosphoseryl-tRNA kinase [Source:MGI Symbol;Acc:MGI:2685945] XNGERSQPLPDETIQLMGRKIEKPNPEKNAWEHNSLIIQSSACSLEASLEVTGLLLTALE NPIKCVEDNTEQKETDRIICSTNILHKADETLRRTVSQTMREAKV >ENSMUSP00000146724.1 pep:known chromosome:GRCm38:7:131383988:131387832:1 gene:ENSMUSG00000063179.13 transcript:ENSMUST00000133284.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pstk description:phosphoseryl-tRNA kinase [Source:MGI Symbol;Acc:MGI:2685945] XTEQKETDRIICSTNILHKADETLRRTVSQTMREAKDLFLDTRESIGSRTF >ENSMUSP00000099938.4 pep:known chromosome:GRCm38:11:21556787:21572193:-1 gene:ENSMUSG00000020321.15 transcript:ENSMUST00000102874.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdh1 description:malate dehydrogenase 1, NAD (soluble) [Source:MGI Symbol;Acc:MGI:97051] MSEPIRVLVTGAAGQIAYSLLYSIGNGSVFGKDQPIILVLLDITPMMGVLDGVLMELQDC ALPLLQDVIATDKEEIAFKDLDVAVLVGSMPRREGMERKDLLKANVKIFKSQGTALEKYA KKSVKVIVVGNPANTNCLTASKSAPSIPKENFSCLTRLDHNRAKSQIALKLGVTADDVKN VIIWGNHSSTQYPDVNHAKVKLQGKEVGVYEALKDDSWLKGEFITTVQQRGAAVIKARKL SSAMSAAKAIADHIRDIWFGTPEGEFVSMGVISDGNSYGVPDDLLYSFPVVIKNKTWKFV EGLPINDFSREKMDLTAKELTEEKETAFEFLSSA >ENSMUSP00000119816.1 pep:known chromosome:GRCm38:11:21562896:21572367:-1 gene:ENSMUSG00000020321.15 transcript:ENSMUST00000125302.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdh1 description:malate dehydrogenase 1, NAD (soluble) [Source:MGI Symbol;Acc:MGI:97051] MSEPIRVLVTGAAGQIAYSLLYSIGNGSVFGKDQPIILVLLDITPMMGVLDGVLMELQDC ALPLLQDVIATDKEEIAFKDLDVAVLVGSMPRREGMERKDLLKANVKIFKSQGTALEKYA KKSVKVIVVGNPANTNCLTASKSAPSIPKENFSCLT >ENSMUSP00000106295.1 pep:known chromosome:GRCm38:8:24584136:24595666:-1 gene:ENSMUSG00000031551.12 transcript:ENSMUST00000110667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ido1 description:indoleamine 2,3-dioxygenase 1 [Source:MGI Symbol;Acc:MGI:96416] MAYVWNRGDDDVRKVLPRNIAVPYCELSEKLGLPPILSYADCVLANWKKKDPNGPMTYEN MDILFSFPGGDCDKGFFLVSLLVEIAASPAIKAIPTVSSAVERQDLKALEKALHDIATSL EKAKEIFKRMRDFVDPDTFFHVLRIYLSGWKCSSKLPEGLLYEGVWDTPKMFSGGSAGQS SIFQSLDVLLGIKHEAGKESPAEFLQEMREYMPPAHRNFLFFLESAPPVREFVISRHNED LTKAYNECVNGLVSVRKFHLAIVDTYIMKPSKKKPTDGDKSEEPSNVESRGTGGTNPMTF LRSVKDTTEKALLSWP >ENSMUSP00000033956.6 pep:known chromosome:GRCm38:8:24584136:24597009:-1 gene:ENSMUSG00000031551.12 transcript:ENSMUST00000033956.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ido1 description:indoleamine 2,3-dioxygenase 1 [Source:MGI Symbol;Acc:MGI:96416] MALSKISPTEGSRRILEDHHIDEDVGFALPHPLVELPDAYSPWVLVARNLPVLIENGQLR EEVEKLPTLSTDGLRGHRLQRLAHLALGYITMAYVWNRGDDDVRKVLPRNIAVPYCELSE KLGLPPILSYADCVLANWKKKDPNGPMTYENMDILFSFPGGDCDKGFFLVSLLVEIAASP AIKAIPTVSSAVERQDLKALEKALHDIATSLEKAKEIFKRMRDFVDPDTFFHVLRIYLSG WKCSSKLPEGLLYEGVWDTPKMFSGGSAGQSSIFQSLDVLLGIKHEAGKESPAEFLQEMR EYMPPAHRNFLFFLESAPPVREFVISRHNEDLTKAYNECVNGLVSVRKFHLAIVDTYIMK PSKKKPTDGDKSEEPSNVESRGTGGTNPMTFLRSVKDTTEKALLSWP >ENSMUSP00000102524.1 pep:known chromosome:GRCm38:4:102087543:102605833:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000106911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] MKKSRSVMAVTADDNLKDYFECSLSKSYSSSSYTLGIDLWRGRRCCSGNLQLPPLSQRQS ERARTPEGDGISRPTTLPLTTLPSIAITTVSQECFDVENGPSPGRSPLDPQAGSSSGLVL HAAFPGHSQRRESFLYRSDSDYDLSPKAMSRNSSLPSEQHGDDLIVTPFAQVLASLRSVR NNFTLLTNLHGAPNKRSPAASQAPVSRVSLQEESYQKLAMETLEELDWCLDQLETIQTYR SVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQNDVEIPSPTQKDREKKK KQQLMTQISGVKKLMHSSSLNNTSISRFGVNTENEDHLAKELEDLNKWGLNIFNVAGYSH NRPLTCIMYAIFQERDLLKTFKISSDTFVTYMMTLEDHYHSDVAYHNSLHAADVAQSTHV LLSTPALDAVFTDLEILAAIFAAAIHDVDHPGVSNQFLINTNSELALMYNDESVLENHHL AVGFKLLQEEHCDIFQNLTKKQRQTLRKMVIDMVLATDMSKHMSLLADLKTMVETKKVTS SGVLLLDNYTDRIQVLRNMVHCADLSNPTKSLELYRQWTDRIMEEFFQQGDKERERGMEI SPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVQPDAQDILDTLEDNRNWYQSMIPQSP SPPLDERSRDCQGLMEKFQFELTLEEEDSEGPEKEGEGHSYFSSTKTLCVIDPENRDSLE ETDIDIATEDKSPIDT >ENSMUSP00000133413.1 pep:known chromosome:GRCm38:4:102087741:102557597:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000173119.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] MKKSRSVMAVTADDNLKDYFECSLSKSYSSSSYTLGIDLWRGRRCCSGNLQLPPLSQRQS ERARTPEGDGISRPTTLPLTTLPSIAITTVSQECFDVENGPSPGRSPLDPQAGSSSGLVL HAAFPGHSQRRESFLYRSDSDYDLSPKAMSRNSSLPSEQFLPACEV >ENSMUSP00000102520.2 pep:known chromosome:GRCm38:4:102254742:102555924:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000106907.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] MTAKNSPKEFTASESEVCIKTFKEQMRLELELPKLPGNRPTSPKISPRSSPRNSPCFFRK LLVNKSIRQRRRFTVAHTCFDVENGPSPGRSPLDPQAGSSSGLVLHAAFPGHSQRRESFL YRSDSDYDLSPKAMSRNSSLPSEQHGDDLIVTPFAQVLASLRSVRNNFTLLTNLHGAPNK RSPAASQAPVSRVSLQVCDHRRLTKCRESKRC >ENSMUSP00000102521.2 pep:known chromosome:GRCm38:4:102255003:102607259:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000106908.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] MTAKNSPKEFTASESEVCIKTFKEQMRLELELPKLPGNRPTSPKISPRSSPRNSPCFFRK LLVNKSIRQRRRFTVAHTCFDVENGPSPGRSPLDPQAGSSSGLVLHAAFPGHSQRRESFL YRSDSDYDLSPKAMSRNSSLPSEQHGDDLIVTPFAQVLASLRSVRNNFTLLTNLHGAPNK RSPAASQAPVSRVSLQEESYQKLAMETLEELDWCLDQLETIQTYRSVSEMASNKFKRMLN RELTHLSEMSRSGNQVSEYISNTFLDKQNDVEIPSPTQKDREKKKKQQLMTQISGVKKLM HSSSLNNTSISRFGVNTENEDHLAKELEDLNKWGLNIFNVAGYSHNRPLTCIMYAIFQER DLLKTFKISSDTFVTYMMTLEDHYHSDVAYHNSLHAADVAQSTHVLLSTPALDAVFTDLE ILAAIFAAAIHDVDHPGVSNQFLINTNSELALMYNDESVLENHHLAVGFKLLQEEHCDIF QNLTKKQRQTLRKMVIDMVLATDMSKHMSLLADLKTMVETKKVTSSGVLLLDNYTDRIQV LRNMVHCADLSNPTKSLELYRQWTDRIMEEFFQQGDKERERGMEISPMCDKHTASVEKSQ VGFIDYIVHPLWETWADLVQPDAQDILDTLEDNRNWYQSMIPQSPSPPLDERSRDCQGLM EKFQFELTLEEEDSEGPEKEGEGHSYFSSTKTLCVIDPENRDSLEETDIDIATEDKSPID T >ENSMUSP00000134690.1 pep:known chromosome:GRCm38:4:102255230:102506038:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000174186.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] KNSPKEFTASESEVCIKTFKEQMRLELELPKLPGNRPTSPKISPRSSPRNSPCFFRKLLV NKSIRQRRRFTVAHTWKLLAMVLCNLVCRLLSHCFSHWNDLYSAMESGMPSERKMNGQRA P >ENSMUSP00000102517.2 pep:known chromosome:GRCm38:4:102421518:102605536:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000106904.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] MLHVNDLPPPRRHSWICFDVENGPSPGRSPLDPQAGSSSGLVLHAAFPGHSQRRESFLYR SDSDYDLSPKAMSRNSSLPSEQHGDDLIVTPFAQVLASLRSVRNNFTLLTNLHGAPNKRS PAASQAPVSRVSLQEESYQKLAMETLEELDWCLDQLETIQTYRSVSEMASNKFKRMLNRE LTHLSEMSRSGNQVSEYISNTFLDKQNDVEIPSPTQKDREKKKKQQLMTQISGVKKLMHS SSLNNTSISRFGVNTENEDHLAKELEDLNKWGLNIFNVAGYSHNRPLTCIMYAIFQERDL LKTFKISSDTFVTYMMTLEDHYHSDVAYHNSLHAADVAQSTHVLLSTPALDAVFTDLEIL AAIFAAAIHDVDHPGVSNQFLINTNSELALMYNDESVLENHHLAVGFKLLQEEHCDIFQN LTKKQRQTLRKMVIDMVLATDMSKHMSLLADLKTMVETKKVTSSGVLLLDNYTDRIQVLR NMVHCADLSNPTKSLELYRQWTDRIMEEFFQQGDKERERGMEISPMCDKHTASVEKSQVG FIDYIVHPLWETWADLVQPDAQDILDTLEDNRNWYQSMIPQSPSPPLDERSRDCQGLMEK FQFELTLEEEDSEGPEKEGEGHSYFSSTKTLCVIDPENRDSLEETDIDIATEDKSPIDT >ENSMUSP00000134340.1 pep:known chromosome:GRCm38:4:102430047:102542510:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000172616.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] MNKAAAIVLSGDMKKGTCSQKRGTQLKKNQRGSIRVASFDVENGPSPGRSPLDPQAGSSS GLVLHAAFPGHSQRRESFLYRSDSDYDLSPKAMSRNSSLPSEQHGDDLIVTPFAQVLASL RSVRNNFTLLTNLHGAPNKRSPAASQAPVSRVSLQVERNDV >ENSMUSP00000095562.2 pep:known chromosome:GRCm38:4:102570095:102605847:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000097950.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] MKEQGGTVSGAASSRGGGDSAMASLQPLQPNYLSVCLFPEESYQKLAMETLEELDWCLDQ LETIQTYRSVSEMASNKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQNDVEIPSPT QKDREKKKKQQLMTQISGVKKLMHSSSLNNTSISRFGVNTENEDHLAKELEDLNKWGLNI FNVAGYSHNRPLTCIMYAIFQERDLLKTFKISSDTFVTYMMTLEDHYHSDVAYHNSLHAA DVAQSTHVLLSTPALDAVFTDLEILAAIFAAAIHDVDHPGVSNQFLINTNSELALMYNDE SVLENHHLAVGFKLLQEEHCDIFQNLTKKQRQTLRKMVIDMVLATDMSKHMSLLADLKTM VETKKVTSSGVLLLDNYTDRIQVLRNMVHCADLSNPTKSLELYRQWTDRIMEEFFQQGDK ERERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVQPDAQDILDTLEDNRNWY QSMIPQSPSPPLDERSRDCQGLMEKFQFELTLEEEDSEGPEKEGEGHSYFSSTKTLCVID PENRDSLEETDIDIATEDKSPIDT >ENSMUSP00000030251.6 pep:known chromosome:GRCm38:4:102587558:102605703:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000030251.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] MLNRELTHLSEMSRSGNQVSEYISNTFLDKQNDVEIPSPTQKDREKKKKQQLMTQISGVK KLMHSSSLNNTSISRFGVNTENEDHLAKELEDLNKWGLNIFNVAGYSHNRPLTCIMYAIF QERDLLKTFKISSDTFVTYMMTLEDHYHSDVAYHNSLHAADVAQSTHVLLSTPALDAVFT DLEILAAIFAAAIHDVDHPGVSNQFLINTNSELALMYNDESVLENHHLAVGFKLLQEEHC DIFQNLTKKQRQTLRKMVIDMVLATDMSKHMSLLADLKTMVETKKVTSSGVLLLDNYTDR IQVLRNMVHCADLSNPTKSLELYRQWTDRIMEEFFQQGDKERERGMEISPMCDKHTASVE KSQVGFIDYIVHPLWETWADLVQPDAQDILDTLEDNRNWYQSMIPQSPSPPLDERSRDCQ GLMEKFQFELTLEEEDSEGPEKEGEGHSYFSSTKTLCVIDPENRDSLEETDIDIATEDKS PIDT >ENSMUSP00000095561.4 pep:known chromosome:GRCm38:4:102589723:102605861:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000097949.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] MPEANYLLSVSWGYIKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQNDVEIPSPTQ KDREKKKKQQLMTQISGVKKLMHSSSLNNTSISRFGVNTENEDHLAKELEDLNKWGLNIF NVAGYSHNRPLTCIMYAIFQERDLLKTFKISSDTFVTYMMTLEDHYHSDVAYHNSLHAAD VAQSTHVLLSTPALDAVFTDLEILAAIFAAAIHDVDHPGVSNQFLINTNSELALMYNDES VLENHHLAVGFKLLQEEHCDIFQNLTKKQRQTLRKMVIDMVLATDMSKHMSLLADLKTMV ETKKVTSSGVLLLDNYTDRIQVLRNMVHCADLSNPTKSLELYRQWTDRIMEEFFQQGDKE RERGMEISPMCDKHTASVEKSQVGFIDYIVHPLWETWADLVQPDAQDILDTLEDNRNWYQ SMIPQSPSPPLDERSRDCQGLMEKFQFELTLEEEDSEGPEKEGEGHSYFSSTKTLCVIDP ENRDSLEETDIDIATEDKSPIDT >ENSMUSP00000102514.1 pep:known chromosome:GRCm38:4:102589843:102603441:1 gene:ENSMUSG00000028525.16 transcript:ENSMUST00000106901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4b description:phosphodiesterase 4B, cAMP specific [Source:MGI Symbol;Acc:MGI:99557] MPEANYLLSVSWGYIKFKRMLNRELTHLSEMSRSGNQVSEYISNTFLDKQNDVEIPSPTQ KDREKKKKQQLMTQISGVKKLMHSSSLNNTSISRFGVNTENEDHLAKELEDLNKWGLNIF NVAGYSHNRPLTCIMYAIFQERDLLKTFKISSDTFVTYMMTLEDHYHSDVAYHNSLHAAD VAQSTHVLLSTPALDAVFTDLEILAAIFAAAIHDVDHPGVSNQFLINTNSELALMYNDES VLENHHLAVGFKLLQEEHCDIFQNLTKKQRQTLRKMVIDMVLATDMSKHMSLLADLKTMV ETKKVTSSGVLLLDNYTDRIQVLRNMVHCADLSNPTKSLELYRQWTDRIMEEFFQQGDKE RERGMEISPMCDKHTASVEKSQVSTLRLFSMFLMSSTNCSFL >ENSMUSP00000097509.1 pep:known chromosome:GRCm38:2:85429883:85430827:-1 gene:ENSMUSG00000075219.1 transcript:ENSMUST00000099925.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr994 description:olfactory receptor 994 [Source:MGI Symbol;Acc:MGI:3030828] MTQGNGTEVTDFYLLGFGVERDIQCVLFIVFLVIYVTSMVGNTGMILLINTDSRLQTPMY FFLQHLAFVDICYTSAITPKMLQNFMVEDKSITFKGCVIQLFIYAVFATSDCYLLAVMAV DRYVAICKPLRYPIIMSRQVCVQLVAVSYLMGSINSSVHTGFTFSLSFCASKINHFFCDI PPIVTLSCYNNDINFMLILIFVGFNLTFTVSVVILSYIYIMAAILKMSSTAGRKKTFSTC ASHLTAVTIFYGTLAYMYLQPPSDNSEENMKVASVFYGIVIPMLNPLIYSLRNKEVKDAI KATGKKFLKLDLNY >ENSMUSP00000046659.9 pep:known chromosome:GRCm38:3:96525172:96529210:1 gene:ENSMUSG00000038403.10 transcript:ENSMUST00000049208.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hfe2 description:hemochromatosis type 2 (juvenile) [Source:MGI Symbol;Acc:MGI:1916835] MGQSPSPRSPHGSPPTLSTLTLLLLLCGQAHSQCKILRCNAEYVSSTLSLRGGGSPDTPR GGGRGGLASGGLCRALRSYALCTRRTARTCRGDLAFHSAVHGIEDLMIQHNCSRQGPTAP PPARGPALPGAGPAPLTPDPCDYEARFSRLHGRAPGFLHCASFGDPHVRSFHNQFHTCRV QGAWPLLDNDFLFVQATSSPVSSGANATTIRKITIIFKNMQECIDQKVYQAEVDNLPAAF EDGSINGGDRPGGSSLSIQTANLGSHVEIRAAYIGTTIIIRQTAGQLSFSIRVAEDVARA FSAEQDLQLCVGGCPPSQRLSRSERNRRGAIAIDTARRLCKEGLPVEDAYFQSCVFDVSV SGDPNFTVAAQTALDDARIFLTDLENLHLFPSDAGPPLSPAICLVPLLSALFVLWLCFSK >ENSMUSP00000112195.1 pep:known chromosome:GRCm38:X:166440574:166453140:1 gene:ENSMUSG00000079317.10 transcript:ENSMUST00000116495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc2 description:trafficking protein particle complex 2 [Source:MGI Symbol;Acc:MGI:1913476] MSGSFYFVIVGHHDNPVFEMEFLPPGKAESKDDHRHLNQFIAHAALDLVDENMWLSNNMY LKTVDKFNEWFVSAFVTAGHMRFIMLHDVRQEDGIKNFFTDVYDLYIKFAMNPFYEPNSP IRSSAFDRKVQFLGKKHLLS >ENSMUSP00000107811.1 pep:known chromosome:GRCm38:X:166440776:166453138:1 gene:ENSMUSG00000079317.10 transcript:ENSMUST00000112192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc2 description:trafficking protein particle complex 2 [Source:MGI Symbol;Acc:MGI:1913476] MSGSFYFVIVGHHDNPVFEMEFLPPGKAESKDDHRHLNQFIAHAALDLVDENMWLSNNMY LKTVDKFNECLQ >ENSMUSP00000107813.1 pep:known chromosome:GRCm38:X:166445303:166453140:1 gene:ENSMUSG00000079317.10 transcript:ENSMUST00000112194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc2 description:trafficking protein particle complex 2 [Source:MGI Symbol;Acc:MGI:1913476] MSGSFYFVIVGHHDNPVFEMEFLPPGKAESKDDHRHLNQFIAHAALDLVDENMWLSNNMY LKTVDKFNEWFVSAFVTAGHMRFIMLHDVRQEDGIKNFFTDVYDLYIKFAMNPFYEPNSP IRSSAFDRKVQFLGKKHLLS >ENSMUSP00000030661.7 pep:known chromosome:GRCm38:4:133584362:133591735:1 gene:ENSMUSG00000028848.13 transcript:ENSMUST00000030661.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpn2 description:GPN-loop GTPase 2 [Source:MGI Symbol;Acc:MGI:2140368] MAGAAPTTAFGQAVIGPPGSGKTTYCLGMSEFLRALGRRVAVVNLDPANDGLPYECAVDV GELVGLGDVMDALRLGPNGGLLYCMEYLEANLDWLRAKLEPLRGHYFLFDCPGQVELCTH HTALRSIFSQMAQWDLRLTAVHLVDSHYCTDPAKFISVLCTSLATMLHVELPHINLLSKM DLIEHYGKLAFNLDYYTEVLDLSYLLEHLASDPFFRRYRQLNEKLVQLVEDYSLVSFIPL NIQDKDSIQRVLQAVDKANGYCFGVQEQRSLEAMMSAAMGADFHFSSTLGIQEKYLAPSE QTAEQEAMQL >ENSMUSP00000101519.1 pep:known chromosome:GRCm38:4:133584419:133591490:1 gene:ENSMUSG00000028848.13 transcript:ENSMUST00000105899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpn2 description:GPN-loop GTPase 2 [Source:MGI Symbol;Acc:MGI:2140368] MAGAAPTTAFGQAVIGPPGSGKTTYCLGMSEFLRALGRRVAVVNLDPANDGLPYECAVDV GELVGLGDVMDALRLGPNGGLLYCMEYLEANLDWLRAKLEPLRGHYFLFDCPGQLTAVHL VDSHYCTDPAKFISVLCTSLATMLHVELPHINLLSKMDLIEHYGKLAFNLDYYTEVLDLS YLLEHLASDPFFRRYRQLNEKLVQLVEDYSLVSFIPLNIQDKDSIQRVLQAVDKANGYCF GVQEQRSLEAMMSAAMGADFHFSSTLGIQEKYLAPSEQTAEQEAMQL >ENSMUSP00000102689.1 pep:known chromosome:GRCm38:3:96798763:96829351:-1 gene:ENSMUSG00000038304.14 transcript:ENSMUST00000107074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd160 description:CD160 antigen [Source:MGI Symbol;Acc:MGI:1860383] MQRILMAPGQSCCALAILLAIVNFQHGGCIHVTSSASQKGGRLDLTCTLWHKKDEAEGLI LFWCKDNPWNCSPETNLEQLRVKRDPETDGITEKSSQLVFTIEQATPSDSGTYQCCARSQ KPEIYIHGHFLSVLVTGNHTEIRQRQRSHPDFSHINGTLSSGFLQVKAWGMLVTSLVALQ ALYTL >ENSMUSP00000037466.7 pep:known chromosome:GRCm38:3:96800155:96814521:-1 gene:ENSMUSG00000038304.14 transcript:ENSMUST00000047702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd160 description:CD160 antigen [Source:MGI Symbol;Acc:MGI:1860383] MQRILMAPGQSCCALAILLAIVNFQHGGCIHVTSSASQKGGRLDLTCTLWHKKDEAEGLI LFWCKDNPWNCSPETNLEQLRVKRDPETDGITEKSSQLVFTIEQATPSDSGTYQCCARSQ KPEIYIHGHFLSVLVTGNHTEIRQRQRSHPDFSHINGTLSSGFLQVKAWGMLVTSLVALQ ALYTL >ENSMUSP00000132363.1 pep:known chromosome:GRCm38:3:96798763:96829351:-1 gene:ENSMUSG00000038304.14 transcript:ENSMUST00000165991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd160 description:CD160 antigen [Source:MGI Symbol;Acc:MGI:1860383] MQRILMAPGQSCCALAILLAIVNFQHGGCIHVTSSASQKGGRLDLTCTLWHKKDEAEGLI LFWCKDNPWNCSPETNLEQLRVKRDPETDGITEKSSQLVFTIEQATPSDSGTYQCCARSQ KPEIYIHGHFLSVLVTALYTL >ENSMUSP00000097508.2 pep:known chromosome:GRCm38:2:85438125:85439237:-1 gene:ENSMUSG00000075218.2 transcript:ENSMUST00000099924.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr995 description:olfactory receptor 995 [Source:MGI Symbol;Acc:MGI:3030829] MIQRNTTEVTDFYLLGFGVQKNTQSILFVVFLVVYVTSMVGNTGMILLINTNSRLQTPMY FFLQNLAFVDICYTSAITPKMLQNFMVEDSSISYTGCVIQLLVYATFATSDCYLLAVMAV DRYVAICKPLRYPIIMSRQVCVQLVAFSYLMGSINSSVHTGFTFSLSYCNSKNINHFFCD VVPIISLSCSNIDINIMLLVIFVGFNLTFTVLVIIFSYIYIMAAILKMSSTAGRKKTFST CASHLTAVTIFYGTLAYMYLQPHSDNSEENMKVASVFYGIVIPMLNPLIYSLRNKEVKEG FKAMSRRFLRLKSNP >ENSMUSP00000020692.6 pep:known chromosome:GRCm38:1:134075170:134079120:-1 gene:ENSMUSG00000020423.6 transcript:ENSMUST00000020692.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btg2 description:B cell translocation gene 2, anti-proliferative [Source:MGI Symbol;Acc:MGI:108384] MSHGKRTDMLPEIAAAVGFLSSLLRTRGCVSEQRLKVFSRALQDALTDHYKHHWFPEKPS KGSGYRCIRINHKMDPIISKVASQIGLSQPQLHRLLPSELTLWVDPYEVSYRIGEDGSIC VLYEEAPVAASYGLLTCKNQMMLGRSSPSKNYVMAVSS >ENSMUSP00000054424.9 pep:known chromosome:GRCm38:3:107325421:107333673:-1 gene:ENSMUSG00000048109.10 transcript:ENSMUST00000061772.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm15 description:RNA binding motif protein 15 [Source:MGI Symbol;Acc:MGI:2443205] MRSAGREPLPRRSPRWRRASPLCETSAGWRVSQLRRDDLRRPSTMKGKERSPVKPKRSRG GEDSSSRGERSKKLGGSGGSNGSSSGKTDSGGSRRSLHLDKSSSRGGSREYETGGGSSSS RLHSYSSPSTKNSSGGGESRSSSRGGGGESRSSGAASSAPGGGDGVEYKTLKISELGSQL SDEAVEDGLFHEFKRFGDVSVKISHLSGSGSGDERVAFVNFRRPEDARAAKHARGRLVLY DRPLKIEAVYVSRRRSRSPLDKDAYAPSSSVVGTSVGSHRHAPGGGGGQRSLSPGGAALG YRDYRLQQLALGRLPPPPPPPLPRELERERDYPFYDRVRPAYSLEPRVGAGAGAAPFREV DEISPEDDQRANRTLFLGNLDITVTENDLRRAFDRFGVITEVDIKRPSRGQTSTYGFLKF ENLDMSHRAKLAMSGKIIIRNPIKIGYGKATPTTRLWVGGLGPWVPLAALAREFDRFGTI RTIDYRKGDSWAYIQYESLDAAHAAWTHMRGFPLGGPDRRLRVDFADTEHRYQQQYLQPL PLTHYELVTDTFGHRAPDPLRSARDRTPPLLYRDRDRDLYTDSDWVPPPPPVRERSARAA TSAVTAYEPLDSLDRRRDGWSLDRDRGDRDLPSSRDQPRKRRLPEESGGRHLDRSPESER PRKQRHCTPSPDRSPELSSNRDRYNSDNDRSSRLLLLERSSPVRDRRGSLEKSQSDKRDR KNSASAERDRKHRTAAPTEGKNPLKKEDRSDGNAPSASTSSSKQKPPSQKQDGGTAPVAA SSPKLCLAWQGMLLLKNSNFPSNMHLLQGDLQVASSLLVEGSTGGKVAQLKITQRLRLDQ PKLDEVTRRIKVAGPNGYAILLAVPGSSDSRSSSSSATSDTAASTQRPLRNLVSYLKQKQ AAGVISLPVGGNKDKENTGVLHAFPPCEFSQQFLDSPAKALAKSEEDYLVMIIVRAKLVN SG >ENSMUSP00000076739.4 pep:known chromosome:GRCm38:17:36255645:36257856:-1 gene:ENSMUSG00000024446.13 transcript:ENSMUST00000077535.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpp21 description:ribonuclease P 21 subunit [Source:MGI Symbol;Acc:MGI:1914926] MAGPVKDREAFQRLSFLYQGPLCEEDALSQLLLSPHSGPHLHAAPETPKGTALDSTDLSD MPAEPEIPQRP >ENSMUSP00000025319.6 pep:known chromosome:GRCm38:17:36255667:36258069:-1 gene:ENSMUSG00000024446.13 transcript:ENSMUST00000025319.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpp21 description:ribonuclease P 21 subunit [Source:MGI Symbol;Acc:MGI:1914926] MAGPVKDREAFQRLSFLYQAAHCVLSQNPENQALARFYCHTEKTIAKRLVLRQDPSVKRT LCRSCSSLLIPGLTCTQRQRRRKGQRWTVQTCLTCQRSQRFLNDPKHLLWGDRPEAQLEN QADINPSEPLPNIADLPKENIQTQALNTSE >ENSMUSP00000133400.1 pep:known chromosome:GRCm38:17:36255712:36257610:-1 gene:ENSMUSG00000024446.13 transcript:ENSMUST00000172573.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpp21 description:ribonuclease P 21 subunit [Source:MGI Symbol;Acc:MGI:1914926] RQDPSVKRTLCRSCSSLLIPGLTCTQRQRRECCPRDICEAERDSAGQYRPV >ENSMUSP00000045454.5 pep:known chromosome:GRCm38:9:106688082:106789194:-1 gene:ENSMUSG00000040661.6 transcript:ENSMUST00000046502.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad54l2 description:RAD54 like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1933196] MSDESASGSDPDLDPDVELEDEEEEEEEEEEVAVEEHDRDDEEGLLDDTSLEGMCGTEHA QLGEDGQRPPRCTSTTSSQSEPSEQLRHQGKILASEDPKKKRAQKPSHMRRNIRKLLRED QLEPVTKAAQQEELERRKRLEQQRKEYAAPIPTVPLEFLPEEIVLRASDGPQLPPRVLAQ EVICLDSSSGSEDEKSSRDEVIELSSGEEDTLHIVDSSESVSEEDEEEEKGGTHVNDALN QHDALGRVLVNLNHPPEEENVFLAPQLARAVKPHQIGGIRFLYDNLVESLERFKTSSGFG CILAHSMGLGKTLQVISFIDVLFRHTPAKTVLAIVPVNTLQNWLAEFNMWLPAPEALPAD SKPEEVQPRFFKVHILNDEHKTVASRAKVTADWVSEGGVLLMGYEMYRLLTLKKSLATSR PKKTKKRSHPVIIDLDEEDRQQEFRREFEKALCRPGPDVVICDEGHRIKNCQASTSQALK NIRSRRRVVLTGYPLQNNLIEYWCMVDFVRPDFLGTRQEFSNMFERPILNGQCIDSTPQD VRLMRYRSHVLHSLLEGFVQRRGHTVLKIHLPAKEENVILVRLSQIQRDLYTQFMDRFRD CGTSGWLGLNPLKAFCVCCKIWNHPDVLYEALQKENLANEQDLDVEELGSAGTSARCPPH GTKVKGEDSALPSSMGEATNSKFLQGVGFNPFQERGNNIVTYEWAKELLTNYQTGVLENS PKMVLLFHLIEESVKLGDKILVFSQSLSTLALIEEFLGKRDMPCLPGAEGQGTQKWVRNV SYFRLDGSTPAFERERLINQFNDPSNLTTWLFLLSTRAGCLGVNLIGANRVVVFDASWNP CHDAQAVCRVYRYGQKKPCHIYRLVADYTLEKKIYDRQISKQGMSDRVVDDLNPMLNFTR KEVENLLHFVEKEPAPQTSLDIKGIKESVLQLACLKYPHLITKEPFEHESLLLNRKDHKL TKAEKKAAKKSYEEDKRTSVPYTRPSYAQYYPASDQSLTSIPAFSQRNWQPTLKGDEKPV ASVRPVQSTPIPMMPRHVPLSGGVSSASSTNTSMNFPINYLQRAGVLVQKVVTTTDIVIP GLNSSTDVQARINAGESIHIIRGTKGTYIRTSDGRIFAVRATGKPKAPEDGRMAASGSQG PSLASTSNGRHSASSPKAPDPEGLARPVSPDSPEIISELQQYADVAAARESRQSSPSVSA ALPGPPGQLMDNSTIPGTALGTEPCLGGHCLNSSLLVTGQPSGGRHPVLDLRGHKRKLAT PSVTQESVRRRSRKGHLPAPVQPYEHGYPVSGGFAMPPVSLNHNLTTPFTSQAGENSLFM GSNPSYYQLSNLLADARLVFPVTTDPLVPAGPVSSSSTATSVTASNPSFMLNPSVPGMLP SYSLPFSQPLLSEPRMFAPFPSPGLPSNLSRGVSVYPGYMSPHAGYPAGGLLRSQVPPFD SHEVAEVGFSSNDDEDKDDDVIEVTGK >ENSMUSP00000139803.1 pep:known chromosome:GRCm38:9:106688790:106693305:-1 gene:ENSMUSG00000040661.6 transcript:ENSMUST00000189553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad54l2 description:RAD54 like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1933196] XRKGHLPAPVQPYEHGYPVSGGFAMPPVSLNHNLTTPFTSQAGENSLFMGSNPSYYQLSN LLADARLVFPVTTDPLVPAGPVSSSSTATSVTASNPSFMLNPSVPGMLPSYSLPFSQPLL SEPRMFAPFPSPGLPSNLSRGVSVYPGYMSPHAGYPAGGLLRSQSAPFPCHESVCYSHLV CWGERARQAA >ENSMUSP00000139687.1 pep:known chromosome:GRCm38:9:106721906:106722871:-1 gene:ENSMUSG00000040661.6 transcript:ENSMUST00000190363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad54l2 description:RAD54 like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1933196] XGEDGQRPPRCTSTTSSQSEPSEQLRHQGKILASEDPKKKRAQKPSHMRRNIRHEV >ENSMUSP00000095234.3 pep:known chromosome:GRCm38:1:93990509:94017147:1 gene:ENSMUSG00000073608.4 transcript:ENSMUST00000097632.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6086 description:predicted gene 6086 [Source:MGI Symbol;Acc:MGI:3646771] MSILRGTQRSFQVALWFLVLAVFLLVVFLHVDFRLLIPDKVQEPPVTNIMFLKTHKTASS TILNILYRFSESHNLSTALPEGSRVHLGYPWLFVTRYVEGLKQDAHLQHHFNIMCNHLRF NYPEVQKVMPRDTFYFSILRNPVFQLESSFIYYKDYAPAFQRAKSLDEFLADPWKYYKAS VSLENVYAKNNMWFDFGFDNNAPADKDYVRKCLAEVEQRFHLVLIADYFDESMVLLRRRL RWQLDDVVSFKLNVRSQSTVSHLTPESQERVQHWCALDWQLYQHFNRTFWTQLHAELSPR QLTEEVEQLRERQRELMALCLQDPEPKNLTHIDDRNLRPYQSGKAKILGYKLRHGLDTTT LHICQRMAMPELQHMAHMYSLQFPDKTPKDIPFLKK >ENSMUSP00000059749.9 pep:known chromosome:GRCm38:9:98422961:98446575:1 gene:ENSMUSG00000046402.10 transcript:ENSMUST00000052068.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbp1 description:retinol binding protein 1, cellular [Source:MGI Symbol;Acc:MGI:97876] MPVDFNGYWKMLSNENFEEYLRALDVNVALRKIANLLKPDKEIVQDGDHMIIRTLSTFRN YIMDFQVGKEFEEDLTGIDDRKCMTTVSWDGDKLQCVQKGEKEGRGWTQWIEGDELHLEM RAEGVICKQVFKKVH >ENSMUSP00000112806.1 pep:known chromosome:GRCm38:5:77205107:77211438:-1 gene:ENSMUSG00000053030.11 transcript:ENSMUST00000120429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink2 description:serine peptidase inhibitor, Kazal type 2 [Source:MGI Symbol;Acc:MGI:1917232] MLRLVLLLLVTDFAASHETLDSSDSQIMKRSQFRTTVVILTSQHALGTSTLCAERI >ENSMUSP00000067117.4 pep:known chromosome:GRCm38:5:77205107:77211471:-1 gene:ENSMUSG00000053030.11 transcript:ENSMUST00000065216.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink2 description:serine peptidase inhibitor, Kazal type 2 [Source:MGI Symbol;Acc:MGI:1917232] MLRLVLLLLVTDFAASHETLDSSDSQIMKRSQFRTPDCGHFDFPACPRNLNPVCGTDMNT YSNECTLCMKIREDGSHINIIKDEPC >ENSMUSP00000113823.1 pep:known chromosome:GRCm38:5:77205112:77211205:-1 gene:ENSMUSG00000053030.11 transcript:ENSMUST00000121825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink2 description:serine peptidase inhibitor, Kazal type 2 [Source:MGI Symbol;Acc:MGI:1917232] MKRSQFRTPDCGHFDFPACPRNLNPVCGTDMNTYSNECTLCMKIREDGSHINIIKDEPC >ENSMUSP00000071214.7 pep:known chromosome:GRCm38:12:78861819:78887010:1 gene:ENSMUSG00000021116.9 transcript:ENSMUST00000071230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2s1 description:eukaryotic translation initiation factor 2, subunit 1 alpha [Source:MGI Symbol;Acc:MGI:95299] MPGLSCRFYQHKFPEVEDVVMVNVRSIAEMGAYVSLLEYNNIEGMILLSELSRRRIRSIN KLIRIGRNECVVVIRVDKEKGYIDLSKRRVSPEEAIKCEDKFTKSKTVYSILRHVAEVLE YTKDEQLESLFQRTAWVFDDKYKRPGYGAYDAFKHAVSDPSILDSLDLNEDEREVLINNI NRRLTPQAVKIRADIEVACYGYEGIDAVKEALRAGLNCSTETMPIKINLIAPPRYVMTTT TLERTEGLSVLNQAMAVIKEKIEEKRGVFNVQMEPKVVTDTDETELARQLERLERENAEV DGDDDAEEMEAKAED >ENSMUSP00000003818.7 pep:known chromosome:GRCm38:1:121304353:121328024:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000003818.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MAEGETESPRPKKCGPYISSVTSQSVNVVIRGVVLFFIGVFLALVLNLLQIQRNVTLFPP DVITSIFSSAWWVPPCCGTASAVIGLLYPCIDRHLGEPHKFKREWSSVMRCVAVFVGINH ASAKVDFDNNFQFSLTLAALSVGLWWTFDRSRSGFGLGVGIAFLATVVTQLLVYNGVYQY TSPDFLYVRSWLPCIFFAGGITMGNIGRQLAMYECKVIAEKSHQE >ENSMUSP00000065485.6 pep:known chromosome:GRCm38:1:121305522:121332589:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000071064.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MAEGETESPRPKKCGPYISSVTSQSVNVVIRGVVLFFIGVFLALVLNLLQIQRNVTLFPP DVITSIFSSAWWVPPCCGTASAVIGLLYPCIDRHLGEPHKFKREWSSVMRCVAVFVGINH ASAKVDFDNNFQFSLTLAALSVGLWWTFDRSRSGFGLGVGIAFLATVVTQLLVYNGVYQY TSPDFLYVRSWLPCIFFAGGITMGNIGRQLAMYECKVIAEKSHQE >ENSMUSP00000123747.1 pep:known chromosome:GRCm38:1:121305538:121327746:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000160968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MAEGETESPRPKKCGPYISSVTSQSVNVVIRGVVLFFIGVFLALVLNLLQIQRNVTLFPP DVITSIFSSAWWVPPCCGTASAVIGLLYPCIDRHLGEPHKFKREWSSVMRCVAVFVGINH ASAKVDFDNNFQFSLTLAALSVGLWWTFDRSRSGFGLGVGIAFLATVVTQLLVYNGVYQY TSPDFLYVRSWLPCIFFAGGITMGNIGRQLAMYECKVIAEKSHQE >ENSMUSP00000124345.1 pep:known chromosome:GRCm38:1:121305555:121327678:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000159085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MAEGETESPRPKKCGPYISSVTSQSVNVVIRGVVLFFIGVFLALVLNLLQIQRNVTLFPP DVITSIFSSAWWVPPCCGTASAVIGLLYPCIDRHLGEPHKFKREWSSVMRCVAVFVGINH ASAKVDFDNNFQFSLTLAALSVGLWWTFDRSRSGFGLGVGIAFLATVVTQLLVYNGVYQY TSPDFLYVRSWLPCIFFAGGITMGNIGRQLAMYECKVIAEKSHQE >ENSMUSP00000140292.1 pep:known chromosome:GRCm38:1:121305695:121327719:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000186915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MRCVAVFVGINHASAKVDFDNNFQFSLTLAALSVGLWWTFDRSRSGFGLGVGIAFLATVV TQLLVYNGVYQYTSPDFLYVRSWLPCIFFAGGITMGNIGRQLAMYECKVIAEKSHQE >ENSMUSP00000123702.1 pep:known chromosome:GRCm38:1:121305924:121327793:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000160688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MAEGETESPRPKKCGPYISSVTSQSVNVVIRGVVLFFIGVFLALVLNLLQIQRNVTLFPP DVITSIFSSACMNAKLLL >ENSMUSP00000125046.1 pep:known chromosome:GRCm38:1:121306937:121327765:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000162582.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MAEGETESPRPKKCGPYISSVTSQSVNVVIRGVVLFFIGVFLALVLNLLQIQRNVTLFPP DVITSIFSSAWWVPPCCGTASDTHLQIFSM >ENSMUSP00000124697.1 pep:known chromosome:GRCm38:1:121307237:121323191:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000162790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MAEGETESPRPKKCGPYISSVTSQSVNVVIRGVVLFFIGVFLALVLNLLQIQRNVTLFPP DVITSIFSSAWWVPPCCGTASAVIGLLYPCIDRHLGEPHKFKREWSSVMRCVAVFVGINH ASAKVDFDNNFQFSLTLAALSVGLWW >ENSMUSP00000123729.1 pep:known chromosome:GRCm38:1:121319664:121327682:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000159125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MAEGETESPRPKKCGPYISSVTSQSVNVVIRGVVLFFIGVFLALVLNLLQIQRNVTLFP >ENSMUSP00000123993.1 pep:known chromosome:GRCm38:1:121319734:121327688:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000161818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MAEGETESPRPKKCGPYISSVTSQSVNVVIRGVVL >ENSMUSP00000125216.1 pep:known chromosome:GRCm38:1:121319761:121332550:-1 gene:ENSMUSG00000003721.14 transcript:ENSMUST00000161068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insig2 description:insulin induced gene 2 [Source:MGI Symbol;Acc:MGI:1920249] MAEGETESPRPKKCGPYISSVTSQSV >ENSMUSP00000098946.3 pep:known chromosome:GRCm38:12:57564113:57622112:1 gene:ENSMUSG00000046782.14 transcript:ENSMUST00000101398.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921506M07Rik description:tetratricopeptide repeat domain 6 [Source:MGI Symbol;Acc:MGI:2684915] MSTIPKHFGLTAREESYMFKELEKIRQKTKKDYEQLRQRLAARPTLKARYEDQEKEERPG TAGGSGEKKGHVSWAAKPSSGAQSGERPAAADLQGAPHSARGSPGKPQRFSPRDFYMRSS AFRRHPPYKEAPAIAPQVGTTRPVILLRPRSRRKRLREKGRGAPVTTRVSKGRAQESPVL DVTHTRYRLASSLSSLSSDIDDSARLRRLRIRTHFQRDLVRWHHKPSSRSRREGSSASQG TAPLLGIRYLPKNIEEIIASLQSEAQQASDQTIKELIQSILGQNYDIAMEDISLMEQMYL RPSQVQFEVPKEVEEKNFPPSITEHPVVPSIYEELPETVSSIFQVEQEEAMKWVDRDENI AAFKSQETLDIVPPEDDGKPLDSALPTTDAKLTSQPTLKVEPRGFMQIRGREVKPTYKSR PKKLPKPRIYVKPEDKKLIKK >ENSMUSP00000134273.1 pep:known chromosome:GRCm38:12:57575817:57737928:1 gene:ENSMUSG00000046782.14 transcript:ENSMUST00000172939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921506M07Rik description:tetratricopeptide repeat domain 6 [Source:MGI Symbol;Acc:MGI:2684915] MSTIPKHFGLTAREESYMFKELEKIRQKTKKDYEQLRQRLAARPTLKARYEDQEKEERPG TAGGSGEKKGHVSWAAKPSSGAQSGERPAAADLQGAPHSARGSPGKPQRFSPRDFYMRSS AFRRHPPYKEAPAIAPQVGTTRPVILLRPRSRRKRLREKGRGAPVTTRVSKGRAQESPVL DVTHTRYRLASSLSSLSSDIDDSARLRRLRIRTHFQRDLVRWHHKPSSRSRREGSSASQG TAPLLGIRYLPKNIEEIIASLQSEAQQASDQTIKELIQSILGQNYDIAMEDISLMEQMYL RPSQVQFEVPKEVEEKNFPPSITEHPVVPSIYEELPETVSSIFQVEQEEAMKWVDRDENI AAFKSQETLDIVPPEDDGKPLDSALPTTDAKLTSQPTLKVEPRGFMQIRGREVKPTYKSR PKKLPKPRIYVKPEDKKLIKKIPQAHLPSHLHDLCTTVPTMELPVDLYLASRVYHTPDRK GHKAVLGLLGLPLLGDHCVDEQRERLIYGVPVMSEKQKYTGVTSVPAKKFPEPEQTNQWE ASKANLQLSGEEVSAYPGISKMFWNLSAPKFALPESTIRETLYPKYESVQTSRLLTEKLS VTKETEIIVNTSTKRSFKNLIIKKSASYENIQTYSSAPYTRLKRSKSKFELRKEETPLPF IMKDDIDTNLEQLKYQKKKELEHQLAKQRISFNLSRKDSLESLQGSEDMKILSMSLIEAS RKAGITYVVFPSKKKKLLKKGTKFQKMAHVYEKLSGPPKKIQRSASHGVLPGERKYFLKV PLYERQIRCPSVPTILNFDKFAESRGGIPKNTDPWTWVLELFAKDKPRQTHTAVASSAQE DVPEPVIELSKLELSDHVKCNLPPEVIKYYESEVEKLTQEIKSEKRNLAFVYCRRGAIYR KLGKLQSAMNDLQEAIFQEPFFLNAYWHRHFIYLFQDKVNDALDDLNFITKYNKNHAEAY LSKAEIFREKDITLAILNYSQAIKCRPKDADLYFKRGEMYEKTNKVLAIDDYSKCIYYNR KRSDALWKRGMFYFENGNWIGAVYDFTSLLKLDPYNSKARTYRGRAYFKRNLYKQATQDF SAAIHLDPNNWVALYYRACLFRKSSPYRALQDYSVSVLINDGYDNLNCFLHRGILYTQLK FWMLAICDFETVVSLERNATLAYINIGIIYLEHLDNYTEAFWWFTEAIKVDPLYLQSYIC RAETYSKLHKLKRAVIELTRAIHLQPDAIHLYIMRGQFLLMMKSYDLAKFTIYQVAEMNK GIIEMSPIQEALIYSFCENHDKAIHILEGVIASKPDISTYALLAKAQMKAKRIKESVRLF KKALELYTFSDKGPVATSVSAECLYNLGLCYMEEGNFQMAFESFTKAVKANPEFAEAFYH RGLCKVKLNKQNSILDFNRALTLNPKHYQAYLSRVAYYGLKGRYSKAILNCNEAIKLYPE SVRAYICRGVLKYYNRTYKLGITDLSTAIKMDKNNYTAYYNRALCYTKIGEHQMALRDYG IVLLLDAGENIALNTLINRGLIYTELKQYGFALEDFKQAALMSGTSVSLCQATATCHHRI KEFEGAVEFFTRAIKINPHYVDAYIGRGNSYMEYSQEDAMIQAQKDFLKALHLDPSCLKA RISLGYNLQAQGKFQKAWIHFTVGIESNPKSYLAYEGRAVVCLQMSNYFAAMQDINCAIK INSTAEFLTNRGVIHEFMGQQQSAMADYQAAISLNPSYSLAYFNAGNIYLHHRQFSQASD YFSTALKFNPENEYALMNRAVTNSVLKKYEEAEKDFSCAMERCPHWAALYFNRASFYVYL KKYKLAEEDLGIGLSLKPDEAIMYNLRAQVRGKMGLIAEAMSDYNQALDLEECSTAM >ENSMUSP00000133313.1 pep:known chromosome:GRCm38:12:57649415:57651719:1 gene:ENSMUSG00000046782.14 transcript:ENSMUST00000172852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921506M07Rik description:tetratricopeptide repeat domain 6 [Source:MGI Symbol;Acc:MGI:2684915] VSAYPGISKMFWNLSAPKFALPESTIRETLYPKYEGMTCVTWWTKWQS >ENSMUSP00000024914.2 pep:known chromosome:GRCm38:17:66555252:66594621:-1 gene:ENSMUSG00000024105.2 transcript:ENSMUST00000024914.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Themis3 description:thymocyte selection associated family member 3 [Source:MGI Symbol;Acc:MGI:1921806] MEQTWDSYISSLNQNSLPRQVEVTQGRYSGSLENLSFSKGDIITVVDLEPVFVRAELKDG DQVLDVVTIPLRYEGNFQLMADPVSFETVADLTRSVRLPQSPVAPRSPPRFQNSIPISAD NLPMKLRKGETLSLIGFQESRGRRLLQCKVLRKKPPLTVLLPMDCRGHFLECQDDRFYSI DTIVRWKMLAGRKRTVRVQARHHPKLLGPLVPEHFRGHLVLYPCFSVTANLLGETRVSIP SDLDISVTEIARLDRKPRTTMRQIYSMEESKFPVRVKIMNVVQSESKEYPKPLKRGQLLT ILKTEEVKKFVASEISQGKKGKCFLVPYTYQGLVLRRGRYFYAVSDVAAAMKHGELCFQA SQDYTSYLGSFASFRANECFLALKKSVVSAEIHGELHRVEVLKCLNIATKAHVKLPLFAV GKFLELFDGARPGTLQELCQVTRLPCHVRVTSPDPSMTVDPLYGTKELRIENVIIEQCLI AKDEPTLEDIISSADMYREWPETTFEIPIEKISCEVLVVEERSWIADVRKERCRPLQSIQ EVTKESLAFSNCLVIRRPPPPVPKPRSLF >ENSMUSP00000140127.1 pep:known chromosome:GRCm38:1:94020451:94025416:1 gene:ENSMUSG00000034000.15 transcript:ENSMUST00000190212.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu4 description:sialidase 4 [Source:MGI Symbol;Acc:MGI:2661364] METAGAPFCFHVDSLVPCSYWKVMGPTRVPRRTVLFQRERTGLTYRVPALLCVPPRPTLL AFAEQRLSPDDSHAHRLVLRRGTLTRGSVRWGTLSVLETAVLEEHRSMNPCPVLDEHSGT IFLFFIAVLGHTPEAVQIATGKNAARLCCVTSCDAGLTWGSVRDLTEEAIGAALQDWATF AVGPGHGVQLRSGRLLVPAYTYHVDRRECFGKICWTSPHSLAFYSDDHGISWHCGGLVPN LRSGECQLAAVDGDFLYCNARSPLGNRVQALSADEGTSFLPGELVPTLAETARGCQGSIV GFLAPPSIEPQDDRWTGSPRNTPHSPCFNLRVQESSGEGARGLLERWMPRLPLCYPQSRS PENHGLEPGSDGDKTSWTPECPMSSDSMLQSPTWLLYSHPAGRRARLHMGIYLSRSPLDP HSWTEPWVIYEGPSGYSDLAFLGPMPGASLVFACLFESGTRTSYEDISFCLFSLADVLEN VPTGLEMLSLRDKAQGHCWPS >ENSMUSP00000051151.6 pep:known chromosome:GRCm38:1:94020493:94028334:1 gene:ENSMUSG00000034000.15 transcript:ENSMUST00000050890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neu4 description:sialidase 4 [Source:MGI Symbol;Acc:MGI:2661364] MGPTRVPRRTVLFQRERTGLTYRVPALLCVPPRPTLLAFAEQRLSPDDSHAHRLVLRRGT LTRGSVRWGTLSVLETAVLEEHRSMNPCPVLDEHSGTIFLFFIAVLGHTPEAVQIATGKN AARLCCVTSCDAGLTWGSVRDLTEEAIGAALQDWATFAVGPGHGVQLRSGRLLVPAYTYH VDRRECFGKICWTSPHSLAFYSDDHGISWHCGGLVPNLRSGECQLAAVDGDFLYCNARSP LGNRVQALSADEGTSFLPGELVPTLAETARGCQGSIVGFLAPPSIEPQDDRWTGSPRNTP HSPCFNLRVQESSGEGARGLLERWMPRLPLCYPQSRSPENHGLEPGSDGDKTSWTPECPM SSDSMLQSPTWLLYSHPAGRRARLHMGIYLSRSPLDPHSWTEPWVIYEGPSGYSDLAFLG PMPGASLVFACLFESGTRTSYEDISFCLFSLADVLENVPTGLEMLSLRDKAQGHCWPS >ENSMUSP00000080257.5 pep:known chromosome:GRCm38:6:21215503:21729805:1 gene:ENSMUSG00000060882.5 transcript:ENSMUST00000081542.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnd2 description:potassium voltage-gated channel, Shal-related family, member 2 [Source:MGI Symbol;Acc:MGI:102663] MAAGVAAWLPFARAAAIGWMPVASGPMPAPPRQERKRTQDALIVLNVSGTRFQTWQDTLE RYPDTLLGSSERDFFYHPETQQYFFDRDPDIFRHILNFYRTGKLHYPRHECISAYDEELA FFGLIPEIIGDCCYEEYKDRRRENAERLQDDADTDNTGESALPTMTARQRVWRAFENPHT STMALVFYYVTGFFIAVSVIANVVETVPCGSSPGHIKELPCGERYAVAFFCLDTACVMIF TVEYLLRLAAAPSRYRFVRSVMSIIDVVAILPYYIGLVMTDNEDVSGAFVTLRVFRVFRI FKFSRHSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGSSASKFTSIPAA FWYTIVTMTTLGYGDMVPKTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQNQRAD KRRAQKKARLARIRAAKSGSANAYMQSKRNGLLSNQLQSSEDEPAFISKSGSSFETQHHH LLHCLEKTTNHEFVDEQVFEESCMEVATVNRPSSHSPSLSSQQGVTSTCCSRRHKKTFRI PNANVSGSHRGSVQELSTIQIRCVERTPLSNSRSSLNAKMEECVKLNCEQPYVTTAIISI PTPPVTTPEGDDRPESPEYSGGNIVRVSAL >ENSMUSP00000041806.4 pep:known chromosome:GRCm38:2:164830732:164833456:-1 gene:ENSMUSG00000039873.4 transcript:ENSMUST00000042775.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl2 description:neuralized E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:3043305] MAAPSEHVGLGGPRSPARPEPPPTRFHQVHGANIRMDPSGTRATRVESFAHGVCFSREPL APGQVFLVEIEEKELGWCGHLRLGLTALDPASLAAVPEFSLPDLVSLGHSWVFAITRHHN RVPREGQPEAEAAVPSGPQALLVEPYLRIEQFRIPRDRLVGRSRPGLYSHLLDQLYEQNV LPPTARRSRLGVLFCPREDGTADMHIIINGEDMGPSARGLPAAQPLYAVVDVFASTKSVR LVQLEYGLPSLQTLCRLVIQKRVVHRLAIDVLHLPKGLKDFCKYE >ENSMUSP00000123872.1 pep:known chromosome:GRCm38:14:43922720:43923559:-1 gene:ENSMUSG00000090166.2 transcript:ENSMUST00000159175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ear10 description:eosinophil-associated, ribonuclease A family, member 10 [Source:MGI Symbol;Acc:MGI:1890464] MGPKLLESRICLLLLLGLVLMLASCLGQTTSQWFAIQHINNNANLQCNVEMQRINRFRRT CKGLNTFLHTSFANAVGVCGNPSGLCSDNISQNCHNSSYRVHITVCNITSWRRTPYTQCR YQAKRSLEYYTVACDPRTPQDSPMYPVVPVHLDGTF >ENSMUSP00000130933.1 pep:known chromosome:GRCm38:14:43922898:43923368:-1 gene:ENSMUSG00000090166.2 transcript:ENSMUST00000163652.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ear10 description:eosinophil-associated, ribonuclease A family, member 10 [Source:MGI Symbol;Acc:MGI:1890464] MGPKLLESRICLLLLLGLVLMLASCLGQTTSQWFAIQHINNNANLQCNVEMQRINRFRRT CKGLNTFLHTSFANAVGVCGNPSGLCSDNISQNCHNSSYRVHITVCNITSWRRTPYTQCR YQAKRSLEYYTVACDPRTPQDSPMYPVVPVHLDGTF >ENSMUSP00000095608.2 pep:known chromosome:GRCm38:7:132712082:132813528:-1 gene:ENSMUSG00000030956.15 transcript:ENSMUST00000097999.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53b description:family with sequence similarity 53, member B [Source:MGI Symbol;Acc:MGI:1925188] MVMILRRSLINQGADSGAHRTFIPELHTPKMSQGPTLLSCGIMENDRWRDLDRKCPLQID QPSASIWECLPEKCQDGSLWHQEAVTACAVTSLIKDLNINDHNGNPSAPPSKRQCRSLSF SDEMSSCRTSWRPLGSKVWTPVEKRRCYSGGSVQRYSNGVSPMQRSSSFSLPARANGLSS PCHQSSLHHRFGGQPCQGAPGSAPCGQAGDSWSPDPHPVGGGRLDLQRSLSCSHEQFSFP EYCPPSANSTPASTPELARRSSGLARSRSQPCVLNDKKIGVKRRRPDEVQEQRPSLDLAK MAQNCQTFSSLSCLNMGVDDHSSQSPFALVSSTRSWTALLSASSPGGRTPAGTPVPEPVP HSFDDQFTCQEDLSCDESDGCSLDEDCCRKGDPATSWRDRGACTNSLCSLDGELDIEQIE NN >ENSMUSP00000070763.7 pep:known chromosome:GRCm38:7:132712084:132786919:-1 gene:ENSMUSG00000030956.15 transcript:ENSMUST00000065371.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53b description:family with sequence similarity 53, member B [Source:MGI Symbol;Acc:MGI:1925188] MVMILRRSLINQGADSGAHRTFIPELHTPKMSQGPTLLSCGIMENDRWRDLDRKCPLQID QPSASIWECLPEKCQDGSLWHQEAVTACAVTSLIKDLNINDHNGNPSAPPSKRQCRSLSF SDEMSSCRTSWRPLGSKVWTPVEKRRCYSGGSVQRYSNGVSPMQRSSSFSLPARANGLSS PCHQSSLHHRFGGQPCQGAPGSAPCGQAGDSWSPDPHPVGGGRLDLQRSLSCSHEQFSFP EYCPPSANSTPASTPELARRSSGLARSRSQPCVLNDKKIGVKRRRPDEVQEQRPSLDLAK MAQNCQTFSSLSCLNMGVDDHSSQSPFALVSSTRSWTALLSASSPGGRTPAGTPVPEPVP HSFDDQFTCQEDLSCDESDGCSLDEDCCRKGDPATSWRDRGACTNSLCSLDGELDIEQIE NN >ENSMUSP00000095607.2 pep:known chromosome:GRCm38:7:132712088:132813886:-1 gene:ENSMUSG00000030956.15 transcript:ENSMUST00000097998.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53b description:family with sequence similarity 53, member B [Source:MGI Symbol;Acc:MGI:1925188] MVMILRRSLINQGADSGAHRTFIPELHTPKMSQGPTLLSCGIMELSDLQQPQLPEHGGGR PQLPEPLCPRQQYPILDRIALSLQPRGQDSCWDPSS >ENSMUSP00000101775.1 pep:known chromosome:GRCm38:7:132712806:132802764:-1 gene:ENSMUSG00000030956.15 transcript:ENSMUST00000106169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53b description:family with sequence similarity 53, member B [Source:MGI Symbol;Acc:MGI:1925188] MVMILRRSLINQGADSGAHRTFIPELHTPKMSQGPTLLSCGIMENDRWRDLDRKCPLQID QPSASIWECLPEKCQDGSLWHQEAVTACAVTSLIKDLNINDHNGNPSAPPSKRQCRSLSF SDEMSSCRTSWRPLGSKVWTPVEKRRCYSGGSVQRYSNGVSPMQRSSSFSLPARANGLSS PCHQSSLHHRFGGQPCQGAPGSAPCGQAGDSWSPDPHPVGGGRLDLQRSLSCSHEQFSFP EYCPPSANSTPASTPELARRSSGLARSRSQPCVLNDKKIGVKRRRPDEVQEQRPSLDLAK MAQNCQTFSSLSCLNMGVDDHSSQSPFALVSSTRSWTALLSASSPGGRTPAGTPVPEPVP HSFDDQFTCQEDLSCDESDGCSLDEDCCRKGDPATSWRDRGACTNSLCSLDGELDIEQIE NN >ENSMUSP00000101774.1 pep:known chromosome:GRCm38:7:132713365:132776916:-1 gene:ENSMUSG00000030956.15 transcript:ENSMUST00000106168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53b description:family with sequence similarity 53, member B [Source:MGI Symbol;Acc:MGI:1925188] MSQGPTLLSCGIMENDRWRDLDRKCPLQIDQPSASIWECLPEKCQDGSLWHQEAVTACAV TSLIKDLNINDHNGNPSAPPSKRQCRSLSFSDEMSSCRTSWRPLGSKVWTPVEKRRCYSG GSVQRYSNGVSPMQRSSSFSLPARANGLSSPCHQSSLHHRFGGQPCQGAPGSAPCGQAGD SWSPDPHPVGGGRLDLQRSLSCSHEQFSFPEYCPPSANSTPASTPELARRSSGLARSRSQ PCVLNDKKIGVKRRRPDEVQEQRPSLDLAKMAQNCQTFSSLSCLNMGVDDHSSQSPFALV SSTRSWTALLSASSPGGRTPAGTPVPEPVPHSFDDQFTCQEDLSCDESDGCSLDEDCCRK GDPATSWRDRGACTNSLCSLDGELDIEQIENN >ENSMUSP00000101772.1 pep:known chromosome:GRCm38:7:132759268:132786935:-1 gene:ENSMUSG00000030956.15 transcript:ENSMUST00000106166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53b description:family with sequence similarity 53, member B [Source:MGI Symbol;Acc:MGI:1925188] MVMILRRSLINQGADSGAHRTFIPELHTPKMSQGPTLLSCGIMENDRWRDLDRKCPLQID QPSASIWECLPEKCQDGSLWHQEAVTACAVTSLIKDLNINDHNGNPSAPPSKRQCRSLSF SDEMSSCRTSWRPLGSKVWTPVEKRRCYSGGSVQRYSNGVSPMQRSSSFSLPARANGLSS PCHQSSLHHRFGGQPCQGAPGSAPCGQAGDSWSPDPHPVGGGRLDLQRSLSCSHEQFSFP EYCPPSANSTPASTPELARRSSGLARSRSQPCVLNDKKIGVKRRRPDEVQEQRPSLDLAK MAQVSAPVA >ENSMUSP00000101771.1 pep:known chromosome:GRCm38:7:132759268:132813095:-1 gene:ENSMUSG00000030956.15 transcript:ENSMUST00000106165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53b description:family with sequence similarity 53, member B [Source:MGI Symbol;Acc:MGI:1925188] MVMILRRSLINQGADSGAHRTFIPELHTPKMSQGPTLLSCGIMENDRWRDLDRKCPLQID QPSASIWECLPEKCQDGSLWHQEAVTACAVTSLIKDLNINDHNGNPSAPPSKRQCRSLSF SDEMSSCRTSWRPLGSKVWTPVEKRRCYSGGSVQRYSNGVSPMQRSSSFSLPARANGLSS PCHQSSLHHRFGGQPCQGAPGSAPCGQAGDSWSPDPHPVGGGRLDLQRSLSCSHEQFSFP EYCPPSANSTPASTPELARRSSGLARSRSQPCVLNDKKIGVKRRRPDEVQEQRPSLDLAK MAQVSAPVA >ENSMUSP00000118953.1 pep:known chromosome:GRCm38:7:132760103:132813729:-1 gene:ENSMUSG00000030956.15 transcript:ENSMUST00000134946.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53b description:family with sequence similarity 53, member B [Source:MGI Symbol;Acc:MGI:1925188] MVMILRRSLINQGADSGAHRTFIPELHTPKMSQGPTLLSCGIMENDRWRDLDRKCPLQID QPSAS >ENSMUSP00000116561.1 pep:known chromosome:GRCm38:7:132779136:132807380:-1 gene:ENSMUSG00000030956.15 transcript:ENSMUST00000140865.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53b description:family with sequence similarity 53, member B [Source:MGI Symbol;Acc:MGI:1925188] MVMILRRSLINQGADSGAHRTFIPEL >ENSMUSP00000114157.1 pep:known chromosome:GRCm38:3:96829284:96851655:1 gene:ENSMUSG00000038298.14 transcript:ENSMUST00000135031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzk1 description:PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1928901] MASTFNPRECKLSKQEGQNYGFFLRIEKDTDGHLIRVIEEGSPAEKAGLLDGDRVLRING VFVDKEEHAQVVELVRKSGNSVTLLVLDGDSYEKAVKNQVDLKELDQSQREAALNDKKPG PGMNG >ENSMUSP00000115584.1 pep:known chromosome:GRCm38:3:96829463:96851740:1 gene:ENSMUSG00000038298.14 transcript:ENSMUST00000138014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzk1 description:PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1928901] MASTFNPRECKLSKQEGQNYGFFLRIEKDTDGHLIRVIEEGSPAEKAGLLDGDRVLRING VFVDKEEHAQVVELVRKSGNSVTLLVLDGDSYEKAVKNQVDLKELDQSQREAALNDKKPG PGMNGAVEPCAQPRLCYLVKEGNSFGFSLKTIQ >ENSMUSP00000058936.7 pep:known chromosome:GRCm38:3:96830076:96870926:1 gene:ENSMUSG00000038298.14 transcript:ENSMUST00000058865.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzk1 description:PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1928901] MASTFNPRECKLSKQEGQNYGFFLRIEKDTDGHLIRVIEEGSPAEKAGLLDGDRVLRING VFVDKEEHAQVVELVRKSGNSVTLLVLDGDSYEKAVKNQVDLKELDQSQREAALNDKKPG PGMNGAVEPCAQPRLCYLVKEGNSFGFSLKTIQGKKGVYLTNIMPQGVAMKAGVLADDHL IEVNGENVENASHEEVVEKVTKSGSRIMFLLVDKETARCHSEQKTQFKRETASLKLLPHQ PRVVVIKKGSNGYGFYLRAGPEQKGQIIKDIEPGSPAEAAGLKNNDLVVAVNGKSVEALD HDGVVEMIRKGGDQTTLLVLDKEAESIYSLARFSPLLYCQSQELPNGSVKEGPAPIPAPL EATGSEPTEDAEGHKPKLCRLLKEDDSYGFHLNAIRGQPGSFVKEVQQGGPADKAGLENE DVIIEVNGENVQEEPYDRVVERIKSSGKHVTLLVCGKMAYSYFQAKKIPIVSSMAEPLVA GPDEKGETSAESEHDAHPAKDRTLSTASHSSSNSEDTEM >ENSMUSP00000102685.1 pep:known chromosome:GRCm38:3:96832154:96870746:1 gene:ENSMUSG00000038298.14 transcript:ENSMUST00000107070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzk1 description:PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1928901] MASTFNPRECKLSKQEGQNYGFFLRIEKDTDGHLIRVIEEGSPAEKAGLLDGDRVLRING VFVDKEEHAQVVELVRKSGNSVTLLVLDGDSYEKAVKNQVDLKELDQSQREAALNDKKPG PGMNGAVEPCAQPRLCYLVKEGNSFGFSLKTIQGKKGVYLTNIMPQGVAMKAGVLADDHL IEVNGENVENASHEEVVEKVTKSGSRIMFLLVDKETARCHSEQKTQFKRETASLKLLPHQ PRVVVIKKGSNGYGFYLRAGPEQKGQIIKDIEPGSPAEAAGLKNNDLVVAVNGKSVEALD HDGVVEMIRKGGDQTTLLVLDKEAESIYSLARFSPLLYCQSQELPNGSVKEGPAPIPAPL EATGSEPTEDAEGHKPKLCRLLKEDDSYGFHLNAIRGQPGSFVKEVQQGGPADKAGLENE DVIIEVNGENVQEEPYDRVVERIKSSGKHVTLLVCGKMAYSYFQAKKIPIVSSMAEPLVA GPDEKGETSAESEHDAHPAKDRTLSTASHSSSNSEDTEM >ENSMUSP00000102684.1 pep:known chromosome:GRCm38:3:96832171:96870472:1 gene:ENSMUSG00000038298.14 transcript:ENSMUST00000107069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzk1 description:PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1928901] MASTFNPRECKLSKQEGQNYGFFLRIEKDTDGHLIRVIEEGSPAEKAGLLDGDRVLRING VFVDKEEHAQVVELVRKSGNSVTLLVLDGDSYEKAVKNQVDLKELDQSQREAALNDKKPG PGMNGAVEPCAQPRLCYLVKEGNSFGFSLKTIQGKKGVYLTNIMPQGVAMKAGVLADDHL IEVNGENVENASHEEVVEKVTKSGSRIMFLLVDKETARCHSEQKTQFKRETASLKLLPHQ PRVVVIKKGSNGYGFYLRAGPEQKGQIIKDIEPGSPAEAAGLKNNDLVVAVNGKSVEALD HDGVVEMIRKGGDQTTLLVLDKEAESIYSLARFSPLLYCQSQELPNGSVKEGPAPIPAPL EATGSEPTEDAEGHKPKLCRLLKEDDSYGFHLNAIRGQPGSFVKEVQQGGPADKAGLENE DVIIEVNGENVQEEPYDRVVERIKSSGKHVTLLVCGKMAYSYFQAKKIPIVSSMAEPLVA GPDEKGETSAESEHDAHPAKDRTLSTASHSSSNSEDTEM >ENSMUSP00000118846.1 pep:known chromosome:GRCm38:3:96832171:96870596:1 gene:ENSMUSG00000038298.14 transcript:ENSMUST00000153256.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdzk1 description:PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1928901] MASTFNPRECKLSKQEGQNYGFFLRIEKDTDGHLIRVIEEGSPAEKAGLLDGDRVLRING VFVDKEEHAQVVELVRKSGNSVTLLVLDGDSYEKAVKNQVDLKELDQSQREAALNDKKPG PGMNGAVEPCAQPRLCYLVKEGNSFGFSLKTIQGKKGVYLTNIMPQGVAMKAGVLADDHL IEVNGENVENASHEEVVEKVTKSGSRIMFLLVDKETARCHSEQKTQFKRETASLKLLPHQ PRVVVIKKGSNGYGFYLRAGPEQKGQIIKDIEPGSPAEAAGLKNNDLVVAVNGKSVEALD HDGVVEMIRKGGDQTTLLVLDKEAESIYSLARFSPLLYCQSQELPNGSVKEGPAPIPAPL EATGSEPTEDAEGHKPKLCRLLKEDDSYGFHLNAIRGQPGSFVKEVQQGGPADKAGLENE DVIIEVNGENVQEEPYDRVVERIKSSGKHVTLLVCGKMAYSYFQAKKIPIVSSMAEPLVA GPDEKGETSAESEHDAHPAKDRTLSTASHSSSNSEDTEM >ENSMUSP00000123166.1 pep:known chromosome:GRCm38:3:96833226:96850379:1 gene:ENSMUSG00000038298.14 transcript:ENSMUST00000128789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzk1 description:PDZ domain containing 1 [Source:MGI Symbol;Acc:MGI:1928901] MASTFNPRECKLSKQEGQNYGFFLRIEKDTDGHLIRVIEEGSPAEKAGLL >ENSMUSP00000062635.4 pep:known chromosome:GRCm38:3:124320855:124324743:1 gene:ENSMUSG00000044528.5 transcript:ENSMUST00000058994.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tram1l1 description:translocation associated membrane protein 1-like 1 [Source:MGI Symbol;Acc:MGI:2443503] MGLRKKNARNPPVLSHEFMVQNHADMVSCVGMFFVLGLMFEGTSEMSIAFLTLQHGVVVP AEGLPSGSRTLYHYGVKDLATVFFYMLVAIIIHATIQEYVLDKLSRRLQLTKGKQNKLNE AGQLSVFYIVSGIWGMIILASENCLSDPTLLWKSQPHNMMTFQMKFFYISQLAYWFHSFP ELYFQKVRKQDIPGQLIYIGLHLFHIGGAYLLYLNHLGLLLLMLHYAVELLSSVCSLLYF GDERYQKGLSLWPIVFISGRLVTLIVSVVTVGLHLAGTNRNGNALSGNVNVLAAKIAVLS SSCSIQVYITWTLTTVWLQRWLEDANLHVCGRKRRSRARKGTENGVENPNRIDSPPKKKE KAP >ENSMUSP00000043559.8 pep:known chromosome:GRCm38:10:71330480:71344954:-1 gene:ENSMUSG00000037710.8 transcript:ENSMUST00000045887.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cisd1 description:CDGSH iron sulfur domain 1 [Source:MGI Symbol;Acc:MGI:1261855] MGLSSNSAVRVEWIAAVTFAAGTAALGYLAYKKFYAKENRTKAMVNLQIQKDNPKVVHAF DMEDLGDKAVYCRCWRSKKFPFCDGAHIKHNEETGDNVGPLIIKKKET >ENSMUSP00000122552.1 pep:known chromosome:GRCm38:4:144893077:144928209:1 gene:ENSMUSG00000066026.14 transcript:ENSMUST00000154208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs3 description:dehydrogenase/reductase (SDR family) member 3 [Source:MGI Symbol;Acc:MGI:1315215] MVWKWLGALVVFPLQMIYLVTKAAVGMVLPPKLRDLSRESVLITGGGRGIGRHLAREFAE RGARKIVLWGRTEKCLKETTEEIRQMGTECHYFICDVGNREEVYQMAKAVREKVGDITIL VNNAAVVHGKSLMDSDDDALLKSQHVNTLGQFWTTKAFLPRMLELQNGHIVCLNSVLALS AIPGAIDYCTSKASAFAFMESLTLGLLDCPGVSATTVLPFHTSTEMFQGMRVRFPNLFPP LKPETVARRTVDAVQQNQALLLLPWTMNILIILKSILPQAALEEIHRFSGTYTCMNTFKG RT >ENSMUSP00000101370.1 pep:known chromosome:GRCm38:4:144892827:144927642:1 gene:ENSMUSG00000066026.14 transcript:ENSMUST00000105744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs3 description:dehydrogenase/reductase (SDR family) member 3 [Source:MGI Symbol;Acc:MGI:1315215] MVLPPKLRDLSRESVLITGGGRGIGRHLAREFAERGARKIVLWGRTEKCLKETTEEIRQM GTECHYFICDVGNREEVYQMAKAVREKTTKAFLPRMLELQNGHIVCLNSVLALSAIPGAI DYCTSKASAFAFMESLTLGLLDCPGVSATTVLPFHTSTEMFQGMRVRFPNLFPPLKPETV ARRTVDAVQQNQALLLLPWTMNILIILKSILPQAALEEIHRFSGTYTCMNTFKGRT >ENSMUSP00000081200.6 pep:known chromosome:GRCm38:4:144893219:144927639:1 gene:ENSMUSG00000066026.14 transcript:ENSMUST00000084184.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhrs3 description:dehydrogenase/reductase (SDR family) member 3 [Source:MGI Symbol;Acc:MGI:1315215] MVWKWLGALVVFPLQMIYLVTKAAVGMVLPPKLRDLSRESVLITGGGRGIGRHLAREFAE RGARKIVLWGRTEKCLKETTEEIRQMGTECHYFICDVGNREEVYQMAKAVREKTQLVWHQ RHL >ENSMUSP00000122578.1 pep:known chromosome:GRCm38:4:144893137:144919898:1 gene:ENSMUSG00000066026.14 transcript:ENSMUST00000142808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs3 description:dehydrogenase/reductase (SDR family) member 3 [Source:MGI Symbol;Acc:MGI:1315215] MVLPPKLRDLSRESVLITGGGRGIGRHLAREFAERGARKIVLWGRTEKCLKETTEEIRQM GTECHYFICDVGNREEVYQMAKAVREKVGDITILVNNAAVVHGKSLMDSDDDALLKSQHV NTLGQFWTTKAFLPRMLELQNGHIVC >ENSMUSP00000126154.1 pep:known chromosome:GRCm38:4:144892827:144927645:1 gene:ENSMUSG00000066026.14 transcript:ENSMUST00000171001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs3 description:dehydrogenase/reductase (SDR family) member 3 [Source:MGI Symbol;Acc:MGI:1315215] MVLPPKLRDLSRESVLITGGGRGIGRHLAREFAERGARKIVLWGRTEKCLKETTEEIRQM GTECHYFICDVGNREEVYQMAKAVREKVGDITILVNNAAVVHGKSLMDSDDDALLKSQHV NTLGQFWTTKAFLPRMLELQNGHIVCLNSVLALSAIPGAIDYCTSKASAFAFMESLTLGL LDCPGVSATTVLPFHTSTEMFQGMRVRFPNLFPPLKPETVARRTVDAVQQNQALLLLPWT MNILIILKSILPQAALEEIHRFSGTYTCMNTFKGRT >ENSMUSP00000021544.7 pep:known chromosome:GRCm38:12:78888691:78906964:-1 gene:ENSMUSG00000021118.7 transcript:ENSMUST00000021544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plek2 description:pleckstrin 2 [Source:MGI Symbol;Acc:MGI:1351466] MEDGVLKEGFLVKRGHIVHNWKARWFILRQNTLLYYKLEGGRRVTPPKGRIVLDGCTITC PCLEYENRPLLIKLKTRTSTEYFLEACSREERDSWAFEITGAIHAGQPGKIQQLHILKNS FKLPPHISLHRIVDKMHDTSTGIRPSPNMEQGSTYKKTFLGSSLVDWLISSNFAASRLEA VTLASMLMEENFLRPVGVRSMGAIRSGDLAEQFLDDSTALYTFAESYKKKVSSKEEISLS TMELSGTVVKQGYLSKQGHKRKNWKVRRFVLRKDPAFLHYYDPSKEENRPVGGFSLRGSL VSALEDNGVPTGVKGNVQGNLFKVITKDDTHYYIQASSKAERAEWIEAIKKLT >ENSMUSP00000099382.3 pep:known chromosome:GRCm38:2:164832873:164840019:1 gene:ENSMUSG00000017760.15 transcript:ENSMUST00000103093.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsa description:cathepsin A [Source:MGI Symbol;Acc:MGI:97748] MPGTALSPLLLLLLLSWASRNEAAPDQDEIDCLPGLAKQPSFRQYSGYLRASDSKHFHYW FVESQNDPKNSPVVLWLNGGPGCSSLDGLLTEHGPFLIQPDGVTLEYNPYAWNLIANVLY IESPAGVGFSYSDDKMYVTNDTEVAENNYEALKDFFRLFPEYKDNKLFLTGESYAGIYIP TLAVLVMQDPSMNLQGLAVGNGLASYEQNDNSLVYFAYYHGLLGNRLWTSLQTHCCAQNK CNFYDNKDPECVNNLLEVSRIVGKSGLNIYNLYAPCAGGVPGRHRYEDTLVVQDFGNIFT RLPLKRRFPEALMRSGDKVRLDPPCTNTTAPSNYLNNPYVRKALHIPESLPRWDMCNFLV NLQYRRLYQSMNSQYLKLLSSQKYQILLYNGDVDMACNFMGDEWFVDSLNQKMEVQRRPW LVDYGESGEQVAGFVKECSHITFLTIKGAGHMVPTDKPRAAFTMFSRFLNKEPY >ENSMUSP00000099381.1 pep:known chromosome:GRCm38:2:164832901:164841032:1 gene:ENSMUSG00000017760.15 transcript:ENSMUST00000103092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsa description:cathepsin A [Source:MGI Symbol;Acc:MGI:97748] MPGTALSPLLLLLLLSWASRNEAAPDQDEIDCLPGLAKQPSFRQYSGYLRASDSKHFHYW FVESQNDPKNSPVVLWLNGGPGCSSLDGLLTEHGPFLIQPDGVTLEYNPYAWNLIANVLY IESPAGVGFSYSDDKMYVTNDTEVAENNYEALKDFFRLFPEYKDNKLFLTGESYAGIYIP TLAVLVMQDPSMNLQGLAVGNGLASYEQNDNSLVYFAYYHGLLGNRLWTSLQTHCCAQNK CNFYDNKDPECVNNLLEVSRIVGKSGLNIYNLYAPCAGGVPGRHRYEDTLVVQDFGNIFT RLPLKRRFPEALMRSGDKVRLDPPCTNTTAPSNYLNNPYVRKALHIPESLPRWDMCNFLV NLQYRRLYQSMNSQYLKLLSSQKYQILLYNGDVDMACNFMGDEWFVDSLNQKMEVQRRPW LVDYGESGEQVAGFVKECSHITFLTIKGAGHMVPTDKPRAAFTMFSRFLNKEPY >ENSMUSP00000116210.1 pep:known chromosome:GRCm38:2:164832909:164834062:1 gene:ENSMUSG00000017760.15 transcript:ENSMUST00000151493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsa description:cathepsin A [Source:MGI Symbol;Acc:MGI:97748] MPGTALSPLLL >ENSMUSP00000115514.1 pep:known chromosome:GRCm38:2:164832926:164835691:1 gene:ENSMUSG00000017760.15 transcript:ENSMUST00000127650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsa description:cathepsin A [Source:MGI Symbol;Acc:MGI:97748] MPGTALSPLLLLLLLSWASRNEAAPDQDEIDCLPGLAKQPSFRQYSGYLRASDSKHFHYW FVESQNDPKNSPVVLWLNGGPGCSSLDGLLTEHGPFLIQPDGVTLEYNPYAWNLIANVLY IESPAGVGFSYSDDKMYVTNDTEVAENNYEALKDFFRLFPEYKDNKLFLTGESYAGIYIP TLAVLVMQDPSMNLQGLAVGNGLASYEQNDNSLVY >ENSMUSP00000123413.1 pep:known chromosome:GRCm38:2:164833815:164837002:1 gene:ENSMUSG00000017760.15 transcript:ENSMUST00000143780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsa description:cathepsin A [Source:MGI Symbol;Acc:MGI:97748] MPGTALSPLLLLLLLSWASRNEAAPDQDEIDCLPGLAKQPSFRQYSGYLRASDSKHFHYW FVESQNDPKNSPVVLWLNGGPGCSSLDGLLTEHGPFLIQPDGVTLEYNPYAWNLIANVLY IESPAGVGFSYSDDKMYVTNDTEGLAVGNGLASYEQNDNSLVYFAYYHGLLGNRLWTSLQ THCCAQNKCNFYDNKDPECVNNLLEVSR >ENSMUSP00000119814.1 pep:known chromosome:GRCm38:2:164833858:164836477:1 gene:ENSMUSG00000017760.15 transcript:ENSMUST00000152721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsa description:cathepsin A [Source:MGI Symbol;Acc:MGI:97748] MPKLVGSEGGSVARSWIRAGASPGDVRHRLPRNCCTEAQGIWGDWFVESQNDPKNSPVVL WLNGGPGCSSLDGLLTEHGPFLIQPDGVTLEYNPYAWNLIANVLYIESPAGVGFSYSDDK MYVTNDTEVAENNYEALKDFFRLFPEYKDNKLFLTGESYAGIYIPTLAVLVMQDPSMNLQ GLAVGNGLASYEQNDNSLVYFAYYHGLLGNRLWTSLQTHCCAQNKCN >ENSMUSP00000017904.2 pep:known chromosome:GRCm38:2:164832873:164841032:1 gene:ENSMUSG00000017760.15 transcript:ENSMUST00000017904.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsa description:cathepsin A [Source:MGI Symbol;Acc:MGI:97748] MTSSPKAPPGEQGRKEAEMPGTALSPLLLLLLLSWASRNEAAPDQDEIDCLPGLAKQPSF RQYSGYLRASDSKHFHYWFVESQNDPKNSPVVLWLNGGPGCSSLDGLLTEHGPFLIQPDG VTLEYNPYAWNLIANVLYIESPAGVGFSYSDDKMYVTNDTEVAENNYEALKDFFRLFPEY KDNKLFLTGESYAGIYIPTLAVLVMQDPSMNLQGLAVGNGLASYEQNDNSLVYFAYYHGL LGNRLWTSLQTHCCAQNKCNFYDNKDPECVNNLLEVSRIVGKSGLNIYNLYAPCAGGVPG RHRYEDTLVVQDFGNIFTRLPLKRRFPEALMRSGDKVRLDPPCTNTTAPSNYLNNPYVRK ALHIPESLPRWDMCNFLVNLQYRRLYQSMNSQYLKLLSSQKYQILLYNGDVDMACNFMGD EWFVDSLNQKMEVQRRPWLVDYGESGEQVAGFVKECSHITFLTIKGAGHMVPTDKPRAAF TMFSRFLNKEPY >ENSMUSP00000125038.1 pep:known chromosome:GRCm38:14:43925390:43933411:1 gene:ENSMUSG00000089901.1 transcript:ENSMUST00000160298.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8113 description:predicted gene 8113 [Source:MGI Symbol;Acc:MGI:3648791] MTPGWIPWKLPLVLVLPLWIWVPSIFGEYRWAILSAFPKPMPVRHNTAVFPKFFTTNKTL GLRYLPFDPIWAPLGEKRSLRERGSLCFQIYELENCIRLTSRALGMFFKYRGGVVKITQD TSNRDITLTNRTFWQEAIWVNGTFLPPNFSDKERPNQPKMAPHCSLEDEGLILPWSDCQS SVTRWADQSKTFSFSPNMIDDPEQKYVMKKGLFIQDFRMHPFHKWVLCGINGSCTDLNPL VFLQGGTAGKAIFNGISKFAQFHQVLLPDRTIYQNSTTKITGFNKTLIKQTNYLPTPICV YTPFLFILSNGSFESCTNETCWMSQCWSLKWASRAMLAKIPRWVPVPVEIPSTITLHRQK RDFGITAAVVMTMAASAAAATAARIAMATSVQSSTTVQQLSSSVAEAIDQHSVLSAQLKG GLMIVNQPIDLVEERLEILLQLAQLGCDKKSGALCIASVQYENWTHAANLSKELSLFLTG NWSEGFDEKLEALRTAVMTINSMRVDPSLIYGIKGLSSWMSSAFSHFKEWVGVGLFGATL CCGLVFLLWLVCKLRSQQKRDKVVIAQALAAIEEGTSPEIWLSILKN >ENSMUSP00000088031.5 pep:known chromosome:GRCm38:14:18204054:18239127:-1 gene:ENSMUSG00000021775.10 transcript:ENSMUST00000090543.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1d2 description:nuclear receptor subfamily 1, group D, member 2 [Source:MGI Symbol;Acc:MGI:2449205] MELNAGGVIAYISSSSSASSPASCHSEGSENSFQSSSSSVPSSPNSSNCDANGNPKNADI SSIDGVLKSDRTDCPVKTGKTSAPGMTKSHSGMTKFSGMVLLCKVCGDVASGFHYGVHAC EGCKGFFRRSIQQNIQYKKCLKNENCSIMRMNRNRCQQCRFKKCLSVGMSRDAVRFGRIP KREKQRMLIEMQSAMKTMMNTQFSGHLQNDTLAEQHDQSALPAQEQLRPKSQLEQENIKN TPSDFAKEEVIGMVTRAHKDTFLYNQEHRENSSESMPPQRGERIPRNMEQYNLNQDHRGS GIHNHFPCSERQQHLSGQYKGRNIMHYPNGHAVCIANGHCMNFSSAYTQRVCDRIPVGGC SQTENRNSYLCNTGGRMHLVCPMSKSPYVDPQKSGHEIWEEFSMSFTPAVKEVVEFAKRI PGFRDLSQHDQVNLLKAGTFEVLMVRFASLFDAKERTVTFLSGKKYSVDDLHSMGAGDLL SSMFEFSEKLNALQLSDEEMSLFTAVVLVSADRSGIENVNSVEALQETLIRALRTLIMKN HPNEASIFTKLLLKLPDLRSLNNMHSEELLAFKVHP >ENSMUSP00000026475.8 pep:known chromosome:GRCm38:10:127290774:127296288:1 gene:ENSMUSG00000025408.15 transcript:ENSMUST00000026475.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddit3 description:DNA-damage inducible transcript 3 [Source:MGI Symbol;Acc:MGI:109247] MAAESLPFTLETVSSWELEAWYEDLQEVLSSDEIGGTYISSPGNEEEESKTFTTLDPASL AWLTEEPGPTEVTRTSQSPRSPDSSQSSMAQEEEEEEQGRTRKRKQSGQCPARPGKQRMK EKEQENERKVAQLAEENERLKQEIERLTREVETTRRALIDRMVSLHQA >ENSMUSP00000118339.1 pep:known chromosome:GRCm38:10:127290793:127296065:1 gene:ENSMUSG00000025408.15 transcript:ENSMUST00000139091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddit3 description:DNA-damage inducible transcript 3 [Source:MGI Symbol;Acc:MGI:109247] MAAESLPFTLETVSSWELEAWYEDLQEVLSSDEIGGTYISSPGNEEEESKTFTTLDPASL AWLTEEPGPTEVTRTSQSPRSPDSSQSSMAQEEEEEEQGRTRKRKQSGQCPARPGKQRMK EKEQENERKVAQLAEENERLKQEIERLTREVETTRRALID >ENSMUSP00000097507.1 pep:known chromosome:GRCm38:2:85483594:85519024:-1 gene:ENSMUSG00000075217.1 transcript:ENSMUST00000099923.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4833423E24Rik description:RIKEN cDNA 4833423E24 gene [Source:MGI Symbol;Acc:MGI:2687041] MKLEEKLEHNESLVGKSRPCLHDTHQANGKPIANGNPTANGKVEVYEKQEANGKGNRLGK CLNLYTWQEIQRHSQEADQWLVIDRKVYNVTDWAGKHPGGRRVLNHYAGQDATDAFRAMH LDLGMVKLYLKPLLIGELSPEEPSQEKNKNAQLVEDFRELRKTLEAMNMFSANLRFFFLH LAQILILEISAWLILHHFGSSWLVTILISFLLTVSQAQCSFLQHDLGHLSMFKKSKWNHL MHKFVMCHLKGLSADWWNYRHFQHHVKPNIYPKDPDIDVGPLFLVGDTQPIKYGKKKIKY IDYEKQHLYFYMVALPFLMPVYFNLQSMQVMYLRKYWMDIAWVSSFYIRYFITFGPFYGI FGTVLLIYLVKFIESPWIAYVTQMSHIPMKMSSEENHDWLSTQVVATCNIEQSFFNDWFT GHLNFQIEHHLFPTMPRHNYHKVAPLVKSLCAKHGLQYINKPILKAFGDIVRSLKKSASL WMNAYYE >ENSMUSP00000064967.2 pep:known chromosome:GRCm38:7:65691169:65701911:1 gene:ENSMUSG00000078681.10 transcript:ENSMUST00000065574.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d3 description:TM2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1915884] MEAAAEPLRSVRHLSRVLLFLSQCYILSGDGSLNLEHSQPLAQAIKDPGPTRTFSVVPRA AENQLFSHLTESTEIPPYMTKCPSNGLCSRLPADCIECATNVSCTYGKPVTFDCTVKPSV TCVDQDLKPQRNFVINMTCRFCWQLPETDYECSNSTTCMTVACPRQRYFANCTVRDHIHC LGNRTFPKLLYCNWTGGYKWSTALALSITLGGFGADRFYLGQWREGLGKLFSFGGLGIWT LIDVLLIGVGYVGPADGSLYI >ENSMUSP00000032726.7 pep:known chromosome:GRCm38:7:65693408:65701902:1 gene:ENSMUSG00000078681.10 transcript:ENSMUST00000032726.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d3 description:TM2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1915884] MEAAAEPLRSVRHLSRVLLFLSQCYILSGDENQLFSHLTESTEIPPYMTKCPSNGLCSRL PADCIECATNVSCTYGKPVTFDCTVKPSVTCVDQDLKPQRNFVINMTCRFCWQLPETDYE CSNSTTCMTVACPRQRYFANCTVRDHIHCLGNRTFPKLLYCNWTGGYKWSTALALSITLG GFGADRFYLGQWREGLGKLFSFGGLGIWTLIDVLLIGVGYVGPADGSLYI >ENSMUSP00000116848.1 pep:known chromosome:GRCm38:7:65693447:65697732:1 gene:ENSMUSG00000078681.10 transcript:ENSMUST00000143508.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d3 description:TM2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1915884] MEAAAEPLRSVRHLSRVLLFLSQCYILSGDESTEIPPYMTKCPSNGLCSRLPADCIECAT NVSCTYGKPVTFDCTVKPSVTCVDQDLKPQRNFVI >ENSMUSP00000146099.1 pep:known chromosome:GRCm38:7:65693467:65697879:1 gene:ENSMUSG00000078681.10 transcript:ENSMUST00000129166.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d3 description:TM2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1915884] MEAAAEPLRSVRHLSRVLLFLSQCYILSGDGSLNLEHSQPLAQAIKDPGPTRTFSVVPRA AENQLFSHLTESTEIPPYMTKCPSNGLCSRLPADCIECATNVSCTYGKPVTFDCTVKPSV TCVDQDLKPQRNFVINMTCRFCWQLPETDYECSNSTTCMTVACPRQRYFANCTVRDHIHC LGKE >ENSMUSP00000145545.1 pep:known chromosome:GRCm38:7:65693476:65701900:1 gene:ENSMUSG00000078681.10 transcript:ENSMUST00000206934.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tm2d3 description:TM2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1915884] MEAAAEPLRSVRHLSRVLLFLSQCYILSGDGSRPQTPEELRHQHDLQVLLAAS >ENSMUSP00000145534.1 pep:known chromosome:GRCm38:7:65693477:65701902:1 gene:ENSMUSG00000078681.10 transcript:ENSMUST00000206517.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d3 description:TM2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1915884] MEAAAEPLRSVRHLSRVLLFLSQCYILSGDGSLNLEHSQPLAQAIKDPGPTRTFSVVPRA AESTEIPPYMTKCPSNGLCSRLPADCIECATNVSCTYGKPVTFDCTVKPSVTCVDQDLKP QRNFVINMTCRFCWQLPETDYECSNSTTCMTVACPRQRYFANCTVRDHIHCLGNRTFPKL LYCNWTGGYKWSTALALSITLGGFGADRFYLGQWREGLGKLFSFGGLGIWTLIDVLLIGV GYVGPADGSLYI >ENSMUSP00000146014.1 pep:known chromosome:GRCm38:7:65693481:65695227:1 gene:ENSMUSG00000078681.10 transcript:ENSMUST00000206837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d3 description:TM2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1915884] XEAAAEPLRSVRHLSRVLLFLSQCYILSGDEHSQPLAQAIKDPGPTRTFSVVPRAAENQL FSHLTESTEIPPYMTKCPSNGLCS >ENSMUSP00000146320.1 pep:known chromosome:GRCm38:7:65693524:65697874:1 gene:ENSMUSG00000078681.10 transcript:ENSMUST00000206628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d3 description:TM2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1915884] RVLLFLSQCYILSGDEHSQPLAQAIKDPGPTRTFSVVPRAAESTEIPPYMTKCPSNGLCS RLPADCIECATNVSCTYGKPVTFDCTVKPSVTCVDQDLKPQRNFVINMTCRFCWQLPETD YECSNSTTCMTVACPRQRYFANCTVRDHIHCLG >ENSMUSP00000145994.1 pep:known chromosome:GRCm38:7:65693524:65699152:1 gene:ENSMUSG00000078681.10 transcript:ENSMUST00000206361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d3 description:TM2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1915884] XRAAFPVPVLHSVGRCTEIPPYMTKCPSNGLCSRLPADCIECATNVSCTYGKPVTFDCTV KPSVTCVDQDLKPQRNFVINMTCRFCWQLPETDYECSNSTTCMTVACPRQRYFANCTVRD HIHCLGNRTFPKLLYCNWTGGYKWST >ENSMUSP00000103119.3 pep:known chromosome:GRCm38:7:65693417:65701913:1 gene:ENSMUSG00000078681.10 transcript:ENSMUST00000107495.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d3 description:TM2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1915884] MEAAAEPLRSVRHLSRVLLFLSQCYILSGDGSLNLEHSQPLAQAIKDPGPTRTFSVVPRA AENQLFSHLTESTEIPPYMTKCPSNGLCSRLPADCIECATNVSCTYGKPVTFDCTVKPSV TCVDQDLKPQRNFVINMTCRFCWQLPETDYECSNSTTCMTVACPRQRYFANCTVRDHIHC LGNRTFPKLLYCNWTGGYKWSTALALSITLGGFGADRFYLGQWREGLGKLFSFGGLGIWT LIDVLLIGVGYVGPADGSLYI >ENSMUSP00000117870.1 pep:known chromosome:GRCm38:4:155831272:155832739:1 gene:ENSMUSG00000065990.12 transcript:ENSMUST00000139651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkaip1 description:aurora kinase A interacting protein 1 [Source:MGI Symbol;Acc:MGI:1913327] MFLARLTSRLARTVVPWAGFSRSCPGSGVIGSYAFRPLYSLQPASPSRAASLPGKRTQSE LEEFLVPRKMAISPLESWLTAQYLLPRRNVEVPVTLAPSQFYECPPRQGEEEAQQGVREA WDATPVQCKNVLKIRRRKMNHHKYRKLV >ENSMUSP00000081114.5 pep:known chromosome:GRCm38:4:155831351:155833130:1 gene:ENSMUSG00000065990.12 transcript:ENSMUST00000084097.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkaip1 description:aurora kinase A interacting protein 1 [Source:MGI Symbol;Acc:MGI:1913327] MFLARLTSRLARTVVPWAGFSRSCPGSGVIGSYAFRPLYSLQPASPSRAASLPGKRTQSE LEEFLVPRKMAISPLESWLTAQYLLPRRNVEVPVTLAPSQFYECPPRQGEEEAQQGVREA WDATPVQCKNVLKIRRRKMNHHKYRKLVKRTRFLRRKVREGRLKKKQIKFEKDLKRIWLK AGLKEAPENWQTPKIYLKNK >ENSMUSP00000101217.1 pep:known chromosome:GRCm38:4:155831659:155833061:1 gene:ENSMUSG00000065990.12 transcript:ENSMUST00000105592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkaip1 description:aurora kinase A interacting protein 1 [Source:MGI Symbol;Acc:MGI:1913327] MFLARLTSRLARTVVPWAGFSRSCPGSGVIGSYAFRPLYSLQPASPSRAASLPGKRTQSE LEEFLVPRKMAISPLESWLTAQYLLPRRNVEVPVTLAPSQFYECPPRQGEEEAQQGVREA WDATPVQCKNVLKIRRRKMNHHKYRKLVKRTRFLRRKVREGRLKKKQIKFEKDLKRIWLK AGLKEAPENWQTPKIYLKNK >ENSMUSP00000101216.1 pep:known chromosome:GRCm38:4:155831669:155833031:1 gene:ENSMUSG00000065990.12 transcript:ENSMUST00000105591.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkaip1 description:aurora kinase A interacting protein 1 [Source:MGI Symbol;Acc:MGI:1913327] MFLARLTSRLARTVVPWAGFSRSCPGSGVIGSYAFRPLYSLQPASPSRAASLPGKRTQSE LEEFLVPRKMAISPLESWLTAQYLLPRRNVEVPVTLAPSQFYECPPRQGEEEAQQGVREA WDATPVQCKNVLKIRRRKMNHHKYRKLVKRTRFLRRKVREGRLKKKQIKFEKDLKRIWLK AGLKEAPENWQTPKIYLKNK >ENSMUSP00000001867.6 pep:known chromosome:GRCm38:2:74652991:74659557:-1 gene:ENSMUSG00000001815.15 transcript:ENSMUST00000001867.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evx2 description:even-skipped homeobox 2 [Source:MGI Symbol;Acc:MGI:95462] MERIRKEMILMERGLHSPTAGKRFSSLSDSAGGAVLEALENSQHPARLSPRLPSAPLHGA LGDLPAKGKFEIDTLFNLQHPSSESTVSSEIASATESRKKPSHYSEAAAEADMSSDVEVG CSALRSPSGLGAAPLKENNAKGYTESGSVAGTTTSASGSGLGSLHGGGGGGNSGAAALGG SGSGSGADQVRRYRTAFTREQIARLEKEFYRENYVSRPRRCELAAALNLPETTIKVWFQN RRMKDKRQRLAMSWPHPADPSFYTYMMTHAAATGSLPYPFHSHVPLHYYPHVGVTAAAAA AAASGAAAAASSPFATSIRPLDTFRALSHPYSRPELLCSFRHPGLYQAPAAAAGLNSAAS AAAAAAAAAAAASSAAAAGAPPSGSSAPCSCLSCHSSQSAAAAAAAAAAALGSRGGGGSG GGGGGGAGTAGGSDFGCSAAAPRSESGFLPYSAAVLSKTAVSPPDQRDEAPLTR >ENSMUSP00000134131.1 pep:known chromosome:GRCm38:2:74655616:74659419:-1 gene:ENSMUSG00000001815.15 transcript:ENSMUST00000173623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evx2 description:even-skipped homeobox 2 [Source:MGI Symbol;Acc:MGI:95462] MMERIRKEMILMERGLHSPTAGKRFSSLSDSAGGAVLEALENSQHPARLSPRLPSAPLHG ALGDLPAKGKFEIDTLFNLQHPSSESTVSSEIASATESRKKPSHYSEAAAEADMSSDVEV GCSALRSPSGLGAAPLKENNAKGYTESGSVAGTTTSASGSGLGSLHGGGGGGNSGAAALG GSGSGSGADQVRRYRTAFTREQIARLEKEFYRENYVSRPRRCELAAALNLPETTIKVWFQ NRRMKDKRQRLAMSWPHPADPSFYTYMMTHAAATGSLPYPFHSHVPLHYYPHVGVTAAAA AAAASGAAAAASSPFATSIRPLDTFRALSHPYSRPELLCSFRHPGLYQAPAAAAGLNSAA SAAAAAAAAAAAASSAAAAGAPPSGSSAPCSCLSCHSSQSAAAAAAAAAAALGSRGGGGS GGGGGGGAGTAGGSDFGCSAAAPRSESGFLPYSAAVLSKTAVSPPDQRDEAPLTR >ENSMUSP00000086383.2 pep:known chromosome:GRCm38:6:114397936:114483296:1 gene:ENSMUSG00000053004.9 transcript:ENSMUST00000088987.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh1 description:histamine receptor H1 [Source:MGI Symbol;Acc:MGI:107619] MSLPNTSSASEDKMCEGNRTAMASPQLLPLVVVLSSISLVTVGLNLLVLYAVRSERKLHT VGNLYIVSLSVADLIVGAVVMPMNILYLIMTKWSLGRPLCLFWLSMDYVASTASIFSVFI LCIDRYRSVQQPLRYLRYRTKTRASATILGAWFLSFLWVIPILGWHHFTPLAPELREDKC ETDFYNVTWFKIMTAIINFYLPTLLMLWFYVKIYKAVRRHCQHRQLTNGSLPTFLEIKLR SEDAKEGAKKPGKESPWGVQKRPSRDPTGGLDQKSTSEDPKVTSPTVFSQEGERETVTRP CFRLDVMQTQPVPEGDARGSKANDQTLSQPKMDEQSLSTCRRISETSEDQTLVDRQSFSR TTDSDTSIEPGLGKVKARSRSNSGLDYIKVTWKRLRSHSRQYVSGLHLNRERKAAKQLGC IMAAFILCWIPYFIFFMVIAFCNSCCSEPVHMFTIWLGYINSTLNPLIYPLCNENFKKTF KKILHIRS >ENSMUSP00000125316.1 pep:known chromosome:GRCm38:6:114398086:114479866:1 gene:ENSMUSG00000053004.9 transcript:ENSMUST00000160212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh1 description:histamine receptor H1 [Source:MGI Symbol;Acc:MGI:107619] MSLPNTSSASEDKMCEGNRTAMASPQLLPLVVVLS >ENSMUSP00000124460.1 pep:known chromosome:GRCm38:6:114403666:114482470:1 gene:ENSMUSG00000053004.9 transcript:ENSMUST00000161650.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh1 description:histamine receptor H1 [Source:MGI Symbol;Acc:MGI:107619] MSLPNTSSASEDKMCEGNRTAMASPQLLPLVVVLSSISLVTVGLNLLVLYAVRSERKLHT VGNLYIVSLSVADLIVGAVVMPMNILYLIMTKWSLGRPLCLFWLSMDYVASTASIFSVFI LCIDRYRSVQQPLRYLRYRTKTRASATILGAWFLSFLWVIPILGWHHFTPLAPELREDKC ETDFYNVTWFKIMTAIINFYLPTLLMLWFYVKIYKAVRRHCQHRQLTNGSLPTFLEIKLR SEDAKEGAKKPGKESPWGVQKRPSRDPTGGLDQKSTSEDPKVTSPTVFSQEGERETVTRP CFRLDVMQTQPVPEGDARGSKANDQTLSQPKMDEQSLSTCRRISETSEDQTLVDRQSFSR TTDSDTSIEPGLGKVKARSRSNSGLDYIKVTWKRLRSHSRQYVSGLHLNRERKAAKQLGC IMAAFILCWIPYFIFFMVIAFCNSCCSEPVHMFTIWLGYINSTLNPLIYPLCNENFKKTF KKILHIRS >ENSMUSP00000124037.1 pep:known chromosome:GRCm38:6:114403825:114482404:1 gene:ENSMUSG00000053004.9 transcript:ENSMUST00000161220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh1 description:histamine receptor H1 [Source:MGI Symbol;Acc:MGI:107619] MSLPNTSSASEDKMCEGNRTAMASPQLLPLVVVLSSISLVTVGLNLLVLYAVRSERKLHT VGNLYIVSLSVADLIVGAVVMPMNILYLIMTKWSLGRPLCLFWLSMDYVASTASIFSVFI LCIDRYRSVQQPLRYLRYRTKTRASATILGAWFLSFLWVIPILGWHHFTPLAPELREDKC ETDFYNVTWFKIMTAIINFYLPTLLMLWFYVKIYKAVRRHCQHRQLTNGSLPTFLEIKLR SEDAKEGAKKPGKESPWGVQKRPSRDPTGGLDQKSTSEDPKVTSPTVFSQEGERETVTRP CFRLDVMQTQPVPEGDARGSKANDQTLSQPKMDEQSLSTCRRISETSEDQTLVDRQSFSR TTDSDTSIEPGLGKVKARSRSNSGLDYIKVTWKRLRSHSRQYVSGLHLNRERKAAKQLGC IMAAFILCWIPYFIFFMVIAFCNSCCSEPVHMFTIWLGYINSTLNPLIYPLCNENFKKTF KKILHIRS >ENSMUSP00000124320.1 pep:known chromosome:GRCm38:6:114458519:114482468:1 gene:ENSMUSG00000053004.9 transcript:ENSMUST00000160780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh1 description:histamine receptor H1 [Source:MGI Symbol;Acc:MGI:107619] MSLPNTSSASEDKMCEGNRTAMASPQLLPLVVVLSSISLVTVGLNLLVLYAVRSERKLHT VGNLYIVSLSVADLIVGAVVMPMNILYLIMTKWSLGRPLCLFWLSMDYVASTASIFSVFI LCIDRYRSVQQPLRYLRYRTKTRASATILGAWFLSFLWVIPILGWHHFTPLAPELREDKC ETDFYNVTWFKIMTAIINFYLPTLLMLWFYVKIYKAVRRHCQHRQLTNGSLPTFLEIKLR SEDAKEGAKKPGKESPWGVQKRPSRDPTGGLDQKSTSEDPKVTSPTVFSQEGERETVTRP CFRLDVMQTQPVPEGDARGSKANDQTLSQPKMDEQSLSTCRRISETSEDQTLVDRQSFSR TTDSDTSIEPGLGKVKARSRSNSGLDYIKVTWKRLRSHSRQYVSGLHLNRERKAAKQLGC IMAAFILCWIPYFIFFMVIAFCNSCCSEPVHMFTIWLGYINSTLNPLIYPLCNENFKKTF KKILHIRS >ENSMUSP00000029686.3 pep:known chromosome:GRCm38:3:89146074:89160196:-1 gene:ENSMUSG00000028051.10 transcript:ENSMUST00000029686.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcn3 description:hyperpolarization-activated, cyclic nucleotide-gated K+ 3 [Source:MGI Symbol;Acc:MGI:1298211] MEEEARPAAGAGEAATPARETPPAAPAQARAASGGVPESAPEPKRRQLGTLLQPTVNKFS LRVFGSHKAVEIEQERVKSAGAWIIHPYSDFRFYWDLIMLLLMVGNLIVLPVGITFFKEE NSPPWIVFNVLSDTFFLLDLVLNFRTGIVVEEGAEILLAPRAIRTRYLRTWFLVDLISSI PVDYIFLVVELEPRLDAEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMT YDLASAVVRIFNLIGMMLLLCHWDGCLQFLVPMLQDFPSDCWVSMNRMVNHSWGRQYSHA LFKAMSHMLCIGYGQQAPVGMPDVWLTMLSMIVGATCYAMFIGHATALIQSLDSSRRQYQ EKYKQVEQYMSFHKLPADTRQRIHEYYEHRYQGKMFDEESILGELSEPLREEIINFTCRG LVAHMPLFAHADPSFVTAVLTKLRFEVFQPGDLVVREGSVGRKMYFIQHGLLSVLARGAR DTRLTDGSYFGEICLLTRGRRTASVRADTYCRLYSLSVDHFNAVLEEFPMMRRAFETVAM DRLRRIGKKNSILQRKRSEPSPGSSGGVMEQHLVQHDRDMARGVRGLAPGTGARLSGKPV LWEPLVHAPLQAAAVTSNVAIALTHQRGPLPLSPDSPATLLARSARRSAGSPASPLVPVR AGPLLARGPWASTSRLPAPPARTLHASLSRTGRSQVSLLGPPPGGGARRLGPRGRPLSAS QPSLPQRATGDGSPRRKGSGSERLPPSGLLAKPPGTVQPPRSSVPEPVTPRGPQISANM >ENSMUSP00000125207.1 pep:known chromosome:GRCm38:6:77242697:77245517:1 gene:ENSMUSG00000060780.2 transcript:ENSMUST00000159616.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm1 description:leucine rich repeat transmembrane neuronal 1 [Source:MGI Symbol;Acc:MGI:2389173] MDFLLLGLCLHWLLRRPSGVVLCLLGACFQMLPAAPSGCPGQCRCEGRLLYCEALNLTEA PHNLSGLLGLSLRYNSLSELRAGQFTGLMQLTWLYLDHNHICSVQGDAFQKLRRVKELTL SSNQITELANTTFRPMPNLRSVDLSYNKLQALAPDLFHGLRKLTTLHMRANAIQFVPVRI FQDCRSLKFLDIGYNQLKSLARNSFAGLFKLTELHLEHNDLIKVNFAHFPRLISLHSLCL RRNKVAIVVSSLDWVWNLEKMDLSGNEIEYMEPHVFETVPYLQTLQLDSNRLTYIEPRIL NSWKSLTSITLAGNLWDCGRNVCALASWLSNFQGRYDANLQCASPEYAQGEDVLDAVYAF HLCEDGAEPTSGHLLSVAVTNRSDLTPPESSATTLVDGGEGHDGTFEPITVALPGGEHAE NAVQIHKVVTGTMALIFSFLIVVLVLYVSWKCFPASLRQLRQCFVTQRRKQKQKQTMHQM AAMSAQEYYVDYKPNHIEGALVIINEYGSCTCHQQPARECEV >ENSMUSP00000124373.1 pep:known chromosome:GRCm38:6:77242718:77245511:1 gene:ENSMUSG00000060780.2 transcript:ENSMUST00000161677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm1 description:leucine rich repeat transmembrane neuronal 1 [Source:MGI Symbol;Acc:MGI:2389173] MDFLLLGLCLHWLLRRPSGVVLCLLGACFQMLPAAPSGCPGQCRCEGRLLYCEALNLTEA PHNLSGLLGLSLRYNSLSELRAGQFTGLMQLTWLYLDHNHICSVQGDAFQKLRRVKELTL SSNQITELANTTFRPMPNLRSVDLSYNKLQALAPDLFHGLRKLTTLHMRANAIQFVPVRI FQDCRSLKFLDIGYNQLKSLARNSFAGLFKLTELHLEHNDLIKVNFAHFPRLISLHSLCL RRNKVAIVVSSLDWVWNLEKMDLSGNEIEYMEPHVFETVPYLQTLQLDSNRLTYIEPRIL NSWKSLTSITLAGNLWDCGRNVCALASWLSNFQGRYDANLQCASPEYAQGEDVLDAVYAF HLCEDGAEPTSGHLLSVAVTNRSDLTPPESSATTLVDGGEGHDGTFEPITVALPGGEHAE NAVQIHKVVTGTMALIFSFLIVVLVLYVSWKCFPASLRQLRQCFVTQRRKQKQKQTMHQM AAMSAQEYYVDYKPNHIEGALVIINEYGSCTCHQQPARECEV >ENSMUSP00000020400.4 pep:known chromosome:GRCm38:6:77242689:77257791:1 gene:ENSMUSG00000060780.2 transcript:ENSMUST00000020400.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrtm1 description:leucine rich repeat transmembrane neuronal 1 [Source:MGI Symbol;Acc:MGI:2389173] MDFLLLGLCLHWLLRRPSGVVLCLLGACFQMLPAAPSGCPGQCRCEGRLLYCEALNLTEA PHNLSGLLGLSLRYNSLSELRAGQFTGLMQLTWLYLDHNHICSVQGDAFQKLRRVKELTL SSNQITELANTTFRPMPNLRSVDLSYNKLQALAPDLFHGLRKLTTLHMRANAIQFVPVRI FQDCRSLKFLDIGYNQLKSLARNSFAGLFKLTELHLEHNDLIKVNFAHFPRLISLHSLCL RRNKVAIVVSSLDWVWNLEKMDLSGNEIEYMEPHVFETVPYLQTLQLDSNRLTYIEPRIL NSWKSLTSITLAGNLWDCGRNVCALASWLSNFQGRYDANLQCASPEYAQGEDVLDAVYAF HLCEDGAEPTSGHLLSVAVTNRSDLTPPESSATTLVDGGEGHDGTFEPITVALPGGEHAE NAVQIHKVVTGTMALIFSFLIVVLVLYVSWKCFPASLRQLRQCFVTQRRKQKQKQTMHQM AAMSAQEYYVDYKPNHIEGALVIINEYGSCTCHQQPARECEV >ENSMUSP00000124948.1 pep:known chromosome:GRCm38:6:77242922:77244112:1 gene:ENSMUSG00000060780.2 transcript:ENSMUST00000161811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm1 description:leucine rich repeat transmembrane neuronal 1 [Source:MGI Symbol;Acc:MGI:2389173] MDFLLLGLCLHWLLRRPSGVVLCLLGACFQMLPAAPSGCPGQCRCEGRLLYCEALNLTEA PHNLSGLLGLSLRYNSLSELRAGQFTGLMQLTWLYLDHNHICSVQGDAFQKLRRVKELTL SSNQITELANTTFRPMPNLRSVDLSYNKLQALAPDLFHGLRKLTTLHMRANAIQFVPVRI FQD >ENSMUSP00000009617.8 pep:known chromosome:GRCm38:3:107438303:107459552:-1 gene:ENSMUSG00000027895.9 transcript:ENSMUST00000009617.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnc4 description:potassium voltage gated channel, Shaw-related subfamily, member 4 [Source:MGI Symbol;Acc:MGI:96670] MISSVCVSSYRGRKSGNKPPSKTCLKEEMAKGEASEKIIINVGGTRHETYRSTLRTLPGT RLAWLADPDGGGRPESDGGGAGSSGSSGGGGGGGGCEFFFDRHPGVFAYVLNYYRTGKLH CPADVCGPLFEEELTFWGIDETDVEPCCWMTYRQHRDAEEALDIFESPDGGGGGAGPGDE AGDDERELALQRLGPHEGGSGPGAGSGGCRGWQPRMWALFEDPYSSRAARVVAFASLFFI LVSITTFCLETHEAFNIDRNVTEIHRVGNITSVRFRREVETEPILTYIEGVCVMWFTLEF LVRIVCCPDTLDFVKNLLNIIDFVAILPFYLEVGLSGLSSKAARDVLGFLRVVRFVRILR IFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERIGARPSDPRGND HTDFKNIPIGFWWAVVTMTTLGYGDMYPKTWSGMLVGALCALAGVLTIAMPVPVIVNNFG MYYSLAMAKQKLPKKRKKHVPRPPQLESPIYCKSEETSPRDSTYSDTSPPAREEGVVERK RADSKQNGDANAVLSDEEGAGLTQPLALAPTPEERRALRRSGTRDRNKKAAACFLLSAGD YACADGSVRKEGNVEPKACVPVSHTCAL >ENSMUSP00000035734.7 pep:known chromosome:GRCm38:2:32151082:32234537:1 gene:ENSMUSG00000039262.16 transcript:ENSMUST00000036691.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2b description:proline-rich coiled-coil 2B [Source:MGI Symbol;Acc:MGI:1923304] MSDRLGQITQGKDGKSKYSTLSLFDKYKGRSVGAVRSSVIPRHGLQSLGKVATARRMPPP ANLPSLKSENKGNDPNIVIVPKDGTGWANKQDQQDPKSSSVTASQPPESQPQPGLQKSVS NLQKPTQSISQENTNSVPGGPKSWAQLSGKPVGHEGGLRGSSRLLSFSPEEFPTLKAAGG QDKAGKEKGALDLSYGPGPSLRPQNVTSWREGGGRNIISAASLSASPTELGSRNASGADG APSLACTSDSKEPSLRPAQPSRRGASQFMGHGYQPPTYHDMLPAFMCSPQSSENQTTVER SSFPLPQLRLEPRVPFRQFQMNDQDGKERPGVARPVRPLRQLVERAPRPTIINAENLKGL DDLDTDADDGWAGLHEEVDYSEKLKFSDDEDEEDVVKDGRSKWNNWDPRRQRALSLSSAD STDAKRTQEEGKDWSGTAGGSRVIRKVPEPQPPSRKLHSWASGPDYQKPTMGSMFRQHSA EDKEDKPPPRQKFIQSEMSEAVERARKRREEEERRAREERLAACAAKLKQLDQKCRQAQK ANETPKPVEKEVPRSPGIEKVSPPENGPVVRKGSPEFPVQEAPTMFLEETPATSPTVAQS NSSSSSSSSSSIEEEVRESGSPAQEFSKYQKSLPPRFQRQQQQQQQQQQQQQQQEQLYKM QHWQPVYPPPSHPQRTFYPHHPQMLGFDPRWMMMPSYMDPRITPTRTPVDFYPSALHPSG LMKPMMPQESLSGTGCRSEDQNCVPSLQERKVTALDPAPVWSPEGYMALQNKGYSLPHPK SADTLAMGMHVRSPDEALPGGLGSHSPYALERTTHASSDGPETPSKKSEREVSLPTQRAS EQEEARKQFDLGYGNALIDNCASSPGEENEASSVVGEGFIEVLTKKQRRLLEEERRKKEQ AAQVPVKGRGLSSRIPPRFAKKQNGLCLEQDVTVPGSSLGTEIWENSSQALPVQGAASDS WRTAVTAFSSTEPGTSEQGFKSSQGDSGVDLSAESRESSATSSQRSSPYGTLKPEEISGP GLAESKADSHKDQAQKQAEHKDSEQGSAQSKEHRPGPIGNERSLKNRKGSEGAERLPGAV VPPVNGVEIHVDSVLPVPPIEFGVSPKDSDFSLPPGSVSGPVGNPVAKLQDVLASNAGLT QSIPILRRDHHMQRAIGLSPMSFPTADLTLKMESARKAWENSPSLPEQSSPGGAGSGIQP PSSVGASNGVNYSSFGGVSMPPMPVASVAPSASIPGSHLPPLYLDGHVFASQPRLVPQTI PQQQSYQQAATAQQIPISLHTSLQAQAQLGLRGGLPVSQSQEIFSSLQPFRSQVYMHPSL SPPSTMILSGGTALKPPYSAFPGIQPLEMVKPQSGSPYQPMSGNQALVYEGQLGQAAGLG TSQMLDSQLPQLTMPLPRYGSGQQPLILPQSIQLPPGQSLSVGAPRRVPPPGSQPPVLNT SRESAPMELKGFHFADSKQNVPTGGSAPSPQAYRQSEWMKNPAWEP >ENSMUSP00000064892.8 pep:known chromosome:GRCm38:2:32151148:32234522:1 gene:ENSMUSG00000039262.16 transcript:ENSMUST00000069817.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2b description:proline-rich coiled-coil 2B [Source:MGI Symbol;Acc:MGI:1923304] MSDRLGQITQGKDGKSKYSTLSLFDKYKGRSVGAVRSSVIPRHGLQSLGKVATARRMPPP ANLPSLKSENKGNDPNIVIVPKDGTGWANKQDQQDPKSSSVTASQPPESQPQPGLQKSVS NLQKPTQSISQENTNSVPGGPKSWAQLSGKPVGHEGGLRGSSRLLSFSPEEFPTLKAAGG QDKAGKEKGALDLSYGPGPSLRPQNVTSWREGGGRNIISAASLSASPTELGSRNASGADG APSLACTSDSKEPSLRPAQPSRRGASQFMGHGYQPPTYHDMLPAFMCSPQSSENQTTVER SSFPLPQLRLEPRVPFRQFQMNDQDGKERPGVARPVRPLRQLVERAPRPTIINAENLKGL DDLDTDADDGWAGLHEEVDYSEKLKFSDDEDEEDVVKDGRSKWNNWDPRRQRALSLSSAD STDAKRTQEEGKDWSGTAGGSRVIRKVPEPQPPSRKLHSWASGPDYQKPTMGSMFRQHSA EDKEDKPPPRQKFIQSEMSEAVERARKRREEEERRAREERLAACAAKLKQLDQKCRQAQK ANETPKPVEKEVPRSPGIEKVSPPENGPVVRKGSPEFPVQEAPTMFLEETPATSPTVAQS NSSSSSSSSSSIEEEVRESGSPAQEFSKYQKSLPPRFQRQQQQQQQQQQQQQQQEQLYKM QHWQPVYPPPSHPQRTFYPHHPQMLGFDPRWMMMPSYMDPRITPTRTPVDFYPSALHPSG LMKPMMPQESLSGTGCRSEDQNCVPSLQERKVTALDPAPVWSPEGYMALQNKGYSLPHPK SADTLAMGMHVRNERSYCASPGRPGGISAQRDLFEERGEEFLSAFDKKAQADFDSCISSQ RIGQELLFPPQENVQEVGAPGGCTPNLRCSPLEPDFVPAEKKPEYGSWDVGHQPKAADTA NGVELEAPRQEPSFHVSSWEKEGSPKKQPNPEPEWTPEPRSSSGQHQEQPGRTRRSGPIK KPVLKALKVEEKEKALERGRQGLREESSQRAPEKEPVGRAEEDEENNPALANASSALEDK AASRAGFAHEASKLDEDEKADKTWESRPSREASDIPPTKRNNWIFIDEEQAFGGRGQARS RGRGFREFTFRGGRPAGSSTSGLCGTGVLGSRGMYSSGQRSNRGRGLRDFPPPEDCPRAK PRRRIASETHSEGSEYEELPKRRRQRGSEHSHEGMLTERDEGALKDSWRSNRTYTEDQGG IDTRSRGSRTCGRALPPRLSNCSYGRRTFVAKEPPHWQSRSPGSSWQEYGSSDPCGPRRG TDRDYIPDSYRQSDTFGSRLFEDSRTEDKRSFFQDDHGADSENAENRPFRRRRPPRQDKP PRFRRLRQERESLGLWGPEEESHLLASQWPGRSKLCPGDKSGPGGHRSPELSYQNSSDHA NEEWETASESSDFSERRERREGLVAEPEAQGDGGLSGSSLGEKKELAKRSFSSQRPLADR QSRKLEPGGFGEKPVRPGGGEPSPRCESQQSGTPLKVKRSPDEALPGGLGSHSPYALERT THASSDGPETPSKKSEREVSLPTQRASEQEEARKQFDLGYGNALIDNCASSPGEENEASS VVGEGFIEVLTKKQRRLLEEERRKKEQAAQVPVKGRGLSSRIPPRFAKKQNGLCLEQDVT VPGSSLGTEIWENSSQALPVQGAASDSWRTAVTAFSSTEPGTSEGFKSSQGDSGVDLSAE SRESSATSSQRSSPYGTLKPEEISGPGLAESKADSHKDQAQKQAEHKDSEQGSAQSKEHR PGPIGNERSLKNRKGSEGAERLPGAVVPPVNGVEIHVDSVLPVPPIEFGVSPKDSDFSLP PGSVSGPVGNPVAKLQDVLASNAGLTQSIPILRRDHHMQRAIGLSPMSFPTADLTLKMES ARKAWENSPSLPEQSSPGGAGSGIQPPSSVGASNGVNYSSFGGVSMPPMPVASVAPSASI PGSHLPPLYLDGHVFASQPRLVPQTIPQQQSYQQAATAQQIPISLHTSLQAQAQLGLRGG LPVSQSQEIFSSLQPFRSQVYMHPSLSPPSTMILSGGTALKPPYSAFPGIQPLEMVKPQS GSPYQPMSGNQALVYEGQLGQAAGLGTSQMLDSQLPQLTMPLPRYGSGQQPLILPQSIQL PPGQSLSVGAPRRVPPPGSQPPVLNTSRESAPMELKGFHFADSKQNVPTGGSAPSPQAYR PSSASPSGKPSGSAVNMGSVQGHYVQQAKRVDEKPGLGTVKLQEASSATSQMKRTGAIKP RAVKVEGSKA >ENSMUSP00000116429.1 pep:known chromosome:GRCm38:2:32183226:32231162:1 gene:ENSMUSG00000039262.16 transcript:ENSMUST00000132459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2b description:proline-rich coiled-coil 2B [Source:MGI Symbol;Acc:MGI:1923304] XDPNIVIVPKDGTGWANKQDQQDPKSSSVTASQPPESQPQPGLQKSVSNLQKPTQSISQE NTNSVPGGPKSWAQLSGKPVGHEGGLRGSSRLLSFSPEEFPTLKAAGGQDKAGKEKGALD LSYGPGPSLRPQNVTSWREGGGRNIISAASLSASPTELGSRNASGADGAPSLACTSDSKE PSLRPAQPSRRGASQFMGHGYQPPTYHDMLPAFMCSPQSSENQTTVERSSFPLPQLRLEP RVPFRQFQMNDQDGKERPGVARPVRPLRQLVERAPRPTIINAENLKGLDDLDTDADDGWA GLHEEVDYSEKLKFSDDEDEEDVVKDGRSKWNNWDPRRQRALSLSSADSTDAKRTQEEGK DWSGTAGGSRVIRKVPEPQPPSRKLHSWASGPDYQKPTMGSMFRQHSAEDKEDKPPPRQK FIQSEMSEAVERARKRREEEERRAREERLAACAAKLKQLDQKCRQAQKANETPKPVEKEV PRSPGIEKVSPPENGPVVRKGSPEFPVQEAPTMFLEETPATSPTVAQSNSSSSSSSSSSI EEEVRESGSPAQEFSKYQKSLPPRFQRQQQQQQQQQQQQQQQEQLYKMQHWQPVYPPPSH PQRTFYPHHPQMLGFDPRWMMMPSYMDPRITPTRTPVDFYPSALHPSGLMKPMMPQESLS GTGCRSEDQNCVPSLQERKVTALDPAPVWSPEGYMALQNKGYSLPHPKSADTLAMGMHVR SPDEALPGGLGSHSPYALERTTHASSDGPETPSKKSEREVSLPTQRASEQEEARKQFDLG YGNALIDNCASSPGEENEASSVVGEGFIEVLTKKQRRLLEEERRKKEQAAQVPVKGRGLS SRIPPRFAKKQNGLCLEQDVTVPGSSLGTEIWENSSQALPVQGAASDSWRTAVTAFSSTE PGTSEQGFKSSQGDSGVDLSAESRESSATSSQRSSPYGTLKPEEISGPGLAESKADSHKD QAQKQAEHKDSEQGSAQSKEHRPGPIGNERSLKNRKGSEGAERLPGAVVPPVNGVEIHVD SVLPVPPIEFGVSPKDSDFSLPPGSVSGPVGNPVAKLQDVLASNAGLTQSIPILRRDHHM QRAIGLSPMSFPTADLTLKMESARKAWENSPSLPEQSSPGGAGSGIQPPSSVGASNGVNY SSFGGVSMPPMPVASVAPSASIPGSHLPPLYLDGHVFASQPRLVPQTIPQQQSYQQAATA QQIPISLHTSLQAQAQLGLRGGLPVSQSQEIFSSLQPFRSQVYMHPSLSPPSTMILSGGT ALKPPYSAFPGIQPLEMVKPQSGSPYQPMSGNQALVYEGQLGQAAGLGTSQMLDSQLPQL TMPLPRYGSGQQPLILPQSIQLPPGQSLSVGAPRRVPPPGSQPPVLNTSRESAPMELKGF HFADSKQNVPTGGSAPSPQAYRPSSASPSGKPSGSAVNMGSVQGHYVQQAKRVDEKPGLG TVKLQEASSATSQMKRTGAIKPRAVKVEGSKA >ENSMUSP00000114994.1 pep:known chromosome:GRCm38:2:32212198:32219317:1 gene:ENSMUSG00000039262.16 transcript:ENSMUST00000156313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2b description:proline-rich coiled-coil 2B [Source:MGI Symbol;Acc:MGI:1923304] XSPEGYMALQNKGYSLPHPKSADTLAMGMHVRSPDEALPGGLGSHSPYALERTTHASSDG PETPSKKSEREVSLPTQRASEQEEARKQFDLGYGNALIDNCASSPGEENEASSVVGEGFI EVLTKKQRRLLEEERRKKEQAAQVPVKGRGLSSRIPPRFAKKQNGLCLEQDVTVPGSSLG TEIWENSSQALPVQGAASDSWRTAVTAFSSTEPGTSEGFKSSQGDSGVDLSAESRESSAT SSQRSSPYGTL >ENSMUSP00000121664.1 pep:known chromosome:GRCm38:2:32216078:32219612:1 gene:ENSMUSG00000039262.16 transcript:ENSMUST00000128936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc2b description:proline-rich coiled-coil 2B [Source:MGI Symbol;Acc:MGI:1923304] XSHSPYALERTTHASSDGPETPNALIDNCASSPGEENEASSVVGEGFIEVLTKKQRRLLE EERRKKEQAAQVPVKGRGLSSRIPPRFAKKQNGLCLEQDVTVPGSSLGTEIWENSSQALP VQGAASDSWRTAVTAFSSTEPGTSEGFKSSQGDSGVDLSAESRESSATSSQRSSPYGTLK PEEISGPGLAESKADSHKDQAQKQAEHKDSEQGSAQSKEHRPGPIGNERSLK >ENSMUSP00000028369.5 pep:known chromosome:GRCm38:2:59484653:59505020:1 gene:ENSMUSG00000026989.5 transcript:ENSMUST00000028369.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dapl1 description:death associated protein-like 1 [Source:MGI Symbol;Acc:MGI:1923997] MANEVQVLPSPLKGRYAPAVKAGGMRISKKQEMGVLERHTKKTGLEKTSAITNVAKIQML DALTDTLDKLNHKFPATVHTAHQKPTPALEKAAPMKRAYIIQQPRKC >ENSMUSP00000116285.1 pep:known chromosome:GRCm38:19:40612397:40739794:1 gene:ENSMUSG00000048120.16 transcript:ENSMUST00000134063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd1 description:ectonucleoside triphosphate diphosphohydrolase 1 [Source:MGI Symbol;Acc:MGI:102805] MAAGRGLARFTDLEELDEVITERKTKRHKLRSYQDSKVKRFCSKNILIILGFTSILAVIA LIAVGLTQNKPLPENVKYGIVLDAGSSHTNLYIYKWPAEKENDTGVVQQLEECQVKGPGI SKYAQKTDEIGAYLAECMELSTELIPTSKHHQTPVYLGATAGMRLLRMESEQSADEVLAA VSTSLKSYPFDFQGAKIITGQEEGAYGWITINYLLGRFTQEQSWLSLISDSQKQETFGAL DLGGASTQITFVPQNSTIESPENSLQFRLYGEDYTVYTHSFLCYGKDQALWQKLAKDIQV SSGGVLKDPCFNPGYEKVVNVSELYGTPCTKRFEKKLPFDQFRIQGTGDYEQCHQSILEL FNNSHCPYSQCAFNGVFLPPLHGSFGAFSAFYFVMDFFKKVAKNSVISQEKMTEITKNFC SKSWEETKTSYPSVKEKYLSEYCFSGAYILSLLQGYNFTDSSWEQIHFMGKIKDSNAGWT LGYMLNLTNMIPAEQPLSPPLPHSTYIGLMVLFSLLLVAVAITGLFIYSKPSYFWKEAV >ENSMUSP00000107850.2 pep:known chromosome:GRCm38:19:40659770:40741602:1 gene:ENSMUSG00000048120.16 transcript:ENSMUST00000112231.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd1 description:ectonucleoside triphosphate diphosphohydrolase 1 [Source:MGI Symbol;Acc:MGI:102805] MEDIKDSKVKRFCSKNILIILGFTSILAVIALIAVGLTQNKPLPENVKYGIVLDAGSSHT NLYIYKWPAEKENDTGVVQQLEECQVKGPGISKYAQKTDEIGAYLAECMELSTELIPTSK HHQTPVYLGATAGMRLLRMESEQSADEVLAAVSTSLKSYPFDFQGAKIITGQEEGAYGWI TINYLLGRFTQEQSWLSLISDSQKQETFGALDLGGASTQITFVPQNSTIESPENSLQFRL YGEDYTVYTHSFLCYGKDQALWQKLAKDIQVSSGGVLKDPCFNPGYEKVVNVSELYGTPC TKRFEKKLPFDQFRIQGTGDYEQCHQSILELFNNSHCPYSQCAFNGVFLPPLHGSFGAFS AFYFVMDFFKKVAKNSVISQEKMTEITKNFCSKSWEETKTSYPSVKEKYLSEYCFSGAYI LSLLQGYNFTDSSWEQIHFMGKIKDSNAGWTLGYMLNLTNMIPAEQPLSPPLPHSTYIGL MVLFSLLLVAVAITGLFIYSKPSYFWKEAV >ENSMUSP00000117213.2 pep:known chromosome:GRCm38:19:40659799:40725026:1 gene:ENSMUSG00000048120.16 transcript:ENSMUST00000127828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd1 description:ectonucleoside triphosphate diphosphohydrolase 1 [Source:MGI Symbol;Acc:MGI:102805] MELSTELIPTSKHHQTPVYLGATAGMRLLRMESEQSADEVLAAVSTSLKSYPFDFQGAKI ITG >ENSMUSP00000116349.1 pep:known chromosome:GRCm38:19:40659844:40739083:1 gene:ENSMUSG00000048120.16 transcript:ENSMUST00000156598.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Entpd1 description:ectonucleoside triphosphate diphosphohydrolase 1 [Source:MGI Symbol;Acc:MGI:102805] MEDIKDSKVKRFCSKNILIILGFTSILAVIALIAVGLTQNKPLPENVKYGIVLDAGSSHT NLYIYKWPAEKENDTGVVQQLEECQVKGPGISKYAQKTDEIGAYLAECMELSTELIPTSK HHQTPVYLGATAGMRLLRMESEQSADEVLAAVSTSLKSYPFDFQGAKIITGQEEGAYGWI TINYLLGRFTQEQSWLSLISDSQKQETFGALDLGGASTQITFVPQNSTIESPENSLQFRL YGEDYTVYTHSFLCYGKDQALWQKLAKDIQAISNPGHWRLRTVPPEHP >ENSMUSP00000116895.1 pep:known chromosome:GRCm38:19:40726050:40739755:1 gene:ENSMUSG00000048120.16 transcript:ENSMUST00000127268.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd1 description:ectonucleoside triphosphate diphosphohydrolase 1 [Source:MGI Symbol;Acc:MGI:102805] XSQKQETFGALDLGGASTQITFVPQNSTIESPENSLQFRLYGEDYTVYTHSFLCYGKDQA LWQKLAKDIQVSSGGVLKDPCFNPGYEKVVNVSELYGTPCTKRFEKKLPFDQFRIQGTGD YEQCHQSILELFNNSHCPYSQCAFNGVFLPPLHGSFGAFSAFYFVMDFFKKVAKNSVISQ EKMTEITKNFCSKSWEETQTQSKPALWIGEFSKVDKYVLKPKSPCQGAYVLVQLM >ENSMUSP00000067008.4 pep:known chromosome:GRCm38:14:43957069:43963080:1 gene:ENSMUSG00000053961.6 transcript:ENSMUST00000066719.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ang5 description:angiogenin, ribonuclease A family, member 5 [Source:MGI Symbol;Acc:MGI:3528599] MVISPGSLLLVFLLSLDVIPPTLAQDNYRYKNFLNQHYDAKPTGRDYRYCESMMKKRKLT SPCKEVNTFIHDTKNNIKAICGENGRPYGVNLRISNSRFQITTCKHKGGSPKPPCQYKAF KDFRYIVIACEDGWPVHFDESFISM >ENSMUSP00000070052.6 pep:known chromosome:GRCm38:2:156927345:156992043:-1 gene:ENSMUSG00000027634.14 transcript:ENSMUST00000069600.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg3 description:N-myc downstream regulated gene 3 [Source:MGI Symbol;Acc:MGI:1352499] MDELQDVQLTEIKPLLNDKNGTRNFQDFDCQEHDIETPHGMVHVTIRGLPKGNRPVILTY HDIGLNHKSCFNTFFNFEDMQEITQHFAVCHVDAPGQQEAAPSFPTGYQYPTMDELAEML PPVLTHLSMKSIIGIGVGAGAYILSRFALNHPELVEGLVLINIDPCAKGWIDWAASKLSG FTTNIVDIILAHHFGQEELQANLDLIQTYRLHIAQDINQENLQLFLGSYNGRRDLEIERP ILGQNDNRLKTLKCSTLLVVGDNSPAVEAVVECNSRLDPINTTLLKMADCGGLPQVVQPG KLTEAFKYFLQGMGYIPYVQLSHLSSESVPSASMTRLARSRTHSTSSSIGSGESPFSRSV TSNQSDGTQESCESPDVLDRHQTMEVSC >ENSMUSP00000072144.6 pep:known chromosome:GRCm38:2:156927345:156992056:-1 gene:ENSMUSG00000027634.14 transcript:ENSMUST00000072298.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg3 description:N-myc downstream regulated gene 3 [Source:MGI Symbol;Acc:MGI:1352499] MDELQDVQLTEIKPLLNDKNGTRNFQDFDCQEHDIETPHGMVHVTIRGLPKGNRPVILTY HDIGLNHKSCFNTFFNFEDMQEITQHFAVCHVDAPGQQEAAPSFPTGYQYPTMDELAEML PPVLTHLSMKSIIGIGVGAGAYILSRFALNHPELVEGLVLINIDPCAKGWIDWAASKLSG FTTNIVDIILAHHFGQEELQANLDLIQTYRLHIAQDINQENLQLFLGSYNGRRDLEIERP ILGQNDNRLKTLKCSTLLVVGDNSPAVEAVVECNSRLDPINTTLLKMADCGGLPQVVQPG KLTEAFKYFLQGMGYIPSASMTRLARSRTHSTSSSIGSGESPFSRSVTSNQSDGTQESCE SPDVLDRHQTMEVSC >ENSMUSP00000105185.1 pep:known chromosome:GRCm38:2:156934303:156992035:-1 gene:ENSMUSG00000027634.14 transcript:ENSMUST00000109558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg3 description:N-myc downstream regulated gene 3 [Source:MGI Symbol;Acc:MGI:1352499] MDELQDVQLTEIKPLLNDKNGTRNFQDFDCQEHDIETPHGMVHVTIRGLPKGNRPVILTY HDIGLNHKSCFNTFFNFEDMQEITQHFAVCHVDAPGQQEAAPSFPTGYQYPTMDELAEML PPVLTHLSMKSIIGIGVGAGAYILSRFALNHPELVEGLVLINIDPCAKGWIDWAASKLSG FTTNIVDIILAHHFGQEELQANLDLIQTYRLHIAQDINQENLQLFLGSYNGRRDLEIERP ILGQNDNRLKTLK >ENSMUSP00000043074.7 pep:known chromosome:GRCm38:13:54758860:54766595:-1 gene:ENSMUSG00000034891.13 transcript:ENSMUST00000036825.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncb description:synuclein, beta [Source:MGI Symbol;Acc:MGI:1889011] MDVFMKGLSMAKEGVVAAAEKTKQGVTEAAEKTKEGVLYVGSKTSGVVQGVASVAEKTKE QASHLGGAVFSGAGNIAAATGLVKKEEFPTDLKPEEVAQEAAEEPLIEPLMEPEGESYED SPQEEYQEYEPEA >ENSMUSP00000116296.1 pep:known chromosome:GRCm38:13:54759381:54766097:-1 gene:ENSMUSG00000034891.13 transcript:ENSMUST00000134110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncb description:synuclein, beta [Source:MGI Symbol;Acc:MGI:1889011] MDVFMKGLSMAKEGVVAAAEKTKQGVTEAAEKTKEGVLYVGSKTSGVVQGVASVAEKTKE QASHLGGAVFSGAGNIAAATGLVKKEEFPTDLKPEEVAQEAAEEPLIEPLMEPEGESYED SPQEEYQEYEPEA >ENSMUSP00000070637.7 pep:known chromosome:GRCm38:12:78961795:78983478:-1 gene:ENSMUSG00000046157.13 transcript:ENSMUST00000070174.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem229b description:transmembrane protein 229B [Source:MGI Symbol;Acc:MGI:2444389] MASAEPLTALSRWYLYAIHGYFCEVMFTAAWEFVVNFNWKFPGVTSVWALFIYGTSILIV ERMYLRLRGRCPLLVRCVIYTLWTYLWEFTTGFILRQFNACPWDYSQFDFDFMGLITLEY AVPWFCGALIMEQFIIRNTLRLRFDKDAEPGEPASPPALANGHVKTD >ENSMUSP00000134348.1 pep:known chromosome:GRCm38:12:78961795:79007627:-1 gene:ENSMUSG00000046157.13 transcript:ENSMUST00000174697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem229b description:transmembrane protein 229B [Source:MGI Symbol;Acc:MGI:2444389] MASAEPLTALSRWYLYAIHGYFCEVMFTAAWEFVVNFNWKFPGVTSVWALFIYGTSILIV ERMYLRLRGRCPLLVRCVIYTLWTYLWEFTTGFILRQFNACPWDYSQFDFDFMGLITLEY AVPWFCGALIMEQFIIRNTLRLRFDKDAEPGEPASPPALANGHVKTD >ENSMUSP00000134376.1 pep:known chromosome:GRCm38:12:78961797:78980763:-1 gene:ENSMUSG00000046157.13 transcript:ENSMUST00000174072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem229b description:transmembrane protein 229B [Source:MGI Symbol;Acc:MGI:2444389] MASAEPLTALSRWYLYAIHGYFCEVMFTAAWEFVVNFNWKFPGVTSVWALFIYGTSILIV ERMYLRLRGRCPLLVRCVIYTLWTYLWEFTTGFILRQFNACPWDYSQFDFDFMGLITLEY AVPWFCGALIMEQFIIRNTLRLRFDKDAEPGEPASPPALANGHVKTD >ENSMUSP00000053835.6 pep:known chromosome:GRCm38:12:78961800:79007277:-1 gene:ENSMUSG00000046157.13 transcript:ENSMUST00000056660.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem229b description:transmembrane protein 229B [Source:MGI Symbol;Acc:MGI:2444389] MASAEPLTALSRWYLYAIHGYFCEVMFTAAWEFVVNFNWKFPGVTSVWALFIYGTSILIV ERMYLRLRGRCPLLVRCVIYTLWTYLWEFTTGFILRQFNACPWDYSQFDFDFMGLITLEY AVPWFCGALIMEQFIIRNTLRLRFDKDAEPGEPASPPALANGHVKTD >ENSMUSP00000134605.1 pep:known chromosome:GRCm38:12:78964849:79007340:-1 gene:ENSMUSG00000046157.13 transcript:ENSMUST00000174721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem229b description:transmembrane protein 229B [Source:MGI Symbol;Acc:MGI:2444389] MASAEPLTALSRWYLYAIHGYFCEVMFTAAWEFVVNFNWKFPGVTSVWALFIYGTSILIV ERMYLRLRGRCPLLVRCVIYTLWTYLWEFTTGFILRQFNACP >ENSMUSP00000058253.7 pep:known chromosome:GRCm38:6:120203094:120294559:-1 gene:ENSMUSG00000041372.9 transcript:ENSMUST00000057283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galnt3 description:beta-1,4-N-acetyl-galactosaminyl transferase 3 [Source:MGI Symbol;Acc:MGI:3041155] MGSPRAALLMLLLRPIKLLRRRFRLLLLLAVVSVGLWTLYLELVASAQAGGNPLNHRYGS WRELAKALASRNIPAVDPNLQFYRPQRLSLKDQEIARSRSRNSSYLKWNKPVPWLSEFRG HANLHVFEDWCGSSIQQLRNNLHFPLYPHIRTTLRKLAVSPKWTNYGLRIFGYLHPFTDG KIQFAIAADDNAEFWLSRDDQVSGLQLLASVGKTGKEWTAPGEFGKFQSQISKPVSLSAS LRYYFEVLHKQNDEGTDHVEVAWRRNDPGAKFTIIDSPFLSLFTNETILRMDEVGHIPQT AASHVGSSNTPPRDEQPPADMLRPDPRDTLFRVPLIAKSHLRHVLPDCPYKPSYLVDGLP LQRYQGLRFVHLSFVYPNDYTRLSHMETHNKCFYQESAYDQDRSSFQEYIKMDKPEKHGP EQPAGLEDGLLEESQYEDVPEEIPTSQDQNTGIQGRKQKTISTPGLGVTDYHLRKLLARS QSGPVAPLSKQNSTTAFPTRTSNIPVQRPEKSPVPSRDLSHSDQGARRNLPLIQRARPTG DRPGKTLEQSQWLNQVESFIAEQRRGDRIEPPTPSRGWRPEEDVVIAADQEGEVEEEEEG EDEEEDMSEVFEYVPMFDPVVNWGQTFSAQNLDFQALRTDWIDLNCNTSGNLLLPEQEAL EVTRVFLRKLSQRTRGRYQLQRIVNVEKRQDRLRGGRYFLELELLDGQRLVRLSEYVSTR GWRGGDHPGREDTEARNLQGLVWSPRNRHRHVLNAQDPEPKLCWPQGFSWNHRAVVHFIV PVKNQARWVQQFIRDMESLSQVTGDAHFSIIITDYSSEDMDVEMALKRSRLRSYQYLKLS GNFERSAGLQAGIDLVKDPHSIIFLCDLHIHFPAGIIDTIRKHCVEGKMAFAPMVMRLHC GATPQWPEGYWEVNGFGLLGIYKSDLDKIGGMNTKEFRDRWGGEDWELLDRILQAGLEVE RLSLRNFFHHFHSKRGMWNRRQMKMP >ENSMUSP00000138389.1 pep:known chromosome:GRCm38:7:103787313:103788275:-1 gene:ENSMUSG00000047535.10 transcript:ENSMUST00000183254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr67 description:olfactory receptor 67 [Source:MGI Symbol;Acc:MGI:1341911] MKVASSFHNYTNPQDVWYVLIGIPGLEDLHSWIAIPICSMYIVAVIGNVLLIFLIVTERS LHEPMYFFLSMLALADLLLSTATAPKMLAIFWFHSRGISFGSCVSQMFFIHFIFVAESAI LLAMAFDRYVAICYPLRYTTILTSSVIGKIGTAAVVRSFLICFPFIFLVYRLLYCGKLII PHSYCEHMGIARLACDNITVNIIYGLTMALLSTGLDILLIIISYTMILRTVFQIPSWAAR YKALNTCGSHICVILLFYTPAFFSFFAHRFGGKTVPRHIHILVANLYVVVPPMLNPIIYG VKTKQIQDRVVFLFSSVSTC >ENSMUSP00000095053.2 pep:known chromosome:GRCm38:1:182747126:182749180:-1 gene:ENSMUSG00000043429.7 transcript:ENSMUST00000060041.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc185 description:coiled-coil domain containing 185 [Source:MGI Symbol;Acc:MGI:3618292] MAGFHLFSPRSYGELGEPLLAGEQEFAAQLGWSELSLSPWAQTPGAEIETEVPWVHPKCS PTGRSRRRGYMTLPRESHSLTYVARRPSDRARKHRSGSLCLEGACGEAPTKSSRTWQQQQ PPRDQPSPRYPAARGDSSLQYIREAFSPPNAGTLLVENTHPGDRWAVPVGRRVGLWSPSS VLTDKSSVHSLELCKQSTQNRSNRDLTESLNKYDCPSASCKEKSSQHAQVLKSKLDETVI SLRDQKILALVLSRLKKAQRMRELQQQAAVAWEELKRSDQKVHLTLERERKLLLLQSQEQ WQGQREQHKPQRGHGHEQPHQGYKQQGPRRDRQARSAVRSENESEWMVQADNPEIRGLAK MDKVQAQAEHLKQCQVQSLREQERVLQSVRDLNRLQLQKRLEIAGHKREHVMMESPKKLQ ESNLSTVVNFQARKVLMDCQAKAEELLRKLSLEQSSQSSQSYQDIQKGLVKEQRHREQQA KAQTEAEQFQRRRWHAEEAEELLQVSKRVLAELAEQKSRQARSHAHKTTRDRAQHLQELN ILRERNHHILKLTAEKEEKCHIKGIKEAIKKKEQRLAQMTSGKDPIFQEYQKGPASSKIN RCFDQVAAEPQLSVHLQSGVY >ENSMUSP00000032487.7 pep:known chromosome:GRCm38:6:125215551:125222306:1 gene:ENSMUSG00000030337.16 transcript:ENSMUST00000032487.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp1 description:vesicle-associated membrane protein 1 [Source:MGI Symbol;Acc:MGI:1313276] MSAPAQPPAEGTEGAAPGGGPPGPPPNMTSNRRLQQTQAQVEEVVDIMRVNVDKVLERDQ KLSELDDRADALQAGASQFESSAAKLKRKYWWKNCKMMIMLGAICAIIVVVIVIYFFT >ENSMUSP00000098503.2 pep:known chromosome:GRCm38:6:125215581:125222163:1 gene:ENSMUSG00000030337.16 transcript:ENSMUST00000100942.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp1 description:vesicle-associated membrane protein 1 [Source:MGI Symbol;Acc:MGI:1313276] MSAPAQPPAEGTEGAAPGGGPPGPPPNMTSNRRLQQTQAQVEEVVDIMRVNVDKVLERDQ KLSELDDRADALQAGASQFESSAAKLKRKYWWKNCKMMIMLGAICAIIVVVIVSKYR >ENSMUSP00000063466.8 pep:known chromosome:GRCm38:6:125215581:125241249:1 gene:ENSMUSG00000030337.16 transcript:ENSMUST00000063588.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp1 description:vesicle-associated membrane protein 1 [Source:MGI Symbol;Acc:MGI:1313276] MSAPAQPPAEGTEGAAPGGGPPGPPPNMTSNRRLQQTQAQVEEVVDIMRVNVDKVLERDQ KLSELDDRADALQAGASQFESSAAKLKRKYWWKNCKMMIMLGAICAIIVVVIVRMKISFG YRNDCKNGPPRNWNFPRNLMLGERKGRSLCQPGGPCLSVLFTSVDHAGLKL >ENSMUSP00000144835.1 pep:known chromosome:GRCm38:6:125218574:125221652:1 gene:ENSMUSG00000030337.16 transcript:ENSMUST00000205223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp1 description:vesicle-associated membrane protein 1 [Source:MGI Symbol;Acc:MGI:1313276] XSAPAQPPAEGTEGAAPGGGPPGPPPNMTSNRRLQQTQAQVEEVVDIMRVNVDKVLERDQ KLSELDDRADALQAGASQFESSAAKLKRKYWWKNCKMMIMLGAICAIIVVVIVRQG >ENSMUSP00000144814.1 pep:known chromosome:GRCm38:10:79012513:79018283:1 gene:ENSMUSG00000063216.2 transcript:ENSMUST00000203906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1351 description:olfactory receptor 1351 [Source:MGI Symbol;Acc:MGI:3031185] MEPGNDTQLSEFFLLELSENQPQIQPLIFGLFLSMYLVTVTGNLLIIMAITADSHLHTPM YIFLSNLSFVDICFTSTTVPQMLVTIHTQSKAITYANCITQVYFLLLFSVLDIFLLTVMA YDHYVAICHPLHYMIIMNTRRCGLMILACWIIGVINSLLHTFLALRLSFCTDLEIPHFYC ELNQVVHHACSDISLNDMVIYIAAMLLVVGPLSGILYSYSKIVSSICAISSVQGKYKAFS TCASHLSVVSLFYCTLLGVYLSSAVTQNAQATALASLMYTVVTPMLNPFIYSLRNNDMKK ALKIVLGRVTRNRLTDLPS >ENSMUSP00000079555.1 pep:known chromosome:GRCm38:10:79017324:79018283:1 gene:ENSMUSG00000063216.2 transcript:ENSMUST00000080730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1351 description:olfactory receptor 1351 [Source:MGI Symbol;Acc:MGI:3031185] MEPGNDTQLSEFFLLELSENQPQIQPLIFGLFLSMYLVTVTGNLLIIMAITADSHLHTPM YIFLSNLSFVDICFTSTTVPQMLVTIHTQSKAITYANCITQVYFLLLFSVLDIFLLTVMA YDHYVAICHPLHYMIIMNTRRCGLMILACWIIGVINSLLHTFLALRLSFCTDLEIPHFYC ELNQVVHHACSDISLNDMVIYIAAMLLVVGPLSGILYSYSKIVSSICAISSVQGKYKAFS TCASHLSVVSLFYCTLLGVYLSSAVTQNAQATALASLMYTVVTPMLNPFIYSLRNNDMKK ALKIVLGRVTRNRLTDLPS >ENSMUSP00000102710.2 pep:known chromosome:GRCm38:3:96557957:96561883:1 gene:ENSMUSG00000038393.14 transcript:ENSMUST00000074519.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnip description:thioredoxin interacting protein [Source:MGI Symbol;Acc:MGI:1889549] MVMFKKIKSFEVVFNDPEKVYGSGEKVAGRVIVEVCEVTRVKAVRILACGVAKVLWMQGS QQCKQTLDYLRYEDTLLLEEQPTAGENEMVIMRPGNKYEYKFGFELPQGPLGTSFKGKYG CVDYWVKAFLDRPSQPTQEAKKNFEVMDLVDVNTPDLMAPVSAKKEKKVSCMFIPDGRVS VSARIDRKGFCEGDDISIHADFENTCSRIVVPKAAIVARHTYLANGQTKVFTQKLSSVRG NHIISGTCASWRGKSLRVQKIRPSILGCNILKVEYSLLIYVSVPGSKKVILDLPLVIGSR SGLSSRTSSMASRTSSEMSWIDLNIPDTPEAPPCYMDIIPEDHRLESPTTPLLDDVDDSQ DSPIFMYAPEFQFMPPPTYTEVDPCVLNNNNNNNNVQ >ENSMUSP00000041467.7 pep:known chromosome:GRCm38:3:96557960:96561857:1 gene:ENSMUSG00000038393.14 transcript:ENSMUST00000049093.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnip description:thioredoxin interacting protein [Source:MGI Symbol;Acc:MGI:1889549] MVMFKKIKSFEVVFNDPEKVYGSGEKVAGRVIVEVCEVTRVKAVRILACGVAKVLWMQGS QQCKQTLDYLRYEDTLLLEEQPTGENEMVIMRPGNKYEYKFGFELPQGPLGTSFKGKYGC VDYWVKAFLDRPSQPTQEAKKNFEVMDLVDVNTPDLMAPVSAKKEKKVSCMFIPDGRVSV SARIDRKGFCEGDDISIHADFENTCSRIVVPKAAIVARHTYLANGQTKVFTQKLSSVRGN HIISGTCASWRGKSLRVQKIRPSILGCNILKVEYSLLIYVSVPGSKKVILDLPLVIGSRS GLSSRTSSMASRTSSEMSWIDLNIPDTPEAPPCYMDIIPEDHRLESPTTPLLDDVDDSQD SPIFMYAPEFQFMPPPTYTEVDPCVLNNNNNNNNVQ >ENSMUSP00000080872.2 pep:known chromosome:GRCm38:10:79034723:79035802:1 gene:ENSMUSG00000060205.2 transcript:ENSMUST00000082244.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr57 description:olfactory receptor 57 [Source:MGI Symbol;Acc:MGI:1333808] METGNDTQLSEFFLLGFSENQPQIQPVIFGLFLFMYILTFTGNLLIIMAIIVDSHLHTPM YLFLSNLSFVDICFTSTTVPQMLVNIHTQSKAITYAGCIIQMYFLLLFSGLDIFLLTVMA YDRYVAICHPLHYMIIMSTRRCGLMILACWIIGVINSLLHTFLVLRLSFCTNLEIPHFFC ELNQVVHQACSDTFLNDMVIYITAMLLAVGPFSGILYSYSRIVSSICAISSVQGKYKAFS TCASHLSVVSLFYCTLLGVYLSSAVTQNSHATATASLMYTVVTPMLNPFIYSLRNKDIKT ALKILLGSVTRSRSMDSPS >ENSMUSP00000002395.7 pep:known chromosome:GRCm38:14:55618037:55625395:1 gene:ENSMUSG00000002324.7 transcript:ENSMUST00000002395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rec8 description:REC8 meiotic recombination protein [Source:MGI Symbol;Acc:MGI:1929645] MFYYPNVLQRHTGCFATIWLAATRGSRLVKREYLNVNVVKTCEEILNYVLVRVQPPVAGL PRPRFSLYLSAQLQIGVIRVYFQQCQYLVEDIQHILEHLHRAQLRIRIDMEEADLPSLLL PNCLAMMETLEDAPEPFFGKMSVDPRLPSPFDIPQIRHLLEAATPEKTRKETLPEATPDP RKPDRTLATVQSPEVITLQEAEPIRMLQIEGEQDLPEISRGDLELLIAEKDDAILLEERQ RGRLLRQRRASLPLDESREEPRALEGAGLVSALSPPAPAQVEGIQEALPGQVFPPEVQKM TGWEPGALLTEVTPPQELRLPAPPSTEKRLPSLQRPLPRRHRRRQLLFWDKETQISREKF EEQLQTGAHCWEYPVAQPPKRMLTSPAELFRTPTLSGWLPPELLGLWTHCAQVPQRMLRQ RPQLETEETVEEERAADEEERRKTEALSEIEVLREAQEPSGPLMLSSELSLEAAEDEKSR TSLIPPEWWAWSEEGQPEPPALPMLPELPEVPMEMPPRPELSSEAVLRAVALKLQANKEL DFSSLVPPLSPRKLASRVFYLLLVLSTQKILLVEQQKPYGPLLIRPGPKFP >ENSMUSP00000028320.7 pep:known chromosome:GRCm38:2:52136662:52223737:-1 gene:ENSMUSG00000026950.16 transcript:ENSMUST00000028320.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neb description:nebulin [Source:MGI Symbol;Acc:MGI:97292] MTSSVEPICIKIKILKSLKEKYKYIICLFLCCFFFFNHNFSTNTKKVTESSWATTWVSAA CKMTPSWYGLYMLLRSRVTENTRKPMRSRKNVYKSDLEWMKGIGWLTEGSVEVMRVKNAQ NLLNERLYRIRPEAYKFTSIVDTPEVILAKTNALQISEPLYRDAWDKEKANVNVPADIPV MLQSKLNAIQISNKHYQKAWEDVKMTGYDIRADAIGIQHAKASRDIASDYLYKTAYEKQK GHYIGCPSAKEDPKLVWAANVLKMQNDRLYKKAYNDHKAKISIPVDMVSINAAKEGQALA SNVDYRQYLHQWSCFPDQNDVIQARKAYDLQSDAIYKADLEWLRGIGWMPEGSPEVLRVK NAQEILCDSVYRTPVVKLKYTSIVDTPEVVLAKSNAENISIPKYREVWDKDKTSIHIMPD TPEINLARANALNVSNKLYREGWDEVKMSCDVRLDAIPIQAAKASREIASDYKYKLDHEK QKGHYVGTLTARDDNKIRWALIAGKIQNEREYRLQWAKWKTKFQSPVDMLSILHSKKCQT LVSDIDYRNYLHEWTCMPDQNDVIQAKKAYELQSDAVYKADLEWLRGIGWMPNDSVSVNH AKHAADIFSEKKYRTKIETLNFTPVDDRVDYVTAKQSSEIRDDIKYRKDWNAIKSKYTLT ETPLLHTAQEAARILDQYLYKEGWEKQKATGYILPPDAVPFVHAHHSSDVQSELKYKAEH VKQRGHYVGVPTMRDDPKLVWFEHAGQIQNDRLYKESYHKSKAKINIPADMVSVVAAKEG QNLVSDIDYRQYLHQWTCHPDQNDVIQAKIAYDLQSDNVYKADLEWLRGIGWIPLDSVDH VRVTKNQEMVNQIKYKKDALANYPNFTSVEDPPEIVLAKINSVNQSDVKYKETFNKAKGK YIFSPDTPYISHSKDMGKLYSTILYKGAWEGTKAYGYTLDERYIPIVGAKHADLVNSELK YKETYEKQKGHYLAGKEISEFPGVVHCLDFQQMRSALNYRRDYEDTKANVHIPNDMMNHV LAKRCQYILSDLEYRHYFHQWTSLPEEPNVIRARNAQEILSDNVYKDDLNWLKGIGCYVW DTPQILHAKKSYDLQSQLQYTAASKENLQNYNLVTDTPVYVTALQSGINASEVKYKENYH QIKDKYTTVLETADYDRTKHLKSLYSSNIYKEAWDKVKATSYNLPTSTLSLTHAKNQKHL ASHIKYREEYEKFKALYTLPKSVDDDPNTARCLRVGKLNIDRLYRSVYEKNKMKINIVPD MVEMVTAKDSQKKVSEIDYRLHLHEWICHPDLQVNSHVRKVTDQISDIVYKDDLTWLKGI GCYVWDTPEILHAKHAYDLRNDIKYKAHVQKTRNDYKLVTDTPVYVQAVKSGKQLSDAVY HYDYVHSVRGKVAPTTKTVDLDRALHAYKLQSENLYKKGLRFLPTGYRLPVDTPHFNHTK DTRYMSSYFKYKEAYEHIKANGYTLGPKDVPFVHVRRVNNVTSERLYRELYHKLKDKIHT TPDTPEIRQVKKTQEAVSELIYKSDFFKMQGHMISLPYTPQVLHCRYVGDITSDIKYKED LQILKGLGCFLYDTPDMVRSRHLRKLWSNYLYTDNARKMRDKYKVVLDTPEYRKVQELKT HLSELVYRASGKKQKSIFTSVPDTPDLLRAKRGQKLQSQYLYVELATKERPHHHAGNQTT ALQHAKDVKDMVSEKKYKIQYEKMKDKYTPVADTPILIRAKRAYWNASDLRYKETFQKTK GRYHTVKDALDIVYHRKVTDDISKVKYKENYMSQLGIWSSIPDRPEHFHHRAVTDAVSDV KYKEDLTWLKGIGCYAYDTPDLTLAEQNKTLYSKYKYKEVFERTKSNFKYVADCPINRHF KYATQLMNEKKYRADYEQRKDKYHLVVDEPRHLLAKTAGDQISQIKYRKKYEKSKDKFTS VVDTPEHLRTTKVNKQISDILYKLEYNKARPRGYTTIHDTPMLLHVRKVKDEVSDLKYKE VYQRNKSNCTIKPDAVHIKAAKDAYKVNTNLDYKKQYEANKAYWKWTPDRPDFIQAAKSS LQQSDFEYKLDREFLKGCKLSVTDDKDMVLALRNSLIESDLKYKEKHVKERGSCHAVPDT PQILLAKTVSNLVSENKYKDHVKKHLAQGSYTTLPETRDTVHVKAVTKHVSDTNYKKKFV KEKGKSNYSIMQEPPEVKHAMEVAKKQSDVAYKKDAKENLHYTTVADRPDIKKATQAAKQ ASEVEYRAKHRKEGSHGLSMLGRPDIEMAKKAAKLSSQVKYRENFDKEKGKTPKYNPKDS QLYKTMKDANNLASEVKYKADLKKLHKPVTDMKESLIMHHVLNTSQLASSYQYKKNYEKS KGHYHTIPDNLEQLHHREATELQSIVKYKEKYEKERGKPMLDFETPTYITAKESQQMQSG KEYRKDYEESIKGRNLTGLEVTPALLHVRHATKIASEKEYRKDLEESIRGRGLTEMEDTP DMLRAKNATQILNEKEYKRDLELEVKGRGLNAMANETPDFMRARNATDIASQIKYKQSAE MEKANFTSVVDTPEIIHAQQVKNLSSQKKYKEDAEKSMSYYETVLDTPEMQRVRENQKNF SLLQYQCDLKNSKGKITVVQDTPEILRVKENQKNFSSVLYKEDVSPGTAIGKTPEMLRVK QTQDHISSVKYKEAIGQGTPIPDLPEVKRVKETQKHISSVMYKENPGTGIPTTVTPEIER VKRNQENFSSVLYKESLGKGTPTAITPEMERVKRNQENFSSILYKENLSKGTPLPVTPEM ERAKRNQENFSSVLYSDSFRKQIQGKAAYVLDTPEMRRVRETQRHISTVKYHEDFEKHKG CFTPVVTDPITERVKKNTQDFSDINYRGIQRKVVEMEQKRNDQDQDTITGLRVWRTNPGS VFDYDPAEDNIQSRSLHMINVQAQRRSRDQSRSASALSISGGEEKSEHSEAADQRLSTYS DGGAFFSATSTAYKHVKTTQLPQQRSSSVATQQTTLSSIPSHPSTAGKIFRAIYDYIAAD ADEVSFKDGDAIVNAQAIDEGWMYGTVQRTGRTGMLPANYVEAI >ENSMUSP00000074773.3 pep:known chromosome:GRCm38:2:52136662:52335134:-1 gene:ENSMUSG00000026950.16 transcript:ENSMUST00000075301.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neb description:nebulin [Source:MGI Symbol;Acc:MGI:97292] MADEEEYEEVIEYYTEETVYEEVPGETITEVYETTTTRTSDYGQSETATPALAQPQPAKP VERKKVIRKKVDSSKFMTPYIQHSQKMQDLFSSNKYKENYEKAKGQPYAITSDTPELRRI KKVQDQLSEVKYRMDGDVAKTICHVDEKAKDIEHAKKVSQQVSKVLYKQNWEDTKDKYLL PPDAPELVQAVKNTAMFSKKLYTEDWEADKALFYPYNDSPELRRVAQAQKALSDIAYKKG LTEQQTQFTSLPDPPEVEFAKKVTNQVSKQKYKEDYEKKVKGKWSETPCFEIATARMNAD NLSSRKYQEDFENMKDQIYFMQTETPEYKMNKQAGVAASKVKYKQDYEKNKGKADYNVLP ASENPLLRQLKTAGNVLSDKLYKENYEKTKARSINYCETPKFQLDTVLQNFSSDTKYKDS YLKNILGHYVGSFEDPYHTHCMKVTAQNSDKNYKAEYEEDRGKGFFPQTITQEYEAIKKL DQCKDHAYKVHPDKTKFTQVTDSPVLVQAQVNSKQLSDLNYKAKHESEKFKCHIPPDAPA FIQHRVNAYNLSDNVYKQDWEKSKAKKFDIKVDAIPLLAAKANSKNASDVMYKKDYEKSK GKMIGALSINDDPKMLHSLKTAKNQSDRLYRENYEKTKAKSMNYCETPKYQLDTLLKNFS EAKYKDSYVKNMLGHYVGSFEDPYHTHCMKVSAQNSDKSYKAEYEEDKGKCYFPQTITQE YESIKKLDQCKDHTYKVHPDKTRFTAVTDTPVLLQAQLNTKQLSDLNYKAKHEGEKFKCS VPADAPEFIQHRVNAYNLSDNVYKHDWEKSKAKKFDIKVDAIPLLAAKANSKNASDVMYK KDYEKSKGKMIGALSINDDPKMLHSLKTAKNQSDREYRKDYEKSKTIYTAPLDMIQVTQA KKSQAIASDVDYKHVLHNYSYPPDSINVDLAKKAYALQSDVEYKADYNSWMKGCGWVPFG SLEMEKAKRASEILNEKKYRQHPDTLKFTSIEDAPITVQSKINQAQRSDIAYKAKGEEIL HKYNLPADLPQFIQAKVNAYNISENMYKADLKDLSKKGYDLRIDAIPIKAAKAARQAASD IHYKKDYEKAKGKMVGFQSLQDDPKLVHYMNVAKIQSDREYKKDYEKTKTKYNTPHDMFD VVAAKKAQDVISNTNYKHSLHHYTYLPDAMDLELSKNMMHIQSDNAYKEDYNTWMKGIGW IPIGSLDQEKVKKAGDALSEKKYRQHPDTLKFTSIVDSPVMVQAKQNTQQASDILYKAKG EDVKHKYTLNPDLPQFLQAKCNAYNISDVCYKRDWHDLIAKGNNVLADAIPITAAKSSRN IASDYKYKEAYEKAKGKHVGFRSLQDDPKLVHYMNVAKLQSDREYKKNYENTKTSYHTPG DMVSITAARMAQDVATNVNYKQPLHHYTYLPDALSLEHTRNANQIQSDNVYKDEYNSFFK GIGWIPIGSLEVEKAKKAGEALNERKYRQHPDTIKFTSVPDSMGMVLAQQNTKQLSDLNY KVEGEKMKHKYTMDPELPQFIQAKVNAINMSDAHYKADWKKTLAKGYDLRPDAIPIVAAK SSRNIASDCKYKEAYEKARGKQIGFLSLQDDPKLVHYMNVAKIQSDREYKKGYEASKTRY HTPLDMFSVTAAKKSQEVATNSNYRQPYHNYTLLPDALNVEHSRNAMQIQSDNLYKSDFT HWMKGIGWVPIDSLEVEKAKKAGEILSERKYRQHPEKLKFTYSMDTMEQALNKSNKLTMD KRLYTEKWNKDKTSIHVMPDTPDILLSRVNQITMSDKLYKAGWEEEKTKGYDLKPDAIAI KAAKASRDIASDYKYKQAYEQSKGKHIGFRSLEDDPKLVHFMQVAKIQSDREYKKGYEKS KTSFHTPVDMFSVVAAKKSQEVATNANYRNIIHTYNMLPDAMSFELAKNMMQIQSDNQYK ADYADFMKGIGWLPLGSLEAEKNKKAMEIISEKKYRQHPDTLKYSTLMDSMNMVLAQNNA KIMNKNLYKQAWEADKTKIHIMPDIPEIVLAKANAINMSDKLYKLSLEESRKKGYDLRTD AIPIKAAKASRDIASDYKYKHSYEKEKGKMVGFRSLEDDPKLVHSMQVAKMQSDREYKKN YEKTKTSYHTPADMLSVTAAKDAQANITNTNYKRLIHKYILLPDAMNIELTRNMNHIQSD NEYKQDYREWYRGLGWSPAGSLEVEKAKKATEYASDQKYRQHPSTFQFKKLTDSMDMVLA KQNAHTMNKHLYTIDWNKDKTKIHVMPDTPDILQAKQNQTLYSQKSYRLGWEEALKKGYD LPVDAISVQLAKTSRDIASDFKYKQGYRKQLGHHIGFLSVQDDPKLVLSMNVAKMQSDRE YKKDFEKWKTKYTSPVDMLGVVLAKKCQALVSDADYRNYLHQWTCLPDQNDVIQAKKVYE LQSENMYKSDLEWLRGIGWSPLGSLEAEKNKRASEIISEKKYRQPPDRNKFTSIPDAMDI VLAKTNAKNRSDRLYREAWDKDKTQIHIMPDTPDIILAKANLINTSDKLYKMGYEELKKK GYDLPVDAIPIKAAKASREIASEYKYKEGFRKQLGHHIGARNIKDDPKMMWSMHVAKIQS DREYKKDFEKWKTKYSSPVDMLGVVLAKKCQTLVSDADYRNYLHQWTCLPDQNDVIHARQ AYDLQSDNVYKADLQWLKGIGWIPSGSLEDEKNKRATQILSDHVYRQHPNKFKFSSLMDS MPMVLAKNNAITMNHHLYTEAWNKDKTTVHIMPDTPEVILAKQNKVNYSEKLYKLGLEEA KRKGYDMRLDAIPIKTAKASRDIASDFKYKEGYRKQLGHHIGARGIHDDPKMMWSMHVAK IQSDREYKKDFEKWKTKYSSPVDMLGVVLAKKCQTLVSDADYRNYLHQWTCLPDQNDVIH ARQAYDLQSDNMYKSDLQWMRGIGWVPIGSLDVEKCKRATEILSDKIYRQPPDKFKFTSV TDSLEQVLAKNNAITMNKRLYTEAWDKDKTQIHIMPDTPEIMLARMNKVNYSESLYKLAN EEAKKKGYDLRSDAIPIVAAKASRDIASDYKYKDGYRKQLGHHIGARNIKDDPKMMWSMH VAKIQSDREYKKDFEKWKTKYSSPVDMLGVVLAKKCQILVSDIDYKHPLHEWTCLPDQND VIHARQAYDLQSDNVYKSDLQWMRGIGWVPIGSLDVVKCKRAAEILSDNIYRQPPNKFKF TSVTDSLEQVLAKSNALNMNKRLYTEAWDKDKIQIHVMPDTPEIMLARQNRINYSESLYK LANEEAKKKGYDLRVDAIPIVAAKASRDIASDYKYKVGYRKQLGHHIGARNIEDDPKMMW SMHVAKIQSDREYKKDFEKWKTKYSSPVDMLGVVLAKKCQTLVSDVDYRNYLHQWTCLPD QNDVIHARQAYDLQSDNVYKSDLQWMRGIGWVPIGSLDVVKCKRAAEILSDNIYRQPPNK FKFTSVTDSLEQVLAKSNALNMNKRLYTEAWDKDKTQIHIMPDTPEIMLARQNKINYSES LYRQAMEEAKKEGYDLRSDAIPIVAAKASRDIASDYKYKEAYRKQLGHHIGARAIHDDPK MMWSLHIAKVQSDREYKKEFEKYKTRYSSPVDMLGIVLAKKCQTLVSDVDYKHPLHEWTC LPDQNDVIQARKAYDLQSDNLYKADLEWMKGIGWVPIGSLEVVKAKRATEILSDNIYRQR PDTLKFTSITDSLEQVLAKNNAITMNKRLYTEAWDNDKKTIHVMPDTPEIMLAKLNRINY SDKLYKVSLEEARRQGYDLRVDAIPIRSAKASREIASDYKYKEGYRKQLGHHIGARNIKD DPKMMWSMHVAKIQSDREYKKDFEKWKTKYSSPVDMLGVVLAKKCQILVSDIDYKHPLHE WTCLPDQNDVIQARKAYDLQSDAVYKADLEWLRGIGWVPIGSVEVEKVKRAGEILSDRKY RQPADQLKFTCITDTPEIVLAKSNAITMSKHLYTEAWDADKASIHVMPDTPEILLAKSNS ANISHKLYTKGWDESKMKDYDLREDAISIKSAKASRDIASDYKYKEAYEKQKGHHIGAQS VEDDPRILCAMHAGKIYSDREYKKEFQKWKTKFSSPVDMLGVVLAKKCQTLVSDVDYRNY LHNWTCLPDQNDIIQAKKAYELQSDAIYKADLEWLRGIGWMPEGSPEVLRVKNAQEILCD SVYRTPVVKLKYTSIVDTPEVVLAKSNAENISIPKYREVWDKDKTSIHIMPDTPEINLAR ANALNVSNKLYREGWDEVKMSCDVRLDAIPIQAAKASREIASDYKYKLDHEKQKGHYVGT LTARDDNKIRWALIAGKIQNEREYRLQWAKWKTKFQSPVDMLSILHSKKCQTLVSDIDYR NYLHEWTCMPDQNDVIQAKKAYELQSDAVYKADLEWLRGIGWMPNDSVSVNHAKHAADIF SEKKYRTKIETLNFTPVDDRVDYVTAKQSSEIRDDIKYRKDWNAIKSKYTLTETPLLHTA QEAARILDQYLYKEGWEKQKATGYILPPDAVPFVHAHHSSDVQSELKYKAEHVKQRGHYV GVPTMRDDPKLVWFEHAGQIQNDRLYKESYHKSKAKINIPADMVSVVAAKEGQNLVSDID YRQYLHQWTCHPDQNDVIQAKIAYDLQSDNVYKADLEWLRGIGWIPLDSVDHVRVTKNQE MVNQIKYKKDALANYPNFTSVEDPPEIVLAKINSVNQSDVKYKETFNKAKGKYIFSPDTP YISHSKDMGKLYSTILYKGAWEGTKAYGYTLDERYIPIVGAKHADLVNSELKYKETYEKQ KGHYLAGKEISEFPGVVHCLDFQQMRSALNYRRDYEDTKANVHIPNDMMNHVLAKRCQYI LSDLEYRHYFHQWTSLPEEPNVIRARNAQEILSDNVYKDDLNWLKGIGCYVWDTPQILHA KKSYDLQSQLQYTAASKENLQNYNLVTDTPVYVTALQSGINASEVKYKENYHQIKDKYTT VLETADYDRTKHLKSLYSSNIYKEAWDKVKATSYNLPTSTLSLTHAKNQKHLASHIKYRE EYEKFKALYTLPKSVDDDPNTARCLRVGKLNIDRLYRSVYEKNKMKINIVPDMVEMVTAK DSQKKVSEIDYRLHLHEWICHPDLQVNSHVRKVTDQISDIVYKDDLTWLKGIGCYVWDTP EILHAKHAYDLRNDIKYKAHVQKTRNDYKLVTDTPVYVQAVKSGKQLSDAVYHYDYVHSV RGKVAPTTKTVDLDRALHAYKLQSENLYKKGLRFLPTGYRLPVDTPHFNHTKDTRYMSSY FKYKEAYEHIKANGYTLGPKDVPFVHVRRVNNVTSERLYRELYHKLKDKIHTTPDTPEIR QVKKTQEAVSELIYKSDFFKMQGHMISLPYTPQVLHCRYVGDITSDIKYKEDLQILKGLG CFLYDTPDMVRSRHLRKLWSNYLYTDNARKMRDKYKVVLDTPEYRKVQELKTHLSELVYR ASGKKQKSIFTSVPDTPDLLRAKRGQKLQSQYLYVELATKERPHHHAGNQTTALQHAKDV KDMVSEKKYKIQYEKMKDKYTPVADTPILIRAKRAYWNASDLRYKETFQKTKGRYHTVKD ALDIVYHRKVTDDISKVKYKENYMSQLGIWSSIPDRPEHFHHRAVTDAVSDVKYKEDLTW LKGIGCYAYDTPDLTLAEQNKTLYSKYKYKEVFERTKSNFKYVADCPINRHFKYATQLMN EKKYRADYEQRKDKYHLVVDEPRHLLAKTAGDQISQIKYRKKYEKSKDKFTSVVDTPEHL RTTKVNKQISDILYKLEYNKARPRGYTTIHDTPMLLHVRKVKDEVSDLKYKEVYQRNKSN CTIKPDAVHIKAAKDAYKVNTNLDYKKQYEANKAYWKWTPDRPDFIQAAKSSLQQSDFEY KLDREFLKGCKLSVTDDKDMVLALRNSLIESDLKYKEKHVKERGSCHAVPDTPQILLAKT VSNLVSENKYKDHVKKHLAQGSYTTLPETRDTVHVKAVTKHVSDTNYKKKFVKEKGKSNY SIMQEPPEVKHAMEVAKKQSDVAYKKDAKENLHYTTVADRPDIKKATQAAKQASEVEYRA KHRKEGSHGLSMLGRPDIEMAKKAAKLSSQVKYRENFDKEKGKTPKYNPKDSQLYKTMKD ANNLASEVKYKADLKKLHKPVTDMKESLIMHHVLNTSQLASSYQYKKNYEKSKGHYHTIP DNLEQLHHREATELQSIVKYKEKYEKERGKPMLDFETPTYITAKESQQMQSGKEYRKDYE ESIKGRNLTGLEVTPALLHVRHATKIASEKEYRKDLEESIRGRGLTEMEDTPDMLRAKNA TQILNEKEYKRDLELEVKGRGLNAMANETPDFMRARNATDIASQIKYKQSAEMEKANFTS VVDTPEIIHAQQVKNLSSQKKYKEDAEKSMSYYETVLDTPEMQRVRENQKNFSLLQYQCD LKNSKGKITVVQDTPEILRVKENQKNFSSVLYKEDVSPGTAIGKTPEMLRVKQTQDHISS VKYKEAIGQGTPIPDLPEVKRVKETQKHISSVMYKENPGTGIPTTVTPEIERVKRNQENF SSVLYKESLGKGTPTAITPEMERVKRNQENFSSILYKENLSKGTPLPVTPEMERAKRNQE NFSSVLYKENVGKATPTPVTPEMQRVKRNQENISSVLYKENMGKATPTPFTPEMERVKRN QENFSSVLYKENMRKATPTPVTPDMERAKRNQENISSVLYSDSFRKQIQGKAAYVLDTPE MRRVRETQRHISTVKYHEDFEKHKGCFTPVVTDPITERVKKNTQDFSDINYRGIQRKVVE MEQKRNDQDQDTITGLRVWRTNPGSVFDYDPAEDNIQSRSLHMINVQAQRRSRDQSRSAS ALSISGGEEKSEHSEAADQRLSTYSDGGAFFSATSTAYKHVKTTQLPQQRSSSVATQQTT LSSIPSHPSTAGKIFRAIYDYIAADADEVSFKDGDAIVNAQAIDEGWMYGTVQRTGRTGM LPANYVEAI >ENSMUSP00000118735.1 pep:known chromosome:GRCm38:2:52137261:52176614:-1 gene:ENSMUSG00000026950.16 transcript:ENSMUST00000135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neb description:nebulin [Source:MGI Symbol;Acc:MGI:97292] XKAVTKHVSDTNYKKKFVKEKGKSNYSIMQEPPEVKHAMEVAKKQSDVAYKKDAKENLHY TTVADRPDIKKATQAAKQASEVEYRAKHRKEGSHGLSMLGRPDIEMAKKAAKLSSQVKYR ENFDKEKGKTPKYNPKDSQLYKTMKDANNLASEVKYKADLKKLHKPVTDMKESLIMHHVL NTSQLASSYQYKKNYEKSKGHYHTIPDNLEQLHHREATELQSIVKYKEKYEKERGKPMLD FETPTYITAKESQQMQSGKEYRKDLEESIRGRGLTEMEDTPDMLRAKNATQILNEKEYKR DLELEVKGRGLNAMANETPDFMRARNATDIASQIKYKQSAEMEKANFTSVVDTPEIIHAQ QVKNLSSQKKYKEDAEKSMSYYETVLDTPEMQRVRENQKNFSLVLYKEDVSPGTAIGKTP EMLRVKQTQDHISSVKYKEAIGQGTPIPDLPEVKRVKETQKHISSVLYKENVGKATPTPV TPEMQRVKRNQENISSVLYSDSFRKQIQGKAAYVLDTPEMRRVRETQRHISTVKYHEDFE KHKGCFTPVVTDPITERVKKNTQDFSDINYRGIQRKVVEMEQKRNDQDQDTITGLRVWRT NPGSVFDYDPAEDNIQSRSLHMINVQAQRRSRDQSRSASALSISGGEEKSEHSEAADQRL STYSDGGAFFSATSTAYKHVKTTQLPQQRSSSVATQQTTLSSIPSHPSTAGKIFRAIYDY IAADADEVSFKDGDAIVNAQAIDEGWMYGTVQRTGRTGMLPANYVEAI >ENSMUSP00000133401.1 pep:known chromosome:GRCm38:2:52178046:52192520:-1 gene:ENSMUSG00000026950.16 transcript:ENSMUST00000148356.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neb description:nebulin [Source:MGI Symbol;Acc:MGI:97292] QTTALQHAKDVKDMVSEKKYKIQYEKMKDKYTPVADTPILIRAKRAYWNASDLRYKETFQ KTKGRYHTVKDALDIVYHRKVTDDISKVKYKENYMSQLGIWSSIPDRPEHFHHRAVTDAV SDVKYKEDLTWLKGIGCYAYDTPDLTLAEQNKTLYSKYKYKEVFERTKSNFKYVADCPIN RHFKYATQLMNERKYKSSAKMLLKQGCNEILRPDMLTALYNTHMWSQIKYRKKYEKSKDK FTSVVDTPEHLRTTKVNKQISDILYKLEYNKARPRGYTTIHDTPMLLHVRKVKDEVSDLK YKEVYQRNKSNCTIKPDAVHIKAAKDAYKVNTNLDYKKQYEA >ENSMUSP00000121411.1 pep:known chromosome:GRCm38:2:52221782:52256156:-1 gene:ENSMUSG00000026950.16 transcript:ENSMUST00000139672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neb description:nebulin [Source:MGI Symbol;Acc:MGI:97292] XFTSVTDSLEQVLAKNNAITMNKRLYTEAWDKDKTQIHIMPDTPEIMLARMNKVNYSESL YKLANEEAKKKGYDLRSDAIPIVAAKASRDIASDYKYKDGYRKQLGHHIGARNIKDDPKM MWSMHVAKIQSDREYKKDFEKWKTKYSSPVDMLGVVLAKKCQILVSDIDYKHPLHEWTCL PDQNDVIHARQAYDLQSDNVYKSDLQWMRGIGWVPIGSLDVVKCKRAAEILSDNIYRQPP NKFKFTSVTDSLEQVLAKSNALNMNKRLYTEAWDKDKTQIHIMPDTPEIMLARQNKINYS ESLYRQAMEEAKKEGYDLRSDAIPIVAAKASRDIASDYKYKEAYRKQLGHHIGARAIHDD PKMMWSLHIAKVQSDREYKKEFEKYKTRYSSPVDMLGIVLAKKCQTLVSDVDYKHPLHEW TCLPDQNDVIQARKAYDLQSDNLYKADLEWMKGIGWVPIGSLEVVKAKRATEILSDNIYR QRPDTLKFTSITDSLEQVLAKNNAITMNKRLYTEAWDNDKKTIHVMPDTPEIMLAKLNRI NYSDKLYKVSLEEARRQGYDLRVDAIPIRSAKASREIASDYKYKEGYRKQLGHHIGARNI KDDPKMMWSMHVAKIQSDREYKKDFEKWKTKYSSPVDMLGVVLAKKCQILVSDIDYKHPL HEWTCLPDQNDVIQARKAYDLQSDAVYKADLEWLRGIGWVPIGSVEVEKVKRAGEILSDR KYRQPADQLKFTCITDTPEIVLAKSNAITMSKHLYTEAWDADKASIHVMPDTPEILLAKS NSANISHKLYTKGWDESKMKDYDLREDAISIKSAKASRDIASDYKYKEAYEKQKGHHIGA QSVEDDPRILCAMHAGKIYSDREYKKEFQKWKTKFSSPVDMLGVVLAKKCQTLVSDVDYR NYLHNWTCLPDQNDIIQAKKAYELQSDSVYKADLEWLRGIGWMPEGSIEMTRVKGAQDLV NERLYRTRPEALKFTSIVDTPEVVLAKANSLQMSERLYQEAWNKDKTNISLPLDTPAMLQ AQINALQISNKLYQKDWDEAKQKGYDLRADAIEIKHAKASREIASEYKYKEGYRKQLGHH VGFRSLQDDPKLVWSIHAAKIQSDREYKKAYEKSKGIYNTPLDMMSIVQAKKCQVLVSDV DYRNYLHQWTCLPDQNDVIQAKKAYELQSDNVYKSDLEWMKGIGWLTEGSV >ENSMUSP00000047763.5 pep:known chromosome:GRCm38:2:52136647:52338798:-1 gene:ENSMUSG00000026950.16 transcript:ENSMUST00000036934.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neb description:nebulin [Source:MGI Symbol;Acc:MGI:97292] MADEEEYEEVIEYYTEETVYEEVPGETITEVYETTTTRTSDYGQSETATPALAQPQPAKP VERKKVIRKKVDSSKFMTPYIQHSQKMQDLFSSNKYKENYEKAKGQPYAITSDTPELRRI KKVQDQLSEVKYRMDGDVAKTICHVDEKAKDIEHAKKVSQQVSKVLYKQNWEDTKDKYLL PPDAPELVQAVKNTAMFSKKLYTEDWEADKALFYPYNDSPELRRVAQAQKALSDIAYKKG LTEQQTQFTSLPDPPEVEFAKKVTNQVSKQKYKEDYEKKVKGKWSETPCFEIATARMNAD NLSSRKYQEDFENMKDQIYFMQTETPEYKMNKQAGVAASKVKYKQDYEKNKGKADYNVLP ASENPLLRQLKTAGNVLSDKLYKENYEKTKARSINYCETPKFQLDTVLQNFSSDTKYKDS YLKNILGHYVGSFEDPYHTHCMKVTAQNSDKNYKAEYEEDRGKGFFPQTITQEYEAIKKL DQCKDHAYKVHPDKTKFTQVTDSPVLVQAQVNSKQLSDLNYKAKHESEKFKCHIPPDAPA FIQHRVNAYNLSDNVYKQDWEKSKAKKFDIKVDAIPLLAAKANSKNASDVMYKKDYEKSK GKMIGALSINDDPKMLHSLKTAKNQSDRLYRENYEKTKAKSMNYCETPKYQLDTLLKNFS EAKYKDSYVKNMLGHYVGSFEDPYHTHCMKVSAQNSDKSYKAEYEEDKGKCYFPQTITQE YESIKKLDQCKDHTYKVHPDKTRFTAVTDTPVLLQAQLNTKQLSDLNYKAKHEGEKFKCS VPADAPEFIQHRVNAYNLSDNVYKHDWEKSKAKKFDIKVDAIPLLAAKANSKNASDVMYK KDYEKSKGKMIGALSINDDPKMLHSLKTAKNQSDREYRKDYEKSKTIYTAPLDMIQVTQA KKSQAIASDVDYKHVLHNYSYPPDSINVDLAKKAYALQSDVEYKADYNSWMKGCGWVPFG SLEMEKAKRASEILNEKKYRQHPDTLKFTSIEDAPITVQSKINQAQRSDIAYKAKGEEIL HKYNLPADLPQFIQAKVNAYNISENMYKADLKDLSKKGYDLRIDAIPIKAAKAARQAASD IHYKKDYEKAKGKMVGFQSLQDDPKLVHYMNVAKIQSDREYKKDYEKTKTKYNTPHDMFD VVAAKKAQDVISNTNYKHSLHHYTYLPDAMDLELSKNMMHIQSDNAYKEDYNTWMKGIGW IPIGSLDQEKVKKAGDALSEKKYRQHPDTLKFTSIVDSPVMVQAKQNTQQASDILYKAKG EDVKHKYTLNPDLPQFLQAKCNAYNISDVCYKRDWHDLIAKGNNVLADAIPITAAKSSRN IASDYKYKEAYEKAKGKHVGFRSLQDDPKLVHYMNVAKLQSDREYKKNYENTKTSYHTPG DMVSITAARMAQDVATNVNYKQPLHHYTYLPDALSLEHTRNANQIQSDNVYKDEYNSFFK GIGWIPIGSLEVEKAKKAGEALNERKYRQHPDTIKFTSVPDSMGMVLAQQNTKQLSDLNY KVEGEKMKHKYTMDPELPQFIQAKVNAINMSDAHYKADWKKTLAKGYDLRPDAIPIVAAK SSRNIASDCKYKEAYEKARGKQIGFLSLQDDPKLVHYMNVAKIQSDREYKKGYEASKTRY HTPLDMFSVTAAKKSQEVATNSNYRQPYHNYTLLPDALNVEHSRNAMQIQSDNLYKSDFT HWMKGIGWVPIDSLEVEKAKKAGEILSERKYRQHPEKLKFTYSMDTMEQALNKSNKLTMD KRLYTEKWNKDKTSIHVMPDTPDILLSRVNQITMSDKLYKAGWEEEKTKGYDLKPDAIAI KAAKASRDIASDYKYKQAYEQSKGKHIGFRSLEDDPKLVHFMQVAKIQSDREYKKGYEKS KTSFHTPVDMFSVVAAKKSQEVATNANYRNIIHTYNMLPDAMSFELAKNMMQIQSDNQYK ADYADFMKGIGWLPLGSLEAEKNKKAMEIISEKKYRQHPDTLKYSTLMDSMNMVLAQNNA KIMNKNLYKQAWEADKTKIHIMPDIPEIVLAKANAINMSDKLYKLSLEESRKKGYDLRTD AIPIKAAKASRDIASDYKYKHSYEKEKGKMVGFRSLEDDPKLVHSMQVAKMQSDREYKKN YEKTKTSYHTPADMLSVTAAKDAQANITNTNYKRLIHKYILLPDAMNIELTRNMNHIQSD NEYKQDYREWYRGLGWSPAGSLEVEKAKKATEYASDQKYRQHPSTFQFKKLTDSMDMVLA KQNAHTMNKHLYTIDWNKDKTKIHVMPDTPDILQAKQNQTLYSQKSYRLGWEEALKKGYD LPVDAISVQLAKTSRDIASDFKYKQGYRKQLGHHIGFLSVQDDPKLVLSMNVAKMQSDRE YKKDFEKWKTKYTSPVDMLGVVLAKKCQALVSDADYRNYLHQWTCLPDQNDVIQAKKVYE LQSENMYKSDLEWLRGIGWSPLGSLEAEKNKRASEIISEKKYRQPPDRNKFTSIPDAMDI VLAKTNAKNRSDRLYREAWDKDKTQIHIMPDTPDIILAKANLINTSDKLYKMGYEELKKK GYDLPVDAIPIKAAKASREIASEYKYKEGFRKQLGHHIGARNIKDDPKMMWSMHVAKIQS DREYKKDFEKWKTKYSSPVDMLGVVLAKKCQTLVSDADYRNYLHQWTCLPDQNDVIHARQ AYDLQSDNVYKADLQWLKGIGWIPSGSLEDEKNKRATQILSDHVYRQHPNKFKFSSLMDS MPMVLAKNNAITMNHHLYTEAWNKDKTTVHIMPDTPEVILAKQNKVNYSEKLYKLGLEEA KRKGYDMRLDAIPIKTAKASRDIASDFKYKEGYRKQLGHHIGARGIHDDPKMMWSMHVAK IQSDREYKKDFEKWKTKYSSPVDMLGVVLAKKCQTLVSDADYRNYLHQWTCLPDQNDVIH ARQAYDLQSDNMYKSDLQWMRGIGWVPIGSLDVEKCKRATEILSDKIYRQPPDKFKFTSV TDSLEQVLAKNNAITMNKRLYTEAWDKDKTQIHIMPDTPEIMLARMNKVNYSESLYKLAN EEAKKKGYDLRSDAIPIVAAKASRDIASDYKYKDGYRKQLGHHIGARNIKDDPKMMWSMH VAKIQSDREYKKDFEKWKTKYSSPVDMLGVVLAKKCQILVSDIDYKHPLHEWTCLPDQND VIHARQAYDLQSDNVYKSDLQWMRGIGWVPIGSLDVVKCKRAAEILSDNIYRQPPNKFKF TSVTDSLEQVLAKSNALNMNKRLYTEAWDKDKIQIHVMPDTPEIMLARQNRINYSESLYK LANEEAKKKGYDLRVDAIPIVAAKASRDIASDYKYKVGYRKQLGHHIGARNIEDDPKMMW SMHVAKIQSDREYKKDFEKWKTKYSSPVDMLGVVLAKKCQTLVSDVDYRNYLHQWTCLPD QNDVIHARQAYDLQSDNVYKSDLQWMRGIGWVPIGSLDVVKCKRAAEILSDNIYRQPPNK FKFTSVTDSLEQVLAKSNALNMNKRLYTEAWDKDKTQIHIMPDTPEIMLARQNKINYSES LYRQAMEEAKKEGYDLRSDAIPIVAAKASRDIASDYKYKEAYRKQLGHHIGARAIHDDPK MMWSLHIAKVQSDREYKKEFEKYKTRYSSPVDMLGIVLAKKCQTLVSDVDYKHPLHEWTC LPDQNDVIQARKAYDLQSDNLYKADLEWMKGIGWVPIGSLEVVKAKRATEILSDNIYRQR PDTLKFTSITDSLEQVLAKNNAITMNKRLYTEAWDNDKKTIHVMPDTPEIMLAKLNRINY SDKLYKVSLEEARRQGYDLRVDAIPIRSAKASREIASDYKYKEGYRKQLGHHIGARNIKD DPKMMWSMHVAKIQSDREYKKDFEKWKTKYSSPVDMLGVVLAKKCQILVSDIDYKHPLHE WTCLPDQNDVIQARKAYDLQSDAVYKADLEWLRGIGWVPIGSVEVEKVKRAGEILSDRKY RQPADQLKFTCITDTPEIVLAKSNAITMSKHLYTEAWDADKASIHVMPDTPEILLAKSNS ANISHKLYTKGWDESKMKDYDLREDAISIKSAKASRDIASDYKYKEAYEKQKGHHIGAQS VEDDPRILCAMHAGKIYSDREYKKEFQKWKTKFSSPVDMLGVVLAKKCQTLVSDVDYRNY LHNWTCLPDQNDIIQAKKAYELQSDSVYKADLEWLRGIGWMPEGSIEMTRVKGAQDLVNE RLYRTRPEALKFTSIVDTPEVVLAKANSLQMSERLYQEAWNKDKTNISLPLDTPAMLQAQ INALQISNKLYQKDWDEAKQKGYDLRADAIEIKHAKASREIASEYKYKEGYRKQLGHHVG FRSLQDDPKLVWSIHAAKIQSDREYKKAYEKSKGIYNTPLDMMSIVQAKKCQVLVSDVDY RNYLHQWTCLPDQNDVIQAKKAYELQSDAIYKADLEWLRGIGWMPEGSPEVLRVKNAQEI LCDSVYRTPVVKLKYTSIVDTPEVVLAKSNAENISIPKYREVWDKDKTSIHIMPDTPEIN LARANALNVSNKLYREGWDEVKMSCDVRLDAIPIQAAKASREIASDYKYKLDHEKQKGHY VGTLTARDDNKIRWALIAGKIQNEREYRLQWAKWKTKFQSPVDMLSILHSKKCQTLVSDI DYRNYLHEWTCMPDQNDVIQAKKAYELQSDAVYKADLEWLRGIGWMPNDSVSVNHAKHAA DIFSEKKYRTKIETLNFTPVDDRVDYVTAKQSSEIRDDIKYRKDWNAIKSKYTLTETPLL HTAQEAARILDQYLYKEGWEKQKATGYILPPDAVPFVHAHHSSDVQSELKYKAEHVKQRG HYVGVPTMRDDPKLVWFEHAGQIQNDRLYKESYHKSKAKINIPADMVSVVAAKEGQNLVS DIDYRQYLHQWTCHPDQNDVIQAKIAYDLQSDNVYKADLEWLRGIGWIPLDSVDHVRVTK NQEMVNQIKYKKDALANYPNFTSVEDPPEIVLAKINSVNQSDVKYKETFNKAKGKYIFSP DTPYISHSKDMGKLYSTILYKGAWEGTKAYGYTLDERYIPIVGAKHADLVNSELKYKETY EKQKGHYLAGKEISEFPGVVHCLDFQQMRSALNYRRDYEDTKANVHIPNDMMNHVLAKRC QYILSDLEYRHYFHQWTSLPEEPNVIRARNAQEILSDNVYKDDLNWLKGIGCYVWDTPQI LHAKKSYDLQSQLQYTAASKENLQNYNLVTDTPVYVTALQSGINASEVKYKENYHQIKDK YTTVLETADYDRTKHLKSLYSSNIYKEAWDKVKATSYNLPTSTLSLTHAKNQKHLASHIK YREEYEKFKALYTLPKSVDDDPNTARCLRVGKLNIDRLYRSVYEKNKMKINIVPDMVEMV TAKDSQKKVSEIDYRLHLHEWICHPDLQVNSHVRKVTDQISDIVYKDDLTWLKGIGCYVW DTPEILHAKHAYDLRNDIKYKAHVQKTRNDYKLVTDTPVYVQAVKSGKQLSDAVYHYDYV HSVRGKVAPTTKTVDLDRALHAYKLQSENLYKKGLRFLPTGYRLPVDTPHFNHTKDTRYM SSYFKYKEAYEHIKANGYTLGPKDVPFVHVRRVNNVTSERLYRELYHKLKDKIHTTPDTP EIRQVKKTQEAVSELIYKSDFFKMQGHMISLPYTPQVLHCRYVGDITSDIKYKEDLQILK GLGCFLYDTPDMVRSRHLRKLWSNYLYTDNARKMRDKYKVVLDTPEYRKVQELKTHLSEL VYRASGKKQKSIFTSVPDTPDLLRAKRGQKLQSQYLYVELATKERPHHHAGNQTTALQHA KDVKDMVSEKKYKIQYEKMKDKYTPVADTPILIRAKRAYWNASDLRYKETFQKTKGRYHT VKDALDIVYHRKVTDDISKVKYKENYMSQLGIWSSIPDRPEHFHHRAVTDAVSDVKYKED LTWLKGIGCYAYDTPDLTLAEQNKTLYSKYKYKEVFERTKSNFKYVADCPINRHFKYATQ LMNEKKYRADYEQRKDKYHLVVDEPRHLLAKTAGDQISQIKYRKKYEKSKDKFTSVVDTP EHLRTTKVNKQISDILYKLEYNKARPRGYTTIHDTPMLLHVRKVKDEVSDLKYKEVYQRN KSNCTIKPDAVHIKAAKDAYKVNTNLDYKKQYEANKAYWKWTPDRPDFIQAAKSSLQQSD FEYKLDREFLKGCKLSVTDDKDMVLALRNSLIESDLKYKEKHVKERGSCHAVPDTPQILL AKTVSNLVSENKYKDHVKKHLAQGSYTTLPETRDTVHVKAVTKHVSDTNYKKKFVKEKGK SNYSIMQEPPEVKHAMEVAKKQSDVAYKKDAKENLHYTTVADRPDIKKATQAAKQASEVE YRAKHRKEGSHGLSMLGRPDIEMAKKAAKLSSQVKYRENFDKEKGKTPKYNPKDSQLYKT MKDANNLASEVKYKADLKKLHKPVTDMKESLIMHHVLNTSQLASSYQYKKNYEKSKGHYH TIPDNLEQLHHREATELQSIVKYKEKYEKERGKPMLDFETPTYITAKESQQMQSGKEYRK DYEESIKGRNLTGLEVTPALLHVRHATKIASEKEYRKDLEESIRGRGLTEMEDTPDMLRA KNATQILNEKEYKRDLELEVKGRGLNAMANETPDFMRARNATDIASQIKYKQSAEMEKAN FTSVVDTPEIIHAQQVKNLSSQKKYKEDAEKSMSYYETVLDTPEMQRVRENQKNFSLLQY QCDLKNSKGKITVVQDTPEILRVKENQKNFSSVLYKEDVSPGTAIGKTPEMLRVKQTQDH ISSVKYKEAIGQGTPIPDLPEVKRVKETQKHISSVMYKENPGTGIPTTVTPEIERVKRNQ ENFSSVLYKESLGKGTPTAITPEMERVKRNQENFSSVLYKENMGKGTPLPVTPEMERVKH NQENISSVLYKENVGKATPTPVTPEMQRVKRNQENISSVLYKENMGKATPTPFTPEMERV KRNQENFSSVLYKENMRKATPTPVTPDMERAKRNQENISSVLYSDSFRKQIQGKAAYVLD TPEMRRVRETQRHISTVKYHEDFEKHKGCFTPVVTDPITERVKKNTQDFSDINYRGIQRK VVEMEQKRNDQDQDTITGLRVWRTNPGSVFDYDPAEDNIQSRSLHMINVQAQRRSRDQSR SASALSISGGEEKSEHSEAADQRLSTYSDGGAFFSATSTAYKHVKTTQLPQQRSSSVATQ QTTLSSIPSHPSTAGKIFRAIYDYIAADADEVSFKDGDAIVNAQAIDEGWMYGTVQRTGR TGMLPANYVEAI >ENSMUSP00000028593.4 pep:known chromosome:GRCm38:2:104830741:104849876:-1 gene:ENSMUSG00000027171.10 transcript:ENSMUST00000028593.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrg4 description:proline rich Gla (G-carboxyglutamic acid) 4 (transmembrane) [Source:MGI Symbol;Acc:MGI:2442211] MFPLLIVLSQLPRLTLAVPHCIRSLKDSEHAPEEVFASKEAANIFMHRRLLNNRFDLELF TPGDLERECYEEFCSYEEAREILGDDENTIKFWQTYSIKGPTTGSDVNKEKIDVMSLLTG LIVAGVFLVIFGLVGYYVCLTKCKRRPYPSSSANYTRTARYTPSIVFRSPEEAVLSPSTS SEDAGLPSYEQAVALTRKHSVSPPPPYPGPARGFRVFKKSMSLPSH >ENSMUSP00000121788.1 pep:known chromosome:GRCm38:2:104839335:104849493:-1 gene:ENSMUSG00000027171.10 transcript:ENSMUST00000126824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrg4 description:proline rich Gla (G-carboxyglutamic acid) 4 (transmembrane) [Source:MGI Symbol;Acc:MGI:2442211] MFPLLIVLSQLPRLTLAVPHCIRSLKDSEHAPEEVFASKEAANIFMHRRLLNNRFDLELF TPGDLERECYEEFCSYEEAREILGDDENTIKFWQTYSIKGPTTGSDVNKEKIDVMSLLTG LIVAGVFLVIFGLVGYYVCLTKCKR >ENSMUSP00000122403.1 pep:known chromosome:GRCm38:10:82748701:82764144:-1 gene:ENSMUSG00000020248.18 transcript:ENSMUST00000130911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfyb description:nuclear transcription factor-Y beta [Source:MGI Symbol;Acc:MGI:97317] MTMDGDSSTTDASQLGISADYIGGSHYVIQPHDDTEDSMNDHEDTNGSKESFREQDIYLP IANVARIMKNAIPQTGKIAKDAKECVQECVSEFISFITSEASERCHQEKRKTINGEDILF AMSTLGFDSYVEPLKLYLQKFREAMKGEKGIGGAVSATDGLSEELTEEAFTNQLPAGLIT ADGQQQNVMVYTTSYQQISGVQQIQFS >ENSMUSP00000116039.1 pep:known chromosome:GRCm38:10:82752518:82763646:-1 gene:ENSMUSG00000020248.18 transcript:ENSMUST00000142523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfyb description:nuclear transcription factor-Y beta [Source:MGI Symbol;Acc:MGI:97317] MTMDGDSSTTDASQLGISADYIGGSHYVIQPHDDTEDSMNDHEDTNGSKESFREQDIYLP IANVARIMKNAIPQTGKIAKDAKECVQECVSEFISFITSEASERCH >ENSMUSP00000105953.1 pep:known chromosome:GRCm38:2:128862981:128897034:1 gene:ENSMUSG00000027386.9 transcript:ENSMUST00000110324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbln7 description:fibulin 7 [Source:MGI Symbol;Acc:MGI:1917620] MGPGSQRALFLLLLLLASPGARAFQSCLNKQQLLTTIRQLQQLLKGQETRFTEGIRNMKS RLAALQNTVNKMTPDAPPVSCPALEAPPDGKKFGSKYLVDHEVYFTCNPGFQLVGPSSVV CLANGSWTGEQPRCRDISECSSQPCHNGGTCVEGINHYRCICPPGKTGNRCQHQTQAAAP DGGEAGDPAFSRAPRCAQVEREQHCSCEAGFHLSSTTGGHSVCQDVNECEIYGQKGRPRL CMHACVNTPGSYRCTCPSGYRILADGKSCEDVDECAGPQHMCPRGTTCINTGGGFQCVNP ECPEGSGNISYVKTSPFQCERNPCPMDSRPCRHLPKTISFHYLSLPSKLKTPITLFRMAT ASIPGHPGPNSLRFGIVGGNSRGHFVMQRSDRQTGELILTQTLEGPQTLEVDVDMSEYLE RSFQANHVSKVTIFVSRYDF >ENSMUSP00000028864.2 pep:known chromosome:GRCm38:2:128863932:128897030:1 gene:ENSMUSG00000027386.9 transcript:ENSMUST00000028864.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbln7 description:fibulin 7 [Source:MGI Symbol;Acc:MGI:1917620] MGPGSQRALFLLLLLLASPGARAFQSCLNKQQLLTTIRQLQQLLKGQETRFTEGIRNMKS RLAALQNTVNKMTPDAPPVSCPALEAPPDGKKFGSKYLVDHEVYFTCNPGFQLVGPSSVV CLANGSWTGEQPRCRDISECSSQPCHNGGTCVEGINHYRCICPPGKTGNRCQHQTQAAAP DGGEAGDPAFSRAPRCAQVEREQHCSCEAGFHLSSTTGGHSVCQDVNECEIYGQKGRPRL CMHACVNTPGSYRCTCPSGYRILADGKSCEDVDECAGPQHMCPRGTTCINTGGGFQCVNP ECPEGSGNISYVKTSPFQCERNPCPMDSRPCRHLPKTISFHYLSLPSKLKTPITLFRMAT ASIPGHPGPNSLRFGIVGGNSRGHFVMQRSDRQTGELILTQTLEGPQTLEVDVDMSEYLE RSFQANHVSKVTIFVSRYDF >ENSMUSP00000144764.1 pep:known chromosome:GRCm38:6:48872896:48905876:1 gene:ENSMUSG00000029811.14 transcript:ENSMUST00000204856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aoc1 description:amine oxidase, copper-containing 1 [Source:MGI Symbol;Acc:MGI:1923757] MDQWSAASLTSLCTWQILSLRAMRLAQMSLAFGWAAVILLLQTADTASAVTTPHDKARIF ADLSPQEIKAVHSFLMSRKELGLESSKNLTLAKNSVFLIEMLLPKKKNVLKFLDEGRKSP VREARAIIFFGAQDHPNVTEFAVGPLPRPCYVQALSPRPGHHLSWSSRPISTAEYDLLYH MLNRAITPLHQFFLDTTGFSFLGCDDRFLTFTDVAPRGVESGQRRSWLIVQRYVEGYFLH PTGLEILVDH >ENSMUSP00000031835.7 pep:known chromosome:GRCm38:6:48895254:48909188:1 gene:ENSMUSG00000029811.14 transcript:ENSMUST00000031835.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aoc1 description:amine oxidase, copper-containing 1 [Source:MGI Symbol;Acc:MGI:1923757] MRLAQMSLAFGWAAVILLLQTADTASAVTTPHDKARIFADLSPQEIKAVHSFLMSRKELG LESSKNLTLAKNSVFLIEMLLPKKKNVLKFLDEGRKSPVREARAIIFFGAQDHPNVTEFA VGPLPRPCYVQALSPRPGHHLSWSSRPISTAEYDLLYHMLNRAITPLHQFFLDTTGFSFL GCDDRFLTFTDVAPRGVESGQRRSWLIVQRYVEGYFLHPTGLEILVDHSSTDVQDWRVEQ LWYNGKFYNSPEELAQKYAVGEVEAVVLEEVVLEDPLPGATEQPPLFSSYKPRGEFHTPV TVAGPHVVQPSGPRYKLEGNVVLYGDWSFSYRLRSSSGLQIFNVLFGGERVAYEVSVQEA VALYGGHTPAGMQTKYIDVGWGLGSVTHELAPGIDCPETATFLDAFHYYDSDGPVLYPRA LCLFEMPTGVPLRRHFDSNFKGGFNFYAGLKGYVLVLRTTSTVYNYDYIWDFIFYPNGVM ETKMHATGYVHATFYTPEGLRHGTRLQTHLLGNIHTHLVHYRVDLDVAGTKNSFRTLKTK LENITNPWSPSHSLVQPTLEQTQYSHEHQAAFRFGQTLPKYLLFSSPQKNRWGHRRSYRL QIHSMAEQVLPPGWQEERAVTWARYPLAVTKYRESERYSSSLYNQNDPWDPPVVFEEFLR NNENIENEDLVAWVTVGFLHIPHSEDVPNTATPGNCVGFLIRPFNFFEEDPSLASRDTVI VWPQDNGLNHVQRWIPENRDCLVSPPFSYNGTYKPV >ENSMUSP00000124085.1 pep:known chromosome:GRCm38:6:48904986:48909187:1 gene:ENSMUSG00000029811.14 transcript:ENSMUST00000162948.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aoc1 description:amine oxidase, copper-containing 1 [Source:MGI Symbol;Acc:MGI:1923757] MRLAQMSLAFGWAAVILLLQTADTASAVTTPHDKARIFADLSPQEIKAVHSFLMSRKELG LESSKNLTLAKNSVFLIEMLLPKKKNVLKFLDEGRKSPVREARAIIFFGAQDHPNVTEFA VGPLPRPCYVQALSPRPGHHLSWSSRPISTAEYDLLYHMLNRAITPLHQFFLDTTGFSFL GCDDRFLTFTDVAPRGVESGQRRSWLIVQRYVEGYFLHPTGLEILVDHSSTDVQDWRVEQ LWYNGKFYNSPEELAQKYAVGEVEAVVLEEVVLEDPLPGATEQPPLFSSYKPRGEFHTPV TVAGPHVVQPSGPRYKLEGNVVLYGDWSFSYRLRSSSGLQIFNVLFGGERVAYEVSVQEA VALYGGHTPAGMQTKYIDVGWGLGSVTHELAPGIDCPETATFLDAFHYYDSDGPVLYPRA LCLFEMPTGVPLRRHFDSNFKGGFNFYAGLKGYVLVLRTTSTVYNYDYIWDFIFYPNGVM ETKMHATGYVHATFYTPEGLRHGTRLQTHLLGNIHTHLVHYRVDLDVAGTKNSFRTLKTK LENITNPWSPSHSLVQPTLEQTQYSHEHQAAFRFGQTLPKYLLFSSPQKNRWGHRRSYRL QIHSMAEQVLPPGWQEERAVTWARYPLAVTKYRESERYSSSLYNQNDPWDPPVVFEEFLR NNENIENEDLVAWVTVGFLHIPHSEDVPNTATPGNCVGFLIRPFNFFEEDPSLASRDTVI VWPQDNGLNHVQRWIPENRDCLVSPPFSYNGTYKPV >ENSMUSP00000128816.1 pep:known chromosome:GRCm38:6:48904986:48909187:1 gene:ENSMUSG00000029811.14 transcript:ENSMUST00000167529.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aoc1 description:amine oxidase, copper-containing 1 [Source:MGI Symbol;Acc:MGI:1923757] MRLAQMSLAFGWAAVILLLQTADTASAVTTPHDKARIFADLSPQEIKAVHSFLMSRKELG LESSKNLTLAKNSVFLIEMLLPKKKNVLKFLDEGRKSPVREARAIIFFGAQDHPNVTEFA VGPLPRPCYVQALSPRPGHHLSWSSRPISTAEYDLLYHMLNRAITPLHQFFLDTTGFSFL GCDDRFLTFTDVAPRGVESGQRRSWLIVQRYVEGYFLHPTGLEILVDHSSTDVQDWRVEQ LWYNGKFYNSPEELAQKYAVGEVEAVVLEEVVLEDPLPGATEQPPLFSSYKPRGEFHTPV TVAGPHVVQPSGPRYKLEGNVVLYGDWSFSYRLRSSSGLQIFNVLFGGERVAYEVSVQEA VALYGGHTPAGMQTKYIDVGWGLGSVTHELAPGIDCPETATFLDAFHYYDSDGPVLYPRA LCLFEMPTGVPLRRHFDSNFKGGFNFYAGLKGYVLVLRTTSTVYNYDYIWDFIFYPNGVM ETKMHATGYVHATFYTPEGLRHGTRLQTHLLGNIHTHLVHYRVDLDVAGTKNSFRTLKTK LENITNPWSPSHSLVQPTLEQTQYSHEHQAAFRFGQTLPKYLLFSSPQKNRWGHRRSYRL QIHSMAEQVLPPGWQEERAVTWARYPLAVTKYRESERYSSSLYNQNDPWDPPVVFEEFLR NNENIENEDLVAWVTVGFLHIPHSEDVPNTATPGNCVGFLIRPFNFFEEDPSLASRDTVI VWPQDNGLNHVQRWIPENRDCLVSPPFSYNGTYKPV >ENSMUSP00000138103.1 pep:known chromosome:GRCm38:7:112953962:112957457:1 gene:ENSMUSG00000098132.1 transcript:ENSMUST00000182858.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf10 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 10 [Source:MGI Symbol;Acc:MGI:1925998] MDPSEKKISVWICQEEKLVSGLSRRTTCSDVVRVLLEDGCRRRCRQRRGQRRGLTEDPSG QLELPEPPDENDEDDDDAMPPGMLCGPPQCYCIVEKWRGFERILPNKTRILRLWTAWGDE QENVRFVLVRSEASLPNAGPRSAEARVVLSRERPCLARGAPARPSLALTQEKQRRVVRKA FRKLAKLNRRRQQQPSSPCSSTSSSTASSCSSSARTHESASVERMETLVHLVLSQDHTIR QQVQRLRELDREIDRYEAKVHLDRMRRHGVNYVQDTYLVGAGIDLDGQTPEGEPEDATLE EKGTEPAAPLDSEAQAAALEELARRCDDLVRLQEERAQQEELLERLSAEIQEELNQRWMQ RRNEELAAREESLEPDGGPDGELLLEQERVRTQLSTSLYIGLRLSTDLEAVKADLDYSQQ QRDIKERELQGLLQSLHTFEQTVVHDGALGSSGPSREPQPQTCAEMWVDQARGLAKSCPG NDEDSDTGLSSMHSQDSDSVPPVCESLV >ENSMUSP00000119534.2 pep:known chromosome:GRCm38:3:109780040:110143004:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000156177.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKY LKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT DCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNM ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCN LHATSCLYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCIPSISS IGTPPKFNRIWPNISSLEVSNPKQANVCDNELLHCQNGGTCQNNVRCACPDAYTGILCEK LRCEEAGSCGSESGQGAPPRGSPALLLLTMLLGTAGPLVF >ENSMUSP00000117371.2 pep:known chromosome:GRCm38:3:109780046:110144011:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000133268.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKY LKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT DCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNM ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCN LHATSCLYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCIPSISS IGTNVCDNELLHCQNGGTCQNNVRCACPDAYTGILCEKLRCEEAGSCGSESGQGAPPRGS PALLLLTMLLGTAGPLVF >ENSMUSP00000116579.3 pep:known chromosome:GRCm38:3:109781115:110143475:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000128219.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKY LKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT DCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNM ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRYPQH FQYR >ENSMUSP00000116213.2 pep:known chromosome:GRCm38:3:109782682:110135508:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000138953.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKY LKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT DCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNM ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCN LHATSCLYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCIPSISS IGTPPKFNRIWPNISSLEVSNPKQVAPKLALSTVSSVQVANHKRANVCDNELLHCQNGGT CQNNVRCACPDAYTGILCEKLRCEEAGSCGSESGQGAPPRGSPALLLLTMLLGTAGPLVF >ENSMUSP00000072397.5 pep:known chromosome:GRCm38:3:109782682:110135508:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000072596.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKY LKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT DCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNM ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCN LHATSCLYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCIPSISS IGNCECFGHSNRCSYIDLLNTVICVSCKHNTRGQHCELCRLGYFRNASAQLDDENVCIEC YCNPLGSIHDRCNGSGFCECKTGTTGPKCDECLPGNSWYYGCQPNVCDNELLHCQNGGTC QNNVRCACPDAYTGILCEKLRCEEAGSCGSESGQGAPPRGSPALLLLTMLLGTAGPLVF >ENSMUSP00000120688.2 pep:known chromosome:GRCm38:3:109782682:110135508:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000138344.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKY LKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT DCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNM ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCN LHATSCLYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCIPSISS IGKCYCNPLGSIHDRCNGSGFCECKTGTTGPKCDECLPGNSWYYGCQPNVCDNELLHCQN GGTCQNNVRCACPDAYTGILCEKLRCEEAGSCGSESGQGAPPRGSPALLLLTMLLGTAGP LVF >ENSMUSP00000118800.2 pep:known chromosome:GRCm38:3:109782682:110135508:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000131027.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKY LKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT DCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNM ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCN LHATSCLYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCIPSISS IGNCECFGHSNRCSYIDLLNTVICVSCKHNTRGQHCELCRLGYFRNASAQLDDENVCIAN VCDNELLHCQNGGTCQNNVRCACPDAYTGILCEKLRCEEAGSCGSESGQGAPPRGSPALL LLTMLLGTAGPLVF >ENSMUSP00000102181.3 pep:known chromosome:GRCm38:3:109782682:110135508:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000106571.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKY LKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT DCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNM ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCN LHATSCLYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTCDLTILT VPTSPPKFNRIWPNISSLEVSNPKQVAPKLALSTVSSVQVANHKRANVCDNELLHCQNGG TCQNNVRCACPDAYTGILCEKLRCEEAGSCGSESGQGAPPRGSPALLLLTMLLGTAGPLV F >ENSMUSP00000102185.3 pep:known chromosome:GRCm38:3:109782682:110135508:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000106575.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKY LKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT DCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNM ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCN LHATSCLYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTSVPALDY LSNCECFGHSNRCSYIDLLNTVICVSCKHNTRGQHCELCRLGYFRNASAQLDDENVCIEC YCNPLGSIHDRCNGSGFCECKTGTTGPKCDECLPGNSWYYGCQPNVCDNELLHCQNGGTC QNNVRCACPDAYTGILCEKLRCEEAGSCGSESGQGAPPRGSPALLLLTMLLGTAGPLVF >ENSMUSP00000102180.3 pep:known chromosome:GRCm38:3:109782682:110135508:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000106570.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] MYLSRFLSIHALWVTVSSVMQPYLFVWGHYDVCKSLIYTEEGKVWDYTACQPESTDMTKY LKVKLDPPDITCGDPPESFCAMGNPYMCNNECDASTPELAHPPELMFDFEGRHPSTFWQS ATWKEYPKPLQVNITLSWSKTIELTDNIVITFESGRPDQMILEKSLDYGRTWQPYQYYAT DCLHAFHMDPKSVKDLSQHTVLEIICTEEYSTGYSTNSKIIHFEIKDRFAFFAGPRLRNM ASLYGQLDTTKKLRDFFTVTDLRIRLLRPAVGEIFVDELHLARYFYAISDIKVRGRCKCN LHATSCLYDNSKLTCECEHNTTGPDCGKCKKNYQGRPWSPGSYLPIPKGTANTYCFPAFH SEGTPPKFNRIWPNISSLEVSNPKQANVCDNELLHCQNGGTCQNNVRCACPDAYTGILCE KLRCEEAGSCGSESGQGAPPRGSPALLLLTMLLGTAGPLVF >ENSMUSP00000052268.3 pep:known chromosome:GRCm38:3:110143685:110144011:-1 gene:ENSMUSG00000059857.15 transcript:ENSMUST00000051253.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntng1 description:netrin G1 [Source:MGI Symbol;Acc:MGI:1934028] VLLSINKDKAVCGLVLVAGGPCSVAAVVARAAAVEAASGTELGPLGAPRSRGSVRFFCNP STRWQLQKICFLSEKNTPPLRGLRLPGAVSSLVALRAPGAHSLIRPRP >ENSMUSP00000044020.6 pep:known chromosome:GRCm38:10:79064374:79097600:-1 gene:ENSMUSG00000060301.5 transcript:ENSMUST00000039271.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610008E11Rik description:RIKEN cDNA 2610008E11 gene [Source:MGI Symbol;Acc:MGI:1919378] MSAEPVMESVSFEDIAVFFTWEEWQDLDIAQKLLYRDVMLENYSSLVSLGCCVIKPELIL MLEREFGPWRVADTSVWNLPGYCMTRPELNFKLSHGFEPWNGSEASLWSLPDVHKVSVLG NQETHLWQAEVTGGITSYEQMVEAELQIQEKIHREAKIHEYKDCMETFYPNSQHTRNQTS QSYENPFGWEEFRKAFYYQSTLTQHQRFHIGEKLYDSPQCWEIFPWKSQLSVHETFHAGE RRYECEECRKSFHRKANLIRHQRRTHSREKPYECIECGKTFYCKSDVTRHQRRTHSREKP YECVECSKTFYCKSYLIRHQSRTHSREKPYECTECTKTFYCKSDLTRHQTTHSGEKPFEC NECSKTFYYKSDLANHQKTHTDDNPYECKECRKTFCSKSSLNQHHRIHTGEKPYECNECK KSFNSKSNLTEHQRRTHTREKPYECNECWKSFYCRSELTNHQRTHTVERYYECKECRKNF YCKSNLNQHQRTHTGEKPYECKDCNKAFYCKSNLNQHQRTHTGEKPFACKDCSKAFYCKS SLVKHQKIHSGEKPYECEECRKTFFQKSDLTRHQRTHTGEKPYECKDCSKTFYCKSNLNQ HQRTHTHEKPYECKECRETFYSKSELTEHQRTHTDEKPYVMFNIDCQLDKIWDHLGDRPV GMPVKDYLD >ENSMUSP00000140676.1 pep:known chromosome:GRCm38:9:98486115:98509781:1 gene:ENSMUSG00000032454.9 transcript:ENSMUST00000189446.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbp2 description:retinol binding protein 2, cellular [Source:MGI Symbol;Acc:MGI:97877] MTKDQNGTWEMESNENFEGYMKALDIDFATRKIAVRLTQTKIITQDGDNFKTKTNSTFRN YDLDFTVGVEFDEHTKGLDGRHVKTLVTWEGNTLVCVQKGEKENRGWKQWVEGDKLYLEL TCGDQVCRQVFKKK >ENSMUSP00000140630.1 pep:known chromosome:GRCm38:9:98486166:98509651:1 gene:ENSMUSG00000032454.9 transcript:ENSMUST00000187905.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbp2 description:retinol binding protein 2, cellular [Source:MGI Symbol;Acc:MGI:97877] MTKDQNGTWEMESNENFEGYMKALDIDFATRKIAVRLTQTKIITQDGDNFKTKTNSTFRN YDLDFTVGVEFDEHTKGLDGRHVKTLVTWEGNTLVCVQKGEKENRGWKQWVEGDKLYLEL TCGDQVCRQVFKKK >ENSMUSP00000035029.2 pep:known chromosome:GRCm38:9:98490537:98509771:1 gene:ENSMUSG00000032454.9 transcript:ENSMUST00000035029.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbp2 description:retinol binding protein 2, cellular [Source:MGI Symbol;Acc:MGI:97877] MTKDQNGTWEMESNENFEGYMKALDIDFATRKIAVRLTQTKIITQDGDNFKTKTNSTFRN YDLDFTVGVEFDEHTKGLDGRHVKTLVTWEGNTLVCVQKGEKENRGWKQWVEGDKLYLEL TCGDQVCRQVFKKK >ENSMUSP00000006367.7 pep:known chromosome:GRCm38:7:130936203:130985660:1 gene:ENSMUSG00000006205.13 transcript:ENSMUST00000006367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra1 description:HtrA serine peptidase 1 [Source:MGI Symbol;Acc:MGI:1929076] MQSLRTTLLSLLLLLLAAPSLALPSGTGRSAPAATVCPEHCDPTRCAPPPTDCEGGRVRD ACGCCEVCGALEGAACGLQEGPCGEGLQCVVPFGVPASATVRRRAQAGLCVCASSEPVCG SDAKTYTNLCQLRAASRRSEKLRQPPVIVLQRGACGQGQEDPNSLRHKYNFIADVVEKIA PAVVHIELYRKLPFSKREVPVASGSGFIVSEDGLIVTNAHVVTNKNRVKVELKNGATYEA KIKDVDEKADIALIKIDHQGKLPVLLLGRSSELRPGEFVVAIGSPFSLQNTVTTGIVSTT QRGGKELGLRNSDMDYIQTDAIINYGNSGGPLVNLDGEVIGINTLKVTAGISFAIPSDKI KKFLTESHDRQAKGKAVTKKKYIGIRMMSLTSSKAKELKDRHRDFPDVLSGAYIIEVIPD TPAEAGGLKENDVIISINGQSVVTANDVSDVIKKENTLNMVVRRGNEDIVITVIPEEIDP >ENSMUSP00000002846.8 pep:known chromosome:GRCm38:17:46725664:46729168:-1 gene:ENSMUSG00000002769.8 transcript:ENSMUST00000002846.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnmt description:glycine N-methyltransferase [Source:MGI Symbol;Acc:MGI:1202304] MVDSVYRTRSLGVAAEGLPDQYADGEAARVWQLYIGDTRSRTAEYKAWLLGLLRQHGCHR VLDVACGTGVDSIMLVEEGFSVMSVDASDKMLKYALKERWNRRKEPSFDNWVIEEANWLT LDKDVLSGDGFDAVICLGNSFAHLPDCKGDQSEHRLALKNIASMVRPGGLLVIDHRNYDY ILSTGCAPPGKNIYYKSDLTKDITTSVLTVNNKAHMVTLDYTVQVPGTGRDGSPGFSKFR LSYYPHCLASFTELVRAAFGGRCQHSVLGDFKPYKPGQAYVPCYFIHVLKKTD >ENSMUSP00000142330.1 pep:known chromosome:GRCm38:1:182763860:182854040:1 gene:ENSMUSG00000038576.15 transcript:ENSMUST00000155229.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd4 description:sushi domain containing 4 [Source:MGI Symbol;Acc:MGI:2138351] MYHGMNPSNGDGFLEQQLQQQQPQSPQRLLAVILWFQLALCFGPAQLTGGFDDLNVCADP GVPENGFRTPSGGVFFESSVTRFHCQDGFRLKGSTKRLCMKHFNGTLGWVPSDKPVCIQE DCRIPQIEDAEIRNKTYRHGEKLVIDCH >ENSMUSP00000119488.1 pep:known chromosome:GRCm38:1:182764012:182895232:1 gene:ENSMUSG00000038576.15 transcript:ENSMUST00000153348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd4 description:sushi domain containing 4 [Source:MGI Symbol;Acc:MGI:2138351] MYHGMNPSNGDGFLEQQLQQQQPQSPQRLLAVILWFQLALCFGPAQLTGGFDDLNVCADP GVPENGFRTPSGGVFFESSVTRFHCQDGFRLKGSTKRLCMKHFNGTLGWVPSDKPVCIQE DCRIPQIEDAEIRNKTYRHGEKLVIDCHEGFKIRYPDLYNLVSLCRDDGTWDNLPICQGC LRPLASSNGYVNISEFQTSFPVGTVIAYRCFPGFKLEGSENLECLHNLIWSSSPPRCLAL EVCPLPPMVSHGDFICHPRPCERYNHGTVVEFYCDPGYSLTSDYKYITCQYGEWFPSYQV YCIKSEQTWPSTHETLLTTWKIVAFTATSVLLVLLLVILARMFQTKFKAHFPPRGPPRSS SSDPDFVVVDGVPVMLPTYDEAVNGSSSALGPGYPASVGQGCPLPVDDQSPPAYPGSGDT DTGPGESETCDSTSGSSEMLQSLYSPPMCQGGSRPAPDTPDTISSTAGEVASTSPGIDIA DEIPLMEEDP >ENSMUSP00000141794.1 pep:known chromosome:GRCm38:1:182764395:182833228:1 gene:ENSMUSG00000038576.15 transcript:ENSMUST00000193660.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd4 description:sushi domain containing 4 [Source:MGI Symbol;Acc:MGI:2138351] MYHGMNPSNGDGFLEQQLQQQQPQSPQRLLAVILWFQLALCFGPAQLTGGFDDLNVCADP GVPENGFRTPSGGVFFESSVTRFHCQDGFRLKGSTKRLCMKHFNG >ENSMUSP00000141475.1 pep:known chromosome:GRCm38:1:182764556:182833254:1 gene:ENSMUSG00000038576.15 transcript:ENSMUST00000194981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd4 description:sushi domain containing 4 [Source:MGI Symbol;Acc:MGI:2138351] MYHGMNPSNGDGFLEQQLQQQQPQSPQRLLAVILWFQLALCFGPAQLTGGFDDLNVCADP GVPENGFRTPSGGVFFESSVTRFHCQDGFRLKGSTKRLCMKHFNGTLGWVPSD >ENSMUSP00000082873.3 pep:known chromosome:GRCm38:1:182764895:182896591:1 gene:ENSMUSG00000038576.15 transcript:ENSMUST00000085724.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd4 description:sushi domain containing 4 [Source:MGI Symbol;Acc:MGI:2138351] MYHGMNPSNGDGFLEQQLQQQQPQSPQRLLAVILWFQLALCFGPAQLTGGFDDLNVCADP GVPENGFRTPSGGVFFESSVTRFHCQDGFRLKGSTKRLCMKHFNGTLGWVPSDKPVCIQE DCRIPQIEDAEIRNKTYRHGEKLVIDCHEGFKIRYPDLYNLVSLCRDDGTWDNLPICQGC LRPLASSNGYVNISEFQTSFPVGTVIAYRCFPGFKLEGSENLECLHNLIWSSSPPRCLAL EVCPLPPMVSHGDFICHPRPCERYNHGTVVEFYCDPGYSLTSDYKYITCQYGEWFPSYQV YCIKSEQTWPSTHETLLTTWKIVAFTATSVLLVLLLVILARMFQTKFKAHFPPRGPPRSS SSDPDFVVVDGVPVMLPTYDEAVNGSSSALGPGYPASVGQGCPLPVDDQSPPAYPGSGDT DTGPGESETCDSTSGSSEMLQSLYSPPMCQGGSRPAPDTPDTISSTAGEVASTSPGIDIA DEIPLMEEDP >ENSMUSP00000055415.6 pep:known chromosome:GRCm38:1:78816758:78820028:1 gene:ENSMUSG00000047330.8 transcript:ENSMUST00000057262.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne4 description:potassium voltage-gated channel, Isk-related subfamily, gene 4 [Source:MGI Symbol;Acc:MGI:1891125] MLRMEPLNSTYPSAAASSSPLESHVPSNSSGNGNEYFYILVVMSFYGVFLIGIMLGYMKS KRREKKSSLLLLYKDEERLWGEAMKPLPMMSGLRSGQVPMMLNMLQESVAPALSCTLCSM EGDSVSSESSSPDVHLPIQEEGADDELEETSETPLNDSSEGSSENIHQNS >ENSMUSP00000140235.1 pep:known chromosome:GRCm38:1:78816927:78820028:1 gene:ENSMUSG00000047330.8 transcript:ENSMUST00000187432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne4 description:potassium voltage-gated channel, Isk-related subfamily, gene 4 [Source:MGI Symbol;Acc:MGI:1891125] MLRMEPLNSTYPSAAASSSPLESHVPSNSSGNGNEYFYILVVMSFYGVFLIGIMLGYMKS KRAG >ENSMUSP00000056879.7 pep:known chromosome:GRCm38:17:33135588:33139683:-1 gene:ENSMUSG00000048602.8 transcript:ENSMUST00000053896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc2b description:microrchidia 2B [Source:MGI Symbol;Acc:MGI:3045293] MAFTNYSTLNRAQLTFDYLHTNSTTHAFLFGALAELIDNARDADATRIDIYAEKREDLQG GFMLCFLDNGVGMDPNDVINVIQFGKSAKRTPESTQIGRYGNGLKSGSMRIGKDFILFTK KENTMSCLFLSRTFHEEEGIDEVIVPLPTWNSQTREPVTDNMEKFAIETELIYKYSPFHT EEEVMTQFTKISGTSGTLVVIFNLKLTDNGEPELDVTSNPKDIRMAEISQEGVKPERHSF CAYAAVLYIDPRMRIFIHGHKVQTKKLCCCLYKPRKYTFTSHRFKTRAEQEVKKADQVAQ LAEEKAREAESKARTLEIHMGGDITRDSRVMLRQVQNTAITLRREADVKKRIRDAKQQAL KEPKELTFVFGVNIEHRDHDGMFIYNCSRLIKMYEKVGPQLEKGMVCGGVVGVIDVPYLV LEPTHNKQDFADAKEYRHLLRAMGEHLAQYWKDIEIAQHGIIKFWDEFGYLSANWNRPPS DELHFKRKRAMQVPTTIQCDLCLKWRTLPFQLSAVEEGYPINWVCSMNPDPEQDQCEAFE LKQKIPLGILKKAPKTQEERQKQLTEKIQQEQRKLKALKKIKPIHSQSDLKKLPLEVTSR PFSKYPAHIFQGPQSSFHVVKTNARRRPQSRHAPFRQLQRSSIICTNPKPPFLVDKTEAV LLQPPETPQKSVSLLVKTIPQPPPLVQSLSPSVVPKSNNPWKVETPQIMNTPVAEMPYVP VNPSLVICDHKRSPEVSDEIEDEDRRKRMCKRGRFTVKKEKIQASELSDSSGEENPVDLK TAQKDKGLYVEVRMMGECYKGHVTAVEVGDNVVWWKVKFEDMPKDSTPRDCWVEKGSENV WLVKPSPEYQSTDEQQEDRKGEEDTVVQQALALQQTSTSECFCTEPDTTASTANHKTIDL LVQILWNCLHYFMPLSFPISKKELGAMNSEELLSLPLKECFKQYEVGLQNLCRSYQRCAD SQAKVSEESLRISQKKLQETEEKLQKLRTNIQTLLQMAQQGINIRADDELDAYIEDLVSS DD >ENSMUSP00000123354.1 pep:known chromosome:GRCm38:17:33135643:33139499:-1 gene:ENSMUSG00000048602.8 transcript:ENSMUST00000131954.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc2b description:microrchidia 2B [Source:MGI Symbol;Acc:MGI:3045293] MAFTNYSTLNRAQLTFDYLHTNSTTHAFLFGALAELIDNARDADATRIDIYAEKREDLQG GFMLCFLDNGVGMDPNDVINVIQFGKSAKRTPESTQIGRYGNGLKSGSMRIGKDFILFTK KENTMSCLFLSRTFHEEEGIDEVIVPLPTWNSQTREPVTDNMEKFAIETELIYKYSPFHT EEEVMTQFTKISGTSGTLVVIFNLKLTDNGEPELDVTSNPKDIRMAEISQEGVKPERHSF CAYAAVLYIDPRMRIFIHGHKVQTKKLCCCLYKPRKYTFTSHRFKTRAEQEVKKADQVAQ LAEEKAREAESKARTLEIHMGGDITRDSRVMLRQVQNTAITLRREADVKKRIRDAKQQAL KEPKELTFVFGVNIEHRDHDGMFIYNCSRLIKMYEKVGPQLEKGMVCGGVVGVIDVPYLV LEPTHNKQDFADAKEYRHLLRAMGEHLAQYWKDIEIAQHGIIKFWDEFGYLSANWNRPPS DELHFKRKRAMQVPTTIQCDLCLKWRTLPFQLSAVEEGYPINWVCSMNPDPEQDQCEAFE LKQKIPLGILKKAPKTQEERQKQLTEKIQQEQRKLKALKKIKPIHSQSDLKKLPLEVTSR PFSKYPAHIFQGPQSSFHVVKTNARRRPQSRHAPFRQLQRSSIICTNPKPPFLVDKTEAV LLQPPETPQKSVSLLVKTIPQPPPLVQSLSPSVVPKSNNPWKVETPQIMNTPVAEMPYVP VNPSLVICDHKRSPEVSDEIEDEDRRKRMCKRGRFTVKKEKIQASELSDSSGEENPVDLK TAQKDKGLYVEVRMMGECYKGHVTAVEVGDNVVWWKVKFEDMPKDSTPRDCWVEKGSENV WLVKPSPEYQSTDEQQEDRKGEEDTVVQQALALQQTSTSECFCTEPDTTASTANHKTIDL LVQILWNCLHYFMPLSFPISKKELGAMNSEELLSLPLKECFKQYEVGLQNLCRSYQRCAD SQAKVSEESLRISQKKLQETEEKLQKLRTNIQTLLQMAQQGINIRADDELDAYIEDLVSS DD >ENSMUSP00000047105.7 pep:known chromosome:GRCm38:6:125223933:125231860:-1 gene:ENSMUSG00000038213.7 transcript:ENSMUST00000043422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tapbpl description:TAP binding protein-like [Source:MGI Symbol;Acc:MGI:2384853] MGLEPSWYLLLCLAVSGAAGTDPPTAPTTAERQRQPTDIILDCFLVTEDRHRGAFASSGD RERALLVLKQVPVLDDGSLEGITDFQGSTETKQDSPVIFEASVDLVQIPQAEALLHADCS GKAVTCEISKYFLQARQEATFEKAHWFISNMQVSRGGPSVSMVMKTLRDAEVGAVRHPTL NLPLSAQGTVKTQVEFQVTSETQTLNHLLGSSVSLHCSFSMAPGLDLTGVEWRLQHKGSG QLVYSWKTGQGQAKRKGATLEPEELLRAGNASLTLPNLTLKDEGNYICQISTSLYQAQQI MPLNILAPPKIQLHLANKDPLPSLVCSIAGYYPLDVGVTWIREELGGIPAQVSGASFSSL RQSTMGTYSISSTVMADPGPTGATYTCQVAHVSLEEPLTTSMRVLPNPEQRGTLGVIFAS IIFLSALLLFLGLHRQQASSSRSTRPMRHSG >ENSMUSP00000112765.2 pep:known chromosome:GRCm38:5:91357261:91402994:-1 gene:ENSMUSG00000082361.6 transcript:ENSMUST00000121044.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btc description:betacellulin, epidermal growth factor family member [Source:MGI Symbol;Acc:MGI:99439] MDPTAPGSSVSSLPLLLVLALGLAILHCVVADGNTTRTPETNGSLCGAPGENCTGTTPRQ KVKTHFSRCPKQYKHYCIHGRCRFVVDEQTPSCICEKGYFGARCERVDLFYLQQDRGQIL VVCLIVVMVVFIILVIGVCTCCHPLRKHRKKKKEEKMETLDKDKTPISEDIQETNIA >ENSMUSP00000143920.1 pep:known chromosome:GRCm38:5:91358919:91402860:-1 gene:ENSMUSG00000082361.6 transcript:ENSMUST00000200860.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Btc description:betacellulin, epidermal growth factor family member [Source:MGI Symbol;Acc:MGI:99439] MDPTAPGSSVSSLPLLLVLALGLAILHCVVADGNTTRTPETNGSLCGAPGENCTAVRKAT LGLGVSEWTCFTSSRTGGRSWWSA >ENSMUSP00000064308.7 pep:known chromosome:GRCm38:5:73651379:73679512:1 gene:ENSMUSG00000029155.16 transcript:ENSMUST00000071077.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata18 description:spermatogenesis associated 18 [Source:MGI Symbol;Acc:MGI:1920722] MAESLKKLAKSESLQALQDKVTYWVNDYNSNSCDQNLNYCIELIEQVAKVQAQLFGILTV TAQEGGNNEGVETIKCRLLPLLQTSFSSVNMGKTAESEMCATQDFQLRSKNRDNSPDQDQ HQSDNESFSETQPTQVQDDLAESGKSLEGAKNGSTISLLAAEEEINQLKKQLKSLQAQED ARHKTSENRRSEALKSDHRSTKRTQDQRPQDVVSNYEKHLQNLKEEIAVLSAEKSGLQGR SARSPSPSTGTRSHRRGRSRSHSRSRSHSRSNSPCTTVAKIRSPSPNRAKMSSVARKAAL LSRFSDAYSQARLDAQCLLRRCIDRAETVQRIIYIATVEAFHVAKMAFRHFKIRVRKMLT PSNVGSNTDFETAVSEYIVCHLDLYDSQSSVNDVIRAMNVNPKISFPPEVDFCLLTDFIQ EICCIAFAMQSLEPPLDIAFGADGEIFNDCKYRRSYDSDFTAPLVFYHVWPALMENDCVI MKGEAVTKRGAFWSSVRPVMRCRSRSLSPICPRNHFGISTVSRSRSPSPIRCTFARY >ENSMUSP00000040922.9 pep:known chromosome:GRCm38:5:73651382:73675421:1 gene:ENSMUSG00000029155.16 transcript:ENSMUST00000041422.14 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Spata18 description:spermatogenesis associated 18 [Source:MGI Symbol;Acc:MGI:1920722] MAESLKKLAKSESLQALQDKVTYWVNDYNSNSCDQNLNYCIELIEQVAKVQAQLFGILTV TAQEGGNNEGVETIKCRLLPLLQTSFSSVNMGKTAESEMCATQDFQLRSKNRDNSPDQDQ HQSDNESFSETQPTQVQDELKSLQAQEDARHKTSENRRSEALKSDHRSTKRTQDQRPQDV VSNYEKHLQNLKEEIAVLSAEKSGLQGRSARSPSPSTGTRSHRRGRSRSHSRSRSHSRSN SPCTTVAKIRSPSPNRAKMSSVARKAALLSRFSDAYSQARLDAQCLLRRCIDRAETVQRI IYIATVEAFHVAKMAFRHFKIRVRKMLTPSNVGSNTDFETAVSEYIVCHLDLYDSQSSVN DVIRAMNVNPKISFPPEVDFCLLTDFIQEICCIAFAMQSLEPPLDIAFGADGEIFNDCKY RRSYDSDFTAPLVFYHVWPALMENDCVIMKGEAVTKRGAFVRWPCIVAVHPECLFHKFEN IYKPKDS >ENSMUSP00000137444.2 pep:known chromosome:GRCm38:5:73659261:73679484:1 gene:ENSMUSG00000029155.16 transcript:ENSMUST00000178631.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata18 description:spermatogenesis associated 18 [Source:MGI Symbol;Acc:MGI:1920722] SPDQDQHQSDNESFSETQPTQVQDDLAESGKSLEGAKNGSTISLLAAEEEINQLKKQLKS LQAQEDARHKTSENRRSEALKSDHRSTKRTQDQRPQDVVSNYEKHLQNLKEEIAVLSAEK SGLQGRSARSPSPSTGTRSHRRGRSRSHSRSRSHSRSNSPCTTVAKIRSPSPNRAKMSSV ARKAALLSRFSDAYSQARLDAQCLLRRCIDRAETVQRIIYIATVEAFHVAKMAFRHFKIR VRKMLTPSNVGSNTDFETAVSEYIVCHLDLYDSQSSVNDVIRAMNVNPKISFPPEVDFCL LTDFIQEICCIAFAMQSLEPPLDIAFGADGEIFNDCKYRRSYDSDFTAPLVFYHVWPALM ENDCVIMKGEAVTKRGAFWSSVRPVMRCRSRSLSPICPRNHFGISTVHSCVAIPAENLVS RSRSPSPIRCTFARY >ENSMUSP00000109176.2 pep:known chromosome:GRCm38:5:73657535:73679482:1 gene:ENSMUSG00000029155.16 transcript:ENSMUST00000113548.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata18 description:spermatogenesis associated 18 [Source:MGI Symbol;Acc:MGI:1920722] MSSVARKAALLSRFSDAYSQARLDAQCLLRRCIDRAETVQRIIYIATVEAFHVAKMAFRH FKIRVRKMLTPSNVGSNTDFETAVSEYIVCHLDLYDSQSSVNDVIRAMNVNPKISFPPEV DFCLLTDFIQEICCIAFAMQSLEPPLDIAFGADGEIFNDCKYRRSYDSDFTAPLVFYHVW PALMENDCVIMKGEAVTKRGFLEFRATSNAVP >ENSMUSP00000099551.1 pep:known chromosome:GRCm38:11:77462411:77470484:1 gene:ENSMUSG00000020836.15 transcript:ENSMUST00000102493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro6 description:coronin 6 [Source:MGI Symbol;Acc:MGI:2183448] MSRRVVRQSKFRHVFGQAAKADQAYEDIRVSKVTWDSAFCAVNPKFLAIIVEAGGGGAFI VLPLAKTGRVDKNYPLVTGHTGPVLDIDWCPHNDNVIASASDDTTVMVWQIPDYTPVRNI TEPVITLEGHSKRVGILSWHPTARNVLLSAGGDNVIIIWNVGTGEVLLSLDDIHPDVIHS VCWNSNGSLLATTCKDKTLRIIDPRKSQVVAERFAAHEGMRPMRAVFTRLGHIFTTGFTR MSQRELGLWDPNNFEEPVALQEMDTSNGVLLPFYDPDSSIVYLCGKGDSSIRYFEITEEP PFVHYLNTFSSKEPQRGMGFMPKRGLDVSKCEIARFYKLHERKCEPIIMTVPRKSDLFQD DLYPDTPGPEPALEADEWLSGQDAEPVLISLKEGYVPPKHRELRVTKRNILDVRPPASPR RSQSASEAPLSQHTLETLLEEIKALRDRVQAQEERITALENMLCELVDGTD >ENSMUSP00000120232.1 pep:known chromosome:GRCm38:11:77462645:77463954:1 gene:ENSMUSG00000020836.15 transcript:ENSMUST00000130255.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro6 description:coronin 6 [Source:MGI Symbol;Acc:MGI:2183448] MSRRVVRQSKFRHV >ENSMUSP00000021190.2 pep:known chromosome:GRCm38:11:77463911:77469501:1 gene:ENSMUSG00000020836.15 transcript:ENSMUST00000021190.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro6 description:coronin 6 [Source:MGI Symbol;Acc:MGI:2183448] MSRRVVRQSKFRHVFGQAAKADQAYEDIRVSKVTWDSAFCAVNPKFLAIIVEAGGGGAFI VLPLAKTGRVDKNYPLVTGHTGPVLDIDWCPHNDNVIASASDDTTVMVWQIPDYTPVRNI TEPVITLEGHSKRVGILSWHPTARNVLLSAGGDNVIIIWNVGTGEVLLSLDDIHPDVIHS VCWNSNGSLLATTCKDKTLRIIDPRKSQVVAERARPHEGARPLRAVFTADGKLLSTGFSR MSERQLALWDPNNFEEPVALQEMDTSNGVLLPFYDPDSSIVYLCGKGDSSIRYFEITEEP PFVHYLNTFSSKEPQRGMGFMPKRGLDVSKCEIARFYKLHERKCEPIIMTVPRKSDLFQD DLYPDTPGPEPALEADEWLSGQDAEPVLISLKEGYVPPKHRELRVTKRNILDVRPPASPR RSQSASEAPLSQHTLETLLEEIKALRDRVQAQEERITALENMLCELVDGTD >ENSMUSP00000078703.2 pep:known chromosome:GRCm38:11:77463911:77469501:1 gene:ENSMUSG00000020836.15 transcript:ENSMUST00000079770.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro6 description:coronin 6 [Source:MGI Symbol;Acc:MGI:2183448] MSRRVVRQSKFRHVFGQAAKADQAYEDIRVSKVTWDSAFCAVNPKFLAIIVEAGGGGAFI VLPLAKTGRVDKNYPLVTGHTGPVLDIDWCPHNDNVIASASDDTTVMVWQIPDYTPVRNI TEPVITLEGHSKRVGILSWHPTARNVLLSAGGDNVIIIWNVGTGEVLLSLDDIHPDVIHS VCWNSNGSLLATTCKDKTLRIIDPRKSQVVANNFEEPVALQEMDTSNGVLLPFYDPDSSI VYLCGKGDSSIRYFEITEEPPFVHYLNTFSSKEPQRGMGFMPKRGLDVSKCEIARFYKLH ERKCEPIIMTVPRKSDLFQDDLYPDTPGPEPALEADEWLSGQDAEPVLISLKEGYVPPKH RELRVTKRNILDVRPPASPRRSQSASEAPLSQHTLETLLEEIKALRDRVQAQEERITALE NMLCELVDGTD >ENSMUSP00000104028.2 pep:known chromosome:GRCm38:11:77463836:77469601:1 gene:ENSMUSG00000020836.15 transcript:ENSMUST00000108391.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro6 description:coronin 6 [Source:MGI Symbol;Acc:MGI:2183448] MSRRVVRQSKFRHVFGQAAKADQAYEDIRVSKVTWDSAFCAVNPKFLAIIVEAGGGGAFI VLPLAKTGRVDKNYPLVTGHTGPVLDIDWCPHNDNVIASASDDTTVMVWQIPDYTPVRNI TEPVITLEGHSKRVGILSWHPTARNVLLSAGGDNVIIIWNVGTGEVLLSLDDIHPDVIHS VCWNSNGSLLATTCKDKTLRIIDPRKSQVVAERFAAHEGMRPMRAVFTRLGHIFTTGFTR MSQRELGLWDPNNFEEPVALQEMDTSNGVLLPFYDPDSSIVYLCGKGDSSIRYFEITEEP PFVHYLNTFSSKEPQRGMGFMPKRGLDVSKCEIARFYKLHERKCEPIIMTVPRKSDLFQD DLYPDTPGPEPALEADEWLSGQDAEPVLISLKEGYVPPKHRELRVTKRNILDVRPPASPR RSQSASEAPLSQHTLETLLEEIKALRDRVQAQEERITALENMLCELVDGTD >ENSMUSP00000056862.5 pep:known chromosome:GRCm38:11:77463836:77469601:1 gene:ENSMUSG00000020836.15 transcript:ENSMUST00000052515.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro6 description:coronin 6 [Source:MGI Symbol;Acc:MGI:2183448] MSRRVVRQSKFRHVFGQAAKADQAYEDIRVSKVTWDSAFCAVNPKFLAIIVEAGGGGAFI VLPLAKTGRVDKNYPLVTGHTGPVLDIDWCPHNDNVIASASDDTTVMVWQIPDYTPVRNI TEPVITLEGHSKRVGILSWHPTARNVLLSAGGDNVIIIWNVGTGEVLLSLDDIHPDVIHS VCWNSNGSLLATTCKDKTLRIIDPRKSQVVANNFEEPVALQEMDTSNGVLLPFYDPDSSI VYLCGKGDSSIRYFEITEEPPFVHYLNTFSSKEPQRGMGFMPKRGLDVSKCEIARFYKLH ERKCEPIIMTVPRKSDLFQDDLYPDTPGPEPALEADEWLSGQDAEPVLISLKEGYVPPKH RELRVTKRNILDVRPPASPRRSQSASEAPLSQQHTLETLLEEIKALRDRVQAQEERITAL ENMLCELVDGTD >ENSMUSP00000139740.1 pep:known chromosome:GRCm38:1:79434669:79440042:-1 gene:ENSMUSG00000050711.7 transcript:ENSMUST00000185234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scg2 description:secretogranin II [Source:MGI Symbol;Acc:MGI:103033] MAGAKAYRLGAVLLLIHLIFLISGAEAASFQRNQLLQKEPDLRLENVQKFPSPEMIRALE YIEKLRQQAHREESSPDYNPYQGVSVPLQLKENGEESHLAESSRDALSEDEWMRIILEAL RQAENEPPSAPKENKPYALNLEKNFPVDTPDDYETQQWPERKLKHMRFPLMYEENSRENP FKRTNEIVEEQYTPQSLATLESVFQELGKLTGPSNQKRERVDEEQKLYTDDEDDVYKTNN IAYEDVVGGEDWSPIEEKIETQTQEEVRDSKENTEKNEQINEEMKRSGQLGLPDEENRRE SKDQLSEDASKVITYLRRNLQIPPEDLIEMLKAGEKPNGLVEPEQDLELAVDLDDIPEAD LDRPDMFQSKMLSKGGYPKAPGRGMVEALPDGLSVEDILNVLGMENVVNQKSPYFPNQYS QDKALMRLPYGPGKSRANQIPKVAWIPDVESRQAPYENLNDQELGEYLARMLVKYPELLN TNQLKRVPSPVSSEDDLQEEEQLEQAIKEHLGPGSSQEMERLAKVSKRIPVGSLKNEDTP NRQYLDEDMLLKVLEYLNQEQAEQGREHLAKRAMENM >ENSMUSP00000062556.4 pep:known chromosome:GRCm38:1:79434669:79440120:-1 gene:ENSMUSG00000050711.7 transcript:ENSMUST00000049972.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scg2 description:secretogranin II [Source:MGI Symbol;Acc:MGI:103033] MAGAKAYRLGAVLLLIHLIFLISGAEAASFQRNQLLQKEPDLRLENVQKFPSPEMIRALE YIEKLRQQAHREESSPDYNPYQGVSVPLQLKENGEESHLAESSRDALSEDEWMRIILEAL RQAENEPPSAPKENKPYALNLEKNFPVDTPDDYETQQWPERKLKHMRFPLMYEENSRENP FKRTNEIVEEQYTPQSLATLESVFQELGKLTGPSNQKRERVDEEQKLYTDDEDDVYKTNN IAYEDVVGGEDWSPIEEKIETQTQEEVRDSKENTEKNEQINEEMKRSGQLGLPDEENRRE SKDQLSEDASKVITYLRRLVNAVGSGRSQSGPNGDRAARLLQKPLDSQSIYQLIEISRNL QIPPEDLIEMLKAGEKPNGLVEPEQDLELAVDLDDIPEADLDRPDMFQSKMLSKGGYPKA PGRGMVEALPDGLSVEDILNVLGMENVVNQKSPYFPNQYSQDKALMRLPYGPGKSRANQI PKVAWIPDVESRQAPYENLNDQELGEYLARMLVKYPELLNTNQLKRVPSPVSSEDDLQEE EQLEQAIKEHLGPGSSQEMERLAKVSKRIPVGSLKNEDTPNRQYLDEDMLLKVLEYLNQE QAEQGREHLAKRAMENM >ENSMUSP00000091442.4 pep:known chromosome:GRCm38:11:115440545:115448270:1 gene:ENSMUSG00000070332.4 transcript:ENSMUST00000093914.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim80 description:tripartite motif-containing 80 [Source:MGI Symbol;Acc:MGI:3588186] MKQRPQRKERRRQSSVHRATKYLALMDMQKISRSAQDKPPSQLSSQPSRTPLHLLMGSNS SQHMLEDQVLCPICLEVFCNPVTTACGHNFCMTCLQNFWDHQAAIGETYYCPQCREAFSS RPRLCKNVILGEMVACFTQAKSQTSGSLWGLAGPTDVPCDFCSPQKLRAAKSCLQCVASL CEKHLRSHFEDQLFQDHQLLDPVWDLTNRLCRKHRKLRQLYCRTEGSCVCGACLLEEHKN HDTTPLEDERARKEVEVRKIQANVENQMLIIASDSQKHQGRVSFLSKLIQTMRDEVDTCF SEILHEIKQLQMKVLDFVEKEEAAALGKLGNSIQQSHNRLLKLEGDSVWLHSLLANRSDE QFLQEFPKLKHFPACVEPLLGTNCEETQSFLQLPETLSQLRIRLMDIGLSFINQLLLKGI RMSSYEVLPGTVERKTLLQNYCNLNFDPCTASEELFLFKETHSVLNLGILLEPSTTNSPL PGFKQWPQVLCCPGLSEGCHYWEAEVSNSWMCLGVTYRRSPPLSGRPRRNIVYLLGRNPY SWCLEWDSLKFSVWHNNTQTVLHGSYHHTLGVALDFRTGCLSFYSVAGDVNLLYRFLTSF LEPLYPAVMVSSGASLTLKQYPEA >ENSMUSP00000033176.5 pep:known chromosome:GRCm38:7:120635176:120659524:1 gene:ENSMUSG00000030884.12 transcript:ENSMUST00000033176.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrc2 description:ubiquinol cytochrome c reductase core protein 2 [Source:MGI Symbol;Acc:MGI:1914253] MKLLSRAGSFSRFYSLKVAPKVKTSAAPGGVPLQPQDLEFTKLPNGLVIASLENYAPLSR IGLFVKAGSRYEDSNNLGTSHLLRLASSLTTKGASSFKITRGIEAVGGKLSVTATRENMA YTVEGIRSDIEILMEFLLNVTTAPEFRRWEVAALRSQLKIDKAVAFQNSQTRIIENLHDV AYKNALANPLYCPDYRMGKITSEELHYFVQNHFTSARMALVGLGVSHSVLKQVAEQFLNM RGGLGLAGAKAKYRGGEIREQNGDNLVHAAIVAESAAIGNAEANAFSVLQHLLGAGPHIK RGNNTTSLLSQSVAKGSHQPFDVSAFNASYSDSGLFGIYTISQAAAAGEVINAAYNQVKA VAQGNLSSADVQAAKNKLKAGYLMSVETSEGFLSEIGSQALAAGSYMPPSTVLQQIDSVA DADVVKAAKKFVSGKKSMAASGNLGHTPFLDEL >ENSMUSP00000146732.1 pep:known chromosome:GRCm38:7:120635263:120645221:1 gene:ENSMUSG00000030884.12 transcript:ENSMUST00000208400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrc2 description:ubiquinol cytochrome c reductase core protein 2 [Source:MGI Symbol;Acc:MGI:1914253] MKLLSRAGSFSRFYSLKVAPKVKTSAAPGGVPLQPQDLETTKGASSFKITRGIEAVGGKL SVTATRENMAYTVEGIRSDIEILMEFLLNVTTAPEFRRWEVAALRSQLKIDKAVAFQNSQ TRIIENLHDVAYKNALANPLYCPDY >ENSMUSP00000032486.6 pep:known chromosome:GRCm38:6:125232622:125237010:-1 gene:ENSMUSG00000030336.14 transcript:ENSMUST00000032486.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd27 description:CD27 antigen [Source:MGI Symbol;Acc:MGI:88326] MAWPPPYWLCMLGTLVGLSATLAPNSCPDKHYWTGGGLCCRMCEPGTFFVKDCEQDRTAA QCDPCIPGTSFSPDYHTRPHCESCRHCNSGFLIRNCTVTANAECSCSKNWQCRDQECTEC DPPLNPALTRQPSETPSPQPPPTHLPHGTEKPSWPLHRQLPNSTVYSQRSSHRPLCSSDC IRIFVTFSSMFLIFVLGAILFFHQRRNHGPNEDRQAVPEEPCPYSCPREEEGSAIPIQED YRKPEPAFYP >ENSMUSP00000107900.1 pep:known chromosome:GRCm38:6:125233153:125236994:-1 gene:ENSMUSG00000030336.14 transcript:ENSMUST00000112281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd27 description:CD27 antigen [Source:MGI Symbol;Acc:MGI:88326] MAWPPPYWLCMLGTLVGLSATLAPNSCPDKHYWTGGGLCCRMCEPGTFFVKDCEQDRTAA QCDPCIPGTSFSPDYHTRPHCESCRHCNSEKPSWPLHRQLPNSTVYSQRSSHRPLCSSDC IRIFVTFSSMFLIFVLGAILFFHQRRNHGPNEDRQAVPEEPCPYSCPREEEGSAIPIQED YRKPEPAFYP >ENSMUSP00000107901.2 pep:known chromosome:GRCm38:6:125233224:125236953:-1 gene:ENSMUSG00000030336.14 transcript:ENSMUST00000112282.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd27 description:CD27 antigen [Source:MGI Symbol;Acc:MGI:88326] MAWPPPYWLCMLGTLVGLSATLAPNSCPDKHYWTGGGLCCRMCEPEKPSWPLHRQLPNST VYSQRSSHRPLCSSDCIRIFVTFSSMFLIFVLGAILFFHQRRNHGPNEDRQAVPEEPCPY SCPREEEGSAIPIQEDYRKPEPAFYP >ENSMUSP00000025631.6 pep:known chromosome:GRCm38:19:18579328:18631789:-1 gene:ENSMUSG00000024725.12 transcript:ENSMUST00000025631.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ostf1 description:osteoclast stimulating factor 1 [Source:MGI Symbol;Acc:MGI:700012] MSKPPPKPVKPGQVKVFRALYTFEPRTPDELYFEEGDIIYITDMSDTSWWKGTCKGRTGL IPSNYVAEQAESIDNPLHEAAKRGNLSWLRECLDNRVGVNGLDKAGSTALYWACHGGHKD IVEVLFTQPNVELNQQNKLGDTALHAAAWKGYADIVQLLLAKGARTDLRNNEKKLALDMA TNAACASLLKKKQQGTDGARTLSNAEDYLDDEDSD >ENSMUSP00000032728.8 pep:known chromosome:GRCm38:7:65644898:65692091:1 gene:ENSMUSG00000030515.9 transcript:ENSMUST00000032728.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tarsl2 description:threonyl-tRNA synthetase-like 2 [Source:MGI Symbol;Acc:MGI:2444486] MAAQALAAQAVASRLQRQEEDIRWLCAEVQRLRDEQLRGPERGQAEGPRLTREVAQLQAE NRDLHQRLCGLRLRLAEQRRTEAGRAAAHEPPTQNQEKDTKKKRLKQSEPGREVKQPNFI KERLQLFETLKTDHQLLPATQEKKNTNNVISVRVAGGKTVQGERWKTTPYQVAAGISKEL AEHTVIAKVNGVLWDLDRPLEGDSTVELLMFDNEEAQAVYWHSSAHILGEAMELYYGGHL CYGPPIENGFYYDMFIEDRVVSSTELSALENICKTIIKEKQPFERLEVSKDTLLEMFKYN KFKCRILKEKVDTPTTTVYRCGPLIDLCKGPHVRHTGKIKAIKIFKNSSTYWEGNPEMET LQRIYGISFPDSKMMKDWEKFQEEAKSRDHRKIGKEQELFFFHDLSPGSCFFLPRGAFIY NALMDFIREEYHKRNFTEVLSPNMYNSKLWETSGHWQHYSNNMFTFDVEKDTFALKPMNC PGHCLMFAHRPRSWREMPVRFADFGVLHRNELSGTLSGLTRVRRFQQDDAHIFCMVEQIE EEIKGCLHFLQSVYSTFGFSFQLNLSTRPEHFLGEIEIWDEAERQLQNSLVEFGKPWKIN PGDGAFYGPKIDIKIKDAIGRYHQCATIQLDFQLPIRFNLTYVSKDGDDKNRPVIIHRAI LGSVERMIAILSENYGGKWPLWLSPRQVMVIPVGPACENYALQVSKECFEEGFMADVDLD DSCTLNKKIRNAQLAQYNFILVVGEKEKINNAVNVRTRDNKIHGEISIASVIEKLKNLKK SRTLNAEEDF >ENSMUSP00000075376.6 pep:known chromosome:GRCm38:6:101149609:101377897:-1 gene:ENSMUSG00000035357.16 transcript:ENSMUST00000075994.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzrn3 description:PDZ domain containing RING finger 3 [Source:MGI Symbol;Acc:MGI:1933157] MGFELDRFDGDVDPDLKCALCHKVLEDPLTTPCGHVFCAGCVLPWVVQEGSCPARCRGRL SAKELNHVLPLKRLILKLDIKCAHAARGCGRVVKLQDLPEHLERCDFAPARCRHAGCGQL LLRRDVEAHMRDACDARPVGRCQEGCGLPLTHGEQRAGGHCCARALRAHNGALQARLGAL HKALKKEALRAGKREKSLVAQLAAAQLELQMTALRYQKKFTEYSARLDSLSRCVAAPPGG KGEETKSLTLVLHRDSGSLGFNIIGGRPCVDNQDGSSSEGIFVSKIVDSGPAAKEGGLQI HDRIIEVNGKDLSRATHDQAVEAFKTAKEPIVVQVLRRTPRTKMFTPASESQLVDTGTQT DITFEHIMALTKMSSPSPPVLDPYLLPEEHPASHDYYDPNDYMGDIHQDMDREELELEEV GLYRMNSQDKLGLTVCYRTDDEDDIGIYISEIDPNSIAAKDGRIREGDRIIQINGIEVQN REEAVALLTSEENKNFSLLIARPELQLDEGWMDDDRNDFLDDLHMDMLEEQHHQAMQFTA SVLQQKKHEEDGGTTDTATILSNQHEKDSGVGRTDESTRNDESSEQENNGEDATASANPL AGQRKLTCSQDTLGSGDLPFSNESFISADCTDVDYLGIPEDECERFRELLELKCQVQSAS PYSLYYPSSPLDAAGKSDPESVDKELELLNEELRSIELECLSIVRAHKMQQLKEQYRESW MLHHSGFRNYNTSVDVRRHELSDITELPEKSDKDSSSAYNTGESCRSTPLTLEISPDNSL RRVAEGSSEGATANIEAYRPSPKNLLAITEDPEVSTPSYNPSAKELDPSQALEIKERRGS DGSRSPTASPKLGNAYLPSYHHSPYKHAHIPAHAQHYQSYMHLIQQKSAVEYAQSQMSLV SMCKDLNSSNSVEPRMEWKVKIRSDGTRYITKRPVRDRLLRERALKIREERSGLTTDDDA MSEMKMGRYWSKEERKQHLVKAKEQRRRREFMMQSRLDCLKEQQASDDRKEMNILELSHK KMMKKRNKKIFDNWMTIQELLTHGTKSPDGTRVYNSFLSVTTV >ENSMUSP00000125291.1 pep:known chromosome:GRCm38:10:90989311:91082662:-1 gene:ENSMUSG00000019979.12 transcript:ENSMUST00000159110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apaf1 description:apoptotic peptidase activating factor 1 [Source:MGI Symbol;Acc:MGI:1306796] MDAKARNCLLQHREALEKDIKTSYIMDHMISNGVLSVIEEEKVKSQATQYQRAAALIKMI LNKDNCAYISFYNALLHEGYKDLAALLQSGLPLVSSSSGKDTDGGITSFVRTVLCEGGVP QRPVIFVTRKKLVHAIQQKLWKLNGEPGWVTIYGMAGCGKSVLAAEAVRDHSLLEGCFSG GVHWVSIGKQDKSGLLMKLQNLCMRLDQEESFSQRLPLNIEEAKDRLRVLMLRKHPRSLL ILDDVWDPWVLKAFDNQCQILLTTRDKSVTDSVMGPKHVVPVESGLGREKGLEILSLFVN MKKEDLPAEAHSIIKECKGSPLVVSLIGALLRDFPNRWAYYLRQLQNKQFKRIRKSSSYD YEALDEAMSISVEMLREDIKDYYTDLSILQKDVKVPTKVLCVLWDLETEEVEDILQEFVN KSLLFCNRNGKSFCYYLHDLQVDFLTEKNRSQLQDLHRKMVTQFQRYYQPHTLSPDQEDC MYWYNFLAYHMASANMHKELCALMFSLDWIKAKTELVGPAHLIHEFVAYRHILDEKDCAV CENFQEFLSLNGHLLGRQPFPNIVQLGLCEPETSEVYRQAKLQAKQEGDTGRLYLEWINK KTIKNLSRLVVRPHTDAVYHACFSQDGQRIASCGADKTLQVFKAETGEKLLDIKAHEDEV LCCAFSSDDSYIATCSADKKVKIWDSATGKLVHTYDEHSEQVNCCHFTNKSNHLLLATGS NDFFLKLWDLNQKECRNTMFGHTNSVNHCRFSPDDELLASCSADGTLRLWDVRSANERKS INVKRFFLSSEDPPEDVEVIVKCCSWSADGDKIIVAAKNKVLLFDIHTSGLLAEIHTGHH STIQYCDFSPYDHLAVIALSQYCVELWNIDSRLKVADCRGHLSWVHGVMFSPDGSSFLTA SDDQTIRVWETKKVCKNSAIVLKQEIDVVFQENETMVLAVDNIRGLQLIAGKTGQIDYLP EAQVSCCCLSPHLEYVAFGDEDGAIKIIELPNNRVFSSGVGHKKAVRHIQFTADGKTLIS SSEDSVIQVWNWQTGDYVFLQAHQETVKDFRLLQDSRLLSWSFDGTVKVWNVITGRIERD FTCHQGTVLSCAISSDATKFSSTSADKTAKIWSFDLLSPLHELKGHNGCVRCSAFSLDGI LLATGDDNGEIRIWNVSDGQLLHSCAPISVEEGTATHGGWVTDVCFSPDSKTLVSAGGYL KWWNVATGDSSQTFYTNGTNLKKIHVSPDFRTYVTVDNLGILYILQVLE >ENSMUSP00000020157.6 pep:known chromosome:GRCm38:10:90989318:91082770:-1 gene:ENSMUSG00000019979.12 transcript:ENSMUST00000020157.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apaf1 description:apoptotic peptidase activating factor 1 [Source:MGI Symbol;Acc:MGI:1306796] MDAKARNCLLQHREALEKDIKTSYIMDHMISNGVLSVIEEEKVKSQATQYQRAAALIKMI LNKDNCAYISFYNALLHEGYKDLAALLQSGLPLVSSSSGKDTDGGITSFVRTVLCEGGVP QRPVIFVTRKKLVHAIQQKLWKLNGEPGWVTIYGMAGCGKSVLAAEAVRDHSLLEGCFSG GVHWVSIGKQDKSGLLMKLQNLCMRLDQEESFSQRLPLNIEEAKDRLRVLMLRKHPRSLL ILDDVWDPWVLKAFDNQCQILLTTRDKSVTDSVMGPKHVVPVESGLGREKGLEILSLFVN MKKEDLPAEAHSIIKECKGSPLVVSLIGALLRDFPNRWAYYLRQLQNKQFKRIRKSSSYD YEALDEAMSISVEMLREDIKDYYTDLSILQKDVKVPTKVLCVLWDLETEEVEDILQEFVN KSLLFCNRNGKSFCYYLHDLQVDFLTEKNRSQLQDLHRKMVTQFQRYYQPHTLSPDQEDC MYWYNFLAYHMASANMHKELCALMFSLDWIKAKTELVGPAHLIHEFVAYRHILDEKDCAV CENFQEFLSLNGHLLGRQPFPNIVQLGLCEPETSEVYRQAKLQAKQEGDTGRLYLEWINK KTIKNLSRLVVRPHTDAVYHACFSQDGQRIASCGADKTLQVFKAETGEKLLDIKAHEDEV LCCAFSSDDSYIATCSADKKVKIWDSATGKLVHTYDEHSEQVNCCHFTNKSNHLLLATGS NDFFLKLWDLNQKECRNTMFGHTNSVNHCRFSPDDELLASCSADGTLRLWDVRSANERKS INVKRFFLSSEDPPEDVEVIVKCCSWSADGDKIIVAAKNKVLLFDIHTSGLLAEIHTGHH STIQYCDFSPYDHLAVIALSQYCVELWNIDSRLKVADCRGHLSWVHGVMFSPDGSSFLTA SDDQTIRVWETKKVCKNSAIVLKQEIDVVFQENETMVLAVDNIRGLQLIAGKTGQIDYLP EAQVSCCCLSPHLEYVAFGDEDGAIKIIELPNNRVFSSGVGHKKAVRHIQFTADGKTLIS SSEDSVIQVWNWQTGDYVFLQAHQETVKDFRLLQDSRLLSWSFDGTVKVWNVITGRIERD FTCHQGTVLSCAISSDATKFSSTSADKTAKIWSFDLLSPLHELKGHNGCVRCSAFSLDGI LLATGDDNGEIRIWNVSDGQLLHSCAPISVEEGTATHGGWVTDVCFSPDSKTLVSAGGYL KWWNVATGDSSQTFYTNGTNLKKIHVSPDFRTYVTVDNLGILYILQVLE >ENSMUSP00000124134.1 pep:known chromosome:GRCm38:10:90990602:91082704:-1 gene:ENSMUSG00000019979.12 transcript:ENSMUST00000162618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apaf1 description:apoptotic peptidase activating factor 1 [Source:MGI Symbol;Acc:MGI:1306796] MDAKARNCLLQHREALEKDIKTSYIMDHMISNGVLSVIEEEKVKSQATQYQRAAALIKMI LNKDNCAYISFYNALLHEGYKDLAALLQSGLPLVSSSSVRTVLCEGGVPQRPVIFVTRKK LVHAIQQKLWKLNGEPGWVTIYGMAGCGKSVLAAEAVRDHSLLEGCFSGGVHWVSIGKQD KSGLLMKLQNLCMRLDQEESFSQRLPLNIEEAKDRLRVLMLRKHPRSLLILDDVWDPWVL KAFDNQCQILLTTRDKSVTDSVMGPKHVVPVESGLGREKGLEILSLFVNMKKEDLPAEAH SIIKECKGSPLVVSLIGALLRDFPNRWAYYLRQLQNKQFKRIRKSSSYDYEALDEAMSIS VEMLREDIKDYYTDLSILQKDVKVPTKVLCVLWDLETEEVEDILQEFVNKSLLFCNRNGK SFCYYLHDLQVDFLTEKNRSQLQDLHRKMVTQFQRYYQPHTLSPDQEDCMYWYNFLAYHM ASANMHKELCALMFSLDWIKAKTELVGPAHLIHEFVAYRHILDEKDCAVCENFQEFLSLN GHLLGRQPFPNIVQLGLCEPETSEVYRQAKLQAKQEGDTGRLYLEWINKKTIKNLSRLVV RPHTDAVYHACFSQDGQRIASCGADKTLQVFKAETGEKLLDIKAHEDEVLCCAFSSDDSY IATCSADKKVKIWDSATGKLVHTYDEHSEQVNCCHFTNKSNHLLLATGSNDFFLKLWDLN QKECRNTMFGHTNSVNHCRFSPDDELLASCSADGTLRLWDVRSANERKSINVKRFFLSSE DPPEDVEVIVKCCSWSADGDKIIVAAKNKVLLFDIHTSGLLAEIHTGHHSTIQYCDFSPY DHLAVIALSQYCVELWNIDSRLKVADCRGHLSWVHGVMFSPDGSSFLTASDDQTIRVWET KKVCKNSAIVLKQEIDVVFQENETMVLAVDNIRGLQLIAGKTGQIDYLPEAQVSCCCLSP HLEYVAFGDEDGAIKIIELPNNRVFSSGVGHKKAVRHIQFTADGKTLISSSEDSVIQVWN WQTGDYVFLQAHQETVKDFRLLQDSRLLSWSFDGTVKVWNVITGRIERDFTCHQGTVLSC AISSDATKFSSTSADKTAKIWSFDLLSPLHELKGHNGCVRCSAFSLDGILLATGDDNGEI RIWNVSDGQLLHSCAPISVEEGTATHGGWVTDVCFSPDSKTLVSAGGYLKWWNVATGDSS QTFYTNGTNLKKIHVSPDFRTYVTVDNLGILYILQVLE >ENSMUSP00000124422.1 pep:known chromosome:GRCm38:10:91065392:91082429:-1 gene:ENSMUSG00000019979.12 transcript:ENSMUST00000161987.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apaf1 description:apoptotic peptidase activating factor 1 [Source:MGI Symbol;Acc:MGI:1306796] MDAKARNCLLQHREALEKDIKTSYIMDHMISNGVLSVIEEEKVKSQATQYQRAAALIKMI LNKDNCAYISFYNALLHEGYKDLAALLQSGLPLVSSSSGKDTDGGITSFVRTVLCEGGVP QRPVIFVTRKKLVHAIQQKLWKLNGEPGWVTIYGMAGCGKSVLAAEAVRDHSLLEGCFSG GVHWVSIGKQDKSGLLMKLQNLCMRLDQEESFSQRLPLNIEEAKDRLRVLMLRKHPRYRW SGGVSVQDFTDIPRTPCS >ENSMUSP00000124968.1 pep:known chromosome:GRCm38:10:91066890:91082770:-1 gene:ENSMUSG00000019979.12 transcript:ENSMUST00000160788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apaf1 description:apoptotic peptidase activating factor 1 [Source:MGI Symbol;Acc:MGI:1306796] MDAKARNCLLQHREALEKDIKTSYIMDHMISNGVLSVIEEEKVKSQATQYQRAAALIKMI LNKDNCAYISFYNALLHEGYKDLAALLQSGLPLVSSSSGKDTDGGITSFVRTVLCEGGVP QRPVIFVTRKKLVHAIQQKLWKLNGEPGWVTIYGMAGCGKSVLAAEAVRDHSLLEGCFSG GVHWVSIGKQDKSGLLMKLQNLCMRLDQEESFSQRLPLNIEEAKDRLRVLMLRKHPRYRW SGGVSVQDFTDIPRTPCS >ENSMUSP00000028248.4 pep:known chromosome:GRCm38:2:35751241:35979624:-1 gene:ENSMUSG00000026885.13 transcript:ENSMUST00000028248.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll11 description:tubulin tyrosine ligase-like family, member 11 [Source:MGI Symbol;Acc:MGI:1921660] MRRSSPEKKPEAEWEADAAAAAAATAAATESLPAETEKQQGVDAGAAGDPERLELEEQPK DVGRIPTPTRRHAPEEGEARVVRRLPPALPLAQPRPAARALSQLVKARGRSRSRVYRRSA GSMRPVTVDSSKARTSLDALKISLRQLRWKEFPFGRRLPCDIYWHGVSFRDSDILSGQVN KFPGMTEMVRKVTLSRALRIMQNLFPEEYNFYPRSWILPEEFQLFVSQVQTVKEGDPSWK PTFIVKPDSGCQGDGIYLIKDPCDGRLTGTLHNRPAVVQEYIRKPLLIDKLKFDIRLYVL LKSLDPLEIYIAKDGLSRFCTEPYQEPNPQNLHHVFMHLTNYSLNIHSGKFVHSDSASTG SKRTFSSILCRLSSKGVDIKKVWSDIISLVIKTVIALTPELKVFYQSDIPTGRPGPTCFQ ILGFDILLMKNLKPMLLEVNANPSMRIEHEYELSPGVFENIPSLVDEEVKVAVIRDTLRL MDPLKKKKEIHFPDIYMDRKHRIPPVSDRMSSWKHKGSSLSIVRSQQMEKSFTSKEDLNC DPTGGDSEPNPEAHLPSICLKQVFPKYAKQFNYLRLVDRMANLFIRFLGIKGTMKLGPTG FRTFIRNCKLSSSSLSMAAVDILYIDITRRWNSVTVDQRDSGMCLQAFVEAFFFLAQRKF KLQPLHEQVASLIDLCEYHLSVLDEKRLLCHRGRPLQRNPPQMNRPEHSATGSSAPRVIG ASKLSQS >ENSMUSP00000125627.1 pep:known chromosome:GRCm38:2:35751662:35979766:-1 gene:ENSMUSG00000026885.13 transcript:ENSMUST00000161970.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll11 description:tubulin tyrosine ligase-like family, member 11 [Source:MGI Symbol;Acc:MGI:1921660] MRRSSPEKKPEAEWEADAAAAAAATAAATESLPAETEKQQGVDAGAAGDPERLELEEQPK DVGRIPTPTRRHAPEEGEARVVRRLPPALPLAQPRPAARALSQLVKARGRSRSRVYRRSA GSMRPVTVDSSKARTSLDALKISLRQLRWKEFPFGRRLPCDIYWHGVSFRDSDILSGQVN KFPGPNGERR >ENSMUSP00000125511.1 pep:known chromosome:GRCm38:2:35751947:35940806:-1 gene:ENSMUSG00000026885.13 transcript:ENSMUST00000160906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll11 description:tubulin tyrosine ligase-like family, member 11 [Source:MGI Symbol;Acc:MGI:1921660] XMTEMVRKVTLSRALRIMQNLFPEEYNFYPRSWILPEEFQLFVSQVQTVKEGDPSWKPTF IVKPDSGCQGDGIYLIKDPCDGRLTGTLHNRPAVVQEYIRKPLLIDKLKFDIRLYVLLKS LDPLEIYIAKDGLSRFCTEPYQEPNPQNLHHVFMHLTNYSLNIHSGKFVHSDSASTGSKR TFSSILCRLSSKGVDIKKVWSDIISLVIKTVIALTPELKVFYQSDIPTGRPGPTCFQILG FDILLMKNLKPMLLEVNANPSMRIEHEYELSPGVFENIPSLVDEEVKVAVIRDTLRLMDP LKKKKEIQSQQMEKSFTSKEDLNCDPTGGDSEPNPEAHLPSICLKQVFPKYAKQFNYLRL VDRMANLFIRFLGIKGTMKLGPTGFRTFIRNCKLSSSSLSMAAVDILYIDITRRWNSVTV DQRDSGMCLQAFVEAFFFLAQRKFKLQPLHEQVASLIDLCEYHLSVLDEKRLLCHRGRPL QRNPPQMNRPEHSATGSSAPRVIGASKLSQS >ENSMUSP00000124510.1 pep:known chromosome:GRCm38:2:35902646:35950591:-1 gene:ENSMUSG00000026885.13 transcript:ENSMUST00000140201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll11 description:tubulin tyrosine ligase-like family, member 11 [Source:MGI Symbol;Acc:MGI:1921660] MTEMVRKVTLSRALRIMQNLFPEEYNFYPRSWILPEEFQLFVSQVQTVKEGDPSWKPTFI VKPDSGCQGDGIYLIKDPCDGRLTGTLHNRPAVVQEYIRKPLLIDKLKFDIRLYVLLKSL DPLEIYIAKDGLSRFCTEPYQEPNPQNLHHVFMHLTNYSLNIHSGKFVHSDSASTGSKRT FSSILCRLSSKGVDIKKVWSDIISLVIKTV >ENSMUSP00000125199.1 pep:known chromosome:GRCm38:2:35902666:35952414:-1 gene:ENSMUSG00000026885.13 transcript:ENSMUST00000162172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll11 description:tubulin tyrosine ligase-like family, member 11 [Source:MGI Symbol;Acc:MGI:1921660] MTEMVRKVTLSRALRIMQNLFPEEYNFYPRSWILPEEFQLFVSQVQTVKEGDPSWKPTFI VKPDSGCQGDGIYLIKDPCDGRLTGTLHNRPAVVQEYIRKPLLIDKLKFDIRLYVLLKSL DPLEIYIAKDGLSRFCTEPYQEPNPQNLHHVFMHLTNYSLNIHSGKFVHSDSASTGSKRT FSSILCRLSSKGVDIKKVWSDIIS >ENSMUSP00000108600.2 pep:known chromosome:GRCm38:2:35751241:35979624:-1 gene:ENSMUSG00000026885.13 transcript:ENSMUST00000112976.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll11 description:tubulin tyrosine ligase-like family, member 11 [Source:MGI Symbol;Acc:MGI:1921660] MRRSSPEKKPEAEWEADAAAAAAATAAATESLPAETEKQQGVDAGAAGDPERLELEEQPK DVGRIPTPTRRHAPEEGEARVVRRLPPALPLAQPRPAARALSQLVKARGRSRSRVYRRSA GSMRPVTVDSSKARTSLDALKISLRQLRWKEFPFGRRLPCDIYWHGVSFRDSDILSGQVN KFPGMTEMVRKVTLSRALRIMQNLFPEEYNFYPRSWILPEEFQLFVSQVQTVKEGDPSWK PTFIVKPDSGCQGDGIYLIKDPCDGRLTGTLHNRPAVVQEYIRKPLLIDKLKFDIRLYVL LKSLDPLEIYIAKDGLSRFCTEPYQEPNPQNLHHVFMHLTNYSLNIHSGKFVHSDSASTG SKRTFSSILCRLSSKGVDIKKVWSDIISLVIKTVIALTPELKVFYQSDIPTGRPGPTCFQ ILGFDILLMKNLKPMLLEVNANPSMRIEHEYELSPGVFENIPSLVDEEVKVAVIRDTLRL MDPLKKKKEIQSQQMEKSFTSKEDLNCDPTGGDSEPNPEAHLPSICLKQVFPKYAKQFNY LRLVDRMANLFIRFLGIKGTMKLGPTGFRTFIRNCKLSSSSLSMAAVDILYIDITRRWNS VTVDQRDSGMCLQAFVEAFFFLAQRKFKLQPLHEQVASLIDLCEYHLSVLDEKRLLCHRG RPLQRNPPQMNRPEHSATGSSAPRVIGASKLSQS >ENSMUSP00000142730.1 pep:known chromosome:GRCm38:5:137643031:137645537:-1 gene:ENSMUSG00000047182.6 transcript:ENSMUST00000196511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irs3 description:insulin receptor substrate 3 [Source:MGI Symbol;Acc:MGI:1194882] MKPAGTGPTVSSGGECTDVSLGSPFPWPCLPDVRLCGHLRKQKSQRRRFFVLRADPPRLE CYESEKKFLASGCRPPRPRRTVSLEGACTISKRADARQRHLIVIYTSDSSLGVAAASEAE QQAWYSALLEVRATAAAAAATAMGFSPQEAPESWIFAPFQDVWPVTLRSKGLGRAQGLSS GSYRLCLGSGALSLLRKPGSKGSRDSRATPPPVLRLSLLSVRRCGHADSFFFLELGRSAP IGPGELWLQAPDAVVAQSIHETVLAAMKRLGSNAAGKAEPPPQGNPPKSVPAAPTPTPYE IPASAAQARSPSERAKQDYFKPLERMGSTHSYKGLDLGGNYITMGVRNDYVHMGGGEAER NS >ENSMUSP00000060844.3 pep:known chromosome:GRCm38:5:137643032:137645714:-1 gene:ENSMUSG00000047182.6 transcript:ENSMUST00000057314.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irs3 description:insulin receptor substrate 3 [Source:MGI Symbol;Acc:MGI:1194882] MKPAGTGPTVSSGGECTDVSLGSPFPWPCLPDVRLCGHLRKQKSQRRRFFVLRADPPRLE CYESEKKFLASGCRPPRPRRTVSLEGACTISKRADARQRHLIVIYTSDSSLGVAAASEAE QQAWYSALLEVRATAAAAAATAMGFSPQEAPESWIFAPFQDVWPVTLRSKGLGRAQGLSS GSYRLCLGSGALSLLRKPGSKGSRDSRATPPPVLRLSLLSVRRCGHADSFFFLELGRSAP IGPGELWLQAPDAVVAQSIHETVLAAMKRLGSNAAGKAEPPPQGNPPKSVPAAPTPTPYE IPASAAQARSPSERAKQDYFKPLERMGSTHSYKGLDLGGNYITMGVRNDYVHMGGGEAGD YMWMAPPGLPPTPARADPNKQLEDCESTEYVPMNRFLPGPFYYELKARESELGHPGAHCS LRDRWRPTVAQPRSSQGSELSGDYMSIPDYVGTDSARLGSLDSCLNYVDLDLVPPLEVPG AAPGKSPHSYASIKF >ENSMUSP00000028665.4 pep:known chromosome:GRCm38:2:122120108:122186189:-1 gene:ENSMUSG00000027233.4 transcript:ENSMUST00000028665.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Patl2 description:protein associated with topoisomerase II homolog 2 (yeast) [Source:MGI Symbol;Acc:MGI:1914828] MKCLEGLHLQQRPSKFSVSLAPEEGLVCAFQLEEEKENEDECVCSDQAPEVKEEGCGLGD PAIVSAFQNTQVPQQRGLHSSHRVKVSGALGMSSASLHFMWQSVFPRASSPAHHFGPQQP SPDPFLFYSPLTPWPPKLSLPSHLTQLHPQHQQILQQQQRWRRRRSPTARSVPAQKPWSR EPAASDAYANLMTRKEKDWVIRVQMVQLQSENPRLDDYYYQKYYQKLEKRQADKELLGQK TRAESLKLVTPYIQKPEVYESVVRIEGSLGQVAVSTCFSPRRAIDAVSHGTQEQDTGAAS SQRLRVLSQIEKMFLQLLKIEEGQNDGLPQLYHTREQSSQVEKLFQALKTQEQNNLEEAA DNLLQVLSVRKGKVLVARLLPFLPPDQAVSLLLYITYHLPLLIQRDMADQGLHMLFKPLG KYISHLTFHQLLHAMQGLMLLSPGSSERPVSVVLQNQFGISLLYALLSHGEQLVSLDPSL RSSSDCATWTDLVILIAWEIAQLPAASLAEPLAFPRNLLPCSAITWTSN >ENSMUSP00000137761.1 pep:known chromosome:GRCm38:2:84742169:84743655:-1 gene:ENSMUSG00000097187.1 transcript:ENSMUST00000181711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm19426 description:predicted gene, 19426 [Source:MGI Symbol;Acc:MGI:5011611] MCWAPFLLLWRAGAGRWWPMRRGSGENLSQALPSPISSSVSTPASGRSWGMAPRPKVGEG SCWLLNCWSPLRNELGT >ENSMUSP00000041664.4 pep:known chromosome:GRCm38:7:131966460:131994405:1 gene:ENSMUSG00000040125.4 transcript:ENSMUST00000045840.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr26 description:G protein-coupled receptor 26 [Source:MGI Symbol;Acc:MGI:2441758] MNSWDAGLAGLLVGTIGVSLLSNGLVLLCLLHSADIRRQAPALFTLNLTCGNLLCTVVNM PLTLAGVVAQRQPAGDRLCRLAAFLDTFLAANSMLSMAALSIDRWVAVVFPLSYRAKMRL RDAAFMVAYTWLHALTFPATALALSWLGFHQLYASCTLCSRRPDERLRFAVFTSAFHALS FLLSFIVLCFTYLKVLKVARFHCKRIDVITMQTLVLLVDIHPSVRERCLEEQKRRRQRAT KKISTFIGTFLVCFAPYVITRLVELFSTAPIGSHWGVLSKCLAYSKAASDPFVYSLLRHQ YRRSCKELLNRIFNRRSLHSVGLTGDSHSQNILPVSE >ENSMUSP00000132180.1 pep:known chromosome:GRCm38:4:96294508:96348662:-1 gene:ENSMUSG00000066097.6 transcript:ENSMUST00000015368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j11 description:cytochrome P450, family 2, subfamily j, polypeptide 11 [Source:MGI Symbol;Acc:MGI:2140224] MLAIATCLVANICSAIHLWTLLLTLLTLLLLADYLKNRRPKNYPPGPRRLPFVGNLFQFD LDVSRLHLGIQPFVKKYGNVISVNFGYISSVIISGLPLIKEAITGMEQNFLKRPSLAARQ HVFKNNGIVFSSGQTWKEQRKFALTILKNFGLGKKSLEQCIQEEAYHLVKAIGEEKGQPF DPHFRINNAVGNIICSIIFGERFEYDDNQFQELLKLADEIICSEASMMSVLYNVFPSIFK YLPGPQQKLFSNWEKLKLFVSRMMDSHREDWNPSAPRDFIDAFLTEMTKYPDKTTTSFNE ENLICTALDLFFAGTETTSNTLRWALLYITVNPEVQEKVHSEIDRVIGHGRHPTLDDQDS MPYTNAVIHEVLRMGNIIPLNVPREVTADSTLAGFYLPKGTMVLINLTDLHRDPKEWDTP NVFNPEHFLENGQFKKKESFLPFSMGKRACPGEQLASCELFIFFTALMQKFTFKSPINEK PSLKFRMGLTLAPVSYRICAVPRL >ENSMUSP00000057462.6 pep:known chromosome:GRCm38:12:79156017:79172667:-1 gene:ENSMUSG00000021124.13 transcript:ENSMUST00000055262.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vti1b description:vesicle transport through interaction with t-SNAREs 1B [Source:MGI Symbol;Acc:MGI:1855688] MAASAASSEHFEKLHEIFRGLLEDLQGVPERLLGTAGTEEKKKLVRDFDEKQQEANETLA EMEEELRYAPLTFRNPMMSKLRNYRKDLAKLHREVRSTPLTAAPGGRGDLKYGTYTLENE HLNRLQSQRALLLQGTESLNRATQSIERSHRIATETDQIGTEIIEELGEQRDQLERTKSR LVNTNENLSKSRKILRSMSRKVITNKLLLSVIILLELAILVGLVYYKFFRHH >ENSMUSP00000124260.2 pep:known chromosome:GRCm38:12:79156170:79172448:-1 gene:ENSMUSG00000021124.13 transcript:ENSMUST00000162789.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vti1b description:vesicle transport through interaction with t-SNAREs 1B [Source:MGI Symbol;Acc:MGI:1855688] MAASAASSEHFEKLHEIFRGLLEDLQGVPERLLGTAGTVGRDGGRTTICTPDFP >ENSMUSP00000124741.1 pep:known chromosome:GRCm38:12:79156329:79165139:-1 gene:ENSMUSG00000021124.13 transcript:ENSMUST00000162569.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vti1b description:vesicle transport through interaction with t-SNAREs 1B [Source:MGI Symbol;Acc:MGI:1855688] LAEMEEELRYAPLTFRNPMMSKLRNYRKDLAKLHREVRSTPLTAAPGGRGDLKYGTYTLE NEHLNRLQSQRALLLQGTESLNRATQSIERSHRIATETDQIGTEIIEELGEQRDQLERTK SRSDNQQVAALRHHLAGASHPGRSGVLQILSTPLNFCRESLVDQHLTLNEWFYIGICVAA VG >ENSMUSP00000124464.1 pep:known chromosome:GRCm38:12:79156517:79166368:-1 gene:ENSMUSG00000021124.13 transcript:ENSMUST00000163031.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vti1b description:vesicle transport through interaction with t-SNAREs 1B [Source:MGI Symbol;Acc:MGI:1855688] QEANETLAEMEEELRYAPLTFRNPMMSKLRNYRKDLAKLHREVRSTPLTAAPGGRGDLKY GTYTLENEHLDFSM >ENSMUSP00000132299.2 pep:known chromosome:GRCm38:10:79148797:79195012:1 gene:ENSMUSG00000091888.2 transcript:ENSMUST00000165834.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r80 description:vomeronasal 2, receptor 80 [Source:MGI Symbol;Acc:MGI:3646321] MLFSLFIFFWFLNMPTFTWIIATDICPTDTKYLIHYDGTVVIGAFFPILHNSPISLTTEW KYLPMDTDNYFGMSERNYQLVLAMLFAINEINLNSHILPNTSLGLEIYNLPAIGRNIFRT VFSWLTGLSSVIPNYTCRKESNSAVTLTGVSWKTSETIWTILDLYKFPQLTFGPFDPVQI DRNQFQSLYQMAPKDTALLSGIASLMLHFSWNWVGLIITDDHRGAQFLSDFRKELDKTRI CIAFVQTVLYLEETLLHLLSQNLIHFLESSTADVIVIYGPTSILLTLIESTYRKYNMKKI WIMNSKWFCPNLELYNMIELSHGALIFSPHYEEITGFKKFMQEATPNKYPEDIFLHLLWY WHFNCSFLHSECKIFENCLQNASLELLPGNIFTMTMTEESYNVYNAVLAVAYSLHEKTLS QIQFQPQANIDRTLLFPWQLHPFLKKIQVKNSVGDHVVLDWKRKADPEYDITNIWNFPTG LSQLVKVGTFVPSAPKGEQLSISEYMINWPIGFTEIPHSVCSESCSPGFRKVALESKPTC CFDCTPCPDNEISNETDLDQCVHCPETHYANGEKRYCLKKRVTFLSYDDPLGKGITLLSI GFSTLTALFIWVFVDHRGTPIIKANNRSLSYILLITLILCFLCPLLFIGLPNTAMCIMQQ YIFGLLFTVALSTVLAKTITVVMAFKITAPGRKIRWLLTSQVPKFVIPVCTLIQILLSGI WLGTSPPFIDKDCHSEHGHIIILCSKGSYIYFYCTLAYLGVMAFGSYLLAFLSRRLPDRF NESKSLAFSMLVFCSVWVMFLPVYHSTSGKVMVAMEIFSTLASSASILIIVFAPKCYIVL FRPEVNTLTHNRDKRQHRSKILL >ENSMUSP00000044004.8 pep:known chromosome:GRCm38:10:127538161:127621148:-1 gene:ENSMUSG00000040249.15 transcript:ENSMUST00000049149.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1 description:low density lipoprotein receptor-related protein 1 [Source:MGI Symbol;Acc:MGI:96828] MLTPPLLLLLPLLSALVSGATMDAPKTCSPKQFACRDQITCISKGWRCDGERDCPDGSDE APEICPQSKAQRCPPNEHSCLGTELCVPMSRLCNGIQDCMDGSDEGAHCRELRANCSRMG CQHHCVPTPSGPTCYCNSSFQLQADGKTCKDFDECSVYGTCSQLCTNTDGSFTCGCVEGY LLQPDNRSCKAKNEPVDRPPVLLIANSQNILATYLSGAQVSTITPTSTRQTTAMDFSYAN ETVCWVHVGDSAAQTQLKCARMPGLKGFVDEHTINISLSLHHVEQMAIDWLTGNFYFVDD IDDRIFVCNRNGDTCVTLLDLELYNPKGIALDPAMGKVFFTDYGQIPKVERCDMDGQNRT KLVDSKIVFPHGITLDLVSRLVYWADAYLDYIEVVDYEGKGRQTIIQGILIEHLYGLTVF ENYLYATNSDNANTQQKTSVIRVNRFNSTEYQVVTRVDKGGALHIYHQRRQPRVRSHACE NDQYGKPGGCSDICLLANSHKARTCRCRSGFSLGSDGKSCKKPEHELFLVYGKGRPGIIR GMDMGAKVPDEHMIPIENLMNPRALDFHAETGFIYFADTTSYLIGRQKIDGTERETILKD GIHNVEGVAVDWMGDNLYWTDDGPKKTISVARLEKAAQTRKTLIEGKMTHPRAIVVDPLN GWMYWTDWEEDPKDSRRGRLERAWMDGSHRDIFVTSKTVLWPNGLSLDIPAGRLYWVDAF YDRIETILLNGTDRKIVYEGPELNHAFGLCHHGNYLFWTEYRSGSVYRLERGVAGAPPTV TLLRSERPPIFEIRMYDAQQQQVGTNKCRVNNGGCSSLCLATPGSRQCACAEDQVLDTDG VTCLANPSYVPPPQCQPGEFACANNRCIQERWKCDGDNDCLDNSDEAPALCHQHTCPSDR FKCENNRCIPNRWLCDGDNDCGNSEDESNATCSARTCPPNQFSCASGRCIPISWTCDLDD DCGDRSDESASCAYPTCFPLTQFTCNNGRCININWRCDNDNDCGDNSDEAGCSHSCSSTQ FKCNSGRCIPEHWTCDGDNDCGDYSDETHANCTNQATRPPGGCHSDEFQCRLDGLCIPLR WRCDGDTDCMDSSDEKSCEGVTHVCDPNVKFGCKDSARCISKAWVCDGDSDCEDNSDEEN CEALACRPPSHPCANNTSVCLPPDKLCDGKDDCGDGSDEGELCDQCSLNNGGCSHNCSVA PGEGIVCSCPLGMELGSDNHTCQIQSYCAKHLKCSQKCDQNKFSVKCSCYEGWVLEPDGE SCRSLDPFKPFIIFSNRHEIRRIDLHKGDYSVLVPGLRNTIALDFHLSQSALYWTDVVED KIYRGKLLDNGALTSFEVVIQYGLATPEGLAVDWIAGNIYWVESNLDQIEVAKLDGTLRT TLLAGDIEHPRAIALDPRDGILFWTDWDASLPRIEAASMSGAGRRTIHRETGSGGWPNGL TVDYLEKRILWIDARSDAIYSARYDGSGHMEVLRGHEFLSHPFAVTLYGGEVYWTDWRTN TLAKANKWTGHNVTVVQRTNTQPFDLQVYHPSRQPMAPNPCEANGGRGPCSHLCLINYNR TVSCACPHLMKLHKDNTTCYEFKKFLLYARQMEIRGVDLDAPYYNYIISFTVPDIDNVTV LDYDAREQRVYWSDVRTQAIKRAFINGTGVETVVSADLPNAHGLAVDWVSRNLFWTSYDT NKKQINVARLDGSFKNAVVQGLEQPHGLVVHPLRGKLYWTDGDNISMANMDGSNHTLLFS GQKGPVGLAIDFPESKLYWISSGNHTINRCNLDGSELEVIDTMRSQLGKATALAIMGDKL WWADQVSEKMGTCNKADGSGSVVLRNSTTLVMHMKVYDESIQLEHEGTNPCSVNNGDCSQ LCLPTSETTRSCMCTAGYSLRSGQQACEGVGSFLLYSVHEGIRGIPLDPNDKSDALVPVS GTSLAVGIDFHAENDTIYWVDMGLSTISRAKRDQTWREDVVTNGIGRVEGIAVDWIAGNI YWTDQGFDVIEVARLNGSFRYVVISQGLDKPRAITVHPEKGYLFWTEWGHYPRIERSRLD GTERVVLVNVSISWPNGISVDYQGGKLYWCDARMDKIERIDLETGENREVVLSSNNMDMF SVSVFEDFIYWSDRTHANGSIKRGCKDNATDSVPLRTGIGVQLKDIKVFNRDRQKGTNVC AVANGGCQQLCLYRGGGQRACACAHGMLAEDGASCREYAGYLLYSERTILKSIHLSDERN LNAPVQPFEDPEHMKNVIALAFDYRAGTSPGTPNRIFFSDIHFGNIQQINDDGSGRTTIV ENVGSVEGLAYHRGWDTLYWTSYTTSTITRHTVDQTRPGAFERETVITMSGDDHPRAFVL DECQNLMFWTNWNELHPSIMRAALSGANVLTLIEKDIRTPNGLAIDHRAEKLYFSDATLD KIERCEYDGSHRYVILKSEPVHPFGLAVYGEHIFWTDWVRRAVQRANKYVGSDMKLLRVD IPQQPMGIIAVANDTNSCELSPCRINNGGCQDLCLLTHQGHVNCSCRGGRILQEDFTCRA VNSSCRAQDEFECANGECISFSLTCDGVSHCKDKSDEKPSYCNSRRCKKTFRQCNNGRCV SNMLWCNGVDDCGDGSDEIPCNKTACGVGEFRCRDGSCIGNSSRCNQFVDCEDASDEMNC STTDCSSYFRLGVKGVLFQPCERTSLCYAPSWVCDGANDCGDYSDERDCPGVKRPRCPLN YFACPSGRCIPMSWTCDKEDDCENGEDETHCNKFCSEAQFECQNHRCISKQWLCDGSDDC GDGSDEAAHCEGKTCGPSSFSCPGTHVCVPERWLCDGDKDCTDGADESVTAGCLYNSTCD DREFMCQNRLCIPKHFVCDHDRDCADGSDESPECEYPTCGPNEFRCANGRCLSSRQWECD GENDCHDHSDEAPKNPHCTSPEHKCNASSQFLCSSGRCVAEALLCNGQDDCGDGSDERGC HVNECLSRKLSGCSQDCEDLKIGFKCRCRPGFRLKDDGRTCADLDECSTTFPCSQLCINT HGSYKCLCVEGYAPRGGDPHSCKAVTDEEPFLIFANRYYLRKLNLDGSNYTLLKQGLNNA VALDFDYREQMIYWTDVTTQGSMIRRMHLNGSNVQVLHRTGLSNPDGLAVDWVGGNLYWC DKGRDTIEVSKLNGAYRTVLVSSGLREPRALVVDVQNGYLYWTDWGDHSLIGRIGMDGSG RSIIVDTKITWPNGLTVDYVTERIYWADAREDYIEFASLDGSNRHVVLSQDIPHIFALTL FEDYVYWTDWETKSINRAHKTTGANKTLLISTLHRPMDLHVFHALRQPDVPNHPCKVNNG GCSNLCLLSPGGGHKCACPTNFYLGGDGRTCVSNCTASQFVCKNDKCIPFWWKCDTEDDC GDHSDEPPDCPEFKCRPGQFQCSTGICTNPAFICDGDNDCQDNSDEANCDIHVCLPSQFK CTNTNRCIPGIFRCNGQDNCGDGEDERDCPEVTCAPNQFQCSITKRCIPRVWVCDRDNDC VDGSDEPANCTQMTCGVDEFRCKDSGRCIPARWKCDGEDDCGDGSDEPKEECDERTCEPY QFRCKNNRCVPGRWQCDYDNDCGDNSDEESCTPRPCSESEFSCANGRCIAGRWKCDGDHD CADGSDEKDCTPRCDMDQFQCKSGHCIPLRWRCDADADCMDGSDEEACGTGVRTCPLDEF QCNNTLCKPLAWKCDGEDDCGDNSDENPEECARFICPPNRPFRCKNDRVCLWIGRQCDGV DNCGDGTDEEDCEPPTAQNPHCKDKKEFLCRNQRCLSSSLRCNMFDDCGDGSDEEDCSID PKLTSCATNASMCGDEARCVRTEKAAYCACRSGFHTVPGQPGCQDINECLRFGTCSQLCN NTKGGHLCSCARNFMKTHNTCKAEGSEYQVLYIADDNEIRSLFPGHPHSAYEQTFQGDES VRIDAMDVHVKAGRVYWTNWHTGTISYRSLPPAAPPTTSNRHRRQIDRGVTHLNISGLKM PRGIAIDWVAGNVYWTDSGRDVIEVAQMKGENRKTLISGMIDEPHAIVVDPLRGTMYWSD WGNHPKIETAAMDGTLRETLVQDNIQWPTGLAVDYHNERLYWADAKLSVIGSIRLNGTDP IVAADSKRGLSHPFSIDVFEDYIYGVTYINNRVFKIHKFGHSPLINLTGGLSHASDVVLY HQHKQPEVTNPCDRKKCEWLCLLSPSGPVCTCPNGKRLDNGTCVPVPSPTPPPDAPRPGT CTLQCFNGGSCFLNARRQPKCRCQPRYTGDKCELDQCWEYCHNGGTCAASPSGMPTCRCP TGFTGPKCTAQVCAGYCSNNSTCTVNQGNQPQCRCLPGFLGDRCQYRQCSGFCENFGTCQ MAADGSRQCRCTVYFEGPRCEVNKCSRCLQGACVVNKQTGDVTCNCTDGRVAPSCLTCID HCSNGGSCTMNSKMMPECQCPPHMTGPRCEEQVVSQQQPGHMASILIPLLLLLLLLLVAG VVFWYKRRVRGAKGFQHQRMTNGAMNVEIGNPTYKMYEGGEPDDVGGLLDADFALDPDKP TNFTNPVYATLYMGGHGSRHSLASTDEKRELLGRGPEDEIGDPLA >ENSMUSP00000113584.1 pep:known chromosome:GRCm38:10:127589156:127620970:-1 gene:ENSMUSG00000040249.15 transcript:ENSMUST00000121829.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1 description:low density lipoprotein receptor-related protein 1 [Source:MGI Symbol;Acc:MGI:96828] MLTPPLLLLLPLLSALVSGATMDAPKTCSPKQFACRDQITCISKGWRCDGERDCPDGSDE APEICPQSKAQRCPPNEHSCLGTELCVPMSRLCNGIQDCMDGSDEGAHCRELRANCSRMG CQHHCVPTPSGPTCYCNSSFQLQADGKTCKDFDECSVYGTCSQLCTNTDGSFTCGCVEGY LLQPDNRSCKAKNEPVDRPPVLLIANSQNILATYLSGAQVSTITPTSTRQTTAMDFSYAN ETVCWVHVGDSAAQTQLKCARMPGLKGFVDEHTINISLSLHHVEQMAIDWLTGNFYFVDD IDDRIFVCNRNGDTCVTLLDLELYNPKGIALDPAMGKVFFTDYGQIPKVERCDMDGQNRT KLVDSKIVFPHGITLDLVSRLVYWADAYLDYIEVVDYEGKGRQTIIQGILIEHLYGLTVF ENYLYATNSDNANTQQKTSVIRVNRFNSTEYQVVTRVDKGGALHIYHQRRQPRVRSHACE NDQYGKPGGCSDICLLANSHKARTCRCRSGFSLGSDGKSCKKPEHELFLVYGKGRPGIIR GMDMGAKVPDEHMIPIENLMNPRALDFHAETGFIYFADTTSYLIGRQKIDGTERETILKD GIHNVEGVAVDWMGDNLYWTDDGPKKTISVARLEKAAQTRKTLIEGKMTHPRAIVVDPLN GWMYWTDWEEDPKDSRRGRLERAWMDGSHRDIFVTSKTVLWPNGLSLDIPAGRLYWVDAF YDRIETILLNGTDRKVGRHVCIV >ENSMUSP00000115305.1 pep:known chromosome:GRCm38:10:127581729:127583460:-1 gene:ENSMUSG00000040249.15 transcript:ENSMUST00000133620.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1 description:low density lipoprotein receptor-related protein 1 [Source:MGI Symbol;Acc:MGI:96828] XYPTCFPLTQFTCNNGRCININWRCDNEKDCGDGSDEKTCPEPADNDCGDNSDEAGCSHS CSSTQFKCNSGRCIPEHWTCDGDNDCGDYSDETHANCT >ENSMUSP00000120567.1 pep:known chromosome:GRCm38:10:127546395:127549917:-1 gene:ENSMUSG00000040249.15 transcript:ENSMUST00000129727.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrp1 description:low density lipoprotein receptor-related protein 1 [Source:MGI Symbol;Acc:MGI:96828] EECDSNICRPLHP >ENSMUSP00000113497.1 pep:known chromosome:GRCm38:10:127599884:127620922:-1 gene:ENSMUSG00000040249.15 transcript:ENSMUST00000118455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1 description:low density lipoprotein receptor-related protein 1 [Source:MGI Symbol;Acc:MGI:96828] MLTPPLLLLLPLLSALVSGATMDAPKTCSPKQFACRDQITCISKGWRCDGERDCPDGSDE APEICPQSKAQRCPPNEHSCLGTELCVPMSRLCNGIQDCMDGSDEGAHCRELRANCSRMG CQHHCVPTPSGPTCYCNSSFQLQADGKTCKDFDECSVYGTCSQLCTNTDGSFTCGCVEGY LLQPDNRSCKAKNEPVDRPPVLLIANSQNILATYLSGAQVSTITPTSTRQTTAMDFSYAN ETVCWVHVGDSAAQTQLKCARMPGLKGFVDEHTINISLSLHLDVF >ENSMUSP00000095794.3 pep:known chromosome:GRCm38:7:103812524:103813996:-1 gene:ENSMUSG00000073940.3 transcript:ENSMUST00000098192.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbb-bt description:hemoglobin, beta adult t chain [Source:MGI Symbol;Acc:MGI:5474850] MVHLTDAEKAAVSGLWGKVNADEVGGEALGRLLVVYPWTQRYFDSFGDLSSASAIMGNAK VKAHGKKVITAFNDGLNHLDSLKGTFASLSELHCDKLHVDPENFRLLGNMIVIVLGHHLG KDFTPAAQAAFQKVVAGVAAALAHKYH >ENSMUSP00000144999.1 pep:known chromosome:GRCm38:6:87578591:87590743:-1 gene:ENSMUSG00000049409.9 transcript:ENSMUST00000204682.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prokr1 description:prokineticin receptor 1 [Source:MGI Symbol;Acc:MGI:1929676] METTVGALGENTTDTFTDFFSALDGHEAQTGSLPFTFSYGDYDMPLDEEEDVTNSRTFFA AKIVIGMALVGIMLVCGIGNFIFITALARYKKLRNLTNLLIANLAISDFLVAIVCCPFEM DYYVVRQLSWEHGHVLCASVNYLRTVSLYVSTNALLAIAIDRYLAIVHPLRPRMKCQTAA GLIFLVWSVSILIAIPAAYFTTETVLVIVERQEKIFCGQIWPVDQQFYYRSYFLLVFGLE FVGPVVAMTLCYARVSRELWFKAVPGFQTEQIRRRLRCRRRTVLGLVCVLSAYVLCWAPF YGFTIVRDFFPSVFVKEKHYLTAFYVVECIAMSNSMINTLCFVTVRNNTSKYLKRILRLQ WRASPSGSKASADLDLRTTGIPATEEVDCIRLK >ENSMUSP00000059034.7 pep:known chromosome:GRCm38:6:87578592:87590720:-1 gene:ENSMUSG00000049409.9 transcript:ENSMUST00000050887.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prokr1 description:prokineticin receptor 1 [Source:MGI Symbol;Acc:MGI:1929676] METTVGALGENTTDTFTDFFSALDGHEAQTGSLPFTFSYGDYDMPLDEEEDVTNSRTFFA AKIVIGMALVGIMLVCGIGNFIFITALARYKKLRNLTNLLIANLAISDFLVAIVCCPFEM DYYVVRQLSWEHGHVLCASVNYLRTVSLYVSTNALLAIAIDRYLAIVHPLRPRMKCQTAA GLIFLVWSVSILIAIPAAYFTTETVLVIVERQEKIFCGQIWPVDQQFYYRSYFLLVFGLE FVGPVVAMTLCYARVSRELWFKAVPGFQTEQIRRRLRCRRRTVLGLVCVLSAYVLCWAPF YGFTIVRDFFPSVFVKEKHYLTAFYVVECIAMSNSMINTLCFVTVRNNTSKYLKRILRLQ WRASPSGSKASADLDLRTTGIPATEEVDCIRLK >ENSMUSP00000145476.1 pep:known chromosome:GRCm38:6:87588404:87590719:-1 gene:ENSMUSG00000049409.9 transcript:ENSMUST00000203636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prokr1 description:prokineticin receptor 1 [Source:MGI Symbol;Acc:MGI:1929676] METTVGALGENTTDTFTDFFSALDGHEAQTGSLPFTFSYGDYDMPLDEEEDVTNSRTFFA AKIVIGMALTSSWPSCAAPLRWTTMWCASSPGSMVMSCAPLSTTCVPSPSTSPL >ENSMUSP00000113097.1 pep:known chromosome:GRCm38:5:123015074:123030456:1 gene:ENSMUSG00000049686.14 transcript:ENSMUST00000121652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orai1 description:ORAI calcium release-activated calcium modulator 1 [Source:MGI Symbol;Acc:MGI:1925542] MHPEPAPPPSHSNPELPVSGGSSTSGSRRSRRRSGDGEPSGAPPLPPPPPAVSYPDWIGQ SYSEVMSLNEHSMQALSWRKLYLSRAKLKASSRTSALLSGFAMVAMVEVQLDTDHDYPPG LLIVFSACTTVLVAVHLFALMISTCILPNIEAVSNVHNLNSVKESPHERMHRHIELAWAF STVIGTLLFLAEVVLLCWVKFLPLKRQAGQPSPTKPPAESVIVANHSDSSGITPGEAAAI ASTAIMVPCGLVFIVFAVHFYRSLVSHKTDRQFQELNELAEFARLQDQLDHRGDHSLTPG THYA >ENSMUSP00000058169.4 pep:known chromosome:GRCm38:5:123015074:123030156:1 gene:ENSMUSG00000049686.14 transcript:ENSMUST00000051016.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orai1 description:ORAI calcium release-activated calcium modulator 1 [Source:MGI Symbol;Acc:MGI:1925542] MHPEPAPPPSHSNPELPVSGGSSTSGSRRSRRRSGDGEPSGAPPLPPPPPAVSYPDWIGQ SYSEVMSLNEHSMQALSWRKLYLSRAKLKASSRTSALLSGFAMVAMVEVQLDTDHDYPPG LLIVFSACTTVLVAVHLFALMISTCILPNIEAVSNVHNLNSVKESPHERMHRHIELAWAF STVIGTLLFLAEVVLLCWVKFLPLKRQAGQPSPTKPPAESVIVANHSDSSGITPGEAAAI ASTAIMVPCGLVFIVFAVHFYRSLVSHKTDRQFQELNELAEFARLQDQLDHRGDHSLTPG THYA >ENSMUSP00000033241.5 pep:known chromosome:GRCm38:7:132610639:132706420:1 gene:ENSMUSG00000030946.12 transcript:ENSMUST00000033241.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhpp description:phospholysine phosphohistidine inorganic pyrophosphate phosphatase [Source:MGI Symbol;Acc:MGI:1923679] MAAWAERLTGVRGVLLDISGVLCDSSASGATAIAGSVEAVARLKQSPLKVRFCTNESQKS LRELVGVLQQLGFDISEEEVTAPAPATCQILKERGLRPHLLIHEGVRSEFDDIDMSNPNC VVIADAGEAFSYQNMNRAFQVLMELENPVLISLGKGRYYKETSGLMLDVGGYMKALEYAC GIKAEVVGKPSPEFFKSALQAIGVEAHQAIMIGDDIVGDVGGAQQCGMRALQVRTGKFRP GDEHHPEVQADGYVDNLAEAVDLLLKYTDK >ENSMUSP00000101158.3 pep:known chromosome:GRCm38:10:26274468:26373956:-1 gene:ENSMUSG00000039089.14 transcript:ENSMUST00000105519.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl3 description:l(3)mbt-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2143628] MTESASSTSGQEFDVFSVMDWKDGVGTLPGSDLKFRVNEFGALEVITDESEMESVKKATA TTTWMVPTAQDVFSEKTGVPFRLKEQSKADGLQFCENCCQYGNGDECLSGGKYCSQNCAR HAKDKDQKDERDGGEDNDEEDPKCSRKKKPKLSLKADSKDDGEERDDEMENKQDGRILRG SQRARRKRRGDSAVLKQGLPPKGKKTWCWASYLEEEKAVAVPTKLFKEHQSFPYNKNGFK VGMKLEGVDPDHQAMYCVLTVAEVCGYRIKLHFDGYSDCYDFWVNADALDIHPVGWCEKT GHKLRPPKGYKEEEFNWQSYLKTCKAQAAPKSLFENQNITVIPSGFRVGMKLEAADKKSP SVICVATVTDMVDNRFLVHFDNWDESYDYWCESNSPHIHPVGWCKEHRRTLITPPGYSHV KHFSWDKYLEETNSLPAPARAFKVKPPHGFQKKMKLEAVDKRNPLFIRVATVADTDDHRI KVHFDGWSSCYDYWIDADSPDIHPVGWCSKTGHPLQAPLSPAELMEPSETGGCPTLGCRG VGHFKKSRYLGTQSGANCPYSEINLSKERIFPDRLSGDTSPPTTPSFPRSKRMDTRESSS SPETREKHANNFKEDSEKKKENEVKTSAEAKVVREEPTPSVQQSQPPQQVQQVQHAQPPQ QAQKAPQAQQAQQAQQAQQAPQAPQTPQPQQAPQVQQAQQAPQAQQAQQPQQAQQPQQAP PVQQPQQVQQAQPTQQQAQTQQQAQRRSAVFLSFKPPIPCLPLRWEQQSKLLPTVAGIPA SRVSKWSTDEVSEFIQSLPGCEEHGKVFKDEQIDGEAFLLMTQTDIVKIMSIKLGPALKI FNSILMFKAAEKNSHNEL >ENSMUSP00000133479.1 pep:known chromosome:GRCm38:10:26275452:26375185:-1 gene:ENSMUSG00000039089.14 transcript:ENSMUST00000174766.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl3 description:l(3)mbt-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2143628] MTESASSTSGQEFDVFSVMDWKDGVGTLPGSDLKFRVNEFGALEVITDESEMESVKKATA TTTWMVPTAQDAPTSPPSSRPVFPPAYWTSPPGCPTVFSEKTGVPFRLKEQSKADGLQFC ENCCQYGNGDECLSGGKYCSQNCARHAKDKDQKDERDGGEDNDEEDPKCSRKKKPKLSLK ADSKDDGEERDDEMENKQDGRILRGSQRARRKRRGDSAVLKQGLPPKGKKTWCWASYLEE EKAVAVPTKLFKEHQSFPYNKNGFKVGMKLEGVDPDHQAMYCVLTVAEVCGYRIKLHFDG YSDCYDFWVNADALDIHPVGWCEKTGHKLRPPKGYKEEEFNWQSYLKTCKAQAAPKSLFE NQNITVIPSGFRVGMKLEAADKKSPSVICVATVTDMVDNRFLVHFDNWDESYDYWCESNS PHIHPVGWCKEHRRTLITPPGYSHVKHFSWDKYLEETNSLPAPARAFKVKPPHGFQKKMK LEAVDKRNPLFIRVATVADTDDHRIKVHFDGWSSCYDYWIDADSPDIHPVGWCSKTGHPL QAPLSPAELMEPSETGGCPTLGCRGVGHFKKSRYLGTQSGANCPYSEINLSKERIFPDRL SGDTSPPTTPSFPRSKRMDTRESSSSPETREKHANNFKEDSEKKKENEVKTSAEAKVVRE EPTPSVQQSQPPQQVQQVQHAQPPQQAQKAPQAQQAQQAQQAQQAPQAPQTPQPQQAPQV QQAQQAPQAQQAQQPQQAQQPQQAPPVQQPQQVQQAQPTQQQAQTQQQAQRRSAVFLSFK PPIPCLPLRWEQQSKLLPTVAGIPASRVSKWSTDEVSEFIQSLPGCEEHGKVFKDEQIDG EAFLLMTQTDIVKIMSIKLGPALKIFNSILMFKAAEKNSHNEL >ENSMUSP00000037619.6 pep:known chromosome:GRCm38:10:26274471:26373956:-1 gene:ENSMUSG00000039089.14 transcript:ENSMUST00000040219.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L3mbtl3 description:l(3)mbt-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2143628] MTESASSTSGQEFDVFSVMDWKDGVGTLPGSDLKFRVNEFGALEVITDESEMESVKKATA TTTWMVPTAQDAPTSPPSSRPVFPPAYWTSPPGCPTVFSEKTGVPFRLKEQSKADGLQFC ENCCQYGNGDECLSGGKYCSQNCARHAKDKDQKDERDGGEDNDEEDPKCSRKKKPKLSLK ADSKDDGEERDDEMENKQDGRILRGSQRARRKRRGDSAVLKQGLPPKGKKTWCWASYLEE EKAVAVPTKLFKEHQSFPYNKNGFKVGMKLEGVDPDHQAMYCVLTVAEVCGYRIKLHFDG YSDCYDFWVNADALDIHPVGWCEKTGHKLRPPKGYKEEEFNWQSYLKTCKAQAAPKSLFE NQNITVIPSGFRVGMKLEAADKKSPSVICVATVTDMVDNRFLVHFDNWDESYDYWCESNS PHIHPVGWCKEHRRTLITPPGYSHVKHFSWDKYLEETNSLPAPARAFKVKPPHGFQKKMK LEAVDKRNPLFIRVATVADTDDHRIKVHFDGWSSCYDYWIDADSPDIHPVGWCSKTGHPL QAPLSPAELMEPSETGGCPTLGCRGVGHFKKSRYLGTQSGANCPYSEINLSKERIFPDRL SGDTSPPTTPSFPRSKRMDTRESSSSPETREKHANNFKEDSEKKKENEVKTSAEAKVVRE EPTPSVQQSQPPQQVQQVQHAQPPQQAQKAPQAQQAQQAQQAQQAPQAPQTPQPQQAPQV QQAQQAPQAQQAQQPQQAQQPQQAPPVQQPQQVQQAQPTQQQAQTQQQAQRRSAVFLSFK PPIPCLPLRWEQQSKLLPTVAGIPASRVSKWSTDEVSEFIQSLPGCEEHGKVFKDEQIDG EAFLLMTQTDIVKIMSIKLGPALKIFNSILMFKAAEKNSHNEL >ENSMUSP00000140101.1 pep:known chromosome:GRCm38:10:20312472:20340266:1 gene:ENSMUSG00000037608.15 transcript:ENSMUST00000186100.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bclaf1 description:BCL2-associated transcription factor 1 [Source:MGI Symbol;Acc:MGI:1917580] MGRSNSRSHSSRSKSRSQSSSRSRSRSHSRKKRYSSRSRSRTYSRSRSRDRIYSRDYRRD YRNNRGMRRPYGYRGRGRGYYQGGGGRYHRGGYRPVWNRRHSRSPRRGRSRSRSPKRRSV SSQRSRSRSRRSYRSSRSPRSSSSRSSSPYSKSPVSKRRGSQEKQTKKAEGEPQEESPLK SKSQEEPKDTFEHDPSESIDEFNKSATSGDIWPGLSAYDNSPRSPHSPSPIATPPSQSSS CSDAPMLSTVHSAKNTPSQHSHSIQHSPERSGSGSVGNGSSRYSPSQNSPIHHIPSRRSP AKTITPQNAPREESRGRSSFYPEGDQETAKTGKFLKRFTDEESRVFLLDRGNIRDKEAPK EKGSEKGRADGDWDDQEVLDYFSDKESAKQKFHDSEGDDTEETEDYRQFRKSVLADQGKS FATSSHRNTEEEGPKYKSKVSLKGNRESDGFREEKNYKLKETAYIVERPSTAKDKHKEED KGSDRITVKKEVQSPEQVKSEKLKELFDYSPPLHKSLDAREKSIFREESPLRIKMIASDS HRPEVKLKMAPVPLDDSNRPASLTKDRLLASTLVHSVKKEQEFRSIFDHIKLPQANKSTS ESFIQHIVSLVHHVKEQYFKSPAVTLNERFTSYQKATEEHSTRQKSPEIHRRIDISPSAL RKHTRLAGEERGFKEEIQKGDKKLRCDSADLRHDIDRRRKERSKERGDSKGSRESSGSRK QEKTPKDYKEYKPYKDDSKNVFVFYTAYGG >ENSMUSP00000043583.5 pep:known chromosome:GRCm38:10:20312484:20342499:1 gene:ENSMUSG00000037608.15 transcript:ENSMUST00000043881.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bclaf1 description:BCL2-associated transcription factor 1 [Source:MGI Symbol;Acc:MGI:1917580] MGRSNSRSHSSRSKSRSQSSSRSRSRSHSRKKRYSSRSRSRTYSRSRSRDRIYSRDYRRD YRNNRGMRRPYGYRGRGRGYYQGGGGRYHRGGYRPVWNRRHSRSPRRGRSRSRSPKRRSV SSQRSRSRSRRSYRSSRSPRSSSSRSSSPYSKSPVSKRRGSQEKQTKKAEGEPQEESPLK SKSQEEPKDTFEHDPSESIDEFNKSATSGDIWPGLSAYDNSPRSPHSPSPIATPPSQSSS CSDAPMLSTVHSAKNTPSQHSHSIQHSPERSGSGSVGNGSSRYSPSQNSPIHHIPSRRSP AKTITPQNAPREESRGRSSFYPEGDQETAKTGKFLKRFTDEESRVFLLDRGNIRDKEAPK EKGSEKGRADGDWDDQEVLDYFSDKESAKQKFHDSEGDDTEETEDYRQFRKSVLADQGKS FATSSHRNTEEEGPKYKSKVSLKGNRESDGFREEKNYKLKETAYIVERPSTAKDKHKEED KGSDRITVKKEVQSPEQVKSEKLKELFDYSPPLHKSLDAREKSIFREESPLRIKMIASDS HRPEVKLKMAPVPLDDSNRPASLTKDRLLASTLVHSVKKEQEFRSIFDHIKLPQANKSTS ESFIQHIVSLVHHVKEQYFKSPAVTLNERFTSYQKATEEHSTRQKSPEIHRRIDISPSAL RKHTRLAGEERGFKEEIQKGDKKLRCDSADLRHDIDRRRKERSKERGDSKGSRESSGSRK QEKTPKDYKEYKPYKDDSKHKGRERDHSRSSSSSASPSSPSSREEKESKKEREEEFKTHH EMKDYSGFAGVSRPRGTFFRIRGRGRARGVFAGTNTGPNNSNTTFQKRPKEEEWDPEYTP KSKKYFLHDDRDDGVDYWAKRGRGRGTFQRGRGRFNFKKSGSSPKWTHDKYQGDGIVEDD EETMENNEEKKDRRKEEKE >ENSMUSP00000140428.1 pep:known chromosome:GRCm38:10:20312529:20340262:1 gene:ENSMUSG00000037608.15 transcript:ENSMUST00000190156.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bclaf1 description:BCL2-associated transcription factor 1 [Source:MGI Symbol;Acc:MGI:1917580] MGRSNSRSHSSRSKSRSQSSSRSRSRSHSRKKRYRSRSRTYSRSRSRDRIYSRDYRRDYR NNRGMRRPYGYRGRGRGYYQGGGGRYHRGGYRPVWNRRHSRSPRRGRSRSRSPKRRSVSS QRSRSRSRRSYRSSRSPRSSSSRSSSPYSKSPVSKRRGSQEKQTKKAEGEPQEESPLKSK SQEEPKDTFEHDPSESIDEFNKSATSGDIWPGLSAYDNSPRSPHSPSPIATPPSQSSSCS DAPMLSTVHSAKNTPSQHSHSIQHSPERSGSGSVGNGSSRYSPSQNSPIHHIPSRRSPAK TITPQNAPREESRGRSSFYPEGDQETAKTGKFLKRFTDEESRVFLLDRGNIRDKEAPKEK GSEKGRADGDWDDQEVLDYFSDKESAKQKFHDSEGDDTEETEDYRQFRKSVLADQGKSFA TSSHRNTEEEGPKYKSKVSLKGNRESDGFREEKNYKLKETAYIVERPSTAKDKHKEEDKG SDRITVKKEVQSPEQVKSEKLKELFDYSPPLHKSLDAREKSIFREESPLRIKMIASDSHR PEVKLKMAPVPLDDSNRPASLTKDRLLASTLVHSVKKEQEFRSIFDHIKLPQANKSTSES FIQHIVSLVHHVKEQYFKSPAVTLNERFTSYQKATEEHSTRQKSPEIHRRIDISPSALRK HTRLAGEERGFKEEIQKGDKKLRCDSADLRHDIDRRRKERSKERGDSKGSRESSGSRKQE KTPKDYKEYKPYKDDSKNVFVFYTAYGG >ENSMUSP00000140623.1 pep:known chromosome:GRCm38:10:20312537:20340263:1 gene:ENSMUSG00000037608.15 transcript:ENSMUST00000185800.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bclaf1 description:BCL2-associated transcription factor 1 [Source:MGI Symbol;Acc:MGI:1917580] MGRSNSRSHSSRSKSRSQSSSRSRSRSHSRKKRYRSRSRTYSRSRSRDRIYSRDYRRDYR NNRGMRRPYGYRGRGRGYYQGGGGRYHRGGYRPVWNRRHSRSPRRGRSRSRSPKRRSVSS QRSRSRSRRSYRSSRSPRSSSSRSSSPYSKSPVSKRRGSQEKQTKKAEGEPQEESPLKSK SQEEPKDTFEHDPSESIDEFNKSATSGDIWPGLSAYDNSPRSPHSPSPIATPPSQSSSCS DAPMLSTVHSAKNTPSQHSHSIQHSPERSGSGSVGNGSSRYSPSQNSPIHHIPSRRSPAK TITPQNAPREESRGRSSFYPEGDQETAKTGKFLKRFTDEESRVFLLDRGNIRDKEAPKEK GSEKGRADGDWDDQEVLDYFSDKESAKQKFHDSEGDDTEETEDYRQFRKSVLADQGKSFA TSSHRNTEEEGPKYKSKVSLKGNRESDGFREEKNYKLKETAYIVERPSTAKDKHKEEDKG SDRITVKKEVQSPEQVKSEKLKELFDYSPPLHKSLDAREKSIFREESPLRIKMIASDSHR PEVKLKMAPVPLDDSNRPASLTKDRLLASTLVHSVKKEQEFRSIFDHIKLPQANKSTSES FIQHIVSLVHHVKEQYFKSPAVTLNERFTSYQKATEEHSTRQKSPEIHRRIDISPSALRK HTRLAGEERGFKEEIQKGDKKLRCDSADLRHDIDRRRKERSKERGDSKGSRESSGSRKQE KTPKDYKEYKPYKDDSKHKGRERDHSRSSSSSASPSSPSSREEKESKKEREEEFKTHHEM KDYSGFAGVSRPRGTFFRIRGRGRARGVFAGTNTGPNNSNTTFQKRPKEEEWDPEYTPKS KKYFLHDDRDDGVDYWAKRGRGRGTFQRGRGRFNFKKSGSSPKWTHDKYQGDGIVEDDEE TMENNEEKKDRRKEEKE >ENSMUSP00000140702.1 pep:known chromosome:GRCm38:10:20323720:20344613:1 gene:ENSMUSG00000037608.15 transcript:ENSMUST00000191438.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bclaf1 description:BCL2-associated transcription factor 1 [Source:MGI Symbol;Acc:MGI:1917580] NSPIHHIPSRRSPAKTITPQNAPREESRGRSSFYPEGDQETAKTGKFLKRFTDEESRVFL LDRGNIRDKEAPKEKGSEKGRADGDWDDQEVLDYFSDKESAKQKFHDSEGDDTEETEDYR QFRKSVLADQGKSFATSSHRNTEEEGPKYKSKVSLKGNRESDGFREEKNYKLKETAYIVE RPSTAKDKHKEEDKGSDRITVKKEVQSPEQVKSEKLKELFDYSPPLHKSLDAREKSIFRE ESPLRIKMIASDSHRPEVKLKMAPVPLDDSNRPASLTKDRLLASTLVHSVKKEQEFRSIF DHIKLPQANKSTSESFIQHIVSLVHHVKEQYFKSPAVTLNERFTSYQKATEEHSTRQKSP EIHRRIDISPSALRKHTRLAGEERGFKEEIQKGDKKLRCDSADLRHDIDRRRKERSKERG DSKGSRESSGSRKQEKTPKDYKEYKPYKDDSKHKGRERDHSRSSSSSASPSSPSSREEKE SKKEREEEFKTHHEMKDYSGFAGVSRPRGTFHDDRDDGVDYWAKRGRGRGTFQRGRGRFN FKKSGSSPKWTHDKYQGDGIVEDDEETMENNEEKKDRRKEEKE >ENSMUSP00000140608.1 pep:known chromosome:GRCm38:10:20326045:20332258:1 gene:ENSMUSG00000037608.15 transcript:ENSMUST00000189158.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bclaf1 description:BCL2-associated transcription factor 1 [Source:MGI Symbol;Acc:MGI:1917580] STSESFIQHIVSLVHHVKGPGICFEMPKSMFQSKIAVERYRQS >ENSMUSP00000090349.3 pep:known chromosome:GRCm38:10:20312469:20342501:1 gene:ENSMUSG00000037608.15 transcript:ENSMUST00000092678.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bclaf1 description:BCL2-associated transcription factor 1 [Source:MGI Symbol;Acc:MGI:1917580] MGRSNSRSHSSRSKSRSQSSSRSRSRSHSRKKRYSSRSRSRTYSRSRSRDRIYSRDYRRD YRNNRGMRRPYGYRGRGRGYYQGGGGRYHRGGYRPVWNRRHSRSPRRGRSRSRSPKRRSV SSQRSRSRSRRSYRSSRSPRSSSSRSSSPYSKSPVSKRRGSQEKQTKKAEGEPQEESPLK SKSQEEPKDTFEHDPSESIDEFNKSATSGDIWPGLSAYDNSPRSPHSPSPIATPPSQSSS CSDAPMLSTVHSAKNTPSQHSHSIQHSPERSGSGSVGNGSSRYSPSQNSPIHHIPSRRSP AKTITPQNAPREESRGRSSFYPEGDQETAKTGKFLKRFTDEESRVFLLDRGNIRDKEAPK EKGSEKGRADGDWDDQEVLDYFSDKESAKQKFHDSEGDDTEETEDYRQFRKSVLADQGKS FATSSHRNTEEEGPKYKSKVSLKGNRESDGFREEKNYKLKETAYIVERPSTAKDKHKEED KGSDRITVKKEVQSPEQVKSEKLKELFDYSPPLHKSLDAREKSIFREESPLRIKMIASDS HRPEVKLKMAPVPLDDSNRPASLTKDRLLASTLVHSVKKEQEFRSIFDHIKLPQANKSTS ESFIQHIVSLVHHVKEQYFKSPAVTLNERFTSYQKATEEHSTRQKSPEIHRRIDISPSAL RKHTRLAGEERGFKEEIQKGDKKLRCDSADLRHDIDRRRKERSKERGDSKGSRESSGSRK QEKTPKDYKEYKPYKDDSKHKGRERDHSRSSSSSASPSSPSSREEKESKKEREEEFKTHH EMKDYSGFAGVSRPRGTFHDDRDDGVDYWAKRGRGRGTFQRGRGRFNFKKSGSSPKWTHD KYQGDGIVEDDEETMENNEEKKDRRKEEKE >ENSMUSP00000095531.2 pep:known chromosome:GRCm38:4:115884400:115887964:1 gene:ENSMUSG00000073774.2 transcript:ENSMUST00000097918.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kncn description:kinocilin [Source:MGI Symbol;Acc:MGI:3614952] MDIPISTRDFRCLQLACVALGLVAGSIIIGVSVSKAAAAVGGIFLGAAGLGLLIFAYPFL KARFNLDHILPAIGNLRIHPNSGPDHGEGRSSNNSNKEGARSGLSTVTRTLEKLKPGGRG TEEG >ENSMUSP00000102142.3 pep:known chromosome:GRCm38:11:115462474:115474398:1 gene:ENSMUSG00000045775.15 transcript:ENSMUST00000106532.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a5 description:solute carrier family 16 (monocarboxylic acid transporters), member 5 [Source:MGI Symbol;Acc:MGI:2443515] MARALEQADGRWAWVVLLSSLVTQALTLGFPTCIGVFFTDLQRDFQASNSETSWFPSILG AMVHGGGLLLDKTNNFSYVFYMSSGFLVSGSLILGVGFYAAEKKKLKQDGQAKMENATSE MTPMHDLTSEDKDSAKKQPYPESIYMTNV >ENSMUSP00000090102.5 pep:known chromosome:GRCm38:11:115462478:115472921:1 gene:ENSMUSG00000045775.15 transcript:ENSMUST00000092445.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a5 description:solute carrier family 16 (monocarboxylic acid transporters), member 5 [Source:MGI Symbol;Acc:MGI:2443515] MARALEQADGRWAWVVLLSSLVTQALTLGFPTCIGVFFTDLQRDFQASNSETSWFPSILG AMVHGGGPLCSILVKHFGCRVTMMLGGVLASLGMVVSTFSGSLTHLFLTAGVITGLGMCF SFQSSITVVGLYFVRRRPLANALASMGLSMGVTLWPLLARYLLETLGWRGAFLIFGGILL HCCVCGALLRPVATNEVPEPKEDPLLPPKIPTRSCLATCVSTIRYHLAFDILRHNMGFCI YVTGVTWMNLGFALPHIFLVPYAMHHGVDDYWAAMLMSIVGFCNIFLRPMAGLLLAGRKS LAAYRKYLFAVAILINGLTNLICTVSADFRVLLGYCLVYSLSMCGVGILVFQVLMDIVPM DRFPSALGLFTILCGVTSLISPPLAGLLLDKTNNFSYVFYMSSGFLVSGSLILGVGFYAA EKKKLKQDGQAKMENATSEMTPMHDLTSEDKDSAKKQPYPESIYMTNV >ENSMUSP00000117727.1 pep:known chromosome:GRCm38:11:115462506:115469302:1 gene:ENSMUSG00000045775.15 transcript:ENSMUST00000153466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a5 description:solute carrier family 16 (monocarboxylic acid transporters), member 5 [Source:MGI Symbol;Acc:MGI:2443515] MARALEQADGRWAWVVLLSSLVTQALTLGFPTCIGVFFTDLQRDFQASNSETSWFPSILG AMVHGGGPLCSILVKHFGCRVTMMLGGVLASLGMVVSTFSGSLTHLFLTAGVITGPKATD TEHEPRALLHAEVESPT >ENSMUSP00000047627.7 pep:known chromosome:GRCm38:2:122160700:122179027:1 gene:ENSMUSG00000033368.8 transcript:ENSMUST00000036089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim69 description:tripartite motif-containing 69 [Source:MGI Symbol;Acc:MGI:1918178] MEVSSRPPSNFDPGNYVEMSDPTTTHLPSKVVIQDLTTELHCPLCNDWFRDPLMLTCGHN FCQDCIQSFWKVHSKETFCPDCKMLCQYSNCTFNLVLEKLVEKIKKLPLLKGHPQCPEHG ENLKLFSKPEGKMICFQCKDARLSMGQSKDFLQISEAVRFFTEELAIYQSQLQTTLKELQ SLRTIQKDAISAYKDNKIQLQQNLSLEFLKLHQFLHNKEKDILNDLRDEGKLLNEEMEVN LNQIQEQCLVAKDMLATIQARMEQQNSFDFLTDITKLIESMEKGMKTIVPRQLIAKKLSL GRFKGPIQYIIWKEMQAILSPGPSQLTLDPKTAHPNLVLSKSQTSVCHCDVKQVMPDDPE RFDSSVAVLGSKGFTSGKWYWEIEVGKKTKWTIGVVRESIIRKGSCPLTPEQGFWLLRLR NQTDLKALDLPSRSLTLGDLRRVGVYLDYEGGQVSFYNATTMTHLYTFSSVFQEKLFPYL CPCLNDGGENKEPLHIVHPQ >ENSMUSP00000020365.8 pep:known chromosome:GRCm38:10:80226434:80243903:1 gene:ENSMUSG00000020156.16 transcript:ENSMUST00000020365.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mum1 description:melanoma associated antigen (mutated) 1 [Source:MGI Symbol;Acc:MGI:1915364] MTDAKYVLCRWGKRLWPAKVLARTETSAKNKKKKEFFLDVQILSLKEKIQVKSSAVEALQ KSHIENIAAFLASQNEVPATPLEELTYRRSLRVALDVLNERTSLSPESHPIEDGITLSQK EKTDADVASQVSSAPSPSLLGEDGQAVVAQCASKRRSEYSSKSLLPSSALEDHLRCQVGP KTGLSESGAGDKSQDDSGLQLDHGQESTTKKRQRNLGEKPTRRRRSESGLSKGESVLKSQ GQASSCVALASPRPPSQTRDEEPCAGVKGCDWVKSSGNIRPLSASERSRGCPTKRPRLDG GQNPPTRQLGTRTVGAAPCPRSCSGEVTMLCSAGAGDKPEEDPVSSEESTGFKSTHSLLE EEEEEEEEPPRILLYHEPRSFEVGMLVWLKYQKYPFWPAVVKSVRRRDKKASVLFIEGNM NPKGRGITVSLRRLKHFDCKEKHALLDRAKEDFAQAIGWCVSLITDYRVRLGCGSFAGSF LEYYAADISYPVRKSIQQDVLGTRFPQLGKGDPEEPVGDSQLGQWRPCRKVLPDRSRAAR DRANQKLVEYIVKAKGAESHLRAILHSRKPSRWLKTFLSSSQCVTCMETYLEDEAQLDEV VEYLQGVCRDMDGQVPERGSGDRIRFILDVLLPEAIICAISAVEAVDYKTAEQKYIRGPT LSYREKEIFDNELLEERNRRRR >ENSMUSP00000117519.1 pep:known chromosome:GRCm38:10:80226672:80232680:1 gene:ENSMUSG00000020156.16 transcript:ENSMUST00000130260.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mum1 description:melanoma associated antigen (mutated) 1 [Source:MGI Symbol;Acc:MGI:1915364] MTDAKYVLCRWGKRLWPAKVLARTETSAKNKKKKEFFLDVQILSLKEKIQVKSSAVEALQ KSHIENIAAFLASQNEVPATPLEELTYRRSLRVALDVLNERTSLSPESHPIEDGITLSQK EKTDADVASQVSSAPSPSLLGEDGQAVVAQCASKRRSEYSSKSLLPSSALEDHLRCQVGP KTGLSESGAGDKSQDDSGLQLDHGQESTTKKRQRNLGEK >ENSMUSP00000146133.1 pep:known chromosome:GRCm38:11:42130939:42182163:-1 gene:ENSMUSG00000010803.13 transcript:ENSMUST00000205546.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra1 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 1 [Source:MGI Symbol;Acc:MGI:95613] MKKSRGLSDYLWAWTLILSTLSGRSYGQPSQDELKDNTTVFTRILDRLLDGYDNRLRPGL GERVTEVKTDIFVTSFGPVSDHDMEYTIDVFFRQSWKDERLKFKGPMTVLRLNNLMASKI WTPDTFFHNGKKSVAHNMTMPNKLLRITEDGTLLYTMRLTVRAECPMHLEDFPMDAHACP LKFGSYAYTRAEVVYEWTREPARSVVVAEDGSRLNQYDLLGQTVDSGIVQSSTGEYVVMT THFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISAR NSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGYAWDGKSVVPEKPKKVKDPL IKKNNTYAPTATSYTPNLARGDPGLATIAKSATIEPKEVKPETKPPEPKKTFNSVSKIDR LSRIAFPLLFGIFNLVYWATYLNREPQLKAPTPHQ >ENSMUSP00000020707.5 pep:known chromosome:GRCm38:11:42130939:42182930:-1 gene:ENSMUSG00000010803.13 transcript:ENSMUST00000020707.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra1 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 1 [Source:MGI Symbol;Acc:MGI:95613] MKKSRGLSDYLWAWTLILSTLSGRSYGQPSQDELKDNTTVFTRILDRLLDGYDNRLRPGL GERVTEVKTDIFVTSFGPVSDHDMEYTIDVFFRQSWKDERLKFKGPMTVLRLNNLMASKI WTPDTFFHNGKKSVAHNMTMPNKLLRITEDGTLLYTMRLTVRAECPMHLEDFPMDAHACP LKFGSYAYTRAEVVYEWTREPARSVVVAEDGSRLNQYDLLGQTVDSGIVQSSTGEYVVMT THFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISAR NSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGYAWDGKSVVPEKPKKVKDPL IKKNNTYAPTATSYTPNLARGDPGLATIAKSATIEPKEVKPETKPPEPKKTFNSVSKIDR LSRIAFPLLFGIFNLVYWATYLNREPQLKAPTPHQ >ENSMUSP00000145949.1 pep:known chromosome:GRCm38:11:42135558:42179843:-1 gene:ENSMUSG00000010803.13 transcript:ENSMUST00000206105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra1 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 1 [Source:MGI Symbol;Acc:MGI:95613] MKKSRGLSDYLWAWTLILSTLSGRSYGQPSQDELKDNTTVFTRILDRLLDGYDNRLRPGL GERVTEVKTDIFVTSFGPVSDHDMEYTIDVFFRQSWKDERLKFKGPMTVLRLNNLMASKI WTPDTFFHNGKKSVAHNMTMPNKLLRITEDGTLLYTMRLTVRAECPMHLEDFPMDAHACP LKFGSYAYTRAEVVYEWTREPARSVVVAEDGSRLNQYDLLGQTVDSGIVQSSTGEYVVMT THFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMTTLSISAR NS >ENSMUSP00000147186.1 pep:known chromosome:GRCm38:11:42153389:42182104:-1 gene:ENSMUSG00000010803.13 transcript:ENSMUST00000207274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra1 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 1 [Source:MGI Symbol;Acc:MGI:95613] MKKSRGLSDYLWAWTLILSTLSGRSYGQPSQDELKDNTTVFTRILDRLLDGYDNRLRPGL GERVTEVKTDIFVTSFGPVSDHDMEYTIDVFFRQSWKDERLKFKGPMTVLRLNNLMASKI WTPDTFFHNGKKSVAHNMTMPNKLLRITEDGTLLYTMRLTVRAECPM >ENSMUSP00000129056.2 pep:known chromosome:GRCm38:11:42155009:42179956:-1 gene:ENSMUSG00000010803.13 transcript:ENSMUST00000153147.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra1 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 1 [Source:MGI Symbol;Acc:MGI:95613] MKKSRGLSDYLWAWTLILSTLSGRSYGQPSQDELKDNTTVFTRILDRLLDGYDNRLRPGL GERVTEVKTDIFVTSFGPVSDHDMEYTIDVF >ENSMUSP00000126611.1 pep:known chromosome:GRCm38:11:42175984:42182930:-1 gene:ENSMUSG00000010803.13 transcript:ENSMUST00000132971.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra1 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 1 [Source:MGI Symbol;Acc:MGI:95613] MCGSVRGRASRGQSALHTSADWILGSKFGYEISSAGARRVHDASDRVSERGARTPSARRA RARRLAQLRLTAILSPTLFSRVWSDPVPRGGPELCKPVMKKSRGLSDYLWAWTLILSTLS GRSYGQPSQDEL >ENSMUSP00000146285.1 pep:known chromosome:GRCm38:11:42179560:42182910:-1 gene:ENSMUSG00000010803.13 transcript:ENSMUST00000206085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra1 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 1 [Source:MGI Symbol;Acc:MGI:95613] MNAVVKYLIRAADWILGSKFGYEISSAGARRVHDASDRVSERGARTPSARRARARRLAQL RLTAILSPTLFSRVWSDPVPRGGPELCKPVMKKSRGLSDYLWAWTLILSTLSGR >ENSMUSP00000105917.2 pep:known chromosome:GRCm38:2:130295169:130370481:1 gene:ENSMUSG00000053552.14 transcript:ENSMUST00000110288.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf4 description:early B cell factor 4 [Source:MGI Symbol;Acc:MGI:2385972] MARARGQAPGSGGRRRPRRGGAGTGPRGDSAGLLLLLLQVLPPGAAAGPGRRGTRGPGGG CVCWPGAGPAFPRDARLLLLLPPRPPPSPPPTSTAAASPSRMDALPRGGLHLKEEPLLPS SLGSVRSWMQSAGILDSNTAAQSGVGLARAHFEKQPPSNLRKSNFFHFVLAMYDRQGQPV EVERTAFIDFVEKDREPGTEKTNNGIHYRLRLVYNNGLRTEQDLYVRLIDSMSKQAIIYE GQDKNPEMCRVLLTHEIMCSRCCDRKSCGNRNETPSDPVIIDRFFLKFFLKCNQNCLKNA GNPRDMRRFQVVVSTTVSVDGHVLAVSDNMFVHNNSKHGRRARRLDPSEAATPCIKAISP GEGWTTGGATVIIIGDNFFDGLQVVFGNVLLWSELITPHAIRVQTPPRHIPGVVEVTLSY KSKQFCKGAPGRFVYTALNEPTIDYGFQRLQKVIPRHPGDPERLPKEVLLKRAADLAEAL YGVPSSNQELLLKRAADVAEALYSAPRAPAPLGPLAPSHPHPAVVGINAFSSPLAIAVGD TTPEPGYARSCGSASPRFAPSPGSQQSSYGSGLGAGLGSYGAPGVTGLGVPGSPSFLNGS TATSPFAIMPSSPPLAAASSMSLPAAAPTTSVFSFSPVNMICAVKQRSAFAPVLRPPSSP SQACPRAHREGLPDQPFEDTDKFHSAARGLQGLAYS >ENSMUSP00000105915.1 pep:known chromosome:GRCm38:2:130295939:130370481:1 gene:ENSMUSG00000053552.14 transcript:ENSMUST00000110286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf4 description:early B cell factor 4 [Source:MGI Symbol;Acc:MGI:2385972] MFPAQDALPRGGLHLKEEPLLPSSLGSVRSWMQSAGILDSNTAAQSGVGLARAHFEKQPP SNLRKSNFFHFVLAMYDRQGQPVEVERTAFIDFVEKDREPGTEKTNNGIHYRLRLVYNNG LRTEQDLYVRLIDSMSKQAIIYEGQDKNPEMCRVLLTHEIMCSRCCDRKSCGNRNETPSD PVIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVSVDGHVLAVSDNMFVHNNSK HGRRARRLDPSEAATPCIKAISPGEGWTTGGATVIIIGDNFFDGLQVVFGNVLLWSELIT PHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFVYTALNEPTIDYGFQRLQKVIPRH PGDPERLPKEVLLKRAADLAEALYGVPSSNQELLLKRAADVAEALYSAPRAPAPLGPLAP SHPHPAVVGINAFSSPLAIAVGDTTPEPGYARSCGSASPRFAPSPGSQQSSYGSGLGAGL GSYGAPGVTGLGVPGSPSFLNGSTATSPFAIMPSSPPLAAASSMSLPAAAPTTSVFSFSP VNMICAVKQRSAFAPVLRPPSSPSQACPRAHREGLPDQPFEDTDKFHSAARGLQGLAYS >ENSMUSP00000133528.1 pep:known chromosome:GRCm38:2:130295939:130370481:1 gene:ENSMUSG00000053552.14 transcript:ENSMUST00000126740.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ebf4 description:early B cell factor 4 [Source:MGI Symbol;Acc:MGI:2385972] MFPAQDALPRGGLHLKEEPLLPSSLGSVRSWMQSAGILDSNTAAQSGVGLARAHFEKQPP SNLRKSNFFHFVLAMYDRQGQPVEVERTAFIDFVEKDREPGTEKTNNGIHYRLRLVYNNG LRTEQDLYVRLIDSMSKQAIIYEGQDKNPEMCRVLLTHEIMCSRCCDRKSCGNRNETPSD PVIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVSVDGHVLAVSDNMFVHNNSK HGRRARRLDPSEAATPCIKAISPGEGWTTGGATVIIIGDNFFDGLQVVFGNVLLWSELIT PHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFVYTALNEPTIDYGFQRLQKVIPRH PGDPERLPKEVLLKRAADLAEALYGVPSSNQELLLKRAADVAEALYSAPRAPAPLGPLAP SHPHPAVVGINAFSSPLAIAVGDTTPEPGYARSCGSASPRFAPSPGSQQSSYGSGLGAGL GSYGAPGVTGLGVPGSPSFLNGSTATSPFAIMPSSPPLAAASSMSLPAAAPTTSVFSFSP VNMICAVKQRSAFAPVLRPPSSPSQACPRAHREGLPAQRTGR >ENSMUSP00000134520.1 pep:known chromosome:GRCm38:2:130295939:130370481:1 gene:ENSMUSG00000053552.14 transcript:ENSMUST00000140169.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ebf4 description:early B cell factor 4 [Source:MGI Symbol;Acc:MGI:2385972] MFPAQDALPRGGLHLKEEPLLPSSLGSVRSWMQSAGILDSNTAAQSGVGLARAHFEKQPP SNLRKSNFFHFVLAMYDRQGQPVEVERTAFIDFVEKDREPGTEKTNNGIHYRLRLVYNNG LRTEQDLYVRLIDSMSKQAIIYEGQDKNPEMCRVLLTHEIMCSRCCDRKSCGNRNETPSD PVIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVSVDGHVLAVSDNMFVHNNSK HGRRARRLDPSEAATPCIKAISPGEGWTTGGATVIIIGDNFFDGLQVVFGNVLLWSELIT PHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFVYTALNEPTIDYGFQRLQKVIPRH PGDPERLPKEVLLKRAADLAEALYGVPSSNQELLLKRAADVAEALYSAPRAPAPLGPLAP SHPHPAVVGINAFSSPLAIAVGDTTPEPGYARSCGSASPRFAPSPGSQQSSYGSGLGAGL GSYGAPGVTGLGVPGSPSFLNGSTATSPFAKERLRPCAAPTQFPIAGLPQSPQRGASRPA F >ENSMUSP00000105916.1 pep:known chromosome:GRCm38:2:130295939:130370481:1 gene:ENSMUSG00000053552.14 transcript:ENSMUST00000110287.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf4 description:early B cell factor 4 [Source:MGI Symbol;Acc:MGI:2385972] MFPAQDALPRGGLHLKEEPLLPSSLGSVRSWMQSAGILDSNTAAQSGVGLARAHFEKQPP SNLRKSNFFHFVLAMYDRQGQPVEVERTAFIDFVEKDREPGTEKTNNGIHYRLRLVYNNG LRTEQDLYVRLIDSMSKQAIIYEGQDKNPEMCRVLLTHEIMCSRCCDRKSCGNRNETPSD PVIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVSVDGHVLAVSDNMFVHNNSK HGRRARRLDPSEAATPCIKAISPGEGWTTGGATVIIIGDNFFDGLQVVFGNVLLWSELIT PHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFVYTALNEPTIDYGFQRLQKVIPRH PGDPERLPKEVLLKRAADLAEALYGVPSSNQELLLKRAADVAEALYSAPRAPAPLGPLAP SHPHPAVVGINAFSSPLAIAVGDTTPEPGYARSCGSASPRFAPSPGSQQSSYGSGLGAGL VMPSSPPLAAASSMSLPAAAPTTSVFSFSPVNMICAVKQRSAFAPVLRPPSSPSQACPRA HREGLPDQPFEDTDKFHSAARGLQGLAYS >ENSMUSP00000075898.2 pep:known chromosome:GRCm38:9:108403611:108428484:-1 gene:ENSMUSG00000047220.5 transcript:ENSMUST00000076592.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc36 description:coiled-coil domain containing 36 [Source:MGI Symbol;Acc:MGI:3612242] MNFNVWNVKEMLSIPSGSGITKPSNWNNNQTDCSLSDSQFLFGSQFCPENSETLLPSLDA GACLRHPKQTQQNSVDSEPSIFIKYQAKPQLLGGDTKDESLFSLPLPVGKSKGLSKQFEE KKRRATDQSDSETLHSFVSHFPEVINKLQTSVEKTEENLSSRSQSILDSVETIAKTFQET ARVQHDLMVESVRDKGSMEQAILEIQRTCAARQAEFMEMKSTLKNLEVLVVEQTKNLQQF CDNLSQLIVPGILEELKKFTSVPQVAGHLKDSTSQTSPSLTQSLHFTRQEKHPSEEPATW QAQEAPAGNPSTSSQRPGECGVWDEGAESGVFQKAALPTDGLHRGDGHVKNKTVPTYCKN WVMTTRSVSNHFSNLPSQRAGNGQGLMAQGASQRDVSKFEARVKNACPEYGPQSMCSFDS LEQSATEQKGRPCRKRRRGKKQQPQRSKRGGLLDRKQGQTSKAACAFIARHHCPQSPVCD PQGPLICWLTPRSSTKSTCHILGGTGETSQTARAAQGNLVQHSQRSSTDSSSQGDQQINW FSDLSLENLEPPQCKKGGTNLLCDPDFDSSDDNF >ENSMUSP00000043768.9 pep:known chromosome:GRCm38:7:143052739:143067934:1 gene:ENSMUSG00000037706.17 transcript:ENSMUST00000037941.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd81 description:CD81 antigen [Source:MGI Symbol;Acc:MGI:1096398] MGVEGCTKCIKYLLFVFNFVFWLAGGVILGVALWLRHDPQTTSLLYLELGNKPAPNTFYV GIYILIAVGAVMMFVGFLGCYGAIQESQCLLGTFFTCLVILFACEVAAGIWGFVNKDQIA KDVKQFYDQALQQAVMDDDANNAKAVVKTFHETLNCCGSNALTTLTTTILRNSLCPSGGN ILTPLLQQDCHQKIDELFSGKLYLIGIAAIVVAVIMIFEMILSMVLCCGIRNSSVY >ENSMUSP00000146533.1 pep:known chromosome:GRCm38:7:143053273:143066310:1 gene:ENSMUSG00000037706.17 transcript:ENSMUST00000207448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd81 description:CD81 antigen [Source:MGI Symbol;Acc:MGI:1096398] MMFVGFLGCYGAIQESQCLLGTFFTCLVILFACEVAAGIWGFVNKDQIAKDVKQFYDQAL QQAVMDDD >ENSMUSP00000147255.1 pep:known chromosome:GRCm38:7:143058576:143067162:1 gene:ENSMUSG00000037706.17 transcript:ENSMUST00000141954.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd81 description:CD81 antigen [Source:MGI Symbol;Acc:MGI:1096398] MMFVGFLGCYGAIQESQCLLGTFFTCLVILFACEVAAGIWGFVNKDQIAKDVKQFYDQAL QQAVMDDDANNAKAVVKTFHETLNCCGSNALTTLTTTILRNSLCPSGGNILTPLLQQDCH Q >ENSMUSP00000021550.6 pep:known chromosome:GRCm38:12:79130777:79156301:1 gene:ENSMUSG00000021125.6 transcript:ENSMUST00000021550.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arg2 description:arginase type II [Source:MGI Symbol;Acc:MGI:1330806] MFLRSSASRLLHGQIPCVLTRSVHSVAIVGAPFSRGQKKLGVEYGPAAIREAGLLKRLSR LGCHLKDFGDLSFTNVPQDDPYNNLVVYPRSVGLANQELAEVVSRAVSGGYSCVTMGGDH SLAIGTIIGHARHRPDLCVIWVDAHADINTPLTTVSGNIHGQPLSFLIKELQDKVPQLPG FSWIKPCLSPPNIVYIGLRDVEPPEHFILKNYDIQYFSMREIDRLGIQKVMEQTFDRLIG KRQRPIHLSFDIDAFDPKLAPATGTPVVGGLTYREGVYITEEIHNTGLLSALDLVEVNPH LATSEEEAKATARLAVDVIASSFGQTREGGHIVYDHLPTPSSPHESENEECVRI >ENSMUSP00000020547.8 pep:known chromosome:GRCm38:10:79247777:79294535:1 gene:ENSMUSG00000055515.7 transcript:ENSMUST00000020547.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r81 description:vomeronasal 2, receptor 81 [Source:MGI Symbol;Acc:MGI:2684056] MLLSWLLIVWFLQMPTFTWIIATHICPTDTKYLIYSDGTVVIGAFFPILHNSLVRTTTDW KYFPMDSDNYFGMSGRNYQLVLAMLFAINEINLNSHILPNTSLGLEIYNLPAIGRNIFRT VFSWLTGLSSVIPNYTCRKESNSAATLTGVSWKTSETIWTILDLYKFPQLTFGPFDPVQI DRNQFQSLYQVAPKDTALLSGIASLMLHFNWNWVGLLIPDDQRGAQFLSDFRKELDKTKI CIAFVKTVLYLGETLLRLISQDHIHIIESSTADVIVIYGPTSILLTLIENTYRTYNKKKI WVMSTKWFCPNLELYNMLELTHGALIFSPHYKEIVGFKKFMLEATPIKYPEDIFLHLLWY WHFNCSFLHSECKIFENCLQNASLELLPGNIFTMTMTEESYNVYNAVYAVAHSLHEETLS QIQFQPQANIDRTLLFPWQLHPFLKKIQVKNSVGDHVVLDWKRKADPEYDITNIWNFPTG LSLLVKVGTFVPSAPKGEQLSMSEYMISWPIGFTEIPHSVCTESCNPGFRKVVLESKPTC CFDCTPCPDNEISNETGLDHCVHCPETHYANAEKRHCLKKTVTFLYYNDPLGKGITLLSI GFSTLTALFIWVFVDHRGTPIIKANNRSLSYILLITLILCFLCPLLFIGLPNTATCIMQQ YMFGLLFTVALSTVLAKTITVVMAFKITAPGRKIRWLLTSQVPKFAIPICTLIQVLLSGI WLGTSPPFIDKDYHSEHGHIIILCNKGSYIYFYCTLAYLGVMAFGSYLLAFLSRRLPDRF NESKSLAFSMLVFCSVWVMFLPVYHSTSGKVMVAMEMFSILASSASILIIVFAPKCYIVL FRPELNILTHNRDKRHHRSKTFLKT >ENSMUSP00000125268.1 pep:known chromosome:GRCm38:1:79606876:79671972:-1 gene:ENSMUSG00000054702.14 transcript:ENSMUST00000162342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1s3 description:adaptor-related protein complex AP-1, sigma 3 [Source:MGI Symbol;Acc:MGI:1891304] MIHFILLFSRQGKLRLQKWYTTLPDKERKKITRDIIQTVLSRGHRTSSFIDWKELKLVYK RYASLYFCCAIENQDNELLTLEIVHRYVELLDKYFGNVCELDIIFNFEKAYFILDEFIIG GEIQETSKKTAVKAIEDSDMLQETMEEYMNKPTF >ENSMUSP00000125119.1 pep:known chromosome:GRCm38:1:79608845:79671965:-1 gene:ENSMUSG00000054702.14 transcript:ENSMUST00000159312.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap1s3 description:adaptor-related protein complex AP-1, sigma 3 [Source:MGI Symbol;Acc:MGI:1891304] MIHFILLFSRQGKLRLQKWYTTLPDKERKKITRDIIQTVLSRGHRTSSFIDWKELKLVYK RCMLVYIFAVQ >ENSMUSP00000125631.1 pep:known chromosome:GRCm38:1:79609186:79671968:-1 gene:ENSMUSG00000054702.14 transcript:ENSMUST00000160706.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap1s3 description:adaptor-related protein complex AP-1, sigma 3 [Source:MGI Symbol;Acc:MGI:1891304] MIHFILLFSRQGKLRLQKWYTTLPDKERKKITRDIIQTVLSRGHRTSSFIDWKELKLVYK RSVSWILSLILKRHILSLMSL >ENSMUSP00000037168.7 pep:known chromosome:GRCm38:7:131308554:131322292:-1 gene:ENSMUSG00000040205.8 transcript:ENSMUST00000046611.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cuzd1 description:CUB and zona pellucida-like domains 1 [Source:MGI Symbol;Acc:MGI:1202881] MEVTGRLFIWAILAVSCGAQLNSTEAEGKSRCTASLGGANLGETHKALVLQLSANENCTW TIERPENRSIRIIFSYIKLDPGSRCETENIKVFDGSSTSGPLLGKACSRNDFVPVFESSS NSMTFQIVTGLTKFPRSVFIFYYFFSAATVIPNCGGDLRALEGSFSSPNYPKPHPELAYC VWHIQVGKGYKIQLKFTDLLLEMDENCKFDFIAVYDGPSTTAGLLKQLCGRGKPTLESSS DAMTVVLSTDYANSYKGFSASYTSIYIHDVNTTSLSCVSDKMRVIISKSYLPALNYNESN LQLNDPTCRPNVSNVIEFSIPLHECGTVKKIEDHAISYTNRITFIESPVSAVITRQKLLQ IVVTCEMEYNSTVEIMYITEDDIIQNQSVLGKYNTSLALYESDSFENLVQESPYYVDLNQ TLFVQATLHTSDPSLVVFLDTCRASPTSDFASPTYDLISSGCCQDETCKVYPLFGHYGRF QFNAFKFLKHLNSVYLKCKILICDNNDQTSRCNQGCVPRRKRDIPSYKWKTDSVIGPIRL KRDRSASRDSGLLPQIHEAEISNQPLSRLYLFSFMVLALNVVIVAITTVKHFLNRWMDHR YQKLQVY >ENSMUSP00000099534.4 pep:known chromosome:GRCm38:2:122147686:122153083:1 gene:ENSMUSG00000060802.8 transcript:ENSMUST00000102476.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B2m description:beta-2 microglobulin [Source:MGI Symbol;Acc:MGI:88127] MARSVTLVFLVLVSLTGLYAIQKTPQIQVYSRHPPENGKPNILNCYVTQFHPPHIEIQML KNGKKIPKVEMSDMSFSKDWSFYILAHTEFTPTETDTYACRVKHASMAEPKTVYWDRDM >ENSMUSP00000122948.1 pep:known chromosome:GRCm38:18:56562443:56587189:1 gene:ENSMUSG00000008301.11 transcript:ENSMUST00000130163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phax description:phosphorylated adaptor for RNA export [Source:MGI Symbol;Acc:MGI:1891839] MTSLTPVVAQKVLGGGSAACAPVSHYRTVKHVDSSEESLDSDDDCSLWKRKRQKCHNTPP KPEPFPFGPSGQKTALNGGKKVNNIWGAVLQEQNQDAVATELGILGMEGSIDRSRQSETY NYLLAKKLAKKESQEYTKELDKDLDEYMHGDKKPGSKEDENGQGHLKRKRPVRDRLGNRV EMNYKGRYEITEEDAPEKVADEIAFRLQEPKKDLIARVVRILGNKKAIELLMETAEVEQN GGLFIMNGSRRRTPGGVFLNLLKNTPSISEEQIKDIFYVENQKEYENKKAARKRRTQLLG KKMKQAIKSLNFQEDDDTSRETFASDTNEALASLDEAQEGPGETKLDAEEAIEVDHPQDL DIF >ENSMUSP00000119047.1 pep:known chromosome:GRCm38:18:56562593:56580300:1 gene:ENSMUSG00000008301.11 transcript:ENSMUST00000132628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phax description:phosphorylated adaptor for RNA export [Source:MGI Symbol;Acc:MGI:1891839] XNRRTLLGRTTLRAQKVLGGGSAACAPVSHYRTVKHVDSSEESLDSDDDCSLWKRKRQKC HNTPPKPEPFPFGPSGQKTALNGGKKVNNIWGAVLQEQNQDAVATELGILGMEGSIDRSR QSETYNYLLAKKLAKKESQEYTKELDKDLDEYMHGDKKPGSKEDENGQGHLKRKRPVRDR LGNRVEMNYKGRYEITEEDAPEKVADEIAFRLQEPKKDLIARVVRILGNKKAIELLMETA EVEQNGGLFIM >ENSMUSP00000008445.5 pep:known chromosome:GRCm38:18:56572822:56587712:1 gene:ENSMUSG00000008301.11 transcript:ENSMUST00000008445.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phax description:phosphorylated adaptor for RNA export [Source:MGI Symbol;Acc:MGI:1891839] MALEAGDMEEGQLSDSDSDMTVVPSDRPLQMAKVLGGGSAACAPVSHYRTVKHVDSSEES LDSDDDCSLWKRKRQKCHNTPPKPEPFPFGPSGQKTALNGGKKVNNIWGAVLQEQNQDAV ATELGILGMEGSIDRSRQSETYNYLLAKKLAKKESQEYTKELDKDLDEYMHGDKKPGSKE DENGQGHLKRKRPVRDRLGNRVEMNYKGRYEITEEDAPEKVADEIAFRLQEPKKDLIARV VRILGNKKAIELLMETAEVEQNGGLFIMNGSRRRTPGGVFLNLLKNTPSISEEQIKDIFY VENQKEYENKKAARKRRTQLLGKKMKQAIKSLNFQEDDDTSRETFASDTNEALASLDEAQ EGPGETKLDAEEAIEVDHPQDLDIF >ENSMUSP00000141566.1 pep:known chromosome:GRCm38:9:108392805:108410934:1 gene:ENSMUSG00000032611.9 transcript:ENSMUST00000192995.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700102P08Rik description:RIKEN cDNA 1700102P08 gene [Source:MGI Symbol;Acc:MGI:2148248] MSEKLRRCRKELTAAIDRAFEGVRHSQECTAQQRLDAPSLTSQPVHRLLCRNPLAACPSA APYSGASCAPESENPAFGTHHIPVNSKLQQPLYPKRKPLTSKENVLMQSSILARDRQFWR AAGDGEDWRKDSLRKDMERDLKADPNVLLSSSSQEVTKDLLDMIEQ >ENSMUSP00000035234.4 pep:known chromosome:GRCm38:9:108392834:108397770:1 gene:ENSMUSG00000032611.9 transcript:ENSMUST00000035234.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700102P08Rik description:RIKEN cDNA 1700102P08 gene [Source:MGI Symbol;Acc:MGI:2148248] MSEKLRRCRKELTAAIDRAFEGVRHSQECTAQQRLDAPSLTSQPVHRLLCRNPLAACPSA APYSGASCAPESENPAFGTHHIPVNSKLQQPLYPKRKPLTSKENVLMQSSILARDRQFWR AAGDGEDWRKDSLRKDMERDLKADPNVLLSSSSQEVTKDLLDMIDHTSIRTIEELAGKLE FENELNRVCGHCQDSPFKEEAWALLVDESPQKALDADPGSLKQALDDQNIVETVLDLEED YNLMTSFKYQIE >ENSMUSP00000103744.1 pep:known chromosome:GRCm38:3:37639947:37644598:1 gene:ENSMUSG00000037211.12 transcript:ENSMUST00000108109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spry1 description:sprouty homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1345139] MDSPSQHGSHTSLVVIQPPAVEGRQRLDYDRDTQPATILSLDQIKAIRGSNEYTEGPSVA RRPAPRTAPRPEKQERTHEIIPANVNSSYEHRPASHPGNARGSVLSRSTSTGSAASSGSS SSVSSEQGLLGRSPPTRPIPGHRSDRVIRTQPKQLLVEDLKASLKEDPTQHKFICEQCGK CKCGECTAPRALPSCLACDRQCLCSAESMVEYGTCMCLVKGIFYHCSNDDDGGSYSDNPC SCSQSHCCSRYLCMGALSLCLPCLLCYPPAKGCLKLCRGCYDWTHRPGCRCRNSNTVYCK LESCPSRAQGKLS >ENSMUSP00000049292.1 pep:known chromosome:GRCm38:3:37639958:37644598:1 gene:ENSMUSG00000037211.12 transcript:ENSMUST00000038569.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spry1 description:sprouty homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1345139] MDSPSQHGSHTSLVVIQPPAVEGRQRLDYDRDTQPATILSLDQIKAIRGSNEYTEGPSVA RRPAPRTAPRPEKQERTHEIIPANVNSSYEHRPASHPGNARGSVLSRSTSTGSAASSGSS SSVSSEQGLLGRSPPTRPIPGHRSDRVIRTQPKQLLVEDLKASLKEDPTQHKFICEQCGK CKCGECTAPRALPSCLACDRQCLCSAESMVEYGTCMCLVKGIFYHCSNDDDGGSYSDNPC SCSQSHCCSRYLCMGALSLCLPCLLCYPPAKGCLKLCRGCYDWTHRPGCRCRNSNTVYCK LESCPSRAQGKLS >ENSMUSP00000103742.1 pep:known chromosome:GRCm38:3:37640080:37644598:1 gene:ENSMUSG00000037211.12 transcript:ENSMUST00000108107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spry1 description:sprouty homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1345139] MDSPSQHGSHTSLVVIQPPAVEGRQRLDYDRDTQPATILSLDQIKAIRGSNEYTEGPSVA RRPAPRTAPRPEKQERTHEIIPANVNSSYEHRPASHPGNARGSVLSRSTSTGSAASSGSS SSVSSEQGLLGRSPPTRPIPGHRSDRVIRTQPKQLLVEDLKASLKEDPTQHKFICEQCGK CKCGECTAPRALPSCLACDRQCLCSAESMVEYGTCMCLVKGIFYHCSNDDDGGSYSDNPC SCSQSHCCSRYLCMGALSLCLPCLLCYPPAKGCLKLCRGCYDWTHRPGCRCRNSNTVYCK LESCPSRAQGKLS >ENSMUSP00000141318.1 pep:known chromosome:GRCm38:1:182954788:182975692:1 gene:ENSMUSG00000079164.8 transcript:ENSMUST00000193687.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr5 description:toll-like receptor 5 [Source:MGI Symbol;Acc:MGI:1858171] MDAEFPHAPHFSRIMACQLDLLIGVIFMASPVLVISPCSSDGRIAFFRGCNLTQIPWILN TTTERLLLSFNYISMVVATSFPLLERLQLLELGTQYANLTIGPGAFRNLPNLRILDLGQS QIEVLNRDAFQGLPHLLELRLFSCGLSSAVLSDGYFRNLYSLARLDLSGNQIHSLRLHSS FRELNSLSDVNFAFNQIFTICEDELEPLQGKTLSFFGLKLTKLFSRVSVGWETCRNPFRG VRLETLDLSENGWTVDITRNFSNIIQGSQISSLILKHHIMGPGFGFQNIRDPDQSTFASL ARSSVLQLDLSHGFIFSLNPRLFGTLKDLKMLNLAFNKINKIGENAFYGLDSLQVLNLSY NLLGELYNSNFYGLPRVAYVDLQRNHIGIIQDQTFRLLKTLQTLDLRDNALKAIGFIPSI QMVLLGGNKLVHLPHIHFTANFLELSENRLENLSDLYFLLRVPQLQFLILNQNRLSSCKA AHTPSENPSLEQLFLTENMLQLAWETGLCWDVFQGLSRLQILYLSNNYLNFLPPGIFNDL VALRMLSLSANKLTVLSPGSLPANLEILDISRNQLFSPDPALFSSLRVLDITHNEFVCNC ELSTFISWLNQTNVTLFGSPADVYCMYPNSLLGGSLYNISTEDCDEEEAMRSLKFSLFIL CTVTLTLFLVITLVVIKFRGICFLCYKTIQKLVFKDKVWSLEPGAYRYDAYFCFSSKDFE WAQNALLKHLDAHYSSRNRLRLCFEERDFIPGENHISNIQAAVWGSRKTVCLVSRHFLKD GWCLEAFRYAQSRSLSDLKSILIVVVVGSLSQYQLMRHETIRGFLQKQQYLRWPEDLQDV GWFLDKLSGCILK >ENSMUSP00000141458.1 pep:known chromosome:GRCm38:1:182972177:182975994:1 gene:ENSMUSG00000079164.8 transcript:ENSMUST00000191820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr5 description:toll-like receptor 5 [Source:MGI Symbol;Acc:MGI:1858171] MACQLDLLIGVIFMASPVLVISPCSSDGRIAFFRGCNLTQIPWILNTTTERLLLSFNYIS MVVATSFPLLERLQLLELGTQYANLTIGPGAFRNLPNLRILDLGQSQIEVLNRDAFQGLP HLLELRLFSCGLSSAVLSDGYFRNLYSLARLDLSGNQIHSLRLHSSFRELNSLSDVNFAF NQIFTICEDELEPLQGKTLSFFGLKLTKLFSRVSVGWETCRNPFRGVRLETLDLSENGWT VDITRNFSNIIQGSQISSLILKHHIMGPGFGFQNIRDPDQSTFASLARSSVLQLDLSHGF IFSLNPRLFGTLKDLKMLNLAFNKINKIGENAFYGLDSLQVLNLSYNLLGELYNSNFYGL PRVAYVDLQRNHIGIIQDQTFRLLKTLQTLDLRDNALKAIGFIPSIQMVLLGGNKLVHLP HIHFTANFLELSENRLENLSDLYFLLRVPQLQFLILNQNRLSSCKAAHTPSENPSLEQLF LTENMLQLAWETGLCWDVFQGLSRLQILYLSNNYLNFLPPGIFNDLVALRMLSLSANKLT VLSPGSLPANLEILDISRNQLFSPDPALFSSLRVLDITHNEFVCNCELSTFISWLNQTNV TLFGSPADVYCMYPNSLLGGSLYNISTEDCDEEEAMRSLKFSLFILCTVTLTLFLVITLV VIKFRGICFLCYKTIQKLVFKDKVWSLEPGAYRYDAYFCFSSKDFEWAQNALLKHLDAHY SSRNRLRLCFEERDFIPGENHISNIQAAVWGSRKTVCLVSRHFLKDGWCLEAFRYAQSRS LSDLKSILIVVVVGSLSQYQLMRHETIRGFLQKQQYLRWPEDLQDVGWFLDKLSGCILKE EKGKKRSSSIQLRTIATIS >ENSMUSP00000106625.1 pep:known chromosome:GRCm38:1:182954788:182976044:1 gene:ENSMUSG00000079164.8 transcript:ENSMUST00000110997.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr5 description:toll-like receptor 5 [Source:MGI Symbol;Acc:MGI:1858171] MDAEFPHAPHFSRIMACQLDLLIGVIFMASPVLVISPCSSDGRIAFFRGCNLTQIPWILN TTTERLLLSFNYISMVVATSFPLLERLQLLELGTQYANLTIGPGAFRNLPNLRILDLGQS QIEVLNRDAFQGLPHLLELRLFSCGLSSAVLSDGYFRNLYSLARLDLSGNQIHSLRLHSS FRELNSLSDVNFAFNQIFTICEDELEPLQGKTLSFFGLKLTKLFSRVSVGWETCRNPFRG VRLETLDLSENGWTVDITRNFSNIIQGSQISSLILKHHIMGPGFGFQNIRDPDQSTFASL ARSSVLQLDLSHGFIFSLNPRLFGTLKDLKMLNLAFNKINKIGENAFYGLDSLQVLNLSY NLLGELYNSNFYGLPRVAYVDLQRNHIGIIQDQTFRLLKTLQTLDLRDNALKAIGFIPSI QMVLLGGNKLVHLPHIHFTANFLELSENRLENLSDLYFLLRVPQLQFLILNQNRLSSCKA AHTPSENPSLEQLFLTENMLQLAWETGLCWDVFQGLSRLQILYLSNNYLNFLPPGIFNDL VALRMLSLSANKLTVLSPGSLPANLEILDISRNQLFSPDPALFSSLRVLDITHNEFVCNC ELSTFISWLNQTNVTLFGSPADVYCMYPNSLLGGSLYNISTEDCDEEEAMRSLKFSLFIL CTVTLTLFLVITLVVIKFRGICFLCYKTIQKLVFKDKVWSLEPGAYRYDAYFCFSSKDFE WAQNALLKHLDAHYSSRNRLRLCFEERDFIPGENHISNIQAAVWGSRKTVCLVSRHFLKD GWCLEAFRYAQSRSLSDLKSILIVVVVGSLSQYQLMRHETIRGFLQKQQYLRWPEDLQDV GWFLDKLSGCILKEEKGKKRSSSIQLRTIATIS >ENSMUSP00000054234.2 pep:known chromosome:GRCm38:18:34579846:34597468:-1 gene:ENSMUSG00000049357.11 transcript:ENSMUST00000056932.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933408B17Rik description:RIKEN cDNA 4933408B17 gene [Source:MGI Symbol;Acc:MGI:3045347] MCLQAGCEWVWLDSGQDSHDDSELSNDCRSLLSSWDSSLDLDVGSWKDTEDAEAEADEPK ESSPERGCSELLVGDVESEEAREEAEQGTPQELLSEGEAQQDSKEDDQGEGSASDGEDTP PLGEGEGIRVQEAPLVNILYSSSQLNDLSQGDPIQDQFLFKKTLLQVWKMIASHRFSSPF LKPVSEKQAPGYKDVVKRPMDLTTLKRNLSKGRIHTMAEFQRDLMLMFQNAVMYNDSDHH IYHMAVEMQREVLEQIQVLSTWLDKRKDLSSLE >ENSMUSP00000117272.1 pep:known chromosome:GRCm38:18:34579945:34597345:-1 gene:ENSMUSG00000049357.11 transcript:ENSMUST00000129566.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4933408B17Rik description:RIKEN cDNA 4933408B17 gene [Source:MGI Symbol;Acc:MGI:3045347] MCLQAGCEWVWLDSGQDSHDDSELSNDCRSLLSSWDSSLDLDVGSWKDTEDAEAEADEPK ESSPERGCSELLVGDVESEEAREEAEQGTPQELLSEGEAQQDSKEDDQGEGSASDGEDTP PLGEGEGIRVQEAPLVNILYSSSQLNDLSQGDPIQDQFLFKKTLLQVWKMIASHRFSSPF LKPVSEKQAPGYKDVVKSTHRLKIWSTANEALPLPLED >ENSMUSP00000000348.8 pep:known chromosome:GRCm38:3:116488963:116508208:-1 gene:ENSMUSG00000000339.14 transcript:ENSMUST00000000348.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtca description:RNA 3'-terminal phosphate cyclase [Source:MGI Symbol;Acc:MGI:1913618] MEGQRVEVDGGIMEGGGQILRVSTALSCLLGLPLRVQKIRAGRSTPGLRPQHLSGLEMVR DLCGGHLEGAEIGSTEITFTPEKIRGGVHTADTKTAGSVCLLMQVSMPCVLFAASPSELR LKGGTNAEMAPQIDYTMMVFKPIAEKFGFTFNCDIKTRGYYPKGGGEVIVRVSPVKRLDP INLTDRGSVTKIYGRAFVAGVLPLKVAKDMAAAAVRCIRKEIRDLYVSIQPVQEARDQAF GNGSGIIIVAETSTGCLFAGSSLGKRGVNADKVGIEAAEMLLANLRHGGTVDEYLQDQLI IFMALANGISRIKTGSVTLHTQTAIHFAEQLAKAKFTVKKSEEEEDATKDTYVIECEGIG MANPHL >ENSMUSP00000117610.1 pep:known chromosome:GRCm38:3:116494151:116508024:-1 gene:ENSMUSG00000000339.14 transcript:ENSMUST00000140677.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rtca description:RNA 3'-terminal phosphate cyclase [Source:MGI Symbol;Acc:MGI:1913618] MEGQRVEVDGGIMEGGGQILRVSTALSCLLGLPLRVQKIRAGRSTPGLSLMT >ENSMUSP00000119463.1 pep:known chromosome:GRCm38:3:116495691:116508065:-1 gene:ENSMUSG00000000339.14 transcript:ENSMUST00000153005.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtca description:RNA 3'-terminal phosphate cyclase [Source:MGI Symbol;Acc:MGI:1913618] MPCVLFAASPSELRLKGGTNAEMAPQIDYTMMVFKPIAEKFGFTFNCDIKTRGYYPKGGG EVIVRVSPVKRLDPINLTDRGSVTKIYGRAFVAGVLPLKVAKDMAAAAVRCIRKEIRDLY VSIQPVQEARDQAFGNGSGIII >ENSMUSP00000121357.1 pep:known chromosome:GRCm38:3:116499546:116508133:-1 gene:ENSMUSG00000000339.14 transcript:ENSMUST00000143425.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rtca description:RNA 3'-terminal phosphate cyclase [Source:MGI Symbol;Acc:MGI:1913618] MEGQRVEVDGGIMEGGGQILRVSTALSCLLGLPLRVQKIRAGRSTPGLRPQHLSGLEMVR DLCGGHLEGAEIGSTEITFTPEKIRGGVHTADTKTAGFQCPVSCLRLPRRSSV >ENSMUSP00000120703.1 pep:known chromosome:GRCm38:2:136052239:136059531:1 gene:ENSMUSG00000027270.14 transcript:ENSMUST00000144403.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamp5 description:lysosomal-associated membrane protein family, member 5 [Source:MGI Symbol;Acc:MGI:1923411] MDLRVRTLLGGDRLRILLMFFHVMVQTVAEQEVENLSGLSTNPEKDIFVVRENGTTCLMA EFAAKFIVPYDVWASNYVDLITEQAEISLTR >ENSMUSP00000061180.6 pep:known chromosome:GRCm38:2:136057927:136069917:1 gene:ENSMUSG00000027270.14 transcript:ENSMUST00000057503.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamp5 description:lysosomal-associated membrane protein family, member 5 [Source:MGI Symbol;Acc:MGI:1923411] MDLRVRTLLGGDRLRILLMFFHVMVQTVAEQEVENLSGLSTNPEKDIFVVRENGTTCLMA EFAAKFIVPYDVWASNYVDLITEQAEISLTRGAEVKGHCGHNESELEVFWVDHAYTLRML FVKESHNTSKGPEATWNLNKVHFVYDSSEKTHFKAPVKVNKYIASSHHLSALVTPAGMSY ECQAQQTISLASSDPQKTVTMILSAVHIQPFDIISDFVFSEEHKCPVDEQEQLEETLPLI LGLILGLVIVITLVIYHIHHKMTANQVQIPRDRSQYKHMG >ENSMUSP00000081547.5 pep:known chromosome:GRCm38:7:131560222:131571892:1 gene:ENSMUSG00000066979.6 transcript:ENSMUST00000084502.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bub3 description:BUB3 mitotic checkpoint protein [Source:MGI Symbol;Acc:MGI:1343463] MTGSNEFKLNQPPEDGISSVKFSPNTSQFLLVSSWDTSVRLYDVPANSMRLKYQHTGAVL DCAFYDPTHAWSGGLDHQLKMHDLNTDQENLVGTHDAPIRCVEYCPEVNVMVTGSWDQTV KLWDPRTPCNAGTFSQPEKVYTLSVSGDRLIVGTAGRRVLVWDLRNMGYVQQRRESSLKY QTRCIRAFPNKQGYVLSSIEGRVAVEYLDPSPEVQKKKYAFKCHRLKENNIEQIYPVNAI SFHNIHNTFATGGSDGFVNIWDPFNKKRLCQFHRYPTSIASLAFSNDGTTLAIASSYMYE MDDTEHPEDGIFIRQVTDAETKPKST >ENSMUSP00000146670.1 pep:known chromosome:GRCm38:7:131560380:131571895:1 gene:ENSMUSG00000066979.6 transcript:ENSMUST00000207736.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bub3 description:BUB3 mitotic checkpoint protein [Source:MGI Symbol;Acc:MGI:1343463] MTGSNEFKLNQPPEDGISSVKFSPNTSQFLLVSSWDTSVRLYDVPANSMRLKYQHTGAVL DCAFYDPTHAWSGGLDHQLKMHDLNTDQGFGMEPKTCKAL >ENSMUSP00000147045.1 pep:known chromosome:GRCm38:7:131560439:131568196:1 gene:ENSMUSG00000066979.6 transcript:ENSMUST00000207231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bub3 description:BUB3 mitotic checkpoint protein [Source:MGI Symbol;Acc:MGI:1343463] MTGSNEFKLNQPPEDGISSVKFSPNTSQFLLVSSWDTSVRLYDVPANSMRLKYQHTGAVL DCAFYDPTHAWSGGLDHQLKMHDLNTDQENLVGTHDAPIRCVEYCPEVNVMVTGSWDQTV KLWDPRTPCNAGTFSQPEKLLNLGRRARARIPLQSIVSASALRGLHPVSVWGQADCGHGG PPSAGVGLAEHGLCAAAEGVQPEVPDSLHPSLPEQAGLCV >ENSMUSP00000146881.1 pep:known chromosome:GRCm38:7:131560609:131568721:1 gene:ENSMUSG00000066979.6 transcript:ENSMUST00000208571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bub3 description:BUB3 mitotic checkpoint protein [Source:MGI Symbol;Acc:MGI:1343463] MTGSNEFKLNQPPEDGISSVKFSPNTSQFLLVSSWDTSVRLYDVPANSMRLKYQHTGAVL DCAFYVENLVGTHDAPIRCVEYCPEVNVMVTGSWDQTVKLWDPRTPCNAGTFSQPEKGYV LSSIEGRVAVEYLDPSPEVQKKKYAFKCHRLKENNIEQIYPVNAISFHNIHNTFATVMTE LRLQ >ENSMUSP00000146334.1 pep:known chromosome:GRCm38:7:131560710:131571164:1 gene:ENSMUSG00000066979.6 transcript:ENSMUST00000207442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bub3 description:BUB3 mitotic checkpoint protein [Source:MGI Symbol;Acc:MGI:1343463] MTGSNEFKLNQPPEDGISSVKFSPNTSQFLLVSSWDTSVRLYDVPANSMRLKYQHTGAVL DCAFYDPTHAWSGGLDHQLKMHDLNTDQENLVGTHDAPIRCVEYCPEVNVMVTGSWDQTV KLWDPRTPCNAGTFSQPEKVYTLSVSGDRLIVGTAGRRVLVWDLRNMGYVQQRRESSLKY QTRCIRAFPNKQGYVLSSIEGRVAVEYLDPSPEVQKKKYAFKCHRLKENNIEQIYPVNAI SFHNIHNTFATGGSDGFVNIWDPFNKKRLCQFHRYPTSIASLAFSNDGTTLAIASSYMYE MDDTEHPEDGIFIRQVTDAETKPK >ENSMUSP00000050309.6 pep:known chromosome:GRCm38:17:46735705:46752214:-1 gene:ENSMUSG00000023973.13 transcript:ENSMUST00000059844.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnpy3 description:canopy FGF signaling regulator 3 [Source:MGI Symbol;Acc:MGI:1919279] MESMSELAPRCLLFPLLLLLPLLLLPAPKLGPSPAGAEETDWVRLPSKCEVCKYVAVELK SAFEETGKTKEVIDTGYGILDGKGSGVKYTKSDLRLIEVTETICKRLLDYSLHKERTGSN RFAKGMSETFETLHNLVHKGVKVVMDIPYELWNETSAEVADLKKQCDVLVEEFEEVIEDW YRNHQEEDLTEFLCANHVLKGKDTSCLAERWSGKKGDIASLGGKKSKKKRSGVKGSSSGS SKQRKELGGLGEDANAEEEEGVQKASPLPHSPPDEL >ENSMUSP00000120790.1 pep:known chromosome:GRCm38:17:46735756:46752197:-1 gene:ENSMUSG00000023973.13 transcript:ENSMUST00000129200.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cnpy3 description:canopy FGF signaling regulator 3 [Source:MGI Symbol;Acc:MGI:1919279] MESMSELAPRCLLFPLLLLLPLLLLPAPKLGPSPAGAEETDWVRLPSKCEGYVGDL >ENSMUSP00000113350.1 pep:known chromosome:GRCm38:17:46746987:46752195:-1 gene:ENSMUSG00000023973.13 transcript:ENSMUST00000121671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnpy3 description:canopy FGF signaling regulator 3 [Source:MGI Symbol;Acc:MGI:1919279] MESMSELAPRCLLFPLLLLLPLLLLPAPKLGPSPAGAEETDWVRLPSKCEVCKYVAVELK SAFEETGKTKEVIDTGYGILDGKGSGVKYTKSKVRPTGVLMDPSHLHLTIQCDLFKNC >ENSMUSP00000125274.1 pep:known chromosome:GRCm38:6:8948614:9249032:1 gene:ENSMUSG00000046178.3 transcript:ENSMUST00000160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxph1 description:neurexophilin 1 [Source:MGI Symbol;Acc:MGI:107492] MQAACWYVLLLLQPTVYLVTCANLTNGGKSELLKSGSSKSTLKHIWTESSKDLSISRLLS QTFRGKENDTDLDLRYDTPEPYSEQDLWDWLRNSTDLQEPRPRAKRRPIVKTGKFKKMFG WGDFHSNIKTVKLNLLITGKIVDHGNGTFSVYFRHNSTGQGNVSVSLVPPTKIVEFDLAQ QTVIDAKDSKSFNCRIEYEKVDKATKNTLCNYDPSKTCYQEQTQSHVSWLCSKPFKVICI YISFYSTDYKLVQKVCPDYNYHSDTPYFPSG >ENSMUSP00000060926.3 pep:known chromosome:GRCm38:6:8949676:9249030:1 gene:ENSMUSG00000046178.3 transcript:ENSMUST00000060369.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxph1 description:neurexophilin 1 [Source:MGI Symbol;Acc:MGI:107492] MQAACWYVLLLLQPTVYLVTCANLTNGGKSELLKSGSSKSTLKHIWTESSKDLSISRLLS QTFRGKENDTDLDLRYDTPEPYSEQDLWDWLRNSTDLQEPRPRAKRRPIVKTGKFKKMFG WGDFHSNIKTVKLNLLITGKIVDHGNGTFSVYFRHNSTGQGNVSVSLVPPTKIVEFDLAQ QTVIDAKDSKSFNCRIEYEKVDKATKNTLCNYDPSKTCYQEQTQSHVSWLCSKPFKVICI YISFYSTDYKLVQKVCPDYNYHSDTPYFPSG >ENSMUSP00000099886.2 pep:known chromosome:GRCm38:1:62703285:62818695:1 gene:ENSMUSG00000025969.15 transcript:ENSMUST00000102822.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrp2 description:neuropilin 2 [Source:MGI Symbol;Acc:MGI:1100492] MDMFPLTWVFLALYFSGHEVRSQQDPPCGGRLNSKDAGYITSPGYPQDYPSHQNCEWIVY APEPNQKIVLNFNPHFEIEKHDCKYDFIEIRDGDSESADLLGKHCGNIAPPTIISSGSVL YIKFTSDYARQGAGFSLRYEIFKTGSEDCSKNFTSPNGTIESPGFPEKYPHNLDCTFTIL AKPRMEIILQFLTFDLEHDPLQVGEGDCKYDWLDIWDGIPHVGPLIGKYCGTKTPSKLRS STGILSLTFHTDMAVAKDGFSARYYLIHQEPPENFQCNVPLGMESGRIANEQISASSTFS DGRWTPQQSRLHGDDNGWTPNLDSNKEYLQVDLRFLTMLTAIATQGAISRETQKGYYVKS YKLEVSTNGEDWMVYRHGKNHKIFQANNDATEVVLNKLHMPLLTRFIRIRPQTWHLGIAL RLELFGCRVTDAPCSNMLGMLSGLIADTQISASSTREYLWSPSAARLVSSRSGWFPRNPQ AQPGEEWLQVDLGTPKTVKGVIIQGARGGDSITAVEARAFVRKFKVSYSLNGKDWEYIQD PRTQQTKLFEGNMHYDTPDIRRFDPVPAQYVRVYPERWSPAGIGMRLEVLGCDWTDSKPT VETLGPTVKSEETTTPYPMDEDATECGENCSFEDDKDLQLPSGFNCNFDFPEETCGWVYD HAKWLRSTWISSANPNDRTFPDDKNFLKLQSDGRREGQYGRLISPPVHLPRSPVCMEFQY QAMGGHGVALQVVREASQESKLLWVIREDQGSEWKHGRIILPSYDMEYQIVFEGVIGKGR SGEISIDDIRISTDVPLENCMEPISAFADEYEGDWSNSSSSTSGAGDPSSGKEKSWLYTL DPILITIIAMSSLGVLLGATCAGLLLYCTCSYSGLSSRSCTTLENYNFELYDGLKHKVKI NHQKCCSEA >ENSMUSP00000074642.5 pep:known chromosome:GRCm38:1:62703285:62818695:1 gene:ENSMUSG00000025969.15 transcript:ENSMUST00000075144.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrp2 description:neuropilin 2 [Source:MGI Symbol;Acc:MGI:1100492] MDMFPLTWVFLALYFSGHEVRSQQDPPCGGRLNSKDAGYITSPGYPQDYPSHQNCEWIVY APEPNQKIVLNFNPHFEIEKHDCKYDFIEIRDGDSESADLLGKHCGNIAPPTIISSGSVL YIKFTSDYARQGAGFSLRYEIFKTGSEDCSKNFTSPNGTIESPGFPEKYPHNLDCTFTIL AKPRMEIILQFLTFDLEHDPLQVGEGDCKYDWLDIWDGIPHVGPLIGKYCGTKTPSKLRS STGILSLTFHTDMAVAKDGFSARYYLIHQEPPENFQCNVPLGMESGRIANEQISASSTFS DGRWTPQQSRLHGDDNGWTPNLDSNKEYLQVDLRFLTMLTAIATQGAISRETQKGYYVKS YKLEVSTNGEDWMVYRHGKNHKIFQANNDATEVVLNKLHMPLLTRFIRIRPQTWHLGIAL RLELFGCRVTDAPCSNMLGMLSGLIADTQISASSTREYLWSPSAARLVSSRSGWFPRNPQ AQPGEEWLQVDLGTPKTVKGVIIQGARGGDSITAVEARAFVRKFKVSYSLNGKDWEYIQD PRTQQTKLFEGNMHYDTPDIRRFDPVPAQYVRVYPERWSPAGIGMRLEVLGCDWTDSKPT VETLGPTVKSEETTTPYPMDEDATECGENCSFEDDKDLQLPSGFNCNFDFPEETCGWVYD HAKWLRSTWISSANPNDRTFPDDKNFLKLQSDGRREGQYGRLISPPVHLPRSPVCMEFQY QAMGGHGVALQVVREASQESKLLWVIREDQGSEWKHGRIILPSYDMEYQIVFEGVIGKGR SGEISIDDIRISTDVPLENCMEPISAFAGEDFKDEYEGDWSNSSSSTSGAGDPSSGKEKS WLYTLDPILITIIAMSSLGVLLGATCAGLLLYCTCSYSGLSSRSCTTLENYNFELYDGLK HKVKINHQKCCSEA >ENSMUSP00000027112.6 pep:known chromosome:GRCm38:1:62703702:62796981:1 gene:ENSMUSG00000025969.15 transcript:ENSMUST00000027112.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrp2 description:neuropilin 2 [Source:MGI Symbol;Acc:MGI:1100492] MDMFPLTWVFLALYFSGHEVRSQQDPPCGGRLNSKDAGYITSPGYPQDYPSHQNCEWIVY APEPNQKIVLNFNPHFEIEKHDCKYDFIEIRDGDSESADLLGKHCGNIAPPTIISSGSVL YIKFTSDYARQGAGFSLRYEIFKTGSEDCSKNFTSPNGTIESPGFPEKYPHNLDCTFTIL AKPRMEIILQFLTFDLEHDPLQVGEGDCKYDWLDIWDGIPHVGPLIGKYCGTKTPSKLRS STGILSLTFHTDMAVAKDGFSARYYLIHQEPPENFQCNVPLGMESGRIANEQISASSTFS DGRWTPQQSRLHGDDNGWTPNLDSNKEYLQVDLRFLTMLTAIATQGAISRETQKGYYVKS YKLEVSTNGEDWMVYRHGKNHKIFQANNDATEVVLNKLHMPLLTRFIRIRPQTWHLGIAL RLELFGCRVTDAPCSNMLGMLSGLIADTQISASSTREYLWSPSAARLVSSRSGWFPRNPQ AQPGEEWLQVDLGTPKTVKGVIIQGARGGDSITAVEARAFVRKFKVSYSLNGKDWEYIQD PRTQQTKLFEGNMHYDTPDIRRFDPVPAQYVRVYPERWSPAGIGMRLEVLGCDWTDSKPT VETLGPTVKSEETTTPYPMDEDATECGENCSFEDDKDLQLPSGFNCNFDFPEETCGWVYD HAKWLRSTWISSANPNDRTFPDDKNFLKLQSDGRREGQYGRLISPPVHLPRSPVCMEFQY QAMGGHGVALQVVREASQESKLLWVIREDQGSEWKHGRIILPSYDMEYQIVFEGVIGKGR SGEISIDDIRISTDVPLENCMEPISAFAGEDFKGGTLPPGTEPTVDTVPVQPIPAYWYYV MAAGGAVLVLASVVLALVLHYHRFRYAAKKTDHSITYKTSHYTNGAPLAVEPTLTIKLEQ ERGSHC >ENSMUSP00000109792.1 pep:known chromosome:GRCm38:1:62703702:62799314:1 gene:ENSMUSG00000025969.15 transcript:ENSMUST00000114155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrp2 description:neuropilin 2 [Source:MGI Symbol;Acc:MGI:1100492] MDMFPLTWVFLALYFSGHEVRSQQDPPCGGRLNSKDAGYITSPGYPQDYPSHQNCEWIVY APEPNQKIVLNFNPHFEIEKHDCKYDFIEIRDGDSESADLLGKHCGNIAPPTIISSGSVL YIKFTSDYARQGAGFSLRYEIFKTGSEDCSKNFTSPNGTIESPGFPEKYPHNLDCTFTIL AKPRMEIILQFLTFDLEHDPLQVGEGDCKYDWLDIWDGIPHVGPLIGKYCGTKTPSKLRS STGILSLTFHTDMAVAKDGFSARYYLIHQEPPENFQCNVPLGMESGRIANEQISASSTFS DGRWTPQQSRLHGDDNGWTPNLDSNKEYLQVDLRFLTMLTAIATQGAISRETQKGYYVKS YKLEVSTNGEDWMVYRHGKNHKIFQANNDATEVVLNKLHMPLLTRFIRIRPQTWHLGIAL RLELFGCRVTDAPCSNMLGMLSGLIADTQISASSTREYLWSPSAARLVSSRSGWFPRNPQ AQPGEEWLQVDLGTPKTVKGVIIQGARGGDSITAVEARAFVRKFKVSYSLNGKDWEYIQD PRTQQTKLFEGNMHYDTPDIRRFDPVPAQYVRVYPERWSPAGIGMRLEVLGCDWTDSKPT VETLGPTVKSEETTTPYPMDEDATECGENCSFEDDKDLQLPSGFNCNFDFPEETCGWVYD HAKWLRSTWISSANPNDRTFPDDKNFLKLQSDGRREGQYGRLISPPVHLPRSPVCMEFQY QAMGGHGVALQVVREASQESKLLWVIREDQGSEWKHGRIILPSYDMEYQIVFEGVIGKGR SGEISIDDIRISTDVPLENCMEPISAFAGGTLPPGTEPTVDTVPVQPIPAYWYYVMAAGG AVLVLASVVLALVLHYHRFRYAAKKTDHSITYKTSHYTNGAPLAVEPTLTIKLEQERGSH C >ENSMUSP00000069379.6 pep:known chromosome:GRCm38:1:62703702:62818695:1 gene:ENSMUSG00000025969.15 transcript:ENSMUST00000063594.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrp2 description:neuropilin 2 [Source:MGI Symbol;Acc:MGI:1100492] MDMFPLTWVFLALYFSGHEVRSQQDPPCGGRLNSKDAGYITSPGYPQDYPSHQNCEWIVY APEPNQKIVLNFNPHFEIEKHDCKYDFIEIRDGDSESADLLGKHCGNIAPPTIISSGSVL YIKFTSDYARQGAGFSLRYEIFKTGSEDCSKNFTSPNGTIESPGFPEKYPHNLDCTFTIL AKPRMEIILQFLTFDLEHDPLQVGEGDCKYDWLDIWDGIPHVGPLIGKYCGTKTPSKLRS STGILSLTFHTDMAVAKDGFSARYYLIHQEPPENFQCNVPLGMESGRIANEQISASSTFS DGRWTPQQSRLHGDDNGWTPNLDSNKEYLQVDLRFLTMLTAIATQGAISRETQKGYYVKS YKLEVSTNGEDWMVYRHGKNHKIFQANNDATEVVLNKLHMPLLTRFIRIRPQTWHLGIAL RLELFGCRVTDAPCSNMLGMLSGLIADTQISASSTREYLWSPSAARLVSSRSGWFPRNPQ AQPGEEWLQVDLGTPKTVKGVIIQGARGGDSITAVEARAFVRKFKVSYSLNGKDWEYIQD PRTQQTKLFEGNMHYDTPDIRRFDPVPAQYVRVYPERWSPAGIGMRLEVLGCDWTDSKPT VETLGPTVKSEETTTPYPMDEDATECGENCSFEDDKDLQLPSGFNCNFDFPEETCGWVYD HAKWLRSTWISSANPNDRTFPDDKNFLKLQSDGRREGQYGRLISPPVHLPRSPVCMEFQY QAMGGHGVALQVVREASQESKLLWVIREDQGSEWKHGRIILPSYDMEYQIVFEGVIGKGR SGEISIDDIRISTDVPLENCMEPISAFAVDIPETHGGEGYEDEIDDEYEGDWSNSSSSTS GAGDPSSGKEKSWLYTLDPILITIIAMSSLGVLLGATCAGLLLYCTCSYSGLSSRSCTTL ENYNFELYDGLKHKVKINHQKCCSEA >ENSMUSP00000109794.2 pep:known chromosome:GRCm38:1:62703702:62818695:1 gene:ENSMUSG00000025969.15 transcript:ENSMUST00000114157.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrp2 description:neuropilin 2 [Source:MGI Symbol;Acc:MGI:1100492] MDMFPLTWVFLALYFSGHEVRSQQDPPCGGRLNSKDAGYITSPGYPQDYPSHQNCEWIVY APEPNQKIVLNFNPHFEIEKHDCKYDFIEIRDGDSESADLLGKHCGNIAPPTIISSGSVL YIKFTSDYARQGAGFSLRYEIFKTGSEDCSKNFTSPNGTIESPGFPEKYPHNLDCTFTIL AKPRMEIILQFLTFDLEHDPLQVGEGDCKYDWLDIWDGIPHVGPLIGKYCGTKTPSKLRS STGILSLTFHTDMAVAKDGFSARYYLIHQEPPENFQCNVPLGMESGRIANEQISASSTFS DGRWTPQQSRLHGDDNGWTPNLDSNKEYLQVDLRFLTMLTAIATQGAISRETQKGYYVKS YKLEVSTNGEDWMVYRHGKNHKIFQANNDATEVVLNKLHMPLLTRFIRIRPQTWHLGIAL RLELFGCRVTDAPCSNMLGMLSGLIADTQISASSTREYLWSPSAARLVSSRSGWFPRNPQ AQPGEEWLQVDLGTPKTVKGVIIQGARGGDSITAVEARAFVRKFKVSYSLNGKDWEYIQD PRTQQTKLFEGNMHYDTPDIRRFDPVPAQYVRVYPERWSPAGIGMRLEVLGCDWTDSKPT VETLGPTVKSEETTTPYPMDEDATECGENCSFEDDKDLQLPSGFNCNFDFPEETCGWVYD HAKWLRSTWISSANPNDRTFPDDKNFLKLQSDGRREGQYGRLISPPVHLPRSPVCMEFQY QAMGGHGVALQVVREASQESKLLWVIREDQGSEWKHGRIILPSYDMEYQIVFEGVIGKGR SGEISIDDIRISTDVPLENCMEPISAFAGEDFKVDIPETHGGEGYEDEIDDEYEGDWSNS SSSTSGAGDPSSGKEKSWLYTLDPILITIIAMSSLGVLLGATCAGLLLYCTCSYSGLSSR SCTTLENYNFELYDGLKHKVKINHQKCCSEA >ENSMUSP00000028866.8 pep:known chromosome:GRCm38:2:128926268:128944077:-1 gene:ENSMUSG00000027387.11 transcript:ENSMUST00000028866.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h8 description:zinc finger CCCH type containing 8 [Source:MGI Symbol;Acc:MGI:1930128] MDFENLFSKPPNPALGKKPAADPEERIDEIDGTEVEETQTEKVKWKVKRDREQIPKKFKH LGNAATSPKSLLRKKSRSKDYDPYSDGETCSQGSEDNFDKELQQYIQAKEMANAAQPSLL PEESVKKAGAEGTQQTAKQKNKKSKAGHKKVKQKKMKRKWPGTGDKGSRALLKNSGSREQ TDEPEEKQPRVRMSQGFINQHTVERKGKQVCKYFLERKCIKGDQCKFDHDAEIEKKKEMC KYYVQGYCTKGENCLYLHSEYPCKFYHTGTKCYQGDHCNFSHAPLTAETQELLAKVLDTD KKSCK >ENSMUSP00000008016.2 pep:known chromosome:GRCm38:4:136143497:136145392:1 gene:ENSMUSG00000007872.3 transcript:ENSMUST00000008016.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Id3 description:inhibitor of DNA binding 3 [Source:MGI Symbol;Acc:MGI:96398] MKALSPVRGCYEAVCCLSERSLAIARGRGKSPSTEEPLSLLDDMNHCYSRLRELVPGVPR GTQLSQVEILQRVIDYILDLQVVLAEPAPGPPDGPHLPIQTAELTPELVISKDKRSFCH >ENSMUSP00000021056.7 pep:known chromosome:GRCm38:11:106318592:106349389:-1 gene:ENSMUSG00000001027.7 transcript:ENSMUST00000021056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn4a description:sodium channel, voltage-gated, type IV, alpha [Source:MGI Symbol;Acc:MGI:98250] MASSSLPTLVPPGPHCLRPFTPESLAAIEQRAMEEEARLQRNKQMEIEEPERKPRSDLEA GKNLPLIYGDPPPEVIGVPLEDLDPYYSDKKTFIVLNKGKAIFRFSATPALYMLSPFSIV RRVAIKVLIHALFSMFIMITILTNCVFMTMSNPPSWSKDVEYTFTGIYTFESLIKMLARG FCIDDFTFLRDPWNWLDFSVITMAYVTEFVDLGNISALRTFRVLRALKTITVIPGLKTIV GALIQSVKKLSDVMILTVFCLSVFALVGLQLFMGNLRQKCVRWPPPMNDTNTTWYGNDTW YGNDTWYGNDTWYGNDTWNSQESWVSNSTFDWEAYINDEGNFYFLEGSNDALLCGNSSDA GHCPEGYECMKAGRNPNYGYTSYDTFSWAFLALFRLMTQDYWENLFQLTLRAAGKTYMIF FVVIIFLGSFYLINLILAVVAMAYAEQNEATLAEDQEKEEEFQQMLEKFKKHQEELEKAK AAQALEGGEEADGDPTHSKDCNGSLDTSGEKGPPRPSCSAESAISDAMEELEEAHQKCPP WWYKCAHKVLIWNCCAPWVKFKHIILLIVMDPFVDLGITICIVLNTLFMAMEHYPMTEHF DNVLSVGNLVFTGIFTAEMVLKLIAMDPYEYFQQGWNIFDSFIVTLSLVELGLANVQGLS VLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSY KECVCKIASDCSLPRWHMHDFFHSFLIVFRILCGEWIETMWDCMEVAGQAMCLTVFLMVM VIGNLVVLNLFLALLLSSFSADSLAASDEDGEMNNLQIAIGRIKWGIAFAKTFLLGLLHG KILSLKDIMLSLGEPGGAGENGESPPEDEKKEPPPEDGNKELKDNHILNHVGLTDGPRSS IEMDHLNFINNPYLTIHVPIASEESDLEMPTEEETDTFSEPEDIKKPLQPLYDGNSSVCS TADYKPPEEDPEEQAEENPEGELPEECFTEACVKRCPCLYVDISQGRGKMWWTLRRACFK IVEHNWFETFIVFMILLSSGALAFEDIYIEQRRVIQTILEYADKVFTYIFILEMLLKWVA YGFKVYFTNAWCWLDFLIVDVSIISLVANWLGYSELGPIKSLRTLRALRPLRALSRFEGM RVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYYCINTTTSERFDISVVNNK SECESLMYTGQVRWMNVKVNYDNVGLGYLSLLQVATFKGWMDIMYAAVDSREKEEQPDYE VNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGKDIFMTEEQKKYYNAMKKL GSKKPQKPIPRPQNKIQGMVYDFVTKQVFDISIMILICLNMVTMMVETDDQSQLKVDILY NINMVFIIVFTGECVLKMFALRHYYFTIGWNIFDFVVVILSIVGLALSDLIQKYFVSPTL FRVIRLARIGRVLRLIRGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYSIFGMSNFAY VKKESGIDDMFNFETFGNSIICLFEITTSAGWDGLLNPILNSGPPDCDPTLENPGTNIKG DCGNPSIGICFFCSYIIISFLIVVNMYIAIILENFNVATEESSEPLCEDDFEMFYETWEK FDPDATQFIDYSRLSDFVDTLQEPLKIAKPNKIKLITLDLPMVPGDKIHCLDILFALTKE VLGDSGEMDALKQTMEEKFMAANPSKVSYEPITTTLKRKQEEVCAIKIQRAYRRHLLQRS VKQASYMYRHSQEGNGDGAPEKEGLLANTMNKMYGSEKEDNGVQSQGEKEKDSTEDAGPT TEVTAPSSSDTALTPPPPSPPPPSSPPQGQTVRPGVKESLV >ENSMUSP00000133865.2 pep:known chromosome:GRCm38:17:38054599:38059784:-1 gene:ENSMUSG00000081724.3 transcript:ENSMUST00000174675.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr129 description:olfactory receptor 129 [Source:MGI Symbol;Acc:MGI:2177512] MSVNCSLWQENSLSVKRFAFAKFSEVPGECFLLFTLILLMFLVSLTGNALITLAICTSPA LHTPMYFFLANLSLLEIGYTCTVIPKMLQSLVSEARGISREGCATQMFFFTLFGITECCL LAAMAFDRCMAICSPLHYTTRMNRGVCAHLAIVSWGTGCIVGLGQTNFIFSLNFCGPCEI DHFFCDLPPVLALACGDTSQNEAAIFVVAILCISSPFLLIIYSYVRILVAVLVMPSPEGR HKAFSTCSSHLLVVTLFFGSGSINYLRPKSSHSPGMDKLLALFYTAVTSMLNPIIYSLRN KEVKAALRRTLGLKKVLTMNR >ENSMUSP00000113564.2 pep:known chromosome:GRCm38:17:38054599:38055591:-1 gene:ENSMUSG00000081724.3 transcript:ENSMUST00000122318.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr129 description:olfactory receptor 129 [Source:MGI Symbol;Acc:MGI:2177512] MTYCSVFQEMSVNCSLWQENSLSVKRFAFAKFSEVPGECFLLFTLILLMFLVSLTGNALI TLAICTSPALHTPMYFFLANLSLLEIGYTCTVIPKMLQSLVSEARGISREGCATQMFFFT LFGITECCLLAAMAFDRCMAICSPLHYTTRMNRGVCAHLAIVSWGTGCIVGLGQTNFIFS LNFCGPCEIDHFFCDLPPVLALACGDTSQNEAAIFVVAILCISSPFLLIIYSYVRILVAV LVMPSPEGRHKAFSTCSSHLLVVTLFFGSGSINYLRPKSSHSPGMDKLLALFYTAVTSML NPIIYSLRNKEVKAALRRTLGLKKVLTMNR >ENSMUSP00000053760.5 pep:known chromosome:GRCm38:7:127607031:127614433:-1 gene:ENSMUSG00000045639.14 transcript:ENSMUST00000058038.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp629 description:zinc finger protein 629 [Source:MGI Symbol;Acc:MGI:2444524] MEPETVLWGPDLQGPEESQNEAHRGAGSGNEEESPQQESSGEEIILGDPAQSPESKDPSE MPLESPSQDASAPQDSPTPLGSSPLDHQTPMDPSAPEVVPSPSEWTKACETNWQWGTLTP WNSTPVVTASEPSLRELVQGRPSGAEKPYICNECGKSFSQWSKLLRHQRIHTGERPNTCS ECGKSFTQSSHLVQHQRTHTGEKPYKCPDCGKCFSWSSNLVQHQRTHTGEKPYKCTECEK AFTQSTNLIKHQRSHTGEKPYKCGECRRAFYRSSDLIQHQATHTGEKPYKCPECGKRFGQ NHNLLKHQKIHAGEKPYRCTECGKSFIQSSELTQHQRTHTGEKPYECLECGKSFGHSSTL IKHQRTHLREDPFKCPVCGKTFTLSATLLRHQRTHTGERPYKCPECGKSFSVSSNLINHQ RIHRGERPYICADCGKSFIMSSTLIRHQRIHTGEKPYKCSDCGKSFIRSSHLIQHRRTHT GEKPYKCPECGKSFSQSSNLITHVRTHMDENLFVCSDCGKAFLEAQELEQHRVIHERGKT PARRAQGDSLLGFGDPALMTPPPGAKPHKCLVCGKGFNDEGIFMQHQRIHIGENPYKNAD GLITHPAPKPQQLRPSRLPFGGNSHPGASESRADPPGQPSKVPESQEGSGQRPGQPSPKC YVCSHCGESFLDRAVLLQHQLTHGNEKPFLFPECRTGRGEGAGPSPFLNAKPFKCPECKK SFGLSSELLQHQKVHAGGKSQKSSELGKSSSVLLEHLRSPLGARPYSCSDCGASFLDRLA LTRHQETHTHERASTPEDTPSESATLSTNQEDEGEASTPPKSSSHGEEESPKTVSEKKPF LCPECGDGFTEVATLLLHRSCHPGVSL >ENSMUSP00000113903.1 pep:known chromosome:GRCm38:7:127607031:127615215:-1 gene:ENSMUSG00000045639.14 transcript:ENSMUST00000122066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp629 description:zinc finger protein 629 [Source:MGI Symbol;Acc:MGI:2444524] MEPETVLWGPDLQGPEESQNEAHRGAGSGNEEESPQQESSGEEIILGDPAQSPESKDPSE MPLESPSQDASAPQDSPTPLGSSPLDHQTPMDPSAPEVVPSPSEWTKACETNWQWGTLTP WNSTPVVTASEPSLRELVQGRPSGAEKPYICNECGKSFSQWSKLLRHQRIHTGERPNTCS ECGKSFTQSSHLVQHQRTHTGEKPYKCPDCGKCFSWSSNLVQHQRTHTGEKPYKCTECEK AFTQSTNLIKHQRSHTGEKPYKCGECRRAFYRSSDLIQHQATHTGEKPYKCPECGKRFGQ NHNLLKHQKIHAGEKPYRCTECGKSFIQSSELTQHQRTHTGEKPYECLECGKSFGHSSTL IKHQRTHLREDPFKCPVCGKTFTLSATLLRHQRTHTGERPYKCPECGKSFSVSSNLINHQ RIHRGERPYICADCGKSFIMSSTLIRHQRIHTGEKPYKCSDCGKSFIRSSHLIQHRRTHT GEKPYKCPECGKSFSQSSNLITHVRTHMDENLFVCSDCGKAFLEAQELEQHRVIHERGKT PARRAQGDSLLGFGDPALMTPPPGAKPHKCLVCGKGFNDEGIFMQHQRIHIGENPYKNAD GLITHPAPKPQQLRPSRLPFGGNSHPGASESRADPPGQPSKVPESQEGSGQRPGQPSPKC YVCSHCGESFLDRAVLLQHQLTHGNEKPFLFPECRTGRGEGAGPSPFLNAKPFKCPECKK SFGLSSELLQHQKVHAGGKSQKSSELGKSSSVLLEHLRSPLGARPYSCSDCGASFLDRLA LTRHQETHTHERASTPEDTPSESATLSTNQEDEGEASTPPKSSSHGEEESPKTVSEKKPF LCPECGDGFTEVATLLLHRSCHPGVSL >ENSMUSP00000081612.3 pep:known chromosome:GRCm38:7:127607031:127615272:-1 gene:ENSMUSG00000045639.14 transcript:ENSMUST00000084564.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp629 description:zinc finger protein 629 [Source:MGI Symbol;Acc:MGI:2444524] MEPETVLWGPDLQGPEESQNEAHRGAGSGNEEESPQQESSGEEIILGDPAQSPESKDPSE MPLESPSQDASAPQDSPTPLGSSPLDHQTPMDPSAPEVVPSPSEWTKACETNWQWGTLTP WNSTPVVTASEPSLRELVQGRPSGAEKPYICNECGKSFSQWSKLLRHQRIHTGERPNTCS ECGKSFTQSSHLVQHQRTHTGEKPYKCPDCGKCFSWSSNLVQHQRTHTGEKPYKCTECEK AFTQSTNLIKHQRSHTGEKPYKCGECRRAFYRSSDLIQHQATHTGEKPYKCPECGKRFGQ NHNLLKHQKIHAGEKPYRCTECGKSFIQSSELTQHQRTHTGEKPYECLECGKSFGHSSTL IKHQRTHLREDPFKCPVCGKTFTLSATLLRHQRTHTGERPYKCPECGKSFSVSSNLINHQ RIHRGERPYICADCGKSFIMSSTLIRHQRIHTGEKPYKCSDCGKSFIRSSHLIQHRRTHT GEKPYKCPECGKSFSQSSNLITHVRTHMDENLFVCSDCGKAFLEAQELEQHRVIHERGKT PARRAQGDSLLGFGDPALMTPPPGAKPHKCLVCGKGFNDEGIFMQHQRIHIGENPYKNAD GLITHPAPKPQQLRPSRLPFGGNSHPGASESRADPPGQPSKVPESQEGSGQRPGQPSPKC YVCSHCGESFLDRAVLLQHQLTHGNEKPFLFPECRTGRGEGAGPSPFLNAKPFKCPECKK SFGLSSELLQHQKVHAGGKSQKSSELGKSSSVLLEHLRSPLGARPYSCSDCGASFLDRLA LTRHQETHTHERASTPEDTPSESATLSTNQEDEGEASTPPKSSSHGEEESPKTVSEKKPF LCPECGDGFTEVATLLLHRSCHPGVSL >ENSMUSP00000139447.1 pep:known chromosome:GRCm38:7:127608455:127614867:-1 gene:ENSMUSG00000045639.14 transcript:ENSMUST00000151107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp629 description:zinc finger protein 629 [Source:MGI Symbol;Acc:MGI:2444524] MEPETVLWGPDLQGPEESQNEAHRAACKSTRATCGRGGTARRQYRQL >ENSMUSP00000141017.1 pep:known chromosome:GRCm38:7:127608587:127615258:-1 gene:ENSMUSG00000045639.14 transcript:ENSMUST00000134446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp629 description:zinc finger protein 629 [Source:MGI Symbol;Acc:MGI:2444524] MEPETVLWGPDLQGPEESQNEAHRAACKSTRATCGRGGTARRQYRQL >ENSMUSP00000139924.1 pep:known chromosome:GRCm38:7:127608756:127615797:-1 gene:ENSMUSG00000045639.14 transcript:ENSMUST00000132524.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp629 description:zinc finger protein 629 [Source:MGI Symbol;Acc:MGI:2444524] MEPETVLWGPDLQGPEESQNEAHRAACKSTRATCGRGGTARRQYRQL >ENSMUSP00000116375.1 pep:known chromosome:GRCm38:7:127611904:127614360:-1 gene:ENSMUSG00000045639.14 transcript:ENSMUST00000131318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp629 description:zinc finger protein 629 [Source:MGI Symbol;Acc:MGI:2444524] MEPETVLWGPDLQGPEESQNEAHRGAGSGNEEESPQQESSGEEIILGDPAQSPESKDPSE MPLESPSQDASAPQDSPTPLGSSPLDHQTPMDPSAPEVVPSPSEWTKACETNWQWGTLTP WNSTPVVTASEPSLRELVQGRPSGAEKPYICNECGKSFSQWSKLLRHQRIHTGERPNTCS ECGKSFTQSSHLVQHQRTHTGEKPYKCPDCGKCFSWSSNLVQHQRTHTGEKPYKCTECEK AFTQ >ENSMUSP00000140505.1 pep:known chromosome:GRCm38:7:127611984:127615200:-1 gene:ENSMUSG00000045639.14 transcript:ENSMUST00000128731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp629 description:zinc finger protein 629 [Source:MGI Symbol;Acc:MGI:2444524] MEPETVLWGPDLQGPEESQNEAHRDSLSVCRCWKWERRGEPSAGKFWGGDHPGRSGSESR IQGPIRDAPGEPLPGCLSPSGFPNPPGFFPLRSPDPYGPISPRGSPFPVRVDQGL >ENSMUSP00000114772.1 pep:known chromosome:GRCm38:7:127612051:127614365:-1 gene:ENSMUSG00000045639.14 transcript:ENSMUST00000152315.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp629 description:zinc finger protein 629 [Source:MGI Symbol;Acc:MGI:2444524] MEPETVLWGPDLQGPEESQNEAHRGAGSGNEEESPQQESSGEEIILGDPAQSPESKDPSE MPLESPSQDASAPQDSPTPLGSSPLDHQTPMDPSAPEVVPSPSEWTKACETNWQWGTLTP WNSTPVVTASEPSLRELVQGRPSGAEKPYICNECGKSFSQWSKLLRHQRIHTGERPNTCS ECGKSFTQSSHLVQH >ENSMUSP00000130114.2 pep:known chromosome:GRCm38:10:79356576:79397198:1 gene:ENSMUSG00000091468.2 transcript:ENSMUST00000170596.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r82 description:vomeronasal 2, receptor 82 [Source:MGI Symbol;Acc:MGI:3646522] MLLSWLLIVWFLQMPTFTWIIATHICPTDTKHLIHSDGTVVIGAFFPILHNSRVSKIIDW RYFPMDSDDYFGINARNYQLVLAMLFAVNEINLNSHILPNTSLGLEIYNLPAIGRNIFRT VFSWLTGLSSVIPNYTCRKESKSAATLTGLSWKTSETIWTILDLYKFPQLTFGPFDPVQI DRNQFRSLYQVAPKDTALLSGIASLMLHFNWNWVGLLIPADQRGAQFLSDFRKELDKTRI CIAFVQTVLYLGETLLRLLSQDHIHILESSTADVIVIYGPTSILLTLIESTYRKYNMKKI WVMNTKWFCPNIELYNMLELSHGALIFSPHYEEIAGFKKFMQEATPIKYPEDIFLHILWY WYFKCSFLHFECKIFKNCLQNASLELLPGNIFTMTMTEESYYVYNAVYAVAHSLHEETLS QIQYQPQSNIDRTLLFPWQLHPFLKKIQVKNSVGDDVVLDWKRKADPEYDITNIWNFPTG LSLLVKVGTFVPSAPKGKQLSMSEYMINWPIGFTEIPHSVCSESCNPGFRKVALESKPTC CFDCTPCPDNEISNETGMDQCVHCPETHYASAEKRHCLKKTITFLSYNDPLGKGITLLSI GFSTLTALVIWVFVEHRGTPIIKANNRSLSYILLITLILCFLCPLLFIGLPNTATCIMQQ YMFGLLFTVALSTVLAKTITVVMAFKITAPGRKIRWLLTSQVPKFVIPVCILIQVLLSGI WLGTSPPFIDKDYQSEHGHIIILCSKGSYIYFYCTLAYLGVMAFGSYLLAFLSRRLPDRF NESKSLAFSMLVFCSVWVMFLPVCHSTSGKVMVAMEMFSILASSASILIIVFAPKCYIVL FRPELNTLTHNRDKRHHRSKTLLKT >ENSMUSP00000033178.2 pep:known chromosome:GRCm38:7:120658731:120670343:-1 gene:ENSMUSG00000030887.4 transcript:ENSMUST00000033178.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd9 description:PDZ domain containing 9 [Source:MGI Symbol;Acc:MGI:1915233] MEKGSLKSKNEKEQLSKAKASVSSLNKVIQTKLTVGNLGLGLVVIQNGPYLQISHLINKG AAASDGILQPGDVLISVGHANVLGYTLREFLKLLQNITIGTVLQIKAYRGFLEIPQEWQD VYDLIPETKFPIPHTPKKTEPARESLVKDDHEEAVLDKKLKYYRYPRSVWNHPVRTPISI STEWHGYEKKERTISVGRDINSDVVIHKDDKKELRAPSPYWAMVEQDRAISSSSSSTANS SSSDAFWLEDYAQVEEGNGKQVSKFG >ENSMUSP00000147114.1 pep:known chromosome:GRCm38:7:120659354:120670250:-1 gene:ENSMUSG00000030887.4 transcript:ENSMUST00000127845.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd9 description:PDZ domain containing 9 [Source:MGI Symbol;Acc:MGI:1915233] MEKGSLKSKNEKEQLSKAKASVSSLNKVIQTKLTVGNLGLGLVVIQNGPYLQISHLINKG AAASDGILQPGMARCV >ENSMUSP00000146476.1 pep:known chromosome:GRCm38:7:120659479:120670339:-1 gene:ENSMUSG00000030887.4 transcript:ENSMUST00000208635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd9 description:PDZ domain containing 9 [Source:MGI Symbol;Acc:MGI:1915233] MEKGSLKSKNEKEQLSKAKASVSSLNKVIQTKLTVGNLGLGLVVIQNGPYLQISHLINKG AAASDGILQPAHQRKRSRQESLL >ENSMUSP00000069495.3 pep:known chromosome:GRCm38:17:84658234:84683011:-1 gene:ENSMUSG00000040505.13 transcript:ENSMUST00000066175.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg5 description:ATP-binding cassette, sub-family G (WHITE), member 5 [Source:MGI Symbol;Acc:MGI:1351659] MGELPFLSPEGARGPHINRGSLSSLEQGSVTGTEARHSLGVLHVSYSVSNRVGPWWNIKS CQQKWDRQILKDVSLYIESGQIMCILGSSGSGKTTLLDAISGRLRRTGTLEGEVFVNGCE LRRDQFQDCFSYVLQSDVFLSSLTVRETLRYTAMLALCRSSADFYNKKVEAVMTELSLSH VADQMIGSYNFGGISSGERRRVSIAAQLLQDPKVMMLDEPTTGLDCMTANQIVLLLAELA RRDRIVIVTIHQPRSELFQHFDKIAILTYGELVFCGTPEEMLGFFNNCGYPCPEHSNPFD FYMDLTSVDTQSREREIETYKRVQMLECAFKESDIYHKILENIERARYLKTLPTVPFKTK DPPGMFGKLGVLLRRVTRNLMRNKQAVIMRLVQNLIMGLFLIFYLLRVQNNTLKGAVQDR VGLLYQLVGATPYTGMLNAVNLFPMLRAVSDQESQDGLYHKWQMLLAYVLHVLPFSVIAT VIFSSVCYWTLGLYPEVARFGYFSAALLAPHLIGEFLTLVLLGIVQNPNIVNSIVALLSI SGLLIGSGFIRNIQEMPIPLKILGYFTFQKYCCEILVVNEFYGLNFTCGGSNTSMLNHPM CAITQGVQFIEKTCPGATSRFTANFLILYGFIPALVILGIVIFKVRDYLISR >ENSMUSP00000130783.1 pep:known chromosome:GRCm38:17:84658488:84682202:-1 gene:ENSMUSG00000040505.13 transcript:ENSMUST00000163375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg5 description:ATP-binding cassette, sub-family G (WHITE), member 5 [Source:MGI Symbol;Acc:MGI:1351659] MCILGSSGSGKTTLLDAISGRLRRTGTLEGEVFVNGCELRRDQFQDCFSYVLQSDVFLSS LTVRETLRYTAMLALCRSSADFYNKKVEAVMTELSLSHVADQMIGSYNFGGISSGERRRV SIAAQLLQDPMDLTSVDTQSREREIETYKRVQMLECAFKESDIYHKILENIERARYLKTL PTVPFKTKDPPGMFGKLGVLLRRVTRNLMRNKQAVIMRLVQNLIMGLFLIFYLLRVQNNT LKGAVQDRVGLLYQLVGATPYTGMLNAVNLFPMLRAVSDQESQDGLYHKWQMLLAYVLHV LPFSVIATVIFSSVCYWTLGLYPEVARFGYFSAALLAPHLIGEFLTLVLLGIVQNPNIVN SIVALLSISGLLIGSGFIRNIQEMPIPLKILGYFTFQKYCCEILVVNEFYGLNFTCGGSN TSMLNHPMCAITQGVQFIEKTCPGATSRFTANFLILYGFIPALVILGIVIFKVRDYLISR >ENSMUSP00000133372.1 pep:known chromosome:GRCm38:18:56524722:56572951:-1 gene:ENSMUSG00000053644.13 transcript:ENSMUST00000174518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh7a1 description:aldehyde dehydrogenase family 7, member A1 [Source:MGI Symbol;Acc:MGI:108186] MSTLLIHHPQYAWLQDLGLREDNEGVYNGSWGGRGEVITTYCPANNEPIARVRQASLKDY EETIGKAKKAWNIWADIPAPKRGEIVRKIGDAFREKIQLLGRLVSLEMGKILVEGIGEVQ EYVDVCDYAAGLSRMIGGPTLPSERPGHALIEMWNPLGLVGIITAFNFPVAVFGWNNAIA LITGNVCLWKGAPTTSLVSVAVTKIIAQVLEDNLLPGAICSLVCGGADIGTTMARDERVN LLSFTGSTQVGKEVALMVQERFGKSLLELGGNNAIIAFEDADLSLVVPSVLFAAVGTAGQ RCTTVRRLFLHESIHNEVVDRLRSAYSQIRVGNPWDPNILYGPLHTKQAVSMFVRAVEEA KKQGGTVVYGGKVMDHPGNYVEPTIVTGLAHDAPIVHQETFAPILYVFKFQDEEEVFEWN NEVKQGLSSSIFTKDLGRIFRWLGPKGSDCGIVNVNIPTSGAEIGGAFGGEKHTGGGRES GSDAWKQYMRRSTCTINYSTSLPLAQGIKFQ >ENSMUSP00000134192.1 pep:known chromosome:GRCm38:18:56526605:56562328:-1 gene:ENSMUSG00000053644.13 transcript:ENSMUST00000172734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh7a1 description:aldehyde dehydrogenase family 7, member A1 [Source:MGI Symbol;Acc:MGI:108186] MWRVPRRLCVQSVKTSKLSGPWSRPAAHMSTLLIHHPQYAWLQDLGLREDNEGVYNGSWG GRGEVITTYCPANNEPIARVRQASLKDYEETIGKAKKAWNIWADIPAPKRGEIVRKIGDA FREKIQLLGRLVSLEMGKILVEGIGEVQEYVDVCDYAAGLSRMIGGPTLPSERPGHALIE MWNPLGLVGIITAFNFPVAVFGWNNAIALITGNVCLWKGAPTTSLVSVAVTKIIAQVLED NLLPGAICSLVCGGADIGTTMARDERVNLLSFTGSTQVGKEVALMVQERFGKSLLELGGN NAIIAFEDADLSLVVPSVLFAAVGTAGQRCTTVRRLVMDHPGNYVEPTIVTGLAHDAPIV HQETFAPILYVFKFQDEEEVFEWNNEVKQGLSSSIFTKDLGRIFRWLGPKGSDCGIVNVN IPTSGAEIGGAFGGEKHTGGGRESGSDAWKQYMRRSTCTINYSTSLPLAQGIKFQ >ENSMUSP00000065089.6 pep:known chromosome:GRCm38:18:56526744:56562309:-1 gene:ENSMUSG00000053644.13 transcript:ENSMUST00000066208.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh7a1 description:aldehyde dehydrogenase family 7, member A1 [Source:MGI Symbol;Acc:MGI:108186] MWRVPRRLCVQSVKTSKLSGPWSRPAAHMSTLLIHHPQYAWLQDLGLREDNEGVYNGSWG GRGEVITTYCPANNEPIARVRQASLKDYEETIGKAKKAWNIWADIPAPKRGEIVRKIGDA FREKIQLLGRLVSLEMGKILVEGIGEVQEYVDVCDYAAGLSRMIGGPTLPSERPGHALIE MWNPLGLVGIITAFNFPVAVFGWNNAIALITGNVCLWKGAPTTSLVSVAVTKIIAQVLED NLLPGAICSLVCGGADIGTTMARDERVNLLSFTGSTQVGKEVALMVQERFGKSLLELGGN NAIIAFEDADLSLVVPSVLFAAVGTAGQRCTTVRRLFLHESIHNEVVDRLRSAYSQIRVG NPWDPNILYGPLHTKQAVSMFVRAVEEAKKQGGTVVYGGKVMDHPGNYVEPTIVTGLAHD APIVHQETFAPILYVFKFQDEEEVFEWNNEVKQGLSSSIFTKDLGRIFRWLGPKGSDCGI VNVNIPTSGAEIGGAFGGEKHTGGGRESGSDAWKQYMRRSTCTINYSTSLPLAQGIKFQ >ENSMUSP00000133970.1 pep:known chromosome:GRCm38:18:56526750:56562218:-1 gene:ENSMUSG00000053644.13 transcript:ENSMUST00000174704.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aldh7a1 description:aldehyde dehydrogenase family 7, member A1 [Source:MGI Symbol;Acc:MGI:108186] RVPRRLCVQSVKTSKLSGPWSRPAAHMSTLLIHHPQYAWLQDLGLREDNEGVYNGSWGGR GEVITTYCPANNEPIARVRQASLKDYEETIGKAKKAWNIWADIPAPKRGEIVRKIGDAFR EKIQLLGRLVSLEMGKILVEGIGEVQEYVDVCDYAAGLSRMIGGPTLPSERPGHALIEMW NPLGLVGIITAFNFPVAVFGWNNAIALITGNVCLWKGAPTTSLVSVAVTKIIAQVLEDNL LPGAICSLVCGGADIGTTMARDERVNLLSFTGSTQVGKEGKACWSLEETMPLLLSRTRTS AWLFRQFCLPPWEQLGKGVPL >ENSMUSP00000127625.1 pep:known chromosome:GRCm38:18:56537248:56562226:-1 gene:ENSMUSG00000053644.13 transcript:ENSMUST00000170309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh7a1 description:aldehyde dehydrogenase family 7, member A1 [Source:MGI Symbol;Acc:MGI:108186] XMWRVPRRLCVQSVKTSKLSGPWSRPAAHMSTLLIHHPQYAWLQDLGLREDNEGVYNGSW GGRGEVITTYCPANNEPIARVRQASLKDYEETIGKAKKAWNIWADIPAPKRGEIVRKIGD AFREKIQLLGRLVSLEMGKILVEGIGEDADLSLVVPSVLFA >ENSMUSP00000127652.2 pep:known chromosome:GRCm38:18:56550367:56562197:-1 gene:ENSMUSG00000053644.13 transcript:ENSMUST00000171844.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh7a1 description:aldehyde dehydrogenase family 7, member A1 [Source:MGI Symbol;Acc:MGI:108186] VQSVKTSKLSGPWSRPAAHMSTLLIHHPQYAWLQDLGLREDNEGVYNGSWGGRGEASLKD YEETIGKAKKAWNIWADIPAPKRGEIVRKIGDAFREKIQLLGRLVSLEMGKILVEGIGEV Q >ENSMUSP00000091953.2 pep:known chromosome:GRCm38:7:48167983:48169019:-1 gene:ENSMUSG00000070551.3 transcript:ENSMUST00000094389.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprb5 description:MAS-related GPR, member B5 [Source:MGI Symbol;Acc:MGI:3033121] MGLTTPAWNINNTVVNGSNNTEHFSCVSKFNTLNFLTVIIAMFGLAGNAIVLWLLAFHLP RNAFSVYVCNLACADFLQLCTQILGSLECFLQLNRRHTFFLTVVFMFAYLAGLCMIAAIS VERSLSVMWPIWYHCQRPRHTSSIMCALLWAFCLLLNFLLGEGCGLLFSDPKYYFCITCA LITTALIILLTVVPSVSSLALLVKMICGSHRIPVTRFYVTIALTLVVFIFLGLPFGIYSS FLIMFKEFQSIFSYHVLEVTIFLSCVNSCANPIIYFLVGSIRQHRLQWQSLKLLLQRAMQ DTPEEDSGERVPSQRSGELESV >ENSMUSP00000034607.9 pep:known chromosome:GRCm38:9:44741564:44767845:-1 gene:ENSMUSG00000032096.15 transcript:ENSMUST00000034607.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arcn1 description:archain 1 [Source:MGI Symbol;Acc:MGI:2387591] MVLLAAAVCTKAGKAIVSRQFVEMTRTRIEGLLAAFPKLMNTGKQHTFVETESVRYVYQP MEKLYMVLITTKNSNILEDLETLRLFSRVIPEYCRALEENEISEHCFDLIFAFDEIVALG YRENVNLAQIRTFTEMDSHEEKVFRAVRETQEREAKAEMRRKAKELQQARRDAERQGKKA PGFGGFGSSAVSGGSTAAMITETIIETDKPKVAPAPARPSGPSKALKLGAKGKEVDNFVD KLKSEGETIMSSNMGKRTSEATKVHAPPINMESVHMKIEEKITLTCGRDGGLQNMELHGM IMLRISDDKFGRIRLHVENEDKKGVQLQTHPNVDKKLFTAESLIGLKNPEKSFPVNSDVG VLKWRLQTTEESFIPLTINCWPSESGNGCDVNIEYELQEDNLELNDVVITIPLPSGVGAP VIGEIDGEYRHDSRRNTLEWCLPVIDAKNKSGSLEFSIPGQPNDFFPVQVSFISKKNYCN IQVTKVTQVDGNSPVRFSTETTFLVDKYEIL >ENSMUSP00000043390.7 pep:known chromosome:GRCm38:4:152297227:152307121:1 gene:ENSMUSG00000039662.16 transcript:ENSMUST00000048892.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icmt description:isoprenylcysteine carboxyl methyltransferase [Source:MGI Symbol;Acc:MGI:1888594] MAGCAARVPPGSEARLSLATFLLGASVLALPLLTRAGLQGRTGLALYVAGLNALLLLLYR PPRYQIAIRACFLGFVFGCGVLLSFSQSSWNHFGWYVCSLSLFHYSEYLVTAVNNPKSLS LDSFLLNHSLEYTVAALSSWIEFTLENIFWPELKQITWLSATGLLMVVFGECLRKAAMFT AGSNFNHVVQSEKSDTHTLVTSGVYAWCRHPSYVGWFYWSIGTQVMLCNPICGVVYALTV WRFFRDRTEEEEISLIHFFGEEYLDYKKRVPTGLPFIKGVKVEL >ENSMUSP00000133950.1 pep:known chromosome:GRCm38:4:152297304:152307120:1 gene:ENSMUSG00000039662.16 transcript:ENSMUST00000151372.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Icmt description:isoprenylcysteine carboxyl methyltransferase [Source:MGI Symbol;Acc:MGI:1888594] MAGCAARVPPGSEARLSLATFLLGASVLALPLLTRAGLQGRTGLALYVAGLNALLLLLYR PPRYQIAIRACFLGFVFGCGVLLSFSQSSWNHFGWSRLVLPPQPV >ENSMUSP00000026480.6 pep:known chromosome:GRCm38:4:106662256:106678944:-1 gene:ENSMUSG00000025413.13 transcript:ENSMUST00000026480.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc4 description:tetratricopeptide repeat domain 4 [Source:MGI Symbol;Acc:MGI:1919604] MESSEPEPTEDASMDAFLEKFQSQPYRGGFREDQWEEEFDKIPLFMKKAPSEIDPEEFPD LACLQSMIFDDDRYPEEQAKTYKDEGNDYFKEKDYKKAVLSYSEGLKKKCADPDLNAVLY TNRAAAQYYLGNVRSSLNDVLAAKKLKPGHLKAIIRGALCHLELKHFAEAVNWCDEGLQI DAKEKKLLEIRAKADKLKRMEERDLRKAKLKEKKEQHQNEALLQAIKARNIRLVSESAGE DEDSASNGPAEILLDGLSSENPYGARLSIDDQGRLSWPVLFLYPEYAQSDFISAFHEDTR FIDHLMAMFSEAPSWDSEHKYHPENLEVYFEDEDRAELYQVSPDSTLLQVLQHPRCCVKA LTPAFLVCVGSSPFCRNYLQGKKVHR >ENSMUSP00000102384.3 pep:known chromosome:GRCm38:4:106663064:106678866:-1 gene:ENSMUSG00000025413.13 transcript:ENSMUST00000106772.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc4 description:tetratricopeptide repeat domain 4 [Source:MGI Symbol;Acc:MGI:1919604] MESSEPEPTEDASMDAFLEKFQSQPYRGGFREDQWEEEFDKIPLFMKKAPSEIDPEEFPD LACLQSMIFDDDRYPEEQAKTYKDEGNDYFKEKDYKKAVLSYSEGLKKKCADPDLNAVLY TNRAAAQYYLGNVRSSLNDVLAAKKLKPGHLKAIIRGALCHLELKHFAEAVNWCDEGLQI DAKEKKLLEIRAKADKLKRMEERDLRKAKLKEKKEQHQNEALLQAIKVYFEDEDRAELYQ VSPDSTLLQVLQHPRCCVKALTPAFLVCVGSSPFCRNYLQGKKVHR >ENSMUSP00000116620.1 pep:known chromosome:GRCm38:4:106670463:106678891:-1 gene:ENSMUSG00000025413.13 transcript:ENSMUST00000135676.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc4 description:tetratricopeptide repeat domain 4 [Source:MGI Symbol;Acc:MGI:1919604] MESSEPEPTEDASMDAFLEKFQSQPYRGGFREDQWEEEFDKIPLFMKKAPSEIDPEEFPD LACLQSMIFDDDRYPEEQAKTYKDEGNDYFKEKDYKKAVLSYSEGLKKKCADPDLNAVLY TNRAAAQYYLGNVRSSLNDVLAAKKLKPGHLKAIIRGTELQRALSCLPSWGWLVTGALCH LELKHFAEAVNWCDEGLQIDAKEKKLLEIRAKADKLKRMEERDLRKAKLKEKKEQHQNEA L >ENSMUSP00000084369.2 pep:known chromosome:GRCm38:17:38067173:38068126:1 gene:ENSMUSG00000094878.1 transcript:ENSMUST00000087129.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr130 description:olfactory receptor 130 [Source:MGI Symbol;Acc:MGI:2177513] MTINKSSGGDFILVGFSDQPQLEKILFVLVLISYLLTLVGNTAIILVSCLDSALQTPMYY FLTNLSFVDICFSTSIVPQLLWNLHGPAKTITATGCAIQLYVSLALGSTECVLLAVMAFD RYAAVCRPLHYATVMHPRLCQSLAGVAWLSGVGNTLIQGTITLRLPRCGNHKIYHFICEV PAMIKLACVDIHANEVQLFMASLVLLLLPLTLILVSYGYIAQALMRLRSALTWGKALGTC GSHMLVVVLFYGTITAIYIQPNSSYAHSQGKFITLLYTVVIPTLNPLIYTLRNKDVKGAL KRLVRKNNSTGKKILSR >ENSMUSP00000042844.9 pep:known chromosome:GRCm38:5:91517615:91626894:1 gene:ENSMUSG00000034981.9 transcript:ENSMUST00000040576.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parm1 description:prostate androgen-regulated mucin-like protein 1 [Source:MGI Symbol;Acc:MGI:2443349] MVCKVLIALCIFTAGLRVQGSPTVPLPVSLMTKSSAPVATWTTSAPHTARATTPVASATH NASVLRTTAASLTSQLPTDHREEAVTSPPLKRDVNSTDSSPAGFPSTSSDGHLAPTPEEH SLGSPEATVPATGSQSPMLLSSQAPTSATTSPATSLSESLSASVTSSHNSTVANIQPTEA PMAPASPTEEHSSSHTPTSHVTAEPVPKEKSPQDTEPGKVICESETTTPFLIMQEVENAL SSGSIAAITVTVIAVVLLVFGGAAYLKIRHSSYGRLLDDHDYGSWGNYNNPLYDDS >ENSMUSP00000114929.1 pep:known chromosome:GRCm38:4:155839680:155842130:1 gene:ENSMUSG00000029070.9 transcript:ENSMUST00000141883.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxra8 description:matrix-remodelling associated 8 [Source:MGI Symbol;Acc:MGI:1922011] MELLSRVLLWKLLLLQSSAVLSSGTAAASSSLVSESVVSLAAGTQAVLRCQSPRMVWTQD RLHDRQRVVHWDLSGGPGSQRRRLVDMYSAGEQRVYEPRDRDRLLLSPSAFHDGNFSLLI RAVDRGDEGVYTCNLHHHYCHLDESLAVRLEVTEDPLLSRAYWDGEKEVLVVAHGAPALM TCINRAHVWTDRHLEEAQQVVHWDRQLPGVSHDRADRLLDLYASGERRAYGPPFLRDRVS VNTNAFARGDFSLRIDELERADEGIYSCHLHHHYCGLHERRVFHLQVTEPAFEPPARASP GNGSGHSSAPSP >ENSMUSP00000030947.3 pep:known chromosome:GRCm38:4:155839726:155844088:1 gene:ENSMUSG00000029070.9 transcript:ENSMUST00000030947.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxra8 description:matrix-remodelling associated 8 [Source:MGI Symbol;Acc:MGI:1922011] MELLSRVLLWKLLLLQSSAVLSSGPSGTAAASSSLVSESVVSLAAGTQAVLRCQSPRMVW TQDRLHDRQRVVHWDLSGGPGSQRRRLVDMYSAGEQRVYEPRDRDRLLLSPSAFHDGNFS LLIRAVDRGDEGVYTCNLHHHYCHLDESLAVRLEVTEDPLLSRAYWDGEKEVLVVAHGAP ALMTCINRAHVWTDRHLEEAQQVVHWDRQLPGVSHDRADRLLDLYASGERRAYGPPFLRD RVSVNTNAFARGDFSLRIDELERADEGIYSCHLHHHYCGLHERRVFHLQVTEPAFEPPAR ASPGNGSGHSSAPSPDPTLTRGHSIINVIVPEDHTHFFQQLGYVLATLLLFILLLITVVL ATRYRHSGGCKTSDKKAGKSKGKDVNMVEFAVATRDQAPYRTEDIQLDYKNNILKERAEL AHSPLPAKDVDLDKEFRKEYCK >ENSMUSP00000146929.1 pep:known chromosome:GRCm38:7:131333864:131336927:1 gene:ENSMUSG00000030859.8 transcript:ENSMUST00000207439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam24a description:family with sequence similarity 24, member A [Source:MGI Symbol;Acc:MGI:1915473] MFDLRTKVMIGIASTLLIAAIMLITLVFCLYQKISKALKLAKEPECCIDPCKDPNEKIIR AKPIIAETCRNLPCCDDCSIYKDVGSLPPCYCVTNEGL >ENSMUSP00000146579.1 pep:known chromosome:GRCm38:7:131334498:131336861:1 gene:ENSMUSG00000030859.8 transcript:ENSMUST00000207784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam24a description:family with sequence similarity 24, member A [Source:MGI Symbol;Acc:MGI:1915473] MFDLRTKVMIGIASTLLIAAIMLITLVFCLYQKISKALKLAKEPECCIDPCKDPNEKIIR AKPIIAETCRNLPCCDDCSIYKDVGSLPPCYCVTNEGL >ENSMUSP00000081551.4 pep:known chromosome:GRCm38:7:131334622:131336716:1 gene:ENSMUSG00000030859.8 transcript:ENSMUST00000084505.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam24a description:family with sequence similarity 24, member A [Source:MGI Symbol;Acc:MGI:1915473] MFDLRTKVMIGIASTLLIAAIMLITLVFCLYQKISKALKLAKEPECCIDPCKDPNEKIIR AKPIIAETCRNLPCCDDCSIYKDVGSLPPCYCVTNEGL >ENSMUSP00000145880.1 pep:known chromosome:GRCm38:6:72368794:72372135:-1 gene:ENSMUSG00000073002.9 transcript:ENSMUST00000206154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp5 description:vesicle-associated membrane protein 5 [Source:MGI Symbol;Acc:MGI:1858622] MLNNFDKVLERHGKLAELEQRSDQLLDMSSAFSKTTKTLAQQKRWENIRCRVYLGLAVAV GLLIILIVLLVVFLPSGGDSSKP >ENSMUSP00000098843.3 pep:known chromosome:GRCm38:6:72369028:72380422:-1 gene:ENSMUSG00000073002.9 transcript:ENSMUST00000101285.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp5 description:vesicle-associated membrane protein 5 [Source:MGI Symbol;Acc:MGI:1858622] MAGKELKQCQQQADEVTEIMLNNFDKVLERHGKLAELEQRSDQLLDMSSAFSKTTKTLAQ QKRWENIRCRVYLGLAVAVGLLIILIVLLVVFLPSGGDSSKP >ENSMUSP00000073852.3 pep:known chromosome:GRCm38:6:72369034:72380468:-1 gene:ENSMUSG00000073002.9 transcript:ENSMUST00000074231.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp5 description:vesicle-associated membrane protein 5 [Source:MGI Symbol;Acc:MGI:1858622] MAGKELKQCQQQADEVTEIMLNNFDKVLERHGKLAELEQRSDQLLDMSSAFSKTTKTLAQ QKRWENIRCRVYLGLAVAVGLLIILIVLLVVFLPSGGDSSKP >ENSMUSP00000123979.1 pep:known chromosome:GRCm38:1:134111242:134151540:1 gene:ENSMUSG00000026450.14 transcript:ENSMUST00000159963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chit1 description:chitinase 1 (chitotriosidase) [Source:MGI Symbol;Acc:MGI:1919134] MVQSLAWAGVMTLLMVQWGSAAKLVCYLTNWSQYRTEAVRFFPRDVDPNLCTHVIFAFAG MDNHQLSTVEHNDELLYQELNSLKTKNPKLKTLLAVGGWTFGTQKFTDMVATASNRQTFV KSALSFLRTQGFDGLDLDWEFPGGRGSPTVDKERFTALIQDLAKAFQEEAQSSGKERLLL TAAVPSDRGLVDAGYEVDKIAQSLDFINLMAYDFHSSLEKTTGHNSPLYKRQGESGAAAE QNVDAAVTLWLQKGTPASKLILGMPTYGRSFTLASSSDNGVGAPATGPGAPGPYTKDKGV LAYYEACSWKERHRIEDQKVPYAFQDNQWVSFDDVESFKAKAAYLKQKGLGGAMVWVLDL DDFKGSFCNQGPYPLIRTLRQELNLPSETPRSPEQIIPEPRPSSMPEQGPSPGLDNFCQG KADGVYPNPGDESTYYNCGGGRLFQQSCPPGLVFRASCKCCTWS >ENSMUSP00000124331.1 pep:known chromosome:GRCm38:1:134111242:134151540:1 gene:ENSMUSG00000026450.14 transcript:ENSMUST00000160060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chit1 description:chitinase 1 (chitotriosidase) [Source:MGI Symbol;Acc:MGI:1919134] MVQSLAWAGVMTLLMVQWGSAAKLVCYLTNWSQYRTEAVRFFPRDVDPNLCTHVIFAFAG MDNHQLSTVEHNDELLYQELNSLKTKNPKLKTLLAVGGWTFGTQKFTDMVATASNRQTFV KSALSFLRTQGFDGLDLDWEFPGGRGSPTVDKERFTALIQDLAKAFQEEAQSSGKERLLL TAAVPSDRGLVDAGYEVDKIAQSLDFINLMAYDFHSSLEKTTGHNSPLYKRQGESGAAAE QNVDAAVTLWLQKGTPASKLILGMPTYGRSFTLASSSDNGVGAPATGPGAPGPYTKDKGV LAYYEACSWKERHRIEDQKVPYAFQDNQWVSFDDVESFKAKAKLMGSTPTLETSPLTTTV EEGGCSSRAVLQAWCLEPLANVVPGAKFLEPHPTPV >ENSMUSP00000083666.2 pep:known chromosome:GRCm38:1:134138646:134151539:1 gene:ENSMUSG00000026450.14 transcript:ENSMUST00000086475.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chit1 description:chitinase 1 (chitotriosidase) [Source:MGI Symbol;Acc:MGI:1919134] MVQSLAWAGVMTLLMVQWGSAAKLVCYLTNWSQYRTEAVRFFPRDVDPNLCTHVIFAFAG MDNHQLSTVEHNDELLYQELNSLKTKNPKLKTLLAVGGWTFGTQKFTDMVATASNRQTFV KSALSFLRTQGFDGLDLDWEFPGGRGSPTVDKERFTALIQDLAKAFQEEAQSSGKERLLL TAAVPSDRGLVDAGYEVDKIAQSLDFINLMAYDFHSSLEKTTGHNSPLYKRQGESGAAAE QNVDAAVTLWLQKGTPASKLILGMPTYGRSFTLASSSDNGVGAPATGPGAPGPYTKDKGV LAYYEACSWKERHRIEDQKVPYAFQDNQWVSFDDVESFKAKAAYLKQKGLGGAMVWVLDL DDFKGSFCNQGPYPLIRTLRQELNLPSETPRSPEQIIPEPRPSSMPEQGPSPGLDNFCQG KADGVYPNPGDESTYYNCGGGRLFQQSCPPGLVFRASCKCCTWS >ENSMUSP00000020490.5 pep:known chromosome:GRCm38:9:106170928:106191136:1 gene:ENSMUSG00000020257.12 transcript:ENSMUST00000020490.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr82 description:WD repeat domain containing 82 [Source:MGI Symbol;Acc:MGI:1924555] MKLTDSVLRSFRVAKVFRENSDKINCFDFSPNGETVISSSDDDSIVLYDCQEGKPKRTLY SKKYGVDLIRYTHAANTVVYSSNKIDDTIRYLSLHDNKYIRYFPGHSKRVVALSMSPVDD TFISGSLDKTIRLWDLRSPNCQGLMHLQGKPVCSFDPEGLIFAAGVNSEMVKLYDLRSFD KGPFATFKMQYDRTCEWTGLKFSNDGKLILISTNGSFIRLIDAFKGVVMHTFGGYANSKA VTLEASFTPDSQFIMIGSEDGKIHVWNGESGIKVAVLDGKHTGPITCLQFNPKFMTFASA CSNMAFWLPTIDD >ENSMUSP00000140029.1 pep:known chromosome:GRCm38:9:106176677:106186502:1 gene:ENSMUSG00000020257.12 transcript:ENSMUST00000190564.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr82 description:WD repeat domain containing 82 [Source:MGI Symbol;Acc:MGI:1924555] NTVVYSSNKIDDTIRYLSLHDNKYIRYFPGHSKRASCIYRANLSVPLIQKG >ENSMUSP00000088358.3 pep:known chromosome:GRCm38:3:94693556:94704413:1 gene:ENSMUSG00000068877.12 transcript:ENSMUST00000090848.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selenbp2 description:selenium binding protein 2 [Source:MGI Symbol;Acc:MGI:104859] MATKCTKCGPGYPTPLEAMKGPREEIVYLPCIYRNTGTEAPDYLATVDVDPKSPQYSQVI HRLPMPYLKDELHHSGWNTCSSCFGDSTKSRNKLILPGLMSSRIYVVDVGSEPRAPKLHK VIEASEIQAKCNVSNTHTSHCLASGEVMVNTLGDLQGNGKGSFVLLDGETFEVKGTWEKP GGASPMGYDFWYQPRHNVMVSTEWAAPNVFKDGFNPAHVEAGLYGSRIFVWDWQRHEIIQ TLQMTDGLIPLEIRFLHDPSATQGFVGCALSSNIQRFYKNEEGTWSVEKVIQVPSKKVKG WMLPEMPGLITDILLSLDDRFLYFSNWLHGDIRQYDISNPQKPRLTGQIFLGGSIVRGGS VQVLEDQELTCQPEPLVVKGKRIPGGPQMIQLSLDGKRLYATTSLYSDWDKQFYPDLIRE GSVMLQVDVDTVNGGLKLNPNFLVDFGKEPLGPALAHELRYPGGDCSSDIWI >ENSMUSP00000133320.1 pep:known chromosome:GRCm38:3:94693568:94698125:1 gene:ENSMUSG00000068877.12 transcript:ENSMUST00000173981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selenbp2 description:selenium binding protein 2 [Source:MGI Symbol;Acc:MGI:104859] MGPREEIVYLPCIYRNTGTEAPDYLATVDVDPKSPQYSQVIHRLPMPYLKDELHHSGWNT CSSCFGDSTKSRNKLILPGLMSSRIYVVDVGSEPRAPKLHKVIEASEIQAKCNVSNTHTS HCLASGEV >ENSMUSP00000134270.1 pep:known chromosome:GRCm38:3:94693569:94704216:1 gene:ENSMUSG00000068877.12 transcript:ENSMUST00000173849.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selenbp2 description:selenium binding protein 2 [Source:MGI Symbol;Acc:MGI:104859] MATKCTKCGPGYPTPLEAMKGPREEIVYLPCIYRNTGTEAPDYLATVDVDPKSPQYSQVI EASEIQAKCNVSNTHTSHCLASGEVMVNTLGDLQGNGKGSFVLLDGETFEVKGTWEKPGG ASPMGYDFWYQPRHNVMVSTEWAAPNVFKDGFNPAHVEAGLYGSRIFVWDWQRHEIIQTL QMTDGLIPLEIRFLHDPSATQGFVGCALSSNIQRFYKNEEGTWSVEKVIQVPSKKVKGWM LPEMPGLITDILLSLDDRFLYFSNWLHGDIRQYDISNPQKPRLTGQIFLGGSIVRGGSVQ VLEDQELTCQPEPLVVKGKRIPGGPQMIQLSLDGKRLYATTSLYSDWDKQFYPDLIREGS VMLQVDVDTVNGGLKLNPNFLVDFGKEPLGPALAHELRYPGGDCSSDIWI >ENSMUSP00000133462.1 pep:known chromosome:GRCm38:3:94693647:94699973:1 gene:ENSMUSG00000068877.12 transcript:ENSMUST00000131650.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Selenbp2 description:selenium binding protein 2 [Source:MGI Symbol;Acc:MGI:104859] MATKCTKCGPGYPTPLEAMKGHPQVAHALPEGRAAPLRMEHLQQLLWGQHEVTQQADTAW SHVLPHLRGGCGL >ENSMUSP00000134623.1 pep:known chromosome:GRCm38:3:94693659:94698132:1 gene:ENSMUSG00000068877.12 transcript:ENSMUST00000174223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selenbp2 description:selenium binding protein 2 [Source:MGI Symbol;Acc:MGI:104859] MATKCTKCGPGYPTPLEAMKGTEAPDYLATVDVDPKSPQYSQVIHRLPMPYLKDELHHSG WNTCSSCFGDSTKSRNKLILPGLMSSRIYVVDVGSEPRAPKLHKVIEASEIQAKCNVSNT HTSHCLASGEVMVN >ENSMUSP00000146242.1 pep:known chromosome:GRCm38:4:115890665:115899227:1 gene:ENSMUSG00000034185.9 transcript:ENSMUST00000132221.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430628N08Rik description:RIKEN cDNA 6430628N08 gene [Source:MGI Symbol;Acc:MGI:1923487] MPQAKKSTETLAPAPPGRSRSLLRSLPSPALCCACGLCVLLAGLNVTLVGAFAAFLPGHN VPLVVGPALLVLALGFFAACCVCSRRGPVPRARSSATAGQGGGRPGTVALEMESSERTAQ DTTAVQLSPAASAASSGRSSPGPGLFALDPPAPATAAPYLPRTEGTQLNFPRDPAAS >ENSMUSP00000146010.1 pep:known chromosome:GRCm38:4:115890666:115899227:1 gene:ENSMUSG00000034185.9 transcript:ENSMUST00000165938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430628N08Rik description:RIKEN cDNA 6430628N08 gene [Source:MGI Symbol;Acc:MGI:1923487] MLCLWLVRAAGRPERDTGGSFRRLLAGTQRASGGGARSARAGARLLCCLLRVQPPGPRAR ARSLPPRVRVAGVQGLWHWRWRAASARHRTPRRCSSVQQLLLHPPAAPAPAPASLPWTLP HRQPRPPTCRAPKGRSLTSLGTRLPPSGPRGAGRGGCCRGEGRPRTEHKWPHLYHL >ENSMUSP00000061062.7 pep:known chromosome:GRCm38:6:135065651:135084709:1 gene:ENSMUSG00000046733.7 transcript:ENSMUST00000050104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5a description:G protein-coupled receptor, family C, group 5, member A [Source:MGI Symbol;Acc:MGI:1891250] MTTPRAAPSGCRSDLDSRYHRLCDLAEGWGIALETLAAVGAVATVACMFALVFLICKVQD SNKRKMLPAQFLFLLGVLGVFGLTFAFIIKLDGATGPTRFFLFGVLFAICFSCLLAHAFN LIKLVRGRKPLSWLVILSLAVGFSLVQDVIAIEYLVLTMNRTNVNVFSELPAPRRNEDFV MLLIYVLVLMVLTFFASFLVFCGSFSGWKRHGFHICFTSFLSIAIWVAWIVLLLIPDIDR KWDDTILSTALVANGWVFLAFYILPEFRQLPRQRSPTDYPVEDAFCKPQLMKQSYGVENR AYSQEEITQGLEMGDTLYAPYSTHFQLQNHQKDFSIPRAQAPASPYNDYEGRKGDS >ENSMUSP00000075540.5 pep:known chromosome:GRCm38:4:152307019:152318608:-1 gene:ENSMUSG00000058498.12 transcript:ENSMUST00000076183.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf207 description:ring finger protein 207 [Source:MGI Symbol;Acc:MGI:2684989] MSGAIFAPLEGLSALDAASGHPLVCPLCHGQYERPCLLDCFHDFCTGCLRGRATDGRLSC PLCQHQTLVKGPSGLPPVDRLLQFLVDSSGDGSEAVRCANCDLECSQQDAETTYFCNTCG QPLCARCREETHRARMFARHDIVALGQRSRDVIQKCTLHSEPYIMFSTDKKSLLCIRCFR DMQGESRAHCVDLESAYVQGCERLEQAVLAVKALQTATKEAIALLQSMVEEVRHSAAEEE AAIHALFGSMQDRMAERKALLLQTVQSQYEEKDKAFKEQLTHLASLLPTLQVHLVICSSF LSLASKAEFLDLGYELMERLQGIVTRPHRLRPAQSSKIASDHRAEFARCLEPLLLLGPRR EVSTVGGANTLSGGSSPMVLKTPSCPSPVGKMSGSPVQKPSPHRFISTKVLLAEGEDTPF TEHCRHYEDSYRGLQVEVQNLKDQVQELHRDLTKHHSLIKAEIMGDILRRSLLLDTQIAS EYASLEGRRAIFQEIWEDSYQRVATQQEIYEAQLRDLLQLRQENAYLTIVTKQITPYIRS IARVKERLEPRFQVPVDEHAEHGQNMYDETPGRTDPGCTTEKRDKASEPNGSSWSLSSLP EGPSLKNQDHLRPKLEAGDEGWRAGSGSKGACYQA >ENSMUSP00000129400.1 pep:known chromosome:GRCm38:4:152311384:152318458:-1 gene:ENSMUSG00000058498.12 transcript:ENSMUST00000170820.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf207 description:ring finger protein 207 [Source:MGI Symbol;Acc:MGI:2684989] MSGAIFAPLEGLSALDAASGHPLVCPLCHGQYERPCLLDCFHDFCTGCLRGRATDGRLSC PLCQHQTLVKGPSGLPPVDRLLQFLVDSSGDGSEAVRCANCDLECSQQDAETTYFCNTCG QPLCARCREETHRARMFARHDIVALGQRSRDVIQKCTLHSEPYIMFSTDKKSLLCIRCFR DMQGESRAHCVDLESAYVQGCERLEQAVLAVKALQTATKEAIALLQSMVEEVRHSAAEEE AAIHALFGSMQDRMAERKALLLQTVQSLASKAEFLDLGYELMERLQGIVTRPHRLRPAQS SKIASDHRAEFARCLEPLLLLGPRREVSTVGGANTLSGGSSPMVLKTPSCPSPVGKMSGS PVQKPSPHRFISTKVLLAEGEDTPFTEHCRHYEDSYRGLQVEVQNLKDQVQELHRDLTKH HSLIKAEIMGDILRRSLLLDTQIASEYASLEGRRAIFQEIWEDSYQRVATQQEIYEAQLR DLLQLRQENAYLTIVTKQITPYIRSIARVKERLEP >ENSMUSP00000127196.1 pep:known chromosome:GRCm38:4:152313393:152318481:-1 gene:ENSMUSG00000058498.12 transcript:ENSMUST00000130008.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf207 description:ring finger protein 207 [Source:MGI Symbol;Acc:MGI:2684989] MSGAIFAPLEGLSALDAASGHPLVCPLCHGQYERPCLLDCFHDFCTGCLRGRATDGRLSC PLCHSCLECEG >ENSMUSP00000118452.1 pep:known chromosome:GRCm38:8:83900105:83941954:1 gene:ENSMUSG00000013033.16 transcript:ENSMUST00000141158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl1 description:adhesion G protein-coupled receptor L1 [Source:MGI Symbol;Acc:MGI:1929461] MARLAAALWSLCVTTVLVTSATQGLSRAGLPFGLMRRELACEGYPIELRCPGSDVIMVEN ANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAGSDAFPDPCPGTYK YLEVQYDCVPYIFVCPGTLQKVLEPTSTHESEHQSGAWCKDPLQAGDRIYVMPWIPYRTD TLTEYASWEDYVAARHTTTYRLPNRVDGTGFVVYDGAVFYNKERTRNIVKYDLRTRIKSG ETVINTANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEGNNGRLVVSQLNPYTLRFEGT WETGYDKRSASNAFMVCGVLYVLRSVYVDDDSEAAGNRVDYAFNTNANREEPVSLAFPNP YQFVSSVDYNPRDNQLYVWNNYFVVRYSLEFGPPDPSAGPATSPPLSTTTTARPTPLTST ASPAATTPLRRAPLTTHPVGAINQLGPDLPPATAPAPSTRRPPAPNLHVSPELFCEPREV RRVQWPATQQGMLVERPCPKGTRGIASFQCLPALGLWNPRGPDLSNCTSPWVNQVAQKIK SGENAANIASELARHTRGSIYAGDVSSSVKLMEQLLDILDAQLQALRPIERESAGKNYNK MHKRERTCKDYIKAVVETVDNLLRPEALESWKDMNATEQVHTATMLLDVLEEGAFLLADN VREPARFLAAKQNVVLEVTVLNTEGQVQELVFPQEYPSENSIQLSANTIKQNSRNGVVKV VFILYNNLGLFLSTENATVKLAGEAGTGGPGGASLVVNSQVIAASINKESSRVFLMDPVI FTVAHLEAKNHFNANCSFWNYSERSMLGYWSTQGCRLVESNKTHTTCACSHLTNFAVLMA HREIYQGRINELLLSVITWVGIVISLVCLAICISTFCFLRGLQTDRNTIHKNLCINLFLA ELLFLVGIDKTQYEVACPIFAGLLHYFFLAAFSWLCLEGVHLYLLLVEVFESEYSRTKYY YLGGYCFPALVVGIAAAIDYRSYGTEKACWLRVDNYFIWSFIGPVSFVIVVNLVFLMVTL HKMIRSSSVLKPDSSRLDNIKSWALGAIALLFLLGLTWAFGLLFINKESVVMAYLFTTFN AFQGVFIFVFHCALQKKVHKEYSKCLRHSYCCIRSPPGGTHGSLKTSAMRSNTRYYTGTQ SRIRRMWNDTVRKQTESSFMAGDINSTPTLNRGTMGNHLLTNPVLQPRGGTSPYNTLIAE SVGFNPSSPPVFNSPGSYREPKHPLGGREACGMDTLPLNGNFNNSYSLRSGDFPPGDGGP EPPRGRNLADAAAFEKMIISELVHNNLRGASGGAKGPPPEPPVPPVPGVSEDEAGGPGSA DRAEIELLYKALEEPLLLPRAQSVLYQSDLDESESCTAEDGATSRPLSSPPGRDSLYASG ANLRDSPSYPDSSPEGPNEALPPPPPAPPGPPEIYYTSRPPALVARNPLQGYYQVRRPSH EGYLAAPSLEGPGPDGDGQMQLVTSL >ENSMUSP00000116064.1 pep:known chromosome:GRCm38:8:83900573:83940011:1 gene:ENSMUSG00000013033.16 transcript:ENSMUST00000124355.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adgrl1 description:adhesion G protein-coupled receptor L1 [Source:MGI Symbol;Acc:MGI:1929461] MARLAAALWSLCVTTVLVTSATQGLSRAGLPFGLMRRELACEGYPIELRCPGSDVIMVEN ANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAGSDAFPDPCPGTYK YLEVQYDCVPYNGGVSPGDRE >ENSMUSP00000048422.8 pep:known chromosome:GRCm38:8:83900715:83940011:1 gene:ENSMUSG00000013033.16 transcript:ENSMUST00000045393.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl1 description:adhesion G protein-coupled receptor L1 [Source:MGI Symbol;Acc:MGI:1929461] MARLAAALWSLCVTTVLVTSATQGLSRAGLPFGLMRRELACEGYPIELRCPGSDVIMVEN ANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAGSDAFPDPCPGTYK YLEVQYDCVPYKVEQKVFVCPGTLQKVLEPTSTHESEHQSGAWCKDPLQAGDRIYVMPWI PYRTDTLTEYASWEDYVAARHTTTYRLPNRVDGTGFVVYDGAVFYNKERTRNIVKYDLRT RIKSGETVINTANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEGNNGRLVVSQLNPYTL RFEGTWETGYDKRSASNAFMVCGVLYVLRSVYVDDDSEAAGNRVDYAFNTNANREEPVSL AFPNPYQFVSSVDYNPRDNQLYVWNNYFVVRYSLEFGPPDPSAGPATSPPLSTTTTARPT PLTSTASPAATTPLRRAPLTTHPVGAINQLGPDLPPATAPAPSTRRPPAPNLHVSPELFC EPREVRRVQWPATQQGMLVERPCPKGTRGIASFQCLPALGLWNPRGPDLSNCTSPWVNQV AQKIKSGENAANIASELARHTRGSIYAGDVSSSVKLMEQLLDILDAQLQALRPIERESAG KNYNKMHKRERTCKDYIKAVVETVDNLLRPEALESWKDMNATEQVHTATMLLDVLEEGAF LLADNVREPARFLAAKQNVVLEVTVLNTEGQVQELVFPQEYPSENSIQLSANTIKQNSRN GVVKVVFILYNNLGLFLSTENATVKLAGEAGTGGPGGASLVVNSQVIAASINKESSRVFL MDPVIFTVAHLEAKNHFNANCSFWNYSERSMLGYWSTQGCRLVESNKTHTTCACSHLTNF AVLMAHREIYQGRINELLLSVITWVGIVISLVCLAICISTFCFLRGLQTDRNTIHKNLCI NLFLAELLFLVGIDKTQYEVACPIFAGLLHYFFLAAFSWLCLEGVHLYLLLVEVFESEYS RTKYYYLGGYCFPALVVGIAAAIDYRSYGTEKACWLRVDNYFIWSFIGPVSFVIVVNLVF LMVTLHKMIRSSSVLKPDSSRLDNIKSWALGAIALLFLLGLTWAFGLLFINKESVVMAYL FTTFNAFQGVFIFVFHCALQKKVHKEYSKCLRHSYCCIRSPPGGTHGSLKTSAMRSNTRY YTGTQSRIRRMWNDTVRKQTESSFMAGDINSTPTLNRGTMGNHLLTNPVLQPRGGTSPYN TLIAESVGFNPSSPPVFNSPGSYREPKHPLGGREACGMDTLPLNGNFNNSYSLRSGDFPP GDGGPEPPRGRNLADAAAFEKMIISELVHNNLRGASGGAKGPPPEPPVPPVPGVSEDEAG GPGSADRAEIELLYKALEEPLLLPRAQSVLYQSDLDESESCTAEDGATSRPLSSPPGRDS LYASGANLRDSPSYPDSSPEGPNEALPPPPPAPPGPPEIYYTSRPPALVARNPLQGYYQV RRPSHEGYLAAPSLEGPGPDGDGQMQLVTSL >ENSMUSP00000119100.1 pep:known chromosome:GRCm38:8:83900735:83939987:1 gene:ENSMUSG00000013033.16 transcript:ENSMUST00000132500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl1 description:adhesion G protein-coupled receptor L1 [Source:MGI Symbol;Acc:MGI:1929461] MARLAAALWSLCVTTVLVTSATQGLSRAGLPFGLMRRELACEGYPIELRCPGSDVIMVEN ANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAGSDAFPDPCPGTYK YLEVQYDCVPYIFVCPGTLQKVLEPTSTHESEHQSGAWCKDPLQAGDRIYVMPWIPYRTD TLTEYASWEDYVAARHTTTYRLPNRVDGTGFVVYDGAVFYNKERTRNIVKYDLRTRIKSG ETVINTANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEGNNGRLVVSQLNPYTLRFEGT WETGYDKRSASNAFMVCGVLYVLRSVYVDDDSEAAGNRVDYAFNTNANREEPVSLAFPNP YQFVSSVDYNPRDNQLYVWNNYFVVRYSLEFGPPDPSAGPATSPPLSTTTTARPTPLTST ASPAATTPLRRAPLTTHPVGAINQLGPDLPPATAPAPSTRRPPAPNLHVSPELFCEPREV RRVQWPATQQGMLVERPCPKGTRGIASFQCLPALGLWNPRGPDLSNCTSPWVNQVAQKIK SGENAANIASELARHTRGSIYAGDVSSSVKLMEQLLDILDAQLQALRPIERESAGKNYNK MHKRERTCKDYIKAVVETVDNLLRPEALESWKDMNATEQVHTATMLLDVLEEGAFLLADN VREPARFLAAKQNVVLEVTVLNTEGQVQELVFPQEYPSENSIQLSANTIKQNSRNGVVKV VFILYNNLGLFLSTENATVKLAGEAGTGGPGGASLVVNSQVIAASINKESSRVFLMDPVI FTVAHLEAKNHFNANCSFWNYSERSMLGYWSTQGCRLVESNKTHTTCACSHLTNFAVLMA HREIYQGRINELLLSVITWVGIVISLVCLAICISTFCFLRGLQTDRNTIHKNLCINLFLA ELLFLVGIDKTQYEVACPIFAGLLHYFFLAAFSWLCLEGVHLYLLLVEVFESEYSRTKYY YLGGYCFPALVVGIAAAIDYRSYGTEKACWLRVDNYFIWSFIGPVSFVIVVNLVFLMVTL HKMIRSSSVLKPDSSRLDNIKSWALGAIALLFLLGLTWAFGLLFINKESVVMAYLFTTFN AFQGVFIFVFHCALQKKVHKEYSKCLRHSYCCIRSPPGGTHGSLKTSAMRSNTRYYTGTQ VPGQGRHIHQVSLGPRGRSTLPESQKDPGGQSGPRDPLMFGLCPQSRIRRMWNDTVRKQT ESSFMAGDINSTPTLNRGTMGNHLLTNPVLQPRGGTSPYNTLIAESVGFNPSSPPVFNSP GSYREPKHPLGGREACGMDTLPLNGNFNNSYSLRSGDFPPGDGGPEPPRGRNLADAAAFE KMIISELVHNNLRGASGGAKGPPPEPPVPPVPGVSEDEAGGPGSADRAEIELLYKALEEP LLLPRAQSVLYQSDLDESESCTAEDGATSRPLSSPPGRDSLYASGANLRDSPSYPDSSPE GPNEALPPPPPAPPGPPEIYYTSRPPALVARNPLQGYYQVRRPSHEGYLAAPSLEGPGPD GDGQMQLVTSL >ENSMUSP00000115295.1 pep:known chromosome:GRCm38:8:83900735:83939987:1 gene:ENSMUSG00000013033.16 transcript:ENSMUST00000152978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl1 description:adhesion G protein-coupled receptor L1 [Source:MGI Symbol;Acc:MGI:1929461] MARLAAALWSLCVTTVLVTSATQGLSRAGLPFGLMRRELACEGYPIELRCPGSDVIMVEN ANYGRTDDKICDADPFQMENVQCYLPDAFKIMSQRCNNRTQCVVVAGSDAFPDPCPGTYK YLEVQYDCVPYKVEQKVFVCPGTLQKVLEPTSTHESEHQSGAWCKDPLQAGDRIYVMPWI PYRTDTLTEYASWEDYVAARHTTTYRLPNRVDGTGFVVYDGAVFYNKERTRNIVKYDLRT RIKSGETVINTANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEGNNGRLVVSQLNPYTL RFEGTWETGYDKRSASNAFMVCGVLYVLRSVYVDDDSEAAGNRVDYAFNTNANREEPVSL AFPNPYQFVSSVDYNPRDNQLYVWNNYFVVRYSLEFGPPDPSAGPATSPPLSTTTTARPT PLTSTASPAATTPLRRAPLTTHPVGAINQLGPDLPPATAPAPSTRRPPAPNLHVSPELFC EPREVRRVQWPATQQGMLVERPCPKGTRGIASFQCLPALGLWNPRGPDLSNCTSPWVNQV AQKIKSGENAANIASELARHTRGSIYAGDVSSSVKLMEQLLDILDAQLQALRPIERESAG KNYNKMHKRERTCKDYIKAVVETVDNLLRPEALESWKDMNATEQVHTATMLLDVLEEGAF LLADNVREPARFLAAKQNVVLEVTVLNTEGQVQELVFPQEYPSENSIQLSANTIKQNSRN GVVKVVFILYNNLGLFLSTENATVKLAGEAGTGGPGGASLVVNSQVIAASINKESSRVFL MDPVIFTVAHLEAKNHFNANCSFWNYSERSMLGYWSTQGCRLVESNKTHTTCACSHLTNF AVLMAHREIYQGRINELLLSVITWVGIVISLVCLAICISTFCFLRGLQTDRNTIHKNLCI NLFLAELLFLVGIDKTQYEVACPIFAGLLHYFFLAAFSWLCLEGVHLYLLLVEVFESEYS RTKYYYLGGYCFPALVVGIAAAIDYRSYGTEKACWLRVDNYFIWSFIGPVSFVIVVNLVF LMVTLHKMIRSSSVLKPDSSRLDNIKSWALGAIALLFLLGLTWAFGLLFINKESVVMAYL FTTFNAFQGVFIFVFHCALQKKVHKEYSKCLRHSYCCIRSPPGGTHGSLKTSAMRSNTRY YTGTQVPGQGRHIHQVSLGPRGRSTLPESQKDPGGQSGPRDPLMFGLCPQSRIRRMWNDT VRKQTESSFMAGDINSTPTLNRGTMGNHLLTNPVLQPRGGTSPYNTLIAESVGFNPSSPP VFNSPGSYREPKHPLGGREACGMDTLPLNGNFNNSYSLRSGDFPPGDGGPEPPRGRNLAD AAAFEKMIISELVHNNLRGASGGAKGPPPEPPVPPVPGVSEDEAGGPGSADRAEIELLYK ALEEPLLLPRAQSVLYQSDLDESESCTAEDGATSRPLSSPPGRDSLYASGANLRDSPSYP DSSPEGPNEALPPPPPAPPGPPEIYYTSRPPALVARNPLQGYYQVRRPSHEGYLAAPSLE GPGPDGDGQMQLVTSL >ENSMUSP00000118579.1 pep:known chromosome:GRCm38:8:83924151:83940011:1 gene:ENSMUSG00000013033.16 transcript:ENSMUST00000131717.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl1 description:adhesion G protein-coupled receptor L1 [Source:MGI Symbol;Acc:MGI:1929461] MPWIPYRTDTLTEYASWEDYVAARHTTTYRLPNRVDGTGFVVYDGAVFYNKERTRNIVKY DLRTRIKSGETVINTANYHDTSPYRWGGKTDIDLAVDENGLWVIYATEGNNGRLVVSQLN PYTLRFEGTWETGYDKRSASNAFMVCGVLYVLRSVYVDDDSEAAGNRVDYAFNTNANREE PVSLAFPNPYQFVSSVDYNPRDNQLYVWNNYFVVRYSLEFGPPDPSAGPATSPPLSTTTT ARPTPLTSTASPAATTPLRRAPLTTHPVGAINQLGPDLPPATAPAPSTRRPPAPNLHVSP ELFCEPREVRRVQWPATQQGMLVERPCPKGTRGIASFQCLPALGLWNPRGPDLSNCTSPW VNQVAQKIKSGENAANIASELARHTRGSIYAGDVSSSVKLMEQLLDILDAQLQALRPIER ESAGKNYNKMHKRERTCKDYIKAVVETVDNLLRPEALESWKDMNATEQVHTATMLLDVLE EGAFLLADNVREPARFLAAKQNVVLEVTVLNTEGQVQELVFPQEYPSENSIQLSANTIKQ NSRNGVVKVVFILYNNLGLFLSTENATVKLAGEAGTGGPGGASLVVNSQVIAASINKESS RVFLMDPVIFTVAHLEAKNHFNANCSFWNYSERSMLGYWSTQGCRLVESNKTHTTCACSH LTNFAVLMAHREIYQGRINELLLSVITWVGIVISLVCLAICISTFCFLRGLQTDRNTIHK NLCINLFLAELLFLVGIDKTQYEVACPIFAGLLHYFFLAAFSWLCLEGVHLYLLLVEVFE SEYSRTKYYYLGGYCFPALVVGIAAAIDYRSYGTEKACWLRVDNYFIWSFIGPVSFVIVV NLVFLMVTLHKMIRSSSVLKPDSSRLDNIKSWALGAIALLFLLGLTWAFGLLFINKESVV MAYLFTTFNAFQGVFIFVFHCALQKKVHKEYSKCLRHSYCCIRSPPGGTHGSLKTSAMRS NTRYYTGTQSRIRRMWNDTVRKQTESSFMAGDINSTPTLNRGTMGNHLLTNPVLQPRGGT SPYNTLIAESVGFNPSSPPVFNSPGSYREPKHPLGGREACGMDTLPLNGNFNNSYSLRSG DFPPGDGGPEPPRGRNLADAAAFEKMIISELVHNNLRGASGGAKGPPPEPPVPPVPGVSE DEAGGPGSADRAEIELLYKALEEPLLLPRAQSVLYQSDLDESESCTAEDGATSRPLSSPP GRDSLYASGANLRDSPSYPDSSPEGPNEALPPPPPAPPGPPEIYYTSRPPALVARNPLQG YYQVRRPSHEGYLAAPSLEGPGPDGDGQMQLVTSL >ENSMUSP00000117720.1 pep:known chromosome:GRCm38:8:83937655:83938865:1 gene:ENSMUSG00000013033.16 transcript:ENSMUST00000131018.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl1 description:adhesion G protein-coupled receptor L1 [Source:MGI Symbol;Acc:MGI:1929461] XGNHLLTNPVLQPRGGTSPYNTLIAESVGFNPSSPPVFNSPEHPLGGREACGMDTLPLNG NFNNSYSLRSGDFPPGDGGPEPPRGRNLADAAAFEKMIISELVHNNLRGASGGAKGPPPE PPVPPVPGVSEDEAGGPGSADRAEIELLYKALEEPLLLPRAQSVLYQSDLDESESCTAED GATSRPLSSPPGRDSLYASGANLRDSPSYPDSS >ENSMUSP00000027507.6 pep:known chromosome:GRCm38:1:94038305:94052553:-1 gene:ENSMUSG00000026285.7 transcript:ENSMUST00000027507.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd1 description:programmed cell death 1 [Source:MGI Symbol;Acc:MGI:104879] MWVRQVPWSFTWAVLQLSWQSGWLLEVPNGPWRSLTFYPAWLTVSEGANATFTCSLSNWS EDLMLNWNRLSPSNQTEKQAAFCNGLSQPVQDARFQIIQLPNRHDFHMNILDTRRNDSGI YLCGAISLHPKAKIEESPGAELVVTERILETSTRYPSPSPKPEGRFQGMVIGIMSALVGI PVLLLLAWALAVFCSTSMSEARGAGSKDDTLKEEPSAAPVPSVAYEELDFQGREKTPELP TACVHTEYATIVFTEGLGASAMGRRGSADGLQGPRPPRHEDGHCSWPL >ENSMUSP00000001715.3 pep:known chromosome:GRCm38:10:75774115:75781414:-1 gene:ENSMUSG00000001665.10 transcript:ENSMUST00000001715.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstt3 description:glutathione S-transferase, theta 3 [Source:MGI Symbol;Acc:MGI:2143526] MGLELYLDLMSQPCRAVYIFAKKNGIPFQLRTIELLKGQQYTDSFAQVNPLRKVPALKDG DFVLAESVAILLYLSRKYKAPDHWYPQDLQTRARVDEYLAWQHTALRSCCTRAMWQKMMF PVFLGQPVPPEMLASTLAELDGCLQVLEDKFLRNQAFLTGSHISVADLVAITELMHPVSA GCKIFESRPKLAAWRQRVEAEVGESLFQEAHEVVLKAKDMPPLMDPALKEKLKLSVQCLL H >ENSMUSP00000133498.1 pep:known chromosome:GRCm38:10:75774920:75781018:-1 gene:ENSMUSG00000001665.10 transcript:ENSMUST00000173537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstt3 description:glutathione S-transferase, theta 3 [Source:MGI Symbol;Acc:MGI:2143526] MGLELYLDLMSQPCRAVYIFAKKNGIPFQLRTIELLKGQQYTDSFAQVNPLRKVPALKDG DFVLAESVAILLYLSRKYKAPDHWYPQDLQTRARVDEYLAWQHTALRSCCTRAMWQKMMF PVFLGQPVPPEMLASTLAELDGCLQVLEDKFLRNQAFLTGSHISVADLVAITELMHDNGL SVLAAKSLRADPNWLPGVRE >ENSMUSP00000134134.1 pep:known chromosome:GRCm38:10:75775400:75776864:-1 gene:ENSMUSG00000001665.10 transcript:ENSMUST00000133869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstt3 description:glutathione S-transferase, theta 3 [Source:MGI Symbol;Acc:MGI:2143526] XYKAPDHWYPQDLQTRARVDEYLAWQHTALRSCCTRAMWQKMMFPVFLGQPVPPEMLAST LAELDGCLQVLEDKFLRNQAFLTGSHISVADLVAITELMHVSVVELDGLLNDGESWEGAD SLALAAFSGSGALDKSLLPWRLHLPHL >ENSMUSP00000133576.1 pep:known chromosome:GRCm38:10:75776754:75781050:-1 gene:ENSMUSG00000001665.10 transcript:ENSMUST00000174187.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gstt3 description:glutathione S-transferase, theta 3 [Source:MGI Symbol;Acc:MGI:2143526] MGLELYLDLMSQPCRAVYIFAKKNGIPFQLRTIELLKGGLSWQAGKCAAFCTEHLPPLQR VGDWPGQVCKSRSREKAVVSVISCEFLKDLFLVNNISPNTGCKGALEATVSSTQIPSPR >ENSMUSP00000081541.6 pep:known chromosome:GRCm38:7:132859225:132885111:1 gene:ENSMUSG00000030965.18 transcript:ENSMUST00000084497.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam175b description:family with sequence similarity 175, member B [Source:MGI Symbol;Acc:MGI:1926116] MMGEVEISIMAASISGYTFSAVCFHSANSNADHEGFLLGEVRQEETFSISDSQISNTEFL QVIEIHNHQPCSQLFSFYDYASKVNEESLDRILKDRRKKVIGWYRFRRNTQQQMSYREQV IHKQLTRILGVPDLVFLLFSFISTANNSTHALEYVLFRPNRRYNQRISLAIPNLGNTSQQ EYKVSSVPNTSQSYAKVIKEHGTDFFDKDGVMKDIRAIYQVYNALQEKVQAVCADVEKSE RVVESCQAEVNKLRRQITQKKNEKEQERRLQQALLSRQMPSESLEPAFSPRMSYSGFSAE GRSTLAETEPSDPPPPYSDFHPNNQESTLSHSRMERSVFMPRPQAVGSSSYASTSGGLKF TGSGADLLPSQSAAGDSGEESDDSDYENLIDPAESPHSEYSHSKNSRPSTHPDEDPRNTQ TSQI >ENSMUSP00000116254.3 pep:known chromosome:GRCm38:7:132859237:132880881:1 gene:ENSMUSG00000030965.18 transcript:ENSMUST00000147786.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam175b description:family with sequence similarity 175, member B [Source:MGI Symbol;Acc:MGI:1926116] XMGEVEISIMAASISGYTFSAVCFHSANSNADHEGFLLGERSITISLVHNSSVFMIMRAK >ENSMUSP00000101767.2 pep:known chromosome:GRCm38:7:132859244:132881309:1 gene:ENSMUSG00000030965.18 transcript:ENSMUST00000106161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam175b description:family with sequence similarity 175, member B [Source:MGI Symbol;Acc:MGI:1926116] EVEISIMAASISGYTFSAVCFHSANSNADHEGFLLGEVRQEETFSISDSQISNTEFLQVI EIHNHQPCSQLFSFYDYASKVNEESLDRILKDRRKKVIGWYRFRRNTQQQMSYREQVIHK QLTRILGVPDLVFLLFSFISTANNSTHALEYVLFRPNRRYNQRISLAIPNLGNTSQQEYK VSSVPNTSQSYAKVIKEHGTDFFDKDGVMKDIRAIYQVYNALQEKVQAVCADVEKSERVV ESCQAEVNKLRRQITQKKNEKEQERSKHLERGLPFSMRDWLCSLWGDCA >ENSMUSP00000120309.2 pep:known chromosome:GRCm38:7:132859249:132885108:1 gene:ENSMUSG00000030965.18 transcript:ENSMUST00000129552.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam175b description:family with sequence similarity 175, member B [Source:MGI Symbol;Acc:MGI:1926116] XEISIMAASISGYTFSAVCFHSANSNADHEGFLLGEVRQEETFSISDSQISNTEFLQVIE IHNHQPCSQLFSFYDYASKVNEESLDRILKDRRKKVIGWYRFRRNTQQQMSYREQVIHKQ LTRILGVPDLVFLLFSFISTANNSTHALEYVLFRPNRRQY >ENSMUSP00000133175.2 pep:known chromosome:GRCm38:7:75848310:75874131:1 gene:ENSMUSG00000055652.14 transcript:ENSMUST00000171155.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl25 description:kelch-like 25 [Source:MGI Symbol;Acc:MGI:2668031] MSVSVHETRKSRSSTGSMNISVFHKASHPDCVLAHLNTLRKHCMFTDVTLWAGDRAFPCH RAVLAASSRYFEAMFSHGLRESRDDTVNFQDNLHPEVLELLLDFAYSSRIVINEENAESL LEAGDMLQFHDVRDAAAEFLEKNLSPSNCLGMMVLSDAHQCRRLYEFSCRMSLVHFETVR QSEDFNSLSRDTLLDLISRDELETEDERVVFEAILQWVKHDLEQRKAHLPLLLRNVRLAL LPSDCLKNAVSGEALLMADECTKLILDEAFRCKTKILLNDGVVTSPFARPRKAGHTLLIL GGQTFMCDKIYQVDHKAKEIIPKADLPSPRKEFSASAIGCKVYVTGGRGSENGVSKDVWV YDTVHEEWSKAAPMLIARFGHGSAELENCLYVVGGHTSLAGIFPASPSVSLKQVEKYDPG DNKWTMVAPMRDGVSNAAVVSAKLKLFVFGGTSIHRDMVSKVQCFDPSENRWTIKAECPQ PWRYTAAAVLGSQIFIMGGDTEYTAASAYRFDCETNQWTRIGDMTAKRMSCHAVASGNKL YVVGGYFGTQRCKTLDCYDPTSDTWNCITTVPYSLIPTAFVSTWKHLPA >ENSMUSP00000146102.1 pep:known chromosome:GRCm38:7:75848341:75867491:1 gene:ENSMUSG00000055652.14 transcript:ENSMUST00000206019.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl25 description:kelch-like 25 [Source:MGI Symbol;Acc:MGI:2668031] MSVSVHETRKSRSSTGSMNISVFHKASHPDCVLAHLNTLRKHCMFTDVTLWAGDRAFPCH RAVLAASSRYFEAMFSHGLRESRDDTVNFQDNLHPEVLELLLDFAYSSRIVINEENAESL LEAGDMLQFHDVRDAAAEFLEKNLSPSNCLGMMVLSDAHQCRRLYEFSCRMSLVHFETVR QSEDFNSLSRDTLLDLISRDELETEDERVVFEAILQWVKHDLEQRKAHLPLLLRNVRLAL LPSDCLKNAVSGEALLMADECTKLILDEAFRCKTKILLNDGVVTSPFARPRKAGHTLLIL GGQTFMCDKIYQVDHKAKEIIPKADLPSPRKEFSASAIGCKVYVTGGRGSENGVSKDVWV YDTVHEEWSKAAPMLIARFGHGSAELENCLYVVGGHTSLAGIFPASPSVSLKQVEKYDPG DNKWTMVAPMRDGVSNAAVVSAKLKLFVFGGTSIHRDMVSKVQCFDPSENRWTIKAECPQ PWRYTAAAVLGSQIFIMGGDTEYTAASAYRFDCETNQWTRIGDMTAKRMSCHAVASGNKL YVVGGYFGTQRCKTLDCYDPTSDTWNCITTVPYSLIPTAFVSTWKHLPA >ENSMUSP00000146192.1 pep:known chromosome:GRCm38:7:75848364:75867487:1 gene:ENSMUSG00000055652.14 transcript:ENSMUST00000205612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl25 description:kelch-like 25 [Source:MGI Symbol;Acc:MGI:2668031] MCDKIYQVDHKAKEIIPKADLPSPRKEFSASAIGCKVYVTGGRGSENGVSKDVWVYDTVH EEWSKAAPMLIARFGHGSAELENCLYVVGGHTSLAGIFPASPSVSLKQVEKYDPGDNKWT MVAPMRDGVSNAAVVSAKLKLFVFGGTSIHRDMVSKVQCFDPSENRWTIKAECPQPWRYT AAAVLGSQIFIMGGDTEYTAASAYRFDCETNQWTRIGDMTAKRMSCHAVASGNKLYVVGG YFGTQRCKTLDCYDPTSDTWNCITTVPYSLIPTAFVSTWKHLPA >ENSMUSP00000145600.1 pep:known chromosome:GRCm38:7:75848441:75865627:1 gene:ENSMUSG00000055652.14 transcript:ENSMUST00000205887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl25 description:kelch-like 25 [Source:MGI Symbol;Acc:MGI:2668031] MSVSVHETRKSRSSTGSMNISVFHKASHPDCVLAHLNTLRKHCMFTDVTLWAGDRAFPCH RAVLAASSRYFEAMFSHGLRESRDDTVNFQDNL >ENSMUSP00000089707.5 pep:known chromosome:GRCm38:7:75848338:75874130:1 gene:ENSMUSG00000055652.14 transcript:ENSMUST00000092073.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl25 description:kelch-like 25 [Source:MGI Symbol;Acc:MGI:2668031] MSVSVHETRKSRSSTGSMNISVFHKASHPDCVLAHLNTLRKHCMFTDVTLWAGDRAFPCH RAVLAASSRYFEAMFSHGLRESRDDTVNFQDNLHPEVLELLLDFAYSSRIVINEENAESL LEAGDMLQFHDVRDAAAEFLEKNLSPSNCLGMMVLSDAHQCRRLYEFSCRMSLVHFETVR QSEDFNSLSRDTLLDLISRDELETEDERVVFEAILQWVKHDLEQRKAHLPLLLRNVRLAL LPSDCLKNAVSGEALLMADECTKLILDEAFRCKTKILLNDGVVTSPFARPRKAGHTLLIL GGQTFMCDKIYQVDHKAKEIIPKADLPSPRKEFSASAIGCKVYVTGGRGSENGVSKDVWV YDTVHEEWSKAAPMLIARFGHGSAELENCLYVVGGHTSLAGIFPASPSVSLKQVEKYDPG DNKWTMVAPMRDGVSNAAVVSAKLKLFVFGGTSIHRDMVSKVQCFDPSENRWTIKAECPQ PWRYTAAAVLGSQIFIMGGDTEYTAASAYRFDCETNQWTRIGDMTAKRMSCHAVASGNKL YVVGGYFGTQRCKTLDCYDPTSDTWNCITTVPYSLIPTAFVSTWKHLPA >ENSMUSP00000079030.2 pep:known chromosome:GRCm38:2:151470542:151476153:-1 gene:ENSMUSG00000061525.2 transcript:ENSMUST00000080132.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921509C19Rik description:RIKEN cDNA 4921509C19 gene [Source:MGI Symbol;Acc:MGI:2685851] MKRWQACQDLRSNTFEDAALTEHYEILTTLGQGTFGEVKLASHLVTQTKVAIKILPKSRK NSLVQPEIEIMKSLDHPHIIKLLHIIDTTRNIFIVLEHAVGGELMSRIEEFGYLAEVECH RLFKQLVYALQYCHEKGIVHRDLKPENILLDHRGNVKLTDFGLGTKIIMGQKLVTFCGTL PYCAPELFEDRGYDGRATDVWSLGVVLYFMATGCLPFNGYSYEAIKQKIIAGKYPRSFSL SPELWEVIAKLLTVNPGERPTVHDIARFKWLKPDNEASPASLGENIESHPDPSIMVLMGV MGYNPGEIRESLREKKFDQVMATYLMLKQQSAWENKTTKKPDPRLCDRMLRSTEPTIKNQ TSVRRASSVPTHSTFSLPNESESLEKGKRTTMSHSMPPTRNCFNEETTPLHSICPQLVQK AHYRRSIWGETEISDTSEESSTGESLEHPSLKIHTLQTSMGVSKAGSTYSKSKGSSQCVS SHHTSVEEDQCEGTNISREINPPLSPVSPQENLMGQLHIVTTAGSMDIMNTQVTSPPFSR KEAKGEGPALQQRESRPSSPNTLQGHLHGRRQTVPQAPFQRRVWRTLRNGLIRGLRTLCC CLPIERRVHPTNNRDLAVSQKSHGGSHGIRAFRGTVLPEK >ENSMUSP00000059501.9 pep:known chromosome:GRCm38:6:72385223:72390703:-1 gene:ENSMUSG00000050732.9 transcript:ENSMUST00000059983.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp8 description:vesicle-associated membrane protein 8 [Source:MGI Symbol;Acc:MGI:1336882] MEEASGSAGNDRVRNLQSEVEGVKNIMTQNVERILARGENLDHLRNKTEDLEATSEHFKT TSQKVARKFWWKNVKMIVIICVIVLIIVILIILFATGTIPT >ENSMUSP00000145955.1 pep:known chromosome:GRCm38:6:72385228:72389911:-1 gene:ENSMUSG00000050732.9 transcript:ENSMUST00000142613.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp8 description:vesicle-associated membrane protein 8 [Source:MGI Symbol;Acc:MGI:1336882] MTQNVERILARGENLDHLRNKTEDLEATSEHFKTTSQKVARKFWWKNVKMIVIICVIVLI IVILIILFATGTIPT >ENSMUSP00000060512.8 pep:known chromosome:GRCm38:17:70844205:70851207:-1 gene:ENSMUSG00000047407.17 transcript:ENSMUST00000059775.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif1 description:TGFB-induced factor homeobox 1 [Source:MGI Symbol;Acc:MGI:1194497] MKSKKGLVAASGSDSEDEDSMDSPLDLSSSAASGKRRRRGNLPKESVQILRDWLYEHRYN AYPSEQEKALLSQQTHLSTLQVCNWFINARRRLLPDMLRKDGKDPNQFTISRRGAKISEA SSIEAAMGIKNFMPTLEESPFHSCVVGPNPTLGRPVSPKPPSPGSILARPSVICHTTVTA LKDGPFSLCQPIGVGQSTDVPQIAPSNFTDTSLVYPEDTCKSGPSPNPQSGLFNTPPPTP PDLNQDFSGFQLLVDVALKRAAEMELQAKLTA >ENSMUSP00000139438.1 pep:known chromosome:GRCm38:17:70844211:70851069:-1 gene:ENSMUSG00000047407.17 transcript:ENSMUST00000186358.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif1 description:TGFB-induced factor homeobox 1 [Source:MGI Symbol;Acc:MGI:1194497] MKSKKGLVAASGSDSEDEDSMDSPLDLSSSAASGKRRRRGNLPKESVQILRDWLYEHRYN AYPSEQEKALLSQQTHLSTLQAPS >ENSMUSP00000130930.2 pep:known chromosome:GRCm38:17:70844211:70851734:-1 gene:ENSMUSG00000047407.17 transcript:ENSMUST00000166395.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif1 description:TGFB-induced factor homeobox 1 [Source:MGI Symbol;Acc:MGI:1194497] MEMVLSPRAGRHDPASGFRQRVEGRVISAPRPRVVELEGLVAASGSDSEDEDSMDSPLDL SSSAASGKRRRRGNLPKESVQILRDWLYEHRYNAYPSEQEKALLSQQTHLSTLQVCNWFI NARRRLLPDMLRKDGKDPNQFTISRRGAKISEASSIEAAMGIKNFMPTLEESPFHSCVVG PNPTLGRPVSPKPPSPGSILARPSVICHTTVTALKDGPFSLCQPIGVGQSTDVPQIAPSN FTDTSLVYPEDTCKSGPSPNPQSGLFNTPPPTPPDLNQDFSGFQLLVDVALKRAAEMELQ AKLTA >ENSMUSP00000113192.1 pep:known chromosome:GRCm38:17:70844211:70853546:-1 gene:ENSMUSG00000047407.17 transcript:ENSMUST00000118283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif1 description:TGFB-induced factor homeobox 1 [Source:MGI Symbol;Acc:MGI:1194497] MDSPLDLSSSAASGKRRRRGNLPKESVQILRDWLYEHRYNAYPSEQEKALLSQQTHLSTL QVCNWFINARRRLLPDMLRKDGKDPNQFTISRRGAKISEASSIEAAMGIKNFMPTLEESP FHSCVVGPNPTLGRPVSPKPPSPGSILARPSVICHTTVTALKDGPFSLCQPIGVGQSTDV PQIAPSNFTDTSLVYPEDTCKSGPSPNPQSGLFNTPPPTPPDLNQDFSGFQLLVDVALKR AAEMELQAKLTA >ENSMUSP00000115375.1 pep:known chromosome:GRCm38:17:70844728:70849790:-1 gene:ENSMUSG00000047407.17 transcript:ENSMUST00000127719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif1 description:TGFB-induced factor homeobox 1 [Source:MGI Symbol;Acc:MGI:1194497] MDSPLDLSSSAASGKRRRRGNLPKESVQILRDWLYEHRYNAYPSEQEKALLSQQTHLSTL QVCNWFINARRRLLPDMLRKDGKDPNQFTISRRGAKISEASSIEAAMGIKNFMPTLEESP FHSCVVGPNPTLGRPVSPKPPSPGSILARPSVICHTTVTALKDGPFSLCQPIGVGQSTDV PQIAPSNFTDTSLVYPEDTCKSGPSPNPQSGLFNTPPPTPPDLNQDFSGFQLLVDVALKR AA >ENSMUSP00000124970.1 pep:known chromosome:GRCm38:17:70844869:70846326:-1 gene:ENSMUSG00000047407.17 transcript:ENSMUST00000156484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif1 description:TGFB-induced factor homeobox 1 [Source:MGI Symbol;Acc:MGI:1194497] XAASGKRRRRGNLPKESVQILRDWLYEHRYNAYPSEQEKALLSQQTHLSTLQNLFVK >ENSMUSP00000124168.2 pep:known chromosome:GRCm38:17:70844981:70847170:-1 gene:ENSMUSG00000047407.17 transcript:ENSMUST00000135007.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif1 description:TGFB-induced factor homeobox 1 [Source:MGI Symbol;Acc:MGI:1194497] MDSPLDLSSSAASGKRRRRGNLPKESVQILRDWLYEHRYNAYPSEQEKALLSQQTHLSTL QVCNWFINARRRLLPDMLRKDGKDPNQFTISRRGAKISEASSIEAAMGIKNFMPTLEESP FHSCVVGPNPTLGRPVSPKPPSPGSILARPSVICHTTV >ENSMUSP00000125247.1 pep:known chromosome:GRCm38:17:70846181:70849644:-1 gene:ENSMUSG00000047407.17 transcript:ENSMUST00000134654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif1 description:TGFB-induced factor homeobox 1 [Source:MGI Symbol;Acc:MGI:1194497] MDSPLDLSSSAASGKRRRRGNLPKESVQILRDWLYEHRYNAYPSEQEKALLSQQTHLS >ENSMUSP00000127139.1 pep:known chromosome:GRCm38:17:70844205:70849790:-1 gene:ENSMUSG00000047407.17 transcript:ENSMUST00000172229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif1 description:TGFB-induced factor homeobox 1 [Source:MGI Symbol;Acc:MGI:1194497] MDSPLDLSSSAASGKRRRRGNLPKESVQILRDWLYEHRYNAYPSEQEKALLSQQTHLSTL QVCNWFINARRRLLPDMLRKDGKDPNQFTISRRGAKISEASSIEAAMGIKNFMPTLEESP FHSCVVGPNPTLGRPVSPKPPSPGSILARPSVICHTTVTALKDGPFSLCQPIGVGQSTDV PQIAPSNFTDTSLVYPEDTCKSGPSPNPQSGLFNTPPPTPPDLNQDFSGFQLLVDVALKR AAEMELQAKLTA >ENSMUSP00000031778.4 pep:known chromosome:GRCm38:6:32050285:32058915:1 gene:ENSMUSG00000029766.7 transcript:ENSMUST00000031778.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700012A03Rik description:RIKEN cDNA 1700012A03 gene [Source:MGI Symbol;Acc:MGI:1923632] MDTDMRRAYTVIHSRVHASWQGCVDLQELSTEFKIWSHSRGAVSKRKQRVCRNKPAAEEG AERSLLQKWLQRDACCREKPPGQRSLLEKWLQRDACCRNDCREEKVAVKATVETSVAPYQ GQEATFSPDP >ENSMUSP00000126742.1 pep:known chromosome:GRCm38:1:183086266:183147461:-1 gene:ENSMUSG00000030768.12 transcript:ENSMUST00000171366.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disp1 description:dispatched homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1916147] MAVISGSDSVLLSNGSISTSTSNPSPLSPSDGDLPAQHLGPRETPRTKASPNGCLQLNGT VKSSFLPLDNQRTPQTPTQCCHPCPYHHPVSSHSNHQECHPEAGLAASPALASCRMQPHS EYSASLCPNHSPVYQAAHCLQPSPSFCLHHPWPDHFQHQPVRQHLTIIRPSRPFKFPRSY AALLADWPVVVLGMCTLLIVVCALVGVLVPELPDFSDPLLGFEPRGTTIGQRLVTWNNMM RNTGYKATLANYPYKYAEEQARSHRDDRWSDDHHERERREVDWNFQKDSFFCDVPSDGYS RVVFASAGGETLWNLPAIKSMCDVDNSRIRSHPQFSDLCQRTTAVSCCPSWTLGNYIAIL NNRSSCQKIVERDVSHTLKLLRTCAKHYQNGTLGPDCWDKAARRKDQLKCTNVPRKCTKY NAVYQILHYLVDKDFMTPKTADYAVPALKYSMLFSPTEKGESMMNIYLDNFENWNSSDGI TTVTGIEFGIKHSLFQDYLLMDTVYPAIAIAIVLLIMCVYTKSMFITLMTMFAIISSLIV SYFLYRVVFNFEFFPFMNLTALIILVGIGADDAFVLCDVWNYTKFDKPRAETSEAVSVTL QHAALSMFVTSFTTAAAFYANYVSNITAIRCFGVYAGTAILVNYVLMVTWLPAVIVLHER YLLNIFTCFRKPQPQAYDKSCWAVLCQKCRRVLFAVSEASRIFFEKVLPCIVIKFRYLWL IWFLALTVGGAYIVCVNPKMKLPSLELSEFQVFRSSHPFERYDAEFKKLFMFERVHHGEE LHMPITVIWGVSPEDSGDPLNPKSKGELTLDSTFNIASPASQAWILHFCQKLRNQTFFHQ TEQQDFTSCFIETFKQWMENQDCDEPALYPCCSHCSFPYKQEVFELCIKKAIMELDRSTG YHLNNKTPGPRFDINDTIRAVVLEFQSTFLFTLAYEKMQQFYKEVDSWISHELSSAPEGL SRGWFVSNLEFYDLQDSLSDGTLIAMGLSVAVAFSVMLLTTWNIIISLYAIVSIAGTIFV TVGSLVLLGWELNVLESVTISVAVGLSVDFAVHYGVAYRLAPDPDREGKVIFSLSRMGSA IAMAALTTFVAGAMMMPSTVLAYTQLGTFMMLVMCVSWAFATFFFQCLCRCLGPQGTCGQ IPFPTKLQCSPFSHTLSARPGDRGPSKTHAASAYSVDARGQKSQLEHEFYELQPLASHSC TSSEKTTYEEPHTCSEFFNGQAKNLRMPVPAAYSSELTKSPSSEPGSALLQSCLEQDTVC HFSLNPRCNCRDAYTHLQYGLPEIHCQQMGDSLCHKCASTAGGFVQIQSSVAPLKASHQA AEGLLHPAQHMLPPGMQNSRPRNFFLHSVQHFQAQENLGRTSTHSTDERLPRTAELSPPP SDSRSTESFQRACCHPENNQRRLCKSRDPGDTEGSGGTKSKVSGLPNQTDKEEKQVEPSL LQTDETVNSEHLNHNESNFTFSHLPGEAGCRSCPNSPQSCRSIMRSKCGTEDCQTPNLEA NVPAVPTHSDLSGESLLIKTL >ENSMUSP00000003035.5 pep:known chromosome:GRCm38:1:183086266:183221485:-1 gene:ENSMUSG00000030768.12 transcript:ENSMUST00000003035.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disp1 description:dispatched homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1916147] MAVISGSDSVLLSNGSISTSTSNPSPLSPSDGDLPAQHLGPRETPRTKASPNGCLQLNGT VKSSFLPLDNQRTPQTPTQCCHPCPYHHPVSSHSNHQECHPEAGLAASPALASCRMQPHS EYSASLCPNHSPVYQAAHCLQPSPSFCLHHPWPDHFQHQPVRQHLTIIRPSRPFKFPRSY AALLADWPVVVLGMCTLLIVVCALVGVLVPELPDFSDPLLGFEPRGTTIGQRLVTWNNMM RNTGYKATLANYPYKYAEEQARSHRDDRWSDDHHERERREVDWNFQKDSFFCDVPSDGYS RVVFASAGGETLWNLPAIKSMCDVDNSRIRSHPQFSDLCQRTTAVSCCPSWTLGNYIAIL NNRSSCQKIVERDVSHTLKLLRTCAKHYQNGTLGPDCWDKAARRKDQLKCTNVPRKCTKY NAVYQILHYLVDKDFMTPKTADYAVPALKYSMLFSPTEKGESMMNIYLDNFENWNSSDGI TTVTGIEFGIKHSLFQDYLLMDTVYPAIAIAIVLLIMCVYTKSMFITLMTMFAIISSLIV SYFLYRVVFNFEFFPFMNLTALIILVGIGADDAFVLCDVWNYTKFDKPRAETSEAVSVTL QHAALSMFVTSFTTAAAFYANYVSNITAIRCFGVYAGTAILVNYVLMVTWLPAVIVLHER YLLNIFTCFRKPQPQAYDKSCWAVLCQKCRRVLFAVSEASRIFFEKVLPCIVIKFRYLWL IWFLALTVGGAYIVCVNPKMKLPSLELSEFQVFRSSHPFERYDAEFKKLFMFERVHHGEE LHMPITVIWGVSPEDSGDPLNPKSKGELTLDSTFNIASPASQAWILHFCQKLRNQTFFHQ TEQQDFTSCFIETFKQWMENQDCDEPALYPCCSHCSFPYKQEVFELCIKKAIMELDRSTG YHLNNKTPGPRFDINDTIRAVVLEFQSTFLFTLAYEKMQQFYKEVDSWISHELSSAPEGL SRGWFVSNLEFYDLQDSLSDGTLIAMGLSVAVAFSVMLLTTWNIIISLYAIVSIAGTIFV TVGSLVLLGWELNVLESVTISVAVGLSVDFAVHYGVAYRLAPDPDREGKVIFSLSRMGSA IAMAALTTFVAGAMMMPSTVLAYTQLGTFMMLVMCVSWAFATFFFQCLCRCLGPQGTCGQ IPFPTKLQCSPFSHTLSARPGDRGPSKTHAASAYSVDARGQKSQLEHEFYELQPLASHSC TSSEKTTYEEPHTCSEFFNGQAKNLRMPVPAAYSSELTKSPSSEPGSALLQSCLEQDTVC HFSLNPRCNCRDAYTHLQYGLPEIHCQQMGDSLCHKCASTAGGFVQIQSSVAPLKASHQA AEGLLHPAQHMLPPGMQNSRPRNFFLHSVQHFQAQENLGRTSTHSTDERLPRTAELSPPP SDSRSTESFQRACCHPENNQRRLCKSRDPGDTEGSGGTKSKVSGLPNQTDKEEKQVEPSL LQTDETVNSEHLNHNESNFTFSHLPGEAGCRSCPNSPQSCRSIMRSKCGTEDCQTPNLEA NVPAVPTHSDLSGESLLIKTL >ENSMUSP00000141747.1 pep:known chromosome:GRCm38:1:183086266:183221490:-1 gene:ENSMUSG00000030768.12 transcript:ENSMUST00000195372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disp1 description:dispatched homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1916147] MAVISGSDSVLLSNGSISTSTSNPSPLSPSDGDLPAQHLGPRETPRTKASPNGCLQLNGT VKSSFLPLDNQRTPQTPTQCCHPCPYHHPVSSHSNHQECHPEAGLAASPALASCRMQPHS EYSASLCPNHSPVYQAAHCLQPSPSFCLHHPWPDHFQHQPVRQHLTIIRPSRPFKFPRSY AALLADWPVVVLGMCTLLIVVCALVGVLVPELPDFSDPLLGFEPRGTTIGQRLVTWNNMM RNTGYKATLANYPYKYAEEQARSHRDDRWSDDHHERERREVDWNFQKDSFFCDVPSDGYS RVVFASAGGETLWNLPAIKSMCDVDNSRIRSHPQFSDLCQRTTAVSCCPSWTLGNYIAIL NNRSSCQKIVERDVSHTLKLLRTCAKHYQNGTLGPDCWDKAARRKDQLKCTNVPRKCTKY NAVYQILHYLVDKDFMTPKTADYAVPALKYSMLFSPTEKGESMMNIYLDNFENWNSSDGI TTVTGIEFGIKHSLFQDYLLMDTVYPAIAIAIVLLIMCVYTKSMFITLMTMFAIISSLIV SYFLYRVVFNFEFFPFMNLTALIILVGIGADDAFVLCDVWNYTKFDKPRAETSEAVSVTL QHAALSMFVTSFTTAAAFYANYVSNITAIRCFGVYAGTAILVNYVLMVTWLPAVIVLHER YLLNIFTCFRKPQPQAYDKSCWAVLCQKCRRVLFAVSEASRIFFEKVLPCIVIKFRYLWL IWFLALTVGGAYIVCVNPKMKLPSLELSEFQVFRSSHPFERYDAEFKKLFMFERVHHGEE LHMPITVIWGVSPEDSGDPLNPKSKGELTLDSTFNIASPASQAWILHFCQKLRNQTFFHQ TEQQDFTSCFIETFKQWMENQDCDEPALYPCCSHCSFPYKQEVFELCIKKAIMELDRSTG YHLNNKTPGPRFDINDTIRAVVLEFQSTFLFTLAYEKMQQFYKEVDSWISHELSSAPEGL SRGWFVSNLEFYDLQDSLSDGTLIAMGLSVAVAFSVMLLTTWNIIISLYAIVSIAGTIFV TVGSLVLLGWELNVLESVTISVAVGLSVDFAVHYGVAYRLAPDPDREGKVIFSLSRMGSA IAMAALTTFVAGAMMMPSTVLAYTQLGTFMMLVMCVSWAFATFFFQCLCRCLGPQGTCGQ IPFPTKLQCSPFSHTLSARPGDRGPSKTHAASAYSVDARGQKSQLEHEFYELQPLASHSC TSSEKTTYEEPHTCSEFFNGQAKNLRMPVPAAYSSELTKSPSSEPGSALLQSCLEQDTVC HFSLNPRCNCRDAYTHLQYGLPEIHCQQMGDSLCHKCASTAGGFVQIQSSVAPLKASHQA AEGLLHPAQHMLPPGMQNSRPRNFFLHSVQHFQAQENLGRTSTHSTDERLPRTAELSPPP SDSRSTESFQRACCHPENNQRRLCKSRDPGDTEGSGGTKSKVSGLPNQTDKEEKQVEPSL LQTDETVNSEHLNHNESNFTFSHLPGEAGCRSCPNSPQSCRSIMRSKCGTEDCQTPNLEA NVPAVPTHSDLSGESLLIKTL >ENSMUSP00000141664.1 pep:known chromosome:GRCm38:1:183135458:183221522:-1 gene:ENSMUSG00000030768.12 transcript:ENSMUST00000194033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disp1 description:dispatched homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1916147] MAVISGSDSVLLSNGSISTSTSNPSPLSPSDGDLPAQHLGPRETPRTKASPNGCLQLNGT VKSSFLPLDNQRTPQTPTQCCHPCPYHHPVSSHSNHQECHPEAGLAASPALASCRMQPHS EYSASLCPNHSPVY >ENSMUSP00000127785.1 pep:known chromosome:GRCm38:17:84676302:84699333:1 gene:ENSMUSG00000024254.15 transcript:ENSMUST00000170725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg8 description:ATP-binding cassette, sub-family G (WHITE), member 8 [Source:MGI Symbol;Acc:MGI:1914720] MKSGQIWINGQPSTPQLVRKCVAHVRQHDQLLPNLTVRETLAFIAQMRLPRTFSQAQRDK RVEDVIAELRLRQCANTRVGNTYVRGVSGGERRRVSIGVQLLWNPGILILDEPTSGLDSF TAHNLVTTLSRLAKGNRLVLISLHQPRSDIFRLFDLVLLMTSGTPIYLGAAQQMVQYFTS IGHPCPRYSNPADFYVDLTSIDRRSKEREVATVEKAQSLAALFLEKVQGFDDFLWKAEAK ELNTSTHTVSLTLTQDTDCGTAVELPGMIEQFSTLIRRQISNDFRDLPTLLIHGSEACLM SLIIGFLYYGHGAKQLSFMDTAALLFMIGALIPFNVILDVVSKCHSERSMLYYELEDGLY TAGPYFFAKILGELPEHCAYVIIYAMPIYWLTNLRPVPELFLLHFLLVWLVVFCCRTMAL AASAMLPTFHMSSFFCNALYNSFYLTAGFMINLDNLWIVPAWISKLSFLRWCFSGLMQIQ FNGHLYTTQIGNFTFSILGDTMISAMDLNSHPLYAIYLIVIGISYGFLFLYYLSLKLIKQ KSIQDW >ENSMUSP00000035246.8 pep:known chromosome:GRCm38:17:84683121:84700333:1 gene:ENSMUSG00000024254.15 transcript:ENSMUST00000045714.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg8 description:ATP-binding cassette, sub-family G (WHITE), member 8 [Source:MGI Symbol;Acc:MGI:1914720] MAEKTKEETQLWNGTVLQDASQGLQDSLFSSESDNSLYFTYSGQSNTLEVRDLTYQVDIA SQVPWFEQLAQFKIPWRSHSSQDSCELGIRNLSFKVRSGQMLAIIGSSGCGRASLLDVIT GRGHGGKMKSGQIWINGQPSTPQLVRKCVAHVRQHDQLLPNLTVRETLAFIAQMRLPRTF SQAQRDKRVEDVIAELRLRQCANTRVGNTYVRGVSGGERRRVSIGVQLLWNPGILILDEP TSGLDSFTAHNLVTTLSRLAKGNRLVLISLHQPRSDIFRLFDLVLLMTSGTPIYLGAAQQ MVQYFTSIGHPCPRYSNPADFYVDLTSIDRRSKEREVATVEKAQSLAALFLEKVQGFDDF LWKAEAKELNTSTHTVSLTLTQDTDCGTAVELPGMIEQFSTLIRRQISNDFRDLPTLLIH GSEACLMSLIIGFLYYGHGAKQLSFMDTAALLFMIGALIPFNVILDVVSKCHSERSMLYY ELEDGLYTAGPYFFAKILGELPEHCAYVIIYAMPIYWLTNLRPVPELFLLHFLLVWLVVF CCRTMALAASAMLPTFHMSSFFCNALYNSFYLTAGFMINLDNLWIVPAWISKLSFLRWCF SGLMQIQFNGHLYTTQIGNFTFSILGDTMISAMDLNSHPLYAIYLIVIGISYGFLFLYYL SLKLIKQKSIQDW >ENSMUSP00000126675.1 pep:known chromosome:GRCm38:17:84683131:84698963:1 gene:ENSMUSG00000024254.15 transcript:ENSMUST00000171915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg8 description:ATP-binding cassette, sub-family G (WHITE), member 8 [Source:MGI Symbol;Acc:MGI:1914720] MAEKTKEETQLWNGTVLQDASGLQDSLFSSESDNSLYFTYSGQSNTLEVRDLTYQVDIAS QVPWFEQLAQFKIPWRSHSSQDSCELGIRNLSFKVRSGQMLAIIGSSGCGRASLLDVITG RGHGGKMKSGQIWINGQPSTPQLVRKCVAHVRQHDQLLPNLTVRETLAFIAQMRLPRTFS QAQRDKRVEDVIAELRLRQCANTRVGNTYVRGVSGGERRRVSIGVQLLWNPGILILDEPT SGLDSFTAHNLVTTLSRLAKGNRLVLISLHQPRSDIFRLFDLVLLMTSGTPIYLGAAQQM VQYFTSIGHPCPRYSNPADFYVDLTSIDRRSKEREVATVEKAQSLAALFLEKVQGFDDFL WKAEAKELNTSTHTVSLTLTQDTDCGTAVELPGMIEQFSTLIRRQISNDFRDLPTLLIHG SEACLMSLIIGFLYYGHGAKQLSFMDTAALLFMIGALIPFNVILDVVSKCHSERSMLYYE LEDGLYTAGPYFFAKILGELPEHCAYVIIYAMPIYWLTNLRPVPELFLLHFLLVWLVVFC CRTMALAASAMLPTFHMSSFFCNALYNSFYLTAGFMINLDNLWIVPAWISKLSFLRWCFS GLMQIQFNGHLYTTQIGNFTFSILGDTMISAMDLNSHPLYAIYLIVIGISYGFLFLYYLS LKLIKQKSIQDW >ENSMUSP00000109529.1 pep:known chromosome:GRCm38:X:94367165:94417093:1 gene:ENSMUSG00000079508.8 transcript:ENSMUST00000113896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoo description:apolipoprotein O [Source:MGI Symbol;Acc:MGI:1915566] MKIDELSLYSVPEGQSKYVEEPRTQLEENISQLRHHCEPYTSFCQEIYSHTKPKVDHFVQ WGVDNYNYLQNAPPGFFPRLGVIGFAGFVGLLFARGSKIKKLVYPPFFMGLGASVYYPQQ AITIAQITGEKLYDWGLRGYIVIEDLWKQNFQKPGNVKNSPGNK >ENSMUSP00000109530.2 pep:known chromosome:GRCm38:X:94367154:94417093:1 gene:ENSMUSG00000079508.8 transcript:ENSMUST00000113897.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoo description:apolipoprotein O [Source:MGI Symbol;Acc:MGI:1915566] MPFWGCGEDEARSGRCRVIQRSVGPASLSLLTFRVYAAPKKDSPHKSYMKIDELSLYSVP EGQSKYVEEPRTQLEENISQLRHHCEPYTSFCQEIYSHTKPKVDHFVQWGVDNYNYLQNA PPGFFPRLGVIGFAGFVGLLFARGSKIKKLVYPPFFMGLGASVYYPQQAITIAQITGEKL YDWGLRGYIVIEDLWKQNFQKPGNVKNSPGNK >ENSMUSP00000109528.1 pep:known chromosome:GRCm38:X:94367183:94417093:1 gene:ENSMUSG00000079508.8 transcript:ENSMUST00000113895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoo description:apolipoprotein O [Source:MGI Symbol;Acc:MGI:1915566] MKIDELSLYSVPEGQSKYVEEPRTQLEENISQLRHHCEPYTSFCQEIYSHTKPKVDHFVQ WGVDNYNYLQNAPPGFFPRLGVIGFAGFVGLLFARGSKIKKLVYPPFFMGLGASVYYPQQ AITIAQITGEKLYDWGLRGYIVIEDLWKQNFQKPGNVKNSPGNK >ENSMUSP00000109531.1 pep:known chromosome:GRCm38:X:94367117:94416961:1 gene:ENSMUSG00000079508.8 transcript:ENSMUST00000113898.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoo description:apolipoprotein O [Source:MGI Symbol;Acc:MGI:1915566] MFKVIQRSVGPASLSLLTFRVYAAPKKDSPHKSYMKIDELSLYSVPEGQSKYVEEPRTQL EENISQLRHHCEPYTSFCQEIYSHTKPKVDHFVQWGVDNYNYLQNAPPGFFPRLGVIGFA GFVGLLFARGSKIKKLVYPPFFMGLGASVYYPQQAITIAQITGEKLYDWGLRGYIVIEDL WKQNFQKPGNVKNSPGNK >ENSMUSP00000025023.7 pep:known chromosome:GRCm38:17:26252910:26280719:1 gene:ENSMUSG00000024188.15 transcript:ENSMUST00000025023.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l description:Luc7 homolog (S. cerevisiae)-like [Source:MGI Symbol;Acc:MGI:1914228] MSAQAQMRALLDQLMGTARDGDETRQRVKFTDDRVCKSHLLDCCPHDILAGTRMDLGECT KIHDLALRADYEIASKERDLFFELDAMDHLESFIAECDRRTELAKKRLAETQEEISAEVS AKAEKVHELNEEIGKLLAKAEQLGAEGNVDESQKILMEVEKVRAKKKEAEEEYRNSMPAS SFQQQKLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIQIREKLDQLRKTVAEKQEKRN QDRLRRREEREREERLGRRSGSRTRDRRRSRSRDRRRRRSRSTSRERRKFSRSRSRDRYR RHRSRSRSHSRGHRRASRDRSTKYK >ENSMUSP00000110627.2 pep:known chromosome:GRCm38:17:26252951:26285504:1 gene:ENSMUSG00000024188.15 transcript:ENSMUST00000114976.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l description:Luc7 homolog (S. cerevisiae)-like [Source:MGI Symbol;Acc:MGI:1914228] MSAQAQMRALLDQLMGTARDGDETRQRVKFTDDRVCKSHLLDCCPHDILAGTRMDLGECT KIHDLALRADYEIASKERDLFFELDAMDHLESFIAECDRRTELAKKRLAETQEEISAEVS AKAEKVHELNEEIGKLLAKAEQLGAEGNVDESQKILMEVEKVRAKKKEAEEEYRNSMPAS SFQQQKLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIQIREKLDQLRKTVAEKQEKRN QDRLRRREEREREERLGRRSGSRTRDRRRSRSRDRRRRRSRSTSRERRKFSRSRSRDRYR RHRSRSRSHSRGHRRASRDRSTKYKFSRERSLREESWEYGRNERGPTDWRLENSNGKTAS RRSEEKEAGEI >ENSMUSP00000122258.1 pep:known chromosome:GRCm38:17:26252956:26277634:1 gene:ENSMUSG00000024188.15 transcript:ENSMUST00000140427.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l description:Luc7 homolog (S. cerevisiae)-like [Source:MGI Symbol;Acc:MGI:1914228] MDHLESFIAECDRRTELAKKRLAETQEEISAEVSAKAEKVHELNEEIGKLLAKAEQLGAE GNVDESQKILMEVEKVRAKKKEAEEEYRNSMPASSFQQQKLRVCEVCSAYLGLHDNDRRL ADHFGGKLHLGFIQIREKLDQLRKTVAEKQEKRNQDRLRRREEREREERLGR >ENSMUSP00000113405.1 pep:known chromosome:GRCm38:17:26252966:26281990:1 gene:ENSMUSG00000024188.15 transcript:ENSMUST00000119928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l description:Luc7 homolog (S. cerevisiae)-like [Source:MGI Symbol;Acc:MGI:1914228] MSAQAQMRALLDQLMGTARDGDETRQRVKFTDDRVCKSHLLDCCPHDILAGTRMDLGECT KIHDLALRADYEIASKERDLFFELDAMDHLESFIAECDRRTELAKKRLAETQEEISAEVS AKAEKVHELNEEIGKLLAKAEQLGAEGNVDESQKILMEVEKVRAKKKEAEEEYRNSMPAS SFQQQKLRVCEVCSAYLGLHDNDRRLADHFGGKLHLGFIQIREKLDQLRKTVAEKQEKRN QDRLRRREEREREERLGRRSGSRTRDRRRSRSRDRRRRRSRSTSRERRKFSRSRSRDRYR RHRSRSRSHSRGHRRASRDRSTKYK >ENSMUSP00000117660.1 pep:known chromosome:GRCm38:17:26252966:26281992:1 gene:ENSMUSG00000024188.15 transcript:ENSMUST00000154235.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Luc7l description:Luc7 homolog (S. cerevisiae)-like [Source:MGI Symbol;Acc:MGI:1914228] MSAQAQMRALLDQLMGTARDGESERWPRLWAGWEQGEAAWKPEVRAVTACVCAGRHFSPL LADPRLGAFV >ENSMUSP00000115213.1 pep:known chromosome:GRCm38:17:26252999:26280723:1 gene:ENSMUSG00000024188.15 transcript:ENSMUST00000148894.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Luc7l description:Luc7 homolog (S. cerevisiae)-like [Source:MGI Symbol;Acc:MGI:1914228] MSAQAQMRALLDQLMGTARDGSVYLCLPTSICKGVAEKPHVHRAEMKPDRGSSLQMTVSA RVTFWTAAPMTSLLGRAWI >ENSMUSP00000120409.1 pep:known chromosome:GRCm38:17:26253222:26266393:1 gene:ENSMUSG00000024188.15 transcript:ENSMUST00000155151.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l description:Luc7 homolog (S. cerevisiae)-like [Source:MGI Symbol;Acc:MGI:1914228] MDLGECTKIHDLALRADYEIASKERDLFFELDAMDHLESFIAECDRRTELAKKRLAETQE EISAEVSAK >ENSMUSP00000119717.1 pep:known chromosome:GRCm38:17:26267275:26281968:1 gene:ENSMUSG00000024188.15 transcript:ENSMUST00000152107.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luc7l description:Luc7 homolog (S. cerevisiae)-like [Source:MGI Symbol;Acc:MGI:1914228] LGAEGNVDESQKILMEVEKVRAKKKEAEKTVAEKQEKRNQDRLRRREEREREERLGRRSG SRTRDRRRSRSRDRRRRRSRSTSRERRKFSRSRSRDRYRRHRSRSRSHSRGHRRASRDRS TKYKFSRERSLREESWEYGRNERGPTDWRLENSNGKTASRRSEEKEAGEI >ENSMUSP00000048530.7 pep:known chromosome:GRCm38:7:113315626:113369339:-1 gene:ENSMUSG00000038187.14 transcript:ENSMUST00000047091.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd10 description:BTB (POZ) domain containing 10 [Source:MGI Symbol;Acc:MGI:1916065] MAGRPHPYDSNSSDPENWDRKLHSRPRKLYKHSSSASRVAKGGVDHTKMSLHGASGGHER SRDRRRSSDRSRDSSHERAESQLTPCIRNVTSPTRQHHIEREKDHSSSRPSSPRPQRASP NGSMSSAGNSSRNSSQSSSDGSCKTSGEMVFVYENAKEGARNVRTSERVTLIVDNTRFVV DPSIFTAQPNTMLGRMFGSGREHNFTRPNEKGEYEVAEGIGSTVFRAILDYYKTGIIRCP DGISIPELREACDYLCISFEYSTIKCRDLSALMHELSNDGARRQFEFYLEEMILPLMVAS AQSGERECHIVVLTDDDVVDWDEEYPPQMGEEYSQIIYSTKLYRFFKYIENRDVAKSVLK ERGLKKIRLGIEGYPTYKEKVKKRPGGRPEVIYNYVQRPFIRMSWEKEEGKSRHVDFQCV KSKSITNLAAAAADIPQDQLVVMHPTPQVDELDILPSHPASGNNDLDPDAQNPML >ENSMUSP00000113632.1 pep:known chromosome:GRCm38:7:113315643:113369392:-1 gene:ENSMUSG00000038187.14 transcript:ENSMUST00000119278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd10 description:BTB (POZ) domain containing 10 [Source:MGI Symbol;Acc:MGI:1916065] MSLHGASGGHERSRDRRRSSDRSRDSSHERAESQLTPCIRNVTSPTRQHHIEREKDHSSS RPSSPRPQRASPNGSMSSAGNSSRNSSQSSSDGSCKTSGEMVFVYENAKEGARNVRTSER VTLIVDNTRFVVDPSIFTAQPNTMLGRMFGSGREHNFTRPNEKGEYEVAEGIGSTVFRAI LDYYKTGIIRCPDGISIPELREACDYLCISFEYSTIKCRDLSALMHELSNDGARRQFEFY LEEMILPLMVASAQSGERECHIVVLTDDDVVDWDEEYPPQMGEEYSQIIYSTKLYRFFKY IENRDVAKSVLKERGLKKIRLGIEGYPTYKEKVKKRPGGRPEVIYNYVQRPFIRMSWEKE EGKSRHVDFQCVKSKSITNLAAAAADIPQDQLVVMHPTPQVDELDILPSHPASGNNDLDP DAQNPML >ENSMUSP00000113496.1 pep:known chromosome:GRCm38:7:113315626:113347285:-1 gene:ENSMUSG00000038187.14 transcript:ENSMUST00000117577.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd10 description:BTB (POZ) domain containing 10 [Source:MGI Symbol;Acc:MGI:1916065] MPKDADLAFSAALFEKAESLYTLISKFFSCFCVSTLAYTKGSSASRVAKGGVDHTKMSLH GASGGHERSRDRRRSSDRSRDSSHERAESQLTPCIRNVTSPTRQHHIEREKDHSSSRPSS PRPQRASPNGSMSSAGNSSRNSSQSSSDGSCKTSGEMVFVYENAKEGARNVRTSERVTLI VDNTRFVVDPSIFTAQPNTMLGRMFGSGREHNFTRPNEKGEYEVAEGIGSTVFRAILDYY KTGIIRCPDGISIPELREACDYLCISFEYSTIKCRDLSALMHELSNDGARRQFEFYLEEM ILPLMVASAQSGERECHIVVLTDDDVVDWDEEYPPQMGEEYSQIIYSTKLYRFFKYIENR DVAKSVLKERGLKKIRLGIEGYPTYKEKVKKRPGGRPEVIYNYVQRPFIRMSWEKEEGKS RHVDFQCVKSKSITNLAAAAADIPQDQLVVMHPTPQVDELDILPSHPASGNNDLDPDAQN PML >ENSMUSP00000114806.1 pep:known chromosome:GRCm38:7:113315646:113351938:-1 gene:ENSMUSG00000038187.14 transcript:ENSMUST00000135510.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Btbd10 description:BTB (POZ) domain containing 10 [Source:MGI Symbol;Acc:MGI:1916065] MAGRPHPYDSNSSDPENWDRKLHSRPRKLYKHSSSASRVAKGGVDHTKMSLHGASGGHER SRDRRRSSDRSRDSSHERAESQLTPCIRNVTSPTRQHHIEREKDHSSSRPSSPRPQRASP NGSMSSAGNSSRNSSQSSSDGSCKTSGEMVFVYENAKEGARNVRTSERVTLIVDNTRFVV DPSIFTAQPNTMLGRMFGSGLL >ENSMUSP00000034830.8 pep:known chromosome:GRCm38:9:54764748:54773110:1 gene:ENSMUSG00000032291.8 transcript:ENSMUST00000034830.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crabp1 description:cellular retinoic acid binding protein I [Source:MGI Symbol;Acc:MGI:88490] MPNFAGTWKMRSSENFDELLKALGVNAMLRKVAVAAASKPHVEIRQDGDQFYIKTSTTVR TTEINFKVGEGFEEETVDGRKCRSLPTWENENKIHCTQTLLEGDGPKTYWTRELANDELI LTFGADDVVCTRIYVRE >ENSMUSP00000076330.2 pep:known chromosome:GRCm38:2:85579241:85580185:1 gene:ENSMUSG00000100631.1 transcript:ENSMUST00000077075.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr996 description:olfactory receptor 996 [Source:MGI Symbol;Acc:MGI:3030830] MEEKNQTVMPEFLFLGITDNFHQKIVIFIIFFFVYLVTLGGNVGMIALIWLDPRLHTPMY FFLSQLSFVDVSSSSSIAPKMLCDIFARNKAISFVGCAAQMWFFGLFVATECFLLAAMAY DRYAAICKPLLYTLIMSPHLSVLLVIGPYAIALISTTTHTTLTFCLPFCGPYIINHFFCD ISPLLSLACSDTHINKLVLFVLAGTVGVLSGLIILVSYVCILKAILKIQTANGRRKAFST CSSHLATVSILYGTLFFIYVRPNVSSSLNINKVISLFYTMVIPMLNPLIYSLRNQEVKNA FRRTLEKKHFLTGA >ENSMUSP00000131426.2 pep:known chromosome:GRCm38:10:79468943:79492154:1 gene:ENSMUSG00000091381.2 transcript:ENSMUST00000167976.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r83 description:vomeronasal 2, receptor 83 [Source:MGI Symbol;Acc:MGI:3644559] MLFSCLFFTWLLQMPTLIWTFATSSCLSESEYLLHHDGTVVIGAFFPILKSLPVSETIDW KTLSFDIDNVLEMNVHNYQLVLTMLFAINEINLNSHILPNTSLGLEIYNVPYLQRNALRN VFYWLTGLSTFIPNYSCRKNSKSAATLTGILWKTSENIGTVLDLYKFPQITFGPFDHDQI DRKQFPSLYQVAPKDTSLLSGIASLMLHFNWTWVGLLSTDDHRGAQFLSDLRKVLENNTV CIAFMETVSLWGESLNSLQTHYQMHILESSANVIVIYGSIAFILTVIKNRHSKYITKKVW VMNSKWVGQKFEQYTMLELSHGALSFSHHHGEIFGFTNFVHEATPFKYPEDIFLHVLWNK YFNCSLLQSDCKIIEKCLPNSSLELLPGNIFEMIMTEESYNVYNAVYAVAHSLHEMTLSQ MQVQPQAYKDRTMLFPWQLHPFLRNIEVKNSVGDHVVLDWKRKTDTKYDIFNVWNFPTGL SLLVKVGTFAPSAPKEEQFSISEHIIDWPIGFTETPHSVCSESCSPGFRKVVLESKPTCC FDCTPCPDNEISNETGAVQCVKCPETHYANAEKRHCLKKTVTFLDYNDPWGKGLTLMSLG FSALTTLIIGVFVNHRDTPIVKANNRSLTYILLITLALCFLCPLLFIGHPNTVTCIMQQN LFGLLFTVVLSTVLAKTITVVMAFKIAVPGRKLRLLLISQVPNFIIPVCTLIQVCLSGIW LGTFPPFIDMDAHSEYGHIIILCNKGSAIAFYCTLAYLGVMAIGSYLMAFLSRSLPDTFN EAKFLAFSMLVFCAVWVTFLPVYHSTTGKVMVAMEMFSILASSSSLLILIFVPKCYIILF RPERNTVHHIRDERHDRSKNLLKT >ENSMUSP00000038816.5 pep:known chromosome:GRCm38:18:46501746:46525971:-1 gene:ENSMUSG00000033319.5 transcript:ENSMUST00000036226.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fem1c description:fem-1 homolog c (C.elegans) [Source:MGI Symbol;Acc:MGI:2444737] MDLKTAVFNAARDGKLRLLTKLLASKSKAEVSSLISEKTNGATPLLMAARYGHLDMVEFL LEQCSASIEVGGSVNFDGETIEGAPPLWAASAAGHLKVVQSLLNHGASVNNTTLTNSTPL RAACFDGHLEIVKYLVEHKADLEVSNRHGHTCLMISCYKGHKEIAQYLLEKGADVNRKSV KGNTALHDCAESGSLDIMKMLLMYCAKMEKDGYGMTPLLSASVTGHTNIVDFLTHHAQTS KTERINALELLGATFVDKKRDLLGALKYWKKAMNMRYSDRTNIISKPVPQTLIMAYDYAK EVNSAEELEGLIADPDEMRMQALLIRERILGPSHPDTSYYIRYRGAVYADSGNFKRCINL WKYALDMQQSNLDPLSPMTASSLLSFAELFSFMLQDRAKGLLGTTVTFDDLMGILCKSVL EIERAIKQTQCPADPLQLNKALSIILHLICLLEKVPCTVEQDHFKKQTIYRFLKLHPRGK NNFSPLHLAVDKNTTCVGRYPVCKFPSLQVTAILIECGADVNVRDSDDNSPLHIAALNNH PDIMNLLIKSGAHFDATNLHKQTASDLLDEKEIAKNLIQPINHTTLQCLAARVIVNHRIY YKGNIPEKLETFVSLHR >ENSMUSP00000143450.1 pep:known chromosome:GRCm38:5:142401497:142419620:1 gene:ENSMUSG00000056493.9 transcript:ENSMUST00000198422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxk1 description:forkhead box K1 [Source:MGI Symbol;Acc:MGI:1347488] MAEVGEDSGARALLALRSAPCSPVLCAAAAAAAFPATTSPPPPAQPPPGPPALPAEPGPG PVPSTVATATTTAPALVAAAAASVRQSPGPALARLEGREFEFLMRQPSVTIGRNSSQGSV DLSMGLSSFISRRHLQLSFQEPHFYLRCLGKNGVFVDGAFQRRGAPALQLPQQAPGAVWK T >ENSMUSP00000072616.5 pep:known chromosome:GRCm38:5:142401500:142462011:1 gene:ENSMUSG00000056493.9 transcript:ENSMUST00000072837.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxk1 description:forkhead box K1 [Source:MGI Symbol;Acc:MGI:1347488] MAEVGEDSGARALLALRSAPCSPVLCAAAAAAAFPATTSPPPPAQPPPGPPALPAEPGPG PVPSTVATATTTAPALVAAAAASVRQSPGPALARLEGREFEFLMRQPSVTIGRNSSQGSV DLSMGLSSFISRRHLQLSFQEPHFYLRCLGKNGVFVDGAFQRRGAPALQLPQQCTFRFPS TAIKIQFTSLYHKEEAPASPLRPLYPQISPLKIHIPEPDLRSLVSPIPSPTGTISVPNSC PASPRGAGSSSYRFVQNVTSDLQLAAEFAAKAASEQQADASGGDSPKDESKPPYSYAQLI VQAISSAQDRQLTLSGIYAHITKHYPYYRTADKGWQNSIRHNLSLNRYFIKVPRSQEEPG KGSFWRIDPASEAKLVEQAFRKRRQRGVSCFRTPFGPLSSRSAPASPTHPGLMSPRSSGL QTPECLSREGSPIPHDPDLGSKLASVPEYRYSQSAPGSPVSAQPVIMAVPPRPSNLVAKP VAYMPASIVTSQQPSGHAIHVVQQAPTVTMVRVVTTSANSANGYILASQGSTGTSHDTAG TAVLDLGNEARGLEEKPTIAFATIPAASRVIQTVASQMAPGVPGHTVTILQPATPVTIGQ HHLPVRAVTQNGKHAVPTNSLTGNAYALSSPLQLLAAQASSSTPVVITRVCEVGPEEPAA AVSVAANAAPTPAASTTTSASSSGEPEVKRSRVEEPGGTATTQPTAMAATGPQGPGTGE >ENSMUSP00000069821.5 pep:known chromosome:GRCm38:1:69826988:70725132:1 gene:ENSMUSG00000053153.14 transcript:ENSMUST00000065425.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag16 description:sperm associated antigen 16 [Source:MGI Symbol;Acc:MGI:1913972] MAAPSGVPPLRVLEELGIGLSPTGEVTEAVTSEGAYYLEQVTITETSEDECEYEEIPDDN FSIPEGEEDLEKAIHIIGEQARDIHILEQQTILPARNVMQEAIEDFLCNFLIKMGMTRTL DCFQAEWYELIQKKGSDFKGLGNVPDVYSQVMLLETENKNLKKELKHFKQAAEKAKEDLL RTQKERDFHRMHHKRIVQEKNKLIADLKGLKLHYASYEPTIRVLHEKHHALLKEKMLTSL ERDRAVGKISGLQATLKNIDMGHIQVPVIKGSYESASITRESGDRAGHSCEKENSSEGPT QKSLREAREEVGYKSKLKNEKKDSEFPVDMQPDPNVTSCTENVSAAKFDYKLNNIFRLHE LPVSCIVMHPCRDYLISCSEDRLWKMVGLPQGNVLLTGSGHTDWLSGCCFHPSGSKLATS SGDSTIKLWDLNKGECTLTLEGHNHAVWSCTWHSCGDFVASASLDMTSKIWDVNSERCRY TLYGHTDSVNSIEFFPFSNILLTASADKTLSVWDARTGKCEQSLYGHMHSVNDATFTPRG HIIASCDARGVTKLWDFRKLIPIVSIDVGPSSGNEVNFDQSGRVLAQASANGIIHLLDLK SGQIHKLVGHESEVHSVVFSHLGENLYSGGSDGTIRLWI >ENSMUSP00000109573.2 pep:known chromosome:GRCm38:1:69826992:69926242:1 gene:ENSMUSG00000053153.14 transcript:ENSMUST00000113940.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag16 description:sperm associated antigen 16 [Source:MGI Symbol;Acc:MGI:1913972] MAAPSGVPPLRVLEELGIGLSPTGEVTEAVTSEGAYYLEQVTITETSEDECEYEEIPDDN FSIPEGEEDLEKAIHIIGEQARDIHILEQQTILPARNVMQEAIEDFLCNFLIKMGMTRTL DCFQAEWYELIQKKGSDFKGLGNVPDVYSQVMLLETENKNLKKELKHFKQAAEKAKEDLL RTQKERDFHRMHHKRIVQEKNKLIADLKGLKLHYASYEPTIRVLHEKHHALLKEKMLTSL ERDRAVGKISGLQATLKNIDMGHIQVPVIKGSYESASITRESGDRAGHSCEKENSSEGPT QKSLREAREEVGYKSKLKNEKKPSSTESKRVQPSPTESHMVSSRSSSLYPTPSFHQQQSR GNFPTWNKITPQQGSISTREQSTGTLRKRPPKTSCLHQHESNGRF >ENSMUSP00000021141.7 pep:known chromosome:GRCm38:11:72999103:73015200:1 gene:ENSMUSG00000020787.14 transcript:ENSMUST00000021141.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx1 description:purinergic receptor P2X, ligand-gated ion channel, 1 [Source:MGI Symbol;Acc:MGI:1098235] MARRLQDELSAFFFEYDTPRMVLVRNKKVGVIFRLIQLVVLVYVIGWVFVYEKGYQTSSG LISSVSVKLKGLAVTQLQGLGPQVWDVADYVFPAHGDSSFVVMTNFIMTPQQAQGHCAEN PEGGICQDDSGCTPGKAERKAQGIRTGNCVPFNGTVKTCEIFGWCPVEVDDKIPSPALLH EAENFTLFIKNSISFPRFKVNRRNLVEEVNGTYMKKCLYHKILHPLCPVFSLGYVVRESG QDFRSLAEKGGVVGITIDWECDLDWHVRHCKPIYQFHGLYGEKNLSPGFNFRFARHFVQN GTNRRHLFKVFGIRFDILVDGKAGKFDIIPTMTTIGSGIGIFGVATVLCDLLLLHILPKR HYYKQKKFKYAEDMGPGEGERDPAATSSTLGLQENMRTS >ENSMUSP00000090614.4 pep:known chromosome:GRCm38:11:72999315:73014126:1 gene:ENSMUSG00000020787.14 transcript:ENSMUST00000092938.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx1 description:purinergic receptor P2X, ligand-gated ion channel, 1 [Source:MGI Symbol;Acc:MGI:1098235] MARRLQDELSAFFFEYDTPRMVLVRNKKVGVIFRLIQLVVLVYVIGWVFVYEKGYQTSSG LISSVSVKLKGLAVTQLQGLGPQVWDVADYVFPAHGDSSFVVMTNFIMTPQQAQGHCAEN PEGGICQDDSGCTPGKAERKAQGIRTGNCVPFNGTVKTCEIFGWCPVEVDDKIPRRNLVE EVNGTYMKKCLYHKILHPLCPVFSLGYVVRESGQDFRSLAEKGGVVGITIDWECDLDWHV RHCKPIYQFHGLYGEKNLSPGFNFRFARHFVQNGTNRRHLFKVFGIRFDILVDGKAGKFD IIPTMTTIGSGIGIFGVATVLCDLLLLHILPKRHYYKQKKFKYAEDMGPGEGERDPAATS STLGLQENMRTS >ENSMUSP00000107008.1 pep:known chromosome:GRCm38:1:167689237:167848741:1 gene:ENSMUSG00000026686.14 transcript:ENSMUST00000111377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmx1a description:LIM homeobox transcription factor 1 alpha [Source:MGI Symbol;Acc:MGI:1888519] MLDGLKMEENFQSAIETSASFSSLLGRAVSPKSVCEGCQRVISDRFLLRLNDSFWHEQCV QCASCKEPLETTCFYRDKKLYCKYHYEKLFAVKCGGCFEAIAPNEFVMRAQKSVYHLSCF CCCVCERQLQKGDEFVLKEGQLLCKGDYEKERELLSLVSPAASDSGKSDDEESLCKSAHG AGKGASEDGKDHKRPKRPRTILTTQQRRAFKASFEVSSKPCRKVRETLAAETGLSVRVVQ VWFQNQRAKMKKLARRQQQQQQDQQNTQRLTSAQTNGSGNAGMEGIMNPYTTLPTPQQLL AIEQSVYNSDPFRQGLTPPQMPGDHMHPYGAEPLFHDLDSDDTSLSNLGDCFLATSEAGP LQSRVGNPIDHLYSMQNSYFTS >ENSMUSP00000028003.6 pep:known chromosome:GRCm38:1:167689557:167848741:1 gene:ENSMUSG00000026686.14 transcript:ENSMUST00000028003.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmx1a description:LIM homeobox transcription factor 1 alpha [Source:MGI Symbol;Acc:MGI:1888519] MLDGLKMEENFQSAIETSASFSSLLGRAVSPKSVCEGCQRVISDRFLLRLNDSFWHEQCV QCASCKEPLETTCFYRDKKLYCKYHYEKLFAVKCGGCFEAIAPNEFVMRAQKSVYHLSCF CCCVCERQLQKGDEFVLKEGQLLCKGDYEKERELLSLVSPAASDSGKSDDEESLCKSAHG AGKGASEDGKDHKRPKRPRTILTTQQRRAFKASFEVSSKPCRKVRETLAAETGLSVRVVQ VWFQNQRAKMKKLARRQQQQQQDQQNTQRLTSAQTNGSGNAGMEGIMNPYTTLPTPQQLL AIEQSVYNSDPFRQGLTPPQMPGDHMHPYGAEPLFHDLDSDDTSLSNLGDCFLATSEAGP LQSRVGNPIDHLYSMQNSYFTS >ENSMUSP00000096871.2 pep:known chromosome:GRCm38:4:155694342:155696481:1 gene:ENSMUSG00000074738.2 transcript:ENSMUST00000099265.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B930041F14Rik description:RIKEN cDNA B930041F14 gene [Source:MGI Symbol;Acc:MGI:2444790] MRAPPLLLLLAACAPPSGAAVDPTPPGWEPAPDAPWCPYKVLSEGPETGGGRLCFRSPVR GFRCQTPGCETLASAGGSLRAHVLRNRSVLLQWRLAPAEARRVRVFALNCSWRGTYTRFP CDRVLLGASCRDYLLPDVHDSVRYRLCLQQLPLRAEVAVVPPELAECVEFTAEPAAMQEI VVAMTAVGGSICVMLVVICLLVAYITENLMHPTFRRPSLRRQP >ENSMUSP00000134561.1 pep:known chromosome:GRCm38:10:75771230:75773034:-1 gene:ENSMUSG00000001666.8 transcript:ENSMUST00000172820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddt description:D-dopachrome tautomerase [Source:MGI Symbol;Acc:MGI:1298381] MTLLMNKSTEPCAHLLVSSIGVVGTAEQNRTHSASFFKFLTEELSLDQDRIVIRFFPLEA WQIGKKGTVMTFL >ENSMUSP00000001716.7 pep:known chromosome:GRCm38:10:75771233:75773414:-1 gene:ENSMUSG00000001666.8 transcript:ENSMUST00000001716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddt description:D-dopachrome tautomerase [Source:MGI Symbol;Acc:MGI:1298381] MPFVELETNLPASRIPAGLENRLCAATATILDKPEDRVSVTIRPGMTLLMNKSTEPCAHL LVSSIGVVGTAEQNRTHSASFFKFLTEELSLDQDRIVIRFFPLEAWQIGKKGTVMTFL >ENSMUSP00000034428.7 pep:known chromosome:GRCm38:8:111940703:111953612:1 gene:ENSMUSG00000031950.7 transcript:ENSMUST00000034428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabarapl2 description:gamma-aminobutyric acid (GABA) A receptor-associated protein-like 2 [Source:MGI Symbol;Acc:MGI:1890602] MKWMFKEDHSLEHRCVESAKIRAKYPDRVPVIVEKVSGSQIVDIDKRKYLVPSDITVAQF MWIIRKRIQLPSEKAIFLFVDKTVPQSSLTMGQLYEKEKDEDGFLYVAYSGENTFGF >ENSMUSP00000119242.1 pep:known chromosome:GRCm38:1:133699457:133801041:-1 gene:ENSMUSG00000026463.17 transcript:ENSMUST00000143567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b4 description:ATPase, Ca++ transporting, plasma membrane 4 [Source:MGI Symbol;Acc:MGI:88111] MTNPPGQSVSANTVAESHEGEFGCTLMDLRKLMELRGADAVAQISAHYGGVQEICTRLKT SPIEGLSGNPADLEKRRLVFGKNVIPPKRPKTFLELVWEALQDVTLIILEIAAIISLVLS FYRPPGGDNEICGHIASSPEEEEEGETGWIEGAAILASVIIVVLVTAFNDWSKEKQFRGL QSRIELEQKFSIIRNGQLIQLPVAEIVVGDIAQIKYGDLLPADGILIQGNDLKIDESSLT GESDHVKKTLDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGASEEEDDDDKKK KGKKQGAPENRNKAKTQDGVALEIQPLNSQEGLDSEDKEKKIARIPKKEKSVLQGKLTRL AVQIGKAGLIMSVLTVVILILYFVVDNFVIQRREWLPECTPVYIQYFVKFFIIGVTVLVV AVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTMNRMTVVQ AYIGGTHYRQIPQPDVFPPKVLELIVNGISINCAYTSKIQPPEKEGGLPRQVGNKTECGL LGFVTDLKQDYQAVRNEVPEEKLFKVYTFNSVRKSMSTVIRKPEGGFRMFSKGASEIMLR RCDRILNKEGEIKSFRSKDRDNMVRNVIEPMASEGLRTICLAYRDFDGTEPSWDIEGEIL TSLICIAVVGIEDPVRPEVPDAIAKCKRAGITVRMVTGDNVNTARAIATKCGILTPKDDF LCLEGKEFNSLIRNEKGEVEQEKLDKIWPKLRVLARSSPTDKHTLVKGIIDSTAGEQRQV VAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDS ISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTESL LRRRPYGRNKPLISRTMMKNILGHAVYQLLIVFLLVFAGDTLFDIDSGRKAPLNSPPSQH YTIVFNTFVLMQLFNEINARKIHGEKNVFAGVYRNIIFCTVVLGTFFCQIMIVELGGKPF SCTSLTMEQWMWCLFIGIGELLWGQVISAIPTKSLKFLKEAGHGSDKEDISRDTEGMDEI DLAEMELRRGQILWVRGLNRIQTQIRVVKLFHNNHEVAHKPKNRSSIHTFMTQPEYPADD ELSQSFLDIQEGNPELVSKAGTSVLLLDGEAASHDNINNNAVDCHQVQIVASHSDSPLPS LETPV >ENSMUSP00000116941.1 pep:known chromosome:GRCm38:1:133706311:133753681:-1 gene:ENSMUSG00000026463.17 transcript:ENSMUST00000125659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b4 description:ATPase, Ca++ transporting, plasma membrane 4 [Source:MGI Symbol;Acc:MGI:88111] MTNPPGQSVSANTVAESHEGEFGCTLMDLRKLMELRGADAVAQISAHYGGVQEICTRLKT SPIEGLSGNPADLEKRRLVFGKNVIPPKRPKTFLELVWEALQDVTLIILEIAAIISLVLS FYRPPGGDNEICGHIASSPEEEEEGETGWIEGAAILASVIIVVLVTAFNDWSKEKQFRGL QSRIELEQKFSIIRNGQLIQLPVAEIVVGDIAQIKYGDLLPADGILIQGNDLKIDESSLT GESDHVKKTLDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGASEEEDDDDKKK KGKKQGAPENRNKAKTQDGVALEIQPLNSQEGLDSEDKEKKIARIPKKEKSVLQGKLTRL AVQIGKAGLIMSVLTVVILILYFVVDNFVIQRREWLPECTPVYIQYFVKFFIIGVTVLVV AVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTMNRMTVVQ AYIGGTHYRQIPQPDVFPPKVLELIVNGISINCAYTSKIQPPEKEGGLPRQVGNKTECGL LGFVTDLKQDYQAVRNEVPEEKLFKVYTFNSVRKSMSTVIRKPEGGFRMFSKGASEIMLR RCDRILNKEGEIKSFRSKDRDNMVRNVIEPMASEGLRTICLAYRDFDGTEPSWDIEGEIL TSLICIAVVGIEDPVRPEVPDAIAKCKRAGITVRMVTGDNVNTARAIATKCGILTPKDDF LCLEGKEFNSLIRNEKGEVEQEKLDKIWPKLRVLARSSPTDKHTLVKGIIDSTAGEQRQV VAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDS ISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTESL LRRRPYGRNKPLISRTMMKNILGHAVYQLLIVFLLVFAGDTLFDIDSGRKAPLNSPPSQH YTIVFNTFVLMQLFNEINARKIHGEKNVFAGVYRNIIFCTVVLGTFFCQIMIVELGGKPF SCTSLTMEQWMWCLFIGIGELLWGQVISAIPTKSLKFLKEAGHGSDKEDISRDTEGMDEI DLAEMELRRGQILWVRGLNRIQTQIDVINKFQTEAPLKRVRENMTQHLDVKLVPSSYSAA VASLRTCPSISSAISSAVTSPPVGNQSRQTVP >ENSMUSP00000107883.1 pep:known chromosome:GRCm38:1:133713981:133753281:-1 gene:ENSMUSG00000026463.17 transcript:ENSMUST00000112264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b4 description:ATPase, Ca++ transporting, plasma membrane 4 [Source:MGI Symbol;Acc:MGI:88111] MTNPPGQSVSANTVAESHEGEFGCTLMDLRKLMELRGADAVAQISAHYGGVQEICTRLKT SPIEGLSGNPADLEKRRLVFGKNVIPPKRPKTFLELVWEALQDVTLIILEIAAIISLVLS FYRPPGGDNEICGHIASSPEEEEEGETGWIEGAAILASVIIVVLVTAFNDWSKEKQFRGL QSRIELEQKFSIIRNGQLIQLPVAEIVVGDIAQIKYGDLLPADGILIQGNDLKIDESSLT GESDHVKKTLDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGASEEEDDDDKKK KGKKQGAPENRNKAKTQDGVALEIQPLNSQEGLDSEDKEKKIARIPKKEKSVLQGKLTRL AVQIGKAGLIMSVLTVVILILYFVVDNFVIQRREWLPECTPVYIQYFVKFFIIGVTVLVV AVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTMNRMTVVQ AYIGGTHYRQIPQPDVFPPKVLELIVNGISINCAYTSKIQPPEKEGGLPRQVGNKTECGL LGFVTDLKQDYQAVRNEVPEEKLFKVYTFNSVRKSMSTVIRKPEGGFRMFSKGASEIMLR RCDRILNKEGEIKSFRSKDRDNMVRNVIEPMASEGLRTICLAYRDFDGTEPSWDIEGEIL TSLICIAVVGIEDPVRPEVPDAIAKCKRAGITVRMVTGDNVNTARAIATKCGILTPKDDF LCLEGKEFNSLIRNEKGEVEQEKLDKIWPKLRVLARSSPTDKHTLVKGIIDSTAGEQRQV VAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDS ISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTESL LRRRPYGRNKPLISRTMMKNILGHAVYQLLIVFLLVFAGDTLFDIDSGRKAPLNSPPSQH YTIVFNTFVLMQLFNEINARKIHGEKNVFAGVYRNIIFCTVVLGTFFCQIMIVELGGKPF SCTSLTMEQWMWCLFIGIGELLWGQVISAIPTKSLKFLKEAGHGSDKEDISRDTEGMDEI DLAEMELRRGQILWVRGLNRIQTQEGN >ENSMUSP00000047978.7 pep:known chromosome:GRCm38:1:133702674:133753747:-1 gene:ENSMUSG00000026463.17 transcript:ENSMUST00000048953.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b4 description:ATPase, Ca++ transporting, plasma membrane 4 [Source:MGI Symbol;Acc:MGI:88111] MTNPPGQSVSANTVAESHEGEFGCTLMDLRKLMELRGADAVAQISAHYGGVQEICTRLKT SPIEGLSGNPADLEKRRLVFGKNVIPPKRPKTFLELVWEALQDVTLIILEIAAIISLVLS FYRPPGGDNEICGHIASSPEEEEEGETGWIEGAAILASVIIVVLVTAFNDWSKEKQFRGL QSRIELEQKFSIIRNGQLIQLPVAEIVVGDIAQIKYGDLLPADGILIQGNDLKIDESSLT GESDHVKKTLDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGASEEEDDDDKKK KGKKQGAPENRNKAKTQDGVALEIQPLNSQEGLDSEDKEKKIARIPKKEKSVLQGKLTRL AVQIGKAGLIMSVLTVVILILYFVVDNFVIQRREWLPECTPVYIQYFVKFFIIGVTVLVV AVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTMNRMTVVQ AYIGGTHYRQIPQPDVFPPKVLELIVNGISINCAYTSKIQPPEKEGGLPRQVGNKTECGL LGFVTDLKQDYQAVRNEVPEEKLFKVYTFNSVRKSMSTVIRKPEGGFRMFSKGASEIMLR RCDRILNKEGEIKSFRSKDRDNMVRNVIEPMASEGLRTICLAYRDFDGTEPSWDIEGEIL TSLICIAVVGIEDPVRPEVPDAIAKCKRAGITVRMVTGDNVNTARAIATKCGILTPKDDF LCLEGKEFNSLIRNEKGEVEQEKLDKIWPKLRVLARSSPTDKHTLVKGIIDSTAGEQRQV VAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDS ISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTESL LRRRPYGRNKPLISRTMMKNILGHAVYQLLIVFLLVFAGDTLFDIDSGRKAPLNSPPSQH YTIVFNTFVLMQLFNEINARKIHGEKNVFAGVYRNIIFCTVVLGTFFCQIMIVELGGKPF SCTSLTMEQWMWCLFIGIGELLWGQVISAIPTKSLKFLKEAGHGSDKEDISRDTEGMDEI DLAEMELRRGQILWVRGLNRIQTQIDVINKFQTEAPLKRVRENMTQHLDVKLVPSSYSAA VASLRTCPSISSAISSAVTSPPVGSE >ENSMUSP00000133187.2 pep:known chromosome:GRCm38:1:133702674:133753747:-1 gene:ENSMUSG00000026463.17 transcript:ENSMUST00000165602.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b4 description:ATPase, Ca++ transporting, plasma membrane 4 [Source:MGI Symbol;Acc:MGI:88111] MTNPPGQSVSANTVAESHEGEFGCTLMDLRKLMELRGADAVAQISAHYGGVQEICTRLKT SPIEGLSGNPADLEKRRLVFGKNVIPPKRPKTFLELVWEALQDVTLIILEIAAIISLVLS FYRPPGGDNEICGHIASSPEEEEEGETGWIEGAAILASVIIVVLVTAFNDWSKEKQFRGL QSRIELEQKFSIIRNGQLIQLPVAEIVVGDIAQIKYGDLLPADGILIQGNDLKIDESSLT GESDHVKKTLDKDPMLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGASEEEDDDDKKK KGKKQGAPENRNKAKTQDGVALEIQPLNSQEGLDSEDKEKKIARIPKKEKSVLQGKLTRL AVQIGKAGLIMSVLTVVILILYFVVDNFVIQRREWLPECTPVYIQYFVKFFIIGVTVLVV AVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTLTMNRMTVVQ AYIGGTHYRQIPQPDVFPPKVLELIVNGISINCAYTSKIQPPEKEGGLPRQVGNKTECGL LGFVTDLKQDYQAVRNEVPEEKLFKVYTFNSVRKSMSTVIRKPEGGFRMFSKGASEIMLR RCDRILNKEGEIKSFRSKDRDNMVRNVIEPMASEGLRTICLAYRDFDGTEPSWDIEGEIL TSLICIAVVGIEDPVRPEVPDAIAKCKRAGITVRMVTGDNVNTARAIATKCGILTPKDDF LCLEGKEFNSLIRNEKGEVEQEKLDKIWPKLRVLARSSPTDKHTLVKGIIDSTAGEQRQV VAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDS ISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTFASLALATEPPTESL LRRRPYGRNKPLISRTMMKNILGHAVYQLLIVFLLVFAGDTLFDIDSGRKAPLNSPPSQH YTIVFNTFVLMQLFNEINARKIHGEKNVFAGVYRNIIFCTVVLGTFFCQIMIVELGGKPF SCTSLTMEQWMWCLFIGIGELLWGQVISAIPTKSLKFLKEAGHGSDKEDISRDTEGMDEI DLAEMELRRGQILWVRGLNRIQTQIRVVKLFHNNHEVAHKPKNRSSIHTFMTQPEYPADD ELSQSFLDIQEGNPELVSKAGTSVLLLDGEAASHDNINNNAVDCHQVQIVASHSDSPLPS LETPV >ENSMUSP00000126957.1 pep:known chromosome:GRCm38:1:133701639:133701881:-1 gene:ENSMUSG00000026463.17 transcript:ENSMUST00000167348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b4 description:ATPase, Ca++ transporting, plasma membrane 4 [Source:MGI Symbol;Acc:MGI:88111] EEEEEEEEEEEEEEEEEEEEEEEEEEEGRKEGERGEGGGEEEEKKKKKKKKKKKKKKKKK EWKRKRRRKRKGPCLLPGFP >ENSMUSP00000032459.7 pep:known chromosome:GRCm38:6:114861424:114921821:-1 gene:ENSMUSG00000030315.15 transcript:ENSMUST00000032459.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgll4 description:vestigial like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2652840] MLFMKMDLLNYQYLDKMNNNIGVLCYEGEASLRGEPRMQTLPVASALSSHRTGPPPISPS KRKFSMEPGDKDLDCENDHVSKMSRIFSPHLNKTVNGDCRRDPRERSRSPIERAAAPAVS LHGGHLYASLPSLMEQPLALTKNSSDTGRSAVERQQNRPSVITCASAGARNCNLSHCPIA HSGCSAPGSASYRRPPSATATCDPVVEEHFRRSLGKNYKEPEPAPNSVSITGSVDDHFAK ALGDTWLQIKAAKDSASSSPESASRRGQPASPTAHMVSHSHSPSVVS >ENSMUSP00000123139.1 pep:known chromosome:GRCm38:6:114862321:114969180:-1 gene:ENSMUSG00000030315.15 transcript:ENSMUST00000147639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgll4 description:vestigial like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2652840] METPLDVLSRAASLVHADDEKREASLRGEPRMQTLPVASALSSHRTGPPPISPSKRKFSM EPGDKDLDCENDHVSKMSRIFSPHLNKTVNGDCRRDPRERSRSPIERAAAPAVSLHGGHL YASLPSLMEQPLALTKNSSDTGRSAVERQQNRPSVITCASAGARNCNLSHCPIAHSGCSA PGSASYRRPPSATATCDPVVEEHFRRSLGKNYKEPEPAPNSVSITGSVDD >ENSMUSP00000118618.1 pep:known chromosome:GRCm38:6:114862764:114969994:-1 gene:ENSMUSG00000030315.15 transcript:ENSMUST00000139640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgll4 description:vestigial like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2652840] METPLDVLSRAASLVHADDEKREASLRGEPRMQTLPVASALSSHRTGPPPISPSKRKFSM EPGDKDLDCENDHVSKMSRIFSPHLNKTVNGDCRRDPRERSRSPIERAAAPAVSLHGGHL YASLPSLMEQPLALTKNSSDTGRSAVERQQNRPSVITCASAGARNCNLSHCPIAHSGCSA PGSASYRRP >ENSMUSP00000036085.2 pep:known chromosome:GRCm38:X:142851146:142966728:-1 gene:ENSMUSG00000042225.3 transcript:ENSMUST00000041317.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ammecr1 description:Alport syndrome, mental retardation, midface hypoplasia and elliptocytosis chromosomal region gene 1 [Source:MGI Symbol;Acc:MGI:1860206] MAAGCCGVKKQKLSSSPPSGSGGGGGASSSSHCSGESQCRAGELGLGGAGTRLNGLGGLS GGGGSGGGGGCPLSPPQGCGGGGGGGGGGGSGSGGGGISLSPPLSCGVGTLLSTPAAATA SSPSSSSSSPGSRKMVVSAEMCCFCFDVLYCHLYGYQQPRTPRFTNEPYPLFVTWKIGRD KRLRGCIGTFSAMNLHSGLREYTLTSALKDSRFPPMTRDELPRLFCSVSLLTNFEDVCDY LDWEVGVHGIRIEFINEKGSKRTATYLPEVAKEQGWDHIQTIDSLLRKGGYKAPITNEFR KTIKLTRYRSEKMTLSYAEYLAHRQHHHFQNGIGHPLPPYNHYS >ENSMUSP00000046118.2 pep:known chromosome:GRCm38:1:143640664:143654614:1 gene:ENSMUSG00000033849.3 transcript:ENSMUST00000038252.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3galt2 description:UDP-Gal:betaGlcNAc beta 1,3-galactosyltransferase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1349461] MLQWRRRHCCFAKMTWSPKRSLLRTPLTGVLSLVFLFAMFLFFNHHDWLPGRPGFKENPV TYTFRGFRSTKSETNHSSLRTIWKEVAPQTLRPHTASNSSNTELSPQGVTGLQNTLSANG SIYNEKGTGHPNSYHFKYIINEPEKCQEKSPFLILLIAAEPGQIEARRAIRQTWGNETLA PGIQIIRVFLLGISIKLNGYLQHAIQEESRQYHDIIQQEYLDTYYNLTIKTLMGMNWVAT YCPHTPYVMKTDSDMFVNTEYLIHKLLKPDLPPRHNYFTGYLMRGYAPNRNKDSKWYMPP DLYPSERYPVFCSGTGYVFSGDLAEKIFKVSLGIRRLHLEDVYVGICLAKLRVDPVPPPN EFVFNHWRVSYSSCKYSHLITSHQFQPSELIKYWNHLQQNKHNACANAAKEKAGRYRHRK LH >ENSMUSP00000047285.2 pep:known chromosome:GRCm38:2:136081104:136387938:-1 gene:ENSMUSG00000039913.12 transcript:ENSMUST00000035264.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak7 description:p21 protein (Cdc42/Rac)-activated kinase 7 [Source:MGI Symbol;Acc:MGI:1920334] MFGKKKKKIEISGPSNFEHRVHTGFDPQEQKFTGLPQQWHSLLADTANRPKPMVDPSCIT PIQLAPMKTIVRGNKSCKETSINGLLEDFDNISVTRSNSLRKESPPTPDQGAASRIQGHS EENGFITFSQYSSESDTTADYTTEKYRDRSLYGDDLDLYYKSSHAAKQNGHAMKMKHGDA YYPEMKSLKTDLAGFPVDYHTHLDSLRKSSEYGDLRWDYQRASSSSPLDYSFQLTPSRTA GTSRCSKESLAYSESDWGPSLDDYDRRPKSSYLHQTSPQPAMRQRSKSGSGLQEPMMPFG ASAFKTHPQGHSYNSYTYPRLSEPTMCIPKVDYDRAQMVFSPPLSGSDTYPRGPTKLPQS QSKAGYSSGSHQYPSGYHKASLYHHPSLQTSSQYISTASYLSSLSISSSTYPPPSWGSSS DQQPSRVSHEQFRAALQLVVSPGDPREYLDNFIKIGEGSTGIVCIATEKHTGKQVAVKKM DLRKQQRRELLFNEVVIMRDYHHDNVVDMYNSYLVGDELWVVMEFLEGGALTDIVTHTRM NEEQIATVCLSVLKALSYLHNQGVIHRDIKSDSILLTSDGRIKLSDFGFCAQVSKEVPKR KSLVGTPYWMAPEVISRLPYGTEVDIWSLGIMVIEMIDGEPPYFNEPPLQAMRRIRDSLP PRVKDLHKVSSMLRGFLDLMLVREPSQRATAQELLGHPFLKLAGPPSCIVPLMRQYRHH >ENSMUSP00000076440.3 pep:known chromosome:GRCm38:2:136081897:136387960:-1 gene:ENSMUSG00000039913.12 transcript:ENSMUST00000077200.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak7 description:p21 protein (Cdc42/Rac)-activated kinase 7 [Source:MGI Symbol;Acc:MGI:1920334] MFGKKKKKIEISGPSNFEHRVHTGFDPQEQKFTGLPQQWHSLLADTANRPKPMVDPSCIT PIQLAPMKTIVRGNKSCKETSINGLLEDFDNISVTRSNSLRKESPPTPDQGAASRIQGHS EENGFITFSQYSSESDTTADYTTEKYRDRSLYGDDLDLYYKSSHAAKQNGHAMKMKHGDA YYPEMKSLKTDLAGFPVDYHTHLDSLRKSSEYGDLRWDYQRASSSSPLDYSFQLTPSRTA GTSRCSKESLAYSESDWGPSLDDYDRRPKSSYLHQTSPQPAMRQRSKSGSGLQEPMMPFG ASAFKTHPQGHSYNSYTYPRLSEPTMCIPKVDYDRAQMVFSPPLSGSDTYPRGPTKLPQS QSKAGYSSGSHQYPSGYHKASLYHHPSLQTSSQYISTASYLSSLSISSSTYPPPSWGSSS DQQPSRVSHEQFRAALQLVVSPGDPREYLDNFIKIGEGSTGIVCIATEKHTGKQVAVKKM DLRKQQRRELLFNEVVIMRDYHHDNVVDMYNSYLVGDELWVVMEFLEGGALTDIVTHTRM NEEQIATVCLSVLKALSYLHNQGVIHRDIKSDSILLTSDGRIKLSDFGFCAQVSKEVPKR KSLVGTPYWMAPEVISRLPYGTEVDIWSLGIMVIEMIDGEPPYFNEPPLQAMRRIRDSLP PRVKDLHKVSSMLRGFLDLMLVREPSQRATAQELLGHPFLKLAGPPSCIVPLMRQYRHH >ENSMUSP00000109453.2 pep:known chromosome:GRCm38:19:4611785:4615667:-1 gene:ENSMUSG00000045045.7 transcript:ENSMUST00000113822.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrfn4 description:leucine rich repeat and fibronectin type III domain containing 4 [Source:MGI Symbol;Acc:MGI:2385612] MAPPLLLLLLASGAAACPLPCVCQNLSESLSTLCAHRGLLFVPPNVDRRTVELRLADNFI QALGPPDFRNMTGLVDLTLSRNAITRIGARSFGDLESLRSLHLDGNRLVELGSSSLRGPV NLQHLILSGNQLGRIAPGAFDDFLDSLEDLDVSYNNLRQVPWAGIGSMPALHTLNLDHNL IDALPPGVFAQLSQLSRLDLTSNRLATLAPDPLFSRGRDAEASPSPLVLSFSGNPLHCNC ELLWLRRLARPDDLETCASPPTLAGRYFWAVPEGEFSCEPPLIARHTQRLWVLEGQRATL RCRALGDPVPTMHWVGPDDRLVGNSSRAWAFPNGTLEIGVTGAGDAGAYTCIATNPAGEA TARVELRVLALPHGGNTSAEGGRPGPSDIAASARTAAEGEGTLESEPAVQVTEVTATSGL VSWGLGRPADPVWMFQIQYNSSEDETLIYRIVPASSHHFLLKHLVPGADYDLCLLALSPA AGPSDLTATRLLGCAHFSTLPATPLCHALQAHVLGGTLTVAVGGVLVAALLVFTVALLVR GRGAGNGRLPLKLSHVQSQTNGGTSPMPKSHPPRSPPPRPQRSCSLDLGDTGGCYGYARR LGGAWARRSHSVHGGLLGAGCRGVGGSAERLEESVV >ENSMUSP00000050039.2 pep:known chromosome:GRCm38:19:4611792:4615502:-1 gene:ENSMUSG00000045045.7 transcript:ENSMUST00000053597.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrfn4 description:leucine rich repeat and fibronectin type III domain containing 4 [Source:MGI Symbol;Acc:MGI:2385612] MAPPLLLLLLASGAAACPLPCVCQNLSESLSTLCAHRGLLFVPPNVDRRTVELRLADNFI QALGPPDFRNMTGLVDLTLSRNAITRIGARSFGDLESLRSLHLDGNRLVELGSSSLRGPV NLQHLILSGNQLGRIAPGAFDDFLDSLEDLDVSYNNLRQVPWAGIGSMPALHTLNLDHNL IDALPPGVFAQLSQLSRLDLTSNRLATLAPDPLFSRGRDAEASPSPLVLSFSGNPLHCNC ELLWLRRLARPDDLETCASPPTLAGRYFWAVPEGEFSCEPPLIARHTQRLWVLEGQRATL RCRALGDPVPTMHWVGPDDRLVGNSSRAWAFPNGTLEIGVTGAGDAGAYTCIATNPAGEA TARVELRVLALPHGGNTSAEGGRPGPSDIAASARTAAEGEGTLESEPAVQVTEVTATSGL VSWGLGRPADPVWMFQIQYNSSEDETLIYRIVPASSHHFLLKHLVPGADYDLCLLALSPA AGPSDLTATRLLGCAHFSTLPATPLCHALQAHVLGGTLTVAVGGVLVAALLVFTVALLVR GRGAGNGRLPLKLSHVQSQTNGGTSPMPKSHPPRSPPPRPQRSCSLDLGDTGGCYGYARR LGGAWARRSHSVHGGLLGAGCRGVGGSAERLEESVV >ENSMUSP00000134112.1 pep:known chromosome:GRCm38:10:75771451:75780954:-1 gene:ENSMUSG00000092360.1 transcript:ENSMUST00000173512.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20441 description:predicted gene 20441 [Source:MGI Symbol;Acc:MGI:5141906] XLELYLDLMSQPCRAVYIFAKKNGIPFQLRTIELLKGQQYTDSFAQVNPLRKVPALKDGD FVLAESVAILLYLSRKYKAPDHWYPQDLQTRARVDEYLAWQHTALRSCCTRAMWQKMMFP VFLGQPVPPEMLASTLAELDGCLQVLEDKFLRNQAFLTGSHISVADLVAITELMHRVSVT IRPGMTLLMNKSTEPCAHLLVSSIGVVGTAEQNRTHSASFFKFLTEELSLDQDRIVIRFF PLEAWQIGKKGT >ENSMUSP00000050047.5 pep:known chromosome:GRCm38:4:136172394:136196057:1 gene:ENSMUSG00000018983.9 transcript:ENSMUST00000061721.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f2 description:E2F transcription factor 2 [Source:MGI Symbol;Acc:MGI:1096341] MLRAPRTLAPATAQPTKSLPALNPTELWPSGLSSPQLCPATTATTYYTSLYTQTVPSSVA LGTCLDATPHGPEGQIVRCAPAGRLPAKRKLDLEGIGRPTVPEFRTPKGKCIRVDGLPSP KTPKSPGEKTRYDTSLGLLTKKFIYLLSESEDGVLDLNWAAEVLDVQKRRIYDITNVLEG IQLIRKKSKNNIQWVGRELFEDPTRPSRQQQLGQELKELMNAEQTLDQLIQSCSLSFKHL TEDNANKKLAYVTYQDIRAVGNFKEQTVIAVKAPPQTRLEVPDRAEENLQIYLKSTQGPI EVYLCPEEGQEPDSPAKEALPSTSALSPIPDCAQPGCSTDSGIAETIEPSVLIPQPIPPP PPPPLPPAPSLVPLEATDNMLELSHPLLQQTEDQFLSPILAANSPLISFSPPLDQDEYLW GMDEGEGISDLFDSYDLGDLLIN >ENSMUSP00000057670.6 pep:known chromosome:GRCm38:1:95313623:95335284:1 gene:ENSMUSG00000051185.9 transcript:ENSMUST00000059975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam174a description:family with sequence similarity 174, member A [Source:MGI Symbol;Acc:MGI:1914948] MPTPRGCSGPCHFLAPAFVLLLLPALSGSGAVPSMVVREVQESKSPKPGPHTLSPLPPGP TAAQPRGQAQSDAAGLPGAESRNDSIPGAGSEADGLEGKAGEGSQGGSLAVSPSPGDKPM TQRALTVLVVVSAAVLVYFVVRTVRMRRRNRKTRRYGVLDTNIENMELTPLEQDDEDDDN TLFDANHPRR >ENSMUSP00000139943.1 pep:known chromosome:GRCm38:1:95313628:95327945:1 gene:ENSMUSG00000051185.9 transcript:ENSMUST00000186780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam174a description:family with sequence similarity 174, member A [Source:MGI Symbol;Acc:MGI:1914948] MPTPRGCSGPCHFLAPAFVLLLLPALSGSGAVPSMVVREVQESKSPKPGPHTLSPLPPGP TAAQPRGQAQSDAAGLPGAESRNDSIPGAGSEADGLEGKAGEGSQGGSLAVSPSPGDKPM TQRALTVLVVVSAAVLVYFVVRTVRMRRRNRKTRRYGVLDTNIENMELTPLEQDDEDDDN TLFDANHPRR >ENSMUSP00000043365.7 pep:known chromosome:GRCm38:7:130391493:130519530:-1 gene:ENSMUSG00000030850.16 transcript:ENSMUST00000035458.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ate1 description:arginyltransferase 1 [Source:MGI Symbol;Acc:MGI:1333870] MASWSAPSPSLVEYFEGQTSFQCGYCKNKLGSRSYGMWAHSMTVQDYQDLIDRGWRRSGK YVYKPVMDQTCCPQYTIRCHPLQFQPSKSHKKVLKKMLKFLAKGEISKGNCEDEPMDSTV EDAVDGDFALINKLDIKCDLKTLSDLKGSIESEEKEKEKSIKKEGSKEFIHPQSIEEKLG SGEPSHPIKVHIGPKPGKGADLSKPPCRKAREMRKERQRLKRMQQASAAASEAQGQPVCL LPKAKSNQPKSLEDLIFQSLPENASHKLEVRLVPASFEDPEFNSSFNQSFSLYTKYQVAI HQEAPEICEKSEFTRFLCSSPLEAEHPADGPECGYGSFHQQYWLDGKIIAVGVLDILPYC VSSVYLYYDPDYSFLSLGVYSALREIAFTRQLHEKTSQLSYYYMGFYIHSCPKMRYKGQY RPSDLLCPETYVWVPIEQCLPSLDNSKYCRFNQDPEAEDEGRSKELDRLRVFHRRSAMPY GVYKNHQEDPSEEAGVLEYANLVGQKCSERMLLFRH >ENSMUSP00000033139.7 pep:known chromosome:GRCm38:7:130391493:130519580:-1 gene:ENSMUSG00000030850.16 transcript:ENSMUST00000033139.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ate1 description:arginyltransferase 1 [Source:MGI Symbol;Acc:MGI:1333870] MASWSAPSPSLVEYFEGQTSFQCGYCKNKLGSRSYGMWAHSMTVQDYQDLIDRGWRRSGK YVYKPVMDQTCCPQYTIRCHPLQFQPSKSHKKVLKKMLKFLAKGEISKGNCEDEPMDSTV EDAVDGDFALINKLDIKCDLKTLSDLKGSIESEEKEKEKSIKKEGSKEFIHPQSIEEKLG SGEPSHPIKVHIGPKPGKGADLSKPPCRKAREMRKERQRLKRMQQASAAASEAQGQPVCL LPKAKSNQPKSLEDLIFQSLPENASHKLEVRVVRSSPPSPQFRATFQESYQVYKRYQMVV HKDPPDKPTVSQFTRFLCSSPLEAEHPADGPECGYGSFHQQYWLDGKIIAVGVLDILPYC VSSVYLYYDPDYSFLSLGVYSALREIAFTRQLHEKTSQLSYYYMGFYIHSCPKMRYKGQY RPSDLLCPETYVWVPIEQCLPSLDNSKYCRFNQDPEAEDEGRSKELDRLRVFHRRSAMPY GVYKNHQEDPSEEAGVLEYANLVGQKCSERMLLFRH >ENSMUSP00000147132.1 pep:known chromosome:GRCm38:7:130394527:130507497:-1 gene:ENSMUSG00000030850.16 transcript:ENSMUST00000207041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ate1 description:arginyltransferase 1 [Source:MGI Symbol;Acc:MGI:1333870] XSHKLEVRVVRSSPPSPQFRATFQESYQVYKRYQMVVHKDPPDKPTVSQAEHPADGPECG YGSFHQQYWLDGKIIAVGVLDILPYCVSSVYLYYDPDYSFLSLGVYSALREIAFTRQLHE KTSQLSYYYMGFYIHSCPKMRYKGQYRPSDLLCPETYVWVPIEQCLPSLDNSKYCRFNQD PEAEDEGRSKELDRLRVFHRRSAMPYGVYKNHQEDPSEEAGVLEYANLVGQKCSERMLLF RH >ENSMUSP00000136956.1 pep:known chromosome:GRCm38:7:130394558:130519961:-1 gene:ENSMUSG00000030850.16 transcript:ENSMUST00000178534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ate1 description:arginyltransferase 1 [Source:MGI Symbol;Acc:MGI:1333870] MASVVEYKGLKAGYYCGYCESREGKTSCGMWAHSMTVQDYQDLIDRGWRRSGKYVYKPVM DQTCCPQYTIRCHPLQFQPSKSHKKVLKKMLKFLAKGEISKGNCEDEPMDSTVEDAVDGD FALINKLDIKCDLKTLSDLKGSIESEEKEKEKSIKKEGSKEFIHPQSIEEKLGSGEPSHP IKVHIGPKPGKGADLSKPPCRKAREMRKERQRLKRMQQASAAASEAQGQPVCLLPKAKSN QPKSLEDLIFQSLPENASHKLEVRLVPASFEDPEFNSSFNQSFSLYTKYQVAIHQEAPEI CEKSEFTRFLCSSPLEAEHPADGPECGYGSFHQQYWLDGKIIAVGVLDILPYCVSSVYLY YDPDYSFLSLGVYSALREIAFTRQLHEKTSQLSYYYMGFYIHSCPKMRYKGQYRPSDLLC PETYVWVPIEQCLPSLDNSKYCRFNQDPEAEDEGRSKELDRLRVFHRRSAMPYGVYKNHQ EDPSEEAGVLEYANLVGQKCSERMLLFRH >ENSMUSP00000091556.3 pep:known chromosome:GRCm38:7:130394558:130520369:-1 gene:ENSMUSG00000030850.16 transcript:ENSMUST00000094017.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ate1 description:arginyltransferase 1 [Source:MGI Symbol;Acc:MGI:1333870] MASVVEYKGLKAGYYCGYCESREGKTSCGMWAHSMTVQDYQDLIDRGWRRSGKYVYKPVM DQTCCPQYTIRCHPLQFQPSKSHKKVLKKMLKFLAKGEISKGNCEDEPMDSTVEDAVDGD FALINKLDIKCDLKTLSDLKGSIESEEKEKEKSIKKEGSKEFIHPQSIEEKLGSGEPSHP IKVHIGPKPGKGADLSKPPCRKAREMRKERQRLKRMQQASAAASEAQGQPVCLLPKAKSN QPKSLEDLIFQSLPENASHKLEVRVVRSSPPSPQFRATFQESYQVYKRYQMVVHKDPPDK PTVSQFTRFLCSSPLEAEHPADGPECGYGSFHQQYWLDGKIIAVGVLDILPYCVSSVYLY YDPDYSFLSLGVYSALREIAFTRQLHEKTSQLSYYYMGFYIHSCPKMRYKGQYRPSDLLC PETYVWVPIEQCLPSLDNSKYCRFNQDPEAEDEGRSKELDRLRVFHRRSAMPYGVYKNHQ EDPSEEAGVLEYANLVGQKCSERMLLFRH >ENSMUSP00000147239.1 pep:known chromosome:GRCm38:7:130509138:130519510:-1 gene:ENSMUSG00000030850.16 transcript:ENSMUST00000207141.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ate1 description:arginyltransferase 1 [Source:MGI Symbol;Acc:MGI:1333870] MASWSAPSPSLVEYFEGQTSFQCGYCKNKLGSRSYEVGNMCTNLSWIKHAVLSIQ >ENSMUSP00000022698.7 pep:known chromosome:GRCm38:14:70774304:70778495:1 gene:ENSMUSG00000022102.13 transcript:ENSMUST00000022698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok2 description:docking protein 2 [Source:MGI Symbol;Acc:MGI:1332623] MVRMEEPAVKQGFLHLQQQQTFGKKWRRFAAVLYGESGCALARLELQDVPEKTRRGEATR KVVRLSDCLRVAEVGSEASSPRDTSAFILETKERLYLLAAPSAERSDWIQAICLLAFPGQ RKGSPGLEEKSGSPCMEENELYSSSTTGLCKEYMVTIRPTEASERCRLRGSYTLRTGVSA LELWGGPEPGTQLYDWPYRFLRRFGRDKATFSFEAGRRCLSGEGNFEFETRHGNEIFQAL EKVIAVQKNATPSGPPSLPATGPMMPTVLPRPESPYSRPHDSLPSPSPGTLVPGMRPGAP EGEYAVPFDTVAHSLRKSFRGLLTGPPPHLPDPLYDSIQEDPGAPLPDHIYDEPEGVAAL SLYDRTQRPSGETWREQATADGGPSSLQQDSSVPDWPQATEYDNVILKKGPK >ENSMUSP00000029598.5 pep:known chromosome:GRCm38:3:124400989:124426040:-1 gene:ENSMUSG00000027973.11 transcript:ENSMUST00000029598.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700006A11Rik description:RIKEN cDNA 1700006A11 gene [Source:MGI Symbol;Acc:MGI:1919074] MIMSNHQGTSGPHESENFVDVLNLPDAEKKTVNKKQPFITQVFHKASVPHQDQVCTSLPV TKRGILFGNDLSVVCDDGNLPKAILDMLSILNEKGAITEGIFRISGNMSACHALKERLDY GKKVNLKKECVLAVASVLKEFLHNIQGSVLTSRLYDIWLDVLDQENEEEKLAAVQSLLQR LPYPNVSLLRELFRMLHNIERNSAVNKMTAYSLSVCMAPCILCLPRSCNSGLANDISNEI SLVKFLIENSLKIFGESTVLRYDASSVSCPDVEKASGPLNNITNFDEMKDKEYQDNHCQS GMTCPTGNNSVPMSPTAPLHNEGTIASEKPVKRSFIKPMITCGSTTSHDNVCITPSPSAP NKGHLFGKSLTIICKDGNLPAPVLDMLSIIDAKGPDTERIFRTLANKPYLTLREKLDSAE EMNLREESVYIVASVLKEFIRNIPGSLLCSNLYGKWLAVLDQEFHDQKISAIQSLLIQMP LPNVLLLRHLLSVLHKIKGRSSITHMTAYALSVRIAPSMLWNPSLSNSGFGNDISQKKRL QKET >ENSMUSP00000143323.1 pep:known chromosome:GRCm38:3:124401224:124425991:-1 gene:ENSMUSG00000027973.11 transcript:ENSMUST00000198630.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700006A11Rik description:RIKEN cDNA 1700006A11 gene [Source:MGI Symbol;Acc:MGI:1919074] MIMSNHQGTSGPHESENFVDVLNLPGYALHSQRKRSNH >ENSMUSP00000018337.7 pep:known chromosome:GRCm38:1:143598800:143702893:-1 gene:ENSMUSG00000026361.8 transcript:ENSMUST00000018337.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc73 description:cell division cycle 73, Paf1/RNA polymerase II complex component [Source:MGI Symbol;Acc:MGI:2384876] MADVLSVLRQYNIQKKEIVVKGDEVIFGEFSWPKNVKTNYVVWGTGKEGQPREYYTLDSI LFLLNNVHLSHPVYVRRAATENIPVVRRPDRKDLLGYLNGEASTSASIDRSAPLEIGLQR STQVKRAADEVLAEAKKPRIEDEECVRLDKERLAARLEGHKEGIVQTEQIRSLSEAMSVE KIAAIKAKIMAKKRSTIKTDLDDDITALKQRSFVDAEVDVTRDIVSRERVWRTRTTILQS TGKNFSKNIFAILQSVKAREEGRAPEQRPAPNAAPVDPTLRTKQPIPAAYNRYDQERFKG KEETEGFKIDTMGTYHGMTLKSVTEGASARKTQTPAAQPVPRPVSQARPPPNQKKGSRTP IIIIPAATTSLITMLNAKDLLQDLKFVPSDEKKKQGCQRENETLIQRRKDQMQPGGTAIS VTVPYRVVDQPLKLMPQDWDRVVAVFVQGPAWQFKGWPWLLPDGSPVDIFAKIKAFHLKY DEVRLDPNVQKWDVTVLELSYHKRHLDRPVFLRFWETLDRYMVKHKSHLRF >ENSMUSP00000139872.1 pep:known chromosome:GRCm38:1:143691315:143702200:-1 gene:ENSMUSG00000026361.8 transcript:ENSMUST00000159794.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdc73 description:cell division cycle 73, Paf1/RNA polymerase II complex component [Source:MGI Symbol;Acc:MGI:2384876] SVYRLSAPCGAGARLPGRRCRRTAIDRSICRPPSRFLFLGFFFFCNLPEPAMCCNCLLWL LERLGNFLFFLFS >ENSMUSP00000073950.5 pep:known chromosome:GRCm38:8:21618183:21618972:1 gene:ENSMUSG00000060070.5 transcript:ENSMUST00000074343.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa26 description:defensin, alpha, 26 [Source:MGI Symbol;Acc:MGI:3630390] MKTLVLLSALFLLAFQVQADPIQNTDEETNTEVQPQEEDQAVSVSFGNPEGSDLQEESLR DLGCYCRKRGCTRRERINGTCRKGHLMYTLCCL >ENSMUSP00000139978.1 pep:known chromosome:GRCm38:12:116485729:117276849:1 gene:ENSMUSG00000056553.14 transcript:ENSMUST00000190247.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptprn2 description:protein tyrosine phosphatase, receptor type, N polypeptide 2 [Source:MGI Symbol;Acc:MGI:107418] MGPPLPLLLLLLLPPPLPRALPAPASARGRQLPGRLGCLFEDGLCGSLETCVNDGVFGRC QKVPVMDTYRYEVPPGALLHLKVTLQKLSRTGFTWQDDYTQRVIAQELANLPKAYLWHGE ASGPARSLQQNADNEKWFSLEREVALAKTLRRYLPYLELLSQTPTANAHSRIDHETRPAK GEDSSPENILTYVAHTSALTYPPATRAKYPDNLLRPFSRLQPDELSPKVDGDIDKQKLIA ALGAYTAQRLPGENDPEPRYLVHGSARAPRPFSATALSQRWPPPPGDAKDSPSMDDDTLL QSLLKDLQQNSEVDRLGPLKEEKADSVAGAIQSDPAEGSQESHGRGAEGQPREQTDAPET MLQDHRLSEVDDPVYKEVNRLSFQLGDLLKDYGSPLLPEGPLLEKSSREEIKKSEQPEEV LSSEEETAGVEHVRSRTYSKDLFERKPNSEPQPRRLEDQFQNRAPELWEDEESLKLAAQG PPSGGLQLEVQPSEEQQGYILTGNNPLSPEKGKQLMDQVAHILRVPSSFFADIKVLGPAV TFKVSANIQNMTTADVIKAAADNKDQLEKATGLTILQSGIRPKGKLKLLPHQEEQEDSTK FILLTFLSIACILGVLLASSLAYCLRHNSHYKLKDKLSGLGADPSADATEAYQELCRQRM AIRPQDRSEGPHTSRINSVSSQFSDGPMPSPSARSSTSSWSEEPVQSNMDISTGHMILAY MEDHLKNKNRLEKEWEALCAYQAEPNSSLVAQREENAPKNRSLAVLTYDHSRILLKSQNS HGSSDYINASPIMDHDPRNPAYIATQGPLPATVADFWQMVWESGCAVIVMLTPLSENGVR QCHHYWPDEGSNLYHVYEVNLVSEHIWCQDFLVRSFYLKNLQTNETRTVTQFHFLSWYDQ GVPSSTRSLLDFRRKVNKCYRGRSCPIIVHCSPT >ENSMUSP00000064046.7 pep:known chromosome:GRCm38:12:116485833:117276793:1 gene:ENSMUSG00000056553.14 transcript:ENSMUST00000070733.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprn2 description:protein tyrosine phosphatase, receptor type, N polypeptide 2 [Source:MGI Symbol;Acc:MGI:107418] MGPPLPLLLLLLLPPPLPRALPAPASARGRQLPGRLGCLFEDGLCGSLETCVNDGVFGRC QKVPVMDTYRYEVPPGALLHLKVTLQKLSRTGFTWQDDYTQRVIAQELANLPKAYLWHGE ASGPARSLQQNADNEKWFSLEREVALAKTLRRYLPYLELLSQTPTANAHSRIDHETRPAK GEDSSPENILTYVAHTSALTYPPATRAKYPDNLLRPFSRLQPDELSPKVDGDIDKQKLIA ALGAYTAQRLPGENDPEPRYLVHGSARAPRPFSATALSQRWPPPPGDAKDSPSMDDDTLL QSLLKDLQQNSEVDRLGPLKEEKADSVAGAIQSDPAEGSQESHGRGAEGQPREQTDAPET MLQDHRLSEVDDPVYKEVNRLSFQLGDLLKDYGSPLLPEGPLLEKSSREEIKKSEQPEEV LSSEEETAGVEHVRSRTYSKDLFERKPNSEPQPRRLEDQFQNRAPELWEDEESLKLAAQG PPSGGLQLEVQPSEEQQGYILTGNNPLSPEKGKQLMDQVAHILRVPSSFFADIKVLGPAV TFKVSANIQNMTTADVIKAAADNKDQLEKATGLTILQSGIRPKGKLKLLPHQEEQEDSTK FILLTFLSIACILGVLLASSLAYCLRHNSHYKLKDKLSGLGADPSADATEAYQELCRQRM AIRPQDRSEGPHTSRINSVSSQFSDGPMPSPSARSSTSSWSEEPVQSNMDISTGHMILAY MEDHLKNKNRLEKEWEALCAYQAEPNSSLVAQREENAPKNRSLAVLTYDHSRILLKSQNS HGSSDYINASPIMDHDPRNPAYIATQGPLPATVADFWQMVWESGCAVIVMLTPLSENGVR QCHHYWPDEGSNLYHVYEVNLVSEHIWCQDFLVRSFYLKNLQTNETRTVTQFHFLSWYDQ GVPSSTRSLLDFRRKVNKCYRGRSCPIIVHCSDGAGRSGTYVLIDMVLNKMAKGAKEIDI AATLEHLRDQRPGMVQTKEQFEFALTAVAEEVNAILKALPQ >ENSMUSP00000113578.1 pep:known chromosome:GRCm38:3:90072649:90092130:1 gene:ENSMUSG00000027940.18 transcript:ENSMUST00000121503.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm3 description:tropomyosin 3, gamma [Source:MGI Symbol;Acc:MGI:1890149] MEAIKKKMQMLKLDKENVLDRAEQAEAEQKQAEERSKQLEDELATMQKKLKGTEDELDKY SEALKDAQEKLELAEKKAADAEAEVASLNRRIQLVEEELDRAQERLATALQKLEEAEKAA DESERGMKVIENRALKDEEKMELQEIQLKEAKHIAEEADRKYEEVARKLVIIEGDLERTE ERAELAESKCSELEEELKNVTNNLKSLEAQAEKYSQKEDKYEEEIKILTDKLKEAETRAE FAERSVAKLEKTIDDLEDELYAQKLKYKAISDELDHALNDMTSI >ENSMUSP00000113978.1 pep:known chromosome:GRCm38:3:90072651:90100902:1 gene:ENSMUSG00000027940.18 transcript:ENSMUST00000119570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm3 description:tropomyosin 3, gamma [Source:MGI Symbol;Acc:MGI:1890149] MMEAIKKKMQMLKLDKENVLDRAEQAEAEQKQAEERSKQLEDELATMQKKLKGTEDELDK YSEALKDAQEKLELAEKKAADAEAEVASLNRRIQLVEEELDRAQERLATALQKLEEAEKA ADESERGMKVIENRALKDEEKMELQEIQLKEAKHIAEEADRKYEEVARKLVIIEGDLERT EERAELAESRCREMDEQIRLMDQNLKCLSAAEEKYSQKEDKYEEEIKILTDKLKEAETRA EFAERSVAKLEKTIDDLEDKLKCTKEEHLCTQRMLDQTLLDLNEM >ENSMUSP00000029549.8 pep:known chromosome:GRCm38:3:90079540:90100901:1 gene:ENSMUSG00000027940.18 transcript:ENSMUST00000029549.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm3 description:tropomyosin 3, gamma [Source:MGI Symbol;Acc:MGI:1890149] MAGTTTIEAVKRKIQVLQQQADDAEERAERLQREVEGERRAREQAEAEVASLNRRIQLVE EELDRAQERLATALQKLEEAEKAADESERGMKVIENRALKDEEKMELQEIQLKEAKHIAE EADRKYEEVARKLVIIEGDLERTEERAELAESRCREMDEQIRLMDQNLKCLSAAEEKYSQ KEDKYEEEIKILTDKLKEAETRAEFAERSVAKLEKTIDDLEDKLKCTKEEHLCTQRMLDQ TLLDLNEM >ENSMUSP00000113056.1 pep:known chromosome:GRCm38:3:90079654:90100896:1 gene:ENSMUSG00000027940.18 transcript:ENSMUST00000118566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm3 description:tropomyosin 3, gamma [Source:MGI Symbol;Acc:MGI:1890149] MAGTTTIEAVKRKIQVLQQQADDAEERAERLQREVEGERRAREQAEAEVASLNRRIQLVE EELDRAQERLATALQKLEEAEKAADESERGMKVIENRALKDEEKMELQEIQLKEAKHIAE EADRKYEEVARKLVIIEGDLERTEERAELAESKCSELEEELKNVTNNLKSLEAQAEKYSQ KEDKYEEEIKILTDKLKEAETRAEFAERSVAKLEKTIDDLEDELYAQKLKYKAISDELDH ALNDMTSI >ENSMUSP00000113219.1 pep:known chromosome:GRCm38:3:90079715:90098783:1 gene:ENSMUSG00000027940.18 transcript:ENSMUST00000119158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm3 description:tropomyosin 3, gamma [Source:MGI Symbol;Acc:MGI:1890149] MAGTTTIEAVKRKIQVLQQQADDAEERAERLQREVEGERRAREQAEAEVASLNRRIQLVE EELDRAQERLATALQKLEEAEKAADESERGMKVIENRALKDEEKMELQEIQLKEAKHIAE EADRKYEEVARKLVIIEGDLERTEERAELAESKCSELEEELKNVTNNLKSLEAQAEKYSQ KEDKYEEEIKILTDKLKEAETRAEFAERSVAKLEKTIDDLEERLYSQLERNRLLSNELKL TLHGLCD >ENSMUSP00000114229.1 pep:known chromosome:GRCm38:3:90079891:90089077:1 gene:ENSMUSG00000027940.18 transcript:ENSMUST00000149432.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm3 description:tropomyosin 3, gamma [Source:MGI Symbol;Acc:MGI:1890149] MKVIENRALKDEEKMELQEIQLKEAKHIAEEADRKYEEVARKLVIIEGDLERTEERAELA ESKCSELEEEL >ENSMUSP00000115565.1 pep:known chromosome:GRCm38:3:90080442:90087485:1 gene:ENSMUSG00000027940.18 transcript:ENSMUST00000127955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm3 description:tropomyosin 3, gamma [Source:MGI Symbol;Acc:MGI:1890149] MKVIENRAL >ENSMUSP00000113678.1 pep:known chromosome:GRCm38:7:27187007:27196245:-1 gene:ENSMUSG00000061479.15 transcript:ENSMUST00000122202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpa description:small nuclear ribonucleoprotein polypeptide A [Source:MGI Symbol;Acc:MGI:1855690] MATIATMPVPETRANHTIYINNLNEKIKKDELKKSLYAIFSQFGQILDILVSRIMKMRGQ AFVIFKEVTSATNALRSMQGFPFYDKPMRIQYAKTDSDIIAKMKGTYVERDRKREKRKPK SQETPAAKKAVQGGAAAPVVGAVQPVPGMPPMPQAPRIMHHMPGQPPYMPPPGMIPPPGL APGQIPPGAMPPQQLMPGQMPPAQPLSENPPNHILFLTNLPEETNELMLSMLFNQFPGFK EVRLVPGRHDIAFVEFDNEVQAGAARDALQGFKITQNNAMKISFAKK >ENSMUSP00000079228.3 pep:known chromosome:GRCm38:7:27187007:27196271:-1 gene:ENSMUSG00000061479.15 transcript:ENSMUST00000080356.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpa description:small nuclear ribonucleoprotein polypeptide A [Source:MGI Symbol;Acc:MGI:1855690] MATIATMPVPETRANHTIYINNLNEKIKKDELKKSLYAIFSQFGQILDILVSRIMKMRGQ AFVIFKEVTSATNALRSMQGFPFYDKPMRIQYAKTDSDIIAKMKGTYVERDRKREKRKPK SQETPAAKKAVQGGAAAPVVGAVQPVPGMPPMPQAPRIMHHMPGQPPYMPPPGMIPPPGL APGQIPPGAMPPQQLMPGQMPPAQPLSENPPNHILFLTNLPEETNELMLSMLFNQFPGFK EVRLVPGRHDIAFVEFDNEVQAGAARDALQGFKITQNNAMKISFAKK >ENSMUSP00000115335.1 pep:known chromosome:GRCm38:7:27187327:27195760:-1 gene:ENSMUSG00000061479.15 transcript:ENSMUST00000126211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpa description:small nuclear ribonucleoprotein polypeptide A [Source:MGI Symbol;Acc:MGI:1855690] MATIATMPVPETRANHTIYINNLNEKIKKDELKKSLYAIFSQFGQILDILVSRIMKMRGQ AFVIFKEVTSATNALRSMQGFPFYDKPMRIQYAKTDSDIIAKMKGTYVERDRKREKRKPK SQETPAAKKAVQGGAAAPVVGAVQPVPGMPPMPQAPRIMHHMPGQPPYMPPPGMIPPPGL APGQIPPGAMPPQQLMPGQMPPAQPLSENPPNHILFLTNLPEETNELMLSMLFNQFPGFK EVRLVPG >ENSMUSP00000119818.1 pep:known chromosome:GRCm38:7:27191714:27196024:-1 gene:ENSMUSG00000061479.15 transcript:ENSMUST00000141378.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snrpa description:small nuclear ribonucleoprotein polypeptide A [Source:MGI Symbol;Acc:MGI:1855690] MATIATMPVPETRANHTIYINNLNEKIKKDAVEFLRSYSGREGL >ENSMUSP00000131897.2 pep:known chromosome:GRCm38:7:27187007:27195760:-1 gene:ENSMUSG00000061479.15 transcript:ENSMUST00000163311.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpa description:small nuclear ribonucleoprotein polypeptide A [Source:MGI Symbol;Acc:MGI:1855690] MATIATMPVPETRANHTIYINNLNEKIKKDELKKSLYAIFSQFGQILDILVSRIMKMRGQ AFVIFKEVTSATNALRSMQGFPFYDKPMRIQYAKTDSDIIAKMKGTYVERDRKREKRKPK SQETPAAKKAVQGGAAAPVVGAVQPVPGMPPMPQAPRIMHHMPGQPPYMPPPGMIPPPGL APGQIPPGAMPPQQLMPGQMPPAQPLSENPPNHILFLTNLPEETNELMLSMLFNQFPGFK EVRLVPGRHDIAFVEFDNEVQAGAARDALQGFKITQNNAMKISFAKK >ENSMUSP00000078485.5 pep:known chromosome:GRCm38:8:21655780:21656735:1 gene:ENSMUSG00000060208.5 transcript:ENSMUST00000079528.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa17 description:defensin, alpha, 17 [Source:MGI Symbol;Acc:MGI:1345152] MKTLVLLSALVLLAFQVQADPIQNTDEETKTEEQPGEDDQAVSVSFGDPEGSSLQEESLR DLVCYCRKRGCKRRERMNGTCRKGHLMYTLCCR >ENSMUSP00000105949.2 pep:known chromosome:GRCm38:2:128967402:129018563:1 gene:ENSMUSG00000042851.17 transcript:ENSMUST00000110320.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h6 description:zinc finger CCCH type containing 6 [Source:MGI Symbol;Acc:MGI:1926001] MTDSEHAGHDREDGELEDGEIDDAGFEETQDQEAKENEKQKNEKAYRKSRKKHKKEREKK KSKRRKHEKHKHNSPSGDDSSDYSLDSDVERMQSSRKKRTSSYRDYDVPFSQHRRISGSY MTSKKSQHNKKTNSKEYAESSFYSDDYFGNYSDDNFGNYSNQEGEEDFSSQLKYYRQSQE SSGSSFSKESGKKLRSKGSPPGTEYRIKSFDVSHGHLLPKKIRRKEHCGARVIKGPYVFS GMDDFQEYSKPGKKWKVMTQEFINQHTVEHKGKQICKYFLEGRCIKGDHCKFNHDAELEK KKEVCKYYLQGYCTKGENCIYMHSEFPCKFYHSGAKCYQGDKCKFSHDDLTKETRKLLDK VLNADEELVNEDERELEELRKRGITPLPKPPPGVGLLPTPSEHFPFSDPEDDFETDLSDD MKKIPSLFEIVVKPTVDLAHKIGKKPPAFYNSTSPPGPQFEESSHCPQRMYSSESSPGPG SKVPQGCESPVRHPGSPGHHPCVGPPGPPMQENPSLLPSSSEIVGPHSQAGGLVQLDTLP SMGGAYHSPGFPGHSVKVPRESHSSPASLYQQMPSEMQRSADSESMQGSAEFYDDYYPQH AAHNFQPPDNSADEMWHEEFAQQQPPIARDTAHLGSGPNSSSRMTSHCPLSASGLPPAVQ RALFIPLTQRYQEDEEPAGTQPHRASSKEEDDTANWYSSSEEEEGSGVKSILRTLQKQTG TLRNQQLPPTELSVPTDPRLAKEKRKRNQVVDPRLRTVPRQDIKKPHESVPVDLRLVWDP KKLRGNGGAPGGSSARGAEFDLRHTNAGANHKSKRREDDDEDSERELREKAFLIPLDSSP GIVLQDPRSQLRQFSHIKMDIILNKPNFAKHIVWAPEDLLPVPLPKPDPVSSINLPLPPL IADQRLNRLWNTKSDHQGALSLDPTSAAKAKLSLTHREGCLEQSGDLHSSGGKLGDPRLQ KNFDPRLHRLPNTESHQVTAKDSHSSRSALPLARWNPALSQSSTAAPINVASVTPPLYAP KLSSEGLPPGTSSSVLSGISLYDPRDKGSLSAMELSTISSGENTESQKKSGLKNSDKNQP SPGEVIVPQNTTANLEVPVDGPVDMQTDILRSADKVQVPAVHSLPIQALTGLLRPPYSDP RQAREPGQASPTPDEETDDKPLKEVFKTFDPTASPFC >ENSMUSP00000105948.2 pep:known chromosome:GRCm38:2:128967409:128994130:1 gene:ENSMUSG00000042851.17 transcript:ENSMUST00000110319.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h6 description:zinc finger CCCH type containing 6 [Source:MGI Symbol;Acc:MGI:1926001] MTDSEHAGHDREDGELEDGEIDDAGFEETQDQEAKENEKQKNEKAYRKSRKKHKKEREKK KSKRRKHEKHKHNSPSGDDSSDYSLDSDVERMQSSRKKRTSSYRDYDVPFSQNSAITVVH LQNLIHLTQKFCTSEADLLRSPLTAVLTFCL >ENSMUSP00000009354.3 pep:known chromosome:GRCm38:11:106365472:106377114:1 gene:ENSMUSG00000009210.10 transcript:ENSMUST00000009354.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr29 description:proline rich 29 [Source:MGI Symbol;Acc:MGI:1922823] MMLQNAQMHQLLLGQLVADALNPGPEWPSPPVHTDSHEEQMEEEMEMQEQEPLVYHHHYL PCPVTPLGPMSLWPASFLPVPPHQPPWQGEPRIQHQPPASRQEEVRDVPPPPPPSATGTV GADVPPASDYYDAESLP >ENSMUSP00000102429.1 pep:known chromosome:GRCm38:11:106374826:106377096:1 gene:ENSMUSG00000009210.10 transcript:ENSMUST00000106816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr29 description:proline rich 29 [Source:MGI Symbol;Acc:MGI:1922823] MASLGSGNWSGVPTQSTAPMPWVTILQPFPWTVPSSQPQHNRVKEDLLELMMLQNAQMHQ LLLGQLVADALNPGPEWPSPPVHTDSHEEQMEEEMEMQEQEPLVYHHHYLPCPVTPLGPM SLWPASFLPVPPHQPPWQGEPRIQHQPPASRQEEVRDVPPPPPPSATGTVGADVPPASDY YDAESLP >ENSMUSP00000140194.1 pep:known chromosome:GRCm38:11:106374845:106377157:1 gene:ENSMUSG00000009210.10 transcript:ENSMUST00000188561.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr29 description:proline rich 29 [Source:MGI Symbol;Acc:MGI:1922823] MASLGSGNWSGVPTQSTAPMPWVTILQPFPWTVPSSQPQHNRVKEDLLELMMLQNAQMHQ LLLGQLVADALNPGPEWPSPPERCAPTPTPQCNGDCWCRCTSSFRLL >ENSMUSP00000140541.1 pep:known chromosome:GRCm38:11:106374845:106377242:1 gene:ENSMUSG00000009210.10 transcript:ENSMUST00000190795.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr29 description:proline rich 29 [Source:MGI Symbol;Acc:MGI:1922823] MMLQNAQMHQLLLGQLVADALNPGPEWPSPPVHTDSHEEQMEEEMEMQEQEPLVYHHHYL PCPVTPLGPMSLWPASFLPVPPHQPPWQGEPRIQHQPPASRQEEVRDVPPPPPPSATGTV GADVPPASDYYDAESLP >ENSMUSP00000140365.1 pep:known chromosome:GRCm38:11:106374845:106377348:1 gene:ENSMUSG00000009210.10 transcript:ENSMUST00000185986.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr29 description:proline rich 29 [Source:MGI Symbol;Acc:MGI:1922823] MASLGSGNWSGVPTQSTAPMPFPWTVPSSQPQHNRVKEDLLELMMLQNAQMHQLLLGQLV ADALNPGPEWPSPPVHTDSHEEQMEEEMEMQEQEPLVYHHHYLPCPVTPLGPMSLWPASF LPVPPHQPPWQGEPRIQHQPPASRQEEVRDVPPPPPPSATGTVGADVPPASDYYDAESLP >ENSMUSP00000139960.1 pep:known chromosome:GRCm38:11:106374845:106377558:1 gene:ENSMUSG00000009210.10 transcript:ENSMUST00000190268.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr29 description:proline rich 29 [Source:MGI Symbol;Acc:MGI:1922823] MASLGSGNWSGVPTQSTAPMPWVTILQPFPWTVPSSQPQHNRVKEDLLELMMLQNAQMHQ LLLGQLVADALNPGPEWPSPPVHTDSHEEQMEEEMEMQEQEPLVYHHHYLPCPVTPLGPM SLWPASFLPVPPHQPPWQGEPRIQHQPPASRQEETTMMRRACHEDRCTPGLVTFCTVMPN PGATLHPCWPFLPTLRPQPQALIRLSTAILCWLFWSKGSFKPNHDPEATQNQLILCFPIR P >ENSMUSP00000134457.1 pep:known chromosome:GRCm38:4:115915119:115925638:-1 gene:ENSMUSG00000028707.15 transcript:ENSMUST00000064806.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmbx1 description:diencephalon/mesencephalon homeobox 1 [Source:MGI Symbol;Acc:MGI:2153518] MQHYGVNGYSLHAMNSLSAMYNLHQQAAQQAQHAPDYRPSVHALTLAERLADIILEARYG SQHRKQRRSRTAFTAQQLEALEKTFQKTHYPDVVMRERLAMCTNLPEARVQVWFKNRRAK FRKKQRSLQKEQLQKQKEAEGSHGEGKVEAPASDTQLETEQPPGLPSGDPPAELQLSLSE QSASESAPEDQLDREEDSRAEEPKAEKSPGSESKVPGCKRGSPKADSPGSLAITPAAPGG GLLGPSHSYSSSPLSLFRLQEQFRQHMAATNNLMHYSSFEVGGPAPAAAAAAAAAVPYLG VNMAPLSSLHCQSYYQSLSAAAAAHQGVWGSPLLPAPPTGLAPASAALNSKTTSIENLRL RAKQHAASLGLDTLPN >ENSMUSP00000120320.2 pep:known chromosome:GRCm38:4:115915119:115939926:-1 gene:ENSMUSG00000028707.15 transcript:ENSMUST00000124071.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmbx1 description:diencephalon/mesencephalon homeobox 1 [Source:MGI Symbol;Acc:MGI:2153518] MQHYGVNGYSLHAMNSLSAMYNLHQQAAQQAQHAPDYRPSVHALTLAERLADIILEARYG SQHRKQRRSRTAFTAQQLEALEKTFQKTHYPDVVMRERLAMCTNLPEARVQVWFKNRRAK FRKKQRSLQKEQLQKQKEAEGSHGEGKVEAPASDTQLETEQPPGLPSGDPPAELQLSLSE QSASESAPEDQLDREEDSRAEEPKAEKSPGSESKVPGCKRGSPKADSPGSLAITPAAPGG GLLGPSHSYSSSPLSLFRLQEQFRQHMAATNNLMHYSSFEVGGPAPAAAAAAAAAVPYLG VNMAPLSSLHCQSYYQSLSAAAAAHQGVWGSPLLPAPPTGLAPASAALNSKTTSIENLRL RAKQHAASLGLDTLPN >ENSMUSP00000081366.6 pep:known chromosome:GRCm38:4:115915120:115939926:-1 gene:ENSMUSG00000028707.15 transcript:ENSMUST00000084338.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmbx1 description:diencephalon/mesencephalon homeobox 1 [Source:MGI Symbol;Acc:MGI:2153518] MQHYGVNGYSLHAMNSLSAMYNLHQQAAQQAQHAPDYRPSVHALTLAERLAGCTFQDIIL EARYGSQHRKQRRSRTAFTAQQLEALEKTFQKTHYPDVVMRERLAMCTNLPEARVQVWFK NRRAKFRKKQRSLQKEQLQKQKEAEGSHGEGKVEAPASDTQLETEQPPGLPSGDPPAELQ LSLSEQSASESAPEDQLDREEDSRAEEPKAEKSPGSESKVPGCKRGSPKADSPGSLAITP AAPGGGLLGPSHSYSSSPLSLFRLQEQFRQHMAATNNLMHYSSFEVGGPAPAAAAAAAAA VPYLGVNMAPLSSLHCQSYYQSLSAAAAAHQGVWGSPLLPAPPTGLAPASAALNSKTTSI ENLRLRAKQHAASLGLDTLPN >ENSMUSP00000001872.4 pep:known chromosome:GRCm38:2:74668310:74671599:1 gene:ENSMUSG00000001819.4 transcript:ENSMUST00000001872.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd13 description:homeobox D13 [Source:MGI Symbol;Acc:MGI:96205] MSRSGTWDMDGLRADGGAAGAAPASSSSSVAAPGQCRGFLSAPVFAGTHSGRAAAAAAAA AAAAAAASSFAYPGTSERTGSSSSSSSSAVIATRPEAPVAKECPAPAAAATAAAPPGAPA LGYGYHFGNGYYSCRMSHGVGLQQNALKSSPHASLGGFPVEKYMDVSGLASSSVPTNEVP ARAKEVSFYQGYTSPYQHVPGYIDMVSTFGSGEPRHEAYISMEGYQSWTLANGWNSQVYC AKDQPQGSHFWKSSFPGDVALNQPDMCVYRRGRKKRVPYTKLQLKELENEYAINKFINKD KRRRISAATNLSERQVTIWFQNRRVKDKKIVSKLKDTVS >ENSMUSP00000136668.1 pep:known chromosome:GRCm38:9:35645117:35648202:-1 gene:ENSMUSG00000094995.1 transcript:ENSMUST00000178236.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17365 description:predicted gene, 17365 [Source:MGI Symbol;Acc:MGI:4936999] MNKHFLLLFSLFYFIVEATSLKCVTCHLRTQSDHCRRGFGVCLAQKHEICMSLRIYFSGS LQLSYMVCQRFCKNLTYIFNNRTYTHKCCNSDFCNFRL >ENSMUSP00000042070.5 pep:known chromosome:GRCm38:1:127868773:127943868:1 gene:ENSMUSG00000036104.11 transcript:ENSMUST00000037649.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3gap1 description:RAB3 GTPase activating protein subunit 1 [Source:MGI Symbol;Acc:MGI:2445001] MAADSEPESEVFEITDFTTASEWERFISKVEEVLNDWKLIGPSLGKPLEKGIFTSGTWEE RSDEISFADFRFSVTHHYLVQESPDKERKDEELEDAIPQSMQDLLCMNNDFPPRAHCLVR WYGLREFVVIAPAAHSDAVLSESKCNLLLSSISIALGNTGCQVPLFVQIHHKWRRMYMGE CQGPGVRTDFEMVHLRKVPSQYTHLSGLLDIFKSKIGCPLTPLPPVSIAIRLTYVLQDWQ QYFWPQQPPDIDALVGGEVGGLEFGKLPFGACEDPISELHLATTWPHLTEGIIVDNDVYS DLDPVQAPHWSVRVRKADNPQCLLGDFVTEFLKICRRKESTDEILGRSTFEEEGREVADI THALSKLTEPAPVPIHKLSVSNMVHTAKKKIRKHRGEESPLNSDVLNTILLFLFPDAVSE KPLDGTTSIDNSIPAPEAGDYTLYNQFKSAPSDSLTYKLALCLCMINFYHGGLKGVAHLW QEFVLEMRFRWENNFLIPGLASGSPDLRCCLLHQKLQMLNCCIERKKARDEGKKTSLSDS TTSAYPGDAGKTGGQLGLDHLRDTEKEKGEVGKSWDSWSDSEEEFFECLSDTEDLKGNGQ ESGKKGGPKEMANLKPEGRLHQHGKLTLLHNGEPLYIPVTQEPAPMTEDLLEEQSEVLAK LGTSAEGAHLRARMQSACLLSDMESFKAANPGCFLEDFVRWYSPRDYIEEEVTDEKGNVV LKGELSARMKIPSNMWVEAWETAKPVPARRQRRLFDDTREAEKVLHYLAMQKPADLARHL LPCVIHAAVLKVKEEESLENIPSVKKIIKQIIAHSSKVLHFPNPEDKKLEEIILQITTVE AIIARARSLKAKFGTEKCEHEEEKEGLERFVSCLLEQPEVSVTGAGRGHAGRIIHKLFVN AQRAAAVALPEEELKKSGCPEERRQTLVSDFPPPAGRELILRATVPRPAPYSKALPQRMY SVLTKEDFRLAGAFSSDTSFF >ENSMUSP00000097947.3 pep:known chromosome:GRCm38:11:102665588:102682237:1 gene:ENSMUSG00000051455.13 transcript:ENSMUST00000100378.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meioc description:meiosis specific with coiled-coil domain [Source:MGI Symbol;Acc:MGI:2686410] MEVSGGDTCRPRHPQGLREGPEPKVAAAAAAFRGSANRCWNLSVDTSNRLSDVFNSMMLT GSAPFYDCYKSQNEDNVDLRQTCTPLSSSTEYASSIDSSLFYAPWSTYGDDIKQPPSSQI SVKNRIQTERNDYGSETDLYGLVSNILEEQDKSQPYFAEGTCSSNLKSVWPMNTSRFVDH HDLLTEPKRPVDTSISQQAFYSGESVSAVEKQYLHNSSLTPQQKIDELYHGYTGLDLEEQ WLYLSRSDHSNCYNSQANDTVKATFQEYPFVKNCFTPQTGLSDIMKESGIDTYAYGREKI CTKGLETPLQHKRAEIFLSQFNRYNENADYCRYPEYAHPNKAKLNKCSNFSVQDGKKLAN GTPETPTVEADAYTKLFQVKPANQKKMEETIPDQQNFAFPKTTPHLTEKQFAKEAAFTAD FGLKSEYGLKPHTACPTNNDFANVSEKQQFAKPDPLNSEYFKSVNLFSNSATSSGGISLN RPTWMNVQTKNNLPIPYRNQGNLMKLNSHLSAASKGSNHSSDFPQLSSTNLTSNSNLFQK YCQENPSAFSSFDFSYNGAERIQSVNHMEGLTKTGEDNLFESVTEKKIKQPNGFCDSYSA SQYGIIENVNKHNFQAKPQSGHYDPEDIPKHFDGLPQNTYQDLLESQGHFNSHRQGSGDN NINSRVNRTQASCFSNNYMMGDLRHNQGFQQLGSNGFPLRSTHPFGHSVVPLLDSYDLFS YDDLSHLYPYFNDMMYGDNSFSGFVPTFGFQRPIKTRSGPASELHIRLEECYEQWRALEK ERKKTELALAKNYPGKKVSSTNNTPIPRLTSNPSRVDRLIVDELREQARVVTLLGKMERL RSSPLHANISTALDRHLESIHIVQSRRKDEIVNASNRQRQGVPRCQDDRDVFALATAIKE MCVATRKARTTLWCALQMTLPKTASTAGQADMEKAFQDLVNCEEKVHESINSSNPMNQRG ETSKH >ENSMUSP00000116246.1 pep:known chromosome:GRCm38:11:102663716:102675308:1 gene:ENSMUSG00000051455.13 transcript:ENSMUST00000156590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meioc description:meiosis specific with coiled-coil domain [Source:MGI Symbol;Acc:MGI:2686410] MMLTGSAPFYDCYKSQNEDNVDLRQTCTPLSSSTEYASSIDSSLFYAPWSTYGDDIKQPP SSQISVKNRIQTERNDYGSETDLYGLVSNILEEQDKSQPYFAEGTCSSNLKSVWPMNTSR FVDHHDLLTEPKRPVDTSISQQAFYSGESVSAVEKQYLHNSSLTPQQKIDELYHGYTGLD LEEQWLYLSRSDHSNCYNSQANDTVKATFQEYPFVKNCFTPQTGLSDIMKESGIDTYAYG REKICTKGLETPLQHKRAEIFLSQFNRYNENADYCRYPEYAHPNKAKLNKCSNFSVQDGK KLANGTPETPTVEADAYTKLFQVKPANQKKMEETIPDQQNFAFPKTTPHLTEKQFAKEAA FTADFGLKSEYGLKPHTACPTNNDFANVSEKQQFAKPDPLNSEYFKSVNLFSNSATSSGG ISLNRPTWMNVQTKNNLPIPYRNQGNLMKLNSHLSAASKGSNHSSDFPQLSSTNLTSNSN LFQKYCQENPSAFSSFDFSYNGAERIQSVNHMEGLTKTGEDNLFESV >ENSMUSP00000091952.1 pep:known chromosome:GRCm38:7:48198070:48199288:-1 gene:ENSMUSG00000070550.2 transcript:ENSMUST00000094388.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprb4 description:MAS-related GPR, member B4 [Source:MGI Symbol;Acc:MGI:3033119] MGTTTLAWNINNTAENGSYTEMFSCITKFNTLNFLTVIIAVVGLAGNGIVLWLLAFHLHR NAFSVYVLNLAGADFLYLFTQVVHSLECVLQLDNNSFYILLIVTMFAYLAGLCMIAAISA ERCLSVMWPIWYHCQRPRHTSAIMCALVWVSSLLLSLVVGLGCGFLFSYYDYYFCITLNF ITAAFLIVLSVVLSVSSLALLVKIVWGSHRIPVTRFFVTIALTVVVFIYFGMPFGICWFL LSRIMEFDSIFFNNVYEIIEFLSCVNSCANPIIYFLVGSIRQHRLRWQSLKLLLQRAMQD TPEEESGERGPSQRSGELETV >ENSMUSP00000140604.1 pep:known chromosome:GRCm38:Y:44232123:44264444:-1 gene:ENSMUSG00000094181.7 transcript:ENSMUST00000188917.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21739 description:predicted gene, 21739 [Source:MGI Symbol;Acc:MGI:5433903] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKW METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000137088.1 pep:known chromosome:GRCm38:Y:44234854:44263016:-1 gene:ENSMUSG00000094181.7 transcript:ENSMUST00000178639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21739 description:predicted gene, 21739 [Source:MGI Symbol;Acc:MGI:5433903] MALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDV >ENSMUSP00000079231.5 pep:known chromosome:GRCm38:5:77265491:77286432:1 gene:ENSMUSG00000029249.15 transcript:ENSMUST00000080359.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rest description:RE1-silencing transcription factor [Source:MGI Symbol;Acc:MGI:104897] MATQVMGQSSGGGSLFNNSANMGMALTNDMYDLHELSKAELAAPQLIMLANVALTGEASG SCCDYLVGEERQMAELMPVGDNHFSESEGEGLEESADLKGLENMELGSLELSAVEPQPVF EASAAPEIYSANKDPAPETPVAEDKCRSSKAKPFRCKPCQYEAESEEQFVHHIRIHSAKK FFVEESAEKQAKAWESGSSPAEEGEFSKGPIRCDRCGYNTNRYDHYMAHLKHHLRAGENE RIYKCIICTYTTVSEYHWRKHLRNHFPRKVYTCSKCNYFSDRKNNYVQHVRTHTGERPYK CELCPYSSSQKTHLTRHMRTHSGEKPFKCDQCNYVASNQHEVTRHARQVHNGPKPLNCPH CDYKTADRSNFKKHVELHVNPRQFNCPVCDYAASKKCNLQYHFKSKHPTCPSKTMDVSKV KLKKTKKREADLLNNAVSNEKMENEQTKTKGDVSGKKNEKPVKAVGKDASKEKKPGSSVS VVQVTTRTRKSAVAAETKAAEVKHTDGQTGNNPEKPCKAKKNKRKKDAEAHPSEEPVNEG PVTKKKKKSECKSKIGTNVPKGGGRAEERPGVKKQSASLKKGTKKTPPKTKTSKKGGKLA PKGMGQTEPSSGALAQVGVSPDPALIQAEVTGSGSSQTELPSPMDIAKSEPAQMEVSLTG PPPVEPAQMEPSPAKPPQVEAPTYPQPPQRGPAPPTGPAPPTGPAPPTEPAPPTGLAEME PSPTEPSQKEPPPSMEPPCPEELPQAEPPPMEDCQKELPSPVEPAQIEVAQTAPTQVQEE PPPVSEPPRVKPTKRSSLRKDRAEKELSLLSEMARQEQVLMGVGLVPVRDSKLLKGNKSA QDPPAPPSPSPKGNSREETPKDQEMVSDGEGTIVFPLKKGGPEEAGESPAELAALKESAR VSSSEQNSAMPEGGASHSKCQTGSSGLCDVDTEQKTDTVPMKDSAAEPVSPPTPTVDRDA GSPAVVASPPITLAENESQEIDEDEGIHSHDGSDLSDNMSEGSDDSGLHGARPTPPEATS KNGKAGLAGKVTEGEFVCIFCDRSFRKEKDYSKHLNRHLVNVYFLEEAAEEQEEQEEREE QE >ENSMUSP00000109076.1 pep:known chromosome:GRCm38:5:77266196:77286432:1 gene:ENSMUSG00000029249.15 transcript:ENSMUST00000113449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rest description:RE1-silencing transcription factor [Source:MGI Symbol;Acc:MGI:104897] MATQVMGQSSGGGSLFNNSANMGMALTNDMYDLHELSKAELAAPQLIMLANVALTGEASG SCCDYLVGEERQMAELMPVGDNHFSESEGEGLEESADLKGLENMELGSLELSAVEPQPVF EASAAPEIYSANKDPAPETPVAEDKCRSSKAKPFRCKPCQYEAESEEQFVHHIRIHSAKK FFVEESAEKQAKAWESGSSPAEEGEFSKGPIRCDRCGYNTNRYDHYMAHLKHHLRAGENE RIYKCIICTYTTVSEYHWRKHLRNHFPRKVYTCSKCNYFSDRKNNYVQHVRTHTGERPYK CELCPYSSSQKTHLTRHMRTHSGEKPFKCDQCNYVASNQHEVTRHARQVHNGPKPLNCPH CDYKTADRSNFKKHVELHVNPRQFNCPVCDYAASKKCNLQYHFKSKHPTCPSKTMDVSKV KLKKTKKREADLLNNAVSNEKMENEQTKTKGDVSGKKNEKPVKAVGKDASKEKKPGSSVS VVQVTTRTRKSAVAAETKAAEVKHTDGQTGNNPEKPCKAKKNKRKKDAEAHPSEEPVNEG PVTKKKKKSECKSKIGTNVPKGGGRAEERPGVKKQSASLKKGTKKTPPKTKTSKKGGKLA PKGMGQTEPSSGALAQVGVSPDPALIQAEVTGSGSSQTELPSPMDIAKSEPAQMEVSLTG PPPVEPAQMEPSPAKPPQVEAPTYPQPPQRGPAPPTGPAPPTGPAPPTEPAPPTGLAEME PSPTEPSQKEPPPSMEPPCPEELPQAEPPPMEDCQKELPSPVEPAQIEVAQTAPTQVQEE PPPVSEPPRVKPTKRSSLRKDRAEKELSLLSEMARQEQVLMGVGLVPVRDSKLLKGNKSA QDPPAPPSPSPKGNSREETPKDQEMVSDGEGTIVFPLKKGGPEEAGESPAELAALKESAR VSSSEQNSAMPEGGASHSKCQTGSSGLCDVDTEQKTDTVPMKDSAAEPVSPPTPTVDRDA GSPAVVASPPITLAENESQEIDEDEGIHSHDGSDLSDNMSEGSDDSGLHGARPTPPEATS KNGKAGLAGKVTEGEFVCIFCDRSFRKEKDYSKHLNRHLVNVYFLEEAAEEQEEQEEREE QE >ENSMUSP00000130484.2 pep:known chromosome:GRCm38:9:35685079:35687371:-1 gene:ENSMUSG00000091215.3 transcript:ENSMUST00000170021.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17252 description:predicted gene, 17252 [Source:MGI Symbol;Acc:MGI:4936886] MCKSQLLRCLIFLCYLKVFSGSLPGDANKPGKVLVHENNNVVEIVQCRMCHLKFPGENCT RGRGICTATAEEACMAGKVFKRDGTIWLNFMGCLKNCANVKKIKWGPYLVDFRCCRGQDM CNENF >ENSMUSP00000128782.2 pep:known chromosome:GRCm38:15:18818947:19014236:1 gene:ENSMUSG00000022321.15 transcript:ENSMUST00000166873.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh10 description:cadherin 10 [Source:MGI Symbol;Acc:MGI:107436] MTIYQFLRLFVLWACLPHFCCPELTFRRTPGIQQMTAESRAPRSDGKILHRQKRGWMWNQ FFLLEEYTGSDYQYVGKLHSDQDKGDGSLKYILSGDGAGTLFIIDEKTGDIHATRRIDRE EKAFYTLRAQAINRRTLRPVEPESEFVIKIHDINDNEPTFPEEIYTASVPEMSVVGTSVV QVTATDADDPSYGNSARVIYSILQGQPYFSVEPETGIIRTALPNMNRENKEQYQVVIQAK DMGGQMGGLSGTTTVNITLTDVNDNPPRFPQNTIHLRVLESSPVGTAVGSVKATDADTGK NAEVDYRIIDGDGTDMFDIITEKDTQEGIITVKKPLDYENRRLYTLKVEAENTHVDPRFY YLGPFKDTTIVKISIEDVDEPPVFSRSSYLFEVHEDIEVGTIIGTVMARDPDSTSSPIRF TLDRHTDLDRIFNIHSGNGSLYTSKPLDRELSQWHNLTVIAAEINNPKETTRVSVFVRIL DVNDNAPQFAVFYDTFVCENARPGQLIQTISAVDKDDPLGGQKFFFSLAAVNPNFTVQDN EDNTARILTRKNGFNRHEISTYLLPVVISDNDYPIQSSTGTLTIRVCACDSQGNMQSCSA EALLLPAGLSTGALIAILLCIIILLVIVVLFAALKRQRKKEPLILSKEDIRDNIVSYNDE GGGEEDTQAFDIGTLRNPAAIEEKKLRRDIIPETLFIPRRTPTAPDNTDVRDFINERLKE HDLDPTAPPYDSLATYAYEGNDSVAESLSSLESGTTEGDQNYDYLREWGPRFNKLAEMYG GGESDKDA >ENSMUSP00000042199.7 pep:known chromosome:GRCm38:15:18820329:19014236:1 gene:ENSMUSG00000022321.15 transcript:ENSMUST00000040562.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh10 description:cadherin 10 [Source:MGI Symbol;Acc:MGI:107436] MTIYQFLRLFVLWACLPHFCCPELTFRRTPGIQQMTAESRAPRSDGKILHRQKRGWMWNQ FFLLEEYTGSDYQYVGKLHSDQDKGDGSLKYILSGDGAGTLFIIDEKTGDIHATRRIDRE EKAFYTLRAQAINRRTLRPVEPESEFVIKIHDINDNEPTFPEEIYTASVPEMSVVGTSVV QVTATDADDPSYGNSARVIYSILQGQPYFSVEPETGIIRTALPNMNRENKEQYQVVIQAK DMGGQMGGLSGTTTVNITLTDVNDNPPRFPQNTIHLRVLESSPVGTAVGSVKATDADTGK NAEVDYRIIDGDGTDMFDIITEKDTQEGIITVKKPLDYENRRLYTLKVEAENTHVDPRFY YLGPFKDTTIVKISIEDVDEPPVFSRSSYLFEVHEDIEVGTIIGTVMARDPDSTSSPIRF TLDRHTDLDRIFNIHSGNGSLYTSKPLDRELSQWHNLTVIAAEINNPKETTRVSVFVRIL DVNDNAPQFAVFYDTFVCENARPGQLIQTISAVDKDDPLGGQKFFFSLAAVNPNFTVQDN EDNTARILTRKNGFNRHEISTYLLPVVISDNDYPIQSSTGTLTIRVCACDSQGNMQSCSA EALLLPAGLSTGALIAILLCIIILLVIVVLFAALKRQRKKEPLILSKEDIRDNIVSYNDE GGGEEDTQAFDIGTLRNPAAIEEKKLRRDIIPETLFIPRRTPTAPDNTDVRDFINERLKE HDLDPTAPPYDSLATYAYEGNDSVAESLSSLESGTTEGDQNYDYLREWGPRFNKLAEMYG GGESDKDA >ENSMUSP00000135546.1 pep:known chromosome:GRCm38:15:18899589:19013682:1 gene:ENSMUSG00000022321.15 transcript:ENSMUST00000176146.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdh10 description:cadherin 10 [Source:MGI Symbol;Acc:MGI:107436] MTIYQFLRLFVLWACLPHFCCPELTFRRTPGIQQMTAESRAPRSDGKILHRQKRGWMWNQ FFLLEEYTGSDYQYVGKLHSDQDKGDGSLKYILSGDGAGTLFIIDEKTGDIHATRRIDRE EKAFYTLRAQAINRRTLRPVEPESEFVIKIHDINDNEPTFPEEIYTASVPEMSVVGTSVV QVTATDADDPSYGNSARVIYSILQGQPYFSVEPETGIIRTALPNMNRENKEQYQVVIQAK DMGGQMGGLSGTTTVNITLTDVNDNPPRFPQNTIHLRVLESSPVGTAVGSVKATDADTGK NAEVDYRIIDGDGTDMFDIITEKDTQEGIITVKKPLDYENRRLYTLKVEAENTHVDPRFY YLGPFKDTTIVKISIEDVDEPPVFSRSSYLFEVHEDIEVGTIIGTVMARDPDSTSSPIR >ENSMUSP00000031736.9 pep:known chromosome:GRCm38:5:137650463:137684726:-1 gene:ENSMUSG00000029722.15 transcript:ENSMUST00000031736.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg2 description:ArfGAP with FG repeats 2 [Source:MGI Symbol;Acc:MGI:2443267] MVMAAKKGPGPGGGVGGSKAEAEAASEVWCRRVRELGGCSQAGNRHCFECAQRGVTYVDI TVGSFVCTTCSGLLRGLNPPHRVKSISMTTFTEPEVLFLQSRGNEVCRKIWLGLFDARTS LIPDSRDPQKVKEFLQEKYEKKRWYVPPEQVKGPSYSKGSVSATPVQGSVPEGKPIRTLL GDPVPSLSDPASTSSQPGSQSQARSSSQARSSQPPSHSSTKKASTDLLADIGGDPFAAPQ VVPAFASFPGFGVGQTPAHGGFANFDAFSSSPSSSTFGSLPPSVQAPFQAQPTPAGSGQM SAFGVAPLAAASQPNNLADVGGLLGPRMAAGGLPGSVFGMPSQVPALQSAVPGVSGSGGL PFGAYTNPFATPAQAQLPSTNPFQPNGLASGPGFGMSSVRPGLLQPVPPSGAFASPFSAP VFPTQAGLADQQNGSSFGDLGTSKLGQRPLSQPAGISTNPFMTGSSAFASKPPTTNPFL >ENSMUSP00000098112.4 pep:known chromosome:GRCm38:5:137650485:137684680:-1 gene:ENSMUSG00000029722.15 transcript:ENSMUST00000100544.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg2 description:ArfGAP with FG repeats 2 [Source:MGI Symbol;Acc:MGI:2443267] MVMAAKKGPGPGGGVGGSKAEAEAASEVWCRRVRELGGCSQAGNRHCFECAQRGVTYVDI TVGSFVCTTCSGLLRGLNPPHRVKSISMTTFTEPEVLFLQSRGNEVCRKIWLGLFDARTS LIPDSRDPQKVKEFLQEKYEKKRWYVPPEQVKGPSYSKGSVSATPVQGSVPEGKPIRTLL GDPVPSLSDPASTSSQPGSQSQARSSSQARSSQPPSHSSTKKASTDLLADIGGDPFAAPQ VVPAFASFPGFGVGQTPAHGGFANFDAFSSSPSSSTFGSLPPSVQAPFQAQPTPAANRML TGSYSFGSGQMSAFGVAPLAAASQPNNLADVGGLLGPRMAAGGLPGSVFGMPSQVPALQS AVPGVSGSGGLPFGAYTNPFATPAQAQLPSTNPFQPNGLASGPGFGMSSVRPGLLQPVPP SGAFASPFSAPVFPTQAGLADQQNGSSFGDLGTSKLGQRPLSQPAGISTNPFMTGSSAFA SKPPTTNPFL >ENSMUSP00000117351.1 pep:known chromosome:GRCm38:5:137653875:137684726:-1 gene:ENSMUSG00000029722.15 transcript:ENSMUST00000151839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg2 description:ArfGAP with FG repeats 2 [Source:MGI Symbol;Acc:MGI:2443267] MVMAAKKGPGPGGGVGGSKAEAEAASEVWCRRVRELGGCSQAGNRHCFECAQRGVTYVDI TVGSFVCTTCSGLLRGLNPPHRVKSISMTTFTEPEVLFLQSRGNEVCRKIWLGLFDARTS LIPDSRDPQKVKEFLQEKYEKKRWYVPPEQVKGPSYSKGSVSATPVQGSVPEGKPIRTLL GDPVPSLSDPASTSSQPGSQSQARSSSQARSSQPPSHSSTKKASTDLLADIGGDPFAAPQ VVPAFASFPGFGGQTPAHGGFANFDAFSSSPSSSTFGSLPPSVQAPFQAQPTPAANRMLT GSYSFGSGQMSAFGVAPLAAASQPNNLADVGGLLGPRMAAGGLPGSVFGMPSQVPALQSA VPGVSGSGGLPFGAYTNPFATPAQAQLPSTNPFQPNGLASGPGFGM >ENSMUSP00000143273.1 pep:known chromosome:GRCm38:5:137655656:137664316:-1 gene:ENSMUSG00000029722.15 transcript:ENSMUST00000198657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agfg2 description:ArfGAP with FG repeats 2 [Source:MGI Symbol;Acc:MGI:2443267] LPLSRALSQKGNPFGHFWETLCHLSLILLPLQASLGASRRHAAARRPGAPSLLPIHPPRK PALTCWRISGETPLLLPRWCQPLPHSQALEEVARCLRLVWHPLQLPVNPTTLQM >ENSMUSP00000096505.2 pep:known chromosome:GRCm38:9:35570284:35690318:1 gene:ENSMUSG00000074452.12 transcript:ENSMUST00000098906.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pate2 description:prostate and testis expressed 2 [Source:MGI Symbol;Acc:MGI:2685692] MFVLVMICLFCQYWGVLNELEEEDRGLLCYKCKKYHLGLCYGIMTSCVPNHRQTCAAENF YILTKKGQSMYHYSRLSCMTNCEDINFLSFERRTELICSWSHSCKTLGRYTEIGFHYQPA GLQRHHLEVTQRKLLKKQKEPLFNETFDE >ENSMUSP00000113239.1 pep:known chromosome:GRCm38:9:35669639:35672889:1 gene:ENSMUSG00000074452.12 transcript:ENSMUST00000118254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pate2 description:prostate and testis expressed 2 [Source:MGI Symbol;Acc:MGI:2685692] MFVLVMICLFCQYWGVLNELEEEDRGLLCYKCKKYHLGLCYGIMTSCVPNHRQTCAAENF YILTKKGQSMYHYSRLSCMTNCEDINFLSFERRTELICCKHSNYCNLPMGL >ENSMUSP00000032327.7 pep:known chromosome:GRCm38:6:135105991:135118246:-1 gene:ENSMUSG00000030205.15 transcript:ENSMUST00000032327.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5d description:G protein-coupled receptor, family C, group 5, member D [Source:MGI Symbol;Acc:MGI:1935037] MYEDCVKSTEDYYLFCDNEGPWAIVLESLAVIGIVVTILLLLAFLFLMRKVQDCSQWNVL PTQFLFLLAVLGLFGLTFAFIIQLNHQTAPVRYFLFGVLFAICFSCLLAHASNLVKLVRG RVSFCWTTILFIAIGVSLLQTIIAIEYVTLIMTRGLMFEHMTPYQLNVDFVCLLIYVLFL MALTFFVSKATFCGPCENWKQHGRLIFATVLVSIIIWVVWISMLLRGNPQLQRQPHWDDA VICIGLVTNAWVFLLIYIIPELSILYRSCRQECPTQGNVCQVPVYQRSFRMDTQEPTRAR DSDGAQEDVALTAYGTPIQLQSADPSREYLIPSATLSPQQDAGL >ENSMUSP00000107553.1 pep:known chromosome:GRCm38:6:135105991:135118283:-1 gene:ENSMUSG00000030205.15 transcript:ENSMUST00000111922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc5d description:G protein-coupled receptor, family C, group 5, member D [Source:MGI Symbol;Acc:MGI:1935037] MYEDCVKSTEDYYLFCDNEGPWAIVLESLAVIGIVVTILLLLAFLFLMRKVQDCSQWNVL PTQFLFLLAVLGLFGLTFAFIIQLNHQTAPVRYFLFGVLFAICFSCLLAHASNLVKLVRG RVSFCWTTILFIAIGVSLLQTIIAIEYVTLIMTRGLMFEHMTPYQLNVDFVCLLIYVLFL MALTFFVSKATFCGPCENWKQHGRLIFATVLVSIIIWVVWISMLLRGNPQLQRQPHWDDA VICIGLVTNAWVFLLIYIIPELSILYRSCRQECPTQGNVCQVPVYQRSFRMDTQEPTREC >ENSMUSP00000059069.6 pep:known chromosome:GRCm38:9:108436482:108446086:1 gene:ENSMUSG00000050641.7 transcript:ENSMUST00000057265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048562 description:cDNA sequence BC048562 [Source:MGI Symbol;Acc:MGI:3618861] MQGALIGSWHNSGFYGHYRGQVKSESAGEYRLAAKPPPPAVFLQRCEEPTKQHYFSKHDN RTSFDKGPYCLLQGIGRRKDLERLWKRHTFLRWAPCELELSQQPPLESSYQTDFRSGTGL ARLPQRLVQFVQVQPSYANTTYQHNFCQPSRGGHCGSAKVRPLEPVTETLPDLPGITKPK LLQHYLHAGVSECLNWSQALKRFS >ENSMUSP00000027356.5 pep:known chromosome:GRCm38:1:74713574:74737892:1 gene:ENSMUSG00000026170.6 transcript:ENSMUST00000027356.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp27a1 description:cytochrome P450, family 27, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88594] MAAWSRTRLRWTLLDPRVVGRGLCPQGARAKATIPAALQAQESTEGPGTGQDRPRLRSPA ELPGTGTLQFLFQLFLQGYVLHLPDLQVLNKTKYGPMWTTSFGTYTNVNLASAPLLEQVM RQEGKYPIRDHMDQWKDHRDHKGLTYGIFIAQGEQWYHLRQALKQRLLKPDEAALYTDAL NEVISDFITRLDQVRAESESGDQVPDMAHLLYHLALEAITYILFEKRIGCLKPSIPEDTA AFIRSVAIMFQNSVYITFLPKWTRPLLPFWKRYLNGWDNIFSFGKKLIDEKVQELKAQLQ ETGPDGVRVSGYLHFLLTNELLSTQETIGTFPELLLAGVDTTSNTLTWALYHLSKSPEIQ EALHKEVTGVVPFGKVPQHKDFAHMPLLKAVIKETLRLYPVVPTNSRIITEKETEINGFL FPKNTQFVLCHYVVSRDPSVFPEPNSFQPHRWLRKKEADNPGILHPFGSVPFGYGVRSCL GRRIAELEMQLMLSRLVQKYEIALAPGMGEVKTVSRIVLVPSKKVRLHFLQRQ >ENSMUSP00000034141.9 pep:known chromosome:GRCm38:8:86624043:86716631:1 gene:ENSMUSG00000047866.18 transcript:ENSMUST00000034141.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lonp2 description:lon peptidase 2, peroxisomal [Source:MGI Symbol;Acc:MGI:1914137] MSSVSPIQIPSRLPLLLTHESVLLPGSTMRTSVDTARNLQLVRSRLLKGTSLQSTILGVI PNTPDPASDTQDLPPLHRIGTAALAVQVVGSNWPKPHYTLLITGLCRFQIVQVLKEKPYP VAEVEQLDRLEEFPNICKSREELGELSEQFYRYAVQLVEMLDMSVPAVAKLRRLLDNLPR EALPDILTSIIRTSNKEKLQILDAVSLEDRFKMTIPLLVRQIEGLKLLQKTRKPKQDDDK RVIAIRPIRRIPHIPGTLEDEEEEEDNDDIVMLEKKIRTSSMPEQAHKVCVKEIKRLKKM PQSMPEYALTRNYLELMVELPWNKSTTDRLDIRAARILLDNDHYAMEKLKRRVLEYLAVR QLKNNLKGPILCFVGPPGVGKTSVGRSVAKTLGREFHRIALGGVCDQSDIRGHRRTYVGS MPGRIINGLKTVGVNNPVFLLDEVDKLGKSLQGDPAAALLEVLDPEQNHNFTDHYLNVAF DLSQVLFIATANTTATIPPALLDRMEIIQVPGYTQEEKIEIAHRHLIPKQLEQHGLTPQQ IQIPQHTTLAIITRYTREAGVRSLDRKFGAICRAVAVKVAEGQHKEAKLDRSDVADGEGC KEHVLEDAKPESISDTADLALPPEMPILIDSHALKDILGPPLYELEVSERLSQPGVAIGL AWTPLGGKIMFVEASRMDGEGQLTLTGQLGDVMKESAHLAISWLRSNAKKYHLTNAFGSF DLLDNTDIHLHFPAGAVTKDGPSAGVTIVTCLASLFSGRLVRSDVAMTGEITLRGLVLPV GGIKDKVLAAHRAGLKQIIIPQRNEKDLEEIPSNVRQDLSFVTASCLDEVLNAAFDGGFP VKTRPGLIDSKL >ENSMUSP00000118737.1 pep:known chromosome:GRCm38:8:86624043:86723873:1 gene:ENSMUSG00000047866.18 transcript:ENSMUST00000155433.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lonp2 description:lon peptidase 2, peroxisomal [Source:MGI Symbol;Acc:MGI:1914137] MSSVSPIQIPSRLPLLLTHESVLLPGSTMRTSVDTARNLQLVRSRLLKGTSLQSTILGVI PNTPDPASDTQDLPPLHRIGTAALAVQVVGSNWPKPHYTLLITGLCRFQIVQVLKEKPYP VAEVEQLDRLEEFPNICKSREELGELSEQFYRYAVQLVEMLDMSVPAVAKLRRLLDNLPR EALPDILTSIIRTSNKEKLQILDAVSLEDRFKMTIPLLVRQIEGLKLLQKTRKPKQDDDK RVIAIRPIRRIPHIPGTLEDEEEEEDNDDIVMLEKKIRTSSMPEQAHKVCVKEIKRLKKM PQSMPEYALTRNYLELMVELPWNKSTTDRLDIRAARILLDNDHYAMEKLKRRVLEYLAVR QLKNNLKGPILCFVGPPGVGKTSVGRSVAKTLGREFHRIALGGVCDQSDIRGHRRTYVGS MPGRIINGLKTVGVNNPVFLLDEVDKLGKSLQGDPAAALLEVLDPEQNHNFTDHYLNVAF DLSQVLFIATANTTATIPPALLDRMEIIQVPGYTQEEKIEIAHRHLIPKQLEQHGLTPQQ IQIPQHTTLAIITRYTREAGVRSLDRKFGAICRAVAVKVAEGQHKEAKLDRSDVADGEGC KEHVLEDAKPESISDTADLALPPEMPILIDSHALKDILGPPLYELEVMILISMFTLVLA >ENSMUSP00000113834.1 pep:known chromosome:GRCm38:8:86624105:86716631:1 gene:ENSMUSG00000047866.18 transcript:ENSMUST00000122188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lonp2 description:lon peptidase 2, peroxisomal [Source:MGI Symbol;Acc:MGI:1914137] MSSVSPIQIPSRLPLLLTHESVLLPGSTMRTSVDTARNLQLVRSRLLKGTSLQSTILGVI PNTPDPASDTQDLPPLHRIGTAALAVQVVGSNWPKPHYTLLITGLCRFQIVQVLKEKPYP VAEVEQLDRLEEFPNICKSREELGELSEQFYRYAVQLVEMLDMSVPAVAKLRRLLDNLPW NKSTTDRLDIRAARILLDNDHYAMEKLKRRVLEYLAVRQLKNNLKGPILCFVGPPGVGKT SVGRSVAKTLGREFHRIALGGVCDQSDIRGHRRTYVGSMPGRIINGLKTVGVNNPVFLLD EVDKLGKSLQGDPAAALLEVLDPEQNHNFTDHYLNVAFDLSQVLFIATANTTATIPPALL DRMEIIQVPGYTQEEKIEIAHRHLIPKQLEQHGLTPQQIQIPQHTTLAIITRYTREAGVR SLDRKFGAICRAVAVKVAEGQHKEAKLDRSDVADGEGCKEHVLEDAKPESISDTADLALP PEMPILIDSHALKDILGPPLYELEVSERLSQPGVAIGLAWTPLGGKIMFVEASRMDGEGQ LTLTGQLGDVMKESAHLAISWLRSNAKKYHLTNAFGSFDLLDNTDIHLHFPAGAVTKDGP SAGVTIVTCLASLFSGRLVRSDVAMTGEITLRGLVLPVGGIKDKVLAAHRAGLKQIIIPQ RNEKDLEEIPSNVRQDLSFVTASCLDEVLNAAFDGGFPVKTRPGLIDSKL >ENSMUSP00000113381.1 pep:known chromosome:GRCm38:8:86651569:86716632:1 gene:ENSMUSG00000047866.18 transcript:ENSMUST00000121673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lonp2 description:lon peptidase 2, peroxisomal [Source:MGI Symbol;Acc:MGI:1914137] MPGRIINGLKTVGVNNPVFLLDEVDKLGKSLQGDPAAALLEVLDPEQNHNFTDHYLNVAF DLSQVLFIATANTTATIPPALLDRMEIIQVPGYTQEEKIEIAHRHLIPKQLEQHGLTPQQ IQIPQHTTLAIITRYTREAGVRSLDRKFGAICRAVAVKVAEGQHKEAKLDRSDVADGEGC KEHVLEDAKPESISDTADLALPPEMPILIDSHALKDILGPPLYELEVSERLSQPGVAIGL AWTPLGGKIMFVEASRMDGEGQLTLTGQLGDVMKESAHLAISWLRSNAKKYHLTNAFGSF DLLDNTDIHLHFPAGAVTKDGPSAGVTIVTCLASLFSGRLVRSDVAMTGEITLRGLVLPV GGIKDKVLAAHRAGLKQIIIPQRNEKDLEEIPSNVRQDLSFVTASCLDEVLNAAFDGGFP VKTRPGLIDSKL >ENSMUSP00000127938.1 pep:known chromosome:GRCm38:8:86651569:86716632:1 gene:ENSMUSG00000047866.18 transcript:ENSMUST00000163987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lonp2 description:lon peptidase 2, peroxisomal [Source:MGI Symbol;Acc:MGI:1914137] MPGRIINGLKTVGVNNPVFLLDEVDKLGKSLQGDPAAALLEVLDPEQNHNFTDHYLNVAF DLSQVLFIATANTTATIPPALLDRMEIIQVPGYTQEEKIEIAHRHLIPKQLEQHGLTPQQ IQIPQHTTLAIITRYTREAGVRSLDRKFGAICRAVAVKVAEGQHKEAKLDRSDVADGEGC KEHVLEDAKPESISDTADLALPPEMPILIDSHALKDILGPPLYELEVSERLSQPGVAIGL AWTPLGGKIMFVEASRMDGEGQLTLTGQLGDVMKESAHLAISWLRSNAKKYHLTNAFGSF DLLDNTDIHLHFPAGAVTKDGPSAGVTIVTCLASLFSGRLVRSDVAMTGEITLRGLVLPV GGIKDKVLAAHRAGLKQIIIPQRNEKDLEEIPSNVRQDLSFVTASCLDEVLNAAFDGGFP VKTRPGLIDSKL >ENSMUSP00000140606.1 pep:known chromosome:GRCm38:1:168119364:168432270:-1 gene:ENSMUSG00000052534.15 transcript:ENSMUST00000188912.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx1 description:pre B cell leukemia homeobox 1 [Source:MGI Symbol;Acc:MGI:97495] MDEQPRLMHSHAGVGMAGHPGLSQHLQDGAGGTEGEGGRKQDIGDILQQIMTITDQSLDE AQARKHALNCHRMKPALFNVLCEIKEKTVLSIRGAQEEEPTDPQLMRLDNMLLAEGVAGP EKGGGSAAAAAAAAASGGAGSDNSVEHSDYRAKLSQIRQIYHTELEKYEQACNEFTTHVM NLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRRNF NKQATEILNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRIRYKKNIGKFQE EANIYAAKTAVTATNVSAHGSQANSPSTPNSAGGYPSPCYQPDRRIQ >ENSMUSP00000135516.1 pep:known chromosome:GRCm38:1:168153542:168431897:-1 gene:ENSMUSG00000052534.15 transcript:ENSMUST00000176540.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx1 description:pre B cell leukemia homeobox 1 [Source:MGI Symbol;Acc:MGI:97495] MDEQPRLMHSHAGVGMAGHPGLSQHLQDGAGGTEGEGGRKQDIGDILQQIMTITDQSLDE AQARKHALNCHRMKPALFNVLCEIKEKTVLSIRGAQEEEPTDPQLMRLDNMLLAEGVAGP EKGGGSAAAAAAAAASGGAGSDNSVEHSDYRAKLSQIRQIYHTELEKYEQACNEFTTHVM NLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRRNF NKQATEILNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRIRYKKNIGKFQE EANIYAAKTAVTATNVSAHGSQANSPSTPNSAGSSSSFNMSNSGDLFMSVQSLNGDSYQG AQVGANVQSQVDTLRHVISQTGGYSDGLAASQMYSPQGISANGGWQDATTPSSVTSPTEG PGSVHSDTSN >ENSMUSP00000134925.1 pep:known chromosome:GRCm38:1:168158330:168431750:-1 gene:ENSMUSG00000052534.15 transcript:ENSMUST00000176790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx1 description:pre B cell leukemia homeobox 1 [Source:MGI Symbol;Acc:MGI:97495] MDEQPRLMHSHAGVGMAGHPGLSQHLQDGAGGTEGEGGRKQDIGDILQQIMTITDQSLDE AQARKHALNCHRMKPALFNVLCEIKEKTVLSIRGAQEEEPTDPQLMRLDNMLLAEGVAGP EKGGGSAAAAAAAAASGGAGSDNSVEHSDYRAKLSQIRQIYHTELEKYEQACNEFTTHVM NLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRRNF NKQATEILNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRIRYKKNIGKFQE EANIYAAKTAVTATNVSAHGSQANSPSTPNSAGGYPSPCYQPDRRIQ >ENSMUSP00000066385.4 pep:known chromosome:GRCm38:1:168158732:168431504:-1 gene:ENSMUSG00000052534.15 transcript:ENSMUST00000064438.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx1 description:pre B cell leukemia homeobox 1 [Source:MGI Symbol;Acc:MGI:97495] MDEQPRLMHSHAGVGMAGHPGLSQHLQDGAGGTEGEGGRKQDIGDILQQIMTITDQSLDE AQARKHALNCHRMKPALFNVLCEIKEKTVLSIRGAQEEEPTDPQLMRLDNMLLAEGVAGP EKGGGSAAAAAAAAASGGAGSDNSVEHSDYRAKLSQIRQIYHTELEKYEQACNEFTTHVM NLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRRNF NKQATEILNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVDTLRHVISQTGGYSDGLAAS QMYSPQGISANGGWQDATTPSSVTSPTEGPGSVHSDTSN >ENSMUSP00000072640.4 pep:known chromosome:GRCm38:1:168183573:168432169:-1 gene:ENSMUSG00000052534.15 transcript:ENSMUST00000072863.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx1 description:pre B cell leukemia homeobox 1 [Source:MGI Symbol;Acc:MGI:97495] MDEQPRLMHSHAGVGMAGHPGLSQHLQDGAGGTEGEGGRKQDIGDILQQIMTITDQSLDE AQARKHALNCHRMKPALFNVLCEIKEKTVLSIRGAQEEEPTDPQLMRLDNMLLAEGVAGP EKGGGSAAAAAAAAASGGAGSDNSVEHSDYRAKLSQIRQIYHTELEKYEQACNEFTTHVM NLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCEAVMILRSRFLDARRKRRNF NKQATEILNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRIRYKKNIGKFQE EANIYAAKTAVTATNVSAHGSQANSPSTPNSAGGYPSPCYQPDRRIQ >ENSMUSP00000104016.1 pep:known chromosome:GRCm38:7:27195781:27210186:1 gene:ENSMUSG00000078786.9 transcript:ENSMUST00000108379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC024978 description:cDNA sequence BC024978 [Source:MGI Symbol;Acc:MGI:3041247] MTSPCSFPLKPTISPIIHETPDTNIPPPLPLNPPDLALPSPPCSLHTSISSPLPPPPPPP APPPPPPPPPLPSAVEPVLPHVYGLKNSQLLKEALEKAGPAPKGKEDVKRLLKLHKDRFR SDLQWILFCADLPSCIQEGPQCGLVALWMAEALLSTPDSVSLERLVQVAKERGYTAQGEM FSVADMAKLAQETLDCQAELLCGGLGGPNRERVLQHLITGHPLLIPYDEDFNHEPCQKKG HKAHWAVSAGVLIGVQNVPSPGYIEDSELPGLFHPVPGAPHQPPSFPEESSPGALFLLSK QGKSWHYQLWDYSQVRESNLQLTDFSPARAADGQVW >ENSMUSP00000123290.1 pep:known chromosome:GRCm38:7:27197123:27204161:1 gene:ENSMUSG00000078786.9 transcript:ENSMUST00000155931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC024978 description:cDNA sequence BC024978 [Source:MGI Symbol;Acc:MGI:3041247] XLPSPPCSLHTSISSPLPPPPPPPAPPPPPPPPPLPSAVEPVLPHVYGLKNSQLLKEALE KAGPAPKGKEDVKRLLKLHKDRFRSDLQWILFCADLPSCIQEGPQCGLVALWMAEALLST PDSVSLERLVQVAKERGYTAQGEMFSVADMAKLAQETLDCQAELLCGGLGGPNRERVLQH LITGHPLLIPYDEDFNHEPCQKKGHKAHWAVSAGQELALPAVGLQPSPGEQPAADRLLTR KGCRWTGVRGTCWWGRGWPLWPGPAAQTTGGKPLAGAGTPALNLTLSTLECFSFFP >ENSMUSP00000122238.1 pep:known chromosome:GRCm38:7:27202632:27204320:1 gene:ENSMUSG00000078786.9 transcript:ENSMUST00000123108.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC024978 description:cDNA sequence BC024978 [Source:MGI Symbol;Acc:MGI:3041247] XEDFNHEPCQKKGHKAHWAVSAGVLIGVQNVPSPGYIEDSELPGLFHPVPGAPHQPPSFP EESSPGALFLLSKQGKSWHYQLWDYSQVRESNLQLTDFSPARAADGQVYVVPAGGVEAGL CGQALLLRPQEGSH >ENSMUSP00000137189.1 pep:known chromosome:GRCm38:7:27195781:27204320:1 gene:ENSMUSG00000078786.9 transcript:ENSMUST00000179391.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC024978 description:cDNA sequence BC024978 [Source:MGI Symbol;Acc:MGI:3041247] MTSPCSFPLKPTISPIIHETPDTNIPPPLPLNPPDLALPSPPCSLHTSISSPLPPPPPPP APPPPPPPPPLPSAVEPVLPHVYGLKNSQLLKEALEKAGPAPKGKEDVKRLLKLHKDRFR SDLQWILFCADLPSCIQEGPQCGLVALWMAEALLSTPDSVSLERLVQVAKERGYTAQGEM FSVADMAKLAQETLDCQAELLCGGLGGPNRERVLQHLITGHPLLIPYDEDFNHEPCQKKG HKAHWAVSAGVLIGVQNVPSPGYIEDSELPGLFHPVPGAPHQPPSFPEESSPGALFLLSK QGKSWHYQLWDYSQVRESNLQLTDFSPARAADGQVYVVPAGGVEAGLCGQALLLRPQEGS H >ENSMUSP00000057275.8 pep:known chromosome:GRCm38:8:94601955:94660275:1 gene:ENSMUSG00000050079.9 transcript:ENSMUST00000060389.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rspry1 description:ring finger and SPRY domain containing 1 [Source:MGI Symbol;Acc:MGI:1914860] MIVFGWAVFLASRSLGQGLLLTLEEHIAHLLGTTGATATMGNSCICRDDSGAEDNVDTHQ QQAENSTVPTADSRSQPRDPVRPPRRGRGPHEPRRKKQNVDGLVLDTLAVIRTLVDNDQE PPYSMITLHEMAETDEGWLDVVQSLIRVIPLEDPLGPAVITLLLDECPLPTKDALQKLTE ILNLNGEVACQDSGHPAKHRNTSAVLGCLAEKLAGPASIGLLSPGILEYLLQCLKLQSHP TVMLFALIALEKFAQTSENKLTISESSISDRLVTLELWADDPDYLKRQVGFCAQWSLDNL FLKEGRQLTYEKVDLNNIRAMLNSNDVSEYLKISPHGLEARCDASSFESVRCTFCVDTGV WYYEVTVVTSGVMQIGWATRDSKFLNHEGYGIGDDEYSCAYDGCRQLIWYNARSKPHVHP CWKEGDTVGFLLDLNEKQMIFFLNGNQLPPEKQVFSSTVSGFFAAASFMSYQQCEFNFGA RPFKYPPSMKFSTFNDYAFLTAEEKIILPRHRRLALLKQVSIRENCCSLCCDEVADTQLK PCGHSDLCMDCALQLETCPLCRKEIVSRIRQISHIS >ENSMUSP00000112482.1 pep:known chromosome:GRCm38:8:94601978:94625472:1 gene:ENSMUSG00000050079.9 transcript:ENSMUST00000121101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rspry1 description:ring finger and SPRY domain containing 1 [Source:MGI Symbol;Acc:MGI:1914860] MIVFGWAVFLASRSLGQGLLLTLEEHIAHLLGTTGATATMGNSCICRDDSGAEDNVDTHQ QQAENSTVPTADSRSQPRDPVRPPRRGRGPHEPRRKKQNVDGLVLDTLAVIRTLVDK >ENSMUSP00000002710.3 pep:known chromosome:GRCm38:7:34184499:34196661:-1 gene:ENSMUSG00000002635.11 transcript:ENSMUST00000002710.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd2l description:programmed cell death 2-like [Source:MGI Symbol;Acc:MGI:1915329] MAAVRKPVLLGLRDTAVKGCPKGPSAWTSSKLGGVPDALPAVTTPGPQCGRCAQPLTLVV QVYCPLDGSPFHRLLYVFACARPGCGNSQTRSWKVFRSQCLQVPEKETWNAQNQSDSLAA ENWCEGSQDWGSDTEETPPPPASDLGSDSNDVRALDWTEKLQALRLQDTALAVTCPSPSG EGLTVPTAVPQFQPYYICVAEEEDYGSVVDLDHAHSLLQEYQRREGVDMEQLLSLGSSDG DEKYEKTTVSSGDPTFYRFMKRIAACQEQILRYSWSGEPLFLSCPTFEVSEVPACSGCGG QRTFEFQLMPALVSMLSSANLGLAVEFGTILVYTCKQSCWPPNQQMPMEEFCVLQEDPDE FLFK >ENSMUSP00000115874.1 pep:known chromosome:GRCm38:7:34184633:34196608:-1 gene:ENSMUSG00000002635.11 transcript:ENSMUST00000148381.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd2l description:programmed cell death 2-like [Source:MGI Symbol;Acc:MGI:1915329] XRDTAVKGCPKGPSAWTSSKLGGVPDALPAVTTPGPQCGRCAQPLTLVVQVYCPLDGSPF HRLLYVFACARPGCGNSQTRSWKVFRSQCLQVPEKETWNAQNQSDSLAAENWCEGSQDWG SDTEETPPPPASDLGSDSNDVRALDWTEKLQALRLQDTALAVTCPSPSGEGLTVPTAVPQ FQPYYICVAEEEDYGSVVDLDHAHSLLQEYQRREGVDMEQLLSLGSSDGDEKYEKTTVSS GDPTFYRFMKRIAACQEQILRSCRGIWNDSRLHM >ENSMUSP00000034610.2 pep:known chromosome:GRCm38:9:35607093:35611935:-1 gene:ENSMUSG00000032099.2 transcript:ENSMUST00000034610.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pate4 description:prostate and testis expressed 4 [Source:MGI Symbol;Acc:MGI:1930790] MNSVTKISTLLIVILSFLCFVEGLICNSCEKSRDSRCTMSQSRCVAKPGESCSTVSHFVG TKHVYSKQMCSPQCKEKQLNTGKKLIYIMCCEKNLCNSF >ENSMUSP00000125045.1 pep:known chromosome:GRCm38:14:44001664:44006418:-1 gene:ENSMUSG00000072598.2 transcript:ENSMUST00000160223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ang6 description:angiogenin, ribonuclease A family, member 6 [Source:MGI Symbol;Acc:MGI:3528602] MVMSPGSLMLVFVLSLVVISPTLAKDNYRYIKFLTQHYDAKPTGWDHRYCYLCMMKKRIQ ETLKCKEANTFIHDTKKNIKAICGENGGPYGANFRISNSPFQITTCNHSGGSPKPPCQYR DFKDFRYIVIACEDAWPVHFDESFISL >ENSMUSP00000094632.2 pep:known chromosome:GRCm38:14:44001826:44002269:-1 gene:ENSMUSG00000072598.2 transcript:ENSMUST00000096869.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ang6 description:angiogenin, ribonuclease A family, member 6 [Source:MGI Symbol;Acc:MGI:3528602] MVMSPGSLMLVFVLSLVVISPTLAKDNYRYIKFLTQHYDAKPTGWDHRYCYLCMMKKRIQ ETLKCKEANTFIHDTKKNIKAICGENGGPYGANFRISNSPFQITTCNHSGGSPKPPCQYR DFKDFRYIVIACEDAWPVHFDESFISL >ENSMUSP00000039784.6 pep:known chromosome:GRCm38:7:142533012:142540747:1 gene:ENSMUSG00000037772.12 transcript:ENSMUST00000038675.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl23 description:mitochondrial ribosomal protein L23 [Source:MGI Symbol;Acc:MGI:1196612] MARNVLYPLYQLGGPQLRVFRTNFFIQLVRPGTAQPEDTVQFRIPMEMTRVDLRNYLEQI YNVPVAAVRTRVQHGSNRRRDHKNVRIKKPDYKVAYVQLAHGQTFTFPDLFPEKDPRSPE PLEEELPQQRQSSDLRCPGIPSWFGL >ENSMUSP00000035328.7 pep:known chromosome:GRCm38:5:86109287:86172803:-1 gene:ENSMUSG00000035898.13 transcript:ENSMUST00000039373.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba6 description:ubiquitin-like modifier activating enzyme 6 [Source:MGI Symbol;Acc:MGI:1913894] MERSEPLAVLSCEEASCSSWGACGASKNLPTMTTESLEIDDGLYSRQRYVLGDTAMQKMA KSCVFLSGMGGLGVEIAKNLVLAGIKALTIHDTKKCQAWDLGTNFFLCEDDVVNERNRAE AVLHRIAELNPYVQVSSSSAPLDETTDLSFLEKYQCVVLTEIKLTLQKKINNFCHSHCPP IKFISADVHGIWSRLFCDFGDEFEVSDTTGEEPKEIFISNITQANPGIVTCLESHPHKLE TGQFLTFREIHGMTGLNGSVQQITVISPFSFSIGDTTKLDPYLHGGIAVQVKTPKTFCFE PLESQIKHPRCLIADFSKPEAPLEIHLAMLALDQFQENYNRKPNIRCQQDSDELLKLTVS INETLEEKPEVNADIVHWLSWTAQGFLPPLAAAVGGVASQEVLKAVTGKFSPLCQWLYLE AADTVESLGNPGHEEFLPRGDRYDAIRACIGNTLCQKLQNLNIFLVGCGAIGCEMLKNFA LLGVGTGREKGMVTVTDPDLIEKSNLNRQFLFRPHHIQKPKSYTAAEATLKINPQLKIDA HLNKVCPATESIYSDEFYTKQDIIITALDNVEARRYVDSRCLANLRPLLDSGTMGTKGHT EIIVPQLTESYNSHRDPPEEEIPFCTLKSFPAAIEHTIQWARDKFESSFSHKPSLFNKFW QAYPSAEDVLQKIQNGQSLEGCFQVIKLLSRRPRIWSQCVELARLKFEKYFNHKALQLLH CFPLETRLKDGSLFWQSPKRPPSPIKFDLNEPLHLSFLQSAAKLYATVYCIPFSEKDLSV NSLMDILSEVKIEEFKPSNKVVQTDETARKPDHVPVSSEDERNAVFQLEEALSSNKATKS DLQMTVLSFEKDDDRNGHIDFITAASNLRAKMYSIEPADRFKTKRIAGKIIPAIATSTAA VSGLVALEMIKVAGGYPFDAYKNCFLNLAIPIIVFTETSEVRKTEIRNGISFTIWDRWTV HGKEDFTLSDFINAVKENYGIEPTMVVQGVKMLYVPVMPGHAKRLKLTMHKLVKPSTEKK YVDLTVSFAPDADGDEDLPGPPVRYYFSHDTNE >ENSMUSP00000109000.1 pep:known chromosome:GRCm38:5:86112342:86172511:-1 gene:ENSMUSG00000035898.13 transcript:ENSMUST00000113373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uba6 description:ubiquitin-like modifier activating enzyme 6 [Source:MGI Symbol;Acc:MGI:1913894] MTTESLEIDDGLYSRQRYVLGDTAMQKMAKSCVFLSGMGGLGVEIAKNLVLAGIKALTIH DTKKCQAWDLGTNFFLCEDDVVNERNRAEAVLHRIAELNPYVQVSSSSAPLDETTDLSFL EKYQCVVLTEIKLTLQKKINNFCHSHCPPIKFISADVHGIWSRLFCDFGDEFEVSDTTGE EPKEIFISNITQANPGIVTCLESHPHKLETGQFLTFREIHGMTGLNGSVQQITVISPFSF SIGDTTKLDPYLHGGIAVQVKTPKTFCFEPLESQIKHPRCLIADFSKPEAPLEIHLAMLA LDQFQENYNRKPNIRCQQDSDELLKLTVSINETLEEKPEVNADIVHWLSWTAQGFLPPLA AAVGGVASQEVLKAVTGKFSPLCQWLYLEAADTVESLGNPGHEEFLPRGDRYDAIRACIG NTLCQKLQNLNIFLVGCGAIGCEMLKNFALLGVGTGREKGMVTVTDPDLIEKSNLNRQFL FRPHHIQKPKSYTAAEATLKINPQLKIDAHLNKVCPATESIYSDEFYTKQDIIITALDNV EARRYVDSRCLANLRPLLDSGTMGTKGHTEIIVPQLTESYNSHRDPPEEEIPFCTLKSFP AAIEHTIQWARDKFESSFSHKPSLFNKFWQAYPSAEDVLQKIQNGQSLEGCFQVIKLLSR RPRIWSQCVELARLKFEKYFNHKALQLLHCFPLETRLKDGSLFWQSPKRPPSPIKFDLNE PLHLSFLQSAAKLYATVYCIPFSEKDLSVNSLMDILSEVKIEEFKPSNKVVQTDETARKP DHVPVSSEDERNAVFQLEEALSSNKATKSDLQMTVLSFEKDDDRNGHIDFITAASNLRAK MYSIEPADRFKTKRIAGKIIPAIATSTAAVSGLVALEMIKVAGGYPFDAYKNCFLNLAIP IIVFTETSEVRKTEIRNGISFTIWDRWTVHGKEDFTLSDFINAVKENYGIEPTMVVQGVK MLYVPVMPGHAKRLKLTMHKLVKPSTEKKYVDLTVSFAPDADGDEDLPGPPVRYYFSHDT NE >ENSMUSP00000108686.1 pep:known chromosome:GRCm38:X:137570608:138846946:1 gene:ENSMUSG00000059203.10 transcript:ENSMUST00000113063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rapl2 description:interleukin 1 receptor accessory protein-like 2 [Source:MGI Symbol;Acc:MGI:1913106] MKLPLLLALVVCSAVSTNLKMVSKRNSVDGCIDWSVDLKTYMALAGEPVRVKCALFYSYI RTNYSMAQSTGLRLMWYRNKGDLEEPIIFSEVRMSKEEDAIWFHSAEEQDSGFYTCVLRN STYCMKVSMSLTVAENESGLCYNSRIRYLEKSEVTKRKEISCPDMDDFKKSDQEPDVVWY KECKPKMWRSIIIQKGNALLIQEVQEEDGGNYTCELKYEGKLVRRTTELKVTALLTDKPP KPLFPMENQPSVIDVQLGKPLNIPCKAFFGFSGESGPMIYWMKGEKFIEELAGHIREGEI RLLKEHLGEKEVELTLIFDSVVEADLANYTCHVENRNGRKHASVLLRKKDLIYKIELAGG LGAIFLLLILLLVVYKCYNIELMLFYRQRFGGDETTDDNKEYDAYLSYTKVDQDTLDCDN TEEEQFALEILPDVLEKHYGYKLFIPERDLIPSGTYIEDLTRCVEQSRRLIIVLTPDYIL RRGWSIFELESRLHNMLVSGEIKVILIECTELKGKVNCQEVESLKHNIKLLSLIKWKGPK SSKLNSKFWKHLVYEMPIKKKEMLSHCHVLDSAEQGLFGELQPIPSIAMTSTSATMVPSQ ADLPEFHHSDSMQMRHCCRGYQHEMPANTLSVPSLGNHHTYCNLPLTLLNGQLPLNNSLK ETEEFSRNNPLLPLTSKELSFTSDIW >ENSMUSP00000074917.3 pep:known chromosome:GRCm38:X:137651720:138846624:1 gene:ENSMUSG00000059203.10 transcript:ENSMUST00000075471.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il1rapl2 description:interleukin 1 receptor accessory protein-like 2 [Source:MGI Symbol;Acc:MGI:1913106] MKLPLLLALVVCSAVSTNLKMVSKRNSVDGCIDWSVDLKTYMALAGEPVRVKCALFYSYI RTNYSMAQSTGLRLMWYRNKGDLEEPIIFSEVRMSKEEDAIWFHSAEEQDSGFYTCVLRN STYCMKVSMSLTVAENESGLCYNSRIRYLEKSEVTKRKEISCPDMDDFKKSDQEPDVVWY KECKPKMWRSIIIQKGNALLIQEVQEEDGGNYTCELKYEGKLVRRTTELKVTALLTDKPP KPLFPMENQPSVIDVQLGKPLNIPCKAFFGFSGESGPMIYWMKGEKFIEELAGHIREGEI RLLKEHLGEKEVELTLIFDSVVEADLANYTCHVENRNGRKHASVLLRKKDLIYKIELAGG LGAIFLLLILLLVVYKCYNIELMLFYRQRFGGDETTDDNKEYDAYLSYTKVDQDTLDCDN TEEEQFALEILPDVLEKHYGYKLFIPERDLIPSGTYIEDLTRCVEQSRRLIIVLTPDYIL RRGWSIFELESRLHNMLVSGEIKVILIECTELKGKVNCQEVESLKHNIKLLSLIKWKGPK SSKLNSKFWKHLVYEMPIKKKEMLSHCHVLDSAEQGLFGELQPIPSIAMTSTSATMVPSQ ADLPEFHHSDSMQMRHCCRGYQHEMPANTLSVPSLGNHHTYCNLPLTLLNGQLPLNNSLK ETEEFSRNNPLLPLTSKELSFTSDIW >ENSMUSP00000046120.6 pep:known chromosome:GRCm38:11:115475667:115490467:1 gene:ENSMUSG00000057219.11 transcript:ENSMUST00000035240.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc7 description:armadillo repeat containing 7 [Source:MGI Symbol;Acc:MGI:2679719] MAQKPKIDPHVGRLGYLQALVTEFQETESQDAKEQVLANLANFAYDPGNYQYLRQLQVLD LFLDSLSEENETLIKFAIGGLCNLCADKANKEHVLQAGGLPLIIGCLSSPDEETVLSAVT TLMYLSSPGSRSHPELTSLPVVQCMLRFSISASTRLRNLAQIFLEDFCSPSQVAEAHSQQ AHSALGIPLPKTEAPQQP >ENSMUSP00000034615.2 pep:known chromosome:GRCm38:9:35559460:35567401:1 gene:ENSMUSG00000032103.10 transcript:ENSMUST00000034615.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus3 description:pseudouridine synthase 3 [Source:MGI Symbol;Acc:MGI:1914299] MAENTDRNQIEKLLNRVKELEQEVERLKKKKEQANNIKDSSIRENSLGSGKAKRAFDFSA HGRRHVALKIAYLGWGYQGFASQENTSNTIEEKLFEALTKTRLVESRQTSNYHRCGRTDK GVSAFGQVISLDLRSQFPTSRDSEDSNLKHEADDLAKEIRYTHILNRVLPADIRVLAWAP VEPSFSARFSCLERTYRYFFPRADLDIATMNYAAQKYVGTHDFRNLCKMDVANGVINFQR TILCAQVQLVAQSPGEERRQEPFQLCQFEVIGQAFLYHQVRCMMAILFLIGQGMEKPEII DELLNIQKNPQKPQYSMAVEFPLVLYDCKFENTKWIYDHEVQEFNVTHLQQLWANHAVKT HMLYSMLQGLDSVMVTCAAGTKMDEATEWRNIQPPVIKHTSAFVEGVKMRTYKPLMDRPK CQGLESRIRHFVSRGRIEHPHLLHKEEIKARRDCADKEENTVVENPSKRVCIIDAEINSI A >ENSMUSP00000113382.1 pep:known chromosome:GRCm38:9:35559466:35566839:1 gene:ENSMUSG00000032103.10 transcript:ENSMUST00000121246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus3 description:pseudouridine synthase 3 [Source:MGI Symbol;Acc:MGI:1914299] MAENTDRNQIEKLLNRVKELEQEVERLKKKKEQANNIKDSSIRENSLGSGKAKRAFDFSA HGRRHVALKIAYLGWGYQGFASQENTSNTIEEKLFEALTKTRLVESRQTSNYHRCGRTDK GVSAFGQVISLDLRSQFPTSRDSEDSNLKHEADDLAKEIRYTHILNRVLPADIRVLAWAP VEPSFSARFSCLERTYRYFFPRADLDIATMNYAAQKYVGTHDFRNLCKMDVANGVINFQR TILCAQVQLVAQSPGEERRQEPFQLCQFEVIGQAFLYHQVRCMMAILFLIGQGMEKPEII DELLNIQKNPQKPQYR >ENSMUSP00000003850.7 pep:known chromosome:GRCm38:7:27207172:27228628:-1 gene:ENSMUSG00000003752.9 transcript:ENSMUST00000003850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpkc description:inositol 1,4,5-trisphosphate 3-kinase C [Source:MGI Symbol;Acc:MGI:2442554] MRRCPCRGSLSEAEAGALPAEARMGLEALRGGRRRQPGLQRPGPGAGGPTGRPEGGGPRA WIEESSLHSEAERTDLEPAPCPNGPQAESCGDGHAECEAAGLVVASEKPRQNKELDGSNL QTHPRRNSPLVEMEMAGSWTDGFRTDLHRSDLQSRPKRASLCTQPGFDESWTELDRSDMW QTLPERDNKPRVDNLRTHHGVSKLQTHPVCLSPESSADNSGKELSADASRTPHDTDGFWI ESQTDDSLKGPSTQTACRQPGSDGFSSKDTESALTQPGTDGLRDDSVLGESNGNDPLDLS EPGELVTNLCSHLECSSLCPVPRLIITPETPEPEAQPVGPQSRIEGGTGGFSSASSFDES EDDLVAGGGGTSDPEDRAGSKPWKKLKTVLKYSPFVVSFHKHYYPWVQLSGHAGNFQAGE DGRILKRFCQCEQRSLELLMGDPLRPFVPAYYGMVQRDGQAFNQMEDLLADFEGPSIMDC KMGSRTYLEEELVKARERPKPRKDMYEKMVAVDPGAPTPEEHAQGAITKPRYMQWRETLS STSTLGFRIEGIKKADGTCNTNFKKTQALEQVTKVLEDFVNGDLGILRKYVARLEDLRET LENSPFFKTHEVVGSSLLFVHDHTGLAKVWMIDFGKTVALPDHQMLSHRLPWTEGNREDG YLWGLDNLICLLQGLAQS >ENSMUSP00000138277.1 pep:known chromosome:GRCm38:17:56584974:56606219:1 gene:ENSMUSG00000071054.12 transcript:ENSMUST00000182533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Safb description:scaffold attachment factor B [Source:MGI Symbol;Acc:MGI:2146974] MAETLSGLGDASAAGAAAVSSAASETGTRRLSDLRVIDLRAELKKRNLDSSGNKSVLMER LKKAIEDEGGNPDEIEVTSECNKKMPKRPSKGRKPEDEGVEDNGLEENSGDGQEDVETSL ENLQDMDMMDISVLDEADIDNGSVADCVEEEEEATLPEGLADSTELVEGDLKGLPEQLQE HAIDDKDTVNNVDTSSSDFTMLQEMEEASLEPENEKILDILGETCKSEPVKEEGSELEQP FAQATSSVGPDRKLAEEEDLFESCGHPEEEEEEEEEDQEEEQEEEGDLALASSSKSESPS TRCQWSEADAPLAVVKREPADAPGGGTGMDREPVGLEEPVEQSSTAAQLPEATSQELVRA PTAALSPEPQDSKEDVKKFAFDACNDVPAPPKESSASEGADQKMSSVEEDSDTKRLSREE KGRSSCGRNFWVSGLSSTTRATDLKNLFSRYGKVVGAKVVTNARSPGARCYGFVTMSTAE EATKCISHLHKTELHGKMISVEKAKSEPTGKRVPDRRDGDSKKEKASTSDRSANLKREEK GERKDDAKKTDDGSTEKSKDADDQKPGPSERSRTTKSGSRGTERTVVMDKSKGVPVISVK TSGSKERASKSQDRKSASREKRSVVSFDKVKESRKSRDSESRRERERSEREQRLQAQWER EERERLEIARERLAFHRHRLERERMERERLERERMHVEQERRREQERIHREREELRRQQE LRYEQERRPAVRRPYEVDGRRDDAYWPEAKRAALDDRYHSDFSRQDRFHDFDHRDRGRYP NHSVDRREGSRSMMGDREGQHYPERHGGPERHGRDSRDGWGYGSNKRLSEGRGLPPPPRG RRDWGEHGRRLEDDRAWQGTADGGMMERDHKRWQGGERSMSGHSGPGHMMNRGGMSGRGS FAPGGASRGHVIPRGGMQAGFGGQSRGSRPSDARFTRRY >ENSMUSP00000138743.1 pep:known chromosome:GRCm38:17:56584982:56593720:1 gene:ENSMUSG00000071054.12 transcript:ENSMUST00000182800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Safb description:scaffold attachment factor B [Source:MGI Symbol;Acc:MGI:2146974] MERLKKAIEDEGGNPDEIEVTSECNKKMPKRPSKGRKPEDEGVE >ENSMUSP00000092849.3 pep:known chromosome:GRCm38:17:56585059:56606294:1 gene:ENSMUSG00000071054.12 transcript:ENSMUST00000095224.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Safb description:scaffold attachment factor B [Source:MGI Symbol;Acc:MGI:2146974] MAETLSGLGDASAAGAAAVSSAASETGTRRLSDLRVIDLRAELKKRNLDSSGNKSVLMER LKKAIEDEGGNPDEIEVTSECNKKMPKRPSKGRKPEDEGVEDNGLEENSGDGQEDVETSL ENLQDMDMMDISVLDEADIDNGSVADCVEEEEEATLPEGLADSTELVEGDLKGLPEQLQE HAIDDKDTVNNVDTSSSDFTMLQEMEEASLEPENEKILDILGETCKSEPVKEEGSELEQP FAQATSSVGPDRKLAEEEDLFESCGHPEEEEEEEEEDQEEEQEEEGDLALASSSKSESPS TRCQWSEADAPLAVVKREPADAPGGGTGMDREPVGLEEPVEQSSTAAQLPEATSQELVRA PTAALSPEPQDSKEDVKKFAFDACNDVPAPPKESSASEGADQKMSSVEEDSDTKRLSREE KGRSSCGRNFWVSGLSSTTRATDLKNLFSRYGKVVGAKVVTNARSPGARCYGFVTMSTAE EATKCISHLHKTELHGKMISVEKAKSEPTGKRVPDRRDGDSKKEKASTSDRSANLKREEK GERKDDAKKTDDGSTEKSKDADDQKPGPSERSRTTKSGSRGTERTVVMDKSKGVPVISVK TSGSKERASKSQDRKSASREKRSVVSFDKVKESRKSRDSESRRERERSEREQRLQAQWER EERERLEIARERLAFHRHRLERERMERERLERERMHVEQERRREQERIHREREELRRQQE LRYEQERRPAVRRPYEVDGRRDDAYWPEAKRAALDDRYHSDFSRQDRFHDFDHRDRGRYP NHSVDRREGSRSMMGDREGQHYPERHGGPERHGRDSRDGWGYGSNKRLSEGRGLPPPPRR DWGEHGRRLEDDRAWQGTADGGMMERDHKRWQGGERSMSGHSGPGHMMNRGGMSGRGSFA PGGASRGHVIPRGGMQAGFGGQSRGSRPSDARFTRRY >ENSMUSP00000138395.1 pep:known chromosome:GRCm38:17:56588840:56601240:1 gene:ENSMUSG00000071054.12 transcript:ENSMUST00000182951.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Safb description:scaffold attachment factor B [Source:MGI Symbol;Acc:MGI:2146974] XKMPKRPSKGRKPEDEGVEDNGLEENSGDGQEDVETSLENLQDMDMMDISVLDEADIDNG SVADCVEEEEEATLPEGLADSTELVEGDLKGLPEQLQEHAIDDKDTVNNVDTSSSDFTML QEMEEASLEPVLWRRTRIQKGFPEKRRVAAAVVGISGLVAFRLQPEPRI >ENSMUSP00000033957.5 pep:known chromosome:GRCm38:8:24602246:24674755:-1 gene:ENSMUSG00000031552.12 transcript:ENSMUST00000033957.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam18 description:a disintegrin and metallopeptidase domain 18 [Source:MGI Symbol;Acc:MGI:105986] MPLLFILAELAMLFARLDSEGICLHITVPQKIEPRKGGDAEGKVTYVITIDGKPYSLHLR NHSFLSQNFLVYTYNETGSLYSDSSHFLAHCHYRGYVDEVPNSIVTLSICSGLRGFLQLE NVSYGIEPLESSARFEHIVYQVKSDSSMLAGNDSHVWQIDQLDKGHFNEQDKNHSQLLPQ SLKLHIIVGKFLFDYMGSDIMAITQKIFQIIGLVNAMLTQLKLSVVLASLELWSDKNHIS TDGNATDILQRLLDWKRDYLTLQSNEITHLLIYRRRPKYIGAASPGEICSKSYVAGVGMY PEDIGLEGFSVVITQLIGLHIGLTYDDNIRNCSCPSAPCIMQQGALSSSGKKTFSNCSLH DYMHYVSNFDTQCLGDLSNVHVLQPNQAVCGNGIMEAGEECDCGNETECQFKECCDHETC RLKGSAQCGSGACCMPTCELSASGTPCRKAVDPECDFTEYCDGSSSHCVPDTFALNGHLC RLGSAYCYNGRCQALNDQCVSLFGKGSQGASYACFEKVNSPRENLANCDSKDSYSVPCGQ QDVLCGKLACFRPPKNYKSPSQSVVYSYVHDSVCLSILPGLSMRSDGRDSAYVADGTVCG PQMYCINGTCKEVNFTGNDCNATKKCKGNGICNNFGNCQCFPDYRPPDCNLQIGSPGGSI DDGNTLRTESAFATKRLSKNEDSWVILGFFIFLPFIVTFLVGIMKRNERKIVPQGEHKI >ENSMUSP00000133378.1 pep:known chromosome:GRCm38:8:24602246:24674755:-1 gene:ENSMUSG00000031552.12 transcript:ENSMUST00000173833.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam18 description:a disintegrin and metallopeptidase domain 18 [Source:MGI Symbol;Acc:MGI:105986] MPLLFILAELAMLFARLDSEGICLHITVPQKIEPRKGGDAEGKVTYVITIDGKPYSLHLR NHSFLSQNFLVYTYNETGSLYSDSSHFLAHCHYRGYVDEVPNSIVTLSICSGLRGFLQLE NVSYGIEPLESSARFEHIVYQVKSDSSMLAGNDSHVWQIDQLDKGHFNEQDKNHSQLLPQ SLKLHIIVGKFLFDYMGSDIMAITQKIFQIIGLVNAMLTQLKLSVVLASLELWSDKNHIS TDGNATDILQRLLDWKRDYLTLQSNEITHLLIYRRRPKYIGAASPGEICSKSYVAGVGMY PEDIGLEGFSVVITQLIGLHIGLTYDDNIRNCSCPSAPCIMQQGALSSSGKKTFSNCSLH DYMHYVSNFDTQCLGDLSNVHVLQPNQAVCGNGIMEAGEECDCGNETECQFKECCDHETC RLKGSAQCGSGACCMPTCELSASGTPCRKAVDPECDFTEYCDGSSSHCVPDTFALNGHLC RLGSAYCYNGRCQALNDQCVSLFGKGSQGASYACFEKVNSPRENLANCDSKDSYSVPCGQ QDVLCGKLACFRPPKNYKSPSQSVVYSYVHDSVCLSILPGLSMRSDGRDSAYVADGTVCG PQMYCINGTCKEVNFTGNDCNATKKCKGNGASRL >ENSMUSP00000094038.2 pep:known chromosome:GRCm38:X:138208165:138209580:-1 gene:ENSMUSG00000071686.2 transcript:ENSMUST00000096314.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex13a description:testis expressed 13A [Source:MGI Symbol;Acc:MGI:1915194] MALNPEDLGSGFRHSKVSMFINEQMAKHDKGPDFYLENLSLSWEEVEDKFKVILEDSEVS NEAREACAWGTLALGVRFAHRQGCLQGHGVQWLQDLSSLHKMSALSLSPDLKQLIHQQEM AQKEVALQLQMAQAKLEEVQRERDLLRLKILQAELRALPNAVRPAVAIPPAVVRRGGIRT QWSSTKENLAEWMAAATGRPNERANMSDTALSGTITSPEEVLEDPNGSFMKLLGVMKCKN YPLKRQRLDLRPKKASMCSFSQSLNLRSTVSSEPFIVQLPASFTYSYESPFPAIPTTSQL PTTERQPHVYPYSMASDISHLSDMRIHRGDHQELPKDKRFSAFRRPGDWDCPWCKAVNFS RRENCFHCGKGIWLQNP >ENSMUSP00000001547.7 pep:known chromosome:GRCm38:11:94936224:94953042:1 gene:ENSMUSG00000001506.10 transcript:ENSMUST00000001547.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col1a1 description:collagen, type I, alpha 1 [Source:MGI Symbol;Acc:MGI:88467] MFSFVDLRLLLLLGATALLTHGQEDIPEVSCIHNGLRVPNGETWKPEVCLICICHNGTAV CDDVQCNEELDCPNPQRREGECCAFCPEEYVSPNSEDVGVEGPKGDPGPQGPRGPVGPPG RDGIPGQPGLPGPPGPPGPPGPPGLGGNFASQMSYGYDEKSAGVSVPGPMGPSGPRGLPG PPGAPGPQGFQGPPGEPGEPGGSGPMGPRGPPGPPGKNGDDGEAGKPGRPGERGPPGPQG ARGLPGTAGLPGMKGHRGFSGLDGAKGDAGPAGPKGEPGSPGENGAPGQMGPRGLPGERG RPGPPGTAGARGNDGAVGAAGPPGPTGPTGPPGFPGAVGAKGEAGPQGARGSEGPQGVRG EPGPPGPAGAAGPAGNPGADGQPGAKGANGAPGIAGAPGFPGARGPSGPQGPSGPPGPKG NSGEPGAPGNKGDTGAKGEPGATGVQGPPGPAGEEGKRGARGEPGPSGLPGPPGERGGPG SRGFPGADGVAGPKGPSGERGAPGPAGPKGSPGEAGRPGEAGLPGAKGLTGSPGSPGPDG KTGPPGPAGQDGRPGPAGPPGARGQAGVMGFPGPKGTAGEPGKAGERGLPGPPGAVGPAG KDGEAGAQGAPGPAGPAGERGEQGPAGSPGFQGLPGPAGPPGEAGKPGEQGVPGDLGAPG PSGARGERGFPGERGVQGPPGPAGPRGNNGAPGNDGAKGDTGAPGAPGSQGAPGLQGMPG ERGAAGLPGPKGDRGDAGPKGADGSPGKDGARGLTGPIGPPGPAGAPGDKGEAGPSGPPG PTGARGAPGDRGEAGPPGPAGFAGPPGADGQPGAKGEPGDTGVKGDAGPPGPAGPAGPPG PIGNVGAPGPKGPRGAAGPPGATGFPGAAGRVGPPGPSGNAGPPGPPGPVGKEGGKGPRG ETGPAGRPGEVGPPGPPGPAGEKGSPGADGPAGSPGTPGPQGIAGQRGVVGLPGQRGERG FPGLPGPSGEPGKQGPSGSSGERGPPGPMGPPGLAGPPGESGREGSPGAEGSPGRDGAPG AKGDRGETGPAGPPGAPGAPGAPGPVGPAGKNGDRGETGPAGPAGPIGPAGARGPAGPQG PRGDKGETGEQGDRGIKGHRGFSGLQGPPGSPGSPGEQGPSGASGPAGPRGPPGSAGSPG KDGLNGLPGPIGPPGPRGRTGDSGPAGPPGPPGPPGPPGPPSGGYDFSFLPQPPQEKSQD GGRYYRADDANVVRDRDLEVDTTLKSLSQQIENIRSPEGSRKNPARTCRDLKMCHSDWKS GEYWIDPNQGCNLDAIKVYCNMETGQTCVFPTQPSVPQKNWYISPNPKEKKHVWFGESMT DGFPFEYGSEGSDPADVAIQLTFLRLMSTEASQNITYHCKNSVAYMDQQTGNLKKALLLQ GSNEIELRGEGNSRFTYSTLVDGCTSHTGTWGKTVIEYKTTKTSRLPIIDVAPLDIGAPD QEFGLDIGPACFV >ENSMUSP00000102140.1 pep:known chromosome:GRCm38:11:115490420:115491815:-1 gene:ENSMUSG00000020736.12 transcript:ENSMUST00000106530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c description:5',3'-nucleotidase, cytosolic [Source:MGI Symbol;Acc:MGI:1354954] MAVKRPVRVLVDMDGVLADFESGLLQGFRRRFPEEPHVPLEQRRGFLANEQYGALRPDLA EKVASVYESPGFFLNLEPIPGALDALREMNDMKDTEVFICTTPLLKYDHCVGEKYRWVEQ NLGPEFVERIILTRDKTVVMGDLLIDDKDNIQERTLSLLPRPRGDPKLGAHLVHLLPQSA PGPAPHQETAAFLE >ENSMUSP00000021082.6 pep:known chromosome:GRCm38:11:115490420:115491862:-1 gene:ENSMUSG00000020736.12 transcript:ENSMUST00000021082.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c description:5',3'-nucleotidase, cytosolic [Source:MGI Symbol;Acc:MGI:1354954] MAVKRPVRVLVDMDGVLADFESGLLQGFRRRFPEEPHVPLEQRRGFLANEQYGALRPDLA EKVASVYESPGFFLNLEPIPGALDALREMNDMKDTEVFICTTPLLKYDHCVGEKYRWVEQ NLGPEFVERIILTRDKTVVMGDLLIDDKDNIQGLEETPSWEHILFTCCHNQHLALPPTRR RLLSWSDNWRGIIESKRASL >ENSMUSP00000085580.5 pep:known chromosome:GRCm38:6:125278274:125286042:-1 gene:ENSMUSG00000067702.5 transcript:ENSMUST00000088246.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba3a description:tubulin, alpha 3A [Source:MGI Symbol;Acc:MGI:1095406] MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGK HVPRAVFVDLEPTVVDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIVDLVLD RIRKLADLCTGLQGFLIFHSFGGGTGSGFASLLMERLSVDYGKKSKLEFAIYPAPQVSTA VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLIGQIVSSITA SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN QMVKCDPRHGKYMACCMLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE AREDLAALEKDYEEVGVDSVEAEAEEGEEY >ENSMUSP00000110762.3 pep:known chromosome:GRCm38:9:35560820:35570398:-1 gene:ENSMUSG00000050555.9 transcript:ENSMUST00000115110.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyls1 description:hydrolethalus syndrome 1 [Source:MGI Symbol;Acc:MGI:1924082] MAEKRQAYSVPEAMKQLIGPGGQKWANMDPEERMLAAATAFTRICAGQGEGDSRREAQAG QYDPYSKASVTPGKRPALPMHLHFPHTASRVTSSTVSETSQKCRKPVMKRKVLRRKPDGE VLVTDESVISECESGTESDLGLWDLRHRFMNLQFQEGTESPVVTSQKFNLPCEYQGISQE DQLICYLQREEMDPPVYEQDLIVASRPKSFILPRLDQLSRNRGKIDRVARYFEYKRDWDS MRFPGEDHRKELRWSVRGQMLSRTEPPSKPQHVYVPNNYLVPTEKKRSALRWGVRCDLAN GVMPKKLPFPLSPS >ENSMUSP00000144836.1 pep:known chromosome:GRCm38:6:84571414:84587680:-1 gene:ENSMUSG00000063415.12 transcript:ENSMUST00000205228.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp26b1 description:cytochrome P450, family 26, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2176159] MAVRVLLGFSIPEEDLGHLFEVYQQFVENVFSLPVDLPFSGYRRGIQARQILQKGLEKAI REKLQCTQGKDYSDALDILIESSKEHGKEMTMQELKDGTLELIFAAYATTASASTSLIMQ LLKHPAVLEKLREELRAQGLLHGGGCPCEGTLRLDTLSSLRYLDCVIKEVMRLFTPVSGG YRTVLQTFELDGFQIPKGWSVMYSIRDTHDTAPVFKDVNVFDPDRFSQARSEDKDGRFHY LPFGGGVRTCLGKHLAKLFLKVLAVELASTSRFELATRTFPRITLVPVLHPVDGLSVKFF GLDSNQNEILPETEAMLSATV >ENSMUSP00000145092.1 pep:known chromosome:GRCm38:6:84571944:84588638:-1 gene:ENSMUSG00000063415.12 transcript:ENSMUST00000204146.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp26b1 description:cytochrome P450, family 26, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2176159] MLFEGLELVSALATLAACLVSVTLLLAVSQQLWQLRWAATRDKSCKLPIPKGSMGFPLIG ETGHWLLQGSGFQSSRREKYGNVFKTHLLGRPLIRVTGAENVRKILLGEHQLVSTEWPRS ARVLLGPNTVANSIGDIHRNKRKVFSKIFSHEALESYLPKIQLVIQDTLRAWSSQPEAIN VYQEAQRLTFRMAVRVLLGFSIPEEDLGHLFEVYQQFVENVFSLPVDLPFSGYRRGIQAR QILQKGLEKAIREKLQCTQGKDYSDALDILIESSKEHGKEMTMQELKDGTLELIFAAYAT TASASTSLIMQLLKHPAVLEKLREELRAQGLLHGGGCPCEGTLRLDTLSSLRYLDCVIKE VMRLFTPVSGGYRTVLQTFELDGFQIPKGWSVMYSIRDTHDTAPVFKDVNVFDPDRFSQA RSEDKDGRFHYLPFGGGVRTCLGKHLAKLFLKVLAVELASTSRFELATRTFPRITLVPVL HPVDGLSVKFFGLDSNQNEILPETEAMLSATV >ENSMUSP00000076886.3 pep:known chromosome:GRCm38:6:84571944:84593746:-1 gene:ENSMUSG00000063415.12 transcript:ENSMUST00000077705.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp26b1 description:cytochrome P450, family 26, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2176159] MLFEGLELVSALATLAACLVSVTLLLAVSQQLWQLRWAATRDKSCKLPIPKGSMGFPLIG ETGHWLLQGSGFQSSRREKYGNVFKTHLLGRPLIRVTGAENVRKILLGEHQLVSTEWPRS ARVLLGPNTVANSIGDIHRNKRKVFSKIFSHEALESYLPKIQLVIQDTLRAWSSQPEAIN VYQEAQRLTFRMAVRVLLGFSIPEEDLGHLFEVYQQFVENVFSLPVDLPFSGYRRGIQAR QILQKGLEKAIREKLQCTQGKDYSDALDILIESSKEHGKEMTMQELKDGTLELIFAAYAT TASASTSLIMQLLKHPAVLEKLREELRAQGLLHGGGCPCEGTLRLDTLSSLRYLDCVIKE VMRLFTPVSGGYRTVLQTFELDGFQIPKGWSVMYSIRDTHDTAPVFKDVNVFDPDRFSQA RSEDKDGRFHYLPFGGGVRTCLGKHLAKLFLKVLAVELASTSRFELATRTFPRITLVPVL HPVDGLSVKFFGLDSNQNEILPETEAMLSATV >ENSMUSP00000128391.2 pep:known chromosome:GRCm38:6:84571944:84593908:-1 gene:ENSMUSG00000063415.12 transcript:ENSMUST00000168003.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp26b1 description:cytochrome P450, family 26, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2176159] MLFEGLELVSALATLAACLVSVTLLLAVSQQLWQLRWAATRDKSCKLPIPKGSMGFPLIG ETGHWLLQGSGFQSSRREKYGNVFKTHLLGRPLIRVTGAENVRKILLGEHQLVSTEWPRS ARVLLGPNTVANSIGDIHRNKRKVFSKIFSHEALESYLPKIQLVIQDTLRAWSSQPEAIN VYQEAQRLTFRMAVRVLLGFSIPEEDLGHLFEVYQQFVENVFSLPVDLPFSGYRRGIQAR QILQKGLEKAIREKLQCTQGKDYSDALDILIESSKEHGKEMTMQELKDGTLELIFAAYAT TASASTSLIMQLLKHPAVLEKLREELRAQGLLHGGGCPCEGTLRLDTLSSLRYLDCVIKE VMRLFTPVSGGYRTVLQTFELDGFQIPKGWSVMYSIRDTHDTAPVFKDVNVFDPDRFSQA RSEDKDGRFHYLPFGGGVRTCLGKHLAKLFLKVLAVELASTSRFELATRTFPRITLVPVL HPVDGLSVKFFGLDSNQNEILPETEAMLSATV >ENSMUSP00000144998.1 pep:known chromosome:GRCm38:6:84574241:84588005:-1 gene:ENSMUSG00000063415.12 transcript:ENSMUST00000204109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp26b1 description:cytochrome P450, family 26, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2176159] MLFEGLELVSALATLAACLVSVTLLLAVSQQLWQLRWAATRDKSCKLPIPKGSMGFPLIG ETGHWLLQVFSKIFSHEALESYLPKIQLVIQDTLRAWSSQPEAINVYQEAQRLTFRMAVR VLLGFSIPEEDLGHLFEVYQQFVENVFSLPVDLPFSGYRRGIQARQILQKGLEKAIREKL QCTQGKDYSDALDILIESSKEHGKEMTMQELKDGTLELIFAAYATTASASTSLIMQLLKH PAVLEKLREELRAQGLLHGGGCPCEGTLRLDTLSSLRYLDCVIKEVMRLFTPVSGGYRTV LQTFELDGFQIPKGWSVMYSIRDTHDTAPVFKDVNVFDPDRFSQARSEDKDGRFHYLPFG GGVRTCLGKHLAKLFLKVLAVELASTSRFELATRTFPRITLVPVLHPVDGLSVKFFGLDS NQNEILPETEAMLSATV >ENSMUSP00000091964.4 pep:known chromosome:GRCm38:7:46923539:46958527:-1 gene:ENSMUSG00000043262.15 transcript:ENSMUST00000094398.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uevld description:UEV and lactate/malate dehyrogenase domains [Source:MGI Symbol;Acc:MGI:1860490] MEFDCEGVRRLLGKYKFRDLTVEELKNVSVSFPHFRYSVDTYVFKDTSQKDLLNFTGTIP VMYQGKTYNIPIRFWILDSHPFAPPICFLKPTANMEISVGKHVDAKGRIYLPYLQNWSHP KSAIVGLIKEMIAKFQEELPLYSIPSSNEAQQVDLLAYITKITEGVSDINSRGWTNHENK ILNKITVVGSGDLGIACTLAISAKGIADKLLLLDLSDGMSQGTMDLDIFNLPNVEISKDL SASAHSKVVIFTANSLGGSESYLHAVQSNVDMFRALVPALGHYSQHAVLLVASQPVEIMS YVTWKLSTFPATRVVGIGCNLDSQRLQYIITSVLKVQTSGKEVWVVGEQGENKVCSWSGR DGVLSPSSQAQLSSRAMELLKVKGQRSWSVGLSVADLVDTIINNKRKVHSVSTLAKGYYG LDNEVFLSLPCILGTGGVSEVIKTKAGEDTVTGTLQASASSIHALQQQLEL >ENSMUSP00000146930.1 pep:known chromosome:GRCm38:7:46923539:46958527:-1 gene:ENSMUSG00000043262.15 transcript:ENSMUST00000207986.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uevld description:UEV and lactate/malate dehyrogenase domains [Source:MGI Symbol;Acc:MGI:1860490] MEFDCEGVRRLLGKYKFRDLTVEELKNVSVSFPHFRYSVDTYVFKDTSQKDLLNFTGTIP VMYQGKTYNIPIRFWILDSHPFAPPICFLKPTANMEISVGKHVDAKGRIYLPYLQNWSHP KSAIVGLIKEMIAKFQEELPLYSIPSSNEAQQVDLLAYITKITEGVSDINSRGWTNHENK ILNKITVVGSGDLGIACTLAISAKGIADKLLLLDLSDGMSQGTMDLDIFNLPNVEISKGG DLHSQLFGRF >ENSMUSP00000146385.1 pep:known chromosome:GRCm38:7:46948018:46958501:-1 gene:ENSMUSG00000043262.15 transcript:ENSMUST00000208308.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uevld description:UEV and lactate/malate dehyrogenase domains [Source:MGI Symbol;Acc:MGI:1860490] MEFDCEGVRRLLGKYKFRDLTVEELKNVSVSFPHFRYSVDTYELAM >ENSMUSP00000056125.4 pep:known chromosome:GRCm38:12:31706867:31713926:-1 gene:ENSMUSG00000044067.6 transcript:ENSMUST00000057783.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr22 description:G protein-coupled receptor 22 [Source:MGI Symbol;Acc:MGI:1920260] MSELSMTSCINLWKTTMVSPKAHKATVNRQNTNCSKRMCFSPVLEINMQSESNVTVRDDI DDIDTNMYQPLSYPLSFQVSLTGFLMLEIVLGLGSNLTVLVLYCMKSNLINSVSNIITMN LHVLDVIICVGCIPLTIVILLLSLESNTALICCFHEACVSFASVSTAINVFAITLDRYDI SVKPANRILTMGRAVMLMTSIWIFSFFSFLIPFIEVNFFSLQSGNTWANKTLLCVSTSEY YTELGMYYHLLVQIPIFFFTVIVMLITYTKILQALNIRIGTRFSTGQKKKARKKKTISLA THETTDMSQSSGGRNVVFGVRTSVSVIIALRRAVKRHRERRERQKRVFKMSLLIISTFLL CWTPISVLNTTILCLGPSDLLVKLRLCFLVMAYGTTIFHPLLYAFTRQKFQKVLKSKMKK RVVSIVEADPMPNNAVIHNSWIDPKRNKKVTYEDSEIREKCLVPQVVTD >ENSMUSP00000134839.1 pep:known chromosome:GRCm38:12:31708712:31713947:-1 gene:ENSMUSG00000044067.6 transcript:ENSMUST00000176710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr22 description:G protein-coupled receptor 22 [Source:MGI Symbol;Acc:MGI:1920260] MCFSPVLEINMQSESNVTVRDDIDDIDTNMYQPLSYPLSFQVSLTGFLMLEIVLGLGSNL TVLVLYCMKSNLINSVSNIITMNLHVLDVIICVGCIPLTIVILLLSLESNTALICCFHEA CVSFASVSTAINVFAITLDRYDISVKPANRILTMGRAVMLMTSIWIFSFFSFLIPFIEVN FFSLQSGNTWANKTLLCVSTSEYYTELGMYYHLLVQIPIFFFTVIVMLITYTKILQALNI RIGTRFSTGQKKKARKKKTISLATHETTDMSQSSGGRNVVFGVRTSVSVIIALRRAVKRH RERRERQKRVFKMSLLIISTFLLCWTPISVLNTTILCLGPSDLLVKLRLCFLVMAYGTTI FHPLLYAFTRQKFQKVLKSKMKKRVVSIVEADPMPNNAVIHNSWIDPKRNKKVTYEDSEI REKCLVPQVVTD >ENSMUSP00000134674.2 pep:known chromosome:GRCm38:12:31709449:31713933:-1 gene:ENSMUSG00000044067.6 transcript:ENSMUST00000174480.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr22 description:G protein-coupled receptor 22 [Source:MGI Symbol;Acc:MGI:1920260] MCFSPVLEINMQSESNVTVRDDIDDIDTNMYQPLSYPLSFQVSLTGFLMLEIVLGLGSNL TVLVLYCMKSNLINSVSNIITMNLHVLDVIICVGCIPLTIVILLLSLESNTALICCFHEA CVSFASVSTAINVFAITLDRYDISVKPANRILTMGRAVMLMTSIWIFSFFSFLIPFIEVN FFSLQSG >ENSMUSP00000111680.2 pep:known chromosome:GRCm38:17:33176416:33177363:1 gene:ENSMUSG00000094891.1 transcript:ENSMUST00000112168.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr55 description:olfactory receptor 55 [Source:MGI Symbol;Acc:MGI:1333751] MLGLNYTFVSEFILIGFSTFPHLQLMFFLLFLLMYLFTLLGNLLIMTTIWSEHSLHTPMY LFLCALSISEIFYTFAIIPRMLADLLTTLHSIAFLACASQMFFSFTFGFTHSFLLTVMGY DRYVAICHPLRYNVLMSPRGCACLVAWSWVGGSFMGTVVTTAIFNLTFCGPNEIHHFTCH VPPLLKLACGENVLEVAKGVEIVCITALLGCFLLILLSYAFIVVTILKIPSAEGRHKAFS TCASHLTVVVVHYGFASVIYLKPKGPKSLEGDTLMGITYTVLTPFLSPIIFSLRNKELKN AMKKIFLSKLYPEKI >ENSMUSP00000090230.6 pep:known chromosome:GRCm38:11:102684686:102697782:-1 gene:ENSMUSG00000020925.16 transcript:ENSMUST00000092569.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc43 description:coiled-coil domain containing 43 [Source:MGI Symbol;Acc:MGI:1289318] MAAPSEVAAAVLGEGDGGAFGSWLDGRLEALGVDRAVYAAYILGVLQEEEEEEKLDALQG ILSAFLEEESLLDICKEIVERWSETRDVTTKVKKEDEVQAIATLIEKQAQIVVKPRVVSE EEKQRKAALLAQYADVTDEEDEADKKDDAGASTANVSSDRTLFRNTNVEDVLNARKLERD SLRDESQRKKEQDKLQREKDKLAKQERKEKEKKRTQKGERKR >ENSMUSP00000127494.2 pep:known chromosome:GRCm38:11:102684688:102697734:-1 gene:ENSMUSG00000020925.16 transcript:ENSMUST00000164506.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc43 description:coiled-coil domain containing 43 [Source:MGI Symbol;Acc:MGI:1289318] MAAPSEVAAAVLGEGDGGAFGSWLDGRLEALGVDRAVYAAYILGVLQEEEEEEKLDALQG ILSAFLEEESLLDICKEIVERWSETRDVTTKVKKEDEVQAIATLIEKQAQIVVKPRVVSE EEKQRKAALLAQYADVTDEETEADKKDDAGASTANVSSDRTLFRNTNVEDVLNARKLERD SLRDESQRKKEQDKLQREKDKLAKQERKEKEKKRTQKGERKR >ENSMUSP00000078240.6 pep:known chromosome:GRCm38:10:71347763:71385885:1 gene:ENSMUSG00000060733.13 transcript:ENSMUST00000079252.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipmk description:inositol polyphosphate multikinase [Source:MGI Symbol;Acc:MGI:1916968] MAAEPPALRLRPPGSTGDSPPVPRLLGGCVPLSHQVAGHMYGKDKVGILQHPDGTVLKQL QPPPRGPRELEFYTMVYAADCADAVLLELRKHLPKYYGVWSPPTAPNDVYLKLEDVTHKF NKPCIMDVKIGRKSYDPFASSEKIQQQVSKYPLMEEIGFLVLGMRVYHLHSDSYETQNQH YGRGLTKETLKEGVSKFFHNGFCLRKDAIAASIQKVEKILQWFENQKQLNFYASSLLFVY EGSSQPATTKANDRTLAGRFLSKGPLTDADGLECNNNFHLFGAPPNGMSVGKSLSKAYSR HRKLYAKKHQSQTSLKVETLEQDNGWRSMSQEHLNGNVLAQLEKVFYHLPAGRPEIPEAE VRMIDFAHVFPSNTVDEGYVYGLKHLIAVLRSILDS >ENSMUSP00000113083.1 pep:known chromosome:GRCm38:10:71347836:71377416:1 gene:ENSMUSG00000060733.13 transcript:ENSMUST00000118381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipmk description:inositol polyphosphate multikinase [Source:MGI Symbol;Acc:MGI:1916968] MAAEPPALRLRPPGSTGDSPPVPRLLGGCVPLSHQVAGHMYGKDKVGILQHPDGTVLKQL QPPPRGPRELEFYTMVYAADCADAVLLELRKHLPKYYGVWSPPTAPNDVYLKLEDVTHKF NKPCIMDVKIGRKSYDPFASSEKIQQQVSKYPLMEEIGFLVLGMRVYHLHSDSYETQNQH YGRGLTKETLKEGEPWSGAAAAVSKMALTRFSSPLE >ENSMUSP00000120073.1 pep:known chromosome:GRCm38:10:71347836:71382239:1 gene:ENSMUSG00000060733.13 transcript:ENSMUST00000147277.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipmk description:inositol polyphosphate multikinase [Source:MGI Symbol;Acc:MGI:1916968] MAAEPPALRLRPPGSTGDSPPVPRLLGGCVPLSHQVAGHMYGKDKVGILQHPDGTVLKQL QPPPRGPRELEFYTMVYAADCADAVLLELRKHLPKYYGVWSPPTAPNDVYLKLEDVTHKF NKPCIMDVKIGRKSYDPFASSEKIQQQVSKYPLMEEIGFLVLGMRVYHLHSDSYETQNQH YGRGLTKETLKEGEPWSGAAAAVSKMALTRFSSPLE >ENSMUSP00000112568.1 pep:known chromosome:GRCm38:10:71347836:71382000:1 gene:ENSMUSG00000060733.13 transcript:ENSMUST00000121446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipmk description:inositol polyphosphate multikinase [Source:MGI Symbol;Acc:MGI:1916968] MAAEPPALRLRPPGSTGDSPPVPRLLGGCVPLSHQVAGHMYGKDKVGILQHPDGTVLKQL QPPPRGPRELEFYTMVYAADCADAVLLELRKHLPKYYGVWSPPTAPNADVYLKLEDVTHK FNKPCIMDVKIGRKSYDPFASSEKIQQQVSKYPLMEEIGFLVLGMRVYHLHSDSYETQNQ HYGRGLTKETLKEGVSKFFHNGFCLRKDAIAASIQKVEKILQWFENQKQLNFYASSLLFV YEGSSQPATTKANDRTLAGRFLSKGPLTDADGLECNNNFHLFGAPPNGMSVGKSLSKAYS RHRKLYAKKHQSQTSLKVETLEQDNGWRSMSQEHLNGNVLAQLEKVFYHLPAGRPEIPEA EVRMIDFAHVFPSNTVDEGYVYGLKHLIAVLRSILDS >ENSMUSP00000120612.1 pep:known chromosome:GRCm38:10:71381749:71433180:1 gene:ENSMUSG00000060733.13 transcript:ENSMUST00000141984.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipmk description:inositol polyphosphate multikinase [Source:MGI Symbol;Acc:MGI:1916968] XVDEGYVYGLKHLIAVLRSILDS >ENSMUSP00000093536.3 pep:known chromosome:GRCm38:6:63256027:64668285:1 gene:ENSMUSG00000071424.9 transcript:ENSMUST00000095852.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grid2 description:glutamate receptor, ionotropic, delta 2 [Source:MGI Symbol;Acc:MGI:95813] MEVFPLLLFLSFCWSRTWDLATADSIIHIGAIFDESAKKDDEVFRTAVGDLNQNEEILQT EKITFSVTFVDGNNPFQAVQEACELMNQGILALVSSIGCTSAGSLQSLADAMHIPHLFIQ RSTAGTPRSGCGLTRSNRNDDYTLSVRPPVYLNEVILRVVTEYAWQKFIIFYDSEYDIRG IQEFLDKVSQQGMDVALQKVENNINKMITTLFDTMRIEELNRYRDTLRRAILVMNPATAK SFISEVVETNLVAFDCHWIIINEEINDVDVQELVRRSIGRLTIIRQTFPVPQNISQRCFR GNHRISSSLCDPKDPFAQNMEISNLYIYDTVLLLANAFHKKLEDRKWHSMASLSCIRKNS KPWQGGRSMLETIKKGGVNGLTGDLEFGENGGNPNVHFEILGTNYGEELGRGVRKLGCWN PVTGLNGSLTDKKLENNMRGVVLRVVTVLEEPFVMVSENVLGKPKKYQGFSIDVLDALSN YLGFNYEIYVAPDHKYGSPQEDGTWNGLVGELVFKRADIGISALTITPDRENVVDFTTRY MDYSVGVLLRRAEKTVDMFACLAPFDLSLWACIAGTVLLVGLLVYLLNWLNPPRLQMGSM TSTTLYNSMWFVYGSFVQQGGEVPYTTLATRMMMGAWWLFALIVISSYTANLAAFLTITR IESSIQSLQDLSKQTDIPYGTVLDSAVYQHVRMKGLNPFERDSMYSQMWRMINRSNGSEN NVLESQAGIQKVKYGNYAFVWDAAVLEYVAINDPDCSFYTVGNTVADRGYGIALQHGSPY RDVFSQRILELQQSGDMDILKHKWWPKNGQCDLYSSVDAKQKGGALDIKSLAGVFCILAA GIVLSCLIAVLETWWSRRKGSRVPSKEDDKEIDLEHLHRRVNSLCTDDDSPHKQFSTSSI DLTPLDIDTLPTRQALEQISDFRNTHITTTTFIPEQIQTLSRTLSAKAASGFAFGSVPEH RTGPFRHRAPNGGFFRSPIKTMSSIPYQPTPTLGLNLGNDPDRGTSI >ENSMUSP00000059970.2 pep:known chromosome:GRCm38:2:85590542:85591486:1 gene:ENSMUSG00000101976.1 transcript:ENSMUST00000052307.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr998 description:olfactory receptor 998 [Source:MGI Symbol;Acc:MGI:3030832] MEEKNQTIVMEFFFLGLTDHLYQKIALFITILFVYLVTLGGNLGMITLIWADPRLHTPMY FFLSHLSFVDMCSSSSIAPKMLCDIFAEEKRISFMGCAAQMWFFGFFVGTECFLLASMAY DRYTAICKPLLYTLLMSQRVCVHLVVGPYVFAIINITTHTTLAFCLPFCGSNTINHFFCD VSPLLSLACADSWVNKVVLFVLSGAIGVFSGLIIIVSYVSILMTIFKIQTADGKQKAFST CSSHLSAVSILYGTLFFIYVRPSASFSLNINKMISLFYTVVIPMLNPLIYSLRNKEVKGA FRRKVQKKHFPAGR >ENSMUSP00000049592.4 pep:known chromosome:GRCm38:13:76054851:76056996:-1 gene:ENSMUSG00000045509.5 transcript:ENSMUST00000056130.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr150 description:G protein-coupled receptor 150 [Source:MGI Symbol;Acc:MGI:2441872] MEDPFSLAILNPASNLSVPTQPSWSLNLTSEQGASVPGPHSPPRGPPSHRIHLVFLGIIL VAAVAGNTTVLCRLCGGSSGPWPGPKRRKMDFLLVQLAAADLYASGGTALSQLAWELLGD PRPALGDLACRLSHLLQASGRGASAHLVALIALERQLAVRIPQGPQLPARALAALSWLLA LLLALPPTFVVRWDAPPSSTANAWPGKHCCRGIFAPLPRWHLQVYALYEAIVGFAAPVAL LGFSCGHLLCVWWQRGSQAPVARMPWSPSMARASLPSALPQAKVQSLKMSLALALLFVGC DLPYFAARLAAAWSSKPAGDWERESLVAAMRVLEVANSAINPLIYLFFQAGDCRLWRRLR RRLGVLCCVREEEADISEWAGDHQALHRHRWPHPHYHHARREERNQGCLRPPPPRPRPPP CSCESAF >ENSMUSP00000030905.2 pep:known chromosome:GRCm38:4:155704800:155733879:1 gene:ENSMUSG00000029038.9 transcript:ENSMUST00000030905.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssu72 description:Ssu72 RNA polymerase II CTD phosphatase homolog (yeast) [Source:MGI Symbol;Acc:MGI:1916241] MPSSPLRVAVVCSSNQNRSMEAHNILSKRGFSVRSFGTGTHVKLPGPAPDKPNVYDFKTT YDQMYNDLLRKDKELYTQNGILHMLDRNKRIKPRPERFQNCTDLFDLILTCEERVYDQVV EDLNSREQETCQPVHVVNVDIQDNHEEATLGAFLICELCQCIQHTEDMENEIDELLQEFE EKSGRAFLHTVCFY >ENSMUSP00000101220.1 pep:known chromosome:GRCm38:4:155705135:155732189:1 gene:ENSMUSG00000029038.9 transcript:ENSMUST00000105595.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssu72 description:Ssu72 RNA polymerase II CTD phosphatase homolog (yeast) [Source:MGI Symbol;Acc:MGI:1916241] MPSSPLRVAVVCSSNQNRSMEAHNILSKRGFSVRSFGTGTHVKLPGPAPDKPNVYDFKTT YDQMYNDLLRKDKELYTQNGILHMLDRNKRIKPRPERFQNCTDLFDLILTCEERVYDQVV EDLNSREQETCQPVHVVNVDIQDNHEEATLGAFLICELCQCVSLSSWVLLGLLIATYKNK IK >ENSMUSP00000133302.1 pep:known chromosome:GRCm38:7:19696109:19699188:-1 gene:ENSMUSG00000002985.16 transcript:ENSMUST00000174064.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoe description:apolipoprotein E [Source:MGI Symbol;Acc:MGI:88057] MKALWAVLLVTLLTGCLAEGEPEVTDQLEWQSNQPWEQALNRFWDYLRWVQTLSDQVQEE LQSSQVTQELTALMEDTMTEVKAYKKELEEQLGPVAEETRARLGKEVQAAQARLGADMED LRNRLGQYRNEVHTMLGQSTEEIRARLSTHLRKMRKRLMRDAEDLQKRLAVYKAGAREGA ERGVSAIRERLGPLVEQGRQRTANLGAGAAQPLRDRAQAFGDRIRGRLEEVGNQARDRLE EVREHMEEVRSKMEEQTQQIRLQAEIFQARLKGWFEPIVEDMHRQWANLMEKIQASVATN PIITPVAQENQ >ENSMUSP00000133371.1 pep:known chromosome:GRCm38:7:19696272:19698407:-1 gene:ENSMUSG00000002985.16 transcript:ENSMUST00000173739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoe description:apolipoprotein E [Source:MGI Symbol;Acc:MGI:88057] MKALWAVLLVTLLTGCLAEGEPEVTDQLEWQSNQPWEQALNRFWDYLRWVQTLSDQVQEE LQSSQVTQELTALMEDTMTEVKAYKKELEEQLGPVAEETRARLGKEVQAAQARLGADMED LRNRLGQYRNEVHTMLGQSTEEIRARLSTHLRKMRKRLMRDAEDLQKRLAVYKAGAREGA ERGVSAIRERLGPLVEQGRQRTANLGAGAAQPLRDRAQAFGDRIRGRLEEVGNQARDRLE EVREHMEEVRSKMEEQTQQIRLQAEIFQARLKGWFEPIVEDMHRQWANLMEKIQASVATN PIITPVAQENQ >ENSMUSP00000134160.1 pep:known chromosome:GRCm38:7:19696272:19699008:-1 gene:ENSMUSG00000002985.16 transcript:ENSMUST00000174355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoe description:apolipoprotein E [Source:MGI Symbol;Acc:MGI:88057] MKALWAVLLVTLLTGCLAEGEPEVTDQLEWQSNQPWEQALNRFWDYLRWVQTLSDQVQEE LQSSQVTQELTALMEDTMTEVKAYKKELEEQLGPVAEETRARLGKEVQAAQARLGADMED LRNRLGQYRNEVHTMLGQSTEEIRARLSTHLRKMRKRLMRDAEDLQKRLAVYKAGAREGA ERGVSAIRERLGPLVEQGRQRTANLGAGAAQPLRDRAQAFGDRIRGRLEEVGNQARDRLE EVREHMEEVRSKMEEQTQQIRLQAEIFQARLKGWFEPIVEDMHRQWANLMEKIQASVATN PIITPVAQENQ >ENSMUSP00000003066.9 pep:known chromosome:GRCm38:7:19696272:19699052:-1 gene:ENSMUSG00000002985.16 transcript:ENSMUST00000003066.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoe description:apolipoprotein E [Source:MGI Symbol;Acc:MGI:88057] MKALWAVLLVTLLTGCLAEGEPEVTDQLEWQSNQPWEQALNRFWDYLRWVQTLSDQVQEE LQSSQVTQELTALMEDTMTEVKAYKKELEEQLGPVAEETRARLGKEVQAAQARLGADMED LRNRLGQYRNEVHTMLGQSTEEIRARLSTHLRKMRKRLMRDAEDLQKRLAVYKAGAREGA ERGVSAIRERLGPLVEQGRQRTANLGAGAAQPLRDRAQAFGDRIRGRLEEVGNQARDRLE EVREHMEEVRSKMEEQTQQIRLQAEIFQARLKGWFEPIVEDMHRQWANLMEKIQASVATN PIITPVAQENQ >ENSMUSP00000133359.1 pep:known chromosome:GRCm38:7:19696620:19699038:-1 gene:ENSMUSG00000002985.16 transcript:ENSMUST00000172983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoe description:apolipoprotein E [Source:MGI Symbol;Acc:MGI:88057] MKALWAVLLVTLLTGCLAEGEPEVTDQLEWQSNQPWEQALNRFWDYLRWVQTLSDQVQEE LQSSQVTQELTALMEDTMTEVKAYKKELEEQLGPVAEETRARLGKEVQAAQARLGADMED LRNRLGQYRNEVHTMLGQSTEEIRARLSTHLRKMRKRLMRDAEDLQKRLAVYKAGAREGA ERGVSAIRERLGPLVEQGRQRTANLGAGAAQPLRDRAQAFGDRIRGRLEEVG >ENSMUSP00000134622.1 pep:known chromosome:GRCm38:7:19696621:19698621:-1 gene:ENSMUSG00000002985.16 transcript:ENSMUST00000174144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoe description:apolipoprotein E [Source:MGI Symbol;Acc:MGI:88057] MKALWAVLLVTLLTGCLAEGEPEVTDQLEWQSNQPWEQALNRFWDYLRWVQTLSDQVQEE LQSSQVTQELTALMEDTMTEVKAYKKELEEQLGPVAEETRARLGKEVQAAQARLGADMED LRNRLGQYRNEVHTMLGQSTEEIRARLSTHLRKMRKRLMRDAEDLQKRLAVYKAGAREGA ERGVSAIRERLGPLVEQGRQRTANLGAGAAQPLRDRAQAFGDRIRGRLEEVG >ENSMUSP00000134558.1 pep:known chromosome:GRCm38:7:19696844:19698247:-1 gene:ENSMUSG00000002985.16 transcript:ENSMUST00000172808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoe description:apolipoprotein E [Source:MGI Symbol;Acc:MGI:88057] MKALWAVLLVTLLTDQLEWQSNQPWEQALNRFWDYLRWVQTLSDQVQEELQSSQVTQELT ALMEDTMTEVKAYKKELEEQLGPVAEETRARLGKEVQAAQARLGADMEDLRNRLGQYRNE VHTMLGQSTEEIRARLSTHLRKMRKR >ENSMUSP00000134429.1 pep:known chromosome:GRCm38:7:19697240:19699050:-1 gene:ENSMUSG00000002985.16 transcript:ENSMUST00000174710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoe description:apolipoprotein E [Source:MGI Symbol;Acc:MGI:88057] MKALWAVLLVTLLTGCLAEGEPEVTDQLEWQSNQPWEQALNRFWDYLRWVQTLSDQVQEE LQSSQVTQELT >ENSMUSP00000133447.1 pep:known chromosome:GRCm38:7:19697256:19698267:-1 gene:ENSMUSG00000002985.16 transcript:ENSMUST00000174191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoe description:apolipoprotein E [Source:MGI Symbol;Acc:MGI:88057] MKALWAVLLVTLLTGCLAEGEPEVTDQLEWQSNQPWEQALNRFWDYLRWVQTLSDQVQEE LQSSQVTQELT >ENSMUSP00000129451.1 pep:known chromosome:GRCm38:17:33199062:33200009:1 gene:ENSMUSG00000093884.1 transcript:ENSMUST00000112165.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr239 description:olfactory receptor 239 [Source:MGI Symbol;Acc:MGI:3030073] MLGLNYTFVSEFILIGFSTFPHLQLMFFLLFLLMYLFTLLGNLLIMTTIWSEHSLHTPMY LFLCALSISEIFYTFAIIPRMLADLLSTLHSIAFLACASQMFFSFTFGFTHSFLLTVMGY DRYVAICHPLRYNVLMSPRGCACLVTWSWVGGSFMGTVVTTAIFNLTFCGPNEIHHFACH VPPLLKLACGENVLEVAKGVGIVCITALLGCFLLILLSYAFIVVTILKIPSAEGRHKAFS TCASHLTVVVVHYGFASVIYLKPKGPKSLEGDTLMGITYTVLTPFLSPIIFSLRNKELKN AMKKIFLSKLYPEKI >ENSMUSP00000119268.1 pep:known chromosome:GRCm38:12:75818134:75880506:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000142864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] MAASPVLPTEDGEGFLGIDDLHFSLQAEQEDTQKKTFTCWINSQLAKHTPPSVVSDLFAD IKKGHVLLDLLEVLSGQQLPRDKGSNTFQCRINIEHALTFLKNRSIKLINIH >ENSMUSP00000121517.1 pep:known chromosome:GRCm38:12:75852730:75880328:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000148324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] MAASPVLPTEDGEGFLGIDDLHFSLQAEQEDTQKKTFTCWINSQLAKHTPPSVVSDLFAD IKKGHVLLDLLEVLSGQQLPRD >ENSMUSP00000116718.1 pep:known chromosome:GRCm38:12:76081656:76095046:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000154509.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] MVFSHKQVKKLKETFAFIQQLDKNMSNLRTWLARIESELSKPVVYDVCDNQEIQKRLAEQ QDLQRDIEQHSAGVESVFNICDVLLHDSDACANETECDSIQQTTRSLDRRWRNICAMSME RRMKI >ENSMUSP00000115053.1 pep:known chromosome:GRCm38:12:76081939:76097981:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000126903.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] MVFSHKQVKKLKETFAFIQQLDKNMSNLRTWLARIESELSKPVVYDVCDNQEIQKRLAEQ QNRGDLAALAEIFR >ENSMUSP00000114364.1 pep:known chromosome:GRCm38:12:76072016:76094436:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000131480.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] MQEVEKSLANWTHSLKELQTMKADLSQHILAEDVTVLKEQIQLLHRQWEDLCLRVAIRKQ EIEDRLNSWIVFNEKNKELCAWLVQMENKVLQTADVSIEEMIEKLQKDCMEEISLFTENK LQLKQMGDQLIKASSKAKAAELEEKLSKINDRWQHLFDVIGSRVKKLKETFAFIQQLDKN MSNLRTWLARIESELSKPVVYDVCDNQEIQKRLAEQQDLQRDIEQHSAGVESVFNICDVL LHDSDACANETECDSIQQTTRSLDRRWRN >ENSMUSP00000120371.1 pep:known chromosome:GRCm38:12:76022300:76027815:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000148825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] QPAGLSELDSVLTERPQFSRQKDAPQPQILELKPSEQKDLIKFTELNAKKTWLQGHQENE DANRQSASSKVPSPGNAASDSTLPLQAQSGDKWQYLHHELTSRPNPSVPQLVEPQVALTT STLPSVSVYNFRCPTADELQAYTTQLEELRQEANTIQTQGSMTEETYISLDKRLFELFLS LSRCLGSVEGLLQRP >ENSMUSP00000119120.1 pep:known chromosome:GRCm38:12:75818318:76110926:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000143031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] MAASPVLPTEDGEGFLGIDDLHFSLQAEQEDTQKKTFTCWINSQLAKHTPPSVVSDLFAD IKKGHVLLDLLEVLSGQQLPRDKGSNTFQCRINIEHALTFLKNRSIKLINIHVADIVEGN PSIILGLIWTIILHFHIEKLAQTLSCDYNQPSPEVVSVAASSPTSSPPTKKCSKAQAQAR WQWSAKKALLQWAQEQCARSESVNVTDFKSSWRNGMAFLAVIHALRPDLIDMDSMRHRSN KDNLKEAFRIAEHELKIPKLLEPEDVDVVNPDEKSIMTYVAQFLKYSKDAPGPGDSTQAK VRDALVWLTLQEKRFQKMLKDSASETYCNKYHSLLSFMESLNEEKESFIDVLSLKGRMGE LNEDESRLRQGWTSLMHQVAAWRAQLDDALPSPLKETEAWLKDIEGVVQEGVPTSQSYSE ARTLIQGKLSSFKSLMGSFDYHSDVLMAFQSNAEKSLPAVPPVKLEEMTRRINNVLGKNF IPLLEFHDSKCSVLALLDEAKAKLDVWNGTYESKESVEVLLEDWHKFTGEKKFLIQLDAS FQKCEEMYKNSARECESIREEYMMLEKNVHSCRQYIHNTKATLQRALMSWATFEEDLALL KASFDLTKKEQIKEVPVETLLQWNTKHTSLNEVGSFLIGVSSREVAASISKELRRLNKRW RKFITKTPLELKLPLVKIQDQPPGNSSGTSLSKESAMAAEPGGSRGEDVKAAEKQEVEDE ESAGQLKVNEEVEGLIKQVTIWESQTKSILDLLQHGDHADGSSADTLQHLIAKGSVYEEL LARTEDTLQMDVQSPSNLEPFQNVLRAGLQAKIQEAKQGVQITMVELSAVLKNLSDEPLE LDLGLKVEEAQKELEVSILRAEQLLGQRERPGGFLLKYKEALEILNTNSLAKYLRAVEEL KRTVPGGAKLQLEEQSRVASAKWEPLRHEISLYLQQLKIAIEEEKLRDNIARLEKQINKE KKLIRRGRTRGLRKEHEACLSPESIKCQLEHHVGVLRVLCEELTSPEDQQELKRALRDYE QKIARLLKCASEIHTTLQSSQGGALEERSALITTENGRRDADGEVPLEIPDNQLSTEKAM EPIKNFSQTSELKPQQEESIMEKEGKDCSASLSDLQERYDTQRGLLEQHLQDSKSRVTSD FASEQERSSACLQSKLAELQVLLADTDAHWEKFEITSLNLRRLMSDAEKPVLNQERDLLK GNEQVLHGLLNTRMESLEMALQIVLPLEKECSLLCASDLPLCTVAVQDLHPVEIDGVYQN LRDIRDSIAKQIRVCTSLEEPSNSVPRELHTLDQCAIQDIVLKCRLQLETMNQKVEMRED ALDALEGFLASLRAAKLSAELPADRPAPKAPEVLSEDILLMKEKAGPLDERLRTLGINIK DAEGGENTTCERLVGALSVNLVAMDGQSKEEGPPEDKKLLEACSSKNLELFKNIQDLQNQ ISKIGLKDPTAPAVKHRKKSLLRLDKDLDGLEEEKVRIQKIAGSLPRFKDGSEKNVIQQC EDTAALWESTKASVTESLEQCGSALELLRQYQNIKNNLTALIQKEEGIISQQASYMGKDN LKKKIAEIETVKEEFSDHLEVVDKINQICKNLQYHLNKMKTFEDPPFEKEANAIVDRWLD INEKTEEYGENLGRALALWDKLFIIKNNIDEWTEQILGKAESHELTEEDRGRLKEELKVL EEQSAEFSRRVADIQSLLQSNEKPLELQVMESSVLSKMKDVKTHVAGGSNSYAPSGSTAE LREDLDQAKTQMGMTESLLNALSPSDSLEIFTKLEEIQQQIFQQKHSMTVLENQIGCLTP ELSELKRQYASVSNLFNTKKNALQDHFATFLNEQCKNFNDWFSNVKTNLQECFEPPETKL SLEQRLQKLSDFLTLGGGNSKIQQVETVLQHVKMLLPKAHVKELDSWLRSQELELENMES ICQARAGELNNSFQQLLRLEDDCRSLSKWLTNQEENWGKMEVSGERMDLFSQALTRKREQ FETVAQLSDSLKEHGLTEGEETIKESTHLIDRYQALWRQLHEIEEEDKLPAAEDQSFNDL ADDVIHWIKEIKESLMALNSSEGKMPLEERIQKIKEIIALKPEGDAKIQMVMRQAEHCEA PLAQETFTDLSNQWDSTLHLANTYLSHQEKLVLEGEKYLQSKEDLRLMLTELKKQQEAGF ALQPGLPEKQAQLKIYKKFLQKAQDLTSLLEELKSQGNYLLECTKNPSFSEEPWLEVKHL HESLLQQLQDSVQKLEGHVQEHSSYQVCLTDLSSTLDDISKEYFSLCDGSKDQIMAKERM QKLQELESRLRFQGGALKKASALAKSIKQNTSSVGQKIIKDDIRSLKYKQKDLENRIESA KQETENGLNSILKSKSSTEKHVKFSLPVEEMPATSEVPKPTRESAAVGESGGARETNTNS AVEMILSKQLSLNVQESMQNAQDEREVNELQNQPLELDIMLRNEQLKGMEELSTHLEARR AAIELLEQSQHLNQTEEQALVLPAARPSVCHLGSLQQELHTLKKTKERQYGLLSGFQDQL VMAEASLNTSLAEVESLKIGSLDSATYLGKIKKFLGSVENQQGSLSKLRTEWAHLSSLLA AADQKLVESQMKHLEHGWELVEQLAHRKCFQQATEHSELTCLLEKLQDLKVSLHQQQQRL TLSLNSPGQQAAIVDMVTPAAELQAIKCEFSGLKWQAELHMKRLWGEKDKKTLEDAINNL NKQMEALEPLNREVENRIKKCELQNRIKETLSWVKNTMAELVVPIALLPDNILSQIRKCK LIHDGILGNQQAVELLVEEVRGITPSLAPCEGDGLNALLEDLQSQHQALLLKSTERSQQL ELKLEEKSKLFAIIGKVQLTLEESETLMSPTGDRASTEAELERRLAILKASQQQLQDTES ALSAHLQELTNAYKDANVFERLFLDDQLKNLKARTNRTQRFLQNNGSELKQKMESYREFH DKAAVLQKEAECILHGGLLPLRQELEQDAKEQLGNLRDKLAAIRGSLSQVLTSEEVFDTI GLSWDGSLLARLQTQVLEREREVEGKIKQLDTFLIARDRHQASISKIRAVDLQIKKGAES LLKVPSMSPESTLLNAQTLIQKIEKSKRLRDEIIRKLSKNEAFDDSFKESEMQRLKLCAE ENSRLQEALQNMLLELQPREMGEKEFREKLENALHVLKQIQSRLQQPLCVNLGVQHIQHE KETWEAFGEQVEAEMCGLRAVRITEEQREENDSGTGGMEAKLRDIEGLHMELSKSISLRA DVLNDAYDSANRYDELVAGALRIITSLEATLLSYRVDLHNPQKTLELAHLKQEELQSSVA DLRSLTETLGAISSPEAKEQLRCTLEVLAAKNSALKAGLEAQEAEEERCLENYKCFRKMK EEICSRLRKMEMDLGQSIFPLPRSYKEALARLEQSKALTSNLLSTKEDLVKLRQLLRHLR CRSTENDATCALGVASALWEKWLSLLEAAREWQQWGGELKREWKFISEEIEREAIILETL QEDLPEISKTNAAPTEELWQLLDSLCQHQESVEKQQLLLALLLQRVRSIQNIPEGTETGE TIPALQEIGSMQERCDRLLHTTRKNKDLVQAEIQAQQSFLKEIKDVKRVFEQISTSFPNL APEGHPERAEQFEELRSILQKGKLSFENIMEKLRIKYSEMYSIVPAEIGSQVEECRSALE DAEEKMSSEVSKSSPSSIMRRKIERINNGLHCVEKMLQQKSRNIEEAHEIQKKIWDELDL WHSKLNELDSEVQDFVEQDPGQAQEWMDNLMAPFQQHQQVSQRAESRTSQLNKATIKMEE YNDLLKSTEVWIEKTSCLLANPACYDSSRTLSHRASTLQMALEDSEQKHSLLHSIFTDLE DLSIIFETDDLIQTIHELSDQVAALQQQIMEALPHVQQVADDVVAIESEVKAMEKKVAKI KAILLSKEIFDFPPEEHLKHGEVILENIHPMKKTIAEIMTYQVELRLPQTGTKPLPVFQR TSQLLQDVKLLENVTQEQNELLKVVIKQTAECDEEIDSLKQMLTNYSAEISPEHVSQNQV ADLPSLQGEMERLEKQILNLNQKKEDLLVDLKTAVLNLHEHLKQEQQEVGDKPSAGASEC TVAERDASERKLSRTNSMSFLPVVKEEAEESSVKSEDGRRRTEPPSASWSFLGKHSKDLE GDGASSSSSATIVQDADGRISTCDSSMVHIIAPDSGSTEEGPAPSPRLSQTDEGATPPIE AALLDFPREQGAFESTVERSRPRPADILRVCKTQVAKLELWLQQANVAFEPETVDADMQQ VVEEELAGCQAMLTEIEYKVASLLETCKDQGLGDCGTTQQEAEALSWKLKTVKCNLEKVQ MVLQEKFSEDQHPSTLKKPSEPHDVDQPAGLSELDSVLTERPQFSRQKDAPQPQILELKP SEQKDLIKFTELNAKKTWLQGHQENEDANRQSASSSKVPSPGNAASDSTLPLQAQSGDKW QYLHHELTSRPNPSVPQLVEPQVALTTSTLPSVSVYNFRCPTADELQAYTTQLEELRQEA NTIQTQGSMTEETYISLDKRLFELFLSLSRCLGSVEGLLQRPGLLREDACAQQVFFQKLA LELKKLYLALGDKKDDFLKAVTWPGKEATLLPECIDALTVSLESVQSRAAWRDASLKAGL EHSRSYQNEVKRLYSQLIKKKTALQQSLNEISGQSISKQLQKADVHTAELQNSEKQVAKL RDEGERLRFPHGLLQDVYKLEDVLDSMWGILRARYLELSSPFLSKSLQTLLQGMAELVSI GKGKLAADPLQHAKSKAALQAQLQDHKAFFQKLVADMLLIQTYSATMFPPSLQKGEGFGA EQVAEVRALEEEACLRGAQLQSMLQKWEEFDDNYASLEKDLEALISSLPSVSLVEETEER LLERISFYQQIKRNIDGKHARLCQTLNEGRQLAASVSCPEPEGQIARLEEQWLSLNKRID QELHRLQTLLKHLLSYSRDSDELTRWLETSQQTLNYWKEQSLNVSQDLNTIRSNIDRFFK FSKEVDERSSLKSAVMSTGNQLLHLKEADTATLRASLAQFEQKWTVLITQLPDIQEKLHQ LQMEKLPSREAISEMISWMNAVEPQAAGKDTELSKSSASQVKHLLQKLKEFRMEMDYKQW VVDFVNQSLLQLSTCDVESKRYERTEFAEHLGEMNRQWQRVHGTLNRKIQHLEQLLESIT ENENKVQNLNSWLEAQEERLKMLQKPESAVSMEKLLLDCQDIENQLALKSKALDELRQSS LTMDGGDVPLLEDMASGIVELFQKKNNVTSQVHQLRASVQSVLQEWKACDKLYDEATMRT TQLTYSMEHSKPAVLSLQALACQVQNLEALQDEAENGERSWEKLQEVIGRLKASCPSMAG IIEEKCQDAHSRWTQVNQDLADQLQEARGQLQLWKAPHNAHAEAAAWLQQQEAKFQQLAN TNLSGDNLADILPRALKDIKGLQSDLQKTKEAFLENSTLSDQLPQPEERSTPGLHSGQRH SLQTAAYLEKMLLAKSNEFEIVLAQFKDFTDRLAYSKDLIVHKEENLNKLYHEEKEEVPD LFLNHVLALTAQSPDIERLNEESLRLPLSDVTIKTLQSLNRQWIRATATALDHYSELQGN GLNEKFLHYCERWIQVLEKIQESLSVEVAHSLPALLEQQKTYEILEAEVSTNQAVADAYV TQSLQLLDTAEIEKRPEFVSEFSKLSDQWQRAARGVRQRKCDISRLVTQWRFFTTSVEDL LRFLADTSQLLSAVKEQDCYSLCQTRRLVHELKSKEIHLQRWRTTYALALEAGEKLRNTP SPETREFVDGQISRLQESWKDTELSLGEVISRLQSTAETWDQCKKKIKKLKKRLQALKAQ SEDPLPELHEALHEEKELIKEVEKSLANWTHSLKELQTMKADLSQHILAEDVTVLKEQIQ LLHRQWEDLCLRVAIRKQEIEDRLNSWIVFNEKNKELCAWLVQMENKVLQTADVSIEEMI EKLQKDCMEEISLFTENKLQLKQMGDQLIKASSKAKAAELEEKLSKINDRWQHLFDVIGS RVKKLKETFAFIQQLDKNMSNLRTWLARIESELSKPVVYDVCDNQEIQKRLAEQQDLQRD IEQHSAGVESVFNICDVLLHDSDACANETECDSIQQTTRSLDRRWRNICAMSMERRMKIE ETWRLWQKFLDDYSRFEDWLKSAERTAACPNSSEVLYTNAKEELKRFEAFQRQIHERLTQ LELINKQYRRLARENRTDTASKLKQMVHEGNQRWDNLQKRVTAILRRLRYFTNQREEFEG TRESILVWLTEMDLQLTNVEHFSESDAEDKMRQLNGFQQEITLNTNKIDQLIVFGEQLIQ KSEPLDAVLIEDELEELHRYCQEVFGRVSRFHRRLTSHTPGLDDEKEASENETDIEDPRE IQADSWRKRRESEEPTSPQSLCHLVPPALGHERSGCETPVSVDSIPLEWDHTGDVGGSSS HEDDEEGPFYSALSGKSISEGHPWHVPDSPSHSKHHYKHMEGDRTEAPVPTDASTPFKSD YVKLLLRQGTDDSKEGLKEAQQEDEQLATLTGQQPGAFDRWELIQAQELHSKLRLKQTVQ QLKSDIGSIAAWLGKTEAELEALKLAEPPSDIQEIALRVKRLQEILKAFDTYKALMVSVN VSHKEYLPSQSPEATELQNRLHQLSLSWDSVQGVLDSWRGDLRQSLMQCQDFHQLSQDLL LWLATAESRRQKAHVTSPEADRQVLLECQKDLMRLEKELVARQPQVSSLREISSSLLVKG QGEDYIEAEEKVHVIEKKLKQLQEQVAQDLMSLQRSLDPDASLTSFDEVDSGEQLPAAFA KQFGVEEEEEEEETDSRMPHLDSPGSSQPRRSFLSRVIRAALPLQLLLLLLLLLACLLPA SEDDYSCTQANNFARSFYPMLRYTNGPPPT >ENSMUSP00000118142.1 pep:known chromosome:GRCm38:12:75818329:75908877:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000150317.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] MAASPVLPTEDGEGFLGIDDLHFSLQAEQEDTQKKTFTCWINSQLAKHTPPSVVSDLFAD IKKGHVLLDLLEVLSGQQLPRDKGSNTFQCRINIEHALTFLKNRSIKLINIHVADIVEGN PSIILGLIWTIILHFHIEKLAQTLSCDYNQPSPEVVSVAASSPTSSPPTKKCSKAQAQAR WQWSAKKALLQWAQEQCARSESVNVTDFKSSWRNGMAFLAVIHALRPDLIDMDSMRHRSN KDNLKEAFRIAEHELKIPKLLEPEDVDVVNPDEKSIMTYVAQFLKYSKDAPGPGDSTQAK VRDALVWLTLQEKRFQKMLKDSASETYCNKYHSLLSFMESLNEEKESFIDVLSLKGRMGE LNEDESRLRQGWTSLMHQVAAWRAQLDDALPSPLKETEAWLKDIEGVVQEGVPTSQSYSE ARTLIQGKLSSFKSLMGSFDYHSDVLMAFQSNAEKSLPAVPPVKLEEMTRRINNVLGKNF IPLLEFHDSKCSVLALLDEAKAKLDVWNGTYESKESVEVLLEDWHKFT >ENSMUSP00000082383.5 pep:known chromosome:GRCm38:12:76031614:76110920:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000085280.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] GKGKLAADPLQHAKSKAALQAQLQDHKAFFQKLVADMLLIQTYSATMFPPSLQKGEGFGA EQVAEVRALEEEACLRGAQLQSMLQKWEEFDDNYASLEKDLEALISSLPSVSLVEETEER LLERISFYQQIKRNIDGKHARLCQTLNEGRQLAASVSCPEPEGQIARLEEQWLSLNKRID QELHRLQTLLKHLLSYSRDSDELTRWLETSQQTLNYWKEQSLNVSQDLNTIRSNIDRFFK FSKEVDERSSLKSAVMSTGNQLLHLKEADTATLRASLAQFEQKWTVLITQLPDIQEKLHQ LQMEKLPSREAISEMISWMNAVEPQAAGKDTELSKSSASQVKHLLQKLKEFRMEMDYKQW VVDFVNQSLLQLSTCDVESKRYERTEFAEHLGEMNRQWQRVHGTLNRKIQHLEQLLESIT ENENKVQNLNSWLEAQEERLKMLQKPESAVSMEKLLLDCQDIENQLALKSKALDELRQSS LTMDGGDVPLLEDMASGIVELFQKKNNVTSQVHQLRASVQSVLQEWKACDKLYDEATMRT TQLTYSMEHSKPAVLSLQALACQVQNLEALQDEAENGERSWEKLQEVIGRLKASCPSMAG IIEEKCQDAHSRWTQVNQDLADQLQEARGQLQLWKAPHNAHAEAAAWLQQQEAKFQQLAN TNLSGDNLADILPRALKDIKGLQSDLQKTKEAFLENSTLSDQLPQPEERSTPGLHSGQRH SLQTAAYLEKMLLAKSNEFEIVLAQFKDFTDRLAYSKDLIVHKEENLNKLYHEEKEEVPD LFLNHVLALTAQSPDIERLNEESLRLPLSDVTIKTLQSLNRQWIRATATALDHYSELQGN GLNEKFLHYCERWIQVLEKIQESLSVEVAHSLPALLEQQKTYEILEAEVSTNQAVADAYV TQSLQLLDTAEIEKRPEFVSEFSKLSDQWQRAARGVRQRKCDISRLVTQWRFFTTSVEDL LRFLADTSQLLSAVKEQDCYSLCQTRRLVHELKSKEIHLQRWRTTYALALEAGEKLRNTP SPETREFVDGQISRLQESWKDTELSLGEVISRLQSTAETWDQCKKKIKKLKKRLQALKAQ SEDPLPELHEALHEEKELIKEVEKSLANWTHSLKELQTMKADLSQHILAEDVTVLKEQIQ LLHRQWEDLCLRVAIRKQEIEDRLNSWIVFNEKNKELCAWLVQMENKVLQTADVSIEEMI EKLQKDCMEEISLFTENKLQLKQMGDQLIKASSKAKAAELEEKLSKINDRWQHLFDVIGS RVKKLKETFAFIQQLDKNMSNLRTWLARIESELSKPVVYDVCDNQEIQKRLAEQQDLQRD IEQHSAGVESVFNICDVLLHDSDACANETECDSIQQTTRSLDRRWRNICAMSMERRMKIE ETWRLWQKFLDDYSRFEDWLKSAERTAACPNSSEVLYTNAKEELKRFEAFQRQIHERLTQ LELINKQYRRLARENRTDTASKLKQMVHEGNQRWDNLQKRVTAILRRLRYFTNQREEFEG TRESILVWLTEMDLQLTNVEHFSESDAEDKMRQLNGFQQEITLNTNKIDQLIVFGEQLIQ KSEPLDAVLIEDELEELHRYCQEVFGRVSRFHRRLTSHTPGLDDEKEASENETDIEDPRE IQADSWRKRRESEEPTSPQSLCHLVPPALGHERSGCETPVSVDSIPLEWDHTGDVGGSSS HEDDEEGPFYSALSDVEIPENPEAYLKMTTKSLQASSGKSISEGHPWHVPDSPSHSKHHY KHMEGDRTEAPVPTDASTPFKSDYVKLLLRQGTDDSKEGLKEAQQEDEQLATLTGQQPGA FDRWELIQAQELHSKLRLKQTVQQLKSDIGSIAAWLGKTEAELEALKLAEPPSDIQEIAL RVKRLQEILKAFDTYKALMVSVNVSHKEYLPSQSPEATELQNRLHQLSLSWDSVQGVLDS WRGDLRQSLMQCQDFHQLSQDLLLWLATAESRRQKAHVTSPEADRQVLLECQKDLMRLEK ELVARQPQVSSLREISSSLLVKGQGEDYIEAEEKVHVIEKKLKQLQEQVAQDLMSLQRSL DPDASLTSFDEVDSGEQLPAAFAKFGVEEEEEEEETDSRMPHLDSPGSSQPRRSFLSRVI RAALPLQLLLLLLLLLACLLPASEDDYSCTQANNFARSFYPMLRYTNGPPPT >ENSMUSP00000118921.1 pep:known chromosome:GRCm38:12:76048578:76110900:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000139204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] XDVPLLEDMASGIVELFQKKNNVTSQVHQLRASVQSVLQEWKACDKLYDEATMRTTQLTY SMEHSKPAVLSLQALACQVQNLEALQDEAENGERSWEKLQEVIGRLKASCPSMAGIIEEK CQDAHSRWTQVNQDLADQLQEARGQLQLWKAPHNAHAEAAAWLQQQEAKFQQLANTNLSG DNLADILPRALKDIKGLQSDLQKTKEAFLENSTLSDQLPQPEERSTPGLHSGQRHSLQTA AYLEKMLLAKSNEFEIVLAQFKDFTDRLAYSKDLIVHKEENLNKLYHEEKEEVPDLFLNH VLALTAQSPDIERLNEESLRLPLSDVTIKTLQSLNRQWIRATATALDHYSELQGNGLNEK FLHYCERWIQVLEKIQESLSVEVAHSLPALLEQQKTYEILEAEVSTNQAVADAYVTQSLQ LLDTAEIEKRPEFVSEFSKLSDQWQRAARGVRQRKCDISRLVTQWRFFTTSVEDLLRFLA DTSQLLSAVKEQDCYSLCQTRRLVHELKSKEIHLQRWRTTYALALEAGEKLRNTPSPETR EFVDGQISRLQESWKDTELSLGEVISRLQSTAETWDQCKKKIKKLKKRLQALKAQSEDPL PELHEALHEEKELIKEVEKSLANWTHSLKELQTMKADLSQHILAEDVTVLKEQIQLLHRQ WEDLCLRVAIRKQEIEDRLNSWIVFNEKNKELCAWLVQMENKVLQTADVSIEEMIEKLQK DCMEEISLFTENKLQLKQMGDQLIKASSKAKAAELEEKLSKINDRWQHLFDVIGSRVKKL KETFAFIQQLDKNMSNLRTWLARIESELSKPVVYDVCDNQEIQKRLAEQQDLQRDIEQHS AGVESVFNICDVLLHDSDACANETECDSIQQTTRSLDRRWRNICAMSMERRMKIEETWRL WQKFLDDYSRFEDWLKSAERTAACPNSSEVLYTNAKEELKRFEAFQRQIHERLTQLELIN KQYRRLARENRTDTASKLKQMVHEGNQRWDNLQKRVTAILRRLRYFTNQREEFEGTRESI LVWLTEMDLQLTNVEHFSESDAEDKMRQLNGFQQEITLNTNKIDQLIVFGEQLIQKSEPL DAVLIEDELEELHRYCQEVFGRVSRFHRRLTSHTPGLDDEKEASENETDIEDPREIQADS WRKRRESEEPTSPQSLCHLVPPALGHERSGCETPVSVDSIPLEWDHTGDVGGSSSHEDDE EGPFYSALSGKSISEGHPWHVPDSPSHSKHHYKHMEGDRTEAPVPTDASTPFKSDYVKLL LRQGTDDSKEGLKEAQQEDEQLATLTGQQPGAFDRWELIQAQELHSKLRLKQTVQQLKSD IGSIAAWLGKTEAELEALKLAEPPSDIQEIALRVKRLQEILKAFDTYKALMVSVNVSHKE YLPSQSPEATELQNRLHQLSLSWDSVQGVLDSWRGDLRQSLMQCQDFHQLSQDLLLWLAT AESRRQKAHVTSPEADRQVLLECQKDLMRLEKELVARQPQVSSLREISSSLLVKGQGEDY IEAEEKVHVIEKKLKQLQEQVAQDLMSLQRSLDPDASLTSFDEVDSGEQLPAAFAKFGVE EEEEEEETDSRMPHLDSPGSSQPRRSFLSRVIRAALPLQLLLLLLLLLACLLPASEDDYS CTQANNFARSFYPMLRYTNGPPPT >ENSMUSP00000122781.1 pep:known chromosome:GRCm38:12:76087760:76110926:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000132161.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] XLKETFAFIQQLDKNMSNLRTWLARIESELSKPVVYDVCDNQEIQKRLAEQQDLQRDIEQ HSAGVESVFNICDVLLHDSDACANETECDSIQQTTRSLDRRWRNICAMSMERRMKIEETW RLWQKFLDDYSRFEDWLKSAERTAACPNSSEVLYTNAKEELKRFEAFQRQIHERLTQLEL INKQYRRLARENRTDTASKLKQMVHEGNQRWDNLQKRVTAILRRLRYFTNQREEFEGTRE SILVWLTEMDLQLTNVEHFSESDAEDKMRQLNGFQQEITLNTNKIDQLIVFGEQLIQKSE PLDAVLIEDELEELHRYCQEVFGRVSRFHRRLTSHTPGLDDEKEASENETDIEDPREIQA DSWRKRRESEEPTSPQSLCHLVPPALGHERSGCETPVSVDSIPLEWDHTGDVGGSSSHED DEEGPFYSALSDVEIPENPEAYLKMTTKSLQASSGKSISEGHPWHVPDSPSHSKHHYKHM EGDRTEAPVPTDASTPFKSDYVKLLLRQGTDDSKEGLKEAQQEDEQLATLTGQQPGAFDR WELIQAQELHSKLRLKQTVQQLKSDIGSIAAWLGKTEAELEALKLAEPPSDIQEIALRVK RLQEILKAFDTYKALMVSVNVSHKEYLPSQSPEATELQNRLHQLSLSWDSVQGVLDSWRG DLRQSLMQCQDFHQLSQDLLLWLATAESRRQKAHVTSPEADRQVLLECQKDLMKAAPPAL PLTTVLHHFALSA >ENSMUSP00000047697.9 pep:known chromosome:GRCm38:12:75818318:76110900:1 gene:ENSMUSG00000063450.14 transcript:ENSMUST00000044217.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne2 description:spectrin repeat containing, nuclear envelope 2 [Source:MGI Symbol;Acc:MGI:2449316] MAASPVLPTEDGEGFLGIDDLHFSLQAEQEDTQKKTFTCWINSQLAKHTPPSVVSDLFAD IKKGHVLLDLLEVLSGQQLPRDKGSNTFQCRINIEHALTFLKNRSIKLINIHVADIVEGN PSIILGLIWTIILHFHIEKLAQTLSCDYNQPSPEVVSVAASSPTSSPPTKKCSKAQAQAR WQWSAKKALLQWAQEQCARSESVNVTDFKSSWRNGMAFLAVIHALRPDLIDMDSMRHRSN KDNLKEAFRIAEHELKIPKLLEPEDVDVVNPDEKSIMTYVAQFLKYSKDAPGPGDSTQAK VRDALVWLTLQEKRFQKMLKDSASETYCNKYHSLLSFMESLNEEKESFIDVLSLKGRMGE LNEDESRLRQGWTSLMHQVAAWRAQLDDALPSPLKETEAWLKDIEGVVQEGVPTSQSYSE ARTLIQGKLSSFKSLMGSFDYHSDVLMAFQSNAEKSLPAVPPVKLEEMTRRINNVLGKNF IPLLEFHDSKCSVLALLDEAKAKLDVWNGTYESKESVEVLLEDWHKFTGEKKFLIQLDAS FQKCEEMYKNSARECESIREEYMMLEKNVHSCRQYIHNTKATLQRALMSWATFEEDLALL KASFDLTKKEQIKEVPVETLLQWNTKHTSLNEVGSFLIGVSSREVAASISKELRRLNKRW RKFITKTPLLKLPLVKIQDQPPGNSSGTSLSKESAMAAEPGGSRGEDVKAAEKQEVEDEE SAGQLKVNEEVEGLIKQVTIWESQTKSILDLLQHGDHADGSSADTLQHLIAKGSVYEELL ARTEDTLQMDVQSPSNLEPFQNVLRAGLQAKIQEAKQGVQITMVELSAVLKNLSDEPLEL DLGLKVEEAQKELEVSILRAEQLLGQRERPGGFLLKYKEALEILNTNSLAKYLRAVEELK RTVPGGAKLQLEEQSRVASAKWEPLRHEISLYLQQLKIAIEEEKLRDNIARLEKQINKEK KLIRRGRTRGLRKEHEACLSPESIKCQLEHHVGVLRVLCEELTSPEDQQELKRALRDYEQ KIARLLKCASEIHTTLQSSQGGALEERSALITTENGRRDADGEVPLEIPDNQLSTEKAME PIKNFSQTSELKPQQEESIMEKEGKDCSASLSDLQERYDTQRGLLEQHLQDSKSRVTSDF ASEQERSSACLQSKLAELQVLLADTDAHWEKFEITSLNLRRLMSDAEKPVLNQERDLLKG NEQVLHGLLNTRMESLEMALQIVLPLEKECSLLCASDLPLCTVAVQDLHPVEIDGVYQNL RDIRDSIAKQIRVCTSLEEPSNSVPRELHTLDQCAIQDIVLKCRLQLETMNQKVEMREDA LDALEGFLASLRAAKLSAELPADRPAPKAPEVLSEDILLMKEKAGPLDERLRTLGINIKD AEGGENTTCERLVGALSVNLVAMDGQSKEEGPPEDKKLLEACSSKNLELFKNIQDLQNQI SKIGLKDPTAPAVKHRKKSLLRLDKDLDGLEEEKVRIQKIAGSLPRFKDGSEKNVIQQCE DTAALWESTKASVTESLEQCGSALELLRQYQNIKNNLTALIQKEEGIISQQASYMGKDNL KKKIAEIETVKEEFSDHLEVVDKINQICKNLQYHLNKMKTFEDPPFEKEANAIVDRWLDI NEKTEEYGENLGRALALWDKLFIIKNNIDEWTEQILGKAESHELTEEDRGRLKEELKVLE EQSAEFSRRVADIQSLLQSNEKPLELQVMESSVLSKMKDVKTHVAGGSNSYAPSGSTAEL REDLDQAKTQMGMTESLLNALSPSDSLEIFTKLEEIQQQIFQQKHSMTVLENQIGCLTPE LSELKRQYASVSNLFNTKKNALQDHFATFLNEQCKNFNDWFSNVKTNLQECFEPPETKLS LEQRLQKLSDFLTLGGGNSKIQQVETVLQHVKMLLPKAHVKELDSWLRSQELELENMESI CQARAGELNNSFQQLLRLEDDCRSLSKWLTNQEENWGKMEVSGERMDLFSQALTRKREQF ETVAQLSDSLKEHGLTEGEETIKESTHLIDRYQALWRQLHEIEEEDKLPAAEDQSFNDLA DDVIHWIKEIKESLMALNSSEGKMPLEERIQKIKEIIALKPEGDAKIQMVMRQAEHCEAP LAQETFTDLSNQWDSTLHLANTYLSHQEKLVLEGEKYLQSKEDLRLMLTELKKQQEAGFA LQPGLPEKQAQLKIYKKFLQKAQDLTSLLEELKSQGNYLLECTKNPSFSEEPWLEVKHLH ESLLQQLQDSVQKLEGHVQEHSSYQVCLTDLSSTLDDISKEYFSLCDGSKDQIMAKERMQ KLQELESRLRFQGGALKKASALAKSIKQNTSSVGQKIIKDDIRSLKYKQKDLENRIESAK QETENGLNSILKSKSSTEKHVKFSLPVEEMPATSEVPKPTRESAAVGESGGARETNTNSA VEMILSKQLSLNVQESMQNAQDEREVNELQNQPLELDIMLRNEQLKGMEELSTHLEARRA AIELLEQSQHLNQTEEQALVLPAARPSVCHLGSLQQELHTLKKTKERQYGLLSGFQDQLV MAEASLNTSLAEVESLKIGSLDSATYLGKIKKFLGSVENQQGSLSKLRTEWAHLSSLLAA ADQKLVESQMKHLEHGWELVEQLAHRKCFQQATEHSELTCLLEKLQDLKVSLHQQQQRLT LSLNSPGQQAAIVDMVTPAAELQAIKCEFSGLKWQAELHMKRLWGEKDKKTLEDAINNLN KQMEALEPLNREVENRIKKCELQNRIKETLSWVKNTMAELVVPIALLPDNILSQIRKCKL IHDGILGNQQAVELLVEEVRGITPSLAPCEGDGLNALLEDLQSQHQALLLKSTERSQQLE LKLEEKSKLFAIIGKVQLTLEESETLMSPTGDRASTEAELERRLAILKASQQQLQDTESA LSAHLQELTNAYKDANVFERLFLDDQLKNLKARTNRTQRFLQNNGSELKQKMESYREFHD KAAVLQKEAECILHGGLLPLRQELEQDAKEQLGNLRDKLAAIRGSLSQVLTSEEVFDTIG LSWDGSLLARLQTQVLEREREVEGKIKQLDTFLIARDRHQASISKIRAVDLQIKKGAESL LKVPSMSPESTLLNAQTLIQKIEKSKRLRDEIIRKLSKNEAFDDSFKESEMQRLKLCAEE NSRLQEALQNMLLELQPREMGEKEFREKLENALHVLKQIQSRLQQPLCVNLGVQHIQHEK ETWEAFGEQVEAEMCGLRAVRITEEQREENDSGTGGMEAKLRDIEGLHMELSKSISLRAD VLNDAYDSANRYDELVAGALRIITSLEATLLSYRVDLHNPQKTLELAHLKQEELQSSVAD LRSLTETLGAISSPEAKEQLRCTLEVLAAKNSALKAGLEAQEAEEERCLENYKCFRKMKE EICSRLRKMEMDLGQSIFPLPRSYKEALARLEQSKALTSNLLSTKEDLVKLRQLLRHLRC RSTENDATCALGVASALWEKWLSLLEAAREWQQWGGELKREWKFISEEIEREAIILETLQ EDLPEISKTNAAPTEELWQLLDSLCQHQESVEKQQLLLALLLQRVRSIQNIPEGTETGET IPALQEIGSMQERCDRLLHTTRKNKDLVQAEIQAQQSFLKEIKDVKRVFEQISTSFPNLA PEGHPERAEQFEELRSILQKGKLSFENIMEKLRIKYSEMYSIVPAEIGSQVEECRSALED AEEKMSSEVSKSSPSSIMRRKIERINNGLHCVEKMLQQKSRNIEEAHEIQKKIWDELDLW HSKLNELDSEVQDFVEQDPGQAQEWMDNLMAPFQQHQQVSQRAESRTSQLNKATIKMEEY NDLLKSTEVWIEKTSCLLANPACYDSSRTLSHRASTLQMALEDSEQKHSLLHSIFTDLED LSIIFETDDLIQTIHELSDQVAALQQQIMEALPHVQQVADDVVAIESEVKAMEKKVAKIK AILLSKEIFDFPPEEHLKHGEVILENIHPMKKTIAEIMTYQVELRLPQTGTKPLPVFQRT SQLLQDVKLLENVTQEQNELLKVVIKQTAECDEEIDSLKQMLTNYSAEISPEHVSQNQVA DLPSLQGEMERLEKQILNLNQKKEDLLVDLKTAVLNLHEHLKQEQQEVGDKPSAGASECT VAERDASERKLSRTNSMSFLPVVKEEAEESSVKSEDGRRRTEPPSASWSFLGKHSKDLEG DGASSSSSATIVQDADGRISTCDSSMVHIIAPDSGSTEEGPAPSPRLSQTDEGATPPIEA ALLDFPREQGAFESTVERSRPRPADILRVCKTQVAKLELWLQQANVAFEPETVDADMQQV VEEELAGCQAMLTEIEYKVASLLETCKDQGLGDCGTTQQEAEALSWKLKTVKCNLEKVQM VLQEKFSEDQHPSTLKKPSEPHDVDQPAGLSELDSVLTERPQFSRQKDAPQPQILELKPS EQKDLIKFTELNAKKTWLQGHQENEDANRQSASSSKVPSPGNAASDSTLPLQAQSGDKWQ YLHHELTSRPNPSVPQLVEPQVALTTSTLPSVSVYNFRCPTADELQAYTTQLEELRQEAN TIQTQGSMTEETYISLDKRLFELFLSLSRCLGSVEGLLQRPGLLREDACAQQVFFQKLAL ELKKLYLALGDKKDDFLKAVTWPGKEATLLPECIDALTVSLESVQSRAAWRDASLKAGLE HSRSYQNEVKRLYSQLIKKKTALQQSLNEISGQSISKQLQKADVHTAELQNSEKQVAKLR DEGERLRFPHGLLQDVYKLEDVLDSMWGILRARYLELSSPFLSKSLQTLLQGMAELVSIG KGKLAADPLQHAKSKAALQAQLQDHKAFFQKLVADMLLIQTYSATMFPPSLQKGEGFGAE QVAEVRALEEEACLRGAQLQSMLQKWEEFDDNYASLEKDLEALISSLPSVSLVEETEERL LERISFYQQIKRNIDGKHARLCQTLNEGRQLAASVSCPEPEGQIARLEEQWLSLNKRIDQ ELHRLQTLLKHLLSYSRDSDELTRWLETSQQTLNYWKEQSLNVSQDLNTIRSNIDRFFKF SKEVDERSSLKSAVMSTGNQLLHLKEADTATLRASLAQFEQKWTVLITQLPDIQEKLHQL QMEKLPSREAISEMISWMNAVEPQAAGKDTELSKSSASQVKHLLQKLKEFRMEMDYKQWV VDFVNQSLLQLSTCDVESKRYERTEFAEHLGEMNRQWQRVHGTLNRKIQHLEQLLESITE NENKVQNLNSWLEAQEERLKMLQKPESAVSMEKLLLDCQDIENQLALKSKALDELRQSSL TMDGGDVPLLEDMASGIVELFQKKNNVTSQVHQLRASVQSVLQEWKACDKLYDEATMRTT QLTYSMEHSKPAVLSLQALACQVQNLEALQDEAENGERSWEKLQEVIGRLKASCPSMAGI IEEKCQDAHSRWTQVNQDLADQLQEARGQLQLWKAPHNAHAEAAAWLQQQEAKFQQLANT NLSGDNLADILPRALKDIKGLQSDLQKTKEAFLENSTLSDQLPQPEERSTPGLHSGQRHS LQTAAYLEKMLLAKSNEFEIVLAQFKDFTDRLAYSKDLIVHKEENLNKLYHEEKEEVPDL FLNHVLALTAQSPDIERLNEESLRLPLSDVTIKTLQSLNRQWIRATATALDHYSELQGNG LNEKFLHYCERWIQVLEKIQESLSVEVAHSLPALLEQQKTYEILEAEVSTNQAVADAYVT QSLQLLDTAEIEKRPEFVSEFSKLSDQWQRAARGVRQRKCDISRLVTQWRFFTTSVEDLL RFLADTSQLLSAVKEQDCYSLCQTRRLVHELKSKEIHLQRWRTTYALALEAGEKLRNTPS PETREFVDGQISRLQESWKDTELSLGEVISRLQSTAETWDQCKKKIKKLKKRLQALKAQS EDPLPELHEALHEEKELIKEVEKSLANWTHSLKELQTMKADLSQHILAEDVTVLKEQIQL LHRQWEDLCLRVAIRKQEIEDRLNSWIVFNEKNKELCAWLVQMENKVLQTADVSIEEMIE KLQKDCMEEISLFTENKLQLKQMGDQLIKASSKAKAAELEEKLSKINDRWQHLFDVIGSR VKKLKETFAFIQQLDKNMSNLRTWLARIESELSKPVVYDVCDNQEIQKRLAEQQDLQRDI EQHSAGVESVFNICDVLLHDSDACANETECDSIQQTTRSLDRRWRNICAMSMERRMKIEE TWRLWQKFLDDYSRFEDWLKSAERTAACPNSSEVLYTNAKEELKRFEAFQRQIHERLTQL ELINKQYRRLARENRTDTASKLKQMVHEGNQRWDNLQKRVTAILRRLRYFTNQREEFEGT RESILVWLTEMDLQLTNVEHFSESDAEDKMRQLNGFQQEITLNTNKIDQLIVFGEQLIQK SEPLDAVLIEDELEELHRYCQEVFGRVSRFHRRLTSHTPGLDDEKEASENETDIEDPREI QADSWRKRRESEEPTSPQSLCHLVPPALGHERSGCETPVSVDSIPLEWDHTGDVGGSSSH EDDEEGPFYSALSGKSISEGHPWHVPDSPSHSKHHYKHMEGDRTEAPVPTDASTPFKSDY VKLLLRQGTDDSKEGLKEAQQEDEQLATLTGQQPGAFDRWELIQAQELHSKLRLKQTVQQ LKSDIGSIAAWLGKTEAELEALKLAEPPSDIQEIALRVKRLQEILKAFDTYKALMVSVNV SHKEYLPSQSPEATELQNRLHQLSLSWDSVQGVLDSWRGDLRQSLMQCQDFHQLSQDLLL WLATAESRRQKAHVTSPEADRQVLLECQKDLMRLEKELVARQPQVSSLREISSSLLVKGQ GEDYIEAEEKVHVIEKKLKQLQEQVAQDLMSLQRSLDPDASLTSFDEVDSGEQLPAAFAK SPRPRWTFLEEEEEEEETDSRMPHLDSPGSSQPRRSFLSRVIRAALPLQLLLLLLLLLAC LLPASEDDYSCTQANNFARSFYPMLRYTNGPPPT >ENSMUSP00000106299.2 pep:known chromosome:GRCm38:12:58211772:58213887:1 gene:ENSMUSG00000035431.4 transcript:ENSMUST00000110671.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sstr1 description:somatostatin receptor 1 [Source:MGI Symbol;Acc:MGI:98327] MFPNGTASSPSSSPSPSPGSCGEGACSRGPGSGAADGMEEPGRNASQNGTLSEGQGSAIL ISFIYSVVCLVGLCGNSMVIYVILRYAKMKTATNIYILNLAIADELLMLSVPFLVTSTLL RHWPFGALLCRLVLSVDAVNMFTSIYCLTVLSVDRYVAVVHPIKAARYRRPTVAKVVNLG VWVLSLLVILPIVVFSRTAANSDGTVACNMLMPEPAQRWLVGFVLYTFLMGFLLPVGAIC LCYVLIIAKMRMVALKAGWQQRKRSERKITLMVMMVVMVFVICWMPFYVVQLVNVFAEQD DATVSQLSVILGYANSCANPILYGFLSDNFKRSFQRILCLSWMDNAAEEPVDYYATALKS RAYSVEDFQPENLESGGVFRNGTCASRISTL >ENSMUSP00000037045.2 pep:known chromosome:GRCm38:12:58211804:58214444:1 gene:ENSMUSG00000035431.4 transcript:ENSMUST00000044299.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sstr1 description:somatostatin receptor 1 [Source:MGI Symbol;Acc:MGI:98327] MFPNGTASSPSSSPSPSPGSCGEGACSRGPGSGAADGMEEPGRNASQNGTLSEGQGSAIL ISFIYSVVCLVGLCGNSMVIYVILRYAKMKTATNIYILNLAIADELLMLSVPFLVTSTLL RHWPFGALLCRLVLSVDAVNMFTSIYCLTVLSVDRYVAVVHPIKAARYRRPTVAKVVNLG VWVLSLLVILPIVVFSRTAANSDGTVACNMLMPEPAQRWLVGFVLYTFLMGFLLPVGAIC LCYVLIIAKMRMVALKAGWQQRKRSERKITLMVMMVVMVFVICWMPFYVVQLVNVFAEQD DATVSQLSVILGYANSCANPILYGFLSDNFKRSFQRILCLSWMDNAAEEPVDYYATALKS RAYSVEDFQPENLESGGVFRNGTCASRISTL >ENSMUSP00000059212.4 pep:known chromosome:GRCm38:3:101813076:101836223:-1 gene:ENSMUSG00000044313.13 transcript:ENSMUST00000061831.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mab21l3 description:mab-21-like 3 (C. elegans) [Source:MGI Symbol;Acc:MGI:2446273] MADEFFHAGKENCSEQARRSQVSGPRSQFQRSFIQRVTLLGKLPTRFVLHRERKQKVAAI LGVDQEAMKSLPEGDLDHCLLSKVDLRRQQISQTMEEVQKIIHLLTTEISRQDSRFEAVP VSDTHNESIKVLAPSLFHVTVPLKGLAGYKGVQRQRWRYYNVQGAKLTCPLRDPEGLQQW LETEMFMKTLWQWHKADVNIEGDIVPAKVLQVFRTLVENAVRTCHLSGKVTVLEKRTTVW VAMETSTGQVELELAPTVEIPTTWPEKAQWPRCLKRWPSPERVECIKSFGFNLVAQSAYH WQLSFSQAEQVLFEQLDEDGGCRRQCFQVLRQLKEDVWCPGRRPVITTHHLQTVLFWTCE KYPHLKDWQVFHQALLRLVRKLHRCVSQHFLKHYFVPKSNLLQSANPSELDAVAQKVAFF LKNPQVELP >ENSMUSP00000112730.1 pep:known chromosome:GRCm38:3:101813683:101848959:-1 gene:ENSMUSG00000044313.13 transcript:ENSMUST00000118411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mab21l3 description:mab-21-like 3 (C. elegans) [Source:MGI Symbol;Acc:MGI:2446273] MADEFFHAGKENCSEQARRSQVSGPRSQFQRSFIQRVTLLGKLPTRFVLHRERKQKVAAI LGVDQEAMKSLPEGDLDHCLLSKVDLRRQQISQTMEEVQKIIHLLTTEISRQDSRFEAVP VSDTHNESIKVLAPSLFHVTVPLKGLAGYKGVQRQRWRYYNVQGAKLTCPLRDPEGLQQW LETEMFMKTLWQWHKADVNIEGDIVPAKVLQVFRTLVENAVRTCHLSGKVTVLEKRTTVW VAMETSTGQVELELAPTVEIPTTWPEKAQWPRCLKRWPSPERVECIKSFGFNLVAQSAYH WQLSFSQAEQVLFEQLDEDGGCRRQCFQVLRQLKEDVWCPGRRPVITTHHLQTVLFWTCE KYPHLKDWQVFHQALLRLVRKLHRCVSQHFLKHYFVPKSNLLQSANPSELDAVAQKVAFF LKNPQVELP >ENSMUSP00000043250.4 pep:known chromosome:GRCm38:5:96373955:96784728:1 gene:ENSMUSG00000034687.8 transcript:ENSMUST00000036019.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fras1 description:Fraser extracellular matrix complex subunit 1 [Source:MGI Symbol;Acc:MGI:2385368] MGVLKAWLGVALALAEFAVLPNCEGACLYQGSFLADATIWKPDSCQNCRCHGDIVICKPV VCKNPRCAFEKGEVLWIAPNQCCPQCAPRTPGSCHHEGKIHEHGTEWASAPCTVCSCTHG EVRCSHQQCTPLSCGPQELEFLAEGRCCPICVGTGKPCSYDGHVFQDGEDWQLSRCAKCV CRNGLTQCFAAQCQPLFCNQDEIVVRVPGKCCSQCSARSCSTAGQVYEHGEQWKEDACTL CMCDQGQVRCHKQVCPPLRCAKGQGRARHHGQCCEECATPDRSCSSGGVLRYQDEMWKGS ACEFCMCDQGQVTCQTGECAKVACALGEELVHLEGKCCPECISRNGYCIYEQKAETMSSS AREIKHVPDGEKWEEGPCKLCECREAQVTCYEPSCPPCPVATLALVVKGQCCPDCTPVHC HPDCLTCSHSPDHCDLCQDPTKLLQNGRCVHSCGLGFYQAGSLCLACQPQCSTCTNGLEC SSCLPPLLMQQGQCVSTCGDGFYQDHHSCAVCHESCAGCWGPTEKHCMACRDPLQVLRDS SCENTCGNGFYNRQGTCVACDQSCKSCGPSSPRCLSCAEKTILHDGKCISECPHGYYADS TGSCKVCHSSCASCSGPTAAHCIACIHPQTLRQGHCLPSCGEGFYPDHGICEACHASCHT CVGPQPSHCTQCKKPEAGLLVEQHSGENVPYGKCVSRCGTHFYLESTGLCEVCHPSCLTC EGKSPHNCTGCESTHALLAGCCVSQCPETHFNLEGTCTECHPSCRQCHGPLESDCVSCHP HLTLTSGHCKTSCKEEQFLNLVGYCADCHPLCQHCVANLQDTGSICLKCQHARHLLLGDH CVPECPPGHYKERGTCKTCHSSCRSCQNGGPFSCSSCDTGLVLTHIGTCSTACFPGHYLD DNQVCQPCNRHCRSCDSQGSCTSCRDPSKVLLFGECQYESCTPQYYLDIATKTCKECDWS CNACTGPLRTDCLQCMDGYVLQDGVCVEQCSPQHYRDSGSCKRCDSHCVECQGPHECTRC EEPFLLFQAQCVQECGKGYFADHAKHRCIACPQGCLRCSHKDRCHLCDHSFFLKSGLCMP TCVPGFSGHSSNENCTDKMYTPSLHVNGSLTLGIGSMKPLDFSLLNIQHQDGRVEDLLFH VVSTPTNGQLLLSRNGKEVQLEKAGHFSWKDVNEKKVRFVHSKEKLRKGYFSLKISDQQF FSEPQLINIQAFSTQAPYVLRNEVLHVSKGERATITTQLLDIRDDDNPQDVVVNVLDPPL HGQLLQMPPAPAASIYQFHLDELSRGLLLYAHDGSDSTSDIIVFQANDGHSFQNILFHVK NIPKNDRALRLVTNSMVWVPEGGMLKITNRILKAQAPGVRADDIIYKITHSRPQFGEVVL LMNLPADSPAGPAEEGHHLPDGRMATPISTFTQQDIDDGVVWYRHLGAPTQSDSFRFQVS SATSAQEHLESHMFNIAILPQAPEAPKLSLGTSLHMTAREDGLSVIQPQSLSFVKAESPS GKIIYNITVPLHPNQGIIEHRDRPHSPIQYFTQEDINQGQIMYRPPVAPPHLQEIMAFSF AGLPESVKFYFTVSDGQHTSPEMALTIHLLHSDLQPPAFQVKAPLLEVSPGGRTSLGLQL LVRDAQVVPEELFFQLQKSPQHGMLVKYTAKSSVTMAAGDTFTYDEVERNVLQYVHDGSS AWEDSLEISVTDGLTVTTSEVKVEVSPSENRGPRLAPGSSLSMTVASQHTAIITRSHLAY VDDSSSDPEIWIRLSSLPLYGVLFRSSGPDMDELSGDSNFTMEDINKKNIRYSAVFETDG HSVTDGFHFSVSDMDGNHVDNQVFTITVTPAENPPHIIAFADLITVDEGGRAPLSLHHFF ATEDQDNLQDDAVIKLSALPKYGCIENTGTGDRFGPGANSELEASFPIQDVLENYIYYFQ SVHESIEPTHDVFSFYVSDGSGRSEIHSINITIERKNDEPPRMTLRPLGVRLSSGVAISN SSLSLQDLDTPDNELIFVLMKKPDHGHLLRRSTASDPLENGTVLDQGSSFTYQDVLAGLV GYLPGDIYMAVDEFRFSLTDGLHVDTGRMEIYIELPSTNIPHLAINRGLQLSAGSVARIT EQHLKATDTDSEAGQVVYIMKEDPGAGRLLMAKADNLEQISVRGPIRSFTQADVSQGQIE YSHGPGEPGGSFAFKFDVVDGEGNKLADQSFSIGVLEDKSPPVVITNRGLVLDENSVEKI TTAQLSATDQDSKPTELIYRITTQPQLGHLEHVASPGIQISSFTQADLASRNVQYVRSSG TGKQSDAFSFVLSDGLHEVTQTFPITIHPVDDARPLVQNRGMRVQEGVRKTITEFELKAV DVDTEAESITFTIVQPPRHGTIERTARGQRFHQTSSFTMEDIYQNRVSYSHDGSNSLKDR FTFTVSDGTNPFFIIEEGGEEIMTAAPQQFHVDILPVDDGTPRIVTNLGLQWLEYMDGKA TNLITKKELLTVDPDTEDSQLIYEVTTGPMHGYLENKLQPGRAAATFTQEHVNLGLIRYV LYEEKIQKVMDSFQFLVKDSKPNVVSDNVFHIQWSLISFKYTSYNVSEKAGSVSVTVQRT GNLNQYAIVLCRTEQGTASSSSHPGQQDYMEYAGQVQFDEGEGTKSCTVIINDDDVFENI ESFTVGLSMPAYALLGEFTQAKVVINDTEDEPTLEFDKKTYRVNESAGFLFAPIKRQGDS SSTVSAVCYTVPKSAMGSSLYALESGSDFKSRGRSAESRVIFGPGVTVSTCDVMVIDDSE YEEEEEFEIALADASNNARIGRQAVAKVLISGPNDASTVSLGNTAFTISEDAGTVKIPVI RHGTDLSTFTSVWCATRPSDPASATPGVDYVPSSRKVEFGPGITEQYCTLTILDDTQYPV IEGLETFVVFLSSAQGAELTKPSQAVIAINDTFQDVPSMQFSKDLLLVKEKEGVLHIPII RSGDLSYESSVRCYTQGHSAQVMEDFEERRNADSSRITFLKGQKTKNCTVYIHDDSMFEP EEQFRVYLGHPLGNHWSGARIGKNSVATVTISNDEDAPTIEFEEAAYQVREPAGPEAIAV LSIKVIRRGDQNRTSKIRCSTRDGSAQSGVDYYPKSRVLKFSPGVDHIFFKVEILSNEDR EWHESFSLVLGPDDLVEAVLGDVTTATVTILDQEAAGSLILPAPPIVVTLADYDHVEELA KEGVKKAPSPGYPLVCVTPCDPRYPRYAVMKERCSEAGINQTSVQFSWEVAAPTDGNGAR SPFETITDNTPFTSVNHKVLDSIYFSRRFHVRCVAKAVDKVGHVGTPLRSNVVTIGTDSA ICHTPVVAGTARGFQAQSFIATLKYLDVKHKEHPNRIHISVQIPHQDGMLPLISTMPLHN LHFLLSESIYRHQHVCSNLVTAQDLRGLAEAGFLNDAGFHSTALGPGYDRPFQFDSSVRE PKTIQLYRHLNLKSCVWTFDAYYDMTELIDVCGGSVTADFQVRDSAQSFLTVHVPLYVSY IYVTAPRGWASLEHHTEMEFSFFYDTVLWRTGIQTDSVLSARLQIIRIYIREDGRLVIEF KTHAKFRGQFVIEHHTLPDVKSFILTPDHLGGIQFDLQLLWSAQTFDSPHQLWRATSSYN RKDYSGEYTIYLIPCTVQPTQPWVDPGEKALACTAHAPERFLIPIAFQQTNRPVPVVYSL NTEFQLCNNEKVFLMDPNTSDMSLAEMDYKGAFSKGQILYGRVLWNPEQNLHSAYKLQLE KVYLCTGKDGYVPFFDPTGTIYNEGPQYGCIQPNKHLKHRFLLLDRSQPEVTDKYFHDVP FEAHFASELPDFQVVSSMPGVDGFTLKVDALYKVEAGHQWYLQVIYIIGPDSTSRPRVQR SLTVSLRRHQRDLVDPSGWLSLDDSLIYDNEGDQVKNGTNMKSLNLEMQEPVIAASLSQT GASIGSALAAIMLLLLLFLVACFVTRKCQKQKKKQPPEDTLEEYPLNTKVDVAKRNADKV EKNANRQYCTVRNVNILSDNEGYYTFKGAKVKKLNLEVRVHNNLQDGTEV >ENSMUSP00000121281.1 pep:known chromosome:GRCm38:X:157162075:157165662:-1 gene:ENSMUSG00000057457.11 transcript:ENSMUST00000135713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phex description:phosphate regulating endopeptidase homolog, X-linked [Source:MGI Symbol;Acc:MGI:107489] XVNGAISNFEEFQKAFNCPRNSTMNRGADSCRLW >ENSMUSP00000122953.1 pep:known chromosome:GRCm38:X:157220405:157415312:-1 gene:ENSMUSG00000057457.11 transcript:ENSMUST00000138396.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phex description:phosphate regulating endopeptidase homolog, X-linked [Source:MGI Symbol;Acc:MGI:107489] MEAETGSTMETGKGTNRGIRIALALFIGGTLVLGTLLFLVSQGLLSFQAKQEYCLKPECI EAAAAIMSKVNLSVDPCENFFRFACDGWISNNPIPEDMPSYGVYPWLRHNVDLKLKALLE KSVSRRRDTEAVQKAKILYSSCMNEKAIEKADAKPLLHILRHSPFRWPVLEANIGPEGVW SERKFSLLQTLATFRGQYSNSVFIRLYVSPDDKASNEHILKLDQATLSLAVREDFLDNTT EAKSYRDALYKFMVDTAVLLGANSSRAEHDMKSVLRLEIKIAEIMIPHENRTSEAMYNKM NISELSAMIPQFDWLGYIKKVIDTRLYPHLKDIGPSENVVVRVPQYFKDLFRILGAERKK TIANYLVWRMVYSRIPNLSRRFQYRWLEFSRVIQGTTTLLPQWDKCVNFIESALPYVVGK MFVNVHFQEDKKEMMEELIEGVRWAFIDMLEKENEWMDAGTKRKAQEKARAVLAKVGYPE FIMNDTYVNEDLKAIKFSESDYFGNVLQTRKYLAQSDFFWLRKAVPKTEWFTNPTTVNAF YSASTNQI >ENSMUSP00000078863.4 pep:known chromosome:GRCm38:X:157162075:157415286:-1 gene:ENSMUSG00000057457.11 transcript:ENSMUST00000079945.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phex description:phosphate regulating endopeptidase homolog, X-linked [Source:MGI Symbol;Acc:MGI:107489] MEAETGSTMETGKGTNRGIRIALALFIGGTLVLGTLLFLVSQGLLSFQAKQEYCLKPECI EAAAAIMSKVNLSVDPCENFFRFACDGWISNNPIPEDMPSYGVYPWLRHNVDLKLKALLE KSVSRRRDTEAVQKAKILYSSCMNEKAIEKADAKPLLHILRHSPFRWPVLEANIGPEGVW SERKFSLLQTLATFRGQYSNSVFIRLYVSPDDKASNEHILKLDQATLSLAVREDFLDNTT EAKSYRDALYKFMVDTAVLLGANSSRAEHDMKSVLRLEIKIAEIMIPHENRTSEAMYNKM NISELSAMIPQFDWLGYIKKVIDTRLYPHLKDIGPSENVVVRVPQYFKDLFRILGAERKK TIANYLVWRMVYSRIPNLSRRFQYRWLEFSRVIQGTTTLLPQWDKCVNFIESALPYVVGK MFVNVHFQEDKKEMMEELIEGVRWAFIDMLEKENEWMDAGTKRKAQEKARAVLAKVGYPE FIMNDTYVNEDLKAIKFSESDYFGNVLQTRKYLAQSDFFWLRKAVPKTEWFTNPTTVNAF YSASTNQIRFPAGELQKPFFWGTEYPRSLSYGAIGVIVGHEFTHGFDNNGRKYDKNGNLD PWWSVESEEKFKEKTKCMINQYSNYYWKKAGLNVKGKRTLGENIADNGGLREAFRAYRKW INDRRQGVEEPLLPGITFTNNQLFFLSYAHVRCNSYRPEAAREQVQIGAHSPPQFRVNGA ISNFEEFQKAFNCPRNSTMNRGADSCRLW >ENSMUSP00000131158.2 pep:known chromosome:GRCm38:12:31926254:31950535:-1 gene:ENSMUSG00000002996.17 transcript:ENSMUST00000172314.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbp1 description:high mobility group box transcription factor 1 [Source:MGI Symbol;Acc:MGI:894659] MATGLSEHHNMVWEVKTNQMPNAVQKLLLVMDKRAPGMSDSLELLQCNENLPSSPGYNSC DEHMELDDLPELQAVQSDPTQSAIYQLSSDVSHQEYPRSSWSQNTSDIPENTHREDEVDW LTELANIATSPQSPLMQCSFYNRSSPVHIIATSKSLHSYARPPPVSSSSKSGPAFPHDHW KEETPVRHERANSESESGIFCMSSLSDDDDLGWCNSWPSTIWHCFLKGTRLCFHKESNKE WQDVEDFARAASCDNEEEIQMGTHKGYGSDGLKLLSHEESVSFGESVLKLTFDPGTVEDG LLTVECKLDHPFYVKNKGWSSFYPSLTVVQHGIPCCEIHIGDVCLPPGHPDAINFDDSGV FDTFKSYDFTPMDSSAVYVLSSMARQRRASLSCGGGPGTGQEFSGSEFSKSCGSPGSSQL SSSSLYAKAVKSHSSGTVSATSPNKCKRPMNAFMLFAKKYRVEYTQMYPGKDNRAISVIL GDRWKKMKNEERRMYTLEAKALAEEQKRLNPDCWKRKRTNSGSQQH >ENSMUSP00000131983.2 pep:known chromosome:GRCm38:12:31929383:31950223:-1 gene:ENSMUSG00000002996.17 transcript:ENSMUST00000167458.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbp1 description:high mobility group box transcription factor 1 [Source:MGI Symbol;Acc:MGI:894659] MATGLSEHHNMVWEVKTNQMPNAVQKLLLVMDKRAPGMSDSLELLQCNENLPSSPGYNSC DEHMELDDLPELQAVQSDPTQSAIYQLSSDVSHQEYPRSSWSQNTSDIPENTHREDEVDW LTELANIATSPQSPLMQCSFYNRSSPVHIIATSKSLHSYARPPPVSSSSKSGPAFPHDHW KEETPVRHERANSESESGIFCMSSLSDDDDLGWCNSWPSTIWHCFLKGTRLCFHKESNKE WQDVEDFARAASCDNEEEIQMGTHKGYGSDGLKLLSHEESVSFGESVLKLTFDPGTVEDG LLTVECKLDHPFYVKNKGWSSFYPSLTVVQHGIPCCEIHIGDVCLPPGHPDAINFDDSGV FDTFKSYDFTPMDSSAVYVLSSMARQRRASLSCGGGPGTGQEFSGSEFSKSCGSPGSSQL SSSSLYAKAVKSHSSGTVSATSPNKCKRPMNAFMLFAKKYRVEYTQMYPGKDNR >ENSMUSP00000135537.1 pep:known chromosome:GRCm38:12:31930813:31940407:-1 gene:ENSMUSG00000002996.17 transcript:ENSMUST00000176643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbp1 description:high mobility group box transcription factor 1 [Source:MGI Symbol;Acc:MGI:894659] XWSQNTSDIPENTHREDEVDWLTELANIATSPQSPLMQCSFYNRSSPVHIIATSKSLHSY ARPPPVSSSSKSGPAFPHDHWKEETPVRHERGYGSDGLKLLSHEESVSFGESVLKLTFDP GTVEDGLLTVECKLDHPFYVKNKGWSSFYPSLTVVQHGIPCCEIHIGDVCLPPGHPDAIN FDDSGVFDTFKSYDFTPMDSSAVYVLSSMARQRRASLSCGGGPGTGQEFSGSEFSKSCGS PGSSQLSSSSLYAKAV >ENSMUSP00000135080.1 pep:known chromosome:GRCm38:12:31932833:31949608:-1 gene:ENSMUSG00000002996.17 transcript:ENSMUST00000175686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbp1 description:high mobility group box transcription factor 1 [Source:MGI Symbol;Acc:MGI:894659] MNGLRGACASEHHNMVWEVKTNQMPNAVQKLLLVMDKRAPGMSDSLELLQCNENLPSSPG YNSCDEHMELDDLPELQAVQSDPTQSAIYQLSSDVSHQEYPRSSWSQNTSDIPENTHRED EVDWLTELANIATSPQSPLMQCSFYNRSSPVHIIATSKSLHSYARPPPVSSSSKSGPAFP HDHWKEETPVRHERANSESESGIFCMSSLSDDDDLGWCNSWPSTIWHCFLKGTRLCFHKE SNKEWQDVEDFARAASCDNEEEIQMGTHKGYGSDGLKLLSHEESVSFGESVLKLTFDPGT VEDGLLTVECKLDHPFYVKNKGWSSFYPSLTVVQHGIPCCEIHIGDVCLPPGHPDAINFD DSGVFDTFKRPYQYC >ENSMUSP00000135155.1 pep:known chromosome:GRCm38:12:31934342:31950170:-1 gene:ENSMUSG00000002996.17 transcript:ENSMUST00000176520.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbp1 description:high mobility group box transcription factor 1 [Source:MGI Symbol;Acc:MGI:894659] MVWEVKTNQMPNAVQKLLLVMDKRAPGMSDSLELLQCNENLPSSPGYNSCDEHMELDDLP ELQAVQSDPTQSAIYQLSSDVSHQEYPRSSWSQNTSDIPENTHREDEVDWLTELANIATS PQSPLMQCSFYNRSSPVHIIATSKSLHSYARPPPVSSSSKSGPAFPHDHWKEETPVRHER ANSESESGIFCMSSLSDDDDLGWCNSWPSTIWHCFLKGTRLCFHKESNKEWQDVEDFARA ASCDNEEEIQMGTHKGYGSDGLKLLSHEESVSFGESVLKLTFDPGTVE >ENSMUSP00000135508.1 pep:known chromosome:GRCm38:12:31936459:31950221:-1 gene:ENSMUSG00000002996.17 transcript:ENSMUST00000176103.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbp1 description:high mobility group box transcription factor 1 [Source:MGI Symbol;Acc:MGI:894659] MATGLSEHHNMVWEVKTNQMPNAVQKLLLVMDKRAPGMSDSLELLQCNENLPSSPGYNSC DEHMELDDLPELQAVQSDPTQSAIYQLSSDVSHQEYPRSSWSQNTSDIPENTHREDEVDW LTELANIATSPQSPLMQCSFYNRSSPVHIIATSKSLHSYARPPPVSSSSKSGPAFPHDHW KEETPVRHERANSESESGIFCMSSLSDDDDLGWCNSWPSTIWHCFLKGTRLCFHKESNKE WQDVEDFARAASCDNEEEIQMGTHKVHLKSF >ENSMUSP00000135489.1 pep:known chromosome:GRCm38:12:31937045:31950210:-1 gene:ENSMUSG00000002996.17 transcript:ENSMUST00000176084.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbp1 description:high mobility group box transcription factor 1 [Source:MGI Symbol;Acc:MGI:894659] MVWEVKTNQMPNAVQKLLLVMDKRAPGMSDSLELLQCNENLPSSPGYNSCDEHMELDDLP ELQAVQSDPTQSAIYQLSSDVSHQEYPRSSWSQNTSDIPENTHREDEVDWLTELANIATS PQSPLMQCSFYNRSSPVHIIATSKSLHSYARPPPVSSSSKSGPAFPHDHWKEETPVRHER ANSESESGIFCMSSLSDDDDLGWCNSWPSTIWHCFLKGTRLCFHKESNKE >ENSMUSP00000065084.6 pep:known chromosome:GRCm38:11:4064841:4077736:1 gene:ENSMUSG00000054986.13 transcript:ENSMUST00000068322.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec14l3 description:SEC14-like lipid binding 3 [Source:MGI Symbol;Acc:MGI:3617848] MSGRVGDLSPKQAETLAKFRENVQDVLPALPNPDDYFLLRWLRARNFDLQKSEAMLRKYM EFRKTMDIDHILDWQPPEVIQKYMPGGLCGYDRDGCPVWYDIIGPLDPKGLLFSVTKQDL LKTKMRDCERILHECDLQTERLGRKIETIVMIFDCEGLGLKHFWKPLVEVYQEFFGLLEE NYPETLKFMLIVKATKLFPVGYNLMKPFLSEDTRRKIVVLGSNSWKEGLLKLISPEELPA HFGGTLTDPDGNPKCLTKINYGGEIPKSMYVRDQVKTQYEHSVQISRGSSHQVEYEILFP GCVLRWQFSSDGADIGFGVFLKTKMGERQKAGEMVEVLTSQRYNAHMVPEDGSLTCSEAG VYVLRFDNTYSFVHAKKVSFTVEVLLPDEGMQKYDEELTPV >ENSMUSP00000123404.2 pep:known chromosome:GRCm38:2:129038145:129048172:-1 gene:ENSMUSG00000079051.4 transcript:ENSMUST00000145798.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14025 description:predicted gene 14025 [Source:MGI Symbol;Acc:MGI:3649276] MKMDSSLGDDFGPTIQNRAMEQIITPMTVQLCHLLISVERKEVQNKALASLQKVAEQLAN ASEEFVHVASRLAGDSEEKWLREEMKPVAESLILSGRNILRVAEKLHLQPESQRHWEELV ATAQQVLVDTKKVLLLDDAAAVRKTRTAANWCLTCVEALEEAEDTTSLRTSLDDLAAALF RLGGLTARWAWDQHLGRARHRLGCCVPALLAAAHGHLRHPRDPQLVASRRRVFALTRQSL EELLDALQPGVGVVGTGVLSQNGALARGLCKLRKVLEDPGPGHLLLDAPLAAVVWHCLRL AACSAPQERMHLVSRCRQLMQLRPGVHSLPRSSPGTDCEALRAATDALFRAVRAGLLRQI LDTFTDTQSPLETLVQAALATSTIRFRCDSEALAETVQILLDAFHNQAKQMIRVAHLVWV CCPQQQTGRDLEAAVAGLQRLVIKVKELFSQSPQTLGLDWSPATLQALLEAWARESEHLL SCFDVVLNIPEFLSVSIQEMTKHLDLSTIALRSGASREFSRSVAFLRGRATHIVQVMSRY VGQDPDPIFRNGMRVVVQQLAQSSVTLGAATEGSRAEDSAQDTDVFLTMAKHLIHAAQQV REGLDGSNHPDILSPLRVQV >ENSMUSP00000060721.6 pep:known chromosome:GRCm38:4:125066672:125074042:1 gene:ENSMUSG00000050213.6 transcript:ENSMUST00000052183.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snip1 description:Smad nuclear interacting protein 1 [Source:MGI Symbol;Acc:MGI:2156003] MKAGKSERERSGRRRHRSGDALTTVVVKQERLSPEPVAHRRPDAPAASLSPPAAEPGHSG HRGSRARSPAKKKSKSSGRRSKSPRTKRSQSPHYPMVKVKQEREDHPRRGREDRQHREPS EQEHRRARNSERDRHRGHSRQGRSSDERPVSGQDRDRDSQNLQAQEEERDFHNARRREHR QQNESAGSEAQEVIPRPAGNRSKEVPVKEKPSFELSGALLEDTNTFRGVVIKYSEPPEAR IPKKRWRLYPFKNDEVLPVMYIHRQSAYLLGRHRRIADIPIDHPSCSKQHAVFQYRLVEY TRADGTVGRRVKPYIIDLGSGNGTFLNNKRIEPQRYYELKEKDVLKFGFSSREYVLLHES SDTSELDRKEDEDDEEEEMVSDS >ENSMUSP00000146792.1 pep:known chromosome:GRCm38:17:33215261:33216342:-1 gene:ENSMUSG00000096169.2 transcript:ENSMUST00000208645.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4461 description:predicted gene 4461 [Source:MGI Symbol;Acc:MGI:3782645] MLGLNYTFVSEFILIGFSTFPHLQLMFFLLFLLMYLFTLLGNLLIMTTIWSEHSLHTPMY LFLCALSISEIFYTFAIIPRMLADLLSTLHSIAFLACASQMFFSFTFGFTHSFLLTVMGY DRYVAICHPLRYNVLMSPRGCACLVAWSWVGGSFMGTVVTTAIFNLTFCGPNEIHHFFCH VPPLLKLACGENVLEVAKGVGIVCITALLGCFLLILLSYTFIVVTILKIPSAEGRHKAFS TCASHLTVVVVHYGFASVIYLKPKGPKSLGGDTLMGITYTVLTPFLSPIIFSLRNKELKI TMKKAFLNKLFPQNS >ENSMUSP00000127247.2 pep:known chromosome:GRCm38:17:33215261:33216351:-1 gene:ENSMUSG00000096169.2 transcript:ENSMUST00000112162.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4461 description:predicted gene 4461 [Source:MGI Symbol;Acc:MGI:3782645] MAVMLGLNYTFVSEFILIGFSTFPHLQLMFFLLFLLMYLFTLLGNLLIMTTIWSEHSLHT PMYLFLCALSISEIFYTFAIIPRMLADLLSTLHSIAFLACASQMFFSFTFGFTHSFLLTV MGYDRYVAICHPLRYNVLMSPRGCACLVAWSWVGGSFMGTVVTTAIFNLTFCGPNEIHHF FCHVPPLLKLACGENVLEVAKGVGIVCITALLGCFLLILLSYTFIVVTILKIPSAEGRHK AFSTCASHLTVVVVHYGFASVIYLKPKGPKSLGGDTLMGITYTVLTPFLSPIIFSLRNKE LKITMKKAFLNKLFPQNS >ENSMUSP00000119365.1 pep:known chromosome:GRCm38:7:87246096:87304866:1 gene:ENSMUSG00000030562.17 transcript:ENSMUST00000124057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nox4 description:NADPH oxidase 4 [Source:MGI Symbol;Acc:MGI:1354184] MAAPLDLLPRPLIAPFGPEHRAGRSTPRAGGMAVSWRSWLANEGVKHLCLLIWLSLNVLL FWKTFLLYNQGPEYYYIHQMLGLGLCLSRASASVLNLNCSLILLPMCRTVLAYLRGSQKV PSRRTRRLLDKSKTLHITCGVTICIFSGVHVAAHLVN >ENSMUSP00000032781.7 pep:known chromosome:GRCm38:7:87246649:87398710:1 gene:ENSMUSG00000030562.17 transcript:ENSMUST00000032781.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nox4 description:NADPH oxidase 4 [Source:MGI Symbol;Acc:MGI:1354184] MAVSWRSWLANEGVKHLCLLIWLSLNVLLFWKTFLLYNQGPEYYYIHQMLGLGLCLSRAS ASVLNLNCSLILLPMCRTVLAYLRGSQKVPSRRTRRLLDKSKTLHITCGVTICIFSGVHV AAHLVNALNFSVNYSEDFLELNAARYQNEDPRKLLFTTIPGLTGVCMVVVLFLMVTASTY AIRVSNYDIFWYTHNLFFVFYMLLLLHVSGGLLKYQTNVDTHPPGCISLNQTSSQNMSIP DYVSEHFHGSLPRGFSKLEDRYQKTLVKICLEEPKFQAHFPQTWIWISGPLCLYCAERLY RCIRSNKPVTIISVINHPSDVMELRMIKENFKARPGQYIILHCPSVSALENHPFTLTMCP TETKATFGVHFKVVGDWTERFRDLLLPPSSQDSEILPFIHSRNYPKLYIDGPFGSPFEES LNYEVSLCVAGGIGVTPFASILNTLLDDWKPYKLRRLYFIWVCRDIQSFQWFADLLCVLH NKFWQENRPDFVNIQLYLSQTDGIQKIIGEKYHTLNSRLFIGRPRWKLLFDEIAKCNRGK TVGVFCCGPSSISKTLHSLSNRNNSYGTKFEYNKESFS >ENSMUSP00000138336.1 pep:known chromosome:GRCm38:7:87246649:87398710:1 gene:ENSMUSG00000030562.17 transcript:ENSMUST00000126887.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nox4 description:NADPH oxidase 4 [Source:MGI Symbol;Acc:MGI:1354184] MAVSWRSWLANEGVKHLCLLIWLSLNVLLFWKTFLLYNQGPEYYYIHQMLGDCV >ENSMUSP00000138274.1 pep:known chromosome:GRCm38:7:87246795:87392354:1 gene:ENSMUSG00000030562.17 transcript:ENSMUST00000136577.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nox4 description:NADPH oxidase 4 [Source:MGI Symbol;Acc:MGI:1354184] MAVSWRSWLANEGVKHLCLLIWLSLNVLLFWKTFLLYNQGPEYYYIHQMLGDCV >ENSMUSP00000070039.5 pep:known chromosome:GRCm38:7:87246795:87395863:1 gene:ENSMUSG00000030562.17 transcript:ENSMUST00000068829.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nox4 description:NADPH oxidase 4 [Source:MGI Symbol;Acc:MGI:1354184] MAVSWRSWLANEGVKHLCLLIWLSLNVLLFWKTFLLYNQGPEYYYIHQMLGLGLCLSRAS ASVLNLNCSLILLPMCRTVLAYLRGSQKVPSRRTRRLLDKSKTLHITCGVTICIFSGVHV AAHLVNALNFSVNYSEDFLELNAARYQNEDPRKLLFTTIPGLTGVCMVVVLFLMVTASTY AIRVSNYDIFWYTHNLFFVFYMLLLLHVSGGLLKYQTNVDTHPPGCISLNQTSSQNMSIP DYVSEHFHGSLPRGFSKLEDRYQKTLVKICLEEPKFQAHFPQTWIWISGPLCLYCAERLY RCIRSNKPVTIISVINHPSDVMELRMIKENFKARPGQYIILHCPSVSALENHPFTLTMCP TETKATFGVHFKVVGDWTERFRDLLLPPSSQDSEILPFIHSRNYPKLYIDGPFGSPFEES LNYEVSLCVAGGIGVTPFASILNTLLDDWKPYKLRRLYFIWVCRDIQSFQWFADLLCVLH NKFWQENRPDFVNIQLYLSQTDGIQKIIGEKYHTLNSRLFIGRPRWKLLFDEIAKCNRGF EDQGQDFVPARKQHLHRGAPKALSFFSNGKGYLHFTIMTLKKCCFHGSPLIF >ENSMUSP00000138143.1 pep:known chromosome:GRCm38:7:87246812:87398340:1 gene:ENSMUSG00000030562.17 transcript:ENSMUST00000144267.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nox4 description:NADPH oxidase 4 [Source:MGI Symbol;Acc:MGI:1354184] MAVSWRSWLANEGVKHLCLLIWLSLNVLLFWKTFLLYNQGPEYYYIHQMLGDCV >ENSMUSP00000035033.5 pep:known chromosome:GRCm38:9:98563731:98588382:1 gene:ENSMUSG00000032458.6 transcript:ENSMUST00000035033.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Copb2 description:coatomer protein complex, subunit beta 2 (beta prime) [Source:MGI Symbol;Acc:MGI:1354962] MPLRLDIKRKLTARSDRVKSVDLHPTEPWMLASLYNGSVCVWNHETQTLVKTFEVCDLPV RAAKFVARKNWVVTGADDMQIRVFNYNTLERVHMFEAHSDYIRCIAVHPTQPFILTSSDD MLIKLWDWDKKWSCSQVFEGHTHYVMQIVINPKDNNQFASASLDRTIKVWQLGSSSPNFT LEGHEKGVNCIDYYSGGDKPYLISGADDRLVKIWDYQNKTCVQTLEGHAQNVSCASFHPE LPIIITGSEDGTVRIWHSSTYRLESTLNYGMERVWCVASLRGSNNVALGYDEGSIIVKLG REEPAMSMDANGKIIWAKHSEVQQANLKAMGDTEIKDGERLPLAVKDMGSCEIYPQTIQH NPNGRFVVVCGDGEYIIYTAMALRNKSFGSAQEFAWAHDSSEYAIRESNSIVKIFKNFKE KKSFKPDFGAESIYGGFLLGVRSVNGLAFYDWENTELIRRIEIQPKHIFWSDSGELVCIA TEESFFILKYLSEKVLAAQETHEGVTEDGIEDAFEVLGEIQEIVKTGLWVGDCFIYTSSV NRLNYYVGGEIVTIAHLDRTMYLLGYIPKDNRLYLGDKELNIVSYSLLVSVLEYQTAVMR RDFSMADKVLPTIPKEQRTRVAHFLEKQGFKQQALTVSTDPEHRFELALQLGELKIAYQL AVEAESEQKWKQLAELAISKCQFSLAQECLHHAQDYGGLLLLATASGNASMVNKLAEGAE RDGKNNVAFMSYFLQGKLDACLELLIRTGRLPEAAFLARTYLPSQVSRVVKLWRENLSKV NQKAAESLADPTEYENLFPGLKEAFVVEEWVKETHADLWPAKQYPLVTPNEERNVMEEAK GFQPSRPTAQQEPDGKPASSPVIMASQTTHKEEKSLLELEVDLDNLELEDIDTTDINLDE DILDD >ENSMUSP00000097505.1 pep:known chromosome:GRCm38:2:85607964:85608908:-1 gene:ENSMUSG00000075215.1 transcript:ENSMUST00000099921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1000 description:olfactory receptor 1000 [Source:MGI Symbol;Acc:MGI:3030834] MEEKNQTVMPEFLFRGITDNLHQKIVIFIIFFFVYLVTLGGNVGMITLIWLDPRLHTPMY FFLSQLSFVDVSSSSSIAPKMLCDIFARNKAISFVGCATQMWFFGLFVATECFLLAAMAY DRYAAICKPLLYTLIMSPHLCMLLVVGIYFIALISTMIHTTLTFCLPFCGPYIINHFFCD VSPLLSLACTDTQMIKLVFFVLAGTVGMFTGLIILGSYVCILKAILKIQTANGRQKAFST CSSHLVTVAILYGTLFFIYVRPNASSSLNINKVISLFYTVVIPMLNPLIYSLRNQEVKNA FRRTLKKKHFLIGV >ENSMUSP00000001878.4 pep:known chromosome:GRCm38:2:74675013:74677705:1 gene:ENSMUSG00000001823.5 transcript:ENSMUST00000001878.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd12 description:homeobox D12 [Source:MGI Symbol;Acc:MGI:96204] MCERSLYRAGYVGSLLNLQSPDSFYFSNLRANGSQLAALPPISYPRSALPWATTPASCTP AQPATASAFGGFSQPYLTGSGPIGLQSPGAKDGPEDQVKFYTPDAPTASEERSRTRPPFA PESSLVHSALKGTKYDYAGVGRTAPGSATLLQGAPCASSFKEDTKGPLNLNMAVQVAGVA SCLRSSLPDGLPWGAAPGRARKKRKPYTKQQIAELENEFLVNEFINRQKRKELSNRLNLS DQQVKIWFQNRRMKKKRVVQREQALALY >ENSMUSP00000037214.9 pep:known chromosome:GRCm38:11:97989578:97996181:-1 gene:ENSMUSG00000038352.15 transcript:ENSMUST00000042971.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl5c description:ADP-ribosylation factor-like 5C [Source:MGI Symbol;Acc:MGI:3028577] MGQLIAKLMRIFGSQEHKVIIVGLDNAGKTTILYQFLTNEVVHTCSTIGSNVEEIVLRKT HFLMWDLGGQEALRSTWDTYYSNAEFVILVIDSTDRNRLLTTREELYKMLAHEALQNASV LIFANKQDVKDSMTTAEISQFLTLSAIKDHPWHIQGCCALTGEGLPAGLQWMQAQATAN >ENSMUSP00000103188.1 pep:known chromosome:GRCm38:11:97993836:97995929:-1 gene:ENSMUSG00000038352.15 transcript:ENSMUST00000107563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl5c description:ADP-ribosylation factor-like 5C [Source:MGI Symbol;Acc:MGI:3028577] MGQLIAKLMRIFGSQEHKVIIVGLDNAGKTTILYQFLTNEVVHTCSTIGSNVEEIVLRKT HFLMWDLGGQEALRSTWDTYYSNAEVRREPGRGGQRGG >ENSMUSP00000042232.7 pep:known chromosome:GRCm38:6:135137522:135168215:-1 gene:ENSMUSG00000042770.8 transcript:ENSMUST00000045855.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hebp1 description:heme binding protein 1 [Source:MGI Symbol;Acc:MGI:1333880] MLGMIRNSLFGSVETWPWQVLSTGGKEDVSYEERACEGGKFATVEVTDKPVDEALREAMP KIMKYVGGTNDKGVGMGMTVPVSFAVFPNEDGSLQKKLKVWFRIPNQFQGSPPAPSDESV KIEEREGITVYSTQFGGYAKEADYVAHATQLRTTLEGTPATYQGDVYYCAGYDPPMKPYG RRNEVWLVKA >ENSMUSP00000108187.1 pep:known chromosome:GRCm38:2:59612042:59845717:1 gene:ENSMUSG00000035168.16 transcript:ENSMUST00000112568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tanc1 description:tetratricopeptide repeat, ankyrin repeat and coiled-coil containing 1 [Source:MGI Symbol;Acc:MGI:1914110] MLKAVLKKSREGGKGSKKEAGGDFGSETPALSSSGDSPVNSLSTTEDTYRVSLAKGVSMS LPSSPLLPRQSLLTQSRSNKKSPGPVRKPKYVESPRVPGDPVMIPFGEGSKPSEPSATEA KADNEPSCSPAAQELLTRLGFLLGEGIPSATHITIEDKNEAMCTALSQGISPCSTLTSST ASPSTDSPCSTLNSCVSKTAASKSPCETISSPSSTLESKDSGIIATITSSSENDDRSGSS LEWNRDGSLRLGVQKGVLHDRRADNCSPVAEEETTGSAESVLPKAEPSAGDGPVPYPQSS GSLIMPRPNSVAATSSTKLEDLSYLDGQRNAPLRTSIRLPWHNTAGARFAPYKPQEILLK PLLFEVPSITTDSVFVGRDWLFHQIEENLRNTELAENRGAVVVGSVGFGKTAIISKLVAL SCHGSRMRQIASSSPSLSPKSSDPTQDLPGTPLLSPSSSTSALSVTRTPAGPGTADSQRP REDAVKYLASKVVAYHYCQADNTYTCLVPEFVHSIAALLCRSHQLAAYRDLLIKEPQLQS MLSLRSCVQDPVAAFKRGVLEPLTSLRNEQKIPEEEYIILIDGLNEAEFHKPDYGDTLSS FITKIIPKFPTWLKLIVTVRANFQEIISALPFVKLSLDDFPDNKDIHSDLHAYVQHRVHS SQDILSNISLNGKADAALISKVSSHLVLRSLGSYLYLKLTLDLFQRGHLVIKSASYKVVP VSLSELYLLQCNMKFMTQSAFDRALPILNVALASLHPMTDEQIFQAINAGHIQGEQGWED FQQRMEALSCFLIKRRDKTRMFCHPSFREWLVWRADGESTAFLCEPRNGHALLAFMFSRQ ESKLNRQQTMELGHHILKAHIFKGLSKKTGVSSSHLQALWIGYSTEGLSAALASLRNLYT PNVKVSRLLILGGANVNYRTEVLNNAPILCVQSHLGHEEVVTLLLEFGACLDGMSENGMN ALCYAAAAGHMKLVCLLIKKGARVDHLDKKGQCALVHSALRGHSDILQYLLNCEWSAGPP QPGTLRKSQALQQALTAAASMGHSSVVQSLLGMAEEHEIEVNGTDTLWGETALTAAAGRG KVEICELLLERGAAVSRANRRGVPPLFCAARQGHWQVVRLLLDRGCDVNLSDKQGRTPLM VASCEGHLSTVEFLLSKGAALSSLDKEGLSALSWACLKGHRAVVQYLVEEGAEIDQTDKN GRTPLDLAAFYGDAETVLYLVEKGAVIEHVDHSGMRPLDRAIGCRNTAVVVTLLRKGAKL GNAAWAMATSKPDILIILLQKLVEEGNVMYKKGKMKEAAQRYQYALRKFPREGLGEDMRP FNELRVSLYLNLSRCRRKTNDFGLAEEFASKALELKPKSYEAFYARARAKRNSRQFLAAL ADLQEAVKLCPNNQEIKRLLARVEEECKQLQRNQQQKQQGPPPAPANDSDNEEDAPASSL KDHFPIEEAEEEDTSSQEESISPTPRSQPPPSVPSPYIRNLQEGLQSKGRSASPQSRAGI SKSLRETVAQSGLVMQPTKQAQIVKTNQHLGSGQSSMRNSSTKIQVSSQNPPPSPMPGRV SAAPAVSRNQHLEGTGPFTAGTGCGHFGDRLGASQSLQLQRSESGTAYPLPSKVKAAERL LAHASVAVDMAPPNQGGPVSCSDVRHPASLSSSGSSGSPSSSIKMSSSTSSLTSSSSVSD GFKVQGPDSRIRDKGTTQVQGGTAEHRPRNTPFMGIMDKTARFQQQSNPPNRSWHCPVAE GLLTNTATAAGLQSNSEKPTLKPGGYCSQAKPCSVPPLSMGVHNGAQVKELEENKCQIPA LCQDNRITKGVPHLYPEGVSKQPLHVSTEAHRSHLTSAKPKRSFIESNV >ENSMUSP00000123345.2 pep:known chromosome:GRCm38:2:59646811:59845717:1 gene:ENSMUSG00000035168.16 transcript:ENSMUST00000139863.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tanc1 description:tetratricopeptide repeat, ankyrin repeat and coiled-coil containing 1 [Source:MGI Symbol;Acc:MGI:1914110] MLKAVLKKSREGGKGSKKEAGGDFGSETPALSSSGDSPVNSLSTTEDTYRVSLAKGVSMS LPSSPLLPRQSLLTQSRSNKKSPGPVRKPKYVESPRVPGDPVMIPFGEGSKPSEPSATEA KADNEPSCSPAAQELLTRLGFLLGEGIPSATHITIEDKNEAMCTALSQGISPCSTLTSST ASPSTDSPCSTLNSCVSKTAASKSPCETISSPSSTLESKDSGIIATITSSSENDDRSGSS LEWNRDGSLRLGVQKGVLHDRRADNCSPVAEEETTGSAESVLPKAEPSAGDGPVPYPQSS GSLIMPRPNSVAATSSTKLEDLSYLDGQRNAPLRTSIRLPWHNTAGGRAPEVKARFAPYK PQEILLKPLLFEVPSITTDSVFVGRDWLFHQIEENLRNTELAENRGAVVVGSVGFGKTAI ISKLVALSCHGSRMRQIASSSPSLSPKSSDPTQDLPGTPLLSPSSSTSALSVTRTPAGPG TADSQRPREDAVKYLASKVVAYHYCQADNTYTCLVPEFVHSIAALLCRSHQLAAYRDLLI KEPQLQSMLSLRSCVQDPVAAFKRGVLEPLTSLRNEQKIPEEEYIILIDGLNEAEFHKPD YGDTLSSFITKIIPKFPTWLKLIVTVRANFQEIISALPFVKLSLDDFPDNKDIHSDLHAY VQHRVHSSQDILSNISLNGKADAALISKVSSHLVLRSLGSYLYLKLTLDLFQRGHLVIKS ASYKVVPVSLSELYLLQCNMKFMTQSAFDRALPILNVALASLHPMTDEQIFQAINAGHIQ GEQGWEDFQQRMEALSCFLIKRRDKTRMFCHPSFREWLVWRADGESTAFLCEPRNGHALL AFMFSRQESKLNRQQTMELGHHILKAHIFKGLSKKTGVSSSHLQALWIGYSTEGLSAALA SLRNLYTPNVKVSRLLILGGANVNYRTEVLNNAPILCVQSHLGHEEVVTLLLEFGACLDG MSENGMNALCYAAAAGHMKLVCLLIKKGARVDHLDKKGQCALVHSALRGHSDILQYLLNC EWSAGPPQPGTLRKSQALQQALTAAASMGHSSVVQSLLGMAEEHEIEVNGTDTLWGETAL TAAAGRGKVEICELLLERGAAVSRANRRGVPPLFCAARQGHWQVVRLLLDRGCDVNLSDK QGRTPLMVASCEGHLSTVEFLLSKGAALSSLDKEGLSALSWACLKGHRAVVQYLVEEGAE IDQTDKNGRTPLDLAAFYGDAETVLYLVEKGAVIEHVDHSGMRPLDRAIGCRNTAVVVTL LRKGAKLGNAAWAMATSKPDILIILLQKLVEEGNVMYKKGKMKEAAQRYQYALRKFPREG LGEDMRPFNELRVSLYLNLSRCRRKTNDFGLAEEFASKALELKPKSYEAFYARARAKRNS RQFLAALADLQEAVKLCPNNQEIKRLLARVEEECKQLQRNQQQKQQGPPPAPANDSDNEE DAPASSLKDHFPIEEAEEEDTSSQEESISPTPRSQPPPSVPSPYIRNLQEGLQSKGRSAS PQSRAGISKSLRETVAQSGLVMQPTKQAQIVKTNQHLGSGQSSMRNSSTKIQVSSQNPPP SPMPGRVSAAPAVSRNQHLEGTGPFTAGTGCGHFGDRLGASQSLQLQRSESGTAYPLPSK VKAAERLLAHASVAVDMAPPNQGGPVSCSDVRHPASLSSSGSSGSPSSSIKMSSSTSSLT SSSSVSDGFKVQGPDSRIRDKGTTQVQGGTAEHRPRNTPFMGIMDKTARFQQQSNPPNRS WHCPVAEGLLTNTATAAGLQSNSEKPTLKPGGYCSQAKPCSVPPLSMGVHNGAQVKELEE NKCQIPALCQDNRITKGVPHLYPEGVSKQPLHVSTEAHRSHLTSAKPKRSFIESNV >ENSMUSP00000036003.4 pep:known chromosome:GRCm38:2:59612044:59846149:1 gene:ENSMUSG00000035168.16 transcript:ENSMUST00000037526.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tanc1 description:tetratricopeptide repeat, ankyrin repeat and coiled-coil containing 1 [Source:MGI Symbol;Acc:MGI:1914110] MLKAVLKKSREGGKGSKKEAGGDFGSETPALSSSGDSPVNSLSTTEDTYRVSLAKGVSMS LPSSPLLPRQSLLTQSRSNKKSPGPVRKPKYVESPRVPGDPVMIPFGEGSKPSEPSATEA KADNEPSCSPAAQELLTRLGFLLGEGIPSATHITIEDKNEAMCTALSQGISPCSTLTSST ASPSTDSPCSTLNSCVSKTAASKSPCETISSPSSTLESKDSGIIATITSSSENDDRSGSS LEWNRDGSLRLGVQKGVLHDRRADNCSPVAEEETTGSAESVLPKAEPSAGDGPVPYPQSS GSLIMPRPNSVAATSSTKLEDLSYLDGQRNAPLRTSIRLPWHNTAGGRAPEVKARFAPYK PQEILLKPLLFEVPSITTDSVFVGRDWLFHQIEENLRNTELAENRGAVVVGSVGFGKTAI ISKLVALSCHGSRMRQIASSSPSLSPKSSDPTQDLPGTPLLSPSSSTSALSVTRTPAGPG TADSQRPREDAVKYLASKVVAYHYCQADNTYTCLVPEFVHSIAALLCRSHQLAAYRDLLI KEPQLQSMLSLRSCVQDPVAAFKRGVLEPLTSLRNEQKIPEEEYIILIDGLNEAEFHKPD YGDTLSSFITKIIPKFPTWLKLIVTVRANFQEIISALPFVKLSLDDFPDNKDIHSDLHAY VQHRVHSSQDILSNISLNGKADAALISKVSSHLVLRSLGSYLYLKLTLDLFQRGHLVIKS ASYKVVPVSLSELYLLQCNMKFMTQSAFDRALPILNVALASLHPMTDEQIFQAINAGHIQ GEQGWEDFQQRMEALSCFLIKRRDKTRMFCHPSFREWLVWRADGESTAFLCEPRNGHALL AFMFSRQESKLNRQQTMELGHHILKAHIFKGLSKKTGVSSSHLQALWIGYSTEGLSAALA SLRNLYTPNVKVSRLLILGGANVNYRTEVLNNAPILCVQSHLGHEEVVTLLLEFGACLDG MSENGMNALCYAAAAGHMKLVCLLIKKGARVDHLDKKGQCALVHSALRGHSDILQYLLNC EWSAGPPQPGTLRKSQALQQALTAAASMGHSSVVQSLLGMAEEHEIEVNGTDTLWGETAL TAAAGRGKVEICELLLERGAAVSRANRRGVPPLFCAARQGHWQVVRLLLDRGCDVNLSDK QGRTPLMVASCEGHLSTVEFLLSKGAALSSLDKEGLSALSWACLKGHRAVVQYLVEEGAE IDQTDKNGRTPLDLAAFYGDAETVLYLVEKGAVIEHVDHSGMRPLDRAIGCRNTAVVVTL LRKGAKLGNAAWAMATSKPDILIILLQKLVEEGNVMYKKGKMKEAAQRYQYALRKFPREG LGEDMRPFNELRVSLYLNLSRCRRKTNDFGLAEEFASKALELKPKSYEAFYARARAKRNS RQFLAALADLQEAVKLCPNNQEIKRLLARVEEECKQLQRNQQQKQQGPPPAPANDSDNEE DAPASSLKDHFPIEEAEEEDTSSQEESISPTPRSQPPPSVPSPYIRNLQEGLQSKGRSAS PQSRAGISKSLRETVAQSGLVMQPTKQAQIVKTNQHLGSGQSSMRNSSTKIQVSSQNPPP SPMPGRVSAAPAVSRNQHLEGTGPFTAGTGCGHFGDRLGASQSLQLQRSESGTAYPLPSK VKAAERLLAHASVAVDMAPPNQGGPVSCSDVRHPASLSSSGSSGSPSSSIKMSSSTSSLT SSSSVSDGFKVQGPDSRIRDKGTTQVQGGTAEHRPRNTPFMGIMDKTARFQQQSNPPNRS WHCPVAEGLLTNTATAAGLQSNSEKPTLKPGGYCSQAKPCSVPPLSMGVHNGAQVKELEE NKCQIPALCQDNRITKGVPHLYPEGVSKQPLHVSTEAHRSHLTSAKPKRSFIESNV >ENSMUSP00000067581.4 pep:known chromosome:GRCm38:1:89070415:89155068:1 gene:ENSMUSG00000036206.12 transcript:ENSMUST00000066279.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp4 description:SH3-domain binding protein 4 [Source:MGI Symbol;Acc:MGI:2138297] MAAQRIRAANASGLPRCKSEGTLIDLSEGFSETSFNDVKVPSPSALLVDNPTPFGNAKEV IAIKDYCPNNFTTLKFSKGDHLYVLDTSGGEWWYAHNTTEMGYIPSSYVQPLNYRNSTLS DSGMIDNLPDSPEEVAKELDLLGGGWTDDQKESGRPYSNNPFWNGVRTNPFLNGNAQPST DELNPKSTVDLLLFDTGTSSFTESSSATTNSTGNIFDELPATNGLQVEQPVKRDNPFFRS KRSYSLSELSVLQAKSDAPPTSSFFTGLKSPVPEQFQSREDFRTAWLNHRKLARSCHDLD LLGQSPGWGQTQAVETNIVCKLDSSGGSVQLPDTNISIHVPEGHVAPGETQQISMKALLD PPLDLNSDRSTSVSPVVEVKLSNLEVSTFIILEMKVSAEVKGDIFSKSTVVLQCLRSDSK EGPYVPIPLAYSYGDTIQVQLDNLEPCMYLAIVAQGPNILYPSTVWDFINKRVTVGLYGP KHIHPSFKTVVTIFGHDCAPKTLLVSEVTRQAPSPAPVALQLWGKHQFILSRPQDLRVCM FSNMTNYEVKANEQARVVRGFQMKLGKVSRLIFSVISQNPNELSDFTLRVQVKDDQDTIL TQFCVQTPQPPPKSAIKPSGQRRFLKKNEVGKIILSPFVVTTKYPTFQDRPVSSLKFGKL LKTVVRQNKSHYLLEYKKGDVVALLSEERIRLKGQLWTKEWYIGYYQGKVGLVHTKNVLV VGKARPSLFSGPELSTSVLLEQILRPCKFLTYIYASVRTLLMENISSWRAFADALGYGNL PLTFFCRAELDSEPERVASVLEKLKEDCNNPDNKDRKSFQKELVMALLKMDCQGLVVRLI QDFVLLTTAVEVAQRWRELAEKLAKVSKQQMDAYESPHRDRNGVVDSEAMWKPAYDFLLT WSHQIGDSYRDVIQELHIGLDKMKNPITRRWKHLTGTLILVNSLDILRAAAFSPADHDDF VI >ENSMUSP00000028661.5 pep:known chromosome:GRCm38:2:122186272:122206397:1 gene:ENSMUSG00000027229.5 transcript:ENSMUST00000028661.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933406J08Rik description:RIKEN cDNA 4933406J08 gene [Source:MGI Symbol;Acc:MGI:1921651] MFQGQRGWFCGSVSQDLRQIWEDEGGMVSDVKAADFLFSCDASHPDTLRIYQSLEYIEDN ATVFHAYYLAAIANTEMKNSVALGHFVLPPACLQKEIRRKIGSFIWEQDEKFQIEKHDRM ASSDKENIRPTPEHKQELSKSAEHHLTRTPVIEKQMCFPLHSYPVNNMVTGYISIDALEK FLGELHDFTPGSSGYLAYHIQDEINMSAIKNKLRRKLS >ENSMUSP00000061324.3 pep:known chromosome:GRCm38:2:103992495:104028308:-1 gene:ENSMUSG00000046085.7 transcript:ENSMUST00000056170.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931422A03Rik description:RIKEN cDNA 4931422A03 gene [Source:MGI Symbol;Acc:MGI:1918236] MGCVVREAWEIQKTSQVSHLRGLTVPCSTGSITENPDGKLQKLAGALQCAVDVSGIQIVG CRDAMTMGSKLYLEKMMRTWLLQPVLTHGDLRNHSPAVPIMPDCDCPYSRALN >ENSMUSP00000075607.5 pep:known chromosome:GRCm38:9:106194172:106198942:-1 gene:ENSMUSG00000020253.14 transcript:ENSMUST00000076258.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1m description:protein phosphatase 1M [Source:MGI Symbol;Acc:MGI:1915155] MYVPPRTSLRVWPMLCGIRVINAEKSEFNEDQAACGKLCIRRCEFGIEEHQEWLTVCPEE FLTGHYWALFDGHGGPAAAILAANTLHSCLRRQLEAVVEGMIAPQPPMHLSGRCVCPSDP QFVEEKGIQAEDLVIGALENAFQECDDVIGRELEASGQVGGCTALVAVFLQGKLYVANAG DSRAILVRRHEIRQLSSEFTPETERQRIQQLAFTYPELLAGEFTRLEFPRRLKGDDLGQK VLFRDHHMRGWSYKRVEKSDLKYPLIHGQGRQARLLGTLAVSRGLGDHQLRVLDTDIQLK PFLLSIPQVTVLDVHQLAVQEEDVVVMATDGLWDVLSNEQVALLVRSFLTGNQKDDPHRF SELAKMLIHNTQGKDNGATGEGQVSYDDVSVFVIPLHSQAQEGSGH >ENSMUSP00000117908.1 pep:known chromosome:GRCm38:9:106194172:106199275:-1 gene:ENSMUSG00000020253.14 transcript:ENSMUST00000140761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1m description:protein phosphatase 1M [Source:MGI Symbol;Acc:MGI:1915155] MSAGWFRRRFLPGGPLPEPRPAGPRSSPVPYHRPRFLRGSGSSPGATDASRRPDARPVRS PARGRTLPWNAGYAEVINAEKSEFNEDQAACGKLCIRRCEFGIEEHQEWLTVCPEEFLTG HYWALFDGHGGPAAAILAANTLHSCLRRQLEAVVEGMIAPQPPMHLSGRCVCPSDPQFVE EKGIQAEDLVIGALENAFQECDDVIGRELEASGQVGGCTALVAVFLQGKLYVANAGDSRA ILVRRHEIRQLSSEFTPETERQRIQQLAFTYPELLAGEFTRLEFPRRLKGDDLGQKVLFR DHHMRGWSYKRVEKSDLKYPLIHGQGRQARLLGTLAVSRGLGDHQLRVLDTDIQLKPFLL SIPQVTVLDVHQLAVQEEDVVVMATDGLWDVLSNEQVALLVRSFLTGNQKDDPHRFSELA KMLIHNTQGKDNGATGEGQVSYDDVSVFVIPLHSQAQEGSGH >ENSMUSP00000118165.1 pep:known chromosome:GRCm38:9:106194947:106196888:-1 gene:ENSMUSG00000020253.14 transcript:ENSMUST00000136431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1m description:protein phosphatase 1M [Source:MGI Symbol;Acc:MGI:1915155] XAILVRRHEIRQLSSEFTPETERQRIQQLAFTYPELLAGEFTRLEFPRRLKGDDLGQKVL FRDHHMRGWSYKRVEKSDLKYPLIHGQGRQARLLGTLAVSRGLGDHQLRVLDTDIQLKPF LLSIPQVTVLDVHQLAVQEEDVVVMATDGLWDVLSNEQVALLVRSFLTGNQKDDPHRFSE LAKMLIHNTQGKDNGATGEGQVPS >ENSMUSP00000119205.1 pep:known chromosome:GRCm38:1:134182176:134190036:1 gene:ENSMUSG00000064246.10 transcript:ENSMUST00000156873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil1 description:chitinase-like 1 [Source:MGI Symbol;Acc:MGI:1340899] MTLQLAGFAVLMLLQSCSAYKLVCYFTSWSQYREGVGSFLPDAIQPFLCTHIIYSFANIS SDNMLSTWEWNDESNYDKLNKLKTRNTNLKTLLSVGGWKFGEKRFSEIASNTERRTAFVR SVAPFLRSYGFDGLDLAWLYPRLRDKQYFSTLIKELNAEFTKEVQPGREKLLLSAALSAG KVAIDTGYDIAQIAQHLDFINLMTYDFHGVWRQITGHHSPLFQGQKDTRFDRYSNVNYAV QYMIRLGAQASKLLMGIPTFGKSFTLASSENQLGAPISGEGLPGRFTKEAGTLAYYEICD FLKGAEVHRLSNEKVPFATKGNQWVGYEDKESVKNKVGFLKEKKLAGAMVWALDLDDFQG TCQPKEFFPLTNAIKDALA >ENSMUSP00000117117.1 pep:known chromosome:GRCm38:1:134182404:134190181:1 gene:ENSMUSG00000064246.10 transcript:ENSMUST00000153856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil1 description:chitinase-like 1 [Source:MGI Symbol;Acc:MGI:1340899] MGMRAALTGFAVLMLLQSCSAYKLVCYFTSWSQYREGVGSFLPDAIQPFLCTHIIYSFAN ISSDNMLSTWEWNDESNYDKLNKLKTRNTNLKTLLSVGGWKFGEKRFSEIASNTERRTAF VRSVAPFLRSYGFDGLDLAWLYPRLRDKQYFSTLIKELNAEFTKEVQPGREKLLLSAALS AGKVAIDTGYDIAQIAQHLDFINLMTYDFHGVWRQITGHHSPLFQGQKDTRFDRYSNVNY AVQYMIRLGAQASKLLMGIPTFGKSFTLASSENQLGAPISGEGLPGRFTKEAGTLAYYEI CDFLKGAEVHRLSNEKVPFATKGNQWVGYEDKESVKNKVGFLKEKKLAGAMVWALDLDDF QGTCQPKEFFPLTNAIKDALA >ENSMUSP00000121471.1 pep:known chromosome:GRCm38:1:134182474:134186551:1 gene:ENSMUSG00000064246.10 transcript:ENSMUST00000133701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil1 description:chitinase-like 1 [Source:MGI Symbol;Acc:MGI:1340899] MLSTWEWNDESNYDKLNKLKTRNTNLKTLLSVGGWKFGEKRFSEIASNTERRTAFVRSVA PFLRSYGFDGLDLAWLYPRLRDKQYFSTLIKELNAEFTKEVQPGRE >ENSMUSP00000118289.1 pep:known chromosome:GRCm38:1:134182481:134186561:1 gene:ENSMUSG00000064246.10 transcript:ENSMUST00000132873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil1 description:chitinase-like 1 [Source:MGI Symbol;Acc:MGI:1340899] MLSTWEWNDESNYDKLNKLKTRNTNLKTLLSVGGWKFGEKRFSEIASNTERRTAFVRSVA PFLRSYGFDGLDLAWLYPRLRDKQYFSTLIKELNAEFTKEVQPGREKLLL >ENSMUSP00000080717.3 pep:known chromosome:GRCm38:1:134182404:134190029:1 gene:ENSMUSG00000064246.10 transcript:ENSMUST00000082060.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chil1 description:chitinase-like 1 [Source:MGI Symbol;Acc:MGI:1340899] MHTSTEARMGMRAALTGFAVLMLLQSCSAYKLVCYFTSWSQYREGVGSFLPDAIQPFLCT HIIYSFANISSDNMLSTWEWNDESNYDKLNKLKTRNTNLKTLLSVGGWKFGEKRFSEIAS NTERRTAFVRSVAPFLRSYGFDGLDLAWLYPRLRDKQYFSTLIKELNAEFTKEVQPGREK LLLSAALSAGKVAIDTGYDIAQIAQHLDFINLMTYDFHGVWRQITGHHSPLFQGQKDTRF DRYSNVNYAVQYMIRLGAQASKLLMGIPTFGKSFTLASSENQLGAPISGEGLPGRFTKEA GTLAYYEICDFLKGAEVHRLSNEKVPFATKGNQWVGYEDKESVKNKVGFLKEKKLAGAMV WALDLDDFQGTCQPKEFFPLTNAIKDALA >ENSMUSP00000106361.2 pep:known chromosome:GRCm38:5:143357338:143391468:1 gene:ENSMUSG00000010825.17 transcript:ENSMUST00000110733.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grid2ip description:glutamate receptor, ionotropic, delta 2 (Grid2) interacting protein 1 [Source:MGI Symbol;Acc:MGI:2176213] MPATNQGWPEDFGFQLGGSGPCFVIEVAEGSSAHAGGLRPGDQILEVEGLAVGGLSRERI VRLARRCPRVPPSLGVLPGPEGGPTALTAAWLTRRFGRSLPLSRELLRLAGGPRPDAVHR ERRRKAQEFSCQVDDILGDRLTAKEQVFTALKQFAAEQRVDELVWTLTLVLPSEAQGPVL DNLRIFIPKKHRARFDEVVSQGLLGKLCRARRAQGAQRLRRSRSEERPERLLVSTRASAA PRRPDEPPPRKATSLLGGRTGPGGPRRTVRVYKGNKSFGFTLRGHGPVWIESVLPGSPAE NASLKSGDRILFLNGLDMRNCSHDKVVSMLQGSGAMPTLVVEEGPVPFASDSDSLDSPTR ASALTSLQWVADILPSSIRVQGRTFSQQLDHLLTPPERYGVCRALERFFQHRNIDTLIVD VYPVLDTPAKQVLWQFLYQLLTYEEQELCQEKIACFLGYTAMTEPESSLDLEPESTPEPT PEPQPRSSLRASSMCRRSLRSQGLETSLSCGPGDCPEMPLPLIPGERQAGDGTSLPETPN PKMMSAVYAELESRLNSSFKGKIGTMSKSRASPPVPSLVGTSGPRTLSGVSWPSDRLLPS PCYDPLCSGGLASPSSSESHPYASLDSSRAPSPQPGLGSIHADSPPSPDPIRPPSRRKLF AFSRPVRSRDTDRFLDALSEQLGPRLSIVDDFLTPENDYEEMSFHDDQGSFVTNERSSAS ECVSSSEEGSSLTYSSISDHIPPPPLSPPPPPPLPFHDPKPSSRTSDGPRGPPQSLTKPL TQINHPVPPPPPPPLPPPVPCAPPMLSRGVGHRRSETSHMSVKRLRWEQVENSEGTIWGQ LGEDSDYDKLSDMVKYLDLELHFGTQKPPKPVPGPEPFRKKEVVEILSHKKAYNTSILLA HLKLTPGELRQVLMSMEPRRLEPAHLAQLLLFAPDADEEQRYQAFREAPGRLSEPDQFVL QMLSVPEYKTRLRSLHFQATLQEKTEEIRGSLECLRQASLELKNSRKLAKILEFVLAMGN YLNDGQPKTNKTTGFKINFLTELNSTKTVDGKSTFLHILAKSLSQHFPELLGFAQDLPTV PLAAKVNQRALTGDLADLHDTVSEIQVACQSMAPSSEDRFAVVMASFLETAQPALRALDG LQREAMEELGKALAFFGEDSKATTSEAFFGIFSEFMSKFERALSDLQAGDGPRSSGMVSP LAW >ENSMUSP00000010969.8 pep:known chromosome:GRCm38:5:143363908:143391798:1 gene:ENSMUSG00000010825.17 transcript:ENSMUST00000010969.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grid2ip description:glutamate receptor, ionotropic, delta 2 (Grid2) interacting protein 1 [Source:MGI Symbol;Acc:MGI:2176213] MSCLGIFIPKKHRARFDEVVSQGLLGKLCRARRAQGAQRLRRSRSEERPERLLVSTRASA APRRPDEPPPRKATSLLGGRTGPGGPRRTVRVYKGNKSFGFTLRGHGPVWIESVLPGSPA ENASLKSGDRILFLNGLDMRNCSHDKVVSMLQGSGAMPTLVVEEGPVPFASDSDSLDSPT RASALTSLQWVADILPSSIRVQGRTFSQQLDHLLTPPERYGVCRALERFFQHRNIDTLIV DVYPVLDTPAKQVLWQFLYQLLTYEEQELCQEKIACFLGYTAMTEPESSLDLEPESTPEP TPEPQPRSSLRASSMCRRSLRSQGLETSLSCGPGDCPEMPLPLIPGERQAGDGTSLPETP NPKMMSAVYAELESRLNSSFKGKIGTMSKSRASPPVPSLVGTSGPRTLSGVSWPSDRLLP SPCYDPLCSGGLASPSSSESHPYASLDSSRAPSPQPGLGSIHADSPPSPDPIRPPSRRKL FAFSRPVRSRDTDRFLDALSEQLGPRLSIVDDFLTPENDYEEMSFHDDQGSFVTNERSSA SECVSSSEEGSSLTYSSISDHIPPPPLSPPPPPPLPFHDPKPSSRTSDGPRGPPQSLTKP LTQINHPVPPPPPPPLPPPVPCAPPMLSRGVGHRRSETSHMSVKRLRWEQVENSEGTIWG QLGEDSDYDKLSDMVKYLDLELHFGTQKPPKPVPGPEPFRKKEVVEILSHKKAYNTSILL AHLKLTPGELRQVLMSMEPRRLEPAHLAQLLLFAPDADEEQRYQAFREAPGRLSEPDQFV LQMLSVPEYKTRLRSLHFQATLQEKTEEIRGSLECLRQASLELKNSRKLAKILEFVLAMG NYLNDGQPKTNKTTGFKINFLTELNSTKTVDGKSTFLHILAKSLSQHFPELLGFAQDLPT VPLAAKVNQRALTGDLADLHDTVSEIQVACQSMAPSSEDRFAVVMASFLETAQPALRALD GLQREAMEELGKALAFFGEDSKATTSEAFFGIFSEFMSKFERALSDLQAGDGPRSSGMVS PLAW >ENSMUSP00000113443.1 pep:known chromosome:GRCm38:5:143370092:143392152:1 gene:ENSMUSG00000010825.17 transcript:ENSMUST00000120825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grid2ip description:glutamate receptor, ionotropic, delta 2 (Grid2) interacting protein 1 [Source:MGI Symbol;Acc:MGI:2176213] MGKDQGFSRHFRIFIPKKHRARFDEVVSQGLLGKLCRARRAQGAQRLRRSRSEERPERLL VSTRASAAPRRPDEPPPRKATSLLGGRTGPGGPRRTVRVYKGNKSFGFTLRGHGPVWIES VLPGSPAENASLKSGDRILFLNGLDMRNCSHDKVVSMLQGSGAMPTLVVEEGPVPFASDS DSLDSPTRASALTSLQWVADILPSSIRVQGRTFSQQLDHLLTPPERYGVCRALERFFQHR NIDTLIVDVYPVLDTPAKQVLWQFLYQLLTYEEQELCQEKIACFLGYTAMTEPESSLDLE PESTPEPTPEPQPRSSLRASSMCRRSLRSQGLETSLSCGPGDCPEMPLPLIPGERQAGDG TSLPETPNPKMMSAVYAELESRLNSSFKGKIGTMSKSRASPPVPSLVGTSGPRTLSGVSW PSDRLLPSPCYDPLCSGGLASPSSSESHPYASLDSSRAPSPQPGLGSIHADSPPSPDPIR PPSRRKLFAFSRPVRSRDTDRFLDALSEQLGPRLSIVDDFLTPENDYEEMSFHDDQGSFV TNERSSASECVSSSEEGSSLTYSSISDHIPPPPLSPPPPPPLPFHDPKPSSRTSDGPRGP PQSLTKPLTQINHPVPPPPPPPLPPPVPCAPPMLSRGVGHRRSETSHMSVKRLRWEQVEN SEGTIWGQLGEDSDYDKLSDMVKYLDLELHFGTQKPPKPVPGPEPFRKKEVVEILSHKKA YNTSILLAHLKLTPGELRQVLMSMEPRRLEPAHLAQLLLFAPDADEEQRYQAFREAPGRL SEPDQFVLQMLSVPEYKTRLRSLHFQATLQEKTEEIRGSLECLRQASLELKNSRKLAKIL EFVLAMGNYLNDGQPKTNKTTGFKINFLTELNSTKTVDGKSTFLHILAKSLSQHFPELLG FAQDLPTVPLAAKVNQRALTGDLADLHDTVSEIQVACQSMAPSSEDRFAVVMASFLETAQ PALRALDGLQREAMEELGKALAFFGEDSKATTSEAFFGIFSEFMSKFERALSDLQAGDGP RSSGMVSPLAW >ENSMUSP00000107546.1 pep:known chromosome:GRCm38:6:135197977:135236242:1 gene:ENSMUSG00000030207.15 transcript:ENSMUST00000111915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam234b description:family with sequence similarity 234, member B [Source:MGI Symbol;Acc:MGI:1921775] MATVLSRALKLPGKKSPDLGEYDPLTQADSDESEDDLVLNLQQKNGGVKNGKSALGDLPE PDSDADVAGAAKPHLSEVTPEGFPSEPLGGLEQKATSPLVSYVRTSVFLLTLVISMVLVL LCAFLIPCPPRDLHSAWSRRLGSQGGGDLSPLELADVNRDGLRDVLLTFVTTRNGTEGGV GSQPTADLVCLSGMNGSTLWSSPLPEEAQDVTCLDLIPGSVAKTICLVTGTRKMLSAFNA TSGKVLWTLNPNHLSNGTLAAPVVVLPDLDEDGVRDLVVLAIGELQPDLCFLLVSGRTGS PVGRPVKYNIVGVGNLIGPQVYITASGAVYILFGFGNIQAVALRDIFVQAQNRDSSPPSL QIEEPEWEKHRSVNLSELIDVYSDGVELLQLVKAPDSNSSSLLITTRQGLVLLRGQDLTP HWKLNLQGLRSQPTPGYFTDDQTLDFLLQTQDGDGMKKMTVVDGGSGSIVWSYSIPCHMK ETPTTSAITSDQKSVFLFWAEALTAASLSSDDSSGAEPPGLYHLYLLHPAFPSILLDLSN TTGIVTASEVGINDIWKDAFYVTRTTGMSPEGHPTSLVVSKLSLRWALMEGQMVQLKETT PKIGRGELRRFLSRIKFVDSPYQI >ENSMUSP00000107547.1 pep:known chromosome:GRCm38:6:135197977:135244955:1 gene:ENSMUSG00000030207.15 transcript:ENSMUST00000111916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam234b description:family with sequence similarity 234, member B [Source:MGI Symbol;Acc:MGI:1921775] MATVLSRALKLPGKKSPDLGEYDPLTQADSDESEDDLVLNLQQKNGGVKNGKSALGDLPE PDSDADVAGAAKPHLSEVTPEGFPSEPLGGLEQKATSPLVSYVRTSVFLLTLVISMVLVL LCAFLIPCPPRDLHSAWSRRLGSQGGGDLSPLELADVNRDGLRDVLLTFVTTRNGTEGGV GSQPTADLVCLSGMNGSTLWSSPLPEEAQDVTCLDLIPGSVAKTICLVTGTRKMLSAFNA TSGKVLWTLNPNHLSNGTLAAPVVVLPDLDEDGVRDLVVLAIGELQPDLCFLLVSGRTGS PVGRPVKYNIVGVGNLIGPQVYITASGAVYILFGFGNIQAVALRDIFVQAQNRDSSPPSL QIEEPEWEKHRSVNLSELIDVYSDGVELLQLVKAPDSNSSSLLITTRQGLVLLRGQDLTP HWKLNLQGLRSQPTPGYFTDDQTLDFLLQTQDGDGMKKMTVVDGGSGSIVWSYSIPCHMK ETPTTSAITSDQKSVFLFWAEALTAASLSSDDSSGAEPPGLYHLYLLHPAFPSILLDLSN TTGIVTASEVGINDIWKDAFYVTRTTGMSPEGHPTSLVVSKLSLRWALMEGQMVQLKETT PKIGRGELRRFLSRIKFVDSPYQAGEMAQCVLAKPGYLNLIPWPHMLEGGNGVLHTVL >ENSMUSP00000125353.1 pep:known chromosome:GRCm38:6:135198109:135209280:1 gene:ENSMUSG00000030207.15 transcript:ENSMUST00000130612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam234b description:family with sequence similarity 234, member B [Source:MGI Symbol;Acc:MGI:1921775] MRAKMISCLTCSRRMEGSKTGRVPWETCQSPTQMPMWLGRQSHIFQKSPQRVSPRNPLGA WSRRP >ENSMUSP00000125359.1 pep:known chromosome:GRCm38:6:135198412:135209309:1 gene:ENSMUSG00000030207.15 transcript:ENSMUST00000151071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam234b description:family with sequence similarity 234, member B [Source:MGI Symbol;Acc:MGI:1921775] MRAKMISCLTCSRRMEGSKTGRVPWETCQSPTQMPMWLGRQSHIFQKSPQRVSPRNPLGA WSRRPPLPWCPMCA >ENSMUSP00000045948.8 pep:known chromosome:GRCm38:5:77294182:77310084:-1 gene:ENSMUSG00000036285.10 transcript:ENSMUST00000047860.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noa1 description:nitric oxide associated 1 [Source:MGI Symbol;Acc:MGI:1914306] MLPARLACGLLCGLRRGPAPAAACYGPARWLLEGKCEVPIRQRASSLGRRVPPSSTATED YAEGPDTEERFLFPEYVPERTPEEQVRELQELRELQQLQQEKERERLQQREERLQQKLRA GFRTLPVPEFPDASVPPSGIYCSGCGAELHCQHPGLPGYLPEEKFRDAAQAEGGPARTVC QRCWLLVHHGRALRLQVSRDQYLELVSAALRRPGPALVLYMVNLLDLPDALLPDLPKLVG PKQLIVLGNKVDLLPQDAPGYLKRLRKRLWDDCIRAGLVVAPGHQGPQYPAGDEPLEEIK NQNPSSRSRTVVKDVRLISAKTGYGVEEMISALQRSWRYRGDVYLVGTTNAGKSTLFNTL LESDYCTAKGSEAIDRATISPWPGTTLNLLKFPICNPTPYRMFKRQRRLQEDATKAEEDL SEEEQSQLNQLKKHGYIVGRVGRTFSYSREQDEVPFEFDADSLAFDMGSEPVVSVCKSTK QIELTPEDVKDAHWFYDTPGITKESCILNLLTEKEINTVLPTHSIIPRTFVLKPGMVLFL GGIARIDFLQGNQSAWFTVVASNFLPVHITSLDKADALYEKHAGHELLLVPMGGKERMAQ FPPLVAEDITLKGGGKFEAVADIKFSSAGWVAVTPYSEGTLHLRGHTPEGTALTVHPPVL PYIVNVKGQRMKKSVAYKTKKPPSLVHNLKKHR >ENSMUSP00000041052.2 pep:known chromosome:GRCm38:4:45297127:45316131:1 gene:ENSMUSG00000035601.9 transcript:ENSMUST00000044673.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt10b description:tRNA methyltransferase 10B [Source:MGI Symbol;Acc:MGI:1917184] MDCKSEESAQRTDSQAFQEPDGLPEAGGEDGLSESFQLLQVDVEYERPEETSPANSAVWS SKNMQRKQRHWERIVSSKKSKRKQERERRKAKRAEDPGNGTCPQHSKRFLKALTKEKLLE AKHSGPRLCVDLSMTQHMSKKELSRLAGQIRRLYGSNKKASRPFWICLTGFSTASPLYEE CLRMNDGFSAYLLDVTEEDCFSLFPLETLVYLTPDSEHSLEDIDQSTVYVIGGLVDESIQ KKVTFQKAREYSVKTARLPIQEYMIKRQNEKNYHSEILAINQVFDILSTYFETRNWPEAL KKGVSPGKGYVLQNSAEG >ENSMUSP00000114832.1 pep:known chromosome:GRCm38:4:45297155:45304388:1 gene:ENSMUSG00000035601.9 transcript:ENSMUST00000144781.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt10b description:tRNA methyltransferase 10B [Source:MGI Symbol;Acc:MGI:1917184] MDCKSEESAQRTDSQAFQEPDGLPEAGGEDGLSESFQLLQVDVEYERPEETSPANSAVWS SKNMQRKQRHWERIVSSKKSKRKQERERRKAKRAEDPGNGTCPQHSKRFLKALTKEKLLE AKHSGPRLCVDLSMTQHMSKK >ENSMUSP00000103429.1 pep:known chromosome:GRCm38:4:45300434:45315142:1 gene:ENSMUSG00000035601.9 transcript:ENSMUST00000107800.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt10b description:tRNA methyltransferase 10B [Source:MGI Symbol;Acc:MGI:1917184] MDCKSEESAQRTDSQAFQEPDGLPEAGGEDGLSESFQLLQVDVEYERPEETSPANSAVWS SKNMQRKQRHWERIVSSKKSKRKQERERRKAKRAEDPGTCPQHSKRFLKALTKEKLLEAK HSGPRLCVDLSMTQHMSKKELSRLAGQIRRLYGSNKKASRPFWICLTGFSTASPLYEECL RMNDGFSAYLLDVTEEDCFSLFPLETLVYLTPDSEHSLEDIDQSTVYVIGGLVDESIQKK VTFQKAREYSVKTARLPIQEYMIKRQNEKNYHSEILAINQVFDILSTYFETRNWPEALKK GVSPGKGYVLQNSAEG >ENSMUSP00000106773.1 pep:known chromosome:GRCm38:2:103970129:103981855:1 gene:ENSMUSG00000032698.15 transcript:ENSMUST00000111143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo2 description:LIM domain only 2 [Source:MGI Symbol;Acc:MGI:102811] MEGSAVTVLERGGASSPAERPSKRRRRSGGARAPEGVRVQAASQPRATKGAPPPPGTPPP SPMSSAIERKSLDPSEEPVDEVLQIPPSLLTCGGCQQNIGDRYFLKAIDQYWHEDCLSCD LCGCRLGEVGRRLYYKLGRKLCRRDYLRLFGQDGLCASCDKRIRAYEMTMRVKDKVYHLE CFKCAACQKHFCVGDRYLLINSDIVCEQDIYEWTKINGII >ENSMUSP00000106770.2 pep:known chromosome:GRCm38:2:103970223:103981874:1 gene:ENSMUSG00000032698.15 transcript:ENSMUST00000111140.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo2 description:LIM domain only 2 [Source:MGI Symbol;Acc:MGI:102811] MIRSLSLAFEGSAVTVLERGGASSPAERPSKRRRRSGGARAPEGVRVQAASQPRATKGAP PPPGTPPPSPMSSAIERKSLDPSEEPVDEVLQIPPSLLTCGGCQQNIGDRYFLKAIDQYW HEDCLSCDLCGCRLGEVGRRLYYKLGRKLCRRDYLRLFGQDGLCASCDKRIRAYEMTMRV KDKVYHLECFKCAACQKHFCVGDRYLLINSDIVCEQDIYEWTKINGII >ENSMUSP00000106769.2 pep:known chromosome:GRCm38:2:103970244:103981839:1 gene:ENSMUSG00000032698.15 transcript:ENSMUST00000111139.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo2 description:LIM domain only 2 [Source:MGI Symbol;Acc:MGI:102811] MIRSLSLAFEGSAVTVLERGGASSPAERPSKRRRRSGGARAPEGVRVQAASQPRATKGAP PPPGTPPPSPMSSAIERKSLDPSELFGQDGLCASCDKRIRAYEMTMRVKDKVYHLECFKC AACQKHFCVGDRYLLINSDIVCEQDIYEWTKINGII >ENSMUSP00000117703.1 pep:known chromosome:GRCm38:2:103969528:103981092:1 gene:ENSMUSG00000032698.15 transcript:ENSMUST00000123437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo2 description:LIM domain only 2 [Source:MGI Symbol;Acc:MGI:102811] MSSAIERKSLDPSEEPVDEVLQIPPSLLTCGGCQQNIGDRYFLKAIDQYWHEDCLSCDLC GCRLGEVGRRLYYKLGRKLCRRDYLRLFGQDGLCASCDKRIRAYEMTMRVKDKVYHLECF KCAACQKHFCVGDRYLLINSDIVCEQDIYEWTKINGII >ENSMUSP00000121927.1 pep:known chromosome:GRCm38:2:103970141:103976142:1 gene:ENSMUSG00000032698.15 transcript:ENSMUST00000138815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo2 description:LIM domain only 2 [Source:MGI Symbol;Acc:MGI:102811] MSSAIERKSLDPSEEPVDEVLQIPPSLLTCGGCQQNIGDRYFLKAIDQYWHEDCLSCDL >ENSMUSP00000122369.1 pep:known chromosome:GRCm38:2:103957986:103981050:1 gene:ENSMUSG00000032698.15 transcript:ENSMUST00000156813.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo2 description:LIM domain only 2 [Source:MGI Symbol;Acc:MGI:102811] MSSAIERKSLDPSEEPVDEVLQIPPSLLTCGGCQQNIGDRYFLKAIDQYWHEDCLSCDLC GCRLGEVGRRLYYKLGRKLCRRDYLRLFGQDGLCASCDKRIRAYEMTMRVKDKVYHLECF KCAACQKHFCVGDRYLLINSDIVC >ENSMUSP00000128317.1 pep:known chromosome:GRCm38:2:103957995:103981878:1 gene:ENSMUSG00000032698.15 transcript:ENSMUST00000170926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo2 description:LIM domain only 2 [Source:MGI Symbol;Acc:MGI:102811] MSSAIERKSLDPSEEPVDEVLQIPPSLLTCGGCQQNIGDRYFLKAIDQYWHEDCLSCDLC GCRLGEVGRRLYYKLGRKLCRRDYLRLFGQDGLCASCDKRIRAYEMTMRVKDKVYHLECF KCAACQKHFCVGDRYLLINSDIVCEQDIYEWTKINGII >ENSMUSP00000074745.4 pep:known chromosome:GRCm38:8:21665797:21666732:1 gene:ENSMUSG00000063206.4 transcript:ENSMUST00000075268.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15315 description:predicted gene 15315 [Source:MGI Symbol;Acc:MGI:3709048] MKTIVLLSALVLLAFQVQADPIQKTDEETNTEEQPGEEDQAVSISFGGQEGSALHEELSK KLICYCRIRGCKRRERVFGTCRNLFLTFVFCCS >ENSMUSP00000124274.1 pep:known chromosome:GRCm38:5:130011258:130024381:-1 gene:ENSMUSG00000025533.15 transcript:ENSMUST00000161094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asl description:argininosuccinate lyase [Source:MGI Symbol;Acc:MGI:88084] MASESGKLWGGRFVGAVDPIMEKFNSSISYDRHLWNVDVQGSKAYSRGLEKAGLLTKAEM QQILQGLDKVAEEWAQGTFKLHPNDEDIHTANERRLKELIGEAAGKLHTGRSRNDQVVTD LRLWMRQTCSKLSALLRVLIGTMVDRAEAERDVLFPGYTHLQRAQPIRWSHWILSHAVAL TRDSERLLEVQKRINVLPLGSGAIAGNPLGVDRELLRAELNFGAITLNSMDATSERDFVA EFLFWASLCMTHLSRMAEDLILYGTKEFSFVQLSDAYSTGSSLMPQKKNPDSLELIRSKA GRVFGRCAGLLMTLKGLPSTYNKDLQEDKEAVFEVSDTMIAVLQVATGVISTLQIHRENM KQALSPDMLATDLAYYLVRKGMPFRQAHEASGKAVFMAETKGVALNLLSLQELQTISPLF SGDVSHVWDYSHSVEQYSALGGTAKSSVEWQIRQVRALLQAQEP >ENSMUSP00000125143.2 pep:known chromosome:GRCm38:5:130011494:130014722:-1 gene:ENSMUSG00000025533.15 transcript:ENSMUST00000159096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asl description:argininosuccinate lyase [Source:MGI Symbol;Acc:MGI:88084] XAGNPLGVDRELLRAELNFGAITLNSMDATSERDFVGLLSLPAEFLFWASLCMTHLSRMA EDLILYGTKEFSFVQLSDAYSTGSSLMPQKKNPDSLELIRSKAGRVFGRCAGLLMTLKGL PSTYNKDLQEDKEAVFEVSDTMIAVLQVATGVISTLQIHRENMKQALSPDMLATDLAYYL VRKGMPFRQAHEASGKAVFMAETKGVALNLLSLQELQTISPLFSGDVSHVWDYSHSVEQY SALGGTAKSSVEWQIRQVRALLQAQEP >ENSMUSP00000123799.1 pep:known chromosome:GRCm38:5:130011495:130029247:-1 gene:ENSMUSG00000025533.15 transcript:ENSMUST00000159619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asl description:argininosuccinate lyase [Source:MGI Symbol;Acc:MGI:88084] MASESGKLWGGRFVGAVDPIMEKFNSSISYDRHLWNVDVQGSKAYSRGLEKAGLLTKAEM QQILQGLDKVAEEWAQGTFKLHPNDEDIHTANERRLKELIGEAAGKLHTGRSRNDQVVTD LRLWMRQTCSKLSALLRVLIGTMVDRAEAERDVLFPGYTHLQRAQPIRWSHWILSHAVAL TRDSERLLEVQKRINVLPLGSGAIAGNPLGVDRELLRAELNFGAITLNSMDATSERDFVA EFLFWASLCMTHLSRMAEDLILYGTKEFSFVQLSDAYSTGSSLMPQKKNPDSLELIRSKA GRVFGRCAGLLMTLKGLPSTYNKDLQEDKEAVFEVSDTMIAVLQVATGVISTLQIHRENM KQALSPDMLATDLAYYLVRKGMPFRQAHEASGKAVFMAETKGVALNLLSLQELQTISPLF SGDVSHVWDYSHSVEQYSALGGTAKSSVEWQIRQVRALLQAQEP >ENSMUSP00000124579.1 pep:known chromosome:GRCm38:5:130011504:130025019:-1 gene:ENSMUSG00000025533.15 transcript:ENSMUST00000160129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asl description:argininosuccinate lyase [Source:MGI Symbol;Acc:MGI:88084] MASESGKLWGGRFVGAVDPIMEKFNSSISYDRHLWNVDVQGSKAYSRGLEKAGLLTKAEM QQILQGLDKVAEEWAQGTFKLHPNDEDIHTANERRLKELIGEAAGKLHTGRSRNDQVVTD LRLWMRQTCSKLSALLRVLIGTMVDRAEAERDVLFPGYTHLQRAQPIRWSHWILSHAVAL TRDSERLLEVQKRINVLPLGSGAIAGNPLGVDRELLRAELNFGAITLNSMDATSERDFVA EFLFWASLCMTHLSRMAEDLILYGTKEFSFVQLSDAYSTGSSLMPQKKNPDSLELIRSKA GRVFGRCAGLLMTLKGLPSTYNKDLQEDKEAVFEVSDTMIAVLQVATGVISTLQIHRENM KQALSPDMLATDLAYYLVRKGMPFRQAHEASGKAVFMAETKGVALNLLSLQELQTISPLF SGDVSHVWDYSHSVEQYSALGGTAKSSVEWQIRQVRALLQAQEP >ENSMUSP00000124487.1 pep:known chromosome:GRCm38:5:130013447:130024300:-1 gene:ENSMUSG00000025533.15 transcript:ENSMUST00000161640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asl description:argininosuccinate lyase [Source:MGI Symbol;Acc:MGI:88084] MASESGKLWGGRFVGAVDPIMEKFNSSISYDRHLWNVDVQGSKAYSRGLEKAGLLTKAEM QQILQGLDKVAEEWAQGTFKLHPNDEDIHTANERRLKELIGEAAGKLHTGRSRNDQVVTD LRLWMRQTCSKLSALLRVLIGTMVDRAEAERDVLFPGYTHLQRAQPIRWSHWILSHAVAL TRDSERLLEVQKRINVLPLGSGAIAGNPLGVDRELLRAELNFGAITLNSMDATSERDFVA EFLFWASLCMTHLSRMAEDLIL >ENSMUSP00000123861.1 pep:known chromosome:GRCm38:5:130019710:130024317:-1 gene:ENSMUSG00000025533.15 transcript:ENSMUST00000161884.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asl description:argininosuccinate lyase [Source:MGI Symbol;Acc:MGI:88084] MASETRLASTSEIHLLLPPRALGLKSGKLWGGRFVGAVDPIMEKFNSSISYDRHLWNVDV QGSKAYSRGLEKAG >ENSMUSP00000106150.2 pep:known chromosome:GRCm38:2:122484941:122528040:1 gene:ENSMUSG00000079071.2 transcript:ENSMUST00000110521.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14085 description:predicted gene 14085 [Source:MGI Symbol;Acc:MGI:3702173] MEKSEGRKSVSQARVENGMENPGLELMEGGNLAQRNTLEEVTQGLSLEDGLGHSSLWSRR IFQPFTKARSFFERHAGLFRKILLGLLCLAYAAYFLAACILNFQRALALFVITCLVFFIS AYHFLEKFPAKKLIRCLSCFRNFCLRRCLKWVLPGASVVSQILWLVLDTAQRPEQLISFA GICMFILILFACSKHHSAVCWRTVFWGLGLQFIFGILVIRTEPGFNAFQWLGDQIQIFLA YTVEGSSFVFGDTLVYNVFAFQSLPIIIFFGCVMSILYYLGLVQWVIQKIALFLQSKMGT TAPETLAMAGNIFVGLTEVPLLIRPYLADMTISEIHAVMTGGFATIAGTMLGAFISFGID ASSLISASVMAAPCALALSKLVYPEVKESKFKSKEGLKLPRGEERNILEAASNGATEAIS LVANVAANLIAFLAVLAFVNATLSWLGEMVDFRGLSFQVICSYILRPMAFMMAVQWTDCL LVIEIVGVKFFINEFVAYQQLIQYKNKRLSGVEEWINGEKQWISVKAEIITTFSLCGFAN LSSIGITLGGLTSMITQRKSDLCKIVVRALFTGACVSFISACMAGILYVPRGAETDCVSF LNTNFTNRTYETYVCCRELFQSTSLNGTNMPSFSGPWQDNVSSLRNLASCCDLYTSTVCA >ENSMUSP00000106999.1 pep:known chromosome:GRCm38:1:169497934:169531464:-1 gene:ENSMUSG00000026683.14 transcript:ENSMUST00000111368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nuf2 description:NUF2, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914227] METLSFPRYNVAELVVHIRNKLLTGADGKNLSKSDFLPNPKSDVLYMIYMKALQLVYGVR LEHFYMMPMNIEVTYPHLMEGFLPVRSLFFYMDSFMPICRVNDFEIVDILNPRTNRTSRF LSGIINFIHFRETCLEKCEEFLLQNKSSMVRMQQLSNVHQEALMKLEKLNTVPAEEREEF KQFMDDIQELQHLLNEEFRQKTTLLQEEYAKMKSDISEKTKHLNEQKLSLVSLKEVEDNL KSKIVDSPEKLKNYKDKMKGTVQKLRSAREKVMEQYDIYRDSVDCLPSCQLEVQLYQKKS QDLADNREKLSSLLKESLNLEDQIESDSSELKKLKTEENSLIRMTTVKKEKLATARFKIN KKQEDVKHYKQAMIEDCNKVQEKRDAVCEQVTTVNQEIHKIKSAIQQLRDTKKREILKSQ EIFVNLKSALEKYHEGIEKVAEERSAKLEEKTAELKKRMVRMV >ENSMUSP00000028000.7 pep:known chromosome:GRCm38:1:169498311:169531428:-1 gene:ENSMUSG00000026683.14 transcript:ENSMUST00000028000.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nuf2 description:NUF2, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914227] METLSFPRYNVAELVVHIRNKLLTGADGKNLSKSDFLPNPKSDVLYMIYMKALQLVYGVR LEHFYMMPMNIEVTYPHLMEGFLPVRSLFFYMDSFMPICRVNDFEIVDILNPRTNRTSRF LSGIINFIHFRETCLEKCEEFLLQNKSSMVRMQQLSNVHQEALMKLEKLNTVPAEEREEF KQFMDDIQELQHLLNEEFRQKTTLLQEEYAKMKSDISEKTKHLNEQKLSLVSLKEVEDNL KSKIVDSPEKLKNYKDKMKGTVQKLRSAREKVMEQYDIYRDSVDCLPSCQLEVQLYQKKS QDLADNREKLSSLLKESLNLEDQIESDSSELKKLKTEENSLIRMTTVKKEKLATARFKIN KKQEDVKHYKQAMIEDCNKVQEKRDAVCEQVTTVNQEIHKIKSAIQQLRDTKKREILKSQ EIFVNLKSALEKYHEGIEKVAEERSAKLEEKTAELKKRMVRMV >ENSMUSP00000141319.1 pep:known chromosome:GRCm38:1:169506097:169522382:-1 gene:ENSMUSG00000026683.14 transcript:ENSMUST00000195342.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nuf2 description:NUF2, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914227] XLNPRTNRTSRFLSGIINFIHFRETCLEKCEEFLLQNTLLQEEYAKMKSDISEKTKHLNE QKLSLVSLKEVEDNLKSKIVDSPEKLKNYKDKMKGTVQKLRSAREKVMEQYDIYRDSVDC LPSCQLEVQLYQKKSQDLADNREKLSSLLKESLNLEDQIESDSSELKKLKT >ENSMUSP00000141427.1 pep:known chromosome:GRCm38:1:169510117:169531411:-1 gene:ENSMUSG00000026683.14 transcript:ENSMUST00000192248.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nuf2 description:NUF2, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914227] METLSFPRYNVAELVVHIRNKLLTGADGKNLSKSDFLPNPKSDVLYMIYMKALQLVYGVR LEHFYMMPMNIEVTYPHLMEGFLPVRSLFFYMDSFMPICRVNDFEIVDILNPRTNRTSRF LSGIINFIHFRETCLEKCEEFLLQNKSSMVRMQQLSNVHQEALMKLEKLNTVPAEEREEF KQFMDDIQELQHLLNEEFRQKTTLLQEEYAKMKSDISEKTKHLNEQKLSLVSLKEVEDNL KSKIVDSPEKLKNYKDKMKGTVQKLRSARVSRFTSWHSLNYLVSVQISLLNFYAPL >ENSMUSP00000123685.1 pep:known chromosome:GRCm38:10:88322804:88356996:-1 gene:ENSMUSG00000020057.2 transcript:ENSMUST00000156097.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dram1 description:DNA-damage regulated autophagy modulator 1 [Source:MGI Symbol;Acc:MGI:1918962] MLCFLRGMAFVPFLLVTWSSAAFIISYVVAVLSGHVNPFLPYIR >ENSMUSP00000020249.1 pep:known chromosome:GRCm38:10:88322804:88357075:-1 gene:ENSMUSG00000020057.2 transcript:ENSMUST00000020249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dram1 description:DNA-damage regulated autophagy modulator 1 [Source:MGI Symbol;Acc:MGI:1918962] MLCFLRGMAFVPFLLVTWSSAAFIISYVVAVLSGHVNPFLPYISDTGTTPPESGIFGFMI NFSAFLGAATMYTRYKIVEKQNETCYFSTPVFNLVSLALGLVGCIGMGIVANFQELAVPV VHDGGALLAFVCGVVYTLLQSIISYKSCPQWNSLTTCHVRMAISAVSCAAVVPMIACASL ISITKLEWNPKEKDYIYHVVSAICEWTVAFGFIFYFLTFIQDFQSVTLRISTEINDDF >ENSMUSP00000116312.1 pep:known chromosome:GRCm38:10:88340563:88379080:-1 gene:ENSMUSG00000020057.2 transcript:ENSMUST00000138159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dram1 description:DNA-damage regulated autophagy modulator 1 [Source:MGI Symbol;Acc:MGI:1918962] MINFSAFLGAATMYTRYKIVEKQNETCYFSTPVFNLVSLALG >ENSMUSP00000101903.2 pep:known chromosome:GRCm38:11:118259651:118290231:-1 gene:ENSMUSG00000033909.17 transcript:ENSMUST00000106296.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp36 description:ubiquitin specific peptidase 36 [Source:MGI Symbol;Acc:MGI:1919594] MPIVDKLKEALKPGRKDSAEDGDLGRLLAASAKKVLLQRIEFEPASKSFSYQLESLKSKY VLLSARAEGASRHRSGDELQARKPGTERVSGSGGDGVPAPQKVLFPVERLSLRWERVFRV GAGLHNLGNTCFLNSTIQCLTYTPPLANYLLSKEHARSCHQGGFCMLCLMQNHMVQAFAN SGNAIKPVSFIRDLKKIARHFRFGNQEDAHEFLRYTIDAMQKACLNGYAKLDRQTQATTL VHQIFGGYLRSRVKCSVCKSVSDTYDPYLDIALEIRQAANIVRALELFVKSDVLSGENAY MCAKCKKKVPASKRFTIHRTSNVLTLSLKRFANFSGGKITKDVGYPEFLNIRPYMSQSSG DPVMYGLYAVLVHSGYSCHAGHYYCYVKASNGQWYQMNDSLVHSSNVKVVLNQQAYVLFY LRIPGSKKSPEGPVSRVGATLPSRPKVVPEHSKKSPGNGVVPSPLMAKRQDSVMMRKLPA PEEVGVPVSRNGSLPGLKLQNGCAPAKTPAGSPSPRLTPTPTHMPTILDEPGKKVKKSAP LQSLTTSPTTSQGSPGTGESRSQRPGSWASRDTIFSTSPKLLARAITNGHRLKGEGSGVD LEKGDSSSSSPEHSASSDPAKAPQTAESRAAHACDSQGTNCPTAGHPKALLNGVDAKMVK LKSPALSSTTTEPTSLMSPPPAKKLALSAKKASTLRRATGNDIGSPSPSAFCDLTSPMKA THPVVASTGPVSKTRTAAPAPRPSTHPHSASLSSSSAKPLGTSEPQSCRPSAWTPLPQVN GHFTSHLHQLPEASEALHSPSKKRKKTPNGDPQRLGIDTLLPQCLRGAPAAARRKRKKRC SEGEGATAPKQEGQFQDQSWSSGSQKEEGTQPQVNGHQVSHILDSYHVSSRKRRKRKRSE GLSQEATPSQDLIQHSCSPVDHSEPEARTELQKKKKKKRRKRKPEPQQDEESKHPGDQRS PRPSVTPVPALSVNGHLPSDCLGLGQAPLVTWNRDQEPDVVQALLQDSSDKAYGKKVLTW DGEPSAISQDAIKDSRLARTQTVVDDWDEEFDRGKEKKIKKFKREKKRNFNAFQKLQSRR NFWSVTHPAKVASLSYRR >ENSMUSP00000122761.1 pep:known chromosome:GRCm38:11:118262388:118290180:-1 gene:ENSMUSG00000033909.17 transcript:ENSMUST00000144153.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp36 description:ubiquitin specific peptidase 36 [Source:MGI Symbol;Acc:MGI:1919594] MLCLMQNHMVQAFANSGNAIKPVSFIRDLKKIARHFRFGNQEDAHEFLRYTIDAMQKACL NGYAKLDRQTQATTLVHQIFGGYLRSRVKCSVCKSVSDTYDPYLDIALEIRQAANIVRAL ELFVKSDVLSGENAYMCAKCKKKVPASKRFTIHRTSNVLTLSLKRFANFSGGKITKDVGY PEFLNIRPYMSQSSGDPVMYGLYAVLVHSGYSCHAGHYYCYVKASNGQWYQMNDSLVHSS NVKVVLNQQAYVLFYLRIPGSKKSPEGPVSRVGATLPSRPKVVPEHSKKSPGNGVVPSPL MAKRQDSVMMRKLPAPEEVGVPVSRNGSLPGLKLQNGCAPAKTPAGSPSPRLTPTPTHMP TILDEPGKKVKKSAPLQSLTTSPTTSQGSPGTGESRSQRPGSWASRDTIFSTSPKLLARA ITNGHRLKGEGSGVDLEKGDSSSSSPEHSASSDPAKAPQTAESRAAHACDSQGTNCPTAG HPKALLNGVDAKMVKLKSPALSSTTTEPTSLMSPPPAKKLALSAKKASTLRRATGNDIGS PSPSAFCDLTSPMKATHPVVASTGPVSKTRTAAPAPRPSTHPHSASLSSSSAKPLGTSEP QSCRPSAWTPLPQVNGHFTSHLHQLPEASEALHSPSKKRKKTPNGDPQRLGIDTLLPQCL RGAPAAARRKRKKRCSEGEGATAPKQEGQFQDQSWSSGSQKEEGTQPQVNGHQVSHILDS YHVSSRKRRKRKRSEGLSQEATPSQDLIQHSCSPVDHSEPEARTELQKKKKKKRRKRKPE PQQDEESKHPGDQRSPRPSVTPVPALSVNGHLPSDCLGLGQAPLVTWNRDQEPDVVQALL QDSSDKAYGKKVLTWDGEPSAISQDAIKDSRLARTQTVVDDWDEEFDRGK >ENSMUSP00000090036.3 pep:known chromosome:GRCm38:11:118259653:118290244:-1 gene:ENSMUSG00000033909.17 transcript:ENSMUST00000092382.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp36 description:ubiquitin specific peptidase 36 [Source:MGI Symbol;Acc:MGI:1919594] MPIVDKLKEALKPGRKDSAEDGDLGRLLAASAKKVLLQRIEFEPASKSFSYQLESLKSKY VLLSARAEGASRHRSGDELQARKPGTERVSGSGGDGVPAPQKVLFPVERLSLRWERVFRV GAGLHNLGNTCFLNSTIQCLTYTPPLANYLLSKEHARSCHQGGFCMLCLMQNHMVQAFAN SGNAIKPVSFIRDLKKIARHFRFGNQEDAHEFLRYTIDAMQKACLNGYAKLDRQTQATTL VHQIFGGYLRSRVKCSVCKSVSDTYDPYLDIALEIRQAANIVRALELFVKSDVLSGENAY MCAKCKKKVPASKRFTIHRTSNVLTLSLKRFANFSGGKITKDVGYPEFLNIRPYMSQSSG DPVMYGLYAVLVHSGYSCHAGHYYCYVKASNGQWYQMNDSLVHSSNVKVVLNQQAYVLFY LRIPGSKKSPEGPVSRVGATLPSRPKVVPEHSKKSPGNGVVPSPLMAKRQDSVMMRKLPA PEEVGVPVSRNGSLPGLKLQNGCAPAKTPAGSPSPRLTPTPTHMPTILDEPGKKVKKSAP LQSLTTSPTTSQGSPGTGESRSQRPGSWASRDTIFSTSPKLLARAITNGHRLKGEGSGVD LEKGDSSSSSPEHSASSDPAKAPQTAESRAAHACDSQGTNCPTAGHPKALLNGVDAKMVK LKSPALSSTTTEPTSLMSPPPAKKLALSAKKASTLRRATGNDIGSPSPSAFCDLTSPMKA THPVVASTGPVSKTRTAAPAPRPSTHPHSASLSSSSAKPLGTSEPQSCRPSAWTPLPQVN GHFTSHLHQLPEASEALHSPSKKRKKTPNGDPQRLGIDTLLPQCLRGAPAAARRKRKKRC SEGEGATAPKQEGQFQDQSWSSGSQKEEGTQPQVNGHQVSHILDSYHVSSRKRRKRKRSE GLSQEATPSQDLIQHSCSPVDHSEPEARTELQKKKKKKRRKRKPEPQQDEESKHPGDQRS PRPSVTPVPALSVNGHLPSDCLGLGQAPLVTWNRDQEPDVVQALLQDSSDKAYGKKVLTW DGEPSAISQDAIKDSRLARTQTVVDDWDEEFDRGKEKKIKKFKREKKRNFNAFQKLQSRR NFWSVTHPAKVASLSYRR >ENSMUSP00000025081.5 pep:known chromosome:GRCm38:18:5591860:5775467:1 gene:ENSMUSG00000024238.13 transcript:ENSMUST00000025081.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb1 description:zinc finger E-box binding homeobox 1 [Source:MGI Symbol;Acc:MGI:1344313] MADGPRCKRRKQANPRRNNVTNYNTVVEANSDSDDEDKLHIVEEESITDAADCEGGMPDD ELPADQTVLPGGSDRGGGAKNCWQDNVKDNECDSDAENEQNHDPNVEEFLQQQDTAVIYP EAPEEDQRQGTPEASSHDENGTPDAFSQLLTCPYCDRGYKRFTSLKEHIKYRHEKNEDNF SCSLCSYTFAYRTQLERHMTSHKSGREQRHVTQSGGNRKFKCTECGKAFKYKHHLKEHLR IHSGEKPYECPNCKKRFSHSGSYSSHISSKKCISLMPVNGRPRSGLKTSQCSSPSLSTSP GSPTRPQIRQKIENKPLQEPLSVNQIKTEPVDYEFKPIVVASGINCSTPLQNGVFSSGGQ LQATSSPQGVVQAVVLPTVGLVSPISINLSDIQNVLKVAVDGNVIRQVLETNQASLASKE QEAVSASPIQQGGHSVISAISLPLVDQDGTTKIIINYSLEQPSQLQVVPQNLKKEIPAPT NSCKSEKLPEDLTVKSETDKSFEGARDDSTCLLCEDCPGDLNALPELKHYDPECPAQPPP PAPATEKPESSASSAGNGDLSPSQPPLKNLLSLLKAYYALNAQPSTEELSKIADSVNLPL DGVKKWFEKMQAGQIPGQSPDPPSPGTGSVNIPTKTDEQPQPADGNEPQEDSTRGQSPVK IRSSPVLPVGSAMNGSRSCTSSPSPLNLCSARNPQGYSCVAEGAQEEPQVEPLDLSLPKQ QGELLERSTVSSVYQNSVYSVQEEPLNLSCAKKEPQKDSCVTDSEPVVNVVPPSANPINI AIPTVTAQLPTIVAIADQNSVPCLRALAANKQTILIPQVAYTYSATVSPAVQEPPVKVIQ PNGNQDERQDTSSEGVSTVEDQNDSDSTPPKKKTRKTENGMYACDLCDKIFQKSSSLLRH KYEHTGKRPHECGICRKAFKHKHHLIEHMRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHR YSYCKRGAEDRDAMEQEDAGPEVLPEVLATEHVGARASPSQADSDERESLTREEDEDSEK EEEEEDKEMEELQEGKECENPQGEEEEEEEEEEEEEEEEEEEVEADEAEHEAAAKTDGTV EVGAAQQAGSLEQKASESEMESESESEQLSEEKTNEA >ENSMUSP00000124395.1 pep:known chromosome:GRCm38:18:5591891:5766533:1 gene:ENSMUSG00000024238.13 transcript:ENSMUST00000159390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb1 description:zinc finger E-box binding homeobox 1 [Source:MGI Symbol;Acc:MGI:1344313] MADGPRCKRRKQANPRRNNVTNYNTVVEANSDSDDEDKLHIVEEESITDAADCEGGMPDD ELPADQTVLPGGSDRGGGAKNCWQDNGTPDAFSQLLTCPYCDRGYKRFTSLKEHIKYRHE KNEDNFSCSLCSYTFAYRTQLERHMTSHKSGREQRHVTQSGGNRKFKCTECGKAFKYKHH LKEHLRIHSGEKPYECPNCKKRFSHSGSYSSHISSKKCISLMPVNGRPRSGLKTSQCSSP SLSTSPGSPTRPQIRQKIENKPLQEPLSVNQIKTEPVDYEFKPIVVASGINCST >ENSMUSP00000135125.2 pep:known chromosome:GRCm38:18:5591891:5766916:1 gene:ENSMUSG00000024238.13 transcript:ENSMUST00000175925.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zeb1 description:zinc finger E-box binding homeobox 1 [Source:MGI Symbol;Acc:MGI:1344313] MADGPRCKRRKQANPRRNNVTNYNTVVEANSDSDDEDKLHIVEEESITDAADCEGGMPDD ELPADQTVLPGGSDRGGGAKNCWKERARSPRARSSGRWRGERQRV >ENSMUSP00000124507.2 pep:known chromosome:GRCm38:18:5591912:5766570:1 gene:ENSMUSG00000024238.13 transcript:ENSMUST00000161295.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zeb1 description:zinc finger E-box binding homeobox 1 [Source:MGI Symbol;Acc:MGI:1344313] XADGPRCKRRKQANPRRNNG >ENSMUSP00000124815.1 pep:known chromosome:GRCm38:18:5593602:5759057:1 gene:ENSMUSG00000024238.13 transcript:ENSMUST00000160910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb1 description:zinc finger E-box binding homeobox 1 [Source:MGI Symbol;Acc:MGI:1344313] MKVTNYNTVVEANSDSDDEDKLHIVEEESITDAADCEGGMPDDELPADQTVLPGGSDRGG GAKNCWQDNVKDNECDSDAENEQNHDPNVEEFLQQQDTAVIYPEAPEEDQRQGTPEASSH DENGTPDAFSQLLTCPYCDRGYKRFTSLKEHIKY >ENSMUSP00000106636.1 pep:known chromosome:GRCm38:5:137596645:137600708:-1 gene:ENSMUSG00000037221.13 transcript:ENSMUST00000111007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mospd3 description:motile sperm domain containing 3 [Source:MGI Symbol;Acc:MGI:1916179] MRRGAPQDQELVGPGAPGRGSRGSPPSSGPVVPVLVFPPDLVFRADQRSGPRQLLTLYNP TGTALRFRVLCTAPAKYTVFDAEGYVKPQSCIDIVIRHVAPVPSHYDVQDRFRIELSEEG TEGRVVGRKDITSVLRAPAYPLELQGHSEPTPNPGPPVWTGLTPARHLQENAPQQLATSS FLLFLLAGVISVAFLLLPLQDELGSQLPQVLHVSLGQKLVAAYVLGLLTMVLLRT >ENSMUSP00000040828.7 pep:known chromosome:GRCm38:5:137596645:137601044:-1 gene:ENSMUSG00000037221.13 transcript:ENSMUST00000037620.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mospd3 description:motile sperm domain containing 3 [Source:MGI Symbol;Acc:MGI:1916179] MRRGAPQDQELVGPGAPGRGSRGSPPSSGPVVPVLVFPPDLVFRADQRSGPRQLLTLYNP TGTALRFRVLCTAPAKYTVFDAEGYVKPQSCIDIVIRHVAPVPSHYDVQDRFRIELSEEG TEGRVVGRKDITSVLRAPAYPLELQGHSEPTPNPGPPVWTGLTPARHLQENAPQQLATSS FLLFLLAGVISVAFLLLPLQDELGSQLPQVLHVSLGQKLVAAYVLGLLTMVLLRT >ENSMUSP00000116851.1 pep:known chromosome:GRCm38:5:137597300:137601058:-1 gene:ENSMUSG00000037221.13 transcript:ENSMUST00000154708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mospd3 description:motile sperm domain containing 3 [Source:MGI Symbol;Acc:MGI:1916179] MRRGAPQDQELVGPGAPGRGSRGSPPSSGPVVPVLVFPPDLVFRADQRSGPRQLLTLYNP TGTALRFRVLCTAPAKYTVFDAEGYVKPQSCIDIVIRHVAPVPSHYDVQDRFRIELSEEG TEGRVVGRKDITSVLRAPAYPLELQGHSEPTPNPGPPVWTGLTPARHLQENAPQQL >ENSMUSP00000122462.1 pep:known chromosome:GRCm38:5:137600034:137600724:-1 gene:ENSMUSG00000037221.13 transcript:ENSMUST00000133705.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mospd3 description:motile sperm domain containing 3 [Source:MGI Symbol;Acc:MGI:1916179] MRRGAPQDQELVGPGAPGRGSRGSPPSSGPVVPVLVFPPDLVFRADQRSGPRQLLTLYNP TGTALRFRVLCTAPA >ENSMUSP00000055369.4 pep:known chromosome:GRCm38:9:87015537:87019917:1 gene:ENSMUSG00000047897.10 transcript:ENSMUST00000058846.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripply2 description:ripply2 homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:2685968] MDTTESAESAHNPARPPSRSRCPPSAQPGSEGFWRPWVRTPGEKEKRTGPRAAEALPSGP GMAEASGKLLQYQHPVRLFWPKSKCYDYLYQEAETLLKNFPIQATISFYEDSDSEDEIEG LACENQSN >ENSMUSP00000141060.1 pep:known chromosome:GRCm38:9:87015636:87019916:1 gene:ENSMUSG00000047897.10 transcript:ENSMUST00000188187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripply2 description:ripply2 homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:2685968] XSAGIHPRAFSTLAPCPPPTEPEDRLAPARPCSPSTSALAFYPPLLPLPGPGALTKSELS PVAGLTFFVRDLRLPSCRSEGFWRPWVRTPGEKEKRTGPRAAEALPSGPGMAEASGKLLQ YQHPVRLFWPKSKCYDYLYQEAETLLKNFPIQATISFYEDSDSEDEIEGLACENQSN >ENSMUSP00000035433.5 pep:known chromosome:GRCm38:7:130774069:130825899:1 gene:ENSMUSG00000040298.6 transcript:ENSMUST00000048453.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd16 description:BTB (POZ) domain containing 16 [Source:MGI Symbol;Acc:MGI:3045247] MKMLNSVSGSFRKKAGDSRSRECRTRLERRIVGATNRWRFPQDHFCGDLLALSQMCNVLN VDLDEALKNPDRLCISKFQKLFSENIMNSGTQSGEADVILECLGFKWELHHPQIFQSGTL AKLYLTALIQNMKSSQRELDKVQKAHPSGKIKKRSPVKKIIISMRINDPAVTRVAFALAL KNLYMKEVEMTVDNVLGVLASAHILQFNRLFQKCVNMMMNRLAPSTIKNFYLAGCKYEEE QLTMACEKWLAMNLVPLVGTQIHLRQIPEPLLYKVLKSPRLFTFSEFHLLKTLLMWVYLQ MNCKVQMVPIHETILAFFNSFPKKCCFLEQDPGQNWMPLFLCLRLHGITSGKDLEVLKHI NFFPESWLVRVTANHYHALESGGNMVHLKDLSTQAMRFGLLFRQEYTTYSERISIYGYFF EIKGIKHDPTSYSFSMQRIKHTDLECPSPVCEHSTISLRSERLVKYEIQAQTLVDGRWQE FRTNQIMQKFGFIKPGCKSHVLKIQTVGIPIYASFAFIFPAS >ENSMUSP00000146827.1 pep:known chromosome:GRCm38:7:130774139:130824460:1 gene:ENSMUSG00000040298.6 transcript:ENSMUST00000207219.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Btbd16 description:BTB (POZ) domain containing 16 [Source:MGI Symbol;Acc:MGI:3045247] MKMLNSVSGSFRKKAGDSRSRECRTRLERRIVGATNRWRFPQDHFCGDLLALSQMCNVLN VDLDEALKNPDRLCISKFQKLFSENIMNSGTQSGEADVILECLGFKWELHHPQIFQSGTL AKLYLTALIQNMKSSQRELDKVQKAHPSGKIKKRSPVKKIIISMRINDPAVTRVAFALAL KNLYMKEVEMTVDNVLGVLASAHILQFNRLFQKCVNMMMNRLAPSTIKNFYLAGCKYEEE QLTMACEKWLAMNLVPLVGTQIHLRQIPEPLLYKVLKSPRLFTFSEFHLLKTLLMWVYLQ MNCKVQMVPIHETILAFFNRQGSGGVEAH >ENSMUSP00000146849.1 pep:known chromosome:GRCm38:7:130774167:130824460:1 gene:ENSMUSG00000040298.6 transcript:ENSMUST00000208593.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd16 description:BTB (POZ) domain containing 16 [Source:MGI Symbol;Acc:MGI:3045247] MKMLNSCRTRLERRIVGATNRWRFPQDHFCGDLLALSQMCNVLNVDLDEALKNPDRLCIS KFQKLFSENIMNSGTQSGEADVILECLGFKWELHHPQIFQSGTLAKLYLTALIQNMKSSQ RELDKVQKAHPSGKIKKRSPVKKIIISMRINDPAVTRVAFALALKNLYMKEVEMTVDNVL GVLASAHILQFNRLFQKCVNMMMNRLAPSTIKNFYLAGCKYEEEQLTMACEKWLAMNLVP LVGTQIHLRQIPEPLLYKVLKSPRLFTFSEFHLLKTLLMWVYLQMNCKVQMVPIHETILA FFNSFPKKCCFLEQDPGQNWMPLFLCLRLHGITSGKDLEVLKHINFFPESWLVRVTANHY HALESGGNMVHLKDLSTQAMRFGLLFRQEYTTYSERISIYGYFFEIKGIKHDPTSYSFSM QRIKHTDLECPSPVCEHSTISLRSERLVKYEIQAQTLVDGRWQEFRTNQIMQKFGFIKPG CKSHVLKIQTVGIPIYASFAFIFPAS >ENSMUSP00000110112.2 pep:known chromosome:GRCm38:6:50293330:50382837:-1 gene:ENSMUSG00000029822.15 transcript:ENSMUST00000114468.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl3 description:oxysterol binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1918970] MSDEKNLGVSQKLVSPSRSTSSCSSKQGSRQDSWEVVEGLRGEMTYTQEPPVQKGFLLKK RKWPLKGWHKRFFCLEKGILKYAKSQADIEREKLHGCIDVGLSVMSVKKSSKCIDLDTEE HIYHLKVKSEELFDEWVSKLRHHRMYRQNEIAMFPRDVNHFFSGSSVTDSAPGVFESVSS RKRSSLSKQNSFPPGSNLSFSCGGDTRVPFWLQSSEDMEKCSKDMAHCHAYLLEMSQLLE SMDVLHRTYSAPAINAIQVPKPFSGPVRLHSSNPNLSTLDFGEEKSYSDGSEASSEFSKM QEDLCHVAHKVYFALRSAFNSISVEREKLKQLMELDTSPSPSAQVVGLKHALSSALAQNT DLKERLRRIHAESLLLDPPAVPKPGDNLAEENSRDEGRALVHQLSNESRLSITDSLSEFF DAQEVLLSPSSSENEISDDDSYVSDISDNLSLDNLSNDLDNERQTLGPVLESSGEARSKR RTSLPAPGPNTSSVSLWSILRNNIGKDLSKVAMPVELNEPLNTLQRLCEELEYSELLDKA SRIPSPLERMVYVAAFAISAYASSYFRAGSKPFNPVLGETYECIRQDKGFQFFAEQVSHH PPISACHAESGNFVFWQDVRWKNKFWGKSMEIVPIGTTHVTLPAFGDHFEWNKVTSCIHN ILSGQRWIEHYGEIDIKNLNDDSCHCKVNFIKAKYWSTNAHEIEGTVFDRSGKAVHRLFG KWHESIYCGGASSSTCVWRANPMPKGYEQYYGFTQFALELNEMDPLSRSLLPPTDTRFRP DQRLLEEGNIEEAEVQKQRIEKLQRERRRVLEENGVEHQPRFFRKSSDDAWVSNGTYLEL RKDLGFSKLDHPVLW >ENSMUSP00000087473.3 pep:known chromosome:GRCm38:6:50295687:50370215:-1 gene:ENSMUSG00000029822.15 transcript:ENSMUST00000090019.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl3 description:oxysterol binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1918970] MSDEKNLGVSQKLVSPSRSTSSCSSKQGSRQDSWEVVEGLRGEMTYTQEPPVQKGFLLKK RKWPLKGWHKRFFCLEKGILKYAKSQADIEREKLHGCIDVGLSVMSVKKSSKCIDLDTEE HIYHLKVKSEELFDEWVSKLRHHRMYRQNEIAMFPRDVNHFFSGSSVTDSAPGVFESVSS RKRSSLSKQNSFPPGSNLSFSCGGDTRVPFWLQSSEDMEKCSKDMAHCHAYLLEMSQLLE SMDVLHRTYSAPAINAIQGGAFESPKKEKRPHRRWRSRAIGKDAKGTLQVPKPFSGPVRL HSSNPNLSTLDFGEEKSYSDGSEASSEFSKMQEDLCHVAHKVYFALRSAFNSISVEREKL KQLMELDTSPSPSAQVVGLKHALSSALAQNTDLKERLRRIHAESLLLDPPAVPKPGDNLA EENSRDEGRALVHQLSNESRLSITDSLSEFFDAQEVLLSPSSSENEISDDDSYVSDISDN LSLDNLSNDLDNERQTLGPVLESSGEARSKRRTSLPAPGPNTSSVSLWSILRNNIGKDLS KVAMPVELNEPLNTLQRLCEELEYSELLDKASRIPSPLERMVYVAAFAISAYASSYFRAG SKPFNPVLGETYECIRQDKGFQFFAEQVSHHPPISACHAESGNFVFWQDVRWKNKFWGKS MEIVPIGTTHVTLPAFGDHFEWNKVTSCIHNILSGQRWIEHYGEIDIKNLNDDSCHCKVN FIKAKYWSTNAHEIEGTVFDRSGKAVHRLFGKWHESIYCGGASSSTCVWRANPMPKGYEQ YYGFTQFALELNEMDPLSRSLLPPTDTRFRPDQRLLEEGNIEEAEVQKQRIEKLQRERRR VLEENGVEHQPRFFRKSSDDAWVSNGTYLELRKDLGFSKLDHPVLW >ENSMUSP00000071643.4 pep:known chromosome:GRCm38:6:50296799:50456170:-1 gene:ENSMUSG00000029822.15 transcript:ENSMUST00000071728.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl3 description:oxysterol binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1918970] MSDEKNLGVSQKLVSPSRSTSSCSSKQGSRQDSWEVVEGLRGEMTYTQEPPVQKGFLLKK RKWPLKGWHKRFFCLEKGILKYAKSQADIEREKLHGCIDVGLSVMSVKKSSKCIDLDTEE HIYHLKVKSEELFDEWVSKLRHHRMYRQNEIAMFPRDVNHFFSGSSVTDSAPGVFESVSS RKRSSLSKQNSFPPGSNLSFSCGGDTRVPFWLQSSEDMEKCSKDMAHCHAYLLEMSQLLE SMDVLHRTYSAPAINAIQVPKPFSGPVRLHSSNPNLSTLDFGEEKSYSDGSEASSEFSKM QEDLCHVAHKVYFALRSAFNSISVEREKLKQLMELDTSPSPSAQVVGLKHALSSENSRDE GRALVHQLSNESRLSITDSLSEFFDAQEVLLSPSSSENEISDDDSYVSDISDNLSLDNLS NDLDNERQTLGPVLESSGEARSKRRTSLPAPGPNTSSVSLWSILRNNIGKDLSKVAMPVE LNEPLNTLQRLCEELEYSELLDKASRIPSPLERMVYVAAFAISAYASSYFRAGSKPFNPV LGETYECIRQDKGFQFFAEQVSHHPPISACHAESGNFVFWQDVRWKNKFWGKSMEIVPIG TTHVTLPAFGDHFEWNKVTSCIHNILSGQRWIEHYGEIDIKNLNDDSCHCKVNFIKAKYW STNAHEIEGTVFDRSGKAVHRLFGKWHESIYCGGASSSTCVWRANPMPKGYEQYYGFTQF ALELNEMDPLSRSLLPPTDTRFRPDQRLLEEGNIEEAEVQKQRIEKLQRERRRVLEENGV EHQPRFFRKSSDDAWVSNGTYLELRKDLGFSKLDHPVLW >ENSMUSP00000110110.1 pep:known chromosome:GRCm38:6:50296805:50370318:-1 gene:ENSMUSG00000029822.15 transcript:ENSMUST00000114466.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl3 description:oxysterol binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1918970] MSDEKNLGVSQKLVSPSRSTSSCSSKQGSRQDSWEVVEGLRGEMTYTQEPPVQKGFLLKK RKWPLKGWHKRFFCLEKGILKYAKSQADIEREKLHGCIDVGLSVMSVKKSSKCIDLDTEE HIYHLKVKSEELFDEWVSKLRHHRMYRQNEIAMFPRDVNHFFSGSSVTDSAPGVFESVSS RKRSSLSKQNSFPPGSNLSFSCGGDTRVPFWLQSSEDMEKCSKDMAHCHAYLLEMSQLLE SMDVLHRTYSAPAINAIQGGAFESPKKEKRPHRRWRSRAIGKDAKGTLQVPKPFSGPVRL HSSNPNLSTLDFGEEKSYSDGSEASSEFSKMQEDLCHVAHKVYFALRSAFNSISVEREKL KQLMELDTSPSPSAQVVGLKHALSSENSRDEGRALVHQLSNESRLSITDSLSEFFDAQEV LLSPSSSENEISDDDSYVSDISDNLSLDNLSNDLDNERQTLGPVLESSGEARSKRRTSLP APGPNTSSVSLWSILRNNIGKDLSKVAMPVELNEPLNTLQRLCEELEYSELLDKASRIPS PLERMVYVAAFAISAYASSYFRAGSKPFNPVLGETYECIRQDKGFQFFAEQVSHHPPISA CHAESGNFVFWQDVRWKNKFWGKSMEIVPIGTTHVTLPAFGDHFEWNKVTSCIHNILSGQ RWIEHYGEIDIKNLNDDSCHCKVNFIKAKYWSTNAHEIEGTVFDRSGKAVHRLFGKWHES IYCGGASSSTCVWRANPMPKGYEQYYGFTQFALELNEMDPLSRSLLPPTDTRFRPDQRLL EEGNIEEAEVQKQRIEKLQRERRRVLEENGVEHQPRFFRKSSDDAWVSNGTYLELRKDLG FSKLDHPVLW >ENSMUSP00000145249.1 pep:known chromosome:GRCm38:6:50327375:50351957:-1 gene:ENSMUSG00000029822.15 transcript:ENSMUST00000203907.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl3 description:oxysterol binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1918970] IEREKLHGCIDVGLSVMSVKKSSKCIDLDTEEHIYHLKVPKPFSGPVRLHSSNPNLSTLD FGEEKSYSDGSEASSEFSKMQEDLCHVAHKVYFALRSAFNSISVEREKLKQLMELDTSPS PSAQVVGLKHALSSALAQNTDLKERLRRIHAESLLLDPPAVPKPGDNLAEENSRDEGRAL VHQLSNESRLSITDSLSEFFDAQEVLLSPSSSENEISDDDSYVSDISDNLSLDNLSNDLD NERQTL >ENSMUSP00000114472.1 pep:known chromosome:GRCm38:6:50348085:50456161:-1 gene:ENSMUSG00000029822.15 transcript:ENSMUST00000146341.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl3 description:oxysterol binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1918970] MSDEKNLGVSQKLVSPSRSTSSCSSKQGSRQDSWEVVEGLRGEMTYTQEPPVQKGFLLKK RKWPLKGWHKRFFCLEKGILKYAKSQADIEREKLHGCIDVGLSVMSVKKSSKCIDLDTEE HIYHLKVKSEELFDEWVSKLRHHR >ENSMUSP00000144934.1 pep:known chromosome:GRCm38:6:50352820:50397150:-1 gene:ENSMUSG00000029822.15 transcript:ENSMUST00000136926.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl3 description:oxysterol binding protein-like 3 [Source:MGI Symbol;Acc:MGI:1918970] MSDEKNLGVSQKLVSPSRSTSSCSSKQGSRQDSWEVVEGLRGEMTYTQEPPVQKGFLLKK RKWPLKGWHKRFFCLE >ENSMUSP00000146522.1 pep:known_by_projection chromosome:GRCm38:14:33471696:33501020:1 gene:ENSMUSG00000108841.1 transcript:ENSMUST00000208853.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:FRMPD2 description:FERM and PDZ domain containing 2 [Source:HGNC Symbol;Acc:HGNC:28572] MRPLAKDTGLVWFKAFSWELQRFKKTLNEVKHCISFNSSP >ENSMUSP00000146693.1 pep:known_by_projection chromosome:GRCm38:14:33471808:33575269:1 gene:ENSMUSG00000108841.1 transcript:ENSMUST00000208577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRMPD2 description:FERM and PDZ domain containing 2 [Source:HGNC Symbol;Acc:HGNC:28572] MRPLAKDTGMSVASVTLASALQVRGEALSEEEIWSLLSLAAERLLEDLRNDSSDYVVCPW SLLLSAAGGLSFQDHVSHIEAAPFKAPELLQGSNEEGQPDASQMHVYSLGMTLYWSAGFR VPPNQPLQLQEPVHSLLLAMCEDQPRRRQPLQAVLEACRIHQEEVAVYPAPASLHISRLV GLVLGTISEVERRVVEENACEQRNRSCSLRSRLHQADGESPGAPASDALQPRRVSERSAE TQSSLERCTAHSRNSFVNSTFAGAGPCDCEESPWYGSEPMLLAEAESSQPATSSPRNFLQ RKGKFSRPEFILLAGEAPVTLHLPGSIVTKKGKSYLALRDLCVVLLNGRCLEVKCDMEST AGAVFNAVMSFANLEETTYFGLAYVEGEEFFFLDKDTRLCKVAPEGWREQHPKGSVDTFT LFLRIRFFVGHYRLLRHSLTRHQFYLQLRKDILEERLYCNDETLLQLGVLALQAEFGSYP KEQVEGKAYFRIQDYIPARLIERMTAIRVQVEVSEMHRLSSAPWGEDAELEFLEIVQQLP EYGVLVHRVSPEKKRPEGKMALGVCTKGIIVYEVRGSRRIATSQFPWRETGMISTHRKKL TITSSTTGKKYTFVTNSSKTCKYLLGLCSSQHWFNAQTGSKHPSHRFTGQDKFAQIASLS SAHQTQANPITWIQKLSCSENELCVPRLQDATGGQLGTSMENKQGFKEPGKERIGSSPYT GGEQLHSIGLNQKPAQTVFGTAGHSMCAGSNCLGRRTQICCFDSVSGSQNSKRKGLFGEP NQDIVCVMLKRDPVHGFGFVINEGEDAEQTRSGIFISSLIPGGPAERAKKIKPGGKILAL NHISLEGFTFSMAVRMIQNSPDDMELIISQPKGVCGTIHSEEKNSTASSGMFCTDILSNR CQGRQSPHIHDQDRSVRGTEMAQGAGSCPPSPLQTNTGEIYFVELVKEDGTLGFSVTGGI NTSVPHGGIYVKSIIPGGPAAKEGQILQGDRLLQVDGVSLCGLTHKQAVQCLKGPGQVAR LVLERRGPRAAPQCPSADDRMGDVHMAVSLVTARPGRPASSVSVTDGPKFEVKLKKNSRG LGFSFVQMERGNCIHTKSDLVRIKRLFPGQPAEEHGAIAAGDIILAVNGKPIEGLAFQEV LHLLRGAPEEVTLLLCRPPPGILPEMEPGWQTPELSGDQRLTMATCAGSEQSPSLDQEDN WRDSTSLDAGEGLSPGPESSYKDVRQVKGDREKERPWAKSWMHPMESHPHVCKLHPEPET PALATSLEKDMRQNCYSVCDIRRLGSLELDRDGADGATCFLPESSSLTVDYEEYLTLTSA SAGQLPCEECLEADSETIPLPQFCSLGALLKSSLPEESQGSESDWEDLDEPVDRDEVLRW TRSARQPLAAEP >ENSMUSP00000144460.1 pep:known chromosome:GRCm38:5:135291704:135350014:-1 gene:ENSMUSG00000040013.11 transcript:ENSMUST00000201791.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp6 description:FK506 binding protein 6 [Source:MGI Symbol;Acc:MGI:2137612] MSVFSRLRNGIPPSRDDCQSPYERLSQRMLDISGDRGVLKDIIREGTGDTVTPDASVLVK YSGYLEHMDKPFDSNCFRKTPRLMKLGEDITLWGMELGLLSMRKGELARFLFKPAYAYGT LGCPPLIPPNATVLFEIELIDFLDSAESDKFCALSAALLLLHRRLATCEEQHLVEPAVLL VLLNLSFVYLKLDRPAMALRYGEQALLIDKRNAKALFRCGQACLLLTEYERARDFLVRAQ KEQPCNHDINNELKKLSSHYRDYVDREREMCHRMFAPCGSRSSVGGN >ENSMUSP00000043193.7 pep:known chromosome:GRCm38:5:135291704:135350044:-1 gene:ENSMUSG00000040013.11 transcript:ENSMUST00000044972.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp6 description:FK506 binding protein 6 [Source:MGI Symbol;Acc:MGI:2137612] MSVFSRLRNGIPPSRDDCQSPYERLSQRMLDISGDRGVLKDIIREGTGDTVTPDASVLVK YSGYLEHMDKPFDSNCFRKTPRLMKLGEDITLWGMELGLLSMRKGELARFLFKPAYAYGT LGCPPLIPPNATVLFEIELIDFLDSAESDKFCALSAEQQEQFPLQKVLKVAATEREFGNY LFRQNRFCDAKVRYKRALLLLHRRLATCEEQHLVEPAVLLVLLNLSFVYLKLDRPAMALR YGEQALLIDKRNAKALFRCGQACLLLTEYERARDFLVRAQKEQPCNHDINNELKKLSSHY RDYVDREREMCHRMFAPCGSRSSVGGN >ENSMUSP00000144381.1 pep:known chromosome:GRCm38:5:135337366:135349875:-1 gene:ENSMUSG00000040013.11 transcript:ENSMUST00000201784.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp6 description:FK506 binding protein 6 [Source:MGI Symbol;Acc:MGI:2137612] MGGSTRDPGALEGAGILGQSPYERLSQRMLDISGDRGVLKDIIREGTGDTVTPDASVLVK YSGYLEHMDKPFDSNCFRKTPRLMKLGEDITLWGMELGLLSMRKGELARFLFKPAYAYGT LGCPPLIPPNATVLFEIELIDFLDSAESDKFCALSAEQQEQFPLQKVLKVAATEREFGNY LFRQNRFCDAKVRYKRALLLLHRRLATCEEQHLVEPAVLLVLLNLSFVYLKLDRPAMALR YGEQALLIDKRNAKALFRCGQACLLLTEYERARDFLVRAQKEQPCNHDINNELKKLSSHY RDYVDREREMCHRMFAPCGSRSSVGGN >ENSMUSP00000144471.1 pep:known chromosome:GRCm38:5:135337366:135350043:-1 gene:ENSMUSG00000040013.11 transcript:ENSMUST00000201534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp6 description:FK506 binding protein 6 [Source:MGI Symbol;Acc:MGI:2137612] MSVFSRLRNGIPPSRDDCQSPYERLSQRMLDISGDRGVLKDIIREGTGDTVTPDASVLVK YSGYLEHMDKPFDSNCFRKTPRLMKLGEDITLWGMELGLLSMRKGELARFLFKPAYAYGT LGCPPLIPPNATVLFEIELIDFLDSAESDKFCALSAEQQEQFPLQKVLKVAATEREFGNY LFRQNRFCDAKVRYKRALLLLHRRLATCEEQHLVEPAVLLVLLNLSFVYLKLDRPAMALR YGEQALLIDKRNAKALFRCGQACLLLTEYERARDFLVRAQKEQPCNHDINNELKKLSSHY RDYVDREREMCHRMFAPCGSRSSVGGN >ENSMUSP00000089544.3 pep:known chromosome:GRCm38:3:96577872:96594181:-1 gene:ENSMUSG00000028104.14 transcript:ENSMUST00000091924.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3gl description:polymerase (RNA) III (DNA directed) polypeptide G like [Source:MGI Symbol;Acc:MGI:1917120] MASRGGGRGRGRGQLTFNMEAVGIGKGDALPPPTLQPSPLFPPLEFHPVPLPAGEEGEYV LALKQELRGAMRQLPYFIRPAVPKRDVERYSDKYQMSGPIDNAIDWNPDWRRLPSELKIR VRKVQKERTTIILPKRPPKSTDDKEETIQKLETLEKKEEEVTSEEDEEKEEEEEKEEGEE EEYDEEEHEEETDYIMSYFDNGEDFGGDSDDNMDEAIY >ENSMUSP00000118943.1 pep:known chromosome:GRCm38:3:96578502:96594159:-1 gene:ENSMUSG00000028104.14 transcript:ENSMUST00000145001.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3gl description:polymerase (RNA) III (DNA directed) polypeptide G like [Source:MGI Symbol;Acc:MGI:1917120] MRQLPYFIRPAVPKRDVERYSDKYQMSGPIDNAIDWNPDWRRLPSELKIRVRKVQKERTT IILPKRPPKSTDDKEETIQKLETLEKKEEEVTSEEDEEKEEEEEKEEGEEEEYDEEEHEE ETDYIMSYFDNGEDFGGDSDDNMDEAIY >ENSMUSP00000085022.5 pep:known chromosome:GRCm38:6:135237326:135247965:-1 gene:ENSMUSG00000030206.13 transcript:ENSMUST00000087729.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsg1 description:germ cell-specific gene 1 [Source:MGI Symbol;Acc:MGI:1194499] MAKMEFQKGSSDQRTFISAILNMLSLGLSTASLLSSEWFVGTQKVPKPLCGQSLAAKCFD MPMSLDGGIANTSAQEVVQYTWETGDDRFSFLAFRSGMWLSCEETMEEPGEKCRRFIELT PPAQRGEKGLLEFATLQGSCHPTLRFGGEWLMEKASLLHLPWGPVAKVFWLSLGAQTAYI GLQLISFLLLLTDLLLTTNPGCGLKLSAFAAVSLVLSGLLGMVAHMLYSQVFQATANLGP EDWRPHSWNYGWAFYTAWVSFTCCMASAVTTFNMYTRMVLEFKCRHSKSFNTNPSCLAQH HRCFLPPPLTCTTHAGEPLSSCHQYPSHPIRSVSEAIDLYSALQDKEFQQGISQELKEVV EPSVEEQR >ENSMUSP00000107540.1 pep:known chromosome:GRCm38:6:135237329:135254170:-1 gene:ENSMUSG00000030206.13 transcript:ENSMUST00000111909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsg1 description:germ cell-specific gene 1 [Source:MGI Symbol;Acc:MGI:1194499] MEFQKGSSDQRTFISAILNMLSLGLSTASLLSSEWFVGTQKVPKPLCGQSLAAKCFDMPM SLDGGIANTSAQEVVQYTWETGDDRFSFLAFRSGMWLSCEETMEEPGEKCRRFIELTPPA QREVFWLSLGAQTAYIGLQLISFLLLLTDLLLTTNPGCGLKLSAFAAVSLVLSGLLGMVA HMLYSQVFQATANLGPEDWRPHSWNYGWAFYTAWVSFTCCMASAVTTFNMYTRMVLEFKC RHSKSFNTNPSCLAQHHRCFLPPPLTCTTHAGEPLSSCHQYPSHPIRSVSEAIDLYSALQ DKEFQQGISQELKEVVEPSVEEQR >ENSMUSP00000107542.2 pep:known chromosome:GRCm38:6:135237329:135254326:-1 gene:ENSMUSG00000030206.13 transcript:ENSMUST00000111911.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsg1 description:germ cell-specific gene 1 [Source:MGI Symbol;Acc:MGI:1194499] MEFQKGSSDQRTFISAILNMLSLGLSTASLLSSEWFVGTQKVPKPLCGQSLAAKCFDMPM SLDGGIANTSAQEVVQYTWETGDDRFSFLAFRSGMWLSCEETMEEPGEKCRRFIELTPPA QRGEKGLLEFATLQGSCHPTLRFGGEWLMEKASLLHLPWGPVAKVFWLSLGAQTAYIGLQ LISFLLLLTDLLLTTNPGCGLKLSAFAAVSLVLSGLLGMVAHMLYSQVFQATANLGPEDW RPHSWNYGWAFYTAWVSFTCCMASAVTTFNMYTRMVLEFKCRHSKSFNTNPSCLAQHHRC FLPPPLTCTTHAGEPLSSCHQYPSHPIRSVSEAIDLYSALQDKEFQQGISQELKEVVEPS VEEQR >ENSMUSP00000107541.1 pep:known chromosome:GRCm38:6:135237336:135254351:-1 gene:ENSMUSG00000030206.13 transcript:ENSMUST00000111910.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsg1 description:germ cell-specific gene 1 [Source:MGI Symbol;Acc:MGI:1194499] MEFQKGSSDQRTFISAILNMLSLGLSTASLLSSEWFVGTQKVPKPLCGQSLAAKCFDMPM SLDGGIANTSAQEVVQYTWETGDDRFSFLAFRSGMWLSCEETMEEPGEKCRRFIELTPPA QRGEKGLLEFATLQGSCHPTLRFGGEWLMEKASLLHLPWGPVAKVFWLSLGAQTAYIGLQ LISFLLLLTDLLLTTNPGCGLKLSAFAAVSLVLSGLLGMVAHMLYSQVFQATANLGPEDW RPHSWNYGWAFYTAWVSFTCCMASAVTTFNMYTRMVLEFKCRHSKSFNTNPSCLAQHHRC FLPPPLTCTTHAGEPLSSCHQYPSHPIRSVSEAIDLYSALQDKEFQQGISQELKEVVEPS VEEQR >ENSMUSP00000004681.7 pep:known chromosome:GRCm38:8:3515384:3544267:1 gene:ENSMUSG00000004565.15 transcript:ENSMUST00000004681.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla6 description:patatin-like phospholipase domain containing 6 [Source:MGI Symbol;Acc:MGI:1354723] MEAPLQTGMVLGVMIGAGVAVLVTAVLILLVVRRLRVQKTPAPEGPRYRFRKRDKVLFYG RKIMRKVSQSTSSLVDTSVSTTSRPRMKKKLKMLNIAKKILRIQKETPTLQRKEPPPSVL EADLTEGDLANSHLPSEVLYMLKNVRVLGHFEKPLFLELCRHMVFQRLGQGDYVFRPGQP DASIYVVQDGLLELCLPGPDGKECVVKEVVPGDSVNSLLSILDVITGHQHPQRTVSARAA RDSTVLRLPVEAFSAVFTKYPESLVRVVQIIMVRLQRVTFLALHNYLGLTNELFSHEIQP LRLFPSPGLPTRTSPVRGSKRVVSTSGTEDTSKETSGRPLDSIGAPLPGPAGDPVKPTSL EAPPAPLLSRCISMPVDISGLQGGPRSDFDMAYERGRISVSLQEEASGGPQTASPRTPTQ ELREQPAGACEYSYCEDESATGGCPFGPYQGRQTSSIFEAAKRELAKLMRIEDPSLLNSR VLLHHAKAGTIIARQGDQDVSLHFVLWGCLHVYQRMIDKAEEVCLFVAQPGELVGQLAVL TGEPLIFTLRAQRDCTFLRISKSHFYEIMRAQPSVVLSAAHTVAARMSPFVRQMDFAIDW TAVEAGRALYRQGDRSDCTYIVLNGRLRSVIQRGSGKKELVGEYGRGDLIGVVEALTRQP RATTVHAVRDTELAKLPEGTLGHIKRRYPQVVTRLIHLLSQKILGNLQQLQGPFPGSGLS VPQHSELTNPASNLSTVAILPVCAEVPMMAFTLELQHALQAIGPTLLLNSDVIRALLGAS ALDSIQEFRLSGWLAQQEDAHRIVLYQTDTSLTPWTVRCLRQADCILIVGLGDQEPTVGQ LEQMLENTAVRALKQLVLLHREEGPGPTRTVEWLNMRSWCSGHLHLRCPRRLFSRRSPAK LHELYEKVFSRRADRHSDFSRLARVLTGNTIALVLGGGGARGCSHIGVLKALEEAGVPVD LVGGTSIGSFIGALYAEERSASRTKQRAREWAKSMTSVLEPVLDLTYPVTSMFTGSAFNR SIHRVFQDKQIEDLWLPYFNVTTDITASAMRVHKDGSLWRYVRASMTLSGYLPPLCDPKD GHLLMDGGYINNLPADIARSMGAKTVIAIDVGSQDETDLSTYGDSLSGWWLLWKRLNPWA DKVKVPDMAEIQSRLAYVSCVRQLEVVKSSSYCEYLRPSIDCFKTMDFGKFDQIYDVGYQ YGKAVFGGWTRGEVIEKMLTDRRSTDLNESRRADILAFPSSGFTDLAEIVSRIEPPTSYV SDGCADGEESDCLTEYEEDAGPDCSRDEGGSPEGASPSTASEVEEEKSTLRQRRFLPQET PSSVADA >ENSMUSP00000146847.1 pep:known chromosome:GRCm38:8:3515391:3517153:1 gene:ENSMUSG00000004565.15 transcript:ENSMUST00000208310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla6 description:patatin-like phospholipase domain containing 6 [Source:MGI Symbol;Acc:MGI:1354723] MDCRLQDLLPSPKQSMEAPLQTGMVLGVMIGAGVAVLVTAVLILLVVRRL >ENSMUSP00000146441.1 pep:known chromosome:GRCm38:8:3515411:3517620:1 gene:ENSMUSG00000004565.15 transcript:ENSMUST00000207146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla6 description:patatin-like phospholipase domain containing 6 [Source:MGI Symbol;Acc:MGI:1354723] MEESLQPKDCRLQDLLPSPKQSMEAPLQTGMVLGVMIGAGVAVLVTAVLILLVVRRLRVQ KTPAPEGPRYRFRKRDKVLFYGRKIMRKVSQSTSSLVDTSVSTTSRPRM >ENSMUSP00000146680.1 pep:known chromosome:GRCm38:8:3515425:3544267:1 gene:ENSMUSG00000004565.15 transcript:ENSMUST00000208002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla6 description:patatin-like phospholipase domain containing 6 [Source:MGI Symbol;Acc:MGI:1354723] MEESLQPKDCRLQDLLPSPKQSMEAPLQTGMVLGVMIGAGVAVLVTAVLILLVVRRLRVQ KTPAPEGPRYRFRKRDKVLFYGRKIMRKVSQSTSSLVDTSVSTTSRPRMKKKLKMLNIAK KILRIQKETPTLQRKEPPPSVLEADLTEGDLANSHLPSEVLYMLKNVRVLGHFEKPLFLE LCRHMVFQRLGQGDYVFRPGQPDASIYVVQDGLLELCLPGPDGKECVVKEVVPGDSVNSL LSILDVITGHQHPQRTVSARAARDSTVLRLPVEAFSAVFTKYPESLVRVVQIIMVRLQRV TFLALHNYLGLTNELFSHEIQPLRLFPSPGLPTRTSPVRGSKRVVSTSGTEDTSKETSGR PLDSIGAPLPGPAGDPVKPTSLEAPPAPLLSRCISMPVDISGLQGGPRSDFDMAYERGRI SVSLQEEASGGPQTASPRELREQPAGACEYSYCEDESATGGCPFGPYQGRQTSSIFEAAK RELAKLMRIEDPSLLNSRVLLHHAKAGTIIARQGDQDVSLHFVLWGCLHVYQRMIDKAEE VCLFVAQPGELVGQLAVLTGEPLIFTLRAQRDCTFLRISKSHFYEIMRAQPSVVLSAAHT VAARMSPFVRQMDFAIDWTAVEAGRALYRQGDRSDCTYIVLNGRLRSVIQRGSGKKELVG EYGRGDLIGVVEALTRQPRATTVHAVRDTELAKLPEGTLGHIKRRYPQVVTRLIHLLSQK ILGNLQQLQGPFPGSGLSVPQHSELTNPASNLSTVAILPVCAEVPMMAFTLELQHALQAI GPTLLLNSDVIRALLGASALDSIQEFRLSGWLAQQEDAHRIVLYQTDTSLTPWTVRCLRQ ADCILIVGLGDQEPTVGQLEQMLENTAVRALKQLVLLHREEGPGPTRTVEWLNMRSWCSG HLHLRCPRRLFSRRSPAKLHELYEKVFSRRADRHSDFSRLARVLTGNTIALVLGGGGARG CSHIGVLKALEEAGVPVDLVGGTSIGSFIGALYAEERSASRTKQRAREWAKSMTSVLEPV LDLTYPVTSMFTGSAFNRSIHRVFQDKQIEDLWLPYFNVTTDITASAMRVHKDGSLWRYV RASMTLSGYLPPLCDPKDGHLLMDGGYINNLPADIARSMGAKTVIAIDVGSQDETDLSTY GDSLSGWWLLWKRLNPWADKVKVPDMAEIQSRLAYVSCVRQLEVVKSSSYCEYLRPSIDC FKTMDFGKFDQIYDVGYQYGKAVFGGWTRGEVIEKMLTDRRSTDLNESRRADILAFPSSG FTDLAEIVSRIEPPTSYVSDGCADGEESDCLTEYEEDAGPDCSRDEGGSPEGASPSTASE VEEEKSTLRQRRFLPQETPSSVADA >ENSMUSP00000147213.1 pep:known chromosome:GRCm38:8:3515637:3521364:1 gene:ENSMUSG00000004565.15 transcript:ENSMUST00000208762.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla6 description:patatin-like phospholipase domain containing 6 [Source:MGI Symbol;Acc:MGI:1354723] MEESLQPKDCRLQDLLPSPKQSMEAPLQTGMVLGVMIGAGVAVLVTAVLILLVVRRLRVQ KTPAPEGPRYRFRKRDKVLFYGRKIMRKVSQSTSSLVDTSVSTTSRPRMKKKLKMLNIAK KILRIQKETPTLQRKEPPPSVLEADLTEGDL >ENSMUSP00000147227.1 pep:known chromosome:GRCm38:8:3516661:3522106:1 gene:ENSMUSG00000004565.15 transcript:ENSMUST00000207424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla6 description:patatin-like phospholipase domain containing 6 [Source:MGI Symbol;Acc:MGI:1354723] MEAPLQTGMVLGVMIGAGVAVLVTAVLILLVVRRLRVQKTPAPEGPRYRFRKRDKVLFYG RKIMRKVSQSTSSLVDTSVSTTSRPRMKKKLKMLNIAKKILRIQKETPTLQRKEPPPSVL EADLTEGDLANSHLPSEVLYMLKNVRVLGHFEKPLFLELCRHMVFQRLGQGDYVFRPGQP DASIYVVQDGLLELCLPGPDG >ENSMUSP00000146555.1 pep:known chromosome:GRCm38:8:3516874:3544260:1 gene:ENSMUSG00000004565.15 transcript:ENSMUST00000207941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla6 description:patatin-like phospholipase domain containing 6 [Source:MGI Symbol;Acc:MGI:1354723] MGTPSHELNTTSSGAEVIQKTLEEGLGRRICVAQPVPFVPQVLGVMIGAGVAVLVTAVLI LLVVRRLRVQKTPAPEGPRYRFRKRDKVLFYGRKIMRKVSQSTSSLVDTSVSTTSRPRMK KKLKMLNIAKKILRIQKETPTLQRKEPPPSVLEADLTEGDLANSHLPSEVLYMLKNVRVL GHFEKPLFLELCRHMVFQRLGQGDYVFRPGQPDASIYVVQDGLLELCLPGPDGKECVVKE VVPGDSVNSLLSILDVITGHQHPQRTVSARAARDSTVLRLPVEAFSAVFTKYPESLVRVV QIIMVRLQRVTFLALHNYLGLTNELFSHEIQPLRLFPSPGLPTRTSPVRGSKRVVSTSGT EDTSKETSGRPLDSIGAPLPGPAGDPVKPTSLEAPPAPLLSRCISMPVDISGLQGGPRSD FDMAYERGRISVSLQEEASGGPQTASPRELREQPAGACEYSYCEDESATGGCPFGPYQGR QTSSIFEAAKRELAKLMRIEDPSLLNSRVLLHHAKAGTIIARQGDQDVSLHFVLWGCLHV YQRMIDKAEEVCLFVAQPGELVGQLAVLTGEPLIFTLRAQRDCTFLRISKSHFYEIMRAQ PSVVLSAAHTVAARMSPFVRQMDFAIDWTAVEAGRALYRQGDRSDCTYIVLNGRLRSVIQ RGSGKKELVGEYGRGDLIGVVEALTRQPRATTVHAVRDTELAKLPEGTLGHIKRRYPQVV TRLIHLLSQKILGNLQQLQGPFPGSGLSVPQHSELTNPASNLSTVAILPVCAEVPMMAFT LELQHALQAIGPTLLLNSDVIRALLGASALDSIQEFRLSGWLAQQEDAHRIVLYQTDTSL TPWTVRCLRQADCILIVGLGDQEPTVGQLEQMLENTAVRALKQLVLLHREEGPGPTRTVE WLNMRSWCSGHLHLRCPRRLFSRRSPAKLHELYEKVFSRRADRHSDFSRLARVLTGNTIA LVLGGGGARGCSHIGVLKALEEAGVPVDLVGGTSIGSFIGALYAEERSASRTKQRAREWA KSMTSVLEPVLDLTYPVTSMFTGSAFNRSIHRVFQDKQIEDLWLPYFNVTTDITASAMRV HKDADIARSMGAKTVIAIDVGSQDETDLSTYGDSLSGWWLLWKRLNPWADKVKVPDMAEI QSRLAYVSCVRQLEVVKSSSYCEYLRPSIDCFKTMDFGKFDQIYDVGYQYGKAVFGGWTR GEVIEKMLTDRRSTDLNESRRADILAFPSSGFTDLAEIVSRIEPPTSYVSDGCADGEESD CLTEYEEDAGPDCSRDEGGSPEGASPSTASEVEEEKSTLRQRRFLPQETPSSVADA >ENSMUSP00000146377.1 pep:known chromosome:GRCm38:8:3536555:3541394:1 gene:ENSMUSG00000004565.15 transcript:ENSMUST00000207421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla6 description:patatin-like phospholipase domain containing 6 [Source:MGI Symbol;Acc:MGI:1354723] EKVFSRRADRHSDFSRLARVLTGNTIALVLGGGGARGCSHIGVLKALEEAGVPVDLVGGT SIGSFIGALYAEERSASRTKQRAREWAKSMTSVLEPVLDLTYPVTSMFTGSAFNRSIHRV FQDKQIEDLWLPYFNVTTDITASAMRVHKDGCVWRYVRASASYCPYLPPLCDPKDGHLLV DGCYVNNVPADIARSMGAKTVIAIDVGSQDETDLSTYGDSLSGW >ENSMUSP00000146543.1 pep:known chromosome:GRCm38:8:3538036:3543136:1 gene:ENSMUSG00000004565.15 transcript:ENSMUST00000207336.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla6 description:patatin-like phospholipase domain containing 6 [Source:MGI Symbol;Acc:MGI:1354723] XKDGHLLMDGGYINNLPADIARSMGAKTVIAIDVGSQDETDLSTYGDSLSGWWLLWKRLN PWADKVKVPDMAEIQSRLAYVSCVRQLEVVKSSSYCEYLRPSIDCFKTMDFGKFDQIYYG KAVFGGWTRGEVIEKMLTDRRSTDLNESRRADILAFPSSGFTDLAEIVSRIEPPTSYVSD GCADGEESDCLTEYEEDAGPDCSRDEGG >ENSMUSP00000106699.2 pep:known chromosome:GRCm38:8:3515384:3544266:1 gene:ENSMUSG00000004565.15 transcript:ENSMUST00000111070.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla6 description:patatin-like phospholipase domain containing 6 [Source:MGI Symbol;Acc:MGI:1354723] MEAPLQTGMVLGVMIGAGVAVLVTAVLILLVVRRLRVQKTPAPEGPRYRFRKRDKVLFYG RKIMRKVSQSTSSLVDTSVSTTSRPRMKKKLKMLNIAKKILRIQKETPTLQRKEPPPSVL EADLTEGDLANSHLPSEVLYMLKNVRVLGHFEKPLFLELCRHMVFQRLGQGDYVFRPGQP DASIYVVQDGLLELCLPGPDGKECVVKEVVPGDSVNSLLSILDVITGHQHPQRTVSARAA RDSTVLRLPVEAFSAVFTKYPESLVRVVQIIMVRLQRVTFLALHNYLGLTNELFSHEIQP LRLFPSPGLPTRTSPVRGSKRVVSTSGTEDTSKETSGRPLDSIGAPLPGPAGDPVKPTSL EAPPAPLLSRCISMPVDISGLQGGPRSDFDMAYERGRISVSLQEEASGGPQTASPRTPTQ ELREQPAGACEYSYCEDESATGGCPFGPYQGRQTSSIFEAAKRELAKLMRIEDPSLLNSR VLLHHAKAGTIIARQGDQDVSLHFVLWGCLHVYQRMIDKAEEVCLFVAQPGELVGQLAVL TGEPLIFTLRAQRDCTFLRISKSHFYEIMRAQPSVVLSAAHTVAARMSPFVRQMDFAIDW TAVEAGRALYRQGDRSDCTYIVLNGRLRSVIQRGSGKKELVGEYGRGDLIGVVEALTRQP RATTVHAVRDTELAKLPEGTLGHIKRRYPQVVTRLIHLLSQKILGNLQQLQGPFPGSGLS VPQHSELTNPASNLSTVAILPVCAEVPMMAFTLELQHALQAIGPTLLLNSDVIRALLGAS ALDSIQEFRLSGWLAQQEDAHRIVLYQTDTSLTPWTVRCLRQADCILIVGLGDQEPTVGQ LEQMLENTAVRALKQLVLLHREEGPGPTRTVEWLNMRSWCSGHLHLRCPRRLFSRRSPAK LHELYEKVFSRRADRHSDFSRLARVLTGNTIALVLGGGGARGCSHIGVLKALEEAGVPVD LVGGTSIGSFIGALYAEERSASRTKQRAREWAKSMTSVLEPVLDLTYPVTSMFTGSAFNR SIHRVFQDKQIEDLWLPYFNVTTDITASAMRVHKDGSLWRYVRASMTLSGYLPPLCDPKD GHLLMDGGYINNLPADIARSMGAKTVIAIDVGSQDETDLSTYGDSLSGWWLLWKRLNPWA DKVKVPDMAEIQSRLAYVSCVRQLEVVKSSSYCEYLRPSIDCFKTMDFGKFDQIYDVGYQ YGKAVFGGWTRGEVIEKMLTDRRSTDLNESRRADILAFPSSGFTDLAEIVSRIEPPTSYV SDGCADGEESDCLTEYEEDAGPDCSRDEGGSPEGASPSTASEVEEEKSTLRQRRFLPQET PSSVADA >ENSMUSP00000061345.5 pep:known chromosome:GRCm38:1:183276352:183296992:-1 gene:ENSMUSG00000046836.13 transcript:ENSMUST00000057062.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brox description:BRO1 domain and CAAX motif containing [Source:MGI Symbol;Acc:MGI:1918928] MTHWFHRNPLKATAPVSFNYYGMITGPPASKICNDLRSARTRLLELFTDLSCNPETMKNA ADLYFSLLQGFINSVGDSTQESKLRYIQNFKWTDTLQGHVPSAQQDAVFELISMGFNVAL WYTKYASRLAGKENITEDEAKEVHRSLKIAAGIFKHLKESHIPKLLTPAEKGRDLEARLI DAYIIQCQAEAQEVTIARAIELKHAPGLIAALAYDTASFYQKADHTLSSLEPAHSAKWRK YLHLKMCFYTAYAYCYHGQTLLASDKCGEAIRSLQEAEKLYAEAEALCKEYGETKGPGPT AKPSGHLFFRKLGSLVKNTLDKCQRENGFIYFQKIPTEAPQLELKANYGLVEPVPFEFPP MSAHWTPEALAAFDLTKRPKDDSVKPKPEEDVKPVKEPDIRPQKDTGCSVS >ENSMUSP00000132333.3 pep:known chromosome:GRCm38:1:183276354:183297256:-1 gene:ENSMUSG00000046836.13 transcript:ENSMUST00000163528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brox description:BRO1 domain and CAAX motif containing [Source:MGI Symbol;Acc:MGI:1918928] MTHWFHRNPLKATAPVSFNYYGMITGPPASKICNDLRSARTRLLELFTDLSCNPETMKNA ADLYFSLLQGFINSVGDSTQESKLRYIQNFKWTDTLQGHVPSAQQDAVFELISMGFNVAL WYTKYASRLAGKENITEDEAKEVHRSLKIAAGIFKHLKESHIPKLLTPAEKGRDLEARLI DAYIIQCQAEAQEVTIARAIELKHAPGLIAALAYDTASFYQKADHTLSSLEPAHSAKWRK YLHLKMCFYTAYAYCYHGQTLLASDKCGEAIRSLQEAEKLYAEAEALCKEYGETKGPGPT AKPSGHLFFRKLGSLVKNTLDKCQRENGFIYFQKIPTEAPQLELKANYGLVEPVPFEFPP MSAHWTPEALAAFDLTKRPKDDSVKPKPEEDVKPVKEPDIRPQKDTGCSVS >ENSMUSP00000141248.1 pep:known chromosome:GRCm38:1:183277068:183297269:-1 gene:ENSMUSG00000046836.13 transcript:ENSMUST00000194327.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brox description:BRO1 domain and CAAX motif containing [Source:MGI Symbol;Acc:MGI:1918928] MTHWFHRNPLKATAPVSFNYYGMITGPPASKICKFHKFSG >ENSMUSP00000141629.1 pep:known chromosome:GRCm38:1:183286181:183297228:-1 gene:ENSMUSG00000046836.13 transcript:ENSMUST00000195054.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brox description:BRO1 domain and CAAX motif containing [Source:MGI Symbol;Acc:MGI:1918928] MTHWFHRNPLKATAPVSFNYYGMITGPPASKICNLGKMTD >ENSMUSP00000141585.1 pep:known chromosome:GRCm38:1:183291937:183295852:-1 gene:ENSMUSG00000046836.13 transcript:ENSMUST00000192829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brox description:BRO1 domain and CAAX motif containing [Source:MGI Symbol;Acc:MGI:1918928] MTHWFHRNPLKATAPVSFNYYGMITGPPASKICNDLRSARTRLLELFTDLSCNPETMKNA ADLYFSLLQGFINSVGDSTQESKLRYIQNFK >ENSMUSP00000041904.5 pep:known chromosome:GRCm38:1:184871926:184883218:-1 gene:ENSMUSG00000039349.5 transcript:ENSMUST00000048308.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130074G19Rik description:RIKEN cDNA C130074G19 gene [Source:MGI Symbol;Acc:MGI:2444831] MTVGARLRSKAASSLVGRRPLGRSRRAGDEETDAIVEHLEGEDEDPASPDCEREEGGRRA GTPSARRVHLAALPERYDSLEEPAPGDKPKKRYRRKLKKYGKNFGKAISKGCRYIVIGLQ GFAAAYSAPFGVATSVVSFVR >ENSMUSP00000022637.7 pep:known chromosome:GRCm38:14:67233292:67429777:1 gene:ENSMUSG00000022053.13 transcript:ENSMUST00000022637.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf2 description:early B cell factor 2 [Source:MGI Symbol;Acc:MGI:894332] MFGIQDTLGRGPALKDKSLGAEMDSVRSWVRNVGVVDANVAAQSGVALSRAHFEKQPPSN LRKSNFFHFVLALYDRQGQPVEIERTAFVDFVENDKEQGNEKTNNGTHYKLQLLYSNGVR TEQDLYVRLIDSVTKQPIAYEGQNKNPEMCRVLLTHEVMCSRCCEKKSCGNRNETPSDPV IIDRFFLKFFLKCNQNCLKTAGNPRDMRRFQVVLSTTVNVDGHVLAVSDNMFVHNNSKHG RRARRLDPSEATPCIKAISPSEGWTTGGAMVIIIGDNFFDGLQVVFGTMLVWSELITPHA IRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFIYTALNEPTIDYGFQRLQKVIPRHPGD PERLAKEMLLKRAADLVEALYGTPHNNQDIILKRAADIAEALYSVPRNPSQIPALSSSPA HSGMMGINSYGSQLGVSISESTQGNNQGYIRNTSSISPRGYSSSSTPQQSNYSTSSNSMN GYSNVPMANLGVPGSPGFLNGSPTGSPYGIMSSSPTVGSSSTSSILPFSSSVFPAVKQKS AFAPVIRPQGSPSPACSSGNGNGFRAMTGLVVPPM >ENSMUSP00000135500.1 pep:known chromosome:GRCm38:14:67233586:67430918:1 gene:ENSMUSG00000022053.13 transcript:ENSMUST00000176161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf2 description:early B cell factor 2 [Source:MGI Symbol;Acc:MGI:894332] MFGIQDTLGRGPALKDKSLGAEMDSVRSWVRNVGVVDANVAAQSGVALSRAHFEKQPPSN LRKSNFFHFVLALYDRQGQPVEIERTAFVDFVENDKEQGNEKTNNGTHYKLQLLYSNGVR TEQDLYVRLIDSVTKQPIAYEGQNKNPEMCRVLLTHEVMCSRCCEKKSCGNRNETPSDPV IIDRFFLKFFLKCNQNCLKTAGNPRDMRRFQVVLSTTVNVDGHVLAVSDNMFVHNNSKHG RRARRLDPSEATPCIKAISPSEGWTTGGAMVIIIGDNFFDGLQVVFGTMLVWSELITPHA IRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFIYTALNEPTIDYGFQRLQKVIPRHPGD PERLAKEMLLKRAADLVEALYGTPHNNQDIILKRAADIAEALYSVPRNPSQIPALSSSPA HSGMMGINSYGSQLGVSISESTQGNNQGYIRNTSSISPRGYSSSSTPQQSNYSTSSNSMN GYSNVPMANLGVPGSPGFLNGSPTGSPYGIMSSSPTVGSSSTSSILPFSSSVFPAVKQKS AFAPVIRPQGSPSPACSSGNGNGFRAMTGLVVPPM >ENSMUSP00000135782.1 pep:known chromosome:GRCm38:14:67234644:67428687:1 gene:ENSMUSG00000022053.13 transcript:ENSMUST00000176029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf2 description:early B cell factor 2 [Source:MGI Symbol;Acc:MGI:894332] MFGIQDTLGRGPALKDKSLGAEMDSVRSWVRNVGVVDANVAAQSGVALSRAHFEKQPPSN LRKSNFFHFVLALYDRQGQPVEIERTAFVDFVENDKEQGNEKTNNGTHYKLQLLYSNGVR TEQDLYVRLIDSVTKQPIAYEGQNKNPEMCRVLLTHEVMCSRCCEKKSCGNRNETPSDPV IIDRFFLKFFLKCNQNCLKTAGNPRDMRRFQVVLSTTVNVDGHVLAVSDNMFVHNNSKHG RRARRLDPSEATPCIKAISPSEGWTTGGAMVIIIGDNFFDGLQVVFGTMLVWSELITPHA IRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFIYTALNEPTIDYGFQRLQKVIPRHPGD PERLAKEMLLKRAADLVEALYGTPHNNQDIILKRAADIAEALYSVPRNPSQIPALSSSPA HSGMMGINSYGSQLGVSISESTQGNNQGYIRNTSSISPRGYSSSSTPQQSNYSTSSNSMN GYSNVPMANLGVPGSPGFLNGSPTGSPYGIMSSSPTVGSSSTSSILPFSSSVFPAVKQKS AFAPVIRPQGSPSPACSSGNGNGFRAMTGLVVPPM >ENSMUSP00000064524.4 pep:known chromosome:GRCm38:14:78081021:78089007:-1 gene:ENSMUSG00000045655.9 transcript:ENSMUST00000066437.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam216b description:family with sequence similarity 216, member B [Source:MGI Symbol;Acc:MGI:2145738] MWKDLPQNVPRIPRIQVPAAAADNSLLKDLNQGQRCYLYSIMRIYDSRPQWKALQTRYIH SLGYQQHLGYITQQEALSCAAVLRHSTMRASATVAPQRTILPRVFSHAKKGQPAKPGFRV GSRASLHSMLSTKTLDKA >ENSMUSP00000113562.2 pep:known chromosome:GRCm38:14:78081713:78088999:-1 gene:ENSMUSG00000045655.9 transcript:ENSMUST00000118785.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam216b description:family with sequence similarity 216, member B [Source:MGI Symbol;Acc:MGI:2145738] MRIYDSRPQWKALQTRYIHSLGYQQHLGYITQQEALSCAAVLRHSTMRASATVAPQRTIL PRVFSHAKKGQPAKPGFRVGSRASLHSMLSTKTLDKA >ENSMUSP00000134482.1 pep:known chromosome:GRCm38:14:78082743:78088981:-1 gene:ENSMUSG00000045655.9 transcript:ENSMUST00000173826.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam216b description:family with sequence similarity 216, member B [Source:MGI Symbol;Acc:MGI:2145738] MWKDLPQNVPRIPRIQVPAAAADNSLLKVRGLVPTGKGFPSFFGGVGTLCPVCVRGPKSR AEVLLVQHHEDL >ENSMUSP00000071783.6 pep:known chromosome:GRCm38:8:21702519:21703645:-1 gene:ENSMUSG00000058618.6 transcript:ENSMUST00000071886.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AY761184 description:cDNA sequence AY761184 [Source:MGI Symbol;Acc:MGI:3611585] MKTLVLLSALALLAFQVQADPIKNTDEETKTGEQPEEEDQAVSVSFGGTEGSALQYVAQR RFPWCRKCPVCQKCQVCQKCPVCPTCPQCPKLPLCKERQNKSAITTQAPNTQHKGC >ENSMUSP00000091779.1 pep:known chromosome:GRCm38:5:135560448:135563569:-1 gene:ENSMUSG00000070464.1 transcript:ENSMUST00000094226.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl26 description:chemokine (C-C motif) ligand 26 [Source:MGI Symbol;Acc:MGI:3589281] MFFDLGLLVLLAIFLSVQLGVATCGSSIAMSCCPNFSYYVIPWSWVYSYKFTDKSCTSDG VIFFTKTGKQFCVQPGAKWVQRFISLVNTRNHL >ENSMUSP00000125253.2 pep:known chromosome:GRCm38:1:161002922:161034849:-1 gene:ENSMUSG00000043467.19 transcript:ENSMUST00000162226.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb37 description:zinc finger and BTB domain containing 37 [Source:MGI Symbol;Acc:MGI:2444467] MTMEKSGNIQLEIPDFSNSVLSHLNQLRMQGRLCDIVVNVQGQAFRAHKVVLAASSPYFR DHMSLNEMSTVSISVIKNPTVFEQLLSFCYTGRICLQLADIISYLTAASFLQMQHIIDKC TQILEGIHFKINVAEVEAELSQTRTKHQERPPESHRATPNLNRSLSPRHNASKGNWRGQV SAVLDIRELSPPEEPTSPQIIEQSSDAEGREPILRINRAGQWYVETGLADQGARSGDEVR VLGAVHIKTENLEEWLGPENQPSGEDGSSAEEVTAMVIDTTGHGSIGQESYTLGSSGAKV ARPTSSEVDRFSPSGSVVSMTERHRARSESPGRMDEPKQLSSQVEESAMMGVSAYVEYLR EQEVSERWFRYNPRLTCIYCAKSFNQKGSLDRHMRLHMGITPFVCRMCGKKYTRKDQLEY HIRKHTGNKPFHCHVCGKSFPFQAILNQHFRKNHPGCIPLEGPHSISPETTVTSRQAEEG SPSHEEIVAPGESAQGSVSTTGPD >ENSMUSP00000134753.1 pep:known chromosome:GRCm38:1:161008794:161034849:-1 gene:ENSMUSG00000043467.19 transcript:ENSMUST00000163079.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb37 description:zinc finger and BTB domain containing 37 [Source:MGI Symbol;Acc:MGI:2444467] MTMEKSGNIQLEIPDFSNSVLSHLNQLRMQGRLCDIVVNVQGQAFRAHKVVLAASSPYFR DHMSLNEMSTVSISVIKNPTVFEQLLSFCYTGRICLQLADIISYLTAASFLQMQHIIDKC TQILEGIHFKINVAEVEAELSQTRTKHQERPPESHRATPNLNRSLSPRHNASKGNWRGQV SAVLDIRELSPPEEPTSPQIIEQSSDAEGREPILRINRAGQWYVETGLADQGARSGDEVR VLGAVHIKTENLEEWLGPENQPSGEDGSSAEEVTAMVIDTTGHGSIGQESYTLGSSGAKV ARPTSSEVDRFSPSGSVVSMTERHRARSESPGRMDEPKQLSSQVEESAMMGVSAYVEYLR EQEVSERWFRYNPRLTCIYCAKSFNQKGSLDRHMRLHMGITPFVCRMCGKKYTRKDQLEY HIRKHTGNKPFHCHVCGKSFPFQAILNQHFRKNHPGCIPLEGPHSISPETTVTSRQAEEG SPSHEEIVAPGESAQGSVSTTGPD >ENSMUSP00000134769.1 pep:known chromosome:GRCm38:1:161008800:161034834:-1 gene:ENSMUSG00000043467.19 transcript:ENSMUST00000160056.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zbtb37 description:zinc finger and BTB domain containing 37 [Source:MGI Symbol;Acc:MGI:2444467] MTMEKSGNIQLEIPDFSNSVLSHLNQLRMQGRLCDIVVNVQGQAFRAHKVVLAASSPYFR DHMSLNEMSTVSISVIKNPTVFEQLLSFCYTGRICLQLADIISYLTAASFLQMQHIIDKC TQILEGIHFKINVAEVEAELSQTRTKHQERPPESHRATPNLNRSLSPRHNASKGNWRGQV SAVLDIRELSPPEEPTSPQIIEQSSDAEGREPILRINRAGQWYVETGLADQGARSGDEVR VLGAVHIKTENLEEWLGPENQPSGEDGSSAEEVTAMVIDTTGHGSIGQESYTLGSSGAKV ARPTSSEVDRFSPSGSVVSMTERHRARSESPGRMDEPKQLSSQVEESAMMGVSAYVEYLR EQEVSERWFRYNPRLTCIYCAKSFNQKGSLDRHMRLHMGITPFVCRMCGKKYTRKDQLEY HIRKHTGNKPFHCHVCGKSFPFQAILNQHFRKNHPGCIPLEGPHSISPETTVTSRQAEEG SPSHEEIVAPGESAQGSVSTTGPD >ENSMUSP00000125417.2 pep:known chromosome:GRCm38:1:161019259:161034848:-1 gene:ENSMUSG00000043467.19 transcript:ENSMUST00000159250.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb37 description:zinc finger and BTB domain containing 37 [Source:MGI Symbol;Acc:MGI:2444467] MTMEKSGNIQLEIPDFSNSVLSHLNQLRMQGRLCDIVVNVQGQAFRAHKVVLAASSPYFR DHMSLNEMSTVSISVIKNPTVFEQLLSFCYTGRICLQLADIISYLTAASFLQMQHIIDKC TQILEGIHFKINVAEVEAELSQTRTKHQERPPESHRATPNLNRSLSPRHNASKGNWRGQV SAVLDIRELSPPEEPTSPQIIEQSSDAEGREPILRINRAGQWYVETGLADQGARSGDEVR VLGAVHIKTENLEEWLGPENQPSGEDGSSAEEVTAMVIDTTGHGSIGQESYTLGSSGAKV ARPTSSEVDRFSPSGSVVSMTERHRARSESPGRMDEPKQLSSQVEESAMMGVSAYVEYLR EQEVSERWFRYNPRLTCIYCAKSFNQKGSLDRHMRLHMGITPFVCRMCGKKYTRKDQLEY HIRKHTGNKPFHCHVCGKSFPFQAILNQHFRKNHPGCIPLEGPHSISPETTVTSRQAEEG SPSHEEIVAPGESAQGSVSTTGPD >ENSMUSP00000129965.2 pep:known chromosome:GRCm38:1:161019423:161034284:-1 gene:ENSMUSG00000043467.19 transcript:ENSMUST00000171748.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb37 description:zinc finger and BTB domain containing 37 [Source:MGI Symbol;Acc:MGI:2444467] MTMEKSGNIQLEIPDFSNSVLSHLNQLRMQGRLCDIVVNVQGQAFRAHKVVLAASSPYFR DHMSLNEMSTVSISVIKNPTVFEQLLSFCYTGRICLQLADIISYLTAASFLQMQHIIDKC TQILEGIHFKINVAEVEAELSQTRTKHQERPPESHRATPNLNRSLSPRHNASKGNWRGQV SAVLDIRELSPPEEPTSPQIIEQSSDAEGREPILRINRAGQWYVETGLADQGARSGDEVR VLGAVHIKTENLEEWLGPENQPSGEDGSSAEEVTAMVIDTTGHGSIGQESYTLGSSGAKV ARPTSSEVDR >ENSMUSP00000134816.1 pep:known chromosome:GRCm38:1:161032438:161034816:-1 gene:ENSMUSG00000043467.19 transcript:ENSMUST00000177003.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb37 description:zinc finger and BTB domain containing 37 [Source:MGI Symbol;Acc:MGI:2444467] MTMEKSGNIQLEIPDFSNSVLSHLNQLRMQGRLCDIVVNVQGQAFRAHKVVLAASSPYFR DHMSLNEMSTVSISVIKNPTVFEQLLSFCYTGRICLQLA >ENSMUSP00000131576.1 pep:known chromosome:GRCm38:1:161017756:161034259:-1 gene:ENSMUSG00000043467.19 transcript:ENSMUST00000172044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb37 description:zinc finger and BTB domain containing 37 [Source:MGI Symbol;Acc:MGI:2444467] MTMEKSGNIQLEIPDFSNSVLSHLNQLRMQGRLCDIVVNVQGQAFRAHKVVLAASSPYFR DHMSLNEMSTVSISVIKNPTVFEQLLSFCYTGRICLQLADIISYLTAASFLQMQHIIDKC TQILEGIHFKINVAEVEAELSQTRTKHQERPPESHRATPNLNRSLSPRHNASKGNWRGQV SAVLDIRELSPPEEPTSPQIIEQSSDAEGREPILRINRAGQWYVETGLADQGARSGDEVR VLGAVHIKTENLEEWLGPENQPSGEDGSSAEEVTAMVIDTTGHGSIGQESYTLGSSGAKV ARPTSSEVDRFSPSGSVVSMTERHRARSESPGRMDEPKQLSSQVEESAMMGVSAYVEYLR EQEVSERWFRYNPRLTCIYCAKSFNQKGSLDRHMRLHMGITPFVCRMCGKKYTRKDQLEY HIRKHTGNKPFHCHVCGKSFPFQAILNQHFRKNHPGCIPLEGPHSISPETTVTSRQAEEG SPSHEEIVAPGESAQGSVSTTGPD >ENSMUSP00000032461.5 pep:known chromosome:GRCm38:6:115004381:115037876:-1 gene:ENSMUSG00000030316.13 transcript:ENSMUST00000032461.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tamm41 description:TAM41, mitochondrial translocator assembly and maintenance protein, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916221] MALQALHSSGVGLRRILAHFPEDLSLAFAYGSAVYRQAGPSAHQENPMLDLVFTVDDPVA WHAMNLKKNWSHYSFLKLLGPRIISSIQNNYGAGVYFNPLIRCDGKLIKYGVISTGTLIE DLLNWNNLYIAGRLQKPVKIVSMNENMALRAALDKNLRSAVTTACLMLPESFSEEDLFIE IAGLSYSGDFRMVIGEEKSKVLNIVKPNVGHFRELYESILQKDPQVVYKMHQGQLEIDKS PEGQFTQLMTLPRTLQQQINHIMDPPGRNRDVEETLLQVAQDPDCGDVVRLAISSIVRPS SIRQSTKGLFTAGMKKSVIYSSRKLNKMWKGWMRKAS >ENSMUSP00000134105.1 pep:known chromosome:GRCm38:6:115010845:115032264:-1 gene:ENSMUSG00000030316.13 transcript:ENSMUST00000172495.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tamm41 description:TAM41, mitochondrial translocator assembly and maintenance protein, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916221] LNWNNLYIAGRLQKPVKIVSMNENMALRAALDKNLRSAVTTACLMLPESFSEEDLFIEIA GLSYSGDFRMVIGEEKSKVLNIVKPNVGHFRELYESILQKDPQVVYKMHQGQLEIKAQKD SSLS >ENSMUSP00000133340.1 pep:known chromosome:GRCm38:6:115012217:115037855:-1 gene:ENSMUSG00000030316.13 transcript:ENSMUST00000174848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tamm41 description:TAM41, mitochondrial translocator assembly and maintenance protein, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916221] MALQALHSSGVGLRRILAHFPEDLSLAFAYGSAVYRQAGPSAHQENPMLDLVFTVDDPVA WHAMNLKKNWSHYSFLKLLGPRIISSIQNNYGAGVYFNPLIRCDGKLIKYGVISTGTLIE DLLNWNNLYIAGRLQKPVKIVSMNENMALRAALDKNLRSAVTTACLMLPESFSEEDLFIE IAGLSYSDKSPEGQFTQLMTLPRTLQQQINHIMDPPGRNRDV >ENSMUSP00000116995.2 pep:known chromosome:GRCm38:6:115016203:115037825:-1 gene:ENSMUSG00000030316.13 transcript:ENSMUST00000154621.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tamm41 description:TAM41, mitochondrial translocator assembly and maintenance protein, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916221] MALQALHSSGVGLRRILAHFPEDLSLAFAYGSAVYRQAGPSAHQENPMLDLVFTVDDPVA WHAMNLKKNWSHYSFLKLLGPRIISSIQNNYGAGVYFNPLIRCDGKVCESPGDPVNMQVQ IQLVWDGTRGSGYLTSPSLSSTGLLALAH >ENSMUSP00000112777.1 pep:known chromosome:GRCm38:7:130865756:130913312:1 gene:ENSMUSG00000040268.17 transcript:ENSMUST00000120441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha1 description:pleckstrin homology domain containing, family A (phosphoinositide binding specific) member 1 [Source:MGI Symbol;Acc:MGI:2442213] MPYVDRQNRICGFLDIEENENSGKFLRRYFILDTREDSFVWYMDNPQNLPSGSSRVGAIK LTYISKVSDATKLRPKAEFCFVMNAGMRKYFLQANDQQDLVEWVNVLNKAIKITVPKQSD SQPASDSLSRQGDCGKKQVSYRTDIVGGVPIITPTQKEEVNECGESLDRNNLKRSQSHLP YFAPKPPSDSAVIKAGYCVKQGAVMKNWKRRYFQLDENTIGYFKSELEKEPLRVIPLKEV HKVQECKQSDIMMRDNLFEIVTTSRTFYVQADSPEEMHSWIKAVSGAIVAQRGPGRSSSS MRQARRLSNPCIQRYTSRAGECSTYVGSHANVPS >ENSMUSP00000074675.4 pep:known chromosome:GRCm38:7:130865898:130912068:1 gene:ENSMUSG00000040268.17 transcript:ENSMUST00000075181.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha1 description:pleckstrin homology domain containing, family A (phosphoinositide binding specific) member 1 [Source:MGI Symbol;Acc:MGI:2442213] MPYVDRQNRICGFLDIEENENSGKFLRRYFILDTREDSFVWYMDNPQNLPSGSSRVGAIK LTYISKVSDATKLRPKAEFCFVMNAGMRKYFLQANDQQDLVEWVNVLNKAIKITVPKQSD SQPASDSLSRQGDCGKKQVSYRTDIVGGVPIITPTQKEEVNECGESLDRNNLKRSQSHLP YFAPKPPSDSAVIKAGYCVKQGAVMKNWKRRYFQLDENTIGYFKSELEKEPLRVIPLKEV HKVQECKQSDIMMRDNLFEIVTTSRTFYVQADSPEEMHSWIKAVSGAIVAQRGPGRSSSS MRQARRLSNPCIQRSIPAGLQNPNSLSVLPGPQPPPHIPQPLAATLWSQAVPWRSEEFTN LLPRSSQGTSRSRLSLQESQLPK >ENSMUSP00000123600.2 pep:known chromosome:GRCm38:7:130865903:130909821:1 gene:ENSMUSG00000040268.17 transcript:ENSMUST00000151119.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha1 description:pleckstrin homology domain containing, family A (phosphoinositide binding specific) member 1 [Source:MGI Symbol;Acc:MGI:2442213] MPYVDRQNRICGFLDIEENENSGKFLRRYFILDTREDSFVWYMDNPQNLPSGSSRVGAIK LTYISKVSDATKLRPKAEFCFVMNAGMRKYFLQANDQQDLVEWVNVLNKAIKITVPKQSD SQPASDSLSRQGDCGKKQVSYRTDIVGGVPIITPTQKEEVNECGESLDRNNLKRSQSHLP YFAPKPPSDSAVIKAGYCVKQGAVMKNWKRRYFQLDENTIGYFKSELEKEPLRVIPLKEV HKVQECKQSDIMMRDNLFEIVTTSRTFYVQADSPEEMHSWIKAVSGAIVAQRGPGRSSSS VGFLLLGEKLHRVSIL >ENSMUSP00000035375.5 pep:known chromosome:GRCm38:7:130865910:130913302:1 gene:ENSMUSG00000040268.17 transcript:ENSMUST00000048180.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha1 description:pleckstrin homology domain containing, family A (phosphoinositide binding specific) member 1 [Source:MGI Symbol;Acc:MGI:2442213] MPYVDRQNRICGFLDIEENENSGKFLRRYFILDTREDSFVWYMDNPQNLPSGSSRVGAIK LTYISKVPKQSDSQPASDSLSRQGDCGKKQVSYRTDIVGGVPIITPTQKEEVNECGESLD RNNLKRSQSHLPYFAPKPPSDSAVIKAGYCVKQGAVMKNWKRRYFQLDENTIGYFKSELE KEPLRVIPLKEVHKVQECKQSDIMMRDNLFEIVTTSRTFYVQADSPEEMHSWIKAVSGAI VAQRGPGRSSSSEHSGWPSEPKFTVRPAGAAATASHSPASRSHSLVSGCAMEKRGVYQSL AKVKPGNFKVQTVSPREPASKVTEQALLRPQSKNGPQGEDGEPVDLDDASLPVSDV >ENSMUSP00000146948.1 pep:known chromosome:GRCm38:7:130900534:130909676:1 gene:ENSMUSG00000040268.17 transcript:ENSMUST00000136963.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekha1 description:pleckstrin homology domain containing, family A (phosphoinositide binding specific) member 1 [Source:MGI Symbol;Acc:MGI:2442213] XCGESLDRNNLKRSQSHLPYFAPKPPSDSAVIKAGYCVKQGAVMKNWKRRYFQLDENTIG YFKSELVFRSP >ENSMUSP00000114411.1 pep:known chromosome:GRCm38:7:130904904:130911163:1 gene:ENSMUSG00000040268.17 transcript:ENSMUST00000126355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha1 description:pleckstrin homology domain containing, family A (phosphoinositide binding specific) member 1 [Source:MGI Symbol;Acc:MGI:2442213] XVHKVQECKQSDIMMRDNLFEIVTTSRTFYVQADSPEEMHSWIKAVSGAIVAQRGPGRSS SSMRQARRLSNPCIQRYTSRAGECSTSIPAGLQNPNSLSVLPGPQPPPHIPQPLAATLWS QAVPWRSEEFTNLLPRSSQGTSRSRLSLQESQLPK >ENSMUSP00000102133.1 pep:known chromosome:GRCm38:7:119768679:119793967:-1 gene:ENSMUSG00000030929.17 transcript:ENSMUST00000106523.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eri2 description:exoribonuclease 2 [Source:MGI Symbol;Acc:MGI:1918401] MATKRLARRLGLIRRKSVTPASGNPGGSRLKQLYAYLIVVDFESTCWNDGKHHSSPEIIE FPAVLLNTATGEIESEFHAYVQPQEHPILSEFCTELTGIKQVQVDEGVPLKICLSQFCKW IHKLQQQQTISFAAGDSEPSTSEVKLCAFVTWSDWDLGVCLEYECRRKQLLKPVFLNSWI DLRATYRLFYKRKPKGLSGALQEVGIEFSGREHSGLDDSRNTALLAWKMIRDGCLMKITR SLNKGAGFCEYVGKFPG >ENSMUSP00000068633.7 pep:known chromosome:GRCm38:7:119771938:119793967:-1 gene:ENSMUSG00000030929.17 transcript:ENSMUST00000063902.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eri2 description:exoribonuclease 2 [Source:MGI Symbol;Acc:MGI:1918401] MATKRLARRLGLIRRKSVTPASGNPGGSRLKQLYAYLIVVDFESTCWNDGKHHSSPEIIE FPAVLLNTATGEIESEFHAYVQPQEHPILSEFCTELTGIKQVQVDEGVPLKICLSQFCKW IHKLQQQQTISFAAGDSEPSTSEVKLCAFVTWSDWDLGVCLEYECRRKQLLKPVFLNSWI DLRATYRLFYKRKPKGLSGALQEVGIEFSGREHSGLDDSRNTALLAWKMIRDGCLMKITR SLNKVLFLCLCIYLYIYGTVIIKGSHQLKWEDWP >ENSMUSP00000033224.7 pep:known chromosome:GRCm38:7:119783827:119793982:-1 gene:ENSMUSG00000030929.17 transcript:ENSMUST00000033224.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eri2 description:exoribonuclease 2 [Source:MGI Symbol;Acc:MGI:1918401] MATKRLARRLGLIRRKSVTPASGNPGGSRLIVCLLNCR >ENSMUSP00000120547.1 pep:known chromosome:GRCm38:7:119783831:119794058:-1 gene:ENSMUSG00000030929.17 transcript:ENSMUST00000150844.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eri2 description:exoribonuclease 2 [Source:MGI Symbol;Acc:MGI:1918401] MATKRLARRLGLIRRKSVTPASGNPGGSRLKQLYAYLIVVDFESTCWNDGKHHSSPEIIE FPAVLLNTATGEIESEFHAYVQPQEHPILSEFCTELTGIKQVQVDEGVPLKICLSQFCKW IHKLQQQQTISFAAGDSEPSTSEVKLCAFVTWSDWDLGVCLEYECRRKQLLKPVFLNSWI DLRATYRLFYKRKPKGLSGALQEVGIEFSGREHSGLDDSRNTALLAWKMIRDGCLMKITR SLNKVLTKKNPKILARNLGTDQVEEAATCNMSIQGPSIYQKELQSTVNAEENAQMNSVCV NSSCIKGQLQPKSNMKADLYNIRHSFPLFTTKSSTSVDQLHSPTLNPPLTMQKPSKSDQL ALNDSSKSSTLNSNLVLVSTTIPSVNHVSDVEMGHTFDCLPMLAEWEDVVLLPASQAEPD TDCMPPVSDTNVSTSLNSAERSLVPEEPETLSYENFEDLQETPQNSETSKSIVYKSPHST VYDVKGAKHPGSDASAFKLPKCKPFPFTSVHASAAYPSVLRKDPLLSGGTKRNSLSPPAS PRTKRQTFTIHEEKPTSSICSPGTTSCRVSPSVLTSTVNLQEPWKTGKMTPPLCKCGRRS KRLIVSNNGPNHGKAFYCCPVGKYQQDRKCCGYFKWEQTLQKERTNGKALSHSSEGLTFS SPETSRIHDRNLSFPIKNSLRLRPSMRH >ENSMUSP00000117940.1 pep:known chromosome:GRCm38:7:119783844:119793959:-1 gene:ENSMUSG00000030929.17 transcript:ENSMUST00000139192.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eri2 description:exoribonuclease 2 [Source:MGI Symbol;Acc:MGI:1918401] MATKRLARRLGLIRRKSVTPASGNPGGSRLIEFPAVLLNTATGEIESEFHAYVQPQEHPI LSEFCTELTGIKQVQVDEGVPLKICLSQFCKWIHKLQQQQTISFAAGDSEPSTSEVKLCA FVTWSDWDLGVCLEYECRRKQLLKPVFLNSWIDLRATYRA >ENSMUSP00000122904.1 pep:known chromosome:GRCm38:3:96576984:96580663:1 gene:ENSMUSG00000087610.1 transcript:ENSMUST00000148290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16253 description:predicted gene 16253 [Source:MGI Symbol;Acc:MGI:3826531] MPFKVGSPGPGRRKHGTLLPSCGLRQQNVAVCCPPVVPVAPADKPSSSCRSTHAPSVLSA LES >ENSMUSP00000143040.1 pep:known chromosome:GRCm38:5:142463944:142466554:1 gene:ENSMUSG00000039623.13 transcript:ENSMUST00000198967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap5z1 description:adaptor-related protein complex 5, zeta 1 subunit [Source:MGI Symbol;Acc:MGI:1924908] MFSAGAESLLHQARMRSYGVSAPGSLSCCRKPQDQPPWMPCRDSSSSSQPPSTPVGWRRC VWTCYRPLSACLPALSS >ENSMUSP00000142777.1 pep:known chromosome:GRCm38:5:142464044:142468992:1 gene:ENSMUSG00000039623.13 transcript:ENSMUST00000197173.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap5z1 description:adaptor-related protein complex 5, zeta 1 subunit [Source:MGI Symbol;Acc:MGI:1924908] MFSAGAESLLHQAREIQDEELRRFCSRVTKLLQEAPGPATVDALQRLFLIVSATKYPRRS CVPGWRRCVWTCYRPLSACLPALSSSRSSVLLS >ENSMUSP00000041863.8 pep:known chromosome:GRCm38:5:142464054:142478710:1 gene:ENSMUSG00000039623.13 transcript:ENSMUST00000038699.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap5z1 description:adaptor-related protein complex 5, zeta 1 subunit [Source:MGI Symbol;Acc:MGI:1924908] MFSAGAESLLHQAREIQDEELRRFCSRVTKLLQEAPGPATVDALQRLFLIVSATKYPRRL EKMCVDLLQTTLCLPASPEQLQVLCAAILREMSPFNDLALSCDHTPNTRQLSLVASVLLA QGDRKGEIRCVSQRIFKILENRQPEGPSVRPLLPILSKVIGLAPGILMEDQTNLLSKRLV DWLRYASIQQGLPYSGGFFSTPRTRQPGPITEVDGAVASDFFTVLSTGQHFTEDQWVNMQ AFSMLRKWLLHSGPEDPCSPDADDKSELEGSTLSVLSAASTASRLLPPRERLREVAFEYC QRLLEQSNRRALRKGDSDLQKACLVEAVSVLDVLCRQDPSFLYRTLSCLKALHRRLGEDP GSERALVPLAQFFLNHGEAAAMDAEAVYGQLLRGLPSERFHSPTLAFEVIHFCTHNLALF DSHFLSLLRLSFPSLFKFLAWNSPPLTAEFVVLLPALVDAGTAVEMLHALLDLPCLTAAL DLQLRSTQTPSERLLWDISLRVPSCLEAFQDPQFQGLFRHLLRTKASGSTERLTPLHQVL KPMASCARVTQCAEAVPVLLQAFFSAVTQTADGALINQLALLLLERSDSLYPVPQYEARV HGVLSSQLLVLCKLKPSLVVELSRELLEFVGSVSSIHSRASVFTCVVWAIGEYLSVTWDK RCTAEQINKFFEALEALLFEVTQSRPLADLPCCPPEVVTALMTTLTKLASRSQDLIPRVS LFLSKMRTLAQNPATSSVHSEEGAESIRTRASELLTLLKMPSVAQFVFTPPAGVCQPRYH RDTNVALPLALRTVSRLVEKEAGLLPG >ENSMUSP00000143179.1 pep:known chromosome:GRCm38:5:142464074:142478054:1 gene:ENSMUSG00000039623.13 transcript:ENSMUST00000196055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap5z1 description:adaptor-related protein complex 5, zeta 1 subunit [Source:MGI Symbol;Acc:MGI:1924908] MFSAGAESLLHQAREIQDEELRRFCSRVTKLLQEAPGPATVDALQRLFLIVSATKYPRRL EKMCVDLLQTTLCLPASPEQLQVLCAAILREMSPFNDLALSCDHTPNTRQLSLVASVLLA QGDRKGEIRCVSQRIFKILENRQPEGPSVRPLLPILSKVIGLAPGILMEDQTNLLSKRLV DWLRYASIQQGLPYSGGFFSTPRTRQPGPITEVDGAVASDFFTVLSTGQHFTEDQWVNMQ AFSMLRKWLLHSGPEDPCSPDADDKSELEGSTLSVLSAASTASRLLPPRERLREVAFEYC QRLLEQSNRRALRKGDSDLQKACLVEAVSVLDVLCRQDPSFLYRTLSCLKALHRRLGEDP GSERALVPLAQFFLNHGEAAAMDAEAVYGQLLRGLPSERFHSPTLAFEVIHFCTHNLALF DSHFLSLLRLSFPSLFKFLAWNSPPLTAEFVVLLPALVDAGTAVEMLHALLDLPCLTAAL DLQLRVPSCLEAFQDPQFQGLFRHLLRTKASGSTERLTPLHQVLKPMASCARVTQCAEAV PVLLQAFFSAVTQTADGALINQLALLLLERSDSLYPVPQYEARVHGVLSSQLLVLCKLKP SLVVELSRELLEFVGSVSSIHSRASVFTCVVWAIGEYLSVTWDKRCTAEQINKFFEALEA LLFEVTQSRPLADLPCCPPEVVTALMTTLTKLASRSQDLIPRVSLFLSKMRTLAQNPATS SVHSEEGAESIRTRASELLTLLKMPSVAQFVFTPPAGVCQPRYHRDTNVALPLALRTVSR LVEKEAGLLPG >ENSMUSP00000091512.4 pep:known chromosome:GRCm38:5:28317121:28352695:1 gene:ENSMUSG00000048271.14 transcript:ENSMUST00000090355.10 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Rbm33 description:RNA binding motif protein 33 [Source:MGI Symbol;Acc:MGI:1919670] MAAALGAGGGAGAGDDDFDQFDKPGAERSWRRRAADEDWDSELEDDLLGEDLLSGKKNQS DLSDEELNDDLLQSDNEEEENFSSQGVTISLNTTSGIVTSFELSDNTNDQSGEQESEYEQ GDDELAYHKPEEQELYTQEYPEEGQYEGHDAELTEDQIEYGDEPEEEQLYSDEVLDIEIN EPLDEFTGGMETLELQKDIKEESDEEDDDDEESGRLRFKTERKEGTIIRLSDVTRERRNI PETLGNSWFWPVGKLIKFPHP >ENSMUSP00000110534.1 pep:known chromosome:GRCm38:5:28317177:28416105:1 gene:ENSMUSG00000048271.14 transcript:ENSMUST00000114884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm33 description:RNA binding motif protein 33 [Source:MGI Symbol;Acc:MGI:1919670] MAAALGAGGGAGAGDDDFDQFDKPGAERSWRRRAADEDWDSELEDDLLGEDLLSGKKNQS DLSDEELNDDLLQSDNEEEENFSSQGVTISLNTTSGIVTSFELSDNTNDQSGEQESEYEQ GDDELAYHKPEEQELYTQEYPEEGQYEGHDAELTEDQIEYGDEPEEEQLYSDEVLDIEIN EPLDEFTGGMETLELQKDIKEESDEEDDDDEESGRLRFKTERKEGTIIRLSDVTRERRNI PETLELSAEAKAALLEFEERERQHKQGRYGSRRGGRRGGSLMCRGMGDQRRDNSERGRMK EHRPALLPTQPSVVAHSPRLIPPPQPQPPPPPPPPPPQQQPIRSLFQQQQLQPLLPLQHP HHPSPPQGVHMPPQIETPRMMLTPPPVTPQQPKNIHINPHFKGTVVTPVQVPLLPVPSQP RPAVGPQRFPGPPEFPQHTPGPVPNSFNQPPRLPLQDQWRAPPPPQERDPFFLGVSGEPR FPSHLFLEQRSPPPPPPPPTLLNSSHPVPTQSPLPFTQPGPAFNQQGQQPVFPRERPVRP ALQPPGPVGILHFSQPGSATARPFIPPRQPFLPSPGQPFLPTHAQPNLQGPLHPPLPPPH QPQPQPQQPQQQPQHHQHQPPLQPPLQPPHQPPPQHQPPPQHQPQQHQHHHHLSAPPPPL MPMSQPQFRPHVQTAQPQPSSSRMQCTPHQGLRHNAASQNISKRPMQQMQPTAPRNSNLR ELPIAPSHVLEMSGNRCSSTPVAQVKSIVNTSPPCRAVVSSRSSQGNTDAKAKPLSPEAQ PKEEAKPEAEFPDEDEETRLYRLKIEEQKRLREEILKQKELRRQQQAGARKKELLERLAQ QQQQQQQQQHQPQQQQQQPQQIYGSQTSMEQEELAATPSPTNGNPLLPFPGAQCRQNVKT RLLVKNQDITTASVQPKAVNFVPPGANVQHQGQHLRPLKHLRQLPHKVLQVKPMDMEETP HSPQAARVTSLQGRPQDTKPGVKRTVMHRANSGGGGDGPHVSSKVRVIKLSGGQGGESDG FSHTEGQPQRLPQPPDMRQQPTRKVTLTKGVPQQPQHLPVGPHMYPAIPPGIKSIQGIHP AKKAIMHGRGRGVAGPMGRGRLMPNKQNLRVVECKPQPCVVSVEGLSSSTTDVQLKSLLM SVGPIQSLQMLPQQRKAIAKFKEPAHALAFQQKFHRHMIDLSHINVALIVE >ENSMUSP00000062449.6 pep:known chromosome:GRCm38:5:28317177:28419239:1 gene:ENSMUSG00000048271.14 transcript:ENSMUST00000059644.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm33 description:RNA binding motif protein 33 [Source:MGI Symbol;Acc:MGI:1919670] MAAALGAGGGAGAGDDDFDQFDKPGAERSWRRRAADEDWDSELEDDLLGEDLLSGKKNQS DLSDEELNDDLLQSDNEEEENFSSQGVTISLNTTSGIVTSFELSDNTNDQSGEQESEYEQ GDDELAYHKPEEQELYTQEYPEEGQYEGHDAELTEDQIEYGDEPEEEQLYSDEVLDIEIN EPLDEFTDEEYLQAYGGQQGLQVREDCEAEDDLDEITDSQVASETHEGGMETLELQKDIK EESDEEDDDDEESGRLRFKTERKEGTIIRLSDVTRERRNIPETLELSAEAKAALLEFEER ERQHKQGRYGSRRGGRRGGSLMCRGMGDQRRDNSERGRMKEHRPALLPTQPSVVAHSPRL IPPPQPQPPPPPPPPPPQQQPIRSLFQQQQLQPLLPLQHPHHPSPPQGVHMPPQIETPRM MLTPPPVTPQQPKNIHINPHFKGTVVTPVQVPLLPVPSQPRPAVGPQRFPGPPEFPQHTP GPVPNSFNQPPRLPLQDQWRAPPPPQERDPFFLGVSGEPRFPSHLFLEQRSPPPPPPPPT LLNSSHPVPTQSPLPFTQPGPAFNQQGQQPVFPRERPVRPALQPPGPVGILHFSQPGSAT ARPFIPPRQPFLPSPGQPFLPTHAQPNLQGPLHPPLPPPHQPQPQPQQPQQQPQHHQHQP PLQPPLQPPHQPPPQHQPPPQHQPQQHQHHHHLSAPPPPLMPMSQPQFRPHVQTAQPQPS SSRMQCTPHQGLRHNAASQNISKRPMQQMQPTAPRNSNLRELPIAPSHVLEMSGNRCSST PVAQVKSIVNTSPPCRAVVSSRSSQGNTDAKAKPLSPEAQPKEEAKPEAEFPDEDEETRL YRLKIEEQKRLREEILKQKELRRQQQAGARKKELLERLAQQQQQQQQQQHQPQQQQQQPQ QIYGSQTSMEQEELAATPSPTNGNPLLPFPGAQCRQNVKTRLLVKNQDITTASVQPKAVN FVPPGANVQHQGQHLRPLKHLRQLPHKVLQVKPMDMEETPHSPQAARVTSLQGRPQDTKP GVKRTVMHRANSGGGGDGPHVSSKVRVIKLSGGQGGESDGFSHTEGQPQRLPQPPDMRQQ PTRKVTLTKGVPQQPQHLPVGPHMYPAIPPGIKSIQGIHPAKKAIMHGRGRGVAGPMGRG RLMPNKQNLRVVECKPQPCVVSVEGLSSSTTDVQLKSLLMSVGPIQSLQMLPQQRKAIAK FKEPAHALAFQQKFHRHMIDLSHINVALIVE >ENSMUSP00000030920.4 pep:known chromosome:GRCm38:5:28317202:28355579:1 gene:ENSMUSG00000048271.14 transcript:ENSMUST00000030920.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm33 description:RNA binding motif protein 33 [Source:MGI Symbol;Acc:MGI:1919670] MAAALGAGGGAGAGDDDFDQFDKPGAERSWRRRAADEDWDSELEDDLLGEDLLSGKKNQS DLSDEELNDDLLQSDNEEEENFSSQGVTISLNTTSGIVTSFELSDNTNDQSGEQESEYEQ GDDELAYHKPEEQELYTQEYPEEGQYEGHDAELTEDQIEYGDEPEEEQLYSDEVLDIEIN EPLDEFTDEEYLQAYGGQQGLQVREDCEAEDDLDEITDSQVASETHEGGMETLELQKDIK EESDEEDDDDEESGRLRFKTERKEGTIIRLSDVTRERRNIPETLGNSWFWPVGKLIKFPH PFLACFLDLYFHFRSLHIFL >ENSMUSP00000121879.2 pep:known chromosome:GRCm38:5:28394055:28413677:1 gene:ENSMUSG00000048271.14 transcript:ENSMUST00000144967.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm33 description:RNA binding motif protein 33 [Source:MGI Symbol;Acc:MGI:1919670] XKRLREEILKQKELRRQQQAGARKKELLERLAQQQQQQQQQQHQPQQQQQQPQQIYGSQT SMEQEELAATPSPTNGNPLLPFPGAQCRQNVKTRLLVKNQDITTASVQPKAVNFVPPGAN VQHQGQHLRPLKHLRQLPHKVLQVKPMDMEETPHSPQAARVTSLQGRPQDTKPGVKRTVM HRANSGGGGDGPHVSSKVRVIKLSGGGGESDGFSHTEGQPQRLPQPPDMRQQPTRKVTLT KGVPQQPQHLPVGPHMYPAIPPGIKSIQGIHPAKKAIMHGRGRGVAGPMGRGRLMPNKQN LRVVECKPQPCVVSVEGLSSSTTDVQLKSLLMSVGPIQSLQMLPQQRKAIAKFKEPAHAL AFQQKFHRHMIDLSHINVALIVE >ENSMUSP00000122901.1 pep:known chromosome:GRCm38:5:28410661:28414029:1 gene:ENSMUSG00000048271.14 transcript:ENSMUST00000133313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm33 description:RNA binding motif protein 33 [Source:MGI Symbol;Acc:MGI:1919670] CKPQPCVVSVEGLSSSTTDVQLKSLLMSVGPIQSLQMLPQQRKAIAKFKEPAHALAFQQK FHRKL >ENSMUSP00000027929.4 pep:known chromosome:GRCm38:1:184896789:184999570:-1 gene:ENSMUSG00000026620.11 transcript:ENSMUST00000027929.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark1 description:MAP/microtubule affinity regulating kinase 1 [Source:MGI Symbol;Acc:MGI:2664902] MSARTPLPTVNERDTENHTSVDGYTETHIPPAKSSSRQNLPRCRNSITSATDEQPHIGNY RLQKTIGKGNFAKVKLARHVLTGREVAVKIIDKTQLNPTSLQKLFREVRIMKILNHPNIV KLFEVIETEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKCIVH RDLKAENLLLDADMNIKIADFGFSNEFTVGNKLDTFCGSPPYAAPELFQGKKYDGPEVDV WSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKLLVLNPIKRG SLEQIMKDRWMNVGHEEEELKPYSEPELDLSDAKRIDIMVTMGFARDEINDALVSQKYDE VMATYILLGRKPPEFEGGESLSSGSLCQRSRPSSDLNNSTLQSPAHLKVQRSISANQKQR RFSDHAGPSIPPAVSYTKRPQANSVESEQKEEWGKDTARRLGSTTVGSKSEVTASPLVGP DRKKSTASPSNNVYSGGSMARRNTYVCERSTDRYAALQNGRDSSLTEMSASSMSSAGSTV ASAGPSARPRHQKSMSTSGHPIKVTLPTIKDGSEAYRPGAAQRVPAASPSAHSISASTPD RTRFPRGSSSRSTFHGEQLRERRSAAYNGPPASPSHETGAFAHARRGTSTGIISKITSKF VRRDPSEGEASGRADTARGSSGDPKERDKDEGKEAKPRSLRFTWSMKTTSSMDPNDMLRE IRKVLDANTCDYEQKERFLLFCVHGDARQDSLVQWEMEVCKLPRLSLNGVRFKRISGTSI AFKNIASKIANELKL >ENSMUSP00000142314.1 pep:known chromosome:GRCm38:1:184919517:184999475:-1 gene:ENSMUSG00000026620.11 transcript:ENSMUST00000192657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark1 description:MAP/microtubule affinity regulating kinase 1 [Source:MGI Symbol;Acc:MGI:2664902] MKILNHPNIVKLFEVIETEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAV QYCHQKCIVHRDLKAENLLLDADMNIKIADFGFSNEFTVGNKLDTFCGSPPYAAPELFQG KKYDGPEVDVWSLGVILYTLVSGSLPFDGQNLK >ENSMUSP00000033553.7 pep:known chromosome:GRCm38:X:96455359:96574485:1 gene:ENSMUSG00000031209.14 transcript:ENSMUST00000033553.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heph description:hephaestin [Source:MGI Symbol;Acc:MGI:1332240] MKAGHLLWALLLMHSLWSIPTDGAIRNYYLGIQDMQWNYAPKGRNVITNQTLNNDTVASS FLKSGKNRIGSSYKKTVYKEYSDGTYTEEIAKPAWLGFLGPLLQAEVGDVILIHLKNFAS RPYTIHPHGVFYEKDSEGSLYPDGSSGYLKADDSVPPGGSHVYNWSIPESHAPTEADPAC LTWIYHSHVDAPRDIATGLIGPLITCKRGTLDGNSPPQRKDVDHNFFLLFSVIDENLSWH LDDNIATYCSDPASVDKEDGAFQDSNRMHAINGFVFGNLPELSMCAQKHVAWHLFGMGNE IDVHTAFFHGQMLSIRGHHTDVANIFPATFVTAEMVPQKSGTWLISCEVNSHLRSGMQAF YKVDSCSMDPPVDQLTGKVRQYFIQAHEIQWDYGPIGYDGRTGKSLREPGSGPDKYFQKS SSRIGGTYWKVRYEAFQDETFQERVHQEEETHLGILGPVIRAEVGDTIQVVFYNRASQPF SIQPHGVFYEKNSEGTVYNDGTSHPKVAKSFEKVTYYWTVPPHAGPTAQDPACLTWMYFS AADPTRDTNSGLVGPLLVCKAGALGADGKQKGVDKEFFLLFTVFDENESWYNNANQAAGM LDSRLLSEDVEGFQDSNRMHAINGFLFSNLPRLDMCKGDTVAWHLLGLGTETDVHGVMFE GNTVQLQGMRKGAVMLFPHTFVTAIMQPDNPGIFEIYCQAGSHREEGMQAIYNVSQCSSH QDSPRQHYQASRVYYIMAEEIEWDYCPDRSWELEWHNTSEKDSYGHVFLSNKDGLLGSKY KKAVFREYTDGTFRIPRPRSGPEEHLGILGPLIRGEVGDILTVVFKNKASRPYSIHAHGV LESNTGGPQAAEPGEVLTYQWNIPERSGPGPSDSACVSWIYYSAVDPIKDMYSGLVGPLV ICRNGILEPNGGRNDMDREFALLFLIFDENQSWYLKENIATYGPQESSHVNLKDATFLES NKMHAINGKLYANLRGLTVYQGERVAWYMLAMGQDTDIHTVHFHAESFLYQNGQSYRADV VDLFPGTFEVVEMVASNPGTWLMHCHVTDHVHAGMETIFTVLSHEEHFSTMTTITKEIGK AVILRDIGGDNVKMLGMNIPIKDVEILSSALIAICVLLLLIALALGGVVWYQHRQRKLRR NRRSILDDSFKLLSLKQ >ENSMUSP00000078301.5 pep:known chromosome:GRCm38:X:96456373:96531423:1 gene:ENSMUSG00000031209.14 transcript:ENSMUST00000079322.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heph description:hephaestin [Source:MGI Symbol;Acc:MGI:1332240] MKAGHLLWALLLMHSLWSIPTDGAIRNYYLGIQDMQWNYAPKGRNVITNQTLNNDTVASS FLKSGKNRIGSSYKKTVYKEYSDGTYTEEIAKPAWLGFLGPLLQAEVGDVILIHLKNFAS RPYTIHPHGVFYEKDSEGSLYPDGSSGYLKADDSVPPGGSHVYNWSIPESHAPTEADPAC LTWIYHSHVDAPRDIATGLIGPLITCKRGTLDGNSPPQRKDVDHNFFLLFSVIDENLSWH LDDNIATYCSDPASVDKEDGAFQDSNRMHAINGFVFGNLPELSMCAQKHVAWHLFGMGNE IDVHTAFFHGQMLSIRGHHTDVANIFPATFVTAEMVPQKSGTWLISCEVNSHLRSGMQAF YKVDSCSMDPPVDQLTGKVRQYFIQAHEIQWDYGPIGYDGRTGKSLREPGSGPDKYFQKS SSRIGGTYWKVRYEAFQDETFQERVHQEEETHLGILGPVIRAEVGDTIQVVFYNRASQPF SIQPHGVFYEKNSEGTVYNDDPTRDTNSGLVGPLLVCKAGALGADGKQKGVDKEFFLLFT VFDENESWYNNANQAAGMLDSRLLSEDVEGFQDSNRMHAINGFLFSNLPRLDMCKGDTVA WHLLGLGTETDVHGVMFEGNTVQLQGMRKGAVMLFPHTFVTAIMQPDNPGIFEIYCQAGS HREEGMQAIYNVSQCSSHQDSPRQHYQASRVYYIMAEEIEWDYCPDRSWELEWHNTSEKD SYGHVFLSNKDGLLGSKYKKAVFREYTDGTFRIPRPRSGPEEHLGILGPLIRGEVGDILT VVFKNKASRPYSIHAHGVLESNTGGPQAAEPGELEHLMKRQRLYNPFTLVFWFIPFNILH SWAGQVT >ENSMUSP00000109469.1 pep:known chromosome:GRCm38:X:96456390:96574482:1 gene:ENSMUSG00000031209.14 transcript:ENSMUST00000113838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heph description:hephaestin [Source:MGI Symbol;Acc:MGI:1332240] MKAGHLLWALLLMHSLWSIPTDGAIRNYYLGIQDMQWNYAPKGRNVITNQTLNNDTVASS FLKSGKNRIGSSYKKTVYKEYSDGTYTEEIAKPAWLGFLGPLLQAEVGDVILIHLKNFAS RPYTIHPHGVFYEKDSEGSLYPDGSSGYLKADDSVPPGGSHVYNWSIPESHAPTEADPAC LTWIYHSHVDAPRDIATGLIGPLITCKRGTLDGNSPPQRKDVDHNFFLLFSVIDENLSWH LDDNIATYCSDPASVDKEDGAFQDSNRMHAINGFVFGNLPELSMCAQKHVAWHLFGMGNE IDVHTAFFHGQMLSIRGHHTDVANIFPATFVTAEMVPQKSGTWLISCEVNSHLRSGMQAF YKVDSCSMDPPVDQLTGKVRQYFIQAHEIQWDYGPIGYDGRTGKSLREPGSGPDKYFQKS SSRIGGTYWKVRYEAFQDETFQERVHQEEETHLGILGPVIRAEVGDTIQVVFYNRASQPF SIQPHGVFYEKNSEGTVYNDGTSHPKVAKSFEKVTYYWTVPPHAGPTAQDPACLTWMYFS AADPTRDTNSGLVGPLLVCKAGALGADGKQKGVDKEFFLLFTVFDENESWYNNANQAAGM LDSRLLSEDVEGFQDSNRMHAINGFLFSNLPRLDMCKGDTVAWHLLGLGTETDVHGVMFE GNTVQLQGMRKGAVMLFPHTFVTAIMQPDNPGIFEIYCQAGSHREEGMQAIYNVSQCSSH QDSPRQHYQASRVYYIMAEEIEWDYCPDRSWELEWHNTSEKDSYGHVFLSNKDGLLGSKY KKAVFREYTDGTFRIPRPRSGPEEHLGILGPLIRGEVGDILTVVFKNKASRPYSIHAHGV LESNTGGPQAAEPGEVLTYQWNIPERSGPGPSDSACVSWIYYSAVDPIKDMYSGLVGPLV ICRNGILEPNGGRNDMDREFALLFLIFDENQSWYLKENIATYGPQESSHVNLKDATFLES NKMHAINGKLYANLRGLTVYQGERVAWYMLAMGQDTDIHTVHFHAESFLYQNGQSYRADV VDLFPGTFEVVEMVASNPGTWLMHCHVTDHVHAGMETIFTVLSHEEHFSTMTTITKEIGK AVILRDIGGDNVKMLGMNIPIKDVEILSSALIAICVLLLLIALALGGVVWYQHRQRKLRR NRRSILDDSFKLLSLKQ >ENSMUSP00000004936.6 pep:known chromosome:GRCm38:5:135569937:135573049:-1 gene:ENSMUSG00000004814.10 transcript:ENSMUST00000004936.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl24 description:chemokine (C-C motif) ligand 24 [Source:MGI Symbol;Acc:MGI:1928953] MAGSATIVAGLLLLVACACCIFPIDSVTIPSSCCTSFISKKIPENRVVSYQLANGSICPK AGVIFITKKGHKICTDPKLLWVQRHIQKLDAKKNQPSKGAKAVRTKFAVQRRRGNSTEV >ENSMUSP00000144002.1 pep:known chromosome:GRCm38:5:135570580:135573049:-1 gene:ENSMUSG00000004814.10 transcript:ENSMUST00000201401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl24 description:chemokine (C-C motif) ligand 24 [Source:MGI Symbol;Acc:MGI:1928953] MAGSATIVAGLLLLVACACCIFPIDSVTIPSSCCTSFISKKIPENRVVSYQLANGSICPK AGVIFITKKGHKICTDPKLLWVQRHIQKLDAKKNQPSKGAKAVRTKFAVQRRRGNSTEV >ENSMUSP00000043477.4 pep:known chromosome:GRCm38:1:95587682:95667571:-1 gene:ENSMUSG00000040710.10 transcript:ENSMUST00000043336.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St8sia4 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 4 [Source:MGI Symbol;Acc:MGI:106018] MRSIRKRWTICTISLLLIFYKTKEIARTEEHQETQLIGDGELCLSRSLVNSSDKIIRKAG STIFQHSVQGWKINSSLVLEIRKNILRFLDAERDVSVVKSSFKPGDVIHYVLDRRRTLNI SHNLHSLLPEVSPMKNRRFKTCAVVGNSGILLDSGCGKEIDSHNFVIRCNLAPVVEFAAD VGTKSDFITMNPSVVQRAFGGFRNESDREKFVHRLSMLNDSVLWIPAFMVKGGEKHVEWV NALILKNKLQVRTAYPSLRLIHAVRGYWLTNKVPIKRPSTGLLMYTLATRFCDEIHLYGF WPFPKDLNGKAVKYHYYDDLKYRYFSNASPHRMPLEFKTLNVLHNRGALKLTTGKCMKQ >ENSMUSP00000140534.1 pep:known chromosome:GRCm38:1:95618551:95667535:-1 gene:ENSMUSG00000040710.10 transcript:ENSMUST00000189556.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St8sia4 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 4 [Source:MGI Symbol;Acc:MGI:106018] MRSIRKRWTICTISLLLIFYKTKEIARTEEHQETQLIGDGELCLSRSLVNSSDKIIRKAG STIFQHSVQGWKINSSLVLEIRKNILRFLDAERDVSVVKSSFKPGDVIHYVLDRRRTLNI SHNLHSLLPEVSPMKNRRFKTCAVVGNSGILLDSGCGKEIDSHNFVIRCNLAPVVEFAAD VGTKSDFITMNPSVVQRAFGGFRNESDREKFVHRLSMLNDSVLWIPAFMVKGGEKHVEWV NALILKNKLQVRTAYPSLRLIHAVRG >ENSMUSP00000113384.1 pep:known chromosome:GRCm38:6:21771395:21852088:-1 gene:ENSMUSG00000029669.14 transcript:ENSMUST00000120965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan12 description:tetraspanin 12 [Source:MGI Symbol;Acc:MGI:1889818] MAREDSVKCLRCLLYALNLLFWLMSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYF PVVHPVMIAVCCFLIIVGMLGYCGTVKRNLLLLAWYFGTLLVIFCVELACGVWTYEQEVM VPVQWSDMVTLKARMTNYGLPRYRWLTHAWNYFQREGCGKKMYSFLRGTKQLQVLRFLGI SIGVTQILAMILTITLLWALYYDRREPGTDQMLSLKNDTSQHLSCHSVELLKPSLSRIFE HTSMANSFNTHFEMEEL >ENSMUSP00000031678.3 pep:known chromosome:GRCm38:6:21771395:21852515:-1 gene:ENSMUSG00000029669.14 transcript:ENSMUST00000031678.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan12 description:tetraspanin 12 [Source:MGI Symbol;Acc:MGI:1889818] MAREDSVKCLRCLLYALNLLFWLMSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYF PVVHPVMIAVCCFLIIVGMLGYCGTVKRNLLLLAWYFGTLLVIFCVELACGVWTYEQEVM VPVQWSDMVTLKARMTNYGLPRYRWLTHAWNYFQREFKCCGVVYFTDWLEMTEMDWPPDS CCVREFPGCSKQAHQEDLSDLYQEGCGKKMYSFLRGTKQLQVLRFLGISIGVTQILAMIL TITLLWALYYDRREPGTDQMLSLKNDTSQHLSCHSVELLKPSLSRIFEHTSMANSFNTHF EMEEL >ENSMUSP00000117820.1 pep:known chromosome:GRCm38:6:21795578:21851981:-1 gene:ENSMUSG00000029669.14 transcript:ENSMUST00000123116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan12 description:tetraspanin 12 [Source:MGI Symbol;Acc:MGI:1889818] MAREDSVKCLRCLLYALNLLFWLMSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYF PVVHPVMIAVCCFLIIVGMLGYCGTVKRNLLLLAWYFGTLLVIFCVELACGVWTYEQEVM VPVQWSDMVTLKARMTNYGLPRYRWLTHAWNYFQREFKCCGVVYFTDWLEMTEMDWPPDS CCVREFPGCSKQAHQEDLSDLYQE >ENSMUSP00000123475.1 pep:known chromosome:GRCm38:6:21799950:21851914:-1 gene:ENSMUSG00000029669.14 transcript:ENSMUST00000134635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan12 description:tetraspanin 12 [Source:MGI Symbol;Acc:MGI:1889818] MAREDSVKCLRCLLYALNLLFWLMSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYF PVVHPVMIAVCCFLIIVGMLGYCGTVKRNLLLLAWYFGTLLVIFCVELACGVWTYEQEVM VPVQWSDMVTLK >ENSMUSP00000144717.1 pep:known chromosome:GRCm38:6:21835389:21852169:-1 gene:ENSMUSG00000029669.14 transcript:ENSMUST00000143531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan12 description:tetraspanin 12 [Source:MGI Symbol;Acc:MGI:1889818] MIAVCCFLIIVGMLGYCGTVKRNLLLLA >ENSMUSP00000144671.1 pep:known chromosome:GRCm38:6:21851005:21851828:-1 gene:ENSMUSG00000029669.14 transcript:ENSMUST00000202353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan12 description:tetraspanin 12 [Source:MGI Symbol;Acc:MGI:1889818] MAREDSVKCLRCLLYALNLLFWV >ENSMUSP00000028603.3 pep:known chromosome:GRCm38:2:104027721:104063240:1 gene:ENSMUSG00000027180.12 transcript:ENSMUST00000028603.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo3 description:F-box protein 3 [Source:MGI Symbol;Acc:MGI:1929084] MAAVEAETGLLTLESLPTDPLLLILSFVDYRDLINCCYVSRRLSQLSTHDPLWRRHCKKY WLISEEEKAGKSQCWRSLFIETYSDVGRYIDHYAAIKKAWRDLKKYLEPRCPRMVLSLKE GAREEDLDAVEAQIGCKLPDDYRCSYRIHNGQKLVVPGLLGSMALSNHYRSEDLLDVDTA AGGFQQRQGLKYCLPLTFCIHTGLSQYIAVEAAEGRNKNEVFYQCPDQMARNPAAIDMFI IGATFTDWFTSYVNNVVSGGFPIIRDQIFRYIHDPECVATTGDITVSVSTSFLPELSSVH PPHYFFTYRIRIEMSRDALPEKACQLDSRYWRITNAKGDVEEVQGPGVVGEFPIISPGRI YEYTSCTTFSTTSGYMEGYYTFHFLYFKDKVFNVAIPRFHMACPTFRVSIARLEMGPDEY EEMEEEAEEEEEEENDDSADMDESDESDADENESDEGEGEARRRRVFDVPIRRRRCSRLF >ENSMUSP00000099625.3 pep:known chromosome:GRCm38:2:104027860:104057504:1 gene:ENSMUSG00000027180.12 transcript:ENSMUST00000102565.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo3 description:F-box protein 3 [Source:MGI Symbol;Acc:MGI:1929084] MAAVEAETGLLTLESLPTDPLLLILSFVDYRDLINCCYVSRRLSQLSTHDPLWRRHCKKY WLISEEEKAGKSQCWRSLFIETYSDVGRYIDHYAAIKKAWRDLKKYLEPRCPRMVLSLKE GAREEDLDAVEAQIGCKLPDDYRCSYRIHNGQKLVVPGLLGSMALSNHYRSEDLLDVDTA AGGFQQRQGLKYCLPLTFCIHTGLSQYIAVEAAEGRNKNEVFYQCPDQMARNPAAIDMFI IGATFTDWFTSYVNNVVSGGFPIIRDQIFRYIHDPECVATTGDITVSVSTSFLPELSSVH PPHYFFTYRIRIEMSRDALPEKACQLDSRYWRITNAKGDVEEVQGPGVVGEFPIISPGRI YEYTSCTTFSTTSGYMEGYYTFHFLYFKDKVFNVAIPRFHMACPTFRVSIARLVS >ENSMUSP00000106765.1 pep:known chromosome:GRCm38:2:104027844:104057523:1 gene:ENSMUSG00000027180.12 transcript:ENSMUST00000111135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo3 description:F-box protein 3 [Source:MGI Symbol;Acc:MGI:1929084] MAAVEAETGLLTLESLPTDPLLLILSFVDYRDLINRRLSQLSTHDPLWRRHCKKYWLISE EEKAGKSQCWRSLFIETYSDVGRYIDHYAAIKKAWRDLKKYLEPRCPRMVLSLKEGAREE DLDAVEAQIGCKLPDDYRCSYRIHNGQKLVVPGLLGSMALSNHYRSEDLLDVDTAAGGFQ QRQGLKYCLPLTFCIHTGLSQYIAVEAAEGRNKNEVFYQCPDQMARNPAAIDMFIIGATF TDWFTSYVNNVVSGGFPIIRDQIFRYIHDPECVATTGDITVSVSTSFLPELSSVHPPHYF FTYRIRIEMSRDALPEKACQLDSRYWRITNAKGDVEEVQGPGVVGEFPIISPGRIYEYTS CTTFSTTSGYMEGYYTFHFLYFKDKVFNVAIPRFHMACPTFRVSIARLVS >ENSMUSP00000106766.1 pep:known chromosome:GRCm38:2:104027846:104063003:1 gene:ENSMUSG00000027180.12 transcript:ENSMUST00000111136.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo3 description:F-box protein 3 [Source:MGI Symbol;Acc:MGI:1929084] MAAVEAETGLLTLESLPTDPLLLILSFVDYRDLINCCYVSRRLSQLSTHDPLWRRHCKKY WLISEEEKAGKSQCWRSLFIETYSDVGRYIDHYAAIKKAWRDLKKYLEPRCPRMVLSLKE GAREEDLDAVEAQIGCKLPDDYRCSYRIHNGQKLVVPGLLGSMALSNHYRSEDLLDVDTA AGGFQQRQGLKYCLPLTFCIHTGLSQYIAVEAAEGRNKNEVFYQCPDQMARNPAAIDMFI IGATFTDWFTSYVNNVVSGGFPIIRDQIFRYIHDPECVATTGDITVSVSTSFLPELSSVH PPHYFFTYRIRIEMSRDALPEKACQLDSRYWRITNAKGDVEEVQGPGVVGEFPIISPGNG S >ENSMUSP00000032489.7 pep:known chromosome:GRCm38:6:125306571:125313885:-1 gene:ENSMUSG00000030339.7 transcript:ENSMUST00000032489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbr description:lymphotoxin B receptor [Source:MGI Symbol;Acc:MGI:104875] MRLPRASSPCGLAWGPLLLGLSGLLVASQPQLVPPYRIENQTCWDQDKEYYEPMHDVCCS RCPPGEFVFAVCSRSQDTVCKTCPHNSYNEHWNHLSTCQLCRPCDIVLGFEEVAPCTSDR KAECRCQPGMSCVYLDNECVHCEEERLVLCQPGTEAEVTDEIMDTDVNCVPCKPGHFQNT SSPRARCQPHTRCEIQGLVEAAPGTSYSDTICKNPPEPGAMLLLAILLSLVLFLLFTTVL ACAWMRHPSLCRKLGTLLKRHPEGEESPPCPAPRADPHFPDLAEPLLPMSGDLSPSPAGP PTAPSLEEVVLQQQSPLVQARELEAEPGEHGQVAHGANGIHVTGGSVTVTGNIYIYNGPV LGGTRGPGDPPAPPEPPYPTPEEGAPGPSELSTPYQEDGKAWHLAETETLGCQDL >ENSMUSP00000079376.5 pep:known chromosome:GRCm38:8:21734494:21735471:1 gene:ENSMUSG00000064213.5 transcript:ENSMUST00000080533.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa24 description:defensin, alpha, 24 [Source:MGI Symbol;Acc:MGI:3630383] MKTLILLSALVLLAFQVQADPIQNTDEETKTEEQPGEEDQAVSVSFGDPEGASLQEESLR DLVCYCRARGCKGRERMNGTCSKGHLLYMLCCR >ENSMUSP00000067207.4 pep:known chromosome:GRCm38:17:33268726:33269676:1 gene:ENSMUSG00000054666.5 transcript:ENSMUST00000067840.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr63 description:olfactory receptor 63 [Source:MGI Symbol;Acc:MGI:1335096] MPGQNYSTISEFILFGFSAFPHQMLPALFLLYLLMYLFTLLGNLVIMAAIWTEHRLHTPM YLFLCALSISEILFTVVITPRMLSDMLSTHRSITFIACANQLFFSFTFGYTHSFLLVVMG YDRYVAICRPLHYHALMSLQGCARLVAWSWAGGSLIGMALTIIIFHLTFCESNVIHHILC HVFSLLKLACGERTAFVTIAVILVCVTPLIGCLVFIILSYIFIVAAILRIPSTEGRHKTF STCASHLTVVIVHYGFASIIYLKSRGLYSQYTDTLMSTTYTVFTPFLSPIIFSLRNKELK NAIIKSFHRNVCQQSI >ENSMUSP00000038256.6 pep:known chromosome:GRCm38:19:4756571:4765940:1 gene:ENSMUSG00000033760.16 transcript:ENSMUST00000036744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm4b description:RNA binding motif protein 4B [Source:MGI Symbol;Acc:MGI:1913954] MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKL HGVNINVEASKNKSKASTKLHVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMER AEDAVEAIRGLDNTEFQGKRMHVQLSTSRLRTAPGMGDQSGCYRCGKEGHWSKECPVDRT GRVADFTEQYNEQYGAVRTPYTMGYGESMYYNDAYGALDYYKRYRVRSYEAVAAAAAASA YNYAEQTMSHLPQVQSSAVPSHLNSTSVDPYDRHLLQNSGSAATSAAMAAAASSSYYGRD RSPLRRNAAVLPAVGEGYGYGPESEMSQASAATRNSLYDMARYEREQYVDRTRYSAF >ENSMUSP00000138146.1 pep:known chromosome:GRCm38:19:4756581:4764130:1 gene:ENSMUSG00000033760.16 transcript:ENSMUST00000182200.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm4b description:RNA binding motif protein 4B [Source:MGI Symbol;Acc:MGI:1913954] MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKL HGVNINVEASKNKSKASTKLHVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMER AEDAVEAIRGLDNTEFQGELFWGLGGRPSWSL >ENSMUSP00000042677.6 pep:known chromosome:GRCm38:5:45697181:45857615:-1 gene:ENSMUSG00000015882.17 transcript:ENSMUST00000045586.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcorl description:ligand dependent nuclear receptor corepressor-like [Source:MGI Symbol;Acc:MGI:2651932] MDKGRERMAAAAAAAAAAAAAQCRSPRCAAERRGFRRELDSWRHRLMHCVGFESILEGLY GPRLRRDLSLFEDCEPEELTDWSMDEKCSFCNLQREAVSDCIPSLDSSQSTPTEELSSQG QSHTDKIECQAESYLNALFRKKDLPQNCDPNIPLVAQELMKKMIRQFAIEYISKSGKIQE NRNGSIGASLVCKSIQMNQADNCLQDEQEGPLDLTVTRTQEQTAQQGDGVLDLSTKKTSI KSEESSISDPSSENAVAGMLQMKTDEKVDLSDGNTASCPLSPIKMCLNHPIEWNLTAASL ASCTVHNQNLKSEEN >ENSMUSP00000140503.2 pep:known chromosome:GRCm38:5:45697184:45857467:-1 gene:ENSMUSG00000015882.17 transcript:ENSMUST00000190036.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcorl description:ligand dependent nuclear receptor corepressor-like [Source:MGI Symbol;Acc:MGI:2651932] AAAAAAAAAAAQCRSPRCAAERRGFRRELDSWRHRLMHCVGFESILEGLYGPRLRRDLSL FEDCEPEELTDWSMDEKCSFCNLQREAVSDCIPSLDSSQSTPTEELSSQGQSHTDKIECQ AESYLNALFRKKDLPQNCDPNIPLVAQELMKKMIRQFAIEYISKSGKIQENRNGSIGASL VCKSIQMNQADNCLQDEQEGPLDLTVTRTQEQTAQQACYK >ENSMUSP00000112416.1 pep:known chromosome:GRCm38:5:45700450:45856553:-1 gene:ENSMUSG00000015882.17 transcript:ENSMUST00000121573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcorl description:ligand dependent nuclear receptor corepressor-like [Source:MGI Symbol;Acc:MGI:2651932] MDEKCSFCNLQREAVSDCIPSLDSSQSTPTEELSSQGQSHTDKIECQAESYLNALFRKKD LPQNCDPNIPLVAQELMKKMIRQFAIEYISKSGKIQENRNGSIGASLVCKSIQMNQADNC LQDEQEGPLDLTVTRTQEQTAQQGDGVLDLSTKKTSIKSEESSISDPSSENAVAGMLQMK TDEKVDLSDGNTASCPLSPIKMCLNHPIEWNLTAASLASCTVHNQNLKSEEN >ENSMUSP00000084408.3 pep:known chromosome:GRCm38:5:45730335:45856551:-1 gene:ENSMUSG00000015882.17 transcript:ENSMUST00000087164.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcorl description:ligand dependent nuclear receptor corepressor-like [Source:MGI Symbol;Acc:MGI:2651932] MDEKCSFCNLQREAVSDCIPSLDSSQSTPTEELSSQGQSHTDKIECQAESYLNALFRKKD LPQNCDPNIPLVAQELMKKMIRQFAIEYISKSGKIQENRNGSIGASLVCKSIQMNQADNC LQDEQEGPLDLTVTRTQEQTAQQGDGVLDLSTKKTSIKSEESSISDPSSENAVAGRLHRN REDYVERSAEFADGLLSKALKDIQSGALDINKAGILYGIPQKTLLLHLEALPAGKPASFK NKTRDFNDSYSYNESKETCAVLQKVALWARAQTERTEKSKLNLLETSEFKFPTASSYLHQ LTLQKMVTQFKEKNESLQYETSNPPVQLKIPQLRVNSVSKSQADGSGLLDVMYQVSKTSS VLEGSALQKLKNILPKQNKLDCSGPVTHSSVDSYFLHGDLSPLCLNSKNGTVDGTSENTE DGLDRKDNKQPRKKRGRYRQYDHEIMEEAIAMVMSGKMSVSKAQGIYGVPHSTLEYKVKE RSGTLKTPPKKKLRLPDTGLYMTDSGTGSCRNSSKPV >ENSMUSP00000016026.7 pep:known chromosome:GRCm38:5:45730391:45857513:-1 gene:ENSMUSG00000015882.17 transcript:ENSMUST00000016026.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcorl description:ligand dependent nuclear receptor corepressor-like [Source:MGI Symbol;Acc:MGI:2651932] MDKGRERMAAAAAAAAAAAAAQCRSPRCAAERRGFRRELDSWRHRLMHCVGFESILEGLY GPRLRRDLSLFEDCEPEELTDWSMDEKCSFCNLQREAVSDCIPSLDSSQSTPTEELSSQG QSHTDKIECQAESYLNALFRKKDLPQNCDPNIPLVAQELMKKMIRQFAIEYISKSGKIQE NRNGSIGASLVCKSIQMNQADNCLQDEQEGPLDLTVTRTQEQTAQQGDGVLDLSTKKTSI KSEESSISDPSSENAVAGRLHRNREDYVERSAEFADGLLSKALKDIQSGALDINKAGILY GIPQKTLLLHLEALPAGKPASFKNKTRDFNDSYSYNESKETCAVLQKVALWARAQTERTE KSKLNLLETSEFKFPTASSYLHQLTLQKMVTQFKEKNESLQYETSNPPVQLKIPQLRVNS VSKSQADGSGLLDVMYQVSKTSSVLEGSALQKLKNILPKQNKLDCSGPVTHSSVDSYFLH GDLSPLCLNSKNGTVDGTSENTEDGLDRKDNKQPRKKRGRYRQYDHEIMEEAIAMVMSGK MSVSKAQGIYGVPHSTLEYKVKERSGTLKTPPKKKLRLPDTGLYMTDSGTGSCRNSSKPV >ENSMUSP00000139996.2 pep:known chromosome:GRCm38:5:45747255:45857467:-1 gene:ENSMUSG00000015882.17 transcript:ENSMUST00000189859.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcorl description:ligand dependent nuclear receptor corepressor-like [Source:MGI Symbol;Acc:MGI:2651932] AAAAAAAAAAAQCRSPRCAAERRGFRRELDSWRHRLMHCVDCEPEELTDWSMDEKCSFCN LQREAVSDCIPSLDSSQSTPTEELSSQGQSHTDKIECQAESYLNALFRKKDLPQNCDPNI PLVAQELM >ENSMUSP00000139466.1 pep:known chromosome:GRCm38:5:45747276:45857481:-1 gene:ENSMUSG00000015882.17 transcript:ENSMUST00000187615.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lcorl description:ligand dependent nuclear receptor corepressor-like [Source:MGI Symbol;Acc:MGI:2651932] XRMAAAAAAAAAAAAAQCRSPRCAAERRGFRRELDSWRHRLMHCVLRVF >ENSMUSP00000141174.2 pep:known chromosome:GRCm38:5:45775429:45857488:-1 gene:ENSMUSG00000015882.17 transcript:ENSMUST00000186633.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcorl description:ligand dependent nuclear receptor corepressor-like [Source:MGI Symbol;Acc:MGI:2651932] GRERMAAAAAAAAAAAAAQCRSPRCAAERRGFRRELDSWRHRLMHCVGFESILEGLYGPR LRRDLSLFEDCEPEELTDWSMDEKCSFCNLQREAVSSTPTEELSSQGQSHTDKIECQ >ENSMUSP00000132068.1 pep:known chromosome:GRCm38:10:69208552:69289900:1 gene:ENSMUSG00000019944.14 transcript:ENSMUST00000164034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb1 description:Rho-related BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1916538] MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYR VCQEVLERSRDVVDEVSISLRLWDTFGDHHKDRRFAYGRSDVVVLCFSIANPNSLNHVKT MWYQEIKHFCPRTPVVLVGCQLDLRYADLEAVNRARRPLARPIKRGDILPPEKGREVAKE LGIPYYETSVFDQFGIKDVFDNAIRAALISRRHLQFWKSHLKKVQKPLLQAPFLPPKAPP PVIKVPECPSAGTSDAACLLDNPLCADVLFVLHDEEHIFAHRIYLATSSSKFYDLFLMEC EESPCWGGGAGEEVPCRDFQGRTQSLGSAEEGKEGPQRTPQADPGASSGQDLPESLALQM EASGSEGHALSGWSKGFVSMHREVRVNPISKRVGPVTVVRLDPSMQSGPFRTLLRFLYSG QLDEKEKDLLGLAQMAEVLEMFDLRMMVENIMNKEAFMNQEITKAFHVRKANRIKECLSK GTFSDVTFTLDDGAISAHKPLLICSCEWMAAMFGGSFVESANREVHLPNINKMSMQAVLE YLYTKQLSPNLDLDPLELIALANRFCLTHLVALVEQHAVQELTKAAVSGVSIDGEVLSYL ELAQFHNANQLAAWCLHHICTNYNSVCSKFRKEIKSKSADNQEYFERHRWPPVWYLKEED HYQRVKREREKEDLALNKHHSRRKWCFWHSSPAVA >ENSMUSP00000020101.5 pep:known chromosome:GRCm38:10:69212634:69291791:1 gene:ENSMUSG00000019944.14 transcript:ENSMUST00000020101.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb1 description:Rho-related BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1916538] MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYR VCQEVLERSRDVVDEVSISLRLWDTFGDHHKDRRFAYGRSDVVVLCFSIANPNSLNHVKT MWYQEIKHFCPRTPVVLVGCQLDLRYADLEAVNRARRPLARPIKRGDILPPEKGREVAKE LGIPYYETSVFDQFGIKDVFDNAIRAALISRRHLQFWKSHLKKVQKPLLQAPFLPPKAPP PVIKVPECPSAGTSDAACLLDNPLCADVLFVLHDEEHIFAHRIYLATSSSKFYDLFLMEC EESPCWGGGAGEEVPCRDFQGRTQSLGSAEEGKEGPQRTPQADPGASSGQDLPESLALQM EASGSEGHALSGWSKGFVSMHREVRVNPISKRVGPVTVVRLDPSMQSGPFRTLLRFLYSG QLDEKEKDLLGLAQMAEVLEMFDLRMMVENIMNKEAFMNQEITKAFHVRKANRIKECLSK GTFSDVTFTLDDGAISAHKPLLICSCEWMAAMFGGSFVESANREVHLPNINKMSMQAVLE YLYTKQLSPNLDLDPLELIALANRFCLTHLVALVEQHAVQELTKAAVSGVSIDGEVLSYL ELAQFHNANQLAAWCLHHICTNYNSVCSKFRKEIKSKSADNQEYFERHRWPPVWYLKEED HYQRVKREREKEDLALNKHHSRRKWCFWHSSPAVA >ENSMUSP00000131509.1 pep:known chromosome:GRCm38:10:69215302:69289710:1 gene:ENSMUSG00000019944.14 transcript:ENSMUST00000168117.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rhobtb1 description:Rho-related BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1916538] MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYR VCQEVLERSRDVVDEVSISLRLWDTFGDHHKDRRFAYGRMF >ENSMUSP00000127904.1 pep:known chromosome:GRCm38:10:69279345:69289998:1 gene:ENSMUSG00000019944.14 transcript:ENSMUST00000163760.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb1 description:Rho-related BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1916538] VHLPNINKMSMQAVLEYLYTKQLSPNLDLDPLELIALANRFCLTHLVALVEQHAVQELTK AAVSGVSIDGEVLSYLELAQTTRSTLSGTAGPLCGT >ENSMUSP00000131222.1 pep:known chromosome:GRCm38:10:69212676:69289998:1 gene:ENSMUSG00000019944.14 transcript:ENSMUST00000167384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb1 description:Rho-related BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1916538] MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYR VCQEVLERSRDVVDEVSISLRLWDTFGDHHKDRRFAYGRPIKRGDILPPEKGREVAKELG IPYYETSVFDQFGIKDVFDNAIRAALISRRHLQFWKSHLKKVQKPLLQAPFLPPKAPPPV IKVPECPSAGTSDAACLLDNPLCADVLFVLHDEEHIFAHRIYLATSSSKFYDLFLMECEE SPCWGGGAGEEVPCRDFQGRTQSLGSAEEGKEGPQRTPQADPGASSGQDLPESLALQMEA SGSEGHALSGWSKGFVSMHREVRVNPISKRVGPVTVVRLDPSMQSGPFRTLLRFLYSGQL DEKEKDLLGLAQMAEVLEMFDLRMMVENIMNKEAFMNQEITKAFHVRKANRIKECLSKGT FSDVTFTLDDGAISAHKPLLICSCEWMAAMFGGSFVESANREVHLPNINKMSMQAVLEYL YTKQLSPNLDLDPLELIALANRFCLTHLVALVEQHAVQELTKAAVSGVSIDGEVLSYLEL AQFHNANQLAAWCLHHICTNYNSVCSKFRKEIKSKSADNQEYFERHRWPPVWYLKEEDHY QRVKREREKEDLALNKHHSRRKWCFWHSSPAVA >ENSMUSP00000129119.1 pep:known chromosome:GRCm38:10:69213084:69266190:1 gene:ENSMUSG00000019944.14 transcript:ENSMUST00000163497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb1 description:Rho-related BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1916538] MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYR VCQEVLERSRDVVDEVSISLRLWDTFGDHHKDRRFAYGRS >ENSMUSP00000128356.1 pep:known chromosome:GRCm38:10:69213171:69249723:1 gene:ENSMUSG00000019944.14 transcript:ENSMUST00000164212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb1 description:Rho-related BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1916538] MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYR VCQEVLERSRDVVDEVSISLRLWDTFGDHHKDRRFAY >ENSMUSP00000128667.1 pep:known chromosome:GRCm38:10:69219385:69249728:1 gene:ENSMUSG00000019944.14 transcript:ENSMUST00000172261.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb1 description:Rho-related BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1916538] MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYR VCQEVLERSRDVVDEVSISLRLWDTFGDHHKDRRFAYG >ENSMUSP00000131313.1 pep:known chromosome:GRCm38:10:69151434:69249713:1 gene:ENSMUSG00000019944.14 transcript:ENSMUST00000167286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb1 description:Rho-related BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1916538] MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYR VCQEVLERSRDVVDEVSISLRLWDTFGDHHKDRR >ENSMUSP00000065095.7 pep:known chromosome:GRCm38:10:69213194:69291780:1 gene:ENSMUSG00000019944.14 transcript:ENSMUST00000067908.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb1 description:Rho-related BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:1916538] MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNTTLTQYQLLATHVPTVWAIDQYR VCQEVLERSRDVVDEVSISLRLWDTFGDHHKDRRFAYGRSDVVVLCFSIANPNSLNHVKT MWYQEIKHFCPRTPVVLVGCQLDLRYADLEAVNRARRPLARPIKRGDILPPEKGREVAKE LGIPYYETSVFDQFGIKDVFDNAIRAALISRRHLQFWKSHLKKVQKPLLQAPFLPPKAPP PVIKVPECPSAGTSDAACLLDNPLCADVLFVLHDEEHIFAHRIYLATSSSKFYDLFLMEC EESPCWGGGAGEEVPCRDFQGRTQSLGSAEEGKEGPQRTPQADPGASSGQDLPESLALQM EASGSEGHALSGWSKGFVSMHREVRVNPISKRVGPVTVVRLDPSMQSGPFRTLLRFLYSG QLDEKEKDLLGLAQMAEVLEMFDLRMMVENIMNKEAFMNQEITKAFHVRKANRIKECLSK GTFSDVTFTLDDGAISAHKPLLICSCEWMAAMFGGSFVESANREVHLPNINKMSMQAVLE YLYTKQLSPNLDLDPLELIALANRFCLTHLVALVEQHAVQELTKAAVSGVSIDGEVLSYL ELAQFHNANQLAAWCLHHICTNYNSVCSKFRKEIKSKSADNQEYFERHRWPPVWYLKEED HYQRVKREREKEDLALNKHHSRRKWCFWHSSPAVA >ENSMUSP00000069670.5 pep:known chromosome:GRCm38:10:79526430:79533787:-1 gene:ENSMUSG00000052151.11 transcript:ENSMUST00000063879.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp2 description:phospholipid phosphatase 2 [Source:MGI Symbol;Acc:MGI:1354945] MERRWVFVLLDVLCVLVASLPFIILTLVNAPYKRGFYCGDDSIRYPYRPDTITHGLMAGV IITATVILVSLGEAYLVYTDRLYSRSNFNNYVAAIYKVLGTFLFGAAVSQSLTDLAKYMI GRLRPSFLAVCDPDWSQVNCSGYVQLEVCRGSPANVTEARLSFYSGHSSFGMYCMLFLAL YVQARLCWKWARLLRPTVQFFLVAFAIYVGYTRVSDHKHHWSDVLVGLLQGALVACLTVR YVSDFFKSRPPQPCQEDEVPERKPSLSLTLTLGDRP >ENSMUSP00000133247.1 pep:known chromosome:GRCm38:10:79526435:79533760:-1 gene:ENSMUSG00000052151.11 transcript:ENSMUST00000166804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp2 description:phospholipid phosphatase 2 [Source:MGI Symbol;Acc:MGI:1354945] MAGVIITATVILVSLGEAYLVYTDRLYSRSNFNNYVAAIYKVLGTFLFGAAVSQSLTDLA KYMIGRLRPSFLAVCDPDWSQVNCSGYVQLEVCRGSPANVTEARLSFYSGHSSFGMYCML FLALYVQARLCWKWARLLRPTVQFFLVAFAIYVGYTRVSDHKHHWSDVLVGLLQGALVAC LTVRYVSDFFKSRPPQPCQEDEVPERKPSLSLTLTLGDRP >ENSMUSP00000127000.1 pep:known chromosome:GRCm38:10:79527487:79533772:-1 gene:ENSMUSG00000052151.11 transcript:ENSMUST00000165233.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plpp2 description:phospholipid phosphatase 2 [Source:MGI Symbol;Acc:MGI:1354945] MERRWVFVLLDVLCVLVGLIGRSLPGVHRPSLFAIQLQQLCSCHLQGAGNLSVRGCCEPV SHRPGQVHDWPSSTQFLGCL >ENSMUSP00000142354.1 pep:known chromosome:GRCm38:5:110135842:110136563:1 gene:ENSMUSG00000014668.15 transcript:ENSMUST00000200038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chfr description:checkpoint with forkhead and ring finger domains [Source:MGI Symbol;Acc:MGI:2444898] MELHGEEQPPPPQEPWGRLLRLGAEEDEPQILLWKREWTIGRRRGAVARVE >ENSMUSP00000143310.1 pep:known chromosome:GRCm38:5:110135849:110163807:1 gene:ENSMUSG00000014668.15 transcript:ENSMUST00000199672.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chfr description:checkpoint with forkhead and ring finger domains [Source:MGI Symbol;Acc:MGI:2444898] MELHGEEQPPPPQEPWGRLLRLGAEEDEPQILLWKREWTIGRRRDTVIC >ENSMUSP00000108138.2 pep:known chromosome:GRCm38:5:110135849:110171971:1 gene:ENSMUSG00000014668.15 transcript:ENSMUST00000112519.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chfr description:checkpoint with forkhead and ring finger domains [Source:MGI Symbol;Acc:MGI:2444898] MELHGEEQPPPPQEPWGRLLRLGAEEDEPQILLWKREWTIGRRRGCDLSFPSNKLVSGDH CKLTVDEISGEVTLEDTSTNGTVINKLQVVKKQTYPLQSGDIIYLVYRKNEPEHNVAYLY ESLSGKQSLTQDSLEANKENMFHVTKDCSGPGQGDDPQVPLLSPMAQTCLEEPQPSTSTS DLLPTASTSSTEPELTSAGQKHSSSSGPGNTSISPKGRSSLVANGELSSLSPVFQDKEAS FSLLESKDHEELEPAKKKMKGDGELDTNLQLLVSGQRGNAQTSSEDVKDASVKPDKMEET LTCIICQDLLHDCVSLQPCMHTFCAACYSGWMERSSLCPTCRCPVERICKNHILNNLVEA YLIQHPDKSRSEEDVRSMDARNKITQDMLQPKVRRSFSDEEGSSEDLLELSDVDSESSDI SQPYIVCRQCPEYRRQAVQSLPCPVPESELGATLALGGEAPSTSASLPTAAPDYMCPLQG SHAICTCCFQPMPDRRAEREQDPRVAPQQCAVCLQPFCHLYWGCTRTGCFGCLAPFCELN LGDKCLDGVLNNNNYESDILKNYLATRGLTWKSVLTESLLALQRGVFMLSDYRITGNTVL CYCCGLRSFRELTYQYRQNIPASELPVTVTSRPDCYWGRNCRTQVKAHHAMKFNHICEQT RFKN >ENSMUSP00000143113.1 pep:known chromosome:GRCm38:5:110135851:110171520:1 gene:ENSMUSG00000014668.15 transcript:ENSMUST00000199557.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chfr description:checkpoint with forkhead and ring finger domains [Source:MGI Symbol;Acc:MGI:2444898] MELHGEEQPPPPQEPWGRLLRLGAEEDEPQILLWKREWTIGRRRVALLDA >ENSMUSP00000143480.1 pep:known chromosome:GRCm38:5:110135857:110171659:1 gene:ENSMUSG00000014668.15 transcript:ENSMUST00000198633.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chfr description:checkpoint with forkhead and ring finger domains [Source:MGI Symbol;Acc:MGI:2444898] MELHGEEQPPPPQEPWGRLLRLGAEEDEPQILLWKREWTIGRRRGCDLSFPSNKLVSGDH CKLTVDEISGEVTLEDTSTNGTVINKLQVVKKQTYPLQSGDIIYLVYRKNEPEHNVAYLY ESLSGKQSLTQDSLGPGNTSISPKGRSSLVANGELSSLSPVFQDKEASFSLLESKDHEEL EPAKKKMKGDGELDTNLQLLVSGQRGNAQTSSEDVKDASVKPDKMEETLTCIICQDLLHD CVSLQPCMHTFCAACYSGWMERSSLCPTCRCPVERICKNHILNNLVEAYLIQHPDKSRSE EDVRSMDARNKITQDMLQPKVRRSFSDEEGSSEDLLELSDVDSESSDISQPYIVCRQCPE YRRQAVQSLPCPVPESELGATLALGGEAPSTSASLPTAAPDYMCPLQGSHAICTCCFQPM PDRRAEREQDPRVAPQQCAVCLQPFCHLYWGCTRTGCFGCLAPFCELNLGDKCLDGVLNN NNYESDILKNYLATRGLTWKSVLTESLLALQRGVFMLSDYRITGNTVLCYCCGLRSFREL TYQYRQNIPASELPVTVTSRPDCYWGRNCRTQVKAHHAMKFNHICEQTRFKN >ENSMUSP00000014812.8 pep:known chromosome:GRCm38:5:110135860:110171972:1 gene:ENSMUSG00000014668.15 transcript:ENSMUST00000014812.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chfr description:checkpoint with forkhead and ring finger domains [Source:MGI Symbol;Acc:MGI:2444898] MELHGEEQPPPPQEPWGRLLRLGAEEDEPQILLWKREWTIGRRRGCDLSFPSNKLVSGDH CKLTVDEISGEVTLEDTSTNGTVINKLQVVKKQTYPLQSGDIIYLVYRKNEPEHNVAYLY ESLSGKQSLTQDSLEANKENMFHVTKDCSGPGQGDDPQVPLLSPMAQTCLEEPQPSTSTS DLLPTASTSSTEPELTSAGQKHSSSSGPGNTSISPKGRSSLVANGELSSLSPVFQDKEAS FSLLESKDHEELEPAKKKMKGDGELDTNLQLLVSGQRGNAQTSSEDVKDASVKPDKMEET LTCIICQDLLHDCVSLQPCMHTFCAACYSGWMERSSLCPTCRCPVERICKNHILNNLVEA YLIQHPDKSRSEEDVRSMDARNKITQDMLQPKVRRSFSDEEGSSEDLLELSDVDSESSDI SQPYIVCRQCPEYRRQAVQSLPCPVPESELGATLALGGEAPSTSASLPTAPDYMCPLQGS HAICTCCFQPMPDRRAEREQDPRVAPQQCAVCLQPFCHLYWGCTRTGCFGCLAPFCELNL GDKCLDGVLNNNNYESDILKNYLATRGLTWKSVLTESLLALQRGVFMLSDYRITGNTVLC YCCGLRSFRELTYQYRQNIPASELPVTVTSRPDCYWGRNCRTQVKAHHAMKFNHICEQTR FKN >ENSMUSP00000142482.1 pep:known chromosome:GRCm38:5:110135878:110171969:1 gene:ENSMUSG00000014668.15 transcript:ENSMUST00000198066.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chfr description:checkpoint with forkhead and ring finger domains [Source:MGI Symbol;Acc:MGI:2444898] MELHGEEQPPPPQEPWGRLLRLGAEEDEPQILLWKREWTIGRRRDTVIC >ENSMUSP00000143389.1 pep:known chromosome:GRCm38:5:110135907:110146547:1 gene:ENSMUSG00000014668.15 transcript:ENSMUST00000199283.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chfr description:checkpoint with forkhead and ring finger domains [Source:MGI Symbol;Acc:MGI:2444898] MELHGEEQPPPPQEPWGRLLRLGAEEDEPQILLWKREWTIGRRRAINWSLEITVNLQWMK YLVR >ENSMUSP00000143737.1 pep:known chromosome:GRCm38:5:110135925:110143660:1 gene:ENSMUSG00000014668.15 transcript:ENSMUST00000199811.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chfr description:checkpoint with forkhead and ring finger domains [Source:MGI Symbol;Acc:MGI:2444898] MELHGEEQPPPPQEPWGRLLRLGAEEDEPQILLWKREWTIGRRRGCDLSFPSNKLVSGDH CKLTVDEISGEVTLEDTSTNGTVINKLQVVKKQTYPLQSGDIIYLVYRKNEPEH >ENSMUSP00000028624.8 pep:known chromosome:GRCm38:2:122594467:122611303:-1 gene:ENSMUSG00000027199.14 transcript:ENSMUST00000028624.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatm description:glycine amidinotransferase (L-arginine:glycine amidinotransferase) [Source:MGI Symbol;Acc:MGI:1914342] MLRVRCLRGGSRGAEAVHYIGSRLGGSLTGWVQRTFQSTQAATASSRNSCAAEDKATHPL PKDCPVSSYNEWDPLEEVIVGRAENACVPPFTVEVKANTYEKYWPFYQKNGGLYFPKDHL KKAVAEVEEMCNILSMEGVTVRRPDPIDWSLKYKTPDFESTGLYSAMPRDILMVVGNEII EAPMAWRSRFFEYRAYRSIIKDYFHRGAKWTTAPKPTMADELYDQNYPIHSVEDRHKLAA QGKFVTTEFEPCFDAADFIRAGRDIFAQRSQVTNYLGIEWMRRHLAPDYRVHIISFKDPN PMHIDATFNIIGPGLVLSNPDRPCHQIDLFKKAGWTIVTPPTPVIPDDHPLWMSSKWLSM NVLMLDEKRVMVDANEVPIQKMFEKLGISTIKVNIRNANSLGGGFHCWTCDVRRRGTLQS YFD >ENSMUSP00000017610.3 pep:known chromosome:GRCm38:11:118301069:118355506:-1 gene:ENSMUSG00000017466.9 transcript:ENSMUST00000017610.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timp2 description:tissue inhibitor of metalloproteinase 2 [Source:MGI Symbol;Acc:MGI:98753] MGAAARSLRLALGLLLLATLLRPADACSCSPVHPQQAFCNADVVIRAKAVSEKEVDSGND IYGNPIKRIQYEIKQIKMFKGPDKDIEFIYTAPSSAVCGVSLDVGGKKEYLIAGKAEGDG KMHITLCDFIVPWDTLSITQKKSLNHRYQMGCECKITRCPMIPCYISSPDECLWMDWVTE KSINGHQAKFFACIKRSDGSCAWYRGAAPPKQEFLDIEDP >ENSMUSP00000122642.1 pep:known chromosome:GRCm38:11:118303701:118355740:-1 gene:ENSMUSG00000017466.9 transcript:ENSMUST00000155707.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timp2 description:tissue inhibitor of metalloproteinase 2 [Source:MGI Symbol;Acc:MGI:98753] MFKGPDKDIEFIYTAPSSAVCGVSLDVGGKKEYLIAGKAEGDGKMHITLCDFIVPWDTLS ITQKKSLNHRYQMGCECKITRCPMIPCYISSPDECLWMDWVTEKSINGHQAKFFACIKRS DGSCAWYRGAAPPKQEFLDIEDP >ENSMUSP00000006625.7 pep:known chromosome:GRCm38:19:4800569:4811634:-1 gene:ENSMUSG00000006456.10 transcript:ENSMUST00000006625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm14 description:RNA binding motif protein 14 [Source:MGI Symbol;Acc:MGI:1929092] MKIFVGNVDGADTTPEELAALFAPYGTVMSCAVMKQFAFVHMRENAGAVRAIEALHGHEL RPGRALVVEMSRPRPLNTWKIFVGNVSAACTSQELRSLFERRGRVIECDVVKDYAFVHME KEADAKAAIAQLNGKEVKGKRINVELSTKGQKKGPALAIQSGDKTKKPGAGDTAFPGTGG FSATFDYQQAFGNSTGGFDGQARQPTPPFFGRDRSPLRRSPPRASYVAPLTAQPATYRAQ PSVSLGAAYRAQPSASLGVGYRTQPMAAQAASYRAQPSVSLGAPYRGQLASPSSQSAAAS SLGPYGGVQPSASALSTYGGQAAAASSLNSYGAQGSSLASYGNQPSSYGAQAASSYGVRA AASSYNTQGAASSLGSYGAQAASYGAQSAASSLAYGAQAASYSAQPSASYSAQSAPYAAQ QAASYSSQPAAYVAQPATAAAYASQPAAYAAQATTPMAGSYGAQPVVQTQLNSYGAQASI GLSGSYGAQSAAAATGSYGAAAAYGAQPSATLAAPYRTQSSASLAASYAAQQHPQAAASY RGQPGSAYDGTGQPSAAYLSMSQGAVANANSTPPPYERTRLSPPRASYDDPYKKAVAMSK RYGSDRRLAELSDYRRLSESQLSFRRSPTKSSLDYRRLPDAHSDYARYSGSYNDYLRAAQ MHSGYQRRM >ENSMUSP00000109424.3 pep:known chromosome:GRCm38:19:4800922:4811585:-1 gene:ENSMUSG00000006456.10 transcript:ENSMUST00000113793.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm14 description:RNA binding motif protein 14 [Source:MGI Symbol;Acc:MGI:1929092] MKIFVGNVDGADTTPEELAALFAPYGTVMSCAVMKQFAFVHMRENAGAVRAIEALHGHEL RPGRALVVEMSRPRPLNTWKIFVGNVSAACTSQELRSLFERRGRVIECDVVKDYAFVHME KEADAKAAIAQLNGKEVKGKRINVELSTKGQKKGPALAIQSGDKTKKPGAGDTAFPGTGG FSATFDYQQAFGNSTGGFDGQARQPTPPFFGRDRSPLRRSPPRASYVAPLTAQPATYRAQ PSVSLGAAYRAQPSASLGVGYRTQPMAAQAASYRAQPSVSLGAPYRGQLASPSSQSAAAS SLGPYGGVQPSASALSTYGGQAAAASSLNSYGAQGSSLASYGNQPSSYGAQAASSYGVRA AASSYNTQGAASSLGSYGAQAASYGAQSAASSLAYGAQAASYSAQPSASYSAQSAPYAAQ QAASYSSQPAAYVAQPATAAAYASQPAAYAAQATTPMAGSYGAQPVVQTQLNSYGAQASI GLSGSYGAQSAAAATGSYGAAAAYGAQPSATLAAPYRTQSSASLAASYAAQQHPQAAASY RGQPGSAYDGTGQPSAAYLSMSQGAVANANSTPPPYERTRLSPPRASYDDPYKKAVAMSK RYCMPPPPQPLAGA >ENSMUSP00000137466.1 pep:known chromosome:GRCm38:19:4801777:4811512:-1 gene:ENSMUSG00000006456.10 transcript:ENSMUST00000180008.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm14 description:RNA binding motif protein 14 [Source:MGI Symbol;Acc:MGI:1929092] MKIFVGNVDGADTTPEELAALFAPYGTVMSCAVMKQFAFVHMRENAGAVRAIEALHGHEL RPGRALVVEMSRPRPLNTWKIFVGNVSAACTSQELRSLFERRGRVIECDVVKGMVPTGV >ENSMUSP00000112226.1 pep:known chromosome:GRCm38:X:136138996:136140443:1 gene:ENSMUSG00000047844.3 transcript:ENSMUST00000116527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bex4 description:brain expressed gene 4 [Source:MGI Symbol;Acc:MGI:3606746] MASKFKQVILDLTVEKDKKDKKGGKASKQSEEEPHHLEEVENKKPGGNVRRKVRRLVPNF LWAIPNRHVDRNEGGEDVGRFVVQGTEVKRKTTEQQVRPYRRFRTPEPDNHYDFCLIP >ENSMUSP00000118322.1 pep:known chromosome:GRCm38:1:6487231:6860934:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000140079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] MDAEVEDKTLHTLSKGTEVPMDSLIPELRVPYDCSMAKKRRAEEQASGVPINKRKSLLMK PRHYSPDMGCKESPDNRNEDDGLLETNDHATADEIMVKSMDETLHLPAQDSSLQKKDQYT CYPELMVKSLVHLGKFEESESVQTTCENLNGSSIQSLKAESDEAHEGSMVHSDNGRDKVH HSQPPFCSSGDSESDSDSAENGWGNGSNSSEDTDTHKGPKHKLTYNRKDLLEVPEIKAED DKFIPCENRCDSDTDGRDPQNSHMEPLVVKAQPSFPEVEEGESLATVTEEPAEVEKAKGN LSLLEQAIALQAERGSVFHHTYKELDRFFLDHLARERRQPRVTDANGRQIFTNKHSPRPE RREAKCPIPGCDGTGHVTGLYPHHRSLSGCPHKVRVPLEILAMHENVLKCPTPGCTGRGH VNSNRNTHRSLSGCPIAAAEKLAMTQDKSQLDSSQTGQCPEQAHRVNLVKQIEFNFRSHA ITSPRASASKEQEKFGKVPFDYASFDAQVFGKRPLLQTGQGQKAPPFPESKHFSNPVKFP NGLPSAGAHTQSTVRASSYGHGQYSEDTHIAAAAAILNLSTRCREATDILSNKPQSLRAK GAEIEVDENGTLDLSMKKNRIHDKSIPPTSSPTTITTPSSSPFNASSLLVNAAFYQALSD QEGWNVPINYSKSHGKTEEEKEKDPVNFLENLEEKKFAGEASIPSPKPKLHTRDLKKELI TCPTPGCDGSGHVTGNYASHRSVSGCPLADKTLKSLMAANSQELKCPTPGCDGSGHVTGN YASHRSLSGCPRARKGGIKMTPTKEEKEDSELRCPVIGCDGQGHISGKYTSHRTASGCPL AAKRQKENPLNGAPLSWKLNKQELPHCPLPGCNGLGHVNNVFVTHRSLSGCPLNAQAIKK VKVSEELMTIKLKATGGIDGDEEIRHLDEEIKELNESNLKIEADMMKLQTQITSMESNLK TIEEENKLIEQSNESLLKELAGLSQALISSLADIQLPQMGPINEQNFEAYVNTLTDMYSN LEQDYSPECKALLESIKQAVKGIHV >ENSMUSP00000117789.1 pep:known chromosome:GRCm38:1:6487331:6860940:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000131494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] MDAEVEDKTLHTLSKGTEVPMDSLIPELRVPYDCSMAKKRRAEEQASGVPINKRKSLLMK PRHYSPDMGCKESPDNRNEDDGLLETNDHATADEIMVKSMDETLHLPAQDSSLQKKDQYT CYPELMVKSLVHLGKFEESESVQTTCENLNGSSIQSLKAESDEAHEGSMVHSDNGRDKVH HSQPPFCSSGDSESDSDSAENGWGNGSNSSEDTDTHKGPKHKLTYNRKDLLEVPEIKAED DKFIPCENRCDSDTDGRDPQNSHMEPLVVKAQPSFPEVEEGESLATVTEEPAEVEKAKGN LSLLEQAIALQAERGSVFHHTYKELDRFFLDHLARERRQPRVTDANGRQIFTNKHSPRPE RREAKCPIPGCDGTGHVTGLYPHHRSLSGCPHKVRVPLEILAMHENVLKCPTPGCTGRGH VNSNRNTHRSLSGCPIAAAEKLAMTQDKSQLDSSQTGQCPEQAHRVNLVKQIEFNFRSHA ITSPRASASKEQEKFGKVPFDYASFDAQVFGKRPLLQTGQGQKAPPFPESKHFSNPVKFP NGLPSAGAHTQSTVRASSYGHGQYSEDTHIAAAAAILNLSTRCREATDILSNKPQSLRAK GAEIEVDENGTLDLSMKKNRIHDKSIPPTSSPTTITTPSSSPFNASSLLVNAAFYQALSD QEGWNVPINYSKSHGKTEEEKEKDPVNFLENLEEKKFAGEASIPSPKPKLHTRDLKKELI TCPTPGCDGSGHVTGNYASHRSVSGCPLADKTLKSLMAANSQELKCPTPGCDGSGHVTGN YASHRSLSGCPRARKGGIKMTPTKEEKEDSELRCPVIGCDGQGHISGKYTSHRTASGCPL AAKRQKENPLNGAPLSWKLNKQELPHCPLPGCNGLGHVNNVFVTHRSLSGCPLNAQAIKK VKVSEELMTIKLKATGGIDGDEEIRHLDEEIKELNESNLKIEADMMKLQTQITSMESNLK TIEEENKLIEQSNESLLKELAGLSQALISSLADIQLPQMGPINEQNFEAYVNTLTDMYSN LEQDYSPECKALLESIKQAVKGIHV >ENSMUSP00000042056.6 pep:known chromosome:GRCm38:1:6730051:6860940:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000043578.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] MDAEVEDKTLHTLSKGTEVPMDSLIPELRVPYDCSMAKKRRAEEQASGVPINKRKSLLMK PRHYSPDMGCKESPDNRNEDDGLLETNDHATADEIMVKSMDETLHLPAQDSSLQKKDQYT CYPELMVKSLVHLGKFEESESVQTTCENLNGSSIQSLKAESDEAHEGSMVHSDNGRDKVH HSQPPFCSSGDSESDSDSAENGWGNGSNSSEDTDTHKGPKHKLTYNRKDLLEVPEIKAED DKFIPCENRCDSDTDGRDPQNSHMEPLVVKAQPSFPEVEEGESLATVTEEPAEVEKAKGN LSLLEQAIALQAERGSVFHHTYKELDRFFLDHLARERRQPRVTDANGRQIFTNKHSPRPE RREAKCPIPGCDGTGHVTGLYPHHRSLSGCPHKVRVPLEILAMHENVLKCPTPGCTGRGH VNSNRNTHRSLSGCPIAAAEKLAMTQDKSQLDSSQTGQCPEQAHRVNLVKQIEFNFRSHA ITSPRASASKEQEKFGKVPFDYASFDAQVFGKRPLLQTGQGQKAPPFPESKHFSNPVKFP NGLPSAGAHTQSTVRASSYGHGQYSEDTHIAAAAAILNLSTRCREATDILSNKPQSLRAK GAEIEVDENGTLDLSMKKNRIHDKSIPPTSSPTTITTPSSSPFNASSLLVNAAFYQALSD QEGWNVPINYSKSHGKTEEEKEKDPVNFLENLEEKKFAGEASIPSPKPKLHTRDLKKELI TCPTPGCDGSGHVTGNYASHRSVSGCPLADKTLKSLMAANSQELKCPTPGCDGSGHVTGN YASHRSLSGCPRARKGGIKMTPTKEEKEDSELRCPVIGCDGQGHISGKYTSHRTASGCPL AAKRQKENPLNGAPLSWKLNKQELPHCPLPGCNGLGHVNNVFVTHRSLSGCPLNAQAIKK VKVSEELMTIKLKATGGIDGDEEIRHLDEEIKELNESNLKIEADMMKLQTQITSMESNLK TIEEENKLIEQSNESLLKELAGLSQALISSLADIQLPQMGPINEQNFEAYVNTLTDMYSN LEQDYSPECKALLESIKQAVKGIHV >ENSMUSP00000142063.1 pep:known chromosome:GRCm38:1:6730053:6795425:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000139756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] MDAEVEDKTLHTLSKGTEVPMDSLIPELRVPYDCSMAKKRRA >ENSMUSP00000120134.1 pep:known chromosome:GRCm38:1:6730070:6795550:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000131467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] MDAEVEDKTLHTLSKGTEVPMDSLIPELRVPYDCSMAKKRRAEEQASGVPINKRKSLLMK PRHYSPDMGCKESPDNRNEDDGLL >ENSMUSP00000120298.1 pep:known chromosome:GRCm38:1:6730101:6859470:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000150761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] MDAEVEDKTLHTLSKGTEVPMDSLIPELRVPYDCSMAKKRRAEEQASGVPINKRKSLLMK PRHYSPDMGCKESPDNRNEDDGLLETNDHATADEIMVKSMDETLHLPAQDSSLQKKDQYT CYPELMVKSLVHLGKFEESESVQTTCENLNGSSIQSLKAESDEAHEGSMVHSDNGRDKVH HSQPPFCSSGDSESDSDSAENGWGNGSNSSEDTDTHKGPKHKLTYNRKDLLEVPEIKAED DKFIPCENRCDSDTDGRDPQNSHMEPLVVKAQPSFPEVEEGESLATVTEEPAEVEKAKGN LSLLEQAIALQAERGSVFHHTYKELDRFFLDHLARERRQPRVTDANGRQIFTNKHSPRPE RREAKCPIPGCDGTGHVTGLYPHHRSLSGCPHKVRVPLEILAMHENVLKCPTPGCTGRGH VNSNRNTHRSLSGCPIAAAEKLAMTQDKSQLDSSQTGQCPEQAHRVNLVKQIEFNFRSHA ITSPRASASKEQEKFGKVPFDYASFDAQVFGKRPLLQTGQGQKAPPFPESKHFSNPVKFP NGLPSAGAHTQSTVRASSYGHGQYSEDTHIAAAAAILNLSTRCREATDILSNKPQSLRAK GAEIEVDENGTLDLSMKKNRIHDKSIPPTSSPTTITTPSSSPFNASSLLVNAAFYQALSD QEGWNVPINYSKSHGKTEEEKEKDPVNFLENLEEKKFAGEASIPSPKPKLHTRDLKKELI TCPTPGCDGSGHVTGNYASHRSVSGCPLADKTLKSLMAANSQELKCPTPGCDGSGHVTGN YASHRSLSGCPRARKGGIKMTPTKEEKEDSELRCPVIGCDGQGHISGKYTSHRTASGCPL AAKRQKENPLNGAPLSWKLNKQELPHCPLPGCNGLGHVNNVFVTHRSLSGCPLNAQAIKK VKVSEELMTIKLKATGGIDGDEEIRHLDEEIKELNESNLKIEADMMKLQTQITSMESNLK TIEEENKLIEQSNESLLKELAGLSQALISSLADIQLPQMGPINEQNFEAYVNTLTDMYSN LEQDYSPECKALLESIKQAVKGIHV >ENSMUSP00000122055.1 pep:known chromosome:GRCm38:1:6730102:6858813:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000151281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] MDAEVEDKTLHTLSKGTEVPMDSLIPELRVPYDCSMAKKRRAEEQASGVPINKRKSLLMK PRHYSPDMGCKESPDNRNEDDGLLETNDHATADEIMVKSMDETLHLPAQDSSLQKKDQYT CYPELMVKSLVHLGKFEESESVQTTCENLNGSSIQSLKAESDEAHEGSMVHSDNGRDKVH HSQPPFCSSGDSESDSDSAENGWGNGSNSSEDTDTHKGPKHKLTYNRKDLLEVPEIKAED DKFIPCENRCDSDTDGRDPQNSHMEPLVVKAQPSFPEVEEGESLATVTEEPAEVEKAKGN LSLLEQAIALQAERGSVFHHTYKELDRFFLDHLARERRQPRVTDANGRQIFTNKHSPRPE RREAKCPIPGCDGTGHVTGLYPHHRSLSGCPHKVRVPLEILAMHENVLKCPTPGCTGRGH VNSNRNTHRSLSGCPIAAAEKLAMTQDKSQLDSSQTGQCPEQAHRVNLVKQIEFNFRSHA ITSPRASASKEQEKFGKVPFDYASFDAQVFGKRPLLQTGQGQKAPPFPESKHFSNPVKFP NGLPSAGAHTQSTVRASSYGHGQYSEDTHIAAAAAILNLSTRCREATDILSNKPQSLRAK GAEIEVDENGTLDLSMKKNRIHDKSIPPTSSPTTITTPSSSPFNASSLLVNAAFYQALSD QEGWNVPINYSKSHGKTEEEKEKDPVNFLENLEEKKFAGEASIPSPKPKLHTRDLKKELI TCPTPGCDGSGHVTGNYASHRSVSGCPLADKTLKSLMAANSQELKCPTPGCDGSGHVTGN YASHRSLSGCPRARKGGIKMTPTKEEKEDSELRCPVIGCDGQGHISGKYTSHRTASGCPL AAKRQKENPLNGAPLSWKLNKQELPHCPLPGCNGLGHVNNVFVTHRSLSGCPLNAQAIKK VKVSEELMTIKLKATGGIDGDEEIRHLDEEIKELNESNLKIEADMMKLQTQITSMESNLK TIEEENKLIEQSNESLLKELAGLSQALISSLADIQLPQMGPINEQNFEAYVNTLTDMYSN LEQDYSPECKALLESIKQAVKGIHV >ENSMUSP00000118129.1 pep:known chromosome:GRCm38:1:6734870:6802688:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000139838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] MDAEVEDKTLHTLSKGTEVPMDSLIPELRVPYDCSMAKKRRAEEQASGVPINKRKSLLMK PRHYSPDMGCKESPDNRNEDDGLLETNDHATADEIMVKSMDETLHLPAQDSSLQKKDQYT CYPELMVKSLVHLGKFEESESVQTTCENLNGSSIQSLKAESDEAHEGSMVHSDNGRDKVH HSQPPFCSSGDSESDSDSAENGWGNGSNSSEDTDT >ENSMUSP00000142317.1 pep:known chromosome:GRCm38:1:6802791:6809365:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000132207.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] XDSDTDGRDPQNSHMEPLVVKAQPSFPEVEEGESLATVTEEPAEVEKAKGNLSLLEQAIA LQAERGSVFHHTYKELDRFFLDHLARERRQPRVTDANGRQIFTNKPHQ >ENSMUSP00000141266.1 pep:known chromosome:GRCm38:1:6857341:6859604:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000130338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] XNKLIEQSNESLLKELAGLSQALISSLADIQLPQMDLNRNAVLKSHIFLDLNEKNKTKEM YEGNPDVRTTLWSSLVSTVHFHFSFTGFVFINGFW >ENSMUSP00000131417.2 pep:known chromosome:GRCm38:1:6737575:6860940:1 gene:ENSMUSG00000033740.17 transcript:ENSMUST00000163727.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St18 description:suppression of tumorigenicity 18 [Source:MGI Symbol;Acc:MGI:2446700] MDAEVEDKTLHTLSKGTEVPMDSLIPELRVPYDCSMAKKRRAEEQASGVPINKRKSLLMK PRHYSPDMGCKESPDNRNEDDGLLETNDHATADEIMVKSMDETLHLPAQDSSLQKKDQYT CYPELMVKSLVHLGKFEESESVQTTCENLNGSSIQSLKAESDEAHEGSMVHSDNGRDKVH HSQPPFCSSGDSESDSDSAENGWGNGSNSSEDTDTHKGPKHKLTYNRKDLLEVPEIKAED DKFIPCENRCDSDTDGRDPQNSHMEPLVVKAQPSFPEVEEGESLATVTEEPAEVEKAKGN LSLLEQAIALQAERGSVFHHTYKELDRFFLDHLARERRQPRVTDANGRQIFTNKHSPRPE RREAKCPIPGCDGTGHVTGLYPHHRSLSGCPHKVRVPLEILAMHENVLKCPTPGCTGRGH VNSNRNTHRSLSGCPIAAAEKLAMTQDKSQLDSSQTGQCPEQAHRVNLVKQIEFNFRSHA ITSPRASASKEQEKFGKVPFDYASFDAQVFGKRPLLQTGQGQKAPPFPESKHFSNPVKFP NGLPSAGAHTQSTVRASSYGHGQYSEDTHIAAAAAILNLSTRCREATDILSNKPQSLRAK GAEIEVDENGTLDLSMKKNRIHDKSIPPTSSPTTITTPSSSPFNASSLLVNAAFYQALSD QEGWNVPINYSKSHGKTEEEKEKDPVNFLENLEEKKFAGEASIPSPKPKLHTRDLKKELI TCPTPGCDGSGHVTGNYASHRSVSGCPLADKTLKSLMAANSQELKCPTPGCDGSGHVTGN YASHRSLSGCPRARKGGIKMTPTKEEKEDSELRCPVIGCDGQGHISGKYTSHRTASGCPL AAKRQKENPLNGAPLSWKLNKQELPHCPLPGCNGLGHVNNVFVTHRSLSGCPLNAQAIKK VKVSEELMTIKLKATGGIDGDEEIRHLDEEIKELNESNLKIEADMMKLQTQITSMESNLK TIEEENKLIEQSNESLLKELAGLSQALISSLADIQLPQMGPINEQNFEAYVNTLTDMYSN LEQDYSPECKALLESIKQAVKGIHV >ENSMUSP00000028517.6 pep:known chromosome:GRCm38:2:73092801:73214447:-1 gene:ENSMUSG00000027108.15 transcript:ENSMUST00000028517.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ola1 description:Obg-like ATPase 1 [Source:MGI Symbol;Acc:MGI:1914309] MPPKKGGDGIKPPPIIGRFGTSLKIGIVGLPNVGKSTFFNVLTNSQASAENFPFCTIDPN ESRVPVPDERFDFLCQYHKPASKIPAFLNVVDIAGLVKGAHNGQGLGNAFLSHISACDGI FHLTRAFEDDDITHVEGSVDPIRDIEIIHEELQLKDEEMIGPILDKLEKVAVRGGDKKLK PEYDIMCKVKSWVIDQKKPVRFYHDWNDKEIEVLNKHLFLTSKPMVYLVNLSEKDYIRKK NKWLIKIKEWVDKYDPGALVIPFSGALELKLQELSAEERQKYLEANMTQSALPKIIKAGF AALQLEYFFTAGPDEVRAWTIRKGTKAPQAAGKIHTDFEKGFIMAEVMKYEDFKDEGSEN AVKAAGKYRQQGRNYIVEDGDIIFFKFNTPQQPKKK >ENSMUSP00000097592.4 pep:known chromosome:GRCm38:2:73137527:73214333:-1 gene:ENSMUSG00000027108.15 transcript:ENSMUST00000100015.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ola1 description:Obg-like ATPase 1 [Source:MGI Symbol;Acc:MGI:1914309] MPPKKGGDGIKPPPIIGRFGTSLKIGIVGLPNVGKSTFFNVLTNSQASAENFPFCTIDPN ESRVPVPDERFDFLCQYHKPASKIPAFLNVVDIAGLVKGAHNGQGLGNAFLSHISACDGI FHLTRAFEDDDITHVEGSVDPIRDIEIIHEELQLKDEEMIGPILDKLEKVAVRGGDKKLK PEYDIMCKVKSWVIDQKKPVRFYHDWNDKEIEVLNKHLFLTSKPMVYLVNLSEKDYIRKK NKCNFKFLSSGDGILVHIMRTMIIEVYLSL >ENSMUSP00000107686.1 pep:known chromosome:GRCm38:2:73093202:73212960:-1 gene:ENSMUSG00000027108.15 transcript:ENSMUST00000112055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ola1 description:Obg-like ATPase 1 [Source:MGI Symbol;Acc:MGI:1914309] MPPKKGGDGIKPPPIIGRFGTSLKIGIVGLPNVGKSTFFNVLTNSQASAENFPFCTIDPN ESRVPVPDERFDFLCQYHKPASKIPAFLNVVDIAGLVKGAHNGQGLGNAFLSHISACDGI FHLTRAFEDDDITHVEGSVDPIRDIEIIHEELQLKDEEMIGPILDKLEKVAVRGGDKKLK PEYDIMCKVKSWVIDQKKPVRFYHDWNDKEIEVLNKHLFLTSKPMVYLVNLSEKDYIRKK NKWLLENTDNKAGIILLKMEILSSSNLIHLSNQKRNKFSYC >ENSMUSP00000027997.3 pep:known chromosome:GRCm38:1:169655501:169695813:1 gene:ENSMUSG00000026678.10 transcript:ENSMUST00000027997.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs5 description:regulator of G-protein signaling 5 [Source:MGI Symbol;Acc:MGI:1098434] MCKGLAALPHSCLERAKEIKIKLGILLQKPDSAVDLVIPYNEKPEKPAKAHKPSLEEVLQ WRQSLDKLLQNSYGFASFKSFLKSEFSEENLEFWVACENYKKIKSPIKMAEKAKQIYEEF IQTEAPKEVNIDHFTKDITMKNLVEPSPRSFDLAQKRIYALMEKDSLPRFVRSEFYKELI K >ENSMUSP00000141496.1 pep:known chromosome:GRCm38:1:169655522:169683946:1 gene:ENSMUSG00000026678.10 transcript:ENSMUST00000152809.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs5 description:regulator of G-protein signaling 5 [Source:MGI Symbol;Acc:MGI:1098434] MCKGLAALPHSCLERAKEIKIKLGILLQKPDSAVDLVIPYNEKPEKPAKAHKPSLEEVLQ WRQSLDKLLQNSCS >ENSMUSP00000099313.3 pep:known chromosome:GRCm38:11:118332360:118342500:-1 gene:ENSMUSG00000076433.4 transcript:ENSMUST00000103024.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC100451 description:cDNA sequence BC100451 [Source:MGI Symbol;Acc:MGI:1929713] MQRDTERAAQLSPSSEDEALVLRQKPLEMPAQEEDSTTLQQWKARQLQRLAEELKAEWQE ARLQQVRQAERLYLSHLLDEAAERSMGNDPSVHEQNQRRTAKHTRAKERNRAAFREERGR REEHPRQHPKSRKKAPCSERRSSAKARGPASGEKGKRRRVSSSKDHDGYQGPRVTRRVGV AKLNPFFDGDTDCMEDVQKEFFREGRRPSAKGTHNLRDQSLQGKTTALTQPLLHGPTCKQ EAAAQEPPSKYNKNLWHKEIESTFEELFNMNRKLKKHLNLHLEQRLKADQNPDEQQSYSE IRSETFGTPREERTEEVETAEESGSPTEVETTEMWSKVNLKQILSDSEYPRYQQIAKYPL KSESLVPVKAGTSREQDDLLSLSPESGQEPPKSPLLEDESLKPYLQKQADSVASWMALRQ KQKAELEQRRQKALLELTEHPNMSLEIHYKAELEEERRARRRMRLALLKSNSTGICALPP DRNNLSLDNGLLDEDKQNQMIRDLQQQILEQNKLHQEFLEKARKRLQEFQKSF >ENSMUSP00000128122.1 pep:known chromosome:GRCm38:11:118332415:118334016:-1 gene:ENSMUSG00000076433.4 transcript:ENSMUST00000168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC100451 description:cDNA sequence BC100451 [Source:MGI Symbol;Acc:MGI:1929713] MQRDTERAAQLSPSSEDEALVLRQKPLEMPAQEEDSTTLQQWKARQLQRLAEELKAEWQE ARLQQVRQAERLYLSHLLDEAAERSMGNDPSVHEQNQRRTAKHTRAKERNRAAFREERGR REEHPRQHPKSRKKAPCSERRSSAKARGPASGEKGKRRRVSSSKDHDGYQGPRVTRRVGV AKLNPFFDGDTDCMEDVQKEFFREGRRPSAKGTHNLRDQSLQGKTTALTQPLLHGPTCKQ EAAAQEPPSKYNKNLWHKEIESTFEELFNMNRKLKKHLNLHLEQRLKADQNPDEQQSYSE IRSETFGTPREERTEEVETAEESGSPTEVETTEMWSKVNLKQILSDSEYPRYQQIAKYPL KSESLVPVKAGTSREQDDLLSLSPESGQEPPKSPLLEDESLKPYLQKQADSVASWMALRQ KQKAELEQRRQKALLELTEHPNMSLEIHYKAELEEERRARRRMRLALLKSNSTGICALPP DRNNLSLDNGLLDEDKQNQMIRDLQQQILEQNKLHQEFLEKARKRLQEFQKSF >ENSMUSP00000115113.1 pep:known chromosome:GRCm38:Y:1096861:1245691:-1 gene:ENSMUSG00000068457.14 transcript:ENSMUST00000143286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uty description:ubiquitously transcribed tetratricopeptide repeat gene, Y chromosome [Source:MGI Symbol;Acc:MGI:894810] MSSSCVHHLRGNEEKKMAAEKARGEGEEGSFSLTVEEKKALCGLDSSFFGFLTRCKDGAK MKTLLNKNAAFLYGLGLVYFYYNAFQWAIRAFQEVLYVDPNFCRAKEIHLRLGFMFKMNT DYESSLKHFQLALIDCNVCTLSSVEIQFHIAHLYETQRKYHSAKAAYEQLLQIESLPSQV KATVLQQLGWMHHNMDLIGDNTTKERYAIQYLQKSLEEDPNSGQSWYFLGRCYSCIGKVQ DAFVSYRQSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDLGIL YESCNQPQDAIKCYLNAARSKSCNNTSALTSRIKFLQSVSDTWNSVQTASHHSVQQKVYT QCFTAQKLQSFGKDQQPPFQTGSTRYLQAASTNDQNQNGNHTLPQNSKGDAQNHFLRIPT SEEQKIINFTKESKDSRSKSLTSKTSRKDRDTSNICVNAKKHSNHIYQISSVPISSLNNK ESVSPDLIIVDNPQLSVLVGETIDNVDHDIGTCDKVNNVHLAIHKKPDNLSASSPSSAIS TETLSLKLTEQTHIVTSFISPHSGLHTINGEGHENLESSASVNVGLRPRSQIIPSMSVSI YSSSTEVLKACRSLGKNGLSNGHILLDICPPPRPPTSPYPPLPKEKLNPPTPSIYLENKR DAFFPPLHQFCINPKNPVTVIRGLAGALKLDLGLFSTKTLVEANNEHIVEVRTQLLQPAD ENWDPSGTKKIWRYENKSSHTTIAKYAQYQACSFQESLREENERRTQVKDYSDNESTCSD NSGRRQKAPFKTIKCGINIDLSDNKKWKLQLHELTKLPAFVRVVSAGNLLSHVGYTILGM NSVQLCMKVPGSRIPGHQENNNFCSVNINIGPGDCEWFVVPEDYWGVLNDFCEKNNLNFL MSSWWPNLEDLYEANVPVYRFIQRPGDLVWINAGTVHWVQAIGWCNNITWNVGPLTAFQY KLAVERYEWNKLQSVKSVVPMVHLSWNMARNIKVSDPKLFEMIKYCLLKILKHCQTLREA LVAAGKEVLWHGRINDEPAPYCSICEVEVFNLLFVTNESNSQKTYIVHCQNCARKTSGNL ENFVVLEQYKMEDLIQVYDQFTLAPSLSSAS >ENSMUSP00000122818.1 pep:known chromosome:GRCm38:Y:1097144:1245694:-1 gene:ENSMUSG00000068457.14 transcript:ENSMUST00000154666.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uty description:ubiquitously transcribed tetratricopeptide repeat gene, Y chromosome [Source:MGI Symbol;Acc:MGI:894810] MKSYGLSLTTAALGNEEKKMAAEKARGEGEEGSFSLTVEEKKALCGLDSSFFGFLTRCKD GAKMKTLLNKAIHFYESLIVKAEGKVESDFFCQLGHFNLLLEDYSKGSEVQSIIIKVGAW QYPGRHGTGGAESSVFIQRQLVED >ENSMUSP00000070012.7 pep:known chromosome:GRCm38:Y:1097144:1245718:-1 gene:ENSMUSG00000068457.14 transcript:ENSMUST00000069309.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uty description:ubiquitously transcribed tetratricopeptide repeat gene, Y chromosome [Source:MGI Symbol;Acc:MGI:894810] MKSYGLSLTTAALGNEEKKMAAEKARGEGEEGSFSLTVEEKKALCGLDSSFFGFLTRCKD GAKMKTLLNKAIHFYESLIVKAEGKVESDFFCQLGHFNLLLEDYSKALSSYQRYYSLQTD YWKNAAFLYGLGLVYFYYNAFQWAIRAFQEVLYVDPNFCRAKEIHLRLGFMFKMNTDYES SLKHFQLALIDCNVCTLSSVEIQFHIAHLYETQRKYHSAKAAYEQLLQIESLPSQVKATV LQQLGWMHHNMDLIGDNTTKERYAIQYLQKSLEEDPNSGQSWYFLGRCYSCIGKVQDAFV SYRQSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDLGILYESC NQPQDAIKCYLNAARSKSCNNTSALTSRIKFLQAQLCNLPQSSLQNKTKLLPSIEEAWSL PIPAELTSRQGAMNTAQQSVSDTWNSVQTASHHSVQQKVYTQCFTAQKLQSFGKDQQPPF QTGSTRYLQAASTNDQNQNGNHTLPQNSKGDAQNHFLRIPTSEEQKIINFTKESKDSRSK SLTSKTSRKDRDTSNICVNAKKHSNHIYQISSVPISSLNNKESVSPDLIIVDNPQLSVLV GETIDNVDHDIGTCDKVNNVHLAIHKKPDNLSASSPSSAISTETLSLKLTEQTHIVTSFI SPHSGLHTINGEGHENLESSASVNVGLRPRSQIIPSMSVSIYSSSTEVLKACRSLGKNGL SNGHILLDICPPPRPPTSPYPPLPKEKLNPPTPSIYLENKRDAFFPPLHQFCINPKNPVT VIRGLAGALKLDLGLFSTKTLVEANNEHIVEVRTQLLQPADENWDPSGTKKIWRYENKSS HTTIAKYAQYQACSFQESLREENERRTQVKDYSDNESTCSDNSGRRQKAPFKTIKCGINI DLSDNKKWKLQLHELTKLPAFVRVVSAGNLLSHVGYTILGMNSVQLCMKVPGSRIPGHQE NNNFCSVNINIGPGDCEWFVVPEDYWGVLNDFCEKNNLNFLMSSWWPNLEDLYEANVPVY RFIQRPGDLVWINAGTVHWVQAIGWCNNITWNVGPLTAFQYKLAVERYEWNKLQSVKSVV PMVHLSWNMARNIKVSDPKLFEMIKYCLLKILKHCQTLREALVAAGKEVLWHGRINDEPA PYCSICEVEVFNLLFVTNESNSQKTYIVHCQNCARKTSGNLENFVVLEQYKMEDLIQVYD QFTLAPSLSSAS >ENSMUSP00000114752.1 pep:known chromosome:GRCm38:Y:1097671:1245724:-1 gene:ENSMUSG00000068457.14 transcript:ENSMUST00000139365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uty description:ubiquitously transcribed tetratricopeptide repeat gene, Y chromosome [Source:MGI Symbol;Acc:MGI:894810] MKSYGLSLTTAALGNEEKKMAAEKARGEGEEGSFSLTVEEKKALCGLDSFFGFLTRCKDG AKMKTLLNKAIHFYESLIVKAEGKVESDFFCQLGHFNLLLEDYSKALSSYQRYYSLQTDY WKNAAFLYGLGLVYFYYNAFQWAIRAFQEVLYVDPNFCRAKEIHLRLGFMFKMNTDYESS LKHFQLALIDCNVCTLSSVEIQFHIAHLYETQRKYHSAKAAYEQLLQIESLPSQVKATVL QQLGWMHHNMDLIGDNTTKERYAIQYLQKSLEEDPNSGQSWYFLGRCYSCIGKVQDAFVS YRQSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDLGILYESCN QPQDAIKCYLNAARSKSCNNTSALTSRIKFLQAQLCNLPQSSLQNKTKLLPSIEEAWSLP IPAELTSRQGAMNTAQQSVSDTWNSVQTASHHSVQQKVYTQCFTAQKLQSFGKDQQPPFQ TGSTRYLQAASTNDQNQNGNHTLPQNSKGDAQNHFLRIPTSEEQKIINFTKESKDSRSKS LTSKTSRKDRDTSNICVNAKKHSNHIYQISSVPISSLNNKESVSPDLIIVDNPQLSVLVG ETIDNVDHDIGTCDKVNNVHLAIHKKPDNLSASSPSSAISTETLSLKLTEQTHIVTSFIS PHSGLHTINGEGHENLESSASVNVGLRPRSQIIPSMSVSIYSSSTEVLKACRSLGKNGLS NGHILLDICPPPRPPTSPYPPLPKEKLNPPTPSIYLENKRDAFFPPLHQFCINPKNPVTV IRGLAGALKLDLGLFSTKTLVEANNEHIVEVRTQLLQPADENWDPSGTKKIWRYENKSSH TTIAKYAQYQACSFQESLREENERRTQVKDYSDNESTCSDNSGRRQKAPFKTIKCGINID LSDNKKWKLQLHELTKLPAFVRVVSAGNLLSHVGYTILGMNSVQLCMKVPGSRIPGHQEN NNFCSVNINIGPGDCEWFVVPEDYWGVLNDFCEKNNLNFLMSSWWPNLEDLYEANVPVYR FIQRPGDLVWINAGTVHWVQAIGWCNNITWNVGPLTAFQYKLAVERYEWNKLQSVKSVVP MVHLSWNMARNIKVSDPKLFEMIKYCLLKILKHCQTLREALVAAGKEVLWHGRINDEPAP YCSICEVEVFNLLFVTNESNSQKTYIVHCQNCARKTSGNLENFVVLEQYKMEDLIQVYDQ FTLAPSLSSAS >ENSMUSP00000114910.1 pep:known chromosome:GRCm38:Y:1098020:1245759:-1 gene:ENSMUSG00000068457.14 transcript:ENSMUST00000154004.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uty description:ubiquitously transcribed tetratricopeptide repeat gene, Y chromosome [Source:MGI Symbol;Acc:MGI:894810] MKTLLNKAIHFYESLIVKAEGKVESDFFCQLGHFNLLLEDYSKALSSYQRYYSLQTDYWK NAAFLYGLGLVYFYYNAFQWAIRAFQEVLYVDPNFCRAKEIHLRLGFMFKMNTDYESSLK HFQLALIDCNVCTLSSVEIQFHIAHLYETQRKYHSAKAAYEQLLQIESLPSQVKATVLQQ LGWMHHNMDLIGDNTTKERYAIQYLQKSLEEDPNSGQSWYFLGRCYSCIGKVQDAFVSYR QSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDLGILYESCNQP QDAIKCYLNAARSKSCNNTSALTSRIKFLQAQLCNLPQSSLQNKTKLLPSIEEAWSLPIP AELTSRQGAMNTAQQSVSDTWNSVQTASHHSVQQKVYTQCFTAQKLQSFGKDQQPPFQTG STRYLQAASTNDQNQNGNHTLPQNSKGDAQNHFLRIPTSEEQKIINFTKESKDSRSKSLT SKTSRKDRDTSNICVNAKKHSNHIYQISSVPISSLNNKESVSPDLIIVDNPQLSVLVGET IDNVDHDIGTCDKVNNVHLAIHKKPDNLSASSPSSAISTETLSLKLTEQTHIVTSFISPH SGLHTINGEGHENLESSASVNVGLRPRSQIIPSMSVSIYSSSTEVLKACRSLGKNGLSNG HILLDICPPPRPPTSPYPPLPKEKLNPPTPSIYLENKRDAFFPPLHQFCINPKNPVTVIR GLAGALKLDLGLFSTKTLVEANNEHIVEVRTQLLQPADENWDPSGTKKIWRYENKSSHTT IAKYAQYQACSFQESLREENERRTQVKDYSDNESTCSDNSGRRQKAPFKTIKCGINIDLS DNKKWKLQLHELTKLPAFVRVVSAGNLLSHVGYTILGMNSVQLCMKVPGSRIPGHQENNN FCSVNINIGPGDCEWFVVPEDYWGVLNDFCEKNNLNFLMSSWWPNLEDLYEANVPVYRFI QRPGDLVWINAGTVHWVQAIGWCNNITWNVGPLTAFQYKLAVERYEWNKLQSVKSVVPMV HLSWNMARNIKVSDPKLFEMIKYCLLKILKHCQTLREALVAAGKEVLWHGRINDEPAPYC SICEVEVFNLLFVTNESNSQKTYIVHCQNCARKTSGNLENFVVLEQYKMEDLIQVYDQFT LAPSLSSAS >ENSMUSP00000120069.1 pep:known chromosome:GRCm38:Y:1151949:1245756:-1 gene:ENSMUSG00000068457.14 transcript:ENSMUST00000143958.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uty description:ubiquitously transcribed tetratricopeptide repeat gene, Y chromosome [Source:MGI Symbol;Acc:MGI:894810] MSSSCVHHLRGNEEKKMAAEKARGEGEEGSFSLTVEEKKALCGLDSSFFGFLTRCKDGAK MKTLLNKYMCMSLCGCVQ >ENSMUSP00000119406.1 pep:known chromosome:GRCm38:Y:1157961:1245702:-1 gene:ENSMUSG00000068457.14 transcript:ENSMUST00000137048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uty description:ubiquitously transcribed tetratricopeptide repeat gene, Y chromosome [Source:MGI Symbol;Acc:MGI:894810] MSSSCVHHLRGNEEKKMAAEKARGEGEEGSFSLTVEEKKALCGLDSSFFGFLTRCKDGAK MKTLLNKAIHFYESLIVKAEGKVESDFFCQLGHFNLLLEDYSKALSSYQRYYSLQTDYWK NAAFLYGLGLVYFYYNAFQWAIRAFQEVLYVDPNFCRAKEIHLRLGFMFKMNTDYESSLK HFQLALIDCNVCTLSSVEIQFHIAHLYETQRKYHSAKAAYEQLLQIESLPSQVKATVLQQ LGWMHHNMDLIGDNTTKERYAIQYLQKSLEEDPNSGQSWYFLGRCYSCIGKVQDAFVSYR QSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDLGILYESCNQP QDAIKCYLNAARSKSCNNTSALTSRIKFLQSVSDTWNSVQTASHHSVQQKVYTQCFTAQK LQSFGKDQQPPFQTGSTRYLQAASTNDQNQNGNHTLPQNSKGDAQNHFLRIPTSEEQKII NFTKESKDSRSKSLTSKTSRKDRDTSNICVNAKKHSNHIYQISSVPISSLNNKESVSPDL IIVDNPQLSVLVGETIDNVDHDIGTCDKVNNVHLAIHKKPDNLSASSPSSAISTETLSLK LTEQTHIVTSFISPHSGLHTINGEGHENLESSASVNVGLRPRSQIIP >ENSMUSP00000116372.1 pep:known chromosome:GRCm38:Y:1186777:1245467:-1 gene:ENSMUSG00000068457.14 transcript:ENSMUST00000150715.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uty description:ubiquitously transcribed tetratricopeptide repeat gene, Y chromosome [Source:MGI Symbol;Acc:MGI:894810] XEEGSFSLTVEEKKALCGLDSSFFGFLTRCKDGAKMKTLLNKAIHFYESLIVKAEGKVES DFFCQLGHFNLLLEDYSKECCLFIWSWFGLFLLQCISVGN >ENSMUSP00000137345.1 pep:known chromosome:GRCm38:19:4784293:4793901:-1 gene:ENSMUSG00000094936.7 transcript:ENSMUST00000180248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm4 description:RNA binding motif protein 4 [Source:MGI Symbol;Acc:MGI:1100865] MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKL HGVNINVEASKNKSKASTKLHVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMER AEDAVEAIRGLDNTEFQGKRMHVQLSTSRLRTAPGMGDQSGCYRCGKEGHWSKECPIDRS GRVADLTEQYNEQYGAVRTPYTMSYGDSLYYNNTYGALDAYYKRCRAARSYEAVAAAAAS AYSNYAEQTLSQLPQVQNTAMASHLTSTSLDPYNRHLLPPSGAAAAAAAAAACTAASTSY YGRDRSPLRRATGPVLTVGEGYGYGHDSELSQASAAARNSLYDMARYEREQYADRARYSA F >ENSMUSP00000136254.1 pep:known chromosome:GRCm38:19:4785153:4793851:-1 gene:ENSMUSG00000094936.7 transcript:ENSMUST00000178615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm4 description:RNA binding motif protein 4 [Source:MGI Symbol;Acc:MGI:1100865] MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKL HGVNINVEASKNKSKASTKLHVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMER AEDAVEAIRGLDNTEFQGGMCVG >ENSMUSP00000129745.2 pep:known chromosome:GRCm38:19:4785275:4793900:-1 gene:ENSMUSG00000094936.7 transcript:ENSMUST00000164209.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm4 description:RNA binding motif protein 4 [Source:MGI Symbol;Acc:MGI:1100865] MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKL HGVNINVEASKNKSKASTKLHVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMER AEDAVEAIRGLDNTEFQGGMCVG >ENSMUSP00000137174.1 pep:known chromosome:GRCm38:19:4787773:4793860:-1 gene:ENSMUSG00000094936.7 transcript:ENSMUST00000179189.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm4 description:RNA binding motif protein 4 [Source:MGI Symbol;Acc:MGI:1100865] MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKL HGVNINVEASKNKSKASTKLHVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMER AEDAVEAIRGLDNTEFQGKRMHVQLSTSRLRTAPGMGDQSGCYRCGKEGHWSKECPIDRS GRVADLTEQYNEQYGAVRTPYTMSYGDSLYYNNTYGALDAYYKRCRA >ENSMUSP00000127564.2 pep:known chromosome:GRCm38:19:4787937:4793880:-1 gene:ENSMUSG00000094936.7 transcript:ENSMUST00000164376.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm4 description:RNA binding motif protein 4 [Source:MGI Symbol;Acc:MGI:1100865] MVKLFIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKL HGVNINVEASKNKSKASTKLHVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMER AEDAVEAIRGLDNTEFQGKRMHVQLSTSRLRTAPGMGDQSGCYRCGKEGHWS >ENSMUSP00000031020.2 pep:known chromosome:GRCm38:5:31485859:31488476:1 gene:ENSMUSG00000029138.4 transcript:ENSMUST00000031020.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930548H24Rik description:RIKEN cDNA 4930548H24 gene [Source:MGI Symbol;Acc:MGI:1914906] MGRLDNGETEKESVVAEWDVGGGARPCSSEVREEMDSQMQCDCISQDRSPRSGGKFSRPA RTKLVAKTKKLYVNPSCAKSHPVCPKVKTPLENCAPQRQTCGTSSLAKILSEPQTHLNAC LSSGTRFAQSSKGSPQPSTYLTILNELFKSERLTELEKEVKSKTMEALESLSRKIEEARL QQEHLLQDSRLLQRDTLCVDAEKNCFLRVLRKQSEQCKKKHGQLWNQYVQDLGEIIRRKQ ELTLRFAKQTEELQTQLFQGKIKQSQLEQQFQSMEHISSIQKSQEMKIQMLEKELEDVKA ETARKDHQAHLQFLQRKTHLMRQIQELRSLQAGDHNTPEVRQKAQLFKSTAKKVNSEYCR SVCRENQELQEDLLKLIQEYYKLESIKRKLEMCKERLKEEQCYQEALVRGRGQLKAKREK SHTCDPCPPNQGVLRPH >ENSMUSP00000035034.8 pep:known chromosome:GRCm38:9:98588730:98601660:-1 gene:ENSMUSG00000032459.9 transcript:ENSMUST00000035034.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps22 description:mitochondrial ribosomal protein S22 [Source:MGI Symbol;Acc:MGI:1928137] MAAVRTPLSLWRFQLGSRRARRVCTRATAQRHPDALLATRPQPFEVGQPRRLLSSEAESG SSEVKKPAFMDEEVQRILTKITGLDLQKTFRPAIQPLKPPTYKLMTQAQLEEATRLAVEA AKVRLKMPPVLEERKPINDVLAEDKILEGTETNKYVFTDISYNIPHRERFIVVREPSGTL RKASWEERDRVIQIYFPKEGRRVLPPVIFKDENLKTMYSQDRHADVLNLCVAQFEPDSAE YIKVHHQTYEDIDRHGKYELLRSTRHFGGMAWYFVNKKKIDGLLIDQIQRDLVDDATSLV QLYHMLHPDGQSAQEAKEQAAEGVDLIKVFAKTEAQRGAYIELALQTYQEIVTSHSAAS >ENSMUSP00000114051.1 pep:known chromosome:GRCm38:7:83774101:83794819:-1 gene:ENSMUSG00000011154.17 transcript:ENSMUST00000119134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap161 description:cilia and flagella associated protein 161 [Source:MGI Symbol;Acc:MGI:1922806] MAQNVYGPGVRMGNWNEDVYLEEERMRHFLEKREKGELLIQRNRRVKKNILRPMQLSVSE DGYVHYGDKVIIVNPDQVLGEEAGKFMRGDLSLCMSPDEVKAQLSDDLEIPCGVSAVQTI APMGRNTFTILSDGANSCEMGQVVVYGQNFCLGIAAGLEGKMLYLTSDHRTLLKSSLKSG LQEVTLTDEVTHLNCWQAAFLDPQLRLEYEGFPVRANEKIVIYHRHTNRALAVHRNLFLR YTGRGRRVPYWLRDIHN >ENSMUSP00000011298.7 pep:known chromosome:GRCm38:7:83775617:83794880:-1 gene:ENSMUSG00000011154.17 transcript:ENSMUST00000011298.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap161 description:cilia and flagella associated protein 161 [Source:MGI Symbol;Acc:MGI:1922806] MAQNVYGPGVRMGNWNEDVYLEEERMRHFLEKREKGELLIQRNRRVKKNILRPMQLSVSE DGYVHYGDKVIIVNPDQVLGEEAGKFMRGDLSLCMSPDEVKAQLSDDLEIPCGVSAVQTI APMGRNTFTILSDGANSCEMGQVVVYGQNFCLGIAAGLEGKMLYLTSDHRTLLKSSLKSG LQEVTLTDEVTHLNCWQAAFLDPQLRLEYEGFPVRANEKIVIYHRHTNRALAVHRNLFLR TYFGKEMEVVAHTYLDSHKVEKPKNQWMLVTGNPRNKSNTMLDISKPITEDTRALEQAMG INT >ENSMUSP00000115281.1 pep:known chromosome:GRCm38:7:83780260:83794171:-1 gene:ENSMUSG00000011154.17 transcript:ENSMUST00000149671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap161 description:cilia and flagella associated protein 161 [Source:MGI Symbol;Acc:MGI:1922806] MRHFLEKREKGELLIQRNRRVKKNILRPMQLSVSEDGYVHYGDKVIIVNPDQVLGEEAGK FMRGDLSLCMSPDEVKAQLSDDLEIPCGVSAVQTIAPMGRNTFTILSDGANSCEMGQVVV YGQNFCLGIAAGLEGKMLYLTSDHRTLLKSSLKSGLQE >ENSMUSP00000086542.6 pep:known chromosome:GRCm38:2:151494182:151511310:1 gene:ENSMUSG00000027455.16 transcript:ENSMUST00000089140.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsfl1c description:NSFL1 (p97) cofactor (p47) [Source:MGI Symbol;Acc:MGI:3042273] MAEERQDALREFVAVTGTEEDRARFFLESAGWDLQIALASFYEDGGDEDIVTISQATPSS VSRGTAPSDNRVTSFRDLIHDQDEEEEEEEGQRSRFYAGGSERSGQQIVGPPRKKSPNEL VDDLFKGAKEHGAVAVERVTKSPGETSKPRPFAGGGYRLGAAPEEESAYVAGERRRHSGQ DVHVVLKLWKTGFSLDNGDLRSYQDPSNAQFLESIRRGEVPAELRRLAHGGQVNLDMEDH RDEDFVKPKGAFKAFTGEGQKLGSTAPQVLNTSSPAQQAENEAKASSSILINEAEPTTNI QIRLADGGRLVQKFNHSHRISDIRLFIVDARPAMAATSFVLMTTFPNKELADENQTLKEA NLLNAVIVQRLT >ENSMUSP00000028949.9 pep:known chromosome:GRCm38:2:151494293:151511305:1 gene:ENSMUSG00000027455.16 transcript:ENSMUST00000028949.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsfl1c description:NSFL1 (p97) cofactor (p47) [Source:MGI Symbol;Acc:MGI:3042273] MAEERQDALREFVAVTGTEEDRARFFLESAGWDLQIALASFYEDGGDEDIVTISQATPSS VSRGTAPSDNRVTSFRDLIHDQDEEEEEEEGQRFYAGGSERSGQQIVGPPRKKSPNELVD DLFKGAKEHGAVAVERVTKSPGETSKPRPFAGGGYRLGAAPEEESAYVAGERRRHSGQDV HVVLKLWKTGFSLDNGDLRSYQDPSNAQFLESIRRGEVPAELRRLAHGGQVNLDMEDHRD EDFVKPKGAFKAFTGEGQKLGSTAPQVLNTSSPAQQAENEAKASSSILINEAEPTTNIQI RLADGGRLVQKFNHSHRISDIRLFIVDARPAMAATSFVLMTTFPNKELADENQTLKEANL LNAVIVQRLT >ENSMUSP00000099449.4 pep:known chromosome:GRCm38:2:151494304:151511414:1 gene:ENSMUSG00000027455.16 transcript:ENSMUST00000103160.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsfl1c description:NSFL1 (p97) cofactor (p47) [Source:MGI Symbol;Acc:MGI:3042273] MAEERQDALREFVAVTGTEEDRARFFLESAGWDLQIALASFYEDGGDEDIVTISQATPSS VSRGTAPSDNRVTSFRDLIHDQDEEEEEEEGQRFYAGGSERSGQQIVGPPRKKSPNELVD DLFKGAKEHGAVAVERVTKSPGETSKPRVHVVLKLWKTGFSLDNGDLRSYQDPSNAQFLE SIRRGEVPAELRRLAHGGQVNLDMEDHRDEDFVKPKGAFKAFTGEGQKLGSTAPQVLNTS SPAQQAENEAKASSSILINEAEPTTNIQIRLADGGRLVQKFNHSHRISDIRLFIVDARPA MAATSFVLMTTFPNKELADENQTLKEANLLNAVIVQRLT >ENSMUSP00000076217.6 pep:known chromosome:GRCm38:5:31494741:31512904:1 gene:ENSMUSG00000064037.13 transcript:ENSMUST00000076949.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpn1 description:GPN-loop GTPase 1 [Source:MGI Symbol;Acc:MGI:1921504] MAAPVAPSEPQASRAPQPPVCLLVLGMAGSGKTTFVQRLTGHLHNKGCPPYVINLDPAVH EVPFPANIDIRDTVKYKEVMKQYGLGPNGGIVTSLNLFATRFDQVMKFIEKAQNTFRYVL IDTPGQIEVFTWSASGTIITEALASSFPTVVIYVMDTSRSTNPVTFMSNMLYACSILYKT KLPFIVVMNKTDIIDHSFAVEWMQDFEAFQDALNQETTYVSNLTRSMSLVLDEFYSSLRV VGVSAVVGTGFDELCTQVTSAAEEYEREYRPEYERLKKSLANAQSNQQKEQLERLRKDMG SVALDPEAGKGNASPVLDPSDLILTRGTLDEEDEEADSDTDDIDHRVTEESREEPAFQNF MEESMAHWKRNK >ENSMUSP00000144105.1 pep:known chromosome:GRCm38:5:31494753:31497716:1 gene:ENSMUSG00000064037.13 transcript:ENSMUST00000202394.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpn1 description:GPN-loop GTPase 1 [Source:MGI Symbol;Acc:MGI:1921504] MAAPVAPSEPQASRAPQPPVCLLVLGMAGSGKTTFVQRLTGHLHNKGCPPYVINLDPAVH EVPFPANIDIRDTVKYKEVMKQYPFPHLLRD >ENSMUSP00000144015.1 pep:known chromosome:GRCm38:5:31494767:31499282:1 gene:ENSMUSG00000064037.13 transcript:ENSMUST00000201053.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpn1 description:GPN-loop GTPase 1 [Source:MGI Symbol;Acc:MGI:1921504] MAAPVAPSEPQASRAPQPPVCLLVLGMAGSGKTTFVQRLTGHLHNKGCPPYVINLDPAVH EVPFPANIEARRGHQDPWNWIYREL >ENSMUSP00000027521.8 pep:known chromosome:GRCm38:1:89454806:89897617:1 gene:ENSMUSG00000055013.14 transcript:ENSMUST00000027521.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agap1 description:ArfGAP with GTPase domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:2653690] MNYQQQLANSAAIRAEIQRFESVHPNIYSIYELLERVEEPVLQNQIREHVIAIEDAFVNS QEWTLSRSVPELKVGIVGNLASGKSALVHRYLTGTYVQEESPEGGRFKKEIVVDGQSYLL LIRDEGGPPEAQFAMWVDAVIFVFSLEDEISFQTVYHYYSRMANYRNTSEIPLVLVGTQD AISSTNPRVIDDVRARKLSNDLKRCTYYETCATYGLNVERVFQDVAQKIVATRKKQQLSI GPCKSLPNSPSHSSVCSAQVSAVHISQTSNGGGSLSDYSSSVPSTPSTSQKELRIDVPPT ANTPTPVRKQSKRRSNLFTSRKGSDPDKEKKGLESRADSIGSGRAIPIKQGMLLKRSGKS LNKEWKKKYVTLCDNGVLTYHPSLHDYMQNVHGKEIDLLRTTVKVPGKRPPRATSACAPI SSPKTNGLAKDMSSLHISPNSGNVTSASGSQMASGISLVSFNSRPDGMHQRSYSVSSADQ WSDATVIANSAISSDTGLGDSVCSSPSISSSTSPKLDPPPSPHANRKKHRRKKSTSNFKA DGLSGTAEEQEENLEFIIVSLTGQTWHFEATTYEERDAWVQAIESQILASLQSCESSKNK SRLTSQSEAMALQSIRNMRGNSHCVDCDTQNPNWASLNLGALMCIECSGIHRNLGTHLSR VRSLDLDDWPMELIKVMSSIGNELANSVWEEGSQGRTKPSLDSTREEKERWIRAKYEQKL FLAPLPCTEFSLGQQLLRATAEEDLRTVILLLAHGSRDEVNETCGEGDGRTALHLACRKG NVVLAQLLIWYGVDVMARDAHGNTALAYARQASSQECIDVLLQYGCPDERFVLMATPNLS RKSNSRNNSSGRAPSVI >ENSMUSP00000140599.1 pep:known chromosome:GRCm38:1:89454874:89890001:1 gene:ENSMUSG00000055013.14 transcript:ENSMUST00000190096.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agap1 description:ArfGAP with GTPase domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:2653690] MNYQQQLANSAAIRAEIQRFESVHPNIYSIYELLERVEEPVLQNQIREHVIAIEDAFVNS QEWTLSRSVPELKVGIVGNLASGKSALVHRYLTGTYVQEESPEGGRFKKEIVVDGQSYLL LIRDEGGPPEAQFAMWVDAVIFVFSLEDEISFQTVYHYYSRMANYRNTSEIPLVLVGTQD AISSTNPRVIDDVRARKLSNDLKRCTYYETCATYGLNVERVFQDVAQKIVATRKKQQLSI GPCKSLPNSPSHSSVCSAQVSAVHISQTSNGGGSLSDYSSSVPSTPSTSQKELRIDVPPT ANTPTPVRKQSKRRSNLFTSRKGSDPDKEKKGLESRADSIGSGRAIPIKQGMLLKRSGKS LNKEWKKKYVTLCDNGVLTYHPSLHDYMQNVHGKEIDLLRTTVKVPGKRPPRATSACAPI SSPKTNGLAKDMSSLHISPNSDTGLGDSVCSSPSISSSTSPKLDPPPSPHANRKKHRRKK STSNFKADGLSGTAEEQEENLEFIIVSLTGQTWHFEATTYEERDAWVQAIESQILASLQS CESSKNKSRLTSQSEAMALQSIRNMRGNSHCVDCDTQNPNWASLNLGALMCIECSGIHRN LGTHLSRVRSLDLDDWPMELIKVMSSIGNELANSVWEEGSQGRTKPSLDSTREEKERWIR AKYEQKLFLAPLPCTEFSLGQQLLRATAEEDLRTVILLLAHGSRDEVNETCGEGDGRTAL HLACRKGNVVLAQLLIWYGVDVMARDAHGNTALAYARQASSQECIDVLLQYGCPDERFVL MATPNLSRKSNSRNNSSGRAPSVI >ENSMUSP00000074478.6 pep:known chromosome:GRCm38:1:89580236:89895277:1 gene:ENSMUSG00000055013.14 transcript:ENSMUST00000074945.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agap1 description:ArfGAP with GTPase domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:2653690] MWVDAVIFVFSLEDEISFQTVYHYYSRMANYRNTSEIPLVLVGTQDAISSTNPRVIDDVR ARKLSNDLKRCTYYETCATYGLNVERVFQDVAQKIVATRKKQQLSIGPCKSLPNSPSHSS VCSAQVSAVHISQTSNGGGSLSDYSSSVPSTPSTSQKELRIDVPPTANTPTPVRKQSKRR SNLFTSRKGSDPDKEKKGLESRADSIGSGRAIPIKQGMLLKRSGKSLNKEWKKKYVTLCD NGVLTYHPSLHDYMQNVHGKEIDLLRTTVKVPGKRPPRATSACAPISSPKTNGLAKDMSS LHISPNSDTGLGDSVCSSPSISSSTSPKLDPPPSPHANRKKHRRKKSTSNFKADGLSGTA EEQEENLEFIIVSLTGQTWHFEATTYEERDAWVQAIESQILASLQSCESSKNKSRLTSQS EAMALQSIRNMRGNSHCVDCDTQNPNWASLNLGALMCIECSGIHRNLGTHLSRVRSLDLD DWPMELIKVMSSIGNELANSVWEEGSQGRTKPSLDSTREEKERWIRAKYEQKLFLAPLPC TEFSLGQQLLRATAEEDLRTVILLLAHGSRDEVNETCGEGDGRTALHLACRKGNVVLAQL LIWYGVDVMARDAHGNTALAYARQASSQECIDVLLQYGCPDERFVLMATPNLSRKSNSRN NSSGRAPSVI >ENSMUSP00000021347.5 pep:known chromosome:GRCm38:12:91806043:91849157:-1 gene:ENSMUSG00000020964.14 transcript:ENSMUST00000021347.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sel1l description:sel-1 suppressor of lin-12-like (C. elegans) [Source:MGI Symbol;Acc:MGI:1329016] MQVRVRLSLLLLCAVLLGSAAATSDDKTNQDDSLDSKSSLPTDESVKDHTTTGKVVAGQI FVDSEEAEVESLLQDEEDSSKTQEEEISFLESPNPSSKTYEELKRVRKPVLTAIEGTAHG EPCHFPFLFLDKEYDECTSDGREDGRLWCATTYDYKTDEKWGFCETEEDAAKRRQMQEAE MIYQAGMKILNGSNRKSQKREAYRYLQKAAGMNHTKALERVSYALLFGDYLTQNIQAAKE MFEKLTEEGSPKGQTGLGFLYASGLGVNSSQAKALVYYTFGALGGNLIAHMILGYRYWAG IGVLQSCESALTHYRLVANHVASDISLTGGSVVQRIRLPDEVENPGMNSGMLEEDLIQYY QFLAEKGDVQAQVGLGQLHLHGGRGVEQNHQRAFDYFNLAANAGNSHAMAFLGKMYSEGS DIVPQSNETALHYFKKAADMGNPVGQSGLGMAYLYGRGVQVNYDLALKYFQKAAEQGWVD GQLQLGSMYYNGIGVKRDYKQALKYFNLASQGGHILAFYNLAQMHASGTGVMRSCHTAVE LFKNVCERGRWSERLMTAYNSYKDEDYNAAVVQYLLLAEQGYEVAQSNAAFILDQREATI VGENETYPRALLHWNRAASQGYTVARIKLGDYHFYGFGTDVDYETAFIHYRLASEQQHSA QAMFNLGYMHEKGLGIKQDIHLAKRFYDMAAEASPDAQVPVFLALCKLGVVYFLQYIREA NIRDLFTQLDMDQLLGPEWDLYLMTIIALLLGTVIAYRQRQHQDIPVPRPPGPRPAPPQQ EGPPEQQPPQ >ENSMUSP00000129384.1 pep:known chromosome:GRCm38:12:91806621:91849129:-1 gene:ENSMUSG00000020964.14 transcript:ENSMUST00000167466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sel1l description:sel-1 suppressor of lin-12-like (C. elegans) [Source:MGI Symbol;Acc:MGI:1329016] MQVRVRLSLLLLCAVLLGSAAATSDDKTNQDDSLDSKSSLPTDESVKDHTTTGKVVAGQI FVDSEEAEVESLLQDEEDSSKTQEEEISFLESPNPSSKTYEELKRVRKPVLTAIEAEEDA AKRRQMQEAEMIYQAGMKILNGSNRKSQKREAYRYLQKAAGMNHTKALERVSYALLFGDY LTQNIQAAKEMFEKLTEEGSPKGQTGLGFLYASGLGVNSSQAKALVYYTFGALGGNLIAH MILGYRYWAGIGVLQSCESALTHYRLVANHVASDISLTGGSVVQRIRLPDEVENPGMNSG MLEEDLIQYYQFLAEKGDVQAQVGLGQLHLHGGRGVEQNHQRAFDYFNLAANAGNSHAMA FLGKMYSEGSDIVPQSNETALHYFKKAADMGNPVGQSGLGMAYLYGRGVQVNYDLALKYF QKAAEQGWVDGQLQLGSMYYNGIGVKRDYKQALKYFNLASQGGHILAFYNLAQMHASGTG VMRSCHTAVELFKNVCERGRWSERLMTAYNSYKDEDYNAAVVQYLLLAEQGYEVAQSNAA FILDQREATIVGENETYPRALLHWNRAASQGYTVARIKLGDYHFYGFGTDVDYETAFIHY RLASEQQHSAQAMFNLGYMHEKGLGIKQDIHLAKRFYDMAAEASPDAQVPVFLALCKLGV VYFLQYIREANIRDLFTQLDMDQLLGPEWDLYLMTIIALLLGTVIAYRQRQHQDIPVPRP PGPRPAPPQQEGPPEQQPPQ >ENSMUSP00000136087.1 pep:known chromosome:GRCm38:12:91806043:91849157:-1 gene:ENSMUSG00000020964.14 transcript:ENSMUST00000178462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sel1l description:sel-1 suppressor of lin-12-like (C. elegans) [Source:MGI Symbol;Acc:MGI:1329016] MQVRVRLSLLLLCAVLLGSAAATSDDKTNQDDSLDSKSSLPTDESVKDHTTTGKVVAGQI FVDSEEAEVESLLQDEEDSSKTQEEEISFLESPNPSSKTYEELKRVRKPVLGVVKAEEDA AKRRQMQEAEMIYQAGMKILNGSNRKSQKREAYRYLQKAAGMNHTKALERVSYALLFGDY LTQNIQAAKEMFEKLTEEGSPKGQTGLGFLYASGLGVNSSQAKALVYYTFGALGGNLIAH MILGYRYWAGIGVLQSCESALTHYRLVANHVASDISLTGGSVVQRIRLPDEVENPGMNSG MLEEDLIQYYQFLAEKGDVQAQVGLGQLHLHGGRGVEQNHQRAFDYFNLAANAGNSHAMA FLGKMYSEGSDIVPQSNETALHYFKKAADMGNPVGQSGLGMAYLYGRGVQVNYDLALKYF QKAAEQGWVDGQLQLGSMYYNGIGVKRDYKQALKYFNLASQGGHILAFYNLAQMHASGTG VMRSCHTAVELFKNVCERGRWSERLMTAYNSYKDEDYNAAVVQYLLLAEQGYEVAQSNAA FILDQREATIVGENETYPRALLHWNRAASQGYTVARIKLGDYHFYGFGTDVDYETAFIHY RLASEQQHSAQAMFNLGYMHEKGLGIKQDIHLAKRFYDMAAEASPDAQVPVFLALCKLGV VYFLQYIREANIRDLFTQLDMDQLLGPEWDLYLMTIIALLLGTVIAYRQRQHQDIPVPRP PGPRPAPPQQEGPPEQQPPQ >ENSMUSP00000061529.5 pep:known chromosome:GRCm38:7:131174402:131250945:1 gene:ENSMUSG00000006204.5 transcript:ENSMUST00000050586.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5430419D17Rik description:RIKEN cDNA 5430419D17 gene [Source:MGI Symbol;Acc:MGI:1918645] MGCHTRLLWLLFFHAAILPEAACYRRDFRGSGARLGKERPKRSSIQGVSEEQQEGHGEEP AARPQGDGNPATILSVLPAAISTQPSSKLAPSSSVSVLPVADSAPGSRRAHAPRINLKGA DMLPDLTPVIDPESYKPSGGWAPVRLAGNHGSCAGRVELFYQGVWGTVCDDLWDLPQANI ICRQLGCGWAVSALSEAYFGQGSGKILLDNVHCKGHEEHLEECSHLGWFSHNCDHSEDAS VICSDAEYGTVTLSDPPLAAMEGATNPEKSRCGGVITSAPGKIKNPPMNEMHDNITCVWE IRASTSEHIRLAFPSLDLDCTNEYFEILDGPPSSTKSLGKPCRGLHITFASHSSSMTLVY FRGENNIGKNFMAYYYFEAKEMTTKTPYLITIPTATSKMVTERPQFSNTPSGNISPLPVS DSGDWPELRLVGGSSRCSGRVEILYQGVWGTICDDLWGSNEAEVVCRQLECGQAVSSLGE AYFGPGSGEIFLDNLQCSGMEHYLGQCPHSGWSEHNCGHHEDAGVICSDSDAPPPPMPPG PPPTPQDPLTGGSNSCGGVISSLSGSFTSPRYPENYPTDIQCVWEIHVEKNFRIELMIPN LNLEDILGCPYDSIEIFDGPRIASLSMGKFCAPSAVVFFSSSDILTVVFRSDYMTTNTGF YAFFNAIPQDGRESEERPVLRLAGSSGQCSGRVEILHQGAWGTVCDDLWDLNEAEVVCRQ LGCGHAIAAPGSAYFGPGSGNILLDNIQCSGTENHFGQCSSSAWLDHNCGHHEDAGVICS DADVTPSPTEGSHSCGGVISNLSGSFSSPWYPTNYPTDTECIWEIHVAEKFNIELTIPSL K >ENSMUSP00000147027.1 pep:known chromosome:GRCm38:7:131210253:131235009:1 gene:ENSMUSG00000006204.5 transcript:ENSMUST00000128522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5430419D17Rik description:RIKEN cDNA 5430419D17 gene [Source:MGI Symbol;Acc:MGI:1918645] XPVIDPESYKPSGGWAPVRLAGNHGSCAGRVELFYQGVWGTVCDDLWDLPQANIICRQLG CGWAVSALSEAYFGQGSGKILLDNVHCKGHEEHLEECSHLGWFSHNCDHSEDASVICSDA EYGTVTLSDPPLAAMEGATNPEKSRCGGVITSAPGKIKNPPMNEMHDNITCVWEIRASTS EHIRLAFPSLDLDCTNEYFEILDGPPSSTKSLGKPCRGLHITFASHSSSMTLVYFRGENN IGKNFMAYYYFEAKEMTTKTPYLITIPTATSKMVTERPRKSQEAPI >ENSMUSP00000128287.1 pep:known chromosome:GRCm38:X:143099594:143104297:1 gene:ENSMUSG00000085584.3 transcript:ENSMUST00000165829.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgag1 description:retrotransposon gag domain containing 1 [Source:MGI Symbol;Acc:MGI:2685231] MADMSIPLHSLRFNNMMKEENGDPQNRGATFSRPMTETRAEVQILHSQLQLPVVSTSASD LEGTSTQLMTSPGFDSLSTPLMGAPHSGTLSPPLMSASDSGTLSPLLMPASDSGTLSPLL MPVSDSGTLSPLLMPASDSGTLSPLLSTSDYGLMSPGMMSIPDFGTMSSLMAAPDSAEIS PLAMPIQSSGVISAPIMSTSSSEASLMLGSDPGEISPLLIPDMNPGVTSTPPMTAPGSEA MSPLQITDEDTEAMSKVLMTALASGEISSLLMSGTDSEAISSLIMSALASGETPAQPTNP PESEGIPTVLMSGSDSAVMSSLPMPVSGSGAMPTPLLSIPDAGEIATLPKPVPDVEAMSP LLMTALTSTVMPSQLISASSSGVMSPDTTQNINSEVMSAPPIRVSSTGLMSTLPVRASDT AATPIQLMRVPASGNMSTSQKTVPVSGSMSTPLMAVTSPGAIFTEQMPSTASGTMSTHLT MPQTPGTMPIGFMKSTSNGAVSAQQIRCSVSGMMSTQPVIATASEIMSVSQSTVPTSGSV STQKTRAPVSGPMSTTQIRTTASALTSTPQMRATASGTMSIPLMTAKTSGSASTLLMRDT ASGVISVPQMRAPGSGTVSKPLMTSKASGMFMQQMTTAAFGATPTSLMRDTASGGLSMPQ MTDPASGGMSTLLTRATASGTKSTSQMTATTSGGIFMPQTRLSGPGATSTPLMRATASEK MPSQAMNIQDSGGVSTPLMRPVALGGVQMRSQGSGTMSTPLLRASDSSEMSMLLTKAPSS GERPLLLVRPPASGEIAPHSRTPVYGTISAPHMTTTASGVMTMSPMKTSVPVSESATLLR PTDSGVMSIPLTRTPASRAKSRPQMATACGDMCPLPVRAPATAGISPSPVRSPASSTLST LLRRPSDGAVTAELERVLGPAQFAAMTPGEMSKPLMRASAPGTTTMPLMSPMTSGEMSMP LMKTTPSGTMSTLQTKVMSSRATSLPQPRNAASGVIANPPQRAPASGAGSTPLMRVSGSG MMSTPLLGATASGGMSMPQMAPPTSGDMFSPLMRSPAPGIMSTPQTAFGMTPTLNVKATD SGEASTSHTRFTAPGSKSTPHMTSTAPEMKTPPPKEVPSFGMLTPALCYLLEEQEAARGS SSVEEDAEEIDEEKQMKGFLDDSEKMAFLVSLHLGAAERWSILQMEVGNPISSDNKAFLR RSQGLYDSLSEIDILSAVLCHPKQGKKSVRQYATDFLLLARHLSWSDAILRTRFLEGLSE AVTTKMGRIFLKVAGSLKELIDRSLYTECQLAEEKDSSGNSNQVVPTSCKRNNEEAMENE LGSQQQTEEHQHVPKRCYYLKEHGDPQESLHDHLRQSAGLPKAPTNK >ENSMUSP00000108574.2 pep:known chromosome:GRCm38:9:96823336:96889413:-1 gene:ENSMUSG00000043587.15 transcript:ENSMUST00000112951.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxylp1 description:2-phosphoxylose phosphatase 1 [Source:MGI Symbol;Acc:MGI:2442444] MLHRNRFLVLLALAGLLAFLSLSLQFFHLIPVSATKNGGSSKSRKRIMPDPVTEPPTVDP VYEALLYCNIPSVAEHSMEGHAPHHYKLVSVHVFIRHGDRYPLYAIPKTKRPEIDCTLVA SRKPYHPKLEAFISHMLKGSGASFESPLNSLPLYPNHPLCETGELTQTGVVQHLLNGQLL RDIYLRKHKLLPNNWSSDQLYLESTGKSRTLQSGLALLYGFLPEFDWKKVYFKHQPSALF CSGSCYCPLRNQYLEKEQRRQYLLRLKNSDLERTYGEMAKIVDIPTKQLRAANPIDSMLC HFCHNVSFPCSRSGCLGMEHFKVIKTHQIEDERERHEKLLYFGYSLLGAHPILNQTVNRM QRAASGWRDELFTLYSAHDVTLSPILSALGLLEARFPRFAARLVFELWQDRQKPSEHSVR ILYNGADVTFHTSFCHDFHKRSPKPMCPLENLVRFVKRDMFVALDGSSTNYYDACHGEGA >ENSMUSP00000077571.1 pep:known chromosome:GRCm38:9:96823344:96889429:-1 gene:ENSMUSG00000043587.15 transcript:ENSMUST00000078478.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxylp1 description:2-phosphoxylose phosphatase 1 [Source:MGI Symbol;Acc:MGI:2442444] MLHRNRFLVLLALAGLLAFLSLSLQFFHLIPVSATKNGGSSKSRKRIMPDPVTEPPTVDP VYEALLYCNIPSVAEHSMEGHAPHHYKLVSVHVFIRHGDRYPLYAIPKTKRPEIDCTLVA SRKPYHPKLEAFISHMLKGSGASFESPLNSLPLYPNHPLCETGELTQTGVVQHLLNGQLL RDIYLRKHKLLPNNWSSDQLYLESTGKSRTLQSGLALLYGFLPEFDWKKVYFKHQPSALF CSGSCYCPLRNQYLEKEQRRQYLLRLKNSDLERTYGEMAKIVDIPTKQLRAANPIDSMLC HFCHNVSFPCSRSGCLGMEHFKVIKTHQIEDERERHEKLLYFGYSLLGAHPILNQTVNRM QRAASGWRDELFTLYSAHDVTLSPILSALGLLEARFPRFAARLVFELWQDRQKPSEHSVR ILYNGADVTFHTSFCHDFHKRSPKPMCPLENLVRFVKRDMFVALDGSSTNYYDACHGEGA >ENSMUSP00000113210.1 pep:known chromosome:GRCm38:9:96823344:96889474:-1 gene:ENSMUSG00000043587.15 transcript:ENSMUST00000120101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxylp1 description:2-phosphoxylose phosphatase 1 [Source:MGI Symbol;Acc:MGI:2442444] MLHRNRFLVLLALAGLLAFLSLSLQFFHLIPVSATKNGGSSKSRKRIMPDPVTEPPTVDP VYEALLYCNIPSVAEHSMEGHAPHHYKLVSVHVFIRHGDRYPLYAIPKTKRPEIDCTLVA SRKPYHPKLEAFISHMLKGSGASFESPLNSLPLYPNHPLCETGELTQTGVVQHLLNGQLL RDIYLRKHKLLPNNWSSDQLYLESTGKSRTLQSGLALLYGFLPEFDWKKVYFKHQPSALF CSGSCYCPLRNQYLEKEQRRQYLLRLKNSDLERTYGEMAKIVDIPTKQLRAANPIDSMLC HFCHNVSFPCSRSGCLGMEHFKVIKTHQIEDERERHEKLLYFGYSLLGAHPILNQTVNRM QRAASGWRDELFTLYSAHDVTLSPILSALGLLEARFPRFAARLVFELWQDRQKPSEHSVR ILYNGADVTFHTSFCHDFHKRSPKPMCPLENLVRFVKRDMFVALDGSSTNYYDACHGEGA >ENSMUSP00000113489.1 pep:known chromosome:GRCm38:9:96823586:96889433:-1 gene:ENSMUSG00000043587.15 transcript:ENSMUST00000119141.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxylp1 description:2-phosphoxylose phosphatase 1 [Source:MGI Symbol;Acc:MGI:2442444] MLHRNRFLVLLALAGLLAFLSLSLQFFHLIPVSATKNGGSSKSRKRIMPDPVTEPPTVDP VYEALLYCNIPSVAEHSMEGHAPHHYKLVSVHVFIRHGDRYPLYAIPKTKRPEIDCTLVA SRKPYHPKLEAFISHMLKGSGASFESPLNSLPLYPNHPLCETGELTQTGVVQHLLNGQLL RDIYLRKHKLLPNNWSSDQLYLESTGKSRTLQSGLALLYGFLPEFDWKKVYFKHQPSALF CSGSCYCPLRNQYLEKEQRRQYLLRLKNSDLERTYGEMAKIVDIPTKQLRAANPIDSMLC HFCHNVSFPCSRSGCLGMEHFKVIKTHQIEDERERHEKLLYFGYSLLGAHPILNQTVNRM QRAASGWRDELFTLYSAHDVTLSPILSALGLLEARFPRFAARLVFELWQDRQKPSEHSVR ILYNGADVTFHTSFCHDFHKRSPKPMCPLENLVRFVKRDMFVALDGSSTNYYDACHGEGA >ENSMUSP00000121537.2 pep:known chromosome:GRCm38:9:96825416:96889428:-1 gene:ENSMUSG00000043587.15 transcript:ENSMUST00000126411.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxylp1 description:2-phosphoxylose phosphatase 1 [Source:MGI Symbol;Acc:MGI:2442444] MPDPVTEPPTVDPVYEALLYCNIPSVAEHSMEGHAPHHYKLVSVHVFIRHGDRYPLYAIP KTKRPEIDCTLVASRKPYHPKLEAFISHMLKGSGASFESPLNSLPLYPNHPLCETGELTQ TGVVQHLLNGQLLRDIYLRKHKLLPNNWSSDQLYLESTGKSRTLQSGLALLYGFLPEFDW KKVYFKHQPS >ENSMUSP00000113059.1 pep:known chromosome:GRCm38:9:96825587:96862903:-1 gene:ENSMUSG00000043587.15 transcript:ENSMUST00000121077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxylp1 description:2-phosphoxylose phosphatase 1 [Source:MGI Symbol;Acc:MGI:2442444] MLHRNRFLVLLALAGLLAFLSLSLQFFHLIPVSATKNGGSSKSRKRIMPDPVTEPPTVDP VYEALLYCNIPSVAEHSMEGHAPHHYKLVSVHVFIRHGDRYPLYAIPKTKRPEIDCTLVA SRKPYHPKLEAFISHMLKGSGASFESPLNSLPLYPNHPLCETGELTQTGVVQHLLNGQLL >ENSMUSP00000114946.1 pep:known chromosome:GRCm38:9:96839196:96892669:-1 gene:ENSMUSG00000043587.15 transcript:ENSMUST00000154146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxylp1 description:2-phosphoxylose phosphatase 1 [Source:MGI Symbol;Acc:MGI:2442444] MLHRNRFLVLLALAGLLAFLSLSLQFFHLIPVSATKNGGSSKSRKRIMPDPVTEPPTVDP VYEALLYCNIPSVAEHSMEGHAPHH >ENSMUSP00000120377.1 pep:known chromosome:GRCm38:9:96840002:96862980:-1 gene:ENSMUSG00000043587.15 transcript:ENSMUST00000124923.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxylp1 description:2-phosphoxylose phosphatase 1 [Source:MGI Symbol;Acc:MGI:2442444] MLHRNRFLVLLALAGLLAFLSLSLQFFHLIPVSATKNGGSSKSRKRIMPDPVTEPPTVDP VYEAL >ENSMUSP00000027991.5 pep:known chromosome:GRCm38:1:169741477:169747642:-1 gene:ENSMUSG00000038530.11 transcript:ENSMUST00000027991.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs4 description:regulator of G-protein signaling 4 [Source:MGI Symbol;Acc:MGI:108409] MCKGLAGLPASCLRSAKDMKHRLGFLLQKSDSCEHSSSHSKKDKVVTCQRVSQEEVKKWA ESLENLIHHECGLAAFKAFLKSEYSEENIDFWISCEEYKKIKSPSKLSPKAKKIYNEFIS VQATKEVNLDSCTREETSRNMLQPTITCFDEAQKKIFNLMEKDSYRRFLKSRFYLDLTNP SSCGAEKQKGAKSSADCTSLVSQCA >ENSMUSP00000106989.1 pep:known chromosome:GRCm38:1:169741676:169747642:-1 gene:ENSMUSG00000038530.11 transcript:ENSMUST00000111357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs4 description:regulator of G-protein signaling 4 [Source:MGI Symbol;Acc:MGI:108409] MCKGLAGLPASCLRSAKDMKHRLGFLLQKSDSCEHSSSHSKKDKVVTCQRVSQEEVKKWA ESLENLIHHECEPGLLHQRGDKPEHVTAHNNLF >ENSMUSP00000057891.5 pep:known chromosome:GRCm38:9:108460527:108465938:1 gene:ENSMUSG00000049305.6 transcript:ENSMUST00000061209.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc71 description:coiled-coil domain containing 71 [Source:MGI Symbol;Acc:MGI:1919704] MSMVVQPVEEKAVHSWSRISTAGKKALEEALLVFNPMSQDLSATEAQLVAFLQGLRDDGF QPTILRSGDVYGYSSCTASPPSQTKLQARTINPPATSLPKTAVSVPAGRTTLLPVPLSGR LAKGSTAALAKHATTNLLLSSLKQSSASNSSGTTVGFPAHLYPGVYPAMRLSVVLEALVP LKTPCLDVKHGAQSLQLSLAKSPLKVRKASGNPKSKAPRKITSKGLKHLTSKGPGAGLRR GAGTQSNGAQRKGCSALGPKTVQAQASQTLIKAARAHASVAQTQTKTVRVRAKAKQAKPK AARAKAKAAVVRDKAKDKVIQAKAKAAQTKHKGKPKGSVQTRTGRANRKNSSETVGRKRK KAEETKGLPPKKRARCVPRPPKVWLGPGTAKPRKSQTIKVDRKCSDDEVRQCAQQILRVN LSPVVWLQPLLPF >ENSMUSP00000141937.1 pep:known chromosome:GRCm38:9:108460535:108463267:1 gene:ENSMUSG00000049305.6 transcript:ENSMUST00000193269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc71 description:coiled-coil domain containing 71 [Source:MGI Symbol;Acc:MGI:1919704] MSMVVQPVEEKAVHSWSRISTAGKKALEEALLVFNPMSQDLSATEAQLVAFLQGLRDDGF QPTILRSGDVYGYSSCTASPPSQTKLQARTINP >ENSMUSP00000142230.1 pep:known chromosome:GRCm38:9:108461420:108465806:1 gene:ENSMUSG00000049305.6 transcript:ENSMUST00000193170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc71 description:coiled-coil domain containing 71 [Source:MGI Symbol;Acc:MGI:1919704] MSMVVQPVEEKAVHSWSRISTAGKKALEEALLVFNPMSQDLSATEAQLVAFLQGLRDDGF QPTILRSGDVYGYSSCTASPPSQTKLQARTINPPATSLPKTAVSVPAGRTTLLPVPLSGR LAKGSTAALAKHATTNLLLSSLKQSSASNSSGTTVGFPAHLYPGVYPAMRLSVVLEALVP LKTPCLDVKHGAQSLQLSLAKSPLKVRKASGNPKSKAPRKITSKGLKHLTSKGPGAGLRR GAGTQSNGAQRKGCSALGPKTVQAQASQTLIKAARAHASVAQTQTKTVRVRAKAKQAKPK AARAKAKAAVVRDKAKDKVIQAKAKAAQTKHKGKPKGSVQTRTGRANRKNSSETVGRKRK KAEETKGLPPKKRARCVPRPPKVWLGPGTAKPRKSQTIKVDRKCSDDEVRQCAQQILRVN LSPVVWLQPLLPF >ENSMUSP00000055813.4 pep:known chromosome:GRCm38:8:33782643:33929863:-1 gene:ENSMUSG00000031586.16 transcript:ENSMUST00000053251.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpms description:RNA binding protein gene with multiple splicing [Source:MGI Symbol;Acc:MGI:1334446] MNGGGKAEKENTPSEANLQEEEVRTLFVSGLPLDIKPRELYLLFRPFKGYEGSLIKLTSK QPVGFVSFDSRSEAEAAKNALNGIRFDPEIPQTLRLEFAKANTKMAKNKLVGTPNPSTPL PNTVPQFIAREPYELTVPALYPSSPEVWAPYPLYPAELAPALPPPAAFTYPASLHAQMRW IPPSEATSQGWKSRQFC >ENSMUSP00000033994.8 pep:known chromosome:GRCm38:8:33782647:33929806:-1 gene:ENSMUSG00000031586.16 transcript:ENSMUST00000033994.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpms description:RNA binding protein gene with multiple splicing [Source:MGI Symbol;Acc:MGI:1334446] MNGGGKAEKENTPSEANLQEEEVRTLFVSGLPLDIKPRELYLLFRPFKGYEGSLIKLTSK QPVGFVSFDSRSEAEAAKNALNGIRFDPEIPQTLRLEFAKANTKMAKNKLVGTPNPSTPL PNTVPQFIAREPYALDPSLRGHFPGLEVPAVLLRLCLGCVMAAAICLVGINAVFTGGDCH PAVLQNWSLLA >ENSMUSP00000138483.1 pep:known chromosome:GRCm38:8:33783781:33929816:-1 gene:ENSMUSG00000031586.16 transcript:ENSMUST00000182987.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbpms description:RNA binding protein gene with multiple splicing [Source:MGI Symbol;Acc:MGI:1334446] MNGGGKAEKENTPSEANLQEEEVRTLFVSGLPLDIKPRELYLLFRPFKGYEGSLIKLTSK QPVGFVSFDSRSEAEAAKNALNGIRFDPEIPQTLRLEFAKANTKMAKNKLVGTPNPSTPL PNTVPQFIAREPYELTVPALYPSSPEVWAPYPLYPAELAPALPPPAAFTYPASLHAQMRW IPPSEATSQGWKSRQFC >ENSMUSP00000138140.1 pep:known chromosome:GRCm38:8:33784052:33844890:-1 gene:ENSMUSG00000031586.16 transcript:ENSMUST00000182256.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbpms description:RNA binding protein gene with multiple splicing [Source:MGI Symbol;Acc:MGI:1334446] MAKNKLVGTPNPSTPLPNTVPQFIAREPYELTVPALYPSSPEVWAPYPLYPAELAPALPP PAAFTYPASLHAQMRWIPPSEATSQGWKSRQFC >ENSMUSP00000138533.1 pep:known chromosome:GRCm38:8:33784061:33806836:-1 gene:ENSMUSG00000031586.16 transcript:ENSMUST00000183336.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbpms description:RNA binding protein gene with multiple splicing [Source:MGI Symbol;Acc:MGI:1334446] PALYPSSPEVWAPYPLYPAELAPALPPPAAFTYPASLHAQVPSIPGLRALAPRLPFPSCL RKAAPALLSA >ENSMUSP00000138361.1 pep:known chromosome:GRCm38:8:33784064:33806799:-1 gene:ENSMUSG00000031586.16 transcript:ENSMUST00000182926.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbpms description:RNA binding protein gene with multiple splicing [Source:MGI Symbol;Acc:MGI:1334446] XYPLYPAELAPALPPPAAFTYPASLHAQVPSIPGLRALAPRLPFPSCLRKAAPALLSA >ENSMUSP00000033995.6 pep:known chromosome:GRCm38:8:33803939:33929805:-1 gene:ENSMUSG00000031586.16 transcript:ENSMUST00000033995.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpms description:RNA binding protein gene with multiple splicing [Source:MGI Symbol;Acc:MGI:1334446] MNGGGKAEKENTPSEANLQEEEVRTLFVSGLPLDIKPRELYLLFRPFKGYEGSLIKLTSK QPVGFVSFDSRSEAEAAKNALNGIRFDPEIPQTLRLEFAKANTKMAKNKLVGTPNPSTPL PNTVPQFIAREPYELTVPALYPSSPEVWAPYPLYPAELAPALPPPAAFTYPASLHAQCFS PEAKPNTPVFCPLLQQIRFVSGNVFVTYQPTADQQRELPC >ENSMUSP00000138726.1 pep:known chromosome:GRCm38:8:33806742:33843671:-1 gene:ENSMUSG00000031586.16 transcript:ENSMUST00000183062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpms description:RNA binding protein gene with multiple splicing [Source:MGI Symbol;Acc:MGI:1334446] MAKNKLVGTPNPSTPLPNTVPQFIAREPYELTVPALYPSSPEVWAPYPLYPAELAPALPP PAAFT >ENSMUSP00000138420.1 pep:known chromosome:GRCm38:8:33806764:33889782:-1 gene:ENSMUSG00000031586.16 transcript:ENSMUST00000183088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpms description:RNA binding protein gene with multiple splicing [Source:MGI Symbol;Acc:MGI:1334446] MKATFFLSGRGNGKRCCVSMVRTLFVSGLPLDIKPRELYLLFRPFKGYEGSLIKLTSKQP VGFVSFDSRSEAEAAKNALNGIRFDPEIPQTLRLEFAKANTKMAKNKLVGTPNPSTPLPN TVPQFIAREPYELTVPALYPSSPEVWAPYPLYPAELAPA >ENSMUSP00000140387.1 pep:known chromosome:GRCm38:8:33782644:33929841:-1 gene:ENSMUSG00000031586.16 transcript:ENSMUST00000191473.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpms description:RNA binding protein gene with multiple splicing [Source:MGI Symbol;Acc:MGI:1334446] MNGGGKAEKENTPSEANLQEEEVRTLFVSGLPLDIKPRELYLLFRPFKGYEGSLIKLTSK QPVGFVSFDSRSEAEAAKNALNGIRFDPEIPQTLRLEFAKANTKMAKNKLVGTPNPSTPL PNTVPQFIAREPYELTVPALYPSSPEVWAPYPLYPAELAPALPPPAAFTYPASLHAQMRW IPPSEATSQGWKSRQFC >ENSMUSP00000056189.3 pep:known chromosome:GRCm38:X:136170081:136172342:-1 gene:ENSMUSG00000051579.10 transcript:ENSMUST00000060101.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal8 description:transcription elongation factor A (SII)-like 8 [Source:MGI Symbol;Acc:MGI:1913934] MQKSCDENEGTPQNTPKADEGHPSEDPPQQAGETLQASGENVREETEGSHRGEPAEPSPE PKEDTPARHLNPEEVIRGVDELERLREEIRRVRNKFVLMHWKQRHSRSRPYPVCFRP >ENSMUSP00000122143.1 pep:known chromosome:GRCm38:X:136170885:136172216:-1 gene:ENSMUSG00000051579.10 transcript:ENSMUST00000146583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal8 description:transcription elongation factor A (SII)-like 8 [Source:MGI Symbol;Acc:MGI:1913934] MQKSCDENEGTPQNTPKADEGHPSEDPPQQAGETLQASGENVREET >ENSMUSP00000118747.1 pep:known chromosome:GRCm38:X:136170989:136172201:-1 gene:ENSMUSG00000051579.10 transcript:ENSMUST00000136533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal8 description:transcription elongation factor A (SII)-like 8 [Source:MGI Symbol;Acc:MGI:1913934] MQKSCDENEGT >ENSMUSP00000129591.1 pep:known chromosome:GRCm38:X:136168984:136172251:-1 gene:ENSMUSG00000051579.10 transcript:ENSMUST00000163584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal8 description:transcription elongation factor A (SII)-like 8 [Source:MGI Symbol;Acc:MGI:1913934] MQKSCDENEGTPQNTPKADEGHPSEDPPQQAGETLQASGENVREETEGSHRGEPAEPSPE PKEDTPARHLNPEEVIRGVDELERLREEIRRVRNKFVLMHWKQRHSRSRPYPVCFRP >ENSMUSP00000102056.2 pep:known chromosome:GRCm38:4:117019402:117086852:1 gene:ENSMUSG00000028683.14 transcript:ENSMUST00000106448.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b3 description:eukaryotic translation initiation factor 2B, subunit 3 [Source:MGI Symbol;Acc:MGI:1313286] MEFQAVVMAVGGGSRMTDLTSSIPKPLLPVGNKPLIWYPLNLLERVGFEEVIVVTTKDVQ KALCAEFKMKMKLDIVCIPDEADMGTADSLRHIYPKLKTDVLVLSCDLITDVALHEVVDL FRAYDASLAMLMRKGQESIEPVPGQKGKKKPVEQRDFIGVDSTGKRLLFMANEADLDEEL VIKGSILQKHPRIHFHTGLVDAHLYCLKKYVVDFLMENRSITSIRSELIPYLVRKQFSSA SSQQRQEDKEEDLKKKELKSLDIYSFIKKDDTLTLAPYDACWNAFRGDKWEDLSRSQVRC YVHIMKEGLCSRVSTLGLYMEANRQVPKLLSVLCPEESMIHSSAQIVNKHLIGADSLIGP DTQIGEKSSIKHSVIGSSCVIRDRTSITNCLLMNSVTVEEGSSIHGSVICNNAVIETGAE IKNCLVGSGQRIEAKAKRMNEVIVGNDQLMEI >ENSMUSP00000070334.5 pep:known chromosome:GRCm38:4:117022155:117086845:1 gene:ENSMUSG00000028683.14 transcript:ENSMUST00000070610.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b3 description:eukaryotic translation initiation factor 2B, subunit 3 [Source:MGI Symbol;Acc:MGI:1313286] MEFQAVVMAVGGGSRMTDLTSSIPKPLLPVGNKPLIWYPLNLLERVGFEEVIVVTTKDVQ KALCAEFKMKMKLDIVCIPDEADMGTADSLRHIYPKLKTDVLVLSCDLITDVALHEVVDL FRAYDASLAMLMRKGQESIEPVPGQKGKKKPVEQRDFIGVDSTGKRLLFMANEADLDEEL VIKGSILQKHPRIHFHTGLVDAHLYCLKKYVVDFLMENRSITSIRSELIPYLVRKQFSSA SSQQRQEDKEEDLKKKELKSLDIYSFIKKDDTLTLAPYDACWNAFRGDKWEDLSRSQVRC YVHIMKEGLCSRVSTLGLYMEANRQVPKLLSVLCPEESMIHSSAQIVNKHLIGADSLIGP DTQIGEKSSIKHSVIGSSCVIRDRTSITNCLLMNSVTVEEG >ENSMUSP00000102055.2 pep:known chromosome:GRCm38:4:117019408:117073260:1 gene:ENSMUSG00000028683.14 transcript:ENSMUST00000106447.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b3 description:eukaryotic translation initiation factor 2B, subunit 3 [Source:MGI Symbol;Acc:MGI:1313286] MEFQAVVMAVGGGSRMTDLTSSIPKPLLPVGNKPLIWYPLNLLERVGFEEVIVVTTKDVQ KALCAEFKMKMKLDIVCIPDEADMGTADSLRHIYPKLKTDVLVLSCDLITDVALHEVVDL FRAYDASLAMLMRKGQESIEPVPGQKGKKKPVEQRDFIGVDSTGKRLLFMANEADLDEEL VIKGSILQKHPRIHFHTGLVDAHLYCLKKYVVDFLMENRSITSIRSELIPYLVRKQFSSA SSQQRQEDKEEDLKKKELKSLDIYSFIKKDDTLTLAPYDACWNAFRGDKWEDLSRSQVRC YVHIMKEGLCSRVSTLGLYMEANRQVPKLLSVLCPEESMIHSSAQIVNKHLIGADSLIGP DTQIGEKSSIKHSVIGSSCVIRDRTSITNCLLMNSVTVEEGYVSLCAFLSKASGSPRSLI SPTQKSLLWDRKEGKGRKKVGAFGV >ENSMUSP00000136599.1 pep:known chromosome:GRCm38:19:4742913:4811612:-1 gene:ENSMUSG00000096370.8 transcript:ENSMUST00000178353.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21992 description:predicted gene 21992 [Source:MGI Symbol;Acc:MGI:5439461] MKIFVGNVDGADTTPEELAALFAPYGTVMSCAVMKQFAFVHMRENAGAVRAIEALHGHEL RPGRALVVEMSRPRPLNTWKIFVGNVSAACTSQELRSLFERRGRVIECDVVKGNWRSG >ENSMUSP00000136623.1 pep:known chromosome:GRCm38:19:4785152:4811508:-1 gene:ENSMUSG00000096370.8 transcript:ENSMUST00000179909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21992 description:predicted gene 21992 [Source:MGI Symbol;Acc:MGI:5439461] MKIFVGNVDGADTTPEELAALFAPYGTVMSCAVMKQFAFVHMRENAGAVRAIEALHGHEL RPGRALVVEMSRPRPLNTWKIFVGNVSAACTSQELRSLFERRGRVIECDVVKGKRMHVQL STSRLRTAPGMGDQSGCYRCGKEGHWSKECPIDRSGRVADLTEQYNEQYGAVRTPYTMSY GDSLYYNNTYGALDAYYKRCRAARSYEAVAAAAASAYSNYAEQTLSQLPQVQNTAMASHL TSTSLDPYNRHLLPPSGAAAAAAAAAACTAASTSYYGRDRSPLRRATGPVLTVGEGYGYG HDSELSQASAAARNSLYDMARYEREQYADRARYSAF >ENSMUSP00000137562.1 pep:known chromosome:GRCm38:19:4785153:4811212:-1 gene:ENSMUSG00000096370.8 transcript:ENSMUST00000178404.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21992 description:predicted gene 21992 [Source:MGI Symbol;Acc:MGI:5439461] XERRGRVIECDVVKGGMCVG >ENSMUSP00000128810.2 pep:known chromosome:GRCm38:19:4787369:4811508:-1 gene:ENSMUSG00000096370.8 transcript:ENSMUST00000172000.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21992 description:predicted gene 21992 [Source:MGI Symbol;Acc:MGI:5439461] MKIFVGNVDGADTTPEELAALFAPYGTVMSCAVMKQFAFVHMRENAGAVRAIEALHGHEL RPGRALVVEMSRPRPLNTWKIFVGNVSAACTSQELRSLFERRGRVIECDVVKGCVEMVKL FIGNLPREATEQEIRSLFEQYGKVLECDIIKNYGFVHIEDKTAAEDAIRNLHHYKLHGVN INVEASKNKSKASTKLHVGNISPTCTNQELRAKFEEYGPVIECDIVKDYAFVHMERAEDA VEAIRGLDNTEFQGKRMHVQLSTSRLRTAPGMGDQSGCYRCGKEGHWSKECPIDRSGRVA DLTEQYNEQYGAVRTPYTMSYGDSLYYNNTYGALDAYYKRCRAARSYEAVAAAAASAYSN YAEQTLSQLPQVQNTAMASHLTSTSLDPYNRHLLPPSGAAAAAAAAAACTAASTSYYGRD RSPLRRATGPVLTVGEGYGYGHDSELSQASAAARNSLYDMARYEREQYADRARYSAF >ENSMUSP00000103661.2 pep:known chromosome:GRCm38:4:41966058:41971856:1 gene:ENSMUSG00000078746.2 transcript:ENSMUST00000108026.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam205a4 description:family with sequence similarity 205, member A4 [Source:MGI Symbol;Acc:MGI:5434294] MLSTMCFLWDTECPLYVYFCFFIIVLIVWQVRQNYQGLKCENRRSCCRRHQKVRQRAKDA ASRARRLSREEDEKPCELLSIMKSQSWVPKQGNVRQLLCLDPSCQICEATTLEIRQLLQS KKSQISPALLGLPQRAACLEMPISSESFEWNQDFYSRYSTNSPVVPGNQTLTQLTEELTE STNADGVLLCWTDPLQIGQEFHLADMPMASETLVSPGLEEPVVLMNEEDTVHSNLNYIQQ LQDHEALNSQIPFQTLTPQLTVTHPMAVSIVTDAPQPFLSPEVLRLLEIHVKKLMHFQRW GLPRRVEESLKQFMPNPPVYLPPEHNQPVSFILNTSSQDCVHRFEGISPETWYSYMDGQP IQTFWVSEWSSGDQGQRLSCKPIPSPVEKPLLTPDYELLHDLCLSPEGQVDGSQSNLQKK FTQLFCGLPSMHSESLGSTFLCTQGVSKNTLKPPYKEPHFLKVSPPIPLPEAAPPPSSTS PNESLDEPQRAQIGGVPFLTLSECKTLEWHLLQRQLQLQWGLPAVIARPPRVQSHTQYKH KPWNKAKPRETLKFFGPGKPFSALTRELFFIPQHARRLLEFHLQKRLIHLRWGLPQRIQR SINMLLSSTDLQSLPCGGSRLPNVSISQPGKPEAYGSGDTFLPTAGKGTTPMPHLFAKAQ EMLKSHDTKCEQIREGKVPACVQSAWKGRIPGDLAAGTLFPNIPQGQPLELQAENNPDLH QEAVSWKPMDLDQEAQAFSGVFIEHCRRPQALSEETIKKLETTLRHKYLAFLSGLQALYC MAPTKATSPTVDQSVITTMPWSVKSPQKPLSQKSPLEALCLSGLEPCTQDDKETSANIAE EFQHGAQGHGRTEKVPPERQPLLNRPYSLDTEIMERVSFYLKRKALDIKLGISLKESVFQ EPTATDLESESVQEPLGSPRESTLLQGPPTLCHVPVAPDPDKVCLKQPATAVQVVFQEQN QPSSRAVPHRSARQGSQVHRNMMEAQVHYVQMGTGGEMLNLGEPFSTESQSPGKSKSGYV PTVAGKRKIPGKPKVVGDLGEGDAGLGFSLVSLKTRQDGEQEKRLLHRQLQGSSLQAQTF HLEGACPHSPQESPELQFADPPPEVFMETDSEQDMEDSQSKESIVPEPARTAKAPQPMLS RASQGLPFPRSPTQRKPSQGQPGPGHVPPGHATPASPYTRPSRLPEAGLKNKMKLFFHSI KLKMKSKAHTEPSTVSTPGKVAKTSKENIDRGLPQAKSPTKKTKPEDFRGPKAQFSVVGP CLTPSYILDSKFWPRPRRVGSVSVLGHSYHCPRHCPRLAYANQQRNPP >ENSMUSP00000142325.1 pep:known chromosome:GRCm38:9:108447636:108461581:-1 gene:ENSMUSG00000032609.12 transcript:ENSMUST00000193286.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc8b description:kelch domain containing 8B [Source:MGI Symbol;Acc:MGI:1925517] MAAGGGRAFAWQVFPPMPTCRVYGTVAHQDGHLLVLGGCGRAGLPLDTAETLDMGSHTWL ALAPLPTARAGAAAVVLGKQVLVVGGVDEVQSPVAAVEAFLADEGRWERRATLPQAAMGV ATVERDGMVYALGGMGPDTAPQAQVLVYEPRRDCWLSLPSMPTPCYGASTFLHGNKIYVL GGRQGKLPVTAFEAFDLETRTWTRHPSLPSRRAFAGCAMAEGSVFSLGGLQQPGPHNFYS RPHFVNTVEMFDLEHGSWTKLPRSLRMRDKRADFVVGSLGGNIVAIGGLGNQPCPLASVE SFSLARRRWEVLPAMPTARCSCSSLQAGPRLFVIGGVAQGPSQAVEALCLRDGV >ENSMUSP00000141558.1 pep:known chromosome:GRCm38:9:108447832:108452404:-1 gene:ENSMUSG00000032609.12 transcript:ENSMUST00000195435.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc8b description:kelch domain containing 8B [Source:MGI Symbol;Acc:MGI:1925517] MAAGGGRAFAWQVFPPMPTCRVYGTVAHQDGHLLVLGGCGRAGLPLDTAETLDMGSHTWL ALAPLPTARAGAAAVVLGKQVLVVGGVDEVQSPVAAVEAFLADEGRWERRATLPQAAMGV ATVERDGMVYALGGMGRQGKLPVTAFEAFDLETRTWTRHPSLPSRRAFAGCAMAEGSVFS LGGLQQPGPHNFYSRPHFVNTVEMFDLEHGSWTKLPRSLRMRDKRADFVVGSLGGNIVAI GGLGNQPCPLASVESFSLARRRWEVLPAMPTARCSCSSLQAGPRLFVIGGVAQGPSQAVE ALCLRDGV >ENSMUSP00000141453.1 pep:known chromosome:GRCm38:9:108447973:108461076:-1 gene:ENSMUSG00000032609.12 transcript:ENSMUST00000193895.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc8b description:kelch domain containing 8B [Source:MGI Symbol;Acc:MGI:1925517] MAAGGGRAFAWQVFPPMPTCRVYGTVAHQDGHLLVLGGCGRAGLPLDTAETLDMGSHTWL ALAPLPTARAGAAAVVLGKQVLVVGGVDEVQSPVAAVEAFLADEGRWERRATLPQAAMGV ATVERDGMVYALGGMGPDTAPQAQVLVYEPRRDCWLSLPSMPTPCYGASTFLHGNKIYVL GGRQGKLPVTAFEAFDLETRTWTRHPSLPSRRAFAGCAMAEGSVFSLGGLQQPGPHNFYS RPHFVNTVEMFDLEHGSWTKLPRSLRMRDKRADFVVGSLGGNIVAIGGLGNQPCPLASVE SFSLARRRWEVLPAMPTARCSCSSLQAGPRLFVIGGVAQGPSQAVEALCLRDGV >ENSMUSP00000035232.7 pep:known chromosome:GRCm38:9:108447658:108452377:-1 gene:ENSMUSG00000032609.12 transcript:ENSMUST00000035232.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc8b description:kelch domain containing 8B [Source:MGI Symbol;Acc:MGI:1925517] MAAGGGRAFAWQVFPPMPTCRVYGTVAHQDGHLLVLGGCGRAGLPLDTAETLDMGSHTWL ALAPLPTARAGAAAVVLGKQVLVVGGVDEVQSPVAAVEAFLADEGRWERRATLPQAAMGV ATVERDGMVYALGGMGPDTAPQAQVLVYEPRRDCWLSLPSMPTPCYGASTFLHGNKIYVL GGRQGKLPVTAFEAFDLETRTWTRHPSLPSRRAFAGCAMAEGSVFSLGGLQQPGPHNFYS RPHFVNTVEMFDLEHGSWTKLPRSLRMRDKRADFVVGSLGGNIVAIGGLGNQPCPLASVE SFSLARRRWEVLPAMPTARCSCSSLQAGPRLFVIGGVAQGPSQAVEALCLRDGV >ENSMUSP00000070825.3 pep:known chromosome:GRCm38:8:68276567:68347694:1 gene:ENSMUSG00000053886.3 transcript:ENSMUST00000066594.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d4a description:SH2 domain containing 4A [Source:MGI Symbol;Acc:MGI:1919531] MLRQILSDMFIDPDLLAELSEEQKQILFYKMREEQIRRWKEREAAMERKESLPVKSRPKK ENGKSVHWKLGADKQVWVWVMGEHHLDKPYDVLCDEILAEREHLRAAKDSELRKTQSLEL ANSLKIKSQNCDLQAMKKTEPQNVTRKAASEEASGQGPRAIPTRKDDKAQTKPVKEKDHE EMKQTEDEKTKQIYKSWKEDSEWQASLRKSKAADEKRRSLAKQAREDYKRLSQRGRSGDG LQNPLTGPQKPRRPPLPPKPQFLQPLGIPPKSLGNQGVIRTEISSAQMDTIRWFKEEQLP FRAGYQKNSDTIAPWFHGILTLKKANELLSTGVPGSFLIRVSEKIKGYALSYLSEEGCKH FLIDASANSYSFLGVDQLQHATLADLVEYHKEEPITSLGKELLLYPCGQQDKLPDYLELF Q >ENSMUSP00000046340.4 pep:known chromosome:GRCm38:11:102430315:102436809:1 gene:ENSMUSG00000034708.11 transcript:ENSMUST00000049460.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grn description:granulin [Source:MGI Symbol;Acc:MGI:95832] MPPREPGPRRRQTMWVLMSWLAFAAGLVAGTQCPDGQFCPVACCLDQGGANYSCCNPLLD TWPRITSHHLDGSCQTHGHCPAGYSCLLTVSGTSSCCPFSKGVSCGDGYHCCPQGFHCSA DGKSCFQMSDNPLGAVQCPGSQFECPDSATCCIMVDGSWGCCPMPQASCCEDRVHCCPHG ASCDLVHTRCVSPTGTHTLLKKFPAQKTNRAVSLPFSVVCPDAKTQCPDDSTCCELPTGK YGCCPMPNAICCSDHLHCCPQDTVCDLIQSKCLSKNYTTDLLTKLPGYPVKEVKCDMEVS CPEGYTCCRLNTGAWGCCPFAKAVCCEDHIHCCPAGFQCHTEKGTCEMGILQVPWMKKVI APLRLPDPQILKSDTPCDDFTRCPTNNTCCKLNSGDWGCCPIPEAVCCSDNQHCCPQGFT CLAQGYCQKGDTMVAGLEKIPARQTTPLQIGDIGCDQHTSCPVGQTCCPSLKGSWACCQL PHAVCCEDRQHCCPAGYTCNVKARTCEKDVDFIQPPVLLTLGPKVGNVECGEGHFCHDNQ TCCKDSAGVWACCPYLKGVCCRDGRHCCPGGFHCSARGTKCLRKKIPRWDMFLRDPVPRP LL >ENSMUSP00000135739.1 pep:known chromosome:GRCm38:11:102430518:102434446:1 gene:ENSMUSG00000034708.11 transcript:ENSMUST00000129997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grn description:granulin [Source:MGI Symbol;Acc:MGI:95832] MWVLMSWLAFAAGLVAGTQCPDGQFCPVACCLDQGGANYSCCNPLLDTWPRITSHHLDGS CQTHGHCPAGYSCLLTVSGTSSCCPFSKGVSCGDGYHCCPQGFHCSADGKSCFQMSDNPL GAVQCPGSQFECPDSATCCIMVDGSWGCCPMPQASCCEDRVHCCPHGASCDLVHTRCVSP TGTHTLLKKFPAQKTNRAVSLPFSVVCPDAKTQC >ENSMUSP00000134948.1 pep:known chromosome:GRCm38:11:102435285:102436573:1 gene:ENSMUSG00000034708.11 transcript:ENSMUST00000125819.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grn description:granulin [Source:MGI Symbol;Acc:MGI:95832] GFQCHTEKGTCEMGILQVPWMKKVIAPLRLPDPQILKSDTPCDDFTRCPTNNTCCKLNSG DWGCCPIPEGYCQKGDTMVAGLEKIPARQTTPLQIGDIGCDQHTSCPVGQTCCPSLKGSW ACCQLPHAVCCEDRQHCCPAGYTCNVKARTCEKDVDFIQPPVLLTLGPKVGNVECGEGHF CHDNQTCCKDSAGVWACCPYLKGVCCRDGRHCCPGGFHCSARGTKCLRKKIPRWDMFLRD PVPRPLL >ENSMUSP00000134893.1 pep:known chromosome:GRCm38:11:102435416:102437048:1 gene:ENSMUSG00000034708.11 transcript:ENSMUST00000177428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grn description:granulin [Source:MGI Symbol;Acc:MGI:95832] XFTRCPTNNTCCKLNSGDWGCCPIPEAVCCSDNQHCCPQGFTCLAQGYCQKGDTMVAGLE KIPARQTTPLQIGDIGCDQHTSCPVGQTCCPSLKGSWACCQLPHAVCCEDRQHCCPAGYT CNVKARTCEKDVDFIQPPVLLTLGPKVGNVECGEGHFCHDNQTCCKDSAGVWACCPYLKR WTSLLPRWLPLFSQGNQVFAKEDSSLGHVFEGSGPKTATVRKGYRLKELHSPGNPVPRVP TTQASLAPPPLTSPRPTHPESPYHHGRWSLKLKPSFMERRLWPKAPYQTAISSGFCGPCG QVLFPSHRCSVSLLVCVCACACVAPIKFVHFLNSI >ENSMUSP00000113397.1 pep:known chromosome:GRCm38:5:137729899:137739998:-1 gene:ENSMUSG00000045348.15 transcript:ENSMUST00000118326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nyap1 description:neuronal tyrosine-phosphorylated phosphoinositide 3-kinase adaptor 1 [Source:MGI Symbol;Acc:MGI:2443880] MNLLYRKTKLEWRQHKEEEAKRSSSKEAAPTGPVGPGAVPGPGVRVRDIASLRRSLRMGF MTMPASQEHTPHPCRSTMAPRSLSCHSVGSMDSVGGGPGGGLTEDSSTRRPPAKPRRHPS TKLSMAGPGAETPPSKKAGSQKPAPECRESSRKVPPQKPRRSPNTQLSVSFDESCAPAPS PRGANLPLQRLSRASRITGDLDAGAQEEEPVYIEMVGDVFRGGGRSGGGLAGPPLGSGGP TPPAAADSDSEDSEAIYEEMKYPLPEEAGDGRANGPPPLTAPSPPQQTHILQPHPHPHRR PASALPSRRDGTPTKTTPCEIPPPFPNLLQHRPPLLAFPQAKSASRAPGDGVSRLPVLCH SKEPAGSTPAPQVPARERETPPLPPPPPAANLLLLGPSGRARSHSTPLPPQGSGQTRGER ELPNSHSMICPKAAGVPAAHPAPAALLPGPPKDKAVSYTMVYSAVKVTTHSVLPAGPPLG VGEPKTEEISVLHGMLCASSRPPVPGKSSPHSGAMGSAAGVLHHRSCLASPHSLPDPTGG SLTPLWTYPATAAGLKRPPAYDSLKAGGVLNKGCGMGAPSPMVKIQLQEQGTDGGAFASI SCAHVIASAGTPEEEEEMGAAFGAGWALQRKVLYGGRKAKEVDTEEDGARAWNGSTEGPG KVEHEDRGPVPSGIPVRSQGAEGLLARIHHDRGGSRTALPVPCQTFPACHRNGDFTGGYR LGRSASTSGVRQAALHTPRPCSQPRDALSQTHPVLPLPLPPQPARERDGKLLEVIERKRC VCKEIKARHRPDRGLCKQESMPILPSWRRVPEPRKSGTPPCRRQHTVLWDTAI >ENSMUSP00000058217.7 pep:known chromosome:GRCm38:5:137730913:137741607:-1 gene:ENSMUSG00000045348.15 transcript:ENSMUST00000061789.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nyap1 description:neuronal tyrosine-phosphorylated phosphoinositide 3-kinase adaptor 1 [Source:MGI Symbol;Acc:MGI:2443880] MNLLYRKTKLEWRQHKEEEAKRSSSKEAAPTGPVGPGAVPGPGVRVRDIASLRRSLRMGF MTMPASQEHTPHPCRSTMAPRSLSCHSVGSMDSVGGGPGGGLTEDSSTRRPPAKPRRHPS TKLSMAGPGAETPPSKKAGSQKPAPECRESSRKVPPQKPRRSPNTQLSVSFDESCAPAPS PRGANLPLQRLSRASRITGDLDAGAQEEEPVYIEMVGDVFRGGGRSGGGLAGPPLGSGGP TPPAAADSDSEDSEAIYEEMKYPLPEEAGDGRANGPPPLTAPSPPQQTHILQPHPHPHRR PASALPSRRDGTPTKTTPCEIPPPFPNLLQHRPPLLAFPQAKSASRAPGDGVSRLPVLCH SKEPAGSTPAPQVPARERETPPLPPPPPAANLLLLGPSGRARSHSTPLPPQGSGQTRGER ELPNSHSMICPKAAGVPAAHPAPAALLPGPPKDKAVSYTMVYSAVKVTTHSVLPAGPPLG VGEPKTEEISVLHGMLCASSRPPVPGKSSPHSGAMGSAAGVLHHRSCLASPHSLPDPTGG SLTPLWTYPATAAGLKRPPAYDSLKAGGVLNKGCGMGAPSPMVKIQLQEQGTDGGAFASI SCAHVIASAGTPEEEEEMGAAFGAGWALQRKVLYGGRKAKEVDTEEDGARAWNGSTEGPG KVEHEDRGPVPSGIPVRSQGAEGLLARIHHDRGGSRTALPVPCQTFPACHRNGDFTGGYR LGRSASTSGVRQAALHTPRPCSQPRDALSQTHPVLPLPLPPQPARERDGKLLEVIERKRC VCKEIKARHRPDRGLCKQESMPILPSWRRVPEPRKSGTPPCRRQHTVLWDTAI >ENSMUSP00000114694.1 pep:known chromosome:GRCm38:5:137732929:137741102:-1 gene:ENSMUSG00000045348.15 transcript:ENSMUST00000149512.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nyap1 description:neuronal tyrosine-phosphorylated phosphoinositide 3-kinase adaptor 1 [Source:MGI Symbol;Acc:MGI:2443880] MNLLYRKTKLEWRQHKEEEAKRSSSKEAAPTGPVGPGAVPGPGVRVRDIASLRRSLRMGF MTMPASQEHTPHPCRSTMAPRSLSCHSVGSMDSVGGGPGGGLTEDSSTRRPPAKPRRHPS TKLSMAGPGAETPPSKKAGSQKPAPECRESSRKVPPQKPRRSPNTQLSVSFDESCAPAPS PRGANLPLQRLSRASRITGDLDAGAQEEEPVYIEMVGDVFRGGGRSGGGLAGPPLGSGGP TPPAAADSDSEDSEAIYEEMKYPLPEEAGDGRANGPPPLTAPSPPQQTHILQPHPHPHRR PASALPSRRDGTPTKTTPCEIPPPFPNLLQHRPPLLAFPQAKSASRAPGDGVSRLPVLCH SKEPAGSTPAPQVPARERETPPLPPPPPAANLLLLGPSGRARSHSTPLPPQGSGQTRGER ELPNSHSMICPKAAGVPAAHPAPAALLPGPPKDKAVSYTMVYSAVKVTTHSVLPAGPPLG VGEPKTEEISVLHGMLCASSRPPVPGKSSPHSGAMGSAAGVLHHRSCLASPHSLPDPTGG SLTPLWTYPATAAGLKRPPAYDSLKAGGVLNKGCGMGAPSPMVKIQLQEQGTDGGAFASI SCAHVIASAGTPEEEEEMGAAFGAGWALQRKVLYGGRKAKEVDTEEDGARAWNGSTEGPG KVEHEDRGPVPSGIPVRSQGAEGLLARIHHDRGGSRTALPVPCQTFPACHRNGDFTGGYR LGRSASTSGVRQAALHTPRPCSQPRDALSQVRSGLCFVFGETDRKEGLDMRRKDRHTHSC YSMVWETETK >ENSMUSP00000099321.4 pep:known chromosome:GRCm38:11:115824049:115855780:1 gene:ENSMUSG00000020782.18 transcript:ENSMUST00000103032.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llgl2 description:lethal giant larvae homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918843] MRRFLRTGHDPARERLKRDLFQFNKTVEHGFPHQPSALGYSPSLRILAIGTRSGAVKLYG APGVEFMGLHKENNAVLQIHFLPGQCQLVTLLDDNSLHLWSLKVKGGVSELQEEESFTLR GPPGAAPSATQVTEILPHSSGELLYLGTESGNVFVVQLPGFRTLHDRTICSDEVLQWLPE EARHRRVFEMVEALQEHPRDPNQILIGYSRGLVVIWDLQGSRALSHFLSSQQLENASWQR DGCLIVTCHSDGSHCQWPVSSDTQNPEPLRSSIPYGPFPCKAITKIFWLTTRQGLPFTIF QGGMPRASYGDRHCISVVHNGQQTAFDFTSRVIDFTVLSEADPAAAFDDPYALVVLAEEE LVVIDLQTPGWPPVQLPYLASLHCSAITCSHHVSNIPLKLWERIIAAGSRQNSHFSTMEW PIDGGTSLAPPPPQRDLLLTGHEDGTVRFWDASGVCLRLLYKLSTVRVFLTDTDPSENLI AQGEDEWPPLRKVGSFDPYSDDPRLGIQKIFLCKYSGYLAVAGTAGQVLVLELNDEAAEH AVEQVEADLLQDQEGYRWKGHERLAARPGPVCFEAGFQPFVLVQCQPPAVVTSLALHSEW RLVAFGTSHGFGLFDHQQRRQVFVKCTLHPSDQLALEGPLSRVKSLKKSLRQSFRRMRRS RVSSHKRRPGGPTGEAQAQAVNIKAERTGLQNMELAPVQRKIEARSAEDSFTGFVRTLYF ADTYLRDSSRHCPSLWAGTNGGTVYAFSLRVPPAERRTDEPVRAEQAKEIQLMHRAPVVG ILVLDGHNVPLPEPLEVAHDLSKSPDMQGSHQLLVVSEEQFKVFTLPKVSAKLKLKLTAL EGSRVRRVGVAHFGSCRAEDYGEHHLAVLTNLGDIQVVSMPLLKPQVRYSCIRREDVSGI ASCVFTKYGQGFYLISPSEFERFSLSTKWLVEPRCLVDSTKAKKHNRPSNGNGTGLKMTS SGHVRNSKSQSDGDEKKPGPVMEHALLNDAWVLKEIQSTLEGDRRSYGNWHPHRVAVGCR LSNGEAE >ENSMUSP00000118344.1 pep:known chromosome:GRCm38:11:115824058:115845441:1 gene:ENSMUSG00000020782.18 transcript:ENSMUST00000133250.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llgl2 description:lethal giant larvae homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918843] MRRFLRTGHDPARERLKRDLFQFNKTVEHGFPHQPSALGYSPSLRILAIGTRSGAVKLYG APGVEFMGLHKENNAVLQIHFLPGQCQLVTLLDDNSLHLWSLKVKGGVSELQEEESFTLR GPPGAAPSATQVTEILPHSSGELLYL >ENSMUSP00000133803.1 pep:known chromosome:GRCm38:11:115831968:115844883:1 gene:ENSMUSG00000020782.18 transcript:ENSMUST00000172552.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llgl2 description:lethal giant larvae homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918843] MRRFLRTGHDPARERLKRDLFQFNKTVEHGFPHQPSALGYSPSLRILAIGTRSGAVKLYG APGVEFMGLHKENNAVLQIHFLPGQCQLVTLLDDNSLHLWS >ENSMUSP00000117649.1 pep:known chromosome:GRCm38:11:115833925:115845388:1 gene:ENSMUSG00000020782.18 transcript:ENSMUST00000155878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llgl2 description:lethal giant larvae homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918843] MRRFLRTGHDPARERLKRDLFQFNKTVEHGFPHQPSALGYSPSLRILAIGTRSGAVKLYG APGVEFMGLHKENNAVLQIHFLPGQCQLVTLLDDNSLHLWSLKVKGGVSELQEEESFTLR GPPGAAPSA >ENSMUSP00000133790.1 pep:known chromosome:GRCm38:11:115834314:115845448:1 gene:ENSMUSG00000020782.18 transcript:ENSMUST00000173289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llgl2 description:lethal giant larvae homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918843] MRRFLRTGHDPARERLKRDLFQFNKTVEHGFPHQPSALGYSPSLRILAIGTRSGAVKLYG APGVEFMGLHKENNAVLQIHFLPGQCQLVTLLDDNSLHLWSLKVKGGVSELQEEESFTLR GPPGAAPSATQVTEILPHSSGELLYLGT >ENSMUSP00000119675.1 pep:known chromosome:GRCm38:11:115834323:115845476:1 gene:ENSMUSG00000020782.18 transcript:ENSMUST00000137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llgl2 description:lethal giant larvae homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918843] MRRFLRTGHDPARERLKRDLFQFNKTVEHGFPHQPSALGYSPSLRILAIGTRSGAVKLYG APGVEFMGLHKENNAVLQIHFLPGQCQLVTLLDDNSLHLWSLKVKGGVSELQEEESFTLR GPPGAAPSATQVTEILPHSSGELLYLGTESGNVFVVQL >ENSMUSP00000118304.1 pep:known chromosome:GRCm38:11:115853803:115855780:1 gene:ENSMUSG00000020782.18 transcript:ENSMUST00000128826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llgl2 description:lethal giant larvae homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918843] XHFGSCRAEDYGEHHLAVLTNLGDIQVVSMPLLKPQVRYSCIRREDVSGIASCVFTKYGQ GFYLISPSEFERFSLSTKWLVEPRCLVDSTKAKKHNRPSNGNGTGLKMTSSGHVRNSKSQ SDGDGVLKEIQSTLEGDRRSYGNWHPHRVAVGCRLSNGEAE >ENSMUSP00000136054.1 pep:known chromosome:GRCm38:11:115824058:115855778:1 gene:ENSMUSG00000020782.18 transcript:ENSMUST00000177736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llgl2 description:lethal giant larvae homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918843] MRRFLRTGHDPARERLKRDLFQFNKTVEHGFPHQPSALGYSPSLRILAIGTRSGAVKLYG APGVEFMGLHKENNAVLQIHFLPGQCQLVTLLDDNSLHLWSLKVKGGVSELQEEESFTLR GPPGAAPSATQVTEILPHSSGELLYLGTESGNVFVVQLPGFRTLHDRTICSDEVLQWLPE EARHRRVFEMVEALQEHPRDPNQILIGYSRGLVVIWDLQGSRALSHFLSSQQLENASWQR DGCLIVTCHSDGSHCQWPVSSDTQNPEPLRSSIPYGPFPCKAITKIFWLTTRQGLPFTIF QGGMPRASYGDRHCISVVHNGQQTAFDFTSRVIDFTVLSEADPAAAFDDPYALVVLAEEE LVVIDLQTPGWPPVQLPYLASLHCSAITCSHHVSNIPLKLWERIIAAGSRQNSHFSTMEW PIDGGTSLAPPPPQRDLLLTGHEDGTVRFWDASGVCLRLLYKLSTVRVFLTDTDPSENLI AQGEDEWPPLRKVGSFDPYSDDPRLGIQKIFLCKYSGYLAVAGTAGQVLVLELNDEAAEH AVEQVEADLLQDQEGYRWKGHERLAARPGPVCFEAGFQPFVLVQCQPPAVVTSLALHSEW RLVAFGTSHGFGLFDHQQRRQVFVKCTLHPSDQLALEGPLSRVKSLKKSLRQSFRRMRRS RVSSHKRRPGGPTGEVRPQAQSSHLQDHTALPQDLNPDQAQAQAVNIKAERTGLQNMELA PVQRKIEARSAEDSFTGFVRTLYFADTYLRDSSRHCPSLWAGTNGGTVYAFSLRVPPAER RTDEPVRAEQAKEIQLMHRAPVVGILVLDGHNVPLPEPLEVAHDLSKSPDMQGSHQLLVV SEEQFKVFTLPKVSAKLKLKLTALEGSRVRRVGVAHFGSCRAEDYGEHHLAVLTNLGDIQ VVSMPLLKPQVRYSCIRREDVSGIASCVFTKYGQGFYLISPSEFERFSLSTKWLVEPRCL VDSTKAKKHNRPSNGNGTGLKMTSSGHVRNSKSQSDGDEKKPGPVMEHALLNDAWVLKEI QSTLEGDRRSYGNWHPHRVAVGCRLSNGEAE >ENSMUSP00000091908.3 pep:known chromosome:GRCm38:1:169928648:169934653:1 gene:ENSMUSG00000070532.5 transcript:ENSMUST00000094348.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc190 description:coiled-coil domain containing 190 [Source:MGI Symbol;Acc:MGI:1925715] MDRSMVKGPLYKQFDLERKSARQAEARLSLRLQRLEIICLYHVKSLAREQRQLQKELQRL QQDIIKKRFSSYVGHEIQKRSKDVVTFLPPTGQRHAVPEPKIRTLKNSVTQEVKTKIPVP SLHDPVLKDTLRSQEHLLSHGERTSCFKEGSPQGQEGEPTNPLKGVDPSKDVSVPCHDQE LSTNKTEDSGVSSQDGERGSAPANETRSENASQKPRGDADVQNSPSSVDYAGSFKDERTK PSFLELFEKAKNAHYVRHRVPPESERLLSIGEIFGHKHYSLPRTGETL >ENSMUSP00000135819.1 pep:known chromosome:GRCm38:1:169929929:169934413:1 gene:ENSMUSG00000070532.5 transcript:ENSMUST00000175731.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc190 description:coiled-coil domain containing 190 [Source:MGI Symbol;Acc:MGI:1925715] MDRSMVKGPLYKQFDLERKSARQAEARLSLRLQRLEIICLYHVKSLAREQRQLQKELQRL QQADIIKKRFSSYVGHEIQKRSKDVVTFLPPTGQRHAVPEPKIRTLKNSVTQEVKTKIPV PSLHDPVLKDTLRSQEHLLSHGERTSCFKEGSPQGQEGEPTNPLKGVDPSKDVSVPCHDQ ELSTNKTEDSGVSSQDGERGSAPANETRSENASQKPRGDADVQNSPSSVDYAGSFKDERT KPSFLELFEKAKNAHYVRHRVPPESERLLSIGEIFGHKHYSLPRTGETL >ENSMUSP00000139238.1 pep:known chromosome:GRCm38:2:181497142:181515115:1 gene:ENSMUSG00000000827.18 transcript:ENSMUST00000184588.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tpd52l2 description:tumor protein D52-like 2 [Source:MGI Symbol;Acc:MGI:1913564] MDSASQGGRRNCHSAPGAGSQREALWRAEKEAGPLHIRGAEAEPV >ENSMUSP00000117690.1 pep:known chromosome:GRCm38:2:181497165:181517966:1 gene:ENSMUSG00000000827.18 transcript:ENSMUST00000149163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52l2 description:tumor protein D52-like 2 [Source:MGI Symbol;Acc:MGI:1913564] MDSASQDINLNSPNKGVLSDFMTDVPVDPGVVHRTPVVEGLTEGEEEELRAELAKVEEEI VTLRQVLAAKERHCGELKRRLGLSTLGELKQNLSRSWHDVQVSTAYVKTSEKLGEWNEKV TQSDLYKKTQETLSQAGQKTSAALSTMGSAISRKLGDMSSYSIRHSISMPVMRNSATFKS FEDRVGTIKSKVVGGRENGSDNLPPSPGSGDQTLPDHAPF >ENSMUSP00000000844.8 pep:known chromosome:GRCm38:2:181497181:181517371:1 gene:ENSMUSG00000000827.18 transcript:ENSMUST00000000844.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52l2 description:tumor protein D52-like 2 [Source:MGI Symbol;Acc:MGI:1913564] MDSASQDINLNSPNKGVLSDFMTDVPVDPGVVHRTPVVEGLTEGEEEELRAELAKVEEEI VTLRQVLAAKERHCGELKRRLGLSTLGELKQNLSRSWHDVQVSTAYVKTSEKLGEWNEKV TQSDLYKKTQETLSQAGQKTSAALSTMGSAISRKLGDMRNSATFKSFEDRVGTIKSKVVG GRENGSDNLPPSPGSGDQTLPDHAPF >ENSMUSP00000138837.1 pep:known chromosome:GRCm38:2:181497183:181515126:1 gene:ENSMUSG00000000827.18 transcript:ENSMUST00000184849.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52l2 description:tumor protein D52-like 2 [Source:MGI Symbol;Acc:MGI:1913564] MTDVPVDPGVVHRTPVVEGLTEGEEEELRAELAKVEEEIVTLRQVLAAKERHCGELKRRL GLSTLGELKQNLSRSWHDVQVSTAYVKTSEKLGEWNEKVTQSDLYKKTQETLSQAGQKTS AALSTMGSAISRKLGDMRNSATFKSFEDRVGTIKSKVVGGRENGSDNLPP >ENSMUSP00000104428.1 pep:known chromosome:GRCm38:2:181497187:181517962:1 gene:ENSMUSG00000000827.18 transcript:ENSMUST00000108800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52l2 description:tumor protein D52-like 2 [Source:MGI Symbol;Acc:MGI:1913564] MDSASQDINLNSPNKGVLSDFMTDVPVDPGVVHRTPVVEGLTEGEEEELRAELAKVEEEI VTLRQVLAAKERHCGELKRRLGLSTLGELKQNLSRSWHDVQVSTAYKKTQETLSQAGQKT SAALSTMGSAISRKLGDMRNSATFKSFEDRVGTIKSKVVGGRENGSDNLPPSPGSGDQTL PDHAPF >ENSMUSP00000068888.2 pep:known chromosome:GRCm38:2:181497199:181516256:1 gene:ENSMUSG00000000827.18 transcript:ENSMUST00000069712.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52l2 description:tumor protein D52-like 2 [Source:MGI Symbol;Acc:MGI:1913564] MDSASQDINLNSPNKGVLSDFMTDVPVDPGVVHRTPVVEGLTEGEEEELRAELAKVEEEI VTLRQVLAAKERHCGELKRRLGLSTLGELKQNLSRSWHDVQVSTAYKKTQETLSQAGQKT SAALSTMGSAISRKLGDMSSYSIRHSISMPVMRNSATFKSFEDRVGTIKSKVVGGRENGS DNLPPSPGSGDQTLPDHAPF >ENSMUSP00000104427.3 pep:known chromosome:GRCm38:2:181497231:181515387:1 gene:ENSMUSG00000000827.18 transcript:ENSMUST00000108799.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52l2 description:tumor protein D52-like 2 [Source:MGI Symbol;Acc:MGI:1913564] MDSASQDINLNSPNKGVLSDFMTDVPVDPGVVHRTPVVEGLTEGEEEELRAELAKVEEEI VTLRQVLAAKERHCGELKRRLGLSTLGELKQNLSRSWHDVQVSTAYVKTSEKLGEWNEKV TQSDLYKKTQETLSQAGQKTSAALSTMGSAISRKLGDMRAHPLSQSFSSYSIRHSISMPV MRNSATFKSFEDRVGTIKSKVVGGRENGSDNLPPSPGSGDQTLPDHAPF >ENSMUSP00000123627.1 pep:known chromosome:GRCm38:2:181499845:181513056:1 gene:ENSMUSG00000000827.18 transcript:ENSMUST00000141825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52l2 description:tumor protein D52-like 2 [Source:MGI Symbol;Acc:MGI:1913564] XINLNSPNKGVLSDFMTDVPVDPGVVHRTPVVEGLTEGEEEELRAELAKVEEEIVTLRQV LAAKERHCGELKRRLGLSTLGELKQNLSRSWHDVQVSTAYKKTQETLSQAGQKTSAALST MGSAISRKLGDMRAHPLSQSFSSYSIRHSISMPVMRNSATF >ENSMUSP00000033218.8 pep:known chromosome:GRCm38:7:119794006:119848943:1 gene:ENSMUSG00000030924.16 transcript:ENSMUST00000033218.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610020H08Rik description:RIKEN cDNA 2610020H08 gene [Source:MGI Symbol;Acc:MGI:1919402] MEQEKEEANSRKRKEAPNSSASATERDGPPSHIQDREPQAKKARLSTILFTDNCEVTHRQ LCELLKYAVLGKSAVPKPSWCQLSHQKQLNNVVVFILKGMSQLHFYRFYLEFRFLRKTFR HKFSLPSPTSSFLFDIIGLQKKKSARSFRRTVEGPLISATLRSSIDLQNDPIIQKYGYKN VGLTKCLLTKEEMKTFHFPLPGSPNYENFILTKYTGFITDSSPLFGLDCEVCLTSMGKEL TRISLVTEGGYCLIDELVKPDLKILDYLTSFTGITKEILNPVTTKLKDVQKLLRELLPPD AVLVGHCLDLDLRVLKIAEFNLEALAANQEQGDKEEAAHMSVLKCLESMGQKLLFLTQDI NELSSYRNCQTIKCSSNKKVLEQARVEVPLFPFNIVEFSFQPFPPLFAEEMKNSMKTKWT QMSTVYAGPFSKDCNVGALKKVFSSLGPVHSITLVLETYRCFWVAPWPRTDENKRGRRK >ENSMUSP00000102130.1 pep:known chromosome:GRCm38:7:119794010:119848943:1 gene:ENSMUSG00000030924.16 transcript:ENSMUST00000106520.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610020H08Rik description:RIKEN cDNA 2610020H08 gene [Source:MGI Symbol;Acc:MGI:1919402] MEQEKEEANSRKRKEAPNSSASATERDGPPSHIQDREPQAKKARLSTILFTDNCEVTHRQ LCELLKYAVLGKSAVPKPSWCQLSHQKQLNNVVVFILKGMSQLHFYRFYLEFRFLRKTFR HKFSLPSPTSSFLFDIIGLQKKKSARSFRRTVEGPLISATLRSSIDLQNDPIIQKYGYKN VGLTKCLLTKEEMKTFHFPLPGSPNYENFILTKYTGFITDSSPLFGLDCEVCLTSMGKEL TRISLVTEGGYCLIDELVKPDLKILDYLTSFTGITKEILNPVTTKLKDVQKLLRELLPPD AVLVGHCLDLDLRVLKMIHPYVIDTSLLYAGKQGRRFKLTFLARVILGKDIQCPNKLGRD GIEDARAALELLQYFLKYGPKKIAEFNLEALAANQEQGDKEEAAHMRCVLKCLESMGQKL LFLTQDINELSSYRNCQTIKCSSNKKVLEQARVEVPLFPFNIVEFSFQPFPPLFAEEMKN SMKTKWTQMSTVYAGPFSKDCNVGALKKVFSSLGPVHSITLVLETYRPYFSIQYEVLEAA QLALETMNGSLLEGSCIRVRRLVTELTLECDTLVRELEQDSENQGTIYVAGIGETFKEHL LEQSNLFPDLEAVILPKEVKSRKQKNYCFLKFKTVNSAQVALEILKGKDWKLKGRNALTP RHLQAWLKDIHPEPAMPMGLRIVPPLMERHIFRTRKVNHPKIVAWRWSRKIEKLYHSLSP GTFCLILMPGTKNAFGSHPGLGLMKIKEEEESDTPGVRV >ENSMUSP00000121086.1 pep:known chromosome:GRCm38:7:119794119:119848938:1 gene:ENSMUSG00000030924.16 transcript:ENSMUST00000133758.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2610020H08Rik description:RIKEN cDNA 2610020H08 gene [Source:MGI Symbol;Acc:MGI:1919402] MEQEKEEANSRKRKEAPNSSASATERDGPPSHIQDREPQAKDL >ENSMUSP00000146631.1 pep:known chromosome:GRCm38:7:119823876:119848943:1 gene:ENSMUSG00000030924.16 transcript:ENSMUST00000207042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610020H08Rik description:RIKEN cDNA 2610020H08 gene [Source:MGI Symbol;Acc:MGI:1919402] XDLRVLKMIHPYVIDTSLLYAGKQGRRFKLTFLARVILGKDIQCPNKLGRDGIEDARAAL ELLQYFLKYGPKKIAEFNLEALAANQEQGDKEEAAHMSAFGSHPGLGLMKIKEEEESDTP GVRV >ENSMUSP00000146928.1 pep:known chromosome:GRCm38:7:119843865:119848939:1 gene:ENSMUSG00000030924.16 transcript:ENSMUST00000208789.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2610020H08Rik description:RIKEN cDNA 2610020H08 gene [Source:MGI Symbol;Acc:MGI:1919402] XELTLECDTLVRELEQDSENQGTIYVAGIGETFKEHLLEQSNLFPDLEAVILPKEVKSRK QKNYCFLNSEGEPPKDSSLALEPED >ENSMUSP00000081694.2 pep:known chromosome:GRCm38:7:119794130:119848938:1 gene:ENSMUSG00000030924.16 transcript:ENSMUST00000084644.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610020H08Rik description:RIKEN cDNA 2610020H08 gene [Source:MGI Symbol;Acc:MGI:1919402] MKTFHFPLPGSPNYENFILTKYTGFITDSSPLFGLDCEVCLTSMGKELTRISLVTEGGYC LIDELVKPDLKILDYLTSFTGITKEILNPVTTKLKDVQKLLRELLPPDAVLVGHCLDLDL RVLKMIHPYVIDTSLLYAGKQGRRFKLTFLARVILGKDIQCPNKLGRDGIEDARAALELL QYFLKYGPKKIAEFNLEALAANQEQGDKEEAAHMSVLKCLESMGQKLLFLTQDINELSSY RNCQTIKCSSNKKVLEQARVEVPLFPFNIVEFSFQPFPPLFAEEMKNSMKTKWTQMSTVY AGPFSKDCNVGALKKVFSSLGPVHSITLVLETYRPYFSIQYEVLEAAQLALETMNGSLLE GSCIRVRRLVTELTLECDTLVRELEQDSENQGTIYVAGIGETFKEHLLEQSNLFPDLEAV ILPKEVKSRKQKNYCFLMLLGRTLA >ENSMUSP00000029125.8 pep:known chromosome:GRCm38:3:19131402:19163065:-1 gene:ENSMUSG00000027599.9 transcript:ENSMUST00000029125.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc1 description:armadillo repeat containing 1 [Source:MGI Symbol;Acc:MGI:1921502] MNSSSSTMNEEPDALSVVNQLRDLAADPLNRRAIVQDQGCLPGLILFMDHPNPPVVHSAL LALRYLAECRANREKMKGELGMMLSLQNVIQKTTTPGETKLLASEIYDILQSSNLADGDS FNEMNSRRRKAQFFLGTTNKRAKTVVLHIDGLDDTSRRNLCEEALLKIKGVISFTFQMAV QRCVVRIRSDLKAEALASAIASTKVMKAQQVVKSESGEEMLVPFQDAPVEVEENTELPDY LPEDESPTKEQDKAVSRVGSHPEGGASWLSTAANFLSRSFYW >ENSMUSP00000106743.1 pep:known chromosome:GRCm38:2:104886324:104999737:1 gene:ENSMUSG00000045106.12 transcript:ENSMUST00000111114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc73 description:coiled-coil domain containing 73 [Source:MGI Symbol;Acc:MGI:3606488] MEDSFNTTSSASTFTLQSSSETMVSIQLLDFRTSLLEALEELRMRRKAETQYEEQIAKII LETQELKWQKEALQNQKEALIKQHKEAMGVLKNQLQMKMYALEEEKGKYKLATEIKEKEI EGLKETLKTLQVSQYSLQKKVSEMEQKAHLYHLAKEDYHKQLNEIEKYYAAITNQFGLVR ENHVKLEQNVQEAIQLNKRLSTLNEKQESEIHSLKKELKKAASELIKSKVTCQHKMEEES IDLIIKEQKYEELQERLNMELEVNKKINEEITHIQEEKQDIIISFQHMQQLLQQETQANT EIDAELKVLRENNQTLERDNELQREKVKENEEKFLSLEKEHERALGTWKKHVEELSGEMN VIKNELSSLRETHAKLQEHYNKLCEQKKTEEYKKFQNVPELNNENSDELTRKKSENIITQ KYNSGPEIWGKNTKSFCLDTEYREEEKKDLPVGKTAEDLQPFEISAKSEINTMVSQDRNQ SGMSPHRVLCLDKDATDQEQTSDVTDSRKSVTVEVKDKLCLEKASGCSEFKSLNNFFLVV DESLETEMVRLEGTEGLGLLHSGGDIPLDTRSNKASSNGMSNEMAHKRNYNTDGSESNPF KQQSKLLPADLENATEKEITNQDQTKAGLDSFLDIKLNLDPCKKHGLQDSSHVTLDVKHQ KIKQMVREESQCSTEPRSCYQLASKAPQKPGGTIACAAVVSPLGPSASSDNKLTALKKSE NSINTLPTAAKPAPSPAERTTRTNTNDIQNSSLRNHLGASESSVSVSDFQVNQGDSHTSQ AKGLKTVVPLTTSSEKQPPSESQITETPKSGLSSLVDVTGRQCMWLNNRDKTEALNGILS GGTCHEGQLEEAHLSPATPSADSVSTSARSAFDLPSPDKPEKTPGYIKFVPLSPWPKVNQ TKTVGTATPSIPLFLKEKTVDLSGSRVITPVTFCKNVVLDDTRKNIESDPTSNSRAADTM SNWSIHLDPKGQPREERNATAQTVYDSSFPTEHVKAEPLISTVQQSHSQTVKVTDSPDPL TFSPGNNDWQSLVMNRLTEIEKLLSLESDNQPKRRKVEEMLDNIID >ENSMUSP00000115091.1 pep:known chromosome:GRCm38:2:104867805:104951567:1 gene:ENSMUSG00000045106.12 transcript:ENSMUST00000127840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc73 description:coiled-coil domain containing 73 [Source:MGI Symbol;Acc:MGI:3606488] MEDSFNTTSSASTFTLQSSSETMVSIQLLDFRTSLLEALEELRMRRKAETQYEEQIAKII LETQELKWQKEALQNQKEALIKQHKEAMGVLKNQLQMKMYALEEEKGKYKLATEIKEKEI EGLKETLKTLQVSQYSLQKKVSEMEQKAHLYHLAKEDYHKQLNE >ENSMUSP00000120706.1 pep:known chromosome:GRCm38:2:104907546:104990023:1 gene:ENSMUSG00000045106.12 transcript:ENSMUST00000151764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc73 description:coiled-coil domain containing 73 [Source:MGI Symbol;Acc:MGI:3606488] MEDSFNTTSSASTFTLQSSSETMVSIQLLDFRTSLLEALEELRMRRKAETQYEEQIAKII LETQELKWQKEALQNQKEALIKQHKEAMGVLKNQLQMKMYALEEEKGKYKLATEIKEKEI EGLKETLKTLQVSQYSLQKKVSEMEQKAHLYHLAKEDYHKQLNEIEKYYAAITNQFGLVR ENHVKLEQNVQEAIQLNKRLSTLNEKQESEIHSLKKELKKAASELIKSKVTCQHKMEEES IDLIIKEQKYEELQERLNMELEVNKKINEEITHIQEEKQQLLQQETQANTEIDAELKVLR ENNQTLERDNELQREKVKENEEKFLSLEKEHERALGTWKKHVEELSGEMNVIKNELSSLR ETHAKLQEHYNKLCEQKKTEEYKKFQNVPELNNENSDELTRKKSENIITQKYNSGPEIWG KNTKSFCLDTEYREEEKK >ENSMUSP00000114374.1 pep:known chromosome:GRCm38:2:104992190:105017851:1 gene:ENSMUSG00000045106.12 transcript:ENSMUST00000144358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc73 description:coiled-coil domain containing 73 [Source:MGI Symbol;Acc:MGI:3606488] XRDKTEALNGILSGGTCHEGQLEEAHLSPATPSADSVSTSARSAFDLPSPDKPEKTPGYI KFVPLSPWPKVNQTKTVGTATPSIPLFLKEKTVDLSGSRVITPVTFCKNVVLDDTRKNIE SDPTSNSRAADTMSNWSIHLDPKGQPREERNATAQTVYDSSFPTEHGMTRSAYSAQIFLQ NIIVCS >ENSMUSP00000027173.8 pep:known chromosome:GRCm38:1:60069785:60097908:-1 gene:ENSMUSG00000026019.15 transcript:ENSMUST00000027173.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr12 description:WD repeat domain 12 [Source:MGI Symbol;Acc:MGI:1927241] MAQLQARFYSENKKYAVDDVPFSIPAAAEVADLSNIINKLLETKNELHKHVEFDFLIKGQ FLRVPLVKHMELENISSEEVVELEYVEKYTAPQPEQCMFHDDWISSIEGAEEWILSGSYD KTSRIWSLEGKSIMTIVGHTDVVKDVAWVKKDSLSCLLLTASMDQTVLLWEWNVEKNKVK ALHCCRGHAGSVDAIAVDSSGAKFCSGSWDKMLKIWSTVPTDEEDEMEEATNRPRKKQKT EQLGLTRTPLVTLSGHTEAISSVLWSDAEEICSASWDHTIRVWDVESGGLKSTLTGNKVF NCISYSPLCKRLASGSTDRHIRLWDPRTKDGSLVSLSLTSHTGWVTSVKWSPTHEQQLIS GSLDNIVKLWDTRSCKAPLYDLAAHEDKVLSVDWTDTGLLLSGGADNKLYSYSYSPTTSH VGA >ENSMUSP00000113148.1 pep:known chromosome:GRCm38:1:60076868:60098146:-1 gene:ENSMUSG00000026019.15 transcript:ENSMUST00000122038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr12 description:WD repeat domain 12 [Source:MGI Symbol;Acc:MGI:1927241] MAQLQARFYSENKKYAVDDVPFSIPAAAEVADLSNIINKLLETKNELHKHVEFDFLIKGQ FLRVPLVKHMELENISSEEVVELEYVEKYTAPQPEQCMFHDDWISSIEGAEEWILSGSYD KTSRIWSLEGKSIMTIVGHTDVVKDVAWVKKDSLSCLLLTASMDQTVLLWEWNVEKNKVK ALHCCRGHAGSVDAIAVDSSGAKFCSGSWDKMLKIWSTVPTDEEDEMEEATNRPRKKQKT EQLGLTRTPLVTLSGHTEAISSVLWSDAEEICSASWDHTIRVWDVESGGLKSTLTGNKVF NCISYSPLCKRLASGSTDRHIRLWDPRTKDGSLVSLSLTSHTGWVTSVKWSPTHEQQLIS GSLDNIVKLWDTRSCKAPLYDLAAHEDKVLSVDWTDTGLLLSGGADNKLYSYSYSPTTSH VGA >ENSMUSP00000113494.1 pep:known chromosome:GRCm38:1:60076868:60098645:-1 gene:ENSMUSG00000026019.15 transcript:ENSMUST00000117438.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr12 description:WD repeat domain 12 [Source:MGI Symbol;Acc:MGI:1927241] MAQLQARFYSENKKYAVDDVPFSIPAAAEVADLSNIINKLLETKNELHKHVEFDFLIKGQ FLRVPLVKHMELENISSEEVVELEYVEKYTAPQPEQCMFHDDWISSIEGAEEWILSGSYD KTSRIWSLEGKSIMTIVGHTDVVKDVAWVKKDSLSCLLLTASMDQTVLLWEWNVEKNKVK ALHCCRGHAGSVDAIAVDSSGAKFCSGSWDKMLKIWSTVPTDEEDEMEEATNRPRKKQKT EQLGLTRTPLVTLSGHTEAISSVLWSDAEEICSASWDHTIRVWDVESGGLKSTLTGNKVF NCISYSPLCKRLASGSTDRHIRLWDPRTKDGSLVSLSLTSHTGWVTSVKWSPTHEQQLIS GSLDNIVKLWDTRSCKAPLYDLAAHEDKVLSVDWTDTGLLLSGGADNKLYSYSYSPTTSH VGA >ENSMUSP00000117391.1 pep:known chromosome:GRCm38:1:60088041:60098125:-1 gene:ENSMUSG00000026019.15 transcript:ENSMUST00000143342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr12 description:WD repeat domain 12 [Source:MGI Symbol;Acc:MGI:1927241] MAQLQARFYSENKKYAVDDVPFSIPAAAEVADLSNIINKLLETKNELHKHVEFDFLIKGQ FLRVPLVKHMELENISSEEVVELEYVEKYTAPQPEQCMFHDDWISSIEGAEEWILSGSYD KTSRIWSLEGKSIMTIVGHTDVVKDVAWVKK >ENSMUSP00000117747.1 pep:known chromosome:GRCm38:1:60088041:60098135:-1 gene:ENSMUSG00000026019.15 transcript:ENSMUST00000141417.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr12 description:WD repeat domain 12 [Source:MGI Symbol;Acc:MGI:1927241] MAQLQARFYSENKKYAVDDVPFSIPAAAEVADLSNIINKLLETKNELHKHVEFDFLIKGQ FLRVPLVKHMELENISSEEVVELEYVEKYTAPQPEQCMFHDDWISSIEGAEEWILSGSYD KTSRIWSLEGKSIMTIVGHTDVVKDVAWVKK >ENSMUSP00000146419.1 pep:known chromosome:GRCm38:7:130577438:130716839:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000207918.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] MGNENSTSDHQRTSSVQSPRSLQPPGKSQSLQKQQGDLPGSCAGSTGLGGSCSASESVAS LDPCTVSPEVAEQGEHPQEARGPEGSPLPRSSLPWEQPCPSASMPFTEGPSEGCLASSEA EAPEDSTLTNHLRMEPSPNIPGNILPTSTPEVDGPTQHSMAARTPSAEGDKELKEEGPNS SSNRTGQLPGISLVPLQEPRTELLSGEGTGPRDFEFQRKEDMDDCPSPESATKAPAATQP GMQNSADLESPPKLETMVPQDSVLRSSDRERDGVEVLPTCSAKNLEFLEGCHPSKSNSRA IPGSGTSTASQESCQQKVEMHLPYAELPSGPPGLTTAPANSGSWKETLDTSDAQRQPQTG TSGTELQQVVCVAAASQLNGSFLLSAEAPLFTRTEETSSSASSPTAHAAAWNSVASEEST ETVSETKMSVSADLAATEQMDTGVAERKPTSDLRKTQEQPEGSLQQVPAPFLQGQNDTVQ QGLLLPTFSHGISNESSVEPTDGPKAPKNTQNRVVAREESRSHGDNLEGQQEATRALAGA EPGNHDEEKSQAFHSKPHLETKKGEVSKAHSNTENKIVPSSDSTQPLRQEVAGHRDGSHS GSAEVVGQVVAESHVTDTATSLHKLHEEDPILSSASDGTGEHFLPQEAIWESSRSQTKSP VMLQDRGGLEAIESLPALESEKGDFLPATAAEEVPKAGEMEDILEVKKSHSPFHPPFSCD GEGLLMSPGQPCGVEKVEPGQEVHADASSPRNGKDSTIGHGLAVLSLEQDCQRKLSCPED SLPPSPKNRLQPSQLDPEASISAILCDKNQPPTNGQKACQSDPGLKKQSTDPSSILVPEE RKPWADVSLSTQGGNEQGSELPSKGSPCNTPSSSPKDKVLEGAPPSEMSELSTPLGQESP ALGGNEQEGTGSSSQLSEIPPPAAATALTENLGGKDSLSTGQGLSKSQQELDDALQIGSL REEARCGDSGLSEAGDVLPPPLGLDKTETASRNRVEALPCPPDSVALLDTAHYSPDPVPT NPRVTLTQDALESEACDEGQKESAPQLEMEQPAPLGTEAQSPLGSFQKAEERDGKGGSAE VNVDASEGDPGMQQASEAPEPALSSGFLQADQSLASTLSEPRQLVQLEPSCGDALLPAGE TDGMPRSSVDVLTQHTVSGPQSLLPAEPPDTPYLHINSAARKDAEDGSTRGAVSSEDPGA PHESPVKEPPPALENDTLEKTPAVSFTTVLQPGTADGDISAAGDGNSIGIPQAGTTEGHV SFMPYLDRMPLPVRDEQMAREMHVAAAPEANARPSEIAACPASEDAAGEREGNRERPVEL TPDLRVVASGSEGASSKQTSIIAGLPDFREHITKIFEQSVLGALAADRPHSKKSGVPRNV LFEGPDVSLNSEKLLDGAQGVAAALLPVPPAGLQVEKKQESAVEAESSHQVPQDPASEKM MGLVGTALEESRPGASVEGERTGEPVQGTQAHSQQARSRQELTVGLPSSAAVQGLPAERA PDFPVAPQSHADVDEASAQGDKSHSIKEHLETLPSNGQQREDGTWDPTHTKGLTNLSGSP CALNGSSHGSVLNVPEPISEPLIPSTLEGDRQIEAAVSTANMQNMLGNQDAPKMLAGGVL TTPLDPSKMARAAEEAEGDVTPSRAETWACASGDLLETGTTRMLPGVAGNSAHPGSFQDS GCSNRAQVMEEDAATLQGDSQVEDQQAKQQLGPQLPTPVGHGKPSISSPPEPDESKDEKL HLVAPEELLSDRKSPGPGPATLPSVPEACVPKGFPAEARDLGGVESIPGTDDVIQPAAPV DPGHPPLADSSHHGDAVSSVSTHLTVQSASPSAARASPAPLAPEHTASAPSAAGPGVEVT PTASPQHLAKNEPRSSDSEEAFETPESTTPVKAPPAPPPPPPEVTPEPEVIDPPAPEEP >ENSMUSP00000146690.1 pep:known chromosome:GRCm38:7:130577484:130764401:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000207789.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] MGNENSTSDHQRTSSVQSPRSLQPPGKSQSLQKQQGDLPGSCAGSIPGTDDVIQPAAPVD PGHPPLADSSHHGDAVSSVSTHLTVQSASPSAARASPAPLAPEHTASAPSAAGPGVEVTP TASPQHLAKNEPRSSDSEEAFETPESTTPVKAPPAPPPPPPEVTPEPEVIDPPAPEEPGC ISEPPVVVPDGPRSSESVEGSPFRPSHSSSAVFDEDKPIASSGTYNLDFDSIELVDNFQS LEPCSADSKGQECKP >ENSMUSP00000081561.4 pep:known chromosome:GRCm38:7:130577484:130764708:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000084513.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] MGNENSTSDHQRTSSVQSPRSLQPPGKSQSLQKQQGDLPGSCAGSTGLGGSCSASESVAS LDPCTVSPEVAEQGEHPQEARGPEGSPLPRSSLPWEQPCPSASMPFTEGPSEGCLASSEA EAPEDSTLTNHLRMEPSPNIPGNILPTSTPEVDGPTQHSMAARTPSAEGDKELKEEGPNS SSNRTGQLPGISLVPLQEPRTELLSGEGTGPRDFEFQRKEDMDDCPSPESATKAPAATQP GMQNSADLESPPKLETMVPQDSVLRSSDRERDGVEVLPTCSAKNLEFLEGCHPSKSNSRA IPGSGTSTASQESCQQKVEMHLPYAELPSGPPGLTTAPANSGSWKETLDTSDAQRQPQTG TSGTELQQVVCVAAASQLNGSFLLSAEAPLFTRTEETSSSASSPTAHAAAWNSVASEEST ETVSETKMSVSADLAATEQMDTGVAERKPTSDLRKTQEQPEGSLQQVPAPFLQGQNDTVQ QGLLLPTFSHGISNESSVEPTDGPKAPKNTQNRVVAREESRSHGDNLEGQQEATRALAGA EPGNHDEEKSQAFHSKPHLETKKGEVSKAHSNTENKIVPSSDSTQPLRQEVAGHRDGSHS GSAEVVGQVVAESHVTDTATSLHKLHEEDPILSSASDGTGEHFLPQEAIWESSRSQTKSP VMLQDRGGLEAIESLPALESEKGDFLPATAAEEVPKAGEMEDILEVKKSHSPFHPPFSCD GEGLLMSPGQPCGVEKVEPGQEVHADASSPRNGKDSTIGHGLAVLSLEQDCQRKLSCPED SLPPSPKNRLQPSQLDPEASISAILCDKNQPPTNGQKACQSDPGLKKQSTDPSSILVPEE RKPWADVSLSTQGGNEQGSELPSKGSPCNTPSSSPKDKVLEGAPPSEMSELSTPLGQESP ALGGNEQEGTGSSSQLSEIPPPAAATALTENLGGKDSLSTGQGLSKSQQELDDALQIGSL REEARCGDSGLSEAGDVLPPPLGLDKTETASRNRVEALPCPPDSVALLDTAHYSPDPVPT NPRVTLTQDALESEACDEGQKESAPQLEMEQPAPLGTEAQSPLGSFQKAEERDGKGGSAE VNVDASEGDPGMQQASEAPEPALSSGFLQADQSLASTLSEPRQLVQLEPSCGDALLPAGE TDGMPRSSVDVLTQHTVSGPQSLLPAEPPDTPYLHINSAARKDAEDGSTRGAVSSEDPGA PHESPVKEPPPALENDTLEKTPAVSFTTVLQPGTADGDISAAGDGNSIGIPQAGTTEGHV SFMPYLDRMPLPVRDEQMAREMHVAAAPEANARPSEIAACPASEDAAGEREGNRERPVEL TPDLRVVASGSEGASSKQTSIIAGLPDFREHITKIFEQSVLGALAADRPHSKKSGVPRNV LFEGPDVSLNSEKLLDGAQGVAAALLPVPPAGLQVEKKQESAVEAESSHQVPQDPASEKM MGLVGTALEESRPGASVEGERTGEPVQGTQAHSQQARSRQELTVGLPSSAAVQGLPAERA PDFPVAPQSHADVDEASAQGDKSHSIKEHLETLPSNGQQREDGTWDPTHTKGLTNLSGSP CALNGSSHGSVLNVPEPISEPLIPSTLEGDRQIEAAVSTANMQNMLGNQDAPKMLAGGVL TTPLDPSKMARAAEEAEGDVTPSRAETWACASGDLLETGTTRMLPGVAGNSAHPGSFQDS GCSNRAQVMEEDAATLQGDSQVEDQQAKQQLGPQLPTPVGHGKPSISSPPEPDESKDEKL HLVAPEELLSDSIPGTDDVIQPAAPVDPGHPPLADSSHHGDAVSSVSTHLTVQSASPSAA RASPAPLAPEHTASAPSAAGPGVEVTPTASPQHLAKNEPRSSDSEEAFETPESTTPVKAP PAPPPPPPEVTPEPEVIDPPAPEEPGCISEPPVVVPDGPRSSESVEGSPFRPSHSSSAVF DEDKPIASSGTYNLDFDSIELVDNFQSLEPCSADSKGQECKVSTRRKSTESVPPSKSTLS RSLSLQASDFDGASCPGSPEAGTLTTDACGTGSNSASSTLKRTKKTRPPSLKKKQATKKP TETPPVKETQQEPGEESPVPSEEHLAPETKTESATPEGAGCTLSDDTPLESPAVPTATCP LTLESAEDVSPLVSGGGRVQNSPPVGRKSVPLTTASEAVEVTLSDSGGQEDLPAKGLSVR LEFDYSEDKGSWESQQENAPPTKKIGKKPVAKMPLRRPKMKKTPEKLDNTPASPPRSPTE PSDTPIAKGTYTFDIDKWDDPNFNPFSSTSKMQESPKLSQQSYNFDPDACEESLDPFKAS SKTPSSPSKSPASFEIPASTTEADGDGLNKPAKKKKTPLKTMVEDVMSVCSLFDTFRVKK SPKRSPLSDPPSQDPTPAATPEAPSAISTVVHATDEEKLAVTSQKWTCMTVDLDADKQDF PQPSDLSNFVNETKFNSPSEGKQLGGQPDPHLALENTVPRGQRARKELDYRNSYEIEYME KLGSSLPQDDDTPKKQALYLMFDTPQESPVKSPPVRMSDSPTPCSGSSFEDTEALVNAAT KLQHPVARGLPSSQEPLLQVPEKPSQKELEAMALGTPAEAIEITAPEGAFASADTLLSRL AHPASLCGALGYLEPDLAEKNPPVFAQKLQEELEFAVMRIEALKLARQIALASRSRQDTK REAAHPPDVSISKTALYSRIGSTEVEKPPGLLFQQPDLDSALQVARAEVIAKEREVSEWR DKYEESRREVVEMRKIVAEYEKTIAQMIEDEQREKSISHQTVQQLVLEKEQALADLNSVE KSLADLFRRYEKMKEVLEGFRKNEEVLKKCAQEYLSRVKKEEQRYQALKVHAEEKLDRAN AEIAQVRGKAQQEQAAYQASLRKEQLRVDALERTLEQKNKEIEELTKICDELIAKMGKS >ENSMUSP00000146718.1 pep:known chromosome:GRCm38:7:130577484:130764763:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000208722.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] MGNENSTSDHQRTSSVQSPRSLQPPGKSQSLQKQQGDLPGSCAGSIPGTDDVIQPAAPVD PGHPPLADSSHHGDAVSSVSTHLTVQSASPSAARASPAPLAPEHTASAPSAAGPGVEVTP TASPQHLAKNEPRSSDSEEAFETPESTTPVKAPPAPPPPPPEVTPEPEVIDPPAPEEPGC ISEPPVVVPDGPRSSESVEGSPFRPSHSSSAVFDEDKPIASSGTYNLDFDSIELVDNFQS LEPCSADSKGQECKVSTRRKSTESVPPSKSTLSRSLSLQASDFDGASCPGSPEAGTLTTD ACGTGSNSASSTLKRTKKTRPPSLKKKQATKKPTETPPVKETQQEPGEESPVPSEEHLAP ETKTESATPEGAGCTLSDDTPLESPAVPTATCPLTLESAEDVSPLVSGGGRVQNSPPVGR KSVPLTTASEAVEVTLSDSGGQEDLPAKGLSVRLEFDYSEDKGSWESQQENAPPTKKIGK KPVAKMPLRRPKMKKTPEKLDNTPASPPRSPTEPSDTPIAKGTYTFDIDKWDDPNFNPFS STSKMQESPKLSQQSYNFDPDACEESLDPFKASSKTPSSPSKSPASFEIPASTTEADGDG LNKPAKKKKTPLKTMVEDVMSVCSLFDTFRVKKSPKRSPLSDPPSQDPTPAATPEAPSAI STVVHATDEEKLAVTSQKWTCMTVDLDADKQDFPQPSDLSNFVNETKFNSPSEELDYRNS YEIEYMEKLGSSLPQDDDTPKKQALYLMFDTPQESPVKSPPVRMSDSPTPCSGSSFEDTE ALVNAATKLQHPVARGLPSSQEPLLQVPEKPSQKELEAMALGTPAEAIEITAPEGAFASA DTLLSRLAHPASLCGALGYLEPDLAEKNPPVFAQKLQEELEFAVMRIEALKLARQIALAS RSRQDTKREAAHPPDVSISKTALYSRIGSTEVEKPPGLLFQQPDLDSALQVARAEVIAKE REVSEWRDKYEESRREVVEMRKIVAEYEKTIAQMIGRTERKIHLPPNCTAAGPGEGASPG >ENSMUSP00000146840.1 pep:known chromosome:GRCm38:7:130646230:130764708:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000207549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] MPLRRPKMKKTPEKLDNTPASPPRSPTEPSDTPIAKGTYTFDIDKWDDPNFNPFSSTSKM QESPKLSQQSYNFDPDACEESLDPFKASSKTPSSPSKSPASFEIPASTTEADGDGLNKPA KKKKTPLKTVKKSPKRSPLSDPPSQDPTPAATPEAPSAISTVVHATDEEKLAVTSQKWTC MTVDLDADKQDFPQPSDLSNFVNETKFNSPSEELDYRNSYEIEYMEKLGSSLPQDDDTPK KQALYLMFDTPQESPVKSPPVRMSDSPTPCSGSSFEDTEALVNAATKLQHPVARGLPSSQ EPLLQVPEKPSQKELEAMALGTPAEAIEIREAAHPPDVSISKTALYSRIGSTEVEKPPGL LFQQPDLDSALQVARAEVIAKEREVSEWRDKYEESRREVVEMRKIVAEYEKTIAQMIEDE QREKSISHQTVQQLVLEKEQALADLNSVEKSLADLFRRYEKMKEVLEGFRKNEEVLKKCA QEYLSRVKKEEQRYQALKVHAEEKLDRANAEIAQVRGKAQQEQAAYQASLRKEQLRVDAL ERTLEQKNKEIEELTKICDELIAKMGKS >ENSMUSP00000146908.1 pep:known chromosome:GRCm38:7:130646243:130761449:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000209108.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] MPLRRPKMKKTPEKLDNTPASPPRSPTEPSDTPIAKGTYTFDIDKWDDPNFNPFSSTSKM QESPKLSQQSYNFDPDACEESLDPFKASSKTPSSPSKSPASFEIPASTTEADGDGLNKPA KKKKTPLKTDTFRVKKSPKRSPLSDPPSQDPTPAATPEAPSAISTVVHATDEEKLAVTSQ KWTCMTVDLDADKQDFPQPSDLSNFVNETKFNSPSEELDYRNSYEIEYMEKLGSSLPQDD DTPKKQALYLMFDTPQESPVKSPPVRMSDSPTPCSGSSFEDTEALVNAATKLQHPVARGL PSSQEPLLQVPEKPSQKELEAMALGTPAEAIEIREAAHPPDVSISKTALYSRIGSTEVEK PPGLLFQQPDLDSALQVARAEVIAKEREVSEWRDKYEESRREVVEMRKIVAEYEKTIAQM IEDEQREKSISHQTVQQLVLEKEQALADLNSVEKSLADLFRRYEKMKEVLEGFRKNEEVL KKCAQEYLSRVKKEEQRYQALKVHAEEKLDRANAEIAQVRGKAQQEQAAYQASLRKEQLR VDALERTLEQKDKQR >ENSMUSP00000147134.1 pep:known chromosome:GRCm38:7:130692556:130764724:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000208743.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] MGGSQSLQPAPASDLNLEVSEAMSSDSEEAFETPESTTPVKAPPAPPPPPPEVTPEPEVI DPPAPEEPGCISEPPVVVPDGPRSSESVEGSPFRPSHSSSAVFDEDKPIASSGTYNLDFD SIELVDNFQSLEPCSADSKGQECKVSTRRKSTESVPPSKSTLSRSLSLQASDFDGASCPG SPEAGTLTTDACGTGSNSASSTLKRTKKTRPPSLKKKQATKKPTETPPVKETQQEPGEES PVPSEEHLAPETKTESATPEGAGCTLSDDTPLESPAVPTATCPLTLESAEDVSPLVSGGG RVQNSPPVGRKSVPLTTASEAVEVTLSDSGGQEDLPAKGLSVRLEFDYSEDKGSWESQQE NAPPTKKIGKKPVAKMPLRRPKMKKTPEKLDNTPASPPRSPTEPSDTPIAKGTYTFDIDK WDDPNFNPFSSTSKMQESPKLSQQSYNFDPDACEESLDPFKASSKTPSSPSKSPASFEIP ASTTEADGDGLNKPAKKKKTPLKTMVEDVMSVCSLFDTFRVKKSPKRSPLSDPPSQDPTP AATPEAPSAISTVVHATDEEKLAVTSQKWTCMTVDLDADKQDFPQPSDLSNFVNETKFNS PSEELDYRNSYEIEYMEKLGSSLPQDDDTPKKQALYLMFDTPQESPVKSPPVRMSDSPTP CSGSSFEDTEALVNAATKLQHPVARGLPSSQEPLLQVPEKPSQKELEAMALGTPAEAIEI TAPEGAFASADTLLSRLAHPASLCGALGYLEPDLAEKNPPVFAQKLQEELEFAVMRIEAL KLARQIALASRSRQDTKREAAHPPDVSISKTALYSRIGSTEVEKPPGLLFQQPDLDSALQ VARAEVIAKEREVSEWRDKYEESRREVVEMRKIVAEYEKTIAQMIGRTERKIHLPPNCTA AGPGEGASPG >ENSMUSP00000146848.1 pep:known chromosome:GRCm38:7:130692765:130764784:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000207282.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] MGGSQSLQPAPASDLNLEVSEAMSSDSEEAFETPESTTPVKAPPAPPPPPPEVTPEPEVI DPPAPEEPGCISEPPVVVPDGPRSSESVEGSPFRPSHSSSAVFDEDKPIASSGTYNLDFD SIELVDNFQSLEPCSADSKGQECKVSTRRKSTESVPPSKSTLSRSLSLQASDFDGASCPG SPEAGTLTTDACGTGSNSASSTLKRTKKTRPPSLKKKQATKKPTETPPVKETQQEPGEES PVPSEEHLAPETKTESATPEGAGCTLSDDTPLESPAVPTATCPLTLESAEDVSPLVSGGG RVQNSPPVGRKSVPLTTASEAVEVTLSDSGGQEDLPAKGLSVRLEFDYSEDKGSWESQQE NAPPTKKIGKKPVAKMPLRRPKMKKTPEKLDNTPASPPRSPTEPSDTPIAKGTYTFDIDK WDDPNFNPFSSTSKMQESPKLSQQSYNFDPDACEESLDPFKASSKTPSSPSKSPASFEIP ASTTEADGDGLNKPAKKKKTPLKTDTFRVKKSPKRSPLSDPPSQDPTPAATPEAPSAIST VVHATDEEKLAVTSQKWTCMTVDLDADKQDFPQPSDLSNFVNETKFNSPSEELDYRNSYE IEYMEKLGSSLPQDDDTPKKQALYLMFDTPQESPVKSPPVRMSDSPTPCSGSSFEDTEAL VNAATKLQHPVARGLPSSQEPLLQVPEKPSQKELEAMALGTPAEAIEIREAAHPPDVSIS KTALYSRIGSTEVEKPPGLLFQQPDLDSALQVARAEVIAKEREVSEWRDKYEESRREVVE MRKIVAEYEKTIAQMIEDEQREKSISHQTVQQLVLEKEQALADLNSVEKSLADLFRRYEK MKEVLEGFRKNEEVLKKCAQEYLSRVKKEEQRYQALKVHAEEKLDRANAEIAQVRGKAQQ EQAAYQASLRKEQLRVDALERTLEQKNKEIEELTKICDELIAKMGKS >ENSMUSP00000146915.1 pep:known chromosome:GRCm38:7:130728750:130764763:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000207395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] VSTRRKSTESVPPSKSTLSRSLSLQASDFDGASCPGSPEAGTLTTDACGTGSNSASSTLK RTKKTRPPSLKKKQATKKPTETPPVKETQQEPGEESPVPSEEHLAPETKTESATPEGAGC TLSDDTPLESPAVPTATCPLTLESAEDVSPLVSGGGRVQNSPPVGRKSVPLTTASEAVEV TLSDSGGQEDLPAKGLSVRLEFDYSEDKGSWESQQENAPPTKKIGKKPVAKMPLRRPKMK KTPEKLDNTPASPPRSPTEPSDTPIAKGTYTFDIDKWDDPNFNPFSSTSKMQESPKLSQQ SYNFDPDACEESLDPFKASSKTPSSPSKSPASFEIPASTTEADGDGLNKPAKKKKTPLKT DTFRVKKSPKRSPLSDPPSQDPTPAATPEAPSAISTVVHATDEEKLAVTSQKWTCMTVDL DADKQDFPQPSDLSNFVNETKFNSPSEGKQLGGQPDPHLALENTVPRGQRARKELDYRNS YEIEYMEKLGSSLPQDDDTPKKQALYLMFDTPQESPVKSPPVRMSDSPTPCSGSSFEDTE ALVNAATKLQHPVARGLPSSQEPLLQVPEKPSQKELEAMALGTPAEAIEIREAAHPPDVS ISKTALYSRIGSTEVEKPPGLLFQQPDLDSALQVARAEVIAKEREVSEWRDKYEESRREV VEMRKIVAEYEKTIAQMIEDEQREKSISHQTVQQLVLEKEQALADLNSVEKSLADLFRRY EKMKEVLEGFRKNEEVLKKCAQEYLSRVKKEEQRYQALKVHAEEKLDRANAEIAQVRGKA QQEQAAYQASLRKEQLRVDALERTLEQKNKEIEELTKICDELIAKMGKS >ENSMUSP00000147054.1 pep:known chromosome:GRCm38:7:130729383:130764785:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000207376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] ESQQENAPPTKKIGKKPVAKMPLRRPKMKKTPEKLDNTPASPPRSPTEPSDTPIAKGTYT FDIDKWDDPNFNPFSSTSKMQESPKLSQQSYNFDPDACEESLDPFKASSKTPSSPSKSPA SFEIPASTTEADGDGLNKPAKKKKTPLKTVKKSPKRSPLSDPPSQDPTPAATPEAPSAIS TVVHATDEEKLAVTSQKWTCMTVDLDADKQDFPQPSDLSNFVNETKFNSPSEGKQLGGQP DPHLALENTVPRGQRARKGTCQPELDYRNSYEIEYMEKLGSSLPQDDDTPKKQALYLMFD TPQESPVKSPPVRMSDSPTPCSGSSFEDTEALVNAATKLQHPVARGLPSSQEPLLQVPEK PSQKELEAMALGTPAEAIEITAPEGAFASADTLLSRLAHPASLCGALGYLEPDLAEKNPP VFAQKLQEELEFAVMRIEALKLARQIALASRSRQDTKREAAHPPDVSISKTALYSRIGST EVEKPPGLLFQQPDLDSALQVARAEVIAKEREVSEWRDKYEESRREVVEMRKIVAEYEKT IAQMIEDEQREKSISHQTVQQLVLEKEQALADLNSVEKSLADLFRRYEKMKEVLEGFRKN EEVLKKCAQEYLSRVKKEEQRYQALKVHAEEKLDRANAEIAQVRGKAQQEQAAYQASLRK EQLRVDALERTLEQKNKEIEELTKICDELIAKMGKS >ENSMUSP00000061242.7 pep:known chromosome:GRCm38:7:130577484:130764784:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000059145.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] MGNENSTSDHQRTSSVQSPRSLQPPGKSQSLQKQQGDLPGSCAGSIPGTDDVIQPAAPVD PGHPPLADSSHHGDAVSSVSTHLTVQSASPSAARASPAPLAPEHTASAPSAAGPGVEVTP TASPQHLAKNEPRSSDSEEAFETPESTTPVKAPPAPPPPPPEVTPEPEVIDPPAPEEPGC ISEPPVVVPDGPRSSESVEGSPFRPSHSSSAVFDEDKPIASSGTYNLDFDSIELVDNFQS LEPCSADSKGQECKVSTRRKSTESVPPSKSTLSRSLSLQASDFDGASCPGSPEAGTLTTD ACGTGSNSASSTLKRTKKTRPPSLKKKQATKKPTETPPVKETQQEPGEESPVPSEEHLAP ETKTESATPEGAGCTLSDDTPLESPAVPTATCPLTLESAEDVSPLVSGGGRVQNSPPVGR KSVPLTTASEAVEVTLSDSGGQEDLPAKGLSVRLEFDYSEDKGSWESQQENAPPTKKIGK KPVAKMPLRRPKMKKTPEKLDNTPASPPRSPTEPSDTPIAKGTYTFDIDKWDDPNFNPFS STSKMQESPKLSQQSYNFDPDACEESLDPFKASSKTPSSPSKSPASFEIPASTTEADGDG LNKPAKKKKTPLKTMVEDVMSVCSLFDTFRVKKSPKRSPLSDPPSQDPTPAATPEAPSAI STVVHATDEEKLAVTSQKWTCMTVDLDADKQDFPQPSDLSNFVNETKFNSPSEELDYRNS YEIEYMEKLGSSLPQDDDTPKKQALYLMFDTPQESPVKSPPVRMSDSPTPCSGSSFEDTE ALVNAATKLQHPVARGLPSSQEPLLQVPEKPSQKELEAMALGTPAEAIEITAPEGAFASA DTLLSRLAHPASLCGALGYLEPDLAEKNPPVFAQKLQEELEFAVMRIEALKLARQIALAS RSRQDTKREAAHPPDVSISKTALYSRIGSTEVEKPPGLLFQQPDLDSALQVARAEVIAKE REVSEWRDKYEESRREVVEMRKIVAEYEKTIAQMIEDEQREKSISHQTVQQLVLEKEQAL ADLNSVEKSLADLFRRYEKMKEVLEGFRKNEEVLKKCAQEYLSRVKKEEQRYQALKVHAE EKLDRANAEIAQVRGKAQQEQAAYQASLRKEQLRVDALERTLEQKNKEIEELTKICDELI AKMGKS >ENSMUSP00000033141.6 pep:known chromosome:GRCm38:7:130692556:130764784:1 gene:ENSMUSG00000030852.16 transcript:ENSMUST00000033141.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc2 description:transforming, acidic coiled-coil containing protein 2 [Source:MGI Symbol;Acc:MGI:1928899] MGGSQSLQPAPASDLNLEVSEAMSSDSEEAFETPESTTPVKAPPAPPPPPPEVTPEPEVI DPPAPEEPGCISEPPVVVPDGPRSSESVEGSPFRPSHSSSAVFDEDKPIASSGTYNLDFD SIELVDNFQSLEPCSADSKGQECKVSTRRKSTESVPPSKSTLSRSLSLQASDFDGASCPG SPEAGTLTTDACGTGSNSASSTLKRTKKTRPPSLKKKQATKKPTETPPVKETQQEPGEES PVPSEEHLAPETKTESATPEGAGCTLSDDTPLESPAVPTATCPLTLESAEDVSPLVSGGG RVQNSPPVGRKSVPLTTASEAVEVTLSDSGGQEDLPAKGLSVRLEFDYSEDKGSWESQQE NAPPTKKIGKKPVAKMPLRRPKMKKTPEKLDNTPASPPRSPTEPSDTPIAKGTYTFDIDK WDDPNFNPFSSTSKMQESPKLSQQSYNFDPDACEESLDPFKASSKTPSSPSKSPASFEIP ASTTEADGDGLNKPAKKKKTPLKTMVEDVMSVCSLFDTFRVKKSPKRSPLSDPPSQDPTP AATPEAPSAISTVVHATDEEKLAVTSQKWTCMTVDLDADKQDFPQPSDLSNFVNETKFNS PSEELDYRNSYEIEYMEKLGSSLPQDDDTPKKQALYLMFDTPQESPVKSPPVRMSDSPTP CSGSSFEDTEALVNAATKLQHPVARGLPSSQEPLLQVPEKPSQKELEAMALGTPAEAIEI TAPEGAFASADTLLSRLAHPASLCGALGYLEPDLAEKNPPVFAQKLQEELEFAVMRIEAL KLARQIALASRSRQDTKREAAHPPDVSISKTALYSRIGSTEVEKPPGLLFQQPDLDSALQ VARAEVIAKEREVSEWRDKYEESRREVVEMRKIVAEYEKTIAQMIEDEQREKSISHQTVQ QLVLEKEQALADLNSVEKSLADLFRRYEKMKEVLEGFRKNEEVLKKCAQEYLSRVKKEEQ RYQALKVHAEEKLDRANAEIAQVRGKAQQEQAAYQASLRKEQLRVDALERTLEQKNKEIE ELTKICDELIAKMGKS >ENSMUSP00000097504.1 pep:known chromosome:GRCm38:2:85647363:85648319:-1 gene:ENSMUSG00000075214.1 transcript:ENSMUST00000099920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1002 description:olfactory receptor 1002 [Source:MGI Symbol;Acc:MGI:3030836] MMHRNQTVVTEFFFTGLTSSFHLQIVLFLTFLCVYLATLLGNLGMIILIHQDTRLHIPMY FFLSHLSFVDACSSSVISPKMLSDIFVDKKVISFLGCAIQFCLFSQFVVTECFLLASMAY DRYVAICKPLLYTLIMSQRVCVQLVIGPYSIGLISTVVHTTSAFILPYCGPNLINHFFCD LLPVLSLACADTQMNKHLLFIMAGILGVFSGIIILVSYVYIAITILKINSADGRRKAFST CSSHLTAVSILYGTLFFIYVRPSSSFSLDINKVVSLFYTAVIPMLNPFIYSLRNKEVKDA LIRTFEKKFCYSLQDKIL >ENSMUSP00000125565.1 pep:known chromosome:GRCm38:19:18631959:18641229:1 gene:ENSMUSG00000037847.13 transcript:ENSMUST00000161080.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nmrk1 description:nicotinamide riboside kinase 1 [Source:MGI Symbol;Acc:MGI:2147434] MKRFVIGIGGRAGNRTHNSIRHLHLQMSPASQRQQTLT >ENSMUSP00000125384.1 pep:known chromosome:GRCm38:19:18631950:18652194:1 gene:ENSMUSG00000037847.13 transcript:ENSMUST00000159572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmrk1 description:nicotinamide riboside kinase 1 [Source:MGI Symbol;Acc:MGI:2147434] MKRFVIGIGGVTNGGKTTLAKSLQKHLPNCSVISQDDFFKPESEIDIDENGFLQYDVLEA LNMEKMMSAVSCWMENPGSSAGPAALESAQGVPILIIEGFLLFNYKPLDTIWNRSYFLTV PYEECKRRRSTRVYEPPDPPGYFDGHVWPMYLKHRQEMSSITWDIVYLDGTRSEEDLFSQ VYEDVKQELEKQNGL >ENSMUSP00000037198.7 pep:known chromosome:GRCm38:19:18631950:18651927:1 gene:ENSMUSG00000037847.13 transcript:ENSMUST00000042392.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmrk1 description:nicotinamide riboside kinase 1 [Source:MGI Symbol;Acc:MGI:2147434] MKRFVIGIGGVTNGGKTTLAKSLQKHLPNCSVISQDDFFKPESEIDIDENGFLQYDVLEA LNMEKMMSAVSCWMENPGSSAGPAALESAQGVPILIIEGFLLFNYKPLDTIWNRSYFLTV PYEECKRRRSTRVYEPPDPPGYFDGHVWPMYLKHRQEMSSITWDIVYLDGTRSEEDLFSQ VYEDVKQELEKQNGL >ENSMUSP00000021278.7 pep:known chromosome:GRCm38:11:69015911:69036473:1 gene:ENSMUSG00000020898.18 transcript:ENSMUST00000021278.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctc1 description:CTS telomere maintenance complex component 1 [Source:MGI Symbol;Acc:MGI:1916214] MAACRAQPPTSEQAWLEAAQTFIQETLCPAGKEVDKELTRSVIACVKETWLSQGENQDLT LPFSYSFVSVQSLKTHQRLPCCSHLSWSQSAYQAWTRGGRPGDGVLPREQLILLGTLVDL LGDSEQECRSGSLYVRDNTGTLDCELIDLDLSWLGHLFLFPSWSYLPSAKRNSLGEGHLE LWGTPVPVFPLTVSPGPLIPIPVLYPEKASHLLRYRKKSSIKEINLAGKLVHLSALIITQ NKRYFIMTLGELAQAGSQVSIIVQIPAQMVWHRVLRPGRAYVLTKLQVTKTRIHLSCIWT TIPSSTLKPLRPGYVQELELDLEFSKADLKPPPQPTSSKDSRGQEGLVRASKVLHYLGTV TAVLHESAGLYILDGQLILCLAYQKIHGLRRVIRPGVCLELRDVHLLQAVGGATTKPVLA LCLHGTVRLQGFSCLKPLTLPSSKVYGASLYEQLVWKCQLGLPLYLWAAKTLEDLIYKLC PHVLRCHQFLKQPSPGKPSLGLQLLAPSWDVLIPPGSPMRHAYSEILEEPHNCPLQKYTP LQTPYSFPTMLALAEEGQHRAWATFDPKAMLPLPEASHLTSCQLNRHLAWSWVCLPSCVF QPAQVLLGVLVASSRKGCLELRDLRGSLPCIPLTESSQPLIDPNLVGCLVRVEKFQLVVE REVRSSFPSWEEMGMARFIQKKQARVYVQFYLADALILPVPRPTFGSEPSQTASSCPEGP HLGQSRLFLLSHKEALMKRNFCLLPGDSSQPAKPTLSFHVSGTWLCGTQRKEGSGWSPPE SLAVESKDQKVFLIFLGSSVRWFPFLYPNQVYRLVASGPSTPVFETEGSAGTSRRPLELA DCGSCLTVQEEWTLELGSSQDIPNVLEVPRTLPESSLAQLLGDNSPDSLVSFSAEILSRI LCEPPLALRRMKPGNAGAIKTGVKLTVALEMDDCEYPPHLDIYIEDPQLPPQIGLLPGAR VHFSQLEKRISRSNIVYCCFRSSTSVQVLSFPPETKASAPLPHIYLAELLQGDRPPFQAT TSCHIVYVLSLQILWVCAHCTSICPQGKCSRRDPSCPSQRAVSQANIRLLVEDGTAEATV ICRNHLVARALGLSPSEWSSILEHARGPGRVALQFTGLGGQTESASKTHEPLTLLLRTLC TSPFVLRPVKLSFALERRPTDISPREPSRLQQFQCGELPLLTRVNPRLRLVCLSLQEPEL PNPPQASAASS >ENSMUSP00000124702.1 pep:known chromosome:GRCm38:11:69015954:69036470:1 gene:ENSMUSG00000020898.18 transcript:ENSMUST00000161455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctc1 description:CTS telomere maintenance complex component 1 [Source:MGI Symbol;Acc:MGI:1916214] MTLGELAQAGSQVSIIVQIPAQMVWHRVLRPGRAYVLTKLQVTKTRIHLSCIWTTIPSST LKPLRPGYVQELELDLEFSKADLKPPPQPTSSKDSRGQEGLVRASKVLHYLGTVTAVLHE SAGLYILDGQLILCLAYQKIHGLRRVIRPGVCLELRDVHLLQAVGGATTKPVLALCLHGT VRLQGFSCLKPLTLPSSKVYGASLYEQLVWKCQLGLPLYLWAAKTLEDLIYKLCPHVLRC HQFLKQPSPGKPSLGLQLLAPSWDVLIPPGSPMRHAYSEILEEPHNCPLQKYTPLQTPYS FPTMLALAEEGQHRAWATFDPKAMLPLPEASHLTSCQLNRHLAWSWVCLPSCVFQPAQVL LGVLVASSRKGCLELRDLRGSLPCIPLTESSQPLIDPNLVGCLVRVEKFQLVVEREVRSS FPSWEEMGMARFIQKKQARVYVQFYLADALILPVPRPTFGSEPSQTASSCPEGPHLGQSR LFLLSHKEALMKRNFCLLPGDSSQPAKPTLSFHVSGTWLCGTQRKEGSGWSPPESLAVES KDQKVFLIFLGSSVRWFPFLYPNQVYRLVASGPSTPVFETEGSAGTSRRPLELADCGSCL TVQEEWTLELGSSQDIPNVLEVPRTLPESSLAQLLGDNSPDSLVSFSAEILSRILCEPPL ALRRMKPGNAGAIKTGVKLTVALEMDDCEYPPHLDIYIEDPQLPPQIGLLPGARVHFSQL EKRISRSNIVYCCFRSSTSVQVLSFPPETKASAPLPHIYLAELLQGDRPPFQATTSCHIV YVLSLQILWVCAHCTSICPQGKCSRRDPSCPSQRAVSQANIRLLVEDGTAEATVICRNHL VARALGLSPSEWSSILEHARGPGRVALQFTGLGGQTESASKTHEPLTLLLRTLCTSPFVL RPVKLSFALERRPTDISPREPSRLQQFQCGELPLLTRVNPRLRLVCLSLQEPELPNPPQA SAASS >ENSMUSP00000125028.1 pep:known chromosome:GRCm38:11:69016086:69036473:1 gene:ENSMUSG00000020898.18 transcript:ENSMUST00000152979.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctc1 description:CTS telomere maintenance complex component 1 [Source:MGI Symbol;Acc:MGI:1916214] MAACRAQPPTSEQAWLEAAQTFIQETLCPAGKEVDKELTRSVIACVKETWLSQGENQDLT LPFSYRV >ENSMUSP00000112063.2 pep:known chromosome:GRCm38:11:69016097:69036470:1 gene:ENSMUSG00000020898.18 transcript:ENSMUST00000116359.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctc1 description:CTS telomere maintenance complex component 1 [Source:MGI Symbol;Acc:MGI:1916214] MAACRAQPPTSEQAWLEAAQTFIQETLCPAGKEVDKELTRSVIACVKETWLSQGENQDLT LPFSYSFVSVQSLKTHQRLPCCSHLSWSQSAYQAWTRGGRPGDGVLPREQLILLGTLVDL LGDSEQECRSGSLYVRDNTGTLDCELIDLDLSWLGHLFLFPSWSYLPSAKRNSLGEGHLE LWGTPVPVFPLTVSPGPLIPIPVLYPEKASHLLRYRKKSSIKEINLAGKLVHLSALIITQ NKRYFIMTLGELAQAGSQVSIIVQIPAQMVWHRVLRPGRAYVLTKLQVTKTRIHLSCIWT TIPSSTLKPLRPGYVQELELDLEFSKADLKPPPQPTSSKDSRGQEGLVRASKVLHYLGTV TAVLHESAGLYILDGQLILCLAYQKIHGLRRVIRPGVCLELRDVHLLQAVGGATTKPVLA LCLHGTVRLQGFSCLKPLTLPSSKVYGASLYEQLVWKCQLGLPLYLWAAKTLEDLIYKLC PHVLRCHQFLKQPSPGKPSLGLQLLAPSWDVLIPPGSPMRHAYSEILEEPHNCPLQKYTP LQTPYSFPTMLALAEEGQHRAWATFDPKAMLPLPEASHLTSCQLNRHLAWSWVCLPSCVF QPAQVLLGVLVASSRKGCLELRDLRGSLPCIPLTESSQPLIDPNLVGCLVRVEKFQLVVE REVRSSFPSWEEMGMARFIQKKQARVYVQFYLADALILPVPRPTFGSEPSQTASSCPEGP HLGQSRLFLLSHKEALMKRNFCLLPGDSSQPAKPTLSFHVSGTWLCGTQRKEGSGWSPPE SLAVESKDQKVFLIFLGSSVRWFPFLYPNQVYRLVASGPSQTPVFETEGSAGTSRRPLEL ADCGSCLTVQEEWTLELGSSQDIPNVLEVPRTLPESSLAQLLGDNSPDSLVSFSAEILSR ILCEPPLALRRMKPGNAGAIKTGVKLTVALEMDDCEYPPHLDIYIEDPQLPPQIGLLPGA RVHFSQLEKRISRSNIVYCCFRSSTSVQVLSFPPETKASAPLPHIYLAELLQGDRPPFQA TTSCHIVYVLSLQILWVCAHCTSICPQGKCSRRDPSCPSQRAVSQANIRLLVEDGTAEAT VICRNHLVARALGLSPSEWSSILEHARGPGRVALQFTGLGGQTESASKTHEPLTLLLRTL CTSPFVLRPVKLSFALERRPTDISPREPSRLQQFQCGELPLLTRVNPRLRLVCLSLQEPE LPNPPQASAASS >ENSMUSP00000027989.6 pep:known chromosome:GRCm38:1:169949535:169969241:-1 gene:ENSMUSG00000026675.12 transcript:ENSMUST00000027989.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b7 description:hydroxysteroid (17-beta) dehydrogenase 7 [Source:MGI Symbol;Acc:MGI:1330808] MRKVVLITGASSGIGLALCGRLLAEDDDLHLCLACRNLSKARAVRDTLLASHPSAEVSIV QMDVSSLQSVVRGAEEVKQKFQRLDYLYLNAGILPNPQFNLKAFFCGIFSRNVIHMFTTA EGILTQNDSVTADGLQEVFETNLFGHFILIRELEPLLCHADNPSQLIWTSSRNAKKANFS LEDIQHSKGPEPYSSSKYATDLLNVALNRNFNQKGLYSSVMCPGVVMTNMTYGILPPFIW TLLLPIMWLLRFFVNALTVTPYNGAEALVWLFHQKPESLNPLTKYASATSGFGTNYVTGQ KMDIDEDTAEKFYEVLLELEKRVRTTVQKSDHPS >ENSMUSP00000106985.3 pep:known chromosome:GRCm38:1:169955501:169969241:-1 gene:ENSMUSG00000026675.12 transcript:ENSMUST00000111353.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b7 description:hydroxysteroid (17-beta) dehydrogenase 7 [Source:MGI Symbol;Acc:MGI:1330808] MRKVVLITGASSGIGLALCGRLLAEDDDLHLCLACRNLSKARAVRDTLLASHPSAEVSIV QMDVSSLQSVVRGAEEVKQKFQRLDYLYLNAGILPNPQFNLKAFFCGIFSRNVIHMFTTA EGILTQNDSVTADGLQEVFETNLFGHFILIRELEPLLCHADNPSQLIWTSSRNAKKANFS LEDIQHSKGPEPYSSSKYATDLLNVALNRNFNQKGLYSSVMCPGVVMTNMTYGILPPFIW TLLLPIMWLLRFFVNALTVTPYNGAEALVWLFHQKPESLNPLTKYASATSGFGTNYVTGQ KVHNPLMLSSEMCSITGQDAACDVHKPICLASASESSCWLYHGPFHYSQLSPVSECRASS FC >ENSMUSP00000100958.3 pep:known chromosome:GRCm38:10:81396186:81427187:-1 gene:ENSMUSG00000055053.17 transcript:ENSMUST00000105321.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfic description:nuclear factor I/C [Source:MGI Symbol;Acc:MGI:109591] MYSSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDE LLGEKAEVKQKWASRLLAKLRKDIRPECREDFVLAVTGKKAPGCVLSNPDQKGKMRRIDC LRQADKVWRLDLVMVILFKGIPLESTDGERLVKAAACAHPVLCVQPHHIGVAVKELDLYL AYFVRERDAEQSSSPRTGVGSDQEDSKPITLDTTDFQESFVTSGVFSVTELIQVSRTPVV TGTGPNFSLGELQGHLAYDLNPASAGMRRTLPSTSSSGSKRHKSGSMEEDVDTSPGGDYY TSPNSPTSSSRNWTEDIEGGISSPVKKTEMDKSPFNSPSPQDSPRLSSFTQHHRPVIAVH SGIARSPHPTSALHFPATPILPQTASTYFPHTAIRYPPHLNPQDPLKDLVSLACDPATQQ PGPSWYLG >ENSMUSP00000020461.8 pep:known chromosome:GRCm38:10:81399138:81427173:-1 gene:ENSMUSG00000055053.17 transcript:ENSMUST00000020461.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfic description:nuclear factor I/C [Source:MGI Symbol;Acc:MGI:109591] MYSSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDE LLGEKAEVKQKWASRLLAKLRKDIRPECREDFVLAVTGKKAPGCVLSNPDQKGKMRRIDC LRQADKVWRLDLVMVILFKGIPLESTDGERLVKAAACAHPVLCVQPHHIGVAVKELDLYL AYFVRERDAEQSSSPRTGVGSDQEDSKPITLDTTDFQESFVTSGVFSVTELIQVSRTPVV TGTGPNFSLGELQGHLAYDLNPASAGMRRTLPSTSSSGSKRHKSGSMEEDVDTSPGGDYY TSPNSPTSSSRNWTEDIEGGISSPVKKTEMDKSPFNSPSPQDSPRLSSFTQHHRPVIAVH SGIARSPHPTSALHFPATPILPQTASTYFPHTAIRYPPHLNPQDPLKDLVSLACDPATQQ PGPPALRPTRPLQTVPLWD >ENSMUSP00000113046.1 pep:known chromosome:GRCm38:10:81400953:81431005:-1 gene:ENSMUSG00000055053.17 transcript:ENSMUST00000117966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfic description:nuclear factor I/C [Source:MGI Symbol;Acc:MGI:109591] MDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLGEKAEVK QKWASRLLAKLRKDIRPECREDFVLAVTGKKAPGCVLSNPDQKGKMRRIDCLRQADKVWR LDLVMVILFKGIPLESTDGERLVKAAACAHPVLCVQPHHIGVAVKELDLYLAYFVRERDA EQSSSPRTGVGSDQEDSKPITLDTTDFQESFVTSGVFSVTELIQVSRTPVVTGTGPNFSL GELQGHLAYDLNPASAGMRRTLPSTSSSGSKRHKSGSMEEDVDTSPGGDYYTSPNSPTSS SRNWTEDIEGGISSPVKKTEMDKSPFNSPSPQDSPRLSSFTQHHRPVIAVHSGIARSPHP TSALHFPATPILPQTASTYFPHTAIRYPPHLNPQDPLKDLVSLACDPATQQPGPPALRPT RPLQTVPLWD >ENSMUSP00000077317.7 pep:known chromosome:GRCm38:10:81399138:81427114:-1 gene:ENSMUSG00000055053.17 transcript:ENSMUST00000078185.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfic description:nuclear factor I/C [Source:MGI Symbol;Acc:MGI:109591] MYSSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDE LLGEKAEVKQKWASRLLAKLRKDIRPECREDFVLAVTGKKAPGCVLSNPDQKGKMRRIDC LRQADKVWRLDLVMVILFKGIPLESTDGERLVKAAACAHPVLCVQPHHIGVAVKELDLYL AYFVRERDAEQSSSPRTGVGSDQEDSKPITLDTTDFQESFVTSGVFSVTELIQVSRTPVV TGTGPNFSLGELQGHLAYDLNPASAGMRRTLPSTSSSGSKRHKSGSMEEDVDTSPGGDYY TSPNSPTSSSRNWTEDIEGGIARSPHPTSALHFPATPILPQTASTYFPHTAIRYPPHLNP QDPLKDLVSLACDPATQQPGPPALRPTRPLQTVPLWD >ENSMUSP00000114469.1 pep:known chromosome:GRCm38:10:81400769:81407641:-1 gene:ENSMUSG00000055053.17 transcript:ENSMUST00000140916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfic description:nuclear factor I/C [Source:MGI Symbol;Acc:MGI:109591] XDTSPGGDYYTSPNSPTSSSRNWTEDIEGGIARSPHPTSALHFPATPILPQTASTYFPHT AIRYPPHLNPQDPLKDLVSLACDPATQQPGPSWYLG >ENSMUSP00000096170.4 pep:known chromosome:GRCm38:8:84723007:84726844:1 gene:ENSMUSG00000074203.4 transcript:ENSMUST00000098571.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G430095P16Rik description:RIKEN cDNA G430095P16 gene [Source:MGI Symbol;Acc:MGI:3588227] MPESLKVPSNKRGHRKQGLSHHHCHAHTCIHVIGPSARLAAASGRRAACPRIEHIIYWTL HLTVVDGLGALGAAEEEEEEEEEEASQVGQSSRHPSSRSRASPDLLSLRLPGHPEGPSKN RQSTFICLPHPTHSFLLALSCAVELSH >ENSMUSP00000042260.4 pep:known chromosome:GRCm38:6:125168007:125191701:-1 gene:ENSMUSG00000038252.13 transcript:ENSMUST00000043848.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncapd2 description:non-SMC condensin I complex, subunit D2 [Source:MGI Symbol;Acc:MGI:1915548] MSPHNFEFHLPLSPEELLKSGGVNQYVVREVLPVKHLSSQLRAFQSAFRAQGPLAILEHF DTVYSILHHFRSIEPGLKEDTLEFLKKVVSRHSQELSSILDDAALSGSDRSAHLNALKMN CYALIRLLESFENMTSQTSLIDLDIGGKGKRARAKATLGFDWEEERQPVLQLLTQLLQLD IRHLWNHSAIEEEFVSLVTGCCYRLLENPTISHQKNRSTKEAIAHLLGVALVRYNHMLSA TVKIIQMLQHFEHLPPVLVTAVSLWATDYGMKSIVGEIVREIGQKCPQELSRDTAGAKGF AAFLTELAERIPAVLMANMCILLDHLDGENYMMRNAVLAAIAEMVLQVLNGDQLEESARE TRDQFLDILQAHGHDVNSFVRSRVLQLFARIVQQKALPLTRFQAVVALAVGRLADKSVLV CKNAIQLLASFLANNPFSCKLSDIDLAGPLQKEIQKLQEMRAQRRSAAATAALDPEEEWD AMLPELKSTLQQLLKLPQEEGDHQIADAETAEEVKGRIRQLLAKASYKQAIVLTREATSH FQESEPFSHTEPEENSFLNLLGLIFKGPEASTQGSHGDTDPGLTGSKDSPSVPEPEGSQS NDELVKQEMLVQYLQDAYGFSQKITEAIGIISKMMYENTTTVVQEVIEFFVMVFQFGVPQ ALFGVRRMLPLIWSKEPGVREAVLNAYRQLYLNPKGDSARAKAQTLIHNLSLLLVDASVG TIQCLEEILCEFVQKDEVKPAVIQLLWERATEKVPSSPLERCSSVMLLGMMARGKPEIVG SNLDALVRVGLDEKSPQDYRLAQQVCLAIANISDRRKPSLGERHPPFRLPQEHRLFERLQ DMVTKGFAHPDPLWIPFKEVAVTLTYQLAESPDVLCAQMLQGCAKQVLEKLEKNATEADP KETAPRLPTFLLMNLLSLAGDVALQQLVHLEQAVSGELGRRRVLREEQEHRAKEPKEKTA SSETTMEEELGLVGGATADDTEAELIRSICEKELLDGNQVLAAFVPLLLKVCNNPGLYSN PELCAAASLALGKFCMISAPFCDSQLRLLFTMLEKSSLPTVRSNLMVATGDLAIRFPNLV DPWTPHLYARLRDPAQQVRKTAGLVMTHLILKDMVKVKGQVSEMAVLLIDPVPQIAALAK NFFNELSHKGNAIYNLLPDIISRLSDPEGGVEEEPFHTIMKQLLSYITKDKQTESLVEKL CQRFRTARTERQYRDLAYCMSQLPLTERGLQKMLDNFECFGDKLLDESVFSAFLSVVGKL RRGAKPEGKAIIDEFEQKLRACHTRGMDGIEEFETGQGGSQRALSAKKPSAVSRLQPLTS VDSDNDFVTPKPRRTKPGRPQTQQRKKSQRKAKVVFLSDESSEDELSAEMTEEETPKRTT PIRRASGRRHRS >ENSMUSP00000140672.1 pep:known chromosome:GRCm38:6:125184296:125191603:-1 gene:ENSMUSG00000038252.13 transcript:ENSMUST00000188762.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ncapd2 description:non-SMC condensin I complex, subunit D2 [Source:MGI Symbol;Acc:MGI:1915548] MSPHNFEFHLPLSPEELLKSGGVNQYVVREVLPVKHLSSQLRAFQSAFRAQGPLAILEHF DTVYSILHHFRSIEPGLKEDTLEFLKKGTVVHPG >ENSMUSP00000139445.1 pep:known chromosome:GRCm38:6:125185793:125191490:-1 gene:ENSMUSG00000038252.13 transcript:ENSMUST00000189959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncapd2 description:non-SMC condensin I complex, subunit D2 [Source:MGI Symbol;Acc:MGI:1915548] MSPHNFEFHLPLSPEELLKSGGVNQYVVREVLPVKHLSSQLRAFQSAFRAQGPLAILEHF DTVYSILHHFRSIEPGLKEDTLEFLKKVVSRHSQELSSILDDAALSGSDRSAHLNALKMN CYALIRLLESFENMTSQTSLIDLDIGGKGKRARAKATLGFDW >ENSMUSP00000021018.4 pep:known chromosome:GRCm38:11:83473092:83506743:1 gene:ENSMUSG00000020680.15 transcript:ENSMUST00000021018.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf15 description:TAF15 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1917689] MSDSGSYSQSGGEQQSYSSYGNQGSQGYGQTPQGYSGYGQTTDSSYGQNYGGYSGYGQNQ SGYSQSYGSYENQKQSSYGQQSYNNQGQQNTESSGGQGGRAPSYGQSDYGQQDSYDQQSG YDQHQGSYDEQSNYQQHDSYNQNQQSYHSQRENYSHHTQDDRRDVSRYGEDNRGYGGSQG GGRGRGGYDKDGRGPMTGSSGGDRGGFKNFGGHRDYGPRPDADSESDNSDNNTIFVQGLG EGVSTDQVGEFFKQIGIIKTNKKTGKPMINLYTDKDTGKPKGEATVSFDDPPSAKAAIDW FDGKEFHGNIIKVSFATRRPEFMRGGGSGGGRRGRGGYRGRGGFQGRGGDPKNGDWVCPN PSCGNMNFARRNSCNQCNEPRPEDSRPSGGDFRGRGYGGERGYRGRGGRGGDRGGYGGDR SGGGYGGDRSGGGYGGDRGGSYGGDRGGYGGDRGGSYGGDRGGYGGDRGGYGGDRGGYGG DRGGYGGDRGGYGGDRSRGAYGGDRGGSGSGSGGYGGDRSGGYGGDRSGGYGGDRGGYGG KMGGRNDYRNDQRNRPY >ENSMUSP00000119836.1 pep:known chromosome:GRCm38:11:83489027:83506743:1 gene:ENSMUSG00000020680.15 transcript:ENSMUST00000133170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf15 description:TAF15 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1917689] XPMTGSSGGDRGGFKNFGGHRDYGPRPDADSESDNSDNNTIFVQGLGEGVSTDQVGEFFK QIGIIKTNKKTGKPMINLYTDKDTGKPKGEATVSFDDPPSAKAAIDWFDGKEFHGNIIKV SFATRRPEFMRGGGSGGGRRGRGGYRGRGGFQGRGGDPKNGDWVCPNPSCGNMNFARRNS CNQCNEPRPEDSRPSGGDFRGRGYGGERGYRGRGGRGGDRGGSYGGDRGGYGGDRGGYGG DRGGYGGDRGGYGGDRGGYGGDRSRGAYGGDRGGSGSGSGGYGGDRSGGYGGDRSGGYGG DRGGYGGKMGGRNDYRNDQRNRPY >ENSMUSP00000026148.2 pep:known chromosome:GRCm38:11:120729489:120732114:-1 gene:ENSMUSG00000025150.10 transcript:ENSMUST00000026148.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbr2 description:carbonyl reductase 2 [Source:MGI Symbol;Acc:MGI:107200] MKLNFSGLRALVTGAGKGIGRDTVKALHASGAKVVAVTRTNSDLVSLAKECPGIEPVCVD LGDWDATEKALGGIGPVDLLVNNAALVIMQPFLEVTKEAFDRSFSVNLRSVFQVSQMVAR DMINRGVPGSIVNVSSMVAHVTFPNLITYSSTKGAMTMLTKAMAMELGPHKIRVNSVNPT VVLTDMGKKVSADPEFARKLKERHPLRKFAEVEDVVNSILFLLSDRSASTSGGGILVDAG YLAS >ENSMUSP00000117739.1 pep:known chromosome:GRCm38:11:120729779:120731944:-1 gene:ENSMUSG00000025150.10 transcript:ENSMUST00000154565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbr2 description:carbonyl reductase 2 [Source:MGI Symbol;Acc:MGI:107200] XLVTGAGKGIGRDTVKALHASGAKVVAVTRTNSDLVSLAKEVSQMVARDMINRGVPGSIV NVSSMVAHVTFPNLITYSSTKGAMTMLTKAMAMELGPHKIRVNSVNPTVVLTDMGKKVSA DPEFARKLKERHPLRKFAEVEDVVNSILFLLSDR >ENSMUSP00000105386.1 pep:known chromosome:GRCm38:8:84704712:84773396:-1 gene:ENSMUSG00000001911.16 transcript:ENSMUST00000109764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfix description:nuclear factor I/X [Source:MGI Symbol;Acc:MGI:97311] MDEFHPFIEALLPHVRAFSYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLGEKPEIK QKWASRLLAKLRKDIRPEFREDFVLTITGKKPPCCVLSNPDQKGKIRRIDCLRQADKVWR LDLVMVILFKGIPLESTDGERLYKSPQCSNPGLCVQPHHIGVTIKELDLYLAYFVHTPES GQSDSSNQQGDADIKPLPNGHLSFQDCFVTSGVWNVTELVRVSQTPVATASGPNFSLADL ESPSYYNINQVTLGRRSITSPPSTSSTKRPKSIDDSEMESPVDDVFYPGTGRSPAAGSSQ SSGWPNDVDAGPASLKKSGKLDFCSALSSQGSSPRMAFTHHPLPVLAGVRPGSPRATASA LHFPSTSIIQQSSPYFTHPTIRYHHHHGQDSLKEFVQFVCSDGSGQATGQPNGSGQGKVP GSFLLPPPPPVARPVPLPMPDSKTTSTAPDGAALTPPSPSFTTTGASSANRFVSIGSRDG NFLNIPQQSQSWFL >ENSMUSP00000076005.6 pep:known chromosome:GRCm38:8:84708614:84800140:-1 gene:ENSMUSG00000001911.16 transcript:ENSMUST00000076715.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfix description:nuclear factor I/X [Source:MGI Symbol;Acc:MGI:97311] MYSPYCLTQDEFHPFIEALLPHVRAFSYTWFNLQARKRKYFKKHEKRMSKDEERAVKDEL LGEKPEIKQKWASRLLAKLRKDIRPEFREDFVLTITGKKPPCCVLSNPDQKGKIRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLYKSPQCSNPGLCVQPHHIGVTIKELDLYLA YFVHTPESGQSDSSNQQGDADIKPLPNGHLSFQDCFVTSGVWNVTELVRVSQTPVATASG PNFSLADLESPSYYNINQVTLGRRSITSPPSTSSTKRPKSIDDSEMESPVDDVFYPGTGR SPAAGSSQSSGWPNDVDAGSPRATASALHFPSTSIIQQSSPYFTHPTIRYHHHHGQDSLK EFVQFVCSDGSGQATGQHSQRQAPPLPTGLSASDPGTATF >ENSMUSP00000096669.3 pep:known chromosome:GRCm38:8:84708616:84800344:-1 gene:ENSMUSG00000001911.16 transcript:ENSMUST00000099070.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfix description:nuclear factor I/X [Source:MGI Symbol;Acc:MGI:97311] MYSPYCLTQDEFHPFIEALLPHVRAFSYTWFNLQARKRKYFKKHEKRMSKDEERAVKDEL LGEKPEIKQKWASRLLAKLRKDIRPEFREDFVLTITGKKPPCCVLSNPDQKGKIRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLYKSPQCSNPGLCVQPHHIGVTIKELDLYLA YFVHTPESGQSDSSNQQGDADIKPLPNGHLSFQDCFVTSGVWNVTELVRVSQTPVATASG PNFSLADLESPSYYNINQVTLGRRSITSPPSTSSTKRPKSIDDSEMESPVDDVFYPGTGR SPAAGSSQSSGWPNDVDAGPASLKKSGKLDFCSALSSQGSSPRMAFTHHPLPVLAGVRPG SPRATASALHFPSTSIIQQSSPYFTHPTIRYHHHHGQDSLKEFVQFVCSDGSGQATGQHS QRQAPPLPTGLSASDPGTATF >ENSMUSP00000105384.1 pep:known chromosome:GRCm38:8:84708626:84774369:-1 gene:ENSMUSG00000001911.16 transcript:ENSMUST00000109762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfix description:nuclear factor I/X [Source:MGI Symbol;Acc:MGI:97311] MDEFHPFIEALLPHVRAFSYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLGEKPEIK QKWASRLLAKLRKDIRPEFREDFVLTITGKKPPCCVLSNPDQKGKIRRIDCLRQADKVWR LDLVMVILFKGIPLESTDGERLYKSPQCSNPGLCVQPHHIGVTIKELDLYLAYFVHTPES GQSDSSNQQGDADIKPLPNGHLSFQDCFVTSGVWNVTELVRVSQTPVATASGPNFSLADL ESPSYYNINQVTLGRRSITSPPSTSTKRPKSIDDSEMESPVDDVFYPGTGRSPAAGSSQS SGWPNDVDAGSPRATASALHFPSTSIIQQSSPYFTHPTIRYHHHHGQDSLKEFVQFVCSD GSGQATGQHSQRQAPPLPTGLSASDPGTATF >ENSMUSP00000115691.1 pep:known chromosome:GRCm38:8:84713795:84800024:-1 gene:ENSMUSG00000001911.16 transcript:ENSMUST00000126806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfix description:nuclear factor I/X [Source:MGI Symbol;Acc:MGI:97311] MYSPYCLTQDEFHPFIEALLPHVRAFSYTWFNLQARKRKYFKKHEKRMSKDEERAVKDEL LGEKPEIKQKWASRLLAKLRKDIRPEFREDFVLTITGKKPPCCVLSNPDQKGKIRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLYKSPQCSNPGLCVQPHHIGVTIKELDLYLA YFVHTPESGQSDSSNQQGDADIKPLPNGHLSFQDCFVTSGVWNVTELVRVSQTPVATASG PNFSLADLESPSYYNINQVTLGRRSITSPPSTSSTKRPKSIDDSEMESPVDDVFYPGTGR SPAAGSSQSSGWPNDVDAGPASLKKSGKLDFCSALSSQGSSPRMAFTHHPLPVLAGVRPG SPRATASALHFPSTSIIQQSSPYFTHPTIRYHHHHGQDSLKEFVQFVCSDGSGQATGQPN GSGQGKVPGSFLLPPPPPVARPVPLPMPDSKTTSTAPDGAALTPPSPSFTTTGASSANRF VSIGSRDG >ENSMUSP00000104795.2 pep:known chromosome:GRCm38:1:183297049:183325476:1 gene:ENSMUSG00000042901.10 transcript:ENSMUST00000109166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aida description:axin interactor, dorsalization associated [Source:MGI Symbol;Acc:MGI:1919737] MSEVTRSLLQRWGASLRRGADFDSWGQLVEAIDEYQILARHLQKEAQAQHNNSEFTEEQK KTIGKIATCLELRSAALQSTQSQEEFKLEDLKKLEPILKNILTYNKEFPFDVQPIPLRRI LAPGEEENLEFEEDEEGGAGAGPPDSFSARVPGTLLPRLPSEPGMTLLTIRIEKIGLKDA GQCIDPYITVSVKDLNGIDLTPVQDTPVASRKEDTYVHFNVDIELQKHVERLTKGAAIFF EFKHYKPKKRFTSTKCFAFMEMDEIKPGPIVIELYKKPTDFKRKKLQLLTKKPLYLHLHQ SLHKE >ENSMUSP00000141649.1 pep:known chromosome:GRCm38:1:183297405:183323609:1 gene:ENSMUSG00000042901.10 transcript:ENSMUST00000193625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aida description:axin interactor, dorsalization associated [Source:MGI Symbol;Acc:MGI:1919737] MSEVTRSLLQRWGASLRRGADFDSWGQLVEAIDEYQILARHLQKEAQAQHNNSEFTEEQK KTIGKIATCLELRSAALQSTQSQEEFKLEDLKKLEPILKNILTYNKEFPFDVQPIPLRRI LAPGEEENLEFEEDEEGGAGAGPPDSFSARVPGAAIFFEFKHYKPKKRFTSTKCFAFMEM DEIKPGPIVIELYKKPTDFKRKKLQLLTKKPLYLHLHQSLHKE >ENSMUSP00000142129.1 pep:known chromosome:GRCm38:1:183297570:183318834:1 gene:ENSMUSG00000042901.10 transcript:ENSMUST00000193959.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aida description:axin interactor, dorsalization associated [Source:MGI Symbol;Acc:MGI:1919737] RGADFDSWGQLVEAIDEYQIL >ENSMUSP00000126119.2 pep:known chromosome:GRCm38:9:87022014:87077774:1 gene:ENSMUSG00000032872.16 transcript:ENSMUST00000168529.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5r4 description:cytochrome b5 reductase 4 [Source:MGI Symbol;Acc:MGI:2386848] MLNVPSQAFPAPGSQQRVSSQGRSKVPLKQGRSLMDWIRLTKSGKDLTGLKGGLIEVTEE ELKKHNKKEDCWICIRGFVYNVSPYMEYHPGGEDELMRAAGADGTDLFNEVHRWVNYESM LKECLVGRMAVKPAVPKDCHEGKRVLNGMLPKSQMSDTLPRDVTDTLPREDLSSPSYDWF QTESSVTIVVYTKQKNISLDSVIVDLQDDSLRAEAVIKDHSYLVHVGLSHEVQENFSVRV IENVGKIEIVLQKKESVSWQCLGDHLEKHDSFIPKKDTGLYYRRCQLISKEDVTHDTRLF CLMLPPSTHLQVPVGQHVYLKLSVTGAEIVKPYTPVSDSLLSDFKEPVLSPNKYICFLIK IYPAGLFTPELDRLQIGDFISVSGPEGDFKVSKLQEVEDLFLLAAGTGFTPMVTVLNYAL SHMSSLRKVKLMFFNKTEDDIIWRCQLEKLALREKRFDVEFVLSAPSPEWNGKQGHISRA LLSEFLQRSSENSRAFLCICGPTPFTDEGIRLLHDLNFSDDEIHGFTA >ENSMUSP00000133918.1 pep:known chromosome:GRCm38:9:87058939:87077381:1 gene:ENSMUSG00000032872.16 transcript:ENSMUST00000174294.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyb5r4 description:cytochrome b5 reductase 4 [Source:MGI Symbol;Acc:MGI:2386848] VSGPEGDFKVSKLQEVEDLFLLAAGTGFTPMVTVLNYALSHMSSLRKVKLMFFNKTEDDI IWRCQLEKLALREKRMEWQTGTHITSSSL >ENSMUSP00000043442.9 pep:known chromosome:GRCm38:1:121431049:121506460:1 gene:ENSMUSG00000026339.18 transcript:ENSMUST00000036025.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc93 description:coiled-coil domain containing 93 [Source:MGI Symbol;Acc:MGI:1918079] MGLPKGPEGQGLPEVETREDEEQNVKLTEILELLVAAGYFRARIKGLSPFDKVVGGMTWC ITTCSFDVDVDLLFQENSTIGQKIALSEKIVSVLPRMKCPHQLEPHQIQGMDFIHIFPVV QWLVKRAIETKEEMGDYIRSYSISQFQKTYSLPEDDDFIKRKDKAVKTVVGLSDAYKPRR KYRRQRGAEELPDEESRVHSTLLEYGRRYGFSRQSKTEKAEDKKTALAAGLSAAEKVDAH EEDELQAAEEQRIQSLMTKMTAMANEESRLTASSVGQIVGLCSEEIKQIVSEYAGKQSEL SAEESPEKLGTSQLHQRKVISLNKQILQKSKHLEELQANHTSLKAKYSDRKKTLTELKDH GEKLDKEQAALEKLEAKADPSMLQNLRALVAMNESLKSQEQEFKAHCREEMARLQQEIET LKAERAPGEKIISGGEPQGALTSTMTHNEDLDRRYNMEKEKLYKIRLLQARRNREIAILH RKIDEVPSRAELIQYQKRFIELYRQISAVHKETKQFFTLYNTLDDKKVYLEKEISLLNSI HENFSQAMASPAARDQFLRQMEQIVEGIKQSRMKMEKKKQENKMRRDQLNDQYLELLEKQ RLYFKTVKEFKEEGRKNELLLSKIKAKAS >ENSMUSP00000108240.1 pep:known chromosome:GRCm38:1:121431110:121501588:1 gene:ENSMUSG00000026339.18 transcript:ENSMUST00000112621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc93 description:coiled-coil domain containing 93 [Source:MGI Symbol;Acc:MGI:1918079] MGLPKGPEGQGLPEVETREDEEQNVKLTEILELLVAAGYFRARIKGLSPFDKVVGGMTWC ITTCSFDVDVDLLFQENSTIGQKIALSEKIVSVLPRMKCPHQLEPHQIQGMDFIHIFPVV QWLVKRAIETKEEMGDYIRSYSISQFQKTYSLPEDDDFIKRKDKAVKTVVGLSDAYKPRR KYRRQRGAEELPDEESRVHSTLLEYGRRYGFSRQSKTEKAEDKKTALAAGLSAAEKVDAH EEDELQAAEERIQSLMTKMTAMANEESRLTASSVGQIVGLCSEEIKQIVSEYAGKQSELS AEESPEKLGTSQLHQRKVISLNKQILQKSKHLEELQANHTSLKAKYSDRKKTLTELKDHG EKLDKEQAALEKLEAKADPSMLQNLRALVAMNESLKSQEQEFKAHCREEMARLQQEIETL KAERAPGEKIISGGEPQGALTSTMTHNEDLDRRYNMEKEKLYKIRLLQARRNREIAILHR KIDEVPSRAELIQYQKRFIELYRQISAVHKETKQFFTLYNTLDDKKVYLEKEISLLNSIH ENFSQAMASPAARDQFLRQMEQIVEGIKQSRMKMEKKKQENKMRRDQLNDQYLELLEKQR LYFKTVKEFKEEGRKNELLLSKIKAKAS >ENSMUSP00000028592.5 pep:known chromosome:GRCm38:2:104999656:105017080:-1 gene:ENSMUSG00000027170.12 transcript:ENSMUST00000028592.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3m description:eukaryotic translation initiation factor 3, subunit M [Source:MGI Symbol;Acc:MGI:1351744] MSVPAFIDISEEDQAAELRAYLKSKGAELSEENSEGGLHVDLAQIIEACDVCLKEDDKDV ESVMNSVVSLLLILEPDKQEALIESLCEKLVKFREGERPSLRLQLLSNLFHGMDKNTPVR YTVYCSLIKVAASCGAIQYIPTELDQVRKWISDWKLTTEKKHTLLRLLYEALVDCKKSDA ASKVMVELLGSYTEDNASQARVDAHRCIVRALKDPNAFLFDHLLTLKPVKFLEGELIHDL LTIFVSAKLASYVKFYQNNKDFIDSLGLLHEQNMAKMRLLTFMGMAVENKEISFDTMQQE LQIGADDVEAFVIDAVRTKMVYCKIDQTQRKVVVSHSTHRTFGKQQWQQLYDTLNAWKQN LNKVKNSLLSLSDT >ENSMUSP00000122339.1 pep:known chromosome:GRCm38:2:104999659:105006823:-1 gene:ENSMUSG00000027170.12 transcript:ENSMUST00000148476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3m description:eukaryotic translation initiation factor 3, subunit M [Source:MGI Symbol;Acc:MGI:1351744] VMVELLGSYTEDNASQARVDAHRCIVRALKDPNAFLFDHLLTLKPVKFLEGELIHDLLTI FVSAKLASYVKFYQNNKDFIDSLAVRTKMVYCKIDQTQRKVVVSHSTHRTFGKQQWQQLY DTLNAWKQNLNKVKNSLLSLSDT >ENSMUSP00000106739.2 pep:known chromosome:GRCm38:2:104999689:105017043:-1 gene:ENSMUSG00000027170.12 transcript:ENSMUST00000111110.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3m description:eukaryotic translation initiation factor 3, subunit M [Source:MGI Symbol;Acc:MGI:1351744] MSVPAFIDISEEDQVRKWISDWKLTTEKKHTLLRLLYEALVDCKKSDAASKVMVELLGSY TEDNASQARVDAHRCIVRALKDPNAFLFDHLLTLKPVKFLEGELIHDLLTIFVSAKLASY VKFYQNNKDFIDSLGLLHEQNMAKMRLLTFMGMAVENKEISFDTMQQELQIGADDVEAFV IDAVRTKMVYCKIDQTQRKVVVSHSTHRTFGKQQWQQLYDTLNAWKQNLNKVKNSLLSLS DT >ENSMUSP00000142766.1 pep:known chromosome:GRCm38:13:18948351:19150912:1 gene:ENSMUSG00000021314.11 transcript:ENSMUST00000200466.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amph description:amphiphysin [Source:MGI Symbol;Acc:MGI:103574] MADIKTGIFAKNVQKRLNRAQEKVLQKLGKADETKDEQFEEYVQNFKRQEAEGTRLQREL RGYLAAIKGMQEASMKLTESLHEVYEPDWYGREDVKMVGEKCDVLWEDFHQKLVDGSLLT LDTYLGQFPDIKNRIAKRSRKLVDYDSARHHLEALQSSKRKDESRISKAEEEFQKAQKVF EEFNVDLQEELPSLWSSRVGFYVNTFKNVSSLEAKFHKEIAVLCHKLYEVMTKLGDQHAD KAFSIQGAPSDSGPLRIAKTPSPPEEPSPLPSPTASPNHTLAPASPAPVRPRSPSQTRKG PPVPPLPKVTPTKELKQENIINFFEDNFVPEINVTTPSQNEVLEVKKEETLLDLDFDPFK PDVAPAGSAAATHSPMSQTLPWDLWTTSTDLVQPASGGSFNDFTQAQDTSLFTMQTDQNM VGGLAETEQALPTEPQAEEPPATAAAPTAGLDLGLEMEEPKEEAVIPPATDTGETVETAV PTEGAPVEEAEAEKAALPAGEGGSPEGAKIDGESTELAISESPQPVEPEAGAPQVIPSVV IEPASNHEGEGEHQETATGTEPREAAEDVAAQGSAGEKQEVATEPTPLDSQATLPASAGA VDASLSAGDATQELPPGFLYKVETLHDFEAANSDELNLQRGDVVLVVPSDSEADQDAGWL VGVKESDWLQYRDLATYKGLFPENFTRRLE >ENSMUSP00000003345.7 pep:known chromosome:GRCm38:13:18948371:19150915:1 gene:ENSMUSG00000021314.11 transcript:ENSMUST00000003345.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amph description:amphiphysin [Source:MGI Symbol;Acc:MGI:103574] MADIKTGIFAKNVQKRLNRAQEKVLQKLGKADETKDEQFEEYVQNFKRQEAEGTRLQREL RGYLAAIKGMQEASMKLTESLHEVYEPDWYGREDVKMVGEKCDVLWEDFHQKLVDGSLLT LDTYLGQFPDIKNRIAKRSRKLVDYDSARHHLEALQSSKRKDESRISKAEEEFQKAQKVF EEFNVDLQEELPSLWSSRVGFYVNTFKNVSSLEAKFHKEIAVLCHKLYEVMTKLGDQHAD KAFSIQGAPSDSGPLRIAKTPSPPEEPSPLPSPTASPNHTLAPASPAPVRPRSPSQTRKG PPVPPLPKVTPTKELKQENIINFFEDNFVPEINVTTPSQNEVLEVKKEETLLDLDFDPFK PDVAPAGSAAATHSPMSQTLPWDLWTTSTDLVQPASGGSFNDFTQAQDTSLFTMQTDQNM AETEQALPTEPQAEEPPATAAAPTAGLDLGLEMEEPKEEAVIPPATDTGETVETAVPTEG APVEEAEAEKAALPAGEGGSPEGAKIDGESTELAISESPQPVEPEAGAPQVIPSVVIEPA SNHEGEGEHQETATGTEPREAAEDVAAQGSAGEKQEVATEPTPLDSQATLPASAGAVDAS LSAGDATQELPPGFLYKVETLHDFEAANSDELNLQRGDVVLVVPSDSEADQDAGWLVGVK ESDWLQYRDLATYKGLFPENFTRRLE >ENSMUSP00000031345.8 pep:known chromosome:GRCm38:5:91948904:91963068:-1 gene:ENSMUSG00000029397.15 transcript:ENSMUST00000031345.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rchy1 description:ring finger and CHY zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1915348] MAATAREDGVRNLAQGPRGCEHYDRACLLKAPCCDKLYTCRLCHDTNEDHQLDRFKVKEV QCINCEKLQHAQQTCEDCSTLFGEYYCSICHLFDKDKRQYHCESCGICRIGPKEDFFHCL KCNLCLTTNLRGKHKCIENVSRQNCPICLEDIHTSRVVAHVLPCGHLLHRTCYEEMLKEG YRCPLCMHSALDMTRYWRQLDTEVAQTPMPSEYQNVTVDILCNDCNGRSTVQFHILGMKC KLCDSYNTAQAGGRRVPVDQQ >ENSMUSP00000131270.1 pep:known chromosome:GRCm38:5:91949001:91962735:-1 gene:ENSMUSG00000029397.15 transcript:ENSMUST00000169948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rchy1 description:ring finger and CHY zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1915348] MAATAREDGVRNLAQGPRGCEHYDRACLLKAQQTCEDCSTLFGEYYCSICHLFDKDKRQY HCESCGICRIGPKEDFFHCLKCNLCLTTNLRGKHKCIENVSRQNCPICLEDIHTSRVVAH VLPCGHLLHRTCYEEMLKEGYRCPLCMHSALDMTRYWRQLDTEVAQTPMPSEYQNVTVDI LCNDCNGRSTVQFHILGMKCKLCDSYNTAQAGGRRVPVDQQ >ENSMUSP00000113274.1 pep:known chromosome:GRCm38:13:76060422:76098648:-1 gene:ENSMUSG00000021592.15 transcript:ENSMUST00000120573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arsk description:arylsulfatase K [Source:MGI Symbol;Acc:MGI:1924291] MPAMLLLLVSVVAALALAAPAPRTQKKRMQVNQAPNVVLVASDSFDGRLTFQPGSQVVKL PFINFMRAHGTTFLNAYTNSPICCPSRAAMWSGLFTHLTESWNNFKGLDPNYTTWMDIME KHGYQTQKFGKVDYTSGHHSISNRVEAWTRDVAFLLRQEGRPIINLIPDKNRRRVMTKDW QNTDKAIEWLRQVNYTKPFVLYLGLNLPHPYPSPSSGENFGSSTFHTSLYWLEKVAYDAI KIPKWLTLSQMHPVDFYSSYTKNCTGKFTENEIKNIRAFYYAMCAETDAMLGEIILALHK LDLLQKTIVIYTSDHGEMAMEHRQFYKMSMYEASVHVPLLMMGPGIKANLQVPSVVSLVD IYPTMLDIAGIALPPNLSGYSLLTLLSNASANEQAFKFHRPPWILSEFHGCNANASTYML RTGQWKYIAYADGASVQPQLFDLSLDPDELTNIATEFPEITYSLDQKLRSIVNYPKVSAS VHQYNKEQFIMWKQSVGQNYSNVIAHLRWHQDWQRDPRKYENAIQHWLTAHSSPLASSPT QSTSGSQPTLPQSTSG >ENSMUSP00000126322.1 pep:known chromosome:GRCm38:10:69209762:69213098:-1 gene:ENSMUSG00000090622.1 transcript:ENSMUST00000170048.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930033H14Rik description:RIKEN cDNA A930033H14 gene [Source:MGI Symbol;Acc:MGI:2444562] MKPGPGVSELWDARWRRCCGCTHTGGAWAPGPGSACVPLPRGPGLKPSGDQSRAGCVRAV PAPPPRSIPPTPEHPLALSPGLRKRNLGRENQFRGHCGLSSRL >ENSMUSP00000019169.7 pep:known chromosome:GRCm38:8:71367217:71369732:1 gene:ENSMUSG00000002395.14 transcript:ENSMUST00000019169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Use1 description:unconventional SNARE in the ER 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914273] MAQAEGAYHRPLATSRLELNLVRLLCRCESMAAEKREPDEWRLEKYVGALEDMLQALKVQ ASKPASEVISEYSRKVDFLKGMLQAEKLTSSSEKALANQFLAPGRVPTTAKERVPATKTV HLQSRARYTSEMRSELLGMEPSGECEVDMRKRAAKGSRPADERQSASELDLVLQRHQGLQ EKLAEEMLGLARSLKTNTLAAQSVIKKDNQTLSHSLKMADQNLEKLKLESERLEQHAQKS VNWLLWAMLIVVCFVFISMILFIRIMPRLK >ENSMUSP00000105681.1 pep:known chromosome:GRCm38:8:71366942:71369732:1 gene:ENSMUSG00000002395.14 transcript:ENSMUST00000110054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Use1 description:unconventional SNARE in the ER 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914273] MAQAEGAYHRPLATSRLELNLVRLLCRCESMAAEKREPDEWRLEKYVGALEDMLQALKVQ ASKPASEVISEYSRKVDFLKGMLQAEKLTSSSEKALANQFLAPGRVPTTAKERVPATKTV HLQSRARYTSEMRSELLGMEPSGECEVDMRKRAAKGSRPADERQSASELDLVLQRHQGLQ EKLAEEMLGLARSLKTNTLAAQSVIKKDNQTLSHSLKMADQNLEKLKLESERLEQHAQKS VNWLLWAMLIVVCFVFISMILFIRIMPRLK >ENSMUSP00000122406.1 pep:known chromosome:GRCm38:8:71367005:71367806:1 gene:ENSMUSG00000002395.14 transcript:ENSMUST00000139541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Use1 description:unconventional SNARE in the ER 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914273] MAQAEGAYHRPLATSRLELNLVRLLCRCESMAAEKREPDEWRLEKYVGALEDMLQALKVQ ASKPASEVISEYSR >ENSMUSP00000105680.2 pep:known chromosome:GRCm38:8:71366848:71369729:1 gene:ENSMUSG00000002395.14 transcript:ENSMUST00000110053.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Use1 description:unconventional SNARE in the ER 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914273] MDYRDSASKNEKGQMAQAEGAYHRPLATSRLELNLVRLLCRCESMAAEKREPDEWRLEKY VGALEDMLQALKVQASKPASEVISEYSRKVDFLKGMLQAEKLTSSSEKALANQFLAPGRV PTTAKERVPATKTVHLQSRARYTSEMRSELLGMEPSGECEVDMRKRAAKGSRPADERQSA SELDLVLQRHQGLQEKLAEEMLGLARSLKTNTLAAQSVIKKDNQTLSHSLKMADQNLEKL KLESERLEQHAQKSVNWLLWAMLIVVCFVFISMILFIRIMPRLK >ENSMUSP00000112871.1 pep:known chromosome:GRCm38:5:45669919:45700546:1 gene:ENSMUSG00000015880.13 transcript:ENSMUST00000117396.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncapg description:non-SMC condensin I complex, subunit G [Source:MGI Symbol;Acc:MGI:1930197] MAAQKKRLSVKEAFQLAQQPHQNQAKLVVALSRTYGAMDDKTAFQEEFIHYLKYAMVVYK REPAVERVMDFTAKFVTSFHQSDEEEEEEENDGGILNYLFTFLLQSHEANSSAVRFRVCQ LTNKLLGNMPENAQIDDDLFDKINEAMLIRLKDKIPNVRIQAVLALSRLQDPKDDDCPVV DAYVNVIENDSNPEVRRAVLSCIAPSAKTLSKIVGRTKDIKDTVRKLAYQVLAEKVHMRA MSIAQRVLLLQQGLNDRSDAVKQAVQKHLLQGWLRFTEGNILEFLHRLDVENSSDVAVSV LHSLFSMTPLSELVGICKNDDGRKLIPVETLTPEIALYWCTLCEYLRSKGDEGEEFLEQI LPEPAVYAEYLLSYIQGFPVVNEEQKGDFTFIGDLMKREFIGQQLILIMKSLDTSEEGGR KRLLAILQETLILPTTPISLVSLIVERLLHIIRDDNERIQIVTEIISEIRAPIVNVVVDP SDTRKKELKMAEIKVKLIEAKEALENCIAVQDFDQASKLKEEIKILEDAKINLLKETEQL EIKEVHTEKNDAETLQKCLVLCYELLKQMSTSTGITATMNGIIESLILPGIISVHPIVRN LAVLCLGCCGLQNRDFASKHFMLFLQVLQIDDVTIKISALKAIIDQLMMFGIEPFKTQKV KGVQCEGEEINCHDKQEENDAGETDPAKSVLKLLSDFLDSEVSELRTGAAEGLAKLMFSG LLVSSRILSRLILLWYNPVTEEDVRLRHCLGVFFPMFAYANRTNQECFEEAFIPTVQTLA NAPVSSPLAEVDVTNVVELLVDLTRPSRLNPKAKNSQDYQALTVHDNLAIKICNEILTSP CSPENRVYTKALSLVELSSNVTKDLLLLLDEILEHVTDRTCLRALEKIKSQLGKGGKESD PGVVTQDVNTTTAVLQNENEYMTPVRDGKGTQTSKSTQGKSKRGCRKAPVSSKTNRRRQI AGPDSESDHEPPSEMKMRLPRRAKTAALQKSKLNLAEFLNEDTS >ENSMUSP00000141382.1 pep:known chromosome:GRCm38:Y:50097276:50122131:1 gene:ENSMUSG00000100338.2 transcript:ENSMUST00000187033.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28870 description:predicted gene 28870 [Source:MGI Symbol;Acc:MGI:5579576] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNYPAIGKDENISPQVKGNEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYISTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000038486.4 pep:known chromosome:GRCm38:11:102436981:102447682:-1 gene:ENSMUSG00000034685.4 transcript:ENSMUST00000049057.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam171a2 description:family with sequence similarity 171, member A2 [Source:MGI Symbol;Acc:MGI:2448496] MPPPSGPGVLARLLPLLGLLLGGASRAPGKSPPEPPSPQEILIKVQVYVSGELVPLARAS VDVFGNRTLLAAGTTDSEGVATLPLSYRLGTWVLVTAARPGFLTNSVPWRVDKLPLYASV SLYLLPERPATLILYEDLVHILLGSPGARSQPWVQFQRRAARLPVSSTYSQLWASLTPAS TQQEMRAFPAFLGTEASSSGNGSWLELIPLAAVSVHLLTGNGTEVPLSGPIHLSLPVPSE PRALAVGTSIPAWRFDPKSGLWVRNGTGVIRKEGRQLYWTFVSPQLGYWVAAMASPTSGL VTITSGIQDIGTYHTIFLLTILAALALLVLILLCLLIYYCRRRCLKPRQQHRKLQLSGPS DNKRDQATSMSQLHLICGGPLEPTSSGDPEAPPPGSLHSAFSSSRDLASSRDDFFRAKPR SASRPAAEPPGARTVEGAGLKSARSVEGPGGLEPSLDEYRRGPAGAAAFLHEPPSPPPSF DHYLGHKGAAESKTPDFLLSQSVDQLARPPSLSQPGQLIFCGSIDHLKDNVYRNVMPTLV IPAHYVRLGGEAGAAGVGDEATPPEGSAAGPARPFPQPDPQRPLMQGHAGAGGDSGGGEG WGGGRSAPVSGSVTIPVLFNESTMAQLNGELQALTEKKLLELGVKPHPRAWFVSLDGRSN SQVRHSYIDLQAGSGGRSTDASLDSGVDVHEARPARRRLPREERERAQLPAPPPPAPPRL ALSEDTEPSSSESRTGLCSPEDNSLTPLLDEVVAPEGRAATVPRGRGRSRGDSSRSSASE LRRDSLTSPEDELGAEVGDEAGDKKSPWQRREERPLMVFNVK >ENSMUSP00000117211.1 pep:known chromosome:GRCm38:5:81020571:81796634:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000132375.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHDAITEPSVQWWQVLMYFQTHVREHINTLKCSMNVSLIKWNKK FFFVLDC >ENSMUSP00000112470.1 pep:known chromosome:GRCm38:5:81021202:81792978:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000117253.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIMIESANYGR TDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPGTYKYLEVQ YECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYMPWTPYRTD TLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFDLRTRIKSG EAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNPYTLRIEGT WDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSLVDVPFPNS YQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPPIHLDSELE RPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSPAVEVLDDV TTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYLCLAPDGIW DPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSVRAMDQLVG LLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALNAWRDLTTS DQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLEDLKFPENMG HGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTNHSVIVNSP VITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYWSTQGCRLL TTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCLLICIFTFC FFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFLAAFTWMFL EGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVCWLRLDTYF IWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIALLCLLGLT WAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTHCCSGKSTE SSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASLNRGYLPCM QACVTYVGAMANHLISNALLRPHGTNNPYNTLLGEPAVCNNPSISMYNTQEPYRETSMGV KLNIAYQM >ENSMUSP00000113208.1 pep:known chromosome:GRCm38:5:81021240:81796645:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000120128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIMIESANYGR TDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPGTYKYLEVQ YECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYMPWTPYRTD TLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFDLRTRIKSG EAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNPYTLRIEGT WDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSLVDVPFPNS YQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPPIHLDSELE RPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSPAVEVLDDV TTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYLCLAPDGIW DPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSVRAMDQLVG LLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALNAWRDLTTS DQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLEDLKFPENMG HGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTNHSVIVNSP VITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYWSTQGCRLL TTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCLLICIFTFC FFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFLAAFTWMFL EGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVCWLRLDTYF IWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNINYEDNRPFIKSWVIGAI ALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRT HCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSAS LNREPYRETSMGVKLNIAYQIGASEQCQGYKCHGYSTTEW >ENSMUSP00000112823.1 pep:known chromosome:GRCm38:5:81021593:81796635:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000121707.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIA LLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTH CCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASL NREPYRETKGLLNNARDTSVMDTLPLNGNHGNSYSIAGGEYLSNCVQIIDRGYNHNETAL EKKILKELTSNYIPSYLNNHERSSEQNRNMMNKLVNNLGSGSEDDAIVLDDAASFNHEES LGLELIHEESDAPLLPPRVYSTDNHQPHHYSRRRFPQDHSESFFPLLTDEHTEDLQSPHR DSLYTSMPALAGVPAADSVTTSTQTEAAAAKGGDAEDVYYKSMPNLGSRNHVHPLHAYYQ LGRGSSDGFIVPPNKDGASPEGTSKGPAHLVTSL >ENSMUSP00000112731.1 pep:known chromosome:GRCm38:5:81309873:81795347:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000118078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIMIESANYGR TDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPGTYKYLEVQ YECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYMPWTPYRTD TLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFDLRTRIKSG EAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNPYTLRIEGT WDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSLVDVPFPNS YQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPPIHLDSELE RPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSPAVEVLDDV TTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYLCLAPDGIW DPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSVRAMDQLVG LLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALNAWRDLTTS DQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLEDLKFPENMG HGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTNHSVIVNSP VITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYWSTQGCRLL TTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCLLICIFTFC FFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFLAAFTWMFL EGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVCWLRLDTYF IWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIALLCLLGLT WAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTHCCSGKSTE SSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASLNREPYRET SMGVKLNIAYQIGASEQCQGYKCHGYSTTEW >ENSMUSP00000113619.1 pep:known chromosome:GRCm38:5:81309874:81795347:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000120144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIMIESANYGR TDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPGTYKYLEVQ YECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYMPWTPYRTD TLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFDLRTRIKSG EAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNPYTLRIEGT WDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSLVDVPFPNS YQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPPIHLDSELE RPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSPAVEVLDDV TTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYLCLAPDGIW DPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSVRAMDQLVG LLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALNAWRDLTTS DQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLEDLKFPENMG HGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTNHSVIVNSP VITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYWSTQGCRLL TTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCLLICIFTFC FFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFLAAFTWMFL EGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVCWLRLDTYF IWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIALLCLLGLT WAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTHCCSGKSTE SSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASLNRGAMANH LISNALLRPHGTNNPYNTLLGEPAVCNNPSISMYNTQEPYRETSMGVKLNIAYQIGASEQ CQGYKCHGYSTTEW >ENSMUSP00000113249.1 pep:known chromosome:GRCm38:5:81309874:81795347:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000120445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNINYEDNRPFI KSWVIGAIALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRK EYGKCLRTHCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFIT GDINSSASLNRGAMANHLISNALLRPHGTNNPYNTLLGEPAVCNNPSISMYNTQEPYRET SMGVKLNIAYQIGASEQCQGYKCHGYSTTEW >ENSMUSP00000113482.1 pep:known chromosome:GRCm38:5:81309874:81795347:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000120673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNINYEDNRPFI KSWVIGAIALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRK EYGKCLRTHCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFIT GDINSSASLNRGAMANHLISNALLRPHGTNNPYNTLLGEPAVCNNPSISMYNTQEGLLNN ARDTSVMDTLPLNGNHGNSYSIAGGEYLSNCVQIIDRGYNHNETALEKKILKELTSNYIP SYLNNHERSSEQNRNMMNKLVNNLGSGSEDDAIVLDDAASFNHEESLGLELIHEESDAPL LPPRVYSTDNHQPHHYSRRRFPQDHSESFFPLLTDEHTEDLQSPHRDSLYTSMPALAGVP AADSVTTSTQTEAAAAKGGDAEDVYYKSMPNLGSRNHVHPLHAYYQLGRGSSDGFIVPPN KDGASPEGTSKGPAHLVTSL >ENSMUSP00000113534.1 pep:known chromosome:GRCm38:5:81309874:81795347:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000118034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIMIESANYGR TDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPGTYKYLEVQ YECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYMPWTPYRTD TLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFDLRTRIKSG EAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNPYTLRIEGT WDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSLVDVPFPNS YQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPPIHLDSELE RPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSPAVEVLDDV TTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYLCLAPDGIW DPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSVRAMDQLVG LLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALNAWRDLTTS DQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLEDLKFPENMG HGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTNHSVIVNSP VITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYWSTQGCRLL TTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCLLICIFTFC FFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFLAAFTWMFL EGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVCWLRLDTYF IWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIALLCLLGLT WAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTHCCSGKSTE SSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASLNRGAMANH LISNALLRPHGTNNPYNTLLGEPAVCNNPSISMYNTQEGLLNNARDTSVMDTLPLNGNHG NSYSIAGGEYLSNCVQIIDRGYNHNETALEKKILKELTSNYIPSYLNNHERSSEQNRNMM NKLVNNLGSGSEDDAIVLDDAASFNHEESLGLELIHEESDAPLLPPRVYSTDNHQPHHYS RRRFPQDHSESFFPLLTDEHTEDLQSPHRDSLYTSMPALAGVPAADSVTTSTQTEAAAAK GGDAEDVYYKSMPNLGSRNHVHPLHAYYQLGRGSSDGFIVPPNKDGASPEGTSKGPAHLV TSL >ENSMUSP00000113836.1 pep:known chromosome:GRCm38:5:81309874:81795347:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000118442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNINYEDNRPFI KSWVIGAIALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRK EYGKCLRTHCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFIT GDINSSASLNREPYRETSMGVKLNIAYQIGASEQCQGYKCHGYSTTEW >ENSMUSP00000113694.1 pep:known chromosome:GRCm38:5:81309874:81795347:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000121641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIA LLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTH CCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASL NRGAMANHLISNALLRPHGTNNPYNTLLGEPAVCNNPSISMYNTQEGLLNNARDTSVMDT LPLNGNHGNSYSIAGGEYLSNCVQIIDRGYNHNETALEKKILKELTSNYIPSYLNNHERS SEQNRNMMNKLVNNLGSGSEDDAIVLDDAASFNHEESLGLELIHEESDAPLLPPRVYSTD NHQPHHYSRRRFPQDHSESFFPLLTDEHTEDLQSPHRDSLYTSMPALAGVPAADSVTTST QTEAAAAKGGDAEDVYYKSMPNLGSRNHVHPLHAYYQLGRGSSDGFIVPPNKDGASPEGT SKGPAHLVTSL >ENSMUSP00000113950.1 pep:known chromosome:GRCm38:5:81309874:81795347:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000117985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIMIESANYGR TDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPGTYKYLEVQ YECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYMPWTPYRTD TLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFDLRTRIKSG EAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNPYTLRIEGT WDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSLVDVPFPNS YQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPPIHLDSELE RPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSPAVEVLDDV TTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYLCLAPDGIW DPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSVRAMDQLVG LLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALNAWRDLTTS DQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLEDLKFPENMG HGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTNHSVIVNSP VITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYWSTQGCRLL TTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCLLICIFTFC FFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFLAAFTWMFL EGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVCWLRLDTYF IWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNINYEDNRPFIKSWVIGAI ALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRT HCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSAS LNRGAMANHLISNALLRPHGTNNPYNTLLGEPAVCNNPSISMYNTQEGLLNNARDTSVMD TLPLNGNHGNSYSIAGGEYLSNCVQIIDRGYNHNETALEKKILKELTSNYIPSYLNNHER SSEQNRNMMNKLVNNLGSGSEDDAIVLDDAASFNHEESLGLELIHEESDAPLLPPRVYST DNHQPHHYSRRRFPQDHSESFFPLLTDEHTEDLQSPHRDSLYTSMPALAGVPAADSVTTS TQTEAAAAKGGDAEDVYYKSMPNLGSRNHVHPLHAYYQLGRGSSDGFIVPPNKDGASPEG TSKGPAHLVTSL >ENSMUSP00000112548.1 pep:known chromosome:GRCm38:5:81309874:81795347:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000120292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIMIESANYGR TDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPGTYKYLEVQ YECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYMPWTPYRTD TLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFDLRTRIKSG EAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNPYTLRIEGT WDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSLVDVPFPNS YQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPPIHLDSELE RPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSPAVEVLDDV TTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYLCLAPDGIW DPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSVRAMDQLVG LLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALNAWRDLTTS DQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLEDLKFPENMG HGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTNHSVIVNSP VITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYWSTQGCRLL TTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCLLICIFTFC FFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFLAAFTWMFL EGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVCWLRLDTYF IWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNINYEDNRPFIKSWVIGAI ALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRT HCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSAS LNRGAMANHLISNALLRPHGTNNPYNTLLGEPAVCNNPSISMYNTQEPYRETSMGVKLNI AYQIGASEQCQGYKCHGYSTTEW >ENSMUSP00000113243.1 pep:known chromosome:GRCm38:5:81309912:81795216:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000119385.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIA LLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTH CCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASL NREPYRETSMGVKLNIAYQIGASEQCQGYKCHGYSTTEW >ENSMUSP00000113600.1 pep:known chromosome:GRCm38:5:81309912:81795216:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000122356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIA LLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTH CCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASL NREGLLNNARDTSVMDTLPLNGNHGNSYSIAGGEYLSNCVQIIDRGYNHNETALEKKILK ELTSNYIPSYLNNHERSSEQNRNMMNKLVNNLGSGSEDDAIVLDDAASFNHEESLGLELI HEESDAPLLPPRVYSTDNHQPHHYSRRRFPQDHSESFFPLLTDEHTEDLQSPHRDSLYTS MPALAGVPAADSVTTSTQTEAAAAKGGDAEDVYYKSMPNLGSRNHVHPLHAYYQLGRGSS DGFIVPPNKDGASPEGTSKGPAHLVTSL >ENSMUSP00000113374.1 pep:known chromosome:GRCm38:5:81309912:81795216:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000122037.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIMIESANYGR TDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPGTYKYLEVQ YECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYMPWTPYRTD TLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFDLRTRIKSG EAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNPYTLRIEGT WDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSLVDVPFPNS YQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPPIHLDSELE RPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSPAVEVLDDV TTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYLCLAPDGIW DPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSVRAMDQLVG LLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALNAWRDLTTS DQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLEDLKFPENMG HGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTNHSVIVNSP VITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYWSTQGCRLL TTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCLLICIFTFC FFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFLAAFTWMFL EGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVCWLRLDTYF IWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIALLCLLGLT WAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTHCCSGKSTE SSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASLNREGLLNN ARDTSVMDTLPLNGNHGNSYSIAGGEYLSNCVQIIDRGYNHNETALEKKILKELTSNYIP SYLNNHERSSEQNRNMMNKLVNNLGSGSEDDAIVLDDAASFNHEESLGLELIHEESDAPL LPPRVYSTDNHQPHHYSRRRFPQDHSESFFPLLTDEHTEDLQSPHRDSLYTSMPALAGVP AADSVTTSTQTEAAAAKGGDAEDVYYKSMPNLGSRNHVHPLHAYYQLGRGSSDGFIVPPN KDGASPEGTSKGPAHLVTSL >ENSMUSP00000112388.1 pep:known chromosome:GRCm38:5:81309912:81795887:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000117407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWVIGAIA LLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGKCLRTH CCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDINSSASL NRGAMANHLISNALLRPHGTNNPYNTLLGEPAVCNNPSISMYNTQEPYRETSMGVKLNIA YQIGASEQCQGYKCHGYSTTEW >ENSMUSP00000114067.1 pep:known chromosome:GRCm38:5:81309937:81795716:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000119788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNINYEDNRPFI KSWVIGAIALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRK EYGKCLRTHCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFIT GDINSSASLNRGYLPCMQACVTYVEGLLNNARDTSVMDTLPLNGNHGNSYSIAGGEYLSN CVQIIDRGYNHNETALEKKILKELTSNYIPSYLNNHERSSEQNRNMMNKLVNNLGSGSED DAIVLDDAASFNHEESLGLELIHEESDAPLLPPRVYSTDNHQPHHYSRRRFPQDHSESFF PLLTDEHTEDLQSPHRDSLYTSMPALAGVPAADSVTTSTQTEAAAAKGGDAEDVYYKSMP NLGSRNHVHPLHAYYQLGRGSSDGFIVPPNKDGASPEGTSKGPAHLVTSL >ENSMUSP00000118882.1 pep:known chromosome:GRCm38:5:81660303:81796634:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000124117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] XAEQTRNHLNAGDITYSVRAMDQLVGLLDVQLRNLTPGGKDSAARSLNKAMVETVNNLLQ PQALNAWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTE GNLEDLKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTE AMSTNHSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRT MTGYWSTQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILL SLVCLLICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALL HFFFLAAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYG TDKVCWLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNIKSWV IGAIALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRKEYGK CLRTHCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFITGDIN SSASLNREGLLNNARDTSVMDTLPLNGNHGNSYSIAGGEYLSNCVQIIDRGYNHNETALE KKILKELTSNYIPSYLNNHERSSEQNRNMMNKLVNNLGSGSEDDAIVLDDAASFNHEESL GLELIHEESDAPLLPPRVYSTDNHQPHHYSRRRFPQDHSESFFPLLTDEHTEDLQSPHRD SLYTSMPALAGVPAADSVTTSTQTEAAAAKGGDAEDVYYKSMPNLGSRNHVHPLHAYYQL GRGSSDGFIVPPNKDGASPEGTSKGPAHLVTSL >ENSMUSP00000072336.4 pep:known chromosome:GRCm38:5:81310025:81796634:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000072521.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKVEQKVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNINYEDNRPFI KSWVIGAIALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRK EYGKCLRTHCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFIT GDINSSASLNREPYRETKGLLNNARDTSVMDTLPLNGNHGNSYSIAGGEYLSNCVQIIDR GYNHNETALEKKILKELTSNYIPSYLNNHERSSEQNRNMMNKLVNNLGSGSEDDAIVLDD AASFNHEESLGLELIHEESDAPLLPPRVYSTDNHQPHHYSRRRFPQDHSESFFPLLTDEH TEDLQSPHRDSLYTSMPALAGVPAADSVTTSTQTEAAAAKGGDAEDVYYKSMPNLGSRNH VHPLHAYYQLGRGSSDGFIVPPNKDGASPEGTSKGPAHLVTSL >ENSMUSP00000045342.8 pep:known chromosome:GRCm38:5:81310025:81796634:1 gene:ENSMUSG00000037605.16 transcript:ENSMUST00000036068.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrl3 description:adhesion G protein-coupled receptor L3 [Source:MGI Symbol;Acc:MGI:2441950] MWPPQLLILTMLLAPVVHGGKHNERHPALAAPLRHAERSPGGALPPRHLLQQPAAERSTA HRGQGPRGAARGVRGPGAPGAQIAAQAFSRAPIPMAVVRRELSCESYPIELRCPGTDVIM IESANYGRTDDKICDSDPAQMENIRCYLPDAYKIMSQRCNNRTQCAVVAGPDVFPDPCPG TYKYLEVQYECVPYKIEKTVFLCPGLLKGVYQSEHLFESDHQSGAWCKDPLQASDKIYYM PWTPYRTDTLTEYSSKDDFIAGRPTTTYKLPHRVDGTGFVVYDGALFFNKERTRNIVKFD LRTRIKSGEAIIANANYHDTSPYRWGGKSDIDLAVDENGLWVIYATEQNNGKIVISQLNP YTLRIEGTWDTAYDKRSASNAFMICGILYVVKSVYEDDDNEATGNKIDYIYNTDQSKDSL VDVPFPNSYQYIAAVDYNPRDNLLYVWNNYHVVKYSLDFGPLDSRSGPVHHGQVSYISPP IHLDSELERPPVRGISTTGSLGMGSTTTSTTLRTTTWNIGRSTTASLPGRRNRSTSTPSP AVEVLDDVTTHLPSAASQIPAMEESCEAVEAREIMWFKTRQGQVAKQPCPAGTIGVSTYL CLAPDGIWDPQGPDLSNCSSPWVNHITQKLKSGETAANIARELAEQTRNHLNAGDITYSV RAMDQLVGLLDVQLRNLTPGGKDSAARSLNKLQKRERSCRAYVQAMVETVNNLLQPQALN AWRDLTTSDQLRAATMLLDTVEESAFVLADNLLKTDIVRENTDNIQLEVARLSTEGNLED LKFPENMGHGSTIQLSANTLKQNGRNGEIRVAFVLYNNLGPYLSTENASMKLGTEAMSTN HSVIVNSPVITAAINKEFSNKVYLADPVVFTVKHIKQSEENFNPNCSFWSYSKRTMTGYW STQGCRLLTTNKTHTTCSCNHLTNFAVLMAHVEVKHSDAVHDLLLDVITWVGILLSLVCL LICIFTFCFFRGLQSDRNTIHKNLCISLFVAELLFLIGINRTDQPIACAVFAALLHFFFL AAFTWMFLEGVQLYIMLVEVFESEHSRRKYFYLVGYGMPALIVAVSAAVDYRSYGTDKVC WLRLDTYFIWSFIGPATLIIMLNVIFLGIALYKMFHHTAILKPESGCLDNINYEDNRPFI KSWVIGAIALLCLLGLTWAFGLMYINESTVIMAYLFTIFNSLQGMFIFIFHCVLQKKVRK EYGKCLRTHCCSGKSTESSIGSGKTSGSRTPGRYSTGSQSRIRRMWNDTVRKQSESSFIT GDINSSASLNREPYRETKGLLNNARDTSVMDTLPLNGNHGNSYSIAGGEYLSNCVQIIDR GYNHNETALEKKILKELTSNYIPSYLNNHERSSEQNRNMMNKLVNNLGSGSEDDAIVLDD AASFNHEESLGLELIHEESDAPLLPPRVYSTDNHQPHHYSRRRFPQDHSESFFPLLTDEH TEDLQSPHRDSLYTSMPALAGVPAADSVTTSTQTEAAAAKGGDAEDVYYKSMPNLGSRNH VHPLHAYYQLGRGSSDGFIVPPNKDGASPEGTSKGPAHLVTSL >ENSMUSP00000117197.1 pep:known chromosome:GRCm38:2:122636986:122640729:1 gene:ENSMUSG00000033213.16 transcript:ENSMUST00000142767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA467197 description:expressed sequence AA467197 [Source:MGI Symbol;Acc:MGI:3034182] MGVFQILMKNKELIPLAFFISVAATGATSFALYALKKTDVVIDRKRNPEPWEMVDPTQPQ KLITINQQWKP >ENSMUSP00000040781.8 pep:known chromosome:GRCm38:2:122637850:122641191:1 gene:ENSMUSG00000033213.16 transcript:ENSMUST00000047498.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA467197 description:expressed sequence AA467197 [Source:MGI Symbol;Acc:MGI:3034182] MGVFQILMKNKELIPLAFFISVAATGATSFALYALKKTDVVIDRKRNPEPWEMVDPTQPQ KLITINQQWKPVEELQKVRRATR >ENSMUSP00000106141.3 pep:known chromosome:GRCm38:2:122637915:122641085:1 gene:ENSMUSG00000033213.16 transcript:ENSMUST00000110512.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA467197 description:expressed sequence AA467197 [Source:MGI Symbol;Acc:MGI:3034182] MGVFQILMKNKELIPLAFFISVAATGATSFALYALKKTDVVIDRKRNPEPWEMVDPTQPQ KLITINQQWKPVEELQKVRRATR >ENSMUSP00000141554.1 pep:known chromosome:GRCm38:1:36691484:36693681:1 gene:ENSMUSG00000061518.10 transcript:ENSMUST00000193210.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox5b description:cytochrome c oxidase subunit Vb [Source:MGI Symbol;Acc:MGI:88475] MASRLLRGVGALAAQALRAHGPRGAAVTRSMASGGGVPTDEEQATGLEREIMIAAQKGLD PYNMLPPKAASGTKEDPNLVPSISNKRIVGCICEEDNCTVIWFWLHKGESQRCPNCGTHY KLVPHQMAH >ENSMUSP00000141224.1 pep:known chromosome:GRCm38:1:36691610:36693386:1 gene:ENSMUSG00000061518.10 transcript:ENSMUST00000195151.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox5b description:cytochrome c oxidase subunit Vb [Source:MGI Symbol;Acc:MGI:88475] XVGALAAQALRAHGPRGAAVTRSMASGGGVPTDEEQATGLEREIMIAAQKGLVKRTTVLS SGFGCTKARVSDAPTVEPITSWCPTKWPTEPLCYLFRM >ENSMUSP00000079944.5 pep:known chromosome:GRCm38:1:36691688:36693386:1 gene:ENSMUSG00000061518.10 transcript:ENSMUST00000081180.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox5b description:cytochrome c oxidase subunit Vb [Source:MGI Symbol;Acc:MGI:88475] XGGVPTDEEQATGLEREIMIAAQKGLSTLVSFRTHTICYLQRQLQAPRKTLI >ENSMUSP00000100667.2 pep:known chromosome:GRCm38:11:120762794:120764419:1 gene:ENSMUSG00000078249.5 transcript:ENSMUST00000105046.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmga1-rs1 description:high mobility group AT-hook I, related sequence 1 [Source:MGI Symbol;Acc:MGI:96161] MSESGSKSSQPLASKQEKDGTEKRGRGRPRKQPPVSPGTALVGSQKEPSEVPTPKRPRGR PKGSKNKGAAKTRKVTTAPGRKPRGRPKKLEKEEEEGISQESSEEEQ >ENSMUSP00000137252.1 pep:known chromosome:GRCm38:11:120763643:120763762:1 gene:ENSMUSG00000078249.5 transcript:ENSMUST00000178955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmga1-rs1 description:high mobility group AT-hook I, related sequence 1 [Source:MGI Symbol;Acc:MGI:96161] MLRSQHVPTKVSPGGFLSPSCPTLQRSCTGLAEPLDNRK >ENSMUSP00000141443.1 pep:known chromosome:GRCm38:1:169972307:170110561:-1 gene:ENSMUSG00000026674.9 transcript:ENSMUST00000194690.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr2 description:discoidin domain receptor family, member 2 [Source:MGI Symbol;Acc:MGI:1345277] MIPIPRMPLVLLLLLLILGSAKAQVNPAICRYPLGMSGGHIPDEDITASSQWSESTAAKY GRLDSEEGDGAWCPEIPVQPDDLKEFLQIDLRTLHFITLVGTQGRHAGGHGIEFAPMYKI NYSRDGSRWISWRNRHGKQVLDGNSNPYDVFLKDLEPPIVARFVRLIPVTDHSMNVCMRV ELYGCVWLDGLVSYNAPAGQQFVLPGGSIIYLNDSVYDGAVGYSMTEGLGQLTDGVSGLD DFTQTHEYHVWPGYDYVGWRNESATNGFIEIMFEFDRIRNFTTMKVHCNNMFAKGVKIFK EVQCYFRSEASEWEPTAVYFPLVLDDVNPSARFVTVPLHHRMASAIKCQYHFADTWMMFS EITFQSDAAMYNNSGALPTSPMAPTTYDPMLKVDDSNTRILIGCLVAIIFILLAIIVIIL WRQFWQKMLEKASRRMLDDEMTVSLSLPSESSMFNNNRSSSPSEQESNSTYDRIFPLRPD YQEPSRLIRKLPEFAPGEEESGCSGVVKPAQPNGPEGVPHYAEADIVNLQGVTGGNTYCV PAVTMDLLSGKDVAVEEFPRKLLAFKEKLGEGQFGEVHLCEVEGMEKFKDKDFALDVSAN QPVLVAVKMLRADANKNARNDFLKEIKIMSRLKDPNIIRLLAVCITEDPLCMITEYMENG DLNQFLSRHEPLSSCSSDATVSYANLKFMATQIASGMKYLSSLNFVHRDLATRNCLVGKN YTIKIADFGMSRNLYSGDYYRIQGRAVLPIRWMSWESILLGKFTTASDVWAFGVTLWETF TFCQEQPYSQLSDEQVIENTGEFFRDQGRQIYLPQPALCPDSVYKLMLSCWRRETKHRPS FQEIHLLLLQQGAE >ENSMUSP00000142191.1 pep:known chromosome:GRCm38:1:170005103:170110729:-1 gene:ENSMUSG00000026674.9 transcript:ENSMUST00000192312.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr2 description:discoidin domain receptor family, member 2 [Source:MGI Symbol;Acc:MGI:1345277] MIPIPRMPLVLLLLLLILGSAKAQVNPAICRYPLGMSGGHIPDEDITASSQWSESTAAKY GRLDSEEGDGAWCPEIPVQPDDLKEFLQIDLRTLHFITLVGTQGRHAGGHGIEFAPMYKI NYSRDGSRW >ENSMUSP00000027985.2 pep:known chromosome:GRCm38:1:169977804:170110501:-1 gene:ENSMUSG00000026674.9 transcript:ENSMUST00000027985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr2 description:discoidin domain receptor family, member 2 [Source:MGI Symbol;Acc:MGI:1345277] MIPIPRMPLVLLLLLLILGSAKAQVNPAICRYPLGMSGGHIPDEDITASSQWSESTAAKY GRLDSEEGDGAWCPEIPVQPDDLKEFLQIDLRTLHFITLVGTQGRHAGGHGIEFAPMYKI NYSRDGSRWISWRNRHGKQVLDGNSNPYDVFLKDLEPPIVARFVRLIPVTDHSMNVCMRV ELYGCVWLDGLVSYNAPAGQQFVLPGGSIIYLNDSVYDGAVGYSMTEGLGQLTDGVSGLD DFTQTHEYHVWPGYDYVGWRNESATNGFIEIMFEFDRIRNFTTMKVHCNNMFAKGVKIFK EVQCYFRSEASEWEPTAVYFPLVLDDVNPSARFVTVPLHHRMASAIKCQYHFADTWMMFS EITFQSDAAMYNNSGALPTSPMAPTTYDPMLKVDDSNTRILIGCLVAIIFILLAIIVIIL WRQFWQKMLEKASRRMLDDEMTVSLSLPSESSMFNNNRSSSPSEQESNSTYDRIFPLRPD YQEPSRLIRKLPEFAPGEEESGCSGVVKPAQPNGPEGVPHYAEADIVNLQGVTGGNTYCV PAVTMDLLSGKDVAVEEFPRKLLAFKEKLGEGQFGEVHLCEVEGMEKFKDKDFALDVSAN QPVLVAVKMLRADANKNARNDFLKEIKIMSRLKDPNIIRLLAVCITEDPLCMITEYMENG DLNQFLSRHEPLSSCSSDATVSYANLKFMATQIASGMKYLSSLNFVHRDLATRNCLVGKN YTIKIADFGMSRNLYSGDYYRIQGRAVLPIRWMSWESILLGKFTTASDVWAFGVTLWETF TFCQEQPYSQLSDEQVIENTGEFFRDQGRQIYLPQPALCPDSVYKLMLSCWRRETKHRPS FQEIHLLLLQQGAE >ENSMUSP00000129624.1 pep:known chromosome:GRCm38:1:169972308:170088944:-1 gene:ENSMUSG00000026674.9 transcript:ENSMUST00000170800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddr2 description:discoidin domain receptor family, member 2 [Source:MGI Symbol;Acc:MGI:1345277] MIPIPRMPLVLLLLLLILGSAKAQVNPAICRYPLGMSGGHIPDEDITASSQWSESTAAKY GRLDSEEGDGAWCPEIPVQPDDLKEFLQIDLRTLHFITLVGTQGRHAGGHGIEFAPMYKI NYSRDGSRWISWRNRHGKQVLDGNSNPYDVFLKDLEPPIVARFVRLIPVTDHSMNVCMRV ELYGCVWLDGLVSYNAPAGQQFVLPGGSIIYLNDSVYDGAVGYSMTEGLGQLTDGVSGLD DFTQTHEYHVWPGYDYVGWRNESATNGFIEIMFEFDRIRNFTTMKVHCNNMFAKGVKIFK EVQCYFRSEASEWEPTAVYFPLVLDDVNPSARFVTVPLHHRMASAIKCQYHFADTWMMFS EITFQSDAAMYNNSGALPTSPMAPTTYDPMLKVDDSNTRILIGCLVAIIFILLAIIVIIL WRQFWQKMLEKASRRMLDDEMTVSLSLPSESSMFNNNRSSSPSEQESNSTYDRIFPLRPD YQEPSRLIRKLPEFAPGEEESGCSGVVKPAQPNGPEGVPHYAEADIVNLQGVTGGNTYCV PAVTMDLLSGKDVAVEEFPRKLLAFKEKLGEGQFGEVHLCEVEGMEKFKDKDFALDVSAN QPVLVAVKMLRADANKNARNDFLKEIKIMSRLKDPNIIRLLAVCITEDPLCMITEYMENG DLNQFLSRHEPLSSCSSDATVSYANLKFMATQIASGMKYLSSLNFVHRDLATRNCLVGKN YTIKIADFGMSRNLYSGDYYRIQGRAVLPIRWMSWESILLGKFTTASDVWAFGVTLWETF TFCQEQPYSQLSDEQVIENTGEFFRDQGRQIYLPQPALCPDSVYKLMLSCWRRETKHRPS FQEIHLLLLQQGAE >ENSMUSP00000102137.1 pep:known chromosome:GRCm38:7:119760923:119784893:1 gene:ENSMUSG00000030935.15 transcript:ENSMUST00000106527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm3 description:acyl-CoA synthetase medium-chain family member 3 [Source:MGI Symbol;Acc:MGI:99538] MVMLLRARCFQRLAIPDPMRVLYKDYRTATPQNFSNYESMKQDFKIEIPEYFNFAKDVLD QWTNMEKAGKRLSNPAFWWIDGNGEELRWSFEELGLLSRKFANILTEACSLQRGDRVMVI LPKIPEWWLANVACLRTGTVLIPGTTQLTQKDILYRLQSSKAKCIITDDTLAPAVDAVAA KCENLHSKLIVSQHSREGWGNLKEMMKYASDSHTCVDTKHDEMMAIYFTSGTTGPPKMIG HTHSSFGLGLSVNGRFWLDLIASDVMWNTSDTGWAKSAWSSVFSPWTQGACVFAHYLPRF ESTSILQTLSKFPITVFCSAPTAYRMLVQNDMSSYKFNSLKHCVSAGEPINPEVMEQWRK KTGLDIYEGYGQTETVLICGNFKGMKIKPGSMGKPSPAFDVKILDENGATLPPGQEGDIA LQVLPERPFGLFTHYVDNPSKTASTLRGSFYITGDRGYMDEDGYFWFVARSDDIILSSGY RIGPFEVESALIEHPSIAESAVVSSPDPIRGEVVKAFIVLNPDYKSHDQEQLKKEIQEHV KKTTAPYKYPRKVEFIEELPKTVSGKVKRNELRKKEWVTT >ENSMUSP00000068803.3 pep:known chromosome:GRCm38:7:119760924:119784891:1 gene:ENSMUSG00000030935.15 transcript:ENSMUST00000063770.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm3 description:acyl-CoA synthetase medium-chain family member 3 [Source:MGI Symbol;Acc:MGI:99538] MVMLLRARCFQRLAIPDPMRVLYKDYRTATPQNFSNYESMKQDFKIEIPEYFNFAKDVLD QWTNMEKAGKRLSNPAFWWIDGNGEELRWSFEELGLLSRKFANILTEACSLQRGDRVMVI LPKIPEWWLANVACLRTGTVLIPGTTQLTQKDILYRLQSSKAKCIITDDTLAPAVDAVAA KCENLHSKLIVSQHSREGWGNLKEMMKYASDSHTCVDTKHDEMMAIYFTSGTTGPPKMIG HTHSSFGLGLSVNGRFWLDLIASDVMWNTSDTGWAKSAWSSVFSPWTQGACVFAHYLPRF ESTSILQTLSKFPITVFCSAPTAYRMLVQNDMSSYKFNSLKHCVSAGEPINPEVMEQWRK KTGLDIYEGYGQTETVLICGNFKGMKIKPGSMGKPSPAFDVKILDENGATLPPGQEGDIA LQVLPERPFGLFTHYVDNPSKTASTLRGSFYITGDRGYMDEDGYFWFVARSDDIILSSGY RIGPFEVESALIEHPSIAESAVVSSPDPIRGEVVKAFIVLNPDYKSHDQEQLKKEIQEHV KKTTAPYKYPRKVEFIEELPKTVSGKVKRNELRKKEWVTT >ENSMUSP00000102139.1 pep:known chromosome:GRCm38:7:119760924:119787513:1 gene:ENSMUSG00000030935.15 transcript:ENSMUST00000106529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm3 description:acyl-CoA synthetase medium-chain family member 3 [Source:MGI Symbol;Acc:MGI:99538] MVMLLRARCFQRLAIPDPMRVLYKDYRTATPQNFSNYESMKQDFKIEIPEYFNFAKDVLD QWTNMEKAGKRLSNPAFWWIDGNGEELRWSFEELGLLSRKFANILTEACSLQRGDRVMVI LPKIPEWWLANVACLRTGTVLIPGTTQLTQKDILYRLQSSKAKCIITDDTLAPAVDAVAA KCENLHSKLIVSQHSREGWGNLKEMMKYASDSHTCVDTKHDEMMAIYFTSGTTGPPKMIG HTHSSFGLGLSVNGRFWLDLIASDVMWNTSDTGWAKSAWSSVFSPWTQGACVFAHYLPRF ESTSILQTLSKFPITVFCSAPTAYRMLVQNDMSSYKFNSLKHCVSAGEPINPEVMEQWRK KTGLDIYEGYGQTETVLICGNFKGMKIKPGSMGKPSPAFDVKILDENGATLPPGQEGDIA LQVLPERPFGLFTHYVDNPSKTASTLRGSFYITGDRGYMDEDGYFWFVARSDDIILSSGY RIGPFEVESALIEHPSIAESAVVSSPDPIRGEVVKAFIVLNPDYKSHDQEQLKKEIQEHV KKTTAPYKYPRKVEFIEELPKTVSGKVKRNELRKKEWEQGLLHEQMTVDRLLGKSARHER HVPSVCMNCSGVAAVLRYAEAA >ENSMUSP00000102136.1 pep:known chromosome:GRCm38:7:119766371:119784896:1 gene:ENSMUSG00000030935.15 transcript:ENSMUST00000106526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm3 description:acyl-CoA synthetase medium-chain family member 3 [Source:MGI Symbol;Acc:MGI:99538] MVMLLRARCFQRLAIPDPMRVLYKDYRTATPQNFSNYESMKQDFKIEIPEYFNFAKDVLD QWTNMEKAGKRLSNPAFWWIDGNGEELRWSFEELGLLSRKFANILTEACSLQRGDRVMVI LPKIPEWWLANVACLRTGTVLIPGTTQLTQKDILYRLQSSKAKCIITDDTLAPAVDAVAA KCENLHSKLIVSQHSREGWGNLKEMMKYASDSHTCVDTKHDEMMAIYFTSGTTGPPKMIG HTHSSFGLGLSVNGRFWLDLIASDVMWNTSDTGWAKSAWSSVFSPWTQGACVFAHYLPRF ESTSILQTLSKFPITVFCSAPTAYRMLVQNDMSSYKFNSLKHCVSAGEPINPEVMEQWRK KTGLDIYEGYGQTETVLICGNFKGMKIKPGSMGKPSPAFDVKILDENGATLPPGQEGDIA LQVLPERPFGLFTHYVDNPSKTASTLRGSFYITGDRGYMDEDGYFWFVARSDDIILSSGY RIGPFEVESALIEHPSIAESAVVSSPDPIRGEVVKAFIVLNPDYKSHDQEQLKKEIQEHV KKTTAPYKYPRKVEFIEELPKTVSGKVKRNELRKKEWVTT >ENSMUSP00000102138.1 pep:known chromosome:GRCm38:7:119760923:119784889:1 gene:ENSMUSG00000030935.15 transcript:ENSMUST00000106528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsm3 description:acyl-CoA synthetase medium-chain family member 3 [Source:MGI Symbol;Acc:MGI:99538] MVMLLRARCFQRLAIPDPMRVLYKDYRTATPQNFSNYESMKQDFKIEIPEYFNFAKDVLD QWTNMEKAGKRLSNPAFWWIDGNGEELRWSFEELGLLSRKFANILTEACSLQRGDRVMVI LPKIPEWWLANVACLRTGTVLIPGTTQLTQKDILYRLQSSKAKCIITDDTLAPAVDAVAA KCENLHSKLIVSQHSREGWGNLKEMMKYASDSHTCVDTKHDEMMAIYFTSGTTGPPKMIG HTHSSFGLGLSVNGRFWLDLIASDVMWNTSDTGWAKSAWSSVFSPWTQGACVFAHYLPRF ESTSILQTLSKFPITVFCSAPTAYRMLVQNDMSSYKFNSLKHCVSAGEPINPEVMEQWRK KTGLDIYEGYGQTETVLICGNFKGMKIKPGSMGKPSPAFDVKILDENGATLPPGQEGDIA LQVLPERPFGLFTHYVDNPSKTASTLRGSFYITGDRGYMDEDGYFWFVARSDDIILSSGY RIGPFEVESALIEHPSIAESAVVSSPDPIRGEVVKAFIVLNPDYKSHDQEQLKKEIQEHV KKTTAPYKYPRKVEFIEELPKTVSGKVKRNELRKKEWVTT >ENSMUSP00000078691.4 pep:known chromosome:GRCm38:3:37714190:37724410:-1 gene:ENSMUSG00000058174.7 transcript:ENSMUST00000079755.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5148 description:predicted gene 5148 [Source:MGI Symbol;Acc:MGI:3646006] MTFSTKSSNQNFIIFLNEVQAAIIGHERCDLLPVLNELHPDALPDGRIWLFGLNPYFFQH NSLCMRGTPKRIGLQGCAQVGFLVLFVMPLLVPSVTAELPGSSETTTLAHLAGATGPRES IPPCLMWSLTSHLPVYNTYNTAPCLWPFSPSLQH >ENSMUSP00000103740.1 pep:known chromosome:GRCm38:3:37714198:37724329:-1 gene:ENSMUSG00000058174.7 transcript:ENSMUST00000108105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5148 description:predicted gene 5148 [Source:MGI Symbol;Acc:MGI:3646006] MTFSTKSSNQNFIIFLNEVQAAIIGHERCDLLPVLNELHPDALPDGRIWLFGLNPYFFQH NSLCMRGTPKRIGLQGCAQVGFLVLFVMPLLVPSVTAELPGSSETTTLAHLAGATGPRES IPPCLMWSLTSHLPVYNTYNTAPCLWPFSPSLQH >ENSMUSP00000096731.1 pep:known chromosome:GRCm38:3:37714326:37724490:-1 gene:ENSMUSG00000058174.7 transcript:ENSMUST00000099128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5148 description:predicted gene 5148 [Source:MGI Symbol;Acc:MGI:3646006] MTFSTKSSNQNFIIFLNEVQAAIIGHERCDLLPVLNELHPDALPDGRIWLFGLNPYFFQH NSLCMRGTPKRIGLQGCAQVGFLVLFVMPLLVPSVTAELPGSSETTTLAHLAGATGPRES IPPCLMWSLTSHLPVYNTYNTAPCLWPFSPSLQH >ENSMUSP00000114440.1 pep:known chromosome:GRCm38:2:136501991:136551433:1 gene:ENSMUSG00000074771.10 transcript:ENSMUST00000149712.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankef1 description:ankyrin repeat and EF-hand domain containing 1 [Source:MGI Symbol;Acc:MGI:2441685] MALADKRLENLQIYRVLQCVRNKDKKQIEKLTRLGYPELINFTEPIDGLSALHLASISND TDMVSFLLKLGAHPDVQDHMGCTPTMRAAELGHELSMEILAKAKADMTIVDNEGKGVLFY CILPTKRHYRCSLIALEHGADVNNITYEGKPVFLRACEEAHDVKDMCLTFLEKGANPNAI NTV >ENSMUSP00000120000.1 pep:known chromosome:GRCm38:2:136515519:136550131:1 gene:ENSMUSG00000074771.10 transcript:ENSMUST00000123214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankef1 description:ankyrin repeat and EF-hand domain containing 1 [Source:MGI Symbol;Acc:MGI:2441685] MALADKRLENLQIYRVLQCVRNKDKKQIEKLTRLGYPELINFTEPIDGLSALHLASISND TDMVSFLLKLGAHPDVQDHMGCTPTMRAAELGHELSMEILAKAKADMTIVDNEGKGVLFY CILPTKRHYRCSLIALEHGADVNNITYEGKPVFLRACEEAHDVKDMCLTFLEKGANPNAI NTSTGRTALMESSREGVLEIVRGILERGGEVNAYDNDRHHAAHFAAKGGFFDILKLLFAY NGDMGLIGMDGNTPLHFAAMGGFADCCKYIAQRGCDLKWKNLEHKTPRVVAKDGGFKAAS KEIRRAERTAAKLAKTGAKNPNPLWALRLHDWSIEHETSLRNAFKFVDRGDGVVSKDDFV VALEERQEYATSEQLLSVAQMHEKSRGGGVNINEFFKGTKYLSKSYVLGSFGP >ENSMUSP00000028726.2 pep:known chromosome:GRCm38:2:136532212:136562091:1 gene:ENSMUSG00000074771.10 transcript:ENSMUST00000028726.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankef1 description:ankyrin repeat and EF-hand domain containing 1 [Source:MGI Symbol;Acc:MGI:2441685] MALADKRLENLQIYRVLQCVRNKDKKQIEKLTRLGYPELINFTEPIDGLSALHLASISND TDMVSFLLKLGAHPDVQDHMGCTPTMRAAELGHELSMEILAKAKADMTIVDNEGKGVLFY CILPTKRHYRCSLIALEHGADVNNITYEGKPVFLRACEEAHDVKDMCLTFLEKGANPNAI NTSTGRTALMESSREGVLEIVRGILERGGEVNAYDNDRHHAAHFAAKGGFFDILKLLFAY NGDMGLIGMDGNTPLHFAAMGGFADCCKYIAQRGCDLKWKNLEHKTPRVVAKDGGFKAAS KEIRRAERTAAKLAKTGAKNPNPLWALRLHDWSIEHETSLRNAFKFVDRGDGVVSKDDFV VALEERQEYATSEQLLSVAQMHEKSRGGGVNINEFFKGTKYLSKSYVLGSFGPKKKKRGL GKKPRKGKFVLPLPICTIPENAFPRRPDGGPPYYMIETYQNVSDSHRFNRDHPPEHPIQD DSEWYIDDPSRVFANISFITKAGDLASLKKAIETGIPVDMKDNTYKTPLMIACASGNIDV VKFLIEKGANVNATDNFLWTPLHFACHAGQQDIVELLVKAGASIDATSINNSTPLSRAIE SCRLDTVKYLLDMGAKFQIENRKGHAAMDIAKAYADYRIIDMIKEKLDNLPKQADNQKMK GKLPKLKTEGTDVKKEEETLSSIYTVPAITEEKKVHRDSVVYLNSLITSGFTKKVDITFI PKRIWSPEATTAELIRKRELRRERFTYEVDFEDFMMPFQKNITEKAQALEATLKN >ENSMUSP00000113881.1 pep:known chromosome:GRCm38:2:136532280:136555965:1 gene:ENSMUSG00000074771.10 transcript:ENSMUST00000121717.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankef1 description:ankyrin repeat and EF-hand domain containing 1 [Source:MGI Symbol;Acc:MGI:2441685] MALADKRLENLQIYRVLQCVRNKDKKQIEKLTRLGYPELINFTEPIDGLSALHLASISND TDMVSFLLKLGAHPDVQDHMGCTPTMRAAELGHELSMEILAKAKADMTIVDNEGKGVLFY CILPTKRHYRCSLIALEHGADVNNITYEGKPVFLRACEEAHDVKDMCLTFLEKGANPNAI NTSTGRTALMESSREGVLEIVRGILERGGEVNAYDNDRHHAAHFAAKGGFFDILKLLFAY NGDMGLIGMDGNTPLHFAAMGGFADCCKYIAQRGCDLKWKNLEHKTPRVVAKDGGFKAAS KEIRRAERTAAKLAKTGAKNPNPLWALRLHDWSIEHETSLRNAFKFVDRGDGVVSKDDFV VALEERQEYATSEQLLSVAQMHEKSRGGGVNINEFFKGTKYLSKSYVLGSFGPKKKKRGL GKKPRKGKFVLPLPICTIPENAFPRRPDGGPPYYMIETYQNVSDSHRFNRDHPPEHPIQD DSEWYIDDPSRVFANISFITKAGDLASLKKAIETGIPVDMKDNTYKTPLMIACASGNIDV VKFLIEKGANVNATDNFLWTPLHFACHAGQQDIVELLVKAGASIDATSINNSTPLSRAIE SCRLDTVKYLLDMGAKFQIENRKGHAAMDIAKAYADYRIIDMIKEKLDNLPKQADNQKMK GKLPKLKTEGTDVKKEEETLSSIYTVPAITEEKKVHRDSVVYLNSLITSGFTKKVDITFI PKRIWSPEATTAELIRKRELRRERFTYEVDFEDFMMPFQKNITEKAQALEATLKN >ENSMUSP00000135947.1 pep:known chromosome:GRCm38:2:136515515:136555828:1 gene:ENSMUSG00000074771.10 transcript:ENSMUST00000180246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankef1 description:ankyrin repeat and EF-hand domain containing 1 [Source:MGI Symbol;Acc:MGI:2441685] MALADKRLENLQIYRVLQCVRNKDKKQIEKLTRLGYPELINFTEPIDGLSALHLASISND TDMVSFLLKLGAHPDVQDHMGCTPTMRAAELGHELSMEILAKAKADMTIVDNEGKGVLFY CILPTKRHYRCSLIALEHGADVNNITYEGKPVFLRACEEAHDVKDMCLTFLEKGANPNAI NTSTGRTALMESSREGVLEIVRGILERGGEVNAYDNDRHHAAHFAAKGGFFDILKLLFAY NGDMGLIGMDGNTPLHFAAMGGFADCCKYIAQRGCDLKWKNLEHKTPRVVAKDGGFKAAS KEIRRAERTAAKLAKTGAKNPNPLWALRLHDWSIEHETSLRNAFKFVDRGDGVVSKDDFV VALEERQEYATSEQLLSVAQMHEKSRGGGVNINEFFKGTKYLSKSYVLGSFGPKKKKRGL GKKPRKGKFVLPLPICTIPENAFPRRPDGGPPYYMIETYQNVSDSHRFNRDHPPEHPIQD DSEWYIDDPSRVFANISFITKAGDLASLKKAIETGIPVDMKDNTYKTPLMIACASGNIDV VKFLIEKGANVNATDNFLWTPLHFACHAGQQDIVELLVKAGASIDATSINNSTPLSRAIE SCRLDTVKYLLDMGAKFQIENRKGHAAMDIAKAYADYRIIDMIKEKLDNLPKQADNQKMK GKLPKLKTEGTDVKKEEETLSSIYTVPAITEEKKVHRDSVVYLNSLITSGFTKKVDITFI PKRIWSPEATTAELIRKRELRRERFTYEVDFEDFMMPFQKNITEKAQALEATLKN >ENSMUSP00000001055.8 pep:known chromosome:GRCm38:11:106377656:106382781:-1 gene:ENSMUSG00000001029.15 transcript:ENSMUST00000001055.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icam2 description:intercellular adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:96394] MSSFACWSLSLLILFYSPGSGEKAFEVYIWSEKQIVEATESWKINCSTNCAAPDMGGLET PTNKIMLEEHPQGKWKQFLVSNVSKDTVFFCHFTCSGKQHSESLNIRVYQPPAQVTLKLQ PPRVFVGEDFTIECTVSPVQPLERLTLSLLRGRETLKNQTFGGAETVPQEATATFNSTAL KKDGLNFSCQAELDLRPHGGYIIRSISEYQILEVYEPMQDNQMVIIIVVVSILLFLFVTS VLLCFIFGQHWHRRRTGTYGVLAAWRRLPRAFRARPV >ENSMUSP00000133315.1 pep:known chromosome:GRCm38:11:106378560:106380801:-1 gene:ENSMUSG00000001029.15 transcript:ENSMUST00000173795.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icam2 description:intercellular adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:96394] XMLEEHPQGKWKQFLVSNVSKDTVFFCHFTCSGKQHSESLNIRVYQPPAQVTLKLQPPRV FVGEDFTIECTVSPVQPLERLTLSLLRGRETLKNQTFGGAETVPQEATATFNSTALKKDG LNFSCQAELDLRPHGGYIIRSISEYQILEVYGKETLLAERVRGSTSWQRGERKLTSLTPR >ENSMUSP00000102426.2 pep:known chromosome:GRCm38:11:106378806:106388072:-1 gene:ENSMUSG00000001029.15 transcript:ENSMUST00000106813.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icam2 description:intercellular adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:96394] MSSFACWSLSLLILFYSPGSGEKAFEVYIWSEKQIVEATESWKINCSTNCAAPDMGGLET PTNKIMLEEHPQGKWKQFLVSNVSKDTVFFCHFTCSGKQHSESLNIRVYQPPAQVTLKLQ PPRVFVGEDFTIECTVSPVQPLERLTLSLLRGRETLKNQTFG >ENSMUSP00000118043.1 pep:known chromosome:GRCm38:11:106380688:106388075:-1 gene:ENSMUSG00000001029.15 transcript:ENSMUST00000141146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icam2 description:intercellular adhesion molecule 2 [Source:MGI Symbol;Acc:MGI:96394] MSSFACWSLSLLILFYSPGLVISVLHCFMNACSRWQVCEPAAPFICKVLPQRMKGSGEKA FEVYIWSEKQIVEATESWKINCSTNCAAPDMGGLETPTNKIMLEEHPQGKWKQFLVSNVS KDTVFFCHFTCSGKQHSE >ENSMUSP00000099790.3 pep:known chromosome:GRCm38:4:109280268:109387817:1 gene:ENSMUSG00000028552.13 transcript:ENSMUST00000102729.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps15 description:epidermal growth factor receptor pathway substrate 15 [Source:MGI Symbol;Acc:MGI:104583] MAAAAQLSLTQLSSGNPVYEKYYRQVEAGNTGRVLALDAAAFLKKSGLPDLILGKIWDLA DTDGKGVLSKQEFFVALRLVACAQNGLEVSLSSLSLAVPPPRFHDSSSPLLTSGPSVAEL PWAVKSEDKAKYDAIFDSLSPVDGFLSGDKVKPVLLNSKLPVEILGRVWELSDIDHDGKL DRDEFAVAMFLVYCALEKEPVPMSLPPALVPPSKRKTWVVSPAEKAKYDEIFLKTDKDMD GYVSGLEVRETFLKTGLPSALLAHIWSLCDTKGCGKLSKDQFALAFHLINQKLIKGIDPP HSLTPEMIPPSDRSSLQKNITGSSPVADFSAIKELDTLNNEIVDLQREKNNVEQDLKEKE DTVKQRTSEVQDLQDEVQRESINLQKLQAQKQQVQELLGELDEQKAQLEEQLQEVRKKCA EEAQLISSLKAEITSQESQISSYEEELLKAREELSRLQQETAQLEESVESGKAQLEPLQQ HLQESQQEISSMQMRLEMKDLETDNNQSNWSSSPQSVLVNGATDYCSLSTSSSETANFNE HAEGQNNLESEPTHQESSVRSSPEIAPSDVTDESEAVTVAGNEKVTPRFDDDKHSKEEDP FNVESSSLTDAVADTNLDFFQSDPFVGSDPFKDDPFGKIDPFGGDPFKGSDPFASDCFFK QTSTDPFTTSSTDPFSASSNSSNTSVETWKHNDPFAPGGTVVAAASDSATDPFASVFGNE SFGDGFADFSTLSKVNNEDAFNPTISSSTSSVTIAKPMLEETASKSEDVPPALPPKVGTP TRPCPPPPGKRPINKLDSSDPLKLNDPFQPFPGNDSPKEKDPDMFCDPFTSSTTTNKEAD PSNFANFSAYPSEEDMIEWAKRESEREEEQRLARLNQQEQEDLELAIALSKSEISEA >ENSMUSP00000135034.1 pep:known chromosome:GRCm38:4:109280303:109385687:1 gene:ENSMUSG00000028552.13 transcript:ENSMUST00000176251.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eps15 description:epidermal growth factor receptor pathway substrate 15 [Source:MGI Symbol;Acc:MGI:104583] MAAAAQLSLTQLSSGNPVYEKYYRQVEAGNTGRVLALDAAAFLKKSGLPDLILGKIWDLA DTDGKGVLSKQEFFVALRLVACAQNGLEVSLSSLSLAVPPPRFHDSSSPLLTSGPSVAEL PWAVKSEDKAKYDAIFDSLSPVDGFLSGDKVKPVLLNSKLPVEILGRVWELSDIDHDGKL DRDEFAVAMFLVYCALEKEPVPMSLPPALVPPSKRKTWVVSPAEKAKYDEIFLKTDKDMD GYVSGLEVRETFLKTGLPSALLAHIWSLCDTKGCGKLSKDQFALAFHLINQKLIKGIDPP HSLTPEMIPPSDRSSLQKNITGSSPVADFSAIKELDTLNNEIVDLQREKNNVEQDLKEKE DTVKQRTSEVQDLQDEVQRESINLQKLQAQKQQVQELLGELDEQKAQLEEQLQEVRKKCA EEAQLISSLKAEITSQESQISSYEEELLKAREELSRLQQETAQLEESVESGKAQLEPLQQ HLQESQQEISSMQMRLEMKDLETDNNQSNWSSSPQSVLVNGATDYCSLSTSSSETANFNE HAEGQNNLESEPTHQESSVRSSPEIAPSDVTDESEAVTVAGNEKVTPRFDDDKHSKEEDP FNVESSSLTDAVADTNLDFFQSDPFVGSDPFKDDPFGKIDPFGGDPFKGSDPFASDCFFK QTSTDPFTTSSTDPFSASSNSSNTSVETWKHNDPFAPGGTVVAAASDSATDPFASVFGNE SFGDGFADFSTLSKVNNEDAFNPTISSSTSSVTIAKPMLEETASKSEDVPPALPPKVGTP TRPCPPPPAFPRQ >ENSMUSP00000134922.1 pep:known chromosome:GRCm38:4:109280376:109312908:1 gene:ENSMUSG00000028552.13 transcript:ENSMUST00000177089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps15 description:epidermal growth factor receptor pathway substrate 15 [Source:MGI Symbol;Acc:MGI:104583] MAAAAQLSLTQLSSGNPVYEKYYRQVEAGNTGRVLALDAAAFLKKSGLPDLILGKIWDLA DTDGKGVLSKQHDSSSPLLTSGPSVAELPWAVKSEDKAKYDAI >ENSMUSP00000135270.1 pep:known chromosome:GRCm38:4:109280412:109385465:1 gene:ENSMUSG00000028552.13 transcript:ENSMUST00000175776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps15 description:epidermal growth factor receptor pathway substrate 15 [Source:MGI Symbol;Acc:MGI:104583] MAAAAQLSLTQLSSGNPVYEKYYRQVEAGNTGRVLALDAAAFLKKSGLPDLILGKIWDLA DTDGKGVLSKQEFFVALRLVACAQNGLEVSLSSLSLAVPPPRFHDSSSPLLTSGPSVAEL PWAVKSEDKAKYDAIFDSLSPVDGFLSGDKVKPVLLNSKLPVEILGRVWELSDIDHDGKL DRDEFAVAMFLVYCALEKEPVPMSLPPALVPPSKRKTVSISGSKWVIPSSAAKESYHSLP PVGISPTKAPLRQWVVSPAEKAKYDEIFLKTDKDMDGYVSGLEVRETFLKTGLPSALLAH IWSLCDTKGCGKLSKDQFALAFHLINQKLIKGIDPPHSLTPEMIPPSDRSSLQKNITGSS PVADFSAIKELDTLNNEIVDLQREKNNVEQDLKEKEDTVKQRTSEVQDLQDEVQRESINL QKLQAQKQQVQELLGELDEQKAQLEEQLQEVRKKCAEEAQLISSLKAEITSQESQISSYE EELLKAREELSRLQQETAQLEESVESGKAQLEPLQQHLQESQQEISSMQMRLEMKDLETD NNQSNWSSSPQSVLVNGATDYCSLSTSSSETANFNEHAEGQNNLESEPTHQESSVRSSPE IAPSDVTDESEAVTVAGNEKVTPRFDDDKHSKEEDPFNVESSSLTDAVADTNLDFFQSDP FVGSDPFKDDPFGKIDPFGGDPFKGSDPFASDCFFKQTSTDPFTTSSTDPFSASSNSSNT SVETWKHNDPFAPGGTVVAAASDSATDPFASVFGNESFGDGFADFSTLSKVNNEDAFNPT ISSSTSSVTIAKPMLEETASKSEDVPPALPPKVGTPTRPCPPPPGKRPINKLDSSDPLKL NDPFQPFPGNDSPKEKDPDMFCDPFTSSTTTNKEADPSNFANFSAYPSEEDMIEWAKRES EREEEQRLARLNQQEQEDLELAIALSKSEISEA >ENSMUSP00000118949.2 pep:known chromosome:GRCm38:4:109280412:109385465:1 gene:ENSMUSG00000028552.13 transcript:ENSMUST00000132165.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps15 description:epidermal growth factor receptor pathway substrate 15 [Source:MGI Symbol;Acc:MGI:104583] MAAAAQLSLTQLSSGNPVYEKYYRQVEAGNTGRVLALDAAAFLKKSGLPDLILGKIWDLA DTDGKGVLSKQEFFVALRLVACAQNGLEVSLSSLSLAVPPPRFHDSSSPLLTSGPSVAEL PWAVKSEDKAKYDAIFDSLSPVDGFLSGDKVKPVLLNSKLPVEILGRVWELSDIDHDGKL DRDEFAVAMFLVYCALEKEPVPMSLPPALVPPSKRKTWVVSPAEKAKYDEIFLKTDKDMD GYVSGLEVRETFLKTGLPSALLAHIWSLCDTKGCGKLSKDQFALAFHLINQKLIKGIDPP HSLTPEMIPPSDRSSLQKNITGSSPVADFSAIKELDTLNNEIVDLQREKNNVEQDLKEKE DTVKQRTSEVQDLQDEVQRESINLQKLQAQKQQVQELLGELDEQKAQLEEQLQEVRKKCA EEAQLVRSSPEIAPSDVTDESEAVTVAGNEKVTPRFDDDKHSKEEDPFNVESSSLTDAVA DTNLDFFQSDPFVGSDPFKDDPFGKIDPFGGDPFKGSDPFASDCFFKQTSTDPFTTSSTD PFSASSNSSNTSVETWKHNDPFAPGGTVVAAASDSATDPFASVFGNESFGDGFADFSTLS KVNNEDAFNPTISSSTSSVTIAKPMLEETASKSEDVPPALPPKVGTPTRPCPPPPGKRPI NKLDSSDPLKLNDPFQPFPGNDSPKEKDPDMFCDPFTSSTTTNKEADPSNFANFSAYPSE EDMIEWAKRESEREEEQRLARLNQQEQEDLELAIALSKSEISEA >ENSMUSP00000030281.5 pep:known chromosome:GRCm38:4:109343059:109386566:1 gene:ENSMUSG00000028552.13 transcript:ENSMUST00000030281.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps15 description:epidermal growth factor receptor pathway substrate 15 [Source:MGI Symbol;Acc:MGI:104583] MYLKSDSGLGGWIAIPAVADVLRYSCIVCWSSREKNNVEQDLKEKEDTVKQRTSEVQDLQ DEVQRESINLQKLQAQKQQVQELLGELDEQKAQLEEQLQEVRKKCAEEAQLISSLKAEIT SQESQISSYEEELLKAREELSRLQQETAQLEESVESGKAQLEPLQQHLQESQQEISSMQM RLEMKDLETDNNQSNWSSSPQSVLVNGATDYCSLSTSSSETANFNEHAEGQNNLESEPTH QESSVRSSPEIAPSDVTDESEAVTVAGNEKVTPRFDDDKHSKEEDPFNVESSSLTDAVAD TNLDFFQSDPFVGSDPFKDDPFGKIDPFGGDPFKGSDPFASDCFFKQTSTDPFTTSSTDP FSASSNSSNTSVETWKHNDPFAPGGTVVAAASDSATDPFASVFGNESFGDGFADFSTLSK VNNEDAFNPTISSSTSSVTIAKPMLEETASKSEDVPPALPPKVGTPTRPCPPPPGKRPIN KLDSSDPLKLNDPFQPFPGNDSPKEKDPDMFCDPFTSSTTTNKEADPSNFANFSAYPSEE DMIEWAKRESEREEEQRLARLNQQEQEDLELAIALSKSEISEA >ENSMUSP00000135755.1 pep:known chromosome:GRCm38:4:109370548:109385783:1 gene:ENSMUSG00000028552.13 transcript:ENSMUST00000177192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps15 description:epidermal growth factor receptor pathway substrate 15 [Source:MGI Symbol;Acc:MGI:104583] XGGDPFKGSDPFASDCFFKQTSTDPFTTSSTDPFSASSNSSNTSVETWKHNDPFAPGGTV VAAASDSATDPFASVFGNESFGDGFADFSTLSKVNNEDAFNPTISSSTSSVTIAKPMLEE TASKSEDVPPALPPKVGTPTRPCPPPPVSL >ENSMUSP00000097502.2 pep:known chromosome:GRCm38:2:85663476:85664432:-1 gene:ENSMUSG00000075212.3 transcript:ENSMUST00000099918.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr154 description:olfactory receptor 154 [Source:MGI Symbol;Acc:MGI:1351316] MMHRNQTVVTEFFFTGLTSSFHLQIVLFLTFLCVYLATLLGNLGMIILIHLDTRLHIPMY FFLSHLSFVDACSSSVISPKMLSDMFVDKKVISFLGCAIQLCLFSQFVVTECFLLASMAY DRYVAICKPLLYTLIMSQRVCVQLVIGPYSIGFVSTMVHIISAFVLPYCGPNLINHFFCD LLPVLSLACANTQMKKRLLFIVAGILGVFSGIIILVSYVYIAITILKISSADGRRKAFST CSSHLTAVSILYGTLFFIYVRPSSSFSLDINKVVSLFYTTVIPMLNPFIYSLRNKEVKDA LIRTFEKQFCYSFQDKIL >ENSMUSP00000097501.1 pep:known chromosome:GRCm38:2:85674211:85675173:-1 gene:ENSMUSG00000075211.2 transcript:ENSMUST00000099917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1006 description:olfactory receptor 1006 [Source:MGI Symbol;Acc:MGI:3030840] MTRFSSVDMELDNRTILTEFILVGFSADPHWQLTLFGIFLTIYLLTLSGNMLLVVLIRID SRLHTPMYFFISNLSFLDFWYTSVYTPKILATCISEDKRISLAGCGAQLFFSCVVAYTEC YLLAAMAYDRHSAICSPLIYSSIMSSSLCTGLVAGCYIGGVLNAIAHTANTFRLTFCGKN IIDHFFCDAPPLVKMSCTDTRVYEKVLLGVVGFTVLSSILAILISYFNILLAILRIRSAS GRRKAFSTCASHLVSVMLFYGSLLFMYSRPSSTYSLEKDKVAALFYTVVNPLLNPLIYSL RNKDVKDAFRKATQTIRPHT >ENSMUSP00000097503.2 pep:known chromosome:GRCm38:2:85674211:85678741:-1 gene:ENSMUSG00000075211.2 transcript:ENSMUST00000099919.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1006 description:olfactory receptor 1006 [Source:MGI Symbol;Acc:MGI:3030840] MELDNRTILTEFILVGFSADPHWQLTLFGIFLTIYLLTLSGNMLLVVLIRIDSRLHTPMY FFISNLSFLDFWYTSVYTPKILATCISEDKRISLAGCGAQLFFSCVVAYTECYLLAAMAY DRHSAICSPLIYSSIMSSSLCTGLVAGCYIGGVLNAIAHTANTFRLTFCGKNIIDHFFCD APPLVKMSCTDTRVYEKVLLGVVGFTVLSSILAILISYFNILLAILRIRSASGRRKAFST CASHLVSVMLFYGSLLFMYSRPSSTYSLEKDKVAALFYTVVNPLLNPLIYSLRNKDVKDA FRKATQTIRPHT >ENSMUSP00000050728.8 pep:known chromosome:GRCm38:17:33329342:33358878:-1 gene:ENSMUSG00000003929.10 transcript:ENSMUST00000054072.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp81 description:zinc finger protein 81 [Source:MGI Symbol;Acc:MGI:1890752] MEPVTFEDVAVNFTLGEWALLDSYQKELYRDVMKETFSNLISIGKSEEDNIEDYQNIRRN LSTLMVEGFYEFERGIQYREMHQQIQEHIVNKYIPPGIVVYENSASKNDVIGLSQSDVHL RLLTVEKPYEYQKCMEKPIKHKKYWKDLAYSESFLAHENPPKEKPYGNKQSNDPCRSLTS DQDYETTHTGDNLHEYKQFETAIMTCSYGQSYERIQTGEKPFVCKQCGEAFVNSSHLIKH YKIHTREKTFACKYCGKAFIHPRACYNHERTHTGDRPYVCKQCGKACIHSYHLLQHERSH TREKLYACKQCGKVFGRSSYLRKHERIHTGEKPYLCKHCGKAFSDPTTRNNHERTHTGEK HYVCKQCGKAFIRSSQLLIHERIHTGEKPYSCKHCGKAFTYSSACYIHERIHTGEKPYVC KQCGKAFTCSTYLHKHERIHTGEKPYSCKQCGKAFIQHRACYNHERIHTGEKPYVCVQCG HAFTFSKSLQIHERNHTGEKPYVCKQCGKAFTCSTYLHQHERTHSEKKSSG >ENSMUSP00000061191.1 pep:known chromosome:GRCm38:2:85689431:85690372:1 gene:ENSMUSG00000050603.1 transcript:ENSMUST00000054868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1008 description:olfactory receptor 1008 [Source:MGI Symbol;Acc:MGI:3030842] MGQQNTTSLPGFILMGITQSTELQLPLFGVFFIIYAVTVMGNLGMIILTKLDSRLQTPMY FFIRHLAFIDLGNSTVICPKMLMDFVMDEKNISFYACATQMSFFVLFIISELFILSSMAY DCYVAICNPLLYSVIMSQRLCHVLVDIPYLYSTFQALLFTSKIFTLTFCGSNIISHFYCD AVYLLPTLCSNAEEIQLLIILFSALNLLSSLLIVLGLYVLILIAICRMHSAEGRRKAFST CGSHLTVVVVFYGTLLFMYLQPKSTDSLENDKITSVFYTLVIPMINPLIYSLRNKEVKNA FNRALKNPFKINT >ENSMUSP00000029865.3 pep:known chromosome:GRCm38:4:11156431:11174379:1 gene:ENSMUSG00000028211.11 transcript:ENSMUST00000029865.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53inp1 description:transformation related protein 53 inducible nuclear protein 1 [Source:MGI Symbol;Acc:MGI:1926609] MFQRLNKMFVGEVTTSSSQEPEFSEKEDDEWILVDFIDTCPGFSAEEEEEDEDIGEESSA EHTSVFSCLPASLECLTDTSDSCFLQFESCPMEESWFITPPPCFTAGGLTTIKVETSPME NLLIEHPSMSVYAVHNSCPGLSEASCGNDEYNSSGPRMEAQSEMGKHIHCCVAALAAQAT FLEQPKSFRPSQWIKGHSERQSLNRNGLRRQNLTRDCHTRQMKHSGWVVHQPCPRQYNY >ENSMUSP00000121665.1 pep:known chromosome:GRCm38:1:143739029:143746776:1 gene:ENSMUSG00000018196.18 transcript:ENSMUST00000145969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrx2 description:glutaredoxin 2 (thioltransferase) [Source:MGI Symbol;Acc:MGI:1916617] MGNSTSSFWGKSTTTPVNQIQETISNNCVVIFSKTSCSYCSMAKKIFHDMNVNYKAVELD MLEYGNQFQDALHKMTGERTVPRIFVNGRFIGGAADTHRLHKEGKLLPLVHQCYLKKKQE ERH >ENSMUSP00000141022.1 pep:known chromosome:GRCm38:1:143739557:143749401:1 gene:ENSMUSG00000018196.18 transcript:ENSMUST00000185362.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrx2 description:glutaredoxin 2 (thioltransferase) [Source:MGI Symbol;Acc:MGI:1916617] MSWRRAASVGRRLVASGRILAGRRGAAGAAGSGMGNSTSSFWGKSTTTPVNQIQETISNN CVVIFSKTSCSYCSMAKKIFHDMNVNYKAVELDMLEYGNQFQDALHKMTGERTVPRIFVN GRFIGGAADTHRLHKEGKLLPLVHQCYLKKKQEERH >ENSMUSP00000107588.3 pep:known chromosome:GRCm38:1:143739615:143746776:1 gene:ENSMUSG00000018196.18 transcript:ENSMUST00000111957.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrx2 description:glutaredoxin 2 (thioltransferase) [Source:MGI Symbol;Acc:MGI:1916617] MGNSTSSFWGKSTTTPVNQIQETISNNCVVIFSKTSCSYCSMAKKIFHDMNVNYKAVELD MLEYGNQFQDALHKMTGERTVPRIFVNGRFIGGAADTHRLHKEGKLLPLVHQCYLKKKQE ERH >ENSMUSP00000115893.1 pep:known chromosome:GRCm38:1:143739618:143745599:1 gene:ENSMUSG00000018196.18 transcript:ENSMUST00000145571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrx2 description:glutaredoxin 2 (thioltransferase) [Source:MGI Symbol;Acc:MGI:1916617] MSWRRAASVGRRLVASGRILAGRRGAAGAAGSGMGNSTSSFWGKSTTTPVNQIQETISNN CVVIFSKTSCSYCSMAKKIFHDMNVNYKAVELDMLEYGNQFQDALHKMTGERTVSTVSAL LLLEMGWCHTTPRTLDFPASKSKPDISADYTLISKWQIYKETSLIEYETA >ENSMUSP00000053443.10 pep:known chromosome:GRCm38:1:143739646:143747309:1 gene:ENSMUSG00000018196.18 transcript:ENSMUST00000050491.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glrx2 description:glutaredoxin 2 (thioltransferase) [Source:MGI Symbol;Acc:MGI:1916617] MSWRRAASVGRRLVASGRILAGRRGAAGAAGSGMGNSTSSFWGKSTTTPVNQIQVSDGED RGRRNSSHGNNF >ENSMUSP00000121010.1 pep:known chromosome:GRCm38:1:143740375:143749676:1 gene:ENSMUSG00000018196.18 transcript:ENSMUST00000129653.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrx2 description:glutaredoxin 2 (thioltransferase) [Source:MGI Symbol;Acc:MGI:1916617] MGNSTSSFWGKSTTTPVNQIQETISNNCVVIFSKTSCSYCSMAKKIFHDMNVNYKAVELD MLEYGNQFQDALHKMTGERTVPRIFVNGRFIGGAADTHRLHKEGKLLPLVHQCYLKKKQE ERH >ENSMUSP00000010550.7 pep:known chromosome:GRCm38:14:54426909:54429756:1 gene:ENSMUSG00000010406.11 transcript:ENSMUST00000010550.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl52 description:mitochondrial ribosomal protein L52 [Source:MGI Symbol;Acc:MGI:1916086] MAALGTWLSSVRRLHCSVVARAGGQWRLQQGLAANPSGYGPLTELPDWSFADGRPAPPMK GQLRRKAQREKLARRVVLLTQEMDAGIQAWKLRQQKLQEERKKEHDLKPKGTLLRSPLPN Q >ENSMUSP00000142555.1 pep:known chromosome:GRCm38:14:54426972:54429749:1 gene:ENSMUSG00000010406.11 transcript:ENSMUST00000199195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl52 description:mitochondrial ribosomal protein L52 [Source:MGI Symbol;Acc:MGI:1916086] MKGQLRRKAQREKLARRVVLLTQEMDAGIQAWKLRQQKLQEERKKEHDLKPKGTLLRSPL PNQ >ENSMUSP00000142643.1 pep:known chromosome:GRCm38:14:54427051:54429749:1 gene:ENSMUSG00000010406.11 transcript:ENSMUST00000196273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl52 description:mitochondrial ribosomal protein L52 [Source:MGI Symbol;Acc:MGI:1916086] CSVVARAGGQWRLQQGLAANPSGYGPLTELPDWSFAETSCTADTGNGCWNTGMEAQAAEI AGRKEEGT >ENSMUSP00000061546.6 pep:known chromosome:GRCm38:X:36887540:36902899:-1 gene:ENSMUSG00000044149.6 transcript:ENSMUST00000057093.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkrf description:NF-kappaB repressing factor [Source:MGI Symbol;Acc:MGI:1924536] MEKILHMAEGIDIGEMPSYDLMLPKPSKGQKRYLSTYDGQNPPKKQAGSKFHVRARFEPV HFVASSSKAERQEDPYGPQTKDVNGRTHFASMPRNFYQDYTQDSFSIQDGNSQYCNSSGF IFTKDQPVATNMYFDSGNPAPSSTSQQANCQPAPEPPPSQMYPESLVAEKQYFIEKLTAT IWKNLSNPEMTSGSDKINYTYMLTRCIQACKTNPEYIYAPLKEIPPADIPKNKKLLTDGY ACEVRCQNIYLTTGYAGSKNGSRDRATELAVKLLQKRIEVRVVRRKFKHIIGEDLVVCQI GMLSYEFPPALKPPEDLVVLGKDASGQPIFNSSAKHWTNFVITENANDAIGILNNSASFN KMSIEYKYEMMPNRTWRCRVFLQDHCLAEGYGTKKTSKHAAADEALKVLQKTQPTYPSVK SSQCHSGSSPKGSGKKKDIKDLVVYENSSNPVCTLNDTAQFNRMTVEYVYERMTGLRWKC KVILESEVIAEAVGVKKSVKYEAAGEAVKTLKKTQPTVINNLKKGTVEDVISRNEIQGRS AEEAYKQQIKEDNIGNQLLRKMGWTGGGLGKSGEGIREPISVKEQHKREGLGLDVERVNK IAKRDIEQIIRNYARSESHSDLTFSTELTNDERKQIHQIAQKYGLKSKSHGVGHDRYLVV GRKRRKEDLLDQLKQEGQVGHYELVMPQAN >ENSMUSP00000119633.1 pep:known chromosome:GRCm38:11:77470485:77476436:-1 gene:ENSMUSG00000037907.16 transcript:ENSMUST00000145934.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13b description:ankyrin repeat domain 13b [Source:MGI Symbol;Acc:MGI:2144501] RELLLAAAQPSEEQVLSRLTAPVVTTQLDTKNISFERNKTGILGWRSEKTEMVNGYEAKV YGASNVELITRTRTEHLSEQHKGKVKGCKTPLQSFLGIAEQHGGPQNGTLITQTLSQANP PAITAEEYFNPNFELGNRAMGRPMELTTKTQKFKAKLWLCEEHPLSLCEQVAPIIDLMAV SNALFAKLRDFITLRLPPGFPVKIEIPIFHILNARITFGNLNGCDEPVPSVRGSPGSETP SPGSDSSSVSSSSSTTSCRACEISPALFEAPRGYSVLGGQREAVPRDEDDDLLRFAIQQS LLEAGSEYDQVTIWEALTNSKPGTHPMSYEGRRQDRSVPTKPKRA >ENSMUSP00000073584.6 pep:known chromosome:GRCm38:11:77470485:77489666:-1 gene:ENSMUSG00000037907.16 transcript:ENSMUST00000037593.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13b description:ankyrin repeat domain 13b [Source:MGI Symbol;Acc:MGI:2144501] MIPANASARKGPEGKYPLHYLVWHNRHRELEKEVRAGQVDIEQLDPRGRTPLHLATTLGH LECARVLLAHGADVGRENRSGWTVLQEAVSTRDLELVQLVLRYRDYQRVVKRLAGIPMLL EKLRKAQDFYVEMKWEFTSWVPLVSKICPSDTYKVWKSGQNLRVDTTLLGFDHMTWQRGN RSFVFRGQDTSAVVMEIDHDRRVVYMETLALAGQDRELLLAAAQPSEEQVLSRLTAPVVT TQLDTKNISFERNKTGILGWRSEKTEMVNGYEAKVYGASNVELITRTRTEHLSEQHKGKV KGCKTPLQSFLGIAEQHGGPQNGTLITQTLSQANPPAITAEEYFNPNFELGNRAMGRPME LTTKTQKFKAKLWLCEEHPLSLCEQVAPIIDLMAVSNALFAKLRDFITLRLPPGFPVKIE IPIFHILNARITFGNLNGCDEPVPSVRGSPGSETPSPGSDSSSVSSSSSTTSCRACEISP ALFEAPRGYSVLGGQREAVPRDEDDDLLRFAIQQSLLEAGSEYDQVTIWEALTNSKPGTH PMSYEGRRQDRSAPPTPQRQPMPPAPVPSPRPSPGPGSSSHVFRSYDEQLRLAMELSAQE QEERRRRVRQEEEELERILRLSLTEQ >ENSMUSP00000118247.1 pep:known chromosome:GRCm38:11:77472772:77476247:-1 gene:ENSMUSG00000037907.16 transcript:ENSMUST00000127291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13b description:ankyrin repeat domain 13b [Source:MGI Symbol;Acc:MGI:2144501] XKTGILGWRSEKTEMVNGYEAKVYGASNVELITRTRTEHLSEQHKGKVKGCKTPLQSFLG IAEQHGGPQNGTLITQTLSQANPPAITAEEYFNPNFELGNRAMGRPMELTTKTQNFPYPL GSGGPGSRPSCGCVRSTPCPCVSRWLPSLTSWLSATHFLPSSGTSSRCACLLASQSRLKS RFFTSSTPASPSGTSMAAMSQCPRCEVAPAARR >ENSMUSP00000090568.3 pep:known chromosome:GRCm38:11:77470487:77489678:-1 gene:ENSMUSG00000037907.16 transcript:ENSMUST00000092892.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd13b description:ankyrin repeat domain 13b [Source:MGI Symbol;Acc:MGI:2144501] MIPANASARKGPEGKYPLHYLVWHNRHRELEKEVRAGQVDIEQLDPRGRTPLHLATTLGH LECARVLLAHGADVGRENRSGWTVLQEAVSTRDLELVQLVLRYRDYQRVVKRLAGIPMLL EKLRKAQDFYVEMKWEFTSWVPLVSKICPSDTYKVWKSGQNLRVDTTLLGFDHMTWQRGN RSFVFRGQDTSAVVMEIDHDRRVVYMETLALAGQDRELLLAAAQPSEEQVLSRLTAPVVT TQLDTKNISFERNKTGILGWRSEKTEMVNGYEAKVYGASNVELITRTRTEHLSEQHKGKV KGCKTPLQSFLGIAEQHGGPQNGTLITQTLSQANPPAITAEEYFNPNFELGNRAMGRPME LTTKTQKFKAKLWLCEEHPLSLCEQVAPIIDLMAVSNALFAKLRDFITLRLPPGFPVKIE IPIFHILNARITFGNLNGCDEPVPSVRGSPGSETPSPGSDSSSVSSSSSTTSCRACEISP ALFEAPRGYSVLGGQREAVPRDEDDDLLRFAIQQSLLEAGSEYDQVTIWEALTNSKPGTH PMSYEGRRQDRPREPSVPSTPGIENPLSRWLLPTPFPGTGRVASAPGMCRDQGPSSLDLQ TLNNRQVSQVCRERGALDKSSPPPGAPHPRRSASPCPRLQCPALGPAQVPAPAAMCSGAT TSSCGWLWSCPLRSRRRGGGECARRRKSWSGSCGSR >ENSMUSP00000127341.2 pep:known chromosome:GRCm38:4:155734874:155740564:1 gene:ENSMUSG00000084845.9 transcript:ENSMUST00000127188.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem240 description:transmembrane protein 240 [Source:MGI Symbol;Acc:MGI:3648074] MSMSANTMIFMILGASIVMAIACLMDMNALLDRFHNYILPHLRGEDRVCHCNCGRHHIHY VIPYDGDQSVVDASENYFVTDNVTKQEIDLMLGLLLGFCISWFLVWMDGVLHCAVRAWRA GRRYDGSWTWLPKLCSLRELGRRPHRPFEEPTGNMVHVKQKLYHNGHPSPRHL >ENSMUSP00000130974.1 pep:known chromosome:GRCm38:4:155734800:155740142:1 gene:ENSMUSG00000084845.9 transcript:ENSMUST00000147721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem240 description:transmembrane protein 240 [Source:MGI Symbol;Acc:MGI:3648074] MSMSANTMIFMILGASIVMAIACLMDMNALLDRFHNYILPHLRGEDRVCHCNCGRHHIHY VIPYDGDQSVVDASENYFVTDNVTKQEIDLMLGLLLGFCISWFLVWMDGVLHCAVRAWRA GRRYDGSWTWLPKLCSLRELGRRPHRPFEEPTGNMVHVKQKLYHNGHPSPRHL >ENSMUSP00000047353.6 pep:known chromosome:GRCm38:11:69599736:69605829:-1 gene:ENSMUSG00000041329.13 transcript:ENSMUST00000047889.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1b2 description:ATPase, Na+/K+ transporting, beta 2 polypeptide [Source:MGI Symbol;Acc:MGI:88109] MVIQKEKKSCGQVVEEWKEFVWNPRTHQFMGRTGTSWAFILLFYLVFYGFLTAMFSLTMW VMLQTVSDHTPKYQDRLATPGLMIRPKTENLDVIVNISDTESWGQHVQKLNKFLEPYNDS IQAQKNDVCRPGRYYEQPDNGVLNYPKRACQFNRTQLGDCSGIGDPTHYGYSTGQPCVFI KMNRVINFYAGANQSMNVTCVGKRDEDAENLGHFVMFPANGSIDLMYFPYYGKKFHVNYT QPLVAVKFLNVTPNVEVNVECRINAANIATDDERDKFAGRVAFKLRINKT >ENSMUSP00000116290.1 pep:known chromosome:GRCm38:11:69600666:69602741:-1 gene:ENSMUSG00000041329.13 transcript:ENSMUST00000138694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1b2 description:ATPase, Na+/K+ transporting, beta 2 polypeptide [Source:MGI Symbol;Acc:MGI:88109] YSTGQPCVFIKMNRVINFYAGANQSMNVTCVGKRPQHYRDKGRLIPKDGRDEDAENLGHF VMFPANGSIDLMYFPYYGKKFHVNYTQPLVAVKFLNVTPNVEVNVECRINAANIATDDER DKFAGRVAFKLRINKT >ENSMUSP00000054263.8 pep:known chromosome:GRCm38:1:88262471:88277633:-1 gene:ENSMUSG00000044783.16 transcript:ENSMUST00000054674.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hjurp description:Holliday junction recognition protein [Source:MGI Symbol;Acc:MGI:2685821] MESMGRQDRRLHQQLKESSSRFQTLMKRLIAKYNQPFEDDPLVEMRTLTYETPQGLRVWG GKLMKKEDKEYTQVIDRLNGQAPEGDSESSGADTSLEENWPSCSSAMREASGDPRQRQPA VPGNTLETDLRRKYLTQVDILPQDEEYFKNAEKRGGKDTVMTWVPSVTSSVTPASGCQDA ISAKSSGGPEVSALSSRGQGPSYPCPADMAIVARSDGLSLLGTSSNSVSSQSFEVDDLCN VTISDLYEGMMHSMSRLLRSKPSCIISTKTYINQSWKLRRRPSRKQGLHKNRTHCPRSKP SQRSARKGPASCSEPGKEAGILRDYGNLLHVAPHKTGLELKSVSLEGSKRQVHKSSPAWK ELQMMPQKDLDLNRERENRVMTLQWLISPVKVVPRPRMLPSQVEKWYREIKIKFDKLHQE YCLSSGKQPRLTDPTESWAVDVYRSGSKSPGSRQDVETCRPSSPFGREKTERPGEALEDL RGNGKSVKTKSCLLRSCPSPEGSPSRSPSHSQLSSGLQEHNSEPTGKAVWPSTAISAPSI GSPGCGKDNYDELKKEFNRLYQKYCLSPQRAKVTSCGRVSPMKAAAALPCQSEHLKRLNP DSPQQSSQKRSISPGCHRRVLQDSTAQTASTLVRDSWLPTKRCKLSYPVACAHQAKFHDT SGASGWP >ENSMUSP00000070419.5 pep:known chromosome:GRCm38:1:88264828:88277557:-1 gene:ENSMUSG00000044783.16 transcript:ENSMUST00000065420.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hjurp description:Holliday junction recognition protein [Source:MGI Symbol;Acc:MGI:2685821] MESMGRQDRRLHQQLKESSSRFQTLMKRLIAKYNQPFEDDPLVEMRTLTYETPQGLRVWG GKLMKKEDKEYTQNAEKRGGKDTVMTWVPSVTSSVTPASGCQDAISAKSSGGPEVSALSS RGQGPSYPCPADMAIVARSDGLSLLGTSSNSVSSQSFEVDDLCNVTISDLYEGMMHSMSR LLRSKPSCIISTKTYINQSWKLRRRPSRKQGLHKNRTHCPRSKPSQRSARKGPASCSEPG KEAGILRDYGNLLHVAPHKTGLELKSVSLEGSKRQVHKSSPAWKELQMMPQKDLDLNRER ENRVMTLQWLISPVKVVPRPRMLPSQVEKWYREIKIKFDKLHQEYCLSSGKQPRLTDPTE SWAVDVYRSGSKSPGSRQDVETCRPSSPFGREKTERPGEALEDLRGNGKSVKTKSCLLRS CPSPEGSPSRSPSHSQLSSGLQEHNSEPTGKAVWPSTAISAPSIGSPGCGKDNYDELKKE FNRLYQKYCLSPQRAKVTSCGRVSPMKAAAALPCQSEHLKRLNPDSPQQSSQKRSISPGC HRRVLQDSTAQTASTLVRDSWLPTKRCKLSYPVACAHQAKFHDTSGASGWP >ENSMUSP00000120753.1 pep:known chromosome:GRCm38:1:88264978:88277506:-1 gene:ENSMUSG00000044783.16 transcript:ENSMUST00000147393.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hjurp description:Holliday junction recognition protein [Source:MGI Symbol;Acc:MGI:2685821] MESMGRQDRRLHQQLKESSSRFQTLMKRLIAKYNQPFEDDPLVEMRTLTYETPQGLRVWG GKLMKKEDKEYTQVFAIRTQRREVEKTQS >ENSMUSP00000118659.1 pep:known chromosome:GRCm38:1:88266349:88269392:-1 gene:ENSMUSG00000044783.16 transcript:ENSMUST00000127446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hjurp description:Holliday junction recognition protein [Source:MGI Symbol;Acc:MGI:2685821] LETDLRRKYLTQVDILPQDEEYFKDARMLSLQRALVVLKYLLCPLEARVPHTLAQLIWPL WLEVMASPYLGPAATA >ENSMUSP00000027128.4 pep:known chromosome:GRCm38:1:54250683:54368727:1 gene:ENSMUSG00000025983.11 transcript:ENSMUST00000027128.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc150 description:coiled-coil domain containing 150 [Source:MGI Symbol;Acc:MGI:1925266] METNLLPGGPSYGLLDESGVQMETTVCRPVLSPTHINGTASETFTVLQQKMRIVEEQTSS LRDDLIMLGYGDRRGQLETPNYLEDPASQKAISPIPNEVICPESPGNLWRNYEFLVNRMC YLENLIQSLKMNIFHLQTEKESNPQKTAFLNDQLNIIQGEHSKGLKLLQLEVMNLRQQLK VVKEEEDRAQDEMQRLTATLEIATETKKNAAVIEEELKTTKRKMNLKIQELRRQLAQEKL VRESLETSASSMLLKVQEMGSVVEAERQQVHALQEKCTALHSSMKTTQDLLAQEQRKNED LGMTISQLKSDLNSRDNLICKLVEENKATQISLKKEHEENIYLKSEILSLQDVSGKAQVL NDQLSKKCSELTSMLQVVKMENSRIIAEHQAILKVEQKMITETFQEQNLLLDAAHASITG ELQAVQNEKAQLQIHLDHLILEHNQCLQKSQEAEKRTVVQKELLESTIARLQGELKASLQ EKKSLLEKNEWFQREVNKTEKEVAKEKCNLEKELAESKEDINVLNQNLQTLMEENKHLTN KMASLEHHKATSDYQGKVEKALEKITDSKNMLAYEKGKLQTKVKQLEAQLHTFAETMLQK DHLHKLNKALEVKYTQANSELSASKAYLEQTEAHLKEMKSILGKNEEELAQAVKCRDAAL KESQKLKGDLKALEDRESKKVGNFQKQLAEAKEDNCKVTIMLENVLASHSKMQGALEKVQ IELGRRDSEIAGLKKERSLNQQRVQKLEAEVDQWQARMLIVEAQHGSEIEPLQKSLDITR EDNRKLAMSLEQALQTNGHLQSKLDHLQEKLESKERERQSLEAFKEQVAEESKVEAELHA ERIEALRKQFQTERETAKKASQREVSELKKALDEANFRSVEVSRANRELRHKATELEKVV NSNKEKLKNQRAQIKLHLSAKANNAQNMERMKQIEMELRQMEIIKDQYQKKNYEQSLSIQ RFVSEMNTLQKEMELLTKSQYETSARNKQQELRLVAERKMRLELENRCKELEETIRHLKR CKEATENKLKEASVESEQITANLEEAHRWFKCRFDGLQLELTKNRLQRLPREDRWLEENQ DMMHNVATSQSVLHRWETKQKYHSDTERKK >ENSMUSP00000125195.1 pep:known chromosome:GRCm38:1:54250722:54368470:1 gene:ENSMUSG00000025983.11 transcript:ENSMUST00000160472.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc150 description:coiled-coil domain containing 150 [Source:MGI Symbol;Acc:MGI:1925266] METNLLPGGPSYGLLDESGVQMETTVCRPVLSPTHINGTASETFTVLQQKMRIVEEQTSS LRDDLIMLGYGDRRGQLETPNYLEDPASQKAISPIPNEVICPESPGNLWRNYEFLVNRMC YLENLIQSLKMNIFHLQTEKESNPQKTAFLNDQLNIIQGEHSKGLKLLQLEVMNLRQQLK VVKEEEDRAQDEMQRLTATLEIATETKKNAAVIEEELKTTKRKMNLKIQELRRQLAQEKL VRESLETSASSMLLKVQEMGSVVEAERQQVHALQEKCTALHSSMKTTQDLLAQEQRKNED LGMTISQLKSDLNSRDNLICKLVEENKATQISLKKEHEENIYLKSEILSLQDVSGKAQVL NDQLSKKCSELTSMLQVVKMENSRIIAEHQAILKVEQKMITETFQEQNLLLDAAHASITG ELQAVQNEKAQLQIHLDHLILEHNQCLQKSQEAEKRTVVQKELLESTIARLQGELKASLQ EKKSLLEKNEWFQREVNKTEKEVAKEKCNLEKELAESKEDINVLNQNLQTLMEENKHLTN KMASLEHHKATSDYQGKVEKALEKITDSKNMLAYEKGKLQ >ENSMUSP00000146536.1 pep:known chromosome:GRCm38:14:72426013:72491838:-1 gene:ENSMUSG00000109446.1 transcript:ENSMUST00000208955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-199E5.2 MFCSVVGQAIPRGLSAGAEPAQPGVGFHVTAETACPVGHFCPVGLGMALPCPVGTFSDRM FLSMSSECLPCPPGHFCAASGLSAPSGPCAPGYFCLSGVSSPTPTGRSGHGGPCPQGHFC PRGTSLPQPCRAGSYGSLLGQVSCFPCPAGYYCPENITSYSGYPCPAGFYCPRGTKHAAQ FPCPRGYYNPDPLTHSLDSCLPCPPGHYCGQENLTKPSGPCDAGWYCVSAAWNARPFDLD NYTSTNCLCPATATGGKCPAGSYCPEGSPEPIPCTPGSFCATSGLPTPTGPCQAGYFCIG GSESPAPVDEVTGGPCPPGSYCPVASHKPTPCPVGTFSSLPEQTTSSTCRSCPSGFYCKE AGLQAPSGWCPAGYYCDSSTGPVQDFSLYPCPRGYYCPVGTTKAMYHSCPVGTYGPRKGL TSITECQLCPAGKFCSLAGISAPTGVCAAGHWCKAGSSSKYPTDGAGGLRCPPGHYCLEG SPVPAPCPPGTWSSEGTRGPKGCRQCSVGQLCPGGLLPTWSAPCYLGIPFTGGTAPAILM ESLSGRLCLPGHFCPWGMVDVTPCPPGSYASHTATTECLICPSGRYCVPGMRPQLCPRGF YCSEGTGLDWQPCPPGTYGYELGLSSLPECRACDGGRFCPRANATEAGGQCWEGFFCTRG STRPNPEAGTEENAGPCPPGHYCPRGSAIPQPCPPGTFGPWSKLGSEAACSPCLPGHYCA SAGLAHPSGLCSEGFFCLQGALVPNNSLEDGTSGPCPAGHFCPSGTVSPKPCPAGTHSAL VAQGHCKPCPQGFFCPANTSSVAGNECPAGHYCPASTTFATQFPCPRGMYKPQRGGVHRS DCSPCEPGKGPTVSCLGWSPHRGSVVLGSTATMEHLSRIPQMGLQGTFVLQATSVLREAP ILFHVALVVCAPAGFLLTTPGATSAKDCQPCPAGWFCSQAGLSSPEALCKGGWFCPRASV SGHSPDTICPPGHSCPPGSLEPRVCPPGQYQDEPGQSFCKMCPAGKFCPLGALEPGTRTV RPVDCPAGYYCPLGTKNPTQHPCPGGTFRETPGAHSIKDCKLCPAGQFCTDSGTGKRFPD GPCLAGYYCPPGQTSASPMSFRCPQGFYCPEGSPQPKACGNGTFQPQEAQGSCELCPRGF YCEVSSADLVTMAMAYASKTISFPLASHSATAHPCPQGTFGPRPGATTELDCELCPAGMF CVSQGLTQPSGLCHSGHYCTGGAVSATPIQHKVEGPGISGNDICPPGFFCPVGTGFPLPC PPGFYSSAHGLNSKDQCQPCPSGHYCSQPGLSQVLEAGLCHAGYICLGGSSVPSPTDGTH GYKCPPGFYCPSGAHHELPCKPGTFSPLSGADTCLPCPQGTYCPQAATVEPITCPKGHYC PAGTLSAHPCPEGTLNPQESAVSPRACQLCPAGSYCPGEGNTWPEGPCSAGYYCKGGATS PTPQGSAIFSLNGLCPRGHYCPQGSLFPVPCPLGTTRNRMGGTSKGSCRPCPAGFFCPSL DLGSANGSCTVDPDCSLDTRISTPAPVPCPQGHFCQPGAEWPILCHRGHYQPSQGSDTCL SCPSGSYCPHPGTRTPRPCPAHAYCQAGTWSPSLCPPGTFTPQEVSGLQEESGCSICPPG HYCRRGQVWGRCPAGYFCPPGTSEITSDPSKPQALCTQKQLCAEQCPPGFYCPEGSGEPI PCPPHTLAATPGAQKKEDCEPCPPGQWCKAEAQTWEPCPAGHYCPGMSGSHPGVPQACPE HTYLATEGGQRSAECLPCPAGYHCPWPGLSSFEDHPCPPGHWCPGAQGAFLCPPGTFRSE PGASSPEECELCPSGYYCPDAQVTGRANVFAIPCQPGSECPAGAVGMVPCRPGSYCGPRT GVPPLCPGGFACPPGSSTYSGPGQQCLFPYYCLPGSTHPLGCPGGSEALNKSGLRVSAET SCRLCVAGTYRSPALDTLTCQPCPPGFICPQGSESYHKQPCPVGHYCPAGTSRPRPCPAG TFGGKSQAAASEECQPCPAGTFSALPGQAACLPCGSAAFSPPGAPTCTCRGLNRVFQKSD GSCICQAGHVSYDHRGLQTDQESNSHSEEDCQPEVAQHCPPGDVRLAATRECVSPEQYDC ASFCGPGGGKLSTALGICQCSGYVSAEELCDMRCLANAPLLSLSWDAGQKLILSVKGEDG DSIQKEILETLGPDLQFPGSARVHLVQFGPRGTFGFVISRVDMLISLLQGTTESSPPQQR HHRTTGPEHPNIYHQIPNPVVCLAVGELILFQLHLLPHNRSASHYPTYQRQHLLNSNSHW DFGAFRRLGHLVQETNLSLSRFAHQFLDPGTYVFQDNGQHENIAVVLVKEEGAACGAGLS PVQPSSPYQLGRLGVLRHRMLILGPDWAVITGVLLATGLTTALLTGLGLLLKPVMPQTWP TEAWESPWRSVGWPHTRAASASLRDNLLSYEDLGPRGSGKEADFREKDMTRGAGKPPQVL TLEDFSVHTLYDKLEDQSLHIAAQLSRHRKDAMAFYEAASQQLQGLQDFLQGLSQPEPQS LVMDKEPEPSGLKTQVESVTGEKDEPQEARASHTASSQTESWQLAPGYTPSISPLSFQPE LNRTITALASALSQTREPPVGASRKTSSHHDEQPHSTNQKDSPMMGHSPVHPEEPQNIRP QQAPEPFQLPQEDTKGREVQTPTPEKWILGARPRHRPDVDLQKKTWQVEEALDELNEEFF WLSTQALELQKEDGKLDQVLLGEENTSMSTQALMLEVQRIHLAQKIEELEWELSLLLQIP TSSSRAEGSQPSHHDSQHVPKSPRTQRWPPVGCPFYTKDTTTSPC >ENSMUSP00000045057.6 pep:known chromosome:GRCm38:5:77349240:77408041:-1 gene:ENSMUSG00000036256.13 transcript:ENSMUST00000046746.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp7 description:insulin-like growth factor binding protein 7 [Source:MGI Symbol;Acc:MGI:1352480] MMERPPRALLLGAAGLLLLLLPLSSSSSSDACGPCVPASCPALPRLGCPLGETRDACGCC PVCARGEGEPCGGGAAGRGHCAPGMECVKSRKRRKGKAGAAAGGPATLAVCVCKSRYPVC GSNGITYPSGCQLRAASLRAESRGEKAITQVSKGTCEQGPSIVTPPKDIWNVTGAKVFLS CEVIGIPTPVLIWNKVKRDHSGVQRTELLPGDRENLAIQTRGGPEKHEVTGWVLVSPLSK EDAGEYECHASNSQGQASAAAKITVVDALHEIPLKKGEGAQL >ENSMUSP00000128318.1 pep:known chromosome:GRCm38:5:77349248:77408040:-1 gene:ENSMUSG00000036256.13 transcript:ENSMUST00000163898.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp7 description:insulin-like growth factor binding protein 7 [Source:MGI Symbol;Acc:MGI:1352480] MMERPPRALLLGAAGLLLLLLPLSSSSSSDACGPCVPASCPALPRLGCPLGETRDACGCC PVCARGEGEPCGGGAAGRGHCAPGMECVKSRKRRKGKAGAAAGGPATLAVCVCKSRYPVC GSNGITYPSGCQLRAASLRAESRGEKAITQVSKGTCEQGSLIWDTQPAQRSHNPQVENRC FRDKHSREQGPSIVTPPKDIWNVTGAKVFLSCEVIGIPTPVLIWNKVKRDHSGVQRTELL PGDRENLAIQTRGGPEKHEVTGWVLVSPLSKEDAGEYECHASNSQGQASAAAKITVVDAL HEIPLKKGEGAQL >ENSMUSP00000102624.2 pep:known chromosome:GRCm38:7:101348067:101411894:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000107010.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MAEGYDAALSVAEWLRALHLEQYTALFEQHGLVWATECQGLSDAGLLDMGMHLPGHRRRI LAGLHRAHAPPVPLPRPAPRPVPMKRHIFRSPPVPVTPPEPPPTAGEDEGLPAAPPIPPR RSCLPPACFTPTSTAAPDPVLPPLPAKRHLVEPSVPPVPPRTGPPYPQASLLAKEELLLP SVSPRSQPEPAETPSTLLPAFPQGPLQPPSPPPCPPVIPPKPPRLLPEFDDSDYDDVPEE GPGAPASVMTKEEPLPSRVPRAVRVASLLSEGEELSGDDSEDDDDHAYEGIPNGGWPTSG LNPPLRSLIPDLPLHPMDELPGGPTPITPVIKAGWLDKNPPQGSYIYQKRWVRLDADYLR YFDSNKDAYSKRFVPVACICRVAPIGDQKFEVITNNRTFAFRAESDVERNEWMQALQQAV VEHRARFRLSSASVLGVRGSEQPDRAGSLELRGFKNKLYVAVTGDKVQLYKNLEEFHLGI GITFIDMNVGNVKEVDRRSFDLTTPYRIFSFSADSELEKEQWLEAMQGAIAEALSTSEVA ERIWAAAPNRFCADCGAAQPDWASINLCVVICKRCAGEHRGLGAGVSKVRSLKMDRKVWT EALIQLFLHLGNGPGNHFWAANVPPSEALEPSSSPGARRYHLEAKYREGKYRRYHPLFGN QEELDKALCAAVTTTDLAETQALLGCGAGVSCFSGDPAAPTPLALAEQAGQTLQMEFLRN NQSTEVPRLDSVKPLEKHYSVTLPTVSHSGFLYKTASAGKPLQDRRAREEFSRRWCVLSD GVLSYYENERAVTPNGEIRASEIVCLAVSPLDTHGFEHTFEVYTEGERLYLFGLENAELA HEWVKCIAKAFVPPLAEDLLARDFERLGRLPCKAGLSLQQAQEGWFALTGSELRAVFPEG PWEEPLQLRKLQELSIQGDSENQVLVLVERRRTLYIQGERRLDFMAWLGVIQKAAASLGD TLSEQQLGDSDIPVIVYRCVDYITQCGLTSEGIYRKCGQTSKTQRLLDSLRQDARSVHLK EGEQHVDDVSSALKRFLRDLPDGLFTRAQRLAWLEASEIEDEEEKISRYRELLVHLPPVN RATVKALISHLYCVQCFSDTNQMNTHNLAIVFGPTLFQTDGQDYKAGKVVEDLINHYVVV FSVDEEELRKQREEVTAIVKMRVAGTASGTQHAGDFICTVYLEEKKVETEQHVKIPASMT AEELTLEILDRRNVSIREKDYWTCFEVNEKEEAERPLHFAEKVLPIVHGLGIDSHLVVKK YQSMEAMLLYLASRVGDTKHGMMKFREDRSLLGLGLPSGGFHDRYFILNSSCLRLYKEVR SHRPEKEWPVKSLKVYLGVKKKLRPPTCWGFTVVHETEKHEKQQWYLCCDTQMELREWFA TFLSVQHDGLVWPSEPSRVSRAVPEVRMGSVSLIPLRGSENEMRRSVAAFTADPLSLLRH V >ENSMUSP00000118657.1 pep:known chromosome:GRCm38:7:101361262:101373155:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000137384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MAEGYDAALSVAEWLRALHLEQYTALFEQHGLVWATECQGLSDAGLLDMGMHLP >ENSMUSP00000114197.1 pep:known chromosome:GRCm38:7:101361477:101373029:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000138628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MAEGYDAALSVA >ENSMUSP00000121431.1 pep:known chromosome:GRCm38:7:101362003:101373164:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000133423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MAEGYDAALSVAEWLRALHLEQYTALFEQHGLVWATECQGLSDAGLLDMGMHLPGHR >ENSMUSP00000081958.3 pep:known chromosome:GRCm38:7:101372993:101411888:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000084896.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MAEGYDAALSVAEWLRALHLEQYTALFEQHGLVWATECQGLSDAGLLDMGMHLPGHRRRI LAGLHRAHAPPVPLPRPAPRPVPMKRHIFRSPPVPVTPPEPPPTAGEDEGLPAAPPIPPR RSCLPPACFTPTSTAAPDPVLPPLPAKRHLVEPSVPPVPPRTGPPYPQASLLAKEELLLP SVSPRSQPEPAETPSTLLPAFPQGPLQPPSPPPCPPVIPPKPPRLLPEFDDSDYDDVPEE GPGAPASVMTKEEPLPSRVPRAVRVASLLSEGEELSGDDSEDDDDHAYEGIPNGGWPTSG LNPPLRSLIPDLPLHPMDELPGGPTPITPVIKAGWLDKNPPQGSYIYQKRWVRLDADYLR YFDSNKDAYSKRFVPVACICRVAPIGDQKFEVITNNRTFAFRAESDVERNEWMQALQQAV VEHRARFRLSSASVLGVRGSEQPDRAGSLELRGFKNKLYVAVTGDKVQLYKNLEEFHLGI GITFIDMNVGNVKEVDRRSFDLTTPYRIFSFSADSELEKEQWLEAMQGAIAEALSTSEVA ERIWAAAPNRFCADCGAAQPDWASINLCVVICKRCAGEHRGLGAGVSKVRSLKMDRKVWT EALIQLFLHLGNGPGNHFWAANVPPSEALEPSSSPGARRYHLEAKYREGKYRRYHPLFGN QEELDKALCAAVTTTDLAETQALLGCGAGVSCFSGDPAAPTPLALAEQAGQTLQMEFLRN NQSTEVPRLDSVKPLEKHYSVTLPTVSHSGFLYKTASAGKPLQDRRAREEFSRRWCVLSD GVLSYYENERAVTPNGEIRASEIVCLAVSPLDTHGFEHTFEVYTEGERLYLFGLENAELA HEWVKCIAKAFVPPLAEDLLARDFERLGRLPCKAGLSLQQAQEGWFALTGSELRAVFPEG PWEEPLQLRKLQELSIQGDSENQVLVLVERRRTLYIQGERRLDFMAWLGVIQKAAASLGD TLSEQQLGDSDIPVIVYRCVDYITQCGLTSEGIYRKCGQTSKTQRLLDSLRQDARSVHLK EGEQHVDDVSSALKRFLRDLPDGLFTRAQRLAWLEASEIEDEEEKISRYRELLVHLPPVN RATVKALISHLYCVQCFSDTNQMNTHNLAIVFGPTLFQTDGQDYKAGKVVEDLINHYVVV FSVDEEELRKQREEVTAIVKMRVAGTASGTQHAGDFICTVYLEEKKVETEQHVKIPASMT AEELTLEILDRRNVSIREKDYWTCFEVNEKEEAERPLHFAEKVLPIVHGLGIDSHLVVKK YQSMEAMLLYLASRVGDTKHGMMKFREDRSLLGLGLPSGGFHDRYFILNSSCLRLYKEVR SQRPWSGAPETSHRPEKEWPVKSLKVYLGVKKKLRPPTCWGFTVVHETEKHEKQQWYLCC DTQMELREWFATFLSVQHDGLVWPSEPSRVSRAVPEVRMGSVSLIPLRGSENEMRRSVAA FTADPLSLLRHV >ENSMUSP00000081957.5 pep:known chromosome:GRCm38:7:101378190:101411744:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000084895.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MTKEEPLPSRVPRAVRVASLLSEGEELSGDDSEDDDDHAYEGIPNGGWPTSGLNPPLRSL IPDLPLHPMDELPGGPTPITPVIKAGWLDKNPPQGSYIYQKRWVRLDADYLRYFDSNKDA YSKRFVPVACICRVAPIGDQKFEVITNNRTFAFRAESDVERNEWMQALQQAVVEHRARFR LSSASVLGVRGSEQPDRAGSLELRGFKNKLYVAVTGDKVQLYKNLEEFHLGIGITFIDMN VGNVKEVDRRSFDLTTPYRIFSFSADSELEKEQWLEAMQGAIAEALSTSEVAERIWAAAP NRFCADCGAAQPDWASINLCVVICKRCAGEHRGLGAGVSKVRSLKMDRKVWTEALIQLFL HLGNGPGNHFWAANVPPSEALEPSSSPGARRYHLEAKYREGKYRRYHPLFGNQEELDKAL CAAVTTTDLAETQALLGCGAGVSCFSGDPAAPTPLALAEQAGQTLQMEFLRNNQSTEVPR LDSVKPLEKHYSVTLPTVSHSGFLYKTASAGKPLQDRRAREEFSRRWCVLSDGVLSYYEN ERAVTPNGEIRASEIVCLAVSPLDTHGFEHTFEVYTEGERLYLFGLENAELAHEWVKCIA KAFVPPLAEDLLARDFERLGRLPCKAGLSLQQAQEGWFALTGSELRAVFPEGPWEEPLQL RKLQELSIQGDSENQVLVLVERRRTLYIQGERRLDFMAWLGVIQKAAASLGDTLSEQQLG DSDIPVIVYRCVDYITQCGLTSEGIYRKCGQTSKTQRLLDSLRQDARSVHLKEGEQHVDD VSSALKRFLRDLPDGLFTRAQRLAWLEASEIEDEEEKISRYRELLVHLPPVNRATVKALI SHLYCVQCFSDTNQMNTHNLAIVFGPTLFQTDGQDYKAGKVVEDLINHYVVVFSVDEEEL RKQREEVTAIVKMRVAGTASGTQHAGDFICTVYLEEKKVETEQHVKIPASMTAEELTLEI LDRRNVSIREKDYWTCFEVNEKEEAERPLHFAEKVLPIVHGLGIDSHLVVKKYQSMEAML LYLASRVGDTKHGMMKFREDRSLLGLGLPSGGFHDRYFILNSSCLRLYKEVRSHRPEKEW PVKSLKVYLGVKKKLRPPTCWGFTVVHETEKHEKQQWYLCCDTQMELREWFATFLSVQHD GLVWPSEPSRVSRAVPEVRMGSVSLIPLRGSENEMRRSVAAFTADPLSLLRHV >ENSMUSP00000121257.1 pep:known chromosome:GRCm38:7:101378369:101386528:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000127873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MTKEEPLPSRVPRAVRVASLLSEGEELSGDDSEDDDDHAYEGIPNLIPDLPLHPMDELPG GPTPITPVIKAGWLDK >ENSMUSP00000120168.1 pep:known chromosome:GRCm38:7:101382828:101385617:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000141083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MTK >ENSMUSP00000123542.1 pep:known chromosome:GRCm38:7:101384020:101386198:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000148902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MTKEEPLPSRVPRAVRVASL >ENSMUSP00000115850.1 pep:known chromosome:GRCm38:7:101385397:101386446:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000130016.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MTKEEPLPSRVPRAVRVASLLSEGEELSGDDSEDDDDHAYEGIPNGGWPTSGLNPPLRSL IPD >ENSMUSP00000115107.1 pep:known chromosome:GRCm38:7:101385402:101386838:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000134143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MTKEEPLPSRVPRAVRVASLLSEGEELSGDDSEDDDDHAYEGIPNGGWPTSGLNPPLRSL IPDLPLHPMDELPGGPTPITPVIKAGWLDKNPPQGSYIYQKRWVRLDADYL >ENSMUSP00000123298.1 pep:known chromosome:GRCm38:7:101394368:101412586:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000154239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] XQLLPLPLLQGRGSLRSSRGSLCPDQQLCLRTHRALCAAVTTTDLAETQALLGCGAGVSC FSGDPAAPTPLALAEQAGQTLQMEFLRNNQSTEVPRLDSVKPLEKHYSVTLPTVSHSGFL YKTASAGKPLQDRRAREEFSRRWCVLSDGVLSYYENERAVTPNGEIRASEIVCLAVSPLD THGFEHTFEVYTEGERLYLFGLENAELAHEWVKCIAKAFVPPLAEDLLARDFERLGRLPC KAGLSLQQAQEGWFALTGSELRAVFPEGPWEEPLQLRKLQELSIQGDSENQVLVLVERRR TLYIQGERRLDFMAWLGVIQKAAASLGDTLSEQQLGDSDIPVIVYRCVDYITQCGLTSEG IYRKCGQTSKTQRLLDSLRQDARSVHLKEGEQHVDDVSSALKRFLRDLPDGLFTRAQRLA WLEASEIEDEEEKISRYRELLVHLPPVNRATVKALISHLYCVQCFSDTNQMNTHNLAIVF GPTLFQTDGQDYKAGKVVEDLINHYVVVFSVDEEELRKQREEVTAIVKMRVAGTASGTQH AGDFICTVYLEEKKVETEQHVKIPASMTAEELTLEILDRRNVSIREKDYWTCFEVNEKEE AERPLHFAEKVLPIVHGLGIDSHLVVKKYQSMEAMLLYLASRVGDTKHGMMKFREDRSLL GLGLPSGGFHDRYFILNSSCLRLYKEVRSHRPEKEWPVKSLKVYLGVKKKLRPPTCWGFT VVHETEKHEKQQWYLCCDTQMELREWFATFLSVQHDGLVWPSEPSRVSRAVPEVRMGSVS LIPLRGSENEMRRSVAAFTADPLSLLRHV >ENSMUSP00000095844.2 pep:known chromosome:GRCm38:7:101394388:101412586:1 gene:ENSMUSG00000032812.16 transcript:ENSMUST00000098243.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap1 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 1 [Source:MGI Symbol;Acc:MGI:1916960] MEFLRNNQSTEVPRLDSVKPLEKHYSVTLPTVSHSGFLYKTASAGKPLQDRRAREEFSRR WCVLSDGVLSYYENERAVTPNGEIRASEIVCLAVSPLDTHGFEHTFEVYTEGERLYLFGL ENAELAHEWVKCIAKAFVPPLAEDLLARDFERLGRLPCKAGLSLQQAQEGWFALTGSELR AVFPEGPWEEPLQLRKLQELSIQGDSENQVLVLVERRRTLYIQGERRLDFMAWLGVIQKA AASLGDTLSEQQLGDSDIPVIVYRCVDYITQCGLTSEGIYRKCGQTSKTQRLLDSLRQDA RSVHLKEGEQHVDDVSSALKRFLRDLPDGLFTRAQRLAWLEASEIEDEEEKISRYRELLV HLPPVNRATVKALISHLYCVQCFSDTNQMNTHNLAIVFGPTLFQTDGQDYKAGKVVEDLI NHYVVVFSVDEEELRKQREEVTAIVKMRVAGTASGTQHAGDFICTVYLEEKKVETEQHVK IPASMTAEELTLEILDRRNVSIREKDYWTCFEVNEKEEAERPLHFAEKVLPIVHGLGIDS HLVVKKYQSMEAMLLYLASRVGDTKHGMMKFREDRSLLGLGLPSGGFHDRYFILNSSCLR LYKEVRSHRPEKEWPVKSLKVYLGVKKKLRPPTCWGFTVVHETEKHEKQQWYLCCDTQME LREWFATFLSVQHDGLVWPSEPSRVSRAVPEVRMGSVSLIPLRGSENEMRRSVAAFTADP LSLLRHV >ENSMUSP00000121802.1 pep:known chromosome:GRCm38:7:143069153:143074561:-1 gene:ENSMUSG00000009246.14 transcript:ENSMUST00000148715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm5 description:transient receptor potential cation channel, subfamily M, member 5 [Source:MGI Symbol;Acc:MGI:1861718] XKFQRYHLIVEYHGRPALAPPFILLSHLSLVLKQVFRKEAQHKRQHLERDLPDPLDQKII TWETVQKENFLSTMEKRRRDSEGEVLRKTAHRVDLIAKYIGGLREQEKRIKCLESQANYC MLLLSSMTDTLAPGGTYSTSRQFPGIRSCPVSLGFLGNRVSGDQIFVASAPEKLQLPEGN GFPPNVSRDL >ENSMUSP00000122685.1 pep:known chromosome:GRCm38:7:143071091:143074037:-1 gene:ENSMUSG00000009246.14 transcript:ENSMUST00000136602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm5 description:transient receptor potential cation channel, subfamily M, member 5 [Source:MGI Symbol;Acc:MGI:1861718] XFLSTMEKRRRDSEGEVLRKTAHRVDLIAKYIGGLREQEKRIKCLESQANYCMLLLSSMT DTLAPGGTYSTSVE >ENSMUSP00000009390.3 pep:known chromosome:GRCm38:7:143071789:143094642:-1 gene:ENSMUSG00000009246.14 transcript:ENSMUST00000009390.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm5 description:transient receptor potential cation channel, subfamily M, member 5 [Source:MGI Symbol;Acc:MGI:1861718] MQTTQSSCPGSPPDTEDGWEPILCRGEINFGGSGKKRGKFVKVPSSVAPSVLFELLLTEW HLPAPNLVVSLVGEERPLAMKSWLRDVLRKGLVKAAQSTGAWILTSALHVGLARHVGQAV RDHSLASTSTKIRVVAIGMASLDRILHRQLLDGVHQKEDTPIHYPADEGNIQGPLCPLDS NLSHFILVESGALGSGNDGLTELQLSLEKHISQQRTGYGGTSCIQIPVLCLLVNGDPNTL ERISRAVEQAAPWLILAGSGGIADVLAALVSQPHLLVPQVAEKQFREKFPSECFSWEAIV HWTELLQNIAAHPHLLTVYDFEQEGSEDLDTVILKALVKACKSHSQEAQDYLDELKLAVA WDRVDIAKSEIFNGDVEWKSCDLEEVMTDALVSNKPDFVRLFVDSGADMAEFLTYGRLQQ LYHSVSPKSLLFELLQRKHEEGRLTLAGLGAQQARELPIGLPAFSLHEVSRVLKDFLHDA CRGFYQDGRRMEERGPPKRPAGQKWLPDLSRKSEDPWRDLFLWAVLQNRYEMATYFWAMG REGVAAALAACKIIKEMSHLEKEAEVARTMREAKYEQLALDLFSECYGNSEDRAFALLVR RNHSWSRTTCLHLATEADAKAFFAHDGVQAFLTKIWWGDMATGTPILRLLGAFTCPALIY TNLISFSEDAPQRMDLEDLQEPDSLDMEKSFLCSRGGQLEKLTEAPRAPGDLGPQAAFLL TRWRKFWGAPVTVFLGNVVMYFAFLFLFTYVLLVDFRPPPQGPSGSEVTLYFWVFTLVLE EIRQGFFTDEDTHLVKKFTLYVEDNWNKCDMVAIFLFIVGVTCRMVPSVFEAGRTVLAID FMVFTLRLIHIFAIHKQLGPKIIIVERMMKDVFFFLFFLSVWLVAYGVTTQALLHPHDGR LEWIFRRVLYRPYLQIFGQIPLDEIDEARVNCSLHPLLLESSASCPNLYANWLVILLLVT FLLVTNVLLMNLLIAMFSYTFQVVQGNADMFWKFQRYHLIVEYHGRPALAPPFILLSHLS LVLKQVFRKEAQHKRQHLERDLPDPLDQKIITWETVQKENFLSTMEKRRRDSEGEVLRKT AHRVDLIAKYIGGLREQEKRIKCLESQANYCMLLLSSMTDTLAPGGTYSSSQNCGCRSQP ASARDREYLESGLPPSDT >ENSMUSP00000114302.1 pep:known chromosome:GRCm38:7:143072342:143094606:-1 gene:ENSMUSG00000009246.14 transcript:ENSMUST00000150867.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trpm5 description:transient receptor potential cation channel, subfamily M, member 5 [Source:MGI Symbol;Acc:MGI:1861718] MQTTQSSCPGSPPDTEDGWEPILCRGEINFGGSGKKRGKFVKVPSSVAPSVLFELLLTEW HLPAPNLVVSLVGEERPLAMKSWLRDVLRKGLVKAAQSTGAWILTSALHVGLARHVGQAV RDHSLASTSTKIRVVAIGMASLDRILHRQLLDGVHQKEDTPIHYPADEGNIQGPLCPLDS NLSHFILVESGALGSGNDGLTELQLSLEKHISQQRTGYGGTSCIQIPVLCLLVNGDPNTL ERISRAVEQAAPWLILAGSGGIADVLAALVSQPHLLVPQVAEKQFREKFPSECFSWEAIV HWTELLQNIAAHPHLLTVYDFEQEGSEDLDTVILKALVKACKSHSQEAQDYLDELKLAVA WDRVDIAKSEIFNGDVEWKSCDLEEVMTDALVSNKPDFVRLFVDSGADMAEFLTYGRLQQ LYHSVSPKSLLFELLQRKHEEGRLTLAGLGAQQARELPIGLPAFSLHEVSRVLKDFLHDA CRGFYQDGRRMEERGPPKRPAGQKWLPDLSRKSEDPWRDLFLWAVLQNRYEMATYFWAMG REGVAAALAACKIIKEMSHLEKEAEVARTMREAKYEQLALDLFSECYGNSEDRAFALLVR RNHSWSRTTCLHLATEADAKAFFAHDGVQAFLTKIWWGDMATGTPILRLLGAFTCPALIY TNLISFSEDAPQRMDLEDLQEPDSLDMEKSFLCSRGGQLEKLTEAPRAPGDLGPQAAFLL TRWRKFWGAPVTVFLGNVVMYFAFLFLFTYVLLVDFRPPPQGPSGSEVTLYFWVFTLVLE EIRQGFFTDEDTHLVKKFTLYVEDNWNKCDMVAIFLFIVGVTCRMVPSVFEAGRTVLAID FMVFTLRLIHIFAIHKQLGPKIIIVERMMKDVFFFLFFLSVWLVAYGVTTQALLHPHDGR LEWIFRRVLYRPYLQIFGQIPLDEIDEARVNCSLHPLLLESSASCPNLYANWLVILLLVT FLLVTNVLLMNLLIAMFRVLTETGPMSWYFAAVSSGLDLQ >ENSMUSP00000043660.7 pep:known chromosome:GRCm38:18:46560235:46597314:-1 gene:ENSMUSG00000033184.14 transcript:ENSMUST00000036030.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed7 description:transmembrane emp24 protein transport domain containing 7 [Source:MGI Symbol;Acc:MGI:1913926] MPRPGSAPRWAAAAGRWGCRLLALLLLLPAPSGGSEITFELPDNAKQCFYEDITQGTKCT LEFQVITGGHYDVDCRLEDPDGKVLYKEMKKQYDSFTFTASRNGTYKFCFSNEFSTFTHK TVYFDFQVGEDPPLFPSENRVSALTQMESACVSIHEALKSVIDYQTHFRLREAQGRSRAE DLNTRVAY >ENSMUSP00000120904.1 pep:known chromosome:GRCm38:18:46588032:46597535:-1 gene:ENSMUSG00000033184.14 transcript:ENSMUST00000151189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed7 description:transmembrane emp24 protein transport domain containing 7 [Source:MGI Symbol;Acc:MGI:1913926] MPRPGSAPRWAAAAGRWGCRLLALLLLLPAPSGGSEITFELPDNAKQCFYEDITQGTKCT LEFQVITGGHYDVDCRLEDPDGKVLYKEMKKQYDSFTFTASRNGTYKFCFSNEFSTFTHK TVYFDFQVGEDPPLFPSENRVSALTQMESACVSIHEALKSVIDYQTHFRLREAQGRSRAE DLNTRVAYWSVGEALILLVVSVGQVFLLKSFFSDKRTTTTRVGS >ENSMUSP00000099370.4 pep:known chromosome:GRCm38:11:102761439:102780262:1 gene:ENSMUSG00000020926.16 transcript:ENSMUST00000103081.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam11 description:a disintegrin and metallopeptidase domain 11 [Source:MGI Symbol;Acc:MGI:1098667] MRRLRRWAIAALLLLPLLPPPGLGALGPRGALHWRSSAHVGSPESPEGSEVTEPSRLVRQ SSGGEVRKPQLDTRVRQDPPRGTPVHLAQVSFVIPAFDSNFTLDLELNHHLLSSQYVERH FSREGTRQHSTGAGDHCYYHGKLRGNPQSFAALSTCQGLHGVFSDGNLTYIVEPKEIAGP WGPPQGPLPHLIYRTPLLPAPLGCREPGCLFAVPAQSALPNWPKLRRKRQVRRGHPTVHS ETKYVELIVINDHQLFEQMRQSVVLTSNFAKSVVNLADVIYKEQLNTRIVLVAMETWADG DKIQVQDDLLETLARLMVYRREGLPEPSDATHLFSGRTFQSTSSGAAYVGGICSLSRGGG VNEYGNMGAMAVTLAQTLGQNLGMMWNKHRSSAGDCKCPDIWLGCIMEDTGFYLPRKFSR CSIDEYNQFLQEGGGSCLFNKPLKLLDPPECGNGFVEAGEECDCGSVQECSRAGGNCCKK CTLTHDAMCSDGLCCRRCKYEPRGVSCREAVNECDIAETCTGDSSQCPPNLHKLDGYYCD HEQGRCYGGRCKTRDRQCQALWGHAAADRFCYEKLNVEGTERGNCGRKGSGWVQCSKQDV LCGFLLCVNISGAPRLGDLGGDISSVTFYHQGKELDCRGGHVQLADGSDLSYVEDGTACG PNMLCLDHRCLPASAFNFSTCPGSGERRICSHHGVCSNEGKCICQPDWTGKDCSIHNPLP TSPPTGETERYKGPSGTNIIIGSIAGAVLVAAIVLGGTGWGFKNIRRGRSGGA >ENSMUSP00000069466.5 pep:known chromosome:GRCm38:11:102761439:102780262:1 gene:ENSMUSG00000020926.16 transcript:ENSMUST00000068150.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam11 description:a disintegrin and metallopeptidase domain 11 [Source:MGI Symbol;Acc:MGI:1098667] MRRLRRWAIAALLLLPLLPPPGLGALGPRGALHWRSSAHVGSPESPEGSEVTEPSRLVRQ SSGGEVRKPQLDTRVRQDPPRGTPVHLAQVSFVIPAFDSNFTLDLELNHHLLSSQYVERH FSREGTRQHSTGAGDHCYYHGKLRGNPQSFAALSTCQGLHGVFSDGNLTYIVEPKEIAGP WGPPQGPLPHLIYRTPLLPAPLGCREPGCLFAVPAQSALPNWPKLRRKRQVRRGHPTVHS ETKYVELIVINDHQLFEQMRQSVVLTSNFAKSVVNLADVIYKEQLNTRIVLVAMETWADG DKIQVQDDLLETLARLMVYRREGLPEPSDATHLFSGRTFQSTSSGAAYVGGICSLSRGGG VNEYGNMGAMAVTLAQTLGQNLGMMWNKHRSSAGDCKCPDIWLGCIMEDTGFYLPRKFSR CSIDEYNQFLQEGGGSCLFNKPLKLLDPPECGNGFVEAGEECDCGSVQECSRAGGNCCKK CTLTHDAMCSDGLCCRRCKYEPRGVSCREAVNECDIAETCTGDSSQCPPNLHKLDGYYCD HEQGRCYGGRCKTRDRQCQALWGHAAADRFCYEKLNVEGTERGNCGRKGSGWVQCSKQDV LCGFLLCVNISGAPRLGDLGGDISSVTFYHQGKELDCRGGHVQLADGSDLSYVEDGTACG PNMLCLDHRCLPASAFNFSTCPGSGERRICSHHGVCSNEGKCICQPDWTGKDCSIHNPLP TSPPTGETERYKGPSGTNIIIGSIAGAVLVAAIVLGGTGWGFKNIRRGRYDPTQQGAV >ENSMUSP00000108755.1 pep:known chromosome:GRCm38:1:88227031:88262289:1 gene:ENSMUSG00000079429.9 transcript:ENSMUST00000113130.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh2a description:maestro heat-like repeat family member 2A [Source:MGI Symbol;Acc:MGI:3705228] MKEATELNEDMLEEAEHLALLEPEDDGTFFQVTNLLNIMDSESAKTDTTGPGLDMRKTLA SVIITEKATTDPCVVMNALIRCLQMPEISTQRKMNIYNIMQEIIQQEGEMEEHCIQRLVA IASKQMRDITEAEDFETAEVASETLVALSRNHFSLVMYELQHHLKPLNLTDEFVIVTLAK LANGNVFEFMPYMGITLATIFTMLRLANEAKMRQVICSAMETFCETVQFYLRHLEDSLYP VMTEDQFAVKLFPMYRYFVTVWLRHQDLEVKLGVIKSLRPMLSLLLPNDDLREQVYDYIP LLLAEFQGGLEALFVTQVLRQILEASVTTNTPIPPMLLHPIFTELHVQVCSKAPAQQQFS SQNLMEIVHCFIALARSYPKELMKFFFSQVEMSKEAVRVGTLALIRAVVSADDPKINIKT IYLAIRVVKNTLSDTRSKVRMAILRIIGQLVLSGFQEKIKGWGLKYVSVQLTLSTYKLTN RRECFYQRDLEEKMVHKVTMDTVKIITSSISGMTNEFWVRLLCYIMETDYTEALTPICIS LTNLAENQIHGKDTEAGIAGKSKHVDLPAPQKLLARLLVLMSSPYKGEGRGIAMLNLLRT LSQSIAPSMADMWEQEIPLLVQYLEEHTEFTWNQKTWEDMLIQFLRNSLKKTRGTSWSLR LSKELNNQIETFDSPSLEKGFLYRALGFTLGMGLEADRVEVLLLELLYKTDYSNDFDREG VILCFGLCARGQVKTVLNVLQDFEERIQESEQSWQIGAWRKDHPWRRETVKSALMVMYSC VSSYCHPQMLLTHVDSPITSKIIHHYSSSCQDISLKMAFMKSVVQVTNAIKSIQDPEDFQ FAHKSALTGLIVVIIKAEPPDHLVSPVRSMAMDALSQLSTMKPFYSPEESTELMDISIHT VISLQPPGEDNESVKTLYANTMSSLKQLMEGLLQRQLDPKGLQDTVHLLEKWILSEKEWE REKAMALHLHLMQIYVQSIGVCIPLKLGQFGVLVGLIAPCTCDAHRRTRLASINVLSSLL DLHVSQTCSLWGTSKEQELQKCKEDLQDTDMNKISSASSRVAKVVCPEFNCDEVVSLIQK LCENIGAMDLQHDRAAVTWIGIFLQMRVKELEDKVAEILGAILVHLPVVDHPEVRRHLIE GILLLAHYHQETVLTSLLRQPLPMESHLTEVWLAVAENVPFARTMLHGLLGRLQSRFTAK INATSKADIWRLAAVDPLMTLCTIQLLMEKMDQDDKFPDLFPDLLYTFLLQLGSSHGPEA ASPVLKTWRLVHTGPLPQEMTLQRITIKSMQLLVKRINREPLEQALEEQSVWSLLENGGT FLEGVSLMARLCMQNMENYMQRLAELVLTGMGSEILSCCISSTAICVEFMSDPVLHQEKL LRPVVLMLEKGAGQDKDETLQVLSLRALGNMALGAPRKVKQYRKLLLEKCLGSLQGQVSS SAMAEGMEALTKVLAELREGDIGSSFEAISKQCRAFFDNESELLRLKAFVLFGKLTKVVG ISKKHFFKGEVKRGWVSLLLHCQDPCPSVAQACVATMFQCVHFWGWKSLESSFGHSNDSI NEQMTVFQTNMCSVLAQKKPAVLCGFLLETTVFMKNNLSRIRIAACNLAGIIMKQLSAHY LKKMDLVGLRNSLQDLQLDSDAGVRRAALETLKVLDSCNQHWLLASPRGLP >ENSMUSP00000118971.1 pep:known chromosome:GRCm38:1:88257758:88260169:1 gene:ENSMUSG00000079429.9 transcript:ENSMUST00000135948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh2a description:maestro heat-like repeat family member 2A [Source:MGI Symbol;Acc:MGI:3705228] TKVVGISKKHFFKGEVKRGWVSLLLHCQDPCPSVAQACVATMFQCVHFWGWKSLESSFGH SNDSINEQMTVFQTNMCSAQKKPAVLCGFLLETTVFMKNNLSRIRIAACNLAGIIMKQLS AHYLKKMDLVGLRNSLQDLQLDSDAGVRRAALETLKVLDSCNQHWLLASPRGLP >ENSMUSP00000130508.1 pep:known chromosome:GRCm38:1:88227020:88262289:1 gene:ENSMUSG00000079429.9 transcript:ENSMUST00000061013.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh2a description:maestro heat-like repeat family member 2A [Source:MGI Symbol;Acc:MGI:3705228] MKEATELNEDMLEEAEHLALLEPEDDGTFFQVTNLLNIMDSESAKTDTTGPGLDMRKTLA SVIITEKATTDPCVVMNALIRCLQMPEISTQRKMNIYNIMQEIIQQEGEMEEHCIQRLVA IASKQMRDITEAEDFETAEVASETLVALSRNHFSLVMYELQHHLKPLNLTDEFVIVTLAK LANGNVFEFMPYMGITLATIFTMLRLANEAKMRQVICSAMETFCETVQFYLRHLEDSLYP VMTEDQFAVKLFPMYRYFVTVWLRHQDLEVKLGVIKSLRPMLSLLLPNDDLREQVYDYIP LLLAEFQGTGHWPLFPSLLQVLRQILEASVTTNTPIPPMLLHPIFTELHVQVCSKAPAQQ QFSSQNLMEIVHCFIALARSYPKELMKFFFSQVEMSKEAVRVGTLALIRAVVSADDPKIN IKTIYLAIRVVKNTLSDTRSKVRMAILRIIGQLVLSGFQEKIKGWGLKYVSVQLTLSTYK LTNRRECFYQRDLEEKMVHKVTMDTVKIITSSISGMTNEFWVRLLCYIMETDYTEALTPI CISLTNLAENQIHGKDTEAGIAGKSKHVDLPAPQKLLARLLVLMSSPYKGEGRGIAMLNL LRTLSQSIAPSMADMWEQEIPLLVQYLEEHTEFTWNQKTWEDMLIQFLRNSLKKTRGTSW SLRLSKELNNQIETFDSPSLEKGFLYRALGFTLGMGLEADRVEVLLLELLYKTDYSNDFD REGVILCFGLCARGQVKTVLNVLQDFEERIQESEQSWQIGAWRKDHPWRRETVKSALMVM YSCVSSYCHPQMLLTHVDSPITSKIIHHYSSSCQDISLKMAFMKSVVQVTNAIKSIQDPE DFQFAHKSALTGLIVVIIKAEPPDHLVSPVRSMAMDALSQLSTMKPFYSPEESTELMDIS IHTVISLQPPGEDNESVKTLYANTMSSLKQLMEGLLQRQLDPKGLQDTVHLLEKWILSEK EWEREKAMALHLHLMQIYVQSIGVCIPLKLGQFGVLVGLIAPCTCDAHRRTRLASINVLS SLLDLHVSQTCSLWGTSKEQELQKCKEDLQDTDMNKISSASSRVAKVVCPEFNCDEVVSL IQKLCENIGAMDLQHDRAAVTWIGIFLQMRVKELEDKVAEILGAILVHLPVVDHPEVRRH LIEGILLLAHYHQETVLTSLLRQPLPMESHLTEVWLAVAENVPFARTMLHGLLGRLQSRF TAKINATSKADIWRLAAVDPLMTLCTIQLLMEKMDQDDKFPDLFPDLLYTFLLQLGSSHG PEAASPVLKTWRLVHTGPLPQEMTLQRCSRSRITIKSMQLLVKRINREPLEQALEEQSVW SLLENGGTFLEGVSLMARLCMQNMENYMQRLAELVLTGMGSEILSCCISSTAICVEFMSD PVLHQEKLLRPVVLMLEKGAGQDKDETLQVLSLRALGNMALGAPRKVKQYRKLLLEKCLG SLQGQVSSSAMAEGMEALTKVLAELREGDIGSSFEAISKQCRAFFDNESELLRLKAFVLF GKLTKVVGISKKHFFKGEVKRGWVSLLLHCQDPCPSVAQACVATMFQCVHFWGWKSLESS FGHSNDSINEQMTVFQTNMCSVLAQKKPAVLCGFLLETTVFMKNNLSRIRIAACNLAGII MKQLSAHYLKKMDLVGLRNSLQDLQLDSDAGVRRAALETLKVLDSCNQHWLLASPRGLP >ENSMUSP00000134532.1 pep:known chromosome:GRCm38:17:38081970:38088693:-1 gene:ENSMUSG00000043312.5 transcript:ENSMUST00000172933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr131 description:olfactory receptor 131 [Source:MGI Symbol;Acc:MGI:2177514] MRRLNTTPHHTNGFILVGFSEWPRLEMALLVVISIFYILTLLGNSAIIILSRLDPKLHTP MYFFLANLSFLDLCYTTSTVPQMLKNIQSHERSITYVGCIAQLFIFLSLGSTECVLLSVM AFDRYVAICQPLRYTVIMHPQLCQQLAAVAWITGFSNSLVQTVLTSLLPRCGQYQIENFF CEVPAMLQLSCVDTWVNEVEMYAAVVVIKVIPLGLILFSYINIVRAVIKIQSSEGRKKAF NTCGSHLLVVIMFYGSAISGYAYMAPKSSSAKLKGKLLALFYGLITPMLNPLIYTLRNKD VKAAVKKVLGREQE >ENSMUSP00000061861.4 pep:known chromosome:GRCm38:17:38081970:38082999:-1 gene:ENSMUSG00000043312.5 transcript:ENSMUST00000059560.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr131 description:olfactory receptor 131 [Source:MGI Symbol;Acc:MGI:2177514] MRRLNTTPHHTNGFILVGFSEWPRLEMALLVVISIFYILTLLGNSAIIILSRLDPKLHTP MYFFLANLSFLDLCYTTSTVPQMLKNIQSHERSITYVGCIAQLFIFLSLGSTECVLLSVM AFDRYVAICQPLRYTVIMHPQLCQQLAAVAWITGFSNSLVQTVLTSLLPRCGQYQIENFF CEVPAMLQLSCVDTWVNEVEMYAAVVVIKVIPLGLILFSYINIVRAVIKIQSSEGRKKAF NTCGSHLLVVIMFYGSAISGYAYMAPKSSSAKLKGKLLALFYGLITPMLNPLIYTLRNKD VKAAVKKVLGREQE >ENSMUSP00000108430.1 pep:known chromosome:GRCm38:5:103861973:103886290:-1 gene:ENSMUSG00000029312.12 transcript:ENSMUST00000112811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl8 description:kelch-like 8 [Source:MGI Symbol;Acc:MGI:2179430] MASESTNGKQARSHVTKGRRQYQHQHQQQQQQQQQVRSRSSVSECDGDDSFIFEANEAWK DFHGSLLGFYENGELCDVTLKVRLPLLPVDFLMGVVAKEQIVKQNLKCRDLLDEARNYHL HLSSKPVPDFEYTVRTTPRKHTAGVLFCVGGRGGSGDPFRSIECYSINKNSWFFGPEMNS RRRHVGVISVEGKVYAVGGHDGNEHLGSMEMFDPLTNKWMMKASMNTKRRGIALASLGGP IYAIGGLDDNTCFSDVERYDIESDQWSTVAPMNTPRGGVGSVALINHVYAVGGNDGVASL SSVERYHPHLDKWIEVKEMGQRRAGNGVSELHGCLYVVGGFDDNSPLSSVERYDPRSNKW DYVAALTTPRGGVGIATVMGKIFAVGGHNGNAYLNTVEAFDPVLNKWELVGPVSHCRAGA GVAVCDCLTSQIRDVGHGSTNVVDCM >ENSMUSP00000108434.1 pep:known chromosome:GRCm38:5:103861973:103886290:-1 gene:ENSMUSG00000029312.12 transcript:ENSMUST00000112815.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl8 description:kelch-like 8 [Source:MGI Symbol;Acc:MGI:2179430] MASESTNGKQARSHVTKGRRQYQHQHQQQQQQQQQVRSRSSVSECDGDDSFIFEANEAWK DFHGSLLGFYENGELCDVTLKVELVARACCEYMQLHFHPSNCLAVRAFAESHNRIDLMDM ADQYACEHFTEVVECEDFVSVSPQHLHKLLSSSDLNIDSEKQVYSAAIKWLLANPQHHPK WLDETLAQVRLPLLPVDFLMGVVAKEQIVKQNLKCRDLLDEARNYHLHLSSKPVPDFEYT VRTTPRKHTAGVLFCVGGRGGSGDPFRSIECYSINKNSWFFGPEMNSRRRHVGVISVEGK VYAVGGHDGNEHLGSMEMFDPLTNKWMMKASMNTKRRGIALASLGGPIYAIGGLDDNTCF SDVERYDIESDQWSTVAPMNTPRGGVGSVALINHVYAVGGNDGVASLSSVERYHPHLDKW IEVKEMGQRRAGNGVSELHGCLYVVGGFDDNSPLSSVERYDPRSNKWDYVAALTTPRGGV GIATVMGKIFAVGGHNGNAYLNTVEAFDPVLNKWELVGPVSHCRAGAGVAVCDCLTSQIR DVGHGSTNVVDCM >ENSMUSP00000031254.2 pep:known chromosome:GRCm38:5:103862050:103911259:-1 gene:ENSMUSG00000029312.12 transcript:ENSMUST00000031254.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl8 description:kelch-like 8 [Source:MGI Symbol;Acc:MGI:2179430] MASESTNGKQARSHVTKGRRQYQHQHQQQQQQQQQVRSRSSVSECDGDDSFIFEANEAWK DFHGSLLGFYENGELCDVTLKVGSKLISCHKLVLACVIPYFRAMFLSEMSEAKQALIEIR DFDGDAVEDLVKFVYSSRLTLTVDNVQPLLYAACILQVELVARACCEYMQLHFHPSNCLA VRAFAESHNRIDLMDMADQYACEHFTEVVECEDFVSVSPQHLHKLLSSSDLNIDSEKQVY SAAIKWLLANPQHHPKWLDETLAQVRLPLLPVDFLMGVVAKEQIVKQNLKCRDLLDEARN YHLHLSSKPVPDFEYTVRTTPRKHTAGVLFCVGGRGGSGDPFRSIECYSINKNSWFFGPE MNSRRRHVGVISVEGKVYAVGGHDGNEHLGSMEMFDPLTNKWMMKASMNTKRRGIALASL GGPIYAIGGLDDNTCFSDVERYDIESDQWSTVAPMNTPRGGVGSVALINHVYAVGGNDGV ASLSSVERYHPHLDKWIEVKEMGQRRAGNGVSELHGCLYVVGGFDDNSPLSSVERYDPRS NKWDYVAALTTPRGGVGIATVMGKIFAVGGHNGNAYLNTVEAFDPVLNKWELVGPVSHCR AGAGVAVCDCLTSQIRDVGHGSTNVVDCM >ENSMUSP00000117671.1 pep:known chromosome:GRCm38:5:103862080:103886290:-1 gene:ENSMUSG00000029312.12 transcript:ENSMUST00000131843.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl8 description:kelch-like 8 [Source:MGI Symbol;Acc:MGI:2179430] MASESTNGKQARSHVTKGRRQYQHQHQQQQQQQQQVRSRSSVSECDGDDSFIFEANEAWK DFHGSLLGFYENGELCDVTLKVCCFVWVVEVDLVTPSVVLNAILSTKTAGSLDQK >ENSMUSP00000066239.3 pep:known chromosome:GRCm38:18:46559155:46574533:-1 gene:ENSMUSG00000056130.9 transcript:ENSMUST00000070084.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ticam2 description:toll-like receptor adaptor molecule 2 [Source:MGI Symbol;Acc:MGI:3040056] MGVGKSKLDKCPLSWHKKDSVDADQDGHESDSKNSEEACLRGFVEQSSGSEPPTGEQDQP EAKGAGPEEQDEEEFLKFVILHAEDDTDEALRVQDLLQNDFGIRPGIVFAEMPCGRLHLQ NLDDAVNGSAWTILLLTENFLRDTWCNFQFYTSLMNSVSRQHKYNSVIPMRPLNSPLPRE RTPLALQTINALEEESQGFSTQVERIFRESVFERQQSIWKETRSVSQKQFIA >ENSMUSP00000091349.2 pep:known chromosome:GRCm38:9:64385626:64700975:1 gene:ENSMUSG00000036466.17 transcript:ENSMUST00000093829.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf11 description:multiple EGF-like-domains 11 [Source:MGI Symbol;Acc:MGI:1920951] MAPSAVGLLVFLLQAALALNPEDPNVCSHWESYAVTVQESYAHPFDQIYYTRCADILNWF KCTRHRISYKTAYRRGLRTMYRRRSQCCPGYYENGDFCIRCDSEHWGPHCSNRCQCQNGA LCNPITGACVCAPGFRGWRCEELCAPGTHGKGCQLLCQCHHGASCDPRTGECLCAPGYTG VYCEELCPPGSHGAHCELRCPCQNGGTCHHITGECACPPGWTGAVCAQPCPPGTFGQNCS QDCPCHHGGQCDHVTGQCHCTAGYMGDRCQEECPFGTFGFLCSQRCDCHNGGQCSPATGA CECEPGYKGPSCQERLCPEGLHGPGCTLPCPCDTENTISCHPVTGACTCQPGWSGHYCNE SCPAGYYGNGCQLPCTCQNGADCHSITGSCTCAPGFMGEVCAVPCAAGTYGPNCSSVCSC SNGGTCSPVDGSCTCREGWQGLDCSLPCPSGTWGLNCNETCICANGAACSPFDGSCACTP GWLGDSCELPCPDGTFGLNCSEHCDCSHADGCDPVTGHCCCLAGWTGIRCDSTCPPGRWG PNCSVSCSCENGGSCSPEDGSCECAPGFRGPLCQRICPPGFYGHGCAQPCPLCVHSRGPC HHISGICECLPGFSGALCNQVCAGGHFGQDCAQLCSCANNGTCSPIDGSCQCFPGWIGKD CSQGCPSAFFGKDCGHICQCQNGASCDHITGKCTCRTGFSGRHCEQRCAPGTFGYGCQQL CECMNNATCDHVTGTCYCSPGFKGIRCDQAALMMDELNPYTKISPALGAERHSVGAVTGI VLLLFLVVVLLGLFAWRRRRQKEKGRDLAPRVSYTPAMRMTSTDYSLSDLSQSSSHAQCF SNASYHTLACGGPATSQASTLDRNSPTKLSNKSLDRDTAGWTPYSYVNVLDSHFQISALE ARYPPEDFYIELRHLSRHAEPHSPGTCGMDRRQNTYIMDKGFKVAPA >ENSMUSP00000065353.4 pep:known chromosome:GRCm38:9:64385686:64709205:1 gene:ENSMUSG00000036466.17 transcript:ENSMUST00000068967.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf11 description:multiple EGF-like-domains 11 [Source:MGI Symbol;Acc:MGI:1920951] MAPSAVGLLVFLLQAALALNPEDPNVCSHWESYAVTVQESYAHPFDQIYYTRCADILNWF KCTRHRISYKTAYRRGLRTMYRRRSQCCPGYYENGDFCIPLCTEECMHGRCVSPDTCHCE PGWGGPDCSSGCDSEHWGPHCSNRCQCQNGALCNPITGACVCAPGFRGWRCEELCAPGTH GKGCQLLCQCHHGASCDPRTGECLCAPGYTGVYCEELCPPGSHGAHCELRCPCQNGGTCH HITGECACPPGWTGAVCAQPCPPGTFGQNCSQDCPCHHGGQCDHVTGQCHCTAGYMGDRC QEECPFGTFGFLCSQRCDCHNGGQCSPATGACECEPGYKGPSCQERLCPEGLHGPGCTLP CPCDTENTISCHPVTGACTCQPGWSGHYCNESCPAGYYGNGCQLPCTCQNGADCHSITGS CTCAPGFMGEVCAVPCAAGTYGPNCSSVCSCSNGGTCSPVDGSCTCREGWQGLDCSLPCP SGTWGLNCNETCICANGAACSPFDGSCACTPGWLGDSCELPCPDGTFGLNCSEHCDCSHA DGCDPVTGHCCCLAGWTGIRCDSTCPPGRWGPNCSVSCSCENGGSCSPEDGSCECAPGFR GPLCQRICPPGFYGHGCAQPCPLCVHSRGPCHHISGICECLPGFSGALCNQVCAGGHFGQ DCAQLCSCANNGTCSPIDGSCQCFPGWIGKDCSQACPSGFWGSACFHTCSCHNGASCSAE DGACHCTPGWTGLFCTQRCPSAFFGKDCGHICQCQNGASCDHITGKCTCRTGFSGRHCEQ RCAPGTFGYGCQQLCECMNNATCDHVTGTCYCSPGFKGIRCDQAALMMDELNPYTKISPA LGAERHSVGAVTGIVLLLFLVVVLLGLFAWRRRRQKEKGRDLAPRVSYTPAMRMTSTDYS LSDLSQSSSHAQCFSNASYHTLACGGPATSQASTLDRNSPTKLSNKSLDRDTAGWTPYSY VNVLDSHFQISALEARYPPEDFYIELRHLSRHAEPHSPGTCGMDRRQNTYIMDKGFKDYM KESVCSSSTCSLNSSENPYATIKDPPILTCKLPESSYVEMKSPVHLGSPYTDVPSLSTSN KNIYEVGRCLT >ENSMUSP00000114035.1 pep:known chromosome:GRCm38:9:64385626:64707290:1 gene:ENSMUSG00000036466.17 transcript:ENSMUST00000118485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf11 description:multiple EGF-like-domains 11 [Source:MGI Symbol;Acc:MGI:1920951] MAPSAVGLLVFLLQAALALNPEDPNVCSHWESYAVTVQESYAHPFDQIYYTRCADILNWF KCTRHRISYKTAYRRGLRTMYRRRSQCCPGYYENGDFCIPLCTEECMHGRCVSPDTCHCE PGWGGPDCSSGCDSEHWGPHCSNRCQCQNGALCNPITGACVCAPGFRGWRCEELCAPGTH GKGCQLLCQCHHGASCDPRTGECLCAPGYTGVYCEELCPPGSHGAHCELRCPCQNGGTCH HITGECACPPGWTGAVCAQPCPPGTFGQNCSQDCPCHHGGQCDHVTGQCHCTAGYMGDRC QEECPFGTFGFLCSQRCDCHNGGQCSPATGACECEPGYKGPSCQERLCPEGLHGPGCTLP CPCDTENTISCHPVTGACTCQPGWSGHYCNESCPAGYYGNGCQLPCTCQNGADCHSITGS CTCAPGFMGEVCAVPCAAGTYGPNCSSVCSCSNGGTCSPVDGSCTCREGWQGLDCSLPCP SGTWGLNCNETCICANGAACSPFDGSCACTPGWLGDSCELPCPDGTFGLNCSEHCDCSHA DGCDPVTGHCCCLAGWTGIRCDSTCPPGRWGPNCSVSCSCENGGSCSPEDGSCECAPGFR GPLCQRICPPGFYGHGCAQPCPLCVHSRGPCHHISGICECLPGFSGALCNQVCAGGHFGQ DCAQLCSCANNGTCSPIDGSCQCFPGWIGKDCSQACPSGFWGSACFHTCSCHNGASCSAE DGACHCTPGWTGLFCTQRCPSAFFGKDCGHICQCQNGASCDHITGKCTCRTGFSGRHCEQ RCAPGTFGYGCQQLCECMNNATCDHVTGTCYCSPGFKGIRCDQAALMMDELNPYTKISPA LGAERHSVGAVTGIVLLLFLVVVLLGLFAWRRRRQKEKGRDLAPRVSYTPAMRMTSTDYS LSGTCGMDRRQNTYIMDKGFKDYMKESVCSSSTCSLNSSENPYATIKDPPILTCKLPESS YVEMKSPVHLGSPYTDVPSLSTSNKNIYEVEPTVSVVQEGRGHNSSYIQNPYDLPKNSHI PGHYDLLPVRQSPAHGPFQEKQ >ENSMUSP00000120514.1 pep:known chromosome:GRCm38:9:64660459:64692405:1 gene:ENSMUSG00000036466.17 transcript:ENSMUST00000124881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf11 description:multiple EGF-like-domains 11 [Source:MGI Symbol;Acc:MGI:1920951] XGADCHSITGSCTCAPGFMGEVCAVPCAAGTYGPNCSSVCSCSNGGTCSPVDGSCTCREG WQGLDCSLPCPSGTWGLNCNETCICANGAACSPFDGSCACTPGWLGDSCELPCPDGTFGL NCSEHCDCSHADGCDPVTGHCCCLAGWTGIRCDSTCPPGRWGPNCSVSCSCENGGSCSPE DGSCECAPGFRGPLCQRSCPSAFFGKDCGHICQCQNGASCDHITGKCTCRTGFSGRHCEQ RCAPGTFGYGCQQLCEC >ENSMUSP00000128672.1 pep:known chromosome:GRCm38:9:64385626:64709205:1 gene:ENSMUSG00000036466.17 transcript:ENSMUST00000164113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf11 description:multiple EGF-like-domains 11 [Source:MGI Symbol;Acc:MGI:1920951] MAPSAVGLLVFLLQAALALNPEDPNVCSHWESYAVTVQESYAHPFDQIYYTRCADILNWF KCTRHRISYKTAYRRGLRTMYRRRSQCCPGYYENGDFCIPLCTEECMHGRCVSPDTCHCE PGWGGPDCSSGCDSEHWGPHCSNRCQCQNGALCNPITGACVCAPGFRGWRCEELCAPGTH GKGCQLLCQCHHGASCDPRTGECLCAPGYTGVYCEELCPPGSHGAHCELRCPCQNGGTCH HITGECACPPGWTGAVCAQPCPPGTFGQNCSQDCPCHHGGQCDHVTGQCHCTAGYMGDRC QEECPFGTFGFLCSQRCDCHNGGQCSPATGACECEPGYKGPSCQERLCPEGLHGPGCTLP CPCDTENTISCHPVTGACTCQPGWSGHYCNESCPAGYYGNGCQLPCTCQNGADCHSITGS CTCAPGFMGEVCAVPCAAGTYGPNCSSVCSCSNGGTCSPVDGSCTCREGWQGLDCSLPCP SGTWGLNCNETCICANGAACSPFDGSCACTPGWLGDSCELPCPDGTFGLNCSEHCDCSHA DGCDPVTGHCCCLAGWTGIRCDSTCPPGRWGPNCSVSCSCENGGSCSPEDGSCECAPGFR GPLCQRICPPGFYGHGCAQPCPLCVHSRGPCHHISGICECLPGFSGALCNQVCAGGHFGQ DCAQLCSCANNGTCSPIDGSCQCFPGWIGKDCSQGCPSAFFGKDCGHICQCQNGASCDHI TGKCTCRTGFSGRHCEQRCAPGTFGYGCQQLCECMNNATCDHVTGTCYCSPGFKGIRCDQ AALMMDELNPYTKISPALGAERHSVGAVTGIVLLLFLVVVLLGLFAWRRRRQKEKGRDLA PRVSYTPAMRMTSTDYSLSDLSQSSSHAQCFSNASYHTLACGGPATSQASTLDRNSPTKL SNKSLDRDTAGWTPYSYVNVLDSHFQISALEARYPPEDFYIELRHLSRHAEPHSPGTCGM DRRQNTYIMDKGFKDYMKESVCSSSTCSLNSSENPYATIKDPPILTCKLPESSYVEMKSP VHLGSPYTDVPSLSTSNKNIYEVEPTVSVVQEGRGHNSSYIQNPYDLPKNSHIPGHYDLL PVRQSPAHGPFQEKQ >ENSMUSP00000137867.1 pep:known chromosome:GRCm38:1:60098247:60151032:1 gene:ENSMUSG00000026017.13 transcript:ENSMUST00000130075.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Carf description:calcium response factor [Source:MGI Symbol;Acc:MGI:2182269] MEQRHSLAGNCDDGEKSEREAQGFEDMPETLTDTQALHEEQFHLLDQNGQPIQYDLQSLG NSTAQMTGMEQVAIPQEPFADEHSPQG >ENSMUSP00000121293.1 pep:known chromosome:GRCm38:1:60098264:60125977:1 gene:ENSMUSG00000026017.13 transcript:ENSMUST00000124986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carf description:calcium response factor [Source:MGI Symbol;Acc:MGI:2182269] MPETLTDTQALHEEQFHLLDQNGQPIQYDLQSLGNSTAQMTGMEQVAIPQEPFADEHSPQ DISEEKPTGVRADVLEDST >ENSMUSP00000141169.1 pep:known chromosome:GRCm38:1:60105344:60153953:1 gene:ENSMUSG00000026017.13 transcript:ENSMUST00000187978.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carf description:calcium response factor [Source:MGI Symbol;Acc:MGI:2182269] MEQRHSLAGNCDDGEKSEREAQGFEHRTCMDSGDPSFGQNDPPTILPITAPKTYDSLTSQ DMPETLTDTQALHEEQFHLLDQNGQPIQYDLQSLGNSTAQMTGMEQVAIPQEPFADEHSP QDISEEKPTGVRADVLEDSTSNYGLRSPASLVLPKKAGARLVEEPLLAPLQPLSCNTPMW ACRLRSCEKIGDSYRGYCVSETELESVLTFHKQQTQTVWGTRQSPSPAKPATRLMWKSQY VPYDGIPFVNAGSRAVVMECQYGPRRKGFQLKKISEQESRSCHLYKATCPARIYIKKVQK FPEYRVPTDPQIDRKIIRLEQEKAFTMLKKNLMDAGGVLRWYVQLPTQQAHQYHESETPG VPLSPSPFPMSPLEEEEAIVRDENCALPSRLHPQVAHKIQELVSQGVGQVYAVRKQLRKF VERELFKPDEIPERHNLSYFPTVNDIKNHIHEVQKSLRTGDVVYNSEIIPATLQWTTDSG NILRETVTVTFAEGNLLGEPIPSKMGTSQTQTAVSPEPLSSFPPKIFTHFQALKLQPRLS SPDGSQALVSVDSHASSSPPGLVDTVGNAEVDNHSVLLGQSQNPGTDTCLTQDNSTSSST GHLPESVPNPVAEDQLLEGEDVEDAGNPEGSVNRTLLGDVQTVPIQIIDSRPVLVEESLS KNQVKQETNEPTLSTEAKTFLDCKKISAT >ENSMUSP00000027171.5 pep:known chromosome:GRCm38:1:60108102:60150800:1 gene:ENSMUSG00000026017.13 transcript:ENSMUST00000027171.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carf description:calcium response factor [Source:MGI Symbol;Acc:MGI:2182269] MEQRHSLAGNCDDGEKSEREAQGFEDMPETLTDTQALHEEQFHLLDQNGQPIQYDLQSLG NSTAQMTGMEQVAIPQEPFADEHSPQDISEEKPTGVRADVLEDSTSNYGLRSPASLVLPK KAGARLVEEPLLAPLQPLSCNTPMWACRLRSCEKIGDSYRGYCVSETELESVLTFHKQQT QTVWGTRQSPSPAKPATRLMWKSQYVPYDGIPFVNAGSRAVVMECQYGPRRKGFQLKKIS EQESRSCHLYKATCPARIYIKKVQKFPEYRVPTDPQIDRKIIRLEQEKAFTMLKKNLMDA GGVLRWYVQLPTQQAHQYHESETPGVPLSPSPFPMSPLEEEEAIVRDENCALPSRLHPQV AHKIQELVSQGVGQVYAVRKQLRKFVERELFKPDEIPERHNLSYFPTVNDIKNHIHEVQK SLRTGDVVYNSEIIPATLQWTTDSGNILRETVTVTFAEGNLLGEPIPSKMGTSQTQTAVS PEPLSSFPPKIFTHFQALKLQPRLSSPDGSQALVSVDSHASSSPPGLVDTVGNAEVDNHS VLLGQSQNPGTDTCLTQDNSTSSSTGHLPESVPNPVAEDQLLEGEDVEDAGNPEGSVNRT LLGDVQTVPIQIIDSRPVLVEESLSKNQVKQETNEPTLSTEAKTFLDCKKISAT >ENSMUSP00000139554.1 pep:known chromosome:GRCm38:1:60108102:60150800:1 gene:ENSMUSG00000026017.13 transcript:ENSMUST00000186107.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Carf description:calcium response factor [Source:MGI Symbol;Acc:MGI:2182269] MEQRHSLAGNCDDGEKSEREAQGFEDMPETLTDTQALHEEQFHLLDQNGQPIQYDLQSLG NSTAQMTGMEQVAIPQEPFADEHSPQDISEEKPTGVRADVLEDSTSNYGLRSPASLVLPK KAGARLVEEPLLAPLQPLSCNTPMWACRLRSCEKIGDSYRGYCVSETELESVLTFHKQQT QTVWGTRQSPSPAKPATRLMWKSQYVPYDGIPFVNADGMCSYLHSKLISIMNQRLLVSLC HRHLFLCLLLKKRKL >ENSMUSP00000139878.1 pep:known chromosome:GRCm38:1:60141448:60148027:1 gene:ENSMUSG00000026017.13 transcript:ENSMUST00000132949.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Carf description:calcium response factor [Source:MGI Symbol;Acc:MGI:2182269] XIVRDENCALPSRLHPQVAHKIQELVSQGVGQVYAVRKQLSFSGRQIVGTSSERL >ENSMUSP00000137825.1 pep:known chromosome:GRCm38:1:60108081:60150729:1 gene:ENSMUSG00000026017.13 transcript:ENSMUST00000180952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carf description:calcium response factor [Source:MGI Symbol;Acc:MGI:2182269] MEQRHSLAGNCDDGEKSEREAQGFEHRTCMDSGDPSFGQNDPPTILPITAPKTYDSLTSQ DMPETLTDTQALHEEQFHLLDQNGQPIQYDLQSLGNSTAQMTGMEQVAIPQEPFADEHSP QDISEEKPTGVRADVLEDSTSNYGLRSPASLVLPKKAGARLVEEPLLAPLQPLSCNTPMW ACRLRSCEKIGDSYRGYCVSETELESVLTFHKQQTQTVWGTRQSPSPAKPATRLMWKSQY VPYDGIPFVNAGSRAVVMECQYGPRRKGFQLKKISEQESRSCHLYKATCPARIYIKKVQK FPEYRVPTDPQIDRKIIRLEQEKAFTMLKKNLMDAGGVLRWYVQLPTQQAHQYHESETPG VPLSPSPFPMSPLEEEEAIVRDENCALPSRLHPQVAHKIQELVSQGVGQVYAVRKQLRKF VERELFKPDEIPERHNLSYFPTVNDIKNHIHEVQKSLRTGDVVYNSEIIPATLQWTTDSG NILRETVTVTFAEGNLLGEPIPSKMGTSQTQTAVSPEPLSSFPPKIFTHFQALKLQPRLS SPDGSQALVSVDSHASSSPPGLVDTVGNAEVDNHSVLLGQSQNPGTDTCLTQDNSTSSST GHLPESVPNPVAEDQLLEGEDVEDAGNPEGSVNRTLLGDVQTVPIQIIDSRPVLVEESLS KNQVKQETNEPTLSTEAKTFLDCKKISAT >ENSMUSP00000142900.1 pep:known chromosome:GRCm38:16:9567898:9994923:-1 gene:ENSMUSG00000059003.12 transcript:ENSMUST00000199708.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2a description:glutamate receptor, ionotropic, NMDA2A (epsilon 1) [Source:MGI Symbol;Acc:MGI:95820] MGRLGYWTLLVLPALLVWHGPAQNAAAEKGTPALNIAVLLGHSHDVTERELRNLWGPEQA TGLPLDVNVVALLMNRTDPKSLITHVCDLMSGARIHGLVFGDDTDQEAVAQMLDFISSQT FIPILGIHGGASMIMADKDPTSTFFQFGASIQQQATVMLKIMQDYDWHVFSLVTTIFPGY RDFISFIKTTVDNSFVGWDMQNVITLDTSFEDAKTQVQLKKIHSSVILLYCSKDEAVLIL SEARSLGLTGYDFFWIVPSLVSGNTELIPKEFPSGLISVSYDDWDYSLEARVRDGLGILT TAASSMLEKFSYIPEAKASCYGQTEKPETPLHTLHQFMVNVTWDGKDLSFTEEGYQVHPR LVVIVLNKDREWEKVGKWENQTLSLRHAVWPRYKSFSDCEPDDNHLSIVTLEEAPFVIVE DIDPLTETCVRNTVPCRKFVKINNSTNEGMNVKKCCKGFCIDILKKLSRTVKFTYDLYLV TNGKHGKKVNNVWNGMIGEVVYQRAVMAVGSLTINEERSEVVDFSVPFVETGISVMVSRS NGTVSPSAFLEPFSASVWVMMFVMLLIVSAIAVFVFEYFSPVGYNRNLAKGKAPHGPSFT IGKAIWLLWGLVFNNSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEFVD QVTGLSDKKFQRPHDYSPPFRFGTVPNGSTERNIRNNYPYMHQYMTKFNQRGVEDALVSL KTGKLDAFIYDAAVLNYKAGRDEGCKLVTIGSGYIFATTGYGIALQKGSPWKRQIDLALL QFVGDGEMEELETLWLTGICHNEKNEVMSSQLDIDNMAGVFYMLAAAMALSLITFIWEHL FYWKLRFCFTGVCSDRPGLLFSISRGIYSCIHGVHIEEKKKSPDFNLTGSQSNMLKLLRS AKNISNMSNMNSSRMDSPKRAADFIQRGSLIVDMVSDKGNLIYSDNRSFQGKDSIFGENM NELQTFVANRHKDSLSNYVFQGQHPLTLNESNPNTVEVAVSTESKGNSRPRQLWKKSMES LRQDSLNQNPVSQRDEKTAENRTHSLKSPRYLPEEVAHSDISETSSRATCHREPDNNKNH KTKDNFKRSMASKYPKDCSEVERTYVKTKASSPRDKIYTIDGEKEPSFHLDPPQFIENIV LPENVDFPDTYQDHNENFRKGDSTLPMNRNPLHNEDGLPNNDQYKLYAKHFTLKDKGSPH SEGSDRYRQNSTHCRSCLSNLPTYSGHFTMRSPFKCDACLRMGNLYDIDEDQMLQETGNP ATREEAYQQDWSQNNALQFQKNKLKINRQHSYDNILDKPREIDLSRPSRSISLKDRERLL EGNLYGSLFSVPSSKLLGNKSSLFPQGLEDSKRSKSLLPDHTSDNPFLHTYGDDQRLVIG RCPSDPYKHSLPSQAVNDSYLRSSLRSTASYCSRDSRGHSDVYISEHVMPYAANKNNMYS TPRVLNSCSNRRVYKKMPSIESDV >ENSMUSP00000111501.1 pep:known chromosome:GRCm38:16:9577741:9994582:-1 gene:ENSMUSG00000059003.12 transcript:ENSMUST00000115835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2a description:glutamate receptor, ionotropic, NMDA2A (epsilon 1) [Source:MGI Symbol;Acc:MGI:95820] MGRLGYWTLLVLPALLVWHGPAQNAAAEKGTPALNIAVLLGHSHDVTERELRNLWGPEQA TGLPLDVNVVALLMNRTDPKSLITHVCDLMSGARIHGLVFGDDTDQEAVAQMLDFISSQT FIPILGIHGGASMIMADKDPTSTFFQFGASIQQQATVMLKIMQDYDWHVFSLVTTIFPGY RDFISFIKTTVDNSFVGWDMQNVITLDTSFEDAKTQVQLKKIHSSVILLYCSKDEAVLIL SEARSLGLTGYDFFWIVPSLVSGNTELIPKEFPSGLISVSYDDWDYSLEARVRDGLGILT TAASSMLEKFSYIPEAKASCYGQTEKPETPLHTLHQFMVNVTWDGKDLSFTEEGYQVHPR LVVIVLNKDREWEKVGKWENQTLSLRHAVWPRYKSFSDCEPDDNHLSIVTLEEAPFVIVE DIDPLTETCVRNTVPCRKFVKINNSTNEGMNVKKCCKGFCIDILKKLSRTVKFTYDLYLV TNGKHGKKVNNVWNGMIGEVVYQRAVMAVGSLTINEERSEVVDFSVPFVETGISVMVSRS NGTVSPSAFLEPFSASVWVMMFVMLLIVSAIAVFVFEYFSPVGYNRNLAKGKAPHGPSFT IGKAIWLLWGLVFNNSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEFVD QVTGLSDKKFQRPHDYSPPFRFGTVPNGSTERNIRNNYPYMHQYMTKFNQRGVEDALVSL KTGKLDAFIYDAAVLNYKAGRDEGCKLVTIGSGYIFATTGYGIALQKGSPWKRQIDLALL QFVGDGEMEELETLWLTGICHNEKNEVMSSQLDIDNMAGVFYMLAAAMALSLITFIWEHL FYWKLRFCFTGVCSDRPGLLFSISRGIYSCIHGVHIEEKKKSPDFNLTGSQSNMLKLLRS AKNISNMSNMNSSRMDSPKRAADFIQRGSLIVDMVSDKGNLIYSDNRSFQGKDSIFGENM NELQTFVANRHKDSLSNYVFQGQHPLTLNESNPNTVEVAVSTESKGNSRPRQLWKKSMES LRQDSLNQNPVSQRDEKTAENRTHSLKSPRYLPEEVAHSDISETSSRATCHREPDNNKNH KTKDNFKRSMASKYPKDCSEVERTYVKTKASSPRDKIYTIDGEKEPSFHLDPPQFIENIV LPENVDFPDTYQDHNENFRKGDSTLPMNRNPLHNEDGLPNNDQYKLYAKHFTLKDKGSPH SEGSDRYRQNSTHCRSCLSNLPTYSGHFTMRSPFKCDACLRMGNLYDIDEDQMLQETGNP ATREEAYQQDWSQNNALQFQKNKLKINRQHSYDNILDKPREIDLSRPSRSISLKDRERLL EGNLYGSLFSVPSSKLLGNKSSLFPQGLEDSKRSKSLLPDHTSDNPFLHTYGDDQRLVIG RCPSDPYKHSLPSQAVNDSYLRSSLRSTASYCSRDSRGHSDVYISEHVMPYAANKNNMYS TPRVLNSCSNRRVYKKMPSIESDV >ENSMUSP00000032331.6 pep:known chromosome:GRCm38:16:9577827:9992533:-1 gene:ENSMUSG00000059003.12 transcript:ENSMUST00000032331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2a description:glutamate receptor, ionotropic, NMDA2A (epsilon 1) [Source:MGI Symbol;Acc:MGI:95820] MGRLGYWTLLVLPALLVWHGPAQNAAAEKGTPALNIAVLLGHSHDVTERELRNLWGPEQA TGLPLDVNVVALLMNRTDPKSLITHVCDLMSGARIHGLVFGDDTDQEAVAQMLDFISSQT FIPILGIHGGASMIMADKDPTSTFFQFGASIQQQATVMLKIMQDYDWHVFSLVTTIFPGY RDFISFIKTTVDNSFVGWDMQNVITLDTSFEDAKTQVQLKKIHSSVILLYCSKDEAVLIL SEARSLGLTGYDFFWIVPSLVSGNTELIPKEFPSGLISVSYDDWDYSLEARVRDGLGILT TAASSMLEKFSYIPEAKASCYGQTEKPETPLHTLHQFMVNVTWDGKDLSFTEEGYQVHPR LVVIVLNKDREWEKVGKWENQTLSLRHAVWPRYKSFSDCEPDDNHLSIVTLEEAPFVIVE DIDPLTETCVRNTVPCRKFVKINNSTNEGMNVKKCCKGFCIDILKKLSRTVKFTYDLYLV TNGKHGKKVNNVWNGMIGEVVYQRAVMAVGSLTINEERSEVVDFSVPFVETGISVMVSRS NGTVSPSAFLEPFSASVWVMMFVMLLIVSAIAVFVFEYFSPVGYNRNLAKGKAPHGPSFT IGKAIWLLWGLVFNNSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEFVD QVTGLSDKKFQRPHDYSPPFRFGTVPNGSTERNIRNNYPYMHQYMTKFNQRGVEDALVSL KTGKLDAFIYDAAVLNYKAGRDEGCKLVTIGSGYIFATTGYGIALQKGSPWKRQIDLALL QFVGDGEMEELETLWLTGICHNEKNEVMSSQLDIDNMAGVFYMLAAAMALSLITFIWEHL FYWKLRFCFTGVCSDRPGLLFSISRGIYSCIHGVHIEEKKKSPDFNLTGSQSNMLKLLRS AKNISNMSNMNSSRMDSPKRAADFIQRGSLIVDMVSDKGNLIYSDNRSFQGKDSIFGENM NELQTFVANRHKDSLSNYVFQGQHPLTLNESNPNTVEVAVSTESKGNSRPRQLWKKSMES LRQDSLNQNPVSQRDEKTAENRTHSLKSPRYLPEEVAHSDISETSSRATCHREPDNNKNH KTKDNFKRSMASKYPKDCSEVERTYVKTKASSPRDKIYTIDGEKEPSFHLDPPQFIENIV LPENVDFPDTYQDHNENFRKGDSTLPMNRNPLHNEDGLPNNDQYKLYAKHFTLKDKGSPH SEGSDRYRQNSTHCRSCLSNLPTYSGHFTMRSPFKCDACLRMGNLYDIDEDQMLQETGNP ATREEAYQQDWSQNNALQFQKNKLKINRQHSYDNILDKPREIDLSRPSRSISLKDRERLL EGNLYGSLFSVPSSKLLGNKSSLFPQGLEDSKRSKSLLPDHTSDNPFLHTYGDDQRLVIG RCPSDPYKHSLPSQAVNDSYLRSSLRSTASYCSRDSRGHSDVYISEHVMPYAANKNNMYS TPRVLNSCSNRRVYKKMPSIESDV >ENSMUSP00000084368.1 pep:known chromosome:GRCm38:17:38130249:38131190:-1 gene:ENSMUSG00000067186.1 transcript:ENSMUST00000087128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr132 description:olfactory receptor 132 [Source:MGI Symbol;Acc:MGI:2177515] MGTVCNDTHGDFILRGFSDKPYLEKVLFGVILVFYCLTLAGNTIIIFVSLKDPKLQIPMY FFLSNLSLLDICFTSSCVPQMLVNLRSPKKTITYSGCATQLYIFLWLGATECVLLVVMAV DRYVAVCHPLRYVTVMHPKVCLQLAVLAWGSGLIQSLIQSTATLRLPFCSQRVVDNIVCE VPALIQLSSADTTYNEVQMSIASVILLVLPLAIILSSYGAIVKSVLKIKSPAGQKKAFGT CTSHLLVVSLFYGTVTGVYLQPKTHYAHEWGKFLTLFYTVITPTLNPLIYTLKNKEVKEA VIRLWWKTWISQR >ENSMUSP00000114018.2 pep:known chromosome:GRCm38:X:96306178:96456294:-1 gene:ENSMUSG00000045802.13 transcript:ENSMUST00000119035.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf3 description:heat shock transcription factor 3 [Source:MGI Symbol;Acc:MGI:3045337] MYGFRKVFALQTEKTSQENKISIEFQHPLFKRGEACLLANIKRKVPTIKIEGASLYSDEF QKIVTEMQEFKDMQRKMDAKYTQMKQDYSNLYHEVTNLRKKYCAQQQLLTRVLHFILDLM SENHTVLKKRKRSLSFISEDSDSEWDHQYFRIPEDKKEAMEILKDGYELVEDKYKSLLDR VMPILKESKKLISSGDQPSGDDGEHPKVPVQDKPMNEESLTIQLDLTIPVLPEQITEESV EQEPKDISLELDLSSQDSILMKDKSDNLYNNIINRDKKDMHHTEGNLLELNSLLSRKALN YDSDHFSETII >ENSMUSP00000132248.1 pep:known chromosome:GRCm38:X:96307365:96456283:-1 gene:ENSMUSG00000045802.13 transcript:ENSMUST00000164693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf3 description:heat shock transcription factor 3 [Source:MGI Symbol;Acc:MGI:3045337] MLRPVERGYFYSPDSPNSRRVQDGHSFQIVNEETFAREVLPKYFKHNKITSFIRQLNMYG FRKVFALQTEKTSQENKISIEFQHPLFKRGEACLLANIKRKVPTIKIEGASLYSDEFQKI VTEMQEFKDMQRKMDAKYTQMKQDYSNLYHEVTNLRKKYCAQQQLLTRVLHFILDLMSEN HTVLKKRKRSLSFISEDSDSEWDHQYFRIPEDKKEAMEILKDGYELVEDKYKSLLDRVMP ILKESKKLISSGDQPSGDDGEHPKVPVQDKPMNEESLTIQLDLTIPVLPEQITEESVEQE PKDISLELDLSSQDSILMKDKSDNLYNNIINRDKKDMHHTEGNLLELNSLLSRKALNYDS DHFSETII >ENSMUSP00000052508.4 pep:known chromosome:GRCm38:X:96307523:96367919:-1 gene:ENSMUSG00000045802.13 transcript:ENSMUST00000059003.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf3 description:heat shock transcription factor 3 [Source:MGI Symbol;Acc:MGI:3045337] MEQFRKTMVPHFLTKLWILVDDAVLDHVIRWGKDGHSFQIVNEETFAREVLPKYFKHNKI TSFIRQLNMYGFRKVFALQTEKTSQENKISIEFQHPLFKRGEACLLANIKRKVPTIKIEG ASLYSDEFQKIVTEMQEFKDMQRKMDAKYTQMKQDYSNLYHEVTNLRKKYCAQQQLLTRV LHFILDLMSENHTVLKKRKRSLSFISEDSDSEWDHQYFRIPEDKKEAMEILKDGYELVED KYKSLLDRVMPILKESKKLISSGDQPSGDDGEHPKVPVQDKPMNEESLTIQLDLTIPVLP EQITEESVEQEPKDISLELDLSSQDSILMKDKSDNLYNNIINRDKKDMHHTEGNLLELNS LLSRKALNYDSDHFSESLSLMKNEEEKSQLDLSGGKDNHMIQCMETPELFLLDEIPMCDF GENLQDYDRLLEDLKNPPNVISALCDHDYVTSNISTLQEDTIENSIPQLCMEANGESSVF PFLILNPVTNIF >ENSMUSP00000137314.1 pep:known chromosome:GRCm38:X:96306867:96357240:-1 gene:ENSMUSG00000045802.13 transcript:ENSMUST00000179832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf3 description:heat shock transcription factor 3 [Source:MGI Symbol;Acc:MGI:3045337] MYGFRKVFALQTEKTSQENKISIEFQHPLFKRGEACLLANIKRKVPTIKIEGASLYSDEF QKIVTEMQEFKDMQRKMDAKYTQMKQDYSNLYHEVTNLRKKYCAQQQLLTRVLHFILDLM SENHTVLKKRKRSLSFISEDSDSEWDHQYFRIPEDKKEAMEILKDGYELVEDKYKSLLDR VMPILKESKKLISSGDQPSGDDGEHPKVPVQDKPMNEESLTIQLDLTIPVLPEQITEESV EQEPKDISLELDLSSQDSILMKDKSDNLYNNIINRDKKDMHHTEGNLLELNSLLSRKALN YDSDHFSETII >ENSMUSP00000121496.1 pep:known chromosome:GRCm38:14:18271136:18283942:1 gene:ENSMUSG00000021772.14 transcript:ENSMUST00000132374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkiras1 description:NFKB inhibitor interacting Ras-like protein 1 [Source:MGI Symbol;Acc:MGI:1916971] MGKGCKVVICGLLSVGKTAILEQLLYGNHTIGMEDCETLEDVYMASVETDRGVKEQLHLY DTRGLQKGVELPKHYFSFADGFVLVYSVNNLESFQRVELLKKEIDKFKDKKEVAIVVLGN KLDLSEQRQVDADVAQQWARSEKVKLWEVTVTDRRTLIEPFTLLASKLSQPQSKSSFPLP GRKNKGNSNPEN >ENSMUSP00000022294.8 pep:known chromosome:GRCm38:14:18271227:18280437:1 gene:ENSMUSG00000021772.14 transcript:ENSMUST00000022294.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nkiras1 description:NFKB inhibitor interacting Ras-like protein 1 [Source:MGI Symbol;Acc:MGI:1916971] MGKGCKVVICGLLSVGKTAILEQLLYGNHTIGPEFNSQQPLGSSQPSVMGSDDLFWCV >ENSMUSP00000122235.1 pep:known chromosome:GRCm38:14:18271186:18280042:1 gene:ENSMUSG00000021772.14 transcript:ENSMUST00000133460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkiras1 description:NFKB inhibitor interacting Ras-like protein 1 [Source:MGI Symbol;Acc:MGI:1916971] MLETNGMEDCETLEDVYMASVETDRGVKEQLHLYDTRGLQKGVELPKHYFSFADGFVLVY SVNNLESFQRVELLKKEIDKFKDKKEVAIVVLGNKLDLSEQRQVDADVAQQWARSEKV >ENSMUSP00000039555.3 pep:known chromosome:GRCm38:2:164879365:164894454:1 gene:ENSMUSG00000039849.5 transcript:ENSMUST00000041643.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcif1 description:PDX1 C-terminal inhibiting factor 1 [Source:MGI Symbol;Acc:MGI:2443858] MANENHGSPREGASLLSHSPGTSSQSQPCSPKPVRLVQDLPEELVHAGWEKCWSRRESRP YYFNRFTNQSLWEMPVLGQHDVLSDPLGLNATPLPQDSSLVETPPVENKSRKRQLSEEQP SGNGVKKPKIEIPVTPTSQSVPSSPSIPGTPTLKIWGSSTEDKQAALLRPTEVYWDLDIQ TNAVIKHRGPSEVLPPHPDVELLRSQLILKLRQHYRELCQQREGIEPPRESFNRWMLERK VVDKGCDPLLPSNCEPVVSPSMFREIMNDIPIRLSRIKFREEAKRLLFKYAEAARRLIES RSASPDSRKVVKWNVEDTFSWLRKEHSASKEDYMDRLEHLRRQCGPHVSAAAKDSVEGIC SKIYHISLEYVKRIREKHLAVLKENNIPEEVEASELEPRLVYCYPVRLAVSAPPMPSVEM HVENSVVCIRYKGEMVKVSRSYFSKLWLLYRYSCVDDSAFERFLPRVWCLLRRYQMMFGV GLYEGTGLQGSLPVHVFETLHRLFGVSFECFASPLNCYFRQYCSAFPDTDGYFGSRGPCL DFTPLSGSFEANPPFCEELMDAMVSHFEKLLESSAEPLSFIVFIPEWREPPTPALTRMEQ SRFKRHQLVLPAFEHEYRSGSQHICKKEEMHYKAVHNTAVLFLQNGPGFAKWGPTPERLQ ELTAAYKQSGRSHGSSSSSSSSSSSSEAKDRDSGREQGPSREPHPT >ENSMUSP00000031167.5 pep:known chromosome:GRCm38:5:77310147:77349324:1 gene:ENSMUSG00000029250.5 transcript:ENSMUST00000031167.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2b description:polymerase (RNA) II (DNA directed) polypeptide B [Source:MGI Symbol;Acc:MGI:2388280] MYDADEDMQYDEDDDEITPDLWQEACWIVISSYFDEKGLVRQQLDSFDEFIQMSVQRIVE DAPPIDLQAEAQHASGEVEEPPRYLLKFEQIYLSKPTHWERDGAPSPMMPNEARLRNLTY SAPLYVDITKTVIKEGEEQLQTQHQKTFIGKIPIMLRSTYCLLNGLTDRDLCELNECPLD PGGYFIINGSEKVLIAQEKMATNTVYVFAKKDSKYAYTGECRSCLENSSRPTSTIWVSML ARGGQGAKKSAIGQRIVATLPYIKQEVPIIIVFRALGFVSDRDILEHIIYDFEDPEMMEM VKPSLDEAFVIQEQNVALNFIGSRGAKPGVTKEKRIKYAKEVLQKEMLPHVGVSDFCETK KAYFLGYMVHRLLLAALGRRELDDRDHYGNKRLDLAGPLLAFLFRGMFKNLLKEVRIYAQ KFIDRGKDFNLELAIKTRIISDGLKYSLATGNWGDQKKAHQARAGVSQVLNRLTFASTLS HLRRLNSPIGRDGKLAKPRQLHNTLWGMVCPAETPEGHAVGLVKNLALMAYISVGSQPSP ILEFLEEWSMENLEEISPAAIADATKIFVNGCWVGIHKDPEQLMNTLRKLRRQMDIIVSE VSMIRDIREREIRIYTDAGRICRPLLIVEKQKLLLKKRHIDQLKEREYNNYSWQDLVASG VVEYIDTLEEETVMLAMTPDDLQEKEVAYCSTYTHCEIHPSMILGVCASIIPFPDHNQSP RNTYQSAMGKQAMGVYITNFHVRMDTLAHVLYYPQKPLVTTRSMEYLRFRELPAGINSIV AIASYTGYNQEDSVIMNRSAVDRGFFRSVFYRSYKEQESKKGFDQEEVFEKPTRETCQGM RHAIYEKLDDDGLIAPGVRVSGDDVIIGKTVTLPENEDELESTNRRYTKRDCSTFLRTSE TGIVDQVMVTLNQEGYKFCKIRVRSVRIPQIGDKFASRHGQKGTCGIQYRQEDMPFTCEG ITPDIIINPHAIPSRMTIGHLIECLQGKVSANKGEIGDATPFNDAVNVQKISNLLSDYGY HLRGNEVLYNGFTGRKITSQIFIGPTYYQRLKHMVDDKIHSRARGPIQILNRQPMEGRSR DGGLRFGEMERDCQIAHGAAQFLRERLFEASDPYQVHVCNLCGIMAIANTRTHTYECRGC RNKTQISLVRMPYACKLLFQELMSMSIAPRMMSV >ENSMUSP00000119721.2 pep:known chromosome:GRCm38:7:76229887:77124698:1 gene:ENSMUSG00000025754.11 transcript:ENSMUST00000156166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl1 description:ATP/GTP binding protein-like 1 [Source:MGI Symbol;Acc:MGI:3646469] MAEQEGSGLQMLLHTLQNSSDKASTLSILQVLGDLLSVGTDRRIYYMISKGGSEALLQTL VDTARSSSPDWDILLPLFRLLAKVGLRDKKFGQKALELEALDVTLILARKNLSHSQNLLH CLWVLRVFASSVTTGAMLGINGAMELLFKVLSPYTRKHTRTIRAATEVLAALLKSKSNCR RAVNRGYVNSLLRLHQDWHSRDVTNTYVTIRHGLLLCLRHIVALRSGREAFLAAQGMETL FSIAQTCLENKNMELVISAVIQILRQCYPASRLPLVTASSAYTFPAPGSTSSELPLNLTE EDFDDDGDEEMDKDSDVEAVKEDDDLETDLSKLSSKPGLDLPEEELAQYDAMCPELSCSF EELEPKCGDDLNNKDTLHANHHHIPSVASLRQHCFNREHSSWRQEREDTVHSSILHMVKT GKSGVPSSSKQRSATNVNQSLQQNGLEIDSSGHDTSDIQAPLEQAAWDMEAISCPRITAS FPNSTKPEESIGAAEKLLHTHAKHIPFHDPHLYIANAMRTRSAVGFKTMAFPDLWGHCPP PAAQPMLDRKLGVQRIKILEDIRRLLHPSDVINKVVFSLDEPRPLQGSISNCLMFHSKFE SGNLRKAIQVREFEYDLLVNADVNSSQHQQWFYFKVSGMRAAVPYHFNIINCEKPNSQFN YGMQPTLYSVKEALLGRPAWIRTGSDICYYKNHYRQNAATMDGALGKRYYTLTFAVTFPH NEDACYLAYHYPYTYSTLMTHLEILERSIDHREIYFRHDVLCQTLGGNPCPLVTITAFPE SNSTEHLEQFRCRPYQVITARVHPGESNASWVMKGTLEFLVSSDPVAKLLRENFVFKIIP MLNPDGVINGNHRCSLRGEDLNRQWLSPQAHLQPTIYHAKGLLHYLSSTGRGPVVFCDFH GHSQKKNVFLYGCSMKETLWQAGCTVGESALLEDVSYRTLPKILDKLAPAFTMNSCSFLV EKSRASTARVVVWREMGVSRSYTMESSYCGCNQGPYQGLQFGTGELEEMGAMYCLGLLIL ELKSVNCSHKLLARASSLLNADVLEHYLQRCSSSSSNSSNRTSEVDDEPYCMEEIDYSAD SSSDAEQNFTELDRQIQECALNKDEEEEEKEEGTGWRRRSVT >ENSMUSP00000026854.3 pep:known chromosome:GRCm38:7:76411062:76700123:1 gene:ENSMUSG00000025754.11 transcript:ENSMUST00000026854.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl1 description:ATP/GTP binding protein-like 1 [Source:MGI Symbol;Acc:MGI:3646469] MELVISAVIQILRQCYPASRLPLVTASSAYTFPAPGSTSSELPLNLTEEDFDDDGDEEMD KDSDVEAVKEDDDLETDLSKLSSKPGLDLPEEELAQYDAMCPELSCSFEELEPKCGDDLN NKDTLHANHHHIPSVASLRQHCFNREHSSWRQEREDTVHSSILHMVKTGKSGVPSSSKQR SATNVNQSLQQNGLEIDSSGHDTSDIQAPLEQAAWDMEAISCPRITASFPNSTKPEESIG AAEKLLHTHAKHIPFHDPHLYIANAMRTRSAVGFKTMAFPDLWGHCPPPAAQPMLDRKLG VQRIKILEDIRRLLHPSDVINKVVFSLDEPRPLQGSISNCLMFHSKFESGNLRKAIQVRE FEYDLLVNADVNSSQHQQWFYFKVSGMRAAVPYHFNIINCEKPNSQFNYGMQPTLYSVKE ALLGRPAWIRTGSDICYYKNHYRQNAATMDGALGKRYYTLTFAVTFPHNEDACYLAYHYP YTYSTLMTHLEILERSIDHREIYFRHDVLCQTLGGNPCPLVTITAFPESNSTEHLEQFRC RPYQVITARVHPGESNASWVMKGTLEFLVSSDPVAKLLRENFVFKIIPMLNPDGVINGNH RCSLRGEDLNRQWLSPQAHLQPTIYHAKGLLHYLSSTGRGPVVSHFLLFIL >ENSMUSP00000103066.2 pep:known chromosome:GRCm38:7:76411062:76766608:1 gene:ENSMUSG00000025754.11 transcript:ENSMUST00000107442.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agbl1 description:ATP/GTP binding protein-like 1 [Source:MGI Symbol;Acc:MGI:3646469] MELVISAVIQILRQCYPASRLPLVTASSAYTFPAPGSTSSELPLNLTEEDFDDDGDEEMD KDSDVEAVKEDDDLETDLSKLSSKPGLDLPEEELAQYDAMCPELSCSFEELEPKCGDDLN NKDTLHANHHHIPSVASLRQHCFNREHSSWRQEREDTVHSSILHMVKTGKSGVPSSSKQR SATNVNQSLQQNGLEIDSSGHDTSDIQAPLEQAAWDMEAISCPRITASFPNSTKPEESIG AAEKLLHTHAKHIPFHDPHLYIANAMRTRSAVGFKTMAFPDLWGHCPPPAAQPMLDRKLG VQRIKILEDIRRLLHPSDVINKVVFSLDEPRPLQGSISNCLMFHSKFESGNLRKAIQVRE FEYDLLVNADVNSSQHQQWFYFKVSGMRAAVPYHFNIINCEKPNSQFNYGMQPTLYSVKE ALLGRPAWIRTGSDICYYKNHYRQNAATMDGALGKRYYTLTFAVTFPHNEDACYLAYHYP YTYSTLMTHLEILERSIDHREIYFRHDVLCQTLGGNPCPLVTITAFPESNSTEHLEQFRC RPYQVITARVHPGESNASWVMKGTLEFLVSSDPVAKLLRENFVFKIIPMLNPDGVINGNH RCSLRGEDLNRQWLSPQAHLQPTIYHAKGLLHYLSSTGRGPVVFCDFHGHSQKKNVFLYG CSMKETLWQAGCTVGESALLEDVSYRTLPKILDKLAPAFTMNSCSFLVEKSRASTARVVV WREMGVSRSYTMESSYCGCNQGPYQVCEVYTARSLCSAADHKNRGK >ENSMUSP00000045349.7 pep:known chromosome:GRCm38:10:82985498:83195900:1 gene:ENSMUSG00000034612.7 transcript:ENSMUST00000040110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst11 description:carbohydrate sulfotransferase 11 [Source:MGI Symbol;Acc:MGI:1927166] MKPALLEVMRMNRICRMVLATCFGSFILVIFYFQSMLHPVMRRNPFGVDICCRKGSRSPL QELYNPIQLELSNTAILHQMRRDQVTDTCRANSAMSRKRRVLTPNDLKHLVVDEDHELIY CYVPKVACTNWKRLMMVLSGRGKYSDPMEIPANEAHVSANLKTLNQYSIPEINHRLKSYM KFLFVREPFERLVSAYRNKFTQKYNTSFHKRYGTKIIRRQRKNATQEALRKGDDVKFEEF VAYLIDPHTQREEPFNEHWQTVYSLCHPCHIHYDLVGKYETLEEDSNYVLQLAGVSGYLK FPTYAKSTRTTDEMTTEFFQNISAEHQTQLYEVYKLDFLMFNYSVPNYLKLD >ENSMUSP00000047778.5 pep:known chromosome:GRCm38:7:35547185:35556304:-1 gene:ENSMUSG00000034875.5 transcript:ENSMUST00000040962.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt19 description:nudix (nucleoside diphosphate linked moiety X)-type motif 19 [Source:MGI Symbol;Acc:MGI:94203] MSSSSSWRRAATVMLAAGWTHSSPAGFRLLLLQRAQNQRFLPGAHVFPGGVLDAADSSPD WVRLFAPRHTPPRFGLGPEPPRQPPFPGLSHGDADPAALPDDVALRICAIREAFEEAGVL LLRPRDAAPASQEPSQALSPPAGLAEWRSRVRSDPRCFLQLCAHLDCTPDIWALHDWGGW LTPYGRTIRRFDTTFFLCCLRDIPRVEPDVAEVVGYQWLSPSEATECFLSKEIWLAPPQF YEMRRLENFASLSALYRFCSDRPSEVPEKWLPIILLTSDGTIHLLPGDELYVKDSDFLEK NMSTDKKTEEIVKEGKVLNRVVIHSPYVYEIYMTLPSENKHVYPRNYIVNKRCTAHL >ENSMUSP00000099561.3 pep:known chromosome:GRCm38:4:139347435:139352576:-1 gene:ENSMUSG00000028741.13 transcript:ENSMUST00000102503.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrto4 description:mRNA turnover 4, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1917152] MPKSKRDKKVSLTKTAKKGLELKQNLIEELRKCVDTYKYLFIFSVANMRNSKLKDIRNAW KHSRMFFGKNKVMMVALGRSPSDEYKDNLHQVSKKLRGEVGLLFTNRTKEEVNEWFTKYT EMDFARAGNKATLTVSLDPGPLKQFPHSMEPQLRQLGLPTALKKGVVTLLSDYEVCKEGD VLTPEQARILKLFGYEMAEFKVIIKYMWDAQSGRFQQMDDDLPESAPESEGESEEEDDS >ENSMUSP00000030513.6 pep:known chromosome:GRCm38:4:139347445:139352298:-1 gene:ENSMUSG00000028741.13 transcript:ENSMUST00000030513.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrto4 description:mRNA turnover 4, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1917152] MPKSKRDKKVSLTKTAKKGLELKQNLIEELRKCVDTYKYLFIFSVANMRNSKLKDIRNAW KHSRMFFGKNKVMMVALGRSPSDEYKDNLHQVSKKLRGEVGLLFTNRTKEEVNEWFTKYT EMDFARAGNKATLTVSLDPGPLKQFPHSMEPQLRQLGLPTALKKGVVTLLSDYEVCKEGD VLTPEQARILLFGYEMAEFKVIIKYMWDAQSGRFQQMDDDLPESAPESEGESEEEDDS >ENSMUSP00000121453.2 pep:known chromosome:GRCm38:4:139348698:139352311:-1 gene:ENSMUSG00000028741.13 transcript:ENSMUST00000155257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrto4 description:mRNA turnover 4, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1917152] MRNSKLKDIRNAWKHSRMFFGKNKVMMVALGRSPSDEYKDNLHQVSKKLRGEVGLLFTNR T >ENSMUSP00000123686.1 pep:known chromosome:GRCm38:16:33684466:33771576:1 gene:ENSMUSG00000075254.11 transcript:ENSMUST00000152782.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heg1 description:heart development protein with EGF-like domains 1 [Source:MGI Symbol;Acc:MGI:1924696] MATPRAPRWPPPSLLLLLLLPLLLLPPAAPGARGSLPSPAHRTLLPVAGPLSPPGAGHTA PGPGVATRRGRSGRVPRGVSAALESLPESPSSSRSQRRITPSQTESGTSLGFLERTRELP EEGTVHTQVAGTWVSRQASHPALEPGEPTVLSQKRNSSGQEHSGPPFSWSQSHPPPSDHP SSPEDGAMLSDSSDLADSTSGARTPHTSAMSTRSGERTLRSLDLSSAATRPARPTPRGNV TEHAGLLSGAPTLGVTGLSYTREHGSDAGQRTSSDHTDHGYVPSTFTKGERTLLSITDNT SYSEASESSTSSVKISDSPSQAQPKQSSMSSDDDEPAQSSTESPVLHTSNLPTYTSTVNM PNTLVLDTGTKPVEDPSDSRVPSTQPSPSQPQPFSSALPSTRSPGSTSETTTSSPSPSPI SLLVSTLAPYSVSQTTFPHPSSTLVPHRPREPRVTSVQMSTAISAIALIPSNQTANPKNQ STPQQEKPITEAKSPSLVSPPTDSTKAVTVSLPPGAPWSPALTGFSTGPALPATSTSLAQ MSPALTSAMPQTTHSPVTSPSTLSHVEALTSGAVVVHTTPKKPHLPTNPEILVPHISTEG AITTEGNREHTDPTTQPIPLTTSTTSAGERTTELGRAEESSPSHFLTPSSPQTTDVSTAE MLTSRYITFAAQSTSQSPTALPPLTPVNSCTVNPCLHDGKCIVDLTGRGYRCVCPPAWQG ENCSVDVNECLSSPCPPLATCNNTQGSFTCRCPVGYQLEKGICNLVRTFVTEFKLKKTFL NTTAENHSNTQELENEIAQTLNVCFSTLPGYIRTTAHVSREPSTVFISLKTTFALASNVT LFDLADRIQKYVNSCRSSAEVCQLLGSQRRVFRAGSLCKRKSPECDKETSICTDLDGVAL CQCKSGYFQFNKMDHSCRACEDGYRLENETCMSCPFGLGGLNCGNPYQLITVVIAAAGGG LLLILGVALIVTCCRKSKNDISKLIFKSGDFQMSPYTDVPKNPRSQEWGREAIEMHENGS TKNLLQMTDVYYSPTNVRNPELERNGLYPAYTGLPGSRHSCIFPGQYNPSFISDESRRRD YF >ENSMUSP00000119790.1 pep:known chromosome:GRCm38:16:33684545:33769443:1 gene:ENSMUSG00000075254.11 transcript:ENSMUST00000126532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heg1 description:heart development protein with EGF-like domains 1 [Source:MGI Symbol;Acc:MGI:1924696] MATPRAPRWPPPSLLLLLLLPLLLLPPAAPGARGSLPSPAHRTLLPVAGPLSPPGAGHTA PGPGVATRRGRSGRVPRGVSAAAARNRWLESNNPEPHIGCSPSYQSQEDHSGSRKGVTAQ NARMSHSSSEGPENPPLLPETSAEWSNMASSHRADIAGLRRGPSPEITTAPTAHSSLLSL ESLPESPSSSRSQRRITPSQTESGTSLGFLERTRELPEEGTVHTQVAGTWVSRQASHPAL EPGEPTVLSQKRNSSGQEHSGPPFSWSQSHPPPSDHPSSSGSIKNGNNFTALQNPSVTQT KSMLITDTYTNGVPRTLRSLPVGVDPADETEGFPEHSRLGITSMSVRSSPSVKDSRTNSG LTEHLGDGEGTELSTENGYGLPSIHWQSDAPSFGGRQLASSSEAGDGRAMPLTEAVFRSD PSIGGGESTGRWILTKKKTSTDAAESSALHPEAGGAGGLTQSSHAAQQPRGGGEDSGMGG RSYAESSSSSSSTSSSESLDSSAPLREHSLTGLSYTREHGSDAGQRTSSDHTDHGYVPST FTKGERTLLSITDNTSYSEASESSTSSVKISDSPSQAQPKQSSMSSDDDEPAQSSTESPV LHTSNLPTYTSTVNMPNTLVLDTGTKPVEDPSDSRVPSTQPSPSQPQPFSSALPSTRSPG STSETTTSSPSPSPISLLVSTLAPYSVSQTTFPHPSSTLVPHRPREPRVTSVQMSTAISA IALIPSNQTANPKNQSTPQQEKPITEAKSPSLVSPPTDSTKAVTVSLPPGAPWSPALTGF STGPALPATSTSLAQMSPALTSAMPQTTHSPVTSPSTLSHVEALTSGAVVVHTTPKKPHL PTNPEILVPHISTEGAITTEGNREHTDPTTQPIPLTTSTTSAGERTTELGRAEESSPSHF LTPSSPQTTDVSTAEMLTSRYITFAAQSTSQSPTALPPLTPVNSCTVNPCLHDGKCIVDL TGRGYRCVCPPAWQGENCSVDVNECLSSPCPPLATCNNTQGSFTCRCPVGYQLEKGICNL VRTFVTEFKLKKTFLNTTAENHSNTQELENEIAQTLNVCFSTLPGYIRTTAHVSREPSTV FISLKTTFALASNVTLFDLADRIQKYVNSCRSSAEVCQLLGSQRRVFRAGSLCKRKSPEC DKETSICTDLDGVALCQCKSGYFQFNKMDHSCRACEDGYRLENETCMSCPFGLGGLNCGN PYQLITVVIAAAGGGLLLILGVALIVTCCRKSKNDISKLIFKSGDFQMSPYTDVPKNPRS QEWGREAIEMHENGSTKNLLQMTDVYYSPTNVRNPELERNGLYPAYTGLPGSRHSCIFPG QYNPSFISDESRRRDYF >ENSMUSP00000026538.6 pep:known chromosome:GRCm38:7:140105710:140116476:-1 gene:ENSMUSG00000025465.12 transcript:ENSMUST00000026538.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echs1 description:enoyl Coenzyme A hydratase, short chain, 1, mitochondrial [Source:MGI Symbol;Acc:MGI:2136460] MAALRALLPRACSSLLSSVRCPELRRFASGANFQYIITEKKGKNSSVGLIQLNRPKALNA LCNGLIEELNQALETFEQDPAVGAIVLTGGDKAFAAGADIKEMQNRTFQDCYSSKFLSHW DHITRVKKPVIAAVNGYALGGGCELAMMCDIIYAGEKAQFGQPEILLGTIPGAGGTQRLT RAVGKSLAMEMVLTGDRISAQDAKQAGLVSKIFPVEKLVEEAIQCAEKIASNSKIVVAMA KESVNAAFEMTLTEGNKLEKRLFYSTFATDDRREGMTAFVEKRKANFKDH >ENSMUSP00000121174.1 pep:known chromosome:GRCm38:7:140106084:140113007:-1 gene:ENSMUSG00000025465.12 transcript:ENSMUST00000135842.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Echs1 description:enoyl Coenzyme A hydratase, short chain, 1, mitochondrial [Source:MGI Symbol;Acc:MGI:2136460] XLETFEQDPAVGAIVLTGGDKAFAAGADIKEMQNRTFQDCYSSKFLSHWDHITRVKKPVI AAVNGYALGGGCELAMMCDIIYAGEKAQFGQPEILLGTIPAQDAKQAGLVSKIFPVEKLV EEAIQCAEKIASNSKIVVAMAKESVNAAFEMTLTEGNKLEKRLFYSTFATDDRREGMTAF VEKRKANFKDH >ENSMUSP00000135798.1 pep:known chromosome:GRCm38:6:125320659:125344839:1 gene:ENSMUSG00000030340.16 transcript:ENSMUST00000176655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnn1a description:sodium channel, nonvoltage-gated 1 alpha [Source:MGI Symbol;Acc:MGI:101782] MKTAFWAVLWLCTFGMMYWQFALLFEEYFSYPVSLNINLNSDKLVFPAVTVCTLNPYRYT EIKEDLEELDRITEQTLFDLYKYNSSYTRQAGGRRRSTRDLRGALPHPLQRLRTPPPPNP ARSARSASSSVRDNNPQVDRKDWKIGFQLCNQNKSDCFYQTYSSGVDAVREWYRFHYINI LSRLPDTSPALEEEALGSFIFTCRFNQAPCNQANYSQFHHPMYGNCYTFNNKNNSNLWMS SMPGVNNGLSLTLRTEQNDFIPLLSTVTGARVMVHGQDEPAFMDDGGFNVRPGVETSISM RKEALDSLGGNYGDCTENGSDVPVKNLYPSKYTQQVCIHSCFQENMIKKCGCAYIFYPKP KGVEFCDYLKQSSWGYCYYKLQAAFSLDSLGCFSKCRKPCSVTNYKLSAGYSRWPSVKSQ DWIFEMLSLQNNYTINNKRNGVAKLNIFFKELNYKTNSESPSVTMVSLLSNLGSQWSLWF GSSVLSVVEMAELIFDLLVITLIMLLHRFRSRYWSPGRGARGAREVASTPASSFPSRFCP HPTSPPPSLPQQGTTPPLALTAPPPAYATLGPSASPLDSAVPGSSACAPAMAL >ENSMUSP00000134940.1 pep:known chromosome:GRCm38:6:125320674:125344933:1 gene:ENSMUSG00000030340.16 transcript:ENSMUST00000176110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnn1a description:sodium channel, nonvoltage-gated 1 alpha [Source:MGI Symbol;Acc:MGI:101782] MKGNNFKEQDLCPPLPMQGLGKGDKREEQALGPEPSEPRQPTEEEEALIEFHRSYRELFQ FFCNNTTIHGAIRLVCSKHNRMKTAFWAVLWLCTFGMMYWQFALLFEEYFSYPVSLNINL NSDKLVFPAVTVCTLNPYRYTEIKEDLEELDRITEQTLFDLYKYNSSYTRQAGGRRRSTR DLRGALPHPLQRLRTPPPPNPARSARSASSSVRDNNPQVDRKDWKIGFQLCNQNKSDCFY QTYSSGVDAVREWYRFHYINILSRLPDTSPALEEEALGSFIFTCRFNQAPCNQANYSQFH HPMYGNCYTFNNKNNSNLWMSSMPGVNNGLSLTLRTEQNDFIPLLSTVTGARVMVHGQDE PAFMDDGGFNVRPGVETSISMRKEALDSLGGNYGDCTENGSDVPVKNLYPSKYTQQVCIH SCFQENMIKKCGCAYIFYPKPKGVEFCDYLKQSSWGYCYYKLQAAFSLDSLGCFSKCRKP CSVTNYKLSAGYSRWPSVKSQDWIFEMLSLQNNYTINNKRNGVAKLNIFFKELNYKTNSE SPSVTMVSLLSNLGSQWSLWFGSSVLSVVEMAELIFDLLVITLIMLLHRFRSRYWSPGRG ARGAREVASTPASSFPSRFCPHPTSPPPSLPQQGTTPPLALTAPPPAYATLGPSASPLDS AVPGSSACAPAMAL >ENSMUSP00000135467.1 pep:known chromosome:GRCm38:6:125321205:125330973:1 gene:ENSMUSG00000030340.16 transcript:ENSMUST00000176365.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnn1a description:sodium channel, nonvoltage-gated 1 alpha [Source:MGI Symbol;Acc:MGI:101782] MQGLGKGDKREEQALGPEPSEPRQPTEEEEALIEFHRSYRELFQFFCNNTTIHGAIRLVC SKHNRMKTAFWAVLWLCTFGMMYWQFALLFEEYFSYPVSLNINLNSDKLVFPAVTVCTLN PYRYTEIKEDLEELDRITEQTLF >ENSMUSP00000135551.1 pep:known chromosome:GRCm38:6:125321279:125344389:1 gene:ENSMUSG00000030340.16 transcript:ENSMUST00000175966.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scnn1a description:sodium channel, nonvoltage-gated 1 alpha [Source:MGI Symbol;Acc:MGI:101782] MKGNNFKEQDLCPPLPMQGLGKGDKREEQALGPEPSEPRQPTEEEEALIEFHRSYRELFQ FFCNNTTIHGAIRLVCSKHNRMKTAFWAVLWLCTFGMMYWQFALLFEEYFSYPVSLNINL NSDKLVFPAVTVCTLNPYRYTEIKEDLEELDRITEQTLFDLYKYNSSYTRQAGGRRRSTR DLRGALPHPLQRLRTPPPPNPARSARSASSSVRDNNPQVDRKDWKIGFQLELFSVPPPHV WELLHFQQQEQLQSLDVFHAWSQQWFVPDTAHRAE >ENSMUSP00000080164.7 pep:known chromosome:GRCm38:6:125321340:125344943:1 gene:ENSMUSG00000030340.16 transcript:ENSMUST00000081440.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnn1a description:sodium channel, nonvoltage-gated 1 alpha [Source:MGI Symbol;Acc:MGI:101782] MMLDHTRAPELNLDLDLDVSNSPKGSMKGNNFKEQDLCPPLPMQGLGKGDKREEQALGPE PSEPRQPTEEEEALIEFHRSYRELFQFFCNNTTIHGAIRLVCSKHNRMKTAFWAVLWLCT FGMMYWQFALLFEEYFSYPVSLNINLNSDKLVFPAVTVCTLNPYRYTEIKEDLEELDRIT EQTLFDLYKYNSSYTRQAGGRRRSTRDLRGALPHPLQRLRTPPPPNPARSARSASSSVRD NNPQVDRKDWKIGFQLCNQNKSDCFYQTYSSGVDAVREWYRFHYINILSRLPDTSPALEE EALGSFIFTCRFNQAPCNQANYSQFHHPMYGNCYTFNNKNNSNLWMSSMPGVNNGLSLTL RTEQNDFIPLLSTVTGARVMVHGQDEPAFMDDGGFNVRPGVETSISMRKEALDSLGGNYG DCTENGSDVPVKNLYPSKYTQQVCIHSCFQENMIKKCGCAYIFYPKPKGVEFCDYLKQSS WGYCYYKLQAAFSLDSLGCFSKCRKPCSVTNYKLSAGYSRWPSVKSQDWIFEMLSLQNNY TINNKRNGVAKLNIFFKELNYKTNSESPSVTMVSLLSNLGSQWSLWFGSSVLSVVEMAEL IFDLLVITLIMLLHRFRSRYWSPGRGARGAREVASTPASSFPSRFCPHPTSPPPSLPQQG TTPPLALTAPPPAYATLGPSASPLDSAVPGSSACAPAMAL >ENSMUSP00000135336.1 pep:known chromosome:GRCm38:6:125321411:125344079:1 gene:ENSMUSG00000030340.16 transcript:ENSMUST00000176442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnn1a description:sodium channel, nonvoltage-gated 1 alpha [Source:MGI Symbol;Acc:MGI:101782] MKTAFWAVLWLCTFGMMYWQFALLFEEYFSYPVSLNINLNSDKLVFPAVTVCTLNPYRYT EIKEDLEELDRITEQTLFDLYKYNSSYTRQAGGRRRSTRDLRGALPHPLQRLRTPPPPNP ARSARSASSSVRDNNPQVDRKDWKIGFQLCNQNKSDCFYQTYSSGVDAVREWYRFHYINI LSRLPDTSPALEEEALGSFIFTCRFNQAPCNQANYSQFHHPMYGNCYTFNNKNNSNLWMS SMPGVNNGLSLTLRTEQNDFIPLLSTVTGARVMVHGQDEPAFMDDGGFNVRPGVETSISM RKEALDSLGGNYGDCTENGSDVPVKNLYPSKYTQQVCIHSCFQENMIKKCGCAYIFYPKP KGVEFCDYLKQSSWGYCYYKLQAAFSLDSLGCFSKCRKPCSVTNYKLSAGYSRWPSVKSQ DWIFEMLSLQNNYTINNKRNGVAKLNIFFKELNYKTNSESPSVTMVSLLSNLGSQWSLWF GSSVLSVVEMAELIFDLLVITLIMLLHRFRSRYWSPGRGARGAREVASTPASSFPSRFCP HPTSPPPSLPQQGTTPPLALTAPPPAYATLGPSASPLDSAVPGSSACAPAM >ENSMUSP00000134929.1 pep:known chromosome:GRCm38:6:125321521:125344612:1 gene:ENSMUSG00000030340.16 transcript:ENSMUST00000177329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnn1a description:sodium channel, nonvoltage-gated 1 alpha [Source:MGI Symbol;Acc:MGI:101782] MKGNNFKEQDLCPPLPMQGLGKGDKREEQALGPEPSEPRQPTEEEEALIEFHRSYRELFQ FFCNNTTIHGAIRLVCSKHNRMKTAFWAVLWLCTFGMMYWQFALLFEEYFSYPVSLNINL NSDKLVFPAVTVCTLNPYRYTEIKEDLEELDRITEQTLFDLYKYNSSYTRQAGGRRRSTR DLRGALPHPLQRLRTPPPPNPARSARSASSSVRDNNPQVDRKDWKIGFQLCNQNKSDCFY QTYSSGVDAVREWYRFHYINILSRLPDTSPALEEEALGSFIFTCRFNQAPCNQANYSQFH HPMYGNCYTFNNKNNSNLWMSSMPGVNNGLSLTLRTEQNDFIPLLSTVTGARVMVHGQDE PAFMDDGGFNVRPGVETSISMRKEALDSLGGNYGDCTENGSDVPVKNLYPSKYTQQVCIH SCFQENMIKKCGCAYIFYPKPKGVEFCDYLKQSSWGYCYYKLQAAFSLDSLGCFSKCRKP CSVTNYKLSAGYSRWPSVKSQDWIFEMLSLQNNYTINNKRNGVAKLNIFFKELNYKTNSE SPSVTMVSLLSNLGSQWSLWFGSSVLSVVEMAELIFDLLVITLIMLLHRFRSRYWSPGRG ARGAREVASTPASSFPSRFCPHPTSPPPSLPQQGTTPPLALTAPPPAYATLGPSASPLDS AVPGSSACAPAMAL >ENSMUSP00000134591.1 pep:known chromosome:GRCm38:4:96444596:96507386:-1 gene:ENSMUSG00000082932.3 transcript:ENSMUST00000124729.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j8 description:cytochrome P450, family 2, subfamily j, polypeptide 8 [Source:MGI Symbol;Acc:MGI:2449817] MFATIGCLVSNICSEIHLWTLLLAALTLLLLVDYIKNRHPKNYPPGPWRLPFVGNLFQFD LDVSHLHLGIQPFVKKYGNLISLDFGTIPSVIISGEPLIKEALTCMGQNFLKRPILAARQ HIFKNNGIISSSGQTWKEQRRFTLMILKNFGLGKKSLEQRIQDEAHHLVEAIAEEKGRPF DPHFMINNAVSNIICSITIGERFEYEDNQFQELLKLADETLCLEASKVLMLYNVFPSIFK YLPGPHQKLFSNWEKLKLFFSHVMDSHRKDWNPSAPRDFIDAFLTEMAKYSDKTTTSFNE ENLICTTLDLFFAGTETTSTALRWALLYITVNPEVQEKVHSEIDRVIGQGRHPTIDDRDS MPYTNAVIHEVLRMGNIIPLNVPREVEADITLAGFHLPKGTMVLTNLTALHRDPKEWATP DVFNPEHFLENGQFKKRESFLPFSVGKRGCPGEQLARSELFTFFTALMQKFTFKPPINEK LSLNFKMGVALSPVSYCICAVPR >ENSMUSP00000139365.1 pep:known chromosome:GRCm38:9:71842688:72111847:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000183404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGMDERGGTTSW GTSGQPSPSYDSSRGFTDSPHYSDHLNDSRLGTHEGLSPTPFMNSNLIGKTSERGSFSLY SRDSGLSGCQSSLLRQDLGLGSPAQLSSSGKPGTPYYSFSATSSRRRPLHDSVALDPLQA KKVRKVPPGLPSSVYAPSPNSDDFNRESPSYPSPKPPTSMFASTFFMQDGTHSSSDLWSS SNGMSQPGFGGILGTSTSHMSQSSSYGSLHSHDRLSYPPHSVSPTDINTSLPPMSSFHRG STSSSPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPDHTSSSFPSNP STPVGSPSPLTGTSQWPRAGGQAPSSPSYENSLHSLKNRVEQQLHEHLQDAMSFLKDVCE QSRMEDRLDRLDDAIHVLRNHAVGPSTSLPTSHSDIHSLLGPSHNASIGNLNSNYGGSSL VTNSRSASMVGTHREDSVSLNGNHSVLSSTVAASNTELNHKTPENFRGGVQNQSGSVVPT EIKTENKEKDENLHEPPSSDDMKSDDESSQKDIKVSSRGRTSSTNEDEDLNPEQKIEREK ERRMANNARERLRVRDINEAFKELGRMCQLHLKSEKPQTKLLILHQAVAVILSLEQQVRE RNLNPKAACLKRREEEKVSAASAEPPNTLPGAHPGLSESTNPMGHL >ENSMUSP00000034755.6 pep:known chromosome:GRCm38:9:71844268:72111821:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000034755.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGMDERGGTTSW GTSGQPSPSYDSSRGFTDSPHYSDHLNDSRLGTHEGLSPTPFMNSNLIGKTSERGSFSLY SRDSGLSGCQSSLLRQDLGLGSPAQLSSSGKPGTPYYSFSATSSRRRPLHDSVALDPLQA KKVRKVPPGLPSSVYAPSPNSDDFNRESPSYPSPKPPTSMFASTFFMQDGTHSSSDLWSS SNGMSQPGFGGILGTSTSHMSQSSSYGSLHSHDRLSYPPHSVSPTDINTSLPPMSSFHRG STSSSPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPDHTSSSFPSNP STPVGSPSPLTGTSQWPRAGGQAPSSPSYENSLHSLQSRMEDRLDRLDDAIHVLRNHAVG PSTSLPTSHSDIHSLLGPSHNASIGNLNSNYGGSSLVTNSRSASMVGTHREDSVSLNGNH SVLSSTVAASNTELNHKTPENFRGGVQNQSGSVVPTEIKTENKEKDENLHEPPSSDDMKS DDESSQKDIKVSSRGRTSSTNEDEDLNPEQKIEREKERRMANNARERLRVRDINEAFKEL GRMCQLHLKSEKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSAASAE PPNTLPGAHPGLSESTNPMGHL >ENSMUSP00000138978.1 pep:known chromosome:GRCm38:9:71844273:71896396:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000183918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MYCAYPVPGMGNNSLMYYYNGKTVYAPSPNSDDFNRESPSYPSPKPPTSMFASTFFMQDG THSSSDLWSSSNGMSQPGFGGILGTSTSHMSQSSSYGSLHSHDRLSYPPHSVSPTDINTS LPPMSSFHRGSTSSSPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPD HTSSSFPSNPSTPVGSPSPLTGTSQWPRAGGQAPSSPSYENSLHSLKNRVEQQLHEHLQD AMSFLKDVCEQSRMEDRLDRLDDAIHVLRNHAVGPSTSLPTSHSDIHSLLGPSHNASIGN LNSNYGGSSLVTNSRSASMVGTHREDSVSLNGNHSVLSSTVAASNTELNHKTPENFRGGV QNQSGSVVPTEIKTENKEKDENLHEPPSSDDMKSDDESSQKDIKVSSRGRTSSTNEDEDL NPEQKIEREKERRMANNARERLRVRDINEAFKELGRMCQLHLKSEKPQTKLLILHQAVAV ILSLEQQVRERNLNPKAACLKRREEEKVSAASAEPPNTLPGAHPGLSESTNPMGHL >ENSMUSP00000139233.1 pep:known chromosome:GRCm38:9:71844277:72111807:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000184867.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGICTIPKF >ENSMUSP00000138925.1 pep:known chromosome:GRCm38:9:71844723:72111651:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000185117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGMDERGGTTSW GTSGQPSPSYDSSRGFTDSPHYSDHLNDSRLGTHEGLSPTPFMNSNLIGKTSERGSFSLY SRDSGLSGCQSSLLRQDLGLGSPAQLSSSGKPGTPYYSFSATSSRRRPLHDSVALDPLQA KKVRKVPPGLPSSVYAPSPNSDDFNRESPSYPSPKPPTSMFASTFFMQDGTHSSSDLWSS SNGMSQPGFGGILGTSTSHMSQSSSYGSLHSHDRLSYPPHSVSPTDINTSLPPMSSFHRG STSSSPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPDHTSSSFPSNP STPVGSPSPLTGTSQWPRAGGQAPSSPSYENSLHSLQSRMEDRLDRLDDAIHVLRNHAVG PSTSLPTSHSDIHSLLGPSHNASIGNLNSNYGGSSLVTNSRSASMVGTHREDSVSLNGNH SVLSSTVAASNTELNHKTPENFRGGVQNQSGSVVPTEIKTENKEKDENLHEPPSSDDMKS DDESSQKDIKVSSRGRTSSTNEDEDLNPEQKIEREKERRMANNARERLRVRDINEAFKEL GRMCQLHLKSEKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLKRREEEKVSAASAE PPNTLPGAHPGLSESTNPMGHL >ENSMUSP00000139364.1 pep:known chromosome:GRCm38:9:71845512:72111871:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000184783.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGMDERGGTTSW GTSGQPSPSYDSSRGFTDSPHYSDHLNDSRLGTHEGLSPTPFMNSNLIGKTSERGSFSLY SRDSGLSGCQSSLLRQDLGLGSPAQLSSSGKPGTPYYSFSATSSRRRPLHDSVALDPLQA KKVRKVPPGLPSSVYAPSPNSDDFNRESPSYPSPKPPTSMFASTFFMQDGTHSSSDLWSS SNGMSQPGFGGILGTSTSHMSQSSSYGSLHSHDRLSYPPHSVSPTDINTSLPPMSSFHRG STSSSPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPDHTSSSFPSNP STPVGSPSPLTGTSQWPRAGGQAPSSPSYENSLHSLKNRVEQQLHEHLQDAMSFLKDVCE QSRMEDRLDRLDDAIHVLRNHAVGPSTSLPTSHSDIHSLLGPSHNASIGNLNSNYGGSSL VTNSRSASMVGTHREDSVSLNGNHSVLSSTVAASNTELNHKTPENFRGGVQNQSGSVVPT EIKTENKEKDENLHEPPSSDDMKSDDESSQKDIKVSSRGRTSSTNEDEDLNPEQKIEREK ERRMANNARERLRVRDINEAFKELGRMCQLHLKSEKPQTKLLILHQAVAVILSLEQQVRE RNLNPKAACLKRREEEKVSAASAEPPNTLPGAHPGLSESTNPMGHL >ENSMUSP00000139334.1 pep:known chromosome:GRCm38:9:71849891:71896089:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000184448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MYCAYPVPGMGNNSLMYYYNGKTVYAPSPNSDDFNRESPSYPSPKPPTSMFASTFFMQDG THSSSDLWSSSNGMSQPGFGGILGTSTSHMSQSSSYGSLHSHDRLSYPPHSVSPTDINTS LPPMSSFHRGSTSSSPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPD HTSSSFPSNPSTPVGSPSPLTGTSQWPRAGGQAPSSPSYENSLHSLQSRMEDRLDRLDDA IHVLRNHAVGPSTSLPTSHSDIHSLLGPSHNASIGNLNSNYGGSSLVTNSRSASMVGTHR EDSVSLNGNHSVLSSTVAASNTELNHKTPENFRGGVQNQSGSVVPTEIKTENKEKDENLH EPPSSDDMKSDDESSQKDIKVSSRGRTSSTNEDEDLNPEQKIEREKERRMANNARERLRV RDINEAFKELGRMCQLHLKSEKPQTKLLILHQAVAVILSLEQQVRERNLNPKAACLK >ENSMUSP00000138832.1 pep:known chromosome:GRCm38:9:71849894:72111812:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000184523.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGTKQEMKQLNS KARQKGQRIKGFTDSPHYSDHLNDSRLGTHEGLSPTPFMNSNLIGKTSERGSFSLYSRDS GLSGCQSSLLRQDLGLGSPAQLSSSGKPGTPYYSFSATSSRRRPLHDSVALDPLQAKKVR KVPPGLPSSVYAPSPNSDDFNRESPSYPSPKPPTSMFASTFFMQDGTHSSSDLWSSSNGM SQPGFGGILGTSTSHMSQSSSYGSLHSHDRLSYPPHSVSPTDINTSLPPMSSFHRGSTSS SPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPDHTSSSFPSNPSTPV GSPSPLTGTSQWPRAGGQAPSSPSYENSLHSLKNRVEQQLHEHLQDAMSFLKDVCEQSRM EDRLDRLDDAIHVLRNHAVGPSTSLPTSHSDIHSLLGPSHNASIGNLNSNYGGSSLVTNS RSASMVGTHREDSVSLNGNHSVLSSTVAASNTELNHKTPENFRGGVQNQSGSVVPTEIKT ENKEKDENLHEPPSSDDMKSDDESSQKDIKVSSRGRTSSTNEDEDLNPEQKIEREKERRM ANNARERLRVRDINEAFKELGRMCQLHLKSEKPQTKLLILHQAVAVILSLEQQVRERNLN PKAACL >ENSMUSP00000139084.1 pep:known chromosome:GRCm38:9:71858587:72111202:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000183992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGMDERGGTTSW GTSGQPSPSYDSSRGFTDSPHYSDHLNDSRLGTHEGLSPTPFMNSNLIGKTSERGSFSLY SRDSGLSGCQSSLLRQDLGLGSPAQLSSSGKPGTPYYSFSATSSRRRPLHDSVALDPLQA KKVRKVPPGLPSSVYAPSPNSDDFNRESPSYPSPKPPTSMFASTFFMQDGTHSSSDLWSS SNGMSQPGFGGILGTSTSHMSQSSSYGSLHSHDRLSYPPHSVSPTDINTSLPPMSSFHRG STSSSPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPDHTSSSFPSNP STPVGSPSPLTGTSQWPRAGGQAPSSPSYENSLHSLQSRMEDRLDRLDDAIHVLRNHAVG PSTSLPTSHSDIHSLLGPSHNASIGNLNSNYGGSSLVTNSRSASMVGTHREDSVSLNGNH SVLSSTVAASNTELNHKTPENFRGGVQNQSGSVVPTEIKTENK >ENSMUSP00000139268.1 pep:known chromosome:GRCm38:9:71875989:71895985:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000184378.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] XPVPGMGNNSLMYYYNGKTSTSQVATG >ENSMUSP00000139284.1 pep:known chromosome:GRCm38:9:71875989:72109724:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000184072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] GKTRPTTLGSSQFSGSGKTSERGSFSLYSRDSGLSGCQSSLLRQDLGLGSPAQLSSSGKP GTPYYSFSATSSRRRPLHDSVALDPLQAKKVRKVPPGLPSSVYAPSPNSDDFNRESPSYP SPKPPTSMFASTFFMQDGTHSSSDLWSSSNGMSQPGFGGILGTSTSHMSQSSSYGSLHSH DRLSYPPHSVSPTDINTSLPPMSSFHRGSTSSSPYVAASHTPPINGSDSILGTRGNAAGS SQTGDALGKALASIYSPDHTSSSFPSNPSTPVGSPSPLT >ENSMUSP00000139008.1 pep:known chromosome:GRCm38:9:71882732:72000476:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000184416.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] SRLGTHEGLSPTPFMNSNLIV >ENSMUSP00000139139.1 pep:known chromosome:GRCm38:9:71883122:72000474:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000183647.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] XRLGTHEGLSPTPFMNSNLIGKTSERGSFSLYSRDSGLSGCQKKMQETSLPSSKTKA >ENSMUSP00000138939.1 pep:known chromosome:GRCm38:9:71883743:72111772:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000183492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGMDERGGTTSW GTSGQPSPSYDSSRVYAPSPNSDDFNRESPSYPSPKPPTSMFASTFFMQDGTHSSSDLWS SSNGMSQ >ENSMUSP00000139248.1 pep:known chromosome:GRCm38:9:71883764:72111600:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000184107.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGMDERGGTTSW GTSGQPSPSYDSSRVQNRR >ENSMUSP00000138807.1 pep:known chromosome:GRCm38:9:71884437:71922710:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000184029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] XYSFSATSSRRRPLHDSVALDPLQAKKVRKVPPGLPSSVYAPSPNSDDFNRESPSYPSPK PPTSMFASTFFMQGKHYHTVVRASQSCQCASYSVRKQEYLCTNSGSAF >ENSMUSP00000138952.1 pep:known chromosome:GRCm38:9:71885215:72111792:-1 gene:ENSMUSG00000032228.16 transcript:ENSMUST00000183594.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcf12 description:transcription factor 12 [Source:MGI Symbol;Acc:MGI:101877] MNPQQQRMAAIGTDKELSDLLDFSAMFSPPVNSGKTRPTTLGSSQFSGSGFYRQPSLQ >ENSMUSP00000079163.7 pep:known chromosome:GRCm38:14:18267823:18271067:-1 gene:ENSMUSG00000012405.15 transcript:ENSMUST00000080281.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl15 description:ribosomal protein L15 [Source:MGI Symbol;Acc:MGI:1913730] MGAYKYIQELWRKKQSDVMRFLLRVRCWQYRQLSALHRAPRPTRPDKARRLGYKAKQGYV IYRIRVRRGGRKRPVPKGATYGKPVHHGVNQLKFARSLQSVAEERAGRHCGALRVLNSYW VGEDSTYKFFEVILIDPFHKAIRRNPDTQWITKPVHKHREMRGLTSAGRKSRGLGKGHKF HHTIGGSRRAAWRRRNTLQLHRYR >ENSMUSP00000098362.2 pep:known chromosome:GRCm38:14:18268960:18270970:-1 gene:ENSMUSG00000012405.15 transcript:ENSMUST00000100799.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl15 description:ribosomal protein L15 [Source:MGI Symbol;Acc:MGI:1913730] MGAYKYIQELWRKKQSDVMRFLLRVRCWQYRQLSALHRAPRPTRPDKARRLGYKAKQGYV IYRIRVRRGGRKRPVPKGATYGKPVHHGVNQLKFARSLQSVAEERAGRHCGALRVLNSYW VGEDSTYKFFEVILIDPFHKAIRRNPDTQWITKPVHKHREMRGLTSAGRKSRGLGKGHKF HHTIGGSRRAAWRRRNTLQLHRYR >ENSMUSP00000119852.1 pep:known chromosome:GRCm38:14:18269304:18271391:-1 gene:ENSMUSG00000012405.15 transcript:ENSMUST00000147121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl15 description:ribosomal protein L15 [Source:MGI Symbol;Acc:MGI:1913730] MGAYKYIQELWRKKQSDVMRFLLRVRCWQYRQLSALHRAPRPTRPDKARRLGYKAKQGYV IYRIRVRRGGRKRPVPKGATYGKPVHHGVNQLKFARSLQSVAEERAG >ENSMUSP00000078388.4 pep:known chromosome:GRCm38:14:18268946:18271029:-1 gene:ENSMUSG00000012405.15 transcript:ENSMUST00000079419.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl15 description:ribosomal protein L15 [Source:MGI Symbol;Acc:MGI:1913730] MGAYKYIQELWRKKQSDVMRFLLRVRCWQYRQLSALHRAPRPTRPDKARRLGYKAKQGYV IYRIRVRRGGRKRPVPKGATYGKPVHHGVNQLKFARSLQSVAEERAGRHCGALRVLNSYW VGEDSTYKFFEVILIDPFHKAIRRNPDTQWITKPVHKHREMRGLTSAGRKSRGLGKGHKF HHTIGGSRRAAWRRRNTLQLHRYR >ENSMUSP00000108217.1 pep:known chromosome:GRCm38:14:18268946:18270626:-1 gene:ENSMUSG00000012405.15 transcript:ENSMUST00000112598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl15 description:ribosomal protein L15 [Source:MGI Symbol;Acc:MGI:1913730] MGAYKYIQELWRKKQSDVMRFLLRVRCWQYRQLSALHRAPRPTRPDKARRLGYKAKQGYV IYRIRVRRGGRKRPVPKGATYGKPVHHGVNQLKFARSLQSVAEERAGRHCGALRVLNSYW VGEDSTYKFFEVILIDPFHKAIRRNPDTQWITKPVHKHREMRGLTSAGRKSRGLGKGHKF HHTIGGSRRAAWRRRNTLQLHRYR >ENSMUSP00000133824.2 pep:known chromosome:GRCm38:17:38145393:38148874:1 gene:ENSMUSG00000063240.4 transcript:ENSMUST00000173610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr133 description:olfactory receptor 133 [Source:MGI Symbol;Acc:MGI:2177516] MILINKSHPEEFILLGFADRPWLELPLFIILLVTYPTAMIGNIAIILVSILDPCLHSPMY FFLTNLSFLDMCYTTSIVPQMLINLWGSTKTISYM >ENSMUSP00000109266.1 pep:known chromosome:GRCm38:17:38148501:38149590:1 gene:ENSMUSG00000063240.4 transcript:ENSMUST00000113636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr133 description:olfactory receptor 133 [Source:MGI Symbol;Acc:MGI:2177516] MILINKSHPEEFILLGFADRPWLELPLFIILLVTYPTAMIGNIAIILVSILDPCLHSPMY FFLTNLSFLDMCYTTSIVPQMLINLWGSTKTISYMRCAVQLYFFHTMGGTECVLLALMSF DRYVAICKPLHYTLIMNQRNCVLLVSTVWLTGIFYAVSEATVTLQLPLCGHNKMDHLVCE IPILIKTACGEKETNELALSVVCIFLLAIPLCLILASYASIGHAVFKIKSSEGRKKAFGT CSSHLIVVLLFYGPAISMYLQPPSSITKDQPKFMALFYGVVTPTLNPFIYTLRNKDVKGA LGKLFRNIFISK >ENSMUSP00000120846.1 pep:known chromosome:GRCm38:11:118392751:118398151:-1 gene:ENSMUSG00000033880.11 transcript:ENSMUST00000144529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals3bp description:lectin, galactoside-binding, soluble, 3 binding protein [Source:MGI Symbol;Acc:MGI:99554] XEGRRRGLLQRYQSFQTPQHPSFLFKDKQISWSATYLPTMQSCWNYGFSCTSNELPVLGL TTSSYSNPTIGYENRVLILCGGYSVVDVTSFEGSKAPIPTALDTNSSKTPSLFPCASGAF SSFRVVIRPFYLTNSTDMV >ENSMUSP00000035579.3 pep:known chromosome:GRCm38:11:118392751:118402092:-1 gene:ENSMUSG00000033880.11 transcript:ENSMUST00000043722.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals3bp description:lectin, galactoside-binding, soluble, 3 binding protein [Source:MGI Symbol;Acc:MGI:99554] MALLWLLSVFLLVPGTQGTEDGDMRLVNGASANEGRVEIFYRGRWGTVCDNLWNLLDAHV VCRALGYENATQALGRAAFGPGKGPIMLDEVECTGTESSLASCRSLGWMVSRCGHEKDAG VVCSNDTTGLHILDLSGELSDALGQIFDSQQGCDLFIQVTGQGYEDLSLCAHTLILRTNP EAQALWQVVGSSVIMRVDAECMPVVRDFLRYFYSRRIEVSMSSVKCLHKLASAYGATELQ DYCGRLFATLLPQDPTFHTPLDLYAYARATGDSMLEDLCVQFLAWNFEPLTQSESWSAVP TTLIQALLPKSELAVSSELDLLKAVDQWSTETIASHEDIERLVEQVRFPMMLPQELFELQ FNLSLYQDHQALFQRKTMQALEFHTVPVEVLAKYKGLNLTEDTYKPRLYTSSTWSSLVMA STWRAQRYEYNRYNQLYTYGYGSVARYNSYQSFQTPQHPSFLFKDKQISWSATYLPTMQS CWNYGFSCTSNELPVLGLTTSSYSNPTIGYENRVLILCGGYSVVDVTSFEGSKAPIPTAL DTNSSKTPSLFPCASGAFSSFRVVIRPFYLTNSTDMV >ENSMUSP00000101897.3 pep:known chromosome:GRCm38:11:118396607:118401852:-1 gene:ENSMUSG00000033880.11 transcript:ENSMUST00000106290.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals3bp description:lectin, galactoside-binding, soluble, 3 binding protein [Source:MGI Symbol;Acc:MGI:99554] MALLWLLSVFLLVPGTQGTEDGDMRLVNGASANEGRVEIFYRGRWGTVCDNLWNLLDAHV VCRALGYENATQALGRAAFGPGKGPIMLDEVECTGTESSLASCRSLGWMVSRCGHEKDAG VVCSNDTTGLHILDLSGELSDALGQIFDSQQGCDLFIQVTGQGYEDLSLCAHTLILRTNP EAQALWQVVGSSVIMRVDAECMPV >ENSMUSP00000121024.1 pep:known chromosome:GRCm38:11:118399761:118401038:-1 gene:ENSMUSG00000033880.11 transcript:ENSMUST00000127054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals3bp description:lectin, galactoside-binding, soluble, 3 binding protein [Source:MGI Symbol;Acc:MGI:99554] MALLWL >ENSMUSP00000109049.2 pep:known chromosome:GRCm38:X:111569931:111697079:-1 gene:ENSMUSG00000034551.12 transcript:ENSMUST00000113422.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdx description:highly divergent homeobox [Source:MGI Symbol;Acc:MGI:2685226] MNLRSVFTVEQQRILQRYYENGMTNQSKNCFQLILQCAQETKLDFSVVRTWVGNKRRKMS SKSCESGAAGTVSGTSLAAPDITVRNVVNIARPSSQQSSWTSANNDVIVTGIYSPVSSSS KQGTTKHTNTQITEAHKIPIQKAANKNDTELQLHIPVQRQVAHCKNASVLLGEKTIILSR QTSVLNAGNSVYNHTKKSYGSSPVQASEMTVPQKPSVCQRPCKIEPVGIQRSYKPEHAGL ASHNLCGQKPTIRDPCCRTQNLEIREVFSLAVSDYPQRILGGNSTQKPASAEGTCLSIAM ETGDAEDEYAREEELASMGAQITSYSRFYESGNSLRAENQSTNLPGPGRNLPNSQMVNIR DLSDNVLYQTRDYHLTPRTSLHTASSTMYSNTNPSRSNFSPHFVSSNQLRLSQNQNNYQI SGNLSVPWITGCSRKRALQDRTQFSDRDLATLKKYWDNGMTSLGSVCREKIEAVAIELNV DCEIVRTWIGNRRRKYRLMGIEVPPPRGGPADFSEQPESGSLSALTPGEEAGPEVGEDND RNDEVSICLSEASSQEESNELIPNETRAHKDEEHQAVSADNVKIEIIDDEESDMISNSEV EQENSLLDYKNEEVRFIENELEIQKQKYFKLQSFVRNLILAMKADDKDQQQALLSDLPPE LEEMDCSHASPDPDDTSLSVSSLSEKNASDSL >ENSMUSP00000043482.5 pep:known chromosome:GRCm38:X:111569933:111697079:-1 gene:ENSMUSG00000034551.12 transcript:ENSMUST00000038472.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdx description:highly divergent homeobox [Source:MGI Symbol;Acc:MGI:2685226] MSSKSCESGAAGTVSGTSLAAPDITVRNVVNIARPSSQQSSWTSANNDVIVTGIYSPVSS SSKQGTTKHTNTQITEAHKIPIQKAANKNDTELQLHIPVQRQVAHCKNASVLLGEKTIIL SRQTSVLNAGNSVYNHTKKSYGSSPVQASEMTVPQKPSVCQRPCKIEPVGIQRSYKPEHA GLASHNLCGQKPTIRDPCCRTQNLEIREVFSLAVSDYPQRILGGNSTQKPASAEGTCLSI AMETGDAEDEYAREEELASMGAQITSYSRFYESGNSLRAENQSTNLPGPGRNLPNSQMVN IRDLSDNVLYQTRDYHLTPRTSLHTASSTMYSNTNPSRSNFSPHFVSSNQLRLSQNQNNY QISGNLSVPWITGCSRKRALQDRTQFSDRDLATLKKYWDNGMTSLGSVCREKIEAVAIEL NVDCEIVRTWIGNRRRKYRLMGIEVPPPRGGPADFSEQPESGSLSALTPGEEAGPEVGED NDRNDEVSICLSEASSQEESNELIPNETRAHKDEEHQAVSADNVKIEIIDDEESDMISNS EVEQENSLLDYKNEEVRFIENELEIQKQKYFKLQSFVRNLILAMKADDKDQQQALLSDLP PELEEMDCSHASPDPDDTSLSVSSLSEKNASDSL >ENSMUSP00000116015.1 pep:known chromosome:GRCm38:14:78492246:78536808:-1 gene:ENSMUSG00000022016.15 transcript:ENSMUST00000123853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap11 description:A kinase (PRKA) anchor protein 11 [Source:MGI Symbol;Acc:MGI:2684060] MAAFQPLRSSHLKSKASVRKSFSEDVFRSVKSLLQSEKELCSVSGGECLNQDEHPQLTEV TFLGFNEETDAAHIQDLAAVSLELPDLLNSLHFCSLSENEIICMKDTSKSSNVSSSPLNQ SHHSGMLCVMRVSPTLPGLRIDFIFSLLSKYAAGIRHTLDMHAHPQHHLETTDEDDDDTN QSVSSIEDDFVTAFEQLEEEENAKLYNDEINIATLRSRCDAASQTTSGHHLESHDLKVLV SYGSPKSLAKPSPSVNVLGRKEAASVKTSVTTSVSEPWTQRSLYRCPSASDKDKDTQETF FPSPVYSSESECSSPSPVIFLDEEGYQKSLKAKLELPEIPVTKDDVEDSDSEVSEFFDSF DQFDELEQTLETYLLMEDPIIGKSSQKIGHKYEKSCMNPQKFKFERPALPANVRKPTPRK PESPYGHLSDAPDSPRPVMTSEDSGLFSPIRTSAFSPPGSCTPAEWFCQTDTSENRNHEN YCTYEDYANNLSCEVLGSVLHTQHANTMSDINSIKRGRNHTVTFKYGDSDQKSKCKKSSV ITGSVQKFAADLVGKSLGSAFKDLQKGVSSCTNTLCHLAVKLTSSVFQMAFNELRRQCAF SLKERAIGSLASLLVSDALSNALKDLQYVKKQMFTNTVARFAADLAEELVFEGIMEVCQF SCPQTPASSQCQSFDFEDKVVKSYAKDLSESVIQEAFIELSQTNVTFTTKAAVNVSMGNV KYVSAESVSPTQTFTFSSSFSGQAVMMTKPMQEHKKEYTVQQALFCTSGIVTSIPVPLAG SALLPYHMSSTLYPSKCLSSEPSKASGGSTQEHIAIERSAEEVDCLRSTCLPSELNPCNQ NDFKPTNGDIDRQSPSKLMSGPVIISNFSAAMVHTIVNETLESMTSFKATKTIDTNADYL TKTIKGKACSPSLCDQAAPQENKASSKDMFAEQLSKSIIKHSLDKSKSMLPNRDKKPGSK EHVLVLGEESQMTLGETPKFLDFSDNSPHRSLLVGNYCVAECKDSVGFGFSLEALPPCSM MTNQKSDLKEVVKDKEVTRHNLNNTALEPMPFGQESSFRHSQTFSSAVLTCVDGLHEEDK QKIRDRNVIPDTPPSTPLVPSQTSSEWDIKTLSKQLKGELAKEFAPATPPSTPHNSSVGS LSENEQTTIEKEEFMLKLMRSLSEEVESSEGEEHPGMHVKAEHPGKKVQFAEAFATHIIS LATEAAASHLDHETTQEFKVQNPHLNVPSQRNVLPALSHSDESIQTCTFASDMAADVIAE AEKIANARSCMLFRHERNICHVEGGRGKAEEKLDVEDVAHPREVDTCVLSLPSGMPGLTY KYPSCESVTDEYAGHVIQVLQQQGGSGELIMEQYASRLAYRSVTAAAREAAKTVKMKCGS KLFPLHGCHGKTNKELLVFSSKERHQEVDRQRKRNGSHLCKYQTCERTQDPCRNELSELY SFSASLASSITRDAKKQLTAPKVDLPKSSTDGCFFEKSECVDSSENVTGPERSKSCQPLQ SHGFCQNTGYLSGYSCAENAQAIEQYARKVVGDTLELSLGPTVFHNSETTASADRITYAE KLSPLINEACRYCDLKEFHGCTRNSAQLFSKQSPCASAKPSSRSKLSSIRQKSRIFHLDV PQIHVNLDKRAVLAEKIVAEAIEKAERELSNTSLAADSGIGQDGISFAESLTTEIMTTAV TNAGHAVSSSREIEDFQSTESLGSQQMNLSVGEDSTGSWSNLSFEDDHQDESSSFHHLSE SSNGNSSSWSSLGLEGDLYEDNLSFPTSDSDGSDDGDDEQEDGVEDLQQNGKTLLIMNID MEPGAVDPQLRIILQWLIASEAEVAELYFQDSAKKEFILLSKQLQEKGWKVGDVLQAVLK YYEVVEKPSREERCKSLFDWLLENAQNRLQTSMLV >ENSMUSP00000022593.5 pep:known chromosome:GRCm38:14:78492246:78536860:-1 gene:ENSMUSG00000022016.15 transcript:ENSMUST00000022593.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap11 description:A kinase (PRKA) anchor protein 11 [Source:MGI Symbol;Acc:MGI:2684060] MAAFQPLRSSHLKSKASVRKSFSEDVFRSVKSLLQSEKELCSVSGGECLNQDEHPQLTEV TFLGFNEETDAAHIQDLAAVSLELPDLLNSLHFCSLSENEIICMKDTSKSSNVSSSPLNQ SHHSGMLCVMRVSPTLPGLRIDFIFSLLSKYAAGIRHTLDMHAHPQHHLETTDEDDDDTN QSVSSIEDDFVTAFEQLEEEENAKLYNDEINIATLRSRCDAASQTTSGHHLESHDLKVLV SYGSPKSLAKPSPSVNVLGRKEAASVKTSVTTSVSEPWTQRSLYRCPSASDKDKDTQETF FPSPVYSSESECSSPSPVIFLDEEGYQKSLKAKLELPEIPVTKDDVEDSDSEVSEFFDSF DQFDELEQTLETYLLMEDPIIGKSSQKIGHKYEKSCMNPQKFKFERPALPANVRKPTPRK PESPYGHLSDAPDSPRPVMTSEDSGLFSPIRTSAFSPPGSCTPAEWFCQTDTSENRNHEN YCTYEDYANNLSCEVLGSVLHTQHANTMSDINSIKRGRNHTVTFKYGDSDQKSKCKKSSV ITGSVQKFAADLVGKSLGSAFKDLQKGVSSCTNTLCHLAVKLTSSVFQMAFNELRRQCAF SLKERAIGSLASLLVSDALSNALKDLQYVKKQMFTNTVARFAADLAEELVFEGIMEVCQF SCPQTPASSQCQSFDFEDKVVKSYAKDLSESVIQEAFIELSQTNVTFTTKAAVNVSMGNV KYVSAESVSPTQTFTFSSSFSGQAVMMTKPMQEHKKEYTVQQALFCTSGIVTSIPVPLAG SALLPYHMSSTLYPSKCLSSEPSKASGGSTQEHIAIERSAEEVDCLRSTCLPSELNPCNQ NDFKPTNGDIDRQSPSKLMSGPVIISNFSAAMVHTIVNETLESMTSFKATKTIDTNADYL TKTIKGKACSPSLCDQAAPQENKASSKDMFAEQLSKSIIKHSLDKSKSMLPNRDKKPGSK EHVLVLGEESQMTLGETPKFLDFSDNSPHRSLLVGNYCVAECKDSVGFGFSLEALPPCSM MTNQKSDLKEVVKDKEVTRHNLNNTALEPMPFGQESSFRHSQTFSSAVLTCVDGLHEEDK QKIRDRNVIPDTPPSTPLVPSQTSSEWDIKTLSKQLKGELAKEFAPATPPSTPHNSSVGS LSENEQTTIEKEEFMLKLMRSLSEEVESSEGEEHPGMHVKAEHPGKKVQFAEAFATHIIS LATEAAASHLDHETTQEFKVQNPHLNVPSQRNVLPALSHSDESIQTCTFASDMAADVIAE AEKIANARSCMLFRHERNICHVEGGRGKAEEKLDVEDVAHPREVDTCVLSLPSGMPGLTY KYPSCESVTDEYAGHVIQVLQQQGGSGELIMEQYASRLAYRSVTAAAREAAKTVKMKCGS KLFPLHGCHGKTNKELLVFSSKERHQEVDRQRKRNGSHLCKYQTCERTQDPCRNELSELY SFSASLASSITRDAKKQLTAPKVDLPKSSTDGCFFEKSECVDSSENVTGPERSKSCQPLQ SHGFCQNTGYLSGYSCAENAQAIEQYARKVVGDTLELSLGPTVFHNSETTASADRITYAE KLSPLINEACRYCDLKEFHGCTRNSAQLFSKQSPCASAKPSSRSKLSSIRQKSRIFHLDV PQIHVNLDKRAVLAEKIVAEAIEKAERELSNTSLAADSGIGQDGISFAESLTTEIMTTAV TNAGHAVSSSREIEDFQSTESLGSQQMNLSVGEDSTGSWSNLSFEDDHQDESSSFHHLSE SNGNSSSWSSLGLEGDLYEDNLSFPTSDSDGSDDGDDEQEDGVEDLQQNGKTLLIMNIDM EPGAVDPQLRIILQWLIASEAEVAELYFQDSAKKEFILLSKQLQEKGWKVGDVLQAVLKY YEVVEKPSREERCKSLFDWLLENAQNRLQTSMLV >ENSMUSP00000021375.5 pep:known chromosome:GRCm38:12:58958383:59012017:-1 gene:ENSMUSG00000020986.13 transcript:ENSMUST00000021375.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec23a description:SEC23 homolog A, COPII coat complex component [Source:MGI Symbol;Acc:MGI:1349635] MTTYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPVAALFTPLKERPDLPPIQYEPVL CSRTTCRAVLNPLCQVDYRAKLWACNFCYQRNQFPPTYAGISELNQPAELLPQFSSIEYV VLRGPQMPLIFLYVVDTCIEDEDLQALKESMQMSLSLLPPTALVGLITFGRMVQVHELGC EGISKSYVFRGTKDLSAKQLQEMLGLSKVPVTQATRGPQVQQPPPSNRFLQPVQKIDMNL TDLLGELQRDPWPVPQGKRPLRSSGVALSIAVGLLECTFPNTGARIMMFIGGPATQGPGM VVGDELKTPIRSWHDIEKDNAKYVKKGTKHFEALANRAATTGHVIDIYACALDQTGLLEM KCCPNLTGGYMVMGDSFNTSLFKQTFQRVFTKDIHGQFKMGFGGTLEIKTSREIKISGAI GPCVSLNSKGPCVSENEIGTGGTCQWKICGLSPTTTLAIYFEVVNQHNAPIPQGGRGAVQ FVTQYQHSSGQRRIRVTTIARNWADAQTQIQNIAASFDQEAAAILMARLAIYRAETEEGP DVLRWLDRQLIRLCQKFGEYHKDDPNSFRFSETFSLYPQFMFHLRRSPFLQVFNNSPDES SYYRHHFMRQDLTQSLIMIQPILYAYSFSGPPEPVLLDSSSILADRILLMDTFFQILIYH GETIAQWRKSGYQDMPEYENFRHLLQAPVDDAQEILHSRFPMPRYIDTEHGGSQARFLLS KVNPSQTHNNMYAWGQESGAPILTDDVSLQVFMDHLKKLAVSSAA >ENSMUSP00000126011.1 pep:known chromosome:GRCm38:12:58959704:59007235:-1 gene:ENSMUSG00000020986.13 transcript:ENSMUST00000165134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec23a description:SEC23 homolog A, COPII coat complex component [Source:MGI Symbol;Acc:MGI:1349635] MTTYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPVAALFTPLKERPDLPPIQYEPVL CSRTTCRAVLNPLCQVDYRAKLWACNFCYQRNQRGPQMPLIFLYVVDTCIEDEDLQALKE SMQMSLSLLPPTALVGLITFGRMVQVHELGCEGISKSYVFRGTKDLSAKQLQEMLGLSKV PVTQATRGPQVQQPPPSNRFLQPVQKIDMNLTDLLGELQRDPWPVPQGKRPLRSSGVALS IAVGLLECTFPNTGARIMMFIGGPATQGPGMVVGDELKTPIRSWHDIEKDNAKYVKKGTK HFEALANRAATTGHVIDIYACALDQTGLLEMKCCPNLTGGYMVMGDSFNTSLFKQTFQRV FTKDIHGQFKMGFGGTLEIKTSREIKISGAIGPCVSLNSKGPCVSENEIGTGGTCQWKIC GLSPTTTLAIYFEVVNQHNAPIPQGGRGAVQFVTQYQHSSGQRRIRVTTIARNWADAQTQ IQNIAASFDQEAAAILMARLAIYRAETEEGPDVLRWLDRQLIRLCQKFGEYHKDDPNSFR FSETFSLYPQFMFHLRRSPFLQVFNNSPDESSYYRHHFMRQDLTQSLIMIQPILYAYSFS GPPEPVLLDSSSILADRILLMDTFFQILIYHGETIAQWRKSGYQDMPEYENFRHLLQAPV DDAQEILHSRFPMPRYIDTEHGGSQARFLLSKVNPSQTHNNMYAWGQESGAPILTDDVSL QVFMDHLKKLAVSSAA >ENSMUSP00000024159.6 pep:known chromosome:GRCm38:2:71543408:71546754:-1 gene:ENSMUSG00000023391.8 transcript:ENSMUST00000024159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx2 description:distal-less homeobox 2 [Source:MGI Symbol;Acc:MGI:94902] MTGVFDSLVADMHSTQITASSTYHQHQQPPSGAGAGPGGNSNSSSSNSSLHKPQESPTLP VSTATDSSYYTNQQHPAGGGGGGASPYAHMGSYQYHASGLNNVSYSAKSSYDLGYTAAYT SYAPYGTSSSPVNNEPDKEDLEPEIRIVNGKPKKVRKPRTIYSSFQLAALQRRFQKTQYL ALPERAELAASLGLTQTQVKIWFQNRRSKFKKMWKSGEIPTEQHPGASASPPCASPPVSA PASWDFGAPQRMAGGGPGSGGGGAGSSGSSPSSAASAFLGNYPWYHQASGSASHLQATAP LLHPSQTPQAHHHHHHHHHAGGGAPVSAGTIF >ENSMUSP00000005749.5 pep:known chromosome:GRCm38:7:111028951:111056377:1 gene:ENSMUSG00000005609.15 transcript:ENSMUST00000005749.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctr9 description:CTR9 homolog, Paf1/RNA polymerase II complex component [Source:MGI Symbol;Acc:MGI:109345] MSRGSIEIPLRDTDEVIELDFDQLPEGDEVISILKQEHTQLHIWIALALEYYKQGKTEEF VKLLEAARIDGNLDYRDHEKDQMTCLDTLAAYYVQQARKEKNKDNKKDLITQATLLYTMA DKIIMYDQNHLLGRACFCLLEGDKMDQADAQFHFVLNQSPNNIPALLGKACISFNKKDYR GALAYYKKALRTNPGCPAEVRLGMGHCFVKLNKLEKARLAFSRALELNSKCVGALVGLAV LELNNKEADSIKNGVQLLSRAYTIDPSNPMVLNHLANHFFFKKDYSKVQHLALHAFHNTE VEAMQAESCYQLARSFHVQEDYDQAFQYYYQATQFASSSFVLPFFGLGQMYIYRGDKENA SQCFEKVLKAYPNNYETMKILGSLYAASEDQEKRDIAKGHLKKVTEQYPDDVEAWIELAQ ILEQTDIQGALSAYGTATRILQEKVQADVPPEILNNVGALHFRLGNLGEAKKYFLASLDR AKAEAEHDEHYYNAISVTTSYNLARLYEAMCEFHEAEKLYKNILREHPNYVDCYLRLGAM ARDKGNFYEASDWFKEALQINQDHPDAWSLIGNLHLAKQEWGPGQKKFERILKQPATQSD TYSMLALGNVWLQTLHQPTRDREKEKRHQDRALAIYKQVLRNDAKNLYAANGIGAVLAHK GYFREARDVFAQVREATADISDVWLNLAHIYVEQKQYISAVQMYENCLRKFYKHQNTEVV LYLARALFKCGKLQECKQTLLKARHVAPSDTVLMFNVALVLQRLATSVLKDEKSNLKEVL NAVKELELAHRYFSYLSKVGDKMRFDLALAASEARQCSDLLSQAQYHVARARKQDEEERE LRAKQEQEKELLRQKLLKEQEEKRLREKEEQKKLLEQRAQYVEKTKNILMFTGETEATKE KKRGGGGGRRSKKGGEFDEFVNDDTDDDLPVSKKKKRRKGSGSEQEGEEEEGGERKKKRR RRPPKGEEGSEEEETENGPKPKKRRPPRAEKKKAPKPERLPPSMKGKIKSKAIISSSDDS SDEDKLKIADEGHPRNSNSDSDDDERPNRRASSESDSDDNQNKSGSEAGSPRRSGRQESD EDSDSDQPSRKRRRSGSEQSDNESVQSGRSPSGASENENDSRPASPSAESDHESEQGSDN EGSGQGSGNESEPEGSNNEASDRGSEHGSDDSD >ENSMUSP00000106180.3 pep:known chromosome:GRCm38:2:122234749:122265340:1 gene:ENSMUSG00000027227.7 transcript:ENSMUST00000110551.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sord description:sorbitol dehydrogenase [Source:MGI Symbol;Acc:MGI:98266] MAAPAKGENLSLVVHGPGDIRLENYPIPELGPNDVLLKMHSVGICGSDVHYWEHGRIGDF VVKKPMVLGHEAAGTVTKVGELVKHLKPGDRVAIEPGVPREVDEYCKIGRYNLTPTIFFC ATPPDDGNLCRFYKHNADFCYKLPDSVTFEEGALIEPLSVGIYACRRGSVSLGNKVLVCG AGPVGMVTLLVAKAMGAAQVVVTDLSASRLTKAKEVGADFTIQVGKETPQEIASKVESLL GSKPEVTIECTGAESSVQTGIYATHSGGTLVIVGMGAEMVNLPLVHAAIREVDIKGVFRY CNTWPMAISMLASKTLNVKPLVTHRFPLEKAVEAFETAKKGVGLKVMIKCDPNDQNP >ENSMUSP00000037206.5 pep:known chromosome:GRCm38:2:151542483:151561692:1 gene:ENSMUSG00000032966.14 transcript:ENSMUST00000044011.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp1a description:FK506 binding protein 1a [Source:MGI Symbol;Acc:MGI:95541] MGVQVETISPGDGRTFPKRGQTCVVHYTGMLEDGKKFDSSRDRNKPFKFTLGKQEVIRGW EEGVAQMSVGQRAKLIISSDYAYGATGHPGIIPPHATLVFDVELLKLE >ENSMUSP00000118725.1 pep:known chromosome:GRCm38:2:151543899:151561690:1 gene:ENSMUSG00000032966.14 transcript:ENSMUST00000142271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp1a description:FK506 binding protein 1a [Source:MGI Symbol;Acc:MGI:95541] XNQGRIPILREMTYREGKTRQPAHILLSVPPYFYDFLSREAGRRWQKLRTRTFKKLPMEG ILKYILARTDSSHGPNRSSCCGMLEDGKKFDSSRDRNKPFKFTLGKQEVIRGWEEGVAQM SVGQRAKLIISSDYAYGATGHPGIIPPHATLVFDVELLKLE >ENSMUSP00000138110.1 pep:known chromosome:GRCm38:1:16540790:16619312:-1 gene:ENSMUSG00000025939.17 transcript:ENSMUST00000182554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2w description:ubiquitin-conjugating enzyme E2W (putative) [Source:MGI Symbol;Acc:MGI:1914049] XARRLRPLRLGPRWPWGDGFIMASMQKRLQKELLALQNDPPPGMTLNEKSVQNSITQWIV DMEGAPGTLYEGEKFQLLFKFSSRYPFDSPQKTGPRRSQCSQSVSALSACFPAAKKRDDH QIIPFMCEHVTRIQRKQNGGIMVDTVPVEARRGTLTHWNWSLKIVVCCHVSSMVT >ENSMUSP00000112741.2 pep:known chromosome:GRCm38:1:16569782:16619489:-1 gene:ENSMUSG00000025939.17 transcript:ENSMUST00000117146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2w description:ubiquitin-conjugating enzyme E2W (putative) [Source:MGI Symbol;Acc:MGI:1914049] MLGPRGVTRARRLRPLRLGPRWPWGDGFIMASMQKRLQKELLALQNDPPPGMTLNEKSVQ NSITQWIVDMEGAPGTLYEGEKFQLLFKFSSRYPFDSPQVMFTGENIPIHPHVYSNGHIC LSILTEDWSPALSVQSVCLSIISMLSSCKEKRRPPDNSFYVRTCNKNPKKTKWWYHDDTC >ENSMUSP00000121573.2 pep:known chromosome:GRCm38:1:16581936:16619259:-1 gene:ENSMUSG00000025939.17 transcript:ENSMUST00000133639.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube2w description:ubiquitin-conjugating enzyme E2W (putative) [Source:MGI Symbol;Acc:MGI:1914049] XFIMASMQKRLQKELLALQNDPPPGMTLNEKSVQNSITQWIVDMEGAPGTLYEGEKFQLL FKFSSRYPFDSPQVLYLKC >ENSMUSP00000062412.3 pep:known chromosome:GRCm38:2:74691924:74695105:1 gene:ENSMUSG00000050368.4 transcript:ENSMUST00000061745.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd10 description:homeobox D10 [Source:MGI Symbol;Acc:MGI:96202] MSFPNSSPAANTFLVDSLISACRSDSFYSSSASMYMPPPSADMGTYGMQTCGLLPSLAKR EVNHQNMGMNVHPYIPQVDSWTDPNRSCRIEQPVTQQVPTCSFTANIKEESNCCMYSDKR NKLISAEVPSYQRLVPESCPVENPEVPVPGYFRLSQTYATGKTQEYNNSPEGSSTVMLQL NPRGAAKPQLSAAQLQMEKKMNESASGQEPTKVSQVESPEAKGGLPEDRSCLAEVSVSSP EVQEKESKEEIKSDTPTSNWLTAKSGRKKRCPYTKHQTLELEKEFLFNMYLTRERRLEIS KSVNLTDRQVKIWFQNRRMKLKKMSRENRIRELTANLTFS >ENSMUSP00000122582.1 pep:known chromosome:GRCm38:2:74682323:74684168:1 gene:ENSMUSG00000042499.13 transcript:ENSMUST00000142312.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd11 description:homeobox D11 [Source:MGI Symbol;Acc:MGI:96203] MNDFDECGPSAASMYLPGCAYYVAPSDFASKPSFLSQPSSCQMTFPYSSNLAPHVQPVRE VAFRDYGLERAKWPYRGGGGGGAGGGGGGGPGGGGGGSGGYAPYYAAAAAAAAAAAAAEE AAMQRDLLPPAGRRPDVLFKAPEPVCGAPGPPHGPAAAASNFYSAVGRNGILPQGFDQFY EAAPGPPFAGPQPQPAPAPPQPEGAADKGDPKPGAGGGGGSPCAKATPGPEPKGAAEGGG GEGEGPPGEAGAEKSGGTVAPQRSRKKRCPYTKYQIRELEREFFFNVYINKEKRLQLSRM LNLTDRQVKIWFQNRRMKEKKLNRDRLQYFTGNPLF >ENSMUSP00000031069.6 pep:known chromosome:GRCm38:5:52640087:52669708:-1 gene:ENSMUSG00000029173.12 transcript:ENSMUST00000031069.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sepsecs description:Sep (O-phosphoserine) tRNA:Sec (selenocysteine) tRNA synthase [Source:MGI Symbol;Acc:MGI:1098791] MNPESFAAGERRVSPAYVRQGCEARRAHEHLIRLLLEQGKCPEDGWDESTLELFLHELAV MDSNNFLGNCGVGEREGRVASALVARRHYRFIHGIGRSGDISAVQPKAAGSSLLNKITNS LVLNVIKLAGVHSVASCFVVPMATGMSLTLCFLTLRHKRPKAKYIIWPRIDQKSCFKSMV TAGFEPVVIENVLEGDELRTDLKAVEAKIQELGPEHILCLHSTTACFAPRVPDRLEELAV ICANYDIPHVVNNAYGLQSSKCMHLIQQGARVGRIDAFVQSLDKNFMVPVGGAIIAGFNE PFIQDISKMYPGRASASPSLDVLITLLSLGCSGYRKLLKERKEMFVYLSTQLKKLAEAHN ERLLQTPHNPISLAMTLKTIDGHHDKAVTQLGSMLFTRQVSGARAVPLGNVQTVSGHTFR GFMSHADNYPCAYLNAAAAIGMKMQDVDLFIKRLDKCLNIVRKEQTRASVVSGADRNKAE DADIEEMALKLDDVLGDVGQGPAL >ENSMUSP00000115477.1 pep:known chromosome:GRCm38:5:52640087:52669708:-1 gene:ENSMUSG00000029173.12 transcript:ENSMUST00000150709.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sepsecs description:Sep (O-phosphoserine) tRNA:Sec (selenocysteine) tRNA synthase [Source:MGI Symbol;Acc:MGI:1098791] MNPESFAAGERRVSPAYVRQGCEARRAHEHLIRLLLEQGKCPEDGWDESTLELFLHELAV MDSNNFLGSSTELDDPVIFLLCNQKLQALAS >ENSMUSP00000114413.1 pep:known chromosome:GRCm38:5:52641176:52666000:-1 gene:ENSMUSG00000029173.12 transcript:ENSMUST00000126574.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sepsecs description:Sep (O-phosphoserine) tRNA:Sec (selenocysteine) tRNA synthase [Source:MGI Symbol;Acc:MGI:1098791] IKLAGVHSVASCFVVPMATGMSLTLCFLTLRHKRPKAKYIIWPRIDQKSCFKSMVTAGFE PVVIENVLEGDELRTDLKAVEAKIQELGPEHILCLHSTTACFAPRVPDRGLGLVELMLSF RAWTKILWFQ >ENSMUSP00000114760.1 pep:known chromosome:GRCm38:5:52656343:52669615:-1 gene:ENSMUSG00000029173.12 transcript:ENSMUST00000123856.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sepsecs description:Sep (O-phosphoserine) tRNA:Sec (selenocysteine) tRNA synthase [Source:MGI Symbol;Acc:MGI:1098791] XRAHEHLIRLLLEQGKCPEDGWDESTLELFLHELAVMDSNNFLGSSTELDDPVIFLLCNQ KLQALAS >ENSMUSP00000038298.7 pep:known chromosome:GRCm38:2:164891882:164911757:-1 gene:ENSMUSG00000039834.17 transcript:ENSMUST00000041361.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp335 description:zinc finger protein 335 [Source:MGI Symbol;Acc:MGI:2682313] MEENEVESSSDAAPRPGQPEEPSESGLGVCTSEAVSADSSDAATVPGLTEADDSGVGQSS DGGNHSVEEVSESISTDPLPHGCLPDSSSVSRGPVAEMPGGPPALVHSSVLPDPSMLVSD CTASSSDLGSAIDKIIESTIGPDLIQSCITVTSGEEGGAETTQYLILQGPDDGAPMASSM STSTLANSLAAIEALADGPTSTSACLEPPEEPQGDPSSVAQQPPAPVTEELDLQSLEAMM EVVVVQQFKCKMCQYRSSTKATLLRHMRERHFRPALAAAAAATGKRGRVRKWGTSTKTTE EDRPEEEEEDDDIVDAGAIDDLEEDSDYNPAEDEPRGRQLRLQRPTPSTPRPRRRPGRPR KLPRLETSDLHDGVGQPLVSSQSTQSPPELQDLEAPSSSGLRALGKVGRGLVESGVSQSD AENAAPSCQDEADAPPRRRGRPSRRFLGKKYRKYYYKSPKPLLRPYLCRICGSRFLSHED LRFHVNSHEAGDPQLFRCLQCSYRSRRWSSLKEHMFNHVGSKPYKCDECSYTSVYRKDVI RHAAVHSQDRKKRPDPTPKLSSFPCPVCGRVYPMQKRLTQHMKTHSTEKPHMCDKCGKSF KKRYTFKMHLLTHIQAVANRRFKCEFCEFVCEDKKALLNHQLSHVSDKPFKCSFCPYRTF REDFLLSHVAVKHTGAKPFACEYCHFSTRHKKNLRLHVRCRHANSFEEWGRRHPEEPPSR RRPFFSLQQIEELKQQHSTAPGPPLSSPGPEAPQEPAPFQSPETPPLLCPDALGGTTIIY QQGAEESTAVATQTALDLLLNMSAQRELGATALQVAVVKSEGIEAELTSTGGQPSPEDTT PRVVTLHMAESGSSVAAESQLGPSDLQQIALPSGPFGGASYSVITAPPVEGRTSASGPPY REEPPGEAAQAVVVSDTLKEAGTHYIMAADGTQLHHIELTADGSISFPSPDTLAPGTKWP LLQCGGPPRDGSEVLSPTKTHHMGGSQGSSTPPPAASHTLGLVVPQSPPSAAASSTKKFS CKVCSEAFPSRAEMESHKRAHAGPAAFKCPDCPFSARQWPEVRAHMAQHSSLRPHQCNQC SFASKNKKDLRRHMLTHTNEKPFSCHVCGQRFNRNGHLKFHIQRLHSIDGRKTGTSTARA PAQTIILNSEEETLATLHTAFQSSHGVLGTERLQQALSQEHIIVAQEQTVTNQEEATYIQ EITADGQTVQHLVTSDNQVQYIISQDGVQHLLPQEYVVVPDGHHIQVQEGQITHIQYEQG TPFLQESQIQYVPVSPSQQLVTQAQLEAAAHSAVTAVADAAMAQAQGLFGTEEAVPEQIH QLQHQGIEYDVITLSDD >ENSMUSP00000139133.1 pep:known chromosome:GRCm38:2:164891882:164911757:-1 gene:ENSMUSG00000039834.17 transcript:ENSMUST00000183830.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp335 description:zinc finger protein 335 [Source:MGI Symbol;Acc:MGI:2682313] MEENEVESSSDAAPRPGQPEEPSESGLGVCTSEAVSADSSDAATVPGLTEADDSGVGQSS DGGNHSVEEVSESISTDPLPHGCLPDSSSVSRGPVAEMPGGPPALVHSSVLPDPSMLVSD CTASSSDLGSAIDKIIESTIGPDLIQSCITVTSGEEGGAETTQYLILQGPDDGAPMASSM STSTLANSLAAIEALADGPTSTSACLEPPEEPQGDPSSVAQQPPAPVTEELDLQSLEAMM EVVVVQQFKCKMCQYRSSTKATLLRHMRERHFRPALAAAAAATGKRGRVRKWGTSTKTTE EDRPEEEEEDDDIVDAGAIDDLEEDSDYNPAEDEPRGRQLRLQRPTPSTPRPRRRPGRPR KLPRLETSDLHDGVGQPLVSSQSTQSPPELQDLEAPSSSGLRALGKVGRGLVESGVSQSD AENAAPSCQDEADAPPRRRGRPSRRFLGKKYRKYYYKSPKPLLRPYLCRICGSRFLSHED LRFHVNSHEAGDPQLFRCLQCSYRSRRWSSLKEHMFNHVGSKPYKCDECSYTSVYRKDVI RHAAVHSQDRKKRPDPTPKLSSFPCPVCGRVYPMQKRLTQHMKTHSTEKPHMCDKIQV >ENSMUSP00000138664.1 pep:known chromosome:GRCm38:2:164902520:164907665:-1 gene:ENSMUSG00000039834.17 transcript:ENSMUST00000139247.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp335 description:zinc finger protein 335 [Source:MGI Symbol;Acc:MGI:2682313] HFRPALAAAAAATGKRGRVRKWGTSTKTTEEDRPEEEEEDDDIVDAGAIDDLEGTLLCFS LVLGLRCHALLLDMGSGRLNSDSHAPQQILH >ENSMUSP00000022296.6 pep:known chromosome:GRCm38:14:18282722:18331859:-1 gene:ENSMUSG00000021774.12 transcript:ENSMUST00000022296.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e1 description:ubiquitin-conjugating enzyme E2E 1 [Source:MGI Symbol;Acc:MGI:107411] MSDDDSRASTSSSSSSSSNQQTEKEGSTPKKKESKVSMSKNSKLLSTSAKRIQKELADIT LDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFTPEYPFKPPKVTFRTRIY HCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIATQYMTNRAEH DRMARQWTKRYAT >ENSMUSP00000074632.6 pep:known chromosome:GRCm38:15:21111452:21589533:1 gene:ENSMUSG00000040452.8 transcript:ENSMUST00000075132.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh12 description:cadherin 12 [Source:MGI Symbol;Acc:MGI:109503] MLTRNSLYLLLWILFDGGLLTPLQPQPQQTLATEPKENVIHLSGRRSHFQRVKRGWVWNQ FFVLEEYMGSEPQYVGKLHSDLDKGEGTVKYTLSGDGAGTVFTIDETTGDIHAIRSLDRE EKPFYTLRAQAVDIETRKPLEPESEFIIKVQDINDNEPKFLDGPYVASVPEMSPVGAYVL QVKATDADDPTYGNSARVVYSILQGQPYFSIDPKTGVIRTALPNMDREVKEQYQVLIQAK DMGGQLGGLAGTTVVNITLTDVNDNPPRFPKSIFHLKVPESSPVGSAIGRIRAVDPDFGK NAEIEYNIVPGDGGNLFDIVTDEDTQEGIIKLKKPLDFETKKAYTFKVEASNLHLDHRFH SAGPFKDTATVKISVLDVDEPPVFSKPLYTMEVYEDTPVGTIIGAVTAQDLDVGSSAVRY FIDWKSDGESYFTIDGTEGTIATNELLDRENMAQYNFSIIASKVSNPLLTSKVNILINVL DVNEFPPEISVPYETAVCENAKPGQIIQIVGAVDRDLSPAGQQFSFRLAPEAVIKPNFTV HDFRNNTAGIETRRNGYSRRQQELYFLPIVIEDSSYPVQSSTNTMTIRVCRCDSDGTILS CNVEAIFLPVGLSTGALIAILLCMVILLAIVVLYVALRRQRKKDTLMTSKEDIRDNVIHY DDEGGGEEDTQAFDIGALRNPKVIEENKIRRDIKPDSLCLSRQRPQVEDNTDIRDFIHRR LQENDADPAAPPYDSLATYAYEGNGSVAESLSSIDSLTTEADQDYDYLSDWGPRFKVLAD MFGEEESYNPDNVT >ENSMUSP00000138799.1 pep:known chromosome:GRCm38:7:131548773:131556589:1 gene:ENSMUSG00000050100.14 transcript:ENSMUST00000183219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmx2 description:H6 homeobox 2 [Source:MGI Symbol;Acc:MGI:107159] MGSKEDVGKGCPAAGGVSSFTIQSILGGGPSEAPREPAGWPARKRSLSVSSEEEEPEEGW KAPACFCPDPHGPKEPSPKHHTPIPFPCLGTPKGSGGAGPAASERTPFLSPSHPDFKEEK ERLLPAGSPSPGPERPRDGGAERQTGAAKKKTRTVFSRSQVYQLESTFDMKRYLSSSERA CLASSLQLTETQVKTWFQNRRNKWKRQLSAELEAANMAHASAQTLVGMPLVFRDSSLLRV PVPRSLAFPAPLYYPSSNLSALPLYNLYNKLDY >ENSMUSP00000058205.5 pep:known chromosome:GRCm38:7:131554061:131558014:1 gene:ENSMUSG00000050100.14 transcript:ENSMUST00000051997.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmx2 description:H6 homeobox 2 [Source:MGI Symbol;Acc:MGI:107159] MGSKEDVGKGCPAAGGVSSFTIQSILGGGPSEAPREPAGWPARKRSLSVSSEEEEPEEGW KAPACFCPDPHGPKEPSPKHHTPIPFPCLGTPKGSGGAGPAASERTPFLSPSHPDFKEEK ERLLPAGSPSPGPERPRDGGAERQTGAAKKKTRTVFSRSQVYQLESTFDMKRYLSSSERA CLASSLQLTETQVKTWFQNRRNKWKRQLSAELEAANMAHASAQTLVGMPLVFRDSSLLRV PVPRSLAFPAPLYYPSSNLSALPLYNLYNKLDY >ENSMUSP00000106811.2 pep:known chromosome:GRCm38:5:135353295:135367654:1 gene:ENSMUSG00000053388.10 transcript:ENSMUST00000111180.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim50 description:tripartite motif-containing 50 [Source:MGI Symbol;Acc:MGI:2664992] MAWRLTVPELQDQLQCPICLEVFKEPLMLQCGHSYCKDCLDNLSQHLDSELCCPVCRQSV DCSSSPPNVSLARVIDALRLPGDIEPTVCVHHRNPLSLFCEKDQEFICGLCGLLGSHQHH RVTPVSTVYSRMKEELAGRISELKEEHRNVEEHIGKLVNNRTRIINESDVFSWVIRREFQ ELHHLVDEEKARCLEGLEGHTRGLVASLDMQLEQAQGTQERLAQAEQVLEQFGNESHHEF IRKFHSVASRAEVQQARPLEGVFSPISFKPALHQADIKLTVWKRLFRKVLPAPASLKLDP ATAHPLLELSKGNTVVHCGLLAQRRASQPERFDYSTCVLASKGFSWGRHYWEVVVGSKSD WRLGVIKGTASRKGKLNKSPEHGVWLIGLKEGRVYEAFGCPRLPLPVAGHPHRIGVYLHY EQGELTFFDADRPDDLRTLYTFQADFQGKLYPILDTCWHERGSNSLPMVLPPPSGPGHFT LGQV >ENSMUSP00000066662.3 pep:known chromosome:GRCm38:5:135353296:135368005:1 gene:ENSMUSG00000053388.10 transcript:ENSMUST00000065785.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim50 description:tripartite motif-containing 50 [Source:MGI Symbol;Acc:MGI:2664992] MAWRLTVPELQDQLQCPICLEVFKEPLMLQCGHSYCKDCLDNLSQHLDSELCCPVCRQSV DCSSSPPNVSLARVIDALRLPGDIEPTVCVHHRNPLSLFCEKDQEFICGLCGLLGSHQHH RVTPVSTVYSRMKEELAGRISELKEEHRNVEEHIGKLVNNRTRIINESDVFSWVIRREFQ ELHHLVDEEKARCLEGLEGHTRGLVASLDMQLEQAQGTQERLAQAEQVLEQFGNESHHEF IRFHSVASRAEVQQARPLEGVFSPISFKPALHQADIKLTVWKRLFRKVLPAPASLKLDPA TAHPLLELSKGNTVVHCGLLAQRRASQPERFDYSTCVLASKGFSWGRHYWEVVVGSKSDW RLGVIKGTASRKGKLNKSPEHGVWLIGLKEGRVYEAFGCPRLPLPVAGHPHRIGVYLHYE QGELTFFDADRPDDLRTLYTFQADFQGKLYPILDTCWHERGSNSLPMVLPPPSGPGHFTL GQV >ENSMUSP00000036690.5 pep:known chromosome:GRCm38:10:83230848:83337882:-1 gene:ENSMUSG00000034591.5 transcript:ENSMUST00000039956.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc41a2 description:solute carrier family 41, member 2 [Source:MGI Symbol;Acc:MGI:2442940] MTHSKGRPVTYKTSASPESGGGFVDWTLNLNTIQSDKFLNLLLSMVPVIYQKNQEDRHKK VNGIWQDGLSGAAQTFSKRSEPHLDYHEFSEQAFHSSSSGHTPASCSPKYDDYAGYNYCD GREASETTAMLQDEDLSSEGDDVIVETSQRIPKESSGVMALQILVPFLLAGFGTVSAGMV LDIVQHWEVFKNVTEVFILVPALLGLKGNLEMTLASRLSTAVNVGKMDSPIEKWNLIIGN LALKQVQATVVGFLAAVAAIILGWIPEGKYYLSHSILLCSSSVATAFIASLLQGIIMVGV IVGSKKTGINPDNVATPIAASFGDLITLAILAWISQGLYSCLETYYYISPLVCAFFLALT PIWIIIAAKHPATRTVLHSGWEPVITAMVISSIGGLILDTTVSDPNLVGIVVYTPVINGI GGNLVAIQASRISTYLHLHSIPGELPEEPKGCSYPFRTFFGSGVNNKSAQVLLLFVIPGH LIFLYTIHLMKSGHTSLTVVFVVVYLFAAVLQVFTLLWIADWMVHRFWRKGKDPDSFSIP YLTALGDLLGTALLALSFHFLWLIGDRDGDVGD >ENSMUSP00000115120.1 pep:known chromosome:GRCm38:10:83316342:83337440:-1 gene:ENSMUSG00000034591.5 transcript:ENSMUST00000126617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc41a2 description:solute carrier family 41, member 2 [Source:MGI Symbol;Acc:MGI:2442940] MTHSKGRPVTYKTSASPESGGGFVDWTLNLNTIQSDKFLNLLLSMVPVIYQKNQEDRHKK VNGIWQDGLSGAAQTFSKRSEPHLDYHEF >ENSMUSP00000123470.1 pep:known chromosome:GRCm38:10:83316572:83335402:-1 gene:ENSMUSG00000034591.5 transcript:ENSMUST00000154730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc41a2 description:solute carrier family 41, member 2 [Source:MGI Symbol;Acc:MGI:2442940] MTHSKGRPVTYKT >ENSMUSP00000116482.1 pep:known chromosome:GRCm38:10:83316215:83322883:-1 gene:ENSMUSG00000034591.5 transcript:ENSMUST00000154926.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc41a2 description:solute carrier family 41, member 2 [Source:MGI Symbol;Acc:MGI:2442940] MTHSKGRPVTYKTSASPESGGGFVDWTLNLNTIQSDKFLNLLLSMVPVIYQKNQEDRHKK VNGIWQDGLSGAAQTFSKRSEPHLDYHEFSEQAFHSSSSGHTPASCSPKYDDYAGYNYCD GREASETTAML >ENSMUSP00000061475.2 pep:known chromosome:GRCm38:2:85721407:85722351:1 gene:ENSMUSG00000043226.3 transcript:ENSMUST00000055517.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1009 description:olfactory receptor 1009 [Source:MGI Symbol;Acc:MGI:3030843] MADENYTRITEFIFIGLRYHPNLQVFLFLLFLLFYLVTMTGNLGMIILIRVDSRLHTPMY FFLSHLSFVDICFSSVVAPKMLTDFFADKKAISFLGCVLQQWFFGFFVAIECLLLASMAY DRYVAICNPLLYSVAMSQRLCIQLVIGPYAVGFFNTMTHTTAAFRLPFCGSNIINHFFCD MSPILSLICADIRINKLLVFIVAGAVLIVSSTTIIVSYFHILIAILRIRSAEGRRKAFST CSSHVTAVSILYGTLFFIYVRPSAISSLDLNKVVSVFYTAVIPMLNPLIYSLRNKEVKSA MGRTVAKAKVFLKN >ENSMUSP00000031876.4 pep:known chromosome:GRCm38:6:34920163:34939344:1 gene:ENSMUSG00000029848.11 transcript:ENSMUST00000031876.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra8 description:stimulated by retinoic acid gene 8 [Source:MGI Symbol;Acc:MGI:107917] MATPGEGNQPSDDGAPQPLAQLQKLEPRVVRRRLSQARHRATLVGLFNNLRKAVYSQSDI TASKWQVLNRTKIHIQEQEESLDKLLKLKASFNLQDGNPNSLEEVKEEYARMYSENDSVF LNSFLQDSPPEWFPSEAVGPDAEEEGEEEGEEEGEEGEEEEEGDEEGEEEEENGEEREVE EYQEEEEEEEEEEKKVDLSHSSSTLLPDLMEFERYLNFYKQTMDLLTMNSIISAHEVTLP IVSAAISHLWQTLSEEKKARLLQVWEQQHSAFADLTEACLELAGVEGSMKDSGVDSQGAS CSLESTPEEILFEDAFDVASFLDKSEAQHMSNISAMFATCNSENPEEKFQLYIQIIEFFK SLGCVNTPLNQEPEPPDDDDAMLLKCLETFDDL >ENSMUSP00000139136.1 pep:known chromosome:GRCm38:6:34921004:34933145:1 gene:ENSMUSG00000029848.11 transcript:ENSMUST00000185102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra8 description:stimulated by retinoic acid gene 8 [Source:MGI Symbol;Acc:MGI:107917] MATPGEGNQPSDDGAPQPLAQLQKLEPRVVRRRLSQARHRATLWQVLNRTKIHIQEQEES LDKLLKLKASFNLQDGNPNSLEEVKEEYARMYSENDSVFLNSFLQDSPPEWFPSEAVGPD AEEEGEEEGEEEGEEGEEEEEGDEEG >ENSMUSP00000110649.1 pep:known chromosome:GRCm38:6:34921010:34939342:1 gene:ENSMUSG00000029848.11 transcript:ENSMUST00000114997.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra8 description:stimulated by retinoic acid gene 8 [Source:MGI Symbol;Acc:MGI:107917] MYSENDSVFLNSFLQDSPPEWFPSEAVGPDAEEEGEEEGEEEGEEGEEEEEGDEEGEEEE ENGEEREVEEYQEEEEEEEEEEKKVDLSHSSSTLLPDLMEFERYLNFYKQTMDLLTMNSI ISAHEVTLPIVSAAISHLWQTLSEEKKARLLQVWEQQHSAFADLTEACLELAGVEGSMKD SGVDSQGASCSLESTPEEILFEDAFDVASFLDKSEAQHMSNISAMFATCNSENPEEKFQL YIQIIEFFKSLGCVNTPLNQEPEPPDDDDAMLLKCLETFDDL >ENSMUSP00000110651.1 pep:known chromosome:GRCm38:6:34920960:34939342:1 gene:ENSMUSG00000029848.11 transcript:ENSMUST00000114999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra8 description:stimulated by retinoic acid gene 8 [Source:MGI Symbol;Acc:MGI:107917] MATPGEGNQPSDDGAPQPLAQLQKLEPRVVRRRLSQARHRATLVGLFNNLRKAVYSQSDI TASKWQVLNRTKIHIQEQEESLDKLLKLKASFNLQDGNPNSLEEVKEEYARMYSENDSVF LNSFLQDSPPEWFPSEAVGPDAEEEGEEEGEEEGEEGEEEEEGDEEGEEEEENGEEREVE EYQEEEEEEEEEEKKVDLSHSSSTLLPDLMEFERYLNFYKQTMDLLTMNSIISAHEVTLP IVSAAISHLWQTLSEEKKARLLQVWEQQHSAFADLTEACLELAGVEGSMKDSGVDSQGAS CSLESTPEEILFEDAFDVASFLDKSEAQHMSNISAMFATCNSENPEEKFQLYIQIIEFFK SLGCVNTPLNQEPEPPDDDDAMLLKCLETFDDL >ENSMUSP00000117558.1 pep:known chromosome:GRCm38:2:181380078:181383115:1 gene:ENSMUSG00000090077.9 transcript:ENSMUST00000140943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lime1 description:Lck interacting transmembrane adaptor 1 [Source:MGI Symbol;Acc:MGI:1919949] XQALSLQLFQTEEKIERTQRDIRGIQEALTRNTGRKRAQRQQTGLQDSLVPVEMPLLRQT HLCSLSKSDTRLHELHRGPRSSIAPRPASMDLLHPRWLEMSRGSTRSQVPNSAFPPRQLP RAPPAAPATAPSTSSEATYSNVGLAAIPRASLAASPVVWAGTQLTISCARLGPGAEYACI QKHKGTEQG >ENSMUSP00000045010.5 pep:known chromosome:GRCm38:2:181381235:181383628:1 gene:ENSMUSG00000090077.9 transcript:ENSMUST00000048077.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lime1 description:Lck interacting transmembrane adaptor 1 [Source:MGI Symbol;Acc:MGI:1919949] MRPPVPSAPLALWVLGCFSLLLWLWALCTACHRKRAQRQQTGLQDSLVPVEMPLLRQTHL CSLSKSDTRLHELHRGPRSSIAPRPASMDLLHPRWLEMSRGSTRSQVPNSAFPPRQLPRA PPAAPATAPSTSSEATYSNVGLAAIPRASLAASPVVWAGTQLTISCARLGPGAEYACIQK HKGTEQGCQELQQKAKVIPATQMDVLYSRVCKPKRRDPRPVTDQLNLQDGRTSLPLGSDV EYEAINLRGQDMKQGPLENVYESIKEMGL >ENSMUSP00000119181.1 pep:known chromosome:GRCm38:2:181381358:181383623:1 gene:ENSMUSG00000090077.9 transcript:ENSMUST00000126611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lime1 description:Lck interacting transmembrane adaptor 1 [Source:MGI Symbol;Acc:MGI:1919949] XRQGQAANPRWPRKRAQRQQTGLQDSLVPVEMPLLRQTHLCSLSKSDTRLHELHRGPRSS IAPRPASMDLLHPRWLEMSRGSTRSQVPNSAFPPRQLPRAPPAAPATAPSTSSEATYSNV GLAAIPRASLAASPVVWAGTQLTISCARLGPGAEYACIQKHKGTEQGCQELQQKAKVIPA TQMDVLYSRVCKPKRRDPRPVTDQLNLQDGRTSLPLGSDVEYEAINLRGQDMKQGPLENV YESIKEMGL >ENSMUSP00000104432.2 pep:known chromosome:GRCm38:2:181381872:181383628:1 gene:ENSMUSG00000090077.9 transcript:ENSMUST00000108804.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lime1 description:Lck interacting transmembrane adaptor 1 [Source:MGI Symbol;Acc:MGI:1919949] MRPPVPSAPLALWVLGCFSLLLWLWALCTACHRKRAQRQQTGLQDSLVPVEMPLLRQTHL CSLSKSDTRLHELHRGPRSSIDGRPIL >ENSMUSP00000098680.1 pep:known chromosome:GRCm38:6:99692679:99695066:1 gene:ENSMUSG00000072875.2 transcript:ENSMUST00000101122.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr27 description:G protein-coupled receptor 27 [Source:MGI Symbol;Acc:MGI:1202299] MANASEPGGGGSGGGAEAAALGLRLATLSLLLCVSLAGNVLFALLIVRERSLHRAPYYLL LDLCLADGLRALACLPAVMLAARRAAAAAGTPPGALGCKLLAFLAALFCFHAAFLLLGVG VTRYLAIAHHRFYAERLAGWPCAAMLVCAAWALALAAAFPPVLDGGGADDEDAPCALEQR PDGAPGALGFLLLLAAVVGATHLVYLRLLFFIHDRRKMRPARLVPAVSHDWTFHGPGATG QAAANWTAGFGRGPTPPALVGIRPAGPGRGARRLLVLEEFKTEKRLCKMFYAITLLFLLL WGPYVVASYLRVLVRPGAVPQAYLTASVWLTFAQAGINPVVCFLFNRELRDCFRAQFPCC QSPQATQATLPCDLKGIGL >ENSMUSP00000097500.1 pep:known chromosome:GRCm38:2:85759439:85760374:-1 gene:ENSMUSG00000075210.3 transcript:ENSMUST00000099916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1012 description:olfactory receptor 1012 [Source:MGI Symbol;Acc:MGI:3030846] MERGNHTVTGFILLGFSTDPVMQKILFVMFLGVYSLTLLGNTTLIILICNDSRLHTPMYF FIGNLSFLDLWYSSVYTPKILVTCISEDKSISFAGCLSQFFFSAGLAYSECYLLAAMAYD RYTAISNPLLYAQAMSRRLCVCLVVYSYTGGFVNAIILTSNTFTLDFCGDNVIDDFFCDV PPLVKLACDVRESYQSVLYFLLASNVISPTLLILTSYLFIIAAILRIRSTQGRLKAFSTC SSHLISVTLYYGSILYIYSRPSSSYSLERDKMVSTFYTVLFPMLNPMIYSLRNKDVKEAL RKLFKLAPSEV >ENSMUSP00000070848.3 pep:known chromosome:GRCm38:11:61485431:61488900:1 gene:ENSMUSG00000042436.12 transcript:ENSMUST00000064783.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap4 description:microfibrillar-associated protein 4 [Source:MGI Symbol;Acc:MGI:1342276] MKALPALPLMLMLLSMPPPCAPQASGIRGDALEKSCLQQPLDCDDIYAQGYQEDGVYLIY PYGPSVPVPVFCDMTTEGGKWTVFQKRFNGSVSFFRGWSDYKLGFGRADGEYWLGLQNLH LLTLKQKYELRVDLEDFENNTAYAKYIDFSISPNAISAEEDGYTLYVAGFEDGGAGDSLS YHSGQKFSTFDRDQDLFVQNCAALSSGAFWFRSCHFANLNGFYLGGSHLSYANGINWAQW KGFYYSLKRTEMKIRRA >ENSMUSP00000038971.6 pep:known chromosome:GRCm38:11:61485461:61488075:1 gene:ENSMUSG00000042436.12 transcript:ENSMUST00000040522.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap4 description:microfibrillar-associated protein 4 [Source:MGI Symbol;Acc:MGI:1342276] MKALPALPLMLMLLSMPPPCAPQASGIRGDALEKSCLQQPLDCDDIYAQGYQEDGVYLIY PYGPSVPVPVFCDMTTEGGKWTVFQKRFNGSVSFFRGWSDYKLGFGRADGEYWLGKVGPW GGGCPSAKSLLTGCHPSLGLQNLHLLTLKQKYELRVDLEDFENNTAYAKYIDFSISPNAI SAEEDGYTLYVAGFEDGGAGDSLSYHSGQKFSTFDRDQDLFVQNCAALSSGAFWFRSCHF ANLNGFYLGGSHLSYANGINWAQWKGFYYSLKRTEMKIRRA >ENSMUSP00000001059.2 pep:known chromosome:GRCm38:11:106394650:106487796:-1 gene:ENSMUSG00000020715.9 transcript:ENSMUST00000001059.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ern1 description:endoplasmic reticulum (ER) to nucleus signalling 1 [Source:MGI Symbol;Acc:MGI:1930134] MPARWLLLLLALLLPPPGPGSFGRTSTVTLPETLLFVSTLDGSLHAVSKRTGSIKWTLKE DPVLQVPTHVEEPAFLPDPNDGSLYTLGGKNNEGLTKLPFTIPELVQASPCRSSDGILYM GKKQDIWYVIDLLTGEKQQTLSSAFADSLCPSTSLLYLGRTEYTITMYDTKTRELRWNAT YFDYAASLPEDDVDYKMSHFVSNGDGLVVTVDSESGDVLWIQNYASPVVAFYVWQGEVLR KVVHINVAVETLRYLTFMSGEVGRITKWKYPFPKETEAKSKLTPTLYVGKYSTSLYASPS MVHEGVAVVPRGSTLPLLEGPQTDGVTIGDKGECVITPSTDLKFDPGLKGKSKLNYLRNY WLLIGHHETPLSASTKMLERFPNNLPKHRENVIPADSEKRSFEEVINIVGQTSDNTPTTV SQDVEEKLARAPAKPEAPVDSMLKDMATIILSTFLLVGWVAFIITYPLSVHQQRQLQHQQ FQKELEKIQLLQQQQLPFHPHGDLTQDPEFLDSSGPFSESSGTSSPSPSPRASNHSLHPS SSASRAGTSPSLEQDDEDEETRMVIVGKISFCPKDVLGHGAEGTIVYKGMFDNRDVAVKR ILPECFSFADREVQLLRESDEHPNVIRYFCTEKDRQFQYIAIELCAATLQEYVEQKDFAH LGLEPITLLHQTTSGLAHLHSLNIVHRDLKPHNILLSMPNAHGRIKAMISDFGLCKKLAV GRHSFSRRSGVPGTEGWIAPEMLSEDCKDNPTYTVDIFSAGCVFYYVISEGNHPFGKSLQ RQANILLGACNLDCFHSDKHEDVIARELIEKMIAMDPQQRPSAKHVLKHPFFWSLEKQLQ FFQDVSDRIEKEALDGPIVRQLERGGRAVVKMDWRENITVPLQTDLRKFRTYKGGSVRDL LRAMRNKKHHYRELPVEVQETLGSIPDDFVRYFTSRFPHLLSHTYQAMELCRHERLFQTY YWHEPTEPQPPVIPYAL >ENSMUSP00000102413.1 pep:known chromosome:GRCm38:11:106413766:106487836:-1 gene:ENSMUSG00000020715.9 transcript:ENSMUST00000106801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ern1 description:endoplasmic reticulum (ER) to nucleus signalling 1 [Source:MGI Symbol;Acc:MGI:1930134] MPARWLLLLLALLLPPPGPGSFGRTSTVTLPETLLFVSTLDGSLHAVSKRTGSIKWTLKE DPVLQVPTHVEEPAFLPDPNDGSLYTLGGKNNEGLTKLPFTIPELVQASPCRSSDGILYM GKKQDIWYVIDLLTGEKQQTLSSAFADSLCPSTSLLYLGRTEYTITMYDTKTRELRWNAT YFDYAASLPEDDVDYKMSHFVSNGDGLVVTVDSESGDVLWIQNYASPVVAFYVWQGEVLR KVVHINVAVETLRYLTFMSGEVGRITKWKYPFPKETEAKSKLTPTLYVGKYSTSLYASPS MVHEGVAVVPRGSTLPLLEGPQTDGVTIGDKGECVITPSTDLKFDPGLKGKSKLNYLRNY WLLIGHHETPLSASTKMLERFPNNLPKHRENVIPADSEKRSFEEVSGK >ENSMUSP00000102411.1 pep:known chromosome:GRCm38:11:106458556:106487803:-1 gene:ENSMUSG00000020715.9 transcript:ENSMUST00000106799.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ern1 description:endoplasmic reticulum (ER) to nucleus signalling 1 [Source:MGI Symbol;Acc:MGI:1930134] MPARWLLLLLALLLPPPGPGSFGRTSTVTLPETLLFVSTLDGSLHAVSKRTGSIKWTLKE GNSDRS >ENSMUSP00000102412.1 pep:known chromosome:GRCm38:11:106434681:106487808:-1 gene:ENSMUSG00000020715.9 transcript:ENSMUST00000106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ern1 description:endoplasmic reticulum (ER) to nucleus signalling 1 [Source:MGI Symbol;Acc:MGI:1930134] MPARWLLLLLALLLPPPGPGSFGRTSTVTLPETLLFVSTLDGSLHAVSKRTGSIKWTLKE DPVLQVPTHVEEPAFLPDPNDGSLYTLGGKNNEGLTVRIFSFLVYSEVLSQVLACFSM >ENSMUSP00000102707.2 pep:known chromosome:GRCm38:3:96596636:96599775:1 gene:ENSMUSG00000049097.9 transcript:ENSMUST00000058943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd34a description:ankyrin repeat domain 34A [Source:MGI Symbol;Acc:MGI:3617846] MLHTEGHALLRAVGQGKLRLARLLLEGGAYVNEGDAQGETALMAACRARYDDPQNKARMV RYLLEQGADPNIADRLGRTALMHACAGGGGAAVASLLLAHGADPSVRDHAGASALVHALD RGDRETLATLLDACKAKGTEVIIITTDTSPSGTKKTRQYLNSPPSPGVEDPAPAPPSPGV CTSPSEVQLQTAGGGRGLLSPRAQEEEEKRDIFEFPLPKPPDDPSPSEPLPKPPRHPPKP LKRLNSEPWGLVAPPQPVPPAEGRPGLERLAAEFNGLTLTGRPRLSRRHSTEGPEDPPPW AEKVTGGGPLSRRNTAPEAQESGLPSGLRQKLSRMEPVELDTPGHFCPDSPESSRLSLER RRYSASPLTLPPAGSVSSPRQSQESLPGAVSPLSGRRRSPGLLERRGSGTLLLDHISQTR PGFLPPLNVSPHPPIPDIRPQPGGRAPSLPAPPPSGAPGSPRTKRKLVRRHSMQTEQIRL LGGFQSLGGPGEPGR >ENSMUSP00000023520.6 pep:known chromosome:GRCm38:16:33794367:33819934:1 gene:ENSMUSG00000022824.12 transcript:ENSMUST00000023520.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc13 description:mucin 13, epithelial transmembrane [Source:MGI Symbol;Acc:MGI:103190] MKGFLLLSLSLLLVTVGSSSQASSTTSSSGGTSPPTTVQSQSPGSSSQASTTTSSSGGAS PPTTVQSQSPGSSSQASTTTSSSGGASPPTTVQSQSPGSSSQASTTTSSSGGASPPTTVQ SQSPGSSSQASTTTSSSGGASPPTTVQSQSPGSSSQASTTTSSSGGASPPTTVQSQSPGS SSQVSTTTSSSGGASPPTTVQSQSPGSSSQPGPTQPSGGASSSTVPSGGSTGPSDLCNPN PCKGTASCVKLHSKHFCLCLEGYYYNSSLSSCVKGTTFPGDISMSVSETANLEDENSVGY QELYNSVTDFFETTFNKTDYGQTVIIKVSTAPSRSARSAMRDATKDVSVSVVNIFGADTK ETEKSVSSAIETAIKTSGNVKDYVSINLCDHYGCVGNDSSKCQDILQCTCKPGLDRLNPQ VPFCVAVTCSQPCNAEEKEQCLKMDNGVMDCVCMPGYQRANGNRKCEECPFGYSGMNCKD QFQLILTIVGTIAGALILILLIAFIVSARSKNKKKDGEEQRLIEDDFHNLRLRQTGFSNL GADNSIFPKVRTGVPSQTPNPYANQRSMPRPDY >ENSMUSP00000110696.1 pep:known chromosome:GRCm38:16:33794037:33819927:1 gene:ENSMUSG00000022824.12 transcript:ENSMUST00000115044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Muc13 description:mucin 13, epithelial transmembrane [Source:MGI Symbol;Acc:MGI:103190] MKGFLLLSLSLLLVTVGSSSQASSTTSSSGGTSPPTTVQSQSPGSSSQASTTTSSSGGAS PPTTVQSQSPGSSSQASTTTSSSGGASPPTTVQSQSPGSSSQASTTTSSSGGASPPTTVQ SQSPGSSSQASTTTSSSGGASPPTTVQSQSPGSSSQASTTTSSSGGASPPTTVQSQSPGS SSQVSTTTSSSGGASPPTTVQSQSPGSSSQPGPTQPSGGASSSTVPSGGSTGPSDLCNPN PCKGTASCVKLHSKHFCLCLEGYYYNSSLSSCVKGTTFPGDISMSVSETANLEDENSVGY QELYNSVTDFFETTFNKTDYGQTVIIKVSTAPSRSARSAMRDATKDVSVSVVNIFGADTK ETEKSVSSAIETAIKTSGNVKDYVSINLCDHYGCVGNDSSKCQDILQCTCKPGLDRLNPQ VPFCVAVTCSQPCNAEEKEQCLKMDNGVMDCVCMPGYQRANGNRKCEECPFGYSGMNCKD QFQLILTIVGTIAGALILILLIAFIVSARSKNKKKDGEEQRLIEDDFHNLRLRQTGFSNL GADNSIFPKVRTGVPSQTPNPYANQRSMPRPDY >ENSMUSP00000068173.2 pep:known chromosome:GRCm38:2:85769803:85770720:1 gene:ENSMUSG00000053287.2 transcript:ENSMUST00000065626.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1013 description:olfactory receptor 1013 [Source:MGI Symbol;Acc:MGI:3030847] MDQNNNTVSEFIMLGFTTDPVIQKVLFAVFLVVYTLTLMGNSSLIMLICNDSRLHTPMYF FIGNLSFLDLGLSSVYTPKILETCISEDKSISFAGCVAQFFFSAALDYTECYLLAAMAYD RYVAISKPLLYSQAMSLKLCVCFVVASYVGGFINSVIITKDTFALTFCNDNVIDDFFCDI PPLVKLACGKKKSFQSVLFFLLTSNVIIPIVFILATYLFIIATILRIRSTQGRLKAFSTC SSHLISVTLYYGSILYIYARPRSSYSLDRDKIVSTFYTVVFPMLNPLIYSLRNKDVKEAL NKLLK >ENSMUSP00000041793.2 pep:known chromosome:GRCm38:18:73735038:73754479:-1 gene:ENSMUSG00000036941.2 transcript:ENSMUST00000041138.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elac1 description:elaC ribonuclease Z 1 [Source:MGI Symbol;Acc:MGI:1890495] MSMDVTFLGTGAAYPSPTRGASAVVLRCEGECWLFDCGEGTQTQLMKSQLKAGRITKIFI THLHGDHFFGLPGLLCTISLQSGSVVARQPIEIYGPVGLRDFIWRTMELSHTELVFPYVV HELVPTADQCPVEELREFAHMDETDSSPKGQGRTILLDAEENSYCLVDDEQFVVKAFRLF HRIPSFGFSVVEKKRAGKLNAQKLRDLGVPPGPAYGKLKNGISVVLDNGVTISPQDVLKK PMVGRKVCILGDCSGVVGDGGVKLCFEADLLIHEATLDDSQMDKAREHGHSTPQMAAAFA KLCRAKRLVLTHFSQRYKPTALAREGEADGIAELRKQAEAVLELQEVTLAEDFMVIGIPI KK >ENSMUSP00000056582.3 pep:known chromosome:GRCm38:7:143069249:143071093:1 gene:ENSMUSG00000045752.13 transcript:ENSMUST00000060433.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssc4 description:tumor-suppressing subchromosomal transferable fragment 4 [Source:MGI Symbol;Acc:MGI:1861712] MAETEAGLEADEPTEDDTLPSDTVSLSDSDSDLSLPSGVEVQVLSPERLSEEGQEDSGPE DPPSPPTGTLTTAVQPFHLRGMSSTFSQRSHSIFDCLESAARQAPCSAPQTSVSDNGSFR RPVTPPSQTPARGLSRVHGNTGPTRVLPVPDYVSHPERWTKYSLEDVSEASEQSNRDAAL AFLSSRSQVSHTDYVPSFNQDPSSCGEGRVVFTKPVRDSEARAERKRVLKKGVGSGAGGE AAVELAHLAGPEAEEWSGHQGQPEVVVPSEAAHPESSSGPIGVKAVGFHGSKKRSRDHFR NRDGNPGGPGSERGPSV >ENSMUSP00000123499.2 pep:known chromosome:GRCm38:7:143069341:143070546:1 gene:ENSMUSG00000045752.13 transcript:ENSMUST00000133410.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssc4 description:tumor-suppressing subchromosomal transferable fragment 4 [Source:MGI Symbol;Acc:MGI:1861712] MGQAADGDLLSPASPSAHLLYVHTVMISWLTLSLTPPNLQLLYLCALGYPLTTQLREASR PAVGRVSGRMAETEAGLEADEPTEDDTLPSDTVSLSDSDSDLSLPSGVEVQVLSPERLSE EGQEDSGPEDPPSPPTGTLTTAVQPFHLRGMSSTFSQRSHSIFDCLESAARQAPCSAPQT SVSDNGSFRRPVTPPSQTPARGLSRVHGNTGPTRVLPVPDYVSHPERWTKYSLEDVSEAS EQSNRDAALAFLSSRSQVSHTDYVPS >ENSMUSP00000101540.1 pep:known chromosome:GRCm38:7:143069368:143071087:1 gene:ENSMUSG00000045752.13 transcript:ENSMUST00000105920.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssc4 description:tumor-suppressing subchromosomal transferable fragment 4 [Source:MGI Symbol;Acc:MGI:1861712] MAETEAGLEADEPTEDDTLPSDTVSLSDSDSDLSLPSGVEVQVLSPERLSEEGQEDSGPE DPPSPPTGTLTTAVQPFHLRGMSSTFSQRSHSIFDCLESAARQAPCSAPQTSAP >ENSMUSP00000122335.1 pep:known chromosome:GRCm38:7:143069424:143070545:1 gene:ENSMUSG00000045752.13 transcript:ENSMUST00000147995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssc4 description:tumor-suppressing subchromosomal transferable fragment 4 [Source:MGI Symbol;Acc:MGI:1861712] MAETEAGLEADEPTEDDTLPSDTVSLSDSDSDLSLPSGVEVQVLSPERLSEEGQEDSGPE DPPSPPTGTLTTAVQPFHLRGMSSTFSQRSHSIFDCLESAARQAPCSAPQTSVSDNGSFR RPVTPPSQTPARGLSRVHGNTGPTRVLPVPDYVSHPERWTKYSLEDVSEASEQSNRDAAL AFLSSRSQVSHTDYVP >ENSMUSP00000123353.1 pep:known chromosome:GRCm38:7:143069544:143070609:1 gene:ENSMUSG00000045752.13 transcript:ENSMUST00000137856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssc4 description:tumor-suppressing subchromosomal transferable fragment 4 [Source:MGI Symbol;Acc:MGI:1861712] MAETEAGLEADEPTEDDTLPSDTVSLSDSDSDLSLPSGVEVQVLSPERLSEEGQEDSGPE DPPSPPTGTLTTAVQPFHLRGMSSTFSQRSHSIFDCLESAARQAPCSAPQTSVSDNGSFR RPVTPPSQTPARGLSRVHGNTGPTRVLPVPDYVSHPERWTKYSLEDVSEASEQSNRDAAL AFLSSRSQVSHTDYVPSFNQDPSSCGEGRVVFTKPVR >ENSMUSP00000146914.1 pep:known chromosome:GRCm38:7:143069767:143071027:1 gene:ENSMUSG00000045752.13 transcript:ENSMUST00000208779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssc4 description:tumor-suppressing subchromosomal transferable fragment 4 [Source:MGI Symbol;Acc:MGI:1861712] MAETEAGLEADEPTEDDTLPSDTVSLSDSDSDLSLPSGVEVQVLSPERLSEEGQEDSGPE DPPSPPTGTLTTAVQPFHLRGMSSTFSQRSHSIFDCLESAARQAPCSAPQTSAP >ENSMUSP00000137399.1 pep:known chromosome:GRCm38:7:143069368:143071087:1 gene:ENSMUSG00000045752.13 transcript:ENSMUST00000177841.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssc4 description:tumor-suppressing subchromosomal transferable fragment 4 [Source:MGI Symbol;Acc:MGI:1861712] MAETEAGLEADEPTEDDTLPSDTVSLSDSDSDLSLPSGVEVQVLSPERLSEEGQEDSGPE DPPSPPTGTLTTAVQPFHLRGMSSTFSQRSHSIFDCLESAARQAPCSAPQTSVSDNGSFR RPVTPPSQTPARGLSRVHGNTGPTRVLPVPDYVSHPERWTKYSLEDVSEASEQSNRDAAL AFLSSRSQVSHTDYVPSFNQDPSSCGEGRVVFTKPVRDSEARAERKRVLKKGVGSGAGGE AAVELAHLAGPEAEEWSGHQGQPEVVVPSEAAHPESSSGPIGVKAVGFHGSKKRSRDHFR NRDGNPGGPGSERGPSV >ENSMUSP00000075600.1 pep:known chromosome:GRCm38:2:85776586:85777503:1 gene:ENSMUSG00000059379.1 transcript:ENSMUST00000076250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1014 description:olfactory receptor 1014 [Source:MGI Symbol;Acc:MGI:3030848] MEQYNDTVTEFILVGFTTNPVMQLVLLVIFLAVYALTVLGNSTLIVLICNDSRLHTPMYF FIGNLSFLDLGLSTVYTPKILVTCISEDKSISFSGCVAQFFFSAGLGYTECYLLAAMAYD RYVAISKPLLYSQAMSLKLCAFLVGVSYLGGLINSFIITKDTFALTFCNDNVIDDFFCDI PPLVKLSCGKKKSFQSVLFFLLTSNVIIPIVFILATYLFIIATILRIRSTQGRLKAFSTC SSHLISVTLYYGSILYIYARPRSSYSLDRDKIVSTFYTVVFPMLNPLIYSLRNKDVKEAL SKLFK >ENSMUSP00000055542.5 pep:known chromosome:GRCm38:11:62820231:62842936:1 gene:ENSMUSG00000047821.16 transcript:ENSMUST00000055006.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim16 description:tripartite motif-containing 16 [Source:MGI Symbol;Acc:MGI:2137356] MAELDLIAPGPLTGVTAHPLAPLGPDPVSAIPAEKEDADPLSKSGEETQEQGHDPAEVGA PGEEDQILCDFCLGASRVRAVKSCLTCMVNYCEEHLRPHQENSKLHSHQLTEPAKDQDLR TCPAHHSPLVSFCHTHQQCICQECGEGEHRGDSTVSLDAARRNKEVDLRCMQLDLEQKLK LNENAIARLQANHKSVLVSVSEVKVVAEEKFGELLAAVRKAQADVMVFLEEKEQAALNQV NSIKTHLEHRSLEMEKSKQELERLAAISNTVLFLEEYCKLKKTEDTASPSIYIGLKDKLS GIRKVITDSTLNLIQLLESYKEKLQEFSREEEYDIRTQVSAIVQRKYRTSKPEPRTRDEF LQYACDITFDPDTAHRYLRLQEDNRKVTNTTPWEHPYPDLPSRFLHWRQVLSQQSLYLHR YYFEVELSGGGTYVGLTCKGIDRKGEERNSCISGNSFSWSIHWNGKEFTAWHSDTETPLK VSPFRRLGIYVNFPGGILSFYGVEYDAMTLIHKFDCKFSEPVYAAFWLSKKENAIRIVDL GEEPEKPAGSSVEAAP >ENSMUSP00000072432.3 pep:known chromosome:GRCm38:11:62820253:62850808:1 gene:ENSMUSG00000047821.16 transcript:ENSMUST00000072639.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim16 description:tripartite motif-containing 16 [Source:MGI Symbol;Acc:MGI:2137356] MAELDLIAPGPLTGVTAHPLAPLGPDPVSAIPAEKEDADPLSKSGEETQEQGHDPAEVGA PGEEDQILCDFCLGASRVRAVKSCLTCMVNYCEEHLRPHQENSKLHSHQLTEPAKDQDLR TCPAHHSPLVSFCHTHQQCICQECGEGEHRGDSTVSLDAARRNKEVDLRCMQLDLEQKLK LNENAIARLQANHKSVLVSVSEVKVVAEEKFGELLAAVRKAQADVMVFLEEKEQAALNQV NSIKTHLEHRSLEMEKSKQELERLAAISNTVLFLEEYCKLKKTEDTASPSIYIGLKDKLS GIRKVITDSTLNLIQLLESYKEKLQEFSREEEYDIRTQVSAIVQRKYRTSKPEPRTRDEF LQYACDITFDPDTAHRYLRLQEDNRKVTNTTPWEHPYPDLPSRFLHWRQVLSQQSLYLHR YYFEVELSGGGTYVGLTCKGIDRKGEERNSCISGNSFSWSIHWNGKEFTAWHSDTETPLK AFMMTLLM >ENSMUSP00000104343.1 pep:known chromosome:GRCm38:11:62820469:62842936:1 gene:ENSMUSG00000047821.16 transcript:ENSMUST00000108703.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim16 description:tripartite motif-containing 16 [Source:MGI Symbol;Acc:MGI:2137356] MVNYCEEHLRPHQENSKLHSHQLTEPAKDQDLRTCPAHHSPLVSFCHTHQQCICQECGEG EHRGDSTVSLDAARRNKEVDLRCMQLDLEQKLKLNENAIARLQANHKSVLVSVSEVKVVA EEKFGELLAAVRKAQADVMVFLEEKEQAALNQVNSIKTHLEHRSLEMEKSKQELERLAAI SNTVLFLEEYCKLKKTEDTASPSIYIGLKDKLSGIRKVITDSTLNLIQLLESYKEKLQEF SREEEYDIRTQVSAIVQRKYRTSKPEPRTRDEFLQYACDITFDPDTAHRYLRLQEDNRKV TNTTPWEHPYPDLPSRFLHWRQVLSQQSLYLHRYYFEVELSGGGTYVGLTCKGIDRKGEE RNSCISGNSFSWSIHWNGKEFTAWHSDTETPLKVSPFRRLGIYVNFPGGILSFYGVEYDA MTLIHKFDCKFSEPVYAAFWLSKKENAIRIVDLGEEPEKPAGSSVEAAP >ENSMUSP00000110748.2 pep:known chromosome:GRCm38:6:32144268:32588192:-1 gene:ENSMUSG00000029765.12 transcript:ENSMUST00000115096.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxna4 description:plexin A4 [Source:MGI Symbol;Acc:MGI:2179061] MKAMPWNWTCLLSHLLVVGMGSSTLLPRQPPQLSQKPSFVTFRGEPAEGFNHLVVDERTG HIYLGAVNRIYKLSSDLKVLVTHQTGPDEDNPKCYPPRIVQTCNEPLASTNNVNKMLLID YKENRLIACGSLYQGICKLLRLEDLFKLGEPFHKKEHYLSGVNESGSVFGVIVSYSNFDD KLFIATAVDGKPEYFPTISSRKLTKNSEADGMFAYVFHDEFVASMIKIPSDTFTVIPDFD IYYVYGFSSGNFVYFLTLQPEMVSPPGSTTKEQVYTSKLVRLCKEDTAFNSYVEVPIGCE RNGVEYRLLQAAYLSKAGAVLGRTLGVRPDDDLLFTVFSKGQKRKMKSLDESALCIFILK QINDRIKDRLQSCYRGEGTLDLAWLKVKDIPCSSALLTIDDNFCGLDMNAPLGVSEMVRG IPVFTEDRDRMTSVIAYVYKNHSLAFVGTKSGKLKKIRVDGPKGNALQYETVQVVDSGPV LRDMAFSKDHEQLYIMSERQLTRVPVESCGQYRSCGECLGSGDPHCGWCVLHNTCTRKER CERSREPRRFASEMKQCVRLTVHPNNISVSQYNVLLVLETYNVPELSAGVNCTFEDLSEM DGLVIGNQIQCYSPAAKEVPRIITENGDHHVVQLQLKSKETGMTFASTSFVFYNCSVHNS CLSCVESPYRCHWCKYRHVCTHDPNTCSFQEGRVKLPEDCPQLLRVDKILVPVEVIKPIT LKAKNLPQPQSGQRGYECILNIQGIEQRVPALRFNSSSVQCQNTSYSYEGMEINNLPVEL TVVWNGHFNIDNPAQNKVYLYKCGAMRESCGLCLKADPDFECGWCQSPGQCTLRQHCPAH ESRWLELSGANSKCTNPRITEIIPVTGPREGGTKVTIRGENLGLEFRDIASHVKVAGVEC SPLVDGYIPAEQIVCEMGEAKPSQHAGFVEICVAVCRPEFMARSSQLYYFMTLTLADLKP NRGPMSGGTQVTITGTNLNAGSNVVVMFGSQPCLFHRRSPSYIICNTTSSEEVLDMKVTV QVDRARIRQDLVFQYVEDPTIVRIEPEWSIVSGNTPIAVWGTHLDLIQNPQIRAKHGGKE HINICEVLNATEMTCQAPALALGPDHQSDLTERPEEFGFILDNVQSLLILNKTNFTYYPN PVFEAFSPSGILELKPGTPIILKGKNLIPPVAGGNVKLNYTVLVGEKPCTVTVSDVQLLC ESPNLIGRHKVMARVGGMEYSPGMVYIAPDSPLSLPAIVSIAVAGGLLIIFIVAVLIAYK RKSRESDLTLKRLQMQMDNLESRVALECKEAFAELQTDIHELTSDLDGAGIPFLDYRTYT MRVLFPGIEDHPVLRDLEVPGYRQERVEKGLKLFAQLINNKVFLLSFIRTLESQRSFSMR DRGNVASLIMTVLQSKLEYATDVLKQLLADLIDKNLESKNHPKLLLRRTESVAEKMLTNW FTFLLYKFLKECAGEPLFSLFCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQIEYKTLV LSCVSPDNVNSPEVPVKILNCDTITQVKEKILDAIFKNVPCSHRPKAADMDLEWRQGSGA RMILQDEDITTKIENDWKRLNTVAHYQVPDGSVVALVSKQVTAYNAVNNSTVSRTSASKY ENMIRYTGSPDSLRSRTPMITPDLESGVKLWHLVKNHEHGDQKEGDRGSKMVSEIYLTRL LATKGTLQKFVDDLFETIFSTAHRGSALPLAIKYMFDFLDEQADKHGIHDPHVRHTWKSN CLPLRFWVNMIKNPQFVFDIHKNSITDACLSVVAQTFMDSCSTSEHRLGKDSPSNKLLYA KDIPSYKNWVERYYSDIGKMPAISDQDMNAYLAEQSRMHMNEFNTMSALSEIFSYVGKYS EEILGPLDHDDQCGKQKLAYKLEQVITLMSLDS >ENSMUSP00000065352.5 pep:known chromosome:GRCm38:2:36036326:36049406:-1 gene:ENSMUSG00000026895.7 transcript:ENSMUST00000070112.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa8 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 8 [Source:MGI Symbol;Acc:MGI:1915625] MPGIVELPTLEELKVEEVKVSSAVLKAAAHHYGAQCDKTNKEFMLCRWEEKDPRRCLKEG KLVNGCALNFFRQIKSHCAEPFTEYWTCLDYSNMQLFRHCRQQQAKFDQCVLDKLGWVRP DLGQLSKVTKVKTDRPLPENPYHSRARPEPNPVIEGDLKPAKHGTRFFFWTV >ENSMUSP00000032754.7 pep:known chromosome:GRCm38:7:80186841:80226527:1 gene:ENSMUSG00000030539.13 transcript:ENSMUST00000032754.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4b description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4B [Source:MGI Symbol;Acc:MGI:107559] MGRASRSAVLRRALLLLLLLLLLRTTTTRALGPRISVPLGSEERLIRKFEAENISNYTAL LLSQDGKTLYVGAREALFALNSNLSFLPGGEYQELLWSADADRKQQCSFKGKDPKRDCQN YIKILLPLNSSHLLTCGTAAFSPLCAYIHIASFTLAQDEAGNVILEDGKGRCPFDPNFKS TALVVDGELYTGTVSSFQGNDPAISRSQSSRPTKTESSLNWLQDPAFVASAYVPESLGSP IGDDDKIYFFFSETGQEFEFFENTIVSRVARVCKGDEGGERVLQQRWTSFLKAQLLCSRP DDGFPFNVLQDVFTLNPNPQDWRKTLFYGVFTSQWHRGTTEGSAICVFTMNDVQKAFDGL YKKVNRETQQWYTETHQVPTPRPGACITNSARERKINSSLQLPDRVLNFLKDHFLMDGQV RSRLLLLQPRARYQRVAVHRVPGLHSTYDVLFLGTGDGRLHKAVTLSSRVHIIEELQIFP QGQPVQNLLLDSHGGLLYASSHSGVVQVPVANCSLYPTCGDCLLARDPYCAWTGSACRLA SLYQPDLASRPWTQDIEGASVKELCKNSSYKARFLVPGKPCKQVQIQPNTVNTLACPLLS NLATRLWVHNGAPVNASASCRVLPTGDLLLVGSQQGLGVFQCWSIEEGFQQLVASYCPEV MEEGVMDQKNQRDGTPVIINTSRVSAPAGGRASWGADKSYWNEFLVMCTLFVFAMVLLFL FFLYRHRDGMKLFLKQGECASVHPKTRPIVLPPETRPLNGVGPPSTPLDHRGYQALSDSS PGPRVFTESEKRPLSIQDSFVEVSPVCPRPRVRLGSEIRDSVV >ENSMUSP00000145622.1 pep:known chromosome:GRCm38:7:80198441:80226411:1 gene:ENSMUSG00000030539.13 transcript:ENSMUST00000205822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4b description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4B [Source:MGI Symbol;Acc:MGI:107559] MGRASRSAVLRRALLLLLLLLLLRTTTTRALGPRISVPLGSEERLIRKFEAENISNYTAL LLSQDGKTLYVGAREALFALNSNLSFLPGGEYQELLWSADADRKQQCSFKGKDPKRDCQN YIKILLPLNSSHLLTCGTAAFSPLCAYIHIASFTLAQDEAGNVILEDGKGRCPFDPNFKS TALVVDGELYTGTVSSFQGNDPAISRSQSSRPTKTESSLNWLQDPAFVASAYVPESLGSP IGDDDKIYFFFSETGQEFEFFENTIVSRVARVCKGDEGGERVLQQRWTSFLKAQLLCSRP DDGFPFNVLQDVFTLNPNPQDWRKTLFYGVFTSQWHRGTTEGSAICVFTMNDVQKAFDGL YKKVNRETQQWYTETHQVPTPRPGACITNSARERKINSSLQLPDRVLNFLKDHFLMDGQV RSRLLLLQPRARYQRVAVHRVPGLHSTYDVLFLGTGDGRLHKAVTLSSRVHIIEELQIFP QGQPVQNLLLDSHGGLLYASSHSGVVQVPVANCSLYPTCGDCLLARDPYCAWTGSACRLA SLYQPDLASRPWTQDIEGASVKELCKNSSYKARFLVPGKPCKQVQIQPNTVNTLACPLLS NLATRLWVHNGAPVNASASCRVLPTGDLLLVGSQQGLGVFQCWSIEEGFQQLVASYCPEV MEEGVMDQKNQRDGTPVIINTSRVSAPAGGRASWGADKSYWNEFLVMCTLFVFAMVLLFL FFLYRHRDGMKLFLKQGECASVHPKTRPIVLPPETRPLNGVGPPSTPLDHRGYQALSDSS PGPRVFTESEKRPLSIQDSFVEVSPVCPRPRVRLGSEIRDSVV >ENSMUSP00000056193.6 pep:known chromosome:GRCm38:X:143285674:143390099:-1 gene:ENSMUSG00000031283.16 transcript:ENSMUST00000063029.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrdl1 description:chordin-like 1 [Source:MGI Symbol;Acc:MGI:1933172] MDGMKYIISLFFIFVFLEGSKTEQVKHSDTYCVFQDKKYRVGEKWHPYLEPYGLVYCVNC ICSENGNVLCSRVRCPSLHCLSPVHIPHLCCPRCPDSLPPVNNKVTSKSCEYNGTTYQHG ELFIAEGLFQNRQPNQCSQCSCSEGNVYCGLKTCPKLTCAFPVSVPDSCCRVCRGDAELS WEHADGDIFRQPANREARHSYLRSPYDPPPNRQAGGLPRFPGSRSHRGAVIDSQQASGTI VQIVINNKHKHGQVCVSNGKTYSHGESWHPNLRAFGIVECVLCTCNVTKQECKKIHCPNR YPCKYPQKIDGKCCKVCPEEPPSQNFDSKGSFCGEETMPVYESVFMEDGETTRKVALETE RPPQVEVHVWTIQKGILQHFHIEKISKRMFGELHHFKLVTRTTLNQWKLFTEGEAQLSQM CSSQVCRTELEDLVQVLYLGRPEKDHC >ENSMUSP00000074230.5 pep:known chromosome:GRCm38:X:143297174:143394184:-1 gene:ENSMUSG00000031283.16 transcript:ENSMUST00000074660.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrdl1 description:chordin-like 1 [Source:MGI Symbol;Acc:MGI:1933172] MDGMKYIISLFFIFVFLEGSKTEQVKHSDTYCVFQDKKYRVGEKWHPYLEPYGLVYCVNC ICSENGNVLCSRVRCPSLHCLSPVHIPHLCCPRCPDSLPPVNNKVTSKSCEYNGTTYQHG ELFIAEGLFQNRQPNQCSQCSCSEGNVYCGLKTCPKLTCAFPVSVPDSCCRVCRGDAELS WEHADGDIFRQPANREARHSYLRSPYDPPPNRQAGGLPRFPGSRSHRGAVIDSQQASGTI VQIVINNKHKHGQVCVSNGKTYSHGESWHPNLRAFGIVECVLCTCNVTKQECKKIHCPNR YPCKYPQKIDGKCCKVCPGKKAKGALAGGPAFG >ENSMUSP00000146974.1 pep:known chromosome:GRCm38:X:143326038:143393901:-1 gene:ENSMUSG00000031283.16 transcript:ENSMUST00000207415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrdl1 description:chordin-like 1 [Source:MGI Symbol;Acc:MGI:1933172] MDGMKYIISLFFIFVFLEGSKTEQVKHSDTYCVFQDKKYRVGEKWHPYLEPYGLVYCVNC ICSENGNVLCSRVRCPSLHCLSPVHIPHLCCPRCPEDSLPPVNNKVTSKSCEYNGTTYQH GELFIAEGLFQNRQPNQCSQCSCSEGNVYCGLKTCPKLT >ENSMUSP00000108499.2 pep:known chromosome:GRCm38:X:143285684:143394262:-1 gene:ENSMUSG00000031283.16 transcript:ENSMUST00000112878.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrdl1 description:chordin-like 1 [Source:MGI Symbol;Acc:MGI:1933172] MDGMKYIISLFFIFVFLEGSKTEQVKHSDTYCVFQDKKYRVGEKWHPYLEPYGLVYCVNC ICSENGNVLCSRVRCPSLHCLSPVHIPHLCCPRCPDSLPPVNNKVTSKSCEYNGTTYQHG ELFIAEGLFQNRQPNQCSQCSCSEGNVYCGLKTCPKLTCAFPVSVPDSCCRVCRGDAELS WEHADGDIFRQPANREARHSYLRSPYDPPPNRQAGGLPRFPGSRSHRGAVIDSQQASGTI VQIVINNKHKHGQVCVSNGKTYSHGESWHPNLRAFGIVECVLCTCNVTKQECKKIHCPNR YPCKYPQKIDGKCCKVCPEEPPSQNFDSKGSFCGEETMPVYESVFMEDGETTRKVALETE RPPQVEVHVWTIQKGILQHFHIEKISKRMFGELHHFKLVTRTTLNQWKLFTEGEAQLSQM CSSQVCRTELEDLVQVLYLGRPEKDHC >ENSMUSP00000130284.2 pep:known chromosome:GRCm38:X:143297176:143393896:-1 gene:ENSMUSG00000031283.16 transcript:ENSMUST00000166406.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrdl1 description:chordin-like 1 [Source:MGI Symbol;Acc:MGI:1933172] MDGMKYIISLFFIFVFLEGSKTEQVKHSDTYCVFQDKKYRVGEKWHPYLEPYGLVYCVNC ICSENGNVLCSRVRCPSLHCLSPVHIPHLCCPRCPDSLPPVNNKVTSKSCEYNGTTYQHG ELFIAEGLFQNRQPNQCSQCSCSEGNVYCGLKTCPKLTCAFPVSVPDSCCRVCRGDAELS WEHADGDIFRQPANREARHSYLRSPYDPPPNRQAGGLPRFPGSRSHRGAVIDSQQASGTI VQIVINNKHKHGQVCVSNGKTYSHGESWHPNLRAFGIVECVLCTCNVTKQECKKIHCPNR YPCKYPQKIDGKCCKVCPGKKAKGALAGGPAFG >ENSMUSP00000046301.3 pep:known chromosome:GRCm38:2:85785513:85786451:1 gene:ENSMUSG00000033850.4 transcript:ENSMUST00000047870.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1015 description:olfactory receptor 1015 [Source:MGI Symbol;Acc:MGI:3030849] MDVDNRTILTEFILLGFSADPHWQLILFGIFLTIYLMTLLGNMTLIILIRIDSRLHTPMY FFIGGLSFLDFWYNSVYIPKILVNCVSEDKRISLAGCGAQFFFSCVAAYTECYLLAAMAY DRHAAICSPLLYSSIMSTSLCAGLVGASYVGGFLNAIAHTANTFRLRFCGKNIIDHFFCD VLPLVKMSCTDTRVYVKILSSMVGFTVLSSILAILISYLNILLAILRIRSASGRRKAFST CASHLVSVTLFYGSLLFMYSRPSSNYSLERDKVAAMFYTIINPLLNPFIYSLRNKDVKEA FKKLMQTIKQQT >ENSMUSP00000000199.7 pep:known chromosome:GRCm38:2:31245823:31295989:1 gene:ENSMUSG00000062661.6 transcript:ENSMUST00000000199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncs1 description:neuronal calcium sensor 1 [Source:MGI Symbol;Acc:MGI:109166] MGKSNSKLKPEVVEELTRKTYFTEKEVQQWYKGFIKDCPSGQLDAAGFQKIYKQFFPFGD PTKFATFVFNVFDENKDGRIEFSEFIQALSVTSRGTLDEKLRWAFKLYDLDNDGYITRNE MLDIVDAIYQMVGNTVELPEEENTPEKRVDRIFAMMDKNADGKLTLQEFQEGSKADPSIV QALSLYDGLV >ENSMUSP00000142269.1 pep:known chromosome:GRCm38:2:31246166:31292319:1 gene:ENSMUSG00000062661.6 transcript:ENSMUST00000150670.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ncs1 description:neuronal calcium sensor 1 [Source:MGI Symbol;Acc:MGI:109166] MGKSNSKLKPEVVEELTRKTYCTRASLRTAPAGSWMPLASRRSTSSSSHLETPPSSPRLF STSSTRTRMAGLSSPSSSRHCR >ENSMUSP00000097499.1 pep:known chromosome:GRCm38:2:85799339:85800268:-1 gene:ENSMUSG00000075209.1 transcript:ENSMUST00000099915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1016 description:olfactory receptor 1016 [Source:MGI Symbol;Acc:MGI:3030850] MERGNHTVSEFILLGFTSDPTTQLVLFVMFLIMYTLSVLGNITLIVLICNDSRLHTPMYF FIGNLSFLDLWLSNVYTPKILAICISENKSISFASCVAQFFFSAGLDYSECYLLAAMAYD RYVAISKPLIYSQAISMKLCAFFVAASYMGGFINSSIITKKTFTFDFCNDNVIDDFFCDL LPLVNLACGGKEGYQTLMYFLLTSNVMIPIALILASYIFIIATILRIRSTQGRMKAFSTC SSHLISVTLYYGSILYIYSRPRTRYSLDSDKVVSTFYTVVFPMLNPFIYSLRNKDVKEAM NKLFKIIPL >ENSMUSP00000002099.3 pep:known chromosome:GRCm38:9:44772935:44792714:1 gene:ENSMUSG00000002031.11 transcript:ENSMUST00000002099.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift46 description:intraflagellar transport 46 [Source:MGI Symbol;Acc:MGI:1923818] MADNSSDEYEEDNKEKKKPSQLTPQQGFSENDDDDDDDSSETDSDDDDDDEEHGAPLEGA YDPADYEHLPVSAEIKELFEYISRYTPQLIDLDHKLKPFIPDFIPAVGDIDAFLKVPRPD GKPDHLGLLVLDEPSTKQSDPTVLSLWLTENSKQHNITQHMKVKSLEDAEKNPKAIDTWI ESISELHRSKPPATVHYTRPMPDIDTLMQEWSPEFEELLGKVSLPTVEIDCSLAEYIDMI CAILDIPFYKSRIQSLHLLFSLYSEFKNSQHFKALAEGKKVFTPPPNSASQAGDAETLTF I >ENSMUSP00000120480.1 pep:known chromosome:GRCm38:9:44772960:44782137:1 gene:ENSMUSG00000002031.11 transcript:ENSMUST00000128150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift46 description:intraflagellar transport 46 [Source:MGI Symbol;Acc:MGI:1923818] MADNSSDEYEEDNKEKKKPSQLTPQQG >ENSMUSP00000122682.1 pep:known chromosome:GRCm38:9:44773100:44784049:1 gene:ENSMUSG00000002031.11 transcript:ENSMUST00000125877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift46 description:intraflagellar transport 46 [Source:MGI Symbol;Acc:MGI:1923818] MADNSSDEYEEDNKEKKKPSQLTPQQGFSENDDDDDDDSSETDSDDDDDDEEHGAPLEGA YDPADYEHLPVSAEIKELFEYISRYTPQLIDLDHKLKPFIPDFIPAVGDIDAF >ENSMUSP00000118412.1 pep:known chromosome:GRCm38:9:44773263:44782129:1 gene:ENSMUSG00000002031.11 transcript:ENSMUST00000147559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift46 description:intraflagellar transport 46 [Source:MGI Symbol;Acc:MGI:1923818] MADNSSDEYEEDNKEKKKPSQLTP >ENSMUSP00000116729.1 pep:known chromosome:GRCm38:9:44773700:44782198:1 gene:ENSMUSG00000002031.11 transcript:ENSMUST00000151905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift46 description:intraflagellar transport 46 [Source:MGI Symbol;Acc:MGI:1923818] MADNSSDEYEEDNKEKKKPSQLTPQQGFSENDDDDDDDSSETDSDDD >ENSMUSP00000113845.1 pep:known chromosome:GRCm38:9:44776377:44790895:1 gene:ENSMUSG00000002031.11 transcript:ENSMUST00000118186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift46 description:intraflagellar transport 46 [Source:MGI Symbol;Acc:MGI:1923818] MADNSSDEYEEDNKEKKKPSQLTPQQGFSENDDDDDDDSSETDSDDDDDDEEHGAPLEGA YDPADYEHLPVSAEIKELFEYISRYTPQLIDLDHKLKPFIPDFIPAVGDIDAFLKVPRPD GKPDHLGLLVLDEPSTKQSDPTVLSLWLTENSKQHNITQHMKVKSLEDAEKNPKAIDTWI ESISELHRSKPPATVHYTRPMPDIDTLMQEWSPEFEELLGKVSLPTVEIDCSLAEYIDMI CAILDIPFYKSRIQSLHLLFSLYSEFKNSQHFKALAEGKKVFTPPPNSASQAGDAETLTF I >ENSMUSP00000034231.3 pep:known chromosome:GRCm38:8:94745590:94751699:1 gene:ENSMUSG00000031779.3 transcript:ENSMUST00000034231.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl22 description:chemokine (C-C motif) ligand 22 [Source:MGI Symbol;Acc:MGI:1306779] MATLRVPLLVALVLLAVAIQTSDAGPYGANVEDSICCQDYIRHPLPSRLVKEFFWTSKSC RKPGVVLITVKNRDICADPRQVWVKKLLHKLS >ENSMUSP00000026156.7 pep:known chromosome:GRCm38:11:120780748:120784207:-1 gene:ENSMUSG00000025158.7 transcript:ENSMUST00000026156.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfng description:RFNG O-fucosylpeptide 3-beta-N-acetylglucosaminyltransferase [Source:MGI Symbol;Acc:MGI:894275] MSRARRVLCRACLALAAVLAVLLLLPLPLPLPLPRAPAPDPDRVPTRSLTLEGDRLQPDD VFIAVKTTRKNHGPRLRLLLRTWISRAPRQTFIFTDGDDPELQMLAGGRMINTNCSAVRT RQALCCKMSVEYDKFLESGRKWFCHVDDDNYVNPKSLLHLLSTFSSNQDIYLGRPSLDHP IEATERVQGGGTSNTVKFWFATGGAGFCLSRGLALKMSPWASLGSFMSTAERVRLPDDCT VGYIVEGLLGARLLHSPLFHSHLENLQRLPSGAILQQVTLSYGGPENPHNVVNVAGSFNI QQDPTRFQSVHCLLYPDTHWCPMKNRVEGAFQ >ENSMUSP00000050833.2 pep:known chromosome:GRCm38:2:85822973:85823908:1 gene:ENSMUSG00000043892.2 transcript:ENSMUST00000054201.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1018 description:olfactory receptor 1018 [Source:MGI Symbol;Acc:MGI:3030852] MDHMKTNFTVTEFVFLGLSSDPKVQLVLFFVFLFFYMLSVVGNIIIITIIQIEPRLKTPM YFFLANLSFLDICYTSTNVPQMLSNMVGSKKTIPFASCATQMYFSLSFGMIECVLLGVMA YDRYVAICHPLHYTVIMDQNTCIQLAAISWSSSFLSSMVINVLTLSLPYCGPNVLNHFFC EVPSVLRLACTDTSLTELVVFVFSIIIVFIPFLLIIVSYARILLSVLRMRSASGRHKALS TCASHLTVVTLFYGTAIFMYMRPQSKSSRAGGKVIAVFYTVVTPMLNPLIYSLRNQDVKG SLRRAITKQKT >ENSMUSP00000050374.3 pep:known chromosome:GRCm38:4:133600556:133602168:-1 gene:ENSMUSG00000047281.3 transcript:ENSMUST00000057311.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfn description:stratifin [Source:MGI Symbol;Acc:MGI:1891831] MERASLIQKAKLAEQAERYEDMAAFMKSAVEKGEELSCEERNLLSVAYKNVVGGQRAAWR VLSSIEQKSNEEGSEEKGPEVKEYREKVETELRGVCDTVLGLLDSHLIKGAGDAESRVFY LKMKGDYYRYLAEVATGDDKKRIIDSARSAYQEAMDISKKEMPPTNPIRLGLALNFSVFH YEIANSPEEAISLAKTTFDEAMADLHTLSEDSYKDSTLIMQLLRDNLTLWTADSAGEEGG EAPEEPQS >ENSMUSP00000098646.2 pep:known chromosome:GRCm38:11:61488812:61494266:-1 gene:ENSMUSG00000001034.17 transcript:ENSMUST00000101085.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk7 description:mitogen-activated protein kinase 7 [Source:MGI Symbol;Acc:MGI:1346347] MPFSCSPGQQVAIKKIPNAFDVVTNAKRTLRELKILKHFKHDNIIAIKDILKPTVPYGEF RSVYVVLDLMESDLHQIIHSSQPLTLEHVRYFLYQLLRGLKYMHSAQVIHRDLKPSNLLV NENCELKIGDFGMARGLCTSPAEHQYFMTEYVATRWYRAPELMLSLHEYTQAIDLWSVGC IFGEMLARRQLFPGKNYVHQLQLIMMVLGTPSPAVIQAVGAERVRAYIQSLPPRQPVPWE TVYPGADRQALSLLGRMLRFEPSARISAAAALRHPFLAKYHDPDDEPDCAPPFDFAFDRE ALTRERIKEAIVAEIEDFHARREGIRQQIRFQPSLQPVASEPVCPDVEMPSPWAPSGDCA MESPPPALPPCSDPAPDTVDLTLQPAPPANGPSAPLEAPEPRKPVTAQERQREREEKRRR RQERAKEREKRRQERERKERGAGTLGGPSTDPLAGLVLSDNDRSLLERWTRMARPPAPAP APAPAPAPAPSSAQPTSTPTGPVSQSTGPLQPAGSIPGPASQPVCPPPGPVPQPAGPIPA PLQTAPSTSLLASQSLVPPSGLPGSGAPEVLPYFPSGPPPPDPGLTPQPSTSESPDVNLV TQQLSKSQVEDPLPPVFSGTPKGSGAGYGVGFDLEEFLNQSFDMGVADGPQDGQADSASL SASLLADWLEGHGMNPADIESLQREIQMDSPMLLSDLPDLQEP >ENSMUSP00000078087.6 pep:known chromosome:GRCm38:11:61488812:61494296:-1 gene:ENSMUSG00000001034.17 transcript:ENSMUST00000079080.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk7 description:mitogen-activated protein kinase 7 [Source:MGI Symbol;Acc:MGI:1346347] MAEPLKEEDGEDGSGEPPGRVKAEPVHTAASVVAKNLALLKARSFDVTFDVGDEYEIIET IGNGAYGVVSSARRRLTGQQVAIKKIPNAFDVVTNAKRTLRELKILKHFKHDNIIAIKDI LKPTVPYGEFRSVYVVLDLMESDLHQIIHSSQPLTLEHVRYFLYQLLRGLKYMHSAQVIH RDLKPSNLLVNENCELKIGDFGMARGLCTSPAEHQYFMTEYVATRWYRAPELMLSLHEYT QAIDLWSVGCIFGEMLARRQLFPGKNYVHQLQLIMMVLGTPSPAVIQAVGAERVRAYIQS LPPRQPVPWETVYPGADRQALSLLGRMLRFEPSARISAAAALRHPFLAKYHDPDDEPDCA PPFDFAFDREALTRERIKEAIVAEIEDFHARREGIRQQIRFQPSLQPVASEPVCPDVEMP SPWAPSGDCAMESPPPALPPCSDPAPDTVDLTLQPAPPASELAPPKREGAISDNTKAALK AALLKSLRSRLRDGPSAPLEAPEPRKPVTAQERQREREEKRRRRQERAKEREKRRQERER KERGAGTLGGPSTDPLAGLVLSDNDRSLLERWTRMARPPAPAPAPAPAPAPAPSSAQPTS TPTGPVSQSTGPLQPAGSIPGPASQPVCPPPGPVPQPAGPIPAPLQTAPSTSLLASQSLV PPSGLPGSGAPEVLPYFPSGPPPPDPGLTPQPSTSESPDVNLVTQQLSKSQVEDPLPPVF SGTPKGSGAGYGVGFDLEEFLNQSFDMGVADGPQDGQADSASLSASLLADWLEGHGMNPA DIESLQREIQMDSPMLLSDLPDLQEP >ENSMUSP00000104354.1 pep:known chromosome:GRCm38:11:61488812:61494296:-1 gene:ENSMUSG00000001034.17 transcript:ENSMUST00000108714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk7 description:mitogen-activated protein kinase 7 [Source:MGI Symbol;Acc:MGI:1346347] MCGLLSRGGQQVAIKKIPNAFDVVTNAKRTLRELKILKHFKHDNIIAIKDILKPTVPYGE FRSVYVVLDLMESDLHQIIHSSQPLTLEHVRYFLYQLLRGLKYMHSAQVIHRDLKPSNLL VNENCELKIGDFGMARGLCTSPAEHQYFMTEYVATRWYRAPELMLSLHEYTQAIDLWSVG CIFGEMLARRQLFPGKNYVHQLQLIMMVLGTPSPAVIQAVGAERVRAYIQSLPPRQPVPW ETVYPGADRQALSLLGRMLRFEPSARISAAAALRHPFLAKYHDPDDEPDCAPPFDFAFDR EALTRERIKEAIVAEIEDFHARREGIRQQIRFQPSLQPVASEPVCPDVEMPSPWAPSGDC AMESPPPALPPCSDPAPDTVDLTLQPAPPASELAPPKREGAISDNTKAALKAALLKSLRS RLRDGPSAPLEAPEPRKPVTAQERQREREEKRRRRQERAKEREKRRQERERKERGAGTLG GPSTDPLAGLVLSDNDRSLLERWTRMARPPAPAPAPAPAPAPAPSSAQPTSTPTGPVSQS TGPLQPAGSIPGPASQPVCPPPGPVPQPAGPIPAPLQTAPSTSLLASQSLVPPSGLPGSG APEVLPYFPSGPPPPDPGLTPQPSTSESPDVNLVTQQLSKSQVEDPLPPVFSGTPKGSGA GYGVGFDLEEFLNQSFDMGVADGPQDGQADSASLSASLLADWLEGHGMNPADIESLQREI QMDSPMLLSDLPDLQEP >ENSMUSP00000116084.1 pep:known chromosome:GRCm38:11:61489459:61490938:-1 gene:ENSMUSG00000001034.17 transcript:ENSMUST00000153441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk7 description:mitogen-activated protein kinase 7 [Source:MGI Symbol;Acc:MGI:1346347] AEIEDFHARREGIRQQIRFQPSLQPVASEPVCPDVEMPSPWAPSGDCAMESPPPALPPCS DPAPDTVDLTLQPAPPASELAPPKREGAISDNTKAALKAALLKSLRSRLRDGPSAPLEAP EPRKPVTAQERQREREEKRRRRQERAKEREKRRQERERKERGADPGLTPQPSTSESPDVN LVTQQLSKSQVEDPLPPVFSGTP >ENSMUSP00000123907.2 pep:known chromosome:GRCm38:9:106838312:106891872:-1 gene:ENSMUSG00000032575.16 transcript:ENSMUST00000159620.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Manf description:mesencephalic astrocyte-derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:1922090] XALALSVLPDSRALRPGDCEVCISYLGRFYQDLKDRDVTFSPATIEEELIKFCREARGKE NRLCYYIGATDDAATKIINEVSKPLAHHIPVEKICEKLKKKDSQICELKYGLEPFLETIK T >ENSMUSP00000125424.1 pep:known chromosome:GRCm38:9:106869198:106890283:-1 gene:ENSMUSG00000032575.16 transcript:ENSMUST00000161272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Manf description:mesencephalic astrocyte-derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:1922090] XDDAATKIINEVSKPLAHHIPVEKICEKLKKKDSQICELKYGLEPFLETIKT >ENSMUSP00000124562.1 pep:known chromosome:GRCm38:9:106887415:106891979:-1 gene:ENSMUSG00000032575.16 transcript:ENSMUST00000159283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Manf description:mesencephalic astrocyte-derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:1922090] MWATRGLAVALALSVLPDSRALRPGDCEVCISYLGRFYQDLKDRDVTFSPATIEEELIKF CREARGKENRLCYYIGATDDAATKIINEVSKPLAHHIPVEKICEKLKKKDSQICELKYDK QIDLSTVDLKKLRVKELKKILDDWGEMCKGCAEKSDYIRKINELMPKYAPKAASARTDL >ENSMUSP00000066534.7 pep:known chromosome:GRCm38:9:106888753:106891415:-1 gene:ENSMUSG00000032575.16 transcript:ENSMUST00000069036.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Manf description:mesencephalic astrocyte-derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:1922090] METNNYLPSPPSFPVCISYLGRFYQDLKDRDVTFSPATIEEELIKFCREARGKENRLCYY IGATDDAATKIINEVSKPLAHHIPVEKICEKLKKKDSQICELKYDKQIDLSTVDLKKLRV KELKKILDDWGEMCKGCAEKSDYIRKINELMPKYAPKAASARTDL >ENSMUSP00000124453.1 pep:known chromosome:GRCm38:9:106889084:106891870:-1 gene:ENSMUSG00000032575.16 transcript:ENSMUST00000160503.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Manf description:mesencephalic astrocyte-derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:1922090] XLALSVLPDSRALRPGDCEVCISYLGRFYQDLKDRDVTFSPATIEEELIKFCREARGKEN RLCYYIGATDDAATKIINEVSKPLAHHIPVEKICEKLKKKDSQICELKYASPAQPFTLLL SLQTSRLT >ENSMUSP00000133529.1 pep:known chromosome:GRCm38:17:36258873:36268752:-1 gene:ENSMUSG00000045409.16 transcript:ENSMUST00000173665.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trim39 description:tripartite motif-containing 39 [Source:MGI Symbol;Acc:MGI:1890659] ESLCSQHHEPLSLFCYEDQEAVCLICAISHTHRPHTVVPMDDATQEYKMEEAEGSEVGYS >ENSMUSP00000109336.3 pep:known chromosome:GRCm38:17:36258873:36271567:-1 gene:ENSMUSG00000045409.16 transcript:ENSMUST00000113706.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim39 description:tripartite motif-containing 39 [Source:MGI Symbol;Acc:MGI:1890659] MAETSLLEAGTSAASTAAALENLQVEASCSVCLEYLKEPVIIECGHNFCKACITRWWEDL ERDFPCPVCRKTSRYRSLRPNRQLGSMVEIAKQLQTVKRKIRDESLCSQHHEPLSLFCYE DQEAVCLICAISHTHRPHTVVPMDDATQEYKEKLQKCLEPLEQKLQEITCCKASEEKKPG ELKRLVESRRQQILKEFEELHRRLDEEQQTLLSRLEEEEQDILQRLRENAAHLGDRRRDL AHLAAEVEGKCLQSGFEMLKDVKSTLEKCEKVKTMEVTSVSIELEKNFSNFPRQYFALRK ILKQLIADVTLDPETAHPNLVLSEDRKSVKFVETRLRDLPDTPQRFTFYPCVLATEGFTS GRHYWEVEVGDKTHWAVGVCRDSVSRKGELTPLPETGYWRVRLWNGDKYAATTTPFTPLH IKVKPKRVGIFLDYEAGTLSFYNVTDRSHIYTFTDTFTEKLWPLFYPGIRAGRKNAAPLT IRPPTDWE >ENSMUSP00000039790.6 pep:known chromosome:GRCm38:17:36258873:36272004:-1 gene:ENSMUSG00000045409.16 transcript:ENSMUST00000042717.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim39 description:tripartite motif-containing 39 [Source:MGI Symbol;Acc:MGI:1890659] MAETSLLEAGTSAASTAAALENLQVEASCSVCLEYLKEPVIIECGHNFCKACITRWWEDL ERDFPCPVCRKTSRYRSLRPNRQLGSMVEIAKQLQTVKRKIRDESLCSQHHEPLSLFCYE DQEAVCLICAISHTHRPHTVVPMDDATQEYKEKLQKCLEPLEQKLQEITCCKASEEKKPG ELKRLVESRRQQILKEFEELHRRLDEEQQTLLSRLEEEEQDILQRLRENAAHLGDRRRDL AHLAAEVEGKCLQSGFEMLKDVKSTLEKCEKVKTMEVTSVSIELEKNFSNFPRQYFALRK ILKQLIADVTLDPETAHPNLVLSEDRKSVKFVETRLRDLPDTPQRFTFYPCVLATEGFTS GRHYWEVEVGDKTHWAVGVCRDSVSRKGELTPLPETGYWRVRLWNGDKYAATTTPFTPLH IKVKPKRVGIFLDYEAGTLSFYNVTDRSHIYTFTDTFTEKLWPLFYPGIRAGRKNAAPLT IRPPTDWE >ENSMUSP00000133298.1 pep:known chromosome:GRCm38:17:36259110:36269220:-1 gene:ENSMUSG00000045409.16 transcript:ENSMUST00000173369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim39 description:tripartite motif-containing 39 [Source:MGI Symbol;Acc:MGI:1890659] MAETSLLEAGTSAASTAAALENLQVEASCSVCLEYLKEPVIIECGHNFCKACITRWWEDL ERDFPCPVCRKTSRYRSLRPNRQLGSMVEIAKQLQTVKRKIRDESLCSQHHEPLSLFCYE DQEAVCLICAISHTHRPHTVVPMDDATQEYKEKLQKCLEPLEQKLQEITCCKASEEKKPG ELKRLVESRRQQILKEFEELHRRLDEEQQTLLSRLEEEEQDILQRLRENAAHLGDRRRDL AHLAAEVEGKCLQSGFEMLKDVKSTLEKCEKVKTMEVTSVSIELEKNFSNFPRQYFALRK ILKQLIAPLWLLPPADVTLDPETAHPNLVLSEDRKSVKFVETRLRDLPDTPQRFTFYPCV LATEGFTSGRHYWEVEVGDKTHWAVGVCRDSVSRKGELTPLPETGYWRVRLWNGDKYAAT TTPFTPLHIKVKPKRVGIFLDYEAGTLSFYNVTDRSHIYTFTDTFTEKLWPLFYPGIRAG RKNAAPLTIRPPTDWE >ENSMUSP00000107223.1 pep:known chromosome:GRCm38:5:123394798:123446896:1 gene:ENSMUSG00000038342.15 transcript:ENSMUST00000111596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlxip description:MLX interacting protein [Source:MGI Symbol;Acc:MGI:2141183] MAADVFMCSPRRPRSRGRSVLLKPQVPEDDDDSDTDEPSPPPPSGVATSARAHASAAPLP PRAGPGREEPPRRQQIIHSGHFMVSSPHREHPPKKGYDFDTVNKQTCQTYSFGKTSSCHL SIDASLTKLFECMTLAYSGKLVSPKWKNFKGLKLQWRDKIRLNNAIWRAWYMQYLEKRKN PVCHFVTPLDGSVDVDEHRRPEAITTEGKYWKSRIEIVIREYHKWRTYFKKRLQQHKDED LSSLAQDDDMLYWHKHGDGWKTPVPMEEDSLLDTDMLMSEFSDTLFSTLSSHQPVAWPNP REIAHLGNADMIQPGLIPLQPNLDFMDTFEPFQDLFSSSRSIFGSMLPPPSSLPAADPSS PPSQGNILPNTALPPASLPNSLITSSAAPSLDPTEGQGCERTSQTVDPFIQPADFGPSEP PLSVPQPFLPVFTMTLLSPGPAPAPVPTALPLVPSPAPTLNPPTPPAFLQPQKFAGVSKS TPVITHTASATLTHDASATTFSQNQGLVITAHHPTPSSSPCALALSPVPQPPAVGPPQPH LTFIHPKPVSLTGVRHKQPPKIVPAPKPEPVSLVLKNACIAPGELVGGVVSQNCLGSNVS LSLRLYIGKNSLPT >ENSMUSP00000064943.5 pep:known chromosome:GRCm38:5:123394800:123457932:1 gene:ENSMUSG00000038342.15 transcript:ENSMUST00000068237.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlxip description:MLX interacting protein [Source:MGI Symbol;Acc:MGI:2141183] MAADVFMCSPRRPRSRGRSVLLKPQVPEDDDDSDTDEPSPPPPSGVATSARAHASAAPLP PRAGPGREEPPRRQQIIHSGHFMVSSPHREHPPKKGYDFDTVNKQTCQTYSFGKTSSCHL SIDASLTKLFECMTLAYSGKLVSPKWKNFKGLKLQWRDKIRLNNAIWRAWYMQYLEKRKN PVCHFVTPLDGSVDVDEHRRPEAITTEGKYWKSRIEIVIREYHKWRTYFKKRLQQHKDED LSSLAQDDDMLYWHKHGDGWKTPVPMEEDSLLDTDMLMSEFSDTLFSTLSSHQPVAWPNP REIAHLGNADMIQPGLIPLQPNLDFMDTFEPFQDLFSSSRSIFGSMLPPPSSLPAADPSS PPSQGNILPNTALPPASLPNSLITSSAAPSLDPTEGQGCERTSQTVDPFIQPADFGPSEP PLSVPQPFLPVFTMTLLSPGPAPAPVPTALPLVPSPAPTLNPPTPPAFLQPQKFAGVSKS TPVITHTASATLTHDASATTFSQNQGLVITAHHPTPSSSPCALALSPVPQPPAVGPPQPH LTFIHPKPVSLTGVRHKQPPKIVPAPKPEPVSLVLKNACIAPAAFSGQPQKVIMTSAPLK REGILASTVSPSNVVIASAAITRASGVTEFLSHSTSSQPSPVSRLFSPSTVQDSLVKGEQ VSLHGGSPQVPATGSSRDCPNSGQASPCPSEQSPSPQSPQNNCSGKSTDPKNVAALKNRQ KHISAEQKRRFNIRMGFNTLNSLISNNSKQTSHAITLQKTMEYITKLQQERMQMQEEARR LREEIEELNTTIISCQQLLPATGVPVNCRQLDHMRDMFDEYVKSRTLQNWKFWIFSMIIK PLFESFKGMVSTSSLEEFHRTALSWLDQHCSLPVLRPMVLSTLRQLSTTTSILTDPSQLP EQASEAVTRMGKRSGES >ENSMUSP00000120510.1 pep:known chromosome:GRCm38:5:123446039:123457929:1 gene:ENSMUSG00000038342.15 transcript:ENSMUST00000135961.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mlxip description:MLX interacting protein [Source:MGI Symbol;Acc:MGI:2141183] XHKQPPKIVPAPKPEPVSLVLKNACIAPAAFSGQPQKVIMTSAPLKREGILASTVSPSNV VIASAAITRASGVTEFLSHSTSSQPSPVSRLFSPSTVQDSLVKGEQVSLHGGSPQVPATG SSRDCPNSGQASPCPSEQSPSPQSPQNNCSGKSTDPKNVAALKNRQKHISAEQKRRFNIR MGFNTLNSLISNNSKQTSHAITLQKTMEYITKLQQERMQMQEEARRLREEIEELNTTIIF L >ENSMUSP00000091226.6 pep:known chromosome:GRCm38:1:85576899:85598817:-1 gene:ENSMUSG00000070034.13 transcript:ENSMUST00000093508.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp110 description:Sp110 nuclear body protein [Source:MGI Symbol;Acc:MGI:1923364] MFTLTKALEKALLQHFIYMKVNIAYAINKPFPFFEALRDNSFITERMYKESLEACQNLVP LSKVVHNILTSLEQTFHPSVLLTLFSKVNLREYPSLVAIFRSFRNVGYTYEEKNRPPLTL LEDLANPAEGCSLQTLLPPPRPQISLPSHLSSAPRVCDPRATAQPIIEILDEQPSPSPRA VPLLGCIQEGKTTPVSSRDHQRKDKEDSREMPHSPSGPESVVKDDSPAANDLEMAREVPC TPANKKARRKKRPNWSNSKRRRQKKKPRQDEMMGVASPGHGVQEKLKAVSRRTLWKDDSS TNVKEVTKTQRTRMRRAQTSNSQEISKEASKTSGRKRPSTARRTTQVPEKTKNDAVDFSP TLPVTCGKAKGTLFQEKLKQGASKKCIQNEAGDWLTVKEFLNEGGRATSKDWKGVIRCNG ETLRHLEQKGLLFFTSKSKPQKKGA >ENSMUSP00000004868.5 pep:known chromosome:GRCm38:11:4091480:4095445:-1 gene:ENSMUSG00000004748.5 transcript:ENSMUST00000004868.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfp1 description:mitochondrial fission process 1 [Source:MGI Symbol;Acc:MGI:1916686] MSEQQRQGAERDLYRDTWVRYLGYANEVGEAFRSLVPAAVVWLSYGVSSSYVLADAIDKG KKAGEVPSPEAGRNTRMALAVVDTFVWQSLASVAIPGFTINRLCAASLYVLGTMTHWPPT VRKWTTTTLGLLAIPVIIHPIDRSVDFLLDSSLRKLYPSVEKPSTP >ENSMUSP00000127711.1 pep:known chromosome:GRCm38:9:98842503:98843385:1 gene:ENSMUSG00000091080.2 transcript:ENSMUST00000170349.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr23a1 description:proline rich 23A, member 1 [Source:MGI Symbol;Acc:MGI:3645743] MLRTRPRSPSANPAPCWSPQTPAPSPAKRRRLHQEPACPEPLAQPELEAPAEPASSALTS VVFLAAGSALQLPLDGIDLLLEPEPTSVLQVSLQEHTILLVPEGLMTQPQPGQPGFVAIS PQGAAAQDGPQDHLVGLQEETFCEYFYQEDVCDEDADLELLEPWARPPDDQANGNFSSIP GVPSPLLQEQVPGPSTGAERYSPRFIWELDSYLLGPLPGSPLQPLPPSPSQSPQEQLPPR PPCSPRAPCKARKRLVYD >ENSMUSP00000123325.1 pep:known chromosome:GRCm38:X:166457253:166479867:-1 gene:ENSMUSG00000079316.10 transcript:ENSMUST00000112091.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab9 description:RAB9, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1890695] MAGKSSLFKIILLGDGGVGKSSLMNRYVTNKFDSQLFHTIGVEFLNKDLEVDGHFVTMQI WDTAGQERFRSLRTPFYRGSDCCLLTFSVDDSQSFQNLSNWKKEFIYYADVKEPESFPFV ILGNKTDIKERQVSTEEAQAWCKDNGDYPYFETSAKDSTNVAAAFEEAVRRILATEDRSE HLIQTDTVNLHRKPKPNSSCC >ENSMUSP00000045127.8 pep:known chromosome:GRCm38:X:166457750:166479646:-1 gene:ENSMUSG00000079316.10 transcript:ENSMUST00000049435.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab9 description:RAB9, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1890695] MSALDSKSKLTLLIMAGKSSLFKIILLGDGGVGKSSLMNRYVTNKFDSQLFHTIGVEFLN KDLEVDGHFVTMQIWDTAGQERFRSLRTPFYRGSDCCLLTFSVDDSQSFQNLSNWKKEFI YYADVKEPESFPFVILGNKTDIKERQVSTEEAQAWCKDNGDYPYFETSAKDSTNVAAAFE EAVRRILATEDRSEHLIQTDTV >ENSMUSP00000119240.1 pep:known chromosome:GRCm38:X:166458135:166475435:-1 gene:ENSMUSG00000079316.10 transcript:ENSMUST00000149315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab9 description:RAB9, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1890695] MAGKSSLFKIILLGDGGVGKSSLMNRYVTNKFDSQLFHTIGVEFLNKDLEVDGHFVTMQ >ENSMUSP00000019069.3 pep:known chromosome:GRCm38:11:83511736:83522175:-1 gene:ENSMUSG00000018925.3 transcript:ENSMUST00000019069.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heatr9 description:HEAT repeat containing 9 [Source:MGI Symbol;Acc:MGI:3650286] MESQEKQADFFQIPKSMDYRNRNKKFRKAMAPVHLPLSCYKVPKEAFPPSPECWRVHPSR PNATPCLHLDKKPDLFTHWRTLNEQRKERESKSLFWKRRNFPRYFKDSAQMPNLHVSISK LTLKPKEGPGLLDPTKDPLKWQRLKELTNGLNSPQEDEQCYAAYALGHLGISNKFVMEAL WQVAQTGSTKVKNEAYKSLASLGCLDKSVIKAFIKQLMEHKESQRLETLVGLRVALNSWA AMPKNKKPEVPYEEKLVLLLQNLIYKPLNEESLEAALCLGFLRPSNSIVQQFLLQCLSKG SMSQRMKALRMLVKMMNVHSAAVTRAILEQLHSNVIDDRLEATQMLKTVGLEKIQAQGLV KLTFDLLKRKMYSEPFQVIRQAVSETVDVLKMKPLIMKLMEAQLMSPDVSDRQEAIISLG ALGIRNEKVFHLLLDMLDAEETKSVKKSIQETLLVWASRNPWIQNKLTNKVFFVYDIPKA VKTEHTRFRKKPESPDDLCITDFRRAKLNPLFITKAYNKLGEKLEAPAFSFYFSKPKKQR PQATGPWEPKIREQLRNMVLPQNRFLFWL >ENSMUSP00000146979.1 pep:known chromosome:GRCm38:19:40748753:40827743:1 gene:ENSMUSG00000108929.1 transcript:ENSMUST00000207801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cc2d2b description:coiled-coil and C2 domain containing 2B [Source:MGI Symbol;Acc:MGI:3645359] MKKISKRREEIFKKMSEAANSSTEEMVDRHLSKEENRNITETLRGKVREKLKNAKISQGE KSSAEPLTDTKTYRWSKTEVLLDEGLSFFILSGEEDSAVSQSSQQKTVSESYSKQSASGG GFRNTAEGPDEDFMEEVILTDLFEVKAADYEDDQEQIKKQEANIFVPSSSPVASQQKLPK GMLPRILEDEGLYVQKKPETYKKICNKMENRLLSLQEGKCWFEESGEIMSLPSPIRPSWN YRLCMSKESLNPALKTIHRKAVKSDVGCCFRDRMDGQREMYQLDLNIVGLQFSHHPLFSQ EHVLCARLLRLCESLQDRQRQSVSQLLYEKLRALKDATKLISKNPELSQFTTKSLQDYYR QISDTKQLYEAEREKDHSLLHSVLQTWKQIKSLRQKQGFTSTPVKVQFHRVKTNTWDEQN QAGVSEGPGDGSGETPLQNEDTEETLSHPASDPEGTEVEKTKPITLVPQLSFTAELTNLS KCSFVLRNVDARGVPGLPWIVNSQKLCEWADDARIDPHNPDYSDITEHIMCLRHKGQEIP KYFRLEQLQDEFNFVSEEEMERSKRFQLLQLRNAGQLDLFLLQPMPLYDNEIPDIVFQVR RLIMKRIVKVNKFNLSDIVADYEEIVSTSQLTDAICKFIEPRRKLKPQRKERRKVTAQSI SDGDMKILVRILRAYNIPTRKTVSYSSPGHDYSFSSLSKIKDNIHINIFDEVVIEKHEIS GTFQVNIPPILLGYTWSNTYVPPREDCSGQNPKECTFLNIFATIEPQISYVTYNPKVDEF SDQIDILQRAQTFTRNCKAMFPNRRVVATVFNGEGMHILVTRYIKALNPPQQLLDIFLHD SNATLDLIARFVSLIPFAAETLDENDGSDIWMTSECCISLAIGNKEEHAILLCNFFLYFG KKALVTLGTSVLEGHVAYVLTQETDECLLWNPSTGQCHKQFDPFCPLQSVDCLFNDKNVW FNIQQNNTPMAVQFDYSKESFWKQLLPKNVQGTKAQSVQVTGFPIQMPYTDVQAVIDAVY QTGLHASEFPQTEFALAVYIHPYPNNILSVWVYLASLARHQ >ENSMUSP00000146608.1 pep:known chromosome:GRCm38:19:40774370:40778148:1 gene:ENSMUSG00000108929.1 transcript:ENSMUST00000207863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cc2d2b description:coiled-coil and C2 domain containing 2B [Source:MGI Symbol;Acc:MGI:3645359] XDTKQLYEAEREKDHSLLHSVLQTWKQIKSLRQKQGFTSTPVKVQFHSIFHSLRALTSSE NEAITSWFVITPHVFWIGLVSLGTAPWVKTNTWDEQNQAGVSEGPGDGSGETPLQNEDTE E >ENSMUSP00000000940.8 pep:known chromosome:GRCm38:5:135369953:135376798:1 gene:ENSMUSG00000000916.15 transcript:ENSMUST00000000940.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun5 description:NOL1/NOP2/Sun domain family, member 5 [Source:MGI Symbol;Acc:MGI:2140844] MGLYAAAAAVLAGVESRQGSLKGLVYSSNFQNLKQLYALVCETQRYSAVLDAVIASAGLL RAEKKLRPHLAKVLVYELLLGKGFRGGGGRWKALLGRHQARLKAELARLKVHRGVSRNED LLQESSRPGQAYQVPRFVRVNTLKTRPEDAIDYFKRQGFSYQGRASSLEDLRALKGQHFL LDPLLPELLVFPAQTDLHEHPLYRAGHLILQDKASCLPAMLLSPPPGSHVIDACAAPGNK TSYIAALLKNQGKIFAFDQDAKRLAAMATLVARAGVSCCELAEKDFLTVSPSDQRYSQVQ YILLDPSCSGSGMLSRQLEEHGEGTPSKERLQALAGFQQRALCHALRFPSLQRLVYSTCS LCQEENEDVVQEALQHNSGTFRLAPVLPTWPHRGLSTFPGSEHCLRASPETTLTGGFFIA VFERAEVVPTPAPQTDAMDPEPLSQVPKRKRRRKAAVGASMQPST >ENSMUSP00000128370.1 pep:known chromosome:GRCm38:5:135369975:135374204:1 gene:ENSMUSG00000000916.15 transcript:ENSMUST00000170342.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nsun5 description:NOL1/NOP2/Sun domain family, member 5 [Source:MGI Symbol;Acc:MGI:2140844] MGLYAAAAAVLAGVESRQGSLKGLVYSSNFQNLKQLYALVCETQRYSAVLDAVIASAGLL RAEKKLRPHLAKPGRLASSQGAAFSFGSLAA >ENSMUSP00000143856.1 pep:known chromosome:GRCm38:5:135369991:135374065:1 gene:ENSMUSG00000000916.15 transcript:ENSMUST00000202422.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nsun5 description:NOL1/NOP2/Sun domain family, member 5 [Source:MGI Symbol;Acc:MGI:2140844] XYAAAAAVLAGVESRQGSLKGLVYSSNFQNLKQLYALVCETQRYSAVLDAVIASAGLLRA EKKLRPHLAKVLVYELLLGKGFRGGGGRWKALLGRHQARLKAELARLKVHRGVSRNEDLL QESSRPGQGTTVRACEHAQDPP >ENSMUSP00000029105.5 pep:known chromosome:GRCm38:2:181364928:181380794:1 gene:ENSMUSG00000027582.16 transcript:ENSMUST00000029105.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zgpat description:zinc finger, CCCH-type with G patch domain [Source:MGI Symbol;Acc:MGI:2449939] MDEDNLETALQTYRAQLQQVELALGAGLDASEQADLRQLQGDLKELIELTEASLLSVRKS KLLSTVDQESPAQEDAEYLAFQKAIAEEVEAPGAPCNDSETAPGSEVQPGSTSSALEEEE EDPDLEELSGAKVNAPYYSAWGTLEYHNAMVVGAEEAEDGSACVRVLYLYPTHKSLKPCP FFLEGKCRFKENCRFSHGQVVSVDELRPFQDPDLSLLQTGSACLAKHQDGLWHPARITDV DNGYYTVKFDSLLLKEAVVEGDSILPPLRTEATESSDSDTGDASDSSYARVVEPSTVDTG TCSSAFAGWEVHTRGIGSKLLVKMGYEFGKGLGRHAEGRVEPIHAVVLPRGKSLDQCAEI LQKKTKRGQAGSNRPPKCRRSGSRPEGRPPPRNVFDFLNEKLQSQVPGTPDAGVDTPERR NKDMYHASKSAKQALSLQLFQTEEKIERTQRDIRGIQEALTRNTGRHNMTTAHLQEKLEG AQRQLGQLRAQEADLQRKQRKADTHRKMTEF >ENSMUSP00000104435.2 pep:known chromosome:GRCm38:2:181365405:181380782:1 gene:ENSMUSG00000027582.16 transcript:ENSMUST00000108807.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zgpat description:zinc finger, CCCH-type with G patch domain [Source:MGI Symbol;Acc:MGI:2449939] MDEDNLETALQTYRAQLQQVELALGAGLDASEQADLRQLQGDLKELIELTEASLLSVRKS KLLSTVDQESPAQEDAEYLAFQKAIAEEVEAPGAPCNDSETAPGSEVQPGSTSSALEEEE EDPDLEELSGAKVNAPYYSAWGTLEYHNAMVVGAEEAEDGSACVRVLYLYPTHKSLKPCP FFLEGKCRFKENCRFSHGQVVSVDELRPFQDPDLSLLQTGSACLAKHQDGLWHPARITDV DNGYYTVKFDSLLLKEAVVEGDSILPPLRTEATESSDSDTGDASDSSYARVVEPSTVDTG TCSSAFAGWEVHTRGIGSKLLVKMGYEFGKGLGRHAEGRVEPIHAVVLPRGKSLDQCAEI LQKKTKRGQAGSNRPPKCRRSGSRPEGRPPPRNVFDFLNEKLQSQVPGTPDAGVDTPERR NKDMYHASKSAKQALSLQLFQTEEKIERTQRDIRGIQEALTRNTGRHNMTTAHLQEKLEG AQRQLGQLRAQEADLQRKQRKADTHRKMTEF >ENSMUSP00000112067.2 pep:known chromosome:GRCm38:2:181365423:181383628:1 gene:ENSMUSG00000027582.16 transcript:ENSMUST00000116366.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zgpat description:zinc finger, CCCH-type with G patch domain [Source:MGI Symbol;Acc:MGI:2449939] MDEDNLETALQTYRAQLQQVELALGAGLDASEQADLRQLQGDLKELIELTEASLLSVRKS KLLSTVDQESPAQEDAEYLAFQKAIAEEVEAPGAPCNDSETAPGSEVQPGSTSSALEEEE EDPDLEELSGAKVNAPYYSAWGTLEYHNAMVVGAEEAEDGSACVRVLYLYPTHKSLKPCP FFLEGKCRFKENCRFSHGQVVSVDELRPFQDPDLSLLQTGSACLAKHQDGLWHPARITDV DNGYYTVKFDSLLLKEAVVEGDSILPPLRTEATESSDSDTGDASDSSYARVVEPSTVDTG TCSSAFAGWEVHTRGIGSKLLVKMGYEFGKGLGRHAEGRVEPIHAVVLPRGKSLDQCAEI LQKKTKRGQAGSNRPPKCRRSGSRPEGRPPPRNVFDFLNEKLQSQVPGTPDAGVDTPERR NKDMYHASKSAKQALSLQLFQTEEKIERTQRDIRGIQEALTRNTGRHNMTTAHLQEKLEG AQRQLGQLRAQEADLQRKQRKADTHRKMTEF >ENSMUSP00000122714.1 pep:known chromosome:GRCm38:2:181379639:181380738:1 gene:ENSMUSG00000027582.16 transcript:ENSMUST00000156258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zgpat description:zinc finger, CCCH-type with G patch domain [Source:MGI Symbol;Acc:MGI:2449939] XGIGSKLLVKMGYEFGKGLGRHAEGRVEPIHAVVLPRGKSLDQCAEILQKKTKRGQAGSN RPPKCRRSGSRPEGRPPPRNVFDFLNEKLQSQVPGTPDAGVDTPERRNKDMYHASKSAKQ ALSLQLFQTEEKIERTQRDIRGIQEALTRNTGRRSWREPSGSWVSCVLRRLTYRGNSGRQ TLTGR >ENSMUSP00000074423.1 pep:known chromosome:GRCm38:17:38175086:38176024:1 gene:ENSMUSG00000096009.1 transcript:ENSMUST00000074883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr134 description:olfactory receptor 134 [Source:MGI Symbol;Acc:MGI:2177517] MALINKSHPEEFILLGFADRPWLELPLFIILLVTYPTAMIGNIAIILVSILDPCLHSPMY FFLTNLSFLDMCYTTSIVPQMLTNLGSSTKTISYMRCVVQLYFFHIMGGTECVLLALMSF DRYVAICKPLHYTLIMNQRNCILLVSTVWLTGISYAVSEATVTLQLPLCGHNKLDHLVCE IPILIKTACGEKETNELALSVVCIFLLAVPLCLILASYASIGHAVFKIKSSEGRKKAFGT CSSHLIVVLLFYGPAISMYLQPPSSITKDQPKFMALFYGVVTPTLNPFIYTLRNKDVKGA LGNLFKNIFMSK >ENSMUSP00000037194.5 pep:known chromosome:GRCm38:2:39066214:39190734:-1 gene:ENSMUSG00000035236.17 transcript:ENSMUST00000038874.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scai description:suppressor of cancer cell invasion [Source:MGI Symbol;Acc:MGI:2443716] MVRGARQSQQPRSRLAPRLSGTVEKPPRKRKSRTEFTLKETMSSGGAEDDIPQGERKTVT DFCYLLDKSKQLFNGLRDLPQYGQKQWQSYFGRTFDVYTKLWKFQQQHRQVLDNRYGLKR WQIGEIASKIGQLYYHYYLRTSETSYLNEAFSFYSAIRQRSYYSQVNKEDRPELVVKKLR YYARFIVVCLLLNKMDVVKDLVKELSDEIEDYTHRFNTEDQVEWNLVLQEVAAFIEADPV MVLNDDNTIVITSNRLAETGAPLLEQGMIVGQLSLADALIIGNCNNQVKFSELTVDMFRM LQALEREPMNLASQMNKPGIQEPADKPTRRENPHKYLLYKPTFSQLYTFLAASFKELPAN SVLLIYLSATGVFPTGRSDGEGPYDFGGVLTNSNRDIINGDAIHKRNQSHKEMHCLHPGD LYPFTRKPLFIVVDSSNSVAYKNFTNLFGQPLVCLLSPTAYPKALQDQSQRGSLFTLFLN NPLMAFLFVSGLSSMRRGLWEKCQEYLRKINRDIAQLLTHSRSIDQAFLQFFGDEFLRLL LTRFVFCSATMRMHKAFRETRNYPESYPQLPRDETVENPHLQKHILELASILDVRNIFFE NSMDDY >ENSMUSP00000145381.1 pep:known chromosome:GRCm38:2:39074765:39190705:-1 gene:ENSMUSG00000035236.17 transcript:ENSMUST00000204404.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scai description:suppressor of cancer cell invasion [Source:MGI Symbol;Acc:MGI:2443716] MVRGARQSQQPRSRLAPRLSGTVEKPPRKRKSRFLKQLLSDYCLEMKKF >ENSMUSP00000145133.1 pep:known chromosome:GRCm38:2:39075007:39190692:-1 gene:ENSMUSG00000035236.17 transcript:ENSMUST00000204093.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scai description:suppressor of cancer cell invasion [Source:MGI Symbol;Acc:MGI:2443716] MVRGARQSQQPRSRLAPRLSGTVEKPPRKRKSRTEFTLKETMSSGGAEDDIPQGERKTVT DFCYLLDKSKQLFNGLRDLPQYGQKQWQSYFGRTFDVYTKLWKFQQQHRQVLDNRYGLKR WQIGEIASKIGQLYYHYYLRTSETSYLNEAFSFYSAIRQRSYYSQVNKEDRPELVVKKLR YYARFIVVCLLLNKMDVVKDLVKELSDEIEDYTHRFNTEDQVEWNLVLQEVAAFIEADPV MVLNDDNTIVITSNRLAETGAPLLEQGMIVGQLSLADALIIGNCNNQVKFSELTVDMFRM LQALEREPMNLASQMNKPGIQEPADKPTRRENPHKYLLYKPTFSQLYTFLAASFKELPAN SVLLIYLSATGVFPTGRSDGEGPYDFGGVLTNSNRDIINGDAIHKRNQSHKEMHCLHPGD LYPFTRKPLFIVVDSSNSVAYKNFTNLFGQPLVCLLSPTAYPKALQACVEACGKSVKNIF EKSTVILPSY >ENSMUSP00000144844.1 pep:known chromosome:GRCm38:2:39075072:39099410:-1 gene:ENSMUSG00000035236.17 transcript:ENSMUST00000204500.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scai description:suppressor of cancer cell invasion [Source:MGI Symbol;Acc:MGI:2443716] HKRNQSHKEMHCLHPGDLYPFTRKPLFIVVDSSNSVAYKNFTNLFGQPLVCLLSPTAYPK ALQVPMSLCGRWHFTATSQPEVLSADIV >ENSMUSP00000116359.1 pep:known chromosome:GRCm38:2:39080044:39190730:-1 gene:ENSMUSG00000035236.17 transcript:ENSMUST00000147433.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scai description:suppressor of cancer cell invasion [Source:MGI Symbol;Acc:MGI:2443716] MVRGARQSQQPRSRLAPRLSGTVEKPPRKRKSRFLKQLLSDYCLEMKKF >ENSMUSP00000118536.1 pep:known chromosome:GRCm38:2:39132979:39190728:-1 gene:ENSMUSG00000035236.17 transcript:ENSMUST00000142872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scai description:suppressor of cancer cell invasion [Source:MGI Symbol;Acc:MGI:2443716] MSSGGAEDDIPQGERKTVTDFCYLLDKS >ENSMUSP00000052230.4 pep:known chromosome:GRCm38:7:48388526:48389648:1 gene:ENSMUSG00000050870.4 transcript:ENSMUST00000056676.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprb8 description:MAS-related GPR, member B8 [Source:MGI Symbol;Acc:MGI:3033134] MDSSFPDWNIEFREQNESYFMESSSCDMSLAMSLLSIIIAIIGLTGNVIVLQLLGFHMHR NAFSVYIFNLSGANFLFLCTHIVFSLENLIRQFHYIDIHMALFSVNVTILAYLAGVSMIT AISVEYWLSVLWPTWYHAQRPKHTSTVICTLLWVFSLLLTLWNWIICKVLDYIYNWDMCW KLALIIVVWLLVLFVVLSRSNQALLFRVFCGSQQTPVTRLLVTIMLTALVVLICGFGIGI CFFYWKKEENSIMPCGYFYETILLLSGVNSCANPIICLFVGSIKHCQFQCGTLRLILQRA IQESPEEEDEEVEEVVEQEGGEEDEESTTL >ENSMUSP00000099694.1 pep:known chromosome:GRCm38:2:85840857:85841789:-1 gene:ENSMUSG00000075208.5 transcript:ENSMUST00000102634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1019 description:olfactory receptor 1019 [Source:MGI Symbol;Acc:MGI:3030853] MDKENHSVVTEFVFMGITQDPQLQIIFFVVFLLVYLVNVIGNVGMIILIITDSQLHTPMY FFLCNLSFVDLGYSSAIAPRMLADFLTKHKVISFSSCATQFAFFVGFVDAECYVLAAMAY DRFVAICRPLHYSTLMSKKVCLVLMLGSYFAGLVSLVAHTSLTFSLSYCGSNIINHFFCE IPPLLALSCSDTYISEILLFSLCGFIEFSTILIIFISYAFILIAIIRIRSAEGRLKAFST CGSHLTGVTLFYGTVMFMYLRPTSSYSLDQDKWASVFYTIIIPMLNPLIYSLRNKDVKAA FKKLIGKKPQ >ENSMUSP00000046156.4 pep:known chromosome:GRCm38:11:62847069:62877465:1 gene:ENSMUSG00000090173.8 transcript:ENSMUST00000036085.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw10 description:F-box and WD-40 domain protein 10 [Source:MGI Symbol;Acc:MGI:3052463] MENREPKLKQAPYFRCEKGPNWVPVCQKCEACVLAWKIFATKEWFRRVNDISQRRFLVSI LGQLNSLYLLQYFQNILETTQGKDFIYNRSRIKLSRKGGKEEEVVKSSLNQMLDKTVERK MKEILYWFGNSTHRTKANYTLLLLQMCDSNLLLTAANVIRVLFMKEWNSISGLHDDTPDV MFFPEKKYSGTQDTSYVSWAARPKPVSFPMSKHLGNKLGTENVDRETTEGKGESSLQCIH EMNRQIFGKGGMSRLGDDPCNLLLSLDHVQLLSSGYSKYRDFIRDLPLHLSKYILRMLDK HSLNRCIFVSQHWATLAQQVKVDQSMHSFIQNQISLLQVTKEEKQAYTGSYTRGIDPNYA NKVSIPVPKIVDDGKRSRSKNQKWKLRTKTDYNLWNAYQNQETQLVQMEERNVFCGTYNI RVLSDTFDQNRIIHYNGGDLMAISSNRKIHLLDIMQTKELPIEFRGHAGSVRALFLSEED NILLSGSYDLSIRYWDVKTGACVRIFYGHQGTITCLDVYKNRLVSGAKDGQVKEWDIETG KCLKTFKHKDPILAAKISETYIVSSCERGIVKVWHVVTAQLQKTLTGHEGAVKCLFFNEW HLVSGGADGLVMAWSMVGKYERCLMAFKHPKEVLQVSLLYLRVISACGDGKIRIYNFLNG NCLKVIKVDARGDPVLSFFYQGNRMVAHTDSNILVFQFENVKWQYSSDKNKVKKSKDKEE EREETSLGDEHSRSTIQGHSLKDSVSSKQEFSKSRVHLKQTKNLSSDDMETPVGEVSHPL QKLWKVPMTPDRFLLTISALQQAHNSEEFAYPHRPRPQVIDAWGPSIPYPRKVLSLKGKS VQHAVDQLRSSNLPTGVRQTNIPLEIQKLQPNLKKSLHSPRVQATVPQPSLIRPKVSDSL RGDEHLTSSIDGTMRRAGPLTSMQVIKPNRMLAPRGGTATLSPKKERPRFYTTLDPLRMN TGFMLMTVKEEKEFAEAKMKEYEASVSTKEVDPGKASKAAWIRKIKGLPIDNFMKEGKTA APELGQNVFI >ENSMUSP00000135294.1 pep:known chromosome:GRCm38:11:62847123:62877462:1 gene:ENSMUSG00000090173.8 transcript:ENSMUST00000177336.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxw10 description:F-box and WD-40 domain protein 10 [Source:MGI Symbol;Acc:MGI:3052463] MENREPKLKQAPYFRCEKGPNWVPVCQKCEACVLAWKIFATKEWFRRVNDISQRRFLVSI LGQLNSLYLLQYFQNILETTQGKDFIYNRSRIKLSRKGGKEEEVVKSSLNQMLDKTVERK MKEILYWFGNSTHRTKANYTLLLLQMCDSNLLLTAANVIRVLFMKEWNSISGLHDDTPDV MFFPEKKYSGTQDTSYVSWAARPKPVSFPMSKHLGNKLGTENVDRETTEGKGESSLQCIH EMNRQIFGKGGMSRLGDDPCNLLLSLDHVQLLSSGYSKYRDFIRDLPLHLSKYILRMLDK HSLNRCIFVSQHWATLAQQVKVDQSMHSFIQNQISLLQGSYTRGIDPNYANKVSIPVPKI VDDGKRSRSKNQKWKLRTKTDYNLWNAYQNQETQLVQMEERNVFCGTYNIRVLSDTFDQN RIIHYNGGDLMAISSNRKIHLLDIMQTKELPIEFRGHAGSVRALFLSEEDNILLSGSYDL SIRYWDVKTGACVRIFYGHQGTITCLDVYKNRLVSGAKDGQVKEWDIETGKCLKTFKHKD PILAAKISETYIVSSCERGIVKVWHVVTAQLQKTLTGHEGAVKCLFFNEWHLVSGGADGL VMAWSMVGKYERCLMAFKHPKEVLQVSLLYLRVISACGDGKIRIYNFLNGNCLKVIKVDA RGWWPTRTATFSCSSLKMSSGSTAQTRTK >ENSMUSP00000135870.1 pep:known chromosome:GRCm38:11:62847132:62877443:1 gene:ENSMUSG00000090173.8 transcript:ENSMUST00000176577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw10 description:F-box and WD-40 domain protein 10 [Source:MGI Symbol;Acc:MGI:3052463] MENREPKLKQAPYFRCEKGPNWVPVCQKCEACVLAWKIFATKEWFRRVNDISQRRFLVSI LGQLNSLYLLQYFQNILETTQGKDFIYNRSRIKLSRKGGKEEEVVKSSLNQMLDKTVERK MKEILYWFGNSTHRTKANYTLLLLQMCDSNLLLTAANVIRVLFMKEWNSISGLHDDTPDV MFFPEKKYSGTQDTSYVSWAARPKPVSFPMSKHLGNKLGTENVDRETTEGKGESSLQCIH EMNRQIFGKGGMSRLGDDPCNLLLSLDHVQLLSSGYSKYRDFIRDLPLHLSKYILRMLDK HSLNRCIFVSQHWATLAQQVKVDQSMHSFIQNQISLLQGSYTRGIDPNYANKVSIPVPKI VDDGKRSRSKNQKWKLRTKTDYNLWNAYQNQETQLVQMEERNVFCGTYNIRVLSDTFDQN RIIHYNGGDLMAISSNRKIHLLDIMQTKELPIEFRGHAGSVRALFLSEEDNILLSGSYDL SIRYWDVKTGACVRIFYGHQGTITCLDVYKNRLVSGAKDGQVKEWDIETGKCLKTFKHKD PILAAKISETYIVSSCERGIVKVWHVVTAQLQKTLTGHEGAVKCLFFNEWHLVSGGADGL VMAWSMVGKYERCLMAFKHPKEVLQVSLLYLRVISACGDGKIRIYNFLNGNCLKVIKVDA RGDPVLSFFYQGNRWVVGRMVAHTDSNILVFQFENVKWQYSSDKNKVKKSKDKEEEREET SLGDEHSRSTIQGHSLKDSVSSKQEFSKSRVHLKQTKNLSSDDMETPVGEVSHPLQKLWK VPMTPDRFLLTISALQQAHNSEEFAYPHRPRPQVIDAWGPSIPYPRKVLSLKGKSVQHAV DQLRSSNLPTGVRQTNIPLEIQKLQPNLKKSLHSPRVQATVPQPSLIRPKVSDSLRGDEH LTSSIDGTMRRAGPLTSMQVIKPNRMLAPRGGTATLSPKKERPRFYTTLDPLRMNTGFML MTVKEEKEFAEAKMKEYEASVSTKEVDPGKASKAAWIRKIKGLPIDNFMKEGKTAAPELG QNVFI >ENSMUSP00000117872.1 pep:known chromosome:GRCm38:11:62847123:62877462:1 gene:ENSMUSG00000090173.8 transcript:ENSMUST00000150989.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw10 description:F-box and WD-40 domain protein 10 [Source:MGI Symbol;Acc:MGI:3052463] MENREPKLKQAPYFRCEKGPNWVPVCQKCEACVLAWKIFATKEWFRRVNDISQRRFLVSI LGQLNSLYLLQYFQNILETTQGKDFIYNRSRIKLSRKGGKEEEVVKSSLNQMLDKTVERK MKEILYWFGNSTHRTKANYTLLLLQMCDSNLLLTAANVIRVLFMKEWNSISGLHDDTPDV MFFPEKKYSGTQDTSYVSWAARPKPVSFPMSKHLGNKLGTENVDRETTEGKGESSLQCIH EMNRQIFGKGGMSRLGDDPCNLLLSLDHVQLLSSGYSKYRDFIRDLPLHLSKYILRMLDK HSLNRCIFVSQHWATLAQQVKVDQSMHSFIQNQISLLQGSYTRGIDPNYANKVSIPVPKI VDDGKRSRSKNQKWKLRTKTDYNLWNAYQNQETQLVQMEERNVFCGTYNIRVLSDTFDQN RIIHYNGGDLMAISSNRKIHLLDIMQTKELPIEFRGHAGSVRALFLSEEDNILLSGSYDL SIRYWDVKTGACVRIFYGHQGTITCLDVYKNRLVSGAKDGQVKEWDIETGKCLKTFKHKD PILAAKISETYIVSSCERGIVKVWHVVTAQLQKTLTGHEGAVKCLFFNEWHLVSGGADGL VMAWSMVGKYERCLMAFKHPKEVLQVSLLYLRVISACGDGKIRIYNFLNGNCLKVIKVDA RGDPVLSFFYQGNRMVAHTDSNILVFQFENVKWQYSSDKNKVKKSKDKEEEREETSLGDE HSRSTIQGHSLKDSVSSKQEFSKSRVHLKQTKNLSSDDMETPVGEVSHPLQKLWKVPMTP DRFLLTISALQQAHNSEEFAYPHRPRPQVIDAWGPSIPYPRKVLSLKGKSVQHAVDQLRS SNLPTGVRQTNIPLEIQKLQPNLKKSLHSPRVQATVPQPSLIRPKVSDSLRGDEHLTSSI DGTMRRAGPLTSMQVIKPNRMLAPRGGTATLSPKKERPRFYTTLDPLRMNTGFMLMTVKE EKEFAEAKMKEYEASVSTKEVDPGKASKAAWIRKIKGLPIDNFMKEGKTAAPELGQNVFI >ENSMUSP00000125344.1 pep:known chromosome:GRCm38:1:74738922:74748955:-1 gene:ENSMUSG00000006542.13 transcript:ENSMUST00000160732.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkag3 description:protein kinase, AMP-activated, gamma 3 non-catatlytic subunit [Source:MGI Symbol;Acc:MGI:1891343] MEPELEHTLPGTLTWSHSGGPESQEMDFLEQGENSWPSPAVATSSERTCAIRGVKASRWT RQEAVEEAEPPGLGEGAQSRPAAESTRQEATFPKATPLAQAVPLAEAETSPTGWDLLLPD CAASAGGSSTGDLELTIEFPAPEAWDCELEGLGKDRPRPGPSPQAPLLGLSWDDELQKPG AQVYMHFMQEHTCYDAMATSSKLVIFDTTLEIKKAFFAMVANGVRAAPLWDSKKQSFVGM LTITDFILVLHRYYRSPLVQIYEIEEHKIETWREIYLQGCFKPLVSISPNDSLFEAVYAL IKNRIHRLPVLDPVSGTVLYILTHKRLLKFLHIFGALLPRPSFLCRTIQDLGIGTFRDLA VVLETAPVLTALDIFVDRRVSALPVVNESGQVVGLYSRFDVIHLAAQQTYNHLDMSVGEA LRQRTLCLEGVLSCQPHESLGEVIDRIAREQVHRLVLVDETQHLLGVVSLSDILQALVLS PAGIDALSA >ENSMUSP00000109302.2 pep:known chromosome:GRCm38:1:74740205:74748577:-1 gene:ENSMUSG00000006542.13 transcript:ENSMUST00000113672.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkag3 description:protein kinase, AMP-activated, gamma 3 non-catatlytic subunit [Source:MGI Symbol;Acc:MGI:1891343] MDFLEQGENSWPSPAVATSSERTCAIRGVKASRWTRQEAVEEAEPPGLGEGAQSRPAAES TRQEATFPKATPLAQAVPLAEAETSPTGWDLLLPDCAASAGGSSTGDLELTIEFPAPEAW DCELEGLGKDRPRPGPSPQAPLLGLSWDDELQKPGAQVYMHFMQEHTCYDAMATSSKLVI FDTTLEIKKAFFAMVANGVRAAPLWDSKKQSFVGMLTITDFILVLHRYYRSPLVQIYEIE EHKIETWREIYLQGCFKPLVSISPNDSLFEAVYALIKNRIHRLPVLDPVSGTVLYILTHK RLLKFLHIFGALLPRPSFLCRTIQDLGIGTFRDLAVVLETAPVLTALDIFVDRRVSALPV VNESGQVVGLYSRFDVIHLAAQQTYNHLDMSVGEALRQRTLCLEGVLSCQPHESLGEVID RIAREQVHRLVLVDETQHLLGVVSLSDILQALVLSPAGIDALSA >ENSMUSP00000080342.6 pep:known chromosome:GRCm38:1:74740205:74749221:-1 gene:ENSMUSG00000006542.13 transcript:ENSMUST00000081636.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkag3 description:protein kinase, AMP-activated, gamma 3 non-catatlytic subunit [Source:MGI Symbol;Acc:MGI:1891343] MEPELEHTLPGTLTWSHSGGPESQEMDFLEQGENSWPSPAVATSSERTCAIRGVKASRWT RQEAVEEAEPPGLGEGAQSRPAAESTRQEATFPKATPLAQAVPLAEAETSPTGWDLLLPD CAASAGGSSTGDLELTIEFPAPEAWDCELEGLGKDRPRPGPSPQAPLLGLSWDDELQKPG AQVYMHFMQEHTCYDAMATSSKLVIFDTTLEIKKAFFAMVANGVRAAPLWDSKKQSFVGM LTITDFILVLHRYYRSPLVQIYEIEEHKIETWREIYLQGCFKPLVSISPNDSLFEAVYAL IKNRIHRLPVLDPVSGTVLYILTHKRLLKFLHIFGALLPRPSFLCRTIQDLGIGTFRDLA VVLETAPVLTALDIFVDRRVSALPVVNESGQVVGLYSRFDVIHLAAQQTYNHLDMSVGEA LRQRTLCLEGVLSCQPHESLGEVIDRIAREQVHRLVLVDETQHLLGVVSLSDILQALVLS PAGIDALSA >ENSMUSP00000125242.1 pep:known chromosome:GRCm38:1:74741467:74748867:-1 gene:ENSMUSG00000006542.13 transcript:ENSMUST00000162093.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkag3 description:protein kinase, AMP-activated, gamma 3 non-catatlytic subunit [Source:MGI Symbol;Acc:MGI:1891343] MEPELEHTLPGTLTWSHSGGPESQEMDFLEQGENSWPSPAVATSSERTCAIRGVKASRWT RQEAVEEAEPPGLGEGAQSRPAAESTRQEATFPKATPLAQAVPLAEAETSPTGWDLLLPD CAASAGGSSTGDLELTIEFPAPEAWDCELEGLGKDRPRPGPSPQAPLLGLSWDDELQKPG AQVYMHFMQEHTCYDAMATSSKLVIFDTTLEIKKAFFAMVANGVRAAPLWDSKKQSFVGM LTITDFILVLHRYYRSPLRSTYKAASSL >ENSMUSP00000124979.1 pep:known chromosome:GRCm38:1:74747518:74748904:-1 gene:ENSMUSG00000006542.13 transcript:ENSMUST00000159728.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkag3 description:protein kinase, AMP-activated, gamma 3 non-catatlytic subunit [Source:MGI Symbol;Acc:MGI:1891343] MEPELEHTLPGVPGSQGAGAGTEKDSKRVAVCGRPCVARVLAVHCTLSV >ENSMUSP00000139909.1 pep:known chromosome:GRCm38:1:74738922:74748955:-1 gene:ENSMUSG00000006542.13 transcript:ENSMUST00000188073.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkag3 description:protein kinase, AMP-activated, gamma 3 non-catatlytic subunit [Source:MGI Symbol;Acc:MGI:1891343] MEPELEHTLPGTLTWSHSGGPESQEMDFLEQGENSWPSPAVATSSERTCAIRGVKASRWT RQEAVEEAEPPGLGEGAQSRPAAESTRQEATFPKATPLAQAVPLAEAETSPTGWDLLLPD CAASAGGSSTGDLELTIEFPAPEAWDCELEGLGKDRPRPGPSPQAPLLGLSWDDELQKPG AQVYMHFMQEHTCYDAMATSSKLVIFDTTLEIKKAFFAMVANGVRAAPLWDSKKQSFVGM LTITDFILVLHRYYRSPLVQIYEIEEHKIETWREIYLQGCFKPLVSISPNDSLFEAVYAL IKNRIHRLPVLDPVSGTVLYILTHKRLLKFLHIFGALLPRPSFLCRTIQDLGIGTFRDLA VVLETAPVLTALDIFVDRRVSALPVVNESGQVVGLYSRFDVIHLAAQQTYNHLDMSVGEA LRQRTLCLEGVLSCQPHESLGEVIDRIAREQVHRLVLVDETQHLLGVVSLSDILQALVLS PAGIDALSA >ENSMUSP00000098414.1 pep:known chromosome:GRCm38:6:135309127:135310405:-1 gene:ENSMUSG00000047104.5 transcript:ENSMUST00000050471.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbp2 description:phosphatidylethanolamine binding protein 2 [Source:MGI Symbol;Acc:MGI:1923650] MPTDMSMWTGPLSLHEVDEQPQHLLRVTYTEAEVEELGQVLTPTQVKHRPGSISWDGLDT GKLYTLILTDPDAPSRKKPVYREWHHFLVVNMKGNDISSGNVLSDYVGSGPPKGTGLHRY VWLVYQQDKPLRCDEPILTNRSGDHRGKFKTAAFRKKYHLGAPVAGTCYQAEWDSYVPKL YKQLSGK >ENSMUSP00000024650.5 pep:known chromosome:GRCm38:17:8988333:9008319:1 gene:ENSMUSG00000023873.12 transcript:ENSMUST00000024650.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700010I14Rik description:RIKEN cDNA 1700010I14 gene [Source:MGI Symbol;Acc:MGI:1914181] MAEDQEPDFYLKWKHCEKPGVKTLSNLRKLLSQLQEDHRKDISVYISGHLNPRKLYKPPE TIFQHWRNSNRTWEKPTHSTPTIPPASRNKEVSEMKDAWVHFTVSTALHPDDTHNTPLFR YLNPRVQASRALKDGASAALQEEEKELKERLAFSREKHMKEELRLPEMKVLRYPEVVSSR QCSRSAPGRDVYRYISPYLAGITKADSYKKFLSFQREVLAKEETLKYDFTGSKVAVAHEN RLKEELQKICTCNPQQFNRLQVFGEIFEDICNSSLIFGDLLKEIKDEYELYMAALLDSQP TAQYQRLLAEVRGLENSPRPSCDIDQAKEHLRKVQQAYLEALEHNDKLRNELEAESLLLQ SAKEKAANGKMKDEKELTLVEKVEKRRCEIFEKLDEIKALEKHIKENMVHSGVFKIAESG LKSIEDEAIKLETSNRILKKKIKVIESQMKQLLLRSKISEEERQRTSGSAMEMLLLIICP TGASVWNVLHPRLVDRCVLQVTPSTLYVYTYTLYAVHLLFCNFELDKTGLNI >ENSMUSP00000118841.1 pep:known chromosome:GRCm38:17:8988357:9008318:1 gene:ENSMUSG00000023873.12 transcript:ENSMUST00000151609.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700010I14Rik description:RIKEN cDNA 1700010I14 gene [Source:MGI Symbol;Acc:MGI:1914181] MAEDQEPDFYLKWKHCEKPGVKTLSNLRKLLSQLQEDHRKDISVYISGHLNPRKLYKPPE TIFQHWRNSNRTWEKPTHSTPTIPPASRNKEVSEMKDAWVHFTVSTALHPDDTHNTPLFR YLNPRVQASRALKDGASAALQEEEKELKERLAFSREKHMKEELRLPEMKVLRYPEVVSSR QCSRSAPGRDVYRYISPYLAGITKADSYKKFLSFQREVLAKEETLKYDFTGSKVAVAHEN RLKEELQKICTCNPQQFNRLQVFGEIFEDICNSSLIFGDLLKEIKDEYELYMAALLDSQP TAQYQRLLAEVRGLENSPRPSCDIDQAKEHLRKVQQAYLEALEHNDKLRNELEAESLLLQ SAKEKAANGKMKDEKELTLVEKVEKRRCEIFEKLDEIKALEKHIKENMVHSGVFKIAESG LKSIEDEAIKLETSNRILKKKIKVIESQMKQLLLRSKISEEERQALWDLIREYSDIEDVE DVEGDFEMLRKLT >ENSMUSP00000083656.4 pep:known chromosome:GRCm38:1:134199225:134235431:-1 gene:ENSMUSG00000042429.8 transcript:ENSMUST00000086465.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adora1 description:adenosine A1 receptor [Source:MGI Symbol;Acc:MGI:99401] MPPYISAFQAAYIGIEVLIALVSVPGNVLVIWAVKVNQALRDATFCFIVSLAVADVAVGA LVIPLAILINIGPQTYFHTCLMVACPVLILTQSSILALLAIAVDRYLRVKIPLRYKTVVT QRRAAVAIAGCWILSLVVGLTPMFGWNNLSEVEQAWIANGSVGEPVIKCEFEKVISMEYM VYFNFFVWVLPPLLLMVLIYLEVFYLIRKQLNKKVSASSGDPQKYYGKELKIAKSLALIL FLFALSWLPLHILNCITLFCPTCQKPSILIYIAIFLTHGNSAMNPIVYAFRIHKFRVTFL KIWNDHFRCQPKPPIEEDIPEEKADD >ENSMUSP00000043522.6 pep:known chromosome:GRCm38:1:134201349:134235397:-1 gene:ENSMUSG00000042429.8 transcript:ENSMUST00000038191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adora1 description:adenosine A1 receptor [Source:MGI Symbol;Acc:MGI:99401] MPPYISAFQAAYIGIEVLIALVSVPGNVLVIWAVKVNQALRDATFCFIVSLAVADVAVGA LVIPLAILINIGPQTYFHTCLMVACPVLILTQSSILALLAIAVDRYLRVKIPLRYKTVVT QRRAAVAIAGCWILSLVVGLTPMFGWNNLSEVEQAWIANGSVGEPVIKCEFEKVISMEYM VYFNFFVWVLPPLLLMVLIYLEVFYLIRKQLNKKVSASSGDPQKYYGKELKIAKSLALIL FLFALSWLPLHILNCITLFCPTCQKPSILIYIAIFLTHGNSAMNPIVYAFRIHKFRVTFL KIWNDHFRCQPKPPIEEDIPEEKADD >ENSMUSP00000140801.1 pep:known chromosome:GRCm38:1:134203397:134235364:-1 gene:ENSMUSG00000042429.8 transcript:ENSMUST00000187631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adora1 description:adenosine A1 receptor [Source:MGI Symbol;Acc:MGI:99401] MPPYISAFQAAYIGIEVLIALVSVPGNVLVIWAVKVNQALRDATFCFIVSLAVADVAVGA LVIPLAILINIGPQTYFHTCLMVACPVLILTQSSILALLAIAVDRYLRVKIPLRYKTVVT QRRAAVAIAGCWILSLVVGLTPMFGWNNLSEVEQAWIANGSVGEPVIKCEFEKVISME >ENSMUSP00000132105.1 pep:known chromosome:GRCm38:1:134199223:134234578:-1 gene:ENSMUSG00000042429.8 transcript:ENSMUST00000169927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adora1 description:adenosine A1 receptor [Source:MGI Symbol;Acc:MGI:99401] MPPYISAFQAAYIGIEVLIALVSVPGNVLVIWAVKVNQALRDATFCFIVSLAVADVAVGA LVIPLAILINIGPQTYFHTCLMVACPVLILTQSSILALLAIAVDRYLRVKIPLRYKTVVT QRRAAVAIAGCWILSLVVGLTPMFGWNNLSEVEQAWIANGSVGEPVIKCEFEKVISMEYM VYFNFFVWVLPPLLLMVLIYLEVFYLIRKQLNKKVSASSGDPQKYYGKELKIAKSLALIL FLFALSWLPLHILNCITLFCPTCQKPSILIYIAIFLTHGNSAMNPIVYAFRIHKFRVTFL KIWNDHFRCQPKPPIEEDIPEEKADD >ENSMUSP00000058242.4 pep:known chromosome:GRCm38:2:85849454:85850407:1 gene:ENSMUSG00000046975.5 transcript:ENSMUST00000055840.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1020 description:olfactory receptor 1020 [Source:MGI Symbol;Acc:MGI:3030854] MVRSGKGIQNKNATEVTEFILLGLSDNPDLQGVLFALFLIIYTMTLVGNLGMMALIKIDR SLHTPMYFFLSSLSFVDASYSSSVTPKMLVNLMAEDKSISFNGCATQFFFFGSFLGTECF LLAMMAYDRYAAIWNPLLYPVLMSGRICFMLVSTSFLAGFGNAAIHTGMTFRLSFCGSNK INHFYCDTPPLLKLSCSDTHINGIVIMAFSSFNVISCVLIVLISYLCILIAILKMPSAEG RHKAFSTCASHLMAVTIFFGTILFMYLRPTSSYSMEQDKVVSVFYTVVIPMLNPLIYSLK NKDVKKAVKKILHNYVV >ENSMUSP00000130445.1 pep:known chromosome:GRCm38:11:97205842:97280486:-1 gene:ENSMUSG00000001441.13 transcript:ENSMUST00000167806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npepps description:aminopeptidase puromycin sensitive [Source:MGI Symbol;Acc:MGI:1101358] MWLAAAVPSLARRLLLLGPPPPPLLLLLSRSSRRRRRLHSLGLAAMPEKRPFERLPAEVS PINYSLCLKPDLLDFTFEGKLEAAAQVRQATNQIVMNCADIDIITASYAPEGDEEIHATG FNYQNEDEKVTLSFPSTLQTGTGTLKIDFVGELNDKMKGFYRSRYTTPAGEVRYAAVTQF EATDARRAFPCWDEPAIKATFDISLVVPKDRVALSNMNVIDRKPYPDDENLVEVKFARTP VMSTYLVAFVVGEYDFVETRSKDGVCVRVYTPVGKAEQGKFALEVAAKTLPFYKDYFNVP YPLPKIDLIAIADFAAGAMENWGLVTYRETALLIDPKNSCSSSRQWVALVVGHELAHQWF GNLVTMEWWTHLWLNEGFASWIEYLCVDHCFPEYDIWTQFVSADYTRAQELDALDNSHPI EVSVGHPSEVDEIFDAISYSKGASVIRMLHDYIGDKDFKKGMNMYLTKFQQKNAATEDLW ESLESASGKPIAAVMNTWTKQMGFPLIYVEAEQVEDDRVLKLSQKKFCASGPYGGEDCPQ WMVPITISTSEDPNQAKLKILMDKPEMSVVLKNVKPDQWVKLNLGTVGFYRTQYSSAMLE SLLPGIRDLSLPPVDRLGLQNDLFSLLHKQADMQEEKNRIERVLGATLSPELIQKVLTFA LSMSAAPLCRQCAH >ENSMUSP00000127801.1 pep:known chromosome:GRCm38:11:97205842:97280570:-1 gene:ENSMUSG00000001441.13 transcript:ENSMUST00000172108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npepps description:aminopeptidase puromycin sensitive [Source:MGI Symbol;Acc:MGI:1101358] MWLAAAVPSLARRLLLLGPPPPPLLLLLSRSSRRRRRLHSLGLAAMPEKRPFERLPAEVS PINYSLCLKPDLLDFTFEGKLEAAAQVRQATNQIVMNCADIDIITASYAPEGDEEIHATG FNYQNEDEKVTLSFPSTLQTGTGTLKIDFVGELNDKMKGFYRSRYTTPAGEVRYAAVTQF EATDARRAFPCWDEPAIKATFDISLVVPKDRVALSNMNVIDRKPYPDDENLVEVKFARTP VMSTYLVAFVVGEYDFVETRSKDGVCVRVYTPVGKAEQGKFALEVAAKTLPFYKDYFNVP YPLPKIDLIAIADFAAGAMENWGLVTYRETALLIDPKNSCSSSRQWVALVVGHELAHQWF GNLVTMEWWTHLWLNEGFASWIEYLCVDHCFPEYDIWTQFVSADYTRAQELDALDNSHPI EVSVGHPSEVDEIFDAISYSKGASVIRMLHDYIGDKDFKKGMNMYLTKFQQKNAATEDLW ESLESASGKPIAAVMNTWTKQMGFPLIYVEAEQVEDDRVLKLSQKKFCASGPYGGEDCPQ WMVPITISTSEDPNQAKLKILMDKPEMSVVLKNVKPDQWVKLNLGTVGFYRTQYSSAMLE SLLPGIRDLSLPPVDRLGLQNDLFSLARAGIISTVEVLKVMEAFVNEPNYTVWSDLSCNL GILSTLLSHTDFYEEIQEFVKDVFSPIGERLGWDPKPGEGHLDALLRGLVLGKLGKAGHK ATLEEARRRFKEHVEGKQILSADLRSPVYLTVLKHGDGATLDIMLKLHKQADMQEEKNRI ERVLGATLSPELIQKVLTFALSEEVRPQDTVSVIGGVAGGSKHGRKAAWKFIKDNWEELH NRYQGGFLISRLIKPRHLTQCVFTKSQPFPPPLFLLSSFYFFLNPFILC >ENSMUSP00000001480.7 pep:known chromosome:GRCm38:11:97205844:97280638:-1 gene:ENSMUSG00000001441.13 transcript:ENSMUST00000001480.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npepps description:aminopeptidase puromycin sensitive [Source:MGI Symbol;Acc:MGI:1101358] MWLAAAVPSLARRLLLLGPPPPPLLLLLSRSSRRRRRLHSLGLAAMPEKRPFERLPAEVS PINYSLCLKPDLLDFTFEGKLEAAAQVRQATNQIVMNCADIDIITASYAPEGDEEIHATG FNYQNEDEKVTLSFPSTLQTGTGTLKIDFVGELNDKMKGFYRSRYTTPAGEVRYAAVTQF EATDARRAFPCWDEPAIKATFDISLVVPKDRVALSNMNVIDRKPYPDDENLVEVKFARTP VMSTYLVAFVVGEYDFVETRSKDGVCVRVYTPVGKAEQGKFALEVAAKTLPFYKDYFNVP YPLPKIDLIAIADFAAGAMENWGLVTYRETALLIDPKNSCSSSRQWVALVVGHELAHQWF GNLVTMEWWTHLWLNEGFASWIEYLCVDHCFPEYDIWTQFVSADYTRAQELDALDNSHPI EVSVGHPSEVDEIFDAISYSKGASVIRMLHDYIGDKDFKKGMNMYLTKFQQKNAATEDLW ESLESASGKPIAAVMNTWTKQMGFPLIYVEAEQVEDDRVLKLSQKKFCASGPYGGEDCPQ WMVPITISTSEDPNQAKLKILMDKPEMSVVLKNVKPDQWVKLNLGTVGFYRTQYSSAMLE SLLPGIRDLSLPPVDRLGLQNDLFSLARAGIISTVEVLKVMEAFVNEPNYTVWSDLSCNL GILSTLLSHTDFYEEIQEFVKDVFSPIGERLGWDPKPGEGHLDALLRGLVLGKLGKAGHK ATLEEARRRFKEHVEGKQILSADLRSPVYLTVLKHGDGATLDIMLKLHKQADMQEEKNRI ERVLGATLSPELIQKVLTFALSEEVRPQDTVSVIGGVAGGSKHGRKAAWKFIKDNWEELH NRYQGGFLISRLIKLSVEGFAVDKMAGEVKAFFESHPAPSAERTIQQCCENILLNAAWLK RDADSIHQYLLQRKTSPPSV >ENSMUSP00000128169.1 pep:known chromosome:GRCm38:11:97206933:97280432:-1 gene:ENSMUSG00000001441.13 transcript:ENSMUST00000165216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npepps description:aminopeptidase puromycin sensitive [Source:MGI Symbol;Acc:MGI:1101358] XLARRLLLLGPPPPPLLLLLSRSSRRRRRLHSLGLAAMPEKRPFERLPAEVSPINYSLCL KPDLLDFTFEGKLEAAAQVRQATNQIVMNCADIDIITASYAPEGDEEIHATGFNYQNEDE KVTLSFPSTLQTGTGTLKIDFVGELNDKMKGFYRSRYTTPAGEVRYAAVTQFENVIDRKP YPDDENLVEVKFARTPVMSTYLVAFVVGEYDFVETRSKDGVCVRVYTPVGKAEQGKFALE VAAKTLPFYKDYFNVPYPLPKIDLIAIADFAAGAMENWGLVTYRETALLIDPKNSCSSSR QWVALVVGHELAHQWFGNLVTMEWWTHLWLNEGFASWIEYLCVDHCFPEYDIWTQFVSAD YTRAQELDALDNSHPIEVSVGHPSEVDEIFDAISYSKGASVIRMLHDYIGDKDFKKGMNM YLTKFQQKNAATEDLWESLESASGKPIAAVMNTWTKQMGFPLIYVEAEQVEDDRVLKLSQ KKFCASGPYGGEDCPQWMVPITISTSEDPNQAKLKILMDKPEMSVVLKNVKPDQWVKLNL GTVGFYRTQYSSAMLESLLPGIRDLSLPPVDRLGLQNDLFSLARAGIISTVEVLKVMEAF VNEPNYTVWSDLSCNLGILSTLLSHTDFYEEIQEFVKDVFSPIGERLGWDPKPGEGHLDA LLRGLVLGKLGKAGHKATLEEARRRFKEHVEGKQILSADLRSPVYLTVLKHGDGATLDIM LKLHKQADMQEEKNRIERVLGATLSPELIQKVLTFALSEEVRPQDTVSVIGGVAGGSKHG RKAAWKFIKDNWEELHNRYQGGFLISRLIKLSVEGFAVDKMAGEVKAFFESHPAPSAERT IQQCCENILLNAAWLKRDADSIHQYLLQRKTSPPSV >ENSMUSP00000129361.1 pep:known chromosome:GRCm38:11:97231938:97242783:-1 gene:ENSMUSG00000001441.13 transcript:ENSMUST00000163164.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npepps description:aminopeptidase puromycin sensitive [Source:MGI Symbol;Acc:MGI:1101358] XYPDDENLVEVKFARTPVMSTYLVAFVVGEYDFVETRSKDGVCVRVYTPVGKAEQGKFAL EVAAKTLPFYKDYFNVPYPLPKIDLIAIADFAAGAMENWGLVTYRETALLIDPKNSCSSS RQWVALVVGHELAHQWFGNLVTMVSVGHPSEVDEIFDAISYSKGASVIRMLHDYIGDKDF KKGMNMYLTKFQQKNAATEDLWESLESASGKPIAAVMNT >ENSMUSP00000119644.2 pep:known chromosome:GRCm38:11:97235055:97267631:-1 gene:ENSMUSG00000001441.13 transcript:ENSMUST00000154372.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Npepps description:aminopeptidase puromycin sensitive [Source:MGI Symbol;Acc:MGI:1101358] IITASYAPEGDEEIHATGFNYQNEDEKVTLSFPSTLQTGNYPFQYFVFCLVNHSLEVVTV SILLWFDGLGDLKPSKSVQEP >ENSMUSP00000127127.1 pep:known chromosome:GRCm38:11:97242634:97280408:-1 gene:ENSMUSG00000001441.13 transcript:ENSMUST00000168743.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npepps description:aminopeptidase puromycin sensitive [Source:MGI Symbol;Acc:MGI:1101358] XGPPPPPLLLLLSRSSRRRRRLHSLGLAAMPEKRPFERLPAEVSPINYSLCLKPDLLDFT FEGKLEAAAQVRQATNQIVMNCADIDIITASYAPEGDEEIHATGFNYQNEDEKVTLSFPS TLQTGTGTLKIDFVGELNDKMKGFYRSRYTTPAGEATDARRAFPCWDEPAIKATFDISLV VPKDRVALSNMNVIDRKPYPDDENLVEVKFARTPVMSTYLVAFVVGEYDFVETRSKDGVC VRVYTPVG >ENSMUSP00000127631.1 pep:known chromosome:GRCm38:11:97244428:97280470:-1 gene:ENSMUSG00000001441.13 transcript:ENSMUST00000154917.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Npepps description:aminopeptidase puromycin sensitive [Source:MGI Symbol;Acc:MGI:1101358] MWLAAAVPSLARRLLLLGPPPPPLLLLLSRSSRRRRRLHSLGLAAMPEKRPFERLPAEVS PINYSLCLKPDLLDFTFEGKLEAAAQVRQATNQIVMNCADIDIITASYAPEGDEGLVKF >ENSMUSP00000129623.1 pep:known chromosome:GRCm38:11:97258049:97267623:-1 gene:ENSMUSG00000001441.13 transcript:ENSMUST00000152546.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Npepps description:aminopeptidase puromycin sensitive [Source:MGI Symbol;Acc:MGI:1101358] XASYAPEGDEGLVKF >ENSMUSP00000117638.1 pep:known chromosome:GRCm38:2:135659711:135983013:1 gene:ENSMUSG00000039943.16 transcript:ENSMUST00000134310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcb4 description:phospholipase C, beta 4 [Source:MGI Symbol;Acc:MGI:107464] MAKPYEFNWQKEVPSFLQEGAVFDRYEEESFVFEPNCLFKVDEFGFFLTWKSEGKEGQVL ECSLINSIRQAAIPKDPKILAALEAVGKSENDLEGRILCVCSGTDLVNIGFTYMVAENPE VTKQWVEGLRSIIHNFRANNVSPMTCLKKHWMKLAFLTNTTGKIPVRSITRTFASGKTEK VIFQALKELGLPSGKNDEIEPAAFTYEKFYELTQKICPRTDIEDLFKKINGDKTDYLTVD QLVSFLNEHQRDPRLNEILFPFYDAKRAMQIIEMYEPDEELKKKGLISSDGFCRYLMSDE NAPVFLDRLELYQEMDHPLAHYFISSSHNTYLTGRQFGGKSSVEMYRQVLLAGCRCVELD CWDGKGEDQEPIITHGKAMCTDILFKDVIQAIKETAFVTSEYPVILSFENHCSKYQQYKM SKYCEDLFGDLLLKQALESHPLEPGRPLPSPNDLKRKILIKNKRLKPEVEKKQLEALKSM MEAGESAAPASILEDDNEEEIESADQEEEAHPEYKFGNELSADDYSHKEAVANSVKKTSD DLEHENNKKGLVTVEDEQAWMASYKYVGATTNIHPYLSTMINYAQPVKFQGFHVAEERNI HYNMSSFNESVGLGYLKTHAIEFVNYNKRQMSRIYPKGGRVDSSNYMPQIFWNAGCQMVS LNYQTPDLAMQLNQGKFEYNGSCGYLLKPDFMRRPDRTFDPFSETPVDGVIAATCSVQVI SGQFLSDKKIGTYVEVDMYGLPTDTIRKEFRTRMVMNNGLNPVYNEESFVFRKVILPDLA VLRIAVYDDNNKLIGQRILPLDGLQAGYRHISLRNEGNKPLSLPTIFCNIVLKTYVPDGF GDIVDALSDPKKFLSITEKRADQMRAMGIETSDIADVPSDTSK >ENSMUSP00000139274.1 pep:known chromosome:GRCm38:2:135741830:136013269:1 gene:ENSMUSG00000039943.16 transcript:ENSMUST00000184371.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plcb4 description:phospholipase C, beta 4 [Source:MGI Symbol;Acc:MGI:107464] MAKPYEFNWQKEVPSFLQEGAVFDRYEEESFVFEPNCLFKVDEFGFFLTWKSEGKEGQVL ECSLINSIRQAAIPKDPKILAALEAVGKSENDLEGRILCVCSGTDLVNIGFTYMVAENPE VTKQWVEGLRSIIHNFRANNVSPMTCLKKHWMKLAFLTNTTGKIPVRSITRTFASGKTEK VIFQALKELGLPSGKNDEIEPAAFTYEKFYELTQKICPRTDIEDLFKKINGDKTDYLTVD QLVSFLNEHQRDPRLNEILFPFYDAKRAMQIIEMYEPDEELKKKGLISSDGFCRYLMSDE NAPVFLDRLELYQEMDHPLAHYFISSSHNTYLTGRQFGGKSSVEMYRQVLLAGCRCVELD CWDGKGEDQEPIITHGKAMCTDILFKDVIQAIKETAFVTSEYPVILSFENHCSKYQQYKM SKYCEDLFGDLLLKQALESHPLEPGRPLPSPNDLKRKILIKNKRLKPEVEKKQLEALKSM MEAGESAAPASILEDDNEEEIESADQEEEAHPEYKFGNELSADDYSHKEAVANSVKKGLV TVEDEQAWMASYKYVGATTNIHPYLSTMINYAQPVKFQGFHVAEERNIHYNMSSFNESVG LGYLKTHAIEFVNYNKRQMSRIYPKGGRVDSSNYMPQIFWNAGCQMVSLNYQTPDLAMQL NQGKFEYNGSCGYLLKPDFMRRPDRTFDPFSETPVDGVIAATCSVQVISGQFLSDKKIGT YVEVDMYGLPTDTIRKEFRTRMVMNNGLNPVYNEESFVFRKVILPDLAVLRIAVYDDNNK LIGQRILPLDGLQAGYRHISLRNEGNKPLSLPTIFCNIVLKTYVPDGFGDIVDALSDPKK FLSITEKRADQMRAMGIETSDIADVPSDTSKNDKKGKANPAKANVTPQSSSELRPTTTAA LGSGQEAKKGIELIPQVRIEDLKQMKAYLKHLKKQQKELNSLKKKHAKEHSTMQKLHCTQ VDKIVAQYDKEKSTHEKILEKAMKKKGGSNCLEIKKETEIKIQTLTTDHKSKGKQGDESP SG >ENSMUSP00000105736.1 pep:known chromosome:GRCm38:2:135741830:136014593:1 gene:ENSMUSG00000039943.16 transcript:ENSMUST00000110109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcb4 description:phospholipase C, beta 4 [Source:MGI Symbol;Acc:MGI:107464] MAKPYEFNWQKEVPSFLQEGAVFDRYEEESFVFEPNCLFKVDEFGFFLTWKSEGKEGQVL ECSLINSIRQAAIPKDPKILAALEAVGKSENDLEGRILCVCSGTDLVNIGFTYMVAENPE VTKQWVEGLRSIIHNFRANNVSPMTCLKKHWMKLAFLTNTTGKIPVRSITRTFASGKTEK VIFQALKELGLPSGKNDEIEPAAFTYEKFYELTQKICPRTDIEDLFKKINGDKTDYLTVD QLVSFLNEHQRDPRLNEILFPFYDAKRAMQIIEMYEPDEELKKKGLISSDGFCRYLMSDE NAPVFLDRLELYQEMDHPLAHYFISSSHNTYLTGRQFGGKSSVEMYRQVLLAGCRCVELD CWDGKGEDQEPIITHGKAMCTDILFKDVIQAIKETAFVTSEYPVILSFENHCSKYQQYKM SKYCEDLFGDLLLKQALESHPLEPGRPLPSPNDLKRKILIKNKRLKPEVEKKQLEALKSM MEAGESAAPASILEDDNEEEIESADQEEEAHPEYKFGNELSADDYSHKEAVANSVKKGLV TVEDEQAWMASYKYVGATTNIHPYLSTMINYAQPVKFQGFHVAEERNIHYNMSSFNESVG LGYLKTHAIEFVNYNKRQMSRIYPKGGRVDSSNYMPQIFWNAGCQMVSLNYQTPDLAMQL NQGKFEYNGSCGYLLKPDFMRRPDRTFDPFSETPVDGVIAATCSVQVISGQFLSDKKIGT YVEVDMYGLPTDTIRKEFRTRMVMNNGLNPVYNEESFVFRKVILPDLAVLRIAVYDDNNK LIGQRILPLDGLQAGYRHISLRNEGNKPLSLPTIFCNIVLKTYVPDGFGDIVDALSDPKK FLSITEKRADQMRAMGIETSDIADVPSDTSKNDKKGKANPAKANVTPQSSSELRPTTTAA LGSGQEAKKGIELIPQVRIEDLKQMKAYLKHLKKQQKELNSLKKKHAKEHSTMQKLHCTQ VDKIVAQYDKEKSTHEKILEKAMKKKGGSNCLEIKKETEIKIQTLTTDHKSKVKEIVAQH TKEWSEMINTHSAEEQEIRDLHLSQQCELLRKLLINAHEQQTQQLKLSHDRESKEMRAHQ AKISMENSKAISQDKSIKNKAERERRVRELNSSNTKKFLEERKRLAMKQSKEMDQLKKVQ LEHLEFLEKQNEQAKEMQQMVKLEAEMDRRPATVV >ENSMUSP00000117578.1 pep:known chromosome:GRCm38:2:135987876:136014593:1 gene:ENSMUSG00000039943.16 transcript:ENSMUST00000147744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcb4 description:phospholipase C, beta 4 [Source:MGI Symbol;Acc:MGI:107464] NSLKKKHAKEHSTMQKLHCTQVDKIVAQYDKEKSTHEKILEKAMKKKGGSNCLEIKKETE IKIQTLTTDHKSKVKEIVAQHTKEWSEMINTHSAEEQEIRDLHLSQQCELLRKLLINAHE QQTQQLKLSHDRESKEMRAHQAKISMENSKAISQDKSIKNKAERERRVRELNSSNTKKFL EERKRLAMKQSKEMDQLKKVQLEHLEFLEKQNEQLLKSCHAVSQTQGEGDAADGEIGSRD GPQTSNSSMKLQSAN >ENSMUSP00000038409.4 pep:known chromosome:GRCm38:2:135805548:136013068:1 gene:ENSMUSG00000039943.16 transcript:ENSMUST00000035646.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcb4 description:phospholipase C, beta 4 [Source:MGI Symbol;Acc:MGI:107464] MAKPYEFNWQKEVPSFLQEGAVFDRYEEESFVFEPNCLFKVDEFGFFLTWKSEGKEGQVL ECSLINSIRQAAIPKDPKILAALEAVGKSENDLEGRILCVCSGTDLVNIGFTYMVAENPE VTKQWVEGLRSIIHNFRANNVSPMTCLKKHWMKLAFLTNTTGKIPVRSITRTFASGKTEK VIFQALKELGLPSGKNDEIEPAAFTYEKFYELTQKICPRTDIEDLFKKINGDKTDYLTVD QLVSFLNEHQRDPRLNEILFPFYDAKRAMQIIEMYEPDEELKKKGLISSDGFCRYLMSDE NAPVFLDRLELYQEMDHPLAHYFISSSHNTYLTGRQFGGKSSVEMYRQVLLAGCRCVELD CWDGKGEDQEPIITHGKAMCTDILFKDVIQAIKETAFVTSEYPVILSFENHCSKYQQYKM SKYCEDLFGDLLLKQALESHPLEPGRPLPSPNDLKRKILIKNKRLKPEVEKKQLEALKSM MEAGESAAPASILEDDNEEEIESADQEEEAHPEYKFGNELSADDYSHKEAVANSVKKGLV TVEDEQAWMASYKYVGATTNIHPYLSTMINYAQPVKFQGFHVAEERNIHYNMSSFNESVG LGYLKTHAIEFVNYNKRQMSRIYPKGGRVDSSNYMPQIFWNAGCQMVSLNYQTPDLAMQL NQGKFEYNGSCGYLLKPDFMRRPDRTFDPFSETPVDGVIAATCSVQVISGQFLSDKKIGT YVEVDMYGLPTDTIRKEFRTRMVMNNGLNPVYNEESFVFRKVILPDLAVLRIAVYDDNNK LIGQRILPLDGLQAGYRHISLRNEGNKPLSLPTIFCNIVLKTYVPDGFGDIVDALSDPKK FLSITEKRADQMRAMGIETSDIADVPSDTSKNDKKGKANPAKANVTPQSSSELRPTTTAA LGSGQEAKKGIELIPQVRIEDLKQMKAYLKHLKKQQKELNSLKKKHAKEHSTMQKLHCTQ VDKIVAQYDKEKSTHEKILEKAMKKKGGSNCLEIKKETEIKIQTLTTDHKSKVKEIVAQH TKEWSEMINTHSAEEQEIRDLHLSQQCELLRKLLINAHEQQTQQLKLSHDRESKEMRAHQ AKISMENSKAISQDKSIKNKAERERRVRELNSSNTKKFLEERKRLAMKQSKEMDQLKKVQ LEHLEFLEKQNEQAKEMQQMVKLEAEMDRRPATVV >ENSMUSP00000145176.1 pep:known chromosome:GRCm38:6:102163304:102573101:-1 gene:ENSMUSG00000030075.10 transcript:ENSMUST00000203619.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn3 description:contactin 3 [Source:MGI Symbol;Acc:MGI:99534] MMLSWKQLILLSFIGCLAGELLLQGPVFIKEPSNSIFPVDSEDKKITLNCEARGNPSPHY RWQLNGSDIDTSLDHRYKLNGGNLIVINPNRNWDTGSYQCFATNSLGTIVSREAKLQFAY LENFKTRMRSTVSVREGQGVVLLCGPPPHSGELSYAWVFNEYPSFVEEDSRRFVSQETGH LYIAKVEPSDVGNYTCVVTSTVTNTRVLGSPTPLVLRSDGVMGEYEPKIEVQFPETLPAA KGSTVRLECFALGNPVPQINWRRSDGMPFPNKIKLRKFNGMLEIQNFQQEDTGSYECIAE NSRGKNVARGRLTYYAKPYWLQLLRDVEIAVEDSLYWECRASGKPKPSYRWLKNGDALVL EERIQIENGALTITNLNVTDSGMFQCIAENKHGLIYSSAELKVVASAPDFSRNPMKKMVQ VQVGSLVILDCKPRASPRALSFWKKGDMMVREQARVSFLNDGGLKIMNVTKADAGTYTCT AENQFGKANGTTHLVVTEPTRIILAPSNMDVAVGESVILPCQVQHDPLLDIMFAWYFNGA LTDFKKDGSHFEKVGGSSSGDLMIRNIQLKHSGKYVCMVQTGVDSVSSAAELIVRGSPGP PENVKVDEITDTTAQLSWTEGTDSHSPVISYAVQARTPFSVGWQSVRTVPEVIDGKTHTA TVVELNPWVEYEFRIVASNKIGGGEPSLPSEKVRTEEAAPEIAPSEVSGGGGSRSELVIT WDPVPEELQNGGGFGYVVAFRPLGVTTWIQTVVTSPDNPRYVFRNESIVPFSPYEVKVGV YNNKGEGPFSPVTTVFSAEEEPTVAPSHISAHSLSSSEIEVSWNTIPWKLSNGHLLGYEV RYWNNGGEEESSRKVKVAGNQTSAVLRGLKSNLAYYTAVRAYNSAGAGPFSATVNATTKK TPPSQPPGNVVWNATDTKVLLNWEQVKAMENESEVTGYKVFYRTSSQNNVHVLNTNKTSA ELLLPIKEDYIIEVKATTDGGDGTSSEQIRIPRITSMDARGSTSAISNIHPLSGYMSVLL FFIVNALW >ENSMUSP00000032159.6 pep:known chromosome:GRCm38:6:102163306:102464667:-1 gene:ENSMUSG00000030075.10 transcript:ENSMUST00000032159.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn3 description:contactin 3 [Source:MGI Symbol;Acc:MGI:99534] MMLSWKQLILLSFIGCLAGELLLQGPVFIKEPSNSIFPVDSEDKKITLNCEARGNPSPHY RWQLNGSDIDTSLDHRYKLNGGNLIVINPNRNWDTGSYQCFATNSLGTIVSREAKLQFAY LENFKTRMRSTVSVREGQGVVLLCGPPPHSGELSYAWVFNEYPSFVEEDSRRFVSQETGH LYIAKVEPSDVGNYTCVVTSTVTNTRVLGSPTPLVLRSDGVMGEYEPKIEVQFPETLPAA KGSTVRLECFALGNPVPQINWRRSDGMPFPNKIKLRKFNGMLEIQNFQQEDTGSYECIAE NSRGKNVARGRLTYYAKPYWLQLLRDVEIAVEDSLYWECRASGKPKPSYRWLKNGDALVL EERIQIENGALTITNLNVTDSGMFQCIAENKHGLIYSSAELKVVASAPDFSRNPMKKMVQ VQVGSLVILDCKPRASPRALSFWKKGDMMVREQARVSFLNDGGLKIMNVTKADAGTYTCT AENQFGKANGTTHLVVTEPTRIILAPSNMDVAVGESVILPCQVQHDPLLDIMFAWYFNGA LTDFKKDGSHFEKVGGSSSGDLMIRNIQLKHSGKYVCMVQTGVDSVSSAAELIVRGSPGP PENVKVDEITDTTAQLSWTEGTDSHSPVISYAVQARTPFSVGWQSVRTVPEVIDGKTHTA TVVELNPWVEYEFRIVASNKIGGGEPSLPSEKVRTEEAAPEIAPSEVSGGGGSRSELVIT WDPVPEELQNGGGFGYVVAFRPLGVTTWIQTVVTSPDNPRYVFRNESIVPFSPYEVKVGV YNNKGEGPFSPVTTVFSAEEEPTVAPSHISAHSLSSSEIEVSWNTIPWKLSNGHLLGYEV RYWNNGGEEESSRKVKVAGNQTSAVLRGLKSNLAYYTAVRAYNSAGAGPFSATVNATTKK TPPSQPPGNVVWNATDTKVLLNWEQVKAMENESEVTGYKVFYRTSSQNNVHVLNTNKTSA ELLLPIKEDYIIEVKATTDGGDGTSSEQIRIPRITSMDARGSTSAISNIHPLSGYMSVLL FFIVNALW >ENSMUSP00000059330.7 pep:known chromosome:GRCm38:9:106880918:106887428:-1 gene:ENSMUSG00000074102.4 transcript:ENSMUST00000055843.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm15b description:RNA binding motif protein 15B [Source:MGI Symbol;Acc:MGI:1923598] MKRQSERDSSPSGRGSSSSAKRPREREREAEAGGRRAAHKASGGTKHPVPARARDKPRGS GGGGGHRDGRAAGDANHRASGGRSSGAPGGGGRTGKASGDPGAGGASPRASPLPPPPPPP GAEPAGPGSTAAPEYKTLLISSLSPALPAEHLEDRLFHQFKRFGEISLRLSHTPELGRVA YVNFRHPQDAREARQHALARQLLLYDRPLKVEPVYLRGGGSSRRSSSSSAAASTPPPGPP APADPLGYLPLHGGYQYKQRSLSPVAAPPLREPRARHAAAAFALDAAAAAAVGLSRERAL DYYGLYDDRGRPYGYQAVCEEDLMPEDDQRATRNLFIGNLDHSVSEVELRRAFEKYGIIE EVVIKRPARGQGGAYAFLKFQNLDMAHRAKVAMSGRVIGRNPIKIGYGKANPTTRLWVGG LGPNTSLAALAREFDRFGSIRTIDHVKGDSFAYIQYESLDAAQAACAKMRGFPLGGPDRR LRVDFAKAEETRYPQQYQPSPLPVHYELLTDGYTRHRNLDADLRVRDRTPPHLLYSDRDR TFLEGDWTSLSKSSDRRNSLEGYSRSVRSRSGERWGGDGDRSIAKPWEERRKRRSLSSDR GRTTHSPYEERSRTKGGGQQSERGSDRTPERSRKENHSSEGTKESGSNSLSNSRHGAEER SHHHHHHEAPDSSHGKKTRESERNHRTTEAEPKTLEEPKHETKKLKTLSEYAQTLQLGWN GLLVLKNSCFPTSMHILEGDQGVISGLLKDHPSGSKLTQLKIAQRLRLDQPKLDEVTRRI KQGSPNGYAVLLAIQSTPSGPGAEGMPVVEPGLQRRLLRNLVSYLKQKQAAGVISLPVGG SKGRDNTGMLYAFPPCDFSQQYLQSALRTLGKLEEEHMVIVIVRDTA >ENSMUSP00000139726.1 pep:known chromosome:GRCm38:9:106884751:106885619:-1 gene:ENSMUSG00000074102.4 transcript:ENSMUST00000185707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm15b description:RNA binding motif protein 15B [Source:MGI Symbol;Acc:MGI:1923598] XFAYIQYESLDAAQAACAKMRGFPLGGPDRRLRVDFAKAEETRYPQQYQPSPLPVHYELL TDGYTRHRNLDADLRVRDRTPPHLLYSDRDRTFLEGDWTSLSKSSDRRNSLEGYSRSVRS RSGERWGVKGPRSQAATPSATADMVLRRGATITTTMRLQTLPMGKRLERVNAIIGPLRQS PRLLKSQNTRPKS >ENSMUSP00000132508.2 pep:known chromosome:GRCm38:17:33380179:33394641:-1 gene:ENSMUSG00000055240.16 transcript:ENSMUST00000167107.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp101 description:zinc finger protein 101 [Source:MGI Symbol;Acc:MGI:107547] MRPKLEMEPVTFEDVAVNFTSGEWTLLDSSQKKLYRDVMKENFLNLISIEKTREENVEED YQNLRTQVIEKDGECERGCQCEQTQAQSPEYIVNEDMPPAATGCGSSLYVRNVIGNSPWD VHLSGQTQEKPFECKEPVEKAFKPEECWEDMGHSKAFQVHGSSREKSYENQQCDAAHRNL HCDPHHERTHDGNKNNENTFMKCTSDQIDEKLHSEVKPFVCKQCGEAFVNSSHLISHERI HIVEKCYICKQCGKTFRYLSCFQKHERIHSGERPYVCEQCGKGFIQLKYLLMHQRSHGEN SYECKHCEKVFTISSVHNVHEDIQDGDKPYSCTHCGKAFSSPSDYNSCERIHTGENPFVC KKCGKAFKRLGHFMNHERIHTGEKPYACKHCGKAFTSSSDRNSHERIHTGEKPFVCKTCG KAFSRSDYLINHKRIHTGEKPYPCKYCGKAFATSSDRNSHERIHTGERSFLCKKCGKVFI LSGDLIKHERIHTGEKPYACKHCGKAFTTSSARNSHERIHTGEKPYTCKHCTKTFTTSST RNSHEKTHTAEKHFACNLCGKTFNSQSSYYTHKKIHSMKEKLYVCKHCGKEFTYCGNFLK HERSHTMETLSI >ENSMUSP00000134459.1 pep:known chromosome:GRCm38:17:33382278:33394357:-1 gene:ENSMUSG00000055240.16 transcript:ENSMUST00000174417.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp101 description:zinc finger protein 101 [Source:MGI Symbol;Acc:MGI:107547] MKENFLNLISIEKTREENVEEDYQNLRTQVIEKDGECERGCQCEQTQAQSPEYIVNEDMP PAATGCGSSLYVRNVIGNSPWDVHLSGQTQEKPFECKEPVEKAFKPEECWEDMGHSKAFQ VHGSSREKS >ENSMUSP00000133873.1 pep:known chromosome:GRCm38:17:33382988:33394623:-1 gene:ENSMUSG00000055240.16 transcript:ENSMUST00000174512.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp101 description:zinc finger protein 101 [Source:MGI Symbol;Acc:MGI:107547] MRPKLEMEPVTFEDVAVNFTSGEWTLLDSSQKKLYRDVMKENFLNLISIEKTREENVEED YQNLR >ENSMUSP00000106982.3 pep:known chromosome:GRCm38:1:170141938:170174957:-1 gene:ENSMUSG00000026670.15 transcript:ENSMUST00000111350.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uap1 description:UDP-N-acetylglucosamine pyrophosphorylase 1 [Source:MGI Symbol;Acc:MGI:1334459] MNVNDLKQRLSQAGQEHLLQFWNELSEAQQVELYMELQAMNFEELNSFFRKAIGEFDRSS HQEKVDARMEPVPRQVLGSATRDQEQLQAWESEGLSQISQNKVAVLLLAGGQGTRLGVSY PKGMYDVGLPSHKTLFQIQAERILKLQQLAEKHHGNKCTIPWYIMTSGRTMESTKEFFTK HKFFGLKKENVVFFQQGMLPAMSFDGKIILEEKNKVSMAPDGNGGLYRALAAQNIVEDME QRGICSIHVYCVDNILVKVADPRFIGFCIQKGADCGAKVVEKTNPTEPVGVVCRVDGVYQ VVEYSEISLATAQRRSSDGRLLFNAGNIANHFFTVPFLKDVVNVYEPQLQHHVAQKKIPY VDSQGHFIKPDKPNGIKMEKFVFDIFQFAKKFVVYEVLREDEFSPLKNADSQNGKDNPTT ARHALMSLHHCWVLNAGGHFIDENGSRLPAIPRLKDANDVPIQCEISPLISYAGEGLEGY VADKEFHAPLIIDENGVHELVKNGI >ENSMUSP00000106983.3 pep:known chromosome:GRCm38:1:170142002:170174946:-1 gene:ENSMUSG00000026670.15 transcript:ENSMUST00000111351.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uap1 description:UDP-N-acetylglucosamine pyrophosphorylase 1 [Source:MGI Symbol;Acc:MGI:1334459] MNVNDLKQRLSQAGQEHLLQFWNELSEAQQVELYMELQAMNFEELNSFFRKAIGEFDRSS HQEKVDARMEPVPRQVLGSATRDQEQLQAWESEGLSQISQNKVAVLLLAGGQGTRLGVSY PKGMYDVGLPSHKTLFQIQAERILKLQQLAEKHHGNKCTIPWYIMTSGRTMESTKEFFTK HKFFGLKKENVVFFQQGMLPAMSFDGKIILEEKNKVSMAPDGNGGLYRALAAQNIVEDME QRGICSIHVYCVDNILVKVADPRFIGFCIQKGADCGAKVVEKTNPTEPVGVVCRVDGVYQ VVEYSEISLATAQRRSSDGRLLFNAGNIANHFFTVPFLKDVVNVYEPQLQHHVAQKKIPY VDSQGHFIKPDKPNGIKMEKFVFDIFQFAKKFVVYEVLREDEFSPLKNADSQNGKDNPTT ARHALMSLHHCWVLNAGGHFIDENGSRLPAIPRSATNGKSEAITADVNHNLKDANDVPIQ CEISPLISYAGEGLEGYVADKEFHAPLIIDENGVHELVKNGI >ENSMUSP00000027981.7 pep:known chromosome:GRCm38:1:170142003:170174946:-1 gene:ENSMUSG00000026670.15 transcript:ENSMUST00000027981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uap1 description:UDP-N-acetylglucosamine pyrophosphorylase 1 [Source:MGI Symbol;Acc:MGI:1334459] MNVNDLKQRLSQAGQEHLLQFWNELSEAQQVELYMELQAMNFEELNSFFRKAIGEFDRSS HQEKVDARMEPVPRQVLGSATRDQEQLQAWESEGLSQISQNKVAVLLLAGGQGTRLGVSY PKGMYDVGLPSHKTLFQIQAERILKLQQLAEKHHGNKCTIPWYIMTSGRTMESTKEFFTK HKFFGLKKENVVFFQQGMLPAMSFDGKIILEEKNKVSMAPDGNGGLYRALAAQNIVEDME QRGICSIHVYCVDNILVKVADPRFIGFCIQKGADCGAKVVEKTNPTEPVGVVCRVDGVYQ VVEYSEISLATAQRRSSDGRLLFNAGNIANHFFTVPFLKDVVNVYEPQLQHHVAQKKIPY VDSQGHFIKPDKPNGIKMEKFVFDIFQFAKKFVVYEVLREDEFSPLKNADSQNGKDNPTT ARHALMSLHHCWVLNAGGHFIDENGSRLPAIPRATNGKSEAITADVNHNLKDANDVPIQC EISPLISYAGEGLEGYVADKEFHAPLIIDENGVHELVKNGI >ENSMUSP00000137342.2 pep:known chromosome:GRCm38:3:124556352:124581091:-1 gene:ENSMUSG00000039174.14 transcript:ENSMUST00000180162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700003H04Rik description:RIKEN cDNA 1700003H04 gene [Source:MGI Symbol;Acc:MGI:1923637] METLGEKETLLDDKPRNMLFQGSVALRRGWRRKKYHFSLFTDVLVVSKNVHTKKFKIKDI IPLRYLWISDSADLLGGDSRMACKSIILFWPMEKLVATFCTKEEKKWWYFFLQRASGWYS NGNFLFVLGALKWNFWTQRNLVDTPAALYKNPAILKIFFT >ENSMUSP00000136984.1 pep:known chromosome:GRCm38:3:124565888:124581092:-1 gene:ENSMUSG00000039174.14 transcript:ENSMUST00000178485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700003H04Rik description:RIKEN cDNA 1700003H04 gene [Source:MGI Symbol;Acc:MGI:1923637] METLGEKETLLDDKPRNMLFQGSVALRRGWRRKKYHFSLFTDVLVVSKNVHTKKFKIKDI IPLRYLWISDSADLLGGDSRMACKSIILFWPMEKLVATF >ENSMUSP00000136217.1 pep:known chromosome:GRCm38:3:124573217:124581101:-1 gene:ENSMUSG00000039174.14 transcript:ENSMUST00000180033.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700003H04Rik description:RIKEN cDNA 1700003H04 gene [Source:MGI Symbol;Acc:MGI:1923637] METLGEKETLLDDKPRNMLFQGSVALRRGWRRKKYHFSLFTDVLVVSKNVHTKKFKIKDI IPLRYLWISDSADLLGGDSRMACKSIILFWPMEKLVATFCTKEEKKWWYFFLQRSITNAK KRG >ENSMUSP00000136525.1 pep:known chromosome:GRCm38:3:124573218:124578501:-1 gene:ENSMUSG00000039174.14 transcript:ENSMUST00000177772.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700003H04Rik description:RIKEN cDNA 1700003H04 gene [Source:MGI Symbol;Acc:MGI:1923637] XSRMACKSIILFWPMEKLVATFCTKEEKKWWYFFLQRDTCCCLCPIQMKISDLFPPG >ENSMUSP00000136955.1 pep:known chromosome:GRCm38:3:124573218:124581094:-1 gene:ENSMUSG00000039174.14 transcript:ENSMUST00000178953.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700003H04Rik description:RIKEN cDNA 1700003H04 gene [Source:MGI Symbol;Acc:MGI:1923637] METLGEKETLLDDKPRNMLFQGSVALRRGWRRKKYHFSLFTDVLVVSKNVPPRGRQQNGL QIHHSFLAHGKIGGHILYQGREKMVVFFPPKIHY >ENSMUSP00000035388.7 pep:known chromosome:GRCm38:3:124556352:124581091:-1 gene:ENSMUSG00000039174.14 transcript:ENSMUST00000047110.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700003H04Rik description:RIKEN cDNA 1700003H04 gene [Source:MGI Symbol;Acc:MGI:1923637] METLGEKETLLDDKPRNMLFQGSVALRRGWRRKKYHFSLFTDVLVVSKNVHTKKFKIKDI IPLRYLWISDSADLLGRQQNGLQIHHSFLAHGKIGGHILYQGREKMVVFFPPKELSSGTF GLRGILWTHLLLCTKTQQYLKYSSHEECIPCILRSVLLHSFVCPNLRSHHGVPSANTNVS AFCNLTKCCLCC >ENSMUSP00000042364.8 pep:known chromosome:GRCm38:17:70973920:70990787:-1 gene:ENSMUSG00000034868.8 transcript:ENSMUST00000038446.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl12b description:myosin, light chain 12B, regulatory [Source:MGI Symbol;Acc:MGI:107494] MSSKKAKTKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLAS LGKNPTDAYLDAMMNEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEATGTIQE DYLRELLTTMGDRFTDEEVDELYREAPIDKKGNFNYIEFTRILKHGAKDKDD >ENSMUSP00000047441.5 pep:known chromosome:GRCm38:4:120757438:120825706:-1 gene:ENSMUSG00000032897.17 transcript:ENSMUST00000043429.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfyc description:nuclear transcription factor-Y gamma [Source:MGI Symbol;Acc:MGI:107901] MSTEGGFGGTSSSDAQQSLQSFWPRVMEEIRNLTVKDFRVQELPLARIKKIMKLDEDVKM ISAEAPVLFAKAAQIFITELTLRAWIHTEDNKRRTLQRNDIAMAITKFDQFDFLIDIVPR DELKPPKRQEEVRQSVTPAEPVQYYFTLAQQPTAVQVQGQQQGQQTTSSTTTIQPGQIII AQPQQGQTTPVTMQVGEGQQVQIVQAQPQGQAQQTQSGTGQTMQVMQQIITNTGEIQQIP VQLNAGQLQYIRLAQPVSGTQVVQGQIQTLATNAQQITQTEVQQGQQQFSQFTDGQQLYQ IQQVTMPAGQDLAQPMFIQSANQPSDGQTPQVTGD >ENSMUSP00000112610.1 pep:known chromosome:GRCm38:4:120757438:120831572:-1 gene:ENSMUSG00000032897.17 transcript:ENSMUST00000118902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfyc description:nuclear transcription factor-Y gamma [Source:MGI Symbol;Acc:MGI:107901] MSTEGGFGGTSSSDAQQSLQSFWPRVMEEIRNLTVKDFRVQELPLARIKKIMKLDEDVKM ISAEAPVLFAKAAQIFITELTLRAWIHTEDNKRRTLQRNDIAMAITKFDQFDFLIDIVPR DELKPPKRQEEVRQSVTPAEPVQYYFTLAQQPTAVQVQGQQQGQQTTSSTTTIQPGQIII AQPQQGQTTPVTMQVGEGQQVQIVQAQPQGQAQQTQSGTGQTMQVMQQIITNTGEIQQIP VQLNAGQLQYIRLAQPVSGTQVVQGQIQTLATNAQQITQTEVQQGQQQFSQFTDGQQLYQ IQQVTMPAGQDLAQPMFIQSANQPSDGQTPQVTGD >ENSMUSP00000112810.1 pep:known chromosome:GRCm38:4:120757438:120815712:-1 gene:ENSMUSG00000032897.17 transcript:ENSMUST00000120779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfyc description:nuclear transcription factor-Y gamma [Source:MGI Symbol;Acc:MGI:107901] MSTEGGFGGTSSSDAQQSLQSFWPRVMEEIRNLTVKDFRVQELPLARIKKIMKLDEDVKM ISAEAPVLFAKAAQIFITELTLRAWIHTEDNKRRTLQRNDIAMAITKFDQFDFLIDIVPR DELKPPKRQEEVRQSVTPAEPVQYYFTLAQQPTAVQVQGQQQGQQTTSSTTTIQPGQIII AQPQQGQTTPVTMQVGEGQQVQIVQAQPQGQAQQTQSGTGQTMQVMQQIITNTGEIQQIP VQLNAGQLQYIRLAQPVSGTQVVQGQIQTLATNAQQITQTEVQQGQQQFSQFTDGQQLYQ IQQVTMPAGQDLAQPMFIQSANQPSDGQTPQVTGD >ENSMUSP00000114591.1 pep:known chromosome:GRCm38:4:120779179:120825707:-1 gene:ENSMUSG00000032897.17 transcript:ENSMUST00000145658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfyc description:nuclear transcription factor-Y gamma [Source:MGI Symbol;Acc:MGI:107901] MSTEGGFGGTSSSDAQQSLQSFWPRVMEEIRNLTVMISAEAPVLFAKAAQIFI >ENSMUSP00000117646.1 pep:known chromosome:GRCm38:4:120768879:120825696:-1 gene:ENSMUSG00000032897.17 transcript:ENSMUST00000136236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfyc description:nuclear transcription factor-Y gamma [Source:MGI Symbol;Acc:MGI:107901] MSTEGGFGGTSSSDAQQSLQSFWPRVMEEIRNLTVKDFRVQELPLARIKKIMKLDEDVKR NDIAMAITKFDQFDFLIDIVPRDELKPPKRQEEVRQSV >ENSMUSP00000114640.1 pep:known chromosome:GRCm38:4:120765257:120825684:-1 gene:ENSMUSG00000032897.17 transcript:ENSMUST00000134979.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfyc description:nuclear transcription factor-Y gamma [Source:MGI Symbol;Acc:MGI:107901] MSTEGGFGGTSSSDAQQSLQSFWPRVMEEIRNLTVRNDIAMAITKFDQFDFLIDIVPRDE LKPPKRQEEVRQSVTPAEPVQYYFTLAQQPTAVQVQGQQQGQQTTSSTTTIQPGQIIIAQ PQQGQTTPVTMQVGEGQQVQIVQAQPQGQAQQTQSGTGQTMQV >ENSMUSP00000095516.5 pep:known chromosome:GRCm38:4:120758177:120790481:-1 gene:ENSMUSG00000032897.17 transcript:ENSMUST00000097906.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfyc description:nuclear transcription factor-Y gamma [Source:MGI Symbol;Acc:MGI:107901] MSTEGGFGGTSSSDAQQSLQSFWPRVMEEIRNLTVKDFRVQELPLARIKKIMKLDEDVKM ISAEAPVLFAKAAQIFITELTLRAWIHTEDNKRRTLQRNDIAMAITKFDQFDFLIDIVPR DELKPPKRQEEVRQSVTPAEPVQYYFTLAQQPTAVQVQGQQQGQQTTSSTTTIQPGQIII AQPQQGQTTPVTMQVGEGQQVQIVQAQPQGQAQQTQSGTGQTMQVMQQIITNTGEIQQIP VQLNAGQLQYIRLAQPVSGTQVVQGQIQTLATNAQQITQTEVQQGQQQFSQFTDGQQLYQ IQQVTMPAGQDLAQPMFIQSANQPSDGQTPQVTGD >ENSMUSP00000141608.1 pep:known chromosome:GRCm38:1:185204117:185284185:1 gene:ENSMUSG00000039318.12 transcript:ENSMUST00000194740.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3gap2 description:RAB3 GTPase activating protein subunit 2 [Source:MGI Symbol;Acc:MGI:1916043] MACSIVQFCSFQDLQSARDFLFPHLREETPGALKRDPSKTSSWEDDSWGAWEETEPREPE EEGNTSKTQKNSWLQECVLSLSPTSDLMVIAREQKAAFLVPKWKHGDKGKEEMQFAVGWS GSVSAEEGEYVTSALCIPLASQKRSSTGRPDWTCIVVGFTSGYVRFYTESGVLLLAQLLN EDKVLQLKCRTYEIPRHPGVTEQNEELSILYPAAIVTIDGFSLFQSLRACRNQVAKAAAS GNENIQPPPLAYKKWGLQDIDTIIDHASIGIMTLSPFDQMKTASNIGGFNAAIKNSPPAM SQYITVGSSPFTGFFYALEGSTQPLLSHVALAVASKLTSALFSAASGWLGWKSKHEEDTV QKQKPKMEPATPLAVRFGLPDSRRHGESICLSPCNTLAAVTDDFGRVILLDVARGIAIRM WKGYRDAQVGWIQIVEDLHERVPEKGGFSPFGNTQGPSRVAQFLVIYAPRRGILEVWNTQ QGPRVGAFNVGKHCRLLYPGYKIMGLNNVTSQSWQPQTYQICLVDPVSASVKAVNVPFHL ALSDKKSERAKDLHLVKKLSALLRAKSPRPDSFETEIKELILDIKYPATKKQALESILAS DRLSFSCLRNVTQTLMDTLKNQELESVDEGLLQFCASKLKLLHLYESVSQLNTLDFHSDT PFSDNDLAVLLRLDDKELLKLRALLEKYKQENTKATVRFSEDADRVLPVKTFLEYLEYEK DALSIRKVGEEECVALGSFFFWKCLHGESSTEEMCHSLESAGLSPQQLLSLLLSVWLSKE KDILDKPQSVCCLHTMLSLLSKMKVAIDETWDSQSVSPWWQQMRMACIQSENSGAALLSA HVGHSVAAQMSSGATDKKFSQMVLDADAEALTDSWEALSLDTEYWKLLLRQLEDCLILQT LLHSKLSPPAAKAPSLQSEPLPRLSVKKLLEGGKGGIADSVAKWIFKQDLSPELLKCANK ERDVENPDEPREDLLHLAYEQFPCSLELDVLHAHCCWEYVVQWNKDPEEARFLVRSIEHL KHILNPHVQNGIALMMWNTFLVKRFSAATYLMDKVGKSPKDRLCRRDVGMSDTALTSFLG SCLELLQTSLEADISRDEVQVPVLDTEDAWLSVEGPISIVELALEQKPIHYPLVEHHSVL CSILYASMRFSLKSVKPLALFDSKGKNAFFKDLTSIQLLPSGEMDPNFISVRQQFLLKVV SAAVQAQHSKDKDPSAEAANTHWKDLNWPGLAVDLAHHLQVSDDVIRRHYVGELYSHGAD LLGEEAIFQVQDKEVLASQLLVLTGQRLAHALFHTQTKEGMELLARLPPTLCTWLKAMNP QDLQNTGVPIAATAKLVHKVMELLPEKHGQYSLALHLIDAVEAMATL >ENSMUSP00000066325.6 pep:known chromosome:GRCm38:1:185204117:185286759:1 gene:ENSMUSG00000039318.12 transcript:ENSMUST00000069652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3gap2 description:RAB3 GTPase activating protein subunit 2 [Source:MGI Symbol;Acc:MGI:1916043] MACSIVQFCSFQDLQSARDFLFPHLREETPGALKRDPSKTSSWEDDSWGAWEETEPREPE EEGNTSKTQKNSWLQECVLSLSPTSDLMVIAREQKAAFLVPKWKHGDKGKEEMQFAVGWS GSVSAEEGEYVTSALCIPLASQKRSSTGRPDWTCIVVGFTSGYVRFYTESGVLLLAQLLN EDKVLQLKCRTYEIPRHPGVTEQNEELSILYPAAIVTIDGFSLFQSLRACRNQVAKAAAS GNENIQPPPLAYKKWGLQDIDTIIDHASIGIMTLSPFDQMKTASNIGGFNAAIKNSPPAM SQYITVGSSPFTGFFYALEGSTQPLLSHVALAVASKLTSALFSAASGWLGWKSKHEEDTV QKQKPKMEPATPLAVRFGLPDSRRHGESICLSPCNTLAAVTDDFGRVILLDVARGIAIRM WKGYRDAQVGWIQIVEDLHERVPEKGGFSPFGNTQGPSRVAQFLVIYAPRRGILEVWNTQ QGPRVGAFNVGKHCRLLYPGYKIMGLNNVTSQSWQPQTYQICLVDPVSASVKAVNVPFHL ALSDKKSERAKDLHLVKKLSALLRAKSPRPDSFETEIKELILDIKYPATKKQALESILAS DRLSFSCLRNVTQTLMDTLKNQELESVDEGLLQFCASKLKLLHLYESVSQLNTLDFHSDT PFSDNDLAVLLRLDDKELLKLRALLEKYKQENTKATVRFSEDADRVLPVKTFLEYLEYEK DALSIRKVGEEECVALGSFFFWKCLHGESSTEEMCHSLESAGLSPQQLLSLLLSVWLSKE KDILDKPQSVCCLHTMLSLLSKMKVAIDETWDSQSVSPWWQQMRMACIQSENSGAALLSA HVGHSVAAQMSSGATDKKFSQMVLDADAEALTDSWEALSLDTEYWKLLLRQLEDCLILQT LLHSKLSPPAAKAPSLQSEPLPRLSVKKLLEGGKGGIADSVAKWIFKQDLSPELLKCANK ERDVENPDEPREGITRSFPEVSEVEIDLGAVPDLLHLAYEQFPCSLELDVLHAHCCWEYV VQWNKDPEEARFLVRSIEHLKHILNPHVQNGIALMMWNTFLVKRFSAATYLMDKVGKSPK DRLCRRDVGMSDTALTSFLGSCLELLQTSLEADISRDEVQVPVLDTEDAWLSVEGPISIV ELALEQKPIHYPLVEHHSVLCSILYASMRFSLKSVKPLALFDSKGKNAFFKDLTSIQLLP SGEMDPNFISVRQQFLLKVVSAAVQAQHSKDKDPSAEAANTHWKDLNWPGLAVDLAHHLQ VSDDVIRRHYVGELYSHGADLLGEEAIFQVQDKEVLASQLLVLTGQRLAHALFHTQTKEG MELLARLPPTLCTWLKAMNPQDLQNTGVPIAATAKLVHKVMELLPEKHGQYSLALHLIDA VEAMATL >ENSMUSP00000021062.5 pep:known chromosome:GRCm38:11:106780355:106788532:-1 gene:ENSMUSG00000020719.14 transcript:ENSMUST00000021062.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx5 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 5 [Source:MGI Symbol;Acc:MGI:105037] MSSYSSDRDRGRDRGFGAPRFGGSRTGPLSGKKFGNPGEKLVKKKWNLDELPKFEKNFYQ EHPDLARRTAQEVDTYRRSKEITVRGHNCPKPVLNFYEANFPANVMDVIARQNFTEPTAI QAQGWPVALSGLDMVGVAQTGSGKTLSYLLPAIVHINHQPFLERGDGPICLVLAPTRELA QQVQQVAAEYCRACRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLECGKTNLR RTTYLVLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQLAEDFLKDYIHI NIGALELSANHNILQIVDVCHDVEKDEKLIRLMEEIMSEKENKTIVFVETKRRCDELTRK MRRDGWPAMGIHGDKSQQERDWVLNEFKHGKAPILIATDVASRGLDVEDVKFVINYDYPN SSEDYIHRIGRTARSTKTGTAYTFFTPNNIKQVSDLISVLREANQAINPKLLQLVEDRGS GRSRGRGGMKDDRRDRYSAGKRGGFNTFRDRENYDRGYSNLLKRDFGAKTQNGVYSAANY TNGSFGSNFVSAGIQTSFRTGNPTGTYQNGYDSTQQYGSNVANMHNGMNQQAYAYPATAA AAPMIGYPMPTGYSQ >ENSMUSP00000138237.1 pep:known chromosome:GRCm38:11:106781548:106788494:-1 gene:ENSMUSG00000020719.14 transcript:ENSMUST00000133426.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddx5 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 5 [Source:MGI Symbol;Acc:MGI:105037] MSSYSSDRDRGRDRGFGAPRFGGSRTGPLSGKKFGNPGEKLVKKKWNLDELPKFEKNFYQ EHPDLARRTAQEVDTYRRSKEITVRGHNCPKPVLNFYEANFPANVMDVIARQNFTEPTAI QAQGWPVALSGLDMVGVAQTGSGKTLSYLLPAIVHINHQPFLERGDGPICLVLAPTRELA QQVQQVAAEYCRACRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLECGKTNLR RTTYLVLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQLAEDFLKDYIHI NIGALELSANHNILQIVDVCHDVEKDEKLIRLMEEIMSEKENKTIVFVETKRRCDELTRK MRRDGWPAMGIHGDKSQQERDWVLNEFKHGKAPILIATDVASRGLG >ENSMUSP00000138184.1 pep:known chromosome:GRCm38:11:106781976:106788488:-1 gene:ENSMUSG00000020719.14 transcript:ENSMUST00000127481.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddx5 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 5 [Source:MGI Symbol;Acc:MGI:105037] MSSYSSDRDRGRDRGFGAPRFGGSRTGPLSGKKFGNPGEKLVKKKWNLDELPKFEKNFYQ EHPDLARRTAM >ENSMUSP00000116859.1 pep:known chromosome:GRCm38:11:106785496:106789185:-1 gene:ENSMUSG00000020719.14 transcript:ENSMUST00000129585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx5 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 5 [Source:MGI Symbol;Acc:MGI:105037] MSSYSSDRDRGRDRGFGAPRFGGSRTGPLSGKKFGNPGEKLVKKKWNLDELPKFEKNFYQ EHPDLARRTAQEVDTYRRSKEITVRGHNCPKPVLNFYEANFPANVMDVIARQNFTEPTAI QAQGWPVALSGLDMVGVAQTGSGKTLSYLLPAIVHINHQPFLERGDGPICLVLAPTRELA QQV >ENSMUSP00000121733.1 pep:known chromosome:GRCm38:11:106786071:106788883:-1 gene:ENSMUSG00000020719.14 transcript:ENSMUST00000123339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx5 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 5 [Source:MGI Symbol;Acc:MGI:105037] MSSYSSDRDRGRDRGFGAPRFGGSRTGPLSGKKFGNPGEKLVKKKWNLDELPKFEKNFYQ EHPDLARRTAQEVDTYRRSKEITVRGHNCPKPVLNFYEANFPANVMDVIARQNFTEPTAI QAQGWPVALSGLDMVGVAQTGSGKTLSYLLPAIVHINHQPFLERGDGP >ENSMUSP00000119872.1 pep:known chromosome:GRCm38:12:87026292:87090038:1 gene:ENSMUSG00000034145.14 transcript:ENSMUST00000146292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63c description:transmembrane protein 63c [Source:MGI Symbol;Acc:MGI:2444386] MSAFPDSMDQKFHNMTVNECFQSRSTVLQGQPFGGIPTVLVLNIILWVFVVLLYSFLRKA AWDYGRLALLIHNDSLTSLIYGEQSEKSSPSEVSLEAERRDRGFSSWFFNSLTMRDRDLI NKCGDDARIYITFQYHLIIFVLILCIPSLGIILPVNYIGTVLDWNSHFGRTTIVNVSTES KFLWLHSLFAFLYFLINLAFMGHHCLGFVPKKSLHFTRTLMITYVPTEIQDPEIISKHFH EAYPGCVVTRVHFCYDVRNLIDLDDQRRHAMRGRLYYTAKAKKTGKVMIKTHPCSRLCFC KCWTCFKEVDAEQYYSELEEQLTDEFNAELNRVQLKRLDLIFVTFQDARTVRRIYDDYKY IHCGRHPKQSSVTTIVKNYHWRVAHAPHPKDIIWKHLSIRRFSWWTRFIAINTFLFFLFF FLTTPAIIINTIDIYNVTRPIEKLQSPIVTQFFPSVLLWAFTVTMPLLVYLSAFLEAHWT RSSQNLIIVHKCYIFLVFMVVILPSMGLTSLHVFLRWLFDIYYLEHATIRFQCVFLPDNG AFFINYVITAALLGTGMELMRLGSLCTYCTRLFLSKSEPERVHIRKNQATDFQFGREYAW MLNVFSVVMAYSITCPIIVPFGLLYLCMKHITDRYNMYYSYAPTKLNAQIHMAAVYQAIF APLLGLFWMLFFSILRVGSLHSITLFSMSSLIISVVIAFSGVFLGKLRIAQRYEQPEEET ETVFDVEPSSTTSTPTSLLYVATVLQEPELNLTPASSPARHTYGTINSQPEEGEEESGLR GFARELDSAQFQEGLEMEGQSH >ENSMUSP00000117023.1 pep:known chromosome:GRCm38:12:87038439:87090041:1 gene:ENSMUSG00000034145.14 transcript:ENSMUST00000131878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63c description:transmembrane protein 63c [Source:MGI Symbol;Acc:MGI:2444386] MSAFPDSMDQKFHNMTVNECFQSRSTVLQGQPFGGIPTVLVLNIILWVFVVLLYSFLRKA AWDYGRLALLIHNDSLTSLIYGEQSEKSSPSEVSLEAERRDRGFSSWFFNSLTMRDRDLI NKCGDDARIYITFQYHLIIFVLILCIPSLGIILPVNYIGTVLDWNSHFGRTTIVNVSTES KFLWLHSLFAFLYFLINLAFMGHHCLGFVPKKSLHFTRTLMITYVPTEIQDPEIISKHFH EAYPGCVVTRVHFCYDVRNLIDLDDQRRHAMRGRLYYTAKAKKTGKVMIKTHPCSRLCFC KCWTCFKEVDAEQYYSELEEQLTDEFNAELNRVQLKRLDLIFVTFQDARTVRRIYDDYKY IHCGRHPKQSSVTTIVKNYHWRVAHAPHPKDIIWKHLSIRRFSWWTRFIAINTFLFFLFF FLTTPAIIINTIDIYNVTRPIEKLQSPIVTQFFPSVLLWAFTVTMPLLVYLSAFLEAHWT RSSQNLIIVHKCYIFLVFMVVILPSMGLTSLHVFLRWLFDIYYLEHATIRFQCVFLPDNG AFFINYVITAALLGTGMELMRLGSLCTYCTRLFLSKSEPERVHIRKNQATDFQFGREYAW MLNVFSVVMAYSITCPIIVPFGLLYLCMKHITDRYNMYYSYAPTKLNAQIHMAAVYQAIF APLLGLFWMLFFSILRVGSLHSITLFSMSSLIISVVIAFSGVFLGKLRIAQRYEQPEEET ETVFDVEPSSTTSTPTSLLYVATVLQEPELNLTPASSPARHTYGTINSQPEEGEEESGLR GFARELDSAQFQEGLEMEGQSH >ENSMUSP00000119898.1 pep:known chromosome:GRCm38:12:87021340:87090043:1 gene:ENSMUSG00000034145.14 transcript:ENSMUST00000154801.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem63c description:transmembrane protein 63c [Source:MGI Symbol;Acc:MGI:2444386] MSAFPDSMDQKFHNMTVNECFQSRSTVLQGQPFGGIPTVLVLNIILWVFVVLLYSFLRKA AWDYGRLALLIHNDSLTSLIYGEQSEKSSPSEVSLEAERRDRGFSSWFFNSLTMRDRDLI NKCGDDARIYITFQYHLIIFVLILCIPSLGIILPVNYIGTVLDWNSHFGRTTIVNVSTE >ENSMUSP00000122346.1 pep:known chromosome:GRCm38:12:87026616:87057670:1 gene:ENSMUSG00000034145.14 transcript:ENSMUST00000156162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63c description:transmembrane protein 63c [Source:MGI Symbol;Acc:MGI:2444386] MSAFPDSMDQKFHNMTVNECFQSRSTVLQGQPFGGIPTVLVLNIILWVFVVLLYSFLRKA AWDYGRLALLIHNDSLTSLIYGEQSEKS >ENSMUSP00000105816.1 pep:known chromosome:GRCm38:12:87026564:87090033:1 gene:ENSMUSG00000034145.14 transcript:ENSMUST00000110187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63c description:transmembrane protein 63c [Source:MGI Symbol;Acc:MGI:2444386] MSAFPDSMDQKFHNMTVNECFQSRSTVLQGQPFGGIPTVLVLNIILWVFVVLLYSFLRKA AWDYGRLALLIHNDSLTSLIYGEQSEKSSPSEVSLEAERRDRGFSSWFFNSLTMRDRDLI NKCGDDARIYITFQYHLIIFVLILCIPSLGIILPVNYIGTVLDWNSHFGRTTIVNVSTES KFLWLHSLFAFLYFLINLAFMGHHCLGFVPKKSLHFTRTLMITYVPTEIQDPEIISKHFH EAYPGCVVTRVHFCYDVRNLIDLDDQRRHAMRGRLYYTAKAKKTGKVMIKTHPCSRLCFC KCWTCFKEVDAEQYYSELEEQLTDEFNAELNRVQLKRLDLIFVTFQDARTVRRIYDDYKY IHCGRHPKQSSVTTIVKNYHWRVAHAPHPKDIIWKHLSIRRFSWWTRFIAINTFLFFLFF FLTTPAIIINTIDIYNVTRPIEKLQSPIVTQFFPSVLLWAFTVTMPLLVYLSAFLEAHWT RSSQNLIIVHKCYIFLVFMVVILPSMGLTSLHVFLRWLFDIYYLEHATIRFQCVFLPDNG AFFINYVITAALLGTGMELMRLGSLCTYCTRLFLSKSEPERVHIRKNQATDFQFGREYAW MLNVFSVVMAYSITCPIIVPFGLLYLCMKHITDRYNMYYSYAPTKLNAQIHMAAVYQAIF APLLGLFWMLFFSILRVGSLHSITLFSMSSLIISVVIAFSGVFLGKLRIAQRYEQPEEET ETVFDVEPSSTTSTPTSLLYVATVLQEPELNLTPASSPARHTYGTINSQPEEGEEESGLR GFARELDSAQFQEGLEMEGQSH >ENSMUSP00000047652.4 pep:known chromosome:GRCm38:9:106892825:107231909:-1 gene:ENSMUSG00000039716.12 transcript:ENSMUST00000044532.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock3 description:dedicator of cyto-kinesis 3 [Source:MGI Symbol;Acc:MGI:2429763] MWTPTEEEKYGVVICSFRGSVPQGLVLEIGETVQILEKCEGWYRGVSTKKPNVKGLFPAN YIHLKKAIVSNRGQYETVVPLEDSIVTEVTTTLQEWASLWKQLYVKHKVDLFYKLRHVMN ELIDLRRQLLSGHLTQDQVREVKRHITVRLDWGNEHLGLDLVPRKDFEVVDSDQISVSDL YKMHLSSRQSVQQSTSQVDTMRPRHGETCRMPVPHHFFFSLKSFTYNTIGEDSDVFFSLY DMREGKQISERFLVRLNKNGGPRNPEKIERMCALFTDLSSKDMKRDLYIVAHVIRIGRML LNDSKKGPAHLHYRRPYGCAVLSILDVLQSLTELKEEKDFVLKVYTCNNESEWTQIHENI IRKSSTKYSAPSASHGLIISLQLFRGDMEQIRRENPMIFNRGLAITRKLGFPDVIMPGDI RNDLYLTLEKGDFERGGKSVQKNIEVTMYVLYADGEILKDCISLGSGEPNRSSYHSFVLY HSNSPRWGEIIKLPIPIDRFRGSHLRFEFRHCSTKDKGEKKLFGFAFSPLMRDDGTTLSD DIHELYVYKCDENSTFNNHALYLGLPCCKEDYNGCPNIPSSLIFQRSAKESFFISTQLSS TKLTQNVDLLALLKWKAFPDRIMDILGRLRHVSGEEIVKFLQDILDTLFVILDDNTEKYG LLVFQSLVFIINLLRDIKYFHFRPVMDTYIQKHFAGALAYKELIRCLKWYMDCSAELIRQ DHIQEAMRALEYLFKFIVQSRILYSRATCGMEEEQFRSSIQELFQSIRFVLSLDSRNSET LLFTQAALLNSFPTIFDELLQMFTVQEVAEFVRGTLGSMPSTVHIGQSMDVVKLQSIART VDSRLFSFSESRRILLPVVLHHIHLHLRQQKELLICSGILGSIFSIVKTSSLEADVMEEV EMMVESLLDVLLQTLLTIMSKSHAQEAVRGQRCPQCTAEITGEYVSCLLSLLRQMCDTHF QHLLDNFQSKDELKEFLLKIFCVFRNLMKMSVFPRDWMVMRLLTSNIIVTTVQYLSSALH KNFTETDFDFKVWNSYFSLAVLFINQPSLQLEIITSAKRKKILDKYGDMRVMMAYELFSM WQNLGDHKIHFIPGMIGPFLGVTLVPQPEVRNIMIPIFHDMMDWEQRKNGNFKQVEAELI DKLDSMVSEGKGDESYRELFGLLTQLFGPYPSLLEKVEQETWRETGISFVTSVTRLMERL LDYRDCMKGEETENKKVGCTVNLMNFYKSEINKEEMYIRYIHKLCDMHLQAENYTEAAFT LLLYCELLQWEDRPLREFLHYPSQTEWQRKEGLCRKIIHYFNKGKSWEFGIPLCRELACQ YESLYDYQSLSWIRKMEASYYDNIIEQQRLEPEFFRVGFYGRKFPFFLRNKEYVCRGHDY ERLEAFQQRMLSEFPQAVAMQHPNHPDDAILQCDAQYLQIYAVTPIPDYVDVLQMDRVPD RVKSFYRVNNVRKFRYDRPFHKGPKDKDNEFKSLWIERTTLTLTHSLPGISRWFEVERRE LVEVSPLENAIQVVENKNQELRALISQYQHKQVHGNINLLSMCLNGVIDAAVNGGIARYQ EAFFDKDYITKHPGDAEKISQLKELMQEQVHVLGVGLAVHEKFVHPEMRPLHKKLIDQFQ MMRASLYHEFPGLDKLSPACSGTSTPRGNVLASHSPMSPENIKMTHRHSPMNLMGTGRHS SSSLSSHASSEAGNMMMMGDNSMGEAPEDLYHHMQLAYHNPRYQGSVTNVSVLSSSQASP SSSSLSSTHSAPSQMITSAPSSTRGSPSLPDKYRHAREMMLLLPTHRDRPSSAMYPAAIL ENGQPPNFQRALFQQVVGACKPCSDPNLSMAEKGHYSLHFDAFHHPLGDTPPALPARTLR KSPLHPIPASPTSPQSGLDGSNSTLSGSASSGVSSLSESNFGHSSEAPPRTDTMDSMPSQ AWNGDEDLEPPYLPVHYSLSESAVLDAIKSQPCRSHSAPGCVLPQDPMDPPALPPKPYHP RLPALEHDEGMLLREEAERPRGLHRKASLPPGSVKEEQARLAWEHGRGEQ >ENSMUSP00000127059.1 pep:known chromosome:GRCm38:9:106893106:106913154:-1 gene:ENSMUSG00000039716.12 transcript:ENSMUST00000171095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock3 description:dedicator of cyto-kinesis 3 [Source:MGI Symbol;Acc:MGI:2429763] DRPFHKGPKDKDNEFKSLWIERTTLTLTHSLPGISRWFEVERRELVEVSPLENAIQVVEN KNQELRALISQYQHKQVHGNINLLSMCLNGVIDAAVNGGIARYQEAFFDKDYITKHPGDA EKISQLKELMQEQVHVLGVGLAVHEKFVHPEMRPLHKKLIDQFQMMRASLYHEFPGLDKL SPACSGTSTPRGNVLASHSPMSPENIKMTHRHSPMNLMGTGRHSSSSLSSHASSEAGNMM MMGDNSMGEAPEDLYHHMQASPSSSSLSSTHSAPSQMITSAPSSTRGSPSLPDKYRHARE MMLLLPTHRDRPSSAMYPAAILENGQPPNFQRALFQQVVGACKPCSDPNLSMAEKGHYSL HFDAFHHPLGDTPPALPARTLRKSPLHPIPASPTSPQSGLDGSNSTLSGSASSGVSSLSE SNFGHSSEAPPRTDTMDSMPSQAWNGDEDLEPPYLPVHYSLSESAVLDAIKSQPCRSHSA PGCVLPQDPMDPPALPPKPYHPRLPALEHDEGMLLREEAERPRGLHRKASLPPGSVKEEQ ARLAWEHGRGEQ >ENSMUSP00000131701.1 pep:known chromosome:GRCm38:9:106896776:106901779:-1 gene:ENSMUSG00000039716.12 transcript:ENSMUST00000166152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock3 description:dedicator of cyto-kinesis 3 [Source:MGI Symbol;Acc:MGI:2429763] NGQPPNFQRALFQQVVGACKPCSDPNLSMAEKAVPAAPSSWSLDSGAQEAQPFLSALMGH IMVPPVPPRSLLHGHYSLHFDAFHHPLG >ENSMUSP00000131410.1 pep:known chromosome:GRCm38:9:106914650:106952340:-1 gene:ENSMUSG00000039716.12 transcript:ENSMUST00000168759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock3 description:dedicator of cyto-kinesis 3 [Source:MGI Symbol;Acc:MGI:2429763] XQRKNGNFKQVEAELIDKLDSMVSEGKGDESYRELFGLLLLEKVEQETWRETGISFVTSV TRLMERLLDYRDCMKGEETENKKVGCTVNLMNFYKSEINKEEMYIRYIHKLCDMHLQAEN YTEAAFTLLLYCELLQWEDRPLREFLHYPSQTEWQRKEGLCRKIIHYFNKGKSWEFGIPL CRELACQYESLYDYQSLSWIRKMEASYYDNIIEQQRLEPEFFRVGFYGRKFPFFLRNKEY V >ENSMUSP00000130873.1 pep:known chromosome:GRCm38:9:106943932:106967201:-1 gene:ENSMUSG00000039716.12 transcript:ENSMUST00000165075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock3 description:dedicator of cyto-kinesis 3 [Source:MGI Symbol;Acc:MGI:2429763] XEMMVESLLDVLLQTLLTIMSKSHAQEAGEYVSCLLSLLRQMCDTHFQHLLDNFQSKDEL KEFLLKIFCVFRNLMKMSVFPRDWMVMRLLTSNIIVTTVQYLSSALHKNFTETDFDFKVW NSYFSLAVLFINQPSLQLEIITSAKRKKILDKYGDMRVMMAYELFSMWQNLGDHKIHFIP GMIGPFLGVTLVPQPEVRNIMIPIFHDMMDWEQRKNGNFKQVEAELIDKLDSMVSEGKGD E >ENSMUSP00000106801.2 pep:known chromosome:GRCm38:5:135376141:135394546:-1 gene:ENSMUSG00000053293.9 transcript:ENSMUST00000111171.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pom121 description:nuclear pore membrane protein 121 [Source:MGI Symbol;Acc:MGI:2137624] MSPAAAAADGGERRRPPLGGREGRSRARGYGGPAGAAALGLALLGLALYLVPAAAALAWL AVGASAAWWGLSREPRGPRALSSFVRDARRHPRPALTASPPPAKSPVNGSLCEPRSPLGG PDPAELLLMGSYLGKPGPPEPALRQDPRERPGRRPPARSPPPASAVQRVHHVYPALPTPL LRPSRRPPHRDCGPLSSRFVITPRRRYPIQQAQYSLLGALPTVCWNGGHKKAVLSPRNSR MVCSPVTVRIAPPDSKLFRSSMSEQILDTTLSSPSSNAPDPCAKETVLNALKEKKKRTVA EEDQLHLDGQENKRRRHDSGGSGHSAFEPLVANGVPAAFVPKPGSLKRSLASQSSDDHLN KRSRTSSVSSLASACTGGIPSSSRNAITSSYSSTRGISQLWKRSGPTSSPFSSPASSRSQ TPERPAKKTREEEPCQQSSSSPPLVTDKESPGEKVTDTTTGKQQSSWTSPPTPGSSGQRK RKIQLLPSRRGDQLTLPPPPELGYSITAEDLDMERKASLQWFNKVLEDKPDDASASATDG PPSTSPPFTFTLPAVGPAASPASLPAPSSNPLLESLKKMQESPAPSSSEPAEAATVAAPS PPKTPSLLAPLVSPLAGPLASTSSDSKPAATFLGLASASSITPLTDSKSSGVSQAEQSVS TPASTASSPTPKPSMLFGMLSPPASSSSLATPAPACASPMFKPIFPATPKSESDSPLPSS SSAATTASSSTAPPTAASTTPTFKPIFDKMEPFTAMPLSTPFSLKQTTATATTTATSAPL FTGLGTATSTVASGTAASASKPVFGFGVTTAASTASSTMTSTSQSVLFGGAPPVTTSSSA PALASIFQFGKPLAPAASAAGTSFSQPLASSTQTAASNSGFSGFGSTLTTSTSAPATTSQ PTLTFSNTVTPTFNIPFSSSAKPALPTYPGANSQPTFGATDGATKPALAPSFGSSFTFGN SVASAPSAAPAPATFGSAAQPAFGGLKAAASTFGAPASTQPAFGSTTSVFSFGSATTSGF GAAATAATTTQTTNSGSSSSLFGSSAPSPFTFGGSAAPAGSGGFGLSATPGTSSTSGTFS FGSGQSGTPGTTTSFGSLSQNTLGAPSQGSPFAFSVGSTPESKPVFGGTSTPTFGQSAPA PGVGTTGSSLSFGASSTPAQGFVGVGPFGSAAPSFSIGAGSKTPGARQRLQARRQHTRKK >ENSMUSP00000141104.1 pep:known chromosome:GRCm38:1:134193457:134201232:1 gene:ENSMUSG00000042451.12 transcript:ENSMUST00000191577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybph description:myosin binding protein H [Source:MGI Symbol;Acc:MGI:1858196] MTGKATSEASVSTPEETAPEPAKVPTTEPSGEVAASESTGQEQAPEPQKPQAQDPAAPAA SAMPAATKPEPPSEDVPSAPLRLTLEDVSHSSLTVSWEPPEKLGKLGLQGYVLEFCREGA SEWVPVNPRPVMVTQQTVRNLALGDKFFLRVTAVSSAGAGPPAVLDQPVHIQEITEAPKI RVPRHLRQTYIRQVGESVNLQIPFQGKPKPQVSWTHNGHALDNQRVNVRSGDQDSILFIR SAQRADSGRYELTVHLEGLEAKASIDILVIEKPGPPSSIKLLDVWGCNAALEWTPPQDTG NTELLGYTVQKADKRTGQWFTVLERYHPTTCTISDLIIGNSYSFRVFSENLCGLSDLATT TKELAHIHKADITAKPREFIERDFSEAPSFTQPLADHTSTPGYSTQLFCSVRASPKPKII WMKNKMDIQGDPKYRAVSEQGVCTLEIRKPSPFDSGVYTCKAINVLGEASVDCRLEVKAS ATH >ENSMUSP00000042195.6 pep:known chromosome:GRCm38:1:134193448:134201231:1 gene:ENSMUSG00000042451.12 transcript:ENSMUST00000038445.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybph description:myosin binding protein H [Source:MGI Symbol;Acc:MGI:1858196] MTGKATSEASVSTPEETAPEPAKVPTTEPSGEVAASESTGQEQAPEPQKPQAQDPAAPAA SAMPAATKPEPPSEDVPSAPLRLTLEDVSHSSLTVSWEPPEKLGKLGLQGYVLEFCREGA SEWVPVNPRPVMVTQQTVRNLALGDKFFLRVTAVSSAGAGPPAVLDQPVHIQEITEAPKI RVPRHLRQTYIRQVGESVNLQIPFQGKPKPQVSWTHNGHALDNQRVNVRSGDQDSILFIR SAQRADSGRYELTVHLEGLEAKASIDILVIEKPGPPSSIKLLDVWGCNAALEWTPPQDTG NTELLGYTVQKADKRTGQWFTVLERYHPTTCTISDLIIGNSYSFRVFSENLCGLSDLATT TKELAHIHKADITAKPREFIERDFSEAPSFTQPLADHTSTPGYSTQLFCSVRASPKPKII WMKNKMDIQGDPKYRAVSEQGVCTLEIRKPSPFDSGVYTCKAINVLGEASVDCRLEVKAS ATH >ENSMUSP00000028950.8 pep:known chromosome:GRCm38:2:151572622:151590005:1 gene:ENSMUSG00000027456.8 transcript:ENSMUST00000028950.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdcbp2 description:syndecan binding protein (syntenin) 2 [Source:MGI Symbol;Acc:MGI:2385156] MSVLYPSLEDLKVGQVIQAQGRASPTMPTLPAPMASAPPLSELYPNLAELESYMGLSLSS QEVQKNLTQIPDSDNMVVTSPGPGQVVAPVSGNNLGILRAEIKPGVREIHLCKDERGKTG LRLQAVDKGLFVQLVQANTPASLVGLRFGDQILQIDGCDCAGWNTHKAHKVLKKASAEKI VMVIRDRPFQRTVTMHKDSSGQVGFSIKKGKIVSVVKGSSAARNGLLTNHYVCEVNGQNV IGLKDKKVTEILTTAGDVITLTIIPTVIYEHMIKRLSPLLLHHTMDHSIPDT >ENSMUSP00000129379.1 pep:known chromosome:GRCm38:3:107467543:107518018:-1 gene:ENSMUSG00000027894.14 transcript:ENSMUST00000169449.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a17 description:solute carrier family 6 (neurotransmitter transporter), member 17 [Source:MGI Symbol;Acc:MGI:2442535] MPKNSKVTQREHSNEHVTESVADLLALEEPVDYKQSVLNVAGETGGKQKVAEEELDTEDR PAWNSKLQYILAQIGFSVGLGNIWRFPYLCQKNGGGAYLVPYLVLLIIIGIPLFFLELAV GQRIRRGSIGVWHYVCPRLGGIGFSSCIVCLFVGLYYNVIIGWSVFYFFKSFQYPLPWSE CPVIRNGTVAVVEPECEKSSATTYFWYREALDISNSISESGGLNWKMTLCLLVAWSIVGM AVVKGIQSSGKVMYFSSLFPYVVLACFLVRGLLLRGAVDGILHMFTPKLDKMLDPQVWRE AATQVFFALGLGFGGVIAFSSYNKQDNNCHFDAALVSFINFFTSVLATLVVFAVLGFKAN IMNEKCVVENAEKILGYLNSNVLSRDLIPPHVNFSHLTTKDYSEMYSVIMTVKEKQFPAL GLDPCLLEDELDKSVQGTGLAFIAFTEAMTHFPASPFWSVMFFLMLINLGLGSMIGTMAG ITTPIIDTFKVPKEMFTVGCCVFAFFVGLLFVQRSGNYFVTMFDDYSATLPLTVIVILEN IAVAWIYGTKKFMQELTEMLGFQPYRFYFYMWKFVSPLCMAVLTTASIIQLGVSPPGYSA WIKEEAAERYLYFPNWAMALLITLIAVATLPIPVVFILRHFHLLSDGSNTLSVSYKKGRM MKDISNLEENDETRFILSKVPSEAPSPMPTHRSYLGPGSTSPLDNSNNPNGRYGSGYLLA STPESEL >ENSMUSP00000029499.8 pep:known chromosome:GRCm38:3:107467548:107518018:-1 gene:ENSMUSG00000027894.14 transcript:ENSMUST00000029499.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a17 description:solute carrier family 6 (neurotransmitter transporter), member 17 [Source:MGI Symbol;Acc:MGI:2442535] MPKNSKVTQREHSNEHVTESVADLLALEEPVDYKQSVLNVAGETGGKQKVAEEELDTEDR PAWNSKLQYILAQIGFSVGLGNIWRFPYLCQKNGGGAYLVPYLVLLIIIGIPLFFLELAV GQRIRRGSIGVWHYVCPRLGGIGFSSCIVCLFVGLYYNVIIGWSVFYFFKSFQYPLPWSE CPVIRNGTVAVVEPECEKSSATTYFWYREALDISNSISESGGLNWKMTLCLLVAWSIVGM AVVKGIQSSGKVMYFSSLFPYVVLACFLVRGLLLRGAVDGILHMFTPKLDKMLDPQVWRE AATQVFFALGLGFGGVIAFSSYNKQDNNCHFDAALVSFINFFTSVLATLVVFAVLGFKAN IMNEKCVVENAEKILGYLNSNVLSRDLIPPHVNFSHLTTKDYSEMYSVIMTVKEKQFPAL GLDPCLLEDELDKGTGLAFIAFTEAMTHFPASPFWSVMFFLMLINLGLGSMIGTMAGITT PIIDTFKVPKEMFTVGCCVFAFFVGLLFVQRSGNYFVTMFDDYSATLPLTVIVILENIAV AWIYGTKKFMQELTEMLGFQPYRFYFYMWKFVSPLCMAVLTTASIIQLGVSPPGYSAWIK EEAAERYLYFPNWAMALLITLIAVATLPIPVVFILRHFHLLSDGSNTLSVSYKKGRMMKD ISNLEENDETRFILSKVPSEAPSPMPTHRSYLGPGSTSPLDNSNNPNGRYGSGYLLASTP ESEL >ENSMUSP00000131888.1 pep:known chromosome:GRCm38:3:107467549:107500530:-1 gene:ENSMUSG00000027894.14 transcript:ENSMUST00000168211.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a17 description:solute carrier family 6 (neurotransmitter transporter), member 17 [Source:MGI Symbol;Acc:MGI:2442535] GETGGKQKVAEEELDTEDRPAWNSKLQYILAQIGFSVGLGNIWRFPYLCQKNGGGAYLVP YLVLLIIIGIPLFFLELAVGQRIRRGSIGVWHYVCPRLGGIGFSSCIVCLFVGLYYNVII GWSVFYFFKSFQYPLPWSECPVIRNGTVAVVEPECEKSSATTYFWYREALDISNSISESG GLNWKMTLCLLVAWSIVGMAVVKGIQSSGKVMYFSSLFPYVVLACFLVRGLLLRGAVDGI LHMFTPKLDKMLDPQVWREAATQVFFALGLGFGGVIAFSSYNKQDNNCHFDAALVSFINF FTSVLATLVVFAVLGFKANIMNEKCVVENAEKILGYLNSNVLSRDLIPPHVNFSHLTTKD YSEMYSVIMTVKEKQFPALGLDPCLLEDELDKSVQGTGLAFIAFTEAMTHFPASPFWSVM FFLMLINLGLGSMIGTMAGITTPIIDTFKVPKEMFTVGCCVFAFFVGLLFVQRSGNYFVT MFDDYSATLPLTVIVILENIAVAWIYGTKKFMQELTEMLGFQPYRFYFYMWKFVSPLCMA VLTTASIIQLGVSPPGYSAWIKEEAAERYLYFPNWAMALLITLIAVATLPIPVVFILRHF HLLSDGSNTLSVSYKKGRMMKDISNLEENDETRFILSKVPSEAPSPMPTHRSYLGPGSTS PLDNSNNPNGRYGSGYLLASTPESEL >ENSMUSP00000129588.1 pep:known chromosome:GRCm38:3:107495761:107517337:-1 gene:ENSMUSG00000027894.14 transcript:ENSMUST00000166892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a17 description:solute carrier family 6 (neurotransmitter transporter), member 17 [Source:MGI Symbol;Acc:MGI:2442535] MPKNSKVTQREHSNEHVTESVADLLALEEPVDYKQSVLNVAGETGGKQKVAEEELDTEDR PAWNSKLQYILAQIGFSVGLGNIWRFPYLCQKNGGGAYLVPYLVLLIIIGIPLFFL >ENSMUSP00000098333.2 pep:known chromosome:GRCm38:5:121130533:121191397:-1 gene:ENSMUSG00000043733.14 transcript:ENSMUST00000100770.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn11 description:protein tyrosine phosphatase, non-receptor type 11 [Source:MGI Symbol;Acc:MGI:99511] MTSRRWFHPNITGVEAENLLLTRGVDGSFLARPSKSNPGDFTLSVRRNGAVTHIKIQNTG DYYDLYGGEKFATLAELVQYYMEHHGQLKEKNGDVIELKYPLNCADPTSERWFHGHLSGK EAEKLLTEKGKHGSFLVRESQSHPGDFVLSVRTGDDKGESNDGKSKVTHVMIRCQELKYD VGGGERFDSLTDLVEHYKKNPMVETLGTVLQLKQPLNTTRINAAEIESRVRELSKLAETT DKVKQGFWEEFETLQQQECKLLYSRKEGQRQENKNKNRYKNILPFDHTRVVLHDGDPNEP VSDYINANIIMPEFETKCNNSKPKKSYIATQGCLQNTVNDFWRMVFQENSRVIVMTTKEV ERGKSKCVKYWPDEYALKEYGVMRVRNVKESAAHDYTLRELKLSKVGQGNTERTVWQYHF RTWPDHGVPSDPGGVLDFLEEVHHKQESIVDAGPVVVHCSAGIGRTGTFIVIDILIDIIR EKGVDCDIDVPKTIQMVRSQRSGMVQTEAQYRFIYMAVQHYIETLQRRIEEEQKSKRKGH EYTNIKYSLVDQTSGDQSPLPPCTPTPPCAEMREDSARVYENVGLMQQQRSFR >ENSMUSP00000058757.7 pep:known chromosome:GRCm38:5:121130541:121191329:-1 gene:ENSMUSG00000043733.14 transcript:ENSMUST00000054547.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn11 description:protein tyrosine phosphatase, non-receptor type 11 [Source:MGI Symbol;Acc:MGI:99511] MTSRRWFHPNITGVEAENLLLTRGVDGSFLARPSKSNPGDFTLSVRRNGAVTHIKIQNTG DYYDLYGGEKFATLAELVQYYMEHHGQLKEKNGDVIELKYPLNCADPTSERWFHGHLSGK EAEKLLTEKGKHGSFLVRESQSHPGDFVLSVRTGDDKGESNDGKSKVTHVMIRCQELKYD VGGGERFDSLTDLVEHYKKNPMVETLGTVLQLKQPLNTTRINAAEIESRVRELSKLAETT DKVKQGFWEEFETLQQQECKLLYSRKEGQRQENKNKNRYKNILPFDHTRVVLHDGDPNEP VSDYINANIIMPEFETKCNNSKPKKSYIATQGCLQNTVNDFWRMVFQENSRVIVMTTKEV ERGKSKCVKYWPDEYALKEYGVMRVRNVKESAAHDYTLRELKLSKVGQALLQGNTERTVW QYHFRTWPDHGVPSDPGGVLDFLEEVHHKQESIVDAGPVVVHCSAGIGRTGTFIVIDILI DIIREKGVDCDIDVPKTIQMVRSQRSGMVQTEAQYRFIYMAVQHYIETLQRRIEEEQKSK RKGHEYTNIKYSLVDQTSGDQSPLPPCTPTPPCAEMREDSARVYENVGLMQQQRSFR >ENSMUSP00000147058.1 pep:known chromosome:GRCm38:7:44943204:44951061:1 gene:ENSMUSG00000059891.14 transcript:ENSMUST00000207719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsks description:testis-specific serine kinase substrate [Source:MGI Symbol;Acc:MGI:1347560] MSHEPMHWCLNLKRSSACTNVSLLNLAAVEPDSSGTDSTTEDSGPLALPGPPASPTTPWA PEDPDITELLSGVNSGLVRAKDSITSLKEKTTRVNQHVQTLQSECSVLS >ENSMUSP00000112673.1 pep:known chromosome:GRCm38:7:44943222:44958035:1 gene:ENSMUSG00000059891.14 transcript:ENSMUST00000120929.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsks description:testis-specific serine kinase substrate [Source:MGI Symbol;Acc:MGI:1347560] MASVVVKTIWQSKEIHEAGDPPAGVESRAQLVPEAPGGVTSPAKGITKKKKAVSFHGVEP RMSHEPMHWCLNLKRSSACTNVSLLNLAAVEPDSSGTDSTTEDSGPLALPGPPASPTTPW APEDPDITELLSGVNSGLVRAKDSITSLKEKTTRVNQHVQTLQSECSVLSENLERRRQEA EELEGYCSQLKGPRPDVLTQENCRKVTRSVEDAEIKTNVLKQNSALLEEKLRYLQQQLQD ETPRRQEAELQELEQKLEAGLSRHGLSPATPIQGCSGPPGSPEEPPRQRGLSSSGWGMAV RTGEGPSLSEQELQKVSTGLEELRREVSSLAARWHQEEGAVQEALRLLGGLGGRLDGFLG QWERAQREQAQSARGLQELRGRADELCTMVERSAVSVASLRSELEALGPVKPILEELGRQ LQNSRRGADHVLNLDRSAQGPCARCASQGQQLSTESLQQLLERALTPLVDEVKQKGLAPA CPSCQRLHKKILELERQALAKHVRAEALSSTLRLAQDEAVRAKNLLLTDKMKPEEKVATL DYMHLKMCSLHDQLSHLPLEGSTGAMGGGSNGGAPPKRGSPGSEQ >ENSMUSP00000079122.6 pep:known chromosome:GRCm38:7:44943261:44958032:1 gene:ENSMUSG00000059891.14 transcript:ENSMUST00000080233.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsks description:testis-specific serine kinase substrate [Source:MGI Symbol;Acc:MGI:1347560] MASVVVKTIWQSKEIHEAGDPPAGVESRAQLVPEAPGGVTSPAKGITKKKKAVSFHGVEP RMSHEPMHWCLNLKRSSACTNVSLLNLAAVEPDSSGTDSTTEDSGPLALPGPPASPTTPW APEDPDITELLSGVNSGLVRAKDSITSLKEKTTRVNQHVQTLQSECSVLSENLERRRQEA EELEGYCSQLKENCRKVTRSVEDAEIKTNVLKQNSALLEEKLRYLQQQLQDETPRRQEAE LQELEQKLEAGLSRHGLSPATPIQGCSGPPGSPEEPPRQRGLSSSGWGMAVRTGEGPSLS EQELQKVSTGLEELRREVSSLAARWHQEEGAVQEALRLLGGLGGRLDGFLGQWERAQREQ AQSARGLQELRGRADELCTMVERSAVSVASLRSELEALGPVKPILEELGRQLQNSRRGAD HVLNLDRSAQGPCARCASQGQQLSTESLQQLLERALTPLVDEVKQKGLAPACPSCQRLHK KILELERQALAKHVRAEALSSTLRLAQDEAVRAKNLLLTDKMKPE >ENSMUSP00000146743.1 pep:known chromosome:GRCm38:7:44954314:44958028:1 gene:ENSMUSG00000059891.14 transcript:ENSMUST00000208475.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsks description:testis-specific serine kinase substrate [Source:MGI Symbol;Acc:MGI:1347560] XVERSAVSVASLRSELEALGPVKPILEELGRQLQNSRRGADHVLNLDRSAQGPCARCASQ GQQLSTESLQQLLERALTPLVDEVKQKGLAPACPSCQRLHKKILPSPVSPAAPTLLSPGA GAPGLGQTRQGRGPELHPSVGPRRGRSGQEPTADGQDEAGGEGGHFGLYAFEDVLPPRPT QPPAT >ENSMUSP00000113434.1 pep:known chromosome:GRCm38:18:73859385:73878730:1 gene:ENSMUSG00000064036.15 transcript:ENSMUST00000120033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mro description:maestro [Source:MGI Symbol;Acc:MGI:2152817] MEQKISNQPLPTPTSQSKKRRTPLLSFLSKVSWKLRLQKRELLKNALFVLAERARDPNAK KRHLAMRGLGALAREAPDKQVRKYKKVMLDLLVRGLYDPVSSEVIHESVKTLTIMLGKIQ GHGLGSFFIDITLQARTLLDDEDDSVRYSAFVLFGQLASFAGWRWKKFFTQQVNQTQDSL LGHLQDESPKVAKACKMTVRACVPYLKPRKVPSFQSEEDQKNHRLSRQLSHCHPEILLFF YANKIL >ENSMUSP00000113392.1 pep:known chromosome:GRCm38:18:73859402:73878730:1 gene:ENSMUSG00000064036.15 transcript:ENSMUST00000119239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mro description:maestro [Source:MGI Symbol;Acc:MGI:2152817] MEQKISNQPLPTPTSQSKKRRTPLLSFLSKVSWKLRLQKRELLKNALFVLAERARDPNAK KRHLAMRGLGALAREAPDKVRKYKKVMLDLLVRGLYDPVSSEVIHESVKTLTIMLGKIQG HGLGSFFIDITLQARTLLDDEDDSVRYSAFVLFGQLASFAGWRWKKFFTQQVNQTQDSLL GHLQDESPKVAKACKMTVRACVPYLKPRKVPSFQSEEDQKNHRLSRQLSHCHPEILLFFY ANKIL >ENSMUSP00000118500.1 pep:known chromosome:GRCm38:18:73863672:73871980:1 gene:ENSMUSG00000064036.15 transcript:ENSMUST00000134847.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mro description:maestro [Source:MGI Symbol;Acc:MGI:2152817] MEQKISNQPLPTPTSQSKKRRTPLLSFLSKVSWKLRLQKRELLKNALFVLA >ENSMUSP00000136775.1 pep:known chromosome:GRCm38:18:73859387:73879134:1 gene:ENSMUSG00000064036.15 transcript:ENSMUST00000179472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mro description:maestro [Source:MGI Symbol;Acc:MGI:2152817] MEQKISNQPLPTPTSQSKKRRTPLLSFLSKVSWKLRLQKRELLKNALFVLAERARDPNAK KRHLAMRGLGALAREAPDKQVRKYKKVMLDLLVRGLYDPVSSEVIHESVKTLTIMLGKIQ GHGLGSFFIDITLQARTLLDDEDDSVRYSAFVLFGQLASFAGWRWKKFFTQQVNQTQDSL LGHLQDESPKVAKACKMTVRACVPYLKPRKVPSFQSEEDQKNHRLSRQLSHCHPEILLFF YANKIL >ENSMUSP00000138181.1 pep:known chromosome:GRCm38:X:117014757:117015104:1 gene:ENSMUSG00000067441.5 transcript:ENSMUST00000122446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14920 description:predicted pseudogene 14920 [Source:MGI Symbol;Acc:MGI:3642445] MPRNRENCLRESSGRRHRRSRTSRAELIFAVSLVEQHLREISRAWRLSDMVPIFLAAILE SLTRRLLELAGNEAQRRGTERRITPELLDLAVYSNMELSDVFQFITISQVAPAHR >ENSMUSP00000040210.7 pep:known chromosome:GRCm38:3:121459528:121532404:-1 gene:ENSMUSG00000039865.8 transcript:ENSMUST00000039197.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc44a3 description:solute carrier family 44, member 3 [Source:MGI Symbol;Acc:MGI:2384860] MPGTMQCLGAEYLVSAEKTRQREWRPQIYRKCTDTPWLVLFFLFWTGLVFIMGYSVVAGA AGRLLFGYDSFGNVCGKKNSPVEGAPLSGQDMTLKKHVFFMNACNLEVKDRGLGPTALCV SSCPEKQLDTLEEVQLFANINGSFLCVYSLNSFNYTQSSSADTLCPRLPVPPSKPFPLFN RCIPQTPECYSLFASVLINDADALHRILSGIMAGRDTILGLCVFTFALSLAMLFAFRFIS TLLIHIIISLVILGLLFVCGVLWWLYYDYTNDLSTELDTEKENMNCMLAFAIISTVVTVL LLALIFTLRKRIKLTVELLHVTNKAISSCPFLLFQPLWTCAILIFFWVLWVAVLLSLGTA GTAQVMEGGQVEYKPLSGIRYMWWYHLIGLIWTSEFILACQRMTVAGAMVACYFNRNQND PPARPILSSLFVLFCYHQGTAVKGSFLLTVTRIPRVIFMCIYSTLKEPQRSAWSRGEFRC SHCGLWCLLKYLYHLNQDAYTATAINGTDFCTSAKDAHTIIAKNSSHLTSVNCFGNFVIF LGKVLVVCFSIFGGLMAFNYSRALQVWAIPLLLVAFFACVVAHSFLSVFETALDILFLCF AVDLETNDGSSEKPYFMDPGFLSVIKRTNNLNNAKSQGQKDALPNEEGTELQPIVR >ENSMUSP00000124066.1 pep:known chromosome:GRCm38:12:79174337:79192293:-1 gene:ENSMUSG00000066441.14 transcript:ENSMUST00000161204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh11 description:retinol dehydrogenase 11 [Source:MGI Symbol;Acc:MGI:102581] MFGFLLLLSLPFILYLVTPKIRKMLSSGVCTSNVQLPGKVAIVTGANTGIGKETAKDLAQ RGARVYLACRDVDKGELAAREIQAVTGNSQVFVRKLDLADTKSIRAFAKDFLAEEKHLHL LINNAGVMMCPYSKTADGFEMHIGVNHLGHFLLTHLLLEKLKESAPSRIVNLSSLGHHLG RIHFHNLQGEKFYSAGLAYCHSKLANILFTKELAKRLKGSGVTTYSVHPGTVHSELTRYS SIMRWLWQLFFVFIKTPQEGAQTSLYCALTEGLESLSGSHFSDCQLAWVSYQGRNEIIAR RLWDVSCDLLGLPVDW >ENSMUSP00000082352.6 pep:known chromosome:GRCm38:12:79175551:79190961:-1 gene:ENSMUSG00000066441.14 transcript:ENSMUST00000085254.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh11 description:retinol dehydrogenase 11 [Source:MGI Symbol;Acc:MGI:102581] MGLVLRKMLSSGVCTSNVQLPGKVAIVTGANTGIGKETAKDLAQRGARVYLACRDVDKGE LAAREIQAVTGNSQVFVRKLDLADTKSIRAFAKDFLAEEKHLHLLINNAGVMMCPYSKTA DGFEMHIGVNHLGHFLLTHLLLEKLKESAPSRIVNLSSLGHHLGRIHFHNLQGEKFYSAG LAYCHSKLANILFTKELAKRLKGSGVTTYSVHPGTVHSELTRYSSIMRWLWQLFFVFIKT PQEGAQTSLYCALTEGLESLSGSHFSDCQLAWVSYQGRNEIIARRLWDVSCDLLGLPVDW >ENSMUSP00000123829.1 pep:known chromosome:GRCm38:12:79176165:79191793:-1 gene:ENSMUSG00000066441.14 transcript:ENSMUST00000159500.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rdh11 description:retinol dehydrogenase 11 [Source:MGI Symbol;Acc:MGI:102581] MFGFLLLLSLPFILYLVTPKIRSPCVFSLPGCGQGGTGGS >ENSMUSP00000091946.3 pep:known chromosome:GRCm38:7:48444113:48456342:-1 gene:ENSMUSG00000070547.4 transcript:ENSMUST00000094384.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprb1 description:MAS-related GPR, member B1 [Source:MGI Symbol;Acc:MGI:3033115] MEQRTEIAPLLKMDLVIQDWTINITALKESNDNGISFCEVVSRTMTFLSLIIALVGLVGN ATVLWFLGFQMSRNAFSVYILNLAGADFVFMCFQIVHCFYIILDIYFIPTNFFSSYTMVL NIAYLSGLSILTVISTERFLSVMWPIWYRCQRPRHTSAVICTVLWVLSLVLSLLEGKECG FLYYTSGPGLCKTFDLITTAWLIVLFVVLLGSSLALVLTIFCGLHKVPVTRLYVTIVFTV LVFLIFGLPYGIYWFLLEWIREFHDNKPCGFRNVTIFLSCINSCANPIIYFLVGSIRHHR FQRKTLKLLLQRAMQDSPEEEECGEMGSSRRPREIKTVWKGLRAALIRHK >ENSMUSP00000140434.1 pep:known chromosome:GRCm38:7:48446900:48456295:-1 gene:ENSMUSG00000070547.4 transcript:ENSMUST00000188095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprb1 description:MAS-related GPR, member B1 [Source:MGI Symbol;Acc:MGI:3033115] MEQRHHRFQRKTLKLLLQRAMQDSPEEEECGEMGSSRRPREIKTVWKGLRAALIRHK >ENSMUSP00000140432.1 pep:known chromosome:GRCm38:7:48447130:48456246:-1 gene:ENSMUSG00000070547.4 transcript:ENSMUST00000188918.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprb1 description:MAS-related GPR, member B1 [Source:MGI Symbol;Acc:MGI:3033115] MEQRTEIAPLLKMDLVIQDWTINITALKESNDNGISFCEVVSRTMTFLSLIIALVGLVGN ATVLWFLGFQMSRNAFSVYILNLAGTIGFNGRLSSFFCREPCKTLLRRKNVERWVPQEDL EK >ENSMUSP00000146117.1 pep:known chromosome:GRCm38:7:40987543:40995435:1 gene:ENSMUSG00000091692.2 transcript:ENSMUST00000206529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930433I11Rik description:RIKEN cDNA 4930433I11 gene [Source:MGI Symbol;Acc:MGI:2685327] MSENFQSTPNFGTKSTLQLSLPVLKNSTPSGMVCNYTSVSFPPVSSAWLLPSASSTSLQP LMGSDYLNPNSSMTMLTMLSDQSQVSNSTLSCPVVEMDTSLGLSPSSQTLCLLQSPEFYI TCTPVSQRKTPSVDGDGPLTTSIPSHSEFLALPPAPSAEQTEIKNMHEMEVEHLMPRDAY KGTKENQDPSFLPLSHPDLQQPLHCIDTGNLRQTSASDNDSLGGIHLGLEEKGTLDSLKV STIDFPDITTLVADIHLPQLFKFLTGLDQYQDSTVTESKDSTVVWRDQAQENSRVISGSS DQVKKKDHDTSELIDGAPHAKIPNWDLVVGEGSMASVGVSGRAIDNMAKDLEGKAPKVSP IMPSRARGQGQDKTKWTTENNSKKIEELKKSRNRAKAEKKPTIPKTKIKRDLPELSHSSF KKPRTHLGMHMLESVQVFHPLGKKSEKKPATTSSQHLGTSSSNKYPGPGPATTVLQDMAH ECQGPDETPGKVQRAESSALKECPSQSQYEVPPAGKVRLVPLPFPTQDQPQTRPVSRKPL NLASHRPTTAYSERCHFHSAQLTTLKPSQPPSISKSLMASAKPALPISSSAMRSNVTNII HISTVPQSGTLRPTSYREPSQTSLQRELLSAAKNNVPAPLEPQTQYLLQDFSRQPIPWRK VDILGPVVSQPITKEQRPEREAMKRRAQQERENAAKYTSPGKLQLFLQREKDMEISRYYG YAM >ENSMUSP00000131120.1 pep:known chromosome:GRCm38:7:40987555:40995181:1 gene:ENSMUSG00000091692.2 transcript:ENSMUST00000171664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930433I11Rik description:RIKEN cDNA 4930433I11 gene [Source:MGI Symbol;Acc:MGI:2685327] MSVVEMDTSLGLSPSSQTLCLLQSPEFYITCTPVSQRKTPSVDGDGPLTTSIPSHSEFLA LPPAPSAEQTEIKNMHEMEVEHLMPRDAYKGTKENQDPSFLPLSHPDLQQPLHCIDTGNL RQTSASDNDSLGGIHLGLEEKGTLDSLKVSTIDFPDITTLVADIHLPQLFKFLTGLDQYQ DSTVTESKDSTVVWRDQAQENSRVISGSSDQVKKKDHDTSELIDGAPHAKIPNWDLVVGE GSMASVGVSGRAIDNMAKDLEGKAPKVSPIMPSRARGQGQDKTKWTTENNSKKIEELKKS RNRAKAEKKPTIPKTKIKRDLPELSHSSFKKPRTHLGMHMLESVQVFHPLGKKSEKKPAT TSSQHLGTSSSNKYPGPGPATTVLQDMAHECQGPDETPGKVQRAESSALKECPSQSQYEV PPAGKVRLVPLPFPTQDQPQTRPVSRKPLNLASHRPTTAYSERCHFHSAQLTTLKPSQPP SISKSLMASAKPALPISSSAMRSNVTNIIHISTVPQSGTLRPTSYREPSQTSLQRELLSA AKNNVPAPLEPQTQYLLQDFSRQPIPWRKVDILGPVVSQPITKEQRPEREAMKRRAQQER ENAAKYTSPGKLQLFLQREKDMEISRYYGYAM >ENSMUSP00000070071.4 pep:known chromosome:GRCm38:2:37432168:37443121:-1 gene:ENSMUSG00000050714.9 transcript:ENSMUST00000067043.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb26 description:zinc finger and BTB domain containing 26 [Source:MGI Symbol;Acc:MGI:2444402] MSERSDLLHFKFENYGDSMLQKMNKLREENKFCDVTVLIDDVEVQGHKIVFAAGSPFLRD QFLLNDSREVKISILQSSEVGRQLLLSCYSGVLEFPEMELVNYLTAASFLQMSHIVERCT QALWKFIKPKQPMDSKEGSEPQSASPQSKEQQGDARGSPKQDLPCIHPSEDSMDMEDSDI QIVKVESIGDVSEDRSKKDENQYISSEPTALHSSEPQHSLINSTVENRVNELDQSHLHNY ALSYTGNDDIIMTSKDVFGPNIRGVDKGLQWHHQCPKCTRVFRHLENYANHLKMHKLFMC LLCGKTFTQKGNLHRHMRVHAGIKPFQCKICGKTFSQKCSLQDHLNLHSGDKPHKCNYCD MVFAHKPVLRKHLKQLHGKNSFDNANERSVQDLTVDFDSFACTTVTDSNCQPQPDATQVL DAGKLTQAVLSLRSDSTCVN >ENSMUSP00000099850.2 pep:known chromosome:GRCm38:2:37435018:37443120:-1 gene:ENSMUSG00000050714.9 transcript:ENSMUST00000102789.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb26 description:zinc finger and BTB domain containing 26 [Source:MGI Symbol;Acc:MGI:2444402] MATFPPGLSAKMSERSDLLHFKFENYGDSMLQKMNKLREENKFCDVTVLIDDVEVQGHKI VFAAGSPFLRDQFLLNDSREVKISILQSSEVGRQLLLSCYSGVLEFPEMELVNYLTAASF LQMSHIVERCTQALWKFIKPKQPMDSKEGSEPQSASPQSKEQQGDARGSPKQDLPCIHPS EDSMDMEDSDIQIVKVESIGDVSEDRSKKDENQYISSEPTALHSSEPQHSLINSTVENRV NELDQSHLHNYALSYTGNDDIIMTSKDVFGPNIRGVDKGLQWHHQCPKCTRVFRHLENYA NHLKMHKLFMCLLCGKTFTQKGNLHRHMRVHAGIKPFQCKICGKTFSQKCSLQDHLNLHS GDKPHKCNYCDMVFAHKPVLRKHLKQLHGKNSFDNANERSVQDLTVDFDSFACTTVTDSN CQPQPDATQVLDAGKLTQAVLSLRSDSTCVN >ENSMUSP00000127054.1 pep:known chromosome:GRCm38:14:24133953:24146188:-1 gene:ENSMUSG00000021782.14 transcript:ENSMUST00000166007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg5 description:discs, large homolog 5 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918478] XKIQRGQIPSKYVMDQEFSRRLSMSEVKDDNTAKTLSAAARRSFFRRKHKHKRSGSKDGK DLLALDTFSNDSIPLFEDSVSLAYQRVQKVDCTSLRPVLLLGPLLDVVKEMLVNEAPGKF CRCPLEVMKASQQAIERGVKDCLFVDYKRRSGHFDVTTVASIKEITEKGTERPCLPEGQG DTEAFQRAIRNSTEDRSGVQQVLHRGCPGWSPVQHLHSDPGHGQSRTK >ENSMUSP00000044852.6 pep:known chromosome:GRCm38:14:24133953:24177789:-1 gene:ENSMUSG00000021782.14 transcript:ENSMUST00000042009.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg5 description:discs, large homolog 5 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918478] LTQQRDTAIQLQHQCALSLRRFETIHHELSKATAQNKDLQWEMELLQSELTELRSKQVKT AKESEKYKEERDAVYSEYKLIMSERDQVISELDKLQTEVELAESKLKSSTSEKKAASEEM EALRQIKDTVTMDAGRANKEVEILRKQCKALCQELKEALQEADVAKCRRDWAFQERDKIV AERDSIRTLCDNLRRERDRAVSELAEALRSLDDTRKQKNDVSRELKELKEQMECQLEKEA RFRQLMAHSSHDSAIDTDSMEWETEVVEFERETEDIDLKALGFDMAEGVNEPCFPGDCGI FVTKVDKGSIADGRLRVNDWLLRINDVDLINKDKKQAIKALLNGEGAINMVVRRRKSLGG KVVTPLHINLSGQKGSRPQDSGISLENGVYAAAVVPGSPAAKEGSLAVGDRIVAINGIAL DNKSLNECESLLRSCQDSLTLSLLKVFPQSSSWSGQNIFENIKDSDRMLSCRAHGPEVQA HNKRNLLQHNNSTQTDIFYTDRLEDRKELGHSGGSSSFLHKPFSGSSSPVSPQACPSTSE RSLNSFRSDTSAERGYGLVDMRSQRPLLSFETEVGPCGAVEVPLDKIDPEGSNSGGTWPK AVLGSTSGPEKLSVYKKPKQRKSIFDPNTFKRPQTPPKIDYLLPGPGLTHSPQPSKRVGS LTPPKPPRRSDSIKFQHRLETSSESEATLVGSSPSTSPPSAPPPSMDPSEPTHASPPRKA RVRIASSYHSEGDGDTSYLPAKKPCDEDLTSQKVDELGQKRRRPKSAPSFRPKISPVVIP AQCLEEQECVPAIGELSPEGQEWSPYSPGHASRHGNPLLYPNRPSVGTVPRSMTPGTTVG SILRNPIYTVRSHRVLPCGSPPVPRDAGSQSLSPSVQHQGRLSLDLSHRACSDYSEMRAS QGSNSLPSSARLGSSSNLQFKAERIKIPLTPRYPRSVMGSDRGSLSHSECSTPPRSPLNI DTLSSCSQPQTTASTLPRIAVNPSSHGERRKDRPFVEEPRHVKVQKGSEPLGISIVSGEK GGVYVSKVTLGSIAHQAGLEYGDQLLEFNGINLRSATEQQARLIIGQQCDTITILAQYNP HIHQLNSHSRSSSHLDPAATPHSTLQGSSAGTPEHPSVIDPLMEQDEGPGTPPAKQSASS TRSVGDTTKKTPDPRIVFIKKSQLDLGVHLCGGNLHGVFVAEVEDDSPAKGPDGLVPGDL ILEYGSLDMRSRTVEDVYVEMLKPKDSLRLKVQYRHEEFTRVKGLPGDSFYIRALYDRLA EVEPELSFKKDDILYVDDTLPQGVFGSWMAWQLDENAQKIQRGQIPSKYVMDQEFSRRLS MSEVKDDNTAKTLSAAARRSFFRRKHKHKRSGSKDGKDLLALDTFSNDSIPLFEDSVSLA YQRVQKVDCTSLRPVLLLGPLLDVVKEMLVNEAPGKFCRCPLEVMKASQQAIERGVKDCL FVDYKRRSGHFDVTTVASIKEITEKNRHCLLDIAPHAIERLHHMHIYPIVIFIRYKSAKH IKEQRDPVYLRDKVTQRHSKEQFETAQKIDQEYSRYFTGVVQGGALSSICTQILAMVSQE QSKVLWIPACPP >ENSMUSP00000073367.6 pep:known chromosome:GRCm38:14:24133953:24245920:-1 gene:ENSMUSG00000021782.14 transcript:ENSMUST00000073687.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg5 description:discs, large homolog 5 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918478] MEPQRRELLAQCQQSLAQAMTEVEAVLGLLEAAGALSPGERRQLDEEAGGAKAELLLQLL LAKEQDHFQDLRAALEKTQPHLLPILYLNGVVGPPQSTEGAGTTGKAPSPPPLLTEQQAN DTVENLSIQLRLMTRERNELRKRLAFATHGATFDKRPYHRLNPDYERLKIQCVRAMSDLQ SLQNQHTNALKRCEEVAKETDFYHTLHSRLLSDQTQLKDDVDMLRRENGKLRRERNLLQQ SWEDMKRLREEDQKEIGDLRAQQQQVLKHNGSSEILNKLYDTAMDKLEVVKKDYDALRKR YSEKVAMHNSDLSRLEQLGEENQRLQKQTEMLTQQRDTAIQLQHQCALSLRRFETIHHEL SKATAQNKDLQWEMELLQSELTELRSKQVKTAKESEKYKEERDAVYSEYKLIMSERDQVI SELDKLQTEVELAESKLKSSTSEKKAASEEMEALRQIKDTVTMDAGRANKEVEILRKQCK ALCQELKEALQEADVAKCRRDWAFQERDKIVAERDSIRTLCDNLRRERDRAVSELAEALR SLDDTRKQKNDVSRELKELKEQMECQLEKEARFRQLMAHSSHDSAIDTDSMEWETEVVEF ERETEDIDLKALGFDMAEGVNEPCFPGDCGIFVTKVDKGSIADGRLRVNDWLLRINDVDL INKDKKQAIKALLNGEGAINMVVRRRKSLGGKVVTPLHINLSGQKDSGISLENGVYAAAV VPGSPAAKEGSLAVGDRIVAINGIALDNKSLNECESLLRSCQDSLTLSLLKVFPQSSSWS GQNIFENIKDSDRMLSCRAHGPEVQAHNKRNLLQHNNSTQTDIFYTDRLEDRKELGHSGG SSSFLHKPFSGSSSPVSPQACPSTSERSLNSFRSDTSAERGYGLVDMRSQRPLLSFETEV GPCGAVEVPLDKIDPEGSNSGGTWPKAVLGSTSGPEKLSVYKKPKQRKSIFDPNTFKRPQ TPPKIDYLLPGPGLTHSPQPSKRVGSLTPPKPPRRSDSIKFQHRLETSSESEATLVGSSP STSPPSAPPPSMDPSEPTHASPPRKARVRIASSYHSEGDGDTSYLPAKKPCDEDLTSQKV DELGQKRRRPKSAPSFRPKISPVVIPAQCLEEQECVPAIGELSPEGQEWSPYSPGHASRH GNPLLYPNRPSVGTVPRSMTPGTTVGSILRNPIYTVRSHRVLPCGSPPVPRDAGSQSLSP SVQHQGRLSLDLSHRACSDYSEMRASQGSNSLPSSARLGSSSNLQFKAERIKIPLTPRYP RSVMGSDRGSLSHSECSTPPRSPLNIDTLSSCSQPQTTASTLPRIAVNPSSHGERRKDRP FVEEPRHVKVQKGSEPLGISIVSGEKGGVYVSKVTLGSIAHQAGLEYGDQLLEFNGINLR SATEQQARLIIGQQCDTITILAQYNPHIHQLNSHSRSSSHLDPAATPHSTLQGSSAGTPE HPSVIDPLMEQDEGPGTPPAKQSASSTRSVGDTTKKTPDPRIVFIKKSQLDLGVHLCGGN LHGVFVAEVEDDSPAKGPDGLVPGDLILEYGSLDMRSRTVEDVYVEMLKPKDSLRLKVQY RHEEFTRVKGLPGDSFYIRALYDRLAEVEPELSFKKDDILYVDDTLPQGVFGSWMAWQLD ENAQKIQRGQIPSKYVMDQEFSRRLSMSEVKDDNTAKTLSAAARRSFFRRKHKHKRSGSK DGKDLLALDTFSNDSIPLFEDSVSLAYQRVQKVDCTSLRPVLLLGPLLDVVKEMLVNEAP GKFCRCPLEVMKASQQAIERGVKDCLFVDYKRRSGHFDVTTVASIKEITEKNRHCLLDIA PHAIERLHHMHIYPIVIFIRYKSAKHIKEQRDPVYLRDKVTQRHSKEQFETAQKIDQEYS RYFTGVVQGGALSSICTQILAMVSQEQSKVLWIPACPP >ENSMUSP00000087879.4 pep:known chromosome:GRCm38:14:24133955:24245920:-1 gene:ENSMUSG00000021782.14 transcript:ENSMUST00000090398.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg5 description:discs, large homolog 5 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918478] MEPQRRELLAQCQQSLAQAMTEVEAVLGLLEAAGALSPGERRQLDEEAGGAKAELLLQLL LAKEQDHFQDLRAALEKTQPHLLPILYLNGVVGPPQSTEGAGSTYSVLSIMPSDSESSSS LSSVGTTGKAPSPPPLLTEQQANDTVENLSIQLRLMTRERNELRKRLAFATHGATFDKRP YHRLNPDYERLKIQCVRAMSDLQSLQNQHTNALKRCEEVAKETDFYHTLHSRLLSDQTQL KDDVDMLRRENGKLRRERNLLQQSWEDMKRLREEDQKEIGDLRAQQQQVLKHNGSSEILN KLYDTAMDKLEVVKKDYDALRKRYSEKVAMHNSDLSRLEQLGEENQRLQKQTEMLTQQRD TAIQLQHQCALSLRRFETIHHELSKATAQNKDLQWEMELLQSELTELRSKQVKTAKESEK YKEERDAVYSEYKLIMSERDQVISELDKLQTEVELAESKLKSSTSEKKAASEEMEALRQI KDTVTMDAGRANKEVEILRKQCKALCQELKEALQEADVAKCRRDWAFQERDKIVAERDSI RTLCDNLRRERDRAVSELAEALRSLDDTRKQKNDVSRELKELKEQMECQLEKEARFRQLM AHSSHDSAIDTDSMEWETEVVEFERETEDIDLKALGFDMAEGVNEPCFPGDCGIFVTKVD KGSIADGRLRVNDWLLRINDVDLINKDKKQAIKALLNGEGAINMVVRRRKSLGGKVVTPL HINLSGQKDSGISLENGVYAAAVVPGSPAAKEGSLAVGDRIVAINGIALDNKSLNECESL LRSCQDSLTLSLLKVFPQSSSWSGQNIFENIKDSDRMLSCRAHGPEVQAHNKRNLLQHNN STQTDIFYTDRLEDRKELGHSGGSSSFLHKPFSGSSSPVSPQACPSTSERSLNSFRSDTS AERGYGLVDMRSQRPLLSFETEVGPCGAVEVPLDKIDPEGSNSGGTWPKAVLGSTSGPEK LSVYKKPKQRKSIFDPNTFKRPQTPPKIDYLLPGPGLTHSPQPSKRVGSLTPPKPPRRSD SIKFQHRLETSSESEATLVGSSPSTSPPSAPPPSMDPSEPTHASPPRKARVRIASSYHSE GDGDTSYLPAKKPCDEDLTSQKVDELGQKRRRPKSAPSFRPKISPVVIPAQCLEEQECVP AIGELSPEGQEWSPYSPGHASRHGNPLLYPNRPSVGTVPRSMTPGTTVGSILRNPIYTVR SHRVLPCGSPPVPRDAGSQSLSPSVQHQGRLSLDLSHRACSDYSEMRASQGSNSLPSSAR LGSSSNLQFKAERIKIPLTPRYPRSVMGSDRGSLSHSECSTPPRSPLNIDTLSSCSQPQT TASTLPRIAVNPSSHGERRKDRPFVEEPRHVKVQKGSEPLGISIVSGEKGGVYVSKVTLG SIAHQAGLEYGDQLLEFNGINLRSATEQQARLIIGQQCDTITILAQYNPHIHQLNSHSRS SSHLDPAATPHSTLQGSSAGTPEHPSVIDPLMEQDEGPGTPPAKQSASSTRSVGDTTKKT PDPRIVFIKKSQLDLGVHLCGGNLHGVFVAEVEDDSPAKGPDGLVPGDLILEYGSLDMRS RTVEDVYVEMLKPKDSLRLKVQYRHEEFTRVKGLPGDSFYIRALYDRLAEVEPELSFKKD DILYVDDTLPQGVFGSWMAWQLDENAQKIQRGQIPSKYVMDQEFSRRLSMSEVKDDNTAK TLSAAARRSFFRRKHKHKRSGSKDGKDLLALDTFSNDSIPLFEDSVSLAYQRVQKVDCTS LRPVLLLGPLLDVVKEMLVNEAPGKFCRCPLEVMKASQQAIERGVKDCLFVDYKRRSGHF DVTTVASIKEITEKNRHCLLDIAPHAIERLHHMHIYPIVIFIRYKSAKHIKEQRDPVYLR DKVTQRHSKEQFETAQKIDQEYSRYFTGVVQGGALSSICTQILAMVSQEQSKVLWIPACP P >ENSMUSP00000128735.1 pep:known chromosome:GRCm38:14:24144384:24150546:-1 gene:ENSMUSG00000021782.14 transcript:ENSMUST00000170112.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dlg5 description:discs, large homolog 5 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918478] XAGLEYGDQLLEFNGINLRSATEQQARLIIGQQCDTITILAQYNPHIHQLNSHSRSRAAV LGPQNIPLSSTH >ENSMUSP00000131986.1 pep:known chromosome:GRCm38:14:24190318:24244865:-1 gene:ENSMUSG00000021782.14 transcript:ENSMUST00000169880.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg5 description:discs, large homolog 5 (Drosophila) [Source:MGI Symbol;Acc:MGI:1918478] XHQVWWRGGGRKTPRKDVGSTYSVLSIMPSDSESSSSLSSVGTTGKAPSPPPLLTEQQAN DTVENLSIQLRLMTRERNELRKRLAFATHGATFDKRPYHRLNPDYERLKIQCVRAMSDLQ SLQNQHTNALKRCEEVAKETDFYHTLHSRLLSDQTQLKDDVDMLRRENGKLRRERNLLQQ SWEDMKRLREEDQKEIGDLRAQQQQ >ENSMUSP00000105180.2 pep:known chromosome:GRCm38:15:81802421:81826861:1 gene:ENSMUSG00000022389.14 transcript:ENSMUST00000109553.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tef description:thyrotroph embryonic factor [Source:MGI Symbol;Acc:MGI:98663] MSSCSQIGVAPAMDMPEVLKSLLEHSLPWSEKKADKEKGKEKLEEDESAAASTMAVSASL MPPIWDKTIPYDGESFHLEYMDLDEFLLENGIPASPTHLAQNLLLPVAELEGKESASSST ASPPSSSTAIFQPSETVSSTESSLEKERETPSPIDPSCVEVDVNFNPDPADLVLSSVPGG ELFNPRKHRFAEEDLKPQPMIKKAKKVFVPDEQKDEKYWTRRKKNNVAAKRSRDARRLKE NQITIRAAFLEKENTALRTEVAELRKEVGKCKTIVSKYETKYGPL >ENSMUSP00000023024.7 pep:known chromosome:GRCm38:15:81811414:81826698:1 gene:ENSMUSG00000022389.14 transcript:ENSMUST00000023024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tef description:thyrotroph embryonic factor [Source:MGI Symbol;Acc:MGI:98663] MSDAGGGKKPPVEPQAGPGPGRAAGERGLSGSFPLVLKKLMENPPRETRLDKEKGKEKLE EDESAAASTMAVSASLMPPIWDKTIPYDGESFHLEYMDLDEFLLENGIPASPTHLAQNLL LPVAELEGKESASSSTASPPSSSTAIFQPSETVSSTESSLEKERETPSPIDPSCVEVDVN FNPDPADLVLSSVPGGELFNPRKHRFAEEDLKPQPMIKKAKKVFVPDEQKDEKYWTRRKK NNVAAKRSRDARRLKENQITIRAAFLEKENTALRTEVAELRKEVGKCKTIVSKYETKYGP L >ENSMUSP00000132026.1 pep:known chromosome:GRCm38:15:81811046:81821256:1 gene:ENSMUSG00000022389.14 transcript:ENSMUST00000168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tef description:thyrotroph embryonic factor [Source:MGI Symbol;Acc:MGI:98663] MAVSASLMPPIWDKTIPYDGESFHLEYMDLDEFLLENGIPASPTHLAQNLLLPVAELEGK ESASSSTASPPSSSTAIFQPSETVSSTESSLEKERETPSPIDPSCV >ENSMUSP00000129748.1 pep:known chromosome:GRCm38:15:81812159:81815209:1 gene:ENSMUSG00000022389.14 transcript:ENSMUST00000172208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tef description:thyrotroph embryonic factor [Source:MGI Symbol;Acc:MGI:98663] MAVSASLMPPIWDKTIPYDGESFHLEYMDLDEFLLENGIPASPTHLAQNLLLPVAELEGK ESASSSTASP >ENSMUSP00000138074.1 pep:known chromosome:GRCm38:Y:85528523:85530908:-1 gene:ENSMUSG00000095650.2 transcript:ENSMUST00000181549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20854 description:predicted gene, 20854 [Source:MGI Symbol;Acc:MGI:5434210] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAGARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136905.1 pep:known chromosome:GRCm38:Y:85528517:85529518:-1 gene:ENSMUSG00000095650.2 transcript:ENSMUST00000178889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20854 description:predicted gene, 20854 [Source:MGI Symbol;Acc:MGI:5434210] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAGARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000071875.4 pep:known chromosome:GRCm38:1:96816270:96872171:-1 gene:ENSMUSG00000040693.7 transcript:ENSMUST00000071985.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco4c1 description:solute carrier organic anion transporter family, member 4C1 [Source:MGI Symbol;Acc:MGI:2442784] MQGSKGIENPAFVPSSPGTPRRASASPSQVEVSAVASRNQNGGSQPRESEEPQKSTEPSP PSSNPPASDEPPGSQLSELEEGPCGWRGFHPQCLQRCNTPQGFLLHYCLLALTQGIVVNG LVNISISTIEKRYEMKSSLTGLISSSYDISFCVLSLFVSFFGERGHKPRWLAFASFMIGL GALVFSLPHFFSGRYELGSIFEDTCLTRNSTRCSSSTSLLSNYFYVFVLGQLLLGTGGTP LYTLGTAFIDDSVPTHKSSLYIGIGYSMSILGPAIGYVLGGQLLTMYIDIAMGQSSDLTE DDPRWLGAWWIGFLLAWLFAWSLIMPFSCFPKHLPGTAKIQAGKTSQTHQNNSTSFQHTD ENFGKSIKDFPTAVKNLMRNTVFICLVLSTTSEALITTGFATFLPKFIENQFGLTSSFAA TLGGAVLIPGAALGQILGGVLVSKFKMKCKNTMKFALCTSGVALVLSFVFIYAKCENEPF AGVSESYNGTGEMGNLTAPCNANCNCLRSYYYPLCGSDGIQYFSPCFAGCLNSVSNRKPK VYYNCSCIERKITSTAESTDFEAKAGKCRTRCSNLPIFLGIFFITVIFTFMAGTPITVSI LRCVNHRHRSLALGVQFMLLRLLGTIPGPIIFGVIIDSTCVLWDVNECGIKGACWIYDNI KMAHMLVAISVTCKVITIFFNGLAIVLYKPPPPGTEVSFQSQNVIVSTISVEEDLDKAEN EG >ENSMUSP00000145069.1 pep:known chromosome:GRCm38:6:135362545:135383173:1 gene:ENSMUSG00000030208.15 transcript:ENSMUST00000205156.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emp1 description:epithelial membrane protein 1 [Source:MGI Symbol;Acc:MGI:107941] MLVLLAGLFVVHIATAIMLFVSTIANVWMVADYANASVGLWKNCTGGNCDGSLSYGNEDA IKAVQAFMILSIIFSIISLVVFVFQLFTMEKGNRFFLSGSTMLVCWLCILVGVSIYTHHY AHSEGNFNSSSHQGYCFILTWICFCFSFIIGILYMVLRKK >ENSMUSP00000138789.1 pep:known chromosome:GRCm38:6:135362931:135383173:1 gene:ENSMUSG00000030208.15 transcript:ENSMUST00000154270.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Emp1 description:epithelial membrane protein 1 [Source:MGI Symbol;Acc:MGI:107941] MLVLLAGLFVVHIATAIMLFVSTIANIEFICVALAVLELTM >ENSMUSP00000107538.1 pep:known chromosome:GRCm38:6:135367493:135383173:1 gene:ENSMUSG00000030208.15 transcript:ENSMUST00000111907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emp1 description:epithelial membrane protein 1 [Source:MGI Symbol;Acc:MGI:107941] MLVLLAGLFVVHIATAIMLFVSTIANVWMVADYANASVGLWKNCTGGNCDGSLSYGNEDA IKAVQAFMILSIIFSIISLVVFVFQLFTMEKGNRFFLSGSTMLVCWLCILVGVSIYTHHY AHSEGNFNSSSHQGYCFILTWICFCFSFIIGILYMVLRKK >ENSMUSP00000032330.9 pep:known chromosome:GRCm38:6:135362931:135383173:1 gene:ENSMUSG00000030208.15 transcript:ENSMUST00000032330.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emp1 description:epithelial membrane protein 1 [Source:MGI Symbol;Acc:MGI:107941] MLVLLAGLFVVHIATAIMLFVSTIANVWMVADYANASVGLWKNCTGGNCDGSLSYGNEDA IKAVQAFMILSIIFSIISLVVFVFQLFTMEKGNRFFLSGSTMLVCWLCILVGVSIYTHHY AHSEGNFNSSSHQGYCFILTWICFCFSFIIGILYMVLRKK >ENSMUSP00000108554.1 pep:known chromosome:GRCm38:2:37425503:37443171:-1 gene:ENSMUSG00000066798.3 transcript:ENSMUST00000112932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb6 description:zinc finger and BTB domain containing 6 [Source:MGI Symbol;Acc:MGI:2442998] MAAESDVLHFQFEQQGDVVLQKMNLLRQQNLFCDVSIYINDTEFQGHKVILAACSTFMRD QFLLTQSKHVRITILQSAEVGWKLLLSCYTGALEVKRKELLKYLTAASYLQMVHIVEKCT EALSKYLEIDLSMKNNQHTDLCQSSDTDVKNEEENSDKDCEIIEISEDSPVNLDFHVKEE ESNALQSAAETLTSERMRMQSPELSAVDGGFKENEICILHVESISTDDVENGQFSQPCTS SKAGIYFPETQHSLINSTVENRVTEVPGNTNQGLFSENSDGSHGTVNEIQNLDENFSLRH QCPRCPRGFLHVENYLRHLKMHKLFLCLQCGKTFTQKKNLNRHIRGHMGIRPFQCTVCLK TFTAKSTLQDHLNIHSGDRPYKCHCCDMDFKHKSALKKHLTSVHGRSSGEKLSRPDLKRQ NLL >ENSMUSP00000056100.5 pep:known chromosome:GRCm38:2:37425500:37430919:-1 gene:ENSMUSG00000066798.3 transcript:ENSMUST00000053098.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb6 description:zinc finger and BTB domain containing 6 [Source:MGI Symbol;Acc:MGI:2442998] MAAESDVLHFQFEQQGDVVLQKMNLLRQQNLFCDVSIYINDTEFQGHKVILAACSTFMRD QFLLTQSKHVRITILQSAEVGWKLLLSCYTGALEVKRKELLKYLTAASYLQMVHIVEKCT EALSKYLEIDLSMKNNQHTDLCQSSDTDVKNEEENSDKDCEIIEISEDSPVNLDFHVKEE ESNALQSAAETLTSERMRMQSPELSAVDGGFKENEICILHVESISTDDVENGQFSQPCTS SKAGIYFPETQHSLINSTVENRVTEVPGNTNQGLFSENSDGSHGTVNEIQNLDENFSLRH QCPRCPRGFLHVENYLRHLKMHKLFLCLQCGKTFTQKKNLNRHIRGHMGIRPFQCTVCLK TFTAKSTLQDHLNIHSGDRPYKCHCCDMDFKHKSALKKHLTSVHGRSSGEKLSRPDLKRQ NLL >ENSMUSP00000117964.1 pep:known chromosome:GRCm38:15:102518192:102523674:1 gene:ENSMUSG00000023051.10 transcript:ENSMUST00000131184.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tarbp2 description:TAR (HIV) RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:103027] MSEEDQGSGTTTGCGLPSIEQMLAANPGKTPISLLQEYGTRIGKTPVYDLLKAEGQAHQP NFTFRVTVGDTSCTVLFLS >ENSMUSP00000097744.3 pep:known chromosome:GRCm38:15:102518357:102523602:1 gene:ENSMUSG00000023051.10 transcript:ENSMUST00000100168.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tarbp2 description:TAR (HIV) RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:103027] MSEEDQGSGTTTGCGLPSSFSLLDSSPPEDTPVVAAEAAAPVPSAVLTRSPPMEMQPPVS PQQSECNPVGALQELVVQKGWRLPEYMVTQESGPAHRKEFTMTCRVERFIEIGSGTSKKL AKRNAAAKMLLRVHTVPLDARDGNEAEPDDDHFSIGVSSRLDGLRNRGPGCTWDSLRNSV GEKILSLRSCSVGSLGALGSACCSVLSELSEEQAFHVSYLDIEELSLSGLCQCLVELSTQ PATVCYGSATTREAARGDAAHRALQYLRIMAGSK >ENSMUSP00000120315.1 pep:known chromosome:GRCm38:15:102518455:102521749:1 gene:ENSMUSG00000023051.10 transcript:ENSMUST00000150393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tarbp2 description:TAR (HIV) RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:103027] MLEPALEDSSSFSLLDSSPPEDTPVVAAEAAAPVPSAVLTRSPPMEMQP >ENSMUSP00000023813.2 pep:known chromosome:GRCm38:15:102518459:102523676:1 gene:ENSMUSG00000023051.10 transcript:ENSMUST00000023813.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tarbp2 description:TAR (HIV) RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:103027] MSEEDQGSGTTTGCGLPSIEQMLAANPGKTPISLLQEYGTRIGKTPVYDLLKAEGQAHQP NFTFRVTVGDTSCTGQGPSKKAAKHKAAEVALKHLKGGSMLEPALEDSSSFSLLDSSPPE DTPVVAAEAAAPVPSAVLTRSPPMEMQPPVSPQQSECNPVGALQELVVQKGWRLPEYMVT QESGPAHRKEFTMTCRVERFIEIGSGTSKKLAKRNAAAKMLLRVHTVPLDARDGNEAEPD DDHFSIGVSSRLDGLRNRGPGCTWDSLRNSVGEKILSLRSCSVGSLGALGSACCSVLSEL SEEQAFHVSYLDIEELSLSGLCQCLVELSTQPATVCYGSATTREAARGDAAHRALQYLRI MAGSK >ENSMUSP00000114953.1 pep:known chromosome:GRCm38:15:102518547:102523604:1 gene:ENSMUSG00000023051.10 transcript:ENSMUST00000142114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tarbp2 description:TAR (HIV) RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:103027] MSEEDQGSGTTTGCGLPSSFSLLDSSPPEDTPVVMLLTAPYSTSGSWRVASSIPLQ >ENSMUSP00000121748.1 pep:known chromosome:GRCm38:15:102518726:102522551:1 gene:ENSMUSG00000023051.10 transcript:ENSMUST00000146756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tarbp2 description:TAR (HIV) RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:103027] MLAANPGKTPISLLQEYGTRIGKTPVYDLLKAEGQAHQPNFTFRVTVGDTSCTGQGPSKK AAKHKAAEVALKHLKGGSMLEPALEDSSSFSLLDSSPPEDTPVVAAEAAAPVPSAVLTRS PPMEMQPPVSPQQSECNPVGALQELVVQKGWRLPEYMVTQESGPAHRKEFTMTCRVERFI EIGSGTSKKLAKRNAAAKMLLRVHTVPLDARDGNEAEPDDDHFSI >ENSMUSP00000123213.1 pep:known chromosome:GRCm38:15:102518799:102522080:1 gene:ENSMUSG00000023051.10 transcript:ENSMUST00000149200.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tarbp2 description:TAR (HIV) RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:103027] MLAANPGKTPISLLQEYGTRIGKTPVYDLLKAEGQAHQPNFTFRVTVGDTSCTVLFLS >ENSMUSP00000123339.1 pep:known chromosome:GRCm38:15:102518802:102522902:1 gene:ENSMUSG00000023051.10 transcript:ENSMUST00000142194.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tarbp2 description:TAR (HIV) RNA binding protein 2 [Source:MGI Symbol;Acc:MGI:103027] MLAANPGKTPISLLQEYGTRIGKTPVYDLLKAEGQAHQPNFTFRVTVGDTSCTGQGPSKK AAKHKAAEVALKHLKGGSMLEPALEDSSSFSLLDSSPPEDTPVVAAEAAAPVPSAVLTRS PPMEMQPPVSPQQSECNPVGALQELVVQKGWRLPEYMVTQESGPAHRKEFTMTCRVERFI EIGSGTSKKLAKRNAAAKMLLRVHTVPLDARDGNEAEPDDDHFSIGVSSRLDGLRNRGPG CTW >ENSMUSP00000049060.6 pep:known chromosome:GRCm38:5:135632618:135646376:1 gene:ENSMUSG00000039917.10 transcript:ENSMUST00000043707.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdd2 description:rhomboid domain containing 2 [Source:MGI Symbol;Acc:MGI:1915612] MAAPGPASRFWCSCPEVPSATFFTALLSLLVSGPRLFLLQPPLAPSGLSLRSEALRNWQV YRLVTYIFVYENPVSLLCGAIIIWRFAGNFERTVGTVRHCFFTLIFTVFSAIIYLSFESV SSLSKLGEVEDARGFTPVAFAMLGVTSVRSRMRRALVFGVVVPSVLVPWLLLCASWLIPQ TSFLSNVSGLLIGLSYGLTYCYSLDLSERVALKLDQKFPFSLMRRIPLFKYISGSSAERR AAQSRRLNPAPGSYPTQSCHPHLTPSYPVTQMQHASGQKLASWPPGHMPSLPPYQPASGL CYVQNHFGPNPNASSVYPASAGTSQGVQPPSPISCPGTVYSGALGTPGATGSKESSKVAM P >ENSMUSP00000119069.1 pep:known chromosome:GRCm38:5:135632654:135646448:1 gene:ENSMUSG00000039917.10 transcript:ENSMUST00000136748.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rhbdd2 description:rhomboid domain containing 2 [Source:MGI Symbol;Acc:MGI:1915612] MAAPGPASRFWCSCPEVPSATFFTALLSLLVSGPRLFLLQPPLAPSGLSLRSEALRNWQA SMEGEARITAKETATQSVKWDPQPTLKLSQLINPQTA >ENSMUSP00000143780.1 pep:known chromosome:GRCm38:5:135635102:135640301:1 gene:ENSMUSG00000039917.10 transcript:ENSMUST00000199368.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rhbdd2 description:rhomboid domain containing 2 [Source:MGI Symbol;Acc:MGI:1915612] XASMEGEARITAKETATQSVKWDPQPTLKLSQLINPQTA >ENSMUSP00000028256.4 pep:known chromosome:GRCm38:2:36049458:36079718:1 gene:ENSMUSG00000026894.4 transcript:ENSMUST00000028256.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morn5 description:MORN repeat containing 5 [Source:MGI Symbol;Acc:MGI:1922745] MQYTGSQYFGEYINGRMEGSAEYILPTDTRYIGEMKDGMFHGEGTLFFPSGSRFDAIWKK GLVVKGKYTFNDGLQYEDKHWHYCDSYDRRFYTEICYGLKPSGISQLTNMDPPRRIPLGY YDCGDGFYNPTTRVIKDYRNRFLRNADDDEHEWIVRTCRKGWLPMPKQKS >ENSMUSP00000040246.8 pep:known chromosome:GRCm38:4:136880129:136886187:-1 gene:ENSMUSG00000036905.8 transcript:ENSMUST00000046384.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qb description:complement component 1, q subcomponent, beta polypeptide [Source:MGI Symbol;Acc:MGI:88224] MKTQWGEVWTHLLLLLLGFLHVSWAQSSCTGPPGIPGIPGVPGVPGSDGQPGTPGIKGEK GLPGLAGDLGEFGEKGDPGIPGTPGKVGPKGPVGPKGTPGPSGPRGPKGDSGDYGATQKV AFSALRTINSPLRPNQVIRFEKVITNANENYEPRNGKFTCKVPGLYYFTYHASSRGNLCV NLVRGRDRDSMQKVVTFCDYAQNTFQVTTGGVVLKLEQEEVVHLQATDKNSLLGIEGANS IFTGFLLFPDMDA >ENSMUSP00000123464.1 pep:known chromosome:GRCm38:3:19187327:19215694:1 gene:ENSMUSG00000027601.13 transcript:ENSMUST00000130806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfr1 description:mitochondrial fission regulator 1 [Source:MGI Symbol;Acc:MGI:1914722] MLGWIKCLMRMWFQRVGVSMQSVLWSGKPYGSSRSIVRKIGTNLSLIQCPRVQFQLTSHA TEWSPAHSGEDAVASFADVGLVATEEGECSIRLRAEVSSKPPHEDDPPCFEKPPSRHTSF PSLSQDKPSPERTLASEEALQKISALENELAALRAQIAKIVTLQEQQS >ENSMUSP00000112824.1 pep:known chromosome:GRCm38:3:19187329:19219719:1 gene:ENSMUSG00000027601.13 transcript:ENSMUST00000117529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfr1 description:mitochondrial fission regulator 1 [Source:MGI Symbol;Acc:MGI:1914722] MLGWIKCLMRMWFQRVGVSMQSVLWSGKPYGSSRSIVRKIGTNLSLIQCPRVQFQLTSHA TEWSPAHSGEDAVASFADVGLVATEEGECSIRLRAEVSSKPPHEDDPPCFEKPPSRHTSF PSLSQDKPSPERTLASEEALQKISALENELAALRAQIAKIVTLQEQQSPSAGCLDSSTSV TVAPPPPPPPPPPPLPLVLHQSTSALDLIKERREQRLSAGKTLATGHPKKPDMPNMLEIL KDMNSVKLRSVKRSEKDVKPRPADTDHAAFIAEALKKKFAYRHNSQGETERGIPKPESEA TSEPALFGPHILKSTGKMKALIENVPDS >ENSMUSP00000112752.1 pep:known chromosome:GRCm38:3:19187347:19219370:1 gene:ENSMUSG00000027601.13 transcript:ENSMUST00000119865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfr1 description:mitochondrial fission regulator 1 [Source:MGI Symbol;Acc:MGI:1914722] MLGWIKCLMRMWFQRVGVSMQSVLWSGKPYGSSRSIVRKIGTNLSLIQCPRVQFQLTSHA TEWSPAHSGEDAVASFADVGLVATEEGECSIRLRAEVSSKPPHEDDPPCFEKPPSRHTSF PSLSQDKPSPERTLASEEALQKISALENELAALRAQIAKIVTLQEQQSPSAGCLDSSTSV TVAPPPPPPPPPPPLPLVLHQSTSALDLIKERREQRLSAGKTLATGHPKKPDMPNMLEIL KDMNSVKLRSVKRSEKDVKPRPADTDHAAFIAEALKKKFAYRHNSQGETERGIPKPESEA TSEPALFGPHILKSTGKMKALIENVPDS >ENSMUSP00000119724.1 pep:known chromosome:GRCm38:3:19188110:19220817:1 gene:ENSMUSG00000027601.13 transcript:ENSMUST00000130645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfr1 description:mitochondrial fission regulator 1 [Source:MGI Symbol;Acc:MGI:1914722] MLGWIKCLMRMWFQRVGVSMQSVLWSGKPYGSSRSIVRKIGTNLSLIQCPRVQFQLTSHA TEWSPAHSGEDAVASFADVGLVATEEGECSIRLRAEVSSKPPHEDDPPCFEKPPSRHTSF PSLSQDKPSPERTLASEEALQKISALENELAALRAQIAKIVTLQEQQSPSAGCLDSSTSV TVAPPPPPPPPPPPLPLVLHQSTSALDLIKERREQRLSAGKTLATGHPKKPDMPNMLEIL KDMNSVKLRSVKRSEKDVKPRPADTDHAAFIAEALKKKFAYRHNSQGETERGIPKPESEA TSEPALFGPHILKSTGKMKALIENVPDS >ENSMUSP00000029129.3 pep:known chromosome:GRCm38:3:19188265:19219556:1 gene:ENSMUSG00000027601.13 transcript:ENSMUST00000029129.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtfr1 description:mitochondrial fission regulator 1 [Source:MGI Symbol;Acc:MGI:1914722] MLGWIKCLMRMWFQRVGVSMQSVLWSGKPYGSSRSIVRKIGTNLSLIQCPRVQFQ >ENSMUSP00000115983.1 pep:known chromosome:GRCm38:3:19188274:19215703:1 gene:ENSMUSG00000027601.13 transcript:ENSMUST00000138777.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtfr1 description:mitochondrial fission regulator 1 [Source:MGI Symbol;Acc:MGI:1914722] MLGWIKCLMRMWFQRVGVSMQSTRLSLNSEIHLPLPLKC >ENSMUSP00000117132.1 pep:known chromosome:GRCm38:3:19188314:19211551:1 gene:ENSMUSG00000027601.13 transcript:ENSMUST00000132035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfr1 description:mitochondrial fission regulator 1 [Source:MGI Symbol;Acc:MGI:1914722] MLGWIKCLMRMWFQRVGVSMQSVLWSGKPYGSSRSIVRKIGTNLSLIQCPRVQFQLTSHA TEWSPAHSGEDAVASFADVGLVA >ENSMUSP00000061847.3 pep:known chromosome:GRCm38:4:89274471:89282192:-1 gene:ENSMUSG00000044303.6 transcript:ENSMUST00000060501.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn2a description:cyclin-dependent kinase inhibitor 2A [Source:MGI Symbol;Acc:MGI:104738] MESAADRLARAAAQGRVHDVRALLEAGVSPNAPNSFGRTPIQVMMMGNVHVAALLLNYGA DSNCEDPTTFSRPVHDAAREGFLDTLVVLHGSGARLDVRDAWGRLPLDLAQERGHQDIVR YLRSAGCSLCSAGWSLCTAGNVAQTDGHSFSSSTPRALELRGQSQEQS >ENSMUSP00000102748.2 pep:known chromosome:GRCm38:4:89274541:89294653:-1 gene:ENSMUSG00000044303.6 transcript:ENSMUST00000107131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn2a description:cyclin-dependent kinase inhibitor 2A [Source:MGI Symbol;Acc:MGI:104738] MGRRFLVTVRIQRAGRPLQERVFLVKFVRSRRPRTASCALAFVNMLLRLERILRRGPHRN PGPGDDDGQRSRSSSSAQLRCRFELRGPHYLLPPGARRSAGRLPGHAGGAARVRGSAGCA RCLGSPAARLGPRAGTSRHRAIFAFRWVLFVFRWVVFVYRWERRPDRRA >ENSMUSP00000026537.5 pep:known chromosome:GRCm38:7:140125657:140134334:1 gene:ENSMUSG00000025464.14 transcript:ENSMUST00000026537.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paox description:polyamine oxidase (exo-N4-amino) [Source:MGI Symbol;Acc:MGI:1916983] MAFPGPRVLVVGSGIAGLGAAQKLCSHRAAPHLRVLEATASAGGRIRSERCFGGVVELGA HWIHGPSQDNPVFQLAAEFGLLGEKELSEENQLVDTGGHVALPSMIWSSSGTSVSLELMT EMARLFYGLIERTREFLNESETPMASVGEFLKKEISQQVASWTEDDEDTRKRKLAILNTF FNIECCVSGTHSMDLVALAPFGEYTVLPGLDCILAGGYQGLTDRILASLPKDTVAFDKPV KTIHWNGSFQEAAFPGETFPVLVECEDGARLPAHHVIVTVPLGFLKEHQDTFFEPPLPAK KAEAIKKLGFGTNNKIFLEFEEPFWEPDCQFIQVVWEDTSPLQDTALSLQDTWFKKLIGF LVQPSFESSHVLCGFIAGLESEFMETLSDEEVLLSLTQVLRRVTGNPQLPAAKSVRRSQW HSAPYTRGSYSYVAVGSTGDDLDLMAQPLPEDGTGTQLQVLFAGEATHRTFYSTTHGALL SGWREADRLVSLWDSQVEQSRPRL >ENSMUSP00000095580.3 pep:known chromosome:GRCm38:7:140125708:140134334:1 gene:ENSMUSG00000025464.14 transcript:ENSMUST00000097967.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paox description:polyamine oxidase (exo-N4-amino) [Source:MGI Symbol;Acc:MGI:1916983] MAFPGPRVLVVGSGIAGLGAAQKLCSHRAAPHLRVLEATASAGGRIRSERCFGFLKEHQD TFFEPPLPAKKAEAIKKLGFGTNNKIFLEFEEPFWEPDCQFIQVVWEDTSPLQDTALSLQ DTWFKKLIGFLVQPSFESSHVLCGFIAGLESEFMETLSDEEVLLSLTQVLRRVTGNPQLP AAKSVRRSQWHSAPYTRGSYSYVAVGSTGDDLDLMAQPLPEDGTGTQLQVLFAGEATHRT FYSTTHGALLSGWREADRLVSLWDSQVEQSRPRL >ENSMUSP00000077459.6 pep:known chromosome:GRCm38:8:68356781:68735146:-1 gene:ENSMUSG00000036356.14 transcript:ENSMUST00000078350.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csgalnact1 description:chondroitin sulfate N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:2442354] MVRRGLLGWISRVVILLVLLCCAISVLYMLACTPKGDQEQLGLPRANGPTGKDGYQAVLQ EREEQHRNYVNSLKRQIAQLKDELQARSEQFRSGQDQASDATSLRSGWDREPKAQADLLA FLRGQVDKAEVHAGVKLATEYAAVPFDSFTLQKVYQLETGLTRHPEEKPVRKDKRDELVE AIESALESLNSPVESSPHQRPYTAADFIEGIYRTERDKGTLYELTFKGDHKHEFQRLVLF RPFGPIMKVKKEKLNLANTLINVIVPLARRVDKFRHFMQNFREMCIQQDGRVHLTVVYFG KEEMNEVKGILENTSKAANFRNFTFIQLNGEFSRGKGLDVGARFWKGSNVLLFFCDVDIY FTSEFLNTCRLNTQPGKKVFYPVLFSQYNPGVIYGHHDAVPPLGQQLVIKKETGFWRDFG FGMTCQYRSDFINIGGFDLDIKGWGGEDVHLYRKYLHSNLIVVRTPVRGLFHLWHEKHCM DELTPEQYKMCMQSKAMNEASHGQLGMLVFRHEIEAHLRKQKQKASSKKT >ENSMUSP00000119817.1 pep:known chromosome:GRCm38:8:68357967:68735114:-1 gene:ENSMUSG00000036356.14 transcript:ENSMUST00000130214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csgalnact1 description:chondroitin sulfate N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:2442354] MVRRGLLGWISRVVILLVLLCCAISVLYMLACTPKGDQEQLGLPRANGPTGKDGYQAVLQ EREEQHRNYVNSLKRQIAQLKDELQARSEQFRSGQDQASDATSLRSGWDREPKAQADLLA FLRGQVDKAEVHAGVKLATEYAAVPFDSFTLQKVYQLETGLTRHPEEKPVRKDKRDELVE AIESALESLNSPVESSPHQRPYTAADFIEGIYRTERDKGTLYELTFKGDHKHEFQRLVLF RPFGPIMKVKKEKLNLANTLINVIVPLARRVDKFRHFMQNFREMCIQQDGRVHLTVVYFG KEEMNEVKGILENTSKAANFRNFTFIQLNGEFSRGKGLDVGARFWKGSNVLLFFCDVDIY FTSEFLNTCRLNTQPGKKVFYPVLFSQYNPGVIYGHHDAVPPLGQQLVIKKETGFWRDFG FGMTCQYRSDFINIGGFDLDIKGWGGEDVHLYRKYLHSNLIVVRTPVRGLFHLWHEKHCM DELTPEQYKMCMQSKAMNEASHGQLGMLVFRHEIEAHLRKQKQKASSKKT >ENSMUSP00000116134.1 pep:known chromosome:GRCm38:8:68388643:68735102:-1 gene:ENSMUSG00000036356.14 transcript:ENSMUST00000136060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csgalnact1 description:chondroitin sulfate N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:2442354] MVRRGLLGWISRVVILLVLLCCAISVLYMLACTPKGDQEQLGLPRANGPTGKDGYQAVLQ EREEQHRNYVNSLKRQIAQLKDELQARSEQFRSGQDQASDATSLRSGWDREPKAQADLLA FLRGQVDKAEVHAGVKLATEYAAVPFDSFTLQKVYQLETGLTRHPEEKPVRKDKRDELVE AIESALESLNSPVESSPHQRPYTAADFIEGIYRTERDKGTLYELTFKGDHKHEFQRLVLF RPFGPIMKVKKEKLNLANTLINVIVPLARRVDKFRHFMQNFREMCIQQDGRVHLTVVYFG >ENSMUSP00000065397.4 pep:known chromosome:GRCm38:X:136200948:136203876:-1 gene:ENSMUSG00000054034.10 transcript:ENSMUST00000066819.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal5 description:transcription elongation factor A (SII)-like 5 [Source:MGI Symbol;Acc:MGI:3036236] MEKFYKENEGKPENKGRAEDEGSTEEGGKADEDKSDAEGKPARQGKLEVEGGPGEQAQQK GEGKPEKQGKSDGEGKRQGESKPDSQAKSASEARAAEKRPAEDYVPRKAKRKTDRGTDDS PKNSQEDLQDRHVSSEEMMRECADMTRAQEELRKRQKMGGFHWVPRDAQDALVPRGQRGV RGVRGGGGRGQKDLEDAPFV >ENSMUSP00000118630.1 pep:known chromosome:GRCm38:X:136201618:136203637:-1 gene:ENSMUSG00000054034.10 transcript:ENSMUST00000151592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal5 description:transcription elongation factor A (SII)-like 5 [Source:MGI Symbol;Acc:MGI:3036236] MEKFYKENEGKPENKGRAEDEGSTEEGGKADEDKSDAEGKPARQGKLEVEGGPGEQA >ENSMUSP00000114653.1 pep:known chromosome:GRCm38:X:136201650:136203732:-1 gene:ENSMUSG00000054034.10 transcript:ENSMUST00000131510.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal5 description:transcription elongation factor A (SII)-like 5 [Source:MGI Symbol;Acc:MGI:3036236] MEKFYKENEGKPENKGRAEDEGSTEEGGKADEDKSDAEGKPARQGK >ENSMUSP00000139211.1 pep:known chromosome:GRCm38:2:181357829:181365342:-1 gene:ENSMUSG00000038671.15 transcript:ENSMUST00000185118.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arfrp1 description:ADP-ribosylation factor related protein 1 [Source:MGI Symbol;Acc:MGI:1923938] MYTLLSGLYKYMFQKDEYCILILGLDNAGKTTFLEQSKTRFNKNYKGMSLSKITTTVGLN IGTVDVGKARLMFWDLGGQEELQSLWDKYYAECHGVIYVIDSTDEERLSESKEAFDLPLH S >ENSMUSP00000122066.1 pep:known chromosome:GRCm38:2:181357833:181365404:-1 gene:ENSMUSG00000038671.15 transcript:ENSMUST00000127988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfrp1 description:ADP-ribosylation factor related protein 1 [Source:MGI Symbol;Acc:MGI:1923938] MYTLLSGLYKYMFQKDEYCILILGLDNAGKTTFLEQSKTRFNKNYKGMSLSKITTTVGLN IGTVDVGKARLMFWDLGGQEELQSLWDKYYAECHGVIYVIDSTDEERLSESKEAFEKVVS SEALDGVPILVLANKQDVETCLSIPDIKTAFSDCTCKIGRRDCLTQACSALTGKGVREGI EWMVKCVVRNVHRPPRQRDIT >ENSMUSP00000104436.1 pep:known chromosome:GRCm38:2:181359100:181365351:-1 gene:ENSMUSG00000038671.15 transcript:ENSMUST00000108808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfrp1 description:ADP-ribosylation factor related protein 1 [Source:MGI Symbol;Acc:MGI:1923938] MYTLLSGLYKYMFQKDEYCILILGLDNAGKTTFLEQSKTRFNKNYKGMSLSKITTTVGLN IGTVDVGKARLMFWDLGGQEELQSLWDKYYAECHGVIYVIDSTDEERLSESKEAFEKVVS SEALDGVPILVLANKQDVETCLSIPDIKTAFSDCTCKIGRRDCLTQACSALTGKGVREGI EWMVKCVVRNVHRPPRQRDIT >ENSMUSP00000138941.1 pep:known chromosome:GRCm38:2:181359715:181365338:-1 gene:ENSMUSG00000038671.15 transcript:ENSMUST00000183499.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arfrp1 description:ADP-ribosylation factor related protein 1 [Source:MGI Symbol;Acc:MGI:1923938] MYTLLSGLYKYMFQKDEYCILILGLDNAGKTTFLEQSKTRFNKNYKGMSLSKITTTVGLN IP >ENSMUSP00000126387.1 pep:known chromosome:GRCm38:2:181357690:181365404:-1 gene:ENSMUSG00000038671.15 transcript:ENSMUST00000170190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfrp1 description:ADP-ribosylation factor related protein 1 [Source:MGI Symbol;Acc:MGI:1923938] MSLSKITTTVGLNIGTVDVGKARLMFWDLGGQEELQSLWDKYYAECHGVIYVIDSTDEER LSESKEAFEKVVSSEALDGVPILVLANKQDVETCLSIPDIKTAFSDCTCKIGRRDCLTQA CSALTGKGVREGIEWMVKCVVRNVHRPPRQRDIT >ENSMUSP00000124930.1 pep:known chromosome:GRCm38:14:79212353:79247296:-1 gene:ENSMUSG00000071262.9 transcript:ENSMUST00000161649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp957 description:zinc finger protein 957 [Source:MGI Symbol;Acc:MGI:2145729] MNKQKKQKQQPPPPPQPPQIPESSADEEPKPKKRRQRRERRKPGARPRKRKTKQAVPIVD PQEPEIKLKYATQPLGKTNAKTKSFPPYIHAVNKGELGAVCTIVNAEEDEEQIKLVRSRK GQRSLTPPYSNTGSKVLPASSFMLQGPVVTESSVVEPVVCCLCGKGAGYGNMGDLFGPFY PQNYPATLPKNPPPKRSTEMQSKVKVRHKSASKGSKTDTEEEEQQQQQKEQRSPAAHPRF NLRQRSKDSAGGPRSLSRGRPRKNVASKGNSKETVLDTKPSVPASSKGGPERGGGPRSLS RGRPRKNVASKGNSKETVLDTKPSVPTTSKGGPEREWSSPERGGGPRSLSRGRPRKNAAA KGSSKKTVLDTKLSVPTTSKGTNSSKTDTQEQQQQKEQRSLAAHPRFNLRQRSKDSAGGP RSLSRGRPRKNVAPKGNSKETVLDTKPSVPTTSKGGPEWELQIPERPLPSNEFWVHEDCI LWANGTYLVYGRLYGLLEALENARDVTCSHCQKAGATLGCYNKGCTFRYHYPCAIDADCL LNEENFSVRCPKHKVRLQRREGGDGQT >ENSMUSP00000039470.4 pep:known chromosome:GRCm38:14:79212355:79223876:-1 gene:ENSMUSG00000071262.9 transcript:ENSMUST00000040802.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp957 description:zinc finger protein 957 [Source:MGI Symbol;Acc:MGI:2145729] MNKQKKQKQQPPPPPQPPQIPESSADEEPKPKKRRQRRERRKPGARPRKRKTKQAVPIVD PQEPEIKLKYATQPLGKTNAKTKSFPPYIHAVNKGELGAVCTIVNAEEDEEQIKLVRSRK GQRSLTPPYSNTGSKVLPASSFMLQGPVVTESSVVEPVVCCLCGKGAGYGNMGDLFGPFY PQNYPATLPKNPPPKRSTEMQSKVKVRHKSASKGSKTDTEEEEQQQQQKEQRSPAAHPRF NLRQRSKDSAGGPRSLSRGRPRKNVASKGNSKETVLDTKPSVPASSKGGPERGGGPRSLS RGRPRKNVASKGNSKETVLDTKPSVPTTSKGGPEREWSSPERGGGPRSLSRGRPRKNAAA KGSSKKTVLDTKLSVPTTSKGTNSSKTDTQEQQQQKEQRSLAAHPRFNLRQRSKDSAGGP RSLSRGRPRKNVAPKGNSKETVLDTKPSVPTTSKGGPEWELQIPERPLPSNEFWVHEDCI LWANGTYLVYGRLYGLLEALENARDVTCSHCQKAGATLGCYNKGCTFRYHYPCAIDADCL LNEENFSVRCPKHKVRLQRREGGDGQT >ENSMUSP00000133059.1 pep:known chromosome:GRCm38:7:41032719:41045302:1 gene:ENSMUSG00000048312.7 transcript:ENSMUST00000164422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4884 description:predicted gene 4884 [Source:MGI Symbol;Acc:MGI:3649090] MSENLQSSPFFGTESTLHPSLPLLSNSKQPAGTVCNFSRVSTPDVSSAWLLPSASSTSLQ PLMVVQMETSLGLPPSGQTYCQLQSPELCNTCVQVSQIRPPAVNGDKALTAPIHSPSQFL ALPPAPSLEQPENKTMPEIKEGTKENQDTPVLTLEHPDLQQPLHCTDTESLRQKPDSDNA HLGCICMGPKELVGLENEIGSSFDFKDITRFGADIQLPQLLNTLTDIDQDQSCENWRVTS GLFDQVRENKHKSFELLEGAPQAKFQHWDLVEGEGAVGVAGASDRAIDNMAKHPEGKAPK GPPSKNRRARKQEQERPSGPENKSKKTQELKQSRNRVKAEKEPSIPKTKRKRNPPELSQN SFKKPRTNLAMHMLESVQVFHPLGKKSEKKTGISSFRGLRTFTSNKDSGPGSVTTTVLNM PCESQGPPKSPGKVQSAESSVGKDCLSPSQYELPPAGKVKLVPLPFPTLDKPQSRPASRK PLSLALRRPTAVYPVQHHSHSVQPTTLRPAQPPPASSSLIASAKPAPPISSSATGPNVTN PNQSSAVPQLATSRPVPYRASSHTSFQRELVSAARNKVPSPPRPVPYRASSNTSFQRELV SAARNKVPSPPKPQTQYLLHDFSRQPIPWKKVDILGPVVSQPITKEQRPEREAMKRRSQQ ERENAVKNPSTGKLQIFLQRERDMEISQYYGYAM >ENSMUSP00000058142.5 pep:known chromosome:GRCm38:1:70725715:70885397:1 gene:ENSMUSG00000045648.15 transcript:ENSMUST00000053922.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwc2l description:von Willebrand factor C domain-containing protein 2-like [Source:MGI Symbol;Acc:MGI:2444069] MALHIHEACILLLVIPGLVTSAAISHEDYPADEGDQASSNDNLIFDDYRGKGCVDDSGFV YKLGERFFPGHSNCPCVCALDGPVCDQPECPKIHPKCTKVEHNGCCPECKEVKNFCEYHG KNYKILEEFKPSPCEWCRCEPSNEVHCVVADCAVPECVNPIYEPEQCCPVCKNGPNCFAG TTIIPAGIEVKVDDCNICHCHNGDWWKPAQCSKRECQGKQTV >ENSMUSP00000125014.1 pep:known chromosome:GRCm38:1:70725902:70885382:1 gene:ENSMUSG00000045648.15 transcript:ENSMUST00000161937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwc2l description:von Willebrand factor C domain-containing protein 2-like [Source:MGI Symbol;Acc:MGI:2444069] MALHIHEACILLLVIPGLVTSAAISHEDYPADEGDQASSNDNLIFDDYRGKGCVDDSGFV YKLGERFFPGHSNCPCVCALDGPVCDQPECPKIHPKCTKVEHNGCCPECKEVKNFCEYHG KNYKILEEFKVQTALQELQ >ENSMUSP00000123819.1 pep:known chromosome:GRCm38:1:70725923:70882489:1 gene:ENSMUSG00000045648.15 transcript:ENSMUST00000162182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwc2l description:von Willebrand factor C domain-containing protein 2-like [Source:MGI Symbol;Acc:MGI:2444069] MALHIHEACILLLVIPGLVTSAAISHEDYPADEDGPVCDQPECPKIHPKCTKVEHNGCCP ECKEVKNFCEYHGKNYKILEEFKPSPCEWCRCEPSNEVHCVVADCAVPECVNPIYEPEQC CPVCKNGPNCFAGTTIIPAGIEVKVDDCNICHCHNGDWWKPAQCSKRECQGKQTV >ENSMUSP00000071270.1 pep:known chromosome:GRCm38:9:98856494:98857374:1 gene:ENSMUSG00000063058.2 transcript:ENSMUST00000071302.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr23a2 description:proline rich 23A, member 2 [Source:MGI Symbol;Acc:MGI:3645937] MLRTRPRSPCVDPAPCWSPQTSAPSPAKRRRLHQEPACPEPLAQPELEAPAEPTTSVVFL AAGSALQLPLDGIDLLLEPEPTSVLQVSLQGHTILLVPEGLMTQPQPGQPGFVAISPQGA AAQDGPQDHLVGLQEETCEYFYQEDVCNEDADLEFLEPWARPPDDQANGSFFSIPGVPSP LLQEQVPGPSTGAERYSPRSIWELDSYLLGPLPGSPLQPLPPSPSQSPQEQLPPCPPCSP RAPCKARKRLVYD >ENSMUSP00000127022.1 pep:known chromosome:GRCm38:7:48478619:48499321:-1 gene:ENSMUSG00000074109.4 transcript:ENSMUST00000098433.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprx2 description:MAS-related GPR, member X2 [Source:MGI Symbol;Acc:MGI:3588270] MEERNISGRDLRVDSNITYWGTNITAVNESNHTGMSFCEVVSCTMVFLSLIVALVGLVGN ATVLWFLGFQMRRNAFSVYILNLAGADFLFICFQIGYCFHMILDIDSIPIEIDLFYLVVL NFPYFCGLSILSAISIERCLSVMWPIWYHCQRPRHTSAVICTLLWVLSLVCSLLEGKECG FLYYTSDPGWCKTFDLITATWLIVLFVALLGSSLALVITIFWGLHKIPVTRLYVAIVFTV LVFLLFGLPYGIYWFLLVWIEKFYYVLPCSIYPVTVFLSCVNSSAKPIIYCLVGSIRHHR FQRKTLKLFLQRAMQDTPEEEECGEMGSSGRSREIKTIWKGLRAALIRHKEL >ENSMUSP00000140945.1 pep:known chromosome:GRCm38:7:48480626:48496125:-1 gene:ENSMUSG00000074109.4 transcript:ENSMUST00000186394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprx2 description:MAS-related GPR, member X2 [Source:MGI Symbol;Acc:MGI:3588270] MSFCEVVSCTMVFLSLIVALVGLVGNATVLWFLGFQMRRNAFSVYILNLAGSIRHHRFQR KTLKLFLQRAMQDTPEEEECGEMGSSGRSREIKTIWKGLRAALIRHKEL >ENSMUSP00000071163.2 pep:known chromosome:GRCm38:4:140700541:140723220:1 gene:ENSMUSG00000040945.13 transcript:ENSMUST00000071169.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcc2 description:regulator of chromosome condensation 2 [Source:MGI Symbol;Acc:MGI:1919784] MPRKKGAAWEEPSSGNGTARAGPRRRGGPAGRKRERPERCSSSSGGGSSGDEDGPELDGA PGGGKRTARPATAGKAAGAAAIITEPEHTKERVKLEGSKCKGQLLIFGATNWDLIGRKEV PKQQAAYRNLGQNLWGPHRYGCLSGVRVRTVVSGSCAAHSLLITTEGKLWSWGRNEKGQL GHGDTKRVEAPRLIEALSHEAIVLAACGRNHTLALTDTGSVFAFGENKMGQLGLGNQTDA VPSPAQIMYNGQPITKMACGAEFSMLMDCKGNLYSFGCPEYGQLGHNSDGKFIARAQRIE YDCELVPRRVAIFIEKTKDGQILPVPNVVVRDVACGANHTLVLDSQKRVFSWGFGGYGRL GHAEQKDEMVPRLVKLFDFPGRGATQIYAGYTCSFAVSEVGGLFFWGATNTSRESTMYPK AVQDLCGWRIRSLACGKSSIIVAADESTISWGPSPTFGELGYGDHKPKSSTAAQEVKTLD GIFSEQVAMGYSHSLVIARDESEAEKEKLQRLPEYTPRTL >ENSMUSP00000117448.1 pep:known chromosome:GRCm38:4:140701473:140710470:1 gene:ENSMUSG00000040945.13 transcript:ENSMUST00000138808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcc2 description:regulator of chromosome condensation 2 [Source:MGI Symbol;Acc:MGI:1919784] MPRKKGAAWEEPSSGNGTARAGPRRRGGPAGRKRERPERCSSSSGGGSSGDEDGPELDGA PGGGKRTARPATAGKAAGAAAIITEPEHTKERVKLEGSKCKGQLLIFGATNWDLIGRKEV PKQQAAYRNLGQNLWGPHRYGCLSGVRVRTVVSGSCAAHSLLITTEGKLW >ENSMUSP00000038144.5 pep:known chromosome:GRCm38:4:140701473:140723220:1 gene:ENSMUSG00000040945.13 transcript:ENSMUST00000038893.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcc2 description:regulator of chromosome condensation 2 [Source:MGI Symbol;Acc:MGI:1919784] MPRKKGAAWEEPSSGNGTARAGPRRRGGPAGRKRERPERCSSSSGGGSSGDEDGPELDGA PGGGKRTARPATAGKAAGAAAIITEPEHTKERVKLEGSKCKGQLLIFGATNWDLIGRKEV PKQQAAYRNLGQNLWGPHRYGCLSGVRVRTVVSGSCAAHSLLITTEGKLWSWGRNEKGQL GHGDTKRVEAPRLIEALSHEAIVLAACGRNHTLALTDTGSVFAFGENKMGQLGLGNQTDA VPSPAQIMYNGQPITKMACGAEFSMLMDCKGNLYSFGCPEYGQLGHNSDGKFIARAQRIE YDCELVPRRVAIFIEKTKDGQILPVPNVVVRDVACGANHTLVLDSQKRVFSWGFGGYGRL GHAEQKDEMVPRLVKLFDFPGRGATQIYAGYTCSFAVSEVGGLFFWGATNTSRESTMYPK AVQDLCGWRIRSLACGKSSIIVAADESTISWGPSPTFGELGYGDHKPKSSTAAQEVKTLD GIFSEQVAMGYSHSLVIARDESEAEKEKLQRLPEYTPRTL >ENSMUSP00000132436.1 pep:known chromosome:GRCm38:14:33967070:33978764:-1 gene:ENSMUSG00000044519.8 transcript:ENSMUST00000166737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp488 description:zinc finger protein 488 [Source:MGI Symbol;Acc:MGI:2686052] MAAGTSTLLSLSGPADHMAEGKGAPLRPSVEKRWKLMEPKQTQAGMFKKMSLVDSDTAAG KGSQDEAYTELSLPTAPNKPRLDRPRACKAYTEQRHNTFTELSCLQERPGDIQAQTRKLE NPEGQLGPQQLPSSFLRASGDGTVCSAWPGAPRSEQKSAFSKPAKRPAEKPKRSPMLLAG GSAEGSWELSGLITTVDIPYWAHLSTFKFMGDFWKLHTLSQNILLCNAFQGAPTPWLEHT QVQAPTSSAPSSTASRALLPPTLSSLGLSTQNWCAKCNLAFRLTADLVFHMRSHHKREHV GPDPHSKKRREEVLTCPVCHEYFRERHHLSRHMASHS >ENSMUSP00000130716.1 pep:known chromosome:GRCm38:9:98864767:98866583:1 gene:ENSMUSG00000090470.2 transcript:ENSMUST00000167951.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr23a3 description:proline rich 23A, member 3 [Source:MGI Symbol;Acc:MGI:1919229] MLRTRPRSPSADPAPCWSPQTPAPSPAKRRRLHQEPACPEPLAQPELEAPAEPTTSVVFL AAGSALQLPLDGVDLLLEPEPTSVLQVSLQGHTILLVPEGLQDSTHFGQPGFVAISPQGA AAQDGPQDHLVGLQEETFCEYFYQEDVCDEDADLEFLEHWASPPDDQANGNFSSIPGVPS PLSQDQVPGPSTGAEQYSPRFIWELDINMLGPFPGSPLQPLPPSPSRNPQEQLPPCPPCS PRAPRRARKRLVYE >ENSMUSP00000142411.1 pep:known chromosome:GRCm38:3:142560026:142561935:1 gene:ENSMUSG00000028268.14 transcript:ENSMUST00000199325.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp3 description:guanylate binding protein 3 [Source:MGI Symbol;Acc:MGI:1926263] MEAPICLVENWKNQLTVNLEAIRILEQIAQPLVVVAIVGLYRTGKSYLMNRLAGRNH >ENSMUSP00000101829.2 pep:known chromosome:GRCm38:3:142560052:142573202:1 gene:ENSMUSG00000028268.14 transcript:ENSMUST00000106222.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp3 description:guanylate binding protein 3 [Source:MGI Symbol;Acc:MGI:1926263] MEAPICLVENWKNQLTVNLEAIRILEQIAQPLVVVAIVGLYRTGKSYLMNRLAGRNHGFS LGSTVQSETKGIWMWCVPHPTKPTHTLVLLDTEGLGDVEKGDPKNDSWIFALAVLLSSTF VYNSMSTINQQALEQLHFVTELTQLIRAKSSPREDKVKDSSEFVGFFPDFIWAVRDFALE LKLNGRPITEDEYLENALKLIQGDNLKVQQSNMTRECIRYFFPVRKCFVFDRPTSDKRLL LQIENVPENQLERNFQVESEKFCSYIFTNGKTKTLRGGVIVTGNRLGTLVQTYVNAINSG TVPCLENAVTTLAQRENSIAVQKAADHYSEQMAQRMRLPTDTLQELLTVHAACEKEAIAV FMEHSFKDDEQEFQKKLVVTIEERKEEFIRQNEAASIRHCQAELERLSESLRKSISCGAF SVPGGHSLYLEARKKIELGYQQVLRKGVKAKEVLKSFLQSQAIMEDSILQSDKALTDGER AIAAERTKKEVAEKELELLRQRQKEQEQVMEAQERSFRENIAKLQEKMESEKEMLLREQE KMLEHKLKVQEELLIEGFREKSDMLKNEISHLREEMERTRRKPSLFGQILDTIGNAFIMI LPGAGKLFGVGLKFLGSLSS >ENSMUSP00000117991.1 pep:known chromosome:GRCm38:3:142560143:142564183:1 gene:ENSMUSG00000028268.14 transcript:ENSMUST00000128609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp3 description:guanylate binding protein 3 [Source:MGI Symbol;Acc:MGI:1926263] MEAPICLVENWKNQLTVNLEAIRILEQIAQPLVVVAIVGLYRTGKSYLMNRLAGRNHGFS LGSTVQSETKGIWMWCVPHPTKP >ENSMUSP00000029935.7 pep:known chromosome:GRCm38:3:142560145:142573209:1 gene:ENSMUSG00000028268.14 transcript:ENSMUST00000029935.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp3 description:guanylate binding protein 3 [Source:MGI Symbol;Acc:MGI:1926263] MEAPICLVENWKNQLTVNLEAIRILEQIAQPLVVVAIVGLYRTGKSYLMNRLAGRNHGFS LGSTVQSETKGIWMWCVPHPTKPTHTLVLLDTEGLGDVEKGDPKNDSWIFALAVLLSSTF VYNSMSTINQQALEQLHFVTELTQLIRAKSSPREDKVKDSSEFVGFFPDFIWAVRDFALE LKLNGRPITEDEYLENALKLIQGDNLKVQQSNMTRECIRYFFPVRKCFVFDRPTSDKRLL LQIENVPENQLERNFQVESEKFCSYIFTNGKTKTLRGGVIVTGNRLGTLVQTYVNAINSG TVPCLENAVTTLAQRENSIAVQKAADHYSEQMAQRMRLPTDTLQELLTVHAACEKEAIAV FMEHSFKDDEQEFQKKLVVTIEERKEEFIRQNEAASIRHCQAELERLSESLRKSISCGAF SVPGGHSLYLEARKKIELGYQQVLRKGVKAKEVLKSFLQSQAIMEDSILQSDKALTDGER AIAAERTKKEVAEKELELLRQRQKEQEQVMEAQERSFRENIAKLQEKMESEKEMLLREQE KMLEHKLKVQEELLIEGFREKSDMLKNEISHLREEMERTRRKPSLFGQILDTIGNAFIMI LPGAGKLFGVGLKFLGSLSS >ENSMUSP00000101828.1 pep:known chromosome:GRCm38:3:142560411:142573207:1 gene:ENSMUSG00000028268.14 transcript:ENSMUST00000106221.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp3 description:guanylate binding protein 3 [Source:MGI Symbol;Acc:MGI:1926263] MEAPICLVENWKNQLTVNLEAIRILEQIAQPLVVVAIVGLYRTGKSYLMNRLAGRNHGFS LGSTVQSETKGIWMWCVPHPTKPTHTLVLLDTEGLGDVEKGDPKNDSWIFALAVLLSSTF VYNSMSTINQQALEQLHFVTELTQLIRAKSSPREDKVKDSSEFVGFFPDFIWAVRDFALE LKLNGRPITEDEYLENALKLIQGDNLKVQQSNMTRECIRYFFPVRKCFVFDRPTSDKRLL LQIENVPENQLERNFQVESEKFCSYIFTNGKTKTLRGGVIVTGNRLGTLVQTYVNAINSG TVPCLENAVTTLAQRENSIAVQKAADHYSEQMAQRMRLPTDTLQELLTVHAACEKEAIAV FMEHSFKDDEQEFQKKLVVTIEERKEEFIRQNEAASIRHCQAELERLSESLRKSISCGAF SVPGGHSLYLEARKKIELGYQQVLRKGVKAKEVLKSFLQSQAIMEDSILQSDKALTDGER AIAAERTKKEVAEKELELLRQRQKEQEQVMEAQERSFRENIAKLQEKMESEKEMLLREQE KMLEHKLKVQEELLIEGFREKSDMLKNEISHLREEMERTRRKPSLFGQILDTIGNAFIMI LPGAGKLFGVGLKFLGSLSS >ENSMUSP00000120131.1 pep:known chromosome:GRCm38:3:142561234:142565054:1 gene:ENSMUSG00000028268.14 transcript:ENSMUST00000142060.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp3 description:guanylate binding protein 3 [Source:MGI Symbol;Acc:MGI:1926263] MEAPICLVENWKNQLTVNLEAIRILEQIAQPLVVVAIVGLYRTGKSYLMNRLAGRNHGFS LGSTVQSETKGIWMWCVPHPTKPTHTLVLLDTEGLGDVEKGDPKNDSWIFALAVLLSSTF VYNSMSTINQQALEQL >ENSMUSP00000119057.2 pep:known chromosome:GRCm38:2:24789928:24919614:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000147147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] MAAADAEQAVLAKQETKQDCCMKTELLREDTPMAADEGSTEKQEGETPMAADGETNGSCE KSGDPSHLNAPKHTQENTRASPQEGTNRVSRVAENGVSERDTEVGKQNHVTADDFMQTSV IGSNGYFLNKPALQGQPLRTPNILTSSLPGHAAKTLPGGASKCRTLSALPQTPTTAPTVP GEGSADTEDRKPTASGTDVRVHRARKTMPKSILGLHAASKDHREVQDHKEPKEDINRNIS ECGRQQLLPTFPALHQSLPQNQCYMATTKSQTACLPFVLAAAVSRKKKRRMGTYSLVPKK KTKVLKQRTVIEMFKSITHSTVGAKGEKALDDSALHVNGESLEMDSEDEDSDELEDDEDH GAEQAAAFPTEDSRTSKESMSETDRAAKMDGDSEEEQESPDTGEDEDGGDESDLSSESSI KKKFLKRRGKTDSPWIKPARKRRRRSRKKPSSMLGSEACKSSPGSMEQAALGDSAGYMEV SLDSLDLRVRGILSSQTENEGLASGPDVLGTDGLQEVPLCSCRMETPKSREISTLANNQC MATESVDHELGRCTNSVVKYELMRPSNKAPLLVLCEDHRGRMVKHQCCPGCGYFCTAGNF MECQPESSISHRFHKDCASRVNNASYCPHCGEEASKAKEVTIAKADTTSTVTLAPGQEKS LAAEGRADTTTGSIAGAPEDERSQSTAPQAPECFDPAGPAGLVRPTSGLSQGPGKETLES ALIALDSEKPKKLRFHPKQLYFSARQGELQKVLLMLVDGIDPNFKMEHQSKRSPLHAAAE AGHVDICHMLVQAGANIDTCSEDQRTPLMEAAENNHLDAVKYLIKAGAQVDPKDAEGSTC LHLAAKKGHYDVVQYLLSNGQMDVNCQDDGGWTPMIWATEYKHVELVKLLLSKGSDINIR DNEENICLHWAAFSGCVDIAEILLAAKCDLHAVNIHGDSPLHIAARENRYDCVVLFLSRD SDVTLKNKEGETPLQCASLSSQVWSALQMSKALRDSAPDKPVAVEKTVSRDIARGYERIP IPCVNAVDSELCPTNYKYVSQNCVTSPMNIDRNITHLQYCVCVDDCSSSTCMCGQLSMRC WYDKDGRLLPEFNMAEPPLIFECNHACSCWRNCRNRVVQNGLRARLQLYRTQDMGWGVRS LQDIPLGTFVCEYVGELISDSEADVREEDSYLFDLDNKDGEVYCIDARFYGNVSRFINHH CEPNLVPVRVFMSHQDLRFPRIAFFSTRLIQAGEQLGFDYGERFWDVKGKLFSCRCGSSK CRHSSAALAQRQASAAQEPQENGLPDTSSAAAADPL >ENSMUSP00000141940.2 pep:known chromosome:GRCm38:2:24791143:24806355:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000134775.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] XLHWAAFSGCVDIAEILLAAKCDLHAVNIHGDSPLHIAARENRYDCVVLFLSRDSDVTLK NKEGETPLQCASLSSQVWSALQMSKALRDSAPDKPVAVEKTGYRSRV >ENSMUSP00000046077.5 pep:known chromosome:GRCm38:2:24791143:24919574:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000046227.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] MAAADAEQAVLAKQETKQDCCMKTELLREDTPMAADEGSTEKQEGETPMAADGETNGSCE KSGDPSHLNAPKHTQENTRASPQEGTNRVSRVAENGVSERDTEVGKQNHVTADDFMQTSV IGSNGYFLNKPALQGQPLRTPNILTSSLPGHAAKTLPGGASKCRTLSALPQTPTTAPTVP GEGSADTEDRKPTASGTDVRVHRARKTMPKSILGLHAASKDHREVQDHKEPKEDINRNIS ECGRQQLLPTFPALHQSLPQNQCYMATTKSQTACLPFVLAAAVSRKKKRRMGTYSLVPKK KTKVLKQRTVIEMFKSITHSTVGAKGEKALDDSALHVNGESLEMDSEDEDSDELEDDEDH GAEQAAAFPTEDSRTSKESMSETDRAAKMDGDSEEEQESPDTGEDEDGGDESDLSSESSI KKKFLKRRGKTDSPWIKPARKRRRRSRKKPSSMLGSEACKSSPGSMEQAALGDSAGYMEV SLDSLDLRVRGILSSQTENEGLASGPDVLGTDGLQEVPLCSCRMETPKSREISTLANNQC MATESVDHEGNFMECQPESSISHRFHKDCASRVNNASYCPHCGEEASKAKEVTIAKADTT STVTLAPGQEKSLAAEGRADTTTGSIAGAPEDERSQSTAPQAPECFDPAGPAGLVRPTSG LSQGPGKETLESALIALDSEKPKKLRFHPKQLYFSARQGELQKVLLMLVDGIDPNFKMEH QSKRSPLHAAAEAGHVDICHMLVQAGANIDTCSEDQRTPLMEAAENNHLDAVKYLIKAGA QVDPKDAEGSTCLHLAAKKGHYDVVQYLLSNGQMDVNCQDDGGWTPMIWATEYKHVELVK LLLSKGSDINIRDNEENICLHWAAFSGCVDIAEILLAAKCDLHAVNIHGDSPLHIAAREN RYDCVVLFLSRDSDVTLKNKEGETPLQCASLSSQVWSALQMSKALRDSAPDKPVAVEKTV SRDIARGYERIPIPCVNAVDSELCPTNYKYVSQNCVTSPMNIDRNITHLQYCVCVDDCSS STCMCGQLSMRCWYDKDGRLLPEFNMAEPPLIFECNHACSCWRNCRNRVVQNGLRARLQL YRTQDMGWGVRSLQDIPLGTFVCEYVGELISDSEADVREEDSYLFDLDNKDGEVYCIDAR FYGNVSRFINHHCEPNLVPVRVFMSHQDLRFPRIAFFSTRLIQAGEQLGFDYGERFWDVK GKLFSCRCGSSKCRHSSAALAQRQASAAQEPQENGLPDTSSAAAADPL >ENSMUSP00000110075.2 pep:known chromosome:GRCm38:2:24791143:24919574:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000114432.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] MAAADAEQAVLAKQETKQDCCMKTELLREDTPMAADEGSTEKQEGETPMAADGETNGSCE KSGDPSHLNAPKHTQENTRASPQEGTNRVSRVAENGVSERDTEVGKQNHVTADDFMQTSV IGSNGYFLNKPALQGQPLRTPNILTSSLPGHAAKTLPGGASKCRTLSALPQTPTTAPTVP GEGSADTEDRKPTASGTDVRVHRARKTMPKSILGLHAASKDHREVQDHKEPKEDINRNIS ECGRQQLLPTFPALHQSLPQNQCYMATTKSQTAAAVSRKKKRRMGTYSLVPKKKTKVLKQ RTVIEMFKSITHSTVGAKGEKALDDSALHVNGESLEMDSEDEDSDELEDDEDHGAEQAAA FPTEDSRTSKESMSETDRAAKMDGDSEEEQESPDTGEDEDGGDESDLSSESSIKKKFLKR RGKTDSPWIKPARKRRRRSRKKPSSMLGLASGPDVLGTDGLQEVPLCSCRMETPKSREIS TLANNQCMATESVDHELGRCTNSVVKYELMRPSNKAPLLVLCEDHRGRMVKHQCCPGCGY FCTAGNFMECQPESSISHRFHKDCASRVNNASYCPHCGEEASKAKEVTIAKADTTSTVTL APGQEKSLAAEGRADTTTGSIAGAPEDERSQSTAPQAPECFDPAGPAGLVRPTSGLSQGP GKETLESALIALDSEKPKKLRFHPKQLYFSARQGELQKVLLMLVDGIDPNFKMEHQSKRS PLHAAAEAGHVDICHMLVQAGANIDTCSEDQRTPLMEAAENNHLDAVKYLIKAGAQVDPK DAEGSTCLHLAAKKGHYDVVQYLLSNGQMDVNCQDDGGWTPMIWATEYKHVELVKLLLSK GSDINIRDNEENICLHWAAFSGCVDIAEILLAAKCDLHAVNIHGDSPLHIAARENRYDCV VLFLSRDSDVTLKNKEGETPLQCASLSSQVWSALQMSKALRDSAPDKPVAVEKTVSRDIA RGYERIPIPCVNAVDSELCPTNYKYVSQNCVTSPMNIDRNITHLQYCVCVDDCSSSTCMC GQLSMRCWYDKDGRLLPEFNMAEPPLIFECNHACSCWRNCRNRVVQNGLRARLQLYRTQD MGWGVRSLQDIPLGTFVCEYVGELISDSEADVREEDSYLFDLDNKDGEVYCIDARFYGNV SRFINHHCEPNLVPVRVFMSHQDLRFPRIAFFSTRLIQAGEQLGFDYGERFWDVKGKLFS CRCGSSKCRHSSAALAQRQASAAQEPQENGLPDTSSAAAADPL >ENSMUSP00000100002.4 pep:known chromosome:GRCm38:2:24791157:24919591:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000102938.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] MAAADAEQAVLAKQETKQDCCMKTELLREDTPMAADEGSTEKQEGETPMAADGETNGSCE KSGDPSHLNAPKHTQENTRASPQEGTNRVSRVAENGVSERDTEVGKQNHVTADDFMQTSV IGSNGYFLNKPALQGQPLRTPNILTSSLPGHAAKTLPGGASKCRTLSALPQTPTTAPTVP GEGSADTEDRKPTASGTDVRVHRARKTMPKSILGLHAASKDHREVQDHKEPKEDINRNIS ECGRQQLLPTFPALHQSLPQNQCYMATTKSQTAAAVSRKKKRRMGTYSLVPKKKTKVLKQ RTVIEMFKSITHSTVGAKGEKALDDSALHVNGESLEMDSEDEDSDELEDDEDHGAEQAAA FPTEDSRTSKESMSETDRAAKMDGDSEEEQESPDTGEDEDGGDESDLSSESSIKKKFLKR RGKTDSPWIKPARKRRRRSRKKPSSMLGSEACKSSPGSMEQAALGDSAGYMEVSLDSLDL RVRGILSSQTENEGLASGPDVLGTDGLQEVPLCSCRMETPKSREISTLANNQCMATESVD HELGRCTNSVVKYELMRPSNKAPLLVLCEDHRGRMVKHQCCPGCGYFCTAGNFMECQPES SISHRFHKDCASRVNNASYCPHCGEEASKAKEVTIAKADTTSTVTLAPGQEKSLAAEGRA DTTTGSIAGAPEDERSQSTAPQAPECFDPAGPAGLVRPTSGLSQGPGKETLESALIALDS EKPKKLRFHPKQLYFSARQGELQKVLLMLVDGIDPNFKMEHQSKRSPLHAAAEAGHVDIC HMLVQAGANIDTCSEDQRTPLMEAAENNHLDAVKYLIKAGAQVDPKDAEGSTCLHLAAKK GHYDVVQYLLSNGQMDVNCQDDGGWTPMIWATEYKHVELVKLLLSKGSDINIRDNEENIC LHWAAFSGCVDIAEILLAAKCDLHAVNIHGDSPLHIAARENRYDCVVLFLSRDSDVTLKN KEGETPLQCASLSSQVWSALQMSKALRDSAPDKPVAVEKTVSRDIARGYERIPIPCVNAV DSELCPTNYKYVSQNCVTSPMNIDRNITHLQYCVCVDDCSSSTCMCGQLSMRCWYDKDGR LLPEFNMAEPPLIFECNHACSCWRNCRNRVVQNGLRARLQLYRTQDMGWGVRSLQDIPLG TFVCEYVGELISDSEADVREEDSYLFDLDNKDGEVYCIDARFYGNVSRFINHHCEPNLVP VRVFMSHQDLRFPRIAFFSTRLIQAGEQLGFDYGERFWDVKGKLFSCRCGSSKCRHSSAA LAQRQASAAQEPQENGLPDTSSAAAADPL >ENSMUSP00000088906.5 pep:known chromosome:GRCm38:2:24791215:24919587:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000091348.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] MAAADAEAVLAKQETKQDCCMKTELLREDTPMAADEGSTEKQEGETPMAADGETNGSCEK SGDPSHLNAPKHTQENTRASPQEGTNRVSRVAENGVSERDTEVGKQNHVTADDFMQTSVI GSNGYFLNKPALQGQPLRTPNILTSSLPGHAAKTLPGGASKCRTLSALPQTPTTAPTVPG EGSADTEDRKPTASGTDVRVHRARKTMPKSILGLHAASKDHREVQDHKEPKEDINRNISE CGRQQLLPTFPALHQSLPQNQCYMATTKSQTAAAVSRKKKRRMGTYSLVPKKKTKVLKQR TVIEMFKSITHSTVGAKGEKALDDSALHVNGESLEMDSEDEDSDELEDDEDHGAEQAAAF PTEDSRTSKESMSETDRAAKMDGDSEEEQESPDTGEDEDGGDESDLSSESSIKKKFLKRR GKTDSPWIKPARKRRRRSRKKPSSMLGSEACKSSPGSMEQAALGDSAGYMEVSLDSLDLR VRGILSSQTENEGLASGPDVLGTDGLQEVPLCSCRMETPKSREISTLANNQCMATESVDH ELGRCTNSVVKYELMRPSNKAPLLVLCEDHRGRMVKHQCCPGCGYFCTAGNFMECQPESS ISHRFHKDCASRVNNASYCPHCGEEASKAKEVTIAKADTTSTVTLAPGQEKSLAAEGRAD TTTGSIAGAPEDERSQSTAPQAPECFDPAGPAGLVRPTSGLSQGPGKETLESALIALDSE KPKKLRFHPKQLYFSARQGELQKVLLMLVDGIDPNFKMEHQSKRSPLHAAAEAGHVDICH MLVQAGANIDTCSEDQRTPLMEAAENNHLDAVKYLIKAGAQVDPKDAEGSTCLHLAAKKG HYDVVQYLLSNGQMDVNCQDDGGWTPMIWATEYKHVELVKLLLSKGSDINIRDNEENICL HWAAFSGCVDIAEILLAAKCDLHAVNIHGDSPLHIAARENRYDCVVLFLSRDSDVTLKNK EGETPLQCASLSSQVWSALQMSKALRDSAPDKPVAVEKTVSRDIARGYERIPIPCVNAVD SELCPTNYKYVSQNCVTSPMNIDRNITHLQYCVCVDDCSSSTCMCGQLSMRCWYDKDGRL LPEFNMAEPPLIFECNHACSCWRNCRNRVVQNGLRARLQLYRTQDMGWGVRSLQDIPLGT FVCEYVGELISDSEADVREEDSYLFDLDNKDGEVYCIDARFYGNVSRFINHHCEPNLVPV RVFMSHQDLRFPRIAFFSTRLIQAGEQLGFDYGERFWDVKGKLFSCRCGSSKCRHSSAAL AQRQASAAQEPQENGLPDTSSAAAADPL >ENSMUSP00000141460.1 pep:known chromosome:GRCm38:2:24806270:24839591:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000152636.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] LAPGQEKSLAAEGRADTTTGSIAGAPEDERSQSTAPQAPECFDPAGPAGLVRPTSGLSQG PGKETLESALIALDSEKPKKLRFHPKQLYFSARQGELQKVLLMLVDGIDPNFKMEHQSKR SPLHAAAEAGHVDICHMLVQAGANIDTCSEDQRTPLMEAAENNHLDAVKYLIKAGAQVDP KVPTQSGRRGLHMFAFGCQERPL >ENSMUSP00000142861.1 pep:known chromosome:GRCm38:2:24823321:24919590:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000200655.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] MAAADAEIHLWLLMKVPQRNKKERLPWLQMEKQMGLVKRVGIPAI >ENSMUSP00000141912.1 pep:known chromosome:GRCm38:2:24824965:24852732:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000150836.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] XEVSLDSLDLRVRGILSSQTENEG >ENSMUSP00000146832.1 pep:known chromosome:GRCm38:2:24847995:24863923:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000207383.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] XPFVLAAAVSRKKKRRMGTYSLVPKKKTKVLKQRTVIEMFKSITHSTVGAKGEKALDDSA LHVNGESLEMDSEDEDSDELEDDEDHGAEQAAAFPTEDSRTSKESMSETDRAAKSSESSI KKKFLKRRGKTDSPWIKPARKRRRRSRKKPSSMLGSEACKSSPGSMEQAALGDSAGYMEV SLDSLDLRVRGILSSQTENEGLASGPDVLGTDGLQEVPLCSCRMETPKSREI >ENSMUSP00000123387.1 pep:known chromosome:GRCm38:2:24848027:24877516:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000152325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] XALHQSLPQNQCYMATTKSQTACLPFVLAAAVSRKKKRRMGTYSLVPKKKTKVLKQRTVI EMFKSITHSTVGAKGEKALDDSALHVNGESLEMDSEDEDSDELEDDEDHGAEQAAAFPTE DSRTSKESMSETDRAAKMDGDSEEEQESPDTGEDEDGGDESDLSSESSIKKKFLKRRGKT DSPWIKPARKRRRRSRKKPSSMLGLASGPDVLGTDGLQEVPLCS >ENSMUSP00000143189.1 pep:known chromosome:GRCm38:2:24863227:24884418:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000198923.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] GYFLNKPALQGQPLRTPNILTSSLPGHAAKTLPGGASKCRTLSALPQTPTTAPTVPGEGS ADTEDRKPTASGTDVRVHRARKTMPKSILGLHAASKDHREVQDHKEPKEDINRNISECGR QQLLPTFPALHQSLPQNQCYMATTKSQTAAVSRKKKRRMGTYSLVPKKKTKVLKQRTVIE MFKSITHSTVGAKGEKALDDSALHVNGESLEMDSEDEDSDELEDDEDHGAEQAAAFPTED SRTSKESMSETDRAA >ENSMUSP00000119854.1 pep:known chromosome:GRCm38:2:24863296:24919607:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000152161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] MAAADAEAVLAKQETKQDCCMKTELLREDTPMAADEGSTEKQEGETPMAADGETNGSCEK SGDPSHLNAPKHTQENTRASPQEGTNRVSRVAENGVSERDTEVGKQNHVTADDFMQTSVI GSNGYFLNKPALQGQPLRTPNILTSSLPGHAAKTLPGGASKCRTLSALPQTPTTAPTVPG EGSADTEDRKPTASGTDVRVHRARKTMPKSILGLHAASKDHREVQDHKEPKEDINRNISE CGRQQLLPTFPALHQSLPQNQCYMATTKSQTACLPFVLAAAVSRKKKRRMGTYSLVPKKK TKVLKQRTVIEMFKSITHSTVGAKGEKALDDSALHVNGESLEMDSEDEDSDELEDDEDHG AE >ENSMUSP00000123187.1 pep:known chromosome:GRCm38:2:24863893:24919598:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000150379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] MAAADAEQAVLAKQETKQDCCMKTELLREGKDTPMAADEGSTEKQEGETPMAADGETNGS CEKSGDPSHLNAPKHTQENTRASPQEGTNRVSRVAENGVSERDTEVGKQNHVTADDFMQT SVIGSNGYFLNKPALQGQPLRTPNILTSSLPGHAAKTLPGGASKCRTLSALPQTPTTAPT VPGEGSADTEDRKPTASGTDVRVHRARKTMPKSILGLHAASKDHREVQDHKEPKEDINRN ISECGRQQLLPTFPALHQSLPQNQCYMATTKSQTACLPFVLAAAVSR >ENSMUSP00000110061.3 pep:known chromosome:GRCm38:2:24790795:24891703:-1 gene:ENSMUSG00000036893.17 transcript:ENSMUST00000114418.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehmt1 description:euchromatic histone methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924933] MVAGMAEQAVLAKQETKQDCCMKTELLREDTPMAADEGSTEKQEGETPMAADGETNGSCE KSGDPSHLNAPKHTQENTRASPQEGTNRVSRVAENGVSERDTEVGKQNHVTADDFMQTSV IGSNGYFLNKPALQGQPLRTPNILTSSLPGHAAKTLPGGASKCRTLSALPQTPTTAPTVP GEGSADTEDRKPTASGTDVRVHRARKTMPKSILGLHAASKDHREVQDHKEPKEDINRNIS ECGRQQLLPTFPALHQSLPQNQCYMATTKSQTACLPFVLAAAVSRKKKRRMGTYSLVPKK KTKVLKQRTVIEMFKSITHSTVGAKGEKALDDSALHVNGESLEMDSEDEDSDELEDDEDH GAEQAAAFPTEDSRTSKESMSETDRAAKMDGDSEEEQESPDTGEDEDGGDESDLSSESSI KKKFLKRRGKTDSPWIKPARKRRRRSRKKPSSMLGSEACKSSPGSMEQAALGDSAGYMEV SLDSLDLRVRGILSSQTENEGLASGPDVLGTDGLQEVPLCSCRMETPKSREISTLANNQC MATESVDHEGNFMECQPESSISHRFHKDCASRVNNASYCPHCGEEASKAKEVTIAKADTT STVTLAPGQEKSLAAEGRADTTTGSIAGAPEDERSQSTAPQAPECFDPAGPAGLVRPTSG LSQGPGKETLESALIALDSEKPKKLRFHPKQLYFSARQGELQKVLLMLVDGIDPNFKMEH QSKRSPLHAAAEAGHVDICHMLVQAGANIDTCSEDQRTPLMEAAENNHLDAVKYLIKAGA QVDPKDAEGSTCLHLAAKKGHYDVVQYLLSNGQMDVNCQDDGGWTPMIWATEYKHVELVK LLLSKGSDINIRDNEENICLHWAAFSGCVDIAEILLAAKCDLHAVNIHGDSPLHIAAREN RYDCVVLFLSRDSDVTLKNKEGETPLQCASLSSQVWSALQMSKALRDSAPDKPVAVEKTV SRDIARGYERIPIPCVNAVDSELCPTNYKYVSQNCVTSPMNIDRNITHLQYCVCVDDCSS STCMCGQLSMRCWYDKDGRLLPEFNMAEPPLIFECNHACSCWRNCRNRVVQNGLRARLQL YRTQDMGWGVRSLQDIPLGTFVCEYVGELISDSEADVREEDSYLFDLDNKDGEVYCIDAR FYGNVSRFINHHCEPNLVPVRVFMSHQDLRFPRIAFFSTRLIQAGEQLGFDYGERFWDVK GKLFSCRCGSSKCRHSSAALAQRQASAAQEPQENGLPDTSSAAAADPL >ENSMUSP00000125623.1 pep:known chromosome:GRCm38:1:143750790:143777068:-1 gene:ENSMUSG00000018199.8 transcript:ENSMUST00000159879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trove2 description:TROVE domain family, member 2 [Source:MGI Symbol;Acc:MGI:106652] MEGSANQLQPLSETQVVNSEGGCVWQVTDMNRLRRFLCFGSEGGTYYIKEQKLGLENAEA LIRLIEDGRGCEVIQEIKSFSQEGRTAKQEPLLFALAVCSQCADINTKQAAFKAVPEVCR IPTHLFTFIQFKKDLKESMKCGMWGRALRKAVADWYNEKGGMAVALVVTKYKQRNGWSHK DLLRLSHLKPSSEGLAIVTKYITKGWKEVHEEYKEKALSVEAEKLLKYLEAVEKVKRTKD DLEVIHLIEEHQLVREHLLTNHLKSKEVWKALLQEMPLTALLRNLGKMTANSVLEPGNSE VSLICEKLSNEKLLKKARIHPFHVLIALETYRAGHGLRGKLKWIPDKDILQALDAAFYTT FKTVEPTGKRFLLAVDVSASMNQRALGSVLNASTVAAAMCMVVTRTEKESSVVAFACDMV PFPVTTDMTLQQVLTAMNKVPAGNTDCSLPMIWAQKTDTAADVFVVFTDNETFAGQVHPA VALREYRKKMDIPAKLIVCGMTSNGFTIADPDDRGMLDMCGFDTAALDVIRNFTLDVI >ENSMUSP00000057623.3 pep:known chromosome:GRCm38:3:96601149:96626171:1 gene:ENSMUSG00000049288.4 transcript:ENSMUST00000062058.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lix1l description:Lix1-like [Source:MGI Symbol;Acc:MGI:3036267] METMRAQRLQPGVGVGGRGTLRALRPGVTGAPTSAATPPVGPPPAPPPPAPPPPPLLLAG APGLPLPPGAAGSPAVLREAVEAVVRSFAKHTQGYGRVNVVEALQEFWQMKQSRGADLKN GALVVYEMVPSNSPPYVCYVTLPGGSCFGSFQFCPTKAEARRSAAKIALMNSVFNEHPSR RITDEFIEKSVSEALASFNGNREEADNPNTGIGAFRFMLESNKGKSMLEFQELMTVFQLL HWNGSLKAMRERQCSRQEVLAHYSHRALDDDIRHQMALDWVSREQSVPGALSRELASTER ELDEARLAGKELRFHKEKKDILMLAAGQLGNMHSSSC >ENSMUSP00000140542.1 pep:known chromosome:GRCm38:Y:50608251:50634461:1 gene:ENSMUSG00000102122.1 transcript:ENSMUST00000185245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20883 description:predicted gene, 20883 [Source:MGI Symbol;Acc:MGI:5434239] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000051754.8 pep:known chromosome:GRCm38:8:21776599:21795185:1 gene:ENSMUSG00000044748.8 transcript:ENSMUST00000051017.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb1 description:defensin beta 1 [Source:MGI Symbol;Acc:MGI:1096878] MKTHYFLLVMICFLFSQMEPGVGILTSLGRRTDQYKCLQHGGFCLRSSCPSNTKLQGTCK PDKPNCCKS >ENSMUSP00000063461.6 pep:known chromosome:GRCm38:2:92452764:92459794:-1 gene:ENSMUSG00000049922.8 transcript:ENSMUST00000067631.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c1 description:solute carrier family 35, member C1 [Source:MGI Symbol;Acc:MGI:2443301] MNRAPLKRSRILRMALTGVSAVSEESESGNKPFLLRALQIALVVSLYWVTSISMVFLNKY LLDSPSLQLDTPIFVTFYQCLVTSLLCKGLSTLATCCPGMVDFPTLNLDLKVARSVLPLS VVFIGMITFNNLCLKYVGVPFYNVGRSLTTVFNVLLSYLLLKQTTSFYALLTCGVIIGGF WLGIDQEGAEGTLSLTGTIFGVLASLCVSLNAIYTKKVLPAVDHSIWRLTFYNNVNACVL FLPLMIVLGELRALLAFTHLSSAHFWLMMTLGGLFGFAIGYVTGLQIKFTSPLTHNVSGT AKACAQTVLAVLYYEEIKSFLWWTSNLMVLGGSSAYTWVRGWEMQKTQEDPSSKDGEKSA IRV >ENSMUSP00000119271.2 pep:known chromosome:GRCm38:2:92452767:92460538:-1 gene:ENSMUSG00000049922.8 transcript:ENSMUST00000125276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c1 description:solute carrier family 35, member C1 [Source:MGI Symbol;Acc:MGI:2443301] MALTGVSAVSEESESGNKPFLLRALQIALVVSLYWVTSISMVFLNKYLLDSPSLQLDTPI FVTFYQCLVTSLLCKGLSTLATCCPGMVDFPTLNLDLKVARSVLPLSVVFIGMITFNNLC LKYVGVPFYNVGRSLTTVFNVLLSYLLLKQTTSFYALLTCGVIIGGFWLGIDQEGAEGTL SLTGTIFGVLASLCVSLNAIYTKKVLPAVDHSIWRLTFYNNVNACVLFLPLMIVLGELRA LLAFTHLSSAHFWLMMTLGGLFGFAIGYVTGLQIKFTSPLTHNVSGTAKACAQTVLAVLY YEEIKSFLWWTSNLMVLGGSSAYTWVRGWEMQKTQEDPSSKDGEKSAIRV >ENSMUSP00000137748.1 pep:known chromosome:GRCm38:2:92458686:92459709:-1 gene:ENSMUSG00000049922.8 transcript:ENSMUST00000136718.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c1 description:solute carrier family 35, member C1 [Source:MGI Symbol;Acc:MGI:2443301] MALTGVSAVSEESESGNKPFLLRALQIALVVSLYWVTSISMVFLNKYLLDSPSLQLDTPI FVTFYQCLVTSLLCKGLSTLATCCPGMVDFPTLNLDLKVARSVLPLSVVFIGMITFNNLC LKYVGVPFYNVGRSLTTVFNVLLSYLLLKQTTSFYALL >ENSMUSP00000139357.1 pep:known chromosome:GRCm38:7:140920902:140929192:1 gene:ENSMUSG00000038745.15 transcript:ENSMUST00000183845.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp6 description:NLR family, pyrin domain containing 6 [Source:MGI Symbol;Acc:MGI:2141990] MDAAGASCSSVDAVARELLMATLEELSQEQLKRFRHKLRDAPLDGRSIPWGRLERSDAVD LVDKLIEFYEPVPAVEMTRQVLKRSDIRDVASRLKQQQLQKLGPTSVLLSVSAFKKKYRE HVLRQHAKVKERNARSVKINKRFTKLLIAPGTGAVEDELLGPLGEPEPERARRSDTHTFN RLFRGNDEESSQPLTVVLQGPAGIGKTMAAKKILYDWAAGKLYHSQVDFAFFMPCGELLE RPGKRSLADLVLDQCPDRAWPVKRILAQPNRLLFILDGADELPTLPSSEATPCKDPLEAT SGLRVLSGLLSQELLPGARLLVTTRHAATGRLQGRLCSPQCAEIRGFSDKDKKKYFFKFF RDERKAERAYRFVKENETLFALCFVPFVCWIVCTVLQQQLELGRDLSRTSKTTTSVYLLF ITSMLKSAGTNGPRVQGELRTLCRLAREGILDHHKAQFSEEDLEKLKLRGSQVQTIFLNK KEIPGVLKTEVTYQFIDQSFQEFLAALSYLLEAERTPGTPAGGVQKLLNSDAELRGHLAL TTRFLFGLLNTEGLRDIGNHFGCVVPDHVKKDTLRWVQGQSHPKGPPVGAKKTAELEDIE DAEEEEEEEEDLNFGLELLYCLYETQEEDFVRQALSSLPEIVLERVRLTRMDLEVLNYCV QCCPDGQALRLVSCGLVAAKEKKKKKKSLVKRLKGSQSTKKQPPVSLLRPLCETMTTPKC HLSVLILSHCRLPDAVCRDLSEALKVAPALRELGLLQSRLTNTGLRLLCEGLAWPKCQVK TLRMQLPDLQEVINYLVIVLQQSPVLTTLDLSGCQLPGVIVEPLCAALKHPKCSLKTLSL TSVELSENSLRDLQAVKTSKPDLSIIYSK >ENSMUSP00000139170.1 pep:known chromosome:GRCm38:7:140921071:140927549:1 gene:ENSMUSG00000038745.15 transcript:ENSMUST00000184560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp6 description:NLR family, pyrin domain containing 6 [Source:MGI Symbol;Acc:MGI:2141990] MYCPGAPCGECAMCDPGCFGGSGTGPIQVASVMITLLIPSVDAVARELLMATLEELSQEQ LKRFRHKLRDAPLDGRSIPWGRLERSDAVDLVDKLIEFYEPVPAVEMTRQVLKRSDIRDV ASRLKQQQLQKLGPTSVLLSVSAFKKKYREHVLRQHAKVKERNARSVKINKRFTKLLIAP GTGAVEDELLGPLGEPEPERARRSDTHTFNRLFRGNDEESSQPLTVVLQGPAGIGKTMAA KKILYDWAAGKLYHSQVDFAFFMPCGELLERPGKRSLADLVLDQCPDRAWPVKRILAQPN RLLFILDGADELPTLPSSEATPCKDPLEATSGLRVLSGLLSQELLPGARLLVTTRHAATG RLQGRLCSPQCAEIRGFSDKDKKKYFFKFFRDERKAERAYRFVKENETLFALCFVPFVCW IVCTVLQQQLELGRDLSRTSKTTTSVYLLFITSMLKSAGTNGPRVQGELRTLCRLAREGI LDHHKAQFSEEDLEKLKLRGSQVQTIFLNKKEIPGVLKTEVTYQFIDQSFQEFLAALSYL LEAERTPGTPAGGVQKLLNSDAELRGHLALTTRFLFGLLNTEGLRDIGNHFGCVVPDHVK KDTLRWVQGQSHPKGPPVGAKKTAELEDIEDAEEEEEEEEDLNFGLELLYCLYETQEEDF VRQALSSLPEIVLERVRLTRMDLEVLNYCVQCCPDGQALRLVSCGLVAAKEKKKKKKSLV KRLKGSQSTKKQPPVSLLRPLCETMTTPKCHLSVLILSHCRLPDAVCRDLSEALKVAPAL RELGLLQSRLTNTGLRLLCEGLAWPKCQVKTLRMQLPDLQEVINYLVIVLQQSPVLTTLD LSGCQLPGVIVEPLCAALKHPKCSLKTLSLTSVELSENSLRDLQAVKTSKPDLSIIYSK >ENSMUSP00000101660.1 pep:known chromosome:GRCm38:7:140920902:140928540:1 gene:ENSMUSG00000038745.15 transcript:ENSMUST00000106045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp6 description:NLR family, pyrin domain containing 6 [Source:MGI Symbol;Acc:MGI:2141990] MDAAGASCSSVDAVARELLMATLEELSQEQLKRFRHKLRDAPLDGRSIPWGRLERSDAVD LVDKLIEFYEPVPAVEMTRQVLKRSDIRDVASRLKQQQLQKLGPTSVLLSVSAFKKKYRE HVLRQHAKVKERNARSVKINKRFTKLLIAPGTGAVEDELLGPLGEPEPERARRSDTHTFN RLFRGNDEESSQPLTVVLQGPAGIGKTMAAKKILYDWAAGKLYHSQVDFAFFMPCGELLE RPGKRSLADLVLDQCPDRAWPVKRILAQPNRLLFILDGADELPTLPSSEATPCKDPLEAT SGLRVLSGLLSQELLPGARLLVTTRHAATGRLQGRLCSPQCAEIRGFSDKDKKKYFFKFF RDERKAERAYRFVKENETLFALCFVPFVCWIVCTVLQQQLELGRDLSRTSKTTTSVYLLF ITSMLKSAGTNGPRVQGELRTLCRLAREGILDHHKAQFSEEDLEKLKLRGSQVQTIFLNK KEIPGVLKTEVTYQFIDQSFQEFLAALSYLLEAERTPGTPAGGVQKLLNSDAELRGHLAL TTRFLFGLLNTEGLRDIGNHFGCVVPDHVKKDTLRWVQGQSHPKGPPVGAKKTAELEDIE DAEEEEEEEEDLNFGLELLYCLYETQEEDFVRQALSSLPEIVLERVRLTRMDLEVLNYCV QCCPDGQALRLVSCGLVAAKEKKKKKKSLVKRLKGSVTGTNLVLSLYSSQSTKKQPPVSL LRPLCETMTTPKCHLSVLILSHCRLPDAVCRDLSEALKVAPALRELGLLQSRLTNTGLRL LCEGLAWPKCQVKTLRMQLPDLQEVINYLVIVLQQSPVLTTLDLSGCQLPGVIVEPLCAA LKHPKCSLKTLSLTSVELSENSLRDLQAVKTSKPDLSIIYSK >ENSMUSP00000098678.4 pep:known chromosome:GRCm38:6:99711299:99726392:-1 gene:ENSMUSG00000030069.15 transcript:ENSMUST00000101120.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prok2 description:prokineticin 2 [Source:MGI Symbol;Acc:MGI:1354178] MGDPRCAPLLLLLLLPLLFTPPAGDAAVITGACDKDSQCGGGMCCAVSIWVKSIRICTPM GQVGDSCHPLTRKVPFWGRRMHHTCPCLPGLACLRTSFNRFICLARK >ENSMUSP00000032152.8 pep:known chromosome:GRCm38:6:99711350:99726353:-1 gene:ENSMUSG00000030069.15 transcript:ENSMUST00000032152.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prok2 description:prokineticin 2 [Source:MGI Symbol;Acc:MGI:1354178] MGDPRCAPLLLLLLLPLLFTPPAGDAAVITGACDKDSQCGGGMCCAVSIWVKSIRICTPM GQVGDSCHPLTRKSHVANGRQERRRAKRRKRKKEVPFWGRRMHHTCPCLPGLACLRTSFN RFICLARK >ENSMUSP00000008273.6 pep:known chromosome:GRCm38:6:99712020:99726383:-1 gene:ENSMUSG00000030069.15 transcript:ENSMUST00000008273.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prok2 description:prokineticin 2 [Source:MGI Symbol;Acc:MGI:1354178] MGDPRCAPLLLLLLLPLLFTPPAGDAAVITGVPFWGRRMHHTCPCLPGLACLRTSFNRFI CLARK >ENSMUSP00000144760.1 pep:known chromosome:GRCm38:6:99722105:99726392:-1 gene:ENSMUSG00000030069.15 transcript:ENSMUST00000203738.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prok2 description:prokineticin 2 [Source:MGI Symbol;Acc:MGI:1354178] MGDPRCAPLLLLLLLPLLFTPPAGDAAVITGACDKDSQCGGGMCCAVSIWVKSIRICTPM GQVGDSCHPLTRKVSVCTGILGVPSH >ENSMUSP00000050314.5 pep:known chromosome:GRCm38:2:122279247:122298165:-1 gene:ENSMUSG00000068452.7 transcript:ENSMUST00000053734.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duox2 description:dual oxidase 2 [Source:MGI Symbol;Acc:MGI:3036280] MLPTSPKTLVLLGALLTGPLGPAGGQDAPSLPWEVQRYDGWFNNLKYHQRGAAGSRLRRL IPANYADGVYQALEEPLLPNPRRLSDAVAKGKAGLPSVHNRTVLGVFFGYHVLSDLVSVE TPGCPAEFLNIYIPRGDPVFDPDKRGNVVLPFQRSRWDHNTGQSPSNPRDQSNQVTGWLD GSAIYGSSHSWSDTLRSFSGGQLASGPDPAFPRNSQSSLLMWMAPDPSTGRGGPQGVYAF GAQRGNREPFLQALGLLWFRYHNLCARKLAQEHPHWGDEELFQHARKRVIATYQNIALYQ WLPSFLQKTPPEYSGYRPFMDPSISPEFVVASEQFLSTMVPPGVYMRNSSCHFRKFPKEG SDSSPALRVCNSYWIRENPNLKTAQDVDQLLLGMASQISELEDRIVIEDLRDYWPGPERF SRTDYVASSIQRGRDMGLPSYSQALLALGLEPPKNWSALNPQVEPQVLEATAALYNQDLS QLELLLGGLLESHGDPGPLFSNIILDQFVRLRDGDRYWFENTRNGLFSKEEIAEIRNTTL RDVLVAVSNVDPSALQPNVFFWQEGAPCPQPRQLTTDGLPQCAPVTVIDYFEGSGAGYGV TLVAVCCFPLVSLIVAGVVAHFRNREHKMLLKKGKESLKKQPASDGVPAMEWPGPKEKSY PVTLQLLPDRSLQVLDKRFTVLRTIQLQSPQQVNLILSSNSGRRTLLLKIPKEYDLVLMF NSEEDRGAFVRLLQDLCICCTPGLHIAEVDEKELLRKAVTKQQRAGILEIFFRQLFAQVL DINQADAGTLPLDSSQQVREALTCELSRAEFADSLGLKPQDMFVESMFSLADKDGNGYIS FREFLDILVVFMKGSSEDKSRLMFTMYDLDGNGFLSKDEFFTMMRSFIEISNNCLSKAQL AEVVESMFRESGFQDKEELTWEDFHFMLRDHDSDLRFTQLCVKGGAGGTKDIFKQSSACR VSFINRTPGNRVMGPSPRLYTEALQEKKQSGFLAQKFKQYKRFVENYRRHIVCVTIFSAI CIGLFADRAYYYGFASPPTDIEETTYVGIILSRGTAASISFMFSYILLTMCRNLITFLRE TFLNRYIPFDAAVDFHRWIAMAAVVLAVLHSAGHAVNVYIFSVSPLSLMACVFPNVFVND GSKFPPKYYWWFFETVPGMTGVLLLLVLAIMYVFASHHFRRHSFRGFWLTHHLYVVLYVL IIIHGSYALIQLPSFHIYFLVPAIIYGGDKLVSLSRKKVEISVVKAELLPSGVTYLQFQR PKTFEYKSGQWVRIACLDLGTNEYHPFTLTSAPHEDTLSLHIRAVGPWTTRLREIYSPPV GGTCARYPKLYLDGPFGEGHQEWHKFEVSVLVGGGIGVTPFASILKDLVFKSSMGSQMLC KKIYFIWVTRTQRQFEWLADIIREVEENDCQDLVSVHIYITQLAEKFDLRTTMLYICERH FQKALNRSLFTGLRSITHFGRPPFELFFNSLQEVHPQVRKIGVFSCGPPGMTKNVEKACQ LINRQDRAHFVHHYENF >ENSMUSP00000103557.1 pep:known chromosome:GRCm38:3:58576636:58593133:1 gene:ENSMUSG00000075700.9 transcript:ENSMUST00000107924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selt description:selenoprotein T [Source:MGI Symbol;Acc:MGI:1916477] MRLLLLLLVAASAVVRSEASANLGGVPSKRLKMQYATGPLLKFQICVSUGYRRVFEEYMR VISQRYPDIRIEGENYLPQPIYRHIASFLSVFKLVLIGLIIVGKDPFAFFGMQAPSIWQW GQENKVYACMMVFFLSNMIENQCMSTGAFEITLNDVPVWSKLESGHLPSMQQLVQILDNE MKLNVHMDSIPHHRS >ENSMUSP00000001412.8 pep:known chromosome:GRCm38:6:3498382:3603531:1 gene:ENSMUSG00000001376.17 transcript:ENSMUST00000001412.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps50 description:VPS50 EARP/GARPII complex subunit [Source:MGI Symbol;Acc:MGI:1920538] MQKIKSLMTRQGLKSPPESLNDLGAFESLRVPGKEEFRELREQPSDPQAEQELINSIEQV YFSADPFDIVKYELEKLPPVLNLQELEEYRDKLKQQQSAVSKKVADLILEKQPAYVKELE RVTSLQTGLQLAAVICTNGRRHLNIAKEGFTQASLGLLANQRKRQLLIGLLKSLRTIKTL QRTDIRLSEMLEEEDYPGAIQLCLECQKAASTFKHYSCISELNSKLQDTLEQIEEQLDVA LSKICKNFDINHYTKVQQAYRLLGKTQTAMDQLHMHFTQAIHNTVFQVVLGYVELCAGNT DTKFQKLQYKDLCTHVTPDSYIPCLADLCKALWEVMLSYYRTMEWHEKHDNEETAAAAEG SNVMSTEEATFDRGYVKKKLEHGLTRIWQDVQLKVKTYLLGTDLSIFKYDDFIFVLDIVS RLMQVGEEFCGSKSEVLQESIRKQSVNYFKNHHRIRLDELRMFLENETWELCPVKSNFSI LQLHEFKFLEQSRSPSVSPSKQPSATSSKPVTLFEQYCSGGNPFEIQADHKDEETEDVLA SNGYESDEQEKSAYQDYDSDSDVPEELKRDYVDEQTGDVPVKSVSRETLKSRKKSDYSLN KVNAPILTNTTLNVIRLVGKYMQMMNILKPIAFDVIHFMSQLFDYYLYAIYTFFGRNDSL ESTGLGLSSSRLKTTLNRIQESLIDLEGSADPTATLTAAEERKEKVPSPHLNQLVILTSG DTLYGLAERVVATESLVFLAEQFEFLQPHLDAVMPAVKKPFLQQFYSQTVSTASELRKPI YWIVAGKAIDYEQMLLLMMNVKWDVKEIMSQHNIYVDALLKEFEQFNKRLNEVSKRVRIP LPVSNILWEHCIRLANRTIVEGYANVKKCSNEGRALMQLDFQQFLMKLEKLTDIRPIPDK EFVETYIKAYYLTENDMERWIKEHREYSTKQLTNLVNVCLGSHINKKARQKLLAAIDEID RPKR >ENSMUSP00000128323.1 pep:known chromosome:GRCm38:6:3498404:3601110:1 gene:ENSMUSG00000001376.17 transcript:ENSMUST00000170873.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps50 description:VPS50 EARP/GARPII complex subunit [Source:MGI Symbol;Acc:MGI:1920538] MQKIKSLMTRQGLKSPPESLNDLGAFESLRVPGKEEFRELREQPSDPQAEQELINSIEQV YFSADPFDIVKYELEKLPPVLNLQELEEYRDKLKQQQSAVSKKVADLILEKQPAYVKELE RVTSLQTGLQLAAVICTNGRRHLNIAKEGFTQASLGLLANQRKRQLLIGLLKSLRTIKTL QRTDIRLSEMLEEEDYPGAIQLCLECQKAASTFKHYSCISELNSKLQDTLEQIEEQLDVA LSKICKNFDINHYTKVQQAYRLLGKTQTAMDQLHMHFTQAIHNTVFQVVLGYVELCAGNT DTKFQKLQYKDLCTHVTPDSYIPCLADLCKALWEVMLSYYRTMEWHEKHDNEETAAAAEG SNVMSTEEATFDRGYVKKKLEHGLTRIWQDVQLKVKTYLLGTDLSIFKYDDFIFVLDIVS RLMQVGEEFCGSKSEVLQESIRKQSVNYFKNHHRIRLDELRMFLENETWELCPVKSNFSI LQLHEFKFLEQSRSPSVSPSKQPSATSSKPVTLFEQYCSGGNPFEIQADHKDEETEDVLA SNGYESDEQEKSAYQDYDSDSDVPEELKRDYVDEQTGDVPVKSVSRETLKSRKKSDYSLN KVNAPILTNTTLNVIRLVGKYMQMMNILKPIAFDVIHFMSQLFDYYLYAIYTFFGRNDSL ESTGLGLSSSRLKTTLNRIQESLIDLEGSADPTATLTAAEERKEKVPSPHLNQLVILTSG DTLYGLAERVVATESLVFLAEQFEFLQPHLDAVMPAVKKPFLQQFYSQTVSTASELRKPI YWIVAGKAIDYEQMLLLMMNVKWDVKEIMSQHNIYVDALLKEFEQFNKRLNEVSKRVRIP LPVSNILWEHCIRLANRTIVEGYANVKKCSNEGRALMQLDFQQFLMKLEKLTDIRPIPDK EFVETYIKAYYLTENDMERWIKEHRVRTRVGVLILSGV >ENSMUSP00000125872.1 pep:known chromosome:GRCm38:6:3498422:3603315:1 gene:ENSMUSG00000001376.17 transcript:ENSMUST00000164052.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps50 description:VPS50 EARP/GARPII complex subunit [Source:MGI Symbol;Acc:MGI:1920538] MQKIKSLMTRQGLKSPPESLNDLGAFESLRVPGKEEFRELREQPSDPQAEQELINSIEQV YFSADPFDIVKYELEKLPPVLNLQELEEYRDKLKQQQSAVSKKVADLILEKQPAYVKELE RVTSLQTGLQLAAVICTNGRRHLNIAKEGFTQASLGLLANQRKRQLLIGLLKSLRTIKTL QRTDIRLSEMLEEEDYPGAIQLCLECQKAASTFKHYSCISELNSKLQDTLEQIEEQLDVA LSKICKNFDINHYTKVQQAYRLLGKTQTAMDQLHMHFTQAIHNTVFQVVLGYVELCAGNT DTKFQKLQYKDLCTHVTPDSYIPCLADLCKALWEVMLSYYRTMEWHEKHDNEETAAAAEG SNVMSTEEATFDRGYVKKKLEHGLTRIWQDVQLKVKTYLLGTDLSIFKYDDFIFVLDIVS RLMQVGEEFCGSKSEVLQESIRKQSVNYFKNHHRIRLDELRMFLENETWELCPVKSNFSI LQLHEFKFLEQSRSPSVSPSKQPSATSSKPVTLFEQYCSGGNPFEIQADHKDEETEDVLA SNGYESDEQEKSAYQDYDSDSDVPEELKRDYVDEQTGDVPVKSVSRETLKSRKKSDYSLN KVNAPILTNTTLNVIRLVGKYMQMMNILKPIAFDVIHFMSQLFDYYLYAIYTFFGRNDSL ESTGLGLSSSRLKTTLNRIQESLIDLEGSADPTATLTAAEERKEKVPSPHLNQLVILTSG DTLYGLAERVVATESLVFLAEQFEFLQPHLDAVMPAVKKPFLQQFYSQTVSTASELRKPI YWIVAGKAIDYEQMLLLMMNVKWDVKEIMSQHNIYVDALLKEFEQFNKRLNEVSKRVRIP LPVSNILWEHCIRLANRTIVEGYANVKKCSNEGRALMQLDFQQFLMKLEKLTDIRPIPDK EFVETYIKAYYLTENDMERWIKEHRIFSV >ENSMUSP00000036747.5 pep:known chromosome:GRCm38:4:136889804:136893065:-1 gene:ENSMUSG00000036896.5 transcript:ENSMUST00000046332.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qc description:complement component 1, q subcomponent, C chain [Source:MGI Symbol;Acc:MGI:88225] MVVGPSCQPPCGLCLLLLFLLALPLRSQASAGCYGIPGMPGMPGAPGKDGHDGLQGPKGE PGIPAVPGTRGPKGQKGEPGMPGHRGKNGPRGTSGLPGDPGPRGPPGEPGVEGRYKQKHQ SVFTVTRQTTQYPEANALVRFNSVVTNPQGHYNPSTGKFTCEVPGLYYFVYYTSHTANLC VHLNLNLARVASFCDHMFNSKQVSSGGVLLRLQRGDEVWLSVNDYNGMVGIEGSNSVFSG FLLFPD >ENSMUSP00000144969.1 pep:known chromosome:GRCm38:6:90403479:90428787:-1 gene:ENSMUSG00000048794.14 transcript:ENSMUST00000153843.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap100 description:cilia and flagella associated protein 100 [Source:MGI Symbol;Acc:MGI:2141635] MEKRRSMFLLQVGTATSRLVPLAWFWLSQVPSCLLCWPTVCPGNEAQ >ENSMUSP00000059976.5 pep:known chromosome:GRCm38:6:90403736:90428432:-1 gene:ENSMUSG00000048794.14 transcript:ENSMUST00000062750.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap100 description:cilia and flagella associated protein 100 [Source:MGI Symbol;Acc:MGI:2141635] MEKRRSMFLLQYALAMKRNEIQRLEMLATREENRLERAEKFLEKDASLFDEFLRENDRNS VQAMRMAEKETKIKTEKIVEIRELTAQITSIKSEISKFEDTLKHYKIYKEFLYKLSPKEW LDEQQEKHLAFKRAKESSELTRNNSTAILFGDKGSGSKSKTAFLWKEVPGLKKVTKTGRL VKALSSSIQSLPQVGQLTQLSPHSELDSRLSSTMFPSQDDTDSDGEELALYFTEPQQLLD VFTKLEEENLSLIQNTQEMEETLDELNVTLKNTQIRMDKEVNLLKQWIASMMISISKEEE SAAELELKARVFHFGEYQGDQQDTMLESLNHKVLEVYRKCVGMQQEANLGTVQMLTVVER QLDELLENLERVPQVKIEQAEKAKERERRMRLREEKAMMQKQLQEERLQRARARAQAKIK KKRGRKLISRSHPPVIKVKEVREQTLINKDKEEMLFFFT >ENSMUSP00000126515.1 pep:known chromosome:GRCm38:6:90403738:90428413:-1 gene:ENSMUSG00000048794.14 transcript:ENSMUST00000165673.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap100 description:cilia and flagella associated protein 100 [Source:MGI Symbol;Acc:MGI:2141635] MAFKARSSRFSVKMVEDTGGSQDLINNSFSSFIEEKSKESKKNKGNVTISDRSSNPFHIS RDMDYFLLREQEQNQAIAEREQKKILRVHQKMTYASKVSAKHTSLRRELQLEDEMEQQLL NAEAKEMNCFRENNDWKLAMTRERKREPETLNDYMEKRRSMFLLQYALAMKRNEIQRLEM LATREENRLERAEKFLEKDASLFDEFLRENDRNSVQAMRMAEKETKIKTEKIVEIRELTA QITSIKSEISKFEDTLKHYKIYKEFLYKLSPKEWLDEQQEKHLAFKRAKESSELTRNNST AILFGDKGSGSKSKTAFLWKEVPGLKKVTKTGRLVKALSSSIQSLPQVGQLTQLSPHSEL DSRLSSTMFPSQDDTDSDGEELALYFTEPQQLLDVFTKLEEENLSLIQNTQEMEETLDEL NVTLKNTQIRMDKEVNLLKQWIASMMISISKEEESAAELELKARVFHFGEYQGDQQDTML ESLNHKVLEVYRKCVGMQQEANLGTVQMLTVVERQLDELLENLERVPQVKIEQAEKAKER ERRMRLREEKAMMQKQLQEERLQRARARAQAKIKKKRGRKLISRSHPPVIKVKEVREQTL INKDKEEMLFFFT >ENSMUSP00000145247.1 pep:known chromosome:GRCm38:6:90420934:90428797:-1 gene:ENSMUSG00000048794.14 transcript:ENSMUST00000134224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap100 description:cilia and flagella associated protein 100 [Source:MGI Symbol;Acc:MGI:2141635] MAFKARSSRFSVKMVEDTILQDSSLMLVDARMFLRSKASMQGLFLSRTDRALKQCSPLFS FHPSWRLAAFLT >ENSMUSP00000145109.1 pep:known chromosome:GRCm38:6:90421147:90428435:-1 gene:ENSMUSG00000048794.14 transcript:ENSMUST00000127508.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap100 description:cilia and flagella associated protein 100 [Source:MGI Symbol;Acc:MGI:2141635] MAFKARSSRFSVKMVEDTILQDSSLMLVDARMFLRSKASMQGLFLSRTDRALKQCSPLFS FHPSWRLAAFLT >ENSMUSP00000144829.1 pep:known chromosome:GRCm38:6:90426484:90428395:-1 gene:ENSMUSG00000048794.14 transcript:ENSMUST00000135757.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap100 description:cilia and flagella associated protein 100 [Source:MGI Symbol;Acc:MGI:2141635] MAFKARSSRFSVKMVEDRQVLHSPNLLESLPPTSPRTPQ >ENSMUSP00000115622.1 pep:known chromosome:GRCm38:1:170193420:170214734:-1 gene:ENSMUSG00000026667.14 transcript:ENSMUST00000150821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhmk1 description:U2AF homology motif (UHM) kinase 1 [Source:MGI Symbol;Acc:MGI:1341908] MTLYGVFTIHFSPNVPSRCLLLELLDVSVSELLLYSSHQGCSMWMIQHCARDVLEALAFL HHEGYVHADLKPRNILWSAENECFKLIDFGLSFKEGNQDVKYIQTDGYRAPEAELQNCLA QAGLQSDTECTSAVDLWSLGIILLEMFSGMKLKHTVRSQEWKANSSAIIDHIFASKAVVN AAIPAYHLRDLIKSMLHDDPGRRIPAEMALCSPFFSIPFAPHIEDLVMLPTPVLRLLNVL DDDYLENEDEYEDVVEDVKEECQKYGPVVSLLVPKENPGRGQVFVEYANAGDSKAAQKLL TGRMFDGKFVVATFYPLSAYKRGYLYQTLL >ENSMUSP00000027979.7 pep:known chromosome:GRCm38:1:170193420:170215397:-1 gene:ENSMUSG00000026667.14 transcript:ENSMUST00000027979.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhmk1 description:U2AF homology motif (UHM) kinase 1 [Source:MGI Symbol;Acc:MGI:1341908] MAGSGCAWGAEPPRFLEAFGRLWQVQSRLGSGSSASVYRVRCCGTPGSPPGALKQFLPPG TTGAAASAAEYGFRKERAALEQLQGHRNIVTLYGVFTIHFSPNVPSRCLLLELLDVSVSE LLLYSSHQGCSMWMIQHCARDVLEALAFLHHEGYVHADLKPRNILWSAENECFKLIDFGL SFKEGNQDVKYIQTDGYRAPEAELQNCLAQAGLQSDTECTSAVDLWSLGIILLEMFSGMK LKHTVRSQEWKANSSAIIDHIFASKAVVNAAIPAYHLRDLIKSMLHDDPGRRIPAEMALC SPFFSIPFAPHIEDLVMLPTPVLRLLNVLDDDYLENEDEYEDVVEDVKEECQKYGPVVSL LVPKENPGRGQVFVEYANAGDSKAAQKLLTGRMFDGKFVVATFYPLSAYKRGYLYQTLL >ENSMUSP00000120787.1 pep:known chromosome:GRCm38:1:170193420:170215397:-1 gene:ENSMUSG00000026667.14 transcript:ENSMUST00000123399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhmk1 description:U2AF homology motif (UHM) kinase 1 [Source:MGI Symbol;Acc:MGI:1341908] MAGSGCAWGAEPPRFLEAFGRLWQVQSRLGSGSSASVYRVRCCGTPGSPPGALKQFLPPG TTGAAASAAEYGFRKERAALEQLQGHRNIVTLYGVFTIHFSPNVPSRCLLLELLDVSVSE LLLYSSHQGCSMWMIQHCARDVLEALAFLHHEGYVHADLKPRNILWSAENECFKLIDFGL SFKEGNQDVKYIQTDGYRAPEAELQNCLAQAGLQSDTECTSAVDLWSLGIILLEMFSGMK LKHTVRSQEWKANSSAIIDHIFASKAVVNAAIPAYHLRDLIKSMLHDDPGRRIPAEMALC SPFFSIPFAPHIEDLVMLPTPVLRLLNVLDDDYLENEDEYEGLR >ENSMUSP00000001713.3 pep:known chromosome:GRCm38:10:75783813:75798584:-1 gene:ENSMUSG00000001663.10 transcript:ENSMUST00000001713.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstt1 description:glutathione S-transferase, theta 1 [Source:MGI Symbol;Acc:MGI:107379] MVLELYLDLLSQPCRAIYIFAKKNNIPFQMHTVELRKGEHLSDAFARVNPMKRVPAMMDG GFTLCESVAILLYLAHKYKVPDHWYPQDLQARARVDEYLAWQHTGLRRSCLRALWHKVMF PVFLGEQIPPETLAATLAELDVNLQVLEDKFLQDKDFLVGPHISLADLVAITELMHPVGG GCPVFEGHPRLAAWYQRVEAAVGKDLFREAHEVILKVKDCPPADLIIKQKLMPRVLAMIQ >ENSMUSP00000113839.1 pep:known chromosome:GRCm38:10:75783984:75797569:-1 gene:ENSMUSG00000001663.10 transcript:ENSMUST00000120177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstt1 description:glutathione S-transferase, theta 1 [Source:MGI Symbol;Acc:MGI:107379] MNLVFSLLGPWPRLSETPSVLLPHAGLSAGEHLSDAFARVNPMKRVPAMMDGGFTLCESV AILLYLAHKYKVPDHWYPQDLQARARVDEYLAWQHTGLRRSCLRALWHKVMFPVFLGEQI PPETLAATLAELDVNLQVLEDKFLQDKDFLVGPHISLADLVAITELMHPVGGGCPVFEGH PRLAAWYQRVEAAVGKDLFREAHEVILKVKDCPPADLIIKQKLMPRVLAMIQ >ENSMUSP00000117130.1 pep:known chromosome:GRCm38:10:75786795:75797731:-1 gene:ENSMUSG00000001663.10 transcript:ENSMUST00000139724.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstt1 description:glutathione S-transferase, theta 1 [Source:MGI Symbol;Acc:MGI:107379] MKRVPAMMDGGFTLCESVAILLYLAHKYKVPDHWYPQDLQARARVDEYLAWQHTGLRRSC LRALWHKVMFPVFLGEQIPPETLAATLAELDVNLQVLEDKFLQDKDFLVGPHISLADLVA ITELMH >ENSMUSP00000061878.1 pep:known chromosome:GRCm38:7:48550965:48558086:-1 gene:ENSMUSG00000050425.2 transcript:ENSMUST00000052730.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprb2 description:MAS-related GPR, member B2 [Source:MGI Symbol;Acc:MGI:2441674] MSGDFLIKNLSTSAWKTNITVLNGSYYIDTSVCVTRNQAMILLSIIISLVGMGLNAIVLW FLGIRMHTNAFTVYILNLAMADFLYLCSQFVICLLIAFYIFYSIDINIPLVLYVVPIFAY LSGLSILSTISIERCLSVIWPIWYRCKRPRHTSAITCFVLWVMSLLLGLLEGKACGLLFN SFDSYWCETFDVITNIWSVVFFGVLCGSSLTLLVRIFCGSQRIPMTRLYVTITLTVLVFL IFGLPFGIYWILYQWISNFYYVEICNFYLEILFLSCVNSCMNPIIYFLVGSIRHRRFRRK TLKLLLQRAMQDTPEEEQSGNKSSSEHPEELETVQSCS >ENSMUSP00000099646.3 pep:known chromosome:GRCm38:11:69759890:69761968:-1 gene:ENSMUSG00000018776.9 transcript:ENSMUST00000102586.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35g3 description:solute carrier family 35, member G3 [Source:MGI Symbol;Acc:MGI:1927128] MAEALQGTRQPDPGGEEEVQEEMAASHPYFNLPDFTQPSPPSTPASLPSKHHHRCGPSNA TKGLFVALLGGGLSAGFVGPFSRMAYQTSQLPSLELLIFRCLFHLPIALLLKFRGDPLLG PPDVRVRAFLHAILNVLSIGCAYSAVQVVPAGNAVTVRKGSSTVCSALLALCLESQGLSG YAWCGLFGSTLGLIIIVGPGLGTLQEGTTGLYTALGYVLAFLGGLALSLGLQIYRSLHFP SCLPTVAFLFGLVGLMVSVPGLFVLQTPVLPQDTLSWSCVVAVGLLALVSFVCVSYAVTK AHPALVCAVLHSEVVVALMLQYYVLYETVAPSDIMGAGVVLGSIAIITAQNLSCDKEGQT EE >ENSMUSP00000033018.8 pep:known chromosome:GRCm38:7:113513834:113571511:1 gene:ENSMUSG00000030759.16 transcript:ENSMUST00000033018.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Far1 description:fatty acyl CoA reductase 1 [Source:MGI Symbol;Acc:MGI:1914670] MVSIPEYYEGKNILLTGATGFLGKVLLEKLLRSCPRVNSVYVLVRQKAGQTPQERVEEIL SSKLFDRLRDENPDFREKIIAINSELTQPKLALSEEDKEIIIDSTNVIFHCAATVRFNEN LRDAVQLNVIATRQLILLAQQMKNLEVFMHVSTAYAYCNRKHIDEVVYPPPVDPKKLIDS LEWMDDGLVNDITPKLIGDRPNTYIYTKALAEYVVQQEGAKLNVAIVRPSIVGASWKEPF PGWIDNFNGPSGLFIAAGKGILRTMRASNNALADLVPVDVVVNTSLAAAWYSGVNRPRNI MVYNCTTGSTNPFHWGEVEYHVISTFKRNPLEQAFRRPNVNLTSNHLLYHYWIAVSHKAP AFLYDIYLRMTGRSPRMMKTITRLHKAMVFLEYFTSNSWVWNTDNVNMLMNQLNPEDKKT FNIDVRQLHWAEYIENYCMGTKKYVLNEEMSGLPAARKHLNKLRNIRYGFNTILVILIWR IFIARSQMARNIWYFVVSLCYKFLSYFRASSTMRY >ENSMUSP00000064334.8 pep:known chromosome:GRCm38:7:113513861:113571511:1 gene:ENSMUSG00000030759.16 transcript:ENSMUST00000067929.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Far1 description:fatty acyl CoA reductase 1 [Source:MGI Symbol;Acc:MGI:1914670] MVSIPEYYEGKNILLTGATGFLGKVLLEKLLRSCPRVNSVYVLVRQKAGQTPQERVEEIL SSKLFDRLRDENPDFREKIIAINSELTQPKLALSEEDKEIIIDSTNVIFHCAATVRFNEN LRDAVQLNVIATRQLILLAQQMKNLEVFMHVSTAYAYCNRKHIDEVVYPPPVDPKKLIDS LEWMDDGLVNDITPKLIGDRPNTYIYTKALAEYVVQQEGAKLNVAIVRPSIVGASWKEPF PGWIDNFNGPSGLFIAAGKGILRTMRASNNALADLVPVDVVVNTSLAAAWYSGVNRPRNI MVYNCTTGSTNPFHWGEVGDYLNHSFKMNPLNQVFRHPYVKFCSNNLMLHYWKGVKHTVP ALLLDLALRLTGQKPWMMKTITRLHKAMVFLEYFTSNSWVWNTDNVNMLMNQLNPEDKKT FNIDVRQLHWAEYIENYCMGTKKYVLNEEMSGLPAARKHLNKLRNIRYGFNTILVILIWR IFIARSQMARNIWYFVVSLCYKFLSYFRASSTMRY >ENSMUSP00000117131.1 pep:known chromosome:GRCm38:7:113513861:113547581:1 gene:ENSMUSG00000030759.16 transcript:ENSMUST00000129087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Far1 description:fatty acyl CoA reductase 1 [Source:MGI Symbol;Acc:MGI:1914670] MVSIPEYYEGKNILLTGATGFLGKVLLEKLLRSCPRVNSVYVLVRQKAGQTPQERVEEIL SSKLFDRLRDENPDFREKIIAINSELTQPKLALSEEDKEIIIDSTNVIFHCAATVRFNEN LRDAVQLNVIATRQLILLAQQMKNLEVFMHVSTAYAYCNRKHIDEVVYPPPVDPKKLIDS L >ENSMUSP00000123274.1 pep:known chromosome:GRCm38:7:113514098:113539390:1 gene:ENSMUSG00000030759.16 transcript:ENSMUST00000122890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Far1 description:fatty acyl CoA reductase 1 [Source:MGI Symbol;Acc:MGI:1914670] MVSIPEYYEGKNILLTGATGFLGKVLLEKLLRSCPRV >ENSMUSP00000122643.1 pep:known chromosome:GRCm38:7:113513877:113550040:1 gene:ENSMUSG00000030759.16 transcript:ENSMUST00000136158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Far1 description:fatty acyl CoA reductase 1 [Source:MGI Symbol;Acc:MGI:1914670] MVSIPEYYEGKNILLTGATGFLGKVLLEKLLRSCPRVNSVYVLVRQKAGQTPQERVEEIL SSKLFDRLRDENPDFREKIIAINSELTQPKLALSEEDKEIIIDSTNVIFHCAATVRFNEN LRDAVQLNVIATRQLILLAQQMKNLEVFMHVSTAYAYCNRKHIDEVVYPPPVDPKKLIDS LEWMDDGLVNDITPKLIGDRPNTYIYT >ENSMUSP00000128695.1 pep:known chromosome:GRCm38:7:113513862:113570458:1 gene:ENSMUSG00000030759.16 transcript:ENSMUST00000164745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Far1 description:fatty acyl CoA reductase 1 [Source:MGI Symbol;Acc:MGI:1914670] MVSIPEYYEGKNILLTGATGFLGKVLLEKLLRSCPRVNSVYVLVRQKAGQTPQERVEEIL SSKLFDRLRDENPDFREKIIAINSELTQPKLALSEEDKEIIIDSTNVIFHCAATVRFNEN LRDAVQLNVIATRQLILLAQQMKNLEVFMHVSTAYAYCNRKHIDEVVYPPPVDPKKLIDS LEWMDDGLVNDITPKLIGDRPNTYIYTKALAEYVVQQEGAKLNVAIVRPSIVGASWKEPF PGWIDNFNGPSGLFIAAGKGILRTMRASNNALADLVPVDVVVNTSLAAAWYSGVNRPRNI MVYNCTTGSTNPFHWGEVEYHVISTFKRNPLEQAFRRPNVNLTSNHLLYHYWIAVSHKAP AFLYDIYLRMTGRSPRMMKTITRLHKAMVFLEYFTSNSWVWNTDNVNMLMNQLNPEDKKT FNIDVRQLHWAEYIENYCMGTKKYVLNEEMSGLPAARKHLNKLRNIRYGFNTILVILIWR IFIARSQMARNIWYFVVSLCYKFLSYFRASSTMRY >ENSMUSP00000027921.4 pep:known chromosome:GRCm38:1:185284726:185329396:-1 gene:ENSMUSG00000026618.11 transcript:ENSMUST00000027921.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iars2 description:isoleucine-tRNA synthetase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1919586] MHWGLCPRGPGAAAVAAAGSFWGPARLPSRLGCLGMTRRLVVRSVAGADSPQSSSKGGRY RDTVLLPQTSFPMKLLGRQQSDMELEIQQKCGFSELYSWQRERKVKTEFCLHDGPPYANG DPHVGHALNKILKDIANRFHMMRGSKVHFVPGWDCHGLPIETKVLSELGVDAQSLSAMEI REKARSFAQAAIEKQKSAFVRWGVMADWNNCYYTFDPKYEAKQLRVFYQMYEKGLVYRSY KPVYWSPSSRTALAEAELEYNPEHVSRSIYVRFPLLRPPPKLESLTDASSPVSVLVWTTQ PWTIPANQAICYMPEAKYAVVKCSASGHLYILAEDKIAPVASALETTFDVVAAFSGVDLE GGTCSHPLTPDKVSPLLPATHVTMAKGTGLVHTAPAHGMEDYSVASQHSLPMDCLVDEGG MFTDAAGPELQNKAVLKEGTDVVIKMLQATKNVLKEENIVHSYPCDWRTKTPVLIRASKQ WFVNITDIKAAAKESLKTVKFIPGAALNSMTDMLDRRPYWCISRQRVWGVPIPVFHHKTK DEYLINSQTTEHIIKLVEQHGSDVWWTLPAEQLLPAEVLAQAGGPGALEYAPGQDILDIW FDSGTSWSCVLQDTQQRADLYLEGKDQLGGWFQSSLLTSVATRSKAPFRTVMVHGFTLGE KGEKMSKSLGNVINPDTIISGGKDHSKEPPYGADILRWWIAESNVFTEVTIGPSVLSAAR DDISKLRNTLRFLLGNLTGFNPETDSVPVKNMYVIDQYMLHLIQDFATKITDSYKQYDFG KVVRLLKAFYTRELSSFYFSIVKDRLYCENEKDPKRRSCQTALAEILDVLVRAFAPILPH LAEEVFQHIPYVTEPKSVFRTGWINTSSIWKKPGLEEAVESACAMRDSFLGSIPGKNAAE YEVIIVIEPGLLFEIMEMLQAEETSSTSQLNELMMASQTTLLAQEPRERTAGDIELTGTF VINLEGGDIREESSYKVIVVPTAREKCPRCWKHTSETADALCPRCAEVIGAK >ENSMUSP00000106603.1 pep:known chromosome:GRCm38:1:185295038:185329396:-1 gene:ENSMUSG00000026618.11 transcript:ENSMUST00000110975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iars2 description:isoleucine-tRNA synthetase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1919586] MHWGLCPRGPGAAAVAAAGSFWGPARLPSRLGCLGMTRRLVVRSVAGADSPQSSSKGGRY RDTVLLPQTSFPMKLLGRQQSDMELEIQQKCGFSELYSWQRERKVKTEFCLHDGPPYANG DPHVGHALNKILKDIANRFHMMRGSKVHFVPGWDCHGLPIETKVLSELGVDAQSLSAMEI REKARSFAQAAIEKQKSAFVRWGVMADWNNCYYTFDPKYEAKQLRVFYQMYEKGLVYRSY KPVYWSPSSRTALAEAELEYNPEHVSRSIYVRFPLLRPPPKLESLTDASSPVSVLVWTTQ PWTIPANQAICYMPEAKYAVVKCSASGHLYILAEDKIAPVASALETTFDVVAAFSGVDLE GGTCSHPLTPDKVSPLLPATHVTMAKGTGLVHTAPAHGMEDYSVASQHSLPMDCLVDEGG MFTDAAGPELQNKAVLKEGTDVVIKMLQATKNVLKEENIVHSYPCDWRTKTPVLIRASKQ WFVNITDIKAAAKESLKTVKFIPGAALNSMTDMLDRRPYWCISRQRVWGVPIPVFHHKTK DEYLINSQTTEHIIKLVEQHGSDVWWTLPAEQLLPAEVLAQAGGPGALEYAPGQDILDIW FDSGTSWSCVLQDTQQRADLYLEGKDQLGGWFQSSLLTSVATRSKAPFRTVMVHGFTLGE KGEKMSKSLGNVINPDTIISGGKDHSKEPPYGADILRWWIAESNVFTEVTIGPSVLSAAR DDISKVRPAQGTL >ENSMUSP00000106601.3 pep:known chromosome:GRCm38:1:185311846:185329396:-1 gene:ENSMUSG00000026618.11 transcript:ENSMUST00000110974.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iars2 description:isoleucine-tRNA synthetase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1919586] MHWGLCPRGPGAAAVAAAGSFWGPARLPSRLGCLGMTRRLVVRSVAGADSPQSSSKGGRY RDTVLLPQTSFPMKLLGRQQSDMELEIQQKCGFSELYSWQRERKVKTEFCLHDGPPYANG DPHVGHALNKILKDIANRFHMMRGSKVHFVPGWDCHGLPIETKVLSELGVDAQSLSAMEI REKARSFAQAAIEKQKSAFVRWGVMADWNNCYYTFDPKYEAKQLRVFYQMYEKGLVYRSY KPVYWSPSSRTALAEAELEYNPEHVSRSIYVRFPLLRPPPKLESLTDASSPVSVLVWTTQ PWTIPANQAICYMPEAKYAVVKCSASGHLYILAEDKIAPVASALETTFDVVAAFSGVDLE GGTCSHPLTPDKVSPLLPATHVTMAKGTGLVHTAPAHGMEDYSVASQHSLPMDCLVDEGG MFTDAAGPELQNKAVLKEGTDVVIKMLQATKNVLKEENIVHSYPCDWRTKTPVLIRASKQ WFVNITDIKAAAKESLKTVKFIPGAALNSMTDMLDRRPYWCISRQRVWGVPIPVFHHKTK DEYLINRRMLSPALLTYALR >ENSMUSP00000027251.6 pep:known chromosome:GRCm38:1:38052786:38129662:-1 gene:ENSMUSG00000026082.11 transcript:ENSMUST00000027251.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rev1 description:REV1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1929074] MRRGGWRKRTENDGWEKWGGYMAAKVQKLEEQFRTDAANQKDGTASAIFSGVAIYVNGYT DPSAEELRNLMMLHGGQYHVYYSRSKTTHIIATNLPNAKIKELKGEKVIRPEWIVESIKA GRLLSSAPYQLYTKPSAAQKSLNFNPVCKPEDPGPGPSNRAKQLNNRVNHIIKKIETESE VKANGLSSWNEDGVNDDFSFEDLEHTFPGRKQNGVMHPRDTAVIFNGHTHSSNGALKTQD CLVPVGNSVASRLSLDSTQEEKRAEKSNADFRDCTVQHLQHSTRSADALRSPHRTNSLSP SLHSNTKINGAHHSTVQGPSSTKSTSVLTLSKVAPSVPSKPSDCNFISDFYSRSRLHHIS TWKCELTEFVNTLQRQSSGIFPGREKLKKVKTGRSSLVVTDTGTMSVLSSPRHQSCVMHV DMDCFFVSVGIRNRPDLKGKPVAVTSNRGTGTAPLRPGANPQLEWQYYQNRALRGKAADI PDSSVWENQDSTQTNGIDSVLSKAEIASCSYEARQVGIKNGMFFGYAKQLCPNLQAVPYD FHACREVAQAMYETLASYTHSIEAVSCDEALIDVTDILAETKLSPEEFAAALRIEIKDKT KCAASVGIGSNILLARMATKKAKPDGQYHLQPDEVDDFIRGQLVTNLPGVGRSMESKLAS LGIKTCGDLQCLTMAKLQKEFGPKTGQMLYRFCRGLDDRPVRTEKERKSVSAEINYGIRF TQPKEAEAFLLSLSEEIQRRLEAAGMKGKRLTLKIMVRKPGAPIETAKFGGHGICDNIAR TVTLDQATDSAKIIGKATLNMFHTMKLNISDMRGVGIQVNQLVPANSNLSTCSSRPSAQS SLFSGRPHSVRDLFQLQKAKKPTEEEHKEVFLAAVDLEVSSTSRACGLLSPLSAHLAASV SPDTNSGECSRKWNGLHSPVSGQSRLNLSIEVPSPSQIDQSVLEALPLDLREQIEQVCAA QQGEPRGKKKEPVNGCSSGVLPHPVGTVLLQIPEPQEPCNSDSKISVIALPAFSQVDPDV FAALPAELQKELKAAYDQRQRQGEDTTHQQPTSTSVPKNPLLQLKPPAMKDKRNKRKNLI GSPRKSPLKNKLLSSPAKTLPGAYGSPQKLMDGFLQHEGMASERPLEEVSASTPGAQDLS SLLPGQSSCFRPAAPNLAGAVEFSDVKTLLKEWITTISDPMEEDILQVVRYCTDLIEEKD LEKLDLVIKYMKRLMQQSVESVWNMAFDFILDNVQVVLQQTYGSTLKVT >ENSMUSP00000141815.1 pep:known chromosome:GRCm38:1:38067614:38083783:-1 gene:ENSMUSG00000026082.11 transcript:ENSMUST00000194815.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rev1 description:REV1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1929074] XTAPLRPGANPQLEWQYYQNRALRGKAADIPDSSVWENQDSTQTNGIDSVLSKAEIASCS YEARSLKVVEPWLLPRVMSYHSMLLASQPLRSH >ENSMUSP00000141875.1 pep:known chromosome:GRCm38:1:38071383:38085654:-1 gene:ENSMUSG00000026082.11 transcript:ENSMUST00000193472.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rev1 description:REV1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1929074] XGTMSVLSSPRHQSCVMHVDMDCFFVSVGIRNRPDLKGKPVAVTSNRGTGTAPLRPGANP QLEWQYYQNRALRGKADLCPGL >ENSMUSP00000141379.1 pep:known chromosome:GRCm38:1:38092151:38128301:-1 gene:ENSMUSG00000026082.11 transcript:ENSMUST00000192594.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rev1 description:REV1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1929074] MRRGGWRKRTENDGWEKWGGYMAAKVQKLEEQFRTDAANQKDGTASAIFSGVAIYVNGYT DPSAEELRNLMMLHGGQYHVYYSRSKTTHIIATNLPNAKIKELKGEKVIRPEWIVESIKA GRLLSSAPYQLYTKPSAA >ENSMUSP00000141560.1 pep:known chromosome:GRCm38:1:38098909:38128317:-1 gene:ENSMUSG00000026082.11 transcript:ENSMUST00000193697.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rev1 description:REV1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1929074] MRRGGWRKRTENDGWEKWGGYMAAKVQKLEEQFRTDAANQKDGTASAIFSGVAIYVNGYT DPSAEELRNLMMLHGGQYHVYYSRSKTTHIIATNLPNA >ENSMUSP00000141647.1 pep:known chromosome:GRCm38:1:38107683:38129801:-1 gene:ENSMUSG00000026082.11 transcript:ENSMUST00000195383.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rev1 description:REV1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1929074] MRRGGWRKRTENDGWEKWGGYM >ENSMUSP00000077918.6 pep:known chromosome:GRCm38:8:21823539:21831296:1 gene:ENSMUSG00000058568.6 transcript:ENSMUST00000078879.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb50 description:defensin beta 50 [Source:MGI Symbol;Acc:MGI:3055870] MKTLCFLLLTSGLLYLMVKGVGSHPGTFHVRIKCMPKMTAVFGDNCSFYSSMGDLCNNTK SVCCMVPVRMDNI >ENSMUSP00000146649.1 pep:known chromosome:GRCm38:13:76201708:76305072:-1 gene:ENSMUSG00000109228.1 transcript:ENSMUST00000208418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam81b description:family with sequence similarity 81, member B [Source:MGI Symbol;Acc:MGI:2685122] MMYFDCIIPEEGPPFSSIHLHSVETDVNTSASLPAEEQPDPPDGPLPGSVIDQEKKVRLS PVKMSTKNATDLVEYVDKGCPFLPAMPSNQTSQLEDRLSHQERTVAFLLEQAFRIKEDIS ACLHGSQGFRKEESLARKLLESHIQTITSIVKKLNQNIELLEDQIRIRDQVTTGTNFAVQ DLNIKHIQGVGDLRGRVARCDSSIVKLSGDIQFIRHECRQMEKSVQELASALETISKNLD VKVMQLLGKIEASASEHTSNLKMVRGDYQHEMNLLEFKFNSLSKNIYEEVETNQKWTENQ FIKYGKDHLSHMNECLKVVQEKLEKSENKMEEKMLQLSSKLENFMNSQKQEAEINKVKTI ENKVSKKMSQLEKRIWGELEKMQNDYQSGFKSIHDSLNSLQQIQKTKMDLEKHKVQKDLK KLQRKIVELQEV >ENSMUSP00000020081.4 pep:known chromosome:GRCm38:10:72654845:72674964:1 gene:ENSMUSG00000019923.13 transcript:ENSMUST00000020081.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zwint description:ZW10 interactor [Source:MGI Symbol;Acc:MGI:1289227] MADAEKNAVAEKNNAVATKEVLAEAAAILEPVGLQEEAELPAKIMEEFMRNSRKKDKLLC SQLQVVNFLQTFLAQEDTEQSPDALASEDASRQKATETKEQWKDMKATYMDHVDVIKCAL SEALPQVKEAHRKYTELQKAFEQLEAKKRVLEEKLQLAQKQWVLQQKRLQNLTKISAEVK RRRKRALEKLDGSHQELETLKQQAGQEQEKLQRNQSYLQLLCSLQNKLVISEGKAEDKDV KGRALTAKSKSP >ENSMUSP00000101071.1 pep:known chromosome:GRCm38:10:72654895:72669789:1 gene:ENSMUSG00000019923.13 transcript:ENSMUST00000105431.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zwint description:ZW10 interactor [Source:MGI Symbol;Acc:MGI:1289227] MADAEKNAVAEKNNAVATKEVLAEAAAILEPVGLQEEAELPAKIMEEFMRNSRKKDKLLC SQLQVVNFLQTFLAQEDTEQSPDALASEDASRQKATETKEQWKDMKATYMDHVDVIKCAL SEALPQVKEAHRKYTELQKAFEQLEAKKRVLEEKLQLAQKQWVLQQKRLQNLTKISAEVK RRRKRALEKLDGSHQELETLKQQAGQEQEKLQRNQSYLQLLCSLQNKLVISEGKAEDKDV KGRALTAKSKSP >ENSMUSP00000124429.1 pep:known chromosome:GRCm38:10:72654896:72669165:1 gene:ENSMUSG00000019923.13 transcript:ENSMUST00000160337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zwint description:ZW10 interactor [Source:MGI Symbol;Acc:MGI:1289227] MADAEKNAVAEKNNAVATKEVLAEAAAILEPVGLQEEAELPAKIMEEFMRNSRKKDKLLC SQLQVVNFLQTFLAQEDTEQSPDALASEDASRQKATETKEQWKDMKATYMDHVDVIKCAL SEALPQVKEAHRKYTELQKAFEQLEAKKRVLEEKLQLAQKQWVLQQKRLQNLTKISAEVK RRRKRALEKLDGSHQELETLKQQAGQEQEKLQRNQSYLQLLCSLQNKLVISEGKAEDKDV KGRALTAKSKSP >ENSMUSP00000113636.1 pep:known chromosome:GRCm38:11:118489762:118909550:-1 gene:ENSMUSG00000025576.17 transcript:ENSMUST00000117731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox3 description:RNA binding protein, fox-1 homolog (C. elegans) 3 [Source:MGI Symbol;Acc:MGI:106368] MAQPYPPAQYPPPPQNGIPAEYAPPPPHPTQDYSGQTPVPPEHGMTLYTPAQTHPEQPGT EASTQPIAGTQTVPQADEAAQTDNQQLHPSDPTEKQQPKRLHVSNIPFRFRDPDLRQMFG QFGKILDVEIIFNERGSKGFGFVTFETSSDADRAREKLNGTIVEGRKIEVNNATARVMTN KKPGNPYANGWKLNPVVGTVYGPEFYAVTSFPYPTTGTAVAYRGAHLRGRGRAVYNTFRA APPPPPIPTYGAALEQTLVKMPVPWAGLAPCPLPPQQTPEPAYPTSPAFPPLSCPFASRV VYQDGFYGAEIYGGYAAYRYAQPAAATAAAYSDSYGRVYAAADPYHHTIGPTATYSIGTM >ENSMUSP00000099312.1 pep:known chromosome:GRCm38:11:118489764:118761041:-1 gene:ENSMUSG00000025576.17 transcript:ENSMUST00000103023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox3 description:RNA binding protein, fox-1 homolog (C. elegans) 3 [Source:MGI Symbol;Acc:MGI:106368] MAQPYPPAQYPPPPQNGIPAEYAPPPPHPTQDYSGQTPVPPEHGMTLYTPAQTHPEQPGT EASTQPIAGTQTVPQADEAAQTDNQQLHPSDPTEKQQPKRLHVSNIPFRFRDPDLRQMFG QFGKILDVEIIFNERGSKGFGFVTFETSSDADRAREKLNGTIVEGRKIEVNNATARVMTN KKPGNPYANGWKLNPVVGTVYGPEFYAVTSFPYPTTGTAVAYRGAHLRGRGRAVYNTFRA APPPPPIPTYGAVVYQDGFYGAEIYGGYAAYRYAQPAAATAAAYSDSYGRVYAAADPYHH TIGPTATYSIGTM >ENSMUSP00000017576.4 pep:known chromosome:GRCm38:11:118491155:118909572:-1 gene:ENSMUSG00000025576.17 transcript:ENSMUST00000017576.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox3 description:RNA binding protein, fox-1 homolog (C. elegans) 3 [Source:MGI Symbol;Acc:MGI:106368] MAQPYPPAQYPPPPQNGIPAEYAPPPPHPTQDYSGQTPVPPEHGMTLYTPAQTHPEQPGT EASTQPIAGTQTVPQADEAAQTDNQQLHPSDPTEKQQPKRLHVSNIPFRFRDPDLRQMFG QFGKILDVEIIFNERGSKGFGFVTFETSSDADRAREKLNGTIVEGRKIEVNNATARVMTN KKPGNPYANGWKLNPVVGTVYGPEFYAVTSFPYPTTGTAVAYRGAHLRGRGRAVYNTFRA APPPPPIPTYGAALEQTLVKMPVPWAGLAPCPLPPQQTPEPAYPTSPAFPPLSCPFASRV VYQDGFYGAEIYGGYAAYRYAQPAAATAAAYSDSYGRVYAAADPYHHTIGPTATYSIGTM ASLCRGGYSRFTPY >ENSMUSP00000069598.5 pep:known chromosome:GRCm38:11:118491155:118911597:-1 gene:ENSMUSG00000025576.17 transcript:ENSMUST00000069343.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox3 description:RNA binding protein, fox-1 homolog (C. elegans) 3 [Source:MGI Symbol;Acc:MGI:106368] MAQPYPPAQYPPPPQNGIPAEYAPPPPHPTQDYSGQTPVPPEHGMTLYTPAQTHPEQPGT EASTQPIAGTQTVPQADEAAQTDNQQLHPSDPTEKQQPKRLHVSNIPFRFRDPDLRQMFG QFGKILDVEIIFNERGSKVNNATARVMTNKKPGNPYANGWKLNPVVGTVYGPEFYAVTSF PYPTTGTAVAYRGAHLRGRGRAVYNTFRAAPPPPPIPTYGAALEQTLVKMPVPWAGLAPC PLPPQQTPEPAYPTSPAFPPLSCPFASRVVYQDGFYGAEIYGGYAAYRYAQPAAATAAAY SDSYGRVYAAADPYHHTIGPTATYSIGTM >ENSMUSP00000101885.2 pep:known chromosome:GRCm38:11:118491203:118909550:-1 gene:ENSMUSG00000025576.17 transcript:ENSMUST00000106278.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox3 description:RNA binding protein, fox-1 homolog (C. elegans) 3 [Source:MGI Symbol;Acc:MGI:106368] MAQPYPPAQYPPPPQNGIPAEYAPPPPHPTQDYSGQTPVPPEHGMTLYTPAQTHPEQPGT EASTQPIAGTQTVPQADEAAQTDNQQLHPSDPTEKQQPKRLHVSNIPFRFRDPDLRQMFG QFGKILDVEIIFNERGSKGFGFVTFETSSDADRAREKLNGTIVEGRKIEVNNATARVMTN KKPGNPYANGWKLNPVVGTVYGPEFYAVTSFPYPTTGTAVAYRGAHLRGRGRAVYNTFRA APPPPPIPTYGAVVYQDGFYGAEIYGGYAAYRYAQPAAATAAAYSDSYGRVYAAADPYHH TIGPTATYSIGTM >ENSMUSP00000113987.1 pep:known chromosome:GRCm38:11:118491994:118909552:-1 gene:ENSMUSG00000025576.17 transcript:ENSMUST00000120061.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox3 description:RNA binding protein, fox-1 homolog (C. elegans) 3 [Source:MGI Symbol;Acc:MGI:106368] MAQPYPPAQYPPPPQNGIPAEYAPPPPHPTQDYSGQTPVPPEHGMTLYTPAQTHPEQPGT EASTQPIAGTQTVPQADEAAQTDNQQLHPSDPTEKQQPKRLHVSNIPFRFRDPDLRQMFG QFGKILDVEIIFNERGSKGFGFVTFETSSDADRAREKLNGTIVEGRKIEVNNATARVMTN KKPGNPYANGWKLNPVVGTVYGPEFYAVTSFPYPTTGTAVAYRGAHLRGRGRAVYNTFRA APPPPPIPTYGAVVYQDGFYGAEIYGGYAAYRYAQPAAATAAAYSDSYGRVYAAADPYHH TIGPTATYSIGTMASLCRGGYSRFTPY >ENSMUSP00000118332.1 pep:known chromosome:GRCm38:11:118496500:118507353:-1 gene:ENSMUSG00000025576.17 transcript:ENSMUST00000154746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox3 description:RNA binding protein, fox-1 homolog (C. elegans) 3 [Source:MGI Symbol;Acc:MGI:106368] MFGQFGKILDVEIIFNERGSKGFGFVTFETSSDADRAREKLNGTIVEGRKIEVNNATARV MTNKKPGNPYANGWKLNPVVGTVYGPEFYAVTSFPYPTTGTAVAYRGAHLRGRGRAVYNT FRAAPPPPPIPTYGAALEQTLVKMP >ENSMUSP00000119255.1 pep:known chromosome:GRCm38:11:118502842:118569910:-1 gene:ENSMUSG00000025576.17 transcript:ENSMUST00000136551.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox3 description:RNA binding protein, fox-1 homolog (C. elegans) 3 [Source:MGI Symbol;Acc:MGI:106368] MAQPYPPAQYPPPPQNGIPAEYAPPPPHPTQDYSGQTPVPPEHGMTLYTPAQTHPEQPGT EASTQPIAGTQTVPQADEAAQTDNQQLHPSDPTEKQQPKRLHVSNIPFRFRDPDLRQMFG QFGKILDVEIIFNERGSKGFGFVTFETSSDADRAREKLNGTIVEGRKIE >ENSMUSP00000121205.1 pep:known chromosome:GRCm38:2:65322668:65364034:-1 gene:ENSMUSG00000061171.15 transcript:ENSMUST00000152324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a11 description:solute carrier family 38, member 11 [Source:MGI Symbol;Acc:MGI:2443383] MSYQQPQLSGPLQRETDSSDRESLISGHEHGGKSSQSAAVFNVVNSVIGSGIIGLPYSMK QAGFPLGILLLFLVSYITDFSLVLLIKGGALSGTDSYQSLVNKTFGFPGYLLLSTLQFMY PFIAMISYNIITGDTLSKVFQRLPGVDPGGWFISRHFIIVVSTVTCTLPLSLYRDIAKLG KISFISTILTTVILGIVMTRAISLGPNIPKTDNAWVFAKPNAIQAIGVMSFAFICHHNCF LVYGSLEEPTVAKWRRIIHTSILVSVFICVLFATCGYFTFTGFTQGDLFENYCRSDDLVT FGRFCYGITVILTYPIECFVTREVIANVFFGGTLSSVFHTVLAVLIVTAATLVSLMIECL GIVLELNYKEGAGED >ENSMUSP00000120737.1 pep:known chromosome:GRCm38:2:65316430:65361401:-1 gene:ENSMUSG00000061171.15 transcript:ENSMUST00000127623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a11 description:solute carrier family 38, member 11 [Source:MGI Symbol;Acc:MGI:2443383] XLPYSMKQAGFPLGILLLFLVSYITDFSLVLLIKGGALSGTDSYQSLVNKTFGFPGYLLL STLQFMYPFIVDPGGWFISRHFIIVVSTVTCTLPLSLYRDIAKLGKISFISTILTTVILG IVMTRAISLGPNIPKTDNAWVFAKPNAIQAIGVMSFAFICHHNCFLVYGSLEEPTVAKWR RIIHTSILVSVFICVLFATCGYFTFTGFTQGDLFENYCRSDDLVTFGRFCYGITVILTYP IECFVTREVIANVFFGGTLSSVFHTVLAVLIVTAATLVSLMIECLGIVLELNGVLCAAPL IFIIPSACYLKLSEEPRTHSDKIMACVMFPVGAVVMVVGFVMAITNPQDCTHGQEMFYCF PENVSFTNTSWSHLQLTT >ENSMUSP00000120185.1 pep:known chromosome:GRCm38:2:65316715:65363463:-1 gene:ENSMUSG00000061171.15 transcript:ENSMUST00000124918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a11 description:solute carrier family 38, member 11 [Source:MGI Symbol;Acc:MGI:2443383] XTDSSDRESLISGHEHGGKSSQSAAVFNVVNSVIGSGIIDFSLVLLIKGGALSGTDSYQS LVNKTFGFPGYLLLSTLQFMYPFIAMISYNIITGDTLSKVFQRLPGVDPGGWFISRHFII VVSTVTCTLPLSLYRDIAKLGKISFISTILTTVILGIVMTRAISLGPNIPKTDNAWVFAK PNAIQAIGVMSFAFICHHNCFLVYGSLEEPTVAKWRRIIHTSILVSVFICVLFATCGYFT FTGFTQGDLFENYCRSDDLVTFGRFCYGITVILTYPIECFVTREVIANVFFGGTLSSVFH TVLAVLIVTAATLVSLMIECLGIVLELNGVLCAAPLIFIIPSACYLKLSEEPRTHSDKIM ACVMFPVGAVVMVVGFVMAITNPQDCTHGQEMFYCFPENVSFTNTSWSHLQLTT >ENSMUSP00000118837.1 pep:known chromosome:GRCm38:2:65350038:65364000:-1 gene:ENSMUSG00000061171.15 transcript:ENSMUST00000155962.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a11 description:solute carrier family 38, member 11 [Source:MGI Symbol;Acc:MGI:2443383] XRAKPAGEFGLRETDSSDRESLISGHEHGGKSSQSAAVFNVVNSVIGSGIIGLPYSMKQA GFPLGILLLFLVSYITDFSLVLLIKGGALSGTDSYQSLVNKTFGFPGYLLLSTLQFMYPF IAMISYNIITGDTLSKVFQRLPGVDPGGWFISRHFIIVVSTVTCTLPLSLYRDIAKLGKI SFISTILTTVILGIVMTRAISLGPN >ENSMUSP00000108039.1 pep:known chromosome:GRCm38:2:65316633:65364026:-1 gene:ENSMUSG00000061171.15 transcript:ENSMUST00000112420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a11 description:solute carrier family 38, member 11 [Source:MGI Symbol;Acc:MGI:2443383] MSYQQPQLSGPLQRETDSSDRESLISGHEHGGKSSQSAAVFNVVNSVIGSGIIGLPYSMK QAGFPLGILLLFLVSYITDFSLVLLIKGGALSGTDSYQSLVNKTFGFPGYLLLSTLQFMY PFIAMISYNIITGDTLSKVFQRLPGVDPGGWFISRHFIIVVSTVTCTLPLSLYRDIAKLG KISFISTILTTVILGIVMTRAISLGPNIPKTDNAWVFAKPNAIQAIGVMSFAFICHHNCF LVYGSLEEPTVAKWRRIIHTSILVSVFICVLFATCGYFTFTGFTQGDLFENYCRSDDLVT FGRFCYGITVILTYPIECFVTREVIANVFFGGTLSSVFHTVLAVLIVTAATLVSLMIECL GIVLELNGVLCAAPLIFIIPSACYLKLSEEPRTHSDKIMACVMFPVGAVVMVVGFVMAIT NPQDCTHGQEMFYCFPENVSFTNTSWSHLQLTT >ENSMUSP00000116915.1 pep:known chromosome:GRCm38:10:88379132:88414598:1 gene:ENSMUSG00000035311.16 transcript:ENSMUST00000127615.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnptab description:N-acetylglucosamine-1-phosphate transferase, alpha and beta subunits [Source:MGI Symbol;Acc:MGI:3643902] MYGLYVCFVGVVVTIVSAFQFGEVVLEWSRDQYHVLFDSYRDNIAGKSFQNRLCLPMPID VVYTWVNGTDLELLKELQQVREHMEEEQRAMRETLGKNTTEPTKKSEKQLECLLTHCIKV PMLVLDPPLPANCTLKDLPTLYPSFHAASDMFNVAKPKNPSTNVSVVVFDTTKD >ENSMUSP00000120643.1 pep:known chromosome:GRCm38:10:88379224:88414555:1 gene:ENSMUSG00000035311.16 transcript:ENSMUST00000130301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnptab description:N-acetylglucosamine-1-phosphate transferase, alpha and beta subunits [Source:MGI Symbol;Acc:MGI:3643902] MCGSRGLYGLYVCFVGVVVTIVSAFQFGEVVLEWSRDQYHVLFDSYRDNIAGKSFQNRLC LPMPIDVVYTWVNGTDLELLKELQQVREHMEEEQRAMRETLGKNTTEPTKKSEKQLECLL THCIKVPMLVLDPPLPANCTLKDLPTLYPSFHAASDMFNVAKPKNP >ENSMUSP00000118025.1 pep:known chromosome:GRCm38:10:88379224:88447320:1 gene:ENSMUSG00000035311.16 transcript:ENSMUST00000151273.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gnptab description:N-acetylglucosamine-1-phosphate transferase, alpha and beta subunits [Source:MGI Symbol;Acc:MGI:3643902] MLLKLLQRQTYTCLSHRYGLYVCFVGVVVTIVSAFQFGEALSAHANRRGLHLGEWH >ENSMUSP00000020251.7 pep:known chromosome:GRCm38:10:88379226:88447329:1 gene:ENSMUSG00000035311.16 transcript:ENSMUST00000020251.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnptab description:N-acetylglucosamine-1-phosphate transferase, alpha and beta subunits [Source:MGI Symbol;Acc:MGI:3643902] MLLKLLQRQTYTCLSHRYGLYVCFVGVVVTIVSAFQFGEVVLEWSRDQYHVLFDSYRDNI AGKSFQNRLCLPMPIDVVYTWVNGTDLELLKELQQVREHMEEEQRAMRETLGKNTTEPTK KSEKQLECLLTHCIKVPMLVLDPPLPANCTLKDLPTLYPSFHAASDMFNVAKPKNPSTNV SVVVFDTTKDVEDAHAGPFKGGSKQMVWRAYLTTDKEAPGLVLMQGLAFLSGFPPTFKET SQLKTKLPEKLSSKIKLLRLYSEASVALLKLNNPKGFQELNKQTKKNMTIDGKELTISPA YLLWDLSAISQSKQDEDVSASRFEDNEELRYSLRSIERHAPWVRNIFIVTNGQIPSWLNL DNPRVTIVTHQDIFQNLSHLPTFSSPAIESHIHRIEGLSQKFIYLNDDVMFGKDVWPDDF YSHSKGQKVYLTWPVPNCAEGCPGSWIKDGYCDKACNNSACDWDGGDCSGNTAGNRFVAG GGGTGNIGAGQHWQFGGGINTISYCNQGCANSWLADKFCDQACNVLSCGFDAGDCGQDHF HELYKVTLLPNQTHYVVPKGEYLSYFSFANIARRGVEGTYSDNPIIRHASIANKWKTIHL IMHSGMNATTIYFNLTLQNANDEEFKIQIAVEVDTREAPKLNSTTQKAYESLVSPVTPLP QADVPFEDVPKEKRFPKIRRHDVNATGRFQEEVKIPRVNISLLPKEAQVRLSNLDLQLER GDITLKGYNLSKSALLRSFLGNSLDTKIKPQARTDETKGNLEVPQENPSHRRPHGFAGEH RSERWTAPAETVTVKGRDHALNPPPVLETNARLAQPTLGVTVSKENLSPLIVPPESHLPK EEESDRAEGNAVPVKELVPGRRLQQNYPGFLPWEKKKYFQDLLDEEESLKTQLAYFTDSK HTGRQLKDTFADSLRYVNKILNSKFGFTSRKVPAHMPHMIDRIVMQELQDMFPEEFDKTS FHKVRHSEDMQFAFSYFYYLMSAVQPLNISQVFHEVDTDQSGVLSDREIRTLATRIHDLP LSLQDLTGLEHMLINCSKMLPANITQLNNIPPTQEAYYDPNLPPVTKSLVTNCKPVTDKI HKAYKDKNKYRFEIMGEEEIAFKMIRTNVSHVVGQLDDIRKNPRKFVCLNDNIDHNHKDA RTVKAVLRDFYESMFPIPSQFELPREYRNRFLHMHELQEWRAYRDKLKFWTHCVLATLII FTIFSFFAEQIIALKRKIFPRRRIHKEASPDRIRV >ENSMUSP00000123865.1 pep:known chromosome:GRCm38:9:106821874:106880443:1 gene:ENSMUSG00000040325.16 transcript:ENSMUST00000159645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vprbp description:Vpr (HIV-1) binding protein [Source:MGI Symbol;Acc:MGI:2445220] MTTVVVHVDSKAELTTLLEQWEKDHGSGQDMVPILTRMSELIEKETEEYRKGDPDPFDDR HPGRADPECMLGHLLRILFKNDDFMNALVNAYVMTSREPPLNTAACRLLLDIMPGLETAV VFQEKEGIVENLFKWAREADQPLRTYSTGLLGGAMENQDIAANYRDENSQLVAIVLRRLR ELQLQEVALRQDSKRPSPRKLSSEPLLPLDEEAVDMDYGDMAVDVVDGEQESSRDMEISF RLDSSHKTSSRVNSATKPEEGGLKKNKSAKHGDRENFRKAKQKLGFSSSDPDRVFVELSN SSWSEMSPWVIGTNYTLYPMTPAIEQRLILQYLTPLGEYQELLPIFMQLGSRELMMFYID LKQTNDVLLTFEALKHLASLLLHNKFATEFVAHGGVQKLLEIPRPSMAATGVSMCLYYLS YNQDAMERVCMHPHNVLSDVVNYTLWLMECSHASGCCHATMFFSICFSFRAVLELFDRYD GLRRLVNLISTLEILNLEDQGALLSDDEIFASRQTGKHTCMALRKYFEAHLAIKLEQVKQ SLQRTEGGILVHPQPPYKACSYTHEQIVEMMEFLIEYGPAQLYWEPAEVFLKLSCVQLLL QLISIACNWKTYYARNDTVRFALDVLAILTVVPKIQLQLAESVDVLDEAGSAVSTVGISI ILGVAEGEFFIHDAEIQKSALQIIINCVCGPDNRISSIGKFISGTPRRKLSQTPKSSEHT LAKMWNVVQSNNGIKVLLSLLSIKMPITDADQIRALACKALVGLSRSSTVRQIISKLPLF SSCQIQQLMKEPVLQDKRSDHVKFCKYAAELIERVSGKPLLIGTDVSLARLQKADVVAQS RISFPEKELLLLIRNHLISKGLGETATVLTREADLPMTAASHSSAFTPVTAAASPVSLPR TPRIANGIASRLGSHATVGASAPSAPPAHPPPRPPQGSLPLPGPSYAGNSPLIGRISFIR ERPSPCNGRKIRVLRQKSDHGAYSQSPAIKKQLDRHLPSPPTLDSIITEYLREQHARCKN PVATCPPFSLFTPHQCPEPKQRRQAPINFTSRLNRRASFPKYGGVDGGCFDRHLIFSRFR PISVFREANEDESGFTCCAFSARERFLMLGTCTGQLKLYNVFSGQEEASYNCHNSAITHL EPSRDGSLLLTSATWSQPLSALWGMKSVFDMKHSFTEDHYVEFSKHSQDRVIGTKGDIAH IYDIQTGNKLLTLFNPDLANNYKRNCATFNPTDDLVLNDGVLWDVRSAQAIHKFDKFNMN ISGVFHPNGLEVIINTEIWDLRTFHLLHTVPALDQCRVVFNHTGTVMYGAMLQADDEDDL LEERMKSPFGSSFRTFNATDYKPIATIDVKRNIFDLCTDTKDCYLAVIENQGSMDALNMD TVCRLYEVGRQRLAEDEDEEEDQQTARTTLIWKMTSSYL >ENSMUSP00000060025.8 pep:known chromosome:GRCm38:9:106829069:106880438:1 gene:ENSMUSG00000040325.16 transcript:ENSMUST00000055009.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vprbp description:Vpr (HIV-1) binding protein [Source:MGI Symbol;Acc:MGI:2445220] MTTVVVHVDSKAELTTLLEQWEKDHGSGQDMVPILTRMSELIEKETEEYRKGDPDPFDDR HPGRADPECMLGHLLRILFKNDDFMNALVNAYVMTSREPPLNTAACRLLLDIMPGLETAV VFQEKEGIVENLFKWAREADQPLRTYSTGLLGGAMENQDIAANYRDENSQLVAIVLRRLR ELQLQEVALRQDSKRPSPRKLSSEPLLPLDEEAVDMDYGDMAVDVVDGEQESSRDMEISF RLDSSHKTSSRVNSATKPEEGGLKKNKSAKHGDRENFRKAKQKLGFSSSDPDRVFVELSN SSWSEMSPWVIGTNYTLYPMTPAIEQRLILQYLTPLGEYQELLPIFMQLGSRELMMFYID LKQTNDVLLTFEALKHLASLLLHNKFATEFVAHGGVQKLLEIPRPSMAATGVSMCLYYLS YNQDAMERVCMHPHNVLSDVVNYTLWLMECSHASGCCHATMFFSICFSFRAVLELFDRYD GLRRLVNLISTLEILNLEDQGALLSDDEIFASRQTGKHTCMALRKYFEAHLAIKLEQVKQ SLQRTEGGILVHPQPPYKACSYTHEQIVEMMEFLIEYGPAQLYWEPAEVFLKLSCVQLLL QLISIACNWKTYYARNDTVRFALDVLAILTVVPKIQLQLAESVDVLDEAGSAVSTVGISI ILGVAEGEFFIHDAEIQKSALQIIINCVCGPDNRISSIGKFISGTPRRKLSQTPKSSEHT LAKMWNVVQSNNGIKVLLSLLSIKMPITDADQIRALACKALVGLSRSSTVRQIISKLPLF SSCQIQQLMKEPVLQDKRSDHVKFCKYAAELIERVSGKPLLIGTDVSLARLQKADVVAQS RISFPEKELLLLIRNHLISKGLGETATVLTREADLPMTAASHSSAFTPVTAAASPVSLPR TPRIANGIASRLGSHATVGASAPSAPPAHPPPRPPQGSLPLPGPSYAGNSPLIGRISFIR ERPSPCNGRKIRVLRQKSDHGAYSQSPAIKKQLDRHLPSPPTLDSIITEYLREQHARCKN PVATCPPFSLFTPHQCPEPKQRRQAPINFTSRLNRRASFPKYGGVDGGCFDRHLIFSRFR PISVFREANEDESGFTCCAFSARERFLMLGTCTGQLKLYNVFSGQEEASYNCHNSAITHL EPSRDGSLLLTSATWSQPLSALWGMKSVFDMKHSFTEDHYVEFSKHSQDRVIGTKGDIAH IYDIQTGNKLLTLFNPDLANNYKRNCATFNPTDDLVLNDGVLWDVRSAQAIHKFDKFNMN ISGVFHPNGLEVIINTEIWDLRTFHLLHTVPALDQCRVVFNHTGTVMYGAMLQADDEDDL LEERMKSPFGSSFRTFNATDYKPIATIDVKRNIFDLCTDTKDCYLAVIENQGSMDALNMD TVCRLYEVGRQRLAEDEDEEEDQEEEEQEEEDDDEDDDDTDDLDELDTDQLLEAELEEDD NNENAGEDGDNDFSPSDEELANLLEEGEEGEDEDSDADEEVELILGDTDSSDNSDLEDDI ILSLNE >ENSMUSP00000125730.1 pep:known chromosome:GRCm38:9:106829069:106880992:1 gene:ENSMUSG00000040325.16 transcript:ENSMUST00000161758.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vprbp description:Vpr (HIV-1) binding protein [Source:MGI Symbol;Acc:MGI:2445220] MTTVVVHVDSKAELTTLLEQWEKDHGSGQDMVPILTRMSELIEKETEEYRKGDPDPFDDR HPGRADPECMLGHLLRILFKNDDFMNALVNAYVMTSREPPLNTAACRLLLDIMPGLETAV VFQEKEGIVENLFKWAREADQPLRTYSTGLLGGAMENQDIAANYRDENSQLVAIVLRRLR ELQLQEVALRQDSKRPSPRKLSSEPLLPLDEEAVDMDYGDMAVDVVDGEQESSRDMEISF RLDSSHKTSSRVNSATKPEEGGLKKNKSAKHGDRENFRKAKQKLGFSSSDPDRVFVELSN SSWSEMSPWVIGTNYTLYPMTPAIEQRLILQYLTPLGEYQELLPIFMQLGSRELMMFYID LKQTNDVLLTFEALKHLASLLLHNKFATEFVAHGGVQKLLEIPRPSMAATGVSMCLYYLS YNQDAMERVCMHPHNVLSDVVNYTLWLMECSHASGCCHATMFFSICFSFRAVLELFDRYD GLRRLVNLISTLEILNLEDQGALLSDDEIFASRQTGKHTCMALRKYFEAHLAIKLEQVKQ SLQRTEGGILVHPQPPYKACSYTHEQIVEMMEFLIEYGPAQLYWEPAEVFLKLSCVQLLL QLISIACNWKTYYARNDTVRFALDVLAILTVVPKIQLQLAESVDVLDEAGSAVSTVGISI ILGVAEGEFFIHDAEIQKSALQIIINCVCGPDNRISSIGKFISGTPRRKLSQTPKSSEHT LAKMWNVVQSNNGIKVLLSLLSIKMPITDADQIRALACKALVGLSRSSTVRQIISKLPLF SSCQIQQLMKEPVLQDKRSDHVKFCKYAAELIERVSGKPLLIGTDVSLARLQKADVVAQS RISFPEKELLLLIRNHLISKGLGETATVLTREADLPMTAASHSSAFTPVTAAASPVSLPR TPRIANGIASRLGSHATVGASAPSAPPAHPPPRPPQGSLPLPGPSYAGNSPLIGRISFIR ERPSPCNGRKIRVLRQKSDHGAYSQSPAIKKQLDRHLPSPPTLDSIITEYLREQHARCKN PVATCPPFSLFTPHQCPEPKQRRQAPINFTSRLNRRASFPKYGGVDGGCFDRHLIFSRFR PISVFREANEDESGFTCCAFSARERFLMLGTCTGQLKLYNVFSGQEEASYNCHNSAITHL EPSRDGSLLLTSATWSQPLSALWGMKSVFDMKHSFTEDHYVEFSKHSQDRVIGTKGDIAH IYDIQTGNKLLTLFNPDLANNYKRNCATFNPTDDLVLNDGVLWDVRSAQAIHKFDKFNMN ISGVFHPNGLEVIINTEIWDLRTFHLLHTVPALDQCRVVFNHTGTVMYGAMLQADDEDDL LEERMKSPFGSSFRTFNATDYKPIVMFYFSATIDVKRNIFDLCTDTKDCYLAVIENQGSM DALNMDTVCRLYEVGRQRLAEDEDEEEDQEEEEQEEEDDDEDDDDTDDLDELDTDQLLEA ELEEDDNNENAGEDGDNDFSPSDEELANLLEEGEEGEDEDSDADEEVELILGDTDSSDNS DLEDDIILSLNE >ENSMUSP00000131135.1 pep:known chromosome:GRCm38:9:106874256:106880158:1 gene:ENSMUSG00000040325.16 transcript:ENSMUST00000163657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vprbp description:Vpr (HIV-1) binding protein [Source:MGI Symbol;Acc:MGI:2445220] XEDEDSDADEEVELILGDNSSDNSDLEDDIILSLNE >ENSMUSP00000099480.4 pep:known chromosome:GRCm38:4:152325853:152332480:1 gene:ENSMUSG00000028936.15 transcript:ENSMUST00000103191.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl22 description:ribosomal protein L22 [Source:MGI Symbol;Acc:MGI:99262] MAPVKKLVAKGGKKKKQVLKFTLDCTHPVEDGIMDAANFEQFLQERIKVNGKAGNLGGGV VTIERSKSKITVTSEVPFSKRYLKYLTKKYLKKNNLRDWLRVVANSKESYELRYFQINQD EEEEEDED >ENSMUSP00000118787.1 pep:known chromosome:GRCm38:4:152325867:152334071:1 gene:ENSMUSG00000028936.15 transcript:ENSMUST00000139685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl22 description:ribosomal protein L22 [Source:MGI Symbol;Acc:MGI:99262] MAPVKKLVAKGGKKKKQVLKFTLDCTHPVEDGIMDAANFEQFLQERIKVNGKAGNLGGGV VTIERSKSKITVTSEVPFSKRYLKYLTKKYLKKNNLRDWLRVVANSKESYELRYFQINQD EEEEEDED >ENSMUSP00000140276.1 pep:known chromosome:GRCm38:4:152325869:152334070:1 gene:ENSMUSG00000028936.15 transcript:ENSMUST00000188151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl22 description:ribosomal protein L22 [Source:MGI Symbol;Acc:MGI:99262] MAPVKKLVAKGGKKKKQVLKFTLDCTHPVEDGIMDAANFEQFLQERIKVNGKAGNLGGGV VTIERSKSKITVTSEVPFSKRYLKYLTKKYLKKNNLRDWLRVVANSKESYELRYFQINQD EEEEEDED >ENSMUSP00000123412.1 pep:known chromosome:GRCm38:17:70993656:71002554:-1 gene:ENSMUSG00000024048.14 transcript:ENSMUST00000148960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl12a description:myosin, light chain 12A, regulatory, non-sarcomeric [Source:MGI Symbol;Acc:MGI:1914518] MSSKRAKTKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLAS MGKNPTDEYLDAMMNEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEAIGTIQE DYLRELLTTMGDRFTDEEVDELYREAPIDKKGNFNYIEFTRILKHGAKDKDD >ENSMUSP00000024846.6 pep:known chromosome:GRCm38:17:70994294:70998012:-1 gene:ENSMUSG00000024048.14 transcript:ENSMUST00000024846.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl12a description:myosin, light chain 12A, regulatory, non-sarcomeric [Source:MGI Symbol;Acc:MGI:1914518] MSSKRAKTKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLAS MGKNPTDEYLDAMMNEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEAIGTIQE DYLRELLTTMGDRFTDEEVDELYREAPIDKKGNFNYIEFTRILKHGAKDKDD >ENSMUSP00000116398.1 pep:known chromosome:GRCm38:17:70994549:71002878:-1 gene:ENSMUSG00000024048.14 transcript:ENSMUST00000123686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl12a description:myosin, light chain 12A, regulatory, non-sarcomeric [Source:MGI Symbol;Acc:MGI:1914518] MSSKRAKTKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLAS MGKNPTDEYLDAMMNEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAFACFDEEAIGTIQE DYLRELLTTMGDRFTDEEVDELYREAPIDKKGNFNYIEFTRILKHGAKDKDD >ENSMUSP00000114712.1 pep:known chromosome:GRCm38:17:70996134:71002187:-1 gene:ENSMUSG00000024048.14 transcript:ENSMUST00000150456.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl12a description:myosin, light chain 12A, regulatory, non-sarcomeric [Source:MGI Symbol;Acc:MGI:1914518] MSSKRAKTKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDMLAS MGKNPTDEYLDAMMNEAPGPINFTMFLTMFGEKLNGTDPEDVIRNAF >ENSMUSP00000119491.1 pep:known chromosome:GRCm38:17:70996706:71002017:-1 gene:ENSMUSG00000024048.14 transcript:ENSMUST00000128179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl12a description:myosin, light chain 12A, regulatory, non-sarcomeric [Source:MGI Symbol;Acc:MGI:1914518] MSSKRAKTKTTKKRPQRATSNVFAMFDQSQIQEFKEAFNMIDQNRDGFIDKEDLHDML >ENSMUSP00000029936.4 pep:known chromosome:GRCm38:3:142594847:142619179:1 gene:ENSMUSG00000040264.10 transcript:ENSMUST00000029936.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp2b description:guanylate binding protein 2b [Source:MGI Symbol;Acc:MGI:95666] MASEIHMKGPVCLIKNSGEQLEVYQEALDILSAIQNPVVVVAIVGFYHTGKSYLMNKLAG KQKGFSLGSTVQSHTKGIWMWCMPHPEKPEHTLVLLDTEGLKDMQKGDNQNDCWIFALAV LLSSTFIYNSIGTINQQAMDQLHYVTELTDLIKSKSSPDQSDVDNSANFVGFFPIFVWTL RDFSLDLEFDGESITPDEYLETSLALRKGTDENTKKFNMPRLCIRKFFPKRKCFIFDRPG DRKQLSKLEWIQEDQLNKEFVEQVAEFTSYIFSYSGVKTLSGGITVNGPRLKSLVQTYVS AICSGELPCMENAVLTLAQIENSAAVQKAITYYEEQMNQKIHMPTETLQELLDLHRTCER EAIEVFMKNSFKDVDQKFQEELGAQLEAKRDAFVKKNMDMSSAHCSDLLEGLFAHLEEEV KQGTFYKPGGYYLFLQRKQELEKKYIQTPGKGLQAEVMLRKYFESKEDLADTLLKMDQSL TEKEKQIEMERIKAEAAEAANRALAEMQKKHEMLMEQKEQSYQEHMKQLTEKMEQERKEL MAEQQRIISLKLQEQERLLKQGFQNESLQLRQEIEKIKNMPPPRSCTIL >ENSMUSP00000070109.7 pep:known chromosome:GRCm38:6:72414308:72430712:1 gene:ENSMUSG00000053460.8 transcript:ENSMUST00000065906.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggcx description:gamma-glutamyl carboxylase [Source:MGI Symbol;Acc:MGI:1927655] MAVHRGSALVAPASDKVQKNKSAQTSGLKQGSRMEKILGFEWTDLSSWQSVVTLLNKPTD PANLAVFRFLFAFLMLLDIPQERGLSSLDRKYLDGLDVCRFPLLDALRPLPLDWMYLVYT IMFLGALGMMLGLCYRLSCVLFLLPYWYVFLLDKTSWNNHSYLYGLLAFQLTFMDANHYW SVDGLLNARKKNAHVPLWNYTVLRGQIFIVYFIAGVKKLDADWVGGYSMEHLSRHWLFSP FKLVLSEELTSLLVVHWCGLLLDLSAGFLLFFDASRPVGLFFVSYFHCMNSQLFSIGMFP YVMLASSPLFCSAEWPRKLVARCPKRLQELLPTKAAPRPSASCVYKRSRGKAGPKPGLRH QLGAIFTLLYLLEQLFLPYSHFLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGLT GELGYLNPGVFTQSRRWKDHADMLKQYATCLSLLLPKYNVTEPQIYFDIWVSINDRFQQR LFDPRVDIVQAVWSPFQRTPWVQPLLMDLSPWRTKLQDIKSSLDNHTEVVFIADFPGLHL ENFVSEDLGNTSIQLLQGEVTVELVAEQKNQTLQEGEKMQLPAGEYHKVYTVSSSPSCYM YVYVNTTEVALEQDLAYLQELKEKVENGSETGPLPPELQPLLEGEVKGGPEPTPLVQTFL RRQRKLQEIERRRNSPFHERFLRFVLRKLYVFRRSFLMTRISLRNLLLGRPSLEQLAQEV TYANLRPFEPVDESSASNTDSSNHPSEPDSEHVHSEF >ENSMUSP00000146112.1 pep:known chromosome:GRCm38:6:72414341:72430401:1 gene:ENSMUSG00000053460.8 transcript:ENSMUST00000205738.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ggcx description:gamma-glutamyl carboxylase [Source:MGI Symbol;Acc:MGI:1927655] MAVHRGSALVAPASDKVQKNKSAQTSGLKQGSRMEKILGFEWTDLSSWQSVVTLLNKPTD PANLAVFRFLFAFLMLLDIPQERGLSSLDRKYLDGLDVCLLPATCTSMSTLQRSHWSKTW HICKN >ENSMUSP00000146123.1 pep:known chromosome:GRCm38:6:72417855:72430242:1 gene:ENSMUSG00000053460.8 transcript:ENSMUST00000207012.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ggcx description:gamma-glutamyl carboxylase [Source:MGI Symbol;Acc:MGI:1927655] XFLMLLDIPQERGLSSLDRKYLDGLDVCRFPLLDALRPLPLDWIPREAGPVVVTL >ENSMUSP00000145976.1 pep:known chromosome:GRCm38:6:72417906:72424040:1 gene:ENSMUSG00000053460.8 transcript:ENSMUST00000132995.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ggcx description:gamma-glutamyl carboxylase [Source:MGI Symbol;Acc:MGI:1927655] XRKYLDGLDVCRFPLLDALRPLPLDWMYLVYTIMFLVPGKLGLWW >ENSMUSP00000145557.1 pep:known chromosome:GRCm38:6:72426617:72429258:1 gene:ENSMUSG00000053460.8 transcript:ENSMUST00000207000.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ggcx description:gamma-glutamyl carboxylase [Source:MGI Symbol;Acc:MGI:1927655] XLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGLTGELGYLNPGAF >ENSMUSP00000030948.9 pep:known chromosome:GRCm38:4:155847402:155859303:1 gene:ENSMUSG00000029071.16 transcript:ENSMUST00000030948.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dvl1 description:dishevelled segment polarity protein 1 [Source:MGI Symbol;Acc:MGI:94941] MAETKIIYHMDEEETPYLVKLPVAPERVTLADFKNVLSNRPVHAYKFFFKSMDQDFGVVK EEIFDDNAKLPCFNGRVVSWLVLAEGAHSDAGSQGTDSHTDLPPPLERTGGIGDSRPPSF HPNVASSRDGMDNETGTESMVSHRRERARRRNRDEAARTNGHPRGDRRRDLGLPPDSAST VLSSELESSSFIDSDEEDNTSRLSSSTEQSTSSRLVRKHKCRRRKQRLRQTDRASSFSSI TDSTMSLNIITVTLNMERHHFLGISIVGQSNDRGDGGIYIGSIMKGGAVAADGRIEPGDM LLQVNDVNFENMSNDDAVRVLREIVSQTGPISLTVAKCWDPTPRSYFTIPRADPVRPIDP AAWLSHTAALTGALPRYGTSPCSSAITRTSSSSLTSSVPGAPQLEEAPLTVKSDMSAIVR VMQLPDSGLEIRDRMWLKITIANAVIGADVVDWLYTHVEGFKERREARKYASSMLKHGFL RHTVNKITFSEQCYYVFGDLCSNLASLNLNSGSSGASDQDTLAPLPHPSVPWPLGQGYPY QYPGPPPCFPPAYQDPGFSCGSGSAGSQQSEGSKSSGSTRSSHRTPGREERRATGAGGSG SESDHTVPSGSGSTGWWERPVSQLSRGSSPRSQASAVAPGLPPLHPLTKAYAVVGGPPGG PPVRELAAVPPELTGSRQSFQKAMGNPCEFFVDIM >ENSMUSP00000133137.1 pep:known chromosome:GRCm38:4:155847461:155858878:1 gene:ENSMUSG00000029071.16 transcript:ENSMUST00000168552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dvl1 description:dishevelled segment polarity protein 1 [Source:MGI Symbol;Acc:MGI:94941] MAETKIIYHMDEEETPYLVKLPVAPERVTLADFKNVLSNRPVHAYKFFFKSMDQDFGVVK EEIFDDNAKLPCFNGRVVSWLVLAEGAHSDAGSQGTDSHTDLPPPLERTGGIGDSRPPSF HPNVASSRDGMDNETGTESMVSHRRERARRRNRDEAARTNGHPRGDRRRDLGLPPDSAST VLSSELESSSFIDSDEEDNTSRLSSSTEQSTSSRLVRKHKCRRRKQRLRQTDRASSFSSI TDSTMSLNIITVTLNMERHHFLGISIVGQSNDRGDGGIYIGSIMKGGAVAADGRIEPGDM LLQVNDVNFENMSNDDAVRVLREIVSQTGPISLTVAKCWDPTPRSYFTIPRADPVRPIDP AAWLSHTAALTGALPRYGTSPCSSAITRTSSSSLTSSVPGAPQLEEAPLTVKSDMSAIVR VMQLPDSGLEIRDRMWLKITIANAVIGADVVDWLYTHVEGFKERREARKYASSMLKHGFL RHTVNKITFSEQCYYVFGDLCSNLASLNLNSGSSGASDQDTLAPLPHPSVPWPLGQGYPY QYPGPPPCFPPAYQDPGFSCGSGSAGSQQSEGSKSSGSTRSSHRTPGREERRATGAGGSG SESDHTVPSGSGSTGWWERPVSQLSRGSSPRSQASAVAPGLPPLHPLTKAYAVVGGPPGG PPVRELAAVPPELTGSRQSFQKAMGNPCEFFVDIM >ENSMUSP00000025983.6 pep:known chromosome:GRCm38:19:40831279:40848572:1 gene:ENSMUSG00000025010.13 transcript:ENSMUST00000025983.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnj description:cyclin J [Source:MGI Symbol;Acc:MGI:2443297] MELEGQWWRGQLAADIHQALRYKELKLPSYKGQSPQLNLRRYFADLIAIVSNRFTLCPPA RHLAVYLLDLFMDRYDISIQQLHLVALSCLLLASKFEEKEDSVPKLEQLNSLGCMTNMNL VLTKQTLLHMELLLLETFQWNLCLPTAAHFIEYYLSEAVHETDLHDGWPMVCLEKTKLYM AKYADYFLEVSLQDYAFLNYAPSLVAAACVASSRIILRLSPTWPTRLHRLTAYSWDFLVQ CIERLLLAHDNDVKEANKQRGQSAPQSTQLTVFQTAQPSRPVHFQQPQYLHQSSLQYRHP VSEQPSRQQIVSTTHTSSYTLQTCPAGFQTSVQGLGHMQTGVGMSLAIPVEVKPCLSVSY NRSYQINEHFPCITPCFER >ENSMUSP00000113712.1 pep:known chromosome:GRCm38:19:40831279:40848572:1 gene:ENSMUSG00000025010.13 transcript:ENSMUST00000120057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnj description:cyclin J [Source:MGI Symbol;Acc:MGI:2443297] MELEGQWWRGQLAADIHQALRYKELKLPSYKGQSPQLNLRRYFADLIAIVSNRFTLCPPA RHLAVYLLDLFMDRYDISIQQLHLVALSCLLLASKFEEKEDSVPKLEQLNSLGCMTNMNL VLTKQTLLHMELLLLETFQWNLCLPTAAHFIEYYLSEAVHETDLHDGWPMVCLEKTKLYM AKYADYFLEVSLQAAACVASSRIILRLSPTWPTRLHRLTAYSWDFLVQCIERLLLAHDND VKEANKQRGQSAPQSTQLTVFQTAQPSRPVHFQQPQYLHQSSLQYRHPVSEQPSRQQIVS TTHTSSYTLQTCPAGFQTSVQGLGHMQTGVGMSLAIPVEVKPCLSVSYNRSYQINEHFPC ITPCFER >ENSMUSP00000112643.1 pep:known chromosome:GRCm38:19:40831308:40848009:1 gene:ENSMUSG00000025010.13 transcript:ENSMUST00000119316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnj description:cyclin J [Source:MGI Symbol;Acc:MGI:2443297] MELEGQWWRGQLAADIHQALRYKELKLPSYKGQSPQLNLRRYFADLIAIVSNRFTLCPPA RHLAVYLLDLFMDRYDISIQQLHLVALSCLLLASKFEEKEDSVPKLEQLNSLGCMTNMNL VLTKQTLLHMELLLLETFQWNLCLPTAAHFIEYYLSEAVHETDLHDGWPMVCLEKTKLYM AKYADYFLEVSLQDYAFLNYAPSLVAAACVASSRIILRLSPTWPTRLHRLTAYSWDFLVQ CIERLLLAHDNDVKEANKQRGQSAPQSTQLTVFQTAQPSRPVHFQQPQYLHQSSLQYRHP VSEQPSRQQIVSTTHTSSYTLQTCPAGFQTSVQGLGHMQTGVGMSLAIPVEVKPCLSVSY NRSYQINEHFPCITPCFER >ENSMUSP00000043462.3 pep:known chromosome:GRCm38:2:30823097:30830333:-1 gene:ENSMUSG00000039483.10 transcript:ENSMUST00000041726.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb6 description:ankyrin repeat and SOCS box-containing 6 [Source:MGI Symbol;Acc:MGI:1919573] MPFLHGFRRIIFEYQPLVDAILGALGIQDLERQEPLDDSASSEESRILVLTELLEQKAHS PFYQEGVSNALLKMAELGLTRAAAVLLQSGANLNFEDPVTYYTALHIAVLRNQPDMVELL VRHGADINRRDRIHESSPLDLASEEPERLPCLQRLLDLGADVNAADKNGKTALLHALASS DGVQIHNTENIRLLLEGGADVKATTKDGDTVFTCIIFLLGETVCGDKEEAPMINRFCFQV TQLLLAHGADPSECPAHESLTHICLKSFKLHFPLLCFLLESGAAYNCSLHGASCWSGFNL VFERLCSHPGCAEDDSHIELLHKAETVLDLMVTSSQRLQLPENLNIHPVGSLAGKIQALH ASLRQLESYPPPLKHLCRVSIRLCLRPWPVDTKVKALPLPDRLKWYLLSAHSDTQDTC >ENSMUSP00000142231.1 pep:known chromosome:GRCm38:2:30824470:30828265:-1 gene:ENSMUSG00000039483.10 transcript:ENSMUST00000129628.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asb6 description:ankyrin repeat and SOCS box-containing 6 [Source:MGI Symbol;Acc:MGI:1919573] MPFLHGFRRIIFEYQPLVDAILGALGIQDLERQEPLDE >ENSMUSP00000109167.2 pep:known chromosome:GRCm38:6:90369492:90385084:1 gene:ENSMUSG00000034430.16 transcript:ENSMUST00000113539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zxdc description:ZXD family zinc finger C [Source:MGI Symbol;Acc:MGI:1933108] MDLPAVLAAPATRGDQHGGGPSRLRRGAGPSLGAGPGRRRLLLLRGPEDGGPGPRPEEAP GPSPPPPEDGGDSFVVLLEVPRAADTHGQEEAEPDSGASPTEQVPAAAPGAALAGTVTIH NQDLLVRFDRGVFTLAAAPAPAAPSLHPATTPGLEPSSAAASRRGPVAASAGSPAYRCPE PQCALSFAKKHQLKVHLLTHGSLQGRRPFKCPLDGCGWAFTTSYKLKRHLQSHDKLRPFS CPVGGCGKKFTTVYNLKAHMKGHEQESLFKCEVCAERFPTHAKLNSHQRSHFEPERPYKC DFPGCEKTFITVSALFSHNRAHFREQELFSCSFPGCNKQYDKACRLKIHLRSHTGERPFI CDSDSCGWTFTSMSKLLRHKRKHDDDRRFTCPVEGCGKSFTRAEHLKGHSITHLGTKPFE CPVEGCCARFSARSSLYIHSKKHLQDVGTPKSRCPVSSCNRLFTSKHSMKAHVVRQHSRR QDLVPQLEAPSSLTPSSELSSPGQSELTNIDLAALFSDTPANSSSSTAGSDEALNSGILT IDVTSVSSSLGGNLPTNNNSLGPMDPLVLVAHGDMPPSLDSPLVLGTSATVLQPGSFSAD DSQAMSTGAVGCLVALPVRNLNQDSPALTPSNNLTAPGTTPTSSDTTQETGSVPDLLVPI KVEQDLSPVPDVVQGQKESHGPSQSVLSSSTERPGAQKDSELSAGTGSLYLV >ENSMUSP00000074619.3 pep:known chromosome:GRCm38:6:90369494:90403490:1 gene:ENSMUSG00000034430.16 transcript:ENSMUST00000075117.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zxdc description:ZXD family zinc finger C [Source:MGI Symbol;Acc:MGI:1933108] MDLPAVLAAPATRGDQHGGGPSRLRRGAGPSLGAGPGRRRLLLLRGPEDGGPGPRPEEAP GPSPPPPEDGGDSFVVLLEVPRAADTHGQEEAEPDSGASPTEQVPAAAPGAALAGTVTIH NQDLLVRFDRGVFTLAAAPAPAAPSLHPATTPGLEPSSAAASRRGPVAASAGSPAYRCPE PQCALSFAKKHQLKVHLLTHGSLQGRRPFKCPLDGCGWAFTTSYKLKRHLQSHDKLRPFS CPVGGCGKKFTTVYNLKAHMKGHEQESLFKCEVCAERFPTHAKLNSHQRSHFEPERPYKC DFPGCEKTFITVSALFSHNRAHFREQELFSCSFPGCNKQYDKACRLKIHLRSHTGERPFI CDSDSCGWTFTSMSKLLRHKRKHDDDRRFTCPVEGCGKSFTRAEHLKGHSITHLGTKPFE CPVEGCCARFSARSSLYIHSKKHLQDVGTPKSRCPVSSCNRLFTSKHSMKAHVVRQHSRR QDLVPQLEAPSSLTPSSELSSPGQSELTNIDLAALFSDTPANSSSSTAGSDEALNSGILT IDVTSVSSSLGGNLPTNNNSLGPMDPLVLVAHGDMPPSLDSPLVLGTSATVLQPGSFSAD DSQAMSTGAVGCLVALPVRNLNQDSPALTPSNNLTAPGTTPTSSDTTQETGSVPDLLVPI KVEQDLSPVPDVVQGQKESHGPSQSVLSSSTERPGAQKDSELSAGTGSLYLESGGSARTD YRAIQLVKKKKQKGTGSDEGASDSAHRKVKGGTINPPHVHSGQHSCFCGTLMVPSGGLTV PAPAAGLQCVQIPVLQDDPSGEGGLPLGLSPQRSAFHPYFTVDLPVYVLQEVLPAPGGFA GLETAQVPGSTINLRDLE >ENSMUSP00000036329.7 pep:known chromosome:GRCm38:6:90369608:90384215:1 gene:ENSMUSG00000034430.16 transcript:ENSMUST00000045740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zxdc description:ZXD family zinc finger C [Source:MGI Symbol;Acc:MGI:1933108] MDLPAVLAAPATRGDQHGGGPSRLRRGAGPSLGAGPGRRRLLLLRGPEDGGPGPRPEEAP GPSPPPPEDGGDSFVVLLEVPRAADTHGQEEAEPDSGASPTEQVPAAAPGAALAGTVTIH NQDLLVRFDRGVFTLAAAPAPAAPSLHPATTPGLEPSSAAASRRGPVAASAGSPAYRCPE PQCALSFAKKHQLKVHLLTHGSLQGRRPFKCPLDGCGWAFTTSYKLKRHLQSHDKLRPFS CPVGGCGKKFTTVYNLKAHMKGHEQESLFKCEVCAERFPTHAKLNSHQRSHFEPERPYKC DFPGCEKTFITVSALFSHNRAHFREQELFSCSFPGCNKQYDKACRLKIHLRSHTGERPFI CDSDSCGWTFTSMSKLLRHKRKHDDDRRFTCPVEGCGKSFTRAEHLKGHSITHLGTKPFE CPVEGCCARFSARSSLYIHSKKHLQDVGTPKSRCPVSSCNRLFTSKHSMKAHVVRQHSRR QDLVPQLEAPSSLTPSSELSSPGQRKWGLSKN >ENSMUSP00000144992.1 pep:known chromosome:GRCm38:6:90369613:90383103:1 gene:ENSMUSG00000034430.16 transcript:ENSMUST00000203493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zxdc description:ZXD family zinc finger C [Source:MGI Symbol;Acc:MGI:1933108] XSSPVAAVRTPQLGLRWTSRRPLVLGTSATVLQPGSFSADDSQAMSTGAVGCLVALPVRN LNQDSPALTPSNNLTAPGTTPTSSDTTQETGSVPDLLVPIKVEQDLSPVPDVVQGQKESH GPSQSVLSSSTERPGAQKDSELSAGTGSLYLV >ENSMUSP00000000349.6 pep:known chromosome:GRCm38:3:116513070:116549981:1 gene:ENSMUSG00000000340.10 transcript:ENSMUST00000000349.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbt description:dihydrolipoamide branched chain transacylase E2 [Source:MGI Symbol;Acc:MGI:105386] MAAARVLRTWSQNAVRLTCVRYFQTFNSARVLKPKCVCSVGYPLFKYSQPRHSLRTAAVL QGQVVQFKLSDIGEGIREVTIKEWYVKEGDTVSQFDSICEVQSDKASVTITSRYDGVIKR LYYNLDDIAYVGKPLIDIETEALKDSEEDVVETPAVSHDEHTHQEIKGQKTLATPAVRRL AMENNIKLSEVVGSGKDGRILKEDILSFLEKQTGAILPPSPKSEITPPPPQPKDRTFPTP IAKPPVFTGKDRTEPVTGFQKAMVKTMSAALKIPHFGYCDEIDLTQLVKLREELKPVALA RGIKLSFMPFFLKAASLGLLQFPILNASVDENCQNITYKASHNIGIAMDTELGLIVPNVK NVQVRSVFEIAMELNRLQKLGSSGQLGTTDLTGGTFTLSNIGSIGGTYAKPVILPPEVAI GALGAIKALPRFDQKGDVYKAQIMNVSWSADHRVIDGATMSRFSNLWKSYLENPAFMLLD LK >ENSMUSP00000143696.1 pep:known chromosome:GRCm38:3:116513119:116539157:1 gene:ENSMUSG00000000340.10 transcript:ENSMUST00000197201.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbt description:dihydrolipoamide branched chain transacylase E2 [Source:MGI Symbol;Acc:MGI:105386] MENNIKLSEVVGSGKDGRILKEDILSF >ENSMUSP00000143713.1 pep:known chromosome:GRCm38:3:116513128:116539179:1 gene:ENSMUSG00000000340.10 transcript:ENSMUST00000199614.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dbt description:dihydrolipoamide branched chain transacylase E2 [Source:MGI Symbol;Acc:MGI:105386] MAAARVLRTWSQNAVRLTCVRYFQTFNSARVLKPKCVCSVGYPLFKYSQPRHSLRTAAGM >ENSMUSP00000036491.8 pep:known chromosome:GRCm38:7:140137564:140150786:1 gene:ENSMUSG00000039018.14 transcript:ENSMUST00000036977.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtg1 description:mitochondrial GTPase 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685015] MRLWPQAWGAVRGAWRECFPLQGHDVARWFPGHMAKGLKKMQSSLKSVDCVIEVHDARIP FSGRNPLFQELLGLKPHLLVLNKMDLADLTEQQKIVQRLEEKGLSNVLFTNCVKDENIKQ IVPKVMELIRCSYRYHRAETPEYCIMVVGVPNVGKSSLINSLRRQHLRTGKAARVGGEPG ITRAVTSRIQVCERPLVFLLDTPGVLAPRIESVETGLKLALCGTVLDHLVGEETMADYLL YTLNRHGLFGYVQHYALASACDQIEWVLKNVAIKLRKTRKVKVLTGTGNVNVIQPDYAMA ARDFLRTFRSGLLGQVMLDRDIIPAC >ENSMUSP00000141834.1 pep:known chromosome:GRCm38:1:160978606:160997414:1 gene:ENSMUSG00000026715.12 transcript:ENSMUST00000195438.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serpinc1 description:serine (or cysteine) peptidase inhibitor, clade C (antithrombin), member 1 [Source:MGI Symbol;Acc:MGI:88095] MTKLGACNDTLKQLMEVFKFDTISEKTSDQIHFFFAKLNCRLYRKANKSSDLVSANRLFG DKSLTFNESYQDVSEVVYGAKLQPLDFKVKSGAIQSDHQQLGS >ENSMUSP00000068971.5 pep:known chromosome:GRCm38:1:160978646:161005863:1 gene:ENSMUSG00000026715.12 transcript:ENSMUST00000064725.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinc1 description:serine (or cysteine) peptidase inhibitor, clade C (antithrombin), member 1 [Source:MGI Symbol;Acc:MGI:88095] MYSPGAGSGAAGERKLCLLSLLLIGALGCAICHGNPVDDICIAKPRDIPVNPLCIYRSPG KKATEEDGSEQKVPEATNRRVWELSKANSRFATNFYQHLADSKNDNDNIFLSPLSISTAF AMTKLGACNDTLKQLMEVFKFDTISEKTSDQIHFFFAKLNCRLYRKANKSSDLVSANRLF GDKSLTFNESYQDVSEVVYGAKLQPLDFKENPEQSRVTINNWVANKTEGRIKDVIPQGAI NELTALVLVNTIYFKGLWKSKFSPENTRKEPFYKVDGQSCPVPMMYQEGKFKYRRVAEGT QVLELPFKGDDITMVLILPKPEKSLAKVEQELTPELLQEWLDELSETMLVVHMPRFRTED GFSLKEQLQDMGLIDLFSPEKSQLPGIVAGGRDDLYVSDAFHKAFLEVNEEGSEAAASTS VVITGRSLNPNRVTFKANRPFLVLIREVALNTIIFMGRVANPCVN >ENSMUSP00000142122.1 pep:known chromosome:GRCm38:1:160978652:160993632:1 gene:ENSMUSG00000026715.12 transcript:ENSMUST00000191936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinc1 description:serine (or cysteine) peptidase inhibitor, clade C (antithrombin), member 1 [Source:MGI Symbol;Acc:MGI:88095] MTKLGACNDTLKQLMEVFKFDTISEKTSDQIHFFFAKLNCRLYRKANKSSDLVSANRLFG DKSLTFNESYQDVSEVVYGAKLQPLDFKVSCR >ENSMUSP00000141864.1 pep:known chromosome:GRCm38:1:160978688:160989658:1 gene:ENSMUSG00000026715.12 transcript:ENSMUST00000195760.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinc1 description:serine (or cysteine) peptidase inhibitor, clade C (antithrombin), member 1 [Source:MGI Symbol;Acc:MGI:88095] MYSPGAGSGAAGERKLCLLSLLLIGALGCAICHGNPVDDICIAKPRDIPVNPLCIYRSPG KKATEEDGSEQKVPEATNRRVWELSKANSRFATNFYQHLADSKNDND >ENSMUSP00000141556.1 pep:known chromosome:GRCm38:1:160984768:160997443:1 gene:ENSMUSG00000026715.12 transcript:ENSMUST00000194592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinc1 description:serine (or cysteine) peptidase inhibitor, clade C (antithrombin), member 1 [Source:MGI Symbol;Acc:MGI:88095] MYSPGAGSGAAGERKLCLLSLLLIGALGCAICHGNPVDDICIAKPRDIPVNPLCIYRSPG KKATEEDGSEQKVPEATNRRVWELSKANSRFATNFYQHLADSKNDNDNIFLSPLSISTAF AMTKLGACNDTLKQLMEVFKFDTISEKTSDQIHFFFAKLNCRLYRKANKSSDLVSANRLF GDKSLTFNESYQDVSEVVYGAKLQPLDFKENPEQSRVTINNWVANKTEGRIKDVIPQGAI NELTALVLVNTIYFKGLWKSKFSPENTRKEPFYKVDGQSCPVPMMY >ENSMUSP00000141885.1 pep:known chromosome:GRCm38:1:160989377:161002463:1 gene:ENSMUSG00000026715.12 transcript:ENSMUST00000194455.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serpinc1 description:serine (or cysteine) peptidase inhibitor, clade C (antithrombin), member 1 [Source:MGI Symbol;Acc:MGI:88095] XEALSPLSAPHRCLGLCYLSRKPCGRHLHSEAPRHPRESLVHLPLPWEEGRNRSIRSMGS HAQCL >ENSMUSP00000079950.3 pep:known chromosome:GRCm38:6:57843464:57844498:-1 gene:ENSMUSG00000058588.5 transcript:ENSMUST00000081186.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r21 description:vomeronasal 1 receptor 21 [Source:MGI Symbol;Acc:MGI:2159464] MSSSNTVLYFQAGFGVLVNMFLLFFYTFIILCHRPKPMDLFSCQLTFIHIMMILTGGDTL LADILESLKFGNDIKCKTVFYINRVMRGLSICITCLLSVFQAVTISPNTFLFAKFKQKLK KYMMYAFFYLWSFNVLFSSRWFFYVAGFTNMSETHQMKVSKSCFLYPVNHIIRGLMLTVA MSRDVFLVGVMMTTSTYMVIILFRHQRQCKHLHSISNLRGSPEKRATQIILLLVVFFVVM YWVDFIISFTSILLWAYDPVVLTVQMFVMNIYPTITPVVQISSDKRIINVLKNLRSE >ENSMUSP00000145398.1 pep:known chromosome:GRCm38:6:57844135:57850352:-1 gene:ENSMUSG00000058588.5 transcript:ENSMUST00000203310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r21 description:vomeronasal 1 receptor 21 [Source:MGI Symbol;Acc:MGI:2159464] MSSSNTVLYFQAGFGVLVNMFLLFFYTFIILCHRPKPMDLFSCQLTFIHIMMILTGGDTL LADILESLKFGNDIKCKTVFYINRVMRGLSICITCLLSVFQAVTISP >ENSMUSP00000144978.1 pep:known chromosome:GRCm38:6:57844142:57850354:-1 gene:ENSMUSG00000058588.5 transcript:ENSMUST00000203488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r21 description:vomeronasal 1 receptor 21 [Source:MGI Symbol;Acc:MGI:2159464] MSSSNTVLYFQAGFGVLVNMFLLFFYTFIILCHRPKPMDLFSCQLTFIHIMMILTGGDTL LADILESLKFGNDIKCKTVFYINRVMRGLSICITCLLSVFQAVTI >ENSMUSP00000132970.1 pep:known chromosome:GRCm38:3:142530342:142547050:1 gene:ENSMUSG00000040253.15 transcript:ENSMUST00000171263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp7 description:guanylate binding protein 7 [Source:MGI Symbol;Acc:MGI:2444421] MASGPNMEAPVCLVENENEELRVNSKAINILERITQPVVVVAIVGLYRTGKSYLMNRLAG QNHGFNLGTTVRSETKGIWMWCVPHPSKPKFTLVLLDTEGLGDVEKGDPKNDSWIFALAV LLSSTFVYNSMSTINHQALEQLHYVTELTERIRAKSTSRSEEVDDSDEFVSFFPDFIWTV RDFVLELKLEGRVITADEYLENALKLIPGMSIKAQKANLPRECIRHFFPRRKCFVFDRPT KDKELLVHVEEMPEDQLDHSFQVQSKEFCSYIFSNSKAKTLKEGIVVNGNRLATLVTTYV DAINSGDVPCLENAVTTLAQRENSIAVQKAADHYSEQMAQRMRLPTDTLQELLTVHTACE KEAIAVFMEHSFKDENQQFQKNLVVTIEEKKEDFLRQNEAASLSHCQAELDKLSESLRES ISRGVFSVPGGHRLYLEARKKVEQDYERVPRKGVKANHVLQSFLQSQISIEDSIMQSDKA LTDGQKAMEAERAQKEAAEKEQELLRQKQKELQQVMEAQERSYKENVAQLHEKMETERKN ILREQEVKLEHKLKIQKDMLNEGFKRKCEAMDLEISQLQKEIQLNKEKNSSLGAKILDGF GDVLISVVPGSGKYFGLGLKILSSQMNQTQNSDKVRKL >ENSMUSP00000049104.9 pep:known chromosome:GRCm38:3:142530342:142550149:1 gene:ENSMUSG00000040253.15 transcript:ENSMUST00000045097.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp7 description:guanylate binding protein 7 [Source:MGI Symbol;Acc:MGI:2444421] MASGPNMEAPVCLVENENEELRVNSKAINILERITQPVVVVAIVGLYRTGKSYLMNRLAG QNHGFNLGTTVRSETKGIWMWCVPHPSKPKFTLVLLDTEGLGDVEKGDPKNDSWIFALAV LLSSTFVYNSMSTINHQALEQLHYVTELTERIRAKSTSRSEEVDDSDEFVSFFPDFIWTV RDFVLELKLEGRVITADEYLENALKLIPGMSIKAQKANLPRECIRHFFPRRKCFVFDRPT KDKELLVHVEEMPEDQLDHSFQVQSKEFCSYIFSNSKAKTLKEGIVVNGNRLATLVTTYV DAINSGDVPCLENAVTTLAQRENSIAVQKAADHYSEQMAQRMRLPTDTLQELLTVHTACE KEAIAVFMEHSFKDENQQFQKNLVVTIEEKKEDFLRQNEAASLSHCQAELDKLSESLRES ISRGVFSVPGGHRLYLEARKKVEQDYERVPRKGVKANHVLQSFLQSQISIEDSIMQSDKA LTDGQKAMEAERAQKEAAEKEQELLRQKQKELQQVMEAQERSYKENVAQLHEKMETERKN ILREQEVKLEHKLKIQKDMLNEGFKRKCEAMDLEISQLQKEIQLNKEKNSSLGAKILDGF GDVLISVVPGSGKYFGLGLKILSSQMNQTQNSDKVRKL >ENSMUSP00000120628.1 pep:known chromosome:GRCm38:2:164940780:164948894:1 gene:ENSMUSG00000017737.2 transcript:ENSMUST00000137626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp9 description:matrix metallopeptidase 9 [Source:MGI Symbol;Acc:MGI:97011] MSPWQPLLLALLAFGCSSAAPYQRQPTFVVFPKDLKTSNLTDTQLAEAYLYRYGYTRAAQ MMGEKQS >ENSMUSP00000017881.2 pep:known chromosome:GRCm38:2:164948219:164955850:1 gene:ENSMUSG00000017737.2 transcript:ENSMUST00000017881.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp9 description:matrix metallopeptidase 9 [Source:MGI Symbol;Acc:MGI:97011] MSPWQPLLLALLAFGCSSAAPYQRQPTFVVFPKDLKTSNLTDTQLAEAYLYRYGYTRAAQ MMGEKQSLRPALLMLQKQLSLPQTGELDSQTLKAIRTPRCGVPDVGRFQTFKGLKWDHHN ITYWIQNYSEDLPRDMIDDAFARAFAVWGEVAPLTFTRVYGPEADIVIQFGVAEHGDGYP FDGKDGLLAHAFPPGAGVQGDAHFDDDELWSLGKGVVIPTYYGNSNGAPCHFPFTFEGRS YSACTTDGRNDGTPWCSTTADYDKDGKFGFCPSERLYTEHGNGEGKPCVFPFIFEGRSYS ACTTKGRSDGYRWCATTANYDQDKLYGFCPTRVDATVVGGNSAGELCVFPFVFLGKQYSS CTSDGRRDGRLWCATTSNFDTDKKWGFCPDQGYSLFLVAAHEFGHALGLDHSSVPEALMY PLYSYLEGFPLNKDDIDGIQYLYGRGSKPDPRPPATTTTEPQPTAPPTMCPTIPPTAYPT VGPTVGPTGAPSPGPTSSPSPGPTGAPSPGPTAPPTAGSSEASTESLSPADNPCNVDVFD AIAEIQGALHFFKDGWYWKFLNHRGSPLQGPFLTARTWPALPATLDSAFEDPQTKRVFFF SGRQMWVYTGKTVLGPRSLDKLGLGPEVTHVSGLLPRRLGKALLFSKGRVWRFDLKSQKV DPQSVIRVDKEFSGVPWNSHDIFQYQDKAYFCHGKFFWRVSFQNEVNKVDHEVNQVDDVG YVTYDLLQCP >ENSMUSP00000111381.2 pep:known chromosome:GRCm38:18:35964830:36014712:1 gene:ENSMUSG00000024347.16 transcript:ENSMUST00000115716.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd2 description:pleckstrin and Sec7 domain containing 2 [Source:MGI Symbol;Acc:MGI:1921252] MDEEKLPCELHKEGSATQEDHGLEPEEEPGLQNGTAASEGLSSHISGPGGEKTLEGTMEP VRGPDVALPGLNLSLTNGLALGQDGNILEDSIEFKTWRSGPAEEEDVPGSPCPDAGDPQL GLDCPGEPDVRDGFSATFEKILESELLRGTQYSSLDSLDVLSLTDESDSCVSFEAPLTPL IQQRARDSPEAGAGLGNGDMGPEGDLGATGGCDGELGSPLRRSISSSRSENVLSHLSLTS VPNGFHEDGPGGSGGDDEDDEDTDKLLNSASDTSLKDGLSDSDSELSSSEGLEPGSTDPL ANGCQGVSEAARRLARRLYHLEGFQRCDVARQLGKNNEFSRLVAGEYLSFFDFSGLTLDR ALRTFLKAFPLMGETQERERVLTHFSRRYCQCNPDDSTSEDGIHTLTCALMLLNTDLHGH NIGKKMSCQQFIANLDQLNDGQDFAKDLLKTLYNSIKNEKLEWAIDEDELRKSLSELVDD KFGTGTKKVTRILDGGNPFLDVPQALNATTYKHGVLTRKTHADMDGKRTPRGRRGWKKFY AVLKGTILYLQKDEYRLDKALSEGDLKNAIRVHHALATRASDYSKKSNVLKLKTADWRVF LFQAPSKEEMLSWILRINLVAAIFSAPAFPAAVSSMKKFCRPLLPSCTTRLCQEEQLRSH ENKLRQVTAELAEHRCHPLERGLKSKEAEEYRLKEHYLTFEKSRYETYIHLLAVKIKVGS DDLERIEARLATIEGDDPALRKTHSSPALSLGHGPVTGSKATKDTSASDT >ENSMUSP00000135616.1 pep:known chromosome:GRCm38:18:35965065:36014712:1 gene:ENSMUSG00000024347.16 transcript:ENSMUST00000176873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd2 description:pleckstrin and Sec7 domain containing 2 [Source:MGI Symbol;Acc:MGI:1921252] MDEEKLPCELHKEGSATQEDHGLEPEEEPGLQNGTAASEGLSSHISGPGGEKTLEGTMEP VRGPDVALPGLNLSLTNGLALGQDGNILEDSIEFKTWRSGPAEEEDVPGSPCPDAGDPQL GLDCPGEPDVRDGFSATFEKILESELLRGTQYSSLDSLDVLSLTDESDSCVSFEAPLTPL IQQRARDSPEAGAGLGNGDMGPEGDLGATGGCDGELGSPLRRSISSSRSENVLSHLSLTS VPNGFHEDGPGGSGGDDEDDEDTDKLLNSASDTSLKDGLSDSDSELSSSEGLEPGSTDPL ANGCQGVSEAARRLARRLYHLEGFQRCDVARQLGKNNEFSRLVAGEYLSFFDFSGLTLDR ALRTFLKAFPLMGETQERERVLTHFSRRYCQCNPDDSTSEDGIHTLTCALMLLNTDLHGH VNIGKKMSCQQFIANLDQLNDGQDFAKDLLKTLYNSIKNEKLEWAIDEDELRKSLSELVD DKFGTGTKKVTRILDGGNPFLDVPQALNATTYKHGVLTRKTHADMDGKRTPRGRRGWKKF YAVLKGTILYLQKDEYRLDKALSEGDLKNAIRVHHALATRASDYSKKSNVLKLKTADWRV FLFQAPSKEEMLSWILRINLVAAIFSAPAFPAAVSSMKKFCRPLLPSCTTRLCQEEQLRS HENKLRQVTAELAEHRCHPLERGLKSKEAEEYRLKEHYLTFEKSRYETYIHLLAVKIKVG SDDLERIEARLATIEGDDPALRKTHSSPALSLGHGPVTGSKATKDTSASDT >ENSMUSP00000135431.1 pep:known chromosome:GRCm38:18:35965067:36014715:1 gene:ENSMUSG00000024347.16 transcript:ENSMUST00000177432.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd2 description:pleckstrin and Sec7 domain containing 2 [Source:MGI Symbol;Acc:MGI:1921252] MDEEKLPCELHKEGSATQEDHGLEPEEEPGLQNGTAASEGLSSHISGPGGEKTLEGTMEP VRGPDVALPGLNLSLTNGLALGQDGNILEDSIEFKTWRSGPAEEEDVPGSPCPDAGDPQL GLDCPGEPDVRDGFSATFEKILESELLRGTQYSSLDSLDVLSLTDESDSCVSFEAPLTPL IQQRARDSPEAGAGLGNGDMGPEGDLGATGGCDGELGSPLRRSISSSRSENVLSHLSLTS VPNGFHEDGPGGSGGDDEDDEDTDKLLNSASDTSLKDGLSDSDSELSSSEGLEPGSTDPL ANGCQGVSEAARRLARRLYHLEGFQRCDVARQLGKNNEFSRLVAGEYLSFFDFSGLTLDR ALRTFLKAFPLMGETQERERVLTHFSRRYCQCNPDDSTSEDGIHTLTCALMLLNTDLHGH NIGKKMSCQQFIANLDQLNDGQDFAKDLLKTLYNSIKNEKLEWAIDEDELRKSLSELVDD KFGTGTKKVTRILDGGNPFLDVPQALNATTYKHGVLTRKTHADMDAPRGRRGWKKFYAVL KGTILYLQKDEYRLDKALSEGDLKNAIRVHHALATRASDYSKKSNVLKLKTADWRVFLFQ APSKEEMLSWILRINLVAAIFSAPAFPAAVSSMKKFCRPLLPSCTTRLCQEEQLRSHENK LRQVTAELAEHRCHPLERGLKSKEAEEYRLKEHYLTFEKSRYETYIHLLAVKIKVGSDDL ERIEARLATIEGDDPALRKTHSSPALSLGHGPVTGSKATKDTSASDT >ENSMUSP00000135285.1 pep:known chromosome:GRCm38:18:35965102:36014580:1 gene:ENSMUSG00000024347.16 transcript:ENSMUST00000176472.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psd2 description:pleckstrin and Sec7 domain containing 2 [Source:MGI Symbol;Acc:MGI:1921252] MDEEKLPCELHKEGSATQEDHGLEPEEEPGLQNGTAASEGLSSHISGPGGEKTLEGTMEP VRGPDVALPGLNLSLTNGLALGQDGNILEDSIEFKTWRSGPAEEEDVPGSPCPDAGDPQL GLDCPGEPDVRDGFSATFEKILESELLRGTQYSSLDSLDVLSLTDESDSCVSFEAPLTPL IQQRARDSPEAGAGLGNGDMGPEGDLGATGGCDGELGSPLRRSISSSRSENVLSHLSLTS VPNGFHEDGPGGSGGDDEDDEDTDKLLNSASDTSLKDGLSDSDSELSSSEGLEPGSTDPL ANGCQGVSEAARRLARRLYHLEGFQRCDVARQLGKNNEFSRLVAGEYLSFFDFSGLTLDR ALRTFLKAFPLMGETQERERVLTHFSRRYCQCNPDDSTSEDGIHTLTCALMLLNTDLHGH NIGKKMSCQQFIANLDQLNDGQDFAKDLLKTLYNSIKNEKLEWAIDEDELRKSLSELVDD KFGTGTKKVTRILDGGNPFLDVPQALNATTYKHGVLTRKTHADMDGKRTPRGRRGWKKFY AVLKGTILYLQKGF >ENSMUSP00000135795.1 pep:known chromosome:GRCm38:18:35965105:36014674:1 gene:ENSMUSG00000024347.16 transcript:ENSMUST00000175734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd2 description:pleckstrin and Sec7 domain containing 2 [Source:MGI Symbol;Acc:MGI:1921252] MDEEKLPCELHKEGSATQEDHGLEPEEEPGLQNGTAASEGLSSHISGPGGEKTLEGTMEP VRGPDVALPGLNLSLTNGLALGQDGNILEDSIEFKTWRSGPAEEEDVPGSPCPDAGDPQL GLDCPGEPDVRDGFSATFEKILESELLRGTQYSSLDSLDVLSLTDESDSCVSFEAPLTPL IQQRARDSPEAGAGLGNGDMGPEGDLGATGGCDGELGSPLRRSISSSRSENVLSHLSLTS VPNGFHEDGPGGSGGDDEDDEDTDKLLNSASDTSLKDGLSDSDSELSSSEGLEPGSTDPL ANGCQGVSEAARRLARRLYHLEGFQRCDVARQLGKNNEFSRLVAGEYLSFFDFSGLTLDR ALRTFLKAFPLMGETQERERVLTHFSRRYCQCNPDDSTSEDGIHTLTCALMLLNTDLHGH VNIGKKMSCQQFIANLDQLNDGQDFAKDLLKTLYNSIKNEKLEWAIDEDELRKSLSELVD DKFGTGTKKVTRILDGGNPFLDVPQALNATTYKHGVLTRKTHADMDGKRTPRGRRGWKKF YAVLKGTILYLQKDEYRLDKALSEGDLKNAIRVHHALATRASDYSKKSNVLKLKTADWRV FLFQAPSKEEMLSWILRINLVAAIFSAPAFPAAVSSMKKFCRPLLPSCTTRLCQEEQLRS HENKLRQVTAELAEHRCHPLERGLKSKEAEEYRLKEHYLTFEKSRYETYIHLLAVKIKVG SDDLERIEARLATIEGDDPALRKTHSSPALSLGHGPVTGSKATKDTSASDT >ENSMUSP00000108774.1 pep:known chromosome:GRCm38:9:87144306:87184045:1 gene:ENSMUSG00000042761.14 transcript:ENSMUST00000113149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrap2 description:melanocortin 2 receptor accessory protein 2 [Source:MGI Symbol;Acc:MGI:3609239] MEMSAQRLASNRTSPQSPSNSDYTWEYEYYEIGPVSFEGLKAHKYSIVIGFWVGLAVFVI FMFFVLTLLTKTGAPHQDNAESSERRFRMNSFVSDFGKPLESDKVFSRQGNEESRSLFHC YINEVEHLDRVKVCHQTTAIDSDVHLQEASRSSGRPEEELARFMKFDIPNFVNTEQSSFG EDDLLISEAPVLLENKPVSQTSRIDLD >ENSMUSP00000046271.7 pep:known chromosome:GRCm38:9:87144306:87184045:1 gene:ENSMUSG00000042761.14 transcript:ENSMUST00000049457.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrap2 description:melanocortin 2 receptor accessory protein 2 [Source:MGI Symbol;Acc:MGI:3609239] MEMSAQRLASNRTSPQSPSNSDYTWEYEYYEIGPVSFEGLKAHKYSIVIGFWVGLAVFVI FMFFVLTLLTKTGAPHQDNAESSERRFRMNSFVSDFGKPLESDKVFSRQGNEESRSLFHC YINEVEHLDRVKVCHQTTAIDSDVHLQEASRSSGRPEEELARFMKFDIPNFVNTEQSSFG EDDLLISEAPVLLENKPVSQTSRIDLD >ENSMUSP00000135904.1 pep:known chromosome:GRCm38:9:87144343:87184045:1 gene:ENSMUSG00000042761.14 transcript:ENSMUST00000179313.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrap2 description:melanocortin 2 receptor accessory protein 2 [Source:MGI Symbol;Acc:MGI:3609239] MEMSAQRLASNRTSPQSPSNSDYTWEYEYYEIGPVSFEGLKAHKYSIVIGFWVGLAVFVI FMFFVLTLLTKTGAPHQDNAESSERRFRMNSFVSDFGKPLESDKVFSRQGNEESRSLFHC YINEVEHLDRVKVCHQTTAIDSDVHLQEASRSSGRPEEELARFMKFDIPNFVNTEQSSFG EDDLLISEAPVLLENKPVSQTSRIDLD >ENSMUSP00000144932.1 pep:known chromosome:GRCm38:6:11900292:11907483:-1 gene:ENSMUSG00000029632.7 transcript:ENSMUST00000204978.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa4 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 4 [Source:MGI Symbol;Acc:MGI:107686] MLRQILGQAKKHPSLIPLFVFIGAGGTGAALYVMRLALFNPDVSWDRKNNPEPWNKLGPN EQYKFYSVNVDYSKLKKEGPDF >ENSMUSP00000145197.1 pep:known chromosome:GRCm38:6:11900372:11907393:-1 gene:ENSMUSG00000029632.7 transcript:ENSMUST00000204084.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa4 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 4 [Source:MGI Symbol;Acc:MGI:107686] MRLALFNPDVSWDRKNNPEPWNKLGPNEQYKFYSVNVDYSKLKKEGPDF >ENSMUSP00000031637.6 pep:known chromosome:GRCm38:6:11900373:11907450:-1 gene:ENSMUSG00000029632.7 transcript:ENSMUST00000031637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa4 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 4 [Source:MGI Symbol;Acc:MGI:107686] MRLALFNPDVSWDRKNNPEPWNKLGPNEQYKFYSVNVDYSKLKKEGPDF >ENSMUSP00000145413.1 pep:known chromosome:GRCm38:6:11900376:11907497:-1 gene:ENSMUSG00000029632.7 transcript:ENSMUST00000204714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa4 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 4 [Source:MGI Symbol;Acc:MGI:107686] MLRQILGQAKKHPSLIPLFVFIGAGGTGAALYVMRLALFNPDVSWDRKNNPEPWNKLGPN EQYKFYSVNVDYSKLKKEGPDF >ENSMUSP00000117456.1 pep:known chromosome:GRCm38:10:39732127:39875168:1 gene:ENSMUSG00000019841.15 transcript:ENSMUST00000131186.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rev3l description:REV3-like, catalytic subunit of DNA polymerase zeta RAD54 like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1337131] MFSVRIVTADYYMASPLPGLDTCQSPLTQLPVKKVPVVRVFGATPAE >ENSMUSP00000115630.1 pep:known chromosome:GRCm38:10:39825131:39875208:1 gene:ENSMUSG00000019841.15 transcript:ENSMUST00000139803.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rev3l description:REV3-like, catalytic subunit of DNA polymerase zeta RAD54 like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1337131] LKPLMSPPSREEIVATLLDHDLSEAIYQEPFCSNPSDVPEKPREIGGRLLMVETRLPNDL IEFEGDFSLEGLRLWKTAFSAMTQNPRPGSPLRNGQAVVNKESSNSHKMVEDKKIVIMPC KYAPSRQLVQAWLQAKEEYERSKKLPKTELTPVTKSAENVSPSLNPGDTCAVSPQVDKCP HTLSSSAHTKEEVSKSQIALQTSTTGCSQTLLAAASAAVPEEDEDDNDNCYVSYSSPDSP GIPPWQQAASPDFRSLNGDDRHSSPGKELCSLAVENFLKPIKDGIQKSSCSESWEPQVIS PIHARARTGKWDPLCLHSTPVMQRKFLEKLPEATGLSPLSVEPKTQKLYNKKGSDADGLR RVLLTTQVENQFAAVNTPKKETSQIDGPSLNNTYGFKVSIQNLQEAKALHEIQNLTLISV ELHARTRRDLQPDPEFDPICALFYCISSDTPLPDTEKTELTGVIVIDKDKTVTHQDIRSQ TPLLIRSGITGLEVTYAADEKALFQEITNIIKRYDPDILLGYEIQMHSWGYLLQRAAALS VDLCQMISRVPDDKIENRFAAERDDYGSDTMSEINIVGRITLNLWRIMRNEVALTNYTFE NVSFHVLHQRFPLFTFRVLSDWFDNKTDLYRWKMVDHYVSRVRGNLQMLEQLDLIGKTSE MARLFGIQFLHVLTRGSQYRVESMMLRIAKPMNYIPVTPSIQQRSQMRAPQCVPLIMEPE SRFYSNSVLVLDFQSLYPSIVIAYNYCFSTCLGHVENLGKYDEFKFGCTSLRVPPDLLYQ IRHDVTVSPNGVAFVKIANKCRPEGMA >ENSMUSP00000019986.6 pep:known chromosome:GRCm38:10:39732118:39875211:1 gene:ENSMUSG00000019841.15 transcript:ENSMUST00000019986.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rev3l description:REV3-like, catalytic subunit of DNA polymerase zeta RAD54 like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1337131] MFSVRIVTADYYMASPLPGLDTCQSPLTQLPVKKVPVVRVFGATPAGQKTCLHLHGIFPY LYVPYDGYGQQPESYLSQMAFSIDRALNVALGNPSSTAQHVFKVSLVSGMPFYGYHEKER HFMKIYLYNPAMVKRICELLQSGAIMNKCYQPHEAHIPYLLQLFIDYNLYGMNLINLAAV KFRKARRKGNASHATGLFKHQLSGNSPAGTLFRWEEDEIPSSLLLEGVEPLSTCELEVDA VAADILNRLDIEAQIGGNPGLQAIWEDEKQRRRNRNESSQISQPESQDCRFVPATESEKQ FQKRLQEVLKQNDFSVTLSGSVDYSNGSQEFSAELTLHSEILSPEMLPCSPANMIEVHKD TDLSKGNTKHKVEEALINEEAILNLIENSQTFQPLTQRLSETPVFMGSSPDESLVHLLAG LESDGYQGEKNRMPLPCHSFGESQNPQNSDDEENEPQIEKEEMELSVVMSQRWDSDIEEH CAKKRSLCRNAHRSSTEEDDSSSEEEMEWTDNSLLFANLSIPQLDGTADENSDNPLNNEN SRAHSSVIATSKLSVRPSIFHKDAATLEPPSSAKITFQCKHTSALSSHVLNKDGLTEDLS QPNSTEKGRDNSVTFTKESTYSMKYSGSLSSTVHSDNSHKEICKKDKSLPVSSCESSVFD YEEDIPSVTRQVPSRKYSNMRKIEKDASCIHVNRHISETILGKNSFNFADLNHSKRKLSS EGNEKGNSTSLSGVFPSSLTENCDLLPSSGENRSMAHSLESITDESGLNKLKIRYEEFQE HKMEKPSLSQQAAHYMFFPSVVLSNCLTRPQKLSPVTYKLQSGNKPSRLKLNKKKLIGLQ ETSTKSTETGATKDSCTHNDLYTGASEKENGLSSDSAKATHGTFENKPPTEHFIDCHFGD GSLEAEQSFGLYGNKYTLRAKRKVNYETEDSESSFVTQNSKISLPHPMEIGENLDGTLKS RKRRKMSKKLPPVIIKYIIINRFRGRKNMLVKLGKIDSKEKQVILTEEKMELYKKLAPLK DFWPKVPDSPATKYPIYPLTPKKSHRRKSKHKSAKKKPGKQHRTNSENIKRTLSFRKKRT HAVLSPPSPSYIAETEDCDLSYSDVMSKLGFLSERSTSPINSSPPRCWSPTDPRAEEIMA AAEKESMLFKGPNVYNTKTVSPRVGKASRARAQVKKSKARLANSSVVTNKRNKRNQTTKL VDDGKKKPRAKQKQRANEKSLSRKHAIPADEKMKPHSEAELTPNHQSVSELTSSSGAQAL SKQKEMSQTGPAVDHPLPPAQPTGISAQQRLSNCFSSFLESKKSVDLRTFPSSRDDSHSS VVYSSIGPGISKINIQRSHNQSAMFTRKETTLIQKSIFDLSNHLSQVAQSTQVCSGIISP KTEESSSTQKNCGSSMGKLNEYRSSLESKPEQVCAPNFLHCKDSQQQTVSVSEQSKTSET CSPGNAASEESQTPNCFVTSLKSPIKQIAWEQKQRGFILDMSNFKPEKVKQRSLSEAISQ TKALSQCKNQNVSTPSVFGEGQSGLAVLKELLQKRQQKAQSTNVVQDSTSTHQPDKNISV SNEHKKANKRTRPVTSPRKPRTPRRTKPKEQTPRRLKVDPLNLQTSGHLDNSLSDDSPIL FSDPGFESCYSLEDSLSPEHNYNFDINTIGQTGFCSFYSGSQFVPADQNLPQKFLSDAVQ DLFPGQAIDKSELLSHDRQSCSEEKHHVSDSSPWIRASTLNPELFEKVAMDNNENHRHSQ WKNSFHPLTSHSNSIMESFCVQQAENCLTEKSRLNRSSVSKEVFLSLPQANSSDWIQGHN RKEADQSLHSANTSFTTILSSPDGELVDAASEDLELYVSRNNDVLTPTPDSSPRSTSSPL QSKNGSFTPRTAHILKPLMSPPSREEIVATLLDHDLSEAIYQEPFCSNPSDVPEKPREIG GRLLMVETRLPNDLIEFEGDFSLEGLRLWKTAFSAMTQNPRPGSPLRNGQAVVNKESSNS HKMVEDKKIVIMPCKYAPSRQLVQAWLQAKEEYERSKKLPKTELTPVTKSAENVSPSLNP GDTCAVSPQVDKCPHTLSSSAHTKEEVSKSQIALQTSTTGCSQTLLAAASAAVPEEDEDD NDNCYVSYSSPDSPGIPPWQQAASPDFRSLNGDDRHSSPGKELCSLAVENFLKPIKDGIQ KSSCSESWEPQVISPIHARARTGKWDPLCLHSTPVMQRKFLEKLPEATGLSPLSVEPKTQ KLYNKKGSDADGLRRVLLTTQVENQFAAVNTPKKETSQIDGPSLNNTYGFKVSIQNLQEA KALHEIQNLTLISVELHARTRRDLQPDPEFDPICALFYCISSDTPLPDTEKTELTGVIVI DKDKTVTHQDIRSQTPLLIRSGITGLEVTYAADEKALFQEITNIIKRYDPDILLGYEIQM HSWGYLLQRAAALSVDLCQMISRVPDDKIENRFAAERDDYGSDTMSEINIVGRITLNLWR IMRNEVALTNYTFENVSFHVLHQRFPLFTFRVLSDWFDNKTDLYRWKMVDHYVSRVRGNL QMLEQLDLIGKTSEMARLFGIQFLHVLTRGSQYRVESMMLRIAKPMNYIPVTPSIQQRSQ MRAPQCVPLIMEPESRFYSNSVLVLDFQSLYPSIVIAYNYCFSTCLGHVENLGKYDEFKF GCTSLRVPPDLLYQIRHDVTVSPNGVAFVKPSVRKGVLPRMLEEILKTRLMVKQSMKSYK QDRALSRMLNARQLGLKLIANVTFGYTAANFSGRMPCIEVGDSIVHKARETLERAIKLVN DTKKWGARVVYGDTDSMFVLLKGATKEQSFKIGQEIAEAVTATNPRPVKLKFEKVYLPCV LQTKKRYVGYMYETLDQKEPVFDAKGIETVRRDSCPAVSKILERSLKLLFETRDISLIKQ YVQRQCMKLVEGKASIQDFIFAKEYRGSFSYRPGACVPALELTRKMLAYDRRSEPRVGER VPYVIIYGTPGLPLIQLIRRPAEVLQDPTLRLNATYYITKQILPPLARIFSLIGIDVFSW YQELPRIQKATSSSRSELEGRKGTISQYFTTLHCPVCDDLTQHGICSKCRSQPQHVAIIL NQEIRELERKQEQLIKICRNCTGSFDRHIPCVSLNCPVLFKLSRVNRELSKAPYLRQLLD QF >ENSMUSP00000131519.1 pep:known chromosome:GRCm38:10:39732364:39875206:1 gene:ENSMUSG00000019841.15 transcript:ENSMUST00000164763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rev3l description:REV3-like, catalytic subunit of DNA polymerase zeta RAD54 like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1337131] MFSVRIVTADYYMASPLPGLDTCQSPLTQLPVKKVPVVRVFGATPAGQKTCLHLHGIFPY LYVPYDGYGQQPESYLSQMAFSIDRALNVALGNPSSTAQHVFKVSLVSGMPFYGYHEKER HFMKIYLYNPAMVKRICELLQSGAIMNKCYQPHEAHIPYLLQLFIDYNLYGMNLINLAAV KFRKARRKGNASHATGLFKHQLSGNSPAGTLFRWEEDEIPSSLLLEGVEPLSTCELEVDA VAADILNRLDIEAQIGGNPGLQAIWEDEKQRRRNRNESSQISQPESQDCRFVPATESEKQ FQKRLQEVLKQNDFSVTLSGSVDYSNGSQEFSAELTLHSEILSPEMLPCSPANMIEVHKD TDLSKGNTKHKVEEALINEEAILNLIENSQTFQPLTQRLSETPVFMGSSPDESLVHLLAG LESDGYQGEKNRMPLPCHSFGESQNPQNSDDEENEPQIEKEEMELSVVMSQRWDSDIEEH CAKKRSLCRNAHRSSTEEDDSSSEEEMEWTDNSLLFANLSIPQLDGTADENSDNPLNNEN SRAHSSVIATSKLSVRPSIFHKDAATLEPPSSAKITFQCKHTSALSSHVLNKDGLTEDLS QPNSTEKGRDNSVTFTKESTYSMKYSGSLSSTVHSDNSHKEICKKDKSLPVSSCESSVFD YEEDIPSVTRQVPSRKYSNMRKIEKDASCIHVNRHISETILGKNSFNFADLNHSKRKLSS EGNEKGNSTSLSGVFPSSLTENCDLLPSSGENRSMAHSLESITDESGLNKLKIRYEEFQE HKMEKPSLSQQAAHYMFFPSVVLSNCLTRPQKLSPVTYKLQSGNKPSRLKLNKKKLIGLQ ETSTKSTETGATKDSCTHNDLYTGASEKENGLSSDSAKATHGTFENKPPTEHFIDCHFGD GSLEAEQSFGLYGNKYTLRAKRKVNYETEDSESSFVTQNSKISLPHPMEIGENLDGTLKS RKRRKMSKKLPPVIIKYIIINRFRGRKNMLVKLGKIDSKEKQVILTEEKMELYKKLAPLK DFWPKVPDSPATKYPIYPLTPKKSHRRKSKHKSAKKKPGKQHRTNSENIKRTLSFRKKRT HAVLSPPSPSYIAETEDCDLSYSDVMSKLGFLSERSTSPINSSPPRCWSPTDPRAEEIMA AAEKESMLFKGPNVYNTKTVSPRVGKASRARAQVKKSKARLANSSVVTNKRNKRNQTTKL VDDGKKKPRAKQKQRANEKSLSRKHAIPADEKMKPHSEAELTPNHQSVSELTSSSGAQAL SKQKEMSQTGPAVDHPLPPAQPTGISAQQRLSNCFSSFLESKKSVDLRTFPSSRDDSHSS VVYSSIGPGISKINIQRSHNQSAMFTRKETTLIQKSIFDLSNHLSQVAQSTQVCSGIISP KTEESSSTQKNCGSSMGKLNEYRSSLESKPEQVCAPNFLHCKDSQQQTVSVSEQSKTSET CSPGNAASEESQTPNCFVTSLKSPIKQIAWEQKQRGFILDMSNFKPEKVKQRSLSEAISQ TKALSQCKNQNVSTPSVFGEGQSGLAVLKELLQKRQQKAQSTNVVQDSTSTHQPDKNISV SNEHKKANKRTRPVTSPRKPRTPRRTKPKEQTPRRLKVDPLNLQTSGHLDNSLSDDSPIL FSDPGFESCYSLEDSLSPEHNYNFDINTIGQTGFCSFYSGSQFVPADQNLPQKFLSDAVQ DLFPGQAIDKSELLSHDRQSCSEEKHHVSDSSPWIRASTLNPELFEKVAMDNNENHRHSQ WKNSFHPLTSHSNSIMESFCVQQAENCLTEKSRLNRSSVSKEVFLSLPQANSSDWIQGHN RKEADQSLHSANTSFTTILSSPDGELVDAASEDLELYVSRNNDVLTPTPDSSPRSTSSPL QSKNGSFTPRTAHILKPLMSPPSREEIVATLLDHDLSEAIYQEPFCSNPSDVPEKPREIG GRLLMVETRLPNDLIEFEGDFSLEGLRLWKTAFSAMTQNPRPGSPLRNGQAVVNKESSNS HKMVEDKKIVIMPCKYAPSRQLVQAWLQAKEEYERSKKLPKTELTPVTKSAENVSPSLNP GDTCAVSPQVDKCPHTLSSSAHTKEEVSKSQIALQTSTTGCSQTLLAAASAAVPEEDEDD NDNCYVSYSSPDSPGIPPWQQAASPDFRSLNGDDRHSSPGKELCSLAVENFLKPIKDGIQ KSSCSESWEPQVISPIHARARTGKWDPLCLHSTPVMQRKFLEKLPEATGLSPLSVEPKTQ KLYNKKGSDADGLRRVLLTTQVENQFAAVNTPKKETSQIDGPSLNNTYGFKVSIQNLQEA KALHEIQNLTLISVELHARTRRDLQPDPEFDPICALFYCISSDTPLPDTEKTELTGVIVI DKDKTVTHQDIRSQTPLLIRSGITGLEVTYAADEKALFQEITNIIKRYDPDILLGYEIQM HSWGYLLQRAAALSVDLCQMISRVPDDKIENRFAAERDDYGSDTMSEINIVGRITLNLWR IMRNEVALTNYTFENVSFHVLHQRFPLFTFRVLSDWFDNKTDLYRWKMVDHYVSRVRGNL QMLEQLDLIGKTSEMARLFGIQFLHVLTRGSQYRVESMMLRIAKPMNYIPVTPSIQQRSQ MRAPQCVPLIMEPESRFYSNSVLVLDFQSLYPSIVIAYNYCFSTCLGHVENLGKYDEFKF GCTSLRVPPDLLYQIRHDVTVSPNGVAFVKPSVRKGVLPRMLEEILKTRLMVKQSMKSYK QDRALSRMLNARQLGLKLIANVTFGYTAANFSGRMPCIEVGDSIVHKARETLERAIKLVN DTKKWGARVVYGDTDSMFVLLKGATKEQSFKIGQEIAEAVTATNPRPVKLKFEKVYLPCV LQTKKRYVGYMYETLDQKEPVFDAKGIETVRRDSCPAVSKILERSLKLLFETRDISLIKQ YVQRQCMKLVEGKASIQDFIFAKEYRGSFSYRPGACVPALELTRKMLAYDRRSEPRVGER VPYVIIYGTPGLPLIQLIRRPAEVLQDPTLRLNATYYITKQILPPLARIFSLIGIDVFSW YQELPRIQKATSSSRSELEGRKGTISQYFTTLHCPVCDDLTQHGICSKCRSQPQHVAIIL NQEIRELERKQEQLIKICRNCTGSFDRHIPCVSLNCPVLFKLSRVNRELSKAPYLRQLLD QF >ENSMUSP00000000033.5 pep:known chromosome:GRCm38:7:142650766:142657496:-1 gene:ENSMUSG00000048583.16 transcript:ENSMUST00000000033.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2 description:insulin-like growth factor 2 [Source:MGI Symbol;Acc:MGI:96434] MGIPVGKSMLVLLISLAFALCCIAAYGPGETLCGGELVDTLQFVCSDRGFYFSRPSSRAN RRSRGIVEECCFRSCDLALLETYCATPAKSERDVSTSQAVLPDDFPRYPVGKFFQYDTWR QSAGRLRRGLPALLRARRGRMLAKELKEFREAKRHRPLIVLPPKDPAHGGASSEMSSNHQ >ENSMUSP00000114076.1 pep:known chromosome:GRCm38:7:142650769:142659489:-1 gene:ENSMUSG00000048583.16 transcript:ENSMUST00000121128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2 description:insulin-like growth factor 2 [Source:MGI Symbol;Acc:MGI:96434] MGGSVAGFQVPMGIPVGKSMLVLLISLAFALCCIAAYGPGETLCGGELVDTLQFVCSDRG FYFSRPSSRANRRSRGIVEECCFRSCDLALLETYCATPAKSERDVSTSQAVLPDDFPRYP VGKFFQYDTWRQSAGRLRRGLPALLRARRGRMLAKELKEFREAKRHRPLIVLPPKDPAHG GASSEMSSNHQ >ENSMUSP00000101556.1 pep:known chromosome:GRCm38:7:142650769:142661305:-1 gene:ENSMUSG00000048583.16 transcript:ENSMUST00000105936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2 description:insulin-like growth factor 2 [Source:MGI Symbol;Acc:MGI:96434] MGIPVGKSMLVLLISLAFALCCIAAYGPGETLCGGELVDTLQFVCSDRGFYFSRPSSRAN RRSRGIVEECCFRSCDLALLETYCATPAKSERDVSTSQAVLPDDFPRYPVGKFFQYDTWR QSAGRLRRGLPALLRARRGRMLAKELKEFREAKRHRPLIVLPPKDPAHGGASSEMSSNHQ >ENSMUSP00000101555.1 pep:known chromosome:GRCm38:7:142653756:142666816:-1 gene:ENSMUSG00000048583.16 transcript:ENSMUST00000105935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2 description:insulin-like growth factor 2 [Source:MGI Symbol;Acc:MGI:96434] MGIPVGKSMLVLLISLAFALCCIAAYGPGETLCGGELVDTLQFVCSDRGFYFSRPSSRAN RRSRGIVEECCFRSCDLALLETYCATPAKSERDVSTSQAVLPDDFPRYPVGKFFQYDTWR QSAGRLRRGLPALLRARRGRMLAKELKEFREAKRHRPLIVLPPKDPAHGGASSEMSSNHQ >ENSMUSP00000122653.2 pep:known chromosome:GRCm38:7:142654049:142661870:-1 gene:ENSMUSG00000048583.16 transcript:ENSMUST00000145896.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2 description:insulin-like growth factor 2 [Source:MGI Symbol;Acc:MGI:96434] MGIPVGKSMLVLLISLAFALCCIAAYGPGETLCGGELVDTLQFVCSDRGFYFSRPSSRAN RRSRGIVEECCFRSCDLALLETYCATPAKSERDVSTSQAVLPD >ENSMUSP00000136786.1 pep:known chromosome:GRCm38:7:142654352:142656018:-1 gene:ENSMUSG00000048583.16 transcript:ENSMUST00000178921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2 description:insulin-like growth factor 2 [Source:MGI Symbol;Acc:MGI:96434] MIGVFGGAWVGVSHSPGIRGVAWGPLAQSLRFFLQVPMGIPVGKSMLVLLISLAFALCCI AAYGPGETLCGGELVDTLQFVCSDRGFYFSRPSSRANRRSRGIVEECCFRSCDLALLETY CA >ENSMUSP00000095549.2 pep:known chromosome:GRCm38:7:142650769:142657466:-1 gene:ENSMUSG00000048583.16 transcript:ENSMUST00000097936.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2 description:insulin-like growth factor 2 [Source:MGI Symbol;Acc:MGI:96434] MGIPVGKSMLVLLISLAFALCCIAAYGPGETLCGGELVDTLQFVCSDRGFYFSRPSSRAN RRSRGIVEECCFRSCDLALLETYCATPAKSERDVSTSQAVLPDDFPRYPVGKFFQYDTWR QSAGRLRRGLPALLRARRGRMLAKELKEFREAKRHRPLIVLPPKDPAHGGASSEMSSNHQ >ENSMUSP00000043926.6 pep:known chromosome:GRCm38:14:50944302:50953412:1 gene:ENSMUSG00000021871.17 transcript:ENSMUST00000048615.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnp description:purine-nucleoside phosphorylase [Source:MGI Symbol;Acc:MGI:97365] MENEFTYEDYETTAKWLLQHTEYRPQVAVICGSGLGGLTAHLKEAQIFDYNEIPNFPQST VQGHAGRLVFGLLNGRCCVMMQGRFHMYEGYSLSKVTFPVRVFHLLGVETLVVTNAAGGL NPNFEVGDIMLIRDHINLPGFCGQNPLRGPNDERFGVRFPAMSDAYDRDMRQKAFSAWKQ MGEQRKLQEGTYVMLAGPNFETVAESRLLKMLGADAVGMSTVPEVIVARHCGLRVFGFSL ITNKVVMDYENLEKANHMEVLDAGKAAAQTLERFVSILMESIPLPDRGS >ENSMUSP00000136557.1 pep:known chromosome:GRCm38:14:50944506:50965237:1 gene:ENSMUSG00000021871.17 transcript:ENSMUST00000178092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnp description:purine-nucleoside phosphorylase [Source:MGI Symbol;Acc:MGI:97365] MENEFTYEDYETTAKWLLQHTEYRPQVAVICGSGLGGLTAHLKEAQIFDYNEIPNFPQST VQGHAGRLVFGLLNGRCCVMMQGRFHMYEGYSLSKVTFPVRVFHLLGVETLVVTNAAGGL NPNFEVGDIMLIRDHINLPGFCGQNPLRGPNDERFGVRFPAMSDAYDRDMRQKAFSAWKQ MGEQRKLQEGTYVMLAGPNFETVAESRLLKMLGADAVGMSTVPEVIVARHCGLRVFGFSL ITNKVVMDYENLEKANHMEVLDAGKAAAQTLERFVSILMESIPLPDRGS >ENSMUSP00000053901.7 pep:known chromosome:GRCm38:7:140150628:140154877:-1 gene:ENSMUSG00000045733.7 transcript:ENSMUST00000059241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprn description:shadow of prion protein [Source:MGI Symbol;Acc:MGI:3582583] MNWTAATCWALLLAAAFLCDSCSAKGGRGGARGSARGVRGGARGASRVRVRPAPRYGSSL RVAAAGAAAGAAAGVAAGLATGSGWRRTSGPGELGLEDDENGAMGGNGTDRGVYSYWAWT SGSGSVHSPRICLLLGGTLGALELLRP >ENSMUSP00000123512.1 pep:known chromosome:GRCm38:11:120784275:120788783:1 gene:ENSMUSG00000025156.17 transcript:ENSMUST00000153678.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gps1 description:G protein pathway suppressor 1 [Source:MGI Symbol;Acc:MGI:2384801] MPLPVQVFNLQQVRLAARHGGRLQPERQPVGLHVAFRGGRGTHAD >ENSMUSP00000097711.3 pep:known chromosome:GRCm38:11:120784275:120789097:1 gene:ENSMUSG00000025156.17 transcript:ENSMUST00000100134.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gps1 description:G protein pathway suppressor 1 [Source:MGI Symbol;Acc:MGI:2384801] MPLPVQVFNLQGAVEPMQIDVDPQEDPQNAPDVNYVVENPTLDLEQYAASYSGLMRIERL QFIADRCPPLRVEALKMALSFVQRTFNVDMYEEIHRKLSEATRELQNAPDAIPESGVEPP PLDTAWVEATRKKALLKLEKLDTDLKNYKGNSIKESIRRGHDDLGDHYLDCGDLSNALKC YSRARDYCTSAKHVINMCLNVIKVSVYLQNWSHVLSYVSKAESTPEIAEQRGERDSQTQA ILTKLKCAAGLAELAARKYKQAAKCFLLASFDHCDFPELLSPSNVAVYGGLCALATFDRQ ELQRNVISSSSFKLFLELEPQVRDIIFKFYESKYASCLKMLDEMKDNLLLDMYLAPHVRT LYTQIRNRALIQYFSPYVSADMHKMAAAFNTTVAALEDELTQLILEGLINARIDSHSKIL YARDVDQRSTTFEKSLLMGKEFQRRAKAMILRAAVLRNQIHVKVKSLGCEGMGGTHTAST EPSLSTAVSS >ENSMUSP00000147152.1 pep:known chromosome:GRCm38:11:120784285:120789101:1 gene:ENSMUSG00000025156.17 transcript:ENSMUST00000208737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gps1 description:G protein pathway suppressor 1 [Source:MGI Symbol;Acc:MGI:2384801] MPLPVQVFNLQGAVEPMQIDVDPQEDPQNAPDVNYVVENPTLDLEQYAASYSGLMRIERL QFIADRCPPLRVEALKMALSFVQRTFNVDMYEEIHRKLSEATRELQNAPDAIPESGVEPP PLDTAWVEATRKKALLKLEKLDTDLKNYKGNSIKESIRRGHDDLGDHYLDCGDLSNALKC YSRARDYCTSAKHVINMCLNVIKVSVYLQNWSHVLSYVSKAESTPEIAERGERDSQTQAI LTKLKCAAGLAELAARKYKQAAKCFLLASFDHCDFPELLSPSNVAVYGGLCALATFDRQE LQRNVISSSSFKLFLELEPQVRDIIFKFYESKYASCLKMLDEMKDNLLLDMYLAPHVRTL YTQIRNRALIQYFSPYVSADMHKMAAAFNTTVAALEDELTQLILEGLINARIDSHSKILY ARDVDQRSTTFEKSLLMGKEFQRRAKAMILRAAVLRNQIHVKSPPREGSQGELTPANSQS RMSTNM >ENSMUSP00000112007.1 pep:known chromosome:GRCm38:11:120784305:120789102:1 gene:ENSMUSG00000025156.17 transcript:ENSMUST00000116305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gps1 description:G protein pathway suppressor 1 [Source:MGI Symbol;Acc:MGI:2384801] MPLPVQVFNLQGAVEPMQIDVDPQEDPQNAPDVNYVVENPTLDLEQYAASYSGLMRIERL QFIADRCPPLRVEALKMALSFVQRTFNVDMYEEIHRKLSEATRELQNAPDAIPESGVEPP PLDTAWVEATRKKALLKLEKLDTDLKNYKGNSIKESIRRGHDDLGDHYLDCGDLSNALKC YSRARDYCTSAKHVINMCLNVIKVSVYLQNWSHVLSYVSKAESTPEIAEQRGERDSQTQA ILTKLKCAAGLAELAARKYKQAAKCFLLASFDHCDFPELLSPSNVAVYGGLCALATFDRQ ELQRNVISSSSFKLFLELEPQVRDIIFKFYESKYASCLKMLDEMKDNLLLDMYLAPHVRT LYTQIRNRALIQYFSPYVSADMHKMAAAFNTTVAALEDELTQLILEGLINARIDSHSKIL YARDVDQRSTTFEKSLLMGKEFQRRAKAMILRAAVLRNQIHVKSPPREGSQGELTPANSQ SRMSTNM >ENSMUSP00000133855.1 pep:known chromosome:GRCm38:11:120784515:120789102:1 gene:ENSMUSG00000025156.17 transcript:ENSMUST00000172809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gps1 description:G protein pathway suppressor 1 [Source:MGI Symbol;Acc:MGI:2384801] MRGSPAPSSASSSASDLSRSPAHSRSDLRPGTAGDYSLSASLSACTLLSEGAVEPMQIDV DPQEDPQNAPDVNYVVENPTLDLEQYAASYSGLMRIERLQFIADRCPPLRVEALKMALSF VQRTFNVDMYEEIHRKLSEATRELQNAPDAIPESGVEPPPLDTAWVEATRKKALLKLEKL DTDLKNYKGNSIKESIRRGHDDLGDHYLDCGDLSNALKCYSRARDYCTSAKHVINMCLNV IKVSVYLQNWSHVLSYVSKAESTPEIAEQRGERDSQTQAILTKLKCAAGLAELAARKYKQ AAKCFLLASFDHCDFPELLSPSNVAVYGGLCALATFDRQELQRNVISSSSFKLFLELEPQ VRDIIFKFYESKYASCLKMLDEMKDNLLLDMYLAPHVRTLYTQIRNRALIQYFSPYVSAD MHKMAAAFNTTVAALEDELTQLILEGLINARIDSHSKILYARDVDQRSTTFEKSLLMGKE FQRRAKAMILRAAVLRNQIHVKSPPREGSQGELTPANSQSRMSTNM >ENSMUSP00000096452.2 pep:known chromosome:GRCm38:7:4740115:4747514:1 gene:ENSMUSG00000059851.15 transcript:ENSMUST00000098853.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h2 description:suppressor of variegation 4-20 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2385262] MGPDRVTARELCENDDLATSLVLDPYLGFRTHKMNVSPVPTLRRQHHLRSALEAFLRQRD LEAAFRALTLGGWMAHYFQSRAPRQEAALKTHIFCYLRAFLPESGFTILPCTRYSMETNG AKIVSTRAWKKNEKLELLVGCIAELREEDEDLLRAGENDFSIMYSTRKRSAQLWLGPAAF INHDCKPNCKFVPSDGNTACVKVLRDIEPGDEVTCFYGEGFFGEKNEHCECYTCERKGEG AFRLQPREPELRPKPLDKYELRETKRRLQQGLVSSQQSLMSRWACSHLSPLRPDPFCAAC QPSCLLPASPHMDYLPLWLQRAPQPQPIVPPRKRHRRRRPRIRQASLPPVLRTACVPLHR WGGCGPHCQLRAEAMVTLHLRPQTRWTPQQDWYWARRYGLPSVGRVELTRLAPALPAAPA PAGNPGPVPTPDFIPKQALAFAPFCPPKRLRLVVSHGSIDLDINSGEP >ENSMUSP00000119323.1 pep:known chromosome:GRCm38:7:4740156:4743053:1 gene:ENSMUSG00000059851.15 transcript:ENSMUST00000130215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h2 description:suppressor of variegation 4-20 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2385262] MGPDRVTARELCENDDLATSLVLDPYLGFRTHKMNVSPVPTLRRQHHLRSALEAFLRQRD LEAAFRALTLGGWMAHYFQSRAPRQEAALKTHIFCYLRAFLPESGFTILPCTRYSMETNG AKIVSTRAWKKNEKLELLVGCIAELREEDEDLLRAGENDFSIMY >ENSMUSP00000104223.3 pep:known chromosome:GRCm38:7:4740167:4747514:1 gene:ENSMUSG00000059851.15 transcript:ENSMUST00000108582.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h2 description:suppressor of variegation 4-20 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2385262] MGPDRVTARELCENDDLATSLVLDPYLGFRTHKMNVSPVPTLRRQHHLRSALEAFLRQRD LEAAFRALTLGGWMAHYFQSRAPRQEAALKTHIFCYLRAFLPESGFTILPCTRYSMETNG AKIVSTRAWKKNEKLELLVGCIAELREEDEDLLRAGENDFSIMYSTRKRSAQLWLGPAAF INHDCKPNCKFVPSDGNTACVKVLRDIEPGDEVTCFYGEGFFGEKNEHCECYTCERKGEG AFRLQPREPELRPKPLDKYELRETKRRLQQGLVSSQQSLMSRWACSHLSPLRPDPFCAAC QPSCLLPASPHMDYLPLWLQRAPQPQPIVPPRKRHRRRRPRIRQASLPPVLRTACVPLHR WGGCGPHCQLRAEAMVTLHLRPQTRWTPQQDWYWARRYGLPSVGRVELTRLAPALPAAPA PAGNPGPVPTPDFIPKQALAFAPFCPPKRLRLVVSHGSIDLDINSGEP >ENSMUSP00000104224.2 pep:known chromosome:GRCm38:7:4740185:4747514:1 gene:ENSMUSG00000059851.15 transcript:ENSMUST00000108583.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h2 description:suppressor of variegation 4-20 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2385262] MGPDRVTARELCENDDLATSLVLDPYLGFRTHKMNVSPVPTLRRQHHLRSALEAFLRQRD LEAAFRALTLGGWMAHYFQSRAPRQEAALKTHIFCYLRAFLPESGFTILPCTRYSMETNG AKIVSTRAWKKNEKLELLVGCIAELREEDEDLLRAGENDFSIMYSTRKRSAQLWLGPAAF INHDCKPNCKFVPSDGNTACVKVLRDIEPGDEVTCFYGEGFFGEKNEHCECYTCERKGEG AFRLQPREPELRPKPLDKYELRETKRRLQQGLVSSQQSLMSRWACSHLSPLRPDPFCAAC QPSCLLPASPHMDYLPLWLQRAPQPQPIVPPRKRHRRRRPRIRQASLPPVLRTACVPLHR WGGCGPHCQLRAEAMVTLHLRPQTRWTPQQDWYWARRYGLPSVGRVELTRLAPALPAAPA PAGNPGPVPTPDFIPKQALAFAPFCPPKRLRLVVSHGSIDLDINSGEP >ENSMUSP00000124663.1 pep:known chromosome:GRCm38:7:4741850:4746898:1 gene:ENSMUSG00000059851.15 transcript:ENSMUST00000160480.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Suv420h2 description:suppressor of variegation 4-20 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2385262] MGPDRVTARELCENDDLATSLVLDPYLGFRTHKMNVRFSATSAPSCLRVASPFCPAPATP WRPMGPRLCLPVLGRRMRSWSCWWAALQSCVKRMKTCLGPVRTTSASCTPPEKGVPSCGL AQLPSSTMTANPTASLCPQMGILPV >ENSMUSP00000114445.1 pep:known chromosome:GRCm38:7:4745808:4746596:1 gene:ENSMUSG00000059851.15 transcript:ENSMUST00000128018.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv420h2 description:suppressor of variegation 4-20 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2385262] PSDGNTACVKVLRDIEPGDEVTCFYGEGFFGEKNEHCECYTCERKGEGAFRLQPREPELR PKPLDKYELRETKRRLQQGLVSSQQSLMSRWACSHLSPLRPDPFCGFHFFCLLRPLQLPA SPHACCLPAPTWITCPFGSSGRLNPSP >ENSMUSP00000040882.6 pep:known chromosome:GRCm38:3:58616300:58637207:-1 gene:ENSMUSG00000070471.3 transcript:ENSMUST00000041115.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich6 description:glutamate rich 6 [Source:MGI Symbol;Acc:MGI:3588212] MRPRQGVRKPGKRNTKSKKPDKASKGKEMVEAEKAQKMQREQGEELEQEGQEEEVEEEEK EEEAKEEQGAQEEQEGQGAQGVQGWDDREGQPQESQNLFPESLNEEDIWKAIGPSEGFDE DSPEFRPRLISVVSPSLSTMSPPSKVSSPSWGEEEPLPLPHREGSPPASSSGSSHKSYPK IFQTFKKDLSEVTVDRDMYPSLYERVQMSVQTEDESWLQRISAKKQIKRIPSIEITETLP QTQASMLRENWVINPEEPKLSILCEMEFNEDFVNLFETSLRTLPSVGPPAILSFRKEYSY MDVNFKDEQELEPTCEFCGSDLRSLFFKMDTSSENIQTKPKSYRCCCLQFQNLLDYINEE MLTIKPPALELISISPHAAYGSDIDRLKAKEKALQKKQERQMARHFAIISHDQSPTTTDD GKTFVTDALEIQKTYSQNSITQREAGNILKYSFPCSAFPSWLNFTCEQSVCTDLIHTEMR CSVYFGFDASVDGTILLTYPSGNLAIIRLPNKTDGFICIIQEDTPTNPTILALFDSSGRS SCYHPNGNVWVYINILGGQYSDQAGNRIRTWNWSSTMPSSSFVSFKPVFLALNRYIGIRI LEQDKVSINFLAMGQQATISLGTKVMLHDPEEVPALWFLSGDDLLLLANLIKIRRLFNKL EGCMNFPISQAWEKLKQPPYLSSLSLKLLALCHNSGIQQKTMETIIDLIKEEE >ENSMUSP00000027252.7 pep:known chromosome:GRCm38:1:37998010:38055579:1 gene:ENSMUSG00000026083.12 transcript:ENSMUST00000027252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5b description:eukaryotic translation initiation factor 5B [Source:MGI Symbol;Acc:MGI:2441772] MGKKQKNKSEDSTKDDTDLGALAAEIEGAGAAKEQEPQKSKGKKKKEKKKQDFDENDILR ELEELSLEAQGIRADRDAAAVKPTENNEEESASKQDKKKKGQKGKKTSFDENDSEELEDK DSKSKKTARPNSEAPLSGSEDADDSNKLSKKGKKAQKSTKKRDGSEEDEDNSKRSKERSR VNSSGESGGESDEFLQSRKGQKKNQKNKSVPTVDSGNEDDDSSFKIKTVAQKKAEKKERE KKKRDEEKAKLRKMKEKEELEKGKKEQSKQREPQKRPEEEVLTLRGTPDTGAASEEKGDT AAALEDDNEGDKKKKDKKKKKTEKDEKEKEKKKGPSKSTVKAIQEALAKLKEEEERQKRE EEERIKRLEELEAKRKEEERLEQEKRERKKQKEKERKERLKKEGKLLTKSQREARARAEV TLRHLQAQGVEVPSKDSLPKKRPVYEDKKKKKTPQQLESKEVSETLEISAPVEAVDQGGP EKEETPPSVEPEEEEDTEDAGLDDWEAMASDEEREKEGNMIHIEVEENPEEEEEEEEEEE EEESEDEEEEGDSEGSDGDEEDCKLSDEKDSGKAGDTKPSKDASSDSEYDSDDDRTKEER AYDKAKRRIEKRRLEHGKNVNTEKLRAPIICVLGHVDTGKTKILDKLRHTHVQDGEAGGI TQQIGATNVPLEAINEQTKMIKNFDRENVRIPGMLIIDTPGHESFSNLRNRGSSLCDIAI LVVDIMHGLEPQTIESINILKSKKCPFIVALNKIDRLYDWKKSPDSDVAVTLKKQKKNTK DEFEERAKAIIVEFAQQGLNAALFYENKDPRTFVSLVPTSAHTGDGMGSLIYLLVELTQT MLSKRLAHCEELRAQVMEVKALPGMGTTIDVILINGRLKEGDTIIVPGVEGPIVTQIRGL LLPPPMKELRVKNQYEKHKEVEAAQGVKILGKDLEKTLAGLPLLVAYKDDEIPVLKDELI HELKQTLNAIKLEEKGVYVQASTLGSLEALLEFLKTSEVPYAGINIGPVHKKDVMKASVM LEHDPQYAVILAFDVRIERDAQEMADSLGVRIFSAEIIYHLFDAFTKYRQDYKKQKQEEF KHIAVFPCKMKILPQYIFNSRDPIVIGVTVEAGQVKQGTPMCVPSKNFVDIGIVTSIEIN HKQVDVAKKGQEVCVKIEPIPGESPKMFGRHFEATDILVSKISRQSIDALKDWFRDEMQK SDWQLIVELKKVFEII >ENSMUSP00000125312.1 pep:known chromosome:GRCm38:6:84618487:85069513:-1 gene:ENSMUSG00000033769.16 transcript:ENSMUST00000160197.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc6b description:exocyst complex component 6B [Source:MGI Symbol;Acc:MGI:1923164] MERAKMAEESLETAAEHERILREIESTDTACIGPTLRSVYDGEEHGRFMEKLETRIRNHD REIEKMCNFHYQGFVDSITELLKVRGEAQKLKNQVTDTNRKLQHEGKELVIAMEELKQCR LQQRNISATVDKLMLCLPVLEMYSKLRDQMKTKRHYPALKTLEHLEHTYLPQVSHYRFCK VMVDNIPKLREEIKDVSMSDLKDFLESIRKHSDKIGETAMKQAQQQRNLDNIVLQQPRIG SKRKSKKDVYTIFDAEVESTSPKSEQDSGILDVEDEEDDEEVPGAQDLVDFSPVYRCLHI YSVLGARETFENYYRKQRRKQARLVLQPPSNMHETLDGYRKYFNQIVGFFVVEDHILHTT QGLVNRAYIDELWEMALSKTIAALRTHSSYCSDPNLVLDLKNLIVLFADTLQVYGFPVNQ LFDMLLEIRDQYSETLLKKWAGVFRNILDSDNYSPIPVTSEETYKKVVGQFPFQDIELEK QPFPKKFPFSEFVPKVYNQIKEFIYACLKFSEDLHLSSTEVDDMIRKSTNLLLTRTLSNS LQNVIKRKNIGLTELVQIIINTTHLEKSCKYLEEFITNITNVLPETVHTTKLYGTTTFKD ARHAAEEEIYTNLNQKIDQFLQLADYDWMTGDLDNKASDYLVDLIAFLRSTFAVFTHLPG KVAQTACMSACKHLATSLMQLLLEAEVRQLTLGALQQFNLDVRECEQFARSGPVPGFQED TLQLAFIDLRQLLDLFIQWDWSTYLADYGQPNCKYLRVNPVTALTLLEKMKDTSRKNNMF AQFRKNERDKQKLIDTVAKQLRGLISSHHS >ENSMUSP00000124512.1 pep:known chromosome:GRCm38:6:84851949:85069502:-1 gene:ENSMUSG00000033769.16 transcript:ENSMUST00000162821.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Exoc6b description:exocyst complex component 6B [Source:MGI Symbol;Acc:MGI:1923164] MERAKMAEESLETAAEHERILREIESTDTACIGPTLRTR >ENSMUSP00000039980.7 pep:known chromosome:GRCm38:9:54917283:54949924:1 gene:ENSMUSG00000035878.13 transcript:ENSMUST00000039742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hykk description:hydroxylysine kinase 1 [Source:MGI Symbol;Acc:MGI:2443139] MSSGAGWQSQASAKPVFTEAQASALVESVFGFKVSKIQPLPSYEDQNFRVHIARGKETTD DPVEYVLKISNTESSQTPELIEMQNHVIMFLRAAGFPTASVCRTKGDNTISLISIDSGSG VKSYLVRMLTYLPGRPIAEVAISHQQLYEIGRLAAQLDKALEEFHHPKLSLFHRENFIWN LKNVPLLEKYMGALSQSRNREIVEQVIRMFKEEVMTKLSHFRECINHGDLNDHNILVDLS KSASGEGVHQVSGILDFGDMSYGYYVFEVAIVIMYMMIESTNPIQVGGHILAGFESVIPL TAVERQALFLLVCSRFSQSLVMAAYSCQLYPENKEYLMITAKTGWKHLQQLFDMGQKAVE EIWFETAKSYESEISM >ENSMUSP00000081260.4 pep:known chromosome:GRCm38:4:133605299:133633469:-1 gene:ENSMUSG00000037553.14 transcript:ENSMUST00000084238.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc18 description:zinc finger, DHHC domain containing 18 [Source:MGI Symbol;Acc:MGI:3527792] MKDCEYQQISPGAAPPPASPGARRPGPAAPPAPSPGPAPGAPRWSGSGSGSGSLGRRPRR KWEVFPGRNRFYCGGRLMLAGHGGVFALTLLLILSTTILFFVFDCPYLARTLTLAIPIIA AILFFFVMSCLLQTSFTDPGILPRATICEAAALEKQIDNTGSSTYRPPPRTREVMINGQT VKLKYCFTCKMFRPPRTSHCSVCDNCVERFDHHCPWVGNCVGRRNYRFFYAFILSLSFLT AFIFACVVTHLTLLSQGSNFLSALKKTPASVLELVICFFSIWSILGLSGFHTYLVASNLT TNEDIKGSWSSKRGGEASVNPYSHKSIITNCCAVLCGPLPPSLIDRRGFVQSDTALPSPI RSDDPACGAKPDASMVGGHP >ENSMUSP00000087587.2 pep:known chromosome:GRCm38:3:142496900:142522344:1 gene:ENSMUSG00000105504.4 transcript:ENSMUST00000090127.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbp5 description:guanylate binding protein 5 [Source:MGI Symbol;Acc:MGI:2429943] MAPEIHMPEPLCLIGSTEGHLVTNQEALKILSAITQPVVVVAIVGLYRTGKSYLMNKLAG KEKGFSVGSTVQSHTKGIWMWCVPHPQKPDHTLVLLDTEGLGDVEKDDKKNDTQIFALAI LLSSTFVYNTMNKIDQGAIDLLHNVTELTDLLRTRNSSDSNQTEGEGPADMSFFPDLVWT LRDFFLDLQANGHAITSDEYLENSLKLKQGSDERTQTFNLPRLCIQKFFPVKKCFVFDAP ALGSKLSQLPTLSNEELNSDFVQDLSEFCSHIFTQSKTKTLPGGIQVNGPRLESLVLTYV DAINSGALPSIENTVVTLARRENSAAVQKAIGHYDQLMSEKVQLPTETLQELLDLHRTCE REAIEIFRKHSFKDEGEFFQKELESLLSAKQDEICKKNADASAALCSTLLGSIFKPLEQE VAQEFYHKPGGHKLFLQRMEQLKANYRQQPGKGTQAEEVLQTYLNAKETVSRTILQTDQV LTDKEIQSKAEQERAEAARLEAQRLEAIRIQEEQRKAEMERQHQEQLRQIALEKARVAQE QQWILKQRAQEEADRIKAEQEAQLRALQQQLQHMREMNHHRRHHHDCVIS >ENSMUSP00000143336.1 pep:known chromosome:GRCm38:3:142496934:142522139:1 gene:ENSMUSG00000105504.4 transcript:ENSMUST00000196255.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gbp5 description:guanylate binding protein 5 [Source:MGI Symbol;Acc:MGI:2429943] MAPEIHMPEPLCLIGSTEGHLVTNQEALKILSAITQPVVVVAIVGLYRTGKSYLMNKLAG KEKGFSVGSTVQSHTKGIWMWCVPHPQKPDHTLVLLDTEGLGDVEKDDKKNDTQIFALAI LLSSTFVYNTMNKIDQGAIDLLHNVTELTDLLRTRNSSDSNQTEGEGPADMSFFPDLVWT LRDFFLDLQANGHAITSDEYLENSLKLKQGSDERTQTFNLPRLCIQKFFPVKKCFVFDAP ALGSKLSQLPTLSNEELNSDFVQDLSEFCSHIFTQSKTKTLPGGIQVNGPRLESLVLTYV DAINSGALPSIENTVVTLARRENSAAVQKAIGHYDQLMSEKVQLPTETLQELLDLHRTCE REAIEIFRKHSFKDEGEFFQKELESLLSAKQDEICKKNADASAALCSTLLGSIFKPLEQE VAQEFYHKPGGHKLFLQRMEQLKANYRQQPGKGTQAEEVLQTYLNAKETVSRTILQTDQV LTDKEIQSKAEQERAEAARLEAQRLEAIRIQEEQRKAEMERQHQEQLRQIALEKARVAQE QQWILKQRAQGRCPVIWCLDLLLAEDEGPKQDLSQKLCCFGQEGGRLSGAEDGAASEALW ISPFPETAGLCIPHCHPSNLPSVESRSQGGSRRGLRHKPLRPGGPLCTHQEGARLSGAED GTASEALWLSPVLETVGLFIPHPHPCSLPSTDSRSEGGSRRGLRQKPLGLVDPCALTRKV AGCL >ENSMUSP00000142938.1 pep:known chromosome:GRCm38:3:142496942:142522030:1 gene:ENSMUSG00000105504.4 transcript:ENSMUST00000197459.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gbp5 description:guanylate binding protein 5 [Source:MGI Symbol;Acc:MGI:2429943] MAPEIHMPEPLCLIGSTEGHLVTNQEALKILSAITQPVVVVAIVGLYRTGKSYLMNKLAG KEKDLVWTLRDFFLDLQANGHAITSDEYLENSLKLKQGSDERTQTFNLPRLCIQKFFPVK KCFVFDAPALGSKLSQLPTLSNEELNSDFVQDLSEFCSHIFTQSKTKTLPGGIQVNGPRL ESLVLTYVDAINSGALPSIENTVVTLARRENSAAVQKAIGHYDQLMSEKVQLPTETLQEL LDLHRTCEREAIEIFRKHSFKDEGEFFQKELESLLSAKQDEICKKNADASAALCSTLLGS IFKPLEQEVAQEFYHKPGGHKLFLQRMEQLKANYRQQPGKGTQAEEVLQTYLNAKETVSR TILQTDQVLTDKEIQSKAEQERAEAARLEAQRLEAIRIQEEQRKAEMERQHQEQLRQIAL EKARVAQEQQWILKQRAQGRCPVIWCLDLLLAEDEGPKQDLSQKLCCFGQEGGRLSGAED GAASEALWISPFPETAGLCIPHCHPSNLPSVESRSQGGSRRGLRHKPLRPGGPLCTHQEG ARLSGAEDGTASEALWLSPVLETVGLFIPHPHPCSLPSTDSRSEGGSRRGLRQKPLGLVD PCALTRKVAGCL >ENSMUSP00000139975.1 pep:known chromosome:GRCm38:Y:68268651:68294888:-1 gene:ENSMUSG00000096016.7 transcript:ENSMUST00000189084.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20937 description:predicted gene, 20937 [Source:MGI Symbol;Acc:MGI:5434293] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKCSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKY >ENSMUSP00000140064.1 pep:known chromosome:GRCm38:Y:68268651:68294898:-1 gene:ENSMUSG00000096016.7 transcript:ENSMUST00000189422.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20937 description:predicted gene, 20937 [Source:MGI Symbol;Acc:MGI:5434293] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKCSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKY >ENSMUSP00000136591.1 pep:known chromosome:GRCm38:Y:68268847:68293479:-1 gene:ENSMUSG00000096016.7 transcript:ENSMUST00000180329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20937 description:predicted gene, 20937 [Source:MGI Symbol;Acc:MGI:5434293] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKCSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKY >ENSMUSP00000046884.5 pep:known chromosome:GRCm38:7:51511029:51598709:1 gene:ENSMUSG00000055489.8 transcript:ENSMUST00000043944.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano5 description:anoctamin 5 [Source:MGI Symbol;Acc:MGI:3576659] MVEQEGLTAKEIDYAFQQNENLGSKETSFLIPEDLQSPPEKRFNLFLRRRLMFQRSEHSK DSVFFRDGIRQIDFVLSYVEDLKKDGELKAERRREFEQNLRKTGLDLETEDKLNSEDGKT YFVKIHAPWEVLVTYAEVLGIKMPIKLSDIPRPKYPPLSYMLGAVKLPSSVKYPTPEYFT AQFSRHRQELFLIEDEATFFPSSTRNRIVYYILSRCPFGVEEGKKKIGIERLLNSNTYLS AYPLHDGQYWKPSKTTRPNERYNLCKNWARFSYFYKEQPFHLIRNYFGEKIGIYFVFLGY YTEMLLFAALVGLACFIYGLLSMENNRTSTEICDPDIGGQMIMCPLCDEVCDYWRLNTTC LHSKFSHLFDNESTVFFALFMGIWVTLFLEFWKQRQARLEYEWDLVDFEEEQQQLQLRPE FEAMCKHKKMNPVTKEMEPHMPLCHRIPWYFVSGTTVTFGMALLLSSMVSILIYRLSVFA TFASFMESEATLQSVKSFFTPQLATALSGSCLNCIVILILNFFYEKISAWITKMEIPRTH QEYESSLTLKMFLFQFVNYYSSCFYVAFFKGKFVGYPGSYTYMFNIWRSEECGPAGCLIE LTTQLTIIMIGKQIFGNIHEAFQPLIFNWWRRRRARTHSEKLYSRWEQDHDLQVYGHRGL FYEYLETVIQFGFATLFVASFPLAPLFALMNNIMGIRVDAWKLTTQYRRPVAAKAHSIGV WQDILFGMAIVSVATNAFIVSFTSDIIPRLVYFYAYSTNSTEPLSGYVNNSLSVFLIADF PNHTVPMEKKDFVTCRYRDYRYPPDHEDKYSHNMQFWHVLAAKMTFIIVMEHVVFLFKFL LAWLIPDVPKDVVEKIKREKLMTIKIIHDFELNKLKENLDVEYGNIMKNVLVDEDNSLKA KTTV >ENSMUSP00000147243.1 pep:known chromosome:GRCm38:7:51511041:51594360:1 gene:ENSMUSG00000055489.8 transcript:ENSMUST00000207044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano5 description:anoctamin 5 [Source:MGI Symbol;Acc:MGI:3576659] MNLGSKETSFLIPEDLQSPFQRSEHSKDSVFFRDGIRQIDFVLSYVEDLKKDGELKAERR REFEQNLRKTGLDLETEDKLNSEDGKTYFVKIHAPWEVLVTYAEVLGIKMPIKLSDIPRP KYPPLSYMLGAVKLPSSVKYPTPEYFTAQFSRHRQELFLIEDEATFFPSSTRNRIVYYIL SRCPFGVEEGKKKIGIERLLNSNTYLSAYPLHDGQYWKPSKTTRPNERYNLCKNWARFSY FYKEQPFHLIRNYFGEKIGIYFVFLGYYTEMLLFAALVGLACFIYGLLSMENNRTSTEIC DPDIGGQMIMCPLCDEVCDYWRLNTTCLHSKFSHLFDNESTVFFALFMGIWVTLFLEFWK QRQARLEYEWDLVDFEEEQQQLQLRPEFEAMCKHKKMNPVTKEMEPHMPLCHRIPWYFVS GTTVTFGMALLLSSMVSILIYRLSVFATFASFMESEATLQSVKSFFTPQLATALSGSCLN CIVILILNFFYEKISAWITKMEIPRTHQEYESSLTLKMFLFQFVNYYSSCFYVAFFKGKF VGYPGSYTYMFNIWRSEECGPAGCLIELTTQLTIIMIGKQIFGNIHEAFQPLIFNWWRRR RARTHSEKLYSRWEQDHDLQVYGHRGLFYEYLETVIQFGFATLFVASFPLAPLFALMNNI MGIRVDAWKLTTQYRRPVAAKAHSIGVWQDILFGMAIVSVATNAFIVSFTSDIIPRLVYF YAYSTNSTEPLSGYVNNSLSVFLIADFPNHTVPMEKKDFVTCSTSCFCLNFY >ENSMUSP00000146783.1 pep:known chromosome:GRCm38:7:51511107:51593905:1 gene:ENSMUSG00000055489.8 transcript:ENSMUST00000207717.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano5 description:anoctamin 5 [Source:MGI Symbol;Acc:MGI:3576659] MVEQEGLTAKEIDYAFQQNENLGSKETSFLIPEDLQSPFQRSEHSKDSVFFRDGIRQIDF VLSYVEDLKKDGELKAERRREFEQNLRKTGLDLETEDKLNSEDGKTYFVKIHAPWEVLVT YAEVLGIKMPIKLSDIPRPKYPPLSYMLGAVKLPSSVKYPTPEYFTAQFSRHRQELFLIE DEATFFPSSTRNRIVYYILSRCPFGVEEGKKKIGIERLLNSNTYLSAYPLHDGQYWKPSK TTRPNERYNLCKNWARFSYFYKEQPFHLIRNYFGEKIGIYFVFLGYYTEMLLFAALVGLA CFIYGLLSMENNRTSTEICDPDIGGQMIMCPLCDEVCDYWRLNTTCLHSKFSHLFDNEST VFFALFMGIWVTLFLEFWKQRQARLEYEWDLVDFEEEQQQLQLRPEFEAMCKHKKMNPVT KEMEPHMPLCHRIPWYFVSGTTVTFGMALLLSSMVSILIYRLSVFATFASFMESEATLQS VKSFFTPQLATALSGSCLNCIVILILNFFYEKISAWITKMGKFVGYPGSYTYMFNIWRSE ECGPAGCLIELTTQLTIIMIGKQIFGNIHEAFQPLIFNWWRRRRARTHSEKLYSRWEQDH DLQVYGHRGLFYEYLETVIQFGFATLFVASFPLAPLFALMNNIMGIRVDAWKLTTQYRRP VAAKAHSIGVWQDILFGMAIVSVATNAFIVSFTSDIIPRLVYFYAYSTNSTEPLSGYVNN SLSVFLIADFPNHTVPMEKKDFVTCRYRDYRYPPDHEDKYSHNMQFWHVLAAKMTFIIVM EHVVFLFKFLLAWLIPDVPKDVVEKIKREKLMTIKIIHDFELNKLKENLDVEYGNIMKNV LVDEDNSLKAKTTV >ENSMUSP00000030443.5 pep:known chromosome:GRCm38:4:117096075:117115383:1 gene:ENSMUSG00000028681.11 transcript:ENSMUST00000030443.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptch2 description:patched homolog 2 [Source:MGI Symbol;Acc:MGI:1095405] MVRPLSLGELPPSYTPPARSSAPHILAGSLQAPLWLRAYFQGLLFSLGCRIQKHCGKVLF LGLVAFGALALGLRVAVIETDLEQLWVEVGSRVSQELHYTKEKLGEEAAYTSQMLIQTAH QEGGNVLTPEALDLHLQAALTASKVQVSLYGKSWDLNKICYKSGVPLIENGMIERMIEKL FPCVILTPLDCFWEGAKLQGGSAYLPGRPDIQWTNLDPQQLLEELGPFASLEGFRELLDK AQVGQAYVGRPCLDPDDPHCPPSAPNRHSRQAPNVAQELSGGCHGFSHKFMHWQEELLLG GTARDLQGQLLRAEALQSTFLLMSPRQLYEHFRGDYQTHDIGWSEEQASMVLQAWQRRFV QLAQEALPANASQQIHAFSSTTLDDILRAFSEVSTTRVVGGYLLMLAYACVTMLRWDCAQ SQGAVGLAGVLLVALAVASGLGLCALLGITFNAATTQVLPFLALGIGVDDIFLLAHAFTK APPDTPLPERMGECLRSTGTSVALTSVNNMVAFFMAALVPIPALRAFSLQAAIVVGCNFA AVMLVFPAILSLDLRRRHRQRLDVLCCFSSPCSAQVIQMLPQELGDRAVPVGIAHLTATV QAFTHCEASSQHVVTILPPQAHLLSPASDPLGSELYSPGGSTRDLLSQEEGTGPQAACRP LLCAHWTLAHFARYQFAPLLLQTRAKALVLLFFGALLGLSLYGATLVQDGLALTDVVPRG TKEHAFLSAQLRYFSLYEVALVTQGGFDYAHSQRALFDLHQRFSSLKAVLPPPATQAPRT WLHYYRSWLQGIQAAFDQDWASGRITCHSYRNGSEDGALAYKLLIQTGNAQEPLDFSQLT TRKLVDKEGLIPPELFYMGLTVWVSSDPLGLAASQANFYPPPPEWLHDKYDTTGENLRIP AAQPLEFAQFPFLLHGLQKTADFVEAIEGARAACTEAGQAGVHAYPSGSPFLFWEQYLGL RRCFLLAVCILLVCTFLVCALLLLSPWTAGLIVLVLAMMTVELFGIMGFLGIKLSAIPVV ILVASIGIGVEFTVHVALGFLTSHGSRNLRAASALEQTFAPVTDGAVSTLLGLLMLAGSN FDFIIRYFFVVLTVLTLLGLLHGLLLLPVLLSILGPPPQVVQVYKESPQTLNSAAPQRGG LRWDRPPTLPQSFARVTTSMTVALHPPPLPGAYVHPASEEPT >ENSMUSP00000122548.1 pep:known chromosome:GRCm38:4:117096096:117115383:1 gene:ENSMUSG00000028681.11 transcript:ENSMUST00000144620.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptch2 description:patched homolog 2 [Source:MGI Symbol;Acc:MGI:1095405] MVRPLSLGELPPSYTPPARSSAPHILAGSLQAPLWLRAYFQGLLFSLGCRIQKHCGKVLF LGLVAFGALALGLRVAVIETDLEQLWVEVGSRVSQELHYTKEKLGEEAAYTSQMLIQTAH QEGGNVLTPEALDLHLQAALTASKVQVSLYGKSWDLNKICYKSGVPLIENGMIERMIEKL FPCVILTPLDCFWEGAKLQGGSAYLPGRPDIQWTNLDPQQLLEELGPFASLEGFRELLDK AQVGQAYVGRPCLDPDDPHCPPSAPNRHSRQAPNVAQELSGGCHGFSHKFMHWQEELLLG GTARDLQGQLLRGLAEHLPAHESPSAVRALPGRLPDT >ENSMUSP00000114461.1 pep:known chromosome:GRCm38:4:117105238:117108514:1 gene:ENSMUSG00000028681.11 transcript:ENSMUST00000137209.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptch2 description:patched homolog 2 [Source:MGI Symbol;Acc:MGI:1095405] XEKLFPCVILTPLDCFWEGAKLQGGSAYLPGRPDIQWTNLDPQQLLEELGPFASLEGFRE LLDKAQVGQAYVGRPCLDPDDPHCPPSAPNRHSRQAPNVAQELSGGCHGFSHKFMHWQEE LLLGGTARDLQGQLLRSGLPWDLGRGLAEHLPAHESPSAVRALPGRLPDT >ENSMUSP00000005334.2 pep:known chromosome:GRCm38:11:69614804:69617905:-1 gene:ENSMUSG00000005202.2 transcript:ENSMUST00000005334.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shbg description:sex hormone binding globulin [Source:MGI Symbol;Acc:MGI:98295] MEKRDSVALHWRLLLLLLLLMPPPTHQGRALRHIDPIQSAQDPPAKYLSNGPGQEPVMVM TIDLTKISKPHSSFEFRTWDPEGVIFYGDTNTEDDWFLLGLRAGQLEIQLHNAWARLTVG FGPRLDDGRWHPVELKMNGDSLLLWVDGKEMLCLRQISASLADHSQRSMRIALGGLLLPT SKLRFPLVPALDGCIRRDIWLGHQAQLSASPRTSLGNCDVDLQPGLFFPPGTHAEFSLQD IPQPHADPWTFSLELGFKLVDGSGQLLALGTGTNSSWLNIHLQNQSVVLSSEAEPKVVLP LDVGLPLQLTLDRVKVVLSQGPKMEVLSMSLLRPASLWRLWSHPQGHLSLGALPGESSSA SFCLSDFWVQGQRLDIDQALSRSQDIWTHSCPQRPSNDTRTSH >ENSMUSP00000109203.1 pep:known chromosome:GRCm38:X:105797615:105929403:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000113573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MTAEPMSGNKLSTLVQKLHDFLAHSSEESEETCSSPRLVMNQSTDKICGSGLNSDMMENN KEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTDENVNEKAATENSENDITMQSL PKGTVIVQPEPVLNEDKDDFKGPEFRSRSKMKADNLKKRGEDGLHGIVSCTACGQQVNHF QKDSIYRHPSLKVLICKNCFKYYMSDDISRDSDGMDEQCRWCAEGGNLICCDFCHNAFCK KCILRNLGRKELSTIMDENNQWYCYICQPEPLLDLVTACNSVFENLEQLLQQNKKKIKVD SEKTSKVCDQTSKFSPKKSSSSCNGEEKKLEESCSGSVSSTYSHSALSVPKEMIKKTTKL IETTSNMNSSYIKFLKQAADNSEMTSAMKLCQLKSFKSVLDDIKKAHLALEEDLNSEIQA LDDVHKEKNTKDLKSTDAKSETKLGKGEKSYSTEKREFLKLDARSSVKAIDGEEQRAHKS TSGEHKGSGRKDGSQYEPTNTPEDLDMDIVSVPSSVPEDIFDSLESAMEVQSSADYQGDG NSGTEPELESSSVKLNVSSKDSRGNIKSKVTAKVRKELFVKLTPVSLSNSPIKGVDCQEV SQEKNGRKSSGVARSSEKCRPREEISDHENNVTILLEDSDLRRSPRVKTTPLRRQTESNP AMSNSDEESNGTMKEKQKMSGPIRKKDKRNSADCATDNPKPHKVPKAKQPVIGDQNSDSD EMLAVLKEASQMGHSSSSDTDINEPQMNHKGKTGKDDNGKRKRKNSTSGSDFDTKKGKST ETSIISKKKRQNYSESSNYDSELEREIKTMSRIGAARKSVPEKKEEDSSEDEKQGKKVVD NGGHERAKTTQEGSSADDTGDTEGRQGGSCSIAGGSIEKVRSGVEFREMLCKPGVSSDGA EKPSVKEENVNSPEDKRVSKTKEKTKHLRSRQSRKGKGGSSDGTDRFPKKEQSDESSEGE KKQSRQRPGTKGKKAPDLKGETLKREQEWDSSSDGTERLPEEEEIGPFSKGIKQSKTDTA GGEKKGKKWKDKSCEKKEELSDSVDKLPGKGDSCDSSEDKKTRNRVSLREKKRFSLPAKS PGKRPECSSSDTEKSLKGQCCDSTEKRPKRIDLRERRNSSSKRNTKEVKSASSSSDAEGS SEDNKKQKKQRTSAKKKTGNTKEKKRNSLRATPKRKQVDITSSSSDIGDDDQNSAGEESS DEQKIKPVTENLVLPSHTGFCQSSGDEALSKSVPATVDDDDDDNDPENRIAKKMLLEEIK ANLSSDEDGSSDDEPDGGGKKRIGKQSEESPADDGELRREQLAVNQVNSESDSDSEESKK PRYRHRLLRHKLTLSDGESGEEKPTKPKEHKEAKGRNRRKVSSEDSEDTDFQESGVSEEV SESEDEQRPRTRSAKKAELEENQRSYKQKKKRRRIKVQEDSSSENKSHSEEDKKEGDEED EEDEDEDEEDENDDSKSPGKGRKKIRKILKDDKLRTETQNALKEEEERRKRIAERERERE KLREVIEIEDASPTKCPITTKLVLDENEETKEPLVQVHRNMVIKLKPHQVDGVQFMWDCC CESVEKTKKSPGSGCILAHCMGLGKTLQVVSFLHTVLLCDKLDFSTALVVCPLNTALNWM NEFEKWQEGLNDNEKLEVSELATVKRPQERSYMLQRWQEDGGVMIIGYEMYRNLAQGRNV KSRKLKDIFNKALVDPGPDFVVCDEGHILKNEASAVSKAMNSIKSRRRIILTGTPLQNNL IEYHCMVNFIKENLLGSIKEFRNRFINPIQNGQCADSTMVDVRVMKKRAHILYEMLAGCV QRKDYTALTKFLPPKHEYVLAVRMTAIQCKLYQYYLDHLTGVGNSTEGGRGKAGAKLFQD FQMLSRIWTHPWCLQLDYISKENKGYFDEDSMDEFIASDSDETSKSLSSDEKKKPKGKKG KKDSSSSGSGSDNDVEVIKVWNSRSRGGGDGNVDDTGNNPSVSLKLDESKTTSTSNPSSP APDWYKDFVTDTDAEVLEHSGKMVLLFEILRMAEEIGDKVLVFSQSLISLDLIEDFLELA SREKTEDKEKPLIYKGEGKWIRNIDYYRLDGSTNAQSRKKWAEEFNDETNVRGRLFIIST KAGSLGINLVAANRVIIFDASWNPSYDIQSIFRVYRFGQTKPVYVYRFLAQGTMEDKIYD RQVTKQSLSFRVVDQQQVERHFTMNELTELYTFEPDLLDDPNSEKKKKRDTPMLPKDTIL AELLQIHKEHIVGYHEHDSLLDHKEEEELTEEERKAAWAEYEAEKKGLTMRFNIPTGTNL PPVTFTSQTPYIPFNLGALSAMSNQQLEDLINQGREKVVEATNSMTAVRIQPLEDIISTV WKENMNLSEAQVQALALSRQASQELDVKRREAIYNDVLTKQQMLINCVQRILMNRRLQQQ YTQQQQQQLTYQQATLSHLMMPKPPNLIMTPSNYQQIDMRGMYQSVAGGMQPPPLQRAPP PTVRSKNPGPSPGKSM >ENSMUSP00000143664.1 pep:known chromosome:GRCm38:X:105818070:105826125:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000127221.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] XAEEIGDKVYWN >ENSMUSP00000143007.1 pep:known chromosome:GRCm38:X:105826144:105838271:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000198567.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] ILYEMLAGCVQRKDYTALTKFLPPKHEYVLAVRMTAIQCKLYQYYLDHLTVSFGQVWAIA LKVEEERQVQSFFKISKC >ENSMUSP00000142726.1 pep:known chromosome:GRCm38:X:105852003:105875595:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000198209.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] VRSGVEFREMLCKPGVSSDGAEKPSVKEENVNSPEDKRVSKTKEKTKHLRSRQSRKGKGG SSDGTDRFPKKEQSDESSEGEKKQSRQRPGTKGKKAPDLKGETLKREQEWDSSSDGTERL PEEEEIGPFSKGIKQSKTDTAGGEKKGKKWKDKSCEKKEELSDSVDKLPGKGDSCDSSED KKTRNRVSLREKKRFSLPAKSPGKRPECSSSDTEKSLKGQCCDSTEKRPKRIDLRERRNS SSKRNTKEVKSASSSSDAEGSSEDNKKQKKQRTSAKKKTGNTKEKKRNSLRATPKRKQVD ITSSSSDIGDDDQNSAGEESSDEQKIKPVTENLVLPSHTGFCQSSGDEALSKSVPATVDD DDDDNDPENRIAKKMLLEEIKANLSSDEDGSSDDEPDGGGKKRIGKQSEESPADDAVNQV NSESDSDSEESKKPRYRHRLLRHKLTLSDGESGEEKPTKPKEHKEAKGRNRRKVSSEDSE DTDFQESGVSEEVSESEDEQRPRTRSAKKAELEENQRSYKQKKKRR >ENSMUSP00000143163.1 pep:known chromosome:GRCm38:X:105856603:105887823:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000197302.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] TKYVESDDEKPTDENVNEKAATENSENDITMQSLPKGTVIVQPEPVLNEDKDDFKGPEFR SRSKMKADNLKKRGEDGLHGIVSCTACGQQVNHFQKDSIYRHPSLKVLICKNCFKYYMSD DISRDSDGMDEQCRR >ENSMUSP00000143280.1 pep:known chromosome:GRCm38:X:105865546:105875305:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000200471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] XDLKGETLKREQEWDSSSDGTERLPEEEEIGPFSKGIKQSKTDTAGGEKKGKKWKDKSCE KKEELSDSVDKLPGKGDSCDSSEDKKTRNRVSLREKKRFSLPAKSPGKRPECSSSDTEKS LKGQCCDSTEKRPKRIDLRERRNSSSKRNTKEVKSASSSSDAEGSSEDNKKQKKQRTSAK KKTGNTKEKKRNSLRATPKRKQVDITSSSSDIGDDDQNSAGEESSDEQKIKPVTENLVLP SHTGFCQSSGDEALSKSVPATVDDDDDDNDPENRYDSICKELKIITHPRHGRKQQQRNNN QVIKTRSSCLRMTRAQSSCKSETKARKWAKAQKP >ENSMUSP00000121416.2 pep:known chromosome:GRCm38:X:105875130:105929366:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000128968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MTAEPMSGNKLSTLVQKLHDFLAHSSEESEETCSSPRLVMNQSTDKICGSGLNSDMMENN KEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTDENVNEKAATENSENDITMQSL PKDGLHGIVSCTACGQQVNHFQKDSIYRHPSLKVLICKNCFKYYMSDDISRDSDGMDEQC RWCAEGGNLICCDFCHNAFCKKCILRNLGRKELSTIMDENNQWYCYICQPEPLLDLVTAC NSVFENLEQLLQQNKKKIKVDSEKTSKVCDQTSKFSPKKSSSSCNGEEKKLEESCSGSVS STYSHSALSVPKEMIKKTTKLIETTSNMNSSYIKFLKQAADNSEMTSAMKLCQLKSFKSV LDDIKKAHLALEEDLNSEIQALDDVHKEKNTKDLKSTDAKSETKLGKGEKSYSTEKREFL KLDARSSVKAIDGEEQRAHKSTSGEHKGSGRKDGSQYEPTNTPEDLDMDIVSVPSSVPED IFDSLESAMEVQSSADYQGDGNSGTEPELESSSVKLNVSSKDSRGNIKSKVTAKVRKELF VKLTPVSLSNSPIKGVDCQEVSQEKNGRKSSGVARSSEKCRPREEISDHENNVTILLEDS DLRRSPRVKTTPLRRQTESNPAMSNSDEESNGTMKEKQKMSGPIRKKDKRNSADCATDNP KPHKVPKAKQPVIGDQNSDSDEMLAVLKEASQMGHSSSSDTDINEPQMNHKGKTGKDDNG KRKRKNSTSGSDFDTKKGKSTETSIISKKKRQNYSESSNYDSELEREIKTMSRIGAARKS VPEKKEEDSSEDEKQGKKVVDNGGHERAKTTQEGSSADDTGDTEGRQGGSCSIAGGSIEK VRSGVEFREMLCKPGVSSDGAEKPSVKEENVNSPEDKRVSKTKEKTKHLRSRQSRKGKGG SSDGTDRFPKKEQSDESSEGEKKQSRQRPGTKGKKAPDLKGETLKREQEWDSSSDGTERL PEEEEIGPFSKGIKQSKTDTAGGEKKGKKWKDKSC >ENSMUSP00000143090.1 pep:known chromosome:GRCm38:X:105876508:105929342:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000198448.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MMENNKEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTDENVNEKAATENSENDI TMQSLPKGTVIVQPEPVLNEDKDDFKGPEFRSRSKMKADNLKKRGEDGLHGIVSCTACGQ QVNHFQKDSIYRHPSLKVLICKNCFKYYMSDDISRDSDGMDEQCRWCAEGGNLICCDFCH NAFCKKCILRNLGRKELSTIMDENNQWYCYICQPEPLLDLVTACNSVFENLEQLLQQNKK KIKVDSEKTSKVCDQTSKFSPKKSSSSCNGEEKKLEESCSGSVSSTYSHSALSVPKEMIK KTTKLIETTSNMNSSYIKFLKQAADNSEMTSAMKLCQLKSFKSVLDDIKKAHLALEEDLN SEIQALDDVHKEKNTKDLKSTDAKSETKLGKGEKSYSTEKREFLKLDARSSVKAIDGEEQ RAHKSTSGEHKGSGRKDGSQYEPTNTPEDLDMDIVSVPSSVPEDIFDSLESAMEVQSSAD YQGDGNSGTEPELESSSVKLNVSSKDSRGNIKSKVTAKVR >ENSMUSP00000123085.1 pep:known chromosome:GRCm38:X:105876960:105929350:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000134507.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MNQSTDKICGSGLNSDMMENNKEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTD ENVNEKAATENSENDITMQSLPKEDGLHGIVSCTACGQQVNHFQKDSIYRHPSLKVLICK NCFKYYMSDDISRDSDGMDEQCRWCAEGGNLICCDFCHNAFCKKCILRNLGRKELSTIMD ENNQWYCYICQPEPLLDLVTACNSVFENLEQLLQQNKKKIKVDSEKTSKVCDQTSKFSPK KSSSSCNGEEKKLEESCSGSVSSTYSHSALSVPKEMIKKTTKLIETTSNMNSSYIKFLKQ AADNSEMTSAMKLCQLKSFKSVLDDIKKAHLALEEDLNSEIQALDDV >ENSMUSP00000122034.1 pep:known chromosome:GRCm38:X:105876960:105929370:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000150914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MNQSTDKICGSGLNSDMMENNKEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTD ENVNEKAATENSENDITMQSLPKGTVIVQPEPVLNEDKDDFKGPEFRSRSKMKADNLKKR GEDGLHGIVSCTACGQQVNHFQKDSIYRHPSLKVLICKNCFKYYMSDDISRDSDGMDEQC RWCAEGGNLICCDFCHNAFCKKCILRNLGRKELSTIMDENNQWYCYICQPEPLLDLVTAC NSVFENLEQLLQQNKKKIKVDSEKTSKVCDQTSKFSPKKSSSSCNGEEKKLEESCSGSVS STYSHSALSVPKEMIKKTTKLIETTSNMNSSYIKFLKQAADNSEMTSAMKLCQLKSFKSV LDDIKKAHLALEEDLNSEIQALDDV >ENSMUSP00000122875.2 pep:known chromosome:GRCm38:X:105877240:105929367:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000134381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MMENNKEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTDENVNEKAATENSENDI TMQSLPKEDGLHGIVSCTACGQQVNHFQKDSIYRHPSLKVLICKNCFKYYMSDDISRDSD GMDEQCRWCAEGGNLICCDFCHNAFCKKCILRNLGRKELSTIMDENNQWYCYICQPEPLL DLVTACNSVFENLEQLLQQNKKKIKVDSEKTSKVCDQTSKFSPKKSSSSCNGEEKKLE >ENSMUSP00000121291.1 pep:known chromosome:GRCm38:X:105877441:105929359:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000154866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MMENNKEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTDENVNEKAATENSENDI TMQSLPKGTVIVQPEPVLNEDKDDFKGPEFRSRSKMKADNLKKRGDGLHGIVSCTACGQQ VNHFQKDSIYRHPSLKVLICKNCFKYYMSDDISRDSDGMDEQCRWCAEGGNLICCDFCHN AFCKKCILRNLGRKELSTIMDENNQWYC >ENSMUSP00000143015.1 pep:known chromosome:GRCm38:X:105877480:105929347:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000199233.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MMENNKEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTDENVNEKAATENSENDI TMQSLPKEDGLHGIVSCTACGQQVNHFQKDSIYRHPSLKVLICKNCFKYYMSDDISRDSD GMDEQCRWCAEGGNLICCDFCHNAFCKKCILRNLGRKE >ENSMUSP00000143565.1 pep:known chromosome:GRCm38:X:105878389:105929238:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000198441.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MTAEPMSGNKLSTLVQKLHDFLAHSSEESEETCSSPRLVMNQSTDKICGSGLNSDMMENN KEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTDENVNEKAATENSENDITMQSL PKEDGLHGIVSCTACGQQVNHFQKDSIYRHPSLKVLICKNCFKYYMSDDISRDS >ENSMUSP00000098863.2 pep:known chromosome:GRCm38:X:105881955:105928577:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000101305.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MVASTGSPPALRGSERRAEHWSGNKLSTLVQKLHDFLAHSSEESEETCSSPRLVMNQSTD KICGSGLNSDMMENNKEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTDENVNEK AATENSENDITMQSLPKGTVIVQPEPVLNEDKDDFKGPEFRSRSKMKADNLKKRGGKYKW K >ENSMUSP00000120246.1 pep:known chromosome:GRCm38:X:105884356:105929303:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000137453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MMENNKEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTDENVNEKAATENSENDI TMQSLPKGTVIVQPEPVLNEDKDDFKGPE >ENSMUSP00000117528.1 pep:known chromosome:GRCm38:X:105887781:105929397:-1 gene:ENSMUSG00000031229.16 transcript:ENSMUST00000130980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrx description:alpha thalassemia/mental retardation syndrome X-linked [Source:MGI Symbol;Acc:MGI:103067] MNQSTDKICGSGLNSDMMENNKEEGASTSEKSRSSGSSRSKRKPSIVTKYVESDDEKPTD E >ENSMUSP00000075595.2 pep:known chromosome:GRCm38:17:38208169:38209247:1 gene:ENSMUSG00000057801.2 transcript:ENSMUST00000076245.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr135 description:olfactory receptor 135 [Source:MGI Symbol;Acc:MGI:2177518] MILVNKSHPEEFILLGFADRPWLELPLFIILLVTYPTAMIGNIAIILMSILDPCLHSPMY FFLTNLSFLDMCYTTSIVPQMLINLWGSTKTISYLRCVVQLYFFHTMGGTECVLLALMSF DRYVAICKPLHYTLIMNRRNCLLLVSTVWLTGISYAVSEATVTLQLPLCGHNKMDHLVCE IPILIKTACGEKETNELALSVICIFLLAVPLCLILASYASIGHAVFKIKSIEGRKKAFGT CSSHLIVVLLFYGPGISMYLQPPSSITKDQPKFMALFYGVVTPTLNPFIYTLRNKDVKGA LGNLFRNIFIPK >ENSMUSP00000048508.7 pep:known chromosome:GRCm38:1:89927956:89931179:-1 gene:ENSMUSG00000034486.8 transcript:ENSMUST00000036954.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbx2 description:gastrulation brain homeobox 2 [Source:MGI Symbol;Acc:MGI:95668] MSAAFPPSLMMMQRPLGSSTAFSIDSLIGSPPQPSPGHFVYTGYPMFMPYRPVVLPPPPP PPPALPQAALQPALPPAHPHHQIPSLPTGFCSSLAQGMALTSTLMATLPGGFSASPQHQE AAAARKFAPQPLPGGGNFDKAEALQADAEDGKAFLAKEGSLLAFSAAEAVQASLVGAVRG QGKDESKVEDDPKGKEESFSLESDVDYSSDDNLPGQTAHKEEDPGHALEETPQSGGAAGS TTSTGKNRRRRTAFTSEQLLELEKEFHCKKYLSLTERSQIAHALKLSEVQVKIWFQNRRA KWKRVKAGNANSKTGEPSRNPKIVVPIPVHVSRFAIRSQHQQLEQARP >ENSMUSP00000093615.4 pep:known chromosome:GRCm38:14:50955992:50964749:1 gene:ENSMUSG00000068417.7 transcript:ENSMUST00000095925.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnp2 description:purine-nucleoside phosphorylase 2 [Source:MGI Symbol;Acc:MGI:3712328] MEQKGQDLGASVGDTIEKEFTYEDYETTAKWLLQHTEYRPQVAVICGSGLGGLTAHLKAA QIFDYNEIPNFPQSTVQGHAGRLVFGLLNGRSCVMMQGRFHMYEGYSLSEVTFPVRVFHL LGVETLVVTNAAGGLNPNFEVGDIMLIRDHINLPGFCGQNPLRGPNDERFGVRFPAMSDA YDRDMRQKAFSAWKQMGEQRKLQEGTYVMLAGPNFETVAESRLLKMLGADAVGMSTVPEV IVARHCGLRVFGFSLITNMVVMDYENLEKANHKEVLDAGKAAAQKLERFVSILMESIPLP ECNLL >ENSMUSP00000114431.1 pep:known chromosome:GRCm38:8:84834019:84838047:-1 gene:ENSMUSG00000003813.15 transcript:ENSMUST00000144675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad23a description:RAD23a homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105126] XYNNPHRAVEYLLTGIPGSPEPEHGSVQESQAPEQPATEAAGENPLEFLRDQPQFQNMRQ VIQQNPALLPALLQQLGQENPQLLQQISRHQEQFIQMLNEPPGELADISDVEGEVGAIGE EAPQMNYIQVTPQEKEAIERALSLLLV >ENSMUSP00000003911.6 pep:known chromosome:GRCm38:8:84834652:84840641:-1 gene:ENSMUSG00000003813.15 transcript:ENSMUST00000003911.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad23a description:RAD23a homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105126] MAVTITLKTLQQQTFKIRMEPDETVKVLKEKIEAEKGRDAFPVAGQKLIYAGKILSDDVP IKEYHIDEKNFVVVMVTKAKAGQGIPAPPEASPTAVPEPSTPFPPVLASGMSHPPPTSRE DKSPSEESTTTTSPESISGSVPSSGSSGREEDAASTLVTGSEYETMLTEIMSMGYERERV VAALRASYNNPHRAVEYLLTGIPGSPEPEHGSVQESQAPEQPATEAGENPLEFLRDQPQF QNMRQVIQQNPALLPALLQQLGQENPQLLQQISRHQEQFIQMLNEPPGELADISDVEGEV GAIGEEAPQMNYIQVTPQEKEAIERLKALGFPESLVIQAYFACEKNENLAANFLLSQNFD DE >ENSMUSP00000105383.2 pep:known chromosome:GRCm38:8:84834911:84840665:-1 gene:ENSMUSG00000003813.15 transcript:ENSMUST00000109761.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad23a description:RAD23a homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105126] MAVTITLKTLQQQTFKIRMEPDETVKVLKEKIEAEKGRDAFPVAGQKLIYAGKILSDDVP IKEYHIDEKNFVVVMVTKAKAGQGIPAPPEASPTAVPEPSTPFPPVLASGMSHPPPTSRE DKSPSEESTTTTSPESISGSVPSSGSSGREEDAASTLVTGSEYETMLTEIMSMGYERERV VAALRASYNNPHRAVEYLLTGIPGSPEPEHGSVQESQAPEQPATEAAGENPLEFLRDQPQ FQNMRQVIQQNPALLPALLQQLGQENPQLLQQISRHQEQFIQMLNEPPGELADISDVEGE VGAIGEEAPQMNYIQVTPQEKEAIERLKALGFPESLVIQAYFACEKNENLAANFLLSQNF DDE >ENSMUSP00000115664.1 pep:known chromosome:GRCm38:8:84835620:84840665:-1 gene:ENSMUSG00000003813.15 transcript:ENSMUST00000128035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad23a description:RAD23a homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105126] MAVTITLKTLQQQTFKIRMEPDETVKVLKEKIEAEKGRDAFPVAGQKLIYAGKILSDDVP IKEYHIDEKNFVVVMVTKAKAGQGIPAPPEASPTAVPEPSTPFPPVLASGMSHPPPTSRE DKSPSEESTTTTSPESISGSVPSSGSSGREEDAASTLVTGSEYETMLTEIMSMGYERERV VAALRASYNNPHRAVEYLLTGIPGSPEPEHGSVQESQAPEQPATEAAGENPLEFLRDQPQ FQNMRQVIQQNPALLPALLQQLGQENPQLLQQISRHQEQFIQMLNEPPGELADISDVEGE VGAIAEGTGLPREPGDPGLLRV >ENSMUSP00000023689.4 pep:known chromosome:GRCm38:16:91485238:91507441:1 gene:ENSMUSG00000022967.12 transcript:ENSMUST00000023689.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnar1 description:interferon (alpha and beta) receptor 1 [Source:MGI Symbol;Acc:MGI:107658] MLAVVGAAALVLVAGAPWVLPSAAGGENLKPPENIDVYIIDDNYTLKWSSHGESMGSVTF SAEYRTKDEAKWLKVPECQHTTTTKCEFSLLDTNVYIKTQFRVRAEEGNSTSSWNEVDPF IPFYTAHMSPPEVRLEAEDKAILVHISPPGQDGNMWALEKPSFSYTIRIWQKSSSDKKTI NSTYYVEKIPELLPETTYCLEVKAIHPSLKKHSNYSTVQCISTTVANKMPVPGNLQVDAQ GKSYVLKWDYIASADVLFRAQWLPGYSKSSSGSRSDKWKPIPTCANVQTTHCVFSQDTVY TGTFFLHVQASEGNHTSFWSEEKFIDSQKHILPPPPVITVTAMSDTLLVYVNCQDSTCDG LNYEIIFWENTSNTKISMEKDGPEFTLKNLQPLTVYCVQARVLFRALLNKTSNFSEKLCE KTRPGSFSTIWIITGLGVVFFSVMVLYALRSVWKYLCHVCFPPLKPPRSIDEFFSEPPSK NLVLLTAEEHTERCFIIENTDTVAVEVKHAPEEDLRKYSSQTSQDSGNYSNEEEESVGTE SGQAVLSKAPCGGPCSVPSPPGTLEDGTCFLGNEKYLQSPALRTEPALLC >ENSMUSP00000119160.1 pep:known chromosome:GRCm38:16:91485249:91507437:1 gene:ENSMUSG00000022967.12 transcript:ENSMUST00000123196.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ifnar1 description:interferon (alpha and beta) receptor 1 [Source:MGI Symbol;Acc:MGI:107658] MLAVVGAAALVLVAGAPWVLPSAAGGENLKPPENIDVYIIDDNYTLKWSSHGESMGSVTF SAEYRTKDEAKWLKVPECQHTTTTKCEFSLLDTNVYIKTQFRVRAEEGNSTSSWNEVDPF IPFYTAHMSPPEVRLEAEDKAILVHISPPGQDGNMWALEKPSFSYTIRIWQKSSSDKKTI NSTYYVEKIPELLPETTYCLEVKAIHPSLKKHSNYSTVQCISTTVANKMPVPGNLQVDAQ GKSYVLKWDYIASADVLFRAQWLPGYSKSSSGSRSDKWKPIPTCANVQTTHCVFSQDTVY TGTFFLHVQASEGNHTSFWSEEKFIDSQKHILPPPPVITVTAMSDTLLVYVNCQDSTCDG LNYEIIFWENTSNTKISMEKDGPEFTLKNLQPLTVYCVQARVLFRALLNKTSNFSEKLCE KTRPGSFSTIWIITGLGVVFFSVMVLYALRSVWKYLCHVCFPPLKPPRSIDEFFSEPPSK NLVLLTAEEHTERCFIIENTDTVAVEVKHAPEEDLRKYSSQTSQDSGNYSNEEEESVGTE SGQAVLSKAPCGGPCSVPSPPGTLEDGTCFLGNEKYLQSPALRTEPALLC >ENSMUSP00000112670.1 pep:known chromosome:GRCm38:16:91485264:91507441:1 gene:ENSMUSG00000022967.12 transcript:ENSMUST00000117748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnar1 description:interferon (alpha and beta) receptor 1 [Source:MGI Symbol;Acc:MGI:107658] MLAVVGAAALVLVAGAPWVLPSAAGGENLKPPENIDVYIIDDNYTLKWSSHGESMGSVTF SAEYRTKDEAKWLKVPECQHTTTTKCEFSLLDTNVYIKTQFRVRAEEGNSTSSWNEVDPF IPFYTAHMSPPEVRLEAEDKAILVHISPPGQDGNMWALEKPSFSYTIRIWQKSSSDKKTI NSTYYVEKIPELLPETTYCLEVKAIHPSLKKHSNYSTVQCISTTVANKMPVPGNLQVDAQ GKSYVLKWDYIASADVLFRAQWLPGYSKSSSGSRSDKWKPIPTCANVQTTHCVFSQDTVY TGTFFLHVQASEGNHTSFWSEEKFIDSQKHILPPPPVITVTAMSDTLLVYVNCQDSTCDG LNYEIIFWENTSNTKISMEKDGPEFTLKNLQPLTVYCVQARVLFRALLNKTSNFSEKLCE KTRPGSFSTIWIITGLGVVFFSVMVLYALRSVWKYLCHVCFPPLKPPRSIDEFFSEPPSK NLVLLTAEEHTERCFIIENTDTVAVEVKHAPEEDLRKYSSQTSQDSGNYSNEEEESVGTE SGQAVLSKAPCGGPCSVPSPPGTLEDGTCFLGNEKYLQSPALRTEPALLC >ENSMUSP00000120945.1 pep:known chromosome:GRCm38:16:91485336:91496174:1 gene:ENSMUSG00000022967.12 transcript:ENSMUST00000129878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnar1 description:interferon (alpha and beta) receptor 1 [Source:MGI Symbol;Acc:MGI:107658] MLAVVGAAALVLVAGAPWVLPSAAGGENLKPPENIDVYIIDDNYTLKWSSHGESMGSVTF SAEYRTKDEAKWLKVPECQHTTTTKCEFSLLDTNVYIKTQFRVRAEEGNSTSSWNEVDPF IPFYTVANKMPVPGNL >ENSMUSP00000041543.4 pep:known chromosome:GRCm38:4:115996669:116017926:-1 gene:ENSMUSG00000034171.13 transcript:ENSMUST00000049095.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faah description:fatty acid amide hydrolase [Source:MGI Symbol;Acc:MGI:109609] MVLSEVWTALSGLSGVCLACSLLSAAVVLRWTRSQTARGAVTRARQKQRAGLETMDKAVQ RFRLQNPDLDSEALLALPLLQLVQKLQSGELSPEAVLFTYLGKAWEVNKGTNCVTSYLTD CETQLSQAPRQGLLYGVPVSLKECFSYKGHASTLGLSLNEGVTSESDCVVVQVLKLQGAV PFVHTNVPQSMLSYDCSNPLFGQTMNPWKPSKSPGGSSGGEGALIGSGGSPLGLGTDIGG SIRFPSAFCGICGLKPTGNRLSKSGLKSCVYGQTAVQLSVGPMARDVDSLALCMKALLCE DLFRLDSTIPPLPFREEIYRSSRPLRVGYYETDNYTMPTPAMRRAVMETKQSLEAAGHTL VPFLPNNIPYALEVLSAGGLFSDGGCSFLQNFKGDFVDPCLGDLVLVLKLPRWFKKLLSF LLKPLFPRLAAFLNSMCPRSAEKLWELQHEIEMYRQSVIAQWKAMNLDVVLTPMLGPALD LNTPGRATGAISYTVLYNCLDFPAGVVPVTTVTAEDDAQMEHYKGYFGDMWDNILKKGMK KGIGLPVAVQCVALPWQEELCLRFMREVERLMTPEKRPS >ENSMUSP00000030345.8 pep:known chromosome:GRCm38:4:107903981:107923610:-1 gene:ENSMUSG00000028607.16 transcript:ENSMUST00000030345.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpt2 description:carnitine palmitoyltransferase 2 [Source:MGI Symbol;Acc:MGI:109176] MMPRLLLRDWPRCPSLVLGAPSRPLSAVSGPAEYLQHSIVPTMHYQDSLPRLPIPKLEDT MKRYLSAQKPLLNDSQFRKTEVLCKDFENGIGKELHAHLLAQDKQNKHTSYISGPWFDMY LTARDSVVLNFNPFMAFNPDPKSEYNDQLTRATNLTVSAVRFLKTLRAGLLEPEVFHLNP ARSDTDAFKRLIRFVPSSLSWYGAYLVNAYPLDMSQYFRLFNSTRIPKPSRDELFTDTKA RHLLVLRKGHFYVFDVLDQDGNIVNPSEIQAHLKYILSDSSPVPEFPLAYLTSENRDVWA ELRQKLIHGGNEETLRKVDSAVFCLCLDDFPMKDLVHLSHTMLHGDGTNRWFDKSFNLIV AKDGTAAVHFEHAWGDGVAVLRFFNEVFRDSTQTPAIAPQSQPAATDSSVSVQKLSFKLS SALKAGVTAAKEKFDATMKTLTIDAIQFQRGGKEFLKKKKLSPDAVAQLAFQMAFLRQYG QTVATYESCSTAAFKHGRTETIRPASIFTKRCSEAFVREPSKHSVGELQHMMAECSKYHG QLTKEAAMGQGFDRHLFALRYLAAARGVTLPELYQDPAYQRINHNILSTSTLSSPAVSLG GFAPVVPDGFGIAYAVHDDWIGCNVSSYSGRNAREFLHCVQKCLEDMFDALEGKAIKT >ENSMUSP00000102331.2 pep:known chromosome:GRCm38:4:107903983:107923560:-1 gene:ENSMUSG00000028607.16 transcript:ENSMUST00000106720.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpt2 description:carnitine palmitoyltransferase 2 [Source:MGI Symbol;Acc:MGI:109176] MMPRLLLRDWPRCPSLVLGAPSRPLSAVSGPAEYLQHSIVPTMHYQDSLPRLPIPKLEDT MKRYLSAQKPLLNDSQFRKTEVLCKDFENGIGKELHAHLLAQDKQNKHTSYISGQGFDRH LFALRYLAAARGVTLPELYQDPAYQRINHNILSTSTLSSPAVSLGGFAPVVPDGFGIAYA VHDDWIGCNVSSYSGRNAREFLHCVQKCLEDMFDALEGKAIKT >ENSMUSP00000102330.1 pep:known chromosome:GRCm38:4:107907631:107923545:-1 gene:ENSMUSG00000028607.16 transcript:ENSMUST00000106719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpt2 description:carnitine palmitoyltransferase 2 [Source:MGI Symbol;Acc:MGI:109176] MMPRLLLRDWPRCPSLVLGAPSRPLSAVSGPAEYLQHSIVPTMHYQDSLPRLPIPKLEDT MKRYLSAQKPLLNDSQFRKTEVLCKDFENGIGKELHAHLLAQDKQNKHTSYISVRFLKTL RAGLLEPEVFHLNPARSDTDAFKRLIRFVPSSLSWYGAYLVNAYPLDMSQYFRLFNSTRI PKPSRDELFTDTKARHLLVLRKGHFYVFDVLDQDGNIVNPSEIQAHLKYILSDSSPVPEF PLAYLTSENRDVWAELRQKLIHGGN >ENSMUSP00000114362.1 pep:known chromosome:GRCm38:4:107908220:107923588:-1 gene:ENSMUSG00000028607.16 transcript:ENSMUST00000131644.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpt2 description:carnitine palmitoyltransferase 2 [Source:MGI Symbol;Acc:MGI:109176] MMPRLLLRDWPRCPSLVLGAPSRPLSAVSGPAEYLQHSIVPTMHYQDSLPRKTEVLCKDF ENGIGKELHAHLLAQDKQNKHTSYISGP >ENSMUSP00000021548.5 pep:known chromosome:GRCm38:12:79208914:79222665:1 gene:ENSMUSG00000021123.12 transcript:ENSMUST00000021548.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh12 description:retinol dehydrogenase 12 [Source:MGI Symbol;Acc:MGI:1925224] MLFILVLLTSFLSILYLTAPSIRKFFAGGVCTTNVQIPGKVVVITGANTGIGKETARELA RRGARVYIACRDVLKGESAASEIRADTKNSQVLVRKLDLSDTKSIRAFAERFLAEEKKLH ILINNAGVMMCPYSKTTDGFETHFGVNHLGHFLLTYLLLERLKESAPARVVNLSSIAHLI GKIRFHDLQGQKRYCSAFAYGHSKLANLLFTRELAKRLQGTGVTAYAVHPGVVLSEITRN SYLLCLLWRLFSPFFKSTSQGAQTSLHCALAEDLEPLSGKYFSDCKRMWVSSRARNKKTA ERLWNVSCELLGIQWE >ENSMUSP00000112543.1 pep:known chromosome:GRCm38:12:79208931:79222664:1 gene:ENSMUSG00000021123.12 transcript:ENSMUST00000122227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh12 description:retinol dehydrogenase 12 [Source:MGI Symbol;Acc:MGI:1925224] MLFILVLLTSFLSILYLTAPSIRKFFAGGVCTTNVQIPGKVVVITGANTGIGKETARELA RRGARVYIACRDVLKGESAASEIRADTKNSQVLVRKLDLSDTKSIRAFAERFLAGVMMCP YSKTTDGFETHFGVNHLGHFLLTYLLLERLKESAPARVVNLSSIAHLIGKIRFHDLQGQK RYCSAFAYGHSKLANLLFTRELAKRLQGTGVTAYAVHPGVVLSEITRNSYLLCLLWRLFS PFFKSTSQGAQTSLHCALAEDLEPLSGKYFSDCKRMWVSSRARNKKTAERLWNVSCELLG IQWE >ENSMUSP00000118851.1 pep:known chromosome:GRCm38:12:79210661:79212755:1 gene:ENSMUSG00000021123.12 transcript:ENSMUST00000140823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh12 description:retinol dehydrogenase 12 [Source:MGI Symbol;Acc:MGI:1925224] MVDDFPSFGDRKFFAGGVCTTNVQIPGKVVVITGANTGIGKETARELARRGARVYIACRD VLKGESAASEIRADTKNSQVLVRKLDLSDTKSIRAFAERFLAEEKKLHILINNAGVMMCP YSKTTDGFETHFGVNHL >ENSMUSP00000099375.3 pep:known chromosome:GRCm38:11:102453297:102469883:-1 gene:ENSMUSG00000034664.13 transcript:ENSMUST00000103086.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga2b description:integrin alpha 2b [Source:MGI Symbol;Acc:MGI:96601] MARASCAWHSLWLLQWTPLFLGPSAVPPVWALNLDSEKFSVYAGPNGSHFGFSVDFHKDK HGSVSIVVGAPRALNASQEETGAVFLCPWKANGGKCNPLLFDLRDETRNLGFQIFQTFKT GQGLGASVVSWNDVIVACAPWQHWNVLEKRDEAEKTPVGGCFLAQLQSGGRAEYSPCRAN TMSSVYAESFRGDKRYCEAGFSLAVTQAGELVLGAPGGYFFLGLLARVPIENIISSYRPG TLLWHVSNQRFTYDNSNPVFFDGYRGYSVAVGEFDGDPSTTEYVSGAPTWSWTLGAVEIL DSYYQPLHRLHGEQMASYFGHSVAVTDVNGDGRHDLLVGAPLYMESRADRKLAEVGRVYL FLQPKGPQALSTPTLLLTGTQLYGRFGSAIAPLGDLNRDGYNDIAVAAPYGGPSGQGQVL IFLGQSEGLSPRPSQVLDSPFPTGSGFGFSLRGAVDIDDNGYPDLIVGAYGASKVAVYRA QPVVMATVQLMVQDSLNPTLKNCVLDQTKTPVSCFNIQMCVGATGHNIPQKLHLKAELQL DLQKPRQGRRVLLLASQQASLTLSLDLGGRDKPICHTTGAFLRDEADFRDKLSPIVLSLN VSLPPEETGGAPAVVLHGETHVQEQTRIILDCGEDDLCVPQLRLTATAGDSPLLIGADNV LELKIEAANDGEGAYEAELAVHLPPGAHYMRALSNIEGFERLVCTQKKENESRVALCELG NPMKKDTRIGITMLVSVENLEEAGESVSFQLQVRSKNSQNPNSKVVMLPVAIQAEATVEL RGNSFPASLVVAAEEGDREQEDLDRWVSRLEHTYELHNIGPGTVNGLRLLIHIPGQSQPS DLLYILDVQPQGGLLCSTQPSPKVDWKLSTPSPSSIRPVHHQRERRQAFLQGPKPGQQDP VLVSCDGSASCTVVECELREMVRGQRAMVTVQVMLGLSSLRQRPQEQFVLQSHAWFNVSS LPYSVPVVSLPSGQARVQTQLLRALEERAIPVWWVLVGVLGGLLLLTLLVLAMWKAGFFK RNRPPLEEDEEEE >ENSMUSP00000040315.10 pep:known chromosome:GRCm38:9:75386904:75410005:-1 gene:ENSMUSG00000042688.16 transcript:ENSMUST00000049355.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk6 description:mitogen-activated protein kinase 6 [Source:MGI Symbol;Acc:MGI:1354946] MAEKFESLMNIHGFDLGSRYMDLKPLGCGGNGLVFSAVDNDCDKRVAIKKIVLTDPQSVK HALREIKIIRRLDHDNIVKVFEILGPSGSQLTDDVGSLTELNSVYIVQEYMETDLANVLE QGPLLEEHARLFMYQLLRGLKYIHSANVLHRDLKPANLFINTEDLVLKIGDFGLARIMDP HYSHKGHLSEGLVTKWYRSPRLLLSPNNYTKAIDMWAAGCIFAEMLTGKTLFAGAHELEQ MQLILDSIPVVHEEDRQELLSVIPVYIRNDMTEPHRPLTQLLPGISREALDFLEQILTFS PMDRLTAEEALSHPYMSIYSFPTDEPISSHPFHIEDEVDDILLMDETHSHIYNWERYHDC QFSEHDWPIHNNFDIDEVQLDPRALSDVTDEEEVQVDPRKYLDGDREKYLEDPAFDTSYS AEPCWQYPDHHENKYCDLECSHTCNYKTRSSPYLDNLVWRESEVNHYYEPKLIIDLSNWK EQSKEKSDKRGKSKCERNGLVKAQIALEEASQQLAERERGQGFDFDSFIAGTIQLSAQHQ SADVVDKLNDLNSSVSQLELKSLISKSVSREKQEKGRANLAQLGALYQSSWDSQFVSGGE ECFLISQFCCEVRKDEHAEKENTYTSYLDKFFSRKEDSEMLETEPVEEGKRGERGREAGL LSGGGEFLLSKQLESIGTPQFHSPVGSPLKSIQATLTPSAMKSSPQIPHKTYSSILKHLN >ENSMUSP00000129024.1 pep:known chromosome:GRCm38:9:75386902:75409359:-1 gene:ENSMUSG00000042688.16 transcript:ENSMUST00000168937.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk6 description:mitogen-activated protein kinase 6 [Source:MGI Symbol;Acc:MGI:1354946] MAEKFESLMNIHGFDLGSRYMDLKPLGCGGNGLVFSAVDNDCDKRVAIKKIVLTDPQSVK HALREIKIIRRLDHDNIVKVFEILGPSGSQLTDDVGSLTELNSVYIVQEYMETDLANVLE QGPLLEEHARLFMYQLLRGLKYIHSANVLHRDLKPANLFINTEDLVLKIGDFGLARIMDP HYSHKGHLSEGLVTKWYRSPRLLLSPNNYTKAIDMWAAGCIFAEMLTGKTLFAGAHELEQ MQLILDSIPVVHEEDRQELLSVIPVYIRNDMTEPHRPLTQLLPGISREALDFLEQILTFS PMDRLTAEEALSHPYMSIYSFPTDEPISSHPFHIEDEVDDILLMDETHSHIYNWERYHDC QFSEHDWPIHNNFDIDEVQLDPRALSDVTDEEEVQVDPRKYLDGDREKYLEDPAFDTSYS AEPCWQYPDHHENKYCDLECSHTCNYKTRSSPYLDNLVWRESEVNHYYEPKLIIDLSNWK EQSKEKSDKRGKSKCERNGLVKAQIALEEASQQLAERERGQGFDFDSFIAGTIQLSAQHQ SADVVDKLNDLNSSVSQLELKSLISKSVSREKQEKGRANLAQLGALYQSSWDSQFVSGGE ECFLISQFCCEVRKDEHAEKENTYTSYLDKFFSRKEDSEMLETEPVEEGKRGERGREAGL LSGGGEFLLSKQLESIGTPQFHSPVGSPLKSIQATLTPSAMKSSPQIPHKTYSSILKHLN >ENSMUSP00000135207.1 pep:known chromosome:GRCm38:6:57899959:57901054:-1 gene:ENSMUSG00000093501.1 transcript:ENSMUST00000177435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r22 description:vomeronasal 1 receptor 22 [Source:MGI Symbol;Acc:MGI:2159459] MSSIKNVLYFQSGLGVLANMFLLFFYIFIILGHRPKPMDLILCQFSFVHILMFLTAGDIW LSDLFESLNIENDFICKATFYTGRVMRGLSMCITCLLGVFQAVTISPSTSLLAKFKHKLK KYIIYAVFYIWFLNFSINSHMIVYVGGFNNRSETNQMRITKTCSHFPMNNIIKELILTVI TLKDVFLVGVMLTTSIYMVIILYRHQRKCKHLHSIRHLRTSPEKKATQTILLLLVFFVVM YWVDLIISSTSVLLWRYDPIILTVQKFVVNVYPTITPLVQISSDKRIINVLKNLWLKCHQ TV >ENSMUSP00000023669.5 pep:known chromosome:GRCm38:16:93583457:93603803:-1 gene:ENSMUSG00000022948.14 transcript:ENSMUST00000023669.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd4 description:SET domain containing 4 [Source:MGI Symbol;Acc:MGI:2136890] MQRRRGRTERARKRRRRSSGSRAVNESYRSEFIELRKWLKERKFEDTDLVPASFPGTGRG LMSKASLQEGQVMISLPESCLLTTDTVIRSSLGPYIKKWKPPVSPLLALCTFLVSEKHAG CRSLWKSYLDILPKSYTCPVCLEPEVVDLLPSPLKAKAEEQRARVQDLFTSARGFFSTLQ PLFAEPVDSVFSYRAFLWAWCTVNTRAVYLRSRRQECLSAEPDTCALAPFLDLLNHSPHV QVKAAFNEKTRCYEIRTASRCRKHQEVFICYGPHDNQRLLLEYGFVSVRNPHACVPVSAD MLVKFLPAADKQLHRKITILKDHGFTGNLTFGWDGPSWRLLTALKLLCLEAERFTSWKKV LLGEVISDTNEKTSLGVAQKICSDVIEETHAVLRKVSDMKEGTVSLRSQLSLVEALRMEE LRILQASAEILSGLLAPFS >ENSMUSP00000109584.2 pep:known chromosome:GRCm38:16:93583460:93603815:-1 gene:ENSMUSG00000022948.14 transcript:ENSMUST00000113951.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd4 description:SET domain containing 4 [Source:MGI Symbol;Acc:MGI:2136890] MQRRRGRTERARKRRRRSSGSRAVNESYRSEFIELRKWLKERKFEDTDLVPASFPGTGRG LMSKASLQEGQVMISLPESCLLTTDTVIRSSLGPYIKKWKPPVSPLLALCTFLVSEKHAG CRSLWKSYLDILPKSYTCPVCLEPEVVDLLPSPLKAKAEEQRARVQDLFTSARGFFSTLQ PLFAEPVDSVFSYRAFLWAWCTVNTRAVYLRSRRQECLSAEPDTCALAPFLDLLNHSPHV QVKAAFNEKTRCYEIRTASRCRKHQEVFICYGPHDNQRLLLEYGFVSVRNPHACVPVSAD MLVKFLPAADKQLHRKITILKDHGFTGNLTFGWDGPSWRLLTALKLLCLEAERFTSWKKV LLGEVISDTNEKTSLGVAQKICSDVIEETHAVLRKVSDMKEGTVSLRSQLSLVEALRMEE LRILQASAEILSGLLAPFS >ENSMUSP00000022595.7 pep:known chromosome:GRCm38:14:79288756:79301645:-1 gene:ENSMUSG00000022018.7 transcript:ENSMUST00000022595.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgcc description:regulator of cell cycle [Source:MGI Symbol;Acc:MGI:1913464] MKPPSAQSSPAAVAAAAPAMDSAAAADLTDVLCEFDAVLADFASPFHERHFHYEEHLERM KRRSSASVSDSSGFSDSESADSVYRDSFTFSDEKLNSPTNSSPALLPSAVTPRKAKLGDT KELEDFIADLDRTLASM >ENSMUSP00000125121.1 pep:known chromosome:GRCm38:1:170232749:170253608:1 gene:ENSMUSG00000073494.5 transcript:ENSMUST00000162752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d1b2 description:SH2 domain containing 1B2 [Source:MGI Symbol;Acc:MGI:3622649] MDLPYYHGCLTKRECEALLLKGGVDGNFLIRDSESVPGALCLCVSFKKLVYNYRIFREKN GYYRIETEPSTPKTIFPNLEELISKFKTPGQGMVVHLSNPIMRSGFCPGARRLNLEANVY ENTDEEYVDVLP >ENSMUSP00000137939.1 pep:known chromosome:GRCm38:1:170232777:170251653:1 gene:ENSMUSG00000073494.5 transcript:ENSMUST00000097470.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh2d1b2 description:SH2 domain containing 1B2 [Source:MGI Symbol;Acc:MGI:3622649] MDLPYYHGCLTKRECEALLLKGGVDGNFLIRDSESVPGALCLCVSLSPVLQKQSFQT >ENSMUSP00000030949.3 pep:known chromosome:GRCm38:4:155859268:155863362:-1 gene:ENSMUSG00000029072.3 transcript:ENSMUST00000030949.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas1r3 description:taste receptor, type 1, member 3 [Source:MGI Symbol;Acc:MGI:1933547] MPALAIMGLSLAAFLELGMGASLCLSQQFKAQGDYILGGLFPLGSTEEATLNQRTQPNSI PCNRFSPLGLFLAMAMKMAVEEINNGSALLPGLRLGYDLFDTCSEPVVTMKSSLMFLAKV GSQSIAAYCNYTQYQPRVLAVIGPHSSELALITGKFFSFFLMPQVSYSASMDRLSDRETF PSFFRTVPSDRVQLQAVVTLLQNFSWNWVAALGSDDDYGREGLSIFSSLANARGICIAHE GLVPQHDTSGQQLGKVLDVLRQVNQSKVQVVVLFASARAVYSLFSYSIHHGLSPKVWVAS ESWLTSDLVMTLPNIARVGTVLGFLQRGALLPEFSHYVETHLALAADPAFCASLNAELDL EEHVMGQRCPRCDDIMLQNLSSGLLQNLSAGQLHHQIFATYAAVYSVAQALHNTLQCNVS HCHVSEHVLPWQLLENMYNMSFHARDLTLQFDAEGNVDMEYDLKMWVWQSPTPVLHTVGT FNGTLQLQQSKMYWPGNQVPVSQCSRQCKDGQVRRVKGFHSCCYDCVDCKAGSYRKHPDD FTCTPCNQDQWSPEKSTACLPRRPKFLAWGEPVVLSLLLLLCLVLGLALAALGLSVHHWD SPLVQASGGSQFCFGLICLGLFCLSVLLFPGRPSSASCLAQQPMAHLPLTGCLSTLFLQA AETFVESELPLSWANWLCSYLRGLWAWLVVLLATFVEAALCAWYLIAFPPEVVTDWSVLP TEVLEHCHVRSWVSLGLVHITNAMLAFLCFLGTFLVQSQPGRYNRARGLTFAMLAYFITW VSFVPLLANVQVAYQPAVQMGAILVCALGILVTFHLPKCYVLLWLPKLNTQEFFLGRNAK KAADENSGGGEAAQGHNE >ENSMUSP00000118958.1 pep:known chromosome:GRCm38:13:76384535:77031810:1 gene:ENSMUSG00000021596.16 transcript:ENSMUST00000125209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mctp1 description:multiple C2 domains, transmembrane 1 [Source:MGI Symbol;Acc:MGI:1926021] MEPRAATTGELVRAASPSFQARLWKNLQLGVGKGKGGGGGRAGGPEHRTAATPTPSPPPP GTTQDALAGVGSTGSRWSGFKKRKQVLDRVFSSSQPNLCCSSPEPLEPGGAGRAEQGSTL RRRLREHLLPVAKGSSTATGTGGVTPPGGRSPDSAPSSSSASSSLSSSPQPPPRGDRVRD ESTRRGGPGVHLCHQKSSSLPGTACLEQLLEPAPPPAEPARGPAEPQALQKDIERDCSQK ISTVGNSNADVPLADPGMYQLDITLRRGQSLAARDRGGTSDPYVKFKIGRKEVFRSKIIH KNLNPVWEEKACVLIDHLREPLYIKVFDYDFGLQDDFMGSAFLDLTQLELNRSTDVTLTL KDPHYPDHDLGIILLSVILTPKEGEHRDVTMLMRKSWKRSSKFQTQSLRLSDQHRKSHLW RGIVSITLIEGRDLKAMDSNGLSDPYVKFRLGHQKYKSKIMPKTLNPQWREQFDFHLYEE RGGIMDITAWDKDAGKRDDFIGRCQVDLSSLSREQTHKLELHLEEGEGHLVLLVTLTASA TVCISDLSVNSMEDQKEREEILKRYSPLRIFNNLKDVGFLQVKVIRAEGLMAADVTGKSD PFCVVELNNDRLLTHTVYKNLNPEWNKVFTFNIKDIHSVLEVTVYDEDRDRSADFLGRVA IPLLSIQNGEQKAYVLKNKQLTGPTKGVIYLEIDVIFNAVKASLRTLIPKERKYIEEENR LSKQLLLRNFIRTKRCVIVLVNAAYYVNSCFDWDSPPRSLAAFVLFLLIVWNFELYMIPL LLLLLLTWNYFLIISGKDNRQRDTVVEDMLEDEEEEDDRDDKDGEKKGFINKIYAIQEVC VSVQNILDEVASLGERIKNTFNWTVPFLSWLAIVALCVFTAILYFIPLRYIVLVWGINKF TKKLRSPYAIDNNELLDFLSRVPSDVQVVQYQELKPDHSHSPYKRKKNNLG >ENSMUSP00000120673.1 pep:known chromosome:GRCm38:13:76579674:76763273:1 gene:ENSMUSG00000021596.16 transcript:ENSMUST00000126960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mctp1 description:multiple C2 domains, transmembrane 1 [Source:MGI Symbol;Acc:MGI:1926021] MLDSYRLKSFCNLPLVCHKKISTVGNSNADVPLADPGMYQLDITLRRGQSLAARDRGGTS DPYVKFKIGRKEVFRSKIIHKNLNPVWEEKACVLIDHLREPLYIKVFDYDFGLQDDFMGS AFLDLTQLELNRSTDVTLTLKDPHYPDHDLGIILLSVILTPKEGEHRDVFQTQSLRLSDQ HRKSHLWRGIVSITLIEGRDLKAMDSNGLSDPYVKFRLGHQKYKSKIMPKTLNPQWREQF DFHLYEERGGIMDITAWDKDAGKRDDFIGSSSPVFHPGCSHEPHFLKNLYVFLYHQGVRD PSAYGSLGVLISPVTAPPG >ENSMUSP00000105218.2 pep:known chromosome:GRCm38:13:76579728:76732883:1 gene:ENSMUSG00000021596.16 transcript:ENSMUST00000109589.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mctp1 description:multiple C2 domains, transmembrane 1 [Source:MGI Symbol;Acc:MGI:1926021] MLDSYRLKSFCNLPLVCHKKISTVGNSNADVPLADPGMYQLDITLRRGQSLAARDRGGTS DPYVKFKIGRKEVFRSKIIHKNLNPVWEEKACVLIDHLREPLYIKVFDYDFGLQDDFMGS AFLDLTQLELNRSTDVTLTLKDPHYPDHDLGIILLSVILTPKEGEHRDVTMLMRKSWKRS SKVILSM >ENSMUSP00000105212.2 pep:known chromosome:GRCm38:13:76579674:77029951:1 gene:ENSMUSG00000021596.16 transcript:ENSMUST00000109583.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mctp1 description:multiple C2 domains, transmembrane 1 [Source:MGI Symbol;Acc:MGI:1926021] MYQLDITLRRGQSLAARDRGGTSDPYVKFKIGRKEVFRSKIIHKNLNPVWEEKACVLIDH LREPLYIKVFDYDFGLQDDFMGSAFLDLTQLELNRSTDVTLTLKDPHYPDHDLGIILLSV ILTPKEGEHRDVTMLMRKSWKRSSKFQTQSLRLSDQHRKSHLWRGIVSITLIEGRDLKAM DSNGLSDPYVKFRLGHQKYKSKIMPKTLNPQWREQFDFHLYEERGGIMDITAWDKDAGKR DDFIGRCQVDLSSLSREQTHKLELHLEEGEGHLVLLVTLTASATVCISDLSVNSMEDQKE REEILKRYSPLRIFNNLKDVGFLQVKVIRAEGLMAADVTGKSDPFCVVELNNDRLLTHTV YKNLNPEWNKVFTFNIKDIHSVLEVTVYDEDRDRSADFLGRVAIPLLSIQNGEQKAYVLK NKQLTGPTKGVIYLEIDVIFNAVKASLRTLIPKERKYIEEENRLSKQLLLRNFIRTKRCV IVLVNAAYYVNSCFDWDSPPRSLAAFVLFLLIVWNFELYMIPLLLLLLLTWNYFLIISGK DNRQRDTVVEDMLEDEEEEDDRDDKDGEKKGFINKIYAIQEVCVSVQNILDEVASLGERI KNTFNWTVPFLSWLAIVALCVFTAILYFIPLRYIVLVWGINKFTKKLRSPYAIDNNELLD FLSRVPSDVQVVQYQELKPDHSHSPYKRKKNNLG >ENSMUSP00000021379.6 pep:known chromosome:GRCm38:12:59013393:59028470:1 gene:ENSMUSG00000060121.15 transcript:ENSMUST00000021379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gemin2 description:gem (nuclear organelle) associated protein 2 [Source:MGI Symbol;Acc:MGI:1913853] MAWVPAESAVEELMPRLLPVEPCDLTEGFDPSVPPRTPQEYLRRVQIEAAQCPDVVVAQI DPKKLKRKQSVNISLSGCQPAPEGYSPTLQWQQQQVAHFSTVRQSVHKHRNHWKSQQLDS NVAMPKSEDEEGWKKFCLGERLCAEGATGPSTEESPGIDYVQVGFPPLLSIVSRMNQTTI TSVLEYLSNWFGERDFTPELGRWFYALLACLEKPLLPEAHSLIRQLARRCSEVRLLVGSK DDERVPALNLLICLVSRYFDQRDLADEPS >ENSMUSP00000072175.5 pep:known chromosome:GRCm38:2:181520485:181555133:1 gene:ENSMUSG00000000826.16 transcript:ENSMUST00000072334.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc5 description:DnaJ heat shock protein family (Hsp40) member C5 [Source:MGI Symbol;Acc:MGI:892995] MADQRQRSLSTSGESLYHVLGLDKNATSDDIKKSYRKLALKYHPDKNPDNPEAADKFKEI NNAHAILTDATKRNIYDKYGSLGLYVAEQFGEENVNTYFVLSSWWAKALFVVCGLLTCCY CCCCLCCCFNCCCGKCKPKAPEGEETEFYVSPEDLEAQLQSDEREATDTPIVIQPASATE TTQLTADSHPSYHTDGFN >ENSMUSP00000112066.2 pep:known chromosome:GRCm38:2:181520526:181552249:1 gene:ENSMUSG00000000826.16 transcript:ENSMUST00000116365.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc5 description:DnaJ heat shock protein family (Hsp40) member C5 [Source:MGI Symbol;Acc:MGI:892995] MADQRQRSLSTSGESLYHVLGLDKNATSDDIKKSYRKLALKYHPDKNPDNPEAADKFKEI NNAHAILTDATKRNIYDKYGSLGLYVAEQFGEENVNTYFVLSSWWAKALFVVCGLLTCCY CCCCLCCCFNCCCGKCKPKAPEGEETEFYVSPEDLEAQLQSDERGGH >ENSMUSP00000104425.1 pep:known chromosome:GRCm38:2:181520551:181552232:1 gene:ENSMUSG00000000826.16 transcript:ENSMUST00000108797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc5 description:DnaJ heat shock protein family (Hsp40) member C5 [Source:MGI Symbol;Acc:MGI:892995] MADQRQRSLSTSGESLYHVLGLDKNATSDDIKKSYRKLALKYHPDKNPDNPEAADKFKEI NNAHAILTDATKRNIYDKYGSLGLYVAEQFGEENVNTYFVLSSWWAKALFVVCGLLTCCY CCCCLCCCFNCCCGKCKPKAPEGEETEFYVSPEDLEAQLQSDEREATDTPIVIQPASATE TTQLTADSHPSYHTDGFN >ENSMUSP00000116120.1 pep:known chromosome:GRCm38:2:181520812:181548772:1 gene:ENSMUSG00000000826.16 transcript:ENSMUST00000152578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc5 description:DnaJ heat shock protein family (Hsp40) member C5 [Source:MGI Symbol;Acc:MGI:892995] MADQRQRSLSTSGESLYHVLGLDKNATSDDIKKSYRKLALKYHPDKNPDNPEAADKFKEI NNAHAILTDATKRNIYDKYGSLGLYVAEQFGEENVNTYFVLSSWWAKALFVVCGLLTCCY CCCCLCCCFNCCCGKCKPKAP >ENSMUSP00000104424.1 pep:known chromosome:GRCm38:2:181520947:181549590:1 gene:ENSMUSG00000000826.16 transcript:ENSMUST00000108796.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc5 description:DnaJ heat shock protein family (Hsp40) member C5 [Source:MGI Symbol;Acc:MGI:892995] MADQRQRSLSTSGESLYHVLGLDKNATSDDIKKSYRKLALKYHPDKNPDNPEAADKFKEI NNAHAILTDATKRNIYDKYGSLGLYVAEQFGEENVNTYFVLSSWWAKALFVVCGLLTCCY CCCCLCCCFNCCCGKCKPKAPEGEETEFYVSPEDLEAQLQSDEREATDTPIVIQPASATE TTQLTADSHPSYHTDGFN >ENSMUSP00000112852.1 pep:known chromosome:GRCm38:X:101274030:101297465:1 gene:ENSMUSG00000079487.11 transcript:ENSMUST00000117706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med12 description:mediator complex subunit 12 [Source:MGI Symbol;Acc:MGI:1926212] MAAFGILSYEHRPLKRLRLGPPDVYPQDPKQKEDELTALNVKQGFNNQPAVSGDEHGSAK NVNFNPAKISSNFSSIIAEKLRCNTLSDTGRRKSLMNQKDNFWLVTARSQSAINTWFTDL AGTKPLTHLAKKVPIFSKKEEVFGYLAKYTVPVMRAAWLIKMTCAYYAAMSETKVKKKNT ADPFTEWTQIITKYLWEQLQKMAEYYRPGPAGSGGCGSTIGPLPHDVEMAIRQWDYNEKL ALFMFQDGMLDRHEFLTWVLECFEKIRPGEDELLKLLLPLLLRYSGEFVQSAYLSRRLAY FCTRRLALQLDGVSSHSSHVIAAQSTSSLPTTPAPQPPTSSTPSTPFSDLLMCPQHRPLV FGLSCILQTILLCCPSALVWHYSLTDSRIKTGSPLDHLPIAPSNLPMPEGNSAFTQQVRA KLREIEQQIKERGQAVEVRWSFDKCQEATAGFTIGRVLHTLEVLDSHSFERSDFSNSLDS LCNRIFGLGPSKDGHEISSDDDAVVSLLCEWAVSCKRSGRHRAMVVAKLLEKRQAEIEAE RCGESEAADEKGSVASGSLSAPSAPIFQDVLLQFLDTQAPMLTDPRSESERVEFFNLVLL FCELIRHDVFSHNMYTCTLISRGDLAFGAPGPRPPSPFDDPTDDPERKEAEGSSSSKLED PGLSESMDIDPSSSVLFEDMEKPDFSLFSPTMPCEGKGSPSPEKPDVEKEVKPPAKEKIE GTLGILYDQPRHVQYATHFPIPQEESCSHECNQRLVVLFGVGKQRDDARHAIKKITKDIL KVLNRKGTAETDQLAPIVPLNPGDLTFLGGEDGQKRRRNRPEAFPTAEDIFAKFQHLSHY DQHQVTAQVSRNVLEQITSFALGMSYHLPLVQHVQFIFDLMEYSLSISGLIDFAIQLLNE LSVVEAELLLKSSDLVGSYTTSLCLCIVAVLRHYHACLILNQDQMAQVFEGLCGVVKHGM NRSDGSSAERCILAYLYDLYTSCSHLKSKFGELFSDFCSKVKNTIYCNVEPSESNMRWAP EFMIDTLENPAAHTFTYTGLGKSLSENPANRYSFVCNALMHVCVGHHDPDRVNDIAILCA ELTGYCKSLSAEWLGVLKALCCSSNNGTCGFNDLLCNVDVSDLSFHDSLATFVAILIARQ CLLLEDLIRCAAIPSLLNAACSEQDSEPGARLTCRILLHLFKTPQLNPCQSDGNKPTVGI RSSCDRHLLAASQNRIVDGAVFAVLKAVFVLGDAELKGSGFTVPGGTEELPEEEGGGGSS GRRQGGRNISVETASLDVYAKYVLRSICQQEWVGERCLKSLCEDSNDLQDPVLSSAQAQR LMQLICYPHRLLDNEDGENPQRQRIKRILKNLDQWTMRQSSLELQLMIKQTPNTEMNSLL ENIAKATIEVFQQSAETGSSSGSTASNMPSSSKTKPVLSSLERSGVWLVAPLIAKLPTSV QGHVLKAAGEELEKGQHLGSSSRKERDRQKQKSMSLLSQQPFLSLVLTCLKGQDEQREGL LASLHSQVHQIVINWRENQYLDDCKPKQLMHEALKLRLNLVGGMFDTVQRSTQQTTEWAQ LLLEIIISGTVDMQSNNELFTTVLDMLSVLINGTLAADMSSISQGSMEENKRAYMNLVKK LQKDLGERQSDSLEKVHQLLPLPKQNRDVITCEPQGSLIDTKGNKIAGFDSIFKKEGLQV STKQKISPWELFEGLKPSTAPLSWAWFGTVRVDRRVARGEEQQRLLLYHTHLRPRPRAYY LEPLPLPPEDEEPPAPALLEPEKKAPEPPKTDKPGAAPPSTEERKKKSTKGKKRSQPATK NEDYGMGPGRSGPYGVTVPPDLLHHANPGSISHLSYRQSSMGLYTQNQPLPAGGPRVDPY RPVRLPMQKLPTRPTYPGVLPTTMSTVMGLEPSSYKTSVYRQQQPTVPQGQRLRQQLQAK IQSQGMLGQSSVHQMTPSSSYGLQTSQGYTSYVSHVGLQQHTGPADPTRHLQQRPSGYVH QQAPTYGHGLTSTQRFSHQTLQQTPMMGTMTPLSAQGVQAGVRSTSILPEQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQYHIRQQQQQQQMLRQQQQQQQQQQQQQQQQQQQPHQQ QQQAAPPQPQPQSQPQFQRQGLQQTQQQQQTAALVRQLQQQLSNTQPQPSTNIFGRY >ENSMUSP00000112729.1 pep:known chromosome:GRCm38:X:101274229:101297465:1 gene:ENSMUSG00000079487.11 transcript:ENSMUST00000117203.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med12 description:mediator complex subunit 12 [Source:MGI Symbol;Acc:MGI:1926212] MAAFGILSYEHRPLKRLRLGPPDVYPQDPKQKEDELTALNVKQGFNNQPAVSGDEHGSAK NVNFNPAKISSNFSSIIAEKLRCNTLSDTGRRKSLMNQKDNFWLVTARSQSAINTWFTDL AGTKPLTHLAKKVPIFSKKEEVFGYLAKYTVPVMRAAWLIKMTCAYYAAMSETKVKKKNT ADPFTEWTQIITKYLWEQLQKMAEYYRPGPAGSGGCGSTIGPLPHDVEMAIRQWDYNEKL ALFMFQDGMLDRHEFLTWVLECFEKIRPGEDELLKLLLPLLLRYSGEFVQSAYLSRRLAY FCTRRLALQLDGVSSHSSHVIAAQSTSSLPTTPAPQPPTSSTPSTPFSDLLMCPQHRPLV FGLSCILQTILLCCPSALVWHYSLTDSRIKTGSPLDHLPIAPSNLPMPEGNSAFTQQVRA KLREIEQQIKERGQAVEVRWSFDKCQEATAGFTIGRVLHTLEVLDSHSFERSDFSNSLDS LCNRIFGLGPSKDGHEISSDDDAVVSLLCEWAVSCKRSGRHRAMVVAKLLEKRQAEIEAE RCGESEAADEKGSVASGSLSAPSAPIFQDVLLQFLDTQAPMLTDPRSESERVEFFNLVLL FCELIRHDVFSHNMYTCTLISRGDLAFGAPGPRPPSPFDDPTDDPERKEAEGSSSSKLED PGLSESMDIDPSSSVLFEDMEKPDFSLFSPTMPCEGKGSPSPEKPDVEKEVKPPAKEKIE GTLGILYDQPRHVQYATHFPIPQEESCSHECNQRLVVLFGVGKQRDDARHAIKKITKDIL KVLNRKGTAETDQLAPIVPLNPGDLTFLGGEDGQKRRRNRPEAFPTAEDIFAKFQHLSHY DQHQVTAQVSRNVLEQITSFALGMSYHLPLVQHVQFIFDLMEYSLSISGLIDFAIQLLNE LSVVEAELLLKSSDLVGSYTTSLCLCIVAVLRHYHACLILNQDQMAQVFEGLCGVVKHGM NRSDGSSAERCILAYLYDLYTSCSHLKSKFGELFSDFCSKVKNTIYCNVEPSESNMRWAP EFMIDTLENPAAHTFTYTGLGKSLSENPANRYSFVCNALMHVCVGHHDPDRVNDIAILCA ELTGYCKSLSAEWLGVLKALCCSSNNGTCGFNDLLCNVDVSDLSFHDSLATFVAILIARQ CLLLEDLIRCAAIPSLLNAACSEQDSEPGARLTCRILLHLFKTPQLNPCQSDGNKPTVGI RSSCDRHLLAASQNRIVDGAVFAVLKAVFVLGDAELKGSGFTVPGGTEELPEEEGGGGSS GRRQGGRNISVETASLDVYAKYVLRSICQQEWVGERCLKSLCEDSNDLQDPVLSSAQAQR LMQLICYPHRLLDNEDGENPQRQRIKRILKNLDQWTMRQSSLELQLMIKQTPNTEMNSLL ENIAKATIEVFQQSAETGSSSGSTASNMPSSSKTKPVLSSLERSGVWLVAPLIAKLPTSV QGHVLKAAGEELEKGQHLGSSSRKERDRQKQKSMSLLSQQPFLSLVLTCLKGQDEQREGL LASLHSQVHQIVINWRENQYLDDCKPKQLMHEALKLRLNLVGGMFDTVQRSTQQTTEWAQ LLLEIIISGTVDMQSNNELFTTVLDMLSVLINGTLAADMSSISQGSMEENKRAYMNLVKK LQKDLGERQSDSLEKVHQLLPLPKQNRDVITCEPQGSLIDTKGNKIAGFDSIFKKEGLQV STKQKISPWELFEGLKPSTAPLSWAWFGTVRVDRRVARGEEQQRLLLYHTHLRPRPRAYY LEPLPLPPEDEEPPAPALLEPEKKAPEPPKTDKPGAAPPSTEERKKKSTKGKKRSQPATK NEDYGMGPGRSGPYGVTVPPDLLHHANPGSISHLSYRQSSMGLYTQNQPLPAGGPRVDPY RPVRLPMQKLPTRPTYPGVLPTTMSTVMGLEPSSYKTSVYRQQQPTVPQGQRLRQQLQAK IQSQGMLGQSSVHQMTPSSSYGLQTSQGYTSYVSHVGLQQHTGPAGTMVPPSYSSQPYQS THPSTNPTLVDPTRHLQQRPSGYVHQQAPTYGHGLTSTQRFSHQTLQQTPMMGTMTPLSA QGVQAGVRSTSILPEQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQYHIRQQQQQQQM LRQQQQQQQQQQQQQQQQQQQPHQQQQQAAPPQPQPQSQPQFQRQGLQQTQQQQQTAALV RQLQQQLSNTQPQPSTNIFGRY >ENSMUSP00000085260.4 pep:known chromosome:GRCm38:X:101274260:101297465:1 gene:ENSMUSG00000079487.11 transcript:ENSMUST00000087948.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med12 description:mediator complex subunit 12 [Source:MGI Symbol;Acc:MGI:1926212] MAAFGILSYEHRPLKRLRLGPPDVYPQDPKQKEDELTALNVKQGFNNQPAVSGDEHGSAK NVNFNPAKISSNFSSIIAEKLRCNTLSDTGRRKSLMNQKDNFWLVTARSQSAINTWFTDL AGTKPLTHLAKKVPIFSKKEEVFGYLAKYTVPVMRAAWLIKMTCAYYAAMSETKVKKKNT ADPFTEWTQIITKYLWEQLQKMAEYYRPGPAGSGGCGSTIGPLPHDVEMAIRQWDYNEKL ALFMFQDGMLDRHEFLTWVLECFEKIRPGEDELLKLLLPLLLRYSGEFVQSAYLSRRLAY FCTRRLALQLDGVSSHSSHVIAAQSTSSLPTTPAPQPPTSSTPSTPFSDLLMCPQHRPLV FGLSCILQTILLCCPSALVWHYSLTDSRIKTGSPLDHLPIAPSNLPMPEGNSAFTQQVRA KLREIEQQIKERGQAVEVRWSFDKCQEATAGFTIGRVLHTLEVLDSHSFERSDFSNSLDS LCNRIFGLGPSKDGHEISSDDDAVVSLLCEWAVSCKRSGRHRAMVVAKLLEKRQAEIEAE RCGESEAADEKGSVASGSLSAPSAPIFQDVLLQFLDTQAPMLTDPRSESERVEFFNLVLL FCELIRHDVFSHNMYTCTLISRGDLAFGAPGPRPPSPFDDPTDDPERKEAEGSSSSKLED PGLSESMDIDPSSSVLFEDMEKPDFSLFSPTMPCEGKGSPSPEKPDVEKEVKPPAKEKIE GTLGILYDQPRHVQYATHFPIPQEESCSHECNQRLVVLFGVGKQRDDARHAIKKITKDIL KVLNRKGTAETDQLAPIVPLNPGDLTFLGGEDGQKRRRNRPEAFPTAEDIFAKFQHLSHY DQHQVTAQVSRNVLEQITSFALGMSYHLPLVQHVQFIFDLMEYSLSISGLIDFAIQLLNE LSVVEAELLLKSSDLVGSYTTSLCLCIVAVLRHYHACLILNQDQMAQVFEGLCGVVKHGM NRSDGSSAERCILAYLYDLYTSCSHLKSKFGELFSDFCSKVKNTIYCNVEPSESNMRWAP EFMIDTLENPAAHTFTYTGLGKSLSENPANRYSFVCNALMHVCVGHHDPDRVNDIAILCA ELTGYCKSLSAEWLGVLKALCCSSNNGTCGFNDLLCNVDVSDLSFHDSLATFVAILIARQ CLLLEDLIRCAAIPSLLNAACSEQDSEPGARLTCRILLHLFKTPQLNPCQSDGNKPTVGI RSSCDRHLLAASQNRIVDGAVFAVLKAVFVLGDAELKGSGFTVPGGTEELPEEEGGGGSS GRRQGGRNISVETASLDVYAKYVLRSICQQEWVGERCLKSLCEDSNDLQDPVLSSAQAQR LMQLICYPHRLLDNEDGENPQRQRIKRILKNLDQWTMRQSSLELQLMIKQTPNTEMNSLL ENIAKATIEVFQQSAETGSSSGSTASNMPSSSKTKPVLSSLERSGVWLVAPLIAKLPTSV QGHVLKAAGEELEKGQHLGSSSRKERDRQKQKSMSLLSQQPFLSLVLTCLKGQDEQREGL LASLHSQVHQIVINWRENQYLDDCKPKQLMHEALKLRLNLVGGMFDTVQRSTQQTTEWAQ LLLEIIISGTVDMQSNNELFTTVLDMLSVLINGTLAADMSSISQGSMEENKRAYMNLVKK LQKDLGERQSDSLEKVHQLLPLPKQNRDVITCEPQGSLIDTKGNKIAGFDSIFKKEGLQV STKQKISPWELFEGLKPSTAPLSWAWFGTVRVDRRVARGEEQQRLLLYHTHLRPRPRAYY LEPLPLPPEDEEPPAPALLEPEKKAPEPPKTDKPGAAPPSTEERKKKSTKGKKRSQPATK NEDYGMGPGRSGPYGVTVPPDLLHHANPGSISHLSYRQSSMGLYTQNQPLPAGGPRVDPY RPVRLPMQKLPTRPTYPGVLPTTMSTVMGLEPSSYKTSVYRQQQPTVPQGQRLRQQLQAK IQSQGMLGQSSVHQMTPSSSYGLQTSQGYTSYVSHVGLQQHTGPAGTMVPPSYSSQPYQS THPSTNPTLVDPTRHLQQRPSGYVHQQAPTYGHGLTSTQRFSHQTLQQTPMMGTMTPLSA QGVQAGVRSTSILPEQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQYHIRQQQQQQQM LRQQQQQQQQQQQQQQQQQQQQQQQQQQQPHQQQQQAAPPQPQPQSQPQFQRQGLQQTQQ QQQTAALVRQLQQQLSNTQPQPSTNIFGRY >ENSMUSP00000085269.5 pep:known chromosome:GRCm38:X:101274260:101297465:1 gene:ENSMUSG00000079487.11 transcript:ENSMUST00000087956.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med12 description:mediator complex subunit 12 [Source:MGI Symbol;Acc:MGI:1926212] MAAFGILSYEHRPLKRLRLGPPDVYPQDPKQKEDELTALNVKQGFNNQPAVSGDEHGSAK NVNFNPAKISSNFSSIIAEKLRCNTLSDTGRRKSLMNQKDNFWLVTARSQSAINTWFTDL AGTKPLTHLAKKVPIFSKKEEVFGYLAKYTVPVMRAAWLIKMTCAYYAAMSETKVKKKNT ADPFTEWTQIITKYLWEQLQKMAEYYRPGPAGSGGCGSTIGPLPHDVEMAIRQWDYNEKL ALFMFQDGMLDRHEFLTWVLECFEKIRPGEDELLKLLLPLLLRYSGEFVQSAYLSRRLAY FCTRRLALQLDGVSSHSSHVIAAQSTSSLPTTPAPQPPTSSTPSTPFSDLLMCPQHRPLV FGLSCILQTILLCCPSALVWHYSLTDSRIKTGSPLDHLPIAPSNLPMPEGNSAFTQQVRA KLREIEQQIKERGQAVEVRWSFDKCQEATAGFTIGRVLHTLEVLDSHSFERSDFSNSLDS LCNRIFGLGPSKDGHEISSDDDAVVSLLCEWAVSCKRSGRHRAMVVAKLLEKRQAEIEAE RCGESEAADEKGSVASGSLSAPSAPIFQDVLLQFLDTQAPMLTDPRSESERVEFFNLVLL FCELIRHDVFSHNMYTCTLISRGDLAFGAPGPRPPSPFDDPTDDPERKEAEGSSSSKLED PGLSESMDIDPSSSVLFEDMEKPDFSLFSPTMPCEGKGSPSPEKPDVEKEVKPPAKEKIE GTLGILYDQPRHVQYATHFPIPQEESCSHECNQRLVVLFGVGKQRDDARHAIKKITKDIL KVLNRKGTAETDQLAPIVPLNPGDLTFLGGEDGQKRRRNRPEAFPTAEDIFAKFQHLSHY DQHQVTAQVSRNVLEQITSFALGMSYHLPLVQHVQFIFDLMEYSLSISGLIDFAIQLLNE LSVVEAELLLKSSDLVGSYTTSLCLCIVAVLRHYHACLILNQDQMAQVFEGLCGVVKHGM NRSDGSSAERCILAYLYDLYTSCSHLKSKFGELFSDFCSKVKNTIYCNVEPSESNMRWAP EFMIDTLENPAAHTFTYTGLGKSLSENPANRYSFVCNALMHVCVGHHDPDRVNDIAILCA ELTGYCKSLSAEWLGVLKALCCSSNNGTCGFNDLLCNVDVSDLSFHDSLATFVAILIARQ CLLLEDLIRCAAIPSLLNAACSEQDSEPGARLTCRILLHLFKTPQLNPCQSDGNKPTVGI RSSCDRHLLAASQNRIVDGAVFAVLKAVFVLGDAELKGSGFTVPGGTEELPEEEGGGGSS GRRQGGRNISVETASLDVYAKYVLRSICQQEWVGERCLKSLCEDSNDLQDPVLSSAQAQR LMQLICYPHRLLDNEDGENPQRQRIKRILKNLDQWTMRQSSLELQLMIKQTPNTEMNSLL ENIAKATIEVFQQSAETGSSSGSTASNMPSSSKTKPVLSSLERSGVWLVAPLIAKLPTSV QGHVLKAAGEELEKGQHLGSSSRKERDRQKQKSMSLLSQQPFLSLVLTCLKGQDEQREGL LASLHSQVHQIVINWRENQYLDDCKPKQLMHEALKLRLNLVGGMFDTVQRSTQQTTEWAQ LLLEIIISGTVDMQSNNELFTTVLDMLSVLINGTLAADMSSISQGSMEENKRAYMNLVKK LQKDLGERQSDSLEKVHQLLPLPKQNRDVITCEPQGSLIDTKGNKIAGFDSIFKKEGLQV STKQKISPWELFEGLKPSTAPLSWAWFGTVRVDRRVARGEEQQRLLLYHTHLRPRPRAYY LEPLPLPPEDEEPPAPALLEPEKKAPEPPKTDKPGAAPPSTEERKKKSTKGKKRSQPATK NEDYGMGPGRSGPYGVTVPPDLLHHANPGSISHLSYRQSSMGLYTQNQPLPAGGPRVDPY RPVRLPMQKLPTRPTYPGVLPTTMSTVMGLEPSSYKTSVYRQQQPTVPQGQRLRQQLQQS QGMLGQSSVHQMTPSSSYGLQTSQLSSPSLQGYTSYVSHVGLQQHTGPADPTRHLQQRPS GYVHQQAPTYGHGLTSTQRFSHQTLQQTPMMGTMTPLSAQGVQAGVRSTSILPEQQQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQYHIRQQQQQQQMLRQQQQQQQQQQQQQQQQQQQ QQQQQQQQPHQQQQQAAPPQPQPQSQPQFQRQGLQQTQQQQQTAALVRQLQQQLSNTQPQ PSTNIFGRY >ENSMUSP00000137069.1 pep:known chromosome:GRCm38:1:170277320:170286769:1 gene:ENSMUSG00000102418.1 transcript:ENSMUST00000179976.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d1b1 description:SH2 domain containing 1B1 [Source:MGI Symbol;Acc:MGI:1349420] MDLPYYHGCLTKRECEALLLKGGVDGNFLIRDSESVPGALCLCVSFKKLVYSYRIFREKH GYYRIETNAHTPRTIFPNLQELVSKYGKPGQGLVVHLSNPIMRNNLCQRGRRMELELNVY ENTDKEYVDVLP >ENSMUSP00000098903.4 pep:known chromosome:GRCm38:6:64729125:64731245:1 gene:ENSMUSG00000073043.5 transcript:ENSMUST00000101351.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atoh1 description:atonal bHLH transcription factor 1 [Source:MGI Symbol;Acc:MGI:104654] MSRLLHAEEWAEVKELGDHHRHPQPHHVPPLTPQPPATLQARDLPVYPAELSLLDSTDPR AWLTPTLQGLCTARAAQYLLHSPELGASEAAAPRDEADSQGELVRRSGCGGLSKSPGPVK VREQLCKLKGGVVVDELGCSRQRAPSSKQVNGVQKQRRLAANARERRRMHGLNHAFDQLR NVIPSFNNDKKLSKYETLQMAQIYINALSELLQTPNVGEQPPPPTASCKNDHHHLRTASS YEGGAGASAVAGAQPAPGGGPRPTPPGPCRTRFSGPASSGGYSVQLDALHFPAFEDRALT AMMAQKDLSPSLPGGILQPVQEDNSKTSPRSHRSDGEFSPHSHYSDSDEAS >ENSMUSP00000029671.7 pep:known chromosome:GRCm38:3:151730922:151749960:-1 gene:ENSMUSG00000028037.13 transcript:ENSMUST00000029671.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi44 description:interferon-induced protein 44 [Source:MGI Symbol;Acc:MGI:2443016] MAMRTRLTWQQEKCLQNYFGGKRFCLLYKASVQKFSHQNLLCTCENQGPTMIVVYSEKCV IGMYLKEGFQGKDVSITIFALQETGFSLCAKGPDSPYLLFHKRKTNDFSILLDEKAVIVS SAICKMLQLTARNNVIPIQECEAFRCEELLDERKTRGIAVLHSNLLQALRDYKPYGDLVQ QTRVLLLGPIGAGKSSFVNSVKSVFKGSITHQILVGCDEDGISDKYRTYSIKAKDDSDPL PFILCDSLGLGENAGLHTDDVWHILKGHTPDRYQFDSMKPITSNHPNYTHDPLLKDRIHC VVFVFDINSFEMHSSELVAKIKKIRRDLIKHGILHLALLTHVDSLDLITKEDMTDIYNYS PVKSKLEAFHGVFGFALSDILVVSNYVSEWQLDPVKDMLILSALKEILYTANEFLEDLPL NK >ENSMUSP00000037783.5 pep:known chromosome:GRCm38:8:84831522:84835482:1 gene:ENSMUSG00000033751.5 transcript:ENSMUST00000036734.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gadd45gip1 description:growth arrest and DNA-damage-inducible, gamma interacting protein 1 [Source:MGI Symbol;Acc:MGI:1914947] MAALAMRSGYLLRLSVALGPRSRSYRAPPPPRRRPGPHSPDPENLLTPRWQLTPRYVAKQ FGRHGAISGVPPASLWPTPEQLRELEAEEQEWYPSLATMQESLRLQQQALEARRQAREQR IAECMAKMPQMIENWRKQKRERWEKIQADKERRARLQAEAQERLGYHVDPRSARFQELLQ DLDKQQRKRLKEERQRQKKEARIAAMASAEAQDSAVSGEPSS >ENSMUSP00000096060.1 pep:known chromosome:GRCm38:9:98903119:98904622:1 gene:ENSMUSG00000074123.1 transcript:ENSMUST00000098460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:7420426K07Rik description:RIKEN cDNA 7420426K07 gene [Source:MGI Symbol;Acc:MGI:3588253] MEGVRPSSTSADLGPTPAKHPRLQLQQPAQWDLERPIQPSSWQGQAEISPTSPLGSAVLD MCWKLIRSQPGSFSAPVRDSEDLEYASPSGMPWMNAPDDLDTGLHLSSSSLDGQVPDAWS PSASPVAESCAPWFTWSLKGSMLKPLPDSPLQSLPPSPPPNHQEQSPLSPVRPTRPPCKA RRRLLF >ENSMUSP00000057488.2 pep:known chromosome:GRCm38:4:89688198:89694772:1 gene:ENSMUSG00000043753.2 transcript:ENSMUST00000052478.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrta1 description:doublesex and mab-3 related transcription factor like family A1 [Source:MGI Symbol;Acc:MGI:2653627] MERLPHGRRDRSGGCRPHLAPGRAAAPASAARSVSSGIPVSATFLRPPGLFLRSTASSGR AGCAPGPGLDRALGAVGCGYPRTPKCARCRNHGVVSALKGHKRFCRWRDCACAKCTLIAE RQRVMAAQVALRRQQAQEESEARGLHRLLYQGSSGSGAQASGGSGRTESPQVLNNPMAVA VLGAGASRHPGSRSVPTFEVFQQDYADRKQEPKQRNCESCQSRQEEPVSNTHHHSLGSSK GNVTVEKQGFMSSIPEHPDKSTIILSPCPTDQSGGEDSPRSFSSSDLESGNESEWARDYI ATRASLSTVTSRPRDPLGILTRIFPGYKHSRLEGILQFCKGDVVQAIEQILNGREHKPDC RDLARADLENAAFQRASDFSLAGIGFGTLSNKSALSPLEAASAAYGGDSTLYSFNPRLAF SPLRLAYSSPGRALSGFVSPYLTPGLVPALPFRPTLDYAFPGMIREPSHLPSKHLVAGGR LYFRPNQEHL >ENSMUSP00000118923.1 pep:known chromosome:GRCm38:14:120503601:120507194:1 gene:ENSMUSG00000051615.13 transcript:ENSMUST00000156694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap2a description:RAS related protein 2a [Source:MGI Symbol;Acc:MGI:97855] XYEKVPVILVGNKVDLESEREVSSNEGRALAEEWGCPFMETSAKSKTMVDELFAEIVRQM NYAAQPDKDDPCCSACNIQ >ENSMUSP00000056433.6 pep:known chromosome:GRCm38:14:120478461:120507194:1 gene:ENSMUSG00000051615.13 transcript:ENSMUST00000062117.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap2a description:RAS related protein 2a [Source:MGI Symbol;Acc:MGI:97855] MREYKVVVLGSGGVGKSALTVQFVTGTFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAG TEQFASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIIRVKRYEKVPVILVGNKVDL ESEREVSSNEGRALAEEWGCPFMETSAKSKTMVDELFAEIVRQMNYAAQPDKDDPCCSAC NIQ >ENSMUSP00000049861.5 pep:known chromosome:GRCm38:9:54950230:54950998:-1 gene:ENSMUSG00000044820.6 transcript:ENSMUST00000054018.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AY074887 description:cDNA sequence AY074887 [Source:MGI Symbol;Acc:MGI:3575512] MAKHPRRIWLPRVRRTARGRGGAGGRGSSPSAGAGSVCPRGLCRSTTSALAAVCIRGGLE GGGKRLYRGEWNQIPPTLCTPLSFSLLYHSNGGQSSNCIDKSNRLLFQSCPLTVFPLFFL LASFSPFSDPTL >ENSMUSP00000123922.1 pep:known chromosome:GRCm38:18:73929213:74064922:-1 gene:ENSMUSG00000024558.12 transcript:ENSMUST00000159162.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk4 description:mitogen-activated protein kinase 4 [Source:MGI Symbol;Acc:MGI:2444559] MAEKGDCIASVYGYDLGGRFIDFQPLGFGVNGLVLSATDSRACRKVAVKKIVLSDARSMK HALREIKIIRRLDHDNIVKVYEVLGPKGSDLQGELFKFSVAYIVQEYMETDLACLLEQGT LTEDHAKLFMYQLLRGLKYIHSANVLHRDLKPANIFISTEDLVLKIGDFGLARIVDQHYS HKGYLSEGLVTKWYRSPRLLLSPNNYTKAIDMWAAGCILAEMLTGKMLFAGIL >ENSMUSP00000089462.3 pep:known chromosome:GRCm38:18:73928486:74064929:-1 gene:ENSMUSG00000024558.12 transcript:ENSMUST00000091851.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk4 description:mitogen-activated protein kinase 4 [Source:MGI Symbol;Acc:MGI:2444559] MAEKGDCIASVYGYDLGGRFIDFQPLGFGVNGLVLSATDSRACRKVAVKKIVLSDARSMK HALREIKIIRRLDHDNIVKVYEVLGPKGSDLQGELFKFSVAYIVQEYMETDLACLLEQGT LTEDHAKLFMYQLLRGLKYIHSANVLHRDLKPANIFISTEDLVLKIGDFGLARIVDQHYS HKGYLSEGLVTKWYRSPRLLLSPNNYTKAIDMWAAGCILAEMLTGKMLFAGAHELEQMQL ILDTIPVVREEDKEELLRVMPSFVSSTWEVKRPLRKLLPDVNSEAIDFLEKILTFNPMDR LTAEMGLQHPYMSPYSCPEDEPTSQHPFRIEDEIDDIVLMAASQSQLSNWDRYPVSLSSD LEWRPDRCQDASEVQRDPRAGSTPLAEDVQVDPRKDSQSSSERFLEQSHSSMERAFEADY GRSCDYKVGSPSYLDKLLWRDNKPHHYSEPKLILDLSHWKQAASAPPRAAVAADPVSRED EPASLFLEIAQWVKSTQSGSERASPPPDAPEPRLSASPPGHPTPIDGGASPQFDLDVFIS RALKLCTKPEDLPENKLGDLNGACISEHPGDLVQTEAFSKERW >ENSMUSP00000124408.1 pep:known chromosome:GRCm38:18:73928486:74064392:-1 gene:ENSMUSG00000024558.12 transcript:ENSMUST00000162863.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mapk4 description:mitogen-activated protein kinase 4 [Source:MGI Symbol;Acc:MGI:2444559] MAEKGDCIASVYGYDLGGRFIDFQPLGFGVNGLVLSATDSRACRKVAVKKIVLSDARSMK HALREIKIIRRLDHDNIVKVYEVLGPKGSDLQGELFKFSVAYIVQEYMETDLACLLEQGT LTEDHAKLFMYQLLRGLKYIHSANVLHRDLKPANIFISTEDLVLKIGDFGLARIVDQHYS HKGLTSWSRCSSS >ENSMUSP00000110353.1 pep:known chromosome:GRCm38:9:44793776:44799242:-1 gene:ENSMUSG00000002032.16 transcript:ENSMUST00000114705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem25 description:transmembrane protein 25 [Source:MGI Symbol;Acc:MGI:1918937] MELPLSQATLRHTLLLLPALLSSGQGELAPQIDGQTWAERALRENEHHAFTCRVAGGSAT PRLAWYLDGQLQEATTSRLLSVGGDAFSGGTSTFTVTAQRSQHELNCSLQDPGSGRPANA SVILNVQFKPEIAQVGAKYQEAQGPGLLVVLFALVRANPPANVTWIDQDGPVTVNASDFL VLDAQNYPWLTNHTVQLQLRSLAHNLSVVATNDVGVTSASLPAPGLLATRIEVPLLGIVV AGGLALGTLVGFSTLVACLVCRKEKKTKGPSRRPSLISSDSNNLKLNNVRLPRENMSLPS NLQLNDLTPDLRGKATERPMAQHSSRPELLEAEPGGLLTSRGFIRLPMLGYIYRVSSVSS DEIWL >ENSMUSP00000002100.7 pep:known chromosome:GRCm38:9:44793776:44799307:-1 gene:ENSMUSG00000002032.16 transcript:ENSMUST00000002100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem25 description:transmembrane protein 25 [Source:MGI Symbol;Acc:MGI:1918937] MELPLSQATLRHTLLLLPALLSSGQGELAPQIDGQTWAERALRENEHHAFTCRVAGGSAT PRLAWYLDGQLQEATTSRLLSVGGDAFSGGTSTFTVTAQRSQHELNCSLQDPGSGRPANA SVILNVQFKPEIAQVGAKYQEAQGPGLLVVLFALVRANPPANVTWIDQDGPVTVNASDFL VLDAQNYPWLTNHTVQLQLRSLAHNLSVVATNDVGVTSASLPAPGLLATRIEVPLLGIVV AGGLALGTLVGFSTLVACLVCRKEKKTKGPSRRPSLISSDSNNLKLNNVRLPRENMSLPS NLQLNDLTPDLRGKATERPMAQHSSRPELLEAEPGGLLTSRGFIRLPMLGYIYRVSSVSS DEIWL >ENSMUSP00000034848.7 pep:known chromosome:GRCm38:9:54950790:54958030:1 gene:ENSMUSG00000032301.13 transcript:ENSMUST00000034848.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma4 description:proteasome (prosome, macropain) subunit, alpha type 4 [Source:MGI Symbol;Acc:MGI:1347060] MSRRYDSRTTIFSPEGRLYQVEYAMEAIGHAGTCLGILANDGVLLAAERRNIHKLLDEVF FSEKIYKLNEDMACSVAGITSDANVLTNELRLIAQRYLLQYQEPIPCEQLVTALCDIKQA YTQFGGKRPFGVSLLYIGWDKHYGFQLYQSDPSGNYGGWKATCIGNNSAAAVSMLKQDYK EGEMTLKSALALAVKVLNKTMDVSKLSAEKVEIATLTRESGKTVIRVLKQKEVEQLIKKH EEEEAKAEREKKEKEQREKDK >ENSMUSP00000126844.1 pep:known chromosome:GRCm38:9:54950815:54956933:1 gene:ENSMUSG00000032301.13 transcript:ENSMUST00000172407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma4 description:proteasome (prosome, macropain) subunit, alpha type 4 [Source:MGI Symbol;Acc:MGI:1347060] MSRRYDSRTTIFSPEGRLYQVEYAMEAIGHAGTCLGILANDGVLLAAERRNIHKLLDEVF FSEKIYKLNEDMACSVAGITSDANVLTNELRLIAQRYLLQYQEPIPCEQLVTALCDIKQA YTQFGGKRPFGVSLLYIGWDKHYGFQLYQSDPSGNYGGWKATCIGNNSAAAVSMLKQDYK EGEMTLKSALALAVKVLNKTMDVSKLSAEK >ENSMUSP00000132368.1 pep:known chromosome:GRCm38:9:54951104:54956299:1 gene:ENSMUSG00000032301.13 transcript:ENSMUST00000171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma4 description:proteasome (prosome, macropain) subunit, alpha type 4 [Source:MGI Symbol;Acc:MGI:1347060] MSRRYDSRTTIFSPEGRLYQVEYAMEAIGHAGTCLGILANDGVLLAAERRNIHKLLDEVF FSEKIYKLNEDMACSVAGITSDANVLTNELRLIAQRYLLQYQEPIPCEQLVTALCDIKQA YTQFGGKRPFGVSLLYIGW >ENSMUSP00000044548.7 pep:known chromosome:GRCm38:3:96629933:96633791:1 gene:ENSMUSG00000038374.10 transcript:ENSMUST00000048915.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm8a description:RNA binding motif protein 8a [Source:MGI Symbol;Acc:MGI:1913129] MADVLDLHEAGGEDFAMDEDGDESIHKLKEKAKKRKGRGFGSEGSRARMREDYDSVEQDG DEPGPQRSVEGWILFVTGVHEEATEEDIHDKFAEYGEIKNIHLNLDRRTGYLKGYTLVEY ETYKEAQAAMEGLNGQDLMGQPISVDWCFVRGPPKGKRRGGRRRSRSPDRRRR >ENSMUSP00000143190.1 pep:known chromosome:GRCm38:3:96629940:96633791:1 gene:ENSMUSG00000038374.10 transcript:ENSMUST00000196456.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm8a description:RNA binding motif protein 8a [Source:MGI Symbol;Acc:MGI:1913129] MADVLDLHEAGGEDFAMDEDGDESIHKLKEKAKKRKGRGFGSEEGSRARMREDYDSVEQD GDEPGPQRSVEGWILFVTGVHEEATEEDIHDKFAEYGEIKNIHLNLDRRTGYLKGYTLVE YETYKEAQAAMEGLNGQDLMGQPISVDWCFVRGPPKGKRRGGRRRSRSPDRRRR >ENSMUSP00000143346.1 pep:known chromosome:GRCm38:3:96629940:96633791:1 gene:ENSMUSG00000038374.10 transcript:ENSMUST00000198027.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm8a description:RNA binding motif protein 8a [Source:MGI Symbol;Acc:MGI:1913129] MADVLDLHEAGGEDFAMDEDGDGEESGGDCGKRGSIHKLKEKAKKRKGRGFGSEEGSRAR MREDYDSVEQDGDEPGPQRSVEGWILFVTGVHEEATEEDIHDKFAEYGEIKNIHLNLDRR TGYLKGYTLVEYETYKEAQAAMEGLNGQDLMGQPISVDWCFVRGPPKGKRR >ENSMUSP00000142699.1 pep:known chromosome:GRCm38:3:96630197:96633791:1 gene:ENSMUSG00000038374.10 transcript:ENSMUST00000200647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm8a description:RNA binding motif protein 8a [Source:MGI Symbol;Acc:MGI:1913129] MREDYDSVEQDGDEPGPQRSVEGWILFVTGVHEEATEEDIHDKFAEYGEIKNIHLNLDRR TGYLKGYTLVEYETYKEAQAAMEGLNGQDLMGQPISVDWCFVRGPPKGKRRGGRRRSRSP DRRRR >ENSMUSP00000059312.3 pep:known chromosome:GRCm38:2:85868594:85869541:1 gene:ENSMUSG00000057761.1 transcript:ENSMUST00000054736.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1022 description:olfactory receptor 1022 [Source:MGI Symbol;Acc:MGI:3030856] MPSLNNTAVMDFILVGLTDSPVLGRILFVVFLVIYLITLTGNLCMIVLIRTNSHLQTPMY FFLGHLSFVDICYSSNVTPNMLHGFISDQKIISYAGCFTQCLLFIALVITEFYLLASMAL DHYVAICSPLHYSTRMSKNVCFSLVSFSYVFGFLNGLSQTLLTFHLSFCGSHEINHFYCA DPPLIMLACSDTHVKKMAMFVVAGFTLISSLSIILFSYLYIFAAIMRIRSAEGRQKAFST CGSHLTTVTIFYETLFCMYLKPPSERSIEESKVIAVFYTFLSPFLNPLIYSLRNKDVINA MKQVIKGNFCQKILV >ENSMUSP00000099418.2 pep:known chromosome:GRCm38:2:156995265:157007075:-1 gene:ENSMUSG00000027635.15 transcript:ENSMUST00000103129.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsn1 description:DSN1 homolog, MIS12 kinetochore complex component [Source:MGI Symbol;Acc:MGI:1914184] MTSVTRSEDQEPTMSETQDRPLQPSLKPLEALPQSSAYQEMMTQGVSEEKNHLGSNPGEG ESCGADHQEGSQLRSFHLSPQEQSIRPQDRRQSWRRASMKEVNRRKSLAPFHPGITELCR SISVKLAQSQRLGALLLSSFQFSVEKLEPFLKNTKDFSLECFRAKASSLSEELKHFTDRL GNDGTLQKCFVEDSKEKAADFSLEASVAEVKEYITKFSLERQAWDRLLLQYQNEVPPEEM PRGSTETRITEVKVDPAAYLRSSQKEVLSTKPDYQRIVQDQNQVFAYVELVMDELQGSVK QLQALMDESTQYLQKVSVQLKKRSMDQLDSSPARKLLKLPLQSSPSTQ >ENSMUSP00000099419.1 pep:known chromosome:GRCm38:2:156995277:157007154:-1 gene:ENSMUSG00000027635.15 transcript:ENSMUST00000103130.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsn1 description:DSN1 homolog, MIS12 kinetochore complex component [Source:MGI Symbol;Acc:MGI:1914184] MTSVTRSEDQEPTMSETQDRPLQPSLKPLEALPQSSAYQEMMTQGVSEEKNHLGSNPGEG ESCGADHQEGSQLRSFHLSPQEQSIRPQDRRQSWRRASMKEVNRRKSLAPFHPGITELCR SISVKLAQSQRLGALLLSSFQFSVEKLEPFLKNTKDFSLECFRAKASSLSEELKHFTDRL GNDGTLQKCFVEDSKEKAADFSLEASVAEVKEYITKFSLERQAWDRLLLQYQNEVPPEEM PRGSTETRITEVKVDPAAYLRSSQKEVLSTKPDYQRIVQDQNQVFAYVELVMDELQGSVK QLQALMDESTQYLQKVSVQLKKRSMDQLDSSPARKLLKLPLQSSPSTQ >ENSMUSP00000122524.1 pep:known chromosome:GRCm38:2:156997724:157007030:-1 gene:ENSMUSG00000027635.15 transcript:ENSMUST00000146413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsn1 description:DSN1 homolog, MIS12 kinetochore complex component [Source:MGI Symbol;Acc:MGI:1914184] MTSVTRSEDQEPTMSETQDRPLQPSLKPLEALPQSSAYQEMMTQGVSEEKNHLGSNPGEG ESCGADHQEGSQLRSFHLSPQEQSIRPQDRRQSWRRASMKEVNRRKSLAPFHPGITELCR SISVKLAQSQRLGALLLSSFQFSVEKLEPFLKNTKDFSLECFRAKASSLSEELKHFTDRL GNDGTLQKCFVEDSKEFSLERQAWDRLLLQYQNEVPPEEMPRGSTETRITEV >ENSMUSP00000120354.1 pep:known chromosome:GRCm38:2:157002740:157006301:-1 gene:ENSMUSG00000027635.15 transcript:ENSMUST00000124671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsn1 description:DSN1 homolog, MIS12 kinetochore complex component [Source:MGI Symbol;Acc:MGI:1914184] MTSVTRSEDQEPTMSETQDRPLQPSLKPLEALPQSSAYQEMMTQGVSEEKNHLGSNPGEG ESCGADHQEGSQLRSFHLSPQEQSIRPQDRRQSWRRASMKEVNRRKSLAPFHPGITELCR SISV >ENSMUSP00000122593.1 pep:known chromosome:GRCm38:2:157005320:157006574:-1 gene:ENSMUSG00000027635.15 transcript:ENSMUST00000154213.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsn1 description:DSN1 homolog, MIS12 kinetochore complex component [Source:MGI Symbol;Acc:MGI:1914184] MTSVTRSEDQEPTMSETQDRPLQPSLKPLEALPQSSAYQEMMTQGVSEEKNHLGSNPGEG ESCGA >ENSMUSP00000121531.1 pep:known chromosome:GRCm38:5:135670033:135731661:1 gene:ENSMUSG00000005514.14 transcript:ENSMUST00000153500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Por description:P450 (cytochrome) oxidoreductase [Source:MGI Symbol;Acc:MGI:97744] MGDSHEDTSATVPEAVAEEVSLFSTTDIVLFSLIVGVLTYWFIFKKKKEEIPEFSKIQTT APPVKESSFVEKMKKTGRNIIVFYGSQTGTAEEFANRLSKDAHRYGMRGMSADPEEYDLA DLSSLPEIDKSLVVFCMATYGEGDPTDNAQDFYDWLQETDVDLTGVKFAVFGLGNKTYEH FNAMGKYVDQRLEQLGAQRIFELGLGDDDGNLEEDFITWREQFWPAVCEFFGVEATGEES SIRQYELVVHEDMDTAKVYTGEMGRLKSYENQKP >ENSMUSP00000121022.1 pep:known chromosome:GRCm38:5:135674585:135731117:1 gene:ENSMUSG00000005514.14 transcript:ENSMUST00000153515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Por description:P450 (cytochrome) oxidoreductase [Source:MGI Symbol;Acc:MGI:97744] MGDSHEDTSATVPEAVAEEVSLFSTTDIVLFSLIVGVLTYWFIFKKKKEEIPEFSKIQTT APPVKESSFVEKMKKTGRNIIVFYGSQTGTAEEFANRLSKDAHRYGMRGMSADPEEYDLA DLSSLPEIDKSLVVFCMATYGEGDPTDNAQDFYDWLQETDVDLTGVKFAVFGLGNKTYEH FNAMGKYVDQRLEQLGAQRIFELGLGDDDGNLEEDFITW >ENSMUSP00000005651.6 pep:known chromosome:GRCm38:5:135689036:135735326:1 gene:ENSMUSG00000005514.14 transcript:ENSMUST00000005651.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Por description:P450 (cytochrome) oxidoreductase [Source:MGI Symbol;Acc:MGI:97744] MGDSHEDTSATVPEAVAEEVSLFSTTDIVLFSLIVGVLTYWFIFKKKKEEIPEFSKIQTT APPVKESSFVEKMKKTGRNIIVFYGSQTGTAEEFANRLSKDAHRYGMRGMSADPEEYDLA DLSSLPEIDKSLVVFCMATYGEGDPTDNAQDFYDWLQETDVDLTGVKFAVFGLGNKTYEH FNAMGKYVDQRLEQLGAQRIFELGLGDDDGNLEEDFITWREQFWPAVCEFFGVEATGEES SIRQYELVVHEDMDTAKVYTGEMGRLKSYENQKPPFDAKNPFLAAVTTNRKLNQGTERHL MHLELDISDSKIRYESGDHVAVYPANDSTLVNQIGEILGADLDVIMSLNNLDEESNKKHP FPCPTTYRTALTYYLDITNPPRTNVLYELAQYASEPSEQEHLHKMASSSGEGKELYLSWV VEARRHILAILQDYPSLRPPIDHLCELLPRLQARYYSIASSSKVHPNSVHICAVAVEYEA KSGRVNKGVATSWLRTKEPAGENGRRALVPMFVRKSQFRLPFKPTTPVIMVGPGTGVAPF MGFIQERAWLREQGKEVGETLLYYGCRRSDEDYLYREELARFHKDGALTQLNVAFSREQA HKVYVQHLLKRDKEHLWKLIHEGGAHIYVCGDARNMAKDVQNTFYDIVAEFGPMEHTQAV DYVKKLMTKGRYSLDVWS >ENSMUSP00000112924.1 pep:known chromosome:GRCm38:5:135689158:135735326:1 gene:ENSMUSG00000005514.14 transcript:ENSMUST00000122113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Por description:P450 (cytochrome) oxidoreductase [Source:MGI Symbol;Acc:MGI:97744] MGDSHEDTSATVPEAVAEEVSLFSTTDIVLFSLIVGVLTYWFIFKKKKEEIPEFSKIQTT APPVKESSFVEKMKKTGRNIIVFYGSQTGTAEEFANRLSKDAHRYGMRGMSADPEEYDLA DLSSLPEIDKSLVVFCMATYGEGDPTDNAQDFYDWLQETDVDLTGVKFAVFGLGNKTYEH FNAMGKYVDQRLEQLGAQRIFELGLGDDDGNLEEDFITWREQFWPAVCEFFGVEATGEES SIRQYELVVHEDMDTAKVYTGEMGRLKSYENQKPPFDAKNPFLAAVTTNRKLNQGTERHL MHLELDISDSKIRYESGDHVAVYPANDSTLVNQIGEILGADLDVIMSLNNLDEESNKKHP FPCPTTYRTALTYYLDITNPPRTNVLYELAQYASEPSEQEHLHKMASSSGEGKELYLSWV VEARRHILAILQDYPSLRPPIDHLCELLPRLQARYYSIASSSKVHPNSVHICAVAVEYEA KSGRVNKGVATSWLRTKEPAGENGRRALVPMFVRKSQFRLPFKPTTPVIMVGPGTGVAPF MGFIQERAWLREQGKEVGETLLYYGCRRSDEDYLYREELARFHKDGALTQLNVAFSREQA HKVRWAAAPAGWAAPGRRCCGVPTLLTAAPSPRSMFSTCSRGTKSTCGS >ENSMUSP00000119138.1 pep:known chromosome:GRCm38:5:135725728:135730241:1 gene:ENSMUSG00000005514.14 transcript:ENSMUST00000127096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Por description:P450 (cytochrome) oxidoreductase [Source:MGI Symbol;Acc:MGI:97744] SSESCWSCQMLQSSQAHGDSGRQRCRRILRGLLGCLVALSESLGPSSLPHHTENGQKRTS FLWAASQLPQSSVRDPFSLIPAGNGSTMGCAYSSQPLEEPVLRRRAPPVKESSFVEKMKK TGRNIIVFYGSQTGTAEEFANRLSKDAHRYGMRGMSADPEEYDLADLS >ENSMUSP00000099895.1 pep:known chromosome:GRCm38:4:80834307:80851719:1 gene:ENSMUSG00000005994.14 transcript:ENSMUST00000102831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyrp1 description:tyrosinase-related protein 1 [Source:MGI Symbol;Acc:MGI:98881] MKSYNVLPLAYISLFLMLFYQVWAQFPRECANIEALRRGVCCPDLLPSSGPGTDPCGSSS GRGRCVAVIADSRPHSRHYPHDGKDDREAWPLRFFNRTCQCNDNFSGHNCGTCRPGWRGA ACNQKILTVRRNLLDLSPEEKSHFVRALDMAKRTTHPQFVIATRRLEDILGPDGNTPQFE NISVYNYFVWTHYYSVKKTFLGTGQESFGDVDFSHEGPAFLTWHRYHLLQLERDMQEMLQ EPSFSLPYWNFATGKNVCDVCTDDLMGSRSNFDSTLISPNSVFSQWRVVCESLEEYDTLG TLCNSTEGGPIRRNPAGNVGRPAVQRLPEPQDVTQCLEVRVFDTPPFYSNSTDSFRNTVE GYSAPTGKYDPAVRSLHNLAHLFLNGTGGQTHLSPNDPIFVLLHTFTDAVFDEWLRRYNA DISTFPLENAPIGHNRQYNMVPFWPPVTNTEMFVTAPDNLGYAYEVQWPGQEFTVSEIIT IAVVAALLLVAAIFGVASCLIRSRSTKNEANQPLLTDHYQRYAEDYEELPNPNHSMV >ENSMUSP00000119167.1 pep:known chromosome:GRCm38:4:80846588:80851000:1 gene:ENSMUSG00000005994.14 transcript:ENSMUST00000133932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyrp1 description:tyrosinase-related protein 1 [Source:MGI Symbol;Acc:MGI:98881] GKYDPAVRSLHNLAHLFLNGTGGQTHLSPNDPIFVLLHTFTDAVFDEWLRRYNADISTFP LENAPIGHNRQYNMVPFWPPVTNTEMFVTAPDNLGYAYEVQWPEAPRMKPTSLSSLITIN AMLRTMRSSRILTTPWSDSRLPIPARSRLGSNLIETQLSTVFPLASFFSSQDRCGL >ENSMUSP00000117080.1 pep:known chromosome:GRCm38:4:80834123:80837684:1 gene:ENSMUSG00000005994.14 transcript:ENSMUST00000133655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyrp1 description:tyrosinase-related protein 1 [Source:MGI Symbol;Acc:MGI:98881] MKSYNVLPLAYISLFLMLFYQVWAQFPRECANIEALRRGVCCPDLLPSSGPGTDPCGSSS GRGRCVAVIADSRPHSRHYPHDGKDDREAWPLRFFNRTCQCNDNFSGHNCGTCRPGWRGA ACNQKILTVRRNLLDLSPEEKSHFVRALDMAKRTTHPQFVIATRRLEDILGPDGNTPQFE NISVYNYFVWTHYYSVKKTFLGTGQESFGDVDFSHEGPAFLTWHRYHLL >ENSMUSP00000006151.6 pep:known chromosome:GRCm38:4:80834230:80851718:1 gene:ENSMUSG00000005994.14 transcript:ENSMUST00000006151.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyrp1 description:tyrosinase-related protein 1 [Source:MGI Symbol;Acc:MGI:98881] MKSYNVLPLAYISLFLMLFYQVWAQFPRECANIEALRRGVCCPDLLPSSGPGTDPCGSSS GRGRCVAVIADSRPHSRHYPHDGKDDREAWPLRFFNRTCQCNDNFSGHNCGTCRPGWRGA ACNQKILTVRRNLLDLSPEEKSHFVRALDMAKRTTHPQFVIATRRLEDILGPDGNTPQFE NISVYNYFVWTHYYSVKKTFLGTGQESFGDVDFSHEGPAFLTWHRYHLLQLERDMQEMLQ EPSFSLPYWNFATGKNVCDVCTDDLMGSRSNFDSTLISPNSVFSQWRVVCESLEEYDTLG TLCNSTEGGPIRRNPAGNVGRPAVQRLPEPQDVTQCLEVRVFDTPPFYSNSTDSFRNTVE GYSAPTGKYDPAVRSLHNLAHLFLNGTGGQTHLSPNDPIFVLLHTFTDAVFDEWLRRYNA DISTFPLENAPIGHNRQYNMVPFWPPVTNTEMFVTAPDNLGYAYEVQWPGQEFTVSEIIT IAVVAALLLVAAIFGVASCLIRSRSTKNEANQPLLTDHYQRYAEDYEELPNPNHSMV >ENSMUSP00000065511.4 pep:known chromosome:GRCm38:7:35578993:35585582:-1 gene:ENSMUSG00000056043.5 transcript:ENSMUST00000069912.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs9bp description:regulator of G-protein signalling 9 binding protein [Source:MGI Symbol;Acc:MGI:2384418] MAREECKALLDALNKTTACYHHLVLTVGGSADTQDLREELQKTRQKARELAVATGARLTV ALRDRSLATEERAEFERLWVAFSGCLDLLEADMQRALALGATFPLHAPRRPLVRTGVTGG SSAVAARALSARSLRHEAESDFDVADLPQLEREVLQVGEMIDDMEMKVNVPRWTVQARQA AGAELLSGASAGASSAGGISVEERAGPCDPSKALAATVFSAVLLVAVALALCVAKLS >ENSMUSP00000059849.1 pep:known chromosome:GRCm38:2:85886802:85887737:1 gene:ENSMUSG00000050128.3 transcript:ENSMUST00000056408.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1023 description:olfactory receptor 1023 [Source:MGI Symbol;Acc:MGI:3030857] MTFLNHTAMMDFILVGLTDSPVLGRILFVVFLVIFVITLAGNLFMIVLIRTNSHLQTPMY FFLGHLSFVDICYSSNVTPNMLHGFISDQKTISYAGCFTQCLLFIALVITEFYLLASMAL DRYVAICSPLHYSTRMSKNVCVSLVAFPYVFGFLNGLSQTLLTFHLSFCGSHEINHFYCA DPPLIMLACSDTHVKKMAMFVVAGFTLSSSLAIILLSYLFIFIAILRIRSAKGRQKAFST CGSHMTTVTIFYGTLFCMYLRPPSEKSVEESKVIAVFYTFLSPMLNPLIYSLRNKDVINA MKQVVKGKLLH >ENSMUSP00000127479.1 pep:known chromosome:GRCm38:8:24677225:24687963:-1 gene:ENSMUSG00000031553.15 transcript:ENSMUST00000167431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam3 description:a disintegrin and metallopeptidase domain 3 (cyritestin) [Source:MGI Symbol;Acc:MGI:102518] SGFAPPECDMTPSSPGGSMDDGFWLPFAIMQHQSHNRRRPQPRSSLFCGLIQEQELAHLF LCGLLFLVIHASK >ENSMUSP00000033958.7 pep:known chromosome:GRCm38:8:24677232:24725852:-1 gene:ENSMUSG00000031553.15 transcript:ENSMUST00000033958.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam3 description:a disintegrin and metallopeptidase domain 3 (cyritestin) [Source:MGI Symbol;Acc:MGI:102518] MLPLFLVLSYLGQVIAAGKDVETPLLQITVPEKIDTNIQDAKEAETQVTYVVRIEGKAYT LQLEKQSFLHPLFGTYLRDKLGTLQPYFSLVKTHCFYQGHAAEIPVSTVTLSTCSGLRGL LQLENITYGIEPLESSATFEHILYEIKNNKIDYSPLKENFANSEQESQSYRILVKPEKGS NSTLTKRILRIKIIMDKAMFDHMGSEVGVATQKVVHIFGLINTMFSQLKMTVMLNSLEIW SEQDKIETNGDADEVLQRFLLWKSKEISQKAQDITYLLLYKDHPDYVGATYHGMACNPNF TAGIALHPKTLAVEGFAIVLSQLLGINLGLAYDDVYNCFCPGSTCIMNPSAIRSQGIKVF SSCSVDEFKQLASQPELDCLRNTSETEFVVQPQGGSYCGNHLLEVPEQCDCGPPETCTHK KCCNPKDCTLIDAAQCGTGPCCDKRTCTIAERGRLCRKSKDQCDFPEFCNGETEGCAPDT KAADLEPCNNETAYCFGGVCRDPDRQCTDLFGKYAKGPNYVCAQEVNLQNDKFGNCHGRC NYSAIFCGKAVCYWNFAEVIQTEKYDVQYTYLGGQVCVSAHLRSQTGTRDDTYVHDGTVC GSGQVCFRGDCLRVHVLRGTRECEADDKCQGHGICNNLNNCQCESGFAPPECDMTPSSPG GSMDDGFWLPFDKSTPLIFKRHGLKYKKVLLISFYILLPFLVVLAFMAVKRMIGKRLAKQ NISKALEHKEEAFNRGSMNPGVVSGGNTDQNLMTVPGSFNSYAYHGNTDQNFMTVPGSFN SYSYHGNTDQNFMTVPGSFNSYSYQDVPYYRSIPEDGNDSQQ >ENSMUSP00000132620.1 pep:known chromosome:GRCm38:8:24677301:24725798:-1 gene:ENSMUSG00000031553.15 transcript:ENSMUST00000170318.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam3 description:a disintegrin and metallopeptidase domain 3 (cyritestin) [Source:MGI Symbol;Acc:MGI:102518] MLPLFLVLSYLGQVIAAGKDVETPLLQITVPEKIDTNIQDAKEAETQVTYVVRIEGKAYT LQLEKQSFLHPLFGTYLRDKLGTLQPYFSLVKTHCFYQGHAAEIPVSTVTLSTCSGVCCS >ENSMUSP00000126617.1 pep:known chromosome:GRCm38:8:24707247:24725788:-1 gene:ENSMUSG00000031553.15 transcript:ENSMUST00000171611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam3 description:a disintegrin and metallopeptidase domain 3 (cyritestin) [Source:MGI Symbol;Acc:MGI:102518] MLPLFLVLSYLGQVIAAGKDVETPLLQITVPEKIDTNIQDAKEAETQVTYVVRIEGKAYT LQLEKQSFLHPLFGTYLRDKLGTLQPYFSLVKTHCFYQGHAAEIPVSTVTLSTCSGLRGL LQLENITYGIEPLESSATFEHILYEIKNNKIDYSPLKENFANSEQESQSYRILVKPEKGS NSTLTKRILRIKIIMDKAMFDHMGSEVGVATQKVVHIFGLINTMFSQLKMTVMLNSLEIW SEQDKIETNGDADEVLQRFLLWKSKEISQKAQDITYLLLYKDHPDYVGATYHGMACNPNF TAGIALHPKTLAVEGFAIVLSQLLGINLGLAYDDVYNCFCPGSTCIMNPSAM >ENSMUSP00000132634.1 pep:known chromosome:GRCm38:8:24715082:24725788:-1 gene:ENSMUSG00000031553.15 transcript:ENSMUST00000167703.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam3 description:a disintegrin and metallopeptidase domain 3 (cyritestin) [Source:MGI Symbol;Acc:MGI:102518] MLPLFLVLSYLGQVIAAGKDVETPLLQITVPEKIDTNIQDAKEAETQVIKKPDIWKMTGH LRGQN >ENSMUSP00000130818.1 pep:known chromosome:GRCm38:8:24715107:24725782:-1 gene:ENSMUSG00000031553.15 transcript:ENSMUST00000169598.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam3 description:a disintegrin and metallopeptidase domain 3 (cyritestin) [Source:MGI Symbol;Acc:MGI:102518] MLPLFLVLSYLGQVIAAGKDVETPLLQITVPEKIDTNIQDAKEAETQVTYVVRIEGKAYT LQLEKQSFLHPLFGTYLRDKLGTLQPYFSLVKVS >ENSMUSP00000132651.1 pep:known chromosome:GRCm38:8:24680718:24725788:-1 gene:ENSMUSG00000031553.15 transcript:ENSMUST00000171438.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam3 description:a disintegrin and metallopeptidase domain 3 (cyritestin) [Source:MGI Symbol;Acc:MGI:102518] MLPLFLVLSYLGQVIAAGKDVETPLLQITVPEKIDTNIQDAKEAETQVTYVVRIEGKAYT LQLEKQSFLHPLFGTYLRDKLGTLQPYFSLVKTHCFYQGHAAEIPVSTVTLSTCSGLRGL LQLENITYGIEPLESSATFEHILYEIKNNKIDYSPLKENFANSEQESQSYRILVKPEKGS NSTLTKRILRIKIIMDKAMFDHMGSEVGVATQKVVHIFGLINTMFSQLKMTVMLNSLEIW SEQDKIETNGDADEVLQRFLLWKSKEISQKAQDITYLLLYKDHPDYVGATYHGMACNPNF TAGIALHPKTLAVEGFAIVLSQLLGINLGLAYDDVYNCFCPGSTCIMNPSAIRSQGIKVF SSCSVDEFKQLASQPELDCLRNTSETEFVVQPQGGSYCGNHLLEVPEQCDCGPPETCTHK KCCNPKDCTLIDAAQCGTGPCCDKRTCTIAERGRLCRKSKDQCDFPEFCNGETEGCAPDT KAADLEPCNNETAYCFGGVCRDPDRQCTDLFGKYAKGPNYVCAQEVNLQNDKFGNCHGRC NYSAIFCGKAVCYWNFAEVIQTEKYDVQYTYLGGQVCVSAHLRSQTGTRDDTYVHDGTVC GSGQVCFRGDCLRVHVLRGTRECEADDKCQGHGICNNLNNCQCESGFAPPECDMTPSSPG GSMDDGFWLPFDKSTPLIFKRHGLKYKKVLLISFYILLPFLVVLAFMAVKRMIGKRLAKQ NISKALEHKEEAFNRGSMNPGVVSGGNTDQNLMTVPGSFNSYAYHGNTDQNFMTVPGSFN SYSYHGNTDQNFMTVPGSFNSYSYQDVPYYRSIPEDGNDSQQ >ENSMUSP00000064801.6 pep:known chromosome:GRCm38:1:183326827:183334638:-1 gene:ENSMUSG00000056050.12 transcript:ENSMUST00000069922.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mia3 description:melanoma inhibitory activity 3 [Source:MGI Symbol;Acc:MGI:2443183] XDRSEKIRNRIKQMMDVSRTQTAVSIVEEDLKLLQLKLRASMSTKCNLEDQIKKLEDDRS SLQTAKAGLEDECKTLRQKVEILNELYQQKEMALQKKLSQEEYERQDREQRLTAADEKVV LAAEEVKTYKRRIEEMEEELQKTERSFKNQIAAHEKKAHDNWLKARAAERAMAEEKREAA NLRHKLLEMTQKMAMRQDEPVIVKPMPGRPNTQNPPRRGLLSQNGSFGPSPVSGGECSPP LPAEPPGRPLSATLSRRDTPRSEFGSLDRHLPRPRWPSEASGKHSASDPGPAPVVNSSSR SSSPAKAVDEGKVNMAPKGPPPFPGVPLMGGPVPPPIRYGPPPQLCGGPFGPRPLPPPFV PGMHPPLGVREYAPGVLPGKRDLPLDPREFLPGHTPFRPPGSLGPREFFIPGTRLPPPTH GPQEYPPPPPAVRDSLPSGPREEAKPASPSSVQDRSQASKPTP >ENSMUSP00000141853.1 pep:known chromosome:GRCm38:1:183335036:183338661:-1 gene:ENSMUSG00000056050.12 transcript:ENSMUST00000195233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mia3 description:melanoma inhibitory activity 3 [Source:MGI Symbol;Acc:MGI:2443183] XTEKQISEKLENIKKENAELMQKLSSYEQKIKESKKYVQETKKQNMILSDEAVKYKILEE TNVSLGDKAKSLRLQLESEREQNVKNQDLILENKKSIEKLKDVISMNASELSEVQVALNE AKLSEENVKSECHRVQEENARLKKKKEQLQQQVEEWSKSHAELTEQIKSFEKSQEDLEIA LTHKDDNIS >ENSMUSP00000141957.1 pep:known chromosome:GRCm38:1:183344250:183351086:-1 gene:ENSMUSG00000056050.12 transcript:ENSMUST00000194807.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mia3 description:melanoma inhibitory activity 3 [Source:MGI Symbol;Acc:MGI:2443183] PVGAGDVQKQLETIAEEPAAVPPLESAFGSLYAFILYLSKMLLATLPDNVQPGPDFYGLP WQPVIITAVLGIVSFAIFSWRTILVV >ENSMUSP00000141268.1 pep:known chromosome:GRCm38:1:183358565:183369553:-1 gene:ENSMUSG00000056050.12 transcript:ENSMUST00000194543.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mia3 description:melanoma inhibitory activity 3 [Source:MGI Symbol;Acc:MGI:2443183] MAAAPGLLFWLFVLGALWWVPGQSDLSHGRRFSDLKVCGDEECSMLMYRGKALEDFTGPD CRFVNFKKGDDVYVYYKLAGGSLELWAGSVEHSFGYFPKDLIKVLHKYTEEELHIPADET DFVCFEGGRDDFNSYNVEELLGSLELEDSVPEESKKAEEVSQHREKSPEESRGRELDPVP EPEAFRADSEDGEGAFSESTEGLQGQPSAQESHPHTSGPAANAQGVQSSLDTFEEILHDK LKVPGSESRTGNSSPASVEREKTDAYKV >ENSMUSP00000104786.3 pep:known chromosome:GRCm38:1:183326725:183345296:-1 gene:ENSMUSG00000056050.12 transcript:ENSMUST00000109158.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mia3 description:melanoma inhibitory activity 3 [Source:MGI Symbol;Acc:MGI:2443183] MDSLPATVPAVTASPGDPELLGPLSVLYAALIAKLLELLATLPDNVQPGPDFYGLPWQPV IITAVLGIVSFAIFSWRTILVVNFSSFLVTEKQISEKLENIKKENAELMQKLSSYEQKIK ESKKYVQETKKQNMILSDEAVKYKDKIKILEETNVSLGDKAKSLRLQLESEREQNVKNQD LILENKKSIEKLKDVISMNASELSEVQVALNEAKLSEENVKSECHRVQEENARLKKKKEQ LQQQVEEWSKSHAELTEQIKSFEKSQEDLEIALTHKDDNISVRVLSSRHLSRLRYIFNSK SVNQGSHENATKEQGTKIGDRSEKIRNRIKQMMDVSRTQTAVSIVEEDLKLLQLKLRASM STKCNLEDQIKKLEDDRSSLQTAKAGLEDECKTLRQKVEILNELYQQKEMALQKKLSQEE YERQDREQRLTAADEKVVLAAEEVKTYKRRIEEMEEELQKTERSFKNQIAAHEKKAHDNW LKARAAERAMAEEKREAANLRHKLLEMTQKMAMRQDEPVIVKPMPGRPNTQNPPRRGLLS QNGSFGPSPVSGGECSPPLPAEPPGRPLSATLSRRDTPRSEFGSLDRHLPRPRWPSEASG KHSASDPGPAPVVNSSSRSSSPAKAVDEGKVNMAPKGPPPFPGVPLMGGPVPPPIRYGPP PQLCGGPFGPRPLPPPFVPGMHPPLGVREYAPGVLPGKRDLPLDPREFLPGHTPFRPPGS LGPREFFIPGTRLPPPTHGPQEYPPPPPAVRDSLPSGPREEAKPASPSSVQDRSQASKPT P >ENSMUSP00000135676.1 pep:known chromosome:GRCm38:6:57925842:57926838:-1 gene:ENSMUSG00000093376.1 transcript:ENSMUST00000175817.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r23 description:vomeronasal 1 receptor 23 [Source:MGI Symbol;Acc:MGI:2159460] MSSIKNVLFIQSGLGVLANMFLLIFYILIILGHRPKPMDLISCQLSFVHIMMLLTAGDNW FTDLFESLNIKNDFICKAAFYTSRVMRGLSMGITCLLSVFQAVTISPSTSLLTKFKHKLK NYIIYAVFYICFFNFSINSHMIVYVGGFNNVSKTNQMRITKTCSHFPMNNIIKELILTVI TLKDVFLVGVMLTTSIYMVIILYRHQRKCKHLHSIRHLRASPEKKATQTILLLVVFFVVM YWVDLIISSTSVLLWMYDPVILTVQKFVVNAYPTITPLVQISSDKRIINVLKNLWLKCHQ TV >ENSMUSP00000066232.2 pep:known chromosome:GRCm38:6:87628424:87672147:-1 gene:ENSMUSG00000030051.10 transcript:ENSMUST00000065997.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aplf description:aprataxin and PNKP like factor [Source:MGI Symbol;Acc:MGI:1919353] MPSVPEGGGYEITDKRVSRRHAILEVVDSQLRIKPIHRNPCFYQSSEKSQHSPMETQVWS QLHPGDSFSLLLDKYAFRVFSAESEVEMECTLRNSQMLDEDDILSEMQKSPVVNLPDKTT GASQLQGSPEITKTKCPTIDPMSSSGECRAFSEHQPRPTQRKRILPAWMLAESLSDQSLS TPAEGGDKDVIQRSGKAGTCEDRTPGNTSWHGKKRLSPSGNSKSVSAEQDPGKKCRKADQ EGPGVSSENVPESSSSNIVKDPDVDIVKTNKQKDGILIEELGEVSKHKAATKPTTNEEGE SCARVQSKSPPEKSQGCHPESSSAPSSPDALHTDTADPVLGCSEESKVRRTACMYGANCY RRNPLHFQHFSHPGDSDYGEVHGTDEGVIGDRPECPYGASCYRKNPQHKMEYRHSALPAR VALDEDDDDVGQPSDDEDEEDYEPTDEDSDWHPGKDDEEQEDVDELLKEAKRFMRRKK >ENSMUSP00000145358.1 pep:known chromosome:GRCm38:6:87629744:87672064:-1 gene:ENSMUSG00000030051.10 transcript:ENSMUST00000203209.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aplf description:aprataxin and PNKP like factor [Source:MGI Symbol;Acc:MGI:1919353] MPSVPEGGGYEITDKRVSRRHAILEVVDSQLRIKPNLKWRWSVL >ENSMUSP00000032130.2 pep:known chromosome:GRCm38:6:87629749:87672193:-1 gene:ENSMUSG00000030051.10 transcript:ENSMUST00000032130.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aplf description:aprataxin and PNKP like factor [Source:MGI Symbol;Acc:MGI:1919353] MPSDFFLQPLDGGPRVPVGPGQTVIGRGPLLGITDKRVSRRHAILEVVDSQLRIKPIHRN PCFYQSSEKSQHSPMETQVWSQLHPGDSFSLLLDKYAFRVFSAESEVEMECTLRNSQMLD EDDILSEMQKSPVVNLPDKTTGASQLQGSPEITKTKCPTIDPMSSSGECRAFSEHQPRPT QRKRILPAWMLAESLSDQSLSTPAEGGDKDVIQRSGKAGTCEDRTPGNTSWHGKKRLSPS GNSKSVSAEQDPGKKCRKADQEGPGVSSENVPESSSSNIVKDPDVDIVKTNKQKDGILIE ELGEVSKHKAATKPTTNEEGESCARVQSKSPPEKSQGCHPESSSAPSSPDALHTDTADPV LGCSEESKVRRTACMYGANCYRRNPLHFQHFSHPGDSDYGEVHGTDEGVIGDRPECPYGA SCYRKNPQHKMEYRHSALPARVALDEDDDDVGQPSDDEDEEDYEPTDEDSDWHPGKDDEE QEDVDELLKEAKSSLHLKH >ENSMUSP00000097496.1 pep:known chromosome:GRCm38:2:85904069:85905052:-1 gene:ENSMUSG00000075206.1 transcript:ENSMUST00000099912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1024 description:olfactory receptor 1024 [Source:MGI Symbol;Acc:MGI:3030858] MKAYSEAKRNGTEATEFILLGLSTRPELQPILFLVFLTIYLITLTGNFGMILLIRFTPQL QTPMYFFLTHLACVDIFYSTNVSPQMLVNFLSEKKTISYIGCLTQCFVFVTLLLTEYYML GAMAYDRYMAICKPLHYSTKLSRPVCICLVTFPYFWGSMVGTMQVILTSRLSFCGPNTIN HFYCADPPLLMLTCSDTYIKQTALFVSAGINLTGSLLIILISYIFIFITIMRIRSSEGQR KALSTCGSHLTAVTMFYGSLFCMYLRPANERSVEQGKIIAVFCIFVSPMVNPFIYSLRNK DVKQALRRVFIRNLCKVEKSSVPMLSH >ENSMUSP00000122288.1 pep:known chromosome:GRCm38:4:125085134:125113238:1 gene:ENSMUSG00000028863.13 transcript:ENSMUST00000154689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meaf6 description:MYST/Esa1-associated factor 6 [Source:MGI Symbol;Acc:MGI:1917338] MAMHNKTAPPQIPDTRRELAELVKRKQELAETLANLERQIYAFEGSYLEDTQMYGNIIRG WDRYLTNQKNSNSKNDRRNRKFKEAERLFSKSSVTSAAAVSALAGVQDQLIEKREPGSGT ESDTSPDFHNQENEPAQEDPEDLDGSVQGVKPQKAASSTSSGSHHSSHKKRKNKNRHRID LKLNKKPRADY >ENSMUSP00000053543.4 pep:known chromosome:GRCm38:4:125085151:125109889:1 gene:ENSMUSG00000028863.13 transcript:ENSMUST00000055213.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meaf6 description:MYST/Esa1-associated factor 6 [Source:MGI Symbol;Acc:MGI:1917338] MAMHNKTAPPQIPDTRRELAELVKRKQELAETLANLERQIYAFEGSYLEDTQMYGNIIRG WDRYLTNQKNSNSKNDRRNRKFKEAERLFSKSSVTSAAAVSALAGVQDQLIEKREPGSGT ESDTSPDFHNQENEPAQEDPEDLDGSVQGVKPQKAASSTSSGSHHSSHKKRKNKNRHRMN VSPQTGWHQLHL >ENSMUSP00000138973.1 pep:known chromosome:GRCm38:4:125085164:125109714:1 gene:ENSMUSG00000028863.13 transcript:ENSMUST00000184205.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Meaf6 description:MYST/Esa1-associated factor 6 [Source:MGI Symbol;Acc:MGI:1917338] MAMHNKTAPPQIPDTRRELAELVKRKQELAETLANLERQIYAFEGSYLEDTQMYGNIIRG WDRYLTNQKNSNSKNDRRNRKFKEAERLFSKSSVTSAAAVSALAGVQDQLIEKREPGSGT ESDTSPDFHNQENEPAQEDPEDLDGSVQGVKPQKAASSTSSGSHHSSHKKRKNKNRHRYV Y >ENSMUSP00000101777.3 pep:known chromosome:GRCm38:4:125085261:125109452:1 gene:ENSMUSG00000028863.13 transcript:ENSMUST00000106171.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meaf6 description:MYST/Esa1-associated factor 6 [Source:MGI Symbol;Acc:MGI:1917338] LAETLANLERQIYAFEGSYLEDTQMYGNIIRGWDRYLTNQKNSNSKNDRRNRKFKEAERL FSKSSVTSAAAVSALAGVQDQLIEKREPGSGTESDTSPDFHNQENEPAQEDPEDLDGSVQ GVKPQKAASSTSSGSHHSSHKKRKNKNRHSPSGMFDYDFEIDLKLNKKPRADY >ENSMUSP00000139156.1 pep:known chromosome:GRCm38:4:125086188:125109457:1 gene:ENSMUSG00000028863.13 transcript:ENSMUST00000184118.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Meaf6 description:MYST/Esa1-associated factor 6 [Source:MGI Symbol;Acc:MGI:1917338] XSYLEDTQMYGNIIRGWDRYLTNQKNSNSKNDRRNRKFKEAERLFSKSSVTSAAAVSALA GVQDQLIEKREPGSGTESDTSPDFHNQENEPAQEDPEDLDGSVQGVKPQKAASSTSSGSH HSSHKKRKNKNRHSPSGMFDYDFEYVY >ENSMUSP00000134564.1 pep:known chromosome:GRCm38:17:33432896:33434268:1 gene:ENSMUSG00000092519.2 transcript:ENSMUST00000174088.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl9 description:actin-like 9 [Source:MGI Symbol;Acc:MGI:1916731] MDVNGHPKFQPSPETDGPLPLTSSTLMVSKSLQQDSLSMVGDRLPPKTGAVVIDMGTGTC KVGFAGQSQPTYTVATILGCQPKKQATKDQSELETFIGEAARSRPELRLVKPIRNGIVVD WEAAELIWRHILEHDLQVATHEHPLLFSDPPFSPATNREKLVEVAFESLHSPALYVASQS VLSVYAHGRVNGLVVDTGHGVSYTVPVVQGYNLPHAIQRLDLAGNHLTAFLAEMLLGSGF SLQQEDLDLVENIKHHYCYLAPDFQKEQARPDEECKQSLKLPDGRTVTLGKELFQCPELL FHPPEIPGLSPIGLPAMAEQSLLKVPQELRPHVARNVILCGGSSLFTGLEGRFRAELLHS LSPEDHVVVMAHPNRNLSVWIGGSILASLHAFQSCWVLREQYEERGPQVVYRKCY >ENSMUSP00000098108.3 pep:known chromosome:GRCm38:5:137745730:137768450:1 gene:ENSMUSG00000029723.16 transcript:ENSMUST00000100540.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d4 description:TSC22 domain family, member 4 [Source:MGI Symbol;Acc:MGI:1926079] MSGGKKKSSFQITSVTTDYEGPGSPGASDSPVPPALAGPPPRLPNGDPNPDPGGRGTPRN GSPPPGAPASRFRVVKLPQGLGEPYRRGRWTCVDVYERDLEPPSFGRLLEGIRGASGGTG GRSLDSRLELASLGISTPIPQPGLSQGPTSWLRPPPTSPGPQARSFTGGLGQLAGPGKAK VETPPLSASPPQQRPPGPGTGDSAQTLPSLRVEVESGGSAAATPPLSRRRDGAVRLRMEL VAPAETGKVPPTDSRPNSPALYFDASLVHKSPDPFGAAAAQSLSLARSMLAISGHLDSDD DSGSGSLVGIDNKIEQAMVPFWKHLGKPGTSEQHEKRPLTGPEKASMDSDTVKLVKPHKE AMVADLDAGPGMLEPCVLPSAKERSPVRDQESRSNRDSRDSRSLMTLVHSVSMFMFSMLQ SGWRLCRWKSSMSAGSVSSHTRTRSALGTSEAEMLREVYLVLWVIRKQLRELARRQERRR RRRMWSHPSHTSRHSEPVQGLKHDARSPL >ENSMUSP00000098107.3 pep:known chromosome:GRCm38:5:137745996:137761408:1 gene:ENSMUSG00000029723.16 transcript:ENSMUST00000100539.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d4 description:TSC22 domain family, member 4 [Source:MGI Symbol;Acc:MGI:1926079] MSGGKKKSSFQITSVTTDYEGPGSPGASDSPVPPALAGPPPRLPNGDPNPDPGGRGTPRN GSPPPGAPASRFRVVKLPQGLGEPYRRGRWTCVDVYERDLEPPSFGRLLEGIRGASGGTG GRSLDSRLELASLGISTPIPQPGLSQGPTSWLRPPPTSPGPQARSFTGGLGQLAGPGKAK VETPPLSASPPQQRPPGPGTGDSAQTLPSLRVEVESGGSAAATPPLSRRRDGAVRLRMEL VAPAETGKVPPTDSRPNSPALYFDASLVHKSPDPFGAAAAQSLSLARSMLAISGHLDSDD DSGSGSLVGIDNKIEQAMDLVKSHLMFAVREEVEVLKEQIRDLAERNAALEQENGLLRAL ASPEQLAQLPSSGLPRLGPSAPNGPSI >ENSMUSP00000120835.1 pep:known chromosome:GRCm38:5:137758145:137759548:1 gene:ENSMUSG00000029723.16 transcript:ENSMUST00000141733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d4 description:TSC22 domain family, member 4 [Source:MGI Symbol;Acc:MGI:1926079] GRVYISQTSNRGSGSLVGIDNKIEQAMDLVKSHLMFAVREEVEVLKEQIRDLAERNAALE QENGLLRALASPEQLAQLPSSGLPRLGPSAPNGPSI >ENSMUSP00000106613.1 pep:known chromosome:GRCm38:5:137758185:137759432:1 gene:ENSMUSG00000029723.16 transcript:ENSMUST00000110985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d4 description:TSC22 domain family, member 4 [Source:MGI Symbol;Acc:MGI:1926079] MSQPGVSVKSLVSSYEMRVVGMAPGAPRKRGCVSSPCSPRGSSPIRGVSGPPAHQGLGGP GHRPSSHCGMDKSLLPLILYCHSGSGSLVGIDNKIEQAMDLVKSHLMFAVREEVEVLKEQ IRDLAERNAALEQENGLLRALASPEQLAQLPSSGLPRLGPSAPNGPSI >ENSMUSP00000106611.2 pep:known chromosome:GRCm38:5:137761699:137768392:1 gene:ENSMUSG00000029723.16 transcript:ENSMUST00000110983.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d4 description:TSC22 domain family, member 4 [Source:MGI Symbol;Acc:MGI:1926079] MVMRFLRWIRQIWRKVPSWVPFWKHLGKPGTSEQHEKRPLTGPEKASMDSDTVKLVKPHK EAMVADLDAGPGMLEPCVLPSAKERSPVRDQESRSNRDSRDSRSLMTLVHSVSMFMFSML QSGWRLFFYECWLSFLPHEDQVGIGDVRG >ENSMUSP00000031738.4 pep:known chromosome:GRCm38:5:137761710:137768392:1 gene:ENSMUSG00000029723.16 transcript:ENSMUST00000031738.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d4 description:TSC22 domain family, member 4 [Source:MGI Symbol;Acc:MGI:1926079] MVMRFLRWIRQIWRKVPSWVPFWKHLGKPGTSEQHEKRPLTGPEKASMDSDTVKLVKPHK EAMVADLDAGPGMLEPCVLPSAKERSPVRDQESRSNRDSRDSRSLMTLVHSVSMFMFSML QSGWRLCRWKSSMSAGSVSSHTRTRSALGTSEAEMLREVYLVLWVIRKQLRELARRQERR RRRRMWSHPSHTSRHSEPVQGLKHDARSPL >ENSMUSP00000076311.2 pep:known chromosome:GRCm38:2:85917927:85918865:1 gene:ENSMUSG00000058884.4 transcript:ENSMUST00000077055.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1025-ps1 description:olfactory receptor 1025, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030859] MLRKNYTAVTEFVLLGLTDQAELQPVLFVVFLLIYLITVIGNVSMIFLIRSDSKLHTPMY FFLSHLSFVDLCYATNVTPQMLVNFLSKRKTISFIGCFIQFHFFIALVITDYYMLTVMAY DRYMAICKPLLYTSKMSRSVCLSLVAAPYIYGFANGLAQTILMLRLTFCGPNEINHFYCA DPPLMVLACSDTYVKETAMFVVAGSNLTCSLTIILISYIFIFTAILRIRSAEGRRKAFST CGSHLIAVTVFYGTLFCMYLRPPSEKSVEQGKIVAVFYIFVSPMLNPLIYSLRNKDVKNA IRKVVKKEVFLK >ENSMUSP00000139860.1 pep:known chromosome:GRCm38:2:85917927:85918847:1 gene:ENSMUSG00000058884.4 transcript:ENSMUST00000188749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1025-ps1 description:olfactory receptor 1025, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030859] MLRKNYTAVTEFVLLGLTDQAELQPVLFVVFLLIYLITVIGNVSMIFLIRSDSKLHTPMY FFLSHLSFVDLCYATNVTPQMLVNFLSKRKTISFIGCFIQFHFFIALVITDYYMLTVMAY DRYMAICKPLLYTSKMSRSVCLSLVAAPYIYGFANGLAQTILMLRLTFCGPNEINHFYCA DPPLMVLACSDTYVKETAMFVVAGSNLTCSLTIILISYIFIFTAILRIRSAEGRRKAFST CGSHLIAVTVFYGTLFCMYLRPPSEKSVEQGKIVAVFYIFVSPMLNPLIYSLRNKDVKNA IRKVVKK >ENSMUSP00000134939.1 pep:known chromosome:GRCm38:6:57955633:57956578:-1 gene:ENSMUSG00000093451.1 transcript:ENSMUST00000175716.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r24 description:vomeronasal 1 receptor 24 [Source:MGI Symbol;Acc:MGI:2159454] MSSIKNILYFQAGLGVLANMFLLTFYIFTIPCHSPKPVDLISCQLTFIHIIMVLTGWDIW LTDMFELLNIENDFKCKATFYINRVMRGLSICITCPLSVFQAVTISPSTSLLAKFKHKLK KYIIYAFFYVWSFNLSFSSNQFFSVGAYANLSETSQMKVTNYCSHFPMNNIIRGLILTVT TLRDVFLVGVMLTTSSYMVVFLCRHQRQCQHLHSIRHLRASPEKKATQIILLLVVFFVVM YWVDFFISSTSILLWRYDPVILTVQKFVMNAYPTITPLVQITSDERIINVLKNLWP >ENSMUSP00000047326.3 pep:known chromosome:GRCm38:1:36698114:36710008:-1 gene:ENSMUSG00000037351.9 transcript:ENSMUST00000043951.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr1b description:ARP1 actin-related protein 1B, centractin beta [Source:MGI Symbol;Acc:MGI:1917446] MESYDIIANQPVVIDNGSGVIKAGFAGDQIPKYCFPNYVGRPKHMRVMAGALEGDLFIGP KAEEHRGLLTIRYPMEHGVVRDWNDMERIWQYVYSKDQLQTFSEEHPVLLTEAPLNPSKN REKAAEVFFETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGVTHAVPIYEGFAMPHSI MRVDIAGRDVSRYLRLLLRKEGADFHTSAEFEVVRTIKERACYLSINPQKDEALETEKVQ YTLPDGSTLDVGPARFRAPELLFQPDLVGDESEGLHEVLAFAIHKSDMDLRRTLFSNIVL SGGSTLFKGFGDRLLSEVKKLAPKDVKIKISAPQERLYSTWIGGSILASLDTFKKMWVSK KEYEEDGSRAIHRKTF >ENSMUSP00000124343.1 pep:known chromosome:GRCm38:1:36701763:36709678:-1 gene:ENSMUSG00000037351.9 transcript:ENSMUST00000159448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr1b description:ARP1 actin-related protein 1B, centractin beta [Source:MGI Symbol;Acc:MGI:1917446] MRVMAGALEGDLFIGPKAEEHRGLLTIRYPMEHGVVRDWNDMERIWQYVYSKDQLQTFSE EHPVLLTEAPLNPSKNREKAAEVFFETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGV THAVPIYEGFAMPHSIMRVDIAGRDVSRY >ENSMUSP00000125472.1 pep:known chromosome:GRCm38:1:36701784:36714422:-1 gene:ENSMUSG00000037351.9 transcript:ENSMUST00000160084.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr1b description:ARP1 actin-related protein 1B, centractin beta [Source:MGI Symbol;Acc:MGI:1917446] MRVMAGALEGDLFIGPKAEEHRGLLTIRYPMEHGVVRDWNDMERIWQYVYSKDQLQTFSE EHPVLLTEAPLNPSKNREKAAEVFFETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGV THAVPIYEGFAMPHSIMRVDIA >ENSMUSP00000039600.2 pep:known chromosome:GRCm38:11:83525778:83530518:-1 gene:ENSMUSG00000035042.2 transcript:ENSMUST00000035938.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl5 description:chemokine (C-C motif) ligand 5 [Source:MGI Symbol;Acc:MGI:98262] MKISAAALTIILTAAALCTPAPASPYGSDTTPCCFAYLSLALPRAHVKEYFYTSSKCSNL AVVFVTRRNRQVCANPEKKWVQEYINYLEMS >ENSMUSP00000135030.1 pep:known chromosome:GRCm38:10:26753421:26860732:1 gene:ENSMUSG00000039031.15 transcript:ENSMUST00000176060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap18 description:Rho GTPase activating protein 18 [Source:MGI Symbol;Acc:MGI:1921160] MEAYWTELENIKRSNENRQEGQEAIVVKEPDEGELEEEWLKEAGLSNLFGESIDDPQESI LFLSTLTRTQAAAVQKRVETVSQTLRKKNKQHHIRDVRDIFAQQREAQEKPPDDSDLRSV RTNENKGQGKDDQPSSGAVDSKEQISRVPEDTPASETDINLEVSFAEQAVNQKEFSKERT QKISSNDSLP >ENSMUSP00000044834.7 pep:known chromosome:GRCm38:10:26772477:26918648:1 gene:ENSMUSG00000039031.15 transcript:ENSMUST00000039557.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap18 description:Rho GTPase activating protein 18 [Source:MGI Symbol;Acc:MGI:1921160] MNWLSSSSGVVLTAYHPSGKDQVAGDSHVKGGDEATSSRRYGQYTINQEGSTKVPERPPF DRSSSQDSLDESMEAYWTELENIKRSNENRQEGQEAIVVKEPDEGELEEEWLKEAGLSNL FGESIDDPQESILFLSTLTRTQAAAVQKRVETVSQTLRKKNKQHHIRDVRDIFAQQREAQ EKPPDDSDLRSVRTNENKGQGKDDQPSSGAVDSKEQISRVPEDTPASETDINLEVSFAEQ AVNQKEFSKERTQKISSNDSLPSFRLPKDKTGTTRIGDLAPQDMKKVCSLSLIELTALYD VLGLEFKQQKAVKIKTRDSGLFGIPLTILLEQDQRKVPGTRIPLIFQKLISRIEEGSLET EGLLRIPGAAMRIKNLCQELEAKFYEGTFNWESVKQHDAASLLKLFLRELPQPLLSMEYL KAFQAVQNLPTRKEQLQALNLLVILLPDANRDTLKALLEFLQRVIDNKEKNKMTAGNVAM VMAPNLFMCHTLGLKSSEQREFEMAAGTANVMHLLIRYQKILWTIPKFIVIQVRKQNIEN QKKERKAMKKLLKKMAYDREKHEKQDKTANGADVPQGVIRVQAPHLSKVSMAIQLTEELK ASDVLARFLSQESGVAQTLKKGEVFLYEIGGNIGERCLDDDTHMKDLYQLNPNAEWVIKS KPV >ENSMUSP00000135783.1 pep:known chromosome:GRCm38:10:26822602:26850001:1 gene:ENSMUSG00000039031.15 transcript:ENSMUST00000135866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap18 description:Rho GTPase activating protein 18 [Source:MGI Symbol;Acc:MGI:1921160] MEAYWTELENIKRSNENRQEGQEAIVVKEPDEGELEEEWLKEAGLSNLFGESIDDPQESI LFLSTLTRTQAAAV >ENSMUSP00000082682.6 pep:known chromosome:GRCm38:5:149046702:149053040:-1 gene:ENSMUSG00000066551.12 transcript:ENSMUST00000085546.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb1 description:high mobility group box 1 [Source:MGI Symbol;Acc:MGI:96113] MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKF EDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYRPKIKGEHPGL SIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEKYEKDIAAYRAKGKPDAAKKGVVKAEK SKKKKEEEDDEEDEEDEEEEEEEEDEDEEEDDDDE >ENSMUSP00000106132.1 pep:known chromosome:GRCm38:5:149048228:149051300:-1 gene:ENSMUSG00000066551.12 transcript:ENSMUST00000110505.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb1 description:high mobility group box 1 [Source:MGI Symbol;Acc:MGI:96113] MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKF EDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYRPKIKGEHPGL SIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEKYEKDIAAYRAKGKPDAAKKGVVKAEK SKKKKEEEDDEEDEEDEEEEEEEEDEDEEEDDDDE >ENSMUSP00000106131.1 pep:known chromosome:GRCm38:5:149048645:149051616:-1 gene:ENSMUSG00000066551.12 transcript:ENSMUST00000093196.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb1 description:high mobility group box 1 [Source:MGI Symbol;Acc:MGI:96113] MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKF EDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYRPKIKGEHPGL SIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEKYEKDIAAYRAKGKPDAAKKGVVKAEK SKKKKEEEDDEEDEEDEEEEEEEEDEDEEEDDDDE >ENSMUSP00000144412.1 pep:known chromosome:GRCm38:5:149048793:149184489:-1 gene:ENSMUSG00000066551.12 transcript:ENSMUST00000202133.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb1 description:high mobility group box 1 [Source:MGI Symbol;Acc:MGI:96113] MNPDITPVLENQLNMGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCS ERWKTMSAKEKGKFEDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFC SEYRPKIKGEHPGLSIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEKYEKDIAAYRAKG KPDAAKKGVVKAEKSKKKKEEEDDEEDEEDE >ENSMUSP00000144129.1 pep:known chromosome:GRCm38:5:149049401:149053011:-1 gene:ENSMUSG00000066551.12 transcript:ENSMUST00000139443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb1 description:high mobility group box 1 [Source:MGI Symbol;Acc:MGI:96113] MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKF EDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYRPKIKGEHPGL SIGDVAKKLGEMWNNTAADDKQPYEKKAAKLKEKYEKVRRMTGYPVLGDYT >ENSMUSP00000118733.1 pep:known chromosome:GRCm38:5:149049824:149052377:-1 gene:ENSMUSG00000066551.12 transcript:ENSMUST00000138553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb1 description:high mobility group box 1 [Source:MGI Symbol;Acc:MGI:96113] MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKF EDMAKADKARYEREMKTYIPPKGETKKKFKDPNAPKRPPSAFFLFCSEYRPKIKGEHPGL SIGDV >ENSMUSP00000114515.1 pep:known chromosome:GRCm38:5:149050315:149053513:-1 gene:ENSMUSG00000066551.12 transcript:ENSMUST00000125605.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb1 description:high mobility group box 1 [Source:MGI Symbol;Acc:MGI:96113] MGKGDPKKPRGKMSSYAFFVQTCREEHKKKHPDASVNFSEFSKKCSERWKTMSAKEKGKF EDMAKADKARYEREMKTYIPPKGETKKKFKDP >ENSMUSP00000023117.8 pep:known chromosome:GRCm38:15:81865319:81871911:-1 gene:ENSMUSG00000061360.7 transcript:ENSMUST00000023117.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf5a description:PHD finger protein 5A [Source:MGI Symbol;Acc:MGI:2156864] MAKHHPDLIFCRKQAGVAIGRLCEKCDGKCVICDSYVRPCTLVRICDECNYGSYQGRCVI CGGPGVSDAYYCKECTIQEKDRDGCPKIVNLGSSKTDLFYERKKYGFKKR >ENSMUSP00000051432.2 pep:known chromosome:GRCm38:9:44334694:44336077:1 gene:ENSMUSG00000049932.3 transcript:ENSMUST00000052686.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afx description:H2A histone family, member X [Source:MGI Symbol;Acc:MGI:102688] MSGRGKTGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGHYAERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGGVTIAQGGVLPNIQAVLLPKK SSATVGPKAPAVGKKASQASQEY >ENSMUSP00000145899.1 pep:known chromosome:GRCm38:7:41153841:41289889:1 gene:ENSMUSG00000072259.3 transcript:ENSMUST00000206490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5592 description:predicted gene 5592 [Source:MGI Symbol;Acc:MGI:3648691] MSENSQSSPFFGTESTLHPSLPLLSNSIQPAGTVCNFSRVSTPDVSSAWLLPSASSTSLQ PLMGNAYLNPHAGTTMLTVLTEQGQISTSTPSYPGALKWDCTGSTHGREDALQEFNMKLI DQDTTLSSLAVTNQSDKILDPNVIVPFHPTLSSSFVQITPSQMPNQGYSLAPSYQEGSQV YYYEHNNLGPLIAGEFGQCLKAHGSVSYPGSQTSVLQPEMVMVLKEIQPRNIQMPLFTSA FSYSTSAQSMPDNGLPVVQMETSLGLPPSGQTHCQLQSPELCNTCVQVSQIRPPAVNGDK ALTAPIHSPSEFLALPPAPSLEQPENKTMPEIKEGTKENQDRPVLTLEHPDLQQPLHCTD TESLRQKPDSDNAHLGCICMGPKELVGLENENGSSFNFKDITRLEADIQLPQLLNTLTDI DQDQSCETWTVTSGPSDQVRKNKHKSFELLEGAPQAKFQHWDLVEGEGAGGVAGASERAI DNMAKQPEGKAPKGPPSKNRKARKQEQERPSGPQNKSKKTEELKQSRNTAKAEENLSIPK TKRKRNPPELSQNSFKKPRTNLAMHMLESVQVFHPLGKKTEKKTGISSFRGLRTFTINKD PGPGSGTVTTTVLNMPCEGQFPPKSPGKVQRAESSIDKDCLSPSQYELPPAGKVKLVPLP FPTLDKPQSRPASRKPLSLALRRTTTVQPHSHSAQPTTLRPAQPPPVSSSLIASAKPAPP ISSSSTGPNVTNPNQSSAVPHLVTSRPVPYRASSHTSFQRELVSAARNKVPSPPKPQTKY LLHDFSRQPIPWKKVDILGPVVSQPITKEQRPEREAMKRQAQQERENAVKNPSTGKLQIF LQRERDMEISQYYGYAM >ENSMUSP00000094809.2 pep:known chromosome:GRCm38:7:41284327:41290183:1 gene:ENSMUSG00000072259.3 transcript:ENSMUST00000097044.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5592 description:predicted gene 5592 [Source:MGI Symbol;Acc:MGI:3648691] MSENSQSSPFFGTESTLHPSLPLLSNSIQPAGTVCNFSRVSTPDVSSAWLLPSASSTSLQ PLMGNAYLNPHAGTTMLTVLTEQGQISTSTPSYPGALKWDCTGSTHGREDALQEFNMKLI DQDTTLSSLAVTNQSDKILDPNVIVPFHPTLSSSFVQITPSQMPNQGYSLAPSYQEGSQV YYYEHNNLGPLIAGEFGQCLKAHGSVSYPGSQTSVLQPEMVMVLKEIQPRNIQMPLFTSA FSYSTSAQSMPDNGLPVVQMETSLGLPPSGQTHCQLQSPELCNTCVQVSQIRPPAVNGDK ALTAPIHSPSEFLALPPAPSLEQPENKTMPEIKEGTKENQDRPVLTLEHPDLQQPLHCTD TESLRQKPDSDNAHLGCICMGPKELVGLENENGSSFNFKDITRLEADIQLPQLLNTLTDI DQDQSCETWTVTSGPSDQVRKNKHKSFELLEGAPQAKFQHWDLVEGEGAGGVAGASERAI DNMAKQPEGKAPKGPPSKNRKARKQEQERPSGPQNKSKKTEELKQSRNTAKAEENLSIPK TKRKRNPPELSQNSFKKPRTNLAMHMLESVQVFHPLGKKTEKKTGISSFRGLRTFTINKD PGPGSGTVTTTVLNMPCEGQFPPKSPGKVQRAESSIDKDCLSPSQYELPPAGKVKLVPLP FPTLDKPQSRPASRKPLSLALRRTTTVQPHSHSAQPTTLRPAQPPPVSSSLIASAKPAPP ISSSSTGPNVTNPNQSSAVPHLVTSRPVPYRASSHTSFQRELVSAARNKVPSPPKPQTKY LLHDFSRQPIPWKKVDILGPVVSQPITKEQRPEREAMKRQAQQERENAVKNPSTGKLQIF LQRERDMEISQYYGYAM >ENSMUSP00000033490.6 pep:known chromosome:GRCm38:X:7731714:7741341:-1 gene:ENSMUSG00000031150.12 transcript:ENSMUST00000033490.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc120 description:coiled-coil domain containing 120 [Source:MGI Symbol;Acc:MGI:1859619] MEVKGQLISSPTFTAPAALFGEAAPLVKSDRLRGLLDRQRALQEALSVKLQELRKVCLQE AELTGQLPPECPLEPGERPQLVRRRPPAARAYPPPHPNPAHHSLCPAEELALEALEREVS VQQQIAAAARRLALAPDLNGEQRRRRRQVQVDALRRLHELEEQLRDFRARLGLPVLQPLP LSAGALVNAQGVCLGTRLAQLSQEDVVLHSESSSLSESGASHDNEEPHSCFPLTERPSPP KAWDQFRAVSGGSPERRAPWKPPPSDIYGDLKSRRNSVASPTSPTRSLPRSASSFEGRSV PATPVLTRGSGPRLCKPEGLHSRQWSGSQDSQMGFPRPDPASDRASLFAARTRRSNSSEA LLVDRAAAGGAGSPPAPLAPPAAGPPVCKSSEVLYERPQPVPSFSSRTTGPPDPPRAARP SSAAPASRGAPRLPTVCGDFLLDYPLDRGLPRGSGGAGWGELLPAPEVPGPLSRRDGLLA MLPGPPPIYAADGSSPLLRSKDPNTRAIRSKPSGLPPEAVEGLEVHPNPLLWMPPPTRIP PAGERGGHKNLALEGLRDWYIRNSGLAVGPQRRPMLPHVGPTHTPFLHARCYEVGQSLYG PPSQAPLPHSRSFTAPPVSGRYGGAFTDG >ENSMUSP00000119351.1 pep:known chromosome:GRCm38:X:7737961:7740210:-1 gene:ENSMUSG00000031150.12 transcript:ENSMUST00000128289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc120 description:coiled-coil domain containing 120 [Source:MGI Symbol;Acc:MGI:1859619] MEVKGQLISSPTFTAPAALFGEAAPLVKSDRLRGLLDR >ENSMUSP00000023845.6 pep:known chromosome:GRCm38:17:36284227:36286490:-1 gene:ENSMUSG00000023083.7 transcript:ENSMUST00000023845.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M10.2 description:histocompatibility 2, M region locus 10.2 [Source:MGI Symbol;Acc:MGI:1276525] MNPGPCNFLLLLVALDLTQYCAGSHWLQTFNTVILKHGTLEPRIIQVGYVDSIQYQGFDS KEPTARMQPRAAWMEQEPPEYWEKETAQVLRLSLTNERLLRYMMIYNEQSNEEYHTLQEV FGCNVDNDGSFLGGLYRLTYYGYEFINLNEDLSSWTAQGEAAGFLKTDLVNVGAAEGWRT YLLGECTERLLRCLDLGKETLLRSDAPRTHVTHHVRPEGNVTLRCWALGFYPADITLTWK RDGKNYTQDMELPDTRPAGDGTFQKWAAVVVPSGEELRYTCHVHHEGLPEPLTLKWEPPQ TIPIIAILIGLVLGTFLVGTVVVFLVWKK >ENSMUSP00000135860.1 pep:known chromosome:GRCm38:6:57978299:57979351:-1 gene:ENSMUSG00000094206.1 transcript:ENSMUST00000176572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r25 description:vomeronasal 1 receptor 25 [Source:MGI Symbol;Acc:MGI:2148529] MSSLTNILYFQAGLGILANTFLLCFYTFTILCHRSKPMDLTSCQLTLAHILFLLAGGDNW LAVILESLNIENDFKCKAIFYTNRVMRGFSICITCLLSVFQAVTISPHTSLLAKFKHKLK TYIIYAVFYIWFYNFLVCSHLLVYVGGFNNVSETNQMRVTESCSLFLMNYIISGLIFTVT TFRDVFLVGVMLITNAYIVIILFRHQRHCKHLHSIRHLRVSPEKRATQTILLLMVFFVVM YWVEIIISSTSLLLWMYDPGFLTVQKLIVTVYPTITPLVQISSDKRVINVLKNLQSKCQQ IF >ENSMUSP00000125251.1 pep:known chromosome:GRCm38:1:170317459:170589861:-1 gene:ENSMUSG00000038473.14 transcript:ENSMUST00000160456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos1ap description:nitric oxide synthase 1 (neuronal) adaptor protein [Source:MGI Symbol;Acc:MGI:1917979] MPSKTKYNLVDDGHDLRIPLHNEDAFQHGISFEAKYVGSLDVPRPNSRVEIVAAMRRIRY EFKAKNIKKKKVSIMVSVDGVKVILKKKKKKKEWTWDESKMLVMQDPIYRIFYVSHDSQD LKIFSYIARDGASNIFRCNVFKSKKKSQAMRIVRTVGQAFEVCHKLSLQHTQQNADGQED GESERNSDGSGDPGRQLTGAERVSTAAAEETDIDAVEVPLPGNDILEFSRGVTDLDAVGK DGGSHIDSTVSPHPQEPMLTASPRMLLPSSSSKPPGLGTGTPLSTHHQMQLLQQLLQQQQ QQTQVAVAQVHLLKDQLAAEAAARLEAQARVHQLLLQNKDMLQHISLLVKQVQELELKLS GQNTMGSQDSLLEITFRSGALPVLCESTTPKPEDLHSPLLGAGLADFAHPAGSPLGRHDC LVKLECFRFLPPEDTQPMMAQGEPLLGGLELIKFRESGIASEYESNTDESEERDSWSQEE LPRLLNVLQRQELGDSLDDEIAV >ENSMUSP00000125687.1 pep:known chromosome:GRCm38:1:170327214:170557110:-1 gene:ENSMUSG00000038473.14 transcript:ENSMUST00000160466.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos1ap description:nitric oxide synthase 1 (neuronal) adaptor protein [Source:MGI Symbol;Acc:MGI:1917979] MRRIRYEFKAKNIKKKKVSIMVSVDGVKVILKKKKKKKEWTWDESKMLVMQDPIYRIFYV SHDSQDLKIFSYIARDGASNIFRCNVFKSKKKSQAMRIVRTVGQAFEVCHKLSLQHTQQN ADGQEDGESERNSDGSGDPGRQLTGAERVSTAAAEETDIDAVEVPLPGNDILEFSRGVTD LDAVGKDGGSHIDSTVSPHPQEPMLTASPRMLLPSSSSKPPGLGTGTPLSTHHQMQLLQQ LLQQQQQQTQVAVAQVLLSLLPSSQAPLSWA >ENSMUSP00000124240.1 pep:known chromosome:GRCm38:1:170327323:170589849:-1 gene:ENSMUSG00000038473.14 transcript:ENSMUST00000161966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos1ap description:nitric oxide synthase 1 (neuronal) adaptor protein [Source:MGI Symbol;Acc:MGI:1917979] MPSKTKYNLVDDGHDLRIPLHNEDAFQHGISFEAKYVGSLDVPRPNSRVEIVAAMRRIRY EFKAKNIKKKKVSIMVSVDGVKVILKKKKKKKEWTWDESKMLVMQDPIYRIFYVSHDSQD LKIFSYIARDGASNIFRCNVFKSKKKSQAMRIVRTVGQAFEVCHKLSLQHTQQNADGQED GESERNSDGSGDPGRQLTGAERVSTAAAEETDIDAVEVPLPGNDILEFSRGVTDLDAVGK DGGSHIDSTVSPHPQEPMLTASPRMLLPSSSSKPPGLGTGTPLSTHHQMQLLQQLLQQQQ QQTQVAVAQVLLSLLPSSQAPLSWA >ENSMUSP00000124169.1 pep:known chromosome:GRCm38:1:170327613:170589297:-1 gene:ENSMUSG00000038473.14 transcript:ENSMUST00000161485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos1ap description:nitric oxide synthase 1 (neuronal) adaptor protein [Source:MGI Symbol;Acc:MGI:1917979] MRRIRYEFKAKNIKKKKVSIMVSVDGVKVILKKKKKLLLLQKKEWTWDESKMLVMQDPIY RIFYVSHDSQDLKIFSYIARDGASNIFRCNVFKSKKKSQAMRIVRTVGQAFEVCHKLSLQ HTQQNADGQEDGESERNSDGSGDPGRQLTGAERVSTAAAEETDIDAVEVPLPGNDILEFS RGVTDLDAVGKDGGSHIDSTVSPHPQEPMLTASPRMLLPSSSSKPPGL >ENSMUSP00000037126.7 pep:known chromosome:GRCm38:2:118598211:118641591:1 gene:ENSMUSG00000040084.9 transcript:ENSMUST00000038341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bub1b description:BUB1B, mitotic checkpoint serine/threonine kinase [Source:MGI Symbol;Acc:MGI:1333889] MAEASEAMCLEGAEWELSKENIQPLRHGRVMSTLQGALAKQESAGHTALQQQKRAFESEI RFYSGDDPLDVWDRYINWTEQNYPQGGKESNMSALVERAIEALQGETRYYNDPRFLSLWI KLGHLCNEPLDMYSYLQSQGIGVSLAQFYISWAEEYEARENFKKADIIFQEGIERKAEPL DRLQSQHRQFQSRVSRQAFLALGNEEEEALEPSEPQRSSLAELKSRGKKMARAPISRVGG ALKAPGQSRGFLNAVPQPVHGNRRITVFDENADTASRTELSKPVAQPWMAPPVPRAKENE LQPGPWSTDRPAGRRPHDNPASVTSIPSVLPSFTPYVEESAQQTVMTPCKIEPSINHVLS TRKPGREEGDPLQRVQSHQQGCEEKKEKMMYCKEKIYAGVGEFSFEEIRAEVFRKKLKER REAELLTSAKKREEMQKQIEEMERRLKAMQAVQQEGAGGQQEEKMPTEDPARLQIASGPQ EMSGVPLSCSICPLSSNPREISPAENILQEQPDSKGSSMPFSIFDESLSDKKDKSPATGG PQVLNAQRRPLSVLKTTEVGTTNEDVSPDICDELTELEPLSEDAIITGFRNVTLCPNPED TCDFARAARLASTPFHEILSSKGIAADPEGLLQEEDLDGKAAEAHHTVHHQALIIKKLSP IIEESREATHSSGFSRSSSSAPSTSSIKGFQLLEKLELTNDGAEDAIQSPWCSQYRLQLL KSLLELSAFAEFSVEDRPMPVLEIGKEIELGPEDYVIKQEHLTCDDYRLFWVAPRSSAEL TMIKASSQPIPWDFYINLKLKERLNEDYDQLCSCCQYQDGHVVWYQYINCSTLQNLLQHS EFVTHEIIVLIIYNLLTIVEKLHRAEIVHGDLSPRSLILRNRIHDPYDYVNKDDHAVRIM DFSYSVDLRVQLDAFAYSGFRTAQILEGQKILANCSSPYHVDLLGIADLAHLLLFKEHLH VFWDGLLWKLSQSTSELKDSELWNKFFVRILNASDKSTVSVLGELAAEMGGAFDATFHSH LNRALWKLGKTISPEALLTQQDKQPGGSQSPA >ENSMUSP00000049887.3 pep:known chromosome:GRCm38:2:85923270:85924193:1 gene:ENSMUSG00000042863.3 transcript:ENSMUST00000056718.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1026 description:olfactory receptor 1026 [Source:MGI Symbol;Acc:MGI:3030860] MLKKNFTTVTEFIFLGLTDRAELQPVLFVVFLLIYLITVTGNVSMIFLIRSDSKLHTPMY FFLSHLSFVDLCYATTVAPQMLVNFLSKRKNISFIGCIIQFHFFIALVITDYYMLAVMAY DRYVAICKPLLYTSKMSRRVCLSLVATQYIYGFVNGLIQTILMLRLTFCGPNEINHFYCA DPPLMVLACSDTYVKKTAMFVVAGSNLTCSLTIILISYIFIFTAILRIRSAEGRQKAFST CGSHLTAVTIFYGTLFCMHLRPPSETSVEQGKIVAVFYIFVSPMLNPFIYSLRNKDVKNA IRKVIQK >ENSMUSP00000031731.7 pep:known chromosome:GRCm38:5:137605103:137611487:-1 gene:ENSMUSG00000029718.14 transcript:ENSMUST00000031731.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcolce description:procollagen C-endopeptidase enhancer protein [Source:MGI Symbol;Acc:MGI:105099] MLPAALTSFLGPFLLAWVLPLARGQTPNYTRPVFLCGGDVTGESGYVASEGFPNLYPPNK KCIWTITVPEGQTVSLSFRVFDMELHPSCRYDALEVFAGSGTSGQRLGRFCGTFRPAPVV APGNQVTLRMTTDEGTGGRGFLLWYSGRATSGTEHQFCGGRMEKAQGTLTTPNWPESDYP PGISCSWHIIAPSNQVIMLTFGKFDVEPDTYCRYDSVSVFNGAVSDDSKRLGKFCGDKAP SPISSEGNELLVQFVSDLSVTADGFSASYRTLPRDAVEKESALSPGEDVQRGPQSRSDPK TGTGPKVKPPTKPKSQPAETPEASPATQATPVAPAAPSITCPKQYKRSGTLQSNFCSSSL VVTGTVKTMVRGPGEGLTVTVSLLGVYKTGGLDLPSPPSGTSLKLYVPCRQMPPMKKGAS YLLMGQVEENRGPILPPESFVVLYRSNQDQILNNLSKRKCPSQPRTAA >ENSMUSP00000142608.1 pep:known chromosome:GRCm38:5:137605105:137610474:-1 gene:ENSMUSG00000029718.14 transcript:ENSMUST00000197912.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcolce description:procollagen C-endopeptidase enhancer protein [Source:MGI Symbol;Acc:MGI:105099] GESGYVASEGFPNLYPPNKKCIWTITVPEGQTVSLSFRVFDMELHPSCRYDALEVFAGSG TSGQRLGRFCGTFRPAPVVAPGNQVTLRMTTDEGTGGRGFLLWYSGRATSGTAAPSITCP KQYKRSGTLQSNFCSSSLVVTGTVKTMVRGPGEGLTVTVSLLGVYKTGGLDLPSPPSGTS LKLYVPCRQMPPMKKGASYLLMGQVEENRGPILPPESFVVLYRSNQDQILNNLSKRKCPS QPRTAA >ENSMUSP00000057002.6 pep:known chromosome:GRCm38:5:137605108:137611376:-1 gene:ENSMUSG00000029718.14 transcript:ENSMUST00000054564.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcolce description:procollagen C-endopeptidase enhancer protein [Source:MGI Symbol;Acc:MGI:105099] MLPAALTSFLGPFLLAWVLPLARGQTPNYTRPVFLCGGDVTGESGYVASEGFPNLYPPNK KCIWTITVPEGQTVSLSFRVFDMELHPSCRYDALEVFAGSGTSGQRLGRFCGTFRPAPVV APGNQVTLRMTTDEGTGGRGFLLWYSGRATSGTGPPPGARRKWVMDPRVEWAAWGYWDEH QFCGGRMEKAQGTLTTPNWPESDYPPGISCSWHIIAPSNQVIMLTFGKFDVEPDTYCRYD SVSVFNGAVSDDSKRLGKFCGDKAPSPISSEGNELLVQFVSDLSVTADGFSASYRTLPRD AVEKESALSPGEDVQRGPQSRSDPKTGTGPKVKPPTKPKSQPAETPEASPATQATPVAPA APSITCPKQYKRSGTLQSNFCSSSLVVTGTVKTMVRGPGEGLTVTVSLLGVYKTGGLDLP SPPSGTSLKLYVPCRQMPPMKKGASYLLMGQVEENRGPILPPESFVVLYRSNQDQILNNL SKRKCPSQPRTAA >ENSMUSP00000115654.1 pep:known chromosome:GRCm38:5:137607434:137610702:-1 gene:ENSMUSG00000029718.14 transcript:ENSMUST00000142675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcolce description:procollagen C-endopeptidase enhancer protein [Source:MGI Symbol;Acc:MGI:105099] MQGFCLTPPLSSRPVFLCGGDVTGESGYVASEGFPNLYPPNKKCIWTITVPEGQTVSLSF RVFDMELHPSCRYDALEVFAGSGTSGQRLGRFCGTFRPAPVVAPGNQVTLRMTTDEGTGG RGFLLWYSGRATSGTEHQFCGGRMEKAQGTLTTPNWPESDYPPGISCSWHIIAPSNQVIM LTFGKFDVEPDTYCRYDSVSVFNGAVSDDSKRLG >ENSMUSP00000121575.2 pep:known chromosome:GRCm38:5:137607510:137613762:-1 gene:ENSMUSG00000029718.14 transcript:ENSMUST00000155251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcolce description:procollagen C-endopeptidase enhancer protein [Source:MGI Symbol;Acc:MGI:105099] MELHPSCRYDALEVFAGSGTSGQRLGRFCGTFRPAPVVAPGNQVTLRMTTDEGTGGRGFL LWYSGRATSGTEHQFCGGRMEKAQGTLTTPNWPESDYPPGISCSWHIIAPSNQVIMLTFG KFDV >ENSMUSP00000120749.1 pep:known chromosome:GRCm38:5:137607510:137613784:-1 gene:ENSMUSG00000029718.14 transcript:ENSMUST00000124693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcolce description:procollagen C-endopeptidase enhancer protein [Source:MGI Symbol;Acc:MGI:105099] MELHPSCRYDALEVFAGSGTSGQRLGRFCGTFRPAPVVAPGNQVTLRMTTDEGTGGRGFL LWYSGRATSGTEHQFCGGRMEKAQGTLTTPNWPESDYPPGISCSWHIIAPSNQVIMLTFG KFDV >ENSMUSP00000145021.1 pep:known chromosome:GRCm38:6:120364124:120419473:1 gene:ENSMUSG00000030180.15 transcript:ENSMUST00000135802.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kdm5a description:lysine (K)-specific demethylase 5A [Source:MGI Symbol;Acc:MGI:2136980] MASVGPGGYAAEFVPPPECPVFEPSWEEFTDPLSFIGRIRPFAEKTGICKIRPPKDWQPP FACEVKTFRFTPRVQRLNELEAMTRVRLDFLDQLAKFWELQGSTLKIPVVERKILDLYAL SKIVASKGGFEIVTKEKKWSKVGSRLGYLPGKGTGSLLKSHYERILYPYELFQSGVSLMG VQMPDLDLKEKVEAEVLSTDIQPSPERGTRMNIPPKRTRRVKSQSDSGEVNRNTELKKLQ IFGAGPKVVGLAVGAKDKEDEVTRRRKVTNRSDAFNMQMRQRKGTLSVNFVDLYVCMFCG RGNNEDKLLLCDGCDDSYHTFCLLPPLPDVPKGDWRCPKCVAEECNKPREAFGFEQAVRE YTLQSFGEMADNFKSDYFNMPVHMVPTELVEKEFWRLVSSIEEDVIVEYGADISSKDFGS GFPKKDGQRKMLPEEEEYALSGWNLNNMPVLEQSVLAHINVDISGMKVPWLYVGMCFSSF CWHIEDHWSYSINYLHWGEPKTWYGVPSHAAEQLEEVMRELAPELFESQPDLLHQLVTIM NPNVLMEHGVPVYRTNQCAGEFVVTFPRAYHSGFNQGYNFAEAVNFCTADWLPIGRQCVN HYRRLRRHCVFSHEELIFKMAADPECLDVGLAAMVCKELTLMTEEETRLRESVVQMGVVM SEEEVFELVPDDERQCSACRTTCFLSALTCSCNPERLVCLYHPTDLCSCPMQNKCLRYRY PLEDLPSLLYGVKVRAQSYDTWVNRVTEALSASFNHKKDLIELRVMLEDAEDRKYPENDL FRKLRDAVKEAETCGSVAQLLLSKKQKHRICWMM >ENSMUSP00000005108.7 pep:known chromosome:GRCm38:6:120364124:120444574:1 gene:ENSMUSG00000030180.15 transcript:ENSMUST00000005108.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5a description:lysine (K)-specific demethylase 5A [Source:MGI Symbol;Acc:MGI:2136980] MASVGPGGYAAEFVPPPECPVFEPSWEEFTDPLSFIGRIRPFAEKTGICKIRPPKDWQPP FACEVKTFRFTPRVQRLNELEAMTRVRLDFLDQLAKFWELQGSTLKIPVVERKILDLYAL SKIVASKGGFEIVTKEKKWSKVGSRLGYLPGKGTGSLLKSHYERILYPYELFQSGVSLMG VQMPDLDLKEKVEAEVLSTDIQPSPERGTRMNIPPKRTRRVKSQSDSGEVNRNTELKKLQ IFGAGPKVVGLAVGAKDKEDEVTRRRKVTNRSDAFNMQMRQRKGTLSVNFVDLYVCMFCG RGNNEDKLLLCDGCDDSYHTFCLLPPLPDVPKGDWRCPKCVAEECNKPREAFGFEQAVRE YTLQSFGEMADNFKSDYFNMPVHMVPTELVEKEFWRLVSSIEEDVIVEYGADISSKDFGS GFPKKDGQRKMLPEEEEYALSGWNLNNMPVLEQSVLAHINVDISGMKVPWLYVGMCFSSF CWHIEDHWSYSINYLHWGEPKTWYGVPSHAAEQLEEVMRELAPELFESQPDLLHQLVTIM NPNVLMEHGVPVYRTNQCAGEFVVTFPRAYHSGFNQGYNFAEAVNFCTADWLPIGRQCVN HYRRLRRHCVFSHEELIFKMAADPECLDVGLAAMVCKELTLMTEEETRLRESVVQMGVVM SEEEVFELVPDDERQCSACRTTCFLSALTCSCNPERLVCLYHPTDLCSCPMQNKCLRYRY PLEDLPSLLYGVKVRAQSYDTWVNRVTEALSASFNHKKDLIELRVMLEDAEDRKYPENDL FRKLRDAVKEAETCGSVAQLLLSKKQKHRQSSDSGKTRTKLTVEELKAFVQQLVSLPCVI SQTRQVKNLLDDVEEFHERAQEAMMDETPDSSKLQMLIDMGSSLYVELPELPRLKQELQQ ARWLDEVRLTLSDPQQVTLDVMKKLIDSGVGLAPHHAVEKAMAELQELLTVSERWEEKAK VCLQARPRHSMANLENIVNEAKNIPAFLPNVLSLKEALQKAREWTAKVEAIQSGNNYAYL EQLESLSAKGRPIPVRLDALPQVESQVAAARAWRERTGRTFLKKNSSHTLLQVLSPRTDI GVYGSGKNRRKKVKEIIEKEKEKDLDLEPLSDLEEGLEESRDTAMVVAVFKEREQKEIEA MHSLRAANLAKMTIVERIEEVKFCICRKTASGFMLQCELCKDWFHNSCVPLPKSSSQKKG SSWQAKDVKFLCPLCMRSRRPRLETILSLLVSLQKLPVRLPEGEALQCLTERAMSWQDKA RQALATDELSSALAKLSVLSQRMVEQAAREKTEKIISAELQKAAANPDLQGHLPSFQQSA FNRVVSSVSSSPHQTMDYDDEETDSDEDIRETYGYDMKDTASVKSSSSLEPNLFCDEEIP IKSEEVVTHMWTAPSFCAEHAYSSASKSCSQGSSTPRKQPRKSPLVPRSLEPPVLELSPG AKAQLEELMMVGDLLEVSLDETQHIWRILQATHPPSEDRFLHIMEDDSIEEKPLKMKGKD SSEKKRKRKLEKVEQLFGEGKQKTKELKKIDKPKKKKLKLNVDKSKELNKLAKKLAKEEE RKKKKEKAAAAKVELVKESTEKKRERKVLDIPSKYDWSGAEESDDENAVCAAQNCQRPCK DKVDWVQCDGGCDEWFHQVCVGVSAEMAENEDYICINCAKKQGPDSPGQAPPPPFLMSYK LPMEDLKETS >ENSMUSP00000145375.1 pep:known chromosome:GRCm38:6:120364458:120419446:1 gene:ENSMUSG00000030180.15 transcript:ENSMUST00000132009.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kdm5a description:lysine (K)-specific demethylase 5A [Source:MGI Symbol;Acc:MGI:2136980] MASVGPGGYAAEFVPPPECPVFEPSWEEFTDPLSFIGRIRPFAEKTGICKIRPPKDWQPP FACEVKTFRFTPRVQRLNELEAMTRVRLDFLDQLAKFWELQGSTLKIPVVERKILDLYAL SKIVASKGGFEIVTKEKKWSKVGSRLGYLPGKGTGSLLKSHYERILYPYELFQSGVSLMG VQMPDLDLKEKVEAEVLSTDIQPSPERGTRMNIPPKRTRRVKSQSDSGEVNRNTELKKLQ IFGAGPKVVGLAVGAKDKEDEVTRRRKVTNRSDAFNMQMRQRKGTLSVNFVDLYVCMFCG RGNNEDKLLLCDGCDDSYHTFCLLPPLPDVPKGDWRCPKCVAEECNKPREAFGFEQAVRE YTLQSFGEMADNFKSDYFNMPVHMVPTELVEKEFWRLVSSIEEDVIVEYGADISSKDFGS GFPKKDGQRKMLPEEEEYALSGWNLNNMPVLEQSVLAHINVDISGMKVPWLYVGMCFSSF CWHIEDHWSYSINYLHWGEPKTWYGVPSHAAEQLEEVMRELAPELFESQPDLLHQLVTIM NPNVLMEHGVPVYRTNQCAGEFVVTFPRAYHSGFNQGYNFAEAVNFCTADWVCSIARGCF AHWTSVCKSLSTAQAPLCLFT >ENSMUSP00000144720.1 pep:known chromosome:GRCm38:6:120374817:120388100:1 gene:ENSMUSG00000030180.15 transcript:ENSMUST00000203373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5a description:lysine (K)-specific demethylase 5A [Source:MGI Symbol;Acc:MGI:2136980] IVASKGGFEIVTKEKKWSKVGSRLGYLPGKGTGSLLKSHYERILYPYELFQSGVSLMSDS GEVNRNTELKKLQIFGAGPKVVGLAVGAKDKEDEVTRRRKVTNRSDAFNMQMRQRKGTLS VNFVDLYVCMFCGRGNNEDKLLLCDGCDDSYHT >ENSMUSP00000052301.3 pep:known chromosome:GRCm38:6:58008183:58009202:-1 gene:ENSMUSG00000048697.3 transcript:ENSMUST00000049694.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r26 description:vomeronasal 1 receptor 26 [Source:MGI Symbol;Acc:MGI:2159453] MSSIKNVLYFQSGLGVLANMFLLIFYIFIVLGHRPKPIDLISCQLNFVHIMMFLTAGDIW LTDLFASLNIENNFKCKATFYINRVMRGLSICITCLLSVFQAVTMSPSTSFLAKFKHTLK KYIIYIFFYFWLFNLSFSSNQLFSAGAYTNVSDTNQMKISKYCSYFPTNKIIRGLILTVT TLRDVFLVGVMVTTSAYMVLLLFRHQKQCKHLHSINYWRASPEKKATQTILVLVVFFVVM YWVDLIISSTSVLLWRYDTVILTVQKFVMNVYPTITPLVQISSDNRILKCHQAFFKGAIF VFFKKDIYSSGLCQHHATLDLNSVDSPTVSGGISTIQVH >ENSMUSP00000098677.2 pep:known chromosome:GRCm38:6:100228565:100287485:-1 gene:ENSMUSG00000072872.3 transcript:ENSMUST00000101118.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rybp description:RING1 and YY1 binding protein [Source:MGI Symbol;Acc:MGI:1929059] MTMGDKKSPTRPKRQAKPAADEGFWDCSVCTFRNSAEAFKCSICDVRKGTSTRKPRINSQ LVAQQVAQQYATPPPPKKEKKEKVEKPDKEKPEKDKDISPSVTKKNTNKKTKPKSDILKD PPSEANSIQSANATTKTSETNHTSRPRLKNVDRSTAQQLAVTVGNVTVIITDFKEKTRSS STSSSTVTSSAGSEQQNQSSSGSESTDKGSSRSSTPKGDMSAVNDESF >ENSMUSP00000019362.8 pep:known chromosome:GRCm38:11:70000595:70010111:1 gene:ENSMUSG00000020888.16 transcript:ENSMUST00000019362.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dvl2 description:dishevelled segment polarity protein 2 [Source:MGI Symbol;Acc:MGI:106613] MAGSSAGGGGVGETKVIYHLDEEETPYLVKIPVPAERITLGDFKSVLQRPAGAKYFFKSM DQDFGVVKEEISDDNARLPCFNGRVVSWLVSSDTPQPEVAPPAHESRTELVPPPPPLPPL PPERTSGIGDSRPPSFHPNVSSSHENLEPETETESVVSLRRDRPRRRDSSEHGAGGHRPG GPSRLERHLAGYESSSTLMTSELESTSLGDSDEDDTMSRFSSSTEQSSASRLLKRHRRRR KQRPPRMERTSSFSSVTDSTMSLNIITVTLNMEKYNFLGISIVGQSNERGDGGIYIGSIM KGGAVAADGRIEPGDMLLQVNDMNFENMSNDDAVRVLRDIVHKPGPIVLTVAKCWDPSPQ AYFTLPRNEPIQPIDPAAWVSHSAALTGAFPAYPGSSSMSTITSGSSLPDGCEGRGLSVH MDMASVTKAMAAPESGLEVRDRMWLKITIPNAFLGSDVVDWLYHHVEGFPERREARKYAS GLLKAGLIRHTVNKITFSEQCYYVFGDLSGGCESYLVNLSLNDNDGSSGASDQDTLAPLP GATPWPLLPTFSYQYPAPHPYSPQPPPYHELSSYTYGGGSASSQHSEGSRSSGSTRSDGG AGRTGRPEERAPESKSGSGSESELSSRGGSLRRGGEPGGTGDGGPPPSRGSTGAPPNLRA LPGLHPYGAPSGMALPYNPMMVVMMPPPPPPVSTAVQPPGAPPVRDLGSVPPELTASRQS FHMAMGNPSEFFVDVM >ENSMUSP00000099635.2 pep:known chromosome:GRCm38:11:70000617:70012301:1 gene:ENSMUSG00000020888.16 transcript:ENSMUST00000102575.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dvl2 description:dishevelled segment polarity protein 2 [Source:MGI Symbol;Acc:MGI:106613] MAGSSAGGGGVGETKVIYHLDEEETPYLVKIPVPAERITLGDFKSVLQRPAGAKYFFKSM DQDFGVVKEEISDDNARLPCFNGRVVSWLVSSDTPQPEVAPPAHESRTELVPPPPPLPPL PPERTSGIGDSRPPSFHPNVSSSHENLEPETETESVVSLRRDRPRRRDSSEHGAGGHRPG GPSRLERHLAGYESSSTLMTSELESTSLGDSDEDDTMSRFSSSTEQSSASRLLKRHRRRR KQRPPRMERTSSFSSVTDSTMSLNIITVTLNMEKYNFLGISIVGQSNERGDGGIYIGSIM KGGAVAADGRIEPGDMLLQVNDMNFENMSNDDAVRVLRDIVHKPGPIVLTVAKCWDPSPQ AYFTLPRNEPIQPIDPAAWVSHSAALTGAFPAYPGSSSMSTITSGSSLPDGCEGRGLSVH MDMASVTKAMAAPESGLEVRDRMWLKITIPNAFLGSDVVDWLYHHVEGFPERREARKYAS GLLKAGLIRHTVNKITFSEQCYYVFGDLSGGCESYLVNLSLNDNDGSSGASDQDTLAPLP GATPWPLLPTFSYQYPAPHPYSPQPPPYHELSSYTYGGGSASSQHSEGSRSSGSTRSDGG AGRTGRPEERAPESKSGSGSESELSSRGGSLRRGGEPGGTGDGGPPPSRGSTGAPPNLRA LPGLHPYGAPSGMALPYNPMMVVMMPPPPPPVSTAVQPPGAPPVRDLGSVPPELTASRQS FHMAMGNPSEFFVDVM >ENSMUSP00000140073.1 pep:known chromosome:GRCm38:11:70000617:70012301:1 gene:ENSMUSG00000020888.16 transcript:ENSMUST00000190940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dvl2 description:dishevelled segment polarity protein 2 [Source:MGI Symbol;Acc:MGI:106613] MAGSSAGGGGVGETKVIYHLDEEETPYLVKIPVPAERITLGDFKSVLQRPAGAKYFFKSM DQDFGVVKEEISDDNARLPCFNGRVVSWLVSSDTPQPEVAPPAHESRTELVPPPPPLPPL PPERTSGIGDSRPPSFHPNVSSSHENLEPETETESVVSLRRDRPRRRDSSEHGAGGHRPG GPSRLERHLAGYESSSTLMTSELESTSLGDSDEDDTMSRFSSSTEQSSASRLLKRHRRRR KQRPPRMERTSSFSSVTDSTMSLNIITVTLNMEKYNFLGISIVGQSNERGDGGIYIGSIM KGGAVAADGRIEPGDMLLQVNDMNFENMSNDDAVRVLRDIVHKPGPIVLTVAKCWDPSPQ AYFTLPRNEPIQPIDPAAWVSHSAALTGAFPAYPGSSSMSTITSGSSLPDGCEGRGLSVH MDMASVTKAMAAPESGLEVRDRMWLKITIPNAFLGSDVVDWLYHHVEGFPERREARKYAS GLLKAGLIRHTVNKITFSEQCYYVFGDLSGGCESYLVNLSLNDNDGSSGASDQDTLAPLP GATPWPLLPTFSYQYPAPHPYSPQPPPYHELSSYTYGGGSASSQHSEGSRSSGSTRSDGG AGRTGRPEERAPESKSGSGSESELSSRGGSLRRGGEPGGTGDGGPPPSRGSTGAPPNLRA LPGLHPYGAPSGMALPYNPMMVVMMPPPPPPVSTAVQPPGAPPVRDLGSVPPELTASRQS FHMAMGNPSEFFVDVM >ENSMUSP00000018698.5 pep:known chromosome:GRCm38:11:69935796:69941605:1 gene:ENSMUSG00000018554.13 transcript:ENSMUST00000018698.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ybx2 description:Y box protein 2 [Source:MGI Symbol;Acc:MGI:1096372] MSEAEASVVATAAPAATVPATAAGVVAVVVPVPAGEPQKAGGGAGGGGGAASGPAAGTPS APGPRTPGNQATAASGTPAPPARSQADKPVLAIQVLGTVKWFNVRNGYGFINRNDTKEDV FVHQTAIKRNNPRKFLRSVGDGETVEFDVVEGEKGAEAANVTGPGGVPVKGSRYAPNRRR FRRFIPRPRPAAPPPMVAEAPSGGTEPGSEGERAEDSGQRPRRRRPPPFFYRRRFVRGPR PPNQQQPIEGSDGVEPKETAPLEGDQQQGDERVPPPRFRPRYRRPFRPRPPQQPTTEGGD GETKPSQGPTDGSRPEPQRPRNRPYFQRRRQQPPGPRQPIAAETSAPINSGDPPTTILE >ENSMUSP00000136684.1 pep:known chromosome:GRCm38:11:69935914:69941576:1 gene:ENSMUSG00000018554.13 transcript:ENSMUST00000149194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ybx2 description:Y box protein 2 [Source:MGI Symbol;Acc:MGI:1096372] MVAEAPSGGTEPGSEGERAEDSGQRPRRRRPPPFFYRRRFVRGPRPPNQQQPIEGSDGVE PKETAPLEGDQQQGDERVPPPRFRPRYRRPFRPRPPQQPTTEGGDGETKPSQGPTDGSRP EPQRPRNRPYFQRRRQQPPGPRQPIAAETSAPINSGDPPTTILE >ENSMUSP00000104242.2 pep:known chromosome:GRCm38:11:69936327:69941597:1 gene:ENSMUSG00000018554.13 transcript:ENSMUST00000108601.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ybx2 description:Y box protein 2 [Source:MGI Symbol;Acc:MGI:1096372] MSRRAGQAGSAKALAIQVLGTVKWFNVRNGYGFINRNDTKEDVFVHQTAIKRNNPRKFLR SVGDGETVEFDVVEGEKGAEAANVTGPGGVPVKGSRYAPNRRRFRRFIPRPRPAAPPPMV AEAPSGGTEPGSEGERAEDSGQRPRRRRPPPFFYRRRFVRGPRPPNQQQPIEGSDGVEPK ETAPLEGDQQQGDERVPPPRFRPRYRRPFRPRPPQQPTTEGGDGETKPSQGPTDGSRPEP QRPRNRPYFQRRRQQPPGPRQPIAAETSAPINSGDPPTTILE >ENSMUSP00000147230.1 pep:known chromosome:GRCm38:1:36710149:36733881:1 gene:ENSMUSG00000102416.4 transcript:ENSMUST00000192873.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933424G06Rik description:RIKEN cDNA 4933424G06 gene [Source:MGI Symbol;Acc:MGI:1918416] MLELQACTTIPSNSFGFNKIQTLGVENNFSSSSKHLKEDMDRLFDEIVLQVYPSNLDDAQ TAGRLITGRDVNETYLHHNGISDSEFESSSHKQDEYLTKIFDEILWQVLSKDS >ENSMUSP00000146900.1 pep:known chromosome:GRCm38:1:36714538:36757953:1 gene:ENSMUSG00000102416.4 transcript:ENSMUST00000208994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933424G06Rik description:RIKEN cDNA 4933424G06 gene [Source:MGI Symbol;Acc:MGI:1918416] MSDTVFFSFVIFLGSHWGTEPLSIPVIEGVENNFSSSSKHLKEDMDRLFDEIVLQVYPSN LDDAQTAGRLITGRDVNETYLHHNGISDSEFESSSHKQDEYLTKIFDEILWQVLSKDSKY SSKEDARTTAEPLTWEDANETHSAENKEPSLFNRDVSPQLTAEDNETLQKSTRGASRESL PCGQLLSFLQKNIISATVAMAAVLLVTVLVVFTLVTYKRRRQTKYPPANMTYNIFIMNGK SLWQNSQDKDLKKFMGKPKHLKYNSFV >ENSMUSP00000039420.6 pep:known chromosome:GRCm38:1:54396004:54438971:-1 gene:ENSMUSG00000041303.7 transcript:ENSMUST00000041638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c3 description:general transcription factor IIIC, polypeptide 3 [Source:MGI Symbol;Acc:MGI:2138383] MSGFSPELIDYLEGKISFEEFERRREERKTREKKSVQEKGKSSPKENPDDSEVPSSSGID SAKSQDKDANEGETSDGVSKSVHKVFASMLGETEDDEEEEEEEEEEETAEQPTAGDVFVL EMVLNRETKKMMKEKRPRSKLPRALRGLMGEANIRFARGEHEEAILMCMEIIRQAPLAYE PFSTLAMIYEDQGDMEKSLQFELIAAHLNPSDTEEWVRLAEMSLEQDNIKQAIFCYTKAL KYEPTNVRYLWERSSLYEQMGDHKMAMDGYRRILNLLSPSDGERFMQLARDMAKSYYEAN DSASAINIIEEAFSKHQGLVSMEDVNIAAELYISNKQYDKALEVITDFSGIILEKETLEE GTSEENKAAETVTCSIPDSVPIDITVKLMVCLVHLNILEPLNPLLTTLVEQNPEDMGDLY LDVAEAFLDVGEYNSALPLLSALVCSERYNLAVVWLRHAECLKALGYMERAAESYSKVVD LAPLHLDARISLSILQQQLGRPEKALEALEPMYDPDTLAQDANAAQQELKLLLHRSTLLF SQGKMYGYLDTLLTMLAMLLKVAMNRAQVCLISSSKSGERHLYLIKVSRDKISDNNEQET SNYDAKAIFAVLTSVLPKEDWWNLLLKAIYTLSDLARFQEAELLVDSSLEYYSFYDDRQK RKELEYFGLSAAILDKNFRKAYDYIRVMVMENVNKPQLWNIFNQVTMHSQDVRHHRFCLR LMLKNPDNHALCVLNGHNAFVSGSFKHALGQYVQAFRAYPSEPLYNLCIGLTFIHMASQK YVLKRHALTVQGFSFLNRYLSIRGPCQESFYNLGRGLHQLGLTHLAIHYYQKALALPPLV VEGIEVDQLDLRRDIAYNMSLIYQSSGNTAMAQKLLYTYCVI >ENSMUSP00000059864.8 pep:known chromosome:GRCm38:10:79540245:79555091:-1 gene:ENSMUSG00000042570.14 transcript:ENSMUST00000062855.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier2 description:mesoderm induction early response 1, family member 2 [Source:MGI Symbol;Acc:MGI:1917677] MAEASSLERQSPRVASCLVHSLCPREPSLQTTAVVSMGSADHQFNLAELLTQNYNLQEGC AEAPQCPDKPEEELDKDFIDQSSDMPLDELLALYGYESSDPISEQESEGGDTAPALPDMT LDKIAKDLLSGEEEEETQSSADDLTPSVTSHEASDLFHNQSGSRFLAGDNGPGSSASSDT EEDALPANKCKKEIMVGPQFQADLNILHLNRHCDKIYENEDQLLWSPSVLPEREVEEFLY RAVKRRWQEMAGPQIPEGEVVKDSEQALYELVKCNFNVEEALRRLRFNVKVIRDGLCAWS EEECRNFEHGFRVHGKNFHLIQANKVRTRSVGECVEYYYLWKKSERYDYFAQQTRLGRRK FVSSGTTDTEQDLDGLDPDGHARLHSEAGVPVEPLNVDIEAGGLDQPGVGSDDLPSSEPG PRPFQQLDEPPAVPSLQQPTSLAASAELPPAAAAAPEPGTSPRLPVDLALPEELPLVSSP VALSEDTAEPMAPAQVALSVTEFGLIGIGDVNPFLTGHPACPTSTLHSEPLSQCNVMTC >ENSMUSP00000127387.1 pep:known chromosome:GRCm38:10:79540245:79555199:-1 gene:ENSMUSG00000042570.14 transcript:ENSMUST00000165028.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier2 description:mesoderm induction early response 1, family member 2 [Source:MGI Symbol;Acc:MGI:1917677] MAEASSLERQSPRVASCLVHSLCPREPSLQTTAVVSMGSADHQFNLAELLTQNYNLQEGC AEAPQCPDKPEEELDKDFIDQSSDMPLDELLALYGYESSDPISEQESEGGDTAPALPDMT LDKEQIAKDLLSGEEEEETQSSADDLTPSVTSHEASDLFHNQSGSRFLAGDNGPGSSASS DTEEDALPANKCKKEIMVGPQFQADLNILHLNRHCDKIYENEDQLLWSPSVLPEREVEEF LYRAVKRRWQEMAGPQIPEGEVVKDSEQALYELVKCNFNVEEALRRLRFNVKVIRDGLCA WSEEECRNFEHGFRVHGKNFHLIQANKVRTRSVGECVEYYYLWKKSERYDYFAQQTRLGR RKFVSSGTTDTEQDLDGLDPDGHARLHSEAGVPVEPLNVDIEAGGLDQPGVGSDDLPSSE PGPRPFQQLDEPPAVPSLQQPTSLAASAELPPAAAAAPEPGTSPRLPVDLALPEELPLVS SPVALSEDTAEPMAPAQVALSVTEFGLIGIGDVNPFLTGHPACPTSTLHSEPLSQCNVMT C >ENSMUSP00000126131.1 pep:known chromosome:GRCm38:10:79548802:79555114:-1 gene:ENSMUSG00000042570.14 transcript:ENSMUST00000167183.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mier2 description:mesoderm induction early response 1, family member 2 [Source:MGI Symbol;Acc:MGI:1917677] MAEASSLERQSPRVASCLVHSLCPREPSLQTTAGLMSF >ENSMUSP00000127532.1 pep:known chromosome:GRCm38:10:79548874:79554884:-1 gene:ENSMUSG00000042570.14 transcript:ENSMUST00000164895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier2 description:mesoderm induction early response 1, family member 2 [Source:MGI Symbol;Acc:MGI:1917677] MGSADHQFNLAELLTQNYNLQEGCAEAPQCPDKPEEELDKDFIDQSSDMPLDELLALYGY ESSDPISEQESEGGDTAPALPDMTLDKIAKDLLSGEE >ENSMUSP00000133165.1 pep:known chromosome:GRCm38:10:79548881:79555121:-1 gene:ENSMUSG00000042570.14 transcript:ENSMUST00000165778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier2 description:mesoderm induction early response 1, family member 2 [Source:MGI Symbol;Acc:MGI:1917677] MGSADHQFNLAELLTQNYNLQEGCAEAPQCPDKPEEELDKDFIDQSSDMPLDELLALYGY ESSDPISEQESEGGDTAPALPDMTLDKEQIAKDLLSG >ENSMUSP00000127332.1 pep:known chromosome:GRCm38:10:79548906:79550809:-1 gene:ENSMUSG00000042570.14 transcript:ENSMUST00000165866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier2 description:mesoderm induction early response 1, family member 2 [Source:MGI Symbol;Acc:MGI:1917677] MLCLFWRFLLRGLQVYPSTSVSVTQPRVFKVPPPWSCDWTVLRLLPLASSRLPSGSGSHP SLGSCVRFASLPLQASSLERQSPRVASCLVHSLCPREPSLQTTAVVSMGSADHQFNLAEL LTQNYNLQEGCAEAPQCPDKPEEELDKDFIDQSSDMPLDELLALYGYESSDPISEQESEG GDTAPALPDMTLDKE >ENSMUSP00000130460.1 pep:known chromosome:GRCm38:10:79549558:79555077:-1 gene:ENSMUSG00000042570.14 transcript:ENSMUST00000170018.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier2 description:mesoderm induction early response 1, family member 2 [Source:MGI Symbol;Acc:MGI:1917677] MSPVGARRPPFPISAMASSLERQSPRVASCLVHSLCPREPSLQTTAVVSMGSADHQFNLA ELLTQNYNLQEGCAEAPQCPDKPEEEL >ENSMUSP00000133238.1 pep:known chromosome:GRCm38:10:79549636:79554605:-1 gene:ENSMUSG00000042570.14 transcript:ENSMUST00000167689.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier2 description:mesoderm induction early response 1, family member 2 [Source:MGI Symbol;Acc:MGI:1917677] MGSADHQFNLAE >ENSMUSP00000129732.1 pep:known chromosome:GRCm38:10:79540246:79541960:-1 gene:ENSMUSG00000042570.14 transcript:ENSMUST00000172158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier2 description:mesoderm induction early response 1, family member 2 [Source:MGI Symbol;Acc:MGI:1917677] MQACVMPSLLQNMVGFSEVARATQTDPRPQRPAPPQPQAATMPWSQPGSWARRGFVPAWS QPPALSQQKLARRCCLGLGQDSSRTSSLCPGTLHRPALSPPQRGCGLQPLLSVGLWGTEP YTSSCGRPFSALGCLHFRKSRTLYPNCLLPALPTLCRGGASASQARRADGLLPSCPSPWG VLGHSSGSTTQPPTCPVGLVSLEAPSRVAGPIQSSASLILFMLVFTIWSGVPGQAAPPGV GRAVLFEPVRLDSTVPRPTEDTVM >ENSMUSP00000133698.1 pep:known chromosome:GRCm38:17:38261068:38275711:1 gene:ENSMUSG00000057443.12 transcript:ENSMUST00000172843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr138 description:olfactory receptor 138 [Source:MGI Symbol;Acc:MGI:2177521] MAVTNESHPKEFILLGFANHPWLELPLFVTLLITYPMALMGNIAIILVSTLDPRLYSPMY FFLKNLSFLDMCYTTSIVPQMLFNLGSSRKTITYIGCVVQLYVFHIMGGTECLLLAIMSF DRYVAICKPLHYTLIMNQRVCILSVSIMWLTGVIFGFSEATLTLQLPLCGTNKLDHLLCE IPVLIKTACGEKEFNELALSVVCIFILIVPLCLILASYVNIGCAVLRIKSSEGRRKAFGT CSSHLIVVSLFYGPGISMYLQPSSSITRDQPKFMALFYAVITPTLNPFIYTLRNKDVKGA FKKLLRSIFSSK >ENSMUSP00000133828.1 pep:known chromosome:GRCm38:17:38261078:38275141:1 gene:ENSMUSG00000057443.12 transcript:ENSMUST00000173841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr138 description:olfactory receptor 138 [Source:MGI Symbol;Acc:MGI:2177521] MAVTNESHPKEFILLGFANHPWLELPLFVTLLITYPMALMGNIAIILVSTLDPRLYSPMY FFLKNLSFLDMCYTTSIVPQMLFNLGSSRKTITYIGCVVQLYVFHIMGGTECLLLAIMSF DRY >ENSMUSP00000071767.4 pep:known chromosome:GRCm38:17:38274773:38275711:1 gene:ENSMUSG00000057443.12 transcript:ENSMUST00000071871.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr138 description:olfactory receptor 138 [Source:MGI Symbol;Acc:MGI:2177521] MAVTNESHPKEFILLGFANHPWLELPLFVTLLITYPMALMGNIAIILVSTLDPRLYSPMY FFLKNLSFLDMCYTTSIVPQMLFNLGSSRKTITYIGCVVQLYVFHIMGGTECLLLAIMSF DRYVAICKPLHYTLIMNQRVCILSVSIMWLTGVIFGFSEATLTLQLPLCGTNKLDHLLCE IPVLIKTACGEKEFNELALSVVCIFILIVPLCLILASYVNIGCAVLRIKSSEGRRKAFGT CSSHLIVVSLFYGPGISMYLQPSSSITRDQPKFMALFYAVITPTLNPFIYTLRNKDVKGA FKKLLRSIFSSK >ENSMUSP00000034230.5 pep:known chromosome:GRCm38:8:94772009:94782423:1 gene:ENSMUSG00000031778.12 transcript:ENSMUST00000034230.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cx3cl1 description:chemokine (C-X3-C motif) ligand 1 [Source:MGI Symbol;Acc:MGI:1097153] MAPSPLAWLLRLAAFFHLCTLLPGQHLGMTKCEIMCDKMTSRIPVALLIRYQLNQESCGK RAIVLETTQHRRFCADPKEKWVQDAMKHLDHQAAALTKNGGKFEKRVDNVTPGITLATRG LSPSALTKPESATLEDLALELTTISQEARGTMGTSQEPPAAVTGSSLSTSEAQDAGLTAK PQSIGSFEAADISTTVWPSPAVYQSGSSSWAEEKATESPSTTAPSPQVSTTSPSTPEENV GSEGQPPWVQGQDLSPEKSLGSEEINPVHTDNFQERGPGNTVHPSVAPISSEETPSPELV ASGSQAPKIEEPIHATADPQKLSVLITPVPDTQAATRRQAVGLLAFLGLLFCLGVAMFAY QSLQGCPRKMAGEMVEGLRYVPRSCGSNSYVLVPV >ENSMUSP00000117632.1 pep:known chromosome:GRCm38:8:94772204:94779638:1 gene:ENSMUSG00000031778.12 transcript:ENSMUST00000135970.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cx3cl1 description:chemokine (C-X3-C motif) ligand 1 [Source:MGI Symbol;Acc:MGI:1097153] MAPSPLAWLLRLAAFFHLCTLLPGVVLSTNSCTPLYP >ENSMUSP00000123538.1 pep:known chromosome:GRCm38:8:94772225:94780022:1 gene:ENSMUSG00000031778.12 transcript:ENSMUST00000150307.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cx3cl1 description:chemokine (C-X3-C motif) ligand 1 [Source:MGI Symbol;Acc:MGI:1097153] MAPSPLAWLLRLAAFFHLCTLLPAFLLNSLSQTHSAEHRGICSADTHTLPREVSTSA >ENSMUSP00000051537.5 pep:known chromosome:GRCm38:17:38304521:38305460:-1 gene:ENSMUSG00000054940.5 transcript:ENSMUST00000057394.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr137 description:olfactory receptor 137 [Source:MGI Symbol;Acc:MGI:2177520] MVENFNASWEGYFIFLGFSKWPHLEVVLFVVILIFYMMTLMGNLFIIILSHLDSHLHTPM YFFLSNLSALDLCYTTSSVPQLLFNLWGPKKTISYAGCMLQLYFVLALGTTECVLLVVMS YDRYVAVCKPLHYSVLMNPRFCQLLAAASWVCGFTTSALHSSFTFWVPLCGHRKVDHFFC EVPALLQLSCVDIHANEMTLMVMSAIFVVIPLILILSSYAAIAWTVLEMQSTTRLQKVFG TCGAHLTVVSLFFIPIMCIYLQPSTKSSQDHAKFIALFYTVVTPSLNPLIYTLRNKDVRG AIRRLSRYEREK >ENSMUSP00000044765.5 pep:known chromosome:GRCm38:3:151758737:151762892:-1 gene:ENSMUSG00000039146.5 transcript:ENSMUST00000046739.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi44l description:interferon-induced protein 44 like [Source:MGI Symbol;Acc:MGI:95975] XQFNPCEPMKPKHSPHAASPPLKDRIHCVAFVLDINSVNTLSDKMVAKLKKIRKDVVDCG IGYVALLTNVEEYDEVLDDSFANMTETVTSLSQVQNVQKWLNIPIANILMVSNYASERRL EPMKDILVFAALRQMLRAADDALEDLPLEDTGNLAPF >ENSMUSP00000020703.6 pep:known chromosome:GRCm38:11:42306437:42321049:-1 gene:ENSMUSG00000020428.13 transcript:ENSMUST00000020703.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra6 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 6 [Source:MGI Symbol;Acc:MGI:95618] MVLLLPWLFIILWLENAQAQLEDEGNFYSENVSRILDNLLEGYDNRLRPGFGGAVTEVKT DIYVTSFGPVSDVEMTWTDERLKFKGPAEILSLNNLMVSKIWTPDTFFRNGKKSIAHNMT TPNKLFRLMQNGTILYTMRLTINADCPMRLVNFPMDGHACPLKFGSYAYPKTEIIYTWKK GPLYSVEVPEESSSLLQYDLIGQTVSSETIKSNTGEYVIMTVYFHLQRKMGYFMIQIYTP CIMTVILSQVSFWINKESVPARTVFGITTVLTMTTLSISARHSLPKVSYATAMDWFIAVC FAFVFSALIEFAAVNYFTNLQSQKAERQAQTAATPPVAKSKASESLQAEIVVHSDSKYHL KKRISSLTLPIVPSSEASKALSRTPILKSTPVSPPLLLPATGGTSKIDQYSRILFPVAFA GFNLVYWIVYLSKDTMEVSSTVE >ENSMUSP00000126114.1 pep:known chromosome:GRCm38:11:42306438:42321051:-1 gene:ENSMUSG00000020428.13 transcript:ENSMUST00000155218.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra6 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 6 [Source:MGI Symbol;Acc:MGI:95618] MVLLLPWLFIILWLENAQAQLEDEGNFYSENVSRILDNLLEGYDNRLRPGFGGAVTEVKT DIYVTSFGPVSDVEMEYTMDVFFRQTWTDERLKFKGPAEILSLNNLMVSKIWTPDTFFRN GKKSIAHNMTTPNKLFRLMQNGTILYTMRLTINADCPMRLVNFPMDGHACPLKFGSYAYP KTEIIYTWKKGPLYSVEVPEESSSLLQYDLIGQTVSSETIKSNTGEYVIMTVYFHLQRKM GYFMIQIYTPCIMTVILSQVSFWINKESVPARTVFGITTVLTMTTLSISARHSLPKVSYA TAMDWFIAVCFAFVFSALIEFAAVNYFTNLQSQKAERQAQTAATPPVAKSKASESLQAEI VVHSDSKYHLKKRISSLTLPIVPSSEASKALSRTPILKSTPVSPPLLLPATGGTSKIDQY SRILFPVAFAGFNLVYWIVYLSKDTMEVSSTVE >ENSMUSP00000104909.3 pep:known chromosome:GRCm38:11:42307260:42321072:-1 gene:ENSMUSG00000020428.13 transcript:ENSMUST00000109286.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra6 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 6 [Source:MGI Symbol;Acc:MGI:95618] MRNMKDLEDFSRLENAQAQLEDEGNFYSENVSRILDNLLEGYDNRLRPGFGGAVTEVKTD IYVTSFGPVSDVEMEYTMDVFFRQTWTDERLKFKGPAEILSLNNLMVSKIWTPDTFFRNG KKSIAHNMTTPNKLFRLMQNGTILYTMRLTINADCPMRLVNFPMDGHACPLKFGSYAYPK TEIIYTWKKGPLYSVEVPEESSSLLQYDLIGQTVSSETIKSNTGEYVIMTVYFHLQRKMG YFMIQIYTPCIMTVILSQVSFWINKESVPARTVFGITTVLTMTTLSISARHSLPKVSYAT AMDWFIAVCFAFVFSALIEFAAVNYFTNLQSQKAERQAQTAATPPVAKSKASESLQAEIV VHSDSKYHLKKRISSLTLPIVPSSEASKALSRTPILKSTPVSPPLLLPATGGTSKIDQYS RILFPVAFAGFNLVYWIVYLSKDTMEVSSTVE >ENSMUSP00000139930.1 pep:known chromosome:GRCm38:9:86571991:86574733:1 gene:ENSMUSG00000032417.10 transcript:ENSMUST00000185566.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd2a description:RWD domain containing 2A [Source:MGI Symbol;Acc:MGI:1916769] MSGSVKESLQLQLLEMEMLFSMFPNQGEVKLEDVNALTNIKRYLEGTREALPPNIEFVIT LQIEEPKVTIDLQVTMPHNYPYVALQLFGRSPELDRQQQLLLNQALSAYLGTFDPGELCV CAAIQWLQDNSASYFLTRKLSDEPSVQAKPVKNTFLRMWIYSHHIYQQDLRKKILEVGKR LDVTGFCMTGKPGIICVEGFKNHCEEFWHTIRYPNWKHISCKHAESVETEGDGEDLRLFH SFEELLLEAHGDYGLRNDYHMNLGQFLEFLRKHKSEHVFQILFGIESKSSES >ENSMUSP00000034988.3 pep:known chromosome:GRCm38:9:86572016:86574899:1 gene:ENSMUSG00000032417.10 transcript:ENSMUST00000034988.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd2a description:RWD domain containing 2A [Source:MGI Symbol;Acc:MGI:1916769] MSGSVKESLQLQLLEMEMLFSMFPNQGEVKLEDVNALTNIKRYLEGTREALPPNIEFVIT LQIEEPKVTIDLQVTMPHNYPYVALQLFGRSPELDRQQQLLLNQALSAYLGTFDPGELCV CAAIQWLQDNSASYFLTRKLSDEPSVQAKPVKNTFLRMWIYSHHIYQQDLRKKILEVGKR LDVTGFCMTGKPGIICVEGFKNHCEEFWHTIRYPNWKHISCKHAESVETEGDGEDLRLFH SFEELLLEAHGDYGLRNDYHMNLGQFLEFLRKHKSEHVFQILFGIESKSSES >ENSMUSP00000137392.1 pep:known chromosome:GRCm38:9:86572053:86574840:1 gene:ENSMUSG00000032417.10 transcript:ENSMUST00000179212.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd2a description:RWD domain containing 2A [Source:MGI Symbol;Acc:MGI:1916769] MSGSVKESLQLQLLEMEMLFSMFPNQGEVKLEDVNALTNIKRYLEGTREALPPNIEFVIT LQIEEPKVTIDLQVTMPHNYPYVALQLFGRSPELDRQQQLLLNQALSAYLGTFDPGELCV CAAIQWLQDNSASYFLTRKLSDEPSVQAKPVKNTFLRMWIYSHHIYQQDLRKKILEVGKR LDVTGFCMTGKPGIICVEGFKNHCEEFWHTIRYPNWKHISCKHAESVETEGDGEDLRLFH SFEELLLEAHGDYGLRNDYHMNLGQFLEFLRKHKSEHVFQILFGIESKSSES >ENSMUSP00000142213.1 pep:known chromosome:GRCm38:1:183388985:183406638:1 gene:ENSMUSG00000072258.10 transcript:ENSMUST00000192076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf1a description:TATA box binding protein (Tbp)-associated factor, RNA polymerase I, A [Source:MGI Symbol;Acc:MGI:109578] MMSDFGEELTKLAVAEDNPETSVLSKTGMHFPWLHKHVEAVVTGGKKRKDFAQTTSACLS FIQEALLKHQWQQAAEYMHSYLQTLEDSDTDKRQAAPEIIWKLGSEILFYHPKSNVETFN SFADRMKNIGVLNYLKWVFLLVQISLQHALYLLHHGMLDDANRNLSKAETWRYGEKSSSQ EVLINLVQAYKGLLQYYTWTRKKMELSKLDEDDYAYAAKTRTMLSQSCKTSTNICALVKT PGVWDPFVKSYVEMLEFYGDQDGAREMLTNYAYDEKFPSNPNAHVYLYEFLKREKAPRAK LISVLKILHEIVPSHTLMLEFHTLLRKSDTEEHQKLGLSVLFEVLDFAGCNKNITAWKYL >ENSMUSP00000141334.1 pep:known chromosome:GRCm38:1:183408609:183410198:1 gene:ENSMUSG00000072258.10 transcript:ENSMUST00000195798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf1a description:TATA box binding protein (Tbp)-associated factor, RNA polymerase I, A [Source:MGI Symbol;Acc:MGI:109578] XSHHEWVEEEWKSRRNWWPAFHFSFFWAKSDWKADTDLACEKAFVAGVLLGKGCKYFRYI LKQDHETLKKKIKRMKKSVKKYTIVNPGVHT >ENSMUSP00000094808.5 pep:known chromosome:GRCm38:1:183388981:183409353:1 gene:ENSMUSG00000072258.10 transcript:ENSMUST00000097043.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf1a description:TATA box binding protein (Tbp)-associated factor, RNA polymerase I, A [Source:MGI Symbol;Acc:MGI:109578] MMSDFGEELTKLAVAEDNPETSVLSKTGMHFPWLHKHVEAVVTGGKKRKDFAQTTSACLS FIQEALLKHQWQQAAEYMHSYLQTLEDSDTDKRQAAPEIIWKLGSEILFYHPKSNVETFN SFADRMKNIGVLNYLKISLQHALYLLHHGMLDDANRNLSKAETWRYGEKSSSQEVLINLV QAYKGLLQYYTWTRKKMELSKLDEDDYAYAAKTRTMLSQSCKTSTNICALVKTPGVWDPF VKSYVEMLEFYGDQDGAREMLTNYAYDEKFPSNPNAHVYLYEFLKREKAPRAKLISVLKI LHEIVPSHTLMLEFHTLLRKSDTEEHQKLGLSVLFEVLDFAGCNKNITAWKYLSRIVRKV RLRSHHEWVEEEWKSRRNWWPAFHFSFFWAKSDWKADTDLACEKAFVAGVLLGKGCKYFR YILKQDHETLKKKIKRMKKSVKKYTIVNPGVHT >ENSMUSP00000143133.1 pep:known chromosome:GRCm38:3:152236982:152265767:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000199470.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] MNDVSQKAEILLSSCKPVPKSYVPKLGKGDVKDKFEAMQRAREERNQRRSRDEKQRRKEQ YIREREWNRRKQEIKEMLASDDEEESSPKIEKAYVPKLTGTVKGKFDEMEKHRQEEQRKR TEEERKRRIEQDLLEKRKIQRELAKRAEQVNEEDENQDRETVFKEYRPGKLKLSFEEIER QRREDEKRKAEEEARRRIEEEKAAFAEARRSMVLDDDSPEIYKTVSQESLTPGKLEINFE QLLRQKMEEERRRTEEERRHKLEMEKQEFEQLRQEMGKEEEENESFGLSREYEELIKLKR SGSIQAKNLKSKFEKIGQLSEKEVQKKIEEERAKRRAIDLEIKEREAENFHEDDDVDVRP AKKSESPFTHKVNMKARFEQMAKAREEEEQRRIEEQKLLRMQFEQKEIDAALQKKREDEE EEEGSIVNGSTTEDEEQTRSGAPWFKKPLRNTSVVDSEPVRFTVKVTGEPKPEITWWFEG EILQDGEDYQYIERGETYCLYLPETFPEDGGEYMCKAVNNKGSAASTCILTIESKN >ENSMUSP00000037120.8 pep:known chromosome:GRCm38:3:152236982:152266318:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000046045.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] MNDVSQKAEIKEMLASDDEEESSPKIEKAYVPKLTGTVKGKFDEMEKHRQEEQRKRTEEE RKRRIEQDLLEKRKIQRELAKRAEQIEDINNTGTESASEEGDDSLLITVVPAKSYKTPGK TKDPEDLDREEGNGRTNHEEDKMRYEEECRVLKEAKCLSLVMDDETEAKKESHFPGKLKS TFEELERQRQENRKKQAEEEARRRLEEERRSFEEARRHMVNEEDENQDRETVFKEYRPGK LKLSFEEIERQRREDEKRKAEEEARRRIEEEKAAFAEARRSMVLDDDSPEIYKTVSQESL TPGKLEINFEQLLRQKMEEERRRTEEERRHKLEMEKQEFEQLRQEMGKEEEENESFGLSR EYEELIKLKRSGSIQAKNLKSKFEKIGQLSEKEVQKKIEEERAKRRAIDLEIKEREAENF HEDDDVDVRPAKKSESPFTHKVNMKARFEQMAKAREEEEQRRIEEQKLLRMQFEQKEIDA ALQKKREDEEEEEGSIVNGSTTEDEEQTRSGAPWFKKPLRNTSVVDSEPVRFTVKVTGEP KPEITWWFEGEILQDGEDYQYIERGETYCLYLPETFPEDGGEYMCKAVNNKGSAASTCIL TIEMDDY >ENSMUSP00000142936.1 pep:known chromosome:GRCm38:3:152237566:152255311:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000199423.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] MNDVSQKAEILLSSCKPVPKSYVPKLGKGDVKDKFEAMQRAREERNQRRSRDEKQRRKEQ YIREREWNRRKQEIKEMLASDDEEESSPKIEKAYVPKLTGTVKGKFDEMEKHRQEEQRKR TEEERKRRIEQDLLEKRKIQRELAKRAEQIEDINNTGTESASEEGDDSLLITVVPAKSYK TPGKTKDPEDLDREEGNGRTNHEEDKMRYEEECRVLKEAKCLSLVMDDETEAKKESHFPG KLKSTFEELERQRQENRKKQAEEEARRRLEEERRSFEEARRHMVNEEDENQDRETVFKEY RPGKLKLSFEEIERQRREDEKRKAEEEARRRIEEEKAAFAEARRSMVLDDDSPEIYKTVS QESLTPGKLEINFEQLLRQKMEEERRRTEEERRHKLEMEKQEFEQLRQEMGKEEEENESF GLSREYEELIKLKRSGSIQAKNLKSKFEKIGQLSEKEVQKKIEEERAKRRAIDLEIKERE AENFHEDDDVDVRPAKKSESPFTHKVNMKARFEQMAKAREEEEQRRIEEQKLLRMQFEQK EIDAALQKKREDEEEEEGSIVNGSTTEDEEQTRSGAPWFKKPLRNTSVVDSEPVRFTVKV TGEPKPEITWWFEGEILQDGEDYQYIERGETYCLYLPETFPEDGGEYMCKAVNNKGSAAS TCILTIEMDDY >ENSMUSP00000143436.1 pep:known chromosome:GRCm38:3:152237614:152248264:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000196529.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] XRTNHEEDKMRYEEECRVLKEAKCLSLVMDDETEAKKESHFPGKLKSTFEELERQRQENR KKQAEEEARRRLEEERRSFEEARRHMVNEEDENQDRETVFKEYRPGKLKLSFEEIERQRR EDEKRKAEEEARRRIEEEKAAFAEARRSMVLDDDSPEIYKTVSQESLTPGKLEINFEQLL RQKMEEERRRTEEERRHKLEMEKQEFEQLRQEMGKEEEENESFGLSREYEELIKLKRSGS IQAKNLKSKFEKIGQLSEKEVQKKIEEERAKRRAIDLEIKEREAENFHEDDDVDVRPAKK SESPFTHKVNMKARFEQMAKAREEEEQRRIEEQKLLRMQFEQKEIDAALQKKREDEEEEE GSIVNGSTTEDEEQTRSGAPWFKKPLRNTSVVDSEPVRFTVKVTGEPKPEITWWFEGEIL QDGEDYQYIERGETYCLYLPETFPEDGGEYMCKAVNNKGSAASTCILTIESKN >ENSMUSP00000143573.1 pep:known chromosome:GRCm38:3:152237651:152255311:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000198460.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] MNDVSQKAEILLSSCKPVPKSYVPKLGKGDVKDKFEAMQRAREERNQRRSRDEKQRRKEQ YIREREWNRRKQEIKEMLASDDEEESSPKIEKAYVPKLTGTVKGKFDEMEKHRQEEQRKR TEEERKRRIEQDLLEKRKIQRELAKRAEQEGDDSLLITVVPAKSYKTPGKTKDPEDLDRE EGNGRTNHEEDKMRYEEECRVLKEAKCLSLVMDDETEAKKESHFPGKLKSTFEELERQRQ ENRKKQAEEEARRRLEEERRSFEEARRHMVLDDDSPEIYKTVSQESLTPGKLEINFEQLL RQKMEEERRRTEEERRHKLEMEKQEFEQLRQEMGKEEEENESFGLSREYEELIKLKRSGS IQAKNLKSKFEKIGQLSEKEVQKKIEEERAKRRAIDLEIKEREAENFHEDDDVDVRPAKK SESPFTHKVNMKARFEQMAKAREEEEQRRIEEQKLLRMQFEQKEIDAALQKKREDEEEEE GSIVNGSTTEDEEQTRSGAPWFKKPLRNTSVVDSEPVRFTVKVTGEPKPEITWWFEGEIL QDGEDYQYIERGETYCLYLPETFPEDGGEYMCKAVNNKGSAASTCILTIESKN >ENSMUSP00000142559.1 pep:known chromosome:GRCm38:3:152243956:152265768:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000200589.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] MNDVSQKAEILLSSCKPVPKSYVPKLGKGDVKDKFEAMQRAREERNQRRSRDEKQRRKEQ YIREREWNRRKQEIKEMLASDDEEESSPKIEKAYVPKLTGTVKGKFDEMEKHRQEEQRKR TEEERKRRIEQDLLEKRKIQRELAKRAEQEGDDSLLITVVPAKSYKTPGKTKDPEDLDRE EGNGRTNHEEDKMRYEEECRVLKEAKCLSLVMDDETEAKKESHFPGKLKSTFEELERQRQ ENRKKQAEEEARRRLEEERRSFEEARRHMVNEEDENQDRETVFKEYRPGKLKLSFEEIER QRREDEKRKAEEEARRRIEEEKAAFAEARRSMVLDDDSPEIYKTVSQESLTPGKL >ENSMUSP00000142574.1 pep:known chromosome:GRCm38:3:152244012:152255365:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000198750.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] MNDVSQKAEIKEMLASDDEEESSPKIEKAYVPKLTGTVKGKFDEMEKHRQEEQRKRTEEE RKRRIEQDLLEKRKIQRELAKRAEQEGDDSLLITVVPAKSYKTPGKTKDPEDLDREEGNG RTNHEEDKMRYEEECRVLKEAKCLSLVMDDETEAKKESHFPGKLKSTFEELERQRQENRK KQAEEEARRRLEEERRSFEEARRHMVNEEDENQDRETVFKEYRPGKLKLSFEEIERQRRE DEKRKAEEEARRRIEEEKAAFAEARRSMVLDD >ENSMUSP00000142573.1 pep:known chromosome:GRCm38:3:152248032:152265795:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000196815.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] MNDVSQKAEGQLKVNSMKWRNTDRKNKEREQKKKENAELSRIC >ENSMUSP00000143294.1 pep:known chromosome:GRCm38:3:152248063:152263506:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000198648.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] MNDVSQKAEIKEMLASDDEEESSPKIEKAYVPKLTGTVKGKFDEMEKHRQEEQRKRTEEE RKRRIEQDLLEKRKIQRELAKRAEQIEDINNTGTESASEEGDDSLLITVVPAKSYKTPGK TKDPEDLDREEGNGRTNHEEDKMRYEEECRVLKEAKCLSLVMDDETEAKKESHFPG >ENSMUSP00000143180.1 pep:known chromosome:GRCm38:3:152248081:152261535:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000196504.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] MNDVSQKAEIKEMLASDDEEESSPKIEKAYVPKLTGTVKGKFDEMEKHRQEEQRKRTEEE RKRRIEQDLLEKRKIQRELAKRAEQIEDINNTGTESASEEGDDSLLITVVPAKSYKTPGK TKDPEDLDREEGNGRTNHEEDKMRYEEECRVLKEAKCLSLVMDDETEAK >ENSMUSP00000142569.1 pep:known chromosome:GRCm38:3:152248183:152262530:-1 gene:ENSMUSG00000039103.12 transcript:ENSMUST00000199685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nexn description:nexilin [Source:MGI Symbol;Acc:MGI:1916060] MNDVSQKAEIKEMLASDDEEESSPKIEKAYVPKLTGTVKGKFDEMEKHRQEEQRKRTEEE RKRRIEQDLLEKRKIQRELAKRAEQIEDINNTGTESASEEGDDSLLITVVPAKSYKTPGK TKDPEDLDREEGNGRTNHEEDKMRYEEECRVLKEAKCLSLV >ENSMUSP00000092026.3 pep:known chromosome:GRCm38:2:151590549:151632549:-1 gene:ENSMUSG00000027457.15 transcript:ENSMUST00000094456.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snph description:syntaphilin [Source:MGI Symbol;Acc:MGI:2139270] MAMSLQGSRRASAGSRRRTSPPVSVRDAYGTSSLSSSSNSGSCKGSDSSPTPRRSMKYTL CSDNHGIKPPTPEQYLTPLQQKEVCIRHLKARLKDTQDRLQDRDTEIDDLKTQLSRMQED WIEEECHRVEAQLALKEARKEIRQLKQVIDTVKNNLIDKDKGLQKYFVDINIQNKKLETL LHSMEVAQNGVAKEEGTGESAGGSPARSLTRSSTYTKLSDPAVCGDRQPGDPSNTSAEDG ADSGYVAADDTLSRTDALEASSLLSSGVDCGLEEASLHSSFNLGPRFPASNTYEKLLCGM EAGVQVSCMQERAIQTDFVQYQPDLNTILEKVGQAQVCGSVLKDRHSELDPHPSGPRDPD SAVVVTVGDELEAPEPITCGPATHRPAVNSNPGLPVSVVCPVEEEEEEAAAATTTEKEPK SYWSRHYIVDLLAVVVPAVPTVAWLCRSQRRQGQPIYNISSLLRGCCTVALHSIRRISCR SLGQPSSSTAGGSQL >ENSMUSP00000028951.7 pep:known chromosome:GRCm38:2:151590549:151632573:-1 gene:ENSMUSG00000027457.15 transcript:ENSMUST00000028951.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snph description:syntaphilin [Source:MGI Symbol;Acc:MGI:2139270] MAMSLQGSRRASAGSRSGGTLGRSGLAVFAQCPQVPASQNEQRPLLPASRRTSPPVSVRD AYGTSSLSSSSNSGSCKGSDSSPTPRRSMKYTLCSDNHGIKPPTPEQYLTPLQQKEVCIR HLKARLKDTQDRLQDRDTEIDDLKTQLSRMQEDWIEEECHRVEAQLALKEARKEIRQLKQ VIDTVKNNLIDKDKGLQKYFVDINIQNKKLETLLHSMEVAQNGVAKEEGTGESAGGSPAR SLTRSSTYTKLSDPAVCGDRQPGDPSNTSAEDGADSGYVAADDTLSRTDALEASSLLSSG VDCGLEEASLHSSFNLGPRFPASNTYEKLLCGMEAGVQVSCMQERAIQTDFVQYQPDLNT ILEKVGQAQVCGSVLKDRHSELDPHPSGPRDPDSAVVVTVGDELEAPEPITCGPATHRPA VNSNPGLPVSVVCPVEEEEEEAAAATTTEKEPKSYWSRHYIVDLLAVVVPAVPTVAWLCR SQRRQGQPIYNISSLLRGCCTVALHSIRRISCRSLGQPSSSTAGGSQL >ENSMUSP00000105501.1 pep:known chromosome:GRCm38:2:151590564:151632567:-1 gene:ENSMUSG00000027457.15 transcript:ENSMUST00000109875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snph description:syntaphilin [Source:MGI Symbol;Acc:MGI:2139270] MAMSLQGSRRASAGSRSGGTLGRSGLAVFAQCPQVPASQNEQRPLLPASRRTSPPVSVRD AYGTSSLSSSSNSGSCKGSDSSPTPRRSMKYTLCSDNHGIKPPTPEQYLTPLQQKEVCIR HLKARLKDTQDRLQDRDTEIDDLKTQLSRMQEDWIEEECHRVEAQLALKEARKEIRQLKQ VIDTVKNNLIDKDKGLQKYFVDINIQNKKLETLLHSMEVAQNGVAKEEGTGESAGGSPAR SLTRSSTYTKLSDPAVCGDRQPGDPSNTSAEDGADSGYVAADDTLSRTDALEASSLLSSG VDCGLEEASLHSSFNLGPRFPASNTYEKLLCGMEAGVQVSCMQERAIQTDFVQYQPDLNT ILEKVGQAQVCGSVLKDRHSELDPHPSGPRDPDSAVVVTVGDELEAPEPITCGPATHRPA VNSNPGLPVSVVCPVEEEEEEAAAATTTEKEPKSYWSRHYIVDLLAVVVPAVPTVAWLCR SQRRQGQPIYNISSLLRGCCTVALHSIRRISCRSLGQPSSSTAGGSQL >ENSMUSP00000105503.3 pep:known chromosome:GRCm38:2:151591725:151632593:-1 gene:ENSMUSG00000027457.15 transcript:ENSMUST00000109877.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snph description:syntaphilin [Source:MGI Symbol;Acc:MGI:2139270] MAMSLQGSRRASAGSRRRSMKYTLCSDNHGIKPPTPEQYLTPLQQKEVCIRHLKARLKDT QDRLQDRDTEIDDLKTQLSRMQEDWIEEECHRVEAQLALKEARKEIRQLKQVIDTVKNNL IDKDKGLQKYFVDINIQNKKLETLLHSMEVAQNGVAKEEGTGESAGGSPARSLTRSSTYT KLSDPAVCGDRQPGDPSNTSAEDGADSGYVAADDTLSRTDALEASSLLSSGVDCGLEEAS LHSSFNLGPRFPASNTYEKLLCGMEAGVQVSCMQERAIQTDFVQYQPDLNTILEKVGQAQ VCGSVLKDRHSELDPHPSGPRDPDSAVVVTVGDELEAPEPITCGPATHRPAVNSNPGLPV SVVCPVEEEEEEAAAATTTEKEPKSYWSRHYIVDLLAVVVPAVPTVAWLCRSQRRQGQPI YNISSLLRGCCTVALHSIRRISCRSLGQPSSSTAGGSQL >ENSMUSP00000123255.1 pep:known chromosome:GRCm38:2:151597155:151632471:-1 gene:ENSMUSG00000027457.15 transcript:ENSMUST00000137936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snph description:syntaphilin [Source:MGI Symbol;Acc:MGI:2139270] MAMSLQGSRRASAGSRRRTSPPVSVRDAYGTSSLSSSSNSGSCKGSDSSPTPRRSMKYTL CSDNHGIKPPTPEQYLTPLQQKEVCIR >ENSMUSP00000138114.1 pep:known chromosome:GRCm38:2:151600979:151632540:-1 gene:ENSMUSG00000027457.15 transcript:ENSMUST00000146172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snph description:syntaphilin [Source:MGI Symbol;Acc:MGI:2139270] MPGSGPSERMTWPGPALPTPPTTRPLSSAPGTPPIPPLTRTRSLMAMSLQGSRRASAGSR >ENSMUSP00000138249.1 pep:known chromosome:GRCm38:2:151600996:151632561:-1 gene:ENSMUSG00000027457.15 transcript:ENSMUST00000148755.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snph description:syntaphilin [Source:MGI Symbol;Acc:MGI:2139270] MAMSLQGSRRA >ENSMUSP00000132657.1 pep:known chromosome:GRCm38:9:35741049:35742252:1 gene:ENSMUSG00000091174.1 transcript:ENSMUST00000166746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17677 description:predicted gene, 17677 [Source:MGI Symbol;Acc:MGI:4937311] MDWLLFLLLPGVLILYKSHSMGEPTFCTSCDEYIDKTCTRNLGVCHARYPDFACQTKEVY IQLNTGEYLYKYSVLGCPRRCVEYVRFIRFEKNIFSCCNESYCNSFSSKDTQFKER >ENSMUSP00000005952.4 pep:known chromosome:GRCm38:2:122681233:122702639:-1 gene:ENSMUSG00000005802.12 transcript:ENSMUST00000005952.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a4 description:solute carrier family 30 (zinc transporter), member 4 [Source:MGI Symbol;Acc:MGI:1345282] MAGPGAWKRLKSLLRKDDTPLFLNDTSAFDFSDEVSDEGLSRFNKLRVVVADDDSEAPER PVNGAHPALQADDDSLLDQDLPLTNSQLSLKMDPCDNCSKRRELLKQRKVKTRLTIAAVL YLLFMIGELVGGYMANSLAIMTDALHMLTDLSAIILTLLALWLSSKSPTRRFTFGFHRLE VLSAMISVMLVYVLMGFLLYEAVQRTIHMNYEINGDVMLITAAVGVAVNVIMGFLLNQSG HHHSHAHSHSLPSNSPSMVSSGHNHGQDSLAVRAAFVHALGDLVQSVGVLIAAYIIRFKP EYKIADPICTYIFSLLVAFTTFRIIWDTVVIILEGVPSHLNVDYIKESLMKIEDVYSVED LNIWSLTSGKSTAIVHMQLIPGSSSKWEEVQSKAKHLLLNTFGMYKCTIQLQSYRQEVIR TCANCHSSST >ENSMUSP00000097056.3 pep:known chromosome:GRCm38:2:122683398:122702663:-1 gene:ENSMUSG00000005802.12 transcript:ENSMUST00000099457.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a4 description:solute carrier family 30 (zinc transporter), member 4 [Source:MGI Symbol;Acc:MGI:1345282] MAGPGAWKRLKSLLRKDDTPLFLNDTSAFDFSDEVSDEGLSRFNKLRVVVADDDSEAPER PVNGAHPALQADDDSLLDQDLPLTNSQLSLKMDPCDNCSKRRELLKQRKVKTRLTIAAVL YLLFMIGELVEVLSAMISVMLVYVLMGFLLYEAVQRTIHMNYEINGDVMLITAAVGVAVN VIMGFLLNQSGHHHSHAHSHSLPSNSPSMVSSGHNHGQDSLAVRAAFVHALGDLVQSVGV LIAAYIIRFKPEYKIADPICTYIFSLLVAFTTFRIIWDTVVIILEGVPSHLNVDYIKESL MKIEDVYSVEDLNIWSLTSGKSTAIVHMQLIPGSSSKWEEVQSKAKHLLLNTFGMYKCTI QLQSYRQEVIRTCANCHSSST >ENSMUSP00000036555.9 pep:known chromosome:GRCm38:14:55625400:55635684:-1 gene:ENSMUSG00000002319.15 transcript:ENSMUST00000047131.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipo4 description:importin 4 [Source:MGI Symbol;Acc:MGI:1923001] MEPAGLEQILKELLLPDTERIRRATEQLQTILRDPAALPALFDLLATATDSQIRQFAAVL TRRRLNNRWRRLAPEQRESLKSLVLTALQKETVHSVSVSLAQLSATIFRKEGLQGWPQFM NLLQHSTHSSHSPEKEVGLLLLSVVVSSQPEAFHAHQHELLQLLNETLSDVSFPGVLFYS LRTLTAIARYVRPDDVSLARMLVPKVVTALRTLIPLDEVKACEALEALDEMLETELPIIN PHLSEVLTFCLEVAKNVALGEPLRVRVLCCLTFLVKVKSKALLKNRLVPPLLHALFPLMA AEPPMGQLDPEDQDSDDDDLEIGLMGETPKHFAVQVVDMLALHLPPEKLCPHVMPMLEEA LRSEDPYQRKAGFLVLAVLSDGAGDHIRQRLLYPLLQIVCKGLDDPSQIVRNAALFALGQ FSENLQPHISSYSEEVMPLLLSYLKSVPMGNTHHLAKACYALENFVENLGPKVQPYLPEL MECMLQPLKNPSKARTKELAVSAIGAIATAAQDSLLPYFPTIMDLLREFLLTGHEDFHLV QIQSLETLGVLARALGESMKPLAEECCQLGLGLCIHIDDPDVRRCTYSLFAALSGLMGEG LGPYLPQITTLMLLSLRSTEGIVPQYDGISSFLLFDDDSEAEEEEELMDEDMEEEGDDSE ISGYSVENAFFDEKEDTCTALGEISMNTCVAFLPFMDATFDEVYKLLECPHMNVRKSAYE ALGQFCCALHKASQRSSSDPSSSPVLQTSLARVMPAYMQAVKVERERPVVMAVLESLTGV LRTCGSLALQPPGRLSELCNVLKAVLQKKTACQDAEEDDDEDDDQAEYDAMLLEHAGEAI PVLAATAGGHAFAPFFATFLPLLLCKTKQSCTVAEKSFAVGTLAESIQGLGTASAQFVSR LFPVLLNNAREADPEVRSNAIFGLGVLAEHGGCPAQDHFPKLLGLLLPLLARERHDRVRD NICGALARVLMASPVGKTEPQVLATLLRALPLKEDMEEWLTIGHLFSFLHQNNPEQVVDV ASELLRICSLILPDNRIPPDTKAALLLLLTFLAKQHTDSFHTALGSLPNDKAQELQAMMG LT >ENSMUSP00000117543.1 pep:known chromosome:GRCm38:14:55625634:55635631:-1 gene:ENSMUSG00000002319.15 transcript:ENSMUST00000148351.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipo4 description:importin 4 [Source:MGI Symbol;Acc:MGI:1923001] MEPAGLEQILKELLLPDTERIRRATEQLQTILRDPAALPALFDLLATATDSQIRQFAAVL TRRRLNNRWRRLAPEQRESLKSLVLTALQKETVWDFCC >ENSMUSP00000123692.1 pep:known chromosome:GRCm38:14:55625740:55635957:-1 gene:ENSMUSG00000002319.15 transcript:ENSMUST00000135221.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipo4 description:importin 4 [Source:MGI Symbol;Acc:MGI:1923001] MEPAGLEQILKELLLPDTERIRRATEQLQTILRDPAALPALFDLLATATDSQIRQFAAVL TRRRLNNRWRRLAPEQRESLKSLVLTALQKETVHSVSVSLAQLSATIFRKEGLQGWPQFM NLLQHSTHSSHSPEKEVGLLLLSVVVSSQPEAFHAHQHELLQLLNETLSDVSFPGVLFYS LRTLTAIARYVRPDDVSLARMLVPKVVTALRTLIPLDEVKACEALEALDEMLETELPIIN PHLSEVLTFCLEVAKNVALGEPLRVRVLCCLTFLVKVKSKALLKNRLVPPLLHALFPLMA AEPPMGQLDPEDQDSDDDDLEIGLMGETPKHFAVQVVDMLALHLPPEKLCPHVMPMLEEA LRSEDPYQRKAGFLVLAVLSDGAGDHIRQRLLYPLLQIVCKGLDDPSQIVRNAALFALGQ FSENLQPHISSYSEEVMPLLLSYLKSVPMGNTHHLAKACYALENFVENLGPKVQPYLPEL MECMLQPLKNPSKARTKELAVSAIGAIATAAQDSLLPYFPTIMDLLREFLLTGHEDFHLV QIQSLETLGVLARALGESMKPLAEECCQLGLGLCIHIDDPDVRRCTYSLFAALSGLMGEG LGPYLPQITTLMLLSLRSTEGIVPQYDGISSFLLFDDDSEAEEEEELMDEDMEEEGDDSE ISGYSVENAFFDEKEDTCTALGEISMNTCVAFLPFMDATFDEVYKLLECPHMNVRKSAYE ALGQFCCALHKASQRSSSDPSSSPVLQTSLARVMPAYMQAVKVERERPVVMAVLESLTGV LRTCGSLALQPPGRLSELCNVLKAVLQKKEQVGDLKLS >ENSMUSP00000101889.2 pep:known chromosome:GRCm38:7:127661456:127708785:-1 gene:ENSMUSG00000030814.17 transcript:ENSMUST00000106282.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bcl7c description:B cell CLL/lymphoma 7C [Source:MGI Symbol;Acc:MGI:1332237] MAGRTVRAETRSRAKDDIKKVMATIEKVRRWEKRWVTVGDTSLRIFKWVPVVDPQEEVHC KRVLSPALGGRPSPAALDHQLDPQK >ENSMUSP00000145743.1 pep:known chromosome:GRCm38:7:127666796:127708639:-1 gene:ENSMUSG00000030814.17 transcript:ENSMUST00000205977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl7c description:B cell CLL/lymphoma 7C [Source:MGI Symbol;Acc:MGI:1332237] MAGRTVRAETRSRAKDDIKKVMATIEKVRRWEKRWVTVGDTSLRIFKWVPVVDPQEEERR RAGGGAERSRGRERRGRGTSPRGGGPLILLDLNDENSNQSFHSEGSLQKGAEPSPGGTPQ PSRPGSPTGPPEVITEDTQPPQLGQERDPGGTPAGGTDEPPKLTKEEPVPELLEAEDSGV RLTRRALQEKGLKTEPLRRLLPRRGLRTNSRPTSTVPEPRAPGSGSKAQRAPRTIPQGKG R >ENSMUSP00000146168.1 pep:known chromosome:GRCm38:7:127666952:127708462:-1 gene:ENSMUSG00000030814.17 transcript:ENSMUST00000206200.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bcl7c description:B cell CLL/lymphoma 7C [Source:MGI Symbol;Acc:MGI:1332237] XATIEKVRRWRGGGQEAGQRDPVAGRDVVGAPVPEGEAPSSYWISMMRTATRVSILKVHC KRVLSPALGGRPSPAALDHQLDPQK >ENSMUSP00000145706.1 pep:known chromosome:GRCm38:7:127704978:127708726:-1 gene:ENSMUSG00000030814.17 transcript:ENSMUST00000207019.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl7c description:B cell CLL/lymphoma 7C [Source:MGI Symbol;Acc:MGI:1332237] MAGRTVRAETRSRAKDDIKKVMATIEKVRRWEKRWVTVGDTSLRIFKWVPVVDPQEEIQG GHLQAVLMNPQS >ENSMUSP00000057937.8 pep:known chromosome:GRCm38:7:127704978:127708933:-1 gene:ENSMUSG00000030814.17 transcript:ENSMUST00000061468.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl7c description:B cell CLL/lymphoma 7C [Source:MGI Symbol;Acc:MGI:1332237] MAGRTVRAETRSRAKDDIKKVMATIEKVRRWEKRWVTVGDTSLRIFKWVPVVDPQEEERR RAGGGAERSRGRERRGRGTSPRGGGPLILLDLNDENSNQSFHSEGSLQKGAEPSPGGTPQ PSRPGSPTGPPEVITEDTQPPQLGQERDPGGTPAGGTDEPPKLTKEEPVPELLEAEAPEA YPVFEPVPSVPEAAQGDTEDSEGAPPLKRICPNAPDP >ENSMUSP00000145788.1 pep:known chromosome:GRCm38:7:127704988:127707304:-1 gene:ENSMUSG00000030814.17 transcript:ENSMUST00000153277.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bcl7c description:B cell CLL/lymphoma 7C [Source:MGI Symbol;Acc:MGI:1332237] XSLQKGAEPSPGGTPQPSRPGSPTGPPEVITEDTQPPQLGQERDLFLCLLIVFFCYHLLS AIPILIMWDA >ENSMUSP00000079522.1 pep:known chromosome:GRCm38:2:85951065:85952039:1 gene:ENSMUSG00000057207.1 transcript:ENSMUST00000080698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1028 description:olfactory receptor 1028 [Source:MGI Symbol;Acc:MGI:3031368] MPLTNSTKITEFILLGLTDRPELQPLLFVLFLFVYIVTVLGNMGMVALIRLDSRLHKPMY FFLSNLAFVDLCYTSTATPQMLTNFLSEKKTISFIGCFIQCYLFIALLLTEFYMLAAMAY DRYVAICNPLRYSVKMSRRVCICLAMCPYIYGFSDGLFQAILTFSMTFCKSNVINHFYCA DPPLIKLSCSDTYKKEHAMLISASFNLSSSLTIILVSYAFIIAAILRIKSAEGRHKAFST CGSHMMAVTLFYGTLFCMYVRPPTDKTVEESKIIAVFYTFVSPLLNPLIYSLRNKDVKQA LKTILRQNVIRTALMRPPSNKLQQ >ENSMUSP00000058490.8 pep:known chromosome:GRCm38:2:74697727:74700208:1 gene:ENSMUSG00000043342.9 transcript:ENSMUST00000059272.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd9 description:homeobox D9 [Source:MGI Symbol;Acc:MGI:96210] MSSSGTLSNYYVDSLIGHEGDEVFAARFGPPGPGTQGRPAGVADGPAAATAEFASCSFAP KSSVFSASWSAVAAQPPAAATMSGLYHPYVSPPPLAAAEPGRYVRSWMEPLPGFPGGAGG GGGSGGGGGGGPGPVPSPGGPANGRHYGIKPETGAAPAPAAASTSSSSSTSSSSSSKRTE CSAARESQGSGGPEFPCNSFLRDKAAAATGNGPGVGIGTGPGAVGSSEPSACSDHPSPGC SLKEEEKQPPQPPQQQLDPNNPAANWIHARSTRKKRCPYTKYQTLELEKEFLFNMYLTRD RRYEVARILNLTERQVKIWFQNRRMKMKKMSKEKCPKGD >ENSMUSP00000006745.3 pep:known chromosome:GRCm38:8:21839926:21843482:1 gene:ENSMUSG00000006570.3 transcript:ENSMUST00000006745.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb2 description:defensin beta 2 [Source:MGI Symbol;Acc:MGI:1338754] MRTLCSLLLICCLLFSYTTPAVGSLKSIGYEAELDHCHTNGGYCVRAICPPSARRPGSCF PEKNPCCKYMK >ENSMUSP00000118663.1 pep:known chromosome:GRCm38:6:47552386:47595341:-1 gene:ENSMUSG00000029687.16 transcript:ENSMUST00000133043.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ezh2 description:enhancer of zeste 2 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:107940] MGQTGKKSEKGPVCWRKRVKSEYMRLRQLKRFRRADEVKTMFSSNRQKILERTETLNQEW KQRRIQPVHIMTSCSVTSDLDFPAQVIPLKTLNAVASVPIMYSWSPLQQNFMVEDETVLH NIPYMGDEVLDQDGTFIEELIKNYDGKVHGDRECGFINDEIFVELVNALGQYNDDDDDDD GDDPDEREEKQKDLEDNRDDKETCPPRKFPADKIFEAISSMFPDKGTAEELKE >ENSMUSP00000144780.1 pep:known chromosome:GRCm38:6:47545836:47595058:-1 gene:ENSMUSG00000029687.16 transcript:ENSMUST00000204798.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ezh2 description:enhancer of zeste 2 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:107940] MGQTGKKSEKGPVCWRKRVKSEYMRLRQLKRFRRADEVKTMFSSNRQKILERTETLNQEW KQRRIQPVHIMTSVSSLRGTREATHLLSLYDFP >ENSMUSP00000126481.1 pep:known chromosome:GRCm38:6:47545783:47554214:-1 gene:ENSMUSG00000029687.16 transcript:ENSMUST00000169889.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ezh2 description:enhancer of zeste 2 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:107940] GQYNDDDDDDDGDDPDEREEKQKDLEDNRDDKETCPPRKFPADKIFEAISSMFPDKGTAE ELKEKYKELTEQQLPGALPPECTPNIDGPNAKSVQREQSLHSFHTLFCRRCFKYDCFLHP FHATPNTYKRKNTETALDNKPCGPQCYQHLPLLPRN >ENSMUSP00000133195.1 pep:known chromosome:GRCm38:6:47540843:47551761:-1 gene:ENSMUSG00000029687.16 transcript:ENSMUST00000164006.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ezh2 description:enhancer of zeste 2 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:107940] XCFKYDCFLHPFHATPNTYKRKNTETALDNKPCGPQCYQHLEGAKEFAAALTAERIKTPP KRPGGRRRGRLPNNSSRPSTPTISVLESKDTDSDREAGTETGGENNDKEEEEKKDETSSS SGV >ENSMUSP00000128542.1 pep:known chromosome:GRCm38:6:47544006:47551761:-1 gene:ENSMUSG00000029687.16 transcript:ENSMUST00000167278.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ezh2 description:enhancer of zeste 2 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:107940] XCFKYDCFLHRKCSYSFHATPNTYKRKNTETALDNKPCGPQCYQHLPLLPRN >ENSMUSP00000144781.1 pep:known chromosome:GRCm38:6:47530139:47540735:-1 gene:ENSMUSG00000029687.16 transcript:ENSMUST00000204243.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ezh2 description:enhancer of zeste 2 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:107940] XWDSKNVSCKNCSIQRGSKKHLLLAPSDVAGWGIFIKDPVQKNEFISEYCGEIISQDEAD RRGKVYDKYMCSFLFNLNNVMMVNGDHRIGIFAKRAIQTGEELFFDYRYSQADALKYVGI EREMEIP >ENSMUSP00000080419.6 pep:known chromosome:GRCm38:6:47530139:47595030:-1 gene:ENSMUSG00000029687.16 transcript:ENSMUST00000081721.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ezh2 description:enhancer of zeste 2 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:107940] MGQTGKKSEKGPVCWRKRVKSEYMRLRQLKRFRRADEVKTMFSSNRQKILERTETLNQEW KQRRIQPVHIMTSVSSLRGTRECSVTSDLDFPAQVIPLKTLNAVASVPIMYSWSPLQQNF MVEDETVLHNIPYMGDEVLDQDGTFIEELIKNYDGKVHGDRECGFINDEIFVELVNALGQ YNDDDDDDDGDDPDEREEKQKDLEDNRDDKETCPPRKFPADKIFEAISSMFPDKGTAEEL KEKYKELTEQQLPGALPPECTPNIDGPNAKSVQREQSLHSFHTLFCRRCFKYDCFLHPFH ATPNTYKRKNTETALDNKPCGPQCYQHLEGAKEFAAALTAERIKTPPKRPGGRRRGRLPN NSSRPSTPTISVLESKDTDSDREAGTETGGENNDKEEEEKKDETSSSSEANSRCQTPIKM KPNIEPPENVEWSGAEASMFRVLIGTYYDNFCAIARLIGTKTCRQVYEFRVKESSIIAPV PTEDVDTPPRKKKRKHRLWAAHCRKIQLKKDGSSNHVYNYQPCDHPRQPCDSSCPCVIAQ NFCEKFCQCSSECQNRFPGCRCKAQCNTKQCPCYLAVRECDPDLCLTCGAADHWDSKNVS CKNCSIQRGSKKHLLLAPSDVAGWGIFIKDPVQKNEFISEYCGEIISQDEADRRGKVYDK YMCSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVMMVNGDHRIGIFAKRAIQTGE ELFFDYRYSQADALKYVGIEREMEIP >ENSMUSP00000110265.1 pep:known chromosome:GRCm38:6:47530139:47595030:-1 gene:ENSMUSG00000029687.16 transcript:ENSMUST00000114618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ezh2 description:enhancer of zeste 2 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:107940] MGQTGKKSEKGPVCWRKRVKSEYMRLRQLKRFRRADEVKTMFSSNRQKILERTETLNQEW KQRRIQPVHIMTSCSVTSDLDFPAQVIPLKTLNAVASVPIMYSWSPLQQNFMVEDETVLH NIPYMGDEVLDQDGTFIEELIKNYDGKVHGDRECGFINDEIFVELVNALGQYNDDDDDDD GDDPDEREEKQKDLEDNRDDKETCPPRKFPADKIFEAISSMFPDKGTAEELKEKYKELTE QQLPGALPPECTPNIDGPNAKSVQREQSLHSFHTLFCRRCFKYDCFLHRKCSYSFHATPN TYKRKNTETALDNKPCGPQCYQHLEGAKEFAAALTAERIKTPPKRPGGRRRGRLPNNSSR PSTPTISVLESKDTDSDREAGTETGGENNDKEEEEKKDETSSSSEANSRCQTPIKMKPNI EPPENVEWSGAEASMFRVLIGTYYDNFCAIARLIGTKTCRQVYEFRVKESSIIAPVPTED VDTPPRKKKRKHRLWAAHCRKIQLKKDGSSNHVYNYQPCDHPRQPCDSSCPCVIAQNFCE KFCQCSSECQNRFPGCRCKAQCNTKQCPCYLAVRECDPDLCLTCGAADHWDSKNVSCKNC SIQRGSKKHLLLAPSDVAGWGIFIKDPVQKNEFISEYCGEIISQDEADRRGKVYDKYMCS FLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVMMVNGDHRIGIFAKRAIQTGEELFF DYRYSQADALKYVGIEREMEIP >ENSMUSP00000110263.1 pep:known chromosome:GRCm38:6:47530139:47595030:-1 gene:ENSMUSG00000029687.16 transcript:ENSMUST00000114616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ezh2 description:enhancer of zeste 2 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:107940] MGQTGKKSEKGPVCWRKRVKSEYMRLRQLKRFRRADEVKTMFSSNRQKILERTETLNQEW KQRRIQPVHIMTSVSSLRGTREVEDETVLHNIPYMGDEVLDQDGTFIEELIKNYDGKVHG DRECGFINDEIFVELVNALGQYNDDDDDDDGDDPDEREEKQKDLEDNRDDKETCPPRKFP ADKIFEAISSMFPDKGTAEELKEKYKELTEQQLPGALPPECTPNIDGPNAKSVQREQSLH SFHTLFCRRCFKYDCFLHPFHATPNTYKRKNTETALDNKPCGPQCYQHLEGAKEFAAALT AERIKTPPKRPGGRRRGRLPNNSSRPSTPTISVLESKDTDSDREAGTETGGENNDKEEEE KKDETSSSSEANSRCQTPIKMKPNIEPPENVEWSGAEASMFRVLIGTYYDNFCAIARLIG TKTCRQVYEFRVKESSIIAPVPTEDVDTPPRKKKRKHRLWAAHCRKIQLKKDGSSNHVYN YQPCDHPRQPCDSSCPCVIAQNFCEKFCQCSSECQNRFPGCRCKAQCNTKQCPCYLAVRE CDPDLCLTCGAADHWDSKNVSCKNCSIQRGSKKHLLLAPSDVAGWGIFIKDPVQKNEFIS EYCGEIISQDEADRRGKVYDKYMCSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKV MMVNGDHRIGIFAKRAIQTGEELFFDYRYSQADALKYVGIEREMEIP >ENSMUSP00000090318.6 pep:known chromosome:GRCm38:6:47530541:47577660:-1 gene:ENSMUSG00000029687.16 transcript:ENSMUST00000092648.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ezh2 description:enhancer of zeste 2 polycomb repressive complex 2 subunit [Source:MGI Symbol;Acc:MGI:107940] MGQTGKKSEKGPVCWRKRVKSEYMRLRQLKRFRRADEVKTMFSSNRQKILERTETLNQEW KQRRIQPVHIMTSVSSLRGTRECSVTSDLDFPAQVIPLKTLNAVASVPIMYSWSPLQQNF MVEDETVLHNIPYMGDEVLDQDGTFIEELIKNYDGKVHGDRECGFINDEIFVELVNALGQ YNDDDDDDDGDDPDEREEKQKDLEDNRDDKETCPPRKFPADKIFEAISSMFPDKGTAEEL KEKYKELTEQQLPGALPPECTPNIDGPNAKSVQREQSLHSFHTLFCRRCFKYDCFLHPFH ATPNTYKRKNTETALDNKPCGPQCYQHLEGAKEFAAALTAERIKTPPKRPGGRRRGRLPN NSSRPSTPTISVLESKDTDSDREAGTETGGENNDKEEEEKKDETSSSSEANSRCQTPIKM KPNIEPPENVEWSGAEASMFRVLIGTYYDNFCAIARLIGTKTCRQVYEFRVKESSIIAPV PTEDVDTPPRKKKRKHRLWAAHCRKIQLKKGQNRFPGCRCKAQCNTKQCPCYLAVRECDP DLCLTCGAADHWDSKNVSCKNCSIQRGSKKHLLLAPSDVAGWGIFIKDPVQKNEFISEYC GEIISQDEADRRGKVYDKYMCSFLFNLNNDFVVDATRKGNKIRFANHSVNPNCYAKVMMV NGDHRIGIFAKRAIQTGEELFFDYRYSQADALKYVGIEREMEIP >ENSMUSP00000021384.4 pep:known chromosome:GRCm38:12:59095799:59109130:1 gene:ENSMUSG00000035349.5 transcript:ENSMUST00000021384.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mia2 description:melanoma inhibitory activity 2 [Source:MGI Symbol;Acc:MGI:2159614] MAEVSVQRILLLVVSLAKCLEGTKLLAHLKKCGDLECETLISRVLALRDYTGPDCRYLNF TTGEEISVYVKLGGDREDLWAGSKGKDFGFFPRDAVEIEEVFISEEVEMPTKSDFLCLLG EGYIFGSEQSELNSEDDEEHMYPYEKDEDQNYNIYEGDFQPEPDLYAAAEGTLLEDQIPA SEAPDDFRFSSEWKAWEGAGSQGGGEQDYTADSDQDLPSLSKPERQGWFGLGTEEAEEKV FESDTEPTQELALEEESDLEKLHSGEPQVELEQEPKSETLEFSSVPDEEYELESETESIL KPQASGWFGEGLTSYLGFGNEEAGLELLSKESNPPLQDIPSSVPPDEEVPAPCREISTDK EDAVINDSSVLSPSWFYYGFGMLGFTNADEDNIVSDKGENEDGEVDNLKHPIGSDFDPEK EQERKIVTVETEDQAGTESVLEKTDESGSMQYLKKFFDNPWGFQSLPEDTELPFSKKMLD QDDIVENDKIEELSTENSPTGSMKDPVMLASRYVLSG >ENSMUSP00000066556.6 pep:known chromosome:GRCm38:2:157015799:157079254:-1 gene:ENSMUSG00000055485.6 transcript:ENSMUST00000069098.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Soga1 description:suppressor of glucose, autophagy associated 1 [Source:MGI Symbol;Acc:MGI:2444575] METPAGESSARGYGPPPAPAPAAERKKSHRAPSPARPKDVAGWSLAKGRRGTGPGSATAC GTASSARPDKKGRAVAPGTRGTGPRVAGVRTGVRAKGRPRPGTGPRPPPPPPSLTDSSSE VSDCASEEARQLGLELALSSDAESAAGGPAGTRTGQPPQPAQSGQQPPRPPASPDEPSVA ASSVGSSRLPLSASLAFSDLTEEMLDCGPGGLVRELEELRSENDYLKDEIEELRAEMLEM RDVYMEEDVYQLQELRQQLDQASKTCRILQYRLRKAERRSLRAAQTGQVDGELIRGLEQD VKVSKDISMRLHKELEVVEKKRMRLEEENEGLRQRLIETELAKQVLQTELDRPREHSLKK RGTRSLGKTDKKPTAQEDSADLKCQLHFAKEESALMCKKLTKLAKENDSMKEELLKYRSL YGDLDAALSAEELADAPHSRETELKVHLKLVEEEANLLSRRIVELEVENRGLRAEMDDMK DHGGGGGPEARLAFSSLGGECGESLAELRRHLQFVEEEAELLRRSSAELEDQNKLLLNEL AKYRSEHELDVTLSEDSCSVLSEPSQEELAAAKLQIGELSGKVKKLQYENRVLLSNLQRC DLASCQSTRPMLETDAEAGDSAQCVPAPLGETLEPHAARLCRAREAEALPGLREQAALVS KAIDVLVADANGFSVGLRLCLDNECADLRLHEAPDNSEGPRDAKLIHAILVRLSVLQQEL NAFTRKADVALGSSGKEQPEPFPALPALGSQGPAKEIMLSKDLGSDFQPPDFRDLLEWEP RIREAFRTGDLESKPDPSRNFRPYRAEDNDSYASEIKDLQLVLAEAHDSLRGLQEQLSQE RQLRKEEADSFNQKMVQLKEDQQRALLRREFELQSLSLQRRLEQKFWSQEKNILVQESQQ FKHNFLLLFMKLRWFLKRWRQGKVLPSEEDDFLEVNSMKELYLLMEEEEMNAQHSDNKAC TGESWTQNTPNECIKTLADMKVTLKELCWLLQDERRGLTELQQQFAKAKATWETERAELK GHASQMELKAGKGASERPGPDWKAALQREREEQQHLLAESYSAVMELTRQLQLSERHWSQ EKLQLVERLQGEKQQVEQQVKELQNRLSQLQKAAEPWVLKHSDMEKQDNSWKEARSEKTH DKEGVSEAELGGTGLKRTKSVSSMSEFESLLDCSPYLAGGDARNKKLPNGPAFAFVSTEP VEPEKDAKEKAGLSTRDCSHIGSLACQEPAGRQMQRSYTAPDKTGIRVYYSPPVARRLGV PVVHDKEGKILIEPGFLFTTAKPKESAEADGLAESSYSRWLCNFSRQRLDGGSGASTSGS GPAFPALHDFEMSGNMSDDMKEITNCVRQAMRSGSLERKVKNTSSQTVGVATVGTQTIRT VSVGLQTDPPRSSLHSKSWSPRSSSLVSVRSKQISSSLDKVHSRIERPCCSPKYGSPKLQ RRSVSKLDSTKDRSLWNLHQGKQNGSAWARSTTTRDSPVLRNINDGLSSLFSVVEHSGST ESVWKLGMSEARTKPEPPKYGIVQEFFRNVCGRAPSPTTAAGEESCKKPEPLSPASYHQP EGVSRILNKKAAKAGGSEEVRPTMLSQVGKDGILRDGDGSLILPSEDAVCDCSAQSLASC FIRPSRNTIRHSPSKCRLHPSESGWGGEERAAPQ >ENSMUSP00000080266.7 pep:known chromosome:GRCm38:1:4857814:4897905:1 gene:ENSMUSG00000033813.15 transcript:ENSMUST00000081551.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcea1 description:transcription elongation factor A (SII) 1 [Source:MGI Symbol;Acc:MGI:1196624] MEDEVVRIAKKMDKMVQKKNAAGALDLLKELKNIPMTLELLQSTRIGMSVNALRKQSTDE EVTSLAKSLIKSWKKLLDGPSTDKDPEEKKKEPAISSQNSPEAREESSSSSNVSSRKDET NARDTYVSSFPRAPSTSDSVRLKCREMLAAALRTGDDYVAIGADEEELGSQIEEAIYQEI RNTDMKYKNRVRSRISNLKDAKNPNLRKNVLCGNIPPDLFARMTAEEMASDELKEMRKNL TKEAIREHQMAKTGGTQTDLFTCGKCKKKNCTYTQVQTRSADEPMTTFVVCNECGNRWKF C >ENSMUSP00000129157.2 pep:known chromosome:GRCm38:1:4858038:4897909:1 gene:ENSMUSG00000033813.15 transcript:ENSMUST00000165720.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcea1 description:transcription elongation factor A (SII) 1 [Source:MGI Symbol;Acc:MGI:1196624] MCPSVCTTFHPFASTLDLSSQLHLNLFFQTQQAGALDLLKELKNIPMTLELLQSTRIGMS VNALRKQSTDEEVTSLAKSLIKSWKKLLDGPSTDKDPEEKKKEPAISSQNSPEAREESSS SSNVSSRKDETNARDTYVSSFPRAPSTSDSVRLKCREMLAAALRTGDDYVAIGADEEELG SQIEEAIYQEIRNTDMKYKNRVRSRISNLKDAKNPNLRKNVLCGNIPPDLFARMTAEEMA SDELKEMRKNLTKEAIREHQMAKTGGTQTDLFTCGKCKKKNCTYTQVQTRSADEPMTTFV VCNECGNRWKFC >ENSMUSP00000041899.7 pep:known chromosome:GRCm38:4:136206365:136245216:1 gene:ENSMUSG00000036995.7 transcript:ENSMUST00000047526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap3 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain 3 [Source:MGI Symbol;Acc:MGI:2684986] MPEQLSVAEFLAVTAEDLSSPAGAAAFAAKMPRCRGAALAREEALEGDQAILQRIKKAVR AIHSSGLGHVETEEHYREAVEALGNSHLSQNSHELSTGFLNLAVFTREVAALFKNLVQNL NNIVSFPLDSLMKGHLRDGRHDSKKHLEKAWKDYESKVAKLEKERDRARFPGGSHGVMSQ DTQRERRVFQLHMCEYLVKAGESQVKQGPDFLQSLIKFFHAQHNFFQDGWKAAQSLSPFI DKLAASVHGLRQAQEEELHKLTQLRDSLRGMLHLESREDHPNRKNSGGGYSIHQHQGNKQ FGTEKVGFLYKKSDGIRRVWQKRKCGVKYGCLTISHSMINRPPVKLPLLTCQVRPNPEEK RCFDLVTHNRTYHFHAEDEQECEAWVSVLQNSKDEALSNAFHGEPSGGQWSWGTRLDTEP HDLTNMLVAEVKSRPGNDRCCDCGAADPTWLSTNLGVLTCIQCSGVHRELGVRFSRIQSL TLDLLGPSELLLALNIGNSHFNEVMEAHLPSHGSPKPSAESDMSSRRNYIVAKYVEHKFA RHSTPDPQKLRTAICSRDLLSVLEAFANGQDFGQLLPGPDGQAPGELALHLAIRVASHAS LPIVDFLIQNGGHLDAKAADGNTALHCAALHGQLDCLKLLLRGRAPVGAVNDAGETALDI ARNRQHKECEELLEQAQAGTLAFPLHMDYHWGHSMEHGFDSEEEEEEEKHCPSKPPAQAC WGSVRLDISNKTYETVATPGPATTQSQSEDSPPPLPIKNSSRTIVLGRAGHCSGDRSDLP SLRSESPEALENRSSPASSSSSLTSSVEPGGLSQAPSSPEEGLQESASISRPGLASGTTS AEVYLPVKFSSESTRSYRRGGRSLEDSPSARQPLCSRRHIPVGLVEGDGSKIGVLPDSLQ LLHD >ENSMUSP00000097281.3 pep:known chromosome:GRCm38:2:93855361:93869157:-1 gene:ENSMUSG00000075023.11 transcript:ENSMUST00000099690.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Accsl description:1-aminocyclopropane-1-carboxylate synthase (non-functional)-like [Source:MGI Symbol;Acc:MGI:3584519] MSENRNEGSSQAAKANSDTQTPSHFKVTHPRLRDQLKKKSSKKKGFKFVQEKMLKFQHVI RNQFLQQISQQMQCVPPGDQQCTQTSRKRKKMGYLLSQMVNFLWSNTVKKLKFKVPLPCL DSRCGIKVGHQTLSPWQTGQSRPSLGGFEAALASCTLSKRGAGIYESYHLSFQSYEAYQA DKYHKDKNPSGYINLSTSENKLCLDLITARLTQSDMNLLDEAQLQYSDWKGQPFLREELA SFLTHYCKAPTPLDPENVVVLNGCSSVFASLAMVLCDPGDALLIPTPCYNGFVFSSHLYS KIELIPVHLESQVPRSNLDSFQLTVDKLKLALTQAKKKAKKVKGLVLINPQNPLGDVYTQ SSLQEYLVFAKTHKLHVIMDEIYMLSVFEPSVTFHSVLSIKDLPDPNMTHMIWGTSKDFG MSGIRFGVLYTHNKEVASAMKAFGYHHGVSGITQYKLCRLLQDKEWISKVYLPKNHSRLQ KAYSYITKILKDLKIPFYNGGSGLFVWINLKAYLSPCTFDQEQILHQRFRDKKLLLSSGK SYMCIEPGWFRLVFAETHLHLQVAMDRFCHVLAEHKKHEK >ENSMUSP00000121623.1 pep:known chromosome:GRCm38:2:93855362:93857020:-1 gene:ENSMUSG00000075023.11 transcript:ENSMUST00000132722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Accsl description:1-aminocyclopropane-1-carboxylate synthase (non-functional)-like [Source:MGI Symbol;Acc:MGI:3584519] XKILKDLKIPFYNGGSGLFVWINLKAILHQRFRDKKLLLSSGKSYMCIEPGWFRLVFAET HLHLQVAMDRFCHVLAEHKKHEK >ENSMUSP00000118053.1 pep:known chromosome:GRCm38:2:93865875:93869072:-1 gene:ENSMUSG00000075023.11 transcript:ENSMUST00000143033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Accsl description:1-aminocyclopropane-1-carboxylate synthase (non-functional)-like [Source:MGI Symbol;Acc:MGI:3584519] MLILTNLEDLEGSSQAAKANSDTQTPSHFKVTHPRLRDQLKKKSSKKKGFKFVQEKMLKF QHVIRNQFLQQISQQMQCVPPGDQQCTQTSRKRKKMGYLLSQMVNFLWSNTVKKLKFKVP LPCLDSRCG >ENSMUSP00000120924.1 pep:known chromosome:GRCm38:2:93865875:93869082:-1 gene:ENSMUSG00000075023.11 transcript:ENSMUST00000133562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Accsl description:1-aminocyclopropane-1-carboxylate synthase (non-functional)-like [Source:MGI Symbol;Acc:MGI:3584519] MLILTNLEDLEGSSQAAKANSDTQTPSHFKVTHPRLRDQLKKKSSKKKGFKFVQEKMLKF QHVIRNQFLQQISQQMQCVPPGDQQCTQTSRKRKKMGYLLSQMVNFLWSNTVKKLKFKVP LPCLDSRCG >ENSMUSP00000107806.1 pep:known chromosome:GRCm38:X:166499812:166510478:-1 gene:ENSMUSG00000051224.13 transcript:ENSMUST00000112188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceanc description:transcription elongation factor A (SII) N-terminal and central domain containing [Source:MGI Symbol;Acc:MGI:2685236] MSDKNQIIARASLIEQLVSKRYFEDIGKQLTELEMIYVSKEHLQETDVVRAVYRVLKNCP SVTLKKKAKCLLAKWRGFYKSTHCKPRQSPKVLHTNANKEESAAVSQDVSQDETSGSSHS EIMGLCSSLSRLLPQDAAKPAAAIGSESSTAQMEINEGYLKGDDSECTRKSSGVFQGTLV SVRSKCVELLYTALASSCTDHTEVHIWQNLAREIEEHIFTLHSNNIKKYKTSIRSKVANL KNPRNFHLQQNFLSGTMSAREFAEMSVLDMASQELKQLRASYTESSIQEHCLPQSVDGTW TNKIKCRRCDKYNCKVTVIARGTLFLPSWVQNSNPDEQMTYVICNECGEQWYHNNWVCL >ENSMUSP00000107805.1 pep:known chromosome:GRCm38:X:166503292:166518567:-1 gene:ENSMUSG00000051224.13 transcript:ENSMUST00000112187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceanc description:transcription elongation factor A (SII) N-terminal and central domain containing [Source:MGI Symbol;Acc:MGI:2685236] MSDKNQIIARASLIEQLVSKRYFEDIGKQLTELEM >ENSMUSP00000136691.1 pep:known chromosome:GRCm38:X:166502318:166503397:-1 gene:ENSMUSG00000051224.13 transcript:ENSMUST00000180322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceanc description:transcription elongation factor A (SII) N-terminal and central domain containing [Source:MGI Symbol;Acc:MGI:2685236] MSDKNQIIARASLIEQLVSKRYFEDIGKQLTELEMIYVSKEHLQETDVVRAVYRVLKNCP SVTLKKKAKCLLAKWRGFYKSTHCKPRQSPKVLHTNANKEESAAVSQDVSQDETSGSSHS EIMGLCSSLSRLLPQDAAKPAAAIGSESSTAQMEINEGYLKGDDSECTRKSSGVFQGTLV SVRSKCVELLYTALASSCTDHTEVHIWQNLAREIEEHIFTLHSNNIKKYKTSIRSKVANL KNPRNFHLQQNFLSGTMSAREFAEMSVLDMASQELKQLRASYTESSIQEHCLPQSVDGTW TNKIKCRRCDKYNCKVTVIARGTLFLPSWVQNSNPDEQMTYVICNECGEQWYHNNWVCL >ENSMUSP00000103181.1 pep:known chromosome:GRCm38:11:98026710:98030492:1 gene:ENSMUSG00000017404.12 transcript:ENSMUST00000092425.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl19 description:ribosomal protein L19 [Source:MGI Symbol;Acc:MGI:98020] MLRLQKRLASSVLRCGKKKVWLDPNETNEIANANSRQQIRKLIKDGLIIRKPVTVHSRAR CRKNTLARRKGRHMGIGKRKGTANARMPEKVTWMRRMRILRRLLRRYRESKKIDRHMYHS LYLKVKGNVFKNKRILMEHIHKLKADKARKKLLADQAEARRSKTKEARKRREERLQAKKE EIIKTLSKEEETKK >ENSMUSP00000017548.6 pep:known chromosome:GRCm38:11:98026924:98030492:1 gene:ENSMUSG00000017404.12 transcript:ENSMUST00000017548.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl19 description:ribosomal protein L19 [Source:MGI Symbol;Acc:MGI:98020] MSMLRLQKRLASSVLRCGKKKVWLDPNETNEIANANSRQQIRKLIKDGLIIRKPVTVHSR ARCRKNTLARRKGRHMGIGKRKGTANARMPEKVTWMRRMRILRRLLRRYRESKKIDRHMY HSLYLKVKGNVFKNKRILMEHIHKLKADKARKKLLADQAEARRSKTKEARKRREERLQAK KEEIIKTLSKEEETKK >ENSMUSP00000062245.5 pep:known chromosome:GRCm38:1:170308803:170312125:1 gene:ENSMUSG00000042800.5 transcript:ENSMUST00000056991.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700015E13Rik description:RIKEN cDNA 1700015E13 gene [Source:MGI Symbol;Acc:MGI:1924175] MDNYSLLSTPRPRISSSALSAFPDIMSSLATSLPDLGDTQNGEQLRRNCTIYRPWFSPYS YFVCTDKESHLEAYGFPEVDREEGRGDNCLLEDVAESVCSSSSSQENTYPREANRKSKHG LDSITSQDILMASKWHPAQQNGYKCASCCRMYPTLHSLKSHIKGGFKEGFSCKVYYRKLK TLWGKEQKARTGDRISLGSCQAFK >ENSMUSP00000139915.1 pep:known chromosome:GRCm38:Y:30706533:30738561:-1 gene:ENSMUSG00000100467.1 transcript:ENSMUST00000185667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29582 description:predicted gene 29582 [Source:MGI Symbol;Acc:MGI:5580288] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKL METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000109477.3 pep:known chromosome:GRCm38:16:95359169:95530365:-1 gene:ENSMUSG00000040732.18 transcript:ENSMUST00000113846.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erg description:avian erythroblastosis virus E-26 (v-ets) oncogene related [Source:MGI Symbol;Acc:MGI:95415] MIQTVPDPAAHIKEALSVVSEDQSLFECAYGTPHLAKTEMTASSSSDYGQTSKMSPRVPQ QDWLSQAPARVTIKMECNPSQVNGSRNSPDECSVNKGGKMVGSPDTVGMSYGSYMEEKHV PPPNMTTNERRVIVPADPTLWSTDHVRQWLEWAVKEYGLLDVDVLLFQNIDGKELCKMTK DDFQRLTPSYNADILLSHLHYLRETPLPHLTSDDVDKALQNSPRLMHARNTGGAAFIFPN TSVYPEATQRITTRPDLPYEPPRRSAWTGHSHLTPQSKAAQPSPSAVPKTEDQRPQLDPY QILGPTSSRLANPGSGQIQLWQFLLELLSDSSNSNCITWEGTNGEFKMTDPDEVARRWGE RKSKPNMNYDKLSRALRYYYDKNIMTKVHGKRYAYKFDFHGIAQALQPHPPESSLYKYPS DLPYMGSYHAHPQKMNFVSPHPPALPVTSSSFFASPNPYWNSPTGGIYPNTRLPASHMPS HLGTYY >ENSMUSP00000112698.1 pep:known chromosome:GRCm38:16:95359173:95459303:-1 gene:ENSMUSG00000040732.18 transcript:ENSMUST00000118113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erg description:avian erythroblastosis virus E-26 (v-ets) oncogene related [Source:MGI Symbol;Acc:MGI:95415] MASTIKEALSVVSEDQSLFECAYGTPHLAKTEMTASSSSDYGQTSKMSPRVPQQDWLSQA PARVTIKMECNPSQVNGSRNSPDECSVNKGGKMVGSPDTVGMSYGSYMEEKHVPPPNMTT NERRVIVPADPTLWSTDHVRQWLEWAVKEYGLLDVDVLLFQNIDGKELCKMTKDDFQRLT PSYNADILLSHLHYLRETPLPHLTSDDVDKALQNSPRLMHARNTGGAAFIFPNTSVYPEA TQRITTRPAAQPSPSAVPKTEDQRPQLDPYQILGPTSSRLANPGSGQIQLWQFLLELLSD SSNSNCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMTKVHG KRYAYKFDFHGIAQALQPHPPESSLYKYPSDLPYMGSYHAHPQKMNFVSPHPPALPVTSS SFFASPNPYWNSPTGGIYPNTRLPASHMPSHLGTYY >ENSMUSP00000109479.2 pep:known chromosome:GRCm38:16:95360210:95530365:-1 gene:ENSMUSG00000040732.18 transcript:ENSMUST00000113848.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erg description:avian erythroblastosis virus E-26 (v-ets) oncogene related [Source:MGI Symbol;Acc:MGI:95415] MIQTVPDPAAHIKEALSVVSEDQSLFECAYGTPHLAKTEMTASSSSDYGQTSKMSPRVPQ QDWLSQAPARVTIKMECNPSQVNGSRNSPDECSVNKGGKMVGSPDTVGMSYGSYMEEKHV PPPNMTTNERRVIVPADPTLWSTDHVRQWLEWAVKEYGLLDVDVLLFQNIDGKELCKMTK DDFQRLTPSYNADILLSHLHYLRETPLPHLTSDDVDKALQNSPRLMHARNTGGAAFIFPN TSVYPEATQRITTRPAAQPSPSAVPKTEDQRPQLDPYQILGPTSSRLANPGSGQIQLWQF LLELLSDSSNSNCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKN IMTKVHGKRYAYKFDFHGIAQALQPHPPESSLYKYPSDLPYMGSYHAHPQKMNFVSPHPP ALPVTSSSFFASPNPYWNSPTGGIYPNTRLPASHMPSHLGTYY >ENSMUSP00000076949.6 pep:known chromosome:GRCm38:16:95360210:95586593:-1 gene:ENSMUSG00000040732.18 transcript:ENSMUST00000077773.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erg description:avian erythroblastosis virus E-26 (v-ets) oncogene related [Source:MGI Symbol;Acc:MGI:95415] MIQTVPDPAAHIKEALSVVSEDQSLFECAYGTPHLAKTEMTASSSSDYGQTSKMSPRVPQ QDWLSQAPARVTIKMECNPSQVNGSRNSPDECSVNKGGKMVGSPDTVGMSYGSYMEEKHV PPPNMTTNERRVIVPADPTLWSTDHVRQWLEWAVKEYGLLDVDVLLFQNIDGKELCKMTK DDFQRLTPSYNADILLSHLHYLRETPLPHLTSDDVDKALQNSPRLMHARNTDLPYEPPRR SAWTGHSHLTPQSKAAQPSPSAVPKTEDQRPQLDPYQILGPTSSRLANPGSGQIQLWQFL LELLSDSSNSNCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNI MTKVHGKRYAYKFDFHGIAQALQPHPPESSLYKYPSDLPYMGSYHAHPQKMNFVSPHPPA LPVTSSSFFASPNPYWNSPTGGIYPNTRLPASHMPSHLGTYY >ENSMUSP00000114072.1 pep:known chromosome:GRCm38:16:95360342:95459348:-1 gene:ENSMUSG00000040732.18 transcript:ENSMUST00000122199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erg description:avian erythroblastosis virus E-26 (v-ets) oncogene related [Source:MGI Symbol;Acc:MGI:95415] MASTIKEALSVVSEDQSLFECAYGTPHLAKTEMTASSSSDYGQTSKMSPRVPQQDWLSQA PARVTIKMECNPSQVNGSRNSPDECSVNKGGKMVGSPDTVGMSYGSYMEEKHVPPPNMTT NERRVIVPADPTLWSTDHVRQWLEWAVKEYGLLDVDVLLFQNIDGKELCKMTKDDFQRLT PSYNADILLSHLHYLRETPLPHLTSDDVDKALQNSPRLMHARNTGGAAFIFPNTSVYPEA TQRITTRPDLPYEPPRRSAWTGHSHLTPQSKAAQPSPSAVPKTEDQRPQLDPYQILGPTS SRLANPGSGQIQLWQFLLELLSDSSNSNCITWEGTNGEFKMTDPDEVARRWGERKSKPNM NYDKLSRALRYYYDKNIMTKVHGKRYAYKFDFHGIAQALQPHPPESSLYKYPSDLPYMGS YHAHPQKMNFVSPHPPALPVTSSSFFASPNPYWNSPTGGIYPNTRLPASHMPSHLGTYY >ENSMUSP00000132766.1 pep:known chromosome:GRCm38:16:95360645:95524603:-1 gene:ENSMUSG00000040732.18 transcript:ENSMUST00000171646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erg description:avian erythroblastosis virus E-26 (v-ets) oncogene related [Source:MGI Symbol;Acc:MGI:95415] MIQTVPDPAAHIKEALSVVSEDQSLFECAYGTPHLAKTEMTASSSSDYGQTSKMSPRVPQ QDWLSQAPARVTIKMECNPSQVNGSRNSPDECSVNKGGKMVGSPDTVGMSYGSYMEEKHV PPPNMTTNERRVIVPADPTLWSTDHVRQWLEWAVKEYGLLDVDVLLFQNIDGKELCKMTK DDFQRLTPSYNADILLSHLHYLRETPLPHLTSDDVDKALQNSPRLMHARNTAAQPSPSAV PKTEDQRPQLDPYQILGPTSSRLANPGSGQIQLWQFLLELLSDSSNSNCITWEGTNGEFK MTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMTKVHGKRYAYKFDFHGIAQALQ PHPPESSLYKYPSDLPYMGSYHAHPQKMNFVSPHPPALPVTSSSFFASPNPYWNSPTGGI YPNTRLPASHMPSHLGTYY >ENSMUSP00000135568.1 pep:known chromosome:GRCm38:16:95360806:95459245:-1 gene:ENSMUSG00000040732.18 transcript:ENSMUST00000176345.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erg description:avian erythroblastosis virus E-26 (v-ets) oncogene related [Source:MGI Symbol;Acc:MGI:95415] MVGSPDTVGMSYGSYMEEKHVPPPNMTTNERRVIVPADPTLWSTDHVRQWLEWAVKEYGL LDVDVLLFQNIDGKELCKMTKDDFQRLTPSYNADILLSHLHYLRETPLPHLTSDDVDKAL QNSPRLMHARNTGGAAFIFPNTSVYPEATQRITTRPDLPYEPPRRSAWTGHSHLTPQSKA AQPSPSAVPKTEDQRPQLDPYQILGPTSSRLANPGSGQIQLWQFLLELLSDSSNSNCITW EGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMTKVHGKRYAYKFDF HGIAQALQPHPPESSLYKYPSDLPYMGSYHAHPQKMNFVSPHPPALPVTSSSFFASPNPY WNSPTGGIYPNTRLPASHMPSHLGTYY >ENSMUSP00000113723.2 pep:known chromosome:GRCm38:16:95360806:95459245:-1 gene:ENSMUSG00000040732.18 transcript:ENSMUST00000121809.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erg description:avian erythroblastosis virus E-26 (v-ets) oncogene related [Source:MGI Symbol;Acc:MGI:95415] MASTIKEALSVVSEDQSLFECAYGTPHLAKTEMTASSSSDYGQTSKMSPRVPQQDWLSQA PARVTIKMECNPSQVNGSRNSPDECSVNKGGKMVGSPDTVGMSYGSYMEEKHVPPPNMTT NERRVIVPADPTLWSTDHVRQWLEWAVKEYGLLDVDVLLFQNIDGKELCKMTKDDFQRLT PSYNADILLSHLHYLRETPLPHLTSDDVDKALQNSPRLMHARNTDLPYEPPRRSAWTGHS HLTPQSKAAQPSPSAVPKTEDQRPQLDPYQILGPTSSRLANPGSGQIQLWQFLLELLSDS SNSNCITWEGTNGEFKMTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMTKVHGK RYAYKFDFHGIAQALQPHPPESSLYKYPSDLPYMGSYHAHPQKMNFVSPHPPALPVTSSS FFASPNPYWNSPTGGIYPNTRLPASHMPSHLGTYY >ENSMUSP00000134930.1 pep:known chromosome:GRCm38:16:95360806:95530323:-1 gene:ENSMUSG00000040732.18 transcript:ENSMUST00000177450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erg description:avian erythroblastosis virus E-26 (v-ets) oncogene related [Source:MGI Symbol;Acc:MGI:95415] MVGSPDTVGMSYGSYMEEKHVPPPNMTTNERRVIVPADPTLWSTDHVRQWLEWAVKEYGL LDVDVLLFQNIDGKELCKMTKDDFQRLTPSYNADILLSHLHYLRETPLPHLTSDDVDKAL QNSPRLMHARNTDLPYEPPRRSAWTGHSHLTPQSKAAQPSPSAVPKTEDQRPQLDPYQIL GPTSSRLANPGSGQIQLWQFLLELLSDSSNSNCITWEGTNGEFKMTDPDEVARRWGERKS KPNMNYDKLSRALRYYYDKNIMTKVHGKRYAYKFDFHGIAQALQPHPPESSLYKYPSDLP YMGSYHAHPQKMNFVSPHPPALPVTSSSFFASPNPYWNSPTGGIYPNTRLPASHMPSHLG TYY >ENSMUSP00000136757.1 pep:known chromosome:GRCm38:1:170298199:170306332:-1 gene:ENSMUSG00000102752.1 transcript:ENSMUST00000179801.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7694 description:predicted gene 7694 [Source:MGI Symbol;Acc:MGI:3649135] MFENLNTTLTPKLQSSHSFPHLSRPGAPGSIAPGSGEPGGPGLRVGSSQHLRNLGKAVGA KVNDLLRRKESSSLGSVGVLEINKTAGAQLPGGEDAACGPWLEDERSVQEVFPLLDPPPP ITRKRTPRALKTTQDMLISSQPVLSNLEYGTESPPGQAQDSPPAAQPISADTSRPESTIE MGEKGEALPNGEVSLLVPDLIHKNTQEEAKLKATEGKKSSSPGPIERNGLKLSLSPISLA ESWENSSPPPQARTSSLDNEGLHPDLLSFE >ENSMUSP00000117596.1 pep:known chromosome:GRCm38:8:25601601:25677214:1 gene:ENSMUSG00000054823.15 transcript:ENSMUST00000155861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1l1 description:Wolf-Hirschhorn syndrome candidate 1-like 1 (human) [Source:MGI Symbol;Acc:MGI:2142581] MDFSFSFMQGIMGNTIQQPPQLIDSANIRQEDAFDNHSDIVEDGGPTPFEATLQQGFQYP PTTEDLPPLTNGYPPSISLYETQTKYPPYNQYPNGSANGFGAVRNFSPTDYYHSEIPNTR PHEILEKPSPPQPPPLPPPPPPPPPPPSVPQTVIPKKTGSPEIKLKITKTIQNGRELFES SLCGDLLNEVQASEHTKSKHESRKEKRKKSNRHESSRSEERRSHKIPKLEPEGQNRPNER VDTAPEKPREEPVLKEAIPVQPILSSVPTTETSTGVKFQVGDLVWSKVGTYPWWPCMVSS DPQLEVHSKINTRGAREYHVQFFSNQPERAWVHEKRVREYKGHEQYEELLAEAAKQASNH SEKQKIRKPRPQRERAQWDIGIAHAEKALKMTREERVEQYTFIYIDKQPEEASSQAKKNV TSKTEVKKPRRPRSVLNSQPEQTNAGEVASSQSSTDLRRQSQRRHTSLEEEEPPPVKIAW KTAAARKSLPASITMHKGSLDLQKCNMSPVVKIEQVFALQNATGDGKFIDQFVYSTKGIG NKTEISVRGQDRLIISSPSQRSEKPAQSASSPEATSGSAGPVEKKQQRRSIRTRSESEKS AEVVPKKKIKKEQVETAPQASLKTGLQKGSADRGAQGSVRFSDSSVSAAKEETVD >ENSMUSP00000115470.1 pep:known chromosome:GRCm38:8:25602284:25677972:1 gene:ENSMUSG00000054823.15 transcript:ENSMUST00000146919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1l1 description:Wolf-Hirschhorn syndrome candidate 1-like 1 (human) [Source:MGI Symbol;Acc:MGI:2142581] MDFSFSFMQGIMGNTIQQPPQLIDSANIRQEDAFDNHSDIVEDGGPTPFEATLQQGFQYP PTTEDLPPLTNGYPPSISLYETQTKYPPYNQYPNGSANGFGAVRNFSPTDYYHSEIPNTR PHEILEKPSPPQPPPLPPPPPPPPPPPSVPQTVIPKKTGSPEIKLKITKTIQNGRELFES SLCGDLLNEVQASEHTKSKHESRKEKRKKSNRHESSRSEERRSHKIPKLEPEGQNRPNER VDTAPEKPREEPVLKEAIPVQPILSSVPTTETSTGVKFQVGDLVWSKVGTYPWWPCMVSS DPQLEVHSKINTRGAREYHVQFFSNQPERAWVHEKRVREYKGHEQYEELLAEAAKQASNH SEKQKIRKPRPQRERAQWDIGIAHAEKALKMTREERVEQYTFIYIDKQPEEASSQAKKNV TSKTEVKKPRRPRSVLNSQPEQTNAGEVASSQSSTDLRRQSQRRHTSLEEEEPPPVKIAW KTAAARKSLPASITMHKGSLDLQKCNMSPVVKIEQVFALQNATGDGKFIDQFVYSTKGIG NKTEISVRGQDRLIISSPSQRSEKPAQSASSPEATSGSAGPVEKKQQRRSIRTRSESEKS AEVVPKKKIKKEQVETAPQASLKTGLQKGSADRGAQGSVRFSDSSVSAAKEETVD >ENSMUSP00000117778.1 pep:known chromosome:GRCm38:8:25602284:25719667:1 gene:ENSMUSG00000054823.15 transcript:ENSMUST00000142395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1l1 description:Wolf-Hirschhorn syndrome candidate 1-like 1 (human) [Source:MGI Symbol;Acc:MGI:2142581] MDFSFSFMQGIMGNTIQQPPQLIDSANIRQEDAFDNHSDIVEDGGPTPFEATLQQGFQYP PTTEDLPPLTNGYPPSISLYETQTKYPPYNQYPNGSANGFGAVRNFSPTDYYHSEIPNTR PHEILEKPSPPQPPPLPPPPPPPPPPPSVPQTVIPKKTGSPEIKLKITKTIQNGRELFES SLCGDLLNEVQASEHTKSKHESRKEKRKKSNRHESSRSEERRSHKIPKLEPEGQNRPNER VDTAPEKPREEPVLKEAIPVQPILSSVPTTETSTGVKFQVGDLVWSKVGTYPWWPCMVSS DPQLEVHSKINTRGAREYHVQFFSNQPERAWVHEKRVREYKGHEQYEELLAEAAKQASNH SEKQKIRKPRPQRERAQWDIGIAHAEKALKMTREERVEQYTFIYIDKQPEEASSQAKKNV TSKTEVKKPRRPRSVLNSQPEQTNAGEVASSQSSTDLRRQSQRRHTSLEEEEPPPVKIAW KTAAARKSLPASITMHKGSLDLQKCNMSPVVKIEQVFALQNATGDGKFIDQFVYSTKGIG NKTEISVRGQDRLIISSPSQRSEKPAQSASSPEATSGSAGPVEKKQQRRSIRTRSESEKS AEVVPKKKIKKEQVETAPQASLKTGLQKGASEISDSCKPLKKRSRASTDVETASCTYRDT SDSDSRGLSDGQVGFGKQVDSPSATADADASDAQSVDSSLSRRGVGTSKKDTVCQVCEKA GDCLVACEGECCRHFHVECLGLTAVPEGHFTCEECETGQHPCFSCKVSGKDVKRCSVSVC GKFYHEACVRKFPTAIFESKGFRCPQHCCSSCSMEKDIHKASKGRMMRCLRCPVAYHVGD ACVAAGSVSVSSHILICSNHSKRSSQSAAINVGFCFVCARGLIVQDHSDPMFSSYAYKSH YLLSESNRAELMKLPMIPSSSASKKRCEKGGRLLCCESCPASFHPECLSIDMPEGCWNCN DCKAGKKLHYKQIVWVKLGNYRWWPAEICSPRSVPLNIQGLKHDLGDFPVFFFGSHDYYW VHQGRVFPYVEGDKHFAEGQTSINKTFKKALEEAAKRFQELKAQRESKEALEMERTSRKP PPYKHIKANKVIGKVQVQVADLSEIPRCNCKPGDENPCGLESQCLNRMSQYECHPQVCPA GDRCQNQCFTKRLYPDAEVIKTERRGWGLRTKRSIKKGEFVNEYVGELIDEEECRLRIKR AHENSVTNFYMLTVTKDRIIDAGPKGNYSRFMNHSCNPNCETQKWTVNGDVRVGLFALCD IPAGMELTFNYNLDCLGNGRTVCHCGADNCSGFLGVRPKSACTSAVDEKTKNAKLKKRRK VKAEAKPIHEDYCFQCGDGGELVMCDKKDCPKAYHLLCLNLTQPPHGKWECPWHRCDECG SVAVSFCEFCPHSFCKAHGKGALVPSALEGRLCCSSHDPASPVSPEYWSKIRCKWESQDS GEEVKE >ENSMUSP00000122096.1 pep:known chromosome:GRCm38:8:25602284:25714970:1 gene:ENSMUSG00000054823.15 transcript:ENSMUST00000139966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1l1 description:Wolf-Hirschhorn syndrome candidate 1-like 1 (human) [Source:MGI Symbol;Acc:MGI:2142581] MDFSFSFMQGIMGNTIQQPPQLIDSANIRQEDAFDNHSDIVEDGGPTPFEATLQQGFQYP PTTEDLPPLTNGYPPSISLYETQTKYPPYNQYPNGSANGFGAVRNFSPTDYYHSEIPNTR PHEILEKPSPPQPPPLPPPPPPPPPPPSVPQTVIPKKTGSPEIKLKITKTIQNGRELFES SLCGDLLNEVQASEHTKSKHESRKEKRKKSNRHESSRSEERRSHKIPKLEPEGQNRPNER VDTAPEKPREEPVLKEAIPVQPILSSVPTTETSTGVKFQVGDLVWSKVGTYPWWPCMVSS DPQLEVHSKINTRGAREYHVQFFSNQPERAWVHEKRVREYKGHEQYEELLAEAAKQASNH SEKQKIRKPRPQRERAQWDIGIAHAEKALKMTREERVEQYTFIYIDKQPEEASSQAKKNV TSKTEVKKPRRPRSVLNSQPEQTNAGEVASSQSSTDLRRQSQRRHTSLEEEEPPPVKIAW KTAAARKSLPASITMHKGSLDLQKCNMSPVVKIEQVFALQNATGDGKFIDQFVYSTKGIG NKTEISVRGQDRLIISSPSQRSEKPAQSASSPEATSGSAGPVEKKQQRRSIRTRSESEKS AEVVPKKKIKKEQVETAPQASLKTGLQKGASEISDSCKPLKKRSRASTDVETASCTYRDT SDSDSRGLSDGQVGFGKQVDSPSATADADASDAQSVDSSLSRRGVGTSKKDTVCQVCEKA GDCLVACEGECCRHFHVECLGLTAVPEGHFTCEECETGQHPCFSCKVSGKDVKRCSVSVC GKFYHEACVRKFPTAIFESKGFRCPQHCCSSCSMEKDIHKASKGRMMRCLRCPVAYHVGD ACVAAGSVSVSSHILICSNHSKRSSQSAAINVGFCFVCARGGRLLCCESCPASFHPECLS IDMPEGCWNCNDCKAGKKLHYKQIVWVKLGNYRWWPAEICSPRSVPLNIQGLKHDLGDFP VFFFGSHDYYWVHQGRVFPYVEGDKHFAEGQTSINKTFKKALEEAAKRFQELKAQRESKE ALEMERTSRKPPPYKHIKANKVIGKVQVQVADLSEIPRCNCKPGDENPCGLESQCLNRMS QYECHPQVCPAGDRCQNQCFTKRLYPDAEVIKTERRGWGLRTKRSIKKGEFVNEYVGELI DEEECRLRIKRAHENSVTNFYMLTVTKDRIIDAGPKGNYSRFMNHSCNPNCETQKWTVNG DVRVGLFALCDIPAGMELTFNYNLDCLGNGRTVCHCGADNCSGFLGVRPKSACTSAVDEK TKNAKLKKRRKVKAEAKPIHEDYCFQCGDGGELVMCDKKDCPKAYHLLCLNLTQPPHGKW ECPWHRCDECGSVAVSFCEFCPHSFCKAHGKGALVPSALEGRLCCSSHDPASPVSPEYWS KIRCKWESQDSGEEVKE >ENSMUSP00000123028.1 pep:known chromosome:GRCm38:8:25694133:25714970:1 gene:ENSMUSG00000054823.15 transcript:ENSMUST00000153597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1l1 description:Wolf-Hirschhorn syndrome candidate 1-like 1 (human) [Source:MGI Symbol;Acc:MGI:2142581] MPEGCWNCNDCKAGKKLHYKQIVWVKLGNYRWWPAEICSPRSVPLNIQGLKHDLGDFPVF FFGSHDYYWVHQGRVFPYVEGDKHFAEGQTSINKTFKKALEEAAKRFQELKAQRESKEAL EMERTSRKPPPYKHIKANKVIGKVQVQVADLSEIPRCNCKPGDENPCGLESQCLNRMSQY ECHPQVCPAGDRCQNQCFTKRLYPDAEVIKTERRGWGLRTKRSIKKGEFVNEYVGELIDE EECRLRIKRAHENSVTNFYMLTVTKDRIIDAGPKGNYSRFMNHSCNPNCETQKWTVNGDV RVGLFALCDIPAGMELTFNYNLDCLGNGRTVCHCGADNCSGFLGVRPKSACTSAVDEKTK NAKLKKRRKVKAEAKPIHEDYCFQCGDGGELVMCDKKDCPKAYHLLCLNLTQPPHGKWEC PWHRCDECGSVAVSFCEFCPHSFCKAHGKGALVPSALEGRLCCSSHDPASPVSPEYWSKI RCKWESQDSGEEVKE >ENSMUSP00000081040.5 pep:known chromosome:GRCm38:8:25640284:25719667:1 gene:ENSMUSG00000054823.15 transcript:ENSMUST00000084026.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whsc1l1 description:Wolf-Hirschhorn syndrome candidate 1-like 1 (human) [Source:MGI Symbol;Acc:MGI:2142581] MDFSFSFMQGIMGNTIQQPPQLIDSANIRQEDAFDNHSDIVEDGGPTPFEATLQQGFQYP PTTEDLPPLTNGYPPSISLYETQTKYPPYNQYPNGSANGFGAVRNFSPTDYYHSEIPNTR PHEILEKPSPPQPPPLPPPPPPPPPPPSVPQTVIPKKTGSPEIKLKITKTIQNGRELFES SLCGDLLNEVQASEHTKSKHESRKEKRKKSNRHESSRSEERRSHKIPKLEPEGQNRPNER VDTAPEKPREEPVLKEAIPVQPILSSVPTTETSTGVKFQVGDLVWSKVGTYPWWPCMVSS DPQLEVHSKINTRGAREYHVQFFSNQPERAWVHEKRVREYKGHEQYEELLAEAAKQASNH SEKQKIRKPRPQRERAQWDIGIAHAEKALKMTREERVEQYTFIYIDKQPEEASSQAKKNV TSKTEVKKPRRPRSVLNSQPEQTNAGEVASSQSSTDLRRQSQRRHTSLEEEEPPPVKIAW KTAAARKSLPASITMHKGSLDLQKCNMSPVVKIEQVFALQNATGDGKFIDQFVYSTKGIG NKTEISVRGQDRLIISSPSQRSEKPAQSASSPEATSGSAGPVEKKQQRRSIRTRSESEKS AEVVPKKKIKKEQVETAPQASLKTGLQKGASEISDSCKPLKKRSRASTDVETASCTYRDT SDSDSRGLSDGQVGFGKQVDSPSATADADASDAQSVDSSLSRRGVGTSKKDTVCQVCEKA GDCLVACEGECCRHFHVECLGLTAVPEGHFTCEECETGQHPCFSCKVSGKDVKRCSVSVC GKFYHEACVRKFPTAIFESKGFRCPQHCCSSCSMEKDIHKASKGRMMRCLRCPVAYHVGD ACVAAGSVSVSSHILICSNHSKRSSQSAAINVGFCFVCARGLIVQDHSDPMFSSYAYKSH YLLSESNRAELMKLPMIPSSSASKKRCEKGGRLLCCESCPASFHPECLSIDMPEGCWNCN DCKAGKKLHYKQIVWVKLGNYRWWPAEICSPRSVPLNIQGLKHDLGDFPVFFFGSHDYYW VHQGRVFPYVEGDKHFAEGQTSINKTFKKALEEAAKRFQELKAQRESKEALEMERTSRKP PPYKHIKANKVIGKVQVQVADLSEIPRCNCKPGDENPCGLESQCLNRMSQYECHPQVCPA GDRCQNQCFTKRLYPDAEVIKTERRGWGLRTKRSIKKGEFVNEYVGELIDEEECRLRIKR AHENSVTNFYMLTVTKDRIIDAGPKGNYSRFMNHSCNPNCETQKWTVNGDVRVGLFALCD IPAGMELTFNYNLDCLGNGRTVCHCGADNCSGFLGVRPKSACTSAVDEKTKNAKLKKRRK VKAEAKPIHEDYCFQCGDGGELVMCDKKDCPKAYHLLCLNLTQPPHGKWECPWHRCDECG SVAVSFCEFCPHSFCKAHGKGALVPSALEGRLCCSSHDPASPVSPEYWSKIRCKWESQDS GEEVKE >ENSMUSP00000091945.1 pep:known chromosome:GRCm38:7:48642803:48643811:-1 gene:ENSMUSG00000070546.2 transcript:ENSMUST00000094383.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprb3 description:MAS-related GPR, member B3 [Source:MGI Symbol;Acc:MGI:3033117] MALRTSLITTTAPDKTSLPISICIIKFQVMNLLSITISPVGMVLNIIVLWFLGFQICRNA FSAYILNLAVADFLFLCSHSIFSFLIVCKLHYFLFYIRQLLDTVTMFAYVFGLSITTIIS IECCLSIMWPIWYHCQRPRHTSAVICVLLWALSLLFPALQMEKCSVLFNTFEYSWCGIIN IISGAWLVVLFVVLCGFSLILLLRISCGSQQIPVTRLNVTIALRVLLLLIFGIPFGIFWI VDKWNEENFFVRACGFSHHILYVYCINICVNATIYFLVGSIRHGKFQKMTLKLILQRAIQ GTPEEEGGERGP >ENSMUSP00000034619.1 pep:known chromosome:GRCm38:9:35788050:35790112:-1 gene:ENSMUSG00000032108.3 transcript:ENSMUST00000034619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D730048I06Rik description:RIKEN cDNA D730048I06 gene [Source:MGI Symbol;Acc:MGI:1915421] MKNFLRLCLFLLCFETGFPLQCVQCQSYKNGECATKKETCTTKPGETCMIRRTWYANEIH NLQDAETKCTNSCKFEEKTSGYLTTHTYCCSHGDFCNDINLPIVMT >ENSMUSP00000031732.7 pep:known chromosome:GRCm38:5:137612503:137625078:-1 gene:ENSMUSG00000089984.7 transcript:ENSMUST00000031732.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo24 description:F-box protein 24 [Source:MGI Symbol;Acc:MGI:1918426] MVKRSCPSCGLEAGSEKKERGNPISVQLFPPELVEHIVSFLPVKDLVALGQTCHYFHEVC DAEGVWRRICRRLSPRIRDQSSGARPWKRAAILNYTKGLYFQAFGGRRRCLSKSVAPMLA HGYRRFLPTKDHVFILDYVGTLFFLKNALVSSTLGQIQWKRACRYVVLCRGAKDFASDPR CDTVYRKYLYVLATREQPAVVGTTGSRACDCVEVYLQSSGQRVFKMTFHHSMSFKQIVLV GQETQRALLLLTEEGKIYSLVVNETQLDQPRSYTVQLALRKVSRCLPHLRVTCMASNQSS TLYITGGTLIPRKQVPKAAELRAPDPPDQGGVYFEVHTPGVYRDLFGTLQAFDPLDHQMP LALSLPAKVLFCALGYNHLGLVDEFGRIFMQGNNRYGQLGTGDKMDRGEPTQVHYLQRPI ALWCGLNHSLVLSQTSDFSKELLGCGCGAGGRLPGWPKGSASFVKLHIKVPLCACSLCST RECLYMLSSHDIEQCPVYRDLPASRVGGSPEPSQGAGAPQDPGGTAQACEEYLSQIHSCP TLQDRMEKMKEIVGWMPLMAAQKDFFWEALDMLQRAAGGAGPDTSTPES >ENSMUSP00000106630.1 pep:known chromosome:GRCm38:5:137612503:137629002:-1 gene:ENSMUSG00000089984.7 transcript:ENSMUST00000111002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo24 description:F-box protein 24 [Source:MGI Symbol;Acc:MGI:1918426] MLAHGYRRFLPTKDHVFILDYVGTLFFLKNALVSSTLGQIQWKRACRYVVLCRGAKDFAS DPRCDTVYRKYLYVLATREQPAVVGTTGSRACDCVEVYLQSSGQRVFKMTFHHSMSFKQI VLVGQETQRALLLLTEEGKIYSLVVNETQLDQPRSYTVQLALRKVSRCLPHLRVTCMASN QSSTLYITDQGGVYFEVHTPGVYRDLFGTLQAFDPLDHQMPLALSLPAKVLFCALGYNHL GLVDEFGRIFMQGNNRYGQLGTGDKMDRGEPTQVHYLQRPIALWCGLNHSLVLSQTSDFS KELLGCGCGAGGRLPGWPKGSASFVKLHIKVPLCACSLCSTRECLYMLSSHDIEQCPVYR DLPASRVGGSPEPSQGAGAPQDPGGTAQACEEYLSQIHSCPTLQDRMEKMKEIVGWMPLM AAQKDFFWEALDMLQRAAGGAGPDTSTPES >ENSMUSP00000121077.1 pep:known chromosome:GRCm38:5:137612506:137628956:-1 gene:ENSMUSG00000089984.7 transcript:ENSMUST00000136028.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo24 description:F-box protein 24 [Source:MGI Symbol;Acc:MGI:1918426] MLAHGYRRFLPTKDHVFILDYVGTLFFLKNALVSSTLGQIQWKRACRYVVLCRGAKDFAS DPRCDTVYRKYLYVLATREQPAVRKERSTPWL >ENSMUSP00000113176.1 pep:known chromosome:GRCm38:5:149184716:149215433:1 gene:ENSMUSG00000041264.16 transcript:ENSMUST00000117878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uspl1 description:ubiquitin specific peptidase like 1 [Source:MGI Symbol;Acc:MGI:2442342] MPAKSRCLPLCQTLCVQWKNTQALCWLDCILSALVHLEVLRKTVLEACSREECVFGRLFE MYHQADELLHTHHLHGVTGEDCKKLTSEIFTEIDTCLNKVRDEIFAKLQPKLRCTLGDME SPVFALPVLLKLEPHVESLFTYSFSWNFECSHCGHQYQNRCVKSLVTFTNIVPEWHPLNA AHFGPCNSCNSKSQIRKMVLERASPILMLHFVEGLPRRDLQHYAFHFEGSLYQVTSVIQY QANNHFITWFLDADGSWLECDDLKGPCAKRHVTCEVPASETHIVIWERKSQVPIEEAACL PCMKPNVQPVSGIEVPGN >ENSMUSP00000120808.1 pep:known chromosome:GRCm38:5:149184678:149215430:1 gene:ENSMUSG00000041264.16 transcript:ENSMUST00000126168.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uspl1 description:ubiquitin specific peptidase like 1 [Source:MGI Symbol;Acc:MGI:2442342] MTDDSLKIGNGLPLVGPGTDVGISSLPMLGYLGKNYASAKVTTDGHCPACRAKGKLSALK PYRISFQESVFLCEDLQIVPLQVSLKNEKDWKPTVGIHLFQYILKRLEVTLSLIVNQL >ENSMUSP00000117221.1 pep:known chromosome:GRCm38:5:149184678:149194327:1 gene:ENSMUSG00000041264.16 transcript:ENSMUST00000139474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uspl1 description:ubiquitin specific peptidase like 1 [Source:MGI Symbol;Acc:MGI:2442342] MPAKSRCLPLCQTLCVQWKNTQALCWLDCILSALVHLEVL >ENSMUSP00000114104.1 pep:known chromosome:GRCm38:5:149184667:149215430:1 gene:ENSMUSG00000041264.16 transcript:ENSMUST00000119685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uspl1 description:ubiquitin specific peptidase like 1 [Source:MGI Symbol;Acc:MGI:2442342] MTDDSLKIGNGLPLVGPGTDNYASAKVTTDGHCPACRAKGKLSALKPYRISFQESVFLCE DLQCIYPLGSESLTNLISPDSEDCPTPSKPQKRKRLETNCRNSPLPVHSKKTRSHIVTDS EPIVNGKYNGEVCDDFSASFPDTSAHQDPASTAASVEQSEALEADDVVVAATEDPATVSV TSELEMPAKSRCLPLCQTLCVQWKNTQALCWLDCILSALVHLEVLRKTVLEACSREECVF GRLFEMYHQADELLHTHHLHGVTGEDCKKLTSEIFTEIDTCLNKVRDEIFAKLQPKLRCT LGDMESPVFALPVLLKLEPHVESLFTYSFSWNFECSHCGHQYQNRCVKSLVTFTNIVPEW HPLNAAHFGPCNSCNSKSQIRKMVLERASPILMLHFVEGLPRRDLQHYAFHFEGSLYQVT SVIQYQANNHFITWFLDADGSWLECDDLKGPCAKRHVTCEVPASETHIVIWERKSQVPIE EAACLPCMKPNVQPVSGEEQPTCPALCSLAGTATSEPSVAHPTSMAGAPQTLPEIQAVAH GDSVLSGAKGMVDSILPSALEETIQETASVSQVDSKDCLLEDKPVAGSAALVRVLAFQPQ DSPGSSGSSLVSSLCEGKLVAPCVDSSFPSQAVSTDLQAVLSQAGDTVVPNPVTDAPVPV LVQELKSLATEKDSQTQLLPLKTEKLDPEQPGKSQASNLRKRETTASSKTVAARSAQNQP RKEDQKRAFVGSWVKGLLSRGGAFMPTCVLSQSRAVSDLQPSVKGASNFDGFKTKSISRR SKRMSRKAKHMEELSPRNSSPPLSWTAALTQAAENATSALLREQEGSRPAPLRHRSPGNE SAISPASRGDAAEDQVHKLRLKLLKKLKAKKKKLAALISSPHREPSLSDHSEPASHCGTP ASDQSEPVSHCGSPNDCESIEDLLKELQHQIDLADSKSGCTSAPDATSNNSQSHEEILAE LLSPTAMSEPSESGELELRYLEMGDSTPAQAPSEFSVVSQNTCLKQDHDYCSPEKGQREV DLHSVMDSACIRTLNLGSPMKTDIFDDFFSTSALNSLTNDTLDIPHFDDSLFENC >ENSMUSP00000097978.3 pep:known chromosome:GRCm38:5:149184666:149215431:1 gene:ENSMUSG00000041264.16 transcript:ENSMUST00000100410.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uspl1 description:ubiquitin specific peptidase like 1 [Source:MGI Symbol;Acc:MGI:2442342] MTDDSLKIGNGLPLVGPGTDVGISSLPMLGYLGKNYASAKVTTDGHCPACRAKGKLSALK PYRISFQESVFLCEDLQCIYPLGSESLTNLISPDSEDCPTPSKPQKRKRLETNCRNSPLP VHSKKTRSHIVTDSEPIVNGKYNGEVCDDFSASFPDTSAHQDPASTAASVEQSEALEADD VVVAATEDPATVSVTSELEMPAKSRCLPLCQTLCVQWKNTQALCWLDCILSALVHLEVLR KTVLEACSREECVFGRLFEMYHQADELLHTHHLHGVTGEDCKKLTSEIFTEIDTCLNKVR DEIFAKLQPKLRCTLGDMESPVFALPVLLKLEPHVESLFTYSFSWNFECSHCGHQYQNRC VKSLVTFTNIVPEWHPLNAAHFGPCNSCNSKSQIRKMVLERASPILMLHFVEGLPRRDLQ HYAFHFEGSLYQVTSVIQYQANNHFITWFLDADGSWLECDDLKGPCAKRHVTCEVPASET HIVIWERKSQVPIEEAACLPCMKPNVQPVSGIEVPGN >ENSMUSP00000113247.1 pep:known chromosome:GRCm38:5:149184663:149215430:1 gene:ENSMUSG00000041264.16 transcript:ENSMUST00000122160.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uspl1 description:ubiquitin specific peptidase like 1 [Source:MGI Symbol;Acc:MGI:2442342] MTDDSLKIGNGLPLVGPGTDVGISSLPMLGYLGKNYASAKVTTDGHCPACRAKGKLSALK PYRISFQESVFLCEDLQCIYPLGSESLTNLISPDSEDCPTPSKPQKRKRLETNCRNSPLP VHSKKTRSHIVTDSEPIVNGKYNGEVCDDFSASFPDTSAHQDPASTAASVEQSEALEADD VVVAATEDPATVSVTSELEMPAKSRCLPLCQTLCVQWKNTQALCWLDCILSALVHLEVLR KTVLEACSREECVFGRLFEMYHQADELLHTHHLHGVTGEDCKKLTSEIFTEIDTCLNKVR DEIFAKLQPKLRCTLGDMESPVFALPVLLKLEPHVESLFTYSFSWNFECSHCGHQYQNRC VKSLVTFTNIVPEWHPLNAAHFGPCNSCNSKSQIRKMVLERASPILMLHFVEGLPRRDLQ HYAFHFEGSLYQVTSVIQYQANNHFITWFLDADGSWLECDDLKGPCAKRHVTCEVPASET HIVIWERKSQVPIEEAACLPCMKPNVQPVSGEEQPTCPALCSLAGTATSEPSVAHPTSMA GAPQTLPEIQAVAHGDSVLSGAKGMVDSILPSALEETIQETASVSQVDSKDCLLEDKPVA GSAALVRVLAFQPQDSPGSSGSSLVSSLCEGKLVAPCVDSSFPSQAVSTDLQAVLSQAGD TVVPNPVTDAPVPVLVQELKSLATEKDSQTQLLPLKTEKLDPEQPGKSQASNLRKRETTA SSKTVAARSAQNQPRKEDQKRAFVGSWVKGLLSRGGAFMPTCVLSQSRAVSDLQPSVKGA SNFDGFKTKSISRRSKRMSRKAKHMEELSPRNSSPPLSWTAALTQAAENATSALLREQEG SRPAPLRHRSPGNESAISPASRGDAAEDQVHKLRLKLLKKLKAKKKKLAALISSPHREPS LSDHSEPASHCGTPASDQSEPVSHCGSPNDCESIEDLLKELQHQIDLADSKSGCTSAPDA TSNNSQSHEEILAELLSPTAMSEPSESGELELRYLEMGDSTPAQAPSEFSVVSQNTCLKQ DHDYCSPEKGQREVDLHSVMDSACIRTLNLGSPMKTDIFDDFFSTSALNSLTNDTLDIPH FDDSLFENC >ENSMUSP00000050172.9 pep:known chromosome:GRCm38:5:149184560:149215434:1 gene:ENSMUSG00000041264.16 transcript:ENSMUST00000050472.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uspl1 description:ubiquitin specific peptidase like 1 [Source:MGI Symbol;Acc:MGI:2442342] MTDDSLKIGNGLPLVGPGTDVGISSLPMLGYLGKNYASAKVTTDGHCPACRAKGKLSALK PYRISFQESVFLCEDLQCIYPLGSESLTNLISPDSEDCPTPSKPQKRKRLETNCRNSPLP VHSKKTRSHIVTDSEPIVNGKYNGEVCDDFSASFPDTSAHQDPASTAASVEQSEALEADD VVVAATEDPATVSVTSELEMPAKSRCLPLCQTLCVQWKNTQALCWLDCILSALVHLEVLR KTVLEACSREECVFGRLFEMYHQADELLHTHHLHGVTGEDCKKLTSEIFTEIDTCLNKVR DEIFAKLQPKLRCTLGDMESPVFALPVLLKLEPHVESLFTYSFSWNFECSHCGHQYQNRC VKSLVTFTNIVPEWHPLNAAHFGPCNSCNSKSQIRKMVLERASPILMLHFVEGLPRRDLQ HYAFHFEGSLYQVTSVIQYQANNHFITWFLDADGSWLECDDLKGPCAKRHVTCEVPASET HIVIWERKSQVPIEEAACLPCMKPNVQPVSGEEQPTCPALCSLAGTATSEPSVAHPTSMA GAPQTLPEIQAVAHGDSVLSGAKGMVDSILPSALEETIQETASVSQVDSKDCLLEDKPVA GSAALVRVLAFQPQDSPGSSGSSLVSSLCEGKLVAPCVDSSFPSQAVSTDLQAVLSQAGD TVVPNPVTDAPVPVLVQELKSLATEKDSQTQLLPLKTEKLDPEQPGKSQASNLRKRETTA SSKTVAARSAQNQPRKEDQKRAFVGSWVKGLLSRGGAFMPTCVLSQSRAVSDLQPSVKGA SNFDGFKTKSISRRSKRMSRKAKHMEELSPRNSSPPLSWTAALTQAAENATSALLREQEG SRPAPLRHRSPGNESAISPASRGDAAEDQVHKLRLKLLKKLKAKKKKLAALISSPHREPS LSDHSEPASHCGTPASDQSEPVSHCGSPNDCESIEDLLKELQHQIDLADSKSGCTSAPDA TSNNSQSHEEILAELLSPTAMSEPSESGELELRYLEMGDSTPAQAPSEFSVVSQNTCLKQ DHDYCSPEKGQREVDLHSVMDSACIRTLNLGSPMKTDIFDDFFSTSALNSLTNDTLDIPH FDDSLFENC >ENSMUSP00000113894.1 pep:known chromosome:GRCm38:5:149184350:149215428:1 gene:ENSMUSG00000041264.16 transcript:ENSMUST00000121416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uspl1 description:ubiquitin specific peptidase like 1 [Source:MGI Symbol;Acc:MGI:2442342] MPAKSRCLPLCQTLCVQWKNTQALCWLDCILSALVHLEVLRKTVLEACSREECVFGRLFE MYHQADELLHTHHLHGVTGEDCKKLTSEIFTEIDTCLNKVRDEIFAKLQPKLRCTLGDME SPVFALPVLLKLEPHVESLFTYSFSWNFECSHCGHQYQNRCVKSLVTFTNIVPEWHPLNA AHFGPCNSCNSKSQIRKMVLERASPILMLHFVEGLPRRDLQHYAFHFEGSLYQVTSVIQY QANNHFITWFLDADGSWLECDDLKGPCAKRHVTCEVPASETHIVIWERKSQVPIEEAACL PCMKPNVQPVSGEEQPTCPALCSLAGTATSEPSVAHPTSMAGAPQTLPEIQAVAHGDSVL SGAKGMVDSILPSALEETIQETASVSQVDSKDCLLEDKPVAGSAALVRVLAFQPQDSPGS SGSSLVSSLCEGKLVAPCVDSSFPSQAVSTDLQAVLSQAGDTVVPNPVTDAPVPVLVQEL KSLATEKDSQTQLLPLKTEKLDPEQPGKSQASNLRKRETTASSKTVAARSAQNQPRKEDQ KRAFVGSWVKGLLSRGGAFMPTCVLSQSRAVSDLQPSVKGASNFDGFKTKSISRRSKRMS RKAKHMEELSPRNSSPPLSWTAALTQAAENATSALLREQEGSRPAPLRHRSPGNESAISP ASRGDAAEDQVHKLRLKLLKKLKAKKKKLAALISSPHREPSLSDHSEPASHCGTPASDQS EPVSHCGSPNDCESIEDLLKELQHQIDLADSKSGCTSAPDATSNNSQSHEEILAELLSPT AMSEPSESGELELRYLEMGDSTPAQAPSEFSVVSQNTCLKQDHDYCSPEKGQREVDLHSV MDSACIRTLNLGSPMKTDIFDDFFSTSALNSLTNDTLDIPHFDDSLFENC >ENSMUSP00000061533.3 pep:known chromosome:GRCm38:8:21858901:21862011:1 gene:ENSMUSG00000044743.3 transcript:ENSMUST00000054162.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb10 description:defensin beta 10 [Source:MGI Symbol;Acc:MGI:2179205] MRTLCSLLLICCLLFSYTTPAVGDLKHLILKAQLTRCYKFGGFCHYNICPGNSRFMSNCH PENLRCCKNIKQF >ENSMUSP00000041436.7 pep:known chromosome:GRCm38:6:34945278:34977999:-1 gene:ENSMUSG00000029847.13 transcript:ENSMUST00000044387.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G12Rik description:RIKEN cDNA 2010107G12 gene [Source:MGI Symbol;Acc:MGI:1917272] MLSLPAWKCPEWTLNASQVNTSSPEFIEEWQKRIRELQGAIMVASCVQMLVGFSGLIGFL MRFIGPLTIAPTISLVALPLFDSAGSDAGIHWGISALTIFLIVLFSQYLKNVMVPVPVYG RRKKCHISRFNLFQVFPVLLALCLSWLFCFVLTVTNTLPESPTAYGYMARTDTKGSVLSQ APWFRFPYPGQWGLPTISLAGVFGIIAGVISSMVESVGDYHACARLVGAPPPPKHAINRG IGIEGLGCLLAGAWGTGNGTTSYSENVGALGITRFCTSSCTRLLPFWPRLDHHRDEVGVS QLTLCSQTSSGNHLWSATETKM >ENSMUSP00000144387.1 pep:known chromosome:GRCm38:6:34945935:34988404:-1 gene:ENSMUSG00000029847.13 transcript:ENSMUST00000201355.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G12Rik description:RIKEN cDNA 2010107G12 gene [Source:MGI Symbol;Acc:MGI:1917272] MNSAGGSCESPDCMGGDGVLSLHTEGQGKKQDGVQKDPNSNSNLAYGILDSPPWYLCIFL GIQHFLTALGGLVAVPLILAKDLCLQHDPLTQSYLISTIFFVSGICTLLQVFLGVRLPIL QGGTFAFVAPSLAMLSLPAWKCPEWTLNASQVNTSSPEFIEEWQKRIRELQGAIMVASCV QMLVGFSGLIGFLMRFIGPLTIAPTISLVALPLFDSAGSDAGIHWGISALTIFLIVLFSQ YLKNVMVPVPVYGRRKKCHISRFNLFQVFPVLLALCLSWLFCFVLTVTNTLPESPTAYGY MARTDTKGSVLSQAPWFRFPYPGQWGLPTISLAGVFGIIAGVISSMVESVGDYHACARLV GAPPPPKHAINRGIGIEGLGCLLAGAWGTGNGTTSYSENVGALGITRVGSRMVIVAAGCV LLVMGMFGKIGAAFATIPTPVIGGMFLVMFGIISAVGISNLQYVDMNSSRNLFVFGFSIY CGLAIPNWVNKNPEKLQTGILQLDQVIQVLLTTGMFVGGFLGFVLDNTIPGSLEERGLLA WSKIQEDSEESSKVSKVYGLPWGIGTKFCTSSCTRLLPFWPRLDHHRDEVGVSQLTLCSQ TSSGNHLWSATETKM >ENSMUSP00000119927.1 pep:known chromosome:GRCm38:6:34948436:34955903:-1 gene:ENSMUSG00000029847.13 transcript:ENSMUST00000147169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G12Rik description:RIKEN cDNA 2010107G12 gene [Source:MGI Symbol;Acc:MGI:1917272] XISRFNLFQVFPVLLALCLSWLFCFVLTVTNTLPESPTAYGYMARTDTKGSVLSQAPWFR FPYPGQWGLPTISLAGVFGIIAGVISSMVESVGDYHACARLVGAPPPPKHAINRGIGIEG LGCLLAGAWGTGNGTTSYSENVGALGITRVGSRMVIVAAGCVLLVMGMFGKIGAAFATIP TPVIGGMFLVMFGIISAVGISNLQYVDMNSSRNLFVFGFSIYCGLAIP >ENSMUSP00000122803.1 pep:known chromosome:GRCm38:6:34953839:34979054:-1 gene:ENSMUSG00000029847.13 transcript:ENSMUST00000144079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107G12Rik description:RIKEN cDNA 2010107G12 gene [Source:MGI Symbol;Acc:MGI:1917272] MLSLPAWKCPEWTLNASQVNTSSPEFIEEWQKRIRELQGAIMVASCVQMLVGFSGLIGFL MRFIGPLTIAPTISLVALPLFDSAGSDAGIHWGISALTIFLIVLFSQYLKNVMVPVPVYG RRKKCHISRFNLFQVFPVLLALCLSWLFCFVLTVTNTLPESPTAYGYMARTDTKGSVLSQ APWFRFPYPGQWGLPTISLAGVFGIIAGVISSMVESVGDYHACARLVGAPPPPKHAINRG IGIEGLGCL >ENSMUSP00000139380.1 pep:known chromosome:GRCm38:9:35776524:35790101:-1 gene:ENSMUSG00000098847.1 transcript:ENSMUST00000184431.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm27235 description:predicted gene 27235 [Source:MGI Symbol;Acc:MGI:5521078] MKNFLRLCLFLLCFETGFPLQCVQCQSYKNGECATKKETCTTKPGETCMIRRTWYANETI LYLKRNKRKIRHRRKIWKIARLS >ENSMUSP00000018569.6 pep:known chromosome:GRCm38:11:86768846:86807746:-1 gene:ENSMUSG00000018425.18 transcript:ENSMUST00000018569.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx40 description:DEAH (Asp-Glu-Ala-His) box polypeptide 40 [Source:MGI Symbol;Acc:MGI:1914737] MSRFPAVAGRAPRRQEEGERPIELQEERPSAVRIADREEKGCTSQEGGTTPTFPIQKQRK KLIQAVRDNSFLIVTGNTGSGKTTQLPKYLYEAGFSQHGMIGVTQPRKVAAISVAQRVAE EMKCTLGSKVGYQVRFDDCSSKETAIKYMTDGCLLKHILGDPNLSKFSVIILDEAHERTL TTDILFGLLKKLFQDKSPNRKEHLKVVVMSATMELAKLSAFFGNCPIFDIPGRLYPVREK FCNLIGPRDRENTAYIQAIVKVTMDIHLNEMAGDILVFLTGQFEIEKSCELLFQMAESVD YDYDVQDTTLDGLLILPCYGSMTTDQQRRIFLPPPPGIRKCVISTNISATSLTIDGIRYV VDGGFVKQLNHNPRLGLDILEVVPISKSEALQRSGRAGRTASGKCFRIYSKEFWSQCMPD HVIPEIKRTSLTSVVLTLKCLAIHDVIRFPYLDPPNERLILEALKQLYQCDAIDRSGHVT RLGLSMVEFPLPPHLTCAVIRAASLDCEDLLLPIAAMLSVENVFIRPVDPEYQKEAEQRH RELAAKAGGFNDFATLAVIFEQCKSSGAPASWCQKHWIHWRCLFSAFRVEAQLRELIRKL KQQSDFPRETFEGPKHEVLRRCLCAGYFKNVARRSVGRTFCTMDGRGSPVHIHPSSALHE QETKLEWIIFHEVLVTTKVYARIVCPIRYEWVRDLLPKLHELNAHDLSSVARREMREDAR RKWTNKENVKQLKDGISKEVLKKMQRRNDDKSISDARARFLERKQQRIQDHSDTLKETG >ENSMUSP00000114918.1 pep:known chromosome:GRCm38:11:86775660:86801088:-1 gene:ENSMUSG00000018425.18 transcript:ENSMUST00000148263.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx40 description:DEAH (Asp-Glu-Ala-His) box polypeptide 40 [Source:MGI Symbol;Acc:MGI:1914737] ETAIKYMTDGCLLKHILGDPNLSKFSVIILDEAHERTLTTDILFGLLKKLFQDKSPNRKE HLKVVIVKVTMDIHLNEMAGDILVFLTDQQRRIFLPPPPGIRKCVISTNISATSLTIDGI RYVVDGGFVKQLNHNPRLGLDILEVVPISKFPYLDPPNERLILEALKQLYQCDAIDRSGH VTRLGLSMVEFPLPPHLTCAVIRAASLDCEDLLLPIAAMLSVENVFIRPVDPEYQKEAEQ RHRELAAKAGGFNDFATLAVIFEQCKSSGAPASWCQKHWIHWRCLFSAFRVEAQLRELIR KLKQ >ENSMUSP00000002368.9 pep:known chromosome:GRCm38:5:8396973:8422680:-1 gene:ENSMUSG00000002297.15 transcript:ENSMUST00000002368.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbf4 description:DBF4 zinc finger [Source:MGI Symbol;Acc:MGI:1351328] MNLETMRIHSKAPLPGGIQDRNEKNRPSLKSLKADNRLEKSKYKPLWGKIFYLDLPSITI CEKLQKDIKELGGRVEEFLSKDISYFVSNKKEAKYAQTLGRVSPVPSPESAYTAETTSPH PSHDGSSFKSQDRVCLSRGKLLAEKAVKDHDFIPANSILSNALSWGVKILHIDDIRYYIE QKKKALSALKKSSASGKDAGKKAGPGIQKTRRRLKKPFLKVEDVNRCYRPFYLQLPSLPC INYFLQKPCSPFDIEKSSSVQKQAQPKLRINMDGDKCGTPVQLQLKEKRKKGYCECCLQK YEDLETHLLSEKHRNFAQSNQYQVVDDIVSQLVFDFVEYGRDTPQKKRIRYSVGSLSSVS ANVLKNTAPKEKPLLEPNFQKDVGESSGHLLKPNSQYEETQKPEEKHGFASEPTTYSSAG LKGCDRKPVSMFNASEPDPEQEYAQLPLRDSTPEHQVTEGRNDGEQRVDPAPGVSQSCGQ VSHLSTESNLPQPQLAADITQLSAKDLQEKGFHVVIGHASDLVALNTSKEQLTMKARTPP CSPQEPHECDTENMENLPCGKIQRKVRMLLGQQKANAEPSAELDKKRTEYLPAHEDRTCG SPVQSLLDLFQTSEEKSEFLGFTGYTENSGICDVLDIWEEENSSTLLSTFFSSPSTSAFV GF >ENSMUSP00000132906.1 pep:known chromosome:GRCm38:5:8397011:8422716:-1 gene:ENSMUSG00000002297.15 transcript:ENSMUST00000171808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbf4 description:DBF4 zinc finger [Source:MGI Symbol;Acc:MGI:1351328] MNLETMRIHSKAPLPGGIQDRNEKNRPSLKSLKADNRLEKSKYKPLWGKIFYLDLPSITI CEKLQKDIKELGGRVEEFLSKDISYFVSNKKEAKYAQTLGRVSPVPSPESAYTAETTSPH PSHDGSSFKSQDRVCLSRGKLLAEKAVKDHDFIPANSILSNALSWGVKILHIDDIRYYIE QKKKALSALKKSSASGKDAGKKAGPGIQKTRTGRLKKPFLKVEDVNRCYRPFYLQLPSLP CINYFLQKPCSPFDIEKSSSVQKQAQPKLRINMDGDKCGTPVQLQLKEKRKKGYCECCLQ KYEDLETHLLSEKHRNFAQSNQYQVVDDIVSQLVFDFVEYGRDTPQKKRIRYSVGSLSSV SANVLKNTAPKEKPLLEPNFQKDVGESSGHLLKPNSQYEETQKPEEKHGFASEPTTYSSA GLKGCDRKPVSMFNASEPDPEQEYAQLPLRDSTPEHQVTEGRNDGEQRVDPAPGVSQSCG QVSHLSTESNLPQPQLAADITQLSAKDLQEKGFHVVIGHASDLVALNTSKEQLTMKARTP PCSPQEPHECDTENMENLPCGKIQRKVRMLLGQQKANAEPSAELDKKRTEYLPAHEDRTC GSPVQSLLDLFQTSEEKSEFLGFTGYTENSGICDVLDIWEEENSSTLLSTFFSSPSTSAF VGF >ENSMUSP00000132985.1 pep:known chromosome:GRCm38:5:8397154:8422582:-1 gene:ENSMUSG00000002297.15 transcript:ENSMUST00000168500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbf4 description:DBF4 zinc finger [Source:MGI Symbol;Acc:MGI:1351328] MNLETMRIHSKAPLPGGIQDRNEKNRPSLKSLKADNRLEKSKYKPLWGKIFYLDLPSITI CEKLQKDIKELGGRVEEFLSKDISYFVSNKKEAKYAQTLGRVSPVPSPESAYTAETTSPH PSHDGSSFKSQDRVCLSRGKLLAEKAVKDHDFIPANSILSNALSWGVKILHIDDIRYYIE QKKKALSALKKSSASGKDAGKKAGPGIQKTRTGRLKKPFLKVEDVNRCYRPFYLQLPSLP CINYFLQKPCSPFDIEKSSSVQKQAQPKLRINMDGDKCGTPVQLQLKEKRKKGYCECCLQ KYEDLETNKIQCRVPFFCFCKCPEKHCTEGEATLGAQFPEGRGRKQWAPAQAELSV >ENSMUSP00000129317.1 pep:known chromosome:GRCm38:5:8398325:8403612:-1 gene:ENSMUSG00000002297.15 transcript:ENSMUST00000163141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbf4 description:DBF4 zinc finger [Source:MGI Symbol;Acc:MGI:1351328] XINMDGDKCGTPVQLQLKEKRKKGYCECCLQKYEDLETHLLSEKHRNFAQSNQYQVVDDI VSQLVFDFVEYGRDTPQKKRIVQEILRFSVKKTFKSKICLKLKGYFVAFTCAKI >ENSMUSP00000129553.2 pep:known chromosome:GRCm38:9:35776524:35778109:-1 gene:ENSMUSG00000090738.2 transcript:ENSMUST00000171898.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17727 description:predicted gene, 17727 [Source:MGI Symbol;Acc:MGI:4937361] MGKVQELSIVLLLCMQTALALMCRECKSYSHQKCIHEMRTCTAKDGESCLTVRLWISPSS VHTPNDAYSRCQENCTTDEYYYGDYTILIKCCKKFDFCNDIAVPSDEWS >ENSMUSP00000032633.5 pep:known chromosome:GRCm38:7:56239760:56536518:1 gene:ENSMUSG00000030450.11 transcript:ENSMUST00000032633.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oca2 description:oculocutaneous albinism II [Source:MGI Symbol;Acc:MGI:97454] MRLENKDIRLASAVLEVELHQTSALSVPTCPDPGRLLTVKPATSNYKLGQADPCIPYAGE AAGKSVCVPEHTEFGSFLVKGSSSLKDLSFKEDTPLLWNSSQKKRSQLMPVHHPEFIATE GSWENGLTAWEQKCMLGKEVADLSALASSEKRDLAGSVHLRAQVSKLGCCVRWIKITGLF VFVVLCSILFSLYPDQGKFWQLLAVSPLENYSVNLSGHADSMILQLDLAGALMAGGPSGS GKEEHVVVVVTQTDAAGNRRRRPQQLTYNWTVLLNPRSEHVVVSRTFEIVSREAVSISIQ ASLQQTRLVPLLLAHQFLGASVEAQVASAVAILAGVYTLIIFEIVHRTLAAMLGALAALA ALAVVGDRPSLTHVVEWIDFETLALLFGMMILVAVFSETGFFDYCAVKAYQLSRGRVWAM IFMLCLMAAILSAFLDNVTTMLLFTPVTIRLCEVLNLDPRQVLIAEVIFTNIGGAATAIG DPPNVIIVSNQELRKMGLDFAGFTAHMFLGICLVLLVSFPLLRLLYWNKKLYNKEPSEIV ELKHEIHVWRLTAQRISPASREETAVRGLLLEKVLALEHLLAQRLHTFHRQISQEDKNWE TNIQELQRKHRISDRSLLVKCLTVLGFVISMFFLNSFVPGIHLDLGWIAILGAIWLLILA DIHDFEIILHRVEWATLLFFAALFVLMEALTHLHLVEYVGEQTALLIKMVPEDQRFAAAI VLIVWVSALASSLIDNIPFTATMIPVLLNLSQDPEISLPALPLMYALALGACLGGNGTLI GASTNVVCAGIAEKHGYGFSFMEFFRLGFPVMLMSCTIGMCYLLIAHIVVGWN >ENSMUSP00000145823.1 pep:known chromosome:GRCm38:7:56239761:56254966:1 gene:ENSMUSG00000030450.11 transcript:ENSMUST00000155533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oca2 description:oculocutaneous albinism II [Source:MGI Symbol;Acc:MGI:97454] MRLENKDIRLASAVLEVELHQTSALSVPTCPDPGRLLTVKPATSNYKLGQADPCIPYAG >ENSMUSP00000119099.1 pep:known chromosome:GRCm38:7:56239777:56536265:1 gene:ENSMUSG00000030450.11 transcript:ENSMUST00000152693.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oca2 description:oculocutaneous albinism II [Source:MGI Symbol;Acc:MGI:97454] MRLENKDIRLASAVLEVELHQTSALSVPTCPDPGRLLTVKPATSNYKLGQADPCIPYAGE AAGKSVCVPEHTEFGSFLVKGSSSLKDLSFKEDTPLLWNSSQKKRSQLMPVHHPEFIATE GSWENGLTAWEQKCMLGKEVADLSALASSEKRDLAGSVHLRAQVSKLGCCVRWIKITGLF VFVVLCSILFSLYPDQGKFWQLLAVSPLENYSVNLSGHADSMILQLDLAGALMAGGPSGS GKEEHVVVVVTQTDAAGNRRRRPQQLTYNWTVLLNPRSEHVVVSRTFEIVSRLFTEPWQP CWEHLQH >ENSMUSP00000121798.1 pep:known chromosome:GRCm38:7:56239781:56280796:1 gene:ENSMUSG00000030450.11 transcript:ENSMUST00000156886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oca2 description:oculocutaneous albinism II [Source:MGI Symbol;Acc:MGI:97454] MRLENKDIRLASAVLEVELHQTSALSVPTCPDPGRLLTVKPATSNYKLGQADPCIPYAGE AAGKSVCVPEHTEFGSFLVKGSSSLKDLSFKEDTPLLWNSSQKKRSQLMPVHHPEFIATE >ENSMUSP00000119529.1 pep:known chromosome:GRCm38:7:56239808:56536513:1 gene:ENSMUSG00000030450.11 transcript:ENSMUST00000144739.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oca2 description:oculocutaneous albinism II [Source:MGI Symbol;Acc:MGI:97454] MRLENKDIRLASAVLEVELHQTSALSVPTCPDPGRLLTVKPATSNYKLGQADPCIPYAGE AAGKSVCVPEHTEFGSFLVKGSSSLKDLSFKEDTPLLWNSSQKKRRTQCHFRALRTMALA WRVLNTRTNMAVTQHM >ENSMUSP00000096743.2 pep:known chromosome:GRCm38:2:157088453:157096482:1 gene:ENSMUSG00000074628.9 transcript:ENSMUST00000099140.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tldc2 description:TBC/LysM associated domain containing 2 [Source:MGI Symbol;Acc:MGI:2686178] MEDTLSGEEGEEEEEEEPAPAPAPQDPVEPQLTEASQVLGASEIKQLSLHLPPRVTGHPW SLVFCTSRDGFSLRRLYRQMEGHSGPVLLLLRDQDGQMFGAFSSSAIRLSKGFYGTGETF LFSFSPQLKVFKWTGHNSFFVKGDLDSLMMGSGSGQFGLWLDGDLYHGGSYPCATFNNEV LARREQFCIKELEAWVLS >ENSMUSP00000129278.1 pep:known chromosome:GRCm38:2:157087055:157096481:1 gene:ENSMUSG00000074628.9 transcript:ENSMUST00000166140.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tldc2 description:TBC/LysM associated domain containing 2 [Source:MGI Symbol;Acc:MGI:2686178] MKGWQWRYTQLPTEMEDTLSGEEGEEEEEEEPAPAPAPQDPVEPQLTEASQVLGASEIKQ LSLHLPPRVTGHPWSLVFCTSRDGFSLRRLYRQMEGHSGPVLLLLRDQDGQMFGAFSSSA IRLSKGFYGTGETFLFSFSPQLKVFKWTGHNSFFVKGDLDSLMMGSGSGQFGLWLDGDLY HGGSYPCATFNNEVLARREQFCIKELEAWVLS >ENSMUSP00000084095.1 pep:known chromosome:GRCm38:1:89950220:90014577:-1 gene:ENSMUSG00000067081.12 transcript:ENSMUST00000086882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb18 description:ankyrin repeat and SOCS box-containing 18 [Source:MGI Symbol;Acc:MGI:2655109] MSSWDSPPDYPLSSDIVRRLKSALATGDEDTVQKLICTEVEPVDAVIELANDDWMKEPSA ELPTGVLLGDLDHIRLLMDQCFWDANAVFEISNGEMEWQVTSLATFGLSGLWTLEYQREL TTPLCIAAAHGHESCVRHLLSRKADPNASPGGRGALHEACLGNHTACARLLLQHGADPDL LNSEGLAPLHLCRTPASLGCARELLEHGATVQLEGGPGRDTPLHVAAQRGLDEHAQLYLG FGARVDARNGRGETALSAACGAAARSPDEHARCLRLCALLLRGGAAADARDEDERSPLHK ACGHARPGLCTLLLRHGADAGALDYGGASPLARALQTATCAPPTAPQRTVQVLLNHGSRS VWPDAFPKVLRTCAQVPEVIEVLFNSYTQLPVSESWREAIPEEVYQMHKPFYRSLFALAH TPRCLQHLCRCTIRKLFGKESVHLVPQLPLPETLQNYLLLEPEGVLC >ENSMUSP00000117767.1 pep:known chromosome:GRCm38:1:89952678:90014577:-1 gene:ENSMUSG00000067081.12 transcript:ENSMUST00000154228.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asb18 description:ankyrin repeat and SOCS box-containing 18 [Source:MGI Symbol;Acc:MGI:2655109] MSSWDSPPDYPLSSDIVRRLKSALATGDEDTVQKLICTEVEPVDAVIELANDDWMKEPSA ELPTGVLLGLWTLEYQRELTTPLCIAAAHGHESCVRHLLSRKADPNASPGGRGALHEACL GNHTACARLLLQHGADPDLLNSEGLAPLHLCRTPASLGF >ENSMUSP00000117836.1 pep:known chromosome:GRCm38:1:89952679:90014577:-1 gene:ENSMUSG00000067081.12 transcript:ENSMUST00000151330.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asb18 description:ankyrin repeat and SOCS box-containing 18 [Source:MGI Symbol;Acc:MGI:2655109] MSSWDSPPDYPLSSDIVRRLKSALATGDEDTVQKLICTEVEPVDAVIELANDDWMKEPSA ELPTGVLLGLWTLEYQRELTTPLARSRCY >ENSMUSP00000095261.3 pep:known chromosome:GRCm38:1:89952591:90014666:-1 gene:ENSMUSG00000067081.12 transcript:ENSMUST00000097656.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb18 description:ankyrin repeat and SOCS box-containing 18 [Source:MGI Symbol;Acc:MGI:2655109] MSSWDSPPDYPLSSDIVRRLKSALATGDEDTVQKLICTEVEPVDAVIELANDDWMKEPSA ELPTGVLLGLWTLEYQRELTTPLRTVQVLLNHGSRSVWPDAFPKVLRTCAQVPEVIEVLF NSYTQLPVSESWREAIPEEVYQMHKPFYRSLFALAHTPRCLQHLCRCTIRKLFGKESVHL VPQLPLPETLQNYLLLEPEGVLC >ENSMUSP00000087119.4 pep:known chromosome:GRCm38:14:54431612:54441265:1 gene:ENSMUSG00000000957.9 transcript:ENSMUST00000089688.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp14 description:matrix metallopeptidase 14 (membrane-inserted) [Source:MGI Symbol;Acc:MGI:101900] MSPAPRPSRSLLLPLLTLGTALASLGWAQGSNFSPEAWLQQYGYLPPGDLRTHTQRSPQS LSAAIAAMQKFYGLQVTGKADLATMMAMRRPRCGVPDKFGTEIKANVRRKRYAIQGLKWQ HNEITFCIQNYTPKVGEYATFEAIRKAFRVWESATPLRFREVPYAYIREGHEKQADIMIL FAEGFHGDSTPFDGEGGFLAHAYFPGPNIGGDTHFDSAEPWTVQNEDLNGNDIFLVAVHE LGHALGLEHSNDPSAIMAPFYQWMDTENFVLPDDDRRGIQQLYGSKSGSPTKMPPQPRTT SRPSVPDKPKNPAYGPNICDGNFDTVAMLRGEMFVFKERWFWRVRNNQVMDGYPMPIGQF WRGLPASINTAYERKDGKFVFFKGDKHWVFDEASLEPGYPKHIKELGRGLPTDKIDAALF WMPNGKTYFFRGNKYYRFNEEFRAVDSEYPKNIKVWEGIPESPRGSFMGSDEVFTYFYKG NKYWKFNNQKLKVEPGYPKSALRDWMGCPSGGRPDEGTEEETEVIIIEVDEEGSGAVSAA AVVLPVLLLLLVLAVGLAVFFFRRHGTPKRLLYCQRSLLDKV >ENSMUSP00000142665.1 pep:known chromosome:GRCm38:14:54431623:54436188:1 gene:ENSMUSG00000000957.9 transcript:ENSMUST00000197874.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mmp14 description:matrix metallopeptidase 14 (membrane-inserted) [Source:MGI Symbol;Acc:MGI:101900] MSPAPRPSRSLLLPLLTLGTALASLGWAQGSNFSPEPPFSGIF >ENSMUSP00000143012.1 pep:known chromosome:GRCm38:14:54432436:54435872:1 gene:ENSMUSG00000000957.9 transcript:ENSMUST00000196155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp14 description:matrix metallopeptidase 14 (membrane-inserted) [Source:MGI Symbol;Acc:MGI:101900] MQKFYGLQVTG >ENSMUSP00000070719.4 pep:known chromosome:GRCm38:1:183418462:183436801:1 gene:ENSMUSG00000053461.9 transcript:ENSMUST00000065900.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhipl2 description:hedgehog interacting protein-like 2 [Source:MGI Symbol;Acc:MGI:1926022] MLGKHTSPHTVPGHRAPWLSPGIFCLGLPFLLGWVGLLQGHPQCLDYGPPFRPPQHLDFC SDYDSFGCCDQRKDRRIAARYWDIMSYFDLKAHELCGGYIKDILCQECSPYAAHLYDAEN PQTPLRNLPGLCSDYCSAFHRSCHSAISLLTNDRGLQESHGKDGARFCHLLNLPDEDYCF PNVLRNDQLNRNLGVVAEDQQGCLQLCLVEVANGLRNPVSMVHAGDGTHRFFVAEQVGVV WVYLPDGSRLEQPFLDLKSMVLTTPWIGDERGFLGLAFHPKFRHNRKFYIYYSCLGKRKV EKIRISEMKVSLSDGNRADPKSERVILEIDEPASNHNGGQLLFGLDGYLYIFTGDGGQAG DPFGKFGNAQNKSSLLGKVLRIDVNGADVDGQRYRVPLDNPFVSEPGAHPAVYAYGVRNM WRCAVDRGDPVTHRGRGRIFCGDVGQNKFEEVDLIVKGGNYGWRAKEGFECYDKRLCRNA SLDDILPIYAYGHGVGKSVTGGYVYRGCESPNLNGLYIFGDFMSGRLMALQEDRKTQKWT KRDICLGNSTCAFPGLISAYSRFIISFAEDEAGELYFLATSYPSAYAPHGSIYKFVDPSR RAPPGKCKYKPVPVKTKSKKVRFRPLAATVLDLLKEESQKAARKASNATFTSSSDRVASQ KGSLKKPASSRSSKKTFRRPGTKKKSRVWSPRPQGKRKPNLDSHGVGMRQAAGRSHP >ENSMUSP00000142121.1 pep:known chromosome:GRCm38:1:183419248:183436808:1 gene:ENSMUSG00000053461.9 transcript:ENSMUST00000192527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhipl2 description:hedgehog interacting protein-like 2 [Source:MGI Symbol;Acc:MGI:1926022] MVHAGDGTHRFFVAEQVGVVWVYLPDGSRLEQPFLDLKSMVLTTPWIGDERGFLGLAFHP KFRHNRKFYIYYSCLGKRKVEKIRISEMKVSLSDGNRADPKSERVILEIDEPASNHNGGQ LLFGLDGYLYIFTGDGGQAGDPFGKFGNAQNKSSLLGKVLRIDVNGADVDGQRYRVPLDN PFVSEPGAHPAVYAYGVRNMWRCAVDRGDPVTHRGRGRIFCGDVGQNKFEEVDLIVKGGN YGWRAKEGFECYDKRLCRNASLDDILPIYAYGHGVGKSVTGGYVYRGCESPNLNGLYIFG DFMSGRLMALQEDRKTQKWTKRDICLGNSTCAFPGLISAYSRFIISFAEDEAGELYFLAT SYPSAYAPHGSIYKFVDPSRRAPPGKCKYKPVPVKTKSKKVRFRPLAATVLDLLKEESQK AARKASNATFTSSSDRVASQKGSLKKPASSRSSKKTFRRPGTKKKSRVWSPRPQGKRKPN LDSHGVGMRQAAGRSHP >ENSMUSP00000108739.3 pep:known chromosome:GRCm38:1:88303283:88388851:1 gene:ENSMUSG00000036251.16 transcript:ENSMUST00000113114.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm8 description:transient receptor potential cation channel, subfamily M, member 8 [Source:MGI Symbol;Acc:MGI:2181435] MSFEGARLSMRSRRNGTMGSTRTLYSSVSRSTDVSYSDSDLVNFIQANFKKRECVFFTRD SKAMENICKCGYAQSQHIEGTQINQNEKWNYKKHTKEFPTDAFGDIQFETLGKKGKYLRL SCDTDSETLYELLTQHWHLKTPNLVISVTGGAKNFALKPRMRKIFSRLIYIAQSKGAWIL TGGTHYGLMKYIGEVVRDNTISRNSEENIVAIGIAAWGMVSNRDTLIRSCDDEGHFSAQY IMDDFTRDPLYILDNNHTHLLLVDNGCHGHPTVEAKLRNQLEKYISERTSQDSNYGGKIP IVCFAQGGGRETLKAINTSVKSKIPCVVVEGSGQIADVIASLVEVEDVLTSSMVKEKLVR FLPRTVSRLPEEEIESWIKWLKEILESSHLLTVIKMEEAGDEIVSNAISYALYKAFSTNE QDKDNWNGQLKLLLEWNQLDLASDEIFTNDRRWESADLQEVMFTALIKDRPKFVRLFLEN GLNLQKFLTNEVLTELFSTHFSTLVYRNLQIAKNSYNDALLTFVWKLVANFRRSFWKEDR SSREDLDVELHDASLTTRHPLQALFIWAILQNKKELSKVIWEQTKGCTLAALGASKLLKT LAKVKNDINAAGESEELANEYETRAVELFTECYSNDEDLAEQLLVYSCEAWGGSNCLELA VEATDQHFIAQPGVQNFLSKQWYGEISRDTKNWKIILCLFIIPLVGCGLVSFRKKPIDKH KKLLWYYVAFFTSPFVVFSWNVVFYIAFLLLFAYVLLMDFHSVPHTPELILYALVFVLFC DEVRQWYMNGVNYFTDLWNVMDTLGLFYFIAGIVFRLHSSNKSSLYSGRVIFCLDYIIFT LRLIHIFTVSRNLGPKIIMLQRMLIDVFFFLFLFAVWMVAFGVARQGILRQNEQRWRWIF RSVIYEPYLAMFGQVPSDVDSTTYDFSHCTFSGNESKPLCVELDEHNLPRFPEWITIPLV CIYMLSTNILLVNLLVAMFGYTVGIVQENNDQVWKFQRYFLVQEYCNRLNIPFPFVVFAY FYMVVKKCFKCCCKEKNMESNACCFRNEDNETLAWEGVMKENYLVKINTKANDNSEEMRH RFRQLDSKLNDLKSLLKEIANNIK >ENSMUSP00000036991.7 pep:known chromosome:GRCm38:1:88306759:88389293:1 gene:ENSMUSG00000036251.16 transcript:ENSMUST00000040210.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm8 description:transient receptor potential cation channel, subfamily M, member 8 [Source:MGI Symbol;Acc:MGI:2181435] MSFEGARLSMRSRRNGTMGSTRTLYSSVSRSTDVSYSDSDLVNFIQANFKKRECVFFTRD SKAMENICKCGYAQSQHIEGTQINQNEKWNYKKHTKEFPTDAFGDIQFETLGKKGKYLRL SCDTDSETLYELLTQHWHLKTPNLVISVTGGAKNFALKPRMRKIFSRLIYIAQSKGAWIL TGGTHYGLMKYIGEVVRDNTISRNSEENIVAIGIAAWGMVSNRDTLIRSCDDEGHFSAQY IMDDFTRDPLYILDNNHTHLLLVDNGCHGHPTVEAKLRNQLEKYISERTSQDSNYGGKIP IVCFAQGGGRETLKAINTSVKSKIPCVVVEGSGQIADVIASLVEVEDVLTSSMVKEKLVR FLPRTVSRLPEEEIESWIKWLKEILESSHLLTVIKMEEAGDEIVSNAISYALYKAFSTNE QDKDNWNGQLKLLLEWNQLDLASDEIFTNDRRWESADLQEVMFTALIKDRPKFVRLFLEN GLNLQKFLTNEVLTELFSTHFSTLVYRNLQIAKNSYNDALLTFVWKLVANFRRSFWKEDR SSREDLDVELHDASLTTRHPLQALFIWAILQNKKELSKVIWEQTKGCTLAALGASKLLKT LAKVKNDINAAGESEELANEYETRAVELFTECYSNDEDLAEQLLVYSCEAWGGSNCLELA VEATDQHFIAQPGVQNFLSKQWYGEISRDTKNWKIILCLFIIPLVGCGLVSFRKKPIDKH KKLLWYYVAFFTSPFVVFSWNVVFYIAFLLLFAYVLLMDFHSVPHTPELILYALVFVLFC DEVRQWYMNGVNYFTDLWNVMDTLGLFYFIAGIVFRLHSSNKSSLYSGRVIFCLDYIIFT LRLIHIFTVSRNLGPKIIMLQRMLIDVFFFLFLFAVWMVAFGVARQGILRQNEQRWRWIF RSVIYEPYLAMFGQVPSDVDSTTYDFSHCTFSGNESKPLCVELDEHNLPRFPEWITIPLV CIYMLSTNILLVNLLVAMFGYTVGIVQENNDQVWKFQRYFLVQEYCNRLNIPFPFVVFAY FYMVVKKCFKCCCKEKNMESNACCFRNEDNETLAWEGVMKENYLVKINTKANDNSEEMRH RFRQLDSKLNDLKSLLKEIANNIK >ENSMUSP00000131209.2 pep:known chromosome:GRCm38:1:88318913:88388851:1 gene:ENSMUSG00000036251.16 transcript:ENSMUST00000171176.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm8 description:transient receptor potential cation channel, subfamily M, member 8 [Source:MGI Symbol;Acc:MGI:2181435] MSFEGARLSMRSRRNGTMGSTRTLYSSVSRSTDVSYSDSDLVNFIQANFKKRECVFFTRD SKAMENICKCGYAQSQHIEGTQINQNEKWNYKKHTKEFPTDAFGDIQFETLGKKGKYLRL SCDTDSETLYELLTQHWHLKTPNLVISVTGGAKNFALKPRMRKIFSRLIYIAQSKGAWIL TGGTHYGLMKYIGEVVRDNTISRNSEENIVAIGIAAWGMVSNRDTLIRSCDDEGHFSAQY IMDDFTRDPLYILDNNHTHLLLVDNGCHGHPTVEAKLRNQLEKYISERTSQDSNYGGKIP IVCFAQGGGRETLKAINTSVKSKIPCVVVEGSGQIADVIASLVEVEDVLTSSMVKEKLVR FLPRTVSRLPEEEIESWIKWLKEILESSHLLTVIKMEEAGDEIVSNAISYALYKAFSTNE QDKDNWNGQLKLLLEWNQLDLASDEIFTNDRRWESADLQEVMFTALIKDRPKFVRLFLEN GLNLQKFLTNEVLTELFSTHFSTLVYRNLQIAKNSYNDALLTFVWKLVANFRRSFWKEDR SSREDLDVELHDASLTTRHPLQALFIWAILQNKKELSKVIWEQTKGCTLAALGASKLLKT LAKVKNDINAAGESEELANEYETRAVELFTECYSNDEDLAEQLLVYSCEAWGGSNCLELA VEATDQHFIAQPGVQNFLSKQWYGEISRDTKNWKIILCLFIIPLVGCGLVSFRKKPIDKH KKLLWYYVAFFTSPFVVFSWNVVFYIAFLLLFAYVLLMDFHSVPHTPELILYALVFVLFC DEVRQWYMNGVNYFTDLWNVMDTLGLFYFIAGIVFRLHSSNKSSLYSGRVIFCLDYIIFT LRLIHIFTVSRNLGPKIIMLQRMLIDVFFFLFLFAVWMVAFGVARQGILRQNEQRWRWIF RSVIYEPYLAMFGQVPSDVDSTTYDFSHCTFSGNESKPLCVELDEHNLPRFPEWITIPLV CIYMLSTNILLVNLLVAMFGYTVGIVQENNDQVWKFQRYFLVQEYCNRLNIPFPFVVFAY FYMVVKKCFKCCCKEKNMESNACCFRNEDNETLAWEGVMKENYLVKINTKANDNSEEMRH RFRQLDSKLNDLKSLLKEIANNIK >ENSMUSP00000080828.2 pep:known chromosome:GRCm38:2:85975245:85976219:1 gene:ENSMUSG00000059873.3 transcript:ENSMUST00000082191.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1029 description:olfactory receptor 1029 [Source:MGI Symbol;Acc:MGI:3030863] MPHTNSTKITEFILLGLTDRPELQPLLFVLFLFIYLVTVLGNMGLMALIRLDSRLHKPMY FFLSNLAFVDLCYTSTATPQMLTNFLSEKKTISFIGCFIQCYLFIALLLTEFYMLAAMAY DRYVAICNPLRYSVKMSRRVCICLAMCPYIYGFSDGLFQAILTFSMTFCKSNVINHFYCA DPPLIKLSCSDTYKKEHAMLISAGFNLSNSLTIILVSYAFIIAAILRIKSAEGRRKAFST CGSHMMAVTLFYGTLFCMYVRPPTDKTVEESKIIAVFYTFVSPLLNPLIYSLRNKDVKQA LKTILRQNVIRTALMRPPSNKLHQ >ENSMUSP00000032283.5 pep:known chromosome:GRCm38:6:120324323:120357429:-1 gene:ENSMUSG00000030177.14 transcript:ENSMUST00000032283.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc77 description:coiled-coil domain containing 77 [Source:MGI Symbol;Acc:MGI:1914450] MNFTPTRTPICRKISFASKRGAGSGFGDTNRWKKMADSMESTPLPSVEDRLAVLCPSQEL LEYYQKKMANCESENEDLLKKLELYREACEEQHKLEWNLQQREEEIAELQKALSDMQVCL FQEREHVLRLYSENDRLRIRELEDKKKIQNLLALVGPDAGEVTYFHKEPPHRVSILQKTL QAAVACEPSASKADPGVSKRQVRIKDKEGISERHQRDTEMLLLQVEALQAQLGEQTKLSR EQVEGLMEDRRIRVEEIQVQHQRNQEKIMELTKSLHHTQELLYESTKDFLQLKFENQNKE KVWMLEKDHLMSKITQYRAQCKKKEDKLGKVVPILHESHHTQNEYIKSLKDKLIQEKKLS NMYQEQCISLEEELARIREEEGVRREIFKDRSNKMGKRLQIMTKRYQALEHRRALEVEGF KTDIKSLRQKLRDLEQMLYKATMNNTHGDQDLAMLCEVRDSNRRAHKIQGELKNLKSKVF GLENALRLC >ENSMUSP00000120896.1 pep:known chromosome:GRCm38:6:120324323:120364374:-1 gene:ENSMUSG00000030177.14 transcript:ENSMUST00000127146.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc77 description:coiled-coil domain containing 77 [Source:MGI Symbol;Acc:MGI:1914450] MNFTPTRTPICRKWKKMADSMESTPLPSVEDRLAVLCPSQELLEYYQKKMANCESENEDL LKKLELYREACEEQHKLEWNLQQREEEIAELQKALSDMQVCLFQEREHVLRLYSENDRLR IRELEDKKKIQNLLALVGPDAGEVTYFHKEPPHRILE >ENSMUSP00000108323.1 pep:known chromosome:GRCm38:6:120325035:120357472:-1 gene:ENSMUSG00000030177.14 transcript:ENSMUST00000112703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc77 description:coiled-coil domain containing 77 [Source:MGI Symbol;Acc:MGI:1914450] MNFTPTRTPICRKWKKMADSMESTPLPSVEDRLAVLCPSQELLEYYQKKMANCESENEDL LKKLELYREACEEQHKLEWNLQQREEEIAELQKALSDMQVCLFQEREHVLRLYSENDRLR IRELEDKKKIQNLLALVGPDAGEVTYFHKEPPHRVSILQKTLQAAVACEPSASKADPGVS KRQVRIKDKEGISERHQRDTEMLLLQVEALQAQLGEQTKLSREQVEGLMEDRRIRVEEIQ VQHQRNQEKIMELTKSLHHTQELLYESTKDFLQLKFENQNKEKVWMLEKDHLMSKITQYR AQCKKKEDKLGKVVPILHESHHTQNEYIKSLKDKLIQEKKLSNMYQEQCISLEEELARIR EEEGVRREIFKDRSNKMGKRLQIMTKRYQALEHRRALEVEGFKTDIKSLRQKLRDLEQML YKATMNNTHGDQDLAMLCEVRDSNRRAHKIQGELKNLKSKVFGLENALRLC >ENSMUSP00000127096.1 pep:known chromosome:GRCm38:6:120331812:120357410:-1 gene:ENSMUSG00000030177.14 transcript:ENSMUST00000144131.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc77 description:coiled-coil domain containing 77 [Source:MGI Symbol;Acc:MGI:1914450] MNFTPTRTPICRKWKKMADSMESTPLPSVEDRLAVLCPSQELLEYYQKKMANCESENEDL LKKLELYREACEEQHKLEWNLQQREEEIAELQKALSDMQVCLFQEREHVLRLYSENDRLR IRIAENWKTRKRFRIC >ENSMUSP00000131970.1 pep:known chromosome:GRCm38:6:120334779:120357367:-1 gene:ENSMUSG00000030177.14 transcript:ENSMUST00000163827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc77 description:coiled-coil domain containing 77 [Source:MGI Symbol;Acc:MGI:1914450] MNFTPTRTPICRKWKKMADSMESTPLPSVEDRLAVLCPSQELLEYYQKKMANCESENEDL LKKLELYREACEEQHKLEWNLQQREEEIAELQKALSDMQVCLFQEREHVLRLYSENDRLR IRELEDKKKIQNLLALVGPDAGEVTYFHKEPPHRVEALQAQLGEQTKLSREQVEGLMEDR RIRVEEIQVQHQRNQEKIME >ENSMUSP00000126554.1 pep:known chromosome:GRCm38:6:120334812:120357340:-1 gene:ENSMUSG00000030177.14 transcript:ENSMUST00000136829.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc77 description:coiled-coil domain containing 77 [Source:MGI Symbol;Acc:MGI:1914450] MNFTPTRTPICRKISFASKRGAGSGFGDTNRWKKMADSMESTPLPSVEDRLAVLCPSQEL LEYYQKKMANCESENEDLLKKLELYREACEEQHKLEWNLQQREEEIAELQKALSDMQVCL FQEREHVLRLYSENDRLRIRIAENWKTRKRFRIC >ENSMUSP00000114664.1 pep:known chromosome:GRCm38:6:120350208:120364356:-1 gene:ENSMUSG00000030177.14 transcript:ENSMUST00000146667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc77 description:coiled-coil domain containing 77 [Source:MGI Symbol;Acc:MGI:1914450] MNFTPTRTPICRKISFASKRGAGSGFGDTNRWKKMADSMESTPLPSVEDRLAVLCPSQEL LEYYQKKMANCESENEDLLKKLELYREACEE >ENSMUSP00000053309.1 pep:known chromosome:GRCm38:2:85983842:85984798:1 gene:ENSMUSG00000044923.3 transcript:ENSMUST00000056849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1030 description:olfactory receptor 1030 [Source:MGI Symbol;Acc:MGI:3030864] MLAPKKMVRGNYSMVTEFILLGLTDRPELQPLLFVLFLVIYLITVGGNLGMMVLIRIDSR LHTPMYYFLASLSCLDLCYSTNVTPKMLVNFLSEKKTISYAACLVQCYFFIAMVITEYYM LAVMAYDRYMAICNPLLYSSKMSKGVCVRLIAGPYIYGFLSGLMETMWTYRLTFCGSNII NHFYCADPPLIRLSCSDTFIKETSMFVVAGFNLSNSLFIILISYLFILIAILRMRSAEGR RKAFSTCGSHLVAVTVFYGTLFCMYVRPPTDKSVEQSKIIAVFYTFVSPMLNPIIYSLRN KDVKHAFWKLVRRNVLSK >ENSMUSP00000059256.2 pep:known chromosome:GRCm38:2:85991819:85992829:1 gene:ENSMUSG00000043267.2 transcript:ENSMUST00000050942.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1031 description:olfactory receptor 1031 [Source:MGI Symbol;Acc:MGI:3030865] MTRNFTSVTEFILLGLTSHVELQILFFVLFLVVYVVTVAGNLGMILLIKANARLHTPMYF FLSHLSFVDMCFSSNVTPKMLQIFLSERKTISYSACLVQCYLFIALVHVEFYILALMAFD RYMAICNPLLYGSKMSQSVCTSLITVPYVYGALTGLMETMWTYNLAFCGHNEINHFYCAD PPLIKLACSDTYHKETSMLVVAGFNLSFSLLIILTSYLYIFPAILRISSTEGKRKAFSTC GSHLTAVIIFYATLFFMYLRPTSRESVEQGKMVAVFYTTVIPMLNPMIYSLRNKDVKEAI SKELSHKKMYFSEKRNSIFFCTRGTFCRNYHCFLKL >ENSMUSP00000099634.3 pep:known chromosome:GRCm38:11:70010183:70015408:-1 gene:ENSMUSG00000018574.14 transcript:ENSMUST00000102574.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acadvl description:acyl-Coenzyme A dehydrogenase, very long chain [Source:MGI Symbol;Acc:MGI:895149] MQSARMTPSVGRQLLRLGARSSRSTTVLQGQPRPISAQRLYAREATQAVLDKPETLSSDA STREKPARAESKSFAVGMFKGQLTIDQVFPYPSVLSEEQAQFLKELVGPVARFFEEVNDP AKNDALEKVEDDTLQGLKELGAFGLQVPSELGGLGLSNTQYARLAEIVGMHDLGVSVTLG AHQSIGFKGILLYGTKAQREKYLPRVASGQALAAFCLTEPSSGSDVASIRSSAIPSPCGK YYTLNGSKIWISNGGLADIFTVFAKTPIKDAATGAVKEKITAFVVERSFGGVTHGLPEKK MGIKASNTSEVYFDGVKVPSENVLGEVGDGFKVAVNILNNGRFGMAATLAGTMKSLIAKA VDHATNRTQFGDKIHNFGVIQEKLARMAILQYVTESMAYMLSANMDQGFKDFQIEAAISK IFCSEAAWKVADECIQIMGGMGFMKEPGVERVLRDIRIFRIFEGANDILRLFVALQGCMD KGKELTGLGNALKNPFGNVGLLMGEAGKQLRRRTGIGSGLSLSGIVHPELSRSGELAVQA LDQFATVVEAKLVKHKKGIVNEQFLLQRLADGAIDLYAMVVVLSRASRSLSEGYPTAQHE KMLCDSWCIEAATRIRENMASLQSSPQHQELFRNFRSISKAMVENGGLVTGNPLGI >ENSMUSP00000018718.7 pep:known chromosome:GRCm38:11:70010244:70015387:-1 gene:ENSMUSG00000018574.14 transcript:ENSMUST00000018718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acadvl description:acyl-Coenzyme A dehydrogenase, very long chain [Source:MGI Symbol;Acc:MGI:895149] MQSARMTPSVGRQLLRLGARSSRSTTVLQGQPRPISAQRLYAREATQESKSFAVGMFKGQ LTIDQVFPYPSVLSEEQAQFLKELVGPVARFFEEVNDPAKNDALEKVEDDTLQGLKELGA FGLQVPSELGGLGLSNTQYARLAEIVGMHDLGVSVTLGAHQSIGFKGILLYGTKAQREKY LPRVASGQALAAFCLTEPSSGSDVASIRSSAIPSPCGKYYTLNGSKIWISNGGLADIFTV FAKTPIKDAATGAVKEKITAFVVERSFGGVTHGLPEKKMGIKASNTSEVYFDGVKVPSEN VLGEVGDGFKVAVNILNNGRFGMAATLAGTMKSLIAKAVDHATNRTQFGDKIHNFGVIQE KLARMAILQYVTESMAYMLSANMDQGFKDFQIEAAISKIFCSEAAWKVADECIQIMGGMG FMKEPGVERVLRDIRIFRIFEGANDILRLFVALQGCMDKGKELTGLGNALKNPFGNVGLL MGEAGKQLRRRTGIGSGLSLSGIVHPELSRSGELAVQALDQFATVVEAKLVKHKKGIVNE QFLLQRLADGAIDLYAMVVVLSRASRSLSEGYPTAQHEKMLCDSWCIEAATRIRENMASL QSSPQHQELFRNFRSISKAMVENGGLVTGNPLGI >ENSMUSP00000099433.3 pep:known chromosome:GRCm38:11:98002901:98022627:-1 gene:ENSMUSG00000020882.17 transcript:ENSMUST00000103144.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb1 description:calcium channel, voltage-dependent, beta 1 subunit [Source:MGI Symbol;Acc:MGI:102522] MVQKSGMSRGPYPPSQEIPMEVFDPSPQGKYSKRKGRFKRSDGSTSSDTTSNSFVRQGSA ESYTSRPSDSDVSLEEDREALRKEAERQALAQLEKAKTKPVAFAVRTNVGYNPSPGDEVP VQGVAITFEPKDFLHIKEKYNNDWWIGRLVKEGCEVGFIPSPVKLDSLRLLQEQTLRQNR LSSSKSGDNSSSSLGDVVTGTRRPTPPASGNEMTNFAFELDPLELEEEEAELGEHGGSAK TSVSSVTTPPPHGKRIPFFKKTEHVPPYDVVPSMRPIILVGPSLKGYEVTDMMQKALFDF LKHRFDGRISITRVTADISLAKRSVLNNPSKHIIIERSNTRSSLAEVQSEIERIFELART LQLVALDADTINHPAQLSKTSLAPIIVYIKITSPKVLQRLIKSRGKSQSKHLNVQIAASE KLAQCPPEMFDIILDENQLEDACEHLAEYLEAYWKATHPPSSTPPNPLLNRTMATAALAA SPAPVSNLQVQVLTSLRRNLSFWGGLEASPRGGDAVAQPQEHAMGPYLASGDQPLDRATG EHASVHEYPGELGQPPGLYPSNHPPGRAGTLRALSRQDTFDADTPGSRNSAYTEPGDSCV DMETDPSEGPGPGDPAGGGTPPARQGSWEDEEDYEEEMTDNRNRGRNKARYCAEGGGPVL GRNKNELEGWGQGVYIR >ENSMUSP00000103186.2 pep:known chromosome:GRCm38:11:98004747:98018372:-1 gene:ENSMUSG00000020882.17 transcript:ENSMUST00000107561.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb1 description:calcium channel, voltage-dependent, beta 1 subunit [Source:MGI Symbol;Acc:MGI:102522] MEVPSRTLVVGSAESYTSRPSDSDVSLEEDREALRKEAERQALAQLEKAKTKPVAFAVRT NVGYNPSPGDEVPVQGVAITFEPKDFLHIKEKYNNDWWIGRLVKEGCEVGFIPSPVKLDS LRLLQEQTLRQNRLSSSKSGDNSSSSLGDVVTGTRRPTPPASGNEMTNFAFELDPLELEE EEAELGEHGGSAKTSVSSVTTPPPHGKRIPFFKKTEHVPPYDVVPSMRPIILVGPSLKGY EVTDMMQKALFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKHIIIERSNTRSSLAEV QSEIERIFELARTLQLVALDADTINHPAQLSKTSLAPIIVYIKITSPKVLQRLIKSRGKS QSKHLNVQIAASEKLAQCPPEMFDIILDENQLEDACEHLAEYLEAYWKATHPPSSTPPNP LLNRTMATAALAASPAPVSNLQVQVLTSLRRNLSFWGGLEASPRGGDAVAQPQEHAM >ENSMUSP00000090412.4 pep:known chromosome:GRCm38:11:98004925:98022634:-1 gene:ENSMUSG00000020882.17 transcript:ENSMUST00000092736.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb1 description:calcium channel, voltage-dependent, beta 1 subunit [Source:MGI Symbol;Acc:MGI:102522] MVQKSGMSRGPYPPSQEIPMEVFDPSPQGKYSKRKGRFKRSDGSTSSDTTSNSFVRQGSA ESYTSRPSDSDVSLEEDREALRKEAERQALAQLEKAKTKPVAFAVRTNVGYNPSPGDEVP VQGVAITFEPKDFLHIKEKYNNDWWIGRLVKEGCEVGFIPSPVKLDSLRLLQEQTLRQNR LSSSKSGDNSSSSLGDVVTGTRRPTPPASGNEMTNFAFELDPLELEEEEAELGEHGGSAK TSVSSVTTPPPHGKRIPFFKKTEHVPPYDVVPSMRPIILVGPSLKGYEVTDMMQKALFDF LKHRFDGRISITRVTADISLAKRSVLNNPSKHIIIERSNTRSSLAEVQSEIERIFELART LQLVALDADTINHPAQLSKTSLAPIIVYIKITSPKVLQRLIKSRGKSQSKHLNVQIAASE KLAQCPPEMFDIILDENQLEDACEHLAEYLEAYWKATHPPSSTPPNPLLNRTMATAALAA SPAPVSNLQVQVLTSLRRNLSFWGGLEASPRGGDAVAQPQEHAM >ENSMUSP00000103187.1 pep:known chromosome:GRCm38:11:98004925:98022634:-1 gene:ENSMUSG00000020882.17 transcript:ENSMUST00000107562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb1 description:calcium channel, voltage-dependent, beta 1 subunit [Source:MGI Symbol;Acc:MGI:102522] MVQKSGMSRGPYPPSQEIPMEVFDPSPQGKYSKRKGRFKRSDGSTSSDTTSNSFVRQGSA ESYTSRPSDSDVSLEEDREALRKEAERQALAQLEKAKTKPVAFAVRTNVGYNPSPGDEVP VQGVAITFEPKDFLHIKEKYNNDWWIGRLVKEGCEVGFIPSPVKLDSLRLLQEQTLRQNR LSSSKSGDNSSSSLGDVVTGTRRPTPPASAKQKQKSTEHVPPYDVVPSMRPIILVGPSLK GYEVTDMMQKALFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKHIIIERSNTRSSLA EVQSEIERIFELARTLQLVALDADTINHPAQLSKTSLAPIIVYIKITSPKVLQRLIKSRG KSQSKHLNVQIAASEKLAQCPPEMFDIILDENQLEDACEHLAEYLEAYWKATHPPSSTPP NPLLNRTMATAALAASPAPVSNLQVQVLTSLRRNLSFWGGLEASPRGGDAVAQPQEHAM >ENSMUSP00000017552.6 pep:known chromosome:GRCm38:11:98001508:98022634:-1 gene:ENSMUSG00000020882.17 transcript:ENSMUST00000017552.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb1 description:calcium channel, voltage-dependent, beta 1 subunit [Source:MGI Symbol;Acc:MGI:102522] MVQKSGMSRGPYPPSQEIPMEVFDPSPQGKYSKRKGRFKRSDGSTSSDTTSNSFVRQGSA ESYTSRPSDSDVSLEEDREALRKEAERQALAQLEKAKTKPVAFAVRTNVGYNPSPGDEVP VQGVAITFEPKDFLHIKEKYNNDWWIGRLVKEGCEVGFIPSPVKLDSLRLLQEQTLRQNR LSSSKSGDNSSSSLGDVVTGTRRPTPPASAKQKQKSTEHVPPYDVVPSMRPIILVGPSLK GYEVTDMMQKALFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKHIIIERSNTRSSLA EVQSEIERIFELARTLQLVALDADTINHPAQLSKTSLAPIIVYIKITSPKVLQRLIKSRG KSQSKHLNVQIAASEKLAQCPPEMFDIILDENQLEDACEHLAEYLEAYWKATHPPSSTPP NPLLNRTMATAALAASPAPVSNLQGPYLASGDQPLDRATGEHASVHEYPGELGQPPGLYP SNHPPGRAGTLRALSRQDTFDADTPGSRNSAYTEPGDSCVDMETDPSEGPGPGDPAGGGT PPARQGSWEDEEDYEEEMTDNRNRGRNKARYCAEGGGPVLGRNKNELEGWGQGVYIR >ENSMUSP00000121926.2 pep:known chromosome:GRCm38:X:112239049:112243852:1 gene:ENSMUSG00000079460.11 transcript:ENSMUST00000152343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933403O08Rik description:RIKEN cDNA 4933403O08 gene [Source:MGI Symbol;Acc:MGI:1918280] MNQVVIATTPPLTKELESTALVKPKTSGLLRIEKIPIKYLWVPEFQRLEVTGIASPEERG KGTAILVAGVPGKEELDDTEIPTLHFTGFVHLEALINKCSPSSEYSRPGTPGNLWMESPE NAESPGSDDMEVARSMYPFRERSIFRRSWAELLEAPLNSEGLHIIQTEHIHNEENRDMGC NKLTLQEENQVIPLPKDQHFQALQGPFPLLPERPKLQRQRSRSLPRNSEVGGQYLNTPEF KGSAEETPFFPRDHNLLTEENIKERHSMEGAWQRVIPSHSGEHFPIMPRFDNSSIQGNFG IPEDNSARPRGNMRIQSNVNTIPMVNVGIPIDNPQVLKGNTGVPRGSGFGVYMGNSGRPS GHVVSSPLIELSKIGEHQL >ENSMUSP00000119509.2 pep:known chromosome:GRCm38:X:112240583:112243779:1 gene:ENSMUSG00000079460.11 transcript:ENSMUST00000132037.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933403O08Rik description:RIKEN cDNA 4933403O08 gene [Source:MGI Symbol;Acc:MGI:1918280] MESPENAESPGSDDMEVARSMYPFRERSIFRRSWAELLEAPLNSEGLHIIQTEHIHNEEN RDMGCNKLTLQEENQVIPLPKDQHFQALQGPFPLLPERPKLQRQRSRSLPRNSEVGGQYL NTPEFKGSAEETPFFPRDHNLLTEENIKERHSMEGAWQRVIPSHSGEHFPIMPRFDNSSI QGNFGIPEDNSARPRGNMRIQSNVNTIPMVNVGIPIDNPQVLKGNTGVPRGSGFGVYMGN SGRPSGHVVSSPLIELSKIGEHQL >ENSMUSP00000132926.2 pep:known chromosome:GRCm38:X:112240474:112243852:1 gene:ENSMUSG00000079460.11 transcript:ENSMUST00000164272.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933403O08Rik description:RIKEN cDNA 4933403O08 gene [Source:MGI Symbol;Acc:MGI:1918280] MNQVVIATTPPLTKELESTALVKPKTSGLLRIEKIPIKYLWVPEFQRLEVTGIASPEERG KGTAILVAGVPGKEELDDTEIPTLHFTGFVHLEALINKCSPSSEYSRPGTPGNLWMESPE NAESPGSDDMEVARSMYPFRERSIFRRSWAELLEAPLNSEGLHIIQTEHIHNEENRDMGC NKLTLQEENQVIPLPKDQHFQALQGPFPLLPERPKLQRQRSRSLPRNSEVGGQYLNTPEF KGSAEETPFFPRDHNLLTEENIKERHSMEGAWQRVIPSHSGEHFPIMPRFDNSSIQGNFG IPEDNSARPRGNMRIQSNVNTIPMVNVGIPIDNPQVLKGNTGVPRGSGFGVYMGNSGRPS GHVVSSPLIELSKIGEHQL >ENSMUSP00000079908.4 pep:known chromosome:GRCm38:X:56543874:56549606:-1 gene:ENSMUSG00000064016.4 transcript:ENSMUST00000081133.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm648 description:predicted gene 648 [Source:MGI Symbol;Acc:MGI:2685494] MLKRKISPVGEGEAMGPAKKNYTLDMVSHKSLEASAEAKGEGALVSQKAQVGMVEADPKV YAQNCASKQLMPVTGEAVLPMPGDSQTEDSLHRKDGGHDTVVGGSVNSDSSEGTAKAPAM SSSEAQPYVSSLRPNLSNYEIKCALMTEIRRFGRQYGRLFKILQEVQGPVEVRIQFVEFS IKEAARFKRRHLIQYLEKILEKLKSERSSNNDE >ENSMUSP00000019266.5 pep:known chromosome:GRCm38:11:83572919:83578636:-1 gene:ENSMUSG00000019122.8 transcript:ENSMUST00000019266.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl9 description:chemokine (C-C motif) ligand 9 [Source:MGI Symbol;Acc:MGI:104533] MKPFHTALSFLILTTALGIWAQITHATETKEVQSSLKAQQGLEIEMFHMGFQDSSDCCLS YNSRIQCSRFIGYFPTSGGCTRPGIIFISKRGFQVCANPSDRRVQRCIERLEQNSQPRTY KQ >ENSMUSP00000048836.5 pep:known chromosome:GRCm38:4:136895917:136898803:-1 gene:ENSMUSG00000036887.5 transcript:ENSMUST00000046285.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qa description:complement component 1, q subcomponent, alpha polypeptide [Source:MGI Symbol;Acc:MGI:88223] METSQGWLVACVLTMTLVWTVAEDVCRAPNGKDGAPGNPGRPGRPGLKGERGEPGAAGIR TGIRGFKGDPGESGPPGKPGNVGLPGPSGPLGDSGPQGLKGVKGNPGNIRDQPRPAFSAI RQNPMTLGNVVIFDKVLTNQESPYQNHTGRFICAVPGFYYFNFQVISKWDLCLFIKSSSG GQPRDSLSFSNTNNKGLFQVLAGGTVLQLRRGDEVWIEKDPAKGRIYQGTEADSIFSGFL IFPSA >ENSMUSP00000064246.6 pep:known chromosome:GRCm38:2:92599707:92615250:1 gene:ENSMUSG00000027221.5 transcript:ENSMUST00000065797.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst1 description:carbohydrate (keratan sulfate Gal-6) sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1924219] MQCSWKAVLLLALASIAIQYTAIRTFTAKSFHTCPGLTDTGLAERLCEEGPTFSYNLSRK THVLILATTRSGSSFVGQLFNQHMDVFYLFEPLYHVQNTLIPRFTQGKSPADRRVMLGAS RDLLRSLYDCDLYFLENYIKPPPVNHTTNRVFRRGASRVLCSRPVCDPPGSSDLILEEGD CVRMCGLLNLTLAAEACRERSHVAIKTVRVPEVNDLRALVEDPRLNLKVIQLVRDPRGIL ASRSETFRDTYRLWRLWYGTGRKPYNLDVTQLTTVCEDFSSSVSTGLMRPSWLKGKYMLV RYEDLARNPMKKTEEIYEFLGIPLDSHVAHWIQNNTRGDPTLGKHKYSTVRNSAATAEKW RFRLSYDIVAFAQNACQQVLAQLGYKMANSEEELKNPAISLVEERDFRPFL >ENSMUSP00000141562.1 pep:known chromosome:GRCm38:9:108479736:108480381:1 gene:ENSMUSG00000052911.9 transcript:ENSMUST00000194147.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb2 description:laminin, beta 2 [Source:MGI Symbol;Acc:MGI:99916] MRVVCSGQGRQSLSDCGGVTARSDPGQIEGLEGHQPSTHTVGMEWASGEPGRGRQGQPLP WELRLGLLLSVLAATLAQAPSLDVPGCSRGSCYPATGDLLVGRAD >ENSMUSP00000069087.4 pep:known chromosome:GRCm38:9:108479857:108490530:1 gene:ENSMUSG00000052911.9 transcript:ENSMUST00000065014.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb2 description:laminin, beta 2 [Source:MGI Symbol;Acc:MGI:99916] MEWASGEPGRGRQGQPLPWELRLGLLLSVLAATLAQAPSLDVPGCSRGSCYPATGDLLVG RADRLTASSTCGLHSPQPYCIVSHLQDEKKCFLCDSRRPFSARDNPNSHRIQNVVTSFAP QRRTAWWQSENGVPMVTIQLDLEAEFHFTHLIMTFKTFRPAAMLVERSADFGRTWHVYRY FSYDCGADFPGIPLAPPRRWDDVVCESRYSEIEPSTEGEVIYRVLDPAIPIPDPYSSRIQ NLLKITNLRVNLTRLHTLGDNLLDPRREIREKYYYALYELVIRGNCFCYGHASQCAPAPG APAHAEGMVHGACICKHNTRGLNCEQCQDFYQDLPWHPAEDGHTHACRKCECNGHTHSCH FDMAVYLASGNVSGGVCDGCQHNTAGRHCEFCRPFFYRDPTKDMRDPAVCRPCDCDPMGS QDGGRCDSHDDPVLGLVSGQCRCKEHVVGTRCQQCRDGFFGLSASDPRGCQRCQCNSRGT VPGSSPCDSSSGTCFCKRLVTGHGCDRCLPGHWGLSHDLLGCRPCDCDVGGALDPQCDEA TGQCRCRQHMIGRRCEQVQPGYFRPFLDHLTWEAEAAQGQGLEVVERLVTNRETPSWTGP GFVRLREGQEVEFLVTSLPRAMDYDLLLRWEPQVPEQWAELELMVQRPGPVSAHSPCGHV LPKDDRIQGMLHPNTRFLVFPRPVCLEPGISYKLKLKLIGTGGRAQPETSYSGLLIDSLV LQPHVLVLEMFSGGDAAALERRTTFERYRCHEEGLMPSKAPLSETCAPLLISVSALIYNG ALPCQCDPQGSLSSECSPHGGQCRCKPGVVGRRCDVCATGYYGFGPAGCQACQCSPDGAL SALCEGTSGQCPCRPGAFGLRCDHCQRGQWGFPNCRPCVCNGRADECDTHTGACLGCRDY TGGEHCERCIAGFHGDPRLPYGGQCRPCPCPEGPGSQRHFATSCHRDGYSQQIVCQCREG YTGLRCEACAPGHFGDPSKPGGRCQLCECSGNIDPMDPDACDPHTGQCLRCLHNTEGPHC GYCKPGFHGQAARQSCHRCTCNLLGTDPRRCPSTDLCHCDPSTGQCPCLPHVQGLNCDHC APNFWNFTSGRGCQPCACHPSRARGPTCNEFTGQCHCHAGFGGRTCSECQELYWGDPGLQ CRACDCDPRGIDKPQCHRSTGHCSCRPGVSGVRCDQCARGFSGVFPACHPCHACFGDWDR VVQDLAARTRRLEQWAQELQQTGVLGAFESSFLNMQGKLGMVQAIMSARNASAASTAKLV EATEGLRHEIGKTTERLTQLEAELTAVQDENFNANHALSGLERDGLALNLTLRQLDQHLE ILKHSNFLGAYDSIRHAHSQSTEAERRANASTFAVPSPVSNSADTRRRTEVLMGAQKENF NRQHLANQQALGRLSAHAHTLSLTGINELVCGAPGDAPCATSPCGGAGCRDEDGQPRCGG LGCSGAAATADLALGRARHTQAELQRALVEGGGILSRVSETRRQAEEAQQRAQAALDKAN ASRGQVEQANQELRELIQNVKDFLSQEGADPDSIEMVATRVLDISIPASPEQIQRLASEI AERVRSLADVDTILAHTMGDVRRAEQLLQDAHRARSRAEGERQKAETVQAALEEAQRAQG AAQGAIWGAVVDTQNTEQTLQRVQERMAGAEKSLNSAGERARQLDALLEALKLKRAGNSL AASTAEETAGSAQSRAREAEKQLREQVGDQYQTVRALAERKAEGVLAAQARAEQLRDEAR DLLQAAQDKLQRLQELEGTYEENERALEGKAAQLDGLEARMRSVLQAINLQVQIYNTCQ >ENSMUSP00000142304.1 pep:known chromosome:GRCm38:9:108479872:108480653:1 gene:ENSMUSG00000052911.9 transcript:ENSMUST00000195483.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb2 description:laminin, beta 2 [Source:MGI Symbol;Acc:MGI:99916] MEWASGEPGRGRQGQPLPWELRLGLLLSVLAATLAQAPSLDVPGCSRGSCYPATGDLLVG RADRLTASSTCGLHSPQPYCIVSHLQDEKKCFLCDSRRPFSARDNPNSHRIQNVVTSFAP QRRTA >ENSMUSP00000141757.1 pep:known chromosome:GRCm38:9:108479878:108480586:1 gene:ENSMUSG00000052911.9 transcript:ENSMUST00000195058.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb2 description:laminin, beta 2 [Source:MGI Symbol;Acc:MGI:99916] MEWASGEPGRGRQGQPLPWELRLGLLLSVLAATLAQAPSLDVPGCSRGSCYPATGDLLVG RADRLTASSTCGLHSPQPYCIVSHLQDEKKCFLCDSRRPFSA >ENSMUSP00000022519.8 pep:known chromosome:GRCm38:14:34085981:34100571:1 gene:ENSMUSG00000021950.15 transcript:ENSMUST00000022519.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa8 description:annexin A8 [Source:MGI Symbol;Acc:MGI:1201374] MAWWKAWVEQEGVSVKGSSHFNPDPDAETLYKAMKGIGTNEQAIIDVLTKRSNVQRQQIA KSFKAQFGKDLTETLKSELSGKFERLIVALMYPPYSYEAKELHDAMKGLGTKEGVIIEIL ASRTKNQLREIMKAYEEDYGSTLEEDIQGDTSGYLERILVCLLQGSRDDVSGFVDPGLVL QDAQALHEAGEKIMGTDEMKFITILCTRSATHLMRVFEEYEKIANKCIEDSIKSETHGSL EEAMLTVVKCTRNVHSYFAERLYYAMKGAGTRDGTLIRNIVSRSEIDLNLIKGQFKKMYG KTLSSMIMADTSGYYKTALLNLVGTDL >ENSMUSP00000113662.1 pep:known chromosome:GRCm38:14:34086025:34100021:1 gene:ENSMUSG00000021950.15 transcript:ENSMUST00000120077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa8 description:annexin A8 [Source:MGI Symbol;Acc:MGI:1201374] MAWWKAWVEQEGVSVKGSSHFNPDPDAETLYKAMKGIGTNEQAIIDVLTKRSNVQRQQIA KSFKAQFGKDLTETLKSELSGKFERLIVALMYPPYSYEAKELHDAMKGLGTKEGVIIEIL ASRTKNQLREIMKAYEEDYGSTLEEDIQGDTSGYLERILVCLLQGSRDDVSGFVDPGLVL QDAQALHEAVFEEYEKIANKCIEDSIKSETHGSLEEAMLTVVKCTRNVHSYFAERLYYAM KGAGTRDGTLIRNIVSRSEIDLNLIKGQFKKMYGKTLSSMIMADTSGYYKTALLNLVGTD L >ENSMUSP00000117689.1 pep:known chromosome:GRCm38:X:112011007:112093089:1 gene:ENSMUSG00000034555.14 transcript:ENSMUST00000131304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex16 description:testis expressed gene 16 [Source:MGI Symbol;Acc:MGI:1890545] XESDIIDMCKGVTKMCHYIISLPPDLPKPERQIPRPAHREDRSPRVQVPITTEPERVSSS VKRKADNTPSIQHLSMPMTTSALSYGPPVQYTSVQNQRLSESYSSSPRVEFPSDEGAFTL ECDKGYKSETEIQYTDAFETLGGSFYYKTL >ENSMUSP00000118847.2 pep:known chromosome:GRCm38:X:112093496:112127326:1 gene:ENSMUSG00000034555.14 transcript:ENSMUST00000130247.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex16 description:testis expressed gene 16 [Source:MGI Symbol;Acc:MGI:1890545] FQMASASVQSLMESEKTKMESESLWMISDSESYSVDSHTEKGRASVSKINLIQIDETEKP RTKRYLMESDSEPSNTDSDSEGCELASAAVKYFIATKTFQQSSASSQFPKDSWSASRTIN SDSESPVMSSDSMKYMKKAETCKSTCNLERLKAAHKSESLQDWLDAKRKQLDSDNAGYWD SSGKYQFSSIVPQESVGKRQGDLQTFQHSTEKKEVGSSSDKHQAQFGNERDQSDPKSKRY LIKTETGLDNEGFQMDEEREGCLVESDFRDSEREAHLLEAHRLGARKKENRPPGFWRPII LPPKLAQDKKTEEQKSVQQKDNRVSRIQLTRYKSEDKNVRFEEASSSLSDKPLSQEKLKK KHSYSFSPDSPTFTDEKHHRKASLKISGYKRQCKEYRYPHSCESLKYQISPIPLSSETCT SNVSSFVDSPTSKSPKSVTRKKSRRSITYSPEQGSQKCTRCFMEISNSSYHKCLINSDDS DSDSPLHGQISSHSKYSLRSKTIRHFKTSRNRPLSQSLDPQHSVVSRCSLHREDSKHSID STSYLHCESCASLQNLKGSSVTHTISKTTKKIMGQHSTHGHISGPVRLSQSESKFNLTAQ PQNEDTPDVSDRNIKAEANVEDKLLYKDDTDHEDETNTEDETDGEDETDTEDEDEDDTKD KKDPKDKSDPDGSDPKDGNSENNTDSNNGSQPSGSSGPTGGPDSSNDGDSKNVTDHKSES DPTIDNATNSDVNLKYSTDETCTNNLDNASDLAEYFNHHNNADFKGRTNPASGNKTRTIL DYISGSNNEDTGPRNTMIKEKIAYSENIRLLSNSYQNNVIKNGSEPSSNPSPQNSYGLPK DLDSNSNINPSNATNNTVNPNYGAKSTSTAIYKKTAALNYYSDINDVTGFTYEVRSSFVV NSNYFDRKKYAGRLSFALHTINAIDTNNVITCTSAVRSKFASEKTSVLDTKHSPRFSRFR SFNVIISPNYNTKNSQNANKSSISNIYNLPATELEINILSVLKIIYGNTPNFIAGTNYPD FLITSEFYEPLKLCRAYKIFDNQNIDAPFQDSAGYMDSHNSTYATGSMVALDAKESGFLK YFPRIQNTIGIKDPSCPFKVFSNQNILVPSFDVIVEAELPDIMKFTISSGAVNQLFQLRL QTGSRQNVDL >ENSMUSP00000048187.6 pep:known chromosome:GRCm38:X:112093520:112127326:1 gene:ENSMUSG00000034555.14 transcript:ENSMUST00000038546.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex16 description:testis expressed gene 16 [Source:MGI Symbol;Acc:MGI:1890545] MESEKTKMESESLWMISDSESYSVDSHTEKGRASVSKINLIQIDETEKPRTKRYLMESDS EPSNTDSDSEGCELASAAVKYFIATKTFQQSSASSQFPKDSWSASRTINSDSESPVMSSD SMKYMKKAETCKSTCNLERLKAAHKSESLQDWLDAKRKQLDSDNAGYWDSSGKYQFSSIV PQESVGKRQGDLQTFQHSTEKKEVGSSSDKHQAQFGNERDQSDPKSKRYLIKTETGLDNE GFQMDEEREGCLVESDFRDSEREAHLLEAHRLGARKKENRPPGFWRPIILPPKLAQDKKT EEQKSVQQKDNRVSRIQLTRYKSEDKNVRFEEASSSLSDKPLSQEKLKKKHSYSFSPDSP TFTDEKHHRKASLKISGYKRQCKEYRYPHSCESLKYQISPIPLSSETCTSNVSSFVDSPT SKSPKSVTRKKSRRSITYSPEQGSQKCTRCFMEISNSSYHKCLINSDDSDSDSPLHGQIS SHSKYSLRSKTIRHFKTSRNRPLSQSLDPQHSVVSRCSLHREDSKHSIDSTSYLHCESCA SLQNLKGSSVTHTISKTTKKIMGQHSTHGHISGPVRLSQSESKFNLTAQPQNEDTPDVSD RNIKAEANVEDKLLYKDDTDHEDETNTEDETDGEDETDTEDEDEDDTKDKKDPKDKSDPD GSDPKDGNSENNTDSNNGSQPSGSSGPTGGPDSSNDGDSKNVTDHKSESDPTIDNATNSD VNLKYSTDETCTNNLDNASDLAEYFNHHNNADFKGRTNPASGNKTRTILDYISGSNNEDT GPRNTMIKEKIAYSENIRLLSNSYQNNVIKNGSEPSSNPSPQNSYGLPKDLDSNSNINPS NATNNTVNPNYGAKSTSTAIYKKTAALNYYSDINDVTGFTYEVRSSFVVNSNYFDRKKYA GRLSFALHTINAIDTNNVITCTSAVRSKFASEKTSVLDTKHSPRFSRFRSFNVIISPNYN TKNSQNANKSSISNIYNLPATELEINILSVLKIIYGNTPNFIAGTNYPDFLITSEFYEPL KLCRAYKIFDNQNIDAPFQDSAGYMDSHNSTYATGSMVALDAKESGFLKYFPRIQNTIGI KDPSCPFKVFSNQNILVPSFDVIVEAELPDIMKFTISSGAVNQLFQLRLQTGSRQNVDL >ENSMUSP00000060749.3 pep:known chromosome:GRCm38:11:60699723:60714186:1 gene:ENSMUSG00000020536.12 transcript:ENSMUST00000052346.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llgl1 description:lethal giant larvae homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:102682] MMKFRFRRQGADPQREKLKQELFAFHKTVEHGFPNQPSALAFDPELRIMAIGTRSGAVKI YGAPGVEFTGLHRDAATVTQMHFLPGQGRLLTLLDDSSLHLWEIIHHNGCAHLEEGLSFH PPSRPSFDNASFPASLTRVTVVLLVAGNTAALGTESGSIFFLDVATLALLEGQTLSPDVV LRSVPDDYRCGKALGPVESLQGHLQDPSKILIGYSRGLLVIWSQATQSVDNVFLGNQQLE SLCWGRDGSSIISSHSDGSYAIWSTDTGSPPTLQPTVVTTPYGPFPCKAINKILWRSCES GDHFIIFSGGMPRASYGDRHCVSVLRAETLVTLDFTSRVIDFFTVHSTQPEDECDNPQAL AVLLEEELVVLDLQTPGWPAVPAPYLAPLHSSAITCSAHVANVPSKLWARIVSAGEQQSP QPASSALSWPITGGRNLAQEPSQRGLLLTGHEDGTVRFWDASGVALRPLYKLSTAGLFQT DCEHADSLAQAVEDDWPPFRKVGCFDPYSDDPRLGIQKVALCKYTAQMVVAGTAGQVLVL ELSEVPAEHAVSVANVDLLQDREGFTWKGHERLNPHTGLLPWPAGFQPRMLIQCLPPAAV TAVTLHAEWSLVAFGTSHGFGLFDYQRKSPVLARCTLHPNDSLAMEGPLSRVKSLKKSLR QSFRRIRKSRVSGKKRTPAASSKLQEANAQLAEQTCPHDLEMTPVQRRIEPRSADDSLSG VVRCLYFADTFLRDATHHGPTMWAGTNSGSVFAYALEVPAATAGGEKRPEQAVEAVLGKE VQLMHRAPVVAIAVLDGRGRPLPEPYEASRDLAQAPDMQGGHAVLIASEEQFKVFTLPKV SAKTKFKLTAHEGCRVRKVALATFASVMSEDYAETCLACLTNLGDVHVFSVPGLRPQVHY SCIRKEDISGIASCVFTRHGQGFYLISPSEFERFSLSARNITEPLCSLDISWPQNATQPR LQESPKLSQANGTRDIILAPESCEGSPSSAHSKRADTMEPPEAALSPVSIDSAASGDTML DTTGDVTVEYVKDFLGSPEDSEKNLRNLEADDACRAYTLLIK >ENSMUSP00000104359.3 pep:known chromosome:GRCm38:11:60699845:60714186:1 gene:ENSMUSG00000020536.12 transcript:ENSMUST00000108719.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Llgl1 description:lethal giant larvae homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:102682] MMKFRFRRQGADPQREKLKQELFAFHKTVEHGFPNQPSALAFDPELRIMAIGTRSGAVKI YGAPGVEFTGLHRDAATVTQMHFLPGQGRLLTLLDDSSLHLWEIIHHNGCAHLEEGLSFH PPSRPSFDNASFPASLTRVTVVLLVAGNTAALGTESGSIFFLDVATLALLEGQTLSPDVV LRSVPDDYRCGKALGPVESLQGHLQDPSKILIGYSRGLLVIWSQATQSVDNVFLGNQQLE SLCWGRDGSSIISSHSDGSYAIWSTDTGSPPTLQPTVVTTPYGPFPCKAINKILWRSCES GDHFIIFSGGMPRASYGDRHCVSVLRAETLVTLDFTSRVIDFFTVHSTQPEDECDNPQAL AVLLEEELVVLDLQTPGWPAVPAPYLAPLHSSAITCSAHVANVPSKLWARIVSAGEQQSP QPASSALSWPITGGRNLAQEPSQRGLLLTGHEDGTVRFWDASGVALRPLYKLSTAGLFQT DCEHADSLAQAVEDDWPPFRKVGCFDPYSDDPRLGIQKVALCKYTAQMVVAGTAGQVLVL ELSEVPAEHAVSVANVDLLQDREGFTWKGHERLNPHTGLLPWPAGFQPRMLIQCLPPAAV TAVTLHAEWSLVAFGTSHGFGLFDYQRKSPVLARCTLHPNDSLAMEGPLSRVKSLKKSLR QSFRRIRKSRVSGKKRTPAASSKLQEANAQLAEQTCPHDLEMTPVQRRIEPRSADDSLSG VVRCLYFADTFLRDATHHGPTMWAGTNSGSVFAYALEVPAATAGGEKRPEQAVEAVLGKE VQLMHRAPVVAIAVLDGRGRPLPEPYEASRDLAQAPDMQGGHAVLIASEEQFKVFTLPKV SAKTKFKLTAHEGCRVRKVALATFASVMSEDYAETCLACLTNLGDVHVFSVPGLRPQVHY SCIRKEDISGIASCVFTRHGQGFYLISPSEFERFSLSARNITEPLCSLDISWPQNATQPR LQESPKLSQANGTRDIILAPESCEGSPSSAHSKRADTMEPPEAALSPVSIDSAASGDTML DTTGDVTVEYVKDFLG >ENSMUSP00000054494.1 pep:known chromosome:GRCm38:2:86007778:86008710:1 gene:ENSMUSG00000042796.1 transcript:ENSMUST00000062166.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1032 description:olfactory receptor 1032 [Source:MGI Symbol;Acc:MGI:3030866] MLNFTDVTEFILLGLTSRKELQVLFFVIFLMVYIVTMVGNIGMMILIKISPQLSSPMYFF LSHLSFVDVWFSSNVTPKMLENLLSKTKTISYAGCLVQCFFFIALVHVEIFILAVMAFDR YMAIGKPLLYGSKMSRVVCIRLISFPYIYGFLTSLAATLWTYGLYFCGKTEINHFYCADP PLIKMACAGTFVKEYTMIILAGINFTYSLSVVIISYLFILIAILRMRSAEGRRKAFSTCG SHLTAVVIFYGTLIFMYLRRPTEESVEQGKMVAVFYTTVIPMLNPMIYSLRNKDVKEAMD KVISRKGLTK >ENSMUSP00000118453.1 pep:known chromosome:GRCm38:1:4808237:4841093:1 gene:ENSMUSG00000025903.14 transcript:ENSMUST00000131119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypla1 description:lysophospholipase 1 [Source:MGI Symbol;Acc:MGI:1344588] MPVTLNMNMAMPSWFDIVGLSPDSQEDESGIKQAAETVKALIDQEVKNGIPSNRIILGGF SQGGALSLYTALTTQQKLAGVTALSCWLPLRASFSQGPINSANRDISVLQCHGDCDPLVP LMFGSLTVERLKALINPANVTF >ENSMUSP00000111191.1 pep:known chromosome:GRCm38:1:4807911:4845352:1 gene:ENSMUSG00000025903.14 transcript:ENSMUST00000115529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypla1 description:lysophospholipase 1 [Source:MGI Symbol;Acc:MGI:1344588] MCGNNMSAPMPAVVPAARKATAAVIFLHGLGDTGHGWAEAFAGIKSPHIKYICPHAPVMP VTLNMNMAMPSWFDIVGLSPDSQEDESGIKQAAETVKALIDQEVKNGIPSNRIILGGFSQ GPINSANRDISVLQCHGDCDPLVPLMFGSLTVERLKALINPANVTFKIYEGMMHSSCQQE MMDVKHFIDKLLPPID >ENSMUSP00000119456.1 pep:known chromosome:GRCm38:1:4807898:4840969:1 gene:ENSMUSG00000025903.14 transcript:ENSMUST00000137887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypla1 description:lysophospholipase 1 [Source:MGI Symbol;Acc:MGI:1344588] MCGNNMSAPMPAVVPAARKATAAVIFLHGLGDTGHGWAEAFAGIKSPHIKYICPHAFDIV GLSPDSQEDESGIKQAAETVKALIDQEVKNGIPSNRIILGGFSQGGALSLYTALTTQQKL AGVTALSCWLPLRASFSQGPIN >ENSMUSP00000137647.1 pep:known chromosome:GRCm38:1:4807896:4845174:1 gene:ENSMUSG00000025903.14 transcript:ENSMUST00000119612.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypla1 description:lysophospholipase 1 [Source:MGI Symbol;Acc:MGI:1344588] MCGNNMSAPMPAVVPAARKATAAVIFLHGLGDTGHGWAEAFAGIKSPHIKYICPHAPVMP VTLNMNMAMPSWFDIVGLSPDSQEDESGIKQA >ENSMUSP00000137104.1 pep:known chromosome:GRCm38:1:4807788:4848410:1 gene:ENSMUSG00000025903.14 transcript:ENSMUST00000134384.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lypla1 description:lysophospholipase 1 [Source:MGI Symbol;Acc:MGI:1344588] MCGNNMSAPMPAVVPAARKATAAVIFLHGLGDTGHGWAEAFAGIKSPHIKYICPHAPVMP VTLNMNMAMPSWFDIVGLSPDSQEDESGIKQAAETVKALIDQEVKNGIPSNRIILGGFSQ GGALSLYTALTTQQKLAGVTALSCWLPLRASFSQGPINSANRDISVLQCHGDCDPLVPLM FGSLTVERLKALINPANVTFKIYEGMMHSSCQQIGSVLASFVST >ENSMUSP00000027036.4 pep:known chromosome:GRCm38:1:4807823:4846739:1 gene:ENSMUSG00000025903.14 transcript:ENSMUST00000027036.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypla1 description:lysophospholipase 1 [Source:MGI Symbol;Acc:MGI:1344588] MCGNNMSAPMPAVVPAARKATAAVIFLHGLGDTGHGWAEAFAGIKSPHIKYICPHAPVMP VTLNMNMAMPSWFDIVGLSPDSQEDESGIKQAAETVKALIDQEVKNGIPSNRIILGGFSQ GGALSLYTALTTQQKLAGVTALSCWLPLRASFSQGPINSANRDISVLQCHGDCDPLVPLM FGSLTVERLKALINPANVTFKIYEGMMHSSCQQEMMDVKHFIDKLLPPID >ENSMUSP00000137248.2 pep:known chromosome:GRCm38:1:4807830:4841286:1 gene:ENSMUSG00000025903.14 transcript:ENSMUST00000150971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypla1 description:lysophospholipase 1 [Source:MGI Symbol;Acc:MGI:1344588] MCGNNMSAPMPAVVPAARKATAAVIFLHGLGDTGHGWAEAFAGIKSPHIKYICPHAPVMP VTLNMNMAMPSWFDIVGLSPDSQEDESGIKQAAETVKALIDQEVKNGIPSNRIILGGFSQ GGALSLYTALTTQQKLAGVTALSCWLPLRASFSQGPINSANRDISVLQCHGDCDPLVPLM FGSLTVERLKALINPANVTFKIYEGMMHSSCQQVGVSGSSE >ENSMUSP00000026635.6 pep:known chromosome:GRCm38:14:121035564:121283744:1 gene:ENSMUSG00000025555.14 transcript:ENSMUST00000026635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Farp1 description:FERM, RhoGEF (Arhgef) and pleckstrin domain protein 1 (chondrocyte-derived) [Source:MGI Symbol;Acc:MGI:2446173] MGEIEQKPTPASRLGAPENSGISTLERGQKPPPTPSGKLMTVKIQMLDDTQEAFEVPQRA PGKVLFDAVCNHLNLVEGDYFGLEFPDHRKIVVWLDLLKPIVKQIRRPKHVVVKFVVKFF PPDHTQLQEELTRYLFALQVKQDLAQGRLTCNDTSAALLISHIVQSEIGDFDEALDREHL AKNKYVPQQDALEDRIMEFHHSHVGQTPAESDFQLLEVARRLEMYGIRLHPAKDREGTKI NLAVANTGILVFQGFTKINAFNWAKVRKLSFKRKRFLIKLRPDVNSSYQDTLEFLMAGRD FCKSFWKICVEHHAFFRLFEEPKPKPKPVLFSRGSSFRFSGRTQKQVLDYVKEGGHKKVQ FERKHSKIHSTRSLVSQPTAPNSEVPKQSPQSASLTFGEGTESPGGQSCQQAKETKACTL ELGPHQSPALPKSPPGSKAADGTTVVPPEEEEEEEGGKDGIRPSNPQPPQPSTGSLTGSP HLSELSINSQGGAAPANVTLSPNLSPDNKQASPLISPLLNDQACPRTDDEEEGRRKRFPT DKAYYIAKEVSTTERTYLKDLEVIASWFQSTVSKEDSMPEALKSLIFPNFEPLHKFHTNF LKEIEQRLALWEGRSNAHVRGDYQRIGDVMLKNIQGMKHLAAHLWKHSEALEALETSIKG SRRLEHFCRDFELQKVCYLPLNTFLLRPLHRLMHYKHVLERLCKHHPPNHADFRDCRAAL AEITEMVAQLHGTMIKMENFQKLHELKKDLIGIDNLVTPGREFIRLGSLSKLSGKGLQQR MFFLFNDVLLYTSRGLTASNQFKVHGQLPLYGMTIEESEEEWGVPHCLTLRGQRQSIIVA ASSRSEMEKWMEDIQMAIDLAEKSNGPTPELLASSPPDNKSPDEATAADQESEDDLSASR TSLERQAPHRGNTMVHVCWHRSTSVSMVDFSIAVENQLSGNLLRKFKNSNGWQKLWVVFT NFCLFFYKSHQDSHPLASLPLLGYSLTIPSESENIHKDYVFKLHFKSHVYYFRAESEYTF ERWMEVIRSATSSASRAHILSHKESHLY >ENSMUSP00000116985.1 pep:known chromosome:GRCm38:14:121035200:121235089:1 gene:ENSMUSG00000025555.14 transcript:ENSMUST00000135010.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Farp1 description:FERM, RhoGEF (Arhgef) and pleckstrin domain protein 1 (chondrocyte-derived) [Source:MGI Symbol;Acc:MGI:2446173] MGEIEQKPTPASRLGAPENSGISTLERGQKPPPTPSGKLMTVKIQMLDDTQEAFEVPQRA PGKVLFDAVCNHLNLVEGDYFGLEFPDHRKIVVWLDLLKPIVKQIRRPKHVVVKFVVKFF PPDHTQLQEELTRYLFALQVKQDLAQGRLTCNDTSAALLISHIVQSEIGDFDEALDREHL AKNKYVPQQDALEDRIMEFHHSHVGQTPAES >ENSMUSP00000147202.1 pep:known chromosome:GRCm38:X:111891389:112240590:1 gene:ENSMUSG00000109156.1 transcript:ENSMUST00000207962.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-466J17.3 MAQLFRYFQGDPVMTSSPTEVRMWVEDLDYSFLSYGEIFESAEINGERLLNITRKQLIDL GIVRTDHQDILLQAVARIRKKGKAEEQAMRREDQSDLTHENESDIIDMCKGVTKMCHYII SLPPDLPKPERQIPRPAHREDRSPRVQVLSDNYGGGSRAEGGSLAVPMKEITRRSSEVPV WRSAEAPTWGPEGGRPARFERGYAANPAKDFAVRPEQGLTVIPARGRSLSPARGYTDRYG RERAVSLARGYTERQASPRTLSPFSTHAVSSAQKITLQAARRPPMRHEEEFLVGAAMGLT VKPAEGYDNETSQSARLYTQNIYDDDNDDEDDDDDVDENESSLIMNFINCFMKAKAQNWI DCSWFRRLLPTFFSEEDRHSWPKNRFCAIACTELGHGKCEGWLWHKRPSRGISLFSWKKY WFILKHSTLYWFSHLNDTKADGFIYLPEFRIDLAPHCRRDHAFQATHARIKDFYFAGTCL DEMNYWVCQTIKLAFGSSFGDTAANAEYRLASTSVYAKCLVALKRNFPVIWCDYCQEATT IVSVNPNFQYRRTQNISDEFIYFRPINAENRE >ENSMUSP00000146668.1 pep:known chromosome:GRCm38:X:111898534:111967721:1 gene:ENSMUSG00000109156.1 transcript:ENSMUST00000207546.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-466J17.3 MAQLFRYFQGDPVMTSSPTEVRMWVEDLDYSFLSYGEIFESAEINGERLLNITRKQLIDL GIVRTDHQDILLQAVARIRKKGKAEEQAMRREDQNIKKMPTRFGKESEQLEHAIDRVLFT ISERRLARSLHGTIEHPPHSILTATLDLVNIASTILNILERPPFDCMSEFSSLKNHLINH ITLLKHFSEQ >ENSMUSP00000027393.7 pep:known chromosome:GRCm38:1:71027498:71103146:-1 gene:ENSMUSG00000026196.7 transcript:ENSMUST00000027393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bard1 description:BRCA1 associated RING domain 1 [Source:MGI Symbol;Acc:MGI:1328361] MPRRPPRVCSGNQPAPVPAMEPATDGLWAHSRAALARLEKLLRCSRCANILKEPVCLGGC EHIFCSGCISDCVGSGCPVCYTPAWILDLKINRQLDSMIQLSSKLQNLLHDNKDSKDNTS RASLFGDAERKKNSIKMWFSPRSKKVRYVVTKVSVQTQPQKAKDDKAQEASMYEFVSATP PVAVPKSAKTASRTSAKKHPKKSVAKINREENLRPETKDSRFDSKEELKEEKVVSCSQIP VMERPRVNGEIDLLASGSVVEPECSGSLTEVSLPLAEHIVSPDTVSKNEETPEKKVCVKD LRSGGSNGNRKGCHRPTTSTSDSCGSNIPSTSRGIGEPALLAENVVLVDCSSLPSGQLQV DVTLRRKSNASDDPLSLSPGTPPPLLNNSTHRQMMSSPSTVKLSSGMPARKRNHRGETLL HIASIKGDIPSVEYLLQNGNDPNVKDHAGWTPLHEACSHGHLKVVELLLQHNALVNTPGY QNDSPLHDAVKSGHIDIVKVLLSHGASRNAVNIFGVRPVDYTDNENIRSLLLLPEENESF STSQCSIVNTGQRKNGPLVFIGSGLSSQQQKMLSKLETVLKAKKCMEFDSTVTHVIVPDE EAQSTLKCMLGILSGCWILKFDWVKACLDSKVREQEEKYEVPGGPQRSRLNREQLLPKLF DGCYFFLGGNFKHHPRDDLLKLIAAAGGKVLSRKPKPDSDVTQTINTVAYHAKPESDQRF CTQYIVYEDLFNCHPERVRQGKVWMAPSTWLISCIMAFELLPLDS >ENSMUSP00000144365.1 pep:known chromosome:GRCm38:2:164960802:164962752:1 gene:ENSMUSG00000017740.17 transcript:ENSMUST00000125867.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc12a5 description:solute carrier family 12, member 5 [Source:MGI Symbol;Acc:MGI:1862037] MSRRFTVTSLPPAASAASADPESRRHSVADPRRLPREDVKGPQPPLCSPGGQPGLPIPH >ENSMUSP00000144623.1 pep:known chromosome:GRCm38:2:164960824:164997569:1 gene:ENSMUSG00000017740.17 transcript:ENSMUST00000202623.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a5 description:solute carrier family 12, member 5 [Source:MGI Symbol;Acc:MGI:1862037] MSRRFTVTSLPPAASAASADPESRRHSVADPRRLPREDVKGDGNPKESSPFINSTDTEKG REYDGRNMALFEEEMDTSPMVSSLLSGLANYTNLPQGSREHEEAENNEGGKKKPVQAPRM GTFMGVYLPCLQNIFGVILFLRLTWVVGIAGIMESFCMVFICCSCTMLTAISMSAIATNG VVPAGGSYYMISRSLGPEFGGAVGLCFYLGTTFAGAMYILGTIEILLAYLFPAMAIFKAE DASGEAAAMLNNMRVYGTCVLTCMATVVFVGVKYVNKFALVFLGCVILSILAIYAGVIKS AFDPPNFPICLLGNRTLSRHGFDVCAKLAWEGNETVTTRLWGLFCSSRLLNATCDEYFTR NNVTEIQGIPGAASGLIKENLWSSYLTKGVIVERRGMPSVGLADGTPVDMDHPYVFSDMT SYFTLLVGIYFPSVTGIMAGSNRSGDLRDAQKSIPTGTILAIATTSAVYISSVVLFGACI EGVVLRDKFGEAVNGNLVVGTLAWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAISRDG IVPFLQVFGHGKANGEPTWALLLTACICEIGILIASLDEVAPILSMFFLMCYMFVNLACA VQTLLRTPNWRPRFRYYHWTLSFLGMSLCLALMFICSWYYALVAMLIAGLIYKYIEYRGA EKEWGDGIRGLSLSAARYALLRLEEGPPHTKNWRPQLLVLVRVDQDQNVVHPQLLSLTSQ LKAGKGLTIVGSVLEGTFLDNHPQAQRAEESIRRLMEAEKVKGFCQVVISSNLRDGVSHL IQSGGLGGLQHNTVLVGWPRNWRQKEDHQTWRNFIELVRETTAGHLALLVTKNVSMFPGN PERFSEGSIDVWWIVHDGGMLMLLPFLLRHHKVWRKCKMRIFTVAQMDDNSIQMKKDLTT FLYHLRITAEVEVVEMHESDISAYTYEKTLVMEQRSQILKQMHLTKNEREREIQSITDES RGSIRRKNPANPRLRLNVPEETACDNEEKPEEEVQLIHDQSAPSCPSSSPSPGEEPEGER ETDPEVHLTWTKDKSVAEKNKGPSPVSSEGIKDFFSMKPEWENLNQSNVRRMHTAVRLNE VIVNKSRDAKLVLLNMPGPPRNRNGDENYMEFLEVLTEQLDRVMLVRGGGREVITIYS >ENSMUSP00000143870.1 pep:known chromosome:GRCm38:2:164960846:164999722:1 gene:ENSMUSG00000017740.17 transcript:ENSMUST00000202223.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a5 description:solute carrier family 12, member 5 [Source:MGI Symbol;Acc:MGI:1862037] MSRRFTVTSLPPAASAASADPESRRHSVADPRRLPREDVKGDGNPKESSPFINSTDTEKG REYDGRNMALFEEEMDTSPMVSSLLSGLANYTNLPQGSREHEEAENNEGGKKKPVQAPRM GTFMGVYLPCLQNIFGVILFLRLTWVVGIAGIMESFCMVFICCSCTMLTAISMSAIATNG VVPAGGSYYMISRSLGPEFGGAVGLCFYLGTTFAGAMYILGTIEILLAYLFPAMAIFKAE DASGEAAAMLNNMRVYGTCVLTCMATVVFVGVKYVNKFALVFLGCVILSILAIYAGVIKS AFDPPNFPICLLGNRTLSRHGFDVCAKLAWEGNETVTTRLWGLFCSSRLLNATCDEYFTR NNVTEIQGIPGAASGLIKENLWSSYLTKGVIVERRGMPSVGLADGTPVDMDHPYVFSDMT SYFTLLVGIYFPSVTGIMAGSNRSGDLRDAQKSIPTGTILAIATTSAVYISSVVLFGACI EGVVLRDKFGEAVNGNLVVGTLAWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAISRDG IVPFLQVFGHGKANGEPTWALLLTACICEIGILIASLDEVAPILSMFFLMCYMFVNLACA VQTLLRTPNWRPRFRYYHWTLSFLGMSLCLALMFICSWYYALVAMLIAGLIYKYIEYRGA EKEWGDGIRGLSLSAARYALLRLEEGPPHTKNWRPQLLVLVRVDQDQNVVHPQLLSLTSQ LKAGKGLTIVGSVLEGTFLDNHPQAQRAEESIRRLMEAEKVKGFCQVVISSNLRDGVSHL IQSGGLGGLQHNTVLVGWPRNWRQKEDHQTWRNFIELVRETTAGHLALLVTKNVSMFPGN PERFSEGSIDVWWIVHDGGMLMLLPFLLRHHKVWRKCKMRIFTVAQMDDNSIQMKKDLTT FLYHLRITAEVEVVEMHESDISAYTYEKTLVMEQRSQILKQMHLTKNEREREIQSITDES RGSIRRKNPANPRLRLNVPEETACDNEEKPEEEVQLIHDQSAPSCPSSSPSPGEEPEGER ETDPEVHLTWTKDKSVAEKNKGPSPVSSEGIKDFFSMKPNQSNVRRMHTAVRLNEVIVNK SRDAKLVLLNMPGPPRNRNGDENYMEFLEVLTEQLDRVMLVRGGGREVITIYS >ENSMUSP00000096690.4 pep:known chromosome:GRCm38:2:164967983:164999731:1 gene:ENSMUSG00000017740.17 transcript:ENSMUST00000099092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a5 description:solute carrier family 12, member 5 [Source:MGI Symbol;Acc:MGI:1862037] MLNNLTDCEDGDGGANPGDGNPKESSPFINSTDTEKGREYDGRNMALFEEEMDTSPMVSS LLSGLANYTNLPQGSREHEEAENNEGGKKKPVQAPRMGTFMGVYLPCLQNIFGVILFLRL TWVVGIAGIMESFCMVFICCSCTMLTAISMSAIATNGVVPAGGSYYMISRSLGPEFGGAV GLCFYLGTTFAGAMYILGTIEILLAYLFPAMAIFKAEDASGEAAAMLNNMRVYGTCVLTC MATVVFVGVKYVNKFALVFLGCVILSILAIYAGVIKSAFDPPNFPICLLGNRTLSRHGFD VCAKLAWEGNETVTTRLWGLFCSSRLLNATCDEYFTRNNVTEIQGIPGAASGLIKENLWS SYLTKGVIVERRGMPSVGLADGTPVDMDHPYVFSDMTSYFTLLVGIYFPSVTGIMAGSNR SGDLRDAQKSIPTGTILAIATTSAVYISSVVLFGACIEGVVLRDKFGEAVNGNLVVGTLA WPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAISRDGIVPFLQVFGHGKANGEPTWALLL TACICEIGILIASLDEVAPILSMFFLMCYMFVNLACAVQTLLRTPNWRPRFRYYHWTLSF LGMSLCLALMFICSWYYALVAMLIAGLIYKYIEYRGAEKEWGDGIRGLSLSAARYALLRL EEGPPHTKNWRPQLLVLVRVDQDQNVVHPQLLSLTSQLKAGKGLTIVGSVLEGTFLDNHP QAQRAEESIRRLMEAEKVKGFCQVVISSNLRDGVSHLIQSGGLGGLQHNTVLVGWPRNWR QKEDHQTWRNFIELVRETTAGHLALLVTKNVSMFPGNPERFSEGSIDVWWIVHDGGMLML LPFLLRHHKVWRKCKMRIFTVAQMDDNSIQMKKDLTTFLYHLRITAEVEVVEMHESDISA YTYEKTLVMEQRSQILKQMHLTKNEREREIQSITDESRGSIRRKNPANPRLRLNVPEETA CDNEEKPEEEVQLIHDQSAPSCPSSSPSPGEEPEGERETDPEVHLTWTKDKSVAEKNKGP SPVSSEGIKDFFSMKPEWENLNQSNVRRMHTAVRLNEVIVNKSRDAKLVLLNMPGPPRNR NGDENYMEFLEVLTEQLDRVMLVRGGGREVITIYS >ENSMUSP00000144540.1 pep:known chromosome:GRCm38:2:164968239:164999727:1 gene:ENSMUSG00000017740.17 transcript:ENSMUST00000202479.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a5 description:solute carrier family 12, member 5 [Source:MGI Symbol;Acc:MGI:1862037] MLNNLTDCEDGDGGANPGDGNPKESSPFINSTDTEKGREYDGRNMALFEEEMDTSPMVSS LLSGLANYTNLPQGSREHEEAENNEGGKKKPVQAPRMGTFMGVYLPCLQNIFGVILFLRL TWVVGIAGIMESFCMVFICCSCTMLTAISMSAIATNGVVPAGGSYYMISRLWLARAKFPL MKTAHNKTPSFLCSMCNICYEPCVVQVTSIITVARCSMSIQVTSTLSAIFQ >ENSMUSP00000143973.1 pep:known chromosome:GRCm38:2:164968281:164990275:1 gene:ENSMUSG00000017740.17 transcript:ENSMUST00000202136.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc12a5 description:solute carrier family 12, member 5 [Source:MGI Symbol;Acc:MGI:1862037] MLNNLTDCEDGDGGANPGDGNPKESSPFINSTDTEKGREYDGRNMALFEEEMDTSPMVSS LLSGLANYTNLPQGSREHEEAENNEGGKKKPVQAPRMGTFMGVYLPCLQNIFGVILFLRL TWVVGIAGIMESFCMVFICCSCTMLTAISMSAIATNGVVPAGGSYYMISRSLAMAKLMES QPGRCC >ENSMUSP00000124523.1 pep:known chromosome:GRCm38:6:50562563:50566538:-1 gene:ENSMUSG00000063694.5 transcript:ENSMUST00000161401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cycs description:cytochrome c, somatic [Source:MGI Symbol;Acc:MGI:88578] MGDVEKGKKIFVQKCAQCHTVEKGGKHKTGPNLHGLFGRKTGQAAGFSYTDANKNKGITW GEDTLMEYLENPKKYIPGTKMIFAGIKKKGERADLIAYLKKATNE >ENSMUSP00000009538.5 pep:known chromosome:GRCm38:6:115134917:115282006:1 gene:ENSMUSG00000009394.13 transcript:ENSMUST00000009538.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syn2 description:synapsin II [Source:MGI Symbol;Acc:MGI:103020] MMNFLRRRLSDSSFIANLPNGYMTDLQRPEPQQPPPAPGPGAATASAATSAASPGPERRP PPAQAPAPQPAPQPAPTPSVGSSFFSSLSQAVKQTAASAGLVDAPAPSAASRKAKVLLVV DEPHTDWAKCFRGKKILGDYDIKVEQAEFSELNLVAHADGTYAVDMQVLRNGTKVVRSFR PDFVLIRQHAFGMAENEDFRHLVIGMQYAGLPSINSLESIYNFCDKPWVFAQMVAIFKTL GGEKFPLIEQTYYPNHREMLTLPTFPVVVKIGHAHSGMGKVKVENHYDFQDIASVVALTQ TYATAEPFIDAKYDIRVQKIGNNYKAYMRTSISGNWKTNTGSAMLEQIAMSDRYKLWVDA CSEMFGGLDICAVKAVHGKDGKDYIFEVMDCSMPLIGEHQVEDRQLITDLVISKMNQLLS RTPALSPQRPLTTQQPQSGTLKEPDSSKTPPQRPPPQGGPGQPQGMQPPGKVLPPRRLPS GPSLPSSSSSSSSSSSSSSAPQRPGGPTTTHGDASSSSNSLAEAQAPQAAPAQKPQPHPQ LNKSQSLTNAFSFSESSFFRSSANEDEAKAETIRSLRKSFASLFSD >ENSMUSP00000144921.1 pep:known chromosome:GRCm38:6:115134950:115276559:1 gene:ENSMUSG00000009394.13 transcript:ENSMUST00000203450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syn2 description:synapsin II [Source:MGI Symbol;Acc:MGI:103020] MMNFLRRRLSDSSFIANLPNGYMTDLQRPEPQQPPPAPGPGAATASAATSAASPGPERRP PPAQAPAPQPAPQPAPTPSVGSSFFSSLSQAVKQTAASAGLVDAPAPSAASRKAKVLLVV DEPHTDWAKCFRGKKILGDYDIKVEQAEFSELNLVAHADGTYAVDMQVLRNGTKVVRSFR PDFVLIRQHAFGMAENEDFRHLVIGMQYAGLPSINSLESIYNFCDKPWVFAQMVAIFKTL GGEKFPLIEQTYYPNHREMLTLPTFPVVVKIGHAHSGMGKVKVENHYDFQDIASVVALTQ TYATAEPFIDAKYDIRVQKIGNNYKAYMRTSISGNWKTNTGSAMLEQIAMSDRYKLWVDA CSEMFGGLDICAVKAVHGKDGKDYIFEVMDCSMPLIGEHQVEDRQLITDLVISKMNQLLS RTPALSPQRPLTTQQPQSGTLKEPDSSKTPPQRPPPQGCLQYILDCNGIAVGPKQVQAS >ENSMUSP00000133121.1 pep:known chromosome:GRCm38:6:115134902:115276559:1 gene:ENSMUSG00000009394.13 transcript:ENSMUST00000169345.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syn2 description:synapsin II [Source:MGI Symbol;Acc:MGI:103020] MMNFLRRRLSDSSFIANLPNGYMTDLQRPEPQQPPPAPGPGAATASAATSAASPGPERRP PPAQAPAPQPAPQPAPTPSVGSSFFSSLSQAVKQTAASAGLVDAPAPSAASRKAKVLLVV DEPHTDWAKCFRGKKILGDYDIKVEQAEFSELNLVAHADGTYAVDMQVLRNGTKVVRSFR PDFVLIRQHAFGMAENEDFRHLVIGMQYAGLPSINSLESIYNFCDKPWVFAQMVAIFKTL GGEKFPLIEQTYYPNHREMLTLPTFPVVVKIGHAHSGMGKVKVENHYDFQDIASVVALTQ TYATAEPFIDAKYDIRVQKIGNNYKAYMRTSISGNWKTNTGSAMLEQIAMSDRYKLWVDA CSEMFGGLDICAVKAVHGKDGKDYIFEVMDCSMPLIGEHQVEDRQLITDLVISKMNQLLS RTPALSPQRPLTTQQPQSGTLKEPDSSKTPPQRPPPQGCLQYILDCNGIAVGPKQVQAS >ENSMUSP00000127119.1 pep:known chromosome:GRCm38:7:140941391:140947656:1 gene:ENSMUSG00000062031.12 transcript:ENSMUST00000164337.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Athl1 description:ATH1, acid trehalase-like 1 (yeast) [Source:MGI Symbol;Acc:MGI:2444047] MTVSQVPPKLRPAHLPRGRAASSSYRQGQLAPTEPSYTRIPALSGEGSGSFLHTLEGPSF RASQRIYAHRVLPHVLVFSVSIARLTTGNKPITVPLRADFSPESPDLDLRVGPDFQGLRY LHGHVLNPEQPGEPQQEVHMLWMPVPPALTLGEEEKDRTWEFLTVVGSSQAEAQDCFAEA LQLQTRGVLYTIHADSWGRLWAGCGLDVAGPLALRQALRGSLYYLFSELPQPGTQGFISH GLSPGGLSNGSKEECYWGHIFWDQDIWMFPNILMFHPEAARAILEYRVRTLGGALKNGQN LGYQGAKFAWESASTGLEVCPEDIYGTQEIHINGAVALAFQLYYYYTQDSKLFQEDGGWD VVSSVAEFWCSRVEWSSQDKMYHLKGVMPPDEYHSGVNNSVYTNVLVQNSLHFAAALAKD LGLPIRKQWLEVADRIKIPFDSEQNFHPEFDGYEREHVCCGLDGTEGPLKGSGPPEQEFR QCH >ENSMUSP00000078372.4 pep:known chromosome:GRCm38:7:140941581:140947664:1 gene:ENSMUSG00000062031.12 transcript:ENSMUST00000079403.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Athl1 description:ATH1, acid trehalase-like 1 (yeast) [Source:MGI Symbol;Acc:MGI:2444047] MDGSEDDPTIFSARCLPSDPRLWATVTNSYLGTRVYHDTIHINGVYNGAVGDTHRASLPS PLNVQLEAPAGTEQLTETFTLDTNTGSFLHTLEGPSFRASQRIYAHRVLPHVLVFSVSIA RLTTGNKPITVPLRADFSPESPDLDLRVGPDFQGLRYLHGHVLNPEQPGEPQQEVHMLWM PVPPALTLGEEEKDRTWEFLTVVGSSQAEAQDCFAEALQLQTRGVLYTIHADSWGRLWAG CGLDVAGPLALRQALRGSLYYLFSELPQPGTQGFISHGLSPGGLSNGSKEECYWGHIFWD QDIWMFPNILMFHPEAARAILEYRVRTLGGALKNGQNLGYQGAKFAWESASTGLEVCPED IYGTQEIHINGAVALAFQLYYYYTQDSKLFQEDGGWDVVSSVAEFWCSRVEWSSQDKMYH LKGVMPPDEYHSGVNNSVYTNVLVQNSLHFAAALAKDLGLPIRKQWLEVADRIKIPFDSE QNFHPEFDGYERGEEVKQADVVLLGYPVPFPLTPDIRRKNLETYEAVTSPQGPAMTWSMF AVGWMELRDPSRAQVHLSRSFANVTEPFKVWTENADGSGAVNFLTGMGGFLQAALFGCTG FRITEAGVTFDPLCPDLVSRVSVSGISYLGNKINFAFSKDSVTLEVTARAEPWAPLLEAE LWPSLAHLPLTPGQKVSFPHSAGRIQRSSP >ENSMUSP00000128478.1 pep:known chromosome:GRCm38:7:140942310:140946844:1 gene:ENSMUSG00000062031.12 transcript:ENSMUST00000163094.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Athl1 description:ATH1, acid trehalase-like 1 (yeast) [Source:MGI Symbol;Acc:MGI:2444047] MDGSEDDPTIFSARCLPSDPRLWATVTNSYLGTRVYHDTIHINGVYNGAVGDTHRASLPS PLNVQLEAPAGTEQLTETFTLDTNTGSFLHTLEGPSFRASQRIYAHRVLPHVLVFSVSIA RLTTGNKPITVPLRADFSPESPDLDLRVGPDFQGLRLSYALAGTCMATYLTLSSLESHSR KCICYGCLSPQP >ENSMUSP00000128214.1 pep:known chromosome:GRCm38:7:140942536:140947660:1 gene:ENSMUSG00000062031.12 transcript:ENSMUST00000164580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Athl1 description:ATH1, acid trehalase-like 1 (yeast) [Source:MGI Symbol;Acc:MGI:2444047] XETFTLDTNTGSFLHTLEGPSFRASQRIYAHRVLPHVLVFSVSIARLTTGNKPITVPLRA DFSPESPDLDLRVGPDFQGLRYLHGHVLNPEQPGEPQQEVHMLWMPVPPALTLGEEEKDR TWEFLTVVGSSQAEAQDCFAEALQLQTRGVLYTIHADSWGRLWAGCGLDVAGPLALRQAL RGSLYYLFSELPQPGTQGFISHGLSPGGLSNGSKEECYWGHIFWDQDIWMFPNILMFHPE AARAILEYRVRTLGGALKNGQNLGYQGAKFAWESASTGLEVCPEDIYGTQEIHINGAVAL AFQLYYYYTQDSKLFQEDGGWDVVSSVAEFWCSRVEWSSQDKMYHLKGVMPPDEYHSGVN NSVYTNVLVQNSLHFAAALAKDLGLPIRKQWLEVADRIKIPFDSEQNFHPEFDGYERGEE VKQADVVLLGYPVPFPLTPDIRRKNLETYEAVTSPQGPAMTWSMFAVGWMELRDPSRAQV HLSRSFANVTEPFKVWTENADGSGAVNFLTGMGGFLQAALFGCTGFRITEAGVTFDPLCP DLVSRVSVSGISYLGNKINFAFSKDSVTLEVTARAEPWAPLLEAELWPSLAHLPLTPEGL LSPLSWPDTKVIPIAAQKFFRGS >ENSMUSP00000107216.1 pep:known chromosome:GRCm38:2:86041317:86042249:1 gene:ENSMUSG00000045392.6 transcript:ENSMUST00000111589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1033 description:olfactory receptor 1033 [Source:MGI Symbol;Acc:MGI:3030867] MLNFTDVTEFVLLGLTRRKELQVLFFVIFLMVYIVTMVGNIGMMILIKISPQLSSPMYFF LSHLSFIDVWFSSNVTPKMLENLLSKTKTISYAGCLVQCFFFIALVHVEIFILSVMAFDR YMAIGKPLLYGSKMSRVVCIRLISFPYIYGFLTSLAATLWTYGLYFCGKTEINHFYCADP PLIKMACAGTFVKEYTMLFLAGINFTYSLIVVIISYLFILIAILRMRSAEGRRKAFSTCG SHLTAVGIFYGTLIFMYLRRPTEESVEQGKMVAVFYTTVIPMLNPMIYSLRNKDVKEAMD KVIAKKFLTK >ENSMUSP00000024486.7 pep:known chromosome:GRCm38:11:88204388:88211507:1 gene:ENSMUSG00000023723.17 transcript:ENSMUST00000024486.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps23 description:mitochondrial ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1928138] MAGSRLETVGSVFSRTRDLMRAGVLKEKPLWYDIYKAFPPLREPVFRRPRLRYGKAKADI QDIFYQEDQIRAKFFATYGSGQKAFDLFNPNFKSTCQRFVEKYTELQNLGETDEEKLFVE TGKALLAEGIILRRVREARTVSVRLQASSEGHEPQEDDDLAQRGQVKQEPETAPSPP >ENSMUSP00000113512.1 pep:known chromosome:GRCm38:11:88204411:88211507:1 gene:ENSMUSG00000023723.17 transcript:ENSMUST00000118784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps23 description:mitochondrial ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1928138] MRAGVLKEKPLWYDIYKAFPPLREPVFRRPRLRYGKAKADIQDIFYQEDQIRAKFFATYG SGQKAFDLFNPNFKSTCQRFVEKYTELQNLGETDEEKLFVETGKALLAEGIILRRVREAR TVSVRLQASSEGHEPQEDDDLAQRGQVKQEPETAPSPP >ENSMUSP00000117416.1 pep:known chromosome:GRCm38:11:88204424:88210230:1 gene:ENSMUSG00000023723.17 transcript:ENSMUST00000139170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps23 description:mitochondrial ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1928138] MRAGVLKEKPLWYDIYKAFPPLREPVFRRPRLRYGKAKADIQDIFYQEDQIRAKFFATYG SGQKAFDLFNPNFKSTCQRFVEKYTELQNLGETDEEKLFVETGKALLAEGIILRRVREAR T >ENSMUSP00000103548.3 pep:known chromosome:GRCm38:11:88204424:88210670:1 gene:ENSMUSG00000023723.17 transcript:ENSMUST00000107915.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps23 description:mitochondrial ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1928138] MAGSRLETVGSVFSRTRDLMRAGVLKEKPLWYDIYKAFPPLREPVFRRPRLRYGKAKADI QDIFYQEDQIRAKFFATYGSGQKAFDLFNPNFKSTCQRSA >ENSMUSP00000122963.1 pep:known chromosome:GRCm38:11:88204444:88210971:1 gene:ENSMUSG00000023723.17 transcript:ENSMUST00000144070.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps23 description:mitochondrial ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1928138] XAGSRLETVGSVFSRKFFATYGSGQKAFDLFNPNFKSTCQRFVEKYTELQNLGETDEEKL FVETGKALLAEGIILRRVREARTVSVRLQASSEGHEPQEDDDLAQRGQVKQEPETAPSPP >ENSMUSP00000145696.1 pep:known chromosome:GRCm38:6:72432799:72439556:-1 gene:ENSMUSG00000053907.10 transcript:ENSMUST00000205335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] MNGQLNGFHEAFIEEGTFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVA KTGMILLAGEITSRAAIDYQKVVREAIKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQG VHLDRNEEDIGAGDQGLMFGYATDETEECMPLTIVLAHKLNAKLAELRRNGTLPWLRPDS KTQVTVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDT IYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARW VAKSLVKGGLCRRVLVQVSYAIGVSHPLSISIFHYGTSQKSERELLEIVKKNFDLRPGVI VR >ENSMUSP00000087118.6 pep:known chromosome:GRCm38:6:72432799:72439558:-1 gene:ENSMUSG00000053907.10 transcript:ENSMUST00000059472.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] MNGQLNGFHEAFIEEGTFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVA KTGMILLAGEITSRAAIDYQKVVREAIKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQG VHLDRNEEDIGAGDQGLMFGYATDETEECMPLTIVLAHKLNAKLAELRRNGTLPWLRPDS KTQVTVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDT IYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARW VAKSLVKGGLCRRVLVQVSYAIGVSHPLSISIFHYGTSQKSERELLEIVKKNFDLRPGVI VRDLDLKKPIYQRTAAYGHFGRDSFPWEVPKKLKY >ENSMUSP00000145983.1 pep:known chromosome:GRCm38:6:72432800:72434991:-1 gene:ENSMUSG00000053907.10 transcript:ENSMUST00000205823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] VIVRDLDLKKPIYQRTAAYGHFGPMSFLNSWCSYRETSFLQRAVLLAGRRKSLHT >ENSMUSP00000146319.1 pep:known chromosome:GRCm38:6:72433900:72435076:-1 gene:ENSMUSG00000053907.10 transcript:ENSMUST00000206904.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] XSIFHYGTSQKSERELLEIVKKNFDLRPGVIVRVLH >ENSMUSP00000145603.1 pep:known chromosome:GRCm38:6:72434545:72439556:-1 gene:ENSMUSG00000053907.10 transcript:ENSMUST00000206692.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] MNGQLNGFHEAFIEEGTFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVA KTGMILLAGEITSRAAIDYQKVVREAIKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQG VHLDRNEEDIGAGDQGLMFGYATDETEECMPLTIVLAHKLNAKLAELRRNGTLPWLRPDS KTQVTVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDT IYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARW VAKSLVKGGLCRRVLVQVSYAIGVSHPLSISIFHYGTSQKSERELLEIVKKNFDLRPGVI VRVLH >ENSMUSP00000146301.1 pep:known chromosome:GRCm38:6:72435811:72439388:-1 gene:ENSMUSG00000053907.10 transcript:ENSMUST00000205384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] TFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVAKTGMILLAGEITSRAA IDYQKVVREAIKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQGVHLDRNEEDIGAGDQV TVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDTIYHL QPSGRFVIGGPQ >ENSMUSP00000030903.5 pep:known chromosome:GRCm38:4:155740641:155761093:-1 gene:ENSMUSG00000029036.18 transcript:ENSMUST00000030903.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atad3a description:ATPase family, AAA domain containing 3A [Source:MGI Symbol;Acc:MGI:1919214] MSWLFGIKGPKGEGTGPPLPLPPAQPGAEGGGDRGAGDRPSPKDKWSNFDPTGLERAAKA ARELEHSRHAKEALSLAQMQEQTLQLEQQSKLKEYEAAVEQLKSEQIRVQAEERRKTLTE ETRQHQARAQYQDKLARQRYEDQLKQQQLLNEENLRKQEESVQKQEAIRRATVEREMELR HKNEMLRVEAEARARAKADRENADIIREQIRLKAAEHRQTILESIRTAGTLLGEGFRAFV TDWDKVTATVAGLTLLAVGVYSAKNATSVAGRYIEARLGKPSLVRETSRISVLEALRHPI QVSRRLVSRPQDALEGVILSPSLEARVRDIAIATRNTKKNKSLYRNVLMYGPPGTGKTLF AKKLALHSGMDYAIMTGGDVAPMGREGVTAMHKVFDWASTSRRGLLLFVDEADAFLRKRA TEKISEDLRATLNAFLHRTGQHSSKFMLVLASNQPEQFDWAINDRIDEMVCFALPQREER ERLVRMYFDKYVLKPATEGKQRLKVAQFDYGKKCSEVAQLTEGMSGREIAQLAVAWQAMA YSSEDGVLTEAMMDARVQDAVQQHQQKMQWLKVERPDSQTNKPPHPSLLSC >ENSMUSP00000135297.1 pep:known chromosome:GRCm38:4:155750236:155756067:-1 gene:ENSMUSG00000029036.18 transcript:ENSMUST00000175679.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atad3a description:ATPase family, AAA domain containing 3A [Source:MGI Symbol;Acc:MGI:1919214] XQARAQYQDKLARQRYEDQLKQQQLLNEENLRKQEESVQKQEAIRRATVEREMELRHKNE MLRVEAEARARAKADRENADIIREQIRLKAAEHRQTILESIRTAGTLLGEGFRAFVTDWD KVTATVAGLTLLAVGVYSAKNATSVAGRYIEARLGKPSLVRETSRISVLEALRHPIQVSR RLVSRPQDALEGVILSPGGTGPRYCHRNKKYQEEQKPV >ENSMUSP00000138809.1 pep:known chromosome:GRCm38:4:155750538:155754767:-1 gene:ENSMUSG00000029036.18 transcript:ENSMUST00000184131.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atad3a description:ATPase family, AAA domain containing 3A [Source:MGI Symbol;Acc:MGI:1919214] XIRRATVEREMELRHKNEMLRVEAEARARAKADRENADIIREQIRLKAAEHRQTILESIS SGLLFKTPTLVDSSSETDLRDFC >ENSMUSP00000138808.1 pep:known chromosome:GRCm38:4:155751518:155760928:-1 gene:ENSMUSG00000029036.18 transcript:ENSMUST00000184913.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atad3a description:ATPase family, AAA domain containing 3A [Source:MGI Symbol;Acc:MGI:1919214] PGAEGGGDRGAGDRPSPKDKWSNFDPTGLERAAKAARELEHSRHAKEALSLAQMQEQTLQ LEQQSKLKEYEAAVEQLKSEQIRVQAEERRKTLTEETRQHQARAQYQDKLARQRYEDQLK QQLLTLM >ENSMUSP00000135405.1 pep:known chromosome:GRCm38:4:155753616:155761068:-1 gene:ENSMUSG00000029036.18 transcript:ENSMUST00000176043.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atad3a description:ATPase family, AAA domain containing 3A [Source:MGI Symbol;Acc:MGI:1919214] MSWLFGIKGPKGEGTGPPLPLPPAQPGAEGGGDRGAGDRPSPKDKWSNFDPTGLERAAKA ARELEHSRHAKEALSLAQMQEQTLQLEQQSKLKEYEAAVEQLKSEQIRVQAEERRKTLTE ETRQHQARAQYQDKLARQRYEDQLKQQQLLNEENLRKQEESVQKQEAIRRVSRSMCACQQ CGQRQVQCGLKTTVFAFLWDSHCGARDGAEA >ENSMUSP00000078279.2 pep:known chromosome:GRCm38:2:86046484:86047416:1 gene:ENSMUSG00000102091.1 transcript:ENSMUST00000079298.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1034 description:olfactory receptor 1034 [Source:MGI Symbol;Acc:MGI:3030868] MPNFTDVTEFLLVGLTRRQELRVLFFVVFLVVYMVTLLGNIGMIILISISPQLQSPMYFF LSHLSFVDVLFSSNVTPKMLENLISETKTISYVGCLVQCYFFIALVHVEVYILAVMAFDR YMAICNPLLYSSKMSRVVCIRLISVPYVYGFSVSLICTLWTYGLYFCGNIKINHFYCADP PLIKIACGGVHIKEYTMIVIAGINFTYSLSVVLISYVLIVVAVLRMHSADGRRKAFSTCG SHLTAVSMFYGTLIFMYLRRPTEESVEQGKMVAVFYTSVIPMLNPMIYSLRNKDVKEAVY KIVAKANLRK >ENSMUSP00000138946.1 pep:known chromosome:GRCm38:4:144974596:145155486:-1 gene:ENSMUSG00000020220.16 transcript:ENSMUST00000185113.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps13d description:vacuolar protein sorting 13 D (yeast) [Source:MGI Symbol;Acc:MGI:2448530] XRTVGMADGERHGRKIATASIGGTKVNVSMGSSFDMNGSLGCLQLMDLTQENVKSQYVVS IGNSVGYENIVSDIGYFESVFVRMEDAALTEALSFTFAEKSKQECFLNLKMASLHYNHSA KFLKELTLSMDELEENFRSMLKSAATKVTTVLATKTAEYSEMVSLFETPKKTREPFVLEE NEIYGFGLPSPRSDTVKLILNINIESPVVSIPRRPGSPELLVGHLGQIFIQNFVAGDDDS RSDRLQVEIKDIKLYSLNCTQLAGRDNAGPEVNRTFCPPSGLASVNSQEEAHFTRHDFFE SLHRGQAFHILNNTTIQFKLEKIPIERESELTFSLSPDELGTSSIMKIEGKFVNPVQVVL AKHVYEQVLQTMDNLVYSEDLNKFAASAPTSPCPNSPLPQLSSCGEPCVEKKENGLFSHS SFSSTSQKSLSVKEAKAFTQIQANFCISELQVQLSGDLTLGAQGLVSLKFQDFEVEFSKD HPQTLSIQIALRSLLMEDLLEKNPDSKYKSLMVSRGAPKPSSLAQKEYLSQSCPSVSSVE YPDMPRSLPSHMEEAPNVFQLYQRPISTCRKKPKEAQDKSYPQTPPPSPSVDEPNMLVGK SKFDDSLVHINIFLVDKKHPEFSSSYGRINRSIDVDFNCLDVLITLQTWVVILDFFGIGS TADNHAMKVPPEGLLQNVKAESSASMESEHQEPVNSKLDLKVHSLSLVLSKATSELAKAN VSKLMAHMEMIEGDLALQGSIGSLSLSDLTPHGDFYRERFTTSGEEALIFQTFKYGQPDP LLQREHDIRVSLQMASVQYVHTQRFQAEVVAFIQHFTQLQDILGRQRAAIEGQTVRDQAQ RCSRILLDIEAGAPVLLIPESSRSNNLIVANLGKLKVKNKFLFAGFPGTFSLQDKESVPS ASPAGTPKHSMRKMTTTEDPKGPQVQGLFMMPPAGVGLGSLKSDFVPSASIKQRGQQAVP PVSQSSSSPEDHVCLLDCIVVDLQDMDIFAAERHPREGSKASEHSSGDLIFPSYFVRQTG GSLLTEPCRLKLQVERNLDKEISHTVADISVHGSLSSVHCSLDLCKYKLIRGLLENNLGE PIEDFMRPYDLQDPRIHTVLSGEVYTCMCFLIDMVNVSLELKDPKGKEGTGSLARFDFKK CKLLYESFSNQTKSINLVSHSMMAFDTRYSGQKPSHGMTNVFNCIFQPSKSSSATQGSIQ IELHFRSTKDSSCFTVVLNNLRVFLIFDWLLLVHDFLHTPSDIKKQNVTPARHRNASSES AVVPKTVKSGVVTKRSSLPVSNERHLEVKVNVTGTEFVVVEDVSCFDTNAIILKGTTVLT YKPRFVDRPFSGSLFGIEVFSCRLGNEQDTALSIVDPVQIQVELVGNSSYQNSSGLMDAF NSEDFPPILEIQLQALDIRLSYNDVQLFLAIAKSIPEQASAAAPDSSALEVDSISCSLPG ASRIGEDVREGSRHTLDPVLELQLARLQELGFSMDDCRKALLVCQGQLKKAASWLFKNAE PLKSLSLVSSSSRDNPGTMPAPRISGVEVKAESVCICFIDDCMDCDVPLAELTFSRLNFL QHIRTNPEGFAHFTLSGDYYNRALSGWEPFIEPWPCSVSWQQQASSRLHPPRLKLEAKAK RRLDINITSVLIDQYISTKESWLADYCKEDKETESAKTEDWMGSSVDPPCFGQSLPLVYL RTRSTASLTNLEHQIYAREVKTPKRRQPFVPFALRNHTGCTLWFATLTTTPTRAALSHSG SPGVVPEGNGAFLDDAHNVSEWREVLTGEEIPFEFEARGKLRHRHTHDLRIHQLQVRVNG WEQVSPVSVDKVGTFFRYAAPDKNSSSSTIGSPSSRTNIIHPQVYFSSLPPVRVVFAVTM EGSARKVITVRSALIVKNRLETPMELRLDSPSAPDKPVVLPAIMPGDSFAVPLHLTSWRL QARPKGMGVFFCKVPIHWTNVVKTAEVSSSKRECHSMDTEKSRFFRFCVAIKKENYPDYM PSNIFSDSAKQIFRQPGHTIYLLPTVVICNLLPCELDFYVKGMPINGTLKPGKEAALPTA DTSQNIELGVSLENFPLCKELLIPPGTQNYMVRMRLYDVNRRQLNLTIRIACRAEGSLKI FISAPYWLINKTGLPLIFRQDNAKTDAAGQFEEHELARSLSPLLFCYADKEQPNLCTMRI GRGIHPEGMPGWCQGFSLDGGSGVRALKVIQQGNRPGLIYNIGIDVKKGRGRYIDTCMVI FAPRYLLDNKSSHKLAFAQREFARGQGTANPNGYISTLPGSSVVFHWPRNDYDQLLCVRL MDVPNCIWSGGFEVNKNNSFHINMRDTLGKCFFLRVEITLRGATYRISFSDTDQLPPPFR IDNFSKVPVVFTQHGVAEPRLRTEVKPMMSLDYAWDEPTLPPFITLTVKGAGSSEINCNM NDFQNNRQLYYENFIYIAATYTFSGLQEGKGRPVASHKAITCAELVLDVSPKTQRVILKK KEPGKRSQLWRMTGTGMLAHEGSAVPHNPNKPSATRSIEGSAILDIAGLAAVTDNRYEPL MLRKPDRRRSTTQTWSFHEGKLTCGLHGLVVQAKGGLSGLFDGAEVVLGPDSSMELLGPV PPEQQFANQKMRPGSGMLSIRVIPDGPTRALQITDFCQRKSERSSYEVEELPVTEQELQK LRNPDTHQELEVLVRLEGGVGVSLINKVPEELVFASLTGINIHYTQLAASHMLELSIQDV QVDNQLIGTTQPFMLYVTPLSNENEVIETGPAVQVNAVKFPSKSALTNIYKHLMVTAQRF TVQIEEKLLLKLLSFFGYDQAESEVEKYDENIHEKTAEQGGTPTRYYFENLKISIPQIKL SVFTSNKLPLDLKALKSTLGFPLIRFEDAVINLDPFTRVHPYETKEFIINDILKHFQEEL LSQAARILGSVDFLGNPMGLLNDVSEGVTGLIKYGNVGGLIRNVTHGVSNSAAKFAGTLS DGLGKTMDNRHQSEREYIRYHAATSGEHLVAGIHGLAHGIIGGLTSVITSTVEGVKTEGG VSGFISGLGKGLVGTVTKPVAGALDFASETAQAVRDTATLSGPRTQAQRVRKPRCCTGPQ GLLPRYSESQAEGQEQLFKLTDNIQDEFFIAVENIDSYCVLISSKAVYFLKSGDYVDREA IFLEVKYDDLYHCLVSKDHGKVYVQVTKKAANSSSGVSIPGPSHQKPMVHVKSEVLAVKL SQEINYAKSLYYEQQLMLRLSENQEQLELDS >ENSMUSP00000118699.1 pep:known chromosome:GRCm38:4:144972626:145091950:-1 gene:ENSMUSG00000020220.16 transcript:ENSMUST00000130704.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps13d description:vacuolar protein sorting 13 D (yeast) [Source:MGI Symbol;Acc:MGI:2448530] HWTNVVKTAEVSSSKRECHSMDTEKSRFFRFCVAIKKENYPDYMPSNIFSDSAKQIFRQP GHTIYLLPTVVICNLLPCELDFYVKGMPINGTLKPGKEAALPTADTSQNIELGVSLENFP LCKELLIPPGTQNYMVRMRLYDVNRRQLNLTIRIACRAEGSLKIFISAPYWLINKTGLPL IFRQDNAKTDAAGQFEEHELARSLSPLLFCYADKEQPNLCTMRIGRGIHPEGMPGWCQGF SLDGGSGVRALKVIQQGNRPGLIYNIGIDVKKGRGRYIDTCMVIFAPRYLLDNKSSHKLA FAQREFARGQGTANPNGYISTLPGSSVVFHWPRNDYDQLLCVRLMDVPNCIWSGGFEVNK NNSFHINMRDTLGKCFFLRVEITLRGATYRISFSDTDQLPPPFRIDNFSKVPVVFTQHGV AEPRLRTEVKPMMSLDYAWDEPTLPPFITLTVKGAGSSEINCNMNDFQNNRQLYYENFIY IAATYTFSGLQEGKGRPVASHKAITCAELVLDVSPKTQRVILKKKEPGKRSQLWRMTGTG MLAHEGSAVPHNPNKPSATRSIEGSAILDIAGLAAVTDNRYEPLMLRKPDRRRSTTQTWS FHEGKLTCGLHGLVVQAKGGLSGLFDGAEVVLGPDSSMELLGPVPPEQQFANQKMRPGSG MLSIRVIPDGPTRALQITDFCQRKSERSSYEVEELPVTEQELQKLRNPDTHQELEVLVRL EGGVGVSLINKVPEELVFASLTGINIHYTQLAASHMLELSIQDVQVDNQLIGTTQPFMLY VTPLSNENEVIETGPAVQVNAVKFPSKSALTNIYKHLMVTAQRFTVQIEEKLLLKLLSFF GYDQAESEVEKYDENIHEKTAEQGGTPTRYYFENLKISIPQIKLSVFTSNKLPLDLKALK STLGFPLIRFEDAVINLDPFTRVHPYETKEFIINDILKHFQEELLSQAARILGSVDFLGN PMGLLNDVSEGVTGLIKYGNVGGLIRNVTHGVSNSAAKFAGTLSDGLGKTMDNRHQSERE YIRYHAATSGEHLVAGIHGLAHGPKPRGFGNHVAALVPRGCFLDILRAKQKDRNSSSS >ENSMUSP00000020441.6 pep:known chromosome:GRCm38:4:144972626:145190666:-1 gene:ENSMUSG00000020220.16 transcript:ENSMUST00000020441.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps13d description:vacuolar protein sorting 13 D (yeast) [Source:MGI Symbol;Acc:MGI:2448530] MLEGLVAWVLNTYLGKYVNNLNTDQLSVALLKGAVELENLPLKKDALKELELPFEVKAGL IGKVTLQIPFYRPHVDPWVISISGLHVIGGPEKIQDFNDEKEKLLERERKKALLQALEER WKKGEPYWYSVTASVVTRIVENIELKIQDVHLRFEDGVTNPSRPFAFGICIKNVSMQNAA NEPVQKLMRKKRLDVAEFSIYWDVNCTLLGDLPQAELQEAMARSMESRSHHYILEPVCAS ALLKRNCSKEPLRSRHSPRIECDIQLETIPLKLSQLQYRQIMAFLKELERKERQLKFRKW KPKVAVSKNCREWWYFALNANLYEIREQRKCWTWDFLLHRARDAVFYTDRYFNKLKGGVL SADDKEEMCRIEEEQSFEELKILRELVHDRFYKQEELAESLREPQFDSPGTSPGDPDGSG GSGMLQYLQSWFPGWGGWYGQQSPEGKVVEGLTAESHEHWTPEEILGTEEFFDPTADASC MNTYTKRDHVFAKLNVQLQRGTVTLLHKEQGTAHANESAFMQLEFSDVKLLAESLPRRNS SLLLVRLGGLFLRDLATEGTMFPLLVFPNPQKEVGRVSQSFGLQTASEDRSDQYSAADPD NPVFEMLYERNPVHSHFERRLHVSTRPLNIIYNPQAIKKVADFFYKGKVHTSGFGYQSEL ELRVAEAARRQYDKLKVQTKAEIRQTLDRLLVGDFIEESKRWTVRLDISAPQVIFPDDFT FKNPVLVVVDLGRMLLTNTQEDSRRKSGDGSASEENQFSDDEYKTPLATPPSTPPPETSS SNGEKTPPFSGVEFSEEQLQAHLMSTQMYERYSLTFMDLQVMVGRVRDNWKRVQDIDVGP THVVEKFNVHLQLERRLIYTSDPKYPGAVLSGNLPDLKIHINEDKISALKNCFALLTTPE TKPSDTQIREKIFPQEGPRGSLQDSVMNLTQSIVMLEQHTREVLVESQLLLAEFKVNCMQ LGVESSGRYISVLKVFGTNAHFVKRPYDAEVSLTVHGLLLVDTMQTYGADFDLLMASHKN LSFDIPTGSLRDSRAQSPVSGPNVAFQTDVTTLNDQSATSVSLEKILTKEQESLIKLEYQ FVSSECPSMNLDSTLQVISLQVNNLDIILNPETIVELIGFLQKSFPKEKDDVSPQPLMTD LERSIVEQGTIQSTYEQNTEVAVEIHRLNLLLLRTVGMADGERHGRKIATASIGGTKVNV SMGSSFDMNGSLGCLQLMDLTQENVKSQYVVSIGNSVGYENIVSDIGYFESVFVRMEDAA LTEALSFTFAEKSKQECFLNLKMASLHYNHSAKFLKELTLSMDELEENFRSMLKSAATKV TTVLATKTAEYSEMVSLFETPKKTREPFVLEENEIYGFGLPSPRSDTVKLILNINIESPV VSIPRRPGSPELLVGHLGQIFIQNFVAGDDDSRSDRLQVEIKDIKLYSLNCTQLAGRDNA GPEVNRTFCPPSGLASVNSQEEAHFTRHDFFESLHRGQAFHILNNTTIQFKLEKIPIERE SELTFSLSPDELGTSSIMKIEGKFVNPVQVVLAKHVYEQVLQTMDNLVYSEDLNKFAASA PTSPCPNSPLPQLSSCGEPCVEKKENGLFSHSSFSSTSQKSLSVKEAKAFTQIQANFCIS ELQVQLSGDLTLGAQGLVSLKFQDFEVEFSKDHPQTLSIQIALRSLLMEDLLEKNPDSKY KSLMVSRGAPKPSSLAQKEYLSQSCPSVSSVEYPDMPRSLPSHMEEAPNVFQLYQRPIST CRKKPKEAQDKSYPQTPPPSPSVDEPNMLVGKSKFDDSLVHINIFLVDKKHPEFSSSYGR INRSIDVDFNCLDVLITLQTWVVILDFFGIGSTADNHAMKVPPEGLLQNVKAESSASMES EHQEPVNSKLDLKVHSLSLVLSKATSELAKANVSKLMAHMEMIEGDLALQGSIGSLSLSD LTPHGDFYRERFTTSGEEALIFQTFKYGQPDPLLQREHDIRVSLQMASVQYVHTQRFQAE VVAFIQHFTQLQDILGRQRAAIEGQTVRDQAQRCSRILLDIEAGAPVLLIPESSRSNNLI VANLGKLKVKNKFLFAGFPGTFSLQDKESVPSASPAGTPKHSMRKMTTTEDPKGPQVQGL FMMPPAGVGLGSLKSDFVPSASIKQRGQQAVPPVSQSSSSPEDHVCLLDCIVVDLQDMDI FAAERHPREGSKASEHSSGDLIFPSYFVRQTGGSLLTEPCRLKLQVERNLDKEISHTVAD ISVHGSLSSVHCSLDLCKYKLIRGLLENNLGEPIEDFMRPYDLQDPRIHTVLSGEVYTCM CFLIDMVNVSLELKDPKGKEGTGSLARFDFKKCKLLYESFSNQTKSINLVSHSMMAFDTR YSGQKPSHGMTNVFNCIFQPSKSSSATQGSIQIELHFRSTKDSSCFTVVLNNLRVFLIFD WLLLVHDFLHTPSDIKKQNVTPARHRNASSESAVVPKTVKSGVVTKRSSLPVSNERHLEV KVNVTGTEFVVVEDVSCFDTNAIILKGTTVLTYKPRFVDRPFSGSLFGIEVFSCRLGNEQ DTALSIVDPVQIQVELVGNSSYQNSSGLMDAFNSEDFPPILEIQLQALDIRLSYNDVQLF LAIAKSIPEQASAAAPDSSALEVDSISCSLPGASRIGEDVREGSRHTLDPVLELQLARLQ ELGFSMDDCRKALLVCQGQLKKAASWLFKNAEPLKSLSLVSSSSRDNPGTMPAPRISGVE VKAESVCICFIDDCMDCDVPLAELTFSRLNFLQHIRTNPEGFAHFTLSGDYYNRALSGWE PFIEPWPCSVSWQQQASSRLHPPRLKLEAKAKRRLDINITSVLIDQYISTKESWLADYCK EDKETESAKTEDWMGSSVDPPCFGQTEVKTPKRRQPFVPFALRNHTGCTLWFATLTTTPT RAALSHSGSPGVVPEGNGAFLDDAHNVSEWREVLTGEEIPFEFEARGKLRHRHTHDLRIH QLQVRVNGWEQVSPVSVDKVGTFFRYAAPDKNSSSSTIGSPSSRTNIIHPQVYFSSLPPV RVVFAVTMEGSARKVITVRSALIVKNRLETPMELRLDSPSAPDKPVVLPAIMPGDSFAVP LHLTSWRLQARPKGMGVFFCKVPIHWTNVVKTAEVSSSKRECHSMDTEKSRFFRFCVAIK KENYPDYMPSNIFSDSAKQIFRQPGHTIYLLPTVVICNLLPCELDFYVKGMPINGTLKPG KEAALPTADTSQNIELGVSLENFPLCKELLIPPGTQNYMVRMRLYDVNRRQLNLTIRIAC RAEGSLKIFISAPYWLINKTGLPLIFRQDNAKTDAAGQFEEHELARSLSPLLFCYADKEQ PNLCTMRIGRGIHPEGMPGWCQGFSLDGGSGVRALKVIQQGNRPGLIYNIGIDVKKGRGR YIDTCMVIFAPRYLLDNKSSHKLAFAQREFARGQGTANPNGYISTLPGSSVVFHWPRNDY DQLLCVRLMDVPNCIWSGGFEVNKNNSFHINMRDTLGKCFFLRVEITLRGATYRISFSDT DQLPPPFRIDNFSKVPVVFTQHGVAEPRLRTEVKPMMSLDYAWDEPTLPPFITLTVKGAG SSEINCNMNDFQNNRQLYYENFIYIAATYTFSGLQEGKGRPVASHKAITCAELVLDVSPK TQRVILKKKEPGKRSQLWRMTGTGMLAHEGSAVPHNPNKPSATRSIEGSAILDIAGLAAV TDNRYEPLMLRKPDRRRSTTQTWSFHEGKLTCGLHGLVVQAKGGLSGLFDGAEVVLGPDS SMELLGPVPPEQQFANQKMRPGSGMLSIRVIPDGPTRALQITDFCQRKSERSSYEVEELP VTEQELQKLRNPDTHQELEVLVRLEGGVGVSLINKVPEELVFASLTGINIHYTQLAASHM LELSIQDVQVDNQLIGTTQPFMLYVTPLSNENEVIETGPAVQVNAVKFPSKSALTNIYKH LMVTAQRFTVQIEEKLLLKLLSFFGYDQAESEVEKYDENIHEKTAEQGGTPTRYYFENLK ISIPQIKLSVFTSNKLPLDLKALKSTLGFPLIRFEDAVINLDPFTRVHPYETKEFIINDI LKHFQEELLSQAARILGSVDFLGNPMGLLNDVSEGVTGLIKYGNVGGLIRNVTHGVSNSA AKFAGTLSDGLGKTMDNRHQSEREYIRYHAATSGEHLVAGIHGLAHGIIGGLTSVITSTV EGVKTEGGVSGFISGLGKGLVGTVTKPVAGALDFASETAQAVRDTATLSGPRTQAQRVRK PRCCTGPQGLLPRYSESQAEGQEQLFKLTDNIQDEFFIAVENIDSYCVLISSKAVYFLKS GDYVDREAIFLEVKYDDLYHCLVSKDHGKVYVQVTKKAANSSSGVSIPGPSHQKPMVHVK SEVLAVKLSQEINYAKSLYYEQQLMLRLSENQEQLELDS >ENSMUSP00000043240.7 pep:known chromosome:GRCm38:4:144972622:145195005:-1 gene:ENSMUSG00000020220.16 transcript:ENSMUST00000036579.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps13d description:vacuolar protein sorting 13 D (yeast) [Source:MGI Symbol;Acc:MGI:2448530] MLEGLVAWVLNTYLGKYVNNLNTDQLSVALLKGAVELENLPLKKDALKELELPFEVKAGL IGKVTLQIPFYRPHVDPWVISISGLHVIGGPEKIQDFNDEKEKLLERERKKALLQALEER WKSERRQQKGEPYWYSVTASVVTRIVENIELKIQDVHLRFEDGVTNPSRPFAFGICIKNV SMQNAANEPVQKLMRKKRLDVAEFSIYWDVNCTLLGDLPQAELQEAMARSMESRSHHYIL EPVCASALLKRNCSKEPLRSRHSPRIECDIQLETIPLKLSQLQYRQIMAFLKELERKERQ LKFRKWKPKVAVSKNCREWWYFALNANLYEIREQRKCWTWDFLLHRARDAVFYTDRYFNK LKGGVLSADDKEEMCRIEEEQSFEELKILRELVHDRFYKQEELAESLREPQFDSPGTSPG DPDGSGGSGMLQYLQSWFPGWGGWYGQQSPEGKVVEGLTAESHEHWTPEEILGTEEFFDP TADASCMNTYTKRDHVFAKLNVQLQRGTVTLLHKEQGTAHANESAFMQLEFSDVKLLAES LPRRNSSLLLVRLGGLFLRDLATEGTMFPLLVFPNPQKEVGRVSQSFGLQTASEDRSDQY SAADPDNPVFEMLYERNPVHSHFERRLHVSTRPLNIIYNPQAIKKVADFFYKGKVHTSGF GYQSELELRVAEAARRQYDKLKVQTKAEIRQTLDRLLVGDFIEESKRWTVRLDISAPQVI FPDDFTFKNPVLVVVDLGRMLLTNTQEDSRRKSGDGSASEENQFSDDEYKTPLATPPSTP PPETSSSNGEKTPPFSGVEFSEEQLQAHLMSTQMYERYSLTFMDLQVMVGRVRDNWKRVQ DIDVGPTHVVEKFNVHLQLERRLIYTSDPKYPGAVLSGNLPDLKIHINEDKISALKNCFA LLTTPETKPSDTQIREKIFPQEGPRGSLQDSVMNLTQSIVMLEQHTREVLVESQLLLAEF KVNCMQLGVESSGRYISVLKVFGTNAHFVKRPYDAEVSLTVHGLLLVDTMQTYGADFDLL MASHKNLSFDIPTGSLRDSRAQSPVSGPNVAFQTDVTTLNDQSATSVSLEKILTKEQESL IKLEYQFVSSECPSMNLDSTLQVISLQVNNLDIILNPETIVELIGFLQKSFPKEKDDVSP QPLMTDLERSIVEQGTIQSTYEQNTEVAVEIHRLNLLLLRTVGMADGERHGRKIATASIG GTKVNVSMGSSFDMNGSLGCLQLMDLTQENVKSQYVVSIGNSVGYENIVSDIGYFESVFV RMEDAALTEALSFTFAEKSKQECFLNLKMASLHYNHSAKFLKELTLSMDELEENFRSMLK SAATKVTTVLATKTAEYSEMVSLFETPKKTREPFVLEENEIYGFGLPSPRSDTVKLILNI NIESPVVSIPRRPGSPELLVGHLGQIFIQNFVAGDDDSRSDRLQVEIKDIKLYSLNCTQL AGRDNAGPEVNRTFCPPSGLASVNSQEEAHFTRHDFFESLHRGQAFHILNNTTIQFKLEK IPIERESELTFSLSPDELGTSSIMKIEGKFVNPVQVVLAKHVYEQVLQTMDNLVYSEDLN KFAASAPTSPCPNSPLPQLSSCGEPCVEKKENGLFSHSSFSSTSQKSLSVKEAKAFTQIQ ANFCISELQVQLSGDLTLGAQGLVSLKFQDFEVEFSKDHPQTLSIQIALRSLLMEDLLEK NPDSKYKSLMVSRGAPKPSSLAQKEYLSQSCPSVSSVEYPDMPRSLPSHMEEAPNVFQLY QRPISTCRKKPKEAQDKSYPQTPPPSPSVDEPNMLVGKSKFDDSLVHINIFLVDKKHPEF SSSYGRINRSIDVDFNCLDVLITLQTWVVILDFFGIGSTADNHAMKVPPEGLLQNVKAES SASMESEHQEPVNSKLDLKVHSLSLVLSKATSELAKANVSKLMAHMEMIEGDLALQGSIG SLSLSDLTPHGDFYRERFTTSGEEALIFQTFKYGQPDPLLQREHDIRVSLQMASVQYVHT QRFQAEVVAFIQHFTQLQDILGRQRAAIEGQTVRDQAQRCSRILLDIEAGAPVLLIPESS RSNNLIVANLGKLKVKNKFLFAGFPGTFSLQDKESVPSASPAGTPKHSMRKMTTTEDPKG PQVQGLFMMPPAGVGLGSLKSDFVPSASIKQRGQQAVPPVSQSSSSPEDHVCLLDCIVVD LQDMDIFAAERHPREGSKASEHSSGDLIFPSYFVRQTGGSLLTEPCRLKLQVERNLDKEI SHTVADISVHGSLSSVHCSLDLCKYKLIRGLLENNLGEPIEDFMRPYDLQDPRIHTVLSG EVYTCMCFLIDMVNVSLELKDPKGKEGTGSLARFDFKKCKLLYESFSNQTKSINLVSHSM MAFDTRYSGQKPSHGMTNVFNCIFQPSKSSSATQGSIQIELHFRSTKDSSCFTVVLNNLR VFLIFDWLLLVHDFLHTPSDIKKQNVTPARHRNASSESAVVPKTVKSGVVTKRSSLPVSN ERHLEVKVNVTGTEFVVVEDVSCFDTNAIILKGTTVLTYKPRFVDRPFSGSLFGIEVFSC RLGNEQDTALSIVDPVQIQVELVGNSSYQNSSGLMDAFNSEDFPPILEIQLQALDIRLSY NDVQLFLAIAKSIPEQASAAAPDSSALEVDSISCSLPGASRIGEDVREGSRHTLDPVLEL QLARLQELGFSMDDCRKALLVCQGQLKKAASWLFKNAEPLKSLSLVSSSSRDNPGTMPAP RISGVEVKAESVCICFIDDCMDCDVPLAELTFSRLNFLQHIRTNPEGFAHFTLSGDYYNR ALSGWEPFIEPWPCSVSWQQQASSRLHPPRLKLEAKAKRRLDINITSVLIDQYISTKESW LADYCKEDKETESAKTEDWMGSSVDPPCFGQSLPLVYLRTRSTASLTNLEHQIYARAEVK TPKRRQPFVPFALRNHTGCTLWFATLTTTPTRAALSHSGSPGVVPEGNGAFLDDAHNVSE WREVLTGEEIPFEFEARGKLRHRHTHDLRIHQLQVRVNGWEQVSPVSVDKVGTFFRYAAP DKNSSSSTIGSPSSRTNIIHPQVYFSSLPPVRVVFAVTMEGSARKVITVRSALIVKNRLE TPMELRLDSPSAPDKPVVLPAIMPGDSFAVPLHLTSWRLQARPKGMGVFFCKVPIHWTNV VKTAEVSSSKRECHSMDTEKSRFFRFCVAIKKENYPDYMPSNIFSDSAKQIFRQPGHTIY LLPTVVICNLLPCELDFYVKGMPINGTLKPGKEAALPTADTSQNIELGVSLENFPLCKEL LIPPGTQNYMVRMRLYDVNRRQLNLTIRIACRAEGSLKIFISAPYWLINKTGLPLIFRQD NAKTDAAGQFEEHELARSLSPLLFCYADKEQPNLCTMRIGRGIHPEGMPGWCQGFSLDGG SGVRALKVIQQGNRPGLIYNIGIDVKKGRGRYIDTCMVIFAPRYLLDNKSSHKLAFAQRE FARGQGTANPNGYISTLPGSSVVFHWPRNDYDQLLCVRLMDVPNCIWSGGFEVNKNNSFH INMRDTLGKCFFLRVEITLRGATYRISFSDTDQLPPPFRIDNFSKVPVVFTQHGVAEPRL RTEVKPMMSLDYAWDEPTLPPFITLTVKGAGSSEINCNMNDFQNNRQLYYENFIYIAATY TFSGLQEGKGRPVASHKAITCAELVLDVSPKTQRVILKKKEPGKRSQLWRMTGTGMLAHE GSAVPHNPNKPSATRSIEGSAILDIAGLAAVTDNRYEPLMLRKPDRRRSTTQTWSFHEGK LTCGLHGLVVQAKGGLSGLFDGAEVVLGPDSSMELLGPVPPEQQFANQKMRPGSGMLSIR VIPDGPTRALQITDFCQRKSERSSYEVEELPVTEQELQKLRNPDTHQELEVLVRLEGGVG VSLINKVPEELVFASLTGINIHYTQLAASHMLELSIQDVQVDNQLIGTTQPFMLYVTPLS NENEVIETGPAVQVNAVKFPSKSALTNIYKHLMVTAQRFTVQIEEKLLLKLLSFFGYDQA ESEVEKYDENIHEKTAEQGGTPTRYYFENLKISIPQIKLSVFTSNKLPLDLKALKSTLGF PLIRFEDAVINLDPFTRVHPYETKEFIINDILKHFQEELLSQAARILGSVDFLGNPMGLL NDVSEGVTGLIKYGNVGGLIRNVTHGVSNSAAKFAGTLSDGLGKTMDNRHQSEREYIRYH AATSGEHLVAGIHGLAHGIIGGLTSVITSTVEGVKTEGGVSGFISGLGKGLVGTVTKPVA GALDFASETAQAVRDTATLSGPRTQAQRVRKPRCCTGPQGLLPRYSESQAEGQEQLFKLT DNIQDEFFIAVENIDSYCVLISSKAVYFLKSGDYVDREAIFLEVKYDDLYHCLVSKDHGK VYVQVTKKAANSSSGVSIPGPSHQKPMVHVKSEVLAVKLSQEINYAKSLYYEQQLMLRLS ENQEQLELDS >ENSMUSP00000055787.5 pep:known chromosome:GRCm38:1:184033032:184033998:-1 gene:ENSMUSG00000044854.7 transcript:ENSMUST00000050306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700056E22Rik description:RIKEN cDNA 1700056E22 gene [Source:MGI Symbol;Acc:MGI:1920613] MSLPLRLPYCSPARRLRAVLLFPYFRDPVTPPPSPTCSQEPDSDPEGGTPESYSEEDAWL CESSHWGPVLKGSVGAVPQSWAWPGPPNAPQAPQPRHRPPPNAVPASPAATSPCAMFWSP QSIRPPVLGRPRPSVAQGPRRAKERQPGTASAGGKEPELGLNSQNERRLRSMEGPTPALP IPRTLSIRGVTTGRGMSPVKRSGKLSKPASALPEKYQGRYRRMTLEFKGVEKPR >ENSMUSP00000112893.1 pep:known chromosome:GRCm38:14:55635965:55643251:-1 gene:ENSMUSG00000002320.15 transcript:ENSMUST00000120041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf1 description:transmembrane 9 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1921390] MTVLGYPRSWSCHCLPVLILLLGIGHGPWVEGVTHYKPGDPVILYVNKVGPYHNPQETYH YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVEQLR QAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFANVS VRDVKPHSLDGLRSDELLGLTHTYSVRWSETSVEHRSDRRRGDDGGFFPRTLEIHWLSII NSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETSSGGSSDDFDQGDNGWKIIHTDVFRF PPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISGYVS SHFYRQIGGERWVWNIILTSSLFSVPFFLTWSVVNSVHWANGSTQALPATTILLLLTVWL LVGFPLTVIGGIFGKNNASPFDAPCRTKNIAREIPPQPWYKSTVIHMTVGGFLPFSAISV ELYYIFATVWGREQYTLYGILFFVFAILLSVGACISIALTYFQLSGEDYRWWWRSVLSVG STGLFIFLYSVFYYARRSNMSGAVQTVEFFGYSLLTGYVFFLMLGTISFFSSLKFIRYIY VNLKMD >ENSMUSP00000113782.1 pep:known chromosome:GRCm38:14:55635965:55643806:-1 gene:ENSMUSG00000002320.15 transcript:ENSMUST00000122358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf1 description:transmembrane 9 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1921390] MTVLGYPRSWSCHCLPVLILLLGIGHGPWVEGVTHYKPGDPVILYVNKVGPYHNPQETYH YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVEQLR QAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFANVS VRDVKPHSLDGLRSDELLGLTHTYSVRWSETSVEHRSDRRRGDDGGFFPRTLEIHWLSII NSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETSSGGSSDDFDQGDNGWKIIHTDVFRF PPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISGYVS SHFYRQIGGERWVWNIILTSSLFSVPFFLTWSVVNSVHWANGSTQALPATTILLLLTVWL LVGFPLTVIGGIFGKNNASPFDAPCRTKNIAREIPPQPWYKSTVIHMTVGGFLPFSAISV ELYYIFATVWGREQYTLYGILFFVFAILLSVGACISIALTYFQLSGEDYRWWWRSVLSVG STGLFIFLYSVFYYARRSNMSGAVQTVEFFGYSLLTGYVFFLMLGTISFFSSLKFIRYIY VNLKMD >ENSMUSP00000113143.1 pep:known chromosome:GRCm38:14:55635968:55643315:-1 gene:ENSMUSG00000002320.15 transcript:ENSMUST00000121937.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf1 description:transmembrane 9 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1921390] MTVLGYPRSWSCHCLPVLILLLGIGHGPWVEGVTHYKPGDPVILYVNKVGPYHNPQETYH YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVEQLR QAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFANVS VRDVKPHSLDGLRSDELLGLTHTYSVRWSETSVEHRSDRRRGDDGGFFPRTLEIHWLSII NSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETSSGGSSDDFDQGDNGWKIIHTDVFRF PPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISGYVS SHFYRQIGGERWVWNIILTSSLFSVPFFLTWSVVNSVHWANGSTQALPATTILLLLTVWL LVGFPLTVIGGIFGKNNASPFDAPCRTKNIAREIPPQPCAISVELYYIFATVWGREQYTL YGILFFVFAILLSVGACISIALTYFQLSGEDYRWWWRSVLSVGSTGLFIFLYSVFYYARR SNMSGAVQTVEFFGYSLLTGYVFFLMLGTISFFSSLKFIRYIYVNLKMD >ENSMUSP00000112764.1 pep:known chromosome:GRCm38:14:55636012:55643354:-1 gene:ENSMUSG00000002320.15 transcript:ENSMUST00000121791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf1 description:transmembrane 9 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1921390] MTVLGYPRSWSCHCLPVLILLLGIGHGPWVEGVTHYKPGDPVILYVNKVGPYHNPQETYH YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVEQLR QAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFANVS VRDVKPHSLDGLRSDELLGLTHTYSVRWSETSVEHRSDRRRGDDGGFFPRTLEIHWLSII NSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETSSGGSSDDFDQGDNGWKIIHTDVFRF PPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISGYVS SHFYRQIGGERWVWNIILTSSLFSVPFFLTWSVVNSVHWANGSTQALPATTILLLLTVWL LVGFPLTVIGGIFGKNNASPFDAPCRTKNIAREIPPQPWYKSTVIHMTVGGFLPFSAISV ELYYIFATVWGREQYTLYGILFFVFAILLSVGACISIALTYFQLSGEDYRWWWRSVLSVG STGLFIFLYSVFYYARRSNMSGAVQTVEFFGYSLLTGYVFFLMLGTISFFSSLKFIRYIY VNLKMD >ENSMUSP00000115403.1 pep:known chromosome:GRCm38:14:55636016:55643361:-1 gene:ENSMUSG00000002320.15 transcript:ENSMUST00000149726.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tm9sf1 description:transmembrane 9 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1921390] MTVLGYPRSWSCHCLPVLILLLGIGHGPWVEGVTHYKPGDPVILYVNKVGPYHNPQETYH YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVEQLR QAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFANVS VRDVKPHSLDGLRSDELLGLTHTYSVRWSETSVEHRSDRRRGDDGGFFPRTLEIHWLSII NSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETSSGGSSDDFDQGDNGWKIIHTDVFRF PPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISGYVS SHFYRQIGGERWVWNIILTSSLFSGAAGHNHPAAADSLAAGRLSSHCHWRHLWEEQR >ENSMUSP00000002391.8 pep:known chromosome:GRCm38:14:55636060:55643340:-1 gene:ENSMUSG00000002320.15 transcript:ENSMUST00000002391.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf1 description:transmembrane 9 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1921390] MTVLGYPRSWSCHCLPVLILLLGIGHGPWVEGVTHYKPGDPVILYVNKVGPYHNPQETYH YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVEQLR QAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFANVS VRDVKPHSLDGLRSDELLGLTHTYSVRWSETSVEHRSDRRRGDDGGFFPRTLEIHWLSII NSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETSSGGSSDDFDQGDNGWKIIHTDVFRF PPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISGYVS SHFYRQIGGERWVWNIILTSSLFSVPFFLTWSVVNSVHWANGSTQALPATTILLLLTVWL LVGFPLTVIGGIFGKNNASPFDAPCRTKNIAREIPPQPWYKSTVIHMTVGGFLPFSAISV ELYYIFATVWGREQYTLYGILFFVFAILLSVGACISIALTYFQLSGEDYRWWWRSVLSVG STGLFIFLYSVFYYARRSNMSGAVQTVEFFGYSLLTGYVFFLMLGTISFFSSLKFIRYIY VNLKMD >ENSMUSP00000118427.1 pep:known chromosome:GRCm38:14:55637833:55643523:-1 gene:ENSMUSG00000002320.15 transcript:ENSMUST00000132338.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf1 description:transmembrane 9 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1921390] MTVLGYPRSWSCHCLPVLILLLGIGHGPWVEGVTHYKPGDPVILYVNKVGPYHNPQETYH YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVEQLR QAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGDRIIFANVS VRDVKPHSLDGLRSDELLGLTHTYSVRWSETSVEHRSDRRRGDDGGFFPRTLEIHWLSII NSMVLVFLLVGFVAVILMRVLRNDLARYNLDEETSSGGSSDDFDQGDNGWKIIHTDVFRF PPYRGLLCAVLGVGAQFLALGTGIIVMALLGMFNVHRHGAINSAAILLYALTCCISGYVS SHFYRQIGGERWVWNIILTSSLFSVPFFLTWSVVNSVHWANGSTQALPATTILLLLTVWL LVGFPLTVIGGIFGKNNASPFDAPCRTKNIAREIPPQPWYKSTVIHMTVGGFLPFRYPHF VPWP >ENSMUSP00000119435.1 pep:known chromosome:GRCm38:14:55641418:55643771:-1 gene:ENSMUSG00000002320.15 transcript:ENSMUST00000138085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf1 description:transmembrane 9 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1921390] MTVLGYPRSWSCHCLPVLILLLGIGHGPWVEGVTHYKPGDPVILYVNKVGPYHNPQETYH YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVEQLR QAIEELYYFEFVVDDLPIRGFVGYMEESGFLPHSHKIGLWTHLDFHLEFHGD >ENSMUSP00000123471.1 pep:known chromosome:GRCm38:14:55642584:55643333:-1 gene:ENSMUSG00000002320.15 transcript:ENSMUST00000133707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf1 description:transmembrane 9 superfamily member 1 [Source:MGI Symbol;Acc:MGI:1921390] MTVLGYPRSWSCHCLPVLILLLGIGHGPWVEGVTHYKPGDPVILYVNKVGPYHNPQETYH YYQLPVCCPEKIRHKSLSLGEVLDGDRMAESLYEIRFRENVEKRILCHMQLSSAQVSC >ENSMUSP00000051917.6 pep:known chromosome:GRCm38:9:35838329:35844150:-1 gene:ENSMUSG00000047980.6 transcript:ENSMUST00000054175.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9230110F15Rik description:RIKEN cDNA 9230110F15 gene [Source:MGI Symbol;Acc:MGI:1924330] MGKLLLLHFLLMQASFALVFIQVQATVCMVCKSFKSGHCLVGKNNCTTRYKPGCRTRNYF LFSHTGKWVHNHTELDCDKACMAENMYLGALKISTFCCKGEDFCNKYHGQVVNKNIY >ENSMUSP00000043015.3 pep:known chromosome:GRCm38:X:83486678:83487924:-1 gene:ENSMUSG00000035522.3 transcript:ENSMUST00000049420.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsga8 description:testis specific gene A8 [Source:MGI Symbol;Acc:MGI:1194903] MDVPTSSTDSQEVKPIMPIRTKAACKFYPPHILCGKGAKTNKRGKRGGAQKASTKKDIGE TTPPVAKKSKVAKEPTMLAAAAAPEAAASPESSAAAAAPEAAASPESSAAAAAPEAAASP ESSAAAAAPEAAASLESSAAAAAPEAAAAPATPAAPEAAAAPEVAAAPATPAAPEATAAP AAPEAATTPAAPEAPAAAPAVEEEEMVWEAAAVVGEAAVKPPEEEPTSGEAVATTTMT >ENSMUSP00000106359.2 pep:known chromosome:GRCm38:5:143403838:143421901:1 gene:ENSMUSG00000079111.3 transcript:ENSMUST00000110731.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdelr2 description:KDEL (Lys-Asp-Glu-Leu) endoplasmic reticulum protein retention receptor 2 [Source:MGI Symbol;Acc:MGI:1914163] MNIFRLTGDLSHLAAIVILLLKIWKTRSCAGISGKSQLLFALVFTTRYLDLFTSFISLYN TSMKLIYIACSYATVYLIYMKFKATYDGNHDTFRVEFLVVPVGGLSFLVNHDFSPLEILW TFSIYLESVAILPQLFMISKTGEAETITTHYLFFLGLYRALYLVNWIWRFYFEGFFDLIA VVAGVVQTILYCDFFYLYITKVLKGKKLSLPA >ENSMUSP00000079482.6 pep:known chromosome:GRCm38:7:140807449:140822178:-1 gene:ENSMUSG00000060314.12 transcript:ENSMUST00000080651.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp941 description:zinc finger protein 941 [Source:MGI Symbol;Acc:MGI:3039601] MEPMTFDDVAIIFSPEEWECLDSAQRDLYRDVMVENCRNLLSVEASRHGTKGFSSKKDMK RFFQEELRRRLSKCGADIFHPRKQWESERKTESQKVLCSRPCVTADSGTEKSVSANRDQG QEISQKRSPVVAVTQKEPHLSVSKHSQPHLKLTFPLKGKRQCPKGSRLHDTTSKVIDLKR STGLNSSSNISVARLEYKHISKCDQLESSFTKKPSLCSKQTTCVKLHSVNERGQKLSRPS LLNKKKGTTIWDAKRGAESVCKETSQTVRQSGILNNSQDSSTGEKTYECTKTHKNFSGGS AHGKRAPSLGNVFRGNKCGDVSHQSLKDLQSSHLQDEAYKCEGCVLTFRQKSDLLEHQKT HGRAKSYKCGECGKAFSSCSDLNVHQRSHDGENPHECKECGRAFASGRALARHQHTHTGK KSYICEECGKNFKKGSNLNQHLRIHTGEKPFKCEDCGLAFNQSSHLAKHQRIYAGECGRS FVKCSTSRRCHSIRPGAKPHKCKQCGKTFVDISKLTQHQNVHTGEKPFKCNECGKAFNSG LSLKQHQVVHTGEKPYKCKECEKAFTQIAKLTEHQRVHTGEKPYECKECGKAFRNFSAFN YHHRTHTGEKPYECKECGKAFTKSSDLKRHHRIHSGEKPYKCNECGKAFAMNPPVIRGNN GDLIFLKSVWDNCV >ENSMUSP00000101667.1 pep:known chromosome:GRCm38:7:140809689:140822132:-1 gene:ENSMUSG00000060314.12 transcript:ENSMUST00000106052.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp941 description:zinc finger protein 941 [Source:MGI Symbol;Acc:MGI:3039601] MEPMTFDDVAIIFSPEEWECLDSAQRDLYRDVMVENCRNLLSVEASRHGTKGFSSKKDMK RFFQEELRRRLSKCGADIFHPRKQWESERKTESQKVLCSRPCVTADSGTEKSVSANRDQG QEISQKRSPVVAVTQKEPHLSVSKHSQPHLKLTFPLKGKRQCPKGSRLHDTTSKVIDLKR STGLNSSSNISVARLEYKHISKCDQLESSFTKKPSLCSKQTTCVKLHSVNERGQKLSRPS LLNKKKGTTIWDAKRGAESVCKETSQTVRQSGILNNSQDSSTGEKTYECTKTHKNFSGGS AHGKRAPSLGNVFRGNKCGDVSHQSLKDLQSSHLQDEAYKCEGCVLTFRQKSDLLEHQKT HGRAKSYKCGECGKAFSSCSDLNVHQRSHDGENPHECKECGRAFASGRALARHQHTHTGK KSYICEECGKNFKKGSNLNQHLRIHTGEKPFKCEDCGLAFNQSSHLAKHQRIYAGECGRS FVKCSTSRRCHSIRPGAKPHKCKQCGKTFVDISKLTQHQNVHTGEKPFKCNECGKAFNSG LSLKQHQVVHTGEKPYKCKECEKAFTQIAKLTEHQRVHTGEKPYECKECGKAFRNFSAFN YHHRTHTGEKPYECKECGKAFTKSSDLKRHHRIHSGEKPYKCNECGKAFAMNVSLREHQR VHSREKPYKRD >ENSMUSP00000121433.1 pep:known chromosome:GRCm38:1:184013302:184037274:1 gene:ENSMUSG00000039384.8 transcript:ENSMUST00000139839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp10 description:dual specificity phosphatase 10 [Source:MGI Symbol;Acc:MGI:1927070] MPPSPLDDRVVVALSRPVRPQDLNLCLDSSYLGSASPGSGSHAPVLATAVVTLKAANLTY MPSSSGSARSLNCGCSSTSCCTVATYDKDHQAQTQAIAAGTATTAIGTSTTCPANQMVNN NENTGSVLSPSGGVGSPVSGTPKQL >ENSMUSP00000045838.7 pep:known chromosome:GRCm38:1:184034381:184075636:1 gene:ENSMUSG00000039384.8 transcript:ENSMUST00000048655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp10 description:dual specificity phosphatase 10 [Source:MGI Symbol;Acc:MGI:1927070] MPPSPLDDRVVVALSRPVRPQDLNLCLDSSYLGSASPGSGSHAPVLATAVVTLKAANLTY MPSSSGSARSLNCGCSSTSCCTVATYDKDHQAQTQAIAAGTATTAIGTSTTCPANQMVNN NENTGSVLSPSGGVGSPVSGTPKQLASIKIIYPNDLAKKMTKCSKSHLPSQGPVIIDCRP FMEYNKSHIQGAVHINCADKISRRRLQQGKITVLDLISCREGKDSFKRIFSKEIIVYDEN TNEPSRVTPSQPLHIVLESLKREGKEPLVLKGGLSSFKQNHGNLCDNSLQLQECREVGGG ASAASSMLPQSVPTTPDIENAELTPILPFLFLGNEQDAQDLDTMQRLNIGYVINVTTHLP LYHYEKGLFNYKRLPATDSNKQNLRQYFEEAFEFIEEAHQCGKGLLIHCQAGVSRSATIV IAYLMKHTRMTMTDAYKFVKGKRPIISPNLNFMGQLLEFEEDLNNGVTPRILTPKLMGME TVV >ENSMUSP00000073221.2 pep:known chromosome:GRCm38:5:72577114:72581254:-1 gene:ENSMUSG00000063935.3 transcript:ENSMUST00000073528.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zar1 description:zygote arrest 1 [Source:MGI Symbol;Acc:MGI:2180337] MFPASTFHPCPHPYPQATKAGDGWRFGARGCRPAPPSFLPGYRQLMAAEYVDSHQRAQLM ALLSRMGPRSVSSRDAAVQVNPRRDASVQCSLGRRTLQPAGCRASPDARSGSCQPRGHAG AGRSPRSWQTVAPFSSVTFCGLSSSLEVAGGRQTPTKGEGSPASSGTREPEPREVAARKA VPQPRSEEGDVQAAGQAGWEQQPPPEDRNSVAAMQSEPGSEEPCPAAEMAQDPGDSDAPR DQASPQSTEQDKERLRFQFLEQKYGYYHCKDCKIRWESAYVWCVQGTSKVYFKQFCRVCE KSYNPYRVEDITCQSCKRTRCACPVRLRHVDPKRPHRQDLCGRCKDKRLSCDSTFSFKYI I >ENSMUSP00000144079.1 pep:known chromosome:GRCm38:5:72577117:72580754:-1 gene:ENSMUSG00000063935.3 transcript:ENSMUST00000202174.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zar1 description:zygote arrest 1 [Source:MGI Symbol;Acc:MGI:2180337] CRASPDARSGSCQPRGHAGAGRSPRSWQTVAPFSSVTFCGLSSSLEVAGGRQTPTKGEGS PASSGTREPEPREVAARKAVPQPRSEEGDVQAAGQAGWEQQPPPEDRNSVAAMQSEPGSE EPCPAAEMAQDPGDSDAPRDQASPQSTEQDKERLRFQSCKRTRCACPVRLRHVDPKRPHR QDLCGRCKDKRLSCDSTFSFKYII >ENSMUSP00000031617.9 pep:known chromosome:GRCm38:5:121204481:121209241:1 gene:ENSMUSG00000029614.13 transcript:ENSMUST00000031617.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl6 description:ribosomal protein L6 [Source:MGI Symbol;Acc:MGI:108057] MAGEKAPDTKEKKPAAKKAGSDAAASRPRAAKVAKKVHPKGKKPKKAKPHCSRNPVLVRG IGRYSRSAMYSRKALYKRKYSAAKTKVEKKKKKEKVLATVTKTVGGDKNGGTRVVKLRKM PRYYPTEDVPRKLLSHGKKPFSQHVRRLRSSITPGTVLIILTGRHRGKRVVFLKQLDSGL LLVTGPLVINRVPLRRTHQKFVIATSTKVDISDVKIPKHLTDAYFKKKQLRKPRHQEGEI FDTEKEKYEITEQRKADQKAVDLQILPKIKAVPQLQGYLRSQFSLTNGMYPHKLVF >ENSMUSP00000143981.1 pep:known chromosome:GRCm38:5:121206724:121208965:1 gene:ENSMUSG00000029614.13 transcript:ENSMUST00000201155.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpl6 description:ribosomal protein L6 [Source:MGI Symbol;Acc:MGI:108057] PFSQHVRRLRSSITPGTVLIILTGRHRGKRVVFLKQLDSGLLLVTEFLCAEHTRSLSLPP LQKLISAMLKSPNT >ENSMUSP00000085986.4 pep:known chromosome:GRCm38:1:10137571:10232670:-1 gene:ENSMUSG00000067851.11 transcript:ENSMUST00000088615.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgef1 description:ADP-ribosylation factor guanine nucleotide-exchange factor 1(brefeldin A-inhibited) [Source:MGI Symbol;Acc:MGI:2442988] MYEGKKTKNMFLTRALEKILADKEVKKAHHSQLRKACEVALEEIKVETEKQSPPHGEAKA GSGTLPPVKSKTNFIEADKYFLPFELACQSKCPRIVSTSLDCLQKLIAYGHLTGRAPDST TPGKKLIDRIIETICGCFQGPQTDEGVQLQIIKALLTAVTSQHIEIHEGTVLQAVRTCYN IYLASKNLINQTTAKATLTQMLNVIFARMENQALQEAKQMERERHRQQQHLLQSPVSHHE PESPHLRYLPPQTVDHINQEHEGDLEPQTHDVDKSLQDDTEPENGSDISSAENEQTEADQ ATAAETLSKNDILYDGDYEEKPLDIVQSIVEEMVNIIVGDMGEGMAISASTEGNTGTVED GSDSENIQANGIPGTPISVAYTPSLPDDRLSVSSNDTQESGNSSGPSPGAKFSHILQKDA FLVFRSLCKLSMKPLSDGPPDPKSHELRSKILSLQLLLSILQNAGPVFRTNEMFINAIKQ YLCVALSKNGVSSVPEVFELSLSIFLTLLSNFKTHLKMQIEVFFKEIFLYILETSTSSFD HKWMVIQTLTRICADAQSVVDIYVNYDCDLNAANIFERLVNDLSKIAQGRGSQELGMSNV QELSLRKKGLECLVSILKCMVEWSKDQYVNPNSQTTLGQEKPSEQEISEVKHPETINRYG SLNSLESTSSSGIGSYSTQMSGTDNPEQFEVLKQQKEIIEQGIDLFNKKPKRGIQYLQEQ GMLGTTPEDIAQFLHQEERLDSTQVGEFLGDNDKFNKEVMYAYVDQHDFSGKDFVSALRL FLEGFRLPGEAQKIDRLMEKFAARYLECNQGQTLFASADTAYVLAYSIIMLTTDLHSPQV KNKMTKEQYIKMNRGINDSKDLPEEYLSAIYNEIAGKKISMKETKELTIPTKSTKQNVAS EKQRRLLYNLEMEQMAKTAKALMEAVSHVQAPFTSATHLEHVRPMFKLAWTPFLAAFSVG LQDCDDTEVASLCLEGIRCAIRIACIFSIQLERDAYVQALARFTLLTVSSGITEMKQKNI DTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKPRYISGTVRGREGSLTGT KDQAPDEFVGLGLVGGNVDWKQIASIQESIGETSSQSVVVAVDRIFTGSTRLDGNAIVDF VRWLCAVSMDELLSTTHPRMFSLQKIVEISYYNMGRIRLQWSRIWEVIGDHFNKVGCNPN EDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKRNRSPTIRDMVVRCIAQM VNSQAANIRSGWKNIFSVFHLAASDQDESIVELAFQTTGHIVTLVFEKHFPATIDSFQDA VKCLSEFACNAAFPDTSMEAIRLIRHCAKYVSDRPQAFKEYTSDDMSVAPEDRVWVRGWF PILFELSCIINRCKLDVRTRGLTVMFEIMKTYGHTYEKHWWQDLFRIVFRIFDNMKLPEQ QTEKAEWMTTTCNHALYAICDVFTQYLEVLSDVLLDDIFAQLYWCVQQDNEQLARSGTNC LENVVILNGEKFTLEIWDKTCNCTLDIFKTTIPHALLTWRPTSGEAEPPSPSAVSEKPLD AISQKSVDIHDSIQPRSSDNRQQAPLVSVSTVSEEVSKVKSTAKFPEQKLFAALLIKCVV QLELIQTIDNIVFFPATSKKEDAENLAAAQRDAVDFDVRVDTQDQGMYRFLTSQQLFKLL DCLLESHRFAKAFNSNNEQRTALWKAGFKGKSKPNLLKQETSSLACGLRILFRMYMDESR VSAWEEVQQRLLNVCREALSYFLTLTSESHREAWTNLLLLFLTKVLKISDSRFKAHASFY YPLLCEIMQFDLIPELRAVLRRFFLRIGIVFQISQPPEQELGINRQ >ENSMUSP00000118805.1 pep:known chromosome:GRCm38:1:10173075:10232670:-1 gene:ENSMUSG00000067851.11 transcript:ENSMUST00000131556.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgef1 description:ADP-ribosylation factor guanine nucleotide-exchange factor 1(brefeldin A-inhibited) [Source:MGI Symbol;Acc:MGI:2442988] MYEGKKTKNMFLTRALEKILADKEVKKAHHSQLRKACEVALEEIKVETEKQSPPHGEAKA GSGTLPPVKSKTNFIEADKYFLPFELACQSKCPRIVSTSLDCLQKLIAYGHLTGRAPDST TPGKKLIDRIIETICGCFQGPQTDEGVQLQIIKALLTAVTSQHIEIHEGTVLQAVRTCYN IYLASKNLINQTTAKATLTQMLNVIFARMENQALQEAKQMERERHRQQQHLLQSPVSHHE PESPHLRYLPPQTVDHINQEHEGDLEPQTHDVDKSLQDDTEPENGSDISSAENEQTEADQ ATAAETLSKNDILYDGDYEEKPLDIVQSIVEEMVNIIVGDMGEGMAISASTEGNTGTVED GSDSENIQANGIPGTPISVAYTPSLPDDRLSVSSNDTQESGNSSGPSPGAKFSHILQKDA FLVFRSLCKLSMKPLSDGPPDPKSHELRSKILSLQLLLSILQNAGPVFRTNEMFINAIKQ YLCVALSKNGVSSVPEVFELSLSIFLTLLSNFKTHLKMQIEVFFKEIFLYILETSTSSFD HKWMVIQTLTRICADAQSVVDIYVNYDCDLNAANIFERLVNDLSKIAQGRGSQELGMSNV QELSLRKKGLECLVSILKCMVEWSKDQYVNPNSQTTLGQEKPSEQEISEVKHPETINRYG SLNSLESTSSSGIGSYSTQMSGTDNPEQFEVLKQQKEIIEQGIDLFNKKPKRGIQYLQEQ GMLGTTPEDIAQFLHQEERLDSTQVGEFLGDNDKFNKEVMYAYVDQHDFSGKDFVSALRL FLEGFRLPGEAQKIDRLMEKFAARYLECNQGQTLFASADTAYVLAYSIIMLTTDLHSPQV KNKMTKEQYIKMNRGINDSKDLPEEYLSAIYNEIAGKKISMKETKELTIPTKSTKQNVAS EKQRRLLYNLEMEQMAKTAKALMEAVSHVQAPFTSATHLEHVRPMFKLAWTPFLAAFSVG LQDCDDTEVASLCLEGIRCAIRIACIFSIQLERDAYVQALARFTLLTVSSGITEMKQKNI DTIKTLITVAHTDGNYLGNSWHEVETLLTLQLNF >ENSMUSP00000039866.5 pep:known chromosome:GRCm38:11:94967593:94968456:1 gene:ENSMUSG00000038994.6 transcript:ENSMUST00000038928.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hils1 description:histone H1-like protein in spermatids 1 [Source:MGI Symbol;Acc:MGI:2136691] MAQMVAGDQDAGTLWVPSQSESQTESDISTQSLRKPTMSYVILKTLADKRVHNCVSLATL KKAVSITGYNMTHNTWRFKRVLQNLLDKGMIMHVTCCKGASGSLCLCKERALKSNHRAKR CQDRQKSQKPQKPGQRESEPCQLLLSSKKKNDQLFKGVRRVAKGNRHCHY >ENSMUSP00000117711.1 pep:known chromosome:GRCm38:2:181591868:181616076:1 gene:ENSMUSG00000002455.14 transcript:ENSMUST00000132714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf6 description:PRP6 pre-mRNA splicing factor 6 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1922946] MKKNQAADDDDEDLNDTNYDEFNGYAGSLFSSGPYEKDDEEADAIYAALDKRMD >ENSMUSP00000121340.1 pep:known chromosome:GRCm38:2:181601316:181655651:1 gene:ENSMUSG00000002455.14 transcript:ENSMUST00000136481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf6 description:PRP6 pre-mRNA splicing factor 6 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1922946] MNKKKKPFLGMPAPLGYVPGLGRGATGFTTRSDIGPARDANDPVDDRHAPPGKRTVGDQM KKNQAADDDDEDLNDTNYDEFNGYAGSLFSSGPYEKDDEEADAIYAALDKRMDERRKERR EQREKEEIEKYRMERPKIQQQFSDLKRKLAEVTEEEWLSIPEVGDARNKRQRNPRYEKLT PVPDSFFAKHLQTGENHTSVDPRQTQFGGLNTPYPGGLNTPYPGGMTPGLMTPGTGELDM RKIGQARNTLMDMRLSQVSDSVSGQTVVDPKGYLTDLNSMIPTHGGDINDIKKARLLLKS VRETNPHHPPAWIASARLEEVTGKLQVARNLIMKGTEMCPKSEDVWLEAARLQPGDTAKA VVAQAVRHLPQSVRIYIRAAELETDIRAKKRVLRKALEHVPNSVRLWKAAVELEEPEDAR IMLSRAVECCPTSVELWLALARLETYENARKVLNKARENIPTDRHIWITAAKLEEANGNT QMVEKIIDRAITSLRANGVEINREQWIQDAEECDRAGSVATCQAVMRAVIGIGIEEEDRK HTWMEDADSCVAHNALECARAIYAYALQVFPSKKSVWLRAAYFEKNHGTRESLEALLQRA VAHCPKAEVLWLMGAKSKWLAGDVPAARSILALAFQANPNSEEIWLAAVKLESENNEYER ARRLLAKARSSAPTARVFMKSVKLEWVLGNISAAQELCEEALRHYEDFPKLWMMKGQIEE QGELMEKAREAYNQGLKKCPHSTPLWLLLSRLEEKIGQLTRARAILEKSRLKNPKNPGLW LESVRLEYRAGLKNIANTLMAKALQECPNSGILWSEAVFLEARPQRKTKSVDALKKCEHD PHVLLAVAKLFWSERKITKAREWFHRTVKIDSDLGDAWAFFYKFELQHGTEEQQEEVRKR CENAEPRHGELWCAVSKDITNWQRKIGEILVLVAARIKNTF >ENSMUSP00000002529.6 pep:known chromosome:GRCm38:2:181601319:181655660:1 gene:ENSMUSG00000002455.14 transcript:ENSMUST00000002529.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf6 description:PRP6 pre-mRNA splicing factor 6 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1922946] MNKKKKPFLGMPAPLGYVPGLGRGATGFTTRSDIGPARDANDPVDDRHAPPGKRTVGDQM KKNQAADDDDEDLNDTNYDEFNGYAGSLFSSGPYEKDDEEADAIYAALDKRMDERRKERR EQREKEEIEKYRMERPKIQQQFSDLKRKLAEVTEEEWLSIPEVGDARNKRQRNPRYEKLT PVPDSFFAKHLQTGENHTSVDPRQTQFGGLNTPYPGGLNTPYPGGMTPGLMTPGTGELDM RKIGQARNTLMDMRLSQVSDSVSGQTVVDPKGYLTDLNSMIPTHGGDINDIKKARLLLKS VRETNPHHPPAWIASARLEEVTGKLQVARNLIMKGTEMCPKSEDVWLEAARLQPGDTAKA VVAQAVRHLPQSVRIYIRAAELETDIRAKKRVLRKALEHVPNSVRLWKAAVELEEPEDAR IMLSRAVECCPTSVELWLALARLETYENARKVLNKARENIPTDRHIWITAAKLEEANGNT QMVEKIIDRAITSLRANGVEINREQWIQDAEECDRAGSVATCQAVMRAVIGIGIEEEDRK HTWMEDADSCVAHNALECARAIYAYALQVFPSKKSVWLRAAYFEKNHGTRESLEALLQRA VAHCPKAEVLWLMGAKSKWLAGDVPAARSILALAFQANPNSEEIWLAAVKLESENNEYER ARRLLAKARSSAPTARVFMKSVKLEWVLGNISAAQELCEEALRHYEDFPKLWMMKGQIEE QGELMEKAREAYNQGLKKCPHSTPLWLLLSRLEEKIGQLTRARAILEKSRLKNPKNPGLW LESVRLEYRAGLKNIANTLMAKALQECPNSGILWSEAVFLEARPQRKTKSVDALKKCEHD PHVLLAVAKLFWSERKITKAREWFHRTVKIDSDLGDAWAFFYKFELQHGTEEQQEEVRKR CENAEPRHGELWCAVSKDITNWQRKIGEILVLVAARIKNTF >ENSMUSP00000104280.1 pep:known chromosome:GRCm38:11:69765912:69780209:1 gene:ENSMUSG00000018750.14 transcript:ENSMUST00000108640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb4 description:zinc finger and BTB domain containing 4 [Source:MGI Symbol;Acc:MGI:1922830] MPPPAEVTDPSHAPAVLHQLNEQRLRGLFCDVTLIAGDTKFPAHRSVLAASSPFFREALL ASAPLPLPPVTGGSAPSPATTTAASSSSSSPPPASPHSSSPPRVLELPGVPAAAFSDVLN FIYSARLALPGGGGDGAAVAEIGALGRRLGISRLQGLGEGGDTWVPPAPTSMVTSDPTED GLGAGPRTDGEWVGDKAEALTPDSQPRRPFPCPRCGKSFIHPKRLQTHEAQCRRGSNTRG SAGLGPGVSGSGGPAGVDASALPQPVGFRDGPEHVVKVVGGHVLYVCAACERSYVTLSSL KRHSNVHSWRRKYPCRYCEKVFALAEYRTKHEVWHTGERRYQCIFCWDTFVTYYNLKTHQ RAFHGISPGLLASEKTPNGGYKPRLNTLKLYRLLPMRAAKRPYKTYSQGAPEAPLSPSLH TPAPAAMPASPQPLPPPAPEPGPPPSVITFAHPAPSVIVHGSSSSGAAGGGPAGTGGSQA ASVITYTTPPRPPKKREYPPPPPEPTATPTSPASTAVSPATAAGPATATEEAKGRNLRAG RTLTYTAKPVGGLSGSGGSPTGTGRGSSQLQAPPPLCQITVRIGEEAIVKRRISETDLRP GELSGEEVEESEEEEEEEEEEDQEEQEESKAGGEDQLWRPYYSYKPKRKAGATAGGASGV SGLPRGRRPPRWRQKLERRGWEETPSVEGPGGRGRGERRHRCGDCAQAFATVRKLRKHQE AHSGGSHTSRTGRRSSTRFTCPHCAKVCKTAAALNRHGQRHAVERPGGTPTPVIAYSKGS IGTRPTDVKEEAPQEMQVSSSSGEAGSGSAAAAEASESASLQDPVISGGEEPPVAGGGSY VYPPVQEFPLALIGGSREPSAGKGKPGNEGSLGASEGDRMEGMGTAKVTFYPEPYPLVYG PQLLAAYPYNFSNLAALPVALNMVLPDEKGGGALPFLPGVFGYAVNPQAAPPTPPPPLPL PVSPKGIGGMTGVERTQKGDVG >ENSMUSP00000104279.1 pep:known chromosome:GRCm38:11:69765922:69784023:1 gene:ENSMUSG00000018750.14 transcript:ENSMUST00000108639.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb4 description:zinc finger and BTB domain containing 4 [Source:MGI Symbol;Acc:MGI:1922830] MPPPAEVTDPSHAPAVLHQLNEQRLRGLFCDVTLIAGDTKFPAHRSVLAASSPFFREALL ASAPLPLPPVTGGSAPSPATTTAASSSSSSPPPASPHSSSPPRVLELPGVPAAAFSDVLN FIYSARLALPGGGGDGAAVAEIGALGRRLGISRLQGLGEGGDTWVPPAPTSMVTSDPTED GLGAGPRTDGEWVGDKAEALTPDSQPRRPFPCPRCGKSFIHPKRLQTHEAQCRRGSNTRG SAGLGPGVSGSGGPAGVDASALPQPVGFRDGPEHVVKVVGGHVLYVCAACERSYVTLSSL KRHSNVHSWRRKYPCRYCEKVFALAEYRTKHEVWHTGERRYQCIFCWDTFVTYYNLKTHQ RAFHGISPGLLASEKTPNGGYKPRLNTLKLYRLLPMRAAKRPYKTYSQGAPEAPLSPSLH TPAPAAMPASPQPLPPPAPEPGPPPSVITFAHPAPSVIVHGSSSSGAAGGGPAGTGGSQA ASVITYTTPPRPPKKREYPPPPPEPTATPTSPASTAVSPATAAGPATATEEAKGRNLRAG RTLTYTAKPVGGLSGSGGSPTGTGRGSSQLQAPPPLCQITVRIGEEAIVKRRISETDLRP GELSGEEVEESEEEEEEEEEEDQEEQEESKAGGEDQLWRPYYSYKPKRKAGATAGGASGV SGLPRGRRPPRWRQKLERRGWEETPSVEGPGGRGRGERRHRCGDCAQAFATVRKLRKHQE AHSGGSHTSRTGRRSSTRFTCPHCAKVCKTAAALNRHGQRHAVERPGGTPTPVIAYSKGS IGTRPTDVKEEAPQEMQVSSSSGEAGSGSAAAAEASESASLQDPVISGGEEPPVAGGGSY VYPPVQEFPLALIGGSREPSAGKGKPGNEGSLGASEGDRMEGMGTAKVTFYPEPYPLVYG PQLLAAYPYNFSNLAALPVALNMVLPDEKGGGALPFLPGVFGYAVNPQAAPPTPPPPLPL PVSPKGIGGMTGVERTQKGDVG >ENSMUSP00000104282.1 pep:known chromosome:GRCm38:11:69765994:69781948:1 gene:ENSMUSG00000018750.14 transcript:ENSMUST00000108642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb4 description:zinc finger and BTB domain containing 4 [Source:MGI Symbol;Acc:MGI:1922830] MPPPAEVTDPSHAPAVLHQLNEQRLRGLFCDVTLIAGDTKFPAHRSVLAASSPFFREALL ASAPLPLPPVTGGSAPSPATTTAASSSSSSPPPASPHSSSPPRVLELPGVPAAAFSDVLN FIYSARLALPGGGGDGAAVAEIGALGRRLGISRLQGLGEGGDTWVPPAPTSMVTSDPTED GLGAGPRTDGEWVATGSVPQHARFRILQTLALYVVRTQI >ENSMUSP00000115687.1 pep:known chromosome:GRCm38:11:69766016:69778309:1 gene:ENSMUSG00000018750.14 transcript:ENSMUST00000156932.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb4 description:zinc finger and BTB domain containing 4 [Source:MGI Symbol;Acc:MGI:1922830] MPPPAEVTDPSHAPAVLHQLNEQRLRGLFCDVTLIAGDTKFPAHRSVLAKGRNLRAGRTL TYTAKPVGGLSGSGGSPTGTGRGSSQLQAPPPLCQITVRIGEEAIVKRRISETDLRPGEL SGEEVEESEEEEEEEE >ENSMUSP00000121694.1 pep:known chromosome:GRCm38:11:69766449:69776038:1 gene:ENSMUSG00000018750.14 transcript:ENSMUST00000132528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb4 description:zinc finger and BTB domain containing 4 [Source:MGI Symbol;Acc:MGI:1922830] MPPPAEVTDPSHAPAVLHQLNEQRLRGLFCDVTLIAGDTKFPAHRSVLAASSPFFR >ENSMUSP00000118027.1 pep:known chromosome:GRCm38:11:69766544:69776022:1 gene:ENSMUSG00000018750.14 transcript:ENSMUST00000153943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb4 description:zinc finger and BTB domain containing 4 [Source:MGI Symbol;Acc:MGI:1922830] MPPPAEVTDPSHAPAVLHQLNEQRLRGLFCDVTLIAGDTKFPAHRSVLAAS >ENSMUSP00000104278.1 pep:known chromosome:GRCm38:11:69775406:69779673:1 gene:ENSMUSG00000018750.14 transcript:ENSMUST00000108638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb4 description:zinc finger and BTB domain containing 4 [Source:MGI Symbol;Acc:MGI:1922830] MPPPAEVTDPSHAPAVLHQLNEQRLRGLFCDVTLIAGDTKFPAHRSVLAASSPFFREALL ASAPLPLPPVTGGSAPSPATTTAASSSSSSPPPASPHSSSPPRVLELPGVPAAAFSDVLN FIYSARLALPGGGGDGAAVAEIGALGRRLGISRLQGLGEGGDTWVPPAPTSMVTSDPTED GLGAGPRTDGEWVGDKAEALTPDSQPRRPFPCPRCGKSFIHPKRLQTHEAQCRRGSNTRG SAGLGPGVSGSGGPAGVDASALPQPVGFRDGPEHVVKVVGGHVLYVCAACERSYVTLSSL KRHSNVHSWRRKYPCRYCEKVFALAEYRTKHEVWHTGERRSLCELGKRPLSSAASQKLTC VLES >ENSMUSP00000109633.1 pep:known chromosome:GRCm38:X:82948903:85206141:1 gene:ENSMUSG00000045103.17 transcript:ENSMUST00000114000.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmd description:dystrophin, muscular dystrophy [Source:MGI Symbol;Acc:MGI:94909] MLWWEEVEDCYEREDVQKKTFTKWINAQFSKFGKQHIDNLFSDLQDGKRLLDLLEGLTGQ KLPKEKGSTRVHALNNVNKALRVLQKNNVDLVNIGSTDIVDGNHKLTLGLIWNIILHWQV KNVMKTIMAGLQQTNSEKILLSWVRQSTRNYPQVNVINFTSSWSDGLALNALIHSHRPDL FDWNSVVSQHSATQRLEHAFNIAKCQLGIEKLLDPEDVATTYPDKKSILMYITSLFQVLP QQVSIEAIQEVEMLPRTSSKVTREEHFQLHHQMHYSQQITVSLAQGYEQTSSSPKPRFKS YAFTQAAYVATSDSTQSPYPSQHLEAPRDKSLDSSLMETEVNLDSYQTALEEVLSWLLSA EDTLRAQGEISNDVEEVKEQFHAHEGFMMDLTSHQGLVGNVLQLGSQLVGKGKLSEDEEA EVQEQMNLLNSRWECLRVASMEKQSKLHKVLMDLQNQKLKELDDWLTKTEERTKKMEEEP FGPDLEDLKCQVQQHKVLQEDLEQEQVRVNSLTHMVVVVDESSGDHATAALEEQLKVLGD RWANICRWTEDRWIVLQDILLKWQHFTEEQCLFSTWLSEKEDAMKNIQTSGFKDQNEMMS SLHKISTLKIDLEKKKPTMEKLSSLNQDLLSALKNKSVTQKMEIWMENFAQRWDNLTQKL EKSSAQISQAVTTTQPSLTQTTVMETVTMVTTREQIMVKHAQEELPPPPPQKKRQITVDS ELRKRLDVDITELHSWITRSEAVLQSSEFAVYRKEGNISDLQEKVNAIAREKAEKFRKLQ DASRSAQALVEQMANEGVNAESIRQASEQLNSRWTEFCQLLSERVNWLEYQTNIITFYNQ LQQLEQMTTTAENLLKTQSTTLSEPTAIKSQLKICKDEVNRLSALQPQIEQLKIQSLQLK EKGQGPMFLDADFVAFTNHFNHIFDGVRAKEKELQTIFDTLPPMRYQETMSSIRTWIQQS ESKLSVPYLSVTEYEIMEERLGKLQALQSSLKEQQNGFNYLSDTVKEMAKKAPSEICQKY LSEFEEIEGHWKKLSSQLVESCQKLEEHMNKLRKFQNHIKTLQKWMAEVDVFLKEEWPAL GDAEILKKQLKQCRLLVGDIQTIQPSLNSVNEGGQKIKSEAELEFASRLETELRELNTQW DHICRQVYTRKEALKAGLDKTVSLQKDLSEMHEWMTQAEEEYLERDFEYKTPDELQTAVE EMKRAKEEALQKETKVKLLTETVNSVIAHAPPSAQEALKKELETLTTNYQWLCTRLNGKC KTLEEVWACWHELLSYLEKANKWLNEVELKLKTMENVPAGPEEITEVLESLENLMHHSEE NPNQIRLLAQTLTDGGVMDELINEELETFNSRWRELHEEAVRKQKLLEQSIQSAQEIEKS LHLIQESLEFIDKQLAAYITDKVDAAQMPQEAQKIQSDLTSHEISLEEMKKHNQGKDANQ RVLSQIDVAQKKLQDVSMKFRLFQKPANFEQRLEESKMILDEVKMHLPALETKSVEQEVI QSQLSHCVNLYKSLSEVKSEVEMVIKTGRQIVQKKQTENPKELDERVTALKLHYNELGAK VTERKQQLEKCLKLSRKMRKEMNVLTEWLAATDTELTKRSAVEGMPSNLDSEVAWGKATQ KEIEKQKAHLKSVTELGESLKMVLGKKETLVEDKLSLLNSNWIAVTSRVEEWLNLLLEYQ KHMETFDQNIEQITKWIIHADELLDESEKKKPQQKEDILKRLKAEMNDMRPKVDSTRDQA AKLMANRGDHCRKVVEPQISELNRRFAAISHRIKTGKASIPLKELEQFNSDIQKLLEPLE AEIQQGVNLKEEDFNKDMSEDNEGTVNELLQRGDNLQQRITDERKREEIKIKQQLLQTKH NALKDLRSQRRKKALEISHQWYQYKRQADDLLKCLDEIEKKLASLPEPRDERKLKEIDRE LQKKKEELNAVRRQAEGLSENGAAMAVEPTQIQLSKRWRQIESNFAQFRRLNFAQIHTLH EETMVVTTEDMPLDVSYVPSTYLTEISHILQALSEVDHLLNTPELCAKDFEDLFKQEESL KNIKDNLQQISGRIDIIHKKKTAALQSATSMEKVKVQEAVAQMDFQGEKLHRMYKERQGR FDRSVEKWRHFHYDMKVFNQWLNEVEQFFKKTQNPENWEHAKYKWYLKELQDGIGQRQAV VRTLNATGEEIIQQSSKTDVNILQEKLGSLSLRWHDICKELAERRKRIEEQKNVLSEFQR DLNEFVLWLEEADNIAITPLGDEQQLKEQLEQVKLLAEELPLRQGILKQLNETGGAVLVS APIRPEEQDKLEKKLKQTNLQWIKVSRALPEKQGELEVHLKDFRQLEEQLDHLLLWLSPI RNQLEIYNQPSQAGPFDIKEIEVTVHGKQADVERLLSKGQHLYKEKPSTQPVKRKLEDLR SEWEAVNHLLRELRTKQPDRAPGLSTTGASASQTVTLVTQSVVTKETVISKLEMPSSLLL EVPALADFNRAWTELTDWLSLLDRVIKSQRVMVGDLEDINEMIIKQKATLQDLEQRRPQL EELITAAQNLKNKTSNQEARTIITDRIERIQIQWDEVQEQLQNRRQQLNEMLKDSTQWLE AKEEAEQVIGQVRGKLDSWKEGPHTVDAIQKKITETKQLAKDLRQRQISVDVANDLALKL LRDYSADDTRKVHMITENINTSWGNIHKRVSEQEAALEETHRLLQQFPLDLEKFLSWITE AETTANVLQDASRKEKLLEDSRGVRELMKPWQDLQGEIETHTDIYHNLDENGQKILRSLE GSDEAPLLQRRLDNMNFKWSELQKKSLNIRSHLEASSDQWKRLHLSLQELLVWLQLKDDE LSRQAPIGGDFPAVQKQNDIHRAFKRELKTKEPVIMSTLETVRIFLTEQPLEGLEKLYQE PRELPPEERAQNVTRLLRKQAEEVNAEWDKLNLRSADWQRKIDEALERLQELQEAADELD LKLRQAEVIKGSWQPVGDLLIDSLQDHLEKVKALRGEIAPLKENVNRVNDLAHQLTTLGI QLSPYNLSTLEDLNTRWRLLQVAVEDRVRQLHEAHRDFGPASQHFLSTSVQGPWERAISP NKVPYYINHETQTTCWDHPKMTELYQSLADLNNVRFSAYRTAMKLRRLQKALCLDLLSLS AACDALDQHNLKQNDQPMDILQIINCLTTIYDRLEQEHNNLVNVPLCVDMCLNWLLNVYD TGRTGRIRVLSFKTGIISLCKAHLEDKYRYLFKQVASSTGFCDQRRLGLLLHDSIQIPRQ LGEVASFGGSNIEPSVRSCFQFANNKPEIEAALFLDWMRLEPQSMVWLPVLHRVAAAETA KHQAKCNICKECPIIGFRYRSLKHFNYDICQSCFFSGRVAKGHKMHYPMVEYCTPTTSGE DVRDFAKVLKNKFRTKRYFAKHPRMGYLPVQTVLEGDNMETPVTLINFWPVDSAPASSPQ LSHDDTHSRIEHYASRLAEMENSNGSYLNDSISPNESIDDEHLLIQHYCQSLNQDSPLSQ PRSPAQILISLESEERGELERILADLEEENRNLQAEYDRLKQQHEHKGLSPLPSPPEMMP TSPQSPRDAELIAEAKLLRQHKGRLEARMQILEDHNKQLESQLHRLRQLLEQPQAEAKVN GTTVSSPSTSLQRSDSSQPMLLRVVGSQTSESMGEEDLLSPPQDTSTGLEEVMEQLNNSF PSSRGRNAPGKPMREDTM >ENSMUSP00000109624.1 pep:known chromosome:GRCm38:X:85048413:85205039:1 gene:ENSMUSG00000045103.17 transcript:ENSMUST00000113991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmd description:dystrophin, muscular dystrophy [Source:MGI Symbol;Acc:MGI:94909] MREHLKGHETQTTCWDHPKMTELYQSLADLNNVRFSAYRTAMKLRRLQKALCLDLLSLSA ACDALDQHNLKQNDQPMDILQIINCLTTIYDRLEQEHNNLVNVPLCVDMCLNWLLNVYDT GRTGRIRVLSFKTGIISLCKAHLEDKYRYLFKQVASSTGFCDQRRLGLLLHDSIQIPRQL GEVASFGGSNIEPSVRSCFQFANNKPEIEAALFLDWMRLEPQSMVWLPVLHRVAAAETAK HQAKCNICKECPIIGFRYRSLKHFNYDICQSCFFSGRVAKGHKMHYPMVEYCTPTTSGED VRDFAKVLKNKFRTKRYFAKHPRMGYLPVQTVLEGDNMETPVTLINFWPVDSAPASSPQL SHDDTHSRIEHYASRLAEMENSNGSYLNDSISPNESIDDEHLLIQHYCQSLNQDSPLSQP RSPAQILISLESEERGELERILADLEEENRNLQAEYDRLKQQHEHKGLSPLPSPPEMMPT SPQSPRDAELIAEAKLLRQHKGRLEARMQILEDHNKQLESQLHRLRQLLEQPQAEAKVNG TTVSSPSTSLQRSDSSQPMLLRVVGSQTSESMGEEDLLSPPQDTSTGLEEVMEQLNNSFP SSRGRNAPGKPMREDTM >ENSMUSP00000109625.2 pep:known chromosome:GRCm38:X:85048413:85202498:1 gene:ENSMUSG00000045103.17 transcript:ENSMUST00000113992.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmd description:dystrophin, muscular dystrophy [Source:MGI Symbol;Acc:MGI:94909] MREHLKGHETQTTCWDHPKMTELYQSLADLNNVRFSAYRTAMKLRRLQKALCLDLLSLSA ACDALDQHNLKQNDQPMDILQIINCLTTIYDRLEQEHNNLVNVPLCVDMCLNWLLNVYDT GRTGRIRVLSFKTGIISLCKAHLEDKYRYLFKQVASSTGFCDQRRLGLLLHDSIQIPRQL GEVASFGGSNIEPSVRSCFQFANNKPEIEAALFLDWMRLEPQSMVWLPVLHRVAAAETAK HQAKCNICKECPIIGFRYRSLKHFNYDICQSCFFSGRVAKGHKMHYPMVEYCTPTTSGED VRDFAKVLKNKFRTKRYFAKHPRMGYLPVQTVLEGDNMETPASSPQLSHDDTHSRIEHYA SRLAEMENSNGSYLNDSISPNESIDDEHLLIQHYCQSLNQDSPLSQPRSPAQILISLESE ERGELERILADLEEENRNLQAEYDRLKQQHEHKGLSPLPSPPEMMPTSPQSPRDAELIAE AKLLRQHKGRLEARMQILEDHNKQLESQLHRLRQLLEQPQAEAKVNGTTVSSPSTSLQRS DSSQPMLLRVVGSQTSESMGEEDLLSPPQDTSTGLEEVMEQLNNSFPSSRGRNAPGKPMR EDTM >ENSMUSP00000021559.7 pep:known chromosome:GRCm38:12:80634022:80644341:-1 gene:ENSMUSG00000021131.13 transcript:ENSMUST00000021559.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erh description:enhancer of rudimentary homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108089] MSHTILLVQPTKRPEGRTYADYESVNECMEGVCKMYEEHLKRMNPNSPSITYDISQLFDF IDDLADLSCLVYRADTQTYQPYNKDWIKEKIYVLLRRQAQQAGK >ENSMUSP00000129620.1 pep:known chromosome:GRCm38:12:80634357:80643832:-1 gene:ENSMUSG00000021131.13 transcript:ENSMUST00000166931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erh description:enhancer of rudimentary homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:108089] MCLRQTEEGVRSPGLELPVMSHTILLVQPTKRPEGRTYADYESVNECMEGVCKMYEEHLK RMNPNSPSITYDISQLFDFIDDLADLSCLVYRADTQTYQPYNKDWIKEKIYVLLRRQAQQ AGK >ENSMUSP00000054085.4 pep:known chromosome:GRCm38:8:21881713:21885421:-1 gene:ENSMUSG00000047390.6 transcript:ENSMUST00000057076.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb9 description:defensin beta 9 [Source:MGI Symbol;Acc:MGI:2179198] MRTLCSLLLICCLLFSYTTPAANSIIGVSEMERCHKKGGYCYFYCFSSHKKIGSCFPEWP RCCKNIK >ENSMUSP00000062284.5 pep:known chromosome:GRCm38:6:135713233:136173125:-1 gene:ENSMUSG00000030209.14 transcript:ENSMUST00000053880.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2b description:glutamate receptor, ionotropic, NMDA2B (epsilon 2) [Source:MGI Symbol;Acc:MGI:95821] MKPSAECCSPKFWLVLAVLAVSGSKARSQKSAPSIGIAVILVGTSDEVAIKDAHEKDDFH HLSVVPRVELVAMNETDPKSIITRICDLMSDRKIQGVVFADDTDQEAIAQILDFISAQTL TPILGIHGGSSMIMADKDESSMFFQFGPSIEQQASVMLNIMEEYDWYIFSIVTTYFPGYQ DFVNKIRSTIENSFVGWELEEVLLLDMSLDDGDSKIQNQLKKLQSPIILLYCTKEEATYI FEVANSVGLTGYGYTWIVPSLVAGDTDTVPSEFPTGLISVSYDEWDYGLPARVRDGIAII TTAASDMLSEHSFIPEPKSSCYNTHEKRIYQSNMLNRYLINVTFEGRNLSFSEDGYQMHP KLVIILLNKERKWERVGKWKDKSLQMKYYVWPRMCPETEEQEDDHLSIVTLEEAPFVIVE SVDPLSGTCMRNTVPCQKRIISENKTDEEPGYIKKCCKGFCIDILKKISKSVKFTYDLYL VTNGKHGKKINGTWNGMIGEVVMKRAYMAVGSLTINEERSEVVDFSVPFIETGISVMVSR SNGTVSPSAFLEPFSADVWVMMFVMLLIVSAVAVFVFEYFSPVGYNRCLADGREPGGPSF TIGKAIWLLWGLVFNNSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEYV DQVSGLSDKKFQRPNDFSPPFRFGTVPNGSTERNIRNNYAEMHAYMGKFNQRGVDDALLS LKTGKLDAFIYDAAVLNYMAGRDEGCKLVTIGSGKVFASTGYGIAIQKDSGWKRQVDLAI LQLFGDGEMEELEALWLTGICHNEKNEVMSSQLDIDNMAGVFYMLGAAMALSLITFICEH LFYWQFRHCFMGVCSGKPGMVFSISRGIYSCIHGVAIEERQSVMNSPTATMNNTHSNILR LLRTAKNMANLSGVNGSPQSALDFIRRESSVYDISEHRRSFTHSDCKSYNNPPCEENLFS DYISEVERTFGNLQLKDSNVYQDHYHHHHRPHSIGSTSSIDGLYDCDNPPFTTQPRSISK KPLDIGLPSSKHSQLSDLYGKFSFKSDRYSGHDDLIRSDVSDISTHTVTYGNIEGNAAKR RKQQYKDSLKKRPASAKSRREFDEIELAYRRRPPRSPDHKRYFRDKEGLRDFYLDQFRTK ENSPHWEHVDLTDIYKERSDDFKRDSVSGGGPCTNRSHLKHGTGDKHGVVGGVPAPWEKN LTNVDWEDRSGGNFCRSCPSKLHNYSSTVAGQNSGRQACIRCEACKKAGNLYDISEDNSL QELDQPAAPVAVSSNASTTKYPQSPTNSKAQKKNRNKLRRQHSYDTFVDLQKEEAALAPR SVSLKDKGRFMDGSPYAHMFEMPAGESSFANKSSVTTAGHHHNNPGSGYMLSKSLYPDRV TQNPFIPTFGDDQCLLHGSKSYFFRQPTVAGASKTRPDFRALVTNKPVVSALHGAVPGRF QKDICIGNQSNPCVPNNKNPRAFNGSSNGHVYEKLSSIESDV >ENSMUSP00000142696.1 pep:known chromosome:GRCm38:6:135869703:136173511:-1 gene:ENSMUSG00000030209.14 transcript:ENSMUST00000152012.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2b description:glutamate receptor, ionotropic, NMDA2B (epsilon 2) [Source:MGI Symbol;Acc:MGI:95821] MKPSAECCSPKFWLVLAVLAVSGSKARSQKSAPSIGIAVILVGTSDEVAIKDAHEKDDFH HLSVVPRVELVAMNETDPKSIITRICDLMSDRKIQGVVFADDTDQEAIAQILDFISAQTL TPILGIHGGSSMIMADKDESSMFFQFGPSIEQQASVMLNIMEEYDWYIFSIVTTYFPGYQ DFVNKIRSTIENSFVGWELEEVLLLDMSLDDGDSKIQNQLKKLQSPIILLYCTKEEATYI FEVANSVGLTGYGYTWIVPSLVAGDTDTVPSEFPTGLISVSYDEWDYGLPARVRDGIAII TTAASDMLSEHSFIPEPKSSCYNTHEKRIYQSNMLNR >ENSMUSP00000140452.2 pep:known chromosome:GRCm38:6:136044193:136171889:-1 gene:ENSMUSG00000030209.14 transcript:ENSMUST00000188999.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2b description:glutamate receptor, ionotropic, NMDA2B (epsilon 2) [Source:MGI Symbol;Acc:MGI:95821] MKPSAECCSPKFWLVLAVLAVSGSKARSQKSAPSIG >ENSMUSP00000140710.1 pep:known chromosome:GRCm38:6:136044196:136173511:-1 gene:ENSMUSG00000030209.14 transcript:ENSMUST00000143943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2b description:glutamate receptor, ionotropic, NMDA2B (epsilon 2) [Source:MGI Symbol;Acc:MGI:95821] MKPSAECCSPKFWLVLAVLAVSGSKARSQKSAPSI >ENSMUSP00000139706.1 pep:known chromosome:GRCm38:6:136044196:136173511:-1 gene:ENSMUSG00000030209.14 transcript:ENSMUST00000125905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2b description:glutamate receptor, ionotropic, NMDA2B (epsilon 2) [Source:MGI Symbol;Acc:MGI:95821] MKPSAECCSPKFWLVLAVLAVSGSKARSQKSAPSI >ENSMUSP00000107536.1 pep:known chromosome:GRCm38:6:135729826:136173511:-1 gene:ENSMUSG00000030209.14 transcript:ENSMUST00000111905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2b description:glutamate receptor, ionotropic, NMDA2B (epsilon 2) [Source:MGI Symbol;Acc:MGI:95821] MKPSAECCSPKFWLVLAVLAVSGSKARSQKSAPSIGIAVILVGTSDEVAIKDAHEKDDFH HLSVVPRVELVAMNETDPKSIITRICDLMSDRKIQGVVFADDTDQEAIAQILDFISAQTL TPILGIHGGSSMIMADKDESSMFFQFGPSIEQQASVMLNIMEEYDWYIFSIVTTYFPGYQ DFVNKIRSTIENSFVGWELEEVLLLDMSLDDGDSKIQNQLKKLQSPIILLYCTKEEATYI FEVANSVGLTGYGYTWIVPSLVAGDTDTVPSEFPTGLISVSYDEWDYGLPARVRDGIAII TTAASDMLSEHSFIPEPKSSCYNTHEKRIYQSNMLNRYLINVTFEGRNLSFSEDGYQMHP KLVIILLNKERKWERVGKWKDKSLQMKYYVWPRMCPETEEQEDDHLSIVTLEEAPFVIVE SVDPLSGTCMRNTVPCQKRIISENKTDEEPGYIKKCCKGFCIDILKKISKSVKFTYDLYL VTNGKHGKKINGTWNGMIGEVVMKRAYMAVGSLTINEERSEVVDFSVPFIETGISVMVSR SNGTVSPSAFLEPFSADVWVMMFVMLLIVSAVAVFVFEYFSPVGYNRCLADGREPGGPSF TIGKAIWLLWGLVFNNSVPVQNPKGTTSKIMVSVWAFFAVIFLASYTANLAAFMIQEEYV DQVSGLSDKKFQRPNDFSPPFRFGTVPNGSTERNIRNNYAEMHAYMGKFNQRGVDDALLS LKTGKLDAFIYDAAVLNYMAGRDEGCKLVTIGSGKVFASTGYGIAIQKDSGWKRQVDLAI LQLFGDGEMEELEALWLTGICHNEKNEVMSSQLDIDNMAGVFYMLGAAMALSLITFICEH LFYWQFRHCFMGVCSGKPGMVFSISRGIYSCIHGVAIEERQSVMNSPTATMNNTHSNILR LLRTAKNMANLSGVNGSPQSALDFIRRESSVYDISEHRRSFTHSDCKSYNNPPCEENLFS DYISEVERTFGNLQLKDSNVYQDHYHHHHRPHSIGSTSSIDGLYDCDNPPFTTQPRSISK KPLDIGLPSSKHSQLSDLYGKFSFKSDRYSGHDDLIRSDVSDISTHTVTYGNIEGNAAKR RKQQYKDSLKKRPASAKSRREFDEIELAYRRRPPRSPDHKRYFRDKEGLRDFYLDQFRTK ENSPHWEHVDLTDIYKERSDDFKRDSVSGGGPCTNRSHLKHGTGDKHGVVGGVPAPWEKN LTNVDWEDRSGGNFCRSCPSKLHNYSSTVAGQNSGRQACIRCEACKKAGNLYDISEDNSL QELDQPAAPVAVSSNASTTKYPQSPTNSKAQKKNRNKLRRQHSYDTFVDLQKEEAALAPR SVSLKDKGRFMDGSPYAHMFEMPAGESSFANKSSVTTAGHHHNNPGSGYMLSKSLYPDRV TQNPFIPTFGDDQCLLHGSKSYFFRQPTVAGASKTRPDFRALVTNKPVVSALHGAVPGRF QKDICIGNQSNPCVPNNKNPRAFNGSSNGHVYEKLSSIESDV >ENSMUSP00000099451.1 pep:known chromosome:GRCm38:11:94962791:94976327:-1 gene:ENSMUSG00000001508.15 transcript:ENSMUST00000103162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgca description:sarcoglycan, alpha (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:894698] MAAAVTWIPLLAGLLAGLRDTKAQQTTLHLLVGRVFVHPLEHATFLRLPEHVAVPPTVRL TYHAHLQGHPDLPRWLHYTQRSPYNPGFLYGSPTPEDRGYQVIEVTAYNRDSFDTTRQRL LLLIGDPEGPRLPYQAEFLVRSHDVEEVLPTTPANRFLTALGGLWEPGELQLLNITSALD RGGRVPLPIEGRKEGVYIKVGSATPFSTCLKMVASPDSYARCAQGQPPLLSCYDTLAPHF RVDWCNVSLVDKSVPEPLDEVPTPGDGILEHDPFFCPPTEATDRDFLTDALVTLLVPLLV ALLLTLLLAYIMCFRREGRLKRDMATSDIQMFHHCSIHGNTEELRQMAASREVPRPLSTL PMFNVRTGERLPPRVDSAQMPLILDQH >ENSMUSP00000117637.1 pep:known chromosome:GRCm38:11:94962797:94970676:-1 gene:ENSMUSG00000001508.15 transcript:ENSMUST00000139855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgca description:sarcoglycan, alpha (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:894698] SLVDKSVPEPLDEVPTPGDGILEHDPFFCPPTEATDRDFLTDALVTLLVPLLVALLLTLL LAYIMCFRREGRLKRDMATSDIQMFHHCSIHGNTEELRQMAASREVPRPLSTLPMFNVRT GERLPPRVDSAQMPLILDQH >ENSMUSP00000118455.1 pep:known chromosome:GRCm38:11:94972226:94973489:-1 gene:ENSMUSG00000001508.15 transcript:ENSMUST00000152042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgca description:sarcoglycan, alpha (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:894698] MAAAVTWIPLLAGLLAGLRDTKAQQTTLHLLVGRVFVHPLEHATFLRLPEHVAVPPTVRL TYHAHLQGHPDLPRWLHYTQRSPYNPGFLYGSPTPEDRGY >ENSMUSP00000098118.4 pep:known chromosome:GRCm38:11:94962822:94973463:-1 gene:ENSMUSG00000001508.15 transcript:ENSMUST00000100551.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgca description:sarcoglycan, alpha (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:894698] MAAAVTWIPLLAGLLAGLRDTKAQQTTLHLLVGRVFVHPLEHATFLRLPEHVAVPPTVRL TYHAHLQGHPDLPRWLHYTQRSPYNPGFLYGSPTPEDRGYQVIEVTAYNRDSFDTTRQRL LLLIGDPEGPRLPYQAEFLVRSHDVEEVLPTTPANRFLTALGGLWEPGELQLLNITSALD RGGRVPLPIEGRKEGVYIKVGSATPFSTCLKMVASPDSYARCAQGQPPLLSCYDTLAPHF RVDWCNVSLVDKSVPEPLDEVPTPGDGILEHDPFFCPPTEATDRDFLTDALVTLLVPLLV ALLLTLLLAYIMCFRREGRLKRDMATSDIQMFHHCSIHGNTEELRQMAASREVPRPLSTL PMFNVRTGERLPPRVDSAQMPLILDQH >ENSMUSP00000130617.1 pep:known chromosome:GRCm38:11:94963208:94976327:-1 gene:ENSMUSG00000001508.15 transcript:ENSMUST00000166320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgca description:sarcoglycan, alpha (dystrophin-associated glycoprotein) [Source:MGI Symbol;Acc:MGI:894698] MAAAVTWIPLLAGLLAGLRDTKAQQTTLHLLVGRVFVHPLEHATFLRLPEHVAVPPTVRL TYHAHLQGHPDLPRWLHYTQRSPYNPGFLYGSPTPEDRGYQVIEVTAYNRDSFDTTRQRL LLLIGDPEGPRLPYQAEFLVRSHDVEEVLPTTPANRFLTALGGLWEPGELQLLNITSALD RGGRVPLPIEGRKEGVYIKVGSATPFSTCLKMVASPDSYARCAQGQPPLLSCYDTLAPHF RVDWCNVSLVDKSVPEPLDEVPTPGDGILEHDPFFCPPTEATDRDFLTDALVTLLVPLLV ALLLTLLLAYIMCFRREGRLKRDMATSDIQMFHHCSIHGNTEELRQMAASREVPRPLSTL PMFNVRTGERLPPRVDSAQMPLILDQH >ENSMUSP00000032462.6 pep:known chromosome:GRCm38:6:115241892:115252205:-1 gene:ENSMUSG00000030317.8 transcript:ENSMUST00000032462.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timp4 description:tissue inhibitor of metalloproteinase 4 [Source:MGI Symbol;Acc:MGI:109125] MPWSPLAALSWALVLRLLALLWPPGRGEACSCAPAHPQQHFCHSALVIRAKISSEKVVPA SKDPADTQKLIRYEIKQIKMFKGFEKAKDIQYVYTPFDSSLCGVKLETNSHKQYLLTGQI LSDGKVFIHLCNYIEPWEDLSLVQRESLNHHYHQNCGCQITTCYAVPCTISAPNECLWTD WLLERKLYGYQAQHYVCMKHVDGICSWYRGHLHLRKEYVDIIQP >ENSMUSP00000144785.1 pep:known chromosome:GRCm38:6:115246832:115251848:-1 gene:ENSMUSG00000030317.8 transcript:ENSMUST00000205131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timp4 description:tissue inhibitor of metalloproteinase 4 [Source:MGI Symbol;Acc:MGI:109125] MPWSPLAALSWALVLRLLALLWPPGRGEACSCAPAHPQQHFCHSALVIRAKISSEKVVPA SKDPADTQKLIRYEIKQIKMFKGFEKAKDIQYVYTPFDSSLCGVKLETNSHKQYLLTGQI LSDGKVFIHLCNYIEPWEDLSLVQRESLNHHYHQNCGCQVRRCPFLRLLVGEGQG >ENSMUSP00000003681.7 pep:known chromosome:GRCm38:11:4097039:4118831:-1 gene:ENSMUSG00000003585.13 transcript:ENSMUST00000003681.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec14l2 description:SEC14-like lipid binding 2 [Source:MGI Symbol;Acc:MGI:1915065] MSGRVGDLSPKQEEALAKFRENVQDVLPTLPNPDDYFLLRWLRARSFDLQKSEAMLRKHV EFRKQKDIDKIISWQPPEVIQQYLSGGRCGYDLDGCPVWYDIIGPLDAKGLLFSASKQDL LRTKMRDCELLLQECIQQTTKLGKKIETITMIYDCEGLGLKHLWKPAVEAYGEFLTMFEE NYPETLKRLFVVKAPKLFPVAYNLIKPFLSEDTRRKIMVLGANWKEVLLKHISPDQLPVE YGGTMTDPDGNPKCKSKINYGGDIPKQYYVRDQVKQQYEHTVQVSRGSSHQVEYEILFPG CVLRWQFMSEGSDVGFGIFLKTKMGERQRAGEMTEVLPNQRYNSHMVPEDGTLTCSEPGI YVLRFDNTYSFIHAKKVSFTVEVLLPDKAAEEKMNQQGADTPK >ENSMUSP00000027730.4 pep:known chromosome:GRCm38:1:134289989:134292548:1 gene:ENSMUSG00000026459.5 transcript:ENSMUST00000027730.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myog description:myogenin [Source:MGI Symbol;Acc:MGI:97276] MELYETSPYFYQEPHFYDGENYLPVHLQGFEPPGYERTELSLSPEARGPLEEKGLGTPEH CPGQCLPWACKVCKRKSVSVDRRRAATLREKRRLKKVNEAFEALKRSTLLNPNQRLPKVE ILRSAIQYIERLQALLSSLNQEERDLRYRGGGGPQPMVPSECNSHSASCSPEWGNALEFG PNPGDHLLAADPTDAHNLHSLTSIVDSITVEDMSVAFPDETMPN >ENSMUSP00000031852.4 pep:known chromosome:GRCm38:6:50573302:50596632:-1 gene:ENSMUSG00000029828.4 transcript:ENSMUST00000031852.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921507P07Rik description:RIKEN cDNA 4921507P07 gene [Source:MGI Symbol;Acc:MGI:1918071] MEVIHGRPHCCRNLEGADILSNTFYSNGLHTPYETTIRPTASQARYQELREALPQCRLRW GADREYGGVLPVSLPEEHRPKCEPPRLMSKGHQHYGFGGEIWPKKLPIEQYYYMTQNKKS DVYGNDSLLPKPPNSAVKEICSPYPIEHPYHTHISRGSVFPTFTSPKDLYTGIKARTQQP FPPTVPTKACDTTILKTRGNPYRYELLDFPMDSKKKALTWPGQGVYYDFPKFVEKNKPVF YPKPPKTFAPNSSVNPWDTMSSAKDANIQRNLERSHWLTSYAHDFTGLGPMSPLELDDYH EKELAELTGQIGFDPQPQEKFHPALKTPRPLDGRIARLTQNQRPLEATVQIPPPCPDCTP RVLCAFHTFIPTSAEIMAMNNNLLSGITHKNQDVEEKIKEEQGLMSTCPLPTCYESKDLT SLYDVQSFPKITDTKKTDDLYWRQLEMKPLPISCSKSNHYIDYEPLKSAYRDPYAMCPNP VRLSKSNILQNKTDTADFTFDNFLSKPEFLGMNMESNEETRPLLDWIPRAGVPKHHSNLR NLRNTFSKSMAQKRLHNSIQEEQKDLRDKLQCGMRHQFFGYNGHHFYN >ENSMUSP00000078245.6 pep:known chromosome:GRCm38:7:27258725:27282141:1 gene:ENSMUSG00000063160.12 transcript:ENSMUST00000079258.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numbl description:numb-like [Source:MGI Symbol;Acc:MGI:894702] MSRSAAASGGPRRPDQHLSPAPCGASGPPETFRTESDGAGTMNKLRQSLRRRKPAYVPEA SRPHQWQADEDAVRKGTCSFPVRYLGHVEVEESRGMHVCEDAVKKLKAMGRKSVKSVLWV SADGLRVVDDKTKDLLVDQTIEKVSFCAPDRNLDKAFSYICRDGTTRRWICHCFLALKDS GERLSHAVGCAFAACLERKQRREKECGVTAAFDASRTSFAREGSFRLSGGGRPAEREAGD KKKAEAAAAPAVAPGPAQPGHVSPTPATTSPGEKGEAGTPVAAGTTAAAIPRRHAPLEQL VRQGSFRGFPALSQKNSPFKRQLSLRLNELPSTLQRRTDFQVKGTVPEMEPPGTGDSDGI NALCTQISSSFASAGAPASGPPPATTGTSAWGEPSVPAAAAFQPGHKRTPSEAERWLEEV SQVAKAQQQQQQQQQQQQQQQATSVPPMPTMAPTLQPFSAPVGPFDTAAAQVAVFLPPTH MQPPFVPAYPGLGYPPMPRVPVVGITPSQMVANAFCSAAQLQPQPATLLGKAGAFPPPAA PSAPGGQARPRPNGAPWPPEPAPAPAPELDPFEAQWAALEGKPAVEKPSNPFSGDLQKTF EIEL >ENSMUSP00000085880.4 pep:known chromosome:GRCm38:2:157101360:157135222:-1 gene:ENSMUSG00000027639.16 transcript:ENSMUST00000088523.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samhd1 description:SAM domain and HD domain, 1 [Source:MGI Symbol;Acc:MGI:1927468] MDSLLGCGVSAAAREPVPRYLTSQPRVSEVAMQSAPLEQPAKRPRCDGSPRTPPSTPPAT ANLSADDDFQNTDLRTWEPEDVCSFLENRGFREKKVLDIFRDNKIAGSFLPFLDEDRLED LGVSSLEERKKMIECIQQLSQSRIDLMKVFNDPIHGHIEFHPLLIRIIDTPQFQRLRYIK QLGGGYYVFPGASHNRFEHSLGVGYLAGCLVRALAEKQPELQISERDILCVQIAGLCHDL GHGPFSHMFDGRFIPRARPEKKWKHEQGSIEMFEHLVNSNELKLVMKNYGLVPEEDITFI KEQIMGPPITPVKDSLWPYKGRPATKSFLYEIVSNKRNGIDVDKWDYFARDCHHLGIQNN FDYKRFIKFARICEVEYKVKEDKTYIRKVKHICSREKEVGNLYDMFHTRNCLHRRAYQHK ISNLIDIMITDAFLKADPYVEITGTAGKKFRISTAIDDMEAFTKLTDNIFLEVLHSTDPQ LSEAQSILRNIECRNLYKYLGETQPKREKIRKEEYERLPQEVAKAKPEKAPDVELKAEDF IVDVINVDYGMEDKNPIDRVHFYCKSNSKQAVRINKEQVSQLLPEKFAEQLIRVYCKKKD GKSLDAAGKHFVQWCALRDFTKPQQCGAGEMAEDPDSIPSTQQPHAAHNQL >ENSMUSP00000105176.2 pep:known chromosome:GRCm38:2:157103345:157135206:-1 gene:ENSMUSG00000027639.16 transcript:ENSMUST00000109549.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samhd1 description:SAM domain and HD domain, 1 [Source:MGI Symbol;Acc:MGI:1927468] MDSLLGCGVSAAAREPVPRYLTSQPRVSEVAMQSAPLEQPAKRPRCDGSPRTPPSTPPAT ANLSADDDFQNTDLRTWEPEDVCSFLENRGFREKKVLDIFRDNKIAGSFLPFLDEDRLED LGVSSLEERKKMIECIQQLSQSRIDLMKVFNDPIHGHIEFHPLLIRIIDTPQFQRLRYIK QLGGGYYVFPGASHNRFEHSLGVGYLAGCLVRALAEKQPELQISERDILCVQIAGLCHDL GHGPFSHMFDGRFIPRARPEKKWKHEQGSIEMFEHLVNSNELKLVMKNYGLVPEEDITFI KEQIMGPPITPVKDSLWPYKGRPATKSFLYEIVSNKRNGIDVDKWDYFARDCHHLGIQNN FDYKRFIKFARICEVEYKVKEDKTYIRKVKHICSREKEVGNLYDMFHTRNCLHRRAYQHK ISNLIDIMITDAFLKADPYVEITGTAGKKFRISTAIDDMEAFTKLTDNIFLEVLHSTDPQ LSEAQSILRNIECRNLYKYLGETQPKREKIRKEEYERLPQEVAKAKPEKAPDVELKAEDF IVDVINVDYGMEDKNPIDRVHFYCKSNSKQAVRINKEQVMVT >ENSMUSP00000124599.1 pep:known chromosome:GRCm38:2:157099401:157135093:-1 gene:ENSMUSG00000027639.16 transcript:ENSMUST00000123932.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Samhd1 description:SAM domain and HD domain, 1 [Source:MGI Symbol;Acc:MGI:1927468] MQSAPLEQPAKRPRCDGSPRTPPSTPPATANLSADDDFQNTDLRTWEPEDVCSFLENRGF REKKVLDIFRDNKIAGSFLPFLDEDRLEDLGVRYLMIPFMATLSSTLSLSESSTHLSSSD FAISSSWGAATMFSLERPTIASNTVSEWGT >ENSMUSP00000124935.1 pep:known chromosome:GRCm38:2:157099401:157135120:-1 gene:ENSMUSG00000027639.16 transcript:ENSMUST00000139263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samhd1 description:SAM domain and HD domain, 1 [Source:MGI Symbol;Acc:MGI:1927468] XTSQPRVSEVAMQSAPLEQPAKRPRCDGSPRTPPSTPPATANLSADDDFQNTDLRTWEPE DVCSFLENRGFREKKVLDIFRDNKIAGSFLPFLDEDRLEDLGVSSLEERKKMIECIQQLS QSRIDLMKVFNDPIHGHIEFHPLLIRIIDTPQFQRLRYIKQLGGGYYVFPGASHNRFEHS LGVGYLAGCLVRALAEKQPELQISERDILCVQIAGLCHDLGHGPFSHMFDGRFIPRARPE KKWKHEQGSIEMFEHLVNSNELKLVMKNYGLVPEEDITFIKEQIMGPPITPVKDSLWPYK GRPATKSFLYEIVSNKRNGIDVDKWDYFARDCHHLGIQNNFDYKRFIKFARICEVEYKVK EDKTYIRKVKHICSREKEVGNLYDMFHTRNCLHRRAYQHKISNLIDIMITDAFLKADPYV EITGTAGKKFRISTAIDDMEAFTKLTDNIFLEVLHSTDPQLSEAQSILRNIECRNLYKYL GETQPKREKIRKEEYERLPQEVAKAKPEKAPDVELKAEDFIVDVINVDYGMEDKNPIDRV HFYCKSNSKQAVRINKEQVSQLLPEKFAEQLIRVYCKKKDGKSLDAAGKHFVQWCALRDF TKPQQCGAGEMAEDPDSIPSTQQPHAAHNQL >ENSMUSP00000059717.3 pep:known chromosome:GRCm38:2:157097533:157135265:-1 gene:ENSMUSG00000027639.16 transcript:ENSMUST00000057725.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samhd1 description:SAM domain and HD domain, 1 [Source:MGI Symbol;Acc:MGI:1927468] MDSLLGCGVSAAAREPVPRYLTSQPRVSEVAMQSAPLEQPAKRPRCDGSPRTPPSTPPAT ANLSADDDFQNTDLRTWEPEDVCSFLENRGFREKKVLDIFRDNKIAGSFLPFLDEDRLED LGVSSLEERKKMIECIQQLSQSRIDLMKVFNDPIHGHIEFHPLLIRIIDTPQFQRLRYIK QLGGGYYVFPGASHNRFEHSLGVGYLAGCLVRALAEKQPELQISERDILCVQIAGLCHDL GHGPFSHMFDGRFIPRARPEKKWKHEQGSIEMFEHLVNSNELKLVMKNYGLVPEEDITFI KEQIMGPPITPVKDSLWPYKGRPATKSFLYEIVSNKRNGIDVDKWDYFARDCHHLGIQNN FDYKRFIKFARICEVEYKVKEDKTYIRKVKHICSREKEVGNLYDMFHTRNCLHRRAYQHK ISNLIDIMITDAFLKADPYVEITGTAGKKFRISTAIDDMEAFTKLTDNIFLEVLHSTDPQ LSEAQSILRNIECRNLYKYLGETQPKREKIRKEEYERLPQEVAKAKPEKAPDVELKAEDF IVDVINVDYGMEDKNPIDRVHFYCKSNSKQAVRINKEQVSQLLPEKFAEQLIRVYCKKKD GKSLDAAGKHFVQWCALRDFTKPQDGDIIAPLITPLKWNNKTSSCLQEVSKVKTCLKF >ENSMUSP00000028897.7 pep:known chromosome:GRCm38:2:130390775:130397574:-1 gene:ENSMUSG00000027408.7 transcript:ENSMUST00000028897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpxm1 description:carboxypeptidase X 1 (M14 family) [Source:MGI Symbol;Acc:MGI:1934569] MWGLLLAVTAFAPSVGLGLGAPSASVPGLAPGSTLAPHSSVAQPSTKANETSERHVRLRV IKKKKIVVKKRKKLRHPGPLGTARPVVPTHPAKTLTLPEKQEPGCPPLGLESLRVSDSQL EASSSQSFGLGAHRGRLNIQSGLEDGDLYDGAWCAEQQDTEPWLQVDAKNPVRFAGIVTQ GRNSVWRYDWVTSFKVQFSNDSQTWWKSRNSTGMDIVFPANSDAETPVLNLLPEPQVARF IRLLPQTWFQGGAPCLRAEILACPVSDPNDLFPEAHTLGSSNSLDFRHHNYKAMRKLMKQ VNEQCPNITRIYSIGKSHQGLKLYVMEMSDHPGEHELGEPEVRYVAGMHGNEALGRELLL LLMQFLCHEFLRGDPRVTRLLTETRIHLLPSMNPDGYETAYHRGSELVGWAEGRWTHQGI DLNHNFADLNTQLWYAEDDGLVPDTVPNHHLPLPTYYTLPNATVAPETWAVIKWMKRIPF VLSANLHGGELVVSYPFDMTRTPWAARELTPTPDDAVFRWLSTVYAGTNRAMQDTDRRPC HSQDFSLHGNVINGADWHTVPGSMNDFSYLHTNCFEVTVELSCDKFPHEKELPQEWENNK DALLTYLEQVRMGITGVVRDKDTELGIADAVIAVEGINHDVTTAWGGDYWRLLTPGDYVV TASAEGYHTVRQHCQVTFEEGPVPCNFLLTKTPKERLRELLATRGKLPPDLRRKLERLRG QK >ENSMUSP00000048722.5 pep:known chromosome:GRCm38:7:140845547:140846769:1 gene:ENSMUSG00000038801.6 transcript:ENSMUST00000035300.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1c1 description:secretoglobin, family 1C, member 1 [Source:MGI Symbol;Acc:MGI:2655401] MKGSSALLLVALSLLCVCGLTRAEDDNEFFMEFLQTLLVGTPEELYEGPLGKYNVNDMAK SALSELKSCIDELQPVHKEQLVKLLVQVLDAQEDT >ENSMUSP00000053297.2 pep:known chromosome:GRCm38:9:98955607:98956847:1 gene:ENSMUSG00000050397.3 transcript:ENSMUST00000051312.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxl2 description:forkhead box L2 [Source:MGI Symbol;Acc:MGI:1349428] MMASYPEPEDTAGTLLAPESGRAVKEAEASPPSPGKGGGTTPEKPDPAQKPPYSYVALIA MAIRESAEKRLTLSGIYQYIIAKFPFYEKNKKGWQNSIRHNLSLNECFIKVPREGGGERK GNYWTLDPACEDMFEKGNYRRRRRMKRPFRPPPAHFQPGKGLFGSGGAAGGCGVPGAGAD GYGYLAPPKYLQSGFLNNSWPLPQPPSPMPYASCQMAAAAAAAAAAAAAAGPGSPGAAAV VKGLAGPAASYGPYSRVQSMALPPGVVNSYNGLGGPPAAPPPPPPPPHPHPHPHAHHLHA AAAPPPAPPHHGAAAPPPGQLSPASPATAAPPAPAPTSAPGLQFACARQPELAMMHCSYW DHDSKTGALHSRLDL >ENSMUSP00000101665.2 pep:known chromosome:GRCm38:7:140835018:140837887:1 gene:ENSMUSG00000025481.11 transcript:ENSMUST00000106050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urah description:urate (5-hydroxyiso-) hydrolase [Source:MGI Symbol;Acc:MGI:1916142] MSSRTAPRLMTLQRHLGWPQAGNMATESSPLTTHVLDTASGLPAQGLCLRLSRLEAPCQQ WMELRTSYTNLDGRCPGLLTPSQIKPGTYKLFFDTERYWKERGQESFYPYVEVVFTITKE TQKFHVPLLLSPWSYTTYRGS >ENSMUSP00000026554.4 pep:known chromosome:GRCm38:7:140835279:140837966:1 gene:ENSMUSG00000025481.11 transcript:ENSMUST00000026554.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urah description:urate (5-hydroxyiso-) hydrolase [Source:MGI Symbol;Acc:MGI:1916142] MATESSPLTTHVLDTASGLPAQGLCLRLSRLEAPCQQWMELRTSYTNLDGRCPGLLTPSQ IKPGTYKLFFDTERYWKERGQESFYPYVEVVFTITKETQKFHVPLLLSPWSYTTYRGS >ENSMUSP00000140559.1 pep:known chromosome:GRCm38:7:140835297:140837971:1 gene:ENSMUSG00000025481.11 transcript:ENSMUST00000185612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urah description:urate (5-hydroxyiso-) hydrolase [Source:MGI Symbol;Acc:MGI:1916142] MATESSPLTTHVLDTASGLPAQGLCLRLSRLEAPCQQWMELRTSYTNLDGRCPGLLTPSQ IKPGTYKLFFDTERYWKERGQESFYPYVEVVFTITKETQKFHVPLLLSPWSYTTYRGS >ENSMUSP00000104296.2 pep:known chromosome:GRCm38:11:69622024:69623870:1 gene:ENSMUSG00000069835.10 transcript:ENSMUST00000108656.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sat2 description:spermidine/spermine N1-acetyl transferase 2 [Source:MGI Symbol;Acc:MGI:1916465] MASTRIREARESDCGDIMRMIRELAEFEKLSHQVKISEEALRADGFGENPFFHCLVAEII PAPGESQGSLVVGYGLYYFIYSTWTGRNVYLEDIYVMPQYRGQGIGTKIIKKVAEVALNK GCSQFRLAVLDWNKKAVNLYKFLGAQDLTESEGWLSFRFEGEAMRELAGR >ENSMUSP00000090647.1 pep:known chromosome:GRCm38:11:69622052:69623870:1 gene:ENSMUSG00000069835.10 transcript:ENSMUST00000092969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sat2 description:spermidine/spermine N1-acetyl transferase 2 [Source:MGI Symbol;Acc:MGI:1916465] MASTRIREARESDCGDIMRMIRELAEFEKLSHQVKISEEALRADGFGENPFFHCLVAEII PAPGESQGSLVVGYGLYYFIYSTWTGRNVYLEDIYVMPQYRGQGIGTKIIKKVAEVALNK GCSQFRLAVLDWNKKAVNLYKFLGAQDLTESEGWLSFRFEGEAMRELAGR >ENSMUSP00000118481.1 pep:known chromosome:GRCm38:1:85649988:85701561:1 gene:ENSMUSG00000026222.16 transcript:ENSMUST00000155094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp100 description:nuclear antigen Sp100 [Source:MGI Symbol;Acc:MGI:109561] MEGSDGSPRMSTEQENTEMHLIECMLKHFKTQKVAISNAIRSTFPFLESLRDHEFITGKM YEDLLDSCRSLVPVDKVIYRALEELEKKFDMTVLCELFNEVNMEKYPDLNLIRRSFGCVF PNELCFQGIDGGNPNSQLSLEQGPGASYSQGSPNGSSLDLSASEGWRSNDRRNSNLMQAN QTENHQLAESPGHLDSCELQVQLNNRDATPESCSLLPQNEERAVQLNYELQINPCFVQLV DVKKENSSFSLAGNQQTRARTNQNEDSEIIELSSGDSDNGENFSEATTTVPSQPAPAYSR KPPTLRRDRGGDTSDTESSIIIRRRKRTGRKKRERLGSYLIRNIKIPMKPSWKTAFLARS ANPSSQRRRKRGPRIPREENADFGGAELPVVCGNAQGFLDKEKFKQGIYVRSIRGKTGRL FTPMDFEIEGNCEKAKNWRQSIRCKGWTLRELIQKGVLQDPPRKKKETPRNPRQTRRQVN AL >ENSMUSP00000120604.1 pep:known chromosome:GRCm38:1:85650008:85696368:1 gene:ENSMUSG00000026222.16 transcript:ENSMUST00000145440.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sp100 description:nuclear antigen Sp100 [Source:MGI Symbol;Acc:MGI:109561] MEGSDGSPRMSTEQENTEMHLIECMLKHFKTQKVAISNAIRSTFPFLESLRDHEFITGKM YEDLLDSCRSLVPVDKVIYRALEELEKKFDMTVLCELFNEVNMEKYPDLNLIRRSFGCVF PNELCFQGIDGGNPNSQLSLEQGPGASYSQGSPNGSSLDLSASEGWRSNDRRNSNLMQAN QTENHQLAESPGHLDSCELQVQLNNRDATPESCSLLPQNEERAVQLNYELQINPCFVQLV DVKKENSSFSLAGNQQTRARTNQNEDSEIIEPRTTSPGMAIPTMGPRPLEKMPNSWISWR HFLN >ENSMUSP00000051705.8 pep:known chromosome:GRCm38:1:85650014:85683118:1 gene:ENSMUSG00000026222.16 transcript:ENSMUST00000054279.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp100 description:nuclear antigen Sp100 [Source:MGI Symbol;Acc:MGI:109561] MEGSDGSPRMSTEQENTEMHLIECMLKHFKTQKVAISNAIRSTFPFLESLRDHEFITGKM YEDLLDSCRSLVPVDKVIYRALEELEKKFDMTVLCELFNEVNMEKYPDLNLIRRSFGCVF PNELCFQGIDGGNPNSQLSLEQGPGASYSQGSPNGSSLDLSASEGWRSNDRRNSNLMQAN QTENHQLAESPGHLDSCELQVQLNNRDATPESCSLLPQNEERAVQLNYELQINPCFVQLV DVKKENSSFSLAGNQQTRARTNQNEDSEIIELSSGDSDNGENFSEATTTVPSQPAPAYSR KPPTLRRDRGGDTSDTESSIIIRRRKRTGRVLYSSSSELSSEEESQDS >ENSMUSP00000116942.1 pep:known chromosome:GRCm38:1:85650014:85701572:1 gene:ENSMUSG00000026222.16 transcript:ENSMUST00000147552.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp100 description:nuclear antigen Sp100 [Source:MGI Symbol;Acc:MGI:109561] MEGSDGSPRMSTEQENTEMHLIECMLKHFKTQKVAISNAIRSTFPFLESLRDHEFITGKM YEDLLDSCRSLVPVDKVIYRALEELEKKFDMTVLCELFNEVNMEKYPDLNLIRRSFGCVF PNELCFQGIDGGNPNSQLSLEQGPGASYSQGSPNGSSLDLSASEGWRSNDRRNSNLMQAN QTENHQLAESPGHLDSCELQVQLNNRDATPESCSLLPQNEERAVQLNYELQINPCFVQLV DVKKENSSFSLAGNQQTRARTNQNEDSEIIELSSGDSDNGENFSEATTTVPSQPAPAYSR KPPTLRRDRGGGKKRERLGSYLIRNIKIPMKPSWKTAFLARSANPSSQRRRKRGPRIPRE ENADFGGAELPVVCGNAQGFLDKEKFKQGIYVRSIRGKTGRLFTPMDFEIEGNCEKAKNW RQSIRCKGWTLRELIQKGVLQDPPRKKKETPRNPRQTRRQVNAL >ENSMUSP00000122670.1 pep:known chromosome:GRCm38:1:85650014:85709198:1 gene:ENSMUSG00000026222.16 transcript:ENSMUST00000153574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp100 description:nuclear antigen Sp100 [Source:MGI Symbol;Acc:MGI:109561] MEGSDGSPRMSTEQENTEMHLIECMLKHFKTQKVAISNAIRSTFPFLESLRDHEFITGKM YEDLLDSCRSLVPVDKVIYRALEELEKKFDMTVLCELFNEVNMEKYPDLNLIRRSFGCVF PNELCFQGIDGGNPNSQLSLEQGPGASYSQGSPNGSSLDLSASEGWRSNDRRNSNLMQAN QTENHQLAESPGHLDSCELQVQLNNRDATPESCSLLPQNEERAVQLNYELQINPCFVQLV DVKKENSSFSLAGNQQTRARTNQNEDSEIIELSSGDSDNGENFSEATTTVPSQPAPAYSR KPPTLRRDRGGDTSDTESSIIIRRRKRTGPFLARSANPSSQRRRKRGPRIPREENADFGG AELPVVCGNAQGFLDKEKFKQGIYVRSIRGKTGRLFTPMDFEIEGNCEKAKNWRQSIRCK GWTLRELIQKGVLQDPPRKKKETPRNPRQTRRQKRFKNLGIIVGKKFEKNFKRIFSIEDT SEQHEPCNHTVLLT >ENSMUSP00000122899.1 pep:known chromosome:GRCm38:1:85650015:85701139:1 gene:ENSMUSG00000026222.16 transcript:ENSMUST00000150967.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp100 description:nuclear antigen Sp100 [Source:MGI Symbol;Acc:MGI:109561] MEGSDGSPRMSTEQENTEMHLIECMLKHFKTQKVAISNAIRSTFPFLESLRDHEFITGKM YEDLLDSCRSLVPVDKVIYRALEELEKKFDMTVLCELFNEVNMEKYPDLNLIRRSFGCVF PNELCFQGIDGGNPNSQLSLEQGPGASYSQGSPNGSSLDLSASEGWRSNDRRNSNLMQAN QTENHQLAESPGHLDSCELQVQLNNRDATPESCSLLPQNEERAVQLNYELQINPCFVQLV DVKKENSSFSLAGNQQTRARTNQNEDSEIIELSSGDSDNGENFSEATTTVPSQPAPAYSR KPPTLRRDRGGAFLARSANPSSQRRRKRGPRIPREENADFGGAELPVVCGNAQGFLDKEK FKQGIYVRSIRGKTGRLFTPMDFEIEGNCEKAKNWRQSIRCKGWTLRELIQKGVLQDPP >ENSMUSP00000066399.4 pep:known chromosome:GRCm38:1:85650050:85709998:1 gene:ENSMUSG00000026222.16 transcript:ENSMUST00000066427.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp100 description:nuclear antigen Sp100 [Source:MGI Symbol;Acc:MGI:109561] MEGSDGSPRMSTEQENTEMHLIECMLKHFKTQKVAISNAIRSTFPFLESLRDHEFITGKM YEDLLDSCRSLVPVDKVIYRALEELEKKFDMTVLCELFNEVNMEKYPDLNLIRRSFGCVF PNELCFQGIDGGNPNSQLSLEQGPGASYSQGSPNGSSLDLSASEGWRSNDRRNSNLMQAN QTENHQLAESPGHLDSCELQVQLNNRDATPESCSLLPQNEERAVQLNYELQINPCFVQLV DVKKENSSFSLAGNQQTRARTNQNEDSEIIELSSGDSDNGENFSEATTTVPSQPAPAYSR KPPTLRRDRGGDTSDTESSIIIRRRKRTGRKKRERLGSYLIRNIKIPMKPSWKTAFLARS ANPSSQRRRKRGPRIPREENADFGGAELPVVCGNAQGFLDKEKFKQGIYVRSIRGKTGRL FTPMDFEIEGNCEKAKNWRQSIRCKGWTLRELIQKGVLQDPPRKKKETPRNPRQTRRQKC ELLLLTIYCYPKSGFFIPKPKQRKEKFPDLREHMWLNKIKNRLNKKAYHSVRRFVEDMRL IFHNHSIFYKKRFKNLGIIVGKKFEKNFKRIFSIEDTSEQHEPCNHTVLLT >ENSMUSP00000120267.1 pep:known chromosome:GRCm38:1:85694297:85709406:1 gene:ENSMUSG00000026222.16 transcript:ENSMUST00000132641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp100 description:nuclear antigen Sp100 [Source:MGI Symbol;Acc:MGI:109561] RRKRGPRIPREENADFGGAELPVVCGNAQGFLDKEKFKQGIYVRSIRGKTGRLFTPMDFE IEGNCEKAKNWRQSIRCKGWTLRELIQKGVLQDPPRKKKETPRNPRQTRRQRGNPKACKV CGQPRKVHPCTACKEFYHKNCHIPPVEDKSRSWHCAFCKRKNQLRGQKTQACHKEAEVLK RKMSPEEQLKCELLLLTIYCYPKSGFFIPKPKQRKEKFPDLREHMWLNKIKNRLNKKAYH SVRRFVEDMRLIFHNHSIFYKKRFKNLGIIVGKKFEKNFKRIFSIEDTSEQHEPCNHTVL LT >ENSMUSP00000119301.1 pep:known chromosome:GRCm38:1:85703442:85707995:1 gene:ENSMUSG00000026222.16 transcript:ENSMUST00000141709.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp100 description:nuclear antigen Sp100 [Source:MGI Symbol;Acc:MGI:109561] XHPGRRLRQLPRMQNWTLGAFPLFGGFLVRRGNPKACKVCGQPRKVHPCTACKEFYHKNC HIPPVEDKSRSWHCAFCKRKNQLRGQKTQACHKEAEVLKRKMSPEEQLKCELLLLTIYCY PKSGFFIPKPKQRKEK >ENSMUSP00000093547.1 pep:known chromosome:GRCm38:6:58215106:58216017:-1 gene:ENSMUSG00000071428.1 transcript:ENSMUST00000095862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r27 description:vomeronasal 1 receptor 27 [Source:MGI Symbol;Acc:MGI:2159470] MFSLKNVLYFQAGLGVLANMSLLCFYIFIIVGHRPKPTDLISCQLTFIHIMVCLTGGDLL LTDIFESLNINNDFKCKATFYISRVMRCLSICTTCLLSVFQAVTITPSTSCLAKFKQKLR KYMVYVFLCIWSFSFSYSSSRIFYVRGFTNVSETNQMQITKSCLLFRMNSIIRVFIFTVT TSRDVFLVGMMLTTSTYMVIILYRHQRQCKHLHSIKHLRASPEKRATQTILLLVIFFVVM YWVDFIISTTSVLLWIYDPVSLMVQKFLMYAYPTISPLVQIGSDNRIIIMVKNMYSKHHQ RFL >ENSMUSP00000053932.4 pep:known chromosome:GRCm38:8:21905376:21906412:-1 gene:ENSMUSG00000045337.4 transcript:ENSMUST00000051965.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb11 description:defensin beta 11 [Source:MGI Symbol;Acc:MGI:2179197] MRTLCSLLLICCLLFSYTTPAVGDLKHLILKAQLARCYKFGGFCYNSMCPPHTKFIGNCH PDHLHCCINMKELEGST >ENSMUSP00000034585.6 pep:known chromosome:GRCm38:9:46240696:46243459:1 gene:ENSMUSG00000032080.6 transcript:ENSMUST00000034585.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoa4 description:apolipoprotein A-IV [Source:MGI Symbol;Acc:MGI:88051] MFLKAAVLTLALVAITGTRAEVTSDQVANVVWDYFTQLSNNAKEAVEQFQKTDVTQQLST LFQDKLGDASTYADGVHNKLVPFVVQLSGHLAQETERVKEEIKKELEDLRDRMMPHANKV TQTFGENMQKLQEHLKPYAVDLQDQINTQTQEMKLQLTPYIQRMQTTIKENVDNLHTSMM PLATNLKDKFNRNMEELKGHLTPRANELKATIDQNLEDLRRSLAPLTVGVQEKLNHQMEG LAFQMKKNAEELQTKVSAKIDQLQKNLAPLVEDVQSKVKGNTEGLQKSLEDLNRQLEQQV EEFRRTVEPMGEMFNKALVQQLEQFRQQLGPNSGEVESHLSFLEKSLREKVNSFMSTLEK KGSPDQPQALPLPEQAQEQAQEQAQEQVQPKPLES >ENSMUSP00000041157.9 pep:known chromosome:GRCm38:7:113765998:114043370:1 gene:ENSMUSG00000038156.15 transcript:ENSMUST00000046687.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spon1 description:spondin 1, (f-spondin) extracellular matrix protein [Source:MGI Symbol;Acc:MGI:2385287] MRLSPVSLRLSRGPALLALALPLAAALAFSDETLDKVTKSEGYCSRILRAQGTRREGYTE FSLRVEGDPDFYKPGSSYRVTLSAAPPSYFRGFTLIALKENQEGDKEEDHAGTFQIIDEE ETQFMSNCPVAVTESTPRRRTRIQVFWIAPPTGTGCVILKASIVQKRIIYFQDEGSLTKK LCEQDPTLDGVTDRPILDCCACGTAKYRLTFYGNWSEKTHPKDYPRRANHWSAIIGGSHS KNYVLWEYGGYASEGVKQVAELGSPVKMEEEIRQQSDEVLTVIKAKAQWPAWQPVNVRAA PSAEFSVDRTRHLMSFLTMMGPSPDWNVGLSAEDLCTKECGWVQKVVQDLIPWDAGTDSG VTYESPNKPTIPQEKIRPLTSLDHPQSPFYDPEGGSITQVARVVIERIARKGEQCNIVPD NVDDIVADLAPEEKDEDDTPETCIYSNWSPWSACSSSTCEKGKRMRQRMLKAQLDLSVPC PDTQDFQPCMGPGCSDEDGSTCTMSEWITWSPCSVSCGMGMRSRERYVKQFPEDGSVCML PTEETEKCTVNEECSPSSCLVTEWGEWDDCSATCGMGMKKRHRMVKMSPADGSMCKAETS QAEKCMMPECHTIPCLLSPWSEWSDCSVTCGKGMRTRQRMLKSLAELGDCNEDLEQAEKC MLPECPIDCELSEWSQWSECNKSCGKGHMIRTRTIQMEPQFGGVPCPETVQRKKCRTRKC LRSPSVQKLRWREARESRRSEQLREESDGEQFPGCRMRPWTAWSECTKLCGGGIQERYMT VKKRFKSSQFTSCKDKKEIRACNVHPC >ENSMUSP00000081746.4 pep:known chromosome:GRCm38:7:113766174:113929876:1 gene:ENSMUSG00000038156.15 transcript:ENSMUST00000084696.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spon1 description:spondin 1, (f-spondin) extracellular matrix protein [Source:MGI Symbol;Acc:MGI:2385287] MRLSPVSLRLSRGPALLALALPLAAALAFSDETLDKVTKSEGYCSRILRAQGTRREGYTE FSLRVEGDPDFYKPGSSYRVTLSAAPPSYFRGFTLIALKENQEGDKEEDHAGTFQIIDEE ETQFMSNCPVAVTESTPRRRTRIQVFWIAPPTGTGCVILKASIVQKRIIYFQDEGSLTKK LCEQDPTLDGVTDRPILDCCACGTAKYRLTFYGNWSEKTHPKDYPRRANHWSAIIGGSHS KNYVLWEYGGYASEGVKQVAELGSPVKMEEEIRQQVRAYQWQN >ENSMUSP00000142839.1 pep:known chromosome:GRCm38:5:87671003:87676192:1 gene:ENSMUSG00000070702.9 transcript:ENSMUST00000197157.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Csn1s1 description:casein alpha s1 [Source:MGI Symbol;Acc:MGI:88540] QRALLTEQNDEIKVTMDAASEEQAMASAQEDSSISSSSEESEEAIPNITEQKNIANEDML NQCTLEQLQRQFKYNQLLQKASLAKQASLFQVRIFTKSIFIKYYANTNHMPINMLRGGLF FFLSNLPWYR >ENSMUSP00000092225.4 pep:known chromosome:GRCm38:5:87666233:87682578:1 gene:ENSMUSG00000070702.9 transcript:ENSMUST00000094641.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s1 description:casein alpha s1 [Source:MGI Symbol;Acc:MGI:88540] MKLLILTCLVAAAFAMPRLHSRNAVSSQTQQQHSSSEEIFKQPKYLNLNQEFVNNMNRQR ALLTEQNDEIKVTMDAASEEQAMASAQEDSSISSSSEESEEAIPNITEQKNIANEDMLNQ CTLEQLQRQFKYNQLLQKASLAKQASLFQQPSLVQQASLFQQPSLLQQASLFQQPSMAQQ ASLLQQLLLAQQPSLALQVSPAQQSSLVQQAFLAQQASLAQKHHPRLSQSYYPHMEQPYR MNAYSQVQMRHPMSVVDQALAQFSVQPFPQIFQYDAFPLWAYFPQDMQYLTPKAVLNTFK PIVSKDTEKTNVW >ENSMUSP00000142794.1 pep:known chromosome:GRCm38:5:87666228:87682578:1 gene:ENSMUSG00000070702.9 transcript:ENSMUST00000197631.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s1 description:casein alpha s1 [Source:MGI Symbol;Acc:MGI:88540] MKLLILTCLVAAAFAMPRLHSRNAVSSQTQQQHSSSEEIFKQPKYLNLNQEFVNNMNRQR ALLTEQNDEIKVTMDAASEEQAMASAQEDSSISSSSEESEEAIPNITEQKNIANEDMLNQ CTLEQLQRQFKYNQLLQKASLAKQASLFQQPSLVQQASLFQQPSLLQQASLFQQPSMAQQ ASLLQQLLLAQQPSLALQVSPAQQSSLVQQAFLAQQASLAQKHHPRLSQSYYPHMEQPYR MNAYSQVQMRHPMSVVDQALAQFSVQDMQYLTPKAVLNTFKPIVSKDTEKTNVW >ENSMUSP00000143694.1 pep:known chromosome:GRCm38:5:87666227:87674224:1 gene:ENSMUSG00000070702.9 transcript:ENSMUST00000199506.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s1 description:casein alpha s1 [Source:MGI Symbol;Acc:MGI:88540] MNRQRALLTEQNDEIKVTMDAASEEQAMASAQEDSSISSSSEESEEAIPNITEQKNIANE DM >ENSMUSP00000118904.2 pep:known chromosome:GRCm38:2:74704615:74706812:1 gene:ENSMUSG00000027102.4 transcript:ENSMUST00000151380.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd8 description:homeobox D8 [Source:MGI Symbol;Acc:MGI:96209] MFPWMRPQAAPGRRRGRQTYSRFQTLELEKEFLFNPYLTRKRRIEVSHTLALTERQVKIW FQNRRMKWKKENNKDKFPASRPEAKDGDPKKEVSGLEEDGAEGCPTN >ENSMUSP00000019749.3 pep:known chromosome:GRCm38:2:74704905:74707933:1 gene:ENSMUSG00000027102.4 transcript:ENSMUST00000019749.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd8 description:homeobox D8 [Source:MGI Symbol;Acc:MGI:96209] MSSYFVNPLYSKYKAAAAAAAAAAAAAAGEAINPTYYDCHFAPEVSGRHAAALQLYGNSA AGFPHAHPHPHPHPSPPPGCGGGGGPGPGQDYFHAGAGSPTAAYQAAPPPPHPPPPPPPP PCGGIACHGEPAKFYGYDNLQRQPIFTTQQEAELVQYPDCKSSSGNIGEDPDHLNQSSSP SQMFPWMRPQAAPGRRRGRQTYSRFQTLELEKEFLFNPYLTRKRRIEVSHTLALTERQVK IWFQNRRMKWKKENNKDKFPASRPEAKDGDPKKEVSGLEEDGAEGCPTN >ENSMUSP00000088094.4 pep:known chromosome:GRCm38:2:74705152:74707307:1 gene:ENSMUSG00000027102.4 transcript:ENSMUST00000074721.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd8 description:homeobox D8 [Source:MGI Symbol;Acc:MGI:96209] MSSYFVNPLYSKYKAAAAAAAAAAAAAAGEAINPTYYDCHFAPEVSGRHAAALQLYGNSA AGFPHAHPHPHPHPSPPPGCGGGGGPGPGQDYFHAGAGSPTAAYQAAPPPPHPPPPPPPP PCGGIACHGEPAKFYGYDNLQRQPIFTTQQEAELVQYPDCKSSSGNIGEDPDHLNQSSSP SQMFPWMRPQAPGRRRGRQTYSRFQTLELEKEFLFNPYLTRKRRIEVSHTLALTERQVKI WFQNRRMKWKKENNKDKFPASRPEAKDGDPKKEVSGLEEDGAEGCPTN >ENSMUSP00000003860.6 pep:known chromosome:GRCm38:7:27233023:27257950:1 gene:ENSMUSG00000003762.13 transcript:ENSMUST00000003860.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck4 description:aarF domain containing kinase 4 [Source:MGI Symbol;Acc:MGI:1924139] MWLELGAMLRRTCGPLGRAVRLPCGGALGPRPHWWGPCRSCLAQSVHQDQPGRGLSEDDI RRAREARLRKAPRPQLSDRSRERKVPASRISRLASFGGLAVGLGLGALAEVTKKSLPGGS LQHEGVSGLGSSPFLSEANAERIVQTLCTVRGAALKIGQMLSIQDNSFISPQLQRIFERV RQSADFMPRWQMMRVLEEELGKDWQDKVASLEEVPFAAASIGQVHQGLLKDGTEVAVKIQ YPGVAQSIQSDVENLLALLKMSVGLPEGLFAEQSLQTLQQELAWECDYCREAACAQTFRK LLADDPFFRVPAVVQELCTTRVLGMELAGGIPLDQCQGLSQDIRNQICFQLLRLCLRELF EFRFMQTDPNWANFLYDASSHQVTLLDFGASRAFGTEFTDHYIEVVKAAADGDRDRVLQK SQDLKFLTGFETKAFSDAHVEAVMILGEPFAASGPYDFGAGETARRIQGLIPVLLRHRLR PPPEETYALHRKLAGAFLACARLHAHIACRDLFQDTYHRYWASRQTLPLPAAS >ENSMUSP00000104015.3 pep:known chromosome:GRCm38:7:27233213:27257944:1 gene:ENSMUSG00000003762.13 transcript:ENSMUST00000108378.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck4 description:aarF domain containing kinase 4 [Source:MGI Symbol;Acc:MGI:1924139] MWLELGAMLRRTCGPLGRAVRLPCGGALGPRPHWWGPCRSCLAQSVHQDQPGRGLSEDDI RRAREARLRKAPRPQLSDRSRERKVPASRISRLASFGGLAVGLGLGALAEVTKKSLPGGS LQHEGVSGLGSSPFLSEANAERIVQTLCTVRGAALKIGQMLSIQDNSFISPQLQRIFERV RQSADFMPRWQMMRVLEEELGKDWQDKVASLEEVPFAAASIGQVHQGLLKDGTEVAVKIQ YPGVAQSIQSDVENLLALLKMSVGLPEGLFAEQSLQTLQQELAWECDYCREAACAQTFRK LLADDPFFRVPAVVQELCTTRVLGMELAGGIPLDQCQGLSQDIRNQICFQLLRLCLRELF EFRFMQTDPNWANFLYDASSHQVTLLDFGASRAFGTEFTDHYIEVVKAAADGDRDRVLQK SQDLKFLTGFETKAFSDAHVEAVMILGEPFAASGPYDFGAGETARRIQGLIPVLLRHRLR PPPEETYALHRKLAGAFLACARLHAHIACRDLFQDTYHRYWASRQTLPLPAAS >ENSMUSP00000123309.1 pep:known chromosome:GRCm38:7:27233361:27257945:1 gene:ENSMUSG00000003762.13 transcript:ENSMUST00000128090.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adck4 description:aarF domain containing kinase 4 [Source:MGI Symbol;Acc:MGI:1924139] MWLELGAMLRRTCGPLGRAVRLPCGGALGPRPHWWGPCRSCLAQSVHQDQPGRGLSEDDI RRAREARLRKAPRPQLSDRSRERKVPASRISRLASFGGLAVGLGLGALAEVTKKSLPGGS LQHEGVSGLGSSPFLSEANAERIVQTLCTVRGAALKIGQMLSIQDNSFISPQLQRIFERV RQSADFMPRWQMMRVLEEELGKDWQDKVASLEEVPFAAASIGQVHQGLLKDGTEVAVKIQ YPGVAQSIQSDVENLLALLKMSVGLPEGLFAEQSLQTLQQELAWECDYCREAACAQTFRS AFSS >ENSMUSP00000030420.8 pep:known chromosome:GRCm38:4:136929419:136956816:-1 gene:ENSMUSG00000028661.8 transcript:ENSMUST00000030420.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha8 description:Eph receptor A8 [Source:MGI Symbol;Acc:MGI:109378] MAPARARLSPALWVVTAAAAATCVSAGRGEVNLLDTSTIHGDWGWLTYPAHGWDSINEVD ESFRPIHTYQVCNVMSPNQNNWLRTNWVPRDGARRVYAEIKFTLRDCNSIPGVLGTCKET FNLHYLESDRDLGASTQESQFLKIDTIAADESFTGADLGVRRLKLNTEVRGVGPLSKRGF YLAFQDIGACLAILSLRIYYKKCPAMVRNLAAFSEAVTGADSSSLVEVRGQCVRHSEERD TPKMYCSAEGEWLVPIGKCVCSAGYEERRDACMACELGFYKSAPGDQLCARCPPHSHSAT PAAQTCRCDLSYYRAALDPPSAACTRPPSAPVNLISSVNGTSVTLEWAPPLDPGGRSDIT YNAVCRRCPWALSHCEACGSGTRFVPQQTSLAQASLLVANLLAHMNYSFWIEAVNGVSNL SPEPRSAAVVNITTNQAAPSQVVVIRQERAGQTSVSLLWQEPEQPNGIILEYEIKYYEKD KEMQSYSTLKAVTTRATVSGLKPGTRYVFQVRARTSAGCGRFSQAMEVETGKPRPRYDTR TIVWICLTLITGLVVLLLLLICKKRHCGYSKAFQDSDEEKMHYQNGQAPPPVFLPLNHPP GKFPETQFSAEPHTYEEPGRAGRSFTREIEASRIHIEKIIGSGESGEVCYGRLQVPGQRD VPVAIKALKAGYTERQRQDFLSEAAIMGQFDHPNIIRLEGVVTRGRLAMIVTEYMENGSL DAFLRTHDGQFTIVQLVGMLRGVGAGMRYLSDLGYIHRDLAARNVLVDGRLVCKVSDFGL SRALEDDPEAAYTTAGGKIPIRWTAPEAIAFRTFSSASDVWSFGVVMWEVLAYGERPYWN MTNQDVISSVEEGYRLPAPMGCPRALHQLMLDCWHKDRAQRPRFAHVVSVLDALVHSPES LRATATVSRCPPPAFARSCFDLRAGGSGNGDLTVGDWLDSIRMGRYRDHFAAGGYSSLGM VLRMNAQDVRALGITLMGHQKKILGSIQTMRAQLSSTQGPRRHL >ENSMUSP00000123795.1 pep:known chromosome:GRCm38:17:84731180:84751262:-1 gene:ENSMUSG00000024120.12 transcript:ENSMUST00000160011.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrpprc description:leucine-rich PPR-motif containing [Source:MGI Symbol;Acc:MGI:1919666] XDNAEDAWNLKQEVDRLDASAILDTAKYVALVKVLGKHSRLQDAINILKEMKEKDVVIKD ATVLSFFHILNGAALRGEIETVKQLHEAIVTLGLAKPSSNISFPLVTVHLENNGLCEPRT RGDDDALRPLLCFPADGELQRS >ENSMUSP00000107927.2 pep:known chromosome:GRCm38:17:84705247:84790558:-1 gene:ENSMUSG00000024120.12 transcript:ENSMUST00000112308.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrpprc description:leucine-rich PPR-motif containing [Source:MGI Symbol;Acc:MGI:1919666] MAALLRPARWLLGAAAAPRLPLSLRLPAGVPGRLSSVVRVAAVGSRPAAGERLSQARLYA IVAEKRDLQEEPAPVRKNSSQFDWALMRLDNSVRRTGRITKGLLQRVFESTCSSGSPGSN QALLLLRSCGSLLPELSLAERTEFAHKIWDKLQQLGVVYDVSHYNALLKVYLQNEYKFSP TDFLAKMEGANIQPNRVTYQRLIAAYCNVGDIEGASKILGFMKTKDLPITEAVFSALVTG HARAGDMENAENILTVMKQAGIEPGPDTYLALLNAHAERGDIGQVRQILEKVEKSDHYFM DRDFLQVIFSFSKAGYPQYVSEILEKITYERRSIPDAMNLILFLATEKLEDTAFQVLLAL PLSKDESSDNFGSFFLRHCVTLDLPPEKLIDYCRRLRDAKLHSSSLQFTLHCALQANRTA LAKAVMEALREEGFPIRPHYFWPLLAGHQKTKNVQGIIDILKIMNKVGVDPDQETYINYV FPCFDSAQSVRAALQENECLLASSTFAQAEVKNEAINGNLQNILSFLESNTLPFSFSSLR NSLILGFRRSMNIDLWSKITELLYKDERYCSKPPGPAEAVGYFLYNLIDSMSDSEVQAKE ERLRQYFHQLQEMNVKVPENIYKGICNLLNTYHVPELIKDIKVLVDREKVDSQKTSQVTS SDLESTLEKLKAEGQPVGSALKQLLLLLCSEENMQKALEVKAKYESDMVIGGYAALINLC CRHDNAEDAWNLKQEVDRLDASAILDTAKYVALVKVLGKHSRLQDAINILKEMKEKDVVI KDATVLSFFHILNGAALRGEIETVKQLHEAIVTLGLAKPSSNISFPLVTVHLEKGDLPAA LEASIACHKKYKVLPRIHDVLCKLVEKGETDLIQKAMDFVSQEQGEMTMLYDLFFAFLQT GNYKEAKKIIETPGIRARPTRLQWFCDRCIASNQVEALEKLVELTEKLFECDRDQMYYNL LKLYKISSDWQRADAAWTKMQEENIIPRERTLRLLAEILKTSNQEVPFDVPELWFGDDRP SLSPSSRSAGEDVTEKTLLSNCKLKKSKDAYNIFLKAEKQNVVFSSETYSTLIGLLLSKD DFTQAMHVKDFAETHIKGFTLNDAANSLLIIRQVRRDYLKGALATLRAALDLKQVPSQIA VTRLIQALALKGDVESIEAIQRMVAGLDTIGLSKMVFINNIALAQMKNNKLDAAIENIEH LLASENQAIEPQYFGLSYLFRKVIEEQMEPALEKLSIMSERMANQFALYKPVTDLFLQLV DSGKVDEARALLERCGAIAEQSSLLSVFCLRTSQKPKKAPVLKTLLELIPELRDNDKVYS CSMKSYALDKDVASAKALYEYLTAKNLKLDDLFLKRYAALLKDVGEPVPFPEPPESFAFY IKQLKEARESPS >ENSMUSP00000091319.4 pep:known chromosome:GRCm38:9:87189577:87255536:-1 gene:ENSMUSG00000056919.9 transcript:ENSMUST00000093802.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep162 description:centrosomal protein 162 [Source:MGI Symbol;Acc:MGI:1925343] MAHYFKVDLDEEFERFMKELSDDSFENSNKTPRQPNEDNKEMKKKDPVPWWIAEDDFEDD GLLGTNVSYLKTKKTYQPVMDTEEESAEKVQFLKSSGTSILSVDSLEANELVVSEPHHST LGLGLDTLEEQEEKEQFFARLEKGLTSSIDYSKLNQELDSDDSAQLKALHRYPRNTEPAE DGCENESEQEELPETYSDDFEDAEDADDPLITKDEETHPKENSESGKDSFPKQEEEKTGM LANVVLLDSFDSVEDVGLSSQEKATPKAKAPPEITDDGPAETGVPYGQSSGDTEALHQAY CHVAHSLGDTGEPRIEASTVQTVRSSIKDGLQENEESSKNVSTTESDLPTVEELMQPIRI DSYGIRAFDLQPISLKKATDSKEAESVGSLPLKTNTNTVSQDTRHAIQFPHKHDESVVLH RTADEGMGSSCPATEEHLDKMYLEILKKKTSVNPSLLPQDDKMNQTSRSQLGAGEEVPVI GKQVPCKKARSTPSLPKRKPQSGLYASARSSGYGKPSSPLQLFSALEKKTSKDNTKTKSV RSIPTSNQFRKREILSGTKLIKPAASNKPSPHREGSPATPKRPEDPSDDSFVQLQTEPLG SYGGNREKELLMLKRAQDAEEKWTGAQALMEQMKMTFCEKEKELENTVESLKRQQERELF RLNQENYILQAKLSSFEETSRKQRWLQFGETSDPLTGEKLKQIQKEIQEQETLLQGYQQE NERLYNQVKDLQEQNKKNEERMFKENQNLFSELASLKEQMHKNHFLSQAVENTEPTKNQS FTDLLAELRAAQKEKNHLMEDIKRLKQDKQALEVDLEKVKRERDQAKDQIAYATGEKLYE IKILEETHKQEVSRLQKRLQWYAENQELLDRDAARLREANEETEKLRLEIEKLKTESGSP ATQQRLRSKERALDAKRIQDLERQVKEMEGILKRRYPNSLPALILAASAAGDSVDRNTVE FMERRIKKLEADLEGKDEEAKKSLRTMEQQFQKMKIQYEQRLEEQEQLLAHRQKEAPQSQ RNSSSRLKALETELGDIKEAHQITVRKLEAEIDVLKHQNADLEHKKNDKGDQGLQSIEFQ VEQAQARAKLARLNEELAAKGREIQDLTKTVERLQKERRMMLSRQIPRSREETAAKRLKK DPNRGHGNAFPETLDGKLYHPHTFTDSHISEVLEENYRLRSELEGLILERSKLKMESEAA VCQLENSMKRVKDDAAAHIASLKASHEREIEKLLCQNAIENSSSKVAELNRKIATQEVLL KHFQGQVNELQGKQESLAVSQVREEILQKQITKLLEELKEAKENHTPEMKHFMGLERKIK QMEMRHRQREQELQQIIQQTRQVVETEQNKEVEKWKRLAQLKNRELDKFRTELDSILDVL RELHRQGVVVPMALAGEENTAEF >ENSMUSP00000115991.1 pep:known chromosome:GRCm38:6:125349451:125357316:1 gene:ENSMUSG00000030341.17 transcript:ENSMUST00000130257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf1a description:tumor necrosis factor receptor superfamily, member 1a [Source:MGI Symbol;Acc:MGI:1314884] MGLPTVPGLLLSLVLLALLMGIHPSGVTGLVPSLGDREKRDSLCPQGKYVHSKNNSICCT KCHKGT >ENSMUSP00000032491.8 pep:known chromosome:GRCm38:6:125349723:125362484:1 gene:ENSMUSG00000030341.17 transcript:ENSMUST00000032491.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf1a description:tumor necrosis factor receptor superfamily, member 1a [Source:MGI Symbol;Acc:MGI:1314884] MGLPTVPGLLLSLVLLALLMGIHPSGVTGLVPSLGDREKRDSLCPQGKYVHSKNNSICCT KCHKGTYLVSDCPSPGRDTVCRECEKGTFTASQNYLRQCLSCKTCRKEMSQVEISPCQAD KDTVCGCKENQFQRYLSETHFQCVDCSPCFNGTVTIPCKETQNTVCNCHAGFFLRESECV PCSHCKKNEECMKLCLPPPLANVTNPQDSGTAVLLPLVILLGLCLLSFIFISLMCRYPRW RPEVYSIICRDPVPVKEEKAGKPLTPAPSPAFSPTSGFNPTLGFSTPGFSSPVSSTPISP IFGPSNWHFMPPVSEVVPTQGADPLLYESLCSVPAPTSVQKWEDSAHPQRPDNADLAILY AVVDGVPPARWKEFMRFMGLSEHEIERLEMQNGRCLREAQYSMLEAWRRRTPRHEDTLEV VGLVLSKMNLAGCLENILEALRNPAPSSTTRLPR >ENSMUSP00000121968.1 pep:known chromosome:GRCm38:6:125357371:125361105:1 gene:ENSMUSG00000030341.17 transcript:ENSMUST00000125880.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnfrsf1a description:tumor necrosis factor receptor superfamily, member 1a [Source:MGI Symbol;Acc:MGI:1314884] XEKGTFTASQNYLRQCLSCKTCRKEMSQVEISPCQADKDTVCGCKENQFQRYLSETHFQC VDCSPCFNGTVTIPCKETQNTVCNCHAGFFLRESECVPCSQTLGDKQ >ENSMUSP00000117470.1 pep:known chromosome:GRCm38:6:125357765:125361778:1 gene:ENSMUSG00000030341.17 transcript:ENSMUST00000144524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf1a description:tumor necrosis factor receptor superfamily, member 1a [Source:MGI Symbol;Acc:MGI:1314884] TVCGCKENQFQRYLSETHFQCVDCSPCFNGTVTIPCKETQNTVCNCHAGFFLRESECVPC SHCKKNEECMKLCLPPPLANVTNPQDSGTAVLLPLVILLGLCLLSFIFISLMCRYPRWRP EVYSIICRDPVPVKEEKAGKPLTPAPSPAFSPTSGFNPTLGFSTPGFSSPVSSTPISPIF GPSNWHFMPPVSEVVPTQGADPLLYESLCSVPAPTSVQKWEDSAHPQRPDSELGSCWGIT PGGSRAGWRAQRQAAAGSARSLSPDADLAILYAVVDGVPPARWKEFMRFMGLSEH >ENSMUSP00000019071.3 pep:known chromosome:GRCm38:11:83587882:83593087:-1 gene:ENSMUSG00000018927.3 transcript:ENSMUST00000019071.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl6 description:chemokine (C-C motif) ligand 6 [Source:MGI Symbol;Acc:MGI:98263] MRNSKTAISFFILVAVLGSQAGLIQEMEKEDRRYNPPIIHQGFQDTSSDCCFSYATQIPC KRFIYYFPTSGGCIKPGIIFISRRGTQVCADPSDRRVQRCLSTLKQGPRSGNKVIA >ENSMUSP00000050647.4 pep:known chromosome:GRCm38:4:133660387:133672647:-1 gene:ENSMUSG00000043257.15 transcript:ENSMUST00000062118.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigv description:phosphatidylinositol glycan anchor biosynthesis, class V [Source:MGI Symbol;Acc:MGI:2442480] MGLLDPSQKEVLRFAVNCRILTLVLQALFNLIIPDHHADAFCPPRLAPSGSADQLVEGLL GGLSRWDAEHFLFIAEHGYLYEHNFAFFPGFPLALLMGTELLRPLQGLLSQRSCLLVSVA LLNLLFSVLAAVALHDLGCLVLHCPRQALCAALLFCISPANVFLAAGYSEALFAFLTFSA MGQLERGRGWASGLLFALAAGVRSNGLVSLGFLLHSQCRGFCSSLAVLSPWKPLVKLMAS VCLSVLIVSLPFALFQYRAYIQFCSPGSAPSIPEPLLQLAADKGYRLAGENAPPWCSWDL PLIYNYIQDVYWNVGLLRYYELKQVPNFLLATPVTVLVVWATWTYVTTHPWLCLTLGLQR TKDRENPEKPHRGFLSPKVFVYLVHAAALLVFGGLCMHVQVLTRFLASSTPIMYWFPAHL LQDQEPLLRCVDTEPGKLPQEKSPPGQKAPRNCLMKLFYDWKRCSPVTRCVLVYFLTYWL LGLILHCNFLPWT >ENSMUSP00000065601.6 pep:known chromosome:GRCm38:4:133662254:133672647:-1 gene:ENSMUSG00000043257.15 transcript:ENSMUST00000067902.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigv description:phosphatidylinositol glycan anchor biosynthesis, class V [Source:MGI Symbol;Acc:MGI:2442480] MGLLDPSQKEVLRFAVNCRILTLVLQVLTRFLASSTPIMYWFPAHLLQDQEPLLRCVDTE PGKLPQEKSPPGQKAPRNCLMKLFYDWKRCSPVTRCVLVYFLTYWLLGLILHCNFLPWT >ENSMUSP00000040505.6 pep:known chromosome:GRCm38:1:184727140:184732619:-1 gene:ENSMUSG00000039377.7 transcript:ENSMUST00000048572.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hlx description:H2.0-like homeobox [Source:MGI Symbol;Acc:MGI:96109] MFAAGLAPFYASNFSLWSAAYCSSAGPGGCSFALDPAAVKKPSFCIADILHAGVGEPGPA AEGLVGASAALTAHLGSVHPHASFQAAARSPLRPTPVVAPSEVPAGFPQRLSPLSAAFHQ HLPQQSPTQQQQPQQQPPPPPRAVSLQPPTSGTRVVPHHSGSAPAPSSKDLKFGIDRILS AEFDPKVKEGNTLRDLTSLLTGGRPAGVHLAGLQPSAGQFFASLDPISEASAILSPLSSN PRNSVQHQFQDTFPGPYAVLTKDTMPQTYKRKRSWSRAVFSNLQRKGLEKRFEIQKYVTK PDRKQLAAMLGLTDAQVKVWFQNRRMKWRHSKEAQAQKDKDKEAGEKPSGGVPAEGEREE RSPSRSEGEAESESSDSESLDMAPSDTERTEGTERSLHQTTVIKASAAGALITASSSTSG SSFSFSSTSSLGSGNTHVGSASSLGGNCSELPSAHQPSVTSSPQSPEIAQAPLAGL >ENSMUSP00000134728.2 pep:known chromosome:GRCm38:1:184727147:184731598:-1 gene:ENSMUSG00000039377.7 transcript:ENSMUST00000174257.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hlx description:H2.0-like homeobox [Source:MGI Symbol;Acc:MGI:96109] EFDPKVKEGNTLRAVTRSPWTWPPATRNGLRERRGPCIKPRSSRPQPRVPSSLPAAARVV AASVSAAPAAWVAATPTWGAPAALAATARSCLRLTSPQSPAVLKARRSPRPRLQVYRLDR GHSVQLPLHWLDFVPTLSWWRGLPRLEPDATGSLFGVHTFSLAAPAARAPTPVRPVESFK VPPPPSQRKNSTVFPT >ENSMUSP00000051355.6 pep:known chromosome:GRCm38:2:74726728:74747918:1 gene:ENSMUSG00000100642.1 transcript:ENSMUST00000053932.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28230 description:predicted gene 28230 [Source:MGI Symbol;Acc:MGI:5578936] MAMSSYMVNSKYVDPKFPPCEEYLQGGYLGEQGADYYGSGAQGADFQPSGLYPRPDFGEQ PFGGGGPGPGSALPARGHGQEPSGPGSHYGAPGERCPAPPPAPLPGARACSQPTGPKQPP PGTALKQPAVVYPWMKKVHVNSGI >ENSMUSP00000123096.1 pep:known chromosome:GRCm38:2:181590523:181592803:-1 gene:ENSMUSG00000000823.16 transcript:ENSMUST00000153998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp512b description:zinc finger protein 512B [Source:MGI Symbol;Acc:MGI:2685478] MTESFCVGGGRRLQGSSKSGPGKGGSRKEAQLPLLQDPPKLGMPVVHSGHTVPSQAPLCF DPGNSASDKTE >ENSMUSP00000121721.1 pep:known chromosome:GRCm38:2:181585178:181586309:-1 gene:ENSMUSG00000000823.16 transcript:ENSMUST00000135561.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp512b description:zinc finger protein 512B [Source:MGI Symbol;Acc:MGI:2685478] XCSKGDHLVGKYRCLLCPKEFSSESGVKYHILKTHGEGPLPLKCQECGVINLKKD >ENSMUSP00000123579.1 pep:known chromosome:GRCm38:2:181585184:181586361:-1 gene:ENSMUSG00000000823.16 transcript:ENSMUST00000140103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp512b description:zinc finger protein 512B [Source:MGI Symbol;Acc:MGI:2685478] CCEAIYASVSGLKAHLASCSKGDHLVGKYRCLLCPKEFSSESGVKYHILKTHGEVRGPCP SPLRV >ENSMUSP00000119677.1 pep:known chromosome:GRCm38:2:181587117:181588740:-1 gene:ENSMUSG00000000823.16 transcript:ENSMUST00000132538.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp512b description:zinc finger protein 512B [Source:MGI Symbol;Acc:MGI:2685478] XSEEVRKKVLATPVTVSKEAPTPVAHPAPGGPEEQWQRAIHERGEAVCPTCNVVTRKTLV GLKKHMEVCHKLQEALKCQHCRKQFKSKAGLNYHTMAEHSAKV >ENSMUSP00000115601.1 pep:known chromosome:GRCm38:2:181582105:181590920:-1 gene:ENSMUSG00000000823.16 transcript:ENSMUST00000128553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp512b description:zinc finger protein 512B [Source:MGI Symbol;Acc:MGI:2685478] GKGGSRKEAQLPLLQDPPKLGMPVVHSGHTVPSQAPLCFDPGNSASDKTEGKKKGRPKAE NQALRDIPLSLMNQWKDEFKAHSRVKCPNSGCWLEFPSIYGLKYHYQRCQGVRGCGPGKR RPGGASGQRPPGPHPTPALPAQGAISDRLAFPCPFCEAAFTSKTQLEKHRIWNHMDHPLP APKPGPVSRPVTISRPVGVSKPIGVSKPVTVGKPVGVSKPIGISKPVTVSRPIPVTKPVT VSRPMQVSRPVPVTKPIPITKPVPLTKHMPVTKLVTVSKPVPLTKPVPVSRPIVVSKPVP VSRPIAISRHIPPCKMVLLSKSENKTLRATGKNSNKKRAADSLDTCPILSKQARPENGAY GPSSMDQSVTFPLSTDPSGSRPLMGKEALRPIGPVSQPEEDPERTKHRRKQKTPKKFTGE QPSISGTFGLKGLAKAEDKARVHRSKKQEGSGSEEVRKKVLATPVTVSKEAPTPVAHPAP GGPEEQWQRAIHERGEAVCPTCNVVTRKTLVGLKKHMEVCHKLQEALKCQHCRKQFKSKA GLNYHTMAEHSAKPTDAEASEGGEQEERERLRKVLKQMGRLRCPQEGCGAAFSSLMGYQY HQRRCGKPPCEVDSPSFPCTHCGKTYRSKAGHDYHVRSEHTAPPPEDPTDKIPEAEDLLG VERTPSGRIRRTSAQVAVFHLQEIAEDELARDWTKRRMKDDLVPETARLNYTRPGLPTLN PQLLEAWKNEVKEKGHVNCPNDCCEAIYASVSGLKAHLASCSKGDHLVGKYRCLLCPKEF SSESGVKYHILKTHGENWFRTSADPSSKHKSQDSLMPRKEKKKSLSGGKKRGRKPKERSS EEPASKLPPNRDDWPPGGRDRGSRSSTGKKAGAGKAPEK >ENSMUSP00000104417.2 pep:known chromosome:GRCm38:2:181582103:181592803:-1 gene:ENSMUSG00000000823.16 transcript:ENSMUST00000108789.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp512b description:zinc finger protein 512B [Source:MGI Symbol;Acc:MGI:2685478] MTESFCVGGGRRLQGSSKSGPGKGGSRKEAQLPLLQDPPKLGMPVVHSGHTVPSQAPLCF DPGNSASDKTEGKKKGRPKAENQALRDIPLSLMNQWKDEFKAHSRVKCPNSGCWLEFPSI YGLKYHYQRCQGGAISDRLAFPCPFCEAAFTSKTQLEKHRIWNHMDHPLPAPKPGPVSRP VTISRPVGVSKPIGVSKPVTVGKPVGVSKPIGISKPVTVSRPIPVTKPVTVSRPMQVSRP VPVTKPIPITKPVPLTKHMPVTKLVTVSKPVPLTKPVPVSRPIVVSKPVPVSRPIAISRH IPPCKMVLLSKSENKTLRATGKNSNKKRAADSLDTCPILSKQARPENGAYGPSSMDQSVT FPLSTDPSGSRPLMGKEALRPIGPVSQPEEDPERTKHRRKQKTPKKFTGEQPSISGTFGL KGLAKAEDKARVHRSKKQEGSGSEEVRKKVLATPVTVSKEAPTPVAHPAPGGPEEQWQRA IHERGEAVCPTCNVVTRKTLVGLKKHMEVCHKLQEALKCQHCRKQFKSKAGLNYHTMAEH SAKPTDAEASEGGEQEERERLRKVLKQMGRLRCPQEGCGAAFSSLMGYQYHQRRCGKPPC EVDSPSFPCTHCGKTYRSKAGHDYHVRSEHTAPPPEDPTDKIPEAEDLLGVERTPSGRIR RTSAQVAVFHLQEIAEDELARDWTKRRMKDDLVPETARLNYTRPGLPTLNPQLLEAWKNE VKEKGHVNCPNDCCEAIYASVSGLKAHLASCSKGDHLVGKYRCLLCPKEFSSESGVKYHI LKTHGENWFRTSADPSSKHKSQDSLMPRKEKKKSLSGGKKRGRKPKERSSEEPASKLPPN RDDWPPGGRDRGSRSSTGKKAGAGKAPEK >ENSMUSP00000002889.4 pep:known chromosome:GRCm38:11:60714143:60727263:-1 gene:ENSMUSG00000002812.4 transcript:ENSMUST00000002889.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flii description:flightless I actin binding protein [Source:MGI Symbol;Acc:MGI:1342286] MEATGVLPFVRGVDLSGNDFKGGYFPENVKAMTSLRWLKLNRTGLCYLPEELAALQKLEH LSVSHNHLTTLHGELSSLPSLRAIVARANSLKNSGVPDDIFKLDDLSVLDLSHNQLTECP RELENAKNMLVLNLSHNGIDSIPNQLFINLTDLLYLDLSENRLESLPPQMRRLVHLQTLV LNGNPLLHAQLRQLPAMMALQTLHLRNTQRTQSNLPTSLEGLSNLSDVDLSCNDLTRVPE CLYTLPSLRRLNLSSNQIAELSLCIDQWVHLETLNLSRNQLTSLPSAICKLTKLKKLYLN SNKLDFDGLPSGIGKLTSLEEFMAANNNLELIPESLCRCPKLKKLVLNKNRLVTLPEAIH FLTEIQVLDVRENPSLVMPPKPADRTAEWYNIDFSLQNQLRLAGASPATVAAAAAVGSGS KDPLARKMRLRRRKDSAQDVQAKQVLKGMSDVAQEKNKNQEESIDARAPGGKVRRWDQGL EKPRLDYSEFFTEDVGQLPGLTIWQIENFVPVLVEEAFHGKFYEADCYIVLKTFLDDSGS LNWEIYYWIGGEATLDKKACSAIHAVNLRNYLGAECRTVREEMGDESEEFLQVFDNDISY IEGGTASGFYTVEDTHYVTRMYRVYGKKNIKLEPVPLKGSSLDPRFVFLLDQGLDIYVWR GAQATLSNTTKARLFAEKINKNERKGKAEITLLVQGQEPPGFWDVLGGEPSEIKNHVPDD FWPPQPKLYKVGLGLGYLELPQINYKLSVEHKKRPKVELMPGMRLLQSLLDTRCVYILDC WSDVFIWLGRKSPRLVRAAALKLGQELCGMLHRPRHTVVSRSLEGTEAQVFKAKFKNWDD VLTVDYTRNAEAVLQGQGLSGKVKRDTEKTDQMKADLTALFLPRQPPMPLAEAEQLMEEW NEDLDGMEGFVLEGRKFTRLPEEEFGHFYTQDCYVFLCRYWVPVEYEEEEKTEDKEGKAS AEAREGEEAAAEAEEKQPEEDFQCIVYFWQGREASNMGWLTFTFSLQKKFESLFPGKLEV VRMTQQQENPKFLSHFKRKFIIHRGKRKVTQGTLQPTLYQIRTNGSALCTRCIQINTDSS LLNSEFCFILKVPFESEDNQGIVYAWVGRASDPDEAKLAEDILNTMFDASYSKQVINEGE EPENFFWVGIGAQKPYDDDAEYMKHTRLFRCSNEKGYFAVTEKCSDFCQDDLADDDIMLL DNGQEVYMWVGTQTSQVEIKLSLKACQVYIQHTRSKEHERPRRLRLVRKGNEQRAFTRCF HAWSTFRQAPA >ENSMUSP00000108938.2 pep:known chromosome:GRCm38:6:100568256:100671157:-1 gene:ENSMUSG00000035378.17 transcript:ENSMUST00000113312.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shq1 description:SHQ1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919421] MLTPAFELTQDCDFLTVAIRVPHARASEFDVYFEGVDFKFYAKPYFLRLTLPGRIVENGS EQGTYDADKGIFTIRLPKETPGQHFEGLNMLTALLAPRKSRSAKPLVEEIGASGVAEEGA DDEDEEFDWEIEQTPYEEVSESTLQSQCHYGFGNLRAGVVQRLQDELSEVIDIKDPDFTP VTERRQKRLAAELAKFDPDHYLADFFEDEAVEQILKYSPWWNDAHAEMVASLGKNQEQGD SAALVSFSEEEKYQLRKFVNKSYLLDKTAHRQVYYGLVDILLAYCYEVRVTEGEHSVESA WTIRKLSPTLCWFETWTDVHEILVSFGRRVLCYPLYRHFKLVLKAYRDTIKILQLGKSAV LKCLLDVHKVFQENDPAYILNDLYISDYCVWIQKAKSKKLAALTEALKAVSLSKAQLGLE LPELEAAALLVQEEEGAGRAAQCNPSHQAPHSSPEPSDSDSTSSSGTEDSASEQEEPAGT QPSLLTSLQAPLLEEDSALIIRESGLCRNMASQGCEVSQGQPLASARAPLIEELGDALKM LRVSTPHGVSAGSHGSVEDAEERGQVPCD >ENSMUSP00000086656.6 pep:known chromosome:GRCm38:6:100573083:100671139:-1 gene:ENSMUSG00000035378.17 transcript:ENSMUST00000089245.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shq1 description:SHQ1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919421] MLTPAFELTQDCDFLTVAIRVPHARASEFDVYFEGVDFKFYAKPYFLRLTLPGRIVENGS EQGTYDADKGIFTIRLPKETPGQHFEGLNMLTALLAPRKSRSAKPLVEEIGASGVAEEGA DDEDEEFDWEIEQTPYEEVSESTLQSQCHYGFGNLRAGVVQRLQDELSEVIDIKDPDFTP VTERRQKRLAAELAKFDPDHYLADFFEDEAVEQILKYSPWWNDAHAEMVASLGKNQEQGD SAALGKSAVLKCLLDVHKVFQENDPAYILNDLYISDYCVWIQKAKSKKLAALTEALKAVS LSKAQLGLELPELEAAALLVQEEEGAGRAAQCNPSHQAPHSSPEPSDSDSTSSSGTEDSA SEQEEPAGTQPSLLTSLQAPLLEEDSALIIRESGLCRNMASQGCEVSQGQPLASARAPLI EELGDALKMLRVSTPHGVSAGSHGSVEDAEERGQVPCD >ENSMUSP00000127797.1 pep:known chromosome:GRCm38:6:100573082:100671157:-1 gene:ENSMUSG00000035378.17 transcript:ENSMUST00000170667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shq1 description:SHQ1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919421] MLTPAFELTQDCDFLTVAIRVPHARASEFDVYFEGVDFKFYAKPYFLRLTLPGRIVENGS EQGTYDADKGIFTIRLPKETPGQHFEGLNMLTALLAPRKSRSAKPLVEEIGASGVAEEGA DDEDEEFDWEIEQTPYEEVSESTLQSQCHYGFGNLRAGVVQRLQDELSEVIDIKDPDFTP VTERRQKRLAAELAKFDPDHYLADFFEDEAVEQILKYSPWWNDAHAEMVASLGKNQEQGD SAALVSFSEEEKYQLRKFVNKSYLLDKTAHRQVYYGLVDILLAYCYEVRVTEGEHSVESA WTIRKLSPTLCWFETWTDVHEILVSFGRRVLCYPLYRHFKLVLKAYRDTIKILQLGKSAV LKCLLDVHKVFQENDPAYILNDLYISDYCVWIQKAKSKKLAALTEALKAVSLSKAQLGLE LPELEAAALLVQEEEGAGRAAQCNPSHQAPHSSPEPSDSDSTSSSGTEDSASEQEEPAGT QPSLLTSLQAPLLEEDSALIIRESGLCRNMASQGCEVSQGQPLASARAPLIEELGDALKM LRVSTPHGVSAGSHGSVEDAEERGQVPCD >ENSMUSP00000107611.1 pep:known chromosome:GRCm38:2:74727074:74729123:1 gene:ENSMUSG00000101174.6 transcript:ENSMUST00000111980.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd4 description:homeobox D4 [Source:MGI Symbol;Acc:MGI:96208] MAMSSYMVNSKYVDPKFPPCEEYLQGGYLGEQGADYYGSGAQGADFQPSGLYPRPDFGEQ PFGGGGPGPGSALPARGHGQEPSGPGSHYGAPGERCPAPPPAPLPGARACSQPTGPKQPP PGTALKQPAVVYPWMKKVHVNSVNPNYTGGEPKRSRTAYTRQQVLELEKEFHFNRYLTRR RRIEIAHTLCLSERQIKIWFQNRRMKWKKDHKLPNTKGRSSSSSSCSSSAAPGQHLQPMA KDHHTDLTTL >ENSMUSP00000047949.3 pep:known chromosome:GRCm38:2:74721978:74729160:1 gene:ENSMUSG00000101174.6 transcript:ENSMUST00000047904.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd4 description:homeobox D4 [Source:MGI Symbol;Acc:MGI:96208] MAMSSYMVNSKYVDPKFPPCEEYLQGGYLGEQGADYYGSGAQGADFQPSGLYPRPDFGEQ PFGGGGPGPGSALPARGHGQEPSGPGSHYGAPGERCPAPPPAPLPGARACSQPTGPKQPP PGTALKQPAVVYPWMKKVHVNSVNPNYTGGEPKRSRTAYTRQQVLELEKEFHFNRYLTRR RRIEIAHTLCLSERQIKIWFQNRRMKWKKDHKLPNTKGRSSSSSSCSSSAAPGQHLQPMA KDHHTDLTTL >ENSMUSP00000140105.1 pep:known chromosome:GRCm38:Y:72220863:72247118:-1 gene:ENSMUSG00000096902.7 transcript:ENSMUST00000191305.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20843 description:predicted gene, 20843 [Source:MGI Symbol;Acc:MGI:5434199] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKCSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKY >ENSMUSP00000136575.1 pep:known chromosome:GRCm38:Y:72221061:72245698:-1 gene:ENSMUSG00000096902.7 transcript:ENSMUST00000178505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20843 description:predicted gene, 20843 [Source:MGI Symbol;Acc:MGI:5434199] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKCSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKY >ENSMUSP00000028225.5 pep:known chromosome:GRCm38:2:34849734:34870962:-1 gene:ENSMUSG00000026869.12 transcript:ENSMUST00000028225.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd5 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 5 [Source:MGI Symbol;Acc:MGI:1914248] MAAQAVSLLREVARLEAPLEELRALQSVVQAVPLHELREQAAELRLRPLFSLLNQNNREQ TALCVSILERLLQAVEPIHLARNLRLDLQRGLTHPDDSVKTLTLSQIGRIVENSEAVTEI LNNAELLKQIVYCIGGENLSVAKAAIKSLSRISLTQAGLEALFESNLLDDLKNVMKTNDV VRYRVYELIIDISSVSSESLNYCTTSGLVTQLLKELTGEDVLVRATCIEMVTSLAYTHHG RQYLAQEGVIDQISNIIVGADSDPFSGFYLPGFVKFFGNLAVMDSPQQICERYPVFLEKV FEMADSQDPTMIGVAVDTVGILGSSVEGKQVLQKTGTRFERVLMRVGYQAKNASTELKIR CLDAVSSLLYLSPEQQTDDFLGMTESWFSSMSRDSLELFRGISNQPFPELHCAALKVFTA IADQPWAQRLMFNSPGFVEFVMDRSVEHDKASKDAKYELVKALANSKTVAEIFGNSNYLR LRAYLSEGPYYVKPVATTAVEGAD >ENSMUSP00000116880.1 pep:known chromosome:GRCm38:2:34852443:34857691:-1 gene:ENSMUSG00000026869.12 transcript:ENSMUST00000135575.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd5 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 5 [Source:MGI Symbol;Acc:MGI:1914248] XVGILGSSVEGKQVLQKTGTRFERVLMRVGYQAKNASTELKIRCLDAVSSLLYLSAIADQ PWAQRLMFNSPGFVEFVMDRSVEHDKASKDAKYELVKALANSKTVAEIFGNSNYLRLRAY LSEGPYYVKPVATTAVEGAD >ENSMUSP00000123441.1 pep:known chromosome:GRCm38:11:73026585:73040293:1 gene:ENSMUSG00000020785.17 transcript:ENSMUST00000145834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkk1 description:calcium/calmodulin-dependent protein kinase kinase 1, alpha [Source:MGI Symbol;Acc:MGI:1891766] DCVQLNQYKLQSEIGKGAYGVVRLAYNESEDRHYAMKVLSKKKLLKQYGFPRRPPPRGSQ ATQGGPAKQLLPLERVYQEIAILKKLDHVNVVKLIEVLDDPAEDNLYLVFDLLRKGPVME VPCDKPFPEEQARLYLRDIILGLEYLHCQKIVHRDIKPSNLLLGDDGHVKIADFGVSNQF EGNDAQLSSTAGTPAFMAPEAISDSGQSFSGKALDVWATGVTLYCFVYGKCPFIDDYILT LHRKIKNEAVVFPEEPEVSEDLKDLILRMLDKNPETRIGVSDIKILVKSMLRKRSFGNPF EPQARREERSMSAPGSLLMKEGCGEGCKSPELPGVQEDEAAS >ENSMUSP00000090613.6 pep:known chromosome:GRCm38:11:73019043:73042073:1 gene:ENSMUSG00000020785.17 transcript:ENSMUST00000092937.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkk1 description:calcium/calmodulin-dependent protein kinase kinase 1, alpha [Source:MGI Symbol;Acc:MGI:1891766] MESGPAVCCQDPRAELVDRVAAINVAHLEEADEGPEPARNGVDPPPRARAASVIPGSASR PTPVRPSLSARKFSLQERPAGSCLGAQVGPYSTGPASHISPRSWRRPTIESHRVAISDTE DCVQLNQYKLQSEIGKGAYGVVRLAYNESEDRHYAMKVLSKKKLLKQYGFPRRPPPRGSQ ATQGGPAKQLLPLERVYQEIAILKKLDHVNVVKLIEVLDDPAEDNLYLVFDLLRKGPVME VPCDKPFPEEQARLYLRDIILGLEYLHCQKIVHRDIKPSNLLLGDDGHVKIADFGVSNQF EGNDAQLSSTAGTPAFMAPEAISDSGQSFSGKALDVWATGVTLYCFVYGKCPFIDDYILT LHRKIKNEAVVFPEEPEVSEDLKDLILRMLDKNPETRIGVSDIKLHPWVTKHGEEPLPSE EEHCSVVEVTEEEVKNSVRLIPSWTTVILVKSMLRKRSFGNPFEPQARREERSMSAPGSL LMKEGCGEGCKSPELPGVQEDEAAS >ENSMUSP00000119711.1 pep:known chromosome:GRCm38:12:80692591:80724214:1 gene:ENSMUSG00000066438.6 transcript:ENSMUST00000140770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhd1 description:pleckstrin homology domain containing, family D (with coiled-coil domains) member 1 [Source:MGI Symbol;Acc:MGI:3036228] MFTSKSNSVSPSPSLEQADADALDISTKVQLYGVLWKRPFGRSSAKWSRRFFIIKESFLL YYSESERKSFETNKYFNIHPKGVIPLGGCLVEAREEPSMPYAMKISHQDFHGNVLLAAES EFEQTQWLEMLQESGKVTWKNAQLGEAMIKSLEAQGLQLAKEKQEYLDKLMEETEELCLQ REQREELERLNQVLEAEKQQFEEVVQELRVEQEQIKRELELTARCLKGVEQEKKELRHLT ESLQHTLEELSIEKKKTLEMLEEDKNQPQPLTNQSEQPPASDGLHSNLRQIEERMQELLA EKLLAEKRMKENEERSRALEEEREFYSSQSQALQNSLQELTAEKQQAERELKAEVKVRMD LERRLREAEAALRSLEQGLNSKVRNKEKEERMRADVSHLKRFFEECIRNAELEAKMPVIM KNSVYIHKAATRRIKSCRFHRRRSSTSWNDMKPSQSFMTSQLEANNIEELKEVAKRLSRD QRFRESIYHIMATQPGASALPRGGK >ENSMUSP00000121812.1 pep:known chromosome:GRCm38:12:80720757:80722007:1 gene:ENSMUSG00000066438.6 transcript:ENSMUST00000142760.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekhd1 description:pleckstrin homology domain containing, family D (with coiled-coil domains) member 1 [Source:MGI Symbol;Acc:MGI:3036228] XKR >ENSMUSP00000129170.1 pep:known chromosome:GRCm38:15:22549022:23400567:1 gene:ENSMUSG00000040420.14 transcript:ENSMUST00000163361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh18 description:cadherin 18 [Source:MGI Symbol;Acc:MGI:1344366] MRITSTSCICPVLVCLCFVQRCYGTAHHSSIKVTRNQTKHTEGETEVHHRPKRGWVWNQF FVLEEHMGPDPQYVGKLHSNSDKGDGSVKYILTGEGAGTIFIIDDTTGDIHSTKSLDREQ KTHYVLHAQAIDRRTNKPLEPESEFIIKVQDINDNAPKFTDGPYIVTVPEMSDMGTSVLQ VTATDADDPTYGNSARVVYSILQGQPYFSVDPKTGVIRTALHNMDREAREHYSVVIQAKD MAGQVGGLSGSTTVNITLTDVNDNPPRFPQKHYQLYVPESAQVGSAVGKIKANDADTGSN ADMTYSITNGDGIGVFSISTDKDTREGILSLKKPLNY >ENSMUSP00000130851.1 pep:known chromosome:GRCm38:15:23036463:23474418:1 gene:ENSMUSG00000040420.14 transcript:ENSMUST00000164787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh18 description:cadherin 18 [Source:MGI Symbol;Acc:MGI:1344366] MRITSTSCICPVLVCLCFVQRCYGTAHHSSIKVTRNQTKHTEGETEVHHRPKRGWVWNQF FVLEEHMGPDPQYVGKLHSNSDKGDGSVKYILTGEGAGTIFIIDDTTGDIHSTKSLDREQ KTHYVLHAQAIDRRTNKPLEPESEFIIKVQDINDNAPKFTDGPYIVTVPEMSDMGTSVLQ VTATDADDPTYGNSARVVYSILQGQPYFSVDPKTGVIRTALHNMDREAREHYSVVIQAKD MAGQVGGLSGSTTVNITLTDVNDNPPRFPQKHYQLYVPESAQVGSAVGKIKANDADTGSN ADMTYSITNGDGIGVFSISTDKDTREGILSLKKPLNYEKKKSYTLNIEGANTHLDFRFSH LGPFKDATMLKVIVGDVDEPPLFSMPSYVMEVYENAKIGTIVGTVLAQDPDSANSLVRYF INHSTEEERFFNIDANTGTIKTSKVLDREETPWYNITVTASENDNPDLLSHVSVGIRVLD VNDNPPELAREYDIVVCENSKPGQVIHTITATDKDDFANGPRFHFFLDERLPMNPNFTLK DNEDNTASILTRRRRFSRTMQDVYYLPIMISDGGIPSLSSSSTLTIRVCACERDGRVRTC HAEAFLSSAGLSTGALIAILLCVVILLAIVVLFITLRRGKKEPLIISEEDVRENVVTYDD EGGGEEDTEAFDITALRNPAAAEEFTYRRDIRPEVKLTPRHQTLSTLESIDVQEFIKQRL AEADLDPSVPPYDSLQTYAYEGQRSEAGSISSLDSATTQSDQDYHYLGDWGPEFKKLAEL YGEIESERTT >ENSMUSP00000128643.1 pep:known chromosome:GRCm38:15:23173702:23474065:1 gene:ENSMUSG00000040420.14 transcript:ENSMUST00000165614.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh18 description:cadherin 18 [Source:MGI Symbol;Acc:MGI:1344366] MRITSTSCICPVLVCLCFVQRCYGTAHHSSIKVTRNQTKHTEGETEVHHRPKRGWVWNQF FVLEEHMGPDPQYVGKLHSNSDKGDGSVKYILTGEGAGTIFIIDDTTGDIHSTKSLDREQ KTHYVLHAQAIDRRTNKPLEPESEFIIKVQDINDNAPKFTDGPYIVTVPEMSDMGTSVLQ VTATDADDPTYGNSARVVYSILQGQPYFSVDPKTGVIRTALHNMDREAREHYSVVIQAKD MAGQVGGLSGSTTVNITLTDVNDNPPRFPQKHYQLYVPESAQVGSAVGKIKANDADTGSN ADMTYSITNGDGIGVFSISTDKDTREGILSLKKPLNYEKKKSYTLNIEGANTHLDFRFSH LGPFKDATMLKVIVGDVDEPPLFSMPSYVMEVYENAKIGTIVGTVLAQDPDSANSLVRYF INHSTEEERFFNIDANTGTIKTSKVLDREETPWYNITVTASENDNPDLLSHVSVGIRVLD VNDNPPELAREYDIVVCENSKPGQVIHTITATDKDDFANGPRFHFFLDERLPMNPNFTLK DNEAAAPSPSGFVHAREMGACGPAMQKPSCPPLV >ENSMUSP00000128011.1 pep:known chromosome:GRCm38:15:23173864:23474029:1 gene:ENSMUSG00000040420.14 transcript:ENSMUST00000167623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh18 description:cadherin 18 [Source:MGI Symbol;Acc:MGI:1344366] WVWNQFFVLEEHMGPDPQYVGKLHSNSDKGDGSVKYILTGEGAGTIFIIDDTTGDIHSTK SLDREQKTHYVLHAQAIDRRTNKPLEPESEFIIKVQDINDNAPKFTDGPYIVTVPEMSDM GTSVLQVTATDADDPTYGNSARVVYSILQGQPYFSVDPKTGVIRTALHNMDREAREHYSV VIQAKDMAGQVGGLSGSTTVNITLTDVNDNPPRFPQKHYQLYVPESAQVGSAVGKIKAND ADTGSNADMTYSITNGDGIGVFSISTDKDTREGILSLKKPLNYEKKKSYTLNIEGANTHL DFRFSHLGPFKDATMLKVIVGDVDEPPLFSMPSYVMEVYENAKIGTIVGTVLAQDPDSAN SLVRYFINHSTEEERFFNIDANTGTIKTSKVLDREETPWYNITVTASENAAAAPSPSGFV HAREMGACGPAMQKPSCPPLV >ENSMUSP00000107614.2 pep:known chromosome:GRCm38:2:74711927:74748271:1 gene:ENSMUSG00000079277.9 transcript:ENSMUST00000111983.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd3 description:homeobox D3 [Source:MGI Symbol;Acc:MGI:96207] MLFEQGQLTLELPECTMQKAAYYENPGLFGGYGYSKATDTYGYSTPHQPYPPPAAANSLD SDYPSSACSIQSSAPLRAPAHKGAELNGSCMRPGTGNSQGGGGGNQPPGLNSEQQPPQPP PPPPPTLPPSSPTNPGSGVPAKKTKGGLSASSSSSTISKQIFPWMKESRQNSKQKNSCAT SGENCEDKSPPGPASKRVRTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLTERQIK IWFQNRRMKYKKDQKAKGILHSPAGQSPERSPPLGGAAGHVAYSGQLPPVPGLAYDAPSP PAFAKSQPNMYGLAAYTAPLSSCLPQQKRYPAPEFEPHPMASNGGGFASANLQGSPVYVG GNFVDSMAPTSGPVFNLGHLSHPSSASVDYSCAAQIPGNHHHGPCDPHPTYTDLSAHHSS QGRLPEAPKLTHL >ENSMUSP00000134633.1 pep:known chromosome:GRCm38:2:74732913:74744214:1 gene:ENSMUSG00000079277.9 transcript:ENSMUST00000144544.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd3 description:homeobox D3 [Source:MGI Symbol;Acc:MGI:96207] MLFEQGQLTLELPECTMQKAAYYENPGLFGGYGYSKATDTYGYSTPHQPYPPPAAANSLD SDYPSSA >ENSMUSP00000107613.1 pep:known chromosome:GRCm38:2:74736515:74747915:1 gene:ENSMUSG00000079277.9 transcript:ENSMUST00000111982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd3 description:homeobox D3 [Source:MGI Symbol;Acc:MGI:96207] MLFEQGQLTLELPECTMQKAAYYENPGLFGGYGYSKATDTYGYSTPHQPYPPPAAANSLD SDYPSSACSIQSSAPLRAPAHKGAELNGSCMRPGTGNSQGGGGGNQPPGLNSEQQPPQPP PPPPPTLPPSSPTNPGSGVPAKKTKGGLSASSSSSTISKQIFPWMKESRQNSKQKNSCAT SGENCEDKSPPGPASKRVRTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLTERQIK IWFQNRRMKYKKDQKAKGILHSPAGQSPERSPPLGGAAGHVAYSGQLPPVPGLAYDAPSP PAFAKSQPNMYGLAAYTAPLSSCLPQQKRYPAPEFEPHPMASNGGGFASANLQGSPVYVG GNFVDSMAPTSGPVFNLGHLSHPSSASVDYSCAAQIPGNHHHGPCDPHPTYTDLSAHHSS QGRLPEAPKLTHL >ENSMUSP00000044809.6 pep:known chromosome:GRCm38:2:74739619:74747900:1 gene:ENSMUSG00000079277.9 transcript:ENSMUST00000047830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd3 description:homeobox D3 [Source:MGI Symbol;Acc:MGI:96207] MLFEQGQLTLELPECTMQKAAYYENPGLFGGYGYSKATDTYGYSTPHQPYPPPAAANSLD SDYPSSACSIQSSAPLRAPAHKGAELNGSCMRPGTGNSQGGGGGNQPPGLNSEQQPPQPP PPPPPTLPPSSPTNPGSGVPAKKTKGGLSASSSSSTISKQIFPWMKESRQNSKQKNSCAT SGENCEDKSPPGPASKRVRTAYTSAQLVELEKEFHFNRYLCRPRRVEMANLLNLTERQIK IWFQNRRMKYKKDQKAKGILHSPAGQSPERSPPLGGAAGHVAYSGQLPPVPGLAYDAPSP PAFAKSQPNMYGLAAYTAPLSSCLPQQKRYPAPEFEPHPMASNGGGFASANLQGSPVYVG GNFVDSMAPTSGPVFNLGHLSHPSSASVDYSCAAQIPGNHHHGPCDPHPTYTDLSAHHSS QGRLPEAPKLTHL >ENSMUSP00000134616.1 pep:known chromosome:GRCm38:2:74745674:74746596:1 gene:ENSMUSG00000079277.9 transcript:ENSMUST00000140666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd3 description:homeobox D3 [Source:MGI Symbol;Acc:MGI:96207] MSVPKRLLSLKRPHPALGRDGGENCEDKSPPGPASKRVRTAYTSAQLVELEKEFHFNRYL CRPRRVEMANLLNLTERQIKIWFQNRRMKYKKDQKAKGILHSPAGQSPERSPP >ENSMUSP00000136951.1 pep:known chromosome:GRCm38:5:91987475:91995320:1 gene:ENSMUSG00000096035.2 transcript:ENSMUST00000178614.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1045 description:predicted gene 1045 [Source:MGI Symbol;Acc:MGI:2685891] MAPAFHVSWLLVSWLVVTTAEGQDVVTPPGGSQNNAKPTDCQIFTLTPPPTTRNLVTRAQ PIPRTPTFSFPPRGPGFSPRFPFFLPNNRRFQFWPFYRPRGRLIPWRLILRRQQQSGSSS EESREN >ENSMUSP00000108157.2 pep:known chromosome:GRCm38:1:127954670:128103001:-1 gene:ENSMUSG00000036086.16 transcript:ENSMUST00000112538.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zranb3 description:zinc finger, RAN-binding domain containing 3 [Source:MGI Symbol;Acc:MGI:1918362] MPTAGSKKKAPTPQISCLTSESYTQLDFLPDKLRTKLLPFQKDGIVFALRRDGRCMVADE MGLGKTIQAIAIAYFYKEEWPLLIVVPSSLRYPWIEELEKWIPELEPEEINVVMNKTDIG RIPGSRVTVLGYGLLTTDAETLLDALNTQNFRVVIVDESHYMKSRTAARSKILLPMVQKA RRAILLTGTPALGRPEELFMQIEALFPQKFGTWIEYAKRYCNAHVRYFGKRRQWDCRGAS NLSELHQLLNDIMIRRLKSEVLSQLPPKVRQRIPFDLPPAAVKELNASFEEWQKLMRAPN SGAMETVMGLITRMFKQTAIAKAGAVKDYIKMLLQNDSLKFLVFAHHLSMLQACTEAVIE SKSRYIRIDGSVPSSERIHLVNQFQKDPDTRVAILSIQAAGQGLTFTAASHVVFAELYWD PGHIKQAEDRAHRIGQCSSVNIHYLIANGTLDSLMWAMLNRKAQVTGSTLNGRKEKLQAT EDDKEKWGFLQFAEAWTPSDSFEELKDSVFTHFEKEKQHDIRSFFLPKLKKRQLETTCDD PEAFKEKITVASDPRKMATSDSTADKNGCEPEAKRLKSLSTEDHSSALEEGPSLQARATS MEVVHEVKPPLASPALPEKGWQCGFCTFLNNPGLPYCEMCENPRSRAAGRNHLQDNNKND EDAAQESTSKSDQAGLECERQCPERLEAEQSANSKEEALEGGGEDRLPSQPEIGQLNNSG TLPVRETFMFCASRNTDRIHLYTKDGKPMNCNFIPLDIKLDLWEDLPATFQLKQNRSLIL RFVREWSSLTAMKQRVLRKSGQLFCSPLLASEEITKQQAKENNTRRYITKEDVAKASMNK VKSDGGHIRLITKESMTQDSSLKKIDSACVPSLNPCPADLTVEPSPSKGYIQAVDKEGRP LCLRCQHPTCQPEQTAKASAWDSRFCSLKCQEEFWIRSNNSYLRAQVFATEHGVCQHCGV DAQELFLRMRDAPKSHRKSLLNAAWTAKLPLEQLNEMLRNPGEGHFWQVDHIRPVYEGGG QCSLDNLQTLCTVCHKERTAQQAKERSQVRRLSLATKHGSDITRFLVKK >ENSMUSP00000083806.5 pep:known chromosome:GRCm38:1:127954184:128102462:-1 gene:ENSMUSG00000036086.16 transcript:ENSMUST00000086614.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zranb3 description:zinc finger, RAN-binding domain containing 3 [Source:MGI Symbol;Acc:MGI:1918362] MPTAGSKKKAPTPQISCLTSESYTQLDFLPDKLRTKLLPFQKDGIVFALRRDGRCMVADE MGLGKTIQAIAIAYFYKEEWPLLIVVPSSLRYPWIEELEKWIPELEPEEINVVMNKTDIG RIPGSRVTVLGYGLLTTDAETLLDALNTQNFRVVIVDESHYMKSRTAARSKILLPMVQKA RRAILLTGTPALGRPEELFMQIEALFPQKFGTWIEYAKRYCNAHVRYFGKRRQWDCRGAS NLSELHQLLNDIMIRRLKSEVLSQLPPKVRQRIPFDLPPAAVKELNASFEEWQKLMRAPN SGAMETVMGLITRMFKQTAIAKAGAVKDYIKMLLQNDSLKFLVFAHHLSMLQACTEAVIE SKSRYIRIDGSVPSSERIHLVNQFQKDPDTRVAILSIQAAGQGLTFTAASHVVFAELYWD PGHIKQAEDRAHRIGQCSSVNIHYLIANGTLDSLMWAMLNRKAQVTGSTLNGRKEKLQAT EDDKEKWGFLQFAEAWTPSDSFEELKDSVFTHFEKEKQHDIRSFFLPKLKKRQLETTCDD PEAFKEKITVASDPRKMATSDSTADKNGCEPEAKRLKSLSTEDHSSALEEGPSLQARATS MEVVHEVKPPLASPALPEKGWQCGFCTFLNNPGLPYCEMCENPRSRAAGRNHLQDNNKND EDAAQESTSKSDQAGLECERQCPERLEAEQSANSKEEALEGGGEDRLPSQPEIGQLNNSG TLPVRETFMFCASRNTDRIHLYTKDGKPMNCNFIPLDIKLDLWEDLPATFQLKQNRSLIL RFVREWSSLTAMKQRVLRKSGQLFCSPLLASEEITKQQAKENNTRRYITKEDVAKASMNK VKSDGGHIRLITKESMTQDSSLKKIDSACVPSLNPCPADLTVEPSPSKGYIQAVDKEGRP LCLRCQHPTCQPEQTAKASAWDSRFCSLKCQEEFWIRSNNSYLRAQVFATEHGVCQHCGV DAQELFLRMRDAPKSHRKSLLNAAWTAKLPLEQLNEMLRNPGEGHFWQVDHIRPVYEGGG QCSLDNLQTLCTVCHKERTAQQAKERSQVRRLSLATKHGSDITRFLVKK >ENSMUSP00000037004.6 pep:known chromosome:GRCm38:6:125362660:125380793:-1 gene:ENSMUSG00000038167.6 transcript:ENSMUST00000042647.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg6 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 6 [Source:MGI Symbol;Acc:MGI:2682298] MQAFGPPNEGPLQGLVASRIETYGGRHQTSAHSTAGNLFPRGGPGVDPSRRRLQHYVPFA KGSGQTRGMSPLVLREPDPEKRHGSYFGVGPPHSPKLKEVTRAHELEIRLHTFSMFGMPR LPPEDRRHWEIGEGGDSALTMEKSWKELVLEHKEMNRQLCHQQEALWELLTTELIYLRKL KIMTDLLAAGLLNLQRVGLLTEVSAETLFGNVPNLIRAHRRFWEEVLQPILEDTRTSGQP LDPVSLQNGFLTFGQRFQPYVQYCLRVKQTMAYAREQQDTNPLFHTFVQWCEKHKRSGRQ TLGDLLIKPHQRITKYPLLLQAVRKRSPEPRAQEALNAMIEAVESFLRHINGQVRQGEEQ ESLMAVAQRIGPYEVLEPSSEEVEKNLRPFSTLDLMTPVLGVAPEYTRQLLLEGPVRVKE GREGKMDVYLFLFSDVLLVTKPQRKADRAKVIRPPLMLEKLVCRPLRDPNSFLLIHLTEF QCVSSALTVHCPSSTERARWLEKTQHAQTTLQKLKGEQYIQQKRELLALYRNQGTESPST RPSTPSPSPEDSQSSAEGRTLEFAIIPRLVVTEDTDEDTPSMPDDASDSGYGTLIPSSPK DSHSPLNRLRSKALRRDPRLTFSTLELRDVPLRPQPPDPQAPQRRSAPELPEGILRGGSL PRRAPPIWSEEEDETLASGNVVVETLHRAQRRSPLPHSPTHTDSAGESPWESSDEDEGLL SPELRPRSLREDMLREIREELANQRIDGASEPEPGNGKPRRLTLAQLQRMRVPHIIQLDT PLSTSEV >ENSMUSP00000109144.1 pep:known chromosome:GRCm38:5:75932827:75978458:-1 gene:ENSMUSG00000062960.10 transcript:ENSMUST00000113516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdr description:kinase insert domain protein receptor [Source:MGI Symbol;Acc:MGI:96683] MESKALLAVALWFCVETRAASVGLPGDFLHPPKLSTQKDILTILANTTLQITCRGQRDLD WLWPNAQRDSEERVLVTECGGGDSIFCKTLTIPRVVGNDTGAYKCSYRDVDIASTVYVYV RDYRSPFIASVSDQHGIVYITENKNKTVVIPCRGSISNLNVSLCARYPEKRFVPDGNRIS WDSEIGFTLPSYMISYAGMVFCEAKINDETYQSIMYIVVVVGYRIYDVILSPPHEIELSA GEKLVLNCTARTELNVGLDFTWHSPPSKSHHKKIVNRDVKPFPGTVAKMFLSTLTIESVT KSDQGEYTCVASSGRMIKRNRTFVRVHTKPFIAFGSGMKSLVEATVGSQVRIPVKYLSYP APDIKWYRNGRPIESNYTMIVGDELTIMEVTERDAGNYTVILTNPISMEKQSHMVSLVVN VPPQIGEKALISPMDSYQYGTMQTLTCTVYANPPLHHIQWYWQLEEACSYRPGQTSPYAC KEWRHVEDFQGGNKIEVTKNQYALIEGKNKTVSTLVIQAANVSALYKCEAINKAGRGERV ISFHVIRGPEITVQPAAQPTEQESVSLLCTADRNTFENLTWYKLGSQATSVHMGESLTPV CKNLDALWKLNGTMFSNSTNDILIVAFQNASLQDQGDYVCSAQDKKTKKRHCLVKQLIIL ERMAPMITGNLENQTTTIGETIEVTCPASGNPTPHITWFKDNETLVEDSGIVLRDGNRNL TIRRVRKEDGGLYTCQACNVLGCARAETLFIIEGAQEKTNLEVIILVGTAVIAMFFWLLL VIVLRTVKRANEGELKTGYLSIVMDPDELPLDERCERLPYDASKWEFPRDRLKLGKPLGR GAFGQVIEADAFGIDKTATCKTVAVKMLKEGATHSEHRALMSELKILIHIGHHLNVVNLL GACTKPGGPLMVIVEFCKFGNLSTYLRGKRNEFVPYKSKGARFRQGKDYVGELSVDLKRR LDSITSSQSSASSGFVEEKSLSDVEEEEASEELYKDFLTLEHLICYSFQVAKGMEFLASR KCIHRDLAARNILLSEKNVVKICDFGLARDIYKDPDYVRKGDARLPLKWMAPETIFDRVY TIQSDVWSFGVLLWEIFSLGASPYPGVKIDEEFCRRLKEGTRMRAPDYTTPEMYQTMLDC WHEDPNQRPSFSELVEHLGNLLQANAQQDGKDYIVLPMSETLSMEEDSGLSLPTSPVSCM EEEEVCDPKFHYDNTAGISHYLQNSKRKSRPVSVKTFEDIPLEEPEVKVIPDDSQTDSGM VLASEELKTLEDRNKLSPSFGGMMPSKSRESVASEGSNQTSGYQSGYHSDDTDTTVYSSD EAGLLKMVDAAVHADSGTTLRSPPV >ENSMUSP00000047291.8 pep:known chromosome:GRCm38:1:170644532:170682789:1 gene:ENSMUSG00000038463.8 transcript:ENSMUST00000046792.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfml2b description:olfactomedin-like 2B [Source:MGI Symbol;Acc:MGI:2443310] MAYPLPLVLCFALVVAQVWGSTTPPTGTSEPPDVQTVEPTEDDILQNEADNQENVLSQLL GDYDKVKAVSEGSDCQCKCVVRPLGRDACQRINQGASRKEDFYTVETITSGSSCKCACVA PPSAVNPCEGDFRLQKLREADSRDLKLSTIIDLLEGAFYGLDLLKLHSVTTKLVGRVDKL EEEVSKNLTKENEQIKEDVEEIRTELNKRGKENCSDNTLESMPDIRSALQRDAAAAYAHP EYEERFLQEETVSQQINSIELLRTQPLVPPAAMKPQRPLQRQVHLRGRLASKPTVIRGIT YYKAKVSEEENDIEEQHDELFSGDSGVDLLIEDQLLRQEDLLTSATRRPATTRHTAAVTT DASIQAAASSSEPAQASASASSFVEPAPQASDRELLATPQTTTVFPEPTEVMPSTQVSPT TVAHTAVQPLPAMVPGDIFVEALPLVPLLPDTVGTDMPEEEGTAGQEATSAGPILSPEEE DDIRNVIGRCKDTLSTITGPTTQNTYGRNEGAWMKDPLAKDDRIYVTNYYYGNTLVEFRN LENFKQGRWSNSYKLPYSWIGTGHVVYNGAFYYNRAFTRNIIKYDLKQRYVAAWAMLHDV AYEEATPWRWQGHSDVDFAVDENGLWLIYPALDDEGFNQEVIVLSKLNAVDLSTQKETTW RTGLRRNFYGNCFVICGVLYAVDSYNQRNANISYAFDTHTNTQIVPRLLFENEYSYTTQI DYNPKDRLLYAWDNGHQVTYHVIFAY >ENSMUSP00000140681.1 pep:known chromosome:GRCm38:1:143794717:143806941:1 gene:ENSMUSG00000018189.12 transcript:ENSMUST00000185539.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uchl5 description:ubiquitin carboxyl-terminal esterase L5 [Source:MGI Symbol;Acc:MGI:1914848] KEEDAFHFVSYVPVNGRLYELDGLREGPIDLGACNQDDWITAVRPVIEKRIQKYSEGEIR FNLMAIVSDRKMIYEQKIAELQRQLAEEEPMDTDQGSTVLSAIQSEVARNQMLIEEEVQK LKRYKIENIRRKHNYLPFIMELLKTLAEHQQLIPLVEKIFSCRGKNL >ENSMUSP00000139668.1 pep:known chromosome:GRCm38:1:143777321:143799990:1 gene:ENSMUSG00000018189.12 transcript:ENSMUST00000185493.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uchl5 description:ubiquitin carboxyl-terminal esterase L5 [Source:MGI Symbol;Acc:MGI:1914848] MSSNAGEWCLMESDPGVFTELIKGFGCRGAQVEEIWSLEPESFEKLKPVHGLIFLFKWQP GEEPAGSVVQDSRLETIFFAKQMKGLALSNSDVIRQVHNSFARQQMFEFDTKTPAKEEDA FHFVSYVPVNGRLYELDGLREGPIDLGACNQDDWITAVRPVIEKRIQKYSEGEIRFNLMA IVSDRKMIYEQKIAELQRQLAEEEPMDTDQGSTVLSAIQSEVARN >ENSMUSP00000018333.7 pep:known chromosome:GRCm38:1:143777281:143807466:1 gene:ENSMUSG00000018189.12 transcript:ENSMUST00000018333.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uchl5 description:ubiquitin carboxyl-terminal esterase L5 [Source:MGI Symbol;Acc:MGI:1914848] MSSNAGEWCLMESDPGVFTELIKGFGCRGAQVEEIWSLEPESFEKLKPVHGLIFLFKWQP GEEPAGSVVQDSRLETIFFAKQVINNACATQAIVSVLLNCTHQDVHLGETLSEFKEFSQS FDAAMKGLALSNSDVIRQVHNSFARQQMFEFDTKTPAKEEDAFHFVSYVPVNGRLYELDG LREGPIDLGACNQDDWITAVRPVIEKRIQKYSEGEIRFNLMAIVSDRKMIYEQKIAELQR QLAEEPMDTDQGSTVLSAIQSEVARNQMLIEEEVQKLKRYKIENIRRKHNYLPFIMELLK TLAEHQQLIPLVEKAKEKQNAKKAQETK >ENSMUSP00000140106.1 pep:known chromosome:GRCm38:1:143777272:143807305:1 gene:ENSMUSG00000018189.12 transcript:ENSMUST00000189936.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uchl5 description:ubiquitin carboxyl-terminal esterase L5 [Source:MGI Symbol;Acc:MGI:1914848] MSSNAGEWCLMESDPGVFTELIKGFGCRGAQVEEIWSLEPESFEKLKPVHGLIFLFKWQP GEEPAGSVVQDSRLETIFFAKQVINNACATQAIVSVLLNCTHQDVHLGETLSEFKEFSQS FDAAMKGLALSNSDVIRQVHNSFARQQMFEFDTKTPAKEEDAFHFVSYVPVNGRLYELDG LREGPIDLGACNQDDWITAVRPVIEKRIQKYSEGEIRFNLMAIVSDRKMIYEQKIAELQR QLAEEEPMDTDQGSTVLSAIQSEVARNQMLIEEEVQKLKRYKIENIRRKHNYLPFIMELL KTLAEHQQLIPLVEKAKEKQNAKKAQETK >ENSMUSP00000127199.1 pep:known chromosome:GRCm38:14:54476100:54480431:1 gene:ENSMUSG00000022176.11 transcript:ENSMUST00000164766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rem2 description:rad and gem related GTP binding protein 2 [Source:MGI Symbol;Acc:MGI:2155260] MHTDLDTDMDMDTETVALCSSSSRQASPLGTPTPEADTTLLKQKPEKLLAELDLSGPPPA PGVPRRRGSMPVPYKHQLRRAQAVDELDWPPQASPSGSSDSLGSGEAALTQKDGVFKVML VGESGVGKSTLAGTFGGLQGDHAHEMENSEDTYERRIMVDKEEVTLIVYDIWEQGDAGGW LQDHCLQTGDAFLIVFSVTDRRSFSKVPETLLRLRAGRPHHDLPVILVGNKSDLARSREV SLEEGRHLAGTLSCKHIETSAALHHNTRELFEGAVRQIRLRRGRGHAGGQRPEPSSPDGP APPTRRESLTKKAKRFLANLVPRNAKFFKQRSRSCHDLSVL >ENSMUSP00000132998.1 pep:known chromosome:GRCm38:14:54476252:54479679:1 gene:ENSMUSG00000022176.11 transcript:ENSMUST00000164697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rem2 description:rad and gem related GTP binding protein 2 [Source:MGI Symbol;Acc:MGI:2155260] MHTDLDTDMDMDTETVALCSSSSRQASPLGTPTPEADTTLLKQKPEKLLAELDLSGPPPA PGVPRRRGSMPVPYKHQLRRAQAVDELDWPPQASPSGSSDSLGSGEAALTQKDGVFKVML VGESGVGKSTLAGTFGGLQGDHAHEMENSGRCRRMAAGSLPSDGGCLSHRLLSDRSTKLL >ENSMUSP00000131989.1 pep:known chromosome:GRCm38:14:54477471:54479117:1 gene:ENSMUSG00000022176.11 transcript:ENSMUST00000168930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rem2 description:rad and gem related GTP binding protein 2 [Source:MGI Symbol;Acc:MGI:2155260] XADTTLLKQKPEKLLAELDLSGPPPAPGVPRRRGSMPVPYKHQLRRAQAVDELDWPPQAS PSGSSDSLGSGEAALTQKDGVFKVMLVGESGVGKSTLAGTFGGLQGDHAHEMENSEDTYE RRIMVDKEEVTLIVYDIWEQ >ENSMUSP00000124479.1 pep:known chromosome:GRCm38:1:97854634:97894396:-1 gene:ENSMUSG00000026335.16 transcript:ENSMUST00000159841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pam description:peptidylglycine alpha-amidating monooxygenase [Source:MGI Symbol;Acc:MGI:97475] XTGEGRTEATHIGGTSSDEMCNLYIMYYMEAKHAVSFMTCTQNVAPDMFRTIPEEANIPI PVKSDMVMIHGHHKETENKEKSALIQQPKQGEEEAFEQGELLKRWSLHAMPAKPQDRIRT SVILRSFVSQEIGSCFPSLIKSSYFLHSYCIFLFIKAKTHILYLHIHRV >ENSMUSP00000095228.3 pep:known chromosome:GRCm38:1:97821095:98095632:-1 gene:ENSMUSG00000026335.16 transcript:ENSMUST00000097625.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pam description:peptidylglycine alpha-amidating monooxygenase [Source:MGI Symbol;Acc:MGI:97475] MAGRARSRLLLLLGLLALQSSCLAFRSPLSVFKRFKETTRSFSNECLGTTRPITPIDSSD FTLDIRMPGVTPKESDTYFCMSMRLPVDEEAFVIDFKPRASMDTVHHMLLFGCNMPSSTG SYWFCDEGTCTDKANILYAWARNAPPTRLPKGVGFRVGGETGSKYFVLQVHYGDISAFRD NHKDCSGVSLHLTRVPQPLIAGMYLMMSVNTVIPPGEKVVNSDISCHYKMYPMHVFAYRV HTHHLGKVVSGYRVRNGQWTLIGRQSPQLPQAFYPVEHPVDVAFGDILAARCVFTGEGRT EATHIGGTSSDEMCNLYIMYYMEAKHAVSFMTCTQNVAPDMFRTIPEEANIPIPVKSDMV MIHGHHKETENKEKSALIQQPKQGEEEAFEQGDFYSLLSKLLGEREDVVHVHKYNPTEKT ESGSDLVAEIANVVQKKDLGRSDAREGAEHEEGGNAILVRDRIHKFHRLESTLRPAESRA LSFQQPGEGPWEPELAGDFHVEEALEWPGVYLLPGQVSGVALDSKNNLVIFHRGDHVWDG NSFDSKFVYQQRGLGPIEEDTILVIDPNKAEILQSSGKNLFYLPHGLSIDTDGNYWVTDV ALHQVFKLEPRSKEGPLLVLGRSMQPGSDQNHFCQPTDVAVEPSTGAVFVSDGYCNSRIV QFSPSGKFITQWGEESSGSSPKPGQFSVPHSLALVPHLNQLCVADRENGRIQCFKTDTKE FVREIKHASFGRNVFAISYIPGFLFAVNGKPYFGDQEPVQGFVMNFSSGEIIDVFKPVRK HFDMPHDIVASEDGTVYIGDAHTNTVWKFTLTESRLEVEHRSVKKAGIEVPEIKAEAVVE PKVKNKPTSSELQKMQEKKKLIKDPGSGVPVVLITTLLVIPVVVLLAIAMFIRWKKSRAF GDHDRKLESSSGRVLGRLRGKGSSGLNLGNFFASRKGYSRKGFDRVSTEGSDQEKDEDDG SESEEEYSAPLPTPAPSS >ENSMUSP00000125133.1 pep:known chromosome:GRCm38:1:97821721:97840389:-1 gene:ENSMUSG00000026335.16 transcript:ENSMUST00000162681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pam description:peptidylglycine alpha-amidating monooxygenase [Source:MGI Symbol;Acc:MGI:97475] XRENGRIQCFKTDTKEFVREIKHASFGRNVFAISYIPGFLFAVNGKPYFGDQEPVQGFVM NFSSGEIIDVFKPVRKHFDMPHDIVASEDGTVYIGDAHTNTVWKFTLTESRLEVEHRSVK KAGIEVPEIKGKGSSGLNLGNFFASRKGYSRKGFDRVSTEGSDQEKDEDDGSESEEEYSA PLPTPAPSS >ENSMUSP00000125418.1 pep:known chromosome:GRCm38:1:97821100:97977255:-1 gene:ENSMUSG00000026335.16 transcript:ENSMUST00000161567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pam description:peptidylglycine alpha-amidating monooxygenase [Source:MGI Symbol;Acc:MGI:97475] MAGRARSRLLLLLGLLALQSSCLAFRSPLSVFKRFKETTRSFSNECLGTTRPITPIDSSD FTLDIRMPGVTPKESDTYFCMSMRLPVDEEAFVIDFKPRASMDTVHHMLLFGCNMPSSTG SYWFCDEGTCTDKANILYAWARNAPPTRLPKGVGFRVGGETGSKYFVLQVHYGDISAFRD NHKDCSGVSLHLTRVPQPLIAGMYLMMSVNTVIPPGEKVVNSDISCHYKMYPMHVFAYRV HTHHLGKVVSGYRVRNGQWTLIGRQSPQLPQAFYPVEHPVDVAFGDILAARCVFTGEGRT EATHIGGTSSDEMCNLYIMYYMEAKHAVSFMTCTQNVAPDMFRTIPEEANIPIPVKSDMV MIHGHHKETENKEKSALIQQPKQGEEEAFEQDFHVEEALEWPGVYLLPGQVSGVALDSKN NLVIFHRGDHVWDGNSFDSKFVYQQRGLGPIEEDTILVIDPNKAEILQSSGKNLFYLPHG LSIDTDGNYWVTDVALHQVFKLEPRSKEGPLLVLGRSMQPGSDQNHFCQPTDVAVEPSTG AVFVSDGYCNSRIVQFSPSGKFITQWGEESSGSSPKPGQFSVPHSLALVPHLNQLCVADR ENGRIQCFKTDTKEFVREIKHASFGRNVFAISYIPGFLFAVNGKPYFGDQEPVQGFVMNF SSGEIIDVFKPVRKHFDMPHDIVASEDGTVYIGDAHTNTVWKFTLTESRLEVEHRSVKKA GIEVPEIKEAEAVVEPKVKNKPTSSELQKMQEKKKLIKDPGSGVPVVLITTLLVIPVVVL LAIAMFIRWKKSRAFGDHDRKLESSSGRVLGRLRGKGSSGLNLGNFFASRKGYSRKGFDR VSTEGSDQEKDEDDGSESEEEYSAPLPTPAPSS >ENSMUSP00000057112.8 pep:known chromosome:GRCm38:1:97821094:98095621:-1 gene:ENSMUSG00000026335.16 transcript:ENSMUST00000058762.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pam description:peptidylglycine alpha-amidating monooxygenase [Source:MGI Symbol;Acc:MGI:97475] MAGRARSRLLLLLGLLALQSSCLAFRSPLSVFKRFKETTRSFSNECLGTTRPITPIDSSD FTLDIRMPGVTPKESDTYFCMSMRLPVDEEAFVIDFKPRASMDTVHHMLLFGCNMPSSTG SYWFCDEGTCTDKANILYAWARNAPPTRLPKGVGFRVGGETGSKYFVLQVHYGDISAFRD NHKDCSGVSLHLTRVPQPLIAGMYLMMSVNTVIPPGEKVVNSDISCHYKMYPMHVFAYRV HTHHLGKVVSGYRVRNGQWTLIGRQSPQLPQAFYPVEHPVDVAFGDILAARCVFTGEGRT EATHIGGTSSDEMCNLYIMYYMEAKHAVSFMTCTQNVAPDMFRTIPEEANIPIPVKSDMV MIHGHHKETENKEKSALIQQPKQGEEEAFEQGDFYSLLSKLLGEREDVVHVHKYNPTEKT ESGSDLVAEIANVVQKKDLGRSDAREGAEHEEGGNAILVRDRIHKFHRLESTLRPAESRA LSFQQPGEGPWEPELAGDFHVEEALEWPGVYLLPGQVSGVALDSKNNLVIFHRGDHVWDG NSFDSKFVYQQRGLGPIEEDTILVIDPNKAEILQSSGKNLFYLPHGLSIDTDGNYWVTDV ALHQVFKLEPRSKEGPLLVLGRSMQPGSDQNHFCQPTDVAVEPSTGAVFVSDGYCNSRIV QFSPSGKFITQWGEESSGSSPKPGQFSVPHSLALVPHLNQLCVADRENGRIQCFKTDTKE FVREIKHASFGRNVFAISYIPGFLFAVNGKPYFGDQEPVQGFVMNFSSGEIIDVFKPVRK HFDMPHDIVASEDGTVYIGDAHTNTVWKFTLTESRLEVEHRSVKKAGIEVPEIKEAEAVV EPKVKNKPTSSELQKMQEKKKLIKDPGSGVPVVLITTLLVIPVVVLLAIAMFIRWKKSRA FGDHDRKLESSSGRVLGRLRGKGSSGLNLGNFFASRKGYSRKGFDRVSTEGSDQEKDEDD GSESEEEYSAPLPTPAPSS >ENSMUSP00000124284.1 pep:known chromosome:GRCm38:1:97795114:97840811:-1 gene:ENSMUSG00000026335.16 transcript:ENSMUST00000159041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pam description:peptidylglycine alpha-amidating monooxygenase [Source:MGI Symbol;Acc:MGI:97475] XDVAVEPSTGAVFVSDGYCNSRIVQFSPSGKFITQWGEESSGSSPKPGQFSVPHSLALVP HLNQLCVADRENGRIQCFKTDTKEFVREIKHASFGRNVFAISYIPGFLFAVNGKPYFGDQ EPVQGFVMNFSSGEIIDVFKPVRKHPRPLA >ENSMUSP00000090228.4 pep:known chromosome:GRCm38:11:102799579:102819700:-1 gene:ENSMUSG00000034520.14 transcript:ENSMUST00000092567.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjc1 description:gap junction protein, gamma 1 [Source:MGI Symbol;Acc:MGI:95718] MSWSFLTRLLEEIHNHSTFVGKIWLTVLIVFRIVLTAVGGESIYYDEQSKFVCNTEQPGC ENVCYDAFAPLSHVRFWVFQIILVATPSVMYLGYAIHKIAKMEHGEADKKAARSKPYAMR WKQHRALEETEEDHEEDPMMYPEMELESEKENKEQSQPKPKHDGRRRIREDGLMKIYVLQ LLARTVFEVGFLIGQYFLYGFQVHPFYVCSRLPCPHKIDCFISRPTEKTIFLLIMYGVTG LCLLLNIWEMLHLGFGTIRDSLNSKRRELDDPGAYNYPFTWNTPSAPPGYNIAVKPDQIQ YTELSNAKIAYKQNKANIAQEQQYGSHEEHLPADLETLQREIRMAQERLDLAIQAYHHQN NPHGPREKKAKVGSKSGSNKSSISSKSGDGKTSVWI >ENSMUSP00000066901.5 pep:known chromosome:GRCm38:11:102799579:102819179:-1 gene:ENSMUSG00000034520.14 transcript:ENSMUST00000068933.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjc1 description:gap junction protein, gamma 1 [Source:MGI Symbol;Acc:MGI:95718] MSWSFLTRLLEEIHNHSTFVGKIWLTVLIVFRIVLTAVGGESIYYDEQSKFVCNTEQPGC ENVCYDAFAPLSHVRFWVFQIILVATPSVMYLGYAIHKIAKMEHGEADKKAARSKPYAMR WKQHRALEETEEDHEEDPMMYPEMELESEKENKEQSQPKPKHDGRRRIREDGLMKIYVLQ LLARTVFEVGFLIGQYFLYGFQVHPFYVCSRLPCPHKIDCFISRPTEKTIFLLIMYGVTG LCLLLNIWEMLHLGFGTIRDSLNSKRRELDDPGAYNYPFTWNTPSAPPGYNIAVKPDQIQ YTELSNAKIAYKQNKANIAQEQQYGSHEEHLPADLETLQREIRMAQERLDLAIQAYHHQN NPHGPREKKAKVGSKSGSNKSSISSKSGDGKTSVWI >ENSMUSP00000102690.2 pep:known chromosome:GRCm38:11:102799579:102804901:-1 gene:ENSMUSG00000034520.14 transcript:ENSMUST00000107075.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjc1 description:gap junction protein, gamma 1 [Source:MGI Symbol;Acc:MGI:95718] MSWSFLTRLLEEIHNHSTFVGKIWLTVLIVFRIVLTAVGGESIYYDEQSKFVCNTEQPGC ENVCYDAFAPLSHVRFWVFQIILVATPSVMYLGYAIHKIAKMEHGEADKKAARSKPYAMR WKQHRALEETEEDHEEDPMMYPEMELESEKENKEQSQPKPKHDGRRRIREDGLMKIYVLQ LLARTVFEVGFLIGQYFLYGFQVHPFYVCSRLPCPHKIDCFISRPTEKTIFLLIMYGVTG LCLLLNIWEMLHLGFGTIRDSLNSKRRELDDPGAYNYPFTWNTPSAPPGYNIAVKPDQIQ YTELSNAKIAYKQNKANIAQEQQYGSHEEHLPADLETLQREIRMAQERLDLAIQAYHHQN NPHGPREKKAKVGSKSGSNKSSISSKSGDGKTSVWI >ENSMUSP00000033617.6 pep:known chromosome:GRCm38:X:134542336:134583140:-1 gene:ENSMUSG00000031264.13 transcript:ENSMUST00000033617.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btk description:Bruton agammaglobulinemia tyrosine kinase [Source:MGI Symbol;Acc:MGI:88216] MAAVILESIFLKRSQQKKKTSPLNFKKRLFLLTVHKLSYYEYDFERGRRGSKKGSIDVEK ITCVETVIPEKNPPPERQIPRRGEESSEMEQISIIERFPYPFQVVYDEGPLYVFSPTEEL RKRWIHQLKNVIRYNSDLVQKYHPCFWIDGQYLCCSQTAKNAMGCQILENRNGSLKPGSS HRKTKKPLPPTPEEDQILKKPLPPEPTAAPISTTELKKVVALYDYMPMNANDLQLRKGEE YFILEESNLPWWRARDKNGQEGYIPSNYITEAEDSIEMYEWYSKHMTRSQAEQLLKQEGK EGGFIVRDSSKAGKYTVSVFAKSTGEPQGVIRHYVVCSTPQSQYYLAEKHLFSTIPELIN YHQHNSAGLISRLKYPVSKQNKNAPSTAGLGYGSWEIDPKDLTFLKELGTGQFGVVKYGK WRGQYDVAIKMIREGSMSEDEFIEEAKVMMNLSHEKLVQLYGVCTKQRPIFIITEYMANG CLLNYLREMRHRFQTQQLLEMCKDVCEAMEYLESKQFLHRDLAARNCLVNDQGVVKVSDF GLSRYVLDDEYTSSVGSKFPVRWSPPEVLMYSKFSSKSDIWAFGVLMWEIYSLGKMPYER FTNSETAEHIAQGLRLYRPHLASERVYTIMYSCWHEKADERPSFKILLSNILDVMDEES >ENSMUSP00000108839.1 pep:known chromosome:GRCm38:X:134542370:134583128:-1 gene:ENSMUSG00000031264.13 transcript:ENSMUST00000113213.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btk description:Bruton agammaglobulinemia tyrosine kinase [Source:MGI Symbol;Acc:MGI:88216] MAAVILESIFLKRSQQKKKTSPLNFKKRLFLLTVHKLSYYEYDFERGRRGSKKGSIDVEK ITCVETVIPEKNPPPERQIPRRGEESSEMEQISIIERFPYPFQVVYDEGPLYVFSPTEEL RKRWIHQLKNVIRYNSDLVQKYHPCFWIDGQYLCCSQTAKNAMGCQILENRNGSLKPGSS HRKTKKPLPPTPEEDQILKKPLPPEPTAAPISTTELKKVVALYDYMPMNANDLQLRKGEE YFILEESNLPWWRARDKNGQEGYIPSNYITEAEDSIEMYEWYSKHMTRSQAEQLLKQEGK EGGFIVRDSSKAGKYTVSVFAKSTGEPQGVIRHYVVCSTPQSQYYLAARNCLVNDQGVVK VSDFGLSRYVLDDEYTSSVGSKFPVRWSPPEVLMYSKFSSKSDIWAFGVLMWEIYSLGKM PYERFTNSETAEHIAQGLRLYRPHLASERVYTIMYSCWHEKADERPSFKILLSNILDVMD EES >ENSMUSP00000057849.4 pep:known chromosome:GRCm38:9:96943482:97018843:-1 gene:ENSMUSG00000046997.5 transcript:ENSMUST00000055433.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spsb4 description:splA/ryanodine receptor domain and SOCS box containing 4 [Source:MGI Symbol;Acc:MGI:2183445] MGQKLSGSLKSVEVREPALRPAKRELRGLEPGRPARLDQLLDMPAAGLAVQLRHAWNPED RSLNVFVKDDDRLTFHRHPVAQSTDGIRGKVGHARGLHAWQIHWPARQRGTHAVVGVATA RAPLHSVGYTALVGSDSESWGWDLGRSRLYHDGKNRPGVAYPAFLGPDEAFALPDSLLVV LDMDEGTLSFIVDGQYLGVAFRGLKGKKLYPVVSAVWGHCEVTMRYINGLDPEPLPLMDL CRRSIRSALGRQRLRDIGSLPLPQSLKNYLQYQ >ENSMUSP00000038452.8 pep:known chromosome:GRCm38:2:34874476:34892060:1 gene:ENSMUSG00000026870.19 transcript:ENSMUST00000047447.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cutal description:cutA divalent cation tolerance homolog-like [Source:MGI Symbol;Acc:MGI:1925246] MDRPESRCPPPGSLRLVLTCLLILTAVLMYPVLRTFSLWLHSSLTGIYVSGSYSIVFVNC PNEQIARDIARAILDKKMASSVNILPKTSSLYFWKGEIEEGIEVSLLIKTKTSKVSRLFA YMRLAHPFEIPEVFSIPMDQGDARFLRWLEEGMKEN >ENSMUSP00000144258.1 pep:known chromosome:GRCm38:2:34874518:34892132:1 gene:ENSMUSG00000026870.19 transcript:ENSMUST00000028228.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cutal description:cutA divalent cation tolerance homolog-like [Source:MGI Symbol;Acc:MGI:1925246] MDRPESRCPPPGSLRLVLTCLLILTAVLMYPVLRTFSLWLHSSLTGIYVSGSYSIVFVNC PNEQIARDIARAILDKKMASSVNILPKTSSLYFWKGEIEEGIEVSLVGASF >ENSMUSP00000144477.1 pep:known chromosome:GRCm38:2:34874476:34892060:1 gene:ENSMUSG00000026870.19 transcript:ENSMUST00000184164.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cutal description:cutA divalent cation tolerance homolog-like [Source:MGI Symbol;Acc:MGI:1925246] MDRPESRCPPPGSLRLVLTCLLILTAVLMYPVLRTFSLWLHSSLTGIYVSGSYSIVFVNC PNEQIARDIARAILDKKMASSVNILPKTSSLYFWKGEIEEGIEVSLLIKTKTSKVSRLFA YMRLAHPFEIPEVFSIPMDQGDARFLRWLEEGMKEN >ENSMUSP00000108691.2 pep:known chromosome:GRCm38:2:34874396:34892059:1 gene:ENSMUSG00000026870.19 transcript:ENSMUST00000113068.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cutal description:cutA divalent cation tolerance homolog-like [Source:MGI Symbol;Acc:MGI:1925246] MDRPESRCPPPGSLRLVLTCLLILTAVLMYPVLRTFSLWLHSSLTGIYVSGSYSIVFVNC PNEQIARDIARAILDKKMASSVNILPKTSSLYFWKGEIEEGIEVSLLIKTKTSKVSRLFA YMRLAHPFEIPEVFSIPMDQGDARFLRWLEEGMKEN >ENSMUSP00000067496.7 pep:known chromosome:GRCm38:3:58674938:58692400:-1 gene:ENSMUSG00000036432.8 transcript:ENSMUST00000070368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siah2 description:seven in absentia 2 [Source:MGI Symbol;Acc:MGI:108062] MSRPSSTGPSANKPCSKQPPPPQTPHAPSPAAPPAAATISAAGPGSSAVPAAAAVISGPG AGGGADPVSPQHHELTSLFECPVCFDYVLPPILQCQAGHLVCNQCRQKLSCCPTCRGALT PSIRNLAMEKVASAVLFPCKYATTGCSLTLHHTEKPEHEDICEYRPYSCPCPGASCKWQG SLEAVMSHLMHAHKSITTLQGEDIVFLATDINLPGAVDWVMMQSCFGHHFMLVLEKQEKY EGHQQFFAIVLLIGTRKQAENFAYRLELNGNRRRLTWEATPRSIHDGVAAAIMNSDCLVF DTAIAHLFADNGNLGINVTISTCCQ >ENSMUSP00000106620.2 pep:known chromosome:GRCm38:1:184786776:184811313:-1 gene:ENSMUSG00000026621.13 transcript:ENSMUST00000110992.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marc1 description:mitochondrial amidoxime reducing component 1 [Source:MGI Symbol;Acc:MGI:1913362] MGAGSWALTLFGFSAFRVPGQPRSTWLGVAALGLAAVALGTVAWRRARPRRRRRLQQVGT VAQLWIYPIKSCKGLSVSEAECTAMGLRYGHLRDRFWLVINEEGNMVTARQEPRLVLISL TCEDDTLTLSAAYTKDLLLPITPPATNPLLQCRVHGLEIQGRDCGEDAAQWVSSFLKMQS CRLVHFEPHMRPRSSRQMKASGPISQNNEVAYSDASPFLVLSEASLEDLNSRLERRVKAT NFRPNIVISGCGVYAEDSWNEVLIGDVELKRVMACTRCLLTTVDPDTGISDRKEPLETLK SYRLCDPSEQALYGKLPIFGQYFALENPGTIRVGDPVYLLGQ >ENSMUSP00000139716.1 pep:known chromosome:GRCm38:1:184787289:184808853:-1 gene:ENSMUSG00000026621.13 transcript:ENSMUST00000189492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marc1 description:mitochondrial amidoxime reducing component 1 [Source:MGI Symbol;Acc:MGI:1913362] MVTARQEPRLVLISLTCEDDTLTLSAAYTKDLLLPITPPATNPLLQCRVHGLEIQGRDCG EDAAQWVSSFLKMQSCRLVHFEPHMRPRSSRQMKAVFRTKDQVAYSDASPFLVLSEASLE DLNSRLERRVKATNFRPNIVISGCGVYAEDSWNEVLIGDVELKRVMACTRCLLTTVDPDT GISDRKEPLETLKSYRLCDPSEQALYGKLPIFGQYFALENPGTIRVGDPVYLLGQ >ENSMUSP00000035804.6 pep:known chromosome:GRCm38:1:184786767:184811197:-1 gene:ENSMUSG00000026621.13 transcript:ENSMUST00000048462.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marc1 description:mitochondrial amidoxime reducing component 1 [Source:MGI Symbol;Acc:MGI:1913362] MGAGSWALTLFGFSAFRVPGQPRSTWLGVAALGLAAVALGTVAWRRARPRRRRRLQQVGT VAQLWIYPIKSCKGLSVSEAECTAMGLRYGHLRDRFWLVINEEGNMVTARQEPRLVLISL TCEDDTLTLSAAYTKDLLLPITPPATNPLLQCRVHGLEIQGRDCGEDAAQWVSSFLKMQS CRLVHFEPHMRPRSSRQMKAVFRTKDQVAYSDASPFLVLSEASLEDLNSRLERRVKATNF RPNIVISGCGVYAEDSWNEVLIGDVELKRVMACTRCLLTTVDPDTGISDRKEPLETLKSY RLCDPSEQALYGKLPIFGQYFALENPGTIRVGDPVYLLGQ >ENSMUSP00000000412.2 pep:known chromosome:GRCm38:X:166523007:166585720:-1 gene:ENSMUSG00000000402.2 transcript:ENSMUST00000000412.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfl6 description:EGF-like-domain, multiple 6 [Source:MGI Symbol;Acc:MGI:1858599] MQPPWGLALPLLLPWVTGGVGTSPWDYGLSALAHQPGVCQYGTKMACCYGWKRNNKGVCE AMCEPRCKFGECVGPNKCRCFPGYTGKTCTQDVNECGVKPRPCQHRCVNTHGSYKCFCLS GHMLLPDATCSNSRTCARLNCQYGCEDTEEGPRCVCPSSGLRLGPNGRVCLDIDECASSK AVCPSNRRCVNTFGSYYCKCHIGFELKYIGRRYDCVDINECALNTHPCSPHANCLNTRGS FKCKCKQGYRGNGLQCSVIPEHSVKEILTAPGTIKDRIKKLLAHKRTMKKKVKLKMVTPR PASTRVPKVNLPYSSEEGVSRGRNYDGEQKKKEEGKRERLEEEKGEKTLRNEVEQERTLR GDVFSPKVNEAEDLDLVYVQRKELNSKLKHKDLNISVDCSFDLGVCDWKQDREDDFDWHP ADRDNDVGYYMAVPALAGHKKNIGRLKLLLPNLTPQSNFCLLFDYRLAGDKVGKLRVFVK NSNNALAWEETKNEDGRWRTGKIQLYQGIDTTKSVIFEAERGKGKTGEIAVDGVLLVSGL CPDDFLSVEG >ENSMUSP00000101732.1 pep:known chromosome:GRCm38:3:151801344:151836023:-1 gene:ENSMUSG00000028036.6 transcript:ENSMUST00000106126.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgfr description:prostaglandin F receptor [Source:MGI Symbol;Acc:MGI:97796] MSMNSSKQPVSPAAGLIANTTCQTENRLSVFFSIIFMTVGILSNSLAIAILMKAYQRFRQ KSKASFLLLASGLVITDFFGHLINGGIAVFVYASDKDWIRFDQSNILCSIFGISMVFSGL CPLFLGSAMAIERCIGVTNPIFHSTKITSKHVKMILSGVCMFAVFVAVLPILGHRDYQIQ ASRTWCFYNTEHIEDWEDRFYLLFFSFLGLLALGVSFSCNAVTGVTLLRVKFRSQQHRQG RSHHLEMIIQLLAIMCVSCVCWSPFLVTMANIAINGNNSPVTCETTLFALRMATWNQILD PWVYILLRKAVLRNLYKLASRCCGVNIISLHIWELSSIKNSLKVAAISESPAAEKESQQA SSEAGL >ENSMUSP00000029670.5 pep:known chromosome:GRCm38:3:151796502:151837630:-1 gene:ENSMUSG00000028036.6 transcript:ENSMUST00000029670.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgfr description:prostaglandin F receptor [Source:MGI Symbol;Acc:MGI:97796] MSMNSSKQPVSPAAGLIANTTCQTENRLSVFFSIIFMTVGILSNSLAIAILMKAYQRFRQ KSKASFLLLASGLVITDFFGHLINGGIAVFVYASDKDWIRFDQSNILCSIFGISMVFSGL CPLFLGSAMAIERCIGVTNPIFHSTKITSKHVKMILSGVCMFAVFVAVLPILGHRDYQIQ ASRTWCFYNTEHIEDWEDRFYLLFFSFLGLLALGVSFSCNAVTGVTLLRVKFRSQQHRQG RSHHLEMIIQLLAIMCVSCVCWSPFLVTMANIAINGNNSPVTCETTLFALRMATWNQILD PWVYILLRKAVLRNLYKLASRCCGVNIISLHIWELSSIKNSLKVAAISESPAAEKESQQA SSEAGL >ENSMUSP00000144472.1 pep:known chromosome:GRCm38:5:149265347:149287727:1 gene:ENSMUSG00000060063.9 transcript:ENSMUST00000200806.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox5ap description:arachidonate 5-lipoxygenase activating protein [Source:MGI Symbol;Acc:MGI:107505] MYLFVRQKYFVGYLGERTQSTPGYIFGKRIILFLFLMSFAGILNHYLIFFFGSDFENYIR TVSTTISPLLL >ENSMUSP00000144115.1 pep:known chromosome:GRCm38:5:149279011:149287750:1 gene:ENSMUSG00000060063.9 transcript:ENSMUST00000200928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox5ap description:arachidonate 5-lipoxygenase activating protein [Source:MGI Symbol;Acc:MGI:107505] MYLFVRQKYFVGYLGERTQSTPGYIFGKRIILFLFLMSFAGILNHYLIFFFGSDFENYIR TVSTTISPLLLIP >ENSMUSP00000071130.3 pep:known chromosome:GRCm38:5:149264767:149288153:1 gene:ENSMUSG00000060063.9 transcript:ENSMUST00000071130.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox5ap description:arachidonate 5-lipoxygenase activating protein [Source:MGI Symbol;Acc:MGI:107505] MDQEAVGNVVLLALVTLISVVQNAFFAHKVEHESKAHNGRSFQRTGTLAFERVYTANQNC VDAYPTFLVVLWTAGLLCSQVPAAFAGLMYLFVRQKYFVGYLGERTQSTPGYIFGKRIIL FLFLMSFAGILNHYLIFFFGSDFENYIRTVSTTISPLLLIP >ENSMUSP00000138665.1 pep:known chromosome:GRCm38:12:83632253:83651371:1 gene:ENSMUSG00000010608.15 transcript:ENSMUST00000182618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm25 description:RNA binding motif protein 25 [Source:MGI Symbol;Acc:MGI:1914289] MDRQRKEGLCQLLWTGAVRMSFPPHLNRPPMGIPALPPGIPPPQFPGFPPPVPPGTPMIP VPMSIMAPAPTVLVPTVSMVGKHLGARKDHPGLKLKENDENCGPTTTVFVGNISEKASDM LIRQLLAKCGLVLSWKRVQGASGKLQAFGFCEYKEPESTLRALRLLHDLQIGEK >ENSMUSP00000138669.1 pep:known chromosome:GRCm38:12:83632257:83646230:1 gene:ENSMUSG00000010608.15 transcript:ENSMUST00000183154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm25 description:RNA binding motif protein 25 [Source:MGI Symbol;Acc:MGI:1914289] MDRQRKEGLCQLLWTGAVRMSFPPHLNRPPMGIPALPPGIPPPQFPGFPPPVPPGTPMIP VPMSIMAPAPTVLVPTVSMVGKHLGARKDHPGLKLKENDENC >ENSMUSP00000138565.1 pep:known chromosome:GRCm38:12:83632291:83659464:1 gene:ENSMUSG00000010608.15 transcript:ENSMUST00000182036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm25 description:RNA binding motif protein 25 [Source:MGI Symbol;Acc:MGI:1914289] MSFPPHLNRPPMGIPALPPGIPPPQFPGFPPPVPPGTPMIPVPMSIMAPAPTVLVPTVSM VGKHLGARKDHPGLKLKENDENCGPTTTVFVGNISEKASDMLIRQLLAKCGLVLSWKRVQ GASGKLQAFGFCEYKEPESTLRALRLLHDLQIGEKKLLVKVDAKTKAQLDEWKAKKKANG NARPETVTNDDEEA >ENSMUSP00000138410.1 pep:known chromosome:GRCm38:12:83632306:83642698:1 gene:ENSMUSG00000010608.15 transcript:ENSMUST00000182347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm25 description:RNA binding motif protein 25 [Source:MGI Symbol;Acc:MGI:1914289] MDRQRKEGLCQLLWTGAVRMSFPPHLNRPPMGIPALP >ENSMUSP00000138625.1 pep:known chromosome:GRCm38:12:83632326:83663999:1 gene:ENSMUSG00000010608.15 transcript:ENSMUST00000182633.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm25 description:RNA binding motif protein 25 [Source:MGI Symbol;Acc:MGI:1914289] MDRQRKEGLCQLLWTGAVRMSFPPHLNRPPMGIPALPPGIPPPQFPGFPPPVPPGTPMIP VPMSIMAPAPTVLVPTVSMVGKHLGARKDHPGLKLKENDENCGPTTTVFVGNISEKASDM LIRQLLALLDFVSIKSLNLPSVLSDYCMTFRLERRSYLLKLMPRQRHSWMNGKQRRKLMG TPDQKLSLMMMKKP >ENSMUSP00000138416.1 pep:known chromosome:GRCm38:12:83642639:83682020:1 gene:ENSMUSG00000010608.15 transcript:ENSMUST00000182450.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm25 description:RNA binding motif protein 25 [Source:MGI Symbol;Acc:MGI:1914289] MSFPPHLNRPPMGIPALPPGIPPPQFPGFPPPVPPGTPMIPVPMSIMAPAPTVLVPTVSM VGKHLGARKDHPGLKLKENDENCGPTTTVFVGNISEKASDMLIRQLLALLDFVSIKSLNL PSVLSDYCMTFRLERRSYLLKLMPRQRHSWMNGKQRRKLMGLG >ENSMUSP00000048470.8 pep:known chromosome:GRCm38:12:83632252:83683123:1 gene:ENSMUSG00000010608.15 transcript:ENSMUST00000048155.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm25 description:RNA binding motif protein 25 [Source:MGI Symbol;Acc:MGI:1914289] MSFPPHLNRPPMGIPALPPGIPPPQFPGFPPPVPPGTPMIPVPMSIMAPAPTVLVPTVSM VGKHLGARKDHPGLKLKENDENCGPTTTVFVGNISEKASDMLIRQLLAKCGLVLSWKRVQ GASGKLQAFGFCEYKEPESTLRALRLLHDLQIGEKKLLVKVDAKTKAQLDEWKAKKKANG NARPETVTNDDEEALDEETKRRDQMIKGAIEVLIREYSSELNAPSQESDSHPRKKKKEKK EDIFRRFPVAPLIPYPLITKEDINAIEMEEDKRDLISREISKFRDTHKKLEEEKGKKEKE RQEIEKERRERERERERERERREREREREREREREKEKERERERERDRDRDRTKERDRDR ERDRDRDRERSSDRNKDRSRSREKSRDRERERERERERERERERERERERERERERERER EKDKKRDREEDEEDAYERRKLERKLREKEAAYQERLKNWEIRERKKTREYEKEAEREEER RREMAKEAKRLKEFLEDYDDDRDDPKYYRGSALQKRLRDREKEMEADERDRKREKEELEE IRQRLLAEGHPDPDAELQRMEQEAERRRQPQIKQEPESEEEEEEKQEKEEKREEPVEEEE EPEQKPCLKPTLRPISSAPSVSSASGNATPNTPGDESPCGIIIPHENSPDQQQPEEHRPK IGLSLKLGASNSPGQPNSVKRKKLPVDSVFNKFEDEDSDDVPRKRKLVPLDYGEDDKNAT KGTVNTEEKRKHIKSLIEKIPTAKPELFAYPLDWSIVDSILMERRIRPWINKKIIEYIGE EEATLVDFVCSKVMAHSSPQSILDDVAMVLDEEAEVFIVKMWRLLIYETEAKKIGLVK >ENSMUSP00000138573.1 pep:known chromosome:GRCm38:12:83631339:83646232:1 gene:ENSMUSG00000010608.15 transcript:ENSMUST00000182004.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm25 description:RNA binding motif protein 25 [Source:MGI Symbol;Acc:MGI:1914289] MSFPPHLNRPPMGIPALPPGIPPPQFPGFPPPVPPGTPMIPVPMSIMAPAPTVLVPTVSM VGKHLGARKDHPGLKLKENDENCG >ENSMUSP00000138572.1 pep:known chromosome:GRCm38:12:83631236:83674417:1 gene:ENSMUSG00000010608.15 transcript:ENSMUST00000181983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm25 description:RNA binding motif protein 25 [Source:MGI Symbol;Acc:MGI:1914289] MSFPPHLNRPPMGIPALPPGIPPPQFPGFPPPVPPGTPMIPVPMSIMAPAPTVLVPTVSM VGKHLGARKDHPGLKLKENDENCGPTTTVFVGNISEKASDMLIRQLLAKCGLVLSWKRVQ GASGKLQAFGFCEYKEPESTLRALRLLHDLQIGEKKLLVKVDAKTKAQLDEWKAKKKANG NARPETVTNDDEEALDEETKRRDQMIKGAIEVLIREYSSELNAPSQESDSHPRKKKKEKK EDIFRRFPVAPLIPYPLITKEDINAIEMEEDKRDLISREISKFRDTHKKLEEEKGKKEKE RQEIEKERRERERERERERERREREREREREREREKEKERERERERDRDRDRTKERDRDR ERDRDRDRERSSDRNKDRSRSREKSRDRERERERERERERERERERERERERERERERER EKDKKRDREEDEEDAYERRKLERKLREKEAAYQERLKNWEIRERKKTREYEKEAEREEER RREMAKEAKRLKEFLEDYDDDRDDPKYYRGSALQKRLRDREKEMEADERDRKREKEELEE IRQRLLAEGHPDPDAELQRMEQEAERRRQPQIKQEPESEEEEEEK >ENSMUSP00000062628.3 pep:known chromosome:GRCm38:4:80910646:80955628:1 gene:ENSMUSG00000048706.3 transcript:ENSMUST00000055922.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lurap1l description:leucine rich adaptor protein 1-like [Source:MGI Symbol;Acc:MGI:106510] MEDSPLPDLRDIELKLGRKVPESLARSLRGEELAPREGAADPSGVGGSCSSSSSCSSFAP SVSSSSSSSPASGSPRRSHPSALERLETKLHILRQEMVNLRATDVRLMRQLLLINESIES IKWMIEEKATITSRGSSLSGSLCSLLESQSTSLRGSYNSLHDGSDGLDGISVGSYLDTLA DDVPGHQTPSDLDQFSDSSIIEDSQALHKHPKLDSEYYCFG >ENSMUSP00000116778.1 pep:known chromosome:GRCm38:2:165012997:165034829:-1 gene:ENSMUSG00000039804.15 transcript:ENSMUST00000153905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa5 description:nuclear receptor coactivator 5 [Source:MGI Symbol;Acc:MGI:2385165] MNTAPSRPSPTRRDPYSFGDSRDTRRDRSPIRGSPRREPRDGRNGRDARDSRDIRDPRDL RDRRDSRD >ENSMUSP00000113166.1 pep:known chromosome:GRCm38:2:165000363:165034788:-1 gene:ENSMUSG00000039804.15 transcript:ENSMUST00000122070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa5 description:nuclear receptor coactivator 5 [Source:MGI Symbol;Acc:MGI:2385165] MNTAPSRPSPTRRDPYSFGDSRDTRRDRSPIRGSPRREPRDGRNGRDARDSRDIRDPRDL RDRRDSRDIRDHRDSRSVREARDLRDFRDFRDLRDSRDFRDHRDPVYDRYRDIRDSRDPL YRREGSYDRYLRVDDYCRRKDDSYFDRYRDSFDGRGPPGPESQSRAKERLKREERRREEL YRRYFEEIQRRFDAERPVDCSVIVVNKQTKDYAESVGRKVRDLGMVVDLIFLNTEVSLSQ ALEDVSRGGSPFAIVITQQHQIHRSCTVNIMFGTPQEHRNMPQADAMVLVARNYERYKND CREKEREEIARQAAKMANDAILQERDRGGPEEGGRGGHPPAIQSLINLLADNRYLTAEET DKIINYLRERKERLLRSSADSLPGELRGRAEARFPASHSGRPRVPR >ENSMUSP00000046388.8 pep:known chromosome:GRCm38:2:165000357:165034867:-1 gene:ENSMUSG00000039804.15 transcript:ENSMUST00000040381.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa5 description:nuclear receptor coactivator 5 [Source:MGI Symbol;Acc:MGI:2385165] MNTAPSRPSPTRRDPYSFGDSRDTRRDRSPIRGSPRREPRDGRNGRDARDSRDIRDPRDL RDRRDSRDIRDHRDSRSVREARDLRDFRDFRDLRDSRDFRDHRDPVYDRYRDIRDSRDPL YRREGSYDRYLRVDDYCRRKDDSYFDRYRDSFDGRGPPGPESQSRAKERLKREERRREEL YRRYFEEIQRRFDAERPVDCSVIVVNKQTKDYAESVGRKVRDLGMVVDLIFLNTEVSLSQ ALEDVSRGGSPFAIVITQQHQIHRSCTVNIMFGTPQEHRNMPQADAMVLVARNYERYKND CREKEREEIARQAAKMANDAILQERDRGGPEEGGRGGHPPAIQSLINLLADNRYLTAEET DKIINYLRERKERLLRSSADSLPGPISRQPLGAASGSSLKSQPSSQPLQSGQVLPSATPT PAAPPTSQQELQAKILSLFNSGAVAANSSSASPSVATGSSQNQNFSTAANSQPQQRPQAS GNQPPNIVGQAGSARNMGPRPGAPSQGLFGQPSSRLAPASTMASQRPVSSTGINFDNPSV QKALDTLIQSGPALSHLVSQTAAQVGRPQAPMGSYQRHY >ENSMUSP00000113872.1 pep:known chromosome:GRCm38:2:165000360:165034817:-1 gene:ENSMUSG00000039804.15 transcript:ENSMUST00000121377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa5 description:nuclear receptor coactivator 5 [Source:MGI Symbol;Acc:MGI:2385165] MPQADAMVLVARNYERYKNDCREKEREEIARQAAKMANDAILQERDRGGPEEGGRGGHPP AIQSLINLLADNRYLTAEETDKIINYLRERKERLLRSSADSLPGPISRQPLGAASGSSLK SQPSSQPLQSGQVLPSATPTPAAPPTSQQELQAKILSLFNSGAVAANSSSASPSVATGSS QNQNFSTAANSQPQQRPQASGNQPPNIVGQAGSARNMGPRPGAPSQGLFGQPSSRLAPAS TMASQRPVSSTGINFDNPSVQKALDTLIQSGPALSHLVSQTAAQVGRPQAPMGSYQRHY >ENSMUSP00000140887.1 pep:known chromosome:GRCm38:9:86581406:86687277:-1 gene:ENSMUSG00000032418.15 transcript:ENSMUST00000185374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Me1 description:malic enzyme 1, NADP(+)-dependent, cytosolic [Source:MGI Symbol;Acc:MGI:97043] MRLICEDLAFTLEERQQLNIHGLLPPCIISQELQVLRIIKNFERLNSDFDRYLLLMDLQD RNEKLFYSVLMSDVEKFMPIVYTPTVGLACQQYSLAFRKPRGLFISIHDKGHIASVLNAW PEDVVKAIVVTDGERILGLGDLGCNGMGIPVGKLALYTACGGVNPQQCLPITLDVGTENE ELLKDPLYIGLRHRRVRGPEYDAFLDEFMEAASSKYGMNCLIQFEDFANRNAFRLLNKYR NKYCTFNDDIQGTASVAVAGLLAALRITKNKLSDQTVLFQGAGEAALGIAHLVVMAMEKE GLSKENARKKIWLVDSKGLIVKGRASLTEEKEVFAHEHEEMKNLEAIVQKIKPTALIGVA AIGGAFTEQILKDMAAFNERPIIFALSNPTSKAECSAEQCYKVTKGRAIFASGSPFDPVT LPDGRTLFPGQGNNSYVFPGVALGVVACGLRHIDDKVFLTTAEVISQQVSDKHLQEGRLY PPLNTIRGVSLKIAVKIVQDAYKEKMATVYPEPQNKEEFVSSQMYSTNYDQILPDCYPWP AEVQKIQTKVNQ >ENSMUSP00000034989.8 pep:known chromosome:GRCm38:9:86581371:86695953:-1 gene:ENSMUSG00000032418.15 transcript:ENSMUST00000034989.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Me1 description:malic enzyme 1, NADP(+)-dependent, cytosolic [Source:MGI Symbol;Acc:MGI:97043] MEPRAPRRRHTHQRGYLLTRDPHLNKDLAFTLEERQQLNIHGLLPPCIISQELQVLRIIK NFERLNSDFDRYLLLMDLQDRNEKLFYSVLMSDVEKFMPIVYTPTVGLACQQYSLAFRKP RGLFISIHDKGHIASVLNAWPEDVVKAIVVTDGERILGLGDLGCNGMGIPVGKLALYTAC GGVNPQQCLPITLDVGTENEELLKDPLYIGLRHRRVRGPEYDAFLDEFMEAASSKYGMNC LIQFEDFANRNAFRLLNKYRNKYCTFNDDIQGTASVAVAGLLAALRITKNKLSDQTVLFQ GAGEAALGIAHLVVMAMEKEGLSKENARKKIWLVDSKGLIVKGRASLTEEKEVFAHEHEE MKNLEAIVQKIKPTALIGVAAIGGAFTEQILKDMAAFNERPIIFALSNPTSKAECSAEQC YKVTKGRAIFASGSPFDPVTLPDGRTLFPGQGNNSYVFPGVALGVVACGLRHIDDKVFLT TAEVISQQVSDKHLQEGRLYPPLNTIRGVSLKIAVKIVQDAYKEKMATVYPEPQNKEEFV SSQMYSTNYDQILPDCYPWPAEVQKIQTKVNQ >ENSMUSP00000020566.6 pep:known chromosome:GRCm38:10:79576477:79587331:-1 gene:ENSMUSG00000020317.14 transcript:ENSMUST00000020566.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Theg description:testicular haploid expressed gene [Source:MGI Symbol;Acc:MGI:1338756] MGELGEHRASLLSNPIPEVKTLGELKQGQNNGNLDLESEPFGSHWLQGSKATTGRTSEEP EEEIPPEEMAGEELPETSNLDGPLQQDLEVEVVEMSHLSITERTPSVSTAKGRKKRSRRL LELAKPKTNWQCLRDRTGRCCKGYAWISPRKTNLQFCLYWPSVYWTERFIEDTTLTITVP VVSQRMEELSRPKRFYQEYYNNNRTTPIWSIPRSTLEYQASNRLKQLATPKVRNNIWSIN MSEVSQVSRAAQMAVPTPRTLRLAKPRPPATLLEEWDPMPKPKPYVSDYNRLLQLATPKA LSEKCVPDRSPQWEVLDVTKNAVASSRIISLAQPKIRKDLNEGYNPYYISPASLVAQASP RIYELATPKYITKKV >ENSMUSP00000076647.6 pep:known chromosome:GRCm38:10:79576379:79587136:-1 gene:ENSMUSG00000020317.14 transcript:ENSMUST00000077433.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Theg description:testicular haploid expressed gene [Source:MGI Symbol;Acc:MGI:1338756] MGELGEHRASLLSNPIPEVKTLGELKQGQNNGNLDLESEPFGSHWLQGSKATTGRTSEEP EEEIPPEEMAGEELPETSNLDGPLQQDLEVEVVEMSHLSITERTPSVSTAKGRKKRSRRL LELAKPKTNWQCLRDRPSVYWTERFIEDTTLTITVPVVSQRMEELSRPKRFYQEYYNNNR TTPIWSIPRSTLEYQASNRLKQLATPKVRNNIWSINMSEVSQVSRAAQMAVPTPRTLRLA KPRPPATLLEEWDPMPKPKPYVSDYNRLLQLATPKALSEKCVPDRSPQWEVLDVTKNAVA SSRIISLAQPKIRKDLNEGYNPYYISPASLVAQASPRIYELATPKYITKKV >ENSMUSP00000057844.6 pep:known chromosome:GRCm38:19:40928927:40994535:-1 gene:ENSMUSG00000061132.13 transcript:ENSMUST00000054769.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blnk description:B cell linker [Source:MGI Symbol;Acc:MGI:96878] MDKLNKITVPASQKLRQLQKMVHDIKNNEGGIMDKIKKLKVKGPPSVPRRDYALDSPADE EEQWSDDFDSDYENPDEHSDSEMYVMPAEETGDDSYEPPPAEQQTRVVHPALPFTRGEYV DNRSSQRHSPPFSKTLPSKPSWPSAKARLASTLPAPNSLQKPQVPPKPKDLLEDEADYVV PVEDNDENYIHPRESSPPPAEKAPMVNRSTKPNSSSKHMSPPGTVAGRNSGVWDSKSSLP AAPSPLPRAGKKPATPLKTTPVPPLPNASNVCEEKPVPAERHRGSSHRQDTVQSPVFPPT QKPVHQKPVPLPRFPEAGSPAADGPFHSFPFNSTFADQEAELLGKPWYAGACDRKSAEEA LHRSNKDGSFLIRKSSGHDSKQPYTLVAFFNKRVYNIPVRFIEATKQYALGKKKNGEEYF GSVVEIVNSHQHNPLVLIDSQNNTKDSTRLKYAVKVS >ENSMUSP00000112473.1 pep:known chromosome:GRCm38:19:40928927:40994148:-1 gene:ENSMUSG00000061132.13 transcript:ENSMUST00000117695.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blnk description:B cell linker [Source:MGI Symbol;Acc:MGI:96878] MDKLNKITVPASQKLRQLQKMVHDIKNNEGGIMDKIKKLKVKGPPSVPRRDYALDSPADE EEQWSDDFDSDYENPDEHSDSEMYVMPAEETGDDSYEPPPAEQQTRVVHPALPFTRGEYV DNRSSQRHSPPFSKTLPSKPSWPSAKARLASTLPAPNSLQKPQVPPKPKDLLEDEADYVV PVEDNDENYIHPRESSPPPAEKAPMVNRSTKPNSSSKHMSPPGTVAGRNSGVWDSKSSLP AAPSPLPRAGKKPATPLKTTPVPPLPNASNVCEEKPVPAERHRGSSHRQDTVQSPVFPPT QKPVHQKPVPLPKAGSPAADGPFHSFPFNSTFADQEAELLGKPWYAGACDRKSAEEALHR SNKDGSFLIRKSSGHDSKQPYTLVAFFNKRVYNIPVRFIEATKQYALGKKKNGEEYFGSV VEIVNSHQHNPLVLIDSQNNTKDSTRLKYAVKVS >ENSMUSP00000066304.2 pep:known chromosome:GRCm38:X:101299210:101325963:1 gene:ENSMUSG00000031302.16 transcript:ENSMUST00000065858.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn3 description:neuroligin 3 [Source:MGI Symbol;Acc:MGI:2444609] MWLQPSLSLSPTPTVGRSLCLTLGFLSLVLRASTQAPAPTVNTHFGKLRGARVPLPSEIL GPVDQYLGVPYAAPPIGEKRFLPPEPPPSWSGIRNATHFPPVCPQNIHTAVPEVMLPVWF TANLDIVATYIQEPNEDCLYLNVYVPTEDGSGAKKQGEDLADNDGDEDEDIRDSGAKPVM VYIHGGSYMEGTGNMIDGSVLASYGNVIVITLNYRVGVLGFLSTGDQAAKGNYGLLDQIQ ALRWVSENIAFFGGDPRRITVFGSGIGASCVSLLTLSHHSEGLFQRAIIQSGSALSSWAV NYQPVKYTSLLADKVGCNVLDTVDMVDCLRQKSAKELVEQDIQPARYHVAFGPVIDGDVI PDDPEILMEQGEFLNYDIMLGVNQGEGLKFVEGVVDPEDGVSGTDFDYSVSNFVDNLYGY PEGKDTLRETIKFMYTDWADRDNPETRRKTLVALFTDHQWVEPSVVTADLHARYGSPTYF YAFYHHCQSLMKPAWSDAAHGDEVPYVFGVPMVGPTDLFPCNFSKNDVMLSAVVMTYWTN FAKTGDPNKPVPQDTKFIHTKANRFEEVAWSKYNPRDQLYLHIGLKPRVRDHYRATKVAF WKHLVPHLYNLHDMFHYTSTTTKVPPPDTTHSSHITRRPNGKTWSTKRPAISPAYSNENA PGSWNGDQDAGPLLVENPRDYSTELSVTIAVGASLLFLNVLAFAALYYRKDKRRQEPLRQ PSPQRGTGAPELGTAPEEELAALQLGPTHHECEAGPPHDTLRLTALPDYTLTLRRSPDDI PLMTPNTITMIPNSLVGLQTLHPYNTFAAGFNSTGLPHSHSTTRV >ENSMUSP00000123283.1 pep:known chromosome:GRCm38:X:101299194:101325963:1 gene:ENSMUSG00000031302.16 transcript:ENSMUST00000151528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn3 description:neuroligin 3 [Source:MGI Symbol;Acc:MGI:2444609] MWLQPSLSLSPTPTVGRSLCLTLGFLSLVLRASTQAPAPTVNTHFGKLRGARVPLPSEIL GPVDQYLGVPYAAPPIGEKRFLPPEPPPSWSGIRNATHFPPVCPQNIHTAVPEVMLPVWF TANLDIVATYIQEPNEDCLYLNVYVPTEDVKRISKECARKPNKKICRKGGSGAKKQGEDL ADNDGDEDEDIRDSGAKPVMVYIHGGSYMEGTGNMIDGSVLASYGNVIVITLNYRVGVLG FLSTGDQAAKGNYGLLDQIQALRWVSENIAFFGGDPRRITVFGSGIGASCVSLLTLSHHS EGLFQRAIIQSGSALSSWAVNYQPVKYTSLLADKVGCNVLDTVDMVDCLRQKSAKELVEQ DIQPARYHVAFGPVIDGDVIPDDPEILMEQGEFLNYDIMLGVNQGEGLKFVEGVVDPEDG VSGTDFDYSVSNFVDNLYGYPEGKDTLRETIKFMYTDWADRDNPETRRKTLVALFTDHQW VEPSVVTADLHARYGSPTYFYAFYHHCQSLMKPAWSDAAHGDEVPYVFGVPMVGPTDLFP CNFSKNDVMLSAVVMTYWTNFAKTGDPNKPVPQDTKFIHTKANRFEEVAWSKYNPRDQLY LHIGLKPRVRDHYRATKVAFWKHLVPHLYNLHDMFHYTSTTTKVPPPDTTHSSHITRRPN GKTWSTKRPAISPAYSNENAPGSWNGDQDAGPLLVENPRDYSTELSVTIAVGASLLFLNV LAFAALYYRKDKRRQEPLRQPSPQRGTGAPELGTAPEEELAALQLGPTHHECEAGPPHDT LRLTALPDYTLTLRRSPDDIPLMTPNTITMIPNSLVGLQTLHPYNTFAAGFNSTGLPHSH STTRV >ENSMUSP00000122213.1 pep:known chromosome:GRCm38:X:101299175:101318675:1 gene:ENSMUSG00000031302.16 transcript:ENSMUST00000130555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn3 description:neuroligin 3 [Source:MGI Symbol;Acc:MGI:2444609] MWLQPSLSLSPTPTVGRSLCLTLGFLSLVLRASTQAPAPTVNTHFGKLRGARVPLPSEIL GPVDQYLGVPYAAPPIGEKRFLPPEPPPSWSGIRNATHFPPVCPQNIHTAVPEVMLPVWF TANLDIVATYIQEPNEDCLYLNVYVPTEDDIRDSGAKPVMVYIHGGSYMEGTGNMIDGSV LASYGNVIVITLNYRVGVLGFLSTGDQAAKGNYGLLDQIQALRWVSENIAFFGGDPRRIT VFGSGIGASCVSLLTLSHHSEGLFQRAIIQSGSALSSWAVNYQPVKYTSLLADKVGCNVL DTVDMVDCLRQKSAKELVEQDIQPARYHVAFGPVIDGDVIPDDPEILMEQGEFLNYDIML GVNQGEGLKFVEGVVDPEDGVSGTDFDYSVSNFVDNLYGYPEGKDTLRETIKFMYTDWAD RDNPETRRKTLVALFTDHQWVEPSVVTADLHARYGSPTYFYAFYHHCQSLMKPAWSDAAH GDEVPYVFGVPMVGPTDLFPCNFSKNDVML >ENSMUSP00000113863.1 pep:known chromosome:GRCm38:X:101299168:101325963:1 gene:ENSMUSG00000031302.16 transcript:ENSMUST00000118111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn3 description:neuroligin 3 [Source:MGI Symbol;Acc:MGI:2444609] MLPVWFTANLDIVATYIQEPNEDCLYLNVYVPTEDGSGAKKQGEDLADNDGDEDEDIRDS GAKPVMVYIHGGSYMEGTGNMIDGSVLASYGNVIVITLNYRVGVLGFLSTGDQAAKGNYG LLDQIQALRWVSENIAFFGGDPRRITVFGSGIGASCVSLLTLSHHSEGLFQRAIIQSGSA LSSWAVNYQPVKYTSLLADKVGCNVLDTVDMVDCLRQKSAKELVEQDIQPARYHVAFGPV IDGDVIPDDPEILMEQGEFLNYDIMLGVNQGEGLKFVEGVVDPEDGVSGTDFDYSVSNFV DNLYGYPEGKDTLRETIKFMYTDWADRDNPETRRKTLVALFTDHQWVEPSVVTADLHARY GSPTYFYAFYHHCQSLMKPAWSDAAHGDEVPYVFGVPMVGPTDLFPCNFSKNDVMLSAVV MTYWTNFAKTGDPNKPVPQDTKFIHTKANRFEEVAWSKYNPRDQLYLHIGLKPRVRDHYR ATKVAFWKHLVPHLYNLHDMFHYTSTTTKVPPPDTTHSSHITRRPNGKTWSTKRPAISPA YSNENAPGSWNGDQDAGPLLVENPRDYSTELSVTIAVGASLLFLNVLAFAALYYRKDKRR QEPLRQPSPQRGTGAPELGTAPEEELAALQLGPTHHECEAGPPHDTLRLTALPDYTLTLR RSPDDIPLMTPNTITMIPNSLVGLQTLHPYNTFAAGFNSTGLPHSHSTTRV >ENSMUSP00000119568.1 pep:known chromosome:GRCm38:11:106568483:106580600:-1 gene:ENSMUSG00000040548.16 transcript:ENSMUST00000153870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex2 description:testis expressed gene 2 [Source:MGI Symbol;Acc:MGI:102465] MTSLNGRHAEKTIDMPKPSAPKVHVQRSVSRDTIAIHFSA >ENSMUSP00000120781.1 pep:known chromosome:GRCm38:11:106568098:106613423:-1 gene:ENSMUSG00000040548.16 transcript:ENSMUST00000128933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex2 description:testis expressed gene 2 [Source:MGI Symbol;Acc:MGI:102465] MTSLNGRHAEKTIDMPKPSAPKVHVQRSVSRDTIAIHFSASGEEEEEEEEEFRGYLEEGL DDQSIVTGLEAKEDLYLESQGGHDPAGPVSTAPADGLSVSESPAILPVSENTVKLLESPA PALQVLSPVPLALSPGSSSSGPLASSPSVSSLSEQKTSSSSPLSSPSK >ENSMUSP00000099359.2 pep:known chromosome:GRCm38:11:106544058:106579113:-1 gene:ENSMUSG00000040548.16 transcript:ENSMUST00000103070.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex2 description:testis expressed gene 2 [Source:MGI Symbol;Acc:MGI:102465] MTSLNGRHAEKTIDMPKPSAPKVHVQRSVSRDTIAIHFSASGEEEEEEEEEFRGYLEEGL DDQSIVTGLEAKEDLYLESQGGHDPAGPVSTAPADGLSVSESPAILPVSENTVKLLESPA PALQVLSPVPLALSPGSSSSGPLASSPSVSSLSEQKTSSSSPLSSPSKSPVLSSSASSSA LSSAKPFMSLVKSLSTEVEPKESPHPPRHRHLMKTLVKSLSTDTSRQESDTVSYKPPDSK LNLHLFKQFTQPRNTGGDSKTAPSSPLTSPSDTRSFFKVPEMEAKIEDTKRRLSEVIYEP FQLLSKIIGEESGSHRPKALSASASELSSLSGLNGHLESNNYSIKEEEGDSEGEGYGSDS NTSRSDHLKPTEDASKEVEPKGSQASSLKDLGLKTSSLVLEKCSLSALVSKEDEEFCELY TEDFELETEGEGRLDKTLDLPLKPEVLASDGVALESEDEEDSATEHQELPVKTLGFFIMC VYAYLILPLPYYMSGLFLGVGLGFMTAVCMIWFFTPPSAHKHHKSLKALRHQSTRSLDIK EPEILKGWMNEIYNYDPETYHATLTHSVFVRLEGGTLRLSKPNKNISRRASYNETKPEVT YISQKIYDLSDSKIYLVPKSLARKRIWNKKYPICIELGRQDDFMSKAQSDKEATEEKPPP EKELPSEDLKKPPQPQEGTKSSQRDPILYLFGRTGREKEEWFRRFILASRLKSELRKPAG VSGSKSGLLPAHSRHSSPSGHLSHSRSSSKGSVEEMMSQPKQKELVGSVRQKMLLDYSVY MGRCVPQDNRSPHRSPVQSAESSPTASKKVKLPAWGLSVLTFTFMCEGCMQALPVSGYKD MAMS >ENSMUSP00000146465.1 pep:known chromosome:GRCm38:11:106502393:106567110:-1 gene:ENSMUSG00000040548.16 transcript:ENSMUST00000207249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex2 description:testis expressed gene 2 [Source:MGI Symbol;Acc:MGI:102465] XGVGLGFMTAVCMIWFFTPPSAHKHHKSLKALRHQSTRSLDIKEPEILKGWMNEIYNYDP ETYHATLTHSVFVRLEGGTLRLSKPNKNISRRASYNETKPEVTYISQKIYDLSDSKIYLV PKSLARKRIWNKKYPICIELGRQDDFMSKAQSDKEATEEKPPPEKELPSEDLKKPPQPQE GTKSSQRDPILYLFGRTGREKEEWFRRFILASRLKSELRKPAGVSGSKSGLLPAHSRHSS PSGHLSHSRSSSKGSVEEMMSQPKQKELVGSVRQKMLLDYSVYMGRCVPQDNRSPHRSPV QSAESSPTASKKLPEAPPSEEEEQEAWVNALLGRIFWDFLGEKYWSDVVSKKIQMKLSKI KLPYFMNELTLTELDMGVAVPKILQAFKPYVDHQGLWIDLEMSYNGSFLMTLETKMNLTK LGKEPLVEALKVGEIGKEGPRAYCLADSDEESSSAGSSEEDDPPEPTAGDKQPLPGAEGY VGGHRTSKIMRFVDKITKSKYFQKATETEFIKKKIEEVSNTPLLLTVEVQECRGTLAVNI PPPPTDRIWYGFRKPPYVELKARPKLGEREVTLVHVTEWIEKKLEQELQKVFVMPNMDDV YIPIMHSAMDPRSTSCLLKEPPVETSDQL >ENSMUSP00000041985.7 pep:known chromosome:GRCm38:11:106502147:106612930:-1 gene:ENSMUSG00000040548.16 transcript:ENSMUST00000042780.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex2 description:testis expressed gene 2 [Source:MGI Symbol;Acc:MGI:102465] MTSLNGRHAEKTIDMPKPSAPKVHVQRSVSRDTIAIHFSASGEEEEEEEEEFRGYLEEGL DDQSIVTGLEAKEDLYLESQGGHDPAGPVSTAPADGLSVSESPAILPVSENTVKLLESPA PALQVLSPVPLALSPGSSSSGPLASSPSVSSLSEQKTSSSSPLSSPSKSPVLSSSASSSA LSSAKPFMSLVKSLSTEVEPKESPHPPRHRHLMKTLVKSLSTDTSRQESDTVSYKPPDSK LNLHLFKQFTQPRNTGGDSKTAPSSPLTSPSDTRSFFKVPEMEAKIEDTKRRLSEVIYEP FQLLSKIIGEESGSHRPKALSASASELSSLSGLNGHLESNNYSIKEEEGDSEGEGYGSDS NTSRSDHLKPTEDASKEVEPKGSQASSLKDLGLKTSSLVLEKCSLSALVSKEDEEFCELY TEDFELETEGEGRLDKTLDLPLKPEVLASDGVALESEDEEDSATEHQELPVKTLGFFIMC VYAYLILPLPYYMSGLFLGVGLGFMTAVCMIWFFTPPSAHKHHKSLKALRHQSTRSLDIK EPEILKGWMNEIYNYDPETYHATLTHSVFVRLEGGTLRLSKPNKNISRRASYNETKPEVT YISQKIYDLSDSKIYLVPKSLARKRIWNKKYPICIELGRQDDFMSKAQSDKEATEEKPPP EKELPSEDLKKPPQPQEGTKSSQRDPILYLFGRTGREKEEWFRRFILASRLKSELRKPAG VSGSKSGLLPAHSRHSSPSGHLSHSRSSSKGSVEEMMSQPKQKELVGSVRQKMLLDYSVY MGRCVPQDNRSPHRSPVQSAESSPTASKKLPEAPPSEEEEQEAWVNALLGRIFWDFLGEK YWSDVVSKKIQMKLSKIKLPYFMNELTLTELDMGVAVPKILQAFKPYVDHQGLWIDLEMS YNGSFLMTLETKMNLTKLGKEPLVEALKVGEIGKEGCRPRAYCLADSDEESSSAGSSEED DPPEPTAGDKQPLPGAEGYVGGHRTSKIMRFVDKITKSKYFQKATETEFIKKKIEEVSNT PLLLTVEVQECRGTLAVNIPPPPTDRIWYGFRKPPYVELKARPKLGEREVTLVHVTEWIE KKLEQELQKVFVMPNMDDVYIPIMHSAMDPRSTSCLLKEPPVETSDQL >ENSMUSP00000098040.3 pep:known chromosome:GRCm38:4:133965020:133967893:-1 gene:ENSMUSG00000003038.15 transcript:ENSMUST00000100472.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn2 description:high mobility group nucleosomal binding domain 2 [Source:MGI Symbol;Acc:MGI:96136] MPKRKAEGDAKGDKTKVKDEPQRRSARLSAVSKPAPPKPEPKPKKAPAKKGEKVPKGKKG KADAGKDANNPAENGDAKTDQAQKAEGAGDAK >ENSMUSP00000099612.1 pep:known chromosome:GRCm38:4:133965413:133968650:-1 gene:ENSMUSG00000003038.15 transcript:ENSMUST00000102552.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn2 description:high mobility group nucleosomal binding domain 2 [Source:MGI Symbol;Acc:MGI:96136] MPKRKAEGDAKGDKTKVKDEPQRRSARLSAKPAPPKPEPKPKKAPAKKGEKVPKGKKGKA DAGKDANNPAENGDAKTDQAQKAEGAGDAK >ENSMUSP00000120795.1 pep:known chromosome:GRCm38:4:133965444:133967243:-1 gene:ENSMUSG00000003038.15 transcript:ENSMUST00000123234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn2 description:high mobility group nucleosomal binding domain 2 [Source:MGI Symbol;Acc:MGI:96136] XGAGGSERLWGQAPASPALCGQPARSSAEGDAKGDKTKVKDEPQRRSARLSAKPAPPKPE PKPKKAPAKKGEKVPKGKKGKADAGKDANNPAENGDAKTDQAQKAEGAGDAK >ENSMUSP00000114704.1 pep:known chromosome:GRCm38:4:133965501:133967894:-1 gene:ENSMUSG00000003038.15 transcript:ENSMUST00000136327.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn2 description:high mobility group nucleosomal binding domain 2 [Source:MGI Symbol;Acc:MGI:96136] MPKRKAEGDAKGDKTKVKDEPQRRSARLSAKPAPPKPEPKPKKAPAKKGEKVPKGKKGKA DAGKDANNPAENGDAKTDQVEWLFVSCRVYLHLVIAKLLVTCYICVTLH >ENSMUSP00000101513.1 pep:known chromosome:GRCm38:4:133964990:133967441:-1 gene:ENSMUSG00000003038.15 transcript:ENSMUST00000105893.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn2 description:high mobility group nucleosomal binding domain 2 [Source:MGI Symbol;Acc:MGI:96136] MATAPGAASGEAEGDAKGDKTKVKDEPQRRSARLSAKPAPPKPEPKPKKAPAKKGEKVPK GKKGKADAGKDANNPAENGDAKTDQAQKAEGAGDAK >ENSMUSP00000099613.4 pep:known chromosome:GRCm38:4:133964738:133968001:-1 gene:ENSMUSG00000003038.15 transcript:ENSMUST00000102553.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn2 description:high mobility group nucleosomal binding domain 2 [Source:MGI Symbol;Acc:MGI:96136] MPKRKAEGDAKGDKTKVKDEPQRRSARLSAKPAPPKPEPKPKKAPAKKGEKVPKGKKGKA DAGKDANNPAENGDAKTDQAQKAEGAGDAK >ENSMUSP00000108585.3 pep:known chromosome:GRCm38:2:36081953:36104060:-1 gene:ENSMUSG00000026890.19 transcript:ENSMUST00000112961.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx6 description:LIM homeobox protein 6 [Source:MGI Symbol;Acc:MGI:1306803] MAQPGSGCKATTRCLEGTAPPAMAQSDAEALAGALDKDEGRASPCTPSTPSVCSPPSAAS SVPSAGKNICSSCGLEILDRYLLKVNNLIWHVRCLECSVCRTSLRQQNSCYIKNKEIYCK MDYFSRFGTKCARCGRQIYASDWVRRARGNAYHLACFACFSCKRQLSTGEEFGLVEEKVL CRIHYDTMIENLKRAAENGNGLTLEGAVPSEQDSQPKPAKRARTSFTAEQLQVMQAQFAQ DNNPDAQTLQKLADMTGLSRRVIQVWFQNCRARHKKHTPQHPVPPSGAPPTRLPSALSDD IHYSPFSSPERARMVTLHGYIESQVQCGQVHCRLPYTAPPVHLKADLDGPLSSRGEKVIL FQY >ENSMUSP00000108590.3 pep:known chromosome:GRCm38:2:36081953:36104060:-1 gene:ENSMUSG00000026890.19 transcript:ENSMUST00000112966.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx6 description:LIM homeobox protein 6 [Source:MGI Symbol;Acc:MGI:1306803] MAQPGSGCKATTRCLEGTAPPAMAQSDAEALAGALDKDEGRASPCTPSTPSVCSPPSAAS SVPSAGKNICSSCGLEILDRYLLKVNNLIWHVRCLECSVCRTSLRQQNSCYIKNKEIYCK MDYFSRFGTKCARCGRQIYASDWVRRARGNAYHLACFACFSCKRQLSTGEEFGLVEEKVL CRIHYDTMIENLKRAAENGNGLTLEGAVPSEQDSQPKPAKRARTSFTAEQLQVMQAQFAQ DNNPDAQTLQKLADMTGLSRRVIQVWFQNCRARHKKHTPQHPVPPSGAPPTRLPSALSDD IHYSPFSSPERARMVTLHGYIESHPFSVLTLPALAHLSMGTTQLPLSR >ENSMUSP00000108584.1 pep:known chromosome:GRCm38:2:36081953:36105271:-1 gene:ENSMUSG00000026890.19 transcript:ENSMUST00000112960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx6 description:LIM homeobox protein 6 [Source:MGI Symbol;Acc:MGI:1306803] MYWKHESAAPALPEGCRLPAEGGPTTDQVMAQPGSGCKATTRCLEGTAPPAMAQSDAEAL AGALDKDEGRASPCTPSTPSVCSPPSAASSVPSAGKNICSSCGLEILDRYLLKVNNLIWH VRCLECSVCRTSLRQQNSCYIKNKEIYCKMDYFSRFGTKCARCGRQIYASDWVRRARGNA YHLACFACFSCKRQLSTGEEFGLVEEKVLCRIHYDTMIENLKRAAENGNGLTLEGAVPSE QDSQPKPAKRARTSFTAEQLQVMQAQFAQDNNPDAQTLQKLADMTGLSRRVIQVWFQNCR ARHKKHTPQHPVPPSGAPPTRLPSALSDDIHYSPFSSPERARMVTLHGYIESQVQCGQVH CRLPYTAPPVHLKADLDGPLSSRGEKVILFQY >ENSMUSP00000108591.4 pep:known chromosome:GRCm38:2:36081953:36105408:-1 gene:ENSMUSG00000026890.19 transcript:ENSMUST00000112967.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx6 description:LIM homeobox protein 6 [Source:MGI Symbol;Acc:MGI:1306803] MYWKHESAAPALPEGCRLPAEGGPTTDQVMAQPGSGCKATTRCLEGTAPPAMAQSDAEAL AGALDKDEGRASPCTPSTPSVCSPPSAASSVPSAGKNICSSCGLEILDRYLLKVNNLIWH VRCLECSVCRTSLRQQNSCYIKNKEIYCKMDYFSRFGTKCARCGRQIYASDWVRRARGNA YHLACFACFSCKRQLSTGEEFGLVEEKVLCRIHYDTMIENLKRAAENGNGLTLEGAVPSE QDSQPKPAKRARTSFTAEQLQVMQAQFAQDNNPDAQTLQKLADMTGLSRRVIQVWFQNCR ARHKKHTPQHPVPPSGAPPTRLPSALSDDIHYSPFSSPERARMVTLHGYIESHPFSVLTL PALAHLSMGTTQLPLSR >ENSMUSP00000135776.2 pep:known chromosome:GRCm38:2:36083684:36094273:-1 gene:ENSMUSG00000026890.19 transcript:ENSMUST00000136821.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx6 description:LIM homeobox protein 6 [Source:MGI Symbol;Acc:MGI:1306803] VLCRIHYDTMIENLKRAAENGNGLTLEGAVPSEQDSQPKPAKRARTSFTAEQLQVMQAQF AQDNNPDAQTLQKLADMTGLSRRVIQVWFQNCRARHKKHTPQHPVPPSGAPPTRLPSALS DDIHYSPFSSPERARMVTLHGYIESHPFSVLTLPALAHLSMGTTQLPLSR >ENSMUSP00000135693.3 pep:known chromosome:GRCm38:2:36083687:36104891:-1 gene:ENSMUSG00000026890.19 transcript:ENSMUST00000148852.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx6 description:LIM homeobox protein 6 [Source:MGI Symbol;Acc:MGI:1306803] MAQPGSGCKATTRCLEGTAPPAMAQSDAEALAGALDKDEGRASPCTPSTPSVCSPPSAAS SVPSAGKNICSSCGLEILDRYLLKVNNLIWHVRCLECSVCRTSLRQQNSCYIKNKEIYCK MDYFSRFGTKCARCGRQIYASDWVRRARGNAYHLACFACFSCKRQLSTGEEFGLVEEKVL CRIHYDTMIENLKRAAENGNGLTLEGAVPSEQDSQPKPAKRARTSFTAEQLQVMQAQFAQ DNNPDAQTLQKLADMTGLSRRVIQVWFQNCRARHKKHTPQHPVPPSGAPPTRLPSALSDD IHYSPFSSPERARMVTLHGYIESHPFSVLTLPALAHLSMGTTQLPLSR >ENSMUSP00000108587.1 pep:known chromosome:GRCm38:2:36081954:36105408:-1 gene:ENSMUSG00000026890.19 transcript:ENSMUST00000112963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx6 description:LIM homeobox protein 6 [Source:MGI Symbol;Acc:MGI:1306803] MAQPGSGCKATTRCLEGTAPPAMAQSDAEALAGALDKDEGRASPCTPSTPSVCSPPSAAS SVPSAGKNICSSCGLEILDRYLLKVNNLIWHVRCLECSVCRTSLRQQNSCYIKNKEIYCK MDYFSRFGTKCARCGRQIYASDWVRRARGNAYHLACFACFSCKRQLSTGEEFGLVEEKVL CRIHYDTMIENLKRAAENGNGLTLEGAVPSEQDSQPKPAKRARTSFTAEQLQVMQAQFAQ DNNPDAQTLQKLADMTGLSRRVIQVWFQNCRARHKKHTPQHPVPPSGAPPTRLPSALSDD IHYSPFSSPERARMVTLHGYIESQVQCGQVHCRLPYTAPPVHLKADLDGPLSSRGEKVIL FQY >ENSMUSP00000031124.4 pep:known chromosome:GRCm38:5:72581638:72587551:-1 gene:ENSMUSG00000060204.5 transcript:ENSMUST00000031124.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5868 description:predicted gene 5868 [Source:MGI Symbol;Acc:MGI:3645333] MPLCLWIYSHAWINTPLVQLLPLGAVTLTLCSTLIPIGLGVFIRYKYNRVADYIVKVSLW SLLVTLVVLFIMTGTMLGPELLASIPTTVYVVAIFMPLAGYASGYGLATLFHLPPNCKRT VCLETGSQNVQLCTAILKLPASLYR >ENSMUSP00000115503.1 pep:known chromosome:GRCm38:11:59784703:59787642:-1 gene:ENSMUSG00000043648.7 transcript:ENSMUST00000125307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld6 description:phospholipase D family, member 6 [Source:MGI Symbol;Acc:MGI:2687283] MGRSSWRLVFAAGAGLALALEALPWLMRWLLAGRRPRREVLFFPSQVTCTEALLQAPGLP PGPSGCPCSLPHSESSLSRLLRALLAARSSLELCLFAFSSPQLGRAVQLLHQRGVRVRVI TDCDYMALNGSQIGLLRKAGIQVRHDQDLGYMHHKFAIVDKKVLITGSLNWTTQAIQNNR ENVLIMEDTEYVRLFLEEFERIWEEFDPTKYSFFPQKHRGH >ENSMUSP00000141051.1 pep:known chromosome:GRCm38:2:93955810:93957049:-1 gene:ENSMUSG00000087006.3 transcript:ENSMUST00000148314.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13889 description:predicted gene 13889 [Source:MGI Symbol;Acc:MGI:3652053] MAAAKPGELMGICSSYQAVMPHFVCLTDEFPQPVRPAKLPKGKGRLRRPRQSRFKTQPVT FDEIQEVEEEGVSPMEEEKAKKSFLQSLECLRRSTQSLSLQREPLGSCKLRNSLDSSDSD SAL >ENSMUSP00000140709.1 pep:known chromosome:GRCm38:2:93955816:93957201:-1 gene:ENSMUSG00000087006.3 transcript:ENSMUST00000099689.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13889 description:predicted gene 13889 [Source:MGI Symbol;Acc:MGI:3652053] MAFAVIRACSRVGRGGLYKRLGGPPRGTRRQRQRPRQGRQGASRSIAEQRSAAPRPPTGP PARYPSPAASARASEARRHPAADLDPPPGEPQAVASRGTPEPRPPPESPGAPPPPGSAPA DGAMAAAKPGELMGICSSYQAVMPHFVCLTDEFPQPVRPAKLPKGKGRLRRPRQSRFKTQ PVTFDEIQEVEEEGVSPMEEEKAKKSFLQSLECLRRSTQSLSLQREPLGSCKLRNSLDSS DSDSAL >ENSMUSP00000020150.3 pep:known chromosome:GRCm38:10:91082940:91102607:1 gene:ENSMUSG00000019975.11 transcript:ENSMUST00000020150.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbip description:IKBKB interacting protein [Source:MGI Symbol;Acc:MGI:1914704] MSEVKSRKKPGPKVAAPEPEKRSDGRKNPEARGDAGWADPRTGLSLLSLAMTLGLAWLVF QQSEKFAKVEKQYRLLQTESSEFQGLQSKISLISSKYQECEALVEQLKAFQIAAHLKLLQ EEIHEMKTWSNRITERQGTLNNTLTRLSEDIIKVDQGTASMAKDMGLKITSVKTDVRRIS GLVTEVESLTDAVQALGNKVKKVETATVENIGDLLSSSIDRTSALRKTASENAKRIDSVA QRLAELQGDFDEHTDRFLSLESDRAKVLKAVSFANDLKPKVSNLKKDFSRLEPLVDDLTL RIGRLGSDLMQREKEIAFLKEKISNLTVVQAAIKDIKDEITHISD >ENSMUSP00000020149.5 pep:known chromosome:GRCm38:10:91083057:91098657:1 gene:ENSMUSG00000019975.11 transcript:ENSMUST00000020149.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbip description:IKBKB interacting protein [Source:MGI Symbol;Acc:MGI:1914704] MSEVKSRKKPGPKVAAPEPEKRSDGRKNPEARGDAGWADPRTGLSLLSLAMTLGLAWLVF QQSEKFAKVEKQYRLLQTESSEFQGLQSKISLISSKLESTENTLQEATSSISLMTQFEQE VSGLQRSIRDIETSEEMLTQKMQNLNEKFQNITDFWKRTLAEMIDDTAVFKSEVKDTHSE VTLKINSADQEIKSLTERLKDLEDSTLRNIRTVSRQEEEDLLRVEAQLSSDTKAVKKLEE EQHTLLARDEDLTNKLSSYEPKVEECKAHLPTIENAVHSVLRVSQDLIGTERKMEELTMQ MFNMEDDMLRAVSEIMEMQKTLEGIQYDNSLLKMQNELVVLKGKVHDFIAYSSAREKGTL GEYSLGNKGTDEY >ENSMUSP00000138281.1 pep:known chromosome:GRCm38:2:93956817:93996354:-1 gene:ENSMUSG00000098004.1 transcript:ENSMUST00000183110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm27027 description:predicted gene, 27027 [Source:MGI Symbol;Acc:MGI:5504142] XENTSHTFNSLLCNFYRDEKDSVDWHSDDEPSLGSCPVIASLSFGATRTFEMRKKPPPRS STGSWAPWLWRLAGEPATALWPVCLESLQPCGQRRAI >ENSMUSP00000146828.1 pep:known chromosome:GRCm38:17:38329853:38336097:1 gene:ENSMUSG00000096840.2 transcript:ENSMUST00000208539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr136 description:olfactory receptor 136 [Source:MGI Symbol;Acc:MGI:2177519] MAVTNESHPKEFILLGFANHPWLELPLFVTLLITYPMALMGNIAIILVSTLDPRLHSPMY FFLTNLSFLDMCYTTSIVPQMLFNLGSSRKTITYIGCVVQLYVFHIMGGTECLLLAIMSF DRYVAICKPLHYTLIMNQRVCILLVSIMWLTGVIFAFSEATLTLQLPLCGIHKLDHLLCE IPVLIKTACGEKESNELALSVVCIFILAVPLCLILASYVNIGCAVLRIKSSEGRKKAFGT CSSHLVVVSLFYGPAISMYLQPSSSITRDQPKFMALFYAVITPTLNPFIYTLRNKDVKGA LKKLLRSIFSSK >ENSMUSP00000147166.1 pep:known chromosome:GRCm38:17:38334943:38335309:1 gene:ENSMUSG00000096840.2 transcript:ENSMUST00000208525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr136 description:olfactory receptor 136 [Source:MGI Symbol;Acc:MGI:2177519] MAVTNESHPKEFILLGFANHPWLELPLFVTLLITYPMALMGNIAIILVST >ENSMUSP00000076443.2 pep:known chromosome:GRCm38:17:38335159:38336097:1 gene:ENSMUSG00000096840.2 transcript:ENSMUST00000077203.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr136 description:olfactory receptor 136 [Source:MGI Symbol;Acc:MGI:2177519] MAVTNESHPKEFILLGFANHPWLELPLFVTLLITYPMALMGNIAIILVSTLDPRLHSPMY FFLTNLSFLDMCYTTSIVPQMLFNLGSSRKTITYIGCVVQLYVFHIMGGTECLLLAIMSF DRYVAICKPLHYTLIMNQRVCILLVSIMWLTGVIFAFSEATLTLQLPLCGIHKLDHLLCE IPVLIKTACGEKESNELALSVVCIFILAVPLCLILASYVNIGCAVLRIKSSEGRKKAFGT CSSHLVVVSLFYGPAISMYLQPSSSITRDQPKFMALFYAVITPTLNPFIYTLRNKDVKGA LKKLLRSIFSSK >ENSMUSP00000140326.1 pep:known chromosome:GRCm38:Y:68537955:68540290:1 gene:ENSMUSG00000095634.2 transcript:ENSMUST00000187846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20816 description:predicted gene, 20816 [Source:MGI Symbol;Acc:MGI:5434172] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNHHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000137306.1 pep:known chromosome:GRCm38:Y:68539472:68540155:1 gene:ENSMUSG00000095634.2 transcript:ENSMUST00000177765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20816 description:predicted gene, 20816 [Source:MGI Symbol;Acc:MGI:5434172] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNHHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000106871.1 pep:known chromosome:GRCm38:2:93980550:94010807:-1 gene:ENSMUSG00000040174.14 transcript:ENSMUST00000111240.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh3 description:alkB homolog 3, alpha-ketoglutarate-dependent dioxygenase [Source:MGI Symbol;Acc:MGI:1916363] MEDKRQRARVQGGWATPTKSQSATQPGKNLQRLRLSQTAGPAWRSKEQQQCDRQFVFKEP QLVVRAAPEPRVIDREGVYEISLSPTGVSRVCLYPGFVDLKEADWILEQLCKDVPWKQRM GIREDVTYPQPRLTAWYGELPYTYSRITMEPNPHWLPVLWTLKSRIEENTSHTFNSLLCN FYRDEKDSVDWHSDDEPSLGSCPVIASLSFGATRTFEMRKKPPPEENGDYTYVERVKIPL DHGTLLIMEGATQADWQHRVPKEYHSRQPRVNLTFRTVYPDPRGAPR >ENSMUSP00000122043.1 pep:known chromosome:GRCm38:2:93996247:94010754:-1 gene:ENSMUSG00000040174.14 transcript:ENSMUST00000126378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh3 description:alkB homolog 3, alpha-ketoglutarate-dependent dioxygenase [Source:MGI Symbol;Acc:MGI:1916363] MEDKRQRARVQGGWATPTKSQSATQPGKNLQRLRLSQTAGPAWRSKEQQQCDRQFVFKEP QLVVRAAPEPRVIDREGVYEISLSPTGVSRVCLYPGFVDLKEADWILEQLCKDVPWKQRM GIREDVTYPQPRLTAWYGELPYTYSRITMEPNPHWLPVLWTLKSRIEENTSHTFNSLLCN FYRDEKDSVDWHSDDEPSLGSCP >ENSMUSP00000038721.6 pep:known chromosome:GRCm38:2:93980637:94010730:-1 gene:ENSMUSG00000040174.14 transcript:ENSMUST00000040005.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh3 description:alkB homolog 3, alpha-ketoglutarate-dependent dioxygenase [Source:MGI Symbol;Acc:MGI:1916363] MEDKRQRARVQGGWATPTKSQSATQPASPARSRLSQTAGPAWRSKEQQQCDRQFVFKEPQ LVVRAAPEPRVIDREGVYEISLSPTGVSRVCLYPGFVDLKEADWILEQLCKDVPWKQRMG IREDVTYPQPRLTAWYGELPYTYSRITMEPNPHWLPVLWTLKSRIEENTSHTFNSLLCNF YRDEKDSVDWHSDDEPSLGSCPVIASLSFGATRTFEMRKKPPPEENGDYTYVERVKIPLD HGTLLIMEGATQADWQHRVPKEYHSRQPRVNLTFRTVYPDPRGAPR >ENSMUSP00000116498.1 pep:known chromosome:GRCm38:8:71375752:71381090:-1 gene:ENSMUSG00000002393.14 transcript:ENSMUST00000124732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2f6 description:nuclear receptor subfamily 2, group F, member 6 [Source:MGI Symbol;Acc:MGI:1352453] XGQVQWKALRRVHLRGLQEFLQAQHPPQSQLHLPGFAGTSVGTLACVSRSNRDCQIDQHH RNQCQYCRLKKCFRVGMRKEAVQRGRIPHALPGPAACSPPGATGVEPFTGPPVSELIAQL LRAEPYPAAGRFGGGGAVLGIDNVCELAARLLFSTVEWARHAPFFPELPAADQVALLRLS WSELFVLNAAQAALPLHTAPLLAAAGLHAAPMAAERAVAFMDQVRAFQEQVDKLGRLQVD AAEYGCLKAIALFT >ENSMUSP00000121648.1 pep:known chromosome:GRCm38:8:71375819:71381177:-1 gene:ENSMUSG00000002393.14 transcript:ENSMUST00000137058.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2f6 description:nuclear receptor subfamily 2, group F, member 6 [Source:MGI Symbol;Acc:MGI:1352453] IRRHLPGRPATRSRATRSVRGCRWTAWCAGTSPVESITACSPARAARVSSSAASAAISAT PAGQGSPTGISQGFAGTSVGTLACVSRSNRDCQIDQHHRNQCQYCRLKKCFRVGMRKEAV QRGRIPHALPGPAACSPPGATGVEPFTGPPVSELIAQLLRAEPYPAAGRFGGGGAVLGID NVCELAARLLFSTVEWARHAPFFPELPAADQVALLRLSWSELFVLNAAQAALPLHTAPLL AAAGLHAAPMAAERAVAFMDQVRAFQEQVD >ENSMUSP00000002466.8 pep:known chromosome:GRCm38:8:71374127:71381960:-1 gene:ENSMUSG00000002393.14 transcript:ENSMUST00000002466.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2f6 description:nuclear receptor subfamily 2, group F, member 6 [Source:MGI Symbol;Acc:MGI:1352453] MAMVTGGWGDPGGDTNGVDKAGGSYPRATEDDSASPPGATSDAEPGDEERPGLQVDCVVC GDKSSGKHYGVFTCEGCKSFFKRSIRRNLSYTCRSNRDCQIDQHHRNQCQYCRLKKCFRV GMRKEAVQRGRIPHALPGPAACSPPGATGVEPFTGPPVSELIAQLLRAEPYPAAGRFGGG GAVLGIDNVCELAARLLFSTVEWARHAPFFPELPAADQVALLRLSWSELFVLNAAQAALP LHTAPLLAAAGLHAAPMAAERAVAFMDQVRAFQEQVDKLGRLQVDAAEYGCLKAIALFTP DACGLSDPAHVESLQEKAQVALTEYVRAQYPSQPQRFGRLLLRLPALRAVPASLISQLFF MRLVGKTPIETLIRDMLLSGSTFNWPYGSG >ENSMUSP00000050398.8 pep:known chromosome:GRCm38:2:181569149:181582008:-1 gene:ENSMUSG00000089917.7 transcript:ENSMUST00000057816.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uckl1 description:uridine-cytidine kinase 1-like 1 [Source:MGI Symbol;Acc:MGI:1915806] MAAPPASMSAAPSPLQSAVAPDVPGRQAEQNETACEDRSNAGTLDRLLPPVGTGRSPRKR TTSQCKSEPPLLRTSKRTIYTAGRPPWYNEHGTQSKEAFAIGLGGGSASGKTTVARMIIE ALDVPWVVLLSMDSFYKVLTQQQQEQAACNNFNFDHPDAFDFDLIISTLKKLKQGRSVQV PIYDFTTHSRKKDWKTLYGANVIIFEGIMAFADKTLLELLDMKIFVDTDSDIRLVRRLRR DISERGRDIEGVIKQYNKFVKPAFDQYIQPTMRLADIVVPRGSGNTVAIDLIVQHVHSQL EERELSVRAALASAHQCHPLPQTLSVLKSTPQVRGMHTIIRDKETSRDEFIFYSKRLMRL LIEHALSFLPFQDCTVQTPQGQDYVGKCYAGKQITGVSILRAGETMEPALRAVCKDVRIG TILIQTNQLTGEPELHYLRLPKDISDDHVILMDCTVSTGAAAMMAVRVLLDHDVPEDKIF LLSLLMAEMGVHSVAYAFPRVRIITTAVDKRVNDLFRIIPGIGNFGDRYFGTDAVPDGSD DDEAATVG >ENSMUSP00000121607.1 pep:known chromosome:GRCm38:2:181569163:181581972:-1 gene:ENSMUSG00000089917.7 transcript:ENSMUST00000129469.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uckl1 description:uridine-cytidine kinase 1-like 1 [Source:MGI Symbol;Acc:MGI:1915806] MAAPPASMSAAPSPLQSAVAPDVPGRQAEQNETACEDRSNAGTLDRLLPPVGTGRSPRKR TTSQCKSEPPLLRTSKRTIYTAGRPPWYNEHGTQSKEAFAIGLGGGSASGKTTVARMIIE ALDVPWVVLLSMDSFYKVLTQQQQEQAACNNFNFDHPDAFDFDLIISTLKKLKQGRSVQV PIYDFTTHSRKKDWKTLYGANVIIFEGIMAFADKTLLELLDMKIFVDTDSDIRLVRRLRR DISERGRDIEGVIKQYNKFVKPAFDQYIQPTMRLADIVVPRGSGNTVAIDLIVQHVHSQL EEGRVGLRSPVPSPPPDTECPQEYTAGPRHAHHHQGQGD >ENSMUSP00000120302.1 pep:known chromosome:GRCm38:2:181569614:181573127:-1 gene:ENSMUSG00000089917.7 transcript:ENSMUST00000154613.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uckl1 description:uridine-cytidine kinase 1-like 1 [Source:MGI Symbol;Acc:MGI:1915806] NTVAIDLIVQHVHSQLEEGRVGLRSPVPSPPPDTECPQEYTAGPRHAHHHQGQGD >ENSMUSP00000114982.1 pep:known chromosome:GRCm38:2:181573253:181584892:-1 gene:ENSMUSG00000089917.7 transcript:ENSMUST00000144856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uckl1 description:uridine-cytidine kinase 1-like 1 [Source:MGI Symbol;Acc:MGI:1915806] XGRDRGSRSSTGKKAGAGKAPENSNAGTLDRLLPPVGTGRSPRKRTTSQCKSEPPLLRTS KRTIYTAGRPPWYNEHGTQSKEAFAIGLGGGSASGKTTVARMIIEALDVPWVVLLSMDSF YKVLTQQQQEQAACNNFNFDHPDAFDFDLIISTLKKLKQGRSVQVPIYDFTTHSRKKDWK TLYGANVIIFEGIMAFADKTLLELLDMKIFVDTDSDIRLVRRLRRDISERGRDIEGVIKQ YNKFVKPAFDQYI >ENSMUSP00000114821.1 pep:known chromosome:GRCm38:2:181573309:181578952:-1 gene:ENSMUSG00000089917.7 transcript:ENSMUST00000136875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uckl1 description:uridine-cytidine kinase 1-like 1 [Source:MGI Symbol;Acc:MGI:1915806] MSSPPAYPGIRISGCWTLGAESSSNAGTLDRLLPPVGTGRSPRKRTTSQCKSEPPLLRTS KRTIYTAGRPPWYNEHGTQSKEAFAIGLGGGSASGKTTVARMIIEALDVPWVVLLSMDSF YKVLTQQQQEQAACNNFNFDHPDAFDFDLIISTLKKLKQGRSVQVPIYDFTTHSRKKDWK TLYGANVIIFEGIMAFADKTLLELLDMKIFVDTDSDIRLVRRLRRDISERGRDIE >ENSMUSP00000118872.1 pep:known chromosome:GRCm38:2:181574666:181581626:-1 gene:ENSMUSG00000089917.7 transcript:ENSMUST00000131949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uckl1 description:uridine-cytidine kinase 1-like 1 [Source:MGI Symbol;Acc:MGI:1915806] MIIEALDVPWVVLLSM >ENSMUSP00000122098.1 pep:known chromosome:GRCm38:2:181569149:181570387:-1 gene:ENSMUSG00000089917.7 transcript:ENSMUST00000134340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uckl1 description:uridine-cytidine kinase 1-like 1 [Source:MGI Symbol;Acc:MGI:1915806] XRDEFIFYSKRLMRLLIEHALSFLPFQDCTVQTPQGQDYVGKCYAGKQITGVSILRAGET MEPALRAVCKDVRIGTILIQTNQLTGEPELHYLRLPKDISDDHVILMDCTVSTGAAAMMA DHDVPEDKIFLLSLLMAEMGVHSVAYAFPRVRIITTAVDKRVNDLFRIIPGIGNFGDRYF GTDAVPDGSDDDEAATVG >ENSMUSP00000133408.1 pep:known chromosome:GRCm38:17:36323201:36326145:-1 gene:ENSMUSG00000024448.14 transcript:ENSMUST00000172576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M10.1 description:histocompatibility 2, M region locus 10.1 [Source:MGI Symbol;Acc:MGI:1276522] XNTGPCTLLLLLMVALDLNHYCAGMQPRAAWIELEPPEYWEKETSRVLELSQVERQVLRL MVKKNGHKMDGYHTLQEVYGCNVANDGSFLGGHYRLTYYGYDYLTLNEDLSSWTAEGKGA QHAKSRWEDAGEAKRRKTYLQGECVQRLLRYLDLGKETLLCSDAPQTHVTHHVRPEGNVT LRCWALGFYPADITMTWKRDGNNLTQDMELPDTRPAGDGTFQKWAAVVVPSGEELRYTCH VHHEGLPEPLTLKWEPPHTIPIIAILIGLVLGTLVVGTVVIFLVWRK >ENSMUSP00000025322.7 pep:known chromosome:GRCm38:17:36322858:36326155:-1 gene:ENSMUSG00000024448.14 transcript:ENSMUST00000025322.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M10.1 description:histocompatibility 2, M region locus 10.1 [Source:MGI Symbol;Acc:MGI:1276522] MRNTGPCTLLLLLMVALDLNHYCAGSHWLQTFNIVILEPGMLEPRFIQVSYVDSIQYQGF DSKDPSAGMQPRAAWIELEPPEYWEKETSRVLELSQVERQVLRLMVKKNGHKMDGYHTLQ EVYGCNVANDGSFLGGHYRLTYYGYDYLTLNEDLSSWTAEGKGAQHAKSRWEDAGEAKRR KTYLQGECVQRLLRYLDLGKETLLCSDAPQTHVTHHVRPEGNVTLRCWALGFYPADITMT WKRDGNNLTQDMELPDTRPAGDGTFQKWAAVVVPSGEELRYTCHVHHEGLPEPLTLKWEP PHTIPIIAILIGLVLGTLVVGTVVIFLVWRK >ENSMUSP00000059763.2 pep:known chromosome:GRCm38:8:21929799:21932710:-1 gene:ENSMUSG00000048500.2 transcript:ENSMUST00000062586.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb15 description:defensin beta 15 [Source:MGI Symbol;Acc:MGI:2179202] MKTFLFLFAVLFFLDPAKNAFFDEKCSRVNGRCTASCLKNEELVALCQKNLKCCVTVQPC GKSKSNQSDEGSGHMGTWG >ENSMUSP00000137014.1 pep:known chromosome:GRCm38:2:181390886:181424388:-1 gene:ENSMUSG00000027583.13 transcript:ENSMUST00000180222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb46 description:zinc finger and BTB domain containing 46 [Source:MGI Symbol;Acc:MGI:1919397] MNNRKEDMEITSHYRHLLRELNEQRQHGVLCDACVVVEGKVFKAHKNVLLGSSRYFKTLY CQVQKTSDQATVTHLDIVTAQGFKAIIDFMYSAHLALTSRNVIEVMSAASFLQMTDIVQA CHDFIKAALDISIKSDASDELSEFEIGTPASNSTEALISAVMAGRSISPWLARRTSPANS SGDSAIASCHEGGSSYGKEDQEPKADGPDDVSSQSLWPGDVGYGSLRIKEEQISPSHYGG SELPSSKDTAIQNSLSEQGSGDGWQPTGRRKNRKNKETVRHITQQVEEDSQAGSPVPSFL PTSGWPFSSRDSNVDLTVTEASSLDSRGERAELYAHIDEGLLGGETSYLGPPLTPEKEEA LHQATAVANLRAALMSKNSLLSLKADVLGDDGSLLFEYLPKGAHSLSLNEFTVIRKKFKC PYCSFSAMHQCILKRHMRSHTGERPYPCEICGKKFTRREHMKRHTLVHSKDKKYVCKVCS RVFMSAASVGIKHGSRRHGVCADCAGRGVGTPLDHGGGGEGSPEALFAGEGPYLEDPDDP RGEAEEELVEDEDEDVAKWKDDVGLAHEDALLGDDKDDEDSPQGPHSPSGEPDKDFAWIS >ENSMUSP00000125584.1 pep:known chromosome:GRCm38:2:181424228:181459379:-1 gene:ENSMUSG00000027583.13 transcript:ENSMUST00000155535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb46 description:zinc finger and BTB domain containing 46 [Source:MGI Symbol;Acc:MGI:1919397] MNNRKEDMEITSHYRHLLRELNEQRQHGVLCDACVVVEGKVF >ENSMUSP00000084672.3 pep:known chromosome:GRCm38:2:181410545:181459426:-1 gene:ENSMUSG00000027583.13 transcript:ENSMUST00000087409.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb46 description:zinc finger and BTB domain containing 46 [Source:MGI Symbol;Acc:MGI:1919397] MNNRKEDMEITSHYRHLLRELNEQRQHGVLCDACVVVEGKVFKAHKNVLLGSSRYFKTLY CQVQKTSDQATVTHLDIVTAQGFKAIIDFMYSAHLALTSRNVIEVMSAASFLQMTDIVQA CHDFIKAALDISIKSDASDELSEFEIGTPASNSTEALISAVMAGRSISPWLARRTSPANS SGDSAIASCHEGGSSYGKEDQEPKADGPDDVSSQSLWPGDVGYGSLRIKEEQISPSHYGG SELPSSKDTAIQNSLSEQGSGDGWQPTGRRKNRKNKETVRHITQQVEEDSQAGSPVPSFL PTSGWPFSSRDSNVDLTVTEASSLDSRGERAELYAHIDEGLLGGETSYLGPPLTPEKEEA LHQATAVANLRAALMSKNSLLSLKADVLGDDGSLLFEYLPKGAHSLSRKCKFWCVTVSSF GLSTSVQPFRPWSH >ENSMUSP00000029106.6 pep:known chromosome:GRCm38:2:181387762:181459426:-1 gene:ENSMUSG00000027583.13 transcript:ENSMUST00000029106.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb46 description:zinc finger and BTB domain containing 46 [Source:MGI Symbol;Acc:MGI:1919397] MNNRKEDMEITSHYRHLLRELNEQRQHGVLCDACVVVEGKVFKAHKNVLLGSSRYFKTLY CQVQKTSDQATVTHLDIVTAQGFKAIIDFMYSAHLALTSRNVIEVMSAASFLQMTDIVQA CHDFIKAALDISIKSDASDELSEFEIGTPASNSTEALISAVMAGRSISPWLARRTSPANS SGDSAIASCHEGGSSYGKEDQEPKADGPDDVSSQSLWPGDVGYGSLRIKEEQISPSHYGG SELPSSKDTAIQNSLSEQGSGDGWQPTGRRKNRKNKETVRHITQQVEEDSQAGSPVPSFL PTSGWPFSSRDSNVDLTVTEASSLDSRGERAELYAHIDEGLLGGETSYLGPPLTPEKEEA LHQATAVANLRAALMSKNSLLSLKADVLGDDGSLLFEYLPKGAHSLSLNEFTVIRKKFKC PYCSFSAMHQCILKRHMRSHTGERPYPCEICGKKFTRREHMKRHTLVHSKDKKYVCKVCS RVFMSAASVGIKHGSRRHGVCADCAGRGVGTPLDHGGGGEGSPEALFAGEGPYLEDPDDP RGEAEEELVEDEDEDVAKWKDDVGLAHEDALLGDDKDDEDSPQGPHSPSGEPDKDFAWIS >ENSMUSP00000003876.3 pep:known chromosome:GRCm38:18:34598615:34624601:-1 gene:ENSMUSG00000003778.14 transcript:ENSMUST00000003876.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd8 description:bromodomain containing 8 [Source:MGI Symbol;Acc:MGI:1925906] MATGTGKHKLLSTGPTEPWSIREKLCLASSVMRSGDQNWVSVSRAIKPFAEPGRPPDWFS QKHCASQYSELLETTETPKRKRGEKGEVVETVEDVIVRKLTAERVEELKKVIKETQERYR RLKRDAELIQAGHMDSRLDELCNDIAMKKKLEEEEAEVKRKATDAAYQARQAVKTPPRRL PTVMVRSPVDSASPGGDYPLGDLTPTTMEEATSGVTPGTLPSTPVTSFPGIPDTLPPGSA PLEAPMTPITDDSPQKKMLGQKATPPPSPLLSELLKKGSLLPTSPRLVNESEMPVPPGHL NSTGVLLEVGGVLPMIHGGEIQPTTSAVAASPAASGAPTLSRLLEAGPTQFTTPLPSFTT VASEPPVKLVPPPVESVSQATIVMMPALPAPSSAAAVSTSESGAPVSQPEPCVPLEAVGD PHTVTVSMDSNEISMIINSIKEECFRSGVAEAPGGSKAPSIDGKEDLDLAEKMDIAVSYT GEELDFETVGDIIAIIEDKVDDHPEVLDVAAVEAALSFCEENDDPQSLPGPWEHPIQQER DKPVPLPAPEMTVKQERLDFEESENKGLHDLVDIRDSGVEIKVEPTEPEPGMSGAEIVAG VGPVPSMEPPELRSQDSDEEPRSSAAGDIGEADGSSGKGDERPLSAVKTEASPESMLSPS HGSNLIEDPLEAETQHKFEMSDSLKEESGTIFGSQIKDAPGDDEEEDGVSEAASLEEPKE EDQGEGYLSEMDNEPPVSESDDGFSIHNATLQSHTLADSIPSSPASSQFSVCSEDQEAIQ AQKIWKKAIMLVWRAAANHRYANVFLQPVTDDIAPGYHSIVQRPMDLSTIKKNIENGLIR STAEFQRDIMLMFQNAVMYNSSDHDVYHMAVEMQRDVLEQIQQFLATQLIMQTSESGISA KSLRGRDSTRKQDASEKDSVPMGSPAFLLSLFDGGTRGRRCAIEADMKMKK >ENSMUSP00000111432.1 pep:known chromosome:GRCm38:18:34598615:34624601:-1 gene:ENSMUSG00000003778.14 transcript:ENSMUST00000115766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd8 description:bromodomain containing 8 [Source:MGI Symbol;Acc:MGI:1925906] MATGTGKHKLLSTGPTEPWSIREKLCLASSVMRSGDQNWVSVSRAIKPFAEPGRPPDWFS QKHCASQYSELLETTETPKRKRGEKGEVVETVEDVIVRKLTAERVEELKKVIKETQERYR RLKRDAELIQAGHMDSRLDELCNDIAMKKKLEEEEAEVKRKATDAAYQARQAVKTPPRRL PTVMVRSPVDSASPGGDYPLGDLTPTTMEEATSGVTPGTLPSTPVTSFPGIPDTLPPGSA PLEAPMTPITDDSPQKKMLGQKATPPPSPLLSELLKKGSLLPTSPRLVNESEMPVPPGHL NSTGVLLEVGGVLPMIHGGEIQPTTSAVAASPAASVSQPEPCVPLEAVGDPHTVTVSMDS NEISMIINSIKEECFRSGVAEAPGGSKAPSIDGKEDLDLAEKMDIAVSYTGEELDFETVG DIIAIIEDKVDDHPEVLDVAAVEAALSFCEENDDPQSLPGPWEHPIQQERDKPVPLPAPE MTVKQERLDFEESENKGLHDLVDIRDSGVEIKVEPTEPEPGMSGAEIVAGVGPVPSMEPP ELRSQDSDEEPRSSAAGDIGEADGSSGKGDERPLSAVKTEASPESMLSPSHGSNLIEDPL EAETQHKFEMSDSLKEESGTIFGSQIKDAPGDDEEEDGVSEAASLEEPKEEDQGEGYLSE MDNEPPVSESDDGFSIHNATLQSHTLADSIPSSPASSQFSVCSEDQEAIQAQKIWKKAIM LVWRAAANHRYANVFLQPVTDDIAPGYHSIVQRPMDLSTIKKNIENGLIRSTAEFQRDIM LMFQNAVMYNSSDHDVYHMAVEMQRDVLEQIQQFLATQLIMQTSESGISAKSLRGRDSTR KQDASEKDSVPMGSPAFLLSLFDGGTRGRRCAIEADMKMKK >ENSMUSP00000095229.3 pep:known chromosome:GRCm38:18:34599859:34624601:-1 gene:ENSMUSG00000003778.14 transcript:ENSMUST00000097626.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd8 description:bromodomain containing 8 [Source:MGI Symbol;Acc:MGI:1925906] MATGTGKHKLLSTGPTEPWSIREKLCLASSVMRSGDQNWVSVSRAIKPFAEPGRPPDWFS QKHCASQYSELLETTETPKRKRGEKGEVVETVEDVIVRKLTAERVEELKKVIKETQERYR RLKRDAELIQAGHMDSRLDELCNDIAMKKKLEEEEAEVKRKATDAAYQARQAVKTPPRRL PTVMVRSPVDSASPGGDYPLGDLTPTTMEEATSGVNESEMPVPPGHLNSTGVLLEVGGVL PMIHGGEIQPTTSAVAASPAASGAPTLSRLLEAGPTQFTTPLPSFTTVASEPPVKLVPPP VESVSQATIVMMPALPAPSSAAAVSTSESGAPVSQPEPCVPLEAVGDPHTVTVSMDSNEI SMIINSIKEECFRSGVAEAPGGSKAPSIDGKEDLDLAEKMDIAVSYTGEELDFETVGDII AIIEDKVDDHPEVLDVAAVEAALSFCEENDDPQSLPGPWEHPIQQERDKPVPLPAPEMTV KQERLDFEESENKGLHDLVDIRDSGVEIKVEPTEPEPGMSGAEIVAGVGPVPSMEPPELR SQDSDEEPRSSAAGDIGEADGSSGKGDERPLSAVKTEASPESMLSPSHGSNLIEDPLEAE TQHKFEMSDSLKEESGTIFGSQIKDAPGDDEEEDGVSEAASLEEPKEEDQGEGYLSEMDN EPPVSESDDGFSIHNATLQSHTLADSIPSSPASSQFSVCSEDQEAIQAQKIWKKAIMLVW RAAANHRYANVFLQPVTDDIAPGYHSIVQRPMDLSTIKKNIENGLIRSTAEFQRDIMLMF QNAVMYNSSDHDVYHMAVEMQRDVLEQIQQFLATQLIMQTSESGISAKSLRGRDSTRKQD ASEKDSVPMGSPAFLLSLFDGGTRGRRCAIEADMKMKK >ENSMUSP00000111431.1 pep:known chromosome:GRCm38:18:34601175:34624601:-1 gene:ENSMUSG00000003778.14 transcript:ENSMUST00000115765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd8 description:bromodomain containing 8 [Source:MGI Symbol;Acc:MGI:1925906] MATGTGKHKLLSTGPTEPWSIREKLCLASSVMRSGDQNWVSVSRAIKPFAEPGRPPDWFS QKHCASQYSELLETTETPKRKRGEKGEVVETVEDVIVRKLTAERVEELKKVIKETQERYR RLKRDAELIQAGHMDSRLDELCNDIAMKKKLEEEEAEVKRKATDAAYQARQAVKTPPRRL PTVMVRSPVDSASPGGDYPLGDLTPTTMEEATSGVTPGTLPSTPVTSFPGIPDTLPPGSA PLEAPMTPITDDSPQKKMLGQKATPPPSPLLSELLKKGSLLPTSPRLVNESEMPVPPGHL NSTGVLLEVGGVLPMIHGGEIQPTTSAVAASPAASGAPTLSRLLEAGPTQFTTPLPSFTT VASEPPVKLVPPPVESVSQATIVMMPALPAPSSAAAVSTSESGAPVSQPEPCVPLEAVGD PHTVTVSMDSNEISMIINSIKEECFRSGVAEAPGGSKAPSIDGKEDLDLAEKMDIAVSYT GEELDFETVGDIIAIIEDKVDDHPEVLDVAAVEAALSFCEENDDPQSLPGPWEHPIQQER DKPVPLPAPEMTVKQERLDFEESENKGLHDLVDIRDSGVEIKVEPTEPEPGMSGAEIVAG VGPVPSMEPPELRSQDSDEEPRSSAAGDIGEADGSSGKGDERPLSAVKTEASPESMLSPS HGSNLIEDPLEAETQHKFEMSDSLKEESGTIFGSQIKDAPGDDEEEDGVSEAASLEEPKE EDQGEGYLSEMDNEPPVSESDDGFSIHNATLQSHTLADSIPSSPASSQFSVCSEDQEAIQ AQKIWKKAIMLVWRAAANHRYANVFLQPVTDDIAPGYHSIVQRPMDLSTIKKNIENGLIR STAEFQRDIMLMFQNAVMYNSSDHDVYHMAVEMQRDVLEQIQQFLATQLIMQTSESGISA KSLRGRDSTRKQDASEKDSVPMGSPAFLLSLFVSIEWLQVVMLPERFSAPAGPG >ENSMUSP00000028727.4 pep:known chromosome:GRCm38:2:136713453:136782428:1 gene:ENSMUSG00000027273.13 transcript:ENSMUST00000028727.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap25 description:synaptosomal-associated protein 25 [Source:MGI Symbol;Acc:MGI:98331] MAEDADMRNELEEMQRRADQLADESLESTRRMLQLVEESKDAGIRTLVMLDEQGEQLERI EEGMDQINKDMKEAEKNLTDLGKFCGLCVCPCNKLKSSDAYKKAWGNNQDGVVASQPARV VDEREQMAISGGFIRRVTNDARENEMDENLEQVSGIIGNLRHMALDMGNEIDTQNRQIDR IMEKADSNKTRIDEANQRATKMLGSG >ENSMUSP00000105725.3 pep:known chromosome:GRCm38:2:136713478:136781351:1 gene:ENSMUSG00000027273.13 transcript:ENSMUST00000110098.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap25 description:synaptosomal-associated protein 25 [Source:MGI Symbol;Acc:MGI:98331] MAEDADMRNELEEMQRRADQLADESLESTRRMLQLVEESKDAGIRTLVMLDEQGEQLDRV EEGMNHINQDMKEAEKNLKDLGKCCGLFICPCNKLKSSDAYKKAWGNNQDGVVASQPARV VDEREQMAISGGFIRRVTNDARENEMDENLEQVSGIIGNLRHMALDMGNEIDTQNRQIDR IMEKADSNKTRIDEANQRATKMLGSG >ENSMUSP00000026142.7 pep:known chromosome:GRCm38:X:94535474:94542143:-1 gene:ENSMUSG00000025151.16 transcript:ENSMUST00000026142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maged1 description:melanoma antigen, family D, 1 [Source:MGI Symbol;Acc:MGI:1930187] MAQKPDGGAGLRGFQAEASVEDSALLVQTLMEAIQISEAPPTSQATAAASGPNASPQSSQ PPTANEKADTEVSAAAARPKTGFKAQNATTKGPNDYSQARNAKEMPKNQSKAAFKSQNGT PKGPHAASDFSQAAPTGKSAKKSEMAFKGQNSTKAGPGTTYNFPQSPSANEMTNNQPKTA KAWNDTTKVPGADAQTQNVNQAKMADVGTSAGISEADGAAAQTSADGSQTQNVESRTIIR GKRTRKVNNLNVEENNSGDQRRASLASGNWRSAPVPVTTQQNPPGAPPNVVWQTPLAWQN PSGWQNQTARQTPPAARQSPPARQTPSAWQNPVAWQNPVIWPNPVIWQNPVIWPNPIVWP GPIVWPNPMAWQSTPGWQSPPSWQAPPSWQSPQDWQGPPDWQVPPDWSMPPDWSFPSDWP FPPDWIPADWPIPPDWQNLRPSPNLRSSSNSRASQNQGPPQPRDVALLQERANKLVKYLM LKDYTKVPIKRSEMLRDIIREYTDVYPEIIERACFVLEKKFGIQLKEIDKEEHLYILIST PESLAGILGTTKDTPKLGLLLVILGIIFMNGNRATEAVLWEALRKMGLRPGVRHPLLGDL RKLLTYEFVKQKYLDYRRVPNSNPPEYEFLWGLRSYHETSKMKVLRFIAEVQKRDPRDWT AQFMEAADEALDALDAAAAEAEARAEARNRMGIGDEAVSGPWSWDDIEFELLTWDEEGDF GDPWSRIPFTFWARYHQNARSRFPQAFTGPIIGPSGTATANFAANFGAIGFFWVE >ENSMUSP00000105679.1 pep:known chromosome:GRCm38:8:71371346:71379361:1 gene:ENSMUSG00000002396.8 transcript:ENSMUST00000110052.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocel1 description:occludin/ELL domain containing 1 [Source:MGI Symbol;Acc:MGI:1924340] MQIHAGPASRRGRRGPLARLSGPEATCNSRPAARGRQRAAAPRMPAPERPRSRRPQSQPG PGELCVRPRKIVFADELRPREPLHPEKHPRDLGPRLNPVPDYELLSTWAGSERPQASAGS RDDKGSHGWGNRARGLQGRG >ENSMUSP00000105678.1 pep:known chromosome:GRCm38:8:71371298:71374382:1 gene:ENSMUSG00000002396.8 transcript:ENSMUST00000110051.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocel1 description:occludin/ELL domain containing 1 [Source:MGI Symbol;Acc:MGI:1924340] MQIHAGPASRRGRRGPLARLSGPEATCNSRPAARGRQRAAAPRMPAPERPRSRRPQSQPG PGELCVRPRKIVFADELRPREPLHPEKHPRDLGPRLNPVPDYELRRLAWQLMSGESLRRS EGILASWISRLAVTT >ENSMUSP00000002469.8 pep:known chromosome:GRCm38:8:71371339:71373689:1 gene:ENSMUSG00000002396.8 transcript:ENSMUST00000002469.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocel1 description:occludin/ELL domain containing 1 [Source:MGI Symbol;Acc:MGI:1924340] MQIHAGPASRRGRRGPLARLSGPEATCNSRPAARGRQRAAAPRMPAPERPRSRRPQSQPG PGELCVRPRKIVFADELRPREPLHPEKHPRDLGPRLNPVPDYELKYPPVTNRRDRSRYAA VFQDQYGEFSELQREVGATQAKLQQLEALLLSLPPPRSQKEARMAAHVRREFEKKRGDPG FLDKQARCNYLKGKLRHLKAQIRKFDDQQDSNSEDSVYF >ENSMUSP00000136108.1 pep:known chromosome:GRCm38:1:4807892:4886770:1 gene:ENSMUSG00000104217.1 transcript:ENSMUST00000155020.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm37988 description:predicted gene, 37988 [Source:MGI Symbol;Acc:MGI:5611216] MCGNNMSAPMPAVVPAARKATAAAWMGRSLCRYQKSPHQIHLSTCPCDASHIKYEYGYAF LV >ENSMUSP00000035038.1 pep:known chromosome:GRCm38:9:98986373:99002021:1 gene:ENSMUSG00000032463.10 transcript:ENSMUST00000035038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faim description:Fas apoptotic inhibitory molecule [Source:MGI Symbol;Acc:MGI:1344387] MTDLVAVWDVALSDGVHKIEFEHGTTSGKRVVYVDGKEEIRREWMFKLVGKETFFVGAAK TKATINIDAISGFAYEYTLEIDGKSLKKYMENRSKTTSTWVLRLDGEDLRVVLEKDTMDV WCNGQKMETAGEFVDDGTETHFSVGNHGCYIKAVSSGKRKEGIIHTLIVDNREIPELTQ >ENSMUSP00000108532.2 pep:known chromosome:GRCm38:9:98986374:99001749:1 gene:ENSMUSG00000032463.10 transcript:ENSMUST00000112911.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faim description:Fas apoptotic inhibitory molecule [Source:MGI Symbol;Acc:MGI:1344387] MASGDDSPIFEDDESPLYSLEKMTDLVAVWDVALSDGVHKIEFEHGTTSGKRVVYVDGKE EIRREWMFKLVGKETFFVGAAKTKATINIDAISGFAYEYTLEIDGKSLKKYMENRSKTTS TWVLRLDGEDLRVVLEKDTMDVWCNGQKMETAGEFVDDGTETHFSVGNHGCYIKAVSSGK RKEGIIHTLIVDNREIPELTQ >ENSMUSP00000140627.1 pep:known chromosome:GRCm38:9:98991831:99001688:1 gene:ENSMUSG00000032463.10 transcript:ENSMUST00000185472.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faim description:Fas apoptotic inhibitory molecule [Source:MGI Symbol;Acc:MGI:1344387] MTDLVAVWDVALSDGVHKIEFEHGTTSGKRVVYVDGKEEIRREWMFKLVGKETFFVGAAK TKATINIDAISGFAYEYTLEIDGKSLKKYMENRSKTTSTWVLRLDGEDLRVVLEKDTMDV WCNGQKMETAGEFVDDGTETHFSVGNHGCYIKAVSSGKRKEGIIHTLIVDNREIP >ENSMUSP00000023687.7 pep:known chromosome:GRCm38:16:91547072:91565169:1 gene:ENSMUSG00000022965.7 transcript:ENSMUST00000023687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifngr2 description:interferon gamma receptor 2 [Source:MGI Symbol;Acc:MGI:107654] MRPLPLWLPSLLLCGLGAAASSPDSFSQLAAPLNPRLHLYNDEQILTWEPSPSSNDPRPV VYQVEYSFIDGSWHRLLEPNCTDITETKCDLTGGGRLKLFPHPFTVFLRVRAKRGNLTSK WVGLEPFQHYENVTVGPPKNISVTPGKGSLVIHFSPPFDVFHGATFQYLVHYWEKSETQQ EQVEGPFKSNSIVLGNLKPYRVYCLQTEAQLILKNKKIRPHGLLSNVSCHETTANASARL QQVILIPLGIFALLLGLTGACFTLFLKYQSRVKYWFQAPPNIPEQIEEYLKDPDQFILEV LDKDGSPKEDSWDSVSIISSPEKERDDVLQTP >ENSMUSP00000119580.1 pep:known chromosome:GRCm38:16:91547147:91560649:1 gene:ENSMUSG00000022965.7 transcript:ENSMUST00000127644.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ifngr2 description:interferon gamma receptor 2 [Source:MGI Symbol;Acc:MGI:107654] MRPLPLWLPSLLLCGLGAAASSPASMALGIGCWSRTVRTSQRQSVT >ENSMUSP00000030782.1 pep:known chromosome:GRCm38:4:152158867:152162469:1 gene:ENSMUSG00000028940.2 transcript:ENSMUST00000030782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hes2 description:hairy and enhancer of split 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1098624] MRLPRRVEDAAELRKNLKPLLEKRRRARINESLSQLKGLVLPLLGAETSRSSKLEKADIL EMTVRFLQEQPATLYSSAAPGPLNSYLEGYRACLARLARVLPACSVLEPAVSARLLEHLR QRTVSDDSPSLTLPPAPAPAPSPPVPPPGSSGLWRPW >ENSMUSP00000044250.2 pep:known chromosome:GRCm38:17:87389571:87427741:-1 gene:ENSMUSG00000036557.8 transcript:ENSMUST00000040609.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011E24Rik description:RIKEN cDNA 1700011E24 gene [Source:MGI Symbol;Acc:MGI:1922717] MAEPTVVIAPTTVPREDLGHGEPLLMTAKQVKKTPGLEREGWWRLTITDTPIPGTYHFRT FTEEALLNPVKITYNFKNEGRKKLPLVLRNDPVPTDLPQYSPPDFLELLKKQTASYSFKD KPRADPSTLVDKDESLHLCPGQYEVLPAPVPKSPARSFVFRSSVQRFPPNYFTPHEGPGP GDYELKASPKGSITSCFRSKVPRFLPVSSKTPGPGAYTSSRQFPKQSSTIAKMGREHSLF FNNTIGF >ENSMUSP00000140579.1 pep:known chromosome:GRCm38:17:87389576:87427687:-1 gene:ENSMUSG00000036557.8 transcript:ENSMUST00000187078.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011E24Rik description:RIKEN cDNA 1700011E24 gene [Source:MGI Symbol;Acc:MGI:1922717] MAEPTVVIAPTTVPREDLGHGEPLLMTAKQVKKTPGLEREGWWRLTITDTPIPGTYHFRT FTEEALLNPVKITYNFKNEGRKKLPLVLRNDPVPTDLPQYSPPDFLELLKKQTASYSFKD KPRADPSTLVDKDEHEGPGPGDYELKASPKGSITSCFRSKVPRFLPVSSKTPGPGAYTSS RQFPKQSSTIAKMGREHSLFFNNTIGF >ENSMUSP00000140203.1 pep:known chromosome:GRCm38:17:87411205:87427708:-1 gene:ENSMUSG00000036557.8 transcript:ENSMUST00000186211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011E24Rik description:RIKEN cDNA 1700011E24 gene [Source:MGI Symbol;Acc:MGI:1922717] MAEPTVVIAPTTVPREDLGHGEPLLMTAKQVKKTPGLEREGWWRLTITDTPIPGTYHFRT FTEEALLNPVKITYNFKNEGRKKLPLVLRNDPVPTDLPQYSPPDFLELLKKQTASYSFKD KPRADPSTLVDKDESLHLCPGQYEVLPAPVPKSPARSFVFRSSVQRFPPNYFTPVSKM >ENSMUSP00000145166.1 pep:known chromosome:GRCm38:6:136328530:136329403:1 gene:ENSMUSG00000094973.2 transcript:ENSMUST00000204966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8994 description:predicted gene 8994 [Source:MGI Symbol;Acc:MGI:3644226] MATTTRMATSGSARKRLLKEDGMTKVEFETSEEVDATPTFDTMGLREDLLRGIYAYGFEK PSAIQQRAIKQIMKGRDVIAQSQSGTGKTATFSISVLQCLDIQGLLALGDYMNVQCHACV GGTNVGEDIRKLDYGQHVVAGTPGRVFDMIRRRSLTTRAIKMLVLDEADEMLNRGFKEQI YDVYRYLPPATQVVLVSATLPHEILEMTNKFMTHPIRILVKRDELTLEGIKQFFVAVERE EWKFDTLCDLYDTLTITQAVIFCITK >ENSMUSP00000133154.2 pep:known chromosome:GRCm38:6:136328543:136329778:1 gene:ENSMUSG00000094973.2 transcript:ENSMUST00000077886.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8994 description:predicted gene 8994 [Source:MGI Symbol;Acc:MGI:3644226] MATTTRMATSGSARKRLLKEDGMTKVEFETSEEVDATPTFDTMGLREDLLRGIYAYGFEK PSAIQQRAIKQIMKGRDVIAQSQSGTGKTATFSISVLQCLDIQVRETQALILAPTRELAV QIQKGLLALGDYMNVQCHACVGGTNVGEDIRKLDYGQHVVAGTPGRVFDMIRRRSLTTRA IKMLVLDEADEMLNRGFKEQIYDVYRYLPPATQVVLVSATLPHEILEMTNKFMTHPIRIL VKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCITKRKVDWLTEKMREA NFTVSSMHGDMPQKERESIMKEFRSGASRVLISTDVWARGLDVPQVSLIINYDLPNNREL YIHRIGRSGRYGRKGVAINFVKNDDIRILRDIEQYYSTQIDEMPMNLADLI >ENSMUSP00000144809.1 pep:known chromosome:GRCm38:6:136327539:136329983:1 gene:ENSMUSG00000094973.2 transcript:ENSMUST00000204530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8994 description:predicted gene 8994 [Source:MGI Symbol;Acc:MGI:3644226] MATTTRMATSGSARKRLLKEDGMTKVEFETSEEVDATPTFDTMGLREDLLRGIYAYGFEK PSAIQQRAIKQIMKGRDVIAQSQSGTGKTATFSISVLQCLDIQVRETQALILAPTRELAV QIQKGLLALGDYMNVQCHACVGGTNVGEDIRKLDYGQHVVAGTPGRVFDMIRRRSLTTRA IKMLVLDEADEMLNRGFKEQIYDVYRYLPPATQVVLVSATLPHEILEMTNKFMTHPIRIL VKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCITKRKVDWLTEKMREA NFTVSSMHGDMPQKERESIMKEFRSGASRVLISTDVWARGLDVPQVSLIINYDLPNNREL YIHRIGRSGRYGRKGVAINFVKNDDIRILRDIEQYYSTQIDEMPMNLADLI >ENSMUSP00000027974.5 pep:known chromosome:GRCm38:1:170704674:170867771:-1 gene:ENSMUSG00000026663.6 transcript:ENSMUST00000027974.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf6 description:activating transcription factor 6 [Source:MGI Symbol;Acc:MGI:1926157] MESPFSPVLPHGPDEDWESTLFAELGYFTDTDDVHFDAAHEAYENNFDHLNFDLDLMPWE SDLWSPGSHFCSDMKAEPQPLSPASSSCSISSPRSTDSCSSTQHVPEELDLLSSSQSPLS LYGDSCNSPSSVEPLKEEKPVTGPGNKTEHGLTPKKKIQMSSKPSVQPKPLLLPAAPKTQ TNASVPAKAIIIQTLPALMPLAKQQSIISIQPAPTKGQTVLLSQPTVVQLQSPAVLSSAQ PVLAVTGGAAQLPNHVVNVLPAPVVSSPVNGKLSVTKPVLQSATRSMGSDIAVLRRQQRM IKNRESACQSRKKKKEYMLGLEARLKAALSENEQLKKENGSLKRQLDEVVSENQRLKVPS PKRRAVCVMIVLAFIMLNYGPMSMLEQESRRVKPSVSPANQRRHLLEFSAKEVKDTSDGD NQKDSYSYDHSVSNDKALMVLSEEPLLYMPPPPCQPLINTTESLRLNHELRGWVHRHEVE RTKSRRMTNSQQKARILQGALEQGSNSQLMAVQYTETTSISRNSGSELQVYYASPGSYQG FFDAIRRRGDTFYVVSFRRDHLLLPATTHNKTTRPKMSIVLPAININDNVINGQDYEVMM QIDCQVMDTRILHIKSSSVPPYLRDHQRNQTSTFFGSPPTTTETTHVVSTIPESLQ >ENSMUSP00000001181.6 pep:known chromosome:GRCm38:10:76595762:76623630:-1 gene:ENSMUSG00000020241.13 transcript:ENSMUST00000001181.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a2 description:collagen, type VI, alpha 2 [Source:MGI Symbol;Acc:MGI:88460] MTTIKMLQGPLSVLLIGGLLGVLHAQQQEAISPQEQEAVSPDISTTERNNNCPEKADCPV NVYFVLDTSESVAMQSPTDSLLYHMQQFVPQFISQLQNEFYLDQVALSWRYGGLHFSDQV EVFSPPGSDRASFTKSLQGIRSFRRGTFTDCALANMTQQIRQHVGKGVVNFAVVITDGHV TGSPCGGIKMQAERAREEGIRLFAVAPNRNLNEQGLRDIANSPHELYRNNYATMRPDSTE IDQDTINRIIKVMKHEAYGECYKVSCLEIPGPHGPKGYRGQKGAKGNMGEPGEPGQKGRQ GDPGIEGPIGFPGPKGVPGFKGEKGEFGSDGRKGAPGLAGKNGTDGQKGKLGRIGPPGCK GDPGSRGPDGYPGEAGSPGERGDQGAKGDSGRPGRRGPPGDPGDKGSKGYQGNNGAPGSP GVKGGKGGPGPRGPKGEPGRRGDPGTKGGPGSDGPKGEKGDPGPEGPRGLAGEVGSKGAK GDRGLPGPRGPQGALGEPGKQGSRGDPGDAGPRGDSGQPGPKGDPGRPGFSYPGPRGTPG EKGEPGPPGPEGGRGDFGLKGTPGRKGDKGEPADPGPPGEPGPRGPRGIPGPEGEPGPPG DPGLTECDVMTYVRETCGCCDCEKRCGALDVVFVIDSSESIGYTNFTLEKNFVINVVNRL GAIAKDPKSETGTRVGVVQYSHEGTFEAIRLDDERVNSLSSFKEAVKNLEWIAGGTWTPS ALKFAYNQLIKESRRQKTRVFAVVITDGRHDPRDDDLNLRALCDRDVTVTAIGIGDMFHE THESENLYSIACDKPQQVRNMTLFSDLVAEKFIDDMEDVLCPDPQIVCPELPCQTELYVA QCTQRPVDIVFLLDGSERLGEQNFHKVRRFVEDVSRRLTLARRDDDPLNARMALLQYGSQ NQQQVAFPLTYNVTTIHEALERATYLNSFSHVGTGIVHAINNVVRGARGGARRHAELSFV FLTDGVTGNDSLEESVHSMRKQNVVPTVVAVGGDVDMDVLTKISLGDRAAIFREKDFDSL AQPSFFDRFIRWIC >ENSMUSP00000101053.1 pep:known chromosome:GRCm38:10:76597835:76623325:-1 gene:ENSMUSG00000020241.13 transcript:ENSMUST00000105413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a2 description:collagen, type VI, alpha 2 [Source:MGI Symbol;Acc:MGI:88460] MTTIKMLQGPLSVLLIGGLLGVLHAQQQEAISPQEQEAVSPDISTTERNNNCPEKADCPV NVYFVLDTSESVAMQSPTDSLLYHMQQFVPQFISQLQNEFYLDQVALSWRYGGLHFSDQV EVFSPPGSDRASFTKSLQGIRSFRRGTFTDCALANMTQQIRQHVGKGVVNFAVVITDGHV TGSPCGGIKMQAERAREEGIRLFAVAPNRNLNEQGLRDIANSPHELYRNNYATMRPDSTE IDQDTINRIIKVMKHEAYGECYKVSCLEIPGPHGPKGYRGQKGAKGNMGEPGEPGQKGRQ GDPGIEGPIGFPGPKGVPGFKGEKGEFGSDGRKGAPGLAGKNGTDGQKGKLGRIGPPGCK GDPGSRGPDGYPGEAGSPGERGDQGAKGDSGRPGRRGPPGDPGDKGSKGYQGNNGAPGSP GVKGGKGGPGPRGPKGEPGRRGDPGTKGGPGSDGPKGEKGDPGPEGPRGLAGEVGSKGAK GDRGLPGPRGPQGALGEPGKQGSRGDPGDAGPRGDSGQPGPKGDPGRPGFSYPGPRGTPG EKGEPGPPGPEGGRGDFGLKGTPGRKGDKGEPADPGPPGEPGPRGPRGIPGPEGEPGPPG DPGLTECDVMTYVRETCGCCDCEKRCGALDVVFVIDSSESIGYTNFTLEKNFVINVVNRL GAIAKDPKSETGTRVGVVQYSHEGTFEAIRLDDERVNSLSSFKEAVKNLEWIAGGTWTPS ALKFAYNQLIKESRRQKTRVFAVVITDGRHDPRDDDLNLRALCDRDVTVTAIGIGDMFHE THESENLYSIACDKPQQVRNMTLFSDLVAEKFIDDMEDVLCPDPQIVCPELPCQTDEPWP GNKPPVTFLRTEEGPDPTFPKTIPLIQQLLNATEFTQNPAAYSQLVAVMVYTAERAKFST GVERQDWMQLFIDTFKLVHRDITGDPESVLALC >ENSMUSP00000043088.8 pep:known chromosome:GRCm38:5:143464584:143505942:1 gene:ENSMUSG00000039206.13 transcript:ENSMUST00000045593.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daglb description:diacylglycerol lipase, beta [Source:MGI Symbol;Acc:MGI:2442032] MPGMVLFGRRWSLASDDLVFPGSFELFLRVLWWIVSLTLYLTHRRRLDCPGGVLLSTYLI VLLVLLAVIICTVLAIVCVSMRGTICNPGPRKSMSKLLYIRLALFLPEMVWASLGAAWVA KGIQCDRTVVIGIIATVIVSWIVIAATMVTIIFVFDPLGGKMAPYPPCIPEHLDSNSSNR LLTGLKTAAKSVWETRVQFCCCCVGQDDNTRVAFSSTADLFSTYFSDTDLVPSDIAAGFT LLHQQQDNISHSREPPEVVTHTPGQPQETELDAEVENCHHYMPFAAAAYGWPLYIYRNPF TGLCRIGGDCCRARDIEYDAVEGDQHNCHFASILKTTGLQYRDFIHISFHDKVYELPFIV VLDHRKESVVVAVRGTMSLQDVLTDLSAESETLELGIELQDCVAHKGIAQAARYIHRRLV NDGILSQAFSVAPEYQLVLVGHSLGAGAAALLAIMLRGAYPQVRAYAFSPPRGLLSKSLY EYSKDFVVSLILGMDVIPRLSVTNMEDLKRRILRVIANCNKPKYKILLHGCWYGLFGGSP DNFPTELDEGTQGALTQPLLGEQTLLTRYSPGYCSSDSPLDSPTKYPTLYPPGRIIHLEE EGGSGRFGCCSAAQYRARWAHEAEFSKILIGPKMLIDHMPDVMIRALDRVLADRTACVSC PGQGGSSVP >ENSMUSP00000035683.8 pep:known chromosome:GRCm38:17:46755663:46763712:-1 gene:ENSMUSG00000036858.8 transcript:ENSMUST00000041012.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptcra description:pre T cell antigen receptor alpha [Source:MGI Symbol;Acc:MGI:104857] MLLHEWAMARTWLLLLLGVRCQALPSGIAGTPFPSLAPPITLLVDGRQHMLVVCLVLDAA PPGLDNPVWFSAGNGSALDAFTYGPSLAPDGTWTSLAQLSLPSEELEAWEPLVCHTRPGA GGQNRSTHPLQLSGESSTARSCFPEPLGGTQRQVLWLSLLRLLLFKLLLLDVLLTCSHLR LHVLAGQHLQPPPSRKSLPPTHRIWT >ENSMUSP00000005175.4 pep:known chromosome:GRCm38:4:152338887:152390181:1 gene:ENSMUSG00000005045.16 transcript:ENSMUST00000005175.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd5 description:chromodomain helicase DNA binding protein 5 [Source:MGI Symbol;Acc:MGI:3036258] MRGPLGTEEELPRLFAEEMENEEEMSEEEDGGLEGFEDFFPAEPVSLPKKKPKKLKESKS SKGKRKKKEGSNDEMSDNEEDLEEKSESEGSDYSPTKKKKKKLKEKKEKKEKKEKRKKRG EDEDDNDDGGLKEPKSSGQLMAEWGLDDVDYLFSEDDYHTLTNYKAFSQFLRPLIAKKNP KIPMSKMMTVLGAKWREFSANNPFKGSSAAAAAAAVAAAVETVTIAPPLAISPQQVPQTL PIRKAKTKEGKGPGVRKKNKGAKDSKKKGRGKRVAGLKFRFGGISKRKKGSSSEEDERED SDLDNASIHSSSVRSECSAALGKKNKRRRKKKRIDDGDGYETDHQDYCEVCQQGGEIILC DTCPRAYHLVCLDPELEKAPEGKWSCPHCEKEGIQWEPKDDDEEEEEGGCEEEEDDHMEF CRVCKDGGELLCCDACPSSYHLHCLNPPLPEIPNGEWLCPRCTCPPLKGKVQRILHWRWT EPPAPFVVGLPGPEVEPGMPPPRPLEGIPEREFFVKWAGLSYWHCSWVKELQLELYHTVM YRNYQRKNDMDEPPPFDYGSGDEDGKSEKRKNKDPLYAKMEERFYRYGIKPEWMMVHRIL NHSFDKKGDIHYLIKWKDLPYDQCTWEIDEIDIPYYDNLKQAYWGHRELMLGEDARLPKR LVKKGKKLKDDKQEKPPDTPIVDPTVKFDKQPWYIDATGGTLHPYQLEGLNWLRFSWAQG TDTILADEMGLGKTVQTIVFLYSLYKEGHSKGPYLVSAPLSTIINWEREFEMWAPDFYVV TYTGDKESRSVIRENEFSFEDNAIRGGKKVFRMKKEVQIKFHVLLTSYELITIDQAILGS IEWACLVVDEAHRLKNNQSKFFRVLNSYKIDYKLLLTGTPLQNNLEELFHLLNFLTPERF NNLEGFLEEFADISKEDQIKKLHDLLGPHMLRRLKADVFKNMPAKTELIVRVELSQMQKK YYKFILTRNFEALNSKGGGNQVSLLNIMMDLKKCCNHPYLFPVAAVEAPVLPNGSYDGSS LVKSSGKLMLLQKMLKKLRDEGHRVLIFSQMTKMLDLLEDFLEYEGYKYERIDGGITGGL RQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRAHRIG QNKKVMIYRFVTRASVEERITQVAKRKMMLTHLVVRPGLGSKSGSMTKQELDDILKFGTE ELFKDDVEGMMSQGQRPTTPIPDIQSTKGGSLTAGAKKKHGSTPPGDNKDVEDSSVIHYD DAAISKLLDRNQDATDDTELQNMNEYLSSFKVAQYVVREEDGVEEVEREVIKQEENVDPD YWEKLLRHHYEQQQEDLARNLGKGKRIRKQVNYNDASQEDQEWQDELSDNQSEYSIGSED EDEDFEERPEGQSGRRQSRRQLKSDRDKPLPPLLARVGGNIEVLGFNARQRKAFLNAIMR WGMPPQDAFNSHWLVRDLRGKSEKEFRAYVSLFMRHLCEPGADGAETFADGVPREGLSRQ HVLTRIGVMSLVRKKVQEFEHVNGKYSTPDLVPEGAEGKKPGEVISSDPNTPVPASPAQL PPAPLGLTDKMEAQLGYTDEKESGMQKPKKSLEIQTLPTALDRVEGEDKHQSSDSKDRAR EERTEEVEKAQGSPEQPLKEEVLPDKEPIPDKPELSLGHSGDFRPDDPKTEEKEPGETQQ NGDREEDEEGKKEDKNGKFKFMFNIADGGFTELHTLWQNEERAAVSSGKIYEIWHRRHDY WLLAGIVTHGYARWQDIQNDPRYMILNEPFKSEIHKGNYLEMKNKFLARRFKLLEQALVI EEQLRRAAYLNMTQDPNHPAMALNARLAEVECLAESHQHLSKESLAGNKPANAVLHKVLN QLEELLSDMKADVTRLPSMLSRIPPVAARLQMSERSILSRLTNRAGDPTIQQTSSRRRDF PLFQRSFPAEPSHLPNPRGREKLQPF >ENSMUSP00000030775.5 pep:known chromosome:GRCm38:4:152338651:152390194:1 gene:ENSMUSG00000005045.16 transcript:ENSMUST00000030775.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd5 description:chromodomain helicase DNA binding protein 5 [Source:MGI Symbol;Acc:MGI:3036258] MRGPLGTEEELPRLFAEEMENEEEMSEEEDGGLEGFEDFFPAEPVSLPKKKPKKLKESKS SKGKRKKKEGSNDEMSDNEEDLEEKSESEGSDYSPTKKKKKKLKEKKEKKEKKEKRKKRG EDEDDNDDGGLKEPKSSGQLMAEWGLDDVDYLFSEDDYHTLTNYKAFSQFLRPLIAKKNP KIPMSKMMTVLGAKWREFSANNPFKGSSAAAAAAAVAAAVETVTIAPPLAISPQQVPQTL PIRKAKTKEGKGPGVRKKNKGAKDSKKKGRGKRVAGLKFRFGGISKRKKGSSSEEDERED SDLDNASIHSSSVRSECSAALGKKNKRRRKKKRIDDGDGYETDHQDYCEVCQQGGEIILC DTCPRAYHLVCLDPELEKAPEGKWSCPHCEKEGIQWEPKDDDEEEEEGGCEEEEDDHMEF CRVCKDGGELLCCDACPSSYHLHCLNPPLPEIPNGEWLCPRCTCPPLKGKVQRILHWRWT EPPAPFVVGLPGPEVEPGMPPPRPLEGIPEREFFVKWAGLSYWHCSWVKELQLELYHTVM YRNYQRKNDMDEPPPFDYGSGDEDGKSEKRKNKDPLYAKMEERFYRYGIKPEWMMVHRIL NHSFDKKGDIHYLIKWKDLPYDQCTWEIDEIDIPYYDNLKQAYWGHRELMLGEDARLPKR LVKKGKKLKDDKQEKPPDTPIVDPTVKFDKQPWYIDATGGTLHPYQLEGLNWLRFSWAQG TDTILADEMGLGKTVQTIVFLYSLYKEGHSKGPYLVSAPLSTIINWEREFEMWAPDFYVV TYTGDKESRSVIRENEFSFEDNAIRGGKKVFRMKKEVQIKFHVLLTSYELITIDQAILGS IEWACLVVDEAHRLKNNQSKFFRVLNSYKIDYKLLLTGTPLQNNLEELFHLLNFLTPERF NNLEGFLEEFADISKEDQIKKLHDLLGPHMLRRLKADVFKNMPAKTELIVRVELSQMQKK YYKFILTRNFEALNSKGGGNQVSLLNIMMDLKKCCNHPYLFPVAAVEAPVLPNGSYDGSS LVKSSGKLMLLQKMLKKLRDEGHRVLIFSQMTKMLDLLEDFLEYEGYKYERIDGGITGGL RQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRAHRIG QNKKVMIYRFVTRASVEERITQVAKRKMMLTHLVVRPGLGSKSGSMTKQELDDILKFGTE ELFKDDVEGMMSQGQRPTTPIPDIQSTKGGSLTAGAKKKHGSTPPGDNKDVEDSSVIHYD DAAISKLLDRNQDATDDTELQNMNEYLSSFKVAQYVVREEDGVEEVEREVIKQEENVDPD YWEKLLRHHYEQQQEDLARNLGKGKRIRKQVNYNDASQEDQEWQDELSDNQSEYSIGSED EDEDFEERPEGQSGRRQSRRQLKSDRDKPLPPLLARVGGNIEVLGFNARQRKAFLNAIMR WGMPPQDAFNSHWLVRDLRGKSEKEFRAYVSLFMRHLCEPGADGAETFADGVPREGLSRQ HVLTRIGVMSLVRKKVQEFEHVNGKYSTPDLVPEGAEGKKPGEVISSDPNTPVPASPAQL PPAPLGLTDKMEAQLGYTDEKESGMQKPKKSLEIQTLPTALDRVEGEDKHQSSDSKDRAR EERTEEVEKAQGSPEQPLKEEVLPDKEPIPDKPELSLGHSGDFRPDDPKTEEKEPGETQQ NGDREEDEEGKKEDKNGKFKFMFNIADGGFTELHTLWQNEERAAVSSGKIYEIWHRRHDY WLLAGIVTHGYARWQDIQNDPRYMILNEPFKSEIHKGNYLEMKNKFLARRFKLLEQALVI EEQLRRAAYLNMTQDPNHPAMALNARLAEVECLAESHQHLSKESLAGNKPANAVLHKVLN QLEELLSDMKADVTRLPSMLSRIPPVAARLQMSERSILSRLTNRAGDPTIQQGAFGSSQM YNNSFGPNFRGPGPGGIVNYNQMPLGPYVTDI >ENSMUSP00000132600.1 pep:known chromosome:GRCm38:4:152338651:152390194:1 gene:ENSMUSG00000005045.16 transcript:ENSMUST00000164662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd5 description:chromodomain helicase DNA binding protein 5 [Source:MGI Symbol;Acc:MGI:3036258] MRGPLGTEEELPRLFAEEMENEEEMSEEEDGGLEGFEDFFPAEPVSLPKKKPKKLKESKS SKGKRKKKEGSNDEMSDNEEDLEEKSESEGSDYSPTKKKKKKLKEKKEKKEKKEKRKKRG EDEDDNDDGGLKEPKSSGQLMAEWGLDDVDYLFSEDDYHTLTNYKAFSQFLRPLIAKKNP KIPMSKMMTVLGAKWREFSANNPFKGSSAAAAAAAVAAAVETVTIAPPLAISPQQVPQTL PIRKAKTKEGKGPGVRKKNKGAKDSKKKGRGKRVAGLKFRFGGISKRKKGSSSEEDERED SDLDNASIHSSSVRSECSAALGKKNKRRRKKKRIDDGDGYETDHQDYCEVCQQGGEIILC DTCPRAYHLVCLDPELEKAPEGKWSCPHCEKEGIQWEPKDDDEEEEEGGCEEEEDDHMEF CRVCKDGGELLCCDACPSSYHLHCLNPPLPEIPNGEWLCPRCTCPPLKGKVQRILHWRWT EPPAPFVVGLPGPEVEPGMPPPRPLEGIPEREFFVKWAGLSYWHCSWVKELQLELYHTVM YRNYQRKNDMDEPPPFDYGSGDEDGKSEKRKNKDPLYAKMEERFYRYGIKPEWMMVHRIL NHSFDKKGDIHYLIKWKDLPYDQCTWEIDEIDIPYYDNLKQAYWGHRELMLGEDARLPKR LVKKGKKLKDDKQEKPPDTPIVDPTVKFDKQPWYIDATGGTLHPYQLEGLNWLRFSWAQG TDTILADEMGLGKTVQTIVFLYSLYKEGHSKGPYLVSAPLSTIINWEREFEMWAPDFYVV TYTGDKESRSVIRENEFSFEDNAIRGGKKVFRMKKEVQIKFHVLLTSYELITIDQAILGS IEWACLVVDEAHRLKNNQSKFFRVLNSYKIDYKLLLTGTPLQNNLEELFHLLNFLTPERF NNLEGFLEEFADISKEDQIKKLHDLLGPHMLRRLKADVFKNMPAKTELIVRVELSQMQKK YYKFILTRNFEALNSKGGGNQVSLLNIMMDLKKCCNHPYLFPVAAVEAPVLPNGSYDGSS LVKSSGKLMLLQKMLKKLRDEGHRVLIFSQMTKMLDLLEDFLEYEGYKYERIDGGITGGL RQEAIDRFNAPGAQQFCFLLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRAHRIG QNKKVMIYRFVTRASVEERITQVAKRKMMLTHLVVRPGLGSKSGSMTKQELDDILKFGTE ELFKDDVEGDNKDVEDSSVIHYDDAAISKLLDRNQDATDDTELQNMNEYLSSFKVAQYVV REEDGVEEVEREVIKQEENVDPDYWEKLLRHHYEQQQEDLARNLGKGKRIRKQVNYNDAS QEDQEWQDELSDNQSEYSIGSEDEDEDFEERPEGQSGRRQSRRQLKSDRDKPLPPLLARV GGNIEVLGFNARQRKAFLNAIMRWGMPPQDAFNSHWLVRDLRGKSEKEFRAYVSLFMRHL CEPGADGAETFADGVPREGLSRQHVLTRIGVMSLVRKKVQEFEHVNGKYSTPDLVPEGAE GKKPGEVISSDPNTPVPASPAQLPPAPLGLTDKMEAQLGYTDEKESGMQKPKKSLEIQTL PTALDRVEGEDKHQSSDSKDRAREERTEEVEKAQGSPEQPLKEEVLPDKEPIPDKPELSL GHSGDFRPDDPKTEEKEPGETQQNGDREEDEEGKKEDKNGKFKFMFNIADGGFTELHTLW QNEERAAVSSGKIYEIWHRRHDYWLLAGIVTHGYARWQDIQNDPRYMILNEPFKSEIHKG NYLEMKNKFLARRFKLLEQALVIEEQLRRAAYLNMTQDPNHPAMALNARLAEVECLAESH QHLSKESLAGNKPANAVLHKVLNQLEELLSDMKADVTRLPSMLSRIPPVAARLQMSERSI LSRLTNRAGDPTIQQGAFGSSQMYNNSFGPNFRGPGPGGIVNYNQMPLGPYVTDI >ENSMUSP00000066520.8 pep:known chromosome:GRCm38:2:181493206:181494980:1 gene:ENSMUSG00000055882.8 transcript:ENSMUST00000069649.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd16b description:abhydrolase domain containing 16B [Source:MGI Symbol;Acc:MGI:3607711] MCVICFVKALVHVFKIYLTANYSYNFRSWPVDFRWDDLHAPSTGNSSQRALTCAAAAAGV WLLHDAALGGDTLTRPPRGARSQVQCLLQQIRELPSQLASYALAHSLGRWLVYPGSMFLM TRALMPLLQQGQERLVDRYRGRRAKLVACDGNEIDTMFMDRRQHPGSHGRGLCLVICCEG NAGFYEMGCLSAPLEAGYSVLGWNHPGFGGSTGAPFPQHDANAMDVVVKYALHRLNFPPA HVVVYGWSIGGFTATWATMTYPELGALVLDATFDDLVPLALKVMPQSWKGLVVRTVREHF NLNVAEQLCCYPGPVLLLRRTQDDVVSTSNHIPSMPSCQVEGDVEGNRGNELLLRLLQHR YPSVMAREGRTVVTRWLRASNLAQETALYARYRVDDEWCLATLRSYRERCQKELDDAEAW GPHGLSFPWFVGQGLSARRRRQLALFLARRHLKNLEATHCSPLEPEDFQLPWRL >ENSMUSP00000026555.5 pep:known chromosome:GRCm38:7:140847805:140851018:1 gene:ENSMUSG00000025482.11 transcript:ENSMUST00000026555.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf3 description:outer dense fiber of sperm tails 3 [Source:MGI Symbol;Acc:MGI:1916537] MAEEVWMGTWRPHRPRGPIMALYSSPGPKYLIPPTTGFVKHTPTKLRAPAYSFRGAPMLL AENCSPGPRYSVNPKILKTGKDLGPAYSILGRYHTKTLLTPGPGDYFPEKSTKYVFDSAP SHSISARTKTFRVDSTPGPAAYMLPVVMGPHTVGKVSQPSFSIKGRSKLGSFSDDLHKTP GPAAYRQTEVQVTKFKAPQYTMAARVEPPGDKTLKPGPGAHSPEKVTLNKPCAPTVTFGI KHSDYMTPLVVDVE >ENSMUSP00000101664.1 pep:known chromosome:GRCm38:7:140847823:140851008:1 gene:ENSMUSG00000025482.11 transcript:ENSMUST00000106049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf3 description:outer dense fiber of sperm tails 3 [Source:MGI Symbol;Acc:MGI:1916537] MRARNEMQQGGHPSTWSPSCPWTEPAMAEEVWMGTWRPHRPRGPIMALYSSPGPKYLIPP TTGFVKHTPTKLRAPAYSFRGAPMLLAENCSPGPRYSVNPKILKTGKDLGPAYSILGRYH TKTLLTPGPGDYFPEKSTKYVFDSAPSHSISARTKTFRVDSTPGPAAYMLPVVMGPHTVG KVSQPSFSIKGRSKLGSFSDDLHKTPGPAAYRQTEVQVTKFKAPQYTMAARVEPPGDKTL KPGPGAHSPEKVTLNKPCAPTVTFGIKHSDYMTPLVVDVE >ENSMUSP00000095656.4 pep:known chromosome:GRCm38:7:126487361:126490727:1 gene:ENSMUSG00000073838.10 transcript:ENSMUST00000098048.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tufm description:Tu translation elongation factor, mitochondrial [Source:MGI Symbol;Acc:MGI:1923686] MAAATLLRATPRFSGLCASPTPFLQGRLRPLKAPASPFLCRGLAVEAKKTYVRDKPHVNV GTIGHVDHGKTTLTAAITKILAEGGGAKFKKYEEIDNAPEERARGITINAAHVEYSTAAR HYAHTDCPGHADYVKNMITGTAPLDGCILVVAANDGPMPQTREHLLLAKQIGVEHVVVYV NKADAVQDSEMVELVELEIRELLTEFGYKGEETPVIVGSALCALEQRDPELGVKSVQKLL DAVDTYIPVPTRDLDKPFLLPVESVYSIPGRGTVVTGTLERGILKKGDECELLGHNKNIR TVVTGIEMFHKSLERAEAGDNLGALVRGLKREDLRRGLVMVKPGSIQPHQKVEAQVYILS KEEGGRHKPFVSHFMPVMFSLTWDMACRVILPPGKELAMPGEDLKLSLILRQPMILEKGQ RFTLRDGNKTIGTGLVTDVPAMTEEDKNIKWS >ENSMUSP00000102000.3 pep:known chromosome:GRCm38:7:126487378:126490731:1 gene:ENSMUSG00000073838.10 transcript:ENSMUST00000106392.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tufm description:Tu translation elongation factor, mitochondrial [Source:MGI Symbol;Acc:MGI:1923686] MAAATLLRATPRFSGLCASPTPFLQGRLRPLKAPASPFLCRGLAVEAKKTYVRDKPHVNV GTIGHVDHGKTTLTAAITKILAEGGGAKFKKYEEIDNAPEERARGITINAAHVEYSTAAR HYAHTDCPGHADYVKNMITGTAPLDGCILVVAANDGPMPQTREHLLLAKQIGVEHVVVYV NKADAVQDSEMVELVELEIRELLTEFGYKGEETPVIVGSALCALEQRDPELGVKSVQKLL DAVDTYIPVPTRDLDKPFLLPVESVYSIPGRGTVVTGTLERGILKKGDECELLGHNKNIR TVVTGIEMFHKSLERAEAGDNLGALVRGLKREDLRRGLVMVKPGSIQPHQKVEAQVRAPV LSGFPCLEAGLVAKPFHPYCFSPLGLYPQQGGRWPPQTLCISFHARHVLPDLGHGLSSHL ASREGTCHAWRGLEA >ENSMUSP00000145664.1 pep:known chromosome:GRCm38:7:126488686:126489375:1 gene:ENSMUSG00000073838.10 transcript:ENSMUST00000206055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tufm description:Tu translation elongation factor, mitochondrial [Source:MGI Symbol;Acc:MGI:1923686] ILVVAANDGPMPQTREHLLLAKQDSEMVELVELEIRELLTEFGYKGEETPVIVGSALCAL EQRDPELGVKSVQKLLDAVDTYIPVPTRDLDKPFLLPVESVYSIPGRGTVVTGTLERG >ENSMUSP00000145924.1 pep:known chromosome:GRCm38:7:126489371:126490229:1 gene:ENSMUSG00000073838.10 transcript:ENSMUST00000206572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tufm description:Tu translation elongation factor, mitochondrial [Source:MGI Symbol;Acc:MGI:1923686] GILKKGDECELLGHNKNIRTVVTGIEMFHKSLERAEAGDNLGALVRGLKREDLRRGLVMV KPGSIQPHQKVEAQVYILSKEEGGRHKPFVSHFMPVMFSLTWDMACRVILPPGKVC >ENSMUSP00000028368.7 pep:known chromosome:GRCm38:2:59852364:59882584:-1 gene:ENSMUSG00000026988.15 transcript:ENSMUST00000028368.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdsub1 description:WD repeat, SAM and U-box domain containing 1 [Source:MGI Symbol;Acc:MGI:1919387] MVRLIHTLADHGDDVSCCAFSAALLATCSLDKTIRLYSLSDFAELPYSPLKFHTYAVHCC CFSPSGHVLASCSTDGTTVLWSSHSGHTLTVLEQPGGSPVRVCCFSPDSAYLASGAADGS IALWNAQTYKLYRCGSVKDSSLVACAFSPDGGLFVTGSSGGDLTVWDDRMRCLHSEKAHD LGITCCSFSSQPLSGGEGLQSYQLASCGQDCEIKLWAVTITRVLGFELKYKSTLSGHCAP VLACAFSHDGKMLASGSVDKSVIIHGIGPQSVLHTLTQHTRYVTTCAFAPNTLLLATGSM DKTVNIWQFDLETPCQGSMNDPLKHFTEEWSEEDVSVWLRAQGLEDLVGIFRANNIDGKE LLHLTKESLAGDLKIESLGLRSKVLRSIEELRAKMDSLSSGIPDEFICPITRELMKDPVI ASDGYSYEREAMESWIHKKKRTSPMTNLALPSLVLTPNRTLKMAINRWLETHEK >ENSMUSP00000099812.2 pep:known chromosome:GRCm38:2:59855187:59882547:-1 gene:ENSMUSG00000026988.15 transcript:ENSMUST00000102751.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdsub1 description:WD repeat, SAM and U-box domain containing 1 [Source:MGI Symbol;Acc:MGI:1919387] MVRLIHTLADHGDDVSCCAFSAALLATCSLDKTIRLYSLSDFAELPYSPLKFHTYAVHCC CFSPSGHVLASCSTDGTTVLWSSHSGHTLTVLEQPGGSPVRVCCFSPDSAYLASGAADGS IALWNAQTYKLYRCGSVKDSSLVACAFSPDGGLFVTGSSGGDLTVWDDRMRCLHSEKAHD LGITCCSFSSQPLSGGEGLQSYQLASCGQDCEIKLWAVTITRVLGFELKYKSTLSGHCAP VLACAFSHDGKMLASGSVDKSVIIHGIGPQSVLHTLTQHTRYVTTCAFAPNTLLLATGSM DKTVNIWQFDLETPCQGSMNDPLKHFTEEWSEEDVSVWLRAQGLEDLVGIFRANNIDGKE LLHLTKESLAGDLKIESLGLRSKVLRSIEELRAKMDSLSSGIPDEFICPITRELMKDPVI ASG >ENSMUSP00000121438.1 pep:known chromosome:GRCm38:2:59861561:59876842:-1 gene:ENSMUSG00000026988.15 transcript:ENSMUST00000139689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdsub1 description:WD repeat, SAM and U-box domain containing 1 [Source:MGI Symbol;Acc:MGI:1919387] XVKDSSLVACAFSPDGGLFVTGSSGGDLTVWDDRMRCLHSEKAHDLGITCCSFSSQPLSG GEGLQSYQLASCGQDCEIKLWAVTITRVLGFELKYKSTLSGHCAPVLACAFSHDGKMLAS GSVDKSVIIHGIGPQSVLHTLTQHTRYVTTCAFAPNTLLLATGSMDKTVNIWQFDLETPC QAGSMNDPLKHFTEEWSEEDVSVWLRAQGLEDLVGIFRANNIDGKELLHLTKESLAGDLK I >ENSMUSP00000114814.1 pep:known chromosome:GRCm38:2:59870329:59878164:-1 gene:ENSMUSG00000026988.15 transcript:ENSMUST00000133809.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdsub1 description:WD repeat, SAM and U-box domain containing 1 [Source:MGI Symbol;Acc:MGI:1919387] ALWNAQTYKLYRCGSVKDSSLVACAFSPDGGLFVTGSSGGDLTVWDDRMRCLHSEKAHDL GITCCSFSSQPLSGGEGLQSYQLASCGQDCEIKLWAVTITRVLGFELKYKSTLSGHCAPV LACAFSHDGKMLASGFCNSKIGFTVFVSFLSCPCGQIQIFGCTHVVHTHRHRHVHIISTQ VNK >ENSMUSP00000114811.1 pep:known chromosome:GRCm38:2:59876667:59882586:-1 gene:ENSMUSG00000026988.15 transcript:ENSMUST00000140475.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdsub1 description:WD repeat, SAM and U-box domain containing 1 [Source:MGI Symbol;Acc:MGI:1919387] MVRLIHTLADHGDDVSCCAFSAALLATCSLDKTIRLYSLSDFAELPYSPLKFHTYAVHCC CFSPSGHVLASCSTDGTTVLWSSHSGHTLTVLEQPGGSPVRVCCFSPDSAYLASGAADGS IALWNAQTYKLYRCGSVKDSSLVACAFSPDGGLFVTGSSGGDLTVWDDRMRCLHSEKAHD LGITCCSFSSQPLS >ENSMUSP00000121242.1 pep:known chromosome:GRCm38:2:59876668:59882574:-1 gene:ENSMUSG00000026988.15 transcript:ENSMUST00000128671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdsub1 description:WD repeat, SAM and U-box domain containing 1 [Source:MGI Symbol;Acc:MGI:1919387] MVRLIHTLADHGDDVSCCAFSAALLATCSLDKTIRLYSLSDFAELPYSPLKFHTYAVHCC CFSPSGHVLASCSTDGTTVLWSSHSGHTLTVLEQPGGSPVRVCCFSPDSAYLASGAADGS IALWNAQTYKLYRCGSVKDSSLVACAFSPDGGLFVTGSSGGDLTVWDDRMRCLHSEKAHD LGITCCSFSSQPLS >ENSMUSP00000106166.1 pep:known chromosome:GRCm38:2:122303549:122313693:-1 gene:ENSMUSG00000027224.14 transcript:ENSMUST00000110537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duoxa1 description:dual oxidase maturation factor 1 [Source:MGI Symbol;Acc:MGI:2384861] MAALGHTLPFYTGTKPTFPMDTTLAVIITIFLTALVTFIIILPGIRGKTRLFWLLRVVTS LFIGAVILAVNFSSEWSVGHVNANTTYKAFSPKWVSVDVGLQIGLGGVNITLTGTPVQQL NETINYNEAFAWRLGRSYAEEYAKALEKGLPDPVLYLAEKFTPRSPCGLYNQYRLAGHYA SAMLWVAFLCWLLANVMLSMPVLVYGGHMLLATGLFQLLALFFFSMTTSLISPCPLRLGT AVLHTHHGPAFWITLATGLLCILLGLVMAVAHRMQPHRLKAFFNQSSEDPVLEWGSEEGG LLSPHYRSIAESPETQDIPMSVASSETCFKEEHPKESDCSL >ENSMUSP00000106167.1 pep:known chromosome:GRCm38:2:122302191:122306848:-1 gene:ENSMUSG00000027224.14 transcript:ENSMUST00000110538.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duoxa1 description:dual oxidase maturation factor 1 [Source:MGI Symbol;Acc:MGI:2384861] MAALGHTLPFYTGTKPTFPMDTTLAVIITIFLTALVTFIIILPGIRGKTRLFWLLRVVTS LFIGAVILGTPVQQLNETINYNEAFAWRLGRSYAEEYAKALEKGLPDPVLYLAEKFTPRS PCGLYNQYRLAGHYASAMLWVAFLCWLLANVMLSMPVLVYGGHMLLATGLFQLLALFFFS MTTSLISPCPLRLGTAVLHTHHGPAFWITLATGLLCILLGLVMAVAHRMQPHRLKAFFNQ SSEDPVLEWGSEEGGLLSPHYRSIAESPETQDIPMSVASSETCFKEEHPKESDCSL >ENSMUSP00000116963.1 pep:known chromosome:GRCm38:2:122304769:122313730:-1 gene:ENSMUSG00000027224.14 transcript:ENSMUST00000148417.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duoxa1 description:dual oxidase maturation factor 1 [Source:MGI Symbol;Acc:MGI:2384861] MAALGHTLPFYTGTKPTFPMDTTLAVIITIFLTALVTFIIILPGIRGKTRLFWLLRVVTS LFIGAVILAVNFSSEWSVGHVNANTTYKAFSPKWVSVDVGLQIGLGGVNITLTGTPVQQL NETINYNEAFAWRLGRSYAEEYAKALEKGLPDPVLYLAEKFTPRSPCGLYNQYRLAGHYA SAMLWVAFLCWLLANVMLSMPVLVYGGHML >ENSMUSP00000116911.1 pep:known chromosome:GRCm38:2:122305594:122313651:-1 gene:ENSMUSG00000027224.14 transcript:ENSMUST00000154412.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duoxa1 description:dual oxidase maturation factor 1 [Source:MGI Symbol;Acc:MGI:2384861] MAALGHTLPFYTGTKPTFPMDTTLAVIITIFLTALVTFIIILPGIRGKTRLFWLLRVVTS LFIGAVILAVNFSSEWSVGHVNANTTYKAFSPKWVSVDVG >ENSMUSP00000116280.1 pep:known chromosome:GRCm38:2:122305215:122313644:-1 gene:ENSMUSG00000027224.14 transcript:ENSMUST00000147788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duoxa1 description:dual oxidase maturation factor 1 [Source:MGI Symbol;Acc:MGI:2384861] MAALGHTLPFYTGTKPTFPMDTTLAVIITIFLTALVTFIIILPGIRGKTRLFWLLRVVTS LFIGAVILAVNFSSEWSVGHVNANTTYKAFSPKWVSVDVGLQIGLGGVNITLTGTPVQQL NETINYNEAFAWRL >ENSMUSP00000028653.7 pep:known chromosome:GRCm38:2:122303745:122306826:-1 gene:ENSMUSG00000027224.14 transcript:ENSMUST00000028653.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duoxa1 description:dual oxidase maturation factor 1 [Source:MGI Symbol;Acc:MGI:2384861] MAALGHTLPFYTGTKPTFPMDTTLAVIITIFLTALVTFIIILPGIRGKTRLFWLLRVVTS LFIGAVILAVNFSSEWSVGHVNANTTYKAFSPKWVSVDVGLQIGLGGVNITLTGTPVQQL NETINYNEAFAWRLGRSYAEEYAKALEKGLPDPVLYLAEKFTPRSPCGLYNQYRLAGHYA SAMLWVAFLCWLLANVMLSMPVLVYGGHMLLATGLFQLLALFFFSMTTSLISPCPLRLGT AVLHTHHGPAFWITLATGLLCILLGLVMAVAHRMQPHRLKAFFNQSSEDPVLEWGSEEGG LLSPHYRSIAESPETQDIPMSVASSETCFKEEHPKESDCSL >ENSMUSP00000140422.1 pep:known chromosome:GRCm38:1:16641725:16656884:-1 gene:ENSMUSG00000079658.9 transcript:ENSMUST00000188641.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceb1 description:transcription elongation factor B (SIII), polypeptide 1 [Source:MGI Symbol;Acc:MGI:1915173] MDGEEKTYGGCEGPDAMYVKLISSDGHEFIVKREHALTSGTIKAMLSGPGQFAENETNEV NFREIPSHVLSKVCMYFTYKVRYTNSSTEIPEFPIAPEIALELLMAANFLDC >ENSMUSP00000111009.3 pep:known chromosome:GRCm38:1:16642767:16657042:-1 gene:ENSMUSG00000079658.9 transcript:ENSMUST00000115352.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceb1 description:transcription elongation factor B (SIII), polypeptide 1 [Source:MGI Symbol;Acc:MGI:1915173] MDGEEKTYGGCEGPDAMYVKLISSDGHEFIVKREHALTSGTIKAMLSGPGQFAENETNEV NFREIPSHVLSKVCMYFTYKVRYTNSSTEIPEFPIAPEIALELLMAANFLDC >ENSMUSP00000140962.1 pep:known chromosome:GRCm38:1:16643142:16657018:-1 gene:ENSMUSG00000079658.9 transcript:ENSMUST00000186948.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceb1 description:transcription elongation factor B (SIII), polypeptide 1 [Source:MGI Symbol;Acc:MGI:1915173] MDGEEKTYGGCEGPDAMYVKLISSDGHEFIVKREHALTSGTIKAMLSGPGQFAENETNEV NFREIPSHVLSKVCMYFTYKVRYTNSSTEIPEFPIAPEIALELLMAANFLDC >ENSMUSP00000139480.1 pep:known chromosome:GRCm38:1:16643169:16657038:-1 gene:ENSMUSG00000079658.9 transcript:ENSMUST00000187910.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceb1 description:transcription elongation factor B (SIII), polypeptide 1 [Source:MGI Symbol;Acc:MGI:1915173] MDSLELEEEEEEGGGSGRRGRRHDGEEKTYGGCEGPDAMYVKLISSDGHEFIVKREHALT SGTIKAMLSGPGQFAENETNEVNFREIPSHVLSKVCMYFTYKVRYTNSSTEIPEFPIAPE IALELLMAANFLDC >ENSMUSP00000139675.1 pep:known chromosome:GRCm38:1:16643191:16656914:-1 gene:ENSMUSG00000079658.9 transcript:ENSMUST00000185771.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceb1 description:transcription elongation factor B (SIII), polypeptide 1 [Source:MGI Symbol;Acc:MGI:1915173] MDGEEKTYGGCEGPDAMYVKLISSDGHEFIVKREHALTSGTIKAMLSGPGQFAENETNEV NFREIPSHVLSKVCMYFTYKVRYTNSSTEIPEFPIAPEIALELLMAANFLDC >ENSMUSP00000140161.1 pep:known chromosome:GRCm38:1:16643342:16648039:-1 gene:ENSMUSG00000079658.9 transcript:ENSMUST00000185393.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceb1 description:transcription elongation factor B (SIII), polypeptide 1 [Source:MGI Symbol;Acc:MGI:1915173] MDGEEKTYGGCEGPDAMYVKLISSDGHEFIVKREHALTSGTIKAMLSGPGQFAENETNEV NFREIPSHVLSKVCMYFTYKVRYTNSSTEIPEFPI >ENSMUSP00000139741.1 pep:known chromosome:GRCm38:1:16643472:16656625:-1 gene:ENSMUSG00000079658.9 transcript:ENSMUST00000186701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceb1 description:transcription elongation factor B (SIII), polypeptide 1 [Source:MGI Symbol;Acc:MGI:1915173] MDGEEKTYGGCEGPDAMYVKLISSDGHEFIVKREHALTSGTIKAMLSGPGQ >ENSMUSP00000117419.1 pep:known chromosome:GRCm38:4:139352609:139469763:1 gene:ENSMUSG00000066036.14 transcript:ENSMUST00000147999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr4 description:ubiquitin protein ligase E3 component n-recognin 4 [Source:MGI Symbol;Acc:MGI:1916366] MVLRLPYQIKKIADTSSRIPPPVFDHSWFYFLSEYLMIQQTPFVRRQVRKLLLFICGSKE KYRQLRDLHTLDSHVRGIKKLLEEQGIFLRASVVTASSGSALQYDTLISLMEHLKACAEI AAQRTINWQKFCIKDDSVLYFLLQVSFLVDEGVSPVLLQLLSCALCGSKVLAALAASTGS SSVASSSAPPAASSGQATTQSKSSTKKSKKEEKEKEKEGESSGSQEDQLCTALVNQLNRF ADKETLIQFLRCFLLESNSSSVRWQAHCLTLHIYRNSNKAQQELLLDLMWSIWPELPAYG RKAAQFVDLLGYFSLKTAQTEKKLKEYSQKAVEILRTQNHILTNHPNSNIYNTLSGLVEF DGYYLESDPCLVCNNPEVPFCYIKLSSIKVDTRYTTTQQVVKLIGSHTISKVTVKIGDLK RTKMVRTINLYYNNRTVQAIVELKNKPARWHKAKKVQLTPGQTEVKIDLPLPIVASNLMI EFADFYENYQASTETLQCPRCSASVPANPGVCGNCGENVYQCHKCRSINYDEKDPFLCNA CGFCKYARFDFMLYAKPCCAVDPIENEEDRKKAVSNINTLLDKADRVYHQLMGHRPQLEN LLCKVNEAAPEKPQEDSGTAGGISSTSASVNRYILQLAQEYCGDCKNSFDELSKIIQKVF ASRKELLEYDLQQREAATKSSRTSVQPTFTASQYRALSVLGCGHTSSTKCYGCASAVTEH CITLLRALATNPALRHILVSQGLIRELFDYNLRRGAAAIREEVRQLMCLLTRDNPEATQQ MNDLIIGKVSTALKGHWANPDLASSLQYEMLLLTDSISKEDSCWELRLRCALSLFLMAVN IKTPVVVENITLMCLRILQKLIKPPAPTSKKNKDVPVEALTTVKPYCNEIHAQAQLWLKR DPKASYEAWKKCLPIRGVDGNGKSPSKSELHRLYLTEKYVWRWKQFLSRRGKRTTPLDLK LGHNNWLRQVLFTPATQAARQAACTIVEALATVPSRKQQVLDLLTSYLDELSVAGECAAE YLALYQKLIASCHWKVYLAARGVLPYVGNLITKEIARLLALEEATLSTDLQQGYALKSLT GLLSSFVEVESIKRHFKSRLVGTVLNGYLCLRKLVLQRTKLIDETQDMLLEMLEDMTTGT ESETKAFMAVCIETAKRYNLDDYRTPVFIFERLCSIIYPEENEVTEFFVTLEKDPQQEDF LQGRMPGNPYSSNEPGIGPLMRDIKNKICQDCDLVALLEDDSGMELLVNNKIISLDLPVA EVYKKVWCATNEGEPMRIVYRMRGLLGDATEEFIESLDSTT >ENSMUSP00000095433.3 pep:known chromosome:GRCm38:4:139380659:139489588:1 gene:ENSMUSG00000066036.14 transcript:ENSMUST00000097822.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr4 description:ubiquitin protein ligase E3 component n-recognin 4 [Source:MGI Symbol;Acc:MGI:1916366] MATSGGEEAAAAAPAPGAPATGQDTTPGWEVAVRPLLSASYSAFEMKELPQLVASVIESE SEILHHEKQYEPFYSSFVALSTHYITTVCSLIPRNQLQSVAAACKVLIEFSLLRLENPDE ACAVSQKHLILLIKGLCTGCSRLDRTEIITFTAMMKSAKLPQTVKTLSDVEDQKELASPV SPELRQKEVQMNFLNQLTSVFNPRTVPSPPISPQALVEGENDEQSSPDQVSAAKTKSVFI AQNVASLQELGGSEKLLRVCLNLPYFLRYINRFQDAVVANSFFIMPATVADATAVRNGFH SLVIDVTMALDTLSLPVLEPLNPSRLQDVTVLSLSCLYAGVSVATCMAILHVGSAQQVRT GSTSSKEDDYESDAATIVQKCLEIYDMIGQAISSSRRAGGEHFQNFQLLGAWCLLNSLFL ILNLSPTALADKGKEKDPLAALRVRDILSRTKEGVGSPKLGPGKGHQGFGVLSVILANHA IKLLASLFQDLQVEALHKGWETDGPPAVLSIMAQSTSTQRIQRLIDSVPLTNLLLTLLST SYRKACVLQRQRKGSMSSDASASTDSNTYYEDDFSSTEEDSSQDDDSEPILGQWFEETIS PSKEKAAPPPPPPPPPLESSPRVKSPNKQASGEKGNILASRKDPELFSGLASNILNFITT SMLNSRNSFIRSYLSASLSEHHMATLASIIKEVDKDGLKGSSDEDFAAALYHFNHSLVTS DLQSPNLQNTLLQQLGVAPFSEGPWPLYIHPQGLSVLSRLLLIWQHKAGAQGDPDVPECL KVWDRFLTTMKQNALQGVVPSETEDLNVEHLQLLLLIFHSFSEKGRRAILTMLVQSIQEL SVNMEVQMRTAPLILARLLLIFDYLLHQYSKAPVYLFEQVQHNLLSPPFGWASGSQDSSS RRANTPLYHGFKEVEENWSKHFSSDAAPQPRFYCVLSTEASEEDLNRLDSEACEVLFSKP VKYDELYSSLTTLLAAGSQLDTTRRKEKKNVTALEACALQYYFLILWRILGILPPSKTYM NQLAMNSPEMSECDILHTLRWSSRLRISSYVSWIKDHLIKQGMKPEHAGSLIELAASKCS SVKYDVEIVEEYFARQISSFCSIDCTAVLQLHEIPSLQSIYTLDAAVSKVQVSLDEHFSK MAAETDPHKSSEITKNLLPATLQLIDTYASFTRAYLLQNLNEEGSTEKPSQEKLHGFAAV LAIGSSRCKANTLGPTLVQNLPSSVQSVCESWNNINTNEFPNIGSWRNAFANDTIPSESY ISAVQAAHLGTLCGQSLPLAASLKHTLLSLVRLTGDLIVWSDEMNPAQVIRTLLPLLLES STESAAEISSNSLERILGPAESDEFLARVYEKLITGCYNILANHADPNSGLDESILEECL QYLEKQLESSQARKAMEEFFSDGGELVQIMMATANEDLSAKFCNRVLKFFTKLFQLTEKS PNPSLLHLCGSLAQLACVEPVRLQAWLTRMTTSPPKDSDQLEVIQENRQLLQLLTTYIVR ENSQVGEGVCAVLLGTLTPMATDMLANGDGTGFPELMVVMATLASAGQGAGHLQLHNAAV DWLGRCKKYLSQKNVVEKLNANVMHGKHVMVLECTCHIMSYLADVTNALSQSNGQGPSHL SVDGEERAIEVDSDWVEELAVEEEDSQAEDSDEDSLCNKLCTFTITQKEFMNQHWYHCHT CKMVDGVGVCTVCAKVCHKDHEISYAKYGSFFCDCGAKEDGSCLALVKRTPSSGMSSTMK ESAFQSEPRVSESLVRHASTSPADKAKVTISDGKVTDEEKPKKSSLCRTVEGCREELQNQ ANFSFAPLVLDMLSFLMDAIQTNFQQASAVGSSSRAQQALSELHTVDKGVEMTDQLMVPT LGSQEGAFENVRMNYSGDQGQTIRQLISAHVLRRVAMCVLSSPHGRRQHLAVSHEKGKIT VLQLSALLKQADSSKRKLTLTRLASAPVPFTVLSLTGNPCKEDYLAVCGLKDCHVLTFSS SGSVSDHLVLHPQLATGNFIIKAVWLPGSQTELAIVTADFVKIYDLSIDALSPTFYFLLP SSKIRDVTFLFNEEGKNIIVIMSSAGYMYTQLMEEASSAQQGPFYVTNVLEINHEDLKDS NSQVAGGGVSVYYSHVLQMLFFSYSQGRSFAATVSRSTLEVLQLFPINIKSSNGGSKTSP ALCQWSEVMNHPGLVCCVQQTTGVPLVVMVKPGTFLIQEIKTLPAKAKIQDMVAIRHTAC NEQQRTTMILLCEDGSLRIYMANVENTSYWLQPSLQPSSVISIMKPVRKRKTATITARTS SQVTFPIDFFEHNQQLTDVEFGGNDLLQVYNAQQIKHRLNSTGMYVANTKPGGFTIEISN NSSTMVMTGMRIQIGTQAIERAPSYIEIFGRTMQLNLSRSRWFDFPFTREEALQADRKLS LFIGASVDPAGVTMIDAVKIYGKTKEQFGWPDEPPEDFPSASVSNICPPNLNQSNGTGES DSAAPATTSGTVLERLVVSSLEALESCFAVGPIIEKERNKHAAQELATLLLSLPAPASVQ QQSKSLLASLHSSRSAYHSHKDQALLSKAVQCLNTSSKEGKDLDPEVFQRLVITARSIAV TRPNNLVHFTESKLPQMETEGADEGKEPQKQEGDGCSFITQLVNHFWKLHASKPKNAFLA PACLPGLTHIEATVNALVDIIHGYCTCELDCINTASKIYMQMLLCPDPAVSFSCKQALIR VLRPRNKRRHVTLPSSPRSNTPMGDKDDDDDDDADEKMQSSGIPDGGHIRQESQEQSEVD HGDFEMVSESMVLETAENVNNGNPSPLEALLAGAEGFPPMLDIPPDADDETMVELAIALS LQQDQQGSSSSALGLQSLGLSGQAPSSSSLDAGTLSDTTASAPASDDEGSTAATDGSTLR TSPADHGGSVGSESGGSAVDSVAGEHSVSGRSSAYGDATAEGHPAGPGSVSSSTGAISTA TGHQEGDGSEGEGEGEAEGDVHTSNRLHMVRLMLLERLLQTLPQLRNVGGVRAIPYMQVI LMLTTDLDGEDEKDKGALDNLLAQLIAELGMDKKDVSKKNERSALNEVHLVVMRLLSVFM SRTKSGSKSSICESSSLISSATAAALLSSGAVDYCLHVLKSLLEYWKSQQSDEEPVAASQ LLKPHTTSSPPDMSPFFLRQYVKGHAADVFEAYTQLLTEMVLRLPYQIKKIADTSSRIPP PVFDHSWFYFLSEYLMIQQTPFVRRQVRKLLLFICGSKEKYRQLRDLHTLDSHVRGIKKL LEEQGIFLRASVVTASSGSALQYDTLISLMEHLKACAEIAAQRTINWQKFCIKDDSVLYF LLQVSFLVDEGVSPVLLQLLSCALCGSKVLAALAASTGSSSVASSSAPPAASSGQATTQS KSSTKKSKKEEKEKEKEGESSGSQEDQLCTALVNQLNRFADKETLIQFLRCFLLESNSSS VRWQAHCLTLHIYRNSNKAQQELLLDLMWSIWPELPAYGRKAAQFVDLLGYFSLKTAQTE KKLKEYSQKAVEILRTQNHILTNHPNSNIYNTLSGLVEFDGYYLESDPCLVCNNPEVPFC YIKLSSIKVDTRYTTTQQVVKLIGSHTISKVTVKIGDLKRTKMVRTINLYYNNRTVQAIV ELKNKPARWHKAKKVQLTPGQTEVKIDLPLPIVASNLMIEFADFYENYQASTETLQCPRC SASVPANPGVCGNCGENVYQCHKCRSINYDEKDPFLCNACGFCKYARFDFMLYAKPCCAV DPIENEEDRKKAVSNINTLLDKADRVYHQLMGHRPQLENLLCKVNEAAPEKPQEDSGTAG GISSTSASVNRYILQLAQEYCGDCKNSFDELSKIIQKVFASRKELLEYDLQQREAATKSS RTSVQPTFTASQYRALSVLGCGHTSSTKCYGCASAVTEHCITLLRALATNPALRHILVSQ GLIRELFDYNLRRGAAAIREEVRQLMCLLTRDNPEATQQMNDLIIGKVSTALKGHWANPD LASSLQYEMLLLTDSISKEDSCWELRLRCALSLFLMAVNIKTPVVVENITLMCLRILQKL IKPPAPTSKKNKDVPVEALTTVKPYCNEIHAQAQLWLKRDPKASYEAWKKCLPIRGVDGN GKSPSKSELHRLYLTEKYVWRWKQFLSRRGKRTTPLDLKLGHNNWLRQVLFTPATQAARQ AACTIVEALATVPSRKQQVLDLLTSYLDELSVAGECAAEYLALYQKLIASCHWKVYLAAR GVLPYVGNLITKEIARLLALEEATLSTDLQQGYALKSLTGLLSSFVEVESIKRHFKSRLV GTVLNGYLCLRKLVLQRTKLIDETQDMLLEMLEDMTTGTESETKAFMAVCIETAKRYNLD DYRTPVFIFERLCSIIYPEENEVTEFFVTLEKDPQQEDFLQGRMPGNPYSSNEPGIGPLM RDIKNKICQDCDLVALLEDDSGMELLVNNKIISLDLPVAEVYKKVWCATNEGEPMRIVYR MRGLLGDATEEFIESLDSTTDEEEDEEEVYRMAGVMAQCGGLQCMLNRLAGVKDFKQGRH LLTVLLKLFSYCVKVKVNRQQLVKLETNTLNVMLGTLNLALVAEQESKDSGGAAVAEQVL SIMEIILDESNAEPLSEDKGNLLLTGDKDQLVMLLDQINSTFVRSNPSVLQGLLRIIPYL SFGEVEKMQILVERFKPYCSFEKYDEDHSGDDKVFLDCFCKIAAGIKNNSNGHQLKDLIL QKGITQNALDYMKKHIPSAKNLDADIWKKFLSRPALPFILRLLRGLAMQHPATQVLIGTD SITSLHKLEQVSSDEGIGTLAENLLEALREHPDVNKKIDAARRETRAEKKRMAMAMRQKA LGTLGMTTNEKGQVVTKTALLKQMEELIEEPGLTCCICREGYKFQPTKVLGIYTFTKRVA LEEMENKPRKQQGYSTVSHFNIVHYDCHLAAVRLARGREEWESAALQNANTKCNGLLPVW GPHVPESAFATCLARHNTYLQECTGQREPTYQLNIHDIKLLFLRFAMEQSFSADTGGGGR ESNIHLIPYIIHTVLYVLNTTRATSREEKNLQGFLEQPKEKWTESAFDVDGPHYFTILAL HVLPPEQWKAIRVEILRRLLVASHARAVAPGGATRLTDKAVKDYSAYRSSLLFWALVDLI YNMFKKVPTSNTEGGWSCSLAEYIRHNDMPIYEAADKALKTFQEEFMPVETFSEFLDAAG LLSEITDPESFLKDLLNSVP >ENSMUSP00000115711.1 pep:known chromosome:GRCm38:4:139414470:139451973:1 gene:ENSMUSG00000066036.14 transcript:ENSMUST00000129949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr4 description:ubiquitin protein ligase E3 component n-recognin 4 [Source:MGI Symbol;Acc:MGI:1916366] XLVRLTGDLIVWSDEMNPAQVIRTLLPLLLESSTESAAEISSNSLERILGPAESDEFLAR VYEKLITGCYNILANHADPNSGLDESILEECLQYLEKQLESSQARKAMEEFFSDGGELVQ IMMATANEDLSAKFCNRVLKFFTKLFQLTEKSPNPSLLHLCGSLAQLACVEPVRLQAWLT RMTTSPPKDSDQLEVIQENRQLLQLLTTYIVRENSQVGEGVCAVLLGTLTPMATDMLANG DGTGFPELMVVMATLASAGQGAGHLQLHNAAVDWLGRCKKYLSQKNVVEKLNANVMHGKH VMVLECTCHIMSYLADVTNALSQSNGQGPSHLSVDGEERAIEVDSDWVEELAVEEEDSQA EDSDEDSLCNKLCTFTITQKEFMNQHWYHCHTCKMVDGVGVCTVCAKVCHKDHEISYAKY GSFFCDCGAKEDGSCLALVKRTPSSGMSSTMKESAFQSEPRVSESLVRHASTSPADKAKV TISDGKVTDEEKPKKSSLCRTVEGCREELQNQANFSFAPLVLDMLSFLMDAIQTNFQQAS AVGSSSRAQQALSELHTVDKGVEMTDQLMVPTLGSQEGAFENVRMNYSGDQGQTIRQLIS AHVLRRVAMCVLSSPHGRRQHLAVSHEKGKITVLQLSALLKQADSSKRKLTLTRLASAPV PFTVLSLTGNPCKEDYLAVCGLKDCHVLTFSSSGSVSDHLVLHPQLATGNFIIKAVWLPG SQTELAIVTADFVKIYDLSIDALSPTFYFLLPSSKIRDVTFLFNEEGKNIIVIMSSAGYM YTQLMEEASSAQQGPFYVTNVLEINHEDLKDSNSQVAGGGVSVYYSHVLQMLFFSYSQGR SFAATVSRSTLEVLQLFPINIKSSNGGSKTSPALCQWSEVMNHPGLVCCVQQTTGVPLVV MVKPGTFLIQEIKTLPAKAKIQDMVAIRHTACNEQQRTTMILLCEDGSLRIYMANVENTS YWLQPSLQPSSVISIMKPVRKRKTATITARTSSQVTFPIDFFEHNQQLTDVEFGGNDLLQ VYNAQQIKHRLNSTGMYVANTKPGGFTIEISNNSSTMVMTGMRIQIGTQAIERAPSYIEI FGRTMQLNLSRSRWFDFPFTREEALQADRKLSLFIGASVDPAGVTMIDAVKIYGKTKEQF GWPDEPPEDFPSASVSNICPPNLNQSNGTGESDSAAPATTSGTVLESSETESLTKLDRLV VSSLEALESCFAVGPIIEKERNKHAAQELATLLLSLPAPASVQQQSKSLLASLHSSRSAY HSHKDQALLSKAVQCLNTSSKEGKDLDPEVFQRLVITARSIAVTRPNNLVHFTESKLPQM ETEGADEGKEPQKQEGDGCSFITQLVNHFWKLHASKPKNAFLAPACLPGLTHIEATVNAL VDIIHGYCTCELDCINTASKIYMQMLLCPDPAVSFSCKQALIRVLRPRNKRRHVTLPSSP RSNTPMGDKDDDDDDDADEKMQSSGIPDGGHIRQESQEQSEVDHGDFEMVSESMVLETAE NVNNGNPSPLEALLAGAEGFPPMLDIPPDADDETMVELAIALSLQQDQQAPASDDEGSTA ATDGSTLRTSPADHGGSVGSESGGSAVDSVAGEHSVSGRSSAYGDATAEGHPAGPGSVSS STGAISTATGHQEGDGSEGEGEGEAEGDVHTSNRLHMVRLMLLERLLQTLPQLRNVGGVR AIPYMQVILMLTTDLDGEDEKDKGALDNLLAQLIAELGMDKKDVSKKNERSALNEVHLVV MRLLSVFMSRTKSGSKSSICESSSLISSATAAALLSSGAVDYCLHVLKSLLEYWKSQQSD EEPVAASQLLKPHTTSSPPDMSPFFLRQYVKGHAADVFEAYTQLLTEMVLRLPYQIKKIA DTSSRIPPPVFDHSWFYFLSEYLMIQQTPFVRRQVRKLLLFICGSKEKYRQLRDLHTLDS HVRGIKKLLEEQGIFLRASVVTASSGSALQYDTLISLMEHLKACAEIAAQRTINWQKFCI KDDSVLYFLLQVSFLVDEGVSPVLLQLLSCALCGSKVLAALAASTGSSSVASSSAPPAAS SGQATTQSKSSTKKSKKEEKEKEKE >ENSMUSP00000114947.1 pep:known chromosome:GRCm38:4:139435010:139438142:1 gene:ENSMUSG00000066036.14 transcript:ENSMUST00000129779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr4 description:ubiquitin protein ligase E3 component n-recognin 4 [Source:MGI Symbol;Acc:MGI:1916366] DQALLSKAVQCLNTSSKEGKDLDPEVFQRLVITARSIAVTRPNNLVHFTESKLPQMETDC FSPRCACWNLGIVGILIGAPLETPSAEGADEGKEPQKQEGDGCSFITQLVNHFWKLHASK PKNAFLAPACLPGLTHIEATVNALVDIIHGYCTCELDCINTASKIYMQMLLCPDPAVSFS CKQALIRVLRPRNKRRHVTLPSSP >ENSMUSP00000125800.1 pep:known chromosome:GRCm38:4:139380659:139489530:1 gene:ENSMUSG00000066036.14 transcript:ENSMUST00000165860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr4 description:ubiquitin protein ligase E3 component n-recognin 4 [Source:MGI Symbol;Acc:MGI:1916366] MATSGGEEAAAAAPAPGAPATGQDTTPGWEVAVRPLLSASYSAFEMKELPQLVASVIESE SEILHHEKQYEPFYSSFVALSTHYITTVCSLIPRNQLQSVAAACKVLIEFSLLRLENPDE ACAVSQKHLILLIKGLCTGCSRLDRTEIITFTAMMKSAKLPQTVKTLSDVEDQKELASPV SPELRQKEVQMNFLNQLTSVFNPRTVPSPPISPQALVEGENDEQSSPDQVSAAKTKSVFI AQNVASLQELGGSEKLLRVCLNLPYFLRYINRFQDAVVANSFFIMPATVADATAVRNGFH SLVIDVTMALDTLSLPVLEPLNPSRLQDVTVLSLSCLYAGVSVATCMAILHVGSAQQVRT GSTSSKEDDYESDAATIVQKCLEIYDMIGQAISSSRRAGGEHFQNFQLLGAWCLLNSLFL ILNLSPTALADKGKEKDPLAALRVRDILSRTKEGVGSPKLGPGKGHQGFGVLSVILANHA IKLLASLFQDLQVEALHKGWETDGPPAVLSIMAQSTSTQRIQRLIDSVPLTNLLLTLLST SYRKACVLQRQRKGSMSSDASASTDSNTYYEDDFSSTEEDSSQDDDSEPILGQWFEETIS PSKEKAAPPPPPPPPPLESSPRVKSPNKQASGEKGNILASRKDPELFSGLASNILNFITT SMLNSRNSFIRSYLSASLSEHHMATLASIIKEVDKDGLKGSSDEDFAAALYHFNHSLVTS DLQSPNLQNTLLQQLGVAPFSEGPWPLYIHPQGLSVLSRLLLIWQHKAGAQGDPDVPECL KVWDRFLTTMKQNALQGVVPSETEDLNVEHLQLLLLIFHSFSEKGRRAILTMLVQSIQEL SVNMEVQMRTAPLILARLLLIFDYLLHQYSKAPVYLFEQVQHNLLSPPFGWASGSQDSSS RRANTPLYHGFKEVEENWSKHFSSDAAPQPRFYCVLSTEASEEDLNRLDSEACEVLFSKP VKYDELYSSLTTLLAAGSQLDTTRRKEKKNVTALEACALQYYFLILWRILGILPPSKTYM NQLAMNSPEMSECDILHTLRWSSRLRISSYVSWIKDHLIKQGMKPEHAGSLIELAASKCS SVKYDVEIVEEYFARQISSFCSIDCTAVLQLHEIPSLQSIYTLDAAVSKVQVSLDEHFSK MAAETDPHKSSEITKNLLPATLQLIDTYASFTRAYLLQNLNEEGSTEKPSQEKLHGFAAV LAIGSSRCKANTLGPTLVQNLPSSVQSVCESWNNINTNEFPNIGSWRNAFANDTIPSESY ISAVQAAHLGTLCGQSLPLAASLKHTLLSLVRLTGDLIVWSDEMNPAQVIRTLLPLLLES STESAAEISSNSLERILGPAESDEFLARVYEKLITGCYNILANHADPNSGLDESILEECL QYLEKQLESSQARKAMEEFFSDGGELVQIMMATANEDLSAKFCNRVLKFFTKLFQLTEKS PNPSLLHLCGSLAQLACVEPVRLQAWLTRMTTSPPKDSDQLEVIQENRQLLQLLTTYIVR ENSQVGEGVCAVLLGTLTPMATDMLANGDGTGFPELMVVMATLASAGQGAGHLQLHNAAV DWLGRCKKYLSQKNVVEKLNANVMHGKHVMVLECTCHIMSYLADVTNALSQSNGQGPSHL SVDGEERAIEVDSDWVEELAVEEEDSQAEDSDEDSLCNKLCTFTITQKEFMNQHWYHCHT CKMVDGVGVCTVCAKVCHKDHEISYAKYGSFFCDCGAKEDGSCLALVKRTPSSGMSSTMK ESAFQSEPRVSESLVRHASTSPADKAKVTISDGKVTDEEKPKKSSLCRTVEGCREELQNQ ANFSFAPLVLDMLSFLMDAIQTNFQQASAVGSSSRAQQALSELHTVDKGVEMTDQLMVPT LGSQEGAFENVRMNYSGDQGQTIRQLISAHVLRRVAMCVLSSPHGRRQHLAVSHEKGKIT VLQLSALLKQADSSKRKLTLTRLASAPVPFTVLSLTGNPCKEDYLAVCGLKDCHVLTFSS SGSVSDHLVLHPQLATGNFIIKAVWLPGSQTELAIVTADFVKIYDLSIDALSPTFYFLLP SSKIRDVTFLFNEEGKNIIVIMSSAGYMYTQLMEEASSAQQGPFYVTNVLEINHEDLKDS NSQVAGGGVSVYYSHVLQMLFFSYSQGRSFAATVSRSTLEVLQLFPINIKSSNGGSKTSP ALCQWSEVMNHPGLVCCVQQTTGVPLVVMVKPGTFLIQEIKTLPAKAKIQDMVAIRHTAC NEQQRTTMILLCEDGSLRIYMANVENTSYWLQPSLQPSSVISIMKPVRKRKTATITARTS SQVTFPIDFFEHNQQLTDVEFGGNDLLQVYNAQQIKHRLNSTGMYVANTKPGGFTIEISN NSSTMVMTGMRIQIGTQAIERAPSYIEIFGRTMQLNLSRSRWFDFPFTREEALQADRKLS LFIGASVDPAGVTMIDAVKIYGKTKEQFGWPDEPPEDFPSASVSNICPPNLNQSNGTGES DSAAPATTSGTVLESSETESLTKLDRLVVSSLEALESCFAVGPIIEKERNKHAAQELATL LLSLPAPASVQQQSKSLLASLHSSRSAYHSHKDQALLSKAVQCLNTSSKEGKDLDPEVFQ RLVITARSIAVTRPNNLVHFTESKLPQMETEGADEGKEPQKQEGDGCSFITQLVNHFWKL HASKPKNAFLAPACLPGLTHIEATVNALVDIIHGYCTCELDCINTASKIYMQMLLCPDPA VSFSCKQALIRVLRPRNKRRHVTLPSSPRSNTPMGDKDDDDDDDADEKMQSSGIPDGGHI RQESQEQSEVDHGDFEMVSESMVLETAENVNNGNPSPLEALLAGAEGFPPMLDIPPDADD ETMVELAIALSLQQDQQAPASDDEGSTAATDGSTLRTSPADHGGSVGSESGGSAVDSVAG EHSVSGRSSAYGDATAEGHPAGPGSVSSSTGAISTATGHQEGDGSEGEGEGEAEGDVHTS NRLHMVRLMLLERLLQTLPQLRNVGGVRAIPYMQVILMLTTDLDGEDEKDKGALDNLLAQ LIAELGMDKKDVSKKNERSALNEVHLVVMRLLSVFMSRTKSGSKSSICESSSLISSATAA ALLSSGAVDYCLHVLKSLLEYWKSQQSDEEPVAASQLLKPHTTSSPPDMSPFFLRQYVKG HAADVFEAYTQLLTEMVLRLPYQIKKIADTSSRIPPPVFDHSWFYFLSEYLMIQQTPFVR RQVRKLLLFICGSKEKYRQLRDLHTLDSHVRGIKKLLEEQGIFLRASVVTASSGSALQYD TLISLMEHLKACAEIAAQRTINWQKFCIKDDSVLYFLLQVSFLVDEGVSPVLLQLLSCAL CGSKVLAALAASTGSSSVASSSAPPAASSGQATTQSKSSTKKSKKEEKEKEKEGESSGSQ EDQLCTALVNQLNRFADKETLIQFLRCFLLESNSSSVRWQAHCLTLHIYRNSNKAQQELL LDLMWSIWPELPAYGRKAAQFVDLLGYFSLKTAQTEKKLKEYSQKAVEILRTQNHILTNH PNSNIYNTLSGLVEFDGYYLESDPCLVCNNPEVPFCYIKLSSIKVDTRYTTTQQVVKLIG SHTISKVTVKIGDLKRTKMVRTINLYYNNRTVQAIVELKNKPARWHKAKKVQLTPGQTEV KIDLPLPIVASNLMIEFADFYENYQASTETLQCPRCSASVPANPGVCGNCGENVYQCHKC RSINYDEKDPFLCNACGFCKYARFDFMLYAKPCCAVDPIENEEDRKKAVSNINTLLDKAD RVYHQLMGHRPQLENLLCKVNEAAPEKPQEDSGTAGGISSTSASVNRYILQLAQEYCGDC KNSFDELSKIIQKVFASRKELLEYDLQQREAATKSSRTSVQPTFTASQYRALSVLGCGHT SSTKCYGCASAVTEHCITLLRALATNPALRHILVSQGLIRELFDYNLRRGAAAIREEVRQ LMCLLTRDNPEATQQMNDLIIGKVSTALKGHWANPDLASSLQYEMLLLTDSISKEDSCWE LRLRCALSLFLMAVNIKTPVVVENITLMCLRILQKLIKPPAPTSKKNKDVPVEALTTVKP YCNEIHAQAQLWLKRDPKASYEAWKKCLPIRGVDGNGKSPSKSELHRLYLTEKYVWRWKQ FLSRRGKRTTPLDLKLGHNNWLRQVLFTPATQAARQAACTIVEALATVPSRKQQVLDLLT SYLDELSVAGECAAEYLALYQKLIASCHWKVYLAARGVLPYVGNLITKEIARLLALEEAT LSTDLQQGYALKSLTGLLSSFVEVESIKRHFKSRLVGTVLNGYLCLRKLVLQRTKLIDET QDMLLEMLEDMTTGTESETKAFMAVCIETAKRYNLDDYRTPVFIFERLCSIIYPEENEVT EFFVTLEKDPQQEDFLQGRMPGNPYSSNEPGIGPLMRDIKNKICQDCDLVALLEDDSGME LLVNNKIISLDLPVAEVYKKVWCATNEGEPMRIVYRMRGLLGDATEEFIESLDSTTDEEE DEEEVYRMAGVMAQCGGLQCMLNRLAGVKDFKQGRHLLTVLLKLFSYCVKVKVNRQQLVK LETNTLNVMLGTLNLALVAEQESKDSGGAAVAEQVLSIMEIILDESNAEPLSEDKGNLLL TGDKDQLVMLLDQINSTFVRSNPSVLQGLLRIIPYLSFGEVEKMQILVERFKPYCSFEKY DEDHSGDDKVFLDCFCKIAAGIKNNSNGHQLKDLILQKGITQNALDYMKKHIPSAKNLDA DIWKKFLSRPALPFILRLLRGLAMQHPATQVLIGTDSITSLHKLEQVSSDEGIGTLAENL LEALREHPDVNKKIDAARRETRAEKKRMAMAMRQKALGTLGMTTNEKGQVVTKTALLKQM EELIEEPGLTCCICREGYKFQPTKVLGIYTFTKRVALEEMENKPRKQQGYSTVSHFNIVH YDCHLAAVRLARGREEWESAALQNANTKCNGLLPVWGPHVPESAFATCLARHNTYLQECT GQREPTYQLNIHDIKLLFLRFAMEQSFSADTGGGGRESNIHLIPYIIHTVLYVLNTTRAT SREEKNLQGFLEQPKEKWTESAFDVDGPHYFTILALHVLPPEQWKAIRVEILRRLLVASH ARAVAPGGATRLTDKAVKDYSAYRSSLLFWALVDLIYNMFKKVPTSNTEGGWSCSLAEYI RHNDMPIYEAADKALKTFQEEFMPVETFSEFLDAAGLLSEITDPESFLKDLLNSVP >ENSMUSP00000034234.8 pep:known chromosome:GRCm38:8:94838321:94854895:1 gene:ENSMUSG00000031782.14 transcript:ENSMUST00000034234.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq9 description:coenzyme Q9 [Source:MGI Symbol;Acc:MGI:1915164] MAATAAVSGVLGRLGWRLLQLRCLPVARCRPALVPRAFHTAVGFRSSEEQKQQPPHSSSQ QHSETQGPEFSRPPPRYTDQSGEEEEDYESEEQLQHRILTAALEFVPAHGWTAEAIAEGA QSLGLSSAAASMFGSDGSELILHFVTQCNARLNQVLEEEQKLVQLGQAEKRKTDQFLRDA VETRLRMLIPYIEHWPRALSILLLPHNIPPSLNLLTSMVDDMWHYAGDQSTDFNWYTRRA VLAGIYNTTELVMMQDSSPDFEDTWRFLENRINDAMNMGHTAKQVKSTGEALVQGLMGAA VTLKNLTGLNQRR >ENSMUSP00000124695.1 pep:known chromosome:GRCm38:8:94838429:94853270:1 gene:ENSMUSG00000031782.14 transcript:ENSMUST00000159871.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq9 description:coenzyme Q9 [Source:MGI Symbol;Acc:MGI:1915164] XTAAVSGVLGRLGWRLLQLRCLPVARCRPALVPRAFHTAVGFRSSEEQKQQPPHSSSQQH SETQGPEFSRPPPRYTDQSGEEEEDYESEEQLQHRILTAALEFVPAHGWTAEAIAEGAQS LGLSSAAASMFGSDGSELILHFVTQCNARLNQVLEEEQKLVQLGQAEKRKTDQFLRDAVE TRLRMLIPYIEHWPRFNWYTRRAVLAGIYNTTELVMMQDSSPDFEDTWRFLENRINDAMN MGHTAKQ >ENSMUSP00000121011.1 pep:known chromosome:GRCm38:3:96635474:96653101:1 gene:ENSMUSG00000106447.1 transcript:ENSMUST00000137564.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm42957 description:predicted gene 42957 [Source:MGI Symbol;Acc:MGI:5663094] MDAWVRFSAQSQARERLCRAAQYACSLLGHALQRHGASPELQKQIRQLEGHLSLGRKLLR LGNSTDALESAKRAVHLSDVVLRFCITVSHLNRALYFACDNVLWAGKSGLAPRVDQEKWA QRSFRYYLFSLIMNLSRDAYEIRLLMEQETSAYSRRMKVSGVGVSGGVETVGPGGPGTPG GSLPQLALKFRLRILLLARVLRGLCSSFNLDEHHPRLFTGPPEAEFGYSVLQHVGGGQRW MLVGAPWDGPSGDRRGDVYRCSIGGFHSAPCTKGHLGDYQLGNSSQPAVNMHLGMSLLET DADGGFMACAPLWSRACGSSVFSSGICARVDASFRPQGSLAPTAQREPVEGPWKLSSQIG MLGGKN >ENSMUSP00000102301.1 pep:known chromosome:GRCm38:4:108181934:108217897:-1 gene:ENSMUSG00000034645.13 transcript:ENSMUST00000106690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zyg11a description:zyg-11 family member A, cell cycle regulator [Source:MGI Symbol;Acc:MGI:2446208] MAWQGKLTDRTASIFQGKQMSLKLINIPRVKLSAAAFTKAFCHHKLIEVNATSVDSELLA PDIIHALQSSAWIQKNLQCLVLDSVSIPPNSGLVALSHFTGLHTLSVANVSFCNEDLVSV SQLPNLGSLDISNTLVTNISALLSCKNRLRSLTMHYLKCLAMNSPQVLAVIRQLKCLLHL DISDHQQLRSDLAFYLLQQKDILPNLTSLDISGGTDVTDQAVESFLQHRPAMRFVGLLYT DAGYSDFFTAKQGLKVAGGANMSQISEALSRYRNRSCFVKEALFRLFTETLSLRAVLPVM LKLVAIGMRNHPLDLPVQFTASACALNLTRQELARGMPVRLLAEITDLLFKATKNFPYYQ QLQKNCLLSLTSSRILMDVPFDRFDAAKLALRWVCRRESPKLRTMAVSITSILALKLSPE EMGQLQEELIMAIKELLTIIRQKLAENLDDVTFLFTLKALWNLTDECPLACKYFMENEGL ATVIRVLETFSISVIQSKVLGLLNNVAEVRELSSKLVTEDVIERIISLLHSSNLEVSFLA AGVLAHLTCDRQHWLSRDLQRTDLLRYLHLAIQNWPSSRCDMSVLVTYRSFKAFSPLLVN FSQPEVQRWALWAIHHVCSKNPRPKDV >ENSMUSP00000038478.6 pep:known chromosome:GRCm38:4:108181934:108217922:-1 gene:ENSMUSG00000034645.13 transcript:ENSMUST00000043793.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zyg11a description:zyg-11 family member A, cell cycle regulator [Source:MGI Symbol;Acc:MGI:2446208] MVHFLHPLLWPRALVLPDAQQDAQGHCLEEASPYSLVNICLDVLIAELDKWCSKRPDGTL CLPEHWYFPHEIADKFLERMAWQGKLTDRTASIFQGKQMSLKLINIPRVKLSAAAFTKAF CHHKLIEVNATSVDSELLAPDIIHALQSSAWIQKNLQCLVLDSVSIPPNSGLVALSHFTG LHTLSVANVSFCNEDLVSVSQLPNLGSLDISNTLVTNISALLSCKNRLRSLTMHYLKCLA MNSPQVLAVIRQLKCLLHLDISDHQQLRSDLAFYLLQQKDILPNLTSLDISGGTDVTDQA VESFLQHRPAMRFVGLLYTDAGYSDFFTAKQGLKVAGGANMSQISEALSRYRNRSCFVKE ALFRLFTETLSLRAVLPVMLKLVAIGMRNHPLDLPVQFTASACALNLTRQELARGMPVRL LAEITDLLFKATKNFPYYQQLQKNCLLSLTSSRILMDVPFDRFDAAKLALRWVCRRESPK LRTMAVSITSILALKLSPEEMGQLQEELIMAIKELLTIIRQKLAENLDDVTFLFTLKALW NLTDECPLACKYFMENEGLATVIRVLETFSISVIQSKVLGLLNNVAEVRELSSKLVTEDV IERIISLLHSSNLEVSFLAAGVLAHLTCDRQHWLSRDLQRTDLLRYLHLAIQNWPSSRCD MSVLVTYRSFKAFSPLLVNFSQPEVQRWALWAIHHVCSKNPRPKDV >ENSMUSP00000140973.1 pep:known chromosome:GRCm38:7:105558483:105581653:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000191011.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MSVPSSLSQSAINANSHGGPALSFPLPLHAAHNQLLNAKLQATAVVPKDLRSAMGEGSVP EPGPANAKWLKEGQNQLRRAATAHRDQNRNVTLTLAEEASQEAETAPLGPKGLMHLYSEL ELSAHNAANRGLHGSALIINTQEQGPDEGEEKAAGEAEEDDEDEEEEEEEEDLSSPPGLP EPLENVEVPSGPQALTDGPREHSKSASLLFGMRNSAASDEDSSWATLSQGSPSYGSPEDT DSFWNPNAFETDSDLPAGWMRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQL TWTGFAHQEGFEEGEFWKDEPSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQ RNANPGIKCFAVRSLGWVEMTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMAGGWGEGK DLLLQLEDETLKLVEPQNQTLLHAQPIVSIRVWGVGRDSGRDFAYVARDKLTQMLKCHVF RCEAPAKNIATSLHEICSKIMSERRNARCLVNGLSLDHSKLVDVPFQVEFPAPKNELVQK FQVYYLGNVPVAKPVGVDVINGALESVLSSSSREQWTPSHVSVAPATLTILHQQTEAVLG ECRVRFLSFLAVGRDVHTFAFIMAAGPASFCCHMFWCEPNAASLSEAVQAACMLRYQKCL DARSQTSTSCLPAPPAESVARRVGWTVRRGVQSLWGSLKPKRLGSQTP >ENSMUSP00000139788.1 pep:known chromosome:GRCm38:7:105558484:105568777:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000188368.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MFSQDFFLAIILQDNSPDSFWNPNAFETDSDLPAGWMRVQDTSGTYYWHIPTGTTQWEPP GRASPSQGSSPQEESQLTWTGFAHQEGFEEGEFWKDEPSEEAPMELGLKDPEEATLSFPA QSLSPEPVPQEEEKLSQRNANPGIKCFAVRSLGWVEMTEEELAPGRSSVAVNNCIRQLSY HKNNLHDPMAGGWGEGKDLLLQLEDETLKLVEPQNQTLLHAQPIVSIRVWGVGRDSGRER DFAYVARDKLTQMLKCHVFRCEAPAKNIATSLHEICSKIMSERRNARCLVNGLSLDHSKL VDVPFQVEFPAPKNELVQKFQVYYLGNVPVAKPVGVDVINGALESVLSSSSREQWTPSHV SVAPATLTILHQQTEAVLGECRVRFLSFLAVGRDVHTFAFIMAAGPASFCCHMFWCEPNA ASLSEAVQAACMLRYQKCLDARSQTSTSCLPAPPAESVARRVGWTVRRGVQSLWGSLKPK RLGSQTP >ENSMUSP00000140486.1 pep:known chromosome:GRCm38:7:105558484:105569297:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000190369.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQLTWTGFAHQEGFEEGEFWKD EPSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQRNANPGIKCFAVRSLGWVE MTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMAGGWGEGKDLLLQLEDETLKLVEPQNQ TLLHAQPIVSIRVWGVGRDSGRERDFAYVARDKLTQMLKCHVFRCEAPAKNIATSLHEIC SKIMSERRNARCLVNGLSLDHSKLVDVPFQVEFPAPKNELVQKFQVYYLGNVPVAKPVGV DVINGALESVLSSSSREQWTPSHVSVAPATLTILHQQTEAVLGECRVRFLSFLAVGRDVH TFAFIMAAGPASFCCHMFWCEPNAASLSEAVQAACMLRYQKCLDARSQTSTSCLPAPPAE SVARRVGWTVRRGVQSLWGSLKPKRLGSQTP >ENSMUSP00000139575.1 pep:known chromosome:GRCm38:7:105558484:105569513:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000189072.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQLTWTGFAHQEGFEEGEFWKD EPSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQRNANPGIKCFAVRSLGWVE MTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMAGGWGEGKDLLLQLEDETLKLVEPQNQ TLLHAQPIVSIRVWGVGRDSGRERDFAYVARDKLTQMLKCHVFRCEAPAKNIATSLHEIC SKIMSERRNARCLVNGLSLDHSKLVDVPFQVEFPAPKNELVQKFQVYYLGNVPVAKPVGV DVINGALESVLSSSSREQWTPSHVSVAPATLTILHQQTEAVLGECRVRFLSFLAVGRDVH TFAFIMAAGPASFCCHMFWCEPNAASLSEAVQAACMLRYQKCLDARSQTSTSCLPAPPAE SVARRVGWTVRRGVQSLWGSLKPKRLGSQTP >ENSMUSP00000140116.1 pep:known chromosome:GRCm38:7:105558484:105581278:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000191601.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MSVPSSLSQSAINANSHGGPALSFPLPLHAAHNQLLNAKLQATAVVPKDLRSAMGEGSVP EPGPANAKWLKEGQNQLRRAATAHRDQNRNVTLTLAEEASQEAETAPLGPKGLMHLYSEL ELSAHNAANRGLHGSALIINTQEQGPDEGEEKAAGEAEEDDEDEEEEEEEEDLSSPPGLP EPLENVEVPSGPQALTDGPREHSKSASLLFGMRNSAASDEDSSWATLSQGSPSYGSPEDT DSFWNPNAFETDSDLPAGWMRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQL TWTGFAHQEGFEEGEFWKDEPSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQ RNANPGIKCFAVRSLGWVEMTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMAGGWGEGK DLLLQLEDETLKLVEPQNQTLLHAQPIVSIRVWGVGRDSGRERDFAYVARDKLTQMLKCH VFRCEAPAKNIATSLHEICSKIMSERRNARCLVNGLSLDHSKLVDVPFQVEFPAPKNELV QKFQVYYLGNVPVAKPVGVDVINGALESVLSSSSREQWTPSHVSVAPATLTILHQQTEAV LGECRVRFLSFLAVGRDVHTFAFIMAAGPASFCCHMFWCEPNAASLSEAVQAACMLRYQK CLDARSQTSTSCLPAPPAESVARRVGWTVRRGVQSLWGSLKPKRLGSQTP >ENSMUSP00000140979.1 pep:known chromosome:GRCm38:7:105558503:105581491:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000189378.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MSVPSSLSQSAINANSHGGPALSFPLPLHAAHNQLLNAKLQATAVVPKDLRSAMGEGSVP EPGPANAKWLKEGQNQLRRAATAHRDQNRNVTLTLAEEASQEAETAPLGPKGLMHLYSEL ELSAHNAANRGLHGSALIINTQEQGPDEGEEKAAGEAEEDDEDEEEEEEEEDLSSPPGLP EPLENVEVPSGPQALTDGPREHSKSASLLFGMRNSAASDEDSSWATLSQGSPSYGSPEDT DSFWNPNAFETDSDLPAGWMRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQL TWTGFAHQEGFEEGEFWKDEPSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQ RNANPGIKCFAVRSLGWVEMTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMAGGWGEGK DLLLQLEDETLKLVEPQNQTLLHAQPIVSIRVWGVGRDSGRDFAYVARDKLTQMLKCHVF RCEAPAKNIATSLHEICSKIMSERRNARCLVNGLSLDHSKLVDVPFQVEFPAPKNELVQK FQVYYLGNVPVAKPVGVDVINGALESVLSSSSREQWTPSHVSVAPATLTILHQQTEAVLG ECRVRFLSFLAVGRDVHTFAFIMAAGPASFCCHMFWCEPNAASLSEAVQAACMLRYQKCL DARSQTSTSCLPAPPAESVARRVGWTVRRGVQSLWGSLKPKRLGSQTP >ENSMUSP00000140137.1 pep:known chromosome:GRCm38:7:105558523:105569292:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000189265.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MLKCHVFRCEAPAKNIATSLHEICSKIMSERRNARCLVNGLSLDHSKLVDVPFQVEFPAP KNELVQKFQVYYLGNVPVAKPVGVDVINGALESVLSSSSREQWTPSHVSVAPATLTILHQ QTEAVLGECRVRFLSFLAVGRDVHTFAFIMAAGPASFCCHMFWCEPNAASLSEAVQAACM LRYQKCLDARSQTSTSCLPAPPAESVARRVGWTVRRGVQSLWGSLKPKRLGSQTP >ENSMUSP00000139899.1 pep:known chromosome:GRCm38:7:105558818:105568777:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000187057.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MFSQDFFLAIILQDNSPDSFWNPNAFETDSDLPAGWMRVQDTSGTYYWHIPTGTTQWEPP GRASPSQGSSPQEESQLTWTGFAHQEGFEEGEFWKDEPSEEAPMELGLKDPEEATLSFPA QSLSPEPVPQEEEKLSQRNANPGIKCFAVRSLGWVEMTEEELAPGRSSVAVNNCIRQLSY HKNNLHDPMAGGWGEGKDLLLQLEDETLKLVEPQNQTLLHAQPIVSIRVWGVGRDSGRDF AYVARDKLTQMLKCHVFRCEAPAKNIATSLHEICSKIMSERRNARCLVNGLSLDHSKLVD VPFQVEFPAPKNELVQKFQVYYLGNVPVAKPVGVDVINGALESVLSSSSREQWTPSHVSV APATLTILHQQTEAVLGECRVRFLSFLAVGRDVHTFAFIMAAGPASFCCHMFWCEPNAAS LSEAVQAACMLRYQKCLDARSQTSTSCLPAPPAESVARRVGWTVRRGVQSLWGSLKPKRL GSQTP >ENSMUSP00000140715.1 pep:known chromosome:GRCm38:7:105565590:105581192:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000188440.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQLTWTGFAHQEGFEEGEFWKD EPSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQRNANPGIKCFAVRSLGWVE MTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMAGGWGEGKDLLLQLEDETLKLVEPQNQ TLLHAQPIVSIRVWGVGRDSGRERDFAYVARDKLTQMLKCHVF >ENSMUSP00000140192.1 pep:known chromosome:GRCm38:7:105566107:105568963:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000187721.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MSSSSSPISIPQGGSLVWWSWPLPRELQAEEDLAWQTLCPDSFWNPNAFETDSDLPAGWM RVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQLTWTGFAHQEGFEEGEFWKDE PSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQRNANPGIKCFAVRSLGWVEM TEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMAGGWGEGKDLLLQLEDETLKLVEPQNQT LL >ENSMUSP00000139426.1 pep:known chromosome:GRCm38:7:105566550:105569265:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000187683.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQLTWTGFAHQEGFEEGEFWKD EPSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQRNANPGIKCFAVRSLGWVE MTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMAGGWG >ENSMUSP00000139755.1 pep:known chromosome:GRCm38:7:105566665:105569273:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000187051.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQLTWTGFAHQEGFEEGEFWKD EPSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQRNANPGIKCFAVRSLGWVE >ENSMUSP00000140052.1 pep:known chromosome:GRCm38:7:105567094:105569592:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000186868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQLTWTGFAHQEGFEEGEFWKD EPSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQRNAN >ENSMUSP00000140822.1 pep:known chromosome:GRCm38:7:105573968:105581188:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000188726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MSVPSSLSQSAINANSHGGPALSFPLPLHAAHNQLLNAKLQATAVVPKDLRSAMGEGSVP EPGPANAKWLKEGQNQLRRAATAHRDQNRNVTLTLAEEASQEAETAPLGPKGLMHLYSEL ELSAHNAANRGLHGSALIINTQEQG >ENSMUSP00000079932.7 pep:known chromosome:GRCm38:7:105558486:105574402:-1 gene:ENSMUSG00000037032.15 transcript:ENSMUST00000081165.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb1 description:amyloid beta (A4) precursor protein-binding, family B, member 1 [Source:MGI Symbol;Acc:MGI:107765] MSVPSSLSQSAINANSHGGPALSFPLPLHAAHNQLLNAKLQATAVVPKDLRSAMGEGSVP EPGPANAKWLKEGQNQLRRAATAHRDQNRNVTLTLAEEASQEAETAPLGPKGLMHLYSEL ELSAHNAANRGLHGSALIINTQEQGPDEGEEKAAGEAEEDDEDEEEEEEEEDLSSPPGLP EPLENVEVPSGPQALTDGPREHSKSASLLFGMRNSAASDEDSSWATLSQGSPSYGSPEDT DSFWNPNAFETDSDLPAGWMRVQDTSGTYYWHIPTGTTQWEPPGRASPSQGSSPQEESQL TWTGFAHQEGFEEGEFWKDEPSEEAPMELGLKDPEEATLSFPAQSLSPEPVPQEEEKLSQ RNANPGIKCFAVRSLGWVEMTEEELAPGRSSVAVNNCIRQLSYHKNNLHDPMAGGWGEGK DLLLQLEDETLKLVEPQNQTLLHAQPIVSIRVWGVGRDSGSNRDFAYVARDKLTQMLKCH VFRCEAPAKNIATSLHEICSKIMSERRNARCLVNGLSLDHSKLVDVPFQVEFPAPKNELV QKFQVYYLGNVPVAKPVGVDVINGALESVLSSSSREQWTPSHVSVAPATLTILHQQTEAV LGECRVRFLSFLAVGRDVHTFAFIMAAGPASFCCHMFWCEPNAASLSEAVQAACMLRYQK CLDARSQTSTSCLPAPPAESVARRVGWTVRRGVQSLWGSLKPKRLGSQTP >ENSMUSP00000073236.3 pep:known chromosome:GRCm38:17:36365003:36368417:-1 gene:ENSMUSG00000058124.4 transcript:ENSMUST00000073546.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M10.3 description:histocompatibility 2, M region locus 10.3 [Source:MGI Symbol;Acc:MGI:1276524] MRNPGCCTLLLLLVAMDLNQYCAGSHWLQTFNIAFLEPGMINSRFIHIGYVDSIQYQGFD SKEPMAILKPRAAWMEQELPKYWNVETTKILLLSQIERRILYFMIEKYEHRMNDYHTLQE VYGCNVATDGRFLHGHFRLTYYGYDYLTLNEDLSSWTAEGKGAEYMKNRWENMSEAERWK TYLRGECVQRLLRYLDLGKETLLRSDAPRTHVTHHVRPEGNVTLRCWALGFYPADITLTW KRDGNNLTQDMELPDTRPAGDGTFQKWAAVVVPSGEELRYTCHVHHEGLPEPLTLKWEPP QTIPIIAILIGLVLGTLVVGTVVIFLVWKK >ENSMUSP00000079380.7 pep:known chromosome:GRCm38:5:143503634:143528036:-1 gene:ENSMUSG00000001847.14 transcript:ENSMUST00000080537.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rac1 description:RAS-related C3 botulinum substrate 1 [Source:MGI Symbol;Acc:MGI:97845] MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAG QEDYDRLRPLSYPQTDVFLICFSLVSPASFENVRAKWYPEVRHHCPNTPIILVGTKLDLR DDKDTIEKLKEKKLTPITYPQGLAMAKEIGAVKYLECSALTQRGLKTVFDEAIRAVLCPP PVKKRKRKCLLL >ENSMUSP00000098058.3 pep:known chromosome:GRCm38:5:143505482:143527993:-1 gene:ENSMUSG00000001847.14 transcript:ENSMUST00000100489.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rac1 description:RAS-related C3 botulinum substrate 1 [Source:MGI Symbol;Acc:MGI:97845] MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDGKPVNLGLWDTAG QEDYDRLRPLSYPQTVGDTCGKDRPSRGKDKPIADVFLICFSLVSPASFENVRAKWYPEV RHHCPNTPIILVGTKLDLRDDKDTIEKLKEKKLTPITYPQGLAMAKEIGAVKYLECSALT QRGLKTVFDEAIRAVLCPPPVKKRKRKCLLL >ENSMUSP00000112393.1 pep:known chromosome:GRCm38:3:96645584:96664513:1 gene:ENSMUSG00000090210.7 transcript:ENSMUST00000119365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga10 description:integrin, alpha 10 [Source:MGI Symbol;Acc:MGI:2153482] MESLSIPHLLLPLALLTGLCSSFNLDEHHPRLFTGPPEAEFGYSVLQHVGGGQRWMLVGA PWDGPSGDRRGDVYRCSIGGFHSAPCTKGHLGDYQLGNSSQPAVNMHLGMSLLETDADGG FMACAPLWSRACGSSVFSSGICARVDASFRPQGSLAPTAQRCPTYMDVVIVLDGSNSIYP WSEVQTFLRRLVGRLFIDPEQIQVGLVQYGENPVHEWSLGDFRTKEEVVRAARNLSRREG RETRTAQAIMVACTEGFSQSRGGRPEAARLLVVVTDGESHDGEELPAALKACEAGRVTRY GIAVLGHYLRRQRDPSSFLREIRAIASDPDERFFFNVTDEAALTDIVDALGDRIFGLEGS RGENESSFGLEMSEIGFSIHRLQDGILFGMVGAYDWGGSVLWLEEGRRLFPPQAALEDEF PPALQNHAAYLGYSVSSMLLPGGRRLFLSGAPRFRHRGKVIAFQLKKDGVVRVAQSLQGD QIGSYFGSELCPLDTDKDGITNILLVAAPMFLGPQNKETGRVYVYMVGQQNLLMLQGTLQ PDRSQDSRFGFAMAALPDLNHDGFTDVAVGAPLEDGHRGALYLYHGTQTGIRPHPTQRIA AVSMPQALRYFGRSVDGRLDLDGDDLVDVAVGAHGAAVLFSSQPIIHLIPTLDVMPPHIS VVQKDCKRRGQEAACLTAALCFQVVSQTPGRWDRRFYIRFSASLDEWTAGARAVFDGSGQ RLSPRQLQLSVGNVTCEQLHFHALDTSDYLRPVALTVTFALDNTTKPGPVLAEGSSTTIR KLIPFSKDCGPDNECVTDLVLQADMDIRGSRKSPFVVQGGRQKVLVSATLENKKENAYNT SLSLSFSRNLHLASLTPQAKSVKVECAVPSPHTRLCTVGHPVFQTGAKVSFLLEFEFSCT FLLSQVFVRLTASSSSLEMNETLQDNTAQTSAYIRYEPHLVFSSESTLHRYEVHPYRTLP MGPGPEFKTTLRVQNLGCHVVSGLVISALLPAVAHGGNYFLSLSQVISGNASCTVQNLTE PPGFPVHPEELQHASRLNGSNSRCQVVRCHLGLLAKGTEISVRLLRLVHNEFFRRAKFKS VTVVSTFKLGTEEGSVLLLNEASRSSESHLEVIQTHPTLISLWILVGSVLGGLLLLALLV FCLWKLGFFTRKKIPKEEESEEKLEQ >ENSMUSP00000029744.5 pep:known chromosome:GRCm38:3:96645584:96664519:1 gene:ENSMUSG00000090210.7 transcript:ENSMUST00000029744.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga10 description:integrin, alpha 10 [Source:MGI Symbol;Acc:MGI:2153482] MESLSIPHLLLPLALLTGLCSSFNLDEHHPRLFTGPPEAEFGYSVLQHVGGGQRWMLVGA PWDGPSGDRRGDVYRCSIGGFHSAPCTKGHLGDYQLGNSSQPAVNMHLGMSLLETDADGG FMACAPLWSRACGSSVFSSGICARVDASFRPQGSLAPTAQRCPTYMDVVIVLDGSNSIYP WSEVQTFLRRLVGRLFIDPEQIQVGLVQYGENPVHEWSLGDFRTKEEVVRAARNLSRREG RETRTAQAIMVACTEGFSQSRGGRPEAARLLVVVTDGESHDGEELPAALKACEAGRVTRY GIAVLGHYLRRQRDPSSFLREIRAIASDPDERFFFNVTDEAALTDIVDALGDRIFGLEGS RGENESSFGLEMSEIGFSIHRLQDGILFGMVGAYDWGGSVLWLEEGRRLFPPQAALEDEF PPALQNHAAYLGYSVSSMLLPGGRRLFLSGAPRFRHRGKVIAFQLKKDGVVRVAQSLQGD QIGSYFGSELCPLDTDKDGITNILLVAAPMFLGPQNKETGRVYVYMVGQQNLLMLQGTLQ PDRSQDSRFGFAMAALPDLNHDGFTDVAVGAPLEDGHRGALYLYHGTQTGIRPHPTQRIA AVSMPQALRYFGRSVDGRLDLDGDDLVDVAVGAHGAAVLFSSQPIIHLIPTLDVMPPHIS VVQKDCKRRGQEAACLTAALCFQVVSQTPGRWDRRFYIRFSASLDEWTAGARAVFDGSGQ RLSPRQLQLSVGNVTCEQLHFHALDTSDYLRPVALTVTFALDNTTKPGPVLAEGSSTTIR KLIPFSKDCGPDNECVTDLVLQADMDIRGSRKSPFVVQGGRQKVLVSATLENKKENAYNT SLSLSFSRNLHLASLTPQKAKSVKVECAVPSPHTRLCTVGHPVFQTGAKVSFLLEFEFSC TFLLSQVFVRLTASSSSLEMNETLQDNTAQTSAYIRYEPHLVFSSESTLHRYEVHPYRTL PMGPGPEFKTTLRVQNLGCHVVSGLVISALLPAVAHGGNYFLSLSQVISGNASCTVQNLT EPPGFPVHPEELQHASRLNGSNSRCQVVRCHLGLLAKGTEISVRLLRLVHNEFFRRAKFK SVTVVSTFKLGTEEGSVLLLNEASRSSESHLEVIQTHPTLISLWILVGSVLGGLLLLALL VFCLWKLGFFTRKKIPKEEESEEKLEQ >ENSMUSP00000030303.4 pep:known chromosome:GRCm38:4:96516138:96553661:-1 gene:ENSMUSG00000052914.4 transcript:ENSMUST00000030303.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j6 description:cytochrome P450, family 2, subfamily j, polypeptide 6 [Source:MGI Symbol;Acc:MGI:1270148] MLAATGSLLATIWAALHPRTLLVAAVTFLLLADYFKNRRPKNYPPGPWGLPFVGNIFQLD FGQPHLSIQPLVKKYGNIFSLNLGDITSVVITGLPLIKEALTQMEQNIMNRPLSVMQERI SNKNGLIFSSGQIWKEQRRFALMTLRNFGLGKKSLEERMQEEASHLVEAIREEEGKPFNP HFSINNAVSNIICSVTFGERFDYHDSRFQEMLRLLDEVMYLETTMISQLYNIFPWIMKYI PGSHQKVFRNWEKLKLFVSCMIDDHRKDWNPDEPRDFIDAFLKEMTKYPEKTTSFNEENL ICSTLDLFFAGTETTSTTLRWALLYMALYPEVQEKVQAEIDRVIGQKRAARLADRESMPY TNAVIHEVQRMGNIIPLNVPREVAMDTNLNGFHLPKGTMVLTNLTALHRDPKEWATPDVF NPEHFLENGQFKKRESFLPFSMGKRACLGEQLARSELFIFFTSLMQKFTFNPPINEKLSP KFRNGLTLSPVSHRICAVPRQ >ENSMUSP00000136918.1 pep:known chromosome:GRCm38:2:151645404:151668533:-1 gene:ENSMUSG00000074704.9 transcript:ENSMUST00000180195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad21l description:RAD21-like (S. pombe) [Source:MGI Symbol;Acc:MGI:3652039] MFYTHVLMSKRGPLAKIWLAAHWEKKLTKAHVFECNLEITIQKIISPKVKIALRTSGHLL LGVVRIYNRKAKYLLADCSEAFLKMKMTFRPGLVDLPKENFEAAYNTITLPEEFHDFEIY NINEIDISEPLAQNQSRPEEITLREEYSNDLLFQAGSFGDEPEILRRHSFFDDNILMNSS GLVVEHSSGSFAEEKSLFFDNGDGFGDEGAAGEMIDNLLQDESTFLEEAYLNKEVSLPPE LPSSIMVEPGNSDDQCIPEDEEINEITLLSNEDEGFTLDPIDDLDIADRRRRKKRRLLVD PVKEISSKAMHRQLASFMDTLMVLDLAPPTQRLMMWKKRGGVDMLLSTATQDLINDELKM LFTKCFLSSDYKLAKLTLKESVRKEVGNQQIAEPSVMGEPNSHSELDQPQDWKDVTDESV GSFQENVNMNVNSEQDILGMISPAVEGLSSMNGSLAQENCPAELESSGSKQNTEAEKWNQ RLFQTLNVLREFNKMGMQSFSLKKLCRNSDRKQAAAKFYTLLILKKHRAIELSQSVPYAD IIATVGPMFYKM >ENSMUSP00000094174.3 pep:known chromosome:GRCm38:2:151645404:151668533:-1 gene:ENSMUSG00000074704.9 transcript:ENSMUST00000096439.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad21l description:RAD21-like (S. pombe) [Source:MGI Symbol;Acc:MGI:3652039] MFYTHVLMSKRGPLAKIWLAAHWEKKLTKAHVFECNLEITIQKIISPKVKIALRTSGHLL LGVVRIYNRKAKYLLADCSEAFLKMKMTFRPGLVDLPKENFEAAYNTITLPEEFHDFEIY NINEIDISEPLAQNQSRPEEITLREEYSNDLLFQAGSFGDEPEILRRHSFFDDNILMNSS GLVVEHSSGSFAEEKSLFFDNGDGFGDEGAAGEMIDNLLQDESTFLEEAYLNKEVSLPPE LPSSIMGNSDDQCIPEDEEINEITLLSNEDEGFTLDPIDDLDIADRRRRKKRRLLVDPVK EISSKAMHRQLASFMDTLMVLDLAPPTQRLMMWKKRGGVDMLLSTATQDLINDELKMLFT KCFLSSDYKLAKLTLKESVRKEVGNQQIAEPSVMGEPNSHSELDQPQDWKDVTDESVGSF QENVNMNVNSEQDILGMISPAVEGLSSMNGSLAQENCPAELESSGSKQNTEAEKWNQRLF QTLNVLREFNKMGMQSFSLKKLCRNSDRKQAAAKFYTLLILKKHRAIELSQSVPYADIIA TVGPMFYKM >ENSMUSP00000028103.6 pep:known chromosome:GRCm38:2:49787688:49948849:1 gene:ENSMUSG00000026765.12 transcript:ENSMUST00000028103.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd6b description:LY6/PLAUR domain containing 6B [Source:MGI Symbol;Acc:MGI:1919147] MCSSFQRHTTLTCPIRVDRMLLLCHILAVTILQILIISENWVFAKNINFYNVRPPLDPTP FPNSFKCFTCENAGDNYNCNRWAEDKWCPQDTQYCLTVHHFTSHGRSTSITKKCASKNEC HFVGCRHSRDSEHTECRSCCEGMICNVELPTNHTNAVFAVMHAQRTSGSSVSSVPSPYLL VLAWLFMLPLL >ENSMUSP00000117082.1 pep:known chromosome:GRCm38:4:45342101:45379759:1 gene:ENSMUSG00000035572.16 transcript:ENSMUST00000155551.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf10 description:DDB1 and CUL4 associated factor 10 [Source:MGI Symbol;Acc:MGI:2140179] MFPFGPHSPGGDETAGAEEPPPLGGPAAASRPPSPAPRPASPQRGADAASPPPVAGSPRL PGGPAVSPAERAGEFAAPGALELSAATASASQAKLSPSSSPRRRSRPDWRAGGRSRQGLG AGLGGPGARLFGWLRERSLGRGLFVDPARDNFRTMTNLYGSIHPADSVYLSTRTHGAVFN LEYSPDGSVLTVACEQTEVLLFDPISSKHIKTLSEAHEDCVNNIRFLDNRLFATCSDDTT IALWDLRKLNTKVCTLHGHTSWVKNIEYDTNTRLLVTSGFDGNVIIWDTNRCTEDGCPHK KFFHTRFLMRMRLTPDCSKMLISTSSGYLLILHELDLTKSLEVGSYPILRARRTTSSSDL TTTSSSSGSRVSGSPCHHNDSNSTEKHMSRASQREGVSPRNSLEVLTPEVPGERDRGNCI TSLQLHPKGWATLLRCSSNTDDQEWTCVYEFQEGAPVRPVSPRCSLRLTHYIEEANVGRG YIKELCFSPDGRMISSPHGYGIRLLGFDKQCSELVDCLPKEASPLRVIRSLYSHNDVVLT TKFSPTHCQIASGCLSGRVSLYQPKF >ENSMUSP00000121616.1 pep:known chromosome:GRCm38:4:45370346:45375667:1 gene:ENSMUSG00000035572.16 transcript:ENSMUST00000153803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf10 description:DDB1 and CUL4 associated factor 10 [Source:MGI Symbol;Acc:MGI:2140179] TRFLMRMRLTPDCSKMLISTSSGYLLILHELDLTKSLEVGSYPILRARRTTSSSGVSPRN SLEVLTPEVPGERDRGNCITSLQLHPKGWATLLRCSSNTDDQEWTCVYEFQEGAPVRPVS PRCSLRLTHYIEEANVGRGYIKELCFSPDGRMISSPHGYGIRLLGFDKQCSELVDCLPKE ASPLRVIRSLYSHNDVVLTTKFSPTHCQIASGCLSGRVSLYQPKF >ENSMUSP00000109012.2 pep:known chromosome:GRCm38:1:85600378:85610782:1 gene:ENSMUSG00000070031.11 transcript:ENSMUST00000113385.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sp140 description:Sp140 nuclear body protein [Source:MGI Symbol;Acc:MGI:3702467] MPLVLKTMVKLLKPCVASLSVSHLSKRLCLDSLHLPLGPLESV >ENSMUSP00000079095.4 pep:known chromosome:GRCm38:1:85600703:85645037:1 gene:ENSMUSG00000070031.11 transcript:ENSMUST00000080204.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp140 description:Sp140 nuclear body protein [Source:MGI Symbol;Acc:MGI:3702467] MAGGYNELSSRTIPEDQNEEESDDYQLMFKHFKENKVEIASAITKPFPFLMSLRDRDFIS EQKFQEYQETCKNLVPVERVVYDILSNVQKKFSRDLLKVIFSKTHLKAYPDLKETLKHFF LNASKTNDEQAEEMQCNGGEGSNSCEQTRQTRQEPQDDLPSSLRQEAGAQQPTREKKCSC VICSPTYVPEDLEARMGSSQGECVGTRRNIPARKPKKQRRKKKGHNWSKPRWRKPMSVQR KRLRRRRKENANFSAELLPVTCGNLKGVLHKEKFKQGISVKSIQCQNGNWFTPSEFEMMG GYGKSKNWKLSLRCHNWPLKLLIQRNFLPNPPRIHRKRKEQRTQNLHRSPADPSIQNSDK CEVCRDGGLLFCCDTCFRAFHEDCHIPTVEAEITPWSCIFCRMQSLGSQPSLPESEILQR EMAPQEQLKCEYVLLRVYCCSESSFFSKMPYYYYFREMTVSVQEPMWLDIIKKKLSDQAY CQVEDFVQDMRLIFRNHKITFKDPKFGEMGFRLESKFEKSFKEVFAIQETNEKS >ENSMUSP00000123756.1 pep:known chromosome:GRCm38:1:85610833:85630539:1 gene:ENSMUSG00000070031.11 transcript:ENSMUST00000162925.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp140 description:Sp140 nuclear body protein [Source:MGI Symbol;Acc:MGI:3702467] XKVIFSKTHLKAYPDLKETLKHFFLNASKTNDEQAEEMQCNGGEGSNSCEQTRQTRQEPQ DDLPSSLRQEAGAQQPTREKKCSCVICSPTYVPEDLEARMGSSQGECVGTRRNIPARKPK KQRRKKKGHNWSKPRWRKPMSVQRKRGRIAFFQAAFKRK >ENSMUSP00000125026.1 pep:known chromosome:GRCm38:1:85632478:85644942:1 gene:ENSMUSG00000070031.11 transcript:ENSMUST00000161497.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp140 description:Sp140 nuclear body protein [Source:MGI Symbol;Acc:MGI:3702467] XISVKSIQCQNGNWFTPSEFEMMGGYGKSKNWKLSLRCHNWPLKLLIQRNFLPNPPRIHR KRKEQRTQNLHRSPADPSIQNSDKCEVCRDGGLLFCCDTCFRAFHEDCHIPTVEAEITPW SCIFCRMQSLGSQPSLPESEILQREMAPQEQLDPKFGEMGFRLESKFEKSFKEVFAIQET NEKS >ENSMUSP00000027464.8 pep:known chromosome:GRCm38:1:79776018:79781445:1 gene:ENSMUSG00000026248.9 transcript:ENSMUST00000027464.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl44 description:mitochondrial ribosomal protein L44 [Source:MGI Symbol;Acc:MGI:1916413] MASAVFRLLQQGPRRLLAPAVPTLAPPVRGVKKGFRAAFRFQKELERWRLLRCPPPPVRR SEKPNWDYHAEVQAFGSRLQETFSLDLLKTAFINSCYIKSEEAKRQSLGIEKEAALLNLK DNQELFEQGLSFSHRCLTQFLEDEFPDLPAEGTESLVSFLTGEAVVCHVARNLAVEQLTL SAEFPVPLPVLRQTFFAVIGALLQSSGPERAALFIRDFLITQMTGKELFEMWTVVNPMGL LVEELKKRNISAPESRLTRQSGSTTALPLYFVGLYCDRKLIAEGPGETVLVAEEEAARVA LRKLYGFTENRRPWDYSKPKESPKRAEQTSVAS >ENSMUSP00000145280.1 pep:known chromosome:GRCm38:6:78370657:78372898:1 gene:ENSMUSG00000071356.7 transcript:ENSMUST00000204873.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg3b description:regenerating islet-derived 3 beta [Source:MGI Symbol;Acc:MGI:97478] MLPPTACSVMSWMLLSCLMLLSQVQGEDSLKNIPSARISCPKGSQAYGSYCYALFQIPQT WFDAELACQKRPGGHLVSVLNSAEASFLSSMVKRTGNSYQYTWIGLHDPTLGAEPNGGGW EWSNNDVMNYFNWERNPSTA >ENSMUSP00000094667.3 pep:known chromosome:GRCm38:6:78370861:78373466:1 gene:ENSMUSG00000071356.7 transcript:ENSMUST00000096904.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg3b description:regenerating islet-derived 3 beta [Source:MGI Symbol;Acc:MGI:97478] MLPPTACSVMSWMLLSCLMLLSQVQGEDSLKNIPSARISCPKGSQAYGSYCYALFQIPQT WFDAELACQKRPGGHLVSVLNSAEASFLSSMVKRTGNSYQYTWIGLHDPTLGAEPNGGGW EWSNNDVMNYFNWERNPSTALDRAFCGSLSRASGFLKWRDMTCEVKLPYVCKFTG >ENSMUSP00000145528.1 pep:known chromosome:GRCm38:6:78370898:78372908:1 gene:ENSMUSG00000071356.7 transcript:ENSMUST00000203266.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg3b description:regenerating islet-derived 3 beta [Source:MGI Symbol;Acc:MGI:97478] MLPPTACSVMSWMLLSCLMLLSQVQGEDSLKNIPSARISCPKGSQAYGSYCYALFQIPQT WFDAELACQKRPGGHLVSVLNSAEASFLSSMVKRTGNSYQYTWIGLHDPTLGAEPNGGGW EWSNNDVMNYFNWERNPSTALDR >ENSMUSP00000109222.2 pep:known chromosome:GRCm38:2:30834972:30881222:1 gene:ENSMUSG00000039476.13 transcript:ENSMUST00000113592.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrx2 description:paired related homeobox 2 [Source:MGI Symbol;Acc:MGI:98218] MRKLTAPSAWGDCPSPGRGTKRKKKQRRNRTTFNSSQLQALERVFERTHYPDAFVREELA RRVNLSEARVQVWFQNRRAKFRRNERAMLATRSASLLKSYGQEAAIEQPVAPRPTTMSPD YLSWPASSPYSSVPPYSPGGSSPATPGVNMANSIASLRLKAKEFSLHHSQVPTVN >ENSMUSP00000040332.4 pep:known chromosome:GRCm38:2:30845059:30881251:1 gene:ENSMUSG00000039476.13 transcript:ENSMUST00000041659.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrx2 description:paired related homeobox 2 [Source:MGI Symbol;Acc:MGI:98218] MDSAAAAFALDPPAPGPGPPPAPGDCAQARKNFSVSHLLDLEEVAAAGRRAAGPVSGPAE AREGAAREPSGGSSGSEAAPQDGDCPSPGRGTKRKKKQRRNRTTFNSSQLQALERVFERT HYPDAFVREELARRVNLSEARVQVWFQNRRAKFRRNERAMLATRSASLLKSYGQEAAIEQ PVAPRPTTMSPDYLSWPASSPYSSVPPYSPGGSSPATPGVNMANSIASLRLKAKEFSLHH SQVPTVN >ENSMUSP00000028656.1 pep:known chromosome:GRCm38:2:122298900:122302885:1 gene:ENSMUSG00000027225.7 transcript:ENSMUST00000028656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duoxa2 description:dual oxidase maturation factor 2 [Source:MGI Symbol;Acc:MGI:1914061] MTAWDGVLPFYPQPRHAASFSVPLLIVILVFLSLAASFLFILPGIRGHSRWFWLVRVLLS LFIGAEIVAVHFSGDWFVGRVWTNTSYKAFSPSRVQVHVGLHVGLAGVNITLRGTPRQQL NETIDYNERFTWRLNEDYTKEYVHALEKGLPDPVLYLAEKFTPSSPCGLYHQYHLAGHYA AATLWVAFCFWIIANALLSMPAPLYGGLALLTTGAFTLFGVFAFASISSVPLCHFRLGSA VLTPYYGASFWLTLATGILSLLLGGAVVILHYTRPSALRSFLDLSVKDCSNQAKGNSPLT LNNPQHEQLKSPDLNITTLL >ENSMUSP00000129515.1 pep:known chromosome:GRCm38:1:170873498:170885457:-1 gene:ENSMUSG00000026659.13 transcript:ENSMUST00000170420.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp12 description:dual specificity phosphatase 12 [Source:MGI Symbol;Acc:MGI:1890614] MLEAQGSNHGCERQAPTASPASSAGHAVEVRPGLYLGGAAAVAEPGHLREAGITAVLTVD SEPAFPAGAGFEGLRSLFVPALDKPETDLLSHLDRCVAFIGQARSEGRAVLVHWSLFQSC RSQSQCCCSDGFYNEDRPAYL >ENSMUSP00000130683.1 pep:known chromosome:GRCm38:1:170874188:170885199:-1 gene:ENSMUSG00000026659.13 transcript:ENSMUST00000171447.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp12 description:dual specificity phosphatase 12 [Source:MGI Symbol;Acc:MGI:1890614] XFEGLRSLFVPALDKPETDLLSHLDRCVAFIGQARSEGRAVLVHCHAGVSRSVAVVMAFI MKTDQLTFEKAYDILRTVKPEAKVNEGFEWQLKLYEAMGYEVDTSSAFYKQYRLQKVTEK CPKLWNLPQELFAVDPTTISQGLKDDILYKCRKCSFFAQNAVPSWVPLTGMVNSAPVVDG >ENSMUSP00000126676.1 pep:known chromosome:GRCm38:1:170874188:170885395:-1 gene:ENSMUSG00000026659.13 transcript:ENSMUST00000163252.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp12 description:dual specificity phosphatase 12 [Source:MGI Symbol;Acc:MGI:1890614] QGSNHGCERQAPTASPASSAGHAVEVRPGLYLGGAAAVAEPGHLREAGITAVLTVDSEPA FPAGAGFEGLRSLFVPALDKPETDLLSHLDRCVAFIGQARSEGRAVLVHWSLFQSCRSQS QCCCSDGFYNEDRPAYL >ENSMUSP00000027970.7 pep:known chromosome:GRCm38:1:170874188:170885540:-1 gene:ENSMUSG00000026659.13 transcript:ENSMUST00000027970.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp12 description:dual specificity phosphatase 12 [Source:MGI Symbol;Acc:MGI:1890614] MLEAQGSNHGCERQAPTASPASSAGHAVEVRPGLYLGGAAAVAEPGHLREAGITAVLTVD SEPAFPAGAGFEGLRSLFVPALDKPETDLLSHLDRCVAFIGQARSEGRAVLVHCHAGVSR SVAVVMAFIMKTDQLTFEKAYDILRTVKPEAKVNEGFEWQLKLYEAMGYEVDTSSAFYKQ YRLQKVTEKCPKLWNLPQELFAVDPTTISQGLKDDILYKCRKCRRSLFRHSSILGHSEGS GPIAFAHKRTAPSSVLTTGSQAQCTSYFIEPVQWMESTLLGVMDGQLLCPKCSAKLGSFN WYGEQCSCGRWITPAFQIHKNRVDEMKMLPVLGSQTKKL >ENSMUSP00000044320.6 pep:known chromosome:GRCm38:1:170874189:170885407:-1 gene:ENSMUSG00000026659.13 transcript:ENSMUST00000046476.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp12 description:dual specificity phosphatase 12 [Source:MGI Symbol;Acc:MGI:1890614] MLEAQGSNHGCERQAPTASPASSAGHAVEVRPGLYLGGAAAVAEPGHLREAGITAVLTVD SEPAFPAGAGFEGLRSLFVPALDKPETDLLSHLDRCVAFIGQARSEGRAVLVHCHAGVSR SVAVVMAFIMKTDQLTFEKAYDILRTVKPEAKTLEFTSGTLRC >ENSMUSP00000130507.1 pep:known chromosome:GRCm38:1:170874520:170885396:-1 gene:ENSMUSG00000026659.13 transcript:ENSMUST00000166393.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp12 description:dual specificity phosphatase 12 [Source:MGI Symbol;Acc:MGI:1890614] XQGSNHGCERQAPTASPASSAGHAVEVRPGLYLGGAAAVAEPGHLREAGITAVLTVDSEP AFPAGAGFEGLRSLFVPALDKPETDLLSHLDRCVAFIGQARSEGRAVLVHWSLFQSCRSQ SQCCCSDGFYNEDRPAYL >ENSMUSP00000129530.1 pep:known chromosome:GRCm38:1:170880670:170885004:-1 gene:ENSMUSG00000026659.13 transcript:ENSMUST00000172042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp12 description:dual specificity phosphatase 12 [Source:MGI Symbol;Acc:MGI:1890614] MAFIMKTDQLTFEKAYDILRTVKPEAKVNEGFEW >ENSMUSP00000125451.1 pep:known chromosome:GRCm38:8:94819818:94838358:-1 gene:ENSMUSG00000031781.13 transcript:ENSMUST00000162538.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciapin1 description:cytokine induced apoptosis inhibitor 1 [Source:MGI Symbol;Acc:MGI:1922083] MEEFGISPGQLVAVFWDKSSPEEALKKLVARLQELTGSEGQVFMENVTQLLQSSHKESSF DVILSGVVPGSTSLHSAEVLAEMARILRPGGCLFLKEPVETAEVNNDKMKTASKLCSALT LSGLVEIKELQREALSPEEVQSVQEHLGYHSDSLRSVRVTGKKPNFEVGSSSQLKLPNKK SSSVKPVVDPAAAKLWTLSANDMEDDSVDLIDSDELLDPEDLKRPDPASLKAPSCGEGKK RKACKNCTCGLAEELEREQSKAQSSQPKSACGNCYLGDAFRCANCPYLGMPAFKPGEQVL LSNSNLQDA >ENSMUSP00000034233.7 pep:known chromosome:GRCm38:8:94822561:94826708:-1 gene:ENSMUSG00000031781.13 transcript:ENSMUST00000034233.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciapin1 description:cytokine induced apoptosis inhibitor 1 [Source:MGI Symbol;Acc:MGI:1922083] XKPVVDPAAAKLWTLSANDMEDDSVDLIDSDELLDPEDLKRPDPASLKAPSCGEGKKRKA CKNCTCGLAEELEREQSKAQSSQPKSACGNCYLGDAFRCANCPYLGMPAFKPGEQVLLSN SNLQDA >ENSMUSP00000125474.1 pep:known chromosome:GRCm38:8:94823711:94832539:-1 gene:ENSMUSG00000031781.13 transcript:ENSMUST00000161792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciapin1 description:cytokine induced apoptosis inhibitor 1 [Source:MGI Symbol;Acc:MGI:1922083] ENVTQLLQFNNDKMKTASKLCSALTLSGLVEIKELQREALSPEEVQSVQEHLGYHSDSLR SVRVTGKKPNFEVGSSSQLKLPNKKSSSVKPVVDPAAAKLWTLSANDMEDDSVDLIDSDE LLDPEDLKRPDPASLKAPSCGEGKKRKACKNCTCGLAE >ENSMUSP00000123794.1 pep:known chromosome:GRCm38:8:94828277:94838265:-1 gene:ENSMUSG00000031781.13 transcript:ENSMUST00000161762.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciapin1 description:cytokine induced apoptosis inhibitor 1 [Source:MGI Symbol;Acc:MGI:1922083] MEEFGISPGQLVAVFWDKSSPEEALKKLVARLQELTGSEGQVFMENVTQLLQSSHKESSF DVILSGVVPGSTSLHSAEVLAEMARILRPGGCLFLKEPVETAEVNNDKMKTASKLCSALT LSGLVEIKELQREALSPEEVQSVQEHLGYHSDSLRSVRVTGKKPNFEVGSSSQLKLPNKK SSS >ENSMUSP00000066715.3 pep:known chromosome:GRCm38:1:184813068:184845881:-1 gene:ENSMUSG00000073481.9 transcript:ENSMUST00000068725.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marc2 description:mitochondrial amidoxime reducing component 2 [Source:MGI Symbol;Acc:MGI:1914497] MGSSSSTALARLGLPGQPRSTWLGVAALGLAAVALGTVAWRRTRPRRRRQLQQVGTVSKV WIYPIKSCKGVSVCETECTDMGLRCGKVRDRFWMVVKEDGHMVTARQEPRLVLVSITLEN NYLTLEAPGMEQIVLPIKLPSSNKIHNCRLFGLDIKGRDCGDEVAQWFTNYLKTQAYRLV QFDTSMKGRTTKKLYPSESYLQNYEVAYPDCSPVHLISEASLVDLNTRLKKKVKMEYFRP NIVVSGCEAFEEDTWDELLIGDVEMKRVLSCPRCVLTTVDPDTGIIDRKEPLETLKSYRL CDPSVKSIYQSSPLFGMYFSVEKLGSLRVGDPVYRMVD >ENSMUSP00000125374.1 pep:known chromosome:GRCm38:1:184822375:184846451:-1 gene:ENSMUSG00000073481.9 transcript:ENSMUST00000161821.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marc2 description:mitochondrial amidoxime reducing component 2 [Source:MGI Symbol;Acc:MGI:1914497] MVVKEDGHMVTARQEPRLVLVSITLENNYLTLEAPGMEQIVLPIKLPSSNKIHNCRLFGL DIKGRDCGDEVAQWFTNYLKTQAYRLVQFDTSMKGRTTKKLYPSESYLQNYEVAYPDCSP VHLISEASLVDLNTRLKKKVKMEYFRPNIVVSGCEAFEEDTWDELLIGDVEMKRVLSCPR CVLTTVDPDT >ENSMUSP00000018516.4 pep:known chromosome:GRCm38:11:106789275:106818853:1 gene:ENSMUSG00000018372.13 transcript:ENSMUST00000018516.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep95 description:centrosomal protein 95 [Source:MGI Symbol;Acc:MGI:2443502] MASSEAEWVTIANNLLFKCHIHLRIHELQDCDANVFIALYQSILGEKVPDLIVLPRNQED EAHNVQAVIDSLALDYLQVSLSHITGENIVKGDNESIRNLLEIFDGLLDYLTEHISESSP NKSETEQYSKDSHGEEAGEDLERTEEAKWRNASFMRCSFSSDTLGPTWDEDEAESTGEII RLGDTAHTFSQRSNGAQNSKDLRSRKASASPGVEPPEEMLNPGPLGFLSQNGPPCEAASE TPPMSMVPSARKLGEPIRAAIPLHPPYHPSEPRAPCPIGKEYLWSSRYLSTPTSGEHMAP SVEPDDAFLTSTLFKDDDQETYLPKPEATRTRKPSKGERDENRAAIPSEHLPFSQKARKP LTEQELHAMSEKLSQRLSELDWMLKTALGDRGTGETDGNDGDGGGEEVRSGNEEMLSQHS DSVMEYGPKKPRPGFSTCRKAPYRSHSLSPSSVNKHRQLEKEKKRQHKSKGTDSCHFQAK ALTEAFERELRKHKVQENVGLRGIREEEEEEEETGKSYREVVPKGTSKRSQVQKTYSRKT AAPSPKGDGRLKSSKASPMKVSEHSLLSLMLEQFPFLYVSDPTLTKMWKQQMAQVEQLKR EAQRENRSKKKLQDEIEEALRRHDLLTALVKKEYDHNKRLQDFRDRIQRQRLTQSKIKEN RHQSVRARKYYDDYRVQLRAKMMKMRTREEMIFKKLFEEGLQIQKQRLRDLRNYAKEKRN EEKRQHQNELDSMENHYKDQFSLLAEAISQERQELKVRQKFQAQTLHKVKRELRAKMEKE IQQLQHMITQNDDDAFFRELEAERFKARLQLASFQYSKNPFPRGQTS >ENSMUSP00000122454.1 pep:known chromosome:GRCm38:11:106817879:106819930:1 gene:ENSMUSG00000018372.13 transcript:ENSMUST00000124898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep95 description:centrosomal protein 95 [Source:MGI Symbol;Acc:MGI:2443502] RLRDLRNYAKEKRNEEKRQHQNELDSMENHYKDQFSLLAEAISQERQELKVRQKFQAQTL HKVKRELRAKMEKEIQQLQHMITQNDDDAFFRELEAERFKARLQLASFQYSKNPFPRGQT S >ENSMUSP00000099357.3 pep:known chromosome:GRCm38:11:106789252:106818860:1 gene:ENSMUSG00000018372.13 transcript:ENSMUST00000103068.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep95 description:centrosomal protein 95 [Source:MGI Symbol;Acc:MGI:2443502] MASSEAEWVTIANNLLFKCHIHLRIHELQDCDANVFIALYQSILGEKVPDLIVLPRNQED EAHNVQAVIDSLALDYLQVSLSHITGENIVKGDNESIRNLLEIFDGLLDYLTEHISESSP NKSETEQYSKDSHGEEAGEDLERTEEAKWRNASFMRCSFSSDTLGPTWDEDEAESTGEII RLGDTAHTFSQRSNASETPPMSMVPSARKLGEPIRAAIPLHPPYHPSEPRAPCPIGKEYL WSSRYLSTPTSGEHMAPSVEPDDAFLTSTLFKDDDQETYLPKPEATRTRKPSKGERDENR AAIPSEHLPFSQKARKPLTEQELHAMSEKLSQRLSELDWMLKTALGDRGTGETDGNDGDG GGEEVRSGNEEMLSQHSDSVMEYGPKKPRPGFSTCRKAPYRSHSLSPSSVNKHRQLEKEK KRQHKSKGTDSCHFQAKALTEAFERELRKHKVQENVGLRGIREEEEEEEETGKSYREVVP KGTSKRSQVQKTYSRKTAAPSPKGDGRLKSSKASPMKVSEHSLLSLMLEQFPFLYVSDPT LTKMWKQQMAQVEQLKREAQRENRSKKKLQDEIEEALRRHDLLTALVKKEYDHNKRLQDF RDRIQRQRLTQSKIKENRHQSVRARKYYDDYRVQLRAKMMKMRTREEMIFKKLFEEGLQI QKQRLRDLRNYAKEKRNEEKRQHQNELDSMENHYKDQFSLLAEAISQERQELKVRQKFQA QTLHKVKRELRAKMEKEIQQLQHMITQNDDDAFFRELEAERFKARLQLASFQYSKNPFPR GQTS >ENSMUSP00000109140.1 pep:known chromosome:GRCm38:1:79702262:79761769:-1 gene:ENSMUSG00000073643.11 transcript:ENSMUST00000113512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy1 description:WD repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1916618] MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW PSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMEFHVSEDFNKMNFIKTYPAHQNRVSAI IFSLAAEWVISTGHDKCVSWMCTRSGNMLGRHFFSSWASCLQYDLDTQHAFVGDYSGQIT LLKLEQNTCSVITTLKGHEGSIACLWWDPIQRLLFSGASDNSVIMWDIGGRKGRTLLLQG HHDRVQSLCYLQLTRQLVSCSADGGIAVWNMDVSREEAPQWLESDSCQKCEQPFFWNIKQ MWDTKTLGLRQHHCRKCGQAVCGKCSSKRSSYPVMGFEFQVRVCDSCYDSIKDEDRTSLA TFHEGKHNISHMSMDIARGLMVTCGTDRVVKIWDMTPVVGCSLATGFSPH >ENSMUSP00000109141.1 pep:known chromosome:GRCm38:1:79702262:79761769:-1 gene:ENSMUSG00000073643.11 transcript:ENSMUST00000113513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy1 description:WD repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1916618] MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW PSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMEFHVSEDFNKMNFIKTYPAHQNRVSAI IFSLAAEWVISTGHDKCVSWMCTRSGNMLGRHFFSSWASCLQYDLDTQHAFVGDYSGQIT LLKLEQNTCSVITTLKGHEGSIACLWWDPIQRLLFSGASDNSVIMWDIGGRKGRTLLLQG HHDRVQSLCYLQLTRQLVSCSADGGIAVWNMDVSREEAPQWLESDSCQKCEQPFFWNIKQ MWDTKTLGLRQHHCRKCGQAVCGKCSSKRSSYPVMGFEFQVRVCDSCYDSIKDEDRTSLA TFHEGKHNISHMSMDIARGLMVTCGTDRVVKIWDMTPVVGCSLATGFSPH >ENSMUSP00000109143.1 pep:known chromosome:GRCm38:1:79702262:79761769:-1 gene:ENSMUSG00000073643.11 transcript:ENSMUST00000113515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy1 description:WD repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1916618] MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW PSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMEFHVSEDFNKMNFIKTYPAHQNRVSAI IFSLAAEWVISTGHDKCVSWMCTRSGNMLGRHFFSSWASCLQYDLDTQHAFVGDYSGQIT LLKLEQNTCSVITTLKGHEGSIACLWWDPIQRLLFSGASDNSVIMWDIGGRKGRTLLLQG HHDRVQSLCYLQLTRQLVSCSADGGIAVWNMDVSREEAPQWLESDSCQKCEQPFFWNIKQ MWDTKTLGLRQHHCRKCGQAVCGKCSSKRSSYPVMGFEFQVRVCDSCYDSIKDEDRTSLA TFHEGKHNISHMSMDIARGLMVTCGTDRVVKIWDMTPVVGCSLATGFSPH >ENSMUSP00000109142.1 pep:known chromosome:GRCm38:1:79702262:79761769:-1 gene:ENSMUSG00000073643.11 transcript:ENSMUST00000113514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy1 description:WD repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1916618] MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW PSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMEFHVSEDFNKMNFIKTYPAHQNRVSAI IFSLAAEWVISTGHDKCVSWMCTRSGNMLGRHFFSSWASCLQYDLDTQHAFVGDYSGQIT LLKLEQNTCSVITTLKGHEGSIACLWWDPIQRLLFSGASDNSVIMWDIGGRKGRTLLLQG HHDRVQSLCYLQLTRQLVSCSADGGIAVWNMDVSREEAPQWLESDSCQKCEQPFFWNIKQ MWDTKTLGLRQHHCRKCGQAVCGKCSSKRSSYPVMGFEFQVRVCDSCYDSIKDEDRTSLA TFHEGKHNISHMSMDIARGLMVTCGTDRVVKIWDMTPVVGCSLATGFSPH >ENSMUSP00000139961.1 pep:known chromosome:GRCm38:1:79705357:79761738:-1 gene:ENSMUSG00000073643.11 transcript:ENSMUST00000187005.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdfy1 description:WD repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1916618] MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW PSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMPTRTACLLSSSAWLPSG >ENSMUSP00000109138.1 pep:known chromosome:GRCm38:1:79718974:79761769:-1 gene:ENSMUSG00000073643.11 transcript:ENSMUST00000113510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy1 description:WD repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1916618] MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW PSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMEFHVSEDFNKMNFIKTYPAHQNRVSAI IFSLAAEWVISTGHDKCVSWMCTRSGNMLGRHFFSSWASCLQYPSECRTPPPIVSCFEDR MTPVDLS >ENSMUSP00000109139.1 pep:known chromosome:GRCm38:1:79718974:79761769:-1 gene:ENSMUSG00000073643.11 transcript:ENSMUST00000113511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy1 description:WD repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1916618] MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW PSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMEFHVSEDFNKMNFIKTYPAHQNRVSAI IFSLAAEWVISTGHDKCVSWMCTRSGNMLGRHFFSSWASCLQYPSECRTPPPIVSCFEDR MTPVDLS >ENSMUSP00000123303.1 pep:known chromosome:GRCm38:1:79724697:79776143:-1 gene:ENSMUSG00000073643.11 transcript:ENSMUST00000143368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy1 description:WD repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1916618] MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW PSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMEFHVSEDFNKMNFIKTYPAHQNRVSAI IFSLAAEWVISTGHDKCVSWMCTRSGNMLGRHFFSSWASCLQYDLDTQHAFVGDYSGQIT LL >ENSMUSP00000040224.7 pep:known chromosome:GRCm38:1:79726403:79761769:-1 gene:ENSMUSG00000073643.11 transcript:ENSMUST00000048820.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy1 description:WD repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1916618] MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW PSIYHTMASPCSAMAYHHDSRRIFVGQDNGAVMEFHVSEDFNKMNFIKTYPAHQNRVSAI IFSLAAEWVISTGHDKCVSWMCTRSGNMLGRHFFSSWASCLQYPSECRTPPPIVSCFEDR MTPVDLS >ENSMUSP00000118431.1 pep:known chromosome:GRCm38:1:79733853:79762047:-1 gene:ENSMUSG00000073643.11 transcript:ENSMUST00000125641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy1 description:WD repeat and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:1916618] MAAEIHSRPQSSRPVLLSKIEGHQDAVTAALLIPKEDGVITASEDRTIRVWLKRDSGQYW PSIYHTMASPCSAMA >ENSMUSP00000124551.1 pep:known chromosome:GRCm38:7:111070568:111083030:-1 gene:ENSMUSG00000005610.16 transcript:ENSMUST00000162415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g2 description:eukaryotic translation initiation factor 4, gamma 2 [Source:MGI Symbol;Acc:MGI:109207] VESAIAEGGASRFSASSGGGGSRGAPQHYPKTAGNSEFLGKTPGQNAQKWIPARSTRRDD NSAANNSANEKERHDAIFRKVRGILNKLTPEKFDKLCLELLNVGVESKLILKGVILLIVD KALEEPKYSSLYAQLCLRLAEDAPNFDGPAAEGQPGQKQSTTFRRLLISKLQDEFENRTR NVDVYDKRENPLLPEEEEQRAIAKIKMLGNIKFIGELGKLDLIHESILHKCIKTLLEKKK RVQLKDMGEDLECLCQIMRTVGPRLDHERAKSLMDQYFARMCSLMLSKELPARIRFLLQD TVELREHHWVPRKAFLDNGPKTINQIRQDAVKDLGVFIPAPMAQGRNDFFLEGPFMPPRM KMDRDPLGGLADMFGQMPGSGIGTGPGVIQDRFSPTMGRHRSNQLFNGHGGHIMPPTQSQ FGEMGGKFMKSQGLSQLYHNQSQGLLSQLQGQSKDMPPRFSKKGQLNADEISLRPAQSFL MNKNQVPKLQPQITMIPPSAQPPRTQTPPLGQTPQLGLKTNPPLIQEKPAKTSKKPPPSK EELLKLTEAVVTDYLNSGNANDAVSGVREMRAPKHFLPEMLSKVIILSLDRSDEDKEKAS SLISLLKQEGIATSDNFMQAFLNVLEQCPKLEVDIPLVKSYLAQFAARAIISELVSISEL AQPLESGTHFPLFLLCLQQLAKLQDREWLTELFQQSKVNMQKMLPEIDQNKDRMLEILEG KGLSFLFPLLKLEKELLKQIKLDPSPQTIYKWIKDNISPKLHVDKGFVNILMTSFLQYIS SEVSPPSDETDSSSAPSKEQLEQEKQLLLSFKPVMQKFLHDHVDLQVSALYALQVHCYNS SFPKGMLLRFFVHFYDMEIIEEEAFLAWKEDITQEFPGKGKALFQVNQWLTWLETAEEEE SEEEAD >ENSMUSP00000125375.1 pep:known chromosome:GRCm38:7:111071932:111083017:-1 gene:ENSMUSG00000005610.16 transcript:ENSMUST00000161051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g2 description:eukaryotic translation initiation factor 4, gamma 2 [Source:MGI Symbol;Acc:MGI:109207] VESAIAEGGASRFSASSGGGGSRGAPQHYPKTAGNSEFLGKTPGQNAQKWIPARSTRRDD NSAANNSANEKERHDAIFRKVRGILNKLTPEKFDKLCLELLNVGVESKLILKGVILLIVD KALEEPKYSSLYAQLCLRLAEDAPNFDGPAAEGQPGQKQSTTFRRLLISKLQDEFENRTR NVDVYDKRENPLLPEEEEQRAIAKIKMLGNIKFIGELGKLDLIHESILHKCIKTLLEKKK RVQLKDMGEDLECLCQIMRTVGPRLDHERAKSLMDQYFARMCSLMLSKELPARIRFLLQD TVELREHHWVPRKAFLDNGPKTINQIRQDAVKDLGVFIPAPMAQGRNDFFLEGPFMPPRM KMDRDPLGGLADMFGQMPGSGIGTGPGVIQDRFSPTMGRHRSNQLFNGHGGHIMPPTQSQ FGEMGGKFMKSQISLRPAQSFLMNKNQVPKLQPQITMIPPSAQPPRTQTPPLGQTPQLGL KTNPPLIQEKPAKTSKKPPPSKEELLKLTEAVVTDYLNSGNANDAVSGVREMRAPKHFLP EMLSKVIILSLDRSDEDKEKASSLISLLKQEGIATSDNFMQAFLNVLEQCPKLEVDIPLV KSYLAQFAARAIISELVSISELAQPLESGTHFPLFLLCLQQLAKLQDREWLTELFQQSKV NMQKMLPEIDQNKDRMLEILEGKGLSFLFPLLKLEKELLKQIKLDPSPQTIYKWIKDNIS PKLHVDKGFVNILMTSFLQYISSEVSPPSDETDSSSAPSKEQLEQEKQLLLSFKPVMQKF LHDHVDLQVSALYALQVHCYNSSFPKGMLLRFFVHFYDMEIIEEEAFLAWKEDITQEFPG KGKALFQVNQWLTWLETAEEEESEEEAD >ENSMUSP00000124914.1 pep:known chromosome:GRCm38:7:111078422:111083019:-1 gene:ENSMUSG00000005610.16 transcript:ENSMUST00000160132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g2 description:eukaryotic translation initiation factor 4, gamma 2 [Source:MGI Symbol;Acc:MGI:109207] VESAIAEGGASRFSASSGGGGSRGAPQHYPKTAGNSEFLGKTPGQNAQKWIPARSTRRDD NSAANNSANEKERHDAIFRKVRGILNKLTPEKFDKLCLELLNVGVESKLILKGVILLIVD KALEEPKYSSLYAQLCLRLAEDAPNFDGPAAEGQPGQKQST >ENSMUSP00000125098.1 pep:known chromosome:GRCm38:7:111079338:111082195:-1 gene:ENSMUSG00000005610.16 transcript:ENSMUST00000159305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g2 description:eukaryotic translation initiation factor 4, gamma 2 [Source:MGI Symbol;Acc:MGI:109207] VESAIAEGGASRFSASSGGGGSRGAPQHYPKTAGNSEFLGKTPGQNAQKWIPARSTRRDD NSAANNSANEKERHDAIFRKVRGILNKLTPEKFDKLCLELLN >ENSMUSP00000125301.1 pep:known chromosome:GRCm38:7:111080917:111081853:-1 gene:ENSMUSG00000005610.16 transcript:ENSMUST00000160966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g2 description:eukaryotic translation initiation factor 4, gamma 2 [Source:MGI Symbol;Acc:MGI:109207] VESAIAEGGASRFSASSGGGGSRGAPQHYP >ENSMUSP00000123811.1 pep:known chromosome:GRCm38:7:111073400:111074249:-1 gene:ENSMUSG00000005610.16 transcript:ENSMUST00000163014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4g2 description:eukaryotic translation initiation factor 4, gamma 2 [Source:MGI Symbol;Acc:MGI:109207] XFLQYISSEVSPPSDETDSSSAPSKEQLEQEKQLLLSFKPVMQKFLHDHVDLQVSALYAL QVHCYNSSFPKGMLLRFFVHFYDMEIIEEEAFLAWKEDITQEFPGKGKALFQVSALLRFF FDCQLGLVFVFLVSILNGPLSNLNVSKSMPVSNDTSSS >ENSMUSP00000120568.2 pep:known chromosome:GRCm38:1:133897199:133907172:-1 gene:ENSMUSG00000010311.15 transcript:ENSMUST00000124051.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Optc description:opticin [Source:MGI Symbol;Acc:MGI:2151113] MRGCLAVPCSDRQSSSPPEAPWKLLSQTEPKASKRMYLRGIQPFPLLPLPQNLMKFLAFL SLLSLVLQKAETASLLGEREREEQSPEEGDTYASLYVGNHTLSIEDYNEVIDLSNYEELA DYGDQIPEAKISNLTLPTRTSPTSTVAQKTLSPNLTMAVPTTTGLLNSQSSHGLPTCLVC VCLGSSVYCDDADLENIPPLPQMTTYLYARFNHISHIQAGDFKGLTKLRRIDLSGNSISS IHNDALRLLPALQDLILPENQLAALPVLPSGIEFLDVRLNRLQSSGIQPEAFVALKKLQF LYLANNMLDSIPGPLPLSLRSLHLQNNMIETMESDTFCDTGEHRHERRQLEDIRLDGNPI NLSLFPEAYFCLPRLPVGHFT >ENSMUSP00000123262.2 pep:known chromosome:GRCm38:1:133897200:133907999:-1 gene:ENSMUSG00000010311.15 transcript:ENSMUST00000153617.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Optc description:opticin [Source:MGI Symbol;Acc:MGI:2151113] MRGCLAVPCSDRQSSSPPEAPWKLLSQTEPKASKRMYLRGIQPFPLLPLPQNLMKFLAFL SLLSLVLQKAETASLLGEREREEQSPEEGDTYASLYVGNHTLSIEDYNEVIDLSNYEELA DYGDQIPEAKISNLTLPTRTSPTSTVAQKTLSPNLTMAVPTTTGLLNSQSSHAKLRRIDL SGNSISSIHNDALRLLPALQDLILPENQLAALPVLPSGIEFLDVRLNRLQSSGIQPEAFV ALKKLQFLYLANNMLDSIPGPLPLSLRSLHLQNNMIETMESDTFCDTGEHRHERRQLEDI RLDGNPINLSLFPEAYFCLPRLPVGHFT >ENSMUSP00000115661.1 pep:known chromosome:GRCm38:1:133897699:133907126:-1 gene:ENSMUSG00000010311.15 transcript:ENSMUST00000149380.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Optc description:opticin [Source:MGI Symbol;Acc:MGI:2151113] MRGCLAVPCSDRQSSSPPEAPWKLLSQTEPKASKRMYLRGIQPFPLLPLPQNLMKFLAFL SLLSLVLQKAETASLLGEREREEQSPEEGDTYASLYVGNHTLSIEDYNEVIDLSNYEELA DYGDQIPEAKISNLTLPTRTSPTSTVAQKTLSPNLTMAVPTTTGLLNSQSSHAKLRRIDL SGNSISSIHNDALRLLPALQDLILPENQLAALPVLPSGIEFLDVRLNRLQSSGIQPEAFV ALKKLQFLYLANNMLDSIPGPLPLSLRSLHLQNNMIETMESDTFCDTGEHRHERRQLEDI RLDGNPINLSLFPEAYFCLPRLPVGHFT >ENSMUSP00000117086.1 pep:known chromosome:GRCm38:1:133900834:133907002:-1 gene:ENSMUSG00000010311.15 transcript:ENSMUST00000126123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Optc description:opticin [Source:MGI Symbol;Acc:MGI:2151113] XRQSSSPPEAPWKLLSQTEPKASKRMYLRGIQPFPLLPLPQNLMKFLAFLSLLSLVLQKA ETASLLGEREREEQSPEEGDTYASLYVGNHTLSIEDYNEVIDLSNYEELADYGDQIPEAK ISNLTLPTRTSPTSTVAQKTLSPNLTMAVPTTTGLLNSQSSHGLPTCLVCVCLGSSVYCD DADLENIPPLPQMTTYLYARFNHISHIQAGDFKGLTKLRRIDLSGNSISSIHNDALRLLP ALQDLILPENQLAALPVLPSGIEFLDVRLNRLQSSGIQPEAFVVSQDISLPYEVHPSHVP >ENSMUSP00000113365.1 pep:known chromosome:GRCm38:3:96635430:96645366:1 gene:ENSMUSG00000028102.15 transcript:ENSMUST00000118557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex11b description:peroxisomal biogenesis factor 11 beta [Source:MGI Symbol;Acc:MGI:1338882] MDAWVRFSAQSQARERLCRAAQYACSLLGHALQRHGASPELQKQIRQLEGHLSLGRKLLR LGNSTDALESAKRAVHLSDVVLRFCITVSHLNRALYFACDNVLWAGKSGLAPRVDQEKWA QRSFRYYLFSLIMNLSRDAYEIRLLMEQETSAYSRRMKVSGVGVSGGVETVGPGGPGTPG GSLPQLALKFRLRILLLARVLRGHPPLLLDVLRNACDLFIPLDKLGLWRCGPGIVGLCGL ISSILSILTLICPWLRLKP >ENSMUSP00000115956.1 pep:known chromosome:GRCm38:3:96635500:96644033:1 gene:ENSMUSG00000028102.15 transcript:ENSMUST00000156015.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pex11b description:peroxisomal biogenesis factor 11 beta [Source:MGI Symbol;Acc:MGI:1338882] MDAWVRFSAQSQARERLWPPSMPVPFSAMLCRDMGLVPSYRNRFDNWRVI >ENSMUSP00000037962.8 pep:known chromosome:GRCm38:3:96635672:96644726:1 gene:ENSMUSG00000028102.15 transcript:ENSMUST00000048766.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex11b description:peroxisomal biogenesis factor 11 beta [Source:MGI Symbol;Acc:MGI:1338882] MDAWVRFSAQSQARERLCRAAQYACSLLGHALQRHGASPELQKQIRQLEGHLSLGRKLLR LGNSTDALESAKRAVHLSDVVLRFCITVSHLNRALYFACDNVLWAGKSGLAPRVDQEKWA QRSFRYYLFSLIMNLSRDAYEIRLLMEQETSAYSRRMKVSGVGVSGGVETVGPGGPGTPG GSLPQLALKFRLRILLLARVLRGHPPLLLDVLRNACDLFIPLDKLGLWRCGPGIVGLCGL ISSILSILTLICPWLRLKP >ENSMUSP00000126631.1 pep:known chromosome:GRCm38:3:96635833:96644726:1 gene:ENSMUSG00000028102.15 transcript:ENSMUST00000165842.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex11b description:peroxisomal biogenesis factor 11 beta [Source:MGI Symbol;Acc:MGI:1338882] MGKLRAAQYACSLLGHALQRHGASPELQKQIRQLEGHLSLGRKLLRLGNSTDALESAKRA VHLSDVVLRFCITVSHLNRALYFACDNVLWAGKSGLAPRVDQEKWAQRSFRYYLFSLIMN LSRDAYEIRLLMEQETSAYSRRMKVSGVGVSGGVETVGPGGPGTPGGSLPQLALKFRLRI LLLARVLRGHPPLLLDVLRNACDLFIPLDKLGLWRCGPGIVGLCGLISSILSILTLICPW LRLKP >ENSMUSP00000029866.9 pep:known chromosome:GRCm38:4:11191726:11204779:1 gene:ENSMUSG00000028212.16 transcript:ENSMUST00000029866.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccne2 description:cyclin E2 [Source:MGI Symbol;Acc:MGI:1329034] MSRRSRLQAKQHAQPNQPDSPQETQIIQAKKRKTAQDVKKRKEEITKKHQYEIRNCWPPV LSGGISPCIIIETPHKEIGTSDFSRFTNYRFKNLFINPSPLPDLSWACSQEVWQNMLQKE NRYVHDKHFQVLHSDLEPQMRSILLDWLLEVCEVYTLHRETFYLAQDFFDRFMLTQKDVN KNMLQLIGITSLFIASKLEEIYAPKLQEFAYVTDGACSEVDILKMELNILKALKWELCPV TVISWLNLFLQVDAVKDVPKVLLPQYSQETFIQIAQLLDLCILAIDSLEFQYRILAAAAL CHFTSIEVVKKASGLEWDDISECVDWMVPFVSVVKSVSPVKLKTFKKIPMEDRHNIQTHT NYLALLNEVNYVNIYRKGGQLSPVCNGGIMTPPKSTEKPPGKH >ENSMUSP00000103960.3 pep:known chromosome:GRCm38:4:11191730:11204682:1 gene:ENSMUSG00000028212.16 transcript:ENSMUST00000108324.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccne2 description:cyclin E2 [Source:MGI Symbol;Acc:MGI:1329034] MSRRSSRLQAKQHAQPNQPDSPQETQIIQAKKRKTAQDVKKRKEEITKKHQYEIRNCWPP VLSGGISPCIIIETPHKEIGTSDFSRFTNYRFKNLFINPSPLPDLSWACSQEVWQNMLQK ENRYVHDKHFQVLHSDLEPQMRSILLDWLLEVCEVYTLHRETFYLAQDFFDRFMLTQKDV NKNMLQLIGITSLFIASKLEEIYAPKLQEFAYVTDGACSEVDILKMELNILKALKWELCP VTVISWLNLFLQVDAVKDVPKVLLPQYSQETFIQIAQLLDLCILAIDSLEFQYRILAAAA LCHFTSIEVVKKASGLEWDDISECVDWMVPFVSVVKSVSPVKLKTFKKIPMEDRHNIQTH TNYLALLNEVNYVNIYRKGGQLSPVCNGGIMTPPKSTEKPPGKH >ENSMUSP00000130693.1 pep:known chromosome:GRCm38:4:11191354:11204675:1 gene:ENSMUSG00000028212.16 transcript:ENSMUST00000170901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccne2 description:cyclin E2 [Source:MGI Symbol;Acc:MGI:1329034] MSRRSSRLQAKQHAQPNQPDSPQETQIIQAKKRKTAQDVKKRKEEITKKHQYEIRNCWPP VLSGGISPCIIIETPHKEIGTSDFSRFTNYRFKNLFINPSPLPDLSWACSQEVWQNMLQK ENRYVHDKHFQVLHSDLEPQMRSILLDWLLEVCEVYTLHRETFYLAQDFFDRFMLTQKDV NKNMLQLIGITSLFIASKLEEIYAPKLQEFAYVTDGACSEVDILKMELNILKALKWELCP VTVISWLNLFLQVDAVKDVPKVLLPQYSQETFIQIAQLLDLCILAIDSLEFQYRILAAAA LCHFTSIEVVKKASGLEWDDISECVDWMVPFVSVVKSVSPVKLKTFKKIPMEDRHNIQTH TNYLALLNEVNYVNIYRKGGQLSPVCNGGIMTPPKSTEKPPGKH >ENSMUSP00000108717.2 pep:known chromosome:GRCm38:1:90042132:90153401:-1 gene:ENSMUSG00000026301.15 transcript:ENSMUST00000113094.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqca description:IQ motif containing with AAA domain [Source:MGI Symbol;Acc:MGI:1922168] MSNLMYNKMWHQTQEALNSLLDKEFQKMSEPQANKVLVFQMLATFYINYVQIFRNMENVY DQIVHPQKRMLIRKVLDGVMGRILELKNEMVELEMTEFHYFDDILQDLKLSPQQLDVPIP RYFLKERLEVIKGREKILARILTECGLNLPVKYAAKSIALEEAVKLIQIAERARQGRLRA LFMKQIFLQECRAKEMKLLGHRLLDTKLAALQIQKVWRGFHQCKKTVKEREEEMVFLGMK PSPLFNEVSDAIVQSKQVTNLRDEVQLKHEQDYQEALVNIKEDLKMLEGPDIKEHLQDQI RQWFIECRNLTGTFPDYPNEEDGGSALIFSNKTPEQVMGDIIATQEEEEKLKKKKKKEDK ENKGKKGKKEKKEKKEKKDEDEEWKMSPSLFLQLMEEGNSLYKDIWLNKDESWNFPQDYD PELIKEEKRKELEMEIRVQVDELMRQELKNLKLAVNREMEIPPRQKKGKKKNKGRKGRRG KRGKKEKDLTADRTIESLYQELVEKGLLIQALKVNLSDYIGEYSYLGTTLRQVAIEPMPS LLDVRQLITLYGILPLGSAEVHERAPLVKSLLLAGPSGVGKKMLVHAICTETGANLFNLS ALNIAGKYPGKTGLQMMIHLVFKVARQLQPSVVWIQDTEKTFYKKVPQAEKRFEPKRLKR YLPKLLKLLKPDDRILIVGTTHRPFDAELQPFCRVYQKIILVPRPDYASRYVLWKEIILR NGGKLTNSLNISCLSKVTDGFTQGQIVQVIKDVLTERRLRQQAHKPLTAIEFITMMTNMN PVYREEEESFKNWYAKTPLGKKRVLSLTVGNKEKEKDKGKKGKRGKKKK >ENSMUSP00000140367.1 pep:known chromosome:GRCm38:1:90059457:90066924:-1 gene:ENSMUSG00000026301.15 transcript:ENSMUST00000189011.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqca description:IQ motif containing with AAA domain [Source:MGI Symbol;Acc:MGI:1922168] XSAEVHERAPLVKSLLLAGPSGVGKKMLVHAICTETGANLFNLSALNIAGKYPGKTGLQM MIHLVFKPPSDICRIL >ENSMUSP00000060499.8 pep:known chromosome:GRCm38:2:181595218:181599212:-1 gene:ENSMUSG00000038605.11 transcript:ENSMUST00000060173.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd10 description:sterile alpha motif domain containing 10 [Source:MGI Symbol;Acc:MGI:2443872] MFTELRSKLSPPRARAGAVRPGFGERPDVDASAHFSFCQTLLEHTVSAENIPCHLPRTPG TSLTWHDSRSQRASSSRPIKLLQQPGSEIPQARLYSDHYGLYHTSPSLGGLTRPVVLWSQ QDVCKWLKKHCPHNYLVYVEAFSQHAITGRALLRLNADKLQRMGLTQEAQRQEVLQQVLH LQVREEGRSLKLLSQASFGNMS >ENSMUSP00000139114.1 pep:known chromosome:GRCm38:2:181595221:181599198:-1 gene:ENSMUSG00000038605.11 transcript:ENSMUST00000183836.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Samd10 description:sterile alpha motif domain containing 10 [Source:MGI Symbol;Acc:MGI:2443872] MFTELRSKLSPPRARAGAVRPGFGERPDVDASARLS >ENSMUSP00000030003.3 pep:known chromosome:GRCm38:4:45316613:45320629:-1 gene:ENSMUSG00000028322.11 transcript:ENSMUST00000030003.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc3 description:exosome component 3 [Source:MGI Symbol;Acc:MGI:1913612] MAEVLSAGPESVAGCRARAVHKVLNQVVLPGEELVLPDHEDVDGLGGAGEQPLRLNAGAR PRLRVVCGPGLRRCGDRLLVTKCGRLRHKEPSGGGGGVYWVDSQQKRYVPVKGDHVIGIV IAKSGDIFKVDVGGSEPASLSYLAFEGATKRNRPNVQVGDLIYGQCVVANKDMEPEMVCI DSCGRANGMGVIGQDGLLFKVTLGLIRKLLAPDCEIVQELGKLYPLEIVFGMNGRIWVKA KTIQQTLILANVLEACEHMTTEQRKQIFARLAES >ENSMUSP00000115532.1 pep:known chromosome:GRCm38:4:45316954:45342732:-1 gene:ENSMUSG00000028322.11 transcript:ENSMUST00000152056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc3 description:exosome component 3 [Source:MGI Symbol;Acc:MGI:1913612] XLPVRRVLEVEDSTVGAGAEYVPVKGDHVIGIVIAKSGDIFKVDVGGSEPASLSYLAFEG ATKRNRPNVQVGDLIYGQCVVANKDMEPEMVCIDSCGRANGMGVIGQDGLLFKVTLGLIR KLLAPDCEIVQELGKLYPLEIVFGM >ENSMUSP00000038152.6 pep:known chromosome:GRCm38:18:56588350:56594782:1 gene:ENSMUSG00000032900.14 transcript:ENSMUST00000035640.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex43 description:testis expressed 43 [Source:MGI Symbol;Acc:MGI:1914593] MASEKDDGPALPKLDDDNQTAENTCKPAEEQPQQLRWDDIHLPRFSLKQGMIPTRYVMPW KENMKFRNVNLQQAEACGIYAGPLEDSLFWGYSERLCHGEDRKAVLKKGLPEIKITDMPL HSPLSRYQSTVISHGFRRRLI >ENSMUSP00000117284.1 pep:known chromosome:GRCm38:18:56588379:56592714:1 gene:ENSMUSG00000032900.14 transcript:ENSMUST00000127591.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex43 description:testis expressed 43 [Source:MGI Symbol;Acc:MGI:1914593] DDGPALPKLDDDNQTAENTCKPAEEQPQQLRWDDIHLPRFSLKQGMIPTRYVMPWKENMK FRNVNLQVLSHKYSA >ENSMUSP00000116691.1 pep:known chromosome:GRCm38:18:56588389:56594712:1 gene:ENSMUSG00000032900.14 transcript:ENSMUST00000153248.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex43 description:testis expressed 43 [Source:MGI Symbol;Acc:MGI:1914593] XALPKLDDDNQTAENTCKPAEEQPQQLRKDLTAMRATREEKLHTSVRSSGPRAWSALPFQ DTPIMVVLRGGTIFTCPGSH >ENSMUSP00000115084.1 pep:known chromosome:GRCm38:18:56588426:56594782:1 gene:ENSMUSG00000032900.14 transcript:ENSMUST00000147775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex43 description:testis expressed 43 [Source:MGI Symbol;Acc:MGI:1914593] XENTCKPAEEQPQQLRWDDIHLPRFSLKQGMIPTRYVMPWKENMKFRNVNLQIPEHSDFP RFPEATDLIGGSRKLLSFGNGALA >ENSMUSP00000145343.1 pep:known chromosome:GRCm38:6:136400317:136415569:-1 gene:ENSMUSG00000045291.8 transcript:ENSMUST00000204830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330021D16Rik description:RIKEN cDNA E330021D16 gene [Source:MGI Symbol;Acc:MGI:2141773] MSSGLKAELEFLASIFHKDHERLRIVSWHLDDLQCQFLVPEATVGSPPSPPPLTLHCTIT ESYPSSPAMWFVDSDDPDLTSILERLENSKPDSSLSQQLKWLICELCRLYNLPEHLDVEM LDQPVPIAQKATIKDGSSEEDEDASYGLDDSDYYDMKEEETSSEKTSENEGLEKEDLEIL ENIQKNLWQKNASGATSGSVCASDRLMKELREIYRSQSYKSGTFSVELINDSLYDWHVKL RKVDPDSCLYRDLQRLKQKEGIDYILLNFSFKDNFPFDPPFVRVVLPVLSDGYVLDGGAL CMELLTNQGWSSAYSIESVILQINATLVKGKARVRFGVDNHYTEQVARRVYKSMVLKHEK SGWFTPPQKDG >ENSMUSP00000144858.1 pep:known chromosome:GRCm38:6:136401495:136415564:-1 gene:ENSMUSG00000045291.8 transcript:ENSMUST00000203392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330021D16Rik description:RIKEN cDNA E330021D16 gene [Source:MGI Symbol;Acc:MGI:2141773] MSSGLKAELEFLASIFHKDHERLRIVSWHLDDLQCQFLVPEATVGSPPSPPPLTLHCTIT ESYPSSPAMWFVDSDDPDLTSILERLENSKPDSSLSQQLKWLICELCRLYNL >ENSMUSP00000060726.7 pep:known chromosome:GRCm38:6:136400715:136401830:-1 gene:ENSMUSG00000045291.8 transcript:ENSMUST00000058713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330021D16Rik description:RIKEN cDNA E330021D16 gene [Source:MGI Symbol;Acc:MGI:2141773] MSSGLKAELEFLASIFHKDHERLRIVSWHLDDLQCQFLVPEATVGSPPSPPPLTLHCTIT ESYPSSPAMWFVDSDDPDLTSILERLENSKPDSSLSQQLKWLICELCRLYNLPEHLDVEM LDQPVPIAQKATIKDGSSEEDEDASYGLDDSDYYDMKEEETSSEKTSENEGLEKEDLEIL ENIQKNLWQKNASGATSGSVCASDRLMKELREIYRSQSYKSGTFSVELINDSLYDWHVKL RKVDPDSCLYRDLQRLKQKEGIDYILLNFSFKDNFPFDPPFVRVVLPVLSDGYVLDGGAL CMELLTNQGWSSAYSIESVILQINATLVKGKARVRFGVDNHYTEQVARRVYKSMVLKHEK SGWFTPPQKDG >ENSMUSP00000025142.5 pep:known chromosome:GRCm38:18:10566619:10610352:-1 gene:ENSMUSG00000024293.15 transcript:ENSMUST00000025142.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esco1 description:establishment of sister chromatid cohesion N-acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1925055] MSIQEKSKENSSIVTKESEDENLEEEVESSQNSPTKKSGSKEAVKTPVRFSNKSKTNESE FGMRMSTRSASCSADKTATNSFNKNTVTLKGQSQESSKTKKLCQEKLSLGILKGNEQLHR RSQRLQQLTECTTRSLRSREIHGQIQTVKQNQQSARREQCNSTQSKCNKVKVNQKHVKRK VLEIKSDCKEDRHSVTNEVINSPKGKKRKVQHQTTSTCSSQCNQGSEKCLQKTSRKEEIK PVPVTADIRKLKAATSVVSKKNELRKSAHTQVSTSTKRPQIPLPLVPEHSDDQELEQAGK SKRGSILQLCEEIAGEIESDTVEVKKESSCVESVKEEKPAEVKLQGTDAERQILHHKEAN QDVRSNRFFPSRKTKPVKCVLNGINSSTKKNSNWTKIKLSKFNSVQQHKLDSQVSPKLNL LQTGLSTSVLEMPHPVSQSTFLEMKAHGNVTCQRDKMKGIKSEEVKINNIAIEINKATKR DPGNCNLDNHIKPSPDSSLDNQMKLSCESAPDQNFSICSASEVETNPLENTAAASTLLSQ AKIDEDRTFPGSAPNQQHSVLSDEASINRKNRDVPPNHSQLKHDSHLEITIPKSLKLKDS EKVDEKQLVIDAGHKRFGAVSCNICGMLYTASNPEDETQHLLFHNQFISAVKYVGWKKER ILAEYPDGRIIMVLPEDPKYALKKVDEIREMVDNDLGFQQAPLMCYSRTKTLLFISNDKK VVGCLIAEHIQWGYRVIEEKLPVIRSEEEKVRFERQKAWCCSTLPEPAICGISRIWVFSM MRRKKIASRMIECLRSNFIYGSYLSKEEIAFSDPTPDGKLFATQYCGTGQFLVYNFINGQ NTT >ENSMUSP00000095274.3 pep:known chromosome:GRCm38:18:10566611:10610129:-1 gene:ENSMUSG00000024293.15 transcript:ENSMUST00000097670.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esco1 description:establishment of sister chromatid cohesion N-acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1925055] MVAETSVLSCGKRTNFSICSASEVETNPLENTAAASTLLSQAKIDEDRTFPGSAPNQQHS VLSDEASINRKNRDVPPNHSQLKHDSHLEITIPKSLKLKDSEKVDEKQLVIDAGHKRFGA VSCNICGMLYTASNPEDETQHLLFHNQFISAVKYVGWKKERILAEYPDGRIIMVLPEDPK YALKKVDEIREMVDNDLGFQQAPLMCYSRTKTLLFISNDKKVVGCLIAEHIQWGYRVIEE KLPVIRSEEEKVRFERQKAWCCSTLPEPAICGISRIWVFSMMRRKKIASRMIECLRSNFI YGSYLSKEEIAFSDPTPDGKLFATQYCGTGQFLVYNFINGQNTT >ENSMUSP00000111530.1 pep:known chromosome:GRCm38:18:10566619:10610109:-1 gene:ENSMUSG00000024293.15 transcript:ENSMUST00000115864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esco1 description:establishment of sister chromatid cohesion N-acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1925055] MLQIHQHPVAVDFRRKNFSEILSKQTGSAPNQQHSVLSDEASINRKNRDVPPNHSQLKHD SHLEITIPKSLKLKDSEKVDEKQLVIDAGHKRFGAVSCNICGMLYTASNPEDETQHLLFH NQFISAVKYVGWKKERILAEYPDGRIIMVLPEDPKYALKKVDEIREMVDNDLGFQQAPLM CYSRTKTLLFISNDKKVVGCLIAEHIQWGYRVIEEKLPVIRSEEEKVRFERQKAWCCSTL PEPAICGISRIWVFSMMRRKKIASRMIECLRSNFIYGSYLSKEEIAFSDPTPDGKLFATQ YCGTGQFLVYNFINGQNTT >ENSMUSP00000029170.7 pep:known chromosome:GRCm38:2:157145893:157204512:-1 gene:ENSMUSG00000027641.13 transcript:ENSMUST00000029170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbl1 description:retinoblastoma-like 1 (p107) [Source:MGI Symbol;Acc:MGI:103300] MFEDEPHAEGAAAVAAAREALQALCQELNLDEGSAAEALDDFTAIRGNYSLEGEVIHWLA CSLYVACRKSIIPTVGKGVMEGNCVSLTRILRSAKLSLIQFFSKMKKWMDMSNLPQEFRE RIERLERNFEVSTVIFKKFEPIFLDIFQNPYEEPPKLPRSRKQRRIPCSVKDLFNFCWTL FVYTKGNFRMIGDDLVNSYHLLLCCLDLIFANAIMCPNRRDLLNPSFKGLPSDFHAPDFK AAEEPPCIIAVLCDLHDGLLVEAKGIKEHYFKPYISKLFDKKILKGECLLDLSSFTDNSK AVNKEYEEYVLTVGDFDERIFLGADAEEEIGTPRKFTADTPFGKLTSQASVECNLQQHFE KKRSFAPSTPLTGRRYLQEKEAVTTPVASATQSVSRLQSIVAGLKSAPSEQLLNIFESCM RNPMGNIIKIVKGIGETFCQHYTQSTDKQPGSHIDFAVNRLKLAEILYYKILETIMVQET RRLHGMDMSVLLEQDIFHKSLMACCLEIVLFAYSSPRTFPWIIEVLDLQPFYFYKVIEVV IRSEEGLSRDMVKHLNSIEEQILESLAWTNNSALWEALHASANRVPSCEEVIFPNNFEIG NGGNVQGHLPMMPMSPIIHPRVKEVRTDSGSLRQDMQPLSPISVHERYSSPAAGSAKRRL FGDDPPKDTLMDKIMAEGTKLKIAPSSVTAESLSISPGQALLTMATTTVTGTTGRKVTVP LHGIANDAGEITLVPISMNPTQESTAESPVSLTAQSLIGTSPKQTHLTKAQDAHLTGVSK PKRTGSLALFYRKVYHLASVRLRDLCLKLDVSNELRRKIWTCFEFTLVHCPDLMKDRHLD QLLLCAFYIMAKVTKEERTFQEIMKSYRNQPQANSHVYRSVLLKSIPGGVVVYNGDCEMT DGDIEDATKTPNCSSEPVKEERGDLIKFYNTVYVGRVKSFALKYDLSNQDHIMDAPPLSP FPHIKQQPGSPRRISQQHSLYVSPHKNGAGLTPRSALLYKFNGSPSKSLKDINNMIRQGE QKTKKRVIAISGDADSPAKRLCQENDDVLLKRLQDVVSERANH >ENSMUSP00000111946.2 pep:known chromosome:GRCm38:10:62269104:62379908:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000116238.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKKEMKNGLSRDYN PTASVKMLPTFVRSIPDGSEKGDFIALDLGGSSFRILRVQVNHEKSQNVSMESEVYDTPE NIVHGSGSQLFDHVAECLGDFMEKRKIKDKKLPVGFTFSFPCRQSKIDEAVLITWTKRFK ASGVEGADVVKLLNKAIKKRGDYDANIVAVVNDTVGTMMTCGYDDQQCEVGLIIGTGTNA CYMEELRHIDLVEGDEGRMCINTEWGAFGDDGSLEDIRTEFDRELDRGSLNPGKQLFEKM VSGMYMGELVRLILVKMAKESLLFEGRITPELLTRGKFTTSDVAAIETGWELSPDRRWYQ AYMRCTQDTHRDKEGVQNAKEILTRLGVEPSHDDCVSVQHVCTIVSFRSANLVAATLGAI LNRLRDNKGTPRLRTTVGVDGSLYKMHPQYSRRFHKTLRRLVPDSDVRFLLSESGSGKGA AMVTAVAYRLAEQHRQIEETLSHFRLSKQALMEVKKKLRSEMEMGLRKETNSRATVKMLP SYVRSIPDGTEHGDFLALDLGGTNFRVLLVKIRSGKKRTVEMHNKIYSIPLEIMQGTGDE LFDHIVSCISDFLDYMGIKGPRMPLGFTFSFPCKQTSLDCGILITWTKGFKATDCVGHDV ATLLRDAVKRREEFDLDVVAVVNDTVGTMMTCAYEEPSCEIGLIVGTGSNACYMEEMKNV EMVEGNQGQMCINMEWGAFGDNGCLDDIRTDFDKVVDEYSLNSGKQRFEKMISGMYLGEI VRNILIDFTKKGFLFRGQISEPLKTRGIFETKFLSQIESDRLALLQVRAILQQLGLNSTC DDSILVKTVCGVVSKRAAQLCGAGMAAVVEKIRENRGLDHLNVTVGVDGTLYKLHPHFSR IMHQTVKELSPKCTVSFLLSEDGSGKGAALITAVGVRLRGDPTNA >ENSMUSP00000115990.1 pep:known chromosome:GRCm38:10:62315476:62379852:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000143236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKK >ENSMUSP00000123375.1 pep:known chromosome:GRCm38:10:62315476:62379852:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000133429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKK >ENSMUSP00000115218.1 pep:known chromosome:GRCm38:10:62315476:62379852:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000132926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKK >ENSMUSP00000121487.1 pep:known chromosome:GRCm38:10:62315476:62375100:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000134877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKK >ENSMUSP00000115426.1 pep:known chromosome:GRCm38:10:62315476:62375100:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000135317.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKK >ENSMUSP00000122791.1 pep:known chromosome:GRCm38:10:62315476:62375100:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000130091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKK >ENSMUSP00000122717.1 pep:known chromosome:GRCm38:10:62315476:62375100:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000134447.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKK >ENSMUSP00000122479.1 pep:known chromosome:GRCm38:10:62315476:62375100:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000136548.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKK >ENSMUSP00000118576.1 pep:known chromosome:GRCm38:10:62315476:62375100:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000154489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKK >ENSMUSP00000114586.1 pep:known chromosome:GRCm38:10:62315476:62375100:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000140383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKK >ENSMUSP00000119812.1 pep:known chromosome:GRCm38:10:62315521:62362274:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000149534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] XKHHHLASPSAEPMGWGAPLLSRMLHGPGQAGETSPVPERQSGSENPASEDRRPLEKQCS HHLYTMGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMR >ENSMUSP00000097282.4 pep:known chromosome:GRCm38:10:62268855:62340542:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000099691.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MIAAQLLAYYFTELKDDQVKKIDKYLYAMRLSDEILIDILTRFKKEMKNGLSRDYNPTAS VKMLPTFVRSIPDGSEKGDFIALDLGGSSFRILRVQVNHEKSQNVSMESEVYDTPENIVH GSGSQLFDHVAECLGDFMEKRKIKDKKLPVGFTFSFPCRQSKIDEAVLITWTKRFKASGV EGADVVKLLNKAIKKRGDYDANIVAVVNDTVGTMMTCGYDDQQCEVGLIIGTGTNACYME ELRHIDLVEGDEGRMCINTEWGAFGDDGSLEDIRTEFDRELDRGSLNPGKQLFEKMVSGM YMGELVRLILVKMAKESLLFEGRITPELLTRGKFTTSDVAAIETDKEGVQNAKEILTRLG VEPSHDDCVSVQHVCTIVSFRSANLVAATLGAILNRLRDNKGTPRLRTTVGVDGSLYKMH PQYSRRFHKTLRRLVPDSDVRFLLSESGSGKGAAMVTAVAYRLAEQHRQIEETLSHFRLS KQALMEVKKKLRSEMEMGLRKETNSRATVKMLPSYVRSIPDGTEHGDFLALDLGGTNFRV LLVKIRSGKKRTVEMHNKIYSIPLEIMQGTGDELFDHIVSCISDFLDYMGIKGPRMPLGF TFSFPCKQTSLDCGILITWTKGFKATDCVGHDVATLLRDAVKRREEFDLDVVAVVNDTVG TMMTCAYEEPSCEIGLIVGTGSNACYMEEMKNVEMVEGNQGQMCINMEWGAFGDNGCLDD IRTDFDKVVDEYSLNSGKQRFEKMISGMYLGEIVRNILIDFTKKGFLFRGQISEPLKTRG IFETKFLSQIESDRLALLQVRAILQQLGLNSTCDDSILVKTVCGVVSKRAAQLCGAGMAA VVEKIRENRGLDHLNVTVGVDGTLYKLHPHFSRIMHQTVKELSPKCTVSFLLSEDGSGKG AALITAVGVRLRGDPTNA >ENSMUSP00000118601.1 pep:known chromosome:GRCm38:10:62269909:62342722:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000130422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MDSEHCPSVPCGGAGAWEARIDKYLYAMRLSDEILIDILTRFKKEMKNGLSRDYNPTASV KMLPTFVRSIPDGSEKGDFIALDLGGSSFRILRVQVNHEKSQNVSMESEVYDTPENIVHG SGSQLFDHVAECLGDFMEKRKIKDKKLPVGFTFSFPCRQSKIDEAVLITWTKRFKASGVE GADVVKLLNKAIKKRGDYDANIVAVVNDTVGTMMTCGYDDQQCEVGLIIGTGTNACYMEE LRHIDLVEGDEGRMCINTEWGAFGDDGSLEDIRTEFDRELDRGSLNPGKQLFEKMVSGMY MGELVRLILVKMAKESLLFEGRITPELLTRGKFTTSDVAAIETDKEGVQNAKEILTRLGV EPSHDDCVSVQHVCTIVSFRSANLVAATLGAILNRLRDNKGTPRLRTTVGVDGSLYKMHP QYSRRFHKTLRRLVPDSDVRFLLSESGSGKGAAMVTAVAYRLAEQHRQIEETLSHFRLSK QALMEVKKKLRSEMEMGLRKETNSRATVKMLPSYVRSIPDGTEHGDFLALDLGGTNFRVL LVKIRSGKKRTVEMHNKIYSIPLEIMQGTGDELFDHIVSCISDFLDYMGIKGPRMPLGFT FSFPCKQTSLDCGILITWTKGFKATDCVGHDVATLLRDAVKRREEFDLDVVAVVNDTVGT MMTCAYEEPSCEIGLIVGTGSNACYMEEMKNVEMVEGNQGQMCINMEWGAFGDNGCLDDI RTDFDKVVDEYSLNSGKQRFEKMISGMYLGEIVRNILIDFTKKGFLFRGQISEPLKTRGI FETKFLSQIESDRLALLQVRAILQQLGLNSTCDDSILVKTVCGVVSKRAAQLCGAGMAAV VEKIRENRGLDHLNVTVGVDGTLYKLHPHFSRIMHQTVKELSPKCTVSFLLSEDGSGKGA ALITAVGVRLRGDPTNA >ENSMUSP00000120151.1 pep:known chromosome:GRCm38:10:62296713:62342699:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000143179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MDSEHCPSVPCGGAGAWEARLFDHVAECLGDFMEKRKIKDKKLPVGFTFSFPCRQSKIDE AVLITWTKRFKASGVEGADV >ENSMUSP00000118166.1 pep:known chromosome:GRCm38:10:62296093:62327767:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000139228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MACPVQKIDKYLYAMRLSDEILIDILTRFKKEMKNGLSRDYNPTASVKMLPTFVRSIPDG SEKGDFIALDLGGSSFRILRVQVNHEKSQNVSMESEVYDTPENIVHGSGSQLFDHVAECL GDFMEKRKIKDKKLPVGFTFSFPCRQSKIDEAVLITWTKRFKASGVEGADVVKLLNKAIK KRGD >ENSMUSP00000117752.1 pep:known chromosome:GRCm38:10:62295860:62318393:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000152761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MRWDQIDKYLYAMRLSDEILIDILTRFKKEMKNGLSRDYNPTASVKMLPTFVRSIPDGSE KGDFIALDLGGSSFRILRVQVNHEKSQNVSMESEVYDTPENIVHGSGSQLFDHVAECLGD FMEKRKIKDKKLPVGFTFSFPCRQSKIDEAVLITWTKRFKASGVEGADVVKLLNKAIKKR GDYDANIVAVVNDTVGTMMTCGYDDQQCEVGLIIGTGTNACYM >ENSMUSP00000072195.7 pep:known chromosome:GRCm38:10:62269108:62358362:-1 gene:ENSMUSG00000037012.18 transcript:ENSMUST00000072357.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk1 description:hexokinase 1 [Source:MGI Symbol;Acc:MGI:96103] MGQNCQRGQAVDVEPKIRPPLTEEKIDKYLYAMRLSDEILIDILTRFKKEMKNGLSRDYN PTASVKMLPTFVRSIPDGSEKGDFIALDLGGSSFRILRVQVNHEKSQNVSMESEVYDTPE NIVHGSGSQLFDHVAECLGDFMEKRKIKDKKLPVGFTFSFPCRQSKIDEAVLITWTKRFK ASGVEGADVVKLLNKAIKKRGDYDANIVAVVNDTVGTMMTCGYDDQQCEVGLIIGTGTNA CYMEELRHIDLVEGDEGRMCINTEWGAFGDDGSLEDIRTEFDRELDRGSLNPGKQLFEKM VSGMYMGELVRLILVKMAKESLLFEGRITPELLTRGKFTTSDVAAIETGWELSPDRRWYQ AYMRCTQDTHRDKEGVQNAKEILTRLGVEPSHDDCVSVQHVCTIVSFRSANLVAATLGAI LNRLRDNKGTPRLRTTVGVDGSLYKMHPQYSRRFHKTLRRLVPDSDVRFLLSESGSGKGA AMVTAVAYRLAEQHRQIEETLSHFRLSKQALMEVKKKLRSEMEMGLRKETNSRATVKMLP SYVRSIPDGTEHGDFLALDLGGTNFRVLLVKIRSGKKRTVEMHNKIYSIPLEIMQGTGDE LFDHIVSCISDFLDYMGIKGPRMPLGFTFSFPCKQTSLDCGILITWTKGFKATDCVGHDV ATLLRDAVKRREEFDLDVVAVVNDTVGTMMTCAYEEPSCEIGLIVGTGSNACYMEEMKNV EMVEGNQGQMCINMEWGAFGDNGCLDDIRTDFDKVVDEYSLNSGKQRFEKMISGMYLGEI VRNILIDFTKKGFLFRGQISEPLKTRGIFETKFLSQIESDRLALLQVRAILQQLGLNSTC DDSILVKTVCGVVSKRAAQLCGAGMAAVVEKIRENRGLDHLNVTVGVDGTLYKLHPHFSR IMHQTVKELSPKCTVSFLLSEDGSGKGAALITAVGVRLRGDPTNA >ENSMUSP00000139502.1 pep:known chromosome:GRCm38:2:130405239:130408256:1 gene:ENSMUSG00000100963.1 transcript:ENSMUST00000188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28372 description:predicted gene 28372 [Source:MGI Symbol;Acc:MGI:5579078] MCPPWDFSQAQLLDSILGLGALGVTIRTIFSTAGLALLLLLLTSFLVFDLLYGCTSRDAA ATSGVGYHRGWRGAPAGSALVSLDHPSGLGALVGMPHTSELDPVVEYIRLAATTTADAVG SGGDTLPAAGTDAMSRTLHHGLLPAELAMARGGCDVEPPAASNPAACAQCPACSALRSLL PAALFHTAEPRGPAHLHDSTRLRSGLGPIEGQPHLTACVC >ENSMUSP00000061899.7 pep:known chromosome:GRCm38:4:136979732:137048695:-1 gene:ENSMUSG00000060862.9 transcript:ENSMUST00000049583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb40 description:zinc finger and BTB domain containing 40 [Source:MGI Symbol;Acc:MGI:2682254] MELPSYSQQLLLQLYALCKEQRFCDCTISVGNIYFRAHKPVLAAASLLFKTLLDSTDAIS IDASVVSPEEFALLLEMTYTGKLPVGKHNFSKIISLADSLQMFDVAVSCKNLLTNLVNCS VQGQVVRDVSVLSSEAGNKESEKPQVEALSSEGAEEPSSSPEVSAVPAGPEKAKTQDVIN IATQEINADPPVAQEVQVAAEITGATPHTAEVCSPSPVGQIPSETKEVNTEAECETKQDQ LTLLLEHESVFSDAALLTPDTLRRLAGCSEIEGPQKETIIECLTSEGGSSAFQRILDKVH DGSLDVQVALSLVRLYQESTPAEKVSQIQPEGSAGEGKTLSVLLLEHKEDLIQCVTQLRP IVEFLETAKEEFLPDSEKRVIQSCCEGRTPKEMIENLLHRVTEEKTLPAKSLVKLLQAVR TAFPNLDLLLDNLQKGAGSAGTTGLARVSCESEWTDEPRPVCIPADRVDGSSPSPDAYGA ELLRKYHENLSEILIDNQVLLKVISRMQSLAPGDREVMQTLVKQGSGSGGFSSLMLAALE RQTLSATAIWQLLLAVEETETRPLNLLLEEVGKEPGAGAFFRAVTAPESTVLEAILRHSK LLREAIQQTAGCERLSSEEEHLAETVQEMLSISSETVSPEASLRAVLSKAMEKSVSASVV CHLLCSVHKSFPGLQPLMQELAHVGFLTKESGEERWKVTDGLHPGAKDKVEEVAKATGED CQANEQKEQGEPGPVPEQPEKDTSASPDSAKKSFVCKACDKSFHFYCRLKVHMKRCRVAK SRQVQSEEGSETKGSEKGLEKQQPDARGTGGEPDAPKKKKKRLPVTCDLCGREFAHASGM QYHKLTEHFDEKPFSCEECGAKFAANSTLKNHLRLHTGDRPFMCKHCLMTFTQASALAYH TKKKHSEGKMYACQYCDAVFAQSIELSRHVRTHTGDKPYVCRDCGKGFRQANGLSIHLHT FHTDIEDPYDCKKCRMSFSTLQDHRKHIHEVHAKEYHPCPTCGKIFSAPSMLERHMVTHV GGKPFSCGICNKAYQQLSGLWYHNRTHHPDVFAAQNHRSPKFSSLQCSSCDKTFSNTVEH KKHIKTEHTDVKFHECDQCKELFPTPALLQVHVKCQHSGSQPFRCLYCAATFRFPGALQH HVTTEHFKQSESTFPCELCGELFTSQAQLDSHLESEHPKVAGTESQAAASRMVQVIRAPE PAAPAEQVITLEETQLAGSQVFVTLPDSQTSQNSSELVAVTVEDLLDGTVTLICGEAK >ENSMUSP00000101003.1 pep:known chromosome:GRCm38:10:80249121:80256793:1 gene:ENSMUSG00000020153.14 transcript:ENSMUST00000105364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs7 description:NADH dehydrogenase (ubiquinone) Fe-S protein 7 [Source:MGI Symbol;Acc:MGI:1922656] MAALAAPGLLSVRILGLRTAQVQLRRVHQSVATEGPSPSPSPSLSSTQSAVSKAGAGAVV PKLSHLPRSRAEYVVTKLDDLINWARRSSLWPMTFGLACCAVEMMHMAAPRYDMDRFGVV FRASPRQADVMIVAGTLTNKMAPALRKVYDQMPEPRYVVSMGSCANGGGYYHYSYSVVRG CDRIVPVDIYVPGCPPTAEALLYGILQLQRKIKREQKLKIWYRR >ENSMUSP00000020361.6 pep:known chromosome:GRCm38:10:80249452:80256792:1 gene:ENSMUSG00000020153.14 transcript:ENSMUST00000020361.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs7 description:NADH dehydrogenase (ubiquinone) Fe-S protein 7 [Source:MGI Symbol;Acc:MGI:1922656] MAALAAPGLLSVRILGLRTAQVQLRRVHQSVATEGPSPSPSPSLSSTQSAVSKAGAGAVV PKLSHLPRSRAEYVVTKLDDLINWARRSSLWPMTFGLACCAVEMMHMAAPRYDMDRFGVV FRASPRQADVMIVAGTLTNKMAPALRKVYDQMPEPRYVVSMGSCANGGGYYHYSYSVVRG CDRIVPVDIYVPGCPPTAEALLYGILQLQRKIKREQKLKIWYRR >ENSMUSP00000053962.8 pep:known chromosome:GRCm38:2:32384633:32387797:-1 gene:ENSMUSG00000026822.14 transcript:ENSMUST00000050785.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn2 description:lipocalin 2 [Source:MGI Symbol;Acc:MGI:96757] MALSVMCLGLALLGVLQSQAQDSTQNLIPAPSLLTVPLQPDFRSDQFRGRWYVVGLAGNA VQKKTEGSFTMYSTIYELQENNSYNVTSILVRDQDQGCRYWIRTFVPSSRAGQFTLGNMH RYPQVQSYNVQVATTDYNQFAMVFFRKTSENKQYFKITLYGRTKELSPELKERFTRFAKS LGLKDDNIIFSVPTDQCIDN >ENSMUSP00000141430.1 pep:known chromosome:GRCm38:2:32385445:32388252:-1 gene:ENSMUSG00000026822.14 transcript:ENSMUST00000192241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcn2 description:lipocalin 2 [Source:MGI Symbol;Acc:MGI:96757] MGVDRQSRSELLTHKQCPVPARIQSPGNVPLVPLCPLQPFLLLNLAQFRPGGERDRNLAK YFNRMYWQLLHGFLDLHTSDLVAVETMALSVMCLGLALLGVLQSQAQDSTQNLIPAPSLL TVPLQPDFRSDQFRGRWYVVGLAGNAVQKKTEGSFTMYSTIYELQENNSYNVTSILVRDQ DQGCRYWIRTFVPSSRAGQFTLGNMHRYPQVQSYNVQVATTDYNQFAMVFFRKTSENKQY FKITLYGRTKELSPELKERFTRFAKSLGLKD >ENSMUSP00000055299.4 pep:known chromosome:GRCm38:2:130406478:130407902:1 gene:ENSMUSG00000049692.7 transcript:ENSMUST00000055421.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem239 description:transmembrane 239 [Source:MGI Symbol;Acc:MGI:1914016] MQQPRVESDIIGAGEGPQRAVPWSAWIIRQDWVRWWVCHIPRSWTQWWNTSGWRQPLQRM LWGLEGTLYLLLALMLCHALFTTGSYLLSSLWPVVAVMWSHLLPAILLLVLSALPALLFA ASFLLLFSTLLSLVGLLTSMTQPGYAQDLDQ >ENSMUSP00000060005.8 pep:known chromosome:GRCm38:X:136213972:136215513:-1 gene:ENSMUSG00000050071.8 transcript:ENSMUST00000058125.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bex1 description:brain expressed gene 1 [Source:MGI Symbol;Acc:MGI:1328321] MESKDQGVKNLNMENDHQKKEEKEEKPQDTIRREPAVALTSEAGKNCAPRGGRRRFRVRQ PIAHYRWDLMQRVGEPQGRMREENVQRFGGDVRQLMEKLRERQLSHSLRAVSTDPPHHDH HDEFCLMP >ENSMUSP00000108745.1 pep:known chromosome:GRCm38:X:136213973:136215443:-1 gene:ENSMUSG00000050071.8 transcript:ENSMUST00000113120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bex1 description:brain expressed gene 1 [Source:MGI Symbol;Acc:MGI:1328321] MESKDQGVKNLNMENDHQKKEEKEEKPQDTIRREPAVALTSEAGKNCAPRGGRRRFRVRQ PIAHYRWDLMQRVGEPQGRMREENVQRFGGDVRQLMEKLRERQLSHSLRAVSTDPPHHDH HDEFCLMP >ENSMUSP00000108743.1 pep:known chromosome:GRCm38:X:136214013:136215484:-1 gene:ENSMUSG00000050071.8 transcript:ENSMUST00000113118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bex1 description:brain expressed gene 1 [Source:MGI Symbol;Acc:MGI:1328321] MESKDQGVKNLNMENDHQKKEEKEEKPQDTIRREPAVALTSEAGKNCAPRGGRRRFRVRQ PIAHYRWDLMQRVGEPQGRMREENVQRFGGDVRQLMEKLRERQLSHSLRAVSTDPPHHDH HDEFCLMP >ENSMUSP00000108429.1 pep:known chromosome:GRCm38:2:44564412:44861622:-1 gene:ENSMUSG00000036890.13 transcript:ENSMUST00000112810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtdc1 description:glycosyltransferase-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2444269] MSIVIIEAFYGGSHRQLVELLREELDDCVLYTLPAKKWHWRARTAALYFSQNIPSSEHYR TLFASSVLNLTELAALRPDLGKLKKILYFHENQLVYPVKKYQERDFQYGYNQILSCLVAD VVVFNSSFNMESFLTSIGKFLKLIPDHRPKDLESIIRPKCQVIYFPIRFPDVSRFMPKHK IAHLRRMLSLIGDAAASQSVAPCPQPGQRVSEKSPENCESKSDEHPDLDAEQEALDNPSV HKSGSLPVSKENLPLDPSTLLCGAEDPQRPLHITWPHRWEHDKDPETFLKILMSLKQLNL NFHVSVLGETFTDTPDIFSEAKKALGSSVLHWGYLPRKEDYFRVLCMADVVISTAKHEFF GVAMLEAVYCGCYPLCPKALVYPEIFPAEYLYSTPEQLSKRLKSFCKRPDIIRKHLYKGE VAPFSWAALHGKFRSLLTTEPREDL >ENSMUSP00000120593.1 pep:known chromosome:GRCm38:2:44635264:44927657:-1 gene:ENSMUSG00000036890.13 transcript:ENSMUST00000148279.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtdc1 description:glycosyltransferase-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2444269] MSIVIIEAFYGGSHRQLVELLREELDDCVLYTLPAKKWHWRARTAALYFSQNIPSSEHYR TLFASSVLNLTELAALRPDLGKLKKILYFHENQLVYPVKKYQERDFQYGYNQILSCLVAD VVVFNSSFNMESFLTSIGKFLKLIPDHRPKDLESIIRPKCQVIYFPIRFPDVSRFMPKHK IAHLRRMLSLI >ENSMUSP00000119239.1 pep:known chromosome:GRCm38:2:44756268:44862275:-1 gene:ENSMUSG00000036890.13 transcript:ENSMUST00000154744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtdc1 description:glycosyltransferase-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2444269] MSIVIIEAFYGGSHRQLVELLREELDDCVLYTLPAKKWHWRARTAALYFSQNIPSSEHYR TLFASSVLNLTELAALRPDLGKLKKILYFHENQLVYPVKKYQERDFQYGYNQILS >ENSMUSP00000119639.1 pep:known chromosome:GRCm38:2:44591910:44862275:-1 gene:ENSMUSG00000036890.13 transcript:ENSMUST00000146694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtdc1 description:glycosyltransferase-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2444269] MSIVIIEAFYGGSHRQLVELLREELDDCVLYTLPAKKWHWRARTAALYFSQNIPSSEHYR TLFASSVLNLTELAALRPDLGKLKKILYFHENQLVYPVKKYQERDFQYGYNQILSWEHDK DPETFLKILMSLKQLNLNFHVSV >ENSMUSP00000116839.1 pep:known chromosome:GRCm38:2:44575478:44927161:-1 gene:ENSMUSG00000036890.13 transcript:ENSMUST00000130991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtdc1 description:glycosyltransferase-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2444269] MSIVIIEAFYGGSHRQLVELLREELDDCVLYTLPAKKWHWRARTAALYFSQNIPSSEHYR FMPKHKIAHLRRMLSLIGDAAASQSVAPCPQPGQRVSEKSPENCESKSDEHPDLDAEQEA LDNPSVHKSGSLPVSKENLPLDPSTLLCGAEDPQRPLHITWPHRWEHDKDPETFLKILMS LKQLNLNFHVSVLGETFTDTPDIFSEAKKALGSSVLHWGYLPRKEDYFRVLCMADVVI >ENSMUSP00000097703.2 pep:known chromosome:GRCm38:2:44785490:44927236:-1 gene:ENSMUSG00000036890.13 transcript:ENSMUST00000100127.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtdc1 description:glycosyltransferase-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2444269] MSIVIIEAFYGGSHRQLVELLREELDDCVLYTLPAKKWHWRARTAALYFSQNIPSSEHYR RRRSWNCCCVPDHRTGFTFCLTVSKNNTEKFFLARDWTSELRSSLF >ENSMUSP00000038119.6 pep:known chromosome:GRCm38:2:44564415:44756437:-1 gene:ENSMUSG00000036890.13 transcript:ENSMUST00000049051.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtdc1 description:glycosyltransferase-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2444269] RTLFASSVLNLTELAALRPDLGKLKKILYFHENQLVYPVKKYQERDFQYGYNQILSCLVA DVVVFNSSFNMESFLTSIGKFLKLIPDHRPKDLESIIRPKCQVIYFPIRFPDVSRFMPKH KIAHLRRMLSLIGDAAASQSVAPCPQPGQRVSEKSPENCESKSDEHPDLDAEQEALDNPS VHKSGSLPVSKENLPLDPSTLLCGAEDPQRPLHITWPHRWEHDKDPETFLKILMSLKQLN LNFHVSVLGETFTDTPDIFSEAKKALGSSVLHWGYLPRKEDYFRVLCMADVVISTAKHEF FGVAMLEAVYCGCYPLCPKALVYPEIFPAEYLYSTPEQLSKRLKSFCKRPDIIRKHLYKG EVAPFSWAALHGKFRSLLTTEPREDL >ENSMUSP00000145195.1 pep:known chromosome:GRCm38:6:65042583:65056438:1 gene:ENSMUSG00000029920.9 transcript:ENSMUST00000204801.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcad1 description:SWI/SNF-related, matrix-associated actin-dependent regulator of chromatin, subfamily a, containing DEAD/H box 1 [Source:MGI Symbol;Acc:MGI:95453] MNLFNLDRFRFEKRSKIEEAPEAAPQPSQARPSSPISLSAEEENAEGEGSRANTPDSDVT EKTEDSSVPEPPDNERKASLSCFQNQRAIQEYIDLSSDTEDVSPNCSSTVQEKKFSKDTV IIVSEPSEDEESHDL >ENSMUSP00000031984.6 pep:known chromosome:GRCm38:6:65042609:65116061:1 gene:ENSMUSG00000029920.9 transcript:ENSMUST00000031984.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcad1 description:SWI/SNF-related, matrix-associated actin-dependent regulator of chromatin, subfamily a, containing DEAD/H box 1 [Source:MGI Symbol;Acc:MGI:95453] MNLFNLDRFRFEKRSKIEEAPEAAPQPSQARPSSPISLSAEEENAEGEGSRANTPDSDVT EKTEDSSVPEPPDNERKASLSCFQNQRAIQEYIDLSSDTEDVSPNCSSTVQEKKFSKDTV IIVSEPSEDEESHDLPSVTRRNDSSELEDLSELEDLKDAKLQTLKELFPQRSDSDLLKLI ESTSTMDGAIAAALLMFGDAGGGPRKRKLSSSSEEDDVNDDQSVKQPRGDRGEESNESAE ASSNWEKQESIVLKLQKEFPNFDKQELREVLKEHEWMYTEALESLKVFAEDQDVQCASQS EVTNGKEVARNQNYSKNATKIKMKQKISVKPQNGFNKKRKKNVFNPKKAVEDSEYDSGSD AGSSLDEDYSSCEEVMEDGYKGKILHFLQVSSIAELTLIPKCSQKKAQKITELRPFNNWE ALFTKMSKINGLSEDLIWNCKTVIQERDVVIRLMNKCEDISNKLTKQVTMLTGNGGGWNR EQPSLLNQSLSLKPYQKVGLNWLALVHKHGLNGILADEMGLGKTIQAIAFLAYLFQEGNK GPHLIVVPASTIDNWLREVNLWCPSLNVLCYYGSQEERKQIRFNIHNKYEDYNVIVTTYN CAISSSDDRSLFRRLKLNYAIFDEGHMLKNMGSIRYQHLMTINARNRLLLTGTPVQNNLL ELMSLLNFVMPHMFSSSTSEIRRMFSSKTKPADEQSIYEKERIAHAKQIIKPFILRRVKE EVLKLLPPKKDRIELCAMSEKQEQLYSGLFNRLKKSINNLEKNTEMCNVMMQLRKMANHP LLHRQYYTPEKLKEMSQLMLKEPTHCEANPDLIFEDMEVMTDFELHVLCKQYQHINSYQL DMDLILDSGKFRALGCILSELKQKGDRVVLFSQFTMMLDILEVLLKHHQHRYLRLDGKTQ ISERIHLIDEFNTDMDIFVFLLSTKAGGLGINLTSANVVILHDIDCNPYNDKQAEDRCHR VGQTKEVLVIKLISQGTIEESMLKINQQKLKLEQDMTTVDEADEGSMPADIATLLKTSMG L >ENSMUSP00000145340.1 pep:known chromosome:GRCm38:6:65042667:65044131:1 gene:ENSMUSG00000029920.9 transcript:ENSMUST00000205118.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcad1 description:SWI/SNF-related, matrix-associated actin-dependent regulator of chromatin, subfamily a, containing DEAD/H box 1 [Source:MGI Symbol;Acc:MGI:95453] MNLFNLDRFRFEKRSKIEEAPEAAPQPSQARPSSPISLSAEEENAEGEGSRANTPDSDVT EKTGSDFVALALV >ENSMUSP00000144776.1 pep:known chromosome:GRCm38:6:65042836:65044203:1 gene:ENSMUSG00000029920.9 transcript:ENSMUST00000204696.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcad1 description:SWI/SNF-related, matrix-associated actin-dependent regulator of chromatin, subfamily a, containing DEAD/H box 1 [Source:MGI Symbol;Acc:MGI:95453] MNLFNLDRFRFEKRSKIEEAPEAAPQPSQARPSSPISLSAEEENAEGEGSRANTPDSDVT EKTGSDFVALALV >ENSMUSP00000145228.1 pep:known chromosome:GRCm38:6:65042858:65052660:1 gene:ENSMUSG00000029920.9 transcript:ENSMUST00000204114.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarcad1 description:SWI/SNF-related, matrix-associated actin-dependent regulator of chromatin, subfamily a, containing DEAD/H box 1 [Source:MGI Symbol;Acc:MGI:95453] MNLFNLDRFRFEKRSKIEEAPEAAPQPSQARPSSPISLSAEEENAEGEGSRANTPDSDVT EKTGQDEQ >ENSMUSP00000145152.1 pep:known chromosome:GRCm38:6:65042866:65052749:1 gene:ENSMUSG00000029920.9 transcript:ENSMUST00000204955.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarcad1 description:SWI/SNF-related, matrix-associated actin-dependent regulator of chromatin, subfamily a, containing DEAD/H box 1 [Source:MGI Symbol;Acc:MGI:95453] MNLFNLDRFRFEKRSKIEEAPEAAPQPSQARPSSPISLSAEEENAEGEGSRANTPDSDVT EKTGSDFVALALV >ENSMUSP00000144767.1 pep:known chromosome:GRCm38:6:65043213:65115598:1 gene:ENSMUSG00000029920.9 transcript:ENSMUST00000204620.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarcad1 description:SWI/SNF-related, matrix-associated actin-dependent regulator of chromatin, subfamily a, containing DEAD/H box 1 [Source:MGI Symbol;Acc:MGI:95453] MNLFNLDRFRFEKRSKIEEAPEAAPQPSQARPSSPISLSAEEENAEGEGSRANTPDSDVT EKTEDSSVPEPPDNERKASLSCFQNQRAIQEYIDLSSDTEDVSPNCSSTVQEKKFSKDTV IIV >ENSMUSP00000145262.1 pep:known chromosome:GRCm38:6:65075023:65094190:1 gene:ENSMUSG00000029920.9 transcript:ENSMUST00000203411.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarcad1 description:SWI/SNF-related, matrix-associated actin-dependent regulator of chromatin, subfamily a, containing DEAD/H box 1 [Source:MGI Symbol;Acc:MGI:95453] XKGKILHFLQVSSIAELTLIPKCSQKKAQKITELRPFNNWEALLSF >ENSMUSP00000105910.1 pep:known chromosome:GRCm38:2:130405259:130406070:1 gene:ENSMUSG00000027409.5 transcript:ENSMUST00000110281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700020A23Rik description:RIKEN cDNA 1700020A23 gene [Source:MGI Symbol;Acc:MGI:1922906] MCPPWDFSQAQLLDSILGLGALGVTIRTIFSTAGLALLLLLLTSFLVFDLLYGPTGANLP RHRLLPMGQSQGAGEGPGQQAAPLSPTKMASGLLSLQDALLLLFLGLRLFLGGSGIPLAM LGLAFCIHPWA >ENSMUSP00000140511.1 pep:known chromosome:GRCm38:2:130405265:130406018:1 gene:ENSMUSG00000027409.5 transcript:ENSMUST00000189961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700020A23Rik description:RIKEN cDNA 1700020A23 gene [Source:MGI Symbol;Acc:MGI:1922906] MCPPWDFSQAQLLDSILGLGALGVTIRTIFSTAGLALLLLLLTSFLVFDLLYGPTGANLP RHRLLPMGQSQGAGEGPGQQAAPLSPTKMASGLLSLQDALLLLFLGLRLFLGGSGIPLAM LGLAFCIHPWA >ENSMUSP00000028898.3 pep:known chromosome:GRCm38:2:130405286:130406074:1 gene:ENSMUSG00000027409.5 transcript:ENSMUST00000028898.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700020A23Rik description:RIKEN cDNA 1700020A23 gene [Source:MGI Symbol;Acc:MGI:1922906] MCPPWDFSQAQLLDSILGLGALGVTIRTIFSTAGLALLLLLLTSFLVFDLLYGPTGANLP RHRLLPMGQSQGAGEGPGQQAAPLSPTKMASGLLSLQDALLLLFLGLRLFLGGSGIPLAM LGLAFCIHPWA >ENSMUSP00000112665.2 pep:known chromosome:GRCm38:3:95734147:95739569:-1 gene:ENSMUSG00000028108.15 transcript:ENSMUST00000117507.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecm1 description:extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:103060] MGTVSRAALILACLALASAASEGAFKASDQREMTPERLFQHLHEVGYAAPPSPPQTRRLR VDHSVTSLHDPPLFEEQREVQPPSSPEDIPVYEEDWPTFLNPNVDKAGPAVPQEAIPLQK EQPPPQVHIEQKEIDPPAQPQEEIVQKEVKPHTLAGQLPPEPRTWNPARHCQQGRRGVWG HRLDGFPPGRPSPDNLKQICLPERQHVIYGPWNLPQTGYSHLSRQGETLNVLETGYSRCC RCRSDTNRLDCLKLVWEDAMTQFCEAEFSVKTRPHLCCRLRGEERFSCFQKEAPRPDYLL RPCPVHQNGMSSGPQLPFPPGLPTPDNVKNICLLRRFRAVPRNLPATDAIQRQLQALTRL ETEFQRCCRQGHNHTCTWKAWEGTLDGYCERELAIKTHPHSCCHYPPSPARDECFAHLAP YPNYDRDILTLDLSRVTPNLMGQLCGSGRVLSKHKQIPGLIQNMTIRCCELPYPEQACCG EEEKLAFIENLCGPRRNSWKDPALCCDLSPEDKQINCFNTNYLRNVALVAGDTGNATGLG EQGPTRGTDANPAPGSKEE >ENSMUSP00000029753.6 pep:known chromosome:GRCm38:3:95734148:95739567:-1 gene:ENSMUSG00000028108.15 transcript:ENSMUST00000029753.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecm1 description:extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:103060] MGTVSRAALILACLALASAASEGAFKASDQREMTPERLFQHLHEVGYAAPPSPPQTRRLR VDHSVTSLHDPPLFEEQREVQPPSSPEDIPVYEEDWPTFLNPNVDKAGPAVPQEAIPLQK EQPPPQVHIEQKENPPAQPQEEIVQKEVKPHTLAGQLPPEPRTWNPARHCQQGRRGVWGH RLDGFPPGRPSPDNLKQICLPERQHVIYGPWNLPQTGYSHLSRQGETLNVLETGYSRCCR CRSDTNRLDCLKLVWEDAMTQFCEAEFSVKTRPHLCCRLRGEERFSCFQKEAPRPDYLLR PCPVHQNGMSSGPQLPFPPGLPTPDNVKNICLLRRFRAVPRNLPATDAIQRQLQALTRLE TEFQRCCRQGHNHTCTWKAWEGTLDGYCERELAIKTHPHSCCHYPPSPARDECFAHLAPY PNYDRDILTLDLSRVTPNLMGQLCGSGRVLSKHKQIPGLIQNMTIRCCELPYPEQACCGE EEKLAFIENLCGPRRNSWKDPALCCDLSPEDKQINCFNTNYLRNVALVAGDTGNATGLGE QGPTRGTDANPAPGSKEE >ENSMUSP00000120300.1 pep:known chromosome:GRCm38:3:95736137:95739549:-1 gene:ENSMUSG00000028108.15 transcript:ENSMUST00000123143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecm1 description:extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:103060] MGTVSRAALILACLALASAASEGAFKASDQREMTPERLFQHLHEGREGPPHPTVCLTTAC SVVQPPSSPEDIPVYEEDWPTFLNPNVDKAGPAVPQEAIPLQKEQPPPQVHIEQKEIDPP AQPQEEIVQKEVKPHTLAGQLPPEPRTWNPARHCQQGRRGVWGHRLDGFPPGRPSPDNLK QICLPERQHVIYGPWNLPQTGYSHLSRQGETLNVLETGYSRCCRCRSDTNRLDCLKLVWE DAMTQFCEAEFSVKTRPHLCCRLRGE >ENSMUSP00000114659.1 pep:known chromosome:GRCm38:3:95736149:95739567:-1 gene:ENSMUSG00000028108.15 transcript:ENSMUST00000131376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecm1 description:extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:103060] MGTVSRAALILACLALASAASEGAFKASDQREMTPERLFQHLHEGYAAPPSPPQTRRLRV DHSVTSLHDPPLFEEQREGREGPPHPTVCLTTACSVVQPPSSPEDIPVYEEDWPTFLNPN VDKAGPAVPQEAIPLQKEQPPPQVHIEQKENPPAQPQEEIVQKEVKPHTLAGQLPPEPRT WNPARHCQQGRRGVWGHRLDGFPPGRPSPDNLKQICLPERQHVIYGPWNLPQTGYSHLSR QGETLNVLETGYSRCCRCRSDTNRLDCLKLVWEDAMTQFCEAEFSVKTRPHLCCR >ENSMUSP00000120820.1 pep:known chromosome:GRCm38:3:95736210:95739569:-1 gene:ENSMUSG00000028108.15 transcript:ENSMUST00000128885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecm1 description:extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:103060] MGTVSRAALILACLALASAASEGAFKASDQREMTPERLFQHLHEGYAAPPSPPQTRRLRV DHSVTSLHDPPLFEEQREEDIPVYEEDWPTFLNPNVDKAGPAVPQEAIPLQKEQPPPQVH IEQKEIDPPAQPQEEIVQKEVKPHTLAGQLPPEPRTWNPARHCQQGRRGVWGHRLDGFPP GRPSPDNLKQICLPERQHVIYGPWNLPQTGYSHLSRQGETLNVLETGYSRCCRCRSDTNR LDCLKLVWEDA >ENSMUSP00000114747.1 pep:known chromosome:GRCm38:3:95736600:95739544:-1 gene:ENSMUSG00000028108.15 transcript:ENSMUST00000153026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecm1 description:extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:103060] MGTVSRAALILACLALASAASEGAFKASDQREMTPERLFQHLHEVGYAAPPSPPQTRRLR VDHSVTSLHDPPLFEEQREGREGPPHPTVCLTTACSVVQPPSSPEDIPVYEEDWPTFLNP NVDKAGPAVPQEAIPLQKEQPPPQVHIEQKEIDPPAQPQEEIVQKEVKPHTLAGQLPPEP RTWNPARHCQQGRRGVWGHRLDGFPPGRPSPDNLKQICLPERQHVIYGPW >ENSMUSP00000122243.1 pep:known chromosome:GRCm38:3:95736761:95739549:-1 gene:ENSMUSG00000028108.15 transcript:ENSMUST00000137912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecm1 description:extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:103060] MGTVSRAALILACLALASAASEGAFKASDQREMTPERLFQHLHEGREGPPHPTVCLTTAC SVVQPPSSPEDIPVYEEDWPTFLNPNVDKAGPAVPQEAIPLQKEQPPPQVHIEQKENPPA QPQEEIVQKEVKPHTLAGQLP >ENSMUSP00000115524.1 pep:known chromosome:GRCm38:3:95737884:95739569:-1 gene:ENSMUSG00000028108.15 transcript:ENSMUST00000147217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecm1 description:extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:103060] MGTVSRAALILACLALASAASEGAFKASDQREMTPERLFQHLHEGYAAPPSPPQTRRLRV DHSVTSLHDPPLFEEQREVQ >ENSMUSP00000110545.3 pep:known chromosome:GRCm38:18:74283090:74359986:1 gene:ENSMUSG00000035394.11 transcript:ENSMUST00000114895.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap53 description:cilia and flagella associated protein 53 [Source:MGI Symbol;Acc:MGI:1921703] MYSQQFGTVPREFKGPTPKAVIIRAKPPKAQRAEQHLKRIQRSYHKYHTTLASIKSNEEN RLKCDWIQRNNHKTFDSLVQARVQDAMQGFVINTEERRNKLRELLASEENEYFSEMQLKG ETIEEKKDKMRERTKLLREKKEKERQEFVAEKLDQQFRERCEELRTKLASIHEKKVVEER NAQIEFNKELKRQKLVEEHLFARLWEEDRLAKERREAQEEKRQRELVQNTRLGLDAQVTS IQAQRQGARRMKEEEARILEQNKAQIKREDEQEKLQKQKRRQETRSSLKKAVQDKIESMQ REYREDLDLNMKLVGRALQDLQDEADKKKQKREEMGREQKIYNDYLMQRREEEKAQEKEL NRLLEDIKAKKLAEKDRELALQRAARKQLMNEVMNTRKLQVQERLQRKLREQEELALHEQ RISESLKVLHQEDMEDFARRCALAEEYRNQLQMQIAHQQQAREAEKEEERQEFEAGLAAN KACLDKIQRILSENQALSQNVHPMRRGYPDKPPL >ENSMUSP00000134908.1 pep:known chromosome:GRCm38:18:74283122:74359984:1 gene:ENSMUSG00000035394.11 transcript:ENSMUST00000176435.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap53 description:cilia and flagella associated protein 53 [Source:MGI Symbol;Acc:MGI:1921703] MYSQQFGTVPREFKGPTPKAVIIMRNPRLGAEITCSAQ >ENSMUSP00000135452.1 pep:known chromosome:GRCm38:18:74283162:74300194:1 gene:ENSMUSG00000035394.11 transcript:ENSMUST00000177101.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap53 description:cilia and flagella associated protein 53 [Source:MGI Symbol;Acc:MGI:1921703] MYSQQFGTVPREFKGPTPKAVIIMRNPRLGAEITCSAQ >ENSMUSP00000090798.5 pep:known chromosome:GRCm38:5:149411749:149431723:1 gene:ENSMUSG00000029659.16 transcript:ENSMUST00000093110.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Medag description:mesenteric estrogen dependent adipogenesis [Source:MGI Symbol;Acc:MGI:1917967] MATAACEPVARPSLTSISSGELRSLWTCDCELALLPLSQLLRLQPGAFQLRGEQLLVPGP GEPAAARGGFNVFGDGLVRLEGQLYRLSSYIKRYVELTNYCDYKDYRETILSKPMVFFIN VQTKKDISKERTYAFLVNTRHPKIRRQIEQGMDMVISSVIGESYRLQFDFQEVVKNFFPP GTIVLNGENLSFTYEFKADALFDFFYWFGLSNSTVKVHGKVLNLTSTNPEKKETIKLFLE KMSEPLIRRSSFSDRKFSVTSRGSIDDVFNCNLSPRSSVTEPLLAEFSFPSLLECEETSS QLI >ENSMUSP00000144535.1 pep:known chromosome:GRCm38:5:149413840:149431702:1 gene:ENSMUSG00000029659.16 transcript:ENSMUST00000201083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Medag description:mesenteric estrogen dependent adipogenesis [Source:MGI Symbol;Acc:MGI:1917967] MVFFINVQTKKDISKERTYAFLVNTRHPKIRRQIEQGMDMVISSVIGESYRLQFDFQEVV KNFFPPGTIVLNGENLSFTYEFKADALFDFFYWFGLSNSTVKVHGKVLNLTSTNPEKKET IKLFLEKMSEPLIRRSSFSDRKFSVTSRGSIDDVFNCNLSPRSSVTEPLLAEFSFPSLLE CEETSSQLI >ENSMUSP00000006716.6 pep:known chromosome:GRCm38:1:74771892:74785322:1 gene:ENSMUSG00000033227.7 transcript:ENSMUST00000006716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt6 description:wingless-type MMTV integration site family, member 6 [Source:MGI Symbol;Acc:MGI:98960] MLPPVPSRLGLLLLLLCPAHVDGLWWAVGSPLVMDPTSICRKARRLAGRQAELCQAEPEV VAELARGARLGVRECQFQFRFRRWNCSSHSKAFGRVLQQDIRETAFVFAITAAGASHAVT QACSMGELLQCGCQAPRGRAPPRPSGLLGTPGPPGPTGSPDASAAWEWGGCGDDVDFGDE KSRLFMDAQHKRGRGDIRALVQLHNNEAGRLAVRSHTRTECKCHGLSGSCALRTCWQKLP PFREVGARLLERFHGASRVMGTNDGKALLPAVRTLKPPGRADLLYAADSPDFCAPNRRTG SPGTRGRACNSSAPDLSGCDLLCCGRGHRQESVQLEENCLCRFHWCCVVQCHRCRVRKEL SLCL >ENSMUSP00000048803.5 pep:known chromosome:GRCm38:1:133910304:133921414:-1 gene:ENSMUSG00000041577.5 transcript:ENSMUST00000048432.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prelp description:proline arginine-rich end leucine-rich repeat [Source:MGI Symbol;Acc:MGI:2151110] MRASFFWLLPLLLILASVAQGQPTRPKPGIRRKPKPRPTPRFPQAPEPAEPTDLPPPLPP GPPSVFPDCPRECYCPPDFPSALYCDSRNLRRVPVIPPRIHYLYLQNNFITELPLESFQN ATGLRWVNLDNNRIRKVDQRVLGKLPSLAFLYMEKNQLEEVPSALPRNLEQLRLSQNLIS RIPPGVFSKLENLLLLDLQHNRLSDGVFKADTFQGLKNLMQLNLAHNILRKMPPKVPQAI HQLYLDSNKIETIPNGYFKDFPNLAFIRMNYNKLSDRGLPKNSFNISNLLVLHLSHNKIS NVPAISNKLEHLYLNNNSIEKINGTQICPNNLVAFHDFSSDLENVPHLRYLRLDGNFLKP PIPLDLMMCFRLLQSVVI >ENSMUSP00000037172.7 pep:known chromosome:GRCm38:4:125118423:125127840:-1 gene:ENSMUSG00000042677.7 transcript:ENSMUST00000036188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h12a description:zinc finger CCCH type containing 12A [Source:MGI Symbol;Acc:MGI:2385891] MSDPCGTKPVQESNPTMSLWSLEDRHSSQGRPQPDQDPVAKEAPTSELQMKVDFFRKLGY SSSEIHSVLQKLGVQADTNTVLGELVKHGSATERECQALTAPSPQPPLVPRGGSTPKPST LEPSLPEEDREGSDLRPVVIDGSNVAMSHGNKEVFSCRGILLAVNWFLERGHTDITVFVP SWRKEQPRPDVPITDQHILRELEKKKILVFTPSRRVGGKRVVCYDDRFIVKLAFESDGVV VSNDTYRDLQGERQEWKRFIEERLLMYSFVNDKFMPPDDPLGRHGPSLDNFLRKKPLPSE HRKQPCPYGKKCTYGIKCRFFHPERPSRPQRSVADELRANALLSPPRTPVKDKSSQRPSP ASQSSSVSLEAEPGSLDGKKLGARSSPGPHREGSPQTCAPAGRSLPVSGGSFGPTEWLAH TQDSLPYTSQECLDSGIGSLESQMSELWGVRGGSPGESGPTRGPYAGYHSYGSKVPAAPS FSPFRPAMGAGHFSVPTDYVPPPPTYPSREYWSEPYPLPPPTPVLQEPQRPSPGAGGGPW GRVGDLAKERAGVYTKLCGVFPPHLVEAVMRRFPQLLDPQQLAAEILSYKSQHLSE >ENSMUSP00000122260.1 pep:known chromosome:GRCm38:X:136214779:136225387:1 gene:ENSMUSG00000079428.8 transcript:ENSMUST00000126811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal7 description:transcription elongation factor A (SII)-like 7 [Source:MGI Symbol;Acc:MGI:1915746] MQKSCNEKEGKPKGSEAKREDEQPCGALEGQRLEGNFRQR >ENSMUSP00000108741.2 pep:known chromosome:GRCm38:X:136224041:136226100:1 gene:ENSMUSG00000079428.8 transcript:ENSMUST00000113116.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal7 description:transcription elongation factor A (SII)-like 7 [Source:MGI Symbol;Acc:MGI:1915746] MQKSCNEKEGKPKGSEAKREDEQPCGALEGQRLEGNFRQRLLQSLEEFKEDIDYRHFKGE EMTGEEEEMERCLEEIRSLRKKFRALHSNRTHSRDHPF >ENSMUSP00000060017.2 pep:known chromosome:GRCm38:2:151702008:151707310:1 gene:ENSMUSG00000044364.5 transcript:ENSMUST00000060196.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem74b description:transmembrane protein 74B [Source:MGI Symbol;Acc:MGI:1918629] MASPPGLELKTLSNGPQVPRRAASQGPVAPPRMGVENACFFSEEQETHFQNPGDARLGNA PSPPGGVPSLSRSQRDDLSLHSEEGPGLEPVSRPVDYGFVSALVFLVSGILLVVTAYAIP REARVNPDTVTAREMERLEMYYARLGSHLDKCIIAGLGLLTVGGMLLSVLLMVSLCKGEL YRRQTFVPGRGSRKTYGSINLRMRQLTGDGGQVLVENEVVQVSETSYTTQGS >ENSMUSP00000105498.1 pep:known chromosome:GRCm38:2:151702311:151712157:1 gene:ENSMUSG00000044364.5 transcript:ENSMUST00000109872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem74b description:transmembrane protein 74B [Source:MGI Symbol;Acc:MGI:1918629] MASPPGLELKTLSNGPQVPRRAASQGPVAPPRMGVENACFFSEEQETHFQNPGDARLGNA PSPPGGVPSLSRSQRDDLSLHSEEGPGLEPVSRPVDYGFVSALVFLVSGILLVVTAYAIP REARVNPDTVTAREMERLEMYYARLGSHLDKCIIAGLGLLTVGGMLLSVLLMVSLCKGEL YRRQTFVPGRGSRKTYGSINLRMRQLTGDGGQVLVENEVVQVSETSYTTQGS >ENSMUSP00000102324.3 pep:known chromosome:GRCm38:4:107968332:108013532:1 gene:ENSMUSG00000008932.9 transcript:ENSMUST00000106713.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a7 description:solute carrier family 1 (glutamate transporter), member 7 [Source:MGI Symbol;Acc:MGI:2444087] MEWCQQAGLSMVLDAVLARGRTVCKHNGLLILSVLSVIVGCLLGFFLRTQRLSPQEISYF QFPGELLMRMLKMLILPLVVSSLMSGLASLDAKTSSRLGILTVAYYLWTTFLAVVVGIIM VSIIHPGGAAQKETTEQSGKPVMSSADALLDLVRNMFPANLVEATFKQYRTKTTPVIKSP RGAAEEAPRRIVIYGVQEDNGSRVQNFALDLTPPPEIVYKSEPGTSDGMNVLGIVIFSAT MGIMLGRMGDSGTPLVSFCQCLNESVMKIVAVAGWYFPFGIVFLIAGKILEMDDPKAVGK KLGFYAVTVVCGLVVHGLLILPLLYFLITKKNPIVFIRGVLQALLIALATSSSSATLPIT FKCLLENNHIDRRIARFVLPVGATINMDGTALYEAVAAIFIAQVNNYELDFGQIITISIT ATAASIGAAGIPQAGLVTMVIVLTSVGLPTDDINLIIAVDWALDRFRTMINVLGDALAAG IMAHICRKDFAQDMGTEKLLPCETKPVTLQEIVAAQQNGCVKSVAEASELTLGPTCPHHI PVQVEQDEDPAAASLDHCTIEISELETNV >ENSMUSP00000027916.6 pep:known chromosome:GRCm38:1:185332149:185357777:1 gene:ENSMUSG00000026617.15 transcript:ENSMUST00000027916.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpnt1 description:bisphosphate 3'-nucleotidase 1 [Source:MGI Symbol;Acc:MGI:1338800] MASSHTVLMRLVASAYSIAQKAGTIVRCVIAEGDLGIVQKTSATDLQTKADRLVQMSICS SLARKFPKLTIIGEEDLPPGEVDQELIEDGQWEEILKQPCPSQYSAIKEEDLVVWVDPLD GTKEYTEGLLDNVTVLIGIAYEGKAIAGIINQPYYNYQAGPDAALGRTIWGVLGLGAFGF QLKEAPAGKHIITTTRSHSNQLVTDCISAMNPDTVLRVGGAGNKIIQLIEGKASAYVFAS PGCKKWDTCAPEVILHAVGGKLTDIHGNALQYNKEVKHMNSAGVLAALRNYEYYASHVPE SVKNALIP >ENSMUSP00000117122.1 pep:known chromosome:GRCm38:1:185332173:185345339:1 gene:ENSMUSG00000026617.15 transcript:ENSMUST00000151769.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpnt1 description:bisphosphate 3'-nucleotidase 1 [Source:MGI Symbol;Acc:MGI:1338800] MASSHTVLMRLVASAYSIAQKAGTIVRCVIAEGDLGIVQKTSATDLQTKADRLVQMSICS SLARKFPKLTIIGEEDLPPGEVD >ENSMUSP00000106590.1 pep:known chromosome:GRCm38:1:185332180:185356781:1 gene:ENSMUSG00000026617.15 transcript:ENSMUST00000110965.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpnt1 description:bisphosphate 3'-nucleotidase 1 [Source:MGI Symbol;Acc:MGI:1338800] MSICSSLARKFPKLTIIGEEDLPPGEVDQELIEDGQWEEILKQPCPSQYSAIKEEDLVVW VDPLDGTKEYTEGLLDNVTVLIGIAYEGKAIAGIINQPYYNYQAGPDAALGRTIWGVLGL GAFGFQLKEAPAGKHIITTTRSHSNQLVTDCISAMNPDTVLRVGGAGNKIIQLIEGKASA YVFASPGCKKWDTCAPEVILHAVGGKLTDIHGNALQYNKEVKHMNSAGVLAALRNYEYYA SHVPESVKNALIP >ENSMUSP00000114480.1 pep:known chromosome:GRCm38:5:137778849:137779762:1 gene:ENSMUSG00000029725.10 transcript:ENSMUST00000126126.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r35 description:protein phosphatase 1, regulatory subunit 35 [Source:MGI Symbol;Acc:MGI:1922853] XTSRSANGVARRACLTPVRFQLAPPSPVRSEPLLVAGAPGDDHELEAPALQSSLALSLEL QNARAAVASGQFDASKAVEEQLRKSFRTRCALEETVAEGLNVPRSKRLYRDLVSLQVPEE QVLNAALREKLAMLPPQPRAPPLK >ENSMUSP00000031739.4 pep:known chromosome:GRCm38:5:137778904:137780110:1 gene:ENSMUSG00000029725.10 transcript:ENSMUST00000031739.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r35 description:protein phosphatase 1, regulatory subunit 35 [Source:MGI Symbol;Acc:MGI:1922853] MMGFGASALESIEGEEALEVPGPPPEPRAPEPRAPEPEPGLDLSLSPSPLPESPKARKSS PGQRKGRRGGSRRGRQVRFQLAPPSPVRSEPLLVAGAPGDDHELEAPALQSSLALSLELQ NARAAVASGQFDASKAVEEQLRKSFRTRCALEETVAEGLNVPRSKRLYRDLVSLQVPEEQ VLNAALREKLAMLPPQPRAPPLKEVLGPGPDMTMLCNPDSLWSESPHLTVDGLPPLRLQA RPRPSEDTFLMHRMLRRWEA >ENSMUSP00000143182.1 pep:known chromosome:GRCm38:5:137779437:137780106:1 gene:ENSMUSG00000029725.10 transcript:ENSMUST00000198929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r35 description:protein phosphatase 1, regulatory subunit 35 [Source:MGI Symbol;Acc:MGI:1922853] ARAAVASGQFDASKAVEEQLRKSFRTRCALEETVAEGGARAGPRHDHAVQPRFSVVRISA LDSGWAAPTKASSPAPPFRRYLSHASDAEALGSVALITLEC >ENSMUSP00000112498.1 pep:known chromosome:GRCm38:7:48789003:48827440:1 gene:ENSMUSG00000030471.17 transcript:ENSMUST00000118927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc13 description:zinc finger, DHHC domain containing 13 [Source:MGI Symbol;Acc:MGI:1919227] MEGPGLGSQCRNHSHGSHVPGFGRHGICVHENKELAKAKEILPLIEDSSNCDIVKATQYG IFERCKELVEAGYDVRQPDRENVSLLHWAAINNRLELVKFYISKGAVIDQLGGDLNSTPL HWAIRQGHLPMVILLLQHGADPTLIDGEGFSSIHLAVLFQHMPIIAYLISKGQSVNMTDV NGQTPLMLSAYKVIGPEPTGFLLKFNPSLSVVDKTHQNTPLHWAVAAGNVSAVDKLLEAG SSLDIRNAKGETPLDMALQSKNQLISHMLRTEAKMRANKQFRLWRWLHKCELFLLLILSM ITLWAVGYILDFNSDSWLLKGCLLVALFFLTSLFPRFLVGYKNLVYLPTVFLLSSIFWIF MTWFILFFPDTAGSPLYFAFIFSIMAFLYFFYKTWATDPGFTKASEEERKVNIVTLAETG SLDFRTFCTSCLIRKPLRSLHCHVCNSCVARFDQHCFWTGRCIGFGNHHHYIFFLLSLSM VCDWIIYGSFVYWSNHCATTFKEDGLWTYLNQIVACSPWVLYIFMLAAFHFSWSTFLLIN QLFQIAFLGLTSHERISLLKQSRHMKQTLSLRKTPYNLGFTQNLADFFQCGCFGLVKPCI IDWTSQYTMVFHPAKEKVLRSV >ENSMUSP00000123603.1 pep:known chromosome:GRCm38:7:48789026:48824717:1 gene:ENSMUSG00000030471.17 transcript:ENSMUST00000125280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc13 description:zinc finger, DHHC domain containing 13 [Source:MGI Symbol;Acc:MGI:1919227] MVILLLQHGADPTLIDGEGFSSIHLAVLFQHMPIIAYLISKGQSVNMTDVNGQTPLMLSA YKVIGPEPTGFLLKFNPSLSVVDKTHQNTPLHWAVAAGNVSAVDKLLEAGSSLDIRNAKG ETPLDMALQSKNQLISHMLRTEAKMRANKQFRLWRWLHKCELFLLLILSMITLWAVGYIL DFNSDSWLLKGCLLVALFFLTSLFPRFLVGYKNLVYLPTVFLLSSIFWIFMTWFILFFPD TAGSPLYFAFIFSIMAFLYFFYKTWATDPGFTKASEEERKVNIVTLAETGSLDFRTFCTS CLIRKPLRSLHCHVCNSCVARFDQHCFWTGRCIGFGNHHHYIFFLLSLSMVCDWIIYGSF VYWSNHCATTFKEDGLWTYLNQIVACSPWVLYIFMLAAFHFSWSTFLLINQLFQIAFLGL TSHERISLLKQSRHMKQTLSLR >ENSMUSP00000100577.2 pep:known chromosome:GRCm38:3:107553687:107555073:-1 gene:ENSMUSG00000055891.7 transcript:ENSMUST00000052853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl4b description:ubiquitin-like 4B [Source:MGI Symbol;Acc:MGI:1914841] MFLTVKLLLGRRCSLKVSGKESVATLKKLVSQHLQVPEEQQHLLFRGQLLADDKYLSDYS IGPNASINVIMRPPEDAALDKTHQTQPLWLQLGQVLDKHFGAKDAKTVLGFLRQEHEERL QRLSLEALEQLVGQLLAQQQLDELAEEKEAPAVASELEQNNGGGGGGGGTGGEGGGKKEE EEGEEADQ >ENSMUSP00000129163.1 pep:known chromosome:GRCm38:9:64715299:64737758:-1 gene:ENSMUSG00000004771.12 transcript:ENSMUST00000172298.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11a description:RAB11A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1858202] MGTRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTI KAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIM LVGNKSDLRHLRAVPTDEARAFAEKNGLSFIETSALDSTNVEAAFQTILTEIYRIVSQKQ MSDRRENDMSPSNNVVPIHVPPTTENKPKVQCCQNI >ENSMUSP00000125755.1 pep:known chromosome:GRCm38:9:64716503:64737742:-1 gene:ENSMUSG00000004771.12 transcript:ENSMUST00000167569.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11a description:RAB11A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1858202] MGTRDDEYDYLFKEKNGLSFIETSALDSTNVEAAFQTILTEIYRIVSQKQMSDRRENDMS PSNNVVPIHVPPTTENKPKVQCCQNI >ENSMUSP00000128150.1 pep:known chromosome:GRCm38:9:64716706:64726710:-1 gene:ENSMUSG00000004771.12 transcript:ENSMUST00000168366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11a description:RAB11A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1858202] XNIVIMLVGNKSDLRHLRAVPTDEARAFAEKNGLSFIETSALDSTNVEAAFQTILTGETC VFGYTNGNWSFRKDIPHCFSEANVRQT >ENSMUSP00000127968.1 pep:known chromosome:GRCm38:9:64716903:64737730:-1 gene:ENSMUSG00000004771.12 transcript:ENSMUST00000171100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11a description:RAB11A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1858202] MLVGNKSDLRHLRAVPTDEARAFAEKNGLSFIETSALDSTNVEAAFQTILTEIYRIVSQK QMSDRRENDMSPSNNVVPIH >ENSMUSP00000129729.1 pep:known chromosome:GRCm38:9:64716943:64737623:-1 gene:ENSMUSG00000004771.12 transcript:ENSMUST00000169058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11a description:RAB11A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1858202] MGTRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTI KAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIM LVGNKSDLRHLRAVPTDEARAFAEKNEANVRQT >ENSMUSP00000004892.6 pep:known chromosome:GRCm38:9:64716953:64737752:-1 gene:ENSMUSG00000004771.12 transcript:ENSMUST00000004892.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11a description:RAB11A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1858202] MGTRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTI KAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIM LVGNKSDLRHLRAVPTDEARAFAEIYRIVSQKQMS >ENSMUSP00000125604.1 pep:known chromosome:GRCm38:10:75814943:75822543:-1 gene:ENSMUSG00000009093.7 transcript:ENSMUST00000160211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstt4 description:glutathione S-transferase, theta 4 [Source:MGI Symbol;Acc:MGI:1923136] MGLELYMDLLSAPCRAVYIFARKNGIPFDFQFVDLLKGHHHSKEYIEINPLRKLPSLKDG KFILSESVAILFYLCRKYSAPSHWYPPDLHMRARVDEFMAWQHTAIQVPMSKILWIKLII PMITGEEVPTERLEKTLDEVKRNLQQFEEKFLQDKMFITGDHISLADLVALVEMMQPMGS NHNVFVSSKLAEWRMRVELAIGSGLFWEAHERLVKLPNWDCSTLDPTIKMRICDFLQKFK >ENSMUSP00000124989.1 pep:known chromosome:GRCm38:10:75814944:75822527:-1 gene:ENSMUSG00000009093.7 transcript:ENSMUST00000159241.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gstt4 description:glutathione S-transferase, theta 4 [Source:MGI Symbol;Acc:MGI:1923136] MGLELYMDLLSAPCRAVYIFARKNGIPFDFQFVDLLKAPDPPCPLRSPP >ENSMUSP00000055602.6 pep:known chromosome:GRCm38:2:120506820:120563807:-1 gene:ENSMUSG00000027288.16 transcript:ENSMUST00000055241.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp106 description:zinc finger protein 106 [Source:MGI Symbol;Acc:MGI:1270153] MVRERKCILCHIVYGSKKEMDEHMRSMLHHRELENLKGRDISHECRVCRVTEVGLSAYAK HISGQLHKDNVDAQEREDDGKEEEEEEYFDKELVQLIQERKEQSRQDEPPSNSQEVNSDD RQPQWRREDRIPYQDRESYSQPPRHHRGPPQRDWKWEKDGFNSTRKNSFPHSLRNSGGPR GSSVWHKGATRGSSTWFLNHSNSGGGWHSNNGMVDWNYNGTGRNSSWHSEGTGGFPSWHM NNSNGNWKSSVRSTNSWNYNGPGDKFQQGRNRNPNYQMEDMTKMWNKKSNKPSKYSQERC KWQRQDRDKAAKYRSPPEGYASDTFPSEGLLEFNFEQRESQTTKQTDTAASKINGKNGTK ARDKFRRWTPYPSQKTLDLQSALKEVIGSKSDTLEKPLFNFSLITAGLRKPVDKTSNPPV IKTQKAGPPGSPSHKAISDGTAFCEVPRACPITEQSEPHQKSNKIPLLKSPLLPLPTPKS GPHKQNLKNRSKNKETKSFPSGDHSHLLNTSTLEGSHGSSYTSKSRGLCPRVLKENKTVS GTQKEPDEKLNSTSQKAQDTVLQCPKTLQNPLPTTPKRTENDAKESSVEESAKDSLSIES QPHSAGNSAMTSDAENHGIKSEGVASLTTEVVSCSTHTVDKEQGSQIPGTPENLSASPCN STVLQKEAEVQVSAATSPHSGLLLNLKTSLEDAQDNNLVKSDGPFETESFEDTSLDAELQ KPDLNNQPPGTLLPELSKLGFPASLQRDLSRHISLKSKTGTHLPEPNLNSARRIRNVSGH RKNETEKESGLKPTLRQILNASRRNVNWEQVIQQVTKKKQELGKGLPRFGIEMVPLVQNE QEVLDLDEEPDLSSLEGFQWEGVSIPSSSGLARKRSLSESSVVMDRAPVYSFFTGEGTGK ENEAQQSPSPNTALSAAQSQKTAMYLEQEVAPLTPSVGTGERVGNIPTQRRHSAQLPSGH IMPVMHSARDLHSQERSTPLSERHAQESTGEGNSLTSNASSGHAVSSLADAATDSSCTSG AEQTDGHSIRKKRRATGDGSSPELPSLERKNKRRKIKGKKERSQVDQLLTISLREEELSK SLQCMDNKLLQARAALQTAYVEVQRLLVLKQQITVEMSALRTHRIQILQGLQETYEPPEH PDQAPCSLISREQRNSRSQTSFETALLPAPFFPGFLDPPPSHASLPSSGNPLQITTCTLQ AHGTAPDSSVQIKQEPMSPEQEGNMNALPQGCASNVSKELLQTNRVVDDGSSVYPAIPAV IASESTENCQEVSKDLNFSVEQGNSRSKGNSPSCQSPDLPGINRGEETAKGSSGSEACSS SFLRLSFTPETPAEKETQSPADQPEQQAESTLASAETRGSKKKKKLRKKKTLRATHVPEN SDTEQDVFTAKPARKVKTAKAAKGAKVTTSQTGQEQGTARDEPDSDSSLEVLEVTNPQLE VVAIDTSESGDEKPDSPSKKDAWIAAEQNPIETSRSGCDEVSSTSELGTRYKDGVPVSVA ETQTVISIKASKHSSEISSEPGDDEEPTEGSFEGHQAAVNAIQIFGNFLYTCSADTTVRV YNLVSRKCVGVFEGHTSKVNCLLVTHTSGKSSVLYTGSSDHTIRCYNIKTRECMEQLQLE DRVLCLHNRWRTLYAGLANGTVVTFDIKNNKRQEIFECHGPRAVSCLATAQEGARKLLVV GSYDCTISVRDARNGLLLRTLEGHSKTVLCMKVVNDLVFSGSSDQSVHAHNIHTGELVRI YKGHNHAVTVVNILGKVMVTACLDKFVRVYELQSHDRLQVYGGHKDMIMCMTIHKSVIYT GCYDGSIQAVRLNLMQNYRCWWYGCTLIFGVVDHLKQHLLTDHTNPNFQTLKCRWRNCDA FFTARKGSKQDVAGHIERHAEDDSKIDS >ENSMUSP00000128995.1 pep:known chromosome:GRCm38:2:120509614:120539860:-1 gene:ENSMUSG00000027288.16 transcript:ENSMUST00000171215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp106 description:zinc finger protein 106 [Source:MGI Symbol;Acc:MGI:1270153] MPVGSIECPSSPSFPRDISHECRVCRVTEVGLSAYAKHISGQLHKDNVDAQEREDDGKEE EEEEYFDKELVQLIQERKEQSRQDEPPSNSQEVNSDDRQPQWRREDRIPYQDRESYSQPP RHHRGPPQRDWKWEKDGFNSTRKNSFPHSLRNSGGPRGSSVWHKGATRGSSTWFLNHSNS GGGWHSNNGMVDWNYNGTGRNSSWHSEGTGGFPSWHMNNSNGNWKSSVRSTNSWNYNGPG DKFQQGRNRNPNYQMEDMTKMWNKKSNKPSKYSQERCKWQRQDRDKAAKYRSPPEGYASD TFPSEGLLEFNFEQRESQTTKQTDTAASKINGKNGTKARDKFRRWTPYPSQKTLDLQSAL KEVIGSKSDTLEKPLFNFSLITAGLRKPVDKTSNPPVIKTQKAGPPGSPSHKAISDGTAF CEVPRACPITEQSEPHQKSNKIPLLKSPLLPLPTPKSGPHKQNLKNRSKNKETKSFPSGD HSHLLNTSTLEGSHGSSYTSKSRGLCPRVLKENKTVSGTQKEPDEKLNSTSQKAQDTVLQ CPKTLQNPLPTTPKRTENDAKESSVEESAKDSLSIESQPHSAGNSAMTSDAENHGIKSEG VASLTTEVVSCSTHTVDKEQGSQIPGTPENLSASPCNSTVLQKEAEVQVSAATSPHSGLL LNLKTSLEDAQDNNLVKSDGPFETESFEDTSLDAELQKPDLNNQPPGTLLPELSKLGFPA SLQRDLSRHISLKSKTGTHLPEPNLNSARRIRNVSGHRKNETEKESGLKPTLRQILNASR RNVNWEQVIQQVTKKKQELGKGLPRFGIEMVPLVQNEQEVLDLDEEPDLSSLEGFQWEGV SIPSSSGLARKRSLSESSVVMDRAPVYSFFTGEGTGKENEAQQSPSPNTALSAAQSQKTA MYLEQEVAPLTPSVGTGERVGNIPTQRRHSAQLPSGHIMPVMHSARDLHSQERSTPLSER HAQESTGEGNSLTSNASSGHAVSSLADAATDSSCTSGAEQTDGHSIRKKRRATGDGSSPE LPSLERKNKRRKIKGKKERSQVDQLLTISLREEELSKSLQCMDNKLLQARAALQTAYVEV QRLLVLKQQITVEMSALRTHRIQILQGLQETYEPPEHPDQAPCSLISREQRNSRSQTSFE TALLPAPFFPGFLDPPPSHASLPSSGNPLQITTCTLQAHGTAPDSSVQIKQEPMSPEQEG NMNALPQGCASNVSKELLQTNRVVDDGSSVYPAIPAVIASESTENCQEVSKDLNFSVEQG NSRSKGNSPSCQSPDLPGINRGEETAKGSSGSEACSSSFLRLSFTPETPAEKETQSPADQ PEQQAESTLASAETRGSKKKKKLRKKKTLRATHVPENSDTEQDVFTAKPARKVKTAKAAK GAKVTTSQTGQEQGTARDEPDSDSSLEVLEVTNPQLEVVAIDTSESGDEKPDSPSKKDAW IAAEQNPIETSRSGCDEVSSTSELGTRYKDGVPVSVAETQTVISIKASKHSSEISSEPGD DEEPTEGSFEGHQAAVNAIQIFGNFLYTCSADTTVRVYNLVSRKCVGVFEGHTSKVNCLL VTHTSGKSSVLYTGSSDHTIRCYNIKTRECMEQLQLEDRVLCLHNRWRTLYAGLANGTVV TFDIKNNKRQEIFECHGPRAVSCLATAQEGARKLLVVGSYDCTISVRDARNGLLLRTLEG HSKTVLCMKVVNDLVFSGSSDQSVHAHNIHTGELVRIYKGHNHAVTVVNILGKVMVTACL DKFVRVYELQSHDRLQVYGGHKDMIMCMTIHKSVIYTGCYDGSIQAVRLNLMQNYRCWWY GCTLIFGVVDHLKQHLLTDHTNPNFQTLKCRWRNCDAFFTARKGSKQDVAGHIERHAEDD SKIDS >ENSMUSP00000132902.1 pep:known chromosome:GRCm38:2:120522701:120524619:-1 gene:ENSMUSG00000027288.16 transcript:ENSMUST00000152347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp106 description:zinc finger protein 106 [Source:MGI Symbol;Acc:MGI:1270153] VDDGSSVYPAIPAVIASESTENCQEVSKDLNFSVEQGNSRSKGNSPSCQSPDLPGINRGE ETAKGSSGSEACSSSFLRLSFTPETPAEKETQSPADQPEQQAESTLASAETRGSKKKKKL RKKKTLRATHVPENSDTEQDVFTAKPARKVKTAKAAKGAKVTTSQTDAWIAAEQNPIETS RSGCDEVSSTSELGTRYKDGVPVSVAETQTVISIKASKHSSEISSEPGDDEEPTEGSFEG HQAAVNAIQIFGNFLYTCSADTTVR >ENSMUSP00000128291.1 pep:known chromosome:GRCm38:2:120528340:120532058:-1 gene:ENSMUSG00000027288.16 transcript:ENSMUST00000163384.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp106 description:zinc finger protein 106 [Source:MGI Symbol;Acc:MGI:1270153] XMDRAPVYSFFTGEGTGKENEAQQSPSPNTALSAAQSQKTAMYLEQEVAPLTPSVGTGER VGNIPTQRRHSAQLPSGHIMPVMHSARDLHSQERSTPLSERHAQESTGEGNSLTSNASSG HAVSSLADAATDSSCTSGAEQTDGHSIRKKRRATGNVLRLTSY >ENSMUSP00000126939.1 pep:known chromosome:GRCm38:2:120531886:120563843:-1 gene:ENSMUSG00000027288.16 transcript:ENSMUST00000135625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp106 description:zinc finger protein 106 [Source:MGI Symbol;Acc:MGI:1270153] MVRERKCILCHIVYGSKKEMDEHMRSMLHHRELENLKGRFGIEMVPLVQNEQEVLDLDEE PDLSSLEGFQWEGVSIPSSSGLARKRSLSESSVVMDRAPVYSFFTGEGTGKENEAQQSPS PNTALSAAQSQKTAMYLEQEVAPLTPSVGTG >ENSMUSP00000127803.1 pep:known chromosome:GRCm38:2:120535287:120555280:-1 gene:ENSMUSG00000027288.16 transcript:ENSMUST00000167241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp106 description:zinc finger protein 106 [Source:MGI Symbol;Acc:MGI:1270153] XRERKCILCHIVYGSKKEMDEHMRSMLHHRELENLKGRQDEPPSNSQEVNSDDRQPQWRR EDRIPYQDRESYSQPPRHHRGPPQRDWKWEKDGFNSTRKNSFPHSLRNSGGPRGSSVWHK GATRGSSTWFLNHSNSGGGWHSNNGMVDWNYNGTGRNSSWHSEGTGGFP >ENSMUSP00000041732.5 pep:known chromosome:GRCm38:11:94991035:95006899:1 gene:ENSMUSG00000038976.12 transcript:ENSMUST00000038696.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r9b description:protein phosphatase 1, regulatory subunit 9B [Source:MGI Symbol;Acc:MGI:2387581] MMKTEPRGPGGPLRSASPHRSAYEAGIQALKPPDAPGPDEAPKAAHHKKYGSNVHRIKSM FLQMGTTAGPPGEAGGGAGMAEAPRASDRGVRLSLPRASSLNENVDHSALLKLGTSVSER VSRFDSKPAPSAQPAPPPHPPSRLQETRKLFERSVPAASGGDKEAVARRLLRQERAGLQD RKLDVVVRFNGSTEALDKLDADAVSPTVSQLSAVFEKADSRTGLHRAPGPPRAAGAPQVN SKLVTKRSRVFQPPPPPPAPSGDGATEKERGPGGQQPPQHRVAPARPPPKPREVRKIKPV EVEESGESEAESAPGEVIQAEVTVHAALENGSTPATTASPAPEEPKAEAVPEEEAAASVA TLERGVDNGRAPDMAPEEVDESKKEDFSEADLVDVSAYSGLGEDSGGSALEEDDEEDEED GEPPYEPESGCVEIPGLSEEEDPAPSRKIHFSTAPIQVFSTYSNEDYDRRNEDVDPMAAS AEYELEKRVERLELFPVELEKDSEGLGISIIGMGAGADMGLEKLGIFVKTVTEGGAAHRD GRIQVNDLLVEVDGTSLVGVTQSFAASVLRNTKGRVRFMIGRERPGEQSEVAQLIQQTLE QERWQREMMEQRYAQYGEDDEETGEYATDEDEELSPTFPGGEMAIEVFELAENEDALSPV EMEPEKLVHKFKELQIKHAVTEAEIQQLKRKLQSLEQEKGRWRVEKAQLEQSVEENKERM EKLEGYWGEAQSLCQAVDEHLRETQAQYQALERKYSKAKRLIKDYQQKEIEFLKKETAQR RVLEESELARKEEMDKLLDKISELEGNLQTLRNSNST >ENSMUSP00000103377.1 pep:known chromosome:GRCm38:11:94996064:95006899:1 gene:ENSMUSG00000038976.12 transcript:ENSMUST00000107748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r9b description:protein phosphatase 1, regulatory subunit 9B [Source:MGI Symbol;Acc:MGI:2387581] MDTGLHATQLAQGPSPANVLLPSYGPLRTAPPQVFSTYSNEDYDRRNEDVDPMAASAEYE LEKRVERLELFPVELEKDSEGLGISIIGMGAGADMGLEKLGIFVKTVTEGGAAHRDGRIQ VNDLLVEVDGTSLVGVTQSFAASVLRNTKGRVRFMIGRERPGEQSEVAQLIQQTLEQERW QREMMEQRYAQYGEDDEETGEYATDEDEELSPTFPGGEMAIEVFELAENEDALSPVEMEP EKLVHKFKELQIKHAVTEAEIQQLKRKLQSLEQEKGRWRVEKAQLEQSVEENKERMEKLE GYWGEAQSLCQAVDEHLRETQAQYQALERKYSKAKRLIKDYQQKEIEFLKKETAQRRVLE ESELARKEEMDKLLDKISELEGNLQTLRNSNST >ENSMUSP00000138707.1 pep:known chromosome:GRCm38:2:165055627:165062723:1 gene:ENSMUSG00000017652.16 transcript:ENSMUST00000154443.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd40 description:CD40 antigen [Source:MGI Symbol;Acc:MGI:88336] MVSLPRLCALWGCLLTALQPASWLTFNMPVEDPFQLSTSVFRSI >ENSMUSP00000017799.5 pep:known chromosome:GRCm38:2:165055627:165072948:1 gene:ENSMUSG00000017652.16 transcript:ENSMUST00000017799.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd40 description:CD40 antigen [Source:MGI Symbol;Acc:MGI:88336] MVSLPRLCALWGCLLTAVHLGQCVTCSDKQYLHDGQCCDLCQPGSRLTSHCTALEKTQCH PCDSGEFSAQWNREIRCHQHRHCEPNQGLRVKKEGTAESDTVCTCKEGQHCTSKDCEACA QHTPCIPGFGVMEMATETTDTVCHPCPVGFFSNQSSLFEKCYPWTSCEDKNLEVLQKGTS QTNVICGLKSRMRALLVIPVVMGILITIFGVFLYIKKVVKKPKDNEILPPAARRQDPQEM EDYPGHNTAAPVQETLHGCQPVTQEDGKESRISVQERQVTDSIALRPLV >ENSMUSP00000080059.4 pep:known chromosome:GRCm38:2:165055680:165070745:1 gene:ENSMUSG00000017652.16 transcript:ENSMUST00000081310.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd40 description:CD40 antigen [Source:MGI Symbol;Acc:MGI:88336] MVSLPRLCALWGCLLTAVHLGQCVTCSDKQYLHDGQCCDLCQPGSRLTSHCTALEKTQCH PCDSGEFSAQWNREIRCHQHRHCEPNQGLRVKKEGTAESDTVCTCKEGQHCTSKDCEACA QHTPCIPGFGVMEMATETTDTVCHPCPVGFFSNQSSLFEKCYPWTRFKVPDASPAGHSCR DGHPHHHFRGVSLYQKGGQETKG >ENSMUSP00000122981.1 pep:known chromosome:GRCm38:2:165055681:165062780:1 gene:ENSMUSG00000017652.16 transcript:ENSMUST00000140951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd40 description:CD40 antigen [Source:MGI Symbol;Acc:MGI:88336] MVSLPRLCALWGCLLTAEGVVVQDWLSAAGTVSALSTSLSISQCHLSISQCHLSQVHLGQ CVTCSDKQYLHDGQCCDLCQPGSRLTSHCTALEKTQCHPCDSGEFSAQWNREIRCHQHRH C >ENSMUSP00000139193.1 pep:known chromosome:GRCm38:2:165055704:165071000:1 gene:ENSMUSG00000017652.16 transcript:ENSMUST00000184221.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd40 description:CD40 antigen [Source:MGI Symbol;Acc:MGI:88336] MVSLPRLCALWGCLLTAVHLGQCVTCSDKQYLHDGQCCDLCQPGSRLTSHCTALEKTQCH PCDSGEFSAQWNREIRCHQHRHCEPNQGLRVKKEGTAESDTVCTCKEGQHCTSKDCEACA QHTPCIPGFGVMEMATETTDTVCHPCPVGFFSNQSSLFEKCYPWTSCEDKNLEVLQKGTS QTNVICGLKSRMRALLVIPVVMGILITIFGVFLYISECSGEEREGGFSPVEPAS >ENSMUSP00000073386.2 pep:known chromosome:GRCm38:2:165055636:165071654:1 gene:ENSMUSG00000017652.16 transcript:ENSMUST00000073707.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd40 description:CD40 antigen [Source:MGI Symbol;Acc:MGI:88336] MVSLPRLCALWGCLLTAVHLGQCVTCSDKQYLHDGQCCDLCQPGSRLTSHCTALEKTQCH PCDSGEFSAQWNREIRCHQHRHCEPNQGLRVKKEGTAESDTVCTCKEGQHCTSKDCEACA QHTPCIPGFGVMEMATETTDTVCHPCPVGFFSNQSSLFEKCYPWTSCEDKNLEVLQKGTS QTNVICEKVVKKPKDNEILPPAARRQDPQEMEDYPGHNTAAPVQETLHGCQPVTQEDGKE SRISVQERQVTDSIALRPLV >ENSMUSP00000051621.2 pep:known chromosome:GRCm38:X:56585512:56598069:-1 gene:ENSMUSG00000061273.3 transcript:ENSMUST00000059899.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmgt1 description:membrane magnesium transporter 1 [Source:MGI Symbol;Acc:MGI:2384305] MAPSLWKGLVGVGLFALAHAAFSAAQHRSYMRLTEKEDESLPIDIVLQTLLAFAVTCYGI VHIAGEFKDMDATSELKNKTFDTLRNHPSFYVFNHRGRVLFRPSDATNSSNLDALSSNTS LKLRKFDSLRR >ENSMUSP00000144981.1 pep:known chromosome:GRCm38:6:11907809:11933410:1 gene:ENSMUSG00000029629.16 transcript:ENSMUST00000155037.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf14 description:PHD finger protein 14 [Source:MGI Symbol;Acc:MGI:1923539] MDRSSKRRQVKPLAASLLEALDYDSSDDSDFKVGDASDSEGSGNGSEDPSKDSGEGSCSD SEENILEEELNEDIQVKEEQLKNSTEEIMP >ENSMUSP00000145355.1 pep:known chromosome:GRCm38:6:11907884:11953380:1 gene:ENSMUSG00000029629.16 transcript:ENSMUST00000203459.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phf14 description:PHD finger protein 14 [Source:MGI Symbol;Acc:MGI:1923539] MDRSSKRRQVKPLAASLLEALDYDSSDDSDFKVGDASGLSDS >ENSMUSP00000111172.1 pep:known chromosome:GRCm38:6:11925881:12008013:1 gene:ENSMUSG00000029629.16 transcript:ENSMUST00000115510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf14 description:PHD finger protein 14 [Source:MGI Symbol;Acc:MGI:1923539] MDRSSKRRQVKPLAASLLEALDYDSSDDSDFKVGDASDSEGSGNGSEDPSKDSGEGSCSD SEENILEEELNEDIQVKEEQLKNSTEEIMPSDKQLIKMEKKEEEENGERPRKKKEKEKEK EKEREKDKEKATVSDSAAASAAGTTPATSPPAVTSPSVPTTTTTTTEEQVSEPKKWNLRR NRPLLDFVSMEELNAMDDYDSEDDNDWRPTVVKRKGRSASQKEGSDGDNEDDDDEGSGSE EDENDEGNDEDHSSPASEAGGKKKRSKVLSRNSADDEELTNDSLTLSQSKSNEDSLILEK SQNWSSQKMDHILICCVCLGDNSEDADEIIQCDNCGITVHEGCYGVDGESDSIMSSASEN STEPWFCDACKCGVSPSCELCPNQDGIFKETDAGRWVHIVCALYVPGVAFGDIDKLRPVT LTEMNYSKYGAKECSFCEDPRFARTGVCISCDAGMCRAYFHVTCAQKEGLLSEAAAEEDI ADPFFAYCKQHADRLDRKWKRKNYLALQSYCKMSLQEREKQLSPEAQARINARLQQYRAK AELARSTRPQAWVPREKLPRPLTSSASAIRKLMRKAELMGISTDIFPVDNSDTSSSVDGR RKHKQPALTADFVNYYFERNMRMIQIQENMAEQKNIKDKLENEQEKLHVEYNKLCESLEE LQNLNGKLRSEGQGIWALLGRITGQKLNVPAILRAPKERKPSKKEGGTQKTSALPTVLYS CGICKKNHDQHLLLLCDTCKLHYHLGCLDPPLTRMPRKTKNSYWQCSECDQAGSSDMEAE MAMETLPDGTKRSRRQIKEPVKFVPQDVPPEPKKIPIRNTRTRGRKRSFVPEEEKHEERV PRERRQRQSVLQKKPKAEDLRTECSTCKGTGDNENLVRNLS >ENSMUSP00000088126.4 pep:known chromosome:GRCm38:6:11925915:12008081:1 gene:ENSMUSG00000029629.16 transcript:ENSMUST00000090632.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf14 description:PHD finger protein 14 [Source:MGI Symbol;Acc:MGI:1923539] MDRSSKRRQVKPLAASLLEALDYDSSDDSDFKVGDASDSEGSGNGSEDPSKDSGEGSCSD SEENILEEELNEDIQVKEEQLKNSTEEIMPSDKQLIKMEKKEEEENGERPRKKKEKEKEK EKEREKDKEKATVSDSAAASAAGTTPATSPPAVTSPSVPTTTTTTTEEQVSEPKKWNLRR NRPLLDFVSMEELNAMDDYDSEDDNDWRPTVVKRKGRSASQKEGSDGDNEDDDDEGSGSE EDENDEGNDEDHSSPASEAGGKKKRSKVLSRNSADDEELTNDSLTLSQSKSNEDSLILEK SQNWSSQKMDHILICCVCLGDNSEDADEIIQCDNCGITVHEGCYGVDGESDSIMSSASEN STEPWFCDACKCGVSPSCELCPNQDGIFKETDAGRWVHIVCALYVPGVAFGDIDKLRPVT LTEMNYSKYGAKECSFCEDPRFARTGVCISCDAGMCRAYFHVTCAQKEGLLSEAAAEEDI ADPFFAYCKQHADRLDRKWKRKNYLALQSYCKMSLQEREKQLSPEAQARINARLQQYRAK AELARSTRPQAWVPREKLPRPLTSSASAIRKLMRKAELMGISTDIFPVDNSDTSSSVDGR RKHKQPALTADFVNYYFERNMRMIQIQENMAEQKNIKDKLENEQEKLHVEYNKLCESLEE LQNLNGKLRSEGQGIWALLGRITGQKLNVPAILRAPKERKPSKKEGGTQKTSALPTVLYS CGICKKNHDQHLLLLCDTCKLHYHLGCLDPPLTRMPRKTKNSYWQCSECDQAGSSDMEAE MAMETLPDGTKRSRRQIKEPVKFVPQDVPPEPKKIPIRNTRTRGRKRSFVPEEEKHEERV PRERRQRQSVLQKKPKAEDLRTECSTCKGTGDNENLVR >ENSMUSP00000111173.2 pep:known chromosome:GRCm38:6:11925916:12081205:1 gene:ENSMUSG00000029629.16 transcript:ENSMUST00000115511.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf14 description:PHD finger protein 14 [Source:MGI Symbol;Acc:MGI:1923539] MDRSSKRRQVKPLAASLLEALDYDSSDDSDFKVGDASDSEGSGNGSEDPSKDSGEGSCSD SEENILEEELNEDIQVKEEQLKNSTEEIMPSDKQLIKMEKKEEEENGERPRKKKEKEKEK EKEREKDKEKATVSDSAAASAAGTTPATSPPAVTSPSVPTTTTTTTEEQVSEPKKWNLRR NRPLLDFVSMEELNAMDDYDSEDDNDWRPTVVKRKGRSASQKEGSDGDNEDDDDEGSGSE EDENDEGNDEDHSSPASEAGGKKKRSKVLSRNSADDEELTNDSLTLSQSKSNEDSLILEK SQNWSSQKMDHILICCVCLGDNSEDADEIIQCDNCGITVHEGCYGVDGESDSIMSSASEN STEPWFCDACKCGVSPSCELCPNQDGIFKETDAGRWVHIVCALYVPGVAFGDIDKLRPVT LTEMNYSKYGAKECSFCEDPRFARTGVCISCDAGMCRAYFHVTCAQKEGLLSEAAAEEDI ADPFFAYCKQHADRLDRKWKRKNYLALQSYCKMSLQEREKQLSPEAQARINARLQQYRAK AELARSTRPQAWVPREKLPRPLTSSASAIRKLMRKAELMGISTDIFPVDNSDTSSSVDGR RKHKQPALTADFVNYYFERNMRMIQIQENMAEQKNIKDKLENEQEKLHVEYNKLCESLEE LQNLNGKLRSEGQGIWALLGRITGQKLNVPAILRAPKERKPSKKEGGTQKTSALPTVLYS CGICKKNHDQHLLLLCDTCKLHYHLGCLDPPLTRMPRKTKNSYWQCSECDQAGSSDMEAE MAMETLPDGTKRSRRQIKEPVKFVPQDVPPEPKKIPIRNTRTRGRKRSFVPEEEKHEERV PRERRQRQSVLQKKPKAEDLRTECSTCKGTGDNENLVRCDECRLCYHFGCLDPPLKKSPK QTGYGWICQECDSSSSKEDENEAEKKNASQELSMEQKTPKK >ENSMUSP00000115485.1 pep:known chromosome:GRCm38:6:11926783:11986458:1 gene:ENSMUSG00000029629.16 transcript:ENSMUST00000133776.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf14 description:PHD finger protein 14 [Source:MGI Symbol;Acc:MGI:1923539] XLLIMIVQMTVILKLEMLQDSLILEKSQNWSSQKMDHILICCVCLGDNSEDADEIIQCDN CGITVHEGCYGVDGESDSIMSSASENSTEPWFCDACKCGVSPSCELCPNQDGIFKETDAG RWVHIVCALYVPGVAFGDIDKLRPVTLTEMNYSKYGAKECSFCEDPRFARTGVCISCDAG MCRAYFHVTCAQKEGLLSEAAAEEDIADPFFAYCKQHADRLDRKWKRKNYLALQSYCKMS LQE >ENSMUSP00000145527.1 pep:known chromosome:GRCm38:6:12006820:12081100:1 gene:ENSMUSG00000029629.16 transcript:ENSMUST00000203045.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phf14 description:PHD finger protein 14 [Source:MGI Symbol;Acc:MGI:1923539] GRKRSFVPEEEKHEERVPRERRQRQSVLQKKPKAEDLRTECSTCKGTGDNENLVSLYLLG VSEDLIELS >ENSMUSP00000043844.6 pep:known chromosome:GRCm38:4:108229724:108301096:-1 gene:ENSMUSG00000034636.9 transcript:ENSMUST00000043616.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zyg11b description:zyg-ll family member B, cell cycle regulator [Source:MGI Symbol;Acc:MGI:2685277] MPEDQAHAAMEEASPYSLLDICLSFLTTNLEKFCSARQDGTLCLQEPGVFPQEVADRLLQ TIAFHGLLNDGTVGIFRGNQMRLKRACIRKAKISAVAFRKAFCHHKLVELDATGVNADIT ITDIISGLGSNKWIQQNLQCLVLNSLTLSLEDPYERCFSRLSGLRALSITNVLFYNEDLA EVASLPRLESLDISNTSITDITALLACKDRLKSLTMHHLKCLKMTTTQILDVVRELKHLN HLDISDDKQFTSDIALRLLEQKDILPNLVSLDVSGRKHVTDKAVEAFIQQRPSMQFVGLL ATDAGYSEFLMGKGHLKVSGEANETQIAEALRRYSERAFFVREALFHLFSLTHVMEKTKP DILKLVVTGMRNHPMNLPVQLAASACVFNLTKQDLALGMPVRLLADVTHLLLKAMEHFPN HQQLQKNCLLSLCSDRILQDVPFNRFEAAKLVMQWLCNHEDQNMQRMAVAIISILAAKLS TEQTAQLGAELFIVRQLLQIVKQKTNQNSVDTTLKFTLSALWNLTDESPTTCRHFIENQG LELFMRVLESFPTESSIQQKVLGLLNNIAEVQELHSELMWKDFIDHISSLLHSVEVEVSY FAAGIIAHLISRGEQAWTLSRSQRNSLLDDLHSAILKWPTPECEMVAYRSFNPFFPLLGC FTTPGVQLWAVWAMQHVCSKNPSRYCSMLIEEGGLQHLYNIKEHEQTDPYVQQIAVAILD SLEKHIVRHGRPPPCKKQPQARLN >ENSMUSP00000075472.3 pep:known chromosome:GRCm38:14:51049451:51050163:-1 gene:ENSMUSG00000059648.3 transcript:ENSMUST00000076106.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase11 description:ribonuclease, RNase A family, 11 (non-active) [Source:MGI Symbol;Acc:MGI:3528583] MAVFLLLLALGLLLAKPSESRMKGTTEQFSQEEMQPAAKQTLEESANSTLSDKNTGLSIS KHVMSATPLTPRRLSFIIPKGNTMRDGRNCVNSLRVWRTEVDGNASCQLGNDFIHGSMDV SLRIPKATRGKCEQTPKPSSSGSLGLERTTCKVLAGHQCLRSHEHSITSLKKILTVLASN SLMSWLVSGCKL >ENSMUSP00000005954.8 pep:known chromosome:GRCm38:2:122738503:122749475:1 gene:ENSMUSG00000005804.14 transcript:ENSMUST00000005954.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bloc1s6 description:biogenesis of lysosomal organelles complex-1, subunit 6, pallidin [Source:MGI Symbol;Acc:MGI:1927580] MSVPEPPPPDGVLTGPSDSLEAGEPTPGLSDTSPDEGLIEDFPVDDRAVEHLVGGLLSHY LPDLQRSKRALQELTQNQVVLLDTLEQEISKFKECHSMLDINALFTEAKHYHAKLVTIRK EMLLLHEKTSKLKKRALKLQQKRQREELEREQQREKEFEREKQLTAKPAKRT >ENSMUSP00000017620.3 pep:known chromosome:GRCm38:11:118406289:118419086:-1 gene:ENSMUSG00000025575.14 transcript:ENSMUST00000017620.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cant1 description:calcium activated nucleotidase 1 [Source:MGI Symbol;Acc:MGI:1923275] MPIQPFDQREWNEPMHSLRISVGGLPVLASMTKATDPRFRPRWRVILTSFVGAALLWLLY SHHQGPVPGRPPTHNAHNWRLSQQRISHYNDTYPLSPPQRTPGGIRYRIAVIADLDTGSR AQEENTWFSYLKKGYLTLSDSGDRVSVEWDKDHGVLESHLAEKGRGMELSDLIVFNGKLY SVDDRTGVIYQIEGTKAVPWVILSDGDGTVEKGFKAEWLAVKDEHLYVGGLGKEWTTTTG EVMNENPEWVKVVGHRGSVDHENWVSSYNALRAAAGIRPPGYLIHESACWSDTLQRWFFL PRRASHERYSEKDDERKGSNLLLSAAQDFRDISVRQVGTLIPTHGFSSFKFIPNTDDQII VALKSEEDNGRIATYVMAFTLDGRFLLPETKIGTVKYEGIEFI >ENSMUSP00000090032.3 pep:known chromosome:GRCm38:11:118406290:118419023:-1 gene:ENSMUSG00000025575.14 transcript:ENSMUST00000092378.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cant1 description:calcium activated nucleotidase 1 [Source:MGI Symbol;Acc:MGI:1923275] MPIQPFDQREWNEPMHSLRISVGGLPVLASMTKATDPRFRPRWRVILTSFVGAALLWLLY SHHQGPVPGRPPTHNAHNWRLSQQRISHYNDTYPLSPPQRTPGGIRYRIAVIADLDTGSR AQEENTWFSYLKKGYLTLSDSGDRVSVEWDKDHGVLESHLAEKGRGMELSDLIVFNGKLY SVDDRTGVIYQIEGTKAVPWVILSDGDGTVEKGFKAEWLAVKDEHLYVGGLGKEWTTTTG EVMNENPEWVKVVGHRGSVDHENWVSSYNALRAAAGIRPPGYLIHESACWSDTLQRWFFL PRRASHERYSEKDDERKGSNLLLSAAQDFRDISVRQVGTLIPTHGFSSFKFIPNTDDQII VALKSEEDNGRIATYVMAFTLDGRFLLPETKIGTVKYEGIEFI >ENSMUSP00000101896.2 pep:known chromosome:GRCm38:11:118407644:118419023:-1 gene:ENSMUSG00000025575.14 transcript:ENSMUST00000106289.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cant1 description:calcium activated nucleotidase 1 [Source:MGI Symbol;Acc:MGI:1923275] MPIQPFDQREWNEPMHSLRISVGGLPVLASMTKATDPRFRPRWRVILTSFVGAALLWLLY SHHQGPVPGRPPTHNAHNWRLSQQRISHYNDTYPLSPPQRTPGGIRYRIAVIADLDTGSR AQEENTWFSYLKKGYLTLSDSGDRVSVEWDKDHGVLESHLAEKGRGMELSDLIVFNGKLY SVDDRTGVIYQIEGTKAVPWVILSDGDGTVEKGQLLLLSLALSPPSTRVCMLSSNDSWEQ NLILASCVAGFKAEWLAVKDEHLYVGGLGKEWTTTTGEVMNENPEWVKVVGHRGSVDHEN WVSSYNALRAAAGIRPPGYLIHESACWSDTLQRWFFLPRRASHERYSEKDDERKGSNLLL SAAQDFRDISVRQVGTLIPTHGFSSFKFIPNTDDQIIVALKSEEDNGRIATYVMAFTLDG RFLLPETKIGTVKYEGIEFI >ENSMUSP00000101894.1 pep:known chromosome:GRCm38:11:118407644:118419052:-1 gene:ENSMUSG00000025575.14 transcript:ENSMUST00000106287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cant1 description:calcium activated nucleotidase 1 [Source:MGI Symbol;Acc:MGI:1923275] MPIQPFDQREWNEPMHSLRISVGGLPVLASMTKATDPRFRPRWRVILTSFVGAALLWLLY SHHQGPVPGRPPTHNAHNWRLSQQRISHYNDTYPLSPPQRTPGGIRYRIAVIADLDTGSR AQEENTWFSYLKKGYLTLSDSGDRVSVEWDKDHGVLESHLAEKGRGMELSDLIVFNGKLY SVDDRTGVIYQIEGTKAVPWVILSDGDGTVEKGFKAEWLAVKDEHLYVGGLGKEWTTTTG EVMNENPEWVKVVGHRGSVDHENWVSSYNALRAAAGIRPPGYLIHESACWSDTLQRWFFL PRRASHERYSEKDDERKGSNLLLSAAQDFRDISVRQVGTLIPTHGFSSFKFIPNTDDQII VALKSEEDNGRIATYVMAFTLDGRFLLPETKIGTVKYEGIEFI >ENSMUSP00000126919.1 pep:known chromosome:GRCm38:11:118407644:118415794:-1 gene:ENSMUSG00000025575.14 transcript:ENSMUST00000164927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cant1 description:calcium activated nucleotidase 1 [Source:MGI Symbol;Acc:MGI:1923275] MPIQPFDQREWNEPMHSLRISVGGLPVLASMTKATDPRFRPRWRVILTSFVGAALLWLLY SHHQGPVPGRPPTHNAHNWRLSQQRISHYNDTYPLSPPQRTPGGIRYRIAVIADLDTGSR AQEENTWFSYLKKGYLTLSDSGDRVSVEWDKDHGVLESHLAEKGRGMELSDLIVFNGKLY SVDDRTGVIYQIEGTKAVPWVILSDGDGTVEKGFKAEWLAVKDEHLYVGGLGKEWTTTTG EVMNENPEWVKVVGHRGSVDHENWVSSYNALRAAAGIRPPGYLIHESACWSDTLQRWFFL PRRASHERYSEKDDERKGSNLLLSAAQDFRDISVRQVGTLIPTHGFSSFKFIPNTDDQII VALKSEEDNGRIATYVMAFTLDGRFLLPETKIGTVKYEGIEFI >ENSMUSP00000101895.1 pep:known chromosome:GRCm38:11:118406289:118419052:-1 gene:ENSMUSG00000025575.14 transcript:ENSMUST00000106288.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cant1 description:calcium activated nucleotidase 1 [Source:MGI Symbol;Acc:MGI:1923275] MPIQPFDQREWNEPMHSLRISVGGLPVLASMTKATDPRFRPRWRVILTSFVGAALLWLLY SHHQGPVPGRPPTHNAHNWRLSQQRISHYNDTYPLSPPQRTPGGIRYRIAVIADLDTGSR AQEENTWFSYLKKGYLTLSDSGDRVSVEWDKDHGVLESHLAEKGRGMELSDLIVFNGKLY SVDDRTGVIYQIEGTKAVPWVILSDGDGTVEKGFKAEWLAVKDEHLYVGGLGKEWTTTTG EVMNENPEWVKVVGHRGSVDHENWVSSYNALRAAAGIRPPGYLIHESACWSDTLQRWFFL PRRASHERYSEKDDERKGSNLLLSAAQDFRDISVRQVGTLIPTHGFSSFKFIPNTDDQII VALKSEEDNGRIATYVMAFTLDGRFLLPETKIGTVKYEGIEFI >ENSMUSP00000113413.1 pep:known chromosome:GRCm38:9:46268633:46271919:1 gene:ENSMUSG00000032079.11 transcript:ENSMUST00000121598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoa5 description:apolipoprotein A-V [Source:MGI Symbol;Acc:MGI:1913363] MAAVITWALALLAVFASTQARKSLWDYFSQNSWSKGVMGQPQKLAQENLKGSFEQDLYNM NNYLEKLGPLRGPGKEPPLLAQDPEGIRKQLQQELGEVSSRLEPYMAAKHQQVGWNLEGL RQQLKPYTAELMEQVGLSVQELQEQLRVVGEDTKAQLLGGVDEALNLLQDMQSRVLHHTD RVKELFHPYAERLVTGIGHHVQELHRSVAPHAAASPARLSRCVQTLSHKLTRKAKDLHTS IQRNLDQLRDELSAFIRVSTDGAEDGDSLDPQALSEEVRQRLQAFRHDTYLQIAAFTQAI DQETEEIQHQLAPPPPSHSAFAPELGHSDSNKALSRLQSRLDDLWEDIAYGLQDQGHSHL SDPEGHSG >ENSMUSP00000034584.3 pep:known chromosome:GRCm38:9:46269078:46271919:1 gene:ENSMUSG00000032079.11 transcript:ENSMUST00000034584.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoa5 description:apolipoprotein A-V [Source:MGI Symbol;Acc:MGI:1913363] MAAVITWALALLAVFASTQARKSLWDYFSQNSWSKGVMGQPQKLAQENLKGSFEQDLYNM NNYLEKLGPLRGPGKEPPLLAQDPEGIRKQLQQELGEVSSRLEPYMAAKHQQVGWNLEGL RQQLKPYTAELMEQVGLSVQELQEQLRVVGEDTKAQLLGGVDEALNLLQDMQSRVLHHTD RVKELFHPYAERLVTGIGHHVQELHRSVAPHAAASPARLSRCVQTLSHKLTRKAKDLHTS IQRNLDQLRDELSAFIRVSTDGAEDGDSLDPQALSEEVRQRLQAFRHDTYLQIAAFTQAI DQETEEIQHQLAPPPPSHSAFAPELGHSDSNKALSRLQSRLDDLWEDIAYGLQDQGHSHL SDPEGHSG >ENSMUSP00000143615.1 pep:known chromosome:GRCm38:5:96793339:96816395:1 gene:ENSMUSG00000029484.12 transcript:ENSMUST00000199330.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa3 description:annexin A3 [Source:MGI Symbol;Acc:MGI:1201378] MASIWVGPRGTIKDYPGFSPSVDAEAIRKAIRGLGTDEKTLINILTERSNAQRQLIVKQY QAAYEQELKDDLKGDLSGHFEHVM >ENSMUSP00000031447.7 pep:known chromosome:GRCm38:5:96793385:96845966:1 gene:ENSMUSG00000029484.12 transcript:ENSMUST00000031447.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa3 description:annexin A3 [Source:MGI Symbol;Acc:MGI:1201378] MASIWVGPRGTIKDYPGFSPSVDAEAIRKAIRGLGTDEKTLINILTERSNAQRQLIVKQY QAAYEQELKDDLKGDLSGHFEHVMVALVTAPALFDAKQLKKSMKGTGTDEDALIEILTTR SSRQMKEISQAYYTVYKKSLGDDISSETSGDFRKALLTLADGRRDESLKVDEHLAKKDAQ ILYNAGENKWGTDEDKFTEVLCLRSFPQLKLTFDEYRNISQKDIEDSIKGELSGHFEDLL LAIVHCARNTPAFLAERLHQALKGAGTDEFTLNRIMVSRSEIDLLDIRHEFKKHYGYSLY SAIQSDTSGDYRTVLLKICGEDD >ENSMUSP00000142527.1 pep:known chromosome:GRCm38:5:96793441:96824967:1 gene:ENSMUSG00000029484.12 transcript:ENSMUST00000200379.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa3 description:annexin A3 [Source:MGI Symbol;Acc:MGI:1201378] MVALVTAPALFDAKQLKKSMKGTGTDEDALIEILTTRSSRQMKEISQAYYTVYKKSLGDD ISSETSGDFRKALLTLAD >ENSMUSP00000143491.1 pep:known chromosome:GRCm38:5:96793504:96825908:1 gene:ENSMUSG00000029484.12 transcript:ENSMUST00000198631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa3 description:annexin A3 [Source:MGI Symbol;Acc:MGI:1201378] MASIWVGPRGTIKDYPGFSPSVDAEAIRKAIRGLGTDEKTLINILTERSNAQRQLIVKQY QAAYEQELKDDLKGDLSGHFEHVMVALVTAPALFDAKQLKKSMKGTGTDEDALIEILTTR SSRQMKEISQAYYTVYKKSLGDDISSETSGDFRKALLTLADVRFDCLFSASPRIQGVHRT >ENSMUSP00000143103.1 pep:known chromosome:GRCm38:5:96841998:96845860:1 gene:ENSMUSG00000029484.12 transcript:ENSMUST00000196126.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa3 description:annexin A3 [Source:MGI Symbol;Acc:MGI:1201378] MAIGTASSSQVLNSDTSGDYRTVLLKICGEDD >ENSMUSP00000032492.8 pep:known chromosome:GRCm38:6:125460266:125494791:-1 gene:ENSMUSG00000030342.8 transcript:ENSMUST00000032492.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd9 description:CD9 antigen [Source:MGI Symbol;Acc:MGI:88348] MPVKGGSKCIKYLLFGFNFIFWLAGIAVLAIGLWLRFDSQTKSIFEQENNHSSFYTGVYI LIGAGALMMLVGFLGCCGAVQESQCMLGLFFGFLLVIFAIEIAAAVWGYTHKDEVIKELQ EFYKDTYQKLRSKDEPQRETLKAIHMALDCCGIAGPLEQFISDTCPKKQLLESFQVKPCP EAISEVFNNKFHIIGAVGIGIAVVMIFGMIFSMILCCAIRRSREMV >ENSMUSP00000112524.1 pep:known chromosome:GRCm38:4:129984870:130022633:1 gene:ENSMUSG00000028782.14 transcript:ENSMUST00000120204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb2 description:adhesion G protein-coupled receptor B2 [Source:MGI Symbol;Acc:MGI:2451244] MTPACPLLLSVILSLRLATAFDPAPSACSALASGVLYGAFSLQDLFPTIASGCSWTLENP DPTKYSLYLRFNRQEQVCTHFAPRLLPLDHYLVNFTCLRPGPEEATARAESEVGRPEEEE EEAAAAASGLELCGGSGPFTFLHFDKNFVQLCLSAEPSEAPRLLAPAALAFRFVEVLLIN NNNSSQFTCGVLCRWSEECGRAAGRACGFAQPGCSCPGEAGANPATTTSPGPPVAHTLSN ALVPGGPAPPAEADLHSGSSNDLFTTEMRYGEEPEEEPKVKTQWPRSADEPGLYMAQTGD PAAEEWSPWSVCSLTCGQGLQVRTRSCVSSPYGTLCSGPLRETRPCNNSATCPVEGQWLE WGPWGPCSSSCANGTQQRSRKCSVAGPAWATCAGALTDTRECSNLDCPATDGKWGPWNAW SLCSKTCDTGWQRRFRMCQASGTQGYPCEGTGEEVKPCSEKRCPAFHEMCRDEYVMLMTW KRAAAGEIIYNKCPPNASGSASRRCLLSAQGVAYWGLPSFARCISHEYRYLYLSLREHLA KGQRMLAGEGMSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQR FFQVVSFMVDSENKDKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVI SIQREPISAVSSDITFPMRGRRGMKDWVRHSEDRLFLPKEVLSLSSPGKPATPGAATAGS PGRGRGPGTVPPGPGHAHQRLLPADPEESSSYFVIGAVLYRTLGLILPPPRPPLAVTSRV MTVTVRPPTQPPAEPLITVELSYIINGTTDPHCASWDYSRADTNSGDWNTESCQTLETQA AHTRCQCQHLSTFAVLAQPPKDLTLELAGAPSVPLVIGCAVSCMALLTLLAIYAAFWRFI KSERSIILLNFCLSILASNILILVGQSRVLSKGVCTMTAAFLHFFFLSSFCWVLTEAWQS YLAVIGRMRTRLVRKRFLCLGWGLPALVVAVSVGFTRTKGYGTSSYCWLSLEGGLLYAFV GPAAVIVLVNMLIGIIVFNKLMARDGVSDKSKKQRAGASLWSSCVVLPLLALTWMSAVLA MTDRRSVLFQALFAVFNSAQGFVITAVHCFLRREVQDVVKCQMGVCRADESEDSPDSCKN GQLQILSDFEKDVDLACQTVLFKEVNTCNPSTITGTLSRLSLDEDEEPKSCLVGPEGGLS FSPLPGNILVPMAASPGLGEPPPPQETNPVYMCGEGGLRQLDLTWIRQSEPGSEGDYMVL PRRTLSLQPGGGGTAGEEAPRARPEGTPRRAAKTVAHTEGYPSFLSVEHSGLGLGPAYGS LQNPYGMTFQPPPPTPSARQVPEPGERSRTMPRTVPGSTMKLGSLERKKLRYSDLDFEKV MHTRKRHSELYHELNQKFHTFDRYRSQSSAKEKPSPPGGRPGLSQHRRHQSWSTFKSMTL GSLPPKPRERLALHRTAAWEPTEPPDGDFQTEV >ENSMUSP00000101638.1 pep:known chromosome:GRCm38:4:129985164:130022633:1 gene:ENSMUSG00000028782.14 transcript:ENSMUST00000106017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb2 description:adhesion G protein-coupled receptor B2 [Source:MGI Symbol;Acc:MGI:2451244] MTPACPLLLSVILSLRLATAFDPAPSACSALASGVLYGAFSLQDLFPTIASGCSWTLENP DPTKYSLYLRFNRQEQVCTHFAPRLLPLDHYLVNFTCLRPGPEEATARAESEVGRPEEEE EEAAAAASGLELCGGSGPFTFLHFDKNFVQLCLSAEPSEAPRLLAPAALAFRFVEVLLIN NNNSSQFTCGVLCRWSEECGRAAGRACGFAQPGCSCPGEAGANPATTTSPGPPVAHTLSN ALVPGGPAPPAEADLHSGSSNDLFTTEMRYGEEPEEEPKVKTQWPRSADEPGLYMAQTGD PAAEEWSPWSVCSLTCGQGLQVRTRSCVSSPYGTLCSGPLRETRPCNNSATCPVHGVWEE WGSWSLCSRSCGRGSRSRMRTCVPPQHGGKACEGPELQTKLCSMAACPVEGQWLEWGPWG PCSSSCANGTQQRSRKCSVAGPAWATCAGALTDTRECSNLDCPATDGKWGPWNAWSLCSK TCDTGWQRRFRMCQASGTQGYPCEGTGEEVKPCSEKRCPAFHEMCRDEYVMLMTWKRAAA GEIIYNKCPPNASGSASRRCLLSAQGVAYWGLPSFARCISHEYRYLYLSLREHLAKGQRM LAGEGMSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQRFFQVV SFMVDSENKDKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVISIQRE PISAVSSDITFPMRGRRGMKDWVRHSEDRLFLPKEVLSLSSPGKPATPGAATAGSPGRGR GPGTVPPGPGHAHQRLLPADPEESSSYFVIGAVLYRTLGLILPPPRPPLAVTSRVMTVTV RPPTQPPAEPLITVELSYIINGTTDPHCASWDYSRADTNSGDWNTESCQTLETQAAHTRC QCQHLSTFAVLAQPPKDLTLELAGAPSVPLVIGCAVSCMALLTLLAIYAAFWRFIKSERS IILLNFCLSILASNILILVGQSRVLSKGVCTMTAAFLHFFFLSSFCWVLTEAWQSYLAVI GRMRTRLVRKRFLCLGWGLPALVVAVSVGFTRTKGYGTSSYCWLSLEGGLLYAFVGPAAV IVLVNMLIGIIVFNKLMARDGVSDKSKKQRAGRLSWNLWGYGSQLCLFPKLPRASLWSSC VVLPLLALTWMSAVLAMTDRRSVLFQALFAVFNSAQGFVITAVHCFLRREVQDVVKCQMG VCRADESEDSPDSCKNGQLQILSDFEKDVDLACQTVLFKEVNTCNPSTITGTLSRLSLDE DEEPKSCLVGPEGGLSFSPLPGNILVPMAASPGLGEPPPPQETNPVYMCGEGGLRQLDLT WIRQSEPGSEGDYMVLPRRTLSLQPGGGGTAGEEAPRARPEGTPRRAAKTVAHTEGYPSF LSVEHSGLGLGPAYGSLQNPYGMTFQPPPPTPSARQVPEPGERSRTMPRTVPGSTMKLGS LERKKLRYSDLDFEKVMHTRKRHSELYHELNQKFHTFDRYRSQSSAKEKPSPPGGRPGLS QHRRHQSWSTFKSMTLGSLPPKPRERLALHRTAAWEPTEPPDGDFQTEV >ENSMUSP00000112869.1 pep:known chromosome:GRCm38:4:129985268:130022633:1 gene:ENSMUSG00000028782.14 transcript:ENSMUST00000121049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb2 description:adhesion G protein-coupled receptor B2 [Source:MGI Symbol;Acc:MGI:2451244] MTPACPLLLSVILSLRLATAFDPAPSACSALASGVLYGAFSLQDLFPTIASGCSWTLENP DPTKYSLYLRFNRQEQVCTHFAPRLLPLDHYLVNFTCLRPGPEEATARAESEVGRPEEEE EEAAAAASGLELCGGSGPFTFLHFDKNFVQLCLSAEPSEAPRLLAPAALAFRFVEVLLIN NNNSSQFTCGVLCRWSEECGRAAGRACGFAQPGCSCPGEAGANPATTTSPGPPVAHTLSN ALVPGGPAPPAEADLHSGSSNDLFTTEMRYGEEPEEEPKVKTQWPRSADEPGLYMAQTVH GVWEEWGSWSLCSRSCGRGSRSRMRTCVPPQHGGKACEGPELQTKLCSMAACPVEGQWLE WGPWGPCSSSCANGTQQRSRKCSVAGPAWATCAGALTDTRECSNLDCPATDGKWGPWNAW SLCSKTCDTGWQRRFRMCQASGTQGYPCEGTGEEVKPCSEKRCPAFHEMCRDEYVMLMTW KRAAAGEIIYNKCPPNASGSASRRCLLSAQGVAYWGLPSFARCISHEYRYLYLSLREHLA KGQRMLAGEGMSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQR FFQVVSFMVDSENKDKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVI SIQREPISAVSSDITFPMRGRRGMKDWVRHSEDRLFLPKEVLSLSSPGKPATPGAATAGS PGRGRGPGTVPPGPGHAHQRLLPADPEESSSYFVIGAVLYRTLGLILPPPRPPLAVTSRV MTVTVRPPTQPPAEPLITVELSYIINGTTDPHCASWDYSRADTNSGDWNTESCQTLETQA AHTRCQCQHLSTFAVLAQPPKDLTLELAGAPSVPLVIGCAVSCMALLTLLAIYAAFWRFI KSERSIILLNFCLSILASNILILVGQSRVLSKGVCTMTAAFLHFFFLSSFCWVLTEAWQS YLAVIGRMRTRLVRKRFLCLGWGLPALVVAVSVGFTRTKGYGTSSYCWLSLEGGLLYAFV GPAAVIVLVNMLIGIIVFNKLMARDGVSDKSKKQRAGSERCPWASLLLPCSACGAVPSPL LSSASARNAMASLWSSCVVLPLLALTWMSAVLAMTDRRSVLFQALFAVFNSAQGFVITAV HCFLRREVQDVVKCQMGVCRADESEDSPDSCKNGQLQILSDFEKDVDLACQTVLFKEVNT CNPSTITGTLSRLSLDEDEEPKSCLVGPEGGLSFSPLPGNILVPMAASPGLGEPPPPQET NPVYMCGEGGLRQLDLTWIRQSEPGSEGDYMVLPRRTLSLQPGGGGTAGEEAPRARPEGT PRRAAKTVAHTEGYPSFLSVEHSGLGLGPAYGSLQNPYGMTFQPPPPTPSARQVPEPGER SRTMPRTVPGSTMKLGSLERKKLRYSDLDFEKVMHTRKRHSELYHELNQKFHTFDRYRSQ SSAKEKPSPPGGRPGLSQHRRHQSWSTFKSMTLGSLPPKPRERLALHRTAAWEPTEPPDG DFQTEV >ENSMUSP00000101636.2 pep:known chromosome:GRCm38:4:129992068:130022633:1 gene:ENSMUSG00000028782.14 transcript:ENSMUST00000106015.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb2 description:adhesion G protein-coupled receptor B2 [Source:MGI Symbol;Acc:MGI:2451244] MTPACPLLLSVILSLRLATAFDPAPSACSALASGVLYGAFSLQDLFPTIASGCSWTLENP DPTKYSLYLRFNRQEQVCTHFAPRLLPLDHYLVNFTCLRPGPEEATARAESEVGRPEEEE EEAAAAASGLELCGGSGPFTFLHFDKNFVQLCLSAEPSEAPRLLAPAALAFRFVEVLLIN NNNSSQFTCGVLCRWSEECGRAAGRACGFAQPGCSCPGEAGANPATTTSPGPPVAHTLSN ALVPGGPAPPAEADLHSGSSNDLFTTEMRYGEEPEEEPKVKTQWPRSADEPGLYMAQTGD PAAEEWSPWSVCSLTCGQGLQVRTRSCVSSPYGTLCSGPLRETRPCNNSATCPVHGVWEE WGSWSLCSRSCGRGSRSRMRTCVPPQHGGKACEGPELQTKLCSMAACPVEGQWLEWGPWG PCSSSCANGTQQRSRKCSVAGPAWATCAGALTDTRECSNLDCPATDGKWGPWNAWSLCSK TCDTGWQRRFRMCQASGTQGYPCEGTGEEVKPCSEKRCPAFHEMCRDEYVMLMTWKRAAA GEIIYNKCPPNASGSASRRCLLSAQGVAYWGLPSFARCISHEYRYLYLSLREHLAKGQRM LAGEGMSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQRFFQVV SFMVDSENKDKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVISIQRE PISAVSSDITFPMRGRRGMKDWVRHSEDRLFLPKEVLSLSSPGKPATPGAATAGSPGRGR GPGTVPPGPGHAHQRLLPADPEESSSYFVIGAVLYRTLGLILPPPRPPLAVTSRVMTVTV RPPTQPPAEPLITVELSYIINGTTDPHCASWDYSRADTNSGDWNTESCQTLETQAAHTRC QCQHLSTFAVLAQPPKDLTLELAGAPSVPLVIGCAVSCMALLTLLAIYAAFWRFIKSERS IILLNFCLSILASNILILVGQSRVLSKGVCTMTAAFLHFFFLSSFCWVLTEAWQSYLAVI GRMRTRLVRKRFLCLGWGLPALVVAVSVGFTRTKGYGTSSYCWLSLEGGLLYAFVGPAAV IVLVNMLIGIIVFNKLMARDGVSDKSKKQRAGSERCPWASLLLPCSACGAVPSPLLSSAS ARNAMASLWSSCVVLPLLALTWMSAVLAMTDRRSVLFQALFAVFNSAQGFVITAVHCFLR REVQDVVKCQMGVCRADESEDSPDSCKNGQLQILSDFEKDVDLACQTVLFKEVNTCNPST ITGTLSRLSLDEDEEPKSCLVGPEGGLSFSPLPGNILVPMAASPGLGEPPPPQETNPVYM CGEGGLRQLDLTWIRQSEPGSEGDYMVLPRRTLSLQPGGGGTAGEEAPRARPEGTPRRAA KTVAHTEGYPSFLSVEHSGLGLGPAYGSLQNPYGMTFQPPPPTPSARQVPEPGERSRTMP RTVPGSTMKLGSLERKKLRYSDLDFEKVMHTRKRHSELYHELNQKFHTFDRYRSQSSAKE KPSPPGGRPGLSQHRRHQSWSTFKSMTLGSLPPKPRERLALHRTAAWEPTEPPDGDFQTE V >ENSMUSP00000101639.2 pep:known chromosome:GRCm38:4:129992069:130022338:1 gene:ENSMUSG00000028782.14 transcript:ENSMUST00000106018.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb2 description:adhesion G protein-coupled receptor B2 [Source:MGI Symbol;Acc:MGI:2451244] MTPACPLLLSVILSLRLATAFDPAPSACSALASGVLYGAFSLQDLFPTIASGCSWTLENP DPTKYSLYLRFNRQEQVCTHFAPRLLPLDHYLVNFTCLRPGPEEATARAESEVGRPEEEE EEAAAAASGLELCGGSGPFTFLHFDKNFVQLCLSAEPSEAPRLLAPAALAFRFVEVLLIN NNNSSQFTCGVLCRWSEECGRAAGRACGFAQPGCSCPGEAGANPATTTSPGPPVAHTLSN ALVPGGPAPPAEADLHSGSSNDLFTTEMRYGEEPEEEPKVKTQWPRSADEPGLYMAQTVH GVWEEWGSWSLCSRSCGRGSRSRMRTCVPPQHGGKACEGPELQTKLCSMAACPVEGQWLE WGPWGPCSSSCANGTQQRSRKCSVAGPAWATCAGALTDTRECSNLDCPATDGKWGPWNAW SLCSKTCDTGWQRRFRMCQASGTQGYPCEGTGEEVKPCSEKRCPAFHEMCRDEYVMLMTW KRAAAGEIIYNKCPPNASGSASRRCLLSAQGVAYWGLPSFARCISHEYRYLYLSLREHLA KGQRMLAGEGMSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQR FFQVVSFMVDSENKDKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVI SIQREPISAVSSDITFPMRGRRGMKDWVRHSEDRLFLPKEVLSLSSPGKPATPGAATAGS PGRGRGPGTVPPGPGHAHQRLLPADPEESSSYFVIGAVLYRTLGLILPPPRPPLAVTSRV MTVTVRPPTQPPAEPLITVELSYIINGTTDPHCASWDYSRADTNSGDWNTESCQTLETQA AHTRCQCQHLSTFAVLAQPPKDLTLELAGAPSVPLVIGCAVSCMALLTLLAIYAAFWRFI KSERSIILLNFCLSILASNILILVGQSRVLSKGVCTMTAAFLHFFFLSSFCWVLTEAWQS YLAVIGRMRTRLVRKRFLCLGWGLPALVVAVSVGFTRTKGYGTSSYCWLSLEGGLLYAFV GPAAVIVLVNMLIGIIVFNKLMARDGVSDKSKKQRAGASLWSSCVVLPLLALTWMSAVLA MTDRRSVLFQALFAVFNSAQGFVITAVHCFLRREVQDVVKCQMGVCRADESEDSPDSCKN GQLQILSDFEKDVDLACQTVLFKEVNTCNPSTITGTLSRLSLDEDEEPKSCLVGPEGGLS FSPLPGNILVPMAASPGLGEPPPPQETNPVYMCGEGGLRQLDLTWIRQSEPGSEGDYMVL PRRTLSLQPGGGGTAGEEAPRARPEGTPRRAAKTVAHTEGYPSFLSVEHSGLGLGPAYGS LQNPYGMTFQPPPPTPSARQVPEPGERSRTMPRTVPGSTMKLGSLERKKLRYSDLDFEKV MHTRKRHSELYHELNQKFHTFDRYRSQSSAKVRWMRGCNRLALLLSQEKPSPPGGRPGLS QHRRHQSWSTFKSMTLGSLPPKPRERLALHRTAAWEPTEPPDGDFQTEV >ENSMUSP00000095480.3 pep:known chromosome:GRCm38:4:129992069:130022338:1 gene:ENSMUSG00000028782.14 transcript:ENSMUST00000097868.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb2 description:adhesion G protein-coupled receptor B2 [Source:MGI Symbol;Acc:MGI:2451244] MTPACPLLLSVILSLRLATAFDPAPSACSALASGVLYGAFSLQDLFPTIASGCSWTLENP DPTKYSLYLRFNRQEQVCTHFAPRLLPLDHYLVNFTCLRPGPEEATARAESEVGRPEEEE EEAAAAASGLELCGGSGPFTFLHFDKNFVQLCLSAEPSEAPRLLAPAALAFRFVEVLLIN NNNSSQFTCGVLCRWSEECGRAAGRACGFAQPGCSCPGEAGANPATTTSPGPPVAHTLSN ALVPGGPAPPAEADLHSGSSNDLFTTEMRYGEEPEEEPKVKTQWPRSADEPGLYMAQTGD PAAEEWSPWSVCSLTCGQGLQVRTRSCVSSPYGTLCSGPLRETRPCNNSATCPVHGVWEE WGSWSLCSRSCGRGSRSRMRTCVPPQHGGKACEGPELQTKLCSMAACPVEGQWLEWGPWG PCSSSCANGTQQRSRKCSVAGPAWATCAGALTDTRECSNLDCPATDGKWGPWNAWSLCSK TCDTGWQRRFRMCQASGTQGYPCEGTGEEVKPCSEKRCPAFHEMCRDEYVMLMTWKRAAA GEIIYNKCPPNASGSASRRCLLSAQGVAYWGLPSFARCISHEYRYLYLSLREHLAKGQRM LAGEGMSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQRFFQVV SFMVDSENKDKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVISIQRE PISAVSSDITFPMRGRRGMKDWVRHSEDRLFLPKEVLSLSSPGKPATPGAATAGSPGRGR GPGTVPPGPGHAHQRLLPADPEESSSYFVIGAVLYRTLGLILPPPRPPLAVTSRVMTVTV RPPTQPPAEPLITVELSYIINGTTDPHCASWDYSRADTNSGDWNTESCQTLETQAAHTRC QCQHLSTFAVLAQPPKDLTLELAGAPSVPLVIGCAVSCMALLTLLAIYAAFWRFIKSERS IILLNFCLSILASNILILVGQSRVLSKGVCTMTAAFLHFFFLSSFCWVLTEAWQSYLAVI GRMRTRLVRKRFLCLGWGLPALVVAVSVGFTRTKGYGTSSYCWLSLEGGLLYAFVGPAAV IVLVNMLIGIIVFNKLMARDGVSDKSKKQRAGASLWSSCVVLPLLALTWMSAVLAMTDRR SVLFQALFAVFNSAQGFVITAVHCFLRREVQDVVKCQMGVCRADESEDSPDSCKNGQLQI LSDFEKDVDLACQTVLFKEVNTCNPSTITGTLSRLSLDEDEEPKSCLVGPEGGLSFSPLP GNILVPMAASPGLGEPPPPQETNPVYMCGEGGLRQLDLTWIRQSEPGSEGDYMVLPRRTL SLQPGGGGTAGEEAPRARPEGTPRRAAKTVAHTEGYPSFLSVEHSGLGLGPAYGSLQNPY GMTFQPPPPTPSARQVPEPGERSRTMPRTVPGSTMKLGSLERKKLRYSDLDFEKVMHTRK RHSELYHELNQKFHTFDRYRSQSSAKVRWMRGCNRLALLLSQEKPSPPGGRPGLSQHRRH QSWSTFKSMTLGSLPPKPRERLALHRTAAWEPTEPPDGDFQTEV >ENSMUSP00000030571.6 pep:known chromosome:GRCm38:4:129992069:130022338:1 gene:ENSMUSG00000028782.14 transcript:ENSMUST00000030571.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrb2 description:adhesion G protein-coupled receptor B2 [Source:MGI Symbol;Acc:MGI:2451244] MTPACPLLLSVILSLRLATAFDPAPSACSALASGVLYGAFSLQDLFPTIASGCSWTLENP DPTKYSLYLRFNRQEQVCTHFAPRLLPLDHYLVNFTCLRPGPEEATARAESEVGRPEEEE EEAAAAASGLELCGGSGPFTFLHFDKNFVQLCLSAEPSEAPRLLAPAALAFRFVEVLLIN NNNSSQFTCGVLCRWSEECGRAAGRACGFAQPGCSCPGEAGANPATTTSPGPPVAHTLSN ALVPGGPAPPAEADLHSGSSNDLFTTEMRYGEEPEEEPKVKTQWPRSADEPGLYMAQTGD PAAEEWSPWSVCSLTCGQGLQVRTRSCVSSPYGTLCSGPLRETRPCNNSATCPVHGVWEE WGSWSLCSRSCGRGSRSRMRTCVPPQHGGKACEGPELQTKLCSMAACPVEGQWLEWGPWG PCSSSCANGTQQRSRKCSVAGPAWATCAGALTDTRECSNLDCPATDGKWGPWNAWSLCSK TCDTGWQRRFRMCQASGTQGYPCEGTGEEVKPCSEKRCPAFHEMCRDEYVMLMTWKRAAA GEIIYNKCPPNASGSASRRCLLSAQGVAYWGLPSFARCISHEYRYLYLSLREHLAKGQRM LAGEGMSQVVRSLQELLARRTYYSGDLLFSVDILRNVTDTFKRATYVPSADDVQRFFQVV SFMVDSENKDKWDDAQQVSPGSVHLLRVVEDFIHLVGDALKAFQSSLIVTDNLVISIQRE PISAVSSDITFPMRGRRGMKDWVRHSEDRLFLPKEVLSLSSPGKPATPGAATAGSPGRGR GPGTVPPGPGHAHQRLLPADPEESSSYFVIGAVLYRTLGLILPPPRPPLAVTSRVMTVTV RPPTQPPAEPLITVELSYIINGTTDPHCASWDYSRADTNSGDWNTESCQTLETQAAHTRC QCQHLSTFAVLAQPPKDLTLELAGAPSVPLVIGCAVSCMALLTLLAIYAAFWRFIKSERS IILLNFCLSILASNILILVGQSRVLSKGVCTMTAAFLHFFFLSSFCWVLTEAWQSYLAVI GRMRTRLVRKRFLCLGWGLPALVVAVSVGFTRTKGYGTSSYCWLSLEGGLLYAFVGPAAV IVLVNMLIGIIVFNKLMARDGVSDKSKKQRAGSERCPWASLLLPCSACGAVPSPLLSSAS ARNAMASLWSSCVVLPLLALTWMSAVLAMTDRRSVLFQALFAVFNSAQGFVITAVHCFLR REVQDVVKCQMGVCRADESEDSPDSCKNGQLQILSDFEKDVDLACQTVLFKEVNTCNPST ITGTLSRLSLDEDEEPKSCLVGPEGGLSFSPLPGNILVPMAASPGLGEPPPPQETNPVYM CGEGGLRQLDLTWIRQSEPGSEGDYMVLPRRTLSLQPGGGGTAGEEAPRARPEGTPRRAA KTVAHTEGYPSFLSVEHSGLGLGPAYGSLQNPYGMTFQPPPPTPSARQVPEPGERSRTMP RTVPGSTMKLGSLERKKLRYSDLDFEKVMHTRKRHSELYHELNQKFHTFDRYRSQSSAKV RWMRGCNRLALLLSQEKPSPPGGRPGLSQHRRHQSWSTFKSMTLGSLPPKPRERLALHRT AAWEPTEPPDGDFQTEV >ENSMUSP00000025228.5 pep:known chromosome:GRCm38:18:34630951:34651735:-1 gene:ENSMUSG00000024370.16 transcript:ENSMUST00000025228.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc23 description:CDC23 cell division cycle 23 [Source:MGI Symbol;Acc:MGI:1098815] MAANSSVVSVAAAATAVPGVSTVADFSDLQEIKKQLLLIAGLTRERGLLHSSKWSAELAF SLPALPLSELQPPPPLTEEDAQDVDAYTLAKAYFDVKEYDRAAHFLHGCNSKKAYFLYMY SRYLSGEKKKDDETVDSLGPLEKGQVKNEALRELRVELSRKHQARGLDGFGLYLYGVVLR KLDLVKEAIDVFVEATHVLPLHWGAWLELCNLITDKEMLKFLSLPDTWMKEFFLAHIYTE LQLIEEALQKYQHLIDVGFSKSSYIVSQIAVAYHNIRDIDKALSIFNELRKQDPYRIENM DTFSNLLYVRSMKSELSYLAHNLCEIDKYRVETCCVIGNYYSLRSQHEKAALYFQRALKL NPRYLGAWTLMGHEYMEMKNTSAAIQAYRHAIEVNKRDYRAWYGLGQTYEILKMPFYCLY YYRRAHQLRPNDSRMLVALGECYEKLNQLVEAKKCYWRAYAVGDVEKMALVKLAKLHEQL TESEQAAQCYIKYIQDIYSCGETVEHLEESTAFRYLAQYYFKCKLWDEASTCAQKCCAFN DTREEGKALLRQILQLRNQGETPTSDTPVQL >ENSMUSP00000122420.1 pep:known chromosome:GRCm38:18:34631683:34651735:-1 gene:ENSMUSG00000024370.16 transcript:ENSMUST00000133181.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc23 description:CDC23 cell division cycle 23 [Source:MGI Symbol;Acc:MGI:1098815] MAANSSVVSVAAAATAVPGVSTVADFSDLQEIKKQLLLIAGLTRERGLLHSSKWSAELAF SLPALPLSELQPPPPLTEEDAQDVDAYTLAKAYFDVKEYDRAAHFLHGCNSKKAYFLYMY SRYLSGEKKKDDETVDSLGPLEKGQVKNEALRELRVELSRKHQARGLDGFGLYLYGVVLR KLDLVKEAIDVFVEATHVLPLHWGAWLELCNLITDKEMLKFLSLPDTWMKEFFLAHIYTE LQLIEEALQKYQHLIDVGFSKSSYIVSQIAVAYHNIRDIDKALSIFNELRKQDPYRIENM DTFSNLLYVRSMKSELSYLAHNLCEIDKYRVETCCVIGNYYSLRSQHEKAALYFQRALKL NPRYLGAWTLMGHEYMEMKNTSAAIQAYRHAIEVNKRDYRAWYGLGQTYEILKMPFYCLY YYRRAHQLRPNDSRMLVALGECYEKLNQLVEAKKCYWRAYAVGDVEKMALVKLAKLHEQL TESEQAAQCYIKYIQDIYSCGETVEHLEESTAFRYLAQYYFKCKLWDEASTCAQKCCAFN DTREEGKALLRQILQLRNQGETPTSDTPGTFFLPASLSANNTPTRRVSPLNLSSVTP >ENSMUSP00000031081.4 pep:known chromosome:GRCm38:5:52741574:52769340:1 gene:ENSMUSG00000029186.12 transcript:ENSMUST00000031081.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4k2b description:phosphatidylinositol 4-kinase type 2 beta [Source:MGI Symbol;Acc:MGI:1914323] MAEACEPTRPSEDEDEEREPLLPRVAWAQPRRVAPGSAVRMQADEGADVLREPATDEPPA VSGEGSISASLSTELDRTRTTSSETNTFLEDPEFADIVLKAEQAIEIGVFPERISQGSSG SYFVKDSKRNIIGVFKPKSEEPYGQLNPKWTKYVHKVCCPCCFGRGCLLPNQGYLSEAGA YLVDVKLNLGIVPKTKVVWLVSETFNYSAIDRAKSRGKKYALEKVPKVGRKFHRIGLPPK VGSFQLFVKDYKEAEYWLRRFEAEPLPENIRKQFQSQFEKLVILDYIIRNTDRGNDNWLV KYDEMKYAKKIESEESNWIDNKQLLIKIAAIDNGLAFPFKHPDEWRAYPFHWAWLPQAKV PFSEETRNLILPYISDMNFVQDLCEDLYELFKTDKGFDRAAFENQMSVMRGQILNLTQAL RDGKSPMQLAQMPCVIVECSKSGSQGRVVHLGSSFTQTVHCRKPFFSSW >ENSMUSP00000142802.1 pep:known chromosome:GRCm38:5:52741606:52751513:1 gene:ENSMUSG00000029186.12 transcript:ENSMUST00000131526.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pi4k2b description:phosphatidylinositol 4-kinase type 2 beta [Source:MGI Symbol;Acc:MGI:1914323] MAEACEPTRPSEDEDEEREPLLPRVAWAQPRRVAPGSAVRMQADEGADVLREPATDEPPA VSGEGSISASLSTELDRTRTTSSEYYWRV >ENSMUSP00000031082.6 pep:known chromosome:GRCm38:5:52741610:52769340:1 gene:ENSMUSG00000029186.12 transcript:ENSMUST00000031082.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4k2b description:phosphatidylinositol 4-kinase type 2 beta [Source:MGI Symbol;Acc:MGI:1914323] MAEACEPTRPSEDEDEEREPLLPRVAWAQPRRVAPGSAVRMQADEGADVLREPATDEPPA VSGEGSISASLSTELDRTRTTSSGPELCPFYSRSLCYKTTTALGYYNIIGVFKPKSEEPY GQLNPKWTKYVHKVCCPCCFGRGCLLPNQGYLSEAGAYLVDVKLNLGIVPKTKVVWLVSE TFNYSAIDRAKSRGKKYALEKVPKVGRKFHRIGLPPKVGSFQLFVKDYKEAEYWLRRFEA EPLPENIRKQFQSQFEKLVILDYIIRNTDRGNDNWLVKYDEMKYAKKIESEESNWIDNKQ LLIKIAAIDNGLAFPFKHPDEWRAYPFHWAWLPQAKVPFSEETRNLILPYISDMNFVQDL CEDLYELFKTDKGFDRAAFENQMSVMRGQILNLTQALRDGKSPMQLAQMPCVIVECSKSG SQGRVVHLGSSFTQTVHCRKPFFSSW >ENSMUSP00000138975.1 pep:known chromosome:GRCm38:15:102523843:102625067:-1 gene:ENSMUSG00000052414.9 transcript:ENSMUST00000184772.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28047 description:predicted gene, 28047 [Source:MGI Symbol;Acc:MGI:5547783] MGDDRPFVCSAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARTDSVIIADQTPTPTRFLKN CEEVGLFNELASSFEHEFKKASDDDEKKGAAGPLDMSLPSTPDIKIKEEEPVEVDSSPPD SPASSPCSPPLKEKEVTTKPVVISTPTPTIVRPGSLPLHLGYDPLHPTLPSPTSVITQAP PSNRQIGSPTGSLPLVMHLANGQTMPMLPGPPVQMPSVISLARPVSMVPNIPGIPGPPVN NSGSISPSGHPMPSEAKMRLKATLTHQVSSINGGCGMVVGTASTMVTARPEQNQILIQHP DAPSPAQPQVSPAQPTPSTGGRRRRTVDEDPDERRQRFLERNRAAASRCRQKRKLWVSSL EKKAEELTSQNIQLSNEVTLLRNEVAQLKQLLLAHKDCPVTALQKKTQGYLGRR >ENSMUSP00000033913.4 pep:known chromosome:GRCm38:8:34090423:34108796:-1 gene:ENSMUSG00000031516.11 transcript:ENSMUST00000033913.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn6 description:dynactin 6 [Source:MGI Symbol;Acc:MGI:1343154] MAEKTQKSVKIAPGAVVCVESEIRGDVTIGPRTVIHPKARIIAEAGPIIIGEGNLIEEQA LIINAHPDNIIPDTEDTEPKPMIIGTNNVFEVGCHSQAMKMGDNNVIESKAYVGRNVILT SGCIIGACCSLNTFEAIPENTVIYGADCLRRVQTERPQPQTLQLDFLMKILPNYHHLKKT MKGSSTPVKN >ENSMUSP00000117109.1 pep:known chromosome:GRCm38:8:34094912:34108463:-1 gene:ENSMUSG00000031516.11 transcript:ENSMUST00000143411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn6 description:dynactin 6 [Source:MGI Symbol;Acc:MGI:1343154] MAEKTQKSVKIAPGAVVCVESEIRGDVTIECMWLSSPLRRNTSSQLFCPRTVIHPKARII AEAGPIIIGEGNLIEEQALIINAHPDNIIPDTEDTEPKPMIIG >ENSMUSP00000113716.1 pep:known chromosome:GRCm38:8:34090563:34108536:-1 gene:ENSMUSG00000031516.11 transcript:ENSMUST00000117243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn6 description:dynactin 6 [Source:MGI Symbol;Acc:MGI:1343154] MISPACHSVLHFSPPAECMWLSSPLRRNTSSQLFCPRTVIHPKARIIAEAGPIIIGEGNL IEEQALIINAHPDNIIPDTEDTEPKPMIIGTNNVFEVGCHSQAMKMGDNNVIESKAYVGR NVILTSGCIIGACCSLNTFEAIPENTVIYGADCLRRVQTERPQPQTLQLDFLMKILPNYH HLKKTMKGSSTPVKN >ENSMUSP00000113280.1 pep:known chromosome:GRCm38:8:34090638:34107852:-1 gene:ENSMUSG00000031516.11 transcript:ENSMUST00000118811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn6 description:dynactin 6 [Source:MGI Symbol;Acc:MGI:1343154] MSGVKIAPGAVVCVESEIRGDVTIGPRTVIHPKARIIAEAGPIIIGEGNLIEEQALIINA HPDNIIPDTEDTEPKPMIIGTNNVFEVGCHSQAMKMGDNNVIESKAYVGRNVILTSGCII GACCSLNTFEAIPENTVIYGADCLRRVQTERPQPQTLQLDFLMKILPNYHHLKKTMKGSS TPVKN >ENSMUSP00000120022.1 pep:known chromosome:GRCm38:8:34090596:34106374:-1 gene:ENSMUSG00000031516.11 transcript:ENSMUST00000152422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn6 description:dynactin 6 [Source:MGI Symbol;Acc:MGI:1343154] XESEIRGDVTIGPRTVIHPKARIIAEAGPIIIGEGNLIEEQALIINAHPDNIIPDTEDTE PKPMIIGTNNVFEVGCHSQAMKMGDNNVIESKAYVGRNVILTSGCIIGACCSLNTFEAIP ENTVIYGADCLRRVQTERPQSGMRRGPPNVPWVPSVVYESAMA >ENSMUSP00000031172.8 pep:known chromosome:GRCm38:5:86181994:86197870:-1 gene:ENSMUSG00000029255.8 transcript:ENSMUST00000031172.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnrhr description:gonadotropin releasing hormone receptor [Source:MGI Symbol;Acc:MGI:95790] MANNASLEQDPNHCSAINNSIPLIQGKLPTLTVSGKIRVTVTFFLFLLSTAFNASFLLKL QKWTQKRKKGKKLSRMKVLLKHLTLANLLETLIVMPLDGMWNITVQWYAGEFLCKVLSYL KLFSMYAPAFMMVVISLDRSLAITQPLAVQSNSKLEQSMISLAWILSIVFAGPQLYIFRM IYLADGSGPTVFSQCVTHCSFPQWWHQAFYNFFTFGCLFIIPLLIMLICNAKIIFALTRV LHQDPRKLQLNQSKNNIPRARLRTLKMTVAFATSFVVCWTPYYVLGIWYWFDPEMLNRVS EPVNHFFFLFAFLNPCFDPLIYGYFSL >ENSMUSP00000108999.1 pep:known chromosome:GRCm38:5:86180754:86197901:-1 gene:ENSMUSG00000029255.8 transcript:ENSMUST00000113372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnrhr description:gonadotropin releasing hormone receptor [Source:MGI Symbol;Acc:MGI:95790] MANNASLEQDPNHCSAINNSIPLIQGKLPTLTVSGKIRVTVTFFLFLLSTAFNASFLLKL QKWTQKRKKGKKLSRMKVLLKHLTLANLLETLIVMPLDGMWNITVQWYAGEFLCKVLSYL KLFSMYAPAFMMVVISLDRSLAITQPLAVQSNSKLEQSMISLAWILSIVFAGPQNYS >ENSMUSP00000092238.2 pep:known chromosome:GRCm38:5:86184556:86197846:-1 gene:ENSMUSG00000029255.8 transcript:ENSMUST00000094654.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnrhr description:gonadotropin releasing hormone receptor [Source:MGI Symbol;Acc:MGI:95790] MANNASLEQDPNHCSAINNSIPLIQGKLPTLTVSGKIRVTVTFFLFLLSTAFNASFLLKL QKWTQKRKKGKKLSRMKVLLKHLTLANLLETLIVMPLDGMWNITVQWYAGEFLCKVLSYL KLFSMYAPAFMMVVISLDRSLAITQPLAVQSNSKLEQSMISLAWILSIVFAGPQLYIFRM IYLADGSGPTVFSQCVTHCSFPQWWHQAFYNFFTFGCLFIIPLLIMLICNAKIIFALTRV LHQDPRSTVFLRSKNHCRQTEFKQ >ENSMUSP00000044044.4 pep:known chromosome:GRCm38:4:140727355:140742643:-1 gene:ENSMUSG00000040935.12 transcript:ENSMUST00000038749.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Padi6 description:peptidyl arginine deiminase, type VI [Source:MGI Symbol;Acc:MGI:2655198] MSFQNSLSLSLVNPTHALCMVGMEITLDISKCAPDKCKSFTIRGSPRILIHISSSVIAGK EDTVVWRSMNHPTVALVRMVAPSPTVDEDKVLVSYFCPDQEVPTATAVLFLTGIEISLEA DIYRDGQLDMPSDKQAKKKWMWGMNGWGAILLVNCSPNAVGQPDEQSFQEGPREIQNLSQ MNVTVEGPTSILQNYQLILHTSEEEAKKTRVYWSQRGSSAYELVVGPNKPVYLLPTFENR RKEAFYVEATEFPSPSFSGLISLSLSLVEKAHDECIPEIPLYKDTVMFRVAPYIFMPSTQ MPLEVYLCRELQLQGFVDSVTKLSEKSKVQVVKVYEDPNRQSKWLQDEMAFCYTQAPHKT VSLILDTPRVSKLEDFPMKYTLTPGSGYLIRQTEDHRVASLDSIGNLMVSPPVKAQGKDY PLGRVLIGGSFYPSSEGRDMNKGLREFVYAQQVQAPVELFSDWLMTGHMDQFMCFVPTND KNNDQKDFRLLLASPSACFELFEQKQKEGYGNVTLFEDIGAEQLLSNGRESKTISQILAD KSFREQNTYVEKCISLNRTLLKTELGLEDKDIILIPQLFCLEQLTNVPSNQQSTKLFARP YFPDMLQIIVLGKNLGIPKPFGPKINGTCCLEEKVCGLLEPLGLKCTFIDDFDCYLANIG DVCASAIINRVPFAFKWWKMTP >ENSMUSP00000123490.1 pep:known chromosome:GRCm38:4:140735701:140741647:-1 gene:ENSMUSG00000040935.12 transcript:ENSMUST00000130267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Padi6 description:peptidyl arginine deiminase, type VI [Source:MGI Symbol;Acc:MGI:2655198] MCRRKAVSFSEWLTWVLVSYFCPDQEVPTATAVLFLTGIEISLEADIYRDGQLDMPSDKQ AKKKWMWGMNGWGAILLVNCSPNAVGQPDEQSFQEGPREIQNLSQMNVTVEGPTSILQNY QLILHTSEEEAKKTRVYWSQRGSSAYELVVGPNKPVYLLPTFENRRKEAFYVEATEFPSP SFSGLISLSLS >ENSMUSP00000023814.6 pep:known chromosome:GRCm38:15:102523839:102524715:-1 gene:ENSMUSG00000023052.7 transcript:ENSMUST00000023814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npff description:neuropeptide FF-amide peptide precursor [Source:MGI Symbol;Acc:MGI:1891708] MDSKWAALLLLLLLLLNWGHTEEAGSWGEDQVFAGEDKGPHPPQYAHIPDRIQTPGSLFR VLLQAMDTPRRSPAFLFQPQRFGRSAWGSWSKEQLNPQARQFWSLAAPQRFGKK >ENSMUSP00000008052.6 pep:known chromosome:GRCm38:9:76014855:76136350:1 gene:ENSMUSG00000007908.14 transcript:ENSMUST00000008052.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcll1 description:3-hydroxymethyl-3-methylglutaryl-Coenzyme A lyase-like 1 [Source:MGI Symbol;Acc:MGI:2446108] MGNLPSAAKHCLNYQQLLREHLWSGDSVAGALDAAQEASQLPGLPEYVKIVEVGPRDGLQ NEKVIVPTDIKIELINQLSQTGLSVIEVTSFVSSRWVPQMADHAEVMRGIRQYPGVRYPV LTPNLQGFQHAVAAGATEIAVFGAASESFSKKNINCSIEESMGRFQEVISSARHMDIPVR GYVSCALGCPYEGSITPQKVTEVSKRLYGMGCYEISLGDTIGVGTPGSMKMMLESVMKEI PPGALAVHCHDTYGQALANILTALQMGINVVDSAVSGLGGCPYAKGASGNVATEDLIYML NGMGLNTGVDLYKVMEAGEFICKAVNKTTNSKVAQASFNARLE >ENSMUSP00000139094.1 pep:known chromosome:GRCm38:9:76014855:76136350:1 gene:ENSMUSG00000007908.14 transcript:ENSMUST00000183425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcll1 description:3-hydroxymethyl-3-methylglutaryl-Coenzyme A lyase-like 1 [Source:MGI Symbol;Acc:MGI:2446108] MGNLPSAAKHCLNYQQLLREHLWSGDSVAGALDAAQEASQLPGLPEYVKIVEVGPRDGLQ NEKVIVPTDIKIELINQLSQTGLSVIEVTSFVSSRWVPQMGINVVDSAVSGLGGCPYAKG ASGNVATEDLIYMLNGMGLNTGVDLYKVMEAGEFICKAVNKTTNSKVAQASFNARLE >ENSMUSP00000138914.1 pep:known chromosome:GRCm38:9:76014984:76134187:1 gene:ENSMUSG00000007908.14 transcript:ENSMUST00000183979.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcll1 description:3-hydroxymethyl-3-methylglutaryl-Coenzyme A lyase-like 1 [Source:MGI Symbol;Acc:MGI:2446108] MGNLPSAAKHCLNYQQLLREHLWSGDSVAGALDAAQEASQLPGLPEYVKIVEVGPRDGLQ NEKVIVPTDIKIELINQLSQTGLSVIEVTSFVSSRWVPQVSKRLYGMGCYEISLGDTIGV GTPGSMKMMLESVMKEIPPGALAVHCHDTYGQALANILTALQMGINVVDSAVSGLGGCPY AKGASGNVATEDLIYMLNGMGLNTGVDLYKVMEAGEFICKAVNKTTNSKVAQASFNARLE >ENSMUSP00000114045.2 pep:known chromosome:GRCm38:9:76015055:76134098:1 gene:ENSMUSG00000007908.14 transcript:ENSMUST00000117981.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcll1 description:3-hydroxymethyl-3-methylglutaryl-Coenzyme A lyase-like 1 [Source:MGI Symbol;Acc:MGI:2446108] MGNLPSAAKHCLNYQQLLREHLWSGDSVAGALDAAQEASQLPGLPEYVKIVEVGPRDGLQ NEKVIVPTDIKIELINQLSQTGLSVIEVTSFVSSRWVPQVAAGATEIAVFGAASESFSKK NINCSIEESMGRFQEVISSARHMDIPVRGYVSCALGCPYEGSITPQKVTEVSKRLYGMGC YEISLGDTIGVGTPGSMKMMLESVMKEIPPGALAVHCHDTYGQALANILTALQMGINVVD SAVSGLGGCPYAKGASGNVATEDLIYMLNGMGLNTGVDLYKVMEAGEFICKAVNKTTNSK VAQASFNARLE >ENSMUSP00000117496.1 pep:known chromosome:GRCm38:7:67231163:67372858:-1 gene:ENSMUSG00000030557.17 transcript:ENSMUST00000156690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2a description:myocyte enhancer factor 2A [Source:MGI Symbol;Acc:MGI:99532] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCESPDADDYFEHSPLSEDRFSKLNE DSDFIFKRGPPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLADSSMLSP PPATLHRNVSPGAPQRPPSTGSASGMLSTTDLTVPNGAGNSPVGNGFVNSRASPNLIGNT GANSLGKVMPTKSPPPPGGGSLGMNSRKPDLRVVIPPSSKGMMPPLSEEEELELNAQRIS SSQATQPLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFTSPGMLSLG QASAWQQHHLGQAALSSLVAGGQLSQGSNLSINTNQNINIKSEPISPPRDRMTPSGFQQQ QQQPQQQPPPQPPQPQPRQEMGRSPVDSLSSSSSSYDGSDREDPRGDFHSPIVLGRPPNT EDRESPSVKRMRMDTWVT >ENSMUSP00000103100.1 pep:known chromosome:GRCm38:7:67231918:67372858:-1 gene:ENSMUSG00000030557.17 transcript:ENSMUST00000107476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2a description:myocyte enhancer factor 2A [Source:MGI Symbol;Acc:MGI:99532] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCESPDADDYFEHSPLSEDRFSKLNE DSDFIFKRGPPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLADSSMLSP PPATLHRNVSPGAPQRPPSTGSASGMLSTTDLTVPNGAGNSPVGNGFVNSRASPNLIGNT GANSLGKVMPTKSPPPPGGGSLGMNSRKPDLRVVIPPSSKGMMPPLNAQRISSSQATQPL ATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFTSPGMLSLGQASAWQQH HLGQAALSSLVAGGQLSQGSNLSINTNQNINIKSEPISPPRDRMTPSGFQQQQQQPQQQP PPQPPQPQPRQEMGRSPVDSLSSSSSSYDGSDREDPRGDFHSPIVLGRPPNTEDRESPSV KRMRMDTWVT >ENSMUSP00000138566.1 pep:known chromosome:GRCm38:7:67232084:67316920:-1 gene:ENSMUSG00000030557.17 transcript:ENSMUST00000135493.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mef2a description:myocyte enhancer factor 2A [Source:MGI Symbol;Acc:MGI:99532] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCESPDADDYFEHSPLSEDRFSKLNE DSDFIFKRGPPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLADSSMLSP PPATLHRNVSPGAPQRPPSTGSASGMLSTTDLTVPNGAGNSPVGNGFVNSRASPNLIGNT GANSLGKVMPTKSPPPPGGGSLGMNSRKPDLRVVIPPSSKGMMPPLSEEEELELNAQRIS SSQATQPLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFTSPGMLSLG QASAWQQHHLGQAALSSLVAGGQLSQGSNLSINTNQNINIKSEPISPPRDRMTPSGFQQQ QQQPQQQPPPQPPQPQPRQEMGRSPVDSLSSSSSSYDGSDREDPRGDFHSPIVLGRPPNT EDRESPSVKRMRMDTWVT >ENSMUSP00000075664.5 pep:known chromosome:GRCm38:7:67234039:67372858:-1 gene:ENSMUSG00000030557.17 transcript:ENSMUST00000076325.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2a description:myocyte enhancer factor 2A [Source:MGI Symbol;Acc:MGI:99532] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEALNKKEHRGCDSPDPDTSYVLTPHTEEKYKKINE EFDNMMRNHKIAPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLADSSML SPPPATLHRNVSPGAPQRPPSTGSASGMLSTTDLTVPNGAGNSPVGNGFVNSRASPNLIG NTGANSLGKVMPTKSPPPPGGGSLGMNSRKPDLRVVIPPSSKGMMPPLNAQRISSSQATQ PLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFTSPGMLSLGQASAWQ QHHLGQAALSSLVAGGQLSQGSNLSINTNQNINIKSEPISPPRDRMTPSGFQQQQQQPQQ QPPPQPPQPQPRQEMGRSPVDSLSSSSSSYDGSDREDPRGDFHSPIVLGRPPNTEDRESP SVKRMRMDTWVT >ENSMUSP00000138645.1 pep:known chromosome:GRCm38:7:67234147:67372858:-1 gene:ENSMUSG00000030557.17 transcript:ENSMUST00000072460.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mef2a description:myocyte enhancer factor 2A [Source:MGI Symbol;Acc:MGI:99532] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVECGLGLWKLRVLRTT >ENSMUSP00000032776.8 pep:known chromosome:GRCm38:7:67234207:67372858:-1 gene:ENSMUSG00000030557.17 transcript:ENSMUST00000032776.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2a description:myocyte enhancer factor 2A [Source:MGI Symbol;Acc:MGI:99532] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEALNKKEHRGCDSPDPDTSYVLTPHTEEKYKKINE EFDNMMRNHKIAPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLADSSML SPPPATLHRNVSPGAPQRPPSTGSASGMLSTTDLTVPNGAGNSPVGNGFVNSRASPNLIG NTGANSLGKVMPTKSPPPPGGGSLGMNSRKPDLRVVIPPSSKGMMPPLNAQRISSSQATQ PLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFTSPGMLSLGQASAWQ QHHLGQAALSSLVAGGQLSQGSNLSINTNQNINIKSEPISPPRDRMTPSGFQQQQQQPQQ QPPPQPPQPQPRQEMGRSPVDSLSSSSSSYDGSDREDPRGDFHSPIVLGRPPNTEDRESP SVKRMRMDTWVT >ENSMUSP00000146872.1 pep:known chromosome:GRCm38:7:67236537:67266162:-1 gene:ENSMUSG00000030557.17 transcript:ENSMUST00000207715.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2a description:myocyte enhancer factor 2A [Source:MGI Symbol;Acc:MGI:99532] PGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLADSSMLSPPPATLHRNVS PGAPQRPPSTGSASGMLSTTDLTVPNGAGNSPVGGGSLGMNSRKPDLRVVIPPSSKGMMP PLSEEEELELNAQRISSSQATQPLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSAD LSALQGFTSPGMLSLGQASAWQQHHLGQAA >ENSMUSP00000116144.1 pep:known chromosome:GRCm38:7:67251809:67372858:-1 gene:ENSMUSG00000030557.17 transcript:ENSMUST00000133074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2a description:myocyte enhancer factor 2A [Source:MGI Symbol;Acc:MGI:99532] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSSNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCESPDADDYFEHSPLSEDRFSKLNE DSDFIFKRGPPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLADSSMLSP PPATLHRNVSPGAPQRPPSTGSASGMLSTTDLTVPNGAGNSPVGNGFVNSRASPN >ENSMUSP00000049161.5 pep:known chromosome:GRCm38:7:127712736:127718192:1 gene:ENSMUSG00000042340.6 transcript:ENSMUST00000047393.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctf1 description:cardiotrophin 1 [Source:MGI Symbol;Acc:MGI:105115] MSQREGSLEDHQTDSSISFLPHLEAKIRQTHNLARLLTKYAEQLLEEYVQQQGEPFGLPG FSPPRLPLAGLSGPAPSHAGLPVSERLRQDAAALSVLPALLDAVRRRQAELNPRAPRLLR SLEDAARQVRALGAAVETVLAALGAAARGPGPEPVTVATLFTANSTAGIFSAKVLGFHVC GLYGEWVSRTEGDLGQLVPGGVA >ENSMUSP00000146199.1 pep:known chromosome:GRCm38:7:127712937:127717330:1 gene:ENSMUSG00000042340.6 transcript:ENSMUST00000206997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctf1 description:cardiotrophin 1 [Source:MGI Symbol;Acc:MGI:105115] MDHQTDSSISFLPHLEAKIRQTHNLARLLTKYAEQLLEEYVQQQGEPFGLPGFSPPRLPL AGLSGPAPSHAGLPVSERLRQDAAALSVLPALLDAVRRRQAELNPRAPRLLRSLEDAARQ VRALGAAVETVLAALGAAARGPGPEPVTV >ENSMUSP00000145586.1 pep:known chromosome:GRCm38:7:127712944:127717590:1 gene:ENSMUSG00000042340.6 transcript:ENSMUST00000206506.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctf1 description:cardiotrophin 1 [Source:MGI Symbol;Acc:MGI:105115] MEDHQTDSSISFLPHLEAKIRQTHNLARLLTKYAEQLLEEYVQQQGEPFGLPGFSPPRLP LAGLSGPAPSHAGLPVSERLRQDAAALSVLPALLDAVRRRQAELNPRAPRLLRSLEDAAR QVRALGAAVETVLAALGAAARGPGPEPVTVATLFTANSTAGIFSAKVLGFHVCGLYGEWV SRTEGDLGQLVPGGVA >ENSMUSP00000139807.1 pep:known chromosome:GRCm38:Y:51125220:51151484:1 gene:ENSMUSG00000096769.7 transcript:ENSMUST00000185327.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21117 description:predicted gene, 21117 [Source:MGI Symbol;Acc:MGI:5434472] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW MVTYVKESFKCSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKY >ENSMUSP00000136710.1 pep:known chromosome:GRCm38:Y:51126655:51148719:1 gene:ENSMUSG00000096769.7 transcript:ENSMUST00000180133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21117 description:predicted gene, 21117 [Source:MGI Symbol;Acc:MGI:5434472] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMVT YVKESFKCSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000133341.2 pep:known chromosome:GRCm38:3:125404076:125728899:1 gene:ENSMUSG00000027971.16 transcript:ENSMUST00000173932.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst4 description:N-deacetylase/N-sulfotransferase (heparin glucosaminyl) 4 [Source:MGI Symbol;Acc:MGI:1932545] MNLILKFRRSFRTLIVLLATFCLVSILISAYFLYSGYKQEMTLIETTAEAECADIKDLPY RSIELRTIKPIDTSKTDPTVLLFVESQYSQLGQDIIAILESSRFQYQMVIAPGKGDIPPL TDSGKGKYTLIIYENILKYVSMDSWNRELLEKYCIEYSVSIIGFHKANENSLPTTQLKGF PLNLFNNVALKDCSVNPQSPLLHITKGPKVEKGPLPGEDWTIFQYNHSTYQPVLLTELQS EKSLSFLSSQTLYATIIQDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLSL DRYILVDIDDIFVGKEGTRMNVKDVKALLETQNLLRTQVANFTFNLGFSGKFYHTGTEEE DEGDDLLLRSVDEFWWFPHMWSHMQPHLFHNESSLVEQMILNKEFALEHGIPINLGYAVA PHHSGVYPVHIQLYAAWKKVWGIQVTSTEEYPHLKPARYRKGFIHNSIMVLPRQTCGLFT HTIFYKEYPGGPQELDKSIKGGELFLTILLNPISIFMTHLSNYGNDRLGLYTFVNLANFV HSWTNLKLQTLPPVQLAHKYFELFPEQKDPLWQNPCDDKRHKDIWSREKTCDHLPKFLVI GPQKTGTTALYLFLLMHPSIISNLPSPKTFEEVQFFNGNNYHKGIEWYMDFFPTPSNITS DFLFEKSANYFHSEEAPKRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPAALRFNF YEVITTGHWAPPDLKTLQRRCLVPGWYAVHIERWLAYFSTSQLLIIDGQQLRSDPATVMD EVQKFLGVTPHYNYSEALTFDPQKGFWCQLLEGGKTKCLGKSKGRKYPPMDSESRTFLSS YYRDHNVELSKLLHRLGQPLPSWLRQELQKVR >ENSMUSP00000120687.2 pep:known chromosome:GRCm38:3:125438714:125612408:1 gene:ENSMUSG00000027971.16 transcript:ENSMUST00000144344.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst4 description:N-deacetylase/N-sulfotransferase (heparin glucosaminyl) 4 [Source:MGI Symbol;Acc:MGI:1932545] XICGEGGHENECQRCEEALLETQNLLRTQVANFTFNLGFSGKFYHTGTEEEDEGDDLLLR SVDEFWWFPHMWSHMQPHLFHNESSLVEQMILNKEFALEHGIPINLGYAVAPHHSGVYPV HIQLYAAWKKVWGIQVTSTEEYPHLKPARYRKGFIHNSIMVLPRQTCGLFTHTIFYKEYP GGPQELDKSIKGGELFLTILLNPDK >ENSMUSP00000133575.1 pep:known chromosome:GRCm38:3:125680987:125728339:1 gene:ENSMUSG00000027971.16 transcript:ENSMUST00000174648.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst4 description:N-deacetylase/N-sulfotransferase (heparin glucosaminyl) 4 [Source:MGI Symbol;Acc:MGI:1932545] METKISIFMTHLSNYGNDRLGLYTFVNLANFVHSWTNLKLQTLPPVQLAHKYFELFPEQK DPLWQNPCDDKRHKDIWSREKTCDHLPKFLVIGPQKTGTTALYLFLLMHPSIISNLPSPK TFEEVQFFNGNNYHKGIEWYMDFFPTPSNITSDFLFEKSANYFHSEEAPKRAASLVPKAK IITILIDPSDRAYSWYQHQRSHEDPAALRFNFYEVITTGHWAPPDLKTLQRRCLVPGWYA VHIERWLAYFSTSQLLIIDGQQLRSDPATVMDEVQKFLGVTPHYNYSEALTFDPQKGFWC QLLEGGKTKCLGKSKGRKYPPMDSESRTFLSSYYRDHNVELSKLLHRLGQPLPSWLRQEL QKVR >ENSMUSP00000142414.1 pep:known chromosome:GRCm38:3:125710086:125724702:1 gene:ENSMUSG00000027971.16 transcript:ENSMUST00000198101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst4 description:N-deacetylase/N-sulfotransferase (heparin glucosaminyl) 4 [Source:MGI Symbol;Acc:MGI:1932545] SDFLFEKSANYFHSEEAPKRAASLVPKAKIITILIDPSDRAYSWYQHQRSHEDPAALRFN FYEVITTGHWAPPDLKTLQRRCLVPGWYAVHIERWLAYFSTSQLLIIDGQQLRSDPATVM DEVQKFLGVTPHYNYSEALTPELSSPATTATTTWNSR >ENSMUSP00000076020.6 pep:known chromosome:GRCm38:9:99006790:99035715:-1 gene:ENSMUSG00000044860.9 transcript:ENSMUST00000076730.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1123 description:predicted gene 1123 [Source:MGI Symbol;Acc:MGI:2685969] MVFLLGFLFLCGVTDHIGSVSITTPEQTIQEVQGETVHLPCMFTLSPEDQGPLDIEWLRL SGPNNEAMDHVIILYAVDKIYSDFYQDMRGRVNFTSNGITSGEASIKIRDVQPADSGTYL CKVKTAPGVAKTTVQLTVVDYIGSVSITTPEQTIEKDQGETVHLPCMFTFISKDQGPLNI EWLRLSGPNNEAMDHVVILYSADKIHDDVYPDLKGRVYFTSNDIKSGDASINITNVQLSD AGTYQCKVKTYPGTVNRNLQLAVTDHIGSVSITTPEQTIQKARGETVHLPCTFTLSPEDH GPLFIDWMQLTGPQNEVVNRMFIVYLADKIYDNFYQDMKGRVQFTSNDIRSGEASINITD ARLSDAGTYQCGVSHAFGTAKGTIQLTVVG >ENSMUSP00000147078.1 pep:known chromosome:GRCm38:7:120677618:120730638:1 gene:ENSMUSG00000046096.7 transcript:ENSMUST00000207065.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC030336 description:cDNA sequence BC030336 [Source:MGI Symbol;Acc:MGI:2446240] MDKLTIISGCLFLAADIFAIASIANPDWINTGESAGWTTCLIPGTHISMGEACSCCSTKL SFGLHAPLSPPTHTSLFYTTIHIDTTNTVFEN >ENSMUSP00000146331.1 pep:known chromosome:GRCm38:7:120677620:120726681:1 gene:ENSMUSG00000046096.7 transcript:ENSMUST00000208454.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC030336 description:cDNA sequence BC030336 [Source:MGI Symbol;Acc:MGI:2446240] MDKLTIISGCLFLAADIFAIASIANPDWINTGESAGALTVGLVRQCQTIHGRDRTCIPPR LPPEWVTTLFFIIMGIISLTVTCGLLVASHWRREATKYARWIAFTGSK >ENSMUSP00000055934.6 pep:known chromosome:GRCm38:7:120677631:120734854:1 gene:ENSMUSG00000046096.7 transcript:ENSMUST00000060175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC030336 description:cDNA sequence BC030336 [Source:MGI Symbol;Acc:MGI:2446240] MDKLTIISGCLFLAADIFAIASIANPDWINTGESAGALTVGLVRQCQTIHGRDRTCIPPR LPPEWVTTLFFIIMGIISLTVTCGLLVASHWRREATKYARWIAFTGMILFCMAALIFPIG FYINEVGGQPYKLPNNTVVGSSYVLFVLSIFFTIVGLLFAGKVCLPG >ENSMUSP00000146833.1 pep:known chromosome:GRCm38:7:120726176:120734271:1 gene:ENSMUSG00000046096.7 transcript:ENSMUST00000208873.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC030336 description:cDNA sequence BC030336 [Source:MGI Symbol;Acc:MGI:2446240] TCIPPRLPPEWVTTLFFIIMGIISLTVTCGLLVASHWRREATKYARWIAFTGRWYSEMGY LLRSDLEWKTWVSPHQCVCYSAVSVLNCE >ENSMUSP00000048857.6 pep:known chromosome:GRCm38:17:87433412:87446935:-1 gene:ENSMUSG00000036438.12 transcript:ENSMUST00000040440.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calm2 description:calmodulin 2 [Source:MGI Symbol;Acc:MGI:103250] MADQLTEEQIAEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADG NGTIDFPEFLTMMARKMKDTDSEEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDE EVDEMIREADIDGDGQVNYEEFVQMMTAK >ENSMUSP00000075459.2 pep:known chromosome:GRCm38:7:127718106:127725616:-1 gene:ENSMUSG00000060034.5 transcript:ENSMUST00000076091.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctf2 description:cardiotrophin 2 [Source:MGI Symbol;Acc:MGI:2684607] MYCLLATPLCLLSLLLPPLSPAAPISPSEPIGQAYSLALYMQKNTSALLQTYLQHQGSPF SDPGFSAPELQLSTLPSAAVSFKTWHAMEDAERLSRAQGAFLALTQHLQLVGDDQSYLNP GSPILLAQLGAARLRAQGLLGNMAAIMTALGLPIPPEEDTLGFVPFGASAFERKCRGYIV TREYGHWTDRAVRDLALLKAKYSA >ENSMUSP00000145867.1 pep:known chromosome:GRCm38:7:127718106:127732166:-1 gene:ENSMUSG00000060034.5 transcript:ENSMUST00000206038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctf2 description:cardiotrophin 2 [Source:MGI Symbol;Acc:MGI:2684607] MQKNTSALLQTYLQHQGSPFSDPGFSAPELQLSTLPSAAVSFKTWHAMEDAERLSRAQGA FLALTQHLQLVGDDQSYLNPGSPILLAQLGAARLRAQGLLGNMAAIMTALGLPIPPEEDT LGFVPFGASAFERKCRGYIVTREYGHWTDRAVRDLALLKAKYSA >ENSMUSP00000087009.4 pep:known chromosome:GRCm38:2:130417683:130424278:-1 gene:ENSMUSG00000037773.14 transcript:ENSMUST00000089581.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pced1a description:PC-esterase domain containing 1A [Source:MGI Symbol;Acc:MGI:2442177] MVFCLENEMPHRLLGSAMVHFHASEVQQLLHNKFVVILGDSIQRAVYKDLVLLLQKDTLL TASQLKAKGELSFEQDQLVAGGQLGELHNGTQYREVRQFCSGSGHHLVRFYFLTRVYSEY LEDILEELSYGPAPDLVIINSCLWDLSRYGRCSMESYRENLERVFVRMDQVLPDSCLLVW NLAMPLGERVTGGFLLPELQPLAVSLRQDVVEGNFYSATLAGKHCFDVLDLHFHFRHAVR HRHRDGVHWDQHAHRHLSHLLLAHVADAWGVELPKHDRLPDPWIEDWSEMDHSFQGSHKQ PPDFREKLALPLLPPFHLPPPMSFPYPLEPSPPPLFPPLPQDTPFFQGQPFPPYEFKYNA MEDFSMPGCGPGMNFVPGPLPPSVSGPVSHGQHRGPVVHRGKPRCVLNNPYHVPRIGGPC RHRLRHSDRLIHTYKQDRRGHAHSGTWPG >ENSMUSP00000105906.1 pep:known chromosome:GRCm38:2:130418959:130424687:-1 gene:ENSMUSG00000037773.14 transcript:ENSMUST00000110277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pced1a description:PC-esterase domain containing 1A [Source:MGI Symbol;Acc:MGI:2442177] MVFCLENEMPHRLLGSAMVHFHASEVQQLLHNKFVVILGDSIQRAVYKDLVLLLQKDTLL TASQLKAKGELSFEQDQLVAGGQLGELHNGTQYREVRQFCSGSGHHLVRFYFLTRVYSEY LEDILEELSYGPAPDLVIINSCLWDLSRYGRCSMESYRENLERVFVRMDQVLPDSCLLVW NLAMPLGERVTGGFLLPELQPLAVSLRQDVVEGNFYSATLAGKHCFDVLDLHFHFRHAVR HRHRDGVHWDQHAHRHLSHLLLAHVADAWGVELPKHDRLPDPWIEDWSEMDHSFQGSHKQ PPDFREKLALPLLPPFHLPPPMSFPYPLEPSPPPLFPPLPQDTPFFQGQPFPPYEFKYNA MEDFSMPGCGPGMNFVPGPLPPSVSGPVSHGQHRGPVVHRGKPRCVLNNPYHVPRIGGPC RHRLRHSDRLIHTYKQDRRGHAHSGTWPG >ENSMUSP00000101741.1 pep:known chromosome:GRCm38:11:120789201:120796403:-1 gene:ENSMUSG00000025155.15 transcript:ENSMUST00000106135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dus1l description:dihydrouridine synthase 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915980] MPKLQGFEFWSRTLGGARHVVAPMVDQSELAWRLLSRRHGAQLCYTPMLHAQVFVRDANY RKENLYCDVCPEDRPLIVQFCANDPEVFVQAALLAQDYCDAIDLNLGCPQMIAKRGHYGA FLQEEWDLLQRMILLAHERLSVPVTCKIRVFPEIDKTVRYAQMLEKAGCQLLTVHGRTKE QKGPMAGTASWEHIKAVRKAVGIPVFANGNIQCLQDVERCIQDTGVQGVMSAEGNLHNPA LFEGRSPAVWELAEEYLDIVRQHPCPLSYVRAHLFKLWHHTLQVHQQLREELAKVKTLEG VAAVSQALKLRCQAGLGLLQEDMSRQQEGVRPADNLPAFHWICQPYIRPGPREGSKENSG GRSKRALEEEEGSMEGLSKNKLKKQLRNPHKTFDPSLKPKYAKCDQCGNPKGNRCVFNLC RGCCKKRAFRETADCPGHGLLFKTKLEKSLAWKGTQPGLQEAQQVRPVTPSGFSEVVGSA LA >ENSMUSP00000026151.4 pep:known chromosome:GRCm38:11:120789202:120796395:-1 gene:ENSMUSG00000025155.15 transcript:ENSMUST00000026151.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dus1l description:dihydrouridine synthase 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915980] MPKLQGFEFWSRTLGGARHVVAPMVDQSELAWRLLSRRHGAQLCYTPMLHAQVFVRDANY RKENLYCDVCPEDRPLIVQFCANDPEVFVQAALLAQDYCDAIDLNLGCPQMIAKRGHYGA FLQEEWDLLQRMILLAHERLSVPVTCKIRVFPEIDKTVRYAQMLEKAGCQLLTVHGRTKE QKGPMAGTASWEHIKAVRKAVGIPVFANGNIQCLQDVERCIQDTGVQGVMSAEGNLHNPA LFEGRSPAVWELAEEYLDIVRQHPCPLSYVRAHLFKLWHHTLQVHQQLREELAKVKTLEG VAAVSQALKLRCQAGLGLLQEDMSRQQEGVRPADNLPAFHWICQPYIRPGPREGSKENSG GRSKRALEEEEGSMEGLSKNKLKKQLRNPHKTFDPSLKPKYAKCDQCGNPKGNRCVFNLC RGCCKKRAFRETADCPGHGLLFKTKLEKSLAWKGTQPGLQEAQQVRPVTPSGFSEVVGSA LA >ENSMUSP00000101739.1 pep:known chromosome:GRCm38:11:120789206:120796398:-1 gene:ENSMUSG00000025155.15 transcript:ENSMUST00000106133.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dus1l description:dihydrouridine synthase 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915980] MPKLQGFEFWSRTLGGARHVVAPMVDQSELAWRLLSRRHGAQLCYTPMLHAQVFVRDANY RKENLYCDVCPEDRPLIVQFCANDPEVFVQAALLAQDYCDAIDLNLGCPQMIAKRGHYGA FLQEEWDLLQRMILLAHERLSVPVTCKIRVFPEIDKTVRYAQMLEKAGCQLLTVHGRTKE QKGPMAGTASWEHIKAVRKAVGIPVFANGNIQCLQDVERCIQDTGVQGVMSAEGNLHNPA LFEGRSPAVWELAEEYLDIVRQHPCPLSYVRAHLFKLWHHTLQVHQQLREELAKVKTLEG VAAVSQALKLRCQAGLGLLQEDMSRQQEGVRPADNLPAFHWICQPYIRPGPREGSKENSG GRSKRALEEEEGSMEGLSKNKLKKQLRNPHKTFDPSLKPKYAKCDQCGNPKGNRCVFNLC RGCCKKRAFRETADCPGHGLLFKTKLEKSLAWKGTQPGLQEAQQVRPVTPSGFSEVVGSA LA >ENSMUSP00000118773.1 pep:known chromosome:GRCm38:11:120792774:120796377:-1 gene:ENSMUSG00000025155.15 transcript:ENSMUST00000143139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dus1l description:dihydrouridine synthase 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915980] MPKLQGFEFWSRTLGGARHVVAPMVDQSELAWRLLSRRHGAQLCYTPMLHAQVFVRDANY RKENLYCDVCPEDRPLIVQAALLAQDYCDAIDLNLGCPQMIAKRGHYGAFLQEEWDLLQR MILLAHERLSVPVTCKIRVFPEIDKTVRYAQMLEKAGCQLLTVHGRTKEQKGPMAGTASW EHIKAVRKAVGIPV >ENSMUSP00000116619.1 pep:known chromosome:GRCm38:11:120793845:120796393:-1 gene:ENSMUSG00000025155.15 transcript:ENSMUST00000129955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dus1l description:dihydrouridine synthase 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915980] MPKLQGFEFWSRTLGGARHVVAPMVDQSELAWRLLSRRHGAQLCYTPMLHAQVFVRDANY RKENLYCDVCPEDRPLIVQFCANDPEVFVQAALLAQDYCDAIDLNLGCPQMIAKRGHYGA FLQEEWDLLQRMILLAHERLSVPVTCKIRVFPEIDKTVRYAQMLEK >ENSMUSP00000132516.1 pep:known chromosome:GRCm38:11:120789202:120796395:-1 gene:ENSMUSG00000025155.15 transcript:ENSMUST00000167023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dus1l description:dihydrouridine synthase 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915980] MPKLQGFEFWSRTLGGARHVVAPMVDQSELAWRLLSRRHGAQLCYTPMLHAQVFVRDANY RKENLYCDVCPEDRPLIVQFCANDPEVFVQAALLAQDYCDAIDLNLGCPQMIAKRGHYGA FLQEEWDLLQRMILLAHERLSVPVTCKIRVFPEIDKTVRYAQMLEKAGCQLLTVHGRTKE QKGPMAGTASWEHIKAVRKAVGIPVFANGNIQCLQDVERCIQDTGVQGVMSAEGNLHNPA LFEGRSPAVWELAEEYLDIVRQHPCPLSYVRAHLFKLWHHTLQVHQQLREELAKVKTLEG VAAVSQALKLRCQEDMSRQQEGVRPADNLPAFHWICQPYIRPGPREGSKENSGGRSKRAL EEEEGSMEGLSKNKLKKQLRNPHKTFDPSLKPKYAKCDQCGNPKGNRCVFNLCRGCCKKR AFRETADCPGHGLLFKTKLEKSLAWKGTQPGLQEAQQVRPVTPSGFSEVVGSALA >ENSMUSP00000033008.9 pep:known chromosome:GRCm38:7:114264606:114276118:-1 gene:ENSMUSG00000030751.17 transcript:ENSMUST00000033008.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma1 description:proteasome (prosome, macropain) subunit, alpha type 1 [Source:MGI Symbol;Acc:MGI:1347005] MFRNQYDNDVTVWSPQGRIHQIEYAMEAVKQGSATVGLKSKTHAVLVALKRAQSELAAHQ KKILHVDNHIGISIAGLTADARLLCNFMRQECLDSRFVFDRPLPVSRLVSLIGSKTQIPT QRYGRRPYGVGLLIAGYDDMGPHIFQTCPSANYFDCRAMSIGARSQSARTYLERHMSEFM ECNLDELVKHGLRALRETLPAEQDLTTKNVSIGIVGKDLEFTIYDDDDVSPFLDGLEERP QRKAQPSQAAEEPAEKADEPMEH >ENSMUSP00000038418.3 pep:known chromosome:GRCm38:4:11199158:11254258:-1 gene:ENSMUSG00000040738.10 transcript:ENSMUST00000044616.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints8 description:integrator complex subunit 8 [Source:MGI Symbol;Acc:MGI:1919906] MSAEAADREAATSSRPCTPPQTCWFEFLLEESLLEKHLRKACPDPAPVQLIVQFLEQASK PSVNEQNQVQPPPDNKRNRVLKLLALKVAAHLKWDLDILEKSLSVPVLNMLLNELLCISK VPPGTKHVDMDLSALPPTTAMAILLYNRWAIRTIVQSSFPVKQAKPGPPQLNVMNQMQQE KELTENILKVLKEQAADCILVLEAALRLNKDLYVHTMRTLDLLAVEPGTVNGETENSTAG LKIRTEEMQCQVCYDLGAAYFQQGSTDSAIYENAREKFFRTKELLAEIGSLSLHCTIDEK RLAGYCQACDVLVPSSDNNSQQLTPYSQVHICLRSGSYQEVVQIFIEDNLTFTLPVQFRQ SVLRELFQKAQQGNEALDEICFKICVCNTVRDVLEGRTISVQFNQLFLRPNKEKIDFLLE VCSRSINVEKASDSLKGNMAAFLKNVCLGLEDLQYVFMISSHELFITLLKDEERKLLVDQ MRKRSPRVNLCIKPVTSFYDIPASASVNIGQLEHQLILSVDPWRIRQILIELHGMTSERQ FWTVSNKWEVPSVYSSVILGIKDNLTRDLVYILMAKGLHCSTVKDFPHSKQLFTACLELV TEFSPKLRQVMLNEMLLLDIRTHEAGVGQSGERPPSDLISRVRGYLEMRLPDIPLRQVVA EECVAFMLNWRENEYLTLQVPAFLFQNNPYVKLGQLLAATCKELPGPKESRRTAKDLWEV VVQICSVSNQHKRGNDGRVSLIKQRESTLGIMYRSELLSFIKKLREPLVLTIILSLFVKL HNVREDIVNDITAEHISIWPSSIPNLQSVDFEAVAITVKELVRYTLSINPNNHAWLIIQA DIYFATNQHSAALHYYLQAGAVCSDFFNKAVPPDVYTDQVIKRMIKCCSLLNCHTQVAIL CQFLREIDYKTAFKSLQEQNSHDAMDSYYDYIWDVTILEYLTYLHHKRGETDKRQIAIKA IGQTELNASNPEEVLQLAAQRRKKKFLQAMAKLYF >ENSMUSP00000103955.2 pep:known chromosome:GRCm38:4:11204383:11254258:-1 gene:ENSMUSG00000040738.10 transcript:ENSMUST00000108319.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints8 description:integrator complex subunit 8 [Source:MGI Symbol;Acc:MGI:1919906] MSAEAADREAATSSRPCTPPQTCWFEFLLEESLLEKHLRKACPDPAPVQLIVQFLEQASK PSVNEQNQVQPPPDNKRNRVLKLLALKVAAHLKWDLDILEKSLSVPVLNMLLNELLCISK VPPGTKHVDMDLSALPPTTAMAILLYNRWAIRTIVQSSFPVKQAKPGPPQLNVMNQMQQE KELTENILKVLKEQAADCILVLEAALRLNKDLYVHTMRTLDLLAVEPGTVNGETENSTAG LKIRTEEMQCQVCYDLGAAYFQQGSTDSAIYENAREKFFRTKELLAEIGSLSLHCTIDEK RLAGYCQACDVLVPSSDNNSQQLTPYSQVHICLRSGSYQEVVQIFIEDNLTFTLPVQFRQ SVLRELFQKAQQGNEALDEICFKICVCNTVRDVLEGRTISVQFNQLFLRPNKEKIDFLLE VCSRSINVEKASDSLKGNMAAFLKNVCLGLEDLQYVFMISSHELFITLLKDEERKLLVDQ MRKRSPRVNLCIKPVTSFYDIPASASVNIGQLEHQLILSVDPWRIRQILIELHGMTSERQ FWTVSNKWEVPSVYSSVILGIKDNLTRDLVYILMAKGLHCSTVKDFPHSKQLFTACLELV TEFSPKLRQVMLNEMLLLDIRTHEAGVGQSGERPPSDLISRVRGYLEMRLPDIPLRQVVA EECVAFMLNWRENEYLTLQVPAFLFQNNPYVKLGQLLAATCKELPGPKESRRTAKDLWEV VVQICSVSNQHKRGNDGRVSLIKQRESTLGIMYRSELLSFIKKLREPLVLTIILSLFVKL HNVREDIVNDITAEHISIWPSSIPNLQSVDFEAVAITVKELVRYTLSINPNNHAWLIIQA DIYFATNQHSAALHYYLQAGAVCSDFFNKAVPPDVYTDQVIKRMIKCCSLLNCHTQVAIL CQFLREIDYKTAFKSLQEQNSHDAMDSYYDYIWDVTILEYLTYLHHKRGETDKRQIAIKA IGQTELNASNPEEVLQLAAQRRKKKFLQAMAKLYF >ENSMUSP00000103954.2 pep:known chromosome:GRCm38:4:11204383:11254258:-1 gene:ENSMUSG00000040738.10 transcript:ENSMUST00000108318.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints8 description:integrator complex subunit 8 [Source:MGI Symbol;Acc:MGI:1919906] MSAEAADREAATSSRPCTPPQTCWFEFLLEESLLEKHLRKACPDPAPVQLIVQFLEQASK PSVNEQNQVQPPPDNKRNRVLKLLALKVAAHLKWDLDILEKSLSVPVLNMLLNELLCISK VPPGTKHVDMDLSALPPTTAMAILLYNRWAIRTIVQSSFPVKQAKPGPPQLNVMNQMQQE KELTENILKVLKEQAADCILVLEAALRLNKDLYVHTMRTLDLLAVEPGTVNGETENSTAG LKIRTEEMQCQVCYDLGAAYFQQGSTDSAIYENAREKFFRTKELLAEIGSLSLHCTIDEK RLAGYCQACDVLVPSSDNNSQQLTPYSQVHICLRSGSYQEVVQIFIEDNLTFTLPVQFRQ SVLRELFQKAQQGNEALDEICFKICVCNTVRDVLEGRTISVQFNQLFLRPNKEKIDFLLE VCSRSINVEKASDSLKGNMAAFLKNVCLGLEDLQYVFMISSHELFITLLKDEERKLLVDQ MRKRSPRVNLCIKPVTSFYDIPASASVNIGQLEHQLILSVDPWRIRQILIELHGMTSERQ FWTVSNKWEVPSVYSSVILGIKDNLTRDLVYILMAKGLHCSTVKDFPHSKQLFTACLELV TEFSPKLRQVMLNEMLLLDIRTHEAGVGQSGERPPSDLISRVRGYLEMRLPDIPLRQVVA EECVAFMLNWRENEYLTLQVPAFLFQNNPYVKLGQLLAATCKELPGPKESRRTAKDLWEV VVQICSVSNQHKRGNDGRVSLIKQRESTLGIMYRSELLSFIKKLREPLVLTIILSLFVKL HNVREDIVNDITAEHISIWPSSIPNLQSVDFEAVAITVKELVRYTLSINPNNHAWLIIQA DIYFATNQHSAALHYYLQAGAVCSDFFNKAVPPDVYTDQVAILCQFLREIDYKTAFKSLQ EQNSHDAMDSYYDYIWDVTILEYLTYLHHKRGETDKRQIAIKAIGQTELNASNPEEVLQL AAQRRKKKFLQAMAKLYF >ENSMUSP00000036596.5 pep:known chromosome:GRCm38:14:51070311:51071442:-1 gene:ENSMUSG00000035932.5 transcript:ENSMUST00000048478.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr750 description:olfactory receptor 750 [Source:MGI Symbol;Acc:MGI:3030584] MAPRANQSVGTTEFVLAGFPNLNSTGAEVFSVFLFVYLLTLTGNTLIVVLVGADHRLQTP MYFFLANLSCLEILITSVIIPKMLSNFLSRRHTISFAACITQFYFYFFLGASEFLLLAVM SVDRYLAICRPLHYPLLMNGAVCFRVALACWMGGLLPVLGPTVAVALLPFCKQGAVVQHF FCDSGPLLHLACTNTTRLEEADFVLAFLVIMSSLTITGASYGHIVLAVLRIPSASGRQKA FSTCTSHLMVVTLFYGSAIFLYVRPSQSGSVDTNWSVTVITTFVTPLLNPFIYALRNDQV KEALKEMFRKVVAKLLEQSLLGDSLRKKTAS >ENSMUSP00000135311.1 pep:known chromosome:GRCm38:6:58265078:58266162:1 gene:ENSMUSG00000094291.1 transcript:ENSMUST00000176874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r28 description:vomeronasal 1 receptor 28 [Source:MGI Symbol;Acc:MGI:2159461] MSSLENVFYIQAGLGVLANMFLLFFYTSIILGDRSKPMDLISCQLTFIHIMMILSEGDNL LANILESLKFGNDVKCKTTFYINRVMRGLSICITCLLSVFQAVTISPSTSLLAKFKNKVK THIISAFFYFWSFNLSFSSRLIFYVAGFTNVSETYQMKVTKSCSLYPMNYIIRGSILTVI IARDVFLVGVMLTTSTYMVITLCRHQMQCKHLHNISYLRASPEKRATQTILLLVVFFVVM YWLDFIISFTSTLSWKYVPVVLTVQKLVMYAYPTLTPLVQITSDKRIISILKNMHSKFHQ IF >ENSMUSP00000139243.1 pep:known chromosome:GRCm38:15:102525946:102625073:-1 gene:ENSMUSG00000099083.7 transcript:ENSMUST00000184906.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7 description:activating transcription factor 7 [Source:MGI Symbol;Acc:MGI:2443472] MGDDRPFVCSAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARTDSVIIADQTPTPTRFLKN CEEVGLFNELASSFEHEFKKASDDDEKKGAAGPLDMSLPSTPDIKIKEEEPVEVDSSPPD SPASSPCSPPLKEKEVTTKPVVISTPTPTIVRPGSLPLHLGYDPLHPTLPSPTSVITQAP PSNRQIGSPTGSLPLVMHLANGQTMPMLPGPPVQMPSVISLARPVSMVPNIPGIPGPPVN NSGSISPSGHPMPSEAKMRLKATLTHQVSSINGGCGMVVGTASTMVTARPEQNQILIQHP DAPSPAQPQVSPAQPTPSTGGRRRRTVDEDPDERRQRFLERNRAAASRCRQKRKLWVSSL EKKAEELTSQNIQLSNEVTLLRNEVAQLKQLLLAHKDCPVTALQKKTQGYLEHQKPDLEG LPLQ >ENSMUSP00000139181.1 pep:known chromosome:GRCm38:15:102528636:102625450:-1 gene:ENSMUSG00000099083.7 transcript:ENSMUST00000184616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7 description:activating transcription factor 7 [Source:MGI Symbol;Acc:MGI:2443472] MGDDRPFVCSAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARTDSVIIADQTPTPTRFLKN CEEVGLFNELASSFEHEFKKASDDDEKKGAAGPLDMSLPSTPDIKIKEEEPVEVDSSPPD SPASSPCSPPLKEKEVTTKPVVISTPTPTIVRPGSLPLHLGYDPLHPTLPSPTSVITQAP PSNRQIGSPTGSLPLVMHLANGQTMPMLPGPPVQMPSVISLARPVSMVPNIPGIPGPPVN NSGSISPSGHPMPSEAKMRLKATLTHQVSSINGGCGMVVGTASTMVTARPEQNQILIQHP DAPSPAQPQVSPAQPTPSTGGRRRRTVDEDPDERRQRFLERNRAAASRCRQKRKLWVSSL EKKAEELTSQNIQLSNEVTLLRNEVAQLKQLLLAHKDCPVTALQKKTQGYLESPKESSEP TGSPAPVIQHSSASAPSNGLSVRSAAEAVATSVLTQMASQRTELSMPIQSHVIMTPQSQS AGR >ENSMUSP00000139308.1 pep:known chromosome:GRCm38:15:102533572:102625439:-1 gene:ENSMUSG00000099083.7 transcript:ENSMUST00000184485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7 description:activating transcription factor 7 [Source:MGI Symbol;Acc:MGI:2443472] MGDDRPFVCSAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARTDSVIIADQTPTPTRFLKN CEEVGLFNELASSFEHEFKKASDDDEKKGAAGPLDMSLPSTPDIKIKEEEPVEVDSSPPD SPASSPCSPPLKEKEVTTKPVVISTPTPTIVRPGSLPLHLGYDPLHPTLPSPTSVITQAP PSNRQIGSPTGSLPLVMHLANGQTMPMLPGPPVQMPSVISLARPVSMVPNIPGIPGPPVN NSGSISPSGHPMPSEAKMRLKATLTHQVSSINGGCGMVVGTASTMVTARPEQNQILIQHP DAPSPAQPQVSPAQPTPSTGGRRRRTVDEDPDERRQRFLERNRAAASRCRQKRKLWVSSL EKKAEELTSQNIQLSNEVTLLRNEVAQLKQLLLAHKDCPVTALQKKTQGYLESPKESSEP TGSPAPVIQHSSASAPSNGLSVRSAAEAVATSVLTQMASQRTELSMPIQSHVIMTPQSQS AGR >ENSMUSP00000104456.1 pep:known chromosome:GRCm38:15:102536643:102625453:-1 gene:ENSMUSG00000099083.7 transcript:ENSMUST00000108828.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7 description:activating transcription factor 7 [Source:MGI Symbol;Acc:MGI:2443472] MGDDRPFVCSAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARTDSVIIADQTPTPTRFLKN CEEVGLFNELASSFEHEFKKASDDDEKKGAAGPLDMSLPSTPDIKIKEEEPVEVDSSPPD SPASSPCSPPLKEKEVTTKPVVISTPTPTIVRPGSLPLHLGYDPLHPTLPSPTSVITQAP PSNRQIGSPTGSLPLVMHLANGQTMPMLPGPPVQMPSVISLARPVSMVPNIPGIPGPPVN NSGSISPSGHPMPSEAKMRLKATLTHQVSSINGGCGMVVGTASTMVTARPEQNQILIQHP DAPSPAQPQVSPAQPTPSTGGRRRRTVDEDPDERRQRFLERNRAAASRCRQKRKLWVSSL EKKAEELTSQNIQLSNEVTLLRNEVAQLKQLLLAHKDCPVTALQKKTQGYLGK >ENSMUSP00000130130.1 pep:known chromosome:GRCm38:15:102537323:102625088:-1 gene:ENSMUSG00000099083.7 transcript:ENSMUST00000169033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7 description:activating transcription factor 7 [Source:MGI Symbol;Acc:MGI:2443472] MGDDRPFVCSAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARTDSVIIADQTPTPTRFLKN CEEVGLFNELASSFEHEFKKASDDDEKKGAAGPLDMSLPSTPDIKIKEEEPVEVDSSPPD SPASSPCSPPLKEKEVTTKPVVISTPTPTIVRPGSLPLHLGYDPLHPTLPSPTSVITQAP PSNRQIGSPTGSLPLVMHLANGQTMPMLPGPPVQMPSVISLARPVSMVPNIPGIPGPPVN NSGSISPSGHPMPSEAKMRLKATLTHQVSSINGGCGMVVGTASTMVTARPEQNQILIQHP DAPSPAQPQVSPAQPTPSTGGRRRRTVDEDPDERRQRFLERNRAAASRCRQKRKLWVSSL EKKAEELTSQNIQLSNEVTLLRNEVAQLKQLLLAHKDCPVTALQKKTQGYLGK >ENSMUSP00000139367.1 pep:known chromosome:GRCm38:15:102547775:102625464:-1 gene:ENSMUSG00000099083.7 transcript:ENSMUST00000183452.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atf7 description:activating transcription factor 7 [Source:MGI Symbol;Acc:MGI:2443472] MGDDRPFVCSAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARTDSVIIAGCCWAS >ENSMUSP00000139054.1 pep:known chromosome:GRCm38:15:102547827:102624922:-1 gene:ENSMUSG00000099083.7 transcript:ENSMUST00000183765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7 description:activating transcription factor 7 [Source:MGI Symbol;Acc:MGI:2443472] MGDDRPFVCSAPGCGQRFTNEDHLAVHKHKHEMTLKFGPARTDSVIIADQTPTPTRFLKN CEEVGLFNELASSFEHEFKKASDDDEKKGAAGPLDMSLPSTPDIKIKEEEPVEVDSSPPD SPASSPCSPPLKEKEVTTKPVVISTPTPTIVRPGSLPLHLGYDPLHPTLPSPTSVITQAP PSNRQIGSPTGSLPLVMHLANG >ENSMUSP00000139217.1 pep:known chromosome:GRCm38:15:102551442:102625067:-1 gene:ENSMUSG00000099083.7 transcript:ENSMUST00000184077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7 description:activating transcription factor 7 [Source:MGI Symbol;Acc:MGI:2443472] MSLPSTPDIKIKEEEPVEVDSSPPDSPASSPCSPPLKEKEVTTKPVVISTPTPTIVRPGS LPLHLGYDPLHPTLPSPTS >ENSMUSP00000139379.1 pep:known chromosome:GRCm38:15:102551442:102625446:-1 gene:ENSMUSG00000099083.7 transcript:ENSMUST00000185070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7 description:activating transcription factor 7 [Source:MGI Symbol;Acc:MGI:2443472] MSLPSTPDIKIKEEEPVEVDSSPPDSPASSPCSPPLKEKEVTTKPVVISTPTPTIVRPGS LPLHLGYDPLHPTLPSPTS >ENSMUSP00000093857.1 pep:known chromosome:GRCm38:15:102528636:102529025:-1 gene:ENSMUSG00000099083.7 transcript:ENSMUST00000096143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7 description:activating transcription factor 7 [Source:MGI Symbol;Acc:MGI:2443472] MNIALFYILLTCSNTSPSSRNSTQSGSPQAPVVDSDRWEDTVLWAVLLQKCLLSFPSSQF SMTPVSRLSQSPGAGLRQGLSALLHNYPFFLPPCHLAVITQPCLLPPSLALTYTVPYLCC KYNIKLSRE >ENSMUSP00000101499.1 pep:known chromosome:GRCm38:4:134343181:134352677:1 gene:ENSMUSG00000028836.14 transcript:ENSMUST00000105873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a2 description:solute carrier family 30 (zinc transporter), member 2 [Source:MGI Symbol;Acc:MGI:106637] MQTMDKQNLLESTRGARSFLGSLWKSEASRIPPVDLPAVELAVQSNHYCHAQKDSGSHPD PEKQRARRKLYVASAICLVFMIGEIIEILGALLSVLSIWVVTGVLVYLAVQRLISGDYEI KGDTMLITSGCAVAVNLIMGLALHQSGHGHSHGNSRDDSSQQQNPSVRAAFIHVIGDLLQ SVGVLVAAYIIYFKPEYKYVDPICTFLFSILVLGTTLTILRDVILVLMEGTPKGVDFTTV KNLLLSVDGVEALHSLHIWALTVAQPVLSVHIAIAQNADAQAVLKVARDRLQGKFNFHTM TIQIEKYSEDMKNCQACQGPLE >ENSMUSP00000101500.2 pep:known chromosome:GRCm38:4:134343206:134354484:1 gene:ENSMUSG00000028836.14 transcript:ENSMUST00000105874.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a2 description:solute carrier family 30 (zinc transporter), member 2 [Source:MGI Symbol;Acc:MGI:106637] MQTMDKQNLLESTRGARSFLGSLWKSEASRIPPVDLPAVELAVQSNHYCHAQKDSGSHPD PEKQRARRKLYVASAICLVFMIGEIIGGYLAQSLAIMTDAAHLLTDFASMLISLFALWVS SRPATKTMNFGWHRAEILGALLSVLSIWVVTGVLVYLAVQRLISGDYEIKGDTMLITSGC AVAVNLIMGLALHQSGHGHSHGNSRDDSSQQQNPSVRAAFIHVIGDLLQSVGVLVAAYII YFKPEYKYVDPICTFLFSILVLGTTLTILRDVILVLMEGTPKGVDFTTVKNLLLSVDGVE ALHSLHIWALTVAQPVLSVHIAIAQNADAQAVLKVARDRLQGKFNFHTMTIQIEKYSEDM KNCQACQGPLE >ENSMUSP00000101498.1 pep:known chromosome:GRCm38:4:134343466:134354477:1 gene:ENSMUSG00000028836.14 transcript:ENSMUST00000105872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a2 description:solute carrier family 30 (zinc transporter), member 2 [Source:MGI Symbol;Acc:MGI:106637] MIGEIIGGYLAQSLAIMTDAAHLLTDFASMLISLFALWVSSRPATKTMNFGWHRAEILGA LLSVLSIWVVTGVLVYLAVQRLISGDYEIKGDTMLITSGCAVAVNLIMGLALHQSGHGHS HGNSRDDSSQQQNPSVRAAFIHVIGDLLQSVGVLVAAYIIYFKPEYKYVDPICTFLFSIL VLGTTLTILRDVILVLMEGTPKGVDFTTVKNLLLSVDGVEALHSLHIWALTVAQPVLSVH IAIAQNADAQAVLKVARDRLQGKFNFHTMTIQIEKYSEDMKNCQACQGPLE >ENSMUSP00000079875.5 pep:known chromosome:GRCm38:4:134343709:134353186:1 gene:ENSMUSG00000028836.14 transcript:ENSMUST00000081094.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a2 description:solute carrier family 30 (zinc transporter), member 2 [Source:MGI Symbol;Acc:MGI:106637] MIGEIIGGYLAQSLAIMTDAAHLLTDFASMLISLFALWVSSRPATKTMNFGWHRAEILGA LLSVLSIWVVTGVLVYLAVQRLISGDYEIKGDTMLITSGCAVAVNLIMGLALHQSGHGHS HGNSRDDSSQQQNPSVRAAFIHVIGDLLQSVGVLVAAYIIYFKPEYKYVDPICTFLFSIL VLGTTLTILRDVILVLMEGTPKGVDFTTVKNLLLSVDGVEALHSLHIWALTVAQPVLSVH IAIAQNADAQAVLKVARDRLQGKFNFHTMTIQIEKYSEDMKNCQACQGPLE >ENSMUSP00000032457.9 pep:known chromosome:GRCm38:6:114643097:114860614:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000032457.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPTRLT LEFSAFDMSASTPAHCCPAMGTLHNTNTLEAFKTADKKLLLEQSANEIWEAIKSGAALEN PMLLNKFLLLTFADLKKYHFYYWFCCPALCLPESIPLIRGPVSLDQRLSPKQIQALEHAY DDLCRAEGVTALPYFLFKYDDDTVLVSLLKHYSDFFQGQRTKITVGVYDPCNLAQYPGWP LRNFLVLAAHRWSGSFQSVEVLCFRDRTMQGARDVTHSIIFEVKLPEMAFSPDCPKAVGW EKNQKGGMGPRMVNLSGCMDPKRLAESSVDLNLKLMCWRLVPTLDLDKVVSVKCLLLGAG TLGCNVARTLMGWGVRHVTFVDNAKISYSNPVRQPLYEFEDCLGGGKPKALAAAERLQKI FPGVNARGFNMSIPMPGHPVNFSDVTMEQARRDVEQLEQLIDNHDVIFLLMDTRESRWLP TVIAASKRKLVINAALGFDTFVVMRHGLKKPKQQGAGDLCPSHLVAPADLGSSLFANIPG YKLGCYFCNDVVAPGDSTRDRTLDQQCTVSRPGLAVIAGALAVELMVSVLQHPEGGYAIA SSSDDRMNEPPTSLGLVPHQIRGFLSRFDNVLPVSLAFDKCTACSPKVLDQYEREGFTFL AKVFNSSHSFLEDLTGLTLLHQETQAAEIWDMSDEETV >ENSMUSP00000133215.3 pep:known chromosome:GRCm38:6:114643112:114860612:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000169310.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MHTGLWKIPTSTVVRPTPHCFPAMRLSSSGCEHLTVRLVRTVAMGDPGLAKLQFAPFNSA LDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPTRLTLEFSAFDMSASTPAHCC PAMGTLHNTNTLEAFKTADKKLLLEQSANEIWEAIKSGAALENPMLLNKFLLLTFADLKK YHFYYWFCCPALCLPESIPLIRGPVSLDQRLSPKQIQALEHAYDDLCRAEGVTALPYFLF KYDDDTVLVSLLKHYSDFFQGQRTKITVGVYDPCNLAQYPGWPLRNFLVLAAHRWSGSFQ SVEVLCFRDRTMQGARDVTHSIIFEVKLPEMAFSPDCPKAVGWEKNQKGGMGPRMVNLSG CMDPKRLAESSVDLNLKLMCWRLVPTLDLDKVVSVKCLLLGAGTLGCNVARTLMGWGVRH VTFVDNAKISYSNPVRQPLYEFEDCLGGGKPKALAAAERLQKIFPGVNARGFNMSIPMPG HPVNFSDVTMEQARRDVEQLEQLIDNHDVIFLLMDTRESRWLPTVIAASKRKLVINAALG FDTFVVMRHGLKKPKQQGAGDLCPSHLVAPADLGSSLFANIPGYKLGCYFCNDVVAPGDS TRDRTLDQQCTVSRPGLAVIAGALAVELMVSVLQHPEGGYAIASSSDDRMNEPPTSLGLV PHQIRGFLSRFDNVLPVSLAFDKCTACSPKVLDQYEREGFTFLAKVFNSSHSFLEDLTGL TLLHQETQAAEIWDMSDEETV >ENSMUSP00000138404.1 pep:known chromosome:GRCm38:6:114643118:114677998:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000182169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MPCKHKDQRPRILISTRLVRTVAMGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLD EAPKDIKGYYYNGDSAGLPTRLTLEFSAFDMSASTPAHCCPAMGTLHNTN >ENSMUSP00000138600.1 pep:known chromosome:GRCm38:6:114643122:114859130:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000183165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPTRLT LEFSAFDMSASTPAHCCPAMGTLHNTNTLEAFKTADKKLLLEQSANEIWEAIKSGAALEN PMLLNKFLLLTFAIQALEHAYDDLCRAEGVTALPYFLFKYDDDTVLVSLLKHYSDFFQGQ RTKITVGVYDPCNLAQYPGWPLRNFLVLAAHRWSGSFQSVEVLCFRDRTMQGARDVTHSI IFEVKLPEMAFSPDCPKAVGWEKNQKGGMGPRMVNLSGCMDPKRLAESSVDLNLKLMCWR LVPTLDLDKVVSVKCLLLGAGTLGCNVARTLMGWGVRHVTFVDNAKISYSNPVRQPLYEF EDCLGGGKPKALAAAERLQKIFPGVNARGFNMSIPMPGHPVNFSDVTMEQARRDVEQLEQ LIDNHDVIFLLMDTRESRWLPTVIAASKRKLVINAALGFDTFVVMRHGLKKPKQQGAGDL CPSHLVAPADLGSSLFANIPGYKLGCYFCNDVVAPGDSTRDRTLDQQCTVSRPGLAVIAG ALAVELMVSVLQHPEGGYAIASSSDDRMNEPPTSLGLVPHQIRGFLSRFDNVLPVSLAFD KCTACSPKIWDMSDEETV >ENSMUSP00000138253.1 pep:known chromosome:GRCm38:6:114643127:114673115:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000182098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLDEAPK >ENSMUSP00000138137.1 pep:known chromosome:GRCm38:6:114643127:114859221:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000182793.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPTRLT LEFSAFDMSASTPAHCCPAMGTLHNTNTLEAFKTADKKLLLEQSANEIWEAIKSGAALEN PMLLNKFLLLTFADLKKYHFYYWFCCPALCLPESIPLIRGPVSLDQRLSPKQIQALEHAY DDLCRAEGVTALPYFLFKYDDDTVLVSLLKHYSDFFQGQRTKITVGVYDPCNLAQYPGWP LRNFLVLAAHRWSGSFQSVEVLCFRDRTMQGARDVTHSIIFEVKLPEMAFSPDCPKAVGW EKNQKGGMGPRMVNLSGCMDPKRLAESSVDLNLKLMCWRLVPTLDLDKVVSVKCLLLGAG TLGCNVARTLMGWGVRHVTFVDNAKISYSNPVRQPLYEFEDCLGGGKPKALAAAERLQKI FPGVNARGFNMSIPMPGHPVNFSDVTMEQARRDVEQLEQLIDNHDVIFLLMDTRESRWLP TVIAASKRKLVINAALGFDTFVVMRHGLKKPKQQGAGDLCPSHLVAPADLGSSLFANIPG YKLGCYFCNDVVAPGDSTRDRTLDQQCTVSRPGLAVIAGALAVELMVSVLQHPEGGYAIA SSSDDRMNEPPTSLGLVPHQIRGFLSRFDNVLPVSLAFDKCTACSPKVLDQYEREGFTFL AKVFNSSHSFLEDLTGLTLLHQETQAAEIWDMSDEETV >ENSMUSP00000138651.1 pep:known chromosome:GRCm38:6:114643129:114859224:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000182902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPTRLT LEFSAFDMSASTPAHCCPAMGTLHNTNTLEAFKTADKKLLLEQSANEIWEAIKSGAALEN PMLLNKFLLLTFADLKKYHFYYWFCCPALCLPESIPLIRGPVSLDQRLSPKQIQALEHAY DDLCRAEGVTALPYFLFKYDDDTVLVSLLKHYSDFFQGQRTKITVGVYDPCNLAQYPGWP LRNFLVLAAHRWSGSFQSVEVLCFRDRTMQGARDVTHSIIFEVKLPEMAFSPDCPKAVGW EKNQKGGMGPRMVNLSGCMDPKRLAESSVDLNLKLMCWRLVPTLDLDKVVSVKCLLLGAG TLGCNVARTLMGWGVRHVTFVDNAKISYSNPVRQPLYEFEDCLGGGKPKALAAAERLQKI FPGVNARGFNMSIPMPGHPVNFSDVTMEQARRDVEQLEQLIDNHDVIFLLMDTRESRWLP TVIAASKRKLVINAALGFDTFVVMRHGLKKPKQQGAGDLCPSHLVAPADLGSSLFANIPG YKLGCYFCNDVVAPGDSTRDRTLDQQCTVSRPGLAVIAGALAVELMVSVLQHPEGGYAIA SSSDDRMNEPPTSLGLVPHQIRGFLSRFDNVLPVSLAFDKCTACSPKVLDQYEREGFTFL AKVFNSSHSFLEDLTGLTLLHQETQAAEIWDMSDEETV >ENSMUSP00000138779.1 pep:known chromosome:GRCm38:6:114643129:114859230:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000182428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPTRLT LEFSAFDMSASTPAHCCPAMGTLHNTNTLEAFKTADKKLLLEQSANEIWEAIKSGAALEN PMLLNKFLLLTFADLKKYHFYYWFCCPALCLPESIPLIRGPVSLDQRLSPKQIQALEHAY DDLCRAEGVTALPYFLFKYDDDTVLVSLLKHYSDFFQGQRTKITVGVYDPCNLAQYPGWP LRNFLVLAAHRWSGSFQSVEVLCFRDRTMQGARDVTHSIIFEVKLPEMAFSPDCPKAVGW EKNQKGGMGPRMVNLSGCMDPKRLAESSVDLNLKLMCWRLVPTLDLDKVVSVKCLLLGAG TLGCNVARTLMGWGVRHVTFVDNAKISYSNPVRQPLYEFEDCLGGGKPKALAAAERLQKI FPGVNARGFNMSIPMPGHPVNFSDVTMEQARRDVEQLEQLIDNHDVIFLLMDTRESRWLP TVIAASKRKLVINAALGFDTFVVMRHGLKKPKQQGAGDLCPSHLVAPADLGSSLFANIPG YKLGCYFCNDVVAPGDSTRDRTLDQQCTVSRPGLAVIAGALAVELMVSVLQHPEGGYAIA SSSDDRMNEPPTSLGLVPHQVLDQYEREGFTFLAKVFNSSHSFLEDLTGLTLLHQETQAA EIWDMSDEETV >ENSMUSP00000138731.1 pep:known chromosome:GRCm38:6:114643131:114686219:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000182035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPTRLT LEFSAFDMSASTPAHCCPAMGTLHNTNTLEAFKTADKKLLLEQSANEIWEAIKSGAALEN PMLLNKFLLLTFADLKKYHFYYWFCCPALCLPESIPLIRGPVSLDQRLSPKQIQALEHAY DDLCRAEGVTALPYFLFKYDDDTVLVSLLKHYSDFFQGQRTK >ENSMUSP00000138300.1 pep:known chromosome:GRCm38:6:114648846:114684517:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000182510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPTRLT LEFSAFDMSASTPAHCCPAMGTLHNTNTLEAFKTADKKLLLEQSANEIWEAIKSGAALEN PMLLNKFLLLTFADLKKYHFYYWFCCPALCLPESIPLIRGPVSLDQRLSPKQ >ENSMUSP00000138358.1 pep:known chromosome:GRCm38:6:114652436:114686202:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000182034.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MQGGWGQEIRLVRTVAMGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLDEAPKDIK GYYYNGDSAGLPTRLTLEFSAFDMSASTPAHCCPAMGTLHNTNTLEAFKTADKKLLLEQS ANEIWEAIKSGAALENPMLLNKFLLLTFADLKKYHFYYWFCCPALCLPESIPLIRGPVSL DQRLSPKQIQALEHAYDDLCRAEGVTALPYFLFKYDDDTVLVSLLKHYSDFF >ENSMUSP00000138374.2 pep:known chromosome:GRCm38:6:114658064:114673702:1 gene:ENSMUSG00000030314.16 transcript:ENSMUST00000182771.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg7 description:autophagy related 7 [Source:MGI Symbol;Acc:MGI:1921494] MGDPGLAKLQFAPFNSALDVGFWHELTQKKLNEYRLDEAPKDIKGYYYNGDSAGLPTRLT LEFSAFD >ENSMUSP00000129069.1 pep:known chromosome:GRCm38:6:58307268:58308290:1 gene:ENSMUSG00000091734.1 transcript:ENSMUST00000168416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r29 description:vomeronasal 1 receptor 29 [Source:MGI Symbol;Acc:MGI:2148523] MSLFKNVLYFQAGLGVLANMFLLFFYIFIILGHRPKPTDLISCQLTFVHIMMFLAGGDIW LTDIFETLNIENDFKCKTIFYISRVMRGLSICNTCLLSVVQAVTISPSTSLLAKFKPRLK MYLIYAFFCIWSFNLLYSSNQILYVGGFTNLSETNQMKVTKSCTLLPKNYIIKGLILTVS ISRDVFLVGVMLTTSTYMLNILFRHQRQCKHLHSISYLRASPEKRATQTILLLVVFFVVM YWVDFIISSTSVMLWMYDPVVLTVQKFVMCAYPTITPLVQITSDNRIIIMLENMQSKHRQ NFF >ENSMUSP00000045841.7 pep:known chromosome:GRCm38:1:185363044:185428360:1 gene:ENSMUSG00000026615.14 transcript:ENSMUST00000046514.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eprs description:glutamyl-prolyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:97838] MAALCLTVNAGNPPLEALLAVEHVKGDVSISVEEGKENLLRVSETVAFTDVNSILRYLAR IATTSGLYGTNLMEHTEIDHWLEFSATKLSSCDRLTSAINELNHCLSLRTYLVGNSLTLA DLCVWATLKGSAAWQEHLKQNKTLVHVKRWFGFLEAQQAFRSVGTKWDVSGNRATVAPDK KQDVGKFVELPGAEMGKVTVRFPPEASGYLHIGHAKAALLNQHYQVNFKGKLIMRFDDTN PEKEKEDFEKVILEDVAMLHIKPDQFTYTSDHFETIMKYAEKLIQEGKAYVDDTPAEQMK AEREQRTESKHRKNSVEKNLQMWEEMKKGSQFGQSCCLRAKIDMSSNNGCMRDPTLYRCK IQPHPRTGNKYNVYPTYDFACPIVDSIEGVTHALRTTEYHDRDEQFYWIIEALGIRKPYI WEYSRLNLNNTVLSKRKLTWFVNEGLVDGWDDPRFPTVRGVLRRGMTVEGLKQFIAAQGS SRSVVNMEWDKIWAFNKKVIDPVAPRYVALLKKEVVPVNVLDAQEEMKEVARHPKNPDVG LKPVWYSPKVFIEGADAETFSEGEMVTFINWGNINITKIHKNADGKITSLDAKLNLENKD YKKTTKITWLAESTHALSIPAVCVTYEHLITKPVLGKDEDFKQYINKDSKHEELMLGDPC LKDLKKGDIIQLQRRGFFICDQPYEPVSPYSCREAPCILIYIPDGHTKEMPTSGSKEKTK VEISKKETSSAPKERPAPAVSSTCATAEDSSVLYSRVAVQGDVVRELKAKKAPKEDIDAA VKQLLTLKAEYKEKTGQEYKPGNPSAAAVQTVSTKSSSNTVESTSLYNKVAAQGEVVRKL KAEKAPKAKVTEAVECLLSLKAEYKEKTGKDYVPGQPPASQNSHSNPVSNAQPAGAEKPE AKVLFDRVACQGEVVRKLKAEKASKDQVDSAVQELLQLKAQYKSLTGIEYKPVSATGAED KDKKKKEKENKSEKQNKPQKQNDGQGKDSSKSQGSGLSSGGAGEGQGPKKQTRLGLEAKK EENLAEWYSQVITKSEMIEYYDVSGCYILRPWSYSIWESIKDFFDAEIKKLGVENCYFPI FVSQAALEKEKNHIEDFAPEVAWVTRSGKTELAEPIAIRPTSETVMYPAYAKWVQSHRDL PVRLNQWCNVVRWEFKHPQPFLRTREFLWQEGHSAFATFEEAADEVLQILELYARVYEEL LAIPVVRGRKTEKEKFAGGDYTTTIEAFISASGRAIQGATSHHLGQNFSKMCEIVFEDPK TPGEKQFAYQCSWGLTTRTIGVMVMVHGDNMGLVLPPRVASVQVVVIPCGITNALSEEDR EALMAKCNEYRRRLLGANIRVRVDLRDNYSPGWKFNHWELKGVPVRLEVGPRDMKSCQFV AVRRDTGEKLTIAEKEAEAKLEKVLEDIQLNLFTRASEDLKTHMVVSNTLEDFQKVLDAG KVAQIPFCGEIDCEDWIKKMTARDQDVEPGAPSMGAKSLCIPFNPLCELQPGAMCVCGKN PAKFYTLFGRSY >ENSMUSP00000141467.1 pep:known chromosome:GRCm38:1:185363184:185381831:1 gene:ENSMUSG00000026615.14 transcript:ENSMUST00000191900.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eprs description:glutamyl-prolyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:97838] MAALCLTVNAGNPPLEALLAVEHVKGDVSISVEEGKENLLRVSETVAFTDVNSILRYLAR IATTSGLYGTNLMEHTEIDHWLEFSATKLSSCDRLTSAINELNHCLSLRTYLVGNSLTLA DLCVWATLKGT >ENSMUSP00000141550.1 pep:known chromosome:GRCm38:1:185367590:185378883:1 gene:ENSMUSG00000026615.14 transcript:ENSMUST00000195824.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eprs description:glutamyl-prolyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:97838] KENLLRVSETVAFTDVNSILRYLARIATTSGLYGTNLMEHTEIDHWLEFSATKLSSCDRL TSAINELNHCLSLRTYLVGNSLTLADLCVWATLKGT >ENSMUSP00000023116.6 pep:known chromosome:GRCm38:15:81872309:81915133:1 gene:ENSMUSG00000022477.12 transcript:ENSMUST00000023116.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aco2 description:aconitase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:87880] MAPYSLLVTRLQKALGVRQYHVASVLCQRAKVAMSHFEPSEYIRYDLLEKNINIVRKRLN RPLTLSEKIVYGHLDDPANQEIERGKTYLRLRPDRVAMQDATAQMAMLQFISSGLPKVAV PSTIHCDHLIEAQVGGEKDLRRAKDINQEVYNFLATAGAKYGVGFWRPGSGIIHQIILEN YAYPGVLLIGTDSHTPNGGGLGGICIGVGGADAVDVMAGIPWELKCPKVIGVKLTGSLSG WTSPKDVILKVAGILTVKGGTGAIVEYHGPGVDSISCTGMATICNMGAEIGATTSVFPYN HRMKKYLSKTGRTDIANLAEEFKDHLVPDPGCQYDQVIEINLNELKPHINGPFTPDLAHP VADVGTVAEKEGWPLDIRVGLIGSCTNSSYEDMGRSAAVAKQALAHGLKCKSQFTITPGS EQIRATIERDGYAQILRDVGGIVLANACGPCIGQWDRKDIKKGEKNTIVTSYNRNFTGRN DANPETHAFVTSPEIVTALAIAGTLKFNPETDFLTGKDGKKFKLEAPDADELPRSDFDPG QDTYQHPPKDSSGQRVDVSPTSQRLQLLEPFDKWDGKDLEDLQILIKVKGKCTTDHISAA GPWLKFRGHLDNISNNLLIGAINIENGKANSVRNAVTQEFGPVPDTARYYKKHGIRWVVI GDENYGEGSSREHAALEPRHLGGRAIITKSFARIHETNLKKQGLLPLTFADPSDYNKIHP VDKLTIQGLKDFAPGKPLKCVIKHPNGTQETILLNHTFNETQIEWFRAGSALNRMKELQQ >ENSMUSP00000006718.8 pep:known chromosome:GRCm38:1:74791516:74804179:1 gene:ENSMUSG00000026167.14 transcript:ENSMUST00000006718.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt10a description:wingless-type MMTV integration site family, member 10A [Source:MGI Symbol;Acc:MGI:108071] MGSAHPRPWLRLPQGPQPRPEFWALLFFLLLLAAAVPRSAPNDILGLRLPPEPVLNANTV CLTLPGLSRRQMEVCVRHPDVAASAIQGIQIAIHECQHQFRDQRWNCSSLETRNKVPYES PIFSRGFRESAFAYAIAAAGVVHAVSNACALGKLKACGCDASRRGDEEAFRRKLHRLQLD ALQRGKGLSHGVPEHPAILPASPGLQDSWEWGGCSPDVGFGERFSKDFLDSREPHRDIHA RMRLHNNRVGRQAVMENMRRKCKCHGTSGSCQLKTCWQVTPEFRTVGALLRNRFHRATLI RPHNRNGGQLEPGPAGAPSPAPGTPGLRRRASHSDLVYFEKSPDFCEREPRLDSAGTVGR LCNKSSTGPDGCGSMCCGRGHNILRQTRSERCHCRFHWCCFVVCEECRITEWVSVCK >ENSMUSP00000139656.1 pep:known chromosome:GRCm38:1:74793363:74803495:1 gene:ENSMUSG00000026167.14 transcript:ENSMUST00000187238.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt10a description:wingless-type MMTV integration site family, member 10A [Source:MGI Symbol;Acc:MGI:108071] XSAPNDILGLRLPPEPVLNANTVCLTLPGLSRRQMEVCVRHPDVAASAIQGIQIAIHECQ HQFRDQRWNCSSLETRNKVPYESPIFSRGGDGEHAA >ENSMUSP00000031740.9 pep:known chromosome:GRCm38:5:137781906:137786663:-1 gene:ENSMUSG00000029726.16 transcript:ENSMUST00000031740.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mepce description:methylphosphate capping enzyme [Source:MGI Symbol;Acc:MGI:106477] MIEMAAEKEPFLVPAPPPPLKDESGGGGGPEVQSHQEAASGELRDGTEHGPGPRAHSAGA AASGGGGPQAQAHGEPHGRAAAPADVGEERRGGGGTDLGPPAPPRPRNGYQPHRPPGGGG GKRRNSCNVGGGSGGSFKHPAFKRRRRVNSDCDSVLPSNFLLGGNIFDPLNLNSLLDEEV SRALNAETPKSSPLPAKGRDPVEILIPKDITDPLSLNTCTDEAHVVLASPLKIGRKRHRH RGPHHQQQQQASGGNDSNAAVLPTDPLTPSLHGEGATQQQQNRGQNRDAPQPYELNTAIN CRDEVVSPLPSALQGSSGSLSAPPAASVTSAPSTSSSSRHRKRRRTSSKSEAGARGGSQG SKEKGRGSGGGRHHHHPLPATGFKKQQLKFQYGNYCKYYGYRNPSCEDVRLRVLKPEWFQ GRDVLDLGCNVGHLTLSIACKWGPARMVGLDIDPRLIHSARQNIRHYLSEELRLQAQTSE GDPGTEGEEGTITVRKRSCFPASLTASRGPIAAPQVPLDGADTSVFPNNVVFVTGNYVLD RDELVDAQRPEYDVVLCFSLTKWVHLNWGDEGLKRMFRRIYRHLRPGGILVLEPQPWSSY CKRKSLTETIYKNYFRIQLKPEQFSSYLTSPEVGFSSYELVATPNNTSRGFQRPVYLFHK ARSPSH >ENSMUSP00000118688.1 pep:known chromosome:GRCm38:5:137782429:137786715:-1 gene:ENSMUSG00000029726.16 transcript:ENSMUST00000132726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mepce description:methylphosphate capping enzyme [Source:MGI Symbol;Acc:MGI:106477] XGGAGRLLGLEPGGDGGASFLRNKGRVSRRPFHTSSRSPPTGNYVLDRDELVDAQRPEYD VVLCFSLTKWVHLNWGDEGLKRMFRRIYRHLRPGGILVLEPQPWSSYCKRKSLTETIYKN YFRIQLKPEQFSSYLTSPEVGFSSYELVATPNNTSRGFQRPVYLFHKARSPSH >ENSMUSP00000143201.1 pep:known chromosome:GRCm38:5:137783130:137787655:-1 gene:ENSMUSG00000029726.16 transcript:ENSMUST00000196022.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mepce description:methylphosphate capping enzyme [Source:MGI Symbol;Acc:MGI:106477] MFRRIYRHLRPGGILVLEPQPWSSYCKRKSLT >ENSMUSP00000014747.1 pep:known chromosome:GRCm38:3:107595031:107605776:1 gene:ENSMUSG00000014603.2 transcript:ENSMUST00000014747.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alx3 description:aristaless-like homeobox 3 [Source:MGI Symbol;Acc:MGI:1277097] MDPERCAPFSVGPAAGPYAAAGDEAPGPQGTPDAAPHLHPAPPRGPRLSRFPACGPLEPY LPEPAKPPAKYLQDLGPGPVLNGGHFYEGSAEAEEKASKAASFPQLPVDCRGGPRDGPSN VQASPGPCLASLSVPLSPGLPDSMELAKTKSKKRRNRTTFSTFQLEELEKVFQKTHYPDV YAREQLALRTDLTEARVQVWFQNRRAKWRKRERYGKMQEGRNPFTTAYDISVLPRTDSHP QLQNSLWPSPGSGSPGGPCLMSPEGIPSPCMSPYSHSHGNVAGFMGVPASPAAHPGIYSI HGFPPALGGHSFEPSPDGDYKSPSLVSLRMKPKEPPGLLNWTT >ENSMUSP00000102688.1 pep:known chromosome:GRCm38:11:102835849:102838040:1 gene:ENSMUSG00000020928.14 transcript:ENSMUST00000107073.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Higd1b description:HIG1 domain family, member 1B [Source:MGI Symbol;Acc:MGI:1922939] MSANKGWWVPPEGEDNLSKKFLRKTRESPLVPIGVAGCLVIAAYRIYRLKARGSTKLSIH LIHTRVAAQACAVGAIMLGAMYTMYRDYIKRVSEDAEK >ENSMUSP00000021302.8 pep:known chromosome:GRCm38:11:102836296:102838040:1 gene:ENSMUSG00000020928.14 transcript:ENSMUST00000021302.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Higd1b description:HIG1 domain family, member 1B [Source:MGI Symbol;Acc:MGI:1922939] MSANKGWWVPPEGEDNLSKKFLRKTRESPLVPIGVAGCLVIAAYRIYRLKARGSTKLSIH LIHTRVAAQACAVGAIMLGAMYTMYRDYIKRVSEDAEK >ENSMUSP00000102687.1 pep:known chromosome:GRCm38:11:102836446:102838040:1 gene:ENSMUSG00000020928.14 transcript:ENSMUST00000107072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Higd1b description:HIG1 domain family, member 1B [Source:MGI Symbol;Acc:MGI:1922939] MSANKGWWVPPEGEDNLSKKFLRKTRESPLVPIGVAGCLVIAAYRIYRLKARGSTKLSIH LIHTRVAAQACAVGAIMLGAMYTMYRDYIKRVSEDAEK >ENSMUSP00000062171.3 pep:known chromosome:GRCm38:12:95692226:95785215:1 gene:ENSMUSG00000047414.6 transcript:ENSMUST00000057324.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flrt2 description:fibronectin leucine rich transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:3603594] MGLQTTKWPGRGAFILKFWLIISLGLYLQVSKLLACPSVCRCDRNFVYCNERSLTSVPLG IPEGVTVLYLHNNQINNAGFPAELHNVQSVHTVYLYGNQLDEFPMNLPKNVRVLHLQENN IQTISRAALAQLLKLEELHLDDNSISTVGVEDGAFREAISLKLLFLSKNHLSSVPVGLPV DLQELRVDENRIAVISDMAFQNLTSLERLIVDGNLLTNKGIAEGTFSHLTKLKEFSIVRN SLSHPPPDLPGTHLIRLYLQDNQINHIPLTAFANLRKLERLDISNNQLRMLTQGVFDHLS NLKQLTARNNPWFCDCSIKWVTEWLKYIPSSLNVRGFMCQGPEQVRGMAVRELNMNLLSC PTTTPGLPVFTPAPSTVSPTTQSPTLSVPSPSRGSVPPAPTPSKLPTIPDWDGRERVTPP ISERIQLSIHFVNDTSIQVSWLSLFTVMAYKLTWVKMGHSLVGGIVQERIVSGEKQHLSL VNLEPRSTYRICLVPLDAFNYRTVEDTICSEATTHASYLNNGSNTASSHEQTTSHSMGSP FLLAGLIGGAVIFVLVVLLSVFCWHMHKKGRYTSQKWKYNRGRRKDDYCEAGTKKDNSIL EMTETSFQIVSLNNDQLLKGDFRLQPIYTPNGGINYTDCHIPNNMRYCNSSVPDLEHCHT >ENSMUSP00000105744.1 pep:known chromosome:GRCm38:12:95695357:95781107:1 gene:ENSMUSG00000047414.6 transcript:ENSMUST00000110117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flrt2 description:fibronectin leucine rich transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:3603594] MGLQTTKWPGRGAFILKFWLIISLGLYLQVSKLLACPSVCRCDRNFVYCNERSLTSVPLG IPEGVTVLYLHNNQINNAGFPAELHNVQSVHTVYLYGNQLDEFPMNLPKNVRVLHLQENN IQTISRAALAQLLKLEELHLDDNSISTVGVEDGAFREAISLKLLFLSKNHLSSVPVGLPV DLQELRVDENRIAVISDMAFQNLTSLERLIVDGNLLTNKGIAEGTFSHLTKLKEFSIVRN SLSHPPPDLPGTHLIRLYLQDNQINHIPLTAFANLRKLERLDISNNQLRMLTQGVFDHLS NLKQLTARNNPWFCDCSIKWVTEWLKYIPSSLNVRGFMCQGPEQVRGMAVRELNMNLLSC PTTTPGLPVFTPAPSTVSPTTQSPTLSVPSPSRGSVPPAPTPSKLPTIPDWDGRERVTPP ISERIQLSIHFVNDTSIQVSWLSLFTVMAYKLTWVKMGHSLVGGIVQERIVSGEKQHLSL VNLEPRSTYRICLVPLDAFNYRTVEDTICSEATTHASYLNNGSNTASSHEQTTSHSMGSP FLLAGLIGGAVIFVLVVLLSVFCWHMHKKGRYTSQKWKYNRGRRKDDYCEAGTKKDNSIL EMTETSFQIVSLNNDQLLKGDFRLQPIYTPNGGINYTDCHIPNNMRYCNSSVPDLEHCHT >ENSMUSP00000087336.3 pep:known chromosome:GRCm38:6:58434842:58435894:-1 gene:ENSMUSG00000095670.1 transcript:ENSMUST00000078890.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r30 description:vomeronasal 1 receptor 30 [Source:MGI Symbol;Acc:MGI:2159458] MSSLKNILYFQAGLGILANTFLLCFYTFTILGHRSKPMDPTSCQLTFAHILFLLAGGDNW LAVILESLNIENDFKCKAIFYTSRVMRGFSICITCLLSVFQAVTISPHTSLLAKFKHKLN TYIFYAVLYIWFFNFLANSHMIFYVGGFNNVSETNQMRVTQSCSLFPMNYVISGLIFTVT TFRDVFLVGVMLITSAYIVIILFRHQRHCRHLHSIRHLRVSPEKRATQTILLLVVFFVVM YWVDFIISSTSLLLWMYDPVILTVQKLVVTAYPTITPLVQISSDKRVINVLKNSQSKCQQ IF >ENSMUSP00000107457.2 pep:known chromosome:GRCm38:14:46379467:46383608:1 gene:ENSMUSG00000079261.2 transcript:ENSMUST00000111826.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15217 description:predicted gene 15217 [Source:MGI Symbol;Acc:MGI:3705233] MSHSSHSNIFSKTGHFSPSAEWGTLAYCVTGSSHSADVGPSWSLCLVVAFLTVLLGSQGP QSPLLYAAERPHFMLNTPSNSPKDQHALIRTACLRWIWFKKRKMPARTEDTLGYHEAKGG NESPRVEISSSTGLRRCHSTTGHVSSRWQRVLLPC >ENSMUSP00000047497.6 pep:known chromosome:GRCm38:14:122034674:122038420:1 gene:ENSMUSG00000071229.3 transcript:ENSMUST00000045976.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm8a2 description:translocase of inner mitochondrial membrane 8A2 [Source:MGI Symbol;Acc:MGI:3615492] MESAWSSRGTSLGSSDPQLQRFMEAEVQKQRVQLLIHHMTELCWEKCMDKPGPRLDGRAE LCLVNCVERFIDTSQFILNRLEQTQKARPLFSERLSD >ENSMUSP00000031077.8 pep:known chromosome:GRCm38:5:52783054:52823571:1 gene:ENSMUSG00000029179.14 transcript:ENSMUST00000031077.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc4 description:zinc finger, CCHC domain containing 4 [Source:MGI Symbol;Acc:MGI:1926046] MAAPMDCLESLEGDGDAGRRASGVEVALPSNPTAPAPLCPHGPTLLFVKVNQGKEETRKF YACSACRDRKDCNFFQWEDEKLSEARLAAREIHNQKCQPPLSRAQCIERYLSFIQLPLAQ RKFCQSCQQLLLPADWREHGTHQLSADISVAQLGRPSQLLYPLENKKTHAQYLFADRSCQ FLAGLLATLGFSRVLCVGAPRLHEQIRLTASGERSGMRSLLLDIDFRYSQFYLEGSFCRY NMFNHHFFDGKAALEVCKEFLQEEEGKGVIMVTDPPFGGLVEPLAITFKKLIAMWKEGQS QDDSHKELPIFWIFPYFFESRICQFFPSFCMLDYQVDYDNHALYKHGKTGRKQSPVRIFT NVPPNKIILPSEEGYRFCSLCQRYVSRENQHCVHCNSCTSKDGRKWSHCFLCKKCVKPSW IHCNTCNRCALPDHSCLGPKDGCFICGALDHKRSNCPNIGTSWRANKLVEFFGFLEKRLL MSSFLGAIYRSTKLSEDSEFFRVLNRSSHHYV >ENSMUSP00000109537.2 pep:known chromosome:GRCm38:5:52783054:52824665:1 gene:ENSMUSG00000029179.14 transcript:ENSMUST00000113904.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc4 description:zinc finger, CCHC domain containing 4 [Source:MGI Symbol;Acc:MGI:1926046] MAAPMDCLESLEGDGDAGRRASGVEVALPSNPTAPAPLCPHGPTLLFVKVNQGKEETRKF YACSACRDRKDCNFFQWEDEKLSEARLAAREIHNQKCQPPLSRAQCIERYLSFIQLPLAQ RKFCQSCQQLLLPADWREHGTHQLSADISVAQLGRPSQLLYPLENKKTHAQYLFADRSCQ FLAGLLATLGFSRVLCVGAPRLHEQIRLTASGERSGMRSLLLDIDFRYSQFYLEGSFCRY NMFNHHFFDGKAALEVCKEFLQEEEGKGVIMVTDPPFGGLVEPLAITFKKLIAMWKEGQS QDDSHKELPIFWIFPYFFESRICQFFPSFCMLDYQVDYDNHALYKHGKTGRKQSPVRIFT NVPPNKIILPSEEGYRFCSLCQRYVSRENQHCVHCNSCTSKDGRKWSHCFLCKKCVKPSW IHCNTCNRCALPDHSCLGPKDGCFICGALDHKRSNCPNIGTSWRANKAVRKQKQRKRNKI RREALKDNP >ENSMUSP00000142838.1 pep:known chromosome:GRCm38:5:52783116:52796099:1 gene:ENSMUSG00000029179.14 transcript:ENSMUST00000199840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc4 description:zinc finger, CCHC domain containing 4 [Source:MGI Symbol;Acc:MGI:1926046] XSLEGDGDAGRRASGVEVALPSNPTAPAPLCPHGPTLLFVKVNQGKEETRKFYACSACRD RKDCNFFQWEDEKLSEARLAAREIHNQKCQPPLSRAQCIERYWYLSFIQLPLAQRKFCQS CQQLLLPADWREHGTHQLSADISV >ENSMUSP00000073720.5 pep:known chromosome:GRCm38:14:46383520:46390669:-1 gene:ENSMUSG00000021835.14 transcript:ENSMUST00000074077.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp4 description:bone morphogenetic protein 4 [Source:MGI Symbol;Acc:MGI:88180] MIPGNRMLMVVLLCQVLLGGASHASLIPETGKKKVAEIQGHAGGRRSGQSHELLRDFEAT LLQMFGLRRRPQPSKSAVIPDYMRDLYRLQSGEEEEEEQSQGTGLEYPERPASRANTVRS FHHEEHLENIPGTSESSAFRFLFNLSSIPENEVISSAELRLFREQVDQGPDWEQGFHRIN IYEVMKPPAEMVPGHLITRLLDTRLVHHNVTRWETFDVSPAVLRWTREKQPNYGLAIEVT HLHQTRTHQGQHVRISRSLPQGSGDWAQLRPLLVTFGHDGRGHTLTRRRAKRSPKHHPQR SRKKNKNCRRHSLYVDFSDVGWNDWIVAPPGYQAFYCHGDCPFPLADHLNSTNHAIVQTL VNSVNSSIPKACCVPTELSAISMLYLDEYDKVVLKNYQEMVVEGCGCR >ENSMUSP00000098242.2 pep:known chromosome:GRCm38:14:46383707:46390550:-1 gene:ENSMUSG00000021835.14 transcript:ENSMUST00000100676.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp4 description:bone morphogenetic protein 4 [Source:MGI Symbol;Acc:MGI:88180] MIPGNRMLMVVLLCQVLLGGASHASLIPETGKKKVAEIQGHAGGRRSGQSHELLRDFEAT LLQMFGLRRRPQPSKSAVIPDYMRDLYRLQSGEEEEEEQSQGTGLEYPERPASRANTVRS FHHEEHLENIPGTSESSAFRFLFNLSSIPENEVISSAELRLFREQVDQGPDWEQGFHRIN IYEVMKPPAEMVPGHLITRLLDTRLVHHNVTRWETFDVSPAVLRWTREKQPNYGLAIEVT HLHQTRTHQGQHVRISRSLPQGSGDWAQLRPLLVTFGHDGRGHTLTRRRAKRSPKHHPQR SRKKNKNCRRHSLYVDFSDVGWNDWIVAPPGYQAFYCHGDCPFPLADHLNSTNHAIVQTL VNSVNSSIPKACCVPTELSAISMLYLDEYDKVVLKNYQEMVVEGCGCR >ENSMUSP00000012281.7 pep:known chromosome:GRCm38:9:75775364:75900310:1 gene:ENSMUSG00000032179.7 transcript:ENSMUST00000012281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp5 description:bone morphogenetic protein 5 [Source:MGI Symbol;Acc:MGI:88181] MHWTVFLLRGIVGFLWSGWVQVGYAKGGLGDNHVHSSFIYRRLRNHERREIQREILSILG LPHRPRPFSPGKQASSAPLFMLDLYNAMASEDNPEESEYLVRVSLAGEAKETRKGYPASP NGYAHRLHLPPRTPLTTQSPPLASLHDTNFLNDADMVMSFVNLVERDKDFSHQRRHYKEF RFDLTQIPHGEAVTAAEFRIYKDKGNHRFENETIKISIYQIIKEYTNRDADLFLLDTRKT QALDVGWLVFDITVTSNHWVINPQNNLGLQLCAETGDGRSINVKSAGLVGRHGPQSKQPF MVAFFKASEVLLRSVRAASKRKNQNRNKSNSHQDPSRMPSAGDYNTSEQKQACKKHELYV SFRDLGWQDWIIAPEGYAAFYCDGECSFPLNAHMNATNHAIVQTLVHLMFPDHVPKPCCA PTKLNAISVLYFDDSSNVILKKYRNMVVRSCGCH >ENSMUSP00000025486.8 pep:known chromosome:GRCm38:18:56707813:56753424:1 gene:ENSMUSG00000024590.8 transcript:ENSMUST00000025486.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmnb1 description:lamin B1 [Source:MGI Symbol;Acc:MGI:96795] MATATPVQQQRAGSRASAPATPLSPTRLSRLQEKEELRELNDRLAVYIDKVRSLETENSA LQLQVTEREEVRGRELTGLKALYETELADARRALDDTARERAKLQIELGKFKAEHDQLLL NYAKKESDLSGAQIKLREYEAALNSKDAALATALGDKKSLEGDLEDLKDQIAQLEASLSA AKKQLADETLLKVDLENRCQSLTEDLEFRKNMYEEEINETRRKHETRLVEVDSGRQIEYE YKLAQALHEMREQHDAQVRLYKEELEQTYHAKLENARLSSEMNTSTVNSAREELMESRMR IESLSSQLSNLQKESRACLERIQELEDMLAKERDNSRRMLSDREREMAEIRDQMQQQLSD YEQLLDVKLALDMEISAYRKLLEGEEERLKLSPSPSSRVTVSRASSSRSVRTTRGKRKRV DVEESEASSSVSISHSASATGNVCIEEIDVDGKFIRLKNTSEQDQPMGGWEMIRKIGDTS VSYKYTSRYVLKAGQTVTVWAANAGVTASPPTDLIWKNQNSWGTGEDVKVILKNSQGEEV AQRSTVFKTTIPEEEEEEEEEPIGVAVEEERFHQQGAPRASNKSCAIM >ENSMUSP00000128314.1 pep:known chromosome:GRCm38:1:134296783:134332928:-1 gene:ENSMUSG00000026458.13 transcript:ENSMUST00000168515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfia4 description:protein tyrosine phosphatase, receptor type, f polypeptide (PTPRF), interacting protein (liprin), alpha 4 [Source:MGI Symbol;Acc:MGI:1915757] MCEVMPTINEGDPLGPPHGADAEANFEQLMVNMLDEREKLLESLRESQETLVATQSRLQD ALHERDQLQRHLNSALPQEFATLTRELSMCREQLLEREEEISELKAERNNTRLLLEHLEC LVSRHERSLRMTVVKRQAQSPSGVSSEVEVLKALKSLFEHHKALDEKVRERLRAALERVT TLEEQLAGAHQQVSALQQGAGIRDGVAEEEGTVDLGPKRLWKDDTGRVEELQGLLEKQNY ELSQARERLVTLSATVTELEEDLGTARRDLIKSEELSSKHQRDLREALAQKEDMEERITT LEKRYLAAQREATSIHDLNDKLENELANKESLHRQCEEKARHLQELLEVAEQKLQQTMRK AETLPEVEAELSQRIAALTKAEERHGNIEEHLRQLEGQLEEKNQELARVRQREKMNEDHN KRLSDTVDRLLSESNERLQLHLKERMAALEEKGRLSEEIEKLRQEVDQLKGRGGPFVDGI HSRSHVGSAADVRFSLSTATHAPPGLHRRYSALRDESAKDWKPSPLPGVLGATTPAFDSD PEISDVDEDEPGGLVGTQVDVISPGGHSDAQTLAMMLQEQLDAINQEIRMIQEEKESTEL RAEEIETRVTSGSMEALNLTQLRKRGSIPTSLTALSLASASPPLSGRSTPKLTSRSAAQD LDRMGVMTLPSDLRKHRRKLLSPVSREENREDKATIKCETSPPSSPRTLRLEKLGHPGLS QEEGKSALEGQDSNPSSSNSSQDSLHKGAKRKGIKSSIGRLFGKKEKGRLIQLSRDATGH VLLTDSELSLQEPMVPAKLGTQAEKDRRLKKKHQLLEDARRKGMPFAQWDGPTVVSWLEL WVGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNALHRLKLRLAIQEMVSLTSPSA PPTSRTSSGNVWVTHEEMETLATSTKTDSEEGSWAQTLAYGDMNHEWIGNEWLPSLGLPQ YRSYFMECLVDARMLDHLTKKDLRVHLKMVDSFHRTSLQYGIMCLKRLNYDRKELEKRRE ESQHEIKDVLVWTNDQVVHWVQSIGLRDYAGNLHESGVHGALLALDENFDHNTLALVLQI PTQSTQARQVMEREFNNLLALGTDRKLDDGEEKVFRRAPSWRKRFRPRDHHSGGMLGTSA ETLPAGFRVSTLGPLQPPPAPPKKIMPEAGTAGAQRLEPSTVRTYSC >ENSMUSP00000139800.1 pep:known chromosome:GRCm38:1:134296784:134327266:-1 gene:ENSMUSG00000026458.13 transcript:ENSMUST00000186730.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfia4 description:protein tyrosine phosphatase, receptor type, f polypeptide (PTPRF), interacting protein (liprin), alpha 4 [Source:MGI Symbol;Acc:MGI:1915757] LREALAQKEDMEERITTLEKRYLAAQREATSIHDLNDKLENELANKESLHRQCEEKARHL QELLEVAEQKLQQTMRKAETLPEVEAELSQRIAALTKAEERHGNIEEHLRQLEGQLEEKN QELARVRQREKMNEDHNKRLSDTVDRLLSESNERLQLHLKERMAALEEKGRLSEEIEKLR QEVDQLKGRGGPFVDGIHSRSHVGSAADVRFSLSTATHAPPGLHRRYSALRDESAKDWKP SPLPGVLGATTPAFDSDPEISDVDEDEPGGLVGTQVDVISPGGHSDAQTLAMMLQEQLDA INQEIRMIQEEKESTELRAEEIETRVTSGSMEALNLTQLRKRGSIPTSLTALSLASASPP LSGRSTPKLTSRSAAQDLDRMGVMTLPSDLRKHRRKLLSPVSREENREDKATIKCETSPP SSPRTLRLEKLGHPGLSQEEGKSALEGQDSNPSSSNSSQDSLHKGAKRKGIKSSIGRLFG KKEKGRLIQLSRDATGHVLLTDSELSLQEPMVPAKLGTQAEKDRRLKKKHQLLEDARRKG MPFAQWDGPTVVSWLELWVGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNALHRL KLRLAIQEMVSLTSPSAPPTSRTSSGNVWVTHEEMETLATSTKTTLAYGDMNHEWIGNEW LPSLGLPQYRSYFMECLVDARMLDHLTKKDLRVHLKMVDSFHRTSLQYGIMCLKRLNYDR KELEKRREESQHEIKDVLVWTNDQVVHWVQSIGLRDYAGNLHESGVHGALLALDENFDHN TLALVLQIPTQSTQARQVMEREFNNLLALGTDRKLDDGEEKVFRRAPSWRKRFRPRDHHS GGMLGTSAETLPAGFRVSTLGPLQPPPAPPKKIMPEAHSHYLYGHMLSAFRD >ENSMUSP00000139833.1 pep:known chromosome:GRCm38:1:134297501:134332928:-1 gene:ENSMUSG00000026458.13 transcript:ENSMUST00000189361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfia4 description:protein tyrosine phosphatase, receptor type, f polypeptide (PTPRF), interacting protein (liprin), alpha 4 [Source:MGI Symbol;Acc:MGI:1915757] MCEVMPTINEGDPLGPPHGADAEANFEQLMVNMLDEREKLLESLRESQETLVATQSRLQD ALHERDQLQRHLNSALPQEFATLTRELSMCREQLLEREEEISELKAERNNTRLLLEHLEC LVSRHERSLRMTVVKRQAQSPSGVSSEVEVLKALKSLFEHHKALDEKVRERLRAALERVT TLEEQLAGAHQQVSALQQGAGIRDGVAEEEGTVDLGPKRLWKDDTGRVEELQGLLEKQNY ELSQARERLVTLSATVTELEEDLGTARRDLIKSEELSSKHQRDLREALAQKEDMEERITT LEKRYLAAQREATSIHDLNDKLENELANKESLHRQCEEKARHLQELLEVAEQKLQQTMRK AETLPEVEAELSQRIAALTKAEERHGNIEEHLRQLEGQLEEKNQELARVRQREKMNEDHN KRLSDTVDRLLSESNERLQLHLKERMAALEEKGRLSEEIEKLRQEVDQLKGRGGPFVDGI HSRSHVGSAADVRFSLSTATHAPPGLHRRYSALRDESAKDWKPSPLPGVLGATTPAFDSD PEISDVDEDEPGGLVGTQVDVISPGGHSDAQTLAMMLQEQLDAINQEIRMIQEEKESTEL RAEEIETRVTSGSMEALNLTQLRKRGSIPTSLTALSLASASPPLSGRSTPKLTSRSAAQD LDRMGVMTLPSDLRKHRRKLLSPVSREENREDKATIKCETSPPSSPRTLRLEKLGHPGLS QEEGKSALEGQDSNPSSSNSSQDSLHKGAKRKGIKSSIGRLFGKKEKGRLIQLSRDATGH VLLTDSELSLQEPMVPAKLGTQAEKDRRLKKKHQLLEDARRKGMPFAQWDGPTVVSWLEL WVGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNALHRLKLRLAIQEMVSLTSPSA PPTSRTSSGNVWVTHEEMETLATSTKTDSEEGSWAQTLAYGDMNHEWIGNEWLPSLGLPQ YRSYFMECLVDARMLDHLTKKDLRVHLKMVDSFHRTSLQYGIMCLKRLNYDRKELEKRRE ESQHEIKDVLVWTNDQVVHWVQSIGLRDYAGNLHESGVHGALLALDENFDHNTLALVLQI PTQSTQARQVMEREFNNLLALGTDRKLDDGEEKVFRRAPSWRKRFRPRDHHSGGMLGTSA ETLPAGFRVSTLGPLQPPPAPPKKIMPEAHSHYLYGHMLSAFRD >ENSMUSP00000115899.1 pep:known chromosome:GRCm38:2:130424365:130439917:1 gene:ENSMUSG00000027411.17 transcript:ENSMUST00000128994.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps16 description:vacuolar protein sorting 16 (yeast) [Source:MGI Symbol;Acc:MGI:2136772] MDCYTANWNPLGDSAFYRKYELYSMDWDLKEELKDCLVAAAPYGGPIALLRNCWRKEKAA SVRPVLEIYSASGLPLASLLWKSGPVVALGWSAEEELLCVQEDGAVLVYGLHGDFRRHFS MGNEVLQNRVLDARIFHTEFGSGVAILTGAYRFTLSANVGDLKLRRMPEVPGLQSAPSCW TTLCHDRVPHILLAVGPDLYLLDHATCSAVEKLCEFNCNIRAPPKQMVWCSRPRSKERAV VVAWERRLMVVGNAPESIQFVLDEDSYLVPELDGVRIFSRSTHEFLHEVPVASEEIFKIA SMAPGALLLEAQKEYE >ENSMUSP00000028900.9 pep:known chromosome:GRCm38:2:130424365:130444269:1 gene:ENSMUSG00000027411.17 transcript:ENSMUST00000028900.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps16 description:vacuolar protein sorting 16 (yeast) [Source:MGI Symbol;Acc:MGI:2136772] MDCYTANWNPLGDSAFYRKYELYSMDWDLKEELKDCLVAAAPYGGPIALLRNCWRKEKAA SVRPVLEIYSASGLPLASLLWKSGPVVALGWSAEEELLCVQEDGAVLVYGLHGDFRRHFS MGNEVLQNRVLDARIFHTEFGSGVAILTGAYRFTLSANVGDLKLRRMPEVPGLQSAPSCW TTLCHDRVPHILLAVGPDLYLLDHATCSAVTPAGLAPGVSSFLQMAVSFTYRYLALFTDT GYIWMGTASLKEKLCEFNCNIRAPPKQMVWCSRPRSKERAVVVAWERRLMVVGNAPESIQ FVLDEDSYLVPELDGVRIFSRSTHEFLHEVPVASEEIFKIASMAPGALLLEAQKEYEKES QKADEYLREIQELGQLIQAVQQCIEAAGHEHQPDMQKSLLRAASFGKCFLDRFPPDSFVH MCQDLRVLNAIRDYHIGIPLTYTQYKQLTIQVLLDRLVLRRLYPLAIQICEYLRLPEVQG VSRILAHWACYKVQQKDVSDEDVARAINQKLGDTPGVSYSDIAARAYGCGRTELAIKLLE YEPRSGEQVPLLLKMKRSKLALSKAIESGDTDLVFTVLLHLKNELNRGDFFMTLRNQPMA LSLYRQFCKHQELDTLKDLYNQDDNHQELGSFHIRASYAAEERIEGRVAALQTAADAFYK AKNEFAAKATEDQMRLLRIQRRLEDELGGRFLDLSLHDTVTTLILGGHNKRAEQLARDFR IPDKRLWWLKLAALADLEDWEELEKFSKSKKSPIGYLPFVEICMKQHNKHEAKKYASRVG PEQKVKALLLVGDVAQAAEVAIEHRNETELSLVLSHCTGATDGAIADKIQRARAQAQKK >ENSMUSP00000092964.3 pep:known chromosome:GRCm38:8:45934619:45944145:1 gene:ENSMUSG00000071104.9 transcript:ENSMUST00000095326.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc110 description:coiled-coil domain containing 110 [Source:MGI Symbol;Acc:MGI:2685018] MSPGYLPAPKAVLGSVPEKHLAEEDEVDSILLSASKILNSSEGVKESGGNEPEYGCASEP ENQIQPQSALKVLQHQLESFQALRMQTLQNVSMVQSEISEILNKSIVEVETPQFNSEKSL VFSMHPEKDLPNETQEEIPSTKTLHSMGETFSSNSDTGLPQGTDIPPQIQVKDMLALQGL RTTADNSPPKKAMNTSEQPSATKSFSLYQFLPQGPQTAVPQAAPVILDKSTITTPFPKHG FCANLDDICHSIKHMKEELQKSHDKELALTSELHTFQADASTQGHHKHEPFPMHSSKLNF IQQENMEGNLSEDMKSKRISELEALVSKLLPLRDTVSKLHVNFCRKCKKLSKSEVYRGKK NEKNKDIPITSKNIMDLKFHSRAPRYTLSVLDLGKHKIKDKEGQAFIVSQGPATLENEKL PKGKFIPEQCVPKQSVAKIHYLQNYLKESLQNQKKMTELENENLALKTKMKPLVFTAQSL IQKVEAHEKQLKSLAEEKSALQSKLSKAEEENKDCLRELKKIVSTYNVLGGQHKMLEEKN SQLSLEKQQMLETIDHLKSKEHKSQSDMAVLQNENSRMNIEIEAMKTSMLLVQDEREMLE KETYQLLKDKSTLESDLKESKLEILQLKEKERLIKAEQESLLHSLDTAKAEKLSLEATLQ ESTSTRQKLERKLVDIQAYQSAAEEKFLKEIKSAKSETSIYKNNLAEISKECEILSKMVM EIKADNQILKEELKKHSQENTKFENSISRLTEDKILLENYVRSIENEKDTLEFEMRNLQR DYLSLSDKVSSQNNSASKSTYISRREKLYFDNYDAYEDASSLRNRPVASDLKGIPHKLYQ RLPSKICK >ENSMUSP00000134510.1 pep:known chromosome:GRCm38:8:45934705:45941500:1 gene:ENSMUSG00000071104.9 transcript:ENSMUST00000174815.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc110 description:coiled-coil domain containing 110 [Source:MGI Symbol;Acc:MGI:2685018] MSPGYLPAPKAVLGSVPEKHLAEEDEVDSILLSASKILNSSEGVKESGGNEPGPTTSAGV VSGSADADSTERQHGTV >ENSMUSP00000030676.7 pep:known chromosome:GRCm38:4:125490700:125714173:1 gene:ENSMUSG00000001985.9 transcript:ENSMUST00000030676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik3 description:glutamate receptor, ionotropic, kainate 3 [Source:MGI Symbol;Acc:MGI:95816] MTAPWRRLRSLVWEYWAGFLVCAFWIPDSRGMPHVIRIGGIFEYADGPNAQVMNAEEHAF RFSANIINRNRTLLPNTTLTYDIQRIHFHDSFEATKKACDQLALGVVAIFGPSQGSCTNA VQSICNALEVPHIQLRWKHHPLDNKDTFYVNLYPDYASLSHAILDLVQSLKWRSATVVYD DSTGLIRLQELIMAPSRYNIRLKIRQLPIDSDDSRPLLKEMKRGREFRIIFDCSHTMAAQ ILKQAMAMGMMTEYYHFIFTTLDLYALDLEPYRYSGVNLTGFRILNVDNPHVSAIVEKWA MERLQAAPRAESGLLDGVMMTDAALLYDAVHIVSVCYQRAPQMTVNSLQCHRHKAWRFGG RFMNFIKEAQWEGLTGRIVFNKTSGLRTDFDLDIISLKEDGLEKVGVWSPADGLNITEVA KGRGPNVTDSLTNRSLIVTTVLEEPFVMFRKSDRTLYGNDRFEGYCIDLLKELAHILGFS YEIRLVEDGKYGAQDDKGQWNGMVKELIDHKADLAVAPLTITHVREKAIDFSKPFMTLGV SILYRKPNGTNPSVFSFLNPLSPDIWMYVLLAYLGVSCVLFVIARFSPYEWYDAHPCNPG SEVVENNFTLLNSFWFGMGSLMQQGSELMPKALSTRIIGGIWWFFTLIIISSYTANLAAF LTVERMESPIDSADDLAKQTKIEYGAVKDGATMTFFKKSKISTFEKMWAFMSSKPSALVK NNEEGIQRTLTADYALLMESTTIEYITQRNCNLTQIGGLIDSKGYGIGTPMGSPYRDKIT IAILQLQEEDKLHIMKEKWWRGSGCPEEENKEASALGIQKIGGIFIVLAAGLVLSVLVAV GEFIYKLRKTAEREQRSFCSTVADEIRFSLTCQRRLKHKPQPPMMVKTDAVINMHTFNDR RLPGKDSMSCSTSLAPVFP >ENSMUSP00000072333.6 pep:known chromosome:GRCm38:X:118427227:118428256:1 gene:ENSMUSG00000100194.1 transcript:ENSMUST00000072518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif2lx2 description:TGFB-induced factor homeobox 2-like, X-linked 2 [Source:MGI Symbol;Acc:MGI:3800824] MEEAEGSPEETQDFMKYYSSFGIRLERTCKMKFHDSRELPRGNMLPLKSVKILRDWLCEH QFNAYPTVADKRMLSKNTDLSYLQVSNWFVNIRKHLRWEIRYKPYSLSHEGQAANAAQKQ HSNPSEEVKTQFNENADMQDLPLPIRQDSEEKVPYLESSPNQKVIAEDNIEKEEKISITE PWSSPEVAWPEEKPDFSSFYMLVDVAVQKAKEMEEQKKQNPNPQGPQQQFM >ENSMUSP00000070901.8 pep:known chromosome:GRCm38:7:80227147:80232813:-1 gene:ENSMUSG00000030538.15 transcript:ENSMUST00000065163.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cib1 description:calcium and integrin binding 1 (calmyrin) [Source:MGI Symbol;Acc:MGI:1344418] MGGSGSRLSKELLAEYQDLTFLTKQEILLAHRRFCELLPPEQRTVEESLHTRVSFEQILS LPELKANPFKERICMVFSTSPTRDSLSFEDFLDLLSVFSDTATPDIKSHYAFRIFDFDDD GTLDREDLSQLVNCLTGEGEDTRLSASEMKQLIDNILEESDIDRDGTINLSEFQHVISRS PDFASSFKIVL >ENSMUSP00000145961.1 pep:known chromosome:GRCm38:7:80227159:80232565:-1 gene:ENSMUSG00000030538.15 transcript:ENSMUST00000206084.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cib1 description:calcium and integrin binding 1 (calmyrin) [Source:MGI Symbol;Acc:MGI:1344418] MGGSGSRLSKELLAEYQDLTFLTKQEILLAHRRFCELLPPEQRTVEESLHTRVSFEQILS LPELKERICMVFSTSPTRDSLSFEDFLDLLSVFSDTATPDIKSHYAFRIFDFDDDGTLDR EDLSQLVNCLTGEGEDTRLSASEMKQLIDNILEESDIDRDGTINLSEFQHVISRSPDFAS SFKIVL >ENSMUSP00000071401.5 pep:known chromosome:GRCm38:7:80227159:80232624:-1 gene:ENSMUSG00000030538.15 transcript:ENSMUST00000071457.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cib1 description:calcium and integrin binding 1 (calmyrin) [Source:MGI Symbol;Acc:MGI:1344418] MGGSGSRLSKELLAEYQANPFKERICMVFSTSPTRDSLSFEDFLDLLSVFSDTATPDIKS HYAFRIFDFDDDGTLDREDLSQLVNCLTGEGEDTRLSASEMKQLIDNILEESDIDRDGTI NLSEFQHVISRSPDFASSFKIVL >ENSMUSP00000118926.2 pep:known chromosome:GRCm38:7:80227380:80232495:-1 gene:ENSMUSG00000030538.15 transcript:ENSMUST00000123279.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cib1 description:calcium and integrin binding 1 (calmyrin) [Source:MGI Symbol;Acc:MGI:1344418] MVFSTSPTRDSLSFEDFLDLLSVFSDTATPDIKSHYAFRIFDFDDDGTLDREDLSQLVNC LTGEGEDTRLSASEMKQLIDNILEESDIDRDGTINLSEFQHVISRSPDFASSF >ENSMUSP00000146153.1 pep:known chromosome:GRCm38:7:80228063:80232597:-1 gene:ENSMUSG00000030538.15 transcript:ENSMUST00000205996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cib1 description:calcium and integrin binding 1 (calmyrin) [Source:MGI Symbol;Acc:MGI:1344418] MVFSTSPTRDSLSFEDFLDLLSVFSDTATPDIKSHYAFRIFDFDDDGTLDREDLSQLVNC LTGEGEDTRLSASEMKQLIDNILEESD >ENSMUSP00000145564.1 pep:known chromosome:GRCm38:7:80228398:80232805:-1 gene:ENSMUSG00000030538.15 transcript:ENSMUST00000206802.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cib1 description:calcium and integrin binding 1 (calmyrin) [Source:MGI Symbol;Acc:MGI:1344418] MGGSGSRLSKELLAEYQDLTFLTKQEILLRKDCLWIERRQTWT >ENSMUSP00000140565.1 pep:known chromosome:GRCm38:Y:68806596:68832728:-1 gene:ENSMUSG00000100032.1 transcript:ENSMUST00000191123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20817 description:predicted gene, 20817 [Source:MGI Symbol;Acc:MGI:5434173] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSILDKSEVNNPAIGKDENTSPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000034991.7 pep:known chromosome:GRCm38:9:87702800:87731260:-1 gene:ENSMUSG00000032419.8 transcript:ENSMUST00000034991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx18 description:T-box18 [Source:MGI Symbol;Acc:MGI:1923615] MAEKRRGSPCSMLSLKAHAFSVEALIGAEKQQQLQKKRRKLATEEAAGAVEDAGCSRSGG AGEHGCSEADEEAAAPPPAAGAASGPARSCADAERSCGSRGAAGSCEDGFLQGASPLASP GGSPKGSPVPGLARPGTPLPAPQAPRVDLQGAELWKRFHEIGTEMIITKAGRRMFPAMRV KISGLDPHQQYYIAMDIVPVDNKRYRYVYHSSKWMVAGNADSPVPPRVYIHPDSPASGET WMRQVISFDKLKLTNNELDDQGHIILHSMHKYQPRVHVIRKDCGDDLSPIKPVPSGEGVK AFSFPETVFTTVTAYQNQQITRLKIDRNPFAKGFRDSGRNRMGLEALVESYAFWRPSLRT LTFEDIPGIPKQGNTSSSALLQGTGNAVPATHPHLLSGSSCSSPAFHLGPNTSQLCSLAP ADYSACARSGLALNRYSTSLAETYSRLTNQSSETFAPPRTPSYVSVSSNPSVNMSMGGTD GDTFSCPQTSLSMQISGMSPQLQYIMPSPSGNAFAANQTHQSSYNTFRLHSPCALYGYNF STSPKLAASPEKIVSSQGSFLGSSPSGTMTDRQMLPPVEGVHLLSSGGQQSFFDSRTLGS LTLPSSQVSAHMV >ENSMUSP00000135472.1 pep:known chromosome:GRCm38:6:58471898:58472930:-1 gene:ENSMUSG00000093379.7 transcript:ENSMUST00000176177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r31 description:vomeronasal 1 receptor 31 [Source:MGI Symbol;Acc:MGI:3649162] MFAFKNVLYFQAGLGALANMFLLCFYIFIFIGHRPKPTDLISCQLTFIHMMMFLTGGDLL LTDIFATLSIENDVKCKATFYISRVTRGLSICTTCLLSVFQAVTISPNTSMLANFKPKLK KYMTYVFLCIWSFNLSYSSNRFFYVHGFTNVSENNQMQVTESCSLFPMNYIIRGLMLTVS ICRDVFLVGIMMTTSTYMVIILCRHQRQCKHLHSISHLRASPEKRATQAILLLVIFFVIM YWVDFIISSTSVLLWMYDPVILRVQKFVMYAFPTITPLVQISSDNRIIIMLKNMHSKHHQ SFF >ENSMUSP00000135282.1 pep:known chromosome:GRCm38:6:58472556:58475266:-1 gene:ENSMUSG00000093379.7 transcript:ENSMUST00000176147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r31 description:vomeronasal 1 receptor 31 [Source:MGI Symbol;Acc:MGI:3649162] MMMFLTGGDLLLTDIFATLSIENDVKCKATFYISRVTRGLSICTTCLLSVFQAVTISP >ENSMUSP00000135252.1 pep:known chromosome:GRCm38:6:58472556:58475312:-1 gene:ENSMUSG00000093379.7 transcript:ENSMUST00000176023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r31 description:vomeronasal 1 receptor 31 [Source:MGI Symbol;Acc:MGI:3649162] MMMFLTGGDLLLTDIFATLSIENDVKCKATFYISRVTRGLSICTTCLLSVFQAVTISP >ENSMUSP00000135555.1 pep:known chromosome:GRCm38:6:58472556:58475343:-1 gene:ENSMUSG00000093379.7 transcript:ENSMUST00000177318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r31 description:vomeronasal 1 receptor 31 [Source:MGI Symbol;Acc:MGI:3649162] MFAFKNVLYFQAGLGALANMFLLCFYIFIFIGHRPKPTDLISCQLTFIHMMMFLTGGDLL LTDIFATLSIENDVKCKATFYISRVTRGLSICTTCLLSVFQAVTISP >ENSMUSP00000090305.5 pep:known chromosome:GRCm38:11:101442298:101445529:1 gene:ENSMUSG00000063316.13 transcript:ENSMUST00000077856.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl27 description:ribosomal protein L27 [Source:MGI Symbol;Acc:MGI:98036] MGKFMKPGKVVLVLAGRYSGRKAVIVKNIDDGTSDRPYSHALVAGIDRYPRKVTAAMGKK KIAKRSKIKSFVKVYNYNHLMPTRYSVDIPLDKTVVNKDVFRDPALKRKARREAKVKFEE RYKTGKNKWFFQKLRF >ENSMUSP00000102870.1 pep:known chromosome:GRCm38:11:101442446:101445529:1 gene:ENSMUSG00000063316.13 transcript:ENSMUST00000107249.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl27 description:ribosomal protein L27 [Source:MGI Symbol;Acc:MGI:98036] MGKFMKPGKVVLVLAGRYSGRKAVIVKNIDDGTSDRPYSHALVAGIDRYPRKVTAAMGKK KIAKRSKIKSFVKVYNYNHLMPTRYSVDIPLDKTVVNKDVFRDPALKRKARREAKVKFEE RYKTGKNKWFFQKLRF >ENSMUSP00000120418.1 pep:known chromosome:GRCm38:11:101443612:101445529:1 gene:ENSMUSG00000063316.13 transcript:ENSMUST00000127514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl27 description:ribosomal protein L27 [Source:MGI Symbol;Acc:MGI:98036] RSKIKSFVKVYNYNHLMPTSTRLSSHSESCVLGFRYSVDIPLDKTVVNKDVFRDPALKRK ARREAKVKFEERYKTGKNKWFFQKLRF >ENSMUSP00000036503.4 pep:known chromosome:GRCm38:11:88294058:88295261:1 gene:ENSMUSG00000034031.5 transcript:ENSMUST00000037268.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc182 description:coiled-coil domain containing 182 [Source:MGI Symbol;Acc:MGI:1921547] MEALFQAGSILMKVNTLQGKKMVESGLQSGDLSLSQSWPSYLPLPADLEILQQKVAGVQR ELEDFKEEALKAIRYLEDAFCQMSGVLAQQEEQAARVKQRLREEEDRGIVRNKVLTFLLP REKQLREHCQRLENMLVRSHNPLRAIRKSQAD >ENSMUSP00000084523.5 pep:known chromosome:GRCm38:1:71242276:71414910:-1 gene:ENSMUSG00000050296.14 transcript:ENSMUST00000087268.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca12 description:ATP-binding cassette, sub-family A (ABC1), member 12 [Source:MGI Symbol;Acc:MGI:2676312] MASQFHQLRILVWKNWLGVKRQPLWTLVLILWPVIIFIILAITRTKFPPTAKPTCYLAPR NLPSAGFFPFLQTLLCDTDSKCKDTPYGPRDLLRRKGIDGPLFKESEILKKPSNPKRDSN LSLRSTQVPERSHTSLATVPPRPSYDLEGTGTENFNGSQLLTRILGLEKLLKQNSTPEDI RRELCESYPGYTADYAFSWVTLGKNVFNKFCLSNMTLLESSLQELKYQVSQMSSDPDNQK RVFRGLVQVLSFFSQVQQQREVWQLLSSLPDVFQNGTSLSSLFGVLQKANRVLLVVQKVY PRVQTDEGFSTLQKSVKHLLNTLDSPMQGDNSTHAWSDDDEQTLSPSSLAAQLLILENFE DAILNISSNSPYSPYLACVRNMTDNLAKGSPDNLKLLQSTIHFRKSFLQNGSSEDSFPPF LEILKSKLSQLRNLTELLCESETFSSIKKSCQFSNMSFERLCEDHAFHVQLIEAAELGTD LTTGLLYHDNIISAKLRGLLTGDPSKINLNVDWLLEQALQMNYLENITRLIPTVEAMLHV NTSADASEKPGQLREMFKNIDLLKEDLRAIGMSNTSIDKLLAIPIPDNRAEIISRVFWLH SCDTNVTNPKLEDAMKEFCKLPLPERSHQSYLIGLTLLHYLDIYNFTYKVFFPRKDQKPM ERMMELFIKLREILNQLASGTHPLLDKMRSLRQMHLPRSVPLTQAMYRNTRMNSPAGSFS TISQALCSQGITTEYLTAMLPSSQKPKGNHTKDFLTYKLTKEEIASKYGIPLNATPFCFS LYKDIINMPAGPVIWAFLKPMLLGKILYSPYNPTTKAIMEKSNVTLRQLAELREKSQEWM DKSPIFMNSFHLLNQTIPMLQNTLRNPFVQVFVKFSVGLDAVELLKQIDDLDVLRLKLVN NIDIIDQLNTLSSLTVNISSCVLYDRIQASDTVEEMETVAEQLYKSNELFGSVIFKLPSN GSLHRGFDPEKVSLPPIVRYTIRMSLKTAQTTRSIRTKIWAPGPHNSPSHNQIYGRAFIY LQDSIERAIIELQTGRNSQEVAVQVQAVPYPCFMKDNFLTSVSYSLPIVLMVAWVVFIAA FVKKLVYEKDLRLHEYMKMMGVNSCSHFFAWLIESIGFLLVTIAILIVILKFGNILPKTN GFILFLYFSDYSFSVIAMSYLISVFFNNTNIAALIGSLIYVIAFFPFIVLVTVEDELSYV IKVFMSLLSPTAFSYASQYIARYEEQGVGLQWENMYKSPVQDDTTSFGWLCCLILADSFI YFFIAWYVRNVFPGTYGMAAPWYFPILPSYWKERFGCAEVKHEKSNGLMFTNIMMQNTNP SASKTSPDCAFPSNIEPEPKDLQVGVALHGVTKIYGSKTAVENLNLNFYEGHITSLLGPN GAGKTTTISMLTGLFGATAGTIFVYGKDIKTDLNTVRKNMGVCMQHDVLFSYLTTKEHLL LYGSIKVPHWTKTQLHEEVKRTLKDTGLYSHRHKRVGTLSGGMKRKLSISIALIGGSRVV ILDEPSTGVDPCSRRSIWDVISKNKTARTIILSTHHLDEAEVLSDRIAFLEQGGLRCCGS PFYLKEAFGDGYHLTLTKKKSPNLDTNAICDTVAVTAMIQSHLPEAYLKEDIGGELVYVL PPFSTKVSGAYLSLLRALDKGMGKLNIGCYGISDTTVEEVFLNLTKDSQKSSNMSLEHLT QRKVGNPSANGTSTPDDLSVSSSNFTDRDDKVLTRSEKLEGFGLLLKKIMAILIKRFHHT RRNWKGLIAQVILPIVFVATAMGLGTLRDSSNSYPEIMISPSIYGTSEQTAFYANFDPST SGLVSALWNFPGIDNVCLNTSDLQCLKKDDLGKWNTSGEAIDNFGVCSCSDNVQECPKFN YHPPHRRTYSSQVIYNLTGKHMENYLITTANHFVQKRYGGWSFGMKLTNDLRFDVTAVPD NRTLAKVWYDPEGYHSLPAYLNSLNNFLLRVNMSEYDAARHGIIMYSHPYPGVQDQEQAT ISSLIDILVALSILMGYSVTTASFVTYIVREHQTKAKQLQHISGIGVTCYWVTNFIYDMV FYLVPVAFSIGVIAIFKLPAFYSGNNLGAVSLLLLLFGYATFSWMYLLAGLFHETGMAFI TYVCVNLFFGINSIVSLSVVYFLSKEKPNDPTLELISETLKRIFLIFPQFCFGYGLIELS QQQAVLDFLKAYGVEYPSETFEMDKLGAMFVALVSQGTMFFLLRLLINEWLIKKLRLFFR KFTSSPIMETVDEDEDVRAERFRVESGAAEFDLVQLHRLTKTYQLIHKKIIAVNNISLGI PAGECFGLLGVNGAGKTTIFKMLTGDIIPSSGNILIRNKSGSLGHVDSHSSLVGYCPQED ALDDLVTVEEHLYFYARVHGIPEKDIKDTVHKLLRRLHLMAYKDRSTSMCSYGTKRKLST ALALIGKPSILLLDEPSSGMDPKSKRHLWRIISEEVQNKCSVILTSHSMEECEALCTRLA IMVNGRFQCIGSLQHIKSRFGRGFTVKVHLKNNKVSMETLTKFMQLHFPKTYLKDQHLSM LEYHVPVTAGGVANIFDLLETNKTALNITNFLVSQTTLEEVFINFAKDQKSYENVDTSSQ GSTISVDSQEDQLDS >ENSMUSP00000125322.2 pep:known chromosome:GRCm38:1:74854934:74857732:1 gene:ENSMUSG00000090071.4 transcript:ENSMUST00000160379.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5r2 description:cyclin-dependent kinase 5, regulatory subunit 2 (p39) [Source:MGI Symbol;Acc:MGI:1330828] MGTVLSLSPASSAKGRRPGGLPEEKKKAPPAGDEALGGYGAPPAGKGGKGESRLKRPSVL ISALTWKRLVAASAKKKKGSKKVTPKPASTGPDPLVQQRNRENLLRKGRDGPDGGGTAKP LAVPVPTVPTTAATCEPPSGGSAAAPPPGSGGGKPPPPPPPAPQAAPPAPGGSPRRVIVQ ASTGELLRCLGDFVCRRCYRLKELSPGELVGWFRGVDRSLLLQGWQDQAFITPANLVFVY LLCRESLRGDELASAAELQAAFLTCLYLAYSYMGNEISYPLKPFLVEPDKERFWQRCLRL IQRLSPQMLRLNADPHFFTQVFQDLKNEGEAAASTGGPPSGSSASTTSSSSARDSCATGA KHWTMNLDR >ENSMUSP00000146860.1 pep:known chromosome:GRCm38:7:67222544:67307330:1 gene:ENSMUSG00000043831.12 transcript:ENSMUST00000208512.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lysmd4 description:LysM, putative peptidoglycan-binding, domain containing 4 [Source:MGI Symbol;Acc:MGI:1922349] MRQKEVLAKSFQGPAAVCRTPNSHVYMFNNGSGDSGDSSEEESHQVVLRPRGKEHQKNSS QRPGAGTMVLLQRELAQEDSLNKLALQYGCKKALE >ENSMUSP00000146421.1 pep:known chromosome:GRCm38:7:67222579:67228455:1 gene:ENSMUSG00000043831.12 transcript:ENSMUST00000208213.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lysmd4 description:LysM, putative peptidoglycan-binding, domain containing 4 [Source:MGI Symbol;Acc:MGI:1922349] MRQKEVLAKSFQGPAAVCRTPNSHVYMFNNGSGDSGDSSEEESHQVVLRPRGKEHQKNSS QRPGAGTMVLLQRELAQEDSLNKLALQYGCKSKREASYKPVE >ENSMUSP00000147084.1 pep:known chromosome:GRCm38:7:67222596:67224626:1 gene:ENSMUSG00000043831.12 transcript:ENSMUST00000207757.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lysmd4 description:LysM, putative peptidoglycan-binding, domain containing 4 [Source:MGI Symbol;Acc:MGI:1922349] MRQKEVLAKSFQGPAAVCRTPNSHVYMFNNGSGDSGDSSEEESHQVVLRPRGKEHQKNSS QRPGAGTMVLLQRELAQEDSLNKLALQYGCKHSE >ENSMUSP00000053341.5 pep:known chromosome:GRCm38:7:67222608:67228456:1 gene:ENSMUSG00000043831.12 transcript:ENSMUST00000058771.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lysmd4 description:LysM, putative peptidoglycan-binding, domain containing 4 [Source:MGI Symbol;Acc:MGI:1922349] MRQKEVLAKSFQGPAAVCRTPNSHVYMFNNGSGDSGDSSEEESHQVVLRPRGKEHQKNSS QRPGAGTMVLLQRELAQEDSLNKLALQYGCKVADIKKANNFIREQDLYALKSIKIPVRNH GILTETHQELMPLGASSSETRVTLVDLPEDEDAGGATTQGNQLTDFFKGIDENIERAVHS DVFHGDSCCVEAPDQLLLPITQKPVADGADCGIQWWNAVFLMLLIGIVLPVFYLVYFKIQ ATGEPSNGLNATVVPNGSMTLSPVPGQAPRLAIPVPTLPASDSQVSPTTQAGA >ENSMUSP00000147179.1 pep:known chromosome:GRCm38:7:67222610:67228468:1 gene:ENSMUSG00000043831.12 transcript:ENSMUST00000207823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lysmd4 description:LysM, putative peptidoglycan-binding, domain containing 4 [Source:MGI Symbol;Acc:MGI:1922349] MRQKEVLAKSFQGPAAVCRTPNSHVYMFNNGSGDSGDSSEEESHQVVLRPRGKEHQKNSS QRPGAGTMVLLQRELAQEDSLNKLALQYGCKHSE >ENSMUSP00000146624.1 pep:known chromosome:GRCm38:7:67222614:67224627:1 gene:ENSMUSG00000043831.12 transcript:ENSMUST00000208698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lysmd4 description:LysM, putative peptidoglycan-binding, domain containing 4 [Source:MGI Symbol;Acc:MGI:1922349] MRQKEVLAKSFQGPAAVCRTPNSHVYMFNNGSGDSGDSSEEESHQVVLRPRGKEHQKNSS QRPGAGTMVLLQRELAQEDSLNKLALQYGCKHSE >ENSMUSP00000146557.1 pep:known chromosome:GRCm38:7:67222616:67227267:1 gene:ENSMUSG00000043831.12 transcript:ENSMUST00000208998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lysmd4 description:LysM, putative peptidoglycan-binding, domain containing 4 [Source:MGI Symbol;Acc:MGI:1922349] MRQKEVLAKSFQGPAAVCRTPNSHVYMFNNGSGDSGDSSEEESHQVVLRPRGKEHQKNSS QRPGAGTMVLLQRELAQEDSLNKLALQYGCKVADIKKANNFIREQDLYALKSIKIPVRNH GILTETHQELMPLGASSSETRVTLVDLPEDEDAGGATTQGNQLTDFFKGIDENIERAVHS DVFHGDSCCVEAPDQLLLPITQKPVADGADCGIQWWNAVFLMLLIGIVLPVFYLVYFKIQ ATGEPSNGLNATVVPNGSMTLSPVPGQAPRLAIPVPTLPASDSQVSPTTQAGA >ENSMUSP00000136151.2 pep:known chromosome:GRCm38:7:67222629:67228463:1 gene:ENSMUSG00000043831.12 transcript:ENSMUST00000179106.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lysmd4 description:LysM, putative peptidoglycan-binding, domain containing 4 [Source:MGI Symbol;Acc:MGI:1922349] MRQKEVLAKSFQGPAAVCRTPNSHVYMFNNGSGDSGDSSEEESHQVVLRPRGKEHQKNSS QRPGAGTMVLLQRELAQEDSLNKLALQYGCKHSE >ENSMUSP00000047270.8 pep:known chromosome:GRCm38:11:69784036:69795943:-1 gene:ENSMUSG00000041189.9 transcript:ENSMUST00000045971.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrnb1 description:cholinergic receptor, nicotinic, beta polypeptide 1 (muscle) [Source:MGI Symbol;Acc:MGI:87890] MALGALLLLLGVLGTPLAPGARGSEAEGQLIKKLFSNYDSSVRPAREVGDRVGVSIGLTL AQLISLNEKDEEMSTKVYLDLEWTDYRLSWDPAEHDGIDSLRITAESVWLPDVVLLNNND GNFDVALDINVVVSFEGSVRWQPPGLYRSSCSIQVTYFPFDWQNCTMVFSSYSYDSSEVS LKTGLDPEGEERQEVYIHEGTFIENGQWEIIHKPSRLIQLPGDQRGGKEGHHEEVIFYLI IRRKPLFYLVNVIAPCILITLLAIFVFYLPPDAGEKMGLSIFALLTLTVFLLLLADKVPE TSLAVPIIIKYLMFTMVLVTFSVILSVVVLNLHHRSPHTHQMPFWVRQIFIHKLPPYLGL KRPKPERDQLPEPHHSLSPRSGWGRGTDEYFIRKPPSDFLFPKLNRFQPESSAPDLRRFI DGPTRAVGLPQELREVISSISYMARQLQEQEDHDALKEDWQFVAMVVDRLFLWTFIVFTS VGTLVIFLDATYHLPPPEPFP >ENSMUSP00000020564.5 pep:known chromosome:GRCm38:10:79618652:79637918:-1 gene:ENSMUSG00000020312.11 transcript:ENSMUST00000020564.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc2 description:SHC (Src homology 2 domain containing) transforming protein 2 [Source:MGI Symbol;Acc:MGI:106180] MGCIEVLRSMRSLDFNTRTQVTREAINRLHEAVPGVRGSWKKKAPNKALASILGKSNLRF AGMSISVNISVDGLNLSVPATRQIIANHHMQSISFASGGDTDMTDYVAYVAKDPINQRAC HILECCEGLAQSVISTVGQAFELRFKQYLHSPPKAVVPPERLTGLEELAWGDDDAAADHN YYNSIPGKEPPLGGLVDSRLAVTQPCALATLGGLGQGMTPVWRDARGLPWDMGPSGAAPP GDGYVQADARGPHDYEEHLYVNTQGLDAVELEDTAEAPLQFEDSPKKDLFDMRPFEDALK LHACSVAAGITAASPPLEDQWPSPPTRRAPIAPTEEQLRQEPWYHGRMSRRAAEKLLRAD GDFLVRDSVTNPGQYVLTGMHAGQPKHLLLVDPEGVVRTKDVLFESISHLIDYHLKNGLP IVAAESELHLRGVVSREP >ENSMUSP00000129491.1 pep:known chromosome:GRCm38:10:79617940:79637918:-1 gene:ENSMUSG00000020312.11 transcript:ENSMUST00000163867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc2 description:SHC (Src homology 2 domain containing) transforming protein 2 [Source:MGI Symbol;Acc:MGI:106180] MTQGPGGRAAPEPEAPTTFCALLPRMPQWKFAAPGSFLGRGPAATRVAGVAEAQPEPGVP ALAAVLGACEPRCAAPCPLPALGRCRGSGTRGARVTPDVADEWVRKGGFIHKPAHGWLHP DARVLGPGVSYIVRYMGCIEVLRSMRSLDFNTRTQVTREAINRLHEAVPGVRGSWKKKAP NKALASILGKSNLRFAGMSISVNISVDGLNLSVPATRQIIANHHMQSISFASGGDTDMTD YVAYVAKDPINQRACHILECCEGLAQSVISTVGQAFELRFKQYLHSPPKAVVPPERLTGL EELAWGDDDAAADHNYYNSIPGKEPPLGGLVDSRLAVTQPCALATLGGLGQGMTPVWRDA RGLPWDMGPSGAAPPGDGYVQADARGPHDYEEHLYVNTQGLDAVELEDTAEAPLQFEDSP KKDLFDMRPFEDALKLHACSVAAGITAASPPLEDQWPSPPTRRAPIAPTEEQLRQEPWYH GRMSRRAAEKLLRADGDFLVRDSVTNPGQYVLTGMHAGQPKHLLLVDPEGVVRTKDVLFE SISHLIDYHLKNGLPIVAAESELHLRGVVSREP >ENSMUSP00000091895.1 pep:known chromosome:GRCm38:1:170907273:170912941:-1 gene:ENSMUSG00000070524.1 transcript:ENSMUST00000094337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrlb description:Fc receptor-like B [Source:MGI Symbol;Acc:MGI:3576487] MWMLAALLLLVPRSGKAATLEKPVLTLHPPWTTIFKGERVTLRCDGYHPLLLELRPISTL WYLGHVLLPSHKKSIEVQAPGVYRCQTRGAPVSDPIHLSVSNDWLILQVPYAAVFEGEPL VMRCRGWYDKVVYKLHYYHDGQAVRYFHSSTNYTVLQARASDSGHYQCSGTMRIPVESAP MFSSKVAVTVQELFQTPVLRTLSPQEARGRVVLRCETRLHPQKRDTPLQFAFYKYSRPVR RFDWGAEYTVPESEVEELESYWCEAATTSRSVRKRSPWLQLPGRGPVLDLASTTAPVAQA AALGPGDKPLSFRKTPVSRSVQSVTSIPNSTFAGLQFPAGHVATAGPHACAPLPASAEQS REALQPKVDLLLREMQLLKGLLSRVVLGLKDPQALHELTETPETPNSHVTVNPATPETTV MEGRVDS >ENSMUSP00000099356.3 pep:known chromosome:GRCm38:11:106820066:106920715:-1 gene:ENSMUSG00000018363.16 transcript:ENSMUST00000103067.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smurf2 description:SMAD specific E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:1913563] MSNPGGRRNGPVKLRLTGLPDPFAKVVVDGSGQCHSTDTVKNTLDPKWNQHYDLYIGKSD SVTISVWNHKKIHKKQGAGFLGCVRLLSNAINRLKDTGYQRLDLCKLGPNDNDTVRGQIV VSLQSRDRIGTGGQVVDCSRLFDNDLPDGWEERRTASGRIQYLNHITRTTQWERPTRPAS EYSSPGRPLSCFVDENTPITGTNGATCGHSSDPRLAERRVRSQRHRNYMSRTHLHTPPDL PEGYEQRTTQQGQVYFLHTQTGVSTWHDPRVPRDLSNINCEELGPLPPGWEIRNTATGRV YFVDHNNRTTQFTDPRLSANLHLVLNRQNQLKDQQQQQVVPLCPDDTECLTVPRYKRDLV QKLKILRQELSQQQPQAGHCRIEVSREEIFEESYRQVMKMRPKDLWKRLMIKFRGEEGLD YGGVAREWLYLLSHEMLNPYYGLFQYSRDDIYTLQINPDSAVNPEHLSYFHFVGRIMGMA VFHGHYIDGGFTLPFYKQLLGKSITLDDMELVDPDLHNSLVWILENDITGVLDHTFCVEH NAYGEIIQHELKPNGKSIPVTEENKKEYVRLYVNWRFLRGIEAQFLALQKGFNEVIPQHL LKTFDEKELELIICGLGKIDVSDWKVNTRLKHCTPDSNVVKWFWKAVEFFDEERRARLLQ FVTGSSRVPLQGFKALQGAAGPRLFTIHQIDACTNNLPKAHTCFNRIDIPPYESYEKLYE KLLTAIEETCGFAVE >ENSMUSP00000090177.2 pep:known chromosome:GRCm38:11:106822025:106920470:-1 gene:ENSMUSG00000018363.16 transcript:ENSMUST00000092517.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smurf2 description:SMAD specific E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:1913563] MSNPGGRRNGPVKLRLTVLCAKNLVKKDFFRLPDPFAKVVVDGSGQCHSTDTVKNTLDPK WNQHYDLYIGKSDSVTISVWNHKKIHKKQGAGFLGCVRLLSNAINRLKDTGYQRLDLCKL GPNDNDTVRGQIVVSLQSRDRIGTGGQVVDCSRLFDNDLPDGWEERRTASGRIQYLNHIT RTTQWERPTRPASEYSSPGRPLSCFVDENTPITGTNGATCGHSSDPRLAERRVRSQRHRN YMSRTHLHTPPDLPEGYEQRTTQQGQVYFLHTQTGVSTWHDPRVPRDLSNINCEELGPLP PGWEIRNTATGRVYFVDHNNRTTQFTDPRLSANLHLVLNRQNQLKDQQQQQVVPLCPDDT ECLTVPRYKRDLVQKLKILRQELSQQQPQAGHCRIEVSREEIFEESYRQVMKMRPKDLWK RLMIKFRGEEGLDYGGVAREWLYLLSHEMLNPYYGLFQYSRDDIYTLQINPDSAVNPEHL SYFHFVGRIMGMAVFHGHYIDGGFTLPFYKQLLGKSITLDDMELVDPDLHNSLVWILEND ITGVLDHTFCVEHNAYGEIIQHELKPNGKSIPVTEENKKEYVRLYVNWRFLRGIEAQFLA LQKGFNEVIPQHLLKTFDEKELELIICGLGKIDVSDWKVNTRLKHCTPDSNVVKWFWKAV EFFDEERRARLLQFVTGSSRVPLQGFKALQGAAGPRLFTIHQIDACTNNLPKAHTCFNRI DIPPYESYEKLYEKLLTAIEETCGFAVE >ENSMUSP00000129269.1 pep:known chromosome:GRCm38:11:106822613:106920359:-1 gene:ENSMUSG00000018363.16 transcript:ENSMUST00000167787.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smurf2 description:SMAD specific E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:1913563] MSNPGGRRNGPVKLRLTVLCAKNLVKKDFFRLPDPFAKVVVDGSGQCHSTDTVKNTLDPK WNQHYDLYIGKSDSVTISVWNHKKIHKKQGAGFLGCVRLLSNAINRLKDTGYQRLDLCKL GPNDNDTVRGQIVVSLQSRDRIGTGGQVVDCSRLFDNDLPDGWEERRTASGRIQYLNHIT RTTQWERPTRPASEYSSPGRPLSCFVDENTPITGTNGATCGHSSDPRLAERRVRSQRHRN YMSRTHLHTPPDLPEGYEQRTTQQGQVYFLHTQTGVSTWHDPRVPRDLSNINCEELGPLP PGWEIRNTATGRVYFVDHNNRTTQFTDPRLSANLHLVLNRQNQLKDQQQQQVVPLCPDDT ECLTVPRYKRDLVQKLKILRQELSQQQPQAGHCRIEVSREEIFEESYRQVMKMRPKDLWK RLMIKFRGEEGLDYGGVAREWLYLLSHEMLNPYYGLFQYSRDDIYTLQINPDSAVNPEHL SYFHFVGRIMGMAVFHGHYIDGGFTLPFYKQLLGKSITLDDMELVDPDLHNSLVWILEND ITGVLDHTFCVEHNAYGEIIQHELKPNGKSIPVTEENKKEYVRLYVNWRFLRGIEAQFLA LQKGFNEVIPQHLLKTFDEKELELIICGLGKIDVSDWKVNTRLKHCTPDSNVVKWFWKAV EFFDEERRARLLQFVTGSSRVPLQGFKALQGAAGPRLFTIHQIDACTNNLPKAHTCFNRI DIPPYESYEKLYEKLLTAIEETCGFAVE >ENSMUSP00000007797.4 pep:known chromosome:GRCm38:11:42419757:42629028:1 gene:ENSMUSG00000007653.12 transcript:ENSMUST00000007797.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrb2 description:gamma-aminobutyric acid (GABA) A receptor, subunit beta 2 [Source:MGI Symbol;Acc:MGI:95620] MWRVRKRGYFGIWSFPLIIAAVCAQSVNDPSNMSLVKETVDRLLKGYDIRLRPDFGGPPV AVGMNIDIASIDMVSEVNMDYTLTMYFQQAWRDKRLSYNVIPLNLTLDNRVADQLWVPDT YFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIES YGYTTDDIEFYWRGDDNAVTGVTKIELPQFSIVDYKLITKKVVFSTGSYPRLSLSFKLKR NIGYFILQTYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKIP YVKAIDMYLMGCFVFVFMALLEYALVNYIFFGRGPQRQKKAAEKAANANNEKMRLDVNKM DPHENILLSTLEIKNEMATSEAVMGLGDPRSTMLAYDASSIQYRKAGLPRHSFGRNALER HVAQKKSRLRRRASQLKITIPDLTDVNAIDRWSRIFFPVVFSFFNIVYWLYYVN >ENSMUSP00000141868.1 pep:known chromosome:GRCm38:11:42421350:42626891:1 gene:ENSMUSG00000007653.12 transcript:ENSMUST00000192403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrb2 description:gamma-aminobutyric acid (GABA) A receptor, subunit beta 2 [Source:MGI Symbol;Acc:MGI:95620] MWRVRKRGYFGIWSFPLIIAAVCAQSVNDPSNMSLVKETVDRLLKGYDIRLRPDFGGPPV AVGMNIDIASIDMVSEVNMDYTLTMYFQQAWRDKRLSYNVIPLNLTLDNRVADQLWVPDT YFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIES YGYTTDDIEFYWRGDDNAVTGVTKIELPQFSIVDYKLITKKVVFSTGSYPRLSLSFKLKR NIGYFILQTYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKIP YVKAIDMYLMGCFVFVFMALLEYALVNYIFFGRGPQRQKKAAEKAANANNEKMRLDVNKM FYKDIKQNGTQYRSLWDPTGDLSPTRRTTNYDFSLYTMDPHENILLSTLEIKNEMATSEA VMGLGDPRSTMLAYDASSIQYRKAGLPRHSFGRNALERHVAQKKSRLRRRASQLKITIPD LTDVNAIDRWSRIFFPVVFSFFNIVYWLYYVN >ENSMUSP00000021592.9 pep:known chromosome:GRCm38:12:117843515:117878706:1 gene:ENSMUSG00000021175.15 transcript:ENSMUST00000021592.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca7l description:cell division cycle associated 7 like [Source:MGI Symbol;Acc:MGI:2384982] MELATRSQIPKEVADIFSAPSDDEEFVGFQDDVPMQNLSESCGSLDSRELEKQQNVCFRS KYFTEELRRIFKEDTDSEMEDFEGFTESELNMSSNPELMESELSDSDKAYPVMNDAEEDD EEEAAPRRGRSTRRSSFGLRVAFQFPTKKLARTPDKDSSHLLDSKTDLRRKKSSRQPKGK EDSASDAEDESRAESQENSDALLKRAMNIKENKAMLAQLLAELNSVPDFFPVRTPPSASR RRTPRRAFSEGQITRRMNPTRSARPPEKFALENFTFSATKLTEELYSFRRRKTISGGKCQ TYRRHRISSFRSVKDITEEDLENIAITVRDKVYDKVLGNTCHQCRQKTIDTKTVCRNQSC GGVRGQFCGPCLRNRYGEDVRTALLDPKWTCPPCRGICNCSYCRRRDGRCATGILIHLAK FYGYDNVKEYLESLQKQL >ENSMUSP00000135142.1 pep:known chromosome:GRCm38:12:117843884:117873757:1 gene:ENSMUSG00000021175.15 transcript:ENSMUST00000176735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca7l description:cell division cycle associated 7 like [Source:MGI Symbol;Acc:MGI:2384982] MELATRSQIPKEVADIFSAPSDDEEFVGFQDDVPMQNLSESCGSLDSRELEKQNVCFRSK YFTEELRRIFKEDTDSEMEDFEGFTESELNMSSNPELMESELSDSDKAYPVMNDAEEDDE EEAAPRRGRSTRRSSFGLRVAFQFPTKKLARTPDKDSSHLLDSKTDLRRKKSSRQPKGKE DSASDAEDESRAESQENSDALLKRAMNIKENKAMLAQLLAELNSVP >ENSMUSP00000135053.1 pep:known chromosome:GRCm38:12:117843888:117875627:1 gene:ENSMUSG00000021175.15 transcript:ENSMUST00000177339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca7l description:cell division cycle associated 7 like [Source:MGI Symbol;Acc:MGI:2384982] MELATRSQNVCFRSKYFTEELRRIFKEDTDSEMEDFEGFTESELNMSSNPELMESELSDS DKAYPVMNDAEEDDEEEAAPRRGRSTRRSSFGLRVAFQFPTKKLARTPDKDSSHLLDSKT DLRRKKSSRQPKGKEDSASDAEDESRAESQENSDALLKRAMNIKENKAMLAQLLAELNSV PDFFPVRTPPSASRRRTPRRAFSEGQITRRMNPTRSARPPEKFALENFTFSATKLTEELY SFRRRKTISGGKCQTYRRHRISSFRSVKDITEEDLENIAITVRDKVYDKVLGNTCHQCRQ KTIDTKTV >ENSMUSP00000104927.1 pep:known chromosome:GRCm38:2:165078693:165090750:-1 gene:ENSMUSG00000078935.1 transcript:ENSMUST00000109304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700025C18Rik description:RIKEN cDNA 1700025C18 gene [Source:MGI Symbol;Acc:MGI:1919461] MLRISAFRRLRQEDGKFQELCQDTRVTRDSIRSPVVLFRTLEMTALLTPAAPEKVEDQGT DLSQTLTSEGGLQPSSFSELSGVAGVPST >ENSMUSP00000095727.3 pep:known chromosome:GRCm38:4:42114817:42115917:1 gene:ENSMUSG00000073878.3 transcript:ENSMUST00000098123.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13304 description:predicted gene 13304 [Source:MGI Symbol;Acc:MGI:3710514] MAQMMTLSLLSLVLALCIPWTQGSDGGGQDCCLKYSQKKIPYSIVRGYRKQEPSLGCPIP AILFLPRKHSKPELCANPEEGWVQNLMRRLDQPPAPGKQSPGCRKNRGTSKSGKKGKGSK GCKRTEQTQPSRG >ENSMUSP00000031072.7 pep:known chromosome:GRCm38:5:52834012:52867797:1 gene:ENSMUSG00000029176.13 transcript:ENSMUST00000031072.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc4 description:anaphase promoting complex subunit 4 [Source:MGI Symbol;Acc:MGI:1098673] MLRFPTCFPSFRVVGEKQLPQEIIFLAWSPKRDLIALANTTGEVLLHRLASFHRVWSFPP NESTGKEVTCLAWRPDGKLLAFALADTKKIILCDVEKPESLHSFSVEAPVSCMHWTEVTV ESSVLTSFYNAEDESNLLLPKLPTLPKNYNSTSKIFSEENSDEIIKLLGDVRLNILVLGG SSGFIELYAYGMFKIARVTGIAGTCIALCLSSDLKSLSVVTEVSSGGESEVSYFQLETNL LYSFLPEVTRMARKFTHISALLQYINLSLTCMCEAWEEILMQMDSRLTKFVQEKPTTTSV QDEFMHLLLWGKASAELQTLLMNQLTVKGLKKLGQSIESSYSSIQKLVISHLQSGSESLL YHLSELKGMASWKQKYEPLGLDAAGIEDAITAVGSFILKANELLQVIDSSMKNFKAFFRW LYVAMLRMTEDHVLPELNKMTQKDITFVAEFLTEHFNEAPDLYNRKGKYFNVERVGQYLK DEDDDLVSPPNTEGNQWYDFLQNSTHLKESPLLFPYYPRKSLHFVKRRMENVIDQCLQKP ADVIGRSMNQAICIPLYKDARSMDCARRLLKFPFLWNNKTSNLHYLLFTILEDSVYKMCI LRRHTDISQSVSNGLIGIKFGSFTSASADKVRRSSYSCLDAQFYDDETVTVILKDSMGRE GRDRILVQLSLSLVYNSEDSDEYEFTGSYSTRLDEQGSIIPTRTMHFEKHWRLLESMRAQ YVAGNGLRKVSCVLSSNLRHVRVFEMDIDDEWEIDESSDDEEEAGGKPVKIKEEVLSESE TEAHQDAAALDPDVVIKVEKLDPELDS >ENSMUSP00000114475.1 pep:known chromosome:GRCm38:5:52834126:52866742:1 gene:ENSMUSG00000029176.13 transcript:ENSMUST00000144574.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Anapc4 description:anaphase promoting complex subunit 4 [Source:MGI Symbol;Acc:MGI:1098673] MLRFPTCFPSFRVVGEKQLPQEIIFLAWSPKRDLIALANTTGEVLLHRLASFHRVWSFPP NESTGKEVTCLAWRPDGKRLTV >ENSMUSP00000143055.1 pep:known chromosome:GRCm38:5:52842829:52850518:1 gene:ENSMUSG00000029176.13 transcript:ENSMUST00000198207.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc4 description:anaphase promoting complex subunit 4 [Source:MGI Symbol;Acc:MGI:1098673] XLVLGGSSGFIELYAYGMFKIARVTGIAGTCIALCLSSDLKSLSVVTEVSSGGESEVSYF QLETNLLYSFLPEVTRMARKFTHISALLQEKPTTTSVQDEFMHLLLWGKASAELQTLLMN QLTVKGLKKLGQSIESSYSSIQKLVISHLQSGSESLLYHLSELKGMASWKQ >ENSMUSP00000143745.1 pep:known chromosome:GRCm38:5:52842842:52850505:1 gene:ENSMUSG00000029176.13 transcript:ENSMUST00000150682.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc4 description:anaphase promoting complex subunit 4 [Source:MGI Symbol;Acc:MGI:1098673] XGSSGFIELYAYGMFKIARVTGIAGTCIALCLSSDLKSLSVVTEVSSGGESEVSYFQLET NLLYSFLPEVTRMARKFTHISALLQYINLSLTCMCEAWEEILMQMDSRLTKFVQEKPTTT SVQDEFMHLLLWGKASGSESLLYHLSELKGMA >ENSMUSP00000018571.4 pep:known chromosome:GRCm38:11:86936425:86993707:-1 gene:ENSMUSG00000018427.7 transcript:ENSMUST00000018571.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel2 description:yippee-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1925114] MVKMTRSKTFQAYLPSCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCG PAEERVLLTGLHAVADIYCENCKTTLGWKYEHAFESSQKYKEGKYIIELAHMIKDNGWD >ENSMUSP00000067632.6 pep:known chromosome:GRCm38:7:56716465:57387188:-1 gene:ENSMUSG00000055026.13 transcript:ENSMUST00000068911.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrg3 description:gamma-aminobutyric acid (GABA) A receptor, subunit gamma 3 [Source:MGI Symbol;Acc:MGI:95624] MAAKLLLLLCLFSGLHARSRRVEEDENEDSPSNQKWVLAPKSQDTDVTLILNKLLREYDK KLRPDIGIKPTVIDVDIYVNSIGPVSSINMEYQIDIFFAQTWTDSRLRFNSTMKILTLNS NMVGLIWIPDTIFRNSKTAEAHWITTPNQLLRIWNDGKILYTLRLTINAECQLQLHNFPM DAHACPLTFSSYGYPKEEMIYRWRKNSVEAADQKSWRLYQFDFMGLRNTTEIVTTSAGDY VVMTIYFELSRRMGYFTIQTYIPCILTVVLSWVSFWIKKDATPARTTLGITTVLTMTTLS TIARKSLPRVSYVTAMDLFVTVCFLFVFAALMEYATLNYYSSCRKPTIRKKKTSLLHPDS TRWIPDRISLQAPSNYSLLDMRPPPPVMITLNNSMYWQEFEDTCVYECLDGKDCQSFFCC YEECKSGSWRRGRIHIDVSELDSYSRVFFPTSFLLFNLVYWVGYLYL >ENSMUSP00000065255.6 pep:known chromosome:GRCm38:7:57178382:57386871:-1 gene:ENSMUSG00000055026.13 transcript:ENSMUST00000068394.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrg3 description:gamma-aminobutyric acid (GABA) A receptor, subunit gamma 3 [Source:MGI Symbol;Acc:MGI:95624] MAAKLLLLLCLFSGLHARSRRVEEDENEDSPSNQKWVLAPKSQDTDVTLILNKLLREYDK KLRPDIGIKPTVIDVDIYVNSIGPVSSINMLSKEVHYDAGARLKKITAYYMDIASNLTLN PISSKTSRFTKCNGTSLFLDLTQTF >ENSMUSP00000020099.5 pep:known chromosome:GRCm38:10:69336635:69352938:-1 gene:ENSMUSG00000019942.12 transcript:ENSMUST00000020099.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk1 description:cyclin-dependent kinase 1 [Source:MGI Symbol;Acc:MGI:88351] MEDYIKIEKIGEGTYGVVYKGRHRVTGQIVAMKKIRLESEEEGVPSTAIREISLLKELRH PNIVSLQDVLMQDSRLYLIFEFLSMDLKKYLDSIPPGQFMDSSLVKSYLHQILQGIVFCH SRRVLHRDLKPQNLLIDDKGTIKLADFGLARAFGIPIRVYTHEVVTLWYRSPEVLLGSAR YSTPVDIWSIGTIFAELATKKPLFHGDSEIDQLFRIFRALGTPNNEVWPEVESLQDYKNT FPKWKPGSLASHVKNLDENGLDLLSKMLVYDPAKRISGKMALKHPYFDDLDNQIKKM >ENSMUSP00000113184.1 pep:known chromosome:GRCm38:10:69338324:69352903:-1 gene:ENSMUSG00000019942.12 transcript:ENSMUST00000119827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk1 description:cyclin-dependent kinase 1 [Source:MGI Symbol;Acc:MGI:88351] MEDYIKIEKIGEGTYGVVYKGRHRVTGQIVAMKKIRLESEEEGVPSTAIREISLLKELRH PNIVSLQDVLMQDSRLYLIFEFLSMDLKKYLDSIPPGQFMDSSLVKSYLHQILQGIVFCH SRRVLHRDLKPQNLLIDDKGTIKLADFGLARAFGIPIRVYTHEVVTLWYRSPEVLLGSAR YSTPVDIWSIGTIFAELATKKPLFHGDSEIDQLFRIFRALGTPNNEVWPEVESLQDYKNT FPKWKPGSLASHVKNLDENGLDLLSKMLVYDPAKRISGKMALKHPYFDDLDNQIKKM >ENSMUSP00000119085.1 pep:known chromosome:GRCm38:10:69340766:69350830:-1 gene:ENSMUSG00000019942.12 transcript:ENSMUST00000152448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk1 description:cyclin-dependent kinase 1 [Source:MGI Symbol;Acc:MGI:88351] MEDYIKIEKIGEGTYGVVYKGRHRVTGQIVAMKKIRLESEEEGVPSTAIREISLLKELRH PNIVSLQDVLMQDSRLYLIFEFLSMDLKKYLDSIPPGQFMDSSLVKSYLHQILQGIVFCH SRRVLHRDLKPQNLLIDDKGTIKLADFGLARAFGIPIRVYTHEVVTLWYRSPEVLLGSAR YSTPVDIWSIGTIFAELATK >ENSMUSP00000032174.5 pep:known chromosome:GRCm38:6:90462576:90475238:1 gene:ENSMUSG00000030087.11 transcript:ENSMUST00000032174.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf15 description:Kruppel-like factor 15 [Source:MGI Symbol;Acc:MGI:1929988] MVDHLLPVDETFSSPKCSVGYLGDRLASRQPYHMLPSPISEDDSDVSSPCSCASPDSQAF CSCYSAGPGPEAQGSILDFLLSRATLGSGGGSGGIGDSSGPVTWGSWRRASVPVKEEHFC FPEFLSGDTDDVSRPFQPTLEEIEEFLEENMEAEVKEAPENGSRDLETCSQLSAGSHRSH LHPESAGRERCTPPPGGTSGGGAQSAGEGPAHDGPVPVLLQIQPVAVKQEAGTGPASPGQ APESVKVAQLLVNIQGQTFALLPQVVPSSNLNLPSKFVRIAPVPIAAKPIGSGSLGPGPA GLLVGQKFPKNPAAELLKMHKCTFPGCSKMYTKSSHLKAHLRRHTGEKPFACTWPGCGWR FSRSDELSRHRRSHSGVKPYQCPVCEKKFARSDHLSKHIKVHRFPRSSRAVRAIN >ENSMUSP00000144962.1 pep:known chromosome:GRCm38:6:90462674:90475238:1 gene:ENSMUSG00000030087.11 transcript:ENSMUST00000203039.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf15 description:Kruppel-like factor 15 [Source:MGI Symbol;Acc:MGI:1929988] MVDHLLPVDETFSSPKCSVGYLGDRLASRQPYHMLPSPISEDDSDVSSPCSCASPDSQAF CSCYSAGPGPEAQGSILDFLLSRATLGSGGGSGGIGDSSGPVTWGSWRRASVPVKEEHFC FPEFLSGDTDDVSRPFQPTLEEIEEFLEENMEAEVKEAPENGSRDLETCSQLSAGSHRSH LHPESAGRERCTPPPGGTSGGGAQSAGEGPAHDGPVPVLLQIQPVAVKQEAGTGPASPGQ APESVKVAQLLVNIQGQTFALLPQVVPSSNLNLPSKFVRIAPVPIAAKPIGSGSLGPGPA GLLVGQKFPKNPAAELLKMHKCTFPGCSKMYTKSSHLKAHLRRHTGEKPFACTWPGCGWR FSRSDELSRHRRSHSGVKPYQCPVCEKKFARSDHLSKHIKVHRFPRSSRAVRAIN >ENSMUSP00000109158.1 pep:known chromosome:GRCm38:6:90465304:90475235:1 gene:ENSMUSG00000030087.11 transcript:ENSMUST00000113530.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf15 description:Kruppel-like factor 15 [Source:MGI Symbol;Acc:MGI:1929988] MVDHLLPVDETFSSPKCSVGYLGDRLASRQPYHMLPSPISEDDSDVSSPCSCASPDSQAF CSCYSAGPGPEAQGSILDFLLSRATLGSGGGSGGIGDSSGPVTWGSWRRASVPVKEEHFC FPEFLSGDTDDVSRPFQPTLEEIEEFLEENMEAEVKEAPENGSRDLETCSQLSAGSHRSH LHPESAGRERCTPPPGGTSGGGAQSAGEGPAHDGPVPVLLQIQPVAVKQEAGTGPASPGQ APESVKVAQLLVNIQGQTFALLPQVVPSSNLNLPSKFVRIAPVPIAAKPIGSGSLGPGPA GLLVGQKFPKNPAAELLKMHKCTFPGCSKMYTKSSHLKAHLRRHTGEKPFACTWPGCGWR FSRSDELSRHRRSHSGVKPYQCPVCEKKFARSDHLSKHIKVHRFPRSSRAVRAIN >ENSMUSP00000144808.1 pep:known chromosome:GRCm38:6:90466400:90475235:1 gene:ENSMUSG00000030087.11 transcript:ENSMUST00000203607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf15 description:Kruppel-like factor 15 [Source:MGI Symbol;Acc:MGI:1929988] MVDHLLPVDETFSSPKCSVGYLGDRLASRQPYHMLPSPISEDDSDVSSPCSCASPDSQAF CSCYSAGPGPEAQGSILDFLLSRATLGSGGGSGGIGDSSGPVTWGSWRRASVPVKEEHFC FPEFLSGDTDDVSRPFQPTLEEIEEFLEENMEAEVKEAPENGSRDLETCSQLSAGSHRSH LHPESAGRERCTPPPGGTSGGGAQSAGEGPAHDGPVPVLLQIQPVAVKQEAGTGPASPGQ APESVKVAQLLVNIQGQTFALLPQVVPSSNLNLPSKFVRIAPVPIAAKPIGSGSLGPGPA GLLVGQKFPKNPAAELLKMHKCTFPGCSKMYTKSSHLKAHLRRHTGEKPFACTWPGCGWR FSRSDELSRHRRSHSGVKPYQCPVCEKKFARSDHLSKHIKVHRFPRSSRAVRAIN >ENSMUSP00000145043.1 pep:known chromosome:GRCm38:6:90467118:90475221:1 gene:ENSMUSG00000030087.11 transcript:ENSMUST00000205136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf15 description:Kruppel-like factor 15 [Source:MGI Symbol;Acc:MGI:1929988] XAVKQEAGTGPASPGQAPESVKVAQLLVNIQGQTFALLPQVVPSSNLNLPSKFVRIAPVP IAAKPIGSGSLGPGPAGLLVGQKFPKNPAAELLKMHKCTFPGCSKMYTKSSHLKAHLRRH TGEKPFACTWPGCGWSAHDGLADHHVPQVFPLR >ENSMUSP00000110337.1 pep:known chromosome:GRCm38:9:44803355:44881296:-1 gene:ENSMUSG00000002028.12 transcript:ENSMUST00000114689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2a description:lysine (K)-specific methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96995] MAHSCRWRFPARPGTTGGGGGGGRRGLGGAPRQRVPALLLPPGPQAGGGGPGAPPSPPAV AAAAAGSSGAGVPGGAAAASAASSSSASSSSSSSSSASSGPALLRVGPGFDAALQVSAAI GTNLRRFRAVFGESGGGGGSGEDEQFLGFGSDEEVRVRSPTRSPSVKASPRKPRGRPRSG SDRNPAILSDPSVFSPLNKSETKSADKIKKKDSKSIEKKRGRPPTFPGVKIKITHGKDIA ELTQGSKEDSLKKVKRTPSAMFQQATKIKKLRAGKLSPLKSKFKTGKLQIGRKGVQIVRR RGRPPSTERIKTPSGLLINSELEKPQKVRKDKEGTPPLTKEDKTVVRQSPRRIKPVRIIP SCKRTDATIAKQLLQRAKKGAQKKIEKEAAQLQGRKVKTQVKNIRQFIMPVVSAISSRII KTPRRFIEDEDYDPPMKIARLESTPNSRFSATSCGSSEKSSAASQHSSQMSSDSSRSSSP SIDTTSDSQASEEIQALPEERSNTPEVHTPLPISQSPENESNDRRSRRYSMSERSFGSRA TKKLPTLQSAPQQQTSSSPPPPLLTPPPPLQPASGISDHTPWLMPPTIPLASPFLPASAA PMQGKRKSILREPTFRWTSLKHSRSEPQYFSSAKYAKEGLIRKPIFDNFRPPPLTPEDVG FASGFSASGTAASARLFSPLHSGTRFDIHKRSPILRAPRFTPSEAHSRIFESVTLPSNRT SSGASSSGVSNRKRKRKVFSPIRSEPRSPSHSMRTRSGRLSTSELSPLTPPSSVSSSLSI PVSPLAASALNPTFTFPSHSLTQSGESTEKNQRARKQTSALAEPFSSNSPALFPWFTPGS QTEKGRKKDTAPEELSKDRDADKSVEKDKSRERDREREKENKRESRKEKRKKGSDIQSSS ALYPVGRVSKEKVAGEDVGTSSSAKKATGRKKSSSLDSGADVAPVTLGDTTAVKAKILIK KGRGNLEKNNLDLGPAAPSLEKERTPCLSAPSSSTVKHSTSSIGSMLAQADKLPMTDKRV ASLLKKAKAQLCKIEKSKSLKQTDQPKAQGQESDSSETSVRGPRIKHVCRRAAVALGRKR AVFPDDMPTLSALPWEEREKILSSMGNDDKSSVAGSEDAEPLAPPIKPIKPVTRNKAPQE PPVKKGRRSRRCGQCPGCQVPEDCGICTNCLDKPKFGGRNIKKQCCKMRKCQNLQWMPSK ASLQKQTKAVKKKEKKSKTTEKKESKESTAVKSPLEPAQKAAPPPREEPAPKKSSSEPPP RKPVEEKSEEGGAPAPAPAPEPKQVSAPASRKSSKQVSQPAAVVPPQPPSTAPQKKEAPK AVPSEPKKKQPPPPEPGPEQSKQKKVAPRPSIPVKQKPKDKEKPPPVSKQENAGTLNILN PLSNGISSKQKIPADGVHRIRVDFKEDCEAENVWEMGGLGILTSVPITPRVVCFLCASSG HVEFVYCQVCCEPFHKFCLEENERPLEDQLENWCCRRCKFCHVCGRQHQATKQLLECNKC RNSYHPECLGPNYPTKPTKKKKVWICTKCVRCKSCGSTTPGKGWDAQWSHDFSLCHDCAK LFAKGNFCPLCDKCYDDDDYESKMMQCGKCDRWVHSKCESLSGTEDEMYEILSNLPESVA YTCVNCTERHPAEWRLALEKELQASLKQVLTALLNSRTTSHLLRYRQAAKPPDLNPETEE SIPSRSSPEGPDPPVLTEVSKQDEQQPLDLEGVKKRMDQGSYVSVLEFSDDIVKIIQAAI NSDGGQPEIKKANSMVKSFFIRQMERVFPWFSVKKSRFWEPNKVSNNSGMLPNAVLPPSL DHNYAQWQEREESSHTEQPPLMKKIIPAPKPKGPGEPDSPTPLHPPTPPILSTDRSREDS PELNPPPGIDDNRQCALCLMYGDDSANDAGRLLYIGQNEWTHVNCALWSAEVFEDDDGSL KNVHMAVIRGKQLRCEFCQKPGATVGCCLTSCTSNYHFMCSRAKNCVFLDDKKVYCQRHR DLIKGEVVPENGFEVFRRVFVDFEGISLRRKFLNGLEPENIHMMIGSMTIDCLGILNDLS DCEDKLFPIGYQCSRVYWSTTDARKRCVYTCKIMECRPPVVEPDINSTVEHDDNRTIAHS PSSFIDASCKDSQSTAAILSPPSPDRPHSQTSGSCYYHVISKVPRIRTPSYSPTQRSPGC RPLPSAGSPTPTTHEIVTVGDPLLSSGLRSIGSRRHSTSSLSPLRSKLRIMSPVRTGSAY SRSSVSSVPSLGTATDPEASAKASDRGGLLSSSANLGHSAPPSSSSQRTVGGSKTSHLDG SSPSEVKRCSASDLVPKGSLVKGEKNRTSSSKSTDGSAHSTAYPGIPKLTPQVHNATPGE LNISKIGSFAEPSTVPFSSKDTVSYPQLHLRGQRSDRDQHMDPSQSVKPSPNEDGEIKTL KLPGMGHRPSILHEHIGSSSRDRRQKGKKSSKETCKEKHSSKSYLEPGQVTTGEEGNLKP EFADEVLTPGFLGQRPCNNVSSEKIGDKVLPLSGVPKGQSTQVEGSSKELQAPRKCSVKV TPLKMEGENQSKNTQKESGPGSPAHIESVCPAEPVSASRSPGAGPGVQPSPNNTLSQDPQ SNNYQNLPEQDRNLMIPDGPKPQEDGSFKRRYPRRSARARSNMFFGLTPLYGVRSYGEED IPFYSNSTGKKRGKRSAEGQVDGADDLSTSDEDDLYYYNFTRTVISSGGEERLASHNLFR EEEQCDLPKISQLDGVDDGTESDTSVTATSRKSSQIPKRNGKENGTENLKIDRPEDAGEK EHVIKSAVGHKNEPKLDNCHSVSRVKAQGQDSLEAQLSSLESSRRVHTSTPSDKNLLDTY NAELLKSDSDNNNSDDCGNILPSDIMDFVLKNTPSMQALGESPESSSSELLTLGEGLGLD SNREKDIGLFEVFSQQLPATEPVDSSVSSSISAEEQFELPLELPSDLSVLTTRSPTVPSQ NPSRLAVISDSGEKRVTITEKSVASSEGDPALLSPGVDPAPEGHMTPDHFIQGHMDADHI SSPPCGSVEQGHGNSQDLTRNSGTPGLQVPVSPTVPVQNQKYVPSSTDSPGPSQISNAAV QTTPPHLKPATEKLIVVNQNMQPLYVLQTLPNGVTQKIQLTSPVSSTPSVMETNTSVLGP MGSGLTLTTGLNPSLPPSPSLFPPASKGLLSVPHHQHLHSFPAAAQSSFPPNISSPPSGL LIGVQPPPDPQLLGSEANQRTDLTTTVATPSSGLKKRPISRLHTRKNKKLAPSSAPSNIA PSDVVSNMTLINFTPSQLSNHPSLLDLGSLNPSSHRTVPNIIKRSKSGIMYFEQAPLLPP QSVGGTAATAAGSSTISQDTSHLTSGPVSALASGSSVLNVVSMQTTAAPTSSTSVPGHVT LANQRLLGTPDIGSISHLLIKASHQSLGIQDQPVALPPSSGMFPQLGTSQTPSAAAMTAA SSICVLPSSQTAGMTAASPPGEAEEHYKLQRGNQLLAGKTGTLTSQRDRDPDSAPGTQPS NFTQTAEAPNGVRLEQNKTLPSAKPASSASPGSSPSSGQQSGSSSVPGPTKPKPKAKRIQ LPLDKGSGKKHKVSHLRTSSEAHIPHRDTDPAPQPSVTRTPRANREQQDAAGVEQPSQKE CGQPAGPVAALPEVQATQNPANEQENAEPKAMEEEESGFSSPLMLWLQQEQKRKESITER KPKKGLVFEISSDDGFQICAESIEDAWKSLTDKVQEARSNARLKQLSFAGVNGLRMLGIL HDAVVFLIEQLAGAKHCRNYKFRFHKPEEANEPPLNPHGSARAEVHLRQSAFDMFNFLAS KHRQPPEYNPNDEEEEEVQLKSARRATSMDLPMPMRFRHLKKTSKEAVGVYRSPIHGRGL FCKRNIDAGEMVIEYAGNVIRSIQTDKREKYYDSKGIGCYMFRIDDSEVVDATMHGNAAR FINHSCEPNCYSRVINIDGQKHIVIFAMRKIYRGEELTYDYKFPIEDASNKLPCNCGAKK CRKFLN >ENSMUSP00000138138.1 pep:known chromosome:GRCm38:9:44814680:44820115:-1 gene:ENSMUSG00000002028.12 transcript:ENSMUST00000138119.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kmt2a description:lysine (K)-specific methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96995] XDPALLSPGVDPAPEGHMTPDHFIQGHMDADHISSPPCGSVEQGHGNSQDLTRNSGTPGL QVPVSPTVPVQNQKYVPSSTDSPGPSQISNAAVQTTPPHLKPATEKLIVVNQNMQPLYVL QTLPNGVTQKIQLTSPVSSTPSVMETNTSVLGPMGSGLTLTTGLNPSLPPSPSLFPPASK GLLSVPHHQHLHSFPAAAQSSFPPNISSPPSGLLIGVQPPPDPQLLGSEANQRTDLTTTV ATPSSGLKKRPISRLHTRKNKKLAPSSAPSNIAPSDVVSNMTLINFTPSQLSNHPSLLDL GSLNPSSHRTVPNIIKRSKSGIMYFEQAPLLPPQSVGGTAATAAGSSTISQDTSHLTSGP VSALASGSSVLNVVSMQTTAAPTSSTSVPGHVTLANQRLLGTPDIGSISHLLIKASHQSL GIQDQPVALPPSSGMFPQLGTSQTPSAAAMTAASSICVLPSSQTAGMTAASPPGEAEEHY KLQRGNQLLAGKTGTLTSQRDRDPDSAPGTQPSNFTQTAEAPNGVRLEQNKTLPSAKPAS SASPGSSPSSGQQSGSSSVPGPTKPKPKAKRIQLPLDKGSGKKHKVSHLRTSSEAHIPHR DTDPAPQPSVTRTPRANREQQDAAGVEQPSQKECGQPAG >ENSMUSP00000138767.1 pep:known chromosome:GRCm38:9:44822735:44848184:-1 gene:ENSMUSG00000002028.12 transcript:ENSMUST00000152241.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kmt2a description:lysine (K)-specific methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96995] XLNPTFTFPSHSLTQSGESTEKNQRARKQTSALAEPFSSNSPALFPWFTPGSQTEKGRKK DTAPEELSKDRDADKSVEKDKSRERDREREKENKRESRKEKRKKGSDIQSSSALYPVGRV SKEKVAGEDVGTSSSAKKATGRKKSSSLDSGADVAPVTLGDTTAVKAKILIKKGRGNLEK NNLDLGPAAPSLEKERTPCLSAPSSSTVKHSTSSIGSMLAQADKLPMTDKRVASLLKKAK AQLCKIEKSKSLKQTDQPKAQGQESDSSETSVRGPRIKHVCRRAAVALGRKRAVFPDDMP TLSALPWEEREKILSSMGNDDKSSVAGSEDAEPLAPPIKPIKPVTRNKAPQEPPVKKGRR SRRCGQCPGCQVPEDCGICTNCLDKPKFGGRNIKKQCCKMRKCQNLQWMPSKASLQKQTK AVKKKEKKSKTTEKKESKESTAVKSPLEPAQKAAPPPREEPAPKKSSSEPPPRKPVEEKS EEGGAPAPAPAPEPKQVSAPASRKSSKQVSQPAAVVPPQPPSTAPQKKEAPKAVPSEPKK KQPPPPEPGPEQSKQKKVAPRPSIPVKQKPKDKEKPPPVSKQENAGTLNILNPLSNGISS KQKIPADGVHRIRVDFKTVKQKMCGRWEA >ENSMUSP00000122541.1 pep:known chromosome:GRCm38:9:44841563:44881296:-1 gene:ENSMUSG00000002028.12 transcript:ENSMUST00000128768.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2a description:lysine (K)-specific methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96995] MAHSCRWRFPARPGTTGGGGGGGRRGLGGAPRQRVPALLLPPGPQAGGGGPGAPPSPPAV AAAAAGSSGAGVPGGAAAASAASSSSASSSSSSSSSASSGPALLRVGPGFDAALQVSAAI GTNLRRFRAVFGESGGGGGSGELTTQIPGSWRTKGLIHDIKAELVRLLAWSWCLNDEQFL GFGSDEEVRVRSPTRSPSVKASPRKPRGRPRSGSDRNPAILSDPSVFSPLNKSETKSADK IKKKDSKSIEKKRGRPPTFPGVKIKITHGKDIAELTQGSKEDSLKKVKRTPSAMFQQATK IKKLRAGKLSPLKSKFKTGKLQIGRKGVQIVRRRGRPPSTERIKTPSGLLINSELEKPQK VRKDKEGTPPLTKEDKTVVRQSPRRIKPVRIIPSCKRTDATIAKQLLQRAKKGAQKKIEK EAAQLQGRKVKTQVKNIRQFIMPVVSAISSRIIKTPRRFIEDEDYDPPMKIARLESTPNS RFSATSCGSSEKSSAASQHSSQMSSDSSRSSSPSIDTTSDSQASEEIQALPEERSNTPEV HTPLPISQSPENESNDRRSRRYSMSERSFGSRATKKLPTLQSAPQQQTSSSPPPPLLTPP PPLQPASGISDHTPWLMPPTIPLASPFLPASAAPMQGKRKSILREPTFRWTSLKHSRSEP QYFSSAKYAKEGLIRKPIFDNFRPPPLTPEDVGFASGFSASGTAASARLFSPLHSGTRFD IHKRSPILRAPRFTPSEAHSRIFESVTLPSNRTSSGASSSGVSNRKRKRKVFSPIRSEPR SPSHSMRTRSGRLSTSELSPLTPPSSVSSSLSIPVSPLAASALNPTFTFPSHSLTQSGES TEKNQRARKQTSALAEPFSSNSPALFPWFTPGSQTEKGRKKDTAPEELSKDRDADKSVEK DKSRERDREREKENKRESRKEKRKKGSDIQSSSALYPVGRVSKEKVAGEDVGTSSSAKKA TGRKKSSSLDSGADVAPVTLGDTTAVKAKILIKKGRGNLEKNNLDLGPAAPSLEKERTPC LSAPSSSTVKHSTSSIGSMLAQADKLPMTDKRVASLLKKAKAQLCKIEKSKSLKQTDQPK AQGQESDSSETSVRGPRIKHVCRRAAVALGRKRAVFPDDMPTLSALPWEEREKILSSMGN DDKSSVAGSEDAEPLAPPIKPIKPVTRNKAPQEPPVKKGRRSRRCGQCPGCQVPEDCGIC TNCLDKPKFGGRNIKKQCCKMRKCQNLQWMPSKASLQKQTKAVKKKEKKSKTTEKKESKE STAVKSPLEPAQKAAPPPREEPAPKKSSSEPPPRKPVEEKSEEGGAPAPAPAPEPKQVSA PASRKSSKQVSQPAAVVPPQPPSTAPQKKEAPKAVPSEPKKKQPPPPEPGPEQSKQKKVA PRPSIPVKQKPKDKEKPPPVSKQENAGTLNILNPLSNGISSKQKIPADGVHRIRVDFK >ENSMUSP00000002095.3 pep:known chromosome:GRCm38:9:44803355:44881274:-1 gene:ENSMUSG00000002028.12 transcript:ENSMUST00000002095.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kmt2a description:lysine (K)-specific methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96995] MAHSCRWRFPARPGTTGGGGGGGRRGLGGAPRQRVPALLLPPGPQAGGGGPGAPPSPPAV AAAAAGSSGAGVPGGAAAASAASSSSASSSSSSSSSASSGPALLRVGPGFDAALQVSAAI GTNLRRFRAVFGESGGGGGSGEDEQFLGFGSDEEVRVRSPTRSPSVKASPRKPRGRPRSG SDRNPAILSDPSVFSPLNKSETKSADKIKKKDSKSIEKKRGRPPTFPGVKIKITHGKDIA ELTQGSKEDSLKKVKRTPSAMFQQATKIKKLRAGKLSPLKSKFKTGKLQIGRKGVQIVRR RGRPPSTERIKTPSGLLINSELEKPQKVRKDKEGTPPLTKEDKTVVRQSPRRIKPVRIIP SCKRTDATIAKQLLQRAKKGAQKKIEKEAAQLQGRKVKTQVKNIRQFIMPVVSAISSRII KTPRRFIEDEDYDPPMKIARLESTPNSRFSATSCGSSEKSSAASQHSSQMSSDSSRSSSP SIDTTSDSQASEEIQALPEERSNTPEVHTPLPISQSPENESNDRRSRRYSMSERSFGSRA TKKLPTLQSAPQQQTSSSPPPPLLTPPPPLQPASGISDHTPWLMPPTIPLASPFLPASAA PMQGKRKSILREPTFRWTSLKHSRSEPQYFSSAKYAKEGLIRKPIFDNFRPPPLTPEDVG FASGFSASGTAASARLFSPLHSGTRFDIHKRSPILRAPRFTPSEAHSRIFESVTLPSNRT SSGASSSGVSNRKRKRKVFSPIRSEPRSPSHSMRTRSGRLSTSELSPLTPPSSVSSSLSI PVSPLAASALNPTFTFPSHSLTQSGESTEKNQRARKQTSALAEPFSSNSPALFPWFTPGS QTEKGRKKDTAPEELSKDRDADKSVEKDKSRERDREREKENKRESRKEKRKKGSDIQSSS ALYPVGRVSKEKVAGEDVGTSSSAKKATGRKKSSSLDSGADVAPVTLGDTTAVKAKILIK KGRGNLEKNNLDLGPAAPSLEKERTPCLSAPSSSTVKHSTSSIGSMLAQADKLPMTDKRV ASLLKKAKAQLCKIEKSKSLKQTDQPKAQGQESDSSETSVRGPRIKHVCRRAAVALGRKR AVFPDDMPTLSALPWEEREKILSSMGNDDKSSVAGSEDAEPLAPPIKPIKPVTRNKAPQE PPVKKGRRSRRCGQCPGCQVPEDCGICTNCLDKPKFGGRNIKKQCCKMRKCQNLQWMPSK ASLQKQTKAVKKKEKKSKTTEKKESKESTAVKSPLEPAQKAAPPPREEPAPKKSSSEPPP RKPVEEKSEEGGAPAPAPAPEPKQVSAPASRKSSKQVSQPAAVVPPQPPSTAPQKKEAPK AVPSEPKKKQPPPPEPGPEQSKQKKVAPRPSIPVKQKPKDKEKPPPVSKQENAGTLNILN PLSNGISSKQKIPADGVHRIRVDFKEDCEAENVWEMGGLGILTSVPITPRVVCFLCASSG HVEFVYCQVCCEPFHKFCLEENERPLEDQLENWCCRRCKFCHVCGRQHQATKQLLECNKC RNSYHPECLGPNYPTKPTKKKKVWICTKCVRCKSCGSTTPGKGWDAQWSHDFSLCHDCAK LFAKGNFCPLCDKCYDDDDYESKMMQCGKCDRWVHSKCESLSDEMYEILSNLPESVAYTC VNCTERHPAEWRLALEKELQASLKQVLTALLNSRTTSHLLRYRQAAKPPDLNPETEESIP SRSSPEGPDPPVLTEVSKQDEQQPLDLEGVKKRMDQGSYVSVLEFSDDIVKIIQAAINSD GGQPEIKKANSMVKSFFIRQMERVFPWFSVKKSRFWEPNKVSNNSGMLPNAVLPPSLDHN YAQWQEREESSHTEQPPLMKKIIPAPKPKGPGEPDSPTPLHPPTPPILSTDRSREDSPEL NPPPGIDDNRQCALCLMYGDDSANDAGRLLYIGQNEWTHVNCALWSAEVFEDDDGSLKNV HMAVIRGKQLRCEFCQKPGATVGCCLTSCTSNYHFMCSRAKNCVFLDDKKVYCQRHRDLI KGEVVPENGFEVFRRVFVDFEGISLRRKFLNGLEPENIHMMIGSMTIDCLGILNDLSDCE DKLFPIGYQCSRVYWSTTDARKRCVYTCKIMECRPPVVEPDINSTVEHDDNRTIAHSPSS FIDASCKDSQSTAAILSPPSPDRPHSQTSGSCYYHVISKVPRIRTPSYSPTQRSPGCRPL PSAGSPTPTTHEIVTVGDPLLSSGLRSIGSRRHSTSSLSPLRSKLRIMSPVRTGSAYSRS SVSSVPSLGTATDPEASAKASDRGGLLSSSANLGHSAPPSSSSQRTVGGSKTSHLDGSSP SEVKRCSASDLVPKGSLVKGEKNRTSSSKSTDGSAHSTAYPGIPKLTPQVHNATPGELNI SKIGSFAEPSTVPFSSKDTVSYPQLHLRGQRSDRDQHMDPSQSVKPSPNEDGEIKTLKLP GMGHRPSILHEHIGSSSRDRRQKGKKSSKETCKEKHSSKSYLEPGQVTTGEEGNLKPEFA DEVLTPGFLGQRPCNNVSSEKIGDKVLPLSGVPKGQSTQVEGSSKELQAPRKCSVKVTPL KMEGENQSKNTQKESGPGSPAHIESVCPAEPVSASRSPGAGPGVQPSPNNTLSQDPQSNN YQNLPEQDRNLMIPDGPKPQEDGSFKRRYPRRSARARSNMFFGLTPLYGVRSYGEEDIPF YSNSTGKKRGKRSAEGQVDGADDLSTSDEDDLYYYNFTRTVISSGGEERLASHNLFREEE QCDLPKISQLDGVDDGTESDTSVTATSRKSSQIPKRNGKENGTENLKIDRPEDAGEKEHV IKSAVGHKNEPKLDNCHSVSRVKAQGQDSLEAQLSSLESSRRVHTSTPSDKNLLDTYNAE LLKSDSDNNNSDDCGNILPSDIMDFVLKNTPSMQALGESPESSSSELLTLGEGLGLDSNR EKDIGLFEVFSQQLPATEPVDSSVSSSISAEEQFELPLELPSDLSVLTTRSPTVPSQNPS RLAVISDSGEKRVTITEKSVASSEGDPALLSPGVDPAPEGHMTPDHFIQGHMDADHISSP PCGSVEQGHGNSQDLTRNSGTPGLQVPVSPTVPVQNQKYVPSSTDSPGPSQISNAAVQTT PPHLKPATEKLIVVNQNMQPLYVLQTLPNGVTQKIQLTSPVSSTPSVMETNTSVLGPMGS GLTLTTGLNPSLPPSPSLFPPASKGLLSVPHHQHLHSFPAAAQSSFPPNISSPPSGLLIG VQPPPDPQLLGSEANQRTDLTTTVATPSSGLKKRPISRLHTRKNKKLAPSSAPSNIAPSD VVSNMTLINFTPSQLSNHPSLLDLGSLNPSSHRTVPNIIKRSKSGIMYFEQAPLLPPQSV GGTAATAAGSSTISQDTSHLTSGPVSALASGSSVLNVVSMQTTAAPTSSTSVPGHVTLAN QRLLGTPDIGSISHLLIKASHQSLGIQDQPVALPPSSGMFPQLGTSQTPSAAAMTAASSI CVLPSSQTAGMTAASPPGEAEEHYKLQRGNQLLAGKTGTLTSQRDRDPDSAPGTQPSNFT QTAEAPNGVRLEQNKTLPSAKPASSASPGSSPSSGQQSGSSSVPGPTKPKPKAKRIQLPL DKGSGKKHKVSHLRTSSEAHIPHRDTDPAPQPSVTRTPRANREQQDAAGVEQPSQKECGQ PAGPVAALPEVQATQNPANEQENAEPKAMEEEESGFSSPLMLWLQQEQKRKESITERKPK KGLVFEISSDDGFQICAESIEDAWKSLTDKVQEARSNARLKQLSFAGVNGLRMLGILHDA VVFLIEQLAGAKHCRNYKFRFHKPEEANEPPLNPHGSARAEVHLRQSAFDMFNFLASKHR QPPEYNPNDEEEEEVQLKSARRATSMDLPMPMRFRHLKKTSKEAVGVYRSPIHGRGLFCK RNIDAGEMVIEYAGNVIRSIQTDKREKYYDSKGIGCYMFRIDDSEVVDATMHGNAARFIN HSCEPNCYSRVINIDGQKHIVIFAMRKIYRGEELTYDYKFPIEDASNKLPCNCGAKKCRK FLN >ENSMUSP00000075987.6 pep:known chromosome:GRCm38:10:91116574:91124059:-1 gene:ENSMUSG00000061904.12 transcript:ENSMUST00000076694.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a3 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 3 [Source:MGI Symbol;Acc:MGI:1353498] MFSSVAHLARANPFNAPHLQLVHDGLSGPRSPPAPPRRSRHLAAAAVEEYSCEFGSMKYY ALCGFGGVLSCGLTHTAVVPLDLVKCRMQVDPQKYKGIFNGFSITLKEDGVRGLAKGWAP TLIGYSMQGLCKFGFYEVFKALYSNILGEENTYLWRTSLYLASSASAEFFADIALAPMEA AKVRIQTQPGYANTLREAVPKMYKEEGLNAFYKGVAPLWMRQIPYTMMKFACFERTVEAL YKFVVPKPRSECTKAEQLVVTFVAGYIAGVFCAIVSHPADSVVSVLNKEKGSTASQVLQR LGFRGVWKGLFARIIMIGTLTALQWFIYDSVKVYFRLPRPPPPEMPESLKKKLGLTE >ENSMUSP00000129301.1 pep:known chromosome:GRCm38:10:91116791:91123957:-1 gene:ENSMUSG00000061904.12 transcript:ENSMUST00000163246.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a3 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 3 [Source:MGI Symbol;Acc:MGI:1353498] MFSSVAHLARANPFNAPHLQLVHDGLSGPRSPPAPPRRSRHLAAAAVEEQYSCEYGSGRF FLLCGLGGIISCGTTHTALVPLDLIKCRMQVCVACWTKTFC >ENSMUSP00000127098.1 pep:known chromosome:GRCm38:10:91116792:91124003:-1 gene:ENSMUSG00000061904.12 transcript:ENSMUST00000170810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a3 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 3 [Source:MGI Symbol;Acc:MGI:1353498] MFSSVAHLARANPFNAPHLQLVHDGLSGPRSPPAPPRRSRHLAAAAVEEQYSCEYGSGRF FLLCGLGGIISCGTTHTALVPLDLIKCRMQVDPQKYKGIFNGFSITLKEDGVRGLAKGWA PTLIGYSMQGLCKFGFYEVFKALYSNILGEENTYLWRTSLYLASSASAEFFADIALAPME AAKVRIQTQPGYANTLREAVPKMYKEEGLNAFYKGVAPLWMRQIPYTMMKFACFERTVEA LYKFVVPKPRSECTKAEQLVVTFVAGYIAGVFCAIVSHPADSVVSVLNKEKGSTASQVLQ RLGFRGVWKGLFARIIMIGTLTALQWFIYDSVKVYFRLPRPPPPEMPESLKKKLGLTE >ENSMUSP00000132480.1 pep:known chromosome:GRCm38:10:91116808:91123955:-1 gene:ENSMUSG00000061904.12 transcript:ENSMUST00000164505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a3 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 3 [Source:MGI Symbol;Acc:MGI:1353498] MFSSVAHLARANPFNAPHLQLVHDGLSGPRSPPAPPRRSRHLAAAAVEEYSCEFGSMKYY ALCGFGGVLSCGLTHTAVVPLDLVKCRMQVDPQKYKGIFNGFSITLKEDGVRGLAKGWAP TLIGYSMQGLCKFGFYEVFKALYSNILGEENTYLWRTSLYLASSASAEFFADIALAPMEA AKVRIQTQPGYANTLREAVPKMYKEEGLNAFYKGVAPLWMRQIPYTMMKFACFERTVEAL YKFVVPKPRSECTKAEQLVVTFVAGYIAGVFCAIVSHPADSVVSVLNKEKGSTASQVLQR LGFRGVWKGLFARIIMIGTLTALQWFIYDSVKVYFRLPRPPPPEMPESLKKKLGLTE >ENSMUSP00000036380.7 pep:known chromosome:GRCm38:1:170917576:170927583:-1 gene:ENSMUSG00000038421.13 transcript:ENSMUST00000046322.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrla description:Fc receptor-like A [Source:MGI Symbol;Acc:MGI:2138647] MKLSCTLTQWALYVCPAVLLATQMLLAAASSETLKCEGLVSTEQGSCQAAAEEDEGDEDD GDMTQSSEAGFQFKGYTFSKPFHLSVSYDWLILQGPATLIFEGDTLVLHCRAWQDWPLTQ VIFYREGSALGPPGPKSEFSITMVQKSDGGHYHCSGIFRSPGPGSREAASPVAITVQELF AAPVLKALPSSEPQEGGSVTLSCQTKLALQRSASRLLFSFYKDGRSLSVRGVSSELKIPK ASEEHSGSYWCEAVTEDRQISKQSPQLEIWVQALQKPTASETPPTEALGPLPPPPASSAE QPRFSSPDPHLHHQMQLLLKQIQDVRALLGHLVMELRDLSVYLKPGTTKVADK >ENSMUSP00000125074.1 pep:known chromosome:GRCm38:1:170917875:170922399:-1 gene:ENSMUSG00000038421.13 transcript:ENSMUST00000159149.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fcrla description:Fc receptor-like A [Source:MGI Symbol;Acc:MGI:2138647] SSETLKCEGLVSTEQGSCQAAAEEDEGDEDDGDMTQSSEAGFQFKGYTFSKPFHLSVSYD WLILQGPATLIFEGDTLVLHCRAWQDWPLTQVIFYREGSALGPPGPKSEFSITMVQKSDG GHYHCSGIFRSPGPGSREAASPVAITVQGWKVTECQRSLFRTQDPQSLRRTLWLLLV >ENSMUSP00000124853.1 pep:known chromosome:GRCm38:1:170917881:170927583:-1 gene:ENSMUSG00000038421.13 transcript:ENSMUST00000159171.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrla description:Fc receptor-like A [Source:MGI Symbol;Acc:MGI:2138647] MKLSCTLTQWALYVCPAVLLATQMLLAASSETLKCEGLVSTEQGSCQAAAEEDEGDEDDG DMTQSSEAGFQFKGYTFSKPFHLSVSYDWLILQGPATLIFEGDTLVLHCRAWQDWPLTQV IFYREGSALGPPGPKSEFSITMVQKSDGGHYHCSGIFRSPGPGSREAASPVAITVQELFA APVLKALPSSEPQEGGSVTLSCQTKLALQRSASRLLFSFYKDGRSLSVRGVSSELKIPKA SEEHSGSYWCEAVTEDRQISKQSPQLEIWVQALQKPTASETPPTEALGPLPPPPASSAEQ PRFSSPDPHLHHQMQLLLKQIQDVRALLGHLVMELRDLSVYLKPGTTKVADK >ENSMUSP00000124859.1 pep:known chromosome:GRCm38:1:170920925:170927555:-1 gene:ENSMUSG00000038421.13 transcript:ENSMUST00000162136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrla description:Fc receptor-like A [Source:MGI Symbol;Acc:MGI:2138647] MKLSCTLTQWALYVCPAVLLATQMLLAAASSETLKCEGLVSTEQGSCQAAAEEDEGDEDD GDMTQSSEAGFQFKGYTFSKPFHLSVSYDWPLTQVIFYREGSALGPPGPKSEFSITMVQK SDGGHYHCSGIFRSPGPGSREAASPVAITVQELFAAPVLKALPSSEPQEGGSVTLSCQTK LALQRSASRLLFSFYKDGRSLSVRGVSSELKIPKAS >ENSMUSP00000124469.1 pep:known chromosome:GRCm38:1:170921627:170927560:-1 gene:ENSMUSG00000038421.13 transcript:ENSMUST00000162887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrla description:Fc receptor-like A [Source:MGI Symbol;Acc:MGI:2138647] MTQSSEAGFQFKGYTFSKPFHLSVSYDWLILQGPATLIFEGDTLVLHCRAWQDWPLTQVI FYREGSALGPPGPKSEFSI >ENSMUSP00000135748.2 pep:known chromosome:GRCm38:12:117877982:118060303:-1 gene:ENSMUSG00000018581.15 transcript:ENSMUST00000176756.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnah11 description:dynein, axonemal, heavy chain 11 [Source:MGI Symbol;Acc:MGI:1100864] XDYRSIGNIYKGLVQTGAWGCFDEFNRIAVEVLSVVAVQVKMIHDAIRNGRKRFVFLGET IPLKPSVGIFITLNPGYAGRTELPENLKALFRPCAMVAPDTELICEIMLVAEGFVDARSL ARKFISLYTLCEELLSKQDHYDWGLRAIKSVLVVAGSLKRGDKNRPEDQVLMRALRDFNM PKIVTDDVPVFLGLVSDLFPALDVPRQRKPHFEQMVKQSTLELRLQPEESFILKVVQLEE LLAVRHSVFVVGNAGTGKSKILRTLNRTYVNMKQKPVWSDLNPKAVTTDELFGFIHHATR EWKDGLFSSILREQANLTHDGPTWIVLDGDIDPLWIESLNTVMDDNKVLTLASNERVALK PSMRLLFETHHLRTATPATVSRAGILYVNPQDLGWNPYVASWIDRRRHQSEKANLTILFD KYIPVCLEKLRTSFKAITSVPESSLVQTICTLLECLLTPENIPPDSPKETYEVYFAFACV WTFGGTLLRDQLSDYQADFSRWWHKEMKAVKFPSQGTIFDYYLDHKTKKFLPWTDKVPQF SMDADAPLKTVLVHTPETTRLRYFTELLLCKGKPIMLVGNAGVGKTVFLSNTLASLSENY IVSCVPFNYYTTSAALQRILEKPLEKKAGRNYGPKGNKKLVYFIDDLNMPEVDLYGTIQP HALLRQHIDYGHWYDRHKIMLKEIRNCQYVACMNPMVGSFTVNPRLQRHFTVFAFNFPSL DALTTIYGQIFSFYLQQQAFCPSVLRAGPSLIQATIAFHQMMAESFVPTAIKFHYNFNLR DLSNIFQGILFASPECLKSLEDLARLWLHETSRVYGDRLIDTNDFDLFQRKMLETAHKYF KGVDANALLRQPLVYCHFASGGEDPCYMPVKDWEGLKAVLMEMVDNYNELHSAMHLVLFE DAMQHVCRISRILRIPQGHALLIGVGGSGKQSLSRLAAYICSLEVFQITLTEGYGAQELR VDLANLYVRTGAKNMPTVFLLTDAHVLDESFLVLINDLLASGDIPDLFSDEDMDKIISGI RNEVRGLGLVDSRENCWAFFLARVRQQLKMVFCFSPVGHTLRVRARKFPAIVNCTAIDWF HEWPQEALVSVSRRFIEEIEGIEPQHKDSISLFMAHVHTSVKEVSAWYYQNERRYNYTTP RSFLEQISLFKSLLKKKREEVKQKQEHLGNGIQKLQTTASQVGNLKSRLASQEAELQLRN LDAEALITKIGLQTEKVSREKAIADAEERKVAAIQTEASQKQRECEADLLKAEPALVAAK DALNTLNRVNLTELKTFPNPPNAVTNVTAAVMVLLAPRGRVPKDRSWKAAKIFMGKVDDF LQALINYDKEHIPENCLKVVNEQYLKDPEFNPNLIRTKSFAAAGLCAWVINIIRFYEVYC DVEPKRQALAQTNLDLAAATEKLEAVRRKLVDLDHNLSRLTASFEKATAEKVRCQEEVNQ TNKTIDLANKLVSELESEKIRWGQSIKSFETQEKTLCGDVLLTAAFVSYIGSFTRQYRQE LVDCKWIPFLQQKVSIPIAEGLDLIAMLTDDATIATWNNEGLPSDRMSTENATILTHCER WPLMIDPQQQGIKWIKNKYGPDLKVTHLGQKGFLNTIETALAFGDVILIENLKETVDPVL GPLLGRNTTKKGKFIRIGDKECEFNKNFRLILHTKLANPHYKPELQAQTTLLNFTVTEDG LEGQIWRG >ENSMUSP00000081867.6 pep:known chromosome:GRCm38:12:117877982:118199043:-1 gene:ENSMUSG00000018581.15 transcript:ENSMUST00000084806.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah11 description:dynein, axonemal, heavy chain 11 [Source:MGI Symbol;Acc:MGI:1100864] MAAQEEGTVLRLSPSEQEDEEDEEAAAARRVQRFALDPRVRFLGGRLRQALRFPEETWGQ YLESDDHRQVLGDFLESTGPASLVFSVATAGRLSASPEIPRDVKHKLVYFAKKMTENMGE SDFSQTILFGEIPRSLLTHVTAFLDEILVPVLSNKNNHTSWSCFISQDVEHHTEVMKNKM HIFRGKMSRRTHLPIPTIAENIDLDQHYLVTRPQSDERRILHAIESLVIKWSHQIQEIIE KDSAHPLLSGLHPTPETELDFWTMRHDNLKCIYSQLQAPIVLKMVKILRTRQSSYLPALK GIFTTVENALLEAQDVELHLRPLRRHIHSLQEAEFPQTRILIAPLLHTICLIWSHSKFYN TPARVIVLLQEFCNLFIDQARAYLSPEDLLKGEIEDALEKVQVAISVLKTFQNSFFKYRK GLTSYFTRNTEQRSWDFQSHLVFGRFNKFLDRLVKIEDMFVTILEFEKLERLEFGGSKGA VLNAQIHSTSEEFIECCKVFQQSTYDPSDCDDMEFESDYFKFKSRTLDFDRRLGTLLCEG LSNCSGLESAFKLLTIFGNFLEKPVVMEMFSPHYSTLLNMFNAELDVCKQLYDEHMKQIE HGHEILNKNMPFTSGNIKWARMLLERLQMFWSNFTSLHYLFPDSPDEAAVCQKYAEMTTL LDQFESHIYSEWRRNVDETCEFNLNQPLVKFSPINGLLSVNFDPKLVAVLREVKYLLMLK KSDIPDSALGIFQKRNIILKYIGNLELLVQGYNKLKQTLLEVEYPLIEDELGAIDEQLRV AATWLTWQDDFWVYMERVQVATAELECRVSQTQSNMLTIQQTMQAWAEWPLLPRRETRRE AALTLDDKGDLFAKKYKLIREDGCKIHNLVEENRKLFRADPSLDSWKIYVEFIDDIVVEG FFQAILHDLDFFLRNTEKQLKPTPFFQAQMLLMPPEIVFKPPLEKEAGDGFYDLVEEMLC GSFRVSAQMGRVAAHLDIADYQNDMDNMLGLAEVRQEIMNRVADVINKVLEFRSSLETYS YLWVDDRVEILRQFLLYGHAVPSEEMDAPASEDILQPPTLEQFKEQIDIYEALYIQMSKF DDFRVFNSWFKVDMRPFKLSLLNVIKKWSWMFQEHLLRFVVDSLSELQGFIKQTNAGLQR QLCEGDHDGLVDIMGHLLAVRSRQRATDELFEPLKETIMLLESYGQKMPEQVYAQLEELP ERWETTKKIAAMVRHEVSPLQNAEVTLIRKKCILFDEKQAEFRERFRSYAPLGFKAENPY AVLDKANQELEALEEEMEQMQNSARLFEVALPEYKQMKQCRQEIRLLKGLWDVIIYVRRS IDNWTETQWRQINVEQMDLELRRFAKEIWSLDKAVRVWDAYSGLEGTVKDMTTSLRAIAE LQNPALRDRHWQQLMKAIGVRFSINDSTTLSDLLAVQLHRVEDDVRDIVDQAVKELGTEK VITDVSHTWEALEFSYEAHHRTGTPLLKSDEQLFETLEHNQVQLQSLLQSKYVEYFIEQV LSWQNKLNVADAVIFTWMQVQRTWSHLESIFVCSEDIRVQLVEDARRFDKVDAEFKELMF ETAKVKNVLEATCRPHLYEKLKDFQHRLSLCEKALAEYLETKRVTFPRFYFISSADLLDI LSKGAQPKQVTHHLVKLFDSISDLQFEDNLDVSTHKAVGMFSKEKEYVPFQAGCECIGHV ESWLLQLEQTMKDTVRLAITEAITAYEEKPRELWIFDFPAQVALTGSQIWWTTDVGIAFS RLEEGYETALKDFHKKQISQLNTLITLLLGELSPGDRQKVMTICTIDVHARDVVAKLISQ KVVSPHAFTWLSQLRHEWEDSRKHCVVNICDAHFQYFYEYLGNSPRLVITPLTDRCYITL TQSLHLTMSGAPAGPAGTGKTETTKDLGRALGMMVYVFNCSEQMDYRSIGNIYKGLVQTG AWGCFDEFNRIAVEVLSVVAVQVKMIHDAIRNGRKRFVFLGETIPLKPSVGIFITLNPGY AGRTELPENLKALFRPCAMVAPDTELICEIMLVAEGFVDARSLARKFISLYTLCEELLSK QDHYDWGLRAIKSVLVVAGSLKRGDKNRPEDQVLMRALRDFNMPKIVTDDVPVFLGLVSD LFPALDVPRQRKPHFEQMVKQSTLELRLQPEESFILKVVQLEELLAVRHSVFVVGNAGTG KSKILRTLNRTYVNMKQKPVWSDLNPKAVTTDELFGFIHHATREWKDGLFSSILREQANL THDGPTWIVLDGDIDPLWIESLNTVMDDNKVLTLASNERVALKPSMRLLFETHHLRTATP ATVSRAGILYVNPQDLGWNPYVASWIDRRRHQSEKANLTILFDKYIPVCLEKLRTSFKAI TSVPESSLVQTICTLLECLLTPENIPPDSPKETYEVYFAFACVWTFGGTLLRDQLSDYQA DFSRWWHKEMKAVKFPSQGTIFDYYLDHKTKKFLPWTDKVPQFSMDADAPLKTVLVHTPE TTRLRYFTELLLCKGKPIMLVGNAGVGKTVFLSNTLASLSENYIVSCVPFNYYTTSAALQ RILEKPLEKKAGRNYGPKGNKKLVYFIDDLNMPEVDLYGTIQPHALLRQHIDYGHWYDRH KIMLKEIRNCQYVACMNPMVGSFTVNPRLQRHFTVFAFNFPSLDALTTIYGQIFSFYLQQ QAFCPSVLRAGPSLIQATIAFHQMMAESFVPTAIKFHYNFNLRDLSNIFQGILFASPECL KSLEDLARLWLHETSRVYGDRLIDTNDFDLFQRKMLETAHKYFKGVDANALLRQPLVYCH FASGGEDPCYMPVKDWEGLKAVLMEMVDNYNELHSAMHLVLFEDAMQHVCRISRILRIPQ GHALLIGVGGSGKQSLSRLAAYICSLEVFQITLTEGYGAQELRVDLANLYVRTGAKNMPT VFLLTDAHVLDESFLVLINDLLASGDIPDLFSDEDMDKIISGIRNEVRGLGLVDSRENCW AFFLARVRQQLKMVFCFSPVGHTLRVRARKFPAIVNCTAIDWFHEWPQEALVSVSRRFIE EIEGIEPQHKDSISLFMAHVHTSVKEVSAWYYQNERRYNYTTPRSFLEQISLFKSLLKKK REEVKQKQEHLGNGIQKLQTTASQVGNLKSRLASQEAELQLRNLDAEALITKIGLQTEKV SREKAIADAEERKVAAIQTEASQKQRECEADLLKAEPALVAAKDALNTLNRVNLTELKTF PNPPNAVTNVTAAVMVLLAPRGRVPKDRSWKAAKIFMGKVDDFLQALINYDKEHIPENCL KVVNEQYLKDPEFNPNLIRTKSFAAAGLCAWVINIIRFYEVYCDVEPKRQALAQTNLDLA AATEKLEAVRRKLVDLDHNLSRLTASFEKATAEKVRCQEEVNQTNKTIDLANKLVSELES EKIRWGQSIKSFETQEKTLCGDVLLTAAFVSYIGSFTRQYRQELVDCKWIPFLQQKVSIP IAEGLDLIAMLTDDATIATWNNEGLPSDRMSTENATILTHCERWPLMIDPQQQGIKWIKN KYGPDLKVTHLGQKGFLNTIETALAFGDVILIENLKETVDPVLGPLLGRNTTKKGKFIRI GDKECEFNKNFRLILHTKLANPHYKPELQAQTTLLNFTVTEDGLEGQLLAEVVSIERPDL ERLKLVLTKQQNDFKIELRQLEDDLLLRLSAAEGSFLDDTDLVERLETTKATAAEIEHKV TEARENERKINETRECYRPVAARASLLYFVISDLRRINPVYQFSLKAFNTLFHRAIEQAD KVEDTQERICALIESITHATFLYASQALFERDKLTFLSQMAFQILLRRNEIHPLELDFLL RFTVEHTYSSPVDFLTAQSWSAVKAVALMEEFRGLDRDVEGSAKQWRKWVESECPEKEKL PQEWKKKSLIQKLIILRAVRPDRMTYALRNFVEEKLGAKYVERTRLDLGKAFEESSPSTP VFFILSPGVDALKDLEVLGKRLGFTIDSGKFHNVSLGQGQELVAEMAMEKAAAGGHWVIL QNVHLVAKWLGTLEKLLEKFSQGSHRDYRVFLSAETVPSQHEPIIPQGLLENSIKITNEP PTGMLANLHAALYNFDQDTLEMCSKDQEFKSILFSLCYFHACVAGRLRFGPQGWSRSYPF SPGDLTICTNILYNYLEANPNVPWEDLRYLFGEIMYGGHITDAWDRKLCRVYLEEFMNPS LIEDEVMLAPGFAAPPYSDYSGYHQYIEDTLPPESPALYGLHPNAEIELLTVTSNTLFRT LLEMQPRNAVSQEELGQSTEDKVKNILDDILERLPEEFNMAEIMQKNPNRSPYVLVCFQE CERMNVLIREIRVSLQHLDLGLKGELTLSPDVETQLSALSYDRVPDTWNKLAYPSTYGLA QWFNDLLLRCRELDTWTQDLTLPAVVWLSGFFNPQSFLTAIMQTMARKNEWPLDRMCLTI DVTKKTKEDYGHPPREGAYLHGLHLEGARWDIQSGALVDARLKELTSMMPVIFAKAVPVD RQEIKHAYECPVYKTKARGPTYVWTFRLRSKDRIAKWVLAGVALLLEA >ENSMUSP00000102541.2 pep:known chromosome:GRCm38:3:101855776:101924393:-1 gene:ENSMUSG00000033147.16 transcript:ENSMUST00000106928.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a15 description:solute carrier family 22 (organic anion/cation transporter), member 15 [Source:MGI Symbol;Acc:MGI:3607704] MEVEEAFQAVGEMGLYQMYLCFLLAVLLQLYVATEAILIALIGATPAYHWDMADLLPNQS HSNQTLGKGQAFGDWLLTANGSEIHKHVHFSNSFTSIASEWFLIANRSYKVSAASSSFFS GVFVGVISFGQLSDRFGRRKVYLTGFALDILFAVANGFSPSYEFFAVTRFLVGMMNGGMS LVAFVLLNECVGTAYWALAGSIGGLFFAVGIAQYALLGYFIRSWRTLAVLVNLQGTLVFL LSLFIPESPRWLYSQGRLSEAEEALYFIAKRNRKLKCTFSLTHPANRSYRATGSFLDLFR YRILLGHTLILMFIWFVCSLVYYGLTLSAGDLGGSIYANLALSGLIEIPSYPLCIYLINQ RWFGRKRTLAAFLCLGGLACLIVMFLPEKKDTGVFAVVNSHSLSLLGKLTISAAFNIVYI YTSELYPTVIRNVGLGACSMFSRVGGIIAPFVPSLKEVQWSLPFIVFGATGLTSGLLSLL LPETLNSPLLETFSDLQMYSYRRLGEEALSLQTLDPPQPLDKVSSESEEEEEFYDADEET QMIK >ENSMUSP00000139518.1 pep:known chromosome:GRCm38:3:101858930:101924449:-1 gene:ENSMUSG00000033147.16 transcript:ENSMUST00000190824.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a15 description:solute carrier family 22 (organic anion/cation transporter), member 15 [Source:MGI Symbol;Acc:MGI:3607704] MEVEEAFQAVGEMGLYQMYLCFLLAVLLQLYVATEAILIALIGATPAYHWDMADLLPNQS HSNQTLGKGQAFGDWLLTANGSEIHKHVHFSNSFTSIASEGRLSEAEEALYFIAKRNRKL KCTFSLTHPANRSYRATGSFLDLFRYRILLGHTLILMFIWFVCSLVYYGLTLSAGDLGGS IYANLALSGLIEIPSYPLCIYLINQRWFGRKRTLAAFLCLGGLACLIVMFLPEKKDTGVF AVVNSHSLSLLGKLTISAAFNIVYIYTSELYPTVIRNVGLGACSMFSRVGGIIAPFVPSL KEVQWSLPFIVFGATGLTSGLLSLLLPETLNSPLLETFSDLQMYSYRRLGEEALSLQTLD PPQPLDKVSSESEEEEEFYDADEETQMIK >ENSMUSP00000138357.1 pep:known chromosome:GRCm38:3:101863741:101924379:-1 gene:ENSMUSG00000033147.16 transcript:ENSMUST00000183255.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a15 description:solute carrier family 22 (organic anion/cation transporter), member 15 [Source:MGI Symbol;Acc:MGI:3607704] MEVEEAFQAVGEMGLYQMYLCFLLAVLLQLYVATEAILIALIGATPAYHWDMADLLPNQS HSNQTLGKGQAFGDWLLTANGSEIHKHVHFSNSFTSIASEWFLIANRSYKVSAASSSFFS GVFVGVISFGQLSDRFGRRKVYLTGFALDILFAVANGFSPSYEFFAVTRFLVGMMNGGMS LVAFVLLNECVGTAYWALAGSIGGLFFAVGIAQYALLGYFIRSWRTLAVLVNLQGTLVFL LSLVG >ENSMUSP00000076067.2 pep:known chromosome:GRCm38:8:21938352:21940878:1 gene:ENSMUSG00000058052.2 transcript:ENSMUST00000076786.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb35 description:defensin beta 35 [Source:MGI Symbol;Acc:MGI:2179204] MPQTFFVFCFLFFVFLQLFPGTGEIAVCETCRLGRGKCRRACIESEKIVGWCKLNFFCCR ERI >ENSMUSP00000053692.9 pep:known chromosome:GRCm38:1:66468367:66699866:1 gene:ENSMUSG00000055567.16 transcript:ENSMUST00000061620.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc80 description:unc-80 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2652882] MVKRKSSEGQEQDGGRGIPLPIQTFLWRQTSAFLRPKLGKQYEASCVSFERVLVENKLHG LSPALSEAIQSISRWELVQAALPHVLHCTATLLSNRNKLGHQDKLGVAETKLLHTLHWML LEAPQDCNNDQFGGTDRGSSWGGSSSAFIHQIENQGSPGQPCRSSSHDEEENNRRKTFQN SMATVELFVFLFAPLVHRIKESDLTFRLASGLVIWQPMWEHRQPEVSGFTALVKPIRNII TAKRSSPINSQSQTCESPNQDTRQQGEGLQVVSEALQSDSISPKATISGCHQGNSFDGSL SSQTSQERGPSHSRASLVIPPCQRSRYATYFDVAVLRCLLQPHWSEEGTQWSLMYYLQRL RHMLEEKPEKTPDPDIPLLPRPRSSSMVAAAPSLVNTHKTQDLTMKCNEEEKSLSPEAFS KVSLTNLRRSAVPDLSSDLGMNIFKKFKSRKEDRERKGSIPFHHTGKRRPRRMGVPFLLH EDHLDVSPTRSTFSFGSFSGLGEDRRGIEKGGWQTTILGKLTRRGSSDAATEMESLSARH SHSHHTLVSDLPDHSNSHGENTVKEVRSQISTITVATFNTTLASFNVGYADFFSEHMRKL CSQVPIPEMPHEPLACANLPRSLTDSCINYSYLEDTEHIDGTNNFVHKNGMLDLSVVLKA VYLVLNHDISSRICDVALNIVECLLQLGVVPCVEKNRKKSENKENESVEKRPSEGAFQFK GVSSSSTSGFGAPSASGAGDGGGEEGGGGDGGGGGGGGDGGGGGGGGGGPYEKNEKNQEK DDNIPVSNHRLALTMLIKIVKSLGCAYGCGEGHRGLSGDRLRHQVFRENAQNCLTKLYKL DKIQFRQTMRDYVNKDSLNNVVDFLHALLGFCMEPVTDNKAGFGNNFTTVDNKSTAQNVE GIIVGAMFKSLITRCASTTHELHSPENLGLYCDIRQLVQFIKEAHGNVFRRVALSALLDS AEKLAPGKKVEENGQESKPVGSKRSEAGSIADKGQVSSAPEECRSFMSGRPSQTPEHDEP MQGGNLGRKDFWRKMFKSQSAASDTSSQSEQDTSECTTAHSGNTSDRRARSRSRRISLRK KLKLPIGNWLKRSSLSGLADGVEDLLDISSVDRLSFIRQSSKVKFTSAVKLSEGGPGSGM ENGREEEENFFKRLGCHSFDDHLSPNQDGGKSKNVVNLGAIRQGMKRFQFLLNCCEPGTI PDASILAAALDLEAPVVARAALFLECARFVHRCNRGNWPEWMKGHHVNITKKGLSRGRSP TVGNKRNQKLQWSAAKLFYQWGDAIGIRLNELCHGESESPANLLGLIYDEETKRRLRKED EEEDFLDDSTVNPSKCGCPFALKMAACQLLLEITTFLRETFSCLPRPRTEPLVDLESCRL RLDPELDRHRYERKISFAGVLDENEDSKDSLHSSSHTIKSDAGAEEKKVPSRKIRIGGSR LLQIKGTRSFQVKKGGSLSSIRRVGSLKSSKLSRQDSESEAEELQLSQSRDTVTDLEGSP WSASEPSIEPEGLSNAGTEENYHRNMSWLHVMILLCNQQSFICTHVDYCHPHCYLHHSRS CARLVRAIKLLYGDSVDSLRESNHISNVALRGKKQKECSDKSCLRTPSLKKRVSDVNLEG KKDSGMLKYIRFQVMSLSPAPLSLLIKAAPILTEEMYGDIQPAAWELLLSMDEHMAGAAA AMFLLCAVKVPDAVSDMLMSEFHHAETVQRLNAVLKFHTLWRFRYQVWPRMEEGAQQIFK IPPPSINFTLPSPVLGMPSVPMFDPPWVPQCSGSVQDPINEDQSKSFSARAVSRSHQRAE HILKNLQQEEEKKRLGREASLITAIPITQEACYEPTCTPNSEPEEEEEVANLTSRRLSVS PSCTSSTSHRNYSFRRGSVWSVRSAVSAEDEEHATEHTPNHHVPQPPQAVFPACICAAVL PIVHLMEDGEVREDGVAVSAVAQQVLWNCLIEDPSTVLRHFLEKLTISNRQDELMYMLRK LLLNIGDFPAQTSHILFNYLVGLIMYFVRTPCEWGMDAISATLTFLWEVVGYVEGLFFKD LKQTMKKEQCEVKLLVTASMPGTKTLVVHGQNECDIPTQLPVHEDTQFEALLKECLEFFN IPESQSTHYFLMDKRWNLIHYNKTYVRDIYPFRRSVSPQLNLVHMHPEKGQELIQKQVFT RKLEEVGRVLFLISLTQKIPTAHKQSHVSMLQEDLLRLPSFPRSAIDAEFSLFSDPQAGK ELFGLDTLQKSLWIQLLEEMFLGMPSEFPWGDEIMLFLNVFNGALILHPEDSALLRQYAA TVINTAVHFNHLFSLSGYQWILPTMLQVYSDYESNPQLRRAIEFACHQFYILHRKPFVLQ LFASVAPLLEFPDAANTGSSKGVSAQCLFDLLQSLEGETTDILDILELVKAEKPLKSLDF CYGNEDLTFSISEAIKLCVTVVAYAPESFRSLQMLMVLEALVPCYLQKMKRQTSQVETVP AAREEIAATAALATSLQALLYSVEVLTRPMTAPQMSRSDQGHKGTTTANHTMSSGVNTRY PEQGAKLHFIRENLHLLEEGQGLPREELDERISREEFRRPRESLLNICTEFYKHCGPRLK ILQNLAGEPRVTALELLDVKSHMRLAEIAHSLLKLAPYDTQTMESRGLRRYIMEMLPITD WSAEAVRPALILILKRLDRMFNKIHKMPTLRRQVEWEPASSLIEGVCLTLQRQPIISFLP HLRSLINVCVNLVMGVVGPSSVADGLPLLHLSPYLSPPLPFSTAVVRLVALQIQALKEDF PLSHVISPFTNQERREGMLLNLLIPFVLTVGSGSKDSPWLEQPEVQLLLQTVINVLLPPR IISTSRSKNFMLESSPAHCSTPGDAGKDLRKEGLAESTSQAAYLALKVILVCFERQLGSQ WYWLSLQVKEMALRKVGGLALWDFLDFIVRTRIPIFVLLRPFIQCKLLAQPAENHEELSA RQHISDQLERRFIPRPLCKSSLIAEFNSELKILKEAVHSGSAYQGKTSISTVGTSTSAYR LSLATMSRSNTGTGTVWEQDSEPSQQASQDTLSRTDEEDEENDSVSMPSVVSEQEACLLS TIGRRRFSSHVSSMSAPQAEVGMLPSQSEPNVLDDSQGLAAEGSLSRVASIQSEPGQQNV LLQQPLGRKRGLRQLRRPLLSRQKTQTEPRNRHGARLSTTRRSIQPKTKPSVDQKRSVTF IEAQPEPTAAPTDIFPATGQPQSCSPGRARKPEGTEKPVLTSSPAIIIADLHSLSPKQSE PLLAEEGEKKEDEEIQGATAHCPLSTQLSDPDDFTGLETSSLLQHGDTVLHISEENGTEN PLLSSQFTFTPPELGDTDSALDESHV >ENSMUSP00000109641.2 pep:known chromosome:GRCm38:1:66468414:66504944:1 gene:ENSMUSG00000055567.16 transcript:ENSMUST00000114008.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unc80 description:unc-80 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2652882] MVKRKSSEGQEQDGGRGIPLPIQTFLWRQTSAFLRPKLGKQYEASCVSFERVLVENKLHG LSPALSEAIQSISRWELVQAALPHVLHCTATLLSNRNKLGHQDKLGVAETKLLHTLHWML LEAPQDCNNDQFGGTDRGSSWGGSSSAFIHQIENQGSPGQPCRSSSHDEEENNRRKTFQN SMATVELFVFLFAPLVHRIKESDLTFRLASGLVIWQPMWEHRQPEVSGFTALVKPIRNII TAKRSSPINSQSQTCESPNQDTRQQGEGLTCDTTMPEVTLCHLLRCCRSPLPSPAPLV >ENSMUSP00000117070.1 pep:known chromosome:GRCm38:1:66679699:66696663:1 gene:ENSMUSG00000055567.16 transcript:ENSMUST00000152844.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc80 description:unc-80 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2652882] XSQDTLSRTDEEDEENDSVSMPSVVSEQEACLLSTIGRRRFSSHVSSMSAPQAEVGMLPS QRVASIQSEPGQQNVLLQQPLGRKRGLRQLRRPLLSRQKTQTEPRNRHGARLSTTRRSIQ PKTKPSVDQKRSVTFIEAQPEPTAAPTDIFPATGQPQSCSPGRARKPEGTEKPVLTSSPA IIIADLHSLSPKQSEPLLAEEGEKKEDEEIQGATAHCPLSTQLSDPDDFTGLETSSLLQH GDTVLHISEENGTENPLLSSQFTFTPPELGDTDSALDESHV >ENSMUSP00000048229.7 pep:known chromosome:GRCm38:1:186087731:186117310:-1 gene:ENSMUSG00000039246.8 transcript:ENSMUST00000045388.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyplal1 description:lysophospholipase-like 1 [Source:MGI Symbol;Acc:MGI:2385115] MAAVPSAVHLPRCVVSPTGRHSASLIFLHGSGHSGQGQREWIKHVLNQDLTFQHIKIIYP TAPSRPYTPLKGGLSNVWFDRFKISMDCPEHLESIDSMCQVLSGLVDEEVKTGIQKSRIL IGGFSMGGCMAMHLAYRSHPDVAGVFVLSGFLNKASVVYQDLQQGGRMLPELFQCHGSAD NLVLHAWGKETNSKLKSLGVSTTFHSLPNLNHELNKTELEKLKSWILTRLPGETDGQSE >ENSMUSP00000113137.1 pep:known chromosome:GRCm38:18:10644411:10706771:-1 gene:ENSMUSG00000002475.15 transcript:ENSMUST00000117828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd3 description:abhydrolase domain containing 3 [Source:MGI Symbol;Acc:MGI:2147183] MDLRVLSRELALYLEHQVRVGFFGSGVGLSLILGFSVAYACYYLSSIAKKPQLVIGGESF SRFLQDHCPVVTETYYPTVWCWESRGQTLLRPFITSKPPVQYRNELIKTADGGQISLDWF DNNNSAYYVDASTRPTILLLPGLTGTSKESYILHMIHLSEELGYRCVVFNNRGVAGESLL TPRTYCCANTEDLEAVVHHVHSLYPGAPFLAAGVSMGGMLLLNYLGKIGSKTPLMAAATF SVGWNTFACSESLERPLNWLLFNYYLTTCLQSSVKKHRHMFVEQIDMDQVMKAKSIREFD KRFTAVMFGYRTLDDYYTDASPNRRLKSVGIPVLCLNATDDVFSPSHAIPIETAKQNPNV ALVLTAYGGHIGFLEGIWPRQCTYMDRVFKQFVQAMVEHGHELSNM >ENSMUSP00000116037.1 pep:known chromosome:GRCm38:18:10644413:10658789:-1 gene:ENSMUSG00000002475.15 transcript:ENSMUST00000144150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd3 description:abhydrolase domain containing 3 [Source:MGI Symbol;Acc:MGI:2147183] XMGGMLLLNYLGKIGSKTPLMAAATFSVGWNTFACSESLERPLNWLLFNYYLTTCLQSSV KKHRHMFVEQIDMDQVMKAKSIREFDKRFTAVMFGYRTLDDYYTDASPNRRLKSVGIPVL CLNATDDVFSPSHAYGGHIGFLEGIWPRQCTYMDRVFKQFVQAMVEHGHELSNM >ENSMUSP00000112768.1 pep:known chromosome:GRCm38:18:10644413:10706713:-1 gene:ENSMUSG00000002475.15 transcript:ENSMUST00000117726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd3 description:abhydrolase domain containing 3 [Source:MGI Symbol;Acc:MGI:2147183] MDLRVLSRELALYLEHQVRVGFFGSGVGLSLILGFSVAYACYYLSSIAKKPQLVIGGESF SRFLQDHCPVVTETYYPTVWCWESRGQTLLRPFITSKPPVQYRNELIKTADGGQISLDWF DNNNSAYYVDASTRPTILLLPGLTGTSKESYILHMIHLSEELGYRCVVFNNRGVAGESLL TPRTYCCANTEDLEAVVHHVHSLYPGAPFLAAGVSMGGMLLLNYLGKIGSKTPLMAAATF SVGWNTFACSESLERPLNWLLFNYYLTTCLQSSVKKHRHMFVEQIDMDQVMKAKSIREFD KRFTAVMFGYRTLDDYYTDASPNRRLKSVGIPVLCLNATDDVFSPSHETAKQNPNVALVL TAYGGHIGFLEGIWPRQCTYMDRVFKQFVQAMVEHGHELSNM >ENSMUSP00000002549.7 pep:known chromosome:GRCm38:18:10644413:10706696:-1 gene:ENSMUSG00000002475.15 transcript:ENSMUST00000002549.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd3 description:abhydrolase domain containing 3 [Source:MGI Symbol;Acc:MGI:2147183] MQRLAMDLRVLSRELALYLEHQVRVGFFGSGVGLSLILGFSVAYACYYLSSIAKKPQLVI GGESFSRFLQDHCPVVTETYYPTVWCWESRGQTLLRPFITSKPPVQYRNELIKTADGGQI SLDWFDNNNSAYYVDASTRPTILLLPGLTGTSKESYILHMIHLSEELGYRCVVFNNRGVA GESLLTPRTYCCANTEDLEAVVHHVHSLYPGAPFLAAGVSMGGMLLLNYLGKIGSKTPLM AAATFSVGWNTFACSESLERPLNWLLFNYYLTTCLQSSVKKHRHMFVEQIDMDQVMKAKS IREFDKRFTAVMFGYRTLDDYYTDASPNRRLKSVGIPVLCLNATDDVFSPSHAIPIETAK QNPNVALVLTAYGGHIGFLEGIWPRQCTYMDRVFKQFVQAMVEHGHELSNM >ENSMUSP00000130101.1 pep:known chromosome:GRCm38:14:51630273:51635666:-1 gene:ENSMUSG00000091296.1 transcript:ENSMUST00000170249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4181 description:predicted gene 4181 [Source:MGI Symbol;Acc:MGI:3782357] MGSQAGSFRKASPQTPNINENEKRIKRLEKLKRDLQNIKNERDELQGILAKYNDLNDRIN FETFMLEMQHNQVMTDLKRMPQDISEALYKCQHLTKENELYCCRNCHLLIESNLIQHKVR MLWKENRQLLRKQIALEECNIKTKILCKEGSQKIKDEYSRQAAGKQIIVVPGSKEEAAMS NPCA >ENSMUSP00000034328.6 pep:known chromosome:GRCm38:8:68793929:68829410:1 gene:ENSMUSG00000031864.15 transcript:ENSMUST00000034328.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints10 description:integrator complex subunit 10 [Source:MGI Symbol;Acc:MGI:1918135] MSAQGDCEFLVQRARELVPQDLWAAKAWLITARSLYPADFNIQYEMYTIERNAERTATAG RLLYDMFVNFPDQPVVWREISIITSALRNDSQDKQTQFLRSLFETLPGRVQCEMLLKVTE QCFNTLERSEMLLLLLRRFPETVVQHGVGLGEALLEAETIEEQDSPVNCFRKLFVCDVLP LIINNHDVRLPANLLYKYLNKAAEFYINYVTRSTQSENQHQGAQDTSDLMSPSKRSSQKY IIEGLTEKSSHIVDPWERLFKILNVVGMRCEWQMDKGRRSCSDLLHRMKELCRYMNSFDS EAHNNYKNQVLYSTMLVFFKSAFQYVSSIQPSLFQGPNAPSQVPLILLEDVANVYGDVEI DRSKHIHKKRKLAEGREKTMSSDDEECSAKGRNRHIVVSKADLSNSIEVLESFKLARESW ELLYSLEFLDKEFTRICLAWKTDTWLWLRIFLTDMIIYQGQYKKAIASLHHLAALQGSLS QPQITGQGTLEHQRALIQLATCHFALGEYRMTCEKVLDLMCYMVLPIQDGGKPQEEPSKV KPKCRKGLDLKLLPCTSKAIMPYCLHLMLACFKLRAFTDSRDDMALGHVIVLLQQEWPRG ENLFLKAISKICQQGNFQYENFFSYVTNIDMLEEFAYLRTQEGGKIHLELLPNQGMLIKH HTVTRGITKGVKEDFRLAMERQVSRCGENLMAVLHRFCINEKILLLQTLT >ENSMUSP00000105870.1 pep:known chromosome:GRCm38:8:68793935:68822511:1 gene:ENSMUSG00000031864.15 transcript:ENSMUST00000110241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints10 description:integrator complex subunit 10 [Source:MGI Symbol;Acc:MGI:1918135] MSAQGDCEFLVQRARELVPQDLWAAKAWLITARSLYPADFNIQYEMYTIERNAERTATAG RLLYDMFVNFPDQPVVWREISIITSALRNDSQDKQTQFLRSLFETLPGRVQCEMLLKVTE QCFNTLERSEMLLLLLRRFPETVVQHGVGLGEALLEAETIEEQDSPVNCFRKLFVCDVLP LIINNHDVRLPANLLYKYLNKAAEFYINYVTRSTQSENQHQGAQDTSDLMSPSKRSSQKY IIEGLTEKSSHIVDPWERLFKILNVVGMRCEWQMDKGRRSCSDLLHRMKELCRYMNSFDS EAHNNYKNQVLYSTMLVFFKSAFQYVSSIQPSLFQGPNAPSQVPLILLEDVANVYGDVEI DRSKHIHKKRKLAEGREKTMSSDDEECSAKGRNRHIVVSKADLSNSIEVLESFKLARESW ELLYSLEFLDKEFTRICLAWKTDTWLWLRIFLTDMIIYQGQYKKAIASLHHLAALQGSLS QPQITGQGTLEHQRALIQLATCHFALGEYRMTCEKVLDLMCYMVLPIQDGGKPQEEPSKV KPKCRKGLDLKLLPCTSKAIMPYCLHLMLACFKLRAFTDSRDDMALGHVIVLLQQEWPRG ENLFLKAISKICQQGNFQYENFFSYVTNIDMLEEFAYLRTQEGGKIHLELLPNQGMLIK >ENSMUSP00000105871.1 pep:known chromosome:GRCm38:8:68793956:68829408:1 gene:ENSMUSG00000031864.15 transcript:ENSMUST00000110242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints10 description:integrator complex subunit 10 [Source:MGI Symbol;Acc:MGI:1918135] MSAQGDCEFLVQRARELVPQDLWAAKAWLITARSLYPADFNIQYEMYTIERNAERTATAG RLLYDMFVNFPDQPVVWREISIITSALRNDSQDKQTQFLRSLFETLPGRVQCEMLLKVTE QCFNTLERSEMLLLLLRRFPETVVQHGVGLGEALLEAETIEEQDSPVNCFRKLFVCDVLP LIINNHDVRLPANLLYKYLNKAAEFYINYVTRSTQSENQHQGAQDTSDLMSPSKRSSQKY IIEGLTEKSSHIVDPWERLFKILNVVGMRCEWQMDKGRRSCSDLLHRMKELCRYMNSFDS EAHNNYKNQVLYSTMLVFFKSAFQYVSSIQPSLFQGPNAPSQVPLILLEDVANVYGDVEI DRSKHIHKKRKLAEGREKTMSSDDEECSAKGRNRHIVVSKADLSNSIEVLESFKLARESW ELLYSLEFLDKEFTRICLAWKTDTWLWLRIFLTDMIIYQGQYKKAIASLHHLAALQGSLS QPQITGQGTLEHQRALIQLATCHFALGEYRMTCEKVLDLMCYMVLPIQDGGKPQEEPSKV KPKCRKGLDLKLLPCTSKAIMPYCLHLMLACFKLRAFTDSRDDMALGHVIVLLQQEWPRG ENLFLKAISKICQQGNFQYENFFSYVTNIDMLEEFAYLRTQEGGKIHLELLPNQGMLINH CRPFGWSLQMSGRDVVSSVPLLPLGHHTVTRGITKGVKEDFRLAMERQVSRCGENLMAVL HRFCINEKILLLQTLT >ENSMUSP00000069908.1 pep:known chromosome:GRCm38:8:68794014:68829408:1 gene:ENSMUSG00000031864.15 transcript:ENSMUST00000070713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints10 description:integrator complex subunit 10 [Source:MGI Symbol;Acc:MGI:1918135] MSAQGDCEFLVQRARELVPQDLWAAKAWLITARSLYPADFNIQYEMYTIERNAERTATAG RLLYDMFVNFPDQPVVWREISIITSALRNDSQDKQTQFLRSLFETLPGRVQCEMLLKVTE QCFNTLERSEMLLLLLRRFPETVVQHGVGLGEALLEAETIEEQDSPVNCFRKLFVCDVLP LIINNHDVRLPANLLYKYLNKAAEFYINYVTRSTQSENQHQGAQDTSDLMSPSKRSSQKY IIEGLTEKSSHIVDPWERLFKILNVVGMRCEWQMDKGRRSCSDLLHRMKELCRYMNSFDS EAHNNYKNQVLYSTMLVFFKSAFQYVSSIQPSLFQGPNAPSQVPLILLEDVANVYGDVEI DRSKHIHKKRKLAEGREKTMSSDDEECSAKGRNRHIVVSKADLSNSIEVLESFKLARESW ELLYSLEFLDKEFTRICLAWKTDTWLWLRIFLTDMIIYQGQYKKAIASLHHLAALQGSLS QPQITGQGTLEHQRALIQLATCHFALGEYRMTCEKVLDLMCYMVLPIQDGGKPQEEPSKV KPKCRKGLDLKLLPCTSKAIMPYCLHLMLACFKLRAFTDSRDDMALGHVIVLLQQEWPRG ENLFLKAISKICQQGNFQYENFFSYVTNIDMLEEFAYLRTQEGGKIHLELLPNQGMLIKP SSPPMGLLQQEFLPVLQPSIQTADRHHTVTRGITKGVKEDFRLAMERQVSRCGENLMAVL HRFCINEKILLLQTLT >ENSMUSP00000118390.1 pep:known chromosome:GRCm38:8:68820686:68827501:1 gene:ENSMUSG00000031864.15 transcript:ENSMUST00000147072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints10 description:integrator complex subunit 10 [Source:MGI Symbol;Acc:MGI:1918135] XALGHVIVLLQQEWPRGENLFLKAISKICQQGNFQYENFFSYVTNIDMLEEFAYLRTQEG GKIHLELLPNQGMLINHCRPFGWSLQMSGRDVVSSVPLLPLGPSSPPMGLLQQEFLPVLQ PSIQTADRHHTVTRGITKGVKEDFRLAMERQVSRCGENLMAVLHRFCINEKILLLQTLT >ENSMUSP00000127457.2 pep:known chromosome:GRCm38:17:56770276:56772134:1 gene:ENSMUSG00000090273.3 transcript:ENSMUST00000168666.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr22 description:proline rich 22 [Source:MGI Symbol;Acc:MGI:2685392] MQHPKTLYPPAISQEGFSLQSLEGPEVPGGPEPLPTVANANLHYQTPGSDQDVLPAPPAG FQMAPCGCFFDPRIYRIEWATSDFGQSSLYKVAVAGGPALSGGYLLEAPSYLKAPGPPPL LYPHYQPAPSGPQYLTHYLPAEEPVPEALGFMRDGGPLNFMEMLRDRLAPPPTPKETTPS PLLITVPTAHTLPPGPYGHLGGQASQFPGPQVTMRPIEASRELQSSGVARPGLRFPPGPV EPKVAKVEDVTPVGSGETMPAEAARAFFLPDKVLLEDAMKLFDCLPGGTEPEVALHRGPG PGLRDSGGGGDDFPTDIRSLHLPDDLLSFDYSVPEILDAVANVDYFFSFKALDDEPPVPH LGVPATDTVAPGLRSHQLGKKPSMPTKKGKPGSRHRQTTGPADTAAAAAAAGPRLDPGAI PN >ENSMUSP00000093884.4 pep:known chromosome:GRCm38:14:51552790:51662953:1 gene:ENSMUSG00000079244.3 transcript:ENSMUST00000096170.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5622 description:predicted gene 5622 [Source:MGI Symbol;Acc:MGI:3646060] MESHENPGMFSRLLRLFHRENGDQGETRPRQKEFGILSYEKGRMKSFWGRHVSAGKTSSQ SCNITNHMKNMNKLEDMKFYIRKINAEREELFRILDIDMNTDLNYSIRHTHLLKKCNQLK KKVRMLLNENRKLLVEQADQEASFGEEKSSVMRPARTYIPSAKQQQV >ENSMUSP00000074399.6 pep:known chromosome:GRCm38:8:11758354:11835219:1 gene:ENSMUSG00000031511.14 transcript:ENSMUST00000074856.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef7 description:Rho guanine nucleotide exchange factor (GEF7) [Source:MGI Symbol;Acc:MGI:1860493] MTDNTNSQLVVRAKFNFQQTNEDELSFSKGDVIHVTRVEEGGWWEGTHNGRTGWFPSNYV REIKPSEKPVSPKSGTLKSPPKGFDTTAINKSYYNVVLQNILETEHEYSKELQSVLSTYL RPLQTSDKLSSANTSYLMGNLEEISSFQQVLVQSLEECTKSPEAQQRVGGCFLSLMPQMR TLYLAYCANHPSAVSVLTEHSEDLGEFMETKGASSPGILVLTTGLSKPFMRLDKYPTLLK ELERHMEDYHPDRQDIQKSMTAFKNLSAQCQEVRKRKELELQILTEPIRSWEGDDIKTLG SVTYMSQVTIQCAGSEEKNERYLLLFPNLLLMLSASPRMSGFIYQGKLPTTGMTITKLED SENHRNAFEISGSMIERILVSCTSQQDLHEWVEHLQKQTKVTSVSNPTIKPHSVPSHTLP SHPLTPSSKHADSKPVALTPAYHTLPHPSHHGTPHTTISWGPLEPPKTPKPWSLSCLRPA PPLRPSAALCYKEDLSKSPKTMKKLLPKRKPERKPSDEEFAVRKSTAALEEDAQILKVIE AYCTSAKTRQTLNSSSRKESAPQVLLPEEEKIIVEETKSNGQTVIEEKSLVDTVYALKDE VQELRQDNKKMKKSLEEEQRARKDLEKLVRKVLKNMNDPAWDETNL >ENSMUSP00000096538.2 pep:known chromosome:GRCm38:8:11758354:11835219:1 gene:ENSMUSG00000031511.14 transcript:ENSMUST00000098938.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef7 description:Rho guanine nucleotide exchange factor (GEF7) [Source:MGI Symbol;Acc:MGI:1860493] MTDNTNSQLVVRAKFNFQQTNEDELSFSKGDVIHVTRVEEGGWWEGTHNGRTGWFPSNYV REIKPSEKPVSPKSGTLKSPPKGFDTTAINKSYYNVVLQNILETEHEYSKELQSVLSTYL RPLQTSDKLSSANTSYLMGNLEEISSFQQVLVQSLEECTKSPEAQQRVGGCFLSLMPQMR TLYLAYCANHPSAVSVLTEHSEDLGEFMETKGASSPGILVLTTGLSKPFMRLDKYPTLLK ELERHMEDYHPDRQDIQKSMTAFKNLSAQCQEVRKRKELELQILTEPIRSWEGDDIKTLG SVTYMSQVTIQCAGSEEKNERYLLLFPNLLLMLSASPRMSGFIYQGKLPTTGMTITKLED SENHRNAFEISGSMIERILVSCTSQQDLHEWVEHLQKQTKVTSVSNPTIKPHSVPSHTLP SHPLTPSSKHADSKPVALTPAYHTLPHPSHHGTPHTTISWGPLEPPKTPKPWSLSCLRPA PPLRPSAALCYKEDLSKSPKTMKKLLPKRKPERKPSDEEFAVRKSTAALEEDAQILKVIE AYCTSAKTRQTLNSTWQGTDLMHNHVLADDDQSSLDSLGRRSSLSRLEPSDLSEDSEYDS IWTAHSYRMGSASRSRKESAPQVLLPEEEKIIVEETKSNGQTVIEEKSLVDTVYALKDEV QELRQDNKKMKKSLEEEQRARKDLEKLVRKVLKNMNDPAWDETNL >ENSMUSP00000106529.1 pep:known chromosome:GRCm38:8:11782641:11835219:1 gene:ENSMUSG00000031511.14 transcript:ENSMUST00000110904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef7 description:Rho guanine nucleotide exchange factor (GEF7) [Source:MGI Symbol;Acc:MGI:1860493] MTDNTNSQLVVRAKFNFQQTNEDELSFSKGDVIHVTRVEEGGWWEGTHNGRTGWFPSNYV REIKPSEKPVSPKSGTLKSPPKGFDTTAINKSYYNVVLQNILETEHEYSKELQSVLSTYL RPLQTSDKLSSANTSYLMGNLEEISSFQQVLVQSLEECTKSPEAQQRVGGCFLSLMPQMR TLYLAYCANHPSAVSVLTEHSEDLGEFMETKGASSPGILVLTTGLSKPFMRLDKYPTLLK ELERHMEDYHPDRQDIQKSMTAFKNLSAQCQEVRKRKELELQILTEPIRSWEGDDIKTLG SVTYMSQVTIQCAGSEEKNERYLLLFPNLLLMLSASPRMSGFIYQGKLPTTGMTITKLED SENHRNAFEISGSMIERILVSCTSQQDLHEWVEHLQKQTKVTSVSNPTIKPHSVPSHTDL SKSPKTMKKLLPKRKPERKPSDEEFAVRKSTAALEEDAQILKVIEAYCTSAKTRQTLNST WQGTDLMHNHVLADDDQSSLDSLGRRSSLSRLEPSDLSEDSEYDSIWTAHSYRMGSASRS RKESAPQVLLPEEEKIIVEETKSNGQTVIEEKSLVDTVYALKDEVQELRQDNKKMKKSLE EEQRARKDLEKLVRKVLKNMNDPAWDETNL >ENSMUSP00000106534.2 pep:known chromosome:GRCm38:8:11728105:11827149:1 gene:ENSMUSG00000031511.14 transcript:ENSMUST00000110909.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef7 description:Rho guanine nucleotide exchange factor (GEF7) [Source:MGI Symbol;Acc:MGI:1860493] MNSAEQTVTWLITLGVLESPKKTISDPEVFLQASLKDGVVLCRLLERLLPGTIEKVYPEP RNESECLSNIREFLRACGASLRLETFDANDLYQGQNFNKVLSSLVTLNKVTADIGLGSDS VCARPSSHRIKSFDSLGSQSSHSRTSKLLQSQYRSLDMTDNTNSQLVVRAKFNFQQTNED ELSFSKGDVIHVTRVEEGGWWEGTHNGRTGWFPSNYVREIKPSEKPVSPKSGTLKSPPKG FDTTAINKSYYNVVLQNILETEHEYSKELQSVLSTYLRPLQTSDKLSSANTSYLMGNLEE ISSFQQVLVQSLEECTKSPEAQQRVGGCFLSLMPQMRTLYLAYCANHPSAVSVLTEHSED LGEFMETKGASSPGILVLTTGLSKPFMRLDKYPTLLKELERHMEDYHPDRQDIQKSMTAF KNLSAQCQEVRKRKELELQILTEPIRSWEGDDIKTLGSVTYMSQVTIQCAGSEEKNERYL LLFPNLLLMLSASPRMSGFIYQGKLPTTGMTITKLEDSENHRNAFEISGSMIERILVSCT SQQDLHEWVEHLQKQTKVTSVSNPTIKPHSVPSHTLPSHPLTPSSKHADSKPVALTPAYH TLPHPSHHGTPHTTISWGPLEPPKTPKPWSLSCLRPAPPLRPSAALCYKEDLSKSPKTMK KLLPKRKPERKPSDEEFAVRKSTAALEEDAQILKVIEAYCTSAKTRQTLNSTWQGTDLMH NHVLADDDQSSLDSLGRRSSLSRLEPSDLSEDSEYDSIWTAHSYRMGSASRKSCCSYISH QN >ENSMUSP00000033908.6 pep:known chromosome:GRCm38:8:11728105:11823061:1 gene:ENSMUSG00000031511.14 transcript:ENSMUST00000033908.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef7 description:Rho guanine nucleotide exchange factor (GEF7) [Source:MGI Symbol;Acc:MGI:1860493] MNSAEQTVTWLITLGVLESPKKTISDPEVFLQASLKDGVVLCRLLERLLPGTIEKVYPEP RNESECLSNIREFLRACGASLRLETFDANDLYQGQNFNKVLSSLVTLNKVTADIGLGSDS VCARPSSHRIKSFDSLGSQSSHSRTSKLLQSQYRSLDMTDNTNSQLVVRAKFNFQQTNED ELSFSKGDVIHVTRVEEGGWWEGTHNGRTGWFPSNYVREIKPSEKPVSPKSGTLKSPPKG FDTTAINKSYYNVVLQNILETEHEYSKELQSVLSTYLRPLQTSDKLSSANTSYLMGNLEE ISSFQQVLVQSLEECTKSPEAQQRVGGCFLSLMPQMRTLYLAYCANHPSAVSVLTEHSED LGEFMETKGASSPGILVLTTGLSKPFMRLDKYPTLLKELERHMEDYHPDRQDIQKSMTAF KNLSAQCQEVRKRKELELQILTEPIRSWEGDDIKTLGSVTYMSQVTIQCAGSEEKNERYL LLFPNLLLMLSASPRMSGFIYQGKLPTTGMTITKLEDSENHRNAFEISGSMIERILVSCT SQQDLHEWVEHLQKQTKVTSVSNPTIKPHSVPSHTLPSHPLTPSSKHADSKPVALTPAYH TLPHPSHHGTPHTTISWGPLEPPKTPKPWSLSCLRPAPPLRPSAALCYKEDLSKSPKTMK KLLPKRKPERKPSDEEFAVRKSTAALEEDAQILKVIEAYCTSAKTRQTLNSSECRSSPRV GTDYKQLLHGLAALEREVSGA >ENSMUSP00000101880.1 pep:known chromosome:GRCm38:11:118988216:118992665:1 gene:ENSMUSG00000046697.16 transcript:ENSMUST00000106273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp7 description:ectonucleotide pyrophosphatase/phosphodiesterase 7 [Source:MGI Symbol;Acc:MGI:3027917] MGHSAVLLCVALAILPACVTGAPVQRQHKLLLVSFDGFRWNYDQDVDTPNLDSMAQEGVK AQYMTPAFVTMTSPCHFTLVTGKYIENHGVVHNMFYNTTSTVRLPYHATLGIQRWWDNGS IPIWITAQRQGLKTGSFFYPGGNVTYQGEAVTMSRKEGVLHNYKNETEWRGNVDTVMKWF LEEDVSLVTLYFGEPDSTGHKYGPESQERKDMVKQVDRTVGYLRDSIKRHHLSDSLNLII TSDHGMTTVNKKASDLVEFHKFSNFTFQDIQFELLDYGPIGMLIPKEGMLEKVYSVLKDA HPRLHVYKKEDFPKNFHYANNPRITPLLMYSDLGYVIHGRVNVQFNNGEHGFNNQDMDMK TIFRAVGPSFKAGLEVEPFESVHVYELMCQLLGIVPEPNDGNPGILRPMLRSDSILLSHW TFCLP >ENSMUSP00000090027.6 pep:known chromosome:GRCm38:11:118988188:118992841:1 gene:ENSMUSG00000046697.16 transcript:ENSMUST00000092373.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp7 description:ectonucleotide pyrophosphatase/phosphodiesterase 7 [Source:MGI Symbol;Acc:MGI:3027917] MGHSAVLLCVALAILPACVTGAPVQRQHKLLLVSFDGFRWNYDQDVDTPNLDSMAQEGVK AQYMTPAFVTMTSPCHFTLVTGKYIENHGVVHNMFYNTTSTVRLPYHATLGIQRWWDNGS IPIWITAQRQGLKTGSFFYPGGNVTYQGEAVTMSRKEGVLHNYKNETEWRGNVDTVMKWF LEEDVSLVTLYFGEPDSTGHKYGPESQERKDMVKQVDRTVGYLRDSIKRHHLSDSLNLII TSDHGMTTVNKKASDLVEFHKFSNFTFQDIQFELLDYGPIGMLIPKEGMLEKVYSVLKDA HPRLHVYKKEDFPKNFHYANNPRITPLLMYSDLGYVIHGRVNVQFNNGEHGFNNQDMDMK TIFRAVGPSFKAGLEVEPFESVHVYELMCQLLGIVPEPNDGNPGILRPMLRSGSASLLSS QHHLVALLCRSQNTAASGFYQAGDSN >ENSMUSP00000145022.1 pep:known chromosome:GRCm38:6:136506167:136566758:1 gene:ENSMUSG00000030213.12 transcript:ENSMUST00000203988.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip description:activating transcription factor 7 interacting protein [Source:MGI Symbol;Acc:MGI:1858965] MDSVESKRRRYMDEEYEAEFQVKITAKGDINQKLQKVIQWLLQEKLCALQCAVFDKTLAE LKTRVEKIECNKR >ENSMUSP00000032335.6 pep:known chromosome:GRCm38:6:136518802:136610862:1 gene:ENSMUSG00000030213.12 transcript:ENSMUST00000032335.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip description:activating transcription factor 7 interacting protein [Source:MGI Symbol;Acc:MGI:1858965] MDSVEEPQKKVFKARKTMRASDRQQLDAVHRVKGELLRADGKLLNGSHENGDLDPTSPLE NTDCIQDREEVNGIDGICFQSEESTTEWKETPCMPNVAVKNKQEDLNSEALSPSITCDLS SRVTTEPGSGSPASDNPGCGTPVSDNPASDNPASDNPASDNPDSGDLAAGELATTVQATG DSACEEPPSSDPSSSDPTSSEPSSSEPTCSEPISGDPVSEEAASHDLVSGDSTCSEPVSG EPVSHEAASSEPATSEPASDEPVARVVAACELAPGESALDDCAPSGDSQSDEPPSSEDSL PRSVCSGLASGELTPGELSVEPATDTVKPSSSAVCEAGPDPDKTEPSSNNSDDCPGKSED DEHLDQIQSKDSCDEGNKVNSNVVEKEEPLETHSAIICSDLPPENTTKIAEDPIAEPALE EEAISSSMEVDQSEKDEHKSPAEPVAAVSEDPAEEDKEDTVVDNTDSMETDEIIPILEKL APTEDELSCFSKASLLPVETSQDLEDKMEGSFGSPSKQESSENLPKEAFLVLSDEEDLSC GKDESEAVAQSKMSTPEGEKSEKDGKAEEEERVPAEEQPPVRNEFSRRKRSKSEDMDSVE SKRRRYMDEEYEAEFQVKITAKGDINQKLQKVIQWLLQEKLCALQCAVFDKTLAELKTRV EKIECNKRHKAVLTELQAKIARLTKRFGAAKDDLKKRQESPPNPPISPGKPANDTNSNNN MTYRNAGTVRQLLESKRNVSEGPPPSFQTPVNTVSSASHATSTAVVSSQPKLQTSATSGS LPAAPLLPAPSTATVVATTQVPSGTPQPTISLQPLPVILHVPVAVTSQPQLLQSHPGTLV TNQPSGNVEFISVQSQPTVSGLTKNPVSLPPLPNPTKPNIPSVPSPSSIQRNSSTTAAPL GTTLAVQAVPTAHSIVQATRTSLPTVGPSGLYSSSSSRGPIQMKIPISTFSPPSSAEQNS SATPRIVTENQTNKTVDSSINKKAADSTSQSGKASSSDSSGVIDLTMDDEESGTTQDPKK ISPPSSSTVSTSQPMSRPLQPILPAPPLQPSGVPTSGPSQATIHVLPTAPTTVNVTHRPV TQVTTRLPVPRAPANHQVVYTTLPAPTTQAPLRGTVMQAPAVRQVNPQNSVTVRVPQTTT YVVNNGLTLGSAGPQLTVHHRPPQVHNEPPRPLHPAPLPEAPQPQRLPPEAASTSLPQKP HLKLARVQSQNGIVLSWSVLEVDRSCATVDSYHLYAYHEEPSATVPSQWKKIGEVKALPL PMACTLTQFVSGSKYYFAVRAKDIYGRFGPFCDPQSTDVISSSQNS >ENSMUSP00000140458.1 pep:known chromosome:GRCm38:6:136518806:136563769:1 gene:ENSMUSG00000030213.12 transcript:ENSMUST00000185724.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip description:activating transcription factor 7 interacting protein [Source:MGI Symbol;Acc:MGI:1858965] MDSVEEPQKKVFKARKTMRASDRQQLDAVHRVKGELLRADGKLLNGSHENGDLDPTSPLE NTDCIQDREEVNGIDGICFQSEESTTEWKETPCMPNVAVKNKQEDLNSEALSPSITCDLS SRVTTEPGSGSPASDNPGCGTPVSDNPASDNPASDNPASDNPDSGDLAAGELATTVQATG DSACEEPPSSDPSSSDPTSSEPSSSEPTCSEPISGDPVSEEAASHDLVSGDSTCSEPVSG EPVSHEAASSEPATSEPASDEPVARVVAACELAPGESALDDCAPSGDSQSDEPPSSEDSL PRSVCSGLASGELTPGELSVEPATDTVKPSSSAVCEAGPDPDKTEPSSNNSDDCPGKSED DEHLDQIQSKDSCDEGNKVNSNVVEKEEPLETHSAIICSDLPPENTTKIAEDPIAEPALE EEAISSSMEVDQSEKDEHKSPAEPVAAVSEDPAEEDKEDTVVDNTDSMETDEIIPILEKL APTEDELSCFSKASLLPVETSQDLEDKMEGSFGSPSKQESSENLPKEAFLVLSDEEDLSC GKDESEAVAQSKMSTPEGE >ENSMUSP00000140121.1 pep:known chromosome:GRCm38:6:136518841:136582464:1 gene:ENSMUSG00000030213.12 transcript:ENSMUST00000186577.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip description:activating transcription factor 7 interacting protein [Source:MGI Symbol;Acc:MGI:1858965] MDSVESKRRRYMDEEYEAEFQVKITAKGDINQKLQKVIQWLLQEKLCALQCAVFDKTLAE LKTRVEKIECNKRHKAVLTELQAKIARLTKRFGAAKDDLKKRQESPPNPPISPGKPANDT NSNNNMTYRNAGTVRQLLESKRNVSEGPPPSFQTPVNT >ENSMUSP00000140502.2 pep:known chromosome:GRCm38:6:136532927:136587668:1 gene:ENSMUSG00000030213.12 transcript:ENSMUST00000187429.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip description:activating transcription factor 7 interacting protein [Source:MGI Symbol;Acc:MGI:1858965] MHQDQRFRMDSVEEPQKKVFKARKTMRASDRQQLDAVHRVKGELLRADGKLLNGSHENGD LDPTSPLENTDCIQDREEVNGIDGICFQSEESTTEWKETPCMPNVAVKNKQEDLNSEALS PSITCDLSSRVTTEPGSGSPASDNPGCGTPVSDNPASDNPASDNPASDNPDSGDLAAGEL ATTVQATGDSACEEPPSSDPSSSDPTSSEPSSSEPTCSEPISGDPVSEEAASHDLVSGDS TCSEPVSGEPVSHEAASSEPATSEPASDEPVARVVAACELAPGESALDDCAPSGDSQSDE PPSSEDSLPRSVCSGLASGELTPGELSVEPATDTVKPSSSAVCEAGPDPDKTEPSSNNSD DCPGKSEDDEHLDQIQSKDSCDEGNKVNSNVVEKEEPLETHSAIICSDLPPENTTKIAED PIAEPALEEEAISSSMEVDQSEKDEHKSPAEPVAAVSEDPAEEDKEDTVVDNTDSMETDE IIPILEKLAPTEDELSCFSKASLLPVETSQDLEDKMEGSFGSPSKQESSENLPKEAFLVL SDEEDLSCGKDESEAVAQSKMSTPEGEKSEKDGKAEEEERVPAEEQPPVRNEFSRRKRSK SEDMDSVESKRRRYMDEEYEAEFQVKITAKGDINQKLQKVIQWLLQEKLCALQCAVFDKT LAELKTRVEKIECNKRHKAVLTELQAKIARLTKRFGAAKDDLKKRQESPPNPPISPGKPA NDTNSNNNMTYRNAGTVRQLLESKRNVSEGPPPSFQTPVNTVSSASHATSTAVVSSQPKL QTSATSGSLPAAPLLPAPSTATVVATTQVPSGTPQPTISLQPLPVILHVPVAVTSQPQLL QSHPGTLVTNQPSGNVEFISVQSQPTVSGLTKNPVSLPPLPNPTKPNIPSVPSPSSIQRN SSTTAAPLGTTLAVQAVPTAHSIVQATRTSLPTVGPSGLYSSSSSRGPIQMKIPISTFSP PSSAEQNSS >ENSMUSP00000139908.1 pep:known chromosome:GRCm38:6:136551187:136559910:1 gene:ENSMUSG00000030213.12 transcript:ENSMUST00000186742.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip description:activating transcription factor 7 interacting protein [Source:MGI Symbol;Acc:MGI:1858965] MDSVEEPQKKVFKARKTMRASDRQQLDAVHRVKGELLRADGKLLNG >ENSMUSP00000139446.1 pep:known chromosome:GRCm38:6:136554004:136560093:1 gene:ENSMUSG00000030213.12 transcript:ENSMUST00000189535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip description:activating transcription factor 7 interacting protein [Source:MGI Symbol;Acc:MGI:1858965] MDSVEEPQKKVFKARKTMRASDRQQLDAVHRVKGELLRADGKLLNGSHENGDLDPTSPLE NTDCIQDREEVNGIDGICFQSEESTTEWKETPCMPNVAVKNKQEDLN >ENSMUSP00000145190.1 pep:known chromosome:GRCm38:6:136560843:136571538:1 gene:ENSMUSG00000030213.12 transcript:ENSMUST00000203178.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip description:activating transcription factor 7 interacting protein [Source:MGI Symbol;Acc:MGI:1858965] XEDDEHLDQIQSKDSCDEGNKVNSNVVEKEEPLETHSAIICSDLPPENTTKIAEDPIAEP ALEEEAISSSMEVDQSEKDEHKSPAEPVAAVSEDPAEEDKEDTVVDNTDSMETDEIIPIL EKLAPTEDELSCFSKASLLPVETSQDLEDKMEGSFGSPSKQESSENLPKEAFLVLSDEED LSCGKDESEAVAQSKMSTPEGEKSEKDGKAEEEERVPAEEQPPVRSTYDEFSRRKRSKSE DMDSVESKRRRYMDEEYEAEFQVKITAKGDINQKLQKVIQWLLQEKLCALQCAVFDKTLA ELKTRVEKIECNKRHKAVLTELQAKIARLTKRFGAAKDDLKKRQE >ENSMUSP00000124837.1 pep:known chromosome:GRCm38:14:51411074:51419527:1 gene:ENSMUSG00000000606.15 transcript:ENSMUST00000163019.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r88 description:vomeronasal 2, receptor 88 [Source:MGI Symbol;Acc:MGI:1316662] XASCFWRIKNSEDNDGDLQRECHFYLGAVDKPIEDNFYNSLLKFRIAASEYEFLLVMFFA TDEINKNPYLLPNITLMFSIIGGNCHDLLRGLDQAYTQINGHMNFVNYFCYLDDSCAIGL TGPSWKTSLKLAMHSSMPLVFFGSFNPNLHDHDRLHHVHQVATKDTHLSHGIVSLMFHFR WTWIGLVISDDDKGIQFLSDLREESQRHGICLAFVNMIPENMQIYMTRATIYDKQIMTSL AKVVIIYGEMNSTLEVSFRRWENLGARRIWITTSQWDVITNKKEFTLNLFHGTITFAHRR FEIPKFKKFMQTMNTAKYPVDISHTILEWNYFNCSISKNSSKMDHITFNNTLEWTALHNY DMVMSDEGYNLYNAVYAVAHTYHEHIFQQVESQKKAKPKRFFTVCQQQIWNSV >ENSMUSP00000125126.1 pep:known chromosome:GRCm38:14:51411139:51419377:1 gene:ENSMUSG00000000606.15 transcript:ENSMUST00000159674.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r88 description:vomeronasal 2, receptor 88 [Source:MGI Symbol;Acc:MGI:1316662] HFYLGAVDKPIEDNFYNSLLKFRIAASEYEFLLVMFFATDEINKNPYLLPNITLMFSIIG GNCHDLLRGLDQAYTQINGHMNFVNYFCYLDDSCAIGLTGPSWKTSLKLAMHSSMPLVFF GSFNPNLHDHDRLHHVHQVATKDTHLSHGIVSLMFHFRWTWIGLVISDDDKGIQFLSDLR EESQRHGICLAFVNMIPENMQIYMTRATIYDKQIMTSLAKVVIIYGEMNSTLEVSFRRWE NLGARRIWITTSQWDVITNKKEFTLNLFHGTITFAHRRFEIPKFKKFMQTMNTAKYPVDI SHTILEWNYFNCSISKNSSKMDHITFNNTLEWTALHNYDMVMSDEGYNLYNAVYAVAHTY HEHIFQQVESQKKAKPKRFFTVCQQVSSLMKTRVFTNPVGELVNMKHRENQCTEYDIFLI WNFPQGLGLKVKIGSYLPCFPQRQELHISDDLEWAMGGTSVVPSSVCSVACTAGFRKIHQ KETADCCFDCVQCPENEVSNETDMEQCVKCPYDKYANIEKTHCLSRAVSFLAYEDPLGIA LGCIALSFSAITILVLITFLKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIGHPNQV SCVLQQTTFGVFFTVSVSTVLAKTITVVMAFKLTTPGRRMREMLVTGAPKLVIPICTLIQ FVLCGIWLITSPPFIDRDIQSEHGKIVILCNKGSVIAFHVVLGYLGSLALGSFTLAFLAR NLPDTFNEAKFLTFSMLVFCSVWITFLPVYHSTRGKVMVVVEVFSILASSAGLLMCIFVP KCYVILVRPDSNFIRKYKDKFRY >ENSMUSP00000022438.5 pep:known chromosome:GRCm38:14:51411001:51418882:1 gene:ENSMUSG00000000606.15 transcript:ENSMUST00000022438.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r88 description:vomeronasal 2, receptor 88 [Source:MGI Symbol;Acc:MGI:1316662] MKQLCAFTISFLFLKFSLILCCLTEASCFWRIKNSEDNDGDLQRECHFYLGAVDKPIEDN FYNSLLKFRIAASEYEFLLVMFFATDEINKNPYLLPNITLMFSIIGGNCHDLLRGLDQAY TQINGHMNFVNYFCYLDDSCAIGLTGPSWKTSLKLAMHSSMPLVRICDNEWVFFGSFNPN LHDHDRLHHVHQVATKDTHLSHGIVSLMFHFRWTWIGLVISDDDKGIQFLSDLREESQRH GICLAFVNMIPENMQIYMTRATIYDKQIMTSLAKVVIIYGEMNSTLEVSFRRWENLGARR IWITTSQWDVITNKKEFTLNLFHGTITFAHRRFEIPKFKKFMQTMNTAKYPVDISHTILE WNYFNCSISKNSSKMDHITFNNTLEWTALHNYDMVMSDEGYNLYNAVYAVAHTYHEHIFQ QVESQKKAKPKRFFTVCQQVSSLMKTRVFTNPVGELVNMKHRENQCTEYDIFLIWNFPQG LGLKVKIGSYLPCFPQRQELHISDDLEWAMGGTSVPSSVCSVACTAGFRKIHQKETADCC FDCVQCPENEVSNETADMEQCVKCPYDKYANIEKTHCLSRAVSFLAYEDPLGIALGCIAL SFSAITILVLITFLKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIGHPNQVSCVLQQ TTFGVFFTVSVSTVLAKTITVVMAFKLTTPGRRMREMLVTGAPKLVIPICTLIQFVLCGI WLITSPPFIDRDIQSEHGKIVILCNKGSVIAFHVVLGYLGSLALGSFTLAFLARNLPDTF NEAKFLTFSMLVFCSVWITFLPVYHSTRGKVMVVVEVFSILASSAGLLMCIFVPKCYVIL VRPDSNFIRKYKDKFRY >ENSMUSP00000049034.7 pep:known chromosome:GRCm38:4:139352587:139378730:1 gene:ENSMUSG00000078517.11 transcript:ENSMUST00000042096.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc1 description:ER membrane protein complex subunit 1 [Source:MGI Symbol;Acc:MGI:2443696] MAVAVASGFWIWAAVLLVPAAAVYEDQVGKFDWRQQYVGKIKFASLEFSPGSKKLVVATE KNVIAALNSRTGEILWRHVDKGTAEGAVDAMLVHGQDAITVSNGGRLMRSWETNIGGLNW EITLDTGSFQALGLVGLQESVRYIAVLKKTTLTLHHLSSGHLKWVEHLPESDSILYQMVY SYGSGVVWALGIVPFSHVNIVKFNVEDGEIVQQVRVWTPWLQHLTGACGVVDEAVLVCPD PSSHSLHTLALETEWELRQIPLQSPDLEFGSGFQPQVLPTQPSPVAPSRAQFFLQLSPSH YALLHYHHGAVTLLKNFPQATLVSFATTGEKTVAAVMTCRTEVQKPVSAGDGSVASFPET SGAQDSLACFNQTYTINLYLVETGRRLLDTSISFSLEQKGTRPEQLYIQVFLKKDDSVGY RALVQTQDHLQLFLQQLAGKVVLWSREESLAEVVCLEMVDLPLTGAQAELEGEFGKKADG LLGMFLKRLSSQLILLQAWTSHLWKMFYDARKPRSQIKNEINIDTLARDEFNLQKMMVTV TASGKLFGIESSSGTILWKQYLPNVKPDSSFKLMVQRTTAHFPHPPQCTLLVKDKETGMS SLFVFNPIFGKWSQVAPPVLKRPILQSLLLPVMDQDYAKVLLLVDDEYKVTAFPATRNVL RQLHELAPSIFFYLVDAEQGRLSGYQLRKDLTTELSWELTIPPEVQRVVKVKGKRSSEHV HSQGRVMGDRSVLYKSLNPNLLAVVTESTDVHHERTFIGIFLIDGVTGRIIHSSVQKKAR GPVHLVHSENWVVYQYWNSKARRNELTALELYEGTEQYNATAFSSLDRPQLPQVLQQSYI FPSSISAMEATITERGITSRHLLIGLPSGAILSLPKALLDPRRPEIPTEQSREENLIPYS PDVQVHAERFINYNQTVSRMRGIYTAPSGLESTCLVVAYGLDIYQTRVYPSKQFDVLKDD YDYVLISSVLFGLVFATMITKRLAQVKLLNRAWR >ENSMUSP00000137103.1 pep:known chromosome:GRCm38:4:139352593:139378730:1 gene:ENSMUSG00000078517.11 transcript:ENSMUST00000179784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc1 description:ER membrane protein complex subunit 1 [Source:MGI Symbol;Acc:MGI:2443696] MAVAVASGFWIWAAVLLVPAAAVYEDQVGKFDWRQQYVGKIKFASLEFSPGSKKLVVATE KNVIAALNSRTGEILWRHVDKGTAEGAVDAMLVHGQDAITVSNGGRLMRSWETNIGGLNW EITLDTGSFQALGLVGLQESVRYIAVLKKTTLTLHHLSSGHLKWVEHLPESDSILYQMVY SYGSGVVWALGIVPFSHVNIVKFNVEDGEIVQQVRVWTPWLQHLTGACGVVDEAVLVCPD PSSHSLHTLALETEWELRQIPLQSPDLEFGSGFQPQVLPTQPSPVAPSRAQFFLQLSPSH YALLHYHHGAVTLLKNFPQATLVSFATTGEKTVAAVMTCRTEVQKPVSAGDGSVASFPET SGAQDSLACFNQTYTINLYLVETGRRLLDTSISFSLEQKGTRPEQLYIQVFLKKDDSVGY RALVQTQDHLQLFLQQLAGKVVLWSREESLAEVVCLEMVDLPLTGAQAELEGEFGKKAAI QDGLLGMFLKRLSSQLILLQAWTSHLWKMFYDARKPRSQIKNEINIDTLARDEFNLQKMM VTVTASGKLFGIESSSGTILWKQYLPNVKPDSSFKLMVQRTTAHFPHPPQCTLLVKDKET GMSSLFVFNPIFGKWSQVAPPVLKRPILQSLLLPVMDQDYAKVLLLVDDEYKVTAFPATR NVLRQLHELAPSIFFYLVDAEQGRLSGYQLRKDLTTELSWELTIPPEVQRVVKVKGKRSS EHVHSQGRVMGDRSVLYKSLNPNLLAVVTESTDVHHERTFIGIFLIDGVTGRIIHSSVQK KARGPVHLVHSENWVVYQYWNSKARRNELTALELYEGTEQYNATAFSSLDRPQLPQVLQQ SYIFPSSISAMEATITERGITSRHLLIGLPSGAILSLPKALLDPRRPEIPTEQSREENLI PYSPDVQVHAERFINYNQTVSRMRGIYTAPSGLESTCLVVAYGLDIYQTRVYPSKQFDVL KDDYDYVLISSVLFGLVFATMITKRLAQVKLLNRAWR >ENSMUSP00000080888.7 pep:known chromosome:GRCm38:4:139352593:139378730:1 gene:ENSMUSG00000078517.11 transcript:ENSMUST00000082262.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc1 description:ER membrane protein complex subunit 1 [Source:MGI Symbol;Acc:MGI:2443696] MAVAVASGFWIWAAVLLVPAAAVYEDQVGKFDWRQQYVGKIKFASLEFSPGSKKLVVATE KNVIAALNSRTGEILWRHVDKGTAEGAVDAMLVHGQDAITVSNGGRLMRSWETNIGGLNW EITLDTGSFQALGLVGLQESVRYIAVLKKTTLTLHHLSSGHLKWVEHLPESDSILYQMVY SYGSGVVWALGIVPFSHVNIVKFNVEDGEIVQQVRVWTPWLQHLTGACGVVDEAVLVCPD PSSHSLHTLALETEWELRQIPLQSPDLEFGSGFQPQVLPTQPSPVAPSRAQFFLQLSPSH YALLHYHHGAVTLLKNFPQATLVSFATTGEKTVAAVMTCRTEVQKPVSAGDGSVASFPET SGAQDSLACFNQTYTINLYLVETGRRLLDTSISFSLEQKGTRPEQLYIQVFLKKDDSVGY RALVQTQDHLQLFLQQLAGKVVLWSREESLAEVVCLEMVDLPLTGAQAELEGEFGKKAAT PDGLLGMFLKRLSSQLILLQAWTSHLWKMFYDARKPRSQIKNEINIDTLARDEFNLQKMM VTVTASGKLFGIESSSGTILWKQYLPNVKPDSSFKLMVQRTTAHFPHPPQCTLLVKDKET GMSSLFVFNPIFGKWSQVAPPVLKRPILQSLLLPVMDQDYAKVLLLVDDEYKVTAFPATR NVLRQLHELAPSIFFYLVDAEQGRLSGYQLRKDLTTELSWELTIPPEVQRVVKVKGKRSS EHVHSQGRVMGDRSVLYKSLNPNLLAVVTESTDVHHERTFIGIFLIDGVTGRIIHSSVQK KARGPVHLVHSENWVVYQYWNSKARRNELTALELYEGTEQYNATAFSSLDRPQLPQVLQQ SYIFPSSISAMEATITERGITSRHLLIGLPSGAILSLPKALLDPRRPEIPTEQSREENLI PYSPDVQVHAERFINYNQTVSRMRGIYTAPSGLESTCLVVAYGLDIYQTRVYPSKQFDVL KDDYDYVLISSVLFGLVFATMITKRLAQVKLLNRAWR >ENSMUSP00000097153.3 pep:known chromosome:GRCm38:2:118663303:118698020:1 gene:ENSMUSG00000074923.10 transcript:ENSMUST00000099557.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak6 description:p21 protein (Cdc42/Rac)-activated kinase 6 [Source:MGI Symbol;Acc:MGI:2679420] MFRKKKKKRPEISAPQNFQHRVHTSFDPKEGKFVGLPPQWQNILDTLRRPKPVVDPSRIT RVQLQPMKTVVRGSSVPTEGYISGLLNDIQKLSVISSNTLRGRSPTSRRRAQSLGLLGDD QWAADPDMYLQSPQSEHTDPHGLYLSCNGGTPAGHRQVPWPEPQSPQALPNGMAAKAQSL GPAEFQGASQRCLQQLGACLQSSPPGTSPPMATGRRGVKVAKHSSEEARPQSCLVGSAIG RPGGEGSPSPKNQESSLKHRLFRSMFLSTPATGAASSSKPVPLPQNKPNSAFRPPQKDSS SNLVAKAQSLPSEQPMGTFSPLTTSDTSSPQKSLRTAPAAGPLPGRSSPAGSPRTRHAQI STSNLYLPQDPTVAKGALGGEDTGIVTHEQFKAALRMVVDQGDPRLLLDSYVKIGEGSTG IVCLAREKHSGRQVAVKMMDLRKQQRRELLFNEVVIMRDYQHLNVVEMYKSYLVGEELWV LMEFLQGGALTDIISQVRLNEEQIATVCEAVLQALAYLHAQGVIHRDIKSDSILLTLDGR VKLSDFGFCAQISKDVPKRKSLVGTPYWMAPEVISRSLYATEVDIWSLGIMVIEMVDGEP PYFSDSPVQAMKRLRDSAPPKLKNSYKVSPVLRDFLDRMLVREPQERATAQELLDHPFLL QTGLPECLVPLIQLYRKQTSTC >ENSMUSP00000106477.1 pep:known chromosome:GRCm38:2:118677229:118698018:1 gene:ENSMUSG00000074923.10 transcript:ENSMUST00000110853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak6 description:p21 protein (Cdc42/Rac)-activated kinase 6 [Source:MGI Symbol;Acc:MGI:2679420] MFRKKKKKRPEISAPQNFQHRVHTSFDPKEGKFVGLPPQWQNILDTLRRPKPVVDPSRIT RVQLQPMKTVVRGSSVPTEGYISGLLNDIQKLSVISSNTLRGRSPTSRRRAQSLGLLGDD QWAADPDMYLQSPQSEHTDPHGLYLSCNGGTPAGHRQVPWPEPQSPQALPNGMAAKAQSL GPAEFQGASQRCLQQLGACLQSSPPGTSPPMATGRRGVKVAKHSSEEARPQSCLVGSAIG RPGGEGSPSPKNQESSLKHRLFRSMFLSTPATGAASSSKPVPLPQNKPNSAFRPPQKDSS SNLVAKAQSLPSEQPMGTFSPLTTSDTSSPQKSLRTAPAAGPLPGRSSPAGSPRTRHAQI STSNLYLPQDPTVAKGALGGEDTGIVTHEQFKAALRMVVDQGDPRLLLDSYVKIGEGSTG IVCLAREKHSGRQVAVKMMDLRKQQRRELLFNEVVIMRDYQHLNVVEMYKSYLVGEELWV LMEFLQGGALTDIISQVRLNEEQIATVCEAVLQALAYLHAQGVIHRDIKSDSILLTLDGR VKLSDFGFCAQISKDVPKRKSLVGTPYWMAPEVISRSLYATEVDIWSLGIMVIEMVDGEP PYFSDSPVQAMKRLRDSAPPKLKNSYKVSPVLRDFLDRMLVREPQERATAQELLDHPFLL QTGLPECLVPLIQLYRKQTSTC >ENSMUSP00000145026.1 pep:known chromosome:GRCm38:6:103510586:103750211:1 gene:ENSMUSG00000030077.11 transcript:ENSMUST00000203912.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chl1 description:cell adhesion molecule with homology to L1CAM [Source:MGI Symbol;Acc:MGI:1098266] MMELPLCGRGLILSLIFLLLKLSAAEIPLSVQQVPTIVKQSYVQVAFPFDEYFQIECEAK GNPEPIFSWTKDDKPFDLSDPRIIAANNSGTFKIPNEGHISHFQGKYRCFASNRLGTAVS EEIEFIVPGVPKFPKEKIEPIDVEEGDSIVLPCNPPKGLPPLHIYWMNIELEHIEQDERV YMSQRGDLYFANVEENDSRNDYCCFAAFPKLRTIVQKMPMKLTVNSLKHASDSSSSTEIC SQANSIKQRKPKLLLPPAQMGSLSAKTVLKGDTLLLECFAEGLPTPHIQWSKPGSELPEG RATIEVHEKTLKIENISYQDRGNYRCTANNLLGKASHDFHVTVEEPPRWKKKPQSAVYST GSSGILLCEAEGEPQPTIKWRLNGLPIEKHPFPGDFMFPREISFTNLLPNHTGVYQCEAS NIHGTILANANIDVIDVIPLIKTKNEENYATVVGYSAFLHCEYFASPKATVVWEVADETH PLEGDRYHTHENGTLEIYRTTEEDAGSYSCWVDNAMGKAVITANLDIRNATKLRVSPKNP RIPKSHVLELYCESQCDSHLKHSLKLSWSKDGEAFEMNGTEDGRIVIDGAYLTISNITAE DQGVYSCSAQTSLDSTSEKTQVTVLGVPDPPGNLHLSERQNRSVRLSWEAGDDHNSKISE YIVEFEGNREEPGKWEELTRVQGEETDVVLSLAPYVRYQFRVTAVNEVGRSHASLPSDHH ETPPAAPDKNPQNIRVQASQPKEMIIKWEPLKSMEQNGPGLEYKVSWKPQGAPEEWEEEI VTNHTLRVMTPTVYAPYDVKVQAINQLGSSPDPQPVTLYSGEDYPSTAPVIQRVDVMNST LVKVTWSSIPKETVHGLLRGYQINWWKTKSLLDGRTHPKEVNILRFSGQRNSGMVPSLDP FSEFHLTVLAYNSKGAGPESEPYIFQTPEGVPEQPSFLKVIKVDKDTATLSWGLPKKLNG NLTGYLLQYQIINDTYELGELNEINVTTPSKSSWHLSNLNSTTKYKFYLRACTSRGCGKP ISEEGATLGEGKYAGLYDDISTQGWFIGLMCAIALLTLILLTICFVKRNRGGKYSVKEKE DLHPDPEVQSAKDETFGEYRKMVLKQKLLSWSSSRGRTFYSCTKNTLFDGSSVDMKTLQP LRYFSSNKHT >ENSMUSP00000063933.6 pep:known chromosome:GRCm38:6:103511068:103733038:1 gene:ENSMUSG00000030077.11 transcript:ENSMUST00000066905.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chl1 description:cell adhesion molecule with homology to L1CAM [Source:MGI Symbol;Acc:MGI:1098266] MMELPLCGRGLILSLIFLLLKLSAAEIPLSVQQVPTIVKQSYVQVAFPFDEYFQIECEAK GNPEPIFSWTKDDKPFDLSDPRIIAANNSGTFKIPNEGHISHFQGKYRCFASNRLGTAVS EEIEFIVPGVPKFPKEKIEPIDVEEGDSIVLPCNPPKGLPPLHIYWMNIELEHIEQDERV YMSQRGDLYFANVEENDSRNDYCCFAAFPKLRTIVQKMPMKLTVNSSNSIKQRKPKLLLP PAQMGSLSAKTVLKGDTLLLECFAEGLPTPHIQWSKPGSELPEGRATIEVHEKTLKIENI SYQDRGNYRCTANNLLGKASHDFHVTVEEPPRWKKKPQSAVYSTGSSGILLCEAEGEPQP TIKWRLNGLPIEKHPFPGDFMFPREISFTNLLPNHTGVYQCEASNIHGTILANANIDVID VIPLIKTKNEENYATVVGYSAFLHCEYFASPKATVVWEVADETHPLEGDRYHTHENGTLE IYRTTEEDAGSYSCWVDNAMGKAVITANLDIRNATKLRVSPKNPRIPKSHVLELYCESQC DSHLKHSLKLSWSKDGEAFEMNGTEDGRIVIDGAYLTISNITAEDQGVYSCSAQTSLDST SEKTQVTVLGVPDPPGNLHLSERQNRSVRLSWEAGDDHNSKISEYIVEFEGNREEPGKWE ELTRVQGEETDVVLSLAPYVRYQFRVTAVNEVGRSHASLPSDHHETPPAAPDKNPQNIRV QASQPKEMIIKWEPLKSMEQNGPGLEYKVSWKPQGAPEEWEEEIVTNHTLRVMTPTVYAP YDVKVQAINQLGSSPDPQPVTLYSGEDYPSTAPVIQRVDVMNSTLVKVTWSSIPKETVHG LLRGYQINWWKTKSLLDGRTHPKEVNILRFSGQRNSGMVPSLDPFSEFHLTVLAYNSKGA GPESEPYIFQTPEGVPEQPSFLKVIKVDKDTATLSWGLPKKLNGNLTGYLLQYQIINDTY ELGELNEINVTTPSKSSWHLSNLNSTTKYKFYLRACTSRGCGKPISEEGATLGEGSKGIR KITEGVNVTQKIHPVEVLVPGAEHIVHLMTKNWGDNDSIFQDVIETRGREYAGLYDDIST QGWFIGLMCAIALLTLILLTICFVKRNRGGKYSVKEKEDLHPDPEVQSAKDETFGEYSDS DEKPLKGSLRSLNRNMQPTESADSLVEYGEGDQSIFNEDGSFIGAYTGAKEKGSVESNGS STATFPLRA >ENSMUSP00000144758.1 pep:known chromosome:GRCm38:6:103511174:103729469:1 gene:ENSMUSG00000030077.11 transcript:ENSMUST00000203830.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chl1 description:cell adhesion molecule with homology to L1CAM [Source:MGI Symbol;Acc:MGI:1098266] MMELPLCGRGLILSLIFLLLKLSAAEIPLSVQQVPTIVKQSYVQVAFPFDEYFQIECEAK GNPEPIFSWTKDDKPFDLSDPRIIAANNSGTFKIPNEGHISHFQGKYRCFASNRLGTAVS EEIEFIVPGVPKFPKEKIEPIDVEEGDSIVLPCNPPKGLPPLHIYWMNIELEHIEQDERV YMSQRGDLYFANVEENDSRNDYCCFAAFPKLRTIVQKMPMKLTVNSSNSIKQRKPKLLLP PAQMGSLSAKTVLKGDTLLLECFAEGLPTPHIQWSKPGSELPEGRATIEVHEKTLKIENI SYQDRGNYRCTANNLLGKASHDFHVTVEEPPRWKKKPQSAVYSTGSSGILLCEAEGEPQP TIKWRLNGLPIEKHPFPGDFMFPREISFTNLLPNHTGVYQCEASNIHGTILANANIDVID VIPLIKTKNEENYATVVGYSAFLHCEYFASPKATVVWEVADETHPLEGDRYHTHENGTLE IYRTTEEDAGSYSCWVDNAMGKAVITANLDIRNATKLRVSPKNPRIPKSHVLELYCESQC DSHLKHSLKLSWSKDGEAFEMNGTEDGRIVIDGAYLTISNITAEDQGVYSCSAQTSLDST SEKTQVTVLGVPDPPGNLHLSERQNRSVRLSWEAGDDHNSKISEYIVEFEGNREEPGKWE ELTRVQGEETDVVLSLAPYVRYQFRVTAVNEVGRSHASLPSDHHETPPAAPDKNPQNIRV QASQPKEMIIKWEPLKSMEQNGPGLEYKVSWKPQGAPEEWEEEIVTNHTLRVMTPTVYAP YDVKVQAINQLGSSPDPQPVTLYSGEDYPSTAPVIQRVDVMNSTLVKVTWSSIPKETVHG LLRGYQINWWKTKSLLDGRTHPKEVNILRFSGQRNSGMVPSLDPFSEFHLTVLAYNSKGA GPESEPYIFQTPEGVPEQPSFLKVIKVDKDTATLSWGLPKKLNGNLTGYLLQYQIINDTY ELGELNEINVTTPSKSSWHLSNLNSTTKYKFYLRACTSRGCGKPISEEGATLGEGSKGIR KITEGVNVTQKIHPVEVLVPGAEHIVHLMTKNWGDNDSIFQDVIETRGREYAGLYDDIST QGWFIGLMCAIALLTLILLTICFVKRNRGGKYSVKEKEDLHPDPEVQSAKDETFGEYSDS DEKPLKGSLRSLNRNMQPTESADSLVEYGEGDQSIFNEDGSFIGAYTGAKEKGSVESNGS STATFPLRA >ENSMUSP00000144725.1 pep:known chromosome:GRCm38:6:103511330:103664748:1 gene:ENSMUSG00000030077.11 transcript:ENSMUST00000204321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chl1 description:cell adhesion molecule with homology to L1CAM [Source:MGI Symbol;Acc:MGI:1098266] MMELPLCGRGLILSLIFLLLKLSAAEIPLSVQQVPTIVKQSYVQVAFPFDEYFQIECEAK GNPEPIFSWTKDDKPFDLSDPRIIAANNSGTFKIPNEGHISHFQGKYRCFASNRLGTAVS EEIEFIVPGVPKFPKEKIEPIDVEEGDSIVLP >ENSMUSP00000144739.1 pep:known chromosome:GRCm38:6:103697750:103729826:1 gene:ENSMUSG00000030077.11 transcript:ENSMUST00000205098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chl1 description:cell adhesion molecule with homology to L1CAM [Source:MGI Symbol;Acc:MGI:1098266] MIIKWEPLKSMEQNGPGLEYKVSWKPQGAPEEWEEEIVTNHTLRVMTPTVYAPYDVKVQA INQLGSSPDPQPVTLYSGEDYPSTAPVIQRVDVMNSTLVKVTWSSIPKETVHGLLRGYQI NWWKTKSLLDGRTHPKEVNILRFSGQRNSGMVPSLDPFSEFHLTVLAYNSKGAGPESEPY IFQTPEGVPEQPSFLKVIKVDKDTATLSWGLPKKLNGNLTGYLLQYQIINDTYELGELNE INVTTPSKSSWHLSNLNSTTKYKFYLRACTSRGCGKPISEEGATLGEGKYAGLYDDISTQ GWFIGLMCAIALLTLILLTICFVKRNRGGKYSVKEKEDLHPDPEVQSAKDETFGEYSDSD EKPLKGSLRSLNRNMQPTESADSLVEYGEGDQSIFNEDGSFIGAYTGAKEKGSVESNGSS TATFPLRA >ENSMUSP00000030950.1 pep:known chromosome:GRCm38:4:155864723:155869440:-1 gene:ENSMUSG00000029073.9 transcript:ENSMUST00000030950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cptp description:ceramide-1-phosphate transfer protein [Source:MGI Symbol;Acc:MGI:1933107] MDDSEKDFNLKVVLVSFKQCLTDKGEVLLDHYIAGWKGLVRFLNSLGAVFSFISKDVVAK LQIMERLRSSPQSEHYASLQSMVAYEVSNKLVDMDHRSHPRHPHSGCRTVLRLHRALHWL QLFLDGLRTSSEDARTSTLCSEAYNATLANYHSWIVRQAVTVAFCALPSRKVFLEAMNME STEQAVEMLGEALPFIEHVYDISQKLYAEHSLLDLP >ENSMUSP00000115935.1 pep:known chromosome:GRCm38:4:155866366:155868818:-1 gene:ENSMUSG00000029073.9 transcript:ENSMUST00000151961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cptp description:ceramide-1-phosphate transfer protein [Source:MGI Symbol;Acc:MGI:1933107] MDDSEKDFNLKVVLVSFKQCLTDKGEVLLDHYIAGWKGLVRFLNSLGAVFSFISKDVVAK LQIMERLRSSPQSEHYASLQSMVAYEVSNKLVDMDHRSHPRHPHSGCRTVLRLHRALHWL QLFLDGLRTSSEDARTSTLCSEAYNATLANYHSWIVRQAVTVAFCALPSRKVFLEAMNME STEQAVEMLGEALPFIEHVYDISQKLYAEHSLLD >ENSMUSP00000112081.2 pep:known chromosome:GRCm38:2:157279059:157326319:1 gene:ENSMUSG00000027642.15 transcript:ENSMUST00000116380.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpn2 description:ribophorin II [Source:MGI Symbol;Acc:MGI:98085] MAPPGSSAVFLLALTITASVQALTPTHYLTKQDVERLKASLDRPFTDLESAFYSIVGLSS LGVQVPDVKKACTFIKSNLDPSNVDSLFYAAQSSQVLSGCEISVSNETKELLLAAVSEDS PIAQIYHAVAALSGFGLPLASNEALGALTARLGKEETVLATVQALQTASHLSQQADLRNI VEEIEDLVARLDELGGVYLQFEEGLELTALFVAATYKLMDHVGTEPSMKEDQVIQLMNTI FSKKNFESLSEAFSVASAAAALSQNRYHVPVVVVPEGSTSDTQEQAILRLQVSNVLSQPL AQAAVKLEHAKSAATRATVLQKTPFSLVGNVFELNFKNVKLSSGYYDFSVRVEGDSRYIA NTVELRVKISTEVGITNVDLSTVDKDQSIAPKTTRVTYPAKAKGTFIADSHQNFALFFQL VDVNTGAELTPHQTFVRLHNQKTGQEVVFVAEPDNKNVYKFELDTSERKIEFDSASGTYT LYLIIGDATLKNPILWNVADVVIKFPEEEAPSTVLSQSLFTPKQEIQHLFREPEKRPPTV VSNTFTALILSPLLLLFALWIRIGANVSNFTFAPSTVIFHLGHAAMLGLMYIYWTQLNMF QTLKYLAVLGTVTFLAGNRMLAQHAVKRTAH >ENSMUSP00000029171.5 pep:known chromosome:GRCm38:2:157279146:157326319:1 gene:ENSMUSG00000027642.15 transcript:ENSMUST00000029171.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpn2 description:ribophorin II [Source:MGI Symbol;Acc:MGI:98085] MAPPGSSAVFLLALTITASVQALTPTHYLTKQDVERLKASLDRPFTDLESAFYSIVGLSS LGVQVPDVKISVSNETKELLLAAVSEDSPIAQIYHAVAALSGFGLPLASNEALGALTARL GKEETVLATVQALQTASHLSQQADLRNIVEEIEDLVARLDELGGVYLQFEEGLELTALFV AATYKLMDHVGTEPSMKEDQVIQLMNTIFSKKNFESLSEAFSVASAAAALSQNRYHVPVV VVPEGSTSDTQEQAILRLQVSNVLSQPLAQAAVKLEHAKSAATRATVLQKTPFSLVGNVF ELNFKNVKLSSGYYDFSVRVEGDSRYIANTVELRVKISTEVGITNVDLSTVDKDQSIAPK TTRVTYPAKAKGTFIADSHQNFALFFQLVDVNTGAELTPHQTFVRLHNQKTGQEVVFVAE PDNKNVYKFELDTSERKIEFDSASGTYTLYLIIGDATLKNPILWNVADVVIKFPEEEAPS TVLSQSLFTPKQEIQHLFREPEKRPPTVVSNTFTALILSPLLLLFALWIRIGANVSNFTF APSTVIFHLGHAAMLGLMYIYWTQLNMFQTLKYLAVLGTVTFLAGNRMLAQHAVKRIAAE QSSRLAKYRTLRTAH >ENSMUSP00000127078.1 pep:known chromosome:GRCm38:14:51568892:51574063:-1 gene:ENSMUSG00000091142.1 transcript:ENSMUST00000172117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17175 description:predicted gene 17175 [Source:MGI Symbol;Acc:MGI:4938002] MPAAHHGGKGQETTGHGEGSAGEVSPQAPTINEQEKRQERLEKLKRELQNIKNARDKLQG ILAYYTRKDLNDRINFETFMLEMQHDQVMTDLKRMPQDISEALSKCKQLTKENQFYCFRN CHLLIESNLIQHKVRMLWKENRQLLREPIALEECNIETKILCKEGSQRIKDHYTKQQQV >ENSMUSP00000097647.2 pep:known chromosome:GRCm38:2:65458263:65567627:-1 gene:ENSMUSG00000057182.14 transcript:ENSMUST00000100069.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn3a description:sodium channel, voltage-gated, type III, alpha [Source:MGI Symbol;Acc:MGI:98249] MAQALLVPPGPESFRLFTRESLAAIEKRAAEEKAKKPKKEQDIDDENKPKPNSDLEAGKN LPFIYGDIPPEMVSEPLEDLDPYYVSKKTFVVLNKGKAIFRFSATSALYILTPLNPVRKI AIKILVHSLFSMLIMCTILTNCVFMTLSNPPDWTKNVEYTFTGIYTFESLIKILARGFCL EDFTFLRDPWNWLDFSVIVMAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGAL IQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPSDSAFEINTTSYFNGTMD SNGTFVNVTMSTFNWKDYIADDSHFYVLDGQKDPLLCGNGSDAGQCPEGYICVKAGRNPN YGYTSFDTFSWAFLSLFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLVNLIL AVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAVAAASAASRDFSGIGGLGE LLESSSEASKLSSKSAKEWRNRRKKRRQREHLEGNHRPEGDRFPKSESEDSVKRRSFLFS LDGNPLSGDKKLCSPHQSLLSIRGSLFSPRRNSKTSIFSFRGRAKDVGSENDFADDEHST FEDSESRRDSLFVPHRPGERRNSNGTTTETEVRKRRLSSYQISMEMLEDSSGRQRAMSIA SILTNTMEELEESRQKCPPCWYRFANVFLIWDCCDSWLKVKHLVNLIVMDPFVDLAITIC IVLNTLFMAMEHYPMTEQFSSVLTVGNLVFTGIFTAEMVLKIIAMDPYYYFQEGWNIFDG IIVSLSLMELGLANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVL AIIVFIFAVVGMQLFGKSYKECVCKINEDCKLPRWHMNDFFHSFLIVFRVLCGEWIETMW DCMEVAGQTMCLIVFMLVMVIGNLVVLNLFLALLLSSFSSDNLAATDDDNEMNNLQIAVG RMQKGIDYVKNKIRECFRKAFFRKPKVIEIHEGNKIDSCMSNNTGVVEISKELNYLKDGN GTTSGVGTGSSVEKYVIDENDYMSFINNPSLTVTVPIAVGESDFENLNTEEFSSESELEE SKEKLNATSSSEGSTVDVAPPREGEQAEIEPEEDLKPEACFTEGCIKKFPFCQVSTEEGK GKIWWNLRKTCYSIVEHNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFT YIFILEMLLKWVAYGFQTYFTNAWCWLDFLIVDVSLVSLVANALGYSELGAIKSLRTLRA LRPLRALSRFEGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCVNMT TGSMFDMSEVNNFSDCQALGKQARWKNVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVD SRDVKLQPVYEENLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEE QKKYYNAMKKLGSKKPQKPIPRPANKFQGMVFDFVTRQVFDISIMILICLNMVTMMVETD DQSKYMTLVLSRINLVFIVLFTGEFLLKLISLRYYYFTIGWNIFDFVVVILSIVGMFLAE LIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFI YAIFGMSNFAYVKKEAGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSAPPDCDP DAIHPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSED DFEMFYEVWEKFDPDATQFIEFCKLSDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIH CLDILFAFTKRVLGESGEMDALRIQMEDRFMASNPSKVSYEPITTTLKRKQEEVSAAIIQ RNYRCYLLKQRLKNISNTYDKETIKGRIVLPIKGDMVIDKLNGNSTPEKTDGSSSTTSPP SYDSVTKPDKEKFEKDKPEKESKGKEV >ENSMUSP00000115321.1 pep:known chromosome:GRCm38:2:65524748:65529404:-1 gene:ENSMUSG00000057182.14 transcript:ENSMUST00000126837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn3a description:sodium channel, voltage-gated, type III, alpha [Source:MGI Symbol;Acc:MGI:98249] XTKNVEYTFTGIYTFESLIKILARGFCLEDFTFLRDPWNWLDFSVIVMAYVTEFVSLGNV SALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGN LR >ENSMUSP00000065023.5 pep:known chromosome:GRCm38:2:65457118:65567492:-1 gene:ENSMUSG00000057182.14 transcript:ENSMUST00000066432.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn3a description:sodium channel, voltage-gated, type III, alpha [Source:MGI Symbol;Acc:MGI:98249] MAQALLVPPGPESFRLFTRESLAAIEKRAAEEKAKKPKKEQDIDDENKPKPNSDLEAGKN LPFIYGDIPPEMVSEPLEDLDPYYVSKKTFVVLNKGKAIFRFSATSALYILTPLNPVRKI AIKILVHSLFSMLIMCTILTNCVFMTLSNPPDWTKNVEYTFTGIYTFESLIKILARGFCL EDFTFLRDPWNWLDFSVIVMAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGAL IQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPSDSAFEINTTSYFNGTMD SNGTFVNVTMSTFNWKDYIADDSHFYVLDGQKDPLLCGNGSDAGQCPEGYICVKAGRNPN YGYTSFDTFSWAFLSLFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLVNLIL AVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAVAAASAASRDFSGIGGLGE LLESSSEASKLSSKSAKEWRNRRKKRRQREHLEGNHRPEGDRFPKSESEDSVKRRSFLFS LDGNPLSGDKKLCSPHQSLLSIRGSLFSPRRNSKTSIFSFRGRAKDVGSENDFADDEHST FEDSESRRDSLFVPHRPGERRNSNGTTTETEVRKRRLSSYQISMEMLEDSSGRQRAMSIA SILTNTMEELEESRQKCPPCWYRFANVFLIWDCCDSWLKVKHLVNLIVMDPFVDLAITIC IVLNTLFMAMEHYPMTEQFSSVLTVGNLVFTGIFTAEMVLKIIAMDPYYYFQEGWNIFDG IIVSLSLMELGLANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVL AIIVFIFAVVGMQLFGKSYKECVCKINEDCKLPRWHMNDFFHSFLIVFRVLCGEWIETMW DCMEVAGQTMCLIVFMLVMVIGNLVVLNLFLALLLSSFSSDNLAATDDDNEMNNLQIAVG RMQKGIDYVKNKIRECFRKAFFRKPKVIEIHEGNKIDSCMSNNTGVVEISKELNYLKDGN GTTSGVGTGSSVEKYVIDENDYMSFINNPSLTVTVPIAVGESDFENLNTEEFSSESELEE SKEKLNATSSSEGSTVDVAPPREGEQAEIEPEEDLKPEACFTEGCIKKFPFCQVSTEEGK GKIWWNLRKTCYSIVEHNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFT YIFILEMLLKWVAYGFQTYFTNAWCWLDFLIVDVSLVSLVANALGYSELGAIKSLRTLRA LRPLRALSRFEGMRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCVNMT TGSMFDMSEVNNFSDCQALGKQARWKNVKVNFDNVGAGYLALLQVATFKGWMDIMYAAVD SRDVKLQPVYEENLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEE QKKYYNAMKKLGSKKPQKPIPRPANKFQGMVFDFVTRQVFDISIMILICLNMVTMMVETD DQSKYMTLVLSRINLVFIVLFTGEFLLKLISLRYYYFTIGWNIFDFVVVILSIVGMFLAE LIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFI YAIFGMSNFAYVKKEAGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSAPPDCDP DAIHPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSED DFEMFYEVWEKFDPDATQFIEFCKLSDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIH CLDILFAFTKRVLGESGEMDALRIQMEDRFMASNPSKVSYEPITTTLKRKQEEVSAAIIQ RNYRCYLLKQRLKNISNTYDKETIKGRIVLPIKGDMVIDKLNGNSTPEKTDGSSSTTSPP SYDSVTKPDKEKFEKDKPEKESKGKEV >ENSMUSP00000026662.7 pep:known chromosome:GRCm38:11:119022962:119031270:1 gene:ENSMUSG00000025577.7 transcript:ENSMUST00000026662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx2 description:chromobox 2 [Source:MGI Symbol;Acc:MGI:88289] MEELSSVGEQVFAAECILSKRLRKGKLEYLVKWRGWSSKHNSWEPEENILDPRLLLAFQK KEHEKEVQNRKRGKRPRGRPRKHTVTSSCSRRSKLKEPDAPSKSKSSSSSSSSTSSSSSS DEEEDDSDLDSKRGPRGRETHPVPQKKAQILVAKPELKDPIRKKRGRKPLPPEQKAARRP VSLAKVLKTTRKDLGTSAAKLPPPLSAPVAGLAALKAHTKEACGGPSTMATPENLASLMK GMAGSPSRGGIWQSSIVHYMNRMSQSQVQAASRLALKAQATNKCGLGLDLKVRTQKGGEL GGSPAGGKVPKAPGGGAAEQQRGNHSGSPGAQLAPTQELSLQVLDLQSVKNGVPGVGLLA RHAPAKAIPATNPATGKGPGSGPTGANMTNAPTDNNKGEKLTCKATALPAPSVKRDTVKS VAASGGQEGHTAPGEGRKPPALSELSTGEENSSSDSDPDSTSLPSAAQNLSVAIQTSQDW KPTRSLIEHVFVTDVTANLITVTVKESPTSVGFFNLRHY >ENSMUSP00000138612.1 pep:known chromosome:GRCm38:X:134222339:134276982:-1 gene:ENSMUSG00000067369.12 transcript:ENSMUST00000128115.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmt2b description:TRM2 tRNA methyltransferase 2B [Source:MGI Symbol;Acc:MGI:2442530] MHNPRLFLSRAGFFSKPGLLPWDTGRPPDLSQSLLGRAYKGSFTSVIAKKHPSRQKYQKK QRHCRDDSWQERLADVVTPLWRLSYEAQLKVKFEAQKKLLQSLESHLKVLHGVSDTVAAH QSEGLRCLLHPIIPSPTTTGYRNKSTFSVYRSPDGNPKTVGYYLGTWKDGNVVCLPCNHL KNIPEKHSQVAQYYEVFLRQSSVEPCLLFHEGGHWRELVVRTNRQGHTMAIVTFHPQGLS EEEVCVQKVTLKDFFTKGPGAICELTSLYFQESTMTRCSHQQSPYQLLFGEPHIFEDLLG LKIRISPDAFFQINTAGAEMLYRIIGELSGVNSESLLLDICCGTGVIGLSVAQRASQVHG IELVEQAVEDARWTAAFNGVTNCEFHAGRAETILPQLLKSQKDEKLTVAVVNPARAGLRK DGGHLGCSPCVGFSHGGHQFCVFIPIVDLGAPYEVALK >ENSMUSP00000084820.5 pep:known chromosome:GRCm38:X:134223095:134276982:-1 gene:ENSMUSG00000067369.12 transcript:ENSMUST00000087541.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2b description:TRM2 tRNA methyltransferase 2B [Source:MGI Symbol;Acc:MGI:2442530] MHNPRLFLSRAGFFSKPGLLPWDTGRPPDLSQSLLGRAYKGSFTSVIAKKHPSRQKYQKK QRHCRDDSWQERLADVVTPLWRLSYEAQLKVKFEAQKKLLQSLESHLKVLHGVSDTVAAH QSEGLRCLLHPIIPSPTTTGYRNKSTFSVYRSPDGNPKTVGYYLGTWKDGNVVCLPCNHL KNIPEKHSQVAQYYEVFLRQSSVEPCLLFHEGGHWRELVVRTNRQGHTMAIVTFHPQGLS EEEVCVQKVTLKDFFTKGPGAICELTSLYFQESTMTRCSHQQSPYQLLFGEPHIFEDLLG LKIRISPDAFFQINTAGAEMLYRIIGELSGVNSESLLLDICCGTGVIGLSVAQRASQVHG IELVEQAVEDARWTAAFNGVTNCEFHAGRAETILPQLLKSQKDEKLTVAVVNPARAGLHY RVVRAIRNCRTIHTLVFVSCKPHGESTRNFIELCCPPNSAKQLLGDPFVLREAVPVDLFP HTPHCELVLLFTR >ENSMUSP00000108878.1 pep:known chromosome:GRCm38:X:134223100:134276920:-1 gene:ENSMUSG00000067369.12 transcript:ENSMUST00000113252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2b description:TRM2 tRNA methyltransferase 2B [Source:MGI Symbol;Acc:MGI:2442530] MHNPRLFLSRAGFFSKPGLLPWDTGRPPDLSQSLLGRAYKGSFTSVIAKKHPSRQKYQKK QRHCRDDSWQERLADVVTPLWRLSYEAQLKVKFEAQKKLLQSLESHLKVLHGVSDTVAAH QSEGLRCLLHPIIPSPTTTGYRNKSTFSVYRSPDGNPKTVGYYLGTWKDGNVVCLPCNHL KNIPEKHSQVAQYYEVFLRQSSVEPCLLFHEGGHWRELVVRTNRQGHTMAIVTFHPQGLS EEEVCVQKVTLKDFFTKGPGAICELTSLYFQESTMTRCSHQQSPYQLLFGEPHIFEDLLG LKIRISPDAFFQINTAGAEMLYRIIGELSGVNSESLLLDICCGTGVIGLSVAQRASQVHG IELVEQAVEDARWTAAFNGVTNCEFHAGRAETILPQLLKSQKDEKLTVAVVNPARAGLHY RVVRAIRNCRTIHTLVFVSCKPHGESTRNFIELCCPPNSAKQLLGDPFVLREAVPVDLFP HTPHCELVLLFTR >ENSMUSP00000084819.3 pep:known chromosome:GRCm38:X:134262488:134276984:-1 gene:ENSMUSG00000067369.12 transcript:ENSMUST00000087540.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2b description:TRM2 tRNA methyltransferase 2B [Source:MGI Symbol;Acc:MGI:2442530] MHNPRLFLSRAGFFSKPGLLPWDTGRPPDLSQSLLGRAYKGSFTSVIAKKHPSRQKYQKK QRHCRDDSWQERLADVVTPLWRLSYEAQLKPTTTGYRNKSTFSVYRSPDGNPKTVGYYLG TWKGQF >ENSMUSP00000125409.1 pep:known chromosome:GRCm38:14:51361756:51569982:1 gene:ENSMUSG00000068399.5 transcript:ENSMUST00000162998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7247 description:predicted gene 7247 [Source:MGI Symbol;Acc:MGI:3643454] MFSCLLRQFHRENGDQGETRPRQKESGILSLSAEKTSSQNCNITNHMKNMNKLEDMKFYI RKIHAERVELFLILDIEMNTDLNYRMNIEFTIIKSQHEKKMLDMEKMMQSISDTIEKYKE FMEDNNSYSFRHTYLLKECNQLKVKDDVYQKQIALMANHKHRQSNVTVNQLIQALTCVSY KCLFSCV >ENSMUSP00000143602.1 pep:known chromosome:GRCm38:5:123489305:123508192:1 gene:ENSMUSG00000063409.12 transcript:ENSMUST00000196809.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc43 description:leucine rich repeat containing 43 [Source:MGI Symbol;Acc:MGI:2685907] METSESSTSDYRQTEGEGEGVPGTLSTAVCEHLRKLCLREFPCGIGSWNKSRFLPQKCRV WRELVPKEEETLVPEEETVEALLGLVRSNHSPWAMLKDASAEDRFLRELAIQNPLMIKDT FFYSYFRSLRVVNKGVSLVDKDLLKFLKLEELVLSANKIEEIDANNLPPTLKVLELYGNL IASMECLCSAPPPRLQHLGLGHNKLLGPLESLYVTSHNWPQLVSLDLGFNNLTDLQNMIL GLSTLRHLRLLVLQGNPLSLVPYYRGFTIDSLAHLCVLDDITVSPNEKHQFRGLNIHGDL LAREAQFVVTIGNVRGVLDSSILDPEPGPDGPFISYSYYVTYDFVEDEDMERNVSGLVEA THHDSVLDEIDKHFSGTDEEDQQEDPLDGRHRHRGRQRFHPGSTEEMSKELSEFIAKEMS QMAEGSVESGITEVDWSETSISIHSAPLPQSIDSSEELAKLRPKIDIQLCPSPGTVLFNT VHKPWSDVIPCTYEMKHTLKELIRVKAFLLAGTTVSIVEEKILSWPVVPTPVESPLPAKK GKDNNKKKEPAKDKVHKKKKEPPRELRQDPPVLTVLGSGLVYLEPLLAGEAVVSTVCNFG VVRTLETDRLTHARDSKKIGRKRCLQPWKAGTSQSHCRWRSRSSCTSTARWRKHSLA >ENSMUSP00000091885.3 pep:known chromosome:GRCm38:5:123489325:123508205:1 gene:ENSMUSG00000063409.12 transcript:ENSMUST00000094327.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc43 description:leucine rich repeat containing 43 [Source:MGI Symbol;Acc:MGI:2685907] METSESSTSDYRQTEGEGEGVPGTLSTAVCEHLRKLCLREFPCGIGSWNKSRFLPQKCRV WRELVPKEEETLVPEEETVEALLGLVRSNHSPWAMLKDASAEDRFLRELAIQNPLMIKDT FFYSYFRSLRVVNKGVSLVDKDLLKFLKLEELVLSANKIEEIDANNLPPTLKVLELYGNL IASMECLCSAPPPRLQHLGLGHNKLLGPLESLYVTSHNWPQLVSLDLGFNNLTDLQNMIL GLSTLRHLRLLVLQGNPLSLVPYYRGFTIDSLAHLCVLDDITVSPNEKHQFRGLNIHGDL LAREAQFVVTIGNVRGVLDSSILDPEPGPDGPFISYSYYVTYDFVEDEDMERNVSGLVEA THHDSVLDEIDKHFSGTDEEDQQEDPLDGRHRHRGRQRFHPGSTEEMSKELSEFIAKEMS QMAEGSVESGITEVDWSETSISIHSAPLPQSIDSSEELAKLRPKIDIQLCPSPGTVLFNT VHKPWSDVIPCTYEMKHTLKELIRVKAFLLAGTTVSIVEEKILSWPVVPTPVESPLPAKK GKDNNKKKEPAKDKVHKKKKEPPRELRQDPPVLTVLGSGLVYLEPLLAGEAVVSTVCNFG VVRTLETDRLTHARDSKKVKKSLKKDRSKTVPPTMESGYQPEPLSVEVQIQLHQYRSVEE AFLSLID >ENSMUSP00000113933.1 pep:known chromosome:GRCm38:5:123489327:123508198:1 gene:ENSMUSG00000063409.12 transcript:ENSMUST00000121444.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc43 description:leucine rich repeat containing 43 [Source:MGI Symbol;Acc:MGI:2685907] METSESSTSDYRQTEGEGEGVPGTLSTAVCEHLRKLCLREFPCGIGSWNKSRFLPQKCRV WRELVPKEEETLVPEEETVEALLGLVRSNHSPWAMLKDASAEDRFLRELAIQNPLMIKDT FFYSYFRSLRVVNKGVSLVDKDLLKFLKLEELVLSANKIEEIDANNLPPTLKVLELYGNL IASMECLCSAPPPRLQHLGLGHNKLLGPLESLYVTSHNWPQLVSLDLGFNNLTDLQNMIL GLSTLRHLRLLVLQGNPLSLVPYYRGFTIDSLAHLCVLDDITVSPNEKHQFRGLNIHGDL LAREAQFVVTIGNVRGVLDSSILDPEPGPDGPFISYSYYVTYDFVEDEDMERNVSGLVEA THHDSVLDEIDKHFSGTDEEDQQEDPLDGRHRHRGRQRFHPGSTEEMSKELSEFIAKEMS QMAEGSVESGITEVDWSETSISIHSAPLPQSIDSSEELAKLRPKIDIQLCPSPGTVLFNT VHKPWSDVIPCTYEMKHTLKELIRVKAFLLAGTTILSWPVVPTPVESPLPAKKGKDNNKK KEPAKDKVHKKKKEPPRELRQDPPVLTVLGSGLVYLEPLLAGEAVVSTVCNFGVVRTLET DRLTHARDSKKVKKSLKKDRSKTVPPTMESGYQPEPLSVEVQIQLHQYRSVEEAFLSLID >ENSMUSP00000137655.1 pep:known chromosome:GRCm38:14:51606066:51611310:-1 gene:ENSMUSG00000094715.2 transcript:ENSMUST00000167617.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17078 description:predicted gene 17078 [Source:MGI Symbol;Acc:MGI:4937905] MGSQAGMLSMILRVFQRENRIHTDTRPRQKEAGRPSWWERARKNWSWRRHRSVGEVSPQA PTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHDQV MTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLREQ IALEKCNIETKILCNEGSQKIKDHYTKQQQV >ENSMUSP00000110894.3 pep:known chromosome:GRCm38:X:36915031:36989656:-1 gene:ENSMUSG00000050379.15 transcript:ENSMUST00000115239.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept6 description:septin 6 [Source:MGI Symbol;Acc:MGI:1888939] MAAADIARQVGEDCRTVPLAGHVGFDSLPDQLVNKSVSQGFCFNILCVGETGLGKSTLMD TLFNTKFEGEPATHTQPGVQLQSNTYDLQESNVGLKLTIVSTVGFGDQINKEDSYKPIVE FIDAQFEAYLQEELKIRRVLHSYHDSRIHVCLYFIAPTGHSLKSLDLVTMKKLDSKVNII PVIAKSDAISKSELAKFKIKITSELVSNGVQIYQFPTDDESVSEINGTMNAHLPFAVVGS TEEVKIGNKMMRARQYPWGTVQVENEAHCDFVKLREMLIRVNMEDLREQTHARHYELYRR CKLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVQRVKEKEAELKEA EKELHEKFDRLKKLHQEEKKKLEDKKKCLDEEMNAFKQRKAAAELLQSQGSQAGGSQTLK RDKEKKNNPWLCIE >ENSMUSP00000054034.4 pep:known chromosome:GRCm38:X:36913446:36989656:-1 gene:ENSMUSG00000050379.15 transcript:ENSMUST00000053456.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept6 description:septin 6 [Source:MGI Symbol;Acc:MGI:1888939] MAAADIARQVGEDCRTVPLAGHVGFDSLPDQLVNKSVSQGFCFNILCVGETGLGKSTLMD TLFNTKFEGEPATHTQPGVQLQSNTYDLQESNVGLKLTIVSTVGFGDQINKEDSYKPIVE FIDAQFEAYLQEELKIRRVLHSYHDSRIHVCLYFIAPTGHSLKSLDLVTMKKLDSKVNII PVIAKSDAISKSELAKFKIKITSELVSNGVQIYQFPTDDESVSEINGTMNAHLPFAVVGS TEEVKIGNKMMRARQYPWGTVQVENEAHCDFVKLREMLIRVNMEDLREQTHARHYELYRR CKLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVQRVKEKEAELKEA EKELHEKFDRLKKLHQEEKKKLEDKKKCLDEEMNAFKQRKAAAELLQSQGSQAGGSQTLK RDKEKKN >ENSMUSP00000062014.7 pep:known chromosome:GRCm38:X:36911326:36989656:-1 gene:ENSMUSG00000050379.15 transcript:ENSMUST00000060474.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept6 description:septin 6 [Source:MGI Symbol;Acc:MGI:1888939] MAAADIARQVGEDCRTVPLAGHVGFDSLPDQLVNKSVSQGFCFNILCVGETGLGKSTLMD TLFNTKFEGEPATHTQPGVQLQSNTYDLQESNVGLKLTIVSTVGFGDQINKEDSYKPIVE FIDAQFEAYLQEELKIRRVLHSYHDSRIHVCLYFIAPTGHSLKSLDLVTMKKLDSKVNII PVIAKSDAISKSELAKFKIKITSELVSNGVQIYQFPTDDESVSEINGTMNAHLPFAVVGS TEEVKIGNKMMRARQYPWGTVQVENEAHCDFVKLREMLIRVNMEDLREQTHARHYELYRR CKLEEMGFKDTDPDSKPFSLQETYEAKRNEFLGELQKKEEEMRQMFVQRVKEKEAELKEA EKELHEKFDRLKKLHQEEKKKLEDKKKCLDEEMNAFKQRKAAAELLQSQGSQAGGSQTLK RDKEKKNFF >ENSMUSP00000116670.1 pep:known chromosome:GRCm38:X:36966596:36991794:-1 gene:ENSMUSG00000050379.15 transcript:ENSMUST00000152291.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept6 description:septin 6 [Source:MGI Symbol;Acc:MGI:1888939] MADSSSSVSCAAAPVAEAPEAALADQGAMAAADIARQVGEDCRTVPLAGHVGFDSLPDQL VNKSVSQGFCFNILCVGETGLGKSTLMDTLFNTKFEGEPATHTQPGVQLQSNTYDLQESN VGLKLTIVSTVGFGDQINKED >ENSMUSP00000137954.1 pep:known chromosome:GRCm38:14:51586938:51592136:-1 gene:ENSMUSG00000096024.2 transcript:ENSMUST00000178962.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17174 description:predicted gene 17174 [Source:MGI Symbol;Acc:MGI:4938001] MGSQAGMLSMLLRVFRRENRIHTDTRPRQKEAGRPSWWERTRNNWSWRRHRSVGEVSPQA PTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINYETFMLEMQHDQV MTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLREQ IALEKCNIETKILCNEGSQKIKDHYTKQQQVG >ENSMUSP00000114593.2 pep:known chromosome:GRCm38:5:124725085:124834303:1 gene:ENSMUSG00000038011.15 transcript:ENSMUST00000141137.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah10 description:dynein, axonemal, heavy chain 10 [Source:MGI Symbol;Acc:MGI:1860299] MDDLRVLWMRDRVYTAFNLTNPMLFEEMLSRDDSEAEDCILHFLNHLSDEDNASALFFYR ILVPEEVEVEEPDIPLVFDEEGEEEESDYQKVEATEKMSVKFSKLRSDSMTPSLFDMDDE EPDVETYSKLYGKKIVKRIINKLELRVNLASLPEEFLQQNVVFFLRSSKDAIPEATDMKE AMEIMPETMEYGVINSQVLQLLKDVICQVFMPALSFNQHKSESGQGTLSGENQEFSVYDL AESVVIPGEPMEYHSVQLIRDEFLMNLQKFENNIQRTIQQLEGEIKLEMPSISVEGDMSE LVSNPELVENLEQCVIHWLGQISYALDSQMKKKPQGNGPLAEIEFWRERNATLSALYEQT KLPFVRKVLEVIKEAESMLTANVQPILTELFKLHMEASDNVRFLSTVERHFKNITHGSSF HVVLDTIPSMMSALRMVWIISRHYNRDERMIPLMERIAWEIAERVCRVINLRTLFKENRA NAQFKTQEARNTLKLWKKSYFDIRAKIEASGREARWEFDRKRLFERTDYMANICQDLSDV LQVLEEFYNIFGPELKAVTGDPKRIDDVLGRVDSLVAPMESLSFDPFSIRSSPYWKYVME DFKLEVLIDIVNKIFVQNQDNPPLYKNHPPVAGAICWERSLFYRIKHTILRFMEVEELLD SERGQQVKQRYLEVGRKMKEYEDGKYEHWKETTEQSLPTLMKKSLLTKNIITAEDSAIID RGTTFIINFSPVLKEIINETKYLEQLGFTIPELARNVALQEDKFLRYTEGIQRMLDHYHL LINTLNEAETALLDDHSQELLRVFRSGYKRLNWNSLGISDYITRCKQAIGKFETLVHQIH KNADDINSRLTLIESVSLFRFPVAKTADGVPGVKEFFEYIERERARDVDHMVRWYMAIGP LLTKVEGLVVHTNTGRAPKLASYYEYWEGKIYDVLVKLIQKNLQAFNSLILRNVPLFQAE TILSAPEIVLHPNANEIDKMCVHCVRSCVEVTKHFVRWMNGSCIECPPQKGEEEEVVIIS FYNDISQNTQIMDQALMIPQNIHRLLVNIMKYLQRWKRYRPLWKLDKSIVMEKFAAKKPA CVAYDEKLQFYSKIATDVMRHPLIKDEHCIRLQLEPLASTVQENAKSWVISLGKLLNESA REELYSLRDEIEHLAKNLKKSPSTLEDLKFVLATISEIRSKSLVMELRYKDIQERYRTMA IYKIFPTDTEQELVNNIENMWESLFTESMNVEHALGGIKRTFTEITRSEIMNYRSQLDEF ARRFYSQGPGAVGEDLDKGSELLGSFEKELAKHEKNRQELANAEKLFDLPITMYPELIKV QKEMTGLRMIYELYNSLKLAKEEWSQTLWINLNVQYLQEGIEGFLKNLRKLPRQVRGLSV AFHLEVKMKAFKDSIPLLLDLKHEALRERHWKELMEKTGVFFEMTETFTLENMFAMELHK HTEVLNEIVTAAVKEVAIEKAVKEILDTWENMKFTVVKYYKGTQERGYILGSVDDIIQCL DDNTVNLQSISGSRFVGPFLQTVHKWEKTLSLIGEVIEIWMLVQRKWMYLESIFIGGDIR SQLPEEAKKFDNIDRIFKRIMGETLKDPVIKRCCEAPNRLHDLQTISEGLEKCQKSLNDY LDSKRNAFPRFFFISDDELLSILGNSDPLCVQEHMIKMYDNIAMLRFHDGDSGEKLVSAM ISAEGEVMTFRKIIRADGRVEDWMTAVLHEMRRTNRLITKEAIFRYCEDRSRVDWMLLYQ GMVVLAASQVWWTWEVEDVFNKVKQGDKQAMKNYGKKMHSQIDDLVTRITMELSKNDRKK YNTVLIIDVHARDIVDSFIRGSILEAREFEWESQLRFYWDREPDELNIRQCTGTFSYGYE YMGLNGRLVITPLTDRIYLTLTQALSMYLGGAPAGPAGTGKTETTKDLAKALGLLCVVTN CGEGMDYKAVGKIFSGLAQCGAWGCFDEFNRIDASVLSVISSQIQTIRNALIHQLTTFQF EGQEISLDSRMGIFITMNPGYAGRTELPESVKALFRPVVVIVPDLQQICEIMLFSEGFLG AKTLAKKMTVLYKLAREQLSKQHHYDFGLRALKSVLVMAGELKRGSADLQEDVVLMRALR DMNLPKFVFEDVPLFLGLISDLFPGLDCPRVRYPDFNDAVEQVLEENGYVLLPVQVDKVV QMFETMLTRHTTMVVGPTGGGKSVVINTLCQAQTKLGIMTKLYILNPKAVSVIELYGILD PTTRDWTDGVLSNIFREINRPTDKKERKYILFDGDVDALWVENMNSVMDDNKLLTLANGE RIRLQAHCALLFEVGDLQYASPATVSRCGMVYVDPKNLKYQPYWKKWLQQIQNKVEQKYL NDLFEKYVPTLIDMIIEGIVDGRQGEKLKMVVPQTDLNMVTQLTRMLDSLLEGEIEDLDL LECFFLEALYCSLGSSLLEEGRVKFDECIKRLSSMPTVDSEGNWARPGELPGHLPSLYDF HFDAKRNHWIPWNKLVPEYVHSHEKKFVDILVHTVDTTRTTWILEQMVKIKHPVLFVGES GTSKTATTQNFLKNLNEETNIVLIVNFSSRTTSLDIQRNLEANVEKRTKDTYGPPMGKRL LVFMDDMNMPKVDEYGTQQPIALLKLLLEKGYLYDRGKELNCKSIRDLGFIAAMGKAGGG RNEVDPRFLSLFSVFNVPFPSEESLNLIYYSILKGHTSTFNESIGGVSRKLTFCTLTLYK NIVQDLPPTPSKFHYIFNLRDLSRVFNGLVLTNPDRFQTVSQMVRVWRNECLRVFHDRLI NEVDKELVQNHIGNLVTEHFNDDFEMVMRDPILFGDFRLALQEGEPRIYEDIQDYEAAKA LFEEILEEYNEVNTKMNLVLFDDALEHLTRVHRIIRMDRGHALLVGVGGSGKQSLARLAA FTAGCEVFEILLSRGYSENNFRDDLKNLYMKLGLENKMMIFLFTDAHVAEEGFLELINNM LTSGMVPALFAEEEKDNILGQIGQEALKFGMGPAKESVWQFFVNKSANNLHIVLGMSPVG DTLRTRCRNFPGLVNNTGIDWFMPWPPQALHAVAKSFLGDNSMIPDEKLEELVEHVVMVH QSVGEFSKQFQQKLRRSNYVTPKNYLDFINTYSKLLDEKTQYNIAQCKRLEGGLDKLKEA TIQLDELNLKLAEQKIVLAEKSAACEALLEEIATNTAIAEEKKKLAEEKAIEIEEQNKII AVEKAEAETALAEVMPILEAAKLELQKLDKSDVTEIRSFAKPPKQVQTVCECILIMKGYK ELNWKTAKGMMSDPNFLRSLMEIDFDSITQGQVKNIKGLLKTLNTTIEEMEAVSKAGLGM LKFVEAVMGYCDVFREIKPKREKVARLERNFFLTKRELERIQNELAAIQKELEALGAKYE AAILEKQKLQEEAEIMERRLIAADKLISGLGSENVRWLNDLDELMHRRVKLLGDCLLCAA FLSYEGAFTWEFRDEMVNQVWQNDILDRDIPLSQPFRLENLLTDDVEISRWGSQGLPPDE LSVQNGILTTRASRFPLCIDPQQQALNWIKRKEEKNNLRVASFNDPDFLKQLEMSIKYGT PFLFHDVDEYIDPVIDSVLEKNIKTSQGRQFIILGDKEVDYDSNFRLYLNTKLANPRYSP SVFGKAMVINYTVTLKGLEDQLLSVLVAYERRELEEQREHLIQETSENKNLLKDLEDSLL RELATSTGNMLDNVELVQTLEETKSKATEVSEKLKLAEKTALDIDRLRDGYRPAARRGAI LFFVLSEMALVNSMYQYSLIAFLEVFGLSLKKSLPDSILLKRLKNIMDTLTFNIYNYGCT GLFERHKLLFSFNMTIKIEQAEGRVPQEELDFFLKGNISLEKSKWKKPCTWLSDQGWEDI ILLSQKFSDIFGNLPLDIEHHLPMWQEWYDQDSLEQFPFPLGYDDNITAFQKLLILRCFR VDRVYRAVTDYVTLTMGEKYVQPPMISFEAIFEQSTPNSPIVFILSPGSDPASDLMKLAE RSGFGGTRLKFLAMGQGQEKVALQLLETAVARGQWLMLQNCHLLVKWLKDLEKSLERITK PHPDFRLWLTTDPTKGFPIGILQKSLKVVTEPPNGLKLNMRATYFKISNDMLEQCPHTAF KPLVYVLAFFHAVVQERRKFGKIGWNVYYDFNESDFQVCMEILNTYLTKAFQQRDPRIPW GSLKYLIGEVMYGGRAIDSFDRRILTTYMDEYLGDFIFDTFQPFHFFRNKDVDYKIPVGD IKDKFVEAIEALPLANTPEVFGLHSNAEIGYYTQAARDMWGHLLELQPQTGESSSGVSRD DYIGQVAKDIENKMPKIFDLDQVRKHLGLSITPTSVVLLQELGRFNKLVIRMTRSLAELQ RALAGEVGMSNELDDVARSLFLGHIPHIWRKLAPDTLKTLGNWMVYFLRRFSQYTLWVTE GEPSVMWLSGLHIPESYLTALVQATCRRNGWPLDRSTLFTQVTKFQDADEVNERAGQGCF VSGLYLEGADWDIERGCLVKSKPKVLVVDLPILKIIPTEGHRLKLQNTFRTPVYTTSMRR NAMGIGLVFEADLFTAKHISHWVLQGVCLTLNSD >ENSMUSP00000062995.8 pep:known chromosome:GRCm38:5:124725085:124834308:1 gene:ENSMUSG00000038011.15 transcript:ENSMUST00000058440.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah10 description:dynein, axonemal, heavy chain 10 [Source:MGI Symbol;Acc:MGI:1860299] MDDLRVLWMRDRVYTAFNLTNPMLFEEMLSRDDSEAEDCILHFLNHLSDEDNASALFFYR ILVPEEVEVEEPDIPLVFDEEGEEEESDYQKVEATEKMSVKFSKLRSDSMTPSLFDMDDE EPDVETYSKLYGKKIVKRIINKLELRVNLASLPEEFLQQNVVFFLRSSKDAIPEATDMKE AMEIMPETMEYGVINSQVLQLLKDVICQVFMPALSFNQHKSESGQGTLSGENQEFSVYDL AESVVIPGEPMEYHSVQLIRDEFLMNLQKFENNIQRTIQQLEGEIKLEMPSISVEGDMSE LVSNPELVENLEQCVIHWLGQISYALDSQMKKKPQGNGPLAEIEFWRERNATLSALYEQT KLPFVRKVLEVIKEAESMLTANVQPILTELFKLHMEASDNVRFLSTVERHFKNITHGSSF HVVLDTIPSMMSALRMVWIISRHYNRDERMIPLMERIAWEIAERVCRVINLRTLFKENRA NAQFKTQEARNTLKLWKKSYFDIRAKIEASGREARWEFDRKRLFERTDYMANICQDLSDV LQVLEEFYNIFGPELKAVTGDPKRIDDVLGRVDSLVAPMESLSFDPFSIRSSPYWKYVME DFKLEVLVIEKEAKNFIDESFKTLRSAEAAFDMLLKFKHIRSREAINRQMMMKFNDILAQ YYKEIDIVNKIFVQNQDNPPLYKNHPPVAGAICWERSLFYRIKHTILRFMEVEELLDSER GQQVKQRYLEVGRKMKEYEDGKYEHWKETTEQSLPTLMKKSLLTKNIITAEDSAIIDRGT TFIINFSPVLKEIINETKYLEQLGFTIPELARNVALQEDKFLRYTEGIQRMLDHYHLLIN TLNEAETALLDDHSQELLRVFRSGYKRLNWNSLGISDYITRCKQAIGKFETLVHQIHKNA DDINSRLTLIESVSLFRFPVAKTADGVPGVKEFFEYIERERARDVDHMVRWYMAIGPLLT KVEGLVVHTNTGRAPKLASYYEYWEGKIYDVLVKLIQKNLQAFNSLILRNVPLFQAETIL SAPEIVLHPNANEIDKMCVHCVRSCVEVTKHFVRWMNGSCIECPPQKGEEEEVVIISFYN DISQNTQIMDQALMIPQNIHRLLVNIMKYLQRWKRYRPLWKLDKSIVMEKFAAKKPACVA YDEKLQFYSKIATDVMRHPLIKDEHCIRLQLEPLASTVQENAKSWVISLGKLLNESAREE LYSLRDEIEHLAKNLKKSPSTLEDLKFVLATISEIRSKSLVMELRYKDIQERYRTMAIYK IFPTDTEQELVNNIENMWESLFTESMNVEHALGGIKRTFTEITRSEIMNYRSQLDEFARR FYSQGPGAVGEDLDKGSELLGSFEKELAKHEKNRQELANAEKLFDLPITMYPELIKVQKE MTGLRMIYELYNSLKLAKEEWSQTLWINLNVQYLQEGIEGFLKNLRKLPRQVRGLSVAFH LEVKMKAFKDSIPLLLDLKHEALRERHWKELMEKTGVFFEMTETFTLENMFAMELHKHTE VLNEIVTAAVKEVAIEKAVKEILDTWENMKFTVVKYYKGTQERGYILGSVDDIIQCLDDN TVNLQSISGSRFVGPFLQTVHKWEKTLSLIGEVIEIWMLVQRKWMYLESIFIGGDIRSQL PEEAKKFDNIDRIFKRIMGETLKDPVIKRCCEAPNRLHDLQTISEGLEKCQKSLNDYLDS KRNAFPRFFFISDDELLSILGNSDPLCVQEHMIKMYDNIAMLRFHDGDSGEKLVSAMISA EGEVMTFRKIIRADGRVEDWMTAVLHEMRRTNRLITKEAIFRYCEDRSRVDWMLLYQGMV VLAASQVWWTWEVEDVFNKVKQGDKQAMKNYGKKMHSQIDDLVTRITMELSKNDRKKYNT VLIIDVHARDIVDSFIRGSILEAREFEWESQLRFYWDREPDELNIRQCTGTFSYGYEYMG LNGRLVITPLTDRIYLTLTQALSMYLGGAPAGPAGTGKTETTKDLAKALGLLCVVTNCGE GMDYKAVGKIFSGLAQCGAWGCFDEFNRIDASVLSVISSQIQTIRNALIHQLTTFQFEGQ EISLDSRMGIFITMNPGYAGRTELPESVKALFRPVVVIVPDLQQICEIMLFSEGFLGAKT LAKKMTVLYKLAREQLSKQHHYDFGLRALKSVLVMAGELKRGSADLQEDVVLMRALRDMN LPKFVFEDVPLFLGLISDLFPGLDCPRVRYPDFNDAVEQVLEENGYVLLPVQVDKVVQMF ETMLTRHTTMVVGPTGGGKSVVINTLCQAQTKLGIMTKLYILNPKAVSVIELYGILDPTT RDWTDGVLSNIFREINRPTDKKERKYILFDGDVDALWVENMNSVMDDNKLLTLANGERIR LQAHCALLFEVGDLQYASPATVSRCGMVYVDPKNLKYQPYWKKWLQQIQNKVEQKYLNDL FEKYVPTLIDMIIEGIVDGRQGEKLKMVVPQTDLNMVTQLTRMLDSLLEGEIEDLDLLEC FFLEALYCSLGSSLLEEGRVKFDECIKRLSSMPTVDSEGNWARPGELPGHLPSLYDFHFD AKRNHWIPWNKLVPEYVHSHEKKFVDILVHTVDTTRTTWILEQMVKIKHPVLFVGESGTS KTATTQNFLKNLNEETNIVLIVNFSSRTTSLDIQRNLEANVEKRTKDTYGPPMGKRLLVF MDDMNMPKVDEYGTQQPIALLKLLLEKGYLYDRGKELNCKSIRDLGFIAAMGKAGGGRNE VDPRFLSLFSVFNVPFPSEESLNLIYYSILKGHTSTFNESIGGVSRKLTFCTLTLYKNIV QDLPPTPSKFHYIFNLRDLSRVFNGLVLTNPDRFQTVSQMVRVWRNECLRVFHDRLINEV DKELVQNHIGNLVTEHFNDDFEMVMRDPILFGDFRLALQEGEPRIYEDIQDYEAAKALFE EILEEYNEVNTKMNLVLFDDALEHLTRVHRIIRMDRGHALLVGVGGSGKQSLARLAAFTA GCEVFEILLSRGYSENNFRDDLKNLYMKLGLENKMMIFLFTDAHVAEEGFLELINNMLTS GMVPALFAEEEKDNILGQIGQEALKFGMGPAKESVWQFFVNKSANNLHIVLGMSPVGDTL RTRCRNFPGLVNNTGIDWFMPWPPQALHAVAKSFLGDNSMIPDEKLEELVEHVVMVHQSV GEFSKQFQQKLRRSNYVTPKNYLDFINTYSKLLDEKTQYNIAQCKRLEGGLDKLKEATIQ LDELNLKLAEQKIVLAEKSAACEALLEEIATNTAIAEEKKKLAEEKAIEIEEQNKIIAVE KAEAETALAEVMPILEAAKLELQKLDKSDVTEIRSFAKPPKQVQTVCECILIMKGYKELN WKTAKGMMSDPNFLRSLMEIDFDSITQGQVKNIKGLLKTLNTTIEEMEAVSKAGLGMLKF VEAVMGYCDVFREIKPKREKVARLERNFFLTKRELERIQNELAAIQKELEALGAKYEAAI LEKQKLQEEAEIMERRLIAADKLISGLGSENVRWLNDLDELMHRRVKLLGDCLLCAAFLS YEGAFTWEFRDEMVNQVWQNDILDRDIPLSQPFRLENLLTDDVEISRWGSQGLPPDELSV QNGILTTRASRFPLCIDPQQQALNWIKRKEEKNNLRVASFNDPDFLKQLEMSIKYGTPFL FHDVDEYIDPVIDSVLEKNIKTSQGRQFIILGDKEVDYDSNFRLYLNTKLANPRYSPSVF GKAMVINYTVTLKGLEDQLLSVLVAYERRELEEQREHLIQETSENKNLLKDLEDSLLREL ATSTGNMLDNVELVQTLEETKSKATEVSEKLKLAEKTALDIDRLRDGYRPAARRGAILFF VLSEMALVNSMYQYSLIAFLEVFGLSLKKSLPDSILLKRLKNIMDTLTFNIYNYGCTGLF ERHKLLFSFNMTIKIEQAEGRVPQEELDFFLKGNISLEKSKWKKPCTWLSDQGWEDIILL SQKFSDIFGNLPLDIEHHLPMWQEWYDQDSLEQFPFPLGYDDNITAFQKLLILRCFRVDR VYRAVTDYVTLTMGEKYVQPPMISFEAIFEQSTPNSPIVFILSPGSDPASDLMKLAERSG FGGTRLKFLAMGQGQEKVALQLLETAVARGQWLMLQNCHLLVKWLKDLEKSLERITKPHP DFRLWLTTDPTKGFPIGILQKSLKVVTEPPNGLKLNMRATYFKISNDMLEQCPHTAFKPL VYVLAFFHAVVQERRKFGKIGWNVYYDFNESDFQVCMEILNTYLTKAFQQRDPRIPWGSL KYLIGEVMYGGRAIDSFDRRILTTYMDEYLGDFIFDTFQPFHFFRNKDVDYKIPVGDIKD KFVEAIEALPLANTPEVFGLHSNAEIGYYTQAARDMWGHLLELQPQTGESSSGVSRDDYI GQVAKDIENKMPKIFDLDQVRKHLGLSITPTSVVLLQELGRFNKLVIRMTRSLAELQRAL AGEVGMSNELDDVARSLFLGHIPHIWRKLAPDTLKTLGNWMVYFLRRFSQYTLWVTEGEP SVMWLSGLHIPESYLTALVQATCRRNGWPLDRSTLFTQVTKFQDADEVNERAGQGCFVSG LYLEGADWDIERGCLVKSKPKVLVVDLPILKIIPTEGHRLKLQNTFRTPVYTTSMRRNAM GIGLVFEADLFTAKHISHWVLQGVCLTLNSD >ENSMUSP00000120785.1 pep:known chromosome:GRCm38:2:165112327:165181459:-1 gene:ENSMUSG00000053166.14 transcript:ENSMUST00000138643.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdh22 description:cadherin 22 [Source:MGI Symbol;Acc:MGI:1341843] MRPRPEGALRAGAALSPVLLFLLLLPLLGHLWAASTPAPSSLSPGAQEDNQLGAGRVKRG WVWNQFFVVEEYTGTEPLYVGKIHSDSDEGDGTIKYTISGEGAGTIFLIDELTGDIHATE RLDREQKTFYTLRAQARDRATNRLLEPESEFIIKVQDINDSEPRFLHGPYIGSVAELSPT GTSVMQVMASDADDPTYGSSARLVYSVLDGEHHFTVDPKTGVIRTAVPDLDRESQERYEV VIQATDMAGQLGGLSGSTTVTIVVTDVNDNPPRFPQKMYQFSIQESAPIGTAVGRVKAED SDVGENTDMTYHLREESGSGGDAFKVTTDSDTQEAIIVVQKHLDFESQQVHTVVLEALNK FVDPRFADLGTFRDQAIVRVAVTDVDEPPEFRPPSGLLEVQEDAQVRH >ENSMUSP00000066864.6 pep:known chromosome:GRCm38:2:165111507:165234853:-1 gene:ENSMUSG00000053166.14 transcript:ENSMUST00000065438.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh22 description:cadherin 22 [Source:MGI Symbol;Acc:MGI:1341843] MRPRPEGALRAGAALSPVLLFLLLLPLLGHLWAASTPAPSSLSPGAQEDNQLGAGRVKRG WVWNQFFVVEEYTGTEPLYVGKIHSDSDEGDGTIKYTISGEGAGTIFLIDELTGDIHATE RLDREQKTFYTLRAQARDRATNRLLEPESEFIIKVQDINDSEPRFLHGPYIGSVAELSPT GTSVMQVMASDADDPTYGSSARLVYSVLDGEHHFTVDPKTGVIRTAVPDLDRESQERYEV VIQATDMAGQLGGLSGSTTVTIVVTDVNDNPPRFPQKMYQFSIQESAPIGTAVGRVKAED SDVGENTDMTYHLREESGSGGDAFKVTTDSDTQEAIIVVQKHLDFESQQVHTVVLEALNK FVDPRFADLGTFRDQAIVRVAVTDVDEPPEFRPPSGLLEVQEDAQVGSLVGVVTARDPDA ANRPVRYAIDRDSDLEQIFDIDADTGAIVTGKGLDRETAGWHNITVLAMEADNHAQLSRA SLRIRILDVNDNPPELATPYEAAVCEDAKPGQLIQTISVVDRDEPQGGHRFYFRLVPEAP SNPHFSLLDIEDNTAAVHTQHVGFNRQEQDVFLLPILVVDSGPPTLSSTGTLTIRICGCD SSGTIQSCNTTAFVMAASLSPGALIALLVCVLILVVLALLILTLRRHHKSHLSSDVDEDM RDNVIKYNDEGGGEQDTEAYDMSALRSLYDFGELKGGDPGGGAASPPQAASSSERHSLPR GPSSPEPDFSVFRDFISRKVALADADLSVPPYDAFQTYAFEGAGSPAASLSSLHSGSTGS EQDFAFLRAWGPRFRPLAALYAGHRGDDEAPAS >ENSMUSP00000125403.1 pep:known chromosome:GRCm38:18:3383232:3436377:1 gene:ENSMUSG00000024231.14 transcript:ENSMUST00000162301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul2 description:cullin 2 [Source:MGI Symbol;Acc:MGI:1918995] MSLKPRVVDFDETWNKLLTTIKAVVMLEYVERATWNDRFSDIYALCVAYPEPLGERLYAE TKIFLESHVRHLYKRVLESEEQVLVMYHRYWEEYSKGADYMDCLYRYLNTQYIKKNKLTE ADIQYGYGGVDMNEPLMEIGELALDMWRKLMVEPLQNILIRMLLREIKNDRGGEDPNQKV IHGVINSFVHVEQYKKKFPLKFYQGIFVSPFLTETGEYYKQEASNLLQESNCSQYMEKVL GRLKDEEIRCRKYLHPSSYTKVIHECQQRMVADHLQFLHSECHSIIQQERKNDMANMYVL LRAVSSGLPHMIEELQKHIHDEGLRATSNLTQEHMPTLFVESVLEVHGKFVQLINTVLNG DQHFMSALDKALTSVVNYREPKSVCKAPELLAKYCDNLLKKSAKGMTENEVEDKLTSFIT VFKYIDDKDVFQKFYARMLAKRLIHGLSMSMDSEEAMINKLKQACGYEFTSKLHRMYTDM SVSADLNNKFNNFIRNQDTVIDLGISFQIYVLQAGAWPLTQAPSSTFAIPQELEKSVQMF ELFYSQHFSGRKLTWLHYLCTGEVKMNYLGKPYVAMVTTYQMAVLLAFNNSETVSYKELQ DSTQMNEKELTKTIKSLLDVKMINHDSEKEDIDAESSFSLNMSFSSKRTKFKITTSMQKD TPQELEQTRSAVDEDRKMYLQAAIVRIMKARKVLRHNALIQEVISQSRARFNPSISMIKK CIEVLIDKQYIERSQASADEYSYVA >ENSMUSP00000025073.5 pep:known chromosome:GRCm38:18:3382988:3436374:1 gene:ENSMUSG00000024231.14 transcript:ENSMUST00000025073.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul2 description:cullin 2 [Source:MGI Symbol;Acc:MGI:1918995] MSLKPRVVDFDETWNKLLTTIKAVVMLEYVERATWNDRFSDIYALCVAYPEPLGERLYAE TKIFLESHVRHLYKRVLESEEQVLVMYHRYWEEYSKGADYMDCLYRYLNTQYIKKNKLTE ADIQYGYGGVDMNEPLMEIGELALDMWRKLMVEPLQNILIRMLLREIKNDRGGEDPNQKV IHGVINSFVHVEQYKKKFPLKFYQGIFVSPFLTETGEYYKQEASNLLQESNCSQYMEKVL GRLKDEEIRCRKYLHPSSYTKVIHECQQRMVADHLQFLHSECHSIIQQERKNDMANMYVL LRAVSSGLPHMIEELQKHIHDEGLRATSNLTQEHMPTLFVESVLEVHGKFVQLINTVLNG DQHFMSALDKALTSVVNYREPKSVCKAPELLAKYCDNLLKKSAKGMTENEVEDKLTSFIT VFKYIDDKDVFQKFYARMLAKRLIHGLSMSMDSEEAMINKLKQACGYEFTSKLHRMYTDM SVSADLNNKFNNFIRNQDTVIDLGISFQIYVLQAGAWPLTQAPSSTFAIPQELEKSVQMF ELFYSQHFSGRKLTWLHYLCTGEVKMNYLGKPYVAMVTTYQMAVLLAFNNSETVSYKELQ DSTQMNEKELTKTIKSLLDVKMINHDSEKEDIDAESSFSLNMSFSSKRTKFKITTSMQKD TPQVISQSRARFNPSISMIKKCIEVLIDKQYIERSQASADEYSYVA >ENSMUSP00000078988.4 pep:known chromosome:GRCm38:18:3383225:3435385:1 gene:ENSMUSG00000024231.14 transcript:ENSMUST00000080089.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cul2 description:cullin 2 [Source:MGI Symbol;Acc:MGI:1918995] MSLKPRVVDFDETWNKLLTTIKAVVMLEYVERATWNDRFSDIYALCVAYPEPLGERLYAE TKIFLESHVRHLYKVLGRIQQRRRLYGLLI >ENSMUSP00000123903.1 pep:known chromosome:GRCm38:18:3383257:3431531:1 gene:ENSMUSG00000024231.14 transcript:ENSMUST00000161317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul2 description:cullin 2 [Source:MGI Symbol;Acc:MGI:1918995] MSLKPRVVDFDETWNKLLTTIKAVVMLEYVERATWNDRFSDIYALCVAYPEPLGERLYAE TKIFLESHVRHLYKRVLESEEQVLVMYHRYWEEYSKGADYMDCLYSDRGGEDPNQKVIHG VINSFVHVEQYKKKFPLKFYQGIFVSPFLTETGEYYKQEASNLLQESNCSQYMEKVLGRL KDEEIRCRKYLHPSSYTKVIHECQQRMVADHLQFLHSECHSIIQQERKNDMANMYVLLRA VSSGLPHMIEELQKHIHDEGLRATSNLTQEHMPTLFVESVLEVHGKFVQLINTVLNGDQH FMSALDKALTSVVNYREPKSVCKAPELLAKYCDNLLKKSAKGMTENEVEDKLTSFITVFK YIDDKDVFQKFYARMLAKRLIHGLSMSMDSEEAMINKLKQACGYEFTSKLHRMYTDMSVS ADLNNKFNNFIRNQDTVIDLGISFQIYVLQAGAWPLTQAPSSTFAIPQELEKSVQMFELF YSQHFSGRKLTWLHYLCTGEVKMNYLGKPYVAMVTTYQMAVLLAFNNSETVSYKELQDST QMNEKELTKTIKSLLDVKMINHDSEKEDIDAESSFSLNMSFS >ENSMUSP00000134999.1 pep:known chromosome:GRCm38:8:47533664:47551279:1 gene:ENSMUSG00000031568.16 transcript:ENSMUST00000175692.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rwdd4a description:RWD domain containing 4A [Source:MGI Symbol;Acc:MGI:2681000] MGANEDQEMELEALRSIYEGDNSFRELSPVSFQYRVKTAIPKPS >ENSMUSP00000033973.7 pep:known chromosome:GRCm38:8:47533664:47552825:1 gene:ENSMUSG00000031568.16 transcript:ENSMUST00000033973.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd4a description:RWD domain containing 4A [Source:MGI Symbol;Acc:MGI:2681000] MGANEDQEMELEALRSIYEGDNSFRELSPVSFQYRIGEDGDPKAFLIEVSWTETYPQTAP VISMNAFFNNTISSAVKQSILAKLQEAVEVNLGTAMTYTLFEYAKDHKEQFMENHHPGNS ATPVANIISVETPTTAPSSKKKEKKEQLSKAQKRKLADKTDHKGELPRGWNWVDVVKHLS KTGSKDDE >ENSMUSP00000135059.1 pep:known chromosome:GRCm38:8:47533686:47544143:1 gene:ENSMUSG00000031568.16 transcript:ENSMUST00000176379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd4a description:RWD domain containing 4A [Source:MGI Symbol;Acc:MGI:2681000] MGANEDQEMELEALRSIYEGDNSFRELSPVSFQYRIGEDGDPKAFLIEVSWTETYPQTAP VISMNAFFNNTISSAVKQSILAKLQEAVEVNLGTAMTYTLFEYAKDHKEQFMENHHPGNS ATPVANIISVET >ENSMUSP00000124065.1 pep:known chromosome:GRCm38:14:51451962:51461293:1 gene:ENSMUSG00000070448.11 transcript:ENSMUST00000159611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r89 description:vomeronasal 2, receptor 89 [Source:MGI Symbol;Acc:MGI:1316707] MKKLCAFTISFLSLKFSLILCCLTEASCFWRIKNSEDSDGDLQRECHFYLWVIDKPIEDN FYNSVLNFRISASEYEFLLVMFFATDEINKNPYLLPNITLIFSIVGGHCHDLLRGLDQSY TQINGRVNFVNYFCYLDDSCNIGLTGPSWKKSLKLAMDSSIPMVFFGPFNPNLRDHDRLP HVHQVAPKDTHLSHGMVSLMFHFRWTWIGLVISDDDQGIQFLSDLREESQRHGICLAFVN MIPENMQIYMTRATIYDKQIMTSSAKVVIIYGEMNSTLEVSFRRWEDLGARRIWITTSQW DIILNKKEFTLNLFHGPITFAHHKVEIPKLRNFMQTMNTAKYPVDISHTILEWNYFNCSI SKNSSKMDLFTSNNTLEWTALHNYDMAMSDEGYNLYNAVYVAAHTYHEHILQQVESQKKV EHNRYFTVCQQVSSLMKTRVFTNPVGELVNMKHRENQCTEYDIFIIWNFPQGLGLKLKIG SYIPCFPKSQQLHISDDLEWAMGGTSI >ENSMUSP00000124256.1 pep:known chromosome:GRCm38:14:51451963:51461292:1 gene:ENSMUSG00000070448.11 transcript:ENSMUST00000159734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r89 description:vomeronasal 2, receptor 89 [Source:MGI Symbol;Acc:MGI:1316707] MKKLCAFTISFLSLKFSLILCCLTEASCFWRIKNSEDSDGDLQRECHFYLWVIDKPIEDN FYNSVLNFRISASEYEFLLVMFFATDEINKNPYLLPNITLIFSIVGGHCHDLLRGLDQSY TQINGRVNFVNYFCYLDDSCNIGLTGPSWKKSLKLAMDSSIPMVFFGPFNPNLRDHDRLP HVHQVAPKDTHLSHGMVSLMFHFRWTWIGLVISDDDQGIQFLSDLREESQRHGICLAFVN MIPENMQIYMTRATIYDKQIMTSSAKVVIIYGEMNSTLEVSFRRWEDLGARRIWITTSQW DIILNKKEFTLNLFHGPITFAHHKVEIPKLRNFMQTMNTAKYPVDISHTILEWNYFNCSI SKNSSKMDLFTSNNTLEWTALHNYDMAMSDEGYNLYNAVYVAAHTYHEHILQQVESQKKV EHNRYFTVCQQI >ENSMUSP00000124261.1 pep:known chromosome:GRCm38:14:51452003:51460723:1 gene:ENSMUSG00000070448.11 transcript:ENSMUST00000161670.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vmn2r89 description:vomeronasal 2, receptor 89 [Source:MGI Symbol;Acc:MGI:1316707] MKKLCAFTISFLSLKFSLILCCLTEASCFWRIKNSEDSDGDLQRECHFYLWVIDKPIEDN FYNSVLNFRFSLDHLILTYATMTGCPMSIR >ENSMUSP00000102893.1 pep:known chromosome:GRCm38:3:94760069:94786463:-1 gene:ENSMUSG00000068876.14 transcript:ENSMUST00000107272.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cgn description:cingulin [Source:MGI Symbol;Acc:MGI:1927237] MMADPRGPVDHGVQIRFITEPEGATEMGTLRRSGRRPARDARASTYGVAVRVQGIAGQPF VVLNSGEKGTDSFGVQIKGGNNRGSPGALSSDSELPENPYSQVKGRPATSRSSTSDEEPK DHLNGKLIRSQSQASLTGLAFMSPSNRSTSLLELAPKPTSSINTIDTAPLSSVDSLINKF DSQKGGQVRGRTGRRTRTLPHEQRKRSQSLDSRLPRDTREEREHQSANHWTRGTKYDNHV DSSKNPSQKQSPFSSFSRSRQTQDWVLQSFEETRDPAMVQFKSTPDLLRDQRETAPPGSA DHVKATIYGILREGSSESEASVRRKVSLVLEQMQPLGALAGQAELTRKMEELQKKLDEEV KKRQKLEPSRVGLERQLEEKAEECHRLQELLERRKGEVQQSSKELQNMKLLLGQEEGLRH GLEAQVKELQLKLKHSQSPDSGKESLLKDLLDTRELLEELLEGKQRVEEQLRLRERELTA LKGALKEEVASHDQEVEHVRLQYQRDTEQLRRSMQDATQDHAALEAERQKMSSLVRELQR ELEETSEETGHWQSMFQKNKEELRATKQELLQLRMEKEEMEEELGEKMEVLQRDLEQARA STRDTHQVEELKKELRRTQGELKELQAEQQNQEVTGRHQNQVLEKQLAALREEADRGREL EQQNLQLQKTLQQLRQDCEEASKAKVASETEAMVLGQRRATVETTLRETQEENDEFRRRI LGLEQQLKEARGLAEGGEAVEARLRDKVHRLEVEKQQLEEALNAAREEEGNLAAAKRALE VRLDEAQRGLARLGQEQQALNRALEEEGKQREALRRSKAELEEQKRLLNRTVDRLNKELE QIGDDSKLALQQLQAQMEDYKEKARKEVADAQRQAKDWASEAEKNSGGLSRLQDELQRLR QALQTSQAERDTARLDKELLAQRLQGLEQEAENKKRFQDDKARQLKSLEEKVSRLEAELD EEKNTVELLTDRVNRGRDQVDQLRTELMQERSARQDLECDKISLERQNKDLKTRLASSEG FQKPSASLSQLESQNQLLQERLQAEEREKTVLQSTNRKLERRVKELSIQIDDERQHVNDQ KDQLTLRVKALKRQVDEAEEEIERLDSLRKKAQRELEEQHEVNEQLQARIKSLEKDAWRK ASRSAAESALKQEGLSSDEEFDNVYDPSSIASLLTESNLQTSSC >ENSMUSP00000102894.1 pep:known chromosome:GRCm38:3:94760069:94786492:-1 gene:ENSMUSG00000068876.14 transcript:ENSMUST00000107273.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cgn description:cingulin [Source:MGI Symbol;Acc:MGI:1927237] MMADPRGPVDHGVQIRFITEPEGATEMGTLRRSGRRPARDARASTYGVAVRVQGIAGQPF VVLNSGEKGTDSFGVQIKGGNNRGSPGALSSDSELPENPYSQVKGRPATSRSSTSDEEPK DHLNGKLIRSQSQASLTGLAFMSPSNRSTSLLELAPKPTSSINTIDTAPLSSVDSLINKF DSQKGGQVRGRTGRRTRTLPHEQRKRSQSLDSRLPRDTREEREHQSANHWTRGTKYDNHV DSSKNPSQKQSPFSSFSRSRQTQDWVLQSFEETRDPAMVQFKSTPDLLRDQRETAPPGSA DHVKATIYGILREGSSESEASVRRKVSLVLEQMQPLGMVSPASTKALAGQAELTRKMEEL QKKLDEEVKKRQKLEPSRVGLERQLEEKAEECHRLQELLERRKGEVQQSSKELQNMKLLL GQEEGLRHGLEAQVKELQLKLKHSQSPDSGKESLLKDLLDTRELLEELLEGKQRVEEQLR LRERELTALKGALKEEVASHDQEVEHVRLQYQRDTEQLRRSMQDATQDHAALEAERQKMS SLVRELQRELEETSEETGHWQSMFQKNKEELRATKQELLQLRMEKEEMEEELGEKMEVLQ RDLEQARASTRDTHQVEELKKELRRTQGELKELQAEQQNQEVTGRHQNQVLEKQLAALRE EADRGRELEQQNLQLQKTLQQLRQDCEEASKAKVASETEAMVLGQRRATVETTLRETQEE NDEFRRRILGLEQQLKEARGLAEGGEAVEARLRDKVHRLEVEKQQLEEALNAAREEEGNL AAAKRALEVRLDEAQRGLARLGQEQQALNRALEEEGKQREALRRSKAELEEQKRLLNRTV DRLNKELEQIGDDSKLALQQLQAQMEDYKEKARKEVADAQRQAKDWASEAEKNSGGLSRL QDELQRLRQALQTSQAERDTARLDKELLAQRLQGLEQEAENKKRFQDDKARQLKSLEEKV SRLEAELDEEKNTVELLTDRVNRGRDQVDQLRTELMQERSARQDLECDKISLERQNKDLK TRLASSEGFQKPSASLSQLESQNQLLQERLQAEEREKTVLQSTNRKLERRVKELSIQIDD ERQHVNDQKDQLTLRVKALKRQVDEAEEEIERLDSLRKKAQRELEEQHEVNEQLQARIKS LEKDAWRKASRSAAESALKQEGLSSDEEFDNVYDPSSIASLLTESNLQTSSC >ENSMUSP00000143156.1 pep:known chromosome:GRCm38:3:94775215:94786451:-1 gene:ENSMUSG00000068876.14 transcript:ENSMUST00000153263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cgn description:cingulin [Source:MGI Symbol;Acc:MGI:1927237] MMADPRGPVDHGVQIRFITEPEGATEMGTLRRSGRRPARDARASTYGVAVRVQGIAGQPF VVLNSGEKGTDSFGVQIKGGNNRGSPGALSSDSELPENPYSQVKGRPATSRSSTSDEEPK DHLNGKLIRSQSQASLTGLAFMSPSNRSTSLLELAPKPTSSINTIDTAPLSSVDSLINKF DSQKGGQVRGRTGRRTRTLPHEQRKRSQSLDSRLPRDTREEREHQSANHWTRGTKYDNHV DSSKNPSQKQSPFSSFSRSRQTQDWVLQSFEETRDPAMVQFKSTPDLLRDQRETAPPGSA DHVKATIYGILREGSSESEASVRRKVSLVLEQMQPLGALAGQAELTRKMEELQKKLDEEV KKRQKLEPSRVGLERQLEEKAEECHRLQELLERRKGEVQQSSKE >ENSMUSP00000142809.1 pep:known chromosome:GRCm38:3:94775215:94786467:-1 gene:ENSMUSG00000068876.14 transcript:ENSMUST00000155485.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cgn description:cingulin [Source:MGI Symbol;Acc:MGI:1927237] MMADPRGPVDHGVQIRFITEPEGATEMGTLRRSGRRPARDARASTYGVAVRVQGIAGQPF VVLNSGEKGTDSFGVQIKGGNNRGSPGALSSDSELPENPYSQVKGRPATSRSSTSDEEPK DHLNGKLIRSQSQASLTGLAFMSPSNRSTSLLELAPKPTSSINTIDTAPLSSVDSLINKF DSQKGGQVRGRTGRRTRTLPHEQRKRSQSLDSRLPRDTREEREHQSANHWTRGTKYDNHV DSSKNPSQKQSPFSSFSRSRQTQDWVLQSFEETRDPAMVQFKSTPDLLRDQRETAPPGSA DHVKATIYGILREGSSESEASVRRKVSLVLEQMQPLGVSGLLGVRARVGARALRPPGMGM RAPFPPLVSQYLGQMVSPASTKALAGQAELTRKMEELQKKLDEEVKKRQKLEPSRVGLER QLEEKAEECHRLQELLERRKGEVQQSSKE >ENSMUSP00000026663.7 pep:known chromosome:GRCm38:11:119036305:119040969:-1 gene:ENSMUSG00000025578.9 transcript:ENSMUST00000026663.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx8 description:chromobox 8 [Source:MGI Symbol;Acc:MGI:1353589] MELSAVGERVFAAEALLKRRIRKGRMEYLVKWKGWSQKYSTWEPEENILDARLLAAFEER EREMELYGPKKRGPKPKTFLLKAQAKAKAKTYEFRSDSTRGIRIPYPGRSPQDLASTSRA REGLRNTGLPPPGSSTSTCRADPPRDRDRERDRGTSRVDDKPSSPGDSSKKRGPKPRKEP LDPSQRPLGEPSAGLGEYLKGRKLDETSSGTGKFPAGHSVIQLARRQDSDLVQYGVTSPS SAEASSKLAVDTFPARVIKHRAAFLEAKGQGALDPGGARVRHSSGTPASVGSLYRDMGAQ GGRPSLIARIPVARILGDPEEESWSPSLTNLEKVVVTDVTSNFLTVTIKESNTDQGFFKE KR >ENSMUSP00000043849.8 pep:known chromosome:GRCm38:1:186622792:186705989:-1 gene:ENSMUSG00000039239.14 transcript:ENSMUST00000045288.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfb2 description:transforming growth factor, beta 2 [Source:MGI Symbol;Acc:MGI:98726] MHYCVLSTFLLLHLVPVALSLSTCSTLDMDQFMRKRIEAIRGQILSKLKLTSPPEDYPEP DEVPPEVISIYNSTRDLLQEKASRRAAACERERSDEEYYAKEVYKIDMPSHLPSENAIPP TFYRPYFRIVRFDVSTMEKNASNLVKAEFRVFRLQNPKARVAEQRIELYQILKSKDLTSP TQRYIDSKVVKTRAEGEWLSFDVTDAVQEWLHHKDRNLGFKISLHCPCCTFVPSNNYIIP NKSEELEARFAGIDGTSTYASGDQKTIKSTRKKTSGKTPHLLLMLLPSYRLESQQSSRRK KRALDAAYCFRNVQDNCCLRPLYIDFKRDLGWKWIHEPKGYNANFCAGACPYLWSSDTQH TKVLSLYNTINPEASASPCCVSQDLEPLTILYYIGNTPKIEQLSNMIVKSCKCS >ENSMUSP00000142149.1 pep:known chromosome:GRCm38:1:186624218:186705700:-1 gene:ENSMUSG00000039239.14 transcript:ENSMUST00000195201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfb2 description:transforming growth factor, beta 2 [Source:MGI Symbol;Acc:MGI:98726] MHYCVLSTFLLLHLVPVALSLSTCSTLDMDQFMRKRIEAIRGQILSKLKLTSPPEDYPEP DEVPPEVISIYNSTRDLLQEKASRRAAACERERSDEEYYAKEVYKIDMPSHLPSETVCPV VTTPSGSLGSFCSRQSQVLCGYLDAIPPTFYRPYFRIVRFDVSTMEKNASNLVKAEFRVF RLQNPKARVAEQRIELYQILKSKDLTSPTQRYIDSKVVKTRAEGEWLSFDVTDAVQEWLH HKDRNLGFKISLHCPCCTFVPSNNYIIPNKSEELEARFAGIDGTSTYASGDQKTIKSTRK KTSGKTPHLLLMLLPSYRLESQQSSRRKKRALDAAYCFRNVQDNCCLRPLYIDFKRDLGW KWIHEPKGYNANFCAGACPYLWSSDTQHTKVLSLYNTINPEASASPCCVSQDLEPLTILY YIGNTPKIEQLSNMIVKSCKCS >ENSMUSP00000041794.5 pep:known chromosome:GRCm38:16:10170228:10174911:1 gene:ENSMUSG00000039209.12 transcript:ENSMUST00000044103.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl39l description:ribosomal protein L39-like [Source:MGI Symbol;Acc:MGI:1915422] MASHKTFRIKRFLAKKQKQNRPIPQWIQMKTGNKIMYNSKRRHWRRTKLGL >ENSMUSP00000112791.1 pep:known chromosome:GRCm38:16:10170226:10174630:1 gene:ENSMUSG00000039209.12 transcript:ENSMUST00000121292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl39l description:ribosomal protein L39-like [Source:MGI Symbol;Acc:MGI:1915422] MASHKTFRIKRFLAKKQKQNRPIPQWIQMKTGNKIMYNSKRRHWRRTKLGL >ENSMUSP00000144613.1 pep:known chromosome:GRCm38:5:31526997:31554460:1 gene:ENSMUSG00000029141.16 transcript:ENSMUST00000202214.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a1ap description:solute carrier family 4 (anion exchanger), member 1, adaptor protein [Source:MGI Symbol;Acc:MGI:1196608] MADPTPQLDAPAPQEVSSEFKKPVLPVPSAVRGKAPASSPSVSEDVKKECLAAQLDSGCE EPEVPPPQPDSQETGGPPVEQLRSPRVAPASGGPTRAPPYREPSWGSPATAPYSLETLKG GTILGTRTLKDTSCCFFGRLASCDICLEHPSVSRYHAVLQHRGADPSGDSEGHEQGFYLY DLGSTHGTFLNKTRIPPRTYCRVHVGHVMRFGGSTRLFILQGPEEDREAESELTVTQLKE LRKQQQILLEKKMLGEDSDEEEEANTTEGKSSRSGQDDELGCTWGMGEDAVEDEAEENPI ALDFQQDREAFYIKDPKKALQGFFDREGEELEYEFDEQGHSTWLCRVRLPVDDSTGKQLV AEAIHSGKKKEAMVQCSLEACRVLDTLGLLRQEAVSRKRKAKNWEDEDFYDSDDDTFLDR TGLVEKKRLNRMKKAGKLDEKPETFESLVAKLNDAERELAEISERLKASSKVLSEPSSQD SLDAFMSEMKSGSTLDGVSRKKLHLRTFELRKEQQRLKGLIKLVKPAEIPELKKTELQTT NAENKTKKLALPLFGAMKGGSKFKLKTGTVGKLPPKRPELPPALMQMKDEPEVEEEEEEE EEEEEKVKEEHEERVEDGGVRLLQEPELELEAAVEHPSAPSEPSCSKETKSHAAPANEYK QDRDEPKKKKSPGPGKFPPILSSKYPEDDPDYCIWVPPEGQSGDGRTHLNDKYGY >ENSMUSP00000143960.1 pep:known chromosome:GRCm38:5:31527012:31536822:1 gene:ENSMUSG00000029141.16 transcript:ENSMUST00000201858.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a1ap description:solute carrier family 4 (anion exchanger), member 1, adaptor protein [Source:MGI Symbol;Acc:MGI:1196608] MADPTPQLDAPAPQEVSSEFKKPVLPVPSAVRGKAPASSPSVSEDVKKECLAAQLDSGCE EPEVPPPQPDSQETGGPPVEQLRSPRVAPASGGPTRAPPYREPSWGSPATAPYSLETLKG GTILGTRTLKDTSCCFFGRLASCDICLEHPSVSRYHAVLQHRGADPSGDSEGHEQGFYLY DLGSTHGTFLNKTRIPPRTYCRVHVGHVMRFGGSTRLFILQGPEEDREAESELTVTQLKE LRKQQQILLEKKMLGEDSDEEEEANTTEGKSSRSGQDDELGCTWGMGEDAVEDEAEENPI ALDFQQDREAFYIKDPKKALQGFFDREGEELEYEFDEQGHSTWLCRVRLPVDDSTGKQLV AEAIHSGKKKEAMVQCSLEACRVLDTLGLLRQEAVSRKRKAKNWEDEDFYDSDDDTFLDR TGLVEKKRLNRMKKAGKLDEKPETFESLVAKLNDAERELAEISERLKASSKVLSEPSSQD SLDAFMSEMKSGSTLDGVSRKKLHLRTFELRKEQQRLKGLIKLVKPAEIPELKK >ENSMUSP00000144257.1 pep:known chromosome:GRCm38:5:31527012:31554042:1 gene:ENSMUSG00000029141.16 transcript:ENSMUST00000202950.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a1ap description:solute carrier family 4 (anion exchanger), member 1, adaptor protein [Source:MGI Symbol;Acc:MGI:1196608] MADPTPQLDAPAPQEVSSEFKKPVLPVPSAVRGKAPASSPSVSEDVKKECLAAQLDSGCE EPEVPPPQPDSQETGGPPVEQLRSPRVAPASGGPTRAPPYREPSWGSPATAPYSLETLKG GTILGTRTLKDTSCCFFGRLASCDICLEHPSVSRYHAVLQHRGADPSGDSEGHEQGFYLY DLGSTHGTFLNKTRIPPRTYCRVHVGHVMRFGGSTRLFILQGPEEDREAESELTVTQLKE LRKQQQILLEKKMLGEDSDEEEEANTTEGKSSRSGQDDELGCTWGMGEDAVEDEAEENPI ALDFQQDREAFYIKDPKKALQGFFDREGEELEYEFDEQGHSTWLCRVRLPVDDSTGKQLV AEAIHSGKKKEAMVQCSLEACRVLDTLGLLRQEAVSRKRKAKNWEDEDFYDSDDDTFLDR TGLVEKKRLNRMKKAGKLDEKPETFESLVAKLNDAERELAEISERLKASSKVLSEPSSQD SLDAFMSEMKSGSTLDGVSRKKLHLRTFELRKEQQRLKGLIKLVKPAEIPELKKTELQTT NAENKTKKLALPLFGAMKGGSKFKLKTGTVGKLPPKRPELPPALMQMKDEPEVEEEEEEE EEEEEKVKEEHEERVEDGGVRLLQEPELELEAAVEHPSAPSEPSCSKETKSHESISQLSQ VEQSKDYQEMGKIVSSCEDPSAAPANEYKQDRDEPKKKKSPGPGKFPPILSSKYPEDDPD YCIWVPPEGQSGDGRTHLNDKYGY >ENSMUSP00000143852.1 pep:known chromosome:GRCm38:5:31536136:31554008:1 gene:ENSMUSG00000029141.16 transcript:ENSMUST00000201925.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a1ap description:solute carrier family 4 (anion exchanger), member 1, adaptor protein [Source:MGI Symbol;Acc:MGI:1196608] XVLSEPSSQDSLDAFMSEMKSGSTLDGVSRKKLHLRTFELRKEQQRLKGLIKLVKPAEIP ELKKTELQTTNAENKTKKLALPLFGAMKGGSKFKLKTGTVGQHQRMNINKTEMSRRKRNL LGLANFHPSFLPNILRMTQTTVYGSHLKVKVEMAEPILMTSMATDWLRVPKEDHVDRRMF GRKHQVMARNQMTVCKIW >ENSMUSP00000144046.1 pep:known chromosome:GRCm38:5:31543783:31554042:1 gene:ENSMUSG00000029141.16 transcript:ENSMUST00000202273.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a1ap description:solute carrier family 4 (anion exchanger), member 1, adaptor protein [Source:MGI Symbol;Acc:MGI:1196608] XEHEERVEDGGVRLLQEPELELEAAVEHPSAPSEPSCSKETKSHESISQLSQVEQSKDYQ EMGKIVSSCEDPSAPANEYKQDRDEPKKKKSPGPGKFPPILSSKYPEDDPDYCIWVPPEG QSGDGRTHLNDKYGY >ENSMUSP00000144335.1 pep:known chromosome:GRCm38:5:31543861:31553967:1 gene:ENSMUSG00000029141.16 transcript:ENSMUST00000201789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a1ap description:solute carrier family 4 (anion exchanger), member 1, adaptor protein [Source:MGI Symbol;Acc:MGI:1196608] XHPSAPSEPSCSKETKSHAPANEYKQDRDEPKKKKSPGPGKFPPILSSKYPEDDPDYCIW VPPEGQSGDGRTHLNDKYGY >ENSMUSP00000110179.2 pep:known chromosome:GRCm38:5:31526995:31554037:1 gene:ENSMUSG00000029141.16 transcript:ENSMUST00000114533.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a1ap description:solute carrier family 4 (anion exchanger), member 1, adaptor protein [Source:MGI Symbol;Acc:MGI:1196608] MADPTPQLDAPAPQEVSSEFKKPVLPVPSAVRGKAPASSPSVSEDVKKECLAAQLDSGCE EPEVPPPQPDSQETGGPPVEQLRSPRVAPASGGPTRAPPYREPSWGSPATAPYSLETLKG GTILGTRTLKDTSCCFFGRLASCDICLEHPSVSRYHAVLQHRGADPSGDSEGHEQGFYLY DLGSTHGTFLNKTRIPPRTYCRVHVGHVMRFGGSTRLFILQGPEEDREAESELTVTQLKE LRKQQQILLEKKMLGEDSDEEEEANTTEGKSSRSGQDDELGCTWGMGEDAVEDEAEENPI ALDFQQDREAFYIKDPKKALQGFFDREGEELEYEFDEQGHSTWLCRVRLPVDDSTGKQLV AEAIHSGKKKEAMVQCSLEACRVLDTLGLLRQEAVSRKRKAKNWEDEDFYDSDDDTFLDR TGLVEKKRLNRMKKAGKLDEKPETFESLVAKLNDAERELAEISERLKASSKVLSEPSSQD SLDAFMSEMKSGSTLDGVSRKKLHLRTFELRKEQQRLKGLIKLVKPAEIPELKKTELQTT NAENKTKKLALPLFGAMKGGSKFKLKTGTVGKLPPKRPELPPALMQMKDEPEVEEEEEEE EEEEEKVKEEHEERVEDGGVRLLQEPELELEAAVEHPSAPSEPSCSKETKSHAAPANEYK QDRDEPKKKKSPGPGKFPPILSSKYPEDDPDYCIWVPPEGQSGDGRTHLNDKYGY >ENSMUSP00000123437.1 pep:known chromosome:GRCm38:9:46273289:46275789:1 gene:ENSMUSG00000032078.16 transcript:ENSMUST00000125239.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zpr1 description:ZPR1 zinc finger [Source:MGI Symbol;Acc:MGI:1330262] XFRPLSAEDEEQQPTEIESLCMNCYRNKSS >ENSMUSP00000117725.1 pep:known chromosome:GRCm38:9:46273064:46282643:1 gene:ENSMUSG00000032078.16 transcript:ENSMUST00000156440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpr1 description:ZPR1 zinc finger [Source:MGI Symbol;Acc:MGI:1330262] MSASGAVQPGHPGAAVGPSPAAAASPATGPLFRPLSAEDEEQQPTEIESLCMNCYRNGTT RLLLTKIPFFREIIVSSFSCEHCGWNNTEIQSAGRIQDQGVRYTLTVRSQEDMNREVVKT DSATTRIPELDFEIPAFSQKGALTTVEGLISRAISGLEQDQPTRRAVEGAIAERIDEFIG KLKDLKQMASPFTLVIDDPSGNSFVENPHAPQKDNALVITYYDRTPQQAEMLGLQAEAPE EKAEEEDLRNEVLQFNTNCPECNAPAQTNMKLVQIPHFKEVIIMATNCENCGHRTNEVKS GGAVEPLGTRITLHITDPSDMTRDLLKSETCSVEIPELEFELGMAVLGGKFTTLEGLLKD IRELVTKNPFTLGDSSNPDQSEKLQEFSQKLGQIIEGKMKAHFIMNDPAGNSYLQNVYAP EDDPEMKVERYKRTFDQNEELGLNDMKTEGYEAGLAPQR >ENSMUSP00000034583.6 pep:known chromosome:GRCm38:9:46273197:46281489:1 gene:ENSMUSG00000032078.16 transcript:ENSMUST00000034583.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpr1 description:ZPR1 zinc finger [Source:MGI Symbol;Acc:MGI:1330262] MSASGAVQPGHPGAAVGPSPAAAASPATGPLFRPLSAEDEEQQPTEIESLCMNCYRNGTT RLLLTKIPFFREIIVSSFSCEHCGWNNTEIQSAGRIQDQGVRYTLTVRSQEDMNREVVKT DSATTRIPELDFEIPAFSQKGALTTVEGLISRAISGLEQDQPTRRAVEGAIAERIDEFIG KLKDLKQMASPFTLVIDDPSGNSFVENPHAPQKDNALVITYYDRTPQQAEMLGLQAEAPE EKAEEEDLRNEVLQFNTNCPECNAPAQTNMKLVQIPHFKEVIIMATNCENCGHRTNEVKS GGAVEPLGTRITLHITDPSDMTRDLLKSETCSVEIPELEFELGMAVLGGKFTTLEGLLKD IRELIIEGKMKAHFIMNDPAGNSYLQNVYAPEDDPEMKVERYKRTFDQNEELGLNDMKTE GYEAGLAPQR >ENSMUSP00000110199.3 pep:known chromosome:GRCm38:9:46273208:46281484:1 gene:ENSMUSG00000032078.16 transcript:ENSMUST00000114552.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpr1 description:ZPR1 zinc finger [Source:MGI Symbol;Acc:MGI:1330262] XGAVQPGHPGAAVGPSPAAAASPATGPLFRPLSAEDEEQQPTEIESLCMNCYRNDMNREV VKTDSATTRIPELDFEIPAFSQKGALTTVEGLISRAISGLEQDQPTRRAVEGAIAERIDE FIGKLKDLKQMASPFTLVIDDPSGNSFVENPHAPQKDNALVITYYDRTPQQAEMLGLQVL QFNTNCPECNAPAQTNMKLVQIPHFKEVIIMATNCENCGHRTNEVKSGGAVEPLGTRITL HITDPSDMTRDLLKSETCSVEIPELEFELGMAVLGGKFTTLEGLLKDIRELVTKNPFTLG DSSNPDQSEKLQEFSQKLGQIIEGKMKAHFIMNDPAGNSYLQNVYAPEDDPEMKVERYKR TFDQNEELGLNDMKTEGYEAGLAPQR >ENSMUSP00000095346.3 pep:known chromosome:GRCm38:1:54472994:54557682:-1 gene:ENSMUSG00000073678.4 transcript:ENSMUST00000097739.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap1 description:post-GPI attachment to proteins 1 [Source:MGI Symbol;Acc:MGI:2443342] MFLHSVNLWNLAFYVFMVFLATLGLWDVFFGFEENKCSMSYMFEYPEYQKIELPKKLTKR YPAYELYLYGEGSYAEEHKILPLTGIPVLFLPGNAGSYKQVRSIGSIALRKAEDIDFKYH FDFFSVNFNEELVALYGGSLQKQTKFVHECIKAILKLYKGQEFAPTSVAIIGHSMGGLVA RALLTLKNFKQDLINLLVTQATPHVAPVMPLDRFITEFYMNVNNYWILNARHINLTTLSV AGGFRDYQVRSGLTFLPKLSHYTSALSVVSSAVPKTWVSTDHLSIVWCKQLQLTTIRAFF DLIDADTKQITQKPKKKLSVLNHHFIRHPAKQFEENPSIISDLTGTSMWVPVKVSRWSYV AYNESDKIYFAFPLANHRKIYTHAYCQSTMLDTNSWIFGCINSTSMCRQGVDLSWKAELL PTIKSLTLRLQDYPSLSHIVVYVPSVHGSKFVVDCEFFKKEARSMQLPVTHLFSFGLSSR KVTLNTNGLYYNIELLNFGQIYQAFKVNVVSKCTGSKEEITSIYKLHIPWSYEDSLTIAQ VPSSTDISLKLHVAQPENDSHVALLKMYTSSDCQYEVTIKTSFPQILGQVVRFHGGALPA YVVSSILLAYGGQLYSLLSTGYCLEYSTILDKEAKPYKVDPFVIMIKFLLGYKWFKELWD AVLLPELDAIVLTSQSMCFPLVSLILFLFGTCTAYWSGLLSSTSVQLLSSLWLALKRPAE LPKDIKVMSPDLPVLTVVFLIVSWTTCGALAILLSYLYYVFKVVHLQASLTTFKNNQPVN PKHSRRSEKKSNHHKDSAVQSLRLCANDAEDSLRMHSTVINLLTWVVLLSMPSLIYWLKN LRYYFKLSPDPCKPLAFLLIPAIAILGNTHTVSVKSSKLLKTVSQFPLPLAVGVIAFGSS HLYRVPCFVIIPLVFHALCNFM >ENSMUSP00000131962.1 pep:known chromosome:GRCm38:6:115360951:115490399:1 gene:ENSMUSG00000000440.12 transcript:ENSMUST00000171644.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pparg description:peroxisome proliferator activated receptor gamma [Source:MGI Symbol;Acc:MGI:97747] MVDTEMPFWPTNFGISSVDLSVMEDHSHSFDIKPFTTVDFSSISAPHYEDIPFTRADPMV ADYKYDLKLQEYQSAIKVEPASPPYYSEKTQLYNRPHEEPSNSLMAIECRVCGDKASGFH YGVHACEGCKGFFRRTIRLKLIYDRCDLNCRIHKKSRNKCQYCRFQKCLAVGMSHNAIRF GRMPQAEKEKLLAEISSDIDQLNPESADLRALAKHLYDSYIKSFPLTKAKARAILTGKTT DKSPFVIYDMNSLMMGEDKIKFKHITPLQEQSKEVAIRIFQGCQFRSVEAVQEITEYAKN IPGFINLDLNDQVTLLKYGVHEIIYTMLASLMNKDGVLISEGQGFMTREFLKSLRKPFGD FMEPKFEFAVKFNALELDDSDLAIFIAVIILSGDRPGLLNVKPIEDIQDNLLQALELQLK LNHPESSQLFAKVLQKMTDLRQIVTEHVQLLHVIKKTETDMSLHPLLQEIYKDLY >ENSMUSP00000145525.1 pep:known chromosome:GRCm38:6:115362292:115490394:1 gene:ENSMUSG00000000440.12 transcript:ENSMUST00000203732.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pparg description:peroxisome proliferator activated receptor gamma [Source:MGI Symbol;Acc:MGI:97747] MVDTEMPFWPTNFGISSVDLSVMEDHSHSFDIKPFTTVDFSSISAPHYEDIPFTRADPMV ADYKYDLKLQEYQSAIKVEPASPPYYSEKTQLYNRPHEEPSNSLMAIECRVCGDKASGFH YGVHACEGCKGFFRRTIRLKLIYDRCDLNCRIHKKSRNKCQYCRFQKCLAVGMSHNAIRF GRMPQAEKEKLLAEISSDIDQLNPESADLRALAKHLYDSYIKSFPLTKAKARAILTGKTT DKSPFVIYDMNSLMMGEDKIKFKHITPLQEQSKEVAIRIFQGCQFRSVEAVQEITEYAKN IPGFINLDLNDQVTLLKYGVHEIIYTMLASLMNKDGVLISEGQGFMTREFLKSLRKPFGD FMEPKFEFAVKFNALELDDSDLAIFIAVIILSGDRPGLLNVKPIEDIQDNLLQALELQLK LNHPESSQLFAKVLQKMTDLRQIVTEHVQLLHVIKKTETDMSLHPLLQEIYKDLY >ENSMUSP00000145083.1 pep:known chromosome:GRCm38:6:115362349:115441560:1 gene:ENSMUSG00000000440.12 transcript:ENSMUST00000204305.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pparg description:peroxisome proliferator activated receptor gamma [Source:MGI Symbol;Acc:MGI:97747] MVDTEMPFWPTNFGISSVDLSVMEDHSHSFDIKPFTTVDFSSISAPHYEDIPFTRADPMV ADYKYDLKLQEYQSAIKVEPASPPYYSEKTQLYNRP >ENSMUSP00000144975.1 pep:known chromosome:GRCm38:6:115383699:115463222:1 gene:ENSMUSG00000000440.12 transcript:ENSMUST00000205213.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pparg description:peroxisome proliferator activated receptor gamma [Source:MGI Symbol;Acc:MGI:97747] MVDTEMPFWPTNFGISSVDLSVMEDHSHSFDIKPFTTVDFSSISAPHYEDIPFTRADPMV ADYKYDLKLQEYQSAIKVEPASPPYYSEKTQLYNRPHEEPSNSLMAIECRVCGDKASGFH YGVHACEGCKGFFRRTIRLKLIYDRCDLNCRIHKKSRNKCQYCRFQKCLAVGMSHNAIRF GRMPQAEKEKLLAEISSDIDQLNPESADLRALAKHLYDSYIK >ENSMUSP00000000450.3 pep:known chromosome:GRCm38:6:115422066:115490399:1 gene:ENSMUSG00000000440.12 transcript:ENSMUST00000000450.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pparg description:peroxisome proliferator activated receptor gamma [Source:MGI Symbol;Acc:MGI:97747] MGETLGDSPVDPEHGAFADALPMSTSQEITMVDTEMPFWPTNFGISSVDLSVMEDHSHSF DIKPFTTVDFSSISAPHYEDIPFTRADPMVADYKYDLKLQEYQSAIKVEPASPPYYSEKT QLYNRPHEEPSNSLMAIECRVCGDKASGFHYGVHACEGCKGFFRRTIRLKLIYDRCDLNC RIHKKSRNKCQYCRFQKCLAVGMSHNAIRFGRMPQAEKEKLLAEISSDIDQLNPESADLR ALAKHLYDSYIKSFPLTKAKARAILTGKTTDKSPFVIYDMNSLMMGEDKIKFKHITPLQE QSKEVAIRIFQGCQFRSVEAVQEITEYAKNIPGFINLDLNDQVTLLKYGVHEIIYTMLAS LMNKDGVLISEGQGFMTREFLKSLRKPFGDFMEPKFEFAVKFNALELDDSDLAIFIAVII LSGDRPGLLNVKPIEDIQDNLLQALELQLKLNHPESSQLFAKVLQKMTDLRQIVTEHVQL LHVIKKTETDMSLHPLLQEIYKDLY >ENSMUSP00000134454.1 pep:known chromosome:GRCm38:17:38416474:38420185:-1 gene:ENSMUSG00000092322.8 transcript:ENSMUST00000172814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp36 description:exocrine gland secreted peptide 36 [Source:MGI Symbol;Acc:MGI:5141873] MNPENNLTQFTYKLKRSLKEQNVHTPYKMRYHLCKLQVKTSFLMMFFILTLPLPSMLTEG RVLPETQKEPKISADHKTNGNIVFEEIDCKNMRINIEDVGHNLQGSYQINIQFQGLFNDF LLNTCSSVSQTTNTMFIIETMNIEIFTRMSNSWNSIRHKT >ENSMUSP00000136767.1 pep:known chromosome:GRCm38:17:38416474:38420185:-1 gene:ENSMUSG00000092322.8 transcript:ENSMUST00000177882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp36 description:exocrine gland secreted peptide 36 [Source:MGI Symbol;Acc:MGI:5141873] MMFFILTLPLPSMLTEGRVLPETQKEPKISADHKTNGNIVFEEIDCKNMRINIEDVGHNL QGSYQINIQFQGLFNDFLLNTCSSVSQTTNTMFIIETMNIEIFTRMSNSWNSIRHKT >ENSMUSP00000115558.1 pep:known chromosome:GRCm38:1:143999338:144004161:-1 gene:ENSMUSG00000026360.9 transcript:ENSMUST00000127206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs2 description:regulator of G-protein signaling 2 [Source:MGI Symbol;Acc:MGI:1098271] MQSAMFLAVQHDCVPMDKSAGNGPKVEEKREKMKRTLLKDWKTRLSYFLQNSSAPGKPKT GKKSKQQTFIKPSPEEAQLWAEAFDELLASKYGLAAFRAFLKSEFCEENIEFWLACEDFK KTKSPQKLSSKARKIYTDFIEKEAPKEINIDFQTKSLIAQNIQEATSGCFTTAQKRVYSL MENNSYPRFLESEFYQDLCKKPQITTEPHAT >ENSMUSP00000139964.1 pep:known chromosome:GRCm38:1:144001680:144004135:-1 gene:ENSMUSG00000026360.9 transcript:ENSMUST00000027606.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgs2 description:regulator of G-protein signaling 2 [Source:MGI Symbol;Acc:MGI:1098271] MQSAMFLAVQHDCVPMDKSAGNGPKVEEKREKMKRTLLKDWKTRLSYFLQNSSAPGKPKT GKKSKQQTFIK >ENSMUSP00000140548.1 pep:known chromosome:GRCm38:1:144001883:144004032:-1 gene:ENSMUSG00000026360.9 transcript:ENSMUST00000153527.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs2 description:regulator of G-protein signaling 2 [Source:MGI Symbol;Acc:MGI:1098271] MKRTLLKDWKTRLSYFLQNSSAPGKPKTGKKSKQQTFIKPSPEEAQLWAEAFDELLASKY GLAAFRAFLKSEFCEENIEFWLACEDFKKTKSPQKLSSKARKIYTDFIEKEAPKEVRRQA PQITFNTVET >ENSMUSP00000138649.1 pep:known chromosome:GRCm38:6:87730869:87755451:1 gene:ENSMUSG00000068263.11 transcript:ENSMUST00000159570.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcc1 description:EF hand and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:3611451] MESSAGDPYRRPARRTQWLLSALAHHYGLDRGVENEIVVLATGLDQYLQEVFHHLDCRGA GRLPRADFRALCAVLGLNADGETATEDANSAEAASTNPAAGMIAGGDADVREEARLALRA DPPELTFRQFHARLCGYFSSRAGPRLPRGALSEHIETQIRLRRPRRRRRPGSPSLHGGAY GERVAHLEEENSSLRELVEDLRAALQSSDARCLALQVGLWKSQSDIPEAAAHELQRAQGA LAEAEARARRLQRGQVEVRLRTEEARQAVRRSLHRVRELEALARRVPCLQRQVQRLEAEL RGYRSEGPQLLTPQQASPRPGNRNGEPTAGGTRDSDTTPEGVQRSDSRDTDEEDEQLFRS VEGQAASEEEEEERWQEKPGRPQAHGEVPLVQPSGCVSRCDDQTAETLKASFGHCDGAEH ICTLELETHVTRLGEQLQTLGTPEEEAELQQMVEAEHLRLELQMVETERVRLSLLEEKLV DVLQLLQRLRDLNISKRALGKMLLNALDRNPSQEGTCGTLAILDTLHQALVGCELLQRNP SAPASTAPAHTNPFLISC >ENSMUSP00000032132.8 pep:known chromosome:GRCm38:6:87730893:87755908:1 gene:ENSMUSG00000068263.11 transcript:ENSMUST00000032132.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcc1 description:EF hand and coiled-coil domain containing 1 [Source:MGI Symbol;Acc:MGI:3611451] MESSAGDPYRRPARRTQWLLSALAHHYGLDRGVENEIVVLATGLDQYLQEVFHHLDCRGA GRLPRADFRALCAVLGLNADGETATEDANSAEAASTNPAAGMIAGGDADVREEARLALRA DPPELTFRQFHARLCGYFSSRAGPRLPRGALSEHIETQIRLRRPRRRRRPGSPSLHGGAY GERVAHLEEENSSLRELVEDLRAALQSSDARCLALQVGLWKSQSDIPEAAAHELQRAQGA LAEAEARARRLQRGQVEVRLRTEEARQAVRRSLHRVRELEALARRVPCLQRQVQRLEAEL RGYRSEGPQLLTPQQASPRPGNRNGEPTAGGTRDSDTTPEGVQRSDSRDTDEAEDEQLFR SVEGQAASEEEEEERWQEKPGRPQAHGEVPLVQPSGCVSRCDDQTAETLKASFGHCDGAE HICTLELETHVTRLGEQLQTLGTPEEEAELQQMVEAEHLRLELQMVETERVRLSLLEEKL VDVLQLLQRLRDLNISKRALGKMLLNALDRNPSQEGTCGTLAILDTLHQALVGCELLQRN PSAPASTAPAHTNPFLISC >ENSMUSP00000010502.6 pep:known chromosome:GRCm38:11:101448407:101458698:1 gene:ENSMUSG00000010358.13 transcript:ENSMUST00000010502.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi35 description:interferon-induced protein 35 [Source:MGI Symbol;Acc:MGI:1917360] MSVTLQTVLYSLQEEQARLKMRLQELQQLKRERTGSPGAKIPFSVPEVPLVFQGQTKQGR QVPKFVVSNLKVCCPLPEGSALVTFEDPKVVDRLLQQKEHRVNLEDCRLRVQVQPLELPV VTNIQVSSQPDNHRVLVSGFPAGLRLSEEELLDKLEIFFGKAKNGGGDVETREMLQGTVM LGFADEEVAQHLCQIGQFRVPLDRQQVLLRVSPYVSGEIQKAEIKFQQAPHSVLVTNIPD VMDAQELHDILEIHFQKPTRGGGEVEALTVVPSGQQGLAIFTSESS >ENSMUSP00000117189.1 pep:known chromosome:GRCm38:11:101448570:101458464:1 gene:ENSMUSG00000010358.13 transcript:ENSMUST00000131024.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ifi35 description:interferon-induced protein 35 [Source:MGI Symbol;Acc:MGI:1917360] MSVTLQTVLYSLQEEQARLKMRLQELQQLKRERTGSPGAKWLIGCYNKRNTELT >ENSMUSP00000049394.8 pep:known chromosome:GRCm38:16:93607837:93610505:1 gene:ENSMUSG00000051483.8 transcript:ENSMUST00000039659.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbr1 description:carbonyl reductase 1 [Source:MGI Symbol;Acc:MGI:88284] MSSSRPVALVTGANKGIGFAITRDLCRKFSGDVVLAARDEERGQTAVQKLQAEGLSPRFH QLDIDNPQSIRALRDFLLKEYGGLDVLVNNAGIAFKVNDDTPFHIQAEVTMKTNFFGTRD VCKELLPLIKPQGRVVNVSSMVSLRALKNCRLELQQKFRSETITEEELVGLMNKFVEDTK KGVHAEEGWPNSAYGVTKIGVTVLSRILARKLNEQRRGDKILLNACCPGWVRTDMAGPKA TKSPEEGAETPVYLALLPPDAEGPHGQFVQDKKVEPW >ENSMUSP00000031389.7 pep:known chromosome:GRCm38:5:123480157:123482101:-1 gene:ENSMUSG00000029437.12 transcript:ENSMUST00000031389.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il31 description:interleukin 31 [Source:MGI Symbol;Acc:MGI:1923649] MIFHTGTTKPTLVLLCCIGTWLATCSLSFGAPISKEDLRTTIDLLKQESQDLYNNYSIKQ ASGMSADESIQLPCFSLDREALTNISVIIAHLEKVKVLSENTVDTSWVIRWLTNISCFNP LNLNISVPGNTDESYDCKVFVLTVLKQFSNCMAELQAKDNTTC >ENSMUSP00000143125.1 pep:known chromosome:GRCm38:5:123480158:123482595:-1 gene:ENSMUSG00000029437.12 transcript:ENSMUST00000198901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il31 description:interleukin 31 [Source:MGI Symbol;Acc:MGI:1923649] MSADESIQLPCFSLDREALTNISVIIAHLEKVKVLSENTVDTSWVIRWLTNISCFNPLNL NISVPGNTDESYDCKVFVLTVLKQFSNCMAELQAKDNTTC >ENSMUSP00000142507.1 pep:known chromosome:GRCm38:5:123480401:123489489:-1 gene:ENSMUSG00000029437.12 transcript:ENSMUST00000198463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il31 description:interleukin 31 [Source:MGI Symbol;Acc:MGI:1923649] GGGHAPSSRCHTGIRADTTSAGTTKPTLVLLCCIGTWLATCSLSFGAPISKEDLRTTIDL LKQESQDLYNNYSIKQASGMSADESIQLPCFSLDREALTNISVIIAHLEKVKVLSENTVD TSWVIRWLTNISCFNPLNLNISVPGNTDESYDCKVFVLTVLKQFSNCMAELQAKDNTTC >ENSMUSP00000144352.1 pep:known chromosome:GRCm38:6:35022065:35133625:-1 gene:ENSMUSG00000038784.13 transcript:ENSMUST00000202143.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot4 description:CCR4-NOT transcription complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1859026] MSRSPDAKEDPVECPLCMEPLEIDDINFFPCTCGYQICRFCWHRIRTDENGLCPACRKPY PEDPAVYKPLSQEELQRIKNEKKQKQNERKQKISENRKHLASVRVVQKNLVFVVGLSQRL ADPEVLKRPEYFGKFGKIHKVVINNSTSYAGSQGPSASAYVTYIRSEDALRAIQCVNNVV VDGRTLKASLGTTKYCSYFLKNMQCPKPDCMYLHELGDEAASFTKEEMQAGKHQEYEQKL LQELYKLNPNFLQLSTGSVDKNKNKVTPLQSPIDKPSDSLSIGNGDNSQQISNSDTPSPP PGLSKSNPVIPISSSNHSARSPFEGAVTESQSLFSDNFRHPNPIPSGLPPFPSSPQTPSD WPTAPEPQSLFTSETIPVSSSTDWQAAFGFGSSKQPEDDLGFDPFDVTRKALADLIEKEL SVQDQPSLSPTSLQNASSHTTTAKGPGSGFLHSAAPTNANSLNSTFSVLPQRFPQFQQHR AVYNSFGFPGQAARYPWMAFPRNSIMHLNHTANPTSNSNFLDLNLPPQHNTGLGGIPIAG IPASSGNTLDSIQDDNPPHWLKSLQALTEMDGPSAASSQPHHSAPFSTQIPLHRASWNPY PPPSNPSSFHSPPPGFQTAFRPPSKTPTDLLQSSTLDRH >ENSMUSP00000110640.2 pep:known chromosome:GRCm38:6:35022066:35133694:-1 gene:ENSMUSG00000038784.13 transcript:ENSMUST00000114989.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot4 description:CCR4-NOT transcription complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1859026] MSRSPDAKEDPVECPLCMEPLEIDDINFFPCTCGYQICRFCWHRIRTDENGLCPACRKPY PEDPAVYKPLSQEELQRIKNEKKQKQNERKQKISENRKHLASVRVVQKNLVFVVGLSQRL ADPEVLKRPEYFGKFGKIHKVVINNSTSYAGSQGPSASAYVTYIRSEDALRAIQCVNNVV VDGRTLKASLGTTKYCSYFLKNMQCPKPDCMYLHELGDEAASFTKEEMQAGKHQEYEQKL LQELYKLNPNFLQLSTGSVDKNKNKVTPLQRYDTPIDKPSDSLSIGNGDNSQQISNSDTP SPPPGLSKSNPVIPISSSNHSARSPFEGAVTESQSLFSDNFRHPNPIPSGLPPFPSSPQT PSDWPTAPEPQSLFTSETIPVSSSTDWQAAFGFGSSKQPEDDLGFDPFDVTRKALADLIE KELSVQDQPSLSPTSLQNASSHTTTAKGPGSGFLHSAAPTNANSLNSTFSVLPQRFPQFQ QHRAVYNSFGFPGQAARYPWMAFPRNSIMHLNHTANPTSNSNFLDLNLPPQHNTGLGGIP IAGIPASSGNTLDSIQDDNPPHWLKSLQALTEMDGPSAASSQPHHSAPFSTQIPLHRASW NPYPPPSNPSSFHSPPPGFQTAFRPPSKTPTDLLQSSTLDRH >ENSMUSP00000110645.2 pep:known chromosome:GRCm38:6:35022071:35133689:-1 gene:ENSMUSG00000038784.13 transcript:ENSMUST00000114993.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot4 description:CCR4-NOT transcription complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1859026] MSRSPDAKEDPVECPLCMEPLEIDDINFFPCTCGYQICRFCWHRIRTDENGLCPACRKPY PEDPAVYKPLSQEELQRIKNEKKQKQNERKQKISENRKHLASVRVVQKNLVFVVGLSQRL ADPEVLKRPEYFGKFGKIHKVVINNSTSYAGSQGPSASAYVTYIRSEDALRAIQCVNNVV VDGRTLKASLGTTKYCSYFLKNMQCPKPDCMYLHELGDEAASFTKEEMQAGKHQEYEQKL LQELYKLNPNFLQLSTGSVDKNKNKVTPLQSPIDKPSDSLSIGNGDNSQQISNSDTPSPP PGLSKSNPVIPISSSNHSARSPFEGAVTESQSLFSDNFRHPNPIPSGLPPFPSSPQTPSD WPTAPEPQSLFTSETIPVSSSTDWQAAFGFGSSKQPEDDLGFDPFDVTRKALADLIEKEL SVQDQPSLSPTSLQNASSHTTTAKGPGSGFLHSAAPTNANSLNSTFSVLPQRFPQFQQHR AVYNSFGFPGQAARYPWMAFPRNSIMHLNHTANPTSNSNFLDLNLPPQHNTGLGGIPIAD NNSSVESLNMKEWQDGLRALLPNININFGGLPNSSSPSNANHSAPTSNTATTDSVSWDSP GSWTDPAIITGIPASSGNTLDSIQDDNPPHWLKSLQALTEMDGPSAASSQPHHSAPFSTQ IPLHRASWNPYPPPSNPSSFHSPPPGFQTAFRPPSKTPTDLLQSSTLDRH >ENSMUSP00000044137.6 pep:known chromosome:GRCm38:6:35044955:35133715:-1 gene:ENSMUSG00000038784.13 transcript:ENSMUST00000044163.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot4 description:CCR4-NOT transcription complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1859026] MSRSPDAKEDPVECPLCMEPLEIDDINFFPCTCGYQICRFCWHRIRTDENGLCPACRKPY PEDPAVYKPLSQEELQRIKNEKKQKQNERKQKISENRKHLASVRVVQKNLVFVVGLSQRL ADPEVLKRPEYFGKFGKIHKVVINNSTSYAGSQGPSASAYVTYIRSEDALRAIQCVNNVV VDGRTLKASLGTTKYCSYFLKNMQCPKPDCMYLHELGDEAASFTKEEMQAGKHQEYEQKL LQELYKLNPNFLQLSTGSVDKNKNKVTPLQRYDTPIDKPSDSLSIGNGDNSQQISNSDTP SPPPGLSKSNPVIPISSSNHSARSPFEGAVTESQSLFSDNFRHPNPIPSGLPPFPSSPQT PSDWPTAPEPQSLFTSETIPVSSSTDWQAAFGFGSSKQPEDDLGFDPFDVTRKALADLIE KELSVQDQPSLSPTSLQNASSHTTTAKGPGSGFLHSAAPTNANSLNSTFSVLPQRFPQFQ QHRAVYNSFGFPGQAARYPWMAFPRNSIMHLNHTANPTSNSNFLDLNLPPQHNTGLGGIP IAGEEEVKVSTMPLSASSHSLQQGQQPTSLHTTVA >ENSMUSP00000144409.1 pep:known chromosome:GRCm38:6:35044996:35133724:-1 gene:ENSMUSG00000038784.13 transcript:ENSMUST00000202417.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot4 description:CCR4-NOT transcription complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1859026] MSRSPDAKEDPVECPLCMEPLEIDDINFFPCTCGYQICRFCWHRIRTDENGLCPACRKPY PEDPAVYKPLSQEELQRIKNEKKQKQNERKQKISENRKHLASVRVVQKNLVFVVGLSQRL ADPEVLKRPEYFGKFGKIHKVVINNSTSYAGSQGPSASAYVTYIRSEDALRAIQCVNNVV VDGRTLKASLGTTKYCSYFLKNMQCPKPDCMYLHELGDEAASFTKEEMQAGKHQEYEQKL LQELYKLNPNFLQLSTGSVDKNKNKVTPLQSPIDKPSDSLSIGNGDNSQQISNSDTPSPP PGLSKSNPVIPISSSNHSARSPFEGAVTESQSLFSDNFRHPNPIPSGLPPFPSSPQTPSD WPTAPEPQSLFTSETIPVSSSTDWQAAFGFGSSKQPEDDLGFDPFDVTRKALADLIEKEL SVQDQPSLSPTSLQNASSHTTTAKGPGSGFLHSAAPTNANSLNSTFSVLPQRFPQFQQHR AVYNSFGFPGQAARYPWMAFPRNSIMHLNHTANPTSNSNFLDLNLPPQHNTGLGGIPIAG EEEVKVSTMPLSASSHSLQQGQQPTSLHTTVA >ENSMUSP00000044376.6 pep:known chromosome:GRCm38:17:10403012:10840311:-1 gene:ENSMUSG00000037196.6 transcript:ENSMUST00000041463.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacrg description:PARK2 co-regulated [Source:MGI Symbol;Acc:MGI:1916560] MPKRTKLLPQQTFQVHQPRSLVSEGFTVKAMMKNSVVRGPPVAGAFKERPAKPTTFRKCY ERGDFPIALEHDSKGNKIAWKVEIEKLDYHHYLPLFFDGLSEMTFPYEFFARRGIHDMLE HGGNKILPVIPQLIIPIKNALNLRNRQIICVTLKVLQHLVVSSEMVGEALLPYYRQILPI LNIFKNMNVNSGDGIDYSQQKRENIGDLIQETLEAFERYGGEDAFINIKYMVPTYESCLL N >ENSMUSP00000120634.1 pep:known chromosome:GRCm38:17:71183556:71232306:1 gene:ENSMUSG00000024052.17 transcript:ENSMUST00000156570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpin2 description:lipin 2 [Source:MGI Symbol;Acc:MGI:1891341] MNYVGQLAGQVLVTVKELYKGINQATLSGCIDVVVVRQQDGSYQCSPFHVRFGKLGVLRS KEKVIDIEINGSAVDLHMKLGDNGEAFFVEETEEEYEKLPAYLATSPIPTEDQFFKHIET PLVKSSGNERPAQSSDVSHTLESEAVFTQSSVKKKKRRRKKCKQDNRKEEQAASPVAEDV GDVGVSSDDEKRAQAARGSSNASLKEEDYKEPSLFHSGDNYPLSDGDWSPLETTYPQAVC PKSDSELEVKPSESLLRSEPHMEWTWGGFPESTKVTKRERYDYHPRTATITPSENTHFRV IPSEDSLIREVEKDATVEDTTCTIVKPKPRALCKQLSDAASTELPESPLEAPQISSLLDA DPVPSPSAEAPSEPKPAAKDSPTKKKGLVWLKNNCLLGDRCISGYDHGCVSRGPTWQLMT DSSARGPNALFWPLWAPGTQPYIRQNMHIPKMNRNKNTF >ENSMUSP00000119282.1 pep:known chromosome:GRCm38:17:71183978:71249817:1 gene:ENSMUSG00000024052.17 transcript:ENSMUST00000129635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpin2 description:lipin 2 [Source:MGI Symbol;Acc:MGI:1891341] MNYVGQLAGQVLVTVKELYKGINQATLSGCIDVVVVRQQDGSYQCSPFHVRFGKLGVLRS KEKVIDIEINGSAVDLHMKLGDNGEAFFVEETEEEYEKLPAYLATSPIPTEDQFFKHIET PLVKSSGNERPAQSSDVSHTLESEAVFTQSSVKKKKRRRKKCKQDNRKEEQAASPVAEDV GDVGVSSDDEKRAQAARGSSNASLKEEDYKEPSLFHSGDNYPLSDGDWSPLETTYPQAVC PKSDSELEVKPSESLLRSEPHMEWTWGGFPESTKVTKRERYDYHPRTATITPSENTHFRV IPSEDSLIREVEKDATVEDTTCTIVKPKPRALCKQLSDAASTELPESPLEAPQISSLLDA DPVPSPSAEAPSEPKPAAKDSPTKKKGVHKRSQHQGPDDIYLDDLKALEPEVAALYFPKS DTDPGSRQWPESDTFSGSQSPQSVGSAAADSGTECLSDSAMDLPDVTLSLCGGLSENGEI SKEKFMEHIITYHEFAENPGLIDNPNLVIRIYNRYYNWALAAPMILSLQVFQKSLPKATV ESWVKDKMPKKSGRWWFWRKKESMIKQLPETKEGKSEVPPANDLPSNAEEPTSARPAEND TSSDEGSQELEESIKVDPITVETLSHCGTASYKKSLRLSSDQIAKLKLHDGPNDVVFSIT TQYQGTCRCAGTIYLWNWNDKVIISDIDGTITKSDALGQILPQLGKDWTHQGIARLYHSI NENGYKFLYCSARAIGMADMTRGYLHWVNDKGTILPRGPLMLSPSSLFSAFHREVIEKKP EKFKIECLNDIKNLFAPSRQPFYAAFGNRPNDVYAYTQVGVPDCRIFTVNPKGELIQERT KGNKSSYHRLSELVEHVFPLLSKEQNSAFPCPEFSSFCYWRDPIPDLDLDDLA >ENSMUSP00000115061.1 pep:known chromosome:GRCm38:17:71204654:71231505:1 gene:ENSMUSG00000024052.17 transcript:ENSMUST00000135589.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lpin2 description:lipin 2 [Source:MGI Symbol;Acc:MGI:1891341] MLYLEDNSEDEKTVQESSLSKPASVYHGKAPPGILSQTMNYVGQLAGQVLVTVKELYKGI NQATLSGCIDVVVVRQQDGSYQCSPFHVRFGKLGVLRSKEKVIDIEINGSAVDLHMKLGD NGEAFFVEETEEEYEKLPAYLATSPIPTEDQFFKHIETPLVKSSGNERPAQSSDVSHTLE SEAVFTQSSVKKKKRRRKKCKQDNRKEEQAASPVAEDVGDVGVSSDDEKRAQAARIFKCF LKGRGLQGAFTLPFWG >ENSMUSP00000118610.1 pep:known chromosome:GRCm38:17:71204676:71248242:1 gene:ENSMUSG00000024052.17 transcript:ENSMUST00000126681.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpin2 description:lipin 2 [Source:MGI Symbol;Acc:MGI:1891341] MLYLEDNSEDEKTVQESSLSKPASVYHGKAPPGILSQTMNYVGQLAGQVLVTVKELYKGI NQATLSGCIDVVVVRQQDGSYQCSPFHVRFGKLGVLRSKEKVIDIEINGSAVDLHMKLGD NGEAFFVEETEEEYEKLPAYLATSPIPTEDQFFKHIETPLVKSSGNERPAQSSDVSHTLE SEAVFTQSSVKKKKRRRKKCKQDNRKEEQAASPVAEDVGDVGVSSDDEKRAQAARGSSNA SLKEEDYKEPSLFHSGDNYPLSDGDWSPLETTYPQAVCPKSDSELEVKPSESLLRSEPHM EWTWGGFPESTKVTKRERYDYHPRTATITPSENTHFRVIPSEDSLIREVEKDATVEDTTC TIVKPKPRALCKQLSDAASTELPESPLEAPQISSLLDADPVPSPSAEAPSEPKPAAKDSP TKKKGVHKRSQHQGPDDIYLDDLKALEPEVAALYFPKSDTDPGSRQWPESDTFSGSQSPQ SVGSAAADSGTECLSDSAMDLPDVTLSLCGGLSENGEISKEKFMEHIITYHEFAENPGLI DNPNLVIRIYNRYYNWALAAPMILSLQVFQKSLPKATVESWVKDKMPKKSGRWWFWRKKE SMIKQLPETKEGKSEVPPANDLPSNAEEPTSARPAENDTSSDEGSQELEESIKVDPITVE TLSHCGTASYKKSLRLSSDQIAKLKLHDGPNDVVFSITTQYQGTCRCAGTIYLWNWNDKV IISDIDGTITKSDALGQILPQLGKDWTHQGIARLYHSINENGYKFLYCSARAIGMADMTR GYLHWVNDKGTILPRGPLMLSPSSLFSAFHREVIEKKPEKFKIECLNDIKNLFAPSRQPF YAAFGNRPNDVYAYTQVGVPDCRIFTVNPKGELIQERTKGNKSSYHRLSELVEHVFPLLS KEQNSAFPCPEFSSFCYWRDPIPDLDLDDLA >ENSMUSP00000127035.1 pep:known chromosome:GRCm38:17:71238672:71246454:1 gene:ENSMUSG00000024052.17 transcript:ENSMUST00000154507.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lpin2 description:lipin 2 [Source:MGI Symbol;Acc:MGI:1891341] XPNLVIRIYNRYYNWALAAPMILSLQVFQKSLPKATVESWVKDKMPKKSGRWWFWRKKES MIKQLPETKEGKSEVPPANDLPSNAEEPTSARC >ENSMUSP00000133675.1 pep:known chromosome:GRCm38:17:38554192:38560618:1 gene:ENSMUSG00000092244.2 transcript:ENSMUST00000173055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp34 description:exocrine gland secreted peptide 34 [Source:MGI Symbol;Acc:MGI:5141875] MFKNQKPRVTLQSNHFAWNSRLCITSASEFKLLKSPLHKERRNPKELEKQRRVKQKLKSC ETINRVSAMASFPVMCFLLILLLPSMFTEGVVLKKDQEELIDSEDESIILISCQYIQEFL KNAGEILFYSNDKEVMFEKIIDRDFFFFVFV >ENSMUSP00000136619.1 pep:known chromosome:GRCm38:17:38554192:38560621:1 gene:ENSMUSG00000092244.2 transcript:ENSMUST00000178654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp34 description:exocrine gland secreted peptide 34 [Source:MGI Symbol;Acc:MGI:5141875] MASFPVMCFLLILLLPSMFTEGVVLKKDQEELIDSEDESIILISCQYIQEFLKNAGEILF YSNDKEVMFEKIIDRDFFFFVFV >ENSMUSP00000031680.3 pep:known chromosome:GRCm38:6:21949571:21976038:1 gene:ENSMUSG00000029670.12 transcript:ENSMUST00000031680.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing3 description:inhibitor of growth family, member 3 [Source:MGI Symbol;Acc:MGI:1919027] MLYLEDYLEMIEQLPMDLRDRFTEMREMDLQVQNAMDQLEQRVSEFFMNAKKNKPEWREE QMASIKKDYYKALEDADEKVQLANQIYDLVDRHLRKLDQELAKFKMELEADNAGITEILE RRSLELDAPSQPVNNHHAHSHTPVEKRKYNPTSHHAAADHIPEKKFKSEALLSTLTSDAS KENTLGCRNNNSTASCNNAYNVNSSQPLASYNIGSLSSGAGAGAITMAAAQAVQATAQMK EGRRTSSLKASYEAFKNNDFQLGKEFSIPRETAGYSSSSALMTTLTQNASSSATDSRSGR KSKNNTKSSSQQSSSSSSSSSSSSLSLCSSSSTVVQEVSQQATVVPESDSNSQVDWTYDP NEPRYCICNQVSYGEMVGCDNQDCPIEWFHYGCVGLTEAPKGKWFCPQCTAAMKRRGSRH K >ENSMUSP00000138656.1 pep:known chromosome:GRCm38:6:21949654:21974131:1 gene:ENSMUSG00000029670.12 transcript:ENSMUST00000136200.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ing3 description:inhibitor of growth family, member 3 [Source:MGI Symbol;Acc:MGI:1919027] MLYLEDYLEMIEQLPMDLRDRFTEMREMDLQVQIWSAKYEMFYCVRCNGSTRTKSQ >ENSMUSP00000111047.1 pep:known chromosome:GRCm38:6:21949657:21974903:1 gene:ENSMUSG00000029670.12 transcript:ENSMUST00000115389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing3 description:inhibitor of growth family, member 3 [Source:MGI Symbol;Acc:MGI:1919027] MLYLEDYLEMIEQLPMDLRDRFTEMREMDLQVQNAMDQLEQRVSEFFMNAKKNKPEWREE QMASIKKDYYKALEDADEKVQLANQIYDLVDRHLRKLDQELAKFKMELEADNAGITEILE RRSLELDAPSQPVNNHHAHSHTPVETADHIPEKKFKSEALLSTLTSDASKENTLGCRNNN STASCNNAYNVNSSQPLASYNIGSLSSGAGAGAITMAAAQAVQATAQMKEGRRTSSLKAS YEAFKNNDFQLGKEFSIPRETAGYSSSSALMTTLTQNASSSATDSRSGRKSKNNTKSSSQ QSSSSSSSSSSSSLSLCSSSSTVVQEVSQQATVVPESDSNSQVDWTYDPNEPRYCICNQV SYGEMVGCDNQDCPIEWFHYGCVGLTEAPKGKWFCPQCTAAMKRRGSRHK >ENSMUSP00000120651.1 pep:known chromosome:GRCm38:6:21949670:21970064:1 gene:ENSMUSG00000029670.12 transcript:ENSMUST00000151473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing3 description:inhibitor of growth family, member 3 [Source:MGI Symbol;Acc:MGI:1919027] MLYLEDYLENAMDQLEQRVSEFFMNAKKNKPEWREEQMASIKKDYYKALEDADEKVQLAN QIYDLVDRHLRKLDQELAKFKMELEADNAGITEILERRSLELDAPSQPVNNHHAHSHTPV EKRKYNPTSHHAAADHIPEKKFKSEALLSTLTSDASKENTLGCRNNNSTASCNNAYNVNS SQPLASYNIGSLSSGAGAGAITMAAAQAVQATAQMKEGRRTSSLKASYEAFKNNDFQLGK EFSIPRETAGYSSSSALMTTLTQN >ENSMUSP00000138244.1 pep:known chromosome:GRCm38:6:21949685:21973726:1 gene:ENSMUSG00000029670.12 transcript:ENSMUST00000152877.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ing3 description:inhibitor of growth family, member 3 [Source:MGI Symbol;Acc:MGI:1919027] MLYLEDYLEMIEQLPMDLRDRFTEMREMDLQVQNAMDQLEQRVSEFFMNAKKNKPEWREE QMASIKKDYYKALEDADEKVQLANQIYDLDLWN >ENSMUSP00000145391.1 pep:known chromosome:GRCm38:6:21950020:21967671:1 gene:ENSMUSG00000029670.12 transcript:ENSMUST00000149728.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing3 description:inhibitor of growth family, member 3 [Source:MGI Symbol;Acc:MGI:1919027] MDLRDRFTEMREMDLQVQNAMDQLEQRVSEFFMNAKKNKPEWREEQMASIKKDYYKALED ADEKVQLANQIYDLVDRHLRKLDQELAKFKMELEADNAGITEILERRSLELDAPSQPVNN H >ENSMUSP00000001339.5 pep:known chromosome:GRCm38:1:186720978:186749358:-1 gene:ENSMUSG00000001305.5 transcript:ENSMUST00000001339.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrp15 description:ribosomal RNA processing 15 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914473] MAAAVQDSRVSPGEILKRSPKKKKKMKMVAKAAASKLEDEVKDSSDGEGSCDSEMDHSDD GAAEADSEDNVESCEEDNEDAAESSAGTNSGWADAMAKILNKKTPKSKATILTKNKELEK EKEKLKQERLEKRKQLDKKREWEMLCRVKPDVVKDKEAERNLQRIATRGVVQLFNAVQKH QRNVGEKVKEAGGSVRKRAKLMSTVSKKDFISVLRGMDGTSRNSPAGKSPKARQTEVKSE ESPGWKILRDDFMMGASMKDWDKESEGEEPAGGRAEAAASR >ENSMUSP00000130843.1 pep:known chromosome:GRCm38:2:86074742:86075674:1 gene:ENSMUSG00000099820.1 transcript:ENSMUST00000164985.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1036 description:olfactory receptor 1036 [Source:MGI Symbol;Acc:MGI:3030870] MPNFTDVTEFLLVGLTRRQELRVLFFVVFLVVYMVTLLGNIGMIILISISLQLQSPMYFF LSHLSFVDVLFSSNVTPKMLENLLSESKTISYVGCLVQCYFFIALVLVEVFILAVMAFDR YVAICNPLLYSSKMSRVVCIRLISVPYVYGFSVSLICTLWTYGLYFCGNVKINHFYCADP PLIKIACGGVHIKEYTMIVIAGINFTYSLSVVLISYVLIVVAVLRMHSADGRRKAFSTCG SHLTAVSMFYGTLIFMYLRRPTEESVEQGKMVAVFYTSVIPMLNPMIYSLRNKDVKEAVC KIVAKANLRK >ENSMUSP00000032133.3 pep:known chromosome:GRCm38:6:87778072:87779768:1 gene:ENSMUSG00000030054.4 transcript:ENSMUST00000032133.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gp9 description:glycoprotein 9 (platelet) [Source:MGI Symbol;Acc:MGI:1860137] MTTWGLLFLLWPATTDTQACPRPCTCQSLETMGLKVNCEGQGLTALPVIPAHTRQLLLAN NSLRSVPPGAFDHLPQLWDLDVTHNPWHCDCSLTYLRLWLEDHMPEALMHVYCASPDLAT RRPLGQLTGYELGSCGWKLPPSWAYPGVWWDVSLVAVAVLGLILLAGLLNTFTESRN >ENSMUSP00000097495.2 pep:known chromosome:GRCm38:2:86084810:86085775:-1 gene:ENSMUSG00000075205.4 transcript:ENSMUST00000099911.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1037 description:olfactory receptor 1037 [Source:MGI Symbol;Acc:MGI:3030871] MAGSNATGVTEFILLGFAVQREVEIILFLLILVVYSLTLVGNVGMISLIRMDSRLHTPMY FFLSNLAFVDLCYSSSVAPKFLETLLSNRRSISFYACATQLGFFLNFLISEMFLLAVMAY DRYVAICNPLLYMVVMSQKVCLRLVMGPYFYSFAVALLHTVVTFKLIYCGPNIINHFYCD DVPLMALACSDTSLKEILIFIFAGFNMISSLTTVLISYLYIVAAILRIQSTEGRCKAFST CASHLTAVTIFYGTLIFMYLQPKSSHSLDTDKMASVFYTIVIPMLNPMIYSLRNQEVKSA LRKALEKCYLLPLMHLKKGIS >ENSMUSP00000135716.1 pep:known chromosome:GRCm38:9:35908442:35909535:1 gene:ENSMUSG00000078934.4 transcript:ENSMUST00000176153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9230113P08Rik description:RIKEN cDNA 9230113P08 gene [Source:MGI Symbol;Acc:MGI:1925158] MFQKLLLSVFIILLMDVGERVLTFNLLRHCNLCSHYDGFKCRNGMKSCWKFDLWTQNRTC TTENYYYYDRFTGLYLFRYAKLNCKPCAPGMYQMFHDLLRETFCCIDRNYCNDGTANLDT SSILIEDMNQKKELNDD >ENSMUSP00000030475.1 pep:known chromosome:GRCm38:4:116032842:116053876:-1 gene:ENSMUSG00000028706.14 transcript:ENSMUST00000030475.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun4 description:NOL1/NOP2/Sun domain family, member 4 [Source:MGI Symbol;Acc:MGI:1919431] MAAPVLRCVRKLLKLVDFTPVPRRYRYKKKWATTEPQFTASRLALQNFDMTYSVQFGDLW PSIRVSLLSEQKYGALVNNFAAWDSVSAKLEQLSAKDFVSEAISHQKLEPESGLSPTPSL DCSPNLRCFTFSRGDVSRFPPARLGSLGLMDYYLMDAASLLPVLALGLQHGDTVLDLCAA PGGKTLALLQTGCCRNLAANDLSTSRTGRLQKVLHSYVPQDIREGNQVRVTSWDGRKWGE LEGDTYDRVLVDVPCTTDRHSLHEEENNIFQRSRKKERQMLPMLQVQLLAAGLLATKPGG HVVYSTCSLSHLQNEYVVQGAIELLANQYNIKVQVEDLSHFRKLFMDTFCFFPSCQVGEL VIPNLMVNFGPMYFCKLHRLP >ENSMUSP00000030474.6 pep:known chromosome:GRCm38:4:116033005:116048490:-1 gene:ENSMUSG00000028706.14 transcript:ENSMUST00000030474.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nsun4 description:NOL1/NOP2/Sun domain family, member 4 [Source:MGI Symbol;Acc:MGI:1919431] LEQLSAKDFVSEAISHQKLEPESGLSPTPSLDCSPNLRCFTFSRGDVSRFPPARFFP >ENSMUSP00000130430.1 pep:known chromosome:GRCm38:4:116033907:116053380:-1 gene:ENSMUSG00000028706.14 transcript:ENSMUST00000165493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun4 description:NOL1/NOP2/Sun domain family, member 4 [Source:MGI Symbol;Acc:MGI:1919431] MNSSPPFLLKISAPSTSPQADCPNNYSFPPESPSSCRKGFTPVLTLEVPVAPGKDFNDHL SCNAGLSPNAGNRFTNPPYSREPFSCLTISSPCLPRRIPTPPPPPPVLSSPPPPERCPFE PFSPLLGRLYRQEPAGSSSPCFDRFSLQGSPSPHQRNLCCNYIDSPESQRSCPPSPRLCY VTSPPLIHQAPRASPVTSPELTHITLETGPVISTPLMPGSQGNYSIISPLLTHRPLRPGL AISPPLAHRSVETRPLTPASISHRGPHCPSRRSYNDPPLSSASSPPSGNPYHDNPMPPNS CEPKPQLDVPLGKNGCGPPLSSQAGMSGSPISPQEGCIHYSHLCPDSQISAPRSPFCVIN LPPESAGSPSSSLPQALQKPCVGSFLWEPGGNSYLLLTPGTIISGPSCTTGPPLPQCPNP SPYFPSPLNNQCVAPPQSPRGYNEPRPPTSAPPQMKSPKSPESRRNPYKCRSLDNTPHHT PPSHSKSHKTNTCPQPPSQSFGLFSPCMEPAITTTSNSCPKEPPPETAVLKTVAPTSCPH SSPCNPALPSRYPKSSPHVPPPVSPCNTHMYSVVPPTSHLSPLSSPLNQSIPLPQPAVLP CGTYSAPRGPPSHIKSVAPPCSTHIYSFIPLRTPFDPRCLPVVPRARFCPTTVPCGIHTY AVTSPVPLNNPSQIPYSCSLPPSKTSSTCSTSVSSTIVCSDYQSSDSQINHQNKSQSPNK NSSLHNQSKSPLRRGAFQSRSRSRSSSPLQSSTQDRNESTNMGVKHHKRSRKQSQSPADG KIESQSKSLQHRKSVGQIKSPHSKKK >ENSMUSP00000035058.5 pep:known chromosome:GRCm38:9:110243783:110262575:1 gene:ENSMUSG00000032482.9 transcript:ENSMUST00000035058.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspg5 description:chondroitin sulfate proteoglycan 5 [Source:MGI Symbol;Acc:MGI:1352747] MGRAGGGGPDWGPPPVLLLLGVTLVLTAGAVPARETGSAIEAEELVRSSLAWESRANDTR EEAGLPAAGEDETSWTERGSEMAAVGPGVGPEEALEASAAVTGTAWLEADGPGLGGVTAE AGSGDAQTLPATLQAPDEALGSSTMPPAIPEATETSGPPSPAVHDKPSVGPELPKEIPLE VRLNLGGSTPEPTFPLQGTLETQPASDIIDIDYFEGLDSEGRGADMGSFPGSPGTSENHP DTEGETPSWSLLDLYDDFTPFDESDFYPTTSFYDDLEEEEEEEEDKDTVGGGDLEDENDL LLPSQKPGVGPGTGQPTNRWHAVPPQHTLGMVPGSSISLRPRPGDPGKDLASGENGTECR VGFVRHNGSCRSVCDLFPSYCHNGGQCYLVENIGAFCRCNTQDYIWHKGMRCESIITDFQ VMCVAVGSAALVLLLLFMMTVFFAKKLYLLKTENTKLRRTNKFRTPSELHNDNFSLSTIA EGSHPNVRKFCDTPRVSSPHARALAHYDNIVCQDDPSAPHKIQDPLKSRLKEEESFNIQN SMSPKLEGGKGDQDDLGVNCLQNNLT >ENSMUSP00000143164.1 pep:known chromosome:GRCm38:9:110243783:110262576:1 gene:ENSMUSG00000032482.9 transcript:ENSMUST00000196060.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspg5 description:chondroitin sulfate proteoglycan 5 [Source:MGI Symbol;Acc:MGI:1352747] MGRAGGGGPDWGPPPVLLLLGVTLVLTAGAVPARETGSAIEAEELVRSSLAWESRANDTR EEAGLPAAGEDETSWTERGSEMAAVGPGVGPEEALEASAAVTGTAWLEADGPGLGGVTAE AGSGDAQTLPATLQAPDEALGSSTMPPAIPEATETSGPPSPAVHDKPSVGPELPKEIPLE VRLNLGGSTPEPTFPLQGTLETQPASDIIDIDYFEGLDSEGRGADMGSFPGSPGTSENHP DTEGETPSWSLLDLYDDFTPFDESDFYPTTSFYDDLEEEEEEEEDKDTVGGGDLEDENDL LLPSQKPGVGPGTGQPTNRWHAVPPQHTLGMVPGSSISLRPRPGDPGKDLASGENGTECR VGFVRHNGSCRSVCDLFPSYCHNGGQCYLVENIGAFCRCNTQDYIWHKGMRCESIITDFQ VMCVAVGSAALVLLLLFMMTVFFAKKLYLLKTENTKLRRTNKFRTPSELHNDNFSLSTIA EGSHPNDDPSAPHKIQDPLKSRLKEEESFNIQNSMSPKLEGGKGDQDDLGVNCLQNNLT >ENSMUSP00000143005.1 pep:known chromosome:GRCm38:9:110245531:110262563:1 gene:ENSMUSG00000032482.9 transcript:ENSMUST00000197850.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspg5 description:chondroitin sulfate proteoglycan 5 [Source:MGI Symbol;Acc:MGI:1352747] MGRAGGGGPDWGPPPVLLLLGVTLVLTAGAVPARETGSAIEAEELVRSSLAWESRANDTR EEAGLPAAGEDETSWTERGSEMAAVGPGVGPEEALEASAAVTGTAWLEADGPGLGGVTAE AGSGDAQTLPATLQAPDEALGSSTMPPAIPEATETSGPPSPAVHDKPSVGPELPKEIPLE VRLNLGGSTPEPTFPLQGTLETQPASDIIDIDYFEGLDSEGRGADMGSFPGSPGTSENHP DTEGETPSWSLLDLYDDFTPFDESDFYPTTSFYDDLEEEEEEEEDKDTVGGGDLEDENDL LLPSQKPGVGPGTGQPTNRWHAVPPQHTLGMVPGSSISLRPRPGDPGKDLASGENGTECR VGFVRHNGSCRSVCDLFPSYCHNGGQCYLVENIGAFCRCNTQDYIWHKGMRCESIITDFQ VMCVAVGSAALVLLLLFMMTVFFAKKLYLLKTENTKLRRTNKFRTPSELHNDNFSLSTIA EGSHPNVRKFCDTPRVSSPHARALAHYDNIVCQVTYLPHISPFACLCPCLPLPPCPLALS QSRQSPNSFEDQLRATQWCRERCIDSLTV >ENSMUSP00000142845.1 pep:known chromosome:GRCm38:9:110246065:110262575:1 gene:ENSMUSG00000032482.9 transcript:ENSMUST00000199736.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspg5 description:chondroitin sulfate proteoglycan 5 [Source:MGI Symbol;Acc:MGI:1352747] MAAVGPGVGPEEALEASAAVTGTAWLEADGPGLGGVTAEAGSGDAQTLPATLQAPDEALG SSTMPPAIPEATETSGPPSPAVHDKPSVGPELPKEIPLEVRLNLGGSTPEPTFPLQGTLE TQPASDIIDIDYFEGLDSEGRGADMGSFPGSPGTSENHPDTEGETPSWSLLDLYDDFTPF DESDFYPTTSFYDDLEEEEEEEEDKDTVGGGDLEDENDLLLPSQKPGVGPGTGQPTNRWH AVPPQHTLGMVPGSSISLRPRPGDPGKDLASGENGTECRVGFVRHNGSCRSVCDLFPSYC HNGGQCYLVENIGAFCRCNTQDYIWHKGMRCESIITDFQVMCVAVGSAALVLLLLFMMTV FFAKKLYLLKTENTKLRRTNKFRTPSELHNDNFSLSTIAEGSHPNDDPSAPHKIQDPLKS RLKEEESFNIQNSMSPKLEGGKGDQDDLGVNCLQNNLT >ENSMUSP00000027966.7 pep:known chromosome:GRCm38:1:170958617:170976127:-1 gene:ENSMUSG00000026656.15 transcript:ENSMUST00000027966.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgr2b description:Fc receptor, IgG, low affinity IIb [Source:MGI Symbol;Acc:MGI:95499] MGILPFLLIPMESNWTVHVFSRTLCHMLLWTAVLNLAAGTHDLPKAVVKLEPPWIQVLKE DTVTLTCEGTHNPGNSSTQWFHNGRSIRSQVQASYTFKATVNDSGEYRCQMEQTRLSDPV DLGVISDWLLLQTPQLVFLEGETITLRCHSWRNKLLNRISFFHNEKSVRYHHYSSNFSIP KANHSHSGDYYCKGSLGRTLHQSKPVTITVQGPKSSRSLPVLTIVAAVTGIAVAAIVIIL VSLVYLKKKQVPALPGNPDHREMGETLPEEVGEYRQPSGGSVPVSPGPPSGLEPTSSSPY NPPDLEEAAKTEAENTITYSLLKHPEALDEETEHDYQNHI >ENSMUSP00000079882.5 pep:known chromosome:GRCm38:1:170960558:170976127:-1 gene:ENSMUSG00000026656.15 transcript:ENSMUST00000081103.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgr2b description:Fc receptor, IgG, low affinity IIb [Source:MGI Symbol;Acc:MGI:95499] MGILPFLLIPMESNWTVHVFSRTLCHMLLWTAVLNLAAGTHDLPKAVVKLEPPWIQVLKE DTVTLTCEGTHNPGNSSTQWFHNGRSIRSQVQASYTFKATVNDSGEYRCQMEQTRLSDPV DLGVISDWLLLQTPQLVFLEGETITLRCHSWRNKLLNRISFFHNEKSVRYHHYSSNFSIP KANHSHSGDYYCKGSLGRTLHQSKPVTITVQGPKSSRSLPVLTIVAAVTGIAVAAIVIIL VSLVYLKKKQVPDNPPDLEEAAKTEAENTITYSLLKHPEALDEETEHDYQNHI >ENSMUSP00000137669.1 pep:known chromosome:GRCm38:1:170960769:170976547:-1 gene:ENSMUSG00000026656.15 transcript:ENSMUST00000159969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgr2b description:Fc receptor, IgG, low affinity IIb [Source:MGI Symbol;Acc:MGI:95499] MGILPFLLIPMESNWTVHVFSRTLCHMLLWTAVLNLAAGTHDLPKAVVKLEPPWIQVLKE DTVTLTCEGTHNPGNSSTQWFHNGRSIRSQVQASYTFKATVNDSGEYRCQMEQTRLSDPV DLGVISDWLLLQTPQLVFLEGETITLRCHSWRNKLLNRISFFHNEKSVRYHHYSSNFSIP KANHSHSGDYYCKGSLGRTLHQSKPVTITVQGPKSSRSLPVLTIVAAVTGIAVAAIVIIL VSLVYLKKKQVPALPGNPDHREMGETLPEEVGEYRQPSGGSVPVSPGPPSGLEPTSSSPY NPPDLEEAAKTEAENTITYSLLKHPEALDEETEHDYQNHI >ENSMUSP00000123774.1 pep:known chromosome:GRCm38:1:170960993:170976127:-1 gene:ENSMUSG00000026656.15 transcript:ENSMUST00000159688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgr2b description:Fc receptor, IgG, low affinity IIb [Source:MGI Symbol;Acc:MGI:95499] MGILPFLLIPMESNWTVHVFSRTLCHMLLWTAVLNLAAGTHDLPKAVVKLEPPWIQVLKE DTVTLTCEGTHNPGNSSTQWFHNGRSIRSQVQASYTFKATVNDSGEYRCQMEQTRLSDPV DLGVISDWLLLQTPQLVFLEGETITLRCHSWRNKLLNRISFFHNEKSVRYHHYSSNFSIP KANHSHSGDYYCKGSLGRTLHQSKPVTITVQGPKSSRSLPVLTIVAAVTGIAVAAIVIIL VSLVYLKKKQVPALPGNPDHREMGETLPEEVDNPPDLEEAAKTEAENTITYSLLKHPEAL DEETEHDYQNHI >ENSMUSP00000099976.1 pep:known chromosome:GRCm38:18:56761716:56925548:-1 gene:ENSMUSG00000032656.14 transcript:ENSMUST00000102912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March3 description:membrane-associated ring finger (C3HC4) 3 [Source:MGI Symbol;Acc:MGI:2443667] MTTSRCSHLPEVLPDCTSSAAPVVKTVEDCGSLVNGQPQYVMQVSAKDGQLLSTVVRTLA TQSPFNDRPMCRICHEGSSQEDLLSPCECTGTLGTIHRSCLEHWLSSSNTSYCELCHFRF AVERKPRPLVEWLRNPGPQHEKRTLFGDMVCFLFITPLATISGWLCLRGAVDHLHFSSRL EAVGLIALTVALFTIYLFWTLRRYGHQSKPFWNQSSRS >ENSMUSP00000047946.6 pep:known chromosome:GRCm38:18:56775852:56812019:-1 gene:ENSMUSG00000032656.14 transcript:ENSMUST00000035278.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March3 description:membrane-associated ring finger (C3HC4) 3 [Source:MGI Symbol;Acc:MGI:2443667] MTTSRCSHLPEVLPDCTSSAAPVVKTVEDCGSLVNGQPQYVMQVSAKDGQLLSTVVRTLA TQSPFNDRPMCRICHEGSSQEDLLSPCECTGTLGTIHRSCLEHWLSSSNTSYCELCHFRF AVERKPRPLVEWLRNPGPQHEKRTLFGDMVCFLFITPLATISGWLCLRGAVDHLHFSSRL EAVGLIALTVALFTIYLFWTLVSFRYHCRLYNEWRRTNQRVILLIPKSVNVPSNQQSLLG LHSVKRNSKETIV >ENSMUSP00000035261.1 pep:known chromosome:GRCm38:1:186967416:186970627:1 gene:ENSMUSG00000039224.1 transcript:ENSMUST00000045108.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D1Pas1 description:DNA segment, Chr 1, Pasteur Institute 1 [Source:MGI Symbol;Acc:MGI:91842] MSHVAEEDELGLDQQLAGLDLTSRDSQSGGSTASKGRYIPPHLRNREAAKAFYDKDGSRW SKDKDAYSSFGSRSDTRAKSSFFSDRGGSGSRGRFDERGRSDYESVGSRGGRSGFGKFER GGNSRWCDKADEDDWSKPLPPSERLEQELFSGGNTGINFEKYDDIPVEATGNNCPPHIES FSDVEMGEIIMGNIELTRYTRPTPVQKHAIPIIKEKRDLMACAQTGSGKTAAFLLPILSQ IYTDGPGEALRAMKENGKYGRRKQYPISLVLAPTRELAVQIYEEARKFSYRSRVRPCVVY GGADIGQQIRDLERGCHLLVATPGRLVDMMERGKIGLDFCKYLVLDEADRMLDMGFEPQI RRIVEQDTMPPKGVRHTMMFSATFPKEIQMLARDFLDEYIFLAVGRVGSTSENITQKVVW VEEADKRSFLLDLLNATGKDSLILVFVETKKGADSLEDFLYHEGYACTSIHGDRSQRDRE EALHQFRSGKSPILVATAVAARGLDISNVKHVINFDLPSDIEEYVHRIGRTGRVGNLGLA TSFFNERNINITKDLLDLLVEAKQEVPSWLENMAFEHHYKGGSRGRSKSRFSGGFGARDY RQSSGASSSSFSSGRASNSRSGGGSHGSSRGFGGGSYGGFYNSDGYGGNYSSQGVDWWGN >ENSMUSP00000060997.3 pep:known chromosome:GRCm38:8:21946762:21948850:1 gene:ENSMUSG00000044222.3 transcript:ENSMUST00000060587.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb13 description:defensin beta 13 [Source:MGI Symbol;Acc:MGI:2179203] MRIFSLIVAGLVLLIQLYPAWGTLYRRFLCKKMNGQCEAECFTFEQKIGTCQANFLCCRK RKEH >ENSMUSP00000105716.2 pep:known chromosome:GRCm38:2:136873780:136891389:-1 gene:ENSMUSG00000027274.16 transcript:ENSMUST00000110089.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkks description:McKusick-Kaufman syndrome [Source:MGI Symbol;Acc:MGI:1891836] MSRLEAKKPSLCKTEPLTSEKVRSTLSVLKGVIASCYGPSGRLKQLHNGLGGCVYTTSQS SALLRNLSVTHPVLKILTSSVQNHVSCFSDCGLFTAILCCNLIENIQRLDLTPATAIKLN KYLLSLCTSYLKSEACSCRIPVDFRSTHTFLSLVHSILTSKPACMLTRKETDHIGALILK AFLLTIPESTEERMVLGKSIIVPLKGQRVTDSTVLPGLLIEASEVQLRRLLPTQKASGLR VALFCTSLSGDFSNAGEGVVVAHYQVSLENAVLEQLLNLGRRLVTDHVDLVLCQKVIHPS LKQFFSERHVMAIDRVGVTLMESLSKVTGATPIGSLNPIVSTTYGSVKDVCSARFGSKHF FHLLPNEATVCTLLLCSRNDTAWEELKLTCQTAMHVLQLTIKEPWVLLGGGCTETHLAAY VRHKVHHEAEAIVRDDGCTQAKLHVAAEAFCSALESVAGSLEHDGGEILIDTKYGHLWSC QADSASVGNWSDTLSRCGCGLYNSQEELSWSVLRSTYHPFAPQTCLPQAALGSASNLTVD CFTAKLSGLQVAVETANLILDLSYVIEDKN >ENSMUSP00000028730.6 pep:known chromosome:GRCm38:2:136873780:136891382:-1 gene:ENSMUSG00000027274.16 transcript:ENSMUST00000028730.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkks description:McKusick-Kaufman syndrome [Source:MGI Symbol;Acc:MGI:1891836] MSRLEAKKPSLCKTEPLTSEKVRSTLSVLKGVIASCYGPSGRLKQLHNGLGGCVYTTSQS SALLRNLSVTHPVLKILTSSVQNHVSCFSDCGLFTAILCCNLIENIQRLDLTPATAIKLN KYLLSLCTSYLKSEACSCRIPVDFRSTHTFLSLVHSILTSKPACMLTRKETDHIGALILK AFLLTIPESTEERMVLGKSIIVPLKGQRVTDSTVLPGLLIEASEVQLRRLLPTQKASGLR VALFCTSLSGDFSNAGEGVVVAHYQVSLENAVLEQLLNLGRRLVTDHVDLVLCQKVIHPS LKQFFSERHVMAIDRVGVTLMESLSKVTGATPIGSLNPIVSTTYGSVKDVCSARFGSKHF FHLLPNEATVCTLLLCSRNDTAWEELKLTCQTAMHVLQLTIKEPWVLLGGGCTETHLAAY VRHKVHHEAEAIVRDDGCTQAKLHVAAEAFCSALESVAGSLEHDGGEILIDTKYGHLWSC QADSASVGNWSDTLSRCGCGLYNSQEELSWSVLRSTYHPFAPQTCLPQAALGSASNLTVD CFTAKLSGLQVAVETANLILDLSYVIEDKN >ENSMUSP00000121494.1 pep:known chromosome:GRCm38:2:136881219:136891154:-1 gene:ENSMUSG00000027274.16 transcript:ENSMUST00000144275.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkks description:McKusick-Kaufman syndrome [Source:MGI Symbol;Acc:MGI:1891836] MSRLEA >ENSMUSP00000087096.1 pep:known chromosome:GRCm38:6:78375874:78378865:-1 gene:ENSMUSG00000068341.8 transcript:ENSMUST00000089667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg3d description:regenerating islet-derived 3 delta [Source:MGI Symbol;Acc:MGI:1353426] MVSHKTLHSMSWMLLCCLMSLSWVQGEQSQKKLSSPRISCPQEAQAYGSYCYLLILEPQT WANAEIHCQKHFSGHLAFLLTYGEIIFVSSLVKNSLTTFPYIWIGLHDLSLGSLPNENGW KWSSSDPLTFYNWEIPPSMSAHHGYCAALSQASGYQKWRDYYCDTIFPYVCKFKG >ENSMUSP00000144715.1 pep:known chromosome:GRCm38:6:78375924:78378513:-1 gene:ENSMUSG00000068341.8 transcript:ENSMUST00000205240.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg3d description:regenerating islet-derived 3 delta [Source:MGI Symbol;Acc:MGI:1353426] MVSHKTLHSMSWMLLCCLMSLSWVQGEQSQKKLSSPRISCPQEAQAYGSYCYLLILEPQT WANAEGSLPNENGWKWSSSDPLTFYNWEIPPSMSAHHGYCAALSQASGYQKWRDYYCDTI FPYVCKFKG >ENSMUSP00000132220.1 pep:known chromosome:GRCm38:6:78375874:78378865:-1 gene:ENSMUSG00000068341.8 transcript:ENSMUST00000167492.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg3d description:regenerating islet-derived 3 delta [Source:MGI Symbol;Acc:MGI:1353426] MVSHKTLHSMSWMLLCCLMSLSWVQGEQSQKKLSSPRISCPQEAQAYGSYCYLLILEPQT WANAEGSLPNENGWKWSSSDPLTFYNWEIPPSMSAHHGYCAALSQASGYQKWRDYYCDTI FPYVCKFKG >ENSMUSP00000028648.2 pep:known chromosome:GRCm38:2:92915098:92956058:1 gene:ENSMUSG00000027220.2 transcript:ENSMUST00000028648.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt13 description:synaptotagmin XIII [Source:MGI Symbol;Acc:MGI:1933945] MVLSVPVIALGATLGTATSILALCGVTCLCRHMHPKKGLLPRDREPDPEKARPGVLQAAQ QFNIKKSTEPVQPRPLLKFPDIYGPRPAVTAPEVINYADYTLETTEESAAPASPQAQSDS RLKRQVTEELSIRPQNGVVEDVCVMETWNPEKAASWNQAPKLHFRLDYDQKKAELFVTSL EAVTSDHEGGCDCYIQGSVAVKTGSVEAQTALKKRQLHTTWEEGLALPLGEEELPTATLT LTLRTCDRFSRHSVIGELRLGLDGASVPLGAAQWGELKTTAKEPSAGAGEVLLSISYLPA ANRLLVVLIKAKNLHSNQSKELLGKDVSVKVTLKHQAQKLKKKQTKRAKHKINPVWNEMI MFELPDDLLRASSVELEVLGQGEEGPSCELGHCSLGLHASGSERSHWEEMLKNPRRQIAM WHQLHL >ENSMUSP00000146742.1 pep:known chromosome:GRCm38:2:181657034:181659467:1 gene:ENSMUSG00000108976.1 transcript:ENSMUST00000207856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-138J20.8 MVRPKLAFYILPLLLAFLGSALGWPGSQSCSVQEVLRHYQAVIFQDLQTAMQWAGLGVQH TQPGSRHHRFIQKNLTGAGGGQGQPGTSCDAQKESSILLSIESLGQTLLGSVAGVPHNAL EKAAWTVAVRTEAVMRRHCGTSYRIQQPRKHAVQLRNSRRRLLLRALYAVATCWEKLFAL SAMATGEF >ENSMUSP00000137247.1 pep:known chromosome:GRCm38:11:70540064:70541183:1 gene:ENSMUSG00000020828.13 transcript:ENSMUST00000157075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld2 description:phospholipase D2 [Source:MGI Symbol;Acc:MGI:892877] MTVTQKNLFPYGDYLNSSQLHMEPDEVDTLREGEDPADRMHPYLAIYDLQPLKAHPLVFA PGVPVIAQVVGTERYT >ENSMUSP00000018429.5 pep:known chromosome:GRCm38:11:70540306:70558110:1 gene:ENSMUSG00000020828.13 transcript:ENSMUST00000018429.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld2 description:phospholipase D2 [Source:MGI Symbol;Acc:MGI:892877] MTVTQKNLFPYGDYLNSSQLHMEPDEVDTLREGEDPADRMHPYLAIYDLQPLKAHPLVFA PGVPVIAQVVGTERYTSGSKVGTCTLYSVRLTHGDFTWTTKKKFRHFQELHRDLQRHKVL MSLLPLARFAVTHSPAREAAAEDIPSLPRGGSEGSARHTASKQKYLENYLNRLLTMSFYR NYHAMTEFLEVSQLSFIPDLGSKGLEGVIRKRSGGHRVPGFTFCGRDQVCYRWSKRWLVV KDSFLLYMRPETGAISFVQLFDPGFEVQVGKRSTETRYGVRIDTSHRSLILKCSSYRQAR WWGQEITELAQGSGRDFLQLHQHDSYAPPRPGTLARWFVNGAGYFAAVADAILRAQEEIF ITDWWLSPEIYLKRPAHSDDWRLDIMLKRKAEEGVRVSILLFKEVELALGINSGYSKRTL MLLHPNIKVMRHPDLVTLWAHHEKLLVVDQVVAFLGGLDLAFGRWDDVQYRLTDLGDPSE PVHLQTPTLGSDPAATPDLSHNQFFWLGKDYSNLITKDWVQLDRPFEDFIDRETTPRMPW RDVGVVVHGVAARDLARHFIQRWNFTKTTKARYKTPLYPYLLPKSTSTANNLPFMIPGGQ CATVQVLRSVDRWSAGTLENSILNAYLHTIRESQHFLYIENQFFISCSDGRTVLNKVGDE IVDRILKAHEQGQCFRVYLLLPLLPGFEGDISTGGGNSIQAILHFTYRTLCRGEHSILHR LKAAMGTAWRDYMSICGLRTHGELGGHPISELIYIHSKMLIADDRTVIIGSANINDRSLL GKRDSELAILIKDTEMEPSLMDGVEYQAGRFALSLRKHCFSVILGANTWPDLDLRDPVCD DFFQLWQETAENNATIYEQIFRCLPSNATRSLRALREYVAVESLATVSPSLAQSELAHIQ GHLVHFPLKFLEDESLLPPLGSKEGMIPLEVWT >ENSMUSP00000104197.3 pep:known chromosome:GRCm38:11:70540343:70558110:1 gene:ENSMUSG00000020828.13 transcript:ENSMUST00000108557.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld2 description:phospholipase D2 [Source:MGI Symbol;Acc:MGI:892877] MTVTQKNLFPYGDYLNSSQLHMEPDEVDTLREGEDPADRMHPYLAIYDLQPLKAHPLVFA PGVPVIAQVVGTERYTSGSKVGTCTLYSVRLTHGDFTWTTKKKFRHFQELHRDLQRHKVL MSLLPLARFAVTHSPAREAAAEDIPSLPRGGSEGSARHTASKQKYLENYLNRLLTMSFYR NYHAMTEFLEVSQLSFIPDLGSKGLEGVIRKRSGGHRVPGFTFCGRDQVCYRWSKRWLVV KDSFLLYMRPETGAISFVQLFDPGFEVQVGKRSTETRYGVRIDTSHRSLILKCSSYRQAR WWGQEITELAQGSGRDFLQLHQHDSYAPPRPGTLARWFVNGAGYFAAVADAILRAQEEIF ITDWWLSPEIYLKRPAHSDDWRLDIMLKRKAEEGVRVSILLFKEVELALGINSGYSKRTL MLLHPNIKVMRHPDLVTLWAHHEKLLVVDQVVAFLGGLDLAFGRWDDVQYRLTDLGDPSE PVHLQTPTLGSDPAATPDLSHNQFFWLGKDYSNLITKDWVQLDRPFEDFIDRETTPRMPW RDVGVVVHGVAARDLARHFIQRWNFTKTTKARYKTPLYPYLLPKSTSTANNLPFMIPGGQ CATVQVLRSVDRWSAGTLENSILNAYLHTIRESQHFLYIENQFFISCSDGRTVLNKVGDE IVDRILKAHEQGQCFRVYLLLPLLPGFEGDISTGGGNSIQAILHFTYSLCLLHPFFSLRT LCRGEHSILHRLKAAMGTAWRDYMSICGLRTHGELGGHPISELIYIHSKMLIADDRTVII GSANINDRSLLGKRDSELAILIKDTEMEPSLMDGVEYQAGRFALSLRKHCFSVILGANTW PDLDLRDPVCDDFFQLWQETAENNATIYEQIFRCLPSNATRSLRALREYVAVESLATVSP SLAQSELAHIQGHLVHFPLKFLEDESLLPPLGSKEGMIPLEVWT >ENSMUSP00000104196.1 pep:known chromosome:GRCm38:11:70540356:70546398:1 gene:ENSMUSG00000020828.13 transcript:ENSMUST00000108556.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld2 description:phospholipase D2 [Source:MGI Symbol;Acc:MGI:892877] MTVTQKNLFPYGDYLNSSQLHMEPDEVDTLREGEDPADRMHPYLAIYDLQPLKAHPLVFA PGVPVIAQVVGTERYTSGSKVGTCTLYSVRLTHGDFTWTTKKKFRHFQELHRDLQRHKVL MSLLPLARFAVTHSPAREAAAEDIPSLPRGGSEGSARHTASKQKYLENYLNRLLTMSFYR NYHAMTEFLEVSQLSFIPDLGSKGLEGVIRKRSGGHRVPGFTFCGRDQVCYRWSKRWLVV KDSFLLYMRPETGAISFVQLFDPGFEVQVGKRSTETRYGVRIDTSHRSLILKCSSYRQAR WWGQEITELAQGSGRDFLQLHQHDSYAPPRPGTLARW >ENSMUSP00000136566.1 pep:known chromosome:GRCm38:11:70555638:70557227:1 gene:ENSMUSG00000020828.13 transcript:ENSMUST00000130678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld2 description:phospholipase D2 [Source:MGI Symbol;Acc:MGI:892877] XTHGELGGHPISELIYIHSKMLIADDRTVIIGSANINDRSLLGKRDSELAILIKDTEMEP SLMDGVEYQAGRFALSLRKHCFSVILGANTWPDLDLRDPVCDDFFQLWQETAENNATIYE QVEAVGTVSLRN >ENSMUSP00000145793.1 pep:known chromosome:GRCm38:7:127744543:127749714:1 gene:ENSMUSG00000030811.14 transcript:ENSMUST00000205689.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl19 description:F-box and leucine-rich repeat protein 19 [Source:MGI Symbol;Acc:MGI:3039600] MSSSSRGPGAGARRRRTRCRRCRACVRTECGDCHFCRDMKKFGGPGRMKQSCLLRQCTAP V >ENSMUSP00000140303.1 pep:known chromosome:GRCm38:7:127745201:127768927:1 gene:ENSMUSG00000030811.14 transcript:ENSMUST00000186207.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl19 description:F-box and leucine-rich repeat protein 19 [Source:MGI Symbol;Acc:MGI:3039600] MSSSSRGPGAGARRRRTRCRRCRACVRTECGDCHFCRDMKKFGGPGRMKQSCLLRQCTAP VLPHTAVCLLCGEAGKEDTVEGEDEKFSLSLMECTICNEIVHPGCLKMGKAEGVINSEIP NCWECPRCTQEGRTSKDAGEGPGRRRADNGEEGANLGGGWKLTEEPPPPPPLPRRKGPLP AGPTPDDVPGPPKRKEREGGNEPPTPRKKVKGGRERHLKKVGGDACLLRGADPGSPGLLP PRVLNPSQAFSSCHPGLPPENWEKPKPPIASAEGPAVPSPSPQREKLERFKRMCQLLERV PDTSSSSSDSDSDSDSSGTSLSEDEAPGEARNGRRPARGSSGEKENRGGRRAIRPGTGGP LLSWPLGPAPPPRPPQLERHVVRPPPRSPEPDTLPLAAGSDHPLPRAAWLRVFQHLGPRE LCVCMRVCRTWSRWCYDKRLWPRMDLSRRKSLTPPMLSGVVRRQPRALDLSWTGVSKKQL MWLLNRLQGLQELVLSGCSWLSVSALGSAPLPALRLLDLRWIEDVKDSQLRELLLPPPDT KPGQTESRGRLQGVAELRLAGLELTDASLRLLLRHAPQLSALDLSHCAHVGDPSVHLLTA PTSPLRETLVHLNLAGCHRLTDHCLPLFRRCPRLRRLDLRSCRQLSPEACARLAAAGPPG PFRCPEEKLLLKDS >ENSMUSP00000139972.1 pep:known chromosome:GRCm38:7:127745770:127749717:1 gene:ENSMUSG00000030811.14 transcript:ENSMUST00000189562.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl19 description:F-box and leucine-rich repeat protein 19 [Source:MGI Symbol;Acc:MGI:3039600] MSSSSRGPGAGARRRRTRCRRCRACVRTECGDCHFCRDMKKFGGPGRMKQSCLLRQCTAP VL >ENSMUSP00000140083.1 pep:known chromosome:GRCm38:7:127745786:127769476:1 gene:ENSMUSG00000030811.14 transcript:ENSMUST00000186116.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl19 description:F-box and leucine-rich repeat protein 19 [Source:MGI Symbol;Acc:MGI:3039600] MCQLLERVPDTSSSSSDSDSDSDSSGTSLSEDEAPGEARNGRRPARGSSGEKENRGGRRA IRPGTGGPLLSWPLGPAPPPRPPQLERHVVRPPPRSPEPDTLPLAAGSDHPLPRAAWLRV FQHLGPRELCVCMRVCRTWSRWCYDKRLWPRMDLSRRKSLTPPMLSGVVRRQPRALDLSW TGVSKKQLMWLLNRLQGLQELVLSGCSWLSVSALGSAPLPALRLLDLRWIEDVKDSQLRE LLLPPPDTKPGQTESRGRLQGVAELRLAGLELTDASLRLLLRHAPQLSALDLSHCAHVGD PSVHLLTAPTSPLRETLVHLNLAGCHRLTDHCLPLFRRCPRLRRLDLRSCRQLSPEACAR LAAAGPPGPFRCPEEKLLLKDS >ENSMUSP00000033081.7 pep:known chromosome:GRCm38:7:127746775:127768928:1 gene:ENSMUSG00000030811.14 transcript:ENSMUST00000033081.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl19 description:F-box and leucine-rich repeat protein 19 [Source:MGI Symbol;Acc:MGI:3039600] MSSSSRGPGAGARRRRTRCRRCRACVRTECGDCHFCRDMKKFGGPGRMKQSCLLRQCTAP VLPHTAVCLLCGEAGKEDTVEGEDEKFSLSLMECTICNEIVHPGCLKMGKAEGVINSEIP NCWECPRCTQEGRTSKDAGEGPGRRRADNGEEGANLGGGWKLTEEPPPPPPLPRRKGPLP AGPTPDDVPGPPKRKEREGGNEPPTPRKKVKGGRERHLKKVGGDACLLRGADPGSPGLLP PRVLNPSQAFSSCHPGLPPENWEKPKPPIASAEGPAVPSPSPQREKLERFKRMCQLLERV PDTSSSSSDSDSDSDSSGTSLSEDEAPGEARNGRRPARGSSGEKENRGGRRAIRPGTGGP LLSWPLGPAPPPRPPQLERHVVRPPPRSPEPDTLPLAAGSDHPLPRAAWLRVFQHLGPRE LCVCMRVCRTWSRWCYDKRLWPRMDLSRRKSLTPPMLSGVVRRQPRALDLSWTGVSKKQL MWLLNRLQGLQELVLSGCSWLSVSALGSAPLPALRLLDLRWIEDVKDSQLRELLLPPPDT KPGQTESRGRLQGVAELRLAGLELTDASLRLLLRHAPQLSALDLSHCAHVGDPSVHLLTA PTSPLRETLVHLNLAGCHRLTDHCLPLFRRCPRLRRLDLRSCRQLSPEACARLAAAGPPG PFRCPEEKLLLKDS >ENSMUSP00000140021.1 pep:known chromosome:GRCm38:7:127748147:127768727:1 gene:ENSMUSG00000030811.14 transcript:ENSMUST00000188580.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl19 description:F-box and leucine-rich repeat protein 19 [Source:MGI Symbol;Acc:MGI:3039600] MSSSSRGPGAGARRRRTRCRRCRACVRTECGDCHFCRDMKKFGGPGRMKQSCLLRQCTAP VLPHTAVCLLCGEAGKEDTVEGEDEKFSLSLMECTICNEIVHPGCLKMGKAEGVINSEIP NCWECPRCTQEGRTSKVKGGRERHLKKKPKPPIASAEGPAVPSPSPQREKLERFKRMCQL LERVPDTSSSSSDSDSDSDSSGTSLSEDEAPGEARNGRRPARGSSGEKENRGGRRAIRPG TGGPLLSWPLGPAPPPRPPQLERHVVRPPPRSPEPDTLPLAAGSDHPLPRAAWLRVFQHL GPRELCVCMRVCRTWSRWCYDKRLWPRMDLSRRKSLTPPMLSGVVRRQPRALDLSWTGVS KKQLMWLLNRLQGLQELVLSGCSWLSVSALGSAPLPALRLLDLRWIEDVKDSQLRELLLP PPDTKPGQTESRGRLQGVAELRLAGLELTDASLRLLLRHAPQLSALDLSHCAHVGDPSVH LLTAPTSPLRETLVHLNLAGCHRLTDHCLPLFRRCPRLRRLDLRSCRQLSPEACARLAAA GPPGPFRCPEEKLLLKDS >ENSMUSP00000145616.1 pep:known chromosome:GRCm38:7:127748274:127769483:1 gene:ENSMUSG00000030811.14 transcript:ENSMUST00000206893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl19 description:F-box and leucine-rich repeat protein 19 [Source:MGI Symbol;Acc:MGI:3039600] MSSSSRGPGAGARRRRTRCRRCRACVRTECGDCHFCRDMKKFGGPGRMKQSCLLRQCTAP VLPHTAVCLLCGEAGKEDTVEGEDEKFSLSLMECTICNEIVHPGCLKMGKAEGVINSEIP NCWECPRCTQEGRTSKDAGEGPGRRRADNGEEGANLGGGWKLTEEPPPPPPLPRRKGPLP AGPTPDDVPGPPKRKEREGGNEPPTPRKKVKGGRERHLKKKPKPPIASAEGPAVPSPSPQ REKLERFKRMCQLLERVPDTSSSSSDSDSDSDSSGTSLSEDEAPGEARNGRRPARGSSGE KENRGGRRAIRPGTGGPLLSWPLGPAPPPRPPQLERHVVRPPPRSPEPDTLPLAAGSDHP LPRAAWLRVFQHLGPRELCVCMRVCRTWSRWCYDKRLWPRMDLSRRKSLTPPMLSGVVRR QPRALDLSWTGVSKKQLMWLLNRLQGLQELVLSGCSWLSVSALGSAPLPALRLLDLRWIE DVKDSQLRELLLPPPDTKPGQTESRGRLQGVAELRLAGLELTDASLRLLLRHAPQLSALD LSHCAHVGDPSVHLLTAPTSPLRETLVHLNLAGCHRLTDHCLPLFRRCPRLRRLDLRSCR QLSPEACARLAAAGPPGPFRCPEEKLLLKDS >ENSMUSP00000049355.4 pep:known chromosome:GRCm38:7:34201330:34230336:-1 gene:ENSMUSG00000036427.5 transcript:ENSMUST00000038027.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpi1 description:glucose phosphate isomerase 1 [Source:MGI Symbol;Acc:MGI:95797] MAALTRNPQFQKLLEWHRANSANLKLRELFEADPERFNNFSLNLNTNHGHILVDYSKNLV NKEVMQMLVELAKSRGVEAARDNMFSGSKINYTENRAVLHVALRNRSNTPIKVDGKDVMP EVNRVLDKMKSFCQRVRSGDWKGYTGKSITDIINIGIGGSDLGPLMVTEALKPYSKGGPR VWFVSNIDGTHIAKTLASLSPETSLFIIASKTFTTQETITNAETAKEWFLEAAKDPSAVA KHFVALSTNTAKVKEFGIDPQNMFEFWDWVGGRYSLWSAIGLSIALHVGFDHFEQLLSGA HWMDQHFLKTPLEKNAPVLLALLGIWYINCYGCETHALLPYDQYMHRFAAYFQQGDMESN GKYITKSGARVDHQTGPIVWGEPGTNGQHAFYQLIHQGTKMIPCDFLIPVQTQHPIRKGL HHKILLANFLAQTEALMKGKLPEEARKELQAAGKSPEDLEKLLPHKVFEGNRPTNSIVFT KLTPFILGALIAMYEHKIFVQGIMWDINSFDQWGVELGKQLAKKIEPELEGSSAVTSHDS STNGLISFIKQQRDTKLE >ENSMUSP00000145929.1 pep:known chromosome:GRCm38:7:34202206:34207898:-1 gene:ENSMUSG00000036427.5 transcript:ENSMUST00000205870.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpi1 description:glucose phosphate isomerase 1 [Source:MGI Symbol;Acc:MGI:95797] FDHFEQLLSGAHWMDQHFLKTPLEKNAPVLLALLGIWVTWSPTESTSPSPGPVWTTRQAP SCGGNQGPMVNMHSTSSSTKAPR >ENSMUSP00000145839.1 pep:known chromosome:GRCm38:7:34202383:34213436:-1 gene:ENSMUSG00000036427.5 transcript:ENSMUST00000205865.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpi1 description:glucose phosphate isomerase 1 [Source:MGI Symbol;Acc:MGI:95797] XWLRSQEPGSDKMGRWPLFAVVSHWTFHCSACRF >ENSMUSP00000146209.1 pep:known chromosome:GRCm38:7:34202407:34228997:-1 gene:ENSMUSG00000036427.5 transcript:ENSMUST00000205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpi1 description:glucose phosphate isomerase 1 [Source:MGI Symbol;Acc:MGI:95797] XAKSRGVEAARDNMFSGTNGQHAFYQLIHQGTKMIPCDFLIPVQTQHPIRKGLHHKILLA NFLAQTEALMKGKLPEEARKELQAAGKSPEDLEKLLPHKVFEGNRPTNSIVFTKLTPFIL GALIAMYEHKIFVQGIMWDINSFDQWGVELGKQLAKKIEPELEGSSAVTSHDSSTNGLIS FIKQQRDTKLE >ENSMUSP00000146273.1 pep:known chromosome:GRCm38:7:34202689:34230257:-1 gene:ENSMUSG00000036427.5 transcript:ENSMUST00000205983.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpi1 description:glucose phosphate isomerase 1 [Source:MGI Symbol;Acc:MGI:95797] MAALTRNPQFQKLLEWHRANSANLKLRELFEADPERFNNFSLNLNTNHGHILVDYSKNLV NKEVMQMLVELAKSRGVEAARDNMFSGSKINYTENRAVLHVALRNRPSTPYGKVCITRSS WLTSWPRLRP >ENSMUSP00000145891.1 pep:known chromosome:GRCm38:7:34204038:34230242:-1 gene:ENSMUSG00000036427.5 transcript:ENSMUST00000206415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpi1 description:glucose phosphate isomerase 1 [Source:MGI Symbol;Acc:MGI:95797] MAALTRNPQFQKLLEWHRANSANLKLRELFEADPERFNNFSLNLNTNHGHILVDYSKNLV NKEVMQMLVELAKSRGVEAARDNMFSGTKMIPCDFLIPVQTQHPIRKGLHHKILLANFLA QTEALMKGKLPEEARKELQAAGKSPEDLEKLL >ENSMUSP00000044138.6 pep:known chromosome:GRCm38:4:84884309:85131921:1 gene:ENSMUSG00000038070.15 transcript:ENSMUST00000047023.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntln description:centlein, centrosomal protein [Source:MGI Symbol;Acc:MGI:2443104] MAARSPSSSPPPPPVRRSSRRSLRVGRGAEVHAVRSEASGLAGAAREVVADKSDLLWRGE EGSGGRRGSGRAGAAVAPVASAPAGSWWPEGLSSEEAKATRSQLLEEELSSLKEELALCQ ADKEFVWSLWRRLQATNPDLTQTVSLVVEREKQKSEAKDRKVLEILQVKDSKIQELEQTE SVLKQELHDLVKLKTLVDEENAFLRKELCDLQKKFKDKSQEVKDAKECVQSKEEQNRLVI KNLEEENERLRTRCTDLLNDLEKLRNQEAHWRKEKHSVDTRVKVLEENLIEAKKEIESAQ TKYNVVSQQLNNKQAELLQKDMDITLIRKELQELQNVYKQNSAHTAQQADLIQQLQALNM DTQKVLRNQEDVHTAESMSYQKLYNELHMCFETTKSNEVMLRQSVVNLQGQLFQKEQENV KLKEKLEESQGTAPSLSPHDSDSSHSGKAPLSTLETLMISQKSEIEYLQKKLKVANEKLM ANRSCDQDFSEKGTEGKHKEPPVKRSRSLSPKSSFMGSEELRKLKKAERKIENLEKTLQL KSQENDELRDAHEKRKERLQMLHTNYRAVKEQLKQWEEDSGMAESRQMKRAEPHQLRQED SDAVWNELAYFKRENQELMVQKMTLQDELDELKMHMSIDKTTIQELNRCMAEKREEQLFR QHEDAEVKKSTPEKNEKAISEETLQKVIELENRLKSFEKNSRKLKEESKRLKKENDFLKS HLKHYQEDSEAREKELEQLLRVSKDVEHDKSELQTKITALETEVTTLRRQVTEAKALRGK DEEVVCPEERAHRPTDKAKSEMATTDVRARRCDCKTATTKVKFKAAKRKCSVGRHHTVLN HSIKVMSHVENLSKDGWEDVSEGSSDSETQTFQNLGTIIVETSQNISPIEDGRNQKEIDQ TEGSCAQQRAMQTYSCEDIKAPQSISHNKNTKKMTFQKKSGSLQKSLHSALPARVNREKC KNLPAQKSSSSTISLRERIVSLQQQNSLLQNARRAAEASAKEYKEANEKLLHQQQVSDHR FQTSRQTIKKLTLDLAELRKEKEDLLKKVESSSDIMSLAEEVSRIMAPQIKVTTLGPSRS MDLEMKQLQCKLKNATNELTKQSSNVKSLRMELLAKDDHIKEMHERTSRMERDITMKRHL IEDLKFRQKVNSESNESFNEMLETLEKKVKSLTEECSNKKVSVDSLKQRLNVAVKEKSQY EQMYQKTKEELEKKDLKMSVLISKLNDTETAMAQIETAASEQLQGLALQSEQVLEGAQKK LLSANEKIEEFTVFVKALVNELQSDVHGTRHQIRELKKMQKSRHACKTSTHKAQTLAASI LNISRSDLEEILDTEDELEIEKTKIDIENDKEWMLYIQKLLEGQLPFASYLLEAVLEKIK ENKKLTEGYFTVMKDTK >ENSMUSP00000102808.1 pep:known chromosome:GRCm38:4:85098104:85131918:1 gene:ENSMUSG00000038070.15 transcript:ENSMUST00000107190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntln description:centlein, centrosomal protein [Source:MGI Symbol;Acc:MGI:2443104] MKRHLIEDLKFRQKVNSESNESFNEMLETLEKKVKSLTEECSNKKVSVDSLKQRLNVAVK EKSQYEQMYQKTKEELEKKDLKMSVLISKLNDTETAMAQIETAASEQLQGLALQSEQVLE GAQKKLLSANEKIEEFTVFVKALVNELQSDVHGTRHQIRELKKMQKSRHACKTSTHKAQT LAASILNISRSDLEEILDTEDELEIEKTKIDIENDKEWMLYIQKLLEGQLPFASYLLEAV LEKIKENKKLTEGYFTVMKDTK >ENSMUSP00000099883.3 pep:known chromosome:GRCm38:4:84884404:84958508:1 gene:ENSMUSG00000038070.15 transcript:ENSMUST00000102819.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntln description:centlein, centrosomal protein [Source:MGI Symbol;Acc:MGI:2443104] MAARSPSSSPPPPPVRRSSRRSLRVGRGAEVHAVRSEASGLAGAAREVVADKSDLLWRGE EGSGGRRGSGRAGAAVAPVASAPAGSWWPEGLSSEEAKATRSQLLEEELSSLKEELALCQ ADKEFVWSLWRRLQATNPDLTQTVSLVVEREKQKSEAKDRKVLEILQVKDSKIQELEQTE SVLKQELHDLVKLKTLVDEENAFLRKELCDLQKKFKDKSQEVKDAKECVQSKEEQNRLVI KNLEEENERLRTRCTDLLNDLEKLRNQEAHWRKEKHSVDTRVKVQGGPVSMSCPGPSFLQ QPNIRFSLNNKLSIQIHQ >ENSMUSP00000130491.2 pep:known chromosome:GRCm38:4:84884465:85131917:1 gene:ENSMUSG00000038070.15 transcript:ENSMUST00000169371.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntln description:centlein, centrosomal protein [Source:MGI Symbol;Acc:MGI:2443104] MAARSPSSSPPPPPVRRSSRRSLRVGRGAEVHAVRSEASGLAGAAREVVADKSDLLWRGE EGSGGRRGSGRAGAAVAPVASAPAGSWWPEGLSSEEAKATRSQLLEEELSSLKEELALCQ ADKEFVWSLWRRLQATNPDLTQTVSLVVEREKQKSEAKDRKVLEILQVKDSKIQELEQTE SVLKQELHDLVKLKTLVDEENAFLRKELCDLQKKFKDKSQEVKDAKECVQSKEEQNRLVI KNLEEENERLRTRCTDLLNDLEKLRNQEAHWRKEKHSVDTRVKVLEENLIEAKKEIESAQ TKYNVVSQQLNNKQAELLQKDMDITLIRKELQELQNVYKQNSAHTAQQADLIQQLQALNM DTQKVLRNQEDVHTAESMSYQKLYNELHMCFETTKSNEVMLRQSVVNLQGQLFQKEQENV KLKEKLEESQGTAPSLSPHDSDSSHSGKAPLSTLETLMISQKSEIEYLQKKLKVANEKLM ANRSCDQDFSEKGTEGKHKEPPVKRSRSLSPKSSFMGSEELRKLKKAERKIENLEKTLQL KSQENDELRDAHEKRKERLQMLHTNYRAVKEQLKQWEEDSGMAESRQMKRAEPHQLRQED SDAVWNELAYFKRENQELMVQKMTLQDELDELKMHMSIDKTTIQELNRCMAEKREEQLFR QHEDAEVKKSTPEKNEKAISEETLQKVIELENRLKSFEKNSRKLKEESKRLKKENDFLKS HLKHYQEDSEAREKELEQLLRVSKDVEHDKSELQTKITALETEVTTLRRQVTEAKALRGK DEEVVCPEERAHRPTDKAKSEMATTDVRARRCDCKTATTKVKFKAAKRKCSVGRHHTVLN HSIKVMSHVENLSKDGWEDVSEGSDSETQTFQNLGTIIVETSQNISPIEDGRNQKEIDQT EGSCAQQRAMQTYSCEDIKAPQSISHNKNTKKMTFQKKSGSLQKSLHSALPARVNREKCK NLPAQKSSSSTISLRERIVSLQQQNSLLQNARRAAEASAKEYKEANEKLLHQQQVSDHRF QTSRQTIKKLTLDLAELRKEKEDLLKKVESSSDIMSLAEEVSRIMAPQIKVTTLGPSRSM DLEMKQLQCKLKNATNELTKQSSNVKSLRMELLAKDDHIKEMHERTSRMERDITMKRHLI EDLKFRQKVNSESNESFNEMLETLEKKVKSLTEECSNKKVSVDSLKQRLNVAVKEKSQYE QMYQKTKEELEKKDLKMSVLISKLNDTETAMAQIETAASEQLQGLALQSEQVLEGAQKKL LSANEKIEEFTVFVKALVNELQSDVHGTRHQIRELKKMQKSRHACKTSTHKAQTLAASIL NISRSDLEEILDTEDELEIEKTKIDIENDKEWMLYIQKLLEGQLPFASYLLEAVLEKIKE NKKLTEGYFTVMKDTK >ENSMUSP00000028087.3 pep:known chromosome:GRCm38:2:39194354:39226451:-1 gene:ENSMUSG00000026753.5 transcript:ENSMUST00000028087.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp6c description:protein phosphatase 6, catalytic subunit [Source:MGI Symbol;Acc:MGI:1915107] MAPLDLDKYVEIARQCKYLPENDLKRLCDYVCDLLLEESNVQPVSTPVTVCGDIHGQFYD LCELFRTGGQVPDTNYIFMGDFVDRGYYSLETFTYLLALKAKWPDRITLLRGNHESRQIT QVYGFYDECQTKYGNANAWRYCTKVFDMLTVAALIDEQILCVHGGLSPDIKTLDQIRTIE RNQEIPHKGAFCDLVWSDPEDVDTWAISPRGAGWLFGAKVTNEFVHINNLKLICRAHQLV HEGYKFMFDEKLVTVWSAPNYCYRCGNIASIMVFKDVNTREPKLFRAVPDSERVIPPRTT TPYFL >ENSMUSP00000145064.1 pep:known chromosome:GRCm38:2:39196734:39226282:-1 gene:ENSMUSG00000026753.5 transcript:ENSMUST00000204257.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp6c description:protein phosphatase 6, catalytic subunit [Source:MGI Symbol;Acc:MGI:1915107] MAPLDLDKYVEIARQCKYLPENDLKRLCDYVCDLLLEESNVQPVSTPVTVCGDIHGQMSA KPNMEMLMPGDTVPKFLICSQ >ENSMUSP00000145157.1 pep:known chromosome:GRCm38:2:39196777:39226320:-1 gene:ENSMUSG00000026753.5 transcript:ENSMUST00000204701.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp6c description:protein phosphatase 6, catalytic subunit [Source:MGI Symbol;Acc:MGI:1915107] MAPLDLDKYVEIARQCKYLPENDLKRLCDYVCDLLLEESNVQPVSTPVTVCGDIHGQGDF VDRGYYSLETFTYLLALKAKWPDRITLLRGNHESRQITQVYGFYDECQTKYGNANAWRYC TKVFDMLTVAALIDEQILCVHGGLSPDIKTLDQIRTIERNQEIPHKGAFCDLVWSDPEDV DTWAISPRGAGWLFGAKVTNEFVHINNLKLICRAHQLVHEGYKFMFDEKLVTVWSAPNYC YRCGNIASIMVFKDVNTREPKLFRAVPDSERVIPPRTTTPYFL >ENSMUSP00000145393.1 pep:known chromosome:GRCm38:2:39199847:39226032:-1 gene:ENSMUSG00000026753.5 transcript:ENSMUST00000204368.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp6c description:protein phosphatase 6, catalytic subunit [Source:MGI Symbol;Acc:MGI:1915107] MGDFVDRGYYSLETFTYLLALKAKWPDRITLLRGNHESRQITQVYGFYDECQTKYGNANA WRYCTKVFDMLTVAALIDEQILCV >ENSMUSP00000062759.5 pep:known chromosome:GRCm38:2:181669836:181671640:-1 gene:ENSMUSG00000046470.5 transcript:ENSMUST00000054491.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox18 description:SRY (sex determining region Y)-box 18 [Source:MGI Symbol;Acc:MGI:103559] MQRSPPGYGAQDDPPSRRDCAWAPGIGAAAEARGLPVTNVSPTSPASPSSLPRSPPRSPE SGRYGFGRGERQTADELRIRRPMNAFMVWAKDERKRLAQQNPDLHNAVLSKMLGKAWKEL NTAEKRPFVEEAERLRVQHLRDHPNYKYRPRRKKQARKVRRLEPGLLLPGLVQPSAPPEA FAAASGSARSFRELPTLGAEFDGLGLPTPERSPLDGLEPGEASFFPPPLAPEDCALRAFR APYAPELARDPSFCYGAPLAEALRTAPPAAPLAGLYYGTLGTPGPFPNPLSPPPESPSLE GTEQLEPTADLWADVDLTEFDQYLNCSRTRPDATTLPYHVALAKLGPRAMSCPEESSLIS ALSDASSAVYYSACISG >ENSMUSP00000036631.1 pep:known chromosome:GRCm38:9:65265180:65280605:1 gene:ENSMUSG00000042254.14 transcript:ENSMUST00000048762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cilp description:cartilage intermediate layer protein, nucleotide pyrophosphohydrolase [Source:MGI Symbol;Acc:MGI:2444507] MAAIKTWVFSFLVLEVTTVLGRQTMLAQSVRRVQPVKRTPKTLAKPADSQESPGEWTTWF NIDHPGGQGDYERLDAIRFYYGERVCARPLRLEARTTDWMPAGSTGQVVHGSPREGFWCL NREQRPGQNCSNYTVRFLCPPGSLRGDAEHIWSSWSPWSKCSAACGHTGVQTRTRTCLAQ TVSLCSEATEEGQLCMSQACTACDLTCPMGQVNADCDACMCQDFMLHGAISLPGGGPAPG AAVYLLAKAPKMLTRTDSSGRFRVPGLCPDGKTILKITKTKFAPIMITMPKTSLKSATIN AEFVRAETPYIVMNPEMKARRAGQSVSLCCKATGKPSPDKYFWYHNNTLLDPSLYKHESK LVLRNLQQDQAGEYFCKAQSDAGAVKSKVTQLTVIAHDETPCNPTPESYLIRLPHDCFQN ASNSFYYDVGRCPIKTCAGQQDNGIRCRDAVENCCGISRTEEREIQCSGYTLPTKVAVEC SCQRCAETRSIVRGRVTAADNGEPMRFGHVYMGNNRVSMTGYKGTFTLHIPQDTERLVLT FVDRLQKFVNTTKVLPFNKKGSAVFHEIKMLRQKEPITLEAMETNIIPLGEVIGEDPVAE LEIPSKSFYRQNGEPFTGKVKASVTFLDPRNISTATAAQSDLNFINDEGDTFPLRTYGMF SVDFRDEATSESLNAGKVKVHLDSTQVKMPEHVPAMKLWSLNPDTGLWEEEGDFKFESQR RNKREERTFLVGNMEIRERRLFNLDVPESRRCFIKVRTYRSERFLPSEQIQGVVVSVINL EPRTGFSSNPRAWGRFDSVITGPNGACLPAFCDDQSPDAYSVYVLASLSGEELEAVESSP KFNPNAIGVPQPYLNKLKYRRTDHEDPRVKKTAFQISMAKPRPNSAEESNGPIYAFENLR ACEEAPPSAAHFRFYQIEGDRYDYNTVPFNEDDPMSWTEDYLAWWPKPMEFRACYIKVKI VGPLEVNVRSRNMGGTHRQTVGKLYGIRDVKSTRDRDQPNVSSACLEFKCSGMLYDQDRV DRTLVKVIPQGSCHRASVNSMLHEYLVNHLPLAVNNDTSEYTMLAPLDPLGHNYGIYTVT DQDPRTAKEIALGRCFDGTSDGSSRIMKSNVGVALTFNCAERQVGRQSAFQYLQSTPARS PATGTVQGRVPAMRQQRASRGGLRRRGSMGPSEIFWCCSTTSEQLSLVVLSPFCPSHVTA VVRLMHRLSLVNLNISGLVQFVSLCLYLLSLPHDADCHRAPTMTKQRFSS >ENSMUSP00000121326.1 pep:known chromosome:GRCm38:9:65265823:65280535:1 gene:ENSMUSG00000042254.14 transcript:ENSMUST00000141382.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cilp description:cartilage intermediate layer protein, nucleotide pyrophosphohydrolase [Source:MGI Symbol;Acc:MGI:2444507] MAAIKTWVFSFLVLEVTTVLGRQTMLAQSVRRVQPVKRTPKTLAKPADSQERNAVAQTAR EKLAPCLVLDGPPA >ENSMUSP00000143881.1 pep:known chromosome:GRCm38:5:72603696:72619154:-1 gene:ENSMUSG00000067220.12 transcript:ENSMUST00000201463.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga1 description:cyclic nucleotide gated channel alpha 1 [Source:MGI Symbol;Acc:MGI:88436] MKTNIINTWHSFVNIPNVIVPAIEKEIRRMENGACSSFSDDDNGSLSEESENEDSFFRSN SYKRRGPSQREQHLPGTMALFNVNNSSNKDQEPKEKKKKKKEKKSKADDKNENKKDPEKK KKKEKEKEKKKKEEKTKEKKEEEKKEVVVIDPSGNTYYNWLFCITLPVMYNWTMIIARAC FDELQSDYLEYWLIFDYVSDVVYLADMFVRTRTGYLEQGLLVKDRMKLIEKYKANLQFKL DVLSVIPTDLLYIKFGWNYPEIRLNRLLRISRMFEFFQRTETRTNYPNIFRISNLVMYIV IIIHWNACVYYSISKAIGFGNDTWVYPDVNDPEFGRLARKYVYSLYWSTLTLTTIGETPP PVLDSEYIFVVVDFLIGVLIFATIVGNIGSMISNMNAARAEFQSRVDAIKQYMNFRNVSK DMEKRVIKWFDYLWTNKKTVDEREVLRYLPDKLRAEIAINVHLDTLKKVRIFADCEAGLL VELVLKLQPQVYSPGDYICKKGDIGREMYIIKEGKLAVVADDGITQFVVLSDGSYFGEIS ILNIKGSKAGNRRTANIKSIGYSDLFCLSKDDLMEALTEYPDAKTMLEEKGRQILMKDGL LDINIANMGSDPKDLEEKVTRMEGSVDLLQTRFARILAEYESMQQKLKQRLTKVEKFLKP LIETEFSALEEPGGESELTESLQD >ENSMUSP00000084464.5 pep:known chromosome:GRCm38:5:72603696:72642752:-1 gene:ENSMUSG00000067220.12 transcript:ENSMUST00000087213.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga1 description:cyclic nucleotide gated channel alpha 1 [Source:MGI Symbol;Acc:MGI:88436] MKTNIINTWHSFVNIPNVIVPAIEKEIRRMENGACSSFSDDDNGSLSEESENEDSFFRSN SYKRRGPSQREQHLPGTMALFNVNNSSNKDQEPKEKKKKKKEKKSKADDKNENKKDPEKK KKKEKEKEKKKKEEKTKEKKEEEKKEVVVIDPSGNTYYNWLFCITLPVMYNWTMIIARAC FDELQSDYLEYWLIFDYVSDVVYLADMFVRTRTGYLEQGLLVKDRMKLIEKYKANLQFKL DVLSVIPTDLLYIKFGWNYPEIRLNRLLRISRMFEFFQRTETRTNYPNIFRISNLVMYIV IIIHWNACVYYSISKAIGFGNDTWVYPDVNDPEFGRLARKYVYSLYWSTLTLTTIGETPP PVLDSEYIFVVVDFLIGVLIFATIVGNIGSMISNMNAARAEFQSRVDAIKQYMNFRNVSK DMEKRVIKWFDYLWTNKKTVDEREVLRYLPDKLRAEIAINVHLDTLKKVRIFADCEAGLL VELVLKLQPQVYSPGDYICKKGDIGREMYIIKEGKLAVVADDGITQFVVLSDGSYFGEIS ILNIKGSKAGNRRTANIKSIGYSDLFCLSKDDLMEALTEYPDAKTMLEEKGRQILMKDGL LDINIANMGSDPKDLEEKVTRMEGSVDLLQTRFARILAEYESMQQKLKQRLTKVEKFLKP LIETEFSALEEPGGESELTESLQD >ENSMUSP00000143377.1 pep:known chromosome:GRCm38:5:72615134:72637851:-1 gene:ENSMUSG00000067220.12 transcript:ENSMUST00000126799.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga1 description:cyclic nucleotide gated channel alpha 1 [Source:MGI Symbol;Acc:MGI:88436] MKTNIINTWHSFVNIPNVIVPAIEKEIRRMENGACSSFSDDDNGSLSEESENEDSFFRSN SYKRRGPSQREQHLPGTMALFNVNNSSNKDQ >ENSMUSP00000119886.1 pep:known chromosome:GRCm38:5:72619029:72630937:-1 gene:ENSMUSG00000067220.12 transcript:ENSMUST00000135701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga1 description:cyclic nucleotide gated channel alpha 1 [Source:MGI Symbol;Acc:MGI:88436] MKTNIINTWHSFVNIPNVIVPAIEKEIRRM >ENSMUSP00000132329.1 pep:known chromosome:GRCm38:5:72604115:72637846:-1 gene:ENSMUSG00000067220.12 transcript:ENSMUST00000169997.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga1 description:cyclic nucleotide gated channel alpha 1 [Source:MGI Symbol;Acc:MGI:88436] MKTNIINTWHSFVNIPNVIVPAIEKEIRRMENGACSSFSDDDNGSLSEESENEDSFFRSN SYKRRGPSQREQHLPGTMALFNVNNSSNKDQEPKEKKKKKKEKKSKADDKNENKKDPEKK KKKEKEKEKKKKEEKTKEKKEEEKKEVVVIDPSGNTYYNWLFCITLPVMYNWTMIIARAC FDELQSDYLEYWLIFDYVSDVVYLADMFVRTRTGYLEQGLLVKDRMKLIEKYKANLQFKL DVLSVIPTDLLYIKFGWNYPEIRLNRLLRISRMFEFFQRTETRTNYPNIFRISNLVMYIV IIIHWNACVYYSISKAIGFGNDTWVYPDVNDPEFGRLARKYVYSLYWSTLTLTTIGETPP PVLDSEYIFVVVDFLIGVLIFATIVGNIGSMISNMNAARAEFQSRVDAIKQYMNFRNVSK DMEKRVIKWFDYLWTNKKTVDEREVLRYLPDKLRAEIAINVHLDTLKKVRIFADCEAGLL VELVLKLQPQVYSPGDYICKKGDIGREMYIIKEGKLAVVADDGITQFVVLSDGSYFGEIS ILNIKGSKAGNRRTANIKSIGYSDLFCLSKDDLMEALTEYPDAKTMLEEKGRQILMKDGL LDINIANMGSDPKDLEEKVTRMEGSVDLLQTRFARILAEYESMQQKLKQRLTKVEKFLKP LIETEFSALEEPGGESELTESLQD >ENSMUSP00000069114.4 pep:known chromosome:GRCm38:1:90203980:90216751:1 gene:ENSMUSG00000044337.5 transcript:ENSMUST00000065587.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ackr3 description:atypical chemokine receptor 3 [Source:MGI Symbol;Acc:MGI:109562] MDVHLFDYAEPGNYSDINWPCNSSDCIVVDTVQCPTMPNKNVLLYTLSFIYIFIFVIGMI ANSVVVWVNIQAKTTGYDTHCYILNLAIADLWVVITIPVWVVSLVQHNQWPMGELTCKIT HLIFSINLFGSIFFLACMSVDRYLSITYFTGTSSYKKKMVRRVVCILVWLLAFFVSLPDT YYLKTVTSASNNETYCRSFYPEHSIKEWLIGMELVSVILGFAVPFTIIAIFYFLLARAMS ASGDQEKHSSRKIIFSYVVVFLVCWLPYHFVVLLDIFSILHYIPFTCQLENVLFTALHVT QCLSLVHCCVNPVLYSFINRNYRYELMKAFIFKYSAKTGLTKLIDASRVSETEYSALEQN TK >ENSMUSP00000135668.1 pep:known chromosome:GRCm38:1:90204006:90213833:1 gene:ENSMUSG00000044337.5 transcript:ENSMUST00000159654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ackr3 description:atypical chemokine receptor 3 [Source:MGI Symbol;Acc:MGI:109562] MDVH >ENSMUSP00000067337.4 pep:known chromosome:GRCm38:5:31514564:31526773:-1 gene:ENSMUSG00000053134.13 transcript:ENSMUST00000065388.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt7l description:suppressor of Ty 7-like [Source:MGI Symbol;Acc:MGI:1919445] MLRYWGEIPIPSGQTNRSSFDLLPREFRLVEVHDPPLHQPSANKPKPPTMLDIPSEPCSL TIHTIQLIQHNRRLRSLIATAQTQSQQQTEGVKAEESEPLPSCPGSPPLPDDLQPLDCKN PNAPFQIRHSDPESDFYRGKGEPVTELSWHSCRQLLYQAVATILAHTGFECANESVLETL TDVAHEYCLKFTKLLRFAVDREALLGQTPFPDVMEQVFHEVGIGSVLSLQKFWQHRIKDY HTYMLQISKQLSEEYERIVNPEKATEDTKPVKIKEEPVSDITFPVSEELEADLASGDQSL PIGVLGAQSERFPSNLEVEASPQAPSAEVNASPLWNLAHVKMEPQESEEGNVSAHGVLGS DVFEEPMSGMSEAGLPQSPDDSDSSYGSHSTDSLMGSSPVFNQRCRKRMRKI >ENSMUSP00000144065.1 pep:known chromosome:GRCm38:5:31514570:31526736:-1 gene:ENSMUSG00000053134.13 transcript:ENSMUST00000201769.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt7l description:suppressor of Ty 7-like [Source:MGI Symbol;Acc:MGI:1919445] MLRYWGEIPIPSGQTNRSSFDLLPREFRLVEVHDPPLHQPSANKPKPPTMLDIPSEPCSL TIHTIQLIQHNRRLRSLIATAQTQSQQQTEGVKAEESEPLPSCPGSPPLPDDLQPLDCKN PNAPFQIRHSDPESDFYRGKGEPVTELSWHSCRQLLYQAVATILAHTGFECANESVLETL TDVAHEYCLKFTKLLRFAVDREALLGQTPFPDVMEQVFHEVGIGSVLSLQKFWQHRIKDY HTYMLQISKQLSEEYERIVNPEKATEDTKPVKIKEEPVSDITFPVSEELEADLASGDQSL PIGVLGAQSERFPSNLEVEASPQAPSAEVNASPLWNLAHVKMEPQESEEGNVSAHGVLGS DVFEEPMSGMSEAGLPQSPDDSDSSYGSHSTDSLMGSSPVFNQRCRKRMRKI >ENSMUSP00000144294.1 pep:known chromosome:GRCm38:5:31515322:31525268:-1 gene:ENSMUSG00000053134.13 transcript:ENSMUST00000200721.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt7l description:suppressor of Ty 7-like [Source:MGI Symbol;Acc:MGI:1919445] MLDIPSEPCSLTIHTIQLIQHNRRLRSLIATAQTQSQQQTEGVKAEESEPLPSCPGSPPL PDDLQPLDCKNPNAPFQIRHSDPESDFYRGKGEPVTELSWHSCRQLLYQAVATILAHTGF ECANESVLETLTDVAHEYCLKFTKLLRFAVDREALLGQTPFPDVMEQVFHEVGIGSVLSL QKFWQHRIKDYHTYMLQISKQLSEEYERIVNPEKATEDTKPVKIKEEPVSDITFPVSEEL EADLASGDQSLPIGVLGAQSERFPSNLEVEASPQAPSAEVNASPLWNLAHVKMEPQESEE GNVSAHGVLGSDVFEEPMSGMSEAGLPQSPDDSDSSYGSHSTDSLMGSSPVFNQRCRKRM RKI >ENSMUSP00000143795.1 pep:known chromosome:GRCm38:5:31516499:31526731:-1 gene:ENSMUSG00000053134.13 transcript:ENSMUST00000202421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt7l description:suppressor of Ty 7-like [Source:MGI Symbol;Acc:MGI:1919445] MLRYWGEIPIPSGQTNRSSFDLLPREFRLVEVHDPPLHQPSANKPKPPTMLDIPSEPCSL TIHTIQLIQHNRRLRSLIATAQTQSQQQTEGVKAEESEPLPSCPGSPPLPDDLQPLDCKN PNAPFQIRHSDPESDFYRGKGEPVTELSWHSCRQLLYQAVATILAHTGFECANESVLETL TDVAHEYCLKFTKLLRFAVDREALLGQTPFPDVMEQVFHEVGIGSVLSLQKFWQHRIKDY HTYMLQISKQLSEEYERIVNPEKATEDTKPVKIKEEPVSDITFPVSEELEADLASGDQSL PIGVLGAQSERFPSNLEVEASPQAPSKKESCIHFASGSAHLSTS >ENSMUSP00000006626.3 pep:known chromosome:GRCm38:19:4861223:4877909:-1 gene:ENSMUSG00000006457.3 transcript:ENSMUST00000006626.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actn3 description:actinin alpha 3 [Source:MGI Symbol;Acc:MGI:99678] MMMVMQPEGLGAGEGPFSGGGGGEYMEQEEDWDRDLLLDPAWEKQQRKTFTAWCNSHLRK AGTQIENIEEDFRNGLKLMLLLEVISGERLPRPDKGKMRFHKIANVNKALDFIASKGVKL VSIGAEEIVDGNLKMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYRNVNVQ NFHTSWKDGLALCALIHRHRPDLIDYAKLRKDDPIGNLNTAFEVAEKYLDIPKMLDAEDI VNTPKPDEKAIMTYVSCFYHAFAGAEQAETAANRICKVLAVNQENEKLMEEYEKLASELL EWIRRTVPWLENRVGEPSMSAMQRKLEDFRDYRRLHKPPRVQEKCQLEINFNTLQTKLRL SHRPAFMPSEGKLVSDIANAWRGLEQVEKGYEDWLLSEIRRLQRLQHLAEKFQQKASLHE AWTRGKEEMLNQHDYESASLQEVRALLRRHEAFESDLAAHQDRVEHIAALAQELNELDYH EAASVNSRCQAICDQWDNLGTLTQKRRDALERMEKLLETIDQLQLEFARRAAPFNNWLDG AIEDLQDVWLVHSVEETQSLLTAHEQFKATLPEADRERGAILGIQGEIQKICQTYGLRPK SGNPYITLSSQDINNKWDTVRKLVPSRDQTLQEELARQQVNERLRRQFAAQANAIGPWIQ GKVEEVGRLAAGLAGSLEEQMAGLRQQEQNIINYKSNIDRLEGDHQLLQESLVFDNKHTV YSMEHIRVGWEQLLTSIARTINEVENQVLTRDAKGLSQEQLNEFRASFNHFDRKRNGMME PDDFRACLISMGYDLGEVEFARIMTMVDPNAAGVVTFQAFIDFMTRETAETDTAEQVVAS FKILAGDKNYITPEELRRELPAEQAEYCIRRMAPYKGSGAPSGALDYVAFSSALYGESDL >ENSMUSP00000124362.1 pep:known chromosome:GRCm38:2:157208550:157279549:-1 gene:ENSMUSG00000074627.11 transcript:ENSMUST00000143663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh8 description:maestro heat-like repeat family member 8 [Source:MGI Symbol;Acc:MGI:3603828] MPLPRRGGEPRLAGARVVRTSLPRATPRSPKRVGRGAPLLSHAQRLTRRRHSSEQVPPEP EQADFRSGKWLQERAGGDARDPRHTPLARMGSRQRASGTEEVVIPCASDSDSGSVDLQLS NLDDVQKGGASVEFTDSDIADIPTLPQESLPDNLRLLTLQEPLSETSIERLVQSIQEVLH GELKGETQKLKFLRSLSSLSQTIPYDENTESFIHRHTAEIVHVLSVLVQEEPLHSLTSMV RQEIFVTITDLSFQNVYLLFGSEDREDLLGLITKSIISLPATSTPIQLLKIVSNQAIEQE CLYRETFEAFSVMLQSLVIKDPHLEFFDSIFKHIDPWLQSDRDHERERATTVMAQVLKCL SSYLSLKLPLQFQRLGNLVAVMALLCGDPQKEVAEEAAEGTHYLLHITLRLKYIMQDRDN NSKNLRETLKKCRLLLEQYSLKRFYCCPYKIVQVFEVFLDSRELGQFIMTILDSLKNLPH PCIQQTAGELLITLVKSAEARFERVPEIVGVICARLSIITLTSVRQQIINTVSLFVSRPK YTDVVLSHLLCHPVPYDRHLAELWRSLEVELSNTTWVLWRLLRKLQKCHEGPSQEKMAYV AVAVTDALYEVLMGNKLRAAAFRLFPQLLMTLLIQIHHSIGLTMSDVSIPSGLYAEQEVP MEVTPLCFAIQATKTLLLRTLCWQEFSIMEKSGGWTLLKGEGHLQGVFLLANALMERSHL FAYKILYLLVPLINRGNDKHKLTSAGFFVELLKSPMARRLPKVYSTIRLKAWLNEESKLF RILALRGLRNLVRHQEMREDIKSLLPCILSSLHETDERIILLAIQILLQLVRMLDSTTLA TMMKTLLSLFGDVRQDVHCFSMTLFGASIKAVKHTEKKSVEDQVLESLVPLLLFSQDEND EIAKESRRVLTLCARFLNWKLPREVYCKDPLYIKPNEVRRVCKFFGEKSKGKIDILDQTL PYSKDPKLPIRRTAVLFVGLLSHYVDTSELKRKGTDWIEKDLRELLQDPEPSLRILASQA LFRIQRVGSTSEAETSVSWLRKLLCCLHT >ENSMUSP00000030643.2 pep:known chromosome:GRCm38:4:134356372:134372558:-1 gene:ENSMUSG00000028838.11 transcript:ENSMUST00000030643.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Extl1 description:exostoses (multiple)-like 1 [Source:MGI Symbol;Acc:MGI:1888742] MLWRRKSFWLALSAFWLLLVLLGVFPLRLAVLPGPLPGRSQGWPRWLDAAFLQSFSQSET NPEDVAQLPRVSRGSSCTWGACFDTSKCRGKVLKIFVHSPAGPTSEAQRRILDSLEGSRY SALSPADACLLLFLPSQDRRGACGPLPPNWNGGRNHLVLSLYPAPCTRLGQAMVAEASPS SDIFRPGFDLALPYLPEAHPLRGGAPGKLQQHSPQPGATLLAVAEEKGRWRITSTHASAC LWDRHCEQDPGPQQTYPGETLPNATFCLIPGHRSATSCFLQALQAGCIPVLLSPRWELPF SEVIDWTKAAIIADERLPLQVLAALREMLPSRVLALRQQTQFLWTAYFSSVEKVIHTTLE IIQDRIWGASGHPSLMWNSPPGALLALPTFSTSLQDFPFYHLQLGSGPGSSFSAVIWVGA SGESLLKLIQEVAGSRHCAQILILWNSEKLPPDRWPETAVPLTVIKGHRKVSNRFFPYSN ISTNVILSLDAQSTLSTSEVDFAFVVWQSFPERMVGFLSGSHFWDEAQGGWGYRTGMTNE FSMVLTTAAFYHRYYHTLFTHSLPKALRTIADETPTCVDVLMNFLVATVTKLPPIKVPYG RQHPEAVPMDSGDPRPVPEPQPLDQDCINRLAAGFGHMPLVSSQVRLDPVLFKDPVSVQR KKYRSLEKP >ENSMUSP00000114844.1 pep:known chromosome:GRCm38:11:115933282:115966725:1 gene:ENSMUSG00000020755.9 transcript:ENSMUST00000140991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap30bp description:SAP30 binding protein [Source:MGI Symbol;Acc:MGI:1927479] MAGKKNVLSSLAIYAEYSDPESDGETGVDAVGGATEEKGGLVSDAYGEDDFSRPGGDEDG YEEEEDENSKQSEDDDSETEKPEADDPKDNTEAEKRDPQELVASFSERVRNMSPDEIKIP PEPPGRCSNHLQDKIQKLYERKIKEGMDMNYIIQRKKEFRNPSIYEKLIQFCAIDELGTN YPKDMFDPHGWSEDSYYEALAKAQKIEMDKLEKAKKERTKIEFVTGTKKGTTTNATATST STASTAVADAQKRKSKWDSAIPVTTIAQPTILTTTATLPAVVTVTTSASGSKTTVISAVG TIVKKAKQ >ENSMUSP00000105806.2 pep:known chromosome:GRCm38:12:87097531:87102522:-1 gene:ENSMUSG00000021032.13 transcript:ENSMUST00000110177.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngb description:neuroglobin [Source:MGI Symbol;Acc:MGI:2151886] MERPESELIRQSWRVVSRSPLEHGTVLFARLFALEPSLLPLFQYNGRQFSSPEDCLSSPE FLDHIRKVMLVIDAAVTNVEDLSSLEEYLTSLGRKHRAVGVRLSSFSVGSGTVGESLLYM LEKCLGPDFTPATRTAWSRLYGAVVQAMSRGWDGE >ENSMUSP00000021420.7 pep:known chromosome:GRCm38:12:87097531:87102539:-1 gene:ENSMUSG00000021032.13 transcript:ENSMUST00000021420.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngb description:neuroglobin [Source:MGI Symbol;Acc:MGI:2151886] MERPESELIRQSWRVVSRSPLEHGTVLFARLFALEPSLLPLFQYNGRQFSSPEDCLSSPE FLDHIRKVMLVIDAAVTNVEDLSSLEEYLTSLGRKHRAVGVRLSSFSTVGESLLYMLEKC LGPDFTPATRTAWSRLYGAVVQAMSRGWDGE >ENSMUSP00000105805.1 pep:known chromosome:GRCm38:12:87098025:87102350:-1 gene:ENSMUSG00000021032.13 transcript:ENSMUST00000110176.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngb description:neuroglobin [Source:MGI Symbol;Acc:MGI:2151886] MERPESELIRQSWRVVSRSPLEHGTVLFARLFALEPSLLPLFQYNGRQFSSPEDCLSSPE FLDHIRKTLAACHPLILDISALKASLVEKPGC >ENSMUSP00000041184.4 pep:known chromosome:GRCm38:2:151715812:151741310:-1 gene:ENSMUSG00000032869.15 transcript:ENSMUST00000042452.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmf1 description:proteasome (prosome, macropain) inhibitor subunit 1 [Source:MGI Symbol;Acc:MGI:1346072] MAGLEVLFASAAPSMSCPQDALVCFLHWEVVTNGYYALGTGDQPGPSDKKSELLPAKWNS NKELYALRYESKDGARKLLLKAVSVENGMIINVLELGTQQVADLTLNLDDYIDAEDLSDF HRTYKNSEELRSQIRSGIITPIHEQWEKARANSPPREFPPATAREVDPLQISSHRPHTSR QPAWRDPLSPFAVGGDDLDPFGCQRGGMIVDPLRSGFPRVLIDPSSGLPNRLPPGAVPPG ARFDPFGPIGTSPSGPNPDHLPPPGYDDMYL >ENSMUSP00000117723.1 pep:known chromosome:GRCm38:2:151716062:151741293:-1 gene:ENSMUSG00000032869.15 transcript:ENSMUST00000155939.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmf1 description:proteasome (prosome, macropain) inhibitor subunit 1 [Source:MGI Symbol;Acc:MGI:1346072] MAGLEVLFASAAPSMSCPQDALVCFLHWEVVTNGYYALGTGDQDL >ENSMUSP00000105495.1 pep:known chromosome:GRCm38:2:151718265:151744186:-1 gene:ENSMUSG00000032869.15 transcript:ENSMUST00000109869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmf1 description:proteasome (prosome, macropain) inhibitor subunit 1 [Source:MGI Symbol;Acc:MGI:1346072] MAGLEVLFASAAPSMSCPQDALVCFLHWEVVTNGYYALGTGDQPGPSDKKSELLPAKWNS NKELYALRYESKDGARKLLLKAVSVENGMIINVLELGTQQVADLTLNLDDYIDAEDLSDF HRTYKNSEELRSQIRSGIITPIHEQWEKARANSPPREFPPATAREVDPLQISSHRPHTSR QPAWRDPLSPFAVGGDDLDPFGCQRGGMIVDPLRSGFPRVLIDPSSGLPNRLPPGAVPPG ARFDPFGPIGTSPSGPNPDHLPPPGYDDMYL >ENSMUSP00000138496.1 pep:known chromosome:GRCm38:18:6024427:6112363:-1 gene:ENSMUSG00000041225.16 transcript:ENSMUST00000182066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap12 description:Rho GTPase activating protein 12 [Source:MGI Symbol;Acc:MGI:1922665] MAERSGKITAGQAYIEVEYDYEYDAKDRKIVIRQGERYLLVKKTNDDWWQVRPDENSKAF YVPAQYVKEVTRKALMPPVKQATGLPNNSMKTIQSMHLQRSTENVNKMPELSSFGKPSSS VQGTGLIRDANQNFGSNYNSGQTLNLSLDLTHNNGKFNSDSHSPKVSSQNRTRLFGHFPG PEFLDIEKTSFSQEQSCDSAGEGSERIQQDSESGDELSSSSTEQMRATTPPNQGRPDSPV YANLQELKISQSALPPLPGSPAIQVNGEWETHKDSSGRCYYYNRTTQERTWKPPRWARDV STSRDFQSPGEQEWLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQQREIIKSRSLDRR LQEPIVLTKWRHSTIVLDSNDKDSPTTTKLCLPENESPPTSSKHQDPGQEKYGLLNVTKI TENGKKVRKNWLSSWAVLQGSSLLFTKTQGSSTSWFGSNQSKPEFTVDLKGAVIEMASKD KSSKKNVFELKTRQGTELLIQSDNDAVINDWFKVLSSTINNQVAEADEAAEEETPDSPGV EKHDKEKDQKELKKLRSMKGSSMDSSEQKKTKKNLKKFLTRRPTLQAVREKGYIKDQVFG SNLANLCQRENGTVPKFVKLCIEHVEEHGLDVDGIYRVSGNLAVIQKLRFAVNHDEKLDL NDSKWEDIHVITGALKMFFRELPEPLFTFNHFNDFVNAIKQEPRQRVTAVKDLIRQLPKP NQDTMQILFRHLKRVIENGEKNRMTYQSIAIVFGPTLLKPERETGNIAVHTVYQNQIVEL ILLELSTVFGR >ENSMUSP00000076376.5 pep:known chromosome:GRCm38:18:6024785:6135907:-1 gene:ENSMUSG00000041225.16 transcript:ENSMUST00000077128.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap12 description:Rho GTPase activating protein 12 [Source:MGI Symbol;Acc:MGI:1922665] MAERSGKITAGQAYIEVEYDYEYDAKDRKIVIRQGERYLLVKKTNDDWWQVRPDENSKAF YVPAQYVKEVTRKALMPPVKQATGLPNNSMKTIQSMHLQRSTENVNKMPELSSFGKPSSS VQGTGLIRDANQNFGSNYNSGQTLNLSLDLTHNNGKFNSDSHSPKVSSQNRTRLFGHFPG PEFLDIEKTSFSQEQSCDSAGEGSERIQQDSESGDELSSSSTEQMRATTPPNQGRPDSPV YANLQELKISQSALPPLPGSPAIQVNGEWETHKDSSGRCYYYNRTTQERTWKPPRWARDV STSRDFQSPGEQEPLSSEENYHSSCFSQSDSQCGSPPRGWSEELDERGHTLYTSDYTKEK WLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQQREIIKSRSLDRRLQEPIVLTKWRHS TIVLDSNDKGQEKYGLLNVTKITENGKKVRKNWLSSWAVLQGSSLLFTKTQGSSTSWFGS NQSKPEFTVDLKGAVIEMASKDKSSKKNVFELKTRQGTELLIQSDNDAVINDWFKVLSST INNQVAEADEAAEEETPDSPGVEKHDKEKDQKELKKLRSMKGSSMDSSEQKKTKKNLKKF LTRRPTLQAVREKGYIKDQVFGSNLANLCQRENGTVPKFVKLCIEHVEEHGLDVDGIYRV SGNLAVIQKLRFAVNHDEKLDLNDSKWEDIHVITGALKMFFRELPEPLFTFNHFNDFVNA IKQEPRQRVTAVKDLIRQLPKPNQDTMQILFRHLKRVIENGEKNRMTYQSIAIVFGPTLL KPERETGNIAVHTVYQNQIVELILLELSTVFGR >ENSMUSP00000138464.1 pep:known chromosome:GRCm38:18:6025197:6135960:-1 gene:ENSMUSG00000041225.16 transcript:ENSMUST00000182213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap12 description:Rho GTPase activating protein 12 [Source:MGI Symbol;Acc:MGI:1922665] MAERSGKITAGQAYIEVEYDYEYDAKDRKIVIRQGERYLLVKKTNDDWWQVRPDENSKAF YVPAQYVKEVTRKALMPPVKQATGLPNNSMKTIQSMHLQRSTENVNKMPELSSFGKPSSS VQGTGLIRDANQNFGSNYNSGQTLNLSLDLTHNNGKFNSDSHSPKVSSQNRTRLFGHFPG PEFLDIEKTSFSQEQSCDSAGEGSERIQQDSESGDELSSSSTEQMRATTPPNQGRPDSPV YANLQELKISQSALPPLPGSPAIQVNGEWETHKDSSGRCYYYNRTTQERTWKPPRWARDV STSRDFQSPGEQEPLSSEENYHSSCFSQSDSQCGSPPRGWSEELDERGHTLYTSDYTKEK WLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQQREIIKSRSLDRRLQEPIVLTKWRHS TIVLDSNDKDSPTTTKLCLPENESPPTSSKHQDPAGSLKGQEKYGLLNVTKITENGKKVR KNWLSSWAVLQGSSLLFTKTQGSSTSWFGSNQSKPEFTVDLKGAVIEMASKDKSSKKNVF ELKTRQGTELLIQSDNDAVINDWFKVLSSTINNQVAEADEAAEEETPDSPGVEKHDKEKD QKELKKLRSMKGSSMDSSEQKKTKKNLKKFLTRRPTLQAVREKGYIKDQVFGSNLANLCQ RENGTVPKFVKLCIEHVEEHGLDVDGIYRVSGNLAVIQKLRFAVNHDEKLDLNDSKWEDI HVITGALKMFFRELPEPLFTFNHFNDFVNAIKQEPRQRVTAVKDLIRQLPKPNQDTMQIL FRHLKRVIENGEKNRMTYQSIAIVFGPTLLKPERETGNIAVHTVYQNQIVELILLELSTV FGR >ENSMUSP00000138585.1 pep:known chromosome:GRCm38:18:6025217:6136098:-1 gene:ENSMUSG00000041225.16 transcript:ENSMUST00000182559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap12 description:Rho GTPase activating protein 12 [Source:MGI Symbol;Acc:MGI:1922665] MAERSGKITAGQAYIEVEYDYEYDAKDRKIVIRQGERYLLVKKTNDDWWQVRPDENSKAF YVPAQYVKEVTRKALMPPVKQATGLPNNSMKTIQSMHLQRSTENVNKMPELSSFGKPSSS VQGTGLIRDANQNFGSNYNSGQTLNLSLDLTHNNGKFNSDSHSPKVSSQNRTRLFGHFPG PEFLDIEKTSFSQEQSCDSAGEGSERIQQDSESGDELSSSSTEQMRATTPPNQGRPDSPV YANLQELKISQSALPPLPGSPAIQVNGEWETHKDSSGRCYYYNRTTQERTWKPPRWARDV STSRDFQSPGEQEPLSSEENYHSSCFSQSDSQCGSPPRGWSEELDERGHTLYTSDYTKEK WLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQQREIIKSRSLDRRLQEPIVLTKWRHS TIVLDSNDKDSPTTTKLCLPENESPPTSSKHQDPGQEKYGLLNVTKITENGKKVRKNWLS SWAVLQGSSLLFTKTQGSSTSWFGSNQSKPEFTVDLKGAVIEMASKDKSSKKNVFELKTR QGTELLIQSDNDAVINDWFKVLSSTINNQVAEADEAAEEETPDSPGVEKHDKEKDQKELK KLRSMKGSSMDSSEQKKTKKNLKKFLTRRPTLQAVREKGYIKDQVFGSNLANLCQRENGT VPKFVKLCIEHVEEHGLDVDGIYRVSGNLAVIQKLRFAVNHDEKLDLNDSKWEDIHVITG ALKMFFRELPEPLFTFNHFNDFVNAIKQEPRQRVTAVKDLIRQLPKPNQDTMQILFRHLK RVIENGEKNRMTYQSIAIVFGPTLLKPERETGNIAVHTVYQNQIVELILLELSTVFGR >ENSMUSP00000054209.7 pep:known chromosome:GRCm38:18:6026432:6135892:-1 gene:ENSMUSG00000041225.16 transcript:ENSMUST00000062584.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap12 description:Rho GTPase activating protein 12 [Source:MGI Symbol;Acc:MGI:1922665] MAERSGKITAGQAYIEVEYDYEYDAKDRKIVIRQGERYLLVKKTNDDWWQVRPDENSKAF YVPAQYVKEVTRKALMPPVKQATGLPNNSMKTIQSMHLQRSTENVNKMPELSSFGKPSSS VQGTGLIRDANQNFGSNYNSGQTLNLSLDLTHNNGKFNSDSHSPKVSSQNRTRLFGHFPG PEFLDIEKTSFSQEQSCDSAGEGSERIQQDSESGDELSSSSTEQMRATTPPNQGRPDSPV YANLQELKISQSALPPLPGSPAIQVNGEWETHKDSSGRCYYYNRTTQERTWKPPRWARDV STSRDFQSPGEQEWLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQQREIIKSRSLDRR LQEPIVLTKWRHSTIVLDSNDKDSPTTTKLCLPENESPPTSSKHQDPAGSLKGQEKYGLL NVTKITENGKKVRKNWLSSWAVLQGSSLLFTKTQGSSTSWFGSNQSKPEFTVDLKGAVIE MASKDKSSKKNVFELKTRQGTELLIQSDNDAVINDWFKVLSSTINNQVAEADEAAEEETP DSPGVEKHDKEKDQKELKKLRSMKGSSMDSSEQKKTKKNLKKFLTRRPTLQAVREKGYIK DQVFGSNLANLCQRENGTVPKFVKLCIEHVEEHGLDVDGIYRVSGNLAVIQKLRFAVNHD EKLDLNDSKWEDIHVITGALKMFFRELPEPLFTFNHFNDFVNAIKQEPRQRVTAVKDLIR QLPKPNQDTMQILFRHLKRVIENGEKNRMTYQSIAIVFGPTLLKPERETGNIAVHTVYQN QIVELILLELSTVFGR >ENSMUSP00000138444.1 pep:known chromosome:GRCm38:18:6026556:6135888:-1 gene:ENSMUSG00000041225.16 transcript:ENSMUST00000182383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap12 description:Rho GTPase activating protein 12 [Source:MGI Symbol;Acc:MGI:1922665] MAERSGKITAGQAYIEVEYDYEYDAKDRKIVIRQGERYLLVKKTNDDWWQVRPDENSKAF YVPAQYVKEVTRKALMPPVKQATGLPNNSMKTIQSMHLQRSTENVNKMPELSSFGKPSSS VQGTGLIRDANQNFGSNYNSGQTLNLSLDLTHNNGKFNSDSHSPKVSSQNRTRLFGHFPG PEFLDIEKTSFSQEQSCDSAGEGSERIQQDSESGDELSSSSTEQMRATTPPNQGRPDSPV YANLQELKISQSALPPLPGSPAIQVNGEWETHKDSSGRCYYYNRTTQERTWKPPRWARDV STSRDFQSPGEQEWLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQQREIIKSRSLDRR LQEPIVLTKWRHSTIVLDSNDKGQEKYGLLNVTKITENGKKVRKNWLSSWAVLQGSSLLF TKTQGSSTSWFGSNQSKPEFTVDLKGAVIEMASKDKSSKKNVFELKTRQGTELLIQSDND AVINDWFKVLSSTINNQVAEADEAAEEETPDSPGVEKHDKEKDQKELKKLRSMKGSSMDS SEQKKTKKNLKKFLTRRPTLQAVREKGYIKDQVFGSNLANLCQRENGTVPKFVKLCIEHV EEHGLDVDGIYRVSGNLAVIQKLRFAVNHDEKLDLNDSKWEDIHVITGALKMFFRELPEP LFTFNHFNDFVNAIKQEPRQRVTAVKDLIRQLPKPNQDTMQILFRHLKRVIENGEKNRMT YQSIAIVFGPTLLKPERETGNIAVHTVYQNQIVELILLELSTVFGR >ENSMUSP00000138798.1 pep:known chromosome:GRCm38:18:6049278:6111980:-1 gene:ENSMUSG00000041225.16 transcript:ENSMUST00000182921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap12 description:Rho GTPase activating protein 12 [Source:MGI Symbol;Acc:MGI:1922665] XDANQNFGSNYNSGQTLNLSLDLTHNNGKFNSDSHSPKVSSQNRTRLFGHFPGPEFLDIE KTSFSQEQSCDSAGEGSERIQQDSESGDELSSSSTEQMRGQEKYGLLNVTKITENGKKVR KNWLSSWAVLQGSSLLFTKTQGSSTS >ENSMUSP00000138150.1 pep:known chromosome:GRCm38:18:6061951:6135955:-1 gene:ENSMUSG00000041225.16 transcript:ENSMUST00000182038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap12 description:Rho GTPase activating protein 12 [Source:MGI Symbol;Acc:MGI:1922665] MAERSGKITAGQAYIEVEYDYEYDAKDRKIVIRQGERYLLVKKTNDDWWQVRPDENSKAF YVPAQYVKEVTRKALMPPVKQATGLPNNSMKTIQSMHLQRSTENVNKMPELSSFGKPSSS VQGTGLIRDANQNFGSNYNSGQTLNLSLDLTHNNGKFNSDSHSPKVSSQNRTRLFGHFPG PEFLDIEKTSFSQEQSCDSAGEGSERIQQDSESGDELSSSSTEQMRATTPPNQGRPDSPV YANLQELKISQSALPPLPGSPAIQVNGEWETHKDSSGRCYYYNRTTQERTWKPPRWARDV STSRDFQSPGEQEPLSSEENYHSSCFSQSDSQCGSPPRGWSEELDERGHTLYTSDYTKEK WLKHVDDQGRQYYYSADGSRSEWELPKYNASSQQ >ENSMUSP00000109433.3 pep:known chromosome:GRCm38:6:85111416:85126094:-1 gene:ENSMUSG00000072952.6 transcript:ENSMUST00000113802.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5878 description:predicted gene 5878 [Source:MGI Symbol;Acc:MGI:3647625] MNHLMMTVHPAHQLGSSSPQPPHSFQRPALRPSPFPPLAASESLLWPPLPGCWTPGLLDT GFCKAIEVEMHLPSRMMVAHAFNQEEEEDLGHLQCYMNS >ENSMUSP00000096914.2 pep:known chromosome:GRCm38:2:136892168:137071950:1 gene:ENSMUSG00000027281.16 transcript:ENSMUST00000099311.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slx4ip description:SLX4 interacting protein [Source:MGI Symbol;Acc:MGI:1921493] MASKKFAVKCGNFAVLVDLHVLPQGSNRDSSWFSEQKKEEVCLLLKETIDSRVKEYVGIY KQRKPSSAEFTRSSPLSLKGYGFQITAYFLKRGIHLHCIQNSQNTELRVFPERFVVCVSQ LAFGHDIWANQNEKSTKKALHGVSDYFPECAESSPSPGTKLKRNALKEIVRRTKSKGTDV SKPQPSGDLVGRSSDSVITVVPWRRDASAILLSESVGQAQDDIRAAKSHQELPVQKLENV SQTQPGDTRSQQQLHPGEWLKTGLLSRSPAYNYESASPGPKQSLRAAKTQQKHRNCGSVE DCDHRRRVSLGNEGLVPEDADRERSTAVRVLPALELSDPGLLLKQDLAKAKAKEELHALE NLSSRHLVTNNPGQAQQSDSAAITEQLATDQGGPSKKRKKLQSYNRGCSGKKN >ENSMUSP00000117485.1 pep:known chromosome:GRCm38:2:136891501:137000273:1 gene:ENSMUSG00000027281.16 transcript:ENSMUST00000141463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slx4ip description:SLX4 interacting protein [Source:MGI Symbol;Acc:MGI:1921493] MSKFCVEPLGQVEVKPLLIHMFVLKCGNFAVLVDLHVLPQGSNRDSSWFSEQKKE >ENSMUSP00000028737.6 pep:known chromosome:GRCm38:2:136891218:137069649:1 gene:ENSMUSG00000027281.16 transcript:ENSMUST00000028737.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slx4ip description:SLX4 interacting protein [Source:MGI Symbol;Acc:MGI:1921493] MASKKFAVKCGNFAVLVDLHVLPQGSNRDSSWFSEQKKEVMAFRSQLISSREGYTFTVSR TPRILTKKALHGVSDYFPECAESSPSPGTKLKRNALKEIVRRTKSKGTDVSKPQPSGDLV GRSSDSVITVVPWRRDASAILLSESVGQAQDDIRAAKSHQELPVQKLENVSQTQPGDTRS QQQLHPGEWLKTGLLSRSPAYNYESASPGPKQSLRAAKTQQKHRNCGSVEDCDHRRRVSL GNEGLVPEDADRERSTAVRVLPALELSDPGLLLKQDLAKAKAKEELHALENLSSRHLVTN NPGQAQQSDSAAITEQLATDQGGPSKKRKKLQSYNRGCSGKKN >ENSMUSP00000136131.1 pep:known chromosome:GRCm38:2:136905543:137069778:1 gene:ENSMUSG00000027281.16 transcript:ENSMUST00000180277.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slx4ip description:SLX4 interacting protein [Source:MGI Symbol;Acc:MGI:1921493] MASKKFAVKCGNFAVLVDLHVLPQGSNRDSSWFSEQKKEEVCLLLKETIDSRVKEYVGIY KQRKPSSAEFTRSSPLSLKGYGFQITAYFLKRGIHLHCIQNSQNTELRVFPERFVVCVSQ LAFGHDIWANQNEKSTKKALHGVSDYFPECAESSPSPGTKLKRNALKEIVRRTKSKGTDV SKPQPSGDLVGRSSDSVITVVPWRRDASAILLSESVGQAQDDIRAAKSHQELPVQKLENV SQTQPGDTRSQQQLHPGEWLKTGLLSRSPAYNYESASPGPKQSLRAAKTQQKHRNCGSVE DCDHRRRVSLGNEGLVPEDADRERSTAVRVLPALELSDPGLLLKQDLAKAKAKEELHALE NLSSRHLVTNNPGQAQQSDSAAITEQLATDQGGPSKKRKKLQSYNRGCSGKKN >ENSMUSP00000145765.1 pep:known chromosome:GRCm38:7:66043602:66062271:1 gene:ENSMUSG00000108793.1 transcript:ENSMUST00000206065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-117C3.2 XPEEMPGLPHKVCRRCEENCLSCEGSSRNCSRCKAGFTQLGTSCITNHTCSNGYKIPVIE NLGATLDQFDAIDFSDNEIRKLDGFPLLRRLKTLLVNNNRI >ENSMUSP00000114304.1 pep:known chromosome:GRCm38:6:90550789:90600203:1 gene:ENSMUSG00000030088.15 transcript:ENSMUST00000130418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1l1 description:aldehyde dehydrogenase 1 family, member L1 [Source:MGI Symbol;Acc:MGI:1340024] MKIAVIGQSLFGQEVYCQLRKEGHEVVGVFTIPDKDGKADPLGLEAEKDGVPVFKFPRWR ARGQALPEVVAKYQALGAELNVLPFCSQFIPMEVINAPRHGSIIYHPSLLPRHRGASAIN WTLIHGDKKGGFTIFWADDGLDTGDLLLQKECDVLPDDTVSTLYNRFLFPEGIKGMVQAV RLIAEGTAPRRPQPEEGATYEGIQKKETAMINWDQPAEAIHNWIRGNDKVPGAWTEACGQ KLTFFNSTLNTSGLVAQGEALPIPGAHRPGLVTKAGLILFGNDDRMLLVKNIQLEDGKMM PASQFFKGSASSALELTEEELATAEAVRSSWMRILPNVPEVEDSTDFFKSGAASVDVVRL VEEVKELCDGLELENEDVYMATTFGDFIQLLVRKLRGEDGESECVINYVEKAVKKLTLQM PYQLFIGGEFVDAEGAKTYSTINPTDGSVICQVSLAQVSDVDKAVAAAKEAFENGLWGKI NARDRGRLLYRLADLMEQHQEELATIEALDAGAVYTLALKTHVGMSIQTFRYFAGWCDKI QGATIPINQARPNRNLTLTKKEPVGVCGIVIPWNYPLMMLSWKTAACLAAGNTVVIKPAQ VTPLTALKFAELTLKAGIPKGVVNILPGSGSLVGQRLSDHPDVRKIGFTGSTEVGKHIMK SCALSNVKKVSLELGGKSPLIIFADCDLNKAVQMGMSSVFFNKGENCIAAGRLFVEDSIH DQFVQKVVEEVGKMKIGNPLDRDTNHGPQNHEAHLRKLVEYCQRGVKEGATLVCGGNQVP RPGFFFQPTVFTDVEDHMYIAKEESFGPIMIISRFADGDVDAVLSRANATEFGLASGVFT RDINKALYVSDKLQAGTVFVNTYNKTDVAAPFGGFKQSGFGKDLGEAALNEYLRIKTVTF EY >ENSMUSP00000032175.8 pep:known chromosome:GRCm38:6:90550805:90599169:1 gene:ENSMUSG00000030088.15 transcript:ENSMUST00000032175.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1l1 description:aldehyde dehydrogenase 1 family, member L1 [Source:MGI Symbol;Acc:MGI:1340024] MKIAVIGQSLFGQEVYCQLRKEGHEVVGVFTIPDKDGKADPLGLEAEKDGVPVFKFPRWR ARGQALPEVVAKYQALGAELNVLPFCSQFIPMEVINAPRHGSIIYHPSLLPRHRGASAIN WTLIHGDKKGGFTIFWADDGLDTGDLLLQKECDVLPDDTVSTLYNRFLFPEGIKGMVQAV RLIAEGTAPRRPQPEEGATYEGIQKKETAMINWDQPAEAIHNWIRGNDKVPGAWTEACGQ KLTFFNSTLNTSGLVAQGEALPIPGAHRPGLVTKAGLILFGNDDRMLLVKNIQLEDGKMM PASQFFKGSASSALELTEEELATAEAVRSSWMRILPNVPEVEDSTDFFKSGAASVDVVRL VEEVKELCDGLELENEDVYMATTFGDFIQLLVRKLRGEDGESECVINYVEKAVKKLTLQM PYQLFIGGEFVDAEGAKTYSTINPTDGSVICQVSLAQVSDVDKAVAAAKEAFENGLWGKI NARDRGRLLYRLADLMEQHQEELATIEALDAGAVYTLALKTHVGMSIQTFRYFAGWCDKI QGATIPINQARPNRNLTLTKKEPVGVCGIVIPWNYPLMMLSWKTAACLAAGNTVVIKPAQ VTPLTALKFAELTLKAGIPKGVVNILPGSGSLVGQRLSDHPDVRKIGFTGSTEVGKHIMK SCALSNVKKVSLELGGKSPLIIFADCDLNKAVQMGMSSVFFNKGENCIAAGRLFVEDSIH DQFVQKVVEEVGKMKIGNPLDRDTNHGPQNHEAHLRKLVEYCQRGVKEGATLVCGGNQVP RPGFFFQPTVFTDVEDHMYIAKEESFGPIMIISRFADGDVDAVLSRANATEFGLASGVFT RDINKALYVSDKLQAGTVFVNTYNKTDVAAPFGGFKQSGFGKDLGEAALNEYLRIKTVTF EY >ENSMUSP00000145233.1 pep:known chromosome:GRCm38:6:90550843:90559961:1 gene:ENSMUSG00000030088.15 transcript:ENSMUST00000203111.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1l1 description:aldehyde dehydrogenase 1 family, member L1 [Source:MGI Symbol;Acc:MGI:1340024] MKIAVIGQSLFGQEAEKDGVPVFKFPRWRARGQALPEVVAKYQALGAELNVLPFCSQFIP MEVINAPRHGSIIYHPSLLPRHRGASAINWTLIHGDKKGGFTIFWADDGLDTGDLLLQKE CDVLPDDTVSTLYNR >ENSMUSP00000145380.1 pep:known chromosome:GRCm38:6:90550848:90599160:1 gene:ENSMUSG00000030088.15 transcript:ENSMUST00000204796.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aldh1l1 description:aldehyde dehydrogenase 1 family, member L1 [Source:MGI Symbol;Acc:MGI:1340024] MKIAVIGQSLFGQEVYCQLRKEGHEVVGVFTIPDKDGKADPLGLEAEKDGVPVFKFPRWR ARGQALPEVVAKYQALGAELNVLPFCSQFIPMEVINAPRHGSIIYHPSLLPRHRGASAIN WTLIHGDKKGGFTIFWADDGLDTGDLLLQKECDVLPDDTVSTLYNRFLFPEGIKGMVQAV RLIAEGTAPRRPQPEEGATYEGIQKKETAMINWDQPAEAIHNWIRGNDKVPGAWTEACGQ KLTFFNSTLNTSGLVAQGEALPIPGAHRPGLVTKAGLILFGNDDRMLLVKNIQLEDGKMM PASQFFKGSASSALELTEEELATAEAVRSSWMRILPNVPEVEDSTDFFKSGAASVDVVR >ENSMUSP00000106369.1 pep:known chromosome:GRCm38:8:21958714:21964303:1 gene:ENSMUSG00000079112.1 transcript:ENSMUST00000110741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam90a1a description:family with sequence similarity 90, member A1A [Source:MGI Symbol;Acc:MGI:2142877] MESQIKGLPAVPAQTAQGEPRSSWEQTLPSLKKENHMVKCRNCGGFGHNVRSRMCPMKQG HVLLVSQPLGARKEKENGDPCRPHGLQKLSQDTRQRFDEQQRKAPFQKFPMKPQKGDQQV NLAQPRMPSIPGIRKRSASPVKTSLGKQMCPGKPVLQCFDSSYILHSRQKEGRRMAVAGV AKLVLRQDGRNSASEELLDQKGTSCQHPVAVPKSNASSELFHKEPKAQGPSRKTQPSQHP VIHQNRQNPKLSFGAPGKEASWCPTQPSQNPLKKQRLNSTDAPEKSHARTGSKGTLDSQS PPIANRLGLKGANEMSKKITAQDPSTDQQQLYSRAALFATRPCIEFHRASAGRVADQALR MIFTRHGSNCWSSRFLSVPPPLPLEKQTPPSESPTFPEEGEVAGSQVKVSILYEDLQVSS SSSENSDGE >ENSMUSP00000121963.1 pep:known chromosome:GRCm38:2:37443279:37495589:1 gene:ENSMUSG00000035437.15 transcript:ENSMUST00000133434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1 description:RAB GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2385139] MDDKASVGKISVSSDSVSTLNSEDFVLVSRQGDETPSTNNGSDDEKTGLKIVGNGSEQQL QKELADVLMDPPMDDQPGERSQLDGEGDGPLSNQLSASSTINPVPLVGLPKPEMSLPVKP GQGDSEVSSPFTPVADEDSVVFNKLTYLGCASVNAPRSEVEALRMMSILRSQCQISLDVT LSVPNVSEGTVRLLDPQTNTEIANYPIYKILFCVRGHDGTPESDCFAFTESHYNAELFRI HVFRCEIQEAVSRILYSFATAFRRSAKQTPLSATAAPQTPDSDIFTFSVSLEIKEDDGKG YFSAVPKDKDRQCFKLRQGIDKKIVICVQQTANKELAIERCFGLLLSPGKDVRNSDMHLL DLESMGKSSDGKSYVITGSWNPKSPHFQVVNEETPKDKVLFMTTAVDLVITEVQEPVRFL LETKVRVCSPNERLFWPFSKRSTTENFFLKLKQIK >ENSMUSP00000119831.1 pep:known chromosome:GRCm38:2:37452245:37475363:1 gene:ENSMUSG00000035437.15 transcript:ENSMUST00000148470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1 description:RAB GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2385139] MDPPMDDQPGERSQLDGEGDGPLSNQLSASSTINPVPLVGLPKPEMSLPVKPGQGDSEVS SPFTPVADEDSVVFNKLTYLGCASVNAPRSEVEALRMMSILRSQCQISLDVTLSVPNVSE GTVRLLDPQTNTEIANYPIYKILFCVRG >ENSMUSP00000068835.3 pep:known chromosome:GRCm38:2:37452245:37544962:1 gene:ENSMUSG00000035437.15 transcript:ENSMUST00000066055.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1 description:RAB GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2385139] MDDKASVGKISVSSDSVSTLNSEDFVLVSRQGDETPSTNNGSDDEKTGLKIVGNGSEQQL QKELADVLMDPPMDDQPGERSQLDGEGDGPLSNQLSASSTINPVPLVGLPKPEMSLPVKP GQGDSEVSSPFTPVADEDSVVFNKLTYLGCASVNAPRSEVEALRMMSILRSQCQISLDVT LSVPNVSEGTVRLLDPQTNTEIANYPIYKILFCVRGHDGTPESDCFAFTESHYNAELFRI HVFRCEIQEAVSRILYSFATAFRRSAKQTPLSATAAPQTPDSDIFTFSVSLEIKEDDGKG YFSAVPKDKDRQCFKLRQGIDKKIVICVQQTANKELAIERCFGLLLSPGKDVRNSDMHLL DLESMGKSSDGKSYVITGSWNPKSPHFQVVNEETPKDKVLFMTTAVDLVITEVQEPVRFL LETKVRVCSPNERLFWPFSKRSTTENFFLKLKQIKQKEKKNNADTLYEVVCLESESERER RKTTASPSVRLPQSGSQSSMIPSPPEDDEEEDNDEPLLSGFGDVSKECAEKILETWGELL SKWHLNLSVRPKQLSSLVRSGVPEALRGEVWQLLAGCHNNDHLVEKYRILITKESPQDSA ITRDINRTFPAHDYFKDTGGDGQDSLYKICKAYSVYDEEIGYCQGQSFLAAVLLLHMPEE QAFSVLVKIMFDYGLRELFKQNFEDLHCKFYQLERLMQEYIPDLYNHFLDISLEAHMYAS QWFLTLFTAKFPLYMVFHIIDLLLCEGISVIFNVALGLLKTSKDDLLLTDFEGALKFFRV QLPKRYRSEENAKRLMELACNTKVQQRLL >ENSMUSP00000108542.1 pep:known chromosome:GRCm38:2:37452267:37566454:1 gene:ENSMUSG00000035437.15 transcript:ENSMUST00000112920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1 description:RAB GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2385139] MDDKASVGKISVSSDSVSTLNSEDFVLVSRQGDETPSTNNGSDDEKTGLKIVGNGSEQQL QKELADVLMDPPMDDQPGERSQLDGEGDGPLSNQLSASSTINPVPLVGLPKPEMSLPVKP GQGDSEVSSPFTPVADEDSVVFNKLTYLGCASVNAPRSEVEALRMMSILRSQCQISLDVT LSVPNVSEGTVRLLDPQTNTEIANYPIYKILFCVRGHDGTPESDCFAFTESHYNAELFRI HVFRCEIQEAVSRILYSFATAFRRSAKQTPLSATAAPQTPDSDIFTFSVSLEIKEDDGKG YFSAVPKDKDRQCFKLRQGIDKKIVICVQQTANKELAIERCFGLLLSPGKDVRNSDMHLL DLESMGKSSDGKSYVITGSWNPKSPHFQVVNEETPKDKVLFMTTAVDLVITEVQEPVRFL LETKVRVCSPNERLFWPFSKRSTTENFFLKLKQIKQKEKKNNADTLYEVVCLESESERER RKTTASPSVRLPQSGSQSSMIPSPPEDDEEEDNDEPLLSGFGDVSKECAEKILETWGELL SKWHLNLSVRPKQLSSLVRSGVPEALRGEVWQLLAGCHNNDHLVEKYRILITKESPQDSA ITRDINRTFPAHDYFKDTGGDGQDSLYKICKAYSVYDEEIGYCQGQSFLAAVLLLHMPEE QAFSVLVKIMFDYGLRELFKQNFEDLHCKFYQLERLMQEYIPDLYNHFLDISLEAHMYAS QWFLTLFTAKFPLYMVFHIIDLLLCEGISVIFNVALGLLKTSKDDLLLTDFEGALKFFRV QLPKRYRSEENAKRLMELACNTKISQKKLKKFEKEYHTMREQQAQQEDPIERFERENRRL QEANMRLEQENDDLAHELVTSKIALRKDLDNAEEKADALNKELLMTKQKLIDAEDEKRRL EEESAQLKEMCRRELDKAESEIKKNSSIIGDYKQICSQLSERLEKQQTANKVEIEKIRQK VDDCDRCRDFFNKEGRVKGISSAKGVSDEDTDEEKETLKNQLREMELELAQTKLQLVEAE CKIQDLEHHLGLALSEVQAAKKTWFNRTLSSIKTATGVQGKETC >ENSMUSP00000061624.5 pep:known chromosome:GRCm38:2:37443285:37566437:1 gene:ENSMUSG00000035437.15 transcript:ENSMUST00000061179.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgap1 description:RAB GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2385139] MDDKASVGKISVSSDSVSTLNSEDFVLVSRQGDETPSTNNGSDDEKTGLKIVGNGSEQQL QKELADVLMDPPMDDQPGERSQLDGEGDGPLSNQLSASSTINPVPLVGLPKPEMSLPVKP GQGDSEVSSPFTPVADEDSVVFNKLTYLGCASVNAPRSEVEALRMMSILRSQCQISLDVT LSVPNVSEGTVRLLDPQTNTEIANYPIYKILFCVRGHDGTPESDCFAFTESHYNAELFRI HVFRCEIQEAVSRILYSFATAFRRSAKQTPLSATAAPQTPDSDIFTFSVSLEIKEDDGKG YFSAVPKDKDRQCFKLRQGIDKKIVICVQQTANKELAIERCFGLLLSPGKDVRNSDMHLL DLESMGKSSDGKSYVITGSWNPKSPHFQVVNEETPKDKVLFMTTAVDLVITEVQEPVRFL LETKVRVCSPNERLFWPFSKRSTTENFFLKLKQIKQKEKKNNADTLYEVVCLESESERER RKTTASPSVRLPQSGSQSSMIPSPPEDDEEEDNDEPLLSGFGDVSKECAEKILETWGELL SKWHLNLSVRPKQLSSLVRSGVPEALRGEVWQLLAGCHNNDHLVEKYRILITKESPQDSA ITRDINRTFPAHDYFKDTGGDGQDSLYKICKAYSVYDEEIGYCQGQSFLAAVLLLHMPEE QAFSVLVKIMFDYGLRELFKQNFEDLHCKFYQLERLMQEYIPDLYNHFLDISLEAHMYAS QWFLTLFTAKFPLYMVFHIIDLLLCEGISVIFNVALGLLKTSKDDLLLTDFEGALKFFRV QLPKRYRSEENAKRLMELACNTKISQKKLKKFEKEYHTMREQQAQQEDPIERFERENRRL QEANMRLEQENDDLAHELVTSKIALRKDLDNAEEKADALNKELLMTKQKLIDAEDEKRRL EEESAQLKEMCRRELDKAESEIKKNSSIIGDYKQICSQLSERLEKQQTANKVEIEKIRQK VDDCDRCRDFFNKEGRVKGISSAKGVSDEDTDEEKETLKNQLREMELELAQTKLQLVEAE CKIQDLEHHLGLALSEVQAAKKTWFNRTLSSIKTATGVQGKETC >ENSMUSP00000133965.1 pep:known chromosome:GRCm38:17:38639447:38645650:1 gene:ENSMUSG00000092342.1 transcript:ENSMUST00000174742.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp31 description:exocrine gland secreted peptide 31 [Source:MGI Symbol;Acc:MGI:5141981] MDSFPVMCFLLILLLSSMFTEGAVLKNDQEELIDSEDEQLIFIECGYIQGILHNPEMSFN LNDYEQKFENINNRVFFLCVSRTYVCLKYPKTRLLLQVMDPVRFINMTQSRDFC >ENSMUSP00000097053.3 pep:known chromosome:GRCm38:19:41593363:41596158:1 gene:ENSMUSG00000074873.3 transcript:ENSMUST00000099454.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI606181 description:expressed sequence AI606181 [Source:MGI Symbol;Acc:MGI:2147586] MHLALLLRSPGLQSRAPLISWNQCSAVMHGPLSPVLHPWTPHLSLSHSSGMAGLACSSRT LGSLEARYVGITVIYLNSSLHISLWPPFVFSEQGRHVNGDAGESFYKMLFVSKSDSEMKC EWVTRCQGL >ENSMUSP00000070878.3 pep:known chromosome:GRCm38:1:74881509:74885419:-1 gene:ENSMUSG00000055197.4 transcript:ENSMUST00000068631.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fev description:FEV (ETS oncogene family) [Source:MGI Symbol;Acc:MGI:2449712] MRQSGTSQPLLINMYLPDPVGDGLFKEGKSPSWGPLSPAVQKGSGQIQLWQFLLELLADR ANAGCIAWEGGHGEFKLTDPDEVARRWGERKSKPNMNYDKLSRALRYYYDKNIMSKVHGK RYAYRFDFQGLAQACQPPPAHAHAAAAAAAAAAAAQDGALYKLPAGLAPLPFPGLSKLNL MAASAGVAPAGFSYWPGPNATAAAAATAALYPTPGLQPPPGPFGAVAAASHLGGHYH >ENSMUSP00000125067.1 pep:known chromosome:GRCm38:1:74882006:74885322:-1 gene:ENSMUSG00000055197.4 transcript:ENSMUST00000159232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fev description:FEV (ETS oncogene family) [Source:MGI Symbol;Acc:MGI:2449712] MNYDKLSRALRYYYDKNIMSKVHGKRYAYRFDFQGLAQACQPPPAHAHAAAAAAAAAAAA QDGALYKLPAGLAPLPFPGLSKLNLMAASAGVAPAGFSYWPGPNATAAAAATAALYPTPG LQPPPGPFGAVAAASHLGGHYH >ENSMUSP00000041826.5 pep:known chromosome:GRCm38:9:62419492:62537044:-1 gene:ENSMUSG00000041729.15 transcript:ENSMUST00000048043.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro2b description:coronin, actin binding protein, 2B [Source:MGI Symbol;Acc:MGI:2444283] MTVTKMSWRPQYRSSKFRNVYGKAANREHCFDGIPITKNVHDNHFCAVNARFLAIVTESA GGGSFLVIPLEQTGRIEPNYPKVCGHQGNVLDIKWNPFIDNIIASCSEDTSVRIWEIPDG GLKRNMTEALLELHGHSRRVGLVEWHPTTNNILFSAGYDYKVLIWNLDIGEPVKMIDCHT DVILCMSFNTDGSLLTTTCKDKKLRVIEPRSGRVLQEANCKNHRVNRVVFLGNMKRLLTT GVSRWNTRQIALWDQEDLSMPMIEEEIDGLSGLLFPFYDADTHMLYLAGKGDGNIRYYEI STEKPYLSYLMEFRSPAPQKGLGVMPKHGLDVSACEVFRFYKLVTLKGLIEPISMIVPRR SDSYQEDIYPMTPGTEPALTPDEWLGGINRDPVLMSLKEGYKKSSKVVFKAPIREKKSVV VNGIDLLENVPPRTENELLRMFFRQQDEIRRLKEELAQKDIRLRQLQLELKNLRNNPKNC >ENSMUSP00000133481.1 pep:known chromosome:GRCm38:9:62425583:62428001:-1 gene:ENSMUSG00000041729.15 transcript:ENSMUST00000131981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro2b description:coronin, actin binding protein, 2B [Source:MGI Symbol;Acc:MGI:2444283] XTEKPYLSYLMEFRSPAPQKGLGVMPKHGLDVSACEVFRFYKLVTLKGLIEPISMIVPRR SDSYQEDIYPMTPGTEPALTPDEWLGGINRDPVLMSLKEGYKKSSKVVFKAPIREKKSVV VNGIDLLENVPPRTENEVRLQSVPHTSGRVRPLCCHCELQAWKAALSWDIFLSVTHSVSN M >ENSMUSP00000128441.2 pep:known chromosome:GRCm38:9:62427936:62510498:-1 gene:ENSMUSG00000041729.15 transcript:ENSMUST00000164246.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro2b description:coronin, actin binding protein, 2B [Source:MGI Symbol;Acc:MGI:2444283] MSWRPQYRSSKFRNVYGKAANREHCFDGIPITKNVHDNHFCAVNARFLAIVTESAGGGSF LVIPLEQTGRIEPNYPKVCGHQGNVLDIKWNPFIDNIIASCSEDTSVRIWEIPDGGLKRN MTEALLELHGHSRRVGLVEWHPTTNNILFSAGYDYKVLIWNLDIGEPVKMIDCHTDVILC MSFNTDGSLLTTTCKDKKLRVIEPRSGRVLQEANCKNHRVNRVVFLGNMKRLLTTGVSRW NTRQIALWDQEDLSMPMIEEEIDGLSGLLFPFYDADTHMLYLAGKGDGNIRYYEISTEKP YLSYLMEFRSPAPQKGL >ENSMUSP00000134079.1 pep:known chromosome:GRCm38:9:62429334:62449148:-1 gene:ENSMUSG00000041729.15 transcript:ENSMUST00000174439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro2b description:coronin, actin binding protein, 2B [Source:MGI Symbol;Acc:MGI:2444283] MTEALLELHGHSRRVGLVEWHPTTNNILFSAGYDYKVLIWNLDIGEPVKMIDCHTDVILC MSFNTDGSLLTTTCKDKKLRVIEPRSGRVLQEAN >ENSMUSP00000134709.1 pep:known chromosome:GRCm38:9:62432494:62520436:-1 gene:ENSMUSG00000041729.15 transcript:ENSMUST00000173171.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro2b description:coronin, actin binding protein, 2B [Source:MGI Symbol;Acc:MGI:2444283] MERGVVTRVTAMSWRPQYRSSKFRNVYGKAANREHCFDGIPITKNVHDNHFCAVNARFLA IVTESAGGGSFLVIPLEQTGRIEPNYPKVCGHQGNVLDIKWNPFIDNIIASCSEDTSVRI W >ENSMUSP00000101657.2 pep:known chromosome:GRCm38:7:140967221:140969825:1 gene:ENSMUSG00000025491.14 transcript:ENSMUST00000106042.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm1 description:interferon induced transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1915963] MPKEQQEVVVLGSPHISTSATATTINMPEISTPDHVVWSLFNTLFMNFCCLGFVAYAYSV KSRDRKMVGDTTGAQAFASTAKCLNISSLFFTILTAIVVIVVCAIR >ENSMUSP00000101655.1 pep:known chromosome:GRCm38:7:140968028:140969825:1 gene:ENSMUSG00000025491.14 transcript:ENSMUST00000106040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm1 description:interferon induced transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1915963] MPKEQQEVVVLGSPHISTSATATTINMPEISTPDHVVWSLFNTLFMNFCCLGFVAYAYSV KSRDRKMVGDTTGAQAFASTAKCLNISSLFFTILTAIVVIVVCAIR >ENSMUSP00000026564.8 pep:known chromosome:GRCm38:7:140968040:140969825:1 gene:ENSMUSG00000025491.14 transcript:ENSMUST00000026564.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm1 description:interferon induced transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1915963] MPKEQQEVVVLGSPHISTSATATTINMPEISTPDHVVWSLFNTLFMNFCCLGFVAYAYSV KSRDRKMVGDTTGAQAFASTAKCLNISSLFFTILTAIVVIVVCAIR >ENSMUSP00000047712.6 pep:known chromosome:GRCm38:16:93683215:93690990:1 gene:ENSMUSG00000022947.7 transcript:ENSMUST00000039620.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbr3 description:carbonyl reductase 3 [Source:MGI Symbol;Acc:MGI:1309992] MSSCSRVALVTGANKGIGFAITRDLCRKFSGDVVLTARDEARGRAAVQQLQAEGLSPRFH QLDIDDPQSIRALRDFLRKEYGGLNVLVNNAGIAFRMDDPTPFDIQAEVTLKTNFFATRN VCTELLPIMKPHGRVVNISSLQGLKALENCREDLQEKFRCDTLTEVDLVDLMKKFVEDTK NEVHEREGWPDSAYGVSKLGVTVLTRILARQLDEKRKADRILLNACCPGWVKTDMARDQG SRTVEEGAETPVYLALLPPDATEPHGQLVRDKVVQTW >ENSMUSP00000135851.1 pep:known chromosome:GRCm38:7:65861734:65952984:1 gene:ENSMUSG00000030513.14 transcript:ENSMUST00000176199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk6 description:proprotein convertase subtilisin/kexin type 6 [Source:MGI Symbol;Acc:MGI:102897] MDPQVKWLQQQEVKRRVKRQARSDSLYFNDPIWSNMWYMHCTDKNSRCRSEMNVQAAWKR GYTGKNVVVTILDDGIERNHPDLAPNYDSYASYDVNGNDYDPSPRYDASNENKHGTRCAG EVAASANNSYCIVGIAYNAKIGGIRMLDGDVTDVVEAKSLGIRP >ENSMUSP00000053742.5 pep:known chromosome:GRCm38:7:65862029:66050386:1 gene:ENSMUSG00000030513.14 transcript:ENSMUST00000055576.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk6 description:proprotein convertase subtilisin/kexin type 6 [Source:MGI Symbol;Acc:MGI:102897] MPPRAPPAPGPRPPPRAADVARGAGAAGRHGLPPLALRPWRWLLLLALPAACSALPPPRP VYTNHWAVQVLGGPGAADRVAAAHGYLNLGQIGNLDDYYHFYHSKTFKRSTLSSRGPHTF LRMDPQVKWLQQQEVKRRVKRQARSDSLYFNDPIWSNMWYMHCTDKNSRCRSEMNVQAAW KRGYTGKNVVVTILDDGIERNHPDLAPNYDSYASYDVNGNDYDPSPRYDASNENKHGTRC AGEVAASANNSYCIVGIAYNAKIGGIRMLDGDVTDVVEAKSLGIRPNYIDIYSASWGPDD DGKTVDGPGRLAKQAFEYGIKKGRQGLGSIFVWASGNGGREGDHCSCDGYTNSIYTISVS STTENGHKPWYLEECASTLATTYSSGAFYERKIVTTDLRQRCTDGHTGTSVSAPMVAGII ALALEANNQLTWRDVQHLLVKTSRPAHLKASDWKVNGAGHKVSHLYGFGLVDAEALVLEA RKWTAVPSQHVCVATADKRPRSIPIVQVLRTTALTNACADHSDQRVVYLEHVVVRISISH PRRGDLQIHLISPSGTKSQLLAKRLLDFSNEGFTNWEFMTVHCWGEKAEGEWTLEVQDIP SQVRNPEKQGKLKEWSLILYGTAEHPYRTFSSHQSRSRMLELSVPEQEPPKAAGQPPQAE TPEDEEEYTAPSIQGSPNTLQTSVCHPECGDKGCDGPNADQCLNCVHFSLGNSKTNRKCV SECPLGYFGDAAARRCRRCHKGCETCTGRSPAQCLSCRRGFYHHQETNTCVTLCPAGLYA DESQRLCLRCHPSCQKCVDEPEKCTVCKEGFSLARGSCIPDCEPGTYFDSELVKCGECHH TCRTCVGPSREECIHCAKSFHFQDWKCVPACGEGFYPEEMPGLPHKVCRRCEENCLSCEG SSRNCSRCKAGFTQLGTSCITNHTCSNADETFCEMVKSNRLCERKLFIQFCCRTCLLAG >ENSMUSP00000095992.4 pep:known chromosome:GRCm38:7:65862136:66050334:1 gene:ENSMUSG00000030513.14 transcript:ENSMUST00000098391.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk6 description:proprotein convertase subtilisin/kexin type 6 [Source:MGI Symbol;Acc:MGI:102897] MPPRAPPAPGPRPPPRAADVARGAGAAGRHGLPPLALRPWRWLLLLALPAACSALPPPRP VYTNHWAVQVLGGPGAADRVAAAHGYLNLGQIGNLDDYYHFYHSKTFKRSTLSSRGPHTF LRMDPQVKWLQQQEVKRRVKRQARSDSLYFNDPIWSNMWYMHCTDKNSRCRSEMNVQAAW KRGYTGKNVVVTILDDGIERNHPDLAPNYDSYASYDVNGNDYDPSPRYDASNENKHGTRC AGEVAASANNSYCIVGIAYNAKIGGIRMLDGDVTDVVEAKSLGIRPNYIDIYSASWGPDD DGKTVDGPGRLAKQAFEYGIKKGRQGLGSIFVWASGNGGREGDHCSCDGYTNSIYTISVS STTENGHKPWYLEECASTLATTYSSGAFYERKIVTTDLRQRCTDGHTGTSVSAPMVAGII ALALEANNQLTWRDVQHLLVKTSRPAHLKASDWKVNGAGHKVSHLYGFGLVDAEALVLEA RKWTAVPSQHVCVATADKRPRSIPIVQVLRTTALTNACADHSDQRVVYLEHVVVRISISH PRRGDLQIHLISPSGTKSQLLAKRLLDFSNEGFTNWEFMTVHCWGEKAEGEWTLEVQDIP SQVRNPEKQGKLKEWSLILYGTAEHPYRTFSSHQSRSRMLELSVPEQEPPKAAGQPPQAE TPEDEEEYTGVCHPECGDKGCDGPNADQCLNCVHFSLGNSKTNRKCVSECPLGYFGDAAA RRCRRCHKGCETCTGRSPAQCLSCRRGFYHHQETNTCVTLCPAGLYADESQRLCLRCHPS CQKCVDEPEKCTVCKEGFSLARGSCIPDCEPGTYFDSELVKCGECHHTCRTCVGPSREEC IHCAKSFHFQDWKCVPACGEGFYPEEMPGLPHKVCRRCEENCLSCEGSSRNCSRCKAGFT QLGTSCITNHTCSNADETFCEMVKSNRLCERKLFIQFCCRTCLLAG >ENSMUSP00000135033.1 pep:known chromosome:GRCm38:7:65862432:66050340:1 gene:ENSMUSG00000030513.14 transcript:ENSMUST00000176209.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk6 description:proprotein convertase subtilisin/kexin type 6 [Source:MGI Symbol;Acc:MGI:102897] NLGQIGNLDDYYHFYHSKTFKRSTLSSRGPHTFLRMDPQVKWLQQQEVKRRVKRQARSDS LYFNDPIWSNMWYMHCTDKNSRCRSEMNVQAAWKRGYTGKNVVVTILDDGIERNHPDLAP NYDSYASYDVNGNDYDPSPRYDASNENKHGTRCAGEVAASANNSYCIVGIAYNAKIGGIR MLDGDVTDVVEAKSLGIRPNYIDIYSASWGPDDDGKTVDGPGRLAKQAFEYGIKKGRQGL GSIFVWASGNGGREGDHCSCDGYTNSIYTISVSSTTENGHKPWYLEECASTLATTYSSGA FYERKIVTTDLRQRCTDGHTGTSVSAPMVAGIIALALEAKSIPIVQVLRTTALTNACADH SDQRVVYLEHVVVRISISHPRRGDLQIHLISPSGTKSQLLAKRLLDFSNEGFTNWEFMTV HCWGEKAEGEWTLEVQDIPSQVRNPEKQGKLKEWSLILYGTAEHPYRTFSSHQSRSRMLE LSVPEQEPPKAAGQPPQAETPEDEEEYTAPSIQGSPNTLQTSVCHPECGDKGCDGPNADQ CLNCVHFSLGNSKTNRKCVSECPLGYFGDAAARRCRRCHKGCETCTGRSPAQCLSCRRGF YHHQETNTCVTLCPAGLYADESQRLCLRCHPSCQKCVDEPEKCTVCKEGFSLARGSCIPD CEPGTYFDSELVKCGECHHTCRTCVGPSREECIHCAKSFHFQDWKCVPACGEGFYPEEMP GLPHKVCRRCEENCLSCEGSSRNCSRCKAGFTQLGTSCITNHTCSNADETFCEMVKSNRL CERKLFIQFCCRTCLLAG >ENSMUSP00000138855.1 pep:known chromosome:GRCm38:1:187044648:187215465:-1 gene:ENSMUSG00000026611.17 transcript:ENSMUST00000183819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata17 description:spermatogenesis associated 17 [Source:MGI Symbol;Acc:MGI:1921967] METNSNNFGELQELKDMATLAKLLARAPFLESQYYFRNRAVDSFRKFENDAAVMIQSWFR GCQVRAYMRHLNRVVTIIQKWWRSYLGRKFYQLVVEAAYYTMKMNLYNEMAVRIQRRWRG FRIRKYCFNYYYLKEYLRAVSETNDAIREALEEFAEMKEREERKVLLEREEKQKDYQARK MHYLLSTKQISGIYNSPFREHPDPWELRLQKAKPLGHQKYTAEKGKTSQSPSNWLACTSV HSFPQSESLPPISRKRCQGPFRDINEVLEQRYKPLEPTLRVAEPINHLRLAREAFKQEER MRNVQDKMFLPFSSYHKKEKYIPMIHSSSAYNSDSYGQKHFRSQDSKKWISDKDFQTVLP SFQLFSKYGKLYSKAGEIV >ENSMUSP00000139073.1 pep:known chromosome:GRCm38:1:187112484:187142904:-1 gene:ENSMUSG00000026611.17 transcript:ENSMUST00000183996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata17 description:spermatogenesis associated 17 [Source:MGI Symbol;Acc:MGI:1921967] MKEREERKVLLEREEKQKDYQARKMHYLLSTKQISGIYNSPFREHPDPWELRLQKAKPLG HQKYTAEKGKTSQSPSNWLACTSVHSFPQSESLPPISRKRCQGPFRDINEVLEQRYKPLE PTLRVAEPINHLRLAREAFKQEERMRNVQ >ENSMUSP00000139329.1 pep:known chromosome:GRCm38:1:187117263:187215437:-1 gene:ENSMUSG00000026611.17 transcript:ENSMUST00000183931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata17 description:spermatogenesis associated 17 [Source:MGI Symbol;Acc:MGI:1921967] METNSNNFGELQELKDMATLAKLLARAPFLESQYYFRNREALEEFAEMKEREERKVLLER EEKQKDYQARKMHYLLSTKQISGIYNSPFREHPDPWELRLQKAKPLGHQKYTAEKGKTSQ SPSNWLACTSVHSFPQSESLPPISRKRCQ >ENSMUSP00000139009.1 pep:known chromosome:GRCm38:1:187135293:187143097:-1 gene:ENSMUSG00000026611.17 transcript:ENSMUST00000184543.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata17 description:spermatogenesis associated 17 [Source:MGI Symbol;Acc:MGI:1921967] MKEREERKVLLEREEKQKDYQARKMHYLLSTKQCGHPTAA >ENSMUSP00000106570.1 pep:known chromosome:GRCm38:1:187135293:187215421:-1 gene:ENSMUSG00000026611.17 transcript:ENSMUST00000110945.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata17 description:spermatogenesis associated 17 [Source:MGI Symbol;Acc:MGI:1921967] METNSNNFGELQELKDMATLAKLLARAPFLESQYYFRNRAVDSFRKFENDAAVMIQSWFR GCQVRAYMRHLNRVVTIIQKWWRSYLGRKFYQLVVEAAYYTMKMNLYNEMAVRIQRRWRG FRIRKYCFNYYYLKEYLRAVSETNDAIREALEEFAEMKEREERKVLLEREEKQKDYQARK MHYLLSTKQHKH >ENSMUSP00000027908.6 pep:known chromosome:GRCm38:1:187048407:187215446:-1 gene:ENSMUSG00000026611.17 transcript:ENSMUST00000027908.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata17 description:spermatogenesis associated 17 [Source:MGI Symbol;Acc:MGI:1921967] METNSNNFGELQELKDMATLAKLLARAPFLESQYYFRNRAVDSFRKFENDAAVMIQSWFR GCQVRAYMRHLNRVVTIIQKWWRSYLGRKFYQLVVEAAYYTMKMNLYNEMAVRIQRRWRG FRIRKYCFNYYYLKEYLRAVSETNDAIREALEEFAEMKEREERKVLLEREEKQKDYQARK MHYLLSTKQISGIYNSPFREHPDPWELRLQKAKPLGHQKYTAEKGKTSQSPSNWLACTSV HSFPQSESLPPISRKRCQGPFRDINEVLEQRYKPLEPTLRVAEPINHLRLAREAFKQEER MRNVQDKMFLPFSSYHKKEKYIPMIHSSSAYNSDSYGQKHFRSQDSKKWISDKDFQTVLP SFQLFSKYGKLYSKAGEIV >ENSMUSP00000021097.3 pep:known chromosome:GRCm38:11:115892595:115933477:-1 gene:ENSMUSG00000020752.11 transcript:ENSMUST00000021097.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Recql5 description:RecQ protein-like 5 [Source:MGI Symbol;Acc:MGI:2156841] MSARPFSTPFDRERRVRSTLKKVFGFDSFKTPLQESATMAVVKGAEDVFVCMPTGAGKSL CYQLPALLASGITIVVSPLIALIQDQVDHLLALKVQVSSLNSKLSVQERKELLSDLERDK PRTKLLYITPEMAASASFQPTLNSLVSRNLLSYLVVDEAHCVSQWGHDFRPDYLRLGALR SRLAHAPCVALTATATPQVQEDVFAALHLKQPVASFKTPCFRANLFYDVQFKELIPDVYG NLRDFCLKALGQKAENGSSSGCGIVYCRTREACEQLAIELSSRGVNAKAYHAGLKASDRT QVQNEWMEEKVPVIVATISFGMGVDKANVRFVAHWNIAKSMAGYYQESGRAGRDGKPSWC RLYYSRNDRDQVSFLIRKELAKLQEKRGNKPSDKATLLAFDALVTFCEEVGCRHAAIAKY FGDAPPACAKGCDYCQNPAAITKKLDALERSSSWSKTCIGPSQGNGFDPELYEGGRRGYG GFSRYDEGSGGSGDEGRDEAHKREWNLFYQKQMSLRKGKEPKIEEFTPPDEDCPLREASS RKIPKLTVKAREHCLRLLEEALISNHQAAGSTHGADLQAKAVELEHETFRNAKMVNLYKA SVLKKVAEIHKASKDGQLYDMESGTKSCGAAAEFSEPSDYDIPPTSHVYSLKPKRVGAGF SKGPCSFQTATELLGKSHSQKQAPEAMLEGGQEPPGWVCDLQDEDRSKPHPGYQEKALGS SVNCGDPSPEKKTKGSSQGSAKARASKKQQLLATAARKDSQNITRFLCQRTESPPLPASV PRSEDASPSCGDVPGKCTQEVGAQGHLVAVFQTEGPRERPSTCSLRDQSFPEGQPSPLKE TQAEKRPRPQQGNPERRAQKRLRPSTKSSILAEAKDSTLASDRSTENKVAQEPCQLSASG TSLREAADIVVRHLTPFYKEGRFISKDLFKGFARHLSHLLAQQLSPGRSVKEEAQSLIKQ FFHNRARCESEADWHSLRGPQR >ENSMUSP00000136506.1 pep:known chromosome:GRCm38:11:115892595:115933477:-1 gene:ENSMUSG00000020752.11 transcript:ENSMUST00000140174.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Recql5 description:RecQ protein-like 5 [Source:MGI Symbol;Acc:MGI:2156841] MSARPFSTPFDRERRVRSTLKKVFGFDSFKTPLQESATMAVVKGAEDVFVCMPTGAGKSL CYQLPALLASGITIVVSPLIALIQDQVDHLLALKVQVSSLNSKLSVQERKELLSDLERDK PRTKLLYITPEMAASASFQPTLNSLVSRNLLSYLVVDEAHCVSQWGHDFRPDYLRLGALR SRLAHAPCVALTATATPQVQEDVFAALHLKQPVASFKTPCFRANLFYDVQFKELIPDVYG NLRDFCLKALGQKAENGVRCWGAQSKMLSATQTQLLFPSCLSWEFLVPDPRIGR >ENSMUSP00000136178.1 pep:known chromosome:GRCm38:11:115895415:115927386:-1 gene:ENSMUSG00000020752.11 transcript:ENSMUST00000131578.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Recql5 description:RecQ protein-like 5 [Source:MGI Symbol;Acc:MGI:2156841] EQLAIELSSRGVNAKAYHAGLKASDRTQVQNEWMEEKVPVIVATISFGMGVDKANVRFVA HWNIAKSMAGYYQESGRAGRDGKPSWCRLYYSRNDRDQVSFLIRKELAKLQEKRGNKPSD KATLLAFDALVTFCEEVGPVPRVHPRQRSGRQGVMEVCSVAALSPHLPGAAPAREPCDNC DLERASKGLSGAAMLPLLSTLGMHPPPAPKAVTTARILQP >ENSMUSP00000137157.1 pep:known chromosome:GRCm38:11:115914534:115933477:-1 gene:ENSMUSG00000020752.11 transcript:ENSMUST00000134208.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Recql5 description:RecQ protein-like 5 [Source:MGI Symbol;Acc:MGI:2156841] MSARPFSTPFDRERRVRSTLKKVFGFDSFKTPLQESATMAVVKGAEDVFVCMPTGAGKSL CYQLPALLASGITIVVSPLIALIQVQEDVFAALHLKQPVASFKTPCFRANLFYDVQFKEL IPDVYGNLRDFCLKALGQKAENGSSSGCGIVYCRTREACEQLAIELSSRGVNAKAYHAGL KASDRTQVQNEWMEEKVPVIVATISFGMGVDKANVRFVAHWNIAKSMAGYYQESGRAGRD GKPSWCRLYYSRNDRDQVSFLIRKELAKLQEKRGNKPSDKATLLAFDALVTFCEEVGLAF DFGLS >ENSMUSP00000136148.1 pep:known chromosome:GRCm38:17:39536142:39540847:1 gene:ENSMUSG00000096345.1 transcript:ENSMUST00000178037.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp16 description:exocrine gland secreted peptide 16 [Source:MGI Symbol;Acc:MGI:3782529] MASFPLMYFLIFLVFPTILPEGMALKRTEKEPNISADLNDDFEISFCQTIRDRLDLMRMS RGSVVGKISLNYVVLMYCREYSLTM >ENSMUSP00000052254.6 pep:known chromosome:GRCm38:3:58844028:58885212:-1 gene:ENSMUSG00000043850.15 transcript:ENSMUST00000055636.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clrn1 description:clarin 1 [Source:MGI Symbol;Acc:MGI:2388124] MPSQQKKIIFCMAGVLSFLCALGVVTAVGTPLWVKATILCKTGALLVNASGKELDKFMGE MQYGLFHGEGVRQCGLGARPFRFSSRSMKERYSLYEDKGETAVFPDLVQAIPVSIHINII LFSMILVVLTMVGTAFFMYNAFGKPFETLHGPLGLYLVSFISGSCGCLVMILFASEVKVH RLSEKIANFKEGTYAYRTQNENYTTSFWVVFICFFVHFLNGLLIRLAGFQFPFTKSKETE TTNVASDLMY >ENSMUSP00000072363.6 pep:known chromosome:GRCm38:3:58845215:58885212:-1 gene:ENSMUSG00000043850.15 transcript:ENSMUST00000072551.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clrn1 description:clarin 1 [Source:MGI Symbol;Acc:MGI:2388124] MPSQQKKIIFCMAGVLSFLCALGVVTAVGTPLWVKATILCKTGALLVNASGKELDKFMGE MQYGLFHGEGVRQCGLGARPFRFSCSCGCLVMILFASEVKVHRLSEKIANFKEGTYAYRT QNENYTTSFWVVFICFFVHFLNGLLIRLAGFQFPFTKSKETETTNVASDLMY >ENSMUSP00000051738.7 pep:known chromosome:GRCm38:3:58845981:58885340:-1 gene:ENSMUSG00000043850.15 transcript:ENSMUST00000051408.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clrn1 description:clarin 1 [Source:MGI Symbol;Acc:MGI:2388124] MPSQQKKIIFCMAGVLSFLCALGVVTAVGTPLWVKATILCKTGALLVNASGKELDKFMGE MQYGLFHGEGVRQCGLGARPFRFSFFPDLVQAIPVSIHINIILFSMILVVLTMVGTAFFM YNAFGKPFETLHGPLGLYLVSFISGSCGCLVMILFASEVKVHRLSEKIANFKEGTYAYRT QNENYTTSFWVVFICFFVHFLNGLLIRLAGFQFPFTKSKETETTNVASDLMY >ENSMUSP00000018710.6 pep:known chromosome:GRCm38:11:69942543:69948149:-1 gene:ENSMUSG00000018566.14 transcript:ENSMUST00000018710.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a4 description:solute carrier family 2 (facilitated glucose transporter), member 4 [Source:MGI Symbol;Acc:MGI:95758] MPSGFQQIGSDDGEPPRQRVTGTLVLAVFSAVLGSLQFGYNIGVINAPQKVIEQSYNATW LGRQGPGGPDSIPQGTLTTLWALSVAIFSVGGMISSFLIGIISQWLGRKRAMLANNVLAV LGGALMGLANAAASYEILILGRFLIGAYSGLTSGLVPMYVGEIAPTHLRGALGTLNQLAI VIGILVAQVLGLESMLGTATLWPLLLALTVLPALLQLILLPFCPESPRYLYIIRNLEGPA RKSLKRLTGWADVSDALAELKDEKRKLERERPMSLLQLLGSRTHRQPLIIAVVLQLSQQL SGINAVFYYSTSIFESAGVGQPAYATIGAGVVNTVFTLVSVLLVERAGRRTLHLLGLAGM CGCAILMTVALLLLERVPAMSYVSIVAIFGFVAFFEIGPGPIPWFIVAELFSQGPRPAAM AVAGFSNWTCNFIVGMGFQYVADAMGPYVFLLFAVLLLGFFIFTFLKVPETRGRTFDQIS AAFRRTPSLLEQEVKPSTELEYLGPDEND >ENSMUSP00000136806.1 pep:known chromosome:GRCm38:11:69943792:69948164:-1 gene:ENSMUSG00000018566.14 transcript:ENSMUST00000141837.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a4 description:solute carrier family 2 (facilitated glucose transporter), member 4 [Source:MGI Symbol;Acc:MGI:95758] MPSGFQQIGSDDGEPPRQRVTGTLVLAVFSAVLGSLQFGYNIGVINAPQKVIEQSYNATW LGRQGPGGPDSIPQGTLTTLWALSVAIFSVGGMISSFLIGIISQWLGRKRAMLANNVLAV LGGALMGLANAAASYEILILGRFLIGAYSGLTSGLVPMYVGEIAPTHLRGALGTLNQLAI VIGILVAQVLGLESMLGTATLWPLLLALTVLPALLQLILLPFCPESPRYLYIIRNLEGPA RKSLKRLTGWADVSDALAELKDEKRKLERERPMSLLQLLGSRTHRQPLIIAVVLQLSQQL SGINAVFYYSTSIFESAGVGQPAYATIGAGVVNTVFTLVSVLLVERAGRRTLHLLGLAGM CGCAILMTVALLLLERVPAMSYVSIVAIFGFVAFFEIGPGPIPWFIVAELFSQGPRPAAM AVAGFSNWTCNFIVGMGFQYVAVGSLPFAQFPTSVARGKANPPGPPPPVPSLSGQGLPAM >ENSMUSP00000136504.1 pep:known chromosome:GRCm38:11:69945269:69947974:-1 gene:ENSMUSG00000018566.14 transcript:ENSMUST00000152487.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc2a4 description:solute carrier family 2 (facilitated glucose transporter), member 4 [Source:MGI Symbol;Acc:MGI:95758] XGFQQIGSDDGEPPRQRVTGTLVLAVFSAVLGSLQFGYNIGVINAPQKEKGYAGQQCLGR VGGRPHGPSQCRGLL >ENSMUSP00000136455.1 pep:known chromosome:GRCm38:11:69945269:69948147:-1 gene:ENSMUSG00000018566.14 transcript:ENSMUST00000178363.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc2a4 description:solute carrier family 2 (facilitated glucose transporter), member 4 [Source:MGI Symbol;Acc:MGI:95758] MPSGFQQIGSDDGEPPRQRVTGTLVLAVFSAVLGSLQFGYNIGVINAPQKG >ENSMUSP00000136726.1 pep:known chromosome:GRCm38:11:69945563:69948145:-1 gene:ENSMUSG00000018566.14 transcript:ENSMUST00000179298.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a4 description:solute carrier family 2 (facilitated glucose transporter), member 4 [Source:MGI Symbol;Acc:MGI:95758] MPSGFQQIGSDDGEPPRQRVTGTLVLAVFSAVLGSLQFGYNIGVINAPQKVIEQSYNATW LGRQGPGGPDSIPQGTLTTLWALSVAIFSVGGMISSFLIGIISQWLGRKRAMLANNVLAV LGGALMGLANAAASYEILILGRFLIGAYSGLTSGLVPMYVGEIAPTHLRGALGTLNQLAI VIGILVAQVLGLESMLGTATLWPLLLALTVLPALLQLILLPFCPESPRYLYIIRNLEGPA RK >ENSMUSP00000137463.1 pep:known chromosome:GRCm38:11:69945908:69948188:-1 gene:ENSMUSG00000018566.14 transcript:ENSMUST00000142500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a4 description:solute carrier family 2 (facilitated glucose transporter), member 4 [Source:MGI Symbol;Acc:MGI:95758] MYVGEIAP >ENSMUSP00000137092.1 pep:known chromosome:GRCm38:11:69946069:69948154:-1 gene:ENSMUSG00000018566.14 transcript:ENSMUST00000135437.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a4 description:solute carrier family 2 (facilitated glucose transporter), member 4 [Source:MGI Symbol;Acc:MGI:95758] MISSFLIGIISQWLGRKRAMLANNVLAVLGGALMGLANAAASYEILILGRFLIGAYS >ENSMUSP00000042352.4 pep:known chromosome:GRCm38:17:56843103:56874447:-1 gene:ENSMUSG00000024207.8 transcript:ENSMUST00000043062.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsbg2 description:acyl-CoA synthetase bubblegum family member 2 [Source:MGI Symbol;Acc:MGI:3587728] MTQEKKAEDLERGTDATSAVPRLWSTHCDGEVLLRLSKHGPGHETPMTIPELFQESAERF SAYPALASKNGKKWDTLTFSQYYEMCRKAAKSLIKLGLQRFQCVGILGFNSVEWVVTALG TILAGGLCVGIYATNSAEACQYVIQQANVSILIVENDQQLQKILLIPPDKMETVKAIVQY KLPLMESMANLYSWNDFMELGNDIPNIQLDRVILSQKANQCAVILYTSGTTGTPKGVLLS HDNITWTAGAMSQEMEINRVSGKQNTIVSYLPLSHIAAQLTDIWIPIKIGALTFFAQPDA LRGTLVYTLQEVKPTLFMGVPRIWEKMQDTIKENVARSSRLRKKAFAWAKMLGLKVNTKR MLGKRDIPMNYRMAKALVFAKVRTSLGLDNCHAFFSSASPLSQDVSEFFLSLDIPIGEIY GMSECSGPHTVSNKSVYRVLSCGKVLSGCKNMLYNQNKEGVGEVCMWGRHVFMGYLNKEE ATLEALDENGWLHSGDIGRLDSHDFLYITGRIKEILITAGGENVSPIPIETLVKEKIPII SHAMLVGDKAKFLCMLLTLKCETDRKSGEPLNKLSVEAKSFCQMLGSQATTVSDILKSRD QVVYTAIQYGIDIVNQQAMSDSHRIRKWIILEKDFSIQGGELGPTSKLKRSVITQKYKAQ IDSMYLS >ENSMUSP00000026381.6 pep:known chromosome:GRCm38:4:140745865:140774236:-1 gene:ENSMUSG00000025330.6 transcript:ENSMUST00000026381.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Padi4 description:peptidyl arginine deiminase, type IV [Source:MGI Symbol;Acc:MGI:1338898] MAQGAVIHVAPEQPTHAVCVVGTATPLDVRGSAPKGYTTFGITASPGVIVDVIHGPPVKK STMGASKWPLDPELEVTLQVKAASSRTDDEKVRVSYYGPKTSPVQALIYITGVELSLSAD VTRTGRVKPAQAGKDQSTWTWGPGGRGAILLVNCDKEDPQASGMDFEDDKILDNKDLQDM SPMTLSTKTPKDFFEKYQLVLEVPKAKMNRVRVFRATRGKLPSRYKVALGPQQFSYCLEL PGGQHSTDFYVEGLAFPDADFKGLIPLTISLLDKSNPELPEALVFQDSVTFRVAPWIMTP NTQPPQEVYVCRVSDNEDFLKSLATLTKKAKCKLTVCPEEENIDDQWMQDEMEIGYIQAP HKTLPVVFDSPRDRGLKDFPVKRVMGPNFGYVTRKLYMSELTGLDAFGNLEVSPPVTVRG KEYPLGRILIGNSGYSSSESRDMHQALQDFLSAQQVQAPVRLFSDWLFVGHVDEFLSFVP ARDKQGFRLLLSSPRACYQLFQELQSQGHGEATLFEGLKRKRQTINEILSNKKLRDQNAY VESCIDWNRAVLKRELGLAEGDIIDIPQLFKLAGNSRGNSKAQAFFPNMVNMLVLGKYLG IPKPFGPIIDGHCCLEEEVRSHLEPLGLHCTFINDFYTYHVYNGEVHCGTNVRRKPFTFK WWHMVP >ENSMUSP00000063617.4 pep:known chromosome:GRCm38:5:92006074:92043041:-1 gene:ENSMUSG00000029403.14 transcript:ENSMUST00000069937.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl2 description:cyclin-dependent kinase-like 2 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1858227] MEKYENLGLVGEGSYGMVMKCRNKDSGRIVAIKKFLESDDDKMVKKIAMREIKLLKQLRH ENLVNLLEVCKKKKRWYLVFEFVDHTILDDLKLFPNGLDYQVVQKYLFQIINGIGFCHSH NIIHRDIKPENILVSQSGVVKLCDFGFARTLAAPGEVYTDYVATRWYRAPELLVGDVKYG KAVDIWAIGCLVIEMLMGQPLFPGESDIDQLHHIMTCLGNLIPRHQELFYKNPVFAGVRL PEVKDAEAEPLESRYPKLPEAVISLAKKCLHIDPDKRPFCADLLRHDFFQMDGFAERFSQ ELQLKIEKDARNNSLPKKSQNRKKEKDDALGEERKTLVVQDTNADPKIKDSKVFKVKGSK IDVEKMEKGSRASNANCLHDNGTNHKGLASTSLRDCSNVNIDHSRNPGTAIPPLTHNLSA VAPGINAGMGTIPGVQNYRVDEKTKKYCNPFVKPNQPPPAGIYNMNVSTSVSGEKYLLQA NKKRKEYPKADVRLPELNYNHLPELRALEGIARNSRLIKKENKCLSESRIPSLAAIDLHV SSVASHQMLPALEMTSSCTRALIP >ENSMUSP00000084199.5 pep:known chromosome:GRCm38:5:92006074:92043042:-1 gene:ENSMUSG00000029403.14 transcript:ENSMUST00000086978.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl2 description:cyclin-dependent kinase-like 2 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1858227] MEKYENLGLVGEGSYGMVMKCRNKDSGRIVAIKKFLESDDDKMVKKIAMREIKLLKQLRH ENLVNLLEVCKKKKRWYLVFEFVDHTILDDLKLFPNGLDYQVVQKYLFQIINGIGFCHSH NIIHRDIKPENILVSQSGVVKLCDFGFARTLAAPGEVYTDYVATRWYRAPELLVGDVKYG KAVDIWAIGCLVIEMLMGQPLFPGESDIDQLHHIMTCLGNLIPRHQELFYKNPVFAGVRL PEVKDAEAEPLESRYPKLPEAVISLAKKCLHIDPDKRPFCADLLRHDFFQMDGFAERFSQ ELQLKIEKDARNNSLPKKSQNRKKEKDDALGEERKTLVVQDTNADPKIKDSKVFKVKGSK IDVEKMEKGSRASNANCLHDNGTNHKGLASTSLRDCSNVNIDHSRNPGTAIPPLTHNLSA VAPGINAGMGTIPGVQNYRVDEKTKKYCNPFVKPNQPPPAGIYNMNVSTSVSGEKYLLQA NKKRKEYPKADVRLPELNYNHLPELRALEGIARNSRLIKKENKCLSESRIPSLAAIDLHV SSVASHQGAGSPLSDDSEADLPRMEHQH >ENSMUSP00000108768.1 pep:known chromosome:GRCm38:5:92007804:92042696:-1 gene:ENSMUSG00000029403.14 transcript:ENSMUST00000113143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl2 description:cyclin-dependent kinase-like 2 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1858227] MEKYENLGLVGEGSYGMVMKCRNKDSGRIVAIKKFLESDDDKMVKKIAMREIKLLKQLRH ENLVNLLEVCKKKKRWYLVFEFVDHTILDDLKLFPNGLDYQVVQKYLFQIINGIGFCHSH NIIHRDIKPENILVSQSGVVKLCDFGFARTLAAPGEVYTDYVATRWYRAPELLVGDVKYG KAVDIWAIGCLVIEMLMGQPLFPGESDIDQLHHIMTCLGNLIPRHQELFYKNPVFAGVRL PEVKDAEAEPLESRYPKLPEAVISLAKKCLHIDPDKRPFCADLLRHDFFQMDGFAERFSQ ELQLKIEKDARNNSLPKKSQNRKKEKDDALGEERKTLVVQDTNADPKIKDSKVFKVKGSK IDVEKMEKGSRASNANCLHDNGTNHKGLASTSLRDCSNVNIDHSRNPGTAIPPLTHNLSA VAPGINAGMGTIPGVQNYRVDEKTKKYCNPFVKPNQPPPAGIYNMNVSTSVSGEKYLLQA NKKRKEYPKADVRLPELNYNHLPELRALEGIARNSRLIKKENKCLSESRIPSLAAIDLHV SSVASHQMLPALEMTSSCTRALIP >ENSMUSP00000108765.1 pep:known chromosome:GRCm38:5:92007823:92042672:-1 gene:ENSMUSG00000029403.14 transcript:ENSMUST00000113140.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl2 description:cyclin-dependent kinase-like 2 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1858227] MEKYENLGLVGEGSYGMVMKCRNKDSGRIVAIKKFLESDDDKMVKKIAMREIKLLKQLRH ENLVNLLEVCKKKKRWYLVFEFVDHTILDDLKLFPNGLDYQVVQKYLFQIINGIGFCHSH NIIHRDIKPENILVSQSGVVKLCDFGFARTLAAPGEVYTDYVATRWYRAPELLVGDVKYG KAVDIWAIGCLVIEMLMGQPLFPGESDIDQLHHIMTCLGNLIPRHQELFYKNPVFAGVRL PEVKDAEAEPLESRYPKLPEAVISLAKKCLHIDPDKRPFCADLLRHDFFQMDGFAERFSQ ELQLKIEKDARNNSLPKKSQNRKKEKDDALGEERKTLVVQDTNADPKIKDSKVFKVKGSK IDVEKMEKGSRASNANCLHDNGTNHKGLASTSLRDCSNVNIDHSRNPGTAIPPLTHNLSA VAPGINAGMGTIPGVQNYRVDEKTKKYCNPFVKPNQPPPAGIYNMNVSTSVSGEKYLLQA NKKRKEYPKADVRLPELNYNHLPELRALEGIARNSRLIKKENKCLSESRIPSLAAIDLHV SSVASHQGAGSPLSDDSEADLPRMEHQH >ENSMUSP00000143967.1 pep:known chromosome:GRCm38:5:92022309:92031085:-1 gene:ENSMUSG00000029403.14 transcript:ENSMUST00000201357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl2 description:cyclin-dependent kinase-like 2 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1858227] XVFAGVRLPEVKDAEAEPLESRYPKLPEAVISLAKKCLHIDPDKRPFCADLLRHDFFQMD GFAESAHRLVQTFSC >ENSMUSP00000037328.8 pep:known chromosome:GRCm38:3:152093839:152166230:-1 gene:ENSMUSG00000039131.15 transcript:ENSMUST00000046614.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gipc2 description:GIPC PDZ domain containing family, member 2 [Source:MGI Symbol;Acc:MGI:1889209] MPLGLRGKKKAAKSKEAARLVEGERSSGSQGVPGPPAPARKLVFHAQLAHGSATGRVEDF SSISELYAKIAGVFEIAPSEILFCTLNTPKIDMGKLLGGQLGLEDFIFAHVKGTKKEVNV YKSEDSLGLTITDNGVGYAFIKRIKDGSTIDSVKTICVGDHIECINGENIVGWRHFEVAK KLKELKKEELFTLQLIEPKKAFEIGPRSKAGKTSTEKIGTSRGTLRLRSKGPATVEELPS EAKAKAIGKVDDLLELYMGIRDIDLATTMFEAGKDKSNPDEFAVALDETLGDFAFPDEFL FDVWGAISDMKQGR >ENSMUSP00000077873.4 pep:known chromosome:GRCm38:1:171018920:171029761:1 gene:ENSMUSG00000059089.4 transcript:ENSMUST00000078825.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgr4 description:Fc receptor, IgG, low affinity IV [Source:MGI Symbol;Acc:MGI:2179523] MWQLLLPTALVLTAFSGIQAGLQKAVVNLDPKWVRVLEEDSVTLRCQGTFSPEDNSIKWF HNESLIPHQDANYVIQSARVKDSGMYRCQTALSTISDPVQLEVHMGWLLLQTTKWLFQEG DPIHLRCHSWQNRPVRKVTYLQNGKGKKYFHENSELLIPKATHNDSGSYFCRGLIGHNNK SSASFRISLGDPGSPSMFPPWHQITFCLLIGLLFAIDTVLYFSVRRGLQSPVADYEEPKI QWSKEPQDK >ENSMUSP00000113473.1 pep:known chromosome:GRCm38:10:127667144:127696940:1 gene:ENSMUSG00000040195.11 transcript:ENSMUST00000118728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem194 description:transmembrane protein 194 [Source:MGI Symbol;Acc:MGI:2446113] MLQESQVDMNSSQQFCYKNVLIPKWHDIWTRIQVRVNSSKLVRVTQVDNEEKLKELEQFS IWNFFSSFLKEKLNDTYVNVGLYSTKTCLKVEMIEKDTTYSVTVTRRFDPKLFLVFLLGL TLFFCGDLLSRSQIFYYSTGMSVGIVASLLIVIFMISKFMPKRSPIYVILVGGWSFSLYL IQLVFKNLQEIWRSYWHYLLSYILTVGFMSFAVCYKYGPLENERSINLLTWTLQLLGLGL MYSSIQIPHVAFALIVIALCTKNLEYPIHWLCSTYRRMCKASGKPVPPRLLTEEEYRIQG EVETQKALQELREFCNSPECSAWKTISRIQSPKRFADFVEGSFHLTPNEVSVHEQEYGLG SIFTQDEELSSEEEGSEYPTFTQNNFLT >ENSMUSP00000113337.1 pep:known chromosome:GRCm38:10:127677064:127695168:1 gene:ENSMUSG00000040195.11 transcript:ENSMUST00000118612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem194 description:transmembrane protein 194 [Source:MGI Symbol;Acc:MGI:2446113] MAGGIKVSVWSAVGPGPRCWGAGGGGGATWLLLVVAGCVVCGSADVNVVMLQESQVDMNS SQQFCYKNVLIPKWHDIWTRIQVRVNSSKLVRVTQVDNEEKLKELEQFSIWNFFSSFLKE KLNDTYVNVGLYSTKTCLKVEMIEKDTTYSVTVTRRFDPKLFLVFLLGLTLFFCGDLLSR SQIFYYSTGMSVGIVASLLIVIFMISKFMPKRSPIYVILVGGWSFSLYLIQLVFKNLQEI WRSYWHYLLSYILTVGFMSFAVCYKYGPLENERSINLLTWTLQLLGLGLMYSSIQIPHVA FALIVIALCTKNLEYPIHWLCSTYR >ENSMUSP00000045988.4 pep:known chromosome:GRCm38:10:127677066:127701049:1 gene:ENSMUSG00000040195.11 transcript:ENSMUST00000048099.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem194 description:transmembrane protein 194 [Source:MGI Symbol;Acc:MGI:2446113] MAGGIKVSVWSAVGPGPRCWGAGGGGGATWLLLVVAGCVVCGSADVNVVMLQESQVDMNS SQQFCYKNVLIPKWHDIWTRIQVRVNSSKLVRVTQVDNEEKLKELEQFSIWNFFSSFLKE KLNDTYVNVGLYSTKTCLKVEMIEKDTTYSVTVTRRFDPKLFLVFLLGLTLFFCGDLLSR SQIFYYSTGMSVGIVASLLIVIFMISKFMPKRSPIYVILVGGWSFSLYLIQLVFKNLQEI WRSYWHYLLSYILTVGFMSFAVCYKYGPLENERSINLLTWTLQLLGLGLMYSSIQIPHVA FALIVIALCTKNLEYPIHWLCSTYRRMCKASGKPVPPRLLTEEEYRIQGEVETQKALQEL REFCNSPECSAWKTISRIQSPKRFADFVEGSFHLTPNEVSVHEQEYGLGSIFTQDEELSS EEEGSEYPTFTQNNFLT >ENSMUSP00000124673.1 pep:known chromosome:GRCm38:6:72513656:72520627:-1 gene:ENSMUSG00000052631.14 transcript:ENSMUST00000162561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d6 description:SH2 domain containing 6 [Source:MGI Symbol;Acc:MGI:1918380] MFKPPSSLCHQHPFLKAQEEGEEEDKYELPPCEVLPVSLAPAQSLGSKDDSLYLDRSGPL DPSKPPLPPPQSTMARGLPINPSFPTRPTSGYHFPLKTAMNPQPAKQGPVFGRQGRGTSA RMVTKKPDEDIYLECEPDPVPVLTRSLSSKALIPPVPLPRTSGLPKSVAGYQEARNGAMD GALKAGRRLSASSIAPALSSSVAENGSLLGQPWYSGNCDRQSVERALLHFQKDGAYTVRL SSGPHSSQPFTLAVLLRGRVFNIPIRQLDGGHHYALGREGRNHEELFSSVAAMVQHYTKH PLPLVDGHSGNRGLTYLRFPTKP >ENSMUSP00000123776.1 pep:known chromosome:GRCm38:6:72513656:72520650:-1 gene:ENSMUSG00000052631.14 transcript:ENSMUST00000159877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d6 description:SH2 domain containing 6 [Source:MGI Symbol;Acc:MGI:1918380] MARGLPINPSFPTRPTSGYHFPLKTAMNPQPAKQGPVFGRQGRGTSARMVTKKPDEDIYL ECEPDPVPVLTRSLSSKALIPPVPLPRTSGLPKSVAGYQEARNGAMDGALKAGRRLSASS IAPALSSSVAENGSLLGQPWYSGNCDRQSVERALLHFQKDGAYTVRLSSGPHSSQPFTLA VLLRGRVFNIPIRQLDGGHHYALGREGRNHEELFSSVAAMVQHYTKHPLPLVDGHSGNRG LTYLRFPTKP >ENSMUSP00000087117.4 pep:known chromosome:GRCm38:6:72513654:72520538:-1 gene:ENSMUSG00000052631.14 transcript:ENSMUST00000089687.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d6 description:SH2 domain containing 6 [Source:MGI Symbol;Acc:MGI:1918380] MSCPPVRLPVSLAPAQSLGSKDDSLYLDRSGPLDPSKPPLPPPQSTMARGLPINPSFPTR PTSGYHFPLKTAMNPQPAKQGPVFGRQGRGTSARMVTKKPDEDIYLECEPDPVPVLTRSL SSKALIPPVPLPRTSGLPKSVAGYQEARNGAMDGALKAGRRLSASSIAPALSSSVAENGS LLGQPWYSGNCDRQSVERALLHFQKDGAYTVRLSSGPHSSQPFTLAVLLRGRVFNIPIRQ LDGGHHYALGREGRNHEELFSSVAAMVQHYTKHPLPLVDGHSGNRGLTYLRFPTKP >ENSMUSP00000026565.6 pep:known chromosome:GRCm38:7:141009586:141010770:-1 gene:ENSMUSG00000025492.6 transcript:ENSMUST00000026565.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm3 description:interferon induced transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:1913391] MNHTSQAFITAASGGQPPNYERIKEEYEVAEMGAPHGSASVRTTVINMPREVSVPDHVVW SLFNTLFMNFCCLGFIAYAYSVKSRDRKMVGDVTGAQAYASTAKCLNISTLVLSILMVVI TIVSVIIIVLNAQNLHT >ENSMUSP00000058813.1 pep:known chromosome:GRCm38:1:144138654:144177372:-1 gene:ENSMUSG00000051079.8 transcript:ENSMUST00000052375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs13 description:regulator of G-protein signaling 13 [Source:MGI Symbol;Acc:MGI:2180585] MSRHICWICKLCRDESKRLPSNLTLDEVLKWAQSLESLMATKYGPIVYTAYLKLEHSDEN IKFWMACETYKKIASRRGRISRAKKLYNIYIQPQSPREINIDSTTREAIIKSIREPTQTC FEEAQKIVYMHMEMDSYPRFLKSEMYQQLLKTVQSQSS >ENSMUSP00000107572.1 pep:known chromosome:GRCm38:1:144138667:144177275:-1 gene:ENSMUSG00000051079.8 transcript:ENSMUST00000111941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs13 description:regulator of G-protein signaling 13 [Source:MGI Symbol;Acc:MGI:2180585] MSRHICWICKLCRDESKRLPSNLTLDEVLKWAQSLESLMATKYGPIVYTAYLKLEHSDEN IKFWMACETYKKIASRRGRISRAKKLYNIYIQPQSPREINIDSTTREAIIKSIREPTQTC FEEAQKIVYMHMEMDSYPRFLKSEMYQQLLKTVQSQSS >ENSMUSP00000140673.1 pep:known chromosome:GRCm38:7:143106362:143149537:1 gene:ENSMUSG00000009545.14 transcript:ENSMUST00000186488.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq1 description:potassium voltage-gated channel, subfamily Q, member 1 [Source:MGI Symbol;Acc:MGI:108083] MTLGCVKLTTEANRTVSESYSCEWCESPGTMHQLPTSILIPKNMPGGFLIVLVCLIFSVL >ENSMUSP00000140779.1 pep:known chromosome:GRCm38:7:143106515:143149537:1 gene:ENSMUSG00000009545.14 transcript:ENSMUST00000186288.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq1 description:potassium voltage-gated channel, subfamily Q, member 1 [Source:MGI Symbol;Acc:MGI:108083] MHQLPTSILIPKNMPGGFLIVLVCLIFSVL >ENSMUSP00000139798.1 pep:known chromosome:GRCm38:7:143106745:143149537:1 gene:ENSMUSG00000009545.14 transcript:ENSMUST00000186798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq1 description:potassium voltage-gated channel, subfamily Q, member 1 [Source:MGI Symbol;Acc:MGI:108083] MRGLGCPFLPPAPSLGPGTMHQLPTSILIPKNMPGGFLIVLVCLIFSVL >ENSMUSP00000009689.4 pep:known chromosome:GRCm38:7:143107254:143427042:1 gene:ENSMUSG00000009545.14 transcript:ENSMUST00000009689.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq1 description:potassium voltage-gated channel, subfamily Q, member 1 [Source:MGI Symbol;Acc:MGI:108083] MDTASSPPSAERKRAGWSRLLGARRGSAVVKKCPFSLELAEGGPEGSTVYAPIAPTGAPG LAPPMSTPVSPAPAPADLGPRPRVSLDPRVSIYSARRPLLARTHIQGRVYNFLERPTGWK CFVYHFTVFLIVLVCLIFSVLSTIEQYAALATGTLFWMEIVLVVFFGTEYVVRLWSAGCR SKYVGIWGRLRFARKPISIIDLIVVVASMVVLCVGSKGQVFATSAIRGIRFLQILRMLHV DRQGGTWRLLGSVVFIHRQELITTLYIGFLGLIFSSYFVYLAEKDAVNESGRIEFGSYAD ALWWGVVTVTTIGYGDKVPQTWVGKTIASCFSVFAISFFALPAGILGSGFALKVQQKQRQ KHFNRQIPAAASLIQTAWRCYAAENPDSATWKIYVRKPARSHTLLSPSPKPKKSVMVKKK KFKLDKDNGMSPGEKMFNVPHITYDPPEDRRPDHFSIDGYDSSVRKSPTLLEVSTPHFLR TNSFAEDLDLEGETLLTPITHVSQLRDHHRATIKVIRRMQYFVAKKKFQQARKPYDVRDV IEQYSQGHLNLMVRIKELQRRLDQSIGKPSLFIPISEKSKDRGSNTIGARLNRVEDKVTQ LDQRLVIITDMLHQLLSMQQGGPTCNSRSQVVASNEGGSINPELFLPSNSLPTYEQLTVP QTGPDEGS >ENSMUSP00000139548.1 pep:known chromosome:GRCm38:7:143107551:143426125:1 gene:ENSMUSG00000009545.14 transcript:ENSMUST00000185383.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq1 description:potassium voltage-gated channel, subfamily Q, member 1 [Source:MGI Symbol;Acc:MGI:108083] MSTPVSPAPAPADLGPRPRVSLDPRVSIYSARRPLLARTHIQGRVYNFLERPTGWKCFVY HFTVFLIVLVCLIFSVLSTIEQYAALATGTLFWMEIVLVVFFGTEYVVRLWSAGCRSKYV GIWGRLRFARKPISIIDLIVVVASMVVLCVGSKGQVFATSAIRGIRFLQILRMLHVDRQG GTWRLLGSVVFIHRQELITTLYIGFLGLIFSSYFVYLAEKDAVNESGRIEFGSYADALWW GVVTVTTIGYGDKVPQTWVGKTIASCFSVFAISFFALPAGILGSGFALKVQQKQRQKHFN RQIPAAASLIQTAWRCYAAENPDSATWKIYVRKPARSHTLLSPSPKPKKSVMVKKKKFKL DKDNGMSPGEKMFNVPHITYDPPEDRRPDHFSIDGYDSSVRKSPTLLEVSTPHFLRTNSF AEDLDLEGETLLTPITHVSQ >ENSMUSP00000140156.1 pep:known chromosome:GRCm38:7:143108351:143149537:1 gene:ENSMUSG00000009545.14 transcript:ENSMUST00000186284.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq1 description:potassium voltage-gated channel, subfamily Q, member 1 [Source:MGI Symbol;Acc:MGI:108083] MPLRHSKNQAHKSEFGWLFALQRETIAQGIQGKSLDTLSPLAFLPWDNASAPHFYSDPQE HARRLPHCSGLPHLQCP >ENSMUSP00000139700.1 pep:known chromosome:GRCm38:7:143109194:143149537:1 gene:ENSMUSG00000009545.14 transcript:ENSMUST00000187213.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq1 description:potassium voltage-gated channel, subfamily Q, member 1 [Source:MGI Symbol;Acc:MGI:108083] MLAPEWWSVNLAQPWLPMEFSYKEGGLPHCSGLPHLQCP >ENSMUSP00000132372.1 pep:known chromosome:GRCm38:7:120739318:120790245:1 gene:ENSMUSG00000030889.14 transcript:ENSMUST00000168600.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vwa3a description:von Willebrand factor A domain containing 3A [Source:MGI Symbol;Acc:MGI:3041229] MKKCRWSMDRNTDRDPQKQENKKTMNDLAQTSQDGLLVTHVNQTQDLLQLQGRETQTSAL EDSEDWLAMHSLKFEKLTLADLISQGTVELEDCNNAVPKVHFTTQTIHHFKSRLSDTIEL YQQRMRWLTENSKKAFGLIKGSRVGLLIDSSQVSSGSQTKEFQNDLTGLIDEQLSLKEKL YVLSFGVTINPLWPDPMEVSTSTLQELKLWVKTLQPEGSSNLLQALKKVFAHKELNSLVI ILRSCPDQPSEFLSDFIQQSTLGRSVFIHVTTYKCDDHVPSAVLKNLTDALGGYYHCYSP ESELYTSRDVVELQVETHKAQGLLSQVQALCHNNPCEELSCMIKEISIEIAKGPFTSLLP KPPKHEAPLTIKFPDLDKTSAEWLKINGLKAKKLSLYQVLAPNAFNPVEEFVPILQKTVA ATIHEKAMVQFEWHDGTVKNIHVDPPVLFEYQKQLGKAVQIYEHRLQWLSLTSRRIWGTV CQRRVVILLDVSVTNSMYIIHIQHSLRLLLEEQLSNKDYFNIIAFGSTIESWRPEMVAVS HDNLQRAWRWALGLQCQGSRNVLGALRKAIEVDFKDKNKHESQGIYLFTGGIPDQDVTWT EHTVEHQAR >ENSMUSP00000129136.1 pep:known chromosome:GRCm38:7:120740009:120805742:1 gene:ENSMUSG00000030889.14 transcript:ENSMUST00000166668.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3a description:von Willebrand factor A domain containing 3A [Source:MGI Symbol;Acc:MGI:3041229] MKKCRWSMDRNTDRDPQKQENKKTMNDLAQTSQDGLLVTHVNQTQDLLQLQGRETQTSAL EDSEDWLAMHSLKFEKLTLADLISQGTVELEDCNNAVPKVHFTTQTIHHFKSRLSDTIEL YQQRMRWLTENSKKAFGLIKGSRVGLLIDSSQVSSGSQTKEFQNDLTGLIDEQLSLKEKL YVLSFGVTINPLWPDPMEVSTSTLQELKLWVKTLQPEGSSNLLQALKKVFAHKELNSLVI ILRSCPDQPSEFLSDFIQQSTLGRSVFIHVTTYKCDDHVPSAVLKNLTDALGGYYHCYSP ESELYTSRDVVELQVETHKAQGLLSQVQALCHNNPCEELSCMIKEISIEIAKGPFTSLLP KPPKHEAPLTIKFPDLDKTSAEWLKINGLKAKKLSLYQVLAPNAFNPVEEFVPILQKTVA ATIHEKAMVQFEWHDGTVKNIHVDPPVLFEYQKQLGKAVQIYEHRLQWLSLTSRRIWGTV CQRRVVILLDVSVTNSMYIIHIQHSLRLLLEEQLSNKDYFNIIAFGSTIESWRPEMVAVS HDNLQRAWRWALGLQCQGSRNVLGALRKAIEVDFKDKNKHESQGIYLFTGGIPDQDVHIL SAYVAEAYGGCDLQLNVCLFYVGEPQMDTTPPACYASRTDTATAYKEITQAARGRFHWFG ETGIYESDDINAIVSEIEKALNYSQKCAFLVASLKNHSEKELQSGALEKDKPRTLKQSQP KKHYPPKPTAPSVARMSIKDGLDGETSSRLKALKCHPVNAAAQPEKEGTVEQRMKTKPRT RPKLFLFYTEAGNSVGSVYKRYTQGKSIRRINSSIQLPRKDTVCSSQEWTATCRLKRLKL ELSKCLGPNCRYQKSVRGPALAEHCTLFPSIEINGVVRHIQWTLWEMETYITCMEKVTRC YVQRLHWLLSGSRRLFGTILERNVCILLDTSGSMGPHLQWIKTELVLLIWEQLRKHCARF NLLSFAEDLQLWQDTLVESTEAACHKAMQWVAHLQAQGSTSVLAALTKAFSFQDVQGLYL LTDGKPDTSCSLILNTVQSFQKERGVKVHTISLTSADRTATEFLRELASLSGGRYHCPVS DKALSGIQGLLTRGFIKERDPKLPLFEGDDLRLLAEEFTRARNLLKQAQVFRSQLLKKNN MKSKVTSC >ENSMUSP00000133029.1 pep:known chromosome:GRCm38:7:120740047:120805541:1 gene:ENSMUSG00000030889.14 transcript:ENSMUST00000167213.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3a description:von Willebrand factor A domain containing 3A [Source:MGI Symbol;Acc:MGI:3041229] MKKCRWSMDRNTDRDPQKQENKKTMNDLAQTSQDGLLVTHVNQTQDLLQLQGRETQTSAL EDSEDWLAMHSLKFEKLTLADLISQGTVELEDCNNAVPKVHFTTQTIHHFKSRLSDTIEL YQQRMRWLTENSKKAFGLIKGSRVGLLIDSSQVSSGSQTKEFQNDLTGLIDEQLSLKEKL YVLSFGVTINPLWPDPMEVSTSTLQELKLWVKTLQPEGSSNLLQALKKVFAHKELNSLVI ILRSCPDQPSEFLSDFIQQSTLGRSVFIHVTTYKCDDHVPSAVLKNLTDALGGYYHCYSP ESELYTSRDVVELQVETHKAQGLLSQVQALCHNNPCEELSCMIKEISIEIAKGPFTSLLP KPPKHEAPLTIKFPDLDKTSAEWLKINGLKAKKLSLYQVLAPNAFNPVEEFVPILQKTVA ATIHEKAMVQFEWHDGTVKNIHVDPPVLFEYQKQLGKAVQIYEHRLQWLSLTSRRIWGTV CQRRVVILLDVSVTNSMYIIHIQHSLRLLLEEQLSNKDYFNIIAFGSTIESWRPEMVAVS HDNLQRAWRWALGLQCQGSRNVLGALRKAIEVDFKDKNKHESQGIYLFTGGIPDQDVHIL SAYVAEAYGGCDLQLNVCLFYVGEPQMDTTPPACYASRTDTATAYKEITQAARGRFHWFG ETGIYESDDINAIVSEIEKALNYSQKCAFLVASLKNHSEKELQSGALEKDKPRTLKQSQP KKHYPPKPTAPSVARMSIKDGLDGETSSRLKALKCHPVNAAAQPEKEGTVEQRMKTKPRT RPKLFLFYTEAGNSVGSVYKRYTQGKSIRRINSSIQLPRKDTVCSSQEWTATCRLKRLKL ELSKCLGPNCRYQKSVRGPALAEHCTLFPSIEINGVVRHIQWTLWEMETYITCMEKVTRC YVQRLHWLLSGSRRLFGTILERNVCILLDTSGSMGPHLQWIKTELVLLIWEQLRKHCARF NLLSFAEDLQLWQDTLVESTEAACHKAMQWVAHLQAQGSTSVLAALTKAFSFQDVQGLYL LTDGKPDTSCSLILNTVQSFQKERGVKVHTISLTSADRTATEFLRELASLSGGRYHCPVS DKALSGIQGLLTRGFIKERDPKLPLFEGDDLRLLAEEFTRARNLLKQAQVFRSQLLKKNN MKSKVTSC >ENSMUSP00000129672.1 pep:known chromosome:GRCm38:7:120782393:120790246:1 gene:ENSMUSG00000030889.14 transcript:ENSMUST00000165055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3a description:von Willebrand factor A domain containing 3A [Source:MGI Symbol;Acc:MGI:3041229] HDNLQRAWRWALGLQCQGSRNVLGALRKAIEVDFKDKNKHESQGIYLFTGGIPDQDVHIL SAYVAEAYGGCDLQLNVCLFYVGEPQMDTTPPACYASRTDTATAYKEITQAARGRFHWFG ETGIYESDDINAIVSEIEKALNYSQKCAFLVASLKNHSEKELQSGALEKDKPRTLKQSQP KKHYPPKPTAPSVARMV >ENSMUSP00000146973.1 pep:known chromosome:GRCm38:7:120784051:120790246:1 gene:ENSMUSG00000030889.14 transcript:ENSMUST00000168430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3a description:von Willebrand factor A domain containing 3A [Source:MGI Symbol;Acc:MGI:3041229] HRWTPHPLPATPAVLTLLLPTKRSPRLPVVASTGLERQCAFLVASLKNHSEKELQSGALE KDKPRTLKQSQPKKHYPPKPTAPSVARMV >ENSMUSP00000129757.1 pep:known chromosome:GRCm38:7:120786481:120792762:1 gene:ENSMUSG00000030889.14 transcript:ENSMUST00000166083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3a description:von Willebrand factor A domain containing 3A [Source:MGI Symbol;Acc:MGI:3041229] XYSQKCAFLVASLKNHSEKELQSGALEKDKPRTLKQSQPKKHYPPKPTAPSVARMSIKDG LDGETSSRLKALKCHPVNAAAQPEKEGTVEQRMKTKPRTRPKLFLFYTEAGNSVGKLKPM CVWTTPGPGQPGEDRSPLQLPRASASGTGPSLKFSQPKK >ENSMUSP00000033180.6 pep:known chromosome:GRCm38:7:120739557:120805541:1 gene:ENSMUSG00000030889.14 transcript:ENSMUST00000033180.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa3a description:von Willebrand factor A domain containing 3A [Source:MGI Symbol;Acc:MGI:3041229] MKKCRWSMDRNTDRDPQKQENKKTMNDLAQTSQDGLLVTHVNQTQDLLQLQGRETQTSAL EDSEDWLAMHSLKFEKLTLADLISQGTVELEDCNNAVPKVHFTTQTIHHFKSRLSDTIEL YQQRMRWLTENSKKAFGLIKGSRVGLLIDSSQVSSGSQTKEFQNDLTGLIDEQLSLKEKL YVLSFGVTINPLWPDPMEVSTSTLQELKLWVKTLQPEGSSNLLQALKKVFAHKELNSLVI ILRSCPDQPSEFLSDFIQQSTLGRSVFIHVTTYKCDDHVPSAVLKNLTDALGGYYHCYSP ESELYTSRDVVELQVETHKAQGLLSQVQALCHNNPCEELSCMIKEISIEIAKGPFTSLLP KPPKHEAPLTIKFPDLDKTSAEWLKINGLKAKKLSLYQVLAPNAFNPVEEFVPILQKTVA ATIHEKAMVQFEWHDGTVKNIHVDPPVLFEYQKQLGKAVQIYEHRLQWLSLTSRRIWGTV CQRRVVILLDVSVTNSMYIIHIQHSLRLLLEEQLSNKDYFNIIAFGSTIESWRPEMVAVS HDNLQRAWRWALGLQCQGSRNVLGALRKAIEVDFKDKNKHESQGIYLFTGGIPDQDVHIL SAYVAEAYGGCDLQLNVCLFYVGEPQMDTTPPACYASRTDTATAYKEITQAARGRFHWFG ETGIYESDDINAIVSEIEKALNYSQKCAFLVASLKNHSEKELQSGALEKDKPRTLKQSQP KKHYPPKPTAPSVARMSIKDGLDGETSSRLKALKCHPVNAAAQPEKEGTVEQRMKTKPRT RPKLFLFYTEAGNSVGSVYKRYTQGKSIRRINSSIQLPRKDTVCSSQEWTATCRLKRLKL ELSKCLGPNCRYQKSVRGPALAEHCTLFPSIEINGVVRHIQWTLWEMETYITCMEKVTRC YVQRLHWLLSGSRRLFGTILERNVCILLDTSGSMGPHLQWIKTELVLLIWEQLRKHCARF NLLSFAEDLQLWQDTLVESTEAACHKAMQWVAHLQAQGSTSVLAALTKAFSFQDVQGLYL LTDGKPDTSCSLILNTVQSFQKERGVKVHTISLTSADRTATEFLRELASLSGGRYHCPVS DKALSGIQGLLTRGFIKERDPKLPLFEGDDLRLLAEEFTRARNLLKQAQVFRSQLLKKNN MKSKVTSC >ENSMUSP00000124926.1 pep:known chromosome:GRCm38:14:24293214:24309959:1 gene:ENSMUSG00000038925.12 transcript:ENSMUST00000162224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330034G19Rik description:RIKEN cDNA E330034G19 gene [Source:MGI Symbol;Acc:MGI:2145557] MRNCICNIRHHVTAADLNRKTTEASKNLKMLEEEIGFYRNLHSQLRMAQAQLKNKVNMLK QENRRIWGRCATLQQNLEELKMIYKNQLEDTSDPQTQQQQDPERTEELLQDSHKQKKLGI QEKNLAEKQQYYFEVRRKSCFLTELNQLKKKLEMLSQENKEMQGDCALLQHHVEDLKPIY KKQQEEDSDLQTQHQKHMERMEEKLQYMLKKKNMAIKQRELAEKLKYQFEVLQMRFDKLQ QEMELAIAQEERVLQKDLLHQEPPAEPHPQKPQDPLGGFALT >ENSMUSP00000123912.1 pep:known chromosome:GRCm38:14:24293236:24308264:1 gene:ENSMUSG00000038925.12 transcript:ENSMUST00000163055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330034G19Rik description:RIKEN cDNA E330034G19 gene [Source:MGI Symbol;Acc:MGI:2145557] MRNCICNIRHHVTAADLNRKTTEASKNLKMLEEEIGFYRNLHSQLRMAQAQLKNKVNMLK QENRRIWGRCATLQQNLEELKMIYKNQLEDTSDPQTQQQQDPERTEELLQDSHKQKKLGI QEKNLAEKQQYYFEVRRKSHLYSCFLTELNQLKKKLEMLSQENKEMQGDCALLQHHVEDL KPIYKKQQEEDSDLQTQHQKHMERMEEKLQYMLKKKNMAIK >ENSMUSP00000124917.1 pep:known chromosome:GRCm38:14:24293319:24309966:1 gene:ENSMUSG00000038925.12 transcript:ENSMUST00000161740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330034G19Rik description:RIKEN cDNA E330034G19 gene [Source:MGI Symbol;Acc:MGI:2145557] MTPAVHSLDILLYPGELLASPVMSPVLWQQQLLLEHSISTSEFISNHVFNAEEQSKVEGS WTSISVQSSKEEVVLGRPAPTTGQLHFMRNCICNIRHHVTAADLNRKTTEASKNLKMLEE EIGFYRNLHSQLRMAQAQLKNKVNMLKQENRRIWGRCATLQQNLEELKMIYKNQLEDTSD PQTQQQQDPERTEELLQDSHKQKKLGIQEKNLAEKQQYYFEVRRKSHLYSCFLTELNQLK KKLEMLSQENKEMQGDCALLQHHVEDLKPIYKKQQEEDSDLQTQHQKHMERMEEKLQYML KKKNMAIKQRELAEKLKYQFEVLQMRFDKLQQEMELAIAQEERVLQKDLLHQEPPAEPHP QKPQDPLGGFALT >ENSMUSP00000125673.1 pep:known chromosome:GRCm38:14:24293332:24298052:1 gene:ENSMUSG00000038925.12 transcript:ENSMUST00000160710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330034G19Rik description:RIKEN cDNA E330034G19 gene [Source:MGI Symbol;Acc:MGI:2145557] MTPAVHSLDILLYPGELLASPVMSPVLWQQQLLLEHSISTSEFISNHVFNAEEQSKVEGS WTSISVQSSKEEVVLGRPGQLHFMRNCICNIRHHVTAADLNRKTTEASKNLKMLEEEIGF YRNLHSQLRMAQAQLKNKVNMLKQENRRIWGRCATLQQNLEELKMIYKNQLEDTSDPQTQ QQQDPERTEELLQDSHKQKKLGIQEK >ENSMUSP00000040386.5 pep:known chromosome:GRCm38:14:24293364:24309959:1 gene:ENSMUSG00000038925.12 transcript:ENSMUST00000041413.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330034G19Rik description:RIKEN cDNA E330034G19 gene [Source:MGI Symbol;Acc:MGI:2145557] MSPVLWQQQLLLEHSISTSEFISNHVFNAEEQSKVEGSWTSISVQSSKEEVVLGRPAPTT GQLHFMRNCICNIRHHVTAADLNRKTTEASKNLKMLEEEIGFYRNLHSQLRMAQAQLKNK VNMLKQENRRIWGRCATLQQNLEELKMIYKNQLEDTSDPQTQQQQDPERTEELLQDSHKQ KKLGIQEKNLAEKQQYYFEVRRKSHLYSCFLTELNQLKKKLEMLSQENKEMQGDCALLQH HVEDLKPIYKKQQEEDSDLQTQHQKHMERMEEKLQYMLKKKNMAIKQRELAEKLKYQFEV LQMRFDKLQQEMELAIAQEERVLQKDLLHQEPPAEPHPQKPQDPLGGFALT >ENSMUSP00000041851.3 pep:known chromosome:GRCm38:1:161040601:161070658:-1 gene:ENSMUSG00000026709.10 transcript:ENSMUST00000035430.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dars2 description:aspartyl-tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:2442510] MYLGFWLSRLCRGLSRPIGKTMRPIWGSLSRNLALSSQRIPEFSSFVARTNTCGELRSSH LGQEVTLCGWIQYRRQNTFLVLRDCHGLVQILIPQDESAASVRRILCEAPVESVVRVSGT VISRPPGQENPKMPTGEIEIKVKTAELLNACKKLPFEIKDFVKKTEALRLQYRYLDLRSF QMQYNLRLRSQMVMKMREYLCNLHGFVDIETPTLFKRTPGGAKEFLVPSREPGKFYSLPQ SPQQFKQLLMVGGLDRYFQVARCYRDEGSRPDRQPEFTQIDIEMSFVEQTGIQRLVEGLL QYSWPGDKDPLVTPFPSMTFAEALATYGTDKPDTRFGMKIVDVSDVFRNTELRFLQDALA KPQGTVKAICVHDGAKYLRKEDIEFIRKFAVHHFSQEVLPIFLNAKKNWSSPFAKFIMEE ERLELARSMEIQEEDIVLLTAGEHEKACSLLGKLRLECADLLEMRGAVLRDPAVFSFLWV VDFPLFLAKEESPTELESAHHPFTAPNSSDIHLLYTEPEKVRGQHYDLVLNGNEIGGGSV RIHDAQLQRYILETLLKEDVKLLSHLLQALDYGAPPHGGIALGLDRLVCLVTGAPSIRDV IAFPKSYRGQDLMSNAPDSVSPEELKPYHIHVLWPADSEEESASATPSKHLSS >ENSMUSP00000101002.1 pep:known chromosome:GRCm38:10:80258151:80261012:-1 gene:ENSMUSG00000020150.13 transcript:ENSMUST00000105363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gamt description:guanidinoacetate methyltransferase [Source:MGI Symbol;Acc:MGI:1098221] MSSSAASPLFAPGEDCGPAWRAAPAAYDASDTHLQILGKPVMERWETPYMHALAAAAASR GGRVLEVGFGMAIAASRVQQAPIEEHWIIECNDGVFQRLQDWALRQPHKVVPLKGLWEEV APTLPDGHFDGILYDTYPLSEEAWHTHQFNFIKNHAFRLLKTGGVLTYCNLTSWGELMKS KYTDITTMFEETQVPALQEAGFLKENICTEVMALVPPADCRYYAFPQMITPLVTKH >ENSMUSP00000020359.6 pep:known chromosome:GRCm38:10:80258164:80260968:-1 gene:ENSMUSG00000020150.13 transcript:ENSMUST00000020359.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gamt description:guanidinoacetate methyltransferase [Source:MGI Symbol;Acc:MGI:1098221] MSSSAASPLFAPGEDCGPAWRAAPAAYDASDTHLQILGKPVMERWETPYMHALAAAAASR GGRVLEVGFGMAIAASRVQQAPIEEHWIIECNDGVFQRLQDWALRQPHKVVPLKGLWEEV APTLPDGHFDGILYDTYPLSEEAWHTHQFNFIKLSSHGPTPSCPLASLQNHAFRLLKTGG VLTYCNLTSWGELMKSKYTDITTMFEETQVPALQEAGFLKENICTEVMALVPPADCRYYA FPQMITPLVTKH >ENSMUSP00000034992.6 pep:known chromosome:GRCm38:9:88327197:88372092:1 gene:ENSMUSG00000032420.7 transcript:ENSMUST00000034992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5e description:5' nucleotidase, ecto [Source:MGI Symbol;Acc:MGI:99782] MRPAAAKVPKWLLLALSALLPQWPAASAWELTILHTNDVHSRLEQTSDDSTKCLNASLCV GGVARLFTKVQQIRKEEPNVLFLDAGDQYQGTIWFTVYKGLEVAHFMNILGYDAMALGNH EFDNGVEGLIDPLLRNVKFPILSANIKARGPLAHQISGLFLPSKVLSVGGEVVGIVGYTS KETPFLSNPGTNLVFEDEISALQPEVDKLKTLNVNKIIALGHSGFEMDKLIAQKVRGVDI VVGGHSNTFLYTGNPPSKEVPAGKYPFIVTADDGRQVPVVQAYAFGKYLGYLKVEFDDKG NVITSYGNPILLNSSIPEDATIKADINQWRIKLDNYSTQELGRTIVYLDGSTQTCRFREC NMGNLICDAMINNNLRHPDEMFWNHVSMCIVNGGGIRSPIDEKNNGTITWENLAAVLPFG GTFDLVQLKGSTLKKAFEHSVHRYGQSTGEFLQVGGIHVVYDINRKPWNRVVQLEVLCTK CRVPIYEPLEMDKVYKVTLPSYLANGGDGFQMIKDELLKHDSGDQDISVVSEYISKMKVV YPAVEGRIKFSAASHYQGSFPLVILSFWAMILILYQ >ENSMUSP00000069249.1 pep:known chromosome:GRCm38:8:21969775:21974017:1 gene:ENSMUSG00000017049.4 transcript:ENSMUST00000070649.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc70 description:coiled-coil domain containing 70 [Source:MGI Symbol;Acc:MGI:1915179] MFPFKVSKWMGLACLRSLVLPSPSIRQKKLIHKLQEEKAFREEMKIFHEKIEDFREEIWE FRGKIRAFRGQILGFWEEERPFWEEEKIFWKEEKTFWEMEKSFREEEKTFWKKYRTFWKE DKAFWREDNALWERDRNLLQEDKALWEEEKALWVEERALLAEEKALWEDKKSLWEEENAL WEEEKALWVEGGGFHLLGEQRHQNGPYNANEEPQSTSFPRGRA >ENSMUSP00000017193.1 pep:known chromosome:GRCm38:8:21970596:21974041:1 gene:ENSMUSG00000017049.4 transcript:ENSMUST00000017193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc70 description:coiled-coil domain containing 70 [Source:MGI Symbol;Acc:MGI:1915179] MFPFKVSKWMGLACLRSLVLPSPSIRQKKLIHKLQEEKAFREEMKIFHEKIEDFREEIWE FRGKIRAFRGQILGFWEEERPFWEEEKIFWKEEKTFWEMEKSFREEEKTFWKKYRTFWKE DKAFWREDNALWERDRNLLQEDKALWEEEKALWVEERALLAEEKALWEDKKSLWEEENAL WEEEKALWVEGGGFHLLGEQRHQNGPYNANEEPQSTSFPRGRA >ENSMUSP00000117092.1 pep:known chromosome:GRCm38:13:54783998:54787375:1 gene:ENSMUSG00000074895.10 transcript:ENSMUST00000142158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e1b description:eukaryotic translation initiation factor 4E family member 1B [Source:MGI Symbol;Acc:MGI:2685119] MATSEMNKVEGGGHKEEVVVKEKEVVKEKPSEATAEGVQAGEAKDLPGSLKTQRRKAHRE HPPEVLSKLHPLQYRWVLWFFKNDRSRAWQDNLQLVTKFNTVEDFWAVYSHIKLASKLSS GCDYALFKEGILPMWEDNRNKQGGRWLLSIDKQLRHFELDRLWLETLLCLVGNCFEEYSR EVCGAVVNIRTKRDKIALWTSEAEDKAGVMQIGQIYKERLGISTKTIIGYQAHADTAAKS NNL >ENSMUSP00000119305.1 pep:known chromosome:GRCm38:13:54784001:54786653:1 gene:ENSMUSG00000074895.10 transcript:ENSMUST00000139184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e1b description:eukaryotic translation initiation factor 4E family member 1B [Source:MGI Symbol;Acc:MGI:2685119] MNKVEGGGHKEEVVVKEKEVVKEKPSEATAEGVQAGEAKDLPGSLKTQRRKAHREHPPEV LSKLHPLQYRWVLWFFKNDRSRAWQDNLQLVTKFNTVEDFWAVYSHIKLASKLSSGCDYA LFKEGILPMWEDNR >ENSMUSP00000120733.1 pep:known chromosome:GRCm38:13:54784001:54786863:1 gene:ENSMUSG00000074895.10 transcript:ENSMUST00000132415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e1b description:eukaryotic translation initiation factor 4E family member 1B [Source:MGI Symbol;Acc:MGI:2685119] MATSEVVSLPHPPFPEQLSSWGEKSGHQRVSLCNPGCPGSHSLDPAGLELKRSACLCLLS AGIKGGWVLWFFKNDRSRAWQDNLQLVTKFNTVEDFWAVYSHIKLASKLSSGCDYALFKE GILPMWEDNRNKQGGRWLLSIDKQLRHFELDRLWLETLLCLV >ENSMUSP00000116681.1 pep:known chromosome:GRCm38:13:54784001:54787375:1 gene:ENSMUSG00000074895.10 transcript:ENSMUST00000132005.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e1b description:eukaryotic translation initiation factor 4E family member 1B [Source:MGI Symbol;Acc:MGI:2685119] MATSEMNKVEGGGHKEEVVVKEKEVVKEKPSEATAEGVQAGEAKDLPGSLKTQRRKAHRE HPPEVLSKLHPLQYRWVLWFFKNDRSRAWQDNLQLVTKFNTVEDFWAVYSHIKLASKLSS GCDYALFKEGILPMWEDNRNKQGGRWLLSIDKQLRHFELDRLWLETQGSVRCCREHPHEE GQDCPVDE >ENSMUSP00000118697.2 pep:known chromosome:GRCm38:13:54784001:54787469:1 gene:ENSMUSG00000074895.10 transcript:ENSMUST00000126785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e1b description:eukaryotic translation initiation factor 4E family member 1B [Source:MGI Symbol;Acc:MGI:2685119] MATSEVMNKVEGGGHKEEVVVKEKEVVKEKPSEATAEGVQAGEAKDLPGSLKTQRRKAHR EHPPEVLSKLHPLQYRWVLWFFKNDRSRAWQDNLQLVTKFNTVEDFWAVYSHIKLASKLS SGCDYALFKEGILPMWEDNRNKQGGRWLLSIDKQLRHFELDRLWLETLLCLVGNCFEEYS REVCGAVVNIRTKRDKIALWTSEAEDKAGVMQIGQIYKERLGISTKTIIGYQAHADTAAK SNNLANKFVV >ENSMUSP00000121625.1 pep:known chromosome:GRCm38:13:54784003:54787376:1 gene:ENSMUSG00000074895.10 transcript:ENSMUST00000126525.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif4e1b description:eukaryotic translation initiation factor 4E family member 1B [Source:MGI Symbol;Acc:MGI:2685119] MATSEVVSLPHPPFPEQLSSWGEKSGHQRVSLCNPGCPGSHSLDPAGLELKRSACLCLLS AGIKGGWVLWFFKNDRSRAWQDNLQLVTKFNTVEDFWAKASCPCGKTTETSRVAAGCSAL TNNCATSNWTVCGWRRCCVWLGIVLRNTAGKCAVLS >ENSMUSP00000114217.1 pep:known chromosome:GRCm38:13:54784003:54787384:1 gene:ENSMUSG00000074895.10 transcript:ENSMUST00000141398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e1b description:eukaryotic translation initiation factor 4E family member 1B [Source:MGI Symbol;Acc:MGI:2685119] MWEDNRNKQGGRWLLSIDKQLRHFELDRLWLETEYSREVCGAVVNIRTKRDKIALWTSEA EDKAGVMQIGQIYKERLGISTKTIIGYQAHADTAAKSNNLANK >ENSMUSP00000123294.1 pep:known chromosome:GRCm38:13:54784003:54788459:1 gene:ENSMUSG00000074895.10 transcript:ENSMUST00000132728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e1b description:eukaryotic translation initiation factor 4E family member 1B [Source:MGI Symbol;Acc:MGI:2685119] MNKVEGGGHKEEVVVKEKEVVKEKPSEATAEGVQAGEAKDLPGSLKTQRRKAHREHPPEV LSKLHPLQYRWVLWFFKNDRSRAWQDNLQLVTKFNTVEDFWAVYSHIKLASKLSSGCDYA LFKEGILPMWEDNRNKQGGRWLLSIDKQLRHFELDRLWLETLLCLVGNCFEEYSREVCGA VVNIRTKRDKIALWTSEAEDKAGVMQIGQIYKERLGISTKTIIGYQAHADTAAKSNNLAN KFVV >ENSMUSP00000105630.2 pep:known chromosome:GRCm38:13:54784013:54788451:1 gene:ENSMUSG00000074895.10 transcript:ENSMUST00000110003.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e1b description:eukaryotic translation initiation factor 4E family member 1B [Source:MGI Symbol;Acc:MGI:2685119] MNKVEGGGHKEEVVVKEKEVVKEKPSEATAEGVQAGEAKDLPGSLKTQRRKAHREHPPEV LSKLHPLQYRWVLWFFKNDRSRAWQDNLQLVTKFNTVEDFWAVYSHIKLASKLSSGCDYA LFKEGILPMWEDNRNKQGGRWLLSIDKQLRHFELDRLWLETLLCLVGNCFEEYSREVCGA VVNIRTKRDKIALWTSEAEDKAGVMQIGQIYKERLGISTKTIIGYQAHADTAAKSNNLAN KFVV >ENSMUSP00000120619.1 pep:known chromosome:GRCm38:13:54784020:54787476:1 gene:ENSMUSG00000074895.10 transcript:ENSMUST00000152204.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e1b description:eukaryotic translation initiation factor 4E family member 1B [Source:MGI Symbol;Acc:MGI:2685119] MNKVEGGGHKEEVVVKEKEVVKEKPSEATAEGVQAGEAKDLPGSLKTQRRKAHREHPPEV LSKLHPLQYRWVLWFFKNDRSRAWQDNLQLVTKFNTVEDFWAVYSHIKLASKLSSGCDYA LFKEGILPMWEDNRNKQGGRWLLSIDKQLRHFELDRLWLETQGSVRCCREHPHEEGQDCP VDE >ENSMUSP00000101551.1 pep:known chromosome:GRCm38:7:142678656:142679685:-1 gene:ENSMUSG00000000215.10 transcript:ENSMUST00000105931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ins2 description:insulin II [Source:MGI Symbol;Acc:MGI:96573] MALWMRFLPLLALLFLWESHPTQAFVKQHLCGSHLVEALYLVCGERGFFYTPMSRREVED PQVLLMPWPALTQPPWQWHNWSWVEAREQVTFRPWHWRWPSRSVAL >ENSMUSP00000101554.1 pep:known chromosome:GRCm38:7:142678656:142699510:-1 gene:ENSMUSG00000000215.10 transcript:ENSMUST00000105934.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ins2 description:insulin II [Source:MGI Symbol;Acc:MGI:96573] MALWMRFLPLLALLFLWESHPTQAFVKQHLCGSHLVEALYLVCGERGFFYTPMSRREVED PQVAQLELGGGPGAGDLQTLALEVAQQKRGIVDQCCTSICSLYQLENYCN >ENSMUSP00000101550.1 pep:known chromosome:GRCm38:7:142678659:142679692:-1 gene:ENSMUSG00000000215.10 transcript:ENSMUST00000105930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ins2 description:insulin II [Source:MGI Symbol;Acc:MGI:96573] MALWMRFLPLLALLFLWESHPTQAFVKQHLCGSHLVEALYLVCGERGFFYTPMSRREVED PQGMQPLPPAGVKGRENLG >ENSMUSP00000101553.1 pep:known chromosome:GRCm38:7:142678659:142679698:-1 gene:ENSMUSG00000000215.10 transcript:ENSMUST00000105933.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ins2 description:insulin II [Source:MGI Symbol;Acc:MGI:96573] MALWMRFLPLLALLFLWESHPTQAFVKQHLCGSHLVEALYLVCGERGFFYTPMSRREVED PQVAQLELGGGPGAGDLQTLALEVAQQKRGIVDQCCTSICSLYQLENYCN >ENSMUSP00000000220.2 pep:known chromosome:GRCm38:7:142678659:142679726:-1 gene:ENSMUSG00000000215.10 transcript:ENSMUST00000000220.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ins2 description:insulin II [Source:MGI Symbol;Acc:MGI:96573] MALWMRFLPLLALLFLWESHPTQAFVKQHLCGSHLVEALYLVCGERGFFYTPMSRREVED PQVAQLELGGGPGAGDLQTLALEVAQQKRGIVDQCCTSICSLYQLENYCN >ENSMUSP00000101552.1 pep:known chromosome:GRCm38:7:142678661:142679717:-1 gene:ENSMUSG00000000215.10 transcript:ENSMUST00000105932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ins2 description:insulin II [Source:MGI Symbol;Acc:MGI:96573] MALWMRFLPLLALLFLWESHPTQAFVKQHLCGSHLVEALYLVCGERGFFYTPMSRREVED PQVAQLELGGGPGAGDLQTLALEVAQQKRGIVDQCCTSICSLYQLENYCN >ENSMUSP00000115147.1 pep:known chromosome:GRCm38:7:142678709:142679580:-1 gene:ENSMUSG00000000215.10 transcript:ENSMUST00000125933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ins2 description:insulin II [Source:MGI Symbol;Acc:MGI:96573] MALWMRFLPLLALLFLWESHPTQAFVKQHLCGSHLVEALYLVCGERGFFYTPMSRREVED PQAGELLQLDP >ENSMUSP00000124643.1 pep:known chromosome:GRCm38:7:142678713:142679533:-1 gene:ENSMUSG00000000215.10 transcript:ENSMUST00000162317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ins2 description:insulin II [Source:MGI Symbol;Acc:MGI:96573] MALWMRFLPLLALLFLWESHPTQAFVKQHLCGSHLVEALYLVCGERGFFYTPMSRREVED PQGDLQTLALEVAQQKRGIVDQCCTSICSLYQLENYCN >ENSMUSP00000077716.4 pep:known chromosome:GRCm38:6:87788853:87811779:-1 gene:ENSMUSG00000030055.16 transcript:ENSMUST00000078647.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab43 description:RAB43, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1917084] MAGPGPGDQDEHYDFLFKLVLVGDASVGKTCVVQRFKTGAFSARQGSTIGVDFTMKTLEI QGKRVKLQIWDTAGQERFRTITQSYYRSANGAILAYDISKRSTFLSVPHWIEDVRKYAGS NIVQLLIGNKSDLADFREVPLAEAQSLAEHYDILCAIETSAKDSSNVEEAFTRVATELIM RHGGPMFSEKNTDHIQLDSKDIAESWGCGC >ENSMUSP00000032135.5 pep:known chromosome:GRCm38:6:87793969:87811779:-1 gene:ENSMUSG00000030055.16 transcript:ENSMUST00000032135.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab43 description:RAB43, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1917084] MAGPGPGDQDEHYDFLFKLVLVGDASVGKTCVVQRFKTGAFSARQGSTIGVDFTMKTLEI QGKRVKLQIWDTAGQERFRTITQSYYRSANGAILAYDISKRSTFLSVPHWIEDVRKYAGS NIVQLLIGELGMEAGGAWSKPYLCLSVFLS >ENSMUSP00000032134.7 pep:known chromosome:GRCm38:6:87788853:87809754:-1 gene:ENSMUSG00000030055.16 transcript:ENSMUST00000032134.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab43 description:RAB43, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1917084] MVCSTGWRPLLLLQIWDTAGQERFRTITQSYYRSANGAILAYDISKRSTFLSVPHWIEDV RKYAGSNIVQLLIGNKSDLADFREVPLAEAQSLAEHYDILCAIETSAKDSSNVEEAFTRV ATELIMRHGGPMFSEKNTDHIQLDSKDIAESWGCGC >ENSMUSP00000145412.1 pep:known chromosome:GRCm38:6:85130176:85137766:-1 gene:ENSMUSG00000033735.9 transcript:ENSMUST00000204757.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Spr description:sepiapterin reductase [Source:MGI Symbol;Acc:MGI:103078] MEAGGLGCAVCVLTGASRGFGRALAPQLARLLSPGSVMLVSARSESMLRQLKEELGAQQP DLKVVLAAADLGTEAGVQRLLSAVRELPRPEGLQRLLLINNAATLGDVSKGFLNVNDLAE VNNYWALNLTSMLCLTSGTLNAFQDSPGLSKTVVNISSLCALQPYKGWGLYCAGKAARDM LYQVLAAEEPSVRVLSYAPGPLDNDMQQLARETSKDPELRSKLQKLKSDGALVDCGTSAQ KLLGLLQKDTFQSGAHVDFYDC >ENSMUSP00000048111.7 pep:known chromosome:GRCm38:6:85133678:85137766:-1 gene:ENSMUSG00000033735.9 transcript:ENSMUST00000045986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spr description:sepiapterin reductase [Source:MGI Symbol;Acc:MGI:103078] MEAGGLGCAVCVLTGASRGFGRALAPQLARLLSPGSVMLVSARSESMLRQLKEELGAQQP DLKVVLAAADLGTEAGVQRLLSAVRELPRPEGLQRLLLINNAATLGDVSKGFLNVNDLAE VNNYWALNLTSMLCLTSGTLNAFQDSPGLSKTVVNISSLCALQPYKGWGLYCAGKAARDM LYQVLAAEEPSVRVLSYAPGPLDNDMQQLARETSKDPELRSKLQKLKSDGALVDCGTSAQ KLLGLLQKDTFQSGAHVDFYDC >ENSMUSP00000134379.1 pep:known chromosome:GRCm38:6:85133687:85137756:-1 gene:ENSMUSG00000033735.9 transcript:ENSMUST00000174769.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spr description:sepiapterin reductase [Source:MGI Symbol;Acc:MGI:103078] MEAGGLGCAVCVLTGASRGFGRALAPQLARLLSPGSVMLVSARSESMLRQLKEELGAQQP DLKVVLAAADLGTEAGVQRLLSAVRELPRPEGLQRLLLINNAALQRLGSVLCGEGCPRHA LPGPGC >ENSMUSP00000133859.1 pep:known chromosome:GRCm38:6:85134000:85137762:-1 gene:ENSMUSG00000033735.9 transcript:ENSMUST00000174286.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spr description:sepiapterin reductase [Source:MGI Symbol;Acc:MGI:103078] MEAGGLGCAVCVLTGASRGFGRALAPQLARLLSPGSVMLVSARSESMLRQLKEELGAQQP DLKVVLAAADLGTEAGVQRLLSAVRELPRPEGLQRLLLINNAATLGDVSKGFLNVNDLAE PYKGWGLYCAGKAARDMLYQVLAAEEPSVRVLSYAPGPLDNDMQQLARETSKDPELRSKL QKLKSDGALVDCGTSAQKLLGLLQKDTFQSGAHVDFYDC >ENSMUSP00000091074.4 pep:known chromosome:GRCm38:11:4141123:4160291:-1 gene:ENSMUSG00000051427.14 transcript:ENSMUST00000093381.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc157 description:coiled-coil domain containing 157 [Source:MGI Symbol;Acc:MGI:3041210] MAHLLGSQACMDSLRKDLTDLQGTIVDVFSRAGPVRFPSWKFPDRVACDLDMVALLEHYD HVPGDPEFTQLSHAVLLELVIDRLLLLLQSCASYLENLSVEQMMPPARAAGPCMSVGLTV RRFWSNLLRLGLLYQQAVPQKRANQGEISITKPTAKGEPARSPECMTAKFIKPPSPVPGL PLICQGLQSIPVRVSLRSPGGTSEKTKSVYSQTVETALVPCDACTSVQGSLWEVGKVVIS LCQSQNLPSSLGQFQKLVKDSLGLKPLPAATVGHWAAEQSKDLTRLNKHVGALTQLVGPL RAQLEDAEGQKDGLRKQVSKLEQALQQEQGQRQRQTEEAERTLAKCEHDRHQLLTETCDL KTKVAVLEGDLKQQQKSIQAMEAKAQQLEEEGERRAAAERQVQQLEEQVQLLAGRLDGAS QQIRWASTELDKEKARVDSMVRHQESLQAKQRTLLQQLDCLDQEREELRGSLDEAEAQRS ELEEQLQSLQSDREQEQCQLQAQQELLQSLQQEKQDLEQVTTDLQLTISELRQQLEELKE RERLLVAFPDLHQPEEAQIQSSSNVTQDMERQVQANAIRIQVLQEENKRLQSMLTKIREV AQQGGLKMVPQGQLWSPPYKGIQGATPPAQAQSAFSGLTGRRQSPGSRTSSTGRTHPGGL RTSPSRQPGGLPSKFSLGDGSHSASCTQNPIRALARLRRKLSPNRQAGSTYQPQERPT >ENSMUSP00000099148.2 pep:known chromosome:GRCm38:11:4141123:4160293:-1 gene:ENSMUSG00000051427.14 transcript:ENSMUST00000101626.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc157 description:coiled-coil domain containing 157 [Source:MGI Symbol;Acc:MGI:3041210] MMPPARAAGPCMSVGLTVRRFWSNLLRLGLLYQQAVPQKRANQGEISITKPTAKGEPARS PECMTAKFIKPPSPVPGLPLICQGLQSIPVRVSLRSPGGTSEKTKSVYSQTVETALVPCD ACTSVQGSLWEVGKVVISLCQSQNLPSSLGQFQKLVKDSLGLKPLPAATVGHWAAEQSKD LTRLNKHVGALTQLVGPLRAQLEDAEGQKDGLRKQVSKLEQALQQEQGQRQRQTEEAERT LAKCEHDRHQLLTETCDLKTKVAVLEGDLKQQQKSIQAMEAKAQQLEEEGERRAAAERQV QQLEEQVQLLAGRLDGASQQIRWASTELDKEKARVDSMVRHQESLQAKQRTLLQQLDCLD QEREELRGSLDEAEAQRSELEEQLQSLQSDREQEQCQLQAQQELLQSLQQEKQDLEQVTT DLQLTISELRQQLEELKERERLLVAFPDLHQPEEAQIQSSSNVTQDMERQVQANAIRIQV LQEENKRLQSMLTKIREVAQQGGLKMVPQGQLWSPPYKGIQGATPPAQAQSAFSGLTGRR QSPGSRTSSTGRTHPGGLRTSPSRQPGGLPSKFSLGDGSHSASCTQNPIRALARLRRKLS PNRQAGSTYQPQERPT >ENSMUSP00000103542.1 pep:known chromosome:GRCm38:11:88339382:88718229:-1 gene:ENSMUSG00000069769.13 transcript:ENSMUST00000107909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msi2 description:musashi RNA-binding protein 2 [Source:MGI Symbol;Acc:MGI:1923876] MEANGSPGTSGSANDSQHDPGKMFIGGLSWQTSPDSLRDYFSKFGEIRECMVMRDPTTKR SRGFGFVTFADPASVDKVLGQPHHELDSKTIDPKVAFPRRAQPKMVTRTKKIFVGGLSAN TVVEDVKQYFEQFGKVEDAMLMFDKTTNRHRGFGFVTFENEDVVEKVCEIHFHEINNKMV ECKKAQPKEVMFPPGTRGRARGLPYTMDAFMLGMGMLGYPNFVATYGRGYPGFAPSYGYQ FPGFPAAAYGPVAAAAVAAARGSGSNPARPGGFPGANSPGPVADLYGPASQDSGVGNYIS AASPQPGSGFGHGIAGPLIATAFTNGYH >ENSMUSP00000090470.5 pep:known chromosome:GRCm38:11:88343889:88718101:-1 gene:ENSMUSG00000069769.13 transcript:ENSMUST00000092794.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msi2 description:musashi RNA-binding protein 2 [Source:MGI Symbol;Acc:MGI:1923876] MEANGSPGTSGSANDSQHDPGKMFIGGLSWQTSPDSLRDYFSKFGEIRECMVMRDPTTKR SRGFGFVTFADPASVDKVLGQPHHELDSKTIDPKVAFPRRAQPKMVTRTKKIFVGGLSAN TVVEDVKQYFEQFGKVEDAMLMFDKTTNRHRGFGFVTFENEDVVEKVCEIHFHEINNKMV ECKKAQPKEVMFPPGTRGRARGLPYTMDAFMLGMGMLGYPNFVATYGRGYPGFAPSYGYQ FPGFPAAAYGPVAAAAVAAARGSVLNSYSAQPNFGAPASPAGSNPARPGGFPGANSPGPV ADLYGPASQDSGVGNYISAASPQPGSGFGHGIAGPLIATAFTNGYH >ENSMUSP00000119684.1 pep:known chromosome:GRCm38:11:88590055:88718513:-1 gene:ENSMUSG00000069769.13 transcript:ENSMUST00000144699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msi2 description:musashi RNA-binding protein 2 [Source:MGI Symbol;Acc:MGI:1923876] MFIGGLSWQTSPDSLRDYFSKFGEIRECMVMRDPTTKRSRGFGFVTFADPASVDKVLGQP HHELDSKTIDPKVAFPRRAQPKMVTRTKKIFVGGLSANTVVEDVKQYFEQFGK >ENSMUSP00000103541.1 pep:known chromosome:GRCm38:11:88685954:88718182:-1 gene:ENSMUSG00000069769.13 transcript:ENSMUST00000107908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msi2 description:musashi RNA-binding protein 2 [Source:MGI Symbol;Acc:MGI:1923876] MEANGSPGTSGSANDSQHDPGKMFIGGLSWQTSPDSLRDYFSKFGEIRECMVMRDPTTKR SRGFGFVTFADPASVDKVLGQPHHELDSKTIDPKVAFPRRAQPKSQEQGGSWKRTWTTVQ ARAASGDQKKVGLSDSAALFPALPVPLRPGRRGFRGAV >ENSMUSP00000142823.1 pep:known chromosome:GRCm38:9:110305173:110321323:1 gene:ENSMUSG00000054836.12 transcript:ENSMUST00000199592.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp6 description:elongator acetyltransferase complex subunit 6 [Source:MGI Symbol;Acc:MGI:1919349] MFPELNNLLSTTPDKTEQGTLTLLCDAKTDGSFLVHHFLSFYLKANCKVCFVALVQSFSH YNIVGQKLGVSLTAARDRGQLVFLEGLKSSVEVLFHSQDEPHPLQFLREAGTGNLQSLYT FIQDTLKPADSEESPWKYPVLLVDNLSVLLSLGVGAVAVLDFMQYCRATVCCELKGNVVA LVHDTEGATDEGNDTLLNGLSHQSHLILRAEGLATGFCKDVHGQLSILWRRPSRSTAQRA QSLTYQYKIQDKNVSFFAKGMSPAVL >ENSMUSP00000069017.8 pep:known chromosome:GRCm38:9:110305204:110322102:1 gene:ENSMUSG00000054836.12 transcript:ENSMUST00000068071.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp6 description:elongator acetyltransferase complex subunit 6 [Source:MGI Symbol;Acc:MGI:1919349] MAGEVTQALGGAQKIGTLTLLCDAKTDGSFLVHHFLSFYLKANCKVCFVALVQSFSHYNI VGQKLGVSLTAARDRGQLVFLEGLKSSVEVLFHSQDEPHPLQFLREAGTGNLQSLYTFIQ DTLKPADSEESPWKYPVLLVDNLSVLLSLGVGAVAVLDFMQYCRATVCCELKGNVVALVH DTEGATDEGNDTLLNGLSHQSHLILRAEGLATGFCKDVHGQLSILWRRPSRSTAQRAQSL TYQYKIQDKNVSFFAKGMSPAVL >ENSMUSP00000143595.1 pep:known chromosome:GRCm38:9:110305240:110319572:1 gene:ENSMUSG00000054836.12 transcript:ENSMUST00000198247.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Elp6 description:elongator acetyltransferase complex subunit 6 [Source:MGI Symbol;Acc:MGI:1919349] MFPELNNLLSTTPDKTEQGTLTLLCDAKTDGSFLVHHFLSFYLKANCKVCFVALVQSFSH YNIVGQKLGVSLTAARDRGQLVFLEGLKSSVEVLFHSQDEPHPLQFLRTP >ENSMUSP00000143622.1 pep:known chromosome:GRCm38:9:110305496:110315874:1 gene:ENSMUSG00000054836.12 transcript:ENSMUST00000199114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp6 description:elongator acetyltransferase complex subunit 6 [Source:MGI Symbol;Acc:MGI:1919349] MCSVPHWRPKMVAEQALEEILLSVLNLLPPWNILQGTLTLLCDAKTDGSFLVHHFLSFYL KANCKVCFVALVQSFSHYNIVGQKLGVSLTAARDRGQLVFLEGLKSSVEVLFHSQDEPHP LQFLREAGTGNLQSLYTFIQDTLKPADSEESPWKYPVLLVDNLSVL >ENSMUSP00000089708.5 pep:known chromosome:GRCm38:10:127642986:127660957:1 gene:ENSMUSG00000002147.18 transcript:ENSMUST00000092074.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat6 description:signal transducer and activator of transcription 6 [Source:MGI Symbol;Acc:MGI:103034] MSLWGLISKMSPEKLQRLYVDFPQRLRHLLADWLESQPWEFLVGSDAFCYNMASALLSAT VQRLQATAGEQGKGNSILPHISTLESIYQRDPLKLVATIRQILQGEKKAVIEEFRHLPGP FHRKQEELKFTTALGRLQHRVRETRLLRESLQQGAKTGQVSLQNLIDPPVNGPGPSEDLA TMLQGTVGDLEATQALVLKRIQIWKRQQQLAGNGTPFEESLAGLQERCESLVEIYSQLQQ EIGAASGELEPKTRASLISRLDEVLRTLVTSSFLVEKQPPQVLKTQTKFQAGVRFLLGLQ FLGTSAKPPMVRADMVTEKQARELSLAQGPGTGVESTGEIMNNTVPLENSIPSNCCSALF KNLLLKKIKRCERKGTESVTEEKCAVLFSTSFTLGPNKLLIQLQALSLPLVVIVHGNQDN NAKATILWDNAFSEMDRVPFVVAERVPWEKMCETLNLKFMAEVGTSRGLLPEHFLFLAQK IFNDNSLSVEAFQHRCVSWSQFNKEILLGRGFTFWQWFDGVLDLTKRCLRSYWSDRLIIG FISKQYVTSLLLNEPDGTFLLRFSDSEIGGITIAHVIRGQDGSSQIENIQPFSAKDLSIR SLGDRIRDLAQLKNLYPKKPKDEAFRSHYKPEQMGKDGRGYVSTTIKMTVERDQPLPTPE PQMPAMVPPYDLGMAPDASMQLSSDMGYPPQSIHSFQSLEESMSVLPSFQEPHLQMPPNM SQITMPFDQPHPQGLLQCQSQEHAVSSPEPMLCSDVTMVEDSCLTQPVGGFPQGTWVSED MYPPLMPPTEQDLTKLLLENQGEAGGSLGSQPLLQPSPYGQSGISLSHLDLRTNPSW >ENSMUSP00000112722.1 pep:known chromosome:GRCm38:10:127643002:127650780:1 gene:ENSMUSG00000002147.18 transcript:ENSMUST00000120279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat6 description:signal transducer and activator of transcription 6 [Source:MGI Symbol;Acc:MGI:103034] MSLWGLISKMSPEKLQRLYVDFPQRLRHLLADWLESQPWEFLVGSDAFCYNMASALLSAT VQRLQATAGEQGKGNSILPHISTLEFRHLPGPFHRKQEELKFTTALGRLQHRV >ENSMUSP00000139148.1 pep:known chromosome:GRCm38:11:115899966:115906269:1 gene:ENSMUSG00000048442.15 transcript:ENSMUST00000152171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim5 description:small integral membrane protein 5 [Source:MGI Symbol;Acc:MGI:1913778] MAATDFVGEIRSVGERLLLKLQQLPQAEPVELVAFSIIVLFTATVLVLGLIACSCCCAHC CCSESRQRKIPVRPTKPR >ENSMUSP00000138928.1 pep:known chromosome:GRCm38:11:115900178:115905896:1 gene:ENSMUSG00000048442.15 transcript:ENSMUST00000142089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim5 description:small integral membrane protein 5 [Source:MGI Symbol;Acc:MGI:1913778] MAATDFVGEIRSVGERLLLKLQQLPQAEPVELVAFSIIVLFTATVLVLGLIACSCCCAHC CCSESRQRKIPVRPTKPR >ENSMUSP00000139287.1 pep:known chromosome:GRCm38:11:115900202:115905713:1 gene:ENSMUSG00000048442.15 transcript:ENSMUST00000131566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim5 description:small integral membrane protein 5 [Source:MGI Symbol;Acc:MGI:1913778] MAATDFVGEIRSVGERLLLKLQQLPQAEPVELVAFSIIVLFTGKWGICPDP >ENSMUSP00000025048.6 pep:known chromosome:GRCm38:17:56875477:56888904:1 gene:ENSMUSG00000024209.9 transcript:ENSMUST00000025048.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700061G19Rik description:RIKEN cDNA 1700061G19 gene [Source:MGI Symbol;Acc:MGI:1925875] MTDPKESDNLQTSRRILKTKTILKNKIHSAISKKAQADNFEILTPGSSYWTSKREGQVQL RMGKDPLDNEPPVTVPDLIMSAATKYSHYLAIGSKYKKSWQLLTYIEYYEACRRAAKAFL KVGLERFHGVGIMGINSSEWVIASIGAIMAGGISVGILSSISPKACQVIAETSEMDIFVV DNDRQLQKINQIQGYLKHLKAIIQYREDIQEAQPNLYSWKGFLDLADGISDEKLDKIIDT LKPNQCCALVYNQGTTGPSKAIMLSHDNITWTTAAIVQSLGFKCPPQGQEILVSYLPLCF PGIQILDVWVAISVAGTVYFPSLDSGKWSGLPRTPGTGFLMELLREVQPTTFCGIPWVWD RMLDSLKTKFLDSTAFRRRIDHWAMRMGLHTNKKLMMGEIHQPLCFGLAKRLTFERARKF LGLNHCQQFLNMGMGLPSGTLDFFLSVNIPIMELYGLSECTGLHTVSNLQAYRILSAGKA LPKTHTKVEKENKDGIGNLCIWGRHIFMGYLRDKQSTERKVDTHGWLHTNDLGFLDFDKF LYVMGNNNDLIKLSSGEMVNPYPIEERVRTRIPIVRYAMLVGQDAPYLCALLTLKCQINP ETGEARSNLTSEVVACCRKLRSQSTWLSDVLYDRDPLVTEFINQGIEDVNSEAPTMGAKI IKWVILDNDFSVDGGELGPMSKMNRSIVVKIYQEEIQKLYETSTS >ENSMUSP00000144743.1 pep:known chromosome:GRCm38:6:87788861:87835795:-1 gene:ENSMUSG00000107928.1 transcript:ENSMUST00000204419.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:RP23-4F16.12 MTALARFRQAQLEEGKVKERRPFLASECTELPKAEKWRRQIIGEISKKVAQIQNAGLGEF RIRDLNDEINKLLREKGHWEVRIKELGGPDYGKVGPKMLDHEGKEVPGNRGYKYFGAAKD LPGVRELFEKEPLPPPRKTRAELMKAIDFEYYGYLDEDDGVIVPLEQEYEKKLRAELVEK WKAEREARLARGEKEEEEEEEEEINIYAVTEEEVRAWQPL >ENSMUSP00000145334.1 pep:known chromosome:GRCm38:6:87792446:87821631:-1 gene:ENSMUSG00000107928.1 transcript:ENSMUST00000204169.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-4F16.12 XSELSWWKNGRQREKLGWQGEKRKRRRRRKRRSTSMLSRRRSLMRKATRRRPGKMVSRSS LLMCRCHLSRSYRFGTQLARSGSGPSPRATTEVPMGLSLRTTSARGAPSCQCLTGSRM >ENSMUSP00000133699.1 pep:known chromosome:GRCm38:11:115912017:115913920:1 gene:ENSMUSG00000075420.6 transcript:ENSMUST00000132961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim6 description:small integral membrane protein 6 [Source:MGI Symbol;Acc:MGI:1915778] MGQMVPPRSIQNEDFWKNPWDVGGLTVIGLFTSTFLLFVLFAVVFGYVEKAVFEEE >ENSMUSP00000086923.4 pep:known chromosome:GRCm38:6:87814269:87838798:-1 gene:ENSMUSG00000030056.8 transcript:ENSMUST00000089497.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isy1 description:ISY1 splicing factor homolog [Source:MGI Symbol;Acc:MGI:1923310] MARNAEKAMTALARFRQAQLEEGKVKERRPFLASECTELPKAEKWRRQIIGEISKKVAQI QNAGLGEFRIRDLNDEINKLLREKGHWEVRIKELGGPDYGKVGPKMLDHEGKEVPGNRGY KYFGAAKDLPGVRELFEKEPLPPPRKTRAELMKAIDFEYYGYLDEDDGVIVPLEQEYEKK LRAELVEKWKAEREARLARGEKEEEEEEEEEINIYAVTEEESDEEGNQEKAGEDGQQKFI AHVPVPSQQEIEEALVRRKKMELLQKYASETLQAQSEEAKRLLGY >ENSMUSP00000144710.1 pep:known chromosome:GRCm38:6:87821508:87838738:-1 gene:ENSMUSG00000030056.8 transcript:ENSMUST00000204881.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Isy1 description:ISY1 splicing factor homolog [Source:MGI Symbol;Acc:MGI:1923310] MARNAEKAMTALARFRQAQLEEGKVKERRPFLASECTELPKAEKWRRQIIGEISKKVAQI QNAGLGEFRIRDLNDEINKLLREKGHWEVRIKELGGPDYGLAPRCWIMKVKKSQEIEVTS TLGQQKICLVSESYLRKNPFLLPERHVLSS >ENSMUSP00000144956.1 pep:known chromosome:GRCm38:6:87822392:87838700:-1 gene:ENSMUSG00000030056.8 transcript:ENSMUST00000205070.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Isy1 description:ISY1 splicing factor homolog [Source:MGI Symbol;Acc:MGI:1923310] MARNAEKAMTALARFRQAQLEEGKVKERRPFLASECTELPKAEKWRRQLA >ENSMUSP00000145001.1 pep:known chromosome:GRCm38:6:87827640:87838747:-1 gene:ENSMUSG00000030056.8 transcript:ENSMUST00000204431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isy1 description:ISY1 splicing factor homolog [Source:MGI Symbol;Acc:MGI:1923310] MARNAEKAMTALARFRQAQLEEGKVKERRPFLASECTELPKAEKWRRQICSKMMRCACCF PQIIGEISKKVAQIQNAGLGEFRIRDLNDEINKLL >ENSMUSP00000031667.5 pep:known chromosome:GRCm38:5:149439660:149470620:1 gene:ENSMUSG00000029660.10 transcript:ENSMUST00000031667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex26 description:testis expressed 26 [Source:MGI Symbol;Acc:MGI:1923110] MARYRNKVSCPAQCDPKLQSSDTSWDSYATTMKTAFTPKRGMVPDLIRPKSTRRLGFTYS IGDPILNESQYHDEYTWKLRSKENMVKTGTSRGVWNHKTHPGQEFFQWTHPKGKQTQKLP WIEPPSEESIQNAVASQFISCTKRDFVDLTQSKKTMKRFPRSQDRKSLLPRPLDTEFRYN YQIPAQIPELKDFSFKYGCYASLPVASQGLVPSVLSSYIRNEERTKKQTTYECDYGKACL DFLTILDSFTPSQVHDYLQSVSYKDRQILERFIHSHCDIEAKPNKREKQSHRKRP >ENSMUSP00000143851.1 pep:known chromosome:GRCm38:5:149439703:149470979:1 gene:ENSMUSG00000029660.10 transcript:ENSMUST00000201683.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex26 description:testis expressed 26 [Source:MGI Symbol;Acc:MGI:1923110] MARYRNKVSCPAQCDPKLQSTGDTSWDSYATTMKTAFTPKRGMVPDLIRPKSTRRLGFTY SIGDPILNESQYHDEYTWKLRSKENMVKTGTSRGVWNHKTHPGQEFFQWTHPKGKQTQKL PWIEPPSEESIQNAVASQFISCTKRDFVDLTQSKKTMKRFPRSQDRKSLLPRPLDTEFRY NYQIPAQIPELKDFSFKYGCYASLPVASQGLVPSVLSSYIRNEERTKKQTTYECDYGKAC LDFLTILDSFTPSQVHDYLQSVSYKDRQILERFIHSHCDIEAKPNKREKQSHRKRP >ENSMUSP00000143863.1 pep:known chromosome:GRCm38:5:149439706:149470620:1 gene:ENSMUSG00000029660.10 transcript:ENSMUST00000202920.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex26 description:testis expressed 26 [Source:MGI Symbol;Acc:MGI:1923110] MVKTGTSRGVWNHKTHPGQEFFQWTHPKGKQTQKLPWIEPPSEESIQNAVASQFISCTKR DFVDLTQSKKTMKRFPRSQDRKSLLPRPLDTEFRYNYQIPAQIPELKDFSFKYGCYASLP VASQGLVPSVLSSYIRNEERTKKQTTYECDYGKACLDFLTILDSFTPSQVHDYLQSVSYK DRQILERFIHSHCDIEAKPNKREKQSHRKRP >ENSMUSP00000144687.1 pep:known chromosome:GRCm38:5:149439706:149470620:1 gene:ENSMUSG00000029660.10 transcript:ENSMUST00000200985.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex26 description:testis expressed 26 [Source:MGI Symbol;Acc:MGI:1923110] MVKTGTSRGVWNHKTHPGQEFFQWTHPKGKQTQKLPWIEPPSEESIQNAVASQFISCTKR DFVDLTQCPATTDPADYKSKPLRNDSTK >ENSMUSP00000144077.1 pep:known chromosome:GRCm38:5:149439715:149470532:1 gene:ENSMUSG00000029660.10 transcript:ENSMUST00000202677.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex26 description:testis expressed 26 [Source:MGI Symbol;Acc:MGI:1923110] MARYRNKVSCPAQCDPKLQSTGDTSWDSYATTMKTAFTPKRGMVPDLIRDLAQRAPED >ENSMUSP00000143837.1 pep:known chromosome:GRCm38:5:149439719:149470532:1 gene:ENSMUSG00000029660.10 transcript:ENSMUST00000201610.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tex26 description:testis expressed 26 [Source:MGI Symbol;Acc:MGI:1923110] MARYRNKVSCPAQCDPKLQSTGDTSWDSYATTMKTAFTPKRGMVPDLIRDLAQRAPED >ENSMUSP00000099331.3 pep:known chromosome:GRCm38:2:181680310:181688071:1 gene:ENSMUSG00000059540.15 transcript:ENSMUST00000103042.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcea2 description:transcription elongation factor A (SII), 2 [Source:MGI Symbol;Acc:MGI:107368] MGKEEEIARIARRLDKMVTRKNAEGAMDLLRELKNMPITLHLLQSTRVGMSVNALRKQSS DEELIALAKSLIKSWKKLLDVSDGKSRNQGRGTPLPTSSSKDASRTTDLSCKKPDPPRTP STPRITTFPQVPITCDAVRNKCREMLTLALQTDHDHVAVGVNCEHLSSQIEECIFLDVGN TDMKYKNRVRSRISNLKDAKNPGLRRNVLCGAITPQQIAVMTSEEMASDELKEIRKAMTK EAIREHQMARTGGTQTDLFTCNKCRKKNCTYTQVQTRSSDEPMTTYVVCNECGNRWKFC >ENSMUSP00000119646.1 pep:known chromosome:GRCm38:2:181681190:181688051:1 gene:ENSMUSG00000059540.15 transcript:ENSMUST00000129745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcea2 description:transcription elongation factor A (SII), 2 [Source:MGI Symbol;Acc:MGI:107368] TLQSSGLQRPCWSFFPEGAMDLLRELKNMPITLHLLQSTRVGMSVNALRKQSSDEELIAL AKSLIKSWKKLLDVSDGKSRNQGRGTPLPTSSSKDASRTTDLSCKKPDPPRTPSTPRITT FPQVPITCDAVRNKCREMLTLALQTDHDHVAVGVNCEHLSSQIEECIFLDVGNTDMKYKN RVRSRISNLKDAKNPGLRRNVLCGAITPQQIAVMTSEEMASDELKEIRKAMTKEAIREHQ MARTGGTQTDLFTCNKCRKKNCTYTQVQTRSSDEPMTTYVVCNECGNRWKFC >ENSMUSP00000120083.1 pep:known chromosome:GRCm38:2:181682885:181688045:1 gene:ENSMUSG00000059540.15 transcript:ENSMUST00000129006.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcea2 description:transcription elongation factor A (SII), 2 [Source:MGI Symbol;Acc:MGI:107368] XAVKSPCCDVGTGMECSGNLREGAMDLLRELKNMPITLHLLQSTRVGMSVNALRKQSSDE ELIALAKSLIKSWKKLLDVSDGKSRNQGRGTPLPTSSSKDASRTTDLSCKKPDPPRTPST PRITTFPQVPITCDAVRNKCREMLTLALQTDHDHVAVGVNCEHLSSQIEECIFLDVGNTD MKYKNRVRSRISNLKDAKNPGLRRNVLCGAITPQQIAVMTSEEMASDELKEIRKAMTKEA IREHQMARTGGTQTDLFTCNKCRKKNCTYTQVQTRSSDEPMTTYVVCNECGNRWKFC >ENSMUSP00000118668.2 pep:known chromosome:GRCm38:7:125707888:125874548:1 gene:ENSMUSG00000032743.15 transcript:ENSMUST00000124223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D430042O09Rik description:RIKEN cDNA D430042O09 gene [Source:MGI Symbol;Acc:MGI:2442760] MVTDFDEKHDEYLILLQQRNRILKHLKAKDPVQLRLEHLEQGFSVYVNGANSELKTSPRK AVHTDFSRSASQAEGSQDYGRRTLFREAEEVLRRSSRTAPGKVQRRGWHQKSVQIRTEAG SRLHIEPPLDCSEDFESQEDVIGKHEDATGEHTQELRKGLGLSTSLQTQEDGSSDEYDSI EEDVLSETETEDPVLPVHNRDECPLPSHDAVQKDVPKDQELEGRHPQATDTLVVMEFNPA SKGNKMDRILSAKRKENAEVFIPSKPDSVLNPQPPAVFPEQERACSRSGSRRDRPLSATR KAYAAEDREEDASAVLKAIQVENEALQQVFLSHDPELHTSPQQDTKEPPAKSWSSLLKAK EDIPELLPATPVTTDPELCRAAAGAKAVSQAMDGMSPLGSRQQHKLVGVLQTMESDTTHL SQVAIPTEKPVPNSEEKWKARVDEIEDAIYVTMEILSNWGNASWVGLTEVQFFDLNNIKL YVSPHDVDIRNAVLPGELGCLVNRDLVSKKDPPVWTCPFHPPLQLYFIIHNMRQLRDFGL TMIKVRNYWTADGDLDIGAKNVKLYVNKSLIFDGVLEKGGGEAPSDCTIPVDLQRERNES SDKALSTGWKESKGALKMAALSDARELGLSCSQPAESLDMTVSSQGDFLGEKVNSTSGLK NSLSKLQEDVRLLATPASMGDGPSVPSSSSPGKCLPLEEEPSLIQQLESLRGRKIPEPTG KTPHWLQPSLAGMGKKQTVRKPKPLWLSPEKDLEQKSRFPSEDVMGDTPGEVETREKGPR REQGRTSSWNVITEERAPKAFSKACGDDLDIFSQLPNRDRPASGRRALKKEASSSHGDDR PASKEDTQASQTLPWLQWYGEQEHALHASWDSLTAFDRAHRGRISALEPQGDILDEFLKQ QRSSRHEEFPAPCREEEPEPSTEMGGDSDFKIPVLPYGQHLVIDIKSTWGDRHYVGLNGI EIFSSSGEPVQISSITADPPDINILPAYGKDPRVVSNLIDGVNRTQDDMHVWLAPFTPGM THTISIEFTHPCQVALIRIWNYNKSRIHSFRGVKDITMLLDTQCIFEGEIAKASGTLMGA PEHFGDTILFTMDEDILEAIFCLDDTFDMDAESLCGLQPEEALRRPSTADGEGQDERPFT QAGLGAQDQVPGLELQTSPPVSEVTTPEPGIFYGLCLRLNLTASWGDLHYIGLTGLEVVG KDGEALPIQPHQLSASPRDLNDLPEYNDDSRTLDKLIDGMNITTEDEHMWLIPFSPGLDH VVMIHFDRAQSIAGLRLWNYNKSPEDTYRGVKIAHVSLDGLCVSPAEGFLIRKGPGNCHF DFAQEILFGDYLQTRLPPAPTRRLDAKSLERASMDYEAPLMPCGFIFQFQLLSSWGDPYY IGLTGLELYDEHGERIPLSQNNIAAFPDSVNALEGVCGDVRTPDKLIDQVNDTSDGRHMW LAPILPGLVNRVYVIFDLPTTVSMIKLWNYTKTPQRGVKEFGLLVDDLLVYNGILAMVSH LVGGILPTCEPTVPHHTILFAEDTDFCHQEKHAIISKPEEDQDIQMMNENQVITTSRRKP GTADPALRPKTCIREKETSRRWRC >ENSMUSP00000065744.6 pep:known chromosome:GRCm38:7:125707915:125874793:1 gene:ENSMUSG00000032743.15 transcript:ENSMUST00000069660.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D430042O09Rik description:RIKEN cDNA D430042O09 gene [Source:MGI Symbol;Acc:MGI:2442760] MDGQALRKVERSRSCSQERKEGYSKDMVTDFDEKHDEYLILLQQRNRILKHLKAKDPVQL RLEHLEQGFSVYVNGANSELKTSPRKAVHTDFSRSASQAEGSQDYGRRTLFREAEEVLRR SSRTAPGKVQRRGWHQKSVQIRTEAGSRLHIEPPLDCSEDFESQEDVIGKHEDATGEHTQ ELRKGLGLSTSLQTQEDGSSDEYDSIEEDVLSETETEDPVLPVHNRDECPLPSHDAVQKD VPKDQELEGRHPQATDTLVVMEFNPASKGNKMDRILSAKRKENAEVFIPSKPDSVLNPQP PAVFPEQERACSRSGSRRDRPLSATRKAYAAEDREEDASAVLKAIQVENEALQQVFLSHD PELHTSPQQDTKEPPAKSWSSLLKAKEDIPELLPATPVTTDPELCRAAAGAKAVSQAMDG MSPLGSRQQHKLVGVLQTMESDTTHLSQVAIPTEKPVPNSEEKWKARVDEIEDAIYVTME ILSNWGNASWVGLTEVQFFDLNNIKLYVSPHDVDIRNAVLPGELGCLVNRDLVSKKDPPV WTCPFHPPLQLYFIIHNMRQLRDFGLTMIKVRNYWTADGDLDIGAKNVKLYVNKSLIFDG VLEKGGGEAPSDCTIPVDLQRERNESSDKALSTGWKESKGALKMAALSDARELGLSCSQP AESLDMTVSSQGDFLGEKVNSTSGLKNSLSKLQEDVRLLATPASMGDGPSVPSSSSPGKC LPLEEEPSLIQQLESLRGRKIPEPTGKTPHWLQPSLAGMGKKQTVRKPKPLWLSPEKDLE QKSRFPSEDVMGDTPGEVETREKGPRREQGRTSSWNVITEERAPKAFSKACGDDLDIFSQ LPNRDRPASGRRALKKEASSSHGDDRPASKEDTQASQTLPWLQWYGEQEHALHASWDSLT AFDRAHRGRISALEPQGDILDEFLKQQRSSRHEEFPAPCREEEPEPSTEMGGDSDFKIPV LPYGQHLVIDIKSTWGDRHYVGLNGIEIFSSSGEPVQISSITADPPDINILPAYGKDPRV VSNLIDGVNRTQDDMHVWLAPFTPGMTHTISIEFTHPCQVALIRIWNYNKSRIHSFRGVK DITMLLDTQCIFEGEIAKASGTLMGAPEHFGDTILFTMDEDILEAIFCLDDTFDMDAESL CGLQPEEALRRPSTADGEGQDERPFTQAGLGAQDQVPGLELQTSPPVSEVTTPEPGIFYG LCLRLNLTASWGDLHYIGLTGLEVVGKDGEALPIQPHQLSASPRDLNDLPEYNDDSRTLD KLIDGMNITTEDEHMWLIPFSPGLDHVVMIHFDRAQSIAGLRLWNYNKSPEDTYRGVKIA HVSLDGLCVSPAEGFLIRKGPGNCHFDFAQEILFGDYLQTRLPPAPTRRLDAKSLERASM DYEAPLMPCGFIFQFQLLSSWGDPYYIGLTGLELYDEHGERIPLSQNNIAAFPDSVNALE GVCGDVRTPDKLIDQVNDTSDGRHMWLAPILPGLVNRVYVIFDLPTTVSMIKLWNYTKTP QRGVKEFGLLVDDLLVYNGILAMVSHLVGGILPTCEPTVPHHTILFAEDTDFCHQEKHAI ISKPEEDQDIQMMNENQVITTSRRKPGTADPALRPKTCIREKETSRRWRC >ENSMUSP00000119527.1 pep:known chromosome:GRCm38:7:125707991:125761769:1 gene:ENSMUSG00000032743.15 transcript:ENSMUST00000148701.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D430042O09Rik description:RIKEN cDNA D430042O09 gene [Source:MGI Symbol;Acc:MGI:2442760] MVTDFDEKHDEYLILLQQRNRILKHLKAKDPVQLRLEHLEQGFSVYVNGANSELKTSPRK AVHTDFSRSASQAEGSQDYGRRT >ENSMUSP00000115955.1 pep:known chromosome:GRCm38:7:125860682:125872252:1 gene:ENSMUSG00000032743.15 transcript:ENSMUST00000132204.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D430042O09Rik description:RIKEN cDNA D430042O09 gene [Source:MGI Symbol;Acc:MGI:2442760] XDDSRTLDKLIDGMNITTEDEHMWLIPFSPGLDHVVMIHFDRAQSIAGLRLWNYNKSPED TYRGVKIAHVSLDGLCVSPAEGFLIRKGPGNCHFDFAQEILFGDYLQTRLPPAPTRRLDA KSLERASMDYEAPLMPCGYIAAFPDSVNALEGVCGDVRTPDKLIDQVNDTSDGRHMWLAP ILPGLVNRVYVIFDLPTTVSMIKLWNYTKTPQRGVKEFG >ENSMUSP00000099592.4 pep:known chromosome:GRCm38:4:136247952:136274898:1 gene:ENSMUSG00000001604.14 transcript:ENSMUST00000102533.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcea3 description:transcription elongation factor A (SII), 3 [Source:MGI Symbol;Acc:MGI:1196908] MGLEEELLRIAKKLEKMVSRKKTEGALDLLKKLNSCQMSIQLLQTTRIGVAVNGVRKHCS DKEVVSLAKVLIKNWKRLLDSPRTTKGEREEREKAKKEKGLGCSDWKPEAGLSPPRKKGG GEPKTRRDSVDSRSSTTSSPKRPSLERSNSSKSKVETPTTPSSPSTPTFAPAVCLLAPCY LTGDSVRDKCVEMLSAALKAEDNFKDYGVNCDKLASEIEDHIYQELKSTDMKYRNRVRSR ISNLKDPRNPGLRRNVLSGAISPELIAKMTAEEMASDELRELRNAMTQEAIREHQMAKTG GTTTDLLRCSKCKKKNCTYNQVQTRSADEPMTTFVLCNECGNRWKFC >ENSMUSP00000122718.1 pep:known chromosome:GRCm38:4:136247973:136264484:1 gene:ENSMUSG00000001604.14 transcript:ENSMUST00000143942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcea3 description:transcription elongation factor A (SII), 3 [Source:MGI Symbol;Acc:MGI:1196908] MGLEEELLRIAKKLEKMVSRKKTEGALDLLKKLNSCQMSIQLLQTTRIGVAVNGVRKHCS DKEVVSLAKVLIKNWKRLLGERGQDRWEMGLPASMWERPCLASDSPRTTKGEREEREKAK KEKGLGCSDWKPEAGLSPPRKKGGGEPKTRRDSVDSRSSTTSSPKRPSLERSNSSKSKVE TPT >ENSMUSP00000035884.5 pep:known chromosome:GRCm38:1:90602981:90613341:1 gene:ENSMUSG00000034432.11 transcript:ENSMUST00000036153.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops8 description:COP9 signalosome subunit 8 [Source:MGI Symbol;Acc:MGI:1915363] MPVAVMADNAFSFRKLLDQCENQELEAPGGIATPPVYGQLLALYLLQNDMNNARYLWKRI PPAIKSANSELGGIWSVGQRIWQRDFPGIYTTINAHQWSETVQPIMEALRDATRRRAFAL VSQAYTSIIADDFAAFVGLPVEEAVKGVLEQGWQADSTTRMVLPRKPASGTLDVSLNRFI PLSEPAPVPPIPNEQQLARLTDYVAFLEN >ENSMUSP00000139836.1 pep:known chromosome:GRCm38:1:90603528:90612180:1 gene:ENSMUSG00000034432.11 transcript:ENSMUST00000186750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops8 description:COP9 signalosome subunit 8 [Source:MGI Symbol;Acc:MGI:1915363] MEALRDATRRRAFALVSQAYTSIIADDFAAFVGLPVEEAVKGVLEQGWQADSTTRMVLPR KPASGTLDVSLNRFIPLSEPA >ENSMUSP00000140707.1 pep:known chromosome:GRCm38:1:90611580:90612622:1 gene:ENSMUSG00000034432.11 transcript:ENSMUST00000186882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops8 description:COP9 signalosome subunit 8 [Source:MGI Symbol;Acc:MGI:1915363] XLSGC >ENSMUSP00000091439.5 pep:known chromosome:GRCm38:11:115858406:115892592:1 gene:ENSMUSG00000034427.16 transcript:ENSMUST00000093911.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo15b description:myosin XVB [Source:MGI Symbol;Acc:MGI:2685534] MGRSKGKAPHRRPRPGRPASAELESGSASADCAPSPDRRPARSKARKNKPATEHEATGGK GTAGGQRKHTGEGNHRCRTSQETQERQSSSQRLRHGPTESRDSGDSCGEHTEEQGIPREE VRTGKRGRRRRGKDRGPLTRRGHGETPGRDGDTSGGDGGSSCLDSEAREAQDSSSQGGGA PERPPKMEPSDPRSEGAPIRGPSSTQVQGKCPGSNHPGSEGHALELQSKEGSSGTGPQRA SDDSRTDTDSSPGWAGHRHLPQKIPGTSSGIPESQEIELGREAAASSVPRGSQSPQDSSA IVDTSDVGAQPKAELLGTEPETAGAPGAQLLQVGEVTRKALVVAGESDAGARERSRAGDP GPRDRTPLAALVVLRRLRPRPPAGASPQFAGGIRVGLKARLQRVARALGFLRWLRLRVER RRTEARAASPRECKERGRERALEARGRVPGPRRWLALRLACRLVGLRGTPRALPGGGPSP PQALSSSVSNDASAIEDPAPDPKFAVVFPRIHKARKESGSSSSGTSAGAPTAGAAEDREE QEANGEGVARACQVPSFFPSARNGTPPNENRSQAEPETLEAKTGVHWAQDSNPSEDPELG ADTLLPQLTLETRLRQNSPCRSPRERWEPEDDTEAALERDLELSLQRNLEMPPFLGAKTG SLPESLEDIEDLARLRLVCDSSVLLCLKKRFHLGRIYTFGGPLLLALNPHRSLPLFSSEV LASYHPRKAPNTTPHIFAIGAAAYSLSQSTGQDSCILLGGHSGSGKTEGAKKILEFLSSL GQKPMEANLAQLEDILPVLGSFGHAKTILNANASRFGQEIRLCLQQGLIVGASVSHYLLE TSRVVFQAQAERSFHVFYELLAGLDPTEREQLSLQGPEAYYYLNQGGACRLQGKEDAQDF KELMKALRGLGLCAEELTAVWAVLATILHLGNICFSSSERESQEVAAVSSWAEIHLAARL LQVPPERLEGAVTKRVMDTPYGPVSRPLPVEGAIDARDALAKTLYTRLFTWLLKHINARL SPPREADSVATIAVVDAFGFEALRVNGLEQLCSNLASERLQLFSSQKLLAQEEEVCQQEL LKWVPIPQPPRQSCLDLLVGQPHSLLNILDTQTWLSQATDHTFLQKCHYHHGDHPSYAKP QLPLPIFTVRHYAGTVTYQVHKFINRNRGHLDPAVLEMLRQSQLQLMGSLFQEAEPQAGT EQNKPTLASRFQQTLGDLLARLGRGHVYVIHCLNPTPGKIPGLLDVGHVAEQLRQAGILE IIGTRSTHFPVRVSFQVFLARFHALGSGRQKAASDQERCGAILSEVLGAESPLYHLGVTQ VLLQEQGWQQLEQLWAQRRSQALLTLHRGLRACITRQRLRLLPRMQARVRGLQARKRYLQ RRSALGQLNTILLVARPLLRRRQKLRCAPGPHSGEPWGKVSNMDLGRLEIPAQLATLLER AEGHQALLTGSITESLPPEVPARPSLTLPPDIDQFPFSSFVSTSFQKPFLPRPGQPLDEP LTRLDGENPQQALEINRVMLRLLGEGSLQSWQEQTMGTFLVQQAQRRPGLRDELFSQLVA QLWRNPDEQQNQRGWALMVILLSSFAPTPALEKPLLKFVSDQAPSGMAALCQHKLLGALE QTPLAPMASRSHPPTQLEWKAGLRRGRMALDVFTFNEESYSAEVESWTTGEQFAGWILQS RGLEAPPRGWSVSLHSGDAWRDLPGCDFVLDLIGQTEDLGDPAGPHNYPITPLGLAESIP PAPGVQAPSLPPGLPPGPAPILASSRPPGEASKPENLDGFVDHLFEPALAPGFSDLEQGW ALSRRMKGGGSVGPTQQGYPMVYPGMVQAPSYQPAMIPAPMPVMPAMGAVPTMPAMMVPP QPQPLVPSLDSRQLALQQQNFINQQAMILAQQMTTQAMSLSLEQQNQRHQHQAQTSGATS QPPPSTTAPKAKKPPAPQEKPESNLEPSGVGLREDTPEEAESKPQRPKSFQQKRDYFQKM GQEPIRVKTVKPPAKVQIPQEEMEETEEEEEETAELSPPPPPPPVVKKPLKASRPKAVKE DEAEPAQEEVPTQGEDPPVHSSNSAPQHPKPSRVPPVQSSNSAPPRPQPSREIRNIIRMY QSRPGPVAVPVQPTRPIKTFQKKNDPKDEALAKLGINGVHLPLSTSPNQGKSSPPAVVPR PKARPRLEPSLSIQEKQGPLRDLFGPCSPNPPTAPAPPPPPALPPPLSGEPKTPSVESHA LTEPMEDKNISTKLLVPSGSVCFSYANAPWKLFLRKEVFYPRENFSHPYCLSLLCQQILR DTFTESCTRISQDERHKMKGLLGDLEVSLETLDIVEDSIKKRIVVAARDNWANYFSRIFP VSGESGSDVQLLGVSHRGLRLLKVTQSPSFHLDQLKTLCSYSYAEVLTVQCRGRSTLELS LKNEQLILHTAWARAIKAMVDLFLSELRKDSGYVIALRSYITDDNSLLSFHRGDLIRLLP VTALEPGWQFGSAGGRSGLFPDDVVQPAAAPDLSFSLGKRNSWQRKSKLGPAQERPTHPR KQEPSEDSEATSFTTYSSLSADPHNYTMQEFALRYFRKPHTWLTQMSRDTKEKAAINLIQ YTKDPIQESLTSFCNGDTNSKAVAGFKALMQFMGDQPKPRGKDELSLLYELLKLCQDDLR DEMYCQVIKQVTGHPQPKHCALGWSVLSLFTGFFAPSTTLMPYVTKFLQDSSPSEELARR SQENLQRTVKYGGRQQLPLPGEMNAFLKGQAVRLLLIHLPGGVDYRTNSQTFTVAGEVLE ELCGQMGITDLEEVQEFALFLIKGEGELVRPLSPHEYINNVVTDQDMSLHSRRLGWETPL HFDHSTYTETHYGQVLRDYLQGKLIVSTQAEALLAQLAAFQHFDKTGTSSPPSEQELLSY IPKPLQWQVNTANIKSLVTQELRQMQGYSKQRAQIGFIESTAQLPLFGYTVYVVLRVSKL ALPGPGLLGLNRQHLVLMDPSSQELCCSVMLKDLKQLHLLSPLQEDGPPGLELNYGSVDN PQTIWLELPQAQELQHTIIFLLGSMSTQWPGLL >ENSMUSP00000144423.1 pep:known chromosome:GRCm38:11:115886436:115887446:1 gene:ENSMUSG00000034427.16 transcript:ENSMUST00000125835.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo15b description:myosin XVB [Source:MGI Symbol;Acc:MGI:2685534] GLRLLKVTQSPSFHLDQLKTLCSYSYAEVLTVQCRGRSTLELSLKNEQLILHTAWARAIK AMVDLFLSELRKDSGYVIALRSYITDDNSLLSFHRGDLIRLLPVTALEPGWQFGSAGGRS GLFPDDVVQPAAAPDLSFSLGKRNSWQRKSKLGPAQEVRKTEEVK >ENSMUSP00000129226.2 pep:known chromosome:GRCm38:11:115887601:115892603:1 gene:ENSMUSG00000034427.16 transcript:ENSMUST00000167507.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo15b description:myosin XVB [Source:MGI Symbol;Acc:MGI:2685534] DSEATSFTTYSSLSADPHNYTMQEFALRYFRKPHTWLTQMSRDTKEKAAINLIQYTKARG LDPIQESLTSFCNGDTNSKAVAGFKALMQFMGDQPKPRGKDELSLLYELLKLCQDDLRDE MYCQVIKQVTGHPQPKHCALGWSVLSLFTGFFAPSTTLMPYVTKFLQDSSPSEELARRSQ ENLQRTVKYGGRQQLPLPGEMNAFLKGQAVRLLLIHLPGGVDYRTNSQTFTVAGEVLEEL CGQMGITDLEEVQEFALFLIKGEGELVRPLSPHEYINNVVTDQDMSLHSRRLGWETPLHF DHSTYTETHYGQVLRDYLQGKLIVSTQAEALLAQLAAFQHFDKTGTSSPPSEQELLSYIP KPLQWQVNTANIKSLVTQELRQMQGYSKQRAQIGFIESTAQLPLFGYTVYVVLRVSKLAL PGPGLLGLNRQHLVLMDPSSQELCCSVMLKDLKQLHLLSPLQEDGPPGLELNYGSVDNPQ TIWLELPQAQELQHTIIFLLGSMSTQWPGLL >ENSMUSP00000048072.8 pep:known chromosome:GRCm38:11:115880425:115887445:1 gene:ENSMUSG00000034427.16 transcript:ENSMUST00000040703.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo15b description:myosin XVB [Source:MGI Symbol;Acc:MGI:2685534] STEESYSAEVESWTTGEQFAGWILQSRGLEAPPRGWSVSLHSGDAWRDLPGCDFVLDLIG QTEDLGDPAGPHNYPITPLGLAESIPPAPGVQAPSLPPGLPPGPAPILASSRPPGEASKP ENLDGFVDHLFEPALAPGFSDLEQGWALSRRMKGGGSVGPTQQGYPMVYPGMVQAPSYQP AMIPAPMPVMPAMGAVPTMPAMMVPPQPQPLVPSLDSRQLALQQQNFINQQAMILAQQMT TQAMSLSLEQQNQRHQHQAQTSGATSQPPPSTTAPKAKKPPAPQEKPESNLEPSGVGLRE DTPEEAESKPQRPKSFQQKRDYFQKMGQEPIRVKTVKPPAKVQIPQEEMEETEEEEEETA ELSPPPPPPPVVKKPLKASRPKAVKEDEAEPAQEEVPTQGEDPPVHSSNSAPQHPKPSRV PPVQSSNSAPPRPQPSREIRNIIRMYQSRPGPVAVPVQPTRPIKTFQKKNDPKDEALAKL GINGVHLPLSTSPNQGKSSPPAVVPRPKARPRLEPSLSIQEKQGPLRDLFGPCSPNPPTA PAPPPPPALPPPLSGEPKTPSVESHALTEPMEDKNISTKLLVPSGSVCFSYANAPWKLFL RKEVFYPRENFSHPYCLSLLCQQILRDTFTESCTRISQDERHKMKGLLGDLEVSLETLDI VEDSIKKRIVVAARDNWANYFSRIFPVSGESGSDVQLLGVSHRGLRLLKVTQSPSFHLDQ LKTLCSYSYAEVLTVQCRGRSTLELSLKNEQLILHTAWARAIKAMVDLFLSELRKDSGYV IALRSYITDDNSLLSFHRGDLIRLLPVTALEPGWQFGSAGGRSGLFPDDVVQPAAAPDLS FSLGKRNSWQRKSKLGPAQEVRKTEEVK >ENSMUSP00000026469.2 pep:known chromosome:GRCm38:10:127660918:127666710:-1 gene:ENSMUSG00000025402.12 transcript:ENSMUST00000026469.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nab2 description:Ngfi-A binding protein 2 [Source:MGI Symbol;Acc:MGI:107563] MHRAPSPTAEQPPGRGDNTRRTPQPRFKASAPAMALPRTLGELQLYRVLQRANLLSYYET FIQQGGDDVQQLCEAGEEEFLEIMALVGMATKPLHVRRLQKALREWATNPGLFSQPVPAV PVSSIPLFKISETAGTRKGSMSNGHGSPGEKAGSARSFSPKSPLELGEKLSPLPGGPGAG DPRIWPGQSTPESDVGAGGEEEAGSPPFSPPAGGGVSEGPGVGGVAAGGAGGGPDRLEPE MVRMVVESVERIFRSFPRGDTGEIASLLKLNKKLARSVGHIFEMDDHDAQKEEEIRKYSV IYGRLDSKRREGKQLSLHELTINEAAAQFCMRDNTLLLRRVELFSLSRQVARESTYLSSL KGSRLHSEELGGPPLKKLKQEVGEQSHNEIQQPPPGPESYAPPYRPSLEEDSASLSGESL DGHLQAVGSCPRLTPPPADLPLALPAHGLWSRHILQQTLMDEGLRLARLVSHDRVGRLSP CVPAKPPLAEFEEGLLDRCPAPGPHPALVEGRRSSVKVEAEASRQ >ENSMUSP00000096761.3 pep:known chromosome:GRCm38:10:127660919:127666632:-1 gene:ENSMUSG00000025402.12 transcript:ENSMUST00000099157.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nab2 description:Ngfi-A binding protein 2 [Source:MGI Symbol;Acc:MGI:107563] MHRAPSPTAEQPPGRGDNTRRTPQPRFKASAPAMALPRTLGELQLYRVLQRANLLSYYET FIQQGGDDVQQLCEAGEEEFLEIMALVGMATKPLHVRRLQKALREWATNPGLFSQPVPAV PVSSIPLFKISETAGTRKGSMSNGHGSPGEKAGSARSFSPKSPLELGEKLSPLPGGPGAG DPRIWPGQSTPESDVGAGGEEEAGSPPFSPPAGGGVSEGPGVGGVAAGGAGGGPDRLEPE MVRMVVESVERIFRSFPRGDTGEIASLLKLNKKLARSVGHIFEMDDHDAQKEEEIRKYSV IYGRLDSKRREGKQLSLHELTINEAAAQFCMRDNTLLLRRVELFSLSRQVARESTYLSSL KGSRLHSEELGGPPLKKLKQEVGEQSHNEIQQPPPGPESYAPPYRPSLEEDSASLSGESL DGHLQEFEEGLLDRCPAPGPHPALVEGRRSSVKVEAEASRQ >ENSMUSP00000121737.1 pep:known chromosome:GRCm38:10:127664925:127665481:-1 gene:ENSMUSG00000025402.12 transcript:ENSMUST00000128780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nab2 description:Ngfi-A binding protein 2 [Source:MGI Symbol;Acc:MGI:107563] MALPRTLGELQLYRVLQRANLLSYYETFIQQGGDDVQQLCEAGEEEFLEIMALVGMATKP LHVRRL >ENSMUSP00000118036.1 pep:known chromosome:GRCm38:10:127664984:127668568:-1 gene:ENSMUSG00000025402.12 transcript:ENSMUST00000129252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nab2 description:Ngfi-A binding protein 2 [Source:MGI Symbol;Acc:MGI:107563] MALPRTLGELQLYRVLQRANLLSYYETFIQQGGDDVQQLCEAGEEE >ENSMUSP00000021135.3 pep:known chromosome:GRCm38:11:73047783:73089317:1 gene:ENSMUSG00000020783.14 transcript:ENSMUST00000021135.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncbp3 description:nuclear cap binding subunit 3 [Source:MGI Symbol;Acc:MGI:1914124] MAAVRGLRVSVKAEAPAGPALGLPSPEVESGLERGEPEPMEVEEGELEIVPVRRSLKELL PDTSRRYENKAGSFITGIDVTSKEAIEKKEQRAKRFHFRAEVNLAQRNVALDRDMMKKAI PKVRLETIYICGVDEMSTQDIFSYFKEYPPAHIEWLDDTSCNVVWLDEMTATRALINMSS LPAQDKMRSRDASEDKSSEKNKKDKQEDSSDDDETEEGEVEDENSSDVELDTLSQVEEES LLRNDLRPANKLAKGNRLFMRFATKDDKKELGAARRSQYYMKYGNPNYGGMKGILSNSWK RRYHSRRIQRDVIKKRALIGDDVGLTSYKHRHSGLVNVPEEPIEEEEEEEEEEEDQDMDA DDRVVVEYHEELPGLKQPRERSLSRRSSASSSDSDEMDYDLELKMISTPSPKKSMKMTMY ADEVESQLKSIRNPMRADSISTSNIKNRIGNKLPPEKFADVRHLLDEKRQHSCPRPAVSS TKPDIRQRLGKRPYSPEKAFSSNQVVRREPSSDVHSRLGVPRQDVKGLYSDTRERKSGGL WTRLGSTPKTKEKNTKKVDHRASGAEEDDSELQRAWGALIKEKEESRQKKSRLDSLPSLQ IEVSRESSSGSEAES >ENSMUSP00000123450.1 pep:known chromosome:GRCm38:2:165282879:165287772:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000130393.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFL >ENSMUSP00000118605.1 pep:known chromosome:GRCm38:2:165280874:165286552:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000129210.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS ALSRALVQCSSHKARVVLSWTDYLRRVAPTALATALDVGLSNWSFLYITVSLYTMTKSSA VLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNV >ENSMUSP00000119071.1 pep:known chromosome:GRCm38:2:165280617:165283600:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000155289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS ALSRALVQCSSHKARVVLSWTDYLRRVAPTALATALDVGLSNWSFLYITVSLYTMTKSSA VLFILIFSLIFKLEELSTQFNVEGFALVLGASFIGGIRWTLTQILLQKADLGLQNPIDTM FHLQPLMFLGLFPLFAIFE >ENSMUSP00000125708.1 pep:known chromosome:GRCm38:2:165276554:165287824:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000132270.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKGTGSMLQPQGPGGAELDGLP QKSGPHSTGNST >ENSMUSP00000123299.1 pep:known chromosome:GRCm38:2:165278354:165283368:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000129336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS ALSRALVQCSSHKARVVLSWTDYLRRVAPTALATALDVGLSNWSFLYITVSLPRSSMWRA LPWCWGLRSSVASAGPLHKYSCRKPILASRIPLTPCSTCSHSCFWASSLSLPYSKVSTCP PLRRSSASRTQGCSCG >ENSMUSP00000123757.1 pep:known chromosome:GRCm38:2:165278390:165283600:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000145301.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKGTGSMLQPQGPGGAELDGLP QKSGPHSTGNST >ENSMUSP00000017808.7 pep:known chromosome:GRCm38:2:165276554:165287827:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000017808.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS ALSRALVQCSSHKARVVLSWTDYLRRVAPTALATALDVGLSNWSFLYITVSLYTMTKSSA VLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNVEGFALVLGASFIGGIRW TLTQILLQKADLGLQNPIDTMFHLQPLMFLGLFPLFAIFEGLHLSTSEKIFRFQDTGLLL WVLGSLLLGGILAFGLGFSEFLLVSRTSSLTLSIAGIFKEVCTLLLAAHLLGDQISLLNW LGFALCLSGISLHVALKALHSRGDGGPKPLKSLGSSADLELLLRSSQQEEEDGEEEYFVT QGQQ >ENSMUSP00000118227.1 pep:known chromosome:GRCm38:2:165280786:165287836:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000133961.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS ALSRALVQCSSHKARVVLSWTDYLRRVAPTALATALDVGLSNWSFLYITVSLYTMTKSSA VLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNVEGFALVLGASFIGGIRW TLTQILLQKADL >ENSMUSP00000116288.1 pep:known chromosome:GRCm38:2:165280786:165287835:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000156134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS ALSRALVQCSSHKARVVLSWTDYLRRVAPTALATALDVGLSNWSFLYITVSLYTMTKSSA VLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNVEGFALVLGASFIGGIRW TLTQILLQKADL >ENSMUSP00000104923.2 pep:known chromosome:GRCm38:2:165276554:165287869:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000109300.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS ALSRALVQCSSHKARVVLSWTDYLRRVAPTALATALDVGLSNWSFLYITVSLYTMTKSSA VLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNVEGFALVLGASFIGGIRW TLTQILLQKADLGLQNPIDTMFHLQPLMFLGLFPLFAIFEGLHLSTSEKIFRFQDTGLLL WVLGSLLLGGILAFGLGFSEFLLVSRTSSLTLSIAGIFKEVCTLLLAAHLLGDQISLLNW LGFALCLSGISLHVALKALHSRGDGGPKPLKSLGSSADLELLLRSSQQEEEDGEEEYFVT QGQQ >ENSMUSP00000104922.1 pep:known chromosome:GRCm38:2:165276554:165287755:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000109299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS ALSRALVQCSSHKARVVLSWTDYLRRVAPTALATALDVGLSNWSFLYITVSLYTMTKSSA VLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNVEGFALVLGASFIGGIRW TLTQILLQKADLGLQNPIDTMFHLQPLMFLGLFPLFAIFEGLHLSTSEKIFRFQDTGLLL WVLGSLLLGGILAFGLGFSEFLLVSRTSSLTLSIAGIFKEVCTLLLAAHLLGDQISLLNW LGFALCLSGISLHVALKALHSRGDGGPKPLKSLGSSADLELLLRSSQQEEEDGEEEYFVT QGQQ >ENSMUSP00000104921.1 pep:known chromosome:GRCm38:2:165276554:165287755:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000109298.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS ALSRALVQCSSHKARVVLSWTDYLRRVAPTALATALDVGLSNWSFLYITVSLYTMTKSSA VLFILIFSLIFKLEELRAALVLVVLLIAGGLFMFTYKSTQFNVEGFALVLGASFIGGIRW TLTQILLQKADLGLQNPIDTMFHLQPLMFLGLFPLFAIFEGLHLSTSEKIFRFQDTGLLL WVLGSLLLGGILAFGLGFSEFLLVSRTSSLTLSIAGIFKEVCTLLLAAHLLGDQISLLNW LGFALCLSGISLHVALKALHSRGDGGPKPLKSLGSSADLELLLRSSQQEEEDGEEEYFVT QGQQ >ENSMUSP00000120036.1 pep:known chromosome:GRCm38:2:165280879:165287827:-1 gene:ENSMUSG00000017664.16 transcript:ENSMUST00000131409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35c2 description:solute carrier family 35, member C2 [Source:MGI Symbol;Acc:MGI:2385166] MGRWALDVAFVWKAALTLGLVLLYYCFSIGITFYNKWLTKSFHFPLFMTMLHLAVIFLFS ALSRALVQCSSHKARVVLSWTDYLRRVAPTALATALDVGLSNWSFLYITVSLVQPWSWWS CSLLGASSCLPISPRSS >ENSMUSP00000082302.4 pep:known chromosome:GRCm38:9:97074961:97111157:-1 gene:ENSMUSG00000032449.13 transcript:ENSMUST00000085206.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a36 description:solute carrier family 25, member 36 [Source:MGI Symbol;Acc:MGI:1924909] MSQRDTLVHLFAGGCGGTVGAILTCPLEVVKTRLQSSSVTLYISEVQLNTMAGASVNRVV SPGPLHCLKAILEKEGPRSLFRGLGPNLVGVAPSRAIYFAAYSNCKEKLNGVFDPDSTQV HMASAAMAGFTAITATNPIWLIKTRLQLDARTRGEKQMGAFECVRKVYQTDGLRGFYRGM SASYAGISETVIHFVIYESIKQKLLECKTASMMETDEESVKEASDFVRMMLAAATSKTCA TTIAYPHEVVRTRLREEGTKYRSFFQTLSLIVQEEGYGSLYRGLTTHLVRQIPNTAIMMA TYELVVYLLNG >ENSMUSP00000035024.6 pep:known chromosome:GRCm38:9:97075565:97084470:-1 gene:ENSMUSG00000032449.13 transcript:ENSMUST00000035024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a36 description:solute carrier family 25, member 36 [Source:MGI Symbol;Acc:MGI:1924909] MSFFRIISRSHGTRGEKQMGAFECVRKVYQTDGLRGFYRGMSASYAGISETVIHFVIYES IKQKLLECKTASMMETDEESVKEASDFVRMMLAAATSKTCATTIAYPHEVVRTRLREEGT KYRSFFQTLSLIVQEEGYGSLYRGLTTHLVRQIPNTAIMMATYELVVYLLNG >ENSMUSP00000116813.1 pep:known chromosome:GRCm38:9:97078917:97111007:-1 gene:ENSMUSG00000032449.13 transcript:ENSMUST00000153070.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a36 description:solute carrier family 25, member 36 [Source:MGI Symbol;Acc:MGI:1924909] MSQRDTLVHLFAGGCGGTVGAILTCPLEVVKTRLQSSSVTLYISEVQLNTMAGASVNRVV SPGPLHCLKAILEKEGPRSLFRGLGPNLVGVAPSRAIYFAAYSNCKEKLNGVFDPDSTQV HMASAAMAEHKN >ENSMUSP00000119696.1 pep:known chromosome:GRCm38:9:97089702:97100220:-1 gene:ENSMUSG00000032449.13 transcript:ENSMUST00000124250.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a36 description:solute carrier family 25, member 36 [Source:MGI Symbol;Acc:MGI:1924909] AILTCPLEVVKTRLQSSSVTLYISEVQLNTMAGASVNRVVSPGPLHCLKAILEKEGPRSL FRGLGPNLVGVAPSRAIYFAAYSNCKEKLNGVFDPDSTQVHMASAAMAAWTASWT >ENSMUSP00000003677.4 pep:known chromosome:GRCm38:11:4135202:4141172:1 gene:ENSMUSG00000003581.14 transcript:ENSMUST00000003677.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf215 description:ring finger protein 215 [Source:MGI Symbol;Acc:MGI:1918923] MGSADRPALRSPSLPPPPPSPPSPLLLLLPLLPLWLGLMGPGAAADGSEPATGEGRGGAR SVRVDVKLPRQDALVLEGVRIGPEDGPEPLLGGRLLLMDVVDAEQEIPVDGWIAVAYVGK EQVAQFHQENQGSSQKAYPKALVQQMRRALFLGASALLLLILNHSVVRELDVSQLLLRPV IVLHYSSNVTKLLEALLQRTQATAEISSGESLSANIEWKLTLWTTCGLSKDGYGGWQDLV CLGGAQAQEQKPLQQLWNAILLVAMLLCTGLVVQAQRQASRQNQQEPGGQEDLFKRRVVR RLASLKTRRCRLSRAAHSLPEPGTETCAVCLDYFCNKQWLRVLPCKHEFHRDCVDPWLML QQTCPLCKFNVLGNHYSDD >ENSMUSP00000114532.1 pep:known chromosome:GRCm38:11:4135282:4137217:1 gene:ENSMUSG00000003581.14 transcript:ENSMUST00000124670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf215 description:ring finger protein 215 [Source:MGI Symbol;Acc:MGI:1918923] ADRPALRSPSLPPPPPSPPSPLLLLLPLLPLWLGLMGPGAAADGSEPATGEGRGGARSVR VDVKLPRQDALVLEGVRIGPEDGPEPLLGGRLLLMRRALFLGASALLLLILNHSVVRELD VSQLLLRPVIVLHYSSNVTKLLEALLQRT >ENSMUSP00000117540.1 pep:known chromosome:GRCm38:11:4135214:4137368:1 gene:ENSMUSG00000003581.14 transcript:ENSMUST00000145705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf215 description:ring finger protein 215 [Source:MGI Symbol;Acc:MGI:1918923] MGSADRPALRSPSLPPPPPSPPSPLLLLLPLLPLWLGLMGPGAAADGSEPATGEGRGGAR SVRVDVKLPRQDALVLEGVRIGPEDGPEPLLGGRLLLMDVVDAEQEIPVDGWIAVAYVGK EQVAQFHQENQGSSQKAYPKALVQQMRRALFLGASALLLLILNHSVVRELDVSQLLLRPV IVLHYSSNVTKLLEALLQMATEAGRTWCAWEVLRPRS >ENSMUSP00000113725.1 pep:known chromosome:GRCm38:14:34220046:34227740:1 gene:ENSMUSG00000041479.13 transcript:ENSMUST00000119693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt15 description:synaptotagmin XV [Source:MGI Symbol;Acc:MGI:2442166] MAEQLAFLIGGIIGGLLLLIGVSCCLWRRFCATFTYEELPETSDPATISYFSRKEDRLYQ YSGTPPGRLPSVPFVVPPSHQGRDWVPLHGGDWAVAPQDPCPVPEHMACTSSAKPGDACE MGSINPELYKSPEDTSETGFPDGCLGRLWFSVEYQQESERLLVGLIKAQQLQVPSETCST LVKLHLLPDERRFLQSKTKHKICNPQFDENFIFQVSSKSVTQRVLKFSVYHVNKKRKHQL LGQVLFPLKNETLAGDHHRIIWRDLEAKNLEPPSEFGDIQFCLSYNDYLSRLTVVVLRAK GLQLQEDRSVVSVFVKVSLMNHNKFVKCKRTSAVLGSVNPVYNETFSFKVDTNELDTASL SLVVLQTTEGNSKAWGMGSRGHGVGATQL >ENSMUSP00000036755.5 pep:known chromosome:GRCm38:14:34220047:34230421:1 gene:ENSMUSG00000041479.13 transcript:ENSMUST00000035351.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt15 description:synaptotagmin XV [Source:MGI Symbol;Acc:MGI:2442166] MAEQLAFLIGGIIGGLLLLIGVSCCLWRRFCATFTYEELPETSDPATISYFSRKEDRLYQ YSGTPPGRLPSVPFVVPPSHQGRDWVPLHGGDWAVAPQDPCPVPEHMACTSSAKPGDACE MGSINPELYKSPEDTSETGFPDGCLGRLWFSVEYQQESERLLVGLIKAQQLQVPSETCST LVKLHLLPDERRFLQSKTKHKICNPQFDENFIFQVSSKSVTQRVLKFSVYHVNKKRKHQL LGQVLFPLKNETLAGDHHRIIWRDLEAKNLEPPSEFGDIQFCLSYNDYLSRLTVVVLRAK GLQLQEDRSVVSVFVKVSLMNHNKFVKCKRTSAVLGSVNPVYNETFSFKVDTNELDTASL SLVVLQTTEGNKSSPLGRVVVGPYMYTRGKELEHWGEMLRKPKELVKRWHALCRPTEP >ENSMUSP00000098829.1 pep:known chromosome:GRCm38:6:78380709:78383827:1 gene:ENSMUSG00000079516.2 transcript:ENSMUST00000101272.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg3a description:regenerating islet-derived 3 alpha [Source:MGI Symbol;Acc:MGI:109408] MLPHLVLNSISWMLLSCLLFVFQVQGEDFQKEVPSPRTSCPMGYKAYRSHCYALVMTPKS WFQADLVCQKRPSGHLVSILSGGEASFVSSLVNGRVDNYQDIWIGLHDPTMGQQPNGGGW EWSNSDVLNYLNWDGDPSSTVNRGHCGSLTASSGFLKWGDYYCDGTLPFVCKFKQ >ENSMUSP00000117947.1 pep:known chromosome:GRCm38:7:66060338:66074587:1 gene:ENSMUSG00000030512.13 transcript:ENSMUST00000153609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpa1 description:small nuclear ribonucleoprotein polypeptide A' [Source:MGI Symbol;Acc:MGI:1916231] MVKLTAELIEQAAQYTNAVRDRELDLRGYKIPVIENLGATLDQFDAIDFSDNEIRKLDGF PLLRRLKTLLVNNNRICRIGEGLDQALPCLTELILTNNSLVELGDLDPLASLKSLTYLSI LRNPVTNKKHYRLYVIYKVPQVRVLDFQKVKLKERQEAEKMFKGKRGAQLAKDIARRSKT FNPGAGLPTDKKKGGPSAGDVEAIKNAIANASTLAEVERLKGLLQSGQIPGRERRSGPSD EGEEEIEDDTVTNGS >ENSMUSP00000032723.7 pep:known chromosome:GRCm38:7:66060544:66074580:1 gene:ENSMUSG00000030512.13 transcript:ENSMUST00000032723.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snrpa1 description:small nuclear ribonucleoprotein polypeptide A' [Source:MGI Symbol;Acc:MGI:1916231] MVKLTAELIEQAAQYTNAVRDRELDLRGYKIPVIENLGATLDQFDAIDFSDNEIRKLDGF PLLRRLKTLLVNNNRICRIGEGLDQALPCLTELILTNNSLVELGDLDPLASLKSLTYLSI LRNPVTNKKHYRLYVIYKVPQVRVLDFQKVKLKF >ENSMUSP00000110680.3 pep:known chromosome:GRCm38:16:33829665:33949146:1 gene:ENSMUSG00000022817.14 transcript:ENSMUST00000115028.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb5 description:integrin beta 5 [Source:MGI Symbol;Acc:MGI:96614] MPRVPATLYACLLGLCALVPRLAGLNICTSGSATSCEECLLIHPKCAWCSKEYFGNPRSI TSRCDLKANLIRNGCEGEIESPASSTHVLRNLPLSSKGSSATGSDVIQMTPQEIAVSLRP GEQTTFQLQVRQVEDYPVDLYYLMDLSLSMKDDLENIRSLGTKLAEEMRKLTSNFRLGFG SFVDKDISPFSYTAPRYQTNPCIGYKLFPNCVPSFGFRHLLPLTDRVDSFNEEVRKQRVS RNRDAPEGGFDAVLQAAVCKEKIGWRKDALHLLVFTTDDVPHIALDGKLGGLVQPHDGQC HLNEANEYTASNQMDYPSLALLGEKLAENNINLIFAVTKNHYMLYKNFTALIPGTTVEIL HGDSKNIIQLIINAYSSIRAKVELSVWDQPEDLNLFFTATCQDGISYPGQRKCEGLKIGD TASFEVSVEARSCPGRQAAQSFTLRPVGFRDSLQVEVAYNCTCGCSTGLEPNSARCSGNG TYTCGLCECDPGYLGTRCECQEGENQSGYQNLCREAEGKPLCSGRGECSCNQCSCFESEF GRIYGPFCECDSFSCARNKGVLCSGHGECHCGECKCHAGYIGDNCNCSTDVSTCRAKDGQ ICSDRGRCVCGQCQCTEPGAFGETCEKCPTCPDACSSKRDCVECLLLHQGKPDNQTCHHQ CKDEVITWVDTIVKDDQEAVLCFYKTAKDCVMMFSYTELPNGRSNLTVLREPECGSAPNA MTILLAVVGSILLIGMALLAIWKLLVTIHDRREFAKFQSERSRARYEMASNPLYRKPIST HTVDFAFNKFNKSYNGSVD >ENSMUSP00000069416.5 pep:known chromosome:GRCm38:16:33829677:33949153:1 gene:ENSMUSG00000022817.14 transcript:ENSMUST00000069345.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb5 description:integrin beta 5 [Source:MGI Symbol;Acc:MGI:96614] MPRVPATLYACLLGLCALVPRLAGLNICTSGSATSCEECLLIHPKCAWCSKEYFGNPRSI TSRCDLKANLIRNGCEGEIESPASSTHVLRNLPLSSKGSSATGSDVIQMTPQEIAVSLRP GEQTTFQLQVRQVEDYPVDLYYLMDLSLSMKDDLENIRSLGTKLAEEMRKLTSNFRLGFG SFVDKDISPFSYTAPRYQTNPCIGYKLFPNCVPSFGFRHLLPLTDRVDSFNEEVRKQRVS RNRDAPEGGFDAVLQAAVCKEKIGWRKDALHLLVFTTDDVPHIALDGKLGGLVQPHDGQC HLNEANEYTASNQMDYPSLALLGEKLAENNINLIFAVTKNHYMLYKNFTALIPGTTVEIL HGDSKNIIQLIINAYSSIRAKVELSVWDQPEDLNLFFTATCQDGISYPGQRKCEGLKIGD TASFEVSVEARSCPGRQAAQSFTLRPVGFRDSLQVEVAYNCTCGCSTGLEPNSARCSGNG TYTCGLCECDPGYLGTRCECQEGENQSGYQNLCREAEGKPLCSGRGECSCNQCSCFESEF GRIYGPFCECDSFSCARNKGVLCSGHGECHCGECKCHAGYIGDNCNCSTDVSTCRAKDGQ ICSDRGRCVCGQCQCTEPGAFGETCEKCPTCPDACSSKRDCVECLLLHQGKPDNQTCHHQ CKDEVITWVDTIVKDDQEAVLCFYKTAKDCVMMFSYTELPNGRSNLTVLREPECGSAPNA MTILLAVVGSILLIGMALLAIWKLLVTIHDRREFAKFQSLKPPVQKAHLHTHCRFRLQQV QQILQWLSGLRLLDGWRGTKDEDSGVPWTSWTICSR >ENSMUSP00000032336.4 pep:known chromosome:GRCm38:6:136612070:136661928:-1 gene:ENSMUSG00000030214.6 transcript:ENSMUST00000032336.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plbd1 description:phospholipase B domain containing 1 [Source:MGI Symbol;Acc:MGI:1914107] MCHRSPGRSLRPPSPLLLLLPLLLQPPWAAGAASQSDPTGVHCATAYWSPESKKVEIKTV LDKNGDAYGYYNDSIKTTGWGILEIRAGYGSQVLSNEIIMFLAGYLEGYLTALHMYDHFT NLYPQLFKNPSIVKKVQDFMEKQEMWTRQNIKAQKDDPFWRHTGYVVTQLDGLYLGAQKR ASEEKIKPMTMFQIQFLNAVGDLLDLIPSLSPTKSSSMMKFKIWEMGHCSALIKVLPGFE NIYFAHSSWYTYAAMLRIYKHWDFNIKDKYTLSKRLSFSSYPGFLESLDDFYILSSGLIL LQTTNSVYNKTLLKQVVPKTLLAWQRVRVANMMAEGGKEWAQIFSKHNSGTYNNQYMVLD LKKVTINRSLDKGTLYIVEQIPTYVEYSDQTNVLRKGYWASYNIPFHKTIYNWSGYPLLV HKLGLDYSYDLAPRAKIFRRDQGNVTDMASMKYIMRYNNYKEDPYSKGDPCSTICCREDL NGASPSPGGCYDTKVADIFLASQYKAYAISGPTVQDGLPPFNWNRFNDTLHRGMPEVFDF NFVTMKPILS >ENSMUSP00000144967.1 pep:known chromosome:GRCm38:6:136640117:136661563:-1 gene:ENSMUSG00000030214.6 transcript:ENSMUST00000205021.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plbd1 description:phospholipase B domain containing 1 [Source:MGI Symbol;Acc:MGI:1914107] RHMYDHFTNLYPQLFKNPSIVKKVQDFMEKQEMWTRQNIKAQKDDPFWRHTGYVVTQLDG LYLGAQKRASEEKIK >ENSMUSP00000020165.7 pep:known chromosome:GRCm38:10:20398004:20725078:-1 gene:ENSMUSG00000019990.14 transcript:ENSMUST00000020165.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde7b description:phosphodiesterase 7B [Source:MGI Symbol;Acc:MGI:1352752] MSCLMVERCGEVLFESPEQSVKCVCMLGDVRLRGQTGVPAERRGSYPFIDFRLLNNTTHS GEIGTKKKVKRLLSFQRYFHASRLLRGIIPQAPLHLLDEDYLGQARHMLSKVGTWDFDIF LFDRLTNGNSLVTLLCHLFNSHGLIHHFKLDMVTLHRFLVMVQEDYHGHNPYHNAVHAAD VTQAMHCYLKEPKLASFLTPLDIMLGLLAAAAHDVDHPGVNQPFLIKTNHHLANLYQNMS VLENHHWRSTIGMLRESRLLAHLPKEMTQDIEQQLGSLILATDINRQNEFLTRLKAHLHN KDLRLENVQDRHFMLQIALKCADICNPCRIWEMSKQWSERVCEEFYRQGDLEQKFELEIS PLCNQQKDSIPSIQIGFMTYIVEPLFREWARFTGNSTLSENMLSHLAHNKAQWKSLLSNQ HRRRGSGQDLAGPAPETLEQTEGATP >ENSMUSP00000130596.1 pep:known chromosome:GRCm38:10:20398928:20548328:-1 gene:ENSMUSG00000019990.14 transcript:ENSMUST00000169016.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde7b description:phosphodiesterase 7B [Source:MGI Symbol;Acc:MGI:1352752] MPVLERYFHSAELGRRWTAPEAVLPSSLSSRPGCQQGPLPWDLPEMIRMVKLVWKSKSEL QATKPRGILENEDASHSFPGDVRLRGQTGVPAERRGSYPFIDFRLLNSLFSSFSFL >ENSMUSP00000132378.1 pep:known chromosome:GRCm38:10:20400418:20548328:-1 gene:ENSMUSG00000019990.14 transcript:ENSMUST00000169404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde7b description:phosphodiesterase 7B [Source:MGI Symbol;Acc:MGI:1352752] MPVLERYFHSAELGRRWTAPEAVLPSSLSSRPGCQQGPLPWDLPEMIRMVKLVWKSKSEL QATKPRGILENEDASHSFPGDVRLRGQTGVPAERRGSYPFIDFRLLNNTTHSGEIGTKKK VKRLLSFQRYFHASRLLRGIIPQAPLHLLDEDYLGQARHMLSKVGTWDFDIFLFDRLTNG NSLVTLLCHLFNSHGLIHHFKLDMVTLHRFLVMVQEDYHGHNPYHNAVHAADVTQAMHCY LKEPKLASFLTPLDIMLGLLAAAAHDVDHPGVNQPFLIKTNHHLANLYQNMSVLENHHWR STIGMLRESRLLAHLPKEMTQDIEQQLGSLILATDINRQNEFLTRLKAHLHNKDLRLENV QDRHFMLQIALKCADICNPCRIWEMSKQWSERVCEEFYRQGDLEQKFELEISPLCNQQKD SIPSIQIGFMTYIVEPLFREWARFTGNSTLSENMLSHLAHNKAQWKSLLSNQHRRRGSGQ DLAGPAPETLEQTEGATP >ENSMUSP00000126324.1 pep:known chromosome:GRCm38:10:20400418:20724696:-1 gene:ENSMUSG00000019990.14 transcript:ENSMUST00000170265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde7b description:phosphodiesterase 7B [Source:MGI Symbol;Acc:MGI:1352752] MSCLMVERCGEVLFESPEQSVKCVCMLAASSPPPLPMAGQGDVRLRGQTGVPAERRGSYP FIDFRLLNNTTHSGEIGTKKKVKRLLSFQRYFHASRLLRGIIPQAPLHLLDEDYLGQARH MLSKVGTWDFDIFLFDRLTNGNSLVTLLCHLFNSHGLIHHFKLDMVTLHRFLVMVQEDYH GHNPYHNAVHAADVTQAMHCYLKEPKLASFLTPLDIMLGLLAAAAHDVDHPGVNQPFLIK TNHHLANLYQNMSVLENHHWRSTIGMLRESRLLAHLPKEMTQDIEQQLGSLILATDINRQ NEFLTRLKAHLHNKDLRLENVQDRHFMLQIALKCADICNPCRIWEMSKQWSERVCEEFYR QGDLEQKFELEISPLCNQQKDSIPSIQIGFMTYIVEPLFREWARFTGNSTLSENMLSHLA HNKAQWKSLLSNQHRRRGSGQDLAGPAPETLEQTEGATP >ENSMUSP00000126913.1 pep:known chromosome:GRCm38:10:20407087:20548470:-1 gene:ENSMUSG00000019990.14 transcript:ENSMUST00000164195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde7b description:phosphodiesterase 7B [Source:MGI Symbol;Acc:MGI:1352752] MPVLERYFHSAELGRRWTAPEAVLPSSLSSRPGCQQGPLPWDLPEMIRMVKLVWKSKSEL QATKPRGILENEDASHSFPGDVRLRGQTGVPAERRGSYPFIDFRLLNNTTHSGEIGTKKK VKRLLSFQRYFHASRLLRGIIPQAPLHLLDEDYLGQARHMLSKVGTWDFDIFLFDRLTNG NSLVTLLCHLFNSHGLIHHFKLDMVTLHRFLVMVQEDYHGHNPYHNAVHAADVTQAMHCY LKEPKLASFLTPLDIMLGLLAAAAHDVDHPGVNQPFLIKTNHHLANLYQNMSVLENHHWR STIGMLRESRLLAHLPKEMTQDIEQQLGSLILATDINRQNEFLTRLKAHLHNKDLRLENV QDRHFMLQIALKCADICNPCRIWEMSKQWSERVCEEFYRQGDLEQKFELEISPLCNQQKD SIPSIQIGELKSGFCFVLFF >ENSMUSP00000105162.1 pep:known chromosome:GRCm38:2:157329497:157337426:-1 gene:ENSMUSG00000027643.9 transcript:ENSMUST00000109536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghrh description:growth hormone releasing hormone [Source:MGI Symbol;Acc:MGI:95709] MLLWVLFVILILTSGSHCSLPPSPPFRMQRHVDAIFTTNYRKLLSQLYARKVIQDIMNKQ GERIQEQRARLSRQEDSMWTEDKQMTLESILQGFPRMKPSADA >ENSMUSP00000029172.1 pep:known chromosome:GRCm38:2:157329497:157346655:-1 gene:ENSMUSG00000027643.9 transcript:ENSMUST00000029172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghrh description:growth hormone releasing hormone [Source:MGI Symbol;Acc:MGI:95709] MLLWVLFVILILTSGSHCSLPPSPPFRMQRHVDAIFTTNYRKLLSQLYARKVIQDIMNKQ GERIQEQRARLSRQEDSMWTEDKQMTLESILQGFPRMKPSADA >ENSMUSP00000113390.2 pep:known chromosome:GRCm38:3:89164795:89176921:1 gene:ENSMUSG00000068917.12 transcript:ENSMUST00000121212.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk2 description:CDC-like kinase 2 [Source:MGI Symbol;Acc:MGI:1098669] MPHPRRYHSSERGSRGSYHEHYQSRKHKRRRSRSWSSSSDRTRRRRREDSYHVRSRSSYD DHSSDRRLYDRRYCGSYRRNDYSRDRGEAYYDTDFRQSYEYHRENSSYRSQRSSRRKHRR RRRRSRTFSRSSSHSSRRAKSVEDDAEGHLIYHVGDWLQERYEIVSTLGEGTFGRVVQCV DHRRGGTQVALKIIKNVEKYKEAARLEINVLEKINEKDPDNKNLCVQMFDWFDYHGHMCI SFELLGLSTFDFLKDNNYLPYPIHQVRHMAFQLCQAVKFLHDNKLTHTDLKPENILFVNS DYELTYNLEKKRDERSVKSTAVRVVDFGSATFDHEHHSTIVSTRHYRAPEVILELGWSQP CDVWSIGCIIFEYYVGFTLFQTHDNREHLAMMERILGPVPSRMIRKTRKQKYFYRGRLDW DENTSAGRYVRENCKPLRRYLTSEAEDHHQLFDLIENMLEYEPAKRLTLGEALQHPFFAC LRTEPPNTKLWDSSRDISR >ENSMUSP00000122202.1 pep:known chromosome:GRCm38:3:89164817:89167204:1 gene:ENSMUSG00000068917.12 transcript:ENSMUST00000152205.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk2 description:CDC-like kinase 2 [Source:MGI Symbol;Acc:MGI:1098669] MPHPRRYHSSERGSRGSYHEHYQSRKHKRRRSRSWSSSSDRTRRRRREDSYHVRSR >ENSMUSP00000088445.5 pep:known chromosome:GRCm38:3:89164818:89176921:1 gene:ENSMUSG00000068917.12 transcript:ENSMUST00000090927.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk2 description:CDC-like kinase 2 [Source:MGI Symbol;Acc:MGI:1098669] MPHPRRYHSSERGSRGSYHEHYQSRKHKRRRSRSWSSSSDRTRRRRREDSYHVRSRSYDD HSSDRRLYDRRYCGSYRRNDYSRDRGEAYYDTDFRQSYEYHRENSSYRSQRSSRRKHRRR RRRSRTFSRSSSHSSRRAKSVEDDAEGHLIYHVGDWLQERYEIVSTLGEGTFGRVVQCVD HRRGGTQVALKIIKNVEKYKEAARLEINVLEKINEKDPDNKNLCVQMFDWFDYHGHMCIS FELLGLSTFDFLKDNNYLPYPIHQVRHMAFQLCQAVKFLHDNKLTHTDLKPENILFVNSD YELTYNLEKKRDERSVKSTAVRVVDFGSATFDHEHHSTIVSTRHYRAPEVILELGWSQPC DVWSIGCIIFEYYVGFTLFQTHDNREHLAMMERILGPVPSRMIRKTRKQKYFYRGRLDWD ENTSAGRYVRENCKPLRRYLTSEAEDHHQLFDLIENMLEYEPAKRLTLGEALQHPFFACL RTEPPNTKLWDSSRDISR >ENSMUSP00000122634.1 pep:known chromosome:GRCm38:3:89164819:89173457:1 gene:ENSMUSG00000068917.12 transcript:ENSMUST00000148265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk2 description:CDC-like kinase 2 [Source:MGI Symbol;Acc:MGI:1098669] MPHPRRYHSSERGSRGSYHEHYQSRKHKRRRSRSWSSSSDRTRRRRREDSYHVRSRSSYD DHSSDRRLYDRRYCGSYRRNDYSRDRGEAYYDTDFRQSYEYHRENSSYRSQRSSRRKHRR RRRRSRTFSRSSSHSSRRAKSVEDDAEGHLIYHVGDWLQERYEIVSTLGEGTFGRVVQCV DHRRGGTQVALKIIKNVEKYKEAARLEINVLEKINEKDPDNKNLCVQMFDWFDYHGHMCI SFELLGLST >ENSMUSP00000113861.1 pep:known chromosome:GRCm38:3:89164840:89176921:1 gene:ENSMUSG00000068917.12 transcript:ENSMUST00000121931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk2 description:CDC-like kinase 2 [Source:MGI Symbol;Acc:MGI:1098669] MPHPRRYHSSERGSRGSYHEHYQSRKHKRRRSRSWSSSSDRTRRRRREDSYHVRSRSSYD DHSSDRRLYDRRYCGSYRRNDYSRDRGEAYYDTDFRQSYEYHRENSSYRSQRSSRRKHRR RRRRSRTFSRSSSQHSSRRAKSVEDDAEGHLIYHVGDWLQERYEIVSTLGEGTFGRVVQC VDHRRGGTQVALKIIKNVEKYKEAARLEINVLEKINEKDPDNKNLCVQMFDWFDYHGHMC ISFELLGLSTFDFLKDNNYLPYPIHQVRHMAFQLCQAVKFLHDNKLTHTDLKPENILFVN SDYELTYNLEKKRDERSVKSTAVRVVDFGSATFDHEHHSTIVSTRHYRAPEVILELGWSQ PCDVWSIGCIIFEYYVGFTLFQTHDNREHLAMMERILGPVPSRMIRKTRKQKYFYRGRLD WDENTSAGRYVRENCKPLRRYLTSEAEDHHQLFDLIENMLEYEPAKRLTLGEALQHPFFA CLRTEPPNTKLWDSSRDISR >ENSMUSP00000115761.1 pep:known chromosome:GRCm38:3:89164979:89176921:1 gene:ENSMUSG00000068917.12 transcript:ENSMUST00000128318.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clk2 description:CDC-like kinase 2 [Source:MGI Symbol;Acc:MGI:1098669] MPHPRRYHSSERGSRGSYHEHYQSRKHKRRRSRSWSSSSDRTRRRRREDSYHVRSRSSYD DHSSDRRLYDRRYCGSYRRNDYSRDRGEAYYDTDFRQSYEYHRENSSYRSQRSSRRKHRR RRRRSRTFSRSSSMKL >ENSMUSP00000026665.7 pep:known chromosome:GRCm38:11:119077573:119086221:-1 gene:ENSMUSG00000039989.7 transcript:ENSMUST00000026665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbx4 description:chromobox 4 [Source:MGI Symbol;Acc:MGI:1195985] MELPAVGEHVFAVESIEKKRIRKGRVEYLVKWRGWSPKYNTWEPEENILDPRLLIAFQNR ERQEQLMGYRKRGPKPKPLVVQVPTFARRSNVLTGLQDSSADNRAKLELGTQGKGQGHQY ELNSKKHHQYQPHSKERSGKPPPPGKSGKYYYQLNSKKHHPYQPDPKMYDLQYQGGHKEA PSPTCPDLGTKSHPPDKWAHGAAAKGYLGAVKPLGGGAGAPGKGSEKGPPNGMTPAPKEA VTGNGIGGKMKIVKNKNKNGRIVIVMSKYMENGMQAVKIKSGEAAEGEARSPSHKKRAAE ERHPQGDRTFKKAAGASEEKKAEVPCKRREEEALVSGDAQPQDLGSRKLSPTKEAFGEQP LQLTTKPDLLAWDPARSSHPPAHHHHHHHHHHHHHTVGLNLSHARKRCLSETHGEREPCK KRLTARSISTPTCLGGSPVSEHPANVSPTAASLPQPEVILLDSDLDEPIDLRCVKMRSDA GEPPSTLQVKPEAPAVAAVVAPAPASEKPPAEAQEEPVEPLSEFKPFFGNIIITDVTANC LTVTFKEYVTV >ENSMUSP00000004622.5 pep:known chromosome:GRCm38:7:97081586:97308946:1 gene:ENSMUSG00000004508.6 transcript:ENSMUST00000004622.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gab2 description:growth factor receptor bound protein 2-associated protein 2 [Source:MGI Symbol;Acc:MGI:1333854] MSGGGGDDVVCTGWLRKSPPEKKLRRYAWKKRWFILRSGRMSGDPDVLEYYKNEHSKKPL RIINLNLCEQVDAGLTFNKKELQDSFVFDIKTSERTFYLVAETEADMNKWVQSICQICGF NQAEESTDSLRNLSSASHGPRSSPAEFSSSQHLLRERKSSAPSHSSQPTLFTFEPPVSSH MQPTLSTSAPQEYLYLHQCISRRTENARSASFSQGTRQKSDTAVQKLAQSNGHCINGVGG QVHGFYSLPKPSRHNTEFKDSTYDLPRSLASHGHTKSSLTGSETDNEDVYTFKMPSNTLC RELGDLLVDNMDVPTTPLSAYQIPRTFTLDKNHNAMTVATPGDSAIAPPPRPPKPSQAET PQWGSIQQRPPISENSRSVAATIPRRNTLPAMDNSRLHRASSCETYEYPARGSGESASWS AEPPGKTAVGRSNSASSDDNYVPMNPGSSTLLAMERPGDNSQSVYIPMSPGPHHFDPLGY PSTALPIHRGPSRGSEIQPPPVNRNLKPDRKAKPTPLDLRNNTVIDELPFKSPVTKSWSR INSHTFNSSSSQYCRPISTQSITSTDSGDSEENYVPMQNPVSASPVPSGTNSPAPKKSTG SVDYLALDFQPGSPSPHRKPSTSSVTSDEKVDYVQVDKEKTQALQNTMQEWTDVRQSSEP SKGAKL >ENSMUSP00000146200.1 pep:known chromosome:GRCm38:7:97081776:97305036:1 gene:ENSMUSG00000004508.6 transcript:ENSMUST00000206791.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gab2 description:growth factor receptor bound protein 2-associated protein 2 [Source:MGI Symbol;Acc:MGI:1333854] MSGGGGDDVVCTGWLRKSPPEKKLRRYAWKKRWFILRSGRMSGDPDVLEYYKNEHSKKPL RIINLNLCEQVDAGLTFNKKELQDSFVFDIKTSERTFYLVAETEADMNKWVQSICQICGF NQAEESTDSLRNLSSASHGPRSSPAEFSSSQHLLRERKSSAPSHSSQPTLFTFEPPVSSH MQPTLSTSAPQEYLYLHQCISRRTENARSASFSQGTRQKSDTAVQKLAQSNGHCINGVGG QVHGFYSLPKPSRHNTEFKDSTYDLPRSLASHGHTKSSLTGSETDNEDVYTFKMPSNTLC RELGDLLVDNMDVPTTPLSAYQIPRTFTLDKNHNAMTVATPGDSAIAPPPRPPKPSQAET PQWGSIQQRPPISENSRSVAATIPRRNTLPAMDNSRLHRASSCETYEYPARGSGESASWS AEPPGKTAVGRSNSASSDDNYVPMNPGSSTLLAMERPGDNSQSVYIPMSPGPHHFDPLGY PSTALPIHRGPSRGSEIQPPPVNRNLKPDRKAKPTPLDLRNNTVIDELPFKSPVTKSWSR INHTFNSSSSQYCRPISTQSITSTDSGDSEENYVPMQNPVSASPVPSGTNSPAPKKSTGS VDYLALDFQPGSPSPHRKPSTSSVTSDEKVDYVQVDKEKTQALQNTMQEWTDVRQSSEPS KGAKL >ENSMUSP00000050077.1 pep:known chromosome:GRCm38:4:132110726:132144486:-1 gene:ENSMUSG00000050511.1 transcript:ENSMUST00000056336.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprd1 description:opioid receptor, delta 1 [Source:MGI Symbol;Acc:MGI:97438] MELVPSARAELQSSPLVNLSDAFPSAFPSAGANASGSPGARSASSLALAIAITALYSAVC AVGLLGNVLVMFGIVRYTKLKTATNIYIFNLALADALATSTLPFQSAKYLMETWPFGELL CKAVLSIDYYNMFTSIFTLTMMSVDRYIAVCHPVKALDFRTPAKAKLINICIWVLASGVG VPIMVMAVTQPRDGAVVCMLQFPSPSWYWDTVTKICVFLFAFVVPILIITVCYGLMLLRL RSVRLLSGSKEKDRSLRRITRMVLVVVGAFVVCWAPIHIFVIVWTLVDINRRDPLVVAAL HLCIALGYANSSLNPVLYAFLDENFKRCFRQLCRTPCGRQEPGSLRRPRQATTRERVTAC TPSDGPGGGAAA >ENSMUSP00000138551.1 pep:known chromosome:GRCm38:12:87200543:87213541:1 gene:ENSMUSG00000090812.8 transcript:ENSMUST00000182869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd15 description:sterile alpha motif domain containing 15 [Source:MGI Symbol;Acc:MGI:2685109] MSEVSGDYNSDSDESLSLQPKRTKSGKLHNANADTLFEVSSKLSPNTDRDPGNVGNVPLE PTRTRKGDLVPVGKNHEVPDLQRQDVSVGVFKGPPTRTGTQLPTKIDPEQKTPDIRSEKL RKSVEEEALPPSKMTKSEKKQKESIKEKSTEPYEVTKPKFPDRKLRKSTEEADLKPHFKS TEQSGTEQPEQTKFPDKKLRQSTKKKVSGPLEDFEEESRRPIDEASLELSQKRPLKASKK AQKSSFDEKFPEMLEQITVELLDDQEETQEESIKEKVPEPLGDRKPSAQKHKLRKSSERS KLKDTLIEPSKDKDPGLQTQTEFPKEKLIKTTEKTGDKPQQITDPDIQEKSQPEPTEKNL ELPNKPKPEEERDLPKEDKPESSKPNYPAGKDKLALPAKIKTEFIVGSPRESVESFSTLY ETQEFLKDLQTDMNELFPIVDASESQTELRDSTVLPQEVELLGRKETKPSLTPEFEHLTW SPERVAEWISDLGFPQYKECFTENFINGQKLIHVNCSNLPQMGITDFEDMKAISYHTRVL LGIEEPLFSRSISLPYRDNKGLFFEQKGHSGVKSDSLTLAKFVEAAGLQEYNPEIKAEEK KEDALPENSLEENEELYEAT >ENSMUSP00000126421.1 pep:known chromosome:GRCm38:12:87200750:87201943:1 gene:ENSMUSG00000090812.8 transcript:ENSMUST00000169669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd15 description:sterile alpha motif domain containing 15 [Source:MGI Symbol;Acc:MGI:2685109] VPVGKNHEVPDLQRQDVSVGVFKGPPTRTGTQLPTKIDPEQKTPDIRSEKLRKSVEEEAL PPSKMTKSEKKQKESIKEKSTEPYEVTKPKFPDRKLRKSTEEADLKPHFKSTEQSGTEQP EQTKFPDKKLRQSTKKKVSGPLEDFEEESRRPIDEASLELSQKRPLKASKKAQKSSFDEK FPEMLEQITVELLDDQEETQEESIKEKVPEPLGDRKPSAQKHKLRKSSERSKLKDTLIEP SKDKDPGLQTQTEFPKEKLIKTTEKTGDKPQQITDPDIQEKSQPEPTEKNLELPNKPKPE EERDLPKEDKPESSKPNYPAGKDKLALPAKIKTEFIVGSPRESVESFSTLYETQEFLKDL QTDMNELFPIVDASESQTELRDSTVLPQEVELLGRKET >ENSMUSP00000066449.6 pep:known chromosome:GRCm38:2:37516626:37520603:1 gene:ENSMUSG00000053164.6 transcript:ENSMUST00000065441.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr21 description:G protein-coupled receptor 21 [Source:MGI Symbol;Acc:MGI:2441890] MNSTWDGNQSSHPFCLLALGYLETVRFCLLEVLIIVFLTVLIISGNIIVIFVFHCAPLLN HHSTSYFIQTMAYADLLVGVSCLVPSLSLLYYPLPIEEAMTCQVFGFVVSVLKSISMASL ACISIDRYIAITKPLTYNTLVTPWRLRLCIFLIWLYSTLVFLPSFFHWGKPGYHGDVFQW CAESWHTNSYFTLFIVMMLYAPAALIVCFTYFNIFRICQQHTKEISERQARFSSQNGETG EPQTCPDKRYAMVLFRITSVFYVLWLPYIIYFLLESSTGCSSRLASFLTTWLAISNSFCN CIIYSLSNSVFQRGLKGLSGSLCTSCASHTTAKDPYTVRCKGPPNGSHI >ENSMUSP00000048730.7 pep:known chromosome:GRCm38:5:137787798:137822621:1 gene:ENSMUSG00000037108.13 transcript:ENSMUST00000035852.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcwpw1 description:zinc finger, CW type with PWWP domain 1 [Source:MGI Symbol;Acc:MGI:2685899] MMAALQTHKEYEKGTKKTFAPPTQKLHSEKPQPSSWKEDAPGTSSPEAETKPSLLKASLK KEQKPTTEHGPNRGQERKLKAQDQPAKKKGKERTLTSAEFEEIFQIVLQKSLQECLETSS CVQHIRPTKLDEEPGIVPPATDKKDADPEKVITPDTPKIASSLEEEVNSEMGTSKLGQPV TEPSKKKFNRLSLSKQKKKAEDEKMEKIQDGRECSLKEKQKIVIQDQSQIRGPQKEEESG FGHCVIWVQCSSPKCEKWRQLRGNIDPSVLPDDWSCDQNPDPNYNRCDIPEESWAGCESD VAYASYVPGSIIWAKQYGYPWWPGMIEADPDLGEYFLFASHLDSLPSKYHVTFFGETVSR AWIPVRMLKNFQELSLELVKKCKNKNSNQKLEAAIAMAHRAEQTSIQERVNLFGFWSRYN GADISEEGEDLTLCESNNPESCLEKEEKDLEEEKEEEEEKKDPTLPRPKPAKMQTKKPKS RGPAGGPDGTPKKKTAKKSLVSESTVPPVPTLGGKEEQGNSDLDHPVPKKKFKAPENKTS ATNLSEEKEIKIVSKCPTPSAQHGACPLGKEGLVPHMPPTQEAASFPPDDDCSSDLDLEQ LMEDIGEPEERGEMQQRGSSEEFLAALFEE >ENSMUSP00000120909.1 pep:known chromosome:GRCm38:5:137810829:137822321:1 gene:ENSMUSG00000037108.13 transcript:ENSMUST00000141642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcwpw1 description:zinc finger, CW type with PWWP domain 1 [Source:MGI Symbol;Acc:MGI:2685899] XCDIPEESWAGCESDVAYASYVPGSIIWAKQYGYPWWPGMIEADPDLGEYFLFASHLDSL PSKYHVTFFGETVSRAWIPVRMLKNFQELSLELVERVNLFGFWSRYNGADISEEGEDLTL CESNNPESCLEKEEKDLEEEKEEEEEKKDPTLPRPKPAKMQTKKPKSRGPAGGPDGTPKK KTAKKSLVSESTVPPVPTLGGKEEQGNSDLDHPVPKKKFKAPENKTSATNLSEEKEIKIV SKCPTPSAQHGACPLGKEGLVPHMPPTQE >ENSMUSP00000068594.6 pep:known chromosome:GRCm38:9:44379490:44392369:1 gene:ENSMUSG00000032115.13 transcript:ENSMUST00000066601.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyou1 description:hypoxia up-regulated 1 [Source:MGI Symbol;Acc:MGI:108030] MAATVRRQRPRRLLCWALVAVLLADLLALSDTLAVMSVDLGSESMKVAIVKPGVPMEIVL NKESRRKTPVTVTLKENERFLGDSAAGMAIKNPKATLRYFQHLLGKQADNPHVALYRSRF PEHELIVDPQRQTVRFQISPQLQFSPEEVLGMVLNYSRSLAEDFAEQPIKDAVITVPAFF NQAERRAVLQAARMAGLKVLQLINDNTATALSYGVFRRKDINSTAQNVMFYDMGSGSTVC TIVTYQTVKTKEAGMQPQLQIRGVGFDRTLGGLEMELRLREHLAKLFNEQRKGQKAKDVR ENPRAMAKLLREANRLKTVLSANADHMAQIEGLMDDVDFKAKVTRVEFEELCADLFDRVP GPVQQALQSAEMSLDQIEQVILVGGATRVPKVQEVLLKAVGKEELGKNINADEAAAMGAV YQAAALSKAFKVKPFVVRDAVIYPILVEFTREVEEEPGLRSLKHNKRVLFSRMGPYPQRK VITFNRYSHDFNFHINYGDLGFLGPEDLRVFGSQNLTTVKLKGVGESFKKYPDYESKGIK AHFNLDESGVLSLDRVESVFETLVEDSPEEESTLTKLGNTISSLFGGGTSSDAKENGTDA VQEEEESPAEGSKDEPAEQGELKEEAEPPAEETSQPPPSEPKGDAAREGEKPDEKESGDK PEAQKPNEKGQAGPEGAAPAPEEDKKPKPARKQKMVEEIGVELAVLDLPDLPEDELARSV QKLEELTLRDLEKQEREKAANSLEAFIFETQDKLYQPEYQEVSTEEQREEISGKLSATST WLEDEGFGATTVMLKDKLAELRKLCQGLFFRVEERRKWPERLSALDNLLNHSSIFLKGAR LIPEMDQVFTEVEMTTLEKVINDTWAWKNATLAEQAKLPATEKPVLLSKDIEAKMMALDR EVQYLLNKAKFTKPRPRPKDKNGTRAEPPLNASAGDQEEKVIPPAGQTEEAKPILEPDKE ETGTEPADSEPLELGGPGAGPEQEEQSAGQKRPSKNDEL >ENSMUSP00000123749.1 pep:known chromosome:GRCm38:9:44379546:44391523:1 gene:ENSMUSG00000032115.13 transcript:ENSMUST00000162560.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hyou1 description:hypoxia up-regulated 1 [Source:MGI Symbol;Acc:MGI:108030] MAATVRRQRPRRLLCWALVAVLLADLLALSDTLAVMSVDLGSESMKVAIVKPGVPMEIVL NKESRRKTPVTVTLKENERFLGDSAAGMAIKNPKATLRYFQHLLGKQADNPHVALYRSRF PEHELIVDPQRQTVRFQISPQLQFSPEEVLGMVLNYSRSLAEDFAGYLAPRI >ENSMUSP00000123700.1 pep:known chromosome:GRCm38:9:44379573:44392363:1 gene:ENSMUSG00000032115.13 transcript:ENSMUST00000161318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyou1 description:hypoxia up-regulated 1 [Source:MGI Symbol;Acc:MGI:108030] MAATVRRQRPRRLLCWALVAVLLADLLALSDTLAVMSVDLGSESMKVAIVKPGVPMEIVL NKESRRKTPVTVTLKENERFLGDSAAGMAIKNPKATLRYFQHLLGKQADNPHVALYRSRF PEHELIVDPQRQTVRFQISPQLQFSPEEVLGMVLNYSRSLAEDFAEQPIKDAVITVPAFF NQAERRAVLQAARMAGLKVLQLINDNTATALSYGVFRRKDINSTAQNVMFYDMGSGSTVC TIVTYQTVKTKEAGMQPQLQIRGVGFDRTLGGLEMELRLREHLAKLFNEQRKGQKAKDVR ENPRAMAKLLREANRLKTVLSANADHMAQIEGLMDDVDFKAKVTRVEFEELCADLFDRVP GPVQQALQSAEMSLDQIEQVILVGGATRVPKVQEVLLKAVGKEELGKNINADEAAAMGAV YQAAALSKAFKVKPFVVRDAVIYPILVEFTREVEEEPGLRSLKHNKRVLFSRMGPYPQRK VITFNRYSHDFNFHINYGDLGFLGPEDLRVFGSQNLTTVKLKGVGESFKKYPDYESKGIK AHFNLDESGVLSLDRVESVFETLVEDSPEEESTLTKLGNTISSLFGGGTSSDAKENGTDA VQEEEESPAEGSKDEPAEQGELKEEAEPPAEETSQPPPSEPKGDAAREGEKPDEKESGDK PEAQKPNEKGQAGPEGAAPAPEEDKKPKPARKQKMVEEIGVELAVLDLPDLPEDELARSV QKLEELTLRDLEKQEREKAANSLEAFIFETQDKLYQPEYQEVSTEEQREEISGKLSATST WLEDEGFGATTVMLKDKLAELRKLCQGLFFRVEERRKWPERLSALDNLLNHSSIFLKGAR LIPEMDQVFTEVEMTTLEKVINDTWAWKNATLAEQAKLPATEKPVLLSKDIEAKMMALDR EVQYLLNKAKFTKPRPRPKDKNGTRAEPPLNASAGDQEEKVIPPAGQTEEAKPILEPDKE ETGTEPADSEPLELGGPGAGPEQEEQSAGQKRPSKNDEL >ENSMUSP00000125594.1 pep:known chromosome:GRCm38:9:44379623:44391102:1 gene:ENSMUSG00000032115.13 transcript:ENSMUST00000160902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyou1 description:hypoxia up-regulated 1 [Source:MGI Symbol;Acc:MGI:108030] MAATVRRQRPRRLLCWALVAVLLADLLALSDTLAVMSVDLGSESMKVAIVKPGVPMEIVL NKESRRKTPVTVTLKENERFLGDSAAGMAIKNPKATLRYFQHLLGKQADNPHVALYRSRF PEHELIVDPQRQTVRFQISPQLQFSPEEVLGMVLNYSRSLAEDFAEQPIKDAVITVPAFF NQAERRAVLQAARMAGLKVLQLINDNTATALSYGVFRRKDINSTAQNVMFYDMGSGSTVC TIVTYQTVKTKEAGMQPQLQIRGVGFDRTLGGLEMELRLREHLAKLFNEQRKGQKAKDVR ENPRAMAKLLREANRLKTVLSANADHMAQIEGLMDDVDFKAKVTRVEFEELCADLFDRVP GPVQQALQSAEMSLDQIEQVILVGGATRVPKVQEVLLKAVGKEELGKNINADEAAAMGAV YQAAALSKAFKVKPFVVRDAVIYPILVEFTREVEEEPGLRSLKHNKRVLFSRMGPYPQRK VITFNRYSHDFNFHINYGDLGFLGPEDLRVFGSQNLTTVKLKGVGESFKKYPDYESKGIK AHFNLDESGVLSLDRVESVFETLVEDSPEEESTLTKLGNTISSLFGGGTSSDAKENGTDA VQEEEESPAEGSKDEPAEQGELKEEAEPPAEETSQPPPSEPKGDAAREGEKPDEKESGDK PEAQKPNEKGQAGPEGAAPAPEEDKKPKPARKQKMVEEIGVELAVLDLPDLPEDELARSV QKLEELTLRDLEKQEREKAANSLEAFIFETQDKLYQPEYQEVSTEEQREEISGKLSATST WLEDEGFGATTVMLKDKLAELRKLCQGLFFRVEERRKWPERLSALDNLLNHSSIFLKGAR LIPEMDQVFTEVEMTTLEKVINDTWAWKNATLAEQAKLPATEKPVLLSKDIEAKMMALDR EVQYLLNKAKFTKPRPRPKDKNGTRAEPPLNASAGDQEEKVIPPAGQTEEAKPILEPDKE ETGTEPADSEPLELGGPGAGPEQEEQSAGQKRPSKNDEL >ENSMUSP00000124177.1 pep:known chromosome:GRCm38:9:44380529:44384148:1 gene:ENSMUSG00000032115.13 transcript:ENSMUST00000159473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyou1 description:hypoxia up-regulated 1 [Source:MGI Symbol;Acc:MGI:108030] TVRRQRPRRLLCWALVAVLLADLLALSDTLAVMSVDLGSESMKATLRYFQHLLGKQADNP HVALYRSRFPEHELIVDPQRQTVRFQISPQLQFSPEEVLGMVLNYSRSLAEDFAEQPIKD AVITVPAFFNQAERRAVLQAARMAGLKVLQLINDNTATALSYGVFRRKDINSTAQNVMFY DMGSGSTVCTIVTYQTVKTKEAGMQPQLQIRGVGFDRTLGGLEMELR >ENSMUSP00000079965.5 pep:known chromosome:GRCm38:2:157367594:157396763:1 gene:ENSMUSG00000063019.5 transcript:ENSMUST00000081202.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Manbal description:mannosidase, beta A, lysosomal-like [Source:MGI Symbol;Acc:MGI:1916411] MASDLGFSPPEVPEPTFLENLLRYGLFLGAIFQLICVLAIIVPIPKSHEAEAEQAEPRSA EGPKKPKVAIASTNKRPKKETKKKR >ENSMUSP00000097494.1 pep:known chromosome:GRCm38:2:86130702:86131661:-1 gene:ENSMUSG00000075204.1 transcript:ENSMUST00000099910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1039 description:olfactory receptor 1039 [Source:MGI Symbol;Acc:MGI:3030873] MAEGNSSTVFQFILEGLTDDPELEVTLFAVFLVIYLTTVLGNLGLIMLIQVSPQLHTPMY FFLCHLAFVDFCYTSSVTPNTIINFLREIKSITFYACATQVCCFITFAVCEMYLLSVMAY DRYVAIWNPLLYVVLMPKKLCLQVITSTYIYGFTVGLAQAVATFRLSFCGSNVINHFYCD DVPLVALACSDTHVKELMLLIIAGFNTLCSLVIVVISYICILFAILRIHSAEGRRKAFST CASHLTSITIFYGTIIFMYLQPKSSHSLNTDKFVSVFYVVVIPMLNPLIYSLRNKEVKNA LKRFTEKLSLTIHDSRKSE >ENSMUSP00000026608.7 pep:known chromosome:GRCm38:5:130029290:130060789:1 gene:ENSMUSG00000025532.13 transcript:ENSMUST00000026608.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crcp description:calcitonin gene-related peptide-receptor component protein [Source:MGI Symbol;Acc:MGI:1100818] MEVKDANAALLSNYEVFQLLTDLKEQRKESGKNKHSAGQQNLNAITYETLKYISKTPCRN QSPAIVQEFLTAMKSHKLTKAEKLQLLNHRPMTAVEIQLMVEESEERLTEEQIEALLHTV TSILPAGPEDEQSKSTSNDVAMEEEEPA >ENSMUSP00000144624.1 pep:known chromosome:GRCm38:5:130029302:130060335:1 gene:ENSMUSG00000025532.13 transcript:ENSMUST00000202163.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crcp description:calcitonin gene-related peptide-receptor component protein [Source:MGI Symbol;Acc:MGI:1100818] MEVKDANAALLSNYEVFQLLTDLKEQRKESGKNKHSAGQQNLNAITYESGEAAAAESQAD DCGGDPADGRRE >ENSMUSP00000143969.1 pep:known chromosome:GRCm38:5:130029311:130060071:1 gene:ENSMUSG00000025532.13 transcript:ENSMUST00000202756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crcp description:calcitonin gene-related peptide-receptor component protein [Source:MGI Symbol;Acc:MGI:1100818] MEVKDANAALLSNYEVFQLLTDLKEQRKESGKNKHSAGQQNLNAITYEMVEESEERLTEE QIEALLHTVTSILPAGPEDEQSKSTSNDVAMEEEEPA >ENSMUSP00000139145.1 pep:known chromosome:GRCm38:2:37483228:37647219:-1 gene:ENSMUSG00000026915.16 transcript:ENSMUST00000183690.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Strbp description:spermatid perinuclear RNA binding protein [Source:MGI Symbol;Acc:MGI:104626] MRSIRSFANDDRHVMVKHSTIYPSPEELEAVQNMVSTVECALKHVSDWLDETNKGTKPEG ETEVKKDEAVENYSKDQGGRTLCGVMRIGLVAKGLLIKDDMDLELVLMCKDKPTETLLNT VKDNLPIQIQKLTEEKYQVEQCINEASIIIRNTKEPTLTLKVILTSPLIRDELEKKDGEN VMMKDPPDLLDRQKCLNALASLRHAKWFQARANGLKSCVIVLRILRDLCNRVPTWAPLKG WPLELICEKSIGTCNRPLGAGEALRRVMECLASGILLPGGPGLHDPCERDPTDALSYMTT QQKEDITHSAQHALRLSAFGQIYKVLEMDPLPSSKPFQKYSWSVTDKEGAGSSALKRPFE DGLGDDKDPNKKMKRNLRKILDSKAIDLMNALMRLNQIRPGLQYKLLSQSGPVHAPVFTM SVDVDGTTYEASGPSKKTAKLHVAVKVLQAMGYPTGFDADIECISSDEKSDNESKNDTVS SNSSNNTGNSTTETSSTLEVRTQGPILTASGKNPVMELNEKRRGLKYELISETGGSHDKR FVMEVEVDGQKFRGAGPNKKVAKASAALAALEKLFSGPNAANNKKKKIIPQAKGVVNTAV SAAVQAVRGRGRGTLTRGAFVGATAAPGYIAPGYGTPYGYSTAAPAYG >ENSMUSP00000144874.1 pep:known chromosome:GRCm38:2:37579538:37586518:-1 gene:ENSMUSG00000026915.16 transcript:ENSMUST00000204569.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Strbp description:spermatid perinuclear RNA binding protein [Source:MGI Symbol;Acc:MGI:104626] XAYG >ENSMUSP00000072047.5 pep:known chromosome:GRCm38:2:37583156:37703275:-1 gene:ENSMUSG00000026915.16 transcript:ENSMUST00000072186.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strbp description:spermatid perinuclear RNA binding protein [Source:MGI Symbol;Acc:MGI:104626] MRSIRSFANDDRHVMVKHSTIYPSPEELEAVQNMVSTVECALKHVSDWLDETNKGTKPEG ETEVKKDEAVENYSKDQGGRTLCGVMRIGLVAKGLLIKDDMDLELVLMCKDKPTETLLNT VKDNLPIQIQKLTEEKYQVEQCINEASIIIRNTKEPTLTLKVILTSPLIRDELEKKDGEN VMMKDPPDLLDRQKCLNALASLRHAKWFQARANGLKSCVIVLRILRDLCNRVPTWAPLKG WPLELICEKSIGTCNRPLGAGEALRRVMECLASGILLPGGPGLHDPCERDPTDALSYMTT QQKEDITHSAQHALRLSAFGQIYKVLEMDPLPSSKPFQKYSWSVTDKEGAGSSALKRPFE DGLGDDKDPNKKMKRNLRKILDSKAIDLMNALMRLNQIRPGLQYKLLSQSGPVHAPVFTM SVDVDGTTYEASGPSKKTAKLHVAVKVLQAMGYPTGFDADIECISSDEKSDNESKNDTVS SNSSNNTGNSTTETSSTLEVRTQGPILTASGKNPVMELNEKRRGLKYELISETGGSHDKR FVMEVEVDGQKFRGAGPNKKVAKASAALAALEKLFSGPNAANNKKKKIIPQAKGVVNTAV SAAVQAVRGRGRGTLTRGAFVGATAAPGYIAPGYGTPYGYSTAAPAYGLPKRMVLLPVMK FPTYPVPHYSFF >ENSMUSP00000120163.1 pep:known chromosome:GRCm38:2:37635457:37657910:-1 gene:ENSMUSG00000026915.16 transcript:ENSMUST00000145808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strbp description:spermatid perinuclear RNA binding protein [Source:MGI Symbol;Acc:MGI:104626] MRSIRSFANDDRHVMVKHSTIYPSPEELEAVQNMVSTVECALKHVSDWLDETNKGTKPEG ETEVKKDEAVENYSKDQGGRTLCGVMRIGLVAKGLLIKDDMDLELVLMCKDKPTETLLNT VKDNLPIQIQKLTEEKYQVEQCINEASIIIRNTKEPTLTLKVILTSP >ENSMUSP00000122263.1 pep:known chromosome:GRCm38:2:37640855:37703859:-1 gene:ENSMUSG00000026915.16 transcript:ENSMUST00000155237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strbp description:spermatid perinuclear RNA binding protein [Source:MGI Symbol;Acc:MGI:104626] MRSIRSFANDDRHVMVKHSTIYPSPEELEAVQNMVSTVECALKHVSDWLDETNKGTKPEG ETEVKKDEAVENYSKDQGGRTLCGVMRIGLVAKGLLIKDDMDLELVLMCKDKPTETLLNT VKDNLPIQ >ENSMUSP00000028279.3 pep:known chromosome:GRCm38:2:37569868:37647285:-1 gene:ENSMUSG00000026915.16 transcript:ENSMUST00000028279.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strbp description:spermatid perinuclear RNA binding protein [Source:MGI Symbol;Acc:MGI:104626] MRSIRSFANDDRHVMVKHSTIYPSPEELEAVQNMVSTVECALKHVSDWLDETNKGTKPEG ETEVKKDEAVENYSKDQGGRTLCGVMRIGLVAKGLLIKDDMDLELVLMCKDKPTETLLNT VKDNLPIQIQKLTEEKYQVEQCINEASIIIRNTKEPTLTLKVILTSPLIRDELEKKDGEN VMMKDPPDLLDRQKCLNALASLRHAKWFQARANGLKSCVIVLRILRDLCNRVPTWAPLKG WPLELICEKSIGTCNRPLGAGEALRRVMECLASGILLPGGPGLHDPCERDPTDALSYMTT QQKEDITHSAQHALRLSAFGQIYKVLEMDPLPSSKPFQKYSWSVTDKEGAGSSALKRPFE DGLGDDKDPNKKMKRNLRKILDSKAIDLMNALMRLNQIRPGLQYKLLSQSGPVHAPVFTM SVDVDGTTYEASGPSKKTAKLHVAVKVLQAMGYPTGFDADIECISSDEKSDNESKNDTVS SNSSNNTGNSTTETSSTLEVRTQGPILTASGKNPVMELNEKRRGLKYELISETGGSHDKR FVMEVEVDGQKFRGAGPNKKVAKASAALAALEKLFSGPNAANNKKKKIIPQAKGVVNTAV SAAVQAVRGRGRGTLTRGAFVGATAAPGYIAPGYGTPYGYSTAAPAYGLPKRMVLLPVMK FPTYPVPHYSFF >ENSMUSP00000101654.2 pep:known chromosome:GRCm38:7:141078218:141090510:1 gene:ENSMUSG00000054065.11 transcript:ENSMUST00000106039.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp3 description:plakophilin 3 [Source:MGI Symbol;Acc:MGI:1891830] MEPTAGSRTRMEPRRNCPTAGTSRMSQGASGGQTSGKPETGVCSLALPSDLQLDRRGAEG PEADRLRAARVQEQVRARLLQLGQQSRHNGSAELDGSAESARGMPRGQYHTMQTGFSSRS QGMSGDKTSTFRPIAKPAYSPASWSSRSAVDLTCSRRLSSAHNGGSAFGAVGYGGTQPTP PMPTRPVSFHERGGAASRADYDTLSLRSLRLGPGGLDDRYSVVSEQLEPAAASTYRAYAY ERQASSGSSRAGGLDWPEATEGPPSRTIRAPAMRTLQRFQSSHRSRGGTGSVSGAGLEPV ARAPSVRSLSLSLADSGHLPDVRGLDSYTGHRTLQRLSSGFDDIDLPSAVKYLMASDPNL QVLGAAYIQHRCYSDAAAKKQARSLQAVPRLVKLFNHANQEVQRHATGAMRNLIYDNVDN KLALVEENGIFELLRTLREQDDELRKNVTGILWNLSSSDHLKDRLARDTLEQLTDLVLSP LSGAGGPPLIQQNASEAEIFYNATGFLRNLSSASQATRQKMRECHGLVDALVTYINHALD VGKCEDKSVENAVCVLRNLSYRLYDEMPPSALQRLEGRGRRDMAGAPPGEMVGCFTPQSR RLRELPLTADALTFAEVSKDPKGLEWLWSPQIVGLYNRLLQRCELNRHTTEAAAGALQNI TAGDRRWAGVLSRLALEQERILNPLLDRVRTADHNQLRSLTGLIRNLSRNARNKDEMSTK VVSHLIEKLPGSVGEKCPPAEVLVNIIAVLNNLVVASPIAARDLLYFDGLRKLVFIKKKR DSPDSEKSSRAASSLLANLWQYSKLHRDFRAKGYRKEDFLGP >ENSMUSP00000124572.1 pep:known chromosome:GRCm38:7:141079147:141082646:1 gene:ENSMUSG00000054065.11 transcript:ENSMUST00000159375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp3 description:plakophilin 3 [Source:MGI Symbol;Acc:MGI:1891830] MPRGQYHTMQTGFSSRSQGMSGDKTSTFRPIAKPAYSPASWSSRSAVDLTCSRRLSS >ENSMUSP00000069961.4 pep:known chromosome:GRCm38:7:141079760:141090510:1 gene:ENSMUSG00000054065.11 transcript:ENSMUST00000066873.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp3 description:plakophilin 3 [Source:MGI Symbol;Acc:MGI:1891830] MQEGNFLLSALQPETGVCSLALPSDLQLDRRGAEGPEADRLRAARVQEQVRARLLQLGQQ SRHNGSAELDGSAESARGMPRGQYHTMQTGFSSRSQGMSGDKTSTFRPIAKPAYSPASWS SRSAVDLTCSRRLSSAHNGGSAFGAVGYGGTQPTPPMPTRPVSFHERGGAASRADYDTLS LRSLRLGPGGLDDRYSVVSEQLEPAAASTYRAYAYERQASSGSSRAGGLDWPEATEGPPS RTIRAPAMRTLQRFQSSHRSRGGTGSVSGAGLEPVARAPSVRSLSLSLADSGHLPDVRGL DSYTGHRTLQRLSSGFDDIDLPSAVKYLMASDPNLQVLGAAYIQHRCYSDAAAKKQARSL QAVPRLVKLFNHANQEVQRHATGAMRNLIYDNVDNKLALVEENGIFELLRTLREQDDELR KNVTGILWNLSSSDHLKDRLARDTLEQLTDLVLSPLSGAGGPPLIQQNASEAEIFYNATG FLRNLSSASQATRQKMRECHGLVDALVTYINHALDVGKCEDKSVENAVCVLRNLSYRLYD EMPPSALQRLEGRGRRDMAGAPPGEMVGCFTPQSRRLRELPLTADALTFAEVSKDPKGLE WLWSPQIVGLYNRLLQRCELNRHTTEAAAGALQNITAGDRRWAGVLSRLALEQERILNPL LDRVRTADHNQLRSLTGLIRNLSRNARNKDEMSTKVVSHLIEKLPGSVGEKCPPAEVLVN IIAVLNNLVVASPIAARDLLYFDGLRKLVFIKKKRDSPDSEKSSRAASSLLANLWQYSKL HRDFRAKGYRKEDFLGP >ENSMUSP00000124434.1 pep:known chromosome:GRCm38:7:141079775:141083121:1 gene:ENSMUSG00000054065.11 transcript:ENSMUST00000163041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp3 description:plakophilin 3 [Source:MGI Symbol;Acc:MGI:1891830] MPRGQYHTMQTGFSSRSQGMSGDKTSTFRPIAKPAYSPASWSSRSAVDLTCSRRLSSAHN GGSAFGAVGYGGTQPTPPMPTRPVSFHERGGAASRADYDTLSLRSLRLGPGGLDDRYSVV SEQLEPAAASTYRAYAYERQASSGSSRAGGLDWPEATEGPPSRTIRAPAMRTLQRFQSSH RSRGGTGSVSGAGLEPVARAPSVRSLSLSLADSGH >ENSMUSP00000124013.1 pep:known chromosome:GRCm38:7:141079780:141082695:1 gene:ENSMUSG00000054065.11 transcript:ENSMUST00000160869.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pkp3 description:plakophilin 3 [Source:MGI Symbol;Acc:MGI:1891830] MQEGNFLLSALQPETGVCSLALPSDLQLDRRGAEGPEADRLRAARVQEQVRARLLQLGQQ SRHNGSAELDGSAESARGSPEVRSELL >ENSMUSP00000123783.1 pep:known chromosome:GRCm38:7:141089861:141090509:1 gene:ENSMUSG00000054065.11 transcript:ENSMUST00000160356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp3 description:plakophilin 3 [Source:MGI Symbol;Acc:MGI:1891830] XSEKSSRAASSLLANLWQYSKLHRDFRAKGYRKEDFLGP >ENSMUSP00000097493.1 pep:known chromosome:GRCm38:2:86145791:86146732:-1 gene:ENSMUSG00000075203.1 transcript:ENSMUST00000099909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1040 description:olfactory receptor 1040 [Source:MGI Symbol;Acc:MGI:3030874] MDEDNNSTVHQFILVGLTDDPELEVILFAVFLVIYLTTVLGNLGLIMLIQVSPQLHTPMY FFLCHLAFVDFCYTSSVTPSTIINFLREIKSITFYACATQVCCFITFVVCEMYLLSVMAY DRYVAIWNPLLYVVLMPKKLCLQVIASTYIYGFTVGLVQAVATFHFSFCGSNVINHFYCD DVPLIALACSDTHVKELMLLIIAGFNTLCSLVIVVISYICILFAILRIRSVEGRRKAFST CASHLTSITIFYGTVSFMYLQPKSSHSLNTDKFASVSYVVVIPMLNPLIYSLRNQEVKSA LKRITDKLSLTIH >ENSMUSP00000045827.5 pep:known chromosome:GRCm38:12:98628157:98669815:1 gene:ENSMUSG00000021007.13 transcript:ENSMUST00000048402.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata7 description:spermatogenesis associated 7 [Source:MGI Symbol;Acc:MGI:2144877] MDGSRRVRATSVLPRYSPPCLFTGHLSTKSNAFCTDSSSLRLSTLQLVKNHMAIHYNKIL SAKAAVDCSIPVSVNTSIKYADQQRREKLRKELARCEKEFKLSKSAMQTNSKMNSKFFVN SLQKPSGEPQDQDVFIEEMTRYPSFSKSLIPSSEGLHLSLPESSKMLMSGTQKHASTSPS RHSGCGHGCDRRPRSAHQFQVALAKTPSGDLLEKHSDLFSNKQSPFTPRTLKTEAKSFLS QYRYYTPAKRRKDFSDQRMEAETQTELSSFNSELGTAEKTSSKDSEVNINQVPNYTRNGA EDKIAPLPSQGQNLAWDSIQDGILQQSSERASCKLSTEFSPDSKIYSDEEELLYLSFMEN VTDEILKLGLFSNRFLERLFERHIKKNKHHLEEGKMRYLLHGLKVDLGCISEEDPAKQKH FRMLNQLHFQKALISRENEFVSDEETVSHHERQQYQEALDMLSAVPKDENKMFSLPGEFL IPAHKVKHSEGVIIQQVNDETDNEASPWNENNPSVSDSVIDQETSVDVIEGDSDFERAET SRELCCLSTSLSPSGPFPSINGGSNHGKELSTLRIMGMSIED >ENSMUSP00000098705.3 pep:known chromosome:GRCm38:12:98628197:98669812:1 gene:ENSMUSG00000021007.13 transcript:ENSMUST00000101146.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata7 description:spermatogenesis associated 7 [Source:MGI Symbol;Acc:MGI:2144877] MDGSRRVRATSVLPRYSPPCLFTGHLSTKSNAAVDCSIPVSVNTSIKYADQQRREKLRKE LARCEKEFKLSKSAMQTNSKMNSKFFVNSLQKPSGEPQDQDVFIEEMTRYPSFSKSLIPS SEGLHLSLPESSKMLMSGTQKHASTSPSRHSGCGHGCDRRPRSAHQFQVALAKTPSGDLL EKHSDLFSNKQSPFTPRTLKTEAKSFLSQYRYYTPAKRRKDFSDQRMEAETQTELSSFNS ELGTAEKTSSKDSEVNINQVPNYTRNGAEDKIAPLPSQGQNLAWDSIQDGILQQSSERAS CKLSTEFSPDSKIYSDEEELLYLSFMENVTDEILKLGLFSNRFLERLFERHIKKNKHHLE EGKMRYLLHGLKVDLGCISEEDPAKQKHFRMLNQLHFQKALISRENEFVSDEETVSHHER QQYQEALDMLSAVPKDENKMFSLPGEFLIPAHKVKHSEGVIIQQVNDETDNEASPWNENN PSVSDSVIDQETSVDVIEGDSDFERAETSRELCCLSTSLSPSGPFPSINGGSNHGKELST LRIMGMSIED >ENSMUSP00000098704.3 pep:known chromosome:GRCm38:12:98628167:98669815:1 gene:ENSMUSG00000021007.13 transcript:ENSMUST00000101144.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata7 description:spermatogenesis associated 7 [Source:MGI Symbol;Acc:MGI:2144877] MDGSRRVRATSVLPRYSPPCLFTGHLSTKSNAFCTDSSSLRLSTLQLVKNHMAIHYNKIL SAKAAVDCSIPVSVNTSIKYADQQRREKLRKELARCEKEFKLSKSAMQTNSKMNSKFFVN SLQKVPNYTRNGAEDKIAPLPSQGQNLAWDSIQDGILQQSSERASCKLSTEFSPDSKIYS DEEELLYLSFMENVTDEILKLGLFSNRFLERLFERHIKKNKHHLEEGKMRYLLHGLKVDL GCISEEDPAKQKHFRMLNQLHFQKALISRENEFVSDEETVSHHERQQYQEALDMLSAVPK DENKMFSLPGEFLIPAHKVKHSEGVIIQQVNDETDNEASPWNENNPSVSDSVIDQETSVD VIEGDSDFERAETSRELCCLSTSLSPSGPFPSINGGSNHGKELSTLRIMGMSIED >ENSMUSP00000107230.2 pep:known chromosome:GRCm38:14:52110704:52161307:1 gene:ENSMUSG00000057132.15 transcript:ENSMUST00000111603.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgrip1 description:retinitis pigmentosa GTPase regulator interacting protein 1 [Source:MGI Symbol;Acc:MGI:1932134] MQHLLEYMPEDLPVRDTDSSPLLKGTSGKNVRAQPHLGRMNQKELNCRRLHLHEEPTLVK EPSPKQRDKNRRRRTNVQRSTTTQPDLRTLAVLQEPERRRRPWVSASPSPSAPPRAPVPG RKAHVQRLCPSTAVGSAQPRVHAGRRLPHIAGPNDRRSHTAPPAFKDYVADKNTRIEITR EPSQLTHTMTTDSTHVEEIPRSPEKTSKVEKPEQRSSEECTQKAAELRASIKENVELIRL KKLLQERNTSLAATEAQLTRVQEAYEDLLQKNQGILDTAHNAFLSQVNELKAELSEESKK AVSLRTQLGDVSILQITLKEFQVRVEDLEKERKLLSDSYDRLLENMLDSSHQPLDSSHQP HWSTELTGKQLPPQVCPLLDQMGTALEETKVFRQATNKAAQDGKLKFQDTDILYQHEQEE ESLQSTATVASSPEELCELAAQPTLLPQTDQRESSEPKAQDENDLSQVLSELQVSHAETT LELEKTRDMLLLQRKINMCYQEELEATLTKADRENRDHEEKLERLNHLLDFKNSRIKQLE GILRSHGLPTSEQLKDVAYGTLPPSLCLEPLAAHRGDDEVDMSLLHPSENLFELHVHQAF LTPAALTQAGDTQPTTFCTYSFYDFETHCTPLSTGPQPLYDFTSQYVVQADYLLLHYLQG TSVRLDLHQAMASEYHVLATGWISLDKVLGTVERVHGLATLAGAGGEDLGVLEYWMRLCL PLKPSLQACNKRKKAQAYLSVSVLGARKVQSNESRSETWAPQNELRVEITRCCGLRSRRL GRQPSPYVMYRFFTFPDHDTIIIPASSNPYFKDQALFPVLVTSDLDQYLRREALSVYVFD DEDPEPGSYLGRAQVPLLPLAQNKSIKGDFNLTDSGEKSNGSIKVQLDWKSHYLAPEGFQ MSEAEKPEGEEKEEEGGEEEVKEEEVEEEEEEEEEEEEVKEEKEEEEEEEREEEEEKEEE KEEEEEEDEKEEEEEEEEEEEEEEEDENKDVLEASFTEEWVPFFSQDQIASTEIPIEAGQ YPEKRKPPVIAEKKEREHQVASYSRRKHSKKPGVQDKNRMEYLSCNILNGNTQQMHYTEW KFSGLKKAEDGGLKAQDKREEPPSPRSALRQEHPSHPRNAFSLADQESCEQASEVSETQT TDSDDIIVTPQAQTVPKADSEKMCIEIVSLAFCPEADVMSDETIQQVYVEYKFCDLPLSE TETPMSLRKPRAGEEIHFHFSKVIDLDPVEHQSRRQFLFAMLHAQDSDEGRFKFTVVSDP LDEEKKECQDIGYAYLELWQIFQSGKDILEQELEIVSPRNQAIQIGRLKVSLQAAAALHG IYKEMTEDLFS >ENSMUSP00000137751.1 pep:known chromosome:GRCm38:14:52110903:52160446:1 gene:ENSMUSG00000057132.15 transcript:ENSMUST00000180646.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpgrip1 description:retinitis pigmentosa GTPase regulator interacting protein 1 [Source:MGI Symbol;Acc:MGI:1932134] MQHLLEYMPEDLPVRDTDSSPLLKGTSGKNVRAQPHLGRMNQKELNCRRLHLHEEPTLVK EPSPKQRDKNRRRRTNVQRSTTTQPDLRTLAVLQEPERRRRPWVSASPSPSAPPRAPVPG RKAHVQRLCPSTAVGSAQPRVHAGRRLPHIAGPNDRRSHTAPPAFKDYVADKNTRIEITR EPSQLTHTMTTDSTHVEEIPRSPEKTSKVEKPEQRSSEECTQKAAELRASIKENVELIRL KKLLQERNTSLAATEAQLTRVQEAYEDLLQKITLKEVNNSTEKTLSTVS >ENSMUSP00000107227.2 pep:known chromosome:GRCm38:14:52110939:52161339:1 gene:ENSMUSG00000057132.15 transcript:ENSMUST00000111600.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgrip1 description:retinitis pigmentosa GTPase regulator interacting protein 1 [Source:MGI Symbol;Acc:MGI:1932134] MQHLLEYMPEDLPVRDTDSSPLLKGTSGKNVRAQPHLGRMNQKELNCRRLHLHEEPTLVK EPSPKQRDKNRRRRTNVQRSTTTQPDLRTLAVLQEPERRRRPWVSASPSPSAPPRAPVPG RKAHVQRLCPSTAVGSAQPRVHAGRRLPHIAGPNDRRSHTAPPAFKDYVADKNTRIEITR EPSQLTHTMTTDSTHVEEIPRSPEKTSKVEKPEQRSSEECTQKAAELRASIKENVELIRL KKLLQERNTSLAATEAQLTRVQEAYEDLLQKNQGILDTAHNAFLSQVNELKAELSEESKK AVSLRTQLGDVSILQITLKEFQVRVEDLEKERKLLSDSYDRLLENMLDSSHQPLDSSHQP HWSTELTGKQLPPQVCPLLDQMGTALEETKVFRQATNKAAQDGKLKFQDTDILYQHEQEE ESLQSTATVASSPEELCELAAQPTLLPQTDQRESSEPKAQDENDLSQVLSELQVSHAETT LELEKTRDMLLLQRKINMCYQEELEATLTKADRENRDHEEKLERLNHLLDFKNSRIKQLE GAGGEDLGVLEYWMRLCLPLKPSLQACNKRKKAQAYLSVSVLGARKVQSNESRSETWAPQ NELRVEITRCCGLRSRRLGRQPSPYVMYRFFTFPDHDTIIIPASSNPYFKDQALFPVLVT SDLDQYLRREALSVYVFDDEDPEPGSYLGRAQVPLLPLAQNKSIKGDFNLTDSGEKSNGS IKVQLDWKSHYLAPEGFQMSEAEKPEGEEKEEEGGEEEEEEEEDEKEEEEEEEEEEEEEE EDENKDVLEASFTEEWVPFFSQDQIASTEIPIEAGQYPEKRKPPVIAEKKEREHQVASYS RRKHSKKPGVQDKNRMEYLSCNILNGNTQQMHYTEWKFSGLKKAEDGGLKAQDKREEPPS PRSALRQEHPSHPRNAFSLADQESCEQASEVSETQTTDSDDIIVTPQAQTVPKADSEKMC IEIVSLAFCPEADVMSDETIQQVYVEYKFCDLPLSETETPMSLRKPRAGEEIHFHFSKVI DLDPVEHQSRRQFLFAMLHAQDSDEGRFKFTVVSDPLDEEKKECQDIGYAYLELWQIFQS GKDILEQELEIVSPRNQAIQIGRLKVSLQAAAALHGIYKEMTEDLFS >ENSMUSP00000138027.1 pep:known chromosome:GRCm38:14:52112157:52160218:1 gene:ENSMUSG00000057132.15 transcript:ENSMUST00000181401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgrip1 description:retinitis pigmentosa GTPase regulator interacting protein 1 [Source:MGI Symbol;Acc:MGI:1932134] MQHLLEYMPEDLPVRDTDSSPLLKGTSGKNVRAQPHLGRMNQKELNCRRLHLHEEPTLVK EPSPKQRDKNRRRRTNVQRSTTTQPDLRTLAVLQEPERRRRPWVSASPSPSAPPRAPVPG RKAHVQRLCPSTAVGSAQPRVHAGRRLPHIAGPNDRRSHTAPPAFKDYVADKNTRIEITR EPSQLTHTMTTDSTHVEEIPRSPEKTSKVEKPEQRSSEECTQKAAELRASIKENVELIRL KKLLQERNTSLAATEAQLTRVQEAYEDLLQKNQGILDTAHNAFLSQVNELKAELSEESKK AVSLRTQLGDVSILQITLKEFQVRVEDLEKERKLLSDSYDRLLENMLDSSHQPLDSSHQP HWSTELTGKQLPPQVCPLLDQMGTALEETKVFRQATNKAAQDGKLKFQDTDILYQHEQEE ESLQSTATVASSPEELCELAAQPTLLPQTDQRESSEPKAQDENDLSQVLSELQVSHAETT LELEKTRDMLLLQRKINMCYQEELEATLTKADRENRDHEEKLERLNHLLDFKNSRIKQLE EQLKDVAYGTLPPSLCLEPLAAHRGDDEVDMSLLHPSENLFELHVHQAFLTPAALTQAGD TQPTTFCTYSFYDFETHCTPLSTGPQPLYDFTSQYVVQADYLLLHYLQGTSVRLDLHQAM ASEYHVLATGWISLDKVLGTVERVHGLATLAGAGGEDLGVLEYWMRLCLPLKPSLQACNK RKKAQAYLSVSVLGARKVQSNESRSETWAPQNELRVEITRCCGLRSRRLGRQPSPYVMYR FFTFPDHDTIIIPASSNPYFKDQALFPVLVTSDLDQYLRREALSVYVFDDEDPEPGSYLG RAQVPLLPLAQNKSIKGDFNLTDSGEKSNGSIKVQLDWKSHYLAPEGFQMSEAEKPEGEE KEEEGGEEEVKEEEVEEEEEEEEEEEEVKEEKEEEEEEEREEEEEKEEEKEEEEEEDEKE EEEEEEEEEEEEEEDENKDVLEASFTEEWVPFFSQDQIASTEIPIEAGQYPEKRKPPVIA EKKEREHQVASYSRRKHSKKPGVQDKNRMEYLSCNILNGNTQQMHYTEWKFSGLKKAEDG GLKAQDKREEPPSPRSALRQEHPSHPRNAFSLADQESCEQASEVSETQTTDSDDIIVTPQ AQTVPKADSEKMCIEIVSLAFCPEADVMSDETIQQVYVEYKFCDLPLSETETPMSLRKPR AGEEIHFHFSKVIDLDPVEHQSRRQFLFAMLHAQDSDEGRFKFTVVSDPLDEEKKECQDI GYAYLELWQIFQSGKDILEQELEIVSPRNQAIQIGRLKVSLQAAAALHGIYKEMTEDLFS >ENSMUSP00000137664.1 pep:known chromosome:GRCm38:14:52121109:52140594:1 gene:ENSMUSG00000057132.15 transcript:ENSMUST00000181709.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpgrip1 description:retinitis pigmentosa GTPase regulator interacting protein 1 [Source:MGI Symbol;Acc:MGI:1932134] XAELRASIKENVELIRLKKLLQERNTSLAATEAQLTRVQEAYEDLLQKNQGILDTAHNAF LSQVNELKAELSEESKKAVSLRTQLGDVSILQITLKEFQVRVEDLEKERKLLSDSYDRLL EKIHLTPNLGFSACWIVVISLWIAVISLTGARSSQGSSSHRKSVHCWTKWALRWRRPKSF VKQPTKLKMES >ENSMUSP00000137991.1 pep:known chromosome:GRCm38:14:52145364:52149306:1 gene:ENSMUSG00000057132.15 transcript:ENSMUST00000180513.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgrip1 description:retinitis pigmentosa GTPase regulator interacting protein 1 [Source:MGI Symbol;Acc:MGI:1932134] XVRLDLHQAMASEYHVLATGWISLDKVLGTVERVHGLATLAGDFNLTDSGEKSNGSIKVQ LDWKSHYLAPEG >ENSMUSP00000137840.1 pep:known chromosome:GRCm38:14:52145424:52150493:1 gene:ENSMUSG00000057132.15 transcript:ENSMUST00000181627.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpgrip1 description:retinitis pigmentosa GTPase regulator interacting protein 1 [Source:MGI Symbol;Acc:MGI:1932134] XISLDKVLGTVERVHGLATLAGAGGEDLGVLEYWMRLCLPLKPSLQACNKRKKAQAYLSV SVLGARKVQSNEVISISQTLGRSPMDPSKCN >ENSMUSP00000137900.1 pep:known chromosome:GRCm38:14:52149518:52160296:1 gene:ENSMUSG00000057132.15 transcript:ENSMUST00000181017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgrip1 description:retinitis pigmentosa GTPase regulator interacting protein 1 [Source:MGI Symbol;Acc:MGI:1932134] EKEEEEEEEEEEEEEEEDENKDVLEASFTEEWVPFFSQMHYTEWKFSGLKKAEDGGLKAQ DKREEPPSPRSALRQEHPSHPRNAFSLADQESCEQASEVSETQTTDSDDIIVTPQAQTVP KADSEKMCIEIVSLAFCPEADVMSDETIQQVYVEYKFCDLPLSETETPMSLRKPRAGEEI HFHFSKVIDLDPVEHQSRRQFLFAMLHAQDSDEGRFKFTVVSDPLDEEKKECQDIGYAYL ELWQIFQSGKDILEQELEIVSPRNQAIQIGRLKVSLQAAAALHGIYKEMTEDLFS >ENSMUSP00000137667.1 pep:known chromosome:GRCm38:14:52150440:52160140:1 gene:ENSMUSG00000057132.15 transcript:ENSMUST00000180500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgrip1 description:retinitis pigmentosa GTPase regulator interacting protein 1 [Source:MGI Symbol;Acc:MGI:1932134] XPSPRSALRQEHPSHPRNADQESCEQASEVSETQTTDSDDIIVTPQAQTVPKADSEKMCI EIVSLAFCPEADVMSDETIQQVYVEYKFCDLPLSETETPMSLRKPRAGEEIHFHFSKVID LDPVEHQSRRQFLFAMLHAQDSDEGRFKFTVVSDPLDEEKKECQDIGYAYLELWQIFQSG KDILEQELEIVSPRNQAIQIG >ENSMUSP00000137892.1 pep:known chromosome:GRCm38:14:52158451:52163546:1 gene:ENSMUSG00000057132.15 transcript:ENSMUST00000181736.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpgrip1 description:retinitis pigmentosa GTPase regulator interacting protein 1 [Source:MGI Symbol;Acc:MGI:1932134] XRFKFTVVSDPLDEEKKECQDIGYAYLELWQIFQSGKDILEQELEIVSPRNQAIQIGRLK VSLQAAAALHGIYKEMTEDLFS >ENSMUSP00000123123.1 pep:known chromosome:GRCm38:18:74440936:74617023:1 gene:ENSMUSG00000025885.18 transcript:ENSMUST00000125882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5b description:myosin VB [Source:MGI Symbol;Acc:MGI:106598] MAASLGKYTRVWIPDPDEVWRSAELTKDYKEGDKSLQLRLEDDTILEYPVDVQNNQVPFL RNPDILVGENDLTALSHLHEPAVLHNLKVRFLESNHIYTYCGIVLVAINPYEQLPIYGQD VIYAYSGQNMGDMDPHIFAVAEEAYKQMARDEKNQSIIVSGESGAGKTVSAKYAMRYFAT VGG >ENSMUSP00000073790.6 pep:known chromosome:GRCm38:18:74442537:74771493:1 gene:ENSMUSG00000025885.18 transcript:ENSMUST00000074157.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5b description:myosin VB [Source:MGI Symbol;Acc:MGI:106598] MSYSELYTRYTRVWIPDPDEVWRSAELTKDYKEGDKSLQLRLEDDTILEYPVDVQNNQVP FLRNPDILVGENDLTALSHLHEPAVLHNLKVRFLESNHIYTYCGIVLVAINPYEQLPIYG QDVIYAYSGQNMGDMDPHIFAVAEEAYKQMARDEKNQSIIVSGESGAGKTVSAKYAMRYF ATVGGSASDTNIEEKVLASSPIMEAIGNAKTTRNDNSSRFGKFIEIGFDKKYHIIGANMR TYLLEKSRVVFQADDERNYHIFYQLCAAASLPEFKELALTCAEDFFYTAHGGNTTIEGVN DADDFEKTRQALTLLGVRDSHQISIFKIIASILHLGSVEIQSERDGDSCSISPQDEHLSN FCSLLGIEHSQMEHWLCHRKLVTTSETYVKTMSLQQVVNARDALAKHIYAQLFSWIVEHI NKALHTSLKQHSFIGVLDIYGFETFEINSFEQFCINYANEKLQQQFNSHVFKLEQEEYMK EQIPWTLIDFYDNQPCIDLIEAKLGILDLLDEECKVPKGTDQNWAQKLYERHSNSQHFQK PRMSNTAFIVNHFADKVEYLSDGFLEKNRDTVYEEQINILKASKFPLVADLFHDDKDSAP ATNTAKNRSSSKINVRSSRPLIKVPNKEHKKSVGYQFRTSLNLLMETLNATTPHYVRCIK PNDEKLPFHFDPKRAVQQLRACGVLETIRISAAGYPSRWTYHDFFNRYRVLMKKRELTNT DKKNICKSVLESLIKDPDKFQFGRTKIFFRAGQVAYLEKLRADKFREATIMIQKSVRGWL QRVKYRRLRAATLSLQRFCRGYLARRLAEHLRRTRAAIVFQKQYRMLKARRAYRRVCRAT VIIQSFTRAMFVRRNYRQVLMEHKATIIQKYARGWMARKRFLRERDAAIVIQCAFRRLKA RQELKALKIEARSAEHLKRLNVGMENKVVQLQRKIDDQNKEFKTLSEQLSAVTSSHAVEV EKLKKELAHYQQNQEADTSLQLQEEVQSLRTELQKAHSERRVLEDAHNKENGELRKRVAD LEHENALLKDEKEYLNNQILCQSKAESSQSSVEENLLMKKELEEERSRYQNLVKEYSQLE QRYENLRDEQTPGHRKNPSNQSSLESDSNYPSISTSEIGDTEDALQQVEEIGIEKAAMDM TVFLKLQKRVRELEQERKKLQAQLEKGQQDSKKGQVEQQNNGLDVDQDADIAYNSLKRQE LESENKKLKNDLNELRKAVADQAMQDNSTHSSPDSYSLLLNQLKLANEELEVRKEEVLIL RTQIMNADQRRLSGKNMEPNINARTSWPNSEKHVDQEDAIEAYHGVCQTNRLLEAQLQAQ SLEHEEEVEHLKAQVEALKEEMDKQQQTFCQTLLLSPEAQVEFGVQQEISRLTNENLDFK ELVEKLEKNERKLKKQLKIYMKKVQDLEAAQALAQSDRRHHELTRQVTVQRKEKDFQGML EYHKEDEALLIRNLVTDLKPQMLSGTVPCLPAYILYMCIRHADYTNDDLKVHSLLSSTIN GIKKVLKKHNDDFEMTSFWLSNTCRFLHCLKQYSGDEGFMTQNTAKQNEHCLKNFDLTEY RQVLSDLSIQIYQQLIKIAEGLLQPMIVSAMLENESIQGLSGVRPTGYRKRSSSMVDGEN SYCLEAIVRQMNSFHTVLCDQGLDPEIILQVFKQLFYMINAVTLNNLLLRKDACSWSTGM QLRYNISQLEEWLRGKNLHQSGAVQTMEPLIQAAQLLQLKKKTHEDAEAICSLCTSLSTQ QIVKILNLYTPLNEFEERVTVSFIRTIQAQLQERNDPQQLLLDSKHVFPVLFPYNPSALT MDSIHIPACLNLEFLNEV >ENSMUSP00000112728.1 pep:known chromosome:GRCm38:18:74442607:74770805:1 gene:ENSMUSG00000025885.18 transcript:ENSMUST00000121875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5b description:myosin VB [Source:MGI Symbol;Acc:MGI:106598] MSYSELYTRYTRVWIPDPDEVWRSAELTKDYKEGDKSLQLRLEDDTILEYPVDVQNNQVP FLRNPDILVGENDLTALSHLHEPAVLHNLKVRFLESNHIYTYCGIVLVAINPYEQLPIYG QDVIYAYSGQNMGDMDPHIFAVAEEAYKQMARDEKNQSIIVSGESGAGKTVSAKYAMRYF ATVGGSASDTNIEEKVLASSPIMEAIGNAKTTRNDNSSRFGKFIEIGFDKKYHIIGANMR TYLLEKSRVVFQADDERNYHIFYQLCAAASLPEFKELALTCAEDFFYTAHGGNTTIEGVN DADDFEKTRQALTLLGVRDSHQISIFKIIASILHLGSVEIQSERDGDSCSISPQDEHLSN FCSLLGIEHSQMEHWLCHRKLVTTSETYVKTMSLQQVVNARDALAKHIYAQLFSWIVEHI NKALHTSLKQHSFIGVLDIYGFETFEINSFEQFCINYANEKLQQQFNSHVFKLEQEEYMK EQIPWTLIDFYDNQPCIDLIEAKLGILDLLDEECKVPKGTDQNWAQKLYERHSNSQHFQK PRMSNTAFIVNHFADKVEYLSDGFLEKNRDTVYEEQINILKASKFPLVADLFHDDKDSAP ATNTAKNRSSSKINVRSSRPLIKVPNKEHKKSVGYQFRTSLNLLMETLNATTPHYVRCIK PNDEKLPFHFDPKRAVQQLRACGVLETIRISAAGYPSRWTYHDFFNRYRVLMKKRELTNT DKKNICKSVLESLIKDPDKFQFGRTKIFFRAGQVAYLEKLRADKFREATIMIQKSVRGWL QRVKYRRLRAATLSLQRFCRGYLARRLAEHLRRTRAAIVFQKQYRMLKARRAYRRVCRAT VIIQSFTRAMFVRRNYRQVLMEHKATIIQKYARGWMARKRFLRERDAAIVIQCAFRRLKA RQELKALKIEARSAEHLKRLNVGMENKVVQLQRKIDDQNKEFKTLSEQLSAVTSSHAVEV EKLKKELAHYQQNQEADTSLQLQEEVQSLRTELQKAHSERRVLEDAHNKENGELRKRVAD LEHENALLKDEKEYLNNQILCQSKAESSQSSVEENLLMKKELEEERSRYQNLVKEYSQLE QRYENLRDEQTPGHRKNPSNQSSLESDSNYPSISTSEIGDTEDALQQVEEIGIEKAAMDM TVFLKLQKRVRELEQERKKLQAQLEKGQQDSKKGQVEQQNNGLDVDQDADIAYNSLKRQE LESENKKLKNDLNELRKAVADQAMQDNSTHSSPDSYSLLLNQLKLANEELEVRKEEVLIL RTQIMNADQRRLSGKNMEPNINARTSWPNSEKHVDQEDAIEAYHGVCQTNSQTEDWGYLN EDGELGLAYQGLKQVARLLEAQLQAQSLEHEEEVEHLKAQVEALKEEMDKQQQTFCQTLL LSPEAQVEFGVQQEISRLTNENLDFKELVEKLEKNERKLKKQLKIYMKKVQDLEAAQALA QSDRRHHELTRQVTVQRKEKDFQGMLEYHKEDEALLIRNLVTDLKPQMLSGTVPCLPAYI LYMCIRHADYTNDDLKVHSLLSSTINGIKKVLKKHNDDFEMTSFWLSNTCRFLHCLKQYS GDEGFMTQNTAKQNEHCLKNFDLTEYRQVLSDLSIQIYQQLIKIAEGLLQPMIVSAMLEN ESIQGLSGVRPTGYRKRSSSMVDGENSYCLEAIVRQMNSFHTVLCDQGLDPEIILQVFKQ LFYMINAVTLNNLLLRKDACSWSTGMQLRYNISQLEEWLRGKNLHQSGAVQTMEPLIQAA QLLQLKKKTHEDAEAICSLCTSLSTQQIVKILNLYTPLNEFEERVTVSFIRTIQAQLQER NDPQQLLLDSKHVFPVLFPYNPSALTMDSIHIPACLNLEFLNEV >ENSMUSP00000135825.1 pep:known chromosome:GRCm38:18:74713619:74717068:1 gene:ENSMUSG00000025885.18 transcript:ENSMUST00000177366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5b description:myosin VB [Source:MGI Symbol;Acc:MGI:106598] XCQSKAESSQSSVEENLLMKKELEEERSRYQNLVKEYSQLEQRYENLRDEVTILKQTPGH RKNPSNQSSLESDSNYPSISTSEIGDTEDALQQVEEIGIEKAAMDMTVFLKLQKRVRELE QERKKLQA >ENSMUSP00000030367.8 pep:known chromosome:GRCm38:4:106911470:107049694:1 gene:ENSMUSG00000061887.14 transcript:ENSMUST00000030367.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp3 description:single-stranded DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1919725] MFAKGKGSAVPSDGQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGFLH SWWCVFWDLYCAAPERRDTCEHSSEAKAFHDYSAAAAPSPVLGNIPPNDGMPGGPIPPGF FQGPPGSQPSPHAQPPPHNPSSMMGPHSQPFMSPRYAGGPRPPIRMGNQPPGGVPGTQPL LPNSMDPTRQQGHPNMGGSMQRMNPPRGMGPMGPGPQNYGSGMRPPPNSLGPAMPGINMG PGAGRPWPNPNSANSIPYSSSSPGTYVGPPGGGGPPGTPIMPSPADSTNSSDNIYTMINP VPPGGSRSNFPMGPGSDGPMGGMGGMEPHHMNGSLGSGDIDGLPKNSPNNISGISNPPGT PRDDGELGGNFLHSFQNDNYSPSMTMSV >ENSMUSP00000116248.1 pep:known chromosome:GRCm38:4:106911511:107038742:1 gene:ENSMUSG00000061887.14 transcript:ENSMUST00000149926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp3 description:single-stranded DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1919725] MFAKGKGSAVPSDGQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGFLH SWWCVFWDLYCAAPERRDTCEHSSEAKAFHDYSAAAAPSPVLGNIPPNDGMPGGPIPPGF FQPPGGVPGTQPLLPNSMDPTRQQGHPNMGGSMQRMNPPRGMGPMGPGPQNYGSGMRPPP NSLGPAMPGINMGPGAGRPWPNPNSANSIPYSSSSPGTYVGPPGGG >ENSMUSP00000072536.6 pep:known chromosome:GRCm38:4:106911533:107048567:1 gene:ENSMUSG00000061887.14 transcript:ENSMUST00000072753.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp3 description:single-stranded DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1919725] MFAKGKGSAVPSDGQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGFLH SWWCVFWDLYCAAPERRDTCEHSSEAKAFHDYSAAAAPSPVLGNIPPNDGMPGGPIPPGF FQPFMSPRYAGGPRPPIRMGNQPPGGVPGTQPLLPNSMDPTRQQGHPNMGGSMQRMNPPR GMGPMGPGPQNYGSGMRPPPNSLGPAMPGINMGPGAGRPWPNPNSANSIPYSSSSPGTYV GPPGGGGPPGTPIMPSPADSTNSSDNIYTMINPVPPGGSRSNFPMGPGSDGPMGGMGGME PHHMNGSLGSGDIDGLPKNSPNNISGISNPPGTPRDDGELGGNFLHSFQNDNYSPSMTMS V >ENSMUSP00000095547.3 pep:known chromosome:GRCm38:4:106911667:107048498:1 gene:ENSMUSG00000061887.14 transcript:ENSMUST00000097934.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp3 description:single-stranded DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1919725] MFAKGKGSAVPSDGQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGFLH SWWCVFWDLYCAAPERRDTCEHSSEAKAFHDYSAAAAPSPVLGNIPPNDGMPGGPIPPGF FQGPPGSQPSPHAQPPPHNPSSMMGPHSQPPGGVPGTQPLLPNSMDPTRQQGHPNMGGSM QRMNPPRGMGPMGPGPQNYGSGMRPPPNSLGPAMPGINMGPGAGRPWPNPNSANSIPYSS SSPGTYVGPPGGGGPPGTPIMPSPADSTNSSDNIYTMINPVPPGGSRSNFPMGPGSDGPM GGMGGMEPHHMNGSLGSGDIDGLPKNSPNNISGISNPPGTPRDDGELGGNFLHSFQNDNY SPSMTMSV >ENSMUSP00000120323.1 pep:known chromosome:GRCm38:4:106966426:107031067:1 gene:ENSMUSG00000061887.14 transcript:ENSMUST00000131440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp3 description:single-stranded DNA binding protein 3 [Source:MGI Symbol;Acc:MGI:1919725] MAESAAAAPSPVLGNIPPNDGMPGGPIPPGFFQPFMSPRYAGGPRPPIRMGNQPPGGVPG TQPLLPNSMDPTRQQGHPNMGGSMQRMNPPRGM >ENSMUSP00000084462.3 pep:known chromosome:GRCm38:5:72647795:72671078:1 gene:ENSMUSG00000067219.9 transcript:ENSMUST00000087212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipal1 description:NIPA-like domain containing 1 [Source:MGI Symbol;Acc:MGI:1917951] MGVQLRLPPGEPCHEGYVLSLVCSNSSRASCEITNVSESLPYPVVYTNLNSSKTNFSISA SVENKYNLYVGLVLAISSSVFIGSSFILKKKGLLQLADKGITRAGQGGHSYLKEWLWWAG LLSMGAGEAANFAAYAFAPATLVTPLGALSVLISAILSSYFLNERLNIHGKIGCILSVLG STVMVIHAPQEGEVTSLHEMEMKLRDPGFVSFAVIISVISLVLILIVAPKKGQTNILVYI AICSLIGAFSVSSVKGLGIAIKELLERKPVYKDPLFFILLTMLALSVTTQINYLNKALDT FNTSLVTPIYYVFFTSMVVTCSAILFQEWYGMKAGDIIGTLSGFFTIINGIFLLHAFKNT NITWSELMSTAKKEALSPNGNQNSYVLLENADFSASGYDDDITLFSRTNDQSSHKL >ENSMUSP00000143667.2 pep:known chromosome:GRCm38:5:72647813:72667623:1 gene:ENSMUSG00000067219.9 transcript:ENSMUST00000197837.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipal1 description:NIPA-like domain containing 1 [Source:MGI Symbol;Acc:MGI:1917951] MGVQLRLPPGEPCHEGQGGHSYLKEWLWWAGLLSMGAGEAANFAAYAFAPATLVTPLGAL SVLISAILSSYFLNERLNIHGKIGCILSVLGSTVMVIHAPQEGEVTSLHEMEMKLRDPGF VSFAVIISVI >ENSMUSP00000024773.5 pep:known chromosome:GRCm38:17:46910459:46924933:1 gene:ENSMUSG00000023978.5 transcript:ENSMUST00000024773.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prph2 description:peripherin 2 [Source:MGI Symbol;Acc:MGI:102791] MALLKVKFDQKKRVKLAQGLWLMNWLSVLAGIVLFSLGLFLKIELRKRSEVMNNSESHFV PNSLIGVGVLSCVFNSLAGKICYDALDPAKYAKWKPWLKPYLAVCIFFNVILFLVALCCF LLRGSLESTLAYGLKNGMKYYRDTDTPGRCFMKKTIDMLQIEFKCCGNNGFRDWFEIQWI SNRYLDFSSKEVKDRIKSNVDGRYLVDGVPFSCCNPSSPRPCIQYQLTNNSAHYSYDHQT EELNLWLRGCRAALLNYYSSLMNSMGVVTLLVWLFEVSITAGLRYLHTALESVSNPEDPE CESEGWLLEKSVPETWKAFLESFKKLGKSNQVEAEGADAGPAPEAG >ENSMUSP00000040405.3 pep:known chromosome:GRCm38:4:155768149:155774698:-1 gene:ENSMUSG00000042116.3 transcript:ENSMUST00000042196.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa1 description:von Willebrand factor A domain containing 1 [Source:MGI Symbol;Acc:MGI:2179729] MLFWTAFSMALSLRLALARSSIERGSTASDPQGDLLFLLDSSASVSHYEFSRVREFVGQL VATMSFGPGALRASLVHVGSQPHTEFTFDQYSSGQAIQDAIRVAPQRMGDTNTGLALAYA KEQLFAEEAGARPGVPKVLVWVTDGGSSDPVGPPMQELKDLGVTIFIVSTGRGNLLELLA AASAPAEKHLHFVDVDDLPIIARELRGSITDAMQPQQLHASEVLSSGFRLSWPPLLTADS GYYVLELVPSGKLATTRRQQLPGNATSWTWTDLDPDTDYEVSLLPESNVHLLRPQHVRVR TLQEEAGPERIVISHARPRSLRVSWAPALGPDSALGYHVQLGPLQGGSLERVEVPAGQNS TTVQGLTPCTTYLVTVTAAFRSGRQRALSAKACTASGARTRAPQSMRPEAGPREP >ENSMUSP00000125855.1 pep:known chromosome:GRCm38:9:36119934:36128779:-1 gene:ENSMUSG00000091411.1 transcript:ENSMUST00000168452.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5916 description:predicted gene 5916 [Source:MGI Symbol;Acc:MGI:3643162] MGKILLLLFLLMLGSFALVFIQVQATVCMVCNSFKSGHCSAGKNNCTTRYKPGCRTRNYF LFSQTGKWVHNHTELDCDKACMAESRSHVSWSLEDIYLLLQR >ENSMUSP00000050313.4 pep:known chromosome:GRCm38:5:137821952:137836268:-1 gene:ENSMUSG00000046245.13 transcript:ENSMUST00000058897.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilra description:paired immunoglobin-like type 2 receptor alpha [Source:MGI Symbol;Acc:MGI:2450529] MALLISLPGGTPAMAQILLLLSSACLHAGNSERSNRKNGFGVNQPESCSGVQGGSIDIPF SFYFPWKLAKDPQMSIAWRWKDFHGEFIYNSSLPFIHEHFKGRLILNWTQGQTSGVLRIL NLKESDQTRYFGRVFLQTTEGIQFWQSIPGTQLNVTNATCTPTTLPSTTAATSAHTQNDI TEVKSANIGGLDLQTTVGLATAAAVFLVGVLGLIVFLWWKRRRQGQKTKAEIPAREPLET SEKHESVGHEGQCMDPKENPKDNNIVYASISLSSPTSPGTAPNLPVHGNPQEETVYSIVK AK >ENSMUSP00000106608.1 pep:known chromosome:GRCm38:5:137823459:137836251:-1 gene:ENSMUSG00000046245.13 transcript:ENSMUST00000110980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilra description:paired immunoglobin-like type 2 receptor alpha [Source:MGI Symbol;Acc:MGI:2450529] MFCVVLSMFPVTVPCSGFRVSPSFPGNSERSNRKNGFGVNQPESCSGVQGGSIDIPFSFY FPWKLAKDPQMSIAWRWKDFHGEFIYNSSLPFIHEHFKGRLILNWTQGQTSGVLRILNLK ESDQTRYFGRVFLQTTEGIQFWQSIPGTQLNVTNATCTPTTLPSTTAATSAHTQNDITEV KSANIGGLDLQTTVGLATAAAVFLVGVLGLIVFLWWKRRRQGQKTKAEIPAREPLETSEK HESVGHEGQCMDPKENPKDNNIVYASISLSSPTSPGTAPNLPVHGNPQEETVYSIVKAK >ENSMUSP00000142631.1 pep:known chromosome:GRCm38:5:137835511:137836187:-1 gene:ENSMUSG00000046245.13 transcript:ENSMUST00000197586.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilra description:paired immunoglobin-like type 2 receptor alpha [Source:MGI Symbol;Acc:MGI:2450529] MALLISLPGGTPAMAQILLLLSSACLHAERSNRKNGFGVNQPESCSGVQGGSIDIPFSFY FPWKLAKDPQMSIAWRWKDFHGEFIYNSSLPFIH >ENSMUSP00000142391.1 pep:known chromosome:GRCm38:5:137835625:137836281:-1 gene:ENSMUSG00000046245.13 transcript:ENSMUST00000199028.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilra description:paired immunoglobin-like type 2 receptor alpha [Source:MGI Symbol;Acc:MGI:2450529] MALLISLPGGTPAMAQILLLLSSACLHAVASESPHPSQEIQKDPTEKMALGSTNLKAALE SRVAPSTSP >ENSMUSP00000041578.3 pep:known chromosome:GRCm38:2:151842927:151874668:1 gene:ENSMUSG00000032852.3 transcript:ENSMUST00000042217.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rspo4 description:R-spondin 4 [Source:MGI Symbol;Acc:MGI:1924467] MRAPLCLLLLLAHAVDMLALYRRKKQAGTGLGGNCTGCVICSEENGCSTCQQRLFLFIRR EGIRQYGKCVHDCPLGFFGIRGQEANRCKKCGATCESCFSQDFCIRCKRRFHLYKGKCLP SCPPGTLTHQSTRECQEECEPSPWGSWSPCIHNGKTCGSGWGLETRVREAGPAKQEETAS CRVLSESRKCPIKRLCPGERNPRQKNRKDRRQRKDRKLERRPHQRGSQ >ENSMUSP00000068587.5 pep:known chromosome:GRCm38:3:107612532:107631694:-1 gene:ENSMUSG00000014601.13 transcript:ENSMUST00000064759.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strip1 description:striatin interacting protein 1 [Source:MGI Symbol;Acc:MGI:2443884] MEPAAAGPGPLIVNNKQPQPPPPPPPATAQPPPGAPRAAGGLLPGGKAREFNRNQRKDSE GYSESPDLEFEYADTDKWAAELAELYSYTEGPEFLMNRKCFEEDFRIHVSDKKWTELDTN QHRTHAMRLLDGLEVTAREKRLKVARAILYVAQGTFGECSSEAEVQFWMRYNIFLLLEVG TFNALVELLNMEIDNSAACSSAVRKPAISLADSTDLRVLLNIMYLIVETVHQDCDGDKAE WRTMRQTFRAELGSPLYNNEPFAIMLFGMVTKFCSGHAPHFPMKKVLLLLWKTVLCTLGG FEELQSMKAEKRTLLGLPPLPEDSIKVIRNMRAASPPASASDLIEQQQKRGRREHKALIK QDNLDAFNERDPYKADDSREEEEENDDDSSLEGEAFPLERDEVMPPPLQHPQTDRLTCPK GLPWAPKVREKDIEMFLESSRSKFIGYTLGSDTNTVVGLPRPIHESIKTLKQHKYTSIAE VQAQMEEEYLRSPLSGGEEEVEQVPAETLYQGLLPSLPQYMIALLKILLAAAPTSKAKTD SINILADVLPEEMPTTVLQSMKLGVDVNRHKEVIVKAISAVLLLLLKHFKLNHIYQFEYM AQHLVFANCIPLILKFFNQNIMSYITAKNSISVLDYPHCVVNELPELTAESLEAGDNNQF CWRNLFSCINLLRILNKLTKWKHSRTMMLVVFKSAPILKRALKVKQAMMQLYVLKLLKVQ TKYLGRQWRKSNMKTMSAIYQKVRHRLNDDWAYGNDLDARPWDFQAEECALRANIERFNA RRYDRTHSNPDFLPVDNCLQSVLGQRVDLPEDFQMNYDLWLEREVFSKPISWEELLQ >ENSMUSP00000006721.2 pep:known chromosome:GRCm38:1:74889934:74893143:-1 gene:ENSMUSG00000006546.3 transcript:ENSMUST00000006721.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryba2 description:crystallin, beta A2 [Source:MGI Symbol;Acc:MGI:104336] MSSAPAPGSAPVCLTLWDEEDFQGRRCRLLSDCANVCERGALRRVRSVKVENGAWVAFEY PDFQGQQFILEKGDYPCWSAWSGSSGHHSNQLLSFRPVLCANHSDSRVTLFEGENFQGCK FELSDDYPSLPSMGWTSKDVGSLKVSSGAWVAYQYPGYRGYQYVLERDRHSGEFRTYSDF GTQAHTGQLQSIRRVQH >ENSMUSP00000140298.1 pep:known chromosome:GRCm38:1:74890531:74892892:-1 gene:ENSMUSG00000006546.3 transcript:ENSMUST00000133833.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cryba2 description:crystallin, beta A2 [Source:MGI Symbol;Acc:MGI:104336] MSSAPAPGSAPVCLTLWDEEDFQGRRCRLLSDCANVCERGALRRVRSVKVENGA >ENSMUSP00000097492.2 pep:known chromosome:GRCm38:2:86159427:86160368:-1 gene:ENSMUSG00000075202.3 transcript:ENSMUST00000099908.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1042 description:olfactory receptor 1042 [Source:MGI Symbol;Acc:MGI:3030876] MANSNHSAVSEFILVGLTDDSELQVSLFGVFLVIYLTSVVGNVGLIVLIQVSPQLHTPMY FFLTHLAFIDFCFTSSVTPNTLVNFLREVKSITFYACATQLCCFVTFVVCELYLLSIMAY DRYVAIWNPLLYAVRMPRELCLQVITSTYIYGFTVGLAQAVATFRLSFCGSNVINHFYCD DVPLVALACSDTHVKELMLLIIAGFNTLCSLVIVVISYICILFAILRIHSAEGRRKAFST CASHLTSITIFYGTVSFMYLQPKSSHSLNTDKFASVFYVVVIPMLNPLIYSLRNQEVKSA LKRIVEKLSSAIK >ENSMUSP00000142548.1 pep:known chromosome:GRCm38:5:143548708:143564531:1 gene:ENSMUSG00000083012.8 transcript:ENSMUST00000200267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam220a description:family with sequence similarity 220, member A [Source:MGI Symbol;Acc:MGI:1914488] MKAGRGTLGVCLAKQSQGGDPDKLACGLKKRSQKRNPSPSVVPSWTDQPVADSHGKSRAT GAAASEMKHGQSKASLLHHGGFKVLQSLKGSVGRSSAPAASLGKAVALSPAPSEEQLAGM SHGIGDALGSDWPGREPRATDNRGQYLKGESWVSGRPGHPKLREVGFLRGEPPSAGPKGL GTWSELSHRYFELGQLPYAYPYYKVLPEGELRCVSLDRFNPGLSEETVEDEKTLKFFRWS ADSRGVTGSAIFQISKSLMP >ENSMUSP00000114024.1 pep:known chromosome:GRCm38:5:143548708:143564531:1 gene:ENSMUSG00000083012.8 transcript:ENSMUST00000119488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam220a description:family with sequence similarity 220, member A [Source:MGI Symbol;Acc:MGI:1914488] MATALSGLAVRLSRSAAARSYGVFCKGLTRTLLIFFDLAWRLRINFPYLYIVASMMLNVR LQVHIEIH >ENSMUSP00000112767.1 pep:known chromosome:GRCm38:5:143548746:143551938:1 gene:ENSMUSG00000083012.8 transcript:ENSMUST00000118121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam220a description:family with sequence similarity 220, member A [Source:MGI Symbol;Acc:MGI:1914488] MATALSGLAVRLSRSAAARSYGVFCKGLTRTLLIFFDLAWRLRINFPYLYIVASMMLNVR LQVVVEEE >ENSMUSP00000117552.1 pep:known chromosome:GRCm38:5:143548761:143564525:1 gene:ENSMUSG00000083012.8 transcript:ENSMUST00000101434.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam220a description:family with sequence similarity 220, member A [Source:MGI Symbol;Acc:MGI:1914488] MATALSGLAVRLSRSAAARSYGVFCKGLTRTLLIFFDLAWRLRINFPYLYIVASMMLNVR LQVVVEEE >ENSMUSP00000143202.1 pep:known chromosome:GRCm38:5:143548761:143564527:1 gene:ENSMUSG00000083012.8 transcript:ENSMUST00000196487.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam220a description:family with sequence similarity 220, member A [Source:MGI Symbol;Acc:MGI:1914488] MKAGRGTLGVCLAKQSQGGDPDKLACGLKKRSQKRNPSPSVVPSWTDQPVADSHGKSRAT GAAASEMKHGQSKASLLHHGGFKVLQSLKGSVGRSSAPAASLGKAVALSPAPSEEQLAGM SHGIGDALGSDWPGREPRATDNRGQYLKGESWVSGRPGHPKLREVGFLRGEPPSAGPKGL GTWSELSHRYFELGQLPYAYPYYKVLPEGELRCVSLDRFNPGLSEETVEDEKTLKFFRWS ADSRGVTGSAIFQISKSLMP >ENSMUSP00000126480.1 pep:known chromosome:GRCm38:5:143548706:143564525:1 gene:ENSMUSG00000083012.8 transcript:ENSMUST00000169329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam220a description:family with sequence similarity 220, member A [Source:MGI Symbol;Acc:MGI:1914488] MKAGRGTLGVCLAKQSQGGDPDKLACGLKKRSQKRNPSPSVVPSWTDQPVADSHGKSRAT GAAASEMKHGQSKASLLHHGGFKVLQSLKGSVGRSSAPAASLGKAVALSPAPSEEQLAGM SHGIGDALGSDWPGREPRATDNRGQYLKGESWVSGRPGHPKLREVGFLRGEPPSAGPKGL GTWSELSHRYFELGQLPYAYPYYKVLPEGELRCVSLDRFNPGLSEETVEDEKTLKFFRWS ADSRGVTGSAIFQISKSLMP >ENSMUSP00000063424.5 pep:known chromosome:GRCm38:5:143548706:143564525:1 gene:ENSMUSG00000083012.8 transcript:ENSMUST00000067145.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam220a description:family with sequence similarity 220, member A [Source:MGI Symbol;Acc:MGI:1914488] MKAGRGTLGVCLAKQSQGGDPDKLACGLKKRSQKRNPSPSVVPSWTDQPVADSHGKSRAT GAAASEMKHGQSKASLLHHGGFKVLQSLKGSVGRSSAPAASLGKAVALSPAPSEEQLAGM SHGIGDALGSDWPGREPRATDNRGQYLKGESWVSGRPGHPKLREVGFLRGEPPSAGPKGL GTWSELSHRYFELGQLPYAYPYYKVLPEGELRCVSLDRFNPGLSEETVEDEKTLKFFRWS ADSRGVTGSAIFQISKSLMP >ENSMUSP00000112382.1 pep:known chromosome:GRCm38:7:127769815:127774692:1 gene:ENSMUSG00000043964.14 transcript:ENSMUST00000118865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orai3 description:ORAI calcium release-activated calcium modulator 3 [Source:MGI Symbol;Acc:MGI:3039586] MKGGEGDTGEQAPLNPEVDSPAGSATYREFVHRGYLDLMGASQHSLRALSWRRLYLSRAK LKASSRTSALLSGFAMVAMVEVQLENDHEYPPGLLVAFSACTTVLVAVHLFALMVSTCLL PHIEAVSNIHNLNSVHQSPHQRLHRYVELAWGFSTALVGILGEILQGSSDEPLHPW >ENSMUSP00000050279.6 pep:known chromosome:GRCm38:7:127769815:127775150:1 gene:ENSMUSG00000043964.14 transcript:ENSMUST00000061587.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orai3 description:ORAI calcium release-activated calcium modulator 3 [Source:MGI Symbol;Acc:MGI:3039586] MKGGEGDTGEQAPLNPEVDSPAGSATYREFVHRGYLDLMGASQHSLRALSWRRLYLSRAK LKASSRTSALLSGFAMVAMVEVQLENDHEYPPGLLVAFSACTTVLVAVHLFALMVSTCLL PHIEAVSNIHNLNSVHQSPHQRLHRYVELAWGFSTALGTFLFLAEVVLVGWVKFVPIGAP MGKPAPVVPMSQVPPVTVSLSLASNLTPSSASITTSQQPSKACPPRQVCDSAHGPGWQAA MASTAIMVPVGLVFMAFALHFYRSLVAHKTDRHKQELEELSRLQGELQAV >ENSMUSP00000113142.1 pep:known chromosome:GRCm38:7:127769815:127775150:1 gene:ENSMUSG00000043964.14 transcript:ENSMUST00000121504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orai3 description:ORAI calcium release-activated calcium modulator 3 [Source:MGI Symbol;Acc:MGI:3039586] MKGGEGDTGEQAPLNPEVDSPAGSATYREFVHRGYLDLMGASQHSLRALSWRRLYLSRAK LKASSRTSALLSGFAMQWPREVELKIPECGLQMCPGSSLLRASCAAPSRHPLQLPSLGSC DIIEGGHGGGTAGERS >ENSMUSP00000054428.4 pep:known chromosome:GRCm38:18:10725548:10818578:1 gene:ENSMUSG00000024294.13 transcript:ENSMUST00000052838.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mib1 description:mindbomb homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2443157] MSNSRNNRVMVEGVGARVVRGPDWKWGKQDGGEGHVGTVRSFESPEEVVVVWDNGTAANY RCSGAYDLRILDSAPTGIKHDGTMCDTCRQQPIIGIRWKCAECTNYDLCTVCYHGDKHHL RHRFYRITTPGSERVLLESRRKSKKITARGIFAGARVVRGVDWQWEDQDGGNGRRGKVTE IQDWSASSPHSAAYVLWDNGAKNLYRVGFEGMSDLKCVQDAKGGSFYRDHCPVLGEQNGN RNPGGLQIGDLVNIDLDLEIVQSLQHGHGGWTDGMFETLTTTGTVCGIDEDHDIVVQYPS GNRWTFNPAVLTKANIVRSGDAAQGAEGGTSQFQVGDLVQVCYDLERIKLLQRGHGEWAE AMLPTLGKVGRVQQIYSDSDLKVEVCGTSWTYNPAAVSKVAPAGSAISNASGERLSQLLK KLFETQESGDLNEELVKAAANGDVAKVEDLLKRPDVDVNGQCAGHTAMQAASQNGHVDIL KLLLKQNVDVEAEDKDGDRAVHHAAFGDEGAVIEVLHRGSADLNARNKRRQTPLHIAVNK GHLQVVKTLLDFGCHPSLQDSEGDTPLHDAISKKRDDILAVLLEAGADVTITNNNGFNAL HHAALRGNPSAMRVLLSKLPRPWIVDEKKDDGYTALHLAALNNHVEVAELLVHQGNANLD IQNVNQQTALHLAVERQHTQIVRLLVRAGAKLDIQDKDGDTPLHEALRHHTLSQLRQLQD MQDVGKVDAAWEPSKNTLIMGLGTQGAEKKSAASIACFLAANGADLSIRNKKGQSPLDLC PDPSLCKALAKCHKEKVSGQVGSRSPSMISNDSETLEECMVCSDMKRDTLFGPCGHIATC SLCSPRVKKCLICKEQVQSRTKIEECVVCSDKKAAVLFQPCGHMCACENCASLMKKCVQC RAVVERRVPFITCCGGKSSEDPSDEISSGNIPVLQKDKDNTNVNADVQKLQQQLQDIKEQ TMCPVCLDRLKNMIFLCGHGTCQLCGDRMSECPICRKAIERRILLY >ENSMUSP00000114289.1 pep:known chromosome:GRCm38:18:10760809:10818704:1 gene:ENSMUSG00000024294.13 transcript:ENSMUST00000124288.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mib1 description:mindbomb homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2443157] XGKVGRVQQIYSDSDLKVEVCGTSWTYNPAAVSKVAPAGSAISNASGERLSQLLKKLFET QESGDLNEELVKAAANGDVAKVEDLLKRPDVDVNGQCAGHTAMQAASQNGHVDILKLLLK QNVDVEAEDKDGDRAVHHAAFGDEGAVIEVLHRGSADLNARNKRRQTPLHIAVNKGHLQV VKTLLDFGCHPSLQDSEGDTPLHDAISKKRDDILAVLLEAGADVTITNNNGFNALHHAAL RGNPSAMRVLLSKLPRPWIVDEKKDDGYTALHLAALNNHVEVAELLVHQGNANLDIQNVN QQTALHLAVERQHTQIVRLLVRAGAKLDIQDKDGDTPLHEALRHHTLSQLRQLQDMQDVG KVDAAWEPSKNTLIMGLGTQGAEKKSAASIACFLAANGADLSIRNKKGQSPLDLCPDPSL CKALAKCHKEKVSGQVGSRSPSMISNDSETLEECMVCSDMKRDTLFGPCGHIATCSLCSP RVKKCLICKEQVQSRTKIEECVVCSDKKAAVLFQPCGHMCACENCASLMKKCVQCRAVVE RRVPFITCCGGKSSEDPSDEISSGNIPVLQKDKDNTNVNADVQKLQQQLQDIKEQTMCPV CLDRLKNMIFLCGHGTCQLCGDRMSECPICRKAIERRILLY >ENSMUSP00000122879.1 pep:known chromosome:GRCm38:18:10798365:10817628:1 gene:ENSMUSG00000024294.13 transcript:ENSMUST00000150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mib1 description:mindbomb homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2443157] XGTQGAEKKSAASIACFLAANGADLSIRNKKGQSPLDLCPDPSLCKALAKCHKEKVSGQV GSRSPSMISNDSETLEECMVCSDMKRDTLFGPCGHIATCSLCSPRVKKCLICKEQVQSRT KIEECVVCSDKKAAVLFQPCGHMCACENCASLMKKCVQCRAVVERRVPFITCCGGKSSED PSDEISSGNIPVLQKDKDNTNVNADVQKLQQQLQDIKEQTMCPVCLDRLKNMIFLCGHGT CQLCGDRMSECPICRKAIERRILLY >ENSMUSP00000131712.1 pep:known chromosome:GRCm38:18:10725655:10812174:1 gene:ENSMUSG00000024294.13 transcript:ENSMUST00000165555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mib1 description:mindbomb homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2443157] MSNSRNNRVMVEGVGARVVRGPDWKWGKQDGGEGHVGTVRSFESPEEVVVVWDNGTAANY RCSGAYDLRILDSAPTGIKHDGTMCDTCRQQPIIGIRWKCAECTNYDLCTVCYHGDKHHL RHRFYRITTPGSERVLLESRRKSKKITARGIFAGARVVRGVDWQWEDQDGGNGRRGKVTE IQDWSASSPHSAAYVLWDNGAKNLYRVGFEGMSDLKCVQDAKGGSFYRDHCPVLGEQNGN RNPGGLQIGDLVNIDLDLEIVQSLQHGHGGWTDGMFETLTTTGTVCGIDEDHDIVVQYPS GNRWTFNPAVLTKANIVRSGDAAQGAEGGTSQFQVGDLVQVCYDLERIKLLQRGHGEWAE AMLPTLGKVGRVQQIYSDSDLKVEVCGTSWTYNPAAVSKVAPAGSAISNASGERLSQLLK KLFETQESGDLNEELVKAAANGDVAKVEDLLKRPDVDVNGQCAGHTAMQAASQNGHVDIL KLLLKQNVDVEAEDKDGDRAVHHAAFGDEGAVIEVLHRGSADLNARNKRRQTPLHIAVNK GHLQVVKTLLDFGCHPSLQDSEGDTPLHDAISKKRDDILAVLLEAGADVTITNNNGFNAL HHAALRGNPSAMRVLLSKLPRPWIVDEKKDDGYTALHLAALNNHVEVAELLVHQGNANLD IQNVNQQTALHLAVERQHTQIVRLLVRAGAKLDIQDKDGDTPLHEALRHHTLSQLRQLQD MQDVGKVDAAWEPSKNTLIMGLGTQGAEKKSAASIACFLAANGADLSIRNKKGQSPLDLC PDPSLCKALAKCHKEKVSGQVGSRSPSMISNDSETLEECMVCSDMKRDTLFGPCGHIATC SLCSPRVKKCLICKEQVQSRTKIEECVVCSDKKAAVLFQPCGHMCACENCASLMKKCVQC RAVVERRVPFITCCGGKSSEDPSDEISSGNIPVLQKDKDNTNVNADVQKLQQQLQDIKEQ TMCPVCLDRLKNMIFLCGHGTCQLCGDRMSECPICRKAIERRILLY >ENSMUSP00000034427.5 pep:known chromosome:GRCm38:8:111966908:111992302:-1 gene:ENSMUSG00000031949.17 transcript:ENSMUST00000034427.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adat1 description:adenosine deaminase, tRNA-specific 1 [Source:MGI Symbol;Acc:MGI:1353631] MWTADEIAQLCYAHYNVRLPKQGKPEPNREWTLLAAVVKIQASANQACDIPEKEVQVTKE VVSMGTGTKCIGQSKMRESGDILNDSHAEIIARRSFQRYLLHQLHLAAVLKEDSIFVPGT QRGLWRLRPDLSFVFFSSHTPCGDASIIPMLEFEEQPCCPVIRSWANNSPVQETENLEDS KDKRNCEDPASPVAKKMRLGTPARSLSNCVAHHGTQESGPVKPDVSSSDLTKEEPDAANG IASGSFRVVDVYRTGAKCVPGETGDLREPGAAYHQVGLLRVKPGRGDRTCSMSCSDKMAR WNVLGCQGALLMHFLEKPIYLSAVVIGKCPYSQEAMRRALTGRCEETLVLPRGFGVQELE IQQSGLLFEQSRCAVHRKRGDSPGRLVPCGAAISWSAVPQQPLDVTANGFPQGTTKKEIG SPRARSRISKVELFRSFQKLLSSIADDEQPDSIRVTKKLDTYQEYKDAASAYQEAWGALR RIQPFASWIRNPPDYHQFK >ENSMUSP00000117279.1 pep:known chromosome:GRCm38:8:111970865:111992302:-1 gene:ENSMUSG00000031949.17 transcript:ENSMUST00000139820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adat1 description:adenosine deaminase, tRNA-specific 1 [Source:MGI Symbol;Acc:MGI:1353631] MWTADEIAQLCYAHYNVRLPKQGKPEPNREWTLLAAVVKIQASANQACDIPEKEVQVTKE VVSMGTGTKCIGQSKMRESGDILNDSHAEIIARRSFQRYLLHQLHLAAVLKEDSIFVPGT QRGLWRLRPDLSFVFFSSHTPCGDASIIPMLEFEEQPCCPVIRSWANNSPVQETENLEDS KDKRNCEDPASPVAKKMRLGTPARSLSNCVAHHGTQESGPVKPDVSSSDLTKEEPDAANG IASGSFRVVDVYRTGAKCVPGETGDLREPGAAYHQVGLLRVKPGRGDRTCSMSCSDKMAR WNVLGCQGALLMHFLEKPIYLSAVVIGKCPYSQEAMRRALTGRCEETLVLPRGFGVQELE IQQSGLLFEQSRCAVHRKRGDSPGRLVPCGAAISWSAVPQQPLDVTANGFPQGTTKKEIG SPRARSRISKVELFRSFQKLLSSIADDEQPDSIR >ENSMUSP00000113201.1 pep:known chromosome:GRCm38:8:111971553:111990412:-1 gene:ENSMUSG00000031949.17 transcript:ENSMUST00000120457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adat1 description:adenosine deaminase, tRNA-specific 1 [Source:MGI Symbol;Acc:MGI:1353631] MWTADEIAQLCYAHYNVRLPKQGKPEPNREWTLLAAVVKIQASANQACDIPEKEVQVTKE VVSMGTGTKCIGQSKMRESGDILNDSHAEIIARRSFQRYLLHQLHLAAVLKEDSIFVPGT QRGLWRLRPDLSFVFFSSHTPCGDASIIPMLEFEEQPCCPVIRSWANNSPVQETENLEDS KDKRNCEDPASPVAKKMRLGTPARSLSNCVAHHGTQESGPVKPDVSSSDLTKEEPDAANG IASGSFRVVDVYRTGAKCVPGETGDLREPGAAYHQVGLLRVKPGRGDRTCSMSCSDKMAR WNVLGCQGALLMHFLEKPIYLSAVVIGKCPYSQEAMRRALTGRIIPEAAEQHCR >ENSMUSP00000062915.5 pep:known chromosome:GRCm38:5:86231481:86289308:-1 gene:ENSMUSG00000061184.8 transcript:ENSMUST00000059424.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss11c description:transmembrane protease, serine 11c [Source:MGI Symbol;Acc:MGI:3521861] MARGQPRRSEEQWTALQNRTECKTKIKLTRCGKITLGILTAVLAAVLIGLIAYFAACGKD SFYYHVSFKVNNIDYDSKFAKPYSQEYMDLNKRIVSLMNETFHESKLRKQYVKAHTVQVS KAKGKVVIHAVLKFKACYRNNVEKYWESVETTLYQKLKGQTGLLIDSSSFKFSDIAMPIA EDLLNTCCGRRTIIHRGHKVAGGQDAEEGEWPWQASLQQNSVHRCGATLISNYWLITAAH CFIRAANPKDWKVSFGFLLSKPQAPRAVKNIIIHENYSYPAHDNDIAVVRLSSPVLYESN IRRACLPEATQKFPPNSDVVVTGWGTLKSDGDSPNILQKGKVKIIDNKTCNSGKAYGGMI TPGMMCAGFLKGRVDACQGDSGGPLVSEDSKGIWFLAGIVSWGDECALPNKPGVYTRVTY YRDWITSKTGL >ENSMUSP00000142902.1 pep:known chromosome:GRCm38:5:86231752:86289260:-1 gene:ENSMUSG00000061184.8 transcript:ENSMUST00000196462.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss11c description:transmembrane protease, serine 11c [Source:MGI Symbol;Acc:MGI:3521861] MARGQPRRSEEQWTALQNRTECKTKIKLTRCGKITLGILTAVLAAVLIGLIAYFAACGKD SFYYHVSFKVNNIDYDSKFAKPYSQEYMDLNKRIVSLMNETFHESKLRKQYVKAHTVQVS KAKGKVVIHAVLKFKACYRNNVEKYWESVETTLYQKLKGQTGLLIDSSSFKFSGCGRRTI IHRGHKVAGGQDAEEGEWPWQASLQQNSVHRCGATLISNYWLITAAHCFIRAANPKDWKV SFGFLLSKPQAPRAVKNIIIHENYSYPAHDNDIAVVRLSSPVLYESNIRRACLPEATQKF PPNSDVVVTGWGTLKSDGDSPNILQKGKVKIIDNKTCNSGKAYGGMITPGMMCAGFLKGR VDACQGDSGGPLVSEDSKGIWFLAGIVSWGDECALPNKPGVYTRVTYYRDWITSKTGL >ENSMUSP00000097491.1 pep:known chromosome:GRCm38:2:86162003:86162947:-1 gene:ENSMUSG00000075201.1 transcript:ENSMUST00000099907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1043 description:olfactory receptor 1043 [Source:MGI Symbol;Acc:MGI:3030877] MAKSNHSVVTEFILLGLTEDPELQIILFVILLIIYLFSVMSNLGLVVLIQISPQLQSPMY FFLSHLAFVDFCYTSCVTPNALVNFLREIKSISFYGCAAQVCFFTTFSVCEVFLLSVMAY DRYVAICNPLLYVILMPRRLCIQIAITTYIYAFVTALIQTVTTFILSFCDSNLVNHFFCE DIPLMALACSNTQVKELLLLSMAGFNVCCSLLIVLISYLFIVSAILKKHSGEGRQKVFST CASHLSSIAIYYGTIIFMYLQPESSHSLNTDKFAAVFYVVVIPMLNPLIYSLRNTEVKNA LKKSIDNIPINISK >ENSMUSP00000106905.1 pep:known chromosome:GRCm38:2:92965151:93045571:-1 gene:ENSMUSG00000075028.11 transcript:ENSMUST00000111274.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm11 description:PR domain containing 11 [Source:MGI Symbol;Acc:MGI:2685553] MTENMKECLAHTKAAVGDMVTVVKTEVCSPLRDQEYGQPCSRRLEPSSMEVEPKKLKGKR DLIVTKSFQQVDFWFCESCQEYFVDECPNHGPPVFVSDTPVPVGIPDRAALTIPQGMEVV KDAGGESDVRCINEVIPKGHIFGPYEGQISTQDKSAGFFSWLIVDKNNRYKSIDGSDETK ANWMRYVVISREEREQNLLAFQHSERIYFRACRDIRPGERLRVWYSEDYMKRLHSMSQET IHRNLARGEKRLQREKAEQALENPEDLRGPTQFPVLKQGRSPYKRSFDEGDIHPQAKKKK IDLIFKDVLEASLESGNVEARQLALSTSLVIRKVPKYQDDDYGRAALTQGICRTPGEGDW KVPQRVAKELGPLEDEEEEPTSFKADSPAEASLASDPHELPTTSFCPNCIRLKKKVRELQ AELDMLKSGKLPEPSLLPPQVLELPEFSDPAGKFLRMRLLLKGRVCSATRAHCVEGGPER SALSQPPARRPEECNSAEAAPSWGGSPVPQVTEAGWLEDRGREGIACANLYILLVNKICP ICRRAVCCVLDLVFSLGGGAHCCLP >ENSMUSP00000106903.1 pep:known chromosome:GRCm38:2:92984707:93046053:-1 gene:ENSMUSG00000075028.11 transcript:ENSMUST00000111272.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm11 description:PR domain containing 11 [Source:MGI Symbol;Acc:MGI:2685553] MEVEPKKLKGKRDLIVTKSFQQVDFWFCESCQEYFVDECPNHGPPVFVSDTPVPVGIPDR AALTIPQGMEVVKDAGGESDVRCINEVIPKGHIFGPYEGQISTQDKSAGFFSWLIVDKNN RYKSIDGSDETKANWMRNVLPLAERKRKPKFSKEELDILVTEVTRHEAVLFGRETMRLSH ADRDKIWEGIARKITSVSQVPRSIKDIKHRWDDMKRRTKDKLAFMRQSLSGPGIGGHTYP IMLAAHERAIEAALLTTRAGHGFPRAELDGTDSPSTSYDEDEEAPGPSQQPLRMPLLRPP EEEAPLARPTLLYSSSSDPSKMLDPKPEVLPHPSLQARRTPEPHPSSPTLGLDWHLLHAH AHQTEMFQKFCQELMTVHRDMAGSMHVISQAMAELNSRVGQMCETLMEIRDAVQASQRGP EGAAPMDHTFQSQAPLLGPTPAPPEPAPIRTTRSRKRKHNF >ENSMUSP00000122809.1 pep:known chromosome:GRCm38:2:93012627:93046167:-1 gene:ENSMUSG00000075028.11 transcript:ENSMUST00000147339.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm11 description:PR domain containing 11 [Source:MGI Symbol;Acc:MGI:2685553] MTENMKECLAHTKAAVGDMVTVVKTEVCSPLRDQEYGQPCSRRLEPSSMEVEPKKLKGKR DLIVTKSFQQVDFWFCESCQEYFVDECPNHGPPVFVSDTPVPVGIPDRAALTIPQGMEVV KDAGGESDVRCINEVIPKGHIFGPYEGQISTQDKSAGFFSWL >ENSMUSP00000136795.1 pep:known chromosome:GRCm38:2:92974906:93046144:-1 gene:ENSMUSG00000075028.11 transcript:ENSMUST00000178666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm11 description:PR domain containing 11 [Source:MGI Symbol;Acc:MGI:2685553] MTENMKECLAHTKAAVGDMVTVVKTEVCSPLRDQEYGQPCSRRLEPSSMEVEPKKLKGKR DLIVTKSFQQVDFWFCESCQEYFVDECPNHGPPVFVSDTPVPVGIPDRAALTIPQGMEVV KDAGGESDVRCINEVIPKGHIFGPYEGQISTQDKSAGFFSWLIVDKNNRYKSIDGSDETK ANWMRYVVISREEREQNLLAFQHSERIYFRACRDIRPGERLRVWYSEDYMKRLHSMSQET IHRNLARGEKRLQREKAEQALENPEDLRGPTQFPVLKQGRSPYKRSFDEGDIHPQAKKKK IDLIFKDVLEASLESGNVEARQLALSTSLVIRKVPKYQDDDYGRAALTQGICRTPGEGDW KVPQRVAKELGPLEDEEEEPTSFKADSPAEASLASDPHELPTTSFCPNCIRLKKKVRELQ AELDMLKSGKLPEPSLLPPQVLELPEFSDPAGKFLRMRLLLKGRVCSATRAHCVEGGPER SALSQPPARRPEECNSAEAAPSWGGSPVPQVTEAGWLEDRGREGIACANLYILLVNKICP ICRRAVCCVLDLVFSLGGGAHCCLP >ENSMUSP00000097490.1 pep:known chromosome:GRCm38:2:86170871:86171815:-1 gene:ENSMUSG00000075200.1 transcript:ENSMUST00000099906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1044 description:olfactory receptor 1044 [Source:MGI Symbol;Acc:MGI:3030878] MAQINCTQVTEFILVGLTDREELKMPLFVVFLSIYLFTTLGNLGLILVIRTDARLHTPMY FFLSNLAFVDFCYSSVITPKMLGNFLYKQNMISFNACAAQLGCFLAFMTAECLLLASMAY DRYVAICNPLLYMVLMSPGICFQLVAAPYSYSFLVALFHAILTFRLCYCHSNAINHFYCD DMPLLRLTCSDTHSKQLWIFVCAGIMFISSLLIVFISYTFIISAILRMRSAEGRRKAFST CGSHMLAVTIFYGTLIFMYLQPSSNHSLDTDKMASVFYTVIIPMLNPLIYSLRNKEVKDA LKKLIASKNQMLSS >ENSMUSP00000002737.6 pep:known chromosome:GRCm38:17:56997343:56999322:1 gene:ENSMUSG00000002661.14 transcript:ENSMUST00000002737.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh7 description:alkB homolog 7 [Source:MGI Symbol;Acc:MGI:1913650] MAGSRRLAMRLLSGCAWVRGSDSAVLGRLRDEAVVHPGFLSQEEEDTLTRELEPQLRRRR YEYDHWDAAIHGFRETEKSCWSDASQVILQRVRAAAFGPDQSLLSPVHVLDLEPRGYIKP HVDSVKFCGSTIAGLSLLSPSVMKLVHTQEPEQWLELLLEPGSLYILRGSARYDFSHEIL RDEESFFGEHRVPRGRRISVICRSLPEGMGPGRPEEPPPAC >ENSMUSP00000073775.7 pep:known chromosome:GRCm38:17:56997339:56999311:1 gene:ENSMUSG00000002661.14 transcript:ENSMUST00000074141.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh7 description:alkB homolog 7 [Source:MGI Symbol;Acc:MGI:1913650] MAGSRRLAMRLLSGCAWVRGSDSAVLGRLRDEAVVHPGFLSQEEEDTLTRELEPQLRRRR YEYDHWDAFCGSTIAGLSLLSPSVMKLVHTQEPEQWLELLLEPGSLYILRGSARYDFSHE ILRDEESFFGEHRVPRGRRISVICRSLPEGMGPGRPEEPPPAC >ENSMUSP00000036731.7 pep:known chromosome:GRCm38:16:10192712:10243051:1 gene:ENSMUSG00000039200.15 transcript:ENSMUST00000044005.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip2 description:activating transcription factor 7 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1922579] MESPDRKRQKVLKAKKTMPTSYQKQLEILNKSTNVEAPKTTVGTNIPNGHNQKMFSKNKE NVKVMKVSEQINENACGALERHTALLEQVKHWIRQEICMINCNLFDKKLNELNERIGKTQ CKSRHEAIAGELFVKIRRLQKRIKTVLSSQRNCLEPNTLPSNTVCKVTDSEAMNLNVTQK SVKSRSKRISSVNHTPLNSSEKAGRKTNLPSTCVEFASESNTDDVMLISVKNSNLTTSIT SEQTEIRKNTSRNLSNSPNSMIKVGPVEKKFDFVIDLTREGPSNYSIESPSFTLKSTSKA VLRSKEIIPVAENGNEGFGSFEHLPPLPEPPAPLPEMADKIKDTLPPQKPELKVKWVLRP TSIALTWNIPKVNPNCAPVESYHLFLYYENSDHLTWKKIAEIKALPLPMACTLSQNLAST KYYFAVQSKDIFGRYGPFCNIKSIPRFSENLT >ENSMUSP00000113480.1 pep:known chromosome:GRCm38:16:10192906:10237288:1 gene:ENSMUSG00000039200.15 transcript:ENSMUST00000119023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip2 description:activating transcription factor 7 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1922579] MESPDRKRQKVLKAKKTMPTSYQKQLEILNKSTNVEAPKTTVGTNIPNGHNQKMFSKNKE NVKVMKVSEQINENACGALERHTALLEQVKHWIRQEICMINCNLFDKKLNELNERIGKTQ CKSRHEAIAGELFVKIRRLQKRIKTVLSSQRNCLEPNTLPSNTVCKVTDSEAMNLNVTQK SVKSRSKRISSVNHTPLNSSEKAGRKTNLPSTCVEFASESNTDDVMLISVKNSNLTTSIT SEQTEIRKNTSRNLSNSPNSMIKVGPVEKKFDFVIDLTREGPSNYSIGKGCFYIFLSKCM >ENSMUSP00000113573.1 pep:known chromosome:GRCm38:16:10192922:10240814:1 gene:ENSMUSG00000039200.15 transcript:ENSMUST00000117220.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip2 description:activating transcription factor 7 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1922579] MESPDRKRQKVLKAKKTMPTSYQKQLEILNKSTNVEAPKTTVGTNIPNGHNQKMFSKNKE NVKVMKVSEQINENACGALERHTALLEQVKHWIRQEICMINCNLFDKKLNELNERIGKTQ CKSRHEAIAGELFVKIRRLQKRIKTVLSSQRNCLEPNTLPSNTVCKVTDSEAMNLNVTQK SVKSRSKRISSVNHTPLNSSEKAGRKTNLPSTCVEFASESNTDDVMLISVKNSNLTTSIT SEQTEIRKNTSRNLSNSPNSMIKVGPVEKKFDFVIDLTREGPSNYSIESPSFTLKSTSKA VLRSKEIIPVAENGNEVLT >ENSMUSP00000097766.3 pep:known chromosome:GRCm38:16:10192926:10227143:1 gene:ENSMUSG00000039200.15 transcript:ENSMUST00000100191.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf7ip2 description:activating transcription factor 7 interacting protein 2 [Source:MGI Symbol;Acc:MGI:1922579] MESPDRKRQKVLKAKKTMPTSYQKQLEILNKSTNVEAPKTTVGTNIPNGHNQKMFSKNKE NVKVMKVSEQINENACGALERHTALLEQVKHWIRQEICMINCNLFDKKLNELNERIGKTQ CKSRHEAIAGELFVKIRRLQKRIKTVLSSQRNCLEPNTLPSNTVCKVTDSEAMNLNVTQK SVKSRSKRISSVNHTPLNSSEKAAGFENCGQSPDQFLVPNNSLLT >ENSMUSP00000050464.8 pep:known chromosome:GRCm38:4:96568429:96591578:-1 gene:ENSMUSG00000015224.10 transcript:ENSMUST00000055693.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j9 description:cytochrome P450, family 2, subfamily j, polypeptide 9 [Source:MGI Symbol;Acc:MGI:1921769] MLAAAGSLVAAIWAALHLRILLLSAVTFLFLADFLKNRRPKNYPPGPMRLPFVGCLFHLD PKQPHLSLQQFVKKYGNVLSLDFANIPSVVITGMPLIKEIFTQMEHNFMNRPVTLLRKHL FNKNGLIFSSDQTWKEQRRFALMTLRNFGLGKRSLEERIQEEAHYLVEAIRDEGGQPFDP HFNISNAVSNIICSITFGERFDYHDSQFQEMLRLLDEAMCLESSMMCQLYNIFPRILQYL PGSHQTLFRNWRKLQLFVSDIVNNHRRDWDPDEPRDFIDAFLTEMTKYPDKTTTSFNEEN LICSTLDLFFAGTETTSTTLRWALLCMALYPEVQEKMQAEIDRVIGQARQPNLADRDSMP YTNAVIHEVQRMGNIIPFNVPREVAVDTQLAGFNLPKGIMVLSNLTALHRDPSEWTTPDT FNPEHFLENGQFKKRESFLPFSMGKRACLGEQLARSELFIFITTLFQKFTFKPPVNEKLS LQFRMAATVSPVSHRLCAIPRL >ENSMUSP00000028035.7 pep:known chromosome:GRCm38:1:161070713:161086720:1 gene:ENSMUSG00000026708.16 transcript:ENSMUST00000028035.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpl description:centromere protein L [Source:MGI Symbol;Acc:MGI:1917704] MDSCDFRGLTSRRRTSNLKNYFVGATPLQKRLELVRRQNSDFPSPSRRKIPQCSQLQEDI DPQKVAFLLHKQWTIYSLTPLYKFSYSNLKDYSRLLSAFIVAEKQKGVAVEVGEDFNIKV IFSTLLGVKGTQRDHEAFLVQILSKSQSSREHREDKVLWTGWFCCVFGESLQETVSEDFT CLPLFLANGAESNTSLIRDWFQKTFDCCFSPLAISAFNLSWMAAMWTACKMDRYMATTEF LWSVPCSPQSLDISYAIHPEDAKALWESVHKTPGEVTQEEVDLFMNCLYSHFHRHFKIHL AATRLVRVSTSVASAHTDGKIKVSLNRSN >ENSMUSP00000107247.3 pep:known chromosome:GRCm38:1:161070767:161086338:1 gene:ENSMUSG00000026708.16 transcript:ENSMUST00000111620.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpl description:centromere protein L [Source:MGI Symbol;Acc:MGI:1917704] MDSCDFRGLTSRRRTSNLKNYFVGATPLQKRLELVRRQNSDFPSPSRRKIPQCSQLQEDI DPQKVAFLLHKQWTIYSLTPLYKFSYSNLKDYSRLLSAFIVAEKQKGVAVEVGEDFNIKV IFSTLLGVKGTQRDHEAFLVQILSKSQSSREHREDKVLWTGWFCCVFGESLQETVSEDFT CLPLFLANGAESNTSLIRDWFQKTFDCCFSPLAISAFNLSWMAAMWTACKMDRYMATTEF LWSVPCSPQSLDISYAIHPEDAKALWESVHKTPGEVTQEEVDLFMNCLYSHFHRHFKIHL AATRLVRVSTSVASAHTDGKIKILCHKYLIGVLAYMTELAIFQIE >ENSMUSP00000107245.1 pep:known chromosome:GRCm38:1:161070767:161086338:1 gene:ENSMUSG00000026708.16 transcript:ENSMUST00000111618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpl description:centromere protein L [Source:MGI Symbol;Acc:MGI:1917704] MDSCDFRGLTSRRRTSNLKNYFVGATPLQKRLELVRRQNSDFPSPSRRKIPQCSQLQEDI DPQKVAFLLHKQWTIYSLTPLYKFSYSNLKDYSRLLSAFIVAEKQKGVAVEVGEDFNIKV IFSTLLGVKGTQRDHEAFLVQILSKSQSSREHREDKVLWTGWFCCVFGESLQETVSEDFT CLPLFLANGAESNTSLIRDWFQKTFDCCFSPLAISAFNLSWMAAMWTACKMDRYMATTEF LWSVPCSPQSLDISYAIHPEDAKALWESVHKTPGEVTQEEVDLFMNCLYSHFHRHFKIHL AATRLVRVSTSVASAHTDGKIKILCHKYLIGVLAYMTELAIFQIE >ENSMUSP00000141428.1 pep:known chromosome:GRCm38:1:161070892:161086324:1 gene:ENSMUSG00000026708.16 transcript:ENSMUST00000192850.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpl description:centromere protein L [Source:MGI Symbol;Acc:MGI:1917704] MDSCDFRGLTSRRRTSNLKNYFVGATPLQKRLELVRRQNSDFPSPSRRKIPQCSQLQEDI DPQKVAFLLHKQWTIYSLTPLYKFSYSNLKDYSRLLSAFIVAEKQKGVAVEVGEDFNIKV IFSTLLGVKGTQRDHEAFLVQAYLPRVRGLSHISQ >ENSMUSP00000141326.1 pep:known chromosome:GRCm38:1:161070950:161086324:1 gene:ENSMUSG00000026708.16 transcript:ENSMUST00000192150.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpl description:centromere protein L [Source:MGI Symbol;Acc:MGI:1917704] MDSCDFRGLTSRRRTSNLKNYFVGATPLQKRLELVRRQNSDFPSPSRRKIPQCSQLQTIL DF >ENSMUSP00000138058.1 pep:known chromosome:GRCm38:1:161070966:161086724:1 gene:ENSMUSG00000026708.16 transcript:ENSMUST00000143486.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpl description:centromere protein L [Source:MGI Symbol;Acc:MGI:1917704] MDSCDFRGLTSRRRTSNLKNYFVGATPLQKRLELVRRQNSDFPSPSRRKIPQCSQLQTIL DF >ENSMUSP00000141872.1 pep:known chromosome:GRCm38:1:161070985:161083470:1 gene:ENSMUSG00000026708.16 transcript:ENSMUST00000194855.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpl description:centromere protein L [Source:MGI Symbol;Acc:MGI:1917704] MDSCDFRGLTSRRRTSNLKNYFVGATPLQKRLELVRRQNSDFPSPSRRKIPQCSQLQEDI DPQKVAFLLHKQWTIYSLTPLYKFSYSNLKDYSRLLSAFIVAEKQKGVAVEVGEDFNIKV IFSTLLGVKGTQRDHEAFLVQAYLPRVRGLSHISQ >ENSMUSP00000142254.1 pep:known chromosome:GRCm38:1:161071016:161086338:1 gene:ENSMUSG00000026708.16 transcript:ENSMUST00000195571.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpl description:centromere protein L [Source:MGI Symbol;Acc:MGI:1917704] MDSCDFRGLTSRRRTSNLKNYFVGATPLQKRLELVRRQNSDFPSPSRRKIPQCSQLQEDI DPQKVAFLLHKQWTIYSLTPLYKFSYSNLKDYSRLLSAFIVAEKQKGVAVEVGEDFNIKV IFSTLLGVKGTQRDHEAFLVQAYLPRVRGLSHISQ >ENSMUSP00000030340.8 pep:known chromosome:GRCm38:4:108043839:108118532:-1 gene:ENSMUSG00000028603.15 transcript:ENSMUST00000030340.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scp2 description:sterol carrier protein 2, liver [Source:MGI Symbol;Acc:MGI:98254] MPSVALKSPRLRRVFVVGVGMTKFMKPGGENSRDYPDMAKEAGQKALEDAQIPYSAVEQA CVGYVYGDSTSGQRAIYHSLGLTGIPIINVNNNCSTGSTALFMAHQLIQGGLANCVLALG FEKMERGSIGTKFSDRTTPTDKHIEVLIDKYGLSAHPITPQMFGYAGKEHMEKYGTKVEH FAKIGWKNHKHSVNNTYSQFQDEYSLEEVMKSKPVFDFLTILQCCPTSDGAAAAILSSEE FVQQYGLQSKAVEIVAQEMMTDLPSTFEEKSIIKVVGYDMSKEAARRCYEKSGLTPNDVD VIELHDCFSVNELITYEALGLCPEGQGGTLVDRGDNTYGGKWVINPSGGLISKGHPLGAT GLAQCAELCWQLRGEAGKRQVPGAKVALQHNLGLGGAVVVTLYRMGFPEAASSFRTHQVS AAPTSSAGDGFKANLVFKEIEKKLEEEGEQFVKKIGGIFAFKVKDGPGGKEATWVVDVKN GKGSVLPNSDKKADCTITMADSDLLALMTGKMNPQSAFFQGKLKIAGNMGLAMKLQNLQL QPGKAKL >ENSMUSP00000102312.1 pep:known chromosome:GRCm38:4:108044550:108071419:-1 gene:ENSMUSG00000028603.15 transcript:ENSMUST00000106701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scp2 description:sterol carrier protein 2, liver [Source:MGI Symbol;Acc:MGI:98254] MGFPEAASSFRTHQVSAAPTSSAGDGFKANLVFKEIEKKLEEEGEQFVKKIGGIFAFKVK DGPGGKEATWVVDVKNGKGSVLPNSDKKADCTITMADSDLLALMTGKMNPQSAFFQGKLK IAGNMGLAMKLQNLQLQPGKAKL >ENSMUSP00000121673.1 pep:known chromosome:GRCm38:4:108087012:108118504:-1 gene:ENSMUSG00000028603.15 transcript:ENSMUST00000149106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scp2 description:sterol carrier protein 2, liver [Source:MGI Symbol;Acc:MGI:98254] MPSVALKSPRLRRVFVVGVGMTKFMKPGGENSRDYPDMAKEAGQKALEDAQIPYSAVEQA CVGYVYGLANCVLALGFEKMERGSIGTKFSDRTTPTDKHIEVLIDKYGLSAHPITPQMFG YAGKEHMEKYGTKVEHFAKIGWKNHKHSVNNTYSQFQDEYSLEEVMKSKPVFDFLTILQC CPTSDGAAAAILSSEEFVQQYGLQSKAVEIVAQEMMTDLPSTFEEKSIIKV >ENSMUSP00000123630.1 pep:known chromosome:GRCm38:4:108102309:108144998:-1 gene:ENSMUSG00000028603.15 transcript:ENSMUST00000130776.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scp2 description:sterol carrier protein 2, liver [Source:MGI Symbol;Acc:MGI:98254] MDQTGLWFMKPGGENSRDYPDMAKEAGQKALEDAQIPYSAVEQACVGYVYGDSTSGQRAI YHSLGLTGIPIINVNNNCSTGSTALFMAHQLIQGGLANCVLALGFEKMER >ENSMUSP00000138342.1 pep:known chromosome:GRCm38:11:83645046:83645621:-1 gene:ENSMUSG00000081906.2 transcript:ENSMUST00000182502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl9-ps1 description:ribosomal protein L9, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3649210] MKTILSNQTVNIPENVKITLKGRTVIMKGPRGTLQRDFNHINVELSLLGKKKKRLRVDKW WGNRKELATIRNICSHVQNMIKSVTLGFRYKMRSMYAHFPINVLSRRMTLWLKSEISWVK IHRRVQMRTGVACSVSQAQKNELILEGNDIELVSNSATLIQQATTVKNKDIRKCLDGIYV SEKGTVQQADE >ENSMUSP00000140624.1 pep:known chromosome:GRCm38:1:144242296:144249242:-1 gene:ENSMUSG00000026358.13 transcript:ENSMUST00000189061.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs1 description:regulator of G-protein signaling 1 [Source:MGI Symbol;Acc:MGI:1354694] MRAAAISMPRLNKMPGMFFSASPKDSKEHSHSLLDDKKQKKRPKTFGMDVKTYLRSMIPH LESGMKSAKSKDILSAEEVMQWSQSLEKLLANQTGQNVFGRFLKSEFSEENIEFWLACED YKKTETDLLHNKAENIYKAFVHSDAVKQINIDFHTRESTAKKIKTPTPTSFDEAQKVIYS LMEKDSYPRFLKSNIYLNLLNDLQANTLK >ENSMUSP00000139778.1 pep:known chromosome:GRCm38:1:144243972:144249059:-1 gene:ENSMUSG00000026358.13 transcript:ENSMUST00000167317.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgs1 description:regulator of G-protein signaling 1 [Source:MGI Symbol;Acc:MGI:1354694] MRAAAISMPRLNKMPGMFFSASPKDSKEHSHSLLDDKKQKKRPKTL >ENSMUSP00000130339.2 pep:known chromosome:GRCm38:1:144244595:144249059:-1 gene:ENSMUSG00000026358.13 transcript:ENSMUST00000172388.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgs1 description:regulator of G-protein signaling 1 [Source:MGI Symbol;Acc:MGI:1354694] MRAAAISMPRLNKMPGMFFSASPKDSKEHSHSLLDDKKQKKRPKTFGMDVKTYLRSMIPH LESGMKSAKSKDMYVLNILKYSKCLSILEANCCLQMILILHVSRHASLLL >ENSMUSP00000140300.1 pep:known chromosome:GRCm38:1:144244673:144249027:-1 gene:ENSMUSG00000026358.13 transcript:ENSMUST00000167812.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgs1 description:regulator of G-protein signaling 1 [Source:MGI Symbol;Acc:MGI:1354694] XAISMPRLNKMPGMFFSASPKDSKEHSHSLLDDKKQKKRPKTL >ENSMUSP00000126270.1 pep:known chromosome:GRCm38:1:144244673:144249057:-1 gene:ENSMUSG00000026358.13 transcript:ENSMUST00000169409.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgs1 description:regulator of G-protein signaling 1 [Source:MGI Symbol;Acc:MGI:1354694] MRAAAISMPRLNKMPGMFFSASPKDSKEHSHSLLDDKKQKKRPKTL >ENSMUSP00000140902.1 pep:known chromosome:GRCm38:1:144245463:144249058:-1 gene:ENSMUSG00000026358.13 transcript:ENSMUST00000185714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs1 description:regulator of G-protein signaling 1 [Source:MGI Symbol;Acc:MGI:1354694] MRAAAISMPRLNKMPGMFFSASPKDSKEHSHSLLDDKKQKKRPKTLLSAEEVMQWSQSLE KLLANQTGQNVFGRFLKSEFSEENIEFWLACEDYKKTETDLLHNKAENIYKAFVHSDAVK QINIDFHTR >ENSMUSP00000002976.3 pep:known chromosome:GRCm38:6:120463247:120487559:1 gene:ENSMUSG00000002897.5 transcript:ENSMUST00000002976.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17ra description:interleukin 17 receptor A [Source:MGI Symbol;Acc:MGI:107399] MAIRRCWPRVVPGPALGWLLLLLNVLAPGRASPRLLDFPAPVCAQEGLSCRVKNSTCLDD SWIHPKNLTPSSPKNIYINLSVSSTQHGELVPVLHVEWTLQTDASILYLEGAELSVLQLN TNERLCVKFQFLSMLQHHRKRWRFSFSHFVVDPGQEYEVTVHHLPKPIPDGDPNHKSKII FVPDCEDSKMKMTTSCVSSGSLWDPNITVETLDTQHLRVDFTLWNESTPYQVLLESFSDS ENHSCFDVVKQIFAPRQEEFHQRANVTFTLSKFHWCCHHHVQVQPFFSSCLNDCLRHAVT VPCPVISNTTVPKPVADYIPLWVYGLITLIAILLVGSVIVLIICMTWRLSGADQEKHGDD SKINGILPVADLTPPPLRPRKVWIVYSADHPLYVEVVLKFAQFLITACGTEVALDLLEEQ VISEVGVMTWVSRQKQEMVESNSKIIILCSRGTQAKWKAILGWAEPAVQLRCDHWKPAGD LFTAAMNMILPDFKRPACFGTYVVCYFSGICSERDVPDLFNITSRYPLMDRFEEVYFRIQ DLEMFEPGRMHHVRELTGDNYLQSPSGRQLKEAVLRFQEWQTQCPDWFERENLCLADGQD LPSLDEEVFEDPLLPPGGGIVKQQPLVRELPSDGCLVVDVCVSEEESRMAKLDPQLWPQR ELVAHTLQSMVLPAEQVPAAHVVEPLHLPDGSGAAAQLPMTEDSEACPLLGVQRNSILCL PVDSDDLPLCSTPMMSPDHLQGDAREQLESLMLSVLQQSLSGQPLESWPRPEVVLEGCTP SEEEQRQSVQSDQGYISRSSPQPPEWLTEEEELELGEPVESLSPEELRSLRKLQRQLFFW ELEKNPGWNSLEPRRPTPEEQNPS >ENSMUSP00000137801.1 pep:known chromosome:GRCm38:1:187215511:187349640:1 gene:ENSMUSG00000039210.16 transcript:ENSMUST00000160481.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpatch2 description:G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:1915019] MFGADGRPAIGTAAGKSWHFSRTMEELVHDLVSALEESSEQARGGFAETGEHSRNLSCPL KRQARKRRGRKRRSYNVHHPWETGHCLSEGSDSSLEEPSKDYREKHSNNKKDRSDSDDQM LVAKRRPSSNLSSSVRGKRLLWHESDFAVDSLGNRTLRRRRKVKRMAVDLPQDVSSKRTM TQLPEGCRDQDMDNDRASQYPEFTRKKVKKRKLKGIRPGPKTQEEGGVLESEERSQPNKD RMEYEEQKASDELRSESDTSSLSSTDAGLFTNDEGRQGDDEQSDWFYEKESGGACGIAGV VPWWEKDEPAELDTNLPDPVFESILSGSFPLMSHPGRGGFQARLSRLHGTPSKNIKKSSG APPSMLSAPGPGSNKRMVHFSPDAHRHET >ENSMUSP00000106568.2 pep:known chromosome:GRCm38:1:187215522:187351704:1 gene:ENSMUSG00000039210.16 transcript:ENSMUST00000110943.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch2 description:G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:1915019] MFGADGRPAIGTAAGKSWHFSRTMEELVHDLVSALEESSEQARGGFAETGEHSRNLSCPL KRQARKRRGRKRRSYNVHHPWETGHCLSEGSDSSLEEPSKDYREKHSNNKKDRSDSDDQM LVAKRRPSSNLSSSVRGKRLLWHESDFAVDSLGNRTLRRRRKVKRMAVDLPQDVSSKRTM TQLPEGCRDQDMDNDRASQYPEFTRKKVKKRKLKGIRPGPKTQEEGGVLESEERSQPNKD RMEYEEQKASDELRSESDTSSLSSTDAGLFTNDEGRQGDDEQSDWFYEKESGGACGIAGV VPWWEKDEPAELDTNLPDPVFESILSGSFPLMSHPGRGGFQARLSRLHGTPSKNIKKSSG APPSMLSAPGPGSNKRMVHFSPDAHRHEQTSMHLGSLCTGDIKRRRKAAPLPGPTAAGIV GENAQPILESNIGNRMLQSMGWTPGSGLGRDGRGIAEPVQAVQRPKGLGLGFPLPKSSPT SPAPTSGNPA >ENSMUSP00000048979.5 pep:known chromosome:GRCm38:1:187215544:187234417:1 gene:ENSMUSG00000039210.16 transcript:ENSMUST00000044812.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch2 description:G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:1915019] MFGADGRPAIGTAAGKSWHFSRTMEELVHDLVSALEESSEQARGGFAETGEHSRNLSCPL KRQARKRRGRKRRSYNVHHPWETGHCLSEGSDSSLEEPSKDYREKHSNNKKDRSDSDDQM LVAKRRPSSNLSSSVRGKRLLWHESDFAVDSLGNRTLRRRRKVKRMAVDLPQDVSSKRTM TQLPEGCRDQDMDNDRASQYPEFTRKKVKKRKLKGIRPGPKTQEEGGVLESEERSQPNKD RMEYEEQKASDELRSESDTSSLSSTDAGLFTNDEGRQGDDEQSDWFYEKESGGACGIAGV VPWWEKDEPAELDTNLPDPVFESILSGSFPLMSHPGRGGFQARLSRLHGTPSKNIKKSSG APPSMATNWTSEIPL >ENSMUSP00000137858.1 pep:known chromosome:GRCm38:1:187215546:187233901:1 gene:ENSMUSG00000039210.16 transcript:ENSMUST00000159748.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpatch2 description:G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:1915019] MFGADGRPAIGTAAGKSWHFSRTMEELVHDLVSALEESSEQARGGFAETGEHSRNLSCPL KRQARKRRGRKRRSYNVHHPWETGHCLSEGSDSSLEEPSKDYREKHSNNKKDRSDSDDQM LVAKRRPSSNLSSSVRGKRLLWHESDFAVDSLGNRTLRRRRKVKRMAVDLPQDVSSKRTM TQLPEGCRDQDMDNDRASQYPEFTRKKVKKRKLKGIRPGPKTQEEGGVLESEERSQPNKD RMEYEEQKASDELRSESDTSSLSSTDAGLFTNDEGRQVSILILRDFTIRSPLKGTVIVYE >ENSMUSP00000124407.1 pep:known chromosome:GRCm38:1:187215743:187350723:1 gene:ENSMUSG00000039210.16 transcript:ENSMUST00000160471.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch2 description:G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:1915019] MEELVHDLVSALEESSEQARGGFAETGEHSRNLSCPLKRQARKRRGRKRRSYNVHHPWET GHCLSEGSDSSLEEPSKDYREKHSNNKKDRSDSDDQMLVAKRRPSSNLSSSVRGKRLLWH ESDFAVDSLGNRTLRRRRKVKRMAVDLPQDVSSKRTMTQLPEGCRDQDMDNDRASQYPEF TRKKVKKRKLKGIRPGPKTQEEGGVLESEERSQPNKDRMEYEEQKASDELRSESDTSSLS STDAGLFTNDEGRQGDDEQSDWFYEKESGGACGIAGVVPWWEKDEPAELDTNLPDPVFES ILSGSFPLMSHPGRGGFQARLSRLHGTPSKNIKKSSGAPPSMLSAPGPGSNKRMVHFSPD AHRHDHWFSPGARTEHGQHQLLRDNRAERGHKKSCSLKTASRQTSMHLGSLCTGDIKRRR KAAPLPGPTAAGIVGENAQPILESNIGNRMLQSMGWTPGSGLGRDGRGIAEPVQAVQRPK GLGLGFPLPKSSPTSPAPTSGNPA >ENSMUSP00000125750.1 pep:known chromosome:GRCm38:1:187226180:187351427:1 gene:ENSMUSG00000039210.16 transcript:ENSMUST00000160570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch2 description:G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:1915019] MSHPGRGGFQARLSRLHGTPSKNIKKSSGAPPSMLSAPGPGSNKRMVHFSPDAHRHDHWF SPGARTEHGQHQLLRDNRAERGHKKSCSLKTASRQTSMHLGSLCTGDIKRRRKAAPLPGP TAAGIVGENAQPILESNIGNRMLQSMGWTPGSGLGRDGRGIAEPVQAVQRPKGLGLGFPL PKSSPTSPAPTSGNPA >ENSMUSP00000065009.7 pep:known chromosome:GRCm38:1:187215511:187351427:1 gene:ENSMUSG00000039210.16 transcript:ENSMUST00000065573.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch2 description:G patch domain containing 2 [Source:MGI Symbol;Acc:MGI:1915019] MFGADGRPAIGTAAGKSWHFSRTMEELVHDLVSALEESSEQARGGFAETGEHSRNLSCPL KRQARKRRGRKRRSYNVHHPWETGHCLSEGSDSSLEEPSKDYREKHSNNKKDRSDSDDQM LVAKRRPSSNLSSSVRGKRLLWHESDFAVDSLGNRTLRRRRKVKRMAVDLPQDVSSKRTM TQLPEGCRDQDMDNDRASQYPEFTRKKVKKRKLKGIRPGPKTQEEGGVLESEERSQPNKD RMEYEEQKASDELRSESDTSSLSSTDAGLFTNDEGRQGDDEQSDWFYEKESGGACGIAGV VPWWEKDEPAELDTNLPDPVFESILSGSFPLMSHPGRGGFQARLSRLHGTPSKNIKKSSG APPSMLSAPGPGSNKRMVHFSPDAHRHDHWFSPGARTEHGQHQLLRDNRAERGHKKSCSL KTASRQTSMHLGSLCTGDIKRRRKAAPLPGPTAAGIVGENAQPILESNIGNRMLQSMGWT PGSGLGRDGRGIAEPVQAVQRPKGLGLGFPLPKSSPTSPAPTSGNPA >ENSMUSP00000138417.1 pep:known chromosome:GRCm38:17:26414829:26441795:1 gene:ENSMUSG00000034413.14 transcript:ENSMUST00000182897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl1b description:neuralized E3 ubiquitin protein ligase 1B [Source:MGI Symbol;Acc:MGI:3643092] MGNTVHRTLPESTFADTLTPLRLGQARLSACPPPGSHDAANFDNNELENNQVVAKLGHLA LGRPDAAVPCVARERPRPASSPALLDAELRFHATRGPDVSLSADRRLACAPRPDGGRTLV FSERPLRPGESLCVEVGRPGLAAPAAVAFGITSCDPGALRPSELPADPAALLDRKEYWVV ARAGPVPSGGDALSFTLRPGGDVLLAVNGRPRGRLLCVDTSQALWAFFAVRGGVAGQLRL LGTLQSSSETMTPSGSFSGSQDDSDSDMTFGVNQSSSASESSLVTAPSSPLSPPVSPAFS APEPTGSRNGECTVCFDSEVDTVIYTCGHMCLCHGCGLRLRRQARACCPICRRPIKDVIK IYRP >ENSMUSP00000138178.1 pep:known chromosome:GRCm38:17:26414829:26441795:1 gene:ENSMUSG00000034413.14 transcript:ENSMUST00000183077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl1b description:neuralized E3 ubiquitin protein ligase 1B [Source:MGI Symbol;Acc:MGI:3643092] MGNTVHRTLPDSSPPARLLATRPCYGPGPERRAVLGEAPRFHAQAKGKNVRLDGHSRRAT RRNSFCNGVTFTQRPIRLYEQVRLRLVAVRPGWSGALRFGFTAHDPSLMSAQDIPKYACP DLVTRPGYWAKALPENLALRDTVLAYWADRHGRVFYSVNDGEPVLFHCGVAVGGPLWALI DVYGITDEVQLLGTLQSSSETMTPSGSFSGSQDDSDSDMTFGVNQSSSASESSLVTAPSS PLSPPVSPAFSAPEPTGSRNGECTVCFDSEVDTVIYTCGHMCLCHGCGLRLRRQARACCP ICRRPIKDVIKIYRP >ENSMUSP00000051481.6 pep:known chromosome:GRCm38:17:26414965:26446349:1 gene:ENSMUSG00000034413.14 transcript:ENSMUST00000053020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl1b description:neuralized E3 ubiquitin protein ligase 1B [Source:MGI Symbol;Acc:MGI:3643092] MGNTVHRTLPDSSPPARLLATRPCYGPGPERRAVLGEAPRFHAQAKGKNVRLDGHSRRAT RRNSFCNGVTFTQRPIRLYEQVRLRLVAVRPGWSGALRFGFTAHDPSLMSAQDIPKYACP DLVTRPGYWAKALPENLALRDTVLAYWADRHGRVFYSVNDGEPVLFHCGVAVGGPLWALI DVYGITDEVQLLESTFADTLTPLRLGQARLSACPPPGSHDAANFDNNELENNQVVAKLGH LALGRPDAAVPCVARERPRPASSPALLDAELRFHATRGPDVSLSADRRLACAPRPDGGRT LVFSERPLRPGESLCVEVGRPGLAAPAAVAFGITSCDPGALRPSELPADPAALLDRKEYW VVARAGPVPSGGDALSFTLRPGGDVLLAVNGRPRGRLLCVDTSQALWAFFAVRGGVAGQL RLLGTLQSSSETMTPSGSFSGSQDDSDSDMTFGVNQSSSASESSLVTAPSSPLSPPVSPA FSAPEPTGSRNGECTVCFDSEVDTVIYTCGHMCLCHGCGLRLRRQARACCPICRRPIKDV IKIYRP >ENSMUSP00000027569.7 pep:known chromosome:GRCm38:1:97059038:97128206:-1 gene:ENSMUSG00000026331.13 transcript:ENSMUST00000027569.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco6c1 description:solute carrier organic anion transporter family, member 6c1 [Source:MGI Symbol;Acc:MGI:1921691] MAHVRNKKSDDKKAMVVAKEDTNKSESEGVTKLQTYLKTIPIAKKKFAKLPKRKKSPTSA ELLLIDPRYSASKEGPLGLGPIVLPFVQRFNNIDGFMTLYVAAVLIHGALFAVVDMTLNI YQVQFSLTRTEWYLMDFSDYIASFVVAIIIAHFGSKGNRTRWIAASCILMGLESMLFAFP FFTYEIIIPGRQSIELCMEENEKRNIICGNSVPNRSKCIYFHIAGQCIHGIAGMPIYILG ITFIFDHIPTSSCGFYLAIGHSAYLIGYLLGMVGGLQNFQPPPKEKTVEIEPAKVYQLLQ SGWWKTFLIIAAISFCVSFMMVCFPTSLPGAHKLRLAKRKEPPTIDRRLKDMKIQPHLKG FLHNIWHILKNPLMLTQAICKVSEYLTFNTSLYFLPHHLQTQFLITPGIASLLTGAFVLP GGIIGHFLGGLIVDRLEMTNKNKLKFTLVTTVVSVGLFLLIFFVECQTTTFAGINEDYDG YGQLGNLTADCNEYCDCTTSLYTSICGRDEKEYFSPCFAGCKATKVSQTEKTYYNCSCIK EGLAASDDEGQFIDAIAGTCDSDCLKLPLFFAFYFSATVFSNMCSIPVISIILQSVPANF TSLSLGVTYAIVKFVASVPAPLLFRLSSAIACIYWDNNRCGGKERCWIYNKNILVYEFMG IWMSSQLIIVLLNIYAIQIHDVVVHGEITESKTTVKDVKEQKERKA >ENSMUSP00000140791.1 pep:known chromosome:GRCm38:1:97059514:97128301:-1 gene:ENSMUSG00000026331.13 transcript:ENSMUST00000189547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco6c1 description:solute carrier organic anion transporter family, member 6c1 [Source:MGI Symbol;Acc:MGI:1921691] MAHVRNKKSDDKKAMVVAKEDTNKSESEGVTKLQTYLKTIPIAKKKFAKLPKRKKSPTSA ELLLIDPRYSASKEGPLGLGPIVLPFVQRFNNIDGFMTLYVAAVLIHGALFAVVDMTLNI YQVQFSLTRTEWYLMDFSDYIASFVVAIIIAHFGSKGNRTRWIAASCILMGLESMLFAFP FFTYEIIIPGRQSIVPNRSKCIYFHIAGQCIHGIAGMPIYILGITFIFDHIPTSSCGFYL AIGHSAYLIGYLLGMVGGLQNFQPPPKEKTVEIEPAKVYQLLQSGWWKTFLIIAAISFCV SFMMVCFPTSLPGAHKLRLAKRKEPPTIDRRLKDMKIQPHLKGFLHNIWHILKNPLMLTQ AICKVSEYLTFNTSLYFLPHHLQTQFLITPGIASLLTGAFVLPGGIIGHFLGGLIVDRLE MTNKNKLKFTLVTTVVSVGLFLLIFFVECQTTTFAGINEDYDGYGQLGNLTADCNEYCDC TTSLYTSICGRDEKEYFSPCFAGCKATKVSQTEKTYYNCSCIKEGLAASDDEGQFIDAIA GTCDSDCLKLPLFFAFYFSATVFSNMCSIPVISIILQSVPANFTSLSLGVTYAIVKFVAS VPAPLLFRLSSAIACIYWDNNRCGGKERCWIYNKNILVYEFMGIWMSSQLIIVLLNIYAI QIHDVVVHGEITESKTTVKDVKEQKERKA >ENSMUSP00000117108.1 pep:known chromosome:GRCm38:12:87278638:87299705:-1 gene:ENSMUSG00000050671.12 transcript:ENSMUST00000125733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ism2 description:isthmin 2 [Source:MGI Symbol;Acc:MGI:2685110] MRPPPSRARFPRALMLLAALLATGRGLPLRKRGPGPHGHSRMAEASPAPDLSSPKEKNTT PGFPGDHLQTEPCQPGCQTIPEPAALTLGTATSPRTLEHTPLLLELQKLPGLANTDLSAP NPNIQVTIEVVQDPQTEVEMDLPSEPSNLWPLHAPSWLPTKEFFWPLFWGYQEGEEGATS LRDRAPGEAEVEGGKDYAVEYGEGEDQRGTEEGEAEEPWSSGAIDNWDYGWLGPQEQDFQ QPDSYDCEPQEEWSTWSPCNGSCGSGYQQRTRPCGYACTATESRVCDLAPCPGTAEENTL GFPSEGWQPLAHNATDMLDPDVDSCEKWLNCKSDFLANYLSQVLKDLPSCPCAYPLEAVY SAAVSLQDEHQGRSFQWRDASSPQERLDIYQPTARYCLRSMLSSESSTLAAQHCCYDEGS RLLTRGKGAGAPDLVSTDFSPELHFKVDRLPWILCKGDWSRYHTVRPPNNGRACTDNPPE EEYLAQLQEAKEY >ENSMUSP00000053451.5 pep:known chromosome:GRCm38:12:87279682:87287137:-1 gene:ENSMUSG00000050671.12 transcript:ENSMUST00000051601.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ism2 description:isthmin 2 [Source:MGI Symbol;Acc:MGI:2685110] ASPAPDLSSPKEKNTTPGFPGDHLQTEPCQPGCQTIPEPAALTLGTATSPRTLEHTPLLL ELQKLPGLANTDLSAPNPNIQVTIEVVQDPQTEVEMDLPSEPSNLWPLHAPSWLPTKEFF WPLFWGYQEGEEGATSLRDRAPGEAEVEGGKDYAVEYGEGEDQRGTEEGEAEEPWSSGAI DNWDYGWLGPQEQDFQQPDSYDCEPQEEWSTWSPCNGSCGSGYQQRTRPCGYACTATESR VCDLAPCPGTAEENTLGFPSEGWQPLAHNATDMLDPDVDSCEKWLNCKSDFLANYLSQVL KDLPSCPCAYPLEAVYSAAVSLQDEHQGRSFQWRDASSPQERLDIYQPTARYCLRSMLSS ESSTLAAQHCCYDEGSRLLTRGKGAGAPDLVSTDFSPELHFKVDRLPWILCKGDWSRYHT VRPPNNGRACTDNPPEEEYLAQLQEAKEY >ENSMUSP00000145184.1 pep:known chromosome:GRCm38:6:78395623:78407681:1 gene:ENSMUSG00000023140.4 transcript:ENSMUST00000203657.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg2 description:regenerating islet-derived 2 [Source:MGI Symbol;Acc:MGI:97896] MAQNNVYLILFLCLMFLSYSQGQVAEEDFPLAEKDLPSAKINCPEGANAYGSYCYYLIED RLTWGEADLFCQNMNAGHLVSILSQAESNFVASLVKESGTTASNVWTGLHDPKSNRRWHW SSGSLFLFKSWA >ENSMUSP00000023906.2 pep:known chromosome:GRCm38:6:78405158:78408106:1 gene:ENSMUSG00000023140.4 transcript:ENSMUST00000023906.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg2 description:regenerating islet-derived 2 [Source:MGI Symbol;Acc:MGI:97896] MAQNNVYLILFLCLMFLSYSQGQVAEEDFPLAEKDLPSAKINCPEGANAYGSYCYYLIED RLTWGEADLFCQNMNAGHLVSILSQAESNFVASLVKESGTTASNVWTGLHDPKSNRRWHW SSGSLFLFKSWATGAPSTANRGYCVSLTSNTAYKKWKDENCEAQYSFVCKFRA >ENSMUSP00000097489.1 pep:known chromosome:GRCm38:2:86181150:86182109:-1 gene:ENSMUSG00000075199.1 transcript:ENSMUST00000099905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr52 description:olfactory receptor 52 [Source:MGI Symbol;Acc:MGI:1333748] MAQINCTQVTEFILVGLTDRKELKMPLFVVFLFIYLFTAIGNLGLILVIRTDARLNTPMY FFLSNLAFVDFCYSSVITPKMLGNFLYSKNAISFNACAAQLGCFLTFMVSECLLLASMAY DRYAAICNPLLYMVTMSPGICIQLVVVPYSYSFLMALIHTLLTFRLSYCHSNIINHFYCD DMPLLRLTCSDTHYKQLSILACAGITFISSVLIVSVSYMFIISAILRMRSAEGRRKAFST CSSHMMAVSIFYGTLIFMYLQPSSDHSLDTDKMASVFYTVIIPMLNPLIYSLRNKDVKDA LKRVMDNRNQTSIFRELRK >ENSMUSP00000037909.7 pep:known chromosome:GRCm38:7:78175959:78578408:-1 gene:ENSMUSG00000059146.12 transcript:ENSMUST00000039431.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntrk3 description:neurotrophic tyrosine kinase, receptor, type 3 [Source:MGI Symbol;Acc:MGI:97385] MDVSLCPAKCSFWRIFLLGSVWLDYVGSVLACPANCVCSKTEINCRRPDDGNLFPLLEGQ DSGNSNGNASINITDISRNITSIHIENWRGLHTLNAVDMELYTGLQKLTIKNSGLRNIQP RAFAKNPHLRYINLSSNRLTTLSWQLFQTLSLRELRLEQNFFNCSCDIRWMQLWQEQGEA RLDSQSLYCISADGSQLPLFRMNISQCDLPEISVSHVNLTVREGDNAVITCNGSGSPLPD VDWIVTGLQSINTHQTNLNWTNVHAINLTLVNVTSEDNGFTLTCIAENVVGMSNASVALT VYYPPRVVSLVEPEVRLEHCIEFVVRGNPTPTLHWLYNGQPLRESKIIHMDYYQEGEVSE GCLLFNKPTHYNNGNYTLIAKNALGTANQTINGHFLKEPFPESTDFFDFESDASPTPPIT VTHKPEEDTFGVSIAVGLAAFACVLLVVLFIMINKYGRRSKFGMKGPVAVISGEEDSASP LHHINHGITTPSSLDAGPDTVVIGMTRIPVIENPQYFRQGHNCHKPDTYVQHIKRRDIVL KRELGEGAFGKVFLAECYNLSPTKDKMLVAVKALKDPTLAARKDFQREAELLTNLQHEHI VKFYGVCGDGDPLIMVFEYMKHGDLNKFLRAHGPDAMILVDGQPRQAKGELGLSQMLHIA SQIASGMVYLASQHFVHRDLATRNCLVGANLLVKIGDFGMSRDVYSTDYYRVGGHTMLPI RWMPPESIMYRKFTTESDVWSFGVILWEIFTYGKQPWFQLSNTEVIECITQGRVLERPRV CPKEVYDVMLGCWQREPQQRLNIKEIYKILHALGKATPIYLDILG >ENSMUSP00000145681.1 pep:known chromosome:GRCm38:7:78180883:78192743:-1 gene:ENSMUSG00000059146.12 transcript:ENSMUST00000205354.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ntrk3 description:neurotrophic tyrosine kinase, receptor, type 3 [Source:MGI Symbol;Acc:MGI:97385] XIECITQGRVLERPRVCPKEVYDVMLGCWQREPQQRLNIKEIYKILHALGKATPIYLDIL G >ENSMUSP00000141599.1 pep:known chromosome:GRCm38:7:78192380:78577783:-1 gene:ENSMUSG00000059146.12 transcript:ENSMUST00000195262.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntrk3 description:neurotrophic tyrosine kinase, receptor, type 3 [Source:MGI Symbol;Acc:MGI:97385] MDVSLCPAKCSFWRIFLLGSVWLDYVGSVLACPANCVCSKTEINCRRPDDGNLFPLLEGQ DSGNSNGNASINITDISRNITSIHIENWRGLHTLNAVDMELYTGLQKLTIKNSGLRNIQP RAFAKNPHLRYINLSSNRLTTLSWQLFQTLSLRELRLEQNFFNCSCDIRWMQLWQEQGEA RLDSQSLYCISADGSQLPLFRMNISQCDLPEISVSHVNLTVREGDNAVITCNGSGSPLPD VDWIVTGLQSINTHQTNLNWTNVHAINLTLVNVTSEDNGFTLTCIAENVVGMSNASVALT VYYPPRVVSLVEPEVRLEHCIEFVVRGNPTPTLHWLYNGQPLRESKIIHMDYYQEGEVSE GCLLFNKPTHYNNGNYTLIAKNALGTANQTINGHFLKEPFPESTDFFDFESDASPTPPIT VTHKPEEDTFGVSIAVGLAAFACVLLVVLFIMINKYGRRSKFGMKGPVAVISGEEDSASP LHHINHGITTPSSLDAGPDTVVIGMTRIPVIENPQYFRQGHNCHKPDTYVQHIKRRDIVL KRELGEGAFGKVFLAECYNLSPTKDKMLVAVKALKDPTLAARKDFQREAELLTNLQHEHI VKFYGVCGDGDPLIMVFEYMKHGDLNKFLRAHGPDAMILVDGQPRQAKGELGLSQMLHIA SQIASGMVYLASQHFVHRDLATRNCLVGANLLVKIGDFGMSRDVYSTDYYREGPCQKGPF NVSWQQQRLAASAASTLFNPSGNDFCIWCEVGGHTMLPIRWMPPESIMYRKFTTESDVWS FGVILWEIFTYGKQPWFQLSNTEVIECITQGRVLERPRVCPKEVYDVMLGCWQREPQQRL NIKEIYKILHALGKATPIYLDILG >ENSMUSP00000141534.1 pep:known chromosome:GRCm38:7:78192407:78577789:-1 gene:ENSMUSG00000059146.12 transcript:ENSMUST00000193002.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntrk3 description:neurotrophic tyrosine kinase, receptor, type 3 [Source:MGI Symbol;Acc:MGI:97385] MDVSLCPAKCSFWRIFLLGSVWLDYVGSVLACPANCVCSKTEINCRRPDDGNLFPLLEGQ DSGNSNGNASINITDISRNITSIHIENWRGLHTLNAVDMELYTGLQKLTIKNSGLRNIQP RAFAKNPHLRYINLSSNRLTTLSWQLFQTLSLRELRLEQNFFNCSCDIRWMQLWQEQGEA RLDSQSLYCISADGSQLPLFRMNISQCDLPEISVSHVNLTVREGDNAVITCNGSGSPLPD VDWIVTGLQSINTHQTNLNWTNVHAINLTLVNVTSEDNGFTLTCIAENVVGMSNASVALT VYYPPRVVSLVEPEVRLEHCIEFVVRGNPTPTLHWLYNGQPLRESKIIHMDYYQEGEVSE GCLLFNKPTHYNNGNYTLIAKNALGTANQTINGHFLKEPFPESTDFFDFESDASPTPPIT VTHKPEEDTFGVSIAVGLAAFACVLLVVLFIMINKYGRRSKFGMKGPVAVISGEEDSASP LHHINHGITTPSSLDAGPDTVVIGMTRIPVIENPQYFRQGHNCHKPDTYVQHIKRRDIVL KRELGEGAFGKVFLAECYNLSPTKDKMLVAVKALKDPTLAARKDFQREAELLTNLQHEHI VKFYGVCGDGDPLIMVFEYMKHGDLNKFLRAHGPDAMILVDGQPRQAKGELGLSQMLHIA SQIASGMVYLASQHFVHRDLATRNCLVGANLLVKIGDFGMSRDVYSTDYYRLFNPSGNDF CIWCEVGGHTMLPIRWMPPESIMYRKFTTESDVWSFGVILWEIFTYGKQPWFQLSNTEVI ECITQGRVLERPRVCPKEVYDVMLGCWQREPQQRLNIKEIYKILHALGKATPIYLDILG >ENSMUSP00000145689.1 pep:known chromosome:GRCm38:7:78247388:78261887:-1 gene:ENSMUSG00000059146.12 transcript:ENSMUST00000205868.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ntrk3 description:neurotrophic tyrosine kinase, receptor, type 3 [Source:MGI Symbol;Acc:MGI:97385] XSFHCSTSSFERTFFAGWATCFIMLIQMFSTSRGETSC >ENSMUSP00000038324.7 pep:known chromosome:GRCm38:7:78300690:78577982:-1 gene:ENSMUSG00000059146.12 transcript:ENSMUST00000039438.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntrk3 description:neurotrophic tyrosine kinase, receptor, type 3 [Source:MGI Symbol;Acc:MGI:97385] MDVSLCPAKCSFWRIFLLGSVWLDYVGSVLACPANCVCSKTEINCRRPDDGNLFPLLEGQ DSGNSNGNASINITDISRNITSIHIENWRGLHTLNAVDMELYTGLQKLTIKNSGLRNIQP RAFAKNPHLRYINLSSNRLTTLSWQLFQTLSLRELRLEQNFFNCSCDIRWMQLWQEQGEA RLDSQSLYCISADGSQLPLFRMNISQCDLPEISVSHVNLTVREGDNAVITCNGSGSPLPD VDWIVTGLQSINTHQTNLNWTNVHAINLTLVNVTSEDNGFTLTCIAENVVGMSNASVALT VYYPPRVVSLVEPEVRLEHCIEFVVRGNPTPTLHWLYNGQPLRESKIIHMDYYQEGEVSE GCLLFNKPTHYNNGNYTLIAKNALGTANQTINGHFLKEPFPESTDFFDFESDASPTPPIT VTHKPEEDTFGVSIAVGLAAFACVLLVVLFIMINKYGRRSKFGMKGPVAVISGEEDSASP LHHINHGITTPSSLDAGPDTVVIGMTRIPVIENPQYFRQGHNCHKPDTWVFSNIDNHGIL NLKDNRDHLVPSTHYIYEEPEVQSGDVSYPRSHGFREIMLNPISLSGHSKPLNHGIYVED VNVYFSKGRHGF >ENSMUSP00000145774.1 pep:known chromosome:GRCm38:7:78302631:78356133:-1 gene:ENSMUSG00000059146.12 transcript:ENSMUST00000206599.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ntrk3 description:neurotrophic tyrosine kinase, receptor, type 3 [Source:MGI Symbol;Acc:MGI:97385] XWMLGLTQWSLA >ENSMUSP00000146188.1 pep:known chromosome:GRCm38:7:78517484:78578403:-1 gene:ENSMUSG00000059146.12 transcript:ENSMUST00000206268.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntrk3 description:neurotrophic tyrosine kinase, receptor, type 3 [Source:MGI Symbol;Acc:MGI:97385] MDVSLCPAKCSFWRIFLLGSVWLDYVGSVLACPANCVCSKTEINCRRPDDGNLFPLLEGQ DSGNSNGNASINITDISRNITSIHIE >ENSMUSP00000145958.1 pep:known chromosome:GRCm38:7:78577536:78657724:-1 gene:ENSMUSG00000059146.12 transcript:ENSMUST00000206091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntrk3 description:neurotrophic tyrosine kinase, receptor, type 3 [Source:MGI Symbol;Acc:MGI:97385] MDVSLCPAKCSFWRIFLLGSVWLDYVGSVLACPANCVCSKTEINCRRPDDGNLFPLLEGQ DSGNSNGNASINITDISRNITS >ENSMUSP00000061578.7 pep:known chromosome:GRCm38:2:50066464:50193564:1 gene:ENSMUSG00000050447.15 transcript:ENSMUST00000053208.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd6 description:LY6/PLAUR domain containing 6 [Source:MGI Symbol;Acc:MGI:2443848] MEPSPALAWLLLLSLVADCLKAAQSRDFTVKDIIYLHPSTTPYPGGFKCFTCEKAADNYE CNRWAPDIYCPRDTRYCYTQHTMEVTGNSISVTKRCVPLEECLSTGCRDSEHEGYKICTS CCEGNICNLPLPRNETDATFATTSPINQTNGHPHCVSVIVSCLWVWLGLTL >ENSMUSP00000116803.1 pep:known chromosome:GRCm38:2:50066462:50190670:1 gene:ENSMUSG00000050447.15 transcript:ENSMUST00000128451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd6 description:LY6/PLAUR domain containing 6 [Source:MGI Symbol;Acc:MGI:2443848] MEPSPALAWLLLLSLVADCLKAAQSRDFTVKDIIYLHPSTTPYPGGFKCFTCEKAADNYE CNRWAPDIYCPRDTRYCYTQHTMEVTGNSISVTKRCVPLEECLSTGCRDSEHEGYKICTS CCE >ENSMUSP00000119755.1 pep:known chromosome:GRCm38:2:50165401:50173694:1 gene:ENSMUSG00000050447.15 transcript:ENSMUST00000126337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd6 description:LY6/PLAUR domain containing 6 [Source:MGI Symbol;Acc:MGI:2443848] MEPSPALAWLLLLSLVADCLKAAQSRDFTVKDIIYLHPSTTPYPGGFKCFTCEKAADNYE CNRWAPDIYCP >ENSMUSP00000108332.3 pep:known chromosome:GRCm38:2:50066429:50193569:1 gene:ENSMUSG00000050447.15 transcript:ENSMUST00000112712.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd6 description:LY6/PLAUR domain containing 6 [Source:MGI Symbol;Acc:MGI:2443848] MEPSPALAWLLLLSLVADCLKAAQSRDFTVKDIIYLHPSTTPYPGGFKCFTCEKAADNYE CNRWAPDIYCPRDTRYCYTQHTMEVTGNSISVTKRCVPLEECLSTGCRDSEHEGYKICTS CCEGNICNLPLPRNETDATFATTSPINQTNGHPHCVSVIVSCLWVWLGLTL >ENSMUSP00000131002.1 pep:known chromosome:GRCm38:2:50128369:50193563:1 gene:ENSMUSG00000050447.15 transcript:ENSMUST00000169232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd6 description:LY6/PLAUR domain containing 6 [Source:MGI Symbol;Acc:MGI:2443848] MEPSPALAWLLLLSLVADCLKAAQSRDFTVKDIIYLHPSTTPYPGGFKCFTCEKAADNYE CNRWAPDIYCPRDTRYCYTQHTMEVTGNSISVTKRCVPLEECLSTGCRDSEHEGYKICTS CCEGNICNLPLPRNETDATFATTSPINQTNGHPHCVSVIVSCLWVWLGLTL >ENSMUSP00000035037.7 pep:known chromosome:GRCm38:9:99036654:99140087:-1 gene:ENSMUSG00000032462.14 transcript:ENSMUST00000035037.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cb description:phosphatidylinositol 3-kinase, catalytic, beta polypeptide [Source:MGI Symbol;Acc:MGI:1922019] MPPAMADNLDIWAVDSQIASDGAISVDFLLPTGIYIQLEVPREATISYIKQMLWKQVHNY PMFNLLMDIDSYMFACVNQTAVYEELEDETRRLCDVRPFLPVLKLVTRSCDPAEKLDSKI GVLIGKGLHEFDALKDPEVNEFRRKMRKFSEAKIQSLVGLSWIDWLKHTYPPEHEPSVLE NLEDKLYGGKLVVAVHFENSQDVFSFQVSPNLNPIKINELAIQKRLTIRGKEDEASPCDY VLQVSGRVEYVFGDHPLIQFQYIRNCVMNRTLPHFILVECCKIKKMYEQEMIAIEAAINR NSSNLPLPLPPKKTRVISHIWDNNNPFQITLVKGNKLNTEETVKVHVRAGLFHGTELLCK TVVSSEISGKNDHIWNEQLEFDINICDLPRMARLCFAVYAVLDKVKTKKSTKTINPSKYQ TIRKAGKVHYPVAWVNTMVFDFKGQLRSGDVILHSWSSFPDELEEMLNPMGTVQTNPYAE NATALHITFPENKKQPCYYPPFDKIIEKAAELASGDSANVSSRGGKKFLAVLKEILDRDP LSQLCENEMDLIWTLRQDCRENFPQSLPKLLLSIKWNKLEDVAQLQALLQIWPKLPPREA LELLDFNYPDQYVREYAVGCLRQMSDEELSQYLLQLVQVLKYEPFLDCALSRFLLERALD NRRIGQFLFWHLRSEVHTPAVSVQFGVILEAYCRGSVGHMKVLSKQVEALNKLKTLNSLI KLNAVKLSRAKGKEAMHTCLKQSAYREALSDLQSPLNPCVILSELYVEKCKYMDSKMKPL WLVYSSRAFGEDSVGVIFKNGDDLRQDMLTLQMLRLMDLLWKEAGLDLRMLPYGCLATGD RSGLIEVVSTSETIADIQLNSSNVAATAAFNKDALLNWLKEYNSGDDLDRAIEEFTLSCA GYCVASYVLGIGDRHSDNIMVKKTGQLFHIDFGHILGNFKSKFGIKRERVPFILTYDFIH VIQQGKTGNTEKFGRFRQCCEDAYLILRRHGNLFITLFALMLTAGLPELTSVKDIQYLKD SLALGKSEEEALKQFKQKFDEALRESWTTKVNWMAHTVRKDYRS >ENSMUSP00000138346.1 pep:known chromosome:GRCm38:9:99038401:99139925:-1 gene:ENSMUSG00000032462.14 transcript:ENSMUST00000136965.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pik3cb description:phosphatidylinositol 3-kinase, catalytic, beta polypeptide [Source:MGI Symbol;Acc:MGI:1922019] MPPAMADNLDIWAVDSQIASDGAISVDFLLPTGIYIQLEVPREATISYIKQMLWKQVHNY PMFNLLMDIDSYMFACVNQTAVYEELEDETRRLCDVRPFLPVLKLVTRSCDPAEKLDSKI GVLIGKGLHEFDALKDPEVNEFRRKMRKFSEAKIQSLVGLSWIDWLKHTYPPEHEPSVLE NLEDKLYGGKLVVAVHFENSQDVFSFQVSPNLNPIKINELAIQKRLTIRGKEDEASPCDY VLQVSGRVEYVFGDHPLIQFQYIRNCVMNRTLPHFILVECCKIKKMYEQEMIAIEAAINR NSSNLPLPLPPKKTRVISHIWDNNNPFQITLVKGNKLNTEETVKVHVRAGLFHGTELLCK TVVSSEISGKNDHIWNEQLEFDINICDLPRMARLCFAVYAVLDKVKTKKSTKTINPSKYQ TIRKAGKVHYPVAWVNTMVFDFKGQLRSGDVILHSWSSFPDELEEMLNPMGTVQTNPYAE NATALHITFPENKKQPCYYPPFDKIIEKAAELASGDSANVVVVEKNFLLC >ENSMUSP00000121466.1 pep:known chromosome:GRCm38:9:99101350:99140621:-1 gene:ENSMUSG00000032462.14 transcript:ENSMUST00000124723.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cb description:phosphatidylinositol 3-kinase, catalytic, beta polypeptide [Source:MGI Symbol;Acc:MGI:1922019] MPPAMADNLDIWAVDSQIASDGAISVDFLLPTGIYIQLEVPREATISYIKQMLWKQVHNY PMFNLLMD >ENSMUSP00000026624.4 pep:known chromosome:GRCm38:14:122107038:122159604:1 gene:ENSMUSG00000025544.13 transcript:ENSMUST00000026624.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf2 description:transmembrane 9 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1915309] MSSRPPASPPAQGSRLLLLSLLLLGTVPGPRPGSAFYLPGLAPVNFCAEEKSNECKADIE LFVNRLDSVESVLPYEYTAFDFCQASEGKRPSENLGQVLFGERIEPSPYKFTFNKKETCK LVCTKTYNTEKAEDKQKLDFLKKSMLLNYQHHWIVDNMPVTWCYEVEDSQKFCNPGFPIG CYITDKGHAKDACVISSEFHERDTFYIFNHVDIKIYYHVVETGSMGARLVAAKLEPKSFK HTHIDKPDCSGPAMDISNKASGEIKIAYTYSISFEEEKNIRWASRWDYILESMPHTHIQW FSIMNSLVIVLFLSGMVAMIMLRTLHKDIARYNQMDSTEDAQEEFGWKLVHGDIFRPPRK GMLLSVFLGSGTQILIMTFVTLFFACLGFLSPANRGALMTCAVVLWVLLGTPAGYVAARF YKSFGGEKWKTNVLLTSFLCPGIVFADFFIMNLILWGEGSSAAIPFGTLVAILALWFCIS VPLTFIGAYFGFKKNAIEHPVRTNQIPRQIPEQSFYTKPLPGIIMGGILPFGCIFIQLFF ILNSIWSHQMYYMFGFLFLVFIILVITCSEATILLCYFHLCAEDYHWQWRSFLTSGFTAV YFLIYAIHYFFSKLQITGTASTILYFGYTMIMVLIFFLFTGTIGFFACFWFVTKIYSVVK VD >ENSMUSP00000128894.1 pep:known chromosome:GRCm38:14:122107138:122137478:1 gene:ENSMUSG00000025544.13 transcript:ENSMUST00000170007.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tm9sf2 description:transmembrane 9 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1915309] MSSRPPASPPAQGSRLLLLSLLLLGTVPGPRPGSAFYLPGLAPVNFCAEEKSNECKV >ENSMUSP00000131227.1 pep:known chromosome:GRCm38:14:122107268:122158716:1 gene:ENSMUSG00000025544.13 transcript:ENSMUST00000171318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf2 description:transmembrane 9 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1915309] MSSRPPASPPAQGSRLLLLSLLLLGTVPGPRPGSAFYLPGLAPVNFCAEEKSNECKADIE LFVNRLDSVESVLPYEYTAFDFCQASEGKRPSENLGQVLFGERIEPSPYKEEKNIRWASR WDYILESMPHTHIQWFSIMNSLVIVLFLSGMVAMIMLRTLHKDIARYNQMDSTEDAQEEF GWKLVHGDIFRPPRKGMLLSVFLGSGTQILIMTFVTLFFACLGFLSPANRGALMTCAVVL WVLLGTPAGYVAARFYKSFGGEKWKTNVLLTSFLCPGIVFADFFIMNLILWGEGSSAAIP FGTLVAILALWFCISVPLTFIGAYFGFKKNAIEHPVRTNQIPRQIPEQSFYTKPLPGIIM GGILPFGCIFIQLFFILNSIWSHQMYYMFGFLFLVFIILVITCSEATILLCYFHLCAEDY HWQWRSFLTSGFTAVYFLIYAIHYFFSKLQITGTASTILYFGYTMIMVLIFFLFTGTIGF FACFWFVTKIYSVVKVD >ENSMUSP00000114906.1 pep:known chromosome:GRCm38:4:108328140:108341544:1 gene:ENSMUSG00000048351.14 transcript:ENSMUST00000131656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coa7 description:cytochrome c oxidase assembly factor 7 [Source:MGI Symbol;Acc:MGI:1917143] MAGLVDFQDEEQVKSFLENMEVECHYQCYREKDPEGCYRLVDYLEGIQKNFDEAAKVLKF NCEKYGHGDSCYKLGAYYVTGKGGLTQDLKAASSCFLMACEKPGKKSVESCHNVGLLAHD GQVNEDGQPDLGKARDYYSRACDGGYAASCFNLSAMFLQGAPGFPKDMGLACKYSMKACD LGHVWACANASRMYKLGDGVDKDEAKAEVLKNRARQLHKEQQKNVQPLTFG >ENSMUSP00000032909.8 pep:known chromosome:GRCm38:7:114415281:114539251:1 gene:ENSMUSG00000030671.8 transcript:ENSMUST00000032909.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde3b description:phosphodiesterase 3B, cGMP-inhibited [Source:MGI Symbol;Acc:MGI:1333863] MRKDERERDAPAMRSPPPPPASAASPPESLRNGYVKSCVSPLRQDPPRSFFFHLCRFCNV EPPAASLRAGARLSLGVLAAFVLAALLGARPERWAAAAAGLRTLLSACSLSLSPLFSIAC AFFFLTCFLTRAQRGPGRGAGSWWLLALPACCYLGDFAAWQWWSWLRGEPAAAGRLCLVL SCVGLLTLAPRVRLRHGVLVLLFAGLVWWVSFSGLGALPPALRPLLSCLVGGAGCLLALG LDHFFHVRGASPPPRSASTAEEKVPVIRPRRRSSCVSLGESAAGYYGSGKMFRRPSLPCI SREQMILWDWDLKQWCKPHYQNSGGGNGVDLSVLNEARNMVSDLLIDPSLPPQVISSLRS ISSLMGAFSGSCRPKINSFTPFPGFYPCSEVEDPVEKGDRKLHKGLSGRTSFPTPQLRRS SGASSLLTNEHCSRWDRSSGKRSYQELSVSSHGCHLNGPFSSNLFTIPKQRSSSVSLTHH AGLRRAGALPSHSLLNSSSHVPVSAGSLTNRSPIGFPDTTDFLTKPNIILHRSLGSVSSA ADFHQYLRNSDSNLCSSCGHQILKYVSTCEPDGTDHPSEKSGEEDSSVFSKEPLNIVETQ EEETMKKACRELFLEGDSHLMEEAQQPNIDQEVSLDPMLVEDYDSLIEKMNNWNFQIFEL VEKMGEKSGRILSQVMYTLFQDTGLLETFKIPTQEFMNYFRALENGYRDIPYHNRVHATD VLHAVWYLTTRPIPGLPQIHNNHETETKADSDGRLGSGQIAYISSKSCCIPDMSYGCLSS NIPALELMALYVAAAMHDYDHPGRTNAFLVATNAPQAVLYNDRSVLENHHAASAWNLYLS RPEYNFLLNLDHMEFKRFRFLVIEAILATDLKKHFDFLAEFNAKANDVNSNGIEWSSEND RLLVCQVCIKLADINGPAKDRDLHLRWTEGIVNEFYEQGDEEATLGLPISPFMDRSSPQL AKLQESFITHIVGPLCNSYDAAGLLPGQWIETEEGDDTESDDDDDDDDGGGEELDSDDEE TEDNLNPKPQRRKGRRRIFCQLMHHLTENHKIWKEIIEEEEEKCKAEGNKLQVDNASLPQ ADEIQVIEEADEEEEQMFE >ENSMUSP00000097488.1 pep:known chromosome:GRCm38:2:86197803:86198750:-1 gene:ENSMUSG00000075198.1 transcript:ENSMUST00000099904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1045 description:olfactory receptor 1045 [Source:MGI Symbol;Acc:MGI:3030879] MAPRNLSHVTEFILVGVSDLPELQVPLFFVFLVIYLLTAAGNLGIITLTSVDSRLQTPMY FFLRHLAVINFGNSTVIAPKMLVNFLVSKKTTLYYECATQLGGFLVFIVAEIFMLAVMAY DRYVAICNPLLYMVVVSRRVCLLLVSLTYFYGFCTAIVVSSCVFSVSYCSSKKINHFYCD NVPLLALSCSDTYLPETVVFISAATNLFFSMSIVLVSYFNIVLSILRIRSAEGRKKAFST CASHVMAVTVFYGTLLFMYLQPQTNHSLDTDKMASVFYTLVIPMLNPMIYSLRNKDVKAA LKRFMTSPCDSFKSL >ENSMUSP00000035415.8 pep:known chromosome:GRCm38:7:126491708:126503437:-1 gene:ENSMUSG00000032637.15 transcript:ENSMUST00000040202.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2l description:ataxin 2-like [Source:MGI Symbol;Acc:MGI:2446242] MLKPQPPQQTSQPQQPPPTQQAVARRSPGGTSPPNGGLPGPLTATAAPPGPPAAVSPCLG PAAAAGSGLRRGAESILAASAPPQHQERPGAVAIGSVRGQTTGKGPPQSPVFEGVYNNSR MLHFLTAVVGSTCDVKVKNGTTYEGIFKTLSSKFELAVDAVHRKASEPAGGPRREDIVDT MVFKPSDVLLVHFRNVDFNYATKDKFTDSAIAMNSKVNGEHKEKVLQRWEGGDSNSDDYD LESDMSNGWDPNEMFKFNEENYGVKTTYDSSLSSYTVPLEKDNSEEFRQRELRAAQLARE IESSPQYRLRIAMENDDGRTEEEKHSAVQRQGSGRESPSLVSREGKYIPLPQRVREGPRG GVRCSSSRGGRPGLSSLPPRGPHHLDNSSPGPGSEARGINGGPSRMSPKAQRPLRGAKTL SSPSNRPSGEASVPPTSAALPFLPVGRMYPPRSPKSAAPAPVSASCPEPPIGSAVASSAS IPVTSSVVDPGAGSISPASPKLSLTPTDVKELPTKEPSRNLEAQELARIAGKVPGLQNEQ KRFQLEELRKFGAQFKLQPSSSPETGLDPFPSRILKEEAKGKEKEVDGLLTSDPMGSPVS SKTESILDKEDKVPMAGVGGTEGPEQLPAPCPSQTGSPPVGLIKGDEKEEGPVTEQVKKS TLNPNAKEFNPTKPLLSVNKSTSTPTSPGPRTHSTPSIPVLTAGQSGLYSPQYISYIPQI HMGPAVQAPQMYPYPVSNSVPGQQGKYRGAKGSLPPQRSDQHQPASAPPMMQAAAAAAGP PLVAATPYSSYIPYNPQQFPGQPAMMQPMAHYPSQPVFAPMLQSNPRMLTSGSHPQAIVS SSTPQYPAAEQPTPQALYATVHQSYPHHATQLHGHQPQPATTPTGSQPQSQHAAPSPVQH QAGQAPHLGSGQPQQNLYHPGALTGTPPSLPPGPSAQSPQSSFPQPAAVYAIHPHQQLPH GFTNMAHVTQAHVQTGVTAAPPPHPGAPHPPQVMLLHPPQGHGGPPQGAVPPSGVPALSA STPSPYPYIGHPQVQSHPSQQLPFHPPGN >ENSMUSP00000132959.1 pep:known chromosome:GRCm38:7:126491715:126502414:-1 gene:ENSMUSG00000032637.15 transcript:ENSMUST00000167759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2l description:ataxin 2-like [Source:MGI Symbol;Acc:MGI:2446242] MLSYDSGIGLPRGQTTGKGPPQSPVFEGVYNNSRMLHFLTAVVGSTCDVKVKNGTTYEGI FKTLSSKFELAVDAVHRKASEPAGGPRREDIVDTMVFKPSDVLLVHFRNVDFNYATKDKF TDSAIAMNSKVNGEHKEKVLQRWEGGDSNSDDYDLESDMSNGWDPNEMFKFNEENYGVKT TYDSSLSSYTVPLEKDNSEEFRQRELRAAQLAREIESSPQYRLRIAMENDDGRTEEEKHS AVQRQGSGRESPSLVSREGKYIPLPQRVREGPRGGVRCSSSRGGRPGLSSLPPRGPHHLD NSSPGPGSEARGINGGPSRMSPKAQRPLRGAKTLSSPSNRPSGEASVPPTSAALPFLPVG RMYPPRSPKSAAPAPVSASCPEPPIGSAVASSASIPVTSSVVDPGAGSISPASPKLSLTP TDVKELPTKEPSRNLEAQELARIAGKVPGLQNEQKRFQLEELRKFGAQFKLQPSSSPETG LDPFPSRILKEEAKGKEKEVDGLLTSDPMGSPVSSKTESILDKEDKVPMAGVGGTEGPEQ LPAPCPSQTGSPPVGLIKGDEKEEGPVTEQVKKSTLNPNAKEFNPTKPLLSVNKSTSTPT SPGPRTHSTPSIPVLTAGQSGLYSPQYISYIPQIHMGPAVQAPQMYPYPVSNSVPGQQGK YRGAKGSLPPQRSDQHQPASAPPMMQAAAAAAGPPLVAATPYSSYIPYNPQQFPGQPAMM QPMAHYPSQPVFAPMLQSNPRMLTSGSHPQAIVSSSTPQYPAAEQPTPQALYATVHQSYP HHATQLHGHQPQPATTPTGSQPQSQHAAPSPVQHQAGQAPHLGSGQPQQNLYHPGALTGT PPSLPPGPSAQSPQSSFPQPAAVYAIHPHQQLPHGFTNMAHVTQAHVQTGVTAAPPPHPG APHPPQVMLLHPPQGHGGPPQGAVPPSGVPALSASTPSPYPYIGHPQGEQPGQAPGFPGG ADDRIREFSLAGGIWHGRAEGLQVGQDARVLGGD >ENSMUSP00000146023.1 pep:known chromosome:GRCm38:7:126491786:126503355:-1 gene:ENSMUSG00000032637.15 transcript:ENSMUST00000206577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2l description:ataxin 2-like [Source:MGI Symbol;Acc:MGI:2446242] MLKPQPPQQTSQPQQPPPTQQAVARRSPGGTSPPNGGLPGPLTATAAPPGPPAAVSPCLG PAAAAGSGLRRGAESILAASAPPQHQERPGAVAIGSVRGQTTGKGPPQSPVFEGVYNNSR MLHFLTAVVGSTCDVKVKNGTTYEGIFKTLSSKFELAVDAVHRKASEPAGGPRREDIVDT MVFKPSDVLLVHFRNVDFNYATKDKFTDSAIAMNSKVNGEHKEKVLQRWEGGDSNSDDYD LESDMSNGWDPNEMFKFNEENYGVKTTYDSSLSSYTVPLEKDNSEEFRQRELRAAQLARE IESSPQYRLRIAMENDDGRTEEEKHSAVQRQGSGRESPSLVSREGKYIPLPQRVREGPRG GVRCSSSRGGRPGLSSLPPRGPHHLDNSSPGPGSEARGINGGPSRMSPKAQRPLRGAKTL SSPSNRPSGEASVPPTSAVGRMYPPRSPKSAAPAPVSASCPEPPIGSAVASSASIPVTSS VVDPGAGSISPASPKLSLTPTDVKELPTKEPSRNLEAQELARIAGKVPGLQNEQKRFQLE ELRKFGAQFKLQPSSSPETGLDPFPSRILKEEAKGKEKEVDGLLTSDPMGSPVSSKTESI LDKEDKVPMAGVGGTEGPEQLPAPCPSQTGSPPVGLIKGDEKEEGPVTEQVKKSTLNPNA KEFNPTKPLLSVNKSTSTPTSPGPRTHSTPSIPVLTAGQSGLYSPQYISYIPQIHMGPAV QAPQMYPYPVSNSVPGQQGKYRGAKGSLPPQRSDQHQPASAPPMMQAAAAAAGPPLVAAT PYSSYIPYNPQQFPGQPAMMQPMAHYPSQPVFAPMLQSNPRMLTSGSHPQAIVSSSTPQY PAAEQPTPQALYATVHQSYPHHATQLHGHQPQPATTPTGSQPQSQHAAPSPVQHQAGQAP HLGSGQPQQNLYHPGALTGTPPSLPPGPSAQSPQSSFPQPAAVYAIHPHQQLPHGFTNMA HVTQAHVQTGVTAAPPPHPGAPHPPQVMLLHPPQGHGGPPQGAVPPSGVPALSASTPSPY PYIGHPQGEQPGQAPGFPGGADDRILCRVGRSHSRRRQGLAPGSVLCFPPSSLSCDPAAP LPTASPALSDPDCLLT >ENSMUSP00000145768.1 pep:known chromosome:GRCm38:7:126492153:126493376:-1 gene:ENSMUSG00000032637.15 transcript:ENSMUST00000206265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2l description:ataxin 2-like [Source:MGI Symbol;Acc:MGI:2446242] HQAGQAPHLGSGQPQQNLYHPGALTGTPPSLPPGPSAQSPQSSFPQPAAVYAIHPHQQLP HGFTNMAHVTQAHVQTGVTAAPPPHPGAPHPPQVMLLHPPQGHGGPPQGAVPPSGVPALS ASTPSPYPYIGHPQALSDPDCLLT >ENSMUSP00000125881.2 pep:known chromosome:GRCm38:7:126492963:126502318:-1 gene:ENSMUSG00000032637.15 transcript:ENSMUST00000166682.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2l description:ataxin 2-like [Source:MGI Symbol;Acc:MGI:2446242] MLHFLTAVVGSTCDVKVKNGTTYEGIFKTLSSKFELAVDAVHRKASEPAGGPRREDIVDT MVFKPSDVLLVHFRNVDFNYATKDKFTDSAIAMNSKVNGEHKEKVLQRWEGGDSNSDDYD LESDMSNGWDPNEMFKFNEENYGVKTTYDSSLSSYTVPLEKDNSEEFRQRELRAAQLARE IESSPQYRLRIAMENDDGRTEEEKHSAVQRQGSGRESPSLVSREGKYIPLPQRVREGPRG GVRCSSSRGGRPGLSSLPPRGPHHLDNSSPGPGSEARGINGGPSRMSPKAQRPLRGAKTL SSPSNRPSGEASVPPTSAALPFLPVGRMYPPRSPKSAAPAPVSASCPEPPIGSAVASSAS IPVTSSVVDPGAGSISPASPKLSLTPTDVKELPTKEPSRNLEAQELARIAGKVPGLQNEQ KRFQLEELRKFGAQFKLQPSSSPETGLDPFPSRILKEEAKGKEKEVDGLLTSDPMGSPVS SKTESILDKEDKVPMAGVGGTEGPEQLPAPCPSQTGSPPVGLIKGDEKEEGPVTEQVKKS TLNPNAKEFNPTKPLLSVNKSTSTPTSPGPRTHSTPSIPVLTAGQSGLYSPQYISYIPQI HMGPAVQAPQMYPYPVSNSVPGQQGKYRGAKGSLPPQRSDQHQPASAPPMMQAAAAAAGP PLVAATPYSSYIPYNPQQFPGQPAMMQPMAHYPSQPVFAPMLQSNPRMLTSGSHPQAIVS SSTPQYPAAEQPTPQALYATVHQSYPHHATQLHGHQPQPATTPTGSQPQSQHAAPSPVQH QAGQAPHLGSGQPQQNLYHPGALTGTPPSLPPGPSAQSPQSSFPQPAAVYAIHPHQQLPH GFTNMAHVTQAHVQTGVTAAPPP >ENSMUSP00000137108.1 pep:known chromosome:GRCm38:7:126499150:126502100:-1 gene:ENSMUSG00000032637.15 transcript:ENSMUST00000179818.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2l description:ataxin 2-like [Source:MGI Symbol;Acc:MGI:2446242] MVFAAARSPSPANGPLLALLNCRLHQPSRSCVTWRLGIPSEGQTTGKGPPQSPVFEGVYN NSRMLHFLTAVVGSTCDVKVKNGTTYEGIFKTLSSKFELAVDAVHRKASEPAGGPRREDI VDTMVFKPSDVLLVHFRNVDFNYATKDKFTDSAIAMNSKVNGEHKEKVLQRWEGGDSNSD DYDLESDMSNGWDPNEMFKFNEENYGVKTTYDSSLSSYT >ENSMUSP00000135924.1 pep:known chromosome:GRCm38:6:120488939:120493807:-1 gene:ENSMUSG00000094626.1 transcript:ENSMUST00000178687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cecr6 description:cat eye syndrome chromosome region, candidate 6 [Source:MGI Symbol;Acc:MGI:2136977] MHPALGHPRALSSAPASFPPPPAAARLQPLFLRGGSSRGRRGSGDSSTSTSTSRGGCGGR RGGGGGSPSSSTGAEREDDDESISISKPLVPAAAALPGPPAQGGVPVSATAPAAASSTST PTSSCSMTAADFGAGAAAGTVGGPGSRSAVGAGGTGTGGAASCCSCCCCCCGRPTRSGRR GRRRGCSPSPGCRWGYQALSVVLLLAQGGLLDLYLIAVTDLYWCSWIATDLVVVVGWAIF FAKNSRGRRGGPANSMHNHHQLHHHSAPPLHLSAAASAGAGAKARGGRGGSGGSGAGPGT TGAAGEFAFAYLAWLIYSIAFTPKVVLILGTSILDLIELRAPFGTTGFRLTMALSVPLLY SLVRAISEAGAPPGSAGPLLLQPQQHRAAGCFLGTCLDLLDSFTLVELMLDGRVPLPAHL RYLLIAVYFLTLASPVLWLYELNTATAAPSWGQTSGPGSCSRLLRLLGGCLVDVPLLALR SLLVVSYQQPLSIFMLKNLFFLGCRGLEALEGCWDRGSWVSPSRARSSYGAPPSAPPPPP PPPPQGGSQRGHLENEGGPHGYVNTLAVASQN >ENSMUSP00000135483.1 pep:known chromosome:GRCm38:1:16665207:16678195:1 gene:ENSMUSG00000025940.6 transcript:ENSMUST00000177501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem70 description:transmembrane protein 70 [Source:MGI Symbol;Acc:MGI:1915068] MLLGLGGRWAAGLWPGRTRTTWCVAAALRGSGIAFWRAASLAEPERLRSGGHLALAESCG PPGRAQQIPVCWEQCVRCFHTQVDKPENGRLIYTGNLARTIFGVKCFSYSTSVVSLAFLP YLLSQNNMMFGSLPLQVLFYGVMGSFTVITPTLLHLLTKGYVIRLYHEATSDTYRAVTYN VMLSETSTVFHQDDVTIPESAHIFTSFYAKTKSLLVNPALFLNPEDYNHLMGYDKPFTFD MEEVDEKKLHEGEK >ENSMUSP00000070497.5 pep:known chromosome:GRCm38:1:16665210:16678275:1 gene:ENSMUSG00000025940.6 transcript:ENSMUST00000065373.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem70 description:transmembrane protein 70 [Source:MGI Symbol;Acc:MGI:1915068] MLLGLGGRWAAGLWPGRTRTTWCVAAALRGSGIAFWRAASLAEPERLRSGGHLALAESCG PPGRAQIPVCWEQCVRCFHTQVDKPENGRLIYTGNLARTIFGVKCFSYSTSVVSLAFLPY LLSQNNMMFGSLPLQVLFYGVMGSFTVITPTLLHLLTKGYVIRLYHEATSDTYRAVTYNV MLSETSTVFHQDDVTIPESAHIFTSFYAKTKSLLVNPALFLNPEDYNHLMGYDKPFTFDM EEVDEKKLHEGEK >ENSMUSP00000006742.4 pep:known chromosome:GRCm38:8:21992785:22060305:-1 gene:ENSMUSG00000006567.11 transcript:ENSMUST00000006742.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp7b description:ATPase, Cu++ transporting, beta polypeptide [Source:MGI Symbol;Acc:MGI:103297] MDPRKNLASVGTMPEQERQVTAKEASRKILSKLALPGRPWEQSMKQSFAFDNVGYEGGLD STSSSPAATDVVNILGMTCHSCVKSIEDRISSLKGIVNIKVSLEQGSATVRYVPSVMNLQ QICLQIEDMGFEASAAEGKAASWPSRSSPAQEAVVKLRVEGMTCQSCVSSIEGKIRKLQG VVRIKVSLSNQEAVITYQPYLIQPEDLRDHICDMGFEAAIKNRTAPLRLGPIDVNKLEST NLKKETVSPVQISNHFETLGHQGSYLATLPLRIDGMHCKSCVLNIEGNIGQLPGVQNIHV SLENKTAQIQYDPSCVTPMFLQTAIEALPPGHFKVSLPDGVEENEPQSGSSQRHQEQGPG RTAVLTISGITCASSVQPIEDMLSQRKGVQQTSISLAEGTGAVLYDPSIVSLDELRTAVE DMGFEVSVNSETFTINPVRNFKSGNSVPQTMGDIAGSVQKMAPDTRGLPTHQGPGHSSET PSSPGATASQKCFVQIKGMTCASCVSNIERSLQRHAGILSVLVALMSGKAEVKYDPEIIQ SPRIAQLIQDLGFEASVMEDNTVSEGDIELIITGMTCASCVHNIESKLTRTNGITYASVA LATSKAHVKFDPEIVGPRDIIKIIEEIGFHASLAQRNPNAHHLDHKTEIKQWKKSFLCSL VFGIPVMGLMVYMLIPSSTPQETMVLDHNIIPGLSVLNLIFFILCTFVQFLGGWYFYVQA YKSLRHRSANMDVLIVLATTIAYAYSLVILVVAVAEKAEKSPVTFFDTPPMLFVFIALGR WLEHVAKSKTSEALAKLMSLQATEATVVTLGEDNLILREEQVPMELVQRGDVIKVVPGGK FPVDGKVLEGNTMADESLITGEAMPVTKKPGSIVIAGSINAHGSVLLKATHVGNDTTLAQ IVKLVEEAQMSKAPIQQLADRFSGYFVPFIIIISTLTLVVWIVIGFVDFGVVQKYFPSPS KHISQTEVIIRFAFQTSITVLCIACPCSLGLATPTAVMVGTGVAAQNGVLIKGGKPLEMA HKIKTVMFDKTGTITHGVPRVMRFLLLADVATLPLRKVLAVVGTAEASSEHPLGVAVTKY CKEELGTETLGYSTDFQAVPGCGISCKVSNVEGILARSDLTAHPVGVGNPPTGEGAGPQT FSVLIGNREWMRRNGLTISSDISDAMTDHEMKGQTAILVAIDGVLCGMIAIADAVKPEAA LAIYTLKSMGVDVALITGDNRKTARAIATQVGINKVFAEVLPSHKVAKVQELQNEGKKVA MVGDGVNDSPALAQADVGIAIGTGTDVAIEAADVVLIRNDLLDVVASIHLSKRTVRRIRV NLVLALIYNMVGIPIAAGVFMPIGIVLQPWMGSAAMAASSVSVVLSSLQLKCYRKPDLER YEAQAHGRMKPLSASQVSVHIGMDDRRRDSPRATAWDQVSYVSQVSLSSLTSDRLSRHGG AAEDGGDKWSLLLSDRDEEQCI >ENSMUSP00000106366.2 pep:known chromosome:GRCm38:8:21994651:22060019:-1 gene:ENSMUSG00000006567.11 transcript:ENSMUST00000110738.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp7b description:ATPase, Cu++ transporting, beta polypeptide [Source:MGI Symbol;Acc:MGI:103297] MPEQERQVTAKEASRKILSKLALPGRPWEQSMKQSFAFDNVGYEGGLDSTSSSPAATDVV NILGMTCHSCVKSIEDRISSLKGIVNIKVSLEQGSATVRYVPSVMNLQQICLQIEDMGFE ASAAEGKAASWPSRSSPAQEAVVKLRVEGMTCQSCVSSIEGKIRKLQGVVRIKVSLSNQE AVITYQPYLIQPEDLRDHICDMGFEAAIKNRTAPLRLGPIDVNKLESTNLKKETVSPVQI SNHFETLGHQGSYLATLPLRIDGMHYMLSQRKGVQQTSISLAEGTGAVLYDPSIVSLDEL RTAVEDMGFEVSVNSETFTINPVRNFKSGNSVPQTMGDIAGSVQKMAPDTRGLPTHQGPG HSSETPSSPGATASQKCFVQIKGMTCASCVSNIERSLQRHAGILSVLVALMSGKAEVKYD PEIIQSPRIAQLIQDLGFEASVMEDNTVSEGDIELIITGMTCASCVHNIESKLTRTNGIT YASVALATSKAHVKFDPEIVGPRDIIKIIEEIGFHASLAQRNPNAHHLDHKTEIKQWKKS FLCSLVFGIPVMGLMVYMLIPSSTPQETMVLDHNIIPGLSVLNLIFFILCTFVQFLGGWY FYVQAYKSLRHRSANMDVLIVLATTIAYAYSLVILVVAVAEKAEKSPVTFFDTPPMLFVF IALGRWLEHVAKSKTSEALAKLMSLQATEATVVTLGEDNLILREEQVPMELVQRGDVIKV VPGGKFPVDGKVLEGNTMADESLITGEAMPVTKKPGSIVIAGSINAHGSVLLKATHVGND TTLAQIVKLVEEAQMSKAPIQQLADRFSGYFVPFIIIISTLTLVVWIVIGFVDFGVVQKY FPSPSKHISQTEVIIRFAFQTSITVLCIACPCSLGLATPTAVMVGTGVAAQNGVLIKGGK PLEMAHKIKTVMFDKTGTITHGVPRVMRFLLLADVATLPLRKVLAVVGTAEASSEHPLGV AVTKYCKEELGTETLGYSTDFQAVPGCGISCKVSNVEGILARSDLTAHPVGVGNPPTGEG AGPQTFSVLIGNREWMRRNGLTISSDISDAMTDHEMKGQTAILVAIDGVLCGMIAIADAV KPEAALAIYTLKSMGVDVALITGDNRKTARAIATQVGINKVFAEVLPSHKVAKVQELQNE GKKVAMVGDGVNDSPALAQADVGIAIGTGTDVAIEAADVVLIRNDLLDVVASIHLSKRTV RRIRVNLVLALIYNMVGIPIAAGVFMPIGIVLQPWMGSAAMAASSVSVVLSSLQLKCYRK PDLERYEAQAHGRMKPLSASQVSVHIGMDDRRRDSPRATAWDQVSYVSQVSLSSLTSDRL SRHGGAAEDGGDKWSLLLSDRDEEQCI >ENSMUSP00000139858.1 pep:known chromosome:GRCm38:Y:86061772:86087952:1 gene:ENSMUSG00000100240.1 transcript:ENSMUST00000188754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20820 description:predicted gene, 20820 [Source:MGI Symbol;Acc:MGI:5434176] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYISTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000139917.1 pep:known chromosome:GRCm38:9:57142800:57148127:-1 gene:ENSMUSG00000032298.13 transcript:ENSMUST00000190245.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neil1 description:nei endonuclease VIII-like 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1920024] MPEGPELHLASHFVNETCKGLVFGGCVEKSSVSRNPEVPFESSAYHISALARGKELRLTL SPLPGSQPPQKPLSLVFRFGMSGSFQLVPAEALPRHAHLRFYTAPPAPRLALCFVDIRRF GHWDPGGEWQPGRGPCVLLEYERFRENVLRNLSDKAFDRPICEALLDQRFFNGIGNYLRA EILYRLKIPPFEKARTVLEALQQCRPSPELTLSQKIKAKLQNPDLLELCHLVPKEVVQLG GKGYGPERGEEDFAAFRAWLRCYGVPGMSSLRDRHGRTIWFQGDPGPLAPKGGRSQKKKS QETQLGAEDRKEDLPLSSKSVSRMRRARKHPPKRIAQQSEGAGLQQNQETPTAPEKGKRR GQRASTGHRRRPKTIPDTRPREAGESSAS >ENSMUSP00000141048.1 pep:known chromosome:GRCm38:9:57143070:57148305:-1 gene:ENSMUSG00000032298.13 transcript:ENSMUST00000186410.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neil1 description:nei endonuclease VIII-like 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1920024] MPEGPELHLASHFVNETCKGLVFGGCVEKSSVSRNPEVPFESSAYHISALARGKELRLTL SPLPGSQPPQKPLSLVFRFGMSGSFQLVPAEALPRHAHLRFYTAPPAPRLALCFVDIRRF GHWDPGGEWQPGRGPCVLLEYERFRENVLRNLSDKAFDRPICEALLDQRFFNGIGNYLRA EILYRLKIPPFEKARTVLEALQQCRPSPELTLSQKIKAKLQNPDLLELCHLVPKEVVQLG GKGYGPERGEEDFAAFRAWLRCYGVPGMSSLRDRHGRTIWFQGDPGPLAPKGGRSQKKKS QETQLGAEDRKEDLPLSSKSVSRMRRARKHPPKRIAQQSEGAGLQQNQETPTAPEKGKRR GQRASTGHRRRPKTIPDTRPREAGESSAS >ENSMUSP00000034842.4 pep:known chromosome:GRCm38:9:57143256:57147034:-1 gene:ENSMUSG00000032298.13 transcript:ENSMUST00000034842.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neil1 description:nei endonuclease VIII-like 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1920024] MPEGPELHLASHFVNETCKGLVFGGCVEKSSVSRNPEVPFESSAYHISALARGKELRLTL SPLPGSQPPQKPLSLVFRFGMSGSFQLVPAEALPRHAHLRFYTAPPAPRLALCFVDIRRF GHWDPGGEWQPGRGPCVLLEYERFRENVLRNLSDKAFDRPICEALLDQRFFNGIGNYLRA EILYRLKIPPFEKARTVLEALQQCRPSPELTLSQKIKAKLQNPDLLELCHLVPKEVVQLG GKGYGPERGEEDFAAFRAWLRCYGVPGMSSLRDRHGRTIWFQGDPGPLAPKGGRSQKKKS QETQLGAEDRKEDLPLSSKSVSRMRRARKHPPKRIAQQSEGAGLQQNQETPTAPEKGKRR GQRASTGHRRRPKTIPDTRPREAGESSAS >ENSMUSP00000120414.1 pep:known chromosome:GRCm38:4:132184912:132212303:-1 gene:ENSMUSG00000040025.17 transcript:ENSMUST00000152796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdf2 description:YTH domain family 2 [Source:MGI Symbol;Acc:MGI:2444233] MSASSLLEQRPKGQGNKVQNGSVHQKDGLNDDDFEPYLSPQARPNNAYTAMSDSYLPSYY SPSIGFSYSLGEAAWSTGGDTAMPYLTSYGQLSNGEPHFLPDAMFGQPGALGSTPFLGQH GFNFFPSGIDFSAWGNNSSQGQSTQSSGYSSNYAYAPSSLGGAMIDGQSAFANETLNKAP GMNTIDQGMAALKLGSTEVASSVPKVVGSAVGSGSITSNIVASSSLPPATIAPPKPASWA DIASKPAKQQPKLKTKNGIAGSSLPPPPIKHNMDIGTWDNKGPVAKAPSQALVQNIGQPT QGSPQPVGQQANNSPPVAQASVGQQTQPLPPPPPQPAQLSVQQQAAQPTRWVAPRNRGSG FGHNGVDGNGVGQSQAGSGSTPSEPHPVLEKLRSINNYNPKDFDWNLKHGRVFIIKSYSE DDIHRSIKYNIWCSTEHGNKRLDAAYRSMNGKGPVYLLFSVNGSGHFCGVAEMKSAVDYN TCAGVWSQDKWKGRFDVRWIFVKDVPNSQLRHIRLENNENKPVTNSRDTQEVPLEKAKQV LKIIASYKHTTSIFDDFSHYEKRQEEEESVKKERQGRGK >ENSMUSP00000082275.4 pep:known chromosome:GRCm38:4:132186290:132212091:-1 gene:ENSMUSG00000040025.17 transcript:ENSMUST00000085181.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdf2 description:YTH domain family 2 [Source:MGI Symbol;Acc:MGI:2444233] MSASSLLEQRPKGQGNKVQNGSVHQKDGLNDDDFEPYLSPQARPERQGRGK >ENSMUSP00000129225.1 pep:known chromosome:GRCm38:4:132186688:132210809:-1 gene:ENSMUSG00000040025.17 transcript:ENSMUST00000165072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdf2 description:YTH domain family 2 [Source:MGI Symbol;Acc:MGI:2444233] XKDGLNDDDFEPYLSPQARPNNAYTAMSDSYLPSYYSPSIGFSYSLGEAAWSTGGDTAMP YLTSYGQLSNGEPHFLPDAMFGQPGALGTSRSWEIESGSA >ENSMUSP00000059798.8 pep:known chromosome:GRCm38:9:55148854:55207529:1 gene:ENSMUSG00000032307.16 transcript:ENSMUST00000059555.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2q2 description:ubiquitin-conjugating enzyme E2Q (putative) 2 [Source:MGI Symbol;Acc:MGI:2388672] MSVSGLKAELKFLASIFDKNHERFRIVSWKLDELHCQFLVPPPPPPPGSSLSPPPPLTLH CNITESYPSSSPIWFVDSDDPNLTSVLERLEDTKNNSSLRQQLKWLICDLCRLYNLPKHL DVEMLDQPLPTGQNGTTEEVTSEEEEEEEMAEDIEDLDHYEMKEEEPINGKKSEDEGIEK ENLAILEKIRKTQRQDHLNGAVSGSVQASDRLMKELRDVYRSQSYKAGIYSVELINDSLY DWHVKLHKVDSDSPLHSDLQILKEKEGIEYILLNFSFKDNFPFDPPFVRVVLPVLSGGYV LGGGALCMELLTKQGWSSAYSIESVIMQINATLVKGKARVQFGANKNQYNLARAQQSYNS IVQIHEKNGWYTPPKEDG >ENSMUSP00000113336.1 pep:known chromosome:GRCm38:9:55149395:55206399:1 gene:ENSMUSG00000032307.16 transcript:ENSMUST00000121677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2q2 description:ubiquitin-conjugating enzyme E2Q (putative) 2 [Source:MGI Symbol;Acc:MGI:2388672] MSVSGLKAELKFLASIFDKNHERFRIVSWKLDELHCQFLVPPPPPPPGSSLSPPPPLTLH CNITESYPSSSPIWFVDSDDPNLTSVLERLEDTKNNSSNGTTEEVTSEEEEEEEMAEDIE DLDHYEMKEEEPINGKKSEDEGIEKENLAILEKIRKTQRQDHLNGAVSGSVQASDRLMKE LRDVYRSQSYKAGIYSVELINDSLYDWHVKLHKVDSDSPLHSDLQILKEKEGIEYILLNF SFKDNFPFDPPFVRVVLPVLSGGYVLGGGALCMELLTKQGWSSAYSIESVIMQINATLVK GKARVQFGANKNQYNLARAQQSYNSIVQIHEKNGWYTPPKEDG >ENSMUSP00000112745.1 pep:known chromosome:GRCm38:9:55150083:55206808:1 gene:ENSMUSG00000032307.16 transcript:ENSMUST00000122441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2q2 description:ubiquitin-conjugating enzyme E2Q (putative) 2 [Source:MGI Symbol;Acc:MGI:2388672] MLDQPLPTGQNGTTEEVTSEEEEEEEMAEDIEDLDHYEMKEEEPINGKKSEDEGIEKENL AILEKIRKTQRQDHLNGAVSGSVQASDRLMKELRDVYRSQSYKAGIYSVELINDSLYDWH VKLHKVDSDSPLHSDLQILKEKEGIEYILLNFSFKDNFPFDPPFVRVVLPVLSGGYVLGG GALCMELLTKQGWSSAYSIESVIMQINATLVKGKARVQFGANKNQYNLARAQQSYNSIVQ IHEKNGWYTPPKEDG >ENSMUSP00000098502.2 pep:known chromosome:GRCm38:6:125546774:125592174:1 gene:ENSMUSG00000001930.17 transcript:ENSMUST00000100941.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwf description:Von Willebrand factor homolog [Source:MGI Symbol;Acc:MGI:98941] MSHLISVLGTKTQFFLRVMNPFRYEICLLVLALTWPGTLCTEKPRDRPSTARCSLFGDDF INTFDETMYSFAGGCSYLLAGDCQKRSFSILGNFQDGKRMSLSVYLGEFFDIHLFANGTV TQGDQSISMPYASQGLYLEREAGYYKLSSETFGFAARIDGNGNFQVLMSDRHFNKTCGLC GDFNIFAEDDFRTQEGTLTSDPYDFANSWALSSEEQRCKRASPPSRNCESSSGDMHQAMW EQCQLLKTASVFARCHPLVDPESFVALCEKILCTCATGPECACPVLLEYARTCAQEGMVL YGWTDHSACRPACPAGMEYKECVSPCPRTCQSLSINEVCQQQCVDGCSCPEGELLDEDRC VQSSDCPCVHAGKRYPPGTSLSQDCNTCICRNSLWICSNEECPGRLGTFSPFLPVLCGEV >ENSMUSP00000107873.2 pep:known chromosome:GRCm38:6:125552948:125686679:1 gene:ENSMUSG00000001930.17 transcript:ENSMUST00000112254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwf description:Von Willebrand factor homolog [Source:MGI Symbol;Acc:MGI:98941] MNPFRYEICLLVLALTWPGTLCTEKPRDRPSTARCSLFGDDFINTFDETMYSFAGGCSYL LAGDCQKRSFSILGNFQDGKRMSLSVYLGEFFDIHLFANGTVTQGDQSISMPYASQGLYL EREAGYYKLSSETFGFAARIDGNGNFQVLMSDRHFNKTCGLCGDFNIFAEDDFRTQEGTL TSDPYDFANSWALSSEEQRCKRASPPSRNCESSSGDMHQAMWEQCQLLKTASVFARCHPL VDPESFVALCEKILCTCATGPECACPVLLEYARTCAQEGMVLYGWTDHSACRPACPAGME YKECVSPCPRTCQSLSINEVCQQQCVDGCSCPEGELLDEDRCVQSSDCPCVHAGKRYPPG TSLSQDCNTCICRNSLWICSNEECPGECLVTGQSHFKSFDNRYFTFSGICQYLLARDCED HTFSIVIETMQCADDPDAVCTRSVSVRLSALHNSLVKLKHGGAVGIDGQDVQLPFLQGDL RIQHTVMASVRLSYAEDLQMDWDGRGRLLVKLSPVYSGKTCGLCGNYNGNKGDDFLTPAG LVEPLVVDFGNAWKLQGDCSDLRRQHSDPCSLNPRLTRFAEEACALLTSSKFEACHHAVS PLPYLQNCRYDVCSCSDSRDCLCNAVANYAAECARKGVHIGWREPGFCALGCPQGQVYLQ CGNSCNLTCRSLSLPDEECSEVCLEGCYCPPGLYQDERGDCVPKAQCPCYYDGELFQPAD IFSDHHTMCYCEDGFMHCTTSGTLGSLLPDTVLSSPLSHRSKRSLSCRPPMVKLVCPADN PRAQGLECAKTCQNYDLECMSLGCVSGCLCPPGMVRHENKCVALERCPCFHQGAEYAPGD TVKIGCNTCVCRERKWNCTNHVCDATCSAIGMAHYLTFDGLKYLFPGECQYVLVQDYCGS NPGTFQILVGNEGCSYPSVKCRKRVTILVDGGELELFDGEVNVKRPLRDESHFEVVESGR YVILLLGQALSVVWDHHLSISVVLKHTYQEQVCGLCGNFDGIQNNDFTTSSLQVEEDPVN FGNSWKVSSQCADTRKLSLDVSPATCHNNIMKQTMVDSACRILTSDVFQGCNRLVDPEPY LDICIYDTCSCESIGDCACFCDTIAAYAHVCAQHGQVVAWRTPTLCPQSCEEKNVRENGY ECEWRYNSCAPACPVTCQHPEPLACPVQCVEGCHAHCPPGRILDELLQTCVDPQDCPVCE VAGRRLAPGKKITLSPDDPAHCQNCHCDGVNLTCEACQEPGGLVAPPTDAPVSSTTPYVE DTPEPPLHNFYCSKLLDLVFLLDGSSMLSEAEFEVLKAFVVGMMERLHISQKRIRVAVVE YHDGSRAYLELKARKRPSELRRITSQIKYTGSQVASTSEVLKYTLFQIFGKIDRPEASHI TLLLTASQEPPRMARNLVRYVQGLKKKKVIVIPVGIGPHASLKQIRLIEKQAPENKAFLL SGVDELEQRRDEIVSYLCDLAPEAPAPTQPPQVAHVTVSPGIAGISSPGPKRKSMVLDVV FVLEGSDEVGEANFNKSKEFVEEVIQRMDVSPDATRISVLQYSYTVTMEYAFNGAQSKEE VLRHVREIRYQGGNRTNTGQALQYLSEHSFSPSQGDRVEAPNLVYMVTGNPASDEIKRLP GDIQVVPIGVGPHANMQELERISRPIAPIFIRDFETLPREAPDLVLQTCCSKEGLQLPTL PPLPDCSQPLDVVLLLDGSSSLPESSFDKMKSFAKAFISKANIGPHLTQVSVIQYGSINT IDVPWNVVQEKAHLQSLVDLMQQEGGPSQIGDALAFAVRYVTSQIHGARPGASKAVVIII MDTSLDPVDTAADAARSNRVAVFPVGVGDRYDEAQLRILAGPGASSNVVKLQQVEDLSTM ATLGNSFFHKLCSGFSGVCVDEDGNEKRPGDVWTLPDQCHTVTCLANGQTLLQSHRVNCD HGPRPSCANSQSPVRVEETCGCRWTCPCVCTGSSTRHIVTFDGQNFKLTGSCSYVIFQNK EQDLEVLLHNGACSPGAKQACMKSIEIKHAGVSAELHSNMEMAVDGRLVLAPYVGENMEV SIYGAIMYEVRFTHLGHILTYTPQNNEFQLQLSPKTFASKMHGLCGICDENGANDFTLRD GTVTTDWKRLVQEWTVQQPGYTCQAVPEEQCPVSDSSHCQVLLSASFAECHKVIAPATFH TICQQDSCHQERVCEVIASYAHLCRTSGVCVDWRTTDFCAMSCPPSLVYNHCERGCPRHC DGNTSFCGDHPSEGCFCPQHQVFLEGSCVPEEACTQCVGEDGVRHQFLETWVPDHQPCQI CMCLSGRKINCTAQPCPTARAPTCGPCEVARLKQSTNLCCPEYECVCDLFNCNLPPVPPC EGGLQPTLTNPGECRPTFTCACRKEECKRVSPPSCPPHRTPTLRKTQCCDEYECACSCVN STLSCPLGYLASATTNDCGCTTTTCLPDKVCVHRGTVYPVGQFWEEGCDTCTCTDMEDTV VGLRVVQCSQRPCEDSCQPGFSYVLHEGECCGRCLPSACKVVAGSLRGDSHSSWKSVGSR WAVPENPCLVNECVRVEDAVFVQQRNISCPQLAVPTCPTGFQLNCETSECCPSCHCEPVE ACLLNGTIIGPGKSVMVDLCTTCRCIVQTDAISRFKLECRKTTCEACPMGYREEKSQGEC CGRCLPTACTIQLRGGRIMTLKQDETFQDGCDSHLCRVNERGEYIWEKRVTGCPPFDEHK CLAEGGKIVKIPGTCCDTCEEPDCKDITAKVQYIKVGDCKSQEEVDIHYCQGKCASKAVY SIDIEDVQEQCSCCLPSRTEPMRVPLHCTNGSVVYHEVINAMQCRCSPRNCSK >ENSMUSP00000107872.1 pep:known chromosome:GRCm38:6:125552963:125592471:1 gene:ENSMUSG00000001930.17 transcript:ENSMUST00000112253.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwf description:Von Willebrand factor homolog [Source:MGI Symbol;Acc:MGI:98941] MGKMNPFRYEICLLVLALTWPGTLCTEKPRDRPSTARCSLFGDDFINTFDETMYSFAGGC SYLLAGDCQKRSFSILGNFQDGKRMSLSVYLGEFFDIHLFANGTVTQGDQSISMPYASQG LYLEREAGYYKLSSETFGFAARIDGNGNFQVLMSDRHFNKTCGLCGDFNIFAEDDFRTQE GTLTSDPYDFANSWALSSEEQRCKRASPPSRNCESSSGDMHQAMWEQCQLLKTASVFARC HPLVDPESFVALCEKILCTCATGPECACPVLLEYARTCAQEGMVLYGWTDHSACRPACPA GMEYKECVSPCPRTCQSLSINEVCQQQCVDGCSCPEGELLDEDRCVQSSDCPCVHAGKRY PPGTSLSQDCNTCICRNSLWICSNEECPGRLGTFSPFLPVLCGEV >ENSMUSP00000138132.1 pep:known chromosome:GRCm38:6:125649269:125686672:1 gene:ENSMUSG00000001930.17 transcript:ENSMUST00000147101.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vwf description:Von Willebrand factor homolog [Source:MGI Symbol;Acc:MGI:98941] XCGCRWTCPCVCTGSSTRHIVTFDGQNFKLTGSCSYVIFQNKEQDLEVLLHNGACSPGAK QACMKSIEIKHAGVSAELHSNMEMAVDGRLVLAPYVGENMEVSIYGAIMYEVRFTHLGHI LTYTPQNNEFQLQLSPKTFASKMHGLCGICDENGANDFTLRDGTVTTDWKRLVQEWTVQQ PGYTCQAVPEEQCPVSDSSHCQVLLSASFAECHKVIAPATFHTICQQDSCHQERVCELCH AHRPWCITTVSVAALGTAMGTLASVGTIPQKAASVPNTKFFWKAAVSPRRPALSVLARME FDISSWRPGSQTISPVRSVCASVGERLTALPSRVPQPELPRVAHVKWLASSRAQTCAAQS MSVCVTCSTATCLQCLRVKEGSSQP >ENSMUSP00000031982.4 pep:known chromosome:GRCm38:6:65117293:65144908:-1 gene:ENSMUSG00000029919.5 transcript:ENSMUST00000031982.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpgds description:hematopoietic prostaglandin D synthase [Source:MGI Symbol;Acc:MGI:1859384] MPNYKLLYFNMRGRAEIIRYIFAYLDIKYEDHRIEQADWPKIKPTLPFGKIPVLEVEGLT IHQSLAIARYLTKNTDLAGKTALEQCQADAVVDTLDDFMSLFPWAEKDQDLKERMFNELL THQAPRLLKDLDTYLGDKEWFIGNYVTWADFYWDICSTTLLVLKPGLLDIYPKLVSLRNK VQAIPAISAWILKRPQTKL >ENSMUSP00000134919.1 pep:known chromosome:GRCm38:14:18573575:18888449:-1 gene:ENSMUSG00000058317.11 transcript:ENSMUST00000176555.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube2e2 description:ubiquitin-conjugating enzyme E2E 2 [Source:MGI Symbol;Acc:MGI:2384997] MSTEAQRVDDSPSTSGGSSDGDQRESVQQEPDREQVQPKKKEGKISSKTAAKLSTSAKRI QKELAEITLDPPPNCRSPSERESITVISTARV >ENSMUSP00000115738.1 pep:known chromosome:GRCm38:14:18573577:18893776:-1 gene:ENSMUSG00000058317.11 transcript:ENSMUST00000150727.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e2 description:ubiquitin-conjugating enzyme E2E 2 [Source:MGI Symbol;Acc:MGI:2384997] MSTEAQRVDDSPSTSGGSSDGDQRESVQQEPDREQVQPKKKEGKISSKTAAKLSTSAKRI QKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSPDYPFKPPK VTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIATQ YMTNRAEHDRMARQWTKRYAT >ENSMUSP00000135674.1 pep:known chromosome:GRCm38:14:18574041:18888297:-1 gene:ENSMUSG00000058317.11 transcript:ENSMUST00000175643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e2 description:ubiquitin-conjugating enzyme E2E 2 [Source:MGI Symbol;Acc:MGI:2384997] TAAKLSTSAKRIQKELAEITLDPPPNCRHMFYDSKWVPEALSAGPKGDNIYEWRSTILGP PGSVYEGGVFFLDITFSPDYPFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTIS KVLLSICSLLTDCNPADPLVGSIATQYMTNRAEHDRMARQWTKRYAT >ENSMUSP00000135622.1 pep:known chromosome:GRCm38:14:18574363:18888393:-1 gene:ENSMUSG00000058317.11 transcript:ENSMUST00000176843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e2 description:ubiquitin-conjugating enzyme E2E 2 [Source:MGI Symbol;Acc:MGI:2384997] GSSDGDQRESVQQEPDREQVQPKKKEGKISSKTAAKLSTSAKSAGPKGDNIYEWRSTILG PPGSVYEGGVFFLDITFSPDYPFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTI SKVLLSICSLLTDCNPADPLVGSIAT >ENSMUSP00000118321.1 pep:known chromosome:GRCm38:14:18586888:18893414:-1 gene:ENSMUSG00000058317.11 transcript:ENSMUST00000151926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e2 description:ubiquitin-conjugating enzyme E2E 2 [Source:MGI Symbol;Acc:MGI:2384997] MSRRKVPGCPELFPNCIIATGGSKMSTEAQRVDDSPSTSGGSSDGDQRESVQQEPDREQV QPKKKEGKISSKTAAKLSTSAKRIQKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPG SVYEGGVFFLDITFSPDYPFKPPKVTFRTRIYHCNINSQGVICLDILKDNWSPALTISKV L >ENSMUSP00000135573.1 pep:known chromosome:GRCm38:14:18630323:18893645:-1 gene:ENSMUSG00000058317.11 transcript:ENSMUST00000177259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e2 description:ubiquitin-conjugating enzyme E2E 2 [Source:MGI Symbol;Acc:MGI:2384997] MSTEAQRVDDSPSTSGGSSDGDQRESVQQEPDREQVQPKKKEGKISSKTAAKLSTSAKRI QKELAEITLDPPPNCSLHVLGLAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSP >ENSMUSP00000114162.1 pep:known chromosome:GRCm38:14:18630335:18894267:-1 gene:ENSMUSG00000058317.11 transcript:ENSMUST00000124353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e2 description:ubiquitin-conjugating enzyme E2E 2 [Source:MGI Symbol;Acc:MGI:2384997] MSTEAQRVDDSPSTSGGSSDGDQRESVQQEPDREQVQPKKKEGKISSKTAAKLSTSAKRI QKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDI >ENSMUSP00000075495.3 pep:known chromosome:GRCm38:14:18574294:18888441:-1 gene:ENSMUSG00000058317.11 transcript:ENSMUST00000076133.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2e2 description:ubiquitin-conjugating enzyme E2E 2 [Source:MGI Symbol;Acc:MGI:2384997] MSTEAQRVDDSPSTSGGSSDGDQRESVQQEPDREQVQPKKKEGKISSKTAAKLSTSAKRI QKELAEITLDPPPNCSAGPKGDNIYEWRSTILGPPGSVYEGGVFFLDITFSPDYPFKPPK VTFRTRIYHCNINSQGVICLDILKDNWSPALTISKVLLSICSLLTDCNPADPLVGSIATQ YMTNRAEHDRMARQWTKRYAT >ENSMUSP00000047474.4 pep:known chromosome:GRCm38:X:136245079:136247139:1 gene:ENSMUSG00000042712.10 transcript:ENSMUST00000048687.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp5 description:WW domain binding protein 5 [Source:MGI Symbol;Acc:MGI:109567] MKPCQKMEGNLEKEDEPKPEEEPKPEEKPEEGQEPEEEEKSEETFRERLIRSLQDFQEDI HNRHLSSDDLFRDVEELDEIRKVRNKLIVTRWKANRSHPYPYLM >ENSMUSP00000108740.1 pep:known chromosome:GRCm38:X:136245683:136247139:1 gene:ENSMUSG00000042712.10 transcript:ENSMUST00000113115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp5 description:WW domain binding protein 5 [Source:MGI Symbol;Acc:MGI:109567] MKPCQKMEGNLEKEDEPKPEEEPKPEEKPEEGQEPEEEEKSEETFRERLIRSLQDFQEDI HNRHLSSDDLFRDVEELDEIRKVRNKLIVTRWKANRSHPYPYLM >ENSMUSP00000139120.1 pep:known chromosome:GRCm38:9:76164102:76213657:-1 gene:ENSMUSG00000059383.14 transcript:ENSMUST00000184693.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gfral description:GDNF family receptor alpha like [Source:MGI Symbol;Acc:MGI:3607786] MLVFIFLAVTLSSENESSSQTNDCAHLIQKCLIDANGCEQSWRSMEDTCLTPGDSCKINN SLHCNLSIQALVEKNFQFKECLCMDDLHCTVNKLFGKKCTNKTDNMEKDNKDKWNLTTTP FYHGFKQMQSCLEVTEACVGDVVCNAQLALYLKACSANGNLCDVKHCQAAIRFFYQNMPF NTAQMLAFCDCAQSDIPCQQSKETLHSKPCALNIVPPPTCLSVIHTCRNDELCRLPNS >ENSMUSP00000074421.5 pep:known chromosome:GRCm38:9:76164102:76213657:-1 gene:ENSMUSG00000059383.14 transcript:ENSMUST00000074880.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfral description:GDNF family receptor alpha like [Source:MGI Symbol;Acc:MGI:3607786] MLVFIFLAVTLSSENESSSQTNDCAHLIQKCLIDANGCEQSWRSMEDTCLTPGDSCKINN SLHCNLSIQALVEKNFQFKECLCMDDLHCTVNKLFGKKCTNKTDNMEKDNKDKWNLTTTP FYHGFKQMQSCLEVTEACVGDVVCNAQLALYLKACSANGNLCDVKHCQAAIRFFYQNMPF NTAQMLAFCDCAQSDIPCQQSKETLHSKPCALNIVPPPTCLSVIHTCRNDELCRTHYRTF QTECWPHITGKCHEDETCISMLGKQDLTCSGSESCRAAFLGTFGTVLQVPCACRGVTQAE EHVCMIFQHMLHSKSCFNYPTPNVKDISSYEKKNSKEITLTGFNSFFNGELLYVVVCMAV TCGILFLVMLKLRIQSEKRDPSSIEIAGGVIIQ >ENSMUSP00000113162.1 pep:known chromosome:GRCm38:8:71406010:71409904:1 gene:ENSMUSG00000046295.13 transcript:ENSMUST00000119976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankle1 description:ankyrin repeat and LEM domain containing 1 [Source:MGI Symbol;Acc:MGI:1918775] MADTACLALRLLAALREEEARAVEELLRLGADPNLVLDDGAAAVHLAARASHPRALHCLR MLLRWGADPNARSAEGLTPVHVAAAWGCCGALELLLSRGGDPTLRDQDGLRPLDWALQQR HHNCARVLQELDTPTQPDETREPTETFHVAQGSFETETCQGPALAESSGVSQDSELHVHR AELEVEAVEVAVHPQSSEATENSDYSSDASFVTAVEDSLQPGRPGGALELVAGLWVTRGA VSAGKGAPNCQPQVLTLTARDTDKPVLPGDGDLGALHPHSSVPPMSDLQLLQALRALGYS PGPVTPFTRGHYLRRLQEAQASRADVGHSQELAEALRTGTIPDCQVDEEALAQCFQRLDP LKKWREGITKSSFTYLLLDPRLTKDLPARASSLTLAECLQCFVRAIFYVGKGTRARPDAH LWEAFGYHDQPRKQVCPKVRRILDIWASGRGIISLHCFQHVVAMEAYTREACLLDALGLQ TLTNQKQGHYYGVVAHWPPSRRRRLGVHLLQRALLVFLAEGERELRPQDIQARG >ENSMUSP00000112797.1 pep:known chromosome:GRCm38:8:71406024:71409483:1 gene:ENSMUSG00000046295.13 transcript:ENSMUST00000120725.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankle1 description:ankyrin repeat and LEM domain containing 1 [Source:MGI Symbol;Acc:MGI:1918775] MADTACLALRLLAALREEEARAVEELLRLGADPNLVLDDGAAAVHLAARASHPRALHCLR MLLRWGADPNARSAEGLTPVHVAAAWGCCGALELLLSRGGDPTLRDQDGLRPLDWALQQR HHNCARVLQELDTPTQPDETREPTAESSGVSQDSELHVHRAELEVEAVEVAVHPQSSEAT ENSDYSSDASFVTAVEDSLQPGRPGGALELVAGLWVTRGAVSAGKGAPNCQPQVLTLTAR DTDKPVLPGDGDLGALHPHSSVPPMSDLQLLQALRALGYSPGPVTPFTRGHYLRRLQEAQ ASRADVGHSQELAEALRTGTIPDCQVDEEALAQCFQRLDPLKKWREGITKSSFTYLLLDP RLTKDLPARASSLTLAECLQCFVRAIFYVGKGTRARPDAHLWEAFGYHDQPRKQVCPKVR RILDIWASGRGIISLHCFQHVVAMEAYTREACLLDALGLQTLTNQKQGHYYGVVAHWPPS RRRRLGVHLLQRALLVFLAEGERELRPQDIQARG >ENSMUSP00000073522.4 pep:known chromosome:GRCm38:X:118479708:118480737:-1 gene:ENSMUSG00000100133.1 transcript:ENSMUST00000073857.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgif2lx1 description:TGFB-induced factor homeobox 2-like, X-linked 1 [Source:MGI Symbol;Acc:MGI:2387796] MEEAEGSPEETQDFMKYYSSFGIRLERTCKMKFHDSRELPRGNMLPLKSVKILRDWLCEH QFNAYPTVADKRMLSKNTDLSYLQVSNWFVNIRKHLRWEIRYKPYSLSHEGQAANAAQKQ HSNPSEEVKTQFNENADMQDLPLPIRQDSEEKVPYLESSPNQKVIAEDNIEKEEKISITE PWSSPEVAWPEEKPDFSSFYMLVDVAVQKAKEMEEQKKQNPNPQGPQQQFM >ENSMUSP00000095349.2 pep:known chromosome:GRCm38:4:155781591:155785874:-1 gene:ENSMUSG00000073680.2 transcript:ENSMUST00000097742.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem88b description:transmembrane protein 88B [Source:MGI Symbol;Acc:MGI:2444329] MSEQERETEEDEGVASDTAPMLPRRRPTDYHISVLAPILATRGLGTLVLSGRALVGFLLH LLLPGTVFLLVLLPAAAVVYLGFLCHSRVHPAPGPRCRALLSDRGSAALIVFGLLSLPPL VVLAAAARSLLVRRLRPALPDPARTPAPRRPPRSSGDLADGHPDEDKQLCAWV >ENSMUSP00000106339.2 pep:known chromosome:GRCm38:2:120567671:120601255:1 gene:ENSMUSG00000027287.14 transcript:ENSMUST00000110711.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap23 description:synaptosomal-associated protein 23 [Source:MGI Symbol;Acc:MGI:109356] MDNLSPEEVQLRAHQVTDESLESTRRILGLAIESQDAGIKTITMLDEQGEQLNRIEEGMD QINKDMREAEKTLTELNKCCGLCICPCNRTKNFESGKNYKATWGDGGDNSPSNVVSKQPS RITNGQPQQTTGAASGGYIKRITNDAREDEMEENLTQVGSILGNLKNMALDMGNEIDAQN QQIQKITEKADTNKNRIDIANTRAKKLIDS >ENSMUSP00000028743.3 pep:known chromosome:GRCm38:2:120567691:120601255:1 gene:ENSMUSG00000027287.14 transcript:ENSMUST00000028743.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap23 description:synaptosomal-associated protein 23 [Source:MGI Symbol;Acc:MGI:109356] MDNLSPEEVQLRAHQVTDESLESTRRILGLAIESQDAGIKTITMLDEQGEQLNRIEEGMD QINKDMREAEKTLTELNKCCGLCICPCNRTKNFESGKNYKATWGDGGDNSPSNVVSKQPS RITNGQPQQTTGAASGGYIKRITNDAREDEMEENLTQVGSILGNLKNMALDMGNEIDAQN QQIQKITEKADTNKNRIDIANTRAKKLIDS >ENSMUSP00000112138.1 pep:known chromosome:GRCm38:2:120567697:120601255:1 gene:ENSMUSG00000027287.14 transcript:ENSMUST00000116437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap23 description:synaptosomal-associated protein 23 [Source:MGI Symbol;Acc:MGI:109356] MDNLSPEEVQLRAHQVTDESLESTRRILGLAIESQDAGIKTITMLDEQGEQLNRIEEGMD QINKDMREAEKTLTELNKCCGLCICPCNRFSVGDCFFETRTKNFESGKNYKATWGDGGDN SPSNVVSKQPSRITNGQPQQTTGAASGGYIKRITNDAREDEMEENLTQVGSILGNLKNMA LDMGNEIDAQNQQIQKITEKADTNKNRIDIANTRAKKLIDS >ENSMUSP00000119652.1 pep:known chromosome:GRCm38:2:120567721:120596792:1 gene:ENSMUSG00000027287.14 transcript:ENSMUST00000150611.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snap23 description:synaptosomal-associated protein 23 [Source:MGI Symbol;Acc:MGI:109356] MDNLSPEEVQLRAHQVTDESLESTRRILGLAIESQDAGIKTITMLDEQGEQLNRIEEGMD QINKDMREAEKTLTELNKCCGLCICPCNRSNIQAGLSS >ENSMUSP00000121509.1 pep:known chromosome:GRCm38:2:120567723:120586267:1 gene:ENSMUSG00000027287.14 transcript:ENSMUST00000153580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap23 description:synaptosomal-associated protein 23 [Source:MGI Symbol;Acc:MGI:109356] MDNLSPEEVQLRAHQVTDESLESTRRILGLAIESQDAGIKTITMLDEQGEQLNRIEEGMD QINKDMREAEKTLTELNKCCGLCICP >ENSMUSP00000116935.1 pep:known chromosome:GRCm38:2:120567738:120596705:1 gene:ENSMUSG00000027287.14 transcript:ENSMUST00000142278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap23 description:synaptosomal-associated protein 23 [Source:MGI Symbol;Acc:MGI:109356] MDNLSPEEVQLRAHQVTDESLESTRRILGLAIESQDAGIKTITMLDEQGEQLNRIEEGMD QINKDMREAEKTLTELNKCCGLCICPCNRTKNFESGKNYKATWGDGGDNSPSNVVSKQPS RITNGQPQQTTGAASGGYIKRITNDAREDEMEENLTQVGSIL >ENSMUSP00000036245.5 pep:known chromosome:GRCm38:7:127800604:127803802:1 gene:ENSMUSG00000042289.11 transcript:ENSMUST00000046863.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b7 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 7 [Source:MGI Symbol;Acc:MGI:2141879] MADSAQVPTLVYLVTGGCGFLGEHIVRMLLEREPRLRELRVFDLHLSSWLEELKAGPVQV TAIQGDVTQAHEVAAAMSGSHVVIHTAGLVDVFGKASPKTIHKVNVQGTQNVIDACVQTG TQYLVYTSSMEVVGPNIKGHPFYRGNEDTPYEAVHSHPYPCSKALAEQLVLEANGRKVNG GLPLVTCALRPTGIYGEGHQVMRDFYYQGLRFGGRLFRAVPASVEHGRVYVGNVAWMHIL VARELEQRAALMGGQVYFCYDKSPYKSYEDFNMEFLSPCGLRLIGAHPLLPYWLLVLLAT LNALLQWLLRPLVLYTPLLNPYTLAMANTTFTVSTNKAQRHFGYKPLFSWEESRTRTIQW VQAMEGSAR >ENSMUSP00000146308.1 pep:known chromosome:GRCm38:7:127800614:127802398:1 gene:ENSMUSG00000042289.11 transcript:ENSMUST00000206674.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b7 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 7 [Source:MGI Symbol;Acc:MGI:2141879] MADSAQVPTLVYLVTGGCGFLGEHIVRMLLEREPRLRELRVFDLHLSSWLEELKAGAMKI PHMRQSTAIPTHAVKPLLSSWSSRPMEGRYTAKMGLELQGQSLSPRLLCIHLQPTVERGL RFGGRLFRAVPASVEHGRVYV >ENSMUSP00000101879.1 pep:known chromosome:GRCm38:7:127800614:127803802:1 gene:ENSMUSG00000042289.11 transcript:ENSMUST00000106272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b7 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 7 [Source:MGI Symbol;Acc:MGI:2141879] MADSAQVPTLVYLVTGGCGFLGEHIVRMLLEREPRLRELRVFDLHLSSWLEELKAGPVQV TAIQGDVTQAHEVAAAMSGSHVVIHTAGLVDVFGKASPKTIHKVNVQGTQNVIDACVQTG TQYLVYTSSMEVVGPNIKGHPFYRGNEDTPYEAVHSHPYPCSKALAEQLVLEANGRKGLR FGGRLFRAVPASVEHGRVYVGNVAWMHILVARELEQRAALMGGQVYFCYDKSPYKSYEDF NMEFLSPCGLRLIGAHPLLPYWLLVLLATLNALLQWLLRPLVLYTPLLNPYTLAMANTTF TVSTNKAQRHFGYKPLFSWEESRTRTIQWVQAMEGSAR >ENSMUSP00000145613.1 pep:known chromosome:GRCm38:7:127800636:127802022:1 gene:ENSMUSG00000042289.11 transcript:ENSMUST00000125188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b7 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 7 [Source:MGI Symbol;Acc:MGI:2141879] MLLEREPRLRELRVFDLHLSSWLEELKAGPVQVTAIQGDVTQAHEVAAAMSGSHVVIHTA GLVDVFGKASPKTIHKVNVQGTQNVIDACVQTGTQYLVYTSSMEVVGPNIKGHPFYRGN >ENSMUSP00000145804.1 pep:known chromosome:GRCm38:7:127800646:127802270:1 gene:ENSMUSG00000042289.11 transcript:ENSMUST00000155005.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hsd3b7 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 7 [Source:MGI Symbol;Acc:MGI:2141879] MADSAQVPTLVYLVTGGCGFLGEHIVRMLLEREPRLRELRVFDLHLSSWLEELKAGCGQA KLSSWLRPQL >ENSMUSP00000121246.1 pep:known chromosome:GRCm38:7:127800680:127802038:1 gene:ENSMUSG00000042289.11 transcript:ENSMUST00000139068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b7 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 7 [Source:MGI Symbol;Acc:MGI:2141879] MADSAQVPTLVYLVTGGCGFLGEHIVRMLLEREPRLRELRVFDLHLSSWLEELKAGTQNV IDACVQTGTQYLVYTSSMEVVGPNIKGHPFYRGNEDTPYE >ENSMUSP00000101878.1 pep:known chromosome:GRCm38:7:127800916:127803802:1 gene:ENSMUSG00000042289.11 transcript:ENSMUST00000106271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b7 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 7 [Source:MGI Symbol;Acc:MGI:2141879] MADSAQVPTLVYLVTGGCGFLGEHIVRMLLEREPRLRELRVFDLHLSSWLEELKAGPVQV TAIQGDVTQAHEVAAAMSGSHVVIHTAGLVDVFGKASPKTIHKVNVQGTQNVIDACVQTG TQYLVYTSSMEVVGPNIKGHPFYRGNEDTPYEAVHSHPYPCSKALAEQLVLEANGRKAML LGCTYWWPGSWSSGQHSWVARCISAMISHLIKATRTSTWSF >ENSMUSP00000114536.1 pep:known chromosome:GRCm38:7:127800941:127801518:1 gene:ENSMUSG00000042289.11 transcript:ENSMUST00000138432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b7 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 7 [Source:MGI Symbol;Acc:MGI:2141879] MIPSMKNTWLEQVLPGNSSGCGFLGEHIVRMLLEREPRLRELRVFDLHLSSWLEELKAGP VQVTAIQGDVTQAHEVAA >ENSMUSP00000001008.5 pep:known chromosome:GRCm38:11:83647844:83649355:-1 gene:ENSMUSG00000000982.5 transcript:ENSMUST00000001008.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl3 description:chemokine (C-C motif) ligand 3 [Source:MGI Symbol;Acc:MGI:98260] MKVSTTALAVLLCTMTLCNQVFSAPYGADTPTACCFSYSRKIPRQFIVDYFETSSLCSQP GVIFLTKRNRQICADSKETWVQEYITDLELNA >ENSMUSP00000107795.3 pep:known chromosome:GRCm38:X:167207093:167209315:-1 gene:ENSMUSG00000049775.16 transcript:ENSMUST00000112172.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb4x description:thymosin, beta 4, X chromosome [Source:MGI Symbol;Acc:MGI:99510] MSDKPDMAEIEKFDKSKLKKTETQEKNPLPSKETIEQEKQAGES >ENSMUSP00000107798.1 pep:known chromosome:GRCm38:X:167207095:167209149:-1 gene:ENSMUSG00000049775.16 transcript:ENSMUST00000112176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb4x description:thymosin, beta 4, X chromosome [Source:MGI Symbol;Acc:MGI:99510] MLLPATMSDKPDMAEIEKFDKSKLKKTETQEKNPLPSKETIEQEKQAGES >ENSMUSP00000107797.1 pep:known chromosome:GRCm38:X:167207292:167208827:-1 gene:ENSMUSG00000049775.16 transcript:ENSMUST00000112175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb4x description:thymosin, beta 4, X chromosome [Source:MGI Symbol;Acc:MGI:99510] MLLPATMSDKPDMAEIEKFDKSKLKKTETQEKNPLPSKETIEQEKQAGES >ENSMUSP00000100542.1 pep:known chromosome:GRCm38:2:118699103:118703963:-1 gene:ENSMUSG00000078137.1 transcript:ENSMUST00000104937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd63 description:ankyrin repeat domain 63 [Source:MGI Symbol;Acc:MGI:2686183] MLKPKDLCPRAGTRTFLEAMQAGKVHLARFVLDALDRSIIDCRAEQGRTPLMVAVGLPDP AMRSRFVRLLLEQGAAVNLRDERGRTALSLACERGHLDAVQLLVQFSGDPEATDSAGNSP VMWAAACGHGAVLEFLVRSFRRLGLRLDRTNRAGLTALQLAASRGHGTCVQALTGPWGRA AAAAAARGSNSDSPPGHPAPAPSPERRRPSPRRLPRPLLARFARAAGGHGHGHGHGHGHG GELASAGKGSVRYRAQGNERPELGRSMSLALGTMTEEETARLRAGALMARPNSPQSSGSG RWRSQEVLEGAPLALMQAPVGLSPHPEGCPGSGRLGLRRRSTAPDIPSLVGEASGPESGP ELENNALPFSVPGPKPWQAGTEAVVLQAQR >ENSMUSP00000062231.3 pep:known chromosome:GRCm38:11:95009879:95026087:1 gene:ENSMUSG00000047181.12 transcript:ENSMUST00000055947.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd14 description:sterile alpha motif domain containing 14 [Source:MGI Symbol;Acc:MGI:2384945] MASSKLREPVDEVFDLDLAVPETTRLDSSLHKARAQLLAKGRRHRPSRSRLRDSASSAED GEGSDGPGGKVTDGCGSPLHRLRSPLHSGPGSPASGSFCLEPPGLRRSLDEDEPPPSPLA RYRPLHNAASHEGLAATSGSPPRSAPSSDSSPSFVRRYPRAEPHSEDDSRDASPPEPASP TIGLDKKTRRKFLDLGVTLRRASTSRSRKEKGSNRLSMGSRESVEGSGRTGSSPFLPFSW FTDSGKGSASSGSTTSPTCSPKHEGFSPKKSASQESTLSDDSTPPSSSPKIPGGPRQETK CSYPYHTLSQSSDEFLDESLPAVQHWTSQQVGQWLHSLNLEQYAAEFAARQVDGPQLLQL DGSKLKSLGLSNSHDRALVKRKLKELAAAAEKERKAQEKTAKQREKLRRRENDAKKS >ENSMUSP00000123361.1 pep:known chromosome:GRCm38:11:95010281:95020116:1 gene:ENSMUSG00000047181.12 transcript:ENSMUST00000124735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd14 description:sterile alpha motif domain containing 14 [Source:MGI Symbol;Acc:MGI:2384945] MASSKLREPVDEVFDLDLAVPETTRLDSSLHKARAQLLAKGRRHRPSRSRLRDSASSAED GEGSDGPGGKVTDGCGSPLHRLRSPLHSGPGSPASGSFCLEPPGLRRSLDEDEPPPSPLA RYRPLHNAASHE >ENSMUSP00000019791.7 pep:known chromosome:GRCm38:18:47245254:47368830:-1 gene:ENSMUSG00000019647.16 transcript:ENSMUST00000019791.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6a description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6A [Source:MGI Symbol;Acc:MGI:1203727] MRPAALLLCLTLLHCAGAGFPEDSEPISISHGNYTKQYPVFVGHKPGRNTTQRHRLDIQM IMIMNRTLYVAARDHIYTVDIDTSHTEEIYCSKKLTWKSRQADVDTCRMKGKHKDECHNF IKVLLKKNDDTLFVCGTNAFNPSCRNYRVDTLETFGDEFSGMARCPYDAKHANIALFADG KLYSATVTDFLAIDAVIYRSLGDSPTLRTVKHDSKWLKEPYFVQAVDYGDYIYFFFREIA VEYNTMGKVVFPRVAQVCKNDMGGSQRVLEKQWTSFLKARLNCSVPGDSHFYFNILQAVT DVIRINGRDVVLATFSTPYNSIPGSAVCAYDMLDIANVFTGRFKEQKSPDSTWTPVPDER VPKPRPGCCAGSSSLEKYATSNEFPDDTLNFIKTHPLMDEAVPSIINRPWFLRTMVRYRL TKIAVDNAAGPYQNHTVVFLGSEKGIILKFLARIGSSGFLNGSLFLEEMNVYNPEKCSYD GVEDKRIMGMQLDRASGSLYVAFSTCVIKVPLGRCERHGKCKKTCIASRDPYCGWVRESG SCAHLSPLSRLTFEQDIERGNTDGLGDCHNSFVALNGHASSLYPSTTTSDSASRDGYESR GGMLDWNDLLEAPGSTDPLGAVSSHNHQDKKGVIRESYLKSNDQLVPVTLLAIAVILAFV MGAVFSGIIVYCVCDHRRKDVAVVQRKEKELTHSRRGSMSSVTKLSGLFGDTQSKDPKPE AILTPLMHNGKLATPSNTAKMLIKADQHHLDLTALPTPESTPTLQQKRKPNRGSREWERN QNIINACTKDMPPMGSPVIPTDLPLRASPSHIPSVVVLPITQQGYQHEYVDQPKMSEVVA QMALEDQAATLEYKTIKEHLSSKSPNHGVNLVENLDSLPPKVPQREASLGPPGTSLSQTG LSKRLEMQHSSSYGLEYKRSYPTNSLTRSHQTTTLKRNNTNSSNSSHLSRNQSFGRGDNP PPAPQRVDSIQVHSSQPSGQAVTVSRQPSLNAYNSLTRSGLKRTPSLKPDVPPKPSFAPL STSMKPNDACT >ENSMUSP00000075420.6 pep:known chromosome:GRCm38:18:47247438:47368446:-1 gene:ENSMUSG00000019647.16 transcript:ENSMUST00000076043.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6a description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6A [Source:MGI Symbol;Acc:MGI:1203727] MRPAALLLCLTLLHCAGAGFPEDSEPISISHGNYTKQYPVFVGHKPGRNTTQRHRLDIQM IMIMNRTLYVAARDHIYTVDIDTSHTEEIYCSKKLTWKSRQADVDTCRMKGKHKDECHNF IKVLLKKNDDTLFVCGTNAFNPSCRNYRVDTLETFGDEFSGMARCPYDAKHANIALFADG KLYSATVTDFLAIDAVIYRSLGDSPTLRTVKHDSKWLKEPYFVQAVDYGDYIYFFFREIA VEYNTMGKVVFPRVAQVCKNDMGGSQRVLEKQWTSFLKARLNCSVPGDSHFYFNILQAVT DVIRINGRDVVLATFSTPYNSIPGSAVCAYDMLDIANVFTGRFKEQKSPDSTWTPVPDER VPKPRPGCCAGSSSLEKYATSNEFPDDTLNFIKTHPLMDEAVPSIINRPWFLRTMVRYRL TKIAVDNAAGPYQNHTVVFLGSEKGIILKFLARIGSSGFLNGSLFLEEMNVYNPEKCSYD GVEDKRIMGMQLDRASGSLYVAFSTCVIKVPLGRCERHGKCKKTCIASRDPYCGWVRESG SCAHLSPLSRLTFEQDIERGNTDGLGDCHNSFVALNGVIRESYLKSNDQLVPVTLLAIAV ILAFVMGAVFSGIIVYCVCDHRRKDVAVVQRKEKELTHSRRGSMSSVTKLSGLFGDTQSK DPKPEAILTPLMHNGKLATPSNTAKMLIKADQHHLDLTALPTPESTPTLQQKRKPNRGSR EWERNQNIINACTKDMPPMGSPVIPTDLPLRASPSHIPSVVVLPITQQGYQHEYVDQPKM SEVVAQMALEDQAATLEYKTIKEHLSSKSPNHGVNLVENLDSLPPKVPQREASLGPPGTS LSQTGLSKRLEMQHSSSYGLEYKRSYPTNSLTRSHQTTTLKRNNTNSSNSSHLSRNQSFG RGDNPPPAPQRVDSIQVHSSQPSGQAVTVSRQPSLNAYNSLTRSGLKRTPSLKPDVPPKP SFAPLSTSMKPNDACT >ENSMUSP00000120011.1 pep:known chromosome:GRCm38:18:47247440:47368448:-1 gene:ENSMUSG00000019647.16 transcript:ENSMUST00000135790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6a description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6A [Source:MGI Symbol;Acc:MGI:1203727] MRPAALLLCLTLLHCAGAGFPEDSEPISISHGNYTKQYPVFVGHKPGRNTTQRHRLDIQM IMIMNRTLYVAARDHIYTVDIDTSHTEEIYCSKKLTWKSRQADVDTCRMKGKHKDECHNF IKVLLKKNDDTLFVCGTNAFNPSCRNYRVDTLETFGDEFSGMARCPYDAKHANIALFADG KLYSATVTDFLAIDAVIYRSLGDSPTLRTVKHDSKWLKEPYFVQAVDYGDYIYFFFREIA VEYNTMGKVVFPRVAQVCKNDMGGSQRVLEKQWTSFLKARLNCSVPGDSHFYFNILQAVT DVIRINGRDVVLATFSTPYNSIPGSAVCAYDMLDIANVFTGRFKEQKSPDSTWTPVPDER VPKPRPGCCAGSSSLEKYATSNEFPDDTLNFIKTHPLMDEAVPSIINRPWFLRTMVRYRL TKIAVDNAAGPYQNHTVVFLGSEKGIILKFLARIGSSGFLNGSLFLEEMNVYNPEKCSYD GVEDKRIMGMQLDRASGSLYVAFSTCVIKVPLGRCERHGKCKKTCIASRDPYCGWVRESG SCAHLSPLSRLTFEQDIERGNTDGLGDCHNSFVALNDISTPLPDYEMSFNRVYGHASSLY PSTTTSDSASRDGYESRGGMLDWNDLLEAPGSTDPLGAVSSHNHQDKKGVIRESYLKSND QLVPVTLLAIAVILAFVMGAVFSGIIVYCVCDHRRKDVAVVQRKEKELTHSRRGSMSSVT KLSGLFGDTQSKDPKPEAILTPLMHNGKLATPSNTAKMLIKADQHHLDLTALPTPESTPT LQQKRKPNRGSREWERNQNIINACTKDMPPMGSPVIPTDLPLRASPSHIPSVVVLPITQQ GYQHEYVDQPKMSEVVAQMALEDQAATLEYKTIKEHLSSKSPNHGVNLVENLDSLPPKVP QREASLGPPGTSLSQTGLSKRLEMQHSSSYGLEYKRSYPTNSLTRSHQTTTLKRNNTNSS NSSHLSRNQSFGRGDNPPPAPQRVDSIQVHSSQPSGQAVTVSRQPSLNAYNSLTRSGLKR TPSLKPDVPPKPSFAPLSTSMKPNDACT >ENSMUSP00000121442.1 pep:known chromosome:GRCm38:18:47248383:47333348:-1 gene:ENSMUSG00000019647.16 transcript:ENSMUST00000156422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6a description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6A [Source:MGI Symbol;Acc:MGI:1203727] MRPAALLLCLTLLHCAGAGFPEDSEPISISHGNYTKQYPVFVGHKPGRNTTQRHRLDIQM IMIMNRTLYVAARDHIYTVDIDTSHTEEIYCSKKLTWKSRQADVDTCRMKGKHKDECHNF IKVLLKKNDDTLFVCGTNAFNPSCRNYRVDTLETFGDEFSGMARCPYDAKHANIALFADG KLYSATVTDFLAIDAVIYRSLGDSPTLRTVKHDSKWLKEPYFVQAVDYGDYIYFFFREIA VEYNTMGKVVFPRVAQVCKNDMGGSQRVLEKQWTSFLKARLNCSVPGDSHFYFNILQAVT DVIRINGRDVVLATFSTPYNSIPGSAVCAYDMLDIANVFTGRFKEQKSPDSTWTPVPDER VPKPRPGCCAGSSSLEKYATSNEFPDDTLNFIKTHPLMDEAVPSIINRPWFLRTMVRYRL TKIAVDNAAGPYQNHTVVFLGSEKGIILKFLARIGSSGFLNGSLFLEEMNVYNPEKCSYD GVEDKRIMGMQLDRASGSLYVAFSTCVIKVPLGRCERHGKCKKTCIASRDPYCGWVRESG SCAHLSPLSRLTFEQDIERGNTDGLGDCHNSFVALNGHASSLYPSTTTSDSASRDGYESR GGMLDWNDLLEAPGSTDPLGAVSSHNHQDKKGVIRESYLKSNDQLVPVTLLAIAVILAFV MGAVFSGIIVYCVCDHRRKDVAVVQRKEKELTHSRRGSMSSVTKLSGLFGDTQSKDPKPE AILTPLMHNGKLATPSNTAKMLIKADQHHLDLTALPTPESTPTLQQKRKPNRGSREWERN QNIINACTKDMPPMGSPVIPTDLPLRASPSHIPSVVVLPITQQGYQHEYVDQPKMSEVVA QMALEDQAATLEYKTIKEHLSSKSPNHGVNLVENLDSLPPKVPQREASLGPPGTSLSQTG LSKRLEMQHSSSYGLEYKRSYPTNSLTRSHQTTTLKRNNTNSSNSSHLSRNQSFGRGDNP PPAPQRVDSIQVHSSQPSGQAVTVSRQPSLNAYNSLTRSGLKRTPSLKPDVPPKPSFAPL STSMKPNDACT >ENSMUSP00000118655.1 pep:known chromosome:GRCm38:18:47291894:47333326:-1 gene:ENSMUSG00000019647.16 transcript:ENSMUST00000126684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6a description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6A [Source:MGI Symbol;Acc:MGI:1203727] MRPAALLLCLTLLHCAGAGFPEDSEPISISHGNYTKQYPVFVGHKPGRNTTQRHRLDIQM IMIMNRTLYVAARDHIYTVDIDTSHTEEIYCSKKLTWKSRQADVDTCRMKGKHKDECHNF IKVLLKKNDDTLFVCGTNAFNPSCRNYRVDTLETFGDEFSGMARCPYDAKHANIALFADG KLYSATVTDFLAIDAVIYRSLGDSPTLRTVKHDSKW >ENSMUSP00000120249.1 pep:known chromosome:GRCm38:18:47235598:47281195:-1 gene:ENSMUSG00000019647.16 transcript:ENSMUST00000123228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6a description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6A [Source:MGI Symbol;Acc:MGI:1203727] XIASRDPYCGWVRESGSCAHLSPLSRLTFEQDIERGNTDGLGDCHNSFVALNGHASSLYP STTTSDSASRDGYESRGGMLDWNDLLEAPGSTDPLGAVSSHNHQDKKVSEV >ENSMUSP00000111109.2 pep:known chromosome:GRCm38:18:47245255:47368868:-1 gene:ENSMUSG00000019647.16 transcript:ENSMUST00000115449.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6a description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6A [Source:MGI Symbol;Acc:MGI:1203727] MRPAALLLCLTLLHCAGAGFPEDSEPISISHGNYTKQYPVFVGHKPGRNTTQRHRLDIQM IMIMNRTLYVAARDHIYTVDIDTSHTEEIYCSKKLTWKSRQADVDTCRMKGKHKDECHNF IKVLLKKNDDTLFVCGTNAFNPSCRNYRVDTLETFGDEFSGMARCPYDAKHANIALFADG KLYSATVTDFLAIDAVIYRSLGDSPTLRTVKHDSKWLKEPYFVQAVDYGDYIYFFFREIA VEYNTMGKVVFPRVAQVCKNDMGGSQRVLEKQWTSFLKARLNCSVPGDSHFYFNILQAVT DVIRINGRDVVLATFSTPYNSIPGSAVCAYDMLDIANVFTGRFKEQKSPDSTWTPVPDER VPKPRPGCCAGSSSLEKYATSNEFPDDTLNFIKTHPLMDEAVPSIINRPWFLRTMVRYRL TKIAVDNAAGPYQNHTVVFLEEMNVYNPEKCSYDGVEDKRIMGMQLDRASGSLYVAFSTC VIKVPLGRCERHGKCKKTCIASRDPYCGWVRESGSCAHLSPLSRLTFEQDIERGNTDGLG DCHTVFVMKTGHASSLYPSTTTSDSASRDGYESRGGMLDWNDLLEAPGSTDPLGAVSSHN HQDKKGVIRESYLKSNDQLVPVTLLAIAVILAFVMGAVFSGIIVYCVCDHRRKDVAVVQR KEKELTHSRRGSMSSVTKLSGLFGDTQSKDPKPEAILTPLMHNGKLATPSNTAKMLIKAD QHHLDLTALPTPESTPTLQQKRKPNRGSREWERNQNIINACTKDMPPMGSPVIPTDLPLR ASPSHIPSVVVLPITQQGYQHEYVDQPKMSEVVAQMALEDQAATLEYKTIKEHLSSKSPN HGVNLVENLDSLPPKVPQREASLGPPGTSLSQTGLSKRLEMQHSSSYGLEYKRSYPTNSL TRSHQTTTLKRNNTNSSNSSHLSRNQSFGRGDNPPPAPQRVDSIQVHSSQPSGQAVTVSR QPSLNAYNSLTRSGLKRTPSLKPDVPPKPSFAPLSTSMKPNDACT >ENSMUSP00000131938.1 pep:known chromosome:GRCm38:1:171051175:171059403:-1 gene:ENSMUSG00000059498.13 transcript:ENSMUST00000164044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgr3 description:Fc receptor, IgG, low affinity III [Source:MGI Symbol;Acc:MGI:95500] MTLDTQMFQNAHSGSQWLLPPLTILLLFAFADRQSAALPKAVVKLDPPWIQVLKEDMVTL MCEGTHNPGNSSTQWFHNWSSIRSQVQSSYTFKATVNDSGEYRCQMEQTRLSDPVDLGVI SDWLLLQTPQRVFLEGETITLRCHSWRNKLLNRISFFHNEKSVRYHHYKSNFSIPKANHS HSGDYYCKGSLGSTQHQSKPVTITVQDPATTSSISLVWYHTAFSLVMCLLFAVDTGLYFY VRRNLQTPRDYWRKSLSIRKHQAPQDK >ENSMUSP00000131836.1 pep:known chromosome:GRCm38:1:171051195:171059403:-1 gene:ENSMUSG00000059498.13 transcript:ENSMUST00000169017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgr3 description:Fc receptor, IgG, low affinity III [Source:MGI Symbol;Acc:MGI:95500] MTLDTQMFQNAHSGSQWLLPPLTILLLFAFADRQSALPKAVVKLDPPWIQVLKEDMVTLM CEGTHNPGNSSTQWFHNWSSIRSQVQSSYTFKATVNDSGEYRCQMEQTRLSDPVDLGVIS DWLLLQTPQRVFLEGETITLRCHSWRNKLLNRISFFHNEKSVRYHHYKSNFSIPKANHSH SGDYYCKGSLGSTQHQSKPVTITVQDPATTSSISLVWYHTAFSLVMCLLFAVDTGLYFYV RRNLQTPRDYWRKSLSIRKHQAPQDK >ENSMUSP00000133039.1 pep:known chromosome:GRCm38:1:171057828:171064935:-1 gene:ENSMUSG00000059498.13 transcript:ENSMUST00000164179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgr3 description:Fc receptor, IgG, low affinity III [Source:MGI Symbol;Acc:MGI:95500] MTLDTQMFQNAHSGSQWLLPPLTILLLFAFADRQSALPKAVVKLDPPWIQVLKEDMVTLM CEGTHNPGNSSTQW >ENSMUSP00000143369.1 pep:known chromosome:GRCm38:9:110333288:110373081:1 gene:ENSMUSG00000032485.14 transcript:ENSMUST00000198976.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scap description:SREBF chaperone [Source:MGI Symbol;Acc:MGI:2135958] MTLTERLREKISQAFYNHGLLCASYPIPIILFTGLCILACCYPLLKLPLPGTGPVEFSTP VKGYSPPPADSDHKQGEPSEQPEWYVGAPVAYIQQIFVKSSVSPWHRNLLAVDVFRSPLS RAFQLVEEIRNHVLRDRPQETPEPTSRTWLPAA >ENSMUSP00000095953.3 pep:known chromosome:GRCm38:9:110333356:110384950:1 gene:ENSMUSG00000032485.14 transcript:ENSMUST00000098350.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scap description:SREBF chaperone [Source:MGI Symbol;Acc:MGI:2135958] MTLTERLREKISQAFYNHGLLCASYPIPIILFTGLCILACCYPLLKLPLPGTGPVEFSTP VKGYSPPPADSDHKQGEPSEQPEWYVGAPVAYIQQIFVKSSVSPWHRNLLAVDVFRSPLS RAFQLVEEIRNHVLRDSSGTKSLEEVCLQVTDLLPGLRKLRSLLPEHGCLLLSPGNFWQN DWERFHADPDIIGTIHQHEPKTLQTSATLKDLLFGVPGKYSGVSLYTRKRMVSYTITLVF QRYHAKFLSSLRARLMLLHPSPNCSLRAENLVHVHFKEEIGIAELIPLVTTYIILFAYIY FSTRKIDMVKSKWGLALAAVVTVLSSLLMSVGLCTLFGLTPTLNGGEIFPYLVVVIGLEN VLVLTKSVVSTPVDLEVKLRIAQGLSSESWSIMKNAATELGIILIGYFTLVPAIQEFCLF AVVGLVSDFFLQMLFFTTVLSIDIRRMELADLNKRLPPESCLPSAKPVGRPARYERQQAV RPSTPHTITLQPSSFRNLRLPKRLRVIYFLARTRLAQRLIMAGTVVWIGILVYTDPAGLR TYLAAQVTEQSPLGEGSLGPMPVPSGVLPASHPDPAFSIFPPDAPKLPENQTLPGELPEH AGPAEGVHDSRAPEVTWGPEDEELWRKLSFRHWPTLFNYYNITLAKRYISLLPVIPVTLH LNPREALEGRHPQDGRSAWAPQEPLPAGLWESGPKGPGGTQTHGDITLYKVAALGLAAGI VLVLLLLCLYRVLCPRNYGQPGGGPGRRRRGELPCDDYGYAPPETEIVPLVLRGHLMDIE CLASDGMLLVSCCLAGQVCVWDAQTGDCLTRIPRPGPRRDSCGGGAFETQENWERLSDGG KASPEEPGDSPPLRRRPRGPPPPSLFGDQPDLTCLIDTNFSVQLPPEPTQPEPRHRVGCG RSRDSGYDFSRLVQRVYQEEGLAAMRMPALRPPSPGPPLPQASQEEGTAPEKGSPPLAWT PSTAGSIWSLELQGNLIVVGRSSGRLEVWDAIEGVLCCSNEEISSGITALVFLDRRIVAA RLNGSLDFFSLETHTSLSPLQFRGTPGRGSSPSSSVYSSSNTVTCHRTHTVPCAHQKPIT ALRAAAGRLVTGSQDHTLRVFRLDDSCCLFTLKGHSGAITAVYIDQTMVLASGGQDGAIC LWDVLTGSRVSQTFAHRGDVTSLTCTASCVISSGLDDFISIWDRSTGIKLYSIQQDLGCG ASLGVISDNLLVTGGQGCVSFWDLNYGDLLQTVYLGKNSEAQPARQILVLDNAAIVCNFG SELSLVYVPSVLEKLD >ENSMUSP00000143710.1 pep:known chromosome:GRCm38:9:110333550:110353164:1 gene:ENSMUSG00000032485.14 transcript:ENSMUST00000198761.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scap description:SREBF chaperone [Source:MGI Symbol;Acc:MGI:2135958] MTLTERLREKISQAFYNHGLLCASYPIPIILFTGLCILAC >ENSMUSP00000142919.1 pep:known chromosome:GRCm38:9:110333636:110372313:1 gene:ENSMUSG00000032485.14 transcript:ENSMUST00000197630.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scap description:SREBF chaperone [Source:MGI Symbol;Acc:MGI:2135958] MTLTERLREKISQAFYNHGLLCASYPIPIILFTGLCILACCYPLLKLPLPGTGPVEFSTP VKGYSPPPADSDHKQGEPSEQPEWYVGAPVAYIQQIFVKSSVSPWHRNLLAVDVFRSPLS RAF >ENSMUSP00000142458.1 pep:known chromosome:GRCm38:9:110344199:110353164:1 gene:ENSMUSG00000032485.14 transcript:ENSMUST00000199709.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scap description:SREBF chaperone [Source:MGI Symbol;Acc:MGI:2135958] MTLTERLREKISQAFYNHGLLCASYPIPIILFTGLCILAC >ENSMUSP00000097486.1 pep:known chromosome:GRCm38:2:86216758:86217708:-1 gene:ENSMUSG00000075197.1 transcript:ENSMUST00000099902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1046 description:olfactory receptor 1046 [Source:MGI Symbol;Acc:MGI:3030880] MEKSNHSARIQVTEFILLGLTNNPGLKAPLFVIFLIIYLVTLMGNLGMVILTHVDSKLHT PMYFFLRHLSITDLGYSTVIGPKMMVNFVMQQNIISYTGCAVQLTFFEIFIITELFILSA MAYDRYVAICKPLLYVIIMAGKVRWGLVLVPYLYSLFVSLLLTVKLFTLSFCGSNIISYF YCDCVPLISLLCSDTHELELIILIFSGCNLLSSLLIVLVSYMFIFVAILRMNSKEGRSKA FSTCSSHLTVVVVFYGTLLFIYLQPKSSHTFEIDKMASVFYTLVIPMLNPLIYSLRNKEV KEALKRTLTQGLRIHT >ENSMUSP00000092961.1 pep:known chromosome:GRCm38:8:45953606:45975252:-1 gene:ENSMUSG00000071103.9 transcript:ENSMUST00000095323.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700029J07Rik description:RIKEN cDNA 1700029J07 gene [Source:MGI Symbol;Acc:MGI:1916729] MPIEGGKTDMERIGLFSEMEYITVGDKYVSPFNRPFNEAASKNRQILPGGTKEMSSLQAG YFDSQFARIFEGEGYVNLNQVRRRYMLAESKKNLGKAFIPSSGEKKPSGLGSYYGTIGGP VPFFSAQIKPKDKYQPPGKNLYTNPGKKGTGYGYANVTIGKQLSHSSDLYDAARQSYKKE SEEHHRLIKGSPFKLHLHPKDYFDTNPYFLEHHLPPLRREEKKEVSFKPFKPSSPGKKAG GMKAGAFDPYPAHSADPYVVKVEKAIPSKGERVFHPPNGPKSRPVESIMALNVKRALNVK NYKNASSTTLGKQLVF >ENSMUSP00000096383.2 pep:known chromosome:GRCm38:8:45953608:45975252:-1 gene:ENSMUSG00000071103.9 transcript:ENSMUST00000098786.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700029J07Rik description:RIKEN cDNA 1700029J07 gene [Source:MGI Symbol;Acc:MGI:1916729] MPIEGGKTDMERIGLFSEMEYITVGDKYVSPFNRPFNEAASKNRQILPGGTKEMSSLQAG YFDSQFARIFEGEGYVNLNQVRRRYMLAESKKNLGKAFIPSSGEKKPSGLGSYYGTIGGP VPFFSAQIKPKDKYQPPGKNLYTNPGKKGTGYGYANVTIGKQLSHSSDLYDAARQSYKKE SEEHHRLIKGSPFKLHLHPKDYFDTNPYFLEHHLPPLRREEKKEVSFKPFKPSSPGKKAG GMKAGAFDPYPAHSADPYVVKVEKAIPSKGERVFHPPNGPKSRPVESIMALNVKRALNVK NYKNASSTTLGKQLVF >ENSMUSP00000005953.4 pep:known chromosome:GRCm38:2:122765237:122809569:1 gene:ENSMUSG00000005803.14 transcript:ENSMUST00000005953.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqrdl description:sulfide quinone reductase-like (yeast) [Source:MGI Symbol;Acc:MGI:1929899] MAPLVTVVSSPRARLFACFLRLGTQQAGPLQLHTGACCTAKNHYEVLVLGGGAGGITMAT RMKRRVGAENVAIVEPSERHFYQPIWTLVGAGAKELSLSVRSTLSVIPSGVQWIQDRVAE LNPDENCIRTDSGKEISYRYLIIALGIQLDYEKIKGLPEGFAYPKIGSNYSVKTVEKTWK ALQGFKEGNALFTFPNTPVKCAGAPQKIMYLSEAYFRKTGKRPKANIIFNTALGTIFGVK KYADALQEIIRERDVSVNYKHNLIEVRPDKQEAVFEILDKPGETHVIPYEMLHVTPPMSA PDVLKRSPVADSAGWVDVDKETLQHKKYPNVFGIGDCTNLPTSKTAAAVAAQSGILDRTM CLIMKNQRPIKKYDGYTSCPLVTGYNRVILAEFDYTAQPLETFPFDQSKERITMYLMKAD MMPFLYWNMMLRGYWGGPAFLRKLFHLGMN >ENSMUSP00000135012.1 pep:known chromosome:GRCm38:2:122765389:122785088:1 gene:ENSMUSG00000005803.14 transcript:ENSMUST00000124460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqrdl description:sulfide quinone reductase-like (yeast) [Source:MGI Symbol;Acc:MGI:1929899] MAPLVTVVSSPRARLFACFLRLGTQQAGPLQLHTGACCTAKN >ENSMUSP00000135045.1 pep:known chromosome:GRCm38:2:122765391:122785095:1 gene:ENSMUSG00000005803.14 transcript:ENSMUST00000147475.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqrdl description:sulfide quinone reductase-like (yeast) [Source:MGI Symbol;Acc:MGI:1929899] MAPLVTVVSSPRARLFACFLRLGTQQAGPLQLHTGACCTAKNHYE >ENSMUSP00000106133.2 pep:known chromosome:GRCm38:2:122781999:122809553:1 gene:ENSMUSG00000005803.14 transcript:ENSMUST00000110506.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqrdl description:sulfide quinone reductase-like (yeast) [Source:MGI Symbol;Acc:MGI:1929899] MAPLVTVVSSPRARLFACFLRLGTQQAGPLQLHTGACCTAKNHYEVLVLGGGAGGITMAT RMKRRVGAENVAIVEPSERHFYQPIWTLVGAGAKELSLSVRSTLSVIPSGVQWIQDRVAE LNPDENCIRTDSGKEISYRYLIIALGIQLDYEKIKGLPEGFAYPKIGSNYSVKTVEKTWK ALQGFKEGNALFTFPNTPVKCAGAPQKIMYLSEAYFRKTGKRPKANIIFNTALGTIFGVK KYADALQEIIRERDVSVNYKHNLIEVRPDKQEAVFEILDKPGETHVIPYEMLHVTPPMSA PDVLKRSPVADSAGWVDVDKETLQHKKYPNVFGIGDCTNLPTSKTAAAVAAQSGILDRTM CLIMKNQRPIKKYDGYTSCPLVTGYNRVILAEFDYTAQPLETFPFDQSKERITMYLMKAD MMPFLYWNMMLRGYWGGPAFLRKLFHLGMN >ENSMUSP00000117575.2 pep:known chromosome:GRCm38:2:122784961:122809338:1 gene:ENSMUSG00000005803.14 transcript:ENSMUST00000126403.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sqrdl description:sulfide quinone reductase-like (yeast) [Source:MGI Symbol;Acc:MGI:1929899] MAPLVTVVSSPRARLFACFLRLGTQQAGPLQLHTGACCTAKNHYEVLVLGGGAGGITMAT RMKRRVGAENVAIVEPSERHFYQPIWTLVGAGAKELSLSVRSTLSVIPSGVQWIQDRVAE LNPDENCIRTDSGKEISYRYLIIALGIQLDYEKIKGLPEGFAYPKIGSNYSVKTVEKTWK ALQGFKEGNALFTFPNTPVKCAGAPQKIMYLSEAYFRKTGKRPKANIIFNTALGTIFGVK KYADALQEIIRERDVSVNYKHNLIEVRPDKQEAVFEILDKPGETHVIPLPSLESLIEQCV >ENSMUSP00000135786.1 pep:known chromosome:GRCm38:2:122799762:122809559:1 gene:ENSMUSG00000005803.14 transcript:ENSMUST00000176343.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqrdl description:sulfide quinone reductase-like (yeast) [Source:MGI Symbol;Acc:MGI:1929899] TGKRPKANIIFNTALGTIFGVKKYADALQEIIRERDVSVNYKHNLIEVRPDKQEAVFEIL DKPGETHVIPYEMLHVTPPMSAPDVLKRSPVADSAGWVDVDKETLQHKKYPNVFGIGDCT NLPTSKTAAAVAAQSGILDRTMCLIMKNQRPIKKRLLGRSSLLAKAVSSGHELKMAHSLL FLDGFWIKIAVTD >ENSMUSP00000031853.7 pep:known chromosome:GRCm38:6:50650672:50654439:-1 gene:ENSMUSG00000029831.7 transcript:ENSMUST00000031853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npvf description:neuropeptide VF precursor [Source:MGI Symbol;Acc:MGI:1926488] MEIISLKRFILLTVATSSFLTSNTFCTDEFMMPHFHSKEGDGKYSQLRGIPKGEKERSVS FQELKDWGAKNVIKMSPAPANKVPHSAANLPLRFGRTIDEKRSPAARVNMEAGTRSHFPS LPQRFGRTTARSPKTPADLPQKPLHSLGSSELLYVMICQHQEIQSPGGKRTRRGAFVETD DAERKPEK >ENSMUSP00000090304.5 pep:known chromosome:GRCm38:10:26981285:27616843:-1 gene:ENSMUSG00000019899.15 transcript:ENSMUST00000092639.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lama2 description:laminin, alpha 2 [Source:MGI Symbol;Acc:MGI:99912] MPAATAGILLLLLLGTLEGSQTQRRQSQAHQQRGLFPAVLNLASNALITTNATCGEKGPE MYCKLVEHVPGQPVRNPQCRICNQNSSNPYQRHPITNAIDGKNTWWQSPSIKNGVEYHYV TITLDLQQVFQIAYVIVKAANSPRPGNWILERSLDDVEYKPWQYHAVTDTECLTLYNIYP RTGPPSYAKDDEVICTSFYSKIHPLENGEIHISLINGRPSADDPSPELLEFTSARYIRLR FQRIRTLNADLMMFAHKDPREIDPIVTRRYYYSVKDISVGGMCICYGHARACPLDPATNK SRCECEHNTCGESCDRCCPGFHQKPWRAGTFLTKSECEACNCHGKAEECYYDETVASRNL SLNIHGKYIGGGVCINCTHNTAGINCETCVDGFFRPKGVSPNYPRPCQPCHCDPTGSLSE VCVKDEKYAQRGLKPGSCHCKTGFGGVNCDRCVRGYHGYPDCQPCNCSGLGSTNEDPCVG PCSCKENVEGEDCSRCKSGFFNLQEDNQKGCEECFCSGVSNRCQSSYWTYGNIQDMRGWY LTDLSGRIRMAPQLDNPDSPQQISISNSEARKSLLDGYYWSAPPPYLGNRLPAVGGQLSF TISYDLEEEEDDTEKILQLMIIFEGNDLRISTAYKEVYLEPSEEHIEEVSLKEEAFTIHG TNLPVTRKDFMIVLTNLERVLMQITYNLGMDAIFRLSSVNLESAVPYPTDRRIATDVEVC QCPPGYSGSSCETCWPRHRRVNGTIFGGICEPCQCFAHAEACDDITGECLNCKDHTGGPY CNECLPGFYGDPTRGSPEDCQPCACPLNIPSNNFSPTCHLDRSLGLICDECPIGYTGPRC ERCAEGYFGQPSIPGGSCQPCQCNDNLDYSIPGSCDSLSGSCLICKPGTTGRYCELCADG YFGDAVNAKNCQPCRCNINGSFSEICHTRTGQCECRPNVQGRHCDECKPETFGLQLGRGC LPCNCNSFGSKSFDCEASGQCWCQPGVAGKKCDRCAHGYFNFQEGGCIACDCSHLGNNCD PKTGQCICPPNTTGEKCSECLPNTWGHSIVTGCKVCNCSTVGSLASQCNVNTGQCSCHPK FSGMKCSECSRGHWNYPLCTLCDCFLPGTDATTCDLETRKCSCSDQTGQCSCKVNVEGVH CDRCRPGKFGLDAKNPLGCSSCYCFGVTSQCSEAKGLIRTWVTLSDEQTILPLVDEALQH TTTKGIAFQKPEIVAKMDEVRQELHLEPFYWKLPQQFEGKKLMAYGGKLKYAIYFEARDE TGFATYKPQVIIRGGTPTHARIITRHMAAPLIGQLTRHEIEMTEKEWKYYGDDPRISRTV TREDFLDILYDIHYILIKATYGNVVRQSRISEISMEVAEPGHVLAGSPPAHLIERCDCPP GYSGLSCETCAPGFYRLRSEPGGRTPGPTLGTCVPCQCNGHSSQCDPETSVCQNCQHHTA GDFCERCALGYYGIVRGLPNDCQPCACPLISPSNNFSPSCVLEGLEDYRCTACPRGYEGQ YCERCAPGYTGSPSSPGGSCQECECDPYGSLPVPCDRVTGLCTCRPGATGRKCDGCEHWH AREGAECVFCGDECTGLLLGDLARLEQMTMNINLTGPLPAPYKILYGLENTTQELKHLLS PQRAPERLIQLAEGNVNTLVMETNELLTRATKVTADGEQTGQDAERTNSRAESLEEFIKG LVQDAEAINEKAVQLNETLGNQDKTAERNLEELQKEIDRMLKELRSKDLQTQKEVAEDEL VAAEGLLKRVNKLFGEPRAQNEDMEKDLQQKLAEYKNKLDDAWDLLREATDKTRDANRLS AANQKNMTILETKKEAIEGSKRQIENTLKEGNDILDEANRLLGEINSVIDYVDDIKTKLP PMSEELSDKIDDLAQEIKDRRLAEKVFQAESHAAQLNDSSAVLDGILDEAKNISFNATAA FRAYSNIKDYIDEAEKVAREAKELAQGATKLATSPQGLLKEDAKGSLQKSFRILNEAKKL ANDVKGNHNDLNDLKTRLETADLRNSGLLGALNDTMDKLSAITNDTAAKLQAVKEKAREA NDTAKAVLAQVKDLHQNLDGLKQNYNKLADSVAKTNAVVKDPSKNKIIADAGTSVRNLEQ EADRLIDKLKPIKELEDNLKKNISEIKELINQARKQANSIKVSVSSGGDCVRTYRPEIKK GSYNNIVVHVKTAVADNLLFYLGSAKFIDFLAIEMRKGKVSFLWDVGSGVGRVEYPDLTI DDSYWYRIEASRTGRNGSISVRALDGPKASMVPSTYHSVSPPGYTILDVDANAMLFVGGL TGKIKKADAVRVITFTGCMGETYFDNKPIGLWNFREKEGDCKGCTVSPQVEDSEGTIQFD GEGYALVSRPIRWYPNISTVMFKFRTFSSSALLMYLATRDLKDFMSVELSDGHVKVSYDL GSGMTSVVSNQNHNDGKWKAFTLSRIQKQANISIVDIDSNQEENVATSSSGNNFGLDLKA DDKIYFGGLPTLRNLSMKARPEVNVKKYSGCLKDIEISRTPYNILSSPDYVGVTKGCSLE NVYTVSFPKPGFVELAAVSIDVGTEINLSFSTRNESGIILLGSGGTLTPPRRKRRQTTQA YYAIFLNKGRLEVHLSSGTRTMRKIVIKPEPNLFHDGREHSVHVERTRGIFTVQIDEDRR HMQNLTEEQPIEVKKLFVGGAPPEFQPSPLRNIPAFQGCVWNLVINSIPMDFAQPIAFKN ADIGRCTYQKPREDESEAVPAEVIVQPQPVPTPAFPFPAPTMVHGPCVAESEPALLTGSK QFGLSRNSHIAIAFDDTKVKNRLTIELEVRTEAESGLLFYMARINHADFATVQLRNGFPY FSYDLGSGDTSTMIPTKINDGQWHKIKIVRVKQEGILYVDDASSQTISPKKADILDVVGI LYVGGLPINYTTRRIGPVTYSLDGCVRNLHMEQAPVDLDQPTSSFHVGTCFANAESGTYF DGTGFAKAVGGFKVGLDLLVEFEFRTTRPTGVLLGVSSQKMDGMGIEMIDEKLMFHVDNG AGRFTAIYDAGIPGHMCNGQWHKVTAKKIKNRLELVVDGNQVDAQSPNSASTSADTNDPV FVGGFPGGLNQFGLTTNIRFRGCIRSLKLTKGTGKPLEVNFAKALELRGVQPVSCPTT >ENSMUSP00000140716.1 pep:known chromosome:GRCm38:10:27187548:27616796:-1 gene:ENSMUSG00000019899.15 transcript:ENSMUST00000189575.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lama2 description:laminin, alpha 2 [Source:MGI Symbol;Acc:MGI:99912] MPAATAGILLLLLLGTLEGSQTQRRQSQAHQQRGLFPAVLNLASNALITTNATCGEKGPE MYCKLVEHVPGQPVRNPQCRICNQNSSNPYQRHPITNAIDGKNTWWQSPSIKNGVEYHYV TITLDLQQVFQIAYVIVKAANSPRPGNWILERSLDDVEYKPWQYHAVTDTECLTLYNIYP RTGPPSYAKDDEVICTSFYSKIHPLENGEIHISLINGRPSADDPSPELLEFTSARYIRLR FQRIRTLNADLMMFAHKDPREIDPIVTRRYYYSVKDISVGGMCICYGHARACPLDPATNK SRCECEHNTCGESCDRCCPGFHQKPWRAGTFLTKSECEACNCHGKAEECYYDETVASRNL SLNIHGKYIGGGVCINCTHNTAGINCETCVDGFFRPKGVSPNYPRPCQPCHCDPTGSLSE VCVKDEKYAQRGLKPGSCHCKTGFGGVNCDRCVRGYHGYPDCQPCNCSGLGSTNEDPCVG PCSCKENVEGEDCSRCKSGFFNLQEDNQKGCEECFCSGVSNRCQSSYWTYGNIQDMRGWY LTDLSGRIRMAPQLDNPDSPQQISISNSEARKSLLDGYYWSAPPPYLGNRLPAVGGQLSF TISYDLEEEEDDTEKILQLMIIFEGNDLRISTAYKEVYLEPSEEHIEEVSLKEEAFTIHG TNLPVTRKDFMIVLTNLERVLMQITYNLGMDAIFRLSSVNLESAVPYPTDRRIATDVEVC QCPPGYSGSSCETCWPRHRRVNGTIFGGICEPCQCFAHAEACDDITGECLNCKDHTGGPY CNECLPGFYGDPTRGSPEDCQPCACPLNIPSNNFSPTCHLDRSLGLICDECPIGYTGPRC ERCAEGYFGQPSIPGGSCQPCQCNDNLDYSIPGSCDSLSGSCLICKPGTTGRYCELCADG YFGDAVNAKNCQPCRCNINGSFSEICHTRTGQCECRPNVQGRHCDECKPETFGLQLGRGC LPCNCNSFGSKSFDCEASGQCWCQPGVAGKKCDRCAHGYFNFQEGGCIACDCSHLGNNCD PKTGQCICPPNTTGEKCSECLPNTWGHSIVTGCKVCNCSTVGSLASQCNVNTGQCSCHPK FSGMKCSECSRGHWNYPLCTLCDCFLPGTDATTCDLETRKCSCSDQTGQCSCKVNVEGVH CDRCRPGKFGLDAKNPLGCSSCYCFGVTSQCSEAKGLIRTWVTLSDEQTILPLVDEALQH TTTKGIAFQKPEIVAKMDEVRQELHLEPFYWKLPQQFEGKKLMAYGGKLKYAIYFEARDE TGFATYKPQVIIRGGTPTHARIITRHMAAPLIGQLTRHEIEMTEKEWKYYGDDPRISRTV TREDFLDILYDIHYILIKATYGNVVRQSR >ENSMUSP00000140538.1 pep:known chromosome:GRCm38:1:128103321:128179129:1 gene:ENSMUSG00000056211.13 transcript:ENSMUST00000188381.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm1 description:R3H domain containing 1 [Source:MGI Symbol;Acc:MGI:2448514] MRMSDIVIIKDETETMKDLEAEMRDTTRVENLIKSENYGKISAEKNEHCIDNNIDLQEKI QIQLTQSFEKEEKPSKDETDKEKASDKLPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKNN PRDRMMLLKLEQEILDFIGNNESPRKKFPPMTSYHRMLLHRVAAYFGLDHNVDQSGKSVI VNKTSNTRIPDQKFNEHIKDDRGEDFQKRYILKRDNSSFDKDDSQMRIRLKDDRRSKSI >ENSMUSP00000141142.1 pep:known chromosome:GRCm38:1:128103324:128188856:1 gene:ENSMUSG00000056211.13 transcript:ENSMUST00000187900.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm1 description:R3H domain containing 1 [Source:MGI Symbol;Acc:MGI:2448514] MRMSDIVIIKDETETMKDLEAEMRDTTRVENLIKSENYGKISAEKNEHCIDNNIDLQRPP QSFGQTGKRSKSSSKLKLVRSLAVCEESPPPPAAEISQETQEKIQIQLTQSFEKEEKPSK DETDKEKASDKLPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKNNPRDRMMLLKLEQEILD FIGNNESPRKKFPPMTSYHRMLLHRVAAYFGLDHNVDQSGKSVIVNKTSNTRIPDQKFNE HIKDDRGEDFQKRYILKRDNSSFDKDDSQMRIRLKDDRRSKSIEEREEEYQRARDRIFSQ DSLCSQENYIIDKRIQDEDTIGTQQRRQIFRVNKDASGRSTNSHQSSTENELKYSEPRPW SSTDSDSSLRNLKPAVTKASSFSGISVLTRGDSSGSSKSIGRLSKTGSESSGSVGSSTGS LSHTQQPLPGSALSQSSHGAPVIYPAASNHSSLSFDGGLSGQGASPSTSFLLLPLEATGI PPGSILINPQTGQPFLNPDGSPVVYNPPMTQQPVRTQVPGPPQPPLPPPPPQQPAASHMF SQVHKPMIL >ENSMUSP00000043103.4 pep:known chromosome:GRCm38:1:128103324:128237736:1 gene:ENSMUSG00000056211.13 transcript:ENSMUST00000036288.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm1 description:R3H domain containing 1 [Source:MGI Symbol;Acc:MGI:2448514] MRMSDIVIIKDETETMKDLEAEMRDTTRVENLIKSENYGKISAEKNEHCIDNNIDLQRPP QSFGQTGKRSKSSSKLKLVRSLAVCEESPPPPAAEISQETQEKIQIQLTQSFEKEEKPSK DETDKEKASDKLPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKNNPRDRMMLLKLEQEILD FIGNNESPRKKFPPMTSYHRMLLHRVAAYFGLDHNVDQSGKSVIVNKTSNTRIPDQKFNE HIKDDRGEDFQKRYILKRDNSSFDKDDSQMRIRLKDDRRSKSIEEREEEYQRARDRIFSQ DSLCSQENYIIDKRIQDEDTIGTQQRRQIFRVNKDASGRSTNSHQSSTENELKYSEPRPW SSTDSDSSLRNLKPAVTKASSFSGISVLTRGDSSGSSKSIGRLSKTGSESSGSVGSSTGS LSHTQQPLPGSALSQSSHGAPVIYPAASNHSSLSFDGGLSGQGASPSTSFLLLPLEATGI PPGSILINPQTGQPFLNPDGSPVVYNPPMTQQPVRTQVPGPPQPPLPPPPPQQPAASHMF SQPVGPLQSSSQPVQCSPAPYPSPLLPVSPTQQYSVDNLGAQFSHMSLARQPSADGSDPH ATMFQSTVVLQSPQQSGYIVTTAPPHPPPPPPPPPPPPSLPPGQSVPTASFSASGHPVSQ PVLQQQGFLPQPSPQMPACYCAPGHYHSSQPQYRPIPSVHHSSHLNQPLPQPAQHTGYQV MPNQQQNYQGIVGVQSPQSQSLMGGQPNSTGPHIQGVVIPYPSVPSYQVSLPQGSQGIAH QTYQQPVVFPNQSNQGSLPTTGMPVYYSVIPPGQQSNLSSAVGYLQHPGSEQVQFPRTTS PCSSQQLQGHQCAAVPQQPPGGGMVMMQLNLPNNPQSRTHSPPQWKQNKHYCDHQRGQKC MDFSNMDNIVQPSPQLSSPILSPVQSPAPAQLSTLKTIRPSGPPLSIMSQFARPFVPGQG DARYPLLGQPLQYNPPTLLHGHIPHQQGQSGSRHGNRGRRQAKKAASTDLGAGEAVVGKV LEITELPDGITRVEAEKLFGELFKIGAKIRWLRDPQSQPQLRRHALCCGSGDNTVNPEHS KPSDLASTYTVLATFPSISAAQSALKKQIHSVNKFKLRMSKKHYDFHILERASSQ >ENSMUSP00000139749.1 pep:known chromosome:GRCm38:1:128141964:128186909:1 gene:ENSMUSG00000056211.13 transcript:ENSMUST00000187023.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm1 description:R3H domain containing 1 [Source:MGI Symbol;Acc:MGI:2448514] MGLLPPDYCWFNTFDILADNCEEEPWVILFYFCGTPQSQIHRTHQEKIQIQLTQSFEKEE KPSKDETDKEKASDKLPRKMLSRDSSQEYTDSTGIDLHEFLVNTLKNNPRDRMMLLKLEQ EILDFIGNNESPRKKFPPMTSYHRMLLHRVAAYFGLDHNVDQSGKSVIVNKTSNTRIPDQ KFNEHIKDDRGEDFQKRYILKRDNSSFDKDDSQMRIRLKDDRRSKSIEEREEEYQRARDR IFSQDSLCSQENYIIDKRIQDEDTIGTQQRRQIFRVNKDASGRSTNSHQSSTENELKYSE PRPWSSTDSDSSLRNLKPAVTKASSFSGISVLTRGDSSGSSKSIGRLSKTGSESSGSVGS STGSLSHTQQPLPGSALSQSSHGAPVIYPAASNHSSLSFDGGLSGQGASPSTSFLLLPLE ATGIPPGSILINPQTGQPFLNPDGSPVVYNPPMTQQPVRTQVPGPPQPPLPPPPPQQPAA SHMFSQ >ENSMUSP00000140583.1 pep:known chromosome:GRCm38:1:128141987:128153326:1 gene:ENSMUSG00000056211.13 transcript:ENSMUST00000187557.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm1 description:R3H domain containing 1 [Source:MGI Symbol;Acc:MGI:2448514] MRMSDIVIIKDETETMKDLEAEMRDTTRVENL >ENSMUSP00000140175.1 pep:known chromosome:GRCm38:1:128151814:128179182:1 gene:ENSMUSG00000056211.13 transcript:ENSMUST00000189317.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm1 description:R3H domain containing 1 [Source:MGI Symbol;Acc:MGI:2448514] MRMSDIVIIKDETETMKDLEAEMRDTTRVENLIKSENYGKISAEKNEHCIDNNIDLQSSS KLKLVRSLAVCEESPPPPAAEISQETQEKIQIQLTQSFEKEEKPSKDETDKEKASDKLPR KMLSRDSSQEYTDSTGIDLHEFLVNTLKNNPRDRMMLLKLEQEILDFIGNNESPRKKFPP MTSYHRMLLHRVAAYFGLDHNVDQSGKSVIVNKTSNTRIPDQKFNEHIKDDRGEDFQKRY ILKRDNSSFDKDDSQMRIRLKDDRRSKSIEEREEEYQRARDRIFSQD >ENSMUSP00000139647.1 pep:known chromosome:GRCm38:1:128179143:128193500:1 gene:ENSMUSG00000056211.13 transcript:ENSMUST00000185853.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm1 description:R3H domain containing 1 [Source:MGI Symbol;Acc:MGI:2448514] XEYQRARDRIFSQDSLCSQENYIIDKRIQDEDTIGTQQRRQIFRVNKDASGRSTNSHQSS TENELKYSEPRPWSSTDSDSSLRNLKPAVTKASSFSGISVLTRGDSSGSSKSIGRLSKTG QPFLNPDGSPVVYNPPMTQQPVRTQVPGPPQPPLPPPPPQQPAASHMFSQDNLGAQFSHM SLAR >ENSMUSP00000140209.1 pep:known chromosome:GRCm38:1:128181741:128211239:1 gene:ENSMUSG00000056211.13 transcript:ENSMUST00000190056.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm1 description:R3H domain containing 1 [Source:MGI Symbol;Acc:MGI:2448514] IIDKRIQDEDTIGTQQRRQIFRVNKDASGRSTNSHQSSTENELKYSEPRPWSSTDSDSSL RNLKPAVTKASSFSGISVLTRGDSSGSSKSIGRLSKTGSESSGSVGSSTGSLSHTQQPLP GSALSQSSHGAPVIYPAASNHSSLSFDGGLSGQGASPSTSFLLLPLEATGIPPGSILINP QTGQPFLNPDGSPVVYNPPMTQQPVRTQVPGPPQPPLPPPPPQQPAASHMFSQDNLGAQF SHMSLARQPSADGSDPHATMFQSTVVLQSPQQSGYIVTTAPPHPPPPPPPPPPPPSLPPG QSVPTASFSASGHPVSQPVLQQQGFLPQPSPQMPACYCAPGHYHSSQPQYRPIPSVHHSS HLNQPLPQPAQHTGYQVMPNQQQNY >ENSMUSP00000050852.7 pep:known chromosome:GRCm38:3:125865271:125938619:-1 gene:ENSMUSG00000032854.12 transcript:ENSMUST00000057944.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt8a description:UDP galactosyltransferase 8A [Source:MGI Symbol;Acc:MGI:109522] MKSYTPYFMLLWSAVGIARAAKIIIVPPIMFESHLYIFKTLASALHERGHHTVLLLSEGR DIAPSNHYSLQRYPGIFNSTTSDAFLQSKMRNIFSGRLTAVELVDILDHYTKNCDMMVGN QALIQGLKKEKFDLLLVDPNDMCGFVIAHLLGVKYAVFSTGLWYPAEVGAPAPLAYVPEF NSLLTDRMNFLERMKNTGVYLISRIGVSFLVLPKYERIMQKYNLLPAKSMYDLVHGSSLW MLCTDVALEFPRPTLPNVVYVGGILTKPASPLPEDLQRWVSGAQEHGFVLVSFGAGVKYL SEDIANKLAGALGRLPQKVIWRFSGTKPKNLGNNTKLIEWLPQNDLLGHSNIRAFLSHGG LNSIFETMYHGVPVVGIPLFGDHYDTMTRVQAKGMGILLEWNTVTEGELYDALVKVINNP SYRQRAQKLSEIHKDQPGHPVNRTTYWIDYILRHDGARHLRSAVHQISFCQYFLLDIAFV LLLGAVLLYFILSYVTKFIYRKIKSLWSKNEHSTVNGHYQNGIRNGKYKGNGRVKHEKKV R >ENSMUSP00000143605.1 pep:known chromosome:GRCm38:3:125865506:125915885:-1 gene:ENSMUSG00000032854.12 transcript:ENSMUST00000198610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ugt8a description:UDP galactosyltransferase 8A [Source:MGI Symbol;Acc:MGI:109522] MKSYTPYFMLLWSAVGIARAAKIIIVPPIMFESHLYIFKTLASALHERGHHTVLLLSEGR DIAPSNHYSLQRYPGIFNSTTSDAFLQSKMRNIFSGRLTAVELVDILDHYTKNCDMMVGN QALIQGLKKEKFDLLLVDPNDMCGFVIAHLLGVKYAVFSTGLWYPAEVGAPAPLAYVPEF NSLLTDRMNFLERMKNTGVYLISRIGVSFLVLPKYERIMQKYNLLPAKSMYDLVHGSSLW MLCTDVALEFPRPTLPNVVYVGGILTKPASPLPEDLQRWVSGAQEHGFVLVSFGAGVKYL SEDIANKLAGALGRLPQKVIWRFSGTKPKNLGNNTKLIEWLPQNDLLGHSNIRAFLSHGG LNSIFETMYHGVPVVGIPLFGDHYDTMTRVQAKGMGILLEWNTVTEGELYDALVKVINNP SYRQRAQKLSEIHKDQPGHPVNRTTYWIDYILRHDGARHLRSAVHQISFCQYFLLDIAFV LLLGAVLLYFILSYVTKFIYRKIKSLWSKNEHSTVNGHYQNGIRNGKYKGNGRVKHEKKV R >ENSMUSP00000097485.1 pep:known chromosome:GRCm38:2:86228010:86228969:-1 gene:ENSMUSG00000075196.1 transcript:ENSMUST00000099901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1047 description:olfactory receptor 1047 [Source:MGI Symbol;Acc:MGI:3030881] MGRHNLTVVTEFVLMGITDRPELQAPLFGLFLIIYLISLIGNLGMIILTTVDSKLQTPMY FFLKHLAITDLGYSTSVGPKMLVNFVVDQNTISFKLCATQLSFFLVFIVSELFILSAMSY DRYVAICKPLLYTVIMSQKLCWVLVAIPYLYCTFVSLLVTVKIFTLSFCGYNVISHFYCD SLPLLPLLCSDTHDIELIILILAAFDLISSLLVVLVSYLLILIAIVRMNSAEGRRKAFST CGSHLTVVIVFYGTLIFMYVQPNSSHSFETDKVASIFYTLVIPMLNPLIYSLRNKDVKYA LKRTLNNLCKLFSLAFHKI >ENSMUSP00000028232.3 pep:known chromosome:GRCm38:2:34893757:34913976:-1 gene:ENSMUSG00000026873.9 transcript:ENSMUST00000028232.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf19 description:PHD finger protein 19 [Source:MGI Symbol;Acc:MGI:1921266] METQALEPGTLEAFGATSPNKGGLSKTKKNFKDLMSKVTEGQFVLCRWTDGLYYLGKIKR VSSPKQSCLVTFEDNSKYWVLWKDIQHAGVPGEEPKCDVCMGKTSGPMNEILICGKCGLG YHQQCHIPIAVDANWPLLTHWFCRRCIFALAVRKGGALKKGAIAKTLQAVKMVLSYQPEE LDWDSPHRTNQQQCYCYCGGPGEWYLRMLQCYRCRQWFHEACTQCLSEPMVFGDRFYLFF CSVCNQGPEYIERLPLRWVDIVHLALYNLGVQSKKRYFDFEEILAFVNHHWELLQLGKLT STPMTERGPHLLNALNSYKSRFLCGKEIKKKKCIFRLRIRVPPAPPGKLLPDRALMPSDK GTSELLRKKGKSKPGLLPQEPQQQKRRVYRRKRSKFLLEDAIPSSDFTSAWSTDHHLASI FDFTLDEIQSLKSGSSGQTFFSDVDSTDAASTSGSASTSLSYDSRWTVGSRKRKLTAKVH RPLRAKQRAAELEGRCASDSNAEGAVGPEQPDEGIDSHTLESISGDDSSLSHLKSSITNY FGAAGRLACGEKYRVLARRVTPEGKVQYLLEWEGTTPY >ENSMUSP00000143954.1 pep:known chromosome:GRCm38:2:34907098:34914017:-1 gene:ENSMUSG00000026873.9 transcript:ENSMUST00000202907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf19 description:PHD finger protein 19 [Source:MGI Symbol;Acc:MGI:1921266] METQALEPGTLEAFGATSPNKGGLSKTKKNFKDLMSKVTEGQFVLCRWTDGLYYLGKIKR VSSPKQSCLVTFEDNSKYWVLWKDIQHA >ENSMUSP00000074898.7 pep:known chromosome:GRCm38:7:19716644:19749573:-1 gene:ENSMUSG00000062300.14 transcript:ENSMUST00000075447.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl2 description:poliovirus receptor-related 2 [Source:MGI Symbol;Acc:MGI:97822] MARAAVLPPSRLSPTLPLLPLLLLLLQETGAQDVRVRVLPEVRGRLGGTVELPCHLLPPT TERVSQVTWQRLDGTVVAAFHPSFGVDFPNSQFSKDRLSFVRARPETNADLRDATLAFRG LRVEDEGNYTCEFATFPNGTRRGVTWLRVIAQPENHAEAQEVTIGPQSVAVARCVSTGGR PPARITWISSLGGEAKDTQEPGIQAGTVTIISRYSLVPVGRADGVKVTCRVEHESFEEPI LLPVTLSVRYPPEVSISGYDDNWYLGRSEAILTCDVRSNPEPTDYDWSTTSGVFPASAVA QGSQLLVHSVDRMVNTTFICTATNAVGTGRAEQVILVRESPSTAGAGATGGIIGGIIAAI IATAVAGTGILICRQQRKEQRLQAADEEEELEGPPSYKPPTPKAKLEEPEMPSQLFTLGA SEHSPVKTPYFDAGVSCADQEMPRYHELPTLEERSGPLLLGATGLGPSLLVPPGPNVVEG VSLSLEDEEEDDEEEDFLDKINPIYDALSYPSPSDSYQSKDFFVSRAMYV >ENSMUSP00000104089.3 pep:known chromosome:GRCm38:7:19724161:19749533:-1 gene:ENSMUSG00000062300.14 transcript:ENSMUST00000108450.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl2 description:poliovirus receptor-related 2 [Source:MGI Symbol;Acc:MGI:97822] MARAAVLPPSRLSPTLPLLPLLLLLLQETGAQDVRVRVLPEVRGRLGGTVELPCHLLPPT TERVSQVTWQRLDGTVVAAFHPSFGVDFPNSQFSKDRLSFVRARPETNADLRDATLAFRG LRVEDEGNYTCEFATFPNGTRRGVTWLRVIAQPENHAEAQEVTIGPQSVAVARCVSTGGR PPARITWISSLGGEAKDTQEPGIQAGTVTIISRYSLVPVGRADGVKVTCRVEHESFEEPI LLPVTLSVRYPPEVSISGYDDNWYLGRSEAILTCDVRSNPEPTDYDWSTTSGVFPASAVA QGSQLLVHSVDRMVNTTFICTATNAVGTGRAEQVILVRDTPQASRDVGPLVWGAVGGTLL VLLLAGGFLALILLRGRRRRKSPGGGGNDGDRGSYDPKTQVFGNGGPVFWRSASPEPMRP DGREEDEEEEEEMKAEEGLMLPPHESPKDDMESHLDGSLISRRAVYV >ENSMUSP00000048350.7 pep:known chromosome:GRCm38:11:101458745:101466230:-1 gene:ENSMUSG00000034993.7 transcript:ENSMUST00000040430.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vat1 description:vesicle amine transport protein 1 homolog (T californica) [Source:MGI Symbol;Acc:MGI:1349450] MSAEREAAEAATVAAATEAGAETGTGAGEGAPSQPPTVEVASDPQPPPAPEASASASAPP LRCLVLTGFGGYDKVKLQSRPAVPPAPGPGQLTLRVRACGLNFADLMGRQGLYDRLPPLP VTPGMEGAGVVVAVGEGVGDRKAGDRVMVLNRSGMWQEEVTVPSAQTFLMPEAMTFEEAA ALLVNYITAYMVLFDFGNLRPGHSVLVHMAAGGVGMAALQLCRTVENVTVFGTASASKHE VLKENGVTHPIDYHTTDYVDEIKKISPKGVDIVMDPLGGSDTAKGYHLLKPMGKVVTYGM ANLLTGPKRNLMAMARTWWNQFSVTALQLLQANRAVCGFHLGYLDGEVELVNSVVTRLVA LYNQGHIKPRIDSVWPFEKVADAMKQMQEKKNIGKVLLVPGPEKET >ENSMUSP00000048154.6 pep:known chromosome:GRCm38:9:36431884:36434938:1 gene:ENSMUSG00000023093.7 transcript:ENSMUST00000041537.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7257 description:predicted gene 7257 [Source:MGI Symbol;Acc:MGI:3647831] MGKHILQLLLVLSLLVMSSQALTCITCDRINSQGICESGEGCCQAKPGEKCASLITLKDG KIQFGNQRCANICFTGTVQTGDQTVKMKCCKKRSFCNEL >ENSMUSP00000025488.8 pep:known chromosome:GRCm38:18:56955833:56975368:-1 gene:ENSMUSG00000024592.15 transcript:ENSMUST00000025488.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330018D20Rik description:RIKEN cDNA C330018D20 gene [Source:MGI Symbol;Acc:MGI:1924672] MLWFQGKSLQIAKSSFGLLRNLSASNRALPVLTLFTKAPCPLCDEAKEVLQPYKDRFILQ EVDITLPENSTWYERYKFDIPVFHLNGQFLMMHRVNTSKLEKQLRKLEQQVLATN >ENSMUSP00000116181.2 pep:known chromosome:GRCm38:18:56957614:56975354:-1 gene:ENSMUSG00000024592.15 transcript:ENSMUST00000139243.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330018D20Rik description:RIKEN cDNA C330018D20 gene [Source:MGI Symbol;Acc:MGI:1924672] MLWFQGKSLQIAKSSFGLLRNLSASNRALPVLTLFTKAPCPLCDEAKEVLQPYKDRFILQ EVDITLPENSTWYERYKFDIPVFHLNGQFLMMHRVNTSKLEKQLRKLEQQVLATN >ENSMUSP00000119286.1 pep:known chromosome:GRCm38:1:187608791:188043502:1 gene:ENSMUSG00000026610.13 transcript:ENSMUST00000127489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrrg description:estrogen-related receptor gamma [Source:MGI Symbol;Acc:MGI:1347056] MSNKDRHIDSSCSSFIKTEPSSPASLTDSVNHHSPGGSSDASGSYSSTMNGH >ENSMUSP00000106564.1 pep:known chromosome:GRCm38:1:187609029:188214386:1 gene:ENSMUSG00000026610.13 transcript:ENSMUST00000110939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrrg description:estrogen-related receptor gamma [Source:MGI Symbol;Acc:MGI:1347056] MSNKDRHIDSSCSSFIKTEPSSPASLTDSVNHHSPGGSSDASGSYSSTMNGHQNGLDSPP LYPSAPILGGSGPVRKLYDDCSSTIVEDPQTKCEYMLNSMPKRLCLVCGDIASGYHYGVA SCEACKAFFKRTIQGNIEYSCPATNECEITKRRRKSCQACRFMKCLKVGMLKEGVRLDRV RGGRQKYKRRIDAENSPYLNPQLVQPAKKPYNKIVSHLLVAEPEKIYAMPDPTVPDSDIK ALTTLCDLADRELVVIIGWAKHIPGFSTLSLADQMSLLQSAWMEILILGVVYRSLSFEDE LVYADDYIMDEDQSKLAGLLDLNNAILQLVKKYKSMKLEKEEFVTLKAIALANSDSMHIE DVEAVQKLQDVLHEALQDYEAGQHMEDPRRAGKMLMTLPLLRQTSTKAVQHFYNIKLEGK VPMHKLFLEMLEAKV >ENSMUSP00000027906.6 pep:known chromosome:GRCm38:1:187997827:188214885:1 gene:ENSMUSG00000026610.13 transcript:ENSMUST00000027906.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrrg description:estrogen-related receptor gamma [Source:MGI Symbol;Acc:MGI:1347056] MDSVELCLPESFSLHYEEELLCRMSNKDRHIDSSCSSFIKTEPSSPASLTDSVNHHSPGG SSDASGSYSSTMNGHQNGLDSPPLYPSAPILGGSGPVRKLYDDCSSTIVEDPQTKCEYML NSMPKRLCLVCGDIASGYHYGVASCEACKAFFKRTIQGNIEYSCPATNECEITKRRRKSC QACRFMKCLKVGMLKEGVRLDRVRGGRQKYKRRIDAENSPYLNPQLVQPAKKPYNKIVSH LLVAEPEKIYAMPDPTVPDSDIKALTTLCDLADRELVVIIGWAKHIPGFSTLSLADQMSL LQSAWMEILILGVVYRSLSFEDELVYADDYIMDEDQSKLAGLLDLNNAILQLVKKYKSMK LEKEEFVTLKAIALANSDSMHIEDVEAVQKLQDVLHEALQDYEAGQHMEDPRRAGKMLMT LPLLRQTSTKAVQHFYNIKLEGKVPMHKLFLEMLEAKV >ENSMUSP00000106563.1 pep:known chromosome:GRCm38:1:187997868:188214884:1 gene:ENSMUSG00000026610.13 transcript:ENSMUST00000110938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esrrg description:estrogen-related receptor gamma [Source:MGI Symbol;Acc:MGI:1347056] MSNKDRHIDSSCSSFIKTEPSSPASLTDSVNHHSPGGSSDASGSYSSTMNGHQNGLDSPP LYPSAPILGGSGPVRKLYDDCSSTIVEDPQTKCEYMLNSMPKRLCLVCGDIASGYHYGVA SCEACKAFFKRTIQGNIEYSCPATNECEITKRRRKSCQACRFMKCLKVGMLKEGVRLDRV RGGRQKYKRRIDAENSPYLNPQLVQPAKKPYNKIVSHLLVAEPEKIYAMPDPTVPDSDIK ALTTLCDLADRELVVIIGWAKHIPGFSTLSLADQMSLLQSAWMEILILGVVYRSLSFEDE LVYADDYIMDEDQSKLAGLLDLNNAILQLVKKYKSMKLEKEEFVTLKAIALANSDSMHIE DVEAVQKLQDVLHEALQDYEAGQHMEDPRRAGKMLMTLPLLRQTSTKAVQHFYNIKLEGK VPMHKLFLEMLEAKV >ENSMUSP00000138230.1 pep:known chromosome:GRCm38:4:117120175:117125618:-1 gene:ENSMUSG00000073771.11 transcript:ENSMUST00000183310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd19 description:BTB (POZ) domain containing 19 [Source:MGI Symbol;Acc:MGI:1925861] MENPGLVVRGQAAPFSTALRSLINNPQYSDICFVVGQERQEVFAHRCLLACRCNFFQRLL GPGVPSPVVLSTVPAEAFLAVLEFLYTNSVKLHQHSVLEVLTTAVEYGLEELRELCLEFV VKVLDVELVCEALQVAVTFGLGSLQERCIAFIEAHSQEALRTRGFLELSATALLPVLRSD KLCVDETELVQAARSWARVGAAVLEQPVAEVAAPVVRELRLALLAPAELSALEEQNRREP LIPVEQIVEAWKCHALRRGDAARSAPCRRRRGTRPRDHHRFLDLRFK >ENSMUSP00000138246.1 pep:known chromosome:GRCm38:4:117121313:117125725:-1 gene:ENSMUSG00000073771.11 transcript:ENSMUST00000143213.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Btbd19 description:BTB (POZ) domain containing 19 [Source:MGI Symbol;Acc:MGI:1925861] MENPGLVVRGQAAPFSTALRSLINNPQYRCWRC >ENSMUSP00000121768.2 pep:known chromosome:GRCm38:4:117121440:117125658:-1 gene:ENSMUSG00000073771.11 transcript:ENSMUST00000153257.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Btbd19 description:BTB (POZ) domain containing 19 [Source:MGI Symbol;Acc:MGI:1925861] MENPGLVVRGQAAPFSTALRSLINNPQYRVPQSGLKSGALGRRLWKLKRSLLPPTPT >ENSMUSP00000099587.4 pep:known chromosome:GRCm38:5:122158278:122175273:1 gene:ENSMUSG00000004455.16 transcript:ENSMUST00000102528.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1cc description:protein phosphatase 1, catalytic subunit, gamma isoform [Source:MGI Symbol;Acc:MGI:104872] MADIDKLNIDSIIQRLLEVRGSKPGKNVQLQENEIRGLCLKSREIFLSQPILLELEAPLK ICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFL LRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDL QSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVLGWGENDRGVSFTFGAEVVAKFLHKHD LDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAE KKKPNATRPVTPPRGMITKQAKK >ENSMUSP00000142415.1 pep:known chromosome:GRCm38:5:122158356:122175269:1 gene:ENSMUSG00000004455.16 transcript:ENSMUST00000128309.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1cc description:protein phosphatase 1, catalytic subunit, gamma isoform [Source:MGI Symbol;Acc:MGI:104872] MADIDKLNIDSIIQRLLEVRGSKPGKNVQLQENEIRGLCLKSREIFLSQPILLELEAPLK ICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFL LRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDL QSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVLGWGENDRGVSFTFGAEVVAKFLHKHD LDLICRAHQVLTLTLHVHLEHGCEPRLLVDFGG >ENSMUSP00000083474.6 pep:known chromosome:GRCm38:5:122158356:122175273:1 gene:ENSMUSG00000004455.16 transcript:ENSMUST00000086294.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1cc description:protein phosphatase 1, catalytic subunit, gamma isoform [Source:MGI Symbol;Acc:MGI:104872] MADIDKLNIDSIIQRLLEVRGSKPGKNVQLQENEIRGLCLKSREIFLSQPILLELEAPLK ICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFL LRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDL QSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVLGWGENDRGVSFTFGAEVVAKFLHKHD LDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAE KKKPNATRPVTPPRVGSGLNPSIQKASNYRNNTVLYE >ENSMUSP00000143509.1 pep:known chromosome:GRCm38:5:122158356:122175273:1 gene:ENSMUSG00000004455.16 transcript:ENSMUST00000132555.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1cc description:protein phosphatase 1, catalytic subunit, gamma isoform [Source:MGI Symbol;Acc:MGI:104872] MADIDKLNIDSIIQRLLEVRGSKPGKNVQLQENEIRGLCLKSREIFLSQPILLELEAPLK ICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFL LRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDL QSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVLGWGENDRGVSFTFGAEVVAKFLHKHD LDLICRAHQVLTLTLHVHLEHGCEPRLLVDFGG >ENSMUSP00000143146.1 pep:known chromosome:GRCm38:5:122158593:122175096:1 gene:ENSMUSG00000004455.16 transcript:ENSMUST00000197730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1cc description:protein phosphatase 1, catalytic subunit, gamma isoform [Source:MGI Symbol;Acc:MGI:104872] XIIQRLLEVRGSKPGKNVQLQENEIRGLCLKSREIFLSQPILLELEAPLKICGDIHGQYY DLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASI NRIYGFYDECLSPDLQSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVLGWGENDRGVSF TFGAEVVAKFLHKHDLDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGAMMSV DETLMCSFQILKPAEKKKPNATRPVTPPRVGSGLNPSIQKASNYRNNTVLYE >ENSMUSP00000143006.1 pep:known chromosome:GRCm38:5:122168936:122175265:1 gene:ENSMUSG00000004455.16 transcript:ENSMUST00000133568.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1cc description:protein phosphatase 1, catalytic subunit, gamma isoform [Source:MGI Symbol;Acc:MGI:104872] XTMICSVCLNTVAFLQRATICFSGTMWTGASSPWRQSASCWPTKSSIRRTSFFSEGTTSA PASIGSTDFMMSVYHQIFNLWSRFGEL >ENSMUSP00000142623.1 pep:known chromosome:GRCm38:5:122171924:122175265:1 gene:ENSMUSG00000004455.16 transcript:ENSMUST00000151184.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1cc description:protein phosphatase 1, catalytic subunit, gamma isoform [Source:MGI Symbol;Acc:MGI:104872] XGLSPDLQSMEQIRRIMRPTDVPDQGG >ENSMUSP00000142700.1 pep:known chromosome:GRCm38:5:122172732:122174675:1 gene:ENSMUSG00000004455.16 transcript:ENSMUST00000134719.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1cc description:protein phosphatase 1, catalytic subunit, gamma isoform [Source:MGI Symbol;Acc:MGI:104872] XLSPDLQSMEQIRRIMRPTDVPDQGG >ENSMUSP00000023686.8 pep:known chromosome:GRCm38:16:91574503:91597800:-1 gene:ENSMUSG00000022964.14 transcript:ENSMUST00000023686.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem50b description:transmembrane protein 50B [Source:MGI Symbol;Acc:MGI:1925225] MAGFLDNFRWPECECIDWSERRNTVASVVAGILFFTGWWIMIDAAVVYPKPEQLNHAFHT CGVFSTLAFFMINAVSNAQVRGDSYESGCLGRTGARVWLFIGFMLMFGSLIASMWILFGA YVTQNIDVYPGLAVFFQNALIFFSTLIYKFGRTEELWA >ENSMUSP00000120087.1 pep:known chromosome:GRCm38:16:91575758:91597664:-1 gene:ENSMUSG00000022964.14 transcript:ENSMUST00000133180.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem50b description:transmembrane protein 50B [Source:MGI Symbol;Acc:MGI:1925225] MAGFLDNFRWPECECIDWSERRNTVASVVAGILVLGSGSSLGSC >ENSMUSP00000116602.1 pep:known chromosome:GRCm38:16:91580202:91597800:-1 gene:ENSMUSG00000022964.14 transcript:ENSMUST00000141885.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem50b description:transmembrane protein 50B [Source:MGI Symbol;Acc:MGI:1925225] MAGFLDNFRWPECECIDWSERRNTVASVVAGILSIK >ENSMUSP00000097484.1 pep:known chromosome:GRCm38:2:86235871:86236833:-1 gene:ENSMUSG00000075195.1 transcript:ENSMUST00000099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1048 description:olfactory receptor 1048 [Source:MGI Symbol;Acc:MGI:3030882] MSFQKVEMSHRNSTVPDEFILTRITHRPELQLLLLGVFIVIYGVAMIGNMSMIILTKLDS RLHTPMYYFIRHLAFIDLGNCTVIYPKMMVNFVVEQNVISYYACAVQMAFYIAFIISELF ILSAMAYDRYVAICNPLLYSAIMSQRRCHVLVGIPYLYSVFQAVMITSKIFTLTFCDSNV ISHFYCDNVPMLLLLCSNARDIELLIILFSALNLISSLFVVLVSYLLILLAIYRMHSADG RKKAFSTCGSHLTVVVVFYGTLLFMYLQPKSTHSFETDKIASVFYTLVIPMLNPLIYSFR NKEVKNAVLRVFRYQCKLCT >ENSMUSP00000145161.1 pep:known chromosome:GRCm38:6:78422711:78428667:1 gene:ENSMUSG00000059654.7 transcript:ENSMUST00000204687.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg1 description:regenerating islet-derived 1 [Source:MGI Symbol;Acc:MGI:97895] MARNAYFILLSCLIVLSPSQGQEAEEDLPSARISCPEGSNAYSSYCYYFTEDRLTWADAD LFCQNMNSGYLVSVLSQAEGNFVASLIKESGTTDANVWTGLHDPKRNRRWHWSSGSLFLY KSWATGSPNSSNRGYCVSLTSNTGYKKWKDDNCDAQYSFVCKFKG >ENSMUSP00000144840.1 pep:known chromosome:GRCm38:6:78422771:78428667:1 gene:ENSMUSG00000059654.7 transcript:ENSMUST00000204601.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg1 description:regenerating islet-derived 1 [Source:MGI Symbol;Acc:MGI:97895] MARNAYFILLSCLIVLSPSQGQEAEEDLPSARISCPEGSNAYSSYCYYFTEDRLTWADAD LFCQNMNSGYLVSVLSQAEGNFVASLIKESGTTDANVWTGLHDPKRNRRWHWSSGSLFLY KSWATGSPNSSNRGYCVSLTSNTGYKKWKDDNCDAQYSFVCKFKG >ENSMUSP00000078847.5 pep:known chromosome:GRCm38:6:78425983:78428666:1 gene:ENSMUSG00000059654.7 transcript:ENSMUST00000079926.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg1 description:regenerating islet-derived 1 [Source:MGI Symbol;Acc:MGI:97895] MARNAYFILLSCLIVLSPSQGQEAEEDLPSARISCPEGSNAYSSYCYYFTEDRLTWADAD LFCQNMNSGYLVSVLSQAEGNFVASLIKESGTTDANVWTGLHDPKRNRRWHWSSGSLFLY KSWATGSPNSSNRGYCVSLTSNTGYKKWKDDNCDAQYSFVCKFKG >ENSMUSP00000043078.3 pep:known chromosome:GRCm38:2:74762980:74765142:1 gene:ENSMUSG00000042448.5 transcript:ENSMUST00000047793.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxd1 description:homeobox D1 [Source:MGI Symbol;Acc:MGI:96201] MSSYLEYVSCAAGGGSGGVGGDVLGFAPKFCRADARPVALQPAFPLGSGDGAFVSCLPLA TARPTPSPPAGPAQSPVPQPAAPRYAPCTLEGAYERGAAPASAAEYGFLGSGPAFDFPGA LGRAADEGGAHVHYATSAVFSGGGSFLLSGQVDFAAFGEPGPFPACLKEPADGHPGPFQT VSPAPGACPKPASPTSSLPAAHSTFEWMKVKRNAPKKSKLSEYGATSPPSAIRTNFSTKQ LTELEKEFHFNKYLTRARRIEIANCLQLNDTQVKIWFQNRRMKQKKREREGLLATAASVA SIKLPRSETSPIKSGRNLGSPSQAQEPS >ENSMUSP00000129404.1 pep:known chromosome:GRCm38:9:36475638:36477212:1 gene:ENSMUSG00000090710.2 transcript:ENSMUST00000165591.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9513 description:predicted gene 9513 [Source:MGI Symbol;Acc:MGI:3779923] MGKHVLQLLLVLSLLVMSLQALTCITCDRMNSRRICEGKEGCCQARPGEKCASFITLKDG KIQFGSQRCADLCFTGTVMIGDKTVKMNCCNNKSFCNKL >ENSMUSP00000020549.2 pep:known chromosome:GRCm38:10:79689020:79695261:1 gene:ENSMUSG00000054206.2 transcript:ENSMUST00000020549.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzmm description:granzyme M (lymphocyte met-ase 1) [Source:MGI Symbol;Acc:MGI:99549] MEVCWSLLLLLALKTLWAAGNRFETQIIGGREAVPHSRPYMASLQKAKSHVCGGVLVHRK WVLTAAHCLSEPLQNLKLVLGLHNLHDLQDPGLTFYIREAIKHPGYNHKYENDLALLKLD RRVQPSKNVKPLALPRKPRSKPAEGTWCSTAGWGMTHQGGPRARALQELDLRVLDTQMCN NSRFWNGVLIDSMLCLKAGSKSQAPCKGDSGGPLVCGKGQVDGILSFSSKTCTDIFKPPV ATAVAPYSSWIRKVIGRWSPQSLV >ENSMUSP00000105983.1 pep:known chromosome:GRCm38:12:80945520:80950503:1 gene:ENSMUSG00000021134.17 transcript:ENSMUST00000110354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf5 description:serine/arginine-rich splicing factor 5 [Source:MGI Symbol;Acc:MGI:98287] MSGCRVFIGRLNPAAREKDVERFFKGYGRIRDIDLKRGFGFVEFEDPRDADDAVYELDGK ELCSERVTIEHARARSRGGRGRGRYSDRFSSRRPRNDRRNAPPVRTENRLIVENLSSRVS WQDLKDFMRQAGEVTFADAHRPKLNEGVVEFASYGDLKNAIEKLSGKEINGRKIKLIEGS KRHSRSRSRSRSRTRSSSRSRSRSRSRRSKSYSRSRSRSRSRSKSRSGSRSPVPEKSQKR GSSSRSKSPASVDRQRSRSRSRSRSVDSGN >ENSMUSP00000105981.3 pep:known chromosome:GRCm38:12:80945532:80950503:1 gene:ENSMUSG00000021134.17 transcript:ENSMUST00000110352.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf5 description:serine/arginine-rich splicing factor 5 [Source:MGI Symbol;Acc:MGI:98287] MSGCRVFIGRLNPAAREKDVERFFKGYGRIRDIDLKRGFGFVEFEDPRDADDAVYELDGK ELCSERVTIEHARARSRGGRGRGRYSDRFSSRRPRNDRRNAPPVRTENRLIVENLSSRVS WQDLKDFMRQAGEVTFADAHRPKLNEGVVEFASYGDLKNAIEKLSGKEINGRKIKLIEGS KRHRSRSRSRSRTRSSSRSRSRSRSRRSKSYSRSRSRSRSRSKSRSGSRSPVPEKSQKRG SSSRSKSPASVDRQRSRSRSRSRSVDSGN >ENSMUSP00000105980.1 pep:known chromosome:GRCm38:12:80945532:80950503:1 gene:ENSMUSG00000021134.17 transcript:ENSMUST00000110351.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf5 description:serine/arginine-rich splicing factor 5 [Source:MGI Symbol;Acc:MGI:98287] MSGCRVFIGRLNPAAREKDVERFFKGYGRIRDIDLKRGFGFVEFEDPRDADDAVYELDGK ELCSERVTIEHARARSRGGRGRGRYSDRFSSRRPRNDRRNAPPVRTENRLIVENLSSRVS WQDLKDFMRQAGEVTFADAHRPKLNEGVVEFASYGDLKNAIEKLSGKEINGRKIKLIEGS KRHSRSRSRSRSRTRSSSRSRSRSRSRRSKSYSRSRSRSRSRSKSRSGSRSPVPEKSQKR GSSSRSKSPASVDRQRSRSRSRSRSVDSGN >ENSMUSP00000138632.1 pep:known chromosome:GRCm38:12:80945570:80947528:1 gene:ENSMUSG00000021134.17 transcript:ENSMUST00000138434.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf5 description:serine/arginine-rich splicing factor 5 [Source:MGI Symbol;Acc:MGI:98287] MSGCRVFIGRLNPAAREKDVERFFKGYGRIRDIDLKRGFGFVGFSV >ENSMUSP00000105985.2 pep:known chromosome:GRCm38:12:80945585:80950503:1 gene:ENSMUSG00000021134.17 transcript:ENSMUST00000110356.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf5 description:serine/arginine-rich splicing factor 5 [Source:MGI Symbol;Acc:MGI:98287] MSGCRVFIGRLNPAAREKDVERFFKGYGRIRDIDLKRGFGFVEFEDPRDADDAVYELDGK ELCSERVTIEHARARSRGGRGRGRYSDRFSSRRPRNDRRNAPPVRTENRLIVENLSSRVS WQDLKDFMRQAGEVTFADAHRPKLNEGVVEFASYGDLKNAIEKLSGKEINGRKIKLIEGS KRHRSRSRSRSRTRSSSRSRSRSRSRRSKSYSRSRSRSRSRSKSRSGSRSPVPEKSQKRG SSSRSKSPASVDRQRSRSRSRSRSVDSGN >ENSMUSP00000131323.1 pep:known chromosome:GRCm38:12:80945504:80950507:1 gene:ENSMUSG00000021134.17 transcript:ENSMUST00000094693.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf5 description:serine/arginine-rich splicing factor 5 [Source:MGI Symbol;Acc:MGI:98287] MSGCRVFIGRLNPAAREKDVERFFKGYGRIRDIDLKRGFGFVEFEDPRDADDAVYELDGK ELCSERVTIEHARARSRGGRGRGRYSDRFSSRRPRNDRRNAPPVRTENRLIVENLSSRVS WQDLKDFMRQAGEVTFADAHRPKLNEGVVEFASYGDLKNAIEKLSGKEINGRKIKLIEGS KRHRSRSRSRSRTRSSSRSRSRSRSRRSKSYSRSRSRSRSRSKSRSGSRSPVPEKSQKRG SSSRSKSPASVDRQRSRSRSRSRSVDSGN >ENSMUSP00000031175.5 pep:known chromosome:GRCm38:5:86302217:86373420:-1 gene:ENSMUSG00000061259.11 transcript:ENSMUST00000031175.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss11d description:transmembrane protease, serine 11d [Source:MGI Symbol;Acc:MGI:2385221] MYRPRPMLSPSRFFTPFAVAFVVIITVGLLAMMAGLLIHFLAFDKKAYFYHSSFQILNVE YTEALNSPATHEYRTLSERIEAMITDEFRGSSLKSEFIRTHVVKLRKEGTGVVADVVMKF RSSKRNNRKVMKTRIQSVLRRLSSSGNLEIAPSNEITSLTDQDTENVLTQECGARPDLIT LSEERIIGGMQAEPGDWPWQVSLQLNNVHHCGGALISNMWVLTAAHCFKSYPNPQYWTAT FGVSTMSPRLRVRVRAILAHDGYSSVTRDNDIAVVQLDRSVAFSRNIHRVCLPAATQNII PGSVAYVTGWGSLTYGGNAVTNLRQGEVRIISSEECNTPAGYSGSVLPGMLCAGMRSGAV DACQGDSGGPLVQEDSRRLWFVVGIVSWGYQCGLPNKPGVYTRVTAYRNWIRQQTGI >ENSMUSP00000113079.1 pep:known chromosome:GRCm38:5:86302217:86327736:-1 gene:ENSMUSG00000061259.11 transcript:ENSMUST00000122377.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss11d description:transmembrane protease, serine 11d [Source:MGI Symbol;Acc:MGI:2385221] MIFSFCFVDFVLTFSFLMIALTDQDTENVLTQECGARPDLITLSEERIIGGMQAEPGDWP WQVSLQLNNVHHCGGALISNMWVLTAAHCFKSYPNPQYWTATFGVSTMSPRLRVRVRAIL AHDGYSSVTRDNDIAVVQLDRSVAFSRNIHRVCLPAATQNIIPGSVAYVTGWGSLTYGGN AVTNLRQGEVRIISSEECNTPAGYSGSVLPGMLCAGMRSGAVDACQGDSGGPLVQEDSRR LWFVVGIVSWGYQCGLPNKPGVYTRVTAYRNWIRQQTGI >ENSMUSP00000001347.6 pep:known chromosome:GRCm38:11:101468175:101471853:1 gene:ENSMUSG00000001313.12 transcript:ENSMUST00000001347.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnd2 description:Rho family GTPase 2 [Source:MGI Symbol;Acc:MGI:1338755] MEGQSGRCKIVVVGDAECGKTALLQVFAKDAYPGSYVPTVFENYTASFEIDKRRIELNMW DTSGSSYYDNVRPLAYPDSDAVLICFDISRPETLDSVLKKWQGETQEFCPNAKVVLVGCK LDMRTDLATLRELSKQRLIPVTHEQGTVLAKQVGAVSYVECSSRSSERSVRDVFHVATVA SLGRGHRQLRRTDSRRGLQRSTQLSGRPDRGNEGEMHKDRAKSCNLM >ENSMUSP00000002740.2 pep:known chromosome:GRCm38:17:56999457:57000018:-1 gene:ENSMUSG00000002664.2 transcript:ENSMUST00000002740.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pspn description:persephin [Source:MGI Symbol;Acc:MGI:1201684] MAAGRLRILCLLLLSLHPSLGWVLDLQEASVADKLSFGKMAETRGTWTPHQGNNHVRLPR ALAGSCRLWSLTLPVAELGLGYASEEKVIFRYCAGSCPQEAHTQHSLVLARLRGRGRAHG RPCCQPTSYADVTFLDDQHHWQQLPQLSAAACGCGG >ENSMUSP00000019074.3 pep:known chromosome:GRCm38:11:83662584:83664683:1 gene:ENSMUSG00000018930.3 transcript:ENSMUST00000019074.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl4 description:chemokine (C-C motif) ligand 4 [Source:MGI Symbol;Acc:MGI:98261] MKLCVSALSLLLLVAAFCAPGFSAPMGSDPPTSCCFSYTSRQLHRSFVMDYYETSSLCSK PAVVFLTKRGRQICANPSEPWVTEYMSDLELN >ENSMUSP00000107793.1 pep:known chromosome:GRCm38:X:167242696:167264286:-1 gene:ENSMUSG00000040522.5 transcript:ENSMUST00000112170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr8 description:toll-like receptor 8 [Source:MGI Symbol;Acc:MGI:2176887] MENMPPQSWILTCFCLLSSGTSAIFHKANYSRSYPCDEIRHNSLVIAECNHRQLHEVPQT IGKYVTNIDLSDNAITHITKESFQKLQNLTKIDLNHNAKQQHPNENKNGMNITEGALLSL RNLTVLLLEDNQLYTIPAGLPESLKELSLIQNNIFQVTKNNTFGLRNLERLYLGWNCYFK CNQTFKVEDGAFKNLIHLKVLSLSFNNLFYVPPKLPSSLRKLFLSNAKIMNITQEDFKGL ENLTLLDLSGNCPRCYNAPFPCTPCKENSSIHIHPLAFQSLTQLLYLNLSSTSLRTIPST WFENLSNLKELHLEFNYLVQEIASGAFLTKLPSLQILDLSFNFQYKEYLQFINISSNFSK LRSLKKLHLRGYVFRELKKKHFEHLQSLPNLATINLGINFIEKIDFKAFQNFSKLDVIYL SGNRIASVLDGTDYSSWRNRLRKPLSTDDDEFDPHVNFYHSTKPLIKPQCTAYGKALDLS LNNIFIIGKSQFEGFQDIACLNLSFNANTQVFNGTEFSSMPHIKYLDLTNNRLDFDDNNA FSDLHDLEVLDLSHNAHYFSIAGVTHRLGFIQNLINLRVLNLSHNGIYTLTEESELKSIS LKELVFSGNRLDRLWNANDGKYWSIFKSLQNLIRLDLSYNNLQQIPNGAFLNLPQSLQEL LISGNKLRFFNWTLLQYFPHLHLLDLSRNELYFLPNCLSKFAHSLETLLLSHNHFSHLPS GFLSEARNLVHLDLSFNTIKMINKSSLQTKMKTNLSILELHGNYFDCTCDISDFRSWLDE NLNITIPKLVNVICSNPGDQKSKSIMSLDLTTCVSDTTAAVLFFLTFLTTSMVMLAALVH HLFYWDVWFIYHMCSAKLKGYRTSSTSQTFYDAYISYDTKDASVTDWVINELRYHLEESE DKSVLLCLEERDWDPGLPIIDNLMQSINQSKKTIFVLTKKYAKSWNFKTAFYLALQRLMD ENMDVIIFILLEPVLQYSQYLRLRQRICKSSILQWPNNPKAENLFWQSLKNVVLTENDSR YDDLYIDSIRQY >ENSMUSP00000122089.1 pep:known chromosome:GRCm38:X:167245543:167264329:-1 gene:ENSMUSG00000040522.5 transcript:ENSMUST00000133722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr8 description:toll-like receptor 8 [Source:MGI Symbol;Acc:MGI:2176887] MPPQSWILTCFCLLSSGTSAIFHKANYSRSYPCDEIRHNSLVIAECNHRQLHEVPQTIGK YVTNIDLSDNAITHITKESFQKLQNLTKIDLNHNAKQQHP >ENSMUSP00000036762.2 pep:known chromosome:GRCm38:X:167242732:167263788:-1 gene:ENSMUSG00000040522.5 transcript:ENSMUST00000049023.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr8 description:toll-like receptor 8 [Source:MGI Symbol;Acc:MGI:2176887] MENMPPQSWILTCFCLLSSGTSAIFHKANYSRSYPCDEIRHNSLVIAECNHRQLHEVPQT IGKYVTNIDLSDNAITHITKESFQKLQNLTKIDLNHNAKQQHPNENKNGMNITEGALLSL RNLTVLLLEDNQLYTIPAGLPESLKELSLIQNNIFQVTKNNTFGLRNLERLYLGWNCYFK CNQTFKVEDGAFKNLIHLKVLSLSFNNLFYVPPKLPSSLRKLFLSNAKIMNITQEDFKGL ENLTLLDLSGNCPRCYNAPFPCTPCKENSSIHIHPLAFQSLTQLLYLNLSSTSLRTIPST WFENLSNLKELHLEFNYLVQEIASGAFLTKLPSLQILDLSFNFQYKEYLQFINISSNFSK LRSLKKLHLRGYVFRELKKKHFEHLQSLPNLATINLGINFIEKIDFKAFQNFSKLDVIYL SGNRIASVLDGTDYSSWRNRLRKPLSTDDDEFDPHVNFYHSTKPLIKPQCTAYGKALDLS LNNIFIIGKSQFEGFQDIACLNLSFNANTQVFNGTEFSSMPHIKYLDLTNNRLDFDDNNA FSDLHDLEVLDLSHNAHYFSIAGVTHRLGFIQNLINLRVLNLSHNGIYTLTEESELKSIS LKELVFSGNRLDRLWNANDGKYWSIFKSLQNLIRLDLSYNNLQQIPNGAFLNLPQSLQEL LISGNKLRFFNWTLLQYFPHLHLLDLSRNELYFLPNCLSKFAHSLETLLLSHNHFSHLPS GFLSEARNLVHLDLSFNTIKMINKSSLQTKMKTNLSILELHGNYFDCTCDISDFRSWLDE NLNITIPKLVNVICSNPGDQKSKSIMSLDLTTCVSDTTAAVLFFLTFLTTSMVMLAALVH HLFYWDVWFIYHMCSAKLKGYRTSSTSQTFYDAYISYDTKDASVTDWVINELRYHLEESE DKSVLLCLEERDWDPGLPIIDNLMQSINQSKKTIFVLTKKYAKSWNFKTAFYLALQRLMD ENMDVIIFILLEPVLQYSQYLRLRQRICKSSILQWPNNPKAENLFWQSLKNVVLTENDSR YDDLYIDSIRQY >ENSMUSP00000047672.7 pep:known chromosome:GRCm38:7:127776670:127800122:1 gene:ENSMUSG00000042308.14 transcript:ENSMUST00000047075.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd1a description:SET domain containing 1A [Source:MGI Symbol;Acc:MGI:2446244] MDQEGGGDGQKAPSFQWRNYKLIVDPALDPALRRPSQKVYRYDGVHFSVSDSKYTPVEDL QDPRCHVRSKARDFSLPVPKFKLDEFYIGQIPLKEVTFARLNDNVRETFLKDMCRKYGEV EEVEILLHPRTRKHLGLARVLFTSTRGAKETVKNLHLTSVMGNIIHAQLDIKGQQRMKYY ELIVNGSYTPQTVPTGGKALSEKFQGSGAAAETTEARRRSSSDTAAYPAGTTVGGTPGNG TPCSQDTNFSSSRQDTPSSFGQFTPQSSQGTPYTSRGSTPYSQDSAYSSSTTSTSFKPRR SENSYQDSFSRRHFSTSSAPATTATATSATAAATAASSSSSSSSSSSSSSSSSSSASQFR GSDSSYPAYYESWNRYQRHTSYPPRRATREDPSGASFAENTAERFPPSYTSYLAPEPNRS TDQDYRPPASEAPPPEPPEPGGGGGGSGGGGGGGGGGGGGAPSPEREEARTPPRPASPAR SGSPAPETTNESVPFAQHSSLDSRIEMLLKEQRSKFSFLASDTEEEEENSSAGPGARDAG AEVPSGAGHGPCTPPPAPANFEDVAPTGSGEPGAARESPKANGQNQASPCSSGEDMEISD DDRGGSPPPAPTPPQQPPPPPPPPPPPPPPYLASLPLGYPPHQPAYLLPPRPDGPPPPEY PPPPPPPPPHIYDFVNSLELMDRLGAQWGGMPMSFQMQTQMLTRLHQLRQGKGLTAASAG PPGGAFGEAFLPFPPPQEAAYGLPYALYTQGQEGRGSYSREAYHLPLPMAAEPLPSSSVS GEEARLPHREEAEIAESKVLPSAGTVGRVLATLVQEMKSIMQRDLNRKMVENVAFGAFDQ WWESKEEKAKPFQNAAKQQAKEEDKEKMKLKEPGMLSLVDWAKSGGITGIEAFAFGSGLR GALRLPSFKVKRKEPSEISEASEEKRPRPSTPAEEDEDDPEREKEAGEPGRPGTKPPKRD EERGKTQGKHRKSFTLDSEGEEASQESSSEKDEDDDDEDEEDEEQEEAVDATKKEAEASD GEDEDSDSSSQCSLYADSDGENGSTSDSESGSSSSSSSSSSSSSSSSSSESSSEEEEQSA VIPSASPPREVPEPLPAPDEKPETDGLVDSPVMPLSEKETLPTQPAGPAEEPPPSVPQPP AEPPAGPPDAAPRLDERPSSPIPLLPPPKKRRKTVSFSAAEEAPVPEPSTAAPLQAKSSG PVSRKVPRVVERTIRNLPLDHASLVKSWPEEVARGGRNRAGGRVRSTEEEEATESGTEVD LAVLADLALTPARRGLATLPTGDDSEATETSDEAERPSPLLSHILLEHNYALAIKPPPTT PAPRPLEPAPALAALFSSPADEVLEAPEVVVAEAEEPKQQLQQQHPEQEGEEEEEDEEEE SESSESSSSSSSDEEGAIRRRSLRSHTRRRRPPLPPPPPPPPSFEPRSEFEQMTILYDIW NSGLDLEDMSYLRLTYERLLQQTSGADWLNDTHWVQHTITNLSTPKRKRRPQDGPREHQT GSARSEGYYPISKKEKDKYLDVCPVSARQLEGGDTQGTNRVLSERRSEQRRLLSAIGTSA IMDSDLLKLNQLKFRKKKLRFGRSRIHEWGLFAMEPIAADEMVIEYVGQNIRQMVADMRE KRYVQEGIGSSYLFRVDHDTIIDATKCGNLARFINHCCTPNCYAKVITIESQKKIVIYSK QPIGVDEEITYDYKFPLEDNKIPCLCGTESCRGSLN >ENSMUSP00000115248.1 pep:known chromosome:GRCm38:7:127777149:127784074:1 gene:ENSMUSG00000042308.14 transcript:ENSMUST00000144406.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd1a description:SET domain containing 1A [Source:MGI Symbol;Acc:MGI:2446244] MDQEGGGDGQKAPSFQWRNYKLIVDPALDPALRRPSQKVYRYDGVHFSVSDSKYTPVEDL QDPRCHVRSKARDFSLPVPKFKLDEFYIGQIPLKEVTFARLNDNVRETFLKDMCRKYGEV EEVEILLHPRTRKHLGLARVLFTSTRGAKETVKNLHLTSVMGNIIHAQLDIKGQQRMKYY ELIVNGSYTPQTVPTGGKALSEKFQGSGAAAETTEARRRSSSDTAAYPAGTTVGGTPGNG TPCSQDT >ENSMUSP00000120666.1 pep:known chromosome:GRCm38:7:127777389:127783701:1 gene:ENSMUSG00000042308.14 transcript:ENSMUST00000126761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd1a description:SET domain containing 1A [Source:MGI Symbol;Acc:MGI:2446244] MDQEGGGDGQKAPSFQWRNYKLIVDPALDPALRRPSQKVYRYDGVHFSVSDSKYTPVEDL QDPRCHVRSKARDFSLPVPKFKLDEFYIGQIPLKEVTFARLNDNVRETFLKDMCRKYGEV EEVEILLHPRTRKHLGLARVLFTSTRGAKETVKNLHLTSVMGNIIHAQLDIKGQQRMKYY ELIVNGSYTPQTVPTGG >ENSMUSP00000115994.1 pep:known chromosome:GRCm38:7:127777695:127778565:1 gene:ENSMUSG00000042308.14 transcript:ENSMUST00000143951.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd1a description:SET domain containing 1A [Source:MGI Symbol;Acc:MGI:2446244] MDQEGGGDGQKAPSFQWRNYKLIVDPALDPALRRPSQKVYRYDGVHFSVSDSKYTPVEDL QDPRCHVRSK >ENSMUSP00000037600.6 pep:known chromosome:GRCm38:7:127777389:127800115:1 gene:ENSMUSG00000042308.14 transcript:ENSMUST00000047157.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd1a description:SET domain containing 1A [Source:MGI Symbol;Acc:MGI:2446244] MDQEGGGDGQKAPSFQWRNYKLIVDPALDPALRRPSQKVYRYDGVHFSVSDSKYTPVEDL QDPRCHVRSKARDFSLPVPKFKLDEFYIGQIPLKEVTFARLNDNVRETFLKDMCRKYGEV EEVEILLHPRTRKHLGLARVLFTSTRGAKETVKNLHLTSVMGNIIHAQLDIKGQQRMKYY ELIVNGSYTPQTVPTGGKALSEKFQGSGAAAETTEARRRSSSDTAAYPAGTTVGGTPGNG TPCSQDTNFSSSRQDTPSSFGQFTPQSSQGTPYTSRGSTPYSQDSAYSSSTTSTSFKPRR SENSYQDSFSRRHFSTSSAPATTATATSATAAATAASSSSSSSSSSSSSSSSSSSASQFR GSDSSYPAYYESWNRYQRHTSYPPRRATREDPSGASFAENTAERFPPSYTSYLAPEPNRS TDQDYRPPASEAPPPEPPEPGGGGGGSGGGGGGGGGGGGGAPSPEREEARTPPRPASPAR SGSPAPETTNESVPFAQHSSLDSRIEMLLKEQRSKFSFLASDTEEEEENSSAGPGARDAG AEVPSGAGHGPCTPPPAPANFEDVAPTGSGEPGAARESPKANGQNQASPCSSGEDMEISD DDRGGSPPPAPTPPQQPPPPPPPPPPPPPPYLASLPLGYPPHQPAYLLPPRPDGPPPPEY PPPPPPPPPHIYDFVNSLELMDRLGAQWGGMPMSFQMQTQMLTRLHQLRQGKGLTAASAG PPGGAFGEAFLPFPPPQEAAYGLPYALYTQGQEGRGSYSREAYHLPLPMAAEPLPSSSVS GEEARLPHREEAEIAESKVLPSAGTVGRVLATLVQEMKSIMQRDLNRKMVENVAFGAFDQ WWESKEEKAKPFQNAAKQQAKEEDKEKMKLKEPGMLSLVDWAKSGGITGIEAFAFGSGLR GALRLPSFKVKRKEPSEISEASEEKRPRPSTPAEEDEDDPEREKEAGEPGRPGTKPPKRD EERGKTQGKHRKSFTLDSEGEEASQESSSEKDEDDDDEDEEDEEQEEAVDATKKEAEASD GEDEDSDSSSQCSLYADSDGENGSTSDSESGSSSSSSSSSSSSSSSSSSESSSEEEEQSA VIPSASPPREVPEPLPAPDEKPETDGLVDSPVMPLSEKETLPTQPAGPAEEPPPSVPQPP AEPPAGPPDAAPRLDERPSSPIPLLPPPKKRRKTVSFSAAEEAPVPEPSTAAPLQAKSSG PVSRKVPRVVERTIRNLPLDHASLVKSWPEEVARGGRNRAGGRVRSTEEEEATESGTEVD LAVLADLALTPARRGLATLPTGDDSEATETSDEAERPSPLLSHILLEHNYALAIKPPPTT PAPRPLEPAPALAALFSSPADEVLEAPEVVVAEAEEPKQQLQQQHPEQEGEEEEEDEEEE SESSESSSSSSSDEEGAIRRRSLRSHTRRRRPPLPPPPPPPPSFEPRSEFEQMTILYDIW NSGLDLEDMSYLRLTYERLLQQTSGADWLNDTHWVQHTITNLSTPKRKRRPQDGPREHQT GSARSEGYYPISKKEKDKYLDVCPVSARQLEGGDTQGTNRVLSERRSEQRRLLSAIGTSA IMDSDLLKLNQLKFRKKKLRFGRSRIHEWGLFAMEPIAADEMVIEYVGQNIRQMVADMRE KRYVQEGIGSSYLFRVDHDTIIDATKCGNLARFINHCCTPNCYAKVITIESQKKIVIYSK QPIGVDEEITYDYKFPLEDNKIPCLCGTESCRGSLN >ENSMUSP00000028955.5 pep:known chromosome:GRCm38:2:151911210:151945337:1 gene:ENSMUSG00000027460.7 transcript:ENSMUST00000028955.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angpt4 description:angiopoietin 4 [Source:MGI Symbol;Acc:MGI:1336887] MLCQPAMLLDGLLLLATMAAAQHRGPEAGGHRQIHQVRRGQCSYTFVVPEPDICQLAPTA APEALGGSNSLQRDLPASRLHLTDWRAQRAQRAQRVSQLEKILENNTQWLLKLEQSIKVN LRSHLVQAQQDTIQNQTTTMLALGANLMNQTKAQTHKLTAVEAQVLNQTLHMKTQMLENS LSTNKLERQMLMQSRELQRLQGRNRALETRLQALEAQHQAQLNSLQEKREQLHSLLGHQT GTLANLKHNLHALSSNSSSLQQQQQQLTEFVQRLVRIVAQDQHPVSLKTPKPVFQDCAEI KRSGVNTSGVYTIYETNMTKPLKVFCDMETDGGGWTLIQHREDGSVNFQRTWEEYKEGFG NVAREHWLGNEAVHRLTSRTAYLLRVELHDWEGRQTSIQYENFQLGSERQRYSLSVNDSS SSAGRKNSLAPQGTKFSTKDMDNDNCMCKCAQMLSGGWWFDACGLSNLNGIYYSVHQHLH KINGIRWHYFRGPSYSLHGTRMMLRPMGA >ENSMUSP00000114967.2 pep:known chromosome:GRCm38:1:171113918:171126829:1 gene:ENSMUSG00000026649.14 transcript:ENSMUST00000129651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap126 description:cilia and flagella associated protein 126 [Source:MGI Symbol;Acc:MGI:1922722] MRPKCGSGDLQATRPILSFRFLLPDAACPSSSPGRPAFMPGLTVPVALSRYSGYEKAYLP TYLQNWSPARPTKEKIAAHEGYTQIIANDRGHLLPSVPRSKASPWGSFMGTWQMPLKIPP AKVTLTARTTTAADNLTKWIHKNPDLLNACNGLRPEISGKPFDPDSQTKQKKSVTKTVQQ APNPTIIPSSPVIQGDNPDEPQSSHPSAGHTPGPQTPVNSPNNPPPSPCKSTK >ENSMUSP00000123188.1 pep:known chromosome:GRCm38:1:171113989:171126779:1 gene:ENSMUSG00000026649.14 transcript:ENSMUST00000151340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap126 description:cilia and flagella associated protein 126 [Source:MGI Symbol;Acc:MGI:1922722] MATNYSANQYEKAYLPTYLQNWSPARPTKEKIAAHEGYTQIIANDRGHLLPSVPRSKASP WGSFMGTWQMPLKIPPAKVTLTARTTTAADNLTKWIHKNPDLLNACNGLRPEISGKPFDP DSQTKQKKSVTKTVQQAPNPTIIPSSPVIQGDNPDEPQSSHPSAGHTPGPQTPVNSPNNP PPSPCKST >ENSMUSP00000027959.4 pep:known chromosome:GRCm38:1:171121661:171126967:1 gene:ENSMUSG00000026649.14 transcript:ENSMUST00000027959.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap126 description:cilia and flagella associated protein 126 [Source:MGI Symbol;Acc:MGI:1922722] MATNYSANQYEKAYLPTYLQNWSPARPTKEKIAAHEGYTQIIANDRGHLLPSVPRSKASP WGSFMGTWQMPLKIPPAKVTLTARTTTAADNLTKWIHKNPDLLNACNGLRPEISGKPFDP DSQTKQKKSVTKTVQQAPNPTIIPSSPVIQGDNPDEPQSSHPSAGHTPGPQTPVNSPNNP PPSPCKSTK >ENSMUSP00000117289.1 pep:known chromosome:GRCm38:1:171122677:171126036:1 gene:ENSMUSG00000026649.14 transcript:ENSMUST00000127394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap126 description:cilia and flagella associated protein 126 [Source:MGI Symbol;Acc:MGI:1922722] MATNYSANQYEKAYLPTYLQNWSPARPTKEKIAAHEGYTQIIANDRGHLLPSVPRSKA >ENSMUSP00000137709.1 pep:known chromosome:GRCm38:1:171123150:171126942:1 gene:ENSMUSG00000026649.14 transcript:ENSMUST00000143623.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap126 description:cilia and flagella associated protein 126 [Source:MGI Symbol;Acc:MGI:1922722] MATNYSANQYEKAYLPTYLQNWSPARPTKEKIAAHEGYTQIIANDRGHLLPSVPRSKVFP Y >ENSMUSP00000139558.1 pep:known chromosome:GRCm38:1:171123174:171126080:1 gene:ENSMUSG00000026649.14 transcript:ENSMUST00000156789.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap126 description:cilia and flagella associated protein 126 [Source:MGI Symbol;Acc:MGI:1922722] XNYSANQYEKAYLPTYLQNWSPARPTKEKIAAHEGYTQIIANDRGHLLPSVPRSKDGAEL STWKS >ENSMUSP00000115108.2 pep:known chromosome:GRCm38:1:171125034:171126659:1 gene:ENSMUSG00000026649.14 transcript:ENSMUST00000124157.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap126 description:cilia and flagella associated protein 126 [Source:MGI Symbol;Acc:MGI:1922722] YEKAYLPTYLQNWSPARPTKEKIAAHEGYTQIIANDRGHLLPSVPRSKDSFITLSLRMKI LSWKERDWKTAELNKPMESKLPFYLASPWGSFMGTWQMPLKIPPAKVTLTARTTTAADNL TKWIHKNPDLLNACNGLRPEISGKPFDPDSQTKQKKSVTKTVQQAPNPTIIPSSPV >ENSMUSP00000034707.8 pep:known chromosome:GRCm38:9:21616169:21704217:1 gene:ENSMUSG00000032187.16 transcript:ENSMUST00000034707.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca4 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 4 [Source:MGI Symbol;Acc:MGI:88192] MSTPDPPLGGTPRPGPSPGPGPSPGAMLGPSPGPSPGSAHSMMGPSPGPPSAGHPMPTQG PGGYPQDNMHQMHKPMESMHEKGMPDDPRYNQMKGMGMRSGAHTGMAPPPSPMDQHSQGY PSPLGGSEHASSPVPASGPSSGPQMSSGPGGAPLDGSDPQALGQQNRGPTPFNQNQLHQL RAQIMAYKMLARGQPLPDHLQMAVQGKRPMPGMQQQMPTLPPPSVSATGPGPGPGPGPGP GPGPAPPNYSRPHGMGGPNMPPPGPSGVPPGMPGQPPGGPPKPWPEGPMANAAAPTSTPQ KLIPPQPTGRPSPAPPAVPPAASPVMPPQTQSPGQPAQPAPLVPLHQKQSRITPIQKPRG LDPVEILQEREYRLQARIAHRIQELENLPGSLAGDLRTKATIELKALRLLNFQRQLRQEV VVCMRRDTALETALNAKAYKRSKRQSLREARITEKLEKQQKIEQERKRRQKHQEYLNSIL QHAKDFREYHRSVTGKLQKLTKAVATYHANTEREQKKENERIEKERMRRLMAEDEEGYRK LIDQKKDKRLAYLLQQTDEYVANLTELVRQHKAAQVAKEKKKKKKKKKAENAEGQTPAIG PDGEPLDETSQMSDLPVKVIHVESGKILTGTDAPKAGQLEAWLEMNPGYEVAPRSDSEES GSEEEEEEEEEEQPQPAQPPTLPVEEKKKIPDPDSDDVSEVDARHIIENAKQDVDDEYGV SQALARGLQSYYAVAHAVTERVDKQSALMVNGVLKQYQIKGLEWLVSLYNNNLNGILADE MGLGKTIQTIALITYLMEHKRINGPFLIIVPLSTLSNWAYEFDKWAPSVVKVSYKGSPAA RRAFVPQLRSGKFNVLLTTYEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTH YVAPRRLLLTGTPLQNKLPELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGEKVDLNEEE TILIIRRLHKVLRPFLLRRLKKEVEAQLPEKVEYVIKCDMSALQRVLYRHMQAKGVLLTD GSEKDKKGKGGTKTLMNTIMQLRKICNHPYMFQHIEESFSEHLGFTGGIVQGLDLYRASG KFELLDRILPKLRATNHKVLLFCQMTSLMTIMEDYFAYRGFKYLRLDGTTKAEDRGMLLK TFNEPGSEYFIFLLSTRAGGLGLNLQSADTVIIFDSDWNPHQDLQAQDRAHRIGQQNEVR VLRLCTVNSVEEKILAAAKYKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEQDEEE DEVPDDETVNQMIARHEEEFDLFMRMDLDRRREEARNPKRKPRLMEEDELPSWIIKDDAE VERLTCEEEEEKMFGRGSRHRKEVDYSDSLTEKQWLKAIEEGTLEEIEEEVRQKKSSRKR KRDSEAGSSTPTTSTRSRDKDEESKKQKKRGRPPAEKLSPNPPNLTKKMKKIVDAVIKYK DSSSGRQLSEVFIQLPSRKELPEYYELIRKPVDFKKIKERIRNHKYRSLNDLEKDVMLLC QNAQTFNLEGSLIYEDSIVLQSVFTSVRQKIEKEDDSEGEESEEEEEGEEEGSESESRSV KVKIKLGRKEKAQDRLKGGRRRPSRGSRAKPVVSDDDSEEEQEEDRSGSGSEED >ENSMUSP00000133922.1 pep:known chromosome:GRCm38:9:21616264:21703366:1 gene:ENSMUSG00000032187.16 transcript:ENSMUST00000174008.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca4 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 4 [Source:MGI Symbol;Acc:MGI:88192] MSTPDPPLGGTPRPGPSPGPGPSPGAMLGPSPGPSPGSAHSMMGPSPGPPSAGHPMPTQG PGGYPQDNMHQMHKPMESMHEKGMPDDPRYNQMKGMGMRSGAHTGMAPPPSPMDQHSQGY PSPLGGSEHASSPVPASGPSSGPQMSSGPGGAPLDGSDPQALGQQNRGPTPFNQNQLHQL RAQIMAYKMLARGQPLPDHLQMAVQGKRPMPGMQQQMPTLPPPSVSATGPGPGPGPGPGP GPGPAPPNYSRPHGMGGPNMPPPGPSGVPPGMPGQPPGGPPKPWPEGPMANAAAPTSTPQ KLIPPQPTGRPSPAPPAVPPAASPVMPPQTQSPGQPAQPAPLVPLHQKQSRITPIQKPRG LDPVEILQEREYRLQARIAHRIQELENLPGSLAGDLRTKATIELKALRLLNFQRQLRQEV VVCMRRDTALETALNAKAYKRSKRQSLREARITEKLEKQQKIEQERKRRQKHQEYLNSIL QHAKDFREYHRSVTGKLQKLTKAVATYHANTEREQKKENERIEKERMRRLMAEDEEGYRK LIDQKKDKRLAYLLQQTDEYVANLTELVRQHKAAQVAKEKKKKKKKKKAENAEGQTPAIG PDGEPLDETSQMSDLPVKVIHVESGKILTGTDAPKAGQLEAWLEMNPGYEVAPRSDSEES GSEEEEEEEEEEQPQPAQPPTLPVEEKKKIPDPDSDDVSEVDARHIIENAKQDVDDEYGV SQALARGLQSYYAVAHAVTERVDKQSALMVNGVLKQYQIKGLEWLVSLYNNNLNGILADE MGLGKTIQTIALITYLMEHKRINGPFLIIVPLSTLSNWAYEFDKWAPSVVKVSYKGSPAA RRAFVPQLRSGKFNVLLTTYEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTH YVAPRRLLLTGTPLQNKLPELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGEKVDLNEEE TILIIRRLHKVLRPFLLRRLKKEVEAQLPEKVEYVIKCDMSALQRVLYRHMQAKGVLLTD GSEKDKKGKGGTKTLMNTIMQLRKICNHPYMFQHIEESFSEHLGFTGGIVQGLDLYRASG KFELLDRILPKLRATNHKVLLFCQMTSLMTIMEDYFAYRGFKYLRLDGTTKAEDRGMLLK TFNEPGSEYFIFLLSTRAGGLGLNLQSADTVIIFDSDWNPHQDLQAQDRAHRIGQQNEVR VLRLCTVNSVEEKILAAAKYKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEQDEEE DEVPDDETVNQMIARHEEEFDLFMRMDLDRRREEARNPKRKPRLMEEDELPSWIIKDDAE VERLTCEEEEEKMFGRGSRHRKEVDYSDSLTEKQWLKAIEEGTLEEIEEEVRQKKSSRKR KRDSEAGSSTPTTSTRSRDKDEESKKQKKRGRPPAEKLSPNPPNLTKKMKKIVDAVIKYK DSSGRQLSEVFIQLPSRKELPEYYELIRKPVDFKKIKERIRNHKYRSLNDLEKDVMLLCQ NAQTFNLEGSLIYEDSIVLQSVFTSVRQKIEKEDDSEGEESEEEEEGEEEGSESESRSVK VKIKLGRKEKAQDRLKGGRRRPSRGSRAKPVVSDDDSEEEQEEDRSGSGSEED >ENSMUSP00000133535.1 pep:known chromosome:GRCm38:9:21635767:21686228:1 gene:ENSMUSG00000032187.16 transcript:ENSMUST00000172996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca4 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 4 [Source:MGI Symbol;Acc:MGI:88192] XPDHLQMAVQGKRPMPGMQQQMPTLPPPSVSATGPGPGPGPGPGPGPGPAPPNYSRPHGM GGPNMPPPGPSGVPPGMPGQPPGGPPKPWPEGPMANAAAPTSTPQKLIPPQPTGRPSPAP PAVPPAASPVMPPQTQSPGQPAQPAPLVPLHQKQSRITPIQKPRGLDPVEILQEREYRLQ ARIAHRIQELENLPGSLAGDLRTKATIELKALRLLNFQRQLRQEVVVCMRRDTALETALN AKAYKRSKRQSLREARITEKLEKQQKIEQERKRRQKHQEYLNSILQHAKDFREYHRSVTG KLQKLTKAVATYHANTEREQKKENERIEKERMRRLMAEDEEGYRKLIDQKKDKRLAYLLQ QTDEYVANLTELVRQHKAAQVAKEKKKKKKKKKAENAEGQTPAIGPDGEPLDETSQMSDL PVKVIHVESGKILTGTDAPKAGQLEAWLEMNPGYEVAPRSDSEESGSEEEEEEEEEEQPQ PAQPPTLPVEEKKKIPDPDSDDVSEVDARHIIENAKQDVDDEYGVSQALARGLQSYYAVA HAVTERVDKQSALMVNGVLKQYQIKGLEWLVSLYNNNLNGILADEMGLGKTIQTIALITY LMEHKRINGPFLIIVPLSTLSNWAYEFDKWAPSVVKVSYKGSPAARRAFVPQLRSGKFNV LLTTYEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTHYVAPRRLLLTGTPLQ NKLPELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGEKVDLNEEETILIIRRLHKVLRPF LLRRLKKEVEAQLPEKVEYVIKCDMSALQRVLYRHMQAKGVLLTDGSEKDKKGKGGTKTL MNTIMQLRKICNHPYMFQHIEESFSEHLGFTGGIVQGLDLYRASGKFELLDRILPKLRAT NHKVLLFCQMTSLMTIMEDYFAYRGFKYLRLDGTTKAEDRGMLLKTFNEPGSEYFIFLLS TRAGGLGLNLQSADTVIIFDSDWNPHQDLQAQDRAHRIGQQNEVRVLRLCTVNSVEEKIL AAAKYKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEQDESRHCSTGSGSASFAHTA PPPAGVNPDLEEPPLKEEDEVPDDETVNQMIARHEEEFDLFMRMDLDRRREEARNPKRKP RLMEEDELPSWIIKDDAEVERLTCEEEEEKMFGRGSRHRKEVDYSDSLTEKQWLKTLKAI EEGTLEEIEEEVRQKKSSRKRKRDSEAGSSTPTTSTRSRDKDEESKKQKKRGRPPAEKLS PNPPNLT >ENSMUSP00000096547.3 pep:known chromosome:GRCm38:9:21616169:21704230:1 gene:ENSMUSG00000032187.16 transcript:ENSMUST00000098948.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarca4 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily a, member 4 [Source:MGI Symbol;Acc:MGI:88192] MSTPDPPLGGTPRPGPSPGPGPSPGAMLGPSPGPSPGSAHSMMGPSPGPPSAGHPMPTQG PGGYPQDNMHQMHKPMESMHEKGMPDDPRYNQMKGMGMRSGAHTGMAPPPSPMDQHSQGY PSPLGGSEHASSPVPASGPSSGPQMSSGPGGAPLDGSDPQALGQQNRGPTPFNQNQLHQL RAQIMAYKMLARGQPLPDHLQMAVQGKRPMPGMQQQMPTLPPPSVSATGPGPGPGPGPGP GPGPAPPNYSRPHGMGGPNMPPPGPSGVPPGMPGQPPGGPPKPWPEGPMANAAAPTSTPQ KLIPPQPTGRPSPAPPAVPPAASPVMPPQTQSPGQPAQPAPLVPLHQKQSRITPIQKPRG LDPVEILQEREYRLQARIAHRIQELENLPGSLAGDLRTKATIELKALRLLNFQRQLRQEV VVCMRRDTALETALNAKAYKRSKRQSLREARITEKLEKQQKIEQERKRRQKHQEYLNSIL QHAKDFREYHRSVTGKLQKLTKAVATYHANTEREQKKENERIEKERMRRLMAEDEEGYRK LIDQKKDKRLAYLLQQTDEYVANLTELVRQHKAAQVAKEKKKKKKKKKAENAEGQTPAIG PDGEPLDETSQMSDLPVKVIHVESGKILTGTDAPKAGQLEAWLEMNPGYEVAPRSDSEES GSEEEEEEEEEEQPQPAQPPTLPVEEKKKIPDPDSDDVSEVDARHIIENAKQDVDDEYGV SQALARGLQSYYAVAHAVTERVDKQSALMVNGVLKQYQIKGLEWLVSLYNNNLNGILADE MGLGKTIQTIALITYLMEHKRINGPFLIIVPLSTLSNWAYEFDKWAPSVVKVSYKGSPAA RRAFVPQLRSGKFNVLLTTYEYIIKDKHILAKIRWKYMIVDEGHRMKNHHCKLTQVLNTH YVAPRRLLLTGTPLQNKLPELWALLNFLLPTIFKSCSTFEQWFNAPFAMTGEKVDLNEEE TILIIRRLHKVLRPFLLRRLKKEVEAQLPEKVEYVIKCDMSALQRVLYRHMQAKGVLLTD GSEKDKKGKGGTKTLMNTIMQLRKICNHPYMFQHIEESFSEHLGFTGGIVQGLDLYRASG KFELLDRILPKLRATNHKVLLFCQMTSLMTIMEDYFAYRGFKYLRLDGTTKAEDRGMLLK TFNEPGSEYFIFLLSTRAGGLGLNLQSADTVIIFDSDWNPHQDLQAQDRAHRIGQQNEVR VLRLCTVNSVEEKILAAAKYKLNVDQKVIQAGMFDQKSSSHERRAFLQAILEHEEQDEEE DEVPDDETVNQMIARHEEEFDLFMRMDLDRRREEARNPKRKPRLMEEDELPSWIIKDDAE VERLTCEEEEEKMFGRGSRHRKEVDYSDSLTEKQWLKTLKAIEEGTLEEIEEEVRQKKSS RKRKRDSEAGSSTPTTSTRSRDKDEESKKQKKRGRPPAEKLSPNPPNLTKKMKKIVDAVI KYKDSSSGRQLSEVFIQLPSRKELPEYYELIRKPVDFKKIKERIRNHKYRSLNDLEKDVM LLCQNAQTFNLEGSLIYEDSIVLQSVFTSVRQKIEKEDDSEGEESEEEEEGEEEGSESES RSVKVKIKLGRKEKAQDRLKGGRRRPSRGSRAKPVVSDDDSEEEQEEDRSGSGSEED >ENSMUSP00000097483.1 pep:known chromosome:GRCm38:2:86254765:86255691:-1 gene:ENSMUSG00000075194.1 transcript:ENSMUST00000099899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1049 description:olfactory receptor 1049 [Source:MGI Symbol;Acc:MGI:3030883] MGQPNITMPTEFILMGVTQTAELKLPLFAVFLTIYAITVVGNLGMIILTKLDSRLQTPMY FFIRHLAFIDLGNSTAICPKMLVNFVVDKNNITYYACATQMACFILFIVSELSILSSMAY DRYVAICNPLLYSAIMSQRRCQVLIGIPYLYSIFQALLFPIRYFTLSFCGANIISHFYCD VVPLLPLICSHVEETELLTILFSAFNLISSLVVVLLSYMLILLTIFQMRSAEGRKKAFST CGSHLTVVVVFYGSLLFMYVQPKSAHSFEYDKAASVFYTLVIPMLNPLIYSLRNKEVKNA FHRVFKNL >ENSMUSP00000111378.1 pep:known chromosome:GRCm38:18:36017707:36197380:-1 gene:ENSMUSG00000060275.11 transcript:ENSMUST00000115713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg2 description:neuregulin 2 [Source:MGI Symbol;Acc:MGI:1098246] MRQVCCSALPPPLEKARCSSYSYSYSDSSSSTTSSSRSSSSSSSRNSSSSNSSSSESSGS NSGSSSIFRPAAPPEPRPQPQPQPRSPAARRAAARSRAAAAGGMRRDPAPGFSMLLFGVS LACYSPSLKSVQDQAYKAPVVVEGKVQGLAPAGGSSSNSTREPPASGRVALVKVLDKWPL RSGGLQREQVISVGSCAPLERNQRYIFFLEPTEQPLVFKTAFAPVDPNGKNIKKEVGKIL CTDCATRPKLKKMKSQTGEVGEKQSLKCEAAAGNPQPSYRWFKDGKELNRSRDIRIKYGN GRKNSRLQFNKVRVEDAGEYVCEAENILGKDTVRGRLHVNSVSTTLSSWSGHARKCNETA KSYCVNGGVCYYIEGINQLSCKCPNGFFGQRCLEKLPLRLYMPDPKQKAEELYQKRVLTI TGICVALLVVGIVCVVAYCKTKKQRRQMHHHLRQNMCPAHQNRSLANGPSHPRLDPEEIQ MADYISKNVPATDHVIRREAETTFSGSHSCSPSHHCSTATPTSSHRHESHTWSLERSESL TSDSQSGIMLSSVGTSKCNSPACVEARARRAAAYSQEERRRAAMPPYHDSIDSLRDSPHS ERYVSALTTPARLSPVDFHYSLATQVPTFEITSPNSAHAVSLPPAAPISYRLAEQQPLLR HPAPPGPGPGSGPGADMQRSYDSYYYPAAGPGPRRSACALGGSLGSLPASPFRIPEDDEY ETTQECAPPPPPRPRTRGASRRTSAGPRRWRRSRLNGLAAQRARAARDSLSLSSGSGCGS ASASDDDADDADGALAAESTPFLGLRAAHDALRSDSPPLCPAADSRTYYSLDSHSTRASS RHSRGPPTRAKQDSGPL >ENSMUSP00000111370.1 pep:known chromosome:GRCm38:18:36027396:36197232:-1 gene:ENSMUSG00000060275.11 transcript:ENSMUST00000115705.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg2 description:neuregulin 2 [Source:MGI Symbol;Acc:MGI:1098246] MAWPDAAGLLLSAAAATGEGSVQQLQLHGGLQREQVISVGSCAPLERNQRYIFFLEPTEQ PLVFKTAFAPVDPNGKNIKKEVGKILCTDCATRPKLKKMKSQTGEVGEKQSLKCEAAAGN PQPSYRWFKDGKELNRSRDIRIKYGNGRKNSRLQFNKVRVEDAGEYVCEAENILGKDTVR GRLHVNSVSTTLSSWSGHARKCNETAKSYCVNGGVCYYIEGINQLSCKCPNGFFGQRCLE KLPLRLYMPDPKQSVLWDTPGTGVSSSQWSTSPSTLDLN >ENSMUSP00000111377.1 pep:known chromosome:GRCm38:18:36017707:36197380:-1 gene:ENSMUSG00000060275.11 transcript:ENSMUST00000115712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg2 description:neuregulin 2 [Source:MGI Symbol;Acc:MGI:1098246] MRQVCCSALPPPLEKARCSSYSYSYSDSSSSTTSSSRSSSSSSSRNSSSSNSSSSESSGS NSGSSSIFRPAAPPEPRPQPQPQPRSPAARRAAARSRAAAAGGMRRDPAPGFSMLLFGVS LACYSPSLKSVQDQAYKAPVVVEGKVQGLAPAGGSSSNSTREPPASGRVALVKVLDKWPL RSGGLQREQVISVGSCAPLERNQRYIFFLEPTEQPLVFKTAFAPVDPNGKNIKKEVGKIL CTDCATRPKLKKMKSQTGEVGEKQSLKCEAAAGNPQPSYRWFKDGKELNRSRDIRIKYGN GRKNSRLQFNKVRVEDAGEYVCEAENILGKDTVRGRLHVNSVSTTLSSWSGHARKCNETA KSYCVNGGVCYYIEGINQLSCKCPVGYTGDRCQQFAMVNFSKAEELYQKRVLTITGICVA LLVVGIVCVVAYCKTKKQRRQMHHHLRQNMCPAHQNRSLANGPSHPRLDPEEIQMADYIS KNVPATDHVIRREAETTFSGSHSCSPSHHCSTATPTSSHRHESHTWSLERSESLTSDSQS GIMLSSVGTSKCNSPACVEARARRAAAYSQEERRRAAMPPYHDSIDSLRDSPHSERYVSA LTTPARLSPVDFHYSLATQVPTFEITSPNSAHAVSLPPAAPISYRLAEQQPLLRHPAPPG PGPGSGPGADMQRSYDSYYYPAAGPGPRRSACALGGSLGSLPASPFRIPEDDEYETTQEC APPPPPRPRTRGASRRTSAGPRRWRRSRLNGLAAQRARAARDSLSLSSGSGCGSASASDD DADDADGALAAESTPFLGLRAAHDALRSDSPPLCPAADSRTYYSLDSHSTRASSRHSRGP PTRAKQDSGPL >ENSMUSP00000105159.1 pep:known chromosome:GRCm38:2:157418444:157471835:1 gene:ENSMUSG00000027646.15 transcript:ENSMUST00000109533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Src description:Rous sarcoma oncogene [Source:MGI Symbol;Acc:MGI:98397] MGSNKSKPKDASQRRRSLEPSENVHGAGGAFPASQTPSKPASADGHRGPSAAFVPPAAEP KLFGGFNSSDTVTSPQRAGPLAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDW WLAHSLSTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESERLLLNAENPRGTFLVRESE TTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFNSLQQLVAYYSKHADGLC HRLTTVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTRVAIKTLK PGTMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMNKGSLLDFLKGETGKYL RLPQLVDMSAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTA RQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERG YRMPCPPECPESLHDLMCQCWRKEPEERPTFEYLQAFLEDYFTSTEPQYQPGENL >ENSMUSP00000029175.7 pep:known chromosome:GRCm38:2:157424280:157471862:1 gene:ENSMUSG00000027646.15 transcript:ENSMUST00000029175.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Src description:Rous sarcoma oncogene [Source:MGI Symbol;Acc:MGI:98397] MGSNKSKPKDASQRRRSLEPSENVHGAGGAFPASQTPSKPASADGHRGPSAAFVPPAAEP KLFGGFNSSDTVTSPQRAGPLAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDW WLAHSLSTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESERLLLNAENPRGTFLVRESE TTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFNSLQQLVAYYSKHADGLC HRLTTVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTRVAIKTLK PGTMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMNKGSLLDFLKGETGKYL RLPQLVDMSAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTA RQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERG YRMPCPPECPESLHDLMCQCWRKEPEERPTFEYLQAFLEDYFTSTEPQYQPGENL >ENSMUSP00000105157.1 pep:known chromosome:GRCm38:2:157455671:157471838:1 gene:ENSMUSG00000027646.15 transcript:ENSMUST00000109531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Src description:Rous sarcoma oncogene [Source:MGI Symbol;Acc:MGI:98397] MGSNKSKPKDASQRRRSLEPSENVHGAGGAFPASQTPSKPASADGHRGPSAAFVPPAAEP KLFGGFNSSDTVTSPQRAGPLAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTEGDW WLAHSLSTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESERLLLNAENPRGTFLVRESE TTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFNSLQQLVAYYSKHADGLC HRLTTVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTRVAIKTLK PGTMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMNKGSLLDFLKGETGKYL RLPQLVDMSAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIEDNEYTA RQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVLDQVERG YRMPCPPECPESLHDLMCQCWRKEPEERPTFEYLQAFLEDYFTSTEPQYQPGENL >ENSMUSP00000105155.1 pep:known chromosome:GRCm38:2:157457085:157470355:1 gene:ENSMUSG00000027646.15 transcript:ENSMUST00000109529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Src description:Rous sarcoma oncogene [Source:MGI Symbol;Acc:MGI:98397] MGSNKSKPKDASQRRRSLEPSENVHGAGGAFPASQTPSKPASADGHRGPSAAFVPPAAEP KLFGGFNSSDTVTSPQRAGPLAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTRKVD VREGDWWLAHSLSTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESERLLLNAENPRGTF LVRESETTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFNSLQQLVAYYSK HADGLCHRLTTVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTRV AIKTLKPGTMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMNKGSLLDFLKG ETGKYLRLPQLVDMSAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIE DNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVL DQVERGYRMPCPPECPESLHDLMCQCWRKEPEERPTFEYLQAFLEDYFTSTEPQYQPGEN L >ENSMUSP00000090237.4 pep:known chromosome:GRCm38:2:157424293:157471838:1 gene:ENSMUSG00000027646.15 transcript:ENSMUST00000092576.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Src description:Rous sarcoma oncogene [Source:MGI Symbol;Acc:MGI:98397] MGSNKSKPKDASQRRRSLEPSENVHGAGGAFPASQTPSKPASADGHRGPSAAFVPPAAEP KLFGGFNSSDTVTSPQRAGPLAGGVTTFVALYDYESRTETDLSFKKGERLQIVNNTRKVD VREGDWWLAHSLSTGQTGYIPSNYVAPSDSIQAEEWYFGKITRRESERLLLNAENPRGTF LVRESETTKGAYCLSVSDFDNAKGLNVKHYKIRKLDSGGFYITSRTQFNSLQQLVAYYSK HADGLCHRLTTVCPTSKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTRV AIKTLKPGTMSPEAFLQEAQVMKKLRHEKLVQLYAVVSEEPIYIVTEYMNKGSLLDFLKG ETGKYLRLPQLVDMSAQIASGMAYVERMNYVHRDLRAANILVGENLVCKVADFGLARLIE DNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILLTELTTKGRVPYPGMVNREVL DQVERGYRMPCPPECPESLHDLMCQCWRKEPEERPTFEYLQAFLEDYFTSTEPQYQPGEN L >ENSMUSP00000102320.2 pep:known chromosome:GRCm38:4:108014791:108032090:-1 gene:ENSMUSG00000028600.15 transcript:ENSMUST00000106709.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podn description:podocan [Source:MGI Symbol;Acc:MGI:2674939] MAGSRGLPLLLLVLQLFLGPVLPVRAPVFGRSDTPTLSPEENEFVEEENQPVLVLSSEEP EPGPATVDCPRDCACSQEGVVDCGGIDLREFPGDLPEHTNHLSLQNNQLEKIYPEELSRL QRLETLNLQNNRLTSRGLPEEAFEHLTSLNYLYLANNKLTLAPRFLPNALISVDFAANYL TKIYGLTFGQKPNLRSVYLHNNKLADAGLPDHMFNGSSNVEILILSSNFLRHVPKHLPPA LYKLHLKNNKLEKIPPGAFSELSNLRELYLQNNYLTDEGLDNETFWKLSSLEYLDLSSNN LSRVPAGLPRSLVLLHLEKNAIQSVEADVLTPIRNLEYLLLHSNQLQAKGIHPLAFQGLK KLHTVHLYNNALERVPSGLPRRVRTLMILHNQITGIGREDFATTYFLEELNLSYNRITSP QMHRDAFRKLRLLRSLDLSGNRLQTLPPGLPKNVHVLKVKRNELAALARGALAGMAQLRE LYLTGNRLRSRALGPRAWVDLAGLQLLDIAGNQLTEVPEGLPPSLEYLYLQNNKISAVPA NAFDSTPNLKGIFLRFNKLAVGSVVESAFRRLKHLQVLDIEGNFEFGNGSKDKDEEEEEE EEEEDEEEETR >ENSMUSP00000121478.1 pep:known chromosome:GRCm38:4:108014793:108024315:-1 gene:ENSMUSG00000028600.15 transcript:ENSMUST00000146851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podn description:podocan [Source:MGI Symbol;Acc:MGI:2674939] LTSLNYLYLANNKLTLAPRFLPNALISVDFAANYLTKIYGLTFGQKPNLRSVYLHNNKLA DAGLPDHMFNGSSNVEILILSSNFLRHVPKHLPPALYKLHLKNNKLEKIPPGAFSELSNL RELYLQNNYLTDEGLDNETFWKLSSLEYLDLSSNNLSRVPAGLPRSLVLLHLEKNAIQSV EADVLTPIRNLEYLLLHSNQLQAKGIHPLAFQGLKKLHTVHLYNNALERVPSGLPRRVRT LMILHNQITGIGREDFATTYFLEELNLSYNRITSPQMHRDAFRKLRLLRSLDLSGNRLQT LPPGLPKNVHVLKVKRNELAALARGALAGMAQLRELYLTGTFREQPQEGLEEKEAAVRGG GGVGWWQLRWSSVLQGSSGYLWFCLRRKKNIYEDKNLKADFFPVTEKLI >ENSMUSP00000102319.1 pep:known chromosome:GRCm38:4:108014851:108031953:-1 gene:ENSMUSG00000028600.15 transcript:ENSMUST00000106708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podn description:podocan [Source:MGI Symbol;Acc:MGI:2674939] MAGSRGLPLLLLVLQLFLGPVLPVRAPVFGRSDTPTLSPEENEFVEEENQPVLVLSSEEP EPGPATVDCPRDCACSQEGVVDCGGIDLREFPGDLPEHTNHLSLQNNQLEKIYPEELSRL QRLETLNLQNNRLTSRGLPEEAFEHLTSLNYLYLANNKLTLAPRFLPNALISVDFAANYL TKIYGLTFGQKPNLRSVYLHNNKLADAGLPDHMFNGSSNVEILILSSNFLRHVPKHLPPA LYKLHLKNNKLEKIPPGAFSELSNLRELYLQNNYLTDEGLDNETFWKLSSLEYLDLSSNN LSRVPAGLPRSLVLLHLEKNAIQSVEADVLTPIRNLEYLLLHSNQLQAKGIHPLAFQGLK KLHTVHLYNNALERVPSGLPRRVRTLMILHNQITGIGREDFATTYFLEELNLSYNRITSP QMHRDAFRKLRLLRSLDLSGNRLQTLPPGLPKNVHVLKVKRNELAALARGALAGMAQLRE LYLTGNRLRSRALGPRAWVDLAGLQLLDIAGNQLTEVPEGLPPSLEYLYLQNNKISAVPA NAFDSTPNLKGIFLRFNKLAVGSVVESAFRRLKHLQVLDIEGNFEFGNGSKDKDEEEEEE EEEEDEEEETR >ENSMUSP00000123184.1 pep:known chromosome:GRCm38:4:108017723:108021526:-1 gene:ENSMUSG00000028600.15 transcript:ENSMUST00000130026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podn description:podocan [Source:MGI Symbol;Acc:MGI:2674939] XRVRTLMILHNQITGIGREDFATTYFLEELNLSYNRITSPQMHRDAFRKLRLLRSLDLSG NRLQTLPPGLPKNVHVLKVKRNELAALARGALAGMAQLRELYLTGNRLRSRALGPRAWVD LAGLQNNKISAVPANAFDSTPNLKGIFLRFNKLAVGSVVESAFRRLKHLQVLDIEGNFEF GNGSKDKDEEEEEEEEEEDEEEETR >ENSMUSP00000048962.7 pep:known chromosome:GRCm38:4:108014797:108096445:-1 gene:ENSMUSG00000028600.15 transcript:ENSMUST00000044248.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Podn description:podocan [Source:MGI Symbol;Acc:MGI:2674939] MAGSRGLPLLLLVLQLFLGPVLPVRAPVFGRSDTPTLSPEENEFVEEENQPVLVLSSEEP EPGPATVDCPRDCACSQEGVVDCGGIDLREFPGDLPEHTNHLSLQNNQLEKIYPEELSRL QRLETLNLQNNRLTSRGLPEEAFEHLTSLNYLYLANNKLTLAPRFLPNALISVDFAANYL TKIYGLTFGQKPNLRSVYLHNNKLADAGLPDHMFNGSSNVEILILSSNFLRHVPKHLPPA LYKLHLKNNKLEKIPPGAFSELSNLRELYLQNNYLTDEGLDNETFWKLSSLEYLDLSSNN LSRVPAGLPRSLVLLHLEKNAIQSVEADVLTPIRNLEYLLLHSNQLQAKGIHPLAFQGLK KLHTVHLYNNALERVPSGLPRRVRTLMILHNQITGIGREDFATTYFLEELNLSYNRITSP QMHRDAFRKLRLLRSLDLSGNRLQTLPPGLPKNVHVLKVKRNELAALARGALAGMAQLRE LYLTGNRLRSRALGPRAWVDLAGLQLLDIAGNQLTEVPEGLPPSLEYLYLQNNKISAVPA NAFDSTPNLKGIFLRFNKLAVGSVVESAFRRLKHLQVLDIEGNFEFGNGSKDKDEEEEEE EEEEDEEEETR >ENSMUSP00000099358.3 pep:known chromosome:GRCm38:11:106654217:106715265:-1 gene:ENSMUSG00000020717.19 transcript:ENSMUST00000103069.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pecam1 description:platelet/endothelial cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97537] MLLALGLTLVLYASLQAEENSFTINSIHMESLPSWEVMNGQQLTLECLVDISTTSKSRSQ HRVLFYKDDAMVYNVTSREHTESYVIPQARVFHSGKYKCTVMLNNKEKTTIEYEVKVHGV SKPKVTLDKKEVTEGGVVTVNCSLQEEKPPIFFKIEKLEVGTKFVKRRIDKTSNENFVLM EFPIEAQDHVLVFRCQAGILSGFKLQESEPIRSEYVTVQESFSTPKFEIKPPGMIIEGDQ LHIRCIVQVTHLVQEFTEIIIQKDKAIVATSKQSSEAVYSVMAMVEYSGHYTCKVESNRI SKASSIMVNITELFPKPKLEFSSSRLDQGELLDLSCSVSGTPVANFTIQKEETVLSQYQN FSKIAEESDSGEYSCTAGIGKVVKRSGLVPIQVCEMLSKPSIFHDAKSEIIKGHAIGISC QSENGTAPITYHLMKAKSDFQTLEVTSNDPATFTDKPTRDMEYQCRADNCHSHPAVFSEI LRVRVIAPVDEVVISILSSNEVQSGSEMVLRCSVKEGTSPITFQFYKEKEDRPFHQAVVN DTQAFWHNKQASKKQEGQYYCTASNRASSMRTSPRSSTLAVRVFLAPWKKGLIAVVVIGV VIATLIVAAKCYFLRKAKAKQKPVEMSRPAAPLLNSNSEKISEPSVEANSHYGYDDVSGN DAVKPINQNKDPQNMDVEYTEVEVSSLEPHQENGRLP >ENSMUSP00000079664.4 pep:known chromosome:GRCm38:11:106654218:106715259:-1 gene:ENSMUSG00000020717.19 transcript:ENSMUST00000080853.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pecam1 description:platelet/endothelial cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97537] MLLALGLTLVLYASLQAEENSFTINSIHMESLPSWEVMNGQQLTLECLVDISTTSKSRSQ HRVLFYKDDAMVYNVTSREHTESYVIPQARVFHSGKYKCTVMLNNKEKTTIEYEVKVHGV SKPKVTLDKKEVTEGGVVTVNCSLQEEKPPIFFKIEKLEVGTKFVKRRIDKTSNENFVLM EFPIEAQDHVLVFRCQAGILSGFKLQESEPIRSEYVTVQESFSTPKFEIKPPGMIIEGDQ LHIRCIVQVTHLVQEFTEIIIQKDKAIVATSKQSSEAVYSVMAMVEYSGHYTCKVESNRI SKASSIMVNITELFPKPKLEFSSSRLDQGELLDLSCSVSGTPVANFTIQKEETVLSQYQN FSKIAEESDSGEYSCTAGIGKVVKRSGLVPIQVCEMLSKPSIFHDAKSEIIKGHAIGISC QSENGTAPITYHLMKAKSDFQTLEVTSNDPATFTDKPTRDMEYQCRADNCHSHPAVFSEI LRVRVIAPVDEVVISILSSNEVQSGSEMVLRCSVKEGTSPITFQFYKEKEDRPFHQAVVN DTQAFWHNKQASKKQEGQYYCTASNRASSMRTSPRSSTLAVRVFLAPWKKGLIAVVVIGV VIATLIVAAKCYFLRKAKAKQKPVEMSRPAAPLLNSNSEKISEPSVEANSHYGYDDVSGN DAVKPINQNKDPQNMDVEYTEVEVSSLEPHQALGTRATETVYSEIRKVDPKNGRLP >ENSMUSP00000138959.1 pep:known chromosome:GRCm38:11:106654218:106715262:-1 gene:ENSMUSG00000020717.19 transcript:ENSMUST00000183610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pecam1 description:platelet/endothelial cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97537] MLLALGLTLVLYASLQAEENSFTINSIHMESLPSWEVMNGQQLTLECLVDISTTSKSRSQ HRVLFYKDDAMVYNVTSREHTESYVIPQARVFHSGKYKCTVMLNNKEKTTIEYEVKVHES FSTPKFEIKPPGMIIEGDQLHIRCIVQVTHLVQEFTEIIIQKDKAIVATSKQSSEAVYSV MAMVEYSGHYTCKVESNRISKASSIMVNITELFPKPKLEFSSSRLDQGELLDLSCSVSGT PVANFTIQKEETVLSQYQNFSKIAEESDSGEYSCTAGIGKVVKRSGLVPIQVCEMLSKPS IFHDAKSEIIKGHAIGISCQSENGTAPITYHLMKAKSDFQTLEVTSNDPATFTDKPTRDM EYQCRADNCHSHPAVFSEILRVRVIAPVDEVVISILSSNEVQSGSEMVLRCSVKEGTSPI TFQFYKEKEDRPFHQAVVNDTQAFWHNKQASKKQEGQYYCTASNRASSMRTSPRSSTLAV RVFLAPWKKGLIAVVVIGVVIATLIVAAKCYFLRKAKAKQKPVEMSRPAAPLLNSNSEKI SEPSVEANSHYGYDDVSGNDAVKPINQNKDPQNMDVEYTEVEVSSLEPHQALGTRATETV YSEIRKVDPNLMENRYSRTEGSLNGT >ENSMUSP00000102408.2 pep:known chromosome:GRCm38:11:106654218:106715394:-1 gene:ENSMUSG00000020717.19 transcript:ENSMUST00000106796.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pecam1 description:platelet/endothelial cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97537] MLLALGLTLVLYASLQAEENSFTINSIHMESLPSWEVMNGQQLTLECLVDISTTSKSRSQ HRVLFYKDDAMVYNVTSREHTESYVIPQARVFHSGKYKCTVMLNNKEKTTIEYEVKVHGV SKPKVTLDKKEVTEGGVVTVNCSLQEEKPPIFFKIEKLEVGTKFVKRRIDKTSNENFVLM EFPIEAQDHVLVFRCQAGILSGFKLQESEPIRSEYVTVQESFSTPKFEIKPPGMIIEGDQ LHIRCIVQVTHLVQEFTEIIIQKDKAIVATSKQSSEAVYSVMAMVEYSGHYTCKVESNRI SKASSIMVNITELFPKPKLEFSSSRLDQGELLDLSCSVSGTPVANFTIQKEETVLSQYQN FSKIAEESDSGEYSCTAGIGKVVKRSGLVPIQVCEMLSKPSIFHDAKSEIIKGHAIGISC QSENGTAPITYHLMKAKSDFQTLEVTSNDPATFTDKPTRDMEYQCRADNCHSHPAVFSEI LRVRVIAPVDEVVISILSSNEVQSGSEMVLRCSVKEGTSPITFQFYKEKEDRPFHQAVVN DTQAFWHNKQASKKQEGQYYCTASNRASSMRTSPRSSTLAVRVFLAPWKKGLIAVVVIGV VIATLIVAAKCYFLRKAKAKQKPVEMSRPAAPLLNSNSEKISEPSVEANSHYGYDDVSGN DAVKPINQNKDPQNMDVEYTEVEVSSLEPHQALGTRATETVYSEIRKVDPNLMENRYSRT EGSLNGT >ENSMUSP00000067111.2 pep:known chromosome:GRCm38:11:106661797:106750628:-1 gene:ENSMUSG00000020717.19 transcript:ENSMUST00000068021.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pecam1 description:platelet/endothelial cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97537] MLLALGLTLVLYASLQAEENSFTINSIHMESLPSWEVMNGQQLTLECLVDISTTSKSRSQ HRVLFYKDDAMVYNVTSREHTESYVIPQARVFHSGKYKCTVMLNNKEKTTIEYEVKVHGV SKPKVTLDKKEVTEGGVVTVNCSLQEEKPPIFFKIEKLEVGTKFVKRRIDKTSNENFVLM EFPIEAQDHVLVFRCQAGILSGFKLQESEPIRSEYVTVQESFSTPKFEIKPPGMIIEGDQ LHIRCIVQVTHLVQEFTEIIIQKDKAIVATSKQSSEAVYSVMAMVEYSGHYTCKVESNRI SKASSIMVNITELFPKPKLEFSSSRLDQGELLDLSCSVSGTPVANFTIQKEETVLSQYQN FSKIAEESDSGEYSCTAGIGKVVKRSGLVPIQVCEMLSKPSIFHDAKSEIIKGHAIGISC QSENGTAPITYHLMKAKSDFQTLEVTSNDPATFTDKPTRDMEYQCRADNCHSHPAVFSEI LRVRVIAPVDEVVISILSSNEVQSGSEMVLRCSVKEGTSPITFQFYKEKEDRPFHQAVVN DTQAFWHNKQASKKQEGQYYCTASNRASSMRTSPRSSTLAVRVFLAPWKKGLIAVVVIGV VIATLIVAAKCYFLRKAKAKQKPVEMSRPAAPLLNSNSEKISEPSVEANSHYGYDDVSGN DAVKPINQNKDPQNMDVEYTEVEVSSLEPHQALGTRATETVYSEIRKVDPNLMENRYSVS THVTHTTLKMHP >ENSMUSP00000122414.2 pep:known chromosome:GRCm38:11:106691083:106714946:-1 gene:ENSMUSG00000020717.19 transcript:ENSMUST00000124958.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pecam1 description:platelet/endothelial cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97537] MESLPSWEVMNGQQLTLECLVDISTTSKSRSQHRVLFYKDDAMVYNVTSREHTESYVIPQ ARVFHSGKYKCTVMLNNKEKTTIEYEVKVHGVSKPKVTLDKKEVTEGGVVTVNCSLQEEK PPIFFKIEKLEVGTKFVKRRIDKTSNENFVLMEFPIEAQDHVLVFRCQAGILSGFKLQES EPIRSEYVTVQESFSTPKFEIKPPGMIIEGDQLHIRCIVQVTHLVQEFTEIIIQKDKAIV ATSKQSSEAVYSVMAMVEYSGHYTCKVESNRISKASSIMVNITELFPKPKLEFSSSRLDQ GELLDLSCSVSGTPVAN >ENSMUSP00000022322.9 pep:known chromosome:GRCm38:14:34310727:34345144:1 gene:ENSMUSG00000021794.15 transcript:ENSMUST00000022322.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glud1 description:glutamate dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:95753] MYRRLGEALLLSRAGPAALGSAAADSAALLGWARGQPSAAPQPGLTPVARRHYSEAAADR EDDPNFFKMVEGFFDRGASIVEDKLVEDLKTRESEEQKRNRVRGILRIIKPCNHVLSLSF PIRRDDGSWEVIEGYRAQHSQHRTPCKGGIRYSTDVSVDEVKALASLMTYKCAVVDVPFG GAKAGVKINPKNYTDNELEKITRRFTMELAKKGFIGPGIDVPAPDMSTGEREMSWIADTY ASTIGHYDINAHACVTGKPISQGGIHGRISATGRGVFHGIENFINEASYMSILGMTPGFG DKTFVVQGFGNVGLHSMRYLHRFGAKCVGVGESDGSIWNPDGIDPKELEDFKLQHGSILG FPKAKVYEGSILEADCDILIPAASEKQLTKSNAPRVKAKIIAEGANGPTTPEADKIFLER NIMVIPDLYLNAGGVTVSYFEWLKNLNHVSYGRLTFKYERDSNYHLLMSVQESLERKFGK HGGTIPVVPTAEFQDRISGASEKDIVHSGLAYTMERSARQIMRTAMKYNLGLDLRTAAYV NAIEKVFKVYNEAGVTFT >ENSMUSP00000130934.1 pep:known chromosome:GRCm38:14:34334266:34342167:1 gene:ENSMUSG00000021794.15 transcript:ENSMUST00000163955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glud1 description:glutamate dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:95753] XGDKTFVVQGFGNVGLHSMRYLHRFGAKCVGVGESDGSIWNPDGIDPKELEDFKLQHGSI LGFPKAKVYEGSILEADCDILIPAASEKQLTKSNAPRVKAKIIAEGANGPTTPEADKIFL ERNIMVIPGASEKDIVHSGLAYTM >ENSMUSP00000026881.4 pep:known chromosome:GRCm38:1:16688433:16709611:1 gene:ENSMUSG00000025779.10 transcript:ENSMUST00000026881.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly96 description:lymphocyte antigen 96 [Source:MGI Symbol;Acc:MGI:1341909] MLPFILFSTLLSPILTESEKQQWFCNSSDAIISYSYCDHLKFPISISSEPCIRLRGTNGF VHVEFIPRGNLKYLYFNLFISVNSIELPKRKEVLCHGHDDDYSFCRALKGETVNTSIPFS FEGILFPKGHYRCVAEAIAGDTEEKLFCLNFTIIHRRDVN >ENSMUSP00000140411.1 pep:known chromosome:GRCm38:1:16688508:16709537:1 gene:ENSMUSG00000025779.10 transcript:ENSMUST00000190366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly96 description:lymphocyte antigen 96 [Source:MGI Symbol;Acc:MGI:1341909] MLPFILFSTLLSPILTESEKQQWFCNSSDAIISYSYCDHLKFPISISSEPCIRLRGTNGF VHVEFIPKLPKRKEVLCHGHDDDYSFCRALKGETVNTSIPFSFEGILFPKGHYRCVAEAI AGDTEEKLFCLNFTIIHRRDVN >ENSMUSP00000015501.4 pep:known chromosome:GRCm38:9:65294260:65330657:1 gene:ENSMUSG00000015357.10 transcript:ENSMUST00000015501.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clpx description:caseinolytic mitochondrial matrix peptidase chaperone subunit [Source:MGI Symbol;Acc:MGI:1346017] MSSCGACTCGAAAARLLTTSLTSAQRGISCGRIHVPVLGRLGTTLDAQALRRAPLRTFSE TPAYFASKDGANKDGSGDGNKKSVTEGSSKKSGSGNSGKGGNQLRCPKCGDLCTHVETFV SSTRFVKCEKCHHFFVVLSEADSKKSIIKEPESAAEAVKLAFQQKPPPPPKKIYNYLDKY VVGQSFAKKVLSVAVYNHYKRIYNNIPANLRQQAEAEKQTSLTPRELEIRRREDEYRFTK LLQIAGISPHGNALGASMQQQVNQQMPQEKRGGEVLDSSQDDIKLEKSNILLLGPTGSGK TLLAQTLAKCLDVPFAICDCTTLTQAGYVGEDIESVIAKLLQDANYNVEKAQQGIVFLDE VDKIGSVPGIHQLRDVGGEGVQQGLLKLLEGTIVNVPEKNSRKLRGETVQVDTTNVLFVA SGAFNGLDRIISRRKNEKYLGFGTPSNLGKGRRAAAAADLANRSGESNTHQDIEEKDRLL RHVEARDLIEFGMIPEFVGRLPVVVPLHSLDEKTLVQILTEPRNAVIPQYQALFSMDKCE LNVTEDALKAIARLALERKTGARGLRSIMEKLLLEPMFEVPNSDIVCVEVDKEVVEGKKE PGYIRAPSKESSEEEYDSGVEEDGWPRQADAANS >ENSMUSP00000116377.1 pep:known chromosome:GRCm38:9:65310152:65318737:1 gene:ENSMUSG00000015357.10 transcript:ENSMUST00000147279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clpx description:caseinolytic mitochondrial matrix peptidase chaperone subunit [Source:MGI Symbol;Acc:MGI:1346017] IYNYLDKYVVGQSFAKKVLSVAVYNHYKRIYNNIPANLRQQAEAEKQTSLTPRELLQIAG ISPHGNALGASMQQQVNQQMPQEKRGGEVLDSSQDDIKLEKSNILLLGPTGSGKTLLAQT LAKCLDVPFAICDCTTLTQAGYVGEDIESVIAKLLQDANYNVEKAQQGIVFLDEVDKIGS VPGIHQLRDVGG >ENSMUSP00000109455.1 pep:known chromosome:GRCm38:9:65294295:65330658:1 gene:ENSMUSG00000015357.10 transcript:ENSMUST00000113824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clpx description:caseinolytic mitochondrial matrix peptidase chaperone subunit [Source:MGI Symbol;Acc:MGI:1346017] MSSCGACTCGAAAARLLTTSLTSAQRGISCGRIHVPVLGRLGTTLDAQALRRAPLRTFSE TPAYFASKDGANKDGSGDGNKKSVTEGSSKKSGSGNSGKGGNQLRCPKCGDLCTHVETFV SSTRFVKCEKCHHFFVVLSEADSKKSIIKEPESAAEAVKLAFQQKPPPPPKKIYNYLDKY VVGQSFAKKVLSVAVYNHYKRIYNNIPANLRQQAEAEKQTSLTPRELLQIAGISPHGNAL GASMQQQVNQQMPQEKRGGEVLDSSQDDIKLEKSNILLLGPTGSGKTLLAQTLAKCLDVP FAICDCTTLTQAGYVGEDIESVIAKLLQDANYNVEKAQQGIVFLDEVDKIGSVPGIHQLR DVGGEGVQQGLLKLLEGTIVNVPEKNSRKLRGETVQVDTTNVLFVASGAFNGLDRIISRR KNEKYLGFGTPSNLGKGRRAAAAADLANRSGESNTHQDIEEKDRLLRHVEARDLIEFGMI PEFVGRLPVVVPLHSLDEKTLVQILTEPRNAVIPQYQALFSMDKCELNVTEDALKAIARL ALERKTGARGLRSIMEKLLLEPMFEVPNSDIVCVEVDKEVVEGKKEPGYIRAPSKESSEE EYDSGVEEDGWPRQADAANS >ENSMUSP00000104090.4 pep:known chromosome:GRCm38:7:19701313:19715438:-1 gene:ENSMUSG00000002984.17 transcript:ENSMUST00000093552.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm40 description:translocase of outer mitochondrial membrane 40 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1858259] MGNVLAASSPPAGPPPPPTPSLVGLPPPPPSPPGFTLPPLGGGLGTGSSTGRGSERTPGA AASGAAAASEDGSCGCLPNPGTFEECHRKCKELFPVQMEGVKLTVNKGLSNRFQVTHTVA LGTIGESNYHFGVTYVGTKQLSPTEAFPVLVGDMDNSGSLNAQVIHQLSPGLRSKMAIQT QQSKFVNWQVDGEYRGSDFTAAVTLGNPDVLVGSGILVAHYLQSITPCLALGGELVYHRR PGEEGTVMSLAGKYTLNNWLATVTLGQAGMHATYYHKASDQLQVGVEFEASTRMQDTSAS FGYQLDLPKANFLFKGSVNSNWIVGATLEKKLPPLPLTLSLCAFLNHRKNKFLCGFGLTI G >ENSMUSP00000032555.9 pep:known chromosome:GRCm38:7:19701329:19715425:-1 gene:ENSMUSG00000002984.17 transcript:ENSMUST00000032555.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm40 description:translocase of outer mitochondrial membrane 40 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1858259] MGNVLAASSPPAGPPPPPTPSLVGLPPPPPSPPGFTLPPLGGGLGTGSSTGRGSERTPGA AASGAAAASEDGSCGCLPNPGTFEECHRKCKELFPVQMEGVKLTVNKGLSNRFQVTHTVA LGTIGESNYHFGVTYVGTKQLSPTEAFPVLVGDMDNSGSLNAQVIHQLSPGLRSKMAIQT QQSKFVNWQVDGEYRGSDFTAAVTLGNPDVLVGSGILVAHYLQSITPCLALGGELVYHRR PGEEGTVMSLAGKYTLNNWLATVTLGQAGMHATYYHKASDQLQVGVEFEASTRMQDTSAS FGYQLDLPKANFLFKGSVNSNWIVGATLEKKLPPLPLTLSLCAFLNHRKNKFLCGFGLTI G >ENSMUSP00000133975.1 pep:known chromosome:GRCm38:7:19702665:19703140:-1 gene:ENSMUSG00000002984.17 transcript:ENSMUST00000172705.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm40 description:translocase of outer mitochondrial membrane 40 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1858259] NNWLATVTLGQAGMHATYYHKASDQLQVGVEFEASTRMQDTSASFGYQLDLPKANFLFKG KEGLSFPPGEQAR >ENSMUSP00000023873.5 pep:known chromosome:GRCm38:14:54507187:54517525:-1 gene:ENSMUSG00000023110.12 transcript:ENSMUST00000023873.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt5 description:protein arginine N-methyltransferase 5 [Source:MGI Symbol;Acc:MGI:1351645] MAAMAVGGAGGSRVSSGRDLNCVPEIADTLGAVAKQGFDFLCMPVFHPRFKREFIQEPAK NRPGPQTRSDLLLSGRDWNTLIVGKLSPWIHPDSKVEKIRRNSEAAMLQELNFGAYLGLP AFLLPLNQEDNTNLARVLTNHIHTGHHSSMFWMRVPLVAPEDLRDDVIENAPTTHTEEYS GEEKTWMWWHNFRTLCDYSKRIAVALEIGADLPSNHVIDRWLGEPIKAAILPTSIFLTNK KGFPVLSKVQQRLIFRLLKLEVQFIITGTNHHSEKEFCSYLQYLEYLSQNRPPPNAYELF AKGYEDYLQSPLQPLMDNLESQTYEVFEKDPIKYSQYQQAIYKCLLDRVPEEEKETNVQV LMVLGAGRGPLVNASLRAAKQAERRIRLYAVEKNPNAVVTLENWQFEEWGSQVTVVSSDM REWVAPEKADIIVSELLGSFADNELSPECLDGAQHFLKDDGVSIPGEYTSFLAPISSSKL YNEVRACREKDRDPEAQFEMPYVVRLHNFHQLSAPKPCFTFSHPNRDPMIDNNRYCTLEF PVEVNTVLHGFAGYFETVLYRDITLSIRPETHSPGMFSWFPIFFPIKQPITVHEGQNICV RFWRCSNSKKVWYEWAVTAPVCSSIHNPTGRSYTIGL >ENSMUSP00000121502.1 pep:known chromosome:GRCm38:14:54511001:54513456:-1 gene:ENSMUSG00000023110.12 transcript:ENSMUST00000139964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt5 description:protein arginine N-methyltransferase 5 [Source:MGI Symbol;Acc:MGI:1351645] XALEIGADLPSNHVIDRWLGEPIKAAILPTSIFLTNKKGFPVLSKLEVQFIITGTNHHSE KEFCSYLQYLEYLSQNRPPPNAYELFAKGYEDYLQSPLQPLMDNLESQTYEVFEKDPIKY SQYQQAIYKCLLDRVPEEEKETNVQVLMVLGAGRGPLVNASLRAAKQAERRIRLYAVEKN PNAVVTLENWQFEEWGSQVTVVSS >ENSMUSP00000138549.1 pep:known chromosome:GRCm38:14:54511206:54517435:-1 gene:ENSMUSG00000023110.12 transcript:ENSMUST00000132227.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prmt5 description:protein arginine N-methyltransferase 5 [Source:MGI Symbol;Acc:MGI:1351645] MAAMAVGGAGGSRVSSGRDLNCVPEIADTLGAVAKQGLEYANCGKAFSMDSSRLKSGEDP KEL >ENSMUSP00000122354.2 pep:known chromosome:GRCm38:4:133679008:133753611:-1 gene:ENSMUSG00000007880.16 transcript:ENSMUST00000145664.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid1a description:AT rich interactive domain 1A (SWI-like) [Source:MGI Symbol;Acc:MGI:1935147] MAAQVAPAAASSLGNPPPPPSELKKAEQQQREEAGGEAAAAAAERGEMKAAAGQESEGPA VGPPQPLGKELQDGAESNGGGGGGGAGSGGGPGAEPDLKNSNGNAGPRPALNNNLPEPPG GGGGGGSSSSDGVGAPPHSAAAALPPPAYGFGQAYGRSPSAVAAAAAAVFHQQHGGQQSP GLAALQSGGGGGLEPYAGPQQNSHDHGFPNHQYNSYYPNRSAYPPPPQAYALSSPRGGTP GSGAAAAAGSKPPPSSSASASSSSSSFAQQRFGAMGGGGPSAAGGGTPQPTATPTLNQLL TSPSSARGYQGYPGGDYGGGPQDGGAGKGPADMASQCWGAAAAAAAAAAAVSGGAQQRSH HAPMSPGSSGGGGQPLARTPQSSSPMDQMGKMRPQPYGGTNPYSQQQGPPSGPQQGHGYP GQPYGSQTPQRYPMTMQGRAQSAMGSLSYAQQIPPYGQQGPSAYGQQGQTPYYNQQSPHP QQQPPYAQQPPSQTPHAQPSYQQQPQTQQPQLQSSQPPYSQQPSQPPHQQSPTPYPSQQS TTQQHPQSQPPYSQPQAQSPYQQQQPQQPASSSLSQQAAYPQPQPQQSQQTAYSQQRFPP PQELSQDSFGSQASSAPSMTSSKGGQEDMNLSLQSRPSSLPDLSGSIDDLPMGTEGALSP GVSTSGISSSQGEQSNPAQSPFSPHTSPHLPGIRGPSPSPVGSPASVAQSRSGPLSPAAV PGNQMPPRPPSGQSDSIMHPSMNQSSIAQDRGYMQRNPQMPQYTSPQPGSALSPRQPSGG QMHSGVGSYQQNSMGSYGPQGSQYGPQGGYPRQPNYNALPNANYPNAGMAGSMNPMGAGG QMHGQPGIPPYGTLPPGRMAHASMGNRPYGPNMANMPPQVGSGMCPPPGGMNRKTQESAV AMHVAANSIQNRPPGYPNMNQGGMMGTGPPYGQGINSMAGMINPQGPPYPMGGTMANNSA GMAASPEMMGLGDVKLTPATKMNNKADGTPKTESKSKKSSSSTTTNEKITKLYELGGEPE RKMWVDRYLAFTEEKAMGMTNLPAVGRKPLDLYRLYVSVKEIGGLTQVNKNKKWRELATN LNVGTSSSAASSLKKQYIQCLYAFECKIERGEDPPPDIFAAADSKKSQPKIQPPSPAGSG SMQGPQTPQSTSSSMAEGGDLKPPTPASTPHSQIPPLPGMRSNSVGIQDAFPDGSDPTFQ KRNSMTPNPGYQPSMNTSDMMGRMSYEPNKDPYGSMRKAPGSDPFMSSGQGPNGGMGDPY SRAAGPGLGSVAMGPRQHYPYGGPYDRVRTEPGIGPEGNMGTGAPQPNLMPSTPDSGMYS PSRYPPQQQQQQQQQQQQQRHDSYGNQFSTQGTPSSSPFPSQQTTMYQQQQQNYKRPMDG TYGPPAKRHEGEMYSVPYSAGQGQPQQQQLPAAQSQPASQPQAAQPSPQQDVYNQYSNAY PASATAATDRRPAGGPQNQFPFQFGRDRVSAPPGSSAQQNMPPQMMGGPIQASAEVAQQG TMWQGRNDMTYNYANRQNTGSATQGPAYHGVNRTDEMLHTDQRANHEGPWPSHGTRQPPY GPSAPVPPMTRPPPSNYQPPPSMPNHIPQVSSPAPLPRPMENRTSPSKSPFLHSGMKMQK AGPPVPASHIAPTPVQPPMIRRDITFPPGSVEATQPVLKQRRRLTMKDIGTPEAWRVMMS LKSGLLAESTWALDTINILLYDDNSIMTFNLSQLPGLLELLVEYFRRCLIEIFGILKEYE VGDPGQRTLLDPGRFTKVYSPAHTEEEEEEHLDPKLEEEEEEGVGNDEEMAFLGKDKPSS ENNEEKLVSKFDKLPVKIVQRNDPFVVDCSDKLGRVQEFDSGLLHWRIGGGDTTEHIQTH FESKIELLPSRPYVPCPTPPRKHLTTVEGTPGTTEQEGPPPDGLPEKRITATMDDMLSTR SSTLTDEGAKSAEATKESSKFPFGISPAQSHRNIKILEDEPHSKDETPLCTLLDWQDSLA KRCVCVSNTIRSLSFVPGNDFEMSKHPGLLLILGKLILLHHKHPERKQAPLTYEKEEEQD QGVSCDKVEWWWDCLEMLRENTLVTLANISGQLDLSPYPESICLPVLDGLLHWAVCPSAE AQDPFSTLGPNAVLSPQRLVLETLSKLSIQDNNVDLILATPPFSRLEKLYSTMVRFLSDR KNPVCREMAVVLLANLAQGDSLAARAIAVQKGSIGNLLGFLEDSLAATQFQQSQASLLHM QNPPFEPTSVDMMRRAARALLALAKVDENHSEFTLYESRLLDISVSPLMNSLVSQVICDV LFLIGQS >ENSMUSP00000008024.6 pep:known chromosome:GRCm38:4:133679941:133756769:-1 gene:ENSMUSG00000007880.16 transcript:ENSMUST00000008024.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid1a description:AT rich interactive domain 1A (SWI-like) [Source:MGI Symbol;Acc:MGI:1935147] MDQMGKMRPQPYGGTNPYSQQQGPPSGPQQGHGYPGQPYGSQTPQRYPMTMQGRAQSAMG SLSYAQQIPPYGQQGPSAYGQQGQTPYYNQQSPHPQQQPPYAQQPPSQTPHAQPSYQQQP QTQQPQLQSSQPPYSQQPSQPPHQQSPTPYPSQQSTTQQHPQSQPPYSQPQAQSPYQQQQ PQQPASSSLSQQAAYPQPQPQQSQQTAYSQQRFPPPQELSQDSFGSQASSAPSMTSSKGG QEDMNLSLQSRPSSLPDLSGSIDDLPMGTEGALSPGVSTSGISSSQGEQSNPAQSPFSPH TSPHLPGIRGPSPSPVGSPASVAQSRSGPLSPAAVPGNQMPPRPPSGQSDSIMHPSMNQS SIAQDRGYMQRNPQMPQYTSPQPGSALSPRQPSGGQMHSGVGSYQQNSMGSYGPQGSQYG PQGGYPRQPNYNALPNANYPNAGMAGSMNPMGAGGQMHGQPGIPPYGTLPPGRMAHASMG NRPYGPNMANMPPQVGSGMCPPPGGMNRKTQESAVAMHVAANSIQNRPPGYPNMNQGGMM GTGPPYGQGINSMAGMINPQGPPYPMGGTMANNSAGMAASPEMMGLGDVKLTPATKMNNK ADGTPKTESKSKKSSSSTTTNEKITKLYELGGEPERKMWVDRYLAFTEEKAMGMTNLPAV GRKPLDLYRLYVSVKEIGGLTQVNKNKKWRELATNLNVGTSSSAASSLKKQYIQCLYAFE CKIERGEDPPPDIFAAADSKKSQPKIQPPSPAGSGSMQGPQTPQSTSSSMAEGGDLKPPT PASTPHSQIPPLPGMRSNSVGIQDAFPDGSDPTFQKRNSMTPNPGYQPSMNTSDMMGRMS YEPNKDPYGSMRKAPGSDPFMSSGQGPNGGMGDPYSRAAGPGLGSVAMGPRQHYPYGGPY DRVRTEPGIGPEGNMGTGAPQPNLMPSTPDSGMYSPSRYPPQQQQQQQQQQQQQRHDSYG NQFSTQGTPSSSPFPSQQTTMYQQQQQNYKRPMDGTYGPPAKRHEGEMYSVPYSAGQGQP QQQQLPAAQSQPASQPQAAQPSPQQDVYNQYSNAYPASATAATDRRPAGGPQNQFPFQFG RDRVSAPPGSSAQQNMPPQMMGGPIQASAEVAQQGTMWQGRNDMTYNYANRQNTGSATQG PAYHGVNRTDEMLHTDQRANHEGPWPSHGTRQPPYGPSAPVPPMTRPPPSNYQPPPSMPN HIPQVSSPAPLPRPMENRTSPSKSPFLHSGMKMQKAGPPVPASHIAPTPVQPPMIRRDIT FPPGSVEATQPVLKQRRRLTMKDIGTPEAWRVMMSLKSGLLAESTWALDTINILLYDDNS IMTFNLSQLPGLLELLVEYFRRCLIEIFGILKEYEVGDPGQRTLLDPGRFTKVYSPAHTE EEEEEHLDPKLEEEEEEGVGNDEEMAFLGKDKPSSENNEEKLVSKFDKLPVKIVQRNDPF VVDCSDKLGRVQEFDSGLLHWRIGGGDTTEHIQTHFESKIELLPSRPYVPCPTPPRKHLT TVEGTPGTTEQEGPPPDGLPEKRITATMDDMLSTRSSTLTDEGAKSAEATKESSKFPFGI SPAQSHRNIKILEDEPHSKDETPLCTLLDWQDSLAKRCVCVSNTIRSLSFVPGNDFEMSK HPGLLLILGKLILLHHKHPERKQAPLTYEKEEEQDQGVSCDKVEWWWDCLEMLRENTLVT LANISGQLDLSPYPESICLPVLDGLLHWAVCPSAEAQDPFSTLGPNAVLSPQRLVLETLS KLSIQDNNVDLILATPPFSRLEKLYSTMVRFLSDRKNPVCREMAVVLLANLAQGDSLAAR AIAVQKGSIGNLLGFLEDSLAATQFQQSQASLLHMQNPPFEPTSVDMMRRAARALLALAK VDENHSEFTLYESRLLDISVSPLMNSLVSQVICDVLFLIGQS >ENSMUSP00000131950.1 pep:known chromosome:GRCm38:4:133720779:133723879:-1 gene:ENSMUSG00000007880.16 transcript:ENSMUST00000139709.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid1a description:AT rich interactive domain 1A (SWI-like) [Source:MGI Symbol;Acc:MGI:1935147] MDQMGKMRPQPYGGTNPYSQQQGPPSGPQQGHGYPGQPYGSQTPQRYPMTMQGRAQSAMG SLSYAQQIPPYGQQGPSAYGQQGQTPYYNQQSPHPQQQPPYAQQPPSQTPHAQPSYQQQP QTQQPQLQSSQPPYSQQPSQP >ENSMUSP00000101517.3 pep:known chromosome:GRCm38:4:133679008:133753611:-1 gene:ENSMUSG00000007880.16 transcript:ENSMUST00000105897.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid1a description:AT rich interactive domain 1A (SWI-like) [Source:MGI Symbol;Acc:MGI:1935147] MAAQVAPAAASSLGNPPPPPSELKKAEQQQREEAGGEAAAAAAERGEMKAAAGQESEGPA VGPPQPLGKELQDGAESNGGGGGGGAGSGGGPGAEPDLKNSNGNAGPRPALNNNLPEPPG GGGGGGSSSSDGVGAPPHSAAAALPPPAYGFGQAYGRSPSAVAAAAAAVFHQQHGGQQSP GLAALQSGGGGGLEPYAGPQQNSHDHGFPNHQYNSYYPNRSAYPPPPQAYALSSPRGGTP GSGAAAAAGSKPPPSSSASASSSSSSFAQQRFGAMGGGGPSAAGGGTPQPTATPTLNQLL TSPSSARGYQGYPGGDYGGGPQDGGAGKGPADMASQCWGAAAAAAAAAAAVSGGAQQRSH HAPMSPGSSGGGGQPLARTPQSSSPMDQMGKMRPQPYGGTNPYSQQQGPPSGPQQGHGYP GQPYGSQTPQRYPMTMQGRAQSAMGSLSYAQQIPPYGQQGPSAYGQQGQTPYYNQQSPHP QQQPPYAQQPPSQTPHAQPSYQQQPQTQQPQLQSSQPPYSQQPSQPPHQQSPTPYPSQQS TTQQHPQSQPPYSQPQAQSPYQQQQPQQPASSSLSQQAAYPQPQPQQSQQTAYSQQRFPP PQELSQDSFGSQASSAPSMTSSKGGQEDMNLSLQSRPSSLPDLSGSIDDLPMGTEGALSP GVSTSGISSSQGEQSNPAQSPFSPHTSPHLPGIRGPSPSPVGSPASVAQSRSGPLSPAAV PGNQMPPRPPSGQSDSIMHPSMNQSSIAQDRGYMQRNPQMPQYTSPQPGSALSPRQPSGG QMHSGVGSYQQNSMGSYGPQGSQYGPQGGYPRQPNYNALPNANYPNAGMAGSMNPMGAGG QMHGQPGIPPYGTLPPGRMAHASMGNRPYGPNMANMPPQVGSGMCPPPGGMNRKTQESAV AMHVAANSIQNRPPGYPNMNQGGMMGTGPPYGQGINSMAGMINPQGPPYPMGGTMANNSA GMAASPEMMGLGDVKLTPATKMNNKADGTPKTESKSKKSSSSTTTNEKITKLYELGGEPE RKMWVDRYLAFTEEKAMGMTNLPAVGRKPLDLYRLYVSVKEIGGLTQVNKNKKWRELATN LNVGTSSSAASSLKKQYIQCLYAFECKIERGEDPPPDIFAAADSKKSQPKIQPPSPAGSG SMQGPQTPQSTSSSMAEGGDLKPPTPASTPHSQIPPLPGMSRSNSVGIQDAFPDGSDPTF QKRNSMTPNPGYQPSMNTSDMMGRMSYEPNKDPYGSMRKAPGSDPFMSSGQGPNGGMGDP YSRAAGPGLGSVAMGPRQHYPYGGPYDRVRTEPGIGPEGNMGTGAPQPNLMPSTPDSGMY SPSRYPPQQQQQQQQQHDSYGNQFSTQGTPSSSPFPSQQTTMYQQQQQNYKRPMDGTYGP PAKRHEGEMYSVPYSAGQGQPQQQQLPAAQSQPASQPQAAQPSPQQDVYNQYSNAYPASA TAATDRRPAGGPQNQFPFQFGRDRVSAPPGSSAQQNMPPQMMGGPIQASAEVAQQGTMWQ GRNDMTYNYANRQNTGSATQGPAYHGVNRTDEMLHTDQRANHEGPWPSHGTRQPPYGPSA PVPPMTRPPPSNYQPPPSMPNHIPQVSSPAPLPRPMENRTSPSKSPFLHSGMKMQKAGPP VPASHIAPTPVQPPMIRRDITFPPGSVEATQPVLKQRRRLTMKDIGTPEAWRVMMSLKSG LLAESTWALDTINILLYDDNSIMTFNLSQLPGLLELLVEYFRRCLIEIFGILKEYEVGDP GQRTLLDPGRFTKVYSPAHTEEEEEEHLDPKLEEEEEEGVGNDEEMAFLGKDKPSSENNE EKLVSKFDKLPVKIVQRNDPFVVDCSDKLGRVQEFDSGLLHWRIGGGDTTEHIQTHFESK IELLPSRPYVPCPTPPRKHLTTVEGTPGTTEQEGPPPDGLPEKRITATMDDMLSTRSSTL TDEGAKSAEATKESSKFPFGISPAQSHRNIKILEDEPHSKDETPLCTLLDWQDSLAKRCV CVSNTIRSLSFVPGNDFEMSKHPGLLLILGKLILLHHKHPERKQAPLTYEKEEEQDQGVS CDKVEWWWDCLEMLRENTLVTLANISGQLDLSPYPESICLPVLDGLLHWAVCPSAEAQDP FSTLGPNAVLSPQRLVLETLSKLSIQDNNVDLILATPPFSRLEKLYSTMVRFLSDRKNPV CREMAVVLLANLAQGDSLAARAIAVQKGSIGNLLGFLEDSLAATQFQQSQASLLHMQNPP FEPTSVDMMRRAARALLALAKVDENHSEFTLYESRLLDISVSPLMNSLVSQVICDVLFLI GQS >ENSMUSP00000137726.1 pep:known chromosome:GRCm38:7:97309380:97332020:-1 gene:ENSMUSG00000035713.15 transcript:ENSMUST00000139582.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp35 description:ubiquitin specific peptidase 35 [Source:MGI Symbol;Acc:MGI:2685339] MDKILEAVVTSSYPASVKQGLVRRVLEAARQPLEREQCLALLALGARLYVGGTEELPRRV GCQLLHVAGRHHPDVFAEFFSARRVLRLLQGGAGPPGARALACVQLGLQLLPDGPAADEV FALLRREVLRTVCERPGPAACAQVARLLARHPRCVPDGAHRLLFCQQLVRCLGRFRCPAE GEEGAVEFLEQAQQVSGLLAQLWRAQPAAILPCLKELFAVISCTEEEPPSSALASVVQHL PLELMDGVVRNLSNDDSVTDSQMLTAISRMIDWVSWPLGKNIDKWIIALLKGLAAVKKFS ILIEVSLAKIEKVFSKLLYPIVRGAALSVLKYMLLTFQHSHEAFHLLLPHIPAMVASLVK EDSNSGTSCLEQLAELVHCMVFRFPGFPDLYEPVMEAIKDLHIPNEDRIKQLLGQDAWTS QKSELAGFYPRLMAKSDTGKIGLINLGNTCYVNSVLQALFMASDFRHCVLRLTENNSQPL MTKLQWLFAFLEHSQRPAISPENFLSASWTPWFSPGTQQDCSEYLKYLLDRLHEEEKTGM RICQKLKQSSLPSPQEELPSSNATSVEKMFGGKIVTRICCLHCLNVSSREEAFTDLSLAF PPPERSRHRRLGSVMLPTEDVRVQELTLAPRAPGAQRQRKRCITGDAPRTGLDSEGVDTI GTGGRSGQEKVEREQAGKEKEVAEDREEEGTREEEKEEGEEKDKEKEKKEDEKEKEAENG KEKEGDSLGPGTHREAATPPREQTCGPEGSRSVLDLVNYFLSPERLTAENRYYCESCASL QDAEKVVELSQGPRYLILTLLRFSFDLRTMRRRKILDDVTIPLLLRLPLAGGQGQAYDLC SVVVHSGVSSESGHYYCYAREGAARPAPVLGSTERPEPENQWYLFNDTRVSYSSFESVSN VTSFFPKDTAYVLFYRQRPREDPAAEPGSPRVRAEPTLHKDLMEAISKDNVLYLQEQEKE ARSRAAYISTLPAPPHWGRGFDEDKDEDEGSPGGCNPAGGNGDFHRLVF >ENSMUSP00000137927.1 pep:known chromosome:GRCm38:7:97309380:97325964:-1 gene:ENSMUSG00000035713.15 transcript:ENSMUST00000168435.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp35 description:ubiquitin specific peptidase 35 [Source:MGI Symbol;Acc:MGI:2685339] MDKILEAVVTSSYPASVKQGLVRRVLEAARQPLEREQCLALLALGARLYVGGTEELPRRV GCQLLHVAGRHHPDVFAEFFSARRVLRLLQGGAGPPGARALACVQLGLQLLPDGPAADEV FALLRREVLRTVCERPGPAACAQVARLLARHPRCVPDGAHRLLFCQQLVRCLGRFRCPAE GEEGAVEFLEQAQQVSGLLAQLWRAQPAAILPCLKELFAVISCTEEEPPSSALASVVQHL PLELMDGVVRNLSNDDSVTDSQMLTAISRMIDWVSWPLGKNIDKWIIALLKGLAAVKKFS ILIEVSLAKIEKVFSKLLYPIVRGAALSVLKYMLLTFQHSHEAFHLLLPHIPAMVASLVK EDSNSGTSCLEQLAELVHCMVFRFPGFPDLYEPVMEAIKDLHIPNEDRIKQLLGQDAWTS QKSELAGFYPRLMAKSDTGKIGLINLGNTCYVNSVLQALFMASDFRHCVLRLTENNSQPL MTKLQWLFAFLEHSQRPAISPENFLSASWTPWFSPGTQQDCSEYLKYLLDRLHEEEKTGM RICQKLKQSSLPSPQEELPSSNATSVEKMFGGKIVTRICCLHCLNVSSREEAFTDLSLAF PPPERSRHRRLGSVMLPTEDVRVQELTLAPRAPGAQRQRKRCITGDAPRTGLDSEGVDTI GTGGRSGQEKVEREQAGKEKEVAEDREEEGTREEEKEEGEEKDKEKEKKEDEKEKEAENG KEKEGDSLGPGTHREAATPPREQTCGPEGSRSVLDLVNYFLSPERLTAENRYYCESCASL QDAEKVVELSQGPRYLILTLLRFSFDLRTMRRRKILDDVTIPLLLRLPLAGGQGQAYDLC SVVVHSGVSSESGHYYCYAREGAARPAPVLGSTERPEPENQWYLFNDTRVSYSSFESVSN VTSFFPKDTAYVLFYRQRPREDPAAEPGSPRVRAEPTLHKDLMEAISKDNVLYLQEQEKE ARSRAAYISTLPAPPHWGRGFDEDKDEDEGSPGGCNPAGGNGDFHRLVF >ENSMUSP00000108675.1 pep:known chromosome:GRCm38:X:138914431:139010532:1 gene:ENSMUSG00000052854.15 transcript:ENSMUST00000113052.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrk description:Nik related kinase [Source:MGI Symbol;Acc:MGI:1351326] MAGPGSWRDKEVTDLGQLPDPTGIFSLDKAIGLGTYGRIFLGIHEKTGSLVAVKVMSARK TPLPEIGRRVRVNKYQKSVGWRYSDEEEDLRTELNLLRKYSFHKNIVTFYGAFFKLNPPG HQHQLWMVMELCAAGSVTDVVRMTRNQSLKEDWIAYICREILQGLAHLHAHQVIHRDIKG QNVLLTHDAEVKIVDFGVSAQVSRTNGRRNSFIGTPYWMAPEVIHCDEDPRCSYDYRSDV WSVGITAIEMAEGAPPLCKLQPLEALCVILREAAPKVKSSGWSRKFQNFMENCMIKNFLF RPTSGNMLLHPFVHDIKNERRVVESLTKHLTGIIQKREKKGIPVAFEGEEAAKEQYITRR FRWPSCTPELLRVPTSSRCRPLRVLHGEPPQPRWLPDQEDPQDQELQQLQKAAGVFMPLH SQDNTSKLFPKQVEVAPYLRGAAQVVMPVLVQVEAPPQVSKAAQMLKSLPTQDNKATSPE VQAPVAEGQQAQHEALETEQPKDLDQVPEEFQGQDRAPEQPRQGQAAEQQQIHNPVPEQP PEEDREPEQAEVQEEAVEPPQAEIEDKEPEVVQVHAQVLLPLLSQNRHVLLPLHLDRQLL IPVGEQNEEVPRAQAWDLEASRAVGAVQALIEGLSRDLLRAPNAFVTKPLGPLQIFLENL STDGFYTEPEPTQKKKSKVASLRKAIAKRLRPKRFRAKALWRLEDFEFSDVETSRRRRHR RWEDIFNQHEEQLRRVENDREDDSSDNDEVFHSIQAEVQIEPHAANPAGNEVHERSAPMP CNRNRTHRVKFSPSVGEEEPSLEEAQPQQQQQQPMNIRPRNCLNPQNFQAQSDSSSEEDS PVTRRKSQSSPPYSTIDQKLLIDIHVPDGFKVGKISPPVYLTNEWVGYNALSEIFWDDWI MPTRPARPPEEDGDYVELYDADANANGDEEVANGAYEDPRDGANGHDDMNNQLDQANGYE GHGAAGYNGGDVGGNHGAAFNGPRANYPRAGILKNGHNDGRALNRGAFGVFGDNAARAFH GAAGEAGAAFGNHGANRGNGRGNRNREANGRNEENGAFGRDQHVFPEFEHEESDRGTETS DSIALEITSFDGEQNSGRPVSSTTMGFPIGRSSPRGSDFGSDISYNSPILHVYEKDFSSE VYCGSLWGVNLLLGTQSHLYLMDRSGKAEIVKLIKRRPFRQIQVVEQLNLLITISGKKNR LRVYHLSWLRNKILNNDPKSKKRQKAMRKKEEACKAIDKLIGCEHFSVLQHEETTYIAVA VKSSIHLFAWAPKSFDENTAIKVFPTRDLKPLTVDLAVGSEKTLKIFFSSANGYHIIDAE SEVMSEVTLPNNNVVILPDCLGLGVMLSLNAEAASEEANEQLLKKILDVWKDIPSSVAFE CTKRITGWDQKAIEVRSLQSTILENELKRRSIKKLRFLCARGDKMFFASTLSNDHSRVYL MSLGKLEELHRSYAV >ENSMUSP00000115962.1 pep:known chromosome:GRCm38:X:138914431:139009804:1 gene:ENSMUSG00000052854.15 transcript:ENSMUST00000131829.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrk description:Nik related kinase [Source:MGI Symbol;Acc:MGI:1351326] MAGPGSWRDKEVTDLGQLPDPTGIFSLDKAIGLGTYGRIFLGIHEKTGSLVAVKVMSARK TPLPEIGRRVRVNKYQKSVGWRYSDEEEDLRTELNLLRKYSFHKNIVTFYGAFFKLNPPG HQHQLWMVMELCAAGSVTDVVRMTRNQSLKEDWIAYICREILQGLAHLHAHQVIHRDIKG QNVLLTHDAEVKIVDFGVSAQVSRTNGRRNSFIGTPYWMAPEVIHCDEDPRCSYDYRSDV WSVGITAIEMAEGAPPLCKLQPLEALCVILREAAPKVKSSGWSRKFQNFMENCMIKNFLF RPTSGNMLLHPFVHDIKNERRVVESLTKHLTGIIQKREKKGIPVAFEGEEAAKEQYITRR FRWPSCTPELLRVPTSSRCRPLRVLHGEPPQPRWLPDQEDPQDQELQQLQKAAGVFMPLH SQDNTSKLFPKQVEVAPYLRGAAQVVMPVLVQVEAPPQVSKAAQMLKSLPTQDNKATSPE VQAPVAEGQQAQHEALETEQPKDLDQVPEEFQGQDRAPEQPRQGQAAEQQQIHNPVPEQP PEEDREPEQAEVQEEAVEPPQAEIEDKEPEVVQVHAQVLLPLLSQNRHVLLPLHLDRQLL IPVGEQNEEVPRAQAWDLEASRAVGAVQALIEGLSRDLLRAPNAFVTKPLGPLQIFLENL STDGFYTEPEPTQKKKSKVASLRKAIAKRLRPKRFRAKALWRLEDFEFSDVETSRRRRHR RWEDIFNQHEEQLRRVENDREDDSSDNDEVFHSIQAEVQIEPHAANPAGNEVHERSAPMP CNRNRTHRVKFSPSVGEEEPSLEEAQPQQQQQQPMNIRPRNCLNPQNFQAQSDSSSEEDS PVTRRKSQSSPPYSTIDQKLLIDIHVPDGFKVGKISPPVYLTNEWVGYNALSEIFWDDWI MPTRPARPPEEDGDYVELYDADANANGDEEVANGAYEDPRDGANGHDDMNNQLDQANGYE GHGAAGYNGGDVGGNHGAAFNGPRANYPRAGILKNGHNDGRALNRGAFGVFGDNAARAFH GAAGEAGAAFGNHGANRGNGRGNRNREANGRNEENGAFGRDQHVFPEFEHEESDRGTETS DSIALEITSFDGEQNSGRPVSSTTMGFPIGRSSPRGSDFGSDISYNSPILHVYEKDFSSE VYCGSLWGVNLLLGTQSHLYLMDRSGKAEIVKLIKRRPFRQIQVVEQLNLLITISGKKNR LRVYHLSWLRNKILNNDPKSKKRQKAMRKKEEACKAIDKLIGCEHFSVLQHEETTYIAVA VKSSIHLFAWAPKSFDENTAIKYALTNQQTPKETTCPMKPIYEYWQKYRQLIQRTGLRDQ MSSFIC >ENSMUSP00000063397.7 pep:known chromosome:GRCm38:X:138914430:139009092:1 gene:ENSMUSG00000052854.15 transcript:ENSMUST00000064937.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrk description:Nik related kinase [Source:MGI Symbol;Acc:MGI:1351326] MAGPGSWRDKEVTDLGQLPDPTGIFSLDKAIGLGTYGRIFLGIHEKTGSLVAVKVMSARK TPLPEIGRRVRVNKYQKSVGWRYSDEEEDLRTELNLLRKYSFHKNIVTFYGAFFKLNPPG HQHQLWMVMELCAAGSVTDVVRMTRNQSLKEDWIAYICREILQGLAHLHAHQVIHRDIKG QNVLLTHDAEVKIVDFGVSAQVSRTNGRRNSFIGTPYWMAPEVIHCDEDPRCSYDYRSDV WSVGITAIEMAEGAPPLCKLQPLEALCVILREAAPKVKSSGWSRKFQNFMENCMIKNFLF RPTSGNMLLHPFVHDIKNERRVVESLTKHLTGIIQKREKKGIPVAFEGEEAAKEQYITRR FRGPSCTPELLRVPTSSRCRPLRVLHGEPPQPRWLPDQEDPQDQELQQLQKAAGVFMPLH SQDNTSKLFPKQVEVAPYLRGAAQVVMPVLVQVEAPPQVSKAAQMLKSLPTQDNKATSPE VQAPVAEGQQAQHEALETEQPKDLDQVPEEFQGQDRAPEQPRQGQAAEQQQIHNPVPEQP PEEDREPEQAEVQEEAVEPPQAEIEDKEPEVVQVHAQVLLPLLSQNRHVLLPLHLDRQLL IPVGEQNEEVPRAQAWDLEASRAVGAVQALIEGLSRDLLRAPNAFVTKPLGPLQIFLENL STDGFYTEPEPTQKKKSKVASLRKAIAKRLRPKRFRAKALWRLEDFEFSDVETSRRRRHR RWEDIFNQHEEQLRRVENDREDDSSDNDEVFHSIQAEVQIEPHAANPAGNEVHERSAPMP CNRNRTHRVKFSPSVGEEEPSLEEAQPQQQQQQPMNIRPRNCLNPQNFQAQSDSSSEEDS PVTRRKSQSSPPYSTIDQKLLIDIHVPDGFKVGKISPPVYLTNEWVGYNALSEIFWDDWI MPTRPARPPEEDGDYVELYDADANANGDEEVANGAYEDPRDGANGHDDMNNQLDQANGYE GHGAAGYNGGDVGGNHGAAFNGPRANYPRAGILKNGHNDGRALNRGAFGVFGDNAARAFH GAAGEAGAAFGNHGANRGNGRGNRNREANGRNEENGAFGRDQHVFPEFEHEESDRGTETS DSIALEITSFDGEQNSGRPVSSTTMGFPIGRSSPRGSDFGSDISYNSPILHVYEKDFSSE VYCGSLWGVNLLLGTQSHLYLMDRSGKAEIVKLIKRRPFRQIQVVEQLNLLITISGKKNR LRVYHLSWLRNKILNNDPKSKKRQKAMRKKEEACKAIDKLIGCEHFSVLQHEETTYIAVA VKSSIHLFAWAPKSFDENTAIKVFPTRDLKPLTVDLAVGSEKTLKIFFSSANGYHIIDAE SEVMSEVTLPNNNVVILPDCLGLGVMLSLNAEAASEEANEQLLKKILDVWKDIPSSVAFE CTKRITGWDQKAIEVRSLQSTILENELKRRSIKKLRFLCARGDKMFFASTLSNDHSRVYL MSLGKLEELHRSYAV >ENSMUSP00000145647.1 pep:known chromosome:GRCm38:7:127785608:127803802:1 gene:ENSMUSG00000108815.1 transcript:ENSMUST00000154987.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:RP23-325D10.3 PSGAGHGPCTPPPAPANFEDVAPTGSGEPGAARESPKANGQNQASPCSSGEDMEISDDDR GGSPPPAPTPPQQPPPPPPPPPPPPPPYLASLPLGYPPHQPAYLLPPRPDGPPPPEYPPP PPPPPPHIYDFVNSLELMDRLGAQWGGMPMSFQMQTQMLTRLHQLRQGKGLTAASAGPPG GAFGEAFLPFPPPQEAAYGLPYALYTQGQEGRGSYSREAYHLPLPMAAEPLPSSSVSGEE ARLPHREEAEIAESKVLPSAGTVGRVLATLVQEMKSIMQRDLNRKMVENVAFGAFDQWWE SKEEKAKPFQNAAKQQAKEEDKEKMKLKEPGMLSLVDWAKSGGITGIEAFAFGSGLRGAL RLPSFKVKRKEPSEISEASEEKRPRPSTPAEEDEDDPEREKEAGEPGRPGTKPPKRDEER GKTQGKHRKSFTLDSEGEEASQESSSEKDEDDDDEDEEDEEQEEAVDATKKEAEASDGED EDSDSSSQCSLYADSDGENGSTSDSESGSSSSSSSSSSSSSSSSSSESSSEEEEQSAVIP SASPPREVPEPLPAPDEKPETDGLVDSPVMPLSEKETLPTQPAGPAEEPPPSVPQPPAEP PAGPPDAAPRLDERPSSPIPLLPPPKKRRKTVSFSAAEEAPVPEPSTAAPLQAKSSGPVS RKVPRVVERTIRNLPLDHASLVKSWPEEVARGGRNRAGGRVRSTEEEEATESGTEVDLAV LADLALTPARRGLATLPTGDDSEATETSDEAERPSPLLSHILLEHNYALAIKPPPTTPAP RPLEPAPALAALFSSPADEVLEAPEVVVAEAEEPKQQLQQQHPEQEGEEEEEDEEEESES SESSSSSSSDEEGAIRRRSLRSHTRRRRPPLPPPPPPPPSFEPRSEFEQMTILYDIWNSG LDLEDMSYLRLTYERLLQQTSGADWLNDTHWVQHTITNLSTPKRKRRPQDGPREHQTGSA RSEGYYPISKKEKDKYLDVCPVSARQLEGGDTQGTNRVLSERRSEQRRLLSAIGTSAIMD SDLLKLNQLKFRKKKLRFGRSRIHEWGLFAMEPIAADEMVIEYVGQNIRQMVADMREKRY VQEGIGSSYLFRVDHDTIIDATKCGNLARFINHCCTPNCYAKVITIESQKKIVIYSKQPI GVDEEITYDYKFPLEDNKIPCLCGTESCRGSLN >ENSMUSP00000145901.1 pep:known chromosome:GRCm38:7:127799391:127802022:1 gene:ENSMUSG00000108815.1 transcript:ENSMUST00000136823.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:RP23-325D10.3 XITYDYKFPLEDNKIPCLCGTESCRGSLN >ENSMUSP00000058230.7 pep:known chromosome:GRCm38:9:76225880:76323611:-1 gene:ENSMUSG00000032360.16 transcript:ENSMUST00000063140.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcrtr2 description:hypocretin (orexin) receptor 2 [Source:MGI Symbol;Acc:MGI:2680765] MSSTKLEDSLSRRNWSSASELNETQEPFLNPTDYDDEEFLRYLWREYLHPKEYEWVLIAG YIIVFVVALIGNVLVCVAVWKNHHMRTVTNYFIVNLSLADVLVTITCLPATLVVDITETW FFGQSLCKVIPYLQTVSVSVSVLTLSCIALDRWYAICHPLMFKSTAKRARNSIVVIWIVS CIIMIPQAIVMECSSMLPGLANKTTLFTVCDEHWGGEVYPKMYHICFFLVTYMAPLCLMI LAYLQIFRKLWCRQIPGTSSVVQRKWKQQQPVSQPRGSGQQSKARISAVAAEIKQIRARR KTARMLMVVLLVFAICYLPISILNVLKRVFGMFTHTEDRETVYAWFTFSHWLVYANSAAN PIIYNFLSGKFREEFKAAFSCCLGVHHRQGDRLARGRTSTESRKSLTTQISNFDNVSKLS EHVVLTSISTLPAANGAGPLQNWYLQQGVPSSLLSTWLEV >ENSMUSP00000139377.1 pep:known chromosome:GRCm38:9:76229954:76323856:-1 gene:ENSMUSG00000032360.16 transcript:ENSMUST00000184757.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcrtr2 description:hypocretin (orexin) receptor 2 [Source:MGI Symbol;Acc:MGI:2680765] MSSTKLEDSLSRRNWSSASELNETQEPFLNPTDYDDEEFLRYLWREYLHPKEYEWVLIAG YIIVFVVALIGNVLVCVAVWKNHHMRTVTNYFIVNLSLADVLVTITCLPATLVVDITETW FFGQSLCKVIPYLQTVSVSVSVLTLSCIALDRWYAICHPLMFKSTAKRARNSIVVIWIVS CIIMIPQAIVMECSSMLPGLANKTTLFTVCDEHWGGEVYPKMYHICFFLVTYMAPLCLMI LAYLQIFRKLWCRQIPGTSSVVQRKWKQQQPVSQPRGSGQQSKARISAVAAEIKQIRARR KTARMLMVVLLVFAICYLPISILNVLKRVFGMFTHTEDRETVYAWFTFSHWLVYANSAAN PIIYNFLSGKFREEFKAAFSCCLGVHHRQGDRLARGRTSTESRKSLTTQISNFDNVSKLS EHVVLTSISTLPAANGAGPLQNW >ENSMUSP00000099758.3 pep:known chromosome:GRCm38:11:59791408:59810016:-1 gene:ENSMUSG00000032633.12 transcript:ENSMUST00000102697.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flcn description:folliculin [Source:MGI Symbol;Acc:MGI:2442184] MNAIVALCHFCELHGPRTLFCTEVLHAPLPQGAGSGDSPDQVEQAEEEEGGIQMSSRVRA HSPAEGASSESSSPGPKKSDMCEGCRSLAVGHPGYISHDKETSIKYVSHQHPNHPQLFSI VRQACVRSLSCEVCPGREGPIFFGDEQHGFVFSHTFFIKDSLARGFQRWYSIIAIMMDRI YLINSWPFLLGRIRGIISELQAKAFKVFEAEQFGCPQRAQRMNTAFTPFLHQRNGNAARS LTSLTSDDNLWACLHTSFAWLLKACGSRLTEKLLEGAPTEDTLVQMEKLADLEEESESWD NSEAEEEEKAPVTPEGAEGRELTSCPTESSFLSACGSWQPPKLTGFKSLRHMRQVLGAPS FRMLAWHVLMGNQVIWKSRDVNLVHSAFEVLRTMLPVGCVRIIPYSSQYEEAYRCNFLGL SPPVPIPAHVLASEFVVVVEVHTATRSNLHPAGCEDDQSLSKYEFVVTSGSPVAADRVGP TILNKIEAALTNQNLSVDVVDQCLICLKEEWMNKVKVLFKFTKVDSRPKEDTQKLLSVLG ASEEDNVKLLKFWMTGLSKTYKSHLMSTVRSPTATESRS >ENSMUSP00000091696.3 pep:known chromosome:GRCm38:11:59791790:59809588:-1 gene:ENSMUSG00000032633.12 transcript:ENSMUST00000091246.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flcn description:folliculin [Source:MGI Symbol;Acc:MGI:2442184] MNAIVALCHFCELHGPRTLFCTEVLHAPLPQGAGSGDSPDQVEQAEEEEGGIQMSSRVRA HSPAEGASSESSSPGPKKSDMCEGCRSLAVGHPGYISHDKETSIKYVSHQHPNHPQLFSI VRQACVRSLSCEVCPGREGPIFFGDEQHGFVFSHTFFIKDSLARGFQRWYSIIAIMMDRI YLINSWPFLLGRIRGIISELQAKAFKVFEAEQFGCPQRAQRMNTAFTPFLHQRNGNAARS LTSLTSDDNLWACLHTSFAWLLKACGSRLTEKLLEGAPTEDTLVQMEKLADLEEESESWD NSEAEEEEKAPVTPEGAEGRELTSCPTESSFLSACGSWQPPKLTGFKSLRHMRQVLGAPS FRMLAWHVLMGNQVIWKSRDVNLVHSAFEVLRTMLPVGCVRIIPYSSQYEEAYRCNFLGL SPPVPIPAHVLASEFVVVVEVHTATRSNLHPAGCEDDQSLSKYEFVVTSGSPVAADRVGP TILNKIEAALTNQNLSVDVVDQCLICLKEEWMNKVKVLFKFTKVDSRPKEDTQKLLSVLG ASEEDNVKLLKFWMTGLSKTYKSHLMSTVRSPTATESRS >ENSMUSP00000037675.2 pep:known chromosome:GRCm38:11:59804522:59809994:-1 gene:ENSMUSG00000032633.12 transcript:ENSMUST00000047706.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flcn description:folliculin [Source:MGI Symbol;Acc:MGI:2442184] MNAIVALCHFCELHGPRTLFCTEVLHAPLPQGAGSGDSPDQVEQAEEEEGGIQMSSRVRA HSPAEGASSESSSPGPKKSDMC >ENSMUSP00000061808.7 pep:known chromosome:GRCm38:7:80232865:80242061:1 gene:ENSMUSG00000050973.11 transcript:ENSMUST00000062915.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpgp1 description:GDP-D-glucose phosphorylase 1 [Source:MGI Symbol;Acc:MGI:2443429] MAVPHHLQETSYLLPPDPEDWEKQGIPDFVYGQEDLVGKEVQWPRDSPSAVDTVPLSRFD SALRSAWRQRLELGLFRYRLEDLQTQILPGSVGFVAQLNIERGIQRRRPQNIRSVRQEFD PEQFNFNKIRPGEVLFRMQREPKGPATPKQEDDVLVVINVSPLEWGHVLLVPAPAQGLPQ RLLPGVLRVGLEAVLLSLHPGFRVGFNSLGGLASVNHLHLHCYYLAHPLPVEGAPSTPLD PKGCIHLLQALPAPGFLFYTSGPGPDLEVLISRVCRATDYLSDREIAHNLFVTRGAPPGP TSSTSDLSGIRVILWARKSSFGIKESGAFNVALCELAGHLPVKTSQDFSSLTEAAAVALI QDCLLPETQAGEVRAALVALMAQEEL >ENSMUSP00000002532.2 pep:known chromosome:GRCm38:2:181688422:181693977:-1 gene:ENSMUSG00000002458.13 transcript:ENSMUST00000002532.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs19 description:regulator of G-protein signaling 19 [Source:MGI Symbol;Acc:MGI:1915153] MPTPHEAEKQHTGPEEADRPPSMSSHDAAPSGPPSRNPCCLCWCCCCSCSWNQERQRAWQ VSRESKLQPLPSCEVCTPPSPKEVQSWAQSFDKLMHSPTGRSVFRAFLRTEYSEENMLFW LACEELKAEANQHVVDEKARLIYEDYVSILSPKEVSLDSRVREGINRKMQEPSPHTFDDA QLQIYTLMHRDSYPRFLTSPTYRSLLLQGAPQSSEA >ENSMUSP00000104400.1 pep:known chromosome:GRCm38:2:181688507:181693930:-1 gene:ENSMUSG00000002458.13 transcript:ENSMUST00000108769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs19 description:regulator of G-protein signaling 19 [Source:MGI Symbol;Acc:MGI:1915153] MPTPHEAEKQHTGPEEADRPPSMSSHDAAPSGPPSRNPCCLCWCCCCSCSWNQERQRAWQ VSRESKLQPLPSCEVCTPPSPKEVQSWAQSFDKLMHSPTGRSVFRAFLRTEYSEENMLFW LACEELKAEANQHVVDEKARLIYEDYVSILSPKEVGQMQG >ENSMUSP00000104406.1 pep:known chromosome:GRCm38:2:181689066:181693810:-1 gene:ENSMUSG00000002458.13 transcript:ENSMUST00000108776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs19 description:regulator of G-protein signaling 19 [Source:MGI Symbol;Acc:MGI:1915153] MPTPHEAEKQHTGPEEADRPPSMSSHDAAPSGPPSRNPCCLCWCCCCSCSWNQERQRAWQ VSRESKLQPLPSCEVCTPPSPKEVQSWAQSFDKLMHSPTGRSVFRAFLRTEYSEENMLFW LACEELKAEANQHVVDEKARLIYEDYVSILSPKEVSLDSRVREGINRKMQEPSPHTFDDA QLQIYTLMHRDSYPRFLTSPTYRSLLLQGAPQSSEA >ENSMUSP00000104402.1 pep:known chromosome:GRCm38:2:181689066:181693810:-1 gene:ENSMUSG00000002458.13 transcript:ENSMUST00000108771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs19 description:regulator of G-protein signaling 19 [Source:MGI Symbol;Acc:MGI:1915153] MSSHDAAPSGPPSRNPCCLCWCCCCSCSWNQERQRAWQVSRESKLQPLPSCEVCTPPSPK EVQSWAQSFDKLMHSPTGRSVFRAFLRTEYSEENMLFWLACEELKAEANQHVVDEKARLI YEDYVSILSPKEVSLDSRVREGINRKMQEPSPHTFDDAQLQIYTLMHRDSYPRFLTSPTY RSLLLQGAPQSSEA >ENSMUSP00000104408.1 pep:known chromosome:GRCm38:2:181688504:181691817:-1 gene:ENSMUSG00000002458.13 transcript:ENSMUST00000108778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs19 description:regulator of G-protein signaling 19 [Source:MGI Symbol;Acc:MGI:1915153] MVSARILCCSEGPELAPQNLGNPLHSPMPTPHEAEKQHTGPEEADRPPSMSSHDAAPSGP PSRNPCCLCWCCCCSCSWNQERQRAWQVSRESKLQPLPSCEVCTPPSPKEVQSWAQSFDK LMHSPTGRSVFRAFLRTEYSEENMLFWLACEELKAEANQHVVDEKARLIYEDYVSILSPK EVSLDSRVREGINRKMQEPSPHTFDDAQLQIYTLMHRDSYPRFLTSPTYRSLLLQGAPQS SEA >ENSMUSP00000104403.1 pep:known chromosome:GRCm38:2:181689018:181693947:-1 gene:ENSMUSG00000002458.13 transcript:ENSMUST00000108772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs19 description:regulator of G-protein signaling 19 [Source:MGI Symbol;Acc:MGI:1915153] MSSHDAAPSGPPSRNPCCLCWCCCCSCSWNQERQRAWQVSRESKLQPLPSCEVCTPPSPK EVQSWAQSFDKLMHSPTGRSVFRAFLRTEYSEENMLFWLACEELKAEANQHVVDEKARLI YEDYVSILSPKEVSLDSRVREGINRKMQEPSPHTFDDAQLQIYTLMHRDSYPRFLTSPTY RSLLLQGAPQSSEA >ENSMUSP00000104409.1 pep:known chromosome:GRCm38:2:181688422:181693920:-1 gene:ENSMUSG00000002458.13 transcript:ENSMUST00000108779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs19 description:regulator of G-protein signaling 19 [Source:MGI Symbol;Acc:MGI:1915153] MPTPHEAEKQHTGPEEADRPPSMSSHDAAPSGPPSRNPCCLCWCCCCSCSWNQERQRAWQ VSRESKLQPLPSCEV >ENSMUSP00000120723.1 pep:known chromosome:GRCm38:2:181688419:181689500:-1 gene:ENSMUSG00000002458.13 transcript:ENSMUST00000144476.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs19 description:regulator of G-protein signaling 19 [Source:MGI Symbol;Acc:MGI:1915153] XEENMLFWLACEELKAEANQHVVDEKARLIYEDYVSILSPKEKPLFIPQLG >ENSMUSP00000129026.1 pep:known chromosome:GRCm38:2:181688504:181691817:-1 gene:ENSMUSG00000002458.13 transcript:ENSMUST00000165416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs19 description:regulator of G-protein signaling 19 [Source:MGI Symbol;Acc:MGI:1915153] MPTPHEAEKQHTGPEEADRPPSMSSHDAAPSGPPSRNPCCLCWCCCCSCSWNQERQRAWQ VSRESKLQPLPSCEVCTPPSPKEVQSWAQSFDKLMHSPTGRSVFRAFLRTEYSEENMLFW LACEELKAEANQHVVDEKARLIYEDYVSILSPKEVSLDSRVREGINRKMQEPSPHTFDDA QLQIYTLMHRDSYPRFLTSPTYRSLLLQGAPQSSEA >ENSMUSP00000140770.1 pep:known chromosome:GRCm38:Y:31602724:31634756:-1 gene:ENSMUSG00000094789.7 transcript:ENSMUST00000190946.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28490 description:predicted gene 28490 [Source:MGI Symbol;Acc:MGI:5579196] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKL METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000136022.1 pep:known chromosome:GRCm38:Y:31605463:31633324:-1 gene:ENSMUSG00000094789.7 transcript:ENSMUST00000179076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28490 description:predicted gene 28490 [Source:MGI Symbol;Acc:MGI:5579196] MALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKLMET YVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDV >ENSMUSP00000077107.5 pep:known chromosome:GRCm38:8:94902869:94918098:-1 gene:ENSMUSG00000063605.5 transcript:ENSMUST00000077955.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc102a description:coiled-coil domain containing 102A [Source:MGI Symbol;Acc:MGI:2686927] MSHGPSPRLAESPQLSKSSLLTILGSPSPERMGPADSLPPTPPSGTPSPGPPPSLPLTAP ALLADGDWESREELRLRELEEARARALQMEKTMRWWSDCTANWREKWSKVRAERNRAREE VRQLRQRLDTLTKELAGARRERQEAQGECEARGRELARLRGVHSAADKTHDGPEPEREQE PVRDIGAERPPGSQELDLVESLLKSRPEEPEGCWDACSVAAGGPRVSSGRQDRNRLPWED TASTEEDASKLTALRLRLDESQKVLLKEREDKLALSKNIEKLEGELSQWKIKYEELSKTK QEMLKQLSILKETHQDELGRMSEDLEDELGARSSMDRKMAELRGEMERLQAENAAEWGRR ERLETEKLGLERENKKLRAQVGDLEEALARRRRQNASALDCDLRASQAALFEKNKELADL KHVHGKLKKQFQEKVAELAHANRRVEQHETEVKKLRLRVEELKKELAQAEDELDEAHNQA RKLQRSLDEQTEQSENLQVQLEHLQSRLRRQQQNAPLFGKIRSTRFGTEEAGDGASDLDE DEDLQIQVA >ENSMUSP00000124262.1 pep:known chromosome:GRCm38:8:94913565:94917521:-1 gene:ENSMUSG00000063605.5 transcript:ENSMUST00000162020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc102a description:coiled-coil domain containing 102A [Source:MGI Symbol;Acc:MGI:2686927] MSHGPSPRLAESPQLSKSSLLTILGSPSPERMG >ENSMUSP00000123235.1 pep:known chromosome:GRCm38:8:3567998:3584776:1 gene:ENSMUSG00000065952.13 transcript:ENSMUST00000136592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330021F23Rik description:RIKEN cDNA C330021F23 gene [Source:MGI Symbol;Acc:MGI:3612471] MQSQQRNIGYFNHLKADSRNITYSMTFSTKSSNQNFIIFLNEVQAAIIGHERCDLLPVLN ELHPDALPDGRIWLFGLNPYFFQHNSLCMRGTPKRIGLQGCAQVGFLVLFVMPLLIPSVT AELPGSSETTTLAHLAGATGP >ENSMUSP00000119487.1 pep:known chromosome:GRCm38:8:3579730:3584939:1 gene:ENSMUSG00000065952.13 transcript:ENSMUST00000151633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330021F23Rik description:RIKEN cDNA C330021F23 gene [Source:MGI Symbol;Acc:MGI:3612471] MTFSTKSSNQNFIIFLNEVQAAIIGHERCDLLPVLNELHPDALPDGRIWLFGLNPYFFQH NSLCMRGTPKRIGLQGCAQVGFLVLFVMPLLIPSVTAELPGSSETTTLAHLAGATGP >ENSMUSP00000136628.1 pep:known chromosome:GRCm38:8:3580469:3584939:1 gene:ENSMUSG00000065952.13 transcript:ENSMUST00000086479.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330021F23Rik description:RIKEN cDNA C330021F23 gene [Source:MGI Symbol;Acc:MGI:3612471] MQSQQRNIGYFNHLKADSRNITYSMTFSTKSSNQNFIIFLNEVQAAIIGHERCDLLPVLN ELHPDALPDGRIWLFGLNPYFFQHNSLCMRGTPKRIGLQGCAQVGFLVLFVMPLLIPSVT AELPGSSETTTLAHLAGATGP >ENSMUSP00000036580.4 pep:known chromosome:GRCm38:4:137277489:137299726:1 gene:ENSMUSG00000036856.4 transcript:ENSMUST00000045747.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt4 description:wingless-type MMTV integration site family, member 4 [Source:MGI Symbol;Acc:MGI:98957] MSPRSCLRSLRLLVFAVFSAAASNWLYLAKLSSVGSISEEETCEKLKGLIQRQVQMCKRN LEVMDSVRRGAQLAIEECQYQFRNRRWNCSTLDSLPVFGKVVTQGTREAAFVYAISSAGV AFAVTRACSSGELEKCGCDRTVHGVSPQGFQWSGCSDNIAYGVAFSQSFVDVRERSKGAS SSRALMNLHNNEAGRKAILTHMRVECKCHGVSGSCEVKTCWRAVPPFRQVGHALKEKFDG ATEVEPRRVGSSRALVPRNAQFKPHTDEDLVYLEPSPDFCEQDIRSGVLGTRGRTCNKTS KAIDGCELLCCGRGFHTAQVELAERCGCRFHWCCFVKCRQCQRLVEMHTCR >ENSMUSP00000137860.1 pep:known chromosome:GRCm38:1:66700831:66715194:1 gene:ENSMUSG00000026005.15 transcript:ENSMUST00000151016.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpe description:ribulose-5-phosphate-3-epimerase [Source:MGI Symbol;Acc:MGI:1913896] MASGCKIGPSILNSDLANLGAECLRMLDSGADYLHLDVMDG >ENSMUSP00000027157.3 pep:known chromosome:GRCm38:1:66700831:66719805:1 gene:ENSMUSG00000026005.15 transcript:ENSMUST00000027157.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpe description:ribulose-5-phosphate-3-epimerase [Source:MGI Symbol;Acc:MGI:1913896] MASGCKIGPSILNSDLANLGAECLRMLDSGADYLHLDVMDGHFVPNITFGHPVVESLRKQ LGQDPFFDMHMMVSRPEQWVKPMAVAGANQYTFHLEATENPGALIKDIRENGMKVGLAIK PGTTVEYLAPWANQIDMALVMTVEPGFGGQKFMEDMMPKVHWLRTQFPTLDIEVDGGVGP DTVQKCAEAGANMIVSGSAIMRSDDPRAVINLLRNVCSEAAQKRSLDR >ENSMUSP00000109628.1 pep:known chromosome:GRCm38:1:66700831:66719805:1 gene:ENSMUSG00000026005.15 transcript:ENSMUST00000113995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpe description:ribulose-5-phosphate-3-epimerase [Source:MGI Symbol;Acc:MGI:1913896] MASGCKIGPSILNSDLANLGAECLRMLDSGADYLHLDAPCSSGRSVFLHFVPNITFGHPV VESLRKQLGQDPFFDMHMMVSRPEQWVKPMAVAGANQYTFHLEATENPGALIKDIRENGM KVGLAIKPGTTVEYLAPWANQIDMALVMTVEPGFGGQKFMEDMMPKVHWLRTQFPTLDIE VDGGVGPDTVQKCAEAGANMIVSGSAIMRSDDPRAVINLLRNVCSEAAQKRSLDR >ENSMUSP00000137941.1 pep:known chromosome:GRCm38:1:66700831:66719805:1 gene:ENSMUSG00000026005.15 transcript:ENSMUST00000142920.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpe description:ribulose-5-phosphate-3-epimerase [Source:MGI Symbol;Acc:MGI:1913896] MASGCKIGPSILNSDLANLGAECLRMLDSGADYLHLDVMDGHPALQGGQFFCILFPISLL VTLWLKASESS >ENSMUSP00000140257.1 pep:known chromosome:GRCm38:1:66700907:66718019:1 gene:ENSMUSG00000026005.15 transcript:ENSMUST00000190404.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpe description:ribulose-5-phosphate-3-epimerase [Source:MGI Symbol;Acc:MGI:1913896] MASGCKIGPSILNSDLANLGAECLRMLDSGADYLHLDAPCSSGRYAHDGV >ENSMUSP00000114276.1 pep:known chromosome:GRCm38:9:46288247:46298783:1 gene:ENSMUSG00000032077.4 transcript:ENSMUST00000124887.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bud13 description:BUD13 homolog [Source:MGI Symbol;Acc:MGI:2443443] XHKAKSSKAAERAPSKAASQSGLGPSHPSLSTNSKYEHDSDLSPPRKRQAKAHFEAKKQL DSKGLPRAGSSNRM >ENSMUSP00000074490.3 pep:known chromosome:GRCm38:9:46283008:46298783:1 gene:ENSMUSG00000032077.4 transcript:ENSMUST00000074957.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bud13 description:BUD13 homolog [Source:MGI Symbol;Acc:MGI:2443443] MAAAPPLTKAEYLKRYLSGTDAGLEGGPEAGRKRRKKRPKPGGAGGKGMRIVDDDVGWAA ISTAKPEKEEEEDGDLPVVAEFVDERPEEVKQMEAFRSSAKWKLLGGHGEDGHFHHDDQD SSPPRRVRHDTPDTSPPRKARHDTPDPSPPRKARHDTPDTSPPRKARHDTPDPSPPRKAR HDTPDPSPPRRVRHDTPDLSPPRRVRHDTPDLSPPRRVRHDTPDPSPPRRVRHDLDASPP RKSHRNSSAVSPRRGHHGSLGTSSPRQTHNHSPTAAQHRRTLDSSGTQHLRRAHHESPDL ELHKAKSSKAAERAPSKAASQSGLGPSHPSLSTNSKYEHDSDLSPPRKRQAKAHFEAKKQ LDSKGVYQKASDSDLSPPRKKKNSGHQDSDSDLSPPRNRPRRQSSDSDLSPPRRRQRTKS SDSDLSPPRRSPRPGKKTAHMYSGAKTGLVTDVQREHQELKKQDQDTTDLGAQFEFTETV FRDKSGRKRNLKLERLEQRRKAEKDSERDELYAQWGKGLAQSRQQQQNVEDAMKEMQKPL ARYIDDEDLDRMLREQEREGDPMANFIKKNKAKENKNKKVKPRYSGPAPPPNRFNIWPGY RWDGVDRSNGFEQKRFARLASKKAVEELAYKWSVEDM >ENSMUSP00000032338.7 pep:known chromosome:GRCm38:6:136697284:136781765:-1 gene:ENSMUSG00000042638.14 transcript:ENSMUST00000032338.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy2c description:guanylate cyclase 2c [Source:MGI Symbol;Acc:MGI:106903] MTSLLGLAVRLLLFQPALMVFWASQVRQNCRNGSYEISVLMMDNSAYKEPMQNLREAVEE GLDIVRKRLREADLNVTVNATFIYSDGLIHKSGDCRSSTCEGLDLLREITRDHKMGCALM GPSCTYSTFQMYLDTELNYPMISAGSYGLSCDYKETLTRILPPARKLMYFLVDFWKVNNA SFKPFSWNSSYVYKNGSEPEDCFWYLNALEAGVSYFSEVLNFKDVLRRSEQFQEILTGHN RKSNVIVMCGTPESFYDVKGDLQVAEDTVVILVDLFSNHYFEENTTAPEYMDNVLVLTLP SEQSTSNTSVAERFSSGRSDFSLAYLEGTLLFGHMLQTFLENGENVTGPKFARAFRNLTF QGFAGPVTLDDSGDIDNIMSLLYVSLDTRKYKVLMKYDTHKNKTIPVAENPNFIWKNHKL PNDVPGLGPQILMIAVFTLTGILVVLLLIALLVLRKYRRDHALRQKKWSHIPSENIFPLE TNETNHISLKIDDDRRRDTIQRVRQCKYDKKKVILKDLKHSDGNFSEKQKIDLNKLLQSD YYNLTKFYGTVKLDTRIFGVVEYCERGSLREVLNDTISYPDGTFMDWEFKISVLNDIAKG MSYLHSSKIEVHGRLKSTNCVVDSRMVVKITDFGCNSILPPKKDLWTAPEHLRQATISQK GDVYSFAIIAQEIILRKETFYTLSCRDHNEKIFRVENSYGKPFRPDLFLETADEKELEVY LLVKSCWEEDPEKRPDFKKIESTLAKIFGLFHDQKNESYMDTLIRRLQLYSRNLEHLVEE RTQLYKAERDRADHLNFMLLPRLVVKSLKEKGIVEPELYEEVTIYFSDIVGFTTICKYST PMEVVDMLNDIYKSFDQIVDHHDVYKVETIGDAYVVASGLPMRNGNRHAVDISKMALDIL SFIGTFELEHLPGLPVWIRIGVHSGPCAAGVVGIKMPRYCLFGDTVNTASRMESTGLPLR IHMSSSTITILKRTDCQFLYEVRGETYLKGRGTETTYWLTGMKDQEYNLPSPPTVENQQR LQTEFSDMIVSALQKRQASGKKSRRPTRVASYKKGFLEYMQLNNSDHDSTYF >ENSMUSP00000077236.6 pep:known chromosome:GRCm38:6:136697285:136781742:-1 gene:ENSMUSG00000042638.14 transcript:ENSMUST00000078095.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy2c description:guanylate cyclase 2c [Source:MGI Symbol;Acc:MGI:106903] MTSLLGLAVRLLLFQPALMVFWASQVRQNCRNGSYEISVLMMDNSAYKEPMQNLREAVEE GLDIVRKRLREADLNVTVNATFIYSDGLIHKSGDCRSSTCEGLDLLREITRDHKMGCALM GPSCTYSTFQMYLDTELNYPMISAGSYGLSCDYKETLTRILPPARKLMYFLVDFWKVNNA SFKPFSWNSSYVYKNGSEPEDCFWYLNALEAGVSYFSEVLNFKDVLRRSEQFQEILTGHN RKSNVIVMCGTPESFYDVKGDLQVAEDTVVILVDLFSNHYFEENTTAPEYMDNVLVLTLP SEQSTSNTSVAERFSSGRSDFSLAYLEGTLLFGHMLQTFLENGENVTGPKFARAFRNLTF QGFAGPVTLDDSGDIDNIMSLLYVSLDTRKYKVLMKYDTHKNKTIPVAENPNFIWKNHKL PNDVPGLGPQILMIAVFTLTGILVVLLLIALLVLRKYRRDHALRQKKWSHIPSENIFPLE TNETNHISLKIDDDRRRDTIQRVRQCKYDKKLLQSDYYNLTKFYGTVKLDTRIFGVVEYC ERGSLREVLNDTISYPDGTFMDWEFKISVLNDIAKGMSYLHSSKIEVHGRLKSTNCVVDS RMVVKITDFGCNSILPPKKDLWTAPEHLRQATISQKGDVYSFAIIAQEIILRKETFYTLS CRDHNEKIFRVENSYGKPFRPDLFLETADEKELEVYLLVKSCWEEDPEKRPDFKKIESTL AKIFGLFHDQKNESYMDTLIRRLQLYSRNLEHLVEERTQLYKAERDRADHLNFMLLPRLV VKSLKEKGIVEPELYEEVTIYFSDIVGFTTICKYSTPMEVVDMLNDIYKSFDQIVDHHDV YKVETIGDAYVVASGLPMRNGNRHAVDISKMALDILSFIGTFELEHLPGLPVWIRIGVHS GPCAAGVVGIKMPRYCLFGDTVNTASRMESTGLPLRIHMSSSTITILKRTDCQFLYEVRG ETYLKGRGTETTYWLTGMKDQEYNLPSPPTVENQQRLQTEFSDMIVSALQKRQASGKKSR RPTRVASYKKGFLEYMQLNNSDHDSTYF >ENSMUSP00000106968.3 pep:known chromosome:GRCm38:1:171127165:171150603:-1 gene:ENSMUSG00000058076.12 transcript:ENSMUST00000111336.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdhc description:succinate dehydrogenase complex, subunit C, integral membrane protein [Source:MGI Symbol;Acc:MGI:1913302] MAAFLLRHVSRHCLRAHLNAQLCIRNAAPLGTTAKEEMERFWKKNTSSNRPLSPHLTIYK WSLPMALSVCHRGSGIALSGGVSLFGLSALLLPGNFESYLMFVKSLCLGPTLIYSAKFVL VFPLMYHSLNGIRHLLWDLGKGLAIPQVWLSGVAVVVLAVLSSGGLAAL >ENSMUSP00000080273.4 pep:known chromosome:GRCm38:1:171129407:171150591:-1 gene:ENSMUSG00000058076.12 transcript:ENSMUST00000081560.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdhc description:succinate dehydrogenase complex, subunit C, integral membrane protein [Source:MGI Symbol;Acc:MGI:1913302] MAAFLLRHVSRHCLRAHLNAQLCIRKWSLPMALSVCHRGSGIALSGGVSLFGLSALLLPG NFESYLMFVKSLCLGPTLIYSAKFVLVFPLMYHSLNGIRHLLWDLGKGLAIPQVWLSGVA VVVLAVLSSGGLAAL >ENSMUSP00000122062.1 pep:known chromosome:GRCm38:1:171138726:171150588:-1 gene:ENSMUSG00000058076.12 transcript:ENSMUST00000155798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdhc description:succinate dehydrogenase complex, subunit C, integral membrane protein [Source:MGI Symbol;Acc:MGI:1913302] MERFWKKNTSSNRPLSPHLTIYKWSLPMALSV >ENSMUSP00000136872.1 pep:known chromosome:GRCm38:9:65388684:65391652:-1 gene:ENSMUSG00000054978.3 transcript:ENSMUST00000068307.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd13 description:kelch repeat and BTB (POZ) domain containing 13 [Source:MGI Symbol;Acc:MGI:1921742] MPPGPEVPVQVWVDGQLFQAEQSLLVEHCGFFRGLFRSGMREARAAEVRLGALSASGFRT ALRVLRGERPALAAEDELLQAVECAAFLQAPALARFLEHSVTSDNCSLLCDAAAAFGLRD VLHSAALFIRDGSHELVAQLELPEARAYVAALRPSTYVAVSTHTPTPGFLEDASRTMCFL DEEEDAWRTLAALPLEASTLLAGVATLGNKLYIVGGVCGASKEVVELGFCYDPEGGTWCE FPSPHQPRYDMALAGFEGRLYAIGGEFQRTPMSSVECYDPATGCWSFVADLPQPATGVPC AQARGRLFVCLWRPADITAVVEYVVQMDKWLPVAELCRSQSYGHFMVAHRDSLYVVRNGP SDDFLHCAIDCLNLVTGQWTSLPGQFVNSKGALFTSVVRGDTVYTVNRVSTLVYAIEDGT WRLLREKAGFPRPGSLQTFLLRLPPGTTGPVATALPEL >ENSMUSP00000074775.6 pep:known chromosome:GRCm38:6:120509494:120531319:-1 gene:ENSMUSG00000058979.7 transcript:ENSMUST00000075303.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cecr5 description:cat eye syndrome chromosome region, candidate 5 [Source:MGI Symbol;Acc:MGI:2136976] MAALAGLGVLGAGRHLWKLPVRLSAGLQGCGPRRGYIAGSAERSPTFGLLFDIDGVLVRG HRVIPAALEAFSKLVNSQGQLRVPVVFVTNAGNILQHNKAQELSDLLRCKVDPDQVILSH SPMKLFLQYHSKQMLVSGQGPLVENARALGFQNVVTIDELRLAFPELDMVDLQRRPKTMR LRSDFPAIEGVLLLGEPVRWETNLQLIMDVLLSNGHPGTGLATAPYPHLPVLASNMDLLW MAEAKMPRFGHGTFLLCLETIYRKITGNELKYEGLMGKPSILTYQYAEDVIRQQAERRGW AAPIRKLYAIGDNPMSDVYGANLFHQYLQMANRGEEEQQTGGQQKQRPSATQSCASILVC TGIYSSQDPGSQVPPPGRRELPFHGHRDFSFSPGLLEASHIVHDVNEAVQLVFHQEGWA >ENSMUSP00000046786.4 pep:known chromosome:GRCm38:1:134346097:134361979:-1 gene:ENSMUSG00000042305.12 transcript:ENSMUST00000049470.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem183a description:transmembrane protein 183A [Source:MGI Symbol;Acc:MGI:1914729] MARGSGQLGGPHRDTVTMPKRGKRLKFRAHDACSGRVTVADYANSDPAVVRSGRVKKAVA NAIQQEVKSLCGLEASQVPAEEALSGVGEPCDILDSSDEMDAQEESTQERSVSRKKKSKR HKEDPDGTGEEYPMDIWLLLASYIRPEDIVNFSLICKNAWTVTCTAAFWTRLYRRHYTLD ASLPLRLRPESMEKLRCLRACVIRSLYHMYEPFAARISKNPAIPESTPSTLKNSKCLLFW CRKIVGNRQEPMWEFNFKFKKQSPRLKSKCMERLQPPIQYQDVHTNPDQDCCLLQVTTLN FIFIPIVMGMIFTLFTINVSTDMRHHRVRLVFQDSPVRGGQNLRSEQGVQVVLDPVHSVR LFDWWHPQYPFSLRA >ENSMUSP00000139821.1 pep:known chromosome:GRCm38:1:134347682:134361941:-1 gene:ENSMUSG00000042305.12 transcript:ENSMUST00000191237.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem183a description:transmembrane protein 183A [Source:MGI Symbol;Acc:MGI:1914729] MARGSGQLGGPHRDTVTMPKRGKRLKFRAHDACSGRVTVADYANSDPAVVRSGRVKKAVA NAIQQEVKSLCGLEASQVPAEEALSGVGEPCDILDSSDEMDAQEESTQERSVSRKKKSKR HKEDPDGTGEEYPMDIWLLLASYIRPEDIVNFSLICKNAWTVTCTAAFWTRLYRRHYTLD ASLPLRLRPESMEKLRCLRACVIRSLYHMYEPFAARISKNPAIPESTPSTLKNSK >ENSMUSP00000141012.1 pep:known chromosome:GRCm38:1:134355708:134361690:-1 gene:ENSMUSG00000042305.12 transcript:ENSMUST00000185903.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem183a description:transmembrane protein 183A [Source:MGI Symbol;Acc:MGI:1914729] GGERWRRLCLESEEALALCSVSVTVADYANSDPAVVRSGRVKKAVANAIQQEVKSLCGLE ASQVPAEEALSGVGEPCDILDSSDEMDAQEESTQERSVSRKKKSKRHKEDP >ENSMUSP00000091511.2 pep:known chromosome:GRCm38:3:126363684:126440375:1 gene:ENSMUSG00000046561.9 transcript:ENSMUST00000093976.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arsj description:arylsulfatase J [Source:MGI Symbol;Acc:MGI:2443513] MAPRDSAEPLPPLSPQAWAWSGKFLAMGALAGFSVLSLLTYGYLCWGQDLEEEGSLKAQV DERPEAGTAGTSQPHLIFILADDQGFRDVGYHGSEIKTPTLDKLAAEGVKLENYYVQPIC TPSRSQFITGKYQIHTGLQHSIIRPTQPNCLPLDNATLPQKLKEVGYSTHMVGKWHLGFY RKDCMPTKRGFDTFFGSLLGSGDYYTHYKCDSPGVCGYDLYENDNAAWDYDNGIYSTQMY TQRVQQILATHDPTKPLFLYVAYQAVHSPLQAPGRYFEHYRSIININRRRYAAMLSCLDE AIHNVTLALKRYGFYNNSIIIYSSDNGGQPTAGGSNWPLRGSKGTYWEGGIRAVGFVHSP LLKNKGTVCKELVHITDWYPTLISLAEGQIDEDIQLDGYDIWETISEGLRSPRVDILHNI DPIYTKAKNGSWAAGYGIWNTAIQSAIRVQHWKLLTGNPGYSDWVPPQAFSNLGPNRWHN ERITLSTGKSIWLFNITADPYERVDLSSRYPGIVKKLLRRLSQFNKTAVPVRYPPKDPRS NPRLNGGVWGPWYKEENKKKKSNKTKAKKMQKKKSKARMRKQLAAHSSIKCHPSVATG >ENSMUSP00000139354.1 pep:known chromosome:GRCm38:9:76490054:76567116:-1 gene:ENSMUSG00000032358.12 transcript:ENSMUST00000183437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83b description:family with sequence similarity 83, member B [Source:MGI Symbol;Acc:MGI:2685362] MEASSMLSSLNDECRSDNYVEPHYKEWYRVAVDTLIEHGLEAYQEFLIKERVSDFLAEEE INYILKNVQKIAQNTEHGSDSSCDDGSSSGTYWPMQSDVEAPNLDLGWPYVMPGLLGSTH IDLLFHPPRAHLLTIKETIRKMIKEARKVVAIVMDVFTDVDIFKEIVEASTRGISVYILL DESNFSHFLTMTEKQGCQIQRLRNIRIRTVKGQDYLSKTGAKFHGKMEQKFLLVDCQKVM YGSYSYMWSFEKAHLSMVQIITGHLVELFDEEFRTLYARSSVPSSFAQEESVRAKPGKAL WENGIYQRSISSLASVSSQRNLFGRQDQIHKLDSSYFKGRGIYPLNDQDKHSMRNHGYKP HFVPNFNGPSTIRHFQPSQLNENWKRHSYAGEQPETTPYLLLNRAMNRTNNAPGRWRRPS DSLSVASSLRGGQGSQQNIPAQSFADRLAQRKTTNLAERNSNVRRSFNGTDNHIRFIQQR MPTLENTTKSFLRSWRIESYLNDNSEVPPDSNGSTLGDRFEGYENPEAVKANALYTHSRL RSSFVFKPTLPEQKEVNSCTTGSSNSTIIGSQGSDTPNEVPDTSTNAPPLTEKPLPEPSS KLPTQQEEPKMHNLQVPEKQPEALNQRTNGRAELNNCIYTNLCVNKQRENTENQQNDNLL KRRSFPSFDHSKVNLEHGNSKNYVYSTLTRNRIRQPEKPKEVVLKSSKSMHNVTHSAEED DDEVIERDPPSASATKSISIAALLDVNKEEPNKEPNSKKEGKASPSFLKKGSQKLRSLLS LTPEKRESLAKNKAPAFYRMCSSSDTLVSEGEENQKPKKSEPKVDSSPRRKRSSSSNSQG SIHKSKEDIAVSASPGISSQAEESRRIAPSPRPVERRLSERAGDASAPRFNTEQIQYRDS KEISSLMTPARRPTPSPVLKPNELLRSHSTNQRVYSRFEPFCKIESSIQPASSVTNTHVN RPEVKSSTMGTAYGRSSPMLNYKTGAYHSYAPNENKFRGFMQKFGNFIHKNK >ENSMUSP00000096146.3 pep:known chromosome:GRCm38:9:76490705:76545804:-1 gene:ENSMUSG00000032358.12 transcript:ENSMUST00000098546.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83b description:family with sequence similarity 83, member B [Source:MGI Symbol;Acc:MGI:2685362] MEASSMLSSLNDECRSDNYVEPHYKEWYRVAVDTLIEHGLEAYQEFLIKERVSDFLAEEE INYILKNVQKIAQNTEHGSDSSCDDGSSSGTYWPMQSDVEAPNLDLGWPYVMPGLLGSTH IDLLFHPPRAHLLTIKETIRKMIKEARKVVAIVMDVFTDVDIFKEIVEASTRGISVYILL DESNFSHFLTMTEKQGCQIQRLRNIRIRTVKGQDYLSKTGAKFHGKMEQKFLLVDCQKVM YGSYSYMWSFEKAHLSMVQIITGHLVELFDEEFRTLYARSSVPSSFAQEESVRAKPGKAL WENGIYQRSISSLASVSSQRNLFGRQDQIHKLDSSYFKGRGIYPLNDQDKHSMRNHGYKP HFVPNFNGPSTIRHFQPSQLNENWKRHSYAGEQPETTPYLLLNRAMNRTNNAPGRWRRPS DSLSVASSLRGGQGSQQNIPAQSFADRLAQRKTTNLAERNSNVRRSFNGTDNHIRFIQQR MPTLENTTKSFLRSWRIESYLNDNSEVPPDSNGSTLGDRFEGYENPEAVKANALYTHSRL RSSFVFKPTLPEQKEVNSCTTGSSNSTIIGSQGSDTPNEVPDTSTNAPPLTEKPLPEPSS KLPTQQEEPKMHNLQVPEKQPEALNQRTNGRAELNNCIYTNLCVNKQRENTENQQNDNLL KRRSFPSFDHSKVNLEHGNSKNYVYSTLTRNRIRQPEKPKEVVLKSSKSMHNVTHSAEED DDEVIERDPPSASATKSISIAALLDVNKEEPNKEPNSKKEGKASPSFLKKGSQKLRSLLS LTPEKRESLAKNKAPAFYRMCSSSDTLVSEGEENQKPKKSEPKVDSSPRRKRSSSSNSQG SIHKSKEDIAVSASPGISSQAEESRRIAPSPRPVERRLSERAGDASAPRFNTEQIQYRDS KEISSLMTPARRPTPSPVLKPNELLRSHSTNQRVYSRFEPFCKIESSIQPASSVTNTHVN RPEVKSSTMGTAYGRSSPMLNYKTGAYHSYAPNENKFRGFMQKFGNFIHKNK >ENSMUSP00000046026.8 pep:known chromosome:GRCm38:6:85187438:85204358:1 gene:ENSMUSG00000033726.8 transcript:ENSMUST00000045942.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emx1 description:empty spiracles homeobox 1 [Source:MGI Symbol;Acc:MGI:95387] MFQPAAKRGFTIESLVAKDGGTGGSPGSGGAGSHPLAVAASEEPLRPTALNYPHPSAAET AFVSGFPAAAAAGAGRSLYGGPELVFPEAMNHPALTVHPAHQLGSSSLQPPHSFFSAQHR DPLHFYPWVLRNRFFGHRFQASDVPQDGLLLHGPFARKPKRIRTAFSPSQLLRLERAFEK NHYVVGAERKQLAGSLSLSETQVKVWFQNRRTKYKRQKLEEEGPESEQKKKGSHHINRWR IATKQANGEDIDVTSND >ENSMUSP00000032089.2 pep:known chromosome:GRCm38:6:78466269:78468872:-1 gene:ENSMUSG00000030017.2 transcript:ENSMUST00000032089.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg3g description:regenerating islet-derived 3 gamma [Source:MGI Symbol;Acc:MGI:109406] MLPRITITIMSWMLLSCLMLLSQVQGEVAKKDAPSSRSSCPKGSRAYGSYCYALFSVSKN WYDADMACQKRPSGHLVSVLSGAEASFLSSMIKSSGNSGQYVWIGLHDPTLGYEPNRGGW EWSNADVMNYINWETNPSSSSGNHCGTLSRASGFLKWRENYCNLELPYVCKFKA >ENSMUSP00000112656.1 pep:known chromosome:GRCm38:4:155869546:155888685:1 gene:ENSMUSG00000029034.9 transcript:ENSMUST00000120794.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf3l description:cleavage and polyadenylation specific factor 3-like [Source:MGI Symbol;Acc:MGI:1919207] MPEIRVTPLGAGQDVGRSCILVSISGKNVMLDCGMHMGYNDDRRFPDFSYITQSGRLTDF LDCVIISHFHLDHCGALPYFSEMVGYDGPIYMTHPTQAICPILLEDYRKIAVDKKGEANF FTSQMIKDCMKKVVAVHLHQTVQIKVGSESVVYTGDYNMTPDRHLGAAWIDKCRPNLLIT ESTYATTIRDSKRCRERDFLKKVHETVERGGKVLIPVFALGRAQELCILLETFWERMNLK VPIYFSTGLTEKANHYYKLFITWTNQKIRKTFVQRNMFEFKHIKAFDRTFADNPGPMVVF ATPGMLHAGQSLQIFRKWAGNEKNMVIMPGYCVQGTVGHKILSGQRKLEMEGRQMLEVKM QVEYMSFSAHADAKGIMQLVGQAEPESVLLVHGEAKKMEFLRQKIEQEFRVSCYMPANGE TVTLPTSPSIPVGISLGLLKREMVQGLLPEAKKPRLLHGTLIMKDSNFRLVSSEQALKEL GLAEHQLRFTCRVHLQDTRKEQETALRVYSHLKSTLKDHCVQHLPDGSVTVESILIQAAA HSEDPGTKVLLVSWTYQDEELGSFLTTLLKNGLPQAPS >ENSMUSP00000030901.2 pep:known chromosome:GRCm38:4:155869546:155889103:1 gene:ENSMUSG00000029034.9 transcript:ENSMUST00000030901.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf3l description:cleavage and polyadenylation specific factor 3-like [Source:MGI Symbol;Acc:MGI:1919207] MPEIRVTPLGAGQDVGRSCILVSISGKNVMLDCGMHMGYNDDRRFPDFSYITQSGRLTDF LDCVIISHFHLDHCGALPYFSEMVGYDGPIYMTHPTQAICPILLEDYRKIAVDKKGEANF FTSQMIKDCMKKVVAVHLHQTVQVDDELEIKAYYAGHVLGAAMFQIKVGSESVVYTGDYN MTPDRHLGAAWIDKCRPNLLITESTYATTIRDSKRCRERDFLKKVHETVERGGKVLIPVF ALGRAQELCILLETFWERMNLKVPIYFSTGLTEKANHYYKLFITWTNQKIRKTFVQRNMF EFKHIKAFDRTFADNPGPMVVFATPGMLHAGQSLQIFRKWAGNEKNMVIMPGYCVQGTVG HKILSGQRKLEMEGRQMLEVKMQVEYMSFSAHADAKGIMQLVGQAEPESVLLVHGEAKKM EFLRQKIEQEFRVSCYMPANGETVTLPTSPSIPVGISLGLLKREMVQGLLPEAKKPRLLH GTLIMKDSNFRLVSSEQALKELGLAEHQLRFTCRVHLQDTRKEQETALRVYSHLKSTLKD HCVQHLPDGSVTVESILIQAAAHSEDPGTKVLLVSWTYQDEELGSFLTTLLKNGLPQAPS >ENSMUSP00000118803.1 pep:known chromosome:GRCm38:4:155869615:155886919:1 gene:ENSMUSG00000029034.9 transcript:ENSMUST00000156460.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpsf3l description:cleavage and polyadenylation specific factor 3-like [Source:MGI Symbol;Acc:MGI:1919207] MPEIRVTPLGAGQDVGRSCILVSISGKNVMLDCGMHMGYNDDRRFPDFSYITQSGRLTDF LDCVIIRPSAPSCWKTTARLQWTRRARPISSLLR >ENSMUSP00000000925.3 pep:known chromosome:GRCm38:10:75896769:75921617:-1 gene:ENSMUSG00000000902.13 transcript:ENSMUST00000000925.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcb1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily b, member 1 [Source:MGI Symbol;Acc:MGI:1328366] MMMMALSKTFGQKPVKFQLEDDGEFYMIGSEVGNYLRMFRGSLYKRYPSLWRRLATVEER KKIVASSHGKKTKPNTKDHGYTTLATSVTLLKASEVEEILDGNDEKYKAVSISTEPPTYL REQKAKRNSQWVPTLPNSSHHLDAVPCSTTINRNRMGRDKKRTFPLCFDDHDPAVIHENA SQPEVLVPIRLDMEIDGQKLRDAFTWNMNEKLMTPEMFSEILCDDLDLNPLTFVPAIASA IRQQIESYPTDSILEDQSDQRVIIKLNIHVGNISLVDQFEWDMSEKENSPEKFALKLCSE LGLGGEFVTTIAYSIRGQLSWHQKTYAFSENPLPTVEIAIRNTGDADQWCPLLETLTDAE MEKKIRDQDRNTRRMRRLANTAPAW >ENSMUSP00000112463.1 pep:known chromosome:GRCm38:10:75896777:75921614:-1 gene:ENSMUSG00000000902.13 transcript:ENSMUST00000121304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcb1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily b, member 1 [Source:MGI Symbol;Acc:MGI:1328366] MMMMALSKTFGQKPVKFQLEDDGEFYMIGSEVGNYLRMFRGSLYKRYPSLWRRLATVEER KKIVASSHDHGYTTLATSVTLLKASEVEEILDGNDEKYKAVSISTEPPTYLREQKAKRNS QWVPTLPNSSHHLDAVPCSTTINRNRMGRDKKRTFPLCFDDHDPAVIHENASQPEVLVPI RLDMEIDGQKLRDAFTWNMNEKLMTPEMFSEILCDDLDLNPLTFVPAIASAIRQQIESYP TDSILEDQSDQRVIIKLNIHVGNISLVDQFEWDMSEKENSPEKFALKLCSELGLGGEFVT TIAYSIRGQLSWHQKTYAFSENPLPTVEIAIRNTGDADQWCPLLETLTDAEMEKKIRDQD RNTRRMRRLANTAPAW >ENSMUSP00000123093.1 pep:known chromosome:GRCm38:10:75897528:75921589:-1 gene:ENSMUSG00000000902.13 transcript:ENSMUST00000140388.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarcb1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily b, member 1 [Source:MGI Symbol;Acc:MGI:1328366] MMMMALSKTFGQKPVKFQLEDDGEFYMIGSEGAEGQEEQPVGPHPAQQLPPPGCCALFHH HQQEPHGSGQEENLPLVL >ENSMUSP00000115388.1 pep:known chromosome:GRCm38:10:75910264:75915164:-1 gene:ENSMUSG00000000902.13 transcript:ENSMUST00000146555.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smarcb1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily b, member 1 [Source:MGI Symbol;Acc:MGI:1328366] VTLLKASEVEEILDGNDEKYKAVSISTEPPTYLREESHGVSSDQTSSTTGAWRLL >ENSMUSP00000006973.5 pep:known chromosome:GRCm38:11:100704746:100712465:-1 gene:ENSMUSG00000020918.14 transcript:ENSMUST00000006973.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat2a description:K(lysine) acetyltransferase 2A [Source:MGI Symbol;Acc:MGI:1343101] MAEPSQAPNPVPAAQPRPLHSPAPAPTSTPAPSPASASTPAPTPAPAPAPAAAPAGSTGS GGAGVGSGGDPARPGLSQQQRASQRKAQVRGLPRAKKLEKLGVFSACKANETCKCNGWKN PKPPTAPRMDLQQPAANLSELCRSCEHPLADHVSHLENVSEDEINRLLGMVVDVENLFMS VHKEEDTDTKQVYFYLFKLLRKCILQMTRPVVEGSLGSPPFEKPNIEQGVLNFVQYKFSH LAPRERQTMFELSKMFLLCLNYWKLETPAQFRQRSQSEDVATYKVNYTRWLCYCHVPQSC DSLPRYETTHVFGRSLLRSIFTVTRRQLLEKFRVEKDKLVPEKRTLILTHFPKFLSMLEE EIYGANSPIWESGFTMPPSEGTQLVPRPATVSATVVPSFSPSMGGGSNSSLSLDSAGTEP MPGEKRKLPENLTLEDAKRLRVMGDIPMELVNEVMLTITDPAAMLGPETSLLSANAARDE TARLEERRGIIEFHVIGNSLTPKANRRVLLWLVGLQNVFSHQLPRMPKEYIARLVFDPKH KTLALIKDGRVIGGICFRMFPTQGFTEIVFCAVTSNEQVKGYGTHLMNHLKEYHIKHSIL YFLTYADEYAIGYFKKQGFSKDIKVPKSRYLGYIKDYEGATLMECELNPRIPYTELSHII KKQKEIIKKLIERKQAQIRKVYPGLSCFKEGVRQIPVESVPGIRETGWKPLGKEKGKELK DPDQLYTTLKNLLAQIKSHPSAWPFMEPVKKSEAPDYYEVIRFPIDLKTMTERLRSRYYV TRKLFVADLQRVIANCREYNPPDSEYCRCASALEKFFYFKLKEGGLIDK >ENSMUSP00000099407.3 pep:known chromosome:GRCm38:11:100704746:100712465:-1 gene:ENSMUSG00000020918.14 transcript:ENSMUST00000103118.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat2a description:K(lysine) acetyltransferase 2A [Source:MGI Symbol;Acc:MGI:1343101] MAEPSQAPNPVPAAQPRPLHSPAPAPTSTPAPSPASASTPAPTPAPAPAPAAAPAGSTGS GGAGVGSGGDPARPGLSQQQRASQRKAQVRGLPRAKKLEKLGVFSACKANETCKCNGWKN PKPPTAPRMDLQQPAANLSELCRSCEHPLADHVSHLENVSEDEINRLLGMVVDVENLFMS VHKEEDTDTKQVYFYLFKLLRKCILQMTRPVVEGSLGSPPFEKPNIEQGVLNFVQYKFSH LAPRERQTMFELSKMFLLCLNYWKLETPAQFRQRSQSEDVATYKVNYTRWLCYCHVPQSC DSLPRYETTHVFGRSLLRSIFTVTRRQLLEKFRVEKDKLVPEKRTLILTHFPKFLSMLEE EIYGANSPIWESGFTMPPSEGTQLVPRPATVSATVVPSFSPSMGGGSNSSLSLDSAGTEP MPAGEKRKLPENLTLEDAKRLRVMGDIPMELVNEVMLTITDPAAMLGPETSLLSANAARD ETARLEERRGIIEFHVIGNSLTPKANRRVLLWLVGLQNVFSHQLPRMPKEYIARLVFDPK HKTLALIKDGRVIGGICFRMFPTQGFTEIVFCAVTSNEQVKGYGTHLMNHLKEYHIKHSI LYFLTYADEYAIGYFKKQGFSKDIKVPKSRYLGYIKDYEGATLMECELNPRIPYTELSHI IKKQKEIIKKLIERKQAQIRKVYPGLSCFKEGVRQIPVESVPGIRETGWKPLGKEKGKEL KDPDQLYTTLKNLLAQIKSHPSAWPFMEPVKKSEAPDYYEVIRFPIDLKTMTERLRSRYY VTRKLFVADLQRVIANCREYNPPDSEYCRCASALEKFFYFKLKEGGLIDK >ENSMUSP00000144369.1 pep:known chromosome:GRCm38:16:93832121:93876069:1 gene:ENSMUSG00000039456.9 transcript:ENSMUST00000202261.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc3 description:microrchidia 3 [Source:MGI Symbol;Acc:MGI:2136841] MAAQPPTGIRLSALCPKFLHTNSTSHTWPFSAVAELIDNAYDPDVNAKQIWIDKTVISDH ICLTFTDNGNGMTADKLHKMLSFGFSDKVTMNGHVPVGLYGNGFKSGSMRLGKDAMVFTK NGETMSVGFLSQTYLEVIKAEHVVVPIVTFNKHRQMINLTESKASLAAILEHSLFSTEQK LLAELNAIMGKKGTRIIIWNLRSYKNATEFDFEKDKYDIRIPEDLDETAGRKGYKKQERM DQIAPESDYSLRAYCSILYLKPRMQIIIRGQKVKTQLVSKSLAYIERDVYRPKFLTRTVR ITFGFNCRNKDHYGIMMYHKNRLIKAYEKVGCQLKANNMGVGVVGIIECNFLKPTHNKQD FDYTNEYRLTILALGEKLNDYWNEMKVKKNAEYPVNLPVEDIQKRPDQTWVQCDACLKWR KLPDGIDQLPEKWYCSNNPDPQFRNCEVPEEPEDEDLVHPTYEKTYKKTSKERFRIRQPE ILPRILPQINPELLYQTSVSSQSFSPVKESVPRPHLSEVTSPFAARIINLNLASPASEPE NSSMKRKLGVHSSILNAKTRRLSNPPVENSSYKNDDDEDVIILEENSTPKPAVDLEVKSD IEVKSEQSHTEQSGIHVDLVSSPKPCVQASSTSTSTSRSDPGITVSTQTDAPGLTVKKEE SMEEDMGVRNGTATLSCVGTEAKVQETSAESVDATSHQLQELRSELLVVTQERDDYKRQC QMFTDQIQVLQQRLLEMNDKCVKKEKCHQSTETDAVFLLDSVNGQAESLDHLGSQYQQAL QEIERLKRQCSALQQVKSECSQASCTESKSEVDEMAVQLDDVFRQLDKCTIERDQYKNEV QLLEIEKSHIHSQCEELQTEVEQLKSTGQQAAADGSTASNAEEPVSYVDGESLKLRSLRV NVGQLLAMIVPDLDLQQVNYDVDVVDEILGQVVEQMSEISST >ENSMUSP00000144058.1 pep:known chromosome:GRCm38:16:93832257:93875027:1 gene:ENSMUSG00000039456.9 transcript:ENSMUST00000201097.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc3 description:microrchidia 3 [Source:MGI Symbol;Acc:MGI:2136841] MTADKLHKMLSFGFSDKVTMNGHVPVGLYGNGFKSGSMRLGKDAMVFTKNGETMSVGFLS QTYLEVIKAEHVVVPIVTFNKHHILLMINLTESKASLAAILEHSLFSTEQKLLAELNAIM GKKGTRIIIWNLRSYKNATEFDFEKDKYDIRIPEDLDETAGRKGYKKQERMDQIAPESDY SLRAYCSILYLKPRMQIIIRGQKVKTQLVSKSLAYIERDVYRPKFLTRTVRITFGFNCRN KDHYGIMMYHKNRLIKAYEKVGCQLKANNMGVGVVGIIECNFLKPTHNKQDFDYTNEYRL TILALGEKLNDYWNEMKVKKNAEYPVNLPVEDIQKRPDQTWVQCDACLKWRKLPDGIDQL PEKWYCSNNPDPQFRNCEVPEEPEDEDLVHPTYEKTYKKTSKERFRIRQPEILPRINPEL LYQTSVSSQSFSPVKESVPRPHLSEVTSPFAARIINLNLASPASEPENSSMKRKLGVHSS ILNAKTRRLSNPPVENSSYKNDDDEDVIILEENSTPKPAVDLEVKSDIEVKSEQSHTEQS GIHVDLVSSPKPCVQASSTSTSTSRSDPGITVSTQTDAPGLTVKKEESMEEDMGVRNGTA TLSCVGTEAKVQETSAESVDATSHQLQELRSELLVVTQERDDYKRQCQMFTDQIQVLQQR LLEMNDKCVKKEKCHQSTETDAVFLLDSVNGQAESLDHLGSQYQQALQEIERLKRQCSAL QQVKSECSQASCTESKSEVDEMAVQLDDVFRQLDKCTIERDQYKNEVQLLEIEKSHIHSQ CEELQTEVEQLKSTGQQAAADGSTASNAEEPVSYVDGESLKLRSLRVNVGQLLAMIVPDL DLQQVNYDVDVVDEILGQVVEQMSEISST >ENSMUSP00000144357.1 pep:known chromosome:GRCm38:16:93832263:93860544:1 gene:ENSMUSG00000039456.9 transcript:ENSMUST00000201754.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Morc3 description:microrchidia 3 [Source:MGI Symbol;Acc:MGI:2136841] MAAQPPTGIRLSALCPKFLHTNSTSHTWPFSAVAELIDNAYDPDVNAKQIWIDKTVISDH ICLTFTDNGNGMTADKLHKMLRDVKRHQGRSQ >ENSMUSP00000040152.6 pep:known chromosome:GRCm38:16:93832121:93876072:1 gene:ENSMUSG00000039456.9 transcript:ENSMUST00000044068.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc3 description:microrchidia 3 [Source:MGI Symbol;Acc:MGI:2136841] MAAQPPTGIRLSALCPKFLHTNSTSHTWPFSAVAELIDNAYDPDVNAKQIWIDKTVISDH ICLTFTDNGNGMTADKLHKMLSFGFSDKVTMNGHVPVGLYGNGFKSGSMRLGKDAMVFTK NGETMSVGFLSQTYLEVIKAEHVVVPIVTFNKHRQMINLTESKASLAAILEHSLFSTEQK LLAELNAIMGKKGTRIIIWNLRSYKNATEFDFEKDKYDIRIPEDLDETAGRKGYKKQERM DQIAPESDYSLRAYCSILYLKPRMQIIIRGQKVKTQLVSKSLAYIERDVYRPKFLTRTVR ITFGFNCRNKDHYGIMMYHKNRLIKAYEKVGCQLKANNMGVGVVGIIECNFLKPTHNKQD FDYTNEYRLTILALGEKLNDYWNEMKVKKNAEYPVNLPVEDIQKRPDQTWVQCDACLKWR KLPDGIDQLPEKWYCSNNPDPQFRNCEVPEEPEDEDLVHPTYEKTYKKTSKERFRIRQPE ILPRILPQINPELLYQTSVSSQSFSPVKESVPRPHLSEVTSPFAARIINLNLASPASEPE NSSMKRKLGVHSSILNAKTRRLSNPPVENSSYKNDDDEDVIILEENSTPKPAVDLEVKSD IEVKSEQSHTEQSGIHVDLVSSPKPCVQASSTSTSTSRSDPGITVSTQTDAPGLTVKKEE SMEEDMGVRNGTATLSCVGTEAKVQETSAESVDATSHQLQELRSELLVVTQERDDYKRQC QMFTDQIQVLQQRLLEMNDKCVKKEKCHQSTETDAVFLLDSVNGQAESLDHLGSQYQQAL QEIERLKRQCSALQQVKSECSQASCTESKSEVDEMAVQLDDVFRQLDKCTIERDQYKNEV QLLEIEKSHIHSQCEELQTEVEQLKSTGQQAAADGSTASNAEEPVSYVDGESLKLRSLRV NVGQLLAMIVPDLDLQQVNYDVDVVDEILGQVVEQMSEISST >ENSMUSP00000136487.1 pep:known chromosome:GRCm38:10:79704491:79711969:1 gene:ENSMUSG00000023175.15 transcript:ENSMUST00000179781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsg description:basigin [Source:MGI Symbol;Acc:MGI:88208] MAAALLLALAFTLLSGQGACAAAGTIQTSVQEVNSKTQLTCSLNSSGVDIVGHRWMRGGK VLQEDTLPDLHTKYIVDADDRSGEYSCIFLPEPVGRSEINVEGPPRIKVGKKSEHSSEGE LAKLVCKSDASYPPITDWFWFKTSDTGEEEAITNSTEANGKYVVVSTPEKSQLTISNLDV NVDPGTYVCNATNAQGTTRETISLRVRSRMAALWPFLGIVAEVLVLVTIIFIYEKRRKPD QTLDEDDPGAAPLKGSGTHMNDKDKNVRQRNAT >ENSMUSP00000070751.5 pep:known chromosome:GRCm38:10:79704500:79711895:1 gene:ENSMUSG00000023175.15 transcript:ENSMUST00000067036.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsg description:basigin [Source:MGI Symbol;Acc:MGI:88208] MAAALLLALAFTLLSGQGACAAAGFLKAPLSQERWAGGSVVLHCEAVGSPIPEIQWWFEG NAPNDSCSQLWDGARLDRVHIHAAYRQHAASSLSVDGLTAEDTGTYECRASSDPDRNHLT RPPRVKWVRAQASVVVLEPGTIQTSVQEVNSKTQLTCSLNSSGVDIVGHRWMRGGKVLQE DTLPDLHTKYIVDADDRSGEYSCIFLPEPVGRSEINVEGPPRIKVGKKSEHSSEGELAKL VCKSDASYPPITDWFWFKTSDTGEEEAITNSTEANGKYVVVSTPEKSQLTISNLDVNVDP GTYVCNATNAQGTTRETISLRVRSRMAALWPFLGIVAEVLVLVTIIFIYEKRRKPDQTLD EDDPGAAPLKGSGTHMNDKDKNVRQRNAT >ENSMUSP00000137126.1 pep:known chromosome:GRCm38:10:79704570:79711823:1 gene:ENSMUSG00000023175.15 transcript:ENSMUST00000178383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsg description:basigin [Source:MGI Symbol;Acc:MGI:88208] XACAAAGTIQTSVQEVNSKTQLTCSLNSSGVDIVGHRWMRGGKVLQEDTLPDLHTKYIVD ADDRSGEYSCIFLPEPVGRSEINVEGPPRIKVGKKSEHSSEGELAKLVCKSDASYPPITD WFWFKTSDTGEEEAITNSTEANGKYVVVSTPEKSQLTISNLDASWLRSWCWLPSSLSMRR GGSQTRPWTRMTLAPPH >ENSMUSP00000101020.4 pep:known chromosome:GRCm38:10:79709598:79711969:1 gene:ENSMUSG00000023175.15 transcript:ENSMUST00000105381.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bsg description:basigin [Source:MGI Symbol;Acc:MGI:88208] MRGGKVLQEDTLPDLHTKYIVDADDRSGEYSCIFLPEPVGRSEINVEGPPRIKVGKKSEH SSEGELAKLVCKSDASYPPITDWFWFKTSDTGEEEAITNSTEANGKYVVVSTPEKSQLTI SNLDVNVDPGTYVCNATNAQGTTRETISLRVRSRMAALWPFLGIVAEVLVLVTIIFIYEK RRKPDQTLDEDDPGAAPLKGSGTHMNDKDKNVRQRNAT >ENSMUSP00000079226.2 pep:known chromosome:GRCm38:8:47667178:47675158:-1 gene:ENSMUSG00000063049.4 transcript:ENSMUST00000080353.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing2 description:inhibitor of growth family, member 2 [Source:MGI Symbol;Acc:MGI:1916510] MLGQQQQQQLYSSAALLTGERSRLLSCYVQDYLECVESLPHDMQRNVSVLRELDNKYQET LKEIDDVYEKYKKEDDSNQKKRLQQHLQRALINSQELGDEKIQIVTQMLELVENRARQME LHSQCFQDPAESERASDKSKMDSSQPERSSRRPRRQRTSESRDLCHMTNGIDDCDDQPPK EKRSKSAKKKKRSKAKQEREASPVEFAIDPNEPTYCLCNQVSYGEMIGCDNEQCPIEWFH FSCVSLTYKPKGKWYCPKCRGDNEKTMDKSTEKTKKERRAR >ENSMUSP00000124792.1 pep:known chromosome:GRCm38:8:47668755:47675556:-1 gene:ENSMUSG00000063049.4 transcript:ENSMUST00000125536.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing2 description:inhibitor of growth family, member 2 [Source:MGI Symbol;Acc:MGI:1916510] MLELVENRARQMELHSQCFQDPAESERASDKSKMDSSQPERSSRRPRRQRTSESRDLCHM TNGIDDCDDQPPKEKRSKSAKKKKRSKAKQEREASPVEFAIDPNEPTYCLCNQVSYGEMI GCDNEQCPIEWFHFSCVSLTYKPKG >ENSMUSP00000124454.1 pep:known chromosome:GRCm38:8:47667178:47673689:-1 gene:ENSMUSG00000063049.4 transcript:ENSMUST00000146625.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing2 description:inhibitor of growth family, member 2 [Source:MGI Symbol;Acc:MGI:1916510] MLELVENRARQMELHSQCFQDPAESERASDKSKMDSSQPERSSRRPRRQRTSESRDLCHM TNGIDDCDDQPPKEKRSKSAKKKKRSKAKQEREASPVEFAIDPNEPTYCLCNQVSYGEMI GCDNEQCPIEWFHFSCVSLTYKPKGKWYCPKCRGDNEKTMDKSTEKTKKERRAR >ENSMUSP00000066701.3 pep:known chromosome:GRCm38:1:171150711:171161130:1 gene:ENSMUSG00000056569.10 transcript:ENSMUST00000070758.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpz description:myelin protein zero [Source:MGI Symbol;Acc:MGI:103177] MAPGAPSSSPSPILAALLFSSLVLSPALAIVVYTDREIYGAVGSQVTLHCSFWSSEWVSD DISFTWRYQPEGGRDAISIFHYAKGQPYIDEVGTFKERIQWVGDPRWKDGSIVIHNLDYS DNGTFTCDVKNPPDIVGKTSQVTLYVFEKVPTRYGVVLGAVIGGILGVVLLLLLLFYLIR YCWLRRQAALQRRLSAMEKGRFHKSSKDSSKRGRQTPVLYAMLDHSRSTKAASEKKSKGL GESRKDKK >ENSMUSP00000106966.1 pep:known chromosome:GRCm38:1:171155515:171160507:1 gene:ENSMUSG00000056569.10 transcript:ENSMUST00000111334.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpz description:myelin protein zero [Source:MGI Symbol;Acc:MGI:103177] MAPGAPSSSPSPILAALLFSSLVLSPALAIVVYTDREIYGAVGSQVTLHCSFWSSEWVSD DISFTWRYQPEGGRDAISIFHYAKGQPYIDEVGTFKERIQWVGDPRWKDGSIVIHNLDYS DNGTFTCDVKNPPDIVGKTSQVTLYVFEKVPTRYGVVLGAVIGGILGVVLLLLLLFYLIR YCWLRRQAALQRRLSAMEKGRFHKSSKDSSKRGRQTPVLYAMLDHSRSTKAASEKKSKGL GESRKDKK >ENSMUSP00000058686.7 pep:known chromosome:GRCm38:17:36458917:36462334:-1 gene:ENSMUSG00000048231.14 transcript:ENSMUST00000057502.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M10.4 description:histocompatibility 2, M region locus 10.4 [Source:MGI Symbol;Acc:MGI:1276527] MRNPGHFAILCLLLVTIDRPQYCEGSHWLKTFRIVIMEPGILEPRFIQVSYVDSIQYQGF DSRSETAGMQPRAAWMKQEPPEYWKNETEHAMGASLLARRTLIYMVTENNNKKNDYHTLQ EVFGCNVAHDGSFLGGHYGLTYYGYDYIILNEDLNSWTTEGKVGGKFNPDRTQGSVTEGW RTYLKGECTERFLRCLDLGKETLLRSDAPRTHVTHKVTPEGNVTLRCWALGFYPADITLT WKRDGKNHTQDMELPDTRPAGDGTFQKWAAVVVPFGEELRYTCHVHHEGLPGPLTLKWGP PQTIPIIAILIGLVLVALVVGTVVIFLVWRK >ENSMUSP00000130832.1 pep:known chromosome:GRCm38:17:36460164:36462329:-1 gene:ENSMUSG00000048231.14 transcript:ENSMUST00000169950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M10.4 description:histocompatibility 2, M region locus 10.4 [Source:MGI Symbol;Acc:MGI:1276527] MRNPGHFAILCLLLVTIDRPQYCEGSHWLKTFRIVIMEPGILEPRFIQVSYVDSIQYQGF DSRSETAGMQPRAAWMKQEPPEYWKNETEHAMGASLLARRTLIYMVTENNNKKNDYHTLQ EVFGCNVAHDGSFLGGHYGLTYYGYDYIILNEDLNSWTTEGKVGGKFNPDRTQGSVTEGW RTYLKGECTERFLRCLDLGKETLLRSDAPRTHVTHKVTPEGNVTLRCWALGFYPADITLT WKRDGKNHTQDMELPDTRPAGDGTFQKWAAVVVPFGEELRYTCHVHHEGLPGPLTLKWGP PQTIPIIAILIGLVLVALVVGTVVIFLVWRK >ENSMUSP00000117955.3 pep:known chromosome:GRCm38:2:65620771:65690175:1 gene:ENSMUSG00000075318.12 transcript:ENSMUST00000144254.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn2a1 description:sodium channel, voltage-gated, type II, alpha 1 [Source:MGI Symbol;Acc:MGI:98248] MAQSVLVPPGPDSFRFFTRESLAAIEQRIAEEKAKRPKQERKDEDDENGPKPNSDLEAGK SLPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAISRFSATSALYILTPFNPIRK LAIKILVHSLFNVLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFC LEDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGA LIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPDNSTFEINITSFFNNSL DWNGTAFNRTMNMFNWDEYIEDKSHFYFLEGQNDALLCGNSSDAGQCPEGYICVKAGRNP NYGYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLI LAVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAAAAAASAESRDFSGAGGI GVFSESSSVASKLSSKSEKELKNR >ENSMUSP00000028377.7 pep:known chromosome:GRCm38:2:65620771:65767447:1 gene:ENSMUSG00000075318.12 transcript:ENSMUST00000028377.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn2a1 description:sodium channel, voltage-gated, type II, alpha 1 [Source:MGI Symbol;Acc:MGI:98248] MAQSVLVPPGPDSFRFFTRESLAAIEQRIAEEKAKRPKQERKDEDDENGPKPNSDLEAGK SLPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAISRFSATSALYILTPFNPIRK LAIKILVHSLFNVLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFC LEDFTFLRDPWNWLDFTVITFAYVTEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGA LIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPDNSTFEINITSFFNNSL DWNGTAFNRTMNMFNWDEYIEDKSHFYFLEGQNDALLCGNSSDAGQCPEGYICVKAGRNP NYGYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLI LAVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAAAAAASAESRDFSGAGGI GVFSESSSVASKLSSKSEKELKNRRKKKKQKEQAGEEEKEDAVRKSASEDSIRKKGFRFS LEGSRLTYEKRFSSPHQSLLSIRGSLFSPRRNSRASLFSFKGRVKDIGSENDFADDEHST FEDNDSRRDSLFVPHRHGERRPSNVSQASRASRGIPTLPMNGKMHSAVDCNGVVSLVGGP SALTSPVGQLLPEGTTTETEIRKRRSSSYHVSMDLLEDPTSRQRAMSMASILTNTMEELE ESRQKCPPCWYKFANMCLIWDCCKPWLKVKHVVNLVVMDPFVDLAITICIVLNTLFMAME HYPMTEQFSSVLSVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVSLSLMELG LANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVG MQLFGKSYKECVCKISNDCELPRWHMHDFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMC LTVFMMVMVIGNLVVLNLFLALLLSSFSSDNLAATDDDNEMNNLQIAVGRMQKGIDFVKR KIREFIQKAFVRKQKALDEIKPLEDLNNKKDSCISNHTTIEIGKDLNYLKDGNGTTSGIG SSVEKYVVDESDYMSFINNPSLTVTVPIAVGESDFENLNTEEFSSESDMEESKEKLNATS SSEGSTVDIGAPAEGEQPEAEPEESLEPEACFTEDCVRKFKCCQISIEEGKGKLWWNLRK TCYKIVEHNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFTYIFILEMLL KWVAYGFQMYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSR FEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINYTTGEMFDVSV VNNYSECQALIESNQTARWKNVKVNFDNVGLGYLSLLQVATFKGWMDIMYAAVDSRNVEL QPKYEDNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYN AMKKLGSKKPQKPIPRPANKFQGMVFDFVTKQVFDISIMILICLNMVTMMVETDDQSQEM TNILYWINLVFIVLFTGECVLKLISLRHYYFTIGWNIFDFVVVILSIVGMFLAELIEKYF VSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGM SNFAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSGPPDCDPEKDHPG SSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFY EVWEKFDPDATQFIEFCKLSDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILF AFTKRVLGESGEMDALRIQMEERFMASNPSKVSYEPITTTLKRKQEEVSAIVIQRAYRRY LLKQKVKKVSSIYKKDKGKEDEGTPIKEDIITDKLNENSTPEKTDVTPSTTSPPSYDSVT KPEKEKFEKDKSEKEDKGKDIRESKK >ENSMUSP00000143882.1 pep:known chromosome:GRCm38:2:65668765:65765055:1 gene:ENSMUSG00000075318.12 transcript:ENSMUST00000200829.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn2a1 description:sodium channel, voltage-gated, type II, alpha 1 [Source:MGI Symbol;Acc:MGI:98248] MAQSVLVPPGPDSFRFFTRESLAAIEQRIAEEKAKRPKQERKDEDDENGPKPNSDLEAGK SLPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAISRFSATSALYILTPFNPIRK LAIKILVHSLFNVLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFC LEDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGA LIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPDNSTFEINITSFFNNSL DWNGTAFNRTMNMFNWDEYIEDKSHFYFLEGQNDALLCGNSSDAGQCPEGYICVKAGRNP NYGYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLI LAVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAAAAAASAESRDFSGAGGI GVFSESSSVASKLSSKSEKELKNRRKKKKQKEQAGEEEKEDAVRKSASEDSIRKKGFRFS LEGSRLTYEKRFSSPHQSLLSIRGSLFSPRRNSRASLFSFKGRVKDIGSENDFADDEHST FEDNDSRRDSLFVPHRHGERRPSNVSQASRASRGIPTLPMNGKMHSAVDCNGVVSLVGGP SALTSPVGQLLPEGTTTETEIRKRRSSSYHVSMDLLEDPTSRQRAMSMASILTNTMEELE ESRQKCPPCWYKFANMCLIWDCCKPWLKVKHVVNLVVMDPFVDLAITICIVLNTLFMAME HYPMTEQFSSVLSVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVSLSLMELG LANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVG MQLFGKSYKECVCKISNDCELPRWHMHDFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMC LTVFMMVMVIGNLVVLNLFLALLLSSFSSDNLAATDDDNEMNNLQIAVGRMQKGIDFVKR KIREFIQKAFVRKQKALDEIKPLEDLNNKKDSCISNHTTIEIGKDLNYLKDGNGTTSGIG SSVEKYVVDESDYMSFINNPSLTVTVPIAVGESDFENLNTEEFSSESDMEESKEKLNATS SSEGSTVDIGAPAEGEQPEAEPEESLEPEACFTEDCVRKFKCCQISIEEGKGKLWWNLRK TCYKIVEHNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFTYIFILEMLL KWVAYGFQMYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSR FEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINYTTGEMFDVSV VNNYSECQALIESNQTARWKNVKVNFDNVGLGYLSLLQVATFKGWMDIMYAAVDSRNVEL QPKYEDNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYN AMKKLGSKKPQKPIPRPANKFQGMVFDFVTKQVFDISIMILICLNMVTMMVETDDQSQEM TNILYWINLVFIVLFTGECVLKLISLRHYYFTIGWNIFDFVVVILSIVGMFLAELIEKYF VSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGM SNFAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSGPPDCDPEKDHPG SSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFY EVWEKFDPDATQFIEFCKLSDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILF AFTKRVLGESGEMDALRIQMEERFMASNPSKVSYEPITTTLKRKQEEVSAIVIQRAYRRY LLKQKVKKVSSIYKKDKGKEDEGTPIKEDIITDKLNENSTPEKTDVTPSTTSPPSYDSVT KPEKEKFEKDKSEKEDKGKDIRESKK >ENSMUSP00000143958.1 pep:known chromosome:GRCm38:2:65717553:65728441:1 gene:ENSMUSG00000075318.12 transcript:ENSMUST00000202508.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scn2a1 description:sodium channel, voltage-gated, type II, alpha 1 [Source:MGI Symbol;Acc:MGI:98248] FVRKQKALDEIKPLEDLNNKKDSCISNHTTIEIGKDLNYLKDGNGTTSGIGSSVEKYVVD ESDYMSFINNPSLTVTVPIAVGESDFENLNTEEFSSESDMEESKEG >ENSMUSP00000143888.1 pep:known chromosome:GRCm38:2:65717576:65728392:1 gene:ENSMUSG00000075318.12 transcript:ENSMUST00000202162.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scn2a1 description:sodium channel, voltage-gated, type II, alpha 1 [Source:MGI Symbol;Acc:MGI:98248] XDEIKPLEDLNNKKDSCISNHTTIEIGKDLNYLKDGNGTTSGIGSSVEKYVVDESDYMSF INNPSLTVTVPIAVGESDFENLNTEEFSSESDMEESKEG >ENSMUSP00000097645.3 pep:known chromosome:GRCm38:2:65670445:65767447:1 gene:ENSMUSG00000075318.12 transcript:ENSMUST00000100067.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn2a1 description:sodium channel, voltage-gated, type II, alpha 1 [Source:MGI Symbol;Acc:MGI:98248] MAQSVLVPPGPDSFRFFTRESLAAIEQRIAEEKAKRPKQERKDEDDENGPKPNSDLEAGK SLPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAISRFSATSALYILTPFNPIRK LAIKILVHSLFNVLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFC LEDFTFLRDPWNWLDFTVITFAYVTEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGA LIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCLQWPPDNSTFEINITSFFNNSL DWNGTAFNRTMNMFNWDEYIEDKSHFYFLEGQNDALLCGNSSDAGQCPEGYICVKAGRNP NYGYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLI LAVVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEEAQAAAAAASAESRDFSGAGGI GVFSESSSVASKLSSKSEKELKNRRKKKKQKEQAGEEEKEDAVRKSASEDSIRKKGFRFS LEGSRLTYEKRFSSPHQSLLSIRGSLFSPRRNSRASLFSFKGRVKDIGSENDFADDEHST FEDNDSRRDSLFVPHRHGERRPSNVSQASRASRGIPTLPMNGKMHSAVDCNGVVSLVGGP SALTSPVGQLLPEGTTTETEIRKRRSSSYHVSMDLLEDPTSRQRAMSMASILTNTMEELE ESRQKCPPCWYKFANMCLIWDCCKPWLKVKHVVNLVVMDPFVDLAITICIVLNTLFMAME HYPMTEQFSSVLSVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVSLSLMELG LANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVG MQLFGKSYKECVCKISNDCELPRWHMHDFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMC LTVFMMVMVIGNLVVLNLFLALLLSSFSSDNLAATDDDNEMNNLQIAVGRMQKGIDFVKR KIREFIQKAFVRKQKALDEIKPLEDLNNKKDSCISNHTTIEIGKDLNYLKDGNGTTSGIG SSVEKYVVDESDYMSFINNPSLTVTVPIAVGESDFENLNTEEFSSESDMEESKEKLNATS SSEGSTVDIGAPAEGEQPEAEPEESLEPEACFTEDCVRKFKCCQISIEEGKGKLWWNLRK TCYKIVEHNWFETFIVFMILLSSGALAFEDIYIEQRKTIKTMLEYADKVFTYIFILEMLL KWVAYGFQMYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSR FEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCINYTTGEMFDVSV VNNYSECQALIESNQTARWKNVKVNFDNVGLGYLSLLQVATFKGWMDIMYAAVDSRNVEL QPKYEDNLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYN AMKKLGSKKPQKPIPRPANKFQGMVFDFVTKQVFDISIMILICLNMVTMMVETDDQSQEM TNILYWINLVFIVLFTGECVLKLISLRHYYFTIGWNIFDFVVVILSIVGMFLAELIEKYF VSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGM SNFAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSGPPDCDPEKDHPG SSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFY EVWEKFDPDATQFIEFCKLSDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILF AFTKRVLGESGEMDALRIQMEERFMASNPSKVSYEPITTTLKRKQEEVSAIVIQRAYRRY LLKQKVKKVSSIYKKDKGKEDEGTPIKEDIITDKLNENSTPEKTDVTPSTTSPPSYDSVT KPEKEKFEKDKSEKEDKGKDIRESKK >ENSMUSP00000028511.7 pep:known chromosome:GRCm38:2:74825803:74878431:1 gene:ENSMUSG00000027099.9 transcript:ENSMUST00000028511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtx2 description:metaxin 2 [Source:MGI Symbol;Acc:MGI:1859652] MSLVAEAFVSQIAATEPWPENATLYQQLRGEQILLSDNAASLAVQAFLQMCNLPVKVVCR ANAEYMSPSGKVPFIHVGNQVVSELGPIVQFVKAKGHSLSDGLDEVQKAEMKAYMELVNN MLLTAELYLQWCDEATVGEITIARYGSPYPWPLNHILAYQKQWEVKRKMKAIGWGNKTLD QVLEDVDQCCQALSQRLGTQPYFFNKQPTELDALVFGHLYTILTTQLTSDELSEKVKNYS NLLAFCRRIEQHYFEDWGKGRLS >ENSMUSP00000124251.1 pep:known chromosome:GRCm38:1:134385940:134390981:1 gene:ENSMUSG00000050526.5 transcript:ENSMUST00000162187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933406M09Rik description:RIKEN cDNA 4933406M09 gene [Source:MGI Symbol;Acc:MGI:3045320] MPKTAPGAMRGCLWMCVRVLASLVILGFFLQDNIAAAHVKQQHNTLLSEKKKMIQQIAQE EISSKIKNHLKYFTEMQESSHVLQHVTYTVLAGASYQPKRMLTVGIFSVPHSHRTHLLDT MTSLFQASSEQDLEYMLVLVLLSDTDPTWLNQTVANISGLFMPHIDSGRLLVVHGRLGGS RAKSRNDTSPCGKLYSRQKTSLALLMNFALNLSEYFLLLGDNVKTAPKFLANVFWALPAW KQLPWVSLDFSSMPLSGKVFHTEDLSRFVSFLLLFPKDIPTHLLLSEFRLLLSQNVPIRL SPSVFYRMDRDSEFEDTCFPAKRDKDLGRPDNPAGTVFTDMISFWNTNPQFAYFLNDDYF WALDPLEGNYLLVVLDKPQKVIKVAVETGSTKNRLNLLKHGQLLLGYSPMEYPQRCAHYN LVGPLVRGQLEQMVFYEEDAVMEISCIKLLVTSSHDYPVRIMQIKVWTKVEDEESWRLL >ENSMUSP00000101127.1 pep:known chromosome:GRCm38:10:49099460:49788766:-1 gene:ENSMUSG00000056073.14 transcript:ENSMUST00000105487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik2 description:glutamate receptor, ionotropic, kainate 2 (beta 2) [Source:MGI Symbol;Acc:MGI:95815] MKIISPVLSNLVFSRSIKVLLCLLWIGYSQGTTHVLRFGGIFEYVESGPMGAEELAFRFA VNTINRNRTLLPNTTLTYDTQKINLYDSFEASKKACDQLSLGVAAIFGPSHSSSANAVQS ICNALGVPHIQTRWKHQVSDNKDSFYVSLYPDFSSLSRAILDLVQFFKWKTVTVVYDDST GLIRLQELIKAPSRYNLRLKIRQLPADTKDAKPLLKEMKRGKEFHVIFDCSHEMAAGILK QALAMGMMTEYYHYIFTTLDLFALDVEPYRYSGVNMTGFRILNTENTQVSSIIEKWSMER LQAPPKPDSGLLDGFMTTDAALMYDAVHVVSVAVQQFPQMTVSSLQCNRHKPWRFGTRFM SLIKEAHWEGLTGRITFNKTNGLRTDFDLDVISLKEEGLEKIGTWDPSSGLNMTESQKGK PANITDSLSNRSLIVTTILEEPYVLFKKSDKPLYGNDRFEGYCIDLLRELSTILGFTYEI RLVEDGKYGAQDDVNGQWNGMVRELIDHKADLAVAPLAITYVREKVIDFSKPFMTLGISI LYRKPNGTNPGVFSFLNPLSPDIWMYILLAYLGVSCVLFVIARFSPYEWYNPHPCNPDSD VVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLT VERMESPIDSADDLAKQTKIEYGAVEDGATMTFFKKSKISTYDKMWAFMSSRRQSVLVKS NEEGIQRVLTSDYAFLMESTTIEFVTQRNCNLTQIGGLIDSKGYGVGTPMGSPYRDKITI AILQLQEEGKLHMMKEKWWRGNGCPEEESKEASALGVQNIGGIFIVLAAGLVLSVFVAVG EFLYKSKKNAQLEKRSFCSAMVEELRMSLKCQRRLKHKPQAPVIVKTEEVINMHTFNDRR LPGKETMA >ENSMUSP00000101123.1 pep:known chromosome:GRCm38:10:49495438:49788754:-1 gene:ENSMUSG00000056073.14 transcript:ENSMUST00000105483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik2 description:glutamate receptor, ionotropic, kainate 2 (beta 2) [Source:MGI Symbol;Acc:MGI:95815] MKIISPVLSNLVFSRSIKVLLCLLWIGYSQGTTHVLRFGGIFEYVESGPMGAEELAFRFA VNTINRNRTLLPNTTLTYDTQKINLYDSFEASKKACDQLSLGVAAIFGPSHSSSANAVQS ICNALGVPHIQTRWKHQVSDNKDSFYVSLYPDFSSLSRAILDLVQFFKWKTVTVVYDDST GLIRLQELIKAPSRYNLRLKIRQLPADTKDAKPLLKEMKRGKEFHVIFDCSHEMAAGILK QALAMGMMTEYYHYIFTTLDLFALDVEPYRYSGVNMTGFRILNTENTQVSSIIEKWSMER LQAPPKPDSGLLDGFMTDRLKQPNNCFPKEPEETISNR >ENSMUSP00000101125.1 pep:known chromosome:GRCm38:10:49100989:49783409:-1 gene:ENSMUSG00000056073.14 transcript:ENSMUST00000105485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik2 description:glutamate receptor, ionotropic, kainate 2 (beta 2) [Source:MGI Symbol;Acc:MGI:95815] MKIISPVLSNLVFSRSIKVLLCLLWIGYSQGTTHVLRFGGIFEYVESGPMGAEELAFRFA VNTINRNRTLLPNTTLTYDTQKINLYDSFEASKKACDQLSLGVAAIFGPSHSSSANAVQS ICNALGVPHIQTRWKHQVSDNKDSFYVSLYPDFSSLSRAILDLVQFFKWKTVTVVYDDST GLIRLQELIKAPSRYNLRLKIRQLPADTKDAKPLLKEMKRGKEFHVIFDCSHEMAAGILK QALAMGMMTEYYHYIFTTLDLFALDVEPYRYSGVNMTGFRILNTENTQVSSIIEKWSMER LQAPPKPDSGLLDGFMTTDAALMYDAVHVVSVAVQQFPQMTVSSLQCNRHKPWRFGTRFM SLIKEAHWEGLTGRITFNKTNGLRTDFDLDVISLKEEGLEKIGTWDPSSGLNMTESQKGK PANITDSLSNRSLIVTTILEEPYVLFKKSDKPLYGNDRFEGYCIDLLRELSTILGFTYEI RLVEDGKYGAQDDVNGQWNGMVRELIDHKADLAVAPLAITYVREKVIDFSKPFMTLGISI LYRKPNGTNPGVFSFLNPLSPDIWMYILLAYLGVSCVLFVIARFSPYEWYNPHPCNPDSD VVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLT VERMESPIDSADDLAKQTKIEYGAVEDGATMTFFKKSKISTYDKMWAFMSSRRQSVLVKS NEEGIQRVLTSDYAFLMESTTIEFVTQRNCNLTQIGGLIDSKGYGVGTPMGSPYRDKITI AILQLQEEGKLHMMKEKWWRGNGCPEEESKEASALGVQNIGGIFIVLAAGLVLSVFVAVG EFLYKSKKNAQLEKESSIWLVPPYHPDTV >ENSMUSP00000101126.1 pep:known chromosome:GRCm38:10:49100727:49783259:-1 gene:ENSMUSG00000056073.14 transcript:ENSMUST00000105486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik2 description:glutamate receptor, ionotropic, kainate 2 (beta 2) [Source:MGI Symbol;Acc:MGI:95815] MKIISPVLSNLVFSRSIKVLLCLLWIGYSQGTTHVLRFGGIFEYVESGPMGAEELAFRFA VNTINRNRTLLPNTTLTYDTQKINLYDSFEASKKACDQLSLGVAAIFGPSHSSSANAVQS ICNALGVPHIQTRWKHQVSDNKDSFYVSLYPDFSSLSRAILDLVQFFKWKTVTVVYDDST GLIRLQELIKAPSRYNLRLKIRQLPADTKDAKPLLKEMKRGKEFHVIFDCSHEMAAGILK QALAMGMMTEYYHYIFTTLDLFALDVEPYRYSGVNMTGFRILNTENTQVSSIIEKWSMER LQAPPKPDSGLLDGFMTTDAALMYDAVHVVSVAVQQFPQMTVSSLQCNRHKPWRFGTRFM SLIKEAHWEGLTGRITFNKTNGLRTDFDLDVISLKEEGLEKIGTWDPSSGLNMTESQKGK PANITDSLSNRSLIVTTILEEPYVLFKKSDKPLYGNDRFEGYCIDLLRELSTILGFTYEI RLVEDGKYGAQDDVNGQWNGMVRELIDHKADLAVAPLAITYVREKVIDFSKPFMTLGISI LYRKPNGTNPGVFSFLNPLSPDIWMYILLAYLGVSCVLFVIARFSPYEWYNPHPCNPDSD VVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLT VERMESPIDSADDLAKQTKIEYGAVEDGATMTFFKKSKISTYDKMWAFMSSRRQSVLVKS NEEGIQRVLTSDYAFLMESTTIEFVTQRNCNLTQIGGLIDSKGYGVGTPMGSPYRDKITI AILQLQEEGKLHMMKEKWWRGNGCPEEESKEASALGVQNIGGIFIVLAAGLVLSVFVAVG EFLYKSKKNAQLEKKVKTILPQDNVFLPLLESDFSISTVLSSSPSSSSLSSCC >ENSMUSP00000078687.2 pep:known chromosome:GRCm38:10:49105018:49783259:-1 gene:ENSMUSG00000056073.14 transcript:ENSMUST00000079751.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik2 description:glutamate receptor, ionotropic, kainate 2 (beta 2) [Source:MGI Symbol;Acc:MGI:95815] MKIISPVLSNLVFSRSIKVLLCLLWIGYSQGTTHVLRFGGIFEYVESGPMGAEELAFRFA VNTINRNRTLLPNTTLTYDTQKINLYDSFEASKKACDQLSLGVAAIFGPSHSSSANAVQS ICNALGVPHIQTRWKHQVSDNKDSFYVSLYPDFSSLSRAILDLVQFFKWKTVTVVYDDST GLIRLQELIKAPSRYNLRLKIRQLPADTKDAKPLLKEMKRGKEFHVIFDCSHEMAAGILK QALAMGMMTEYYHYIFTTLDLFALDVEPYRYSGVNMTGFRILNTENTQVSSIIEKWSMER LQAPPKPDSGLLDGFMTTDAALMYDAVHVVSVAVQQFPQMTVSSLQCNRHKPWRFGTRFM SLIKEAHWEGLTGRITFNKTNGLRTDFDLDVISLKEEGLEKIGTWDPSSGLNMTESQKGK PANITDSLSNRSLIVTTILEEPYVLFKKSDKPLYGNDRFEGYCIDLLRELSTILGFTYEI RLVEDGKYGAQDDVNGQWNGMVRELIDHKADLAVAPLAITYVREKVIDFSKPFMTLGISI LYRKPNGTNPGVFSFLNPLSPDIWMYILLAYLGVSCVLFVIARFSPYEWYNPHPCNPDSD VVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLT VERMESPIDSADDLAKQTKIEYGAVEDGATMTFFKKSKISTYDKMWAFMSSRRQSVLVKS NEEGIQRVLTSDYAFLMESTTIEFVTQRNCNLTQIGGLIDSKGYGVGTPMGSPYRDKITI AILQLQEEGKLHMMKEKWWRGNGCPEEESKEASALGVQNIGGIFIVLAAGLVLSVFVAVG EFLYKSKKNAQLEKESSIWLVPPYHPDTV >ENSMUSP00000101124.3 pep:known chromosome:GRCm38:10:49099843:49783259:-1 gene:ENSMUSG00000056073.14 transcript:ENSMUST00000105484.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik2 description:glutamate receptor, ionotropic, kainate 2 (beta 2) [Source:MGI Symbol;Acc:MGI:95815] MKIISPVLSNLVFSRSIKVLLCLLWIGYSQGTTHVLRFGGIFEYVESGPMGAEELAFRFA VNTINRNRTLLPNTTLTYDTQKINLYDSFEASKKACDQLSLGVAAIFGPSHSSSANAVQS ICNALGVPHIQTRWKHQVSDNKDSFYVSLYPDFSSLSRAILDLVQFFKWKTVTVVYDDST GLIRLQELIKAPSRYNLRLKIRQLPADTKDAKPLLKEMKRGKEFHVIFDCSHEMAAGILK QALAMGMMTEYYHYIFTTLDLFALDVEPYRYSGVNMTGFRILNTENTQVSSIIEKWSMER LQAPPKPDSGLLDGFMTTDAALMYDAVHVVSVAVQQFPQMTVSSLQCNRHKPWRFGTRFM SLIKEAHWEGLTGRITFNKTNGLRTDFDLDVISLKEEGLEKIGTWDPSSGLNMTESQKGK PANITDSLSNRSLIVTTILEEPYVLFKKSDKPLYGNDRFEGYCIDLLRELSTILGFTYEI RLVEDGKYGAQDDVNGQWNGMVRELIDHKADLAVAPLAITYVREKVIDFSKPFMTLGISI LYRKPNGTNPGVFSFLNPLSPDIWMYILLAYLGVSCVLFVIARFSPYEWYNPHPCNPDSD VVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLT VERMESPIDSADDLAKQTKIEYGAVEDGATMTFFKKSKISTYDKMWAFMSSRRQSVLVKS NEEGIQRVLTSDYAFLMESTTIEFVTQRNCNLTQIGGLIDSKGYGVGTPMGSPYRDKITI AILQLQEEGKLHMMKEKWWRGNGCPEEESKEASALGVQNIGGIFIVLAAGLVLSVFVAVG EFLYKSKKNAQLEKRSFCSAMVEELRMSLKCQRRLKHKPQAPVIVKTEEVINMHTFNDRR LPGKETMA >ENSMUSP00000142746.1 pep:known chromosome:GRCm38:3:102010076:102014717:1 gene:ENSMUSG00000048540.8 transcript:ENSMUST00000196324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhlh2 description:nescient helix loop helix 2 [Source:MGI Symbol;Acc:MGI:97324] MMLSPDQAADSDHPSSTHSDPESLGGADTKVLGSVSDLEPVEEADGDGKGGSRAALYPHP QQLSREEKRRRRRATAKYRSAHATRERIRVEAFNLAFAELRKLLPTLPPDKKLSKIEILR LAICYISYLNHVLDV >ENSMUSP00000064355.4 pep:known chromosome:GRCm38:3:102010146:102015492:1 gene:ENSMUSG00000048540.8 transcript:ENSMUST00000066187.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhlh2 description:nescient helix loop helix 2 [Source:MGI Symbol;Acc:MGI:97324] MMLSPDQAADSDHPSSTHSDPESLGGADTKVLGSVSDLEPVEEADGDGKGGSRAALYPHP QQLSREEKRRRRRATAKYRSAHATRERIRVEAFNLAFAELRKLLPTLPPDKKLSKIEILR LAICYISYLNHVLDV >ENSMUSP00000143362.1 pep:known chromosome:GRCm38:3:102010155:102014506:1 gene:ENSMUSG00000048540.8 transcript:ENSMUST00000198675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhlh2 description:nescient helix loop helix 2 [Source:MGI Symbol;Acc:MGI:97324] MMLSPDQAADSDHPSSTHSDPESLGGADTKVLGSVSDLEPVEEADGDGKGGSRAALYPHP QQLSREEKRRRRRATAKYRSAHATRERIRVEAFNLAFAELRKLLPTLPPDKKLSKIEILR LAICYISYLNHVLDV >ENSMUSP00000118603.1 pep:known chromosome:GRCm38:1:66719248:66801210:-1 gene:ENSMUSG00000026004.15 transcript:ENSMUST00000129190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl1l description:KAT8 regulatory NSL complex subunit 1-like [Source:MGI Symbol;Acc:MGI:1915941] XNNGFSQCTAAEIQRFALSATGLLSHVEEGLDSDATDSSSDDELDEYTIRKNVAVNSSTE WKWLVDRAQVGSRWTWLQAQISELEYKIQQLTDIHRQIRASKVPQRSEEPLPEHDFEMSP SSPTLLLRNIEKQSAQLTEIINSLIAPLNLSPTSSPLSSKSCSHKCLANGISRSASENLD ELSSSSSWLLNQKHSKKRRKDRTRLKSPSLAIMSTAARTRPLQSFHKRKLYRLSPTFYWT PETLPSKEAFLSSTQTPYTGSPFSWDNWEQSSRSHLLREQVSKLDSSFHPVLSLPSEIPL HLHFETLFKKTDMKGELAENQFVGDCLISPPPVQGTSSLNQWRNGYSPICKPQIRSQPSV QLLQGRKKRHLSETALAGERTRFEEFAFQRSEPGSHCNFTAVSNANVTSRTQNPSSQNTS RRRLRSESSYDIDNIVIPMSLVAPAKLEKLQYKEILTPRWRKVVLQPLDEHNLNKEEIED LSDDVFSLRHRKYEEREQARWSLWEQSKWHRRNNRAYSKNVEGQDLVLKEHSSELGSAQQ GTAESPFELPAESHSLCAQDSLSLNDGQEDKSLRWERRAFPLKDEDTAALLCQDERKDQT GGTSTAFHDEVFCSTTPESGHPPKMQLDGMEEYKSFGIGVTNVKRNR >ENSMUSP00000063843.3 pep:known chromosome:GRCm38:1:66719363:66817546:-1 gene:ENSMUSG00000026004.15 transcript:ENSMUST00000068168.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl1l description:KAT8 regulatory NSL complex subunit 1-like [Source:MGI Symbol;Acc:MGI:1915941] MTPALKEATTKGICFSSLPNTMESDKMLCMESPRTVDEKLKGGDTFSQMLGFPTPEPTLN TNFVNLKHFASPQASKHFQTVLLMSSNSTLNKYNENYNQKKVMESNCSKLKNVLCNGSSI QLSKICPSHSENEFIKKELSDTTSQCMKDIQIVLDSNLTKDANVDRLHLQNCKWYQKNAL LDKFTDTKIKKGLLQCTQKKIGPSHSDVPTSSSAAEKEQEVNARLLHCVSKQKILLSQAR RTQKHLQMLLAKHVVKHYGQQMKFSMKHQLPTMKIFHEPTTVLSNSLLEHTEIKPEVNIL ASENKFWDDTNNGFSQCTAAEIQRFALSATGLLSHVEEGLDSDATDSSSDDELDEYTIRK NVAVNSSTEWKWLVDRAQVGSRWTWLQAQISELEYKIQQLTDIHRQIRASKGIVILEECQ LPKDILKKQIQFSNQAVSLNTSVNSQVPQRSEEPLPEHDFEMSPSSPTLLLRNIEKQSAQ LTEIINSLIAPLNLSPTSSPLSSKSCSHKCLANGISRSASENLDELSSSSSWLLNQKHSK KRRKDRTRLKSPSLAIMSTAARTRPLQSFHKRKLYRLSPTFYWTPETLPSKEAFLSSTQT PYTGSPFSWDNWEQSSRSHLLREQVSKLDSSFHPVLSLPSEIPLHLHFETLFKKTDMKGE LAENQFVGDCLISPPPAGERTRFEEFAFQRSEPGSHCNFTAVSNANVTSRTQNPSSQNTS RRRLRSESSYDIDNIVIPMSLVAPAKLEKLQYKEILTPRWRKVVLQPLDEHNLNKEEIED LSDDVFSLRHRKYEEREQARWSLWEQSKWHRRNNRAYSKNVEGQDLVLKEHSSELGSAQQ GTAESPFELPAESHSLCAQDSLSLNDGQEDKSLRWERRAFPLKDEDTAALLCQDERKDQT GGTSTAFHDEVFCSTTPESGHPPKMQLDGMEEYKSFGIGVTNVKRNR >ENSMUSP00000109620.1 pep:known chromosome:GRCm38:1:66735542:66817562:-1 gene:ENSMUSG00000026004.15 transcript:ENSMUST00000113987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl1l description:KAT8 regulatory NSL complex subunit 1-like [Source:MGI Symbol;Acc:MGI:1915941] MTPALKEATTKGICFSSLPNTMESDKMLCMESPRTVDEKLKGGDTFSQMLGFPTPEPTLN TNFVNLKHFASPQASKHFQTVLLMSSNSTLNKYNENYNQKKVMESNCSKLKNVLCNGSSI QLSKICPSHSENEFIKKELSDTTSQCMKDIQIVLDSNLTKDANVDRLHLQNCKWYQKNAL LDKFTDTKIKKGLLQCTQKKIGPSHSDVPTSSSAAEKEQEVNARLLHCVSKQKILLSQAR RTQKHLQMLLAKHVVKHYGQQMKFSMKHQLPTMKIFHEPTTVLSNSLLEHTEIKPEVNIL ASENKFWDDTNNGFSQCTAAEIQRFALSATGLLSHVEEGLDSDATDSSSDDELDEYTIRK NVAVNSSTEWKWLVDRAQVGSRWTWLQAQISELEYKIQQLTDIHRQIRASKGIVILEECQ LPKDILKKQIQFSNQAVSLNTSVNSQVPQRSEEPLPEHDFEMSPSSPTLLLRNIEKQSAQ LTEIINSLIAPLNLSPTSSPLSSKSCSHKCLANGISRSASENLDELSSSSSWLLNQKHSK KRRKDRTRLKSPSLAIMSTAARTRPLQSFHKRKLYRLSPTFYWTPETLPSKEAFLSSTQT PYTGSPFSWDNWEQSSRSHLLREQVSKLDSSFHPVLSLPSEIPLHLHFETLFKKTDMKGE LAENQFVGDCLISPPPGMSHSHTVCACTCFYIVYIHFFTF >ENSMUSP00000103653.2 pep:known chromosome:GRCm38:4:42154040:42158835:1 gene:ENSMUSG00000073877.10 transcript:ENSMUST00000108018.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13306 description:predicted gene 13306 [Source:MGI Symbol;Acc:MGI:3713752] MWRRERSPMSPTSQRLSLEAPSLPLRSWHPWNKTKQKQEALPLPSSTSCCTQLYRQPLPS RLLRRIVHMELQEADGDCHLQAVVLHLARRSVCVHPQNRSLARWLERQGKRLQGTVPSLN LVLQKKMYSHPQQQN >ENSMUSP00000095726.2 pep:known chromosome:GRCm38:4:42158092:42158839:1 gene:ENSMUSG00000073877.10 transcript:ENSMUST00000098122.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13306 description:predicted gene 13306 [Source:MGI Symbol;Acc:MGI:3713752] MMEGLSPASSLPLLLLLLSPAPEAALPLPSSTSCCTQLYRQPLPSRLLRRIVHMELQEAD GDCHLQAVVLHLARRSVCVHPQNRSLARWLERQGKRLQGTVPSLNLVLQKKMYSHPQQQN >ENSMUSP00000027384.5 pep:known chromosome:GRCm38:1:71557150:71579631:1 gene:ENSMUSG00000026192.13 transcript:ENSMUST00000027384.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atic description:5-aminoimidazole-4-carboxamide ribonucleotide formyltransferase/IMP cyclohydrolase [Source:MGI Symbol;Acc:MGI:1351352] MAPSQLALFSVSDKTGLVEFARSLASLGLSLVASGGTAKAIRDAGLAVRDVSELTGFPEM LGGRVKTLHPAVHAGILARNIPEDAADMARLDFNLVRVVVCNLYPFVKTVASPDVTVEAA VEQIDIGGVTLLRAAAKNHARVTVVCEPEDYAGVAAEMHGSDSKDTSLETRRHLALKAFT HTAQYDEAISDYFRKQYSKGISQMPLRYGMNPHQTPAQLYTLKPKLPITVLNGAPGFINL CDALNAWQLVTELRGAVDIPAAASFKHVSPAGAAVGVPLSEDEARVCMVYDLYPTLTPLA VAYARARGADRMSSFGDFVALSDICDVPTAKIISREVSDGIVAPGYEEEALKILSKKKNG NYCVLQMDQSYKPDENEVRTLFGLRLSQKRNNGVVDKSLFSNIVTKNKDLPESALRDLIV ATVAVKYTQSNSVCYAKDGQVIGIGAGQQSRIHCTRLAGDKANSWWLRHHPRVLSMKFKA GVKRAEISNAIDQYVTGTIGEGEDLVKWEALFEEVPELLTEAEKKEWVDKLSGVSVSSDA FFPFRDNVDRAKRSGVAYIVAPSGSTADKVVIEACDELGIVLAHTDLRLFHH >ENSMUSP00000099916.3 pep:known chromosome:GRCm38:2:30889471:30903297:-1 gene:ENSMUSG00000050737.13 transcript:ENSMUST00000102852.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptges description:prostaglandin E synthase [Source:MGI Symbol;Acc:MGI:1927593] MPSPGLVMESGQVLPAFLLCSTLLVIKMYAVAVITGQMRLRKKAFANPEDALKRGGLQYY RSDPDVERCLRAHRNDMETIYPFLFLGFVYSFLGPNPLIAWIHFLVVLTGRVVHTVAYLG KLNPRLRSGAYVLAQFSCFSMALQILWEVAHHL >ENSMUSP00000106964.1 pep:known chromosome:GRCm38:1:171173262:171196268:-1 gene:ENSMUSG00000038370.6 transcript:ENSMUST00000111332.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcp4l1 description:Purkinje cell protein 4-like 1 [Source:MGI Symbol;Acc:MGI:1913675] MSELNTKTPPAANQASDPEEKGKPGSIKKAEEEEEIDIDLTAPETEKAALAIQGKFRRFQ KRKKDSSS >ENSMUSP00000095725.3 pep:known chromosome:GRCm38:4:42158842:42168603:-1 gene:ENSMUSG00000073876.3 transcript:ENSMUST00000098121.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13305 description:predicted gene 13305 [Source:MGI Symbol;Acc:MGI:3801997] MSSSCSGLTRVLVAVATALVSSSSPCPQAWGPPGVQYGQPGRPVMLCCPGVSAGTPVSWF RDGDSRLLQGPDSGLGHRLVLAQVDSPDEGTYVCQTLDGVSGGMVTLKLGFPPARPEVSC QAVDYENFSCTWSPGQVSGLPTRYLTSYRKKTLPGAESQRESPSTGPWPCPQDPLEASRC VVHGAEFWSEYRINVTEVNPLGASTCLLDVRLQSILRPDPPQGLRVESVPGYPRRLHASW TYPASWRRQPHFLLKFRLQYRPAQHPAWSTVEPIGLEEVITDTVAGLPHAVRVSARDFLD AGTWSAWSPEAWGTPSTGLLQDEIPDWSQGHGQQLEAVVAQEDSLAPARPSLQPDPRPLD HRDPLEQVAVLASLGIFSCLGLAVGALALGLWLRLRRSGKEGPQKPGLLAPMIPVEKLPG IPNLQRTPENFS >ENSMUSP00000063276.6 pep:known chromosome:GRCm38:7:57407672:57510009:-1 gene:ENSMUSG00000055078.7 transcript:ENSMUST00000068456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra5 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 5 [Source:MGI Symbol;Acc:MGI:95617] MDNGMLSRFIMTQTLLVFCISMTLSSHFGFSQMPTSSVQDETNDNITIFTRILDGLLDGY DNRLRPGLGERITQVRTDIYVTSFGPVSDTEMEYTIDVFFRQSWKDERLRFKGPMQRLPL NNLLASKIWTPDTFFHNGKKSIAHNMTTPNKLLRLEDDGTLLYTMRLTISAECPMQLEDF PMDAHACPLKFGSYAYPNSEVVYVWTNGSTKSVVVAEDGSRLNQYHLMGQTVGTENISTS TGEYTIMTAHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTM TTLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGWAWDGKKALEAA KIKKKERELILNKSTNAFTTGKLTHPPNIPKEQPPAGTANAPTVSIKASEEKTAESKKTY NSISKIDKMSRIVFPILFGTFNLVYWATYLNREPVIKGATSPK >ENSMUSP00000146238.1 pep:known chromosome:GRCm38:7:57407719:57509371:-1 gene:ENSMUSG00000055078.7 transcript:ENSMUST00000206382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra5 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 5 [Source:MGI Symbol;Acc:MGI:95617] MDNGMLSRFIMTQTLLVFCISMTLSSHFGFSQMPTSSVQDETNDNITIFTRILDGLLDGY DNRLRPGLGERITQVRTDIYVTSFGPVSDTEMEYTIDVFFRQSWKDERLRFKGPMQRLPL NNLLASKIWTPDTFFHNGKKSIAHNMTTPNKLLRLEDDGTLLYTMRLTISAECPMQLEDF PMDAHACPLKFGSYAYPNSEVVYVWTNGSTKSVVVAEDGSRLNQYHLMGQTVGTENISTS TGEYTIMTAHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTM TTLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGWAWDGKKALEAA KIKKKERELILNKSTNAFTTGKLTHPPNIPKEQPPAGTANAPTVSIKASEEKTAESKKTY NSISKIDKMSRIVFPILFGTFNLVYWATYLNREPVIKGATSPK >ENSMUSP00000145685.1 pep:known chromosome:GRCm38:7:57407905:57510059:-1 gene:ENSMUSG00000055078.7 transcript:ENSMUST00000206734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra5 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 5 [Source:MGI Symbol;Acc:MGI:95617] MDNGMLSRFIMTQTLLVFCISMTLSSHFGFSQMPTSSVQDETNDNITIFTRILDGLLDGY DNRLRPGLGERITQVRTDIYVTSFGPVSDTEMEYTIDVFFRQSWKDERLRFKGPMQRLPL NNLLASKIWTPDTFFHNGKKSIAHNMTTPNKLLRLEDDGTLLYTMRLTISAECPMQLEDF PMDAHACPLKFGSYAYPNSEVVYVWTNGSTKSVVVAEDGSRLNQYHLMGQTVGTENISTS TGEYTIMTAHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTM TTLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRGWAWDGKKALEAA KIKKKERELILNKSTNAFTTGKLTHPPNIPKEQPPAGTANAPTVSIKASEEKTAESKKTY NSISKIDKMSRIVFPILFGTFNLVYWATYLNREPVIKGATSPK >ENSMUSP00000092440.3 pep:known chromosome:GRCm38:1:74902071:74935599:-1 gene:ENSMUSG00000047021.14 transcript:ENSMUST00000094844.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc108 description:coiled-coil domain containing 108 [Source:MGI Symbol;Acc:MGI:2444274] MLIQKNQCHITRTRENCDCTMNTVNEDLCLSASTLGSSSVTTQLVDPLDRKICLIRRQND VKKRVIWGIEVAEKLHWKGWELGKETTRTLVLKNLSLKTQKMKYRPPKTKFFFTIIPQPI FLSPGITLTLPIVFRPLEAKEYTDQLWFEKEEGVFCVTLKATLPCYKLDCPSSLQLPMCA LGDTVETWFCLNNVGDLPTFFTWEVPAPFQILPTTGLLEPGLGCKIKVTFEPLIAVIHEV EALCWYGKGNKQKNSINIQAAAKCAQLLVSIKHKGLEDQDQEGFQKVVHFGYVSVGSVAE RQIRLYNPSAVNAPFKIEMAEHVLTKDPSFSCSTSQGIVPPGEKKCLSLFFHPKTLDSRA IDYFSIIPSGCATKTLLQVVGFCRGPDAVLQHSCVNFHWVKLGERREQTLWIENQSDCQA HFQFDIDCQESVFSIRPAFGTLAGKTRMTLHCAYQPTHPIISFRRVACLIHHQDPLFLDL IGTCHSDSIKPAILTPQHLTWYRTHLARGLTLYPPDILAAMLKEKKLERDEDGALILPIE SLPMQELEDLPDQKYPNIPPMTEYFFDGTRDLAIFPPAVCLEPIDVDFGACPGPEAPNPV PLCLRNYTKGKITVVWTGRSDCPFWVTPVTSDVPPLKSIALRLYFQPSSPNCLYAVELEA FAVYKVLQCYSNIEEDCTVVPSWCLKVRARGHSYSPALEHHIPHYSLDSPQTFPAVSPGK PSYRSLFLVNKGSMLMTFSLAPNSSSDITLRPSSGLIGPGAHQVFLISTYPKGTSWRQHI FYLNFNFYPQYLKEVSMQSREEPLDLKLDTHKSIYFKPTWVGCSSTSNFTFHNPSRLPLQ FEWRVSQEHQKVLAVQPSKGTIHPNENLTLTWIFSPLEEIKYLFRVGIWVWEARQSQKTK PQATVHYRIRLVGMGVTGCLSAKPVELDFGNVLVNSQEVKPLVLLNDGNCTLYYRLVLEQ HRPKGLHSDPCALEFDHSEGTMPPHSQDTIYLTARPKVRSQYSWTISYCLLSQRAPPTNS MDGKKKALCHVSLAAAYPLLSVLDICSMGSTEGITRKHLWHLFSLDTLNSYLARDPTAKE LTYKVPTRHSMSRTPPIFTPLKLDFNFGAAPHNALPSVVLLVLKNCGLVPLDWAFLFPSD QQLDLDLWVEQEDLNSNELHQMRAEDNSLFSINPKTGSLNPGQEQMVEFTYRHLFVGTDR LSVLFKVSHGREILLQFIGVTVKLEQKYVHFTSTIHQFIPVPIGDTLPPRQIYELYNGGS VPVTYEVQVSVLSKVQEKNFDHPIFCCLNPKGDIQPGTTARILWIFSPIEAKTYTVEVPI HIIGWNSAVVCFQGVGYDPCVMGDTAPFHSISSWDSSSISSRLMVPGQNVFLSQSHISLG NIPVQSKCSRLFFLNNISKNETIVFTWKPRSLDFGEVTVSPMEGEVGPEEGAPILVTLKA SVHASFYSIDLICKVYQRELMRQYHKELQEWNEEKARQEVEFTITDRKVKRRAYCAAHEP PKKYKTLPPITNQPPLNRPATWNLKLAKKETSWPCPQPPVPGLLCLGLTARAHATDYYLA NFFSEFPCHFLYRELPKKKSSKEESKSSEELPDKKGPVSRQKQQLLVDCLTSIIRGLLED KNFHNAVDQNLVEQVPYFCQFWNEQSARFLAQKSSLYLVPILSLPPSYEGRKSKEQEEDL FGKMPGGQEDDEEEEEDEEEAEEEEEEIEEEMSKDEEDIDKDAKMTWSGIKVTETSQHSL QWQWQQDLKTIIKEETESDEKEAIGRLPAFANLQEAILENMIQNILVEASRGEVVLTSRP RIIALPPVSMHRTDNLLQMSQGDVLCSGMQHPDCLLVSASSPSNMTT >ENSMUSP00000112157.3 pep:known chromosome:GRCm38:5:143622447:143710250:1 gene:ENSMUSG00000018001.18 transcript:ENSMUST00000116456.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth3 description:cytohesin 3 [Source:MGI Symbol;Acc:MGI:1335107] MDEGGGGEGGSVPEDLSLEEREELLDIRRRKKELIDDIERLKYEIAEVMTEIDNLTSVEE SKTTQRNKQIAMGRKKFNMDPKKGIQFLIENDLLQSSPEDVAQFLYKGEGLNKTVIGDYL GERDDFNIKVLQAFVELHEFADLNLVQALRQFLWSFRLPGEAQKIDRMMEAFASRYCLCN PGVFQSTDTCYVLSFAIIMLNTSLHNHNVRDKPTAERFITMNRGINEGGDLPEELLRNLY ESIKNEPFKIPEDDGNDLTHTFFNPDREGWLLKLGGRVKTWKRRWFILTDNCLYYFEYTT DKEPRGIIPLENLSIREVEDPRKPNCFELYNPSHKGQVIKACKTEADGRVVEGNHVVYRI SAPSPEEKEEWMKSIKASISRDPFYDMLATRKRRIANKK >ENSMUSP00000135548.1 pep:known chromosome:GRCm38:5:143622534:143686526:1 gene:ENSMUSG00000018001.18 transcript:ENSMUST00000177196.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth3 description:cytohesin 3 [Source:MGI Symbol;Acc:MGI:1335107] MTEIDNLTS >ENSMUSP00000118290.1 pep:known chromosome:GRCm38:5:143634066:143692652:1 gene:ENSMUSG00000018001.18 transcript:ENSMUST00000131436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth3 description:cytohesin 3 [Source:MGI Symbol;Acc:MGI:1335107] MTEIDNLTSVEESKTTQRNKQIAMGRKKFNMDPKKGIQFLIENDLLQSSPEDVAQFLYKG EGLNKTVIGD >ENSMUSP00000135287.1 pep:known chromosome:GRCm38:5:143636351:143692554:1 gene:ENSMUSG00000018001.18 transcript:ENSMUST00000177281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth3 description:cytohesin 3 [Source:MGI Symbol;Acc:MGI:1335107] MFGKKTTTNSPERFPRSRQVPEDLSLEEREELLDIRRRKKELIDDIERLKYEIAEVMTEI DNLTSVEESKTTQRNKQIAMGRKKFNMDPKKGI >ENSMUSP00000106355.1 pep:known chromosome:GRCm38:5:143651241:143708256:1 gene:ENSMUSG00000018001.18 transcript:ENSMUST00000110727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth3 description:cytohesin 3 [Source:MGI Symbol;Acc:MGI:1335107] MTEIDNLTSVEESKTTQRNKQIAMGRKKFNMDPKKGIQFLIENDLLQSSPEDVAQFLYKG EGLNKTVIGDYLGERDDFNIKVLQAFVELHEFADLNLVQALRQFLWSFRLPGEAQKIDRM MEAFASRYCLCNPGVFQSTDTCYVLSFAIIMLNTSLHNHNVRDKPTAERFITMNRGINEG GDLPEELLRNLYESIKNEPFKIPEDDGNDLTHTFFNPDREGWLLKLGGRVKTWKRRWFIL TDNCLYYFEYTTDKEPRGIIPLENLSIREVEDPRKPNCFELYNPSHKGQVIKACKTEADG RVVEGNHVVYRISAPSPEEKEEWMKSIKASISRDPFYDMLATRKRRIANKK >ENSMUSP00000020804.7 pep:known chromosome:GRCm38:11:87033867:87074062:-1 gene:ENSMUSG00000061666.6 transcript:ENSMUST00000020804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpd1 description:glycerophosphodiester phosphodiesterase domain containing 1 [Source:MGI Symbol;Acc:MGI:1913819] MSSTAAFCLLSTLGGYLVTSFLLLKYPALLHQRKKQRFLSRHISHRGGAGENLENTMAAF QHAVTIGTDMLELDCHITKDEQVVVSHDANLKRSTGVNVNVSDLKYCELPPYLCKLDVPF QRACKCEGKDTRIPLLKEVFEAFPETPINIDIKVNNNVLIKKVSELVKQYKREHLTVWGN ANSEIVDKCYKENSDIPILFSLQRVLLILGLFFTGLLPFVPIREQFFEIPMPSIILKLKE PHTISKGHKFLIWLSDTLLMRKALFDHLTARGIQVYVWVLNEEYEYKRAFDLGATGVMTD YPTKLKDFLNNFSA >ENSMUSP00000095344.4 pep:known chromosome:GRCm38:4:155888862:155891781:-1 gene:ENSMUSG00000051557.15 transcript:ENSMUST00000097737.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pusl1 description:pseudouridylate synthase-like 1 [Source:MGI Symbol;Acc:MGI:3047787] MGSCGAVGSVRARYLVFLQYLGTDFNGVAAVRGNPRAVGVLNFLEEAAKRLNSVDPVRFT ISSRTDAGVHALSNAAHLDIQRRPGQSPFSPEVVAKALNTHLKHPAIRVLKAFRVPNDFH ARHAATSRTYQYRLATGCSWPNQLPVFEQNVCWALQTEYLDMAAMQEAAQHLLGTHDFSA FQSAGSPVTNTVRTLRRVSVSPGPASPFVLPEGSRRLQFWTLEFESQSFLYRQVRRMTAV LVAVGLGILAPTQVKVILESQDPLGKYQARVAPARGLFLKSVLYDNFGPTS >ENSMUSP00000105491.1 pep:known chromosome:GRCm38:2:151969398:151973935:-1 gene:ENSMUSG00000027459.16 transcript:ENSMUST00000109865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam110a description:family with sequence similarity 110, member A [Source:MGI Symbol;Acc:MGI:1921097] MPVDTLSPGAPATPALPFRLRTKVPGYLLPRPADGGARKPSAVERLEADKAKYVKSLRVA NTRQEPVQPPLVRQPLFSPGPRGPVLTPSRRVLPCSGRRPQLDLDILSSLINLCDSPVSP SEASRTPGRPEGSAHKVPPATPPRPPPSTVAVRRVDVRPLPASPARPYPSPGTTTTSSPG RPPGLQRSKSDLSERFSRAAADLERFFNFCGLDPEEARGLGVAHLARASSDIVSLAGPSA GPCSSEGGCSRRSSATVEERSLDRVPYGVSVIERNARVIKWLYGLRQARDPPTTEG >ENSMUSP00000105490.1 pep:known chromosome:GRCm38:2:151969398:151973966:-1 gene:ENSMUSG00000027459.16 transcript:ENSMUST00000109864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam110a description:family with sequence similarity 110, member A [Source:MGI Symbol;Acc:MGI:1921097] MPVDTLSPGAPATPALPFRLRTKVPGYLLPRPADGGARKPSAVERLEADKAKYVKSLRVA NTRQEPVQPPLVRQPLFSPGPRGPVLTPSRRVLPCSGRRPQLDLDILSSLINLCDSPVSP SEASRTPGRPEGSAHKVPPATPPRPPPSTVAVRRVDVRPLPASPARPYPSPGTTTTSSPG RPPGLQRSKSDLSERFSRAAADLERFFNFCGLDPEEARGLGVAHLARASSDIVSLAGPSA GPCSSEGGCSRRSSATVEERSLDRVPYGVSVIERNARVIKWLYGLRQARDPPTTEG >ENSMUSP00000053266.5 pep:known chromosome:GRCm38:2:151969398:151980219:-1 gene:ENSMUSG00000027459.16 transcript:ENSMUST00000062047.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam110a description:family with sequence similarity 110, member A [Source:MGI Symbol;Acc:MGI:1921097] MPVDTLSPGAPATPALPFRLRTKVPGYLLPRPADGGARKPSAVERLEADKAKYVKSLRVA NTRQEPVQPPLVRQPLFSPGPRGPVLTPSRRVLPCSGRRPQLDLDILSSLINLCDSPVSP SEASRTPGRPEGSAHKVPPATPPRPPPSTVAVRRVDVRPLPASPARPYPSPGTTTTSSPG RPPGLQRSKSDLSERFSRAAADLERFFNFCGLDPEEARGLGVAHLARASSDIVSLAGPSA GPCSSEGGCSRRSSATVEERSLDRVPYGVSVIERNARVIKWLYGLRQARDPPTTEG >ENSMUSP00000105489.1 pep:known chromosome:GRCm38:2:151969737:151973394:-1 gene:ENSMUSG00000027459.16 transcript:ENSMUST00000109863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam110a description:family with sequence similarity 110, member A [Source:MGI Symbol;Acc:MGI:1921097] MPVDTLSPGAPATPALPFRLRTKVPGYLLPRPADGGARKPSAVERLEADKAKYVKSLRVA NTRQEPVQPPLVRQPLFSPGPRGPVLTPSRRVLPCSGRRPQLDLDILSSLINLCDSPVSP SEASRTPGRPEGSAHKVPPATPPRPPPSTVAVRRVDVRPLPASPARPYPSPGTTTTSSPG RPPGLQRSKSDLSERFSRAAADLERFFNFCGLDPEEARGLGVAHLARASSDIVSLAGPSA GPCSSEGGCSRRSSATVEERSLDRVPYGVSVIERNARVIKWLYGLRQARDPPTTEG >ENSMUSP00000147252.1 pep:known chromosome:GRCm38:7:44975989:44986492:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000207370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] MAAAEAANCIMEVSCGQAESSEKPNAEDMTSKDYYFDSYAHFGIHEEMLKDEVRTLTYRN SMFHNRHLFKDKVVLDVGSGTGILCMFAAKAGARKVIGIECSSISDYAVKIVKANKLDHV VTIIKGKVEEVELPVEKVDIIISEWMGYCLFYESMLNTVLHARDKWLAPDGLIFPDRATL YVTAIEDRQYKDYKIHWWENVYGFDMSCIKDVAIKEPLVDVVDPKQLVTNACLIKEVDIY TVKVEDLTFTSPFCLQVKRNDYVHALVAYFNIEFTRCHKRTGFSTSPESPYTHWKQTVFY MEDYLTVKTGEEIFGTIGMRPNAKNNRDLDFTIDLDFKGQLCELSCSTDYRMR >ENSMUSP00000147101.1 pep:known chromosome:GRCm38:7:44976758:44986356:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000207659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] MAAAEAANCIMEVSCGQAESSEKPNAEDMTSKDYYFDSYAHFGIHEEMLKDEVRTLTYRN SMFHNRHLFKDKVVLDVGSGTGILCMFAAKAGARKVIGIECSSISDYAVKIVKANKLDHV VTIIKGKVEEVELPVEKVDIYTVKVEDLTFTSPFCLQVKRNDYVHALVAYFNIEFTRCHK RTGFSTSPESPYTHWKQTVFYMEDYLTVKTGEEIFGTIGMRPNAKNNRDLDFTIDLDFKG QLCELSCSTDYRMR >ENSMUSP00000103474.3 pep:known chromosome:GRCm38:7:44976758:44986568:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000107843.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] MAAAEAANCIMENFVATLANGMSLQPPLEEVSCGQAESSEKPNAEDMTSKDYYFDSYAHF GIHEEMLKDEVRTLTYRNSMFHNRHLFKDKVVLDVGSGTGILCMFAAKAGARKVIGIECS SISDYAVKIVKANKLDHVVTIIKGKVEEVELPVEKVDIIISEWMGYCLFYESMLNTVLHA RDKWLAPDGLIFPDRATLYVTAIEDRQYKDYKIHWWENVYGFDMSCIKDVAIKEPLVDVV DPKQLVTNACLIKEVDIYTVKVEDLTFTSPFCLQVKRNDYVHALVAYFNIEFTRCHKRTG FSTSPESPYTHWKQTVFYMEDYLTVKTGEEIFGTIGMRPNAKNNRDLDFTIDLDFKGQLC ELSCSTDYRMR >ENSMUSP00000147098.1 pep:known chromosome:GRCm38:7:44976764:44986434:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000208829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] MAAAEAANCIMEVEDLTFTSPFCLQVKRNDYVHALVAYFNIEFTRCHKRTGFSTSPESPY THWKQTVFYMEDYLTVKTGEEIFGTIGMRPNAKNNRDLDFTIDLDFKGQLCELSCSTDYR MR >ENSMUSP00000045365.8 pep:known chromosome:GRCm38:7:44976824:44986356:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000045325.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] MAAAEAANCIMEMLKDEVRTLTYRNSMFHNRHLFKDKVVLDVGSGTGILCMFAAKAGARK VIGIECSSISDYAVKIVKANKLDHVVTIIKGKVEEVELPVEKVDIIISEWMGYCLFYESM LNTVLHARDKWLAPDGLIFPDRATLYVTAIEDRQYKDYKIHWWENVYGFDMSCIKDVAIK EPLVDVVDPKQLVTNACLIKEVDIYTVKVEDLTFTSPFCLQVKRNDYVHALVAYFNIEFT RCHKRTGFSTSPESPYTHWKQTVFYMEDYLTVKTGEEIFGTIGMRPNAKNNRDLDFTIDL DFKGQLCELSCSTDYRMR >ENSMUSP00000146395.1 pep:known chromosome:GRCm38:7:44976900:44986319:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000209124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] MGYCLFYESMLNTVLHARDKWLAPDGLIFPDRATLYVTAIEDRQYKDYKIHWWENVYGFD MSCIKDVAIKEPLVDVVDPKQLVTNACLIKEVDIYTVKVEDLTFTSPFCLQVKRNDYVHA LVAYFNIEFTRCHKRTGFSTSPESPYTHWKQTVFYMEDYLTVKTGEEIFGTIGMRPNAKN NRDLDFTIDLDFKGQLCELSCSTDYRMR >ENSMUSP00000147193.1 pep:known chromosome:GRCm38:7:44977504:44979525:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000208778.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] XVTIIKGKVEEVELPVEKVDIIISEWMGYCLFYESMLNTVLHARDKWLAPDGLIFPDRAT LYVTAIEDRQYKDYKIHYVAIKEPLVDVVDPKQLVTNACLIKEVDIYTVKVEDLTFTSPF CLQVKRNDYVHALVAYFNIEFTRCHKRTGFSTSPESPYTHWKQTVFYMEDYLTVKTGEEI FGTIGMRPNAKNN >ENSMUSP00000146526.1 pep:known chromosome:GRCm38:7:44978127:44986325:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000208312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] MAAAEAANCIMEVSCGQAESSEKPNAEDMTSKDYYFDSYAHFGIHEEMLKDEVRTLTYRN SMFHNRHLFKDKVVLDVGSGTGILCMFAAKAGARKVIGIECSSISDYAVKIVKANKLDHV VTIIKGKVEEVELPVEKVDIIISEWMGYCLFYESMLNTVLHARDKWLEVDIYTVKVEDLT FTSPFCLQVKRNDYVHALVAYFNIEFTRCHKRTGFST >ENSMUSP00000146804.1 pep:known chromosome:GRCm38:7:44978698:44983551:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000208938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] NAEDMTSKDYYFDSYAHFGIHEEMLKDEVRTLTYRNSMFHNRHLFKDKVVLDVGSGTGIL CMFAAKAGARKVIGIECSSISDYAVKIVKANKLDHGTRWPHLPRPGHLVCDSH >ENSMUSP00000146655.1 pep:known chromosome:GRCm38:7:44979383:44986284:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000209056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] XVSCGQAESSEKPNAEDMTSKDYYFDSYAHFGIHEEMLKDEVRTLTYRNSMFHNRHLFKD KVVLDVGSGTGILCMFAAKAGARKIECSSISDYAVKIVKANKLDHVVTIIKGKVEEVELP VEKVDIIISEWMGYCLFYESMLNTVLHARDKWL >ENSMUSP00000147201.1 pep:known chromosome:GRCm38:7:44979393:44986356:-1 gene:ENSMUSG00000109324.1 transcript:ENSMUST00000207522.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prmt1 description:protein arginine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:107846] MAAAEAANCIMENFVATLANGMSLQPPLEEPSSPALPCVCPGSALSPSLPSCGLS >ENSMUSP00000124156.2 pep:known chromosome:GRCm38:4:152390742:152448840:-1 gene:ENSMUSG00000028931.11 transcript:ENSMUST00000159840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnab2 description:potassium voltage-gated channel, shaker-related subfamily, beta member 2 [Source:MGI Symbol;Acc:MGI:109239] MYPESTTGSPARLSLRQTGSPGMIYRNLGKSGLRVSCLGLGTWVTFGGQITDEMAEHLMT LAYDNGINLFDTAEVYAAGKAEVVLGNIIKKKGWRRSSLVITTKIFWGGKAETERGLSRK HIIEGLKASLERLQLEYVDVVFANRPDPNTPMEETVRAMTHVINQGMAMYWGTSRWSSME IMEAYSVARQFNLIPPICEQAEYHMFQREKVEVQLPELFHKIGVGAMTWSPLACGIVSGK YDSGIPPYSRASLKGYQWLKDKILSEEGRRQQAKLKELQAIAERLGCTLPQLAIAWCLRN EGVSSVLLGASNAEQLMENIGAIQVLPKLSSSIVHEIDSILGNKPYSKKDYRS >ENSMUSP00000125058.1 pep:known chromosome:GRCm38:4:152390744:152477871:-1 gene:ENSMUSG00000028931.11 transcript:ENSMUST00000160884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnab2 description:potassium voltage-gated channel, shaker-related subfamily, beta member 2 [Source:MGI Symbol;Acc:MGI:109239] MYPESTTGSPARLSLRQTGSPGMIYSTRYGSPKRQLQFYRNLGKSGLRVSCLGLGTWVTF GGQITDEMAEHLMTLAYDNGINLFDTAEVYAAGKAEVVLGNIIKKKGWRRSSLVITTKIF WGGKAETERGLSRKHIIEGLKASLERLQLEYVDVVFANRPDPNTPMEETVRAMTHVINQG MAMYWGTSRWSSMEIMEAYSVARQFNLIPPICEQAEYHMFQREKVEVQLPELFHKIGVGA MTWSPLACGIVSGKYDSGIPPYSRASLKGYQWLKDKILSEEGRRQQAKLKELQAIAERLG CTLPQLAIAWCLRNEGVSSVLLGASNAEQLMENIGAIQVLPKLSSSIVHEIDSILGNKPY SKKDYRS >ENSMUSP00000030768.2 pep:known chromosome:GRCm38:4:152390745:152477525:-1 gene:ENSMUSG00000028931.11 transcript:ENSMUST00000030768.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnab2 description:potassium voltage-gated channel, shaker-related subfamily, beta member 2 [Source:MGI Symbol;Acc:MGI:109239] MYPESTTGSPARLSLRQTGSPGMIYRNLGKSGLRVSCLGLGTWVTFGGQITDEMAEHLMT LAYDNGINLFDTAEVYAAGKAEVVLGNIIKKKGWRRSSLVITTKIFWGGKAETERGLSRK HIIEGLKASLERLQLEYVDVVFANRPDPNTPMEETVRAMTHVINQGMAMYWGTSRWSSME IMEAYSVARQFNLIPPICEQAEYHMFQREKVEVQLPELFHKIGVGAMTWSPLACGIVSGK YDSGIPPYSRASLKGYQWLKDKILSEEGRRQQAKLKELQAIAERLGCTLPQLAIAWCLRN EGVSSVLLGASNAEQLMENIGAIQVLPKLSSSIVHEIDSILGNKPYSKKDYRS >ENSMUSP00000124588.1 pep:known chromosome:GRCm38:4:152392721:152477433:-1 gene:ENSMUSG00000028931.11 transcript:ENSMUST00000159186.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnab2 description:potassium voltage-gated channel, shaker-related subfamily, beta member 2 [Source:MGI Symbol;Acc:MGI:109239] MYPESTTGSPARLSLRQTGSPGMIYSTRYGSPKRQLQFYRNLGKSGLRVSCLGLGTWVTF GGQITDEMAEHLMTLAYDNGINLFDTAEVYAAGKAEVVLGNIIKKKGWRRSSLVITTKIF WGGKAETERGLSRKHIIEGLKASLERLQLEYVDVVFANRPDPNTPMEGDPFSSFKSRTFI IEETVRAMTHVINQGMAMYWGTSRWSSMEIMEAYSVARQFNLIPPICEQAEYHMFQREKV EVQLPELFHKIGVGAMTWSPLACGIVSGKYDSGIPPYSRASLKGYQWLKDKILSEEGRRQ QAKLKELQAIAERLGCTLPQLAIAWCLRNEGVSSVLLGASNAEQLMENIGAIQVLPKLSS SIVHEIDSILGNKPYSKKDYRS >ENSMUSP00000101273.2 pep:known chromosome:GRCm38:4:152392782:152448840:-1 gene:ENSMUSG00000028931.11 transcript:ENSMUST00000105648.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnab2 description:potassium voltage-gated channel, shaker-related subfamily, beta member 2 [Source:MGI Symbol;Acc:MGI:109239] MYPESTTGSPARLSLRQTGSPGMIYSTRYGSPKRQLQFYRNLGKSGLRVSCLGLGTWVTF GGQITDEMAEHLMTLAYDNGINLFDTAEVYAAGKAEVVLGNIIKKKGWRRSSLVITTKIF WGGKAETERGLSRKHIIEGLKASLERLQLEYVDVVFANRPDPNTPMEETVRAMTHVINQG MAMYWGTSRWSSMEIMEAYSVARQFNLIPPICEQAEYHMFQREKVEVQLPELFHKIGVGA MTWSPLACGIVSGKYDSGIPPYSRASLKGYQWLKDKILSEEGRRQQAKLKELQAIAERLG CTLPQLAIAWCLRNEGVSSVLLGASNAEQLMENIGAIQVLPKLSSSIVHEIDSILGNKPY SKKDYRS >ENSMUSP00000125270.1 pep:known chromosome:GRCm38:4:152401938:152455043:-1 gene:ENSMUSG00000028931.11 transcript:ENSMUST00000161236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnab2 description:potassium voltage-gated channel, shaker-related subfamily, beta member 2 [Source:MGI Symbol;Acc:MGI:109239] MYPESTTGSPARLSLRQTGSPGMIYSTRYGSPKRQLQFYRNLGKSGLRVSCLGLGTWVTF GGQITDEMAEHLMTLAYDNGINLFDTAEVYAAGKAEVVLGNIIKKKGWRRSSLVITTKIF WGGKAETERGLSRK >ENSMUSP00000123939.1 pep:known chromosome:GRCm38:4:152434787:152477495:-1 gene:ENSMUSG00000028931.11 transcript:ENSMUST00000162017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnab2 description:potassium voltage-gated channel, shaker-related subfamily, beta member 2 [Source:MGI Symbol;Acc:MGI:109239] MYPESTTGSPARLSLRQTGSPGMIYSTRYGSPKRQLQF >ENSMUSP00000061134.3 pep:known chromosome:GRCm38:2:181696793:181698442:-1 gene:ENSMUSG00000045794.3 transcript:ENSMUST00000052416.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lkaaear1 description:LKAAEAR motif containing 1 (IKAAEAR murine motif) [Source:MGI Symbol;Acc:MGI:2685538] MPTLGVKGARERDKNSASGAGAGAGAGAGAGEKHRKGPRTTDPPKTGWALTKQRLVALSP TLRQRHLLFGDFLDDIGKVASMFPRESVELPYDMPDPRTWSQALNLPSEHQNRFLGLIKA AEARGRVHTLRLRYTRMRAEEISLLIQKQSSARAAIRLELFLPPQLKPTKIPDPLDRHER RRVETILEEEVDGNIFPR >ENSMUSP00000116083.1 pep:known chromosome:GRCm38:2:181696796:181697416:-1 gene:ENSMUSG00000045794.3 transcript:ENSMUST00000132409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lkaaear1 description:LKAAEAR motif containing 1 (IKAAEAR murine motif) [Source:MGI Symbol;Acc:MGI:2685538] XSMFPRESVELPYDMPDPRTWSQALNLPSEHQNRFLGLIKAAEARGRVHTLRLRYTRMRK QSSARAAIRLELFLPPQLKPTKIPDPLDRHEPLSLQRRRVETILEEEVDGNIFPR >ENSMUSP00000107238.1 pep:known chromosome:GRCm38:1:161088375:161131511:-1 gene:ENSMUSG00000026705.16 transcript:ENSMUST00000111611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl20 description:kelch-like 20 [Source:MGI Symbol;Acc:MGI:2444855] MRRCTNIRPGETGMDVTSRCTLGDPNKLPEGVPQPARMPYISDKHPRQTLEVINLLRKHR ELCDVVLVVGAKKIYAHRVILSACSPYFRAMFTGELAESRQTEVVIRDIDERAMELLIDF AYTSQITVEEGNVQTLLPAACLLQLAEIQEACCEFLKRQLDPSNCLGIRAFADTHSCREL LRIADKFTQHNFQEVMESEEFMLLPANQLIDIISSDELNVRSEEQVFNAVMAWVKYSIQE RRPQLPQVLQHVRLPLLSPKFLVGTVGSDPLIKSDEECRDLVDEAKNYLLLPQERPLMQG PRTRPRKPIRCGEVLFAVGGWCSGDAISSVERYDPQTNEWRMVASMSKRRCGVGVSVLDD LLYAVGGHDGSSYLNSVERYDPKTNQWSSDVAPTSTCRTSVGVAVLGGFLYAVGGQDGVS CLNIVERYDPKENKWTRVASMSTRRLGVAVAVLGGFLYAVGGSDGTSPLNTVERYNPQEN RWHTIAPMGTRRKHLGCAVYQDMIYAVGGRDDTTELSSAERYNPRTNQWSPVVAMTSRRS GVGLAVVNGQLMAVGGFDGTTYLKTIEVFDPDANTWRLYGGMNYRRLGGGVGVIKMTHCE SHIW >ENSMUSP00000114044.1 pep:known chromosome:GRCm38:1:161088380:161131428:-1 gene:ENSMUSG00000026705.16 transcript:ENSMUST00000117467.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl20 description:kelch-like 20 [Source:MGI Symbol;Acc:MGI:2444855] MRRCTNIRPGETGMDVTSRCTLGDPNKLPEGVPQPARMPYISDKHPRQTLEVINLLRKHR ELCDVVLVVGAKKIYAHRVILSACSPYFRAMFTGELAESRQTEVVIRDIDERAMELLIDF AYTSQITVEEGNVQTLLPAACLLQLAEIQEACCEFLKRQLDPSNCLGIRAFADTHSCREL LRIADKFTQHNFQEVMESEEFMLLPANQLIDIISSDELNVRSEEQVFNAVMAWVKYSIQE RRPQLPQVLQHVRLPLLSPKFLVGTVGSDPLIKSDEECRDLVDEAKNYLLLPQERPLMQG PRTRPRKPIRCGEVLFAVGGWCSGDAISSVERYDPQTNEWRMVASMSKRRCGVGVSVLDD LLYAVGGHDGSSYLNSVERYDPKTNQWSSDVAPTSTCRTSVGVAVLGGFLYAVGGQDGVS CLNIVERYDPKENKWTRVASMSTRRLGVAVAVLGGFLYAVGGSDGTSPLNTVERYNPQEN RWHTIAPMGTRRKHLGCAVYQDMIYAVGGRDDTTELSSAERYNPRTNQWSPVVAMTSRRS GVGLAVVNGQLMAVGGFDGTTYLKTIEVFDPDANTWRLYGGMNYRRLGGGVGVIKMTHCE SHIW >ENSMUSP00000141213.1 pep:known chromosome:GRCm38:1:161088398:161095624:-1 gene:ENSMUSG00000026705.16 transcript:ENSMUST00000195584.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl20 description:kelch-like 20 [Source:MGI Symbol;Acc:MGI:2444855] RDDTTELSSAERYNPRTNQWSPVVAMTSRRSGVGLAVVNGQLMAVGGFDGTTYLKTIEVF DPDANTWRLYGGMNYRRLGGGVGVIKMTHCESHIW >ENSMUSP00000099583.1 pep:known chromosome:GRCm38:2:118707517:118728438:-1 gene:ENSMUSG00000040061.17 transcript:ENSMUST00000102524.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcb2 description:phospholipase C, beta 2 [Source:MGI Symbol;Acc:MGI:107465] MSLLNPVLLPPNVKAYLSQGERFIKWDDETSIASPVILRVDPKGYYLYWTYQNQEMEFLD VTSIRDTRFGKFAKIPKSQKLREVFNMDFPDNHFLLKTLTVVSGPDMVDLTFYNFVSYKE NVGKDWAEDVLALAKHPMTVNAPRSTFLDKILVKLKMQLNPEGKIPVKNFFQMFPADRKR VEAALGACHLAKGKNDAINPEDFPESVYKSFLMSLCPRPEIDEIFTSYHSKAKPYMTKEH LTKFINQKQRDPRLNSLLFPPARPEQVQVLIDKYEPSGINVQRGQLSPEGMVWFLCGPEN SVLAHDTLLIHQDMTQPLNHYFINSSHNTYLTAGQFSGLSSAEMYRQVLLSGCRCVELDC WKGKPPDEEPIITHGFTMTTDILFKEAIEAIAESAFKTSPYPVILSFENHVDSPRQQAKM AEYCRSMFGETLLTDPLENFPLKPGIPLPSPEDLRGKILIKNKKNQFSGPASPSKKPGGV AEGSLPSSVPVEEDTGWTAEDRTEVEEEEVVEEEEEEESGNLDEEEIKKMQSDEGTAGLE VTAYEEMSSLVNYIQPTKFISFEFSAQKNRSYVVSSFTELKAYELLSKASMQFVDYNKRQ MSRVYPKGTRMDSSNYMPQMFWNAGCQMVALNFQTMDLPMQQNMALFEFNGQSGYLLKHE FMRRLDKQFNPFSVDRIDVVVATTLSITIISGQFLSERSVRTYVEVELFGLPGDPKRRYR TKLSPTANSINPVWKEEPFIFEKILMPELASLRIAVMEEGSKFLGHRIIPINALHSGYHH LCLRSESNMALTMPALFVFLEMKDYIPDTWADLTVALANPIKYFNAQDKKSVKLKGVTGS LPEKLFSGTPVASQSNGAPVSAGNGSTAPGTKATGEEATKEVTEPQTASLEELRELKGVV KLQRRHEKELRELERRGARRWEELLQRGAAQLAELQTQAAGCKLRPGKGSRKKRTLPCEE TVVAPSEPHDRADPRVQELKDRLEQELQQQGEEQYRSVLKRKEQHVTEQIAKMMELAREK QAAELKTFKETSETDTKEMKKKLEAKRLERIQAMTKVTTDKVAQERLKREINNSHIQEVV QAVKQMTETLERHQEKLEERQTACLEQIQAMEKQFQEKALAEYEAKMKGLEAEVKESVRA YFKDCFPTEAEDKPERSCEASEESCPQEPLVSKADTQESRL >ENSMUSP00000124364.1 pep:known chromosome:GRCm38:2:118707996:118728359:-1 gene:ENSMUSG00000040061.17 transcript:ENSMUST00000159756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcb2 description:phospholipase C, beta 2 [Source:MGI Symbol;Acc:MGI:107465] MSLLNPVLLPPNVKAYLSQGERFIKWDDETSIASPVILRVDPKGYYLYWTYQNQSQKLRE VFNMDFPDNHFLLKTLTVVSGPDMVDLTFYNFVSYKENVGKDWAEDVLALAKHPMTVNAP RSTFLDKILVKLKMQLNPEGKIPVKNFFQMFPADRKRVEAALGACHLAKGKNDAINPEDF PESVYKSFLMSLCPRPEIDEIFTSYHSKAKPYMTKEHLTKFINQKQRDPRLNSLLFPPAR PEQVQVLIDKYEPSGINVQRGQLSPEGMVWFLCGPENSVLAHDTLLIHQDMTQPLNHYFI NSSHNTYLTAGQFSGLSSAEMYRQVLLSGCRCVELDCWKGKPPDEEPIITHGFTMTTDIL FKEAIEAIAESAFKTSPYPVILSFENHVDSPRQQAKMAEYCRSMFGETLLTDPLENFPLK PGIPLPSPEDLRGKILIKNKKNQFSGPASPSKKPGGVAEGSLPSSVPVEEDTGWTAEDRT EVEEEEVVEEEEEEESGNLDEEEIKKMQSDEGTAGLEVTAYEEMSSLVNYIQPTKFISFE FSAQKNRSYVVSSFTELKAYELLSKASMQFVDYNKRQMSRVYPKGTRMDSSNYMPQMFWN AGCQMVALNFQTMDLPMQQNMALFEFNGQSGYLLKHEFMRRLDKQFNPFSVDRIDVVVAT TLSITIISGQFLSERSVRTYVEVELFGLPGDPKRRYRTKLSPTANSINPVWKEEPFIFEK ILMPELASLRIAVMEEGSKFLGHRIIPINALHSGYHHLCLRSESNMALTMPALFVFLEMK DYIPDTWADLTVALANPIKYFNAQDKKSVKLKGVTGSLPEKLFSGTPVASQSNGAPVSAG NGSTAPGTKATGEEATKEVTEPQTASLEELRELKGVVKLQRRHEKELRELERRGARRWEE LLQRGAAQLAELQTQAAGCKLRPGKGSRKKRTLPCEETVVAPSEPHDRADPRVQELKDRL EQELQQQGEEQYRSVLKRKEQHVTEQIAKMMELAREKQAAELKTFKETSETDTKEMKKKL EAKRLERIQAMTKVTTDKVAQERLKREINNSHIQEVVQAVKQMTETLERHQEKLEERQTA CLEQIQAMEKQFQEKALAEYEAKMKGLEAEVKESVRAYFKDCFPTEAEDKPERSCEASEE SCPQEPLVSKADTQESRL >ENSMUSP00000052395.4 pep:known chromosome:GRCm38:4:116066965:116075031:-1 gene:ENSMUSG00000063882.12 transcript:ENSMUST00000050580.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrh description:ubiquinol-cytochrome c reductase hinge protein [Source:MGI Symbol;Acc:MGI:1913826] MGLEDERKMLTGSGDPKEEEEEELVTEEDCTEELFDFLHARDHCVAHKLFKNLK >ENSMUSP00000077744.5 pep:known chromosome:GRCm38:4:116066965:116075071:-1 gene:ENSMUSG00000063882.12 transcript:ENSMUST00000078676.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrh description:ubiquinol-cytochrome c reductase hinge protein [Source:MGI Symbol;Acc:MGI:1913826] MGLEDERKMLTGSGDPKEEEEEELVDPLTTVREHCEQLEKCVKARERLELCDNRVSSRSQ TEEDCTEELFDFLHARDHCVAHKLFKNLK >ENSMUSP00000133445.1 pep:known chromosome:GRCm38:8:48099092:48140609:1 gene:ENSMUSG00000031562.15 transcript:ENSMUST00000174278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctd description:dCMP deaminase [Source:MGI Symbol;Acc:MGI:2444529] MTSTPNMSDISCKKRDDYLEWPEYFMAVAFLSAQRSKDPSSQVGACIVNTENKIVGIGYN GMPNGCSDDLLPWRRTAENKLDTKYPYVCHAELNAIMNKNSADVKGCSMYVALFPCNECA KLIIQAGIKEVIFMSDKYHDSEETTAARLLFKLAGVTFRKFTPKYSKIVIDFDSINSRPS QKPQ >ENSMUSP00000126733.2 pep:known chromosome:GRCm38:8:48109958:48141665:1 gene:ENSMUSG00000031562.15 transcript:ENSMUST00000170263.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctd description:dCMP deaminase [Source:MGI Symbol;Acc:MGI:2444529] MTSTPNMSDISCKKRDDYLEWPEYFMAVAFLSAQRSKDPSSQVGACIVNTENKIVGIGYN GMPNGCSDDLLPWRRTAENKLDTKYPYVCHAELNAIMNKNSADVKGCSMYVALFPCNECA KLIIQAGIKEVIFMSDKYHDSEETTAARLLFKLAGVTFRKFTPKYSKIVIDFDSINSRPS QKPQ >ENSMUSP00000033966.6 pep:known chromosome:GRCm38:8:48110013:48141663:1 gene:ENSMUSG00000031562.15 transcript:ENSMUST00000033966.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctd description:dCMP deaminase [Source:MGI Symbol;Acc:MGI:2444529] MTSTPNMSDISCKKRDDYLEWPEYFMAVAFLSAQRSKDPSSQVGACIVNTENKIVGIGYN GMPNGCSDDLLPWRRTAENKLDTKYPYVCHAELNAIMNKNSADVKGCSMYVALFPCNECA KLIIQAGIKEVIFMSDKYHDSEETTAARLLFKLAGVTFRKFTPKYSKIVIDFDSINSRPS QKPQ >ENSMUSP00000134003.1 pep:known chromosome:GRCm38:8:48110162:48141663:1 gene:ENSMUSG00000031562.15 transcript:ENSMUST00000174818.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dctd description:dCMP deaminase [Source:MGI Symbol;Acc:MGI:2444529] MTSTPNMSDISCKKRDDYLEWPEYFMAVAFLSAQRSKDPSSQVGACIVNTENKIVGIGYN GMPNGCSDDLLPWRRTAENKLDTKYPYVCHAELNAIMNKNSADVKGCSMYVALFPCNECA KLIIQADEEVGSGSVQRAVCGLCGQPAHPL >ENSMUSP00000134195.1 pep:known chromosome:GRCm38:8:48110211:48153233:1 gene:ENSMUSG00000031562.15 transcript:ENSMUST00000174379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctd description:dCMP deaminase [Source:MGI Symbol;Acc:MGI:2444529] MTSTPNMSDISCKKRDDYLEWPEYFMAVAFLSAQRSKDPSSQVGACIVNTENKIVGIGYN GMPNGCSDDLLPWRRTAENKLDTKYPYGQARLGRCNVALKSCHL >ENSMUSP00000082337.5 pep:known chromosome:GRCm38:7:57419692:57828798:1 gene:ENSMUSG00000033676.13 transcript:ENSMUST00000085240.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrb3 description:gamma-aminobutyric acid (GABA) A receptor, subunit beta 3 [Source:MGI Symbol;Acc:MGI:95621] MPQCLLWICLAVWEASGDLPFFAENDAVLMVNWNAVKEHGKLYAIYETSVNDPGNMSFVK ETVDKLLKGYDIRLRPDFGGPPVCVGMNIDIASIDMVSEVNMDYTLTMYFQQYWRDKRLA YSGIPLNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTT AACMMDLRRYPLDEQNCTLEIESYGYTTDDIEFYWRGGDKAVTGVERIELPQFSIVEHRL VSRNVVFATGAYPRLSLSFRLKRNIGYFILQTYMPSILITILSWVSFWINYDASAARVAL GITTVLTMTTINTHLRETLPKIPYVKAIDMYLMGCFVFVFLALLEYAFVNYIFFGRGPQR QKKLAEKTAKAKNDRSKSEINRVDAHGNILLAPMDVHNEMNEVAGSVGDTRNSAISFDNS GIQYRKQSMPKEGHGRYMGDRSIPHKKTHLRRRSSQLKIKIPDLTDVNAIDRWSRIVFPF TFSLFNLVYWLYYVN >ENSMUSP00000143353.1 pep:known chromosome:GRCm38:7:57590518:57827634:1 gene:ENSMUSG00000033676.13 transcript:ENSMUST00000196198.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrb3 description:gamma-aminobutyric acid (GABA) A receptor, subunit beta 3 [Source:MGI Symbol;Acc:MGI:95621] MCSGLQALLLPIWLSWTLGTRGSEPSSVNDPGNMSFVKETVDKLLKGYDIRLRPDFGGPP VCVGMNIDIASIDMVSEVNMDYTLTMYFQQYWRDKRLAYSGIPLNLTLDNRVADQLWVPD TYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIE SYGYTTDDIEFYWRGGDKAVTGVERIELPQFSIVEHRLVSRNVVFATGAYPRLSLSFRLK RNIGYFILQTYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKI PYVKAIDMYLMGCFVFVFLALLEYAFVNYIFFGRGPQRQKKLAEKTAKAKNDRSKSEINR VDAHGNILLAPMDVHNEMNEVAGSVGDTRNSAISFDNSGIQYRKQSMPKEGHGRYMGDRS IPHKKTHLRRRSSQLKIKIPDLTDVNAIDRWSRIVFPFTFSLFNLVYWLYYVN >ENSMUSP00000038051.7 pep:known chromosome:GRCm38:7:57591162:57828802:1 gene:ENSMUSG00000033676.13 transcript:ENSMUST00000039697.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrb3 description:gamma-aminobutyric acid (GABA) A receptor, subunit beta 3 [Source:MGI Symbol;Acc:MGI:95621] MWGFAGGRLFGIFSAPVLVAVVCCAQSVNDPGNMSFVKETVDKLLKGYDIRLRPDFGGPP VCVGMNIDIASIDMVSEVNMDYTLTMYFQQYWRDKRLAYSGIPLNLTLDNRVADQLWVPD TYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRITTTAACMMDLRRYPLDEQNCTLEIE SYGYTTDDIEFYWRGGDKAVTGVERIELPQFSIVEHRLVSRNVVFATGAYPRLSLSFRLK RNIGYFILQTYMPSILITILSWVSFWINYDASAARVALGITTVLTMTTINTHLRETLPKI PYVKAIDMYLMGCFVFVFLALLEYAFVNYIFFGRGPQRQKKLAEKTAKAKNDRSKSEINR VDAHGNILLAPMDVHNEMNEVAGSVGDTRNSAISFDNSGIQYRKQSMPKEGHGRYMGDRS IPHKKTHLRRRSSQLKIKIPDLTDVNAIDRWSRIVFPFTFSLFNLVYWLYYVN >ENSMUSP00000118835.1 pep:known chromosome:GRCm38:7:57591530:57816370:1 gene:ENSMUSG00000033676.13 transcript:ENSMUST00000138350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrb3 description:gamma-aminobutyric acid (GABA) A receptor, subunit beta 3 [Source:MGI Symbol;Acc:MGI:95621] LLKGYDIRLRPDFGGPPVCVGMNIDIASIDMVSEVNMDYTLTMYFQQYWRDKRLAYSGIP LNLTLDNRVADQLWVPDTYFLNDKKSFVHGVTVKNRMIRLHPDGTVLYGLRCLSSTFIEF SVEEKYRVLHSSDVYALNPDHNPLVGVLLDQLRCICCSSCPWDYHRAHHDNHQHSPSGDS TQNSLCQSHRHVP >ENSMUSP00000139265.1 pep:known chromosome:GRCm38:1:144518609:144551564:-1 gene:ENSMUSG00000098509.2 transcript:ENSMUST00000184189.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs21 description:regulator of G-protein signalling 21 [Source:MGI Symbol;Acc:MGI:3645243] MPVKCCFYRSPTTETLAWSENMDSLLANQAGLDAFRTFLKSEYSEENVEFWLACEDFKKT ECREKIATKAKTIYSEFIVADAPKEINIDFSTRDLISRNIAEPTPKCFDEAQKLIYSLMA KDSFPRFLKSEIYKKLVNSQQVGNHKRWLPFL >ENSMUSP00000034774.8 pep:known chromosome:GRCm38:9:62677826:62783982:1 gene:ENSMUSG00000032243.8 transcript:ENSMUST00000034774.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga11 description:integrin alpha 11 [Source:MGI Symbol;Acc:MGI:2442114] MDFPRGLLVAWTLSLWPGFTDTFNMDTRNPRVIAGPSAAFFGYTVQQHDISGKKWLVVGA PMETNGHQKTGDVYKCPVTQGNCTKLNLGRVTLSNVSERKDNMRLGLSLATNPKDNSFLA CSPLWSHECGSSYYTTGMCSRVNSNFRFSKTVAPALQRCQTYMDIVIVLDGSNSIYPWVE VQHFLINILKKFYIGPGQIQVGIVQYGEDAVHEFHLNDYRSVKDVVEAASHIEQRGGTET RTAFGIEFARSEAFQKGGRKGAKKVMIVITDGESHDSPDLEKVIRQSEKDNVTRYAVAVL GYYNRRGINPETFLNEIKYIASDPDDKHFFNVTDEAALKDIVDALGDRIFSLEGTNKNET SFGLEMSQTGFSSHVVEDGILLGAVGAYDWNGAVLKETSAGKVIPHRESYLKEFPEELKN HAAYLGYTVTSVVSSRQGRVYVAGAPRFNHTGKVILFSMHNNRSLTIHQALRGEQIGSYF GSEITSVDVNDDRVTDVLLVGAPMYFSEGRERGKVYVYNLRQNRFVYNGTLKDSHSYQNA RFGSCIASVQDLNQDSYNDVVVGAPLEDSHRGAIYIFHGFQTNILKKPMQRITASELAPG LQHFGCSIHGQLDLNEDGLVDLAVGALGNAVVLWARPVVQINASLHFEPSKINIFHKDCK RNGRDATCLAAFLCFIPIFLAPHFQTATVGIRYNATMDERRYMPRAHLDEGGDQFTNRAV LLSSGQEHCQRINFHVLDTADYVKPVAFSVEYSLEDPDNGPMLDNGWPTTLRVSVPFWNG CNEDEHCVPDLVLDARSDLPTAMEYCQRVLGRPAQDCSSYTLSFDTTVFIIESTRRRVAV EATLENRGENAYSAVLNISQSENLQFASLIQKDDSDNSIECVNEERRLHKKVCNVSYPFF RAKAKVAFRLDFEFSKSVFLHHLQIHLGAGSDSHEQDSTADDNTALLRFHLKYEADVLFT RSSSLSHFEVKANSSLESYDGIGPPFNCVFKVQNLGFFPIHGVMMKITVPIATRGGNRLL MLRDFFTDQGNTSCNIWGNSTEYRSTPTEEDLSHAPQRNHSNSDVVSIICNLRLAPSQET SFYLVGNLWLTSLKALKYRSLKITVNAALQRQFHSPFIFREEDPSRQVTFEISKQEDWQV PIWIIVGSTLGGLLLLALLVLALWKLGFFKSAKRKREPGLGPIPKELK >ENSMUSP00000106128.1 pep:known chromosome:GRCm38:5:149528679:149611894:1 gene:ENSMUSG00000029658.17 transcript:ENSMUST00000110502.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr95 description:WD40 repeat domain 95 [Source:MGI Symbol;Acc:MGI:1923042] MLLSVSRILRRKNLLVTGGLDRIIRVWNPYLPGKPTGVLRGHRAPVMYVHVAFEENKVFS MSADNTVKVWDLETHGCCCTISSKASGIKGELTACLYLPGPRALCVATGTLAFLHLKVGS VPESHLVRSHQEPVVCCRYNPAFRHVVSCCEASVVKVWDFETGRQVSEFIGTHGNAGITC LTFDSSGRRLVTGGRDGCLKIWSYNNGHCLHTLQHDENQSEVCDCTYMEVNRNRCVIAVG WDRRINVYFDIPRDFHHFRKPQPHWQDDLNHGHKEDILCVAQCPPFLLATSSYDGEIIVW NVISGHMYCKLNTPSPMDGQDHREGPDRSVSQLAFLKTRLTKLDSASAALISNGPGGSVC FWKLFSGSGLVANFTPSREKAQVSGIVVTSGDTLAYLADQHGFIHVYDIQEYGLQGTELQ APNTVTSWRAHVSMVTSLELIEGGSIVLSSSLDCTVRLWSQDGAYIGTFGQNHPWDVFTP DSWGHPRVPFEILTDPRSMPTHRVLETDVPAGCLEGRQEQDHGTEEKDPCEAEKTPNPPS PEGLTVEAEAKADKSRWAAFCHGRLLSARRKPRWKMPELRWPSIYQALRCHELAFVSALG EKPDLSVPGSDLFPVGFCSQETEAALPERKKLSPWSGFLPEC >ENSMUSP00000144234.1 pep:known chromosome:GRCm38:5:149529691:149599907:1 gene:ENSMUSG00000029658.17 transcript:ENSMUST00000201525.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr95 description:WD40 repeat domain 95 [Source:MGI Symbol;Acc:MGI:1923042] MPCSAPKASGMQSHCVPCPQGSQGVLLLQEEEPAGDRGAGSNHQGLESLPAWVWDLETHG CCCTISSKASGIKGELTACLYLPGPRALCVATGTLAFLHLKVGSVPESHLVRSHQEPVVC CRYNPAFRHVVSCCEASVVKVWDFETGRQVSEFIGTHGNAGITCLTFDSSGRRLVTGGRD GCLKIWSYNNGHCLHTLQHGKWGGDSSKWSP >ENSMUSP00000144385.1 pep:known chromosome:GRCm38:5:149529733:149611894:1 gene:ENSMUSG00000029658.17 transcript:ENSMUST00000202902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr95 description:WD40 repeat domain 95 [Source:MGI Symbol;Acc:MGI:1923042] MYQLQGCVNILFLASVGELLRTWKKMPRVEDMPSITLHSVMASPNAEYVRWKVHGDFVSQ LNYYESMKAVISSSNHEPTALVIGSLLNRLEHGGFTVGATDIKEKLNEIRNVGKNVKMGN SSACLALPQRQAECNRTVFRVHKGVKAFSFCRRKNLLVTGGLDRIIRVWNPYLPGKPTGV LRGHRAPVMYVHVAFEENKVFSMSADNTVKVWDLETHGCCCTISSKASGIKGELTACLYL PGPRALCVATGTLAFLHLKVGSVPESHLVRSHQEPVVCCRYNPAFRHVVSCCEASVVKVW DFETGRQVSEFIGTHGNAGITCLTFDSSGRRLVTGGRDGCLKIWSYNNGHCLHTLQHDEN QSEVCDCTYMEVNRNRCVIAVGWDRRINVYFDIPRDFHHFRKPQPHWQDDLNHGHKEDIL CVAQCPPFLLATSSYDGEIIVWNVISGHMYCKLNTPSPMDGQDHREGPDRSVSQLAFLKT RLTKLDSASAALISNGPGGSVCFWKLFSGSGLVANFTPSREKAQVSGIVVTSGDTLAYLA DQHGFIHVYDIQEYGLQGTELQAPNTVTSWRAHVSMVTSLELIEGGSIVLSSSLDCTVRL WSQDGAYIGTFGQNHPWDVFTPDSWGHPRVPFEILTDPRSMPTHRVLETDVPAGCLEGRQ EQDHGTEEKDPCEAEKTPNPPSPEGLTVEAEAKADKSRWAAFCHGRLLSARRKPRWKMPE LRWPSIYQALRCHELAFVSALGEKPDLSVPGSDLFPVGFCSQETEAALPERKKLSPWSGF LPEC >ENSMUSP00000118495.1 pep:known chromosome:GRCm38:4:136284683:136290327:1 gene:ENSMUSG00000051351.14 transcript:ENSMUST00000144217.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp46 description:zinc finger protein 46 [Source:MGI Symbol;Acc:MGI:99192] MAMYLTREEWRPLDPTQRDLYRDVMQENYGNVVSLDFEIRSENEANPKQEFSDDVEFATM SEEPLENAEKNPGSEEAFESGDQAERPWGDLTAEEWVSYPLQQVTDLLVHKEAHAGIRYH ICSQCGKAFSQISDLNRHQKTHTGDRPYKCYECGKGF >ENSMUSP00000115774.1 pep:known chromosome:GRCm38:4:136284775:136290084:1 gene:ENSMUSG00000051351.14 transcript:ENSMUST00000130223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp46 description:zinc finger protein 46 [Source:MGI Symbol;Acc:MGI:99192] MAMYLTREEWRPLDPTQRDLYRDVMQENYGNVVSLDFEIRSENEANPKQEFSDDVEFATM SEEPLENAEKNPGSEE >ENSMUSP00000070216.4 pep:known chromosome:GRCm38:4:136286067:136293942:1 gene:ENSMUSG00000051351.14 transcript:ENSMUST00000069195.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp46 description:zinc finger protein 46 [Source:MGI Symbol;Acc:MGI:99192] MAMYLTREEWRPLDPTQRDLYRDVMQENYGNVVSLDFEIRSENEANPKQEFSDDVEFATM SEEPLENAEKNPGSEEAFESGDQAERPWGDLTAEEWVSYPLQQVTDLLVHKEAHAGIRYH ICSQCGKAFSQISDLNRHQKTHTGDRPYKCYECGKGFSRSSHLIQHQRTHTGERPYDCNE CGKSFGRSSHLIQHQTIHTGEKPHKCTECGKSFCRLSHLIQHQRTHSGEKPYECEECGKS FSRSSHLAQHQRTHTGEKPYECHECGRGFSERSDLIKHYRVHTGERPYKCDECGKNFSQN SDLVRHRRAHTGEKPYHCNECGENFSRISHLVQHQRTHTGEKPYECTACGKSFSRSSHLI THQKIHTGEKPYECNECWRSFGERSDLIKHQRTHTGEKPYECVQCGKGFTQSSNLITHQR VHTGEKPYECTECDKSFSRSSALIKHKRVHTD >ENSMUSP00000116237.1 pep:known chromosome:GRCm38:4:136286104:136290248:1 gene:ENSMUSG00000051351.14 transcript:ENSMUST00000130658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp46 description:zinc finger protein 46 [Source:MGI Symbol;Acc:MGI:99192] MAMYLTREEWRPLDPTQRDLYRDVMQENYGNVVSLDFEIRSENEANPKQEFSDDVEFATM SEEPLENAEKNPGSEEAFESGDQAERPWGDLTAEEWVSYPLQQVTDLLVHKEAHAGIRYH ICSQCGKAFS >ENSMUSP00000041859.9 pep:known chromosome:GRCm38:3:59005825:59094888:1 gene:ENSMUSG00000056476.13 transcript:ENSMUST00000040846.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med12l description:mediator complex subunit 12-like [Source:MGI Symbol;Acc:MGI:2139916] MAAFGLLSYEQRPLKRPRLGPPDVYPQDPKQKEDELTAVNVKQGFNNQPAFTGDEHGSAR NIVINPSKIGAYFSSILAEKLKLNTFQDTGKKKPQVNAKDNYWLVTARSQSAIHSWFSDL AGNKPLAILAKKELPLAKSGVPQVPILSKKEDVFAYLAKYSVPMVRATWLIKMTCAYYSA ISEAKIKKRQAPDPNLEWTQISTRYLREQLVKISDFYHMASSTGDGPVPVPPEVEQAMKQ WEYNEKLAFHMFQEGMLEKHEYLTWILDVLEKIRPVDDSLLKLLLPLMLQYSDEFVQSAY LSRRLAYFCARRLSLLLSDSPNLLAAHSPHMIIGTNNTSIGTPSPGTPGPGMSPVQLAFS DFLSCAQHGPLVYGLSCMLQTVTLCCPSALVWNYSTNENKISNPGSPLDLLQVAPSSLPM PGGNTAFNQQVRARIYEVEQQIKQRGRAVEVRWSFDKCQESTAGVTISRVLHTLEVLDRH CFDRTDSSNSMETLYHKIFWANQNKDNQEVAPNDEAVVTLLCEWAVSCKRSGKHRAMAVA KLLEKRQAEIEAERCGESEVLDEKESISSASLAGSSLPVFQNVLLRFLDTQAPALSDPNS ECEKVEFVNLVLLFCEFIRHDVFSHDAYMCTLISRGDLSVTASTGLRSPAGENSDEHYSK DHDMKMEIFSPMPGESCENINPSLSRRLSVNGEKLLKREKPRELIFPSNYDLLRHLQYAT HFPIPLVRHVSISVYHDDFIRPSVIGCQIIHSLCLL >ENSMUSP00000142903.1 pep:known chromosome:GRCm38:3:59006487:59318682:1 gene:ENSMUSG00000056476.13 transcript:ENSMUST00000199659.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med12l description:mediator complex subunit 12-like [Source:MGI Symbol;Acc:MGI:2139916] MAAFGLLSYEQRPLKRPRLGPPDVYPQDPKQKEDELTAVNVKQGFNNQPAFTGDEHGSAR NIVINPSKIGAYFSSILAEKLKLNTFQDTGKKKPQVNAKDNYWLVTARSQSAIHSWFSDL AGNKPLAILAKKVPILSKKEDVFAYLAKYSVPMVRATWLIKMTCAYYSAISEAKIKKRQA PDPNLEWTQISTRYLREQLVKISDFYHMASSTGDGPVPVPPEVEQAMKQWEYNEKLAFHM FQEGMLEKHEYLTWILDVLEKIRPVDDSLLKLLLPLMLQYSDEFVQSAYLSRRLAYFCAR RLSLLLSDSPNLLAAHSPHMIIGTNNTSIGTPSPGTPGPGMSPVQLAFSDFLSCAQHGPL VYGLSCMLQTVTLCCPSALVWNYSTNENKISNPGSPLDLLQVAPSSLPMPGGNTAFNQQV RARIYEVEQQIKQRGRAVEVRWSFDKCQESTAGVTISRVLHTLEVLDRHCFDRTDSSNSM ETLYHKIFWANQNKDNQEVAPNDEAVVTLLCEWAVSCKRSGKHRAMAVAKLLEKRQAEIE AERCGESEVLDEKESISSASLAGSSLPVFQNVLLRFLDTQAPALSDPNSECEKVEFVNLV LLFCEFIRHDVFSHDAYMCTLISRGDLSVTASTGLRSPAGENSDEHYSKDHDMKMEEHSV MARLGLGSGATHIFDDVDKSDFKSDPASEFPIFSPMPGESCENINPSLSRRLSVNGEKLL KREKPRELIFPSNYDLLRHLQYATHFPIPLDESSSHECNQRTILLYGVGKERDEARHQLK KITKDILKILNKKGTTESGVGDEGQKARKTKQEVFPTPENVFTKLQLLSYFDQHQVTSQI SNNVLEQITSFASGTSYHLPLAHHIQLIFDLMEPALNINGLIDFAIQLLNELSVVEAELL LKSSSLAGSYTTGLCVCIVAVLRRYHSCLILNPDQTAQVFEGLCGVVKHVVNPSECSSPE RCILAYLYDLYVSCSHLRSKFGDLFSSACSKVKQTIYNNVMPANSNLRWDPDFMMDFIEN PSARSINYSMLGKILNDNAANRYSFVCNTLMNVCMGHQDAGRINDIANFSSELTACCTVL SSEWLGVLKALCCSSNHVWGFNDVLCTVDVSDLSFHDSLATFIAILIARQCFSLEDVVQH VALPSLLAAACGDADAEPGARMTCRLLLHLFRAPQACFFPQGTGKPFPGIRSSCDRHLLA AAHNSIEVGAVFAVLKAIMMLGDAKIGSNNVNTMKNEDFGMRGLRRDGNAEDAWATSQNS KSYGKSISIETANLREYARYVLRTICQQEWVGEHCLKEPERLCTDKELILDPVLSNMQAQ KLLQLICYPHGIKECTEGDNLQRQHIKRILQNLEQWTLRQSWLELQLMIKQCLKDPSSGS VAEMNNLLDNIAKATIEVFQQSADLNNNASNSGMSLFNPNTIGSVDPSSTRQNGIKTFLS SSERRGVWLVAPLIARLPTSVQGRVLKAAGEELEKGQHLGSSSKKERDRQKQKSMSLLSQ QPFLSLVLTCLKGQDEQREGLLTSLQNQVNQILSNWREERYQDDTKARQMMHEALQLRLN LVGGMFDTVQRSTQGTTDWALLLLQIITSGTVDMHTNNELFTTVLDMLGVLINGTLASDL SSASPGGSEENKRAYMNLVKKLKKELGDKRSESIDKVRQLLPLPKQTCDVITCEPMGSLI DTKGNKIAGFDSIDKKQGLQVSTKQKVSPWDLFEGQKNPAPLSWAWFGTVRVDRKVIKYE EQQHFLLYHTHTMPKPRSYYLEPLPLPPEEEEEELTSPVSQEPERKSAELSDQGKATADE EKKTKGRKRKTKSSSRIDEYQQTNLYRVPPNYSPMSSQMTHHPQPALWGYNLVSQPQQPS FFLQNPSLNPGGSRLDPAGSFVPTNTKQALSNMLQRRSGAMLQPPSLHAVTSQQQLLQMK LLQQQQQQQQQQQQQQQRLLRQAQTRPFQQGQPGDQAALFTAQARPSPQLPQYPGLQQAQ TMPQGYTMYGTQMPLQQAAQQQPGGVVLSPSYNSRAYPAAHSSPALMERLRQLQQQPSGY VQQQASPYLQPVAGSQRLNHQALQQSPLVGGGIDAVLTPAHPNLPSVPLPQDPMRPRQQQ VRQQQRLLQMQQPQQAPQPQQPSQTQSQALGLQAMQPQQPLFPRQGLQQTQQQQQTAALV RQLQKQLSSNQPQQGVTPCAHPSHF >ENSMUSP00000143419.1 pep:known chromosome:GRCm38:3:59257062:59315902:1 gene:ENSMUSG00000056476.13 transcript:ENSMUST00000197374.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Med12l description:mediator complex subunit 12-like [Source:MGI Symbol;Acc:MGI:2139916] XRQSWLELQLMIKQCLKDPSSGSVAEMNNLLDNIAKATIEVFQQSADLNNNASNSGMSLF NPNTIGSVDPSSTRQNGIKTFLSSSERRGVWLVAPLIARLPTSVQGRVLKAAGEELEKGQ HLGSSSKKERDRQKQKSMSLLSQQPFLSLVLTCLKGQDEQREGLLTSLQNQVNQILSNWR EERYQDDTKARQMMHEALQLRLNLVGGMFDTVQRSTQGTTDWALLLLQIITSGTVDMHTN NELFTTVLDMLGVLINGTLASDLSSASPGGSEENKRAYMNLVKKLKHSDLSWRTRLPLCA P >ENSMUSP00000029393.8 pep:known chromosome:GRCm38:3:59006978:59093788:1 gene:ENSMUSG00000056476.13 transcript:ENSMUST00000029393.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med12l description:mediator complex subunit 12-like [Source:MGI Symbol;Acc:MGI:2139916] MAAFGLLSYEQRPLKRPRLGPPDVYPQDPKQKEDELTAVNVKQGFNNQPAFTGDEHGSAR NIVINPSKIGAYFSSILAEKLKLNTFQDTGKKKPQVNAKDNYWLVTARSQSAIHSWFSDL AGNKPLAILAKKELPLAKSGVPQVPILSKKEDVFAYLAKYSVPMVRATWLIKMTCAYYSA ISEAKIKKRQAPDPNLEWTQISTRYLREQLVKISDFYHMASSTGDGPVPVPPEVEQAMKQ WEYNEKLAFHMFQEGMLEKHEYLTWILDVLEKIRPVDDSLLKLLLPLMLQYSDEFVQSAY LSRRLAYFCARRLSLLLSDSPNLLAAHSPHMIIGTNNTSIGTPSPGTPGPGMSPVQLAFS DFLSCAQHGPLVYGLSCMLQTVTLCCPSALVWNYSTNENKISNPGSPLDLLQVAPSSLPM PGGNTAFNQQVRARIYEVEQQIKQRGRAVEVRWSFDKCQESTAGVTISRVLHTLEVLDRH CFDRTDSSNSMETLYHKIFWANQNKDNQEVAPNDEAVVTLLCEWAVSCKRSGKHRAMAVA KLLEKRQAEIEAERCGESEVLDEKESISSASLAGSSLPVFQNVLLRFLDTQAPALSDPNS ECEKVEFVNLVLLFCEFIRHDVFSHDAYMCTLISRGDLSVTASTGLRSPAGENSDEHYSK DHDMKMEIFSPMPGESCENINPSLSRRLSVNGEKLLKREKPRELIFPSNYDLLRHLQYAT HFPIPLVRHVSISVYHDDFIRPSVIGCQIIHSLCLL >ENSMUSP00000042269.7 pep:known chromosome:GRCm38:3:59006978:59318410:1 gene:ENSMUSG00000056476.13 transcript:ENSMUST00000040325.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med12l description:mediator complex subunit 12-like [Source:MGI Symbol;Acc:MGI:2139916] MAAFGLLSYEQRPLKRPRLGPPDVYPQDPKQKEDELTAVNVKQGFNNQPAFTGDEHGSAR NIVINPSKIGAYFSSILAEKLKLNTFQDTGKKKPQVNAKDNYWLVTARSQSAIHSWFSDL AGNKPLAILAKKVPILSKKEDVFAYLAKYSVPMVRATWLIKMTCAYYSAISEAKIKKRQA PDPNLEWTQISTRYLREQLVKISDFYHMASSTGDGPVPVPPEVEQAMKQWEYNEKLAFHM FQEGMLEKHEYLTWILDVLEKIRPVDDSLLKLLLPLMLQYSDEFVQSAYLSRRLAYFCAR RLSLLLSDSPNLLAAHSPHMIIGTNNTSIGTPSPGTPGPGMSPVQLAFSDFLSCAQHGPL VYGLSCMLQTVTLCCPSALVWNYSTNENKISNPGSPLDLLQVAPSSLPMPGGNTAFNQQV RARIYEVEQQIKQRGRAVEVRWSFDKCQESTAGVTISRVLHTLEVLDRHCFDRTDSSNSM ETLYHKIFWANQNKDNQEVAPNDEAVVTLLCEWAVSCKRSGKHRAMAVAKLLEKRQAEIE AERCGESEVLDEKESISSASLAGSSLPVFQNVLLRFLDTQAPALSDPNSECEKVEFVNLV LLFCEFIRHDVFSHDAYMCTLISRGDLSVTASTGLRSPAGENSDEHYSKDHDMKMEIFSP MPGESCENINPSLSRRLSVNGEKLLKREKPRELIFPSNYDLLRHLQYATHFPIPLDESSS HECNQRTILLYGVGKERDEARHQLKKITKDILKILNKKGTTESGVGDEGQKARKTKQEVF PTPENVFTKLQLLSYFDQHQVTSQISNNVLEQITSFASGTSYHLPLAHHIQLIFDLMEPA LNINGLIDFAIQLLNELSVVEAELLLKSSSLAGSYTTGLCVCIVAVLRRYHSCLILNPDQ TAQVFEGLCGVVKHVVNPSECSSPERCILAYLYDLYVSCSHLRSKFGDLFSSACSKVKQT IYNNVMPANSNLRWDPDFMMDFIENPSARSINYSMLGKILNDNAANRYSFVCNTLMNVCM GHQDAGRINDIANFSSELTACCTVLSSEWLGVLKALCCSSNHVWGFNDVLCTVDVSDLSF HDSLATFIAILIARQCFSLEDVVQHVALPSLLAAACGDADAEPGARMTCRLLLHLFRAPQ ACFFPQGTGKPFPGIRSSCDRHLLAAAHNSIEVGAVFAVLKAIMMLGDAKIGSNNVNTMK NEDFGMRGLRRDGNAEDAWATSQNSKSYGKSISIETANLREYARYVLRTICQQEWVGEHC LKEPERLCTDKELILDPVLSNMQAQKLLQLICYPHGIKECTEGDNLQRQHIKRILQNLEQ WTLRQSWLELQLMIKQCLKDPSSGSVAEMNNLLDNIAKATIEVFQQSADLNNNASNSGMS LFNPNTIGSVDPSSTRQNGIKTFLSSSERRGVWLVAPLIARLPTSVQGRVLKAAGEELEK GQHLGSSSKKERDRQKQKSMSLLSQQPFLSLVLTCLKGQDEQREGLLTSLQNQVNQILSN WREERYQDDTKARQMMHEALQLRLNLVGGMFDTVQRSTQGTTDWALLLLQIITSGTVDMH TNNELFTTVLDMLGVLINGTLASDLSSASPGGSEENKRAYMNLVKKLKKELGDKRSESID KVRQLLPLPKQTCDVITCEPMGSLIDTKGNKIAGFDSIDKKQARGLQVSTKQKVSPWDLF EGQKNPAPLSWAWFGTVRVDRKVIKYEEQQHFLLYHTHTMPKPRSYYLEPLPLPPEEEEE ELTSPVSQEPERKSAELSDQGKATADEEKKTKGRKRKTKSSSRIDEYQQTNLYRVPPNYS PMSSQMTHHPQPALWGYNLVSQPQQPSFFLQNPSLNPGGSRLDPAGSFVPTNTKQALSNM LQRRSGAMLQPPSLHAVTSQQQLLQMKLLQQQQQQQQQQQQQQQRLLRQAQTRPFQQGQP GDQAALFTAQARPSPQLPQYPGLQQAQTMPQGYTMYGTQMPLQQAAQQQPGGVVLSPSYN SRAYPAAHSSPALMERLRQLQQQPSGYVQQQASPYLQPVAGSQRLNHQALQQSPLVGGGI DAVLTPAHPNLPSVPLPQDPMRPRQQQVRQQQRLLQVWGREMQQPQQAPQPQQPSQTQSQ ALGLQAMQPQQPLFPRQGLQQTQQQQQTAALVRQLQKQLSSNQPQQGVTPCAHPSHF >ENSMUSP00000127038.1 pep:known chromosome:GRCm38:3:59006978:59318410:1 gene:ENSMUSG00000056476.13 transcript:ENSMUST00000164225.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med12l description:mediator complex subunit 12-like [Source:MGI Symbol;Acc:MGI:2139916] MAAFGLLSYEQRPLKRPRLGPPDVYPQDPKQKEDELTAVNVKQGFNNQPAFTGDEHGSAR NIVINPSKIGAYFSSILAEKLKLNTFQDTGKKKPQVNAKDNYWLVTARSQSAIHSWFSDL AGNKPLAILAKKVPILSKKEDVFAYLAKYSVPMVRATWLIKMTCAYYSAISEAKIKKRQA PDPNLEWTQISTRYLREQLVKISDFYHMASSTGDGPVPVPPEVEQAMKQWEYNEKLAFHM FQEGMLEKHEYLTWILDVLEKIRPVDDSLLKLLLPLMLQYSDEFVQSAYLSRRLAYFCAR RLSLLLSDSPNLLAAHSPHMIIGTNNTSIGTPSPGTPGPGMSPVQLAFSDFLSCAQHGPL VYGLSCMLQTVTLCCPSALVWNYSTNENKISNPGSPLDLLQVAPSSLPMPGGNTAFNQQV RARIYEVEQQIKQRGRAVEVRWSFDKCQESTAGVTISRVLHTLEVLDRHCFDRTDSSNSM ETLYHKIFWANQNKDNQEVAPNDEAVVTLLCEWAVSCKRSGKHRAMAVAKLLEKRQAEIE AERCGESEVLDEKESISSASLAGSSLPVFQNVLLRFLDTQAPALSDPNSECEKVEFVNLV LLFCEFIRHDVFSHDAYMCTLISRGDLSVTASTGLRSPAGENSDEHYSKDHDMKMEEHSV MARLGLGSGATHIFDDVDKSDFKSDPASEFPIFSPMPGESCENINPSLSRRLSVNGEKLL KREKPRELIFPSNYDLLRHLQYATHFPIPLDESSSHECNQRTILLYGVGKERDEARHQLK KITKDILKILNKKGTTESGVGDEGQKARKTKQEVFPTPENVFTKLQLLSYFDQHQVTSQI SNNVLEQITSFASGTSYHLPLAHHIQLIFDLMEPALNINGLIDFAIQLLNELSVVEAELL LKSSSLAGSYTTGLCVCIVAVLRRYHSCLILNPDQTAQVFEGLCGVVKHVVNPSECSSPE RCILAYLYDLYVSCSHLRSKFGDLFSSACSKVKQTIYNNVMPANSNLRWDPDFMMDFIEN PSARSINYSMLGKILNDNAANRYSFVCNTLMNVCMGHQDAGRINDIANFSSELTACCTVL SSEWLGVLKALCCSSNHVWGFNDVLCTVDVSDLSFHDSLATFIAILIARQCFSLEDVVQH VALPSLLAAACGDADAEPGARMTCRLLLHLFRAPQACFFPQGTGKPFPGIRSSCDRHLLA AAHNSIEVGAVFAVLKAIMMLGDAKIGSNNVNTMKNEDFGMRGLRRDGNAEDAWATSQNS KSYGKSISIETANLREYARYVLRTICQQEWVGEHCLKEPERLCTDKELILDPVLSNMQAQ KLLQLICYPHGIKECTEGDNLQRQHIKRILQNLEQWTLRQSWLELQLMIKQCLKDPSSGS VAEMNNLLDNIAKATIEVFQQSADLNNNASNSGMSLFNPNTIGSVDPSSTRQNGIKTFLS SSERRGVWLVAPLIARLPTSVQGRVLKAAGEELEKGQHLGSSSKKERDRQKQKSMSLLSQ QPFLSLVLTCLKGQDEQREGLLTSLQNQVNQILSNWREERYQDDTKARQMMHEALQLRLN LVGGMFDTVQRSTQGTTDWALLLLQIITSGTVDMHTNNELFTTVLDMLGVLINGTLASDL SSASPGGSEENKRAYMNLVKKLKKELGDKRSESIDKVRQLLPLPKQTCDVITCEPMGSLI DTKGNKIAGFDSIDKKQARGLQVSTKQKVSPWDLFEGQKNPAPLSWAWFGTVRVDRKVIK YEEQQHFLLYHTHTMPKPRSYYLEPLPLPPEEEEEELTSPVSQEPERKSAELSDQGKATA DEEKKTKGRKRKTKSSSRIDEYQQTNLYRVPPNYSPMSSQMTHHPQPALWGYNLVSQPQQ PSFFLQNPSLNPGGSRLDPAGSFVPTNTKQALSNMLQRRSGAMLQPPSLHAVTSQQQLLQ MKLLQQQQQQQQQQQQQQQRLLRQAQTRPFQQGQPGDQAALFTAQARPSPQLPQYPGLQQ AQTMPQGYTMYGTQMPLQQAAQQQPGGVVLSPSYNSRAYPAAHSSPALMERLRQLQQQPS GYVQQQASPYLQPVAGSQRLNHQALQQSPLVGGGIDAVLTPAHPNLPSVPLPQDPMRPRQ QQVRQQQRLLQVWGREMQQPQQAPQPQQPSQTQSQALGLQAMQPQQPLFPRQGLQQTQQQ QQTAALVRQLQKQLSSNQPQQGVTPCAHPSHF >ENSMUSP00000108131.1 pep:known chromosome:GRCm38:5:110176701:110226470:1 gene:ENSMUSG00000029502.14 transcript:ENSMUST00000112512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga3 description:golgi autoantigen, golgin subfamily a, 3 [Source:MGI Symbol;Acc:MGI:96958] MDGASAKQDGLWESKSSSDVSSCPEASLEIVGSLARLPDQQDTAQDASVEVNRGFKEEGS PDRSSQVAICQNGQIPDLQLSLDPTTSPVGPDASTGSTASSLPLEKEEQVRLQARKRLEE QLMQYRVKRHRERSSQPATKMKLFSTLDPELMLNPENLPRASTVAVTKEYSFLRTSVPRG PKVGSLGLLAHSKEKKNSKSSKIRSLADYRTEDPSDSGGLGSTADAVGSSLKQSRSSTSV VSEVSPSSETDNRVESASMTGDSVSEADGNESDSSSHSSLSARGACGVLGNVGMPGTAYM VDGQEISAEALGQFPSIKDVLQAAAAQHQDQNQEANGEVRSRRDSICSSVSMESSLAEPQ DELLQILKDKRRLEGQVEALSLEASQALQEKAELQAQLAALSTRLQAQVEHSHSSQQKQD SLSSEVDTLKQSCWDLERAMTDLQSMLEAKNASLASSNNDLQVAEEQYQRLMAKVEDMQR NILSKDNTVHDLRQQMTALQSQLQQVQLERTTLTSKLQASQAEITSLQHARQWYQQQLTL AQEARVRLQGEMAHIQVGQMTQAGLLEHLKLENVSLSHQLTETQHRSIKEKERIAVQLQS IEADMLDQEAAFVQIREAKTMVEEDLQRRLEEFEGEREQLQKVADAAASLEQQLEQVKLT LFQRDQQLAALQQEHLDVIKQLTSTQEALQAKGQSLDDLHTRYDELQARLEELQREADSR EDAIHFLQNEKIVLEVALQSAKSDKEELDRGARRLEEDTEETSGLLEQLRQDLAVKSNQV EHLQQETATLRKQMQKVKEQFVQQKVMVEAYRRDATSKDQLINELKATKKRLDSEMKELR QELIKLQGEKKTVEVEHSRLQKDMSLVHQQMAELEGHLQSVQKERDEMEIHLQSLKFDKE QMIALTEANETLKKQIEELQQEAKKAITEQKQKMKRLGSDLSSAQKEMKTKHKAYENAVS ILSRRLQEALASKEATDAELNQLRAQSTGGSSDPVLHEKIRALEVELQNVGQSKILLEKE LQEVITMTSQELEESREKVLELEDELQESRGFRRKIKRLEESNKKLALELEHERGKLTGL GQSNAALREHNSILETALAKREADLVQLNLQVQAVLQRKEEEDRQMKQLVQALQVSLEKE KMEVNSLKEQMAAARIEAGHNRRHFKAATLELSEVKKELQAKEHLVQTLQAEVDELQIQD GKHSQEIAQFQTELAEARTQLQLLQKKLDEQMSQQPTGSQEMEDLKWELDQKEREIQSLK QQLDLTEQQGKKELEGTQQTLQTIKSELEMVQEDLSETQKDKFMLQAKVSELKNNMKTLL QQNQQLKLDLRRGAAKKKEPKGESNSSSPATPIKIPDCPVPASLLEELLRPPPAVSKEPL KNLNNCLQQLKQEMDSLQRQMEEHTITVHESLSSWAQVEAAPAEHAHPRGDTKLHNQNSV PRDGLGQ >ENSMUSP00000115367.1 pep:known chromosome:GRCm38:5:110176879:110184566:1 gene:ENSMUSG00000029502.14 transcript:ENSMUST00000139611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga3 description:golgi autoantigen, golgin subfamily a, 3 [Source:MGI Symbol;Acc:MGI:96958] MDGASAKQDGLWESKSSSDVSSCPEASLEIVGSLARLPDQQDTAQDASVEVNRGFKEEGS PDRSSQVAICQNGQIPDLQLSLDPTTSPVGPDASTGVDGFHDNLRNSQGTSAEGSVRKEA L >ENSMUSP00000031477.8 pep:known chromosome:GRCm38:5:110176879:110223201:1 gene:ENSMUSG00000029502.14 transcript:ENSMUST00000031477.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga3 description:golgi autoantigen, golgin subfamily a, 3 [Source:MGI Symbol;Acc:MGI:96958] MDGASAKQDGLWESKSSSDVSSCPEASLEIVGSLARLPDQQDTAQDASVEVNRGFKEEGS PDRSSQVAICQNGQIPDLQLSLDPTTSPVGPDASTGVDGFHDNLRNSQGTSAEGSVRKEA LQSLRLSLPMQETQLCSTASSLPLEKEEQVRLQARKRLEEQLMQYRVKRHRERSSQPATK MKLFSTLDPELMLNPENLPRASTVAVTKEYSFLRTSVPRGPKVGSLGLLAHSKEKKNSKS SKIRSLADYRTEDPSDSGGLGSTADAVGSSLKQSRSSTSVVSEVSPSSETDNRVESASMT GDSVSEADGNESDSSSHSSLSARGACGVLGNVGMPGTAYMVDGQEISAEALGQFPSIKDV LQAAAAQHQDQNQEANGEVRSRRDSICSSVSMESSLAEPQDELLQILKDKRRLEGQVEAL SLEASQALQEKAELQAQLAALSTRLQAQVEHSHSSQQKQDSLSSEVDTLKQSCWDLERAM TDLQSMLEAKNASLASSNNDLQVAEEQYQRLMAKVEDMQRNILSKDNTVHDLRQQMTALQ SQLQQVQLERTTLTSKLQASQAEITSLQHARQWYQQQLTLAQEARVRLQGEMAHIQVGQM TQAGLLEHLKLENVSLSHQLTETQHRSIKEKERIAVQLQSIEADMLDQEAAFVQIREAKT MVEEDLQRRLEEFEGEREQLQKVADAAASLEQQLEQVKLTLFQRDQQLAALQQEHLDVIK QLTSTQEALQAKGQSLDDLHTRYDELQARLEELQREADSREDAIHFLQNEKIVLEVALQS AKSDKEELDRGARRLEEDTEETSGLLEQLRQDLAVKSNQVEHLQQETATLRKQMQKVKEQ FVQQKVMVEAYRRDATSKDQLINELKATKKRLDSEMKELRQELIKLQGEKKTVEVEHSRL QKDMSLVHQQMAELEGHLQSVQKERDEMEIHLQSLKFDKEQMIALTEANETLKKQIEELQ QEAKKAITEQKQKMKRLGSDLSSAQKEMKTKHKAYENAVSILSRRLQEALASKEATDAEL NQLRAQSTGGSSDPVLHEKIRALEVELQNVGQSKILLEKELQEVITMTSQELEESREKVL ELEDELQESRGFRRKIKRLEESNKKLALELEHERGKLTGLGQSNAALREHNSILETALAK READLVQLNLQVQAVLQRKEEEDRQMKQLVQALQVSLEKEKMEVNSLKEQMAAARIEAGH NRRHFKAATLELSEVKKELQAKEHLVQTLQAEVDELQIQDGKHSQEIAQFQTELAEARTQ LQLLQKKLDEQMSQQPTGSQEMEDLKWELDQKEREIQSLKQQLDLTEQQGKKELEGTQQT LQTIKSELEMVQEDLSETQKDKFMLQAKVSELKNNMKTLLQQNQQLKLDLRRGAAKKKEP KGESNSSSPATPIKIPDCPVPASLLEELLRPPPAVSKEPLKNLNNCLQQLKQEMDSLQRQ MEEHTITVHESLSSWAQVEAAPAEHAHPRGDTKLHNQNSVPRDGLGQ >ENSMUSP00000136357.1 pep:known chromosome:GRCm38:6:136801553:136804415:-1 gene:ENSMUSG00000096010.2 transcript:ENSMUST00000179285.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist4h4 description:histone cluster 4, H4 [Source:MGI Symbol;Acc:MGI:2448443] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLK VFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >ENSMUSP00000112571.1 pep:known chromosome:GRCm38:5:130073326:130135729:1 gene:ENSMUSG00000034118.15 transcript:ENSMUST00000118993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpst1 description:protein-tyrosine sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1298231] MVGKLKQNLLLACLVISSVTVFYLGQHAMECHHRIEERSQPARLENPKATVRAGLDIKAN KTFTYHKDMPLIFIGGVPRSGTTLMRAMLDAHPDIRCGEETRVIPRILALKQMWSRSSKE KIRLDEAGVTDEVLDSAMQAFLLEVIVKHGEPAPYLCNKDPFALKSLTYLARLFPNAKFL LMVRDGRASVHSMISRKVTIAGFDLNSYRDCLTKWNRAIETMYNQCMEVGYKKCMLVHYE QLVLHPERWMRTLLKFLHIPWNHSVLHHEEMIGKAGGVSLSKVERSTDQVIKPVNVGALS KWVGKIPPDVLQDMAVIAPMLAKLGYDPYANPPNYGKPDPKILENTRRVYKGEFQLPDFL KEKPQTEQVE >ENSMUSP00000035614.8 pep:known chromosome:GRCm38:5:130079370:130135729:1 gene:ENSMUSG00000034118.15 transcript:ENSMUST00000040721.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpst1 description:protein-tyrosine sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1298231] MVGKLKQNLLLACLVISSVTVFYLGQHAMECHHRIEERSQPARLENPKATVRAGLDIKAN KTFTYHKDMPLIFIGGVPRSGTTLMRAMLDAHPDIRCGEETRVIPRILALKQMWSRSSKE KIRLDEAGVTDEVLDSAMQAFLLEVIVKHGEPAPYLCNKDPFALKSLTYLARLFPNAKFL LMVRDGRASVHSMISRKVTIAGFDLNSYRDCLTKWNRAIETMYNQCMEVGYKKCMLVHYE QLVLHPERWMRTLLKFLHIPWNHSVLHHEEMIGKAGGVSLSKVERSTDQVIKPVNVGALS KWVGKIPPDVLQDMAVIAPMLAKLGYDPYANPPNYGKPDPKILENTRRVYKGEFQLPDFL KEKPQTEQVE >ENSMUSP00000119880.1 pep:known chromosome:GRCm38:5:130093589:130102050:1 gene:ENSMUSG00000034118.15 transcript:ENSMUST00000142838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpst1 description:protein-tyrosine sulfotransferase 1 [Source:MGI Symbol;Acc:MGI:1298231] MVGKLKQNLLLACLVISSVTVFYLGQHAMECHHRIEERSQPARLENPKATVRAGLDIKAN KTFTYHKDMPLIFIGGVPRSGTTLMRAMLDAHPDIRCGEETRVIPRILALKQMWSRSSKE >ENSMUSP00000136329.1 pep:known chromosome:GRCm38:17:74528295:74703356:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000180037.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] MVTGCGAAPPGTVTERLPSVIVLSAGRKMAAAAAEASGPSCSSAAAAAGAGAAGVSEWLV LRDGCMRCDADGLHSLSYHPALNAILAVTSRGTIKVIDGTSGATLQASALSAKPGGQVKC QYISAVDKVIFVDDYAVGCRKDLNGILLLDTALQTPVSKQDDVVQLELPVTEAQQLLSAC IEKIDVSSTEGYDLFITQLKDGLKNTSHETAANHKVAKWATVTFHLPHHVLKSIASAIVN ELKKINQNVAALPVASSVMDRLSYLLPSARPELGVGPGRSVDRALMYSEANRRETFTSWP HVGYRWAQPDPMAQAGFYHQPASSGDDRAMCFTCSVCLVCWEPTDEPWSEHERHSPNCPF VKGEHTQNVPLSVTLATSPAQLPSADGADRIACFGSGSCPQFLAAATKRGKICIWDVSKL MKVHLKFEINAYDPAIVQQLILSGDPSSGVDSRRPTLAWLEDSSSCSDIPKLEGDSDDLL EDSDSEEHSRSDSVTGHTSQKEAMEVSLDITALSILQQPEKLQWEIVANVLEDTVKDLEE LGANPSLTNSKSEKTKEKHQEQHNIPFPCLLAGGLLTYKSPATSPISSNSHRSLDGLSRT QGESISEQGSTDNESCTNSELNSPLVRRTLPVLLLYSIKESDEKAGKIFSQMNNIMSKSL HDDGFTVPQIIEMELDNQEQLLLQDPPVTYIQQFADAAASLTSPDSEKWNSVFPKPGALV QCLRLPKFAEEETLCIDSITPCADGIHLLVGLRTCSVESLSAINQVEALNNLNKLNSALC NRRKGDLESNLAVVNGANISVIQHESPADVPEHLLIRPEQRNVVSGGYLVLYKMNYTTRI VTLEEEPVKIQHIKDPQDTITSLILLPPDILDNREDDCEEPAEEMQLASKNGIEREKKSD ISTLGHLVVTTQGGYVKVLDLSNFEILAKVEPPKKEGTEEQDTFVSVIYCSGTDRLCACT KGGELHFLQIGGTCDDIDEADILVDGSLSKGIEPALEGSRPLSNPSSPGISGVELLVDQP FTLEILTSLVELTRFETLTPRFSATVPPCWVEVQQEQQQRRHPQHLHQQHHGDAAQHTRT WKLQTDSNSWDEHVFELVLPKACMVGHVDFKFVLNSNITSVPQIQVTLLKNKAPGLGKAN ALNIEVEHNGNPSLVDLNEEMHHMDVEESQCLRLCPFLEDHKEDILCGPVWLASGLDLSG HAGMLTLTSPKLVKGMAGGKYRSFLIHVKAVSDRGAADEMCSSGLRPVVRLPSLKQQGHK GYSLASLLAKVAAGKEKSSNVKNENAGGTRKSENLRGCDLLQEVSVTIRRFKKTSICKER VQRCAMLQFSEFHEKLLNTLCRRSDDGQVTEHAQSLVLDALCWLAGVHSNGSGSSKEGNE CLLSKTRKCLSDIVRVCFFEAGRSIAHKCARFLALCISNGKCEPCQPGFGSVLLKALLDN MCFLPAAATGGSVYWYFVLLNYVKDEDLAGCSTACAALLTAVSRQLQDRLTPLEALLQTR YGLYSSPFDPVLFDLEMSGSSWKTVYSSSTAVQSDEIDLSDVLSGNGRVSSCTAAEGSFT SLTGLLEVEPLHFTCVSTSDGTRIERDDASTFTVSSFGVPPAVGGLSSGTVGEASTALSS AAQVALQSLSHAMASAEQQLQVLQEKQQQLLKLQQQKAKLEAKLHQTTAAAAAAASAAAA AAAGPVHNAVPSNPVAAPGFFIHPSDVIPPTPKTTPLFMTPPLTPPNEAVSVVINAELAQ LFPGSVIDPPAVNLAAQNKNSSKSRMNPLGSGLALAISHASHFLQPPPHQSIIIERMHSG ARRFVTLDFGRPILLTDVLIPTCGDLASLSIDIWTLGEEVDGRRLVVATDISTHSLILHD LIPPPVCRFMKITVIGRYGSTNARAKIPLGFYYGHSYILPWESELKLMHDPLRGEGESAS QPEIDQHLAMMVALQEDIQCRYNLACHRLEALLQSIDLPPLNSANNAQYFLRKPDKAVEE DSRVFSAYQDCIQLQLQLNLAHNAVQRLKVAIGASRKLLNETSGPEDLIQTSSTEQLRTI VRYLLDTLLSLLHSSNGHSVPAVLQSTFHAQACEELFKHLCISGTPKIRLHTGLLLVQLC GGERWWGQFLSNVLQELYNSEQLLIFPQDRVFMLLSCIGQRSLSNSGVLESLLNLLDNLL SPLQPELSMHRRTEGVLDIPMISWVVMLVSRLLDYVATVEDEAAAAKKPLNGNQWSFINN NLHTQNLNRSSKGGSSLDRLYSRKIRKQLVHHKQQLNLLKAKQKALVEQMEKEKIQSNKG SSYKLLVEQAKLKQATSKHFKDLIRLRRTAEWSRSNLDTEVTTTKESPEIEPLPFTLAHD RCISVVQKLVLFLLSMDFTCHADLLLFVCKVLARIANATRPTIHLCEIVNEPQLERLLLL LVGTDFNRGDISWGGAWAQYSLTCMLQDILAGELLAPVAAEAMEECTVSEDVGATAGDSD DSLQQSPAQLLETIDEPLTHEIAGTPPLSSLEKDKEIDLELLQDLMEVDIDPLDIDLEKD PLAAKVFKPISSTWYDYWGADYGTYNYNPYIGGLGMPVAKPPSNTEKNGSQTVSVSVSQA LDARLEVGLEQQAELMLKMMSTLEADSILQALTNTSPTFSQSPTGTDDSLLGNLQPANQN SQLMIQLSSVPMLNVCFNKLFSMLQVHHVQLESLLQLWLTLSLNSSSSGNKENGADIFLY NANRIPVISLNQASIASFLTVLAWYPNTLLRTWCLVLHSLTLMTNMQLNSGSSSSIGIQE TTAHLLVSDPNLIHVLVKFLSGTSPHGTNQHSPQVGPTATQAMQEFLTRLQVHLSSTCPQ IFSELLLKLIHILSTERGAFQTGQGPLDAQVKLLEFTLEQNFEVVSVSTISAVIESVTFL VHHYITCSDKVMSRSGSDSSAGARACFGGLFANLIRPGDAKAVCGEMTRDQLMFDLLKLV NILVQLPLSSNREYSARVSVTTNTTDSVSDEEKVSGGKDVNGSSASTPGSPACVADLVLA NQQIMSQILSALGLCNSSAMAMIIGASGLHLTKHENFHGGLDAISVGDGLFTILTTLSKK ASTVHMMLQPILTYMACGYMGRQGSLATCQLSEPLLWFILRVLDTSDALKAFHDMGGVQL ICNNMVTSTRAIVNTARSMVSTIMKFLDSGPNKAVDSTLKTRILASEPDNAEGIHNFAPL GTITSSSPTAQPAEVLLQATPPHRRARSAAWSYIFLPEEAWCDLTIHLPSAVLLKEIHIQ PHLASLATCPSSVSVEVSADGVNMLPLSTPVVTSGLTYIKIQLVKAEVASAVCLRLHRPR DASTLGLSQIKLLGLTAFGTTSSATVNNPFLPSEDQVSKTSIGWLRLLHHCLTHISDLEG MMASAAAPTANLLQTCAALLMSPYCGMHSPNIEVVLVKIGLQSTRIGLKLIDILLRNCAA SGSDPTDLNSPLLFGRLNGLSSDSTIDILYQLGTTQDPGTKDRIQALLKWVSDSAKMAAL KRSGRMNYMCPSSSAVEYGLLMPSPSHLHCVAAILWHSYELLVEYDLPALLDRELFELLF NWSMSLPCNVVLKKAVDSLLCSMCHIHPNYFSLLMGWMGIIPPPVQCHHRLSMTDDSKKQ DLSSSLTDDSKNAQAPLSLTESHLATLASSSQSPEAIKQLLDSGLPSLLVRSLASFCFSH ISYSESIAQSVDNSQDKLRRHHVPQHCNKMPITADLVAPILRFLTEVGNSHIMKDWLGGS EVNPLWTALLFLLCHSGSTAGGHNLGAQQSSTRSASHSSATTTVLTTQQRTAIENATVAF FLQCISCHPNNQKLMAQVLCELFQTAPQRGSLPTSGNISGFVRRLFLQLMLEDEKVTMFL QSPCPLYKGRINATSHVIQHPMFGAGHKFRTLHLPVSTTLSDVLDRVSDTPSITAKLISE QKDDKEKKNHEEKEKVKAENGFQDNYSVVVASGLKSQSKRAMASTPPRPPSRRGRTIPDK IGSASSSADAASKIITVPVFHLFHRLLAGQPLPAEMTLAQLLTLLYDRKLPQGYRSIDLT VKLGSKVITDPSLSKTDSFKRLHPEKDHGDLVGSCPEDEALTPSDECMDGVLDESLLETC PIQSPLQVFAGMGGLALIAERLPMLYPEVIQQVSAPVIASTTQEKPKDSDQFEWVTIEQS GELVYEAPETIAAEPPPVKSAVQATSPIPAHSLAAFGLFLRLPGYAEVLLKERKHAQCLL RLVLGVTDDGEGSHILQSPSANVLPTLPFHVLRSLFSATPLTTDDGVLLRRMALEIGALH LILVCLSALSHHAPRVPNSSLSQTEPQVSNSHNPTSAEEQQLYWAKGTGFGTGSTASGWD VEQALTKQRLEEEHVTCLLQVLASYINPMSGAVNGEAQASPESRAQNSSALPSVLLELLS QSCLIPAMSSYLRNDSVLDMARHVPLYRALLELLRAIASCTSMVPLLLPLSTENGEEEED EQSECQTSVGTLLAKMKTCVDTYTNRLRSKRENVKAGVKPDAPDQEPEGLALLVPDIQRT AEIVHAATANLRQANQEKKLGEYSKKVVMKPKPLSVLKSLEEKYVAVMKKLQFDTFEMVS EDDDGKLGFKVNYHYMSQVKNANDANSAARARRLAQEAVTLSTSLPLSSSSSVFVRCDEE RLDIMKVLITGPADTPYANGCFEFDVYFPQDYPSSPPLVNLETTGGHSVRFNPNLYNDGK VCLSILNTWHGRPEEKWNPQTSSFLQVLVSVQSLILVAEPYFNEPGYERSRGTPSGTQSS REYDGNIRQATVKWAMLEQIRNPSPCFKEVIHKHFYLKRIELMAQCEEWIADIQQYSSDK RVGRTMSHHAAALKRHTAQLREELLKLPCPEGLDPDIEDASPVCRATAGAEDTLTHDHVN PSSSKDLPSDFQL >ENSMUSP00000138732.1 pep:known chromosome:GRCm38:17:74528468:74702383:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000182944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] MVTGCGAAPPGTVTERLPSVIVLSAGRKMAAAAAEASGPSCSSAAAAAGAGAAGVSEWLV LRDGCMRCDADGLHSLSYHPALNAILAVTSRGTIKVIDGTSGATLQASALSAKPGGQVKC QYISAVDKVIFVDDYAVGCRKDLNGILLLDTALQTPVSKQDDVVQLELPVTEAQQLLSAC IEKIDVSSTEGYDLFITQLKDGLKNTSHETAANHKVAKWATVTFHLPHHVLKSIASAIVN ELKKINQNVAALPVASSVMDRLSYLLPSARPELGVGPGRSVDRALMYSEANRRETFTSWP HVGYRWAQPDPMAQAGFYHQPASSGDDRAMCFTCSVCLVCWEPTDEPWSEHERHSPNCPF VKGEHTQNVPLSVTLATSPAQLPSADGADRIACFGSGSCPQFLAAATKRGKICIWDVSKL MKVHLKFEINAYDPAIVQQLILSGDPSSGVDSRRPTLAWLEDSSSCSDIPKLEGDSDDLL EDSDSEEHSRSDSVTGHTSQKEAMEVSLDITALSILQQPEKLQWEIVANVLEDTVKDLEE LGANPSLTNSKSEKTKEKHQEQHNIPFPCLLAGGLLTYKSPATSPISSNSHRSLDGLSRT QGESISEQGSTDNESCTNSELNSPLVRRTLPVLLLYSIKESDEKAGKIFSQMNNIMSKSL HDDGFTVPQIIEMELDNQEQLLLQDPPVTYIQQFADAAASLTSPDSEKWNSVFPKPGALV QCLRLPKFAEEETLCIDSITPCADGIHLLVGLRTCSVESLSAINQVEALNNLNKLNSALC NRRKGDLESNLAVVNGANISVIQHESPADVPEHLLIRPEQRNVVSGGYLVLYKMNYTTRI VTLEEEPVKIQHIKDPQDTITSLILLPPDILDNREDDCEEPAEEMQLASKNGIEREKKSD ISTLGHLVVTTQGGYVKVLDLSNFEILAKVEPPKKEGTEEQDTFVSVIYCSGTDRLCACT KGGELHFLQIGGTCDDIDEADILVDGSLSKGIEPALEGSRPLSNPSSPGISGVELLVDQP FTLEILTSLVELTRFETLTPRFSATVPPCWVEVQQEQQQRRHPQHLHQQHHGDAAQHTRT WKLQTDSNSWDEHVFELVLPKACMVGHVDFKFVLNSNITSVPQIQVTLLKNKAPGLGKAN ALNIEVEHNGNPSLVDLNEEMHHMDVEESQCLRLCPFLEDHKEDILCGPVWLASGLDLSG HAGMLTLTSPKLVKGMAGGKYRSFLIHVKAVSDRGAADEMCSSGLRPVVRLPSLKQQGHK GYSLASLLAKVAAGKEKSSNVKNENAGGTRKSENLRGCDLLQEVSVTIRRFKKTSICKER VQRCAMLQFSEFHEKLLNTLCRRSDDGQVTEHAQSLVLDALCWLAGVHSNGSGSSKEGNE CLLSKTRKCLSDIVRVCFFEAGRSIAHKCARFLALCISNGKCEPCQPGFGSVLLKALLDN MCFLPAAATGGSVYWYFVLLNYVKDEDLAGCSTACAALLTAVSRQLQDRLTPLEALLQTR YGLYSSPFDPVLFDLEMSGSSWKTVYSSSTAVQSDEIDLSDVLSGNGRVSSCTAAEGSFT SLTGLLEVEPLHFTCVSTSDGTRIERDDAMSSFGVPPAVGGLSSGTVGEASTALSSAAQV ALQSLSHAMASAEQQLQVLQEKQQQLLKLQQQKAKLEAKLHQTTAAAAAAASAAAAAAAG PVHNAVPSNPVAAPGFFIHPSDVIPPTPKTTPLFMTPPLTPPNEAVSVVINAELAQLFPG SVIDPPAVNLAAQNKNSSKSRMNPLGSGLALAISHASHFLQPPPHQSIIIERMHSGARRF VTLDFGRPILLTDVLIPTCGDLASLSIDIWTLGEEVDGRRLVVATDISTHSLILHDLIPP PVCRFMKITVIGRYGSTNARAKIPLGFYYGHSYILPWESELKLMHDPLRGEGESASQPEI DQHLAMMVALQEDIQCRYNLACHRLEALLQSIDLPPLNSANNAQYFLRKPDKAVEEDSRV FSAYQDCIQLQLQLNLAHNAVQRLKVAIGASRKLLNETSGPEDLIQTSSTEQLRTIVRYL LDTLLSLLHSSNGHSVPAVLQSTFHAQACEELFKHLCISGTPKIRLHTGLLLVQLCGGER WWGQFLSNVLQELYNSEQLLIFPQDRVFMLLSCIGQRSLSNSGVLESLLNLLDNLLSPLQ PELSMHRRTEGVLDIPMISWVVMLVSRLLDYVATVEDEAAAAKKPLNGNQWSFINNNLHT QNLNRSSKGGSSLDRLYSRKIRKQLVHHKQQLNLLKAKQKALVEQMEKEKIQSNKGSSYK LLVEQAKLKQATSKHFKDLIRLRRTAEWSRSNLDTEVTTTKESPEIEPLPFTLAHDRCIS VVQKLVLFLLSMDFTCHADLLLFVCKVLARIANATRPTIHLCEIVNEPQLERLLLLLVGT DFNRGDISWGGAWAQYSLTCMLQDILAGELLAPVAAEAMEECTVSEDVGATAGDSDDSLQ QSPAQLLETIDEPLTHEIAGTPPLSSLEKDKEIDLELLQDLMEVDIDPLDIDLEKDPLAA KVFKPISSTWYDYWGADYGTYNYNPYIGGLGMPVAKPPSNTEKNGSQTVSVSVSQALDAR LEVGLEQQAELMLKMMSTLEADSILQALTNTSPTFSQSPTGTDDSLLGNLQPANQNSQLM IQLSSVPMLNVCFNKLFSMLQVHHVQLESLLQLWLTLSLNSSSSGNKENGADIFLYNANR IPVISLNQASIASFLTVLAWYPNTLLRTWCLVLHSLTLMTNMQLNSGSSSSIGIQETTAH LLVSDPNLIHVLVKFLSGTSPHGTNQHSPQVGPTATQAMQEFLTRLQVHLSSTCPQIFSE LLLKLIHILSTERGAFQTGQGPLDAQVKLLEFTLEQNFEVVSVSTISAVIESVTFLVHHY ITCSDKVMSRSGSDSSAGARACFGGLFANLIRPGDAKAVCGEMTRDQLMFDLLKLVNILV QLPLSSNREYSARVSVTTNTTDSVSDEEKVSGGKDVNGSSASTPGSPACVADLVLANQQI MSQILSALGLCNSSAMAMIIGASGLHLTKHENFHGGLDAISVGDGLFTILTTLSKKASTV HMMLQPILTYMACGYMGRQGSLATCQLSEPLLWFILRVLDTSDALKAFHDMGGVQLICNN MVTSTRAIVNTARSMVSTIMKFLDSGPNKAVDSTLKTRILASEPDNAEGIHNFAPLGTIT SSSPTAQPAEVLLQATPPHRRARSAAWSYIFLPEEAWCDLTIHLPSAVLLKEIHIQPHLA SLATCPSSVSVEVSADGVNMLPLSTPVVTSGLTYIKIQLVKAEVASAVCLRLHRPRDAST LGLSQIKLLGLTAFGTTSSATVNNPFLPSEDQVSKTSIGWLRLLHHCLTHISDLEGMMAS AAAPTANLLQTCAALLMSPYCGMHSPNIEVVLVKIGLQSTRIGLKLIDILLRNCAASGSD PTDLNSPLLFGRLNGLSSDSTIDILYQLGTTQDPGTKDRIQALLKWVSDSAKMAALKRSG RMNYMCPSSSAVEYGLLMPSPSHLHCVAAILWHSYELLVEYDLPALLDRELFELLFNWSM SLPCNVVLKKAVDSLLCSMCHIHPNYFSLLMGWMGIIPPPVQCHHRLSMTDDSKKQDLSS SLTDDSKNAQAPLSLTESHLATLASSSQSPEAIKQLLDSGLPSLLVRSLASFCFSHISYS ESIAQSVDNSQDKLRRHHVPQHCNKMPITADLVAPILRFLTEVGNSHIMKDWLGGSEVNP LWTALLFLLCHSGSTAGGHNLGAQQSSTRSASHSSATTTVLTTQQRTAIENATVAFFLQC ISCHPNNQKLMAQVLCELFQTAPQRGSLPTSGNISGFVRRLFLQLMLEDEKVTMFLQSPC PLYKGRINATSHVIQHPMFGAGHKFRTLHLPVSTTLSDVLDRVSDTPSITAKLISEQKDD KEKKNHEEKEKVKAENGFQDNYSVVVASGLKSQSKRAMASTPPRPPSRRGRTIPDKIGSA SSSADAASKIITVPVFHLFHRLLAGQPLPAEMTLAQLLTLLYDRKLPQGYRSIDLTVKLG SKVITDPSLSKTDSFKRLHPEKDHGDLVGSCPEDEALTPSDECMDGVLDESLLETCPIQS PLQVFAGMGGLALIAERLPMLYPEVIQQVSAPVIASTTQEKPKDSDQFEWVTIEQSGELV YEAPETIAAEPPPVKSAVQATSPIPAHSLAAFGLFLRLPGYAEVLLKERKHAQCLLRLVL GVTDDGEGSHILQSPSANVLPTLPFHVLRSLFSATPLTTDDGVLLRRMALEIGALHLILV CLSALSHHAPRVPNSSLSQTEPQVSNSHNPTSAEEQQLYWAKGTGFGTGSTASGWDVEQA LTKQRLEEEHVTCLLQVLASYINPMSGAVNGEAQASPESRAQNSSALPSVLLELLSQSCL IPAMSSYLRNDSVLDMARHVPLYRALLELLRAIASCTSMVPLLLPLSTENGEEEEDEQSE CQTSVGTLLAKMKTCVDTYTNRLRSKRENVKAGVKPDAPDQEPEGLALLVPDIQRTAEIV HAATANLRQANQEKKLGEYSKKVVMKPKPLSVLKSLEEKYVAVMKKLQFDTFEMVSEDDD GKLGFKVNYHYMSQVKNANDANSAARARRLAQEAVTLSTSLPLSSSSSVFVRCDEERLDI MKVLITGPADTPYANGCFEFDVYFPQDYPSSPPLVNLETTGGHSVRFNPNLYNDGKVCLS ILNTWHGRPEEKWNPQTSSFLQVLVSVQSLILVAEPYFNEPGYERSRGTPSGTQSSREYD GNIRQATVKWAMLEQIRNPSPCFKEVIHKHFYLKRIELMAQCEEWIADIQQYSSDKRVGR TMSHHAAALKRHTAQLREELLKLPCPEGLDPDIEDASPVCRATAGAEDTLTHDHVNPSSS KDLPSDFQL >ENSMUSP00000138333.1 pep:known chromosome:GRCm38:17:74528468:74702383:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000182597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] MVTGCGAAPPGTVTERLPSVIVLSAGRKMAAAAAEASGPSCSSAAAAAGAGAAGVSEWLV LRDGCMRCDADGLHSLSYHPALNAILAVTSRGTIKVIDGTSGATLQASALSAKPGGQVKC QYISAVDKVIFVDDYAVGCRKDLNGILLLDTALQTPVSKQDDVVQLELPVTEAQQLLSAC IEKIDVSSTEGYDLFITQLKDGLKNTSHETAANHKVAKWATVTFHLPHHVLKSIASAIVN ELKKINQNVAALPVASSVMDRLSYLLPSARPELGVGPGRSVDRALMYSEANRRETFTSWP HVGYRWAQPDPMAQAGFYHQPASSGDDRAMCFTCSVCLVCWEPTDEPWSEHERHSPNCPF VKGEHTQNVPLSVTLATSPAQLPSADGADRIACFGSGSCPQFLAAATKRGKICIWDVSKL MKVHLKFEINAYDPAIVQQLILSGDPSSGVDSRRPTLAWLEDSSSCSDIPKLEGDSDDLL EDSDSEEHSRSDSVTGHTSQKEAMEVSLDITALSILQQPEKLQWEIVANVLEDTVKDLEE LGANPSLTNSKSEKTKEKHQEQHNIPFPCLLAGGLLTYKSPATSPISSNSHRSLDGLSRT QGESISEQGSTDNESCTNSELNSPLVRRTLPVLLLYSIKESDEKAGKIFSQMNNIMSKSL HDDGFTVPQIIEMELDNQEQLLLQDPPVTYIQQFADAAASLTSPDSEKWNSVFPKPGALV QCLRLPKFAEEETLCIDSITPCADGIHLLVGLRTCSVESLSAINQVEALNNLNKLNSALC NRRKGDLESNLAVVNGANISVIQHESPADVPEHLLIRPEQRNVVSGGYLVLYKMNYTTRI VTLEEEPVKIQHIKDPQDTITSLILLPPDILDNREDDCEEPAEEMQLASKNGIEREKKSD ISTLGHLVVTTQGGYVKVLDLSNFEILAKVEPPKKEGTEEQDTFVSVIYCSGTDRLCACT KGGELHFLQIGGTCDDIDEADILVDGSLSKGIEPALEGSRPLSNPSSPGISGVELLVDQP FTLEILTSLVELTRFETLTPRFSATVPPCWVEVQQEQQQRRHPQHLHQQHHGDAAQHTRT WKLQTDSNSWDEHVFELVLPKACMVGHVDFKFVLNSNITSVPQIQVTLLKNKAPGLGKAN ALNIEVEHNGNPSLVDLNEEMHHMDVEESQCLRLCPFLEDHKEDILCGPVWLASGLDLSG HAGMLTLTSPKLVKGMAGGKYRSFLIHVKAVSDRGAADEMCSSGLRPVVRLPSLKQQGHK GYSLASLLAKVAAGKEKSSNVKNENAGGTRKSENLRGCDLLQEVSVTIRRFKKTSICKER VQRCAMLQFSEFHEKLLNTLCRRSDDGQVTEHAQSLVLDALCWLAGVHSNGSGSSKEGNE CLLSKTRKCLSDIVRVCFFEAGRSIAHKCARFLALCISNGKCEPCQPGFGSVLLKALLDN MCFLPAAATGGSVYWYFVLLNYVKDEDLAGCSTACAALLTAVSRQLQDRLTPLEALLQTR YGLYSSPFDPVLFDLEMSGSSWKTVYSSSTAVQSDEIDLSDVLSGNGRVSSCTAAEGSFT SLTGLLEVEPLHFTCVSTSDGTRIERDDASTFTVSSFGVPPAVGGLSSGTVGEASTALSS AAQVALQSLSHAMASAEQQLQVLQEKQQQLLKLQQQKAKLEAKLHQTTAAAAAAASAAAA AAAGPVHNAVPSNPVAAPGFFIHPSDVIPPTPKTTPLFMTPPLTPPNEAVSVVINAELAQ LFPGSVIDPPAVNLAAQNKNSSKSRMNPLGSGLALAISHASHFLQPPPHQSIIIERMHSG ARRFVTLDFGRPILLTDVLIPTCGDLASLSIDIWTLGEEVDGRRLVVATDISTHSLILHD LIPPPVCRFMKITVIGRYGSTNARAKIPLGFYYGHSYILPWESELKLMHDPLRGEGESAS QPEIDQHLAMMVALQEDIQCRYNLACHRLEALLQSIDLPPLNSANNAQYFLRKPDKAVEE DSRVFSAYQDCIQLQLQLNLAHNAVQRLKVAIGASRKLLNETSGPEDLIQTSSTEQLRTI VRYLLDTLLSLLHSSNGHSVPAVLQSTFHAQACEELFKHLCISGTPKIRLHTGLLLVQLC GGERWWGQFLSNVLQELYNSEQLLIFPQDRVFMLLSCIGQRSLSNSGVLESLLNLLDNLL SPLQPELSMHRRTEGVLDIPMISWVVMLVSRLLDYVATVEDEAAAAKKPLNGKDRERFLT GNQWSFINNNLHTQNLNRSSKGGSSLDRLYSRKIRKQLVHHKQQLNLLKAKQKALVEQME KEKIQSNKGSSYKLLVEQAKLKQATSKHFKDLIRLRRTAEWSRSNLDTEVTTTKESPEIE PLPFTLAHDRCISVVQKLVLFLLSMDFTCHADLLLFVCKVLARIANATRPTIHLCEIVNE PQLERLLLLLVGTDFNRGDISWGGAWAQYSLTCMLQDILAGELLAPVAAEAMEECTVSED VGATAGDSDDSLQQSPAQLLETIDEPLTHEIAGTPPLSSLEKDKEIDLELLQDLMEVDID PLDIDLEKDPLAAKVFKPISSTWYDYWGADYGTYNYNPYIGGLGMPVAKPPSNTEKNGSQ TVSVSVSQALDARLEVGLEQQAELMLKMMSTLEADSILQALTNTSPTFSQSPTGTDDSLL GNLQPANQNSQLMIQLSSVPMLNVCFNKLFSMLQVHHVQLESLLQLWLTLSLNSSSSGNK ENGADIFLYNANRIPVISLNQASIASFLTVLAWYPNTLLRTWCLVLHSLTLMTNMQLNSG SSSSIGIQETTAHLLVSDPNLIHVLVKFLSGTSPHGTNQHSPQVGPTATQAMQEFLTRLQ VHLSSTCPQIFSELLLKLIHILSTERGAFQTGQGPLDAQVKLLEFTLEQNFEVVSVSTIS AVIESVTFLVHHYITCSDKVMSRSGSDSSAGARACFGGLFANLIRPGDAKAVCGEMTRDQ LMFDLLKLVNILVQLPLSSNREYSARVSVTTNTTDSVSDEEKVSGGKDVNGSSASTPGSP ACVADLVLANQQIMSQILSALGLCNSSAMAMIIGASGLHLTKHENFHGGLDAISVGDGLF TILTTLSKKASTVHMMLQPILTYMACGYMGRQGSLATCQLSEPLLWFILRVLDTSDALKA FHDMGGVQLICNNMVTSTRAIVNTARSMVSTIMKFLDSGPNKAVDSTLKTRILASEPDNA EGIHNFAPLGTITSSSPTAQPAEVLLQATPPHRRARSAAWSYIFLPEEAWCDLTIHLPSA VLLKEIHIQPHLASLATCPSSVSVEVSADGVNMLPLSTPVVTSGLTYIKIQLVKAEVASA VCLRLHRPRDASTLGLSQIKLLGLTAFGTTSSATVNNPFLPSEDQVSKTSIGWLRLLHHC LTHISDLEGMMASAAAPTANLLQTCAALLMSPYCGMHSPNIEVVLVKIGLQSTRIGLKLI DILLRNCAASGSDPTDLNSPLLFGRLNGLSSDSTIDILYQLGTTQDPGTKDRIQALLKWV SDSAKMAALKRSGRMNYMCPSSSAVEYGLLMPSPSHLHCVAAILWHSYELLVEYDLPALL DRELFELLFNWSMSLPCNVVLKKAVDSLLCSMCHIHPNYFSLLMGWMGIIPPPVQCHHRL SMTDDSKKQDLSSSLTDDSKNAQAPLSLTESHLATLASSSQSPEAIKQLLDSGLPSLLVR SLASFCFSHISYSESIAQSVDNSQDKLRRHHVPQHCNKMPITADLVAPILRFLTEVGNSH IMKDWLGGSEVNPLWTALLFLLCHSGSTAGGHNLGAQQSSTRSASHSSATTTVLTTQQRT AIENATVAFFLQCISCHPNNQKLMAQVLCELFQTAPQRGSLPTSGNISGFVRRLFLQLML EDEKVTMFLQSPCPLYKGRINATSHVIQHPMFGAGHKFRTLHLPVSTTLSDVLDRVSDTP SITAKLISEQKDDKEKKNHEEKEKVKAENGFQDNYSVVVASGLKSQSKRAMASTPPRPPS RRGRTIPDKIGSASSSADAASKIITVPVFHLFHRLLAGQPLPAEMTLAQLLTLLYDRKLP QGYRSIDLTVKLGSKVITDPSLSKTDSFKRLHPEKDHGDLVGSCPEDEALTPSDECMDGV LDESLLETCPIQSPLQVFAGMGGLALIAERLPMLYPEVIQQVSAPVIASTTQEKPKDSDQ FEWVTIEQSGELVYEAPETIAAEPPPVKSAVQATSPIPAHSLAAFGLFLRLPGYAEVLLK ERKHAQCLLRLVLGVTDDGEGSHILQSPSANVLPTLPFHVLRSLFSATPLTTDDGVLLRR MALEIGALHLILVCLSALSHHAPRVPNSSLSQTEPQVSNSHNPTSAEEQQLYWAKGTGFG TGSTASGWDVEQALTKQRLEEEHVTCLLQVLASYINPMSGAVNGEAQASPESRAQNSSAL PSVLLELLSQSCLIPAMSSYLRNDSVLDMARHVPLYRALLELLRAIASCTSMVPLLLPLS TENGEEEEDEQSECQTSVGTLLAKMKTCVDTYTNRLRSKRENVKAGVKPDAPDQEPEGLA LLVPDIQRTAEIVHAATANLRQANQEKKLGEYSKKVVMKPKPLSVLKSLEEKYVAVMKKL QFDTFEMVSEDDDGKLGFKVNYHYMSQVKNANDANSAARARRLAQEAVTLSTSLPLSSSS SVFVRCDEERLDIMKVLITGPADTPYANGCFEFDVYFPQDYPSSPPLVNLETTGGHSVRF NPNLYNDGKVCLSILNTWHGRPEEKWNPQTSSFLQVLVSVQSLILVAEPYFNEPGYERSR GTPSGTQSSREYDGNIRQATVKWAMLEQIRNPSPCFKEVIHKHFYLKRIELMAQCEEWIA DIQQYSSDKRVGRTMSHHAAALKRHTAQLREELLKLPCPEGLDPDIEDASPVCRATAGAE DTLTHDHVNPSSSKDLPSDFQL >ENSMUSP00000138693.1 pep:known chromosome:GRCm38:17:74528468:74702383:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000182133.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] MVTGCGAAPPGTVTERLPSVIVLSAGRKMAAAAAEASGPSCSSAAAAAGAGAAGVSEWLV LRDGCMRCDADGLHSLSYHPALNAILAVTSRGTIKVIDGTSGATLQASALSAKPGGQVKC QYISAVDKVIFVDDYAVGCRKDLNGILLLDTALQTPVSKQDDVVQLELPVTEAQQLLSAC IEKIDVSSTEGYDLFITQLKDGLKNTSHETAANHKVAKWATVTFHLPHHVLKSIASAIVN ELKKINQNVAALPVASSVMDRLSYLLPSARPELGVGPGRSVDRALMYSEANRRETFTSWP HVGYRWAQPDPMAQAGFYHQPASSGDDRAMCFTCSVCLVCWEPTDEPWSEHERHSPNCPF VKGEHTQNVPLSVTLATSPAQLPSADGADRIACFGSGSCPQFLAAATKRGKICIWDVSKL MKVHLKFEINAYDPAIVQQLILSGDPSSGVDSRRPTLAWLEDSSSCSDIPKLEGDSDDLL EDSDSEEHSRSDSVTGHTSQKEAMEVSLDITALSILQQPEKLQWEIVANVLEDTVKDLEE LGANPSLTNSKSEKTKEKHQEQHNIPFPCLLAGGLLTYKSPATSPISSNSHRSLDGLSRT QGESISEQGSTDNESCTNSELNSPLVRRTLPVLLLYSIKESDEKAGKIFSQMNNIMSKSL HDDGFTVPQIIEMELDNQEQLLLQDPPVTYIQQFADAAASLTSPDSEKWNSVFPKPGALV QCLRLPKFAEEETLCIDSITPCADGIHLLVGLRTCSVESLSAINQVEALNNLNKLNSALC NRRKGDLESNLAVVNGANISVIQHESPADVPEHLLIRPEQRNVVSGGYLVLYKMNYTTRI VTLEEEPVKIQHIKDPQDTITSLILLPPDILDNREDDCEEPAEEMQLASKNGIEREKKSD ISTLGHLVVTTQGGYVKVLDLSNFEILAKVEPPKKEGTEEQDTFVSVIYCSGTDRLCACT KGGELHFLQIGGTCDDIDEADILVDGSLSKGIEPALEGSRPLSNPSSPGISGVELLVDQP FTLEILTSLVELTRFETLTPRFSATVPPCWVEVQQEQQQRRHPQHLHQQHHGDAAQHTRT WKLQTDSNSWDEHVFELVLPKACMVGHVDFKFVLNSNITSVPQIQVTLLKNKAPGLGKAN ALNIEVEHNGNPSLVDLNEEMHHMDVEESQCLRLCPFLEDHKEDILCGPVWLASGLDLSG HAGMLTLTSPKLVKGMAGGKYRSFLIHVKAVSDRGAADEMCSSGLRPVVRLPSLKQQGHK GYSLASLLAKVAAGKEKSSNVKNENAGGTRKSENLRGCDLLQEVSVTIRRFKKTSICKER VQRCAMLQFSEFHEKLLNTLCRRSDDGQVTEHAQSLVLDALCWLAGVHSNGSGSSKEGNE CLLSKTRKCLSDIVRVCFFEAGRSIAHKCARFLALCISNGKCEPCQPGFGSVLLKALLDN MCFLPAAATGGSVYWYFVLLNYVKDEDLAGCSTACAALLTAVSRQLQDRLTPLEALLQTR YGLYSSPFDPVLFDLEMSGSSWKTVYSSSTAVQSDEIDLSDVLSGNGRVSSCTAAEGSFT SLTGLLEVEPLHFTCVSTSDGTRIERDDASTFTVSSFGVPPAVGGLSSGTVGEASTALSS AAQVALQSLSHAMASAEQQLQVLQEKQQQLLKLQQQKAKLEAKLHQTTAAAAAAASAAAA AAAGPVHNAVPSNPVAAPGFFIHPSDVIPPTPKTTPLFMTPPLTPPNEAVSVVINAELAQ LFPGSVIDPPAVNLAAQNKNSSKSRMNPLGSGLALAISHASHFLQPPPHQSIIIERMHSG ARRFVTLDFGRPILLTDVLIPTCGDLASLSIDIWTLGEEVDGRRLVVATDISTHSLILHD LIPPPVCRFMKITVIGRYGSTNARAKIPLGFYYGHSYILPWESELKLMHDPLRGEGESAS QPEIDQHLAMMVALQEDIQCRYNLACHRLEALLQSIDLPPLNSANNAQYFLRKPDKAVEE DSRVFSAYQDCIQLQLQLNLAHNAVQRLKVAIGASRKLLNETSGPEDLIQTSSTEQLRTI VRYLLDTLLSLLHSSNVLQSTFHAQACEELFKHLCISGTPKIRLHTGLLLVQLCGGERWW GQFLSNVLQELYNSEQLLIFPQDRVFMLLSCIGQRSLSNSGVLESLLNLLDNLLSPLQPE LSMHRRTEGVLDIPMISWVVMLVSRLLDYVATVEDEAAAAKKPLNGNQWSFINNNLHTQN LNRSSKGGSSLDRLYSRKIRKQLVHHKQQLNLLKAKQKALVEQMEKEKIQSNKGSSYKLL VEQAKLKQATSKHFKDLIRLRRTAEWSRSNLDTEVTTTKESPEIEPLPFTLAHDRCISVV QKLVLFLLSMDFTCHADLLLFVCKVLARIANATRPTIHLCEIVNEPQLERLLLLLVGTDF NRGDISWGGAWAQYSLTCMLQDILAGELLAPVAAEAMEECTVSEDVGATAGDSDDSLQQS PAQLLETIDEPLTHEIAGTPPLSSLEKDKEIDLELLQDLMEVDIDPLDIDLEKDPLAAKV FKPISSTWYDYWGADYGTYNYNPYIGGLGMPVAKPPSNTEKNGSQTVSVSVSQALDARLE VGLEQQAELMLKMMSTLEADSILQALTNTSPTFSQSPTGTDDSLLGNLQPANQNSQLMIQ LSSVPMLNVCFNKLFSMLQVHHVQLESLLQLWLTLSLNSSSSGNKENGADIFLYNANRIP VISLNQASIASFLTVLAWYPNTLLRTWCLVLHSLTLMTNMQLNSGSSSSIGIQETTAHLL VSDPNLIHVLVKFLSGTSPHGTNQHSPQVGPTATQAMQEFLTRLQVHLSSTCPQIFSELL LKLIHILSTERGAFQTGQGPLDAQVKLLEFTLEQNFEVVSVSTISAVIESVTFLVHHYIT CSDKVMSRSGSDSSAGARACFGGLFANLIRPGDAKAVCGEMTRDQLMFDLLKLVNILVQL PLSSNREYSARVSVTTNTTDSVSDEEKVSGGKDVNGSSASTPGSPACVADLVLANQQIMS QILSALGLCNSSAMAMIIGASGLHLTKHENFHGGLDAISVGDGLFTILTTLSKKASTVHM MLQPILTYMACGYMGRQGSLATCQLSEPLLWFILRVLDTSDALKAFHDMGGVQLICNNMV TSTRAIVNTARSMVSTIMKFLDSGPNKAVDSTLKTRILASEPDNAEGIHNFAPLGTITSS SPTAQPAEVLLQATPPHRRARSAAWSYIFLPEEAWCDLTIHLPSAVLLKEIHIQPHLASL ATCPSSVSVEVSADGVNMLPLSTPVVTSGLTYIKIQLVKAEVASAVCLRLHRPRDASTLG LSQIKLLGLTAFGTTSSATVNNPFLPSEDQVSKTSIGWLRLLHHCLTHISDLEGMMASAA APTANLLQTCAALLMSPYCGMHSPNIEVVLVKIGLQSTRIGLKLIDILLRNCAASGSDPT DLNSPLLFGRLNGLSSDSTIDILYQLGTTQDPGTKDRIQALLKWVSDSAKMAALKRSGRM NYMCPSSSAVEYGLLMPSPSHLHCVAAILWHSYELLVEYDLPALLDRELFELLFNWSMSL PCNVVLKKAVDSLLCSMCHIHPNYFSLLMGWMGIIPPPVQCHHRLSMTDDSKKQDLSSSL TDDSKNAQAPLSLTESHLATLASSSQSPEAIKQLLDSGLPSLLVRSLASFCFSHISYSES IAQSVDNSQDKLRRHHVPQHCNKMPITADLVAPILRFLTEVGNSHIMKDWLGGSEVNPLW TALLFLLCHSGSTAGGHNLGAQQSSTRSASHSSATTTVLTTQQRTAIENATVAFFLQCIS CHPNNQKLMAQVLCELFQTAPQRGSLPTSGNISGFVRRLFLQLMLEDEKVTMFLQSPCPL YKGRINATSHVIQHPMFGAGHKFRTLHLPVSTTLSDVLDRVSDTPSITAKLISEQKDDKE KKNHEEKEKVKAENGFQDNYSVVVASGLKSQSKRAMASTPPRPPSRRGRTIPDKIGSASS SADAASKIITVPVFHLFHRLLAGQPLPAEMTLAQLLTLLYDRKLPQGYRSIDLTVKLGSK VITDPSLSKTDSFKRLHPEKDHGDLVGSCPEDEALTPSDECMDGVLDESLLETCPIQSPL QVFAGMGGLALIAERLPMLYPEVIQQVSAPVIASTTQEKPKDSDQFEWVTIEQSGELVYE APETIAAEPPPVKSAVQATSPIPAHSLAAFGLFLRLPGYAEVLLKERKHAQCLLRLVLGV TDDGEGSHILQSPSANVLPTLPFHVLRSLFSATPLTTDDGVLLRRMALEIGALHLILVCL SALSHHAPRVPNSSLSQTEPQVSNSHNPTSAEEQQLYWAKGTGFGTGSTASGWDVEQALT KQRLEEEHVTCLLQVLASYINPMSGAVNGEAQASPESRAQNSSALPSVLLELLSQSCLIP AMSSYLRNDSVLDMARHVPLYRALLELLRAIASCTSMVPLLLPLSTENGEEEEDEQSECQ TSVGTLLAKMKTCVDTYTNRLRSKRENVKAGVKPDAPDQEPEGLALLVPDIQRTAEIVHA ATANLRQANQEKKLGEYSKKVVMKPKPLSVLKSLEEKYVAVMKKLQFDTFEMVSEDDDGK LGFKVNYHYMSQVKNANDANSAARARRLAQEAVTLSTSLPLSSSSSVFVRCDEERLDIMK VLITGPADTPYANGCFEFDVYFPQDYPSSPPLVNLETTGGHSVRFNPNLYNDGKVCLSIL NTWHGRPEEKWNPQTSSFLQVLVSVQSLILVAEPYFNEPGYERSRGTPSGTQSSREYDGN IRQATVKWAMLEQIRNPSPCFKEVIHKHFYLKRIELMAQCEEWIADIQQYSSDKRVGRTM SHHAAALKRHTAQLREELLKLPCPEGLDPDIEDASPVCRATAGAEDTLTHDHVNPSSSKD LPSDFQL >ENSMUSP00000138270.1 pep:known chromosome:GRCm38:17:74528552:74702383:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000183224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] MAAAAAEASGPSCSSAAAAAGAGAAGVSEWLVLRDGCMRCDADGLHSLSYHPALNAILAV TSRGTIKVIDGTSGATLQASALSAKPGGQVKCQYISAVDKVIFVDDYAVGCRKDLNGILL LDTALQTPVSKQDDVVQLELPVTEAQQLLSACIEKIDVSSTEGYDLFITQLKDGLKNTSH ETAANHKVAKWATVTFHLPHHVLKSIASAIVNELKKINQNVAALPVASSVMDRLSYLLPS ARPELGVGPGRSVDRALMYSEANRRETFTSWPHVGYRWAQPDPMAQAGFYHQPASSGDDR AMCFTCSVCLVCWEPTDEPWSEHERHSPNCPFVKGEHTQNVPLSVTLATSPAQLPSADGA DRIACFGSGSCPQFLAAATKRGKICIWDVSKLMKVHLKFEINAYDPAIVQQLILSGDPSS GVDSRRPTLAWLEDSSSCSDIPKLEGDSDDLLEDSDSEEHSRSDSVTGHTSQKEAMEVSL DITALSILQQPEKLQWEIVANVLEDTVKDLEELGANPSLTNSKSEKTKEKHQEQHNIPFP CLLAGGLLTYKSPATSPISSNSHRSLDGLSRTQGESISEQGSTDNESCTNSELNSPLVRR TLPVLLLYSIKESDEKAGKIFSQMNNIMSKSLHDDGFTVPQIIEMELDNQEQLLLQDPPV TYIQQFADAAASLTSPDSEKWNSVFPKPGALVQCLRLPKFAEEETLCIDSITPCADGIHL LVGLRTCSVESLSAINQVEALNNLNKLNSALCNRRKGDLESNLAVVNGANISVIQHESPA DVPEHLLIRPEQRNVVSGGYLVLYKMNYTTRIVTLEEEPVKIQHIKDPQDTITSLILLPP DILDNREDDCEEPAEEMQLASKNGIEREKKSDISTLGHLVVTTQGGYVKVLDLSNFEILA KVEPPKKEGTEEQDTFVSVIYCSGTDRLCACTKGGELHFLQIGGTCDDIDEADILVDGSL SKGIEPALEGSRPLSNPSSPGISGVELLVDQPFTLEILTSLVELTRFETLTPRFSATVPP CWVEVQQEQQQRRHPQHLHQQHHGDAAQHTRTWKLQTDSNSWDEHVFELVLPKACMVGHV DFKFVLNSNITSVPQIQVTLLKNKAPGLGKANETAVDRQITFPLSPALNIEVEHNGNPSL VDLNEEMHHMDVEESQCLRLCPFLEDHKEDILCGPVWLASGLDLSGHAGMLTLTSPKLVK GMAGGKYRSFLIHVKAVSDRGAADEMCSSGLRPVVRLPSLKQQGHKGYSLASLLAKVAAG KEKSSNVKNENAGGTRKSENLRGCDLLQEVSVTIRRFKKTSICKERVQRCAMLQFSEFHE KLLNTLCRRSDDGQVTEHAQSLVLDALCWLAGVHSNGSGSSKEGNECLLSKTRKCLSDIV RVCFFEAGRSIAHKCARFLALCISNGKCEPCQPGFGSVLLKALLDNMCFLPAAATGGSVY WYFVLLNYVKDEDLAGCSTACAALLTAVSRQLQDRLTPLEALLQTRYGLYSSPFDPVLFD LEMSGSSWKTVYSSSTAVQSDEIDLSDVLSGNGRVSSCTAAEGSFTSLTGLLEVEPLHFT CVSTSDGTRIERDDASTFTVSSFGVPPAVGGLSSGTVGEASTALSSAAQVALQSLSHAMA SAEQQLQVLQEKQQQLLKLQQQKAKLEAKLHQTTAAAAAAASAAAAAAAGPVHNAVPSNP VAAPGFFIHPSDVIPPTPKTTPLFMTPPLTPPNEAVSVVINAELAQLFPGSVIDPPAVNL AAQNKNSSKSRMNPLGSGLALAISHASHFLQPPPHQSIIIERMHSGARRFVTLDFGRPIL LTDVLIPTCGDLASLSIDIWTLGEEVDGRRLVVATDISTHSLILHDLIPPPVCRFMKITV IGRYGSTNARAKIPLGFYYGHSYILPWESELKLMHDPLRGEGESASQPEIDQHLAMMVAL QEDIQCRYNLACHRLEALLQSIDLPPLNSANNAQYFLRKPDKAVEEDSRVFSAYQDCIQL QLQLNLAHNAVQRLKVAIGASRKLLNETSGPEDLIQTSSTEQLRTIVRYLLDTLLSLLHS SNVLQSTFHAQACEELFKHLCISGTPKIRLHTGLLLVQLCGGERWWGQFLSNVLQELYNS EQLLIFPQDRVFMLLSCIGQRSLSNSGVLESLLNLLDNLLSPLQPELSMHRRTEGVLDIP MISWVVMLVSRLLDYVATVEDEAAAAKKPLNGNQWSFINNNLHTQNLNRSSKGGSSLDRL YSRKIRKQLVHHKQQLNLLKAKQKALVEQMEKEKIQSNKGSSYKLLVEQAKLKQATSKHF KDLIRLRRTAEWSRSNLDTEVTTTKESPEIEPLPFTLAHDRCISVVQKLVLFLLSMDFTC HADLLLFVCKVLARIANATRPTIHLCEIVNEPQLERLLLLLVGTDFNRGDISWGGAWAQY SLTCMLQDILAGELLAPVAAEAMEECTVSEDVGATAGDSDDSLQQSPAQLLETIDEPLTH EIAGTPPLSSLEKDKEIDLELLQDLMEVDIDPLDIDLEKDPLAAKVFKPISSTWYDYWGA DYGTYNYNPYIGGLGMPVAKPPSNTEKNGSQTVSVSVSQALDARLEVGLEQQAELMLKMM STLEADSILQALTNTSPTFSQSPTGTDDSLLGNLQPANQNSQLMIQLSSVPMLNVCFNKL FSMLQVHHVQLESLLQLWLTLSLNSSSSGNKENGADIFLYNANRIPVISLNQASIASFLT VLAWYPNTLLRTWCLVLHSLTLMTNMQLNSGSSSSIGIQETTAHLLVSDPNLIHVLVKFL SGTSPHGTNQHSPQVGPTATQAMQEFLTRLQVHLSSTCPQIFSELLLKLIHILSTERGAF QTGQGPLDAQVKLLEFTLEQNFEVVSVSTISAVIESVTFLVHHYITCSDKVMSRSGSDSS AGARACFGGLFANLIRPGDAKAVCGEMTRDQLMFDLLKLVNILVQLPLSSNREYSARVSV TTNTTDSVSDEEKVSGGKDVNGSSASTPGSPACVADLVLANQQIMSQILSALGLCNSSAM AMIIGASGLHLTKHENFHGGLDAISVGDGLFTILTTLSKKASTVHMMLQPILTYMACGYM GRQGSLATCQLSEPLLWFILRVLDTSDALKAFHDMGGVQLICNNMVTSTRAIVNTARSMV STIMKFLDSGPNKAVDSTLKTRILASEPDNAEGIHNFAPLGTITSSSPTAQPAEVLLQAT PPHRRARSAAWSYIFLPEEAWCDLTIHLPSAVLLKEIHIQPHLASLATCPSSVSVEVSAD GVNMLPLSTPVVTSGLTYIKIQLVKAEVASAVCLRLHRPRDASTLGLSQIKLLGLTAFGT TSSATVNNPFLPSEDQVSKTSIGWLRLLHHCLTHISDLEGMMASAAAPTANLLQTCAALL MSPYCGMHSPNIEVVLVKIGLQSTRIGLKLIDILLRNCAASGSDPTDLNSPLLFGRLNGL SSDSTIDILYQLGTTQDPGTKDRIQALLKWVSDSAKMAALKRSGRMNYMCPSSSAVEYGL LMPSPSHLHCVAAILWHSYELLVEYDLPALLDRELFELLFNWSMSLPCNVVLKKAVDSLL CSMCHIHPNYFSLLMGWMGIIPPPVQCHHRLSMTDDSKKQDLSSSLTDDSKNAQAPLSLT ESHLATLASSSQSPEAIKQLLDSGLPSLLVRSLASFCFSHISYSESIAQSVDNSQDKLRR HHVPQHCNKMPITADLVAPILRFLTEVGNSHIMKDWLGGSEVNPLWTALLFLLCHSGSTA GGHNLGAQQSSTRSASHSSATTTVLTTQQRTAIENATVAFFLQCISCHPNNQKLMAQVLC ELFQTAPQRGSLPTSGNISGFVRRLFLQLMLEDEKVTMFLQSPCPLYKGRINATSHVIQH PMFGAGHKFRTLHLPVSTTLSDVLDRVSDTPSITAKLISEQKDDKEKKNHEEKEKVKAEN GFQDNYSVVVASGLKSQSKRAMASTPPRPPSRRGRTIPDKIGSASSSADAASKIITVPVF HLFHRLLAGQPLPAEMTLAQLLTLLYDRKLPQGYRSIDLTVKLGSKVITDPSLSKTDSFK RLHPEKDHGDLVGSCPEDEALTPSDECMDGVLDESLLETCPIQSPLQVFAGMGGLALIAE RLPMLYPEVIQQVSAPVIASTTQEKPKDSDQFEWVTIEQSGELVYEAPETIAAEPPPVKS AVQATSPIPAHSLAAFGLFLRLPGYAEVLLKERKHAQCLLRLVLGVTDDGEGSHILQSPS ANVLPTLPFHVLRSLFSATPLTTDDGVLLRRMALEIGALHLILVCLSALSHHAPRVPNSS LSQTEPQVSNSHNPTSAEEQQLYWAKGTGFGTGSTASGWDVEQALTKQRLEEEHVTCLLQ VLASYINPMSGAVNGEAQASPESRAQNSSALPSVLLELLSQSCLIPAMSSYLRNDSVLDM ARHVPLYRALLELLRAIASCTSMVPLLLPLSTENGEEEEDEQSECQTSVGTLLAKMKTCV DTYTNRLRSKRENVKAGVKPDAPDQEPEGLALLVPDIQRTAEIVHAATANLRQANQEKKL GEYSKKVVMKPKPLSVLKSLEEKYVAVMKKLQFDTFEMVSEDDDGKLGFKVNYHYMSQVK NANDANSAARARRLAQEAVTLSTSLPLSSSSSVFVRCDEERLDIMKVLITGPADTPYANG CFEFDVYFPQDYPSSPPLVNLETTGGHSVRFNPNLYNDGKVCLSILNTWHGRPEEKWNPQ TSSFLQVLVSVQSLILVAEPYFNEPGYERSRGTPSGTQSSREYDGNIRQATVKWAMLEQI RNPSPCFKEVIHKHFYLKRIELMAQCEEWIADIQQYSSDKRVGRTMSHHAAALKRHTAQL REELLKLPCPEGLDPDIEDASPVCRATAGAEDTLTHDHVNPSSSKDLPSDFQL >ENSMUSP00000137114.1 pep:known chromosome:GRCm38:17:74598121:74619755:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000177957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] XVSVTIRRFKKTSICKERVQRCAMLQFSEFHEKLLNTLCRRSDDGQVTEHAQSLVLDALC WLAGVHSNGSGSSKEGNECLLSKTRKCLSDIVRVCFFEAGRSIAHKCARFLALCISNGKC EPCQPGFGSVLLKALLDNMCFLPAAATGGSVYWYFVLLNYVKDEDLAGCSTACAALLTAV SRQLQDRLTPLEALLQTRYGLYSSPFDPVLFDLEMSGSSWKTVYSSSTAVQSDEIDLSDV LSGNGRVSSCTAAEGSFTSLTGLLEVEPLHFTCVSTSDGTRIERDDAMSSFGVPPAVGGL SSGTVGEASTALSSAAQVALQSLSHAMASAEQQLQVLQEKQQQLLKLQQQKAKLEAKLHQ TTAAAAAAASAAAAAAAGPVHNAVPSNPVAAPGFFIHPSDVIPPTPKTTPLFMTPPLTPP NEAVSVVINAELAQLFPGSVIDPPAVNLAAQNKNSSKSRMNPLGSGLALAISHASHFLQP PPHQSIIIERMHSGARRFVTLDFGRPILLTDVLIPTCGDLASLSIDIWTLGEEVDGRRLV VATDISTHSLILHDLIPPPVCRFMKITVIGRYGSTNARAKIPLGFYYGHSYILPWESELK LMHDPLRGEGESASQPEIDQHLAMMVALQEDIQCRYNLACHRLEALLQSIDLPPLNSANN AQYFLRKPDKAVEEDSRVFSAYQDCIQLQLQLNLAHNAVQRLKVAIGASRKLLNETSGPE DLIQTSSTEQLRTIVRYLLDTLLSLLHSSNGHSVPAVLQSTFHAQACEELFKHLCISGTP KIRLHTGLLLVQLCGGERWWGQFLSNVLQELYNSEQLLIFPQDRVFMLLSCIGQRSLSNS GVLESLLNLLDNLLSPLQPELSMHRRTEGVLDIPMISWVVMLVSRLLDYVATVEDEAAAA KKPLNGKDRERFLTGNQWSFINNNLHTQNLNRSSKGGSSLDRLYSRKIRKQLVHHKQQLN LLKAKQKALVEQM >ENSMUSP00000138672.1 pep:known chromosome:GRCm38:17:74603111:74606336:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000182323.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] RYGLYSSPFDPVLFDLEMSGSSWKTVYSSSTAVQSDEIDLSDVLSGNGRVSSCTAAEGSF TSLTGLLEVEPLHFTCVSTSDGTRIERDDASTFTGIYFLLLHSLCSNNAKMI >ENSMUSP00000138717.1 pep:known chromosome:GRCm38:17:74611078:74619754:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000182845.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] SLSIDIWTLGEEVDGRRLVVATDISTHSLILHDLIPPPVCRFMKITVIGRYGSTNARAKI PLGFYYGHSYILPWESELKLMHDPLRGEGESASQPEIDQHLAMMVALQEDIQCRYNLACH RLEALLQSIDLPPLNSANNAQYFLRKPDKAVEEDSRVFSAYQDCIQLQLQLNLAHNAVQR LKVAIGASRKLLNETSGPEDLIQTSSTEQLRTIVRYLLDTLLSLLHSSNGHSVPAVLQST FHAQACEELFKHLCISGTPKIRLHTGLLLVQLCGGERWWGQFLSNVLQELYNSEQLLIFP QDRVFMLLSCIGQRSLSNSGVLESLLNLLDNLLSPLQPELSMHRRTEGVLDIPMISWVVM LVSRLLDYVATVEDEAAAAKKPLNGNQWSFINNNLHTQNLNRSSKGGSSLDRLYSRKIRK QLVHHKQAKQKALVEQM >ENSMUSP00000138343.1 pep:known chromosome:GRCm38:17:74611477:74618563:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000182278.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] EGESASQPEIDQHLAMMVALQEDIQCRYNLACHRLEALLQSIDLPPLNSANNAQYFLRKP DKAVEEDSRVFSAYQDCIQLQLQLNLAHNAVQRLKVAIGASRKLLNETSGPEDLIQTSST EQLRTIVRYLLDTLLSLLHSSNVLQSTFHAQACEELFKHLCISGTPKIRLHTGLLLVQLC GGERWWGQFLSNVLQELYNSEQLLIFPQDRVFMLLSCIGQRSLSNSGVLESLLNLLDNLL SPLQPELSMHRRTEGVLDIPMISWVVMLVSRLLDYVATVEDEAAAAKKPLNGKDRERFLT GNQWSFINNNLHTQNLNRSSKGGSSLDRLYSRKIRKQLVHHKQ >ENSMUSP00000138349.1 pep:known chromosome:GRCm38:17:74652632:74659264:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000182817.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] INATSHVIQHPMFGAGHKFRTLHLPVSTTLSDVLDRVSEKHCILDSIVLQIHQVSQLNLL VSKKMIKKRRTMKRKKKLRRKMDFRITTALLLPLG >ENSMUSP00000138521.1 pep:known chromosome:GRCm38:17:74654389:74703347:1 gene:ENSMUSG00000024073.14 transcript:ENSMUST00000183249.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Birc6 description:baculoviral IAP repeat-containing 6 [Source:MGI Symbol;Acc:MGI:1276108] XTPSITAKLISEQKDDKEKKNHEEKEKVKAENGFQDNYSVVVASGLKSQSKRAMASTPPR PPSRRGRTIPDKIGSASSSADAASKIITVPVFHLFHRLLAGQPLPAEMTLAQLLTLLYDR KLPQGYRSIDLTVKLGSKVITDPSLSKTDSFKRLHPEKDHGDLVGSCPEDEALTPSDECM DGVLDESLLETCPIQSPLQVFAGMGGLALIAERLPMLYPEVIQQVSAPVIASTTQEKPKD SDQFEWVTIEQSGELVYEAPETIAAEPPPVKSAVQATSPIPAHSLAAFGLFLRLPGYAEV LLKERKHAQCLLRLVLGVTDDGEGSHILQSPSANVLPTLPFHVLRSLFSATPLTTDDGVL LRRMALEIGALHLILVCLSALSHHAPRVPNSSLSQTEPQVSNSHNPTSAEEQQLYWAKGT GFGTGSTASGWDVEQALTKQRLEEEHVTCLLQVLASYINPMSGAVNGEAQASPESRAQNS SALPSVLLELLSQSCLIPAMSSYLRNDSVLDMARHVPLYRALLELLRAIASCTSMVPLLL PLSTENGEEEEDEQSECQTSVGTLLAKMKTCVDTYTNRLRAVITTRFNVCTKQRMPW >ENSMUSP00000097113.1 pep:known chromosome:GRCm38:10:79716634:79736108:1 gene:ENSMUSG00000020331.9 transcript:ENSMUST00000099513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcn2 description:hyperpolarization-activated, cyclic nucleotide-gated K+ 2 [Source:MGI Symbol;Acc:MGI:1298210] MDARGGGGRPGDSPGTTPAPGPPPPPPPPAPPQPQPPPAPPPNPTTPSHPESADEPGPRA RLCSRDSACTPGAAKGGANGECGRGEPQCSPEGPARGPKVSFSCRGAASGPSAAEEAGSE EAGPAGEPRGSQASFLQRQFGALLQPGVNKFSLRMFGSQKAVEREQERVKSAGAWIIHPY SDFRFYWDFTMLLFMVGNLIIIPVGITFFKDETTAPWIVFNVVSDTFFLMDLVLNFRTGI VIEDNTEIILDPEKIKKKYLRTWFVVDFVSSIPVDYIFLIVEKGIDSEVYKTARALRIVR FTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVMRICNLISMMLLLCHWDGCLQFL VPMLQDFPSDCWVSINNMVNHSWSELYSFALFKAMSHMLCIGYGRQAPESMTDIWLTMLS MIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADFRQKIHDYYEHR YQGKMFDEDSILGELNGPLREEIVNFNCRKLVASMPLFANADPNFVTAMLTKLKFEVFQP GDYIIREGTIGKKMYFIQHGVVSVLTKGNKEMKLSDGSYFGEICLLTRGRRTASVRADTY CRLYSLSVDNFNEVLEEYPMMRRAFETVAIDRLDRIGKKNSILLHKVQHDLSSGVFNNQE NAIIQEIVKYDREMVQQAELGQRVGLFPPPPPPQVTSAIATLQQAVAMSFCPQVARPLVG PLALGSPRLVRRAPPGPLPPAASPGPPAASPPAAPSSPRAPRTSPYGVPGSPATRVGPAL PARRLSRASRPLSASQPSLPHGVPAPSPAASARPASSSTPRLGPAPTARTAAPSPDRRDS ASPGAASGLDPLDSARSRLSSNL >ENSMUSP00000020581.2 pep:known chromosome:GRCm38:10:79716634:79736108:1 gene:ENSMUSG00000020331.9 transcript:ENSMUST00000020581.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcn2 description:hyperpolarization-activated, cyclic nucleotide-gated K+ 2 [Source:MGI Symbol;Acc:MGI:1298210] MDARGGGGRPGDSPGTTPAPGPPPPPPPPAPPQPQPPPAPPPNPTTPSHPESADEPGPRA RLCSRDSACTPGAAKGGANGECGRGEPQCSPEGPARGPKVSFSCRGAASGPSAAEEAGSE EAGPAGEPRGSQASFLQRQFGALLQPGVNKFSLRMFGSQKAVEREQERVKSAGAWIIHPY SDFRFYWDFTMLLFMVGNLIIIPVGITFFKDETTAPWIVFNVVSDTFFLMDLVLNFRTGI VIEDNTEIILDPEKIKKKYLRTWFVVDFVSSIPVDYIFLIVEKGIDSEVYKTARALRIVR FTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVMRICNLISMMLLLCHWDGCLQFL VPMLQDFPSDCWVSINNMVNHSWSELYSFALFKAMSHMLCIGYGRQAPESMTDIWLTMLS MIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSFHKLPADFRQKIHDYYEHR YQGKMFDEDSILGELNGPLREEIVNFNCRKLVASMPLFANADPNFVTAMLTKLKFEVFQP GDYIIREGTIGKKMYFIQHGVVSVLTKGNKEMKLSDGSYFGEICLLTRGRRTASVRADTY CRLYSLSVDNFNEVLEEYPMMRRAFETVAIDRLDRIGKKNSILLHKVQHDLSSGVFNNQE NAIIQEIVKYDREMVQQAELGQRVGLFPPPPPPQVTSAIATLQQAVAMSFCPQVARPLVG PLALGSPRLVRRAPPGPLPPAASPGPPAASPPAAPSSPRAPRTSPYGVPGSPATRVGPAL PARRLSRASRPLSASQPSLPHGVPAPSPAASARPASSSTPRLGPAPTARTAAPSPDRRDS ASPGAASGLDPLDSARSRLSSNL >ENSMUSP00000143551.1 pep:known chromosome:GRCm38:3:153907287:153912311:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000197438.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] MGSLLFSWKGTQQKDVTIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGVYW GLTVMDLMGQLHRMNREEILVFIKSCQHECGGISASIGHDPHLLYTLSAVQVSPCETLPL AVTTQRLLPTGQ >ENSMUSP00000129481.1 pep:known chromosome:GRCm38:3:153907289:153912145:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000167111.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] MGSLLFSWKGTQQKDVTIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGVYW GLTVMDLMGQLHRMNREEILVFIKSCQHECGGISASIGHDPHLLYTLSAVQILTLYDSVH VINVDKVVAYVQSLQKEDGSFAGDIWGEIDTRFSFCAVATLALLGKLDAINVEKAIEFVL SCMNFDGGFGCRPGSESHAGQIYCCTGFLAITSQLHQVNSDLLGWWLCERQLPSGGLNGR PEKLPDVCYSWWVLASLKIIGRLHWIDREKLRSFILACQDEETGGFADRPGDMVDPFHTL FGIAGLSLLGEEQIKPVSPVFCMPEEVLQRVNVQPELVS >ENSMUSP00000087396.4 pep:known chromosome:GRCm38:3:153907289:153913009:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000089950.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] MGTQQKDVTIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGVYWGLTVMDLM GQLHRMNREEILVFIKSCQHECGGISASIGHDPHLLYTLSAVQILTLYDSVHVINVDKVV AYVQSLQKEDGSFAGDIWGEIDTRFSFCAVATLALLGKLDAINVEKAIEFVLSCMNFDGG FGCRPGSESHAGQIYCCTGFLAITSQLHQVNSDLLGWWLCERQLPSGGLNGRPEKLPDVC YSWWVLASLKIIGRLHWIDREKLRSFILACQDEETGGFADRPGDMVDPFHTLFGIAGLSL LGEEQIKPVSPVFCMPEEVLQRVNVQPELVS >ENSMUSP00000142402.1 pep:known chromosome:GRCm38:3:153907291:153912927:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000198350.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] XTVAAGTQQKDVTIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGVYWGLTV MDLMGQLHRMNREEILVFIKSCQHECGGISASIGHDPHLLYTLSAVQILTLYDSVHVINV DKVVAYVQSLQKEDGSFAGDIWGPTKQLV >ENSMUSP00000143258.1 pep:known chromosome:GRCm38:3:153907293:153912993:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000196565.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] MVRAPSLRCAEAGVVWAVGRAAGHRGLAQHGEQAGLQGDHSCGAQAGLELSAMPLPRPP >ENSMUSP00000142682.1 pep:known chromosome:GRCm38:3:153907454:153912081:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000196266.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] MGSLLFSWKGTQQKDVTIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGVYW GLTVMDLMGQLHRMNREEILVFIKSCQHECGGISASIGHDPHLLYTLSAVQILTLYDSVH VINVDKVVAYVQSLQKEDGSFAGDIWGPTKQLV >ENSMUSP00000143458.1 pep:known chromosome:GRCm38:3:153907572:153912081:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000196956.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] MGSLLFSWKGTQQKDVTIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGVYW GLTVMDLMGQLHRMNREEILVFIKSCQHECGGISASIGHDPHLLYTLSAVQILTLYDSVH VINVDKVVAYVQSLQKEDGSFAGDIWGEIDTRFSFCAVATLALLGKLDAINVEKAIEFVL SCMNFDGGFGCRPGSESHAGQDSWP >ENSMUSP00000143452.1 pep:known chromosome:GRCm38:3:153907572:153912081:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000200209.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] MGSLLFSWKGTQQKDVTIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGVYW GLTVMDLMGQLHRMNREEILVFIKSCQHECGGISASIGHDPHLLYTLSAVQILTLYDSVH VINVDKVVAYVQSLQKEDGSFAGDIWGPTKQLV >ENSMUSP00000142999.1 pep:known chromosome:GRCm38:3:153907572:153912081:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000200631.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] MGSLLFSWKGTQQKDVTIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGVYW GLTVMDLMGQLHRMNREEILVFIKSCQHECGGISASIGHDPHLLYTLSAVQIRSWPMFRA YRKKMAPLLETFGVPLSSWSNWNKMACWKSQHAPCWLVSTPH >ENSMUSP00000143567.1 pep:known chromosome:GRCm38:3:153908075:153912033:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000197829.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] XAHSRKTLLSSQMRLTPCCWRSMPIILLPMAQRKMIIAYRKKMAPLLETFGVPLSSWSNW NKMACWKSQHAPCWLVSTPH >ENSMUSP00000143701.1 pep:known chromosome:GRCm38:3:153909414:153912955:-1 gene:ENSMUSG00000038975.14 transcript:ENSMUST00000198094.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabggtb description:Rab geranylgeranyl transferase, b subunit [Source:MGI Symbol;Acc:MGI:99537] XVFRRSDMGTQQKDVTIKSDAPDTLLLEKHADYIASYGSKKDDYEYCMSEYLRMSGVYWG LTVMDLMGQLHRMNREEILVFIKSCQHECGGISASIGHDPHLLYTLSAVQILTLYDSVHV INVDKVVAYVQSLQKEDGSFAGDIWGPTKQLV >ENSMUSP00000060463.6 pep:known chromosome:GRCm38:4:108367777:108383349:-1 gene:ENSMUSG00000059816.5 transcript:ENSMUST00000053157.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam159a description:family with sequence similarity 159, member A [Source:MGI Symbol;Acc:MGI:3651644] MSGACSSYVSAEQEVVRGFSCPLPGGEAAAVFCCGFRDHKYCCDDPHSFFPYEHNYMWWL SIGALVGLSTAAVVLLAFLITACVLCYLFISSKPQTKLDPGLSLQTTGSKEMSPDHHGLN TAIPMEVPGVSSPRQSSSSNTHLESNKKQTVSPTCLPQNQFMATVTASNIPGSPDEISVP TPGPHGPVP >ENSMUSP00000043636.8 pep:known chromosome:GRCm38:7:111471661:111779977:-1 gene:ENSMUSG00000038296.14 transcript:ENSMUST00000049430.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt18 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 18 [Source:MGI Symbol;Acc:MGI:2446239] MVCTRKTKTLVSTCVILSGMTNIICLLYVGWVTNYIASVYVRGQEPVPDKKLEEDKGDTL KIIERLDHLENVIKQHIQEAPAKPEEAEAEPFTDSSLFAHWGQELSPEGRRVALKQFQYY GYNAYLSDRLPLDRPLPDLRPSGCRNLSFPDSLPEVSIVFIFVNEALSVLLRSIHSAMER TPSHLLKEIILVDDNSSNEELKEKLTEYVDKVNGQKPGFIKVVRHSKQEGLIRSRVSGWR AATAPVVALFDAHVEFNVGWAEPVLTRIKENRKRIISPSFDNIKYDNFEIEEYPLAAQGF DWELWCRYLNPPKAWWKLENSTAPIRSPALIGCFIVDRQYFEEIGLLDEGMEVYGGENVE LGIRVSEISHTGLSSAPMMVWQCGGSVEVLPCSRIAHIERAHKPYTEDLTAHVRRNALRV AEVWMDEFKSHVYMAWNIPQEDSGIDIGDITARKALRKQLQCKTFRWYLVSVYPEMRMYS DIIAYGVLQNSLKTDLCLDQGPDTENVPIVYICHGMTPQNVYYTSSQQIHVGILSPTVDD DDNRCLVDVNSRPRLIECSYAKAKRMKLHWQFSQGGSIQNRKSKRCLELQENSDMEFGFQ LVLQKCSGQHWTITNVLRSLVS >ENSMUSP00000102274.1 pep:known chromosome:GRCm38:7:111471661:111779977:-1 gene:ENSMUSG00000038296.14 transcript:ENSMUST00000106663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt18 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 18 [Source:MGI Symbol;Acc:MGI:2446239] MVCTRKTKTLVSTCVILSGMTNIICLLYVGWVTNYIASVYVRGQEPVPDKKLEEDKGDTL KIIERLDHLENVIKQHIQEAPAKPEEAEAEPFTDSSLFAHWGQELSPEGRRVALKQFQYY GYNAYLSDRLPLDRPLPDLRPSGCRNLSFPDSLPEVSIVFIFVNEALSVLLRSIHSAMER TPSHLLKEIILVDDNSSNEELKEKLTEYVDKVNGQKPGFIKVVRHSKQEGLIRSRVSGWR AATAPVVALFDAHVEFNVGWAEPVLTRIKENRKRIISPSFDNIKYDNFEIEEYPLAAQGF DWELWCRYLNPPKAWWKLENSTAPIRSPALIGCFIVDRQYFEEIGLLDEGMEVYGGENVE LGIRVWQCGGSVEVLPCSRIAHIERAHKPYTEDLTAHVRRNALRVAEVWMDEFKSHVYMA WNIPQEDSGIDIGDITARKALRKQLQCKTFRWYLVSVYPEMRMYSDIIAYGVLQNSLKTD LCLDQGPDTENVPIVYICHGMTPQNVYYTSSQQIHVGILSPTVDDDDNRCLVDVNSRPRL IECSYAKAKRMKLHWQFSQGGSIQNRKSKRCLELQENSDMEFGFQLVLQKCSGQHWTITN VLRSLVS >ENSMUSP00000099468.3 pep:known chromosome:GRCm38:11:87592162:87616302:1 gene:ENSMUSG00000018401.17 transcript:ENSMUST00000103179.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr4 description:myotubularin related protein 4 [Source:MGI Symbol;Acc:MGI:2180699] MGEEGPPSLEYIQAKDLFPPKELVKEEENLQVPFTVLQGEGVEFLGRATDALIAISNYRL HIKFKDSVINVPLRMIDSVESRDMFQLHIACKDSKVVRCHFSTFKQCQEWLSRLSRATAR PAKPEDLFAFAYHAWCLGLTEEDQHTHLCQPGEHIRCRQEAELARMGFDLQNVWRVSHIN SNYKLCPSYPQKLLVPVWITDKELENVASFRSWKRIPVVVYRHLRNGAAIARCSQPEISW WGWRNADDEYLVTSIAKACALDPGTRASGGSLSTGTNDASEACDTDFDSSLTACSGVEST AAPQKLLILDARSYTAAVANRAKGGGCECEEYYPNCEVLFMGMANIHAIRNSFQYLRAVC SQMPDPSNWLSALESTKWLQHLSVMLKAAVLVANTVDREGRPVLVHCSDGWDRTPQIVAL AKILLDPYYRTLEGFQVLVESDWLDFGHKFGDRCGHQENAEDQNEQCPVFLQWLDSVHQL LKQFPCLFEFNEAFLVKLVQHTYSCLYGTFLANNPCEREKRNIYKRTCSVWALLRAGNKN FHNFLYTPGSDVVLHPVCHVRALHLWTAVYLPASSPCTLGEENMDLYLSPVAQSQEFSGR SLDRLPKTRSMDDLLSACDTSSPLTRTSSDPNLNNHSQEVRGSLEPWHSSPEGAETVIDS GVGSPQLTVGEMGLPPPLPSSQKEYLSNKPFKGHKSCSLSYKLLNTSVSWEMKSNTSDIK VLEETEALAPDPSAQEEQGRTSDGLGKPPEQFLEKEAVSSLCSVSSKCGGACDFPEPPQD PLTGTPQQPHLDSMQISPSRCTPDHSQGSLCNPPSVASQTPEPNTDLLSQDPPGSTASIS HQEQPSSVPDLIYKKEDAGKRGSKNGQLLENPRFGKMPLELARKPISQSQISEFSFLGSN WDSFQGMMTSFPSGETTPRRLLAYGCCSKRPSNKHIRAAGPCLGGQWAQREGMKSPVCSS HSNGHCTGPGGKNNRMWFSSHPKQVSSTKPSLLSCPSPVPPLYLDDDGLPFPTDVIQHRL RQIEAGYRQEVEQLRRQVRELQMRLDIRHCCAPPAEPPMDYEDDFTCLKESDGSDTEDFG SDHSEDCLSEASWEPVDKKETEVTRWVPDHMASHCFNCDCEFWLAKRRHHCRNCGNVFCA GCCHLKLPIPDQQLYDPVLVCNSCYEHIQVSRARELMSQHLKKPIATASS >ENSMUSP00000090478.5 pep:known chromosome:GRCm38:11:87592219:87616302:1 gene:ENSMUSG00000018401.17 transcript:ENSMUST00000092802.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr4 description:myotubularin related protein 4 [Source:MGI Symbol;Acc:MGI:2180699] MGEEGPPSLEYIQAKDLFPPKELVKEEENLQVPFTVLQGEGVEFLGRATDALIAISNYRL HIKFKDSVINVPLRMIDSVESRDMFQLHIACKDSKVVRCHFSTFKQCQEWLSRLSRATAR PAKPEDLFAFAYHAWCLGLTEEDQHTHLCQPGEHIRCRQEAELARMGFDLQNVWRVSHIN SNYKLCPSYPQKLLVPVWITDKELENVASFRSWKRIPVVVYRHLRNGAAIARCSQPEISW WGWRNADDEYLVTSIAKACALDPGTRASGGSLSTGTNDASEACDTDFDSSLTACSGVEST AAPQKLLILDARSYTAAVANRAKGGGCECEEYYPNCEVLFMGMANIHAIRNSFQYLRAVC SQMPDPSNWLSALESTKWLQHLSVMLKAAVLVANTVDREGRPVLVHCSDGWDRTPQIVAL AKILLDPYYRTLEGFQVLVESDWLDFGHKFGDRCGHQENAEDQNEQCPVFLQWLDSVHQL LKQFPCLFEFNEAFLVLHPVCHVRALHLWTAVYLPASSPCTLGEENMDLYLSPVAQSQEF SGRSLDRLPKTRSMDDLLSACDTSSPLTRTSSDPNLNNHSQEVRGSLEPWHSSPEGAETV IDSGVGSPQLTVGEMGLPPPLPSSQKEYLSNKPFKGHKSCSLSYKLLNTSVSWEMKSNTS DIKVLEETEALAPDPSAQEEQGRTSDGLGKPPEQFLEKEAVSSLCSVSSKCGGACDFPEP PQDPLTGTPQQPHLDSMQISPSRCTPDHSQGSLCNPPSVASQTPEPNTDLLSQDPPGSTA SISHQEQPSSVPDLIYKKEDAGKRGSKNGQLLENPRFGKMPLELARKPISQSQISEFSFL GSNWDSFQGMMTSFPSGETTPRRLLAYGCCSKRPSNKHIRAAGPCLGGQWAQREGMKSPV CSSHSNGHCTGPGGKNNRMWFSSHPKQVSSTKPSLLSCPSPVPPLYLDDDGLPFPTDVIQ HRLRQIEAGYRQEVEQLRRQVRELQMRLDIRHCCAPPAEPPMDYEDDFTCLKESDGSDTE DFGSDHSEDCLSEASWEPVDKKETEVTRWVPDHMASHCFNCDCEFWLAKRRHHCRNCGNV FCAGCCHLKLPIPDQQLYDPVLVCNSCYEHIQVSRARELMSQHLKKPIATASS >ENSMUSP00000119446.1 pep:known chromosome:GRCm38:11:87592226:87598945:1 gene:ENSMUSG00000018401.17 transcript:ENSMUST00000146871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr4 description:myotubularin related protein 4 [Source:MGI Symbol;Acc:MGI:2180699] MGEEGPPSLEYIQAKDLFPPKELVKEEENLQVPFTVLQGEGVEFLGRATDALIAISNYRL HIKFKDSVINVPLRMIDSVESRDMFQLHIACKDSKVV >ENSMUSP00000119489.1 pep:known chromosome:GRCm38:11:87592464:87597731:1 gene:ENSMUSG00000018401.17 transcript:ENSMUST00000123105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr4 description:myotubularin related protein 4 [Source:MGI Symbol;Acc:MGI:2180699] MGEEGPPSLEYIQAKDLFPPKELVKEEENLQVPFTVLQGEGVEFLGRATDALIAISNYRL HIKFKDSVIN >ENSMUSP00000112902.1 pep:known chromosome:GRCm38:11:87592897:87615172:1 gene:ENSMUSG00000018401.17 transcript:ENSMUST00000119628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr4 description:myotubularin related protein 4 [Source:MGI Symbol;Acc:MGI:2180699] MGEEGPPSLEYIQAKDLFPPKELVKEEENLQVPFTVLQGEGVEFLGRATDALIAISNYRL HIKFKDSVINVPLRMIDSVESRDMFQLHIACKDSKVVRCHFSTFKQCQEWLSRLSRATAR PAKPEDLFAFAYHAWCLGLTEEDQHTHLCQPGEHIRCRQEAELARMGFDLQNVWRVSHIN SNYKLCPSYPQKLLVPVWITDKELENVASFRSWKRIPVVVYRHLRNGAAIARCSQPEISW WGWRNADDEYLVTSIAKACALDPGTRASGGSLSTGTNDASEACDTDFDSSLTACSGVEST AAPQKLLILDARSYTAAVANRAKGGGCECEEYYPNCEVLFMGMANIHAIRNSFQYLRAVC SQMPDPSNWLSALESTKWLQHLSVMLKAAVLVANTVDREGRPVLVHCSDGWDRTPQIVAL AKILLDPYYRTLEGFQVLVESDWLDFGHKFGDRCGHQENAEDQNEQCPVFLQWLDSVHQL LKQFPCLFEFNEAFLVKLVQHTYSCLYGTFLANNPCEREKRNIYKRTCSVWALLRAGNKN FHNFLYTPGSDVVLHPVCHVRALHLWTAVYLPASSPCTLGEENMDLYLSPVAQSQEFSGR SLDRLPKTRSMDDLLSACDTSSPLTRTSSDPNLNNHSQEVRGSLEPWHSSPEGAETVIDS GVGSPQLTVGEMGLPPPLPSSQKEYLSNKPFKGHKSCSLSYKLLNTSVSWEMKSNTSDIK VLEETEALAPDPSAQEEQGRTSDGLGKPPEQFLEKEAVSSLCSVSSKCGGACDFPEPPQD PLTGTPQQPHLDSMQISPSRCTPDHSQGSLCNPPSVASQTPEPNTDLLSQDPPGSTASIS HQEQPSSVPDLIYKKEDAGKRGSKNGQLLENPRFGKMPLELARKPISQSQISEFSFLGSN WDSFQGMMTSFPSGETTPRRLLAYGCCSKRPSNKHIRAAGPCLGGQWAQREGMKSPVCSS HSNGHCTGPGGKNNRMWFSSHPKQVSSTKPSLLSCPSPVPPLYLDDDGLPFPTDVIQHRL RQIEAGYRQEVEQLRRQVRELQMRLDIRHCCAPPAEPPMDYEDDFTCLKESDGSDTEDFG SDHSEDCLSEASWEPVDKKETEVTRWVPDHMASHCFNCDCEFWLAKRRHHCRNCGNVFCA GCCHLKLPIPDQQLYDPVLVCNSCYEHIQVSRARELMSQHLKKPIATASS >ENSMUSP00000119660.1 pep:known chromosome:GRCm38:11:87595652:87601077:1 gene:ENSMUSG00000018401.17 transcript:ENSMUST00000134216.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr4 description:myotubularin related protein 4 [Source:MGI Symbol;Acc:MGI:2180699] MSLTARVSCSMLSCFGEEGPPSLEYIQAKDLFPPKELVKEEENLQVPFTVLQGEGVEFLG RATDALIAISNYRLHIKFKDSVINVPLRMIDSVESRDMFQLHIACKDSKVVRCHFSTFKQ CQEWLSRLSRATARPAKPEDLFAFAYHAWCLGLTEEDQHTHLCQPGEHIRCRQEAELARM GFDLQNVWRVSHINSNYKLCPSYP >ENSMUSP00000025025.6 pep:known chromosome:GRCm38:17:26505590:26508519:-1 gene:ENSMUSG00000024190.6 transcript:ENSMUST00000025025.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp1 description:dual specificity phosphatase 1 [Source:MGI Symbol;Acc:MGI:105120] MVMEVGILDAGGLRALLREGAAQCLLLDCRSFFAFNAGHIAGSVNVRFSTIVRRRAKGAM GLEHIVPNAELRGRLLAGAYHAVVLLDERSASLDGAKRDGTLALAAGALCREARSTQVFF LQGGYEAFSASCPELCSKQSTPTGLSLPLSTSVPDSAESGCSSCSTPLYDQGGPVEILSF LYLGSAYHASRKDMLDALGITALINVSANCPNHFEGHYQYKSIPVEDNHKADISSWFNEA IDFIDSIKDAGGRVFVHCQAGISRSATICLAYLMRTNRVKLDEAFEFVKQRRSIISPNFS FMGQLLQFESQVLAPHCSAEAGSPAMAVLDRGTSTTTVFNFPVSIPVHPTNSALNYLKSP ITTSPSC >ENSMUSP00000026377.2 pep:known chromosome:GRCm38:4:140785365:140810648:-1 gene:ENSMUSG00000025328.9 transcript:ENSMUST00000026377.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Padi3 description:peptidyl arginine deiminase, type III [Source:MGI Symbol;Acc:MGI:1338891] MSLQRIVRVSLEHPTSAVCVAGVETIVDIYGAVPEGTDMFEVYGTPGVDIYVSPSMERSR ERADTRRWCFNKGLEIIVIMNSPSNDLNDSHVQIAYHSSREHLPLAYAVLYLTCVDITLD CDMNCADRQDRSFVDKRQWVWGPDGYGAILLVNCDRDNVDSNAQDNCDQYVRCLQDLEDM SVMVLRTQGPEALFEDHRLILHTSSCDAERARVFHVCGPEDSCESYKCVLGPDRMSYEVP RLKGYEERFFVEGLSFPDAGFPGLISFHVTLLDDSNEDFSETPIFTDTAVFRVAPWIMTP STLPPLEVYVCRVRNNTCFVEAVEELARKAGCKLTICPQAENRNDRWIQDEMELGYVQAP HKTLPVVFDSPRNGELQGFPYKRILGLDFGYVTREPKDSSVSGLDSFGNLEVSPPVVANG KEYPLGRILIGGNLPGSRGRRVTQVVRNFLHAQKVQPLVELFVDWLAVGHVDEFLSFVPA PDGKGFRLLLASPGACFRLFQEKQKWGHGRSLLFEGVIGDRRVQTVSINQILNNQSLINF NKFAQSCIDWNREVLKRELGLAEGDIIDIPQLFKTEKRKAVAFFPDLVNMLVLGKHLGIP KPFGPIINGRCCLEEKVRSLLEPLGLHCTFIDDFTPYHMLHGEVHCGTNVRREPFAFKWW HMVP >ENSMUSP00000130721.1 pep:known chromosome:GRCm38:4:140785369:140804022:-1 gene:ENSMUSG00000025328.9 transcript:ENSMUST00000172098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Padi3 description:peptidyl arginine deiminase, type III [Source:MGI Symbol;Acc:MGI:1338891] MPELPCLRKSLILPILPALLRAVPEGTDMFEVYGTPGVDIYVSPSMERSRERADTRRWCF NKGLEIIVIMNSPSNDLNDSHVQIAYHSSREHLPLAYAVLYLTCVDITLDCDMNCADRQD RSFVDKRQWVWGPDGYGAILLVNCDRDNVDSNAQDNCDQYVRCLQDLEDMSVMVLRTQGP EALFEDHRLILHTSSCDAERARVFHVCGPEDSCESYKCVLGPDRMSYEVPRLKGYEERFF VEGLSFPDAGFPGLISFHVTLLDDSNEDFSETPIFTDTAVFRVAPWIMTPSTLPPLEVYV CRVRNNTCFVEAVEELARKAGCKLTICPQAENRNDRWIQDEMELGYVQAPHKTLPVVFDS PRNGELQGFPYKRILGLDFGYVTREPKDSSVSGLDSFGNLEVSPPVVANGKEYPLGRILI GGNLPGSRGRRVTQVVRNFLHAQKVQPLVELFVDWLAVGHVDEFLSFVPAPDGKGFRLLL ASPGACFRLFQEKQKWGHGRSLLFEGVIGDRRVQTVSINQILNNQSLINFNKFAQSCIDW NREVLKRELGLAEGDIIDIPQLFKTEKRKAVAFFPDLVNMLVLGKHLGIPKPFGPIINGR CCLEEKVRSLLEPLGLHCTFIDDFTPYHMLHGEVHCGTNVRREPFAFKWWHMVP >ENSMUSP00000109160.2 pep:known chromosome:GRCm38:2:31314415:31460738:1 gene:ENSMUSG00000055632.17 transcript:ENSMUST00000113532.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmcn2 description:hemicentin 2 [Source:MGI Symbol;Acc:MGI:2677838] MTPGAQLLPLLVAISTAVAAVVTSDAPTKTLSPATGDATLAFVFDVTGSMWDDLMQVIDG ASRILERSLSSRSRVIANYALVPFHDPDIGPVTLTADPVVFQRELRELYVQGGGDCPEMS VGAIKAAVEVANPGSFIYVFSDARAKDYHKKKELLQLLQLKQSQVVFVLTGDCGDRTHPG YLVFEEIASTSSGQVFQLDKQQVSEVLKWVESAIQASKVHLLSADHEEEGEHTWRIPFDP SLKEVTIALSGPGPEIEVRDPLGRVLQTDEGLNVLLNIPDSAKVVAFKPEHPGLWAIKVY SSGRHSVRISGISNINFRAGFSMQPSLDLNHTIEWPLQGVPISLVINSTGLQAPGHLESV ELSHSSGRSLLTLPTQLLSNGSTHQLWAGPPFHVPKERFYLKVKGKDHEGNPLLRVSGVS YSAVAPGVPLVSMAPKIHGYLQQPLLVSCSVYSTLPFQLQLQRDGERLGEERYFQESGNS SWEIPRASKAEEGTYQCIAVSRAGSGRASAQIVITDPPPQLVPGPNVTVSPGETAILSCQ VLGETPYNLTWVRDWRALPATTGRISQLSDLSLEVRSIIPTDGGQYQCVASNPNGVTRAT TWLLVREAPQVSINARSQRFSQGVEVRVSCSASGYPTPHISWSREGLALPEDSRIHVDAQ GTLIIQGLAPEDAGNYSCQATNEVGTDEETVTLYYTDPPSVSAVNAVVLTAVGEEAVLLC AASGVPPPRVIWYRGGLEVILAPGDSRSGTLRIPEAQERDAGLYTCKAVNELGDASAEIQ LVVGNAPRLTDPPQDVTVELGKSVFLTCRATGRPPPIVTWRRGDGQALEPGRGSRTGQRD SGVLVFERVSLEDQAPYVCEARNVFGKAQAEARLVVTGHAPPQIANSASVVRVLEGQPVS LTCVILAGRPLPERRWLKAGSPLPPGNRHAVRADGSLHLDRALQEDAGRYSCVATNVAGS QHRDVELVVQVPPRIHPTSTHHVTNEGVPASLPCIASGVPTPKITWTKETNALTTSGHYS VSRNGTLVIVQPSPQDAGAYVCTATNSVGFSSQEMWLSVNTKPMIKMNGSQAVDVPLRVT VKAGEEVTLDCEAQGSPTPLLTWTKDANPLLPVTNRYELLPSGSLRLAQAQVGDNGLYGC TASNPAGATSRRYVLRVQVPPQVQPGPRVLKVLAGEALDLNCVAEGNPQPQLNWFKDGMA LMGEGAQGSVHFAAVKTSDAGLYRCEASNSAGTDTWKLELLVLEPPHWGTDETKSLLERV AGENASLPCPAQGTPKPRITWRRGPSSEPLNGRPDVAVLDEGSLFLSSVSLADSGEYECQ ATNEVGSASRRAKLVVYVPPSIREEGHITNVSGLAGQPLTLECDINGFPAPEVAWLKDGQ LVGDSGGGWDGEEASGHRLLDGSRSLHFPRIQESHSGLYSCQAENQAGSAQRDFNLAVFI PPSLLGAGAAQEVLGLAGADVTLECQTSGVPTPQVEWTKDGQPILPGDPHILLQEDGQVL RIISSHLGDEGQYQCVAFSPAGQQAKDFQLSIHSPPTIWGSNETGEVTVLEGHTAQLLCE ARGMPSPAITWYKDGTLLAPSSEVVYSKGGRQLQLVKAQPSDAGLYTCQASNPAGITKKS TSLEVYVPPTIEGADGGPYLVQAVAGRPVALECVARGHPPPTISWQHEGLPVVDSNGTWL EAGGALQLENPGEASGGLYSCVASSPAGEAVLQYSVEMQVPPQLLVAEGMGQVTATVGQS LDLPCQASGSPVPTIQWLQNGRPAEELAGVQLASQGTILHISHVELNHSGLFACQATNEA GTAGAEVEVSVHGKQVSVNLGASFSAHHWWGEPHSPFPATCNPPVCRHWSAYPKPSLVER WRGRGNLRGQPSGTVREPGLTLLSQIEKADLRDEGVYTCSATNLAGESKKDVTLKVLVPP NIEPGPVNKVVLENASVTLECLASGVPPPDVSWFKGRQPISTQRRVIVSADGRVLHIERV QLSDAGSYRCVATNVAGSAGLKYGLRVNVPPRITLPPNLPGPVLLGTPFRLTCNATGTPR PTLIWLKDGNPVSPEGIPGLKVFPGGQVLTVASARASDSGSYSCVAVSAVGEDRRDVILQ VHMPPSILGEELNMSVVVNESVTLECQSHAVPPPVLRWQKDGRPLEPHPGIRLSADKALL EVDRAAVWDAGHYTCEAINQAGRSEKHFNLHVWVPPAFPSKEPYTLTVTEGQTARLSCDC QGIPFPKISWRKDGQPLPGEGDSLEQVLAVGRLLYLGQAQSAQEGTYTCECSNAAGTSSQ EQSLEVLVPPQVTGLWEPLTTVSVIQDGNTTLACNATGKPLPVVTWQRDGQPVSVEPGLR LQNQNHSLHVERAQASHAGGYSCVAENTAGRAERRFALSVLAPPHLTGDSDSLTNVTATL HGSFTLLCEAAGVPAPTVQWFQEGQPISPREGTYLLAGGWMLKMTQAQEQDRGLYSCLAS NEAGEARRNFSVEVLVPPSIENEDLEEVIKVPEGQTAQLECNATGHPPPKVTWFKDGQSL TVEDPYEMSPDGAFLWIPQANLSNAGHYSCIASNAVGEKTKHTQLSVLVVPTILGVPEKN ANEEVTVTINNPISLICEALAFPSPNITWMKDGSPFEASKNIQLLPGTHGLQILNAQKED AGQYTCVVTNELGEATKNYHVEVLIPPSISKDDPLGEVSVKEVKTKVNSSLTLECECWAT PPPSISWYKDGRPVTPSHRLSVLGEGRLLQIQPTQVSDSGRYLCVATNVAGEDDQDFNVL IQVPPMFQKMGDVDAGFEPLPHEEEAQGRVTEYREIVENNPAYLYCDTNAIPPPELTWYR EGQPLSAADGVSVLQGGRILQLPLVQAEDAGRYSCKAANEVGEDWLHYELLVLTPPVIPG DTQELVEEVTVNASSAVSLECPALGNPAPAVSWFQNGLPVSPSPRLQVLEEGQVLKVATA EVADAASYMCVAENQAGSAEKLFTLKVQVPPQISDWTTSQLTATLNSSVSLPCEVYAHPN PEVTWYKDGQPLSLGQEAFLLPGTHTLRLARAQPADSGTYLCEALNAAGRDQKMVQLNVL VPPSFKQAPGGPQEAIQVRAGDKAILSCETDSLPEPAVTWFKDQQPLALGQRIQGLQGGQ TLEILDSQASDKGVYSCKVSNTAGEAIRTFVLAIQVPPTFEKPERETVNQVAGRTLVLAC DVSGIPAPTVTWLKDRLPVESSVVHGVVSRGGRLQLSHLQPAQAGTYTCVAENAQAEARK DFVVSVLVPPQIQDSGMAQEHNVLEKQEIRLHCEAEGQPPPDITWLKDGGLLDQHVGPHL RFYLDGSTLVLKGLRTADSGAYTCVAHNPAGEDARLHTVNVLVPPTIKQQAGDTGTLVSR TGELVTMVCPVQGSPPIHVSWLKDGLPLPLSQRTLLHSSGRTLRISQVQLADSGVFTCVA ASPAGVADRNFTLLVLVPPILEPVEFQNNVMAAQGSEVVLPCEARGSPLPLVSWMKDGEP LLPQSLEQGPGLKLESVSVGDAGTYSCTAASEAGEARRHFQLTVMDPPHIEESGETSELS LTPGAHLELLCEARGIPPPNITWHKDGQALRRTENDSQAGRVLRVDNAGLYTCLAESPAG EVEKSFRVRVQAPPNVVGPRGPRSVVGLAPGQLILECSVEAEPAPEIEWHRGGVLLQADA HTHFPEQGRFLKLQALSTADGGDYSCTARNRAGSTSVAFRVEIHTAPTIQSGPNTVNVSV NRTTLLPCQTHGVPTPLVSWRKDGIPLHPGSPRLEFLPEGSLRIHPVLAQDAGHYLCLAS NSAGSDRKGLDLRVFEPPAIAPGPSNLTLTAYSPASLPCEARGSPKPLVTWWKDGQKLDL RLQQGAYRLLPSNALFLTAPSPQDSAQFECVVSNEVGESRRRYQVTVHVPPTIADDQTHF TVTRMAPVILTCHSTGSPTPAVSWSKAGTQLGARGSGYRILPSGALEIERALPLHAGRYT CTARNSAGVARKHMVLTVQASPVVKPLPSVVQVVASEEVLLPCEASGIPQPMVIWQKEGL SIPEGAHMQVLPSGQLRIMHASPEDAGNYFCIAQNSVGSAMAKTRLVVQVPPVIENGLPD LSTIEGSHALLPCTAKGSPEPAITWEKDGHLVSGAEGKFTLQPSGELLVKNSEGQDAGTY ICTAENAVGRARRRVHLTILTLPVLTTLPGDRSLRLGDRLWLRCVARGSPTPRIGWTIND QPVTEGVSEQDGGSTLQRAAVTREDSGTYTCWAENRVGRVQAVSFVHVKEAPVLQGEAFS YLVEPVGGSIQLHCVVRGDPAPDIHWTKDGLPLPISRLHFQLQNGSLTILRTKMDDAGRY QCLAVNEMGTVKKVVTVVLQSAPVFQVEPQDVTVRSGVDVELRCRATGEPVPTIEWLRAG RPLQAGRKLRALPDGSLWLEHVEAGDAGVYECVAHNHLGSVTAKALLAVRGEPRGSRGSM TGVINGQEFGMATLNISVLQQGSSEAPTIWSSISQVPASVGPLMRVLVVTIAPIYWALAR ESGEALNGYSLTGGSFQQESQMEFSTGELLTMTQVARGLDPDGLLLVDMKINGMIPESLA DGDLRVQDFQEHYVQTGPGQLFAGSTQRFLHDSLPASLRCNHSIQYDETRGLQPQLVQHL RASSISSAFDPEAEALNFQLTTALQTEENEVGCPEGFEPDVQGAFCVDKDECSGGPSPCS HTCRNAPGHFSCSCPTGFSLAWDHRNCRDVDECAGNTHLCQEEQRCVNLLGSYNCLASCR PGFRVTADGSNCEDVDECLEQLDECHYNQLCENTPGGHHCGCPRGYRQQGHSLPCLDINE CLQLPTPCVYQCQNLQGSYRCLCPPGQTLLRDGRTCIPLERNRQNITIVSHRSPFGPWLR SRVPRPSSSYHTWVSLRPGSGALNSVGRAWCPPGFIRQDGVCADLDECRVRSLCQHACQN TEGSYYCLCPSGYRLLPSGKNCQDINECEEDGIECGPGQMCFNTRGSFQCVDTPCPTTYR QGSSPGTCFRRCSQDCSASGPSTLQYRLLPLPLGVRAHHDVARLAAFSEAGIPANRTELT VLEPDPRSPFALRQLRAGQGAVYTRRALTRAGLYRLTVRAAAPRHQSVYILLIAVSPYPY >ENSMUSP00000118076.1 pep:known chromosome:GRCm38:2:31400277:31405814:1 gene:ENSMUSG00000055632.17 transcript:ENSMUST00000130375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmcn2 description:hemicentin 2 [Source:MGI Symbol;Acc:MGI:2677838] XRFALSVLAPPHLTGDSDSLTNVTATLHGSFTLLCEAAGVPAPTVQWFQEGQPISPREGT YLLAGGWMLKMTQAQEQDRGLYSCLASNEAGEARRNFSVEVLGHPPPKVTWFKDGQSLTV EDPYEMSPDGAFLWIPQANLSNAGHYSCIASNAVGEKTKHTQLSVLVVPTILGVPEKNAN EEVTVTINNPISLICEALAFPSPNITWMKDGSPFEASKNIQLLPAGTHGLQILNAQ >ENSMUSP00000097060.3 pep:known chromosome:GRCm38:2:122315672:122347972:1 gene:ENSMUSG00000033268.8 transcript:ENSMUST00000099461.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duox1 description:dual oxidase 1 [Source:MGI Symbol;Acc:MGI:2139422] MGFHLALAWILLVGTLASLGAQNSISWEVQRFDGWYNNLMEHRWGSKGSRLQRLVPASYA DGVYQPLKEPYLPNPRHLSNRVMRGSAGQPSLRNRTVLGVFFGYHVLSDLVSVETPGCPA EFLNIYIPHGDPVFDPDKRGNVVLPFQRSRWDRNTGQSPSNPRDQSNQVTGWLDGSAIYG SSHSWSDTLRSFSGGQLASGPDPAFPSDSQSSLLMWMAPDPSTGQGGPRGVYAFGAQRGN REPFLQALGLLWFRYHNLCARKLAQEHPHWGDEELFQHARKRVIATYQNIAMYEWLPSFL KQTPPEYPGYRPFLDPSISPEFVVASEQFLSTMVPSGVYMRNASCHFQGIPSHNSSVSGA LRVCNSYWSREHPKLQRAEDVDALLLGMASQIAEREDHVVVEDMQDFWPGPLKFSRTDYL ASCLQRGRDLGLPSYTKAREALGLSPISHWQDINPALSRSNGTVLEATAALYNQDLSRLE LLPGGLLESHGDPGPLFSTIVLDQFVRLRDGDRYWFENTRNGLFSKEEIAEIRNTSLRDI LVAVTNVDPSALQPNVFFWLAGDPCPQPSQLSAKGLPACAPLFIRDYFEGSGFGFGLTIG TLCCFPLVSLLSAWIVARLRKRNFKRLQRQDRQSIMSEKLVGGVEALEWQGRNEPCRPVL VHLQPGQIRVVDGRLTVLRTIQLRPPQQVNLILSSNRGRRTLLLKIPKEYDLVLLFNMEE ERQALVENVRGALKENGLSFQEWELREQELMRAAVTRQQRGHLLETFFRHLFSQVLDINQ ADAGTLPLDSSTKVREALTCELSRAEFADSLGLKPQDMFVESMFSLADKDGNGYLSFREF LDILVVFMKGSPEEKSRLMFRMYDFDGNGLISKDEFIRMLRSFIEISNNCLSKAQLAEVV ESMFRESGFQDKEELTWEDFHFMLRDHDSDLRFTQLCVKGVEVPEVIKNLCRRASYISQE KICPSPRMSAHCARNNMKTASSPQRLQCPMDTDPPQEIRRRFGKKVTSFQPLLFTEAHRE KFQRSRRHQTVQQFKRFIENYRRHIGCVAVFYTITGALFLERAYYYAFAAHHSGITDTTR VGIILSRGTAASISFMFSYILLTMCRNLITFLRETFLNRYIPFDAAVDFHRLIASTAIIL TVLHSAGHVVNVYLFSISPLSVLSCLFPGLFHDDGSEFPQKYYWWFFQTVPGLTGVLLLL ALAIMYVFASHHFRRRSFRGFWLTHHLYIFLYILLIIHGSFALIQMPRFHIFFLVPAIIY VGDKLVSLSRKKVEISVVKAELLPSGVTHLRFQRPQGFEYKSGQWVRIACLALGTTEYHP FTLTSAPHEDTLSLHIRAAGPWTTRLREIYSPPTGDTCARYPKLYLDGPFGEGHQEWHKF EVSVLVGGGIGVTPFASILKDLVFKSSVSCQVFCKKIYFIWVTRTQRQFEWLADIIREVE ENDRQDLVSVHIYITQLAEKFDLRTTMLYICERHFQKVLNRSLFTGLRSITHFGRPPFEP FFNSLQEVHPQVRKIGVFSCGPPGMTKNVEKACQLINRQDRTHFSHHYENF >ENSMUSP00000122983.1 pep:known chromosome:GRCm38:11:106988629:106999440:-1 gene:ENSMUSG00000018362.14 transcript:ENSMUST00000145331.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kpna2 description:karyopherin (importin) alpha 2 [Source:MGI Symbol;Acc:MGI:103561] MSTNENANLPAARLNRFKNKGKDSTEMRRRRIEVNVELRKAKKDEQMLKRRNVSSFPDDA TSPLQENRNNQEIAF >ENSMUSP00000018506.6 pep:known chromosome:GRCm38:11:106988629:106999541:-1 gene:ENSMUSG00000018362.14 transcript:ENSMUST00000018506.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna2 description:karyopherin (importin) alpha 2 [Source:MGI Symbol;Acc:MGI:103561] MSTNENANLPAARLNRFKNKGKDSTEMRRRRIEVNVELRKAKKDEQMLKRRNVSSFPDDA TSPLQENRNNQGTVNWSVEDIVKGINSNNLESQLQATQAARKLLSREKQPPIDNIIRAGL IPKFVSFLGKTDCSPIQFESAWALTNIASGTSEQTKAVVDGGAIPAFISLLASPHAHISE QAVWALGNIAGDGSAFRDLVIKHGAIDPLLALLAVPDLSTLACGYLRNLTWTLSNLCRNK NPAPPLDAVEQILPTLVRLLHHNDPEVLADSCWAISYLTDGPNERIEMVVKKGVVPQLVK LLGATELPIVTPALRAIGNIVTGTDEQTQKVIDAGALAVFPSLLTNPKTNIQKEATWTMS NITAGRQDQIQQVVNHGLVPFLVGVLSKADFKTQKEAAWAITNYTSGGTVEQIVYLVHCG IIEPLMNLLSAKDTKIIQVILDAISNIFQAAEKLGETEKLSIMIEECGGLDKIEALQRHE NESVYKASLNLIEKYFSVEEEEDQNVVPETTSEGFAFQVQDGAPGTFNF >ENSMUSP00000114636.1 pep:known chromosome:GRCm38:11:106991918:106998487:-1 gene:ENSMUSG00000018362.14 transcript:ENSMUST00000124541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna2 description:karyopherin (importin) alpha 2 [Source:MGI Symbol;Acc:MGI:103561] MSTNENANLPAARLNRFKNKGKDSTEMRRRRIEVNVELRKAKKDEQMLKRRNVSSFPDDA TSPLQENRNNQGTVNWSVEDIVKGINSNNLESQLQATQAARKLLSREKQPPIDNIIRAGL IPKFVSFLGKTDCSPIQFESAWALTNIASGTSEQTKAVVDGGAIPAFISL >ENSMUSP00000118679.1 pep:known chromosome:GRCm38:11:106991918:106998779:-1 gene:ENSMUSG00000018362.14 transcript:ENSMUST00000140362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna2 description:karyopherin (importin) alpha 2 [Source:MGI Symbol;Acc:MGI:103561] ERTDSLCNLQEAFLLVPAAMSTNENANLPAARLNRFKNKGKDSTEMRRRRIEVNVELRKA KKDEQMLKRRNVSSFPDDATSPLQENRNNQGTVNWSVEDIVKGINSNNLESQLQATQAAR KLLSREKQPPIDNIIRAGLIPKFVSFLGKTDCSPIQFESAWALTNIASGTSEQTKAVVDG GAIPAFISL >ENSMUSP00000121655.1 pep:known chromosome:GRCm38:11:106991938:106999450:-1 gene:ENSMUSG00000018362.14 transcript:ENSMUST00000144834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna2 description:karyopherin (importin) alpha 2 [Source:MGI Symbol;Acc:MGI:103561] MSTNENANLPAARLNRFKNKGKDSTEMRRRRIEVNVELRKAKKDEQMLKRRNVSSFPDDA TSPLQENRNNQGTVNWSVEDIVKGINSNNLESQLQATQAARKLLSREKQPPIDNIIRAGL IPKFVSFLGKTDCSPIQFESAWALTNIASGTSEQTKAVVDGGA >ENSMUSP00000102379.1 pep:known chromosome:GRCm38:11:106996215:106999369:-1 gene:ENSMUSG00000018362.14 transcript:ENSMUST00000106768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna2 description:karyopherin (importin) alpha 2 [Source:MGI Symbol;Acc:MGI:103561] MSTNENANLPAARLNRFKNKGKDSTEMRRRRIEVNVELRKAKKDEQMLKRRNVSSFPDDA TSPLQENRNNQVTTFEFMLP >ENSMUSP00000063039.4 pep:known chromosome:GRCm38:X:136270261:136271978:1 gene:ENSMUSG00000046432.12 transcript:ENSMUST00000053540.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngfrap1 description:nerve growth factor receptor (TNFRSF16) associated protein 1 [Source:MGI Symbol;Acc:MGI:1338016] MANVHQENEEMEQPLQNGQEDRPVGGGEGHQPAANNNNNNHNHNHNHHRRGQARRLAPNF RWAIPNRQMNDGLGGDGDDMEMFMEEMREIRRKLRELQLRNCLRILMGELSNHHDHHDEF CLMP >ENSMUSP00000108737.1 pep:known chromosome:GRCm38:X:136270305:136271964:1 gene:ENSMUSG00000046432.12 transcript:ENSMUST00000113112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngfrap1 description:nerve growth factor receptor (TNFRSF16) associated protein 1 [Source:MGI Symbol;Acc:MGI:1338016] MEQPLQNGQEDRPVGGGEGHQPAANNNNNNHNHNHNHHRRGQARRLAPNFRWAIPNRQMN DGLGGDGDDMEMFMEEMREIRRKLRELQLRNCLRILMGELSNHHDHHDEFCLMP >ENSMUSP00000108738.1 pep:known chromosome:GRCm38:X:136270775:136271920:1 gene:ENSMUSG00000046432.12 transcript:ENSMUST00000113113.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngfrap1 description:nerve growth factor receptor (TNFRSF16) associated protein 1 [Source:MGI Symbol;Acc:MGI:1338016] MANVHQENEEMEQPLQNGQEDRPVGGGEGHQPAANNNNNNHNHNHNHHRRGQARRLAPNF RWAIPNRQMNDGLGGDGDDMEMFMEEMREIRRKLRELQLRNCLRILMGELSNHHDHHDEF CLMP >ENSMUSP00000136952.1 pep:known chromosome:GRCm38:X:136270253:136271978:1 gene:ENSMUSG00000046432.12 transcript:ENSMUST00000178632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngfrap1 description:nerve growth factor receptor (TNFRSF16) associated protein 1 [Source:MGI Symbol;Acc:MGI:1338016] MANVHQENEEMEQPLQNGQEDRPVGGGEGHQPAANNNNNNHNHNHNHHRRGQARRLAPNF RWAIPNRQMNDGLGGDGDDMEMFMEEMREIRRKLRELQLRNCLRILMGELSNHHDHHDEF CLMP >ENSMUSP00000072961.5 pep:known chromosome:GRCm38:2:151996535:152009258:1 gene:ENSMUSG00000027463.14 transcript:ENSMUST00000073228.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc52a3 description:solute carrier protein family 52, member 3 [Source:MGI Symbol;Acc:MGI:1916948] MAFLTHLLVCVFGMGSWVAINGLWVELPLLVTELPEAWYLPSYLTVVIQLANIGPLLVTL MHRFRPGCLSEVPVIFLILCVGTAACILLAFLWNVTSWIQGGQHSVAFIVLTFFLALVDC TSSVTFLPFMSQLPTYYLTTFFIGEGLSGLLPALVALVQGSGITTCVNVTETPGTTLNTM ETPITQGNLSPSLPSPSWHQESRYLAPRFSPLLFFLLLSFLTGCCLVAFFLLQRQPWGRQ GSIEDLLHSQVTLHSIRPRDTEDTSSLGAPVSSPGKGSVEASVASLRPAQLAFIYSVVAF VNALTNGVLPSVQTYSCLPYGPVAYHLSATLSSVASPLACFLPIFLPNRSLLFLGVLTVL GTGFGAYNMAMAAMSPCPVLQGHWGGEVLIVLSWVLFAACLSYVKVMLGVILRDRSRSAL LWCGAAVQLGSLIGALLMFPLVNVLKLFSSADYCSLDCSV >ENSMUSP00000105487.1 pep:known chromosome:GRCm38:2:151999865:152009222:1 gene:ENSMUSG00000027463.14 transcript:ENSMUST00000109861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc52a3 description:solute carrier protein family 52, member 3 [Source:MGI Symbol;Acc:MGI:1916948] MAFLTHLLVCVFGMGSWVAINGLWVELPLLVTELPEAWYLPSYLTVVIQLANIGPLLVTL MHRFRPGCLSEVPVIFLILCVGTAACILLAFLWNVTSWIQGGQHSVAFIVLTFFLALVDC TSSVTFLPFMSQLPTYYLTTFFIGEGLSGLLPALVALVQGSGITTCVNVTETPGTTLNTM ETPITQGNLSPSLPSPSWHQESRYLAPRFSPLLFFLLLSFLTGCCLVAFFLLQRQPWGRQ GSIEDLLHSQVTLHSIRPRDTEDTSSLGAPVSSPGKGSVEASVASLRPAQLAFIYSVVAF VNALTNGVLPSVQTYSCLPYGPVAYHLSATLSSVASPLACFLPIFLPNRSLLFLGVLTVL GTGFGAYNMAMAAMSPCPVLQGHWGGEVLIVLSWVLFAACLSYVKVMLGVILRDRSRSAL LWCGAAVQLGSLIGALLMFPLVNVLKLFSSADYCSLDCSV >ENSMUSP00000105484.1 pep:known chromosome:GRCm38:2:151999897:152009246:1 gene:ENSMUSG00000027463.14 transcript:ENSMUST00000109858.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc52a3 description:solute carrier protein family 52, member 3 [Source:MGI Symbol;Acc:MGI:1916948] MAFLTHLLVCVFGMGSWVAINGLWVELPLLVTELPEAWYLPSYLTVVIQLANIGPLLVTL MHRFRPGCLSEVPVIFLILCVGTAACILLAFLWNVTSWIQGGQHSVAFIVLTFFLALVDC TSSVTFLPFMSQLPTYYLTTFFIGEGLSGLLPALVALVQGSGITTCVNVTETPGTTLNTM ETPITQVAVIPGGAHSVGDRLWGLQYGHGCYEPLPCPAGSLGWRSPYRALLGAVCSLSQL CQGDAGCDLA >ENSMUSP00000105485.2 pep:known chromosome:GRCm38:2:151996511:152009257:1 gene:ENSMUSG00000027463.14 transcript:ENSMUST00000109859.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc52a3 description:solute carrier protein family 52, member 3 [Source:MGI Symbol;Acc:MGI:1916948] MAFLTHLLVCVFGMGSWVAINGLWVELPLLVTELPEAWYLPSYLTVVIQLANIGPLLVTL MHRFRPGCLSEVPVIFLILCVGTAACILLAFLWNVTSWIQGGQHSVAFIVLTFFLALVDC TSSVTFLPFMSQLPTYYLTTFFIGEGLSGLLPALVALVQGSGITTCVNVTETPGTTLNTM ETPITQVAVIPGGAHSVGDRLWGLQYGHGCYEPLPCPAGSLGWRSPYRALLGAVCSLSQL CQGDAGCDLA >ENSMUSP00000108598.1 pep:known chromosome:GRCm38:5:96997689:97069649:1 gene:ENSMUSG00000034663.13 transcript:ENSMUST00000112974.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp2k description:BMP2 inducible kinase [Source:MGI Symbol;Acc:MGI:2155456] MKKFSRMPKSEGSGGGAAAGGAAGGGLGGGFASSSMGVRVFAVGRYQVTLEESLAEGGFS TVFLVRTHSGIRCALKRMYVNNTPDLNICKREITIMKELSGHKNIVGYLDCAVNSISDNV WEVLILMEYCRAGQVVNQMNKKLQTGFTESEVLQIFCDTCEAVARLHQCKTPIIHRDLKV ENILLNDAGNYVLCDFGSATNKFLNPQKDGVNVVEEEIKKYTTLSYRAPEMINLYGGKPI TTKADIWALGCLLYKLCFFTLPFGESQVAICDGSFTIPDNSRYSHNVHCLIRFMLEPDPE CRPDIFQVSYFAFKFAKKDCPVSNINNSFLPSTLPEPMTATEAAARKSQMKARITDTIGP TETSIAPRQRPKANSTAATSSVLTIQSSATPVKVPAPGEFSNHKPKGALRPGNGSEVLMV QGPPQQPPQQHRVLQQLQQGDWRLQQLHLHRHPHHHHQQQQQQQQQQQQQQLQQQQQQQQ QLLQNAYLQQYQHAMHQQHILQQQFLMHSVYQPQPPASQYPAMMQQYQQAFLQQQMLARH QQPAQQVSPEYLTSPQEFSPALVSYASSLPAQVGTIVDSSYGANRSVAEKEAVANFTNQK TISHPPDMSGWNPFGEDNFSKLTEEELLDREFDLLRSSKGHLKAYFASQ >ENSMUSP00000037970.7 pep:known chromosome:GRCm38:5:96997689:97091867:1 gene:ENSMUSG00000034663.13 transcript:ENSMUST00000035635.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp2k description:BMP2 inducible kinase [Source:MGI Symbol;Acc:MGI:2155456] MKKFSRMPKSEGSGGGAAAGGAAGGGLGGGFASSSMGVRVFAVGRYQVTLEESLAEGGFS TVFLVRTHSGIRCALKRMYVNNTPDLNICKREITIMKELSGHKNIVGYLDCAVNSISDNV WEVLILMEYCRAGQVVNQMNKKLQTGFTESEVLQIFCDTCEAVARLHQCKTPIIHRDLKV ENILLNDAGNYVLCDFGSATNKFLNPQKDGVNVVEEEIKKYTTLSYRAPEMINLYGGKPI TTKADIWALGCLLYKLCFFTLPFGESQVAICDGSFTIPDNSRYSHNVHCLIRFMLEPDPE CRPDIFQVSYFAFKFAKKDCPVSNINNSFLPSTLPEPMTATEAAARKSQMKARITDTIGP TETSIAPRQRPKANSTAATSSVLTIQSSATPVKVPAPGEFSNHKPKGALRPGNGSEVLMV QGPPQQPPQQHRVLQQLQQGDWRLQQLHLHRHPHHHHQQQQQQQQQQQQQQLQQQQQQQQ QLLQNAYLQQYQHAMHQQHILQQQFLMHSVYQPQPPASQYPAMMQQYQQAFLQQQMLARH QQPAQQVSPEYLTSPQEFSPALVSYASSLPAQVGTIVDSSYGANRSVAEKEAVANFTNQK TISHPPDMSGWNPFGEDNFSKLTEEELLDREFDLLRSNRLGASTPSDKTVDLPPAPHSRP PEEPFASVPFISHSGSPEKKTTEHSPNQKSITANLTKNGGSSPLCKDQRAGKKTSENPVI RGQVQKGHDDSESDFESDPPSPKSSEEEQEDEDAQGEHGDFNDDDTEPENLGHRPLLMDS EDEEEDDKHSSDSECEQAKTKRGDTSSLRRDKPGVAPDTALLTPARSPADALTPSQEFDV FGAVPFFAAPAPQSLQHRGDGKNLSQHAFPEQEDFDVFTKAPFNKKVSVQDWPAVGPDAR PLPARPRSVDIFGSTPFQPFSVSASKSESKEDVFGLVPFEEITGSQQQQKVKQRSLQKLS SRQRRTKQDVSKSNGKRHHGTPTSAKKTLKPPYRTPERARRHKKVGRRDSQSSNEFLTIS DSKENISVALTDGKDRASVLPSDESLLDPFGAKPFHPPDLWHQPHQGLSDICVDHTTILP GRPRQNSVHGSFHSAETLRMDDFGAVPFTELVVQSVTPQQSQPVELDPFGAAPFPSKQ >ENSMUSP00000031384.5 pep:known chromosome:GRCm38:5:123510460:123511882:1 gene:ENSMUSG00000029431.5 transcript:ENSMUST00000031384.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt4 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 4 [Source:MGI Symbol;Acc:MGI:2680208] MLPRLGCVLFCSLVVLLLSCLLLLKERIPAGSSKAHQQFLALPRSHHSQCSPNLTVVNTS LSLPSRHRLFLTYRHCRNFSILLEPSECARDTFLLLVIKSQPAHIEQRSAIRSTWGRAGS WARGRQLKLVFLLGVAGPVPPAQLLVYESWQFDDILQWDFAEDFFNLTLKELHVQRWIAA ACTQAHFILKGDDDVFIHVPNVLEFLEGWDPAQDFLVGDVIRLARPNRNTKVKYFIPFSM YRARHYPPYAGGGGYVMSQATVRHLHMAMEEAELFPIDDVFVGMCLRKLGVTPIHHAGFK TFGIQQPLNPRDPCLYKGLLLVHRLSPLEMWTMWALVTDERLKCAATHKP >ENSMUSP00000005817.2 pep:known chromosome:GRCm38:1:171216011:171222514:-1 gene:ENSMUSG00000005674.9 transcript:ENSMUST00000005817.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm40l description:translocase of outer mitochondrial membrane 40 homolog-like (yeast) [Source:MGI Symbol;Acc:MGI:3589112] MGNTLGLAPMGTLPRRSHRREEPLPNPGSFDELHRLCKDVFPAQMEGVKLVVNKVLSSHF QVAHTVHMSALGLPGYHLHTAYAGDWQLSPTEVFPTVVGDMDSSGSLNAQVLLLLAERLR AKAVFQTQQAKFLTWQFDGEYRGDDYTATLTLGNPDLIGESVIMVAHFLQSITHRLVLGG ELVYHRRPGEEGAILTLAGKYSAVHWVATLNVGSGGAHASYYHKANEQVQVGVEFEANTR LQDTTFSFGYHLTLPQADMVFRGLVDSNWCVGAVLEKKMRPLPVTLALGAFLNHWRNRFH CGFSITVG >ENSMUSP00000106959.1 pep:known chromosome:GRCm38:1:171217802:171222184:-1 gene:ENSMUSG00000005674.9 transcript:ENSMUST00000111327.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm40l description:translocase of outer mitochondrial membrane 40 homolog-like (yeast) [Source:MGI Symbol;Acc:MGI:3589112] MGNTLGLAPMGTLPRRSHRREEPLPNPGSFDELHRLCKDVFPAQMEGVKLVVNKVLSSHF QVAHTVHMSALGLPGYHLHTAYAGDWQLSPTEVFPTVVGDMDSSGSLNAQVLLLLAERLR AKAVFQTQQAKFLTWQFDGEYRGDDYTATLTLGNPDLIGESVIMVAHFLQSITHRLVLGG ELVYHRRPGEEGAILTLAGKYSAVHWVATLNVGSGGAHASYYHKANEQVQVGVEFEANTR LQDTTFSFGYHLTLPQADMVFRGLVDSNWCVGAVLEKKMRPLPVTLALGAFLNHWRNRFH CGFSITVG >ENSMUSP00000106958.1 pep:known chromosome:GRCm38:1:171217802:171222448:-1 gene:ENSMUSG00000005674.9 transcript:ENSMUST00000111326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm40l description:translocase of outer mitochondrial membrane 40 homolog-like (yeast) [Source:MGI Symbol;Acc:MGI:3589112] MGNTLGLAPMGTLPRRSHRREEPLPNPGSFDELHRLCKDVFPAQMEGVKLVVNKVLSSHF QVAHTVHMSALGLPGYHLHTAYAGDWQLSPTETQQAKFLTWQFDGEYRGDDYTATLTLGN PDLIGESVIMVAHFLQSITHRLVLGGELVYHRRPGEEGAILTLAGKYSAVHWVATLNVGS GGAHASYYHKANEQVQVGVEFEANTRLQDTTFSFGYHLTLPQADMVFRGLVDSNWCVGAV LEKKMRPLPVTLALGAFLNHWRNRFHCGFSITVG >ENSMUSP00000137963.1 pep:known chromosome:GRCm38:1:171218363:171222451:-1 gene:ENSMUSG00000005674.9 transcript:ENSMUST00000143405.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tomm40l description:translocase of outer mitochondrial membrane 40 homolog-like (yeast) [Source:MGI Symbol;Acc:MGI:3589112] MGNTLGLAPMGTLPRRSHRREEPLPNPGSFDELHRLCKDTAGQVPDMAV >ENSMUSP00000115877.1 pep:known chromosome:GRCm38:1:171220827:171222460:-1 gene:ENSMUSG00000005674.9 transcript:ENSMUST00000138184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm40l description:translocase of outer mitochondrial membrane 40 homolog-like (yeast) [Source:MGI Symbol;Acc:MGI:3589112] MGNTLGLAPMGTLPRRSHRREEPLPNPGSFDELHRLCKDVFPAQMEGVKLVVNKVLSSHF QVAHTVHMSALGLPGYHLHTAYAGDWQLSPTEVFPTVVGDMDSSGSLNAQVLLLLAERL >ENSMUSP00000119006.1 pep:known chromosome:GRCm38:1:171220898:171222444:-1 gene:ENSMUSG00000005674.9 transcript:ENSMUST00000147246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm40l description:translocase of outer mitochondrial membrane 40 homolog-like (yeast) [Source:MGI Symbol;Acc:MGI:3589112] MGNTLGLAPMGTLPRRSHRREEPLPNPGSFDELHRLCKDVFPAQMEGVKLVVNKVLSSHF QVAHTVHMSALGLPGYHLHTAYAGDWQLSPTEVFP >ENSMUSP00000027467.4 pep:known chromosome:GRCm38:1:79794197:79858696:-1 gene:ENSMUSG00000026249.10 transcript:ENSMUST00000027467.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpine2 description:serine (or cysteine) peptidase inhibitor, clade E, member 2 [Source:MGI Symbol;Acc:MGI:101780] MNWHFPFFILTTVTLYSVHSQFNSLSLEELGSNTGIQVFNQIIKSRPHENVVVSPHGIAS ILGMLQLGADGKTKKQLSTVMRYNVNGVGKVLKKINKAIVSKKNKDIVTVANAVFLRNGF KMEVPFAVRNKDVFQCEVQNVNFQDPASASESINFWVKNETRGMIDNLLSPNLIDGALTR LVLVNAVYFKGLWKSRFQPESTKKRTFVAGDGKSYQVPMLAQLSVFRSGSTRTPNGLWYN FIELPYHGESISMLIALPTESSTPLSAIIPHITTKTIDSWMNTMVPKRMQLVLPKFTAVA QTDLKEPLKALGITEMFEPSKANFTKITRSESLHVSHILQKAKIEVSEDGTKASAATTAI LIARSSPPWFIVDRPFLFSIRHNPTGAILFLGQVNKP >ENSMUSP00000140065.1 pep:known chromosome:GRCm38:1:79796845:79821322:-1 gene:ENSMUSG00000026249.10 transcript:ENSMUST00000189793.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpine2 description:serine (or cysteine) peptidase inhibitor, clade E, member 2 [Source:MGI Symbol;Acc:MGI:101780] KTKKQLSTVMRYNVNGMIDNLLSPNLIDGALTRLVLVNAVYFKGLWKSRFQPESTKKRTF VAGDGKSYQVPMLAQLSVFRSGSTRTPNGLWYNFIELPYHGESISMLIALPTESSTPLSA IIPHITTKTIDSWMNTMVPKRMQLVLPKFTAVAQTDLKEPLKALGITEMFEPSKANFTKI TRSESLHVSHILQKAKIEVSEDGTKASAATTAILIARSSPPWFIVDRPFLFS >ENSMUSP00000140255.1 pep:known chromosome:GRCm38:1:79803035:79861180:-1 gene:ENSMUSG00000026249.10 transcript:ENSMUST00000190724.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpine2 description:serine (or cysteine) peptidase inhibitor, clade E, member 2 [Source:MGI Symbol;Acc:MGI:101780] MNWHFPFFILTTVTLYSVHSQFNSLSLEELGSNTGIQVFNQIIKSRPHENVVVSPHGIAS ILGMLQLGADGKTKKQLSTVMRYNVNGVGKVLKKINKAIVSKKNKDIVTVANAVFLRNGF KMEVPFAVRNKDVFQCEVQNVNFQDPASASESINFWVKNETRGMIDNLLSPNLIDGALTR LVLVNAVYFKGLWKSRFQPESTKKRTFVAGDGKSYQVPMLAQLSVFRSGSTR >ENSMUSP00000021424.4 pep:known chromosome:GRCm38:12:87305058:87388355:-1 gene:ENSMUSG00000021036.10 transcript:ENSMUST00000021424.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptlc2 description:serine palmitoyltransferase, long chain base subunit 2 [Source:MGI Symbol;Acc:MGI:108074] MRPEPGGCCCRRPMRANGCVKNGEVRNGYLRSSTATVAAAGQIHHVTENGGLYKRPFNEA FEETPMLVAVLTYVGYGVLTLFGYLRDFLRHWRIEKCHHATEREEQKDFVSLYQDFENFY TRNLYMRIRDNWNRPICSVPGAKVDIMERKSHDYNWSFKYTGNIIKGVINMGSYNYLGFA RNTGSCQEAAAEVLKEYGAGVCSTRQEIGNLDKHEELEKLVARFLGVEAAMTYGMGFATN SMNIPALVGKGCLILSDELNHASLVLGARLSGATIRIFKHNNMQSLEKLLKDAIVYGQPR TRRPWKKILILVEGIYSMEGSIVRLPEVIALKKKYKAYLYLDEAHSIGALGPSGRGVVDY FGLDPEDVDVMMGTFTKSFGASGGYIGGKKELIDYLRTHSHSAVYATSMSPPVMEQIITS MKCIMGQDGTSLGKECIQQLAENTRYFRRRLKEMGFIIYGNEDSPVVPLMLYMPAKIGAF GREMLKRNIGVVVVGFPATPIIESRARFCLSAAHTKEILDTALKEIDEVGDLLQLKYSRH RLVPLLDRPFDETTYEETED >ENSMUSP00000115045.1 pep:known chromosome:GRCm38:5:123509765:123524143:-1 gene:ENSMUSG00000029433.14 transcript:ENSMUST00000125652.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Diablo description:diablo, IAP-binding mitochondrial protein [Source:MGI Symbol;Acc:MGI:1913843] MAALRSWVTRSVCSLFRYRQRFPVLANSKKRCFSELIKPWHKTVLTGFGMTLCAVPIAQK SEPQSLSNEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLVSLYRQYTSLLG KMNSQEEDEVWQVIIGARVEMTSKQQEYLKLETTWMTAVGLSEMAAEAAYQTGADQASIT ARNHIQLVKSQVQEVRQLSQKAETKLAEAQTKELHQKAQEVSDEGADQEEEAYLRED >ENSMUSP00000143485.1 pep:known chromosome:GRCm38:5:123511705:123524142:-1 gene:ENSMUSG00000029433.14 transcript:ENSMUST00000139398.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Diablo description:diablo, IAP-binding mitochondrial protein [Source:MGI Symbol;Acc:MGI:1913843] MAALRSWVTRSVCSLFRYRQRFPVLANSKKRCFSELIKPWHKTVLTGFGMTLCAVPIAQK SEPQSLSNEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLVSLYRQYTSLLG KMNSQEEDEVWQVIIGARVEVSEPVSHFHISGLHYGI >ENSMUSP00000107214.3 pep:known chromosome:GRCm38:5:123511705:123524176:-1 gene:ENSMUSG00000029433.14 transcript:ENSMUST00000111587.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diablo description:diablo, IAP-binding mitochondrial protein [Source:MGI Symbol;Acc:MGI:1913843] MAALRSWVTRSVCSLFRYRQRFPVLANSKKRCFSELIKPWHKTVLTGFGMTLCAVPIAQK SEPQSLSNEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLVSLYRQYTSLLG KMNSQEEDEVWQVIIGARVEMTSKQQEYLKLETTWMTAVGLSEMAAEAAYQTGADQASIT ARNHIQLVKSQVQEVRQLSQKAETKLAEAQTKELHQKAQEVSDEGADQEEEAYLRED >ENSMUSP00000143145.1 pep:known chromosome:GRCm38:5:123512082:123525429:-1 gene:ENSMUSG00000029433.14 transcript:ENSMUST00000197682.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Diablo description:diablo, IAP-binding mitochondrial protein [Source:MGI Symbol;Acc:MGI:1913843] MAALRSWVTRSVCSLFRYGRDSVFLSWLTLRNAVSQN >ENSMUSP00000143673.1 pep:known chromosome:GRCm38:5:123512303:123524112:-1 gene:ENSMUSG00000029433.14 transcript:ENSMUST00000200247.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diablo description:diablo, IAP-binding mitochondrial protein [Source:MGI Symbol;Acc:MGI:1913843] MTLCAVPIAQKSEPQSLSNEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLV SLYRQYTSLLGKMNSQEEDEVWQVIIGARVEMTSKQQEYLNWLKHRQKSCTRKHRK >ENSMUSP00000143617.1 pep:known chromosome:GRCm38:5:123515803:123524136:-1 gene:ENSMUSG00000029433.14 transcript:ENSMUST00000145152.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diablo description:diablo, IAP-binding mitochondrial protein [Source:MGI Symbol;Acc:MGI:1913843] MAALRSWVTRSVCSLFRYRQRFPVLANSKKRCFSELIKPWHKTVLTGFGMTLCAVPIAQK SEPQSLSNEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLVSLYRQYTSLLG KMNSQEEDEVWQVIIGARVEVSEPVSHFHISGLHYGI >ENSMUSP00000107213.1 pep:known chromosome:GRCm38:5:123516264:123524133:-1 gene:ENSMUSG00000029433.14 transcript:ENSMUST00000111586.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diablo description:diablo, IAP-binding mitochondrial protein [Source:MGI Symbol;Acc:MGI:1913843] MAALRSWVTRSVCSLFRYRQRFPVLANSKKRCFSELIKPWHKTVLTGFGMTLCAVPIAQK SEPQSLSNEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLVSLYRQYTSLLG KMNSQEEDEVWQVIIGARVEMTSKQQEYLKLETTWMTAVGLSEMAAEAAYQTEK >ENSMUSP00000031385.6 pep:known chromosome:GRCm38:5:123517586:123524133:-1 gene:ENSMUSG00000029433.14 transcript:ENSMUST00000031385.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diablo description:diablo, IAP-binding mitochondrial protein [Source:MGI Symbol;Acc:MGI:1913843] MAALRSWVTRSVCSLFRYRQRFPVLANSKKRCFSELIKPWHKTVLTGFGMTLCAVPIAQK SEPQSLSNEALMRRAVSLVTDSTSTFLSQTTYALIEAITEYTKAVYTLVSLYRQYTSLLG KMNSQEEDEVWQVIIGARVEMTSKQQEYLKLETTWMTAVGLSEMAAEAAYQTGS >ENSMUSP00000073591.5 pep:known chromosome:GRCm38:7:125878420:126082411:-1 gene:ENSMUSG00000046182.8 transcript:ENSMUST00000073935.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsg1l description:GSG1-like [Source:MGI Symbol;Acc:MGI:2685483] MKTSRRGRALLAVALNLLALLFATTAFLTTYWCQGTQRVPKPGCGQGGGANCPNSGANAT ANSTAAPVAASPAGAPYSWEAGDERFQLRRFHTGIWYSCEEELGGPGEKCRSFIDLAPAS EKGVLWLSVVSEVLYILLLVVGFSLMCLELVHSSSVIDGLKLNAFAAVFTVLSGLLGMVA HMMYTQVFQVTVSLGPEDWRPHSWDYGWSFCLAWGSFTCCMAASVTTLNSYTKTVIEFRH KRKVFEQGYREEPTFIDPEAIKYFRERIEKGDVSEEEDFRLACRHERYPTRHQPHMGDSW PRSSAHEAAELNRQCWVLGHWV >ENSMUSP00000122148.1 pep:known chromosome:GRCm38:3:135485611:135555566:1 gene:ENSMUSG00000028164.15 transcript:ENSMUST00000131610.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Manba description:mannosidase, beta A, lysosomal [Source:MGI Symbol;Acc:MGI:88175] MHLHLLLILALFRAGCVVAGPSYSLSGSWRVSNGNGSLELPATVPGYVHSALHQHGLIQD PYYRFNDLNYRWISLDNWTYSTEFKIPFNLSEWQKVKLIFDGVDTVAEILFNNVTIGKTD NMFTGYSFDVTNVVKDVNSLKLQFRSAVQYAECQSKAHTSYRVPPECPPVEQKGECHVNF IRKGLL >ENSMUSP00000029814.9 pep:known chromosome:GRCm38:3:135485611:135571404:1 gene:ENSMUSG00000028164.15 transcript:ENSMUST00000029814.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Manba description:mannosidase, beta A, lysosomal [Source:MGI Symbol;Acc:MGI:88175] MHLHLLLILALFRAGCVVAGPSYSLSGSWRVSNGNGSLELPATVPGYVHSALHQHGLIQD PYYRFNDLNYRWISLDNWTYSTEFKIPFNLSEWQKVKLIFDGVDTVAEILFNNVTIGKTD NMFTGYSFDVTNVVKDVNSLKLQFRSAVQYAECQSKAHTSYRVPPECPPVEQKGECHVNF IRKAQCSFSWDWGPSFPSQGIWKDVRIEAYNIAHLDYLTFLPVYDNASQAWNIEIEASFD VASSKSVSGQVTVAIPQLKTQQTNDIELQQEQRIVKLLVKIRKDVAVETWWPRGHGNQTG YNMTILFALDGGLKIEKAAKVYFRTVQLIEEGIKGSPGLSFYFKINGLPIFLKGSNWIPA DSFQDKVTSDRLQLLFQSVVDANMNTLRVWGGGIYEQDEFYALCDELGIMVWQDFMFASA LYPTEPGFLASVRKEVTYQVRRLKSHPSIIIWSGNNENEVALSVNWFHVNPRDMKTYIDD YVTLYVKNIRKIVLSEDKSRPFIASSPTNGMKTMEEGWISYDPYSIQYGDIHFYNYADDC WNWKIFPKARLVSEYGYQSWPSFSTLEKVSSQEDWAYNSRFSLHRQHHEDGNHQMLHQVK MHFKLPQGTDPLRTFKDTIYLTQVMQAQCIKTETEFYLRSRSEIVDGKGHTMGALYWQLN DIWQAPSWASLEYGGKWKMLHYFARRFFAPLLPVGFEDEGVFYVYGVSDLHKDHHTQLTV RLHHWSSPKPLCSLVNSSIVVKAGEAVVLFQMPVSELLKRCRGCTRETCVVSFYFSTDKE LFSPTNYHFLSSLKDAKGLLEANITVNISQKGNVFVFDLETSAVAPFVWLDVGSIPGRFS DNGFLMIRKKLSVLFYPWKPTSKSELQQAFSVTSLTDTY >ENSMUSP00000002708.3 pep:known chromosome:GRCm38:5:28456815:28467256:-1 gene:ENSMUSG00000002633.4 transcript:ENSMUST00000002708.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shh description:sonic hedgehog [Source:MGI Symbol;Acc:MGI:98297] MLLLLARCFLVILASSLLVCPGLACGPGRGFGKRRHPKKLTPLAYKQFIPNVAEKTLGAS GRYEGKITRNSERFKELTPNYNPDIIFKDEENTGADRLMTQRCKDKLNALAISVMNQWPG VKLRVTEGWDEDGHHSEESLHYEGRAVDITTSDRDRSKYGMLARLAVEAGFDWVYYESKA HIHCSVKAENSVAAKSGGCFPGSATVHLEQGGTKLVKDLRPGDRVLAADDQGRLLYSDFL TFLDRDEGAKKVFYVIETLEPRERLLLTAAHLLFVAPHNDSGPTPGPSALFASRVRPGQR VYVVAERGGDRRLLPAAVHSVTLREEEAGAYAPLTAHGTILINRVLASCYAVIEEHSWAH RAFAPFRLAHALLAALAPARTDGGGGGSIPAAQSATEARGAEPTAGIHWYSQLLYHIGTW LLDSETMHPLGMAVKSS >ENSMUSP00000101218.1 pep:known chromosome:GRCm38:4:155791172:155799503:1 gene:ENSMUSG00000078487.8 transcript:ENSMUST00000105593.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd65 description:ankyrin repeat domain 65 [Source:MGI Symbol;Acc:MGI:2685285] MDSSSLEQELRWMKLVWEEAPADKTERPLTSPGWSTLFQAVWWGAPSLVMQLLRQGSSVE ERDHTGRTPLHLAVMRGHAPLVRLLLQRGALAGAPDHTGRTPLHEAAWHGHSNVAELLLR RGASAAACSQTGLTPLHGAAALGRTLLVTSFTVASDSGSDVKDVRGWTAAHWAAACGQLA VLELLSAGGNADLDGALLVSAIAGSTSSLQLLLTLGAKVDTQDSTGATALGLAAGLGHHQ MLFFLCCLKK >ENSMUSP00000129173.1 pep:known chromosome:GRCm38:4:155790439:155793173:1 gene:ENSMUSG00000078487.8 transcript:ENSMUST00000165000.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd65 description:ankyrin repeat domain 65 [Source:MGI Symbol;Acc:MGI:2685285] LARPCCPHLESGQPRCSDMDSSSLEQELRWMKLVWEEAPADKTERPLTSPGWSTLFQAVW WGAPSLVMQLLRQGSSVEERDHTGRTPLHLAVMRGHAPLVRLLLQRGALAGAPDHTGRTP LHEAAWHGHSNVAELLLRRGASAAACSQTGLTPLHGAAALGRTLLVTSFTVASDSGSDVK DVRGWTAAHWAAACGQLAVLELLSAGGNADLDGALLVSAIAGSTSSLQLLLTLGAKVDTQ DSTGATALGLAAGLGHHQDIEVLLDHGADPNIRDRNNRSALHRAATGGHLRVTQLLVAKG IEIDAQDSLGLTPLHHAARGGHVEVVSHLLDRGAHINAAGWLHKTPLHLAVENGHSTTVE LLLSRGANSTLRTQWGEAAQ >ENSMUSP00000018593.3 pep:known chromosome:GRCm38:11:70970213:70977833:1 gene:ENSMUSG00000018449.12 transcript:ENSMUST00000018593.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpain description:RPA interacting protein [Source:MGI Symbol;Acc:MGI:1916973] MAESSGSPHRLLYKQVGSPHWKETFRQGCLERMRNSRHRLLNKYRQAAGSTPGTASDRLL VQEVMEEEWASLQSVENCPEALLQLELPLDLAVLQDIEQELCNEEKSIISEYEEDLEFDE SCLRRMLAEWEANSLICPVCIKYNLRIMNSVVTCPCGLHIPVHSTDLTEQKLRACLEENV NEHSVHCPHTPVFSVTGGTEEKPSLLMNCLTCDTWAVIL >ENSMUSP00000137113.1 pep:known chromosome:GRCm38:11:70970227:70971919:1 gene:ENSMUSG00000018449.12 transcript:ENSMUST00000154430.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpain description:RPA interacting protein [Source:MGI Symbol;Acc:MGI:1916973] MAESSGSPHRLLYKQVGSPHWKETFRQREALRMYTSREIEVISLLKNIRHG >ENSMUSP00000136592.1 pep:known chromosome:GRCm38:11:70970507:70977687:1 gene:ENSMUSG00000018449.12 transcript:ENSMUST00000178822.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpain description:RPA interacting protein [Source:MGI Symbol;Acc:MGI:1916973] MAESSGSPHRLLYKQVGSPHWKETFRQGCLERMRNSRHRLLNKYRQAAGSTPGTASDRLL VQEVMEEEWASLQSVENCPEALLQLELPLDLAVLQDIEQELCNEEKSIISEYEEDLEFDE SCLRRMLAEWEANSLICPVCIKYNLRIMNSVVTCPCGLHIPVHSPVEQKRSPVF >ENSMUSP00000133243.1 pep:known chromosome:GRCm38:11:70970507:70977687:1 gene:ENSMUSG00000018449.12 transcript:ENSMUST00000171254.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpain description:RPA interacting protein [Source:MGI Symbol;Acc:MGI:1916973] MAESSGSPHRLLYKQVGSPHWKETFRQGCLERMRNSRHRLLNKYRQAAGSTPGTASDRLL VQEVMEEEWASLQSVENCPEALLQLELPLDLAVLQDIEQELCNEGTT >ENSMUSP00000104169.3 pep:known chromosome:GRCm38:11:70970507:70977687:1 gene:ENSMUSG00000018449.12 transcript:ENSMUST00000108529.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpain description:RPA interacting protein [Source:MGI Symbol;Acc:MGI:1916973] MAESSGSPHRLLYKQVGSPHWKETFRQGCLERMRNSRHRLLNKYRQAAGSTPGTASDRLL VQEVMEEEWASLQSVENCPEALLQTCDTWAVIL >ENSMUSP00000128903.1 pep:known chromosome:GRCm38:11:70970507:70977687:1 gene:ENSMUSG00000018449.12 transcript:ENSMUST00000169965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpain description:RPA interacting protein [Source:MGI Symbol;Acc:MGI:1916973] MAESSGSPHRLLYKQVGSPHWKETFRQGCLERMRNSRHRLLNKYRQAAGSTPGTASDRLL VQEVMEEEWASLQSVENCPEALLQLELPLDLAVLQDIEQELCNEDL >ENSMUSP00000127315.1 pep:known chromosome:GRCm38:11:70970507:70977687:1 gene:ENSMUSG00000018449.12 transcript:ENSMUST00000167509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpain description:RPA interacting protein [Source:MGI Symbol;Acc:MGI:1916973] MAESSGSPHRLLYKQVGSPHWKETFRQGCLERMRNSRHRLLNKYRQAAGSTPGTASDRLL VQEVMEEEWASLQSVENCPEALLQLELPLDLAVLQDIEQELCNEEKSIISEYEEDLEFDE SCLRRMLAEWEANSLICPVCIKYNLRIMNSVVTCPCGLHIPVHTCDTWAVIL >ENSMUSP00000050454.7 pep:known chromosome:GRCm38:1:188263034:188965041:1 gene:ENSMUSG00000026609.15 transcript:ENSMUST00000060479.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ush2a description:Usher syndrome 2A (autosomal recessive, mild) [Source:MGI Symbol;Acc:MGI:1341292] MHYLALSPGFLCYTIKTLILAYLASVLVLAASQGVFPRLENVGAFRKVSTVPTHATCGFP GPSTFCRSPVAAEHVQLCTERLCIQDCPYRSASPLYTALLEGLRSCIPADDGDLHPYSRS SSVSFMFGSHQNCPSLRAPRLAAELTLAVWLKLEQGGTMCVIEKTVDGQIVFKVTISEKE TMFYYRTVNGLQPPIKVMTPGRILMKKWIHLTVQVHQTAISFFVDGLEENSTAFDTRTLH DSVTDSVSSVIQVGQSLNGSEQFVGRMQDFRLYNVSLTNREILEVFSGDFPHLHIQPHCR CPGSHPRVHPSVQQYCIPNGAGDTPEHRMSRLNPEAHPLSFINDDDVATSWISHVFTNIT QLYEGVAISIDLENGQYQVLKVITQFSSLQPVAIRIQRKKADSSPWEDWQYFARNCSVWG MKDNEDLENPNSVNCLQLPDFIPFSHGNVTFDLLTSGQKHRPGYNDFYNSSVLQEFMRAT QIRLHFHGQYYPAGHTVDWRHQYYAVDEIIVSGRCQCHGHAETCDRTRRPYRCLCSPHSF TEGPQCDRCSPLYNDKPFRSGDNVNAFNCKPCQCHGHASSCHYDASVDPFPLEHNRGGGG VCDDCQHHTTGRHCESCQDYFYRPVGADPAAPDACKLCDCNRAGTRNGSLHCDPIGGQCD CKRRVSGRQCLQCQDGFYDLQALDPDGCRPCNCNPSGTVDGDITCHQNSGQCSCKANVIG LRCNRCNFGFKFLQSFNGDGCEPCQCNLHGSVNQLCDPLSGQCACKKEAKGLKCDSCREN FYGLPWSACEVCDCSKAGSQPGTVCDTETGQCVCKPNVGGRQCSQCKAGYFNLYQNDSHL CLTCNCEKMGTVNGSLRCDKSTGQCPCKLGVTGLRCHQCKPHRFNLTMDNPQGCQACDCD SLGTLPGSMCDPISGQCLCLPHRQGRRCEQCQPGFYSSPSNATGCLPCLCHTAGAVSHIC NSVTGQCSCHDPSTTGRSCHQCQESYFRFDPLTGRCRPCHCHVAGASNGTCDAVTGQCFC KEFVTGSKCDTCVPGASHLDVNNLLGCSKTPSQQPPPRGWVQSSSTINVSWSPPECPNAH WLTYTLFRNGSEIYTTEDEHPYYTQYFLDTSLSPHTAYSYYIETSNVHSSTRSIPVIYET KPEVSEGHLNLTHIIPVGSDSITLTWTGLSNSSDPVAKYVLSCTPVDSTEPCVSYEGPET SATIWNLVPFTQYCFSVQGCTNESCFYSLPIIVTTAQAPPQTQGPPTVWKISPTELRIEW SPPVDSNGIIISYELYMRRWLSTEESLVFESHGLVSSHSALQSVNPSKNLLQQPQASTFI SGLEPHTEYAFRVLAVNMAGRVSSAWASERTGESVPVFMAPPSVSPLSPHSLSVSWEKPA ENFTRGEIIGYKISMVSEHFPLHDVPVMCSKMVHFAKSQDQSYIVRGLEPYRTYSFTVSL CDSVGCVTSALGSGQTLAAAPAQLRPPMVTGVNSTTVHIRWLPPAGVNGPPPLYHLERKK SSLPAATAAVTKGTRFVGHGYCRFPRTAHADFIGIKASFRTRVPEGLILLALSPGDQEEY FTLQLKNGRPYFLYNSQGTLVEVTPTDDPSQGYRDGEWHEIIAVRHQAFGQITLDGQYTG SSSSLNGSSVTGGYTGLFVGGVPQGHSVLQKRLEIIQRGFVGCLKDVFIMKGYSPSGTWL PLDWQSSEEQVNVHPSWEGCPTNLEEGVQFLGAGFLELPSDTFHAAKDFEISLKFQTDQL NGLLLFIHNTEGPDFLAVELKRGLLSFKFNSSLVFTRVDLRLGLADCDGKWNTVSIKKEG SVVSVRVNALKKSTSQAGGQPLLVNSPVYLGGIPRELQDAYRHLTLEPGFRGCVKEVAFA RGVVVNLASVSSRAVRVNQDGCLSSDSTVNCGGNDSILVYRGSQQSVYESGLQPFTEYLY RVTASHEGGAVSSDWSRGRTLGTAPQSVPTPSRAQSINGSSVEVAWNEPAVVKGVLEKYV LKAYSEDSSQPRVPSASTELHDTSTHSGVLIGLHPFHSYTVTLTACSRAGCTESSQALSI STPQEAPQEVQAPVAVALPNSLSFFWSLPRQANGIITQYSLYVDGRLVYTGKGQNYTVTD LRVFAAYEIIVGACTQAGCTNSSQVILHTAQLPPEQVDPPGLTVLDSRTIHVRWKQPRQL NGILERYILYILNPIHNSTMWSVVYNSTEKLQAHVLHHLSPGGLYLIRLRVCTGGGCTTS EPSQALMEETIPEGVPAPRAHSYSPDSFNISWTEPEYPNGVITTYELYLDDTLIHNSSGL SCHAYGFDPGSLHTFQVQACTAKGCALGPLVGNRTLEAPPEGVVNVLVKPEGSREAHVRW DAPAHPNGRLTYSVHFTGSFYADQAGDNYTLLSGTKTIRGIEGSRLWVLVDGLVPCSHYM VQVNASNSRGSVLSDPVSVEMPPGAPDGLLSPRLAAAAPTSLQVVWSTPARNNAPGSPRY QLQMRPGPSTHGRLELFPIPSASLSYEVTGLQPFTVYEFRLVATNGFGTAYSAWTPLMTT EDKPGPIDAPILINVKARMLSVIWRQPAKCNGAITHYNIYLHGRLYLTVSGRVTNYTVVP LHPYKAYHFQVEACTSQGCSKSPSSETVWTLPGNPEGIPSPQLFPYTPTSIIVTWQPSAH LDLLVENVTIERRVKGKKEVRNLVTLARSQAMKFIDNDPALRPWTRYEYRVLGSTLDGGT NSSAWVEVTTRPCRPSGVQPPTVRVLAPDTVEVSWKAPLMQNGDILSYEIRMPEPLIKMT NMSSIMLSHLVKHLIPFTNYSVTIVACSGGNGYLAGCTESPPTLATTHPAPPQELAPLSV ILLSESDVGISWQPPSKPNGPNLRYELLRCKIQQPLASNPPEDLNLWHNIYSGTRWFYKD KGLSRFTTYEYKLFVHNSVGFTPSREVTVTTLAGSPERGATVTASILNHTAIDVRWKKPT FQDLQGDVEYYTLFWSSGTSEESLKIFPDVDFHVIGQLSPNVEYQVFLLVFNGVHAINST VVHVTMWEEEPQGMLPPEVVIINSTAVRVIWTSPSNPNAVVTESSVYVNNKLYKTGTDAP GSFVLEDLSPFTIYDIQVEVCTKDACVKSNGTQVSTAEDTPSDISIPVIRGITSRSLQID WTTPANPNGIILGYDVLRKTWRPCSETQKLTDKPRDELCKAVKCQYPGKVCGHTCYSPGT KVCCDGLLYDPQPGYSCCEDKYIALSPNATGVCCGGRMWEAQPDHQCCSGHYARILPGEI CCPDERHNRVSVGFGDACCGTMPYATSGSQVCCAGRLQDGYRQQCCGGEMVSQDFQCCGG GEEGMVYSYLPGMLCCGQDYVNMSETICCSASSGESKAHVRKDDPTPVKCCGTELSPESQ RCCDGVGYNPLKYVCSDEISAGMAMKETRVCATICPATMKATAHCGRCDFNATTHICTVM RGPLNPTGKKAVEGLCSAAEEIVHSGDVNTHSFIDRDLKPSTVYEYRISAWNSYGRGFSQ SVRASTREDVPEGVKAPRWARTGKHEDVIFLQWEEPMQSNGPIIHYILFRDGRERFQGTA LSFTDTQGIQPLQEYSYQLKACTAAGCAVSCKVVAATTQRSPENVPPPNITAQSSETLHL SWSVPEKMKDAIKAYQLWLDGKGLIYTDTSDRRQHTVTGLQPYTNYSFTLAVCTSVGCTS SEPCVGQTLQAAPQGVWVTPRHIIINSTTVELYWNPPERPNGLISQYQLRRNGSLLLVGG RDNQSFTDSNLEPGSRYIYKLEARTGGGSSWSEDYLVQMPLWTPEDIHPPCNVTVLGSDS IFVAWPTPGNLLPKIPVEYSILLSGGSVTLLVFSVRHRQSAHLKNLSPFTQYEIRIQACQ NGGCGVSPGTYVRTLEAAPVGLMPPLLKALGSSCIEVKWMPPTRPNGIITSYVVHRRPAD TEEESLLFVWSEGALEFTDDTGTLRPFTLYEYRVRAWNSQGAVDSPWSTIQTLEAPPRGL PAPRVQATSAHSAMLNWTEPEAPNGLISQYHVIYQERPDAAAPGSSTVHAFTVTGTSRQA HLFGLEPFTTYHIGVVAVNSAGKVSSPWTLIKTLESAPSGLMNFTVEQREKGRALLLQWS EPVKTNGVIKAYNIFNDGVLEYSGLGRQFLFRRLAPFTLYTLILEACTTAGCAHSVPQPL WTEEAPPDTQMAPTIQSVGPTNVRLHWSQPASPNGKIIHYEVIRRRSEEEDWGNTTWQAD GNTVFTEYNTQGNAWVYNDTGLQPWRQYAYRICAWNSAGHTCSSWNVVRTLQAPPDGLSP PEISYVSMSPLQLLISWLPPRHSNGVIQGYRLQRDGVLPALNFNASTFSYMDSQLLPFST YSYAILACTGGGCCTSEPTNITTPEVPPSEVSPPVLWDISAHQMNVSWSPPSIPNGKIVK YLLQCDGEEHLAGQGLSFLLSNLQPSTQYNISLVACTSGGCTASRTTSAWTKEAPPENMD PPTLHITGPESIEITWTPPRNPHGLIRSYELRRDGAIVYVGLETRYHDFTLAPGVEYSYS VTATNSRGSVLSPLVKGQTSPSAPSGLQPPKLHSGDALELLADWDPPVRTNGKIINYTLF VREMFEGKTRAMSINTTHSSFGTRSLTVKHLKPFHRYEVRVQACTALGCTSSEWTSTQTS EVPPLRQPAPHLEVQTATGGFQPIVAVWWAGPLQPNGKIICFELYRRQVAAWPGTSSSLL IYNGSFRSFMDSELLPFTEYEYQVWAVNSAGKAASNWTRCRTGPAPPEGLQAPTFHTVSS TRAVVNISVPSRPNGNISLFRVFSNSSGTHVTLSEGTATQQTLHDLSPFTTYTIGVEACT CFNCCSRGPTAELRTHPAPPSGLSPPQVQTLGSRMASVHWTPPLLPNGVIHSYELQLQRA CPPDSAPRCPPSHTERKYWGPGHRASLAGLQPNTAYGVQVVAYNEAGSTASGWTNFSTKK EMPQYQALFSVDSNASMVWVDWSGTFLLNGHLKEYVVTDGGRRVYSGLDTTLYIPRMVDK IFFFQVTCTTDIGSVKTPLVQYDAATGSGLVLTTPGEKKGAGTKSTEFYSELWFIMVMAV VGLILLAIFLSLILQRKIHKEPCIRERPPLVPLQKRMTPLSVYPPGETHVGLADTRLPRS GTPMSIRSSQSVSVLRIPSQSQLSHAYSQSSLHRSVSQLMDMADKKVVTEDSLWETIMGH SSGLYVDEEELMNAIKGFSSVTKEHTAFTDTHL >ENSMUSP00000121033.1 pep:known chromosome:GRCm38:1:188953744:188964965:1 gene:ENSMUSG00000026609.15 transcript:ENSMUST00000127077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ush2a description:Usher syndrome 2A (autosomal recessive, mild) [Source:MGI Symbol;Acc:MGI:1341292] XFFFQVTCTTDIGSVKTPLVQYDAATGSGLVLTTPGEKKGAGTKSTEFYSELWFIMVMAV VGLILLAIFLSLILQRKIHKEPCIRERPPLVPLQKRMTPLSVYPPGETHVFDSVADISDV SSNVTLKSYTMHFEGLADTRLPRSGTPMSIRSSQSVSVLRIPSQSQLSHAYSQSSLHRSV SQLMDMADKKVVTEDSLWETIMGHSSGLYVDEEELMNAIKGFSSVTKEHTAFTDTHL >ENSMUSP00000033910.8 pep:known chromosome:GRCm38:8:34135572:34147033:-1 gene:ENSMUSG00000031513.8 transcript:ENSMUST00000033910.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leprotl1 description:leptin receptor overlapping transcript-like 1 [Source:MGI Symbol;Acc:MGI:1915442] MAGIKALISLSFGGAIGLMFLMLGCALPIYNQYWPLFVLFFYILSPIPYCIARRLVDDTD AMSNACKELAIFLTTGIVVSAFGLPVVFARAHLIEWGACALVLTGNTVIFATILGFFLVF GSNDDFSWQQW >ENSMUSP00000024967.7 pep:known chromosome:GRCm38:17:87672330:87723713:1 gene:ENSMUSG00000024151.13 transcript:ENSMUST00000024967.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh2 description:mutS homolog 2 (E. coli) [Source:MGI Symbol;Acc:MGI:101816] MAVQPKETLQLEGAAEAGFVRFFEGMPEKPSTTVRLFDRGDFYTAHGEDALLAAREVFKT QGVIKYMGPAGSKTLQSVVLSKMNFESFVKDLLLVRQYRVEVYKNKAGNKASKENEWYLA FKASPGNLSQFEDILFGNNDMSASVGVMGIKMAVVDGQRHVGVGYVDSTQRKLGLCEFPE NDQFSNLEALLIQIGPKECVLPGGETTGDMGKLRQVIQRGGILITERKRADFSTKDIYQD LNRLLKGKKGEQINSAALPEMENQVAVSSLSAVIKFLELLSDDSNFGQFELATFDFSQYM KLDMAAVRALNLFQGSVEDTTGSQSLAALLNKCKTAQGQRLVNQWIKQPLMDRNRIEERL NLVEAFVEDSELRQSLQEDLLRRFPDLNRLAKKFQRQAANLQDCYRLYQGINQLPSVIQA LEKYEGRHQALLLAVFVTPLIDLRSDFSKFQEMIETTLDMDQVENHEFLVKPSFDPNLSE LREVMDGLEKKMQSTLINAARGLGLDPGKQIKLDSSAQFGYYFRVTCKEEKVLRNNKNFS TVDIQKNGVKFTNSELSSLNEEYTKNKGEYEEAQDAIVKEIVNISSGYVEPMQTLNDVLA HLDAIVSFAHVSNAAPVPYVRPVILEKGKGRIILKASRHACVEVQDEVAFIPNDVHFEKD KQMFHIITGPNMGGKSTYIRQTGVIVLMAQIGCFVPCESAEVSIVDCILARVGAGDSQLK GVSTFMAEMLETASILRSATKDSLIIIDELGRGTSTYDGFGLAWAISDYIATKIGAFCMF ATHFHELTALANQIPTVNNLHVTALTTEETLTMLYQVKKGVCDQSFGIHVAELANFPRHV IACAKQKALELEEFQNIGTSLGCDEAEPAAKRRCLEREQGEKIILEFLSKVKQVPFTAMS EESISAKLKQLKAEVVAKNNSFVNEIISRIKAPAP >ENSMUSP00000133650.1 pep:known chromosome:GRCm38:17:87672609:87685566:1 gene:ENSMUSG00000024151.13 transcript:ENSMUST00000172855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh2 description:mutS homolog 2 (E. coli) [Source:MGI Symbol;Acc:MGI:101816] XKETLQLEGAAEAGFVRFFEGMPEKPSTTVRLFDRGDFYTAHGEDALLAAREVFKTQGVI KYMGPAGSKTLQSVVLSKMNFESFVKDLLLVRQYRVEVYKNKAGNKASKENEWYLAFKVI QRGGILITERKRADFSTKDIYQDLNRLLKGKKGEQINSAALPEMENQVAVSSLSAVIKFL ELLSDDSNFGQFELATFDFSQYMKLDMAAV >ENSMUSP00000133488.1 pep:known chromosome:GRCm38:17:87696541:87717678:1 gene:ENSMUSG00000024151.13 transcript:ENSMUST00000174703.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Msh2 description:mutS homolog 2 (E. coli) [Source:MGI Symbol;Acc:MGI:101816] XDLRSDFSKFQEMIETTLDMDQVENHEFLVKPSFDPNLSELREVMDGLEKKMQSTLINAA RGLVNCPL >ENSMUSP00000075174.6 pep:known chromosome:GRCm38:18:57133090:57297467:1 gene:ENSMUSG00000024593.15 transcript:ENSMUST00000075770.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf10 description:multiple EGF-like-domains 10 [Source:MGI Symbol;Acc:MGI:2685177] MAISSSSCLGLICSLLCHWVGTASSLNLEDPNVCSHWESYSVTVQESYPHPFDQIYYTSC TDILNWFKCTRHRISYRTAYRHGEKTMYRRKSQCCPGFYESRDMCVPHCADKCVHGRCIA PNTCQCEPGWGGTNCSSACDGDHWGPHCSSRCQCKNRALCNPITGACHCAAGYRGWRCED RCEQGTYGNDCHQRCQCQNGATCDHITGECRCSPGYTGAFCEDLCPPGKHGPHCEQRCPC QNGGVCHHVTGECSCPSGWMGTVCGQPCPEGRFGKNCSQECQCHNGGTCDAATGQCHCSP GYTGERCQDECPVGSYGVRCAEACRCVNGGKCYHVSGTCLCEAGFSGELCEARLCPEGLY GIKCDKRCPCHLDNTHSCHPMSGECGCKPGWSGLYCNETCSPGFYGEACQQICSCQNGAD CDSVTGRCACAPGFKGTDCSTPCPLGRYGINCSSRCGCKNDAVCSPVDGSCICKAGWHGV DCSIRCPSGTWGFGCNLTCQCLNGGACNTLDGTCTCAPGWRGAKCEFPCQDGTYGLNCAE RCDCSHADGCHPTTGHCRCLPGWSGVHCDSVCAEGRWGPNCSLPCYCKNGASCSPDDGIC ECAPGFRGTTCQRICSPGFYGHRCSQTCPQCVHSSGPCHHITGLCDCLPGFTGALCNEVC PSGRFGKNCAGVCTCTNNGTCNPIDRSCQCYPGWIGSDCSQPCPPAHWGPNCIHTCNCHN GAFCSAYDGECKCTPGWTGLYCTQRCPLGFYGKDCALICQCQNGADCDHISGQCTCRTGF MGRHCEQKCPAGTYGYGCRQICDCLNNSTCDHITGTCYCSPGWKGARCDQAGVIIVGNLN SLSRTSTALPADSYQIGAIAGIVVLVLVVLFLLALFIIYRHKQKRKESSMPAVTYTPAMR VINADYTIAETLPHSNGGNANSHYFTNPSYHTLSQCATSPHVNNRDRMTIAKSKNNQLFV NLKNVNPGKRGTLVDCTGTLPADWKQGGYLNELGAFGLDRSYMGKSLKDLGKNSEYNSST CSLSSSENPYATIKDPPALLPKSSECGYVEMKSPARRDSPYAEINNSTPANRNVYEVEPT VSVVQGVFSNSGHVTQDPYDLPKNSHIPCHYDLLPVRDSSSSPKREDGGGSNSTSSNSTS SSSSSSE >ENSMUSP00000116814.1 pep:known chromosome:GRCm38:18:57142786:57292728:1 gene:ENSMUSG00000024593.15 transcript:ENSMUST00000139892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf10 description:multiple EGF-like-domains 10 [Source:MGI Symbol;Acc:MGI:2685177] MAISSSSCLGLICSLLCHWVGTASSLNLEDPNVCSHWESYSVTVQESYPHPFDQIYYTSC TDILNWFKCTRHRISYRTAYRHGEKTMYRRKSQCCPGFYESRDMCVPHCADKCVHGRCIA PNTCQCEPGWGGTNCSSACDGDHWGPHCSSRCQCKNRALCNPITGACHCAAGYRGWRCED RCEQGTYGNDCHQRCQCQNGATCDHITGECRCSPGYTGAFCEDLCPPGKHGPHCEQRCPC QNGGVCHHVTGECSCPSGWMGTVCGQPCPEGRFGKNCSQECQCHNGGTCDAATGQCHCSP GYTGERCQDECPVGSYGVRCAEACRCVNGGKCYHVSGTCLCEAGFSGELCEARLCPEGLY GIKCDKRCPCHLDNTHSCHPMSGECGCKPGWSGLYCNETCSPGFYGEACQQICSCQNGAD CDSVTGRCACAPGFKGTDCSTPCPLGRYGINCSSRCGCKNDAVCSPVDGSCICKAGWHGV DCSIRCPSGTWGFGCNLTCQCLNGGACNTLDGTCTCAPGWRGAKCEFPCQDGTYGLNCAE RCDCSHADGCHPTTGHCRCLPGWSGVHCDSVCAEGRWGPNCSLPCYCKNGASCSPDDGIC ECAPGFRGTTCQRICSPGFYGHRCSQTCPQCVHSSGPCHHITGLCDCLPGFTGALCNEVC PSGRFGKNCAGVCTCTNNGTCNPIDRSCQCYPGWIGSDCSQPCPPAHWGPNCIHTCNCHN GAFCSAYDGECKCTPGWTGLYCTQRCPLGFYGKDCALICQCQNGADCDHISGQCTCRTGF MGRHCEQKCPAGTYGYGCRQICDCLNNSTCDHITGTCYCSPGWKGARCDQAGVIIVGNLN SLSRTSTALPADSYQIGAIAGIVVLVLVVLFLLALFIIYRHKQKRKESSMPAVTYTPAMR VINADYTIAETLPHSNGGNANSHYFTNPSYHTLSQCATSPHVNNRDRMTIAKSKNNQLFV NLKNVNPGKRGTLVDCTGTLPADWKQGGYLNELGAFGLDRSYMGKSLKDLGKNSEYNSST CSLSSSENPYATIKDPPALLPKSSECGYVEMKSPARRDSPYA >ENSMUSP00000069324.2 pep:known chromosome:GRCm38:5:97082329:97111596:-1 gene:ENSMUSG00000055725.11 transcript:ENSMUST00000069453.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr3 description:progestin and adipoQ receptor family member III [Source:MGI Symbol;Acc:MGI:2679683] MHQKLLKSAHYIELGSYQYWPVLVPRGIRLYTYEQIPVSLKDNPYITDGYRAYLPSRLCI KSLFILSNETVNIWSHLLGFFLFFTLGIYDMTSVLPSASASREDFVICSICLFCFQVCML CSVGYHLFSCHRSEKTCRRWMALDYAGISIGILGCYVSGVFYAFYCNNYWRQVYLITVLA MILAVFFAQIHPSYLTQQWQRLRPIIFCSVSGYGVIPTLHWVWLNGGVSAPIVQDFAPRV IVMYVIALLAFLFYISKVPERYFPGQLNYLGSSHQIWHVLAVVMLYWWHQSTVYVMQYRH SKPCPDYVSHL >ENSMUSP00000108593.3 pep:known chromosome:GRCm38:5:97086980:97111573:-1 gene:ENSMUSG00000055725.11 transcript:ENSMUST00000112969.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr3 description:progestin and adipoQ receptor family member III [Source:MGI Symbol;Acc:MGI:2679683] MHQKLLKSAHYIELGSYQYWPVLVPRGIRLYTYEQIPVSLKDNPYITDGYRAYLPSRLCI KSLFILSNETVNIWSHLLGFFLFFTLGIYDMTSVLPSASASREDFVICSICLFCFQVCML CSVGYHLFSCHRSEKTCRRWMALDYAGISIGILGCYVSGVFYAFYCNNYWRQVYLITVLA MILAVFFAQIHPSYLTQQWQRLRPIIFCSVSGYGVIPTLHWVWLNGGVSAPIVQDFAPRV IVMYVIALLAFLFYISKVPERYFPGQLNYLGSSHQIWHVLAVVMLYWWHQSTVYVMQYRH SKPCPDYVSHL >ENSMUSP00000142871.1 pep:known chromosome:GRCm38:5:97097524:97111443:-1 gene:ENSMUSG00000055725.11 transcript:ENSMUST00000196078.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr3 description:progestin and adipoQ receptor family member III [Source:MGI Symbol;Acc:MGI:2679683] MHQKLLKSAHYIELGSYQYWPVLVPRGIRLYTYEQIPVSLKDNPYITDGYRAYLPSRLCI KSLFILSNETVNIWSHLLGFFLFFTLGIYDMTSVLPSASASREDFVICSICLFCFQVCML CSVGYHLFSCHRSEKTCRRWMALDYAGISIGILGCYVSGVFYAFYCNNIHPSYLTQQWQR LRPIIFCSVSGYGVIPTLHWVWLNGGVSAPIVQDFAPRVIVMYVIALL >ENSMUSP00000108592.1 pep:known chromosome:GRCm38:5:97102142:97111596:-1 gene:ENSMUSG00000055725.11 transcript:ENSMUST00000112968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr3 description:progestin and adipoQ receptor family member III [Source:MGI Symbol;Acc:MGI:2679683] MHQKLLKSAHYIELGSYQYWPVLVPRGIRLYTYEQIPVSLKDNPYITDGYRAYLPSRLCI KSLFILSNETVNIWSHLLGFFLFFTLGIYDMTSVLPSASASREDFVICSICLFCFQVCML CSVGYHLFSCHRSEKTCRRWMALDYAGISIGILGCYVSGVFYAFYCNNVSA >ENSMUSP00000035026.4 pep:known chromosome:GRCm38:9:97349562:97369958:-1 gene:ENSMUSG00000032451.6 transcript:ENSMUST00000035026.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim42 description:tripartite motif-containing 42 [Source:MGI Symbol;Acc:MGI:1926161] METAMCVCSPCCTWQRCCPRLFSCLCCKFIFTSERNCTCFPCPYKDERNCQFCHCTCAEN PNCHWCCCSWANDPNCKCCCTASTNLKCYYYESHCCRNVTITFRKGRLRSIVTSSKTALR VGSSDTQMDEPKTMPASSHLVSHLTCPMCNRLRLHSFMLPCNHSLCEKCLRQLQKHAEVT ENFFILICPMCSRSHCMPYSHQMHLPENYLRGRLTKRYMQQHGYLKWRFDRSSGPILCQV CRTRRIAYKRCVTCRLNLCNDCLKAFHSDVAMQDHVFVDTSAEDQDEKICIHHPSSRINE YCRSDNQLLCAFCKIAFHNGHDTVSLIDACSERSAALFSAIAKFKAVRYEIDNDLMEFNI LKSSFKADKEAKRKEVRNGFLKLRAILQEKEKIIMEQIENLEVSRQKEIEKYVYITTMKV NEMDGLIAYSKEALKETGQVAFLQSAKILVDQIEEGIQNTFRPDPQLRLHSLHCIPLDFA ELSNAIHELFPTGPKKACSSGDSLPSQYPIHSEMMIARKVTFSTHSFGNQQIYQRSSSLI SFNTANDKGKMGLENYGRAQSAAPAKTTDGLYTYWSATGETQPPQSSNSFHNWYSFNDTS VRTPGPIVIYQTLVYPRAAKVYWTCPTEDVDSFEMEFYELVTTPPNNVRTELCGQIRDIL QQNLELHNLTPNTEYLFKVRAINDNGPGQWSDICKVVTPDGRGKNRAKWGLLKNIQSALQ KRF >ENSMUSP00000139801.1 pep:known chromosome:GRCm38:9:99229468:99236370:-1 gene:ENSMUSG00000096316.7 transcript:ENSMUST00000188555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faiml description:Fas apoptotic inhibitory molecule like [Source:MGI Symbol;Acc:MGI:3647754] MKIAAIWDVILSDGVHKIEFEHGTTSGKRVVYVDGKEVIRKEWMFPLVGKETFCVGAAKN KAIIRILSGKGCGFSYTLEIDGKSFRKFVENRSKTTNTWVLHLDDKDFRIVLEKPTMDVW CNGKIVESVGEFVDDGTETHFSVGNHGCYIKAVSSGKKREGIIHTLIVDNREIPELTQ >ENSMUSP00000137541.1 pep:known chromosome:GRCm38:9:99229376:99237239:-1 gene:ENSMUSG00000096316.7 transcript:ENSMUST00000178051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faiml description:Fas apoptotic inhibitory molecule like [Source:MGI Symbol;Acc:MGI:3647754] MKIAAIWDVILSDGVHKIEFEHGTTSGKRVVYVDGKEVIRKEWMFPLVGKETFCVGAAKN KAIIRILSGKGCGFSYTLEIDGKSFRKFVENRSKTTNTWVLHLDDKDFRIVLEKPTMDVW CNGKIVESVGEFVDDGTETHFSVGNHGCYIKAVSSGKKREGIIHTLIVDNREIPELTQ >ENSMUSP00000018909.3 pep:known chromosome:GRCm38:11:69632990:69653297:1 gene:ENSMUSG00000018765.5 transcript:ENSMUST00000018909.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxr2 description:fragile X mental retardation, autosomal homolog 2 [Source:MGI Symbol;Acc:MGI:1346074] MGGLASGGDVEPGLPVEVRGSNGAFYKGFVKDVHEDSVTIFFENNWQSERQIPFGDVRLP PPADYNKEITEGDEVEVYSRANEQEPCGWWLARVRMMKGDFYVIEYAACDATYNEIVTLE RLRPVNPSPLATKGSFFKVTMAVPEDLREACSNENVHKEFKKALGANCIFLNITNSELFI LSTTEAPVKRASLLGDMHFRSLRTKLLLMSRNEEATKHLETSKQLAAAFQEEFTVREDLM GLAIGTHGANIQQARKVPGVTAIELGEETCTFRIYGETPEACRQARSYLEFSEDSVQVPR NLVGKVIGKNGKVIQEIVDKSGVVRVRVEGDNDKKNPREEGMVPFIFVGTRENISNAQAL LEYHLSYLQEVEQLRLERLQIDEQLRQIGLGFRPPGSGRGGSGGGSDKAGYTTDESSSSS LHTTRTYGGSYGGRGRGRRTGGPAYGPSSDPSTASETESEKREESNRAGPGDRDPPSRGE ESRRRPIGGRGRGPPPVPRPTSRYNSSSISSVLKDPDSNPYSLLDTSEPEPPVDSEPGEP PPASARRRRSRRRRTDEDRTVMDGGLESDGPNMTENGLEDESRPQRRNRSRRRRNRGNRT DGSISGDRQPVTVADYISRAESQSRQRPLERTKPSEDSLSGQKGDSVSKLPKGPSENGEL SAPLELGSLVNGVS >ENSMUSP00000030336.4 pep:known chromosome:GRCm38:4:145213463:145246870:-1 gene:ENSMUSG00000028599.10 transcript:ENSMUST00000030336.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf1b description:tumor necrosis factor receptor superfamily, member 1b [Source:MGI Symbol;Acc:MGI:1314883] MAPAALWVALVFELQLWATGHTVPAQVVLTPYKPEPGYECQISQEYYDRKAQMCCAKCPP GQYVKHFCNKTSDTVCADCEASMYTQVWNQFRTCLSCSSSCTTDQVEIRACTKQQNRVCA CEAGRYCALKTHSGSCRQCMRLSKCGPGFGVASSRAPNGNVLCKACAPGTFSDTTSSTDV CRPHRICSILAIPGNASTDAVCAPESPTLSAIPRTLYVSQPEPTRSQPLDQEPGPSQTPS ILTSLGSTPIIEQSTKGGISLPIGLIVGVTSLGLLMLGLVNCIILVQRKKKPSCLQRDAK VPHVPDEKSQDAVGLEQQHLLTTAPSSSSSSLESSASAGDRRAPPGGHPQARVMAEAQGF QEARASSRISDSSHGSHGTHVNVTCIVNVCSSSDHSSQCSSQASATVGDPDAKPSASPKD EQVPFSQEECPSQSPCETTETLQSHEKPLPLGVPDMGMKPSQAGWFDQIAVKVA >ENSMUSP00000115702.1 pep:known chromosome:GRCm38:4:145225416:145246870:-1 gene:ENSMUSG00000028599.10 transcript:ENSMUST00000143055.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnfrsf1b description:tumor necrosis factor receptor superfamily, member 1b [Source:MGI Symbol;Acc:MGI:1314883] MAPAALWVALVFELQLWATGHTVPAQVVLTPYKPEPGPICETFLQQDLGHRVCGL >ENSMUSP00000027603.3 pep:known chromosome:GRCm38:1:144752683:144775435:-1 gene:ENSMUSG00000026357.3 transcript:ENSMUST00000027603.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs18 description:regulator of G-protein signaling 18 [Source:MGI Symbol;Acc:MGI:1927498] MDMSLVFFSQLNMCESKEKTFFKLMHGSGKEETSIEAKIRAKEKRNRLSLLLQRPDFHGE TQASRSALLAKETRVSPEEAVKWAESFDKLLSHRDGVDAFTRFLKTEFSEENIEFWVACE DFKKCKEPQQIILKAKAIYEKFIQNDAPKEVNIDFHTKEVIAKSIAQPTLHSFDTAQSRV YQLMEHDSYKRFLKSETYLHLIEGRPQRPTNLRRRSRSFTYNDFQDVKSDVAIWL >ENSMUSP00000105154.2 pep:known chromosome:GRCm38:2:157556362:157566337:-1 gene:ENSMUSG00000067787.10 transcript:ENSMUST00000109528.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blcap description:bladder cancer associated protein [Source:MGI Symbol;Acc:MGI:1858907] MYCLQWLLPVLLIPKPLNPALWFSHSMFMGFYLLSFLLERKPCTICALVFLAALFLICYS CWGNCFLYHCSDSPLPESAHDPGVVGT >ENSMUSP00000085849.2 pep:known chromosome:GRCm38:2:157556362:157566361:-1 gene:ENSMUSG00000067787.10 transcript:ENSMUST00000088494.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blcap description:bladder cancer associated protein [Source:MGI Symbol;Acc:MGI:1858907] MYCLQWLLPVLLIPKPLNPALWFSHSMFMGFYLLSFLLERKPCTICALVFLAALFLICYS CWGNCFLYHCSDSPLPESAHDPGVVGT >ENSMUSP00000133386.1 pep:known chromosome:GRCm38:2:157558102:157571274:-1 gene:ENSMUSG00000067787.10 transcript:ENSMUST00000173378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blcap description:bladder cancer associated protein [Source:MGI Symbol;Acc:MGI:1858907] MYCLQWLLPVLLIPKPL >ENSMUSP00000023684.7 pep:known chromosome:GRCm38:16:91621186:91646952:-1 gene:ENSMUSG00000022962.14 transcript:ENSMUST00000023684.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gart description:phosphoribosylglycinamide formyltransferase [Source:MGI Symbol;Acc:MGI:95654] MAARVLVIGSGGREHTLAWKLAQSPQVKQVLVAPGNAGTACAGKISNAAVSVNDHSALAQ FCKDEKIELVVVGPEAPLAAGIVGDLTSAGVRCFGPTAQAAQLESSKKFAKEFMDRHEIP TAQWRAFTNPEDACSFITSANFPALVVKASGLAAGKGVIVAKSQAEACRAVQEIMQEKSF GAAGETVVVEEFLEGEEVSCLCFTDGKTVAEMPPAQDHKRLLDGDEGPNTGGMGAYCPAP QVSKDLLVKIKNTILQRAVDGMQQEGAPYTGILYAGIMLTKDGPKVLEFNCRFGDPECQV ILPLLKSDLYEVMQSTLDGLLSASLPVWLENHSAVTVVMASKGYPGAYTKGVEITGFPEA QALGLQVFHAGTALKDGKVVTSGGRVLTVTAVQENLMSALAEARKGLAALKFEGAIYRKD IGFRAVAFLQRPRGLTYKDSGVDIAAGNMLVKKIQPLAKATSRPGCSVDLGGFAGLFDLK AAGFKDPLLASGTDGVGTKLKIAQLCNKHDSIGQDLVAMCVNDILAQGAEPLFFLDYFSC GKLDLSTTEAVIAGIAAACQQAGCALLGGETAEMPNMYPPGEYDLAGFAVGAMERHQKLP QLERITEGDAVIGVASSGLHSNGFSLVRKIVERSSLQYSSPAPGGCGDQTLGDLLLTPTR IYSHSLLPIIRSGRVKAFAHITGGGLLENIPRVLPQKFGVDLDASTWRVPKVFSWLQQEG ELSEEEMARTFNCGIGAALVVSKDQAEQVLHDVRRRQEEAWVIGSVVACPEDSPRVRVKN LIETIQTNGSLVANGFLKSNFPVQQKKARVAVLISGTGSNLQALIDSTRDPKSSSHIVLV ISNKAAVAGLDRAERAGIPTRVINHKLYKNRVEFDNAVDHVLEEFSVDIVCLAGFMRILS GPFVRKWDGKMLNIHPSLLPSFKGSNAHEQVLEAGVTITGCTVHFVAEDVDAGQIILQEA VPVRRGDTVATLSERVKVAEHKIFPAALQLVASGAVQLREDGKIHWAKEQ >ENSMUSP00000119272.1 pep:known chromosome:GRCm38:16:91621393:91643146:-1 gene:ENSMUSG00000022962.14 transcript:ENSMUST00000156713.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gart description:phosphoribosylglycinamide formyltransferase [Source:MGI Symbol;Acc:MGI:95654] MAARVLVIGSGGREHTLAWKLAQSPQVKQVLVAPGNAGTACAGKISNAAVSVNDHSALAQ FCKDEKIELVVVGPEAPLAAGIVGDLTSAGVRCFGPTAQAAQLESSKKFAKEFMDRHEIP TAQWRAFTNPEDACSFITSANFPALVVKASGLAAGKGVIVAKSQAEACRAVQEIMQEKSF GAAGETVVVEEFLEGEEVSCLCFTDGKTVAEMPPAQDHKRLLDGDEGPNTGGMGAYCPAP QVSKDLLVKIKNTILQRAVDGMQQEGAPYTGILYAGIMLTKDGPKVLEFNCRFGDPECQV GHPPTS >ENSMUSP00000114034.1 pep:known chromosome:GRCm38:16:91633405:91646935:-1 gene:ENSMUSG00000022962.14 transcript:ENSMUST00000120450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gart description:phosphoribosylglycinamide formyltransferase [Source:MGI Symbol;Acc:MGI:95654] MAARVLVIGSGGREHTLAWKLAQSPQVKQVLVAPGNAGTACAGKISNAAVSVNDHSALAQ FCKDEKIELVVVGPEAPLAAGIVGDLTSAGVRCFGPTAQAAQLESSKKFAKEFMDRHEIP TAQWRAFTNPEDACSFITSANFPALVVKASGLAAGKGVIVAKSQAEACRAVQEIMQEKSF GAAGETVVVEEFLEGEEVSCLCFTDGKTVAEMPPAQDHKRLLDGDEGPNTGGMGAYCPAP QVSKDLLVKIKNTILQRAVDGMQQEGAPYTGILYAGIMLTKDGPKVLEFNCRFGDPECQV ILPLLKSDLYEVMQSTLDGLLSASLPVWLENHSAVTVVMASKGYPGAYTKGVEITGFPEA QALGLQVFHAGTALKDGKVVTSGGRVLTVTAVQENLMSALAEARKGLAALKFEGAIYRKD IGFRAVAFLQRPR >ENSMUSP00000120940.1 pep:known chromosome:GRCm38:6:58584523:58664530:1 gene:ENSMUSG00000029802.13 transcript:ENSMUST00000147213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg2 description:ATP-binding cassette, sub-family G (WHITE), member 2 [Source:MGI Symbol;Acc:MGI:1347061] MSSSNDHVLVPMSQRNNNGLPRMNSRAVRTLAEGDVLSFHHITYRVKVKSGFLVRKTVEK EILSDINGIMKPGLNAILGPTGGGKSSLLDVLAARKDPKGLSGDVLINGAPQPAH >ENSMUSP00000114454.1 pep:known chromosome:GRCm38:6:58595975:58655808:1 gene:ENSMUSG00000029802.13 transcript:ENSMUST00000148008.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg2 description:ATP-binding cassette, sub-family G (WHITE), member 2 [Source:MGI Symbol;Acc:MGI:1347061] MSSSNDHVLVPMSQRNNNGLPRMNSRAVRTLAEGDVLSFHHITYRVKVKSG >ENSMUSP00000031822.6 pep:known chromosome:GRCm38:6:58596671:58695676:1 gene:ENSMUSG00000029802.13 transcript:ENSMUST00000031822.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg2 description:ATP-binding cassette, sub-family G (WHITE), member 2 [Source:MGI Symbol;Acc:MGI:1347061] MSSSNDHVLVPMSQRNNNGLPRMNSRAVRTLAEGDVLSFHHITYRVKVKSGFLVRKTVEK EILSDINGIMKPGLNAILGPTGGGKSSLLDVLAARKDPKGLSGDVLINGAPQPAHFKCCS GYVVQDDVVMGTLTVRENLQFSAALRLPTTMKNHEKNERINTIIKELGLEKVADSKVGTQ FIRGISGGERKRTSIGMELITDPSILFLDEPTTGLDSSTANAVLLLLKRMSKQGRTIIFS IHQPRYSIFKLFDSLTLLASGKLVFHGPAQKALEYFASAGYHCEPYNNPADFFLDVINGD SSAVMLNREEQDNEANKTEEPSKGEKPVIENLSEFYINSAIYGETKAELDQLPGAQEKKG TSAFKEPVYVTSFCHQLRWIARRSFKNLLGNPQASVAQLIVTVILGLIIGAIYFDLKYDA AGMQNRAGVLFFLTTNQCFSSVSAVELFVVEKKLFIHEYISGYYRVSSYFFGKVMSDLLP MRFLPSVIFTCILYFMLGLKKTVDAFFIMMFTLIMVAYTASSMALAIATGQSVVSVATLL MTIAFVFMMLFSGLLVNLRTIGPWLSWLQYFSIPRYGFTALQYNEFLGQEFCPGFNVTDN STCVNSYAICTGNEYLINQGIELSPWGLWKNHVALACMIIIFLTIAYLKLLFLKKYS >ENSMUSP00000122924.1 pep:known chromosome:GRCm38:6:58640560:58665244:1 gene:ENSMUSG00000029802.13 transcript:ENSMUST00000145161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg2 description:ATP-binding cassette, sub-family G (WHITE), member 2 [Source:MGI Symbol;Acc:MGI:1347061] MSSSNDHVLVPMSQRNNNGLPRMNSRAVRTLAEGDVLSFHHITYRVKVKSGFLVRKTVEK EILSDINGIMKPGLNAILGPTGGGKSSLLDVLAARKDPKGLSGDVLINGAPQPAHFKCCS GYVVQDDVVMGTLTVRENLQFSAALRLPTTMKNHEKNERINTIIKELGLEKV >ENSMUSP00000138608.1 pep:known chromosome:GRCm38:6:58640560:58692869:1 gene:ENSMUSG00000029802.13 transcript:ENSMUST00000143752.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcg2 description:ATP-binding cassette, sub-family G (WHITE), member 2 [Source:MGI Symbol;Acc:MGI:1347061] MSSSNDHVLVPMSQRNNNGLPRMNSRAVRTLAEGDVLSFHHITYRVKVKSGFLVRKTVEK EILSDINGIMKPGLNAILGPTGGGKSSLLDVLAARKDPKGLSGDVLINGAPQPAHFKCCS GYVVQDDVVMGTLTVRENLQFSAALRLPTTMKNHEKNERINTIIKELGLEKVADSKVGTQ FIRGISGGERKRTSIGMELITDPSILFLDEPTTGLDSSTANAVLLLLKRMSKQGRTIIFS IHQPRYSIFKLFDSLTLLASGKLVFHGPAQKALEYFASAGYHCEPYNNPADFFLDVINGD SSAVMLNREEQDNEANKTEEPSKGEKPVIENLSEFYINSAIYGETKAELDQLPGAQEKKG TSAFKEPVYVTSFCHQLRWIARRSFKNLLGNPQASVAQLIVTVILGLIIGAIYFDLKYDA AGMQNRAGVLFFLTTNQCFSSVSAVELFVVEKKLFIHEYISGYYRVSSYFFGKVMSDLLP MRFLPSVIFTCILYFMLGLKKTVDAFFIMMFTLIMVAYTASSMALAIATGQSVVSVATLL MTIAFVFMMVLHLTALFWPLGESQNHWALAVLASVL >ENSMUSP00000145435.1 pep:known chromosome:GRCm38:6:58640564:58690544:1 gene:ENSMUSG00000029802.13 transcript:ENSMUST00000203146.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg2 description:ATP-binding cassette, sub-family G (WHITE), member 2 [Source:MGI Symbol;Acc:MGI:1347061] MSSSNDHVLVPMSQRNNNGLPRMNSRAVRTLAEGDVLSFHHITYRVKVKSGFLVRKTVEK EILSDINGIMKPGLNAILGPTGGGKSSLLDVLAARKDPKGLSGDVLINGAPQPAHFKCCS GYVVQLFSGLLVNLRTIGPWLSWLQYFSIPRYGFTALQY >ENSMUSP00000109933.1 pep:known chromosome:GRCm38:6:58640564:58692511:1 gene:ENSMUSG00000029802.13 transcript:ENSMUST00000114294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg2 description:ATP-binding cassette, sub-family G (WHITE), member 2 [Source:MGI Symbol;Acc:MGI:1347061] MSSSNDHVLVPMSQRNNNGLPRMNSRAVRTLAEGDVLSFHHITYRVKVKSGFLVRKTVEK EILSDINGIMKPGLNAILGPTGGGKSSLLDVLAARKDPKGLSGDVLINGAPQPAHFKCCS GYVVQDDVVMGTLTVRENLQFSAALRLPTTMKNHEKNERINTIIKELGLEKVADSKVGTQ FIRGISGGERKRTSIGMELITDPSILFLDEPTTGLDSSTANAVLLLLKRMSKQGRTIIFS IHQPRYSIFKLFDSLTLLASGKLVFHGPAQKALEYFASAGYHCEPYNNPADFFLDVINGD SSAVMLNREEQDNEANKTEEPSKGEKPVIENLSEFYINSAIYGETKAELDQLPGAQEKKG TSAFKEPVYVTSFCHQLRWIARRSFKNLLGNPQASVAQLIVTVILGLIIGAIYFDLKYDA AGMQNRAGVLFFLTTNQCFSSVSAVELFVVEKKLFIHEYISGYYRVSSYFFGKVMSDLLP MRFLPSVIFTCILYFMLGLKKTVDAFFIMMFTLIMVAYTASSMALAIATGQSVVSVATLL MTIAFVFMMLFSGLLVNLRTIGPWLSWLQYFSIPRYGFTALQYNEFLGQEFCPGFNVTDN STCVNSYAICTGNEYLINQGIELSPWGLWKNHVALACMIIIFLTIAYLKLLFLKKYS >ENSMUSP00000144876.1 pep:known chromosome:GRCm38:6:58640582:58665244:1 gene:ENSMUSG00000029802.13 transcript:ENSMUST00000204948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg2 description:ATP-binding cassette, sub-family G (WHITE), member 2 [Source:MGI Symbol;Acc:MGI:1347061] MSSSNDHVLVPMSQRNNNGLPRMNSRAVRTLAEGDVLSFHHITYRVKVKSGFLVRKTVEK EILSDINGIMKPGLNAILGPTGGGKSSLLDVLAARKDPKGLSGDVLINGAPQPAHFKCCS GYVVQDDVVMGTLTVRENLQFSAALRLPTTMKNHEKNERINTIIKELGLEKV >ENSMUSP00000138703.1 pep:known chromosome:GRCm38:6:58674568:58692375:1 gene:ENSMUSG00000029802.13 transcript:ENSMUST00000145685.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcg2 description:ATP-binding cassette, sub-family G (WHITE), member 2 [Source:MGI Symbol;Acc:MGI:1347061] XNKTEEPSKGEKPVIENLSEFYINSAIYGETKAELDQLPGAQEKKGTSAFKEPVYVTSFC HQLRWIARRSFKNLLGNPQASVAQLIVTVILGLIIGAIYFDLKYDAAGMQNRAGVLFFLT TNQCFSSFAV >ENSMUSP00000036964.7 pep:known chromosome:GRCm38:4:111719984:111829142:1 gene:ENSMUSG00000034401.16 transcript:ENSMUST00000038868.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata6 description:spermatogenesis associated 6 [Source:MGI Symbol;Acc:MGI:1915196] MPKVKALQCALALEIRSVTCPGVVLKDKEDIYLSICVFGQYKKTQCVPATFPLVFNARMV FEKVFPEAVDPGDVVAQLEYDTAVFELIQLVPPVGETLSTYDENTRDFMFPGPNQMSGHH DSNRQVTMRRISGLRGIAPKLEFSTTSVITECLISSRKCRTQDKFTYHSAPVEKSHGRLQ CRTSRSQKKKSKSPERSKYCINTKNYEQPTISSKSHSPSPYTKRRMCELSEDTRRRLAHL NLGPYEFKKETDKPPFVIRHVDPPSPRTDNFFGSPGRDCERDGWVRMHSDHPHIGCCRSK DYKVIRSPHGRDFEDPFERCEEYLSPRTCSKPQHSARTLLVHSAPSTTPKHCASPVLNRA SLRERFHSDWCSPPNCDEIHDRVKDVLKSHQAHARHLCDERDPEREDELELKRSLLYRDS AYDSDPEYSSFQRPRGSFHLDDGECWSNRAASCKGKSHRPVFENSMDKMYRNLYKKACSS VSHTQESF >ENSMUSP00000114610.1 pep:known chromosome:GRCm38:4:111720038:111778291:1 gene:ENSMUSG00000034401.16 transcript:ENSMUST00000153746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata6 description:spermatogenesis associated 6 [Source:MGI Symbol;Acc:MGI:1915196] MPKVKALQCALALEIRSVTCPGVVLKDKEDIYLSICVFGQYKKTQCVPATFPLVFNARMV FEKVFPEAVDPGDVVAQLEYDTAVFELIQLVPPVGETLSTYDENTRDFMFPGPNQMSGHH DSNRQVTMRRISGLRGIAPKLEFSTTSVITECLISSRKCRTQEASKNLCGSRMTHL >ENSMUSP00000081383.3 pep:known chromosome:GRCm38:4:111720205:111829184:1 gene:ENSMUSG00000034401.16 transcript:ENSMUST00000084354.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata6 description:spermatogenesis associated 6 [Source:MGI Symbol;Acc:MGI:1915196] MPKVKALQCALALEIRSVTCPGVVLKDKEDIYLSICVFGQYKKTQCVPATFPLVFNARMV FEKVFPEAVDPGDVVAQLEYDTAVFELIQLVPPVGETLSTYDENTRDFMFPGPNQMSGHH DSNRQVTMRRISGLRGIAPKLEFSTTSVITECLISSRKCRTQDKFTYHSAPVEKSHGRLQ CRTSRSQKKKSKSPERSKYCINTKNYEQPTISSKSHSPSPYTKRRMCELSEDTRRRLAHL NLGPYEFKKETDKPPFVIRHVDPPSPRTDNFFGSPGRDCERDGWVRMHSDHPHIGCCRSK DYKVIRSPHGRDFEDPFERCEEYLSPRTCSKPQHSARTLLVHSAPSTTPKHCASPVLNRA SLRERFHSDWCSPPNCDEIHDRDERDPEREDELELKRSLLYRDSAYDSDPEYSSFQRPRG SFHLDDGECWSNRAASCKGKSHRPVFENSMDKMYRNLYKKACSSVSHTQESF >ENSMUSP00000069435.6 pep:known chromosome:GRCm38:4:111720009:111768757:1 gene:ENSMUSG00000034401.16 transcript:ENSMUST00000070513.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata6 description:spermatogenesis associated 6 [Source:MGI Symbol;Acc:MGI:1915196] MPKVKALQCALALEIRSVTCPGVVLKDKEDIYLSICVFGQYKKTQCVPATFPLVFNARMV FEKVFPEAVDPGDVVAQLELGETLSTYDENTRD >ENSMUSP00000048516.3 pep:known chromosome:GRCm38:11:119143045:119228499:-1 gene:ENSMUSG00000039976.4 transcript:ENSMUST00000036113.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d16 description:TBC1 domain family, member 16 [Source:MGI Symbol;Acc:MGI:2652878] MSLGRLLRRASSKASDLLTLTTGGSGGSLSVLDGEVIYSKNNVCVHAPEGLQGPGEHHPG YLCLYMEKDELLGATLILAWVPNSRIQRQDEEALRYITPESSPVRKAPRPRRRRTTSLGA LYQPSPTEPRPPLIPKDEDILVVVQNTQDTAHISPTDEDGEKLAQGPEVDGALSTSQPVH SDSGILSTVSSQDGTEDGREPRPEAVEEEGSLELSADGVSRDSSFDSDSDAFSSPFCLSP ISAALAEGNSSAFLESEGSSPSSSDANLRFPDSNGLLQTPRWDEPQRGCALEQICGVFRV DLGHMRSLRLFFSDEACTSGQLVVASRESQYKIFHFHHGGLDKLSEVFQQWKFCTETHLR DQQVTDERTCMQFSIRRPKLPSSEIHPEESLYRRLDVSAWLNHLNDLGQVEEEYKLRQAI FFGGIDVSIRGEVWPFLLHYYSHESTSEEREALRSQKRKEYAAIQQKRLSMTPEEQRAFW RNVQFTVDKDVVRTDRNNQFFRGEDNPNVESMRRILLNYAVYNPAIGYSQGMSDLVAPIL AEVLDESDTFWCFVGLMQNTIFVSSPRDEDMERQLLYLRELLRLTHQRFYQHLVSLGEDG LQMLFCHRWLLLCFKREFPEAEALRIWEACWAHYQTDYFHLFICVAIVAIYGDDVIEQQL ATDQMLLHFGNLAMHMNGELVLRKARSLLYQFRLLPRIPCSLHDLCKLCGTGMWDSGYMP AVECAGHHPGSESCPYGGTVELPSPKPSREGKKGPKAPREAFGFRR >ENSMUSP00000147182.1 pep:known chromosome:GRCm38:11:119147135:119228461:-1 gene:ENSMUSG00000039976.4 transcript:ENSMUST00000207655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d16 description:TBC1 domain family, member 16 [Source:MGI Symbol;Acc:MGI:2652878] MSLGRLLRRASSKASDLLTLTTGGSGGSLSVLDGEVIYSKNNVCVHAPEGLQGPGEHHPG YLCLYMEKDELLGATLILAWVPNSRIQRQDEEALRYITPESSPVRKAPRPRRRRTTSLGA LYQPSPTEPRPPLIPKDEDILVVVQNTQDTAHISPTDEDGEKLAQGPEVDGALSTSQPVH SDSGILSTVSSQDGTEDGREPRPEAVEEEGSLELSADGVSRDSSFDSDSDAFSSPFCLSP ISAALAEGNSSAFLESEGSSPSSSDANLRFPDSNGLLQTPRWDEPQRGCALEQICGVFRV DLGHMRSLRLFFSDEACTSGQLVVASRESQYKIFHFHHGGLDKLSEVFQQWKFCTETHLR DQVTDERTCMQFSIRRPKLPSSEIHPEESLYRRLDVSAWLNHLNDLGQVEEEYKLRQAIF FGGIDVSIRGEVWPFLLHYYSHESTSEEREALRSQKRKEYAAIQQKRLSMTPEEQRAFWR NVQFTVDKDVVRTDRNNQFFRGEDNPNVESMRRILLNYAVYNPAIGYSQGMSDLVAPILA EVLDESDTFWCFVGLMQNTIFVSSPRDEDMERQLLYLRELLRLTHQRFYQHLVSLGEDGL QMLFCHRWLLLCFKREFPEAEALRIWEACWAHYQTDYFHLFICVAIVAIYGDDVIEQQLA TDQMLLHFGNLAMHMNGELVLRKARSLLYQFRLLPRIPCSLHDLCKLCGTGMWDSGYMPA VECAGHHPGSESCPYGGTVELPSPKPSREGKKGPKAPREAFGFRR >ENSMUSP00000119431.1 pep:known chromosome:GRCm38:11:119210641:119221976:-1 gene:ENSMUSG00000039976.4 transcript:ENSMUST00000125856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d16 description:TBC1 domain family, member 16 [Source:MGI Symbol;Acc:MGI:2652878] MSLGRLLRRASSKA >ENSMUSP00000108306.1 pep:known chromosome:GRCm38:6:120666421:120771190:1 gene:ENSMUSG00000071226.11 transcript:ENSMUST00000112686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cecr2 description:cat eye syndrome chromosome region, candidate 2 [Source:MGI Symbol;Acc:MGI:1923799] MCPEEGGAAGLGELRSWWEVPAIAHFCSLFRTAFRLPDFEIEELEAALHRDDVEFISDLI ACLLQGCYQRRDITPQTFHSYLEDIINYRWELEEGKPNPLREASFQDLPLRTRVEILHRL CDYRLDADDVFDLLKGLDADSLRVEPLGEDNSGALYWYFYGTRMYKEDPVQGRSNGELSL CRESERQKNVSNVPGKTGKRRGRPPKRKKLQEEIISSEKQEENSLTSDLQTRNGSRGPGQ GTWWLLCQTEEEWRQVTESFRERTSLRERQLYKLLSEDFLPEICNMIAQKGKRPQRTKPE LQHRFMSDHLSIKSIKLEETPMLTKIEKQKRREEEEERQLLLAVQKKEQEQMLKEERKRE MEEKVKAVEDRAKRRKLREERAWLLAQGKELPPELSHLDLNSPMREGKKTKDLFELDDDF TAMYKVLDVVKAHKDSWPFLEPVDESYAPNYYQIIKIPMDISSMEKKLNGGLYCNKEEFV NDMKTMFRNCRKYNGDSSEYTKMSDNLERCFHRAMTKHFPGEDGDTDEEFWIKEDEKREK RRSRSGRSSGSHVWTRSRDTEGSSRKQPPVENGGKSLPPARRAASSGDDQSRSSIQLPPE RPAAPGTFGSLQGSDPTNLHGSSRIPEAPPGEPLQHPPFAIQAPVGISNHRGSLLSAPDL SNMGSHVPSLQLGQMNCPSQDGNMYPPAPFQAGFIPSRHGGTPARPPDFPESSEIPPGHI YHSYKYLNRAHPAVWNGNHGTTNPGRLGPDEKPHLGPGPSHHPHTLGHMMDGRVMRQPIP PNQWTKQSSFLPHGVPSSGYMQPPCKSAGHRLQPPPTPAPSPRFRGPSQALRGAQGGESM MDSPEMIAMQQLSSRVCPPGVPYHPRQPTPPQLPGPFPQVAHSASVCVSAPKPALDNPGS TQEMTETHEPEEDPAEPLPGHEEKAASICSSEGVYLKQLPHPAPPLQASCTRQSSPQERE TEDSQLKSDASDSADTYKTSKNKNTWPLDNSYSSPAVQGCLRDLSIVAETGNLPENGVVG EASPCRSEGKGLDGSGSEKPLCPRGKTLQEAVPCTGPNATTPPCTDPSLMAATVNQFSPL YMPGIEYSNSATQYPMSPSLQGLASMMGGKSSGSQPQSFPPRGFQANGPHPGLFPRYRPQ QGMRYSYQPPSQPSYHPYQRTPYYTCPQGFSDWQRSLPSQRSPSGPPGSHPPRSLFSEKN VLSSLQGCETLNTALTSPTQMDVVTAKVVPPDGHNSGPEEEKMDESVERPESPKEFLDLD NHNAATKRQNSLSTSDYLYGTPPPSLSSGMTFGSSAFPPHSVMLQTGSPYTPQRSASHFQ PRAYPSPVPAHPPPHPVATQPNGLSPEDSLYCCQEEGLGHFQASMMEQTGTGSGLRGSFQ EVHRPPGLQMHPVQSQSLFPKTPAPAASPEQLPPHKTPTLPLDQS >ENSMUSP00000098556.2 pep:known chromosome:GRCm38:6:120666582:120766904:1 gene:ENSMUSG00000071226.11 transcript:ENSMUST00000100993.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cecr2 description:cat eye syndrome chromosome region, candidate 2 [Source:MGI Symbol;Acc:MGI:1923799] MCPEEGGAAGLGELRSWWEVPAIAHFCSLFRTAFRLPDFEIEELEAALHRDDVEFISDLI ACLLQGCYQRRDITPQTFHSYLEDIINYRWELEEGKPNPLREASFQDLPLRTRVEILHRL CDYRLDADDVFDLLKGLDADSLRVEPLGEDNSGALYWYFYGTRMYKEDPVQGRSNGELSL CRESERQKNVSNVPGKTGKRRGRPPKRKKLQEEIISSEKQEENSLTSDLQTRNGSRGPGQ GTWWLLCQTEEEWRQVTESFRERTSLRERQLYKLLSEDFLPEICNMIAQKGKRPQRTKPE LQHRFMSDHLSIKSIKLEETPMLTKIEKQKRREEEEERQLLLAVQKKEQEQMLKEERKRE MEEKVKAVEDRAKRRKLREERAWLLAQGKELPPELSHLDLNSPMREGKKTKDLFELDDDF TAMYKVLDVVKAHKDSWPFLEPVDESYAPNYYQIIKIPMDISSMEKKLNGGLYCNKEEFV NDMKTMFRNCRKYNGDSSEYTKMSDNLERCFHRAMTKHFPGEDGDTDEEFWIKEDEKREK RRSRSGRSSGSHVWTRSRDTEGSSRKQPPVENGGKSLPPARRAASSGDDQSRSSIQLPPE VGTSHGQGFSRPLHCGRVPSHAPPLNQMRPAAPGTFGSLQGSDPTNLHGSSRIPEAPPGE PLQHPPFAIQAPVGISNHRGSLLSAPDLSNMGSHVPSLQLGQMNCPSQDGNMYPPAPFQA GFIPSRHGGTPARPPDFPESSEIPPGHIYHSYKYLNRAHPAVWNGNHGTTNPGRLGPDEK PHLGPGPSHHPHTLGHMMDGRVMRQPIPPNQWTKQSSFLPHGVPSSGYMQPPCKSAGHRL QPPPTPAPSPRFRGPSQALRGAQGGESMMDSPEMIAMQQLSSRVCPPGVPYHPRQPTPPQ LPGPFPQVAHSASVCVSAPKPALDNPGSTQEMTETHEPEEDPAEPLPGHEEKAASICSSE GVYLKQLPHPAPPLQASCTRQSSPQERETEDSQLKSDASDSADTYKTSKNKNTWPLDNSY SSPAVQGCLRDLSIVAETGNLPENGVVGEASPCRSEGKGLDGSGSEKPLCPRGKTLQEAV PCTGPNATTPPCTDPSLMAATVNQFSPLYMPGIEYSNSATQYPMSPSLQGLASMMGGKSS GSQPQSFPPRGFQANGPHPGLFPRYRPQQGMRYSYQPPSQPSYHPYQRTPYYTCPQGFSD WQRSLPSQRSPSGPPGSHPPRSLFSEKNVLSSLQGCETLNTALTSPTQMDVVTAKVVPPD GHNSGPEEEKMDESVERPESPKEFLDLDNHNAATKRQNSLSTSDYLYGTPPPSLSSGMTF GSSAFPPHSVMLQTGSPYTPQRSASHFQPRAYPSPVPAHPPPHPVATQPNGLSPEDSLYC CQEEGLGHFQASMMEQTGTGSGLRGSFQEVHRPPGLQMHPVQSQSLFPKTPAPAASPEQL PPHKTPTLPLDQS >ENSMUSP00000118542.1 pep:known chromosome:GRCm38:6:120734625:120755548:1 gene:ENSMUSG00000071226.11 transcript:ENSMUST00000129803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cecr2 description:cat eye syndrome chromosome region, candidate 2 [Source:MGI Symbol;Acc:MGI:1923799] PKRKKLQEEIISSEKQEENSLTSDLQTRNGSRGPGQGTWWLLCQTEEEWRQVTESFRERT SLRERQLYKLLSEDFLPEICNMIAQKETPMLTKIEKQKRREEEEERQLLLAVQKKEQEQM LKEERKREMEEKVKAVEDRAKRRKLREERAWLLAQGKELPPELSHLDLNSPMREGKKTKD LFELDDDFTAMYKVLDVVKAHKDSWPFLEPVDESYAPNYYQIIKIPMDISSMEK >ENSMUSP00000116993.1 pep:known chromosome:GRCm38:6:120758135:120761717:1 gene:ENSMUSG00000071226.11 transcript:ENSMUST00000143563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cecr2 description:cat eye syndrome chromosome region, candidate 2 [Source:MGI Symbol;Acc:MGI:1923799] XDEKPHLGPGPSHHPHTLGHMMDGRVMRQPIPPNQWTKQSSFLPHGVPSSGYMQPPCKSA GHRLQPPPTPAPSPRFRGPSQALRGAQGGESMMDSPEMIAMQQLSSRVCPPGVPYHPRQP TPPQLPGPFPQVAHSASVCVSAPKPALDNPGSTQEMTETHEPEEDPEPLPGHEEKAASIC SSEGVYLKQLPHPAPPLQASCTRQSSPQERETEDSQLKSDASDSADTYKTSKNKNTWPLD NSYSSPAVQGCLRDLSIVAETGNLPENGVVGEASPCRSEGKGLDGSGSEKPLCPRGKTLQ EAVPCTGPNATTPPCTDPSLMAATVNQFSPLYMPGIEYSNSATQYPMSPSLQGLASM >ENSMUSP00000098634.2 pep:known chromosome:GRCm38:5:86410410:86468990:-1 gene:ENSMUSG00000072845.3 transcript:ENSMUST00000101073.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss11a description:transmembrane protease, serine 11a [Source:MGI Symbol;Acc:MGI:2684853] MEVAGYGTHNRDLKQWMVTLLSALSLMMVVVTIGLLALFLVFDIQVNSNSGQKSSNQLKD LQETNENLVDEIFIDSALNNRYIKNHVVGLTPEEDDTKADIVMVFQPPATGRRTVGKKTH HSILDQKTRNARALPADVSLVQVKDCGKRAIPLIANRIVSGNPAAKGAWPWQVSLQRSNI HQCGGTLIGNMWVVTAAHCFRTNSNPRQWTLSFGTTINPPLMKRDVRRIIMHERYRPPAR DHDIALVQFSPRVTFSDEVRRICLPEPSASFPPNSTVYITGFGALYYGGESQNELREARV QIISNDICKKRHVYGNEIKRGMFCAGFLEGNYDACRGDSGGPLVIRDNKDTWYLIGIVSW GDNCGQKNKPGVYTQVTYYRHWIASKTGL >ENSMUSP00000074142.4 pep:known chromosome:GRCm38:6:136808244:136810074:1 gene:ENSMUSG00000060032.6 transcript:ENSMUST00000074556.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afj description:H2A histone family, member J [Source:MGI Symbol;Acc:MGI:3606192] MSGRGKQGGKVRAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESQKVKSK >ENSMUSP00000144974.1 pep:known chromosome:GRCm38:6:136808333:136809793:1 gene:ENSMUSG00000060032.6 transcript:ENSMUST00000203982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afj description:H2A histone family, member J [Source:MGI Symbol;Acc:MGI:3606192] MSGRGKQGGKVRAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESQKG >ENSMUSP00000000219.3 pep:known chromosome:GRCm38:7:142892752:142900014:-1 gene:ENSMUSG00000000214.11 transcript:ENSMUST00000000219.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Th description:tyrosine hydroxylase [Source:MGI Symbol;Acc:MGI:98735] MPTPSASSPQPKGFRRAVSEQDTKQAEAVTSPRFIGRRQSLIEDARKEREAAAAAAAAAV ASAEPGNPLEAVVFEERDGNAVLNLLFSLRGTKPSSLSRALKVFETFEAKIHHLETRPAQ RPLAGSPHLEYFVRFEVPSGDLAALLSSVRRVSDDVRSAREDKVPWFPRKVSELDKCHHL VTKFDPDLDLDHPGFSDQAYRQRRKLIAEIAFQYKQGEPIPHVEYTKEEIATWKEVYATL KGLYATHACREHLEAFQLLERYCGYREDSIPQLEDVSHFLKERTGFQLRPVAGLLSARDF LASLAFRVFQCTQYIRHASSPMHSPEPDCCHELLGHVPMLADRTFAQFSQDIGLASLGAS DEEIEKLSTVYWFTVEFGLCKQNGELKAYGAGLLSSYGELLHSLSEEPEVRAFDPDTAAV QPYQDQTYQPVYFVSESFSDAKDKLRNYASRIQRPFSVKFDPYTLAIDVLDSPHTIRRSL EGVQDELHTLTQALSAIS >ENSMUSP00000101549.3 pep:known chromosome:GRCm38:7:142892798:142931128:-1 gene:ENSMUSG00000000214.11 transcript:ENSMUST00000105929.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Th description:tyrosine hydroxylase [Source:MGI Symbol;Acc:MGI:98735] MATGEHLVSETFEAKIHHLETRPAQRPLAGSPHLEYFVRFEVPSGDLAALLSSVRRVSDD VRSAREDKVPWFPRKVSELDKCHHLVTKFDPDLDLDHPGFSDQAYRQRRKLIAEIAFQYK QGEPIPHVEYTKEEIATWKEVYATLKGLYATHACREHLEAFQLLERYCGYREDSIPQLED VSHFLKERTGFQLRPVAGLLSARDFLASLAFRVFQCTQYIRHASSPMHSPEPDCCHELLG HVPMLADRTFAQFSQDIGLASLGASDEEIEKLSTVYWFTVEFGLCKQNGELKAYGAGLLS SYGELLHSLSEEPEVRAFDPDTAAVQPYQDQTYQPVYFVSESFSDAKDKLRNYASRIQRP FSVKFDPYTLAIDVLDSPHTIRRSLEGVQDELHTLTQALSAIS >ENSMUSP00000122876.1 pep:known chromosome:GRCm38:7:142892966:142899961:-1 gene:ENSMUSG00000000214.11 transcript:ENSMUST00000124951.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Th description:tyrosine hydroxylase [Source:MGI Symbol;Acc:MGI:98735] MPTPSASSPQPKGFRRAVSEQDTKQAEAVTSPRFIGRRQSLIEDARKEREAAAAAAAAAV ASAEPGNPLEAVVFEERDGNAVLNLLFSLRGTKPSSLSRALKVFETFEAKIHHLETRPAQ RPLAGSPHLEYFVRFEVPSGDLAALLSSVRRVSDDVRSAREDKVPWFPRKVSELDKCHHL VTKFDPDLDLDHPGFSDQAYRQRRKLIAEIAFQYKQGEPIPHVEYTKEEIAT >ENSMUSP00000123661.2 pep:known chromosome:GRCm38:7:142894404:142896609:-1 gene:ENSMUSG00000000214.11 transcript:ENSMUST00000138482.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Th description:tyrosine hydroxylase [Source:MGI Symbol;Acc:MGI:98735] XDLDHPGFSDQAYRQRRKLIAEIAFQYKQGEPIPHVEYTKEEIAT >ENSMUSP00000122162.1 pep:known chromosome:GRCm38:7:142894432:142899966:-1 gene:ENSMUSG00000000214.11 transcript:ENSMUST00000123057.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Th description:tyrosine hydroxylase [Source:MGI Symbol;Acc:MGI:98735] MPTPSASSPQPKGFRRAVSEQDTKQAEAVTGYKTLLTVSGFESV >ENSMUSP00000115434.1 pep:known chromosome:GRCm38:7:142896598:142931120:-1 gene:ENSMUSG00000000214.11 transcript:ENSMUST00000140344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Th description:tyrosine hydroxylase [Source:MGI Symbol;Acc:MGI:98735] MATGEHLVSESPRFIGRRQSLIEDARKEREAAAAAAAAAVASAEPGNPLEAVVFEERDGN AVLNLLFSLRGTKPSSLSRALKVFETFEAKIHHLETRPAQRPLAGSPHLEYFVRFEVPSG DLAALLSSVRRVSDDVRSAREDKVPWFPRKVSELDKCHHLVTKFDPDLDLD >ENSMUSP00000129821.1 pep:known chromosome:GRCm38:2:157560078:157562504:1 gene:ENSMUSG00000067786.16 transcript:ENSMUST00000153739.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnat description:neuronatin [Source:MGI Symbol;Acc:MGI:104716] MAAVAAASAELLIIGWYIFRVLLQVFRYSLQKLAHTVSRTGRQVLGERRQRAPN >ENSMUSP00000133397.1 pep:known chromosome:GRCm38:2:157560107:157562238:1 gene:ENSMUSG00000067786.16 transcript:ENSMUST00000173595.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnat description:neuronatin [Source:MGI Symbol;Acc:MGI:104716] MAAVAAASAELLIIGWYIFRVLLQVFLECCIYWVGFAFRNPPGTQPIARSVQVLPAEAGA HGVPDRAAGAGGAQAASPQLRPQLPALGGRVIRCSCASRPAWEPVPRRNGGSPVFPRQRS TCQGQ >ENSMUSP00000105152.1 pep:known chromosome:GRCm38:2:157560110:157562522:1 gene:ENSMUSG00000067786.16 transcript:ENSMUST00000109526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnat description:neuronatin [Source:MGI Symbol;Acc:MGI:104716] MAAVAAASAELLIIGWYIFRVLLQVFLECCIYWVGFAFRNPPGTQPIARSEVFRYSLQKL AHTVSRTGRQVLGERRQRAPN >ENSMUSP00000133394.1 pep:known chromosome:GRCm38:2:157560116:157562504:1 gene:ENSMUSG00000067786.16 transcript:ENSMUST00000173839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnat description:neuronatin [Source:MGI Symbol;Acc:MGI:104716] MAAVAAASAELLIIGWYIFRVLLQVFLECCIYWVFRYSLQKLAHTVSRTGRQVLGERRQR APN >ENSMUSP00000134109.1 pep:known chromosome:GRCm38:2:157560121:157561992:1 gene:ENSMUSG00000067786.16 transcript:ENSMUST00000173041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnat description:neuronatin [Source:MGI Symbol;Acc:MGI:104716] MAAVAAASAELLIIGWYIFRVLLQTLSPVRPRPAGNKDSGVRRSPAADDRDQRGVPGMLH LLGRIRFSKSSRDTAHCEK >ENSMUSP00000133487.1 pep:known chromosome:GRCm38:2:157560123:157561372:1 gene:ENSMUSG00000067786.16 transcript:ENSMUST00000173793.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnat description:neuronatin [Source:MGI Symbol;Acc:MGI:104716] MAAVAAASAELLIIGWYIFRVLLQTLSPVRPRPAGNKDSGVRRSPAADDRDQRGVPGMLH LLGRIRFSKSSRDTAHCEK >ENSMUSP00000134415.1 pep:known chromosome:GRCm38:2:157560124:157561782:1 gene:ENSMUSG00000067786.16 transcript:ENSMUST00000172487.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnat description:neuronatin [Source:MGI Symbol;Acc:MGI:104716] MAAVAAASAELLIIGWYIFRVLLQGGSWVSHRRCSGTPCRSWRTRCPGPGGRCWGSAGSE PPTEAPAPSPGRPCHQVLLCFSTSMGASAAQEWGVPCVPSSEEHLPRSVRGR >ENSMUSP00000085836.5 pep:known chromosome:GRCm38:2:157560130:157561780:1 gene:ENSMUSG00000067786.16 transcript:ENSMUST00000088484.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnat description:neuronatin [Source:MGI Symbol;Acc:MGI:104716] MAAVAAASAELLIIGWYIFRVLLQTLSPVRPRPAGNKDSGVRRSPAADDRDQRGVQVLPA EAGAHGVPDRAAGAGGAQAASPQLRPQLPALGGRVIRCSCASRPAWEPVPRRNGGSPVFP RQRSTCQGQ >ENSMUSP00000005820.4 pep:known chromosome:GRCm38:1:171213970:171218845:1 gene:ENSMUSG00000005677.14 transcript:ENSMUST00000005820.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1i3 description:nuclear receptor subfamily 1, group I, member 3 [Source:MGI Symbol;Acc:MGI:1346307] MTAMLTLETMASEEEYGPRNCVVCGDRATGYHFHALTCEGCKGFFRRTVSKTIGPICPFA GRCEVSKAQRRHCPACRLQKCLNVGMRKDMILSAEALALRRARQAQRRAEKASLQLNQQQ KELVQILLGAHTRHVGPMFDQFVQFKPPAYLFMHHRPFQPRGPVLPLLTHFADINTFMVQ QIIKFTKDLPLFRSLTMEDQISLLKGAAVEILHISLNTTFCLQTENFFCGPLCYKMEDAV HAGFQYEFLESILHFHKNLKGLHLQEPEYVLMAATALFSPDRPGVTQREEIDQLQEEMAL ILNNHIMEQQSRLQSRFLYAKLMGLLADLRSINNAYSYELQRLEELSAMTPLLGEICS >ENSMUSP00000074915.5 pep:known chromosome:GRCm38:1:171213971:171218843:1 gene:ENSMUSG00000005677.14 transcript:ENSMUST00000075469.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1i3 description:nuclear receptor subfamily 1, group I, member 3 [Source:MGI Symbol;Acc:MGI:1346307] MTAMLTLETMASEEEYGPRNCVVCGDRATGYHFHALTCEGCKGFFRRTVSKTIGPICPFA GRCEVSKAQRRHCPACRLQKCLNVGMRKDMILSAEALALRRARQAQRRAEKASLQLNQQQ KELVQILLGAHTRHVGPMFDQFVQFKPPAYLFMHHRPFQPRGPVLPLLTHFADINTFMVQ QIIKFTKDLPLFRSLTMEDQISLLKGAAVEILHISLNTTFCLQTENFFCGPLCYKMEDAV HAGFQYEFLESILHFHKNLKGLHLQEPEYVLMAATALFSPGFCMQS >ENSMUSP00000137683.1 pep:known chromosome:GRCm38:1:171213986:171220701:1 gene:ENSMUSG00000005677.14 transcript:ENSMUST00000155126.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1i3 description:nuclear receptor subfamily 1, group I, member 3 [Source:MGI Symbol;Acc:MGI:1346307] MFDQFVQFKPPAYLFMHHRPFQPRGPVLPLLTHFADINTFMVQQIIKFTKDLPLFRSLTM EDQISLLKGAAVEILHISLNTTFCLQTENFFCGPLCYKMEDAVHAGFQYEFLESILHFHK NLKGLHLQEPEYVLMAATALFSPDRPGVTQREEIDQLQEEMALILNNHIMEQQSRLQSRF LYAKLMGLLADLRSINNAYSYELQRLEELSAMTPLLGEICS >ENSMUSP00000137852.1 pep:known chromosome:GRCm38:1:171214002:171218845:1 gene:ENSMUSG00000005677.14 transcript:ENSMUST00000133075.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nr1i3 description:nuclear receptor subfamily 1, group I, member 3 [Source:MGI Symbol;Acc:MGI:1346307] MTAMLTLETMASEEEYGPRNCVVCGDRATGYHFHALTCEGCKGFFSDTVSRSPGIAASQT GTAAGRESIFATESAAERTGPDPPGGPHSPCGPHV >ENSMUSP00000106960.1 pep:known chromosome:GRCm38:1:171214021:171218844:1 gene:ENSMUSG00000005677.14 transcript:ENSMUST00000111328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1i3 description:nuclear receptor subfamily 1, group I, member 3 [Source:MGI Symbol;Acc:MGI:1346307] MTAMLTLETMASEEEYGPRNCVVCGDRATGYHFHALTCEGCKGFFRRTVSKTIGPICPFA GRCEVSKAQRRHCPACRLQKCLNVGMRKDMILSAEALALRRARQAQRRAEKASLQLNQQQ KELVQILLGAHTRHVGPMFDQFVQFKPPAYLFMHHRPFQPRGPVLPLLTHFADINTFMVQ QIIKFTKDLPLFRSLTMEDQISLLKGAAVEILHISLNTTFCLQTENFFCGPLCYKMEDAV HGFQYEFLESILHFHKNLKGLHLQEPEYVLMAATALFSPDRPGVTQREEIDQLQEEMALI LNNHIMEQQSRLQSRFLYAKLMGLLADLRSINNAYSYELQRLEELSAMTPLLGEICS >ENSMUSP00000046177.4 pep:known chromosome:GRCm38:12:118867824:118966421:-1 gene:ENSMUSG00000072791.11 transcript:ENSMUST00000035515.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb5 description:ATP-binding cassette, sub-family B (MDR/TAP), member 5 [Source:MGI Symbol;Acc:MGI:1924956] MANSERTNGLQETNQRYGPLQEQVPKVGNQAVGPIEIFRFADNLDIVLMTLGILASMING ATVPLMSLVLGEISDHLINGCLVQTNRTKYQNCSQTQEKLNEDIIVLTLYYIGIGAAALI FGYVQISFWVITAARQTTRIRKQFFHSILAQDISWFDGSDICELNTRMTGDINKLCDGIG DKIPLMFQNISGFSIGLVISLIKSWKLSLVVLSTSPLIMASSALCSRMIISLTSKELDAY SKAGAVAEEALSSIQTVTAFGAQEKEIQRYTQHLKDAKDAGIKRATASKLSLGAVYFFMN GAYGLAFWYGTSLIFGGEPGYTIGTILAVFFSVIHSSYCIGSVAPHLETFTVARGAAFNI FQVIDKKPNIDNFSTAGFVPECIEGNIEFKNVSFSYPSRPSAKVLKGLNLKIKAGETVAL VGPSGSGKSTTVQLLQRLYDPEDGCITVDENDIRAQNVRHYREQIGVVRQEPVLFGTTIG NNIKFGREGVGEKEMEQAAREANAYDFIMAFPKKFNTLVGEKGAQMSGGQKQRIAIARAL VRNPKILILDEATSALDTESESLVQTALEKASKGRTTIVVAHRLSTIRGADLIVTMKDGM VVEKGTHAELMAKQGLYYSLAMAQDIKKVDEQMESRTCSTAGNASYGSLCDVNSAKAPCT DQLEEAVHHQKTSLPEVSLLKIFKLSKSEWPFVVLGTLASALNGSVHPVFSIIFGKLVTM FEDKNKATLKQDAELYSMMLVVLGIVALVTYLMQGLFYGRAEENLAMRLRHSAFKAMLYQ DMAWYDDKENNTGALTTTLAVDVAQIQGAATSRLGIVTQDVSNMSLSILISFIYGWEMTL LILSFAPVLAVTGMIQTAAMAGFANRDKQALKRAGKIATEAVENIRTVVSLTRERAFEQM YEETLQTQHRNALKRAHITGCCYAVSHAFVHFAHAAGFRFGAYLIQAGRMMPEGMFIVFT AIAYGAMAIGETLVWAPEYSKAKAGASHLFALLKNKPTINSCSQSGEKPDTCEGNLEFRE VSFVYPCRPEVPVLQNMSLSIEKGKTVAFVGSSGCGKSTCVQLLQRFYDPMKGQVLLDGV DVKELNVQWLRSQTAIVSQEPVLFNCSIAENIAYGDNSRMVPLEEIKEVADAANIHSFIE GLPRKYNTLVGLRGVQLSGGQKQRLAIARALLRKPKILLLDEATSALDNESEKVVQQALD KARRGKTCLVVAHRLSTIQNADMIVVLQNGSIKEQGTHQELLRNGDTYFKLVAAH >ENSMUSP00000077612.6 pep:known chromosome:GRCm38:11:70977836:70983026:-1 gene:ENSMUSG00000018446.8 transcript:ENSMUST00000078528.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qbp description:complement component 1, q subcomponent binding protein [Source:MGI Symbol;Acc:MGI:1194505] MLPLLRCVPRALGAAASGLRTAIPAQPLRHLLQPAPRPCLRPFGLLSVRAGSARRSGLLQ PPVPCACGCGALHTEGDKAFVEFLTDEIKEEKKIQKHKSLPKMSGDWELEVNGTEAKLLR KVAGEKITVTFNINNSIPPTFDGEEEPSQGQKAEEQEPELTSTPNFVVEVTKTDGKKTLV LDCHYPEDEIGHEDEAESDIFSIKEVSFQATGDSEWRDTNYTLNTDSLDWALYDHLMDFL ADRGVDNTFADELVELSTALEHQEYITFLEDLKSFVKNQ >ENSMUSP00000101768.1 pep:known chromosome:GRCm38:4:126024550:126044440:1 gene:ENSMUSG00000028859.14 transcript:ENSMUST00000106162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf3r description:colony stimulating factor 3 receptor (granulocyte) [Source:MGI Symbol;Acc:MGI:1339755] MVGLGACTLTGVTLIFLLLPRSLESCGHIEISPPVVRLGDPVLASCTISPNCSKLDQQAK ILWRLQDEPIQPGDRQHHLPDGTQESLITLPHLNYTQAFLFCLVPWEDSVQLLDQAELHA GYPPASPSNLSCLMHLTTNSLVCQWEPGPETHLPTSFILKSFRSRADCQYQGDTIPDCVA KKRQNNCSIPRKNLLLYQYMAIWVQAENMLGSSESPKLCLDPMDVVKLEPPMLQALDIGP DVVSHQPGCLWLSWKPWKPSEYMEQECELRYQPQLKGANWTLVFHLPSSKDQFELCGLHQ APVYTLQMRCIRSSLPGFWSPWSPGLQLRPTMKAPTIRLDTWCQKKQLDPGTVSVQLFWK PTPLQEDSGQIQGYLLSWSSPDHQGQDIHLCNTTQLSCIFLLPSEAQNVTLVAYNKAGTS SPTTVVFLENEGPAVTGLHAMAQDLNTIWVDWEAPSLLPQGYLIEWEMSSPSYNNSYKSW MIEPNGNITGILLKDNINPFQLYRITVAPLYPGIVGPPVNVYTFAGERAPPHAPALHLKH VGTTWAQLEWVPEAPRLGMIPLTHYTIFWADAGDHSFSVTLNISLHDFVLKHLEPASLYH VYLMATSRAGSTNSTGLTLRTLDPSDLNIFLGILCLVLLSTTCVVTWLCCKRRGKTSFWS DVPDPAHSSLSSWLPTIMTEETFQLPSFWDSSVPSITKITELEEDKKPTHWDSESSGNGS LPALVQAYVLQGDPREISNQSQPPSRTGDQVLYGQVLESPTSPGVMQYIRSDSTQPLLGG PTPSPKSYENIWFHSRPQETFVPQPPNQEDDCVFGPPFDFPLFQGLQVHGVEEQGGF >ENSMUSP00000030673.6 pep:known chromosome:GRCm38:4:126027292:126044439:1 gene:ENSMUSG00000028859.14 transcript:ENSMUST00000030673.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf3r description:colony stimulating factor 3 receptor (granulocyte) [Source:MGI Symbol;Acc:MGI:1339755] MVGLGACTLTGVTLIFLLLPRSLESCGHIEISPPVVRLGDPVLASCTISPNCSKLDQQAK ILWRLQDEPIQPGDRQHHLPDGTQESLITLPHLNYTQAFLFCLVPWEDSVQLLDQAELHA GYPPASPSNLSCLMHLTTNSLVCQWEPGPETHLPTSFILKSFRSRADCQYQGDTIPDCVA KKRQNNCSIPRKNLLLYQYMAIWVQAENMLGSSESPKLCLDPMDVVKLEPPMLQALDIGP DVVSHQPGCLWLSWKPWKPSEYMEQECELRYQPQLKGANWTLVFHLPSSKDQFELCGLHQ APVYTLQMRCIRSSLPGFWSPWSPGLQLRPTMKAPTIRLDTWCQKKQLDPGTVSVQLFWK PTPLQEDSGQIQGYLLSWSSPDHQGQDIHLCNTTQLSCIFLLPSEAQNVTLVAYNKAGTS SPTTVVFLENEGPAVTGLHAMAQDLNTIWVDWEAPSLLPQGYLIEWEMSSPSYNNSYKSW MIEPNGNITGILLKDNINPFQLYRITVAPLYPGIVGPPVNVYTFAGERAPPHAPALHLKH VGTTWAQLEWVPEAPRLGMIPLTHYTIFWADAGDHSFSVTLNISLHDFVLKHLEPASLYH VYLMATSRAGSTNSTGLTLRTLDPSDLNIFLGILCLVLLSTTCVVTWLCCKRRGKTSFWS DVPDPAHSSLSSWLPTIMTEETFQLPSFWDSSVPSITKITELEEDKKPTHWDSESSGNGS LPALVQAYVLQGDPREISNQSQPPSRTGDQVLYGQVLESPTSPGVMQYIRSDSTQPLLGG PTPSPKSYENIWFHSRPQETFVPQPPNQEDDCVFGPPFDFPLFQGLQVHGVEEQGGF >ENSMUSP00000047597.8 pep:known chromosome:GRCm38:19:18930605:19001117:-1 gene:ENSMUSG00000036192.15 transcript:ENSMUST00000040153.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rorb description:RAR-related orphan receptor beta [Source:MGI Symbol;Acc:MGI:1343464] MCENQPKTKADGTAQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQNNASYSCPRQ RNCLIDRTNRNRCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLYAEVQKHQQRLQEQRQ QQSGEAEALARVYSSSISNGLSNLNTETGGTYANGHVIDLPKSEGYYSIDSGQPSPDQSG LDMTGIKQIKQEPIYDLTSVPNLFTYSSFNNGQLAPGITMSEIDRIAQNIIKSHLETCQY TMEELHQLAWQTHTYEEIKAYQSKSREALWQQCAIQITHAIQYVVEFAKRITGFMELCQN DQILLLKSGCLEVVLVRMCRAFNPLNNTVLFEGKYGGMQMFKALGSDDLVNEAFDFAKNL CSLQLTEEEIALFSSAVLISPDRAWLIEPRKVQKLQEKIYFALQHVIQKNHLDDETLAKL IAKIPTITAVCNLHGEKLQVFKQSHPDIVNTLFPPLYKELFNPDCAAVCK >ENSMUSP00000108447.1 pep:known chromosome:GRCm38:19:18936563:19001117:-1 gene:ENSMUSG00000036192.15 transcript:ENSMUST00000112828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rorb description:RAR-related orphan receptor beta [Source:MGI Symbol;Acc:MGI:1343464] MSRDAVKFGRMSKKQRDSLYAEVQKHQQRLQEQRQQQSGEAEALARVYSSSISNGLSNLN TETGGTYANGHVIDLPKSEGYYSIDSGQPSPDQSGLDMTGIKQIKQEPIYDLTSVPNLFT YSSFNNGQLAPGITMSEIDRIAQNIIKSHLETCQYTMEELHQLAWQTHTYEEIKAYQSKS REALWQQCAIQITHAIQYVVEFAKRITGFMELCQNDQILLLKSGCLEVVLVRMCRAFNPL NNTVLFEGKYGGMQMFKALGSDDLVNEAFDFAKNLCSLQLTEEEIALFSSAVLISPDRAW LIEPRKVQKLQEKIYFALQHVIQKNHLDDETLAKLIAKIPTITAVCNLHGEKLQVFKQSH PDIVNTLFPPLYKELFNPDCAAVCK >ENSMUSP00000108451.1 pep:known chromosome:GRCm38:19:18930605:19111196:-1 gene:ENSMUSG00000036192.15 transcript:ENSMUST00000112832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rorb description:RAR-related orphan receptor beta [Source:MGI Symbol;Acc:MGI:1343464] MRAQIEVIPCKICGDKSSGIHYGVITCEGCKGFFRRSQQNNASYSCPRQRNCLIDRTNRN RCQHCRLQKCLALGMSRDAVKFGRMSKKQRDSLYAEVQKHQQRLQEQRQQQSGEAEALAR VYSSSISNGLSNLNTETGGTYANGHVIDLPKSEGYYSIDSGQPSPDQSGLDMTGIKQIKQ EPIYDLTSVPNLFTYSSFNNGQLAPGITMSEIDRIAQNIIKSHLETCQYTMEELHQLAWQ THTYEEIKAYQSKSREALWQQCAIQITHAIQYVVEFAKRITGFMELCQNDQILLLKSGCL EVVLVRMCRAFNPLNNTVLFEGKYGGMQMFKALGSDDLVNEAFDFAKNLCSLQLTEEEIA LFSSAVLISPDRAWLIEPRKVQKLQEKIYFALQHVIQKNHLDDETLAKLIAKIPTITAVC NLHGEKLQVFKQSHPDIVNTLFPPLYKELFNPDCAAVCK >ENSMUSP00000139155.1 pep:known chromosome:GRCm38:9:76951693:77045749:-1 gene:ENSMUSG00000032357.12 transcript:ENSMUST00000184897.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tinag description:tubulointerstitial nephritis antigen [Source:MGI Symbol;Acc:MGI:1349477] MWTGYKILIFFSLTTDICTETHFSQGEAEPGPRFTRNHTIFEGSRHKRAIFQGEYCRRFG CCEARDDTCVTQFYEANALCYCDSFCERDTSDCCPDYKSFCHEEKEEPPFQQPSDPEGCF RDSQHYEEGSVVKENCNSCTCSGQQWKCSQHVCLVHPELIDHINKGDYG >ENSMUSP00000034911.5 pep:known chromosome:GRCm38:9:76951693:77045794:-1 gene:ENSMUSG00000032357.12 transcript:ENSMUST00000034911.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tinag description:tubulointerstitial nephritis antigen [Source:MGI Symbol;Acc:MGI:1349477] MWTGYKILIFFSLTTDICTETHFSQGEAEPGPRFTRNHTIFEGSRHKRAIFQGEYCRRFG CCEARDDTCVTQFYEANALCYCDSFCERDTSDCCPDYKSFCHEEKEEPPFQQPSDPEGCF RDSQHYEEGSVVKENCNSCTCSGQQWKCSQHVCLVHPELIDHINKGDYGWTAQNYSQFWG MTLEEGFKFRLGTLPPSPMLLSMNEMTASFPPRADLPEIFIASYKWPGWTHGPLDQKNCA ASWAFSTASVAADRIAIQSKGRYTANLSPQNLISCCAKNRHGCNSGSIDRAWWFLRKRGL VSHACYPLFKDQNTTNNICAMASRSDGRGKRHATKPCPNSFEKSNRIYQCSPPYRVSSNE TEIMREIIQNGPVQAIMQVHEDFFYYKTGIYRHVVSTNEEPEKYKKLRTHAVKLTGWGTL RGARGKKEKFWIAANSWGKSWGENGYFRILRGVNESDIEKLIIAAWGQLTSSDDP >ENSMUSP00000074347.6 pep:known chromosome:GRCm38:9:65435782:65453054:1 gene:ENSMUSG00000059183.12 transcript:ENSMUST00000074792.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfmt description:mitochondrial methionyl-tRNA formyltransferase [Source:MGI Symbol;Acc:MGI:1916856] MLLPRCCWGRWLMGRRPRCSCQAPAGFDGKDGRGSRVREKPPWRVLFLGTDHFARETLRA LHAARDGKEEKLIEKLEVVTVPSLSPKGLPVKQYAIQSQLPVYEWPDVGSGEYDVGVVAS FGRLLSEALILKFPYGILNVHPSCLPRWRGPAPIIHTVLHGDTVTGVTIMQIRPKRFDIG PILQQETIPVPPKSTSKELEAVLSKLGANMLISVLKNLPESLNNGRPQPAEGVTYAPKVS AGTSCVKWEEQTSEQVLRLHLAIGDIVPLQTLWMENTVKLLDLVEVNNSILADPKLTGQT VTPGFVVYHRPSQMLLVRCKDGWIGVRSVMLKKTLTATDFYNGYLHAWYQKNSHAHPSQC RFQTLRLPTKMQQKTKLLLCNSALSS >ENSMUSP00000049920.5 pep:known chromosome:GRCm38:4:152484370:152563047:1 gene:ENSMUSG00000039577.17 transcript:ENSMUST00000056567.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphp4 description:nephronophthisis 4 (juvenile) homolog (human) [Source:MGI Symbol;Acc:MGI:2384210] MGDWHRAFTQNTLVPPHPQRARQLGKESTAFQCILKWLDGPLIKQGILDMLSELECHLRV TLFDVTYKHFFGRTWKTTVKPTNQPSKQPPRITFNEPLYFHTTLSHPSIVAVVEVVTEGR KRDGTLQLLSCGFGILRIFGNKPESPTSAAQDKRLRLYHGTPRALLHPLLQDPIEQNKFM RLMENCSLQYTLKPHPPLEPAFHLLPENLLVSGFQQIPGLLPPHGDTGDALRKPRFQKPT TWHLDDLFFTLYPSLEKFEEELVQLLISDREGVGLLDSGTLEVLERRLHVCVHNGLGFVQ RPQVVVLVPEMDVALTRSASFSRKISASSKNSSGNQALVLRSHLRLPEMVSHPAFAIVFQ LEYVFNSPSGADGGASSPTSISSVACMHMVRWAVWNPDLEVGPGKVTLPLQGGVQQNPSR CLVYKVPSASMSSEEVKQVESGTIQFQFSLSSDGPTEHANGPRVGRRSSRKMPASPSGTP APAARDLAATQDSPVGPGLSLSQLTASPLSPALQSSSKPPLQPPDSSQSPEGPQLQAESV LESRVSHLEADLSQPASLQGTPAVEHLQELPFTPLHAPIVVGAQTRSSRSQLSRAAMVLL QSSGFPEILDASQQPVEAVNPIDPVRFNPQKEESDCLRGNEIVLQFLAFSRAAQDCPGTP WPQTVYFTFQFYRFPPETTPRLQLVKLDGTGKSGSGSLSHILVPINKDGSFDAGSPGLQL RYMVDPGFLKPGEQRWFAHYLAAQTLQVDVWDGDSLLLIGSAGVQMKHLLRQGRPAVQVS HELEVVATEYEQEMMAVSGDVAGFGSVKPIGVHTVVKGRLHLTLANVGHACEPRARGSNL LPPSRSRVISNDGASFFSGGSLLIPGGPKRKRVVQAQRLADVDSELAAMLLTHTRAGQGP QAAGQEADAVHKRKLERMRLVRLQEAGGDSDSRRISLLAQHSVRAQHSRDLQVIDAYRER TKAESIAGVLSQAITTHHTLYATLGTAEFFEFALKNPHNTQHTVAIEIDSPELSIILDSQ EWRYFKEATGLHTPLEEDMFHLRGSLAPQLYLRPRETAHIPLKFQSFSVGPLAPTQAPAE VITEKDAESGPLWKCSAMPTKHAKVLFRVETGQLIAVLCLTVEPQPHVVDQVFRFYHPEL TFLKKAIRLPPWHTLPGAPVGMPGEDPPVHVRCSDPNVICEAQNVGPGEPRDVFLKVASG PSPEIKDFFVVIYADRWLAVPVQTWQVCLHSLQRVDVSCVAGQLTRLSLVLRGTQTVRKV RAFTSHPQELKTDPAGVFVLPPHGVQDLHVGVRPRRAGSRFVHLNLVDIDYHQLVASWLV CLSCRQPLISKAFEITMAAGDEKGTNKRITYTNPYPSRRTYRLHSDRPELLRFKEDSFQV AGGETYTIGLRFLPSGSAGQEEILIYINDHEDKNEETFCVKVLYQ >ENSMUSP00000080128.3 pep:known chromosome:GRCm38:4:152478142:152563183:1 gene:ENSMUSG00000039577.17 transcript:ENSMUST00000081393.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nphp4 description:nephronophthisis 4 (juvenile) homolog (human) [Source:MGI Symbol;Acc:MGI:2384210] MGDWHRAFTQNTLVPPHPQRARQLGKESTAFQCILKWLDGPLIKQGILDMLSELECHLRV TLFDVTYKHFFGRTWKTTVKPTNQPSKQPPRITFNEPLYFHTTLSHPSIVAVVEVVTEGR KRDGTLQLLSCGFGILRIFGNKPESPTSAAQDKRLRLYHGTPRALLHPLLQDPIEQNKFM RLMENCSLQYTLKPHPPLEPAFHLLPENLLVSGFQQIPGLLPPHGDTGDALRKPRFQKPT TWHLDDLFFTLYPSLEKFEEELVQLLISDREGVGLLDSGTLEVLERRLHVCVHNGLGFVQ RPQVVVLVPEMDVALTRSASFSRKISASSKNSSGNQALVLRSHLRLPEMVSHPAFAIVFQ LEYVFNSPSGADGGASSPTSISSVACMHMVRWAVWNPDLEVGPGKVTLPLQGGVQQNPSR CLVYKVPSASMSSEEVKQVESGTIQFQFSLSSDGPTEHANGPRVGRRSSRKMPASPSGTP APAARDLAATQDSPVGPGLSLSQLTASPLSPALQSSSKPPLQPPDSSQSPEGPQLQAESV LESRVSHLEADLSQPASLQGTPAVEHLQELPFTPLHAPIVVGAQTRSSRSQLSRAAMVLL QSSGFPEILDASQQPVEAVNPIDPVRFNPQKEESDCLRGNEIVLQFLAFSRAAQDCPGTP WPQTVYFTFQFYRFPPETTPRLQLVKLDGTGKSGSGSLSHILVPINKDGSFDAGSPGLQL RYMVDPGFLKPGEQRWFAHYLAAQTLQVDVWDGDSLLLIGSAGVQMKHLLRQGRPAVQVS HELEVVATEYEQEMMAVSGDVAGFGSVKPIGVHTVVKGRLHLTLANVGHACEPRARGSNL LPPSRSRVISNDGASFFSGGSLLIPGGPKRKRVVQAQRLADVDSELAAMLLTHTRAGQGP QAAGQEADAVHKRKLERMRLVRLQEAGGDSDSRRISLLAQHSVRAQHSRDLQVIDAYRER TKAESIAGVLSQAITTHHTLYATLGTAEFFEFALKNPHNTQHTVAIEIDSPELSIILDSQ EWRYFKEATGLHTPLEEDMFHLRGSLAPQLYLRPRETAHIPLKFQSFSVGPLAPTQAPAE VITEKDAESGPLWKCSAMPTKHAKVLFRVETGQLIAVLCLTVEPQPHVVDQVFRFYHPEL TFLKKAIRLPPWHTLPGAPVGMPGEDPPVHVRCSDPNVICEAQNVGPGEPRDVFLKVASG PSPEIKDFFVVIYADRWLAVPVQTWQVCLHSLQRVDVSCVAGQLTRLSLVLRGTQTVRKV RAFTSHPQELKTDPAGVFVLPPHGVQDLHVGVRPRRAGSRFVHLNLVDIDYHQLVASWLV CLSCRQPLISKAFEITMAAGDEKGTNKRITYTNPYPSRRTYRLHSDRPELLRFKEDSFQV AGGETYTIGLRFLPSGSAGQEEILIYINDHEDKNEETFCVKVLYQ >ENSMUSP00000139458.1 pep:known chromosome:GRCm38:9:99243367:99263762:1 gene:ENSMUSG00000056267.14 transcript:ENSMUST00000185799.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep70 description:centrosomal protein 70 [Source:MGI Symbol;Acc:MGI:1915371] MTEGSQIFLLPISTSDSTKEPLSPVASKAQDPSLLSNRLMIEKQQEEAEWESINGLLMTH GFKPLCLVKGADLRDFIVFDKQSSQKMRQILKTLMEETTRQQSMIRELIETNQQLKSELQ LEQNRAAHQEQRANDLQQIMDSVKSKIGELEDESLNRVCQ >ENSMUSP00000091312.3 pep:known chromosome:GRCm38:9:99243442:99300404:1 gene:ENSMUSG00000056267.14 transcript:ENSMUST00000093795.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep70 description:centrosomal protein 70 [Source:MGI Symbol;Acc:MGI:1915371] MTEGSQIFLLPISTSDSTKEPLSPVASKAQDPSLLSNRLMIEKQQEEAEWESINGLLMTH GFKPLCLVKGADLRDFIVFDKQSSQKMRQILKTLMEETTRQQSMIRELIETNQQLKSELQ LEQNRAAHQEQRANDLQQIMDSVKSKIGELEDESLNRVCQQQNRIKDLQKEYKMLQMKCQ QYKKNRMEQEGTIASLQKEIHRLAKEEEERILTQNRVFAHLCRRVPHSVLDKQLLCLIDY YECKLRKLHIQRQFEEDSQSEEKDFTNLGASPNYKGVLMSLQKQLKESKSRIDVLVGEKL SLQKDLENRPTEHELRLYKQQVKKLEKTLKKNIKLQDLIGQKKSDDTEKKDEPSKDSHQQ ALIEQSYFQVLCSINSIVHNPRAPVIIYKQSKGRAPNGNKDIGQDCGFEHLVPIIEMWVD ELTSLKDLYKSLKILSAELVPWHSLKKLDEKEGVKVGDLLFMVDTMLEEVENQKETSSTP NSQTLQAIVSHFQKLFDVQSLNGVFPRMNEVYTRLGEMNNAVRNLQELLELDSSSSLCVV VSTVGKLCEIINKDVSEQVKQVLGPEDLQSIIKKLEEHEEFFPAFQAFANDLLEILEIDD LDAIVPAVKKLKILSY >ENSMUSP00000140377.1 pep:known chromosome:GRCm38:9:99243461:99264928:1 gene:ENSMUSG00000056267.14 transcript:ENSMUST00000190715.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep70 description:centrosomal protein 70 [Source:MGI Symbol;Acc:MGI:1915371] MTEGSQIFLLPISTSDSTKEPLSPVASKAQDPSLLSNRLMIEKQQEEAEWESINGLLMTH GFKPLCLVKGADLRDFIVFDKQSSQKMRQILKTLMEETTRQQSMIRELIETNQQLKSELQ LEQNRAAHQEQRANDLQQIMDSVKSKIGELEDESLNRVCQQQNRIKDLQKEYKMLQVLY >ENSMUSP00000139816.1 pep:known chromosome:GRCm38:9:99243463:99297985:1 gene:ENSMUSG00000056267.14 transcript:ENSMUST00000191335.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep70 description:centrosomal protein 70 [Source:MGI Symbol;Acc:MGI:1915371] MTEGSQIFLLPISTSDSTKEPLSPVASKAQDPSLLSNRLMIEKQQEEAEWESINGLLMTH GFKPLCLVKGADLRDFIVFDKQSSQKMRQILKTLMEETTRQQSMIRELIETNQQLKSELQ LEQNRAAHQEQRANDLQQIMDSVKSKIGELEDESLNRVCQQQNRIKDLQKEYKMLQMKCQ QYKKNRMEQEGTIASLQKEIHRLAKEEEERILTQNRVFAHLCRRVPHSVLDKQLLCLIDY YECKLRKLHIQRQFEEDSQSEEKDFTNLGASPNYKGVLMSLQKQLKESKSRIDVLVGEKL SLQKDLENRPTEHELRLYKQQVKKLEKTLKKNIKLQDLIGQKKSDDTEKKDEPSKDSHQQ ALIEQSYFQVLCSINSIVHNPRAPVIIYKQSKGRAPNGNKDIGQDCGFEHLVPIIEMWVD ELTSLKDLYKSLKILSAELVPWHSLKKLDEKEGVKVGDLLFMVDTMLEEVENQKETSSTP NSQTLQAIVSHFQKLFDVQSLNGVFPRMNEVYTRLGEMNNAVRNLQELLELDSSSSLCVV VSTVGKLCEIINKDVSEQVKQVLGPEDLQRYLLEMHLE >ENSMUSP00000141041.1 pep:known chromosome:GRCm38:9:99243482:99262631:1 gene:ENSMUSG00000056267.14 transcript:ENSMUST00000190078.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep70 description:centrosomal protein 70 [Source:MGI Symbol;Acc:MGI:1915371] MTEGSQIFLLPISTSDSTKEPLSPVASKAQDPSLLSNRLMIEKQQEEAEWESINGLLMTH GFKPLCLVKGADL >ENSMUSP00000139896.1 pep:known chromosome:GRCm38:9:99243526:99278050:1 gene:ENSMUSG00000056267.14 transcript:ENSMUST00000191014.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep70 description:centrosomal protein 70 [Source:MGI Symbol;Acc:MGI:1915371] MTEGSQIFLLPISTSDSTKEPLSPVASKAQDPSLLSNRLMIEKQQEEAEWESINGLLMTH GFKPLCLVKGADLRDFIVFDKQSSQKMRQILKTLMEETTRQQSMIRELIETNQQLK >ENSMUSP00000108837.1 pep:known chromosome:GRCm38:X:134585654:134588056:1 gene:ENSMUSG00000079435.9 transcript:ENSMUST00000113211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl36a description:ribosomal protein L36A [Source:MGI Symbol;Acc:MGI:1201789] MVNVPKTRRTFCKKCGKHQPHKVTQYKKGKDSLYAQGKRRYDRKQSGYGGQTKPIFRKKA KTTKKIVLRLECVEPNCRSKRMLAIKRCKHFELGGDKKRKGQVIQF >ENSMUSP00000126110.1 pep:known chromosome:GRCm38:X:134585690:134588062:1 gene:ENSMUSG00000079435.9 transcript:ENSMUST00000170828.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpl36a description:ribosomal protein L36A [Source:MGI Symbol;Acc:MGI:1201789] MVNVPKTRRTFCKKCGKHQPHKVTQYKKGKDSLYAQESGVTTGNRVAMVGRLSLFSAKRL KLQRRLC >ENSMUSP00000039580.7 pep:known chromosome:GRCm38:9:110385082:110408213:-1 gene:ENSMUSG00000036057.11 transcript:ENSMUST00000040021.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn23 description:protein tyrosine phosphatase, non-receptor type 23 [Source:MGI Symbol;Acc:MGI:2144837] MEAVPRMPMIWLDLKEAGDFHFQSAVKKFVLKNYGENPEAYNEELKKLELLRQNAIRVAR DFEGCSVLRKYLGQLHYLQSRVPMGSGQEAAVAVTWTEIFSGKSVSHEDIKYEQACILYN LGALHSMLGAMDKRVSEEGMKVSCTHFQCAAGAFAYLREHFPQAFSVDMSRQILTLNVNL MLGQAQECLLEKSMLDNRKSFLVARISAQVVDYYKEACRALENPDTASLLGRIQKDWKKL VQMKIYYFAAVAHLHMGKQAEEQQKFGERVAYFQSALDKLNEAIKLAKGQPDTVQDALRF AMDVIGGKYNSAKKDNDFIYHEAVPALDTLQPVKGAPLVKPLPVNPTDPAVTGPDIFAKL VPMAAHEASSLYSEEKAKLLREMLAKIEDKNEVLDQFMDSMQLDPETVDNLDAYNHIPPQ LMEKCAALSVRPDTVKNLVQSMQVLSGVFTDVEASLKDIRDLLEEDELQEQKLQETLGQA GAGPGPSVAKAELAEVRREWAKYMEVHEKASFTNSELHRAMNLHVGNLRLLSGPLDQVRA ALPTPALTPEDKAVLQNLKRILAKVQEMRDQRVSLEQQLRELIQKDDITASLVTTDHSEM KKLFEEQLKKYDQLKVYLEQNLAAQDNVLRALTEANVQYAAVRRVLSELDQKWNSTLQTL VASYEAYEDLMKKSQEGKDFYADLESKVATLLERAQSICRAQEAARQQLLDRELKKKAPP PRPTAPKPLLSRREEGEAVEAGDTPEELRSLPPDMMVGPRLPDPFLGTTAPLHFSPGPFP SSTGPATHYLSGPLPPGTYSGPTQLMQPRAAVPMAPATVLYPAPAYTSELGLVPRSSPQH GIVSSPYAGVGPPQPVVGLPSAPPPQLSGPELAMTVRPATTTVDSVQAPISSHTAPRPNP TPALPQPCFPVPQPVPQSVPQPQPLPVPYTYSIGTKQPLPAPYTYSIGTKQHLTGPLPQH QFPPGIPTGFPVPRTGPQAQAQPQPQPQPQPQPQPQPQPQPQPQSQSQPQPQPQPQPQRP AFGPQPTQQPLPFQHPHLFPSQAPGILPPPPPTPYHFTPQPGVLGQPPPTLHTQLYPGPS QDPLPPHSGALPFPSPGPPHPHPTLAYGPAPSPRPLGPQATPVSIRGPPPASQPTPSPHL VPSPAPSPGPGPVPSRPPTAEPPPCLRRGAAAADLLSSSPESQHGGTQPPGGGQPLLQPT KVDAAEGRRPQALRLIEQDPYEHPERLQQLQQELEAFRGQLGDAGALDAIWRELQEAQEH DARGRSIAIARCYSLKNRHQDVMPYDSNRVVLRSGKDDYINASCVEGLSPYCPPLVATQA PLPGTAADFWLMVHEQKVSVIVMLVSEAEMEKQKVARYFPTERGQPMVHGALSVALSSIR TTETHVERVLSLQFRDQSLKRSLVHLHFPTWPELGLPDSPGNLLRFIQEVHAHYLHQRPL HTPIVVHCSSGVGRTGAFALLYAAVQEVEAGNGIPELPQLVRRMRQQRKHMLQEKLHLKF CHEALVRHVEQVLQRHGVPPPGKPVASVNISQKNHLPQDSQDLVLGGDVPISSIQATIAK LSIRPLGGLDSPAASLPGLVEPPGLPPASLPESTPVPSSSPPPLSSPLPEAPQPEEEPSV PEAPSLGPPSSSLELLASLTPEAFSLDSSLRGKQRMSKQNFLQAHNGQGLRAAQPTDDPL SLLDPLWTLNKT >ENSMUSP00000142930.1 pep:known chromosome:GRCm38:9:110385324:110386247:-1 gene:ENSMUSG00000036057.11 transcript:ENSMUST00000200531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn23 description:protein tyrosine phosphatase, non-receptor type 23 [Source:MGI Symbol;Acc:MGI:2144837] XSSGVGRTGAFALLYAAVQEVEAGNGIPELPQLVRRMRQQRKHMLQEKLHLKFCHEALVR HVEQVLQRHGVPPPGKPVASVNISQKVRAVPRGEGGWDGHNPVKAALLALPSQNHLPQDS QDLVLGGDVPISSIQATIAKLSIRPLGGLDSPAASLPGLVEPPGLPPASLPESTPVPSSS PPPLSSPLPEAPQPEEEPSVPEAPSLGPPSSSLELLASLTPEAFSLDSSLRGKQRMSKQN FLQAHNGQG >ENSMUSP00000044730.1 pep:known chromosome:GRCm38:3:59207892:59210882:-1 gene:ENSMUSG00000036362.2 transcript:ENSMUST00000040622.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry13 description:purinergic receptor P2Y, G-protein coupled 13 [Source:MGI Symbol;Acc:MGI:1921441] MLGTINTTGMQGFNKSERCPRDTRMTQLLFPVLYTVVFLAGILLNTVALWVFVHIPSNST FIVYLKNTLVADLIMALMLPFKILSDSHLAPWQLRGFVCTLSSVVFYETMYVGIMMLGLI AFDRFLKIIMPFRKTFVKKTAFAKTVSISVWSLMFFISLPNMILNKEATPSSVKKCASLK SPLGLWWHQVVSHTCQFIFWAVFILMLLFYAVITKKVYNSYRKFRSKDSRHKRLEVKVFI VMAVFFVCFAPLHFVRIPYTYSQTTNKTDCRLENQLFIAKEATLFLATTNICMDPLIYII LCKKFTQKVPCVRWGKARTAGSSEDHHSSQTDNITLA >ENSMUSP00000059404.3 pep:known chromosome:GRCm38:18:36281097:36301521:1 gene:ENSMUSG00000043991.3 transcript:ENSMUST00000051301.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pura description:purine rich element binding protein A [Source:MGI Symbol;Acc:MGI:103079] MADRDSGSEQGGAALGSGGSLGHPGSGSGSGGGGGGGGGGGGSGGGGGAPGGLQHETQEL ASKRVDIQNKRFYLDVKQNAKGRFLKIAEVGAGGNKSRLTLSMSVAVEFRDYLGDFIEHY AQLGPSQPPDLAQAQDEPRRALKSEFLVRENRKYYMDLKENQRGRFLRIRQTVNRGPGLG STQGQTIALPAQGLIEFRDALAKLIDDYGVEEEPAELPEGTSLTVDNKRFFFDVGSNKYG VFMRVSEVKPTYRNSITVPYKVWAKFGHTFCKYSEEMKKIQEKQREKRAACEQLHQQQQQ QQEETTAATLLLQGEEEGEED >ENSMUSP00000143683.1 pep:known chromosome:GRCm38:3:59178923:59195104:-1 gene:ENSMUSG00000051431.7 transcript:ENSMUST00000200095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr87 description:G protein-coupled receptor 87 [Source:MGI Symbol;Acc:MGI:1934133] MGLNLTLTKLPGNELYSQASHTANSTSEGHGKNSTLHNKFDTIILPVLYLVIFVASILLN GLAVWIFFHIRNKTSFIFYLKNIVVADLIMTLTFPFRIVRDAGFGPWYFEFILCRYTSVL FYANMYTSIVFLGLISVDRYLKVVKPFGDSRMYSITFTKVLSVCVWVIMAILSLPNIILT NGQPTKENIHDCMKLKSPLGAKWHMAVTYVDSCLFVAVLVILIGCYIAISRYIHKSSRQF ISQSSRKRKHNQSIRVVVAVFFTCFLPYHLCRIPFTFSNLDRLLDESAHKILYYCKEMTL FLSACNVCLDPIIYFFMCKSFSRRLFKKSNIRTRSESIRSLQSVRRSEVRIYYDYTDV >ENSMUSP00000059272.4 pep:known chromosome:GRCm38:3:59179006:59180085:-1 gene:ENSMUSG00000051431.7 transcript:ENSMUST00000056898.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr87 description:G protein-coupled receptor 87 [Source:MGI Symbol;Acc:MGI:1934133] MAVPNVNVSTFAGNELYSQASHTANSTSEGHGKNSTLHNKFDTIILPVLYLVIFVASILL NGLAVWIFFHIRNKTSFIFYLKNIVVADLIMTLTFPFRIVRDAGFGPWYFEFILCRYTSV LFYANMYTSIVFLGLISVDRYLKVVKPFGDSRMYSITFTKVLSVCVWVIMAILSLPNIIL TNGQPTKENIHDCMKLKSPLGAKWHMAVTYVDSCLFVAVLVILIGCYIAISRYIHKSSRQ FISQSSRKRKHNQSIRVVVAVFFTCFLPYHLCRIPFTFSNLDRLLDESAHKILYYCKEMT LFLSACNVCLDPIIYFFMCKSFSRRLFKKSNIRTRSESIRSLQSVRRSEVRIYYDYTDV >ENSMUSP00000063389.6 pep:known chromosome:GRCm38:6:72544391:72562983:1 gene:ENSMUSG00000056737.14 transcript:ENSMUST00000071044.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capg description:capping protein (actin filament), gelsolin-like [Source:MGI Symbol;Acc:MGI:1098259] MYTPIPQSGSPFPASVQDPGLHIWRVEKLKPVPIARESHGIFFSGDSYLVLHNGPEEASH LHLWIGQQSSRDEQGACAVLAVHLNTLLGERPVQHREVQGNESDLFMSYFPRGLKYREGG VESAFHKTTSGATPAAIRKLYQVKGKKNIRATERALSWDSFNTGDCFILDLGQNIFAWCG GKSNILERNKARDLALAIRDSERQGKAQVEIITDGEEPAEMIQVLGPKPALKEGNPEEDI TADQTNAQAAALYKVSDATGQMNLTKVADSSPFASELLIPDDCFVLDNGLCGKIYIWKGR KANEKERQAALQVADGFISRMRYSPNTQVEILPQGRESPIFKQFFKNWK >ENSMUSP00000109706.1 pep:known chromosome:GRCm38:6:72544537:72562981:1 gene:ENSMUSG00000056737.14 transcript:ENSMUST00000114072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capg description:capping protein (actin filament), gelsolin-like [Source:MGI Symbol;Acc:MGI:1098259] MYTPIPQSGSPFPASVQDPGLHIWRVEKLKPVPIARESHGIFFSGDSYLVLHNGPEEASH LHLWIGQQSSRDEQGACAVLAVHLNTLLGERPVQHREVQGNESDLFMSYFPRGLKYREGG VESAFHKTTSGATPAAIRKLYQVKGKKNIRATERALSWDSFNTGDCFILDLGQNIFAWCG GKSNILERNKARDLALAIRDSERQGKAQVEIITDGEEPAEMIQVLGPKPALKEGNPEEDI TADQTNAQAAALYKVSDATGQMNLTKVADSSPFASELLIPDDCFVLDNGLCGKIYIWKGR KANEKERQAALQVADGFISRMRYSPNTQVEILPQGRESPIFKQFFKNWK >ENSMUSP00000120363.1 pep:known chromosome:GRCm38:6:72545793:72556252:1 gene:ENSMUSG00000056737.14 transcript:ENSMUST00000155188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capg description:capping protein (actin filament), gelsolin-like [Source:MGI Symbol;Acc:MGI:1098259] MYTPIPQSGSPFPASVQDPGLHIWRVEKLKPVPIARESHGIFFSGDSYLVLHNGPEEASH LHLWIGQQSSRDEQGACAVLAVHLNTLLGERPVQHREVQGNESDLFMSYFPRGLKYREGG VESAFHKTTSGATPAAIRKLYQVKGKKNIRATERALSWDSFNTGDCFILDLGQ >ENSMUSP00000121221.1 pep:known chromosome:GRCm38:6:72547940:72557770:1 gene:ENSMUSG00000056737.14 transcript:ENSMUST00000126124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capg description:capping protein (actin filament), gelsolin-like [Source:MGI Symbol;Acc:MGI:1098259] MYTPIPQSGSPFPASVQDPGLHIWRVEKLKPVPIARESHGIFFSGDSYLVLHNGPEEASH LHLWIGQQSSRDEQGACAVLAVHLNTLLGERPVQHREVQGNESDLFMSYFPRGLKYREGG VESAFHKTTSGATPAAIRKLYQVKGKKNIRATERALSWDSFNTGDCFILDLGQNIFAWCG GKSNILERNKARD >ENSMUSP00000109705.1 pep:known chromosome:GRCm38:6:72549273:72562983:1 gene:ENSMUSG00000056737.14 transcript:ENSMUST00000114071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capg description:capping protein (actin filament), gelsolin-like [Source:MGI Symbol;Acc:MGI:1098259] MYTPIPQSGSPFPASVQDPGLHIWRVEKLKPVPIARESHGIFFSGDSYLVLHNGPEEASH LHLWIGQQSSRDEQGACAVLAVHLNTLLGERPVQHREVQGNESDLFMSYFPRGLKYREGG VESAFHKTTSGATPAAIRKLYQVKGKKNIRATERALSWDSFNTGDCFILDLGQNIFAWCG GKSNILERNKARDLALAIRDSERQGKAQVEIITDGEEPAEMIQVLGPKPALKEGNPEEDI TADQTNAQAAALYKVSDATGQMNLTKVADSSPFASELLIPDDCFVLDNGLCGKIYIWKGR KANEKERQAALQVADGFISRMRYSPNTQVEILPQGRESPIFKQFFKNWK >ENSMUSP00000117440.1 pep:known chromosome:GRCm38:6:72549451:72555866:1 gene:ENSMUSG00000056737.14 transcript:ENSMUST00000155705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capg description:capping protein (actin filament), gelsolin-like [Source:MGI Symbol;Acc:MGI:1098259] MYTPIPQSGSPFPASVQDPGLHIWRVEKLKPVPIARESHGIFFSGDSYLVLHNGPEEASH LHLWIGQQSSRDEQGACAVLAVHLNTLLGERPVQHREVQGNESD >ENSMUSP00000118022.1 pep:known chromosome:GRCm38:6:72549652:72555824:1 gene:ENSMUSG00000056737.14 transcript:ENSMUST00000134809.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capg description:capping protein (actin filament), gelsolin-like [Source:MGI Symbol;Acc:MGI:1098259] MYTPIPQSGSPFPASVQDPGLHIWRVEKLKPVPIARESHGIFFSGDSYLVLHNGPEEASH LHLWIGQQSSRDEQGACAVLAVHLNTLLGE >ENSMUSP00000121121.1 pep:known chromosome:GRCm38:6:72552938:72558257:1 gene:ENSMUSG00000056737.14 transcript:ENSMUST00000126101.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capg description:capping protein (actin filament), gelsolin-like [Source:MGI Symbol;Acc:MGI:1098259] MYTPIPQSGSPFPASVQDPGLHIWRVEKLKPVPIARESHGIFFSGDSYLVLHNGPEEASH LHLWIGQQSSRDEQGACAVLAVHLNTLLGERPVQHREVQGNESDLFMSYFPRGLKYREGG VESAFHKTTSGATPAAIRKLYQVKGKKNIRATERALSWDSFNTGDCFILDLGQNIFAWCG GKSNILERNKARDLALAIRDSERQGKAQVEIITDGEEPAEMIQVLGPKPALKEGNPEEDI TADQTNAQAAALYK >ENSMUSP00000082115.4 pep:known chromosome:GRCm38:3:59096448:59101821:-1 gene:ENSMUSG00000050075.8 transcript:ENSMUST00000085040.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr171 description:G protein-coupled receptor 171 [Source:MGI Symbol;Acc:MGI:2442043] MTNSSTFCPVYRDLEPFTYFFYLVFLIGIIGSCFATWAFIQKTTNHRCVSIYLINLLTAD FLLTLALPVKIIVDLGVAPWKLRIFHCQVTACLIYINMYLSIIFLAFVSIDRCLQLIHSC KIYRIQEPGFAKMISAVVWLMVLLIMVPNMVIPIKDIKEKSNVGCMEFKKEFGRNWHLLT NFICVAIFLNFSVIILISNFLAIRQLYRNRDNTNYPSVKSALLHILLVTASYIICFVPYH AVRIPYTLSQTEVISDCSTRIALFKAKEATLLLAVSNLCFDPILYYHLSKAFRLKVTETF ASPKKSKPLEERLRSENDV >ENSMUSP00000044568.2 pep:known chromosome:GRCm38:5:130144861:130155806:1 gene:ENSMUSG00000034110.8 transcript:ENSMUST00000040616.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd7 description:potassium channel tetramerisation domain containing 7 [Source:MGI Symbol;Acc:MGI:2442265] MVVVTGREPDSRHSDGAMSSSEAEDDFLEPATPTATQAGHGLPLLPQEFPEVVPLNIGGA HFTTRLSTLRRYEDTMLAAMFSGRHYIPTDSEGRYFIDRDGTHFGDVLNFLRSGDLPPRE HVRAVHKEAQYYAIGPLLEQLENMQPLKGEKVRQAFLGLMPYYKDHLERIVEIARLRAVQ RKARFAKLKVCVFKEEMPITPYECPLLNSLRFERSESDGQLFEHHCEVDVSFGPWEAVAD VYDLLHCLVTDLSAQGLTVDHQCIGVCDKHLVNHYYCKRPIYEFKITWW >ENSMUSP00000143940.1 pep:known chromosome:GRCm38:5:130144924:130151732:1 gene:ENSMUSG00000034110.8 transcript:ENSMUST00000144878.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kctd7 description:potassium channel tetramerisation domain containing 7 [Source:MGI Symbol;Acc:MGI:2442265] MVVVTGREPDSRHSDGAMSSSEAEDDFLEPATPTATQAGHGLPLLPQET >ENSMUSP00000121490.1 pep:known chromosome:GRCm38:5:130145104:130152494:1 gene:ENSMUSG00000034110.8 transcript:ENSMUST00000144467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd7 description:potassium channel tetramerisation domain containing 7 [Source:MGI Symbol;Acc:MGI:2442265] EPDSRHSDGAMSSSEAEDDFLEPATPTATQAGHGLPLLPQEFPEVVPLNIGGAHFTTRLS TLRRYEDTMLAAMFSGRHYIPTDSEGRYFIDRDGTHFGDVLNFLRSGDLPPREHVRAVHK EAQYYAIGPLLEQLENMQPLKGEKTTWSGLWRLPGFAQYSGRPALPSSKSVSSRKRCPSP PMSVRSSTPFALSGVRVMDSSLSTTVKWTCLLGPGRPWLMYTTSCTAWSLTS >ENSMUSP00000114182.1 pep:known chromosome:GRCm38:4:117126794:117128336:1 gene:ENSMUSG00000047671.8 transcript:ENSMUST00000134074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctex1d4 description:Tctex1 domain containing 4 [Source:MGI Symbol;Acc:MGI:3045358] MACRTLPSRRQEEETTKDLALKLPPGKPGGHLPSIDETRPIGPGPASRRGSLPGLHPSFS RRNSLAGPLVGPGGRRPSLGPVPPLGSRVSFSGLPLMLPRRMAPSYRLEPAPGEHWEA >ENSMUSP00000052243.2 pep:known chromosome:GRCm38:4:117126813:117128738:1 gene:ENSMUSG00000047671.8 transcript:ENSMUST00000062206.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctex1d4 description:Tctex1 domain containing 4 [Source:MGI Symbol;Acc:MGI:3045358] MACRTLPSRRQEEETTKDLALKLPPGKPGGHLPSIDETRPIGPGPASRRGSLPGLHPSFS RRNSLAGPLVGPGGRRPSLGPVPPLGSRVSFSGLPLMLPRRMAPSYRLEPAPGEHWEAAG AQRALEAALTTQLNGVCYCGSEAGKLVQALCEQIHTRVRELNLPRYKLVCNVVLGPREGQ GVHVVSRALWDAVHDGLASATFTNPSLFAVATVHAVYWE >ENSMUSP00000122605.1 pep:known chromosome:GRCm38:4:117127174:117128515:1 gene:ENSMUSG00000047671.8 transcript:ENSMUST00000144269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctex1d4 description:Tctex1 domain containing 4 [Source:MGI Symbol;Acc:MGI:3045358] MACRTLPSRRQEEETTKDLALKLPPGKPGGHLPSIDETRPIGPGPASRRGSLPGLHPSFS RRNSLAGPLVGPGGRRPSLGPVPPLGSRVSFSGLPLMLPRRMAPSYRLEPAPGEHWEAAG AQRALEAALTTQLNGVCYCGSEAGKLVQALCEQIHTRVRELNLPRYKLVCNVVLGPRE >ENSMUSP00000038075.7 pep:known chromosome:GRCm38:5:124834418:124862424:-1 gene:ENSMUSG00000037979.13 transcript:ENSMUST00000036206.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc92 description:coiled-coil domain containing 92 [Source:MGI Symbol;Acc:MGI:106485] MAATNLENQLHSAQKNLLFLQREHASTLKGLHAEIRRLQQHCTDLTYELTLKSFELTGDS SSRTTELKRRCEELEAQLKAKEEENRKLLQELEQKNAAIAVLENTVREREKKYLEELKVK SHKLSMLSGELEQRASTVAYLTSQLHAAKKKLLSSSGTSDASPAGSPALASYKPTPPKDK LPETPRRRMKKSLSAPLHPEFEEVYRFGAESRKLLLREPVDAMPDPTPFLLARESAEVQL KERPLVIPPIASDRSATGQHSPARDKPHKTHVGVAHRIHHATPSQAQPEGEMRAVDQVNA SKVVRKHSGTDRTV >ENSMUSP00000119438.1 pep:known chromosome:GRCm38:5:124835894:124861883:-1 gene:ENSMUSG00000037979.13 transcript:ENSMUST00000135495.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc92 description:coiled-coil domain containing 92 [Source:MGI Symbol;Acc:MGI:106485] MAATNLENQLHSAQKNLLFLQREHASTLKGLHAEIRRLQQHCTDLTYELTLKSFELTELA LQRR >ENSMUSP00000114983.1 pep:known chromosome:GRCm38:5:124836070:124851583:-1 gene:ENSMUSG00000037979.13 transcript:ENSMUST00000143268.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc92 description:coiled-coil domain containing 92 [Source:MGI Symbol;Acc:MGI:106485] MAATNLENQLHSAQKNLLFLQREHASTLKGLHAEIRRLQQHCTDLTYELTLKSFELTGDS SSRTTELKRRCEELEAQLKAKEEENRKLLQELEQKNAAIAVLENTVREREKKYLEELKVK SHKLSMLSGEL >ENSMUSP00000058877.7 pep:known chromosome:GRCm38:17:57032773:57041654:-1 gene:ENSMUSG00000011486.14 transcript:ENSMUST00000058661.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a41 description:solute carrier family 25, member 41 [Source:MGI Symbol;Acc:MGI:2144215] MGVHLEVLDTGEQLMVPVDVLEEENKGTLWKFLLSGAMAGAVSRTGTAPLDRARVYMQVY SSKSNFRNLLSGLRSLVQEGGVRSLWRGNGINVLKIAPEYAIKFSVCEQSKNFFYGVHSS QLFQERVVAGSLAVAVSQTLINPMEVLKTRLTLRFTGQYKGLLDCARQILERDGTRALYR GYLPNMLGIIPYACTDLAVYELLQCLWQKLGRDMKDPSGLVSLSSVTLSTTCGQMASYPL TLVRTRMQAQDTVEGSNPTMQGVFKRILSQQGWPGLYRGMTPTLLKVLPAGGISYLVYEA MKKTLGVQVLSR >ENSMUSP00000130857.1 pep:known chromosome:GRCm38:17:57032925:57041647:-1 gene:ENSMUSG00000011486.14 transcript:ENSMUST00000169012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a41 description:solute carrier family 25, member 41 [Source:MGI Symbol;Acc:MGI:2144215] MVPVDVLEEENKGTLWKFLLSGAMAGAVSRTGTAPLDRARVYMQVYSSKSNFRNLLSGLR SLVQEGGVRSLWRGNGINVLKIAPEYAIKFSVCEQSKNFFYGVHSSQLFQERVVAGSLAV AVSQTLINPMEVLKTRLTLRFTGQYKGLLDCARQILERDGTRALYRGYLPNMLGIIPYAC TDLAVYELLQCLWQKLGRDMKDPSGLVSLSSVTLSTTCGQMASYPLTLVRTRMQAQDTVE GSNPTMQGVFKRILSQQGWPGLYRGMTPTLLKVLPAGGISYLVYEAMKKTLGVQVLSR >ENSMUSP00000143036.1 pep:known chromosome:GRCm38:3:59216272:59227581:-1 gene:ENSMUSG00000036353.13 transcript:ENSMUST00000196583.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry12 description:purinergic receptor P2Y, G-protein coupled 12 [Source:MGI Symbol;Acc:MGI:1918089] MDVPGVNTTSANTTFSPGTSTLCVRDYKITQVLFPLLYTVLFFAGLITNSLAMRIFFQIR SKSNFIIFLKNTVISDLLMILTFPFKILSDAKLGAGPLRTLVCQVTSVTFYFTMYISISF LGLITIDRYLKTTRPFKTSSPSNLLGAKILSVVIWAFMFLISLPNMILTNRRPKDKDVTK CSFLKSEFGLVWHEIVNYICQVIFWINFLIVIVCYSLITKELYRSYVRTRGSAKVPKKKV NVKVFIIIAVFFICFVPFHFARIPYTLSQTRAVFDCSAENTLFYVKESTLWLTSLNACLD PFIYFFLCKSFRNSLTSMLRCSNSTSTSGTNKKKGQEGGEPSEETPM >ENSMUSP00000051353.7 pep:known chromosome:GRCm38:3:59216359:59262831:-1 gene:ENSMUSG00000036353.13 transcript:ENSMUST00000050360.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry12 description:purinergic receptor P2Y, G-protein coupled 12 [Source:MGI Symbol;Acc:MGI:1918089] MDVPGVNTTSANTTFSPGTSTLCVRDYKITQVLFPLLYTVLFFAGLITNSLAMRIFFQIR SKSNFIIFLKNTVISDLLMILTFPFKILSDAKLGAGPLRTLVCQVTSVTFYFTMYISISF LGLITIDRYLKTTRPFKTSSPSNLLGAKILSVVIWAFMFLISLPNMILTNRRPKDKDVTK CSFLKSEFGLVWHEIVNYICQVIFWINFLIVIVCYSLITKELYRSYVRTRGSAKVPKKKV NVKVFIIIAVFFICFVPFHFARIPYTLSQTRAVFDCSAENTLFYVKESTLWLTSLNACLD PFIYFFLCKSFRNSLTSMLRCSNSTSTSGTNKKKGQEGGEPSEETPM >ENSMUSP00000143521.1 pep:known chromosome:GRCm38:3:59216361:59262871:-1 gene:ENSMUSG00000036353.13 transcript:ENSMUST00000199609.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry12 description:purinergic receptor P2Y, G-protein coupled 12 [Source:MGI Symbol;Acc:MGI:1918089] MDVPGVNTTSANTTFSPGTSTLCVRDYKITQVLFPLLYTVLFFAGLITNSLAMRIFFQIR SKSNFIIFLKNTVISDLLMILTFPFKILSDAKLGAGPLRTLVCQVTSVTFYFTMYISISF LGLITIDRYLKTTRPFKTSSPSNLLGAKILSVVIWAFMFLISLPNMILTNRRPKDKDVTK CSFLKSEFGLVWHEIVNYICQVIFWINFLIVIVCYSLITKELYRSYVRTRGSAKVPKKKV NVKVFIIIAVFFICFVPFHFARIPYTLSQTRAVFDCSAENTLFYVKESTLWLTSLNACLD PFIYFFLCKSFRNSLTSMLRCSNSTSTSGTNKKKGQEGGEPSEETPM >ENSMUSP00000143706.1 pep:known chromosome:GRCm38:3:59217905:59220200:-1 gene:ENSMUSG00000036353.13 transcript:ENSMUST00000199675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry12 description:purinergic receptor P2Y, G-protein coupled 12 [Source:MGI Symbol;Acc:MGI:1918089] MDVPGVNTTSANTTFSPGTSTLCVRDYKITQVLFPLLYTVLFFAGLITNSLAMRIFFQIR SKSNFIIFLKNTVISDLLMILTFPFKILSDAKLGAGPLRTLVCQVTSVTFYFTMYI >ENSMUSP00000126819.1 pep:known chromosome:GRCm38:3:59216360:59220259:-1 gene:ENSMUSG00000036353.13 transcript:ENSMUST00000170388.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry12 description:purinergic receptor P2Y, G-protein coupled 12 [Source:MGI Symbol;Acc:MGI:1918089] MDVPGVNTTSANTTFSPGTSTLCVRDYKITQVLFPLLYTVLFFAGLITNSLAMRIFFQIR SKSNFIIFLKNTVISDLLMILTFPFKILSDAKLGAGPLRTLVCQVTSVTFYFTMYISISF LGLITIDRYLKTTRPFKTSSPSNLLGAKILSVVIWAFMFLISLPNMILTNRRPKDKDVTK CSFLKSEFGLVWHEIVNYICQVIFWINFLIVIVCYSLITKELYRSYVRTRGSAKVPKKKV NVKVFIIIAVFFICFVPFHFARIPYTLSQTRAVFDCSAENTLFYVKESTLWLTSLNACLD PFIYFFLCKSFRNSLTSMLRCSNSTSTSGTNKKKGQEGGEPSEETPM >ENSMUSP00000026999.3 pep:known chromosome:GRCm38:18:75367529:75395935:1 gene:ENSMUSG00000025880.11 transcript:ENSMUST00000026999.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad7 description:SMAD family member 7 [Source:MGI Symbol;Acc:MGI:1100518] MFRTKRSALVRRLWRSRAPGGEDEEEGVGGGGGGGELRGEGATDGRAYGAGGGGAGRAGC CLGKAVRGAKGHHHPHPPTSGAGAAGGAEADLKALTHSVLKKLKERQLELLLQAVESRGG TRTACLLLPGRLDCRLGPGAPASAQPAQPPSSYSLPLLLCKVFRWPDLRHSSEVKRLCCC ESYGKINPELVCCNPHHLSRLCELESPPPPYSRYPMDFLKPTAGCPDAVPSSAETGGTNY LAPGGLSDSQLLLEPGDRSHWCVVAYWEEKTRVGRLYCVQEPSLDIFYDLPQGNGFCLGQ LNSDNKSQLVQKVRSKIGCGIQLTREVDGVWVYNRSSYPIFIKSATLDNPDSRTLLVHKV FPGFSIKAFDYEKAYSLQRPNDHEFMQQPWTGFTVQISFVKGWGQCYTRQFISSCPCWLE VIFNSR >ENSMUSP00000133544.1 pep:known chromosome:GRCm38:18:75368990:75393986:1 gene:ENSMUSG00000025880.11 transcript:ENSMUST00000174843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad7 description:SMAD family member 7 [Source:MGI Symbol;Acc:MGI:1100518] XLWRSRAPGGEDEEEGVGGGGGGGELRGEGATDGRAYGAGGGGAGRAGCCLGKAVRGAKG HHHPHPPTSGAGAAGGAEADLKALTHSVLKKLKERQLELLLQAVESRGGTRTACLLLPGR LDCRLGPGAPASAQPAQPPSSYSLPLLLCKVFRWPDLRHSSEVKRLCCCESYGKINPELV CCNPHHLSRLCELESPPPPYSRYPMDFLKPTDSQLLLEPGDRSHWCVVAYWEEKTRVGRL YCVQEPSLDIFYDLPQGNGFCLGQL >ENSMUSP00000133696.1 pep:known chromosome:GRCm38:18:75369976:75394365:1 gene:ENSMUSG00000025880.11 transcript:ENSMUST00000174411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad7 description:SMAD family member 7 [Source:MGI Symbol;Acc:MGI:1100518] METELESPPPPYSRYPMDFLKPTAGCPDAVPSSAETGGTNYLAPGGLSDSQLLLEPGDRS HWCVVAYWEEKTRVGRLYCVQEPSLDIFYDLPQGNGFCLGQLNSDNKSQLVQKVRSKIGC GIQLTREVDGVWVYNRSSYPIFIKSATLDNPDSRTLLVHKVFPGFSIKAFDYEKAYSLQR PNDHEFMQQPWTGFTVQISFVKGWGQCYTRQFISSCPCWLEVIFNSR >ENSMUSP00000129322.1 pep:known chromosome:GRCm38:18:75368883:75395479:1 gene:ENSMUSG00000025880.11 transcript:ENSMUST00000168918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad7 description:SMAD family member 7 [Source:MGI Symbol;Acc:MGI:1100518] MFRTKRSALVRRLWRSRAPGGEDEEEGVGGGGGGGELRGEGATDGRAYGAGGGGAGRAGC CLGKAVRGAKGHHHPHPPTSGAGAAGGAEADLKALTHSVLKKLKERQLELLLQAVESRGG TRTACLLLPGRLDCRLGPGAPASAQPAQPPSSYSLPLLLCKVFRWPDLRHSSEVKRLCCC ESYGKINPELVCCNPHHLSRLCELESPPPPYSRYPMDFLKPTAGCPDAVPSSAETGGTNY LAPGGLSDSQLLLEPGDRSHWCVVAYWEEKTRVGRLYCVQEPSLDIFYDLPQGNGFCLGQ LNSDNKSQLVQKVRSKIGCGIQLTREVDGVWVYNRSSYPIFIKSATLDNPDSRTLLVHKV FPGFSIKAFDYEKAYSLQRPNDHEFMQQPWTGFTVQISFVKGWGQCYTRQFISSCPCWLE VIFNSR >ENSMUSP00000026599.3 pep:known chromosome:GRCm38:X:112311334:112372755:1 gene:ENSMUSG00000025525.12 transcript:ENSMUST00000026599.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apool description:apolipoprotein O-like [Source:MGI Symbol;Acc:MGI:1915367] MAAFRMGKLTTIPAGLIYASINVRLAKEEEPKKQLVRPDQLPIYTAPPLHSKYVEEQPGN LQRGFASIRTTTVYYIGWCKSIYLFMKNGVMDTVQFGKDAYVYLKNPPQDFLPKMGVITA SGLAGLLSARKGSRFKKIAYPLGLATLGATVCYPAQSVIIAKITGKKAYATSQQIFQAIK SLWTQKSENESLPEPKEESKEGRSDEIHASLPDLKHSVSLPKELASATVIKSESTSGTTQ FIPDPKLMDHGQSHPDDKDMYSTRS >ENSMUSP00000109042.1 pep:known chromosome:GRCm38:X:112311366:112372430:1 gene:ENSMUSG00000025525.12 transcript:ENSMUST00000113415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apool description:apolipoprotein O-like [Source:MGI Symbol;Acc:MGI:1915367] MAAFRLPIYTAPPLHSKYVEEQPGNLQRGFASIRTTTVYYIGWCKSIYLFMKNGVMDTVQ FGKDAYVYLKNPPQDFLPKMGVITASGLAGLLSARKGSRFKKIAYPLGLATLGATVCYPA QSVIIAKEGRSDEIHASLPDLKHSVSLPKELASATVIKSESTSGTTQFIPDPKLMDHGQS HPDDKDMYSTRS >ENSMUSP00000076130.5 pep:known chromosome:GRCm38:4:117128655:117133963:-1 gene:ENSMUSG00000028680.14 transcript:ENSMUST00000076859.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk3 description:polo-like kinase 3 [Source:MGI Symbol;Acc:MGI:109604] MEPAAGFLSPRPFPRAAAPSAPPAGPGPPANASPRSEPEVLAGPRAPDPPGRLITDPLSG RTYTKGRLLGKGGFARCYEATDTESGIAYAVKVIPQSRVAKPHQREKILNEIELHRDLQH RHIVRFSHHFEDADNIYIFLELCSRKSLAHIWKARHTLLEPEVRYYLRQILSGLKYLHQR GILHRDLKLGNFFITDNMELKVGDFGLAARLEPPEQRKKTICGTPNYVAPEVLLRQGHGP EADVWSLGCVMYTLLCGSPPFETADLKETYRCIKQVHYTLPASLSLPARQLLAAILRASP RDRPSIEQILRHDFFTKGYTPDRLPVSSCVTVPDLTPPNPARSLFAKVTKSLFGRKKNKN KNHSEDQDNVSCLVSGLMRTSIGHPDVRPEAPVVSGQAPASLVETAAEDSSPRGTLASSG DGFEEGLTVATVVESALCALRNCVAFMPPAEQNPAPLAQPEPLVWVSKWVDYSNKFGFGY QLSSRRVAVLFNDGTHMALSANRKTVHYNPTSTKHFSFSMGSVPRALQPQLGILRYFASY MEQHLMKGGDLPSVEEAEVPAPPLLLQWVKTDQALLMLFSDGTVQVNFYGDHTKLILSGW EPLLVTFVARNRSACTYLASHLRQLGCSPDLRQRLRYALRLLRDQSPA >ENSMUSP00000120476.1 pep:known chromosome:GRCm38:4:117128657:117133799:-1 gene:ENSMUSG00000028680.14 transcript:ENSMUST00000147730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk3 description:polo-like kinase 3 [Source:MGI Symbol;Acc:MGI:109604] XPAGPGPPANASPRSEPEVLAGPRAPDPPGRLITDPLSGRTYTKGRLLGKGGFARCYEAT DTESGIAYAVKVIPQSRVAKPHQREKILNEIELHRDLQHRHIVRFSHHFEDADNIYIFLE LCSRKSLAHIWKARHTLLEPEVRYYLRQILSGLKYLHQRGILHRDLKLGNFFITDNMELK VGDFGLAARLEPPEQRKKTICGTPNYVAPEVLLRQGHGPEADVWSLGCVMYTLLCGSPPF ETADLKETYRCIKQVHYTLPASLSLPARQLLAAILRASPRDRPSIEQILRHDFFTKGYTP DRLPVSSCVTVPDLTPPNPARSLFAKVTKSLFGRKKNKNKNHSEDQDNVSCLAPVVSGQA PASLVETAAEDSSPRGTLASSGDGFEEGLTVATVVESALCALRNCVAFMPPAEQNPAPLA QPEPLVWVSKWVDYSNKFGFGYQLSSRRVAVLFNDGTHMALSANRKTVHYNPTSTKHFSF SMGSVPRALQPQLGILRYFASYMEQHLMKGGDLPSVEEAEVPAPPLLLQWVKTDQALLML FSDGTVQVNFYGDHTKLILSGWEPLLVTFVARNRSACTYLASHLRQLGCSPDLRQRLRYA LRLLRDQSPA >ENSMUSP00000017976.2 pep:known chromosome:GRCm38:11:100713922:100714573:1 gene:ENSMUSG00000017832.2 transcript:ENSMUST00000017976.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb9 description:heat shock protein, alpha-crystallin-related, B9 [Source:MGI Symbol;Acc:MGI:1922732] MQRVGSSFSTGQREPGENRVASRCPSVALAERNQVATLPVRLLRDEVQGNGCEQPSFQIK VDAQGFAPEDLVVRIDGQNLTVTGQRQHESNDPSRGRYRMEQSVHRQMQLPPTLDPAAMT CSLTPSGHLWLRGQNKCLPPPEAQTGQSQKPRRGGPKSSLQNESVKNP >ENSMUSP00000130551.1 pep:known chromosome:GRCm38:11:100713850:100714575:1 gene:ENSMUSG00000017832.2 transcript:ENSMUST00000169833.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb9 description:heat shock protein, alpha-crystallin-related, B9 [Source:MGI Symbol;Acc:MGI:1922732] MGRVGGPFLFAPAPDGGLGSPRALRQQLHSRMQRVGSSFSTGQREPGENRVASRCPSVAL AERNQVATLPVRLLRDEVQGNGCEQPSFQIKVDAQGFAPEDLVVRIDGQNLTVTGQRQHE SNDPSRGRYRMEQSVHRQMQLPPTLDPAAMTCSLTPSGHLWLRGQNKCLPPPEAQTGQSQ KPRRGGPKSSLQNESVKNP >ENSMUSP00000117977.1 pep:known chromosome:GRCm38:1:161142691:161163295:1 gene:ENSMUSG00000044835.15 transcript:ENSMUST00000125018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd45 description:ankyrin repeat domain 45 [Source:MGI Symbol;Acc:MGI:1921094] MEPEETLESESSEKSLFSSQQEYEESQEAEETGAENPLLQPTLTGDVEGLQKIFEDPEHP HHEHAVQLLLEEDIVGRNLLYAACMAGKSDVIKALAKYGVNLNEATARGYTLLHCAAAWG RLETLKALVELDVDIEALNFRGEKARDVAARYSQVECVNFLDWADARLILKKIITKSSLI ITDPEKGPGKLFKEDKSTILNACRL >ENSMUSP00000107235.1 pep:known chromosome:GRCm38:1:161142712:161170498:1 gene:ENSMUSG00000044835.15 transcript:ENSMUST00000111608.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd45 description:ankyrin repeat domain 45 [Source:MGI Symbol;Acc:MGI:1921094] MEPEETLESESSEKSLFSSQQEYEESQEAEETGAENPLLQPTLTGDVEGLQKIFEDPEHP HHEHAVQLLLEEDIVGRNLLYAACMAGKSDVIKALAKYGVNLNEATARGYTLLHCAAAWG RLETLKALVELDVDIEALNFRGEKARDVAARYSQVECVNFLDWADARLILKKIITKSSLI ITDPEKGPGKLFKEDKSTILNACRLKNEWLESHPEASISEIFEQKQQLEDIVSPILAKMS TPRHFAAS >ENSMUSP00000141322.1 pep:known chromosome:GRCm38:1:161142723:161167839:1 gene:ENSMUSG00000044835.15 transcript:ENSMUST00000192384.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd45 description:ankyrin repeat domain 45 [Source:MGI Symbol;Acc:MGI:1921094] MGQNRPASSLRDPGVRGCRGGRHPRCKADSEKNYYKVLPHHY >ENSMUSP00000142253.1 pep:known chromosome:GRCm38:1:161142740:161164214:1 gene:ENSMUSG00000044835.15 transcript:ENSMUST00000150721.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd45 description:ankyrin repeat domain 45 [Source:MGI Symbol;Acc:MGI:1921094] MGQNRPASSLRDPGVRGCRGGRHPRVHALTLRCSLGPSGNFEGPGGTGC >ENSMUSP00000059923.8 pep:known chromosome:GRCm38:1:161142767:161164191:1 gene:ENSMUSG00000044835.15 transcript:ENSMUST00000052245.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd45 description:ankyrin repeat domain 45 [Source:MGI Symbol;Acc:MGI:1921094] MGQNRPASSLRDPGVRGCRGGRHPRYFFFFFLELMEPEETLESESSEKSLFSSQQEYEES QEAEETGAENPLLQPTLTGDVEGLQKIFEDPEHPHHEHAVQLLLEEDIVGRNLLYAACMA GKSDVIKALAKYGVNLNEATARGYTLLHCAAAWGRLETLKALVELDVDIEALNFRGEKAR DVAARYSQVECVNFLDWADARLILKKIITKSSLIITDPEKGPGKLFKEDKSTILNACRLK NEWLESHPEASISEIFEQKQQLEDIVSPILAKMSTPRHFAAS >ENSMUSP00000034856.8 pep:known chromosome:GRCm38:9:57544256:57552763:-1 gene:ENSMUSG00000032306.14 transcript:ENSMUST00000034856.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpi description:mannose phosphate isomerase [Source:MGI Symbol;Acc:MGI:97075] MASPRVFPLSCVVQQYAWGKVGSKSEVACLLASSDPLAQISEDKPYAELWMGTHPRGDAK ILDNRISQKTLGQWIAENPDCLGSKVKNTFNGKLPFLFKVLSVDTALSIQAHPNKELAEK LHLQAPEHYPDANHKPEMAIALTSFQGLCGFRPVEEIVTFMKKVPEFQLLIGDDATAQLK ESVGGDTEAMASALRNCFSHLMKSEKKVVVEQLNLLVKRISQQVFDGNNMEDIYGKLLLQ LHQQHPGDIGCFAIYFLNLLTLKPGEAMFLDANVPHAYLKGDCVECMACSDNTVRAGLTP KFIDVPTLCEMLNYTPSPSNNRLFAPAQSQDDPYLSIYDPPVPDFTVMKMEVPSSVTEYK VSTLDSASILLMVQGTVTAIIPSAHAEIPLYRGGVLFIAANESVLLKITVPKDLLIFRAC CLL >ENSMUSP00000119342.1 pep:known chromosome:GRCm38:9:57549130:57552709:-1 gene:ENSMUSG00000032306.14 transcript:ENSMUST00000156428.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpi description:mannose phosphate isomerase [Source:MGI Symbol;Acc:MGI:97075] XRVFPLSCVVQQYAWGKVGSKSEVACLLASSDPLAQISEDKPYAELWMGTHPRGDAKILD NRISQKTLGQWIAENPDCLGSKVKNTFNGKLPFLFKVLSVDTALSIQAHPNKGSWPQARP LN >ENSMUSP00000053955.5 pep:known chromosome:GRCm38:5:143710325:143732280:-1 gene:ENSMUSG00000051306.7 transcript:ENSMUST00000053287.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp42 description:ubiquitin specific peptidase 42 [Source:MGI Symbol;Acc:MGI:1924050] MTIVDKTEPSDPSTCQNQPGSCEAVSPEDMDTGSASWGAVSSISDVSSHTLPLGPVPGAV VYSNSSVPEKSKPSPPKDQVLGDGIAPPQKVLFPSEKICLKWQQSHRVGAGLQNLGNTCF ANAALQCLTYTPPLANYMLSHEHSKTCHAEGFCMMCTMQTHITQALSNPGDVIKPMFVIN EMRRIARHFRFGNQEDAHEFLQYTVDAMQKACLNGSNKLDRHTQATTLVCQIFGGYLRSR VKCLNCKGVSDTFDPYLDITLEIKAAQSVTKALEQFVKPEQLDGENSYKCSKCKKMVPAS KRFTIHRSSNVLTISLKRFANFTGGKIAKDVKYPEYLDIRPYMSQPNGEPIIYVLYAVLV HTGFNCHAGHYFCYIKASNGLWYQMNDSIVSTSDIRAVLNQQAYVLFYIRSHDVKNGGES AHPAHSPGQSSPRPGVSQRVVNNKQVAPGFIGPQLPSHVMKNTPHLNGTTPVKDTPSSSV SSPNGNTSVNRASPATASTSVQNWSVTRPSVIPDHPKKQKITISIHNKLPARQGQAPLNN SLHGPCLEAPSKAAPSSTITNPSAIQSTSNVPTTSTSPSEACPKPMVNGKAKVGASVLVP YGAESSEESDEESKGLAKENGVDMMAGTHSDRPEAAADDGAEASSHELQEPVLLNGANSA DSDSQENSLAFDSASCQVQPELHTENLFSKLNGLPGKVTPAPLQSVPEDRILETFKLTNQ AKGPAGEESWTTTGGSSPKDPVSQLEPISDEPSPLEIPEAVTNGSTQTPSTTSPLEPTIS CTKEDSSVVVSAEPVEGLPSVPALCNSTGTILGDTPVPELCDPGDLTANPSQPTEAVKGD TAEKAQDSAMAEVVERLSPAPSVLTGDGCEQKLLLYLSAEGSEETEDSSRSSAVSADTMP PKPDRTTTSSCEGAAEQAAGDRGDGGHVGPKAQEPSPAKEKMSSLRKVDRGHYRSRRERS SSGEHVRDSRPRPEDHHHKKRHCYSRERPKQDRHPTNSYCNGGQHLGHGDRASPERRSLS RYSHHHSRIRSGLEQDWSRYHHLENEHAWVRERFYQDKLRWDKCRYYHDRYTPLYTARDA REWRPLHGREHDRLVQSGRPYKDSYWGRKGWELQSRGKERPHFNSPREAPSLAVPLERHL QEKAALSVQDSSHSLPERFHEHKSVKSRKRRYETLENNDGRLEKKVHKSLEKDTLEEPRV KKHKKSKKKKKSKDKHRDRESRHQQESDFSGAYSDADLHRHRKKKKKKKRHSRKSEDFIK DVEMRLPKLSSYEAGGHFRRTEGSFLLADGLPVEDSGPFREKTKHLRMESRPDRCRLSEY GQGD >ENSMUSP00000041751.9 pep:known chromosome:GRCm38:7:35586244:35639226:1 gene:ENSMUSG00000034867.16 transcript:ENSMUST00000040844.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd27 description:ankyrin repeat domain 27 (VPS9 domain) [Source:MGI Symbol;Acc:MGI:2444103] MALYDEDLLKNPFYLALQKWRPDLCSKVAQIHGIVLVPCRGSLPGSVQASCQFESYVLVP TEGHFQTLDGKAVVIEGNRIKLGAGFACLLSVPILFEETFYNEKEESFSILCIAHPLERR ETSEEPSAPADPFSLKTIEDVREFLGRHSEKFDKNIASFHRTFRECERKSLRHHIDSVNA LYTKCLQQLLRDSHLKVLAKQEAQMNLMKQAVEMYVHHDIYDLIFKYVGTMEASEDAAFN KITRSLQDLQQKDIGVKPEFSFNIPRAKRELGQLNKCTSPQQKLLCLRKVVQLMTQSPSQ RVNLETMCADDLLSVLLYLLVKTEIPNWMANLSYIKNFRFSSSAKDELGYCLTSVEAAIE YIRQGSLSTKTPDAEGFGDRLFLKQRMNLLSQMTSTPIDCLFKHIASGNQKEVERLLSQD DQDKDAMQKMCHPLCSCEDCEKLISGRLNDPSVVTPFSRDDRGQTPLHVAALCGQASLID FLVSKGAVVNATDYHGSTPLHLACQKGFQSVTLLLLHYKASTEVQDNNGNTPLHLACTYG QEDCVKALVYYDVQACRLDIGNEKGDTALHIAARWGYEGIIETLLQNGAPTAVQNRLKET PLKCALNSKILSIMEAHHLSSDRRPRPSEVPAQSPTRSVDSISQGSSTSSFSSISVSFRQ EEVKKDYREVEKLLRAVADGDLEMVRYLLEWTEDDLDDVEDAISTVDLEFCHPLCQCPKC APAQKLARISANGLSVNVTNQDGFSPLHMAALHGRTDLVPLLLKHGAYSGARNTSQAVPL HLACQQGHFQVAKCLLDSNAKPNKKDLSGNTPLICACSAGHHEVAALLLQHGASINACNN KGNTALHEAVMGRHTLVVELLLFYGASVDILNKRQYTAADCAEQDSKIMELLQVVPGCVA SLDSVEEADHEGYVTVEIRRKWNPKMYNLPEEPLRRQFCLINPGGRFQERTSRETMGRDR SVPDLAGRSLQEPEKQRVTGKQSDLSDLSRYQTSEEGNKGLPERPVSRQAAPGHRPMVRR HTVNDAAILQVPEVTVHLTTHEASVPQS >ENSMUSP00000139753.2 pep:known chromosome:GRCm38:7:35586250:35601643:1 gene:ENSMUSG00000034867.16 transcript:ENSMUST00000188906.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd27 description:ankyrin repeat domain 27 (VPS9 domain) [Source:MGI Symbol;Acc:MGI:2444103] MALYDEDLLKNPFYLALQKWRPDLCSKVAQIHGIAVVIEGNRIKLGAGFACLLSVPILFE ETFYNEKEESFSILCIAHPLERRET >ENSMUSP00000140554.1 pep:known chromosome:GRCm38:7:35586250:35609114:1 gene:ENSMUSG00000034867.16 transcript:ENSMUST00000186245.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd27 description:ankyrin repeat domain 27 (VPS9 domain) [Source:MGI Symbol;Acc:MGI:2444103] MALYDEDLLKNPFYLALQKWRPDLCSKVAQIHGIVLVPCRGSLPGSVQASCQFESYVLVP TEGHFQTLDGKAVVIEGNRIKLGAGFACLLSVPILFEETFYNEKEESFSILCIAHPLERR ETSEEPSAPADPFSLKTIEDVREFLGRHSEKFDKNIASFHRTFRECERKSLRHHIDSVNA LYTKCLQQLLRDSHLKVLAKQEAQMNLMKQAVEMYVHHDIYDLIFKYVGTMEASEDAAFN KITRSLQDLQQKDIGVKPEFSFNIPRAKRELGQLNKCTSPQQKLLCLRKVVQLMTQSPSQ RVNLETMCADDLLSVLLYLLVKTEIPNWMANLSYIKNFRFSSSAKDELGYCLTSVEAAIE YIRQGSLSTKTPVRSPC >ENSMUSP00000146118.1 pep:known chromosome:GRCm38:7:35586255:35634619:1 gene:ENSMUSG00000034867.16 transcript:ENSMUST00000206472.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd27 description:ankyrin repeat domain 27 (VPS9 domain) [Source:MGI Symbol;Acc:MGI:2444103] MALYDEDLLKNPFYLALQKWRPDLCSKVAQIHGIVLVPCRGSLPGSVQASCQFESYVLVP TEGHFQTLDGKAVVIEGNRIKLGAGFACLLSVPILFEETFYNEKEESFSILCIAHPLERR ETSEEPSAPADPFSLKTIEDVREFLGRHSEKFDKNIASFHRTFRECERKSLRHHIDSVNA LYTKCLQQLLRDSHLKVLAKQEAQMNLMKQAVEMYVHHDIYDLIFKYVGTMEASEDAAFN KITRSLQDLQQKDIGVKPEFSFNIPRAKRELGQLNKCTSPQQKLLCLRKVVQLMTQSPSQ RVNLETMCADDLLSVLLYLLVKTEIPNWMANLSYIKNFRFSSSAKDELGYCLTSVEAAIE YIRQGSLSTKTPVRTLRDLATDYFSSSG >ENSMUSP00000145969.1 pep:known chromosome:GRCm38:7:35586275:35603885:1 gene:ENSMUSG00000034867.16 transcript:ENSMUST00000206157.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd27 description:ankyrin repeat domain 27 (VPS9 domain) [Source:MGI Symbol;Acc:MGI:2444103] MALYDEDLLKNPFYLALQKWRPDLCSKVAQIHGIVLVPCRGSLPGSVQASCQFESYVLVP TEGHFQTLDGKETGLS >ENSMUSP00000140259.1 pep:known chromosome:GRCm38:7:35586277:35639226:1 gene:ENSMUSG00000034867.16 transcript:ENSMUST00000190503.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd27 description:ankyrin repeat domain 27 (VPS9 domain) [Source:MGI Symbol;Acc:MGI:2444103] MALYDEDLLKNPFYLALQKWRPDLCSKVAQIHGIVLVPCRGSLPGSVQASCQFESYVLVP TEGHFQTLDGKAVVIEGNRIKLGAGFACLLSVPILFEETFYNEKEESFSILCIAHPLERR ETSEEPSAPADPFSLKTIEDVREFLGRHSEKFDKNIASFHRTFRECERKSLRHHIDSVNA LYTKCLQQLLRDSHLKVLAKQEAQMNLMKQAVEMYVHHDIYDLIFKYVGTMEASEDAAFN KITRSLQDLQQKDIGVKPEFSFNIPRAKRELGQLNKCTSPQQKLLCLRKVVQLMTQSPSQ RVNLETMCADDLLSVLLYLLVKTEIPNWMANLSYIKNFRFSSSAKDELGYCLTSVEAAIE YIRQGSLSTKTPDAEGFGDRLFLKQRMNLLSQMTSTPIDCLFKHIASGNQKEVERLLSQD DQDKDAMQKMCHPLCSCEDCEKLISGRLNDPSVVTPFSRDDRGQTPLHVAALCGQASLID FLVSKGAVVNATDYHGSTPLHLACQKGFQSVTLLLLHYKASTEVQDNNGNTPLHLACTYG QEDCVKALVYYDVQACRLDIGNEKGDTALHIAARWGYEGIIETLLQNGAPTAVQNRLKET PLKCALNSKILSIMEAHHLSSDRRPRPSEVPAQSPTRSVDSISQGSSTSSFSSISVSFRQ EEVKKDYREKLARISANGLSVNVTNQDGFSPLHMAALHGRTDLVPLLLKHGAYSGARNTS QAVPLHLACQQGHFQVAKCLLDSNAKPNKKDLSGNTPLICACSAGHHEVAALLLQHGASI NACNNKGNTALHEAVMGRHTLVVELLLFYGASVDILNKRQYTAADCAEQDSKIMELLQVV PGCVASLDSVEEADHEGYVTVEIRRKWNPKMYNLPEEPLRRQFCLINPGGRFQERTSRET MGRDRSVPDLAGRSLQEPEKQRVTGKQSDLSDLSRYQTSEEGNKGLPERPVSRQAAPGHR PMVRRHTVNDAAILQVPEVTVHLTTHEASVPQS >ENSMUSP00000140848.1 pep:known chromosome:GRCm38:7:35615948:35628449:1 gene:ENSMUSG00000034867.16 transcript:ENSMUST00000187807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd27 description:ankyrin repeat domain 27 (VPS9 domain) [Source:MGI Symbol;Acc:MGI:2444103] XFQSVTLLLLHYKASTEVQDNNGNTPLHLACTYGQEDCVKALVYYDVQACRLDIGNEKGD TALHIAARWGYEGIIETLLQNGAPTAVQNRLKETPLKCALNSKVEKLLRAVADGDLEMVR YLLEWTEDDLDDVEDAISTVDLEFCHPLCQCPKCAPAQKLARISANGLSVNVTNQDGFSP LHMAALHGRTDLVPLLLKHGAYSGARNTSQAVPLHLACQQGHFQVAKCLLDS >ENSMUSP00000145817.1 pep:known chromosome:GRCm38:7:35619479:35627544:1 gene:ENSMUSG00000034867.16 transcript:ENSMUST00000206632.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd27 description:ankyrin repeat domain 27 (VPS9 domain) [Source:MGI Symbol;Acc:MGI:2444103] GNTTQVCSELEDSVYHGSSSPIL >ENSMUSP00000066280.3 pep:known chromosome:GRCm38:2:152081529:152095802:1 gene:ENSMUSG00000060257.2 transcript:ENSMUST00000064061.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrt2 description:scratch homolog 2, zinc finger protein (Drosophila) [Source:MGI Symbol;Acc:MGI:2139287] MPRSFLVKKIKADGFQCSGVSAPTYHPLETAYVLPGTRGPPGDNGYVAHCLPPSGYDGEQ KPGLELAPAEPAYPAAASEEYSDPESPQSSLSARYFRGEAAVTDSYSMDAFFISDGRSRR RRAGAGGDAAGAGDAGGGGGGGGGGERAGRSGATAGGGHRHACAECGKTYATSSNLSRHK QTHRSLDSQLARKCPTCGKAYVSMPALAMHVLTHNLRHKCGVCGKAFSRPWLLQGHMRSH TGEKPFGCAHCGKAFADRSNLRAHMQTHSAFKHYRCRQCDKSFALKSYLHKHCEAACVKA AEPPPSAGPAS >ENSMUSP00000030942.5 pep:known chromosome:GRCm38:4:155803521:155808831:1 gene:ENSMUSG00000029066.12 transcript:ENSMUST00000030942.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl20 description:mitochondrial ribosomal protein L20 [Source:MGI Symbol;Acc:MGI:2137221] MVFLTTRLWLRNRLTDRYWRVQEVLKHAQHFRGRKNRCYRLAVRAVTRAFVKCTKARRLK KRNLRTLWINRITAASQEHGLKYPAFIVNLIKCQVELNRKVLVDLAIYEPKTFKSLAALA KRRQQEGFAAALGDGKEPEGIFSRVVQYH >ENSMUSP00000139169.1 pep:known chromosome:GRCm38:4:155803647:155808798:1 gene:ENSMUSG00000029066.12 transcript:ENSMUST00000185148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl20 description:mitochondrial ribosomal protein L20 [Source:MGI Symbol;Acc:MGI:2137221] MVFLTTRLWLRNRLTDRYWRVQEVLKHAQLWINRITAASQEHGLKYPAFIVNLIKCQVEL NRKVLVDLAIYEPKTFKSLAALAKRRQQEGFAAALGDGKEPEGIFSRVVQYH >ENSMUSP00000139122.1 pep:known chromosome:GRCm38:4:155803650:155809975:1 gene:ENSMUSG00000029066.12 transcript:ENSMUST00000137487.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl20 description:mitochondrial ribosomal protein L20 [Source:MGI Symbol;Acc:MGI:2137221] MVFLTTRLWLRNRLTDRYWRVQEVLKHAQHFRGRKNRCYRLAVRAVTRAFVKCTKARRLK KRNLRTLWINRITAASQEHGLKYPAFIVNLIKCQVELNRKVLVDLAIYEPKTFKSLAALA KRRQQEGFAAALGDGKEPEGIFSRVVQYH >ENSMUSP00000139007.1 pep:known chromosome:GRCm38:4:155803652:155807477:1 gene:ENSMUSG00000029066.12 transcript:ENSMUST00000130188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl20 description:mitochondrial ribosomal protein L20 [Source:MGI Symbol;Acc:MGI:2137221] MVFLTTRLWLRNRLTDRYWRVQEVLKHAQHFRGRKNRCYRLAVRAVTRAFVKCTKARRLK KRNLRTLWINRITAASQEHGLKYPAFIVNLIKGFSV >ENSMUSP00000017544.2 pep:known chromosome:GRCm38:11:98036623:98053462:-1 gene:ENSMUSG00000017400.10 transcript:ENSMUST00000017544.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stac2 description:SH3 and cysteine rich domain 2 [Source:MGI Symbol;Acc:MGI:2144518] MTEMSEKENEPDDAATHTPPGTVSTLQETKLQRFKRSLSLKTILRSKSVENFFLRSGSEL KCPTEVLLTPPTPLPPPSPPPASTDRGLPTPTPSPCPVPRPLAPLKPVRLHSFQEHVFKR ASPCELCHQLIVGNSKQGLRCKTCKVSVHLWCSEEISHQQCPGKTSTSFRRNFSSPLLVH APPPACAMNKESPPTGTSGKVDPVYETLRYGTSLALMNRSSFSSTSESPTRSLSERDELT EDGEGSIRSSEEGPGDSVFTAPAESEGSGPEEKSPGQQPPKLPLRKDVGPMYSYVALYKF LPQENNDLALQPGDRIMLVDDSNEDWWKGKIGDRVGFFPANFVQRVRPGENVWRCCQPFS GNKEQGYMSLKENQICVGVSRSKDSDGFIRVSSGKKRGLVPADSLAEI >ENSMUSP00000118164.1 pep:known chromosome:GRCm38:11:98040098:98043542:-1 gene:ENSMUSG00000017400.10 transcript:ENSMUST00000131519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stac2 description:SH3 and cysteine rich domain 2 [Source:MGI Symbol;Acc:MGI:2144518] XFQEHVFKRASPCELCHQLIVGTSGKVDPVYETLRYGTSLALMNRSSFSSTSESPTRSLS ERDELTEDGEGSIRSSEEGPGDSVFTAPAESEGSGPEEKSPGQQAPERGHSQDRSREDWE GSPLSMTPPLLSQGPQSCPCGRTWGPCTPTSPSTSSCLRRTMTWLCSLEIGSCWWMTLTK TGG >ENSMUSP00000141971.1 pep:known chromosome:GRCm38:3:59317925:59344159:-1 gene:ENSMUSG00000036334.12 transcript:ENSMUST00000193455.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf10 description:immunoglobulin superfamily, member 10 [Source:MGI Symbol;Acc:MGI:1923481] MQKRGREVSCLLISLTAICLVVTPGSRVCPRRCACYVPTEVHCTFRYLTSIPDGIPANVE RVNLGYNSLTRLTENDFSGLSRLELLMLHSNGIHRVSDKTFSGLQSLQVLKMSYNKVQII EKDTLYGLRSLTRLHLDHNNIEFINPEAFYGLTLLRLVHLEGNRLTKLHPDTFVSLSYLQ IFKTSFIKYLYLSDNFLTSLPKEMVSSMPNLESLYLHGNPWTCDCHLKWLSEWMQGNPDI IKCKKERIPSSPQQCPLCMNPRISKGRSIAMVPSGSFLCTKPTIDPSLKSKSLGIQEDNG SASVSPQDFIEPFGSLSLNMTDLSGNKANVICSIQKPSRTLPIAFTEENDYIMLNMSFST NLVCSVNYNHIQPVWQLLALYSDSPLILERKPQHTETPLLSPKYQQVALRPEDTFTNIEA DFKADPFWFQQEKISLQLNRTATTLSTLQIQFSTDAQITLPKAEMRPVKRKWTMILMMNN TRLEHTVLVGGTIALDCPGKGDPSPHLEWVLADGSKVRAPYVSEDGRILIDKKGKLELQM ADTFDAGLYHCISTNDADADILTYRITVVEPYVENKHENGALHTVIMGEILDLPCLSTGI PDASISWILPRNTVFSQSSRDMQILNNGTLRILQATPKDQGHYRCVAANPSGADFSSFQV SVQMKGQRTIEHDRDIDGSGLEEPKPSVLLKQPPSLKLPASSLTGTEAGKQVSGIHKKNK HRDLTHRRRGDSTLRRFREHRRQLPLSARRIDPQHWAALLEKAKKNSVLRKQENTTVKPT PLAIPLVELAGEEKDASGLTPPDEEFTVLKTKAFGVPERSPTADSRPVNHGFVTSSASGT EVSSTVNPQTLLPTHLPDFKLFNVVDSAAVSKSMNRPVTSKIEDTTHQNPIIIFPSVAEI QDSAQVGRTSSQSAHPATGGAMATYGYTTMLSSFTNKANTVLQSANPTESYGPQIPLTEV SRVSSNNSLAHTTKDPGFSKRPSDSHTTAPSLFQTPRNNSTGNVGRERTIWSRGRAISPY RTPVLRRHRHRIVRPALKGPANRNISQVSATEPPGMCRTCSSTERLTMATAALSVTGSSH TTLPKANNVGIISEESTTVVKKPSLLLKNKQDVDIETITTTINYFRSESTHMTPTEASMI SAPTSISLGKTPIDTSGHLSMPRTIQAGTDLVVTPPLSSPLSQPSIPTKATSTKLSRRKI PWHPIFANNHNKEGMLKNLHQFGLQKNTATKPPEKAPLLPTDHGSSSPSTTLLASLTPAQ SATMAATRRNGTEVQGARSLSAGKEQPFINSFLVLPSTTRKRSSTLSFLSVETPTVTTPP VIASAIISETQEVRSKKAKDQTKGSLKNRKGPTITPRQISGYSTYSVPTTTDTPLAFSHS PGKVTGRTVSTAAPHSAASLLGITELPQKCTHTSGNITASETTLLSKSQESTAMKRASAT PPLLSSGAPRMPTPSPPPFTKVVVTDSEVPAVFKMMSNRMVTIYESSRHDIDLQQPSAEA SPNPEILTGSTDFPLSSLLTSTPMPAPRVDKPQDSQWKPSPWPENKFQLRSYSETIEKGK RPEISLSPHLSFPEASTHALHWNAQRHAEKSVFDKKPAQNPTSKHLPYDSLPKTILKKPR IIGGKAASFTVPTNSDVLLPCEAVGDPKPTIHWTRVSSGREISRGIQKTRFHVLPNGTLS IQRVSIQDRGQYLCAASNPLGVDHLHVTLSVVSYPARILESHVKEITAHSGSTVKLKCRV EGMPRPTISWILANQTVVSETPEGSRKVWVTPDGTLIIHNLSLYDRGFYKCVANNPSGQD SLLVKIQVITAPPVIIEQKRQAIVGVLGESLKLPCTAKGTPQPSVHWVLYDGTELKPLQL THSRFFLYPNGTLYIRNIVSSVRGTYECIATSSSGSERRVVILRVEEQETVPRIETASQK WTEVNLGEKLLLNCSATGDPKPTIIWKLPSKVVIDQWHRMGSRIHVYPNGSLVIGSVTEK DGGDYLCVARNKMGDDLVLMHVRLRLTPAKIEHKQHFKKQVLHGKDFQVDCKASGSPVPE VSWSLPDGTVVNNVAQADDSGYRTKRYTLFHNGTLYFNKVGMAEEGDYICSAQNTLGKDE MKVHLTVLTAIPRIRQNYRSNVRIKAGDTAVLDCEVTGEPKPNVFWLLPSNNVISFSNDR FIFHANGTLSINKVKPLDSGKYVCVAQNPSGDDTKTYKLDIVSRPPLINGLYANKTVIKA TAIQHSKKHLDCRADGVPPPQITWIMPDNIFLTAPYYGGRITVHQNGTLEIRNIRLSDSA DFTCVVRSEGGESVLVVQLKVLEMLRRPTFRNPFNEKVVAQVGKPVAMNCSVDGNPTPEI IWILPDGTQFANGPQNSPYLMASNGSLIVYKATRNKSGKYRCTARNKVGYIEKLILLEIG QKPVILTYEPGMIKSAGGESLSLHCVSDGIPKPNVKWTTPGGLVIDRPQVGGKYILHENG TLVIKETTAHDRGNYICKAQNSVGQAVISVPVTIVAYPPRIINYLPRSMLRRTGEAMQLH CVALGVPKPQITWETPGYSLLSTATERRPHRSEMLPLQGTLVIQNLRASDSGVYKCRAQN VLGADYATTYIQVL >ENSMUSP00000141391.1 pep:known chromosome:GRCm38:3:59317925:59344394:-1 gene:ENSMUSG00000036334.12 transcript:ENSMUST00000194546.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf10 description:immunoglobulin superfamily, member 10 [Source:MGI Symbol;Acc:MGI:1923481] MQKRGREVSCLLISLTAICLVVTPGSRVCPRRCACYVPTEVHCTFRYLTSIPDGIPANVE RVNLGYNSLTRLTENDFSGLSRLELLMLHSNGIHRVSDKTFSGLQSLQVLKMSYNKVQII EKDTLYGLRSLTRLHLDHNNIEFINPEAFYGLTLLRLVHLEGNRLTKLHPDTFVSLSYLQ IFKTSFIKYLYLSDNFLTSLPKEMVSSMPNLESLYLHGNPWTCDCHLKWLSEWMQGNPDI IKCKKERIPSSPQQCPLCMNPRISKGRSIAMVPSGSFLCTKPTIDPSLKSKSLGIQEDNG SASVSPQDFIEPFGSLSLNMTDLSGNKANVICSIQKPSRTLPIAFTEENDYIMLNMSFST NLVCSVNYNHIQPVWQLLALYSDSPLILERKPQHTETPLLSPKYQQVALRPEDTFTNIEA DFKADPFWFQQEKISLQLNRTATTLSTLQIQFSTDAQITLPKAEMRPVKRKWTMILMMNN TRLEHTVLVGGTIALDCPGKGDPSPHLEWVLADGSKVRAPYVSEDGRILIDKKGKLELQM ADTFDAGLYHCISTNDADADILTYRITVVEPYVENKHENGALHTVIMGEILDLPCLSTGI PDASISWILPRNTVFSQSSRDMQILNNGTLRILQATPKDQGHYRCVAANPSGADFSSFQV SVQMKGQRTIEHDRDIDGSGLEEPKPSVLLKQPPSLKLPASSLTGTEAGKQVSGIHKKNK HRDLTHRRRGDSTLRRFREHRRQLPLSARRIDPQHWAALLEKAKKNSVLRKQENTTVKPT PLAIPLVELAGEEKDASGLTPPDEEFTVLKTKAFGVPERSPTADSRPVNHGFVTSSASGT EVSSTVNPQTLLPTHLPDFKLFNVVDSAAVSKSMNRPVTSKIEDTTHQNPIIIFPSVAEI QDSAQVGRTSSQSAHPATGGAMATYGYTTMLSSFTNKANTVLQSANPTESYGPQIPLTEV SRVSSNNSLAHTTKDPGFSKRPSDSHTTAPSLFQTPRNNSTGNVGRERTIWSRGRAISPY RTPVLRRHRHRIVRPALKGPANRNISQVSATEPPGMCRTCSSTERLTMATAALSVTGSSH TTLPKANNVGIISEESTTVVKKPSLLLKNKQDVDIETITTTINYFRSESTHMTPTEASMI SAPTSISLGKTPIDTSGHLSMPRTIQAGTDLVVTPPLSSPLSQPSIPTKATSTKLSRRKI PWHPIFANNHNKEGMLKNLHQFGLQKNTATKPPEKAPLLPTDHGSSSPSTTLLASLTPAQ SATMAATRRNGTEVQGARSLSAGKEQPFINSFLVLPSTTRKRSSTLSFLSVETPTVTTPP VIASAIISETQEVRSKKAKDQTKGSLKNRKGPTITPRQISGYSTYSVPTTTDTPLAFSHS PGKVTGRTVSTAAPHSAASLLGITELPQKCTHTSGNITASETTLLSKSQESTAMKRASAT PPLLSSGAPRMPTPSPPPFTKVVVTDSEVPAVFKMMSNRMVTIYESSRHDIDLQQPSAEA SPNPEILTGSTDFPLSSLLTSTPMPAPRVDKPQDSQWKPSPWPENKFQLRSYSETIEKGK RPEISLSPHLSFPEASTHALHWNAQRHAEKSVFDKKPAQNPTSKHLPYDSLPKTILKKPR IIGGKAASFTVPTNSDVLLPCEAVGDPKPTIHWTRVSSGREISRGIQKTRFHVLPNGTLS IQRVSIQDRGQYLCAASNPLGVDHLHVTLSVVSYPARILESHVKEITAHSGSTVKLKCRV EGMPRPTISWILANQTVVSETPEGSRKVWVTPDGTLIIHNLSLYDRGFYKCVANNPSGQD SLLVKIQVITAPPVIIEQKRQAIVGVLGESLKLPCTAKGTPQPSVHWVLYDGTELKPLQL THSRFFLYPNGTLYIRNIVSSVRGTYECIATSSSGSERRVVILRVEEQETVPRIETASQK WTEVNLGEKLLLNCSATGDPKPTIIWKLPSKVVIDQWHRMGSRIHVYPNGSLVIGSVTEK DGGDYLCVARNKMGDDLVLMHVRLRLTPAKIEHKQHFKKQVLHGKDFQVDCKASGSPVPE VSWSLPDGTVVNNVAQADDSGYRTKRYTLFHNGTLYFNKVGMAEEGDYICSAQNTLGKDE MKVHLTVLTAIPRIRQNYRSNVRIKAGDTAVLDCEVTGEPKPNVFWLLPSNNVISFSNDR FIFHANGTLSINKVKPLDSGKYVCVAQNPSGDDTKTYKLDIVSRPPLINGLYANKTVIKA TAIQHSKKHLDCRADGVPPPQITWIMPDNIFLTAPYYGGRITVHQNGTLEIRNIRLSDSA DFTCVVRSEGGESVLVVQLKVLEMLRRPTFRNPFNEKVVAQVGKPVAMNCSVDGNPTPEI IWILPDGTQFANGPQNSPYLMASNGSLIVYKATRNKSGKYRCTARNKVGYIEKLILLEIG QKPVILTYEPGMIKSAGGESLSLHCVSDGIPKPNVKWTTPGGLVIDRPQVGGKYILHENG TLVIKETTAHDRGNYICKAQNSVGQAVISVPVTIVAYPPRIINYLPRSMLRRTGEAMQLH CVALGVPKPQITWETPGYSLLSTATERRPHRSEMLPLQGTLVIQNLRASDSGVYKCRAQN VLGADYATTYIQVL >ENSMUSP00000143071.1 pep:known chromosome:GRCm38:3:59339771:59344256:-1 gene:ENSMUSG00000036334.12 transcript:ENSMUST00000195983.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf10 description:immunoglobulin superfamily, member 10 [Source:MGI Symbol;Acc:MGI:1923481] MQKRGREVSCLLISLTAICLVVTPGSRVCPRRCACYVPTEVHCTFRYLTSIPDGIPANVE RVNLGYNSLTRLTEND >ENSMUSP00000037246.6 pep:known chromosome:GRCm38:3:59316735:59344256:-1 gene:ENSMUSG00000036334.12 transcript:ENSMUST00000039419.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf10 description:immunoglobulin superfamily, member 10 [Source:MGI Symbol;Acc:MGI:1923481] MQKRGREVSCLLISLTAICLVVTPGSRVCPRRCACYVPTEVHCTFRYLTSIPDGIPANVE RVNLGYNSLTRLTENDFSGLSRLELLMLHSNGIHRVSDKTFSGLQSLQVLKMSYNKVQII EKDTLYGLRSLTRLHLDHNNIEFINPEAFYGLTLLRLVHLEGNRLTKLHPDTFVSLSYLQ IFKTSFIKYLYLSDNFLTSLPKEMVSSMPNLESLYLHGNPWTCDCHLKWLSEWMQGNPDI IKCKKERIPSSPQQCPLCMNPRISKGRSIAMVPSGSFLCTKPTIDPSLKSKSLGIQEDNG SASVSPQDFIEPFGSLSLNMTDLSGNKANVICSIQKPSRTLPIAFTEENDYIMLNMSFST NLVCSVNYNHIQPVWQLLALYSDSPLILERKPQHTETPLLSPKYQQVALRPEDTFTNIEA DFKADPFWFQQEKISLQLNRTATTLSTLQIQFSTDAQITLPKAEMRPVKRKWTMILMMNN TRLEHTVLVGGTIALDCPGKGDPSPHLEWVLADGSKVRAPYVSEDGRILIDKKGKLELQM ADTFDAGLYHCISTNDADADILTYRITVVEPYVENKHENGALHTVIMGEILDLPCLSTGI PDASISWILPRNTVFSQSSRDMQILNNGTLRILQATPKDQGHYRCVAANPSGADFSSFQV SVQMKGQRTIEHDRDIDGSGLEEPKPSVLLKQPPSLKLPASSLTGTEAGKQVSGIHKKNK HRDLTHRRRGDSTLRRFREHRRQLPLSARRIDPQHWAALLEKAKKNSVLRKQENTTVKPT PLAIPLVELAGEEKDASGLTPPDEEFTVLKTKAFGVPERSPTADSRPVNHGFVTSSASGT EVSSTVNPQTLLPTHLPDFKLFNVVDSAAVSKSMNRPVTSKIEDTTHQNPIIIFPSVAEI QDSAQVGRTSSQSAHPATGGAMATYGYTTMLSSFTNKANTVLQSANPTESYGPQIPLTEV SRVSSNNSLAHTTKDPGFSKRPSDSHTTAPSLFQTPRNNSTGNVGRERTIWSRGRAISPY RTPVLRRHRHRIVRPALKGPANRNISQVSATEPPGMCRTCSSTERLTMATAALSVTGSSH TTLPKANNVGIISEESTTVVKKPSLLLKNKQDVDIETITTTINYFRSESTHMTPTEASMI SAPTSISLGKTPIDTSGHLSMPRTIQAGTDLVVTPPLSSPLSQPSIPTKATSTKLSRRKI PWHPIFANNHNKEGMLKNLHQFGLQKNTATKPPEKAPLLPTDHGSSSPSTTLLASLTPAQ SATMAATRRNGTEVQGARSLSAGKEQPFINSFLVLPSTTRKRSSTLSFLSVETPTVTTPP VIASAIISETQEVRSKKAKDQTKGSLKNRKGPTITPRQISGYSTYSVPTTTDTPLAFSHS PGKVTGRTVSTAAPHSAASLLGITELPQKCTHTSGNITASETTLLSKSQESTAMKRASAT PPLLSSGAPRMPTPSPPPFTKVVVTDSEVPAVFKMMSNRMVTIYESSRHDIDLQQPSAEA SPNPEILTGSTDFPLSSLLTSTPMPAPRVDKPQDSQWKPSPWPENKFQLRSYSETIEKGK RPEISLSPHLSFPEASTHALHWNAQRHAEKSVFDKKPAQNPTSKHLPYDSLPKTILKKPR IIGGKAASFTVPTNSDVLLPCEAVGDPKPTIHWTRVSSGREISRGIQKTRFHVLPNGTLS IQRVSIQDRGQYLCAASNPLGVDHLHVTLSVVSYPARILESHVKEITAHSGSTVKLKCRV EGMPRPTISWILANQTVVSETPEGSRKVWVTPDGTLIIHNLSLYDRGFYKCVANNPSGQD SLLVKIQVITAPPVIIEQKRQAIVGVLGESLKLPCTAKGTPQPSVHWVLYDGTELKPLQL THSRFFLYPNGTLYIRNIVSSVRGTYECIATSSSGSERRVVILRVEEQETVPRIETASQK WTEVNLGEKLLLNCSATGDPKPTIIWKLPSKVVIDQWHRMGSRIHVYPNGSLVIGSVTEK DGGDYLCVARNKMGDDLVLMHVRLRLTPAKIEHKQHFKKQVLHGKDFQVDCKASGSPVPE VSWSLPDGTVVNNVAQADDSGYRTKRYTLFHNGTLYFNKVGMAEEGDYICSAQNTLGKDE MKVHLTVLTAIPRIRQNYRSNVRIKAGDTAVLDCEVTGEPKPNVFWLLPSNNVISFSNDR FIFHANGTLSINKVKPLDSGKYVCVAQNPSGDDTKTYKLDIVSRPPLINGLYANKTVIKA TAIQHSKKHLDCRADGVPPPQITWIMPDNIFLTAPYYGGRITVHQNGTLEIRNIRLSDSA DFTCVVRSEGGESVLVVQLKVLEMLRRPTFRNPFNEKVVAQVGKPVAMNCSVDGNPTPEI IWILPDGTQFANGPQNSPYLMASNGSLIVYKATRNKSGKYRCTARNKVGYIEKLILLEIG QKPVILTYEPGMIKSAGGESLSLHCVSDGIPKPNVKWTTPGGLVIDRPQVGGKYILHENG TLVIKETTAHDRGNYICKAQNSVGQAVISVPVTIVAYPPRIINYLPRSMLRRTGEAMQLH CVALGVPKPQITWETPGYSLLSTATERRPHRSEMLPLQGTLVIQNLRASDSGVYKCRAQN VLGADYATTYIQVL >ENSMUSP00000135677.1 pep:known chromosome:GRCm38:17:85613608:85625222:1 gene:ENSMUSG00000038805.10 transcript:ENSMUST00000175898.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Six3 description:sine oculis-related homeobox 3 [Source:MGI Symbol;Acc:MGI:102764] MVFRSPLDLYSSHFLLPNFADSHHCSLLLASSGGGSGASGGGGGAGGGGGGNRAGGGGAG GAGGGSGGGGSRAPPEELSMFQLPTLNFSPEQVASVCETLEETGDIERLGRFLWSLPVAP GACEAINKHESILRARAVVAFHTGNFRDLYHILENHKFTKESHGKLQAMWLEAHYQEAEK LRGRPLGPVDKYRVRKKFPLPRTIWDGEQKTHCFKERTRSLLREWYLQDPYPNPSKKREL AQATGLTPTQVGNWFKNRRQRDRAAAAKNRLQHQAIGPSGMRSLAEPGCPTHGSAESPST AASPTTSVSSLTERADTGTSILSVTSSDSECDV >ENSMUSP00000135312.2 pep:known chromosome:GRCm38:17:85620834:85626191:1 gene:ENSMUSG00000038805.10 transcript:ENSMUST00000176081.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Six3 description:sine oculis-related homeobox 3 [Source:MGI Symbol;Acc:MGI:102764] MVFRSPLDLYSSHFLLPNFADSHHCSLLLASSGGGSGASGGGGGAGGGGGGNRAGGGGAG GAGGGSGGGGSRAPPEELSMFQLPTLNFSPEQVASVCETLEETGDIERLGRFLWSLPVAP GACEAINKHESILRARAVVAFHTGNFRDLYHILENHKFTKESHGKLQAMWLEAHYQEAEK LRGRPLGPVDKYRVRKKFPLPRTIWDGEQKTHCFKERTRSLLREWYLQDPYPNPSKKREL AQATGLTPTQVGNWFKNRRQRDRAAAAKNRLQHQAIGPSGMRSLAEPGCPTHGSAESPST AASPTTSVSSLTERADTGTSILSVTSSDSECDV >ENSMUSP00000125169.1 pep:known chromosome:GRCm38:17:85621031:85629302:1 gene:ENSMUSG00000038805.10 transcript:ENSMUST00000162695.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Six3 description:sine oculis-related homeobox 3 [Source:MGI Symbol;Acc:MGI:102764] MVFRSPLDLYSSHFLLPNFADSHHCSLLLASSGGGSGASGGGGGAGGGGGGNRAGGGGAG GAGGGSGGGGSRAPPEELSMFQLPTLNFSPEQVASVCETLEETGDIERLGRFLWSLPVAP GACEAINKHESILRARAVVAFHTGNFRDLYHILENHKFTKESHGKLQAMWLEAHYQEAEK LRGRPLGPVDKYRVRKKFPLPRTIWDGEQKTHCFKERTRSLLREWYLQDPYPNPSKKREL AQATGLTPTQVGNWFKNRRQRDRAAAAKNRLQHQAIGPSGMRSLAEPGCPTHGSAESPST AASPTTSVSSLTERADTGTSILSPPSMAKAVKSHGMAGHSCPEKELC >ENSMUSP00000071513.3 pep:known chromosome:GRCm38:2:181715016:181720985:1 gene:ENSMUSG00000027584.16 transcript:ENSMUST00000071585.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprl1 description:opioid receptor-like 1 [Source:MGI Symbol;Acc:MGI:97440] MESLFPAPFWEVLYGSHFQGNLSLLNETVPHHLLLNASHSAFLPLGLKVTIVGLYLAVCI GGLLGNCLVMYVILRHTKMKTATNIYIFNLALADTLVLLTLPFQGTDILLGFWPFGNALC KTVIAIDYYNMFTSTFTLTAMSVDRYVAICHPIRALDVRTSSKAQAVNVAIWALASVVGV PVAIMGSAQVEDEEIECLVEIPAPQDYWGPVFAICIFLFSFIIPVLIISVCYSLMIRRLR GVRLLSGSREKDRNLRRITRLVLVVVAVFVGCWTPVQVFVLVQGLGVQPGSETAVAILRF CTALGYVNSCLNPILYAFLDENFKACFRKFCCASALHREMQVSDRVRSIAKDVGLGCKTS ETVPRPA >ENSMUSP00000138810.1 pep:known chromosome:GRCm38:2:181715042:181720975:1 gene:ENSMUSG00000027584.16 transcript:ENSMUST00000183693.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprl1 description:opioid receptor-like 1 [Source:MGI Symbol;Acc:MGI:97440] MESLFPAPFWEVLYGSHFQGNLSLLNETVPHHLLLNASHSAFLPLGLKVTIVGLYLAVCI GGLLGNCLVMYVILRQHCALGRSLMNFTGSALKTL >ENSMUSP00000118664.1 pep:known chromosome:GRCm38:2:181715046:181718590:1 gene:ENSMUSG00000027584.16 transcript:ENSMUST00000148334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprl1 description:opioid receptor-like 1 [Source:MGI Symbol;Acc:MGI:97440] MESLFPAPFWEVLYGSHFQGNLSLLNETVPHHLLLNASHSAFLPLGLKVTIVGLYLAVCI GGLLGNCLVMHTKMKTATNIYIFNLALADTLVLLTLPFQGTDILLGFWPFGNALCKTVIA IDYYNMFTSTFTLTAMSVDRY >ENSMUSP00000104394.1 pep:known chromosome:GRCm38:2:181715067:181720974:1 gene:ENSMUSG00000027584.16 transcript:ENSMUST00000108763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprl1 description:opioid receptor-like 1 [Source:MGI Symbol;Acc:MGI:97440] MRPYPITCSSMLATVPSCPLDSRSPSWGSTWLCASGGSWGTASSCMSSSAGRALRGTGDS RHTKMKTATNIYIFNLALADTLVLLTLPFQGTDILLGFWPFGNALCKTVIAIDYYNMFTS TFTLTAMSVDRYVAICHPIRALDVRTSSKAQAVNVAIWALASVVGVPVAIMGSAQVEDEE IECLVEIPAPQDYWGPVFAICIFLFSFIIPVLIISVCYSLMIRRLRGVRLLSGSREKDRN LRRITRLVLVVVAVFVGCWTPVQVFVLVQGLGVQPGSETAVAILRFCTALGYVNSCLNPI LYAFLDENFKACFRKFCCASALHREMQVSDRVRSIAKDVGLGCKTSETVPRPA >ENSMUSP00000138979.1 pep:known chromosome:GRCm38:2:181715083:181720972:1 gene:ENSMUSG00000027584.16 transcript:ENSMUST00000184795.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprl1 description:opioid receptor-like 1 [Source:MGI Symbol;Acc:MGI:97440] MESLFPAPFWEVLYGSHFQGNLSLLNETVPHHLLLNASHSAFLPLGLKVTIVGLYLAVCI GGLLGNCLVIWEGIEGNWRQQAHQDEDCYQHLHI >ENSMUSP00000104399.1 pep:known chromosome:GRCm38:2:181715348:181720972:1 gene:ENSMUSG00000027584.16 transcript:ENSMUST00000108768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprl1 description:opioid receptor-like 1 [Source:MGI Symbol;Acc:MGI:97440] MESLFPAPFWEVLYGSHFQGNLSLLNETVPHHLLLNASHSAFLPLGLKVTIVGLYLAVCI GGLLGNCLVMYVILRHTKMKTATNIYIFNLALADTLVLLTLPFQGTDILLGFWPFGNALC KTVIAIDYYNMFTSTFTLTAMSVDRYVAICHPIRALDVRTSSKAQAVNVAIWALASVVGV PVAIMGSAQVEDEEIECLVEIPAPQDYWGPVFAICIFLFSFIIPVLIISVCYSLMIRRLR GVRLLSGSREKDRNLRRITRLVLVVVAVFVGCWTPVQVFVLVQGLGVQPGSETAVAILRF CTALGYVNSCLNPILYAFLDENFKACFRKFCCASALHREMQVSDRVRSIAKDVGLGCKTS ETVPRPA >ENSMUSP00000104398.1 pep:known chromosome:GRCm38:2:181715593:181719351:1 gene:ENSMUSG00000027584.16 transcript:ENSMUST00000108767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprl1 description:opioid receptor-like 1 [Source:MGI Symbol;Acc:MGI:97440] MESLFPAPFWEVLYGSHFQGNLSLLNETVPHHLLLNASHSAFLPLGLKVTIVGLYLAVCI GGLLGNCLVMYVILRHTKMKTATNIYIFNLALADTLVLLTLPFQGTDILLGFWPFGNALC KTVIAIDYYNMFTSTFTLTAMSVDRYVAICHPIRALDVRTSSKAQAVNVAIWALASVVGV PVAIMGSAQVEDEEIECLVEIPAPQDYWGPVFAICIFLFSFIIPVLIISVCYSLMIRRLR GVRLLSGSREKDRNLRRITRLVLVVVAVFVGCWTPVQVFVLVQGLGVQPGSETAVAILRF CTALGYVNSCLNPILYAFLDENFKACFRKFCCASALHREMQVSDRVRSIAKDVGLGCKTS ETVPRPA >ENSMUSP00000104397.1 pep:known chromosome:GRCm38:2:181715702:181719372:1 gene:ENSMUSG00000027584.16 transcript:ENSMUST00000108766.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oprl1 description:opioid receptor-like 1 [Source:MGI Symbol;Acc:MGI:97440] MESLFPAPFWEVLYGSHFQGNLSLLNETVPHHLLLNASHSAFLPLGLKVTIVGLYLAVCI GGLLGNCLVMYVILRHTKMKTATNIYIFNLALADTLVLLTLPFQGTDILLGFWPFGNALC KTVIAIDYYNMFTSTFTLTAMSVDRYVAICHPIRALDVRTSSKAQAVNVAIWALASVVGV PVAIMGSAQVEDEGQWAVLLPDQSVPHGSCRPL >ENSMUSP00000139119.1 pep:known chromosome:GRCm38:2:181715703:181719372:1 gene:ENSMUSG00000027584.16 transcript:ENSMUST00000184127.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oprl1 description:opioid receptor-like 1 [Source:MGI Symbol;Acc:MGI:97440] MESLFPAPFWEVLYGSHFQGNLSLLNETVPHHLLLNASHSAFLPLGLKVTIVGLYLAVCI GGLLGNCLVMYVILRQCPENPLRGVLRETEERRQHLSLLIPSTNSHSGTPR >ENSMUSP00000106009.1 pep:known chromosome:GRCm38:8:45999303:46027655:1 gene:ENSMUSG00000031637.13 transcript:ENSMUST00000110380.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp2bp description:Lrp2 binding protein [Source:MGI Symbol;Acc:MGI:1914870] MKLSSEKLPKNPFSLSQYAAKQQKFFQWKKEKPDYYLHANLVDTALQFLKERIRRGDAMA YFLRGQLYFEEGWYEEALAQFEEIQEKDHQAIYQLGVMYYDGLGTIANAEKGVNYMRKIL DSSCPQTMHLKFAAAYNLGRAYFEGKGVKRSDEEAERLWLLAADNGNPKASVKAQSILGL FYSMKEPKELEKAFFWHSEACGNGSLESQGALGLMYFYGQGIRQDTDAALHCLREAAERG NVYAQGTLVEYYYKMKFFTKCVSFSKRIADYDEVHDIPMIAHVTDCLPEFIIKGMAMAAF YHGRCLQLGLGIMKDEESAKHYYSKACRLNPTLADELHSLLIHQRI >ENSMUSP00000067177.3 pep:known chromosome:GRCm38:8:45999308:46027386:1 gene:ENSMUSG00000031637.13 transcript:ENSMUST00000066451.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp2bp description:Lrp2 binding protein [Source:MGI Symbol;Acc:MGI:1914870] MTSVPGSSERQHWPDPHCGDRMKLSSEKLPKNPFSLSQYAAKQQKFFQWKKEKPDYYLHA NLVDTALQFLKERIRRGDAMAYFLRGQLYFEEGWYEEALAQFEEIQEKDHQAIYQLGVMY YDGLGTIANAEKGVNYMRKILDSSCPQTMHLKFAAAYNLGRAYFEGKGVKRSDEEAERLW LLAADNGNPKASVKAQSILGLFYSMKEPKELEKAFFWHSEACGNGSLESQGALGLMYFYG QGIRQDTDAALHCLREAAERGNVYAQGTLVEYYYKMKFFTKCVSFSKRIADYDEVHDIPM IAHVTDCLPEFIIKGMAMAAFYHGRCLQLGLGIMKDEESAKHYYSKACRLNPTLADELHS LLIHQRI >ENSMUSP00000106010.2 pep:known chromosome:GRCm38:8:46010602:46029477:1 gene:ENSMUSG00000031637.13 transcript:ENSMUST00000110381.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp2bp description:Lrp2 binding protein [Source:MGI Symbol;Acc:MGI:1914870] MKLSSEKLPKNPFSLSQYAAKQQKFFQWKKEKPDYYLHANLVDTALQFLKERIRRGDAMA YFLRGQLYFEEGWYEEALAQFEEIQEKDHQAIYQLGVMYYDGLGTIANAEKGVNYMRKIL DSSCPQTMHLKFAAAYNLGRAYFEGKGVKRSDEEAERLWLLAADNGNPKASVKAQSILGL FYSMKEPKELEKAFFWHSEACGNGSLESQGALGLMYFYGQGIRQDTDAALHCLREAAERG NVYAQGTLVEYYYKMKFFTKCVSFSKRIADYDEVHDIPMIAHVTDCLPEFIIKGMAMAAF YHGRCLQLGLGIMKDEESAKHYYSKACRLNPTLADELHSLLIHQRI >ENSMUSP00000135210.1 pep:known chromosome:GRCm38:8:46010611:46027643:1 gene:ENSMUSG00000031637.13 transcript:ENSMUST00000145597.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrp2bp description:Lrp2 binding protein [Source:MGI Symbol;Acc:MGI:1914870] MKLSSEKLPKNPFSLSQYAAKQQKFFQWKKEKPDYYLHANLVDTALQFLKERIRRGDAMA YFLRGQLYFEEGWYEEALAQFEEIQEKDHQAIYQLGVMYYDGLGTIANAEKGVNYMRKIL DSSCPQTMHLKFAAAYNLGRAYFEGKGVKRSDEEAERLWLLAADNGNPKASVKAQSILGL FYSMKEPKELEKTRPSDHINKKAGPSGMACHEA >ENSMUSP00000029454.5 pep:known chromosome:GRCm38:3:102086415:102146514:1 gene:ENSMUSG00000027861.13 transcript:ENSMUST00000029454.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casq2 description:calsequestrin 2 [Source:MGI Symbol;Acc:MGI:1309469] MKRIYLLMVGVYLLSLSGAEEGLNFPTYDGKDRVVSLSEKNLKQMLKRYDLLCLYYHEPV SSDKVSQKQFQLKEIVLELVAQVLEHKNIGFVMVDSRKEAKLAKRLGFSEEGSLYVLKGD RTIEFDGEFAADVLVEFLLDLIEDPVEIVNNKLEVQAFERIEDQTKLLGFFKNEDSEYYK AFQEAAEHFQPYIKFFATFDKAVAKKLSLKMNEVGFYEPFMDEPNVIPNKPYTEEELVEF VKEHQRPTLRRLRPEDMFETWEDDLNGIHIVAFAEKSDPDGYEFLEILKQVARDNTDNPD LSILWIDPDDFPLLVAYWEKTFKIDLFKPQIGVVNVTDADSIWMEIPDDDDLPTAEELED WIEDVLSGKINTEDDDNEDEDDDGDDNDDDDDDDDDNDNSDEDNEDSDDDDDDDE >ENSMUSP00000130482.2 pep:known chromosome:GRCm38:3:102086546:102146514:1 gene:ENSMUSG00000027861.13 transcript:ENSMUST00000165540.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casq2 description:calsequestrin 2 [Source:MGI Symbol;Acc:MGI:1309469] MKRIYLLMVGVYLLSLSGAEEGLNFPTYDGKDRVVSLSEKNLKQMLKRYDLLCLYYHEPV SSDKVSQKQFQLKEIVLELVAQVLEHKNIGFVMVDSRKEAKLAKRLGFSEEGSLYVLKGD RTIEFDGEFAADVLVEFLLDLIEDPVEIVNNKLEVQAFERIEDQTKLLGFFKNEDSEYYK AFQEAAEHFQPYIKFFATFDKAVAKKLSLKMNEVGFYEPFMDEPNVIPNKPYTEEELVEF VKEHQRPTLRRLRPEDMFETWTTGEDDLNGIHIVAFAEKSDPDGYEFLEILKQVARDNTD NPDLSILWIDPDDFPLLVAYWEKTFKIDLFKPQIGVVNVTDADSIWMEIPDDDDLPTAEE LEDWIEDVLSGKINTEDDDNEDEDDDGDDNDDDDDDDDDNDNSDEDNEDSDDDDDDDE >ENSMUSP00000131232.1 pep:known chromosome:GRCm38:3:102086639:102145331:1 gene:ENSMUSG00000027861.13 transcript:ENSMUST00000164123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casq2 description:calsequestrin 2 [Source:MGI Symbol;Acc:MGI:1309469] MKRIYLLMVGVYLLSLSGAEEGLNFPTYDGKDRVVSLSEKNLKQMLKRYDLLCLYYHEPV SSDKVSQKQFQLKEIVLELVAQVLEHKNIGFVMVDSRKEAKLAKRLDYKAFQEAAEHFQP YIKFFATFDKAVAKKLSLKMNEVGFYEPFMDEPNVIPNKPYTEEELVEFVKEHQRPTLRR LRPEDMFETWEDDLNGIHIVAFAEKSDPDGYEFLEILKQVARDNTDNPDLSILWIDPDDF PLLVAYWEKTFKIDLFKPQIGVVNVTDADSIWMEIPDDDDLPTAEELEDWIEDVLSGKIN TEDDDNEDEDDD >ENSMUSP00000046196.7 pep:known chromosome:GRCm38:2:152105524:152111376:1 gene:ENSMUSG00000032802.8 transcript:ENSMUST00000041500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srxn1 description:sulfiredoxin 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:104971] MVQPGLVKRPGGTGHQGRGMGLRAGGALRRAGAGPGAPEGQGPGGAQGGSIHSGCIATVH NVPIAVLIRPLPSVLDPAKVQSLVDTILADPDSVPPIDVLWIKGAQGGDYYYSFGGCHRY AAYQQLQRETIPAKLVRSTLSDLRMYLGASTPDLQ >ENSMUSP00000030339.6 pep:known chromosome:GRCm38:4:145267137:145315147:-1 gene:ENSMUSG00000028602.12 transcript:ENSMUST00000030339.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf8 description:tumor necrosis factor receptor superfamily, member 8 [Source:MGI Symbol;Acc:MGI:99908] MSALLTAAGLLFLGMLQAFPTDRPLKTTCAGDLSHYPGEAARNCCYQCPSGLSPTQPCPR GPAHCRKQCAPDYYVNEDGKCTACVTCLPGLVEKAPCSGNSPRICECQPGMHCCTPAVNS CARCKLHCSGEEVVKSPGTAKKDTICELPSSGSGPNCSNPGDRKTLTSHATPQAMPTLES PANDSARSLLPMRVTNLVQEDATELVKVPESSSSKAREPSPDPGNAEKNMTLELPSPGTL PDISTSENSKEPASTASTLSLVVDAWTSSRMQPTSPLSTGTPFLDPGPVLFWVAMVVLLV GSGSFLLCYWKACRRRFQQKFHLDYLVQTFQPKMEQTDSCPTEKLTQPQRSGSVTDPSTG HKLSPVSPPPAVETCASVGATYLENLPLLDDSPAGNPFSPREPPEPRVSTEHTNNRIEKI YIMKADTVIVGSVKTEVPEGRAPAGSTESELEAELEVDHAPHYPEQETEPPLGSCTEVMF SVEEGGKEDHGPTTVSEK >ENSMUSP00000118714.1 pep:known chromosome:GRCm38:4:145267139:145315164:-1 gene:ENSMUSG00000028602.12 transcript:ENSMUST00000123027.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnfrsf8 description:tumor necrosis factor receptor superfamily, member 8 [Source:MGI Symbol;Acc:MGI:99908] MSALLTAAGLLFLGMLQAFPTDRPLKTTCAGDLSHYPGEAARNCCYQCPSGLSPTQPCPR GPAHCRKQCAPDYYVNEDGKCTACVTCLPGLVEKAPCSGNSPRICECQPGMHCCTPAVNS CARCKLHCSGEEVVKSPGTAKKDTICELPSSGSGPNCSNPGDRKTLTSHATPQAMPTLES PANDSARSLLPMRVTNLVQEDATELVKVPESSSSKAREPSPDPGNAEKNMTLELPSPGTL PDISTSENSKEPASTASTLSLVVDAWTSSRMQPTSPLSTGTPFLDPASWSQVGVARARAL LGGHGGATGWLRLLSPVLLEGL >ENSMUSP00000106967.1 pep:known chromosome:GRCm38:2:91625329:91636407:-1 gene:ENSMUSG00000027249.15 transcript:ENSMUST00000111335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F2 description:coagulation factor II [Source:MGI Symbol;Acc:MGI:88380] MSHVRGLGLPGCLALAALVSLVHSQHVFLAPQQALSLLQRVRRANSGFLEELRKGNLERE CVEEQCSYEEAFEALESPQDTDVFWAKYTVCDSVRKPRETFMDCLEGRCAMDLGVNYLGT VNVTHTGIQCQLWRSRYPHKPEINSTTHPGADLKENFCRNPDSSTTGPWCYTTDPTVRRE ECSVPVCQEGRTTVVMTPRSGGSKDNLSPPLGQCLTERGRLYQGNLAVTTLGSPCLPWNS LPAKTLSKYQDFDPEVKLVENFCRNPDWDEEGAWCYVAGQPGDFEYCNLNYCEEAVGEEN YDVDESIAGRTTDAEFHTFFNEKTFGLGEADCGLRPLFEKKSLKDTTEKELLDSYIDGRI VEGWDAEKGIAPWQVMLFRKSPQELLCGASLISDRWVLTAAHCILYPPWDKNFTENDLLV RIGKHSRTRYERNVEKISMLEKIYVHPRYNWRENLDRDIALLKLKKPVPFSDYIHPVCLP DKQTVTSLLRAGYKGRVTGWGNLRETWTTNINEIQPSVLQVVNLPIVERPVCKASTRIRI TDNMFCAGFKVNDTKRGDACEGDSGGPFVMKSPFNNRWYQMGIVSWGEGCDRKGKYGFYT HVFRLKRWIQKVIDQFG >ENSMUSP00000028681.8 pep:known chromosome:GRCm38:2:91625320:91636414:-1 gene:ENSMUSG00000027249.15 transcript:ENSMUST00000028681.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F2 description:coagulation factor II [Source:MGI Symbol;Acc:MGI:88380] MSHVRGLGLPGCLALAALVSLVHSQHVFLAPQQALSLLQRVRRANSGFLEELRKGNLERE CVEEQCSYEEAFEALESPQDTDVFWAKYTVCDSVRKPRETFMDCLEGRCAMDLGVNYLGT VNVTHTGIQCQLWRSRYPHKPEINSTTHPGADLKENFCRNPDSSTTGPWCYTTDPTVRRE ECSVPVCGQEGRTTVVMTPRSGGSKDNLSPPLGQCLTERGRLYQGNLAVTTLGSPCLPWN SLPAKTLSKYQDFDPEVKLVENFCRNPDWDEEGAWCYVAGQPGDFEYCNLNYCEEAVGEE NYDVDESIAGRTTDAEFHTFFNEKTFGLGEADCGLRPLFEKKSLKDTTEKELLDSYIDGR IVEGWDAEKGIAPWQVMLFRKSPQELLCGASLISDRWVLTAAHCILYPPWDKNFTENDLL VRIGKHSRTRYERNVEKISMLEKIYVHPRYNWRENLDRDIALLKLKKPVPFSDYIHPVCL PDKQTVTSLLRAGYKGRVTGWGNLRETWTTNINEIQPSVLQVVNLPIVERPVCKASTRIR ITDNMFCAGFKVNDTKRGDACEGDSGGPFVMKSPFNNRWYQMGIVSWGEGCDRKGKYGFY THVFRLKRWIQKVIDQFG >ENSMUSP00000048113.8 pep:known chromosome:GRCm38:16:91615726:91618994:-1 gene:ENSMUSG00000039763.9 transcript:ENSMUST00000049244.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc28 description:DnaJ heat shock protein family (Hsp40) member C28 [Source:MGI Symbol;Acc:MGI:2181053] MINTVCMKTTRILRLHLTNASLIPPGIKMLSDPRSRMISTHESQKLREYYRLLNLDEGCS VDDVRESFHKLARQYHPDSGSSDADSATFIKIEEAYRNVLSHAIKRMHAGQDKAEDAAED EEEGKFKYNTPQHRHYLSFEGVGFGTPSQREKQYRQFRADRATEQVMEYQRQKLQREFFA NSITVKDVRQSKQQKITQAIERLVEDLIQESMAKGDFDNLSGKGKPLKKFSGCSYIDPMT HNLNRILIDNGYQPEWILMQKEIKDTIEQLREALLMSRKKLGNPLSPTEQKQWAQVCEQF QEKIRKLNKRINDFNLIVPILTRQKVHFDAQKEIIRVQEMYGAFVEANEVTEENQTDVSQ GEESKTPRVKAGFLNWLNLWKSIKI >ENSMUSP00000120318.1 pep:known chromosome:GRCm38:16:91616837:91618991:-1 gene:ENSMUSG00000039763.9 transcript:ENSMUST00000143058.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc28 description:DnaJ heat shock protein family (Hsp40) member C28 [Source:MGI Symbol;Acc:MGI:2181053] MTSKTHLTFKGSVILQSLLRIPDTMINTVCMKTTRILRLHLTNASLIPPGIKMLSDPRSR MISTHESQKLREYYRLLNLDEGCSVDDVRESFHKLARQYHPDSGSSDADSATFIKIEEAY RNVLSHAIKRMHAGQDKAEDAAEDEEEGKFKYNTPQHRHYLSFEGVGFGTPSQREKQYRQ FRADRATEQVMEYQRQ >ENSMUSP00000118526.1 pep:known chromosome:GRCm38:16:91617102:91619026:-1 gene:ENSMUSG00000039763.9 transcript:ENSMUST00000133731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc28 description:DnaJ heat shock protein family (Hsp40) member C28 [Source:MGI Symbol;Acc:MGI:2181053] MINTVCMKTTRILRLHLTNASLIPPGIKMLSDPRSRMISTHESQKLREYYRLLNLDEGCS VDDVRESFHKLARQYHPDSGSSDA >ENSMUSP00000132288.1 pep:known chromosome:GRCm38:16:91614257:91618999:-1 gene:ENSMUSG00000039763.9 transcript:ENSMUST00000169982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc28 description:DnaJ heat shock protein family (Hsp40) member C28 [Source:MGI Symbol;Acc:MGI:2181053] MTSKTHLTFKGSVILQSLLRIPDTMINTVCMKTTRILRLHLTNASLIPPGIKMLSDPRSR MISTHESQKLREYYRLLNLDEGCSVDDVRESFHKLARQYHPDSGSSDADSATFIKIEEAY RNVLSHAIKRMHAGQDKAEDAAEDEEEGKFKYNTPQHRHYLSFEGVGFGTPSQREKQYRQ FRADRATEQVMEYQRQKLQREFFANSITVKDVRQSKQQKITQAIERLVEDLIQESMAKGD FDNLSGKGKPLKKFSGCSYIDPMTHNLNRILIDNGYQPEWILMQKEIKDTIEQLREALLM SRKKLGNPLSPTEQKQWAQVCEQFQEKIRKLNKRINDFNLIVPILTRQKVHFDAQKEIIR VQEMYGAFVEANEVTEENQTDVSQGEESKTPRVKAGFLNWLNLWKSIKI >ENSMUSP00000142934.1 pep:known chromosome:GRCm38:3:59113855:59130762:-1 gene:ENSMUSG00000036381.13 transcript:ENSMUST00000197841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry14 description:purinergic receptor P2Y, G-protein coupled, 14 [Source:MGI Symbol;Acc:MGI:2155705] MIFASRGEKMNNSTTTDPPNQPCSWNTLITKQIIPVLYGMVFITGLLLNGISGWIFFYVP SSKSFIIYLKNIVVADFLMGLTFPFKVLGDSGLGPWQVNVFVCRVSAVIFYVNMYVSIVF FGLISFDRYYKIVKPLLTSIVQSVNYSKLLSVLVWMLMLLLAVPNIILTNQGVKEVTKIQ CMELKNELGRKWHKASNYIFVSIFWVVFLLLIVFYTAITRKIFKSHLKSRKNSTSVKRKS SRNIFSIVLVFVVCFVPYHIARIPYTKSQTEGHYSCRTKETLLYAKEFTLLLSAANVCLD PIIYFFLCQPFREVLNKKLHMSLKVQNDLEVSKTKRENAIHESTDTL >ENSMUSP00000066669.6 pep:known chromosome:GRCm38:3:59114625:59130624:-1 gene:ENSMUSG00000036381.13 transcript:ENSMUST00000065220.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry14 description:purinergic receptor P2Y, G-protein coupled, 14 [Source:MGI Symbol;Acc:MGI:2155705] MNNSTTTDPPNQPCSWNTLITKQIIPVLYGMVFITGLLLNGISGWIFFYVPSSKSFIIYL KNIVVADFLMGLTFPFKVLGDSGLGPWQVNVFVCRVSAVIFYVNMYVSIVFFGLISFDRY YKIVKPLLTSIVQSVNYSKLLSVLVWMLMLLLAVPNIILTNQGVKEVTKIQCMELKNELG RKWHKASNYIFVSIFWVVFLLLIVFYTAITRKIFKSHLKSRKNSTSVKRKSSRNIFSIVL VFVVCFVPYHIARIPYTKSQTEGHYSCRTKETLLYAKEFTLLLSAANVCLDPIIYFFLCQ PFREVLNKKLHMSLKVQNDLEVSKTKRENAIHESTDTL >ENSMUSP00000142601.1 pep:known chromosome:GRCm38:3:59114625:59153492:-1 gene:ENSMUSG00000036381.13 transcript:ENSMUST00000196081.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry14 description:purinergic receptor P2Y, G-protein coupled, 14 [Source:MGI Symbol;Acc:MGI:2155705] MNNSTTTDPPNQPCSWNTLITKQIIPVLYGMVFITGLLLNGISGWIFFYVPSSKSFIIYL KNIVVADFLMGLTFPFKVLGDSGLGPWQVNVFVCRVSAVIFYVNMYVSIVFFGLISFDRY YKIVKPLLTSIVQSVNYSKLLSVLVWMLMLLLAVPNIILTNQGVKEVTKIQCMELKNELG RKWHKASNYIFVSIFWVVFLLLIVFYTAITRKIFKSHLKSRKNSTSVKRKSSRNIFSIVL VFVVCFVPYHIARIPYTKSQTEGHYSCRTKETLLYAKEFTLLLSAANVCLDPIIYFFLCQ PFREVLNKKLHMSLKVQNDLEVSKTKRENAIHESTDTL >ENSMUSP00000088642.4 pep:known chromosome:GRCm38:3:59114626:59130622:-1 gene:ENSMUSG00000036381.13 transcript:ENSMUST00000091112.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry14 description:purinergic receptor P2Y, G-protein coupled, 14 [Source:MGI Symbol;Acc:MGI:2155705] MNNSTTTDPPNQPCSWNTLITKQIIPVLYGMVFITGLLLNGISGWIFFYVPSSKSFIIYL KNIVVADFLMGLTFPFKVLGDSGLGPWQVNVFVCRVSAVIFYVNMYVSIVFFGLISFDRY YKIVKPLLTSIVQSVNYSKLLSVLVWMLMLLLAVPNIILTNQGVKEVTKIQCMELKNELG RKWHKASNYIFVSIFWVVFLLLIVFYTAITRKIFKSHLKSRKNSTSVKRKSSRNIFSIVL VFVVCFVPYHIARIPYTKSQTEGHYSCRTKETLLYAKEFTLLLSAANVCLDPIIYFFLCQ PFREVLNKKLHMSLKVQNDLEVSKTKRENAIHESTDTL >ENSMUSP00000143070.1 pep:known chromosome:GRCm38:3:59114932:59131327:-1 gene:ENSMUSG00000036381.13 transcript:ENSMUST00000197220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry14 description:purinergic receptor P2Y, G-protein coupled, 14 [Source:MGI Symbol;Acc:MGI:2155705] MNNSTTTDPPNQPCSWNTLITKQIIPVLYGMVFITGLLLNGISGWIFFYVPSSKSFIIYL KNIVVADFLMGLTFPFKVLGDSGLGPWQVNVFVCRVSAVIFYVNMYVSIVFFGLISFDRY YKIVKPLLTSIVQSVNYSKLLSVLVWMLMLLLAVPNIILTNQGVKEVTKIQCMELKNELG RKWHKASNYIFVSIFWVVFLLLIVFYTAITRKIFKSHLKSRKNSTSVKRKSSRNIFSIVL VFVVCFVPYHIARIPYTKSQTEGHYSCRTKETLLYAKEFTLLLSAANVCLDPIIYFFLCQ PFREVLNKKLHMSLKVQNDLEVSKTKRENAIHESTDTL >ENSMUSP00000142641.1 pep:known chromosome:GRCm38:3:59115707:59131213:-1 gene:ENSMUSG00000036381.13 transcript:ENSMUST00000200358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry14 description:purinergic receptor P2Y, G-protein coupled, 14 [Source:MGI Symbol;Acc:MGI:2155705] MNNSTTTDPPNQPCSWNTLITKQIIPVLYGMVFITGLLLNGISGWIFFYVPSSKSFIIYL KNIVVADFLMGLTFPFKVLGDSGLGPWQVNVFVCRVSAVIFYVNMYVSIV >ENSMUSP00000143499.1 pep:known chromosome:GRCm38:3:59116011:59131118:-1 gene:ENSMUSG00000036381.13 transcript:ENSMUST00000198838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry14 description:purinergic receptor P2Y, G-protein coupled, 14 [Source:MGI Symbol;Acc:MGI:2155705] MNNSTTTDP >ENSMUSP00000142718.1 pep:known chromosome:GRCm38:3:59116026:59132462:-1 gene:ENSMUSG00000036381.13 transcript:ENSMUST00000200673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry14 description:purinergic receptor P2Y, G-protein coupled, 14 [Source:MGI Symbol;Acc:MGI:2155705] MNNS >ENSMUSP00000041447.7 pep:known chromosome:GRCm38:11:95026258:95041354:-1 gene:ENSMUSG00000038967.13 transcript:ENSMUST00000038431.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdk2 description:pyruvate dehydrogenase kinase, isoenzyme 2 [Source:MGI Symbol;Acc:MGI:1343087] MRWVRALLKNASLAGAPKYIEHFSKFSPSPLSMKQFLDFGSSNACEKTSFTFLRQELPVR LANIMKEINLLPDRVLGTPSVQLVQSWYVQSLLDIMEFLDKDPEDHRTLSQFTDALVTIR NRHNDVVPTMAQGVLEYKDTYGDDPVSNQNIQYFLDRFYLSRISIRMLINQHTLIFDGST NPAHPKHIGSIDPNCSVSDVVKDAYDMAKLLCDKYYMASPDLEIQEVNATNANQPIHMVY VPSHLYHMLFELFKNAMRATVESHESSLTLPPIKIMVALGEEDLSIKMSDRGGGVPLRKI ERLFSYMYSTAPTPQPGTGGTPLAGFGYGLPISRLYAKYFQGDLQLFSMEGFGTDAVIYL KALSTDSVERLPVYNKSAWRHYQTIQEAGDWCVPSTEPKNTSTYRVS >ENSMUSP00000017290.4 pep:known chromosome:GRCm38:11:101488764:101551879:-1 gene:ENSMUSG00000017146.12 transcript:ENSMUST00000017290.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brca1 description:breast cancer 1, early onset [Source:MGI Symbol;Acc:MGI:104537] MDLSAVQIQEVQNVLHAMQKILECPICLELIKEPVSTKCDHIFCKFCMLKLLNQKKGPSQ CPLCKNEITKRSLQGSTRFSQLAEELLRIMAAFELDTGMQLTNGFSFSKKRNNSCERLNE EASIIQSVGYRNRVRRLPQVEPGNATLKDSLGVQLSNLGIVRSVKKNRQTQPRKKSVYIE LDSDSSEETVTKPGDCSVRDQELLQTAPQEAGDEGKLHSAEEAACEFSEGIRNIEHHQCS DDLNPTENHATERHPEKCQSISISNVCVEPCGTDAHASSLQPETSSLLLIEDRMNAEKAE FCNKSKQPGIAVSQQSRWAASKGTCNDRQVPSTGEKVGPNADSLSDREKWTHPQSLCPEN SGATTDVPWITLNSSVQKVNEWFSRTGEMLTSDSASARRHESNAEAAVVLEVSNEVDGGF SSSRKTDLVTPDPHHTLMCKSGRDFSKPVEDNISDKIFGKSYQRKGSRPHLNHVTEIIGT FITEPQITQEQPFTNKLKRKRSTSLQPEDFIKKADSAGVQRTPDNINQGTDLMEPNEQAV STTSNCQENKIAGSNLQKEKSAHPTESLRKEPASTAGAKSISNSVSDLEVELNVHSSKAP KKNRLRRKSSIRCALPLEPISRNPSPPTCAELQIDSCGSSEETKKNHSNQQPAGHLREPQ LIEDTEPAADAKKNEPNEHIRKRRASDAFPEEKLMNKAGLLTSCSSPRKSQGPVNPSPQR TGTEQLETRQMSDSAKELGDRVLGGEPSGKTTDRSEESTSVSLVSDTDYDTQNSVSVLDA HTVRYARTGSAQCMTQFVASENPKELVHGSNNAGSGTEGLKPPLRHALNLSQEKVEMEDS ELDTQYLQNTFQVSKRQSFALFSKPRSPQKDCAHSVPSKELSPKVTAKGKQKERQGQEEF EISHVQAVAATVGLPVPCQEGKLAADTMCDRGCRLCPSSHYRSGENGLSATGKSGISQNS HFKQSVSPIRSSIKTDNRKPLTEGRFERHTSSTEMAVGNENILQSTVHTVSLNNRGNACQ EAGSGSIHEVCSTGDSFPGQLGRNRGPKVNTVPPLDSMQPGVCQQSVPVSDKYLEIKKQE GEAVCADFSPCLFSDHLEQSMSGKVFQVCSETPDDLLDDVEIQGHTSFGEGDIMERSAVF NGSILRRESSRSPSPVTHASKSQSLHRASRKLESSEESDSTEDEDLPCFQHLLSRISNTP ELTRCSSAVTQRMPEKAEGTQAPWKGSSSDCNNEVIMIEASQEHQFSEDPRCSGSMFSSQ HSAAQGSTANANSQDSNFIPPSKQRSHQCGNEEAFLSDKELISDNEEMATCLEEDNDQEE DSIIPDSEASGYESETNLSEDCSQSDILTTQQRATMKYNLIKLQQEMAHLEAVLEQRGNQ PSGHSPSLLADPCALEDLPDLEPNMSGAAILTSKNINENPVSQNLKSACDDKFQLQHLEG PTSGDDESGMGRPSPFKSPLAGSRGSAHGCSRHLQKRNSPSQEELLQPAGSEASSEPHNS TGQSCLPRRELEGTPYLGSGISLFSSRDPESESPKEPAHIGTTPASTSALKIPQGQVAFR SAAAAGADKAVVGIVSKIKPELTSSEERADRDISMVVSGLTPKEVMTVQKFAEKYRLTLT DAITEETTHVIIKTDAEFVCERTLKYFLGIAGGKWIVSYSWVVRSIQERRLLNVHEFEVK GDVVTGRNHQGPRRSRESREKLFKGLQVYCCEPFTNMPKDELERMLQLCGASVVKELPSL THDTGAHLVVIVQPSAWTEDSNCPDIGQLCKARLVMWDWVLDSLSSYRCRDLDAYLVQNI TCDSSEPQDSND >ENSMUSP00000139737.1 pep:known chromosome:GRCm38:11:101524193:101524858:-1 gene:ENSMUSG00000017146.12 transcript:ENSMUST00000191198.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brca1 description:breast cancer 1, early onset [Source:MGI Symbol;Acc:MGI:104537] TEGLKPPLRHALNLSQEKVEMEDSELDTQYLQNTFQVSKRQSFALFSKPRSPQKDCAHSV PSKELSPKVTAKGKQKERQGQEEFEISHVQAVAATVGLPVPCQEVSPIRSSIKTDNRKPL TEGRFERHTSSTEMAVGNENILQSTVHTVSLNNRGNACQEAGSGSIHEVCSTGDSFP >ENSMUSP00000139813.1 pep:known chromosome:GRCm38:11:101525507:101551955:-1 gene:ENSMUSG00000017146.12 transcript:ENSMUST00000142086.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brca1 description:breast cancer 1, early onset [Source:MGI Symbol;Acc:MGI:104537] MDLSAVQIQEVQNVLHAMQKILECPICLELIKEPVSTKCDHIFCKFCMLKLLNQKKGPSQ CPLCKNEITKSYKWF >ENSMUSP00000139599.1 pep:known chromosome:GRCm38:11:101532083:101551582:-1 gene:ENSMUSG00000017146.12 transcript:ENSMUST00000190862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brca1 description:breast cancer 1, early onset [Source:MGI Symbol;Acc:MGI:104537] MLKLLNQKKGPSQCPLCKNEITKRSLQGSTRFSQLAEELLRIMAAFELDTGMQLTNGFSF SKKRNNSCERLNEEASIIQ >ENSMUSP00000145431.1 pep:known chromosome:GRCm38:6:115544664:115554272:1 gene:ENSMUSG00000042389.13 transcript:ENSMUST00000130425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen2 description:tRNA splicing endonuclease 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2141599] MAEAVFRAPKRKRRVYESYESPLPIPFGQDQGPRKEFRIFQAEMISNNVVVRGTEDMEQL YGKGYFGKGILSRSRPNFTIANPTLAARWKGVQTDMPIITSEK >ENSMUSP00000038211.7 pep:known chromosome:GRCm38:6:115544664:115578628:1 gene:ENSMUSG00000042389.13 transcript:ENSMUST00000040234.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen2 description:tRNA splicing endonuclease 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2141599] MAEAVFRAPKRKRRVYESYESPLPIPFGQDQGPRKEFRIFQAEMISNNVVVRGTEDMEQL YGKGYFGKGILSRSRPNFTIANPTLAARWKGVQTDMPIITSEKYQHRVEWARDFLRRQGH DESTVQKILTDYTEPLELPCREEKEETPQHEPLSSKADSSLEGRVEKDELPVTPGGAGQS DDLPGLGTHSDCLQEGPGHATLAAASPSSHNGHVAEDPEVLPQETLVPQGGLWPEASSQA AGEKRAAHEYVLIEEELCGAQEEEAAAASDEKLLKRKKLVCRRNPYRIFEYLQLSLEEAF FLAYALGCLSIYYEKEPLTIVKLWQAFTAVQPTFRTTYMAYHYFRSKGWVPKVGLKYGTD LLLYRKGPPFYHASYSVIIELLDDNYEGSLRRPFSWKSLAALSRVSGNVSKELMLCYLIK PSTMTAEDMETPECMKRIQVQEVILSRWVSSRERSDQDEL >ENSMUSP00000041872.5 pep:known chromosome:GRCm38:6:85213049:85333422:-1 gene:ENSMUSG00000033720.12 transcript:ENSMUST00000045846.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfxn5 description:sideroflexin 5 [Source:MGI Symbol;Acc:MGI:2137681] MADTATTASAASAAASAPNASTDAPPFQLGKPRFQQTSFYGRFRHFLDIIDPRTLFVTEK RLREAVQLLEDYKHGTLRPGVTNEQLWSAQKIKQAILHPDTNEKIFMPFRMSGYIPFGTP IVVGLLLPNQTLASTVFWQWLNQSHNACVNYANRNATKPSPASKFIQGYLGAVISAVSIA VGLNVLVQKANKFTPATRLLVQRFVPFPAVASANICNVVLMRYGELEEGIDVLDADGNLV GSSKIAARHALLETALTRVVLPMPILVLPPIVMSMLEKTALLQARPRLLLPVHSLVCLAA FGLALPLAISLFPQMSEIETSQLEPEIARATSSRTVVYNKGL >ENSMUSP00000054648.6 pep:known chromosome:GRCm38:6:85234380:85333419:-1 gene:ENSMUSG00000033720.12 transcript:ENSMUST00000059034.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfxn5 description:sideroflexin 5 [Source:MGI Symbol;Acc:MGI:2137681] MADTATTASAASAAASAPNASTDAPPFQLGKPRFQQTSFYGRFRHFLDIIDPRTLFVTEK RLREAVQLLEDYKHGTLRPGVTNEQLWSAQKIKQAILHPDTNEKIFMPFRMSGYIPFGTP IVVGLLLPNQTLASTVFWQWLNQSHNACVNYANRNATKPSPASKFIQGYLGAVISAVSIA VGLNVLVQKANKFTPATRLLVQRFVPFPAVASANICNVVLMRYGELEEGIDVLDADGNLV GSSKIAARHALLETALTRVVLPMPILVLPPIVMSMLEKQLAGAQCCRVTHGKAWLCPGGG TG >ENSMUSP00000109418.1 pep:known chromosome:GRCm38:6:85299083:85333422:-1 gene:ENSMUSG00000033720.12 transcript:ENSMUST00000113788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfxn5 description:sideroflexin 5 [Source:MGI Symbol;Acc:MGI:2137681] MADTATTASAASAAASAPNASTDAPPFQLGKPRFQQTSFYGRFRHFLDIIDPRTLFVTEK RLREAVQLLEDYKHGTLRPGVTNEQAYSWPPEEAPFNGLSAVTLYLHV >ENSMUSP00000109417.1 pep:known chromosome:GRCm38:6:85318872:85332750:-1 gene:ENSMUSG00000033720.12 transcript:ENSMUST00000113787.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfxn5 description:sideroflexin 5 [Source:MGI Symbol;Acc:MGI:2137681] MRPAGQLVRSAGMRLGQRGESPVRGNAVSFSEAELSSQGSQVRPSPTCAAPGRCAALAPI HTRLGSSGCTVTMINLTWGHANPRNPGITNSAMEPEVQVCEPGAWELSYYAADPQTASRA EVTLGLPGVGTADVLEKTLKEERKCYKDILLRSLQALLGHHRSSNTLCH >ENSMUSP00000056828.8 pep:known chromosome:GRCm38:8:45996902:45999867:-1 gene:ENSMUSG00000050914.15 transcript:ENSMUST00000053558.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd37 description:ankyrin repeat domain 37 [Source:MGI Symbol;Acc:MGI:3603344] MLLLSCNLEEDDLKSLLETGASVNAPPDPQEQSPAHLAAGGGLACFLLWQLQTGADLNQQ DVLGETPLHKAAKVGSLDCLSLLVASDVQIGVCNKNGQTAEDLAWSYGFPECARFLTMIK CMQTARSSGEQQERDPRAPVLRQKRSFRTVESGVMKRKC >ENSMUSP00000035194.2 pep:known chromosome:GRCm38:9:107254927:107289877:-1 gene:ENSMUSG00000032577.16 transcript:ENSMUST00000035194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk3 description:mitogen-activated protein kinase-activated protein kinase 3 [Source:MGI Symbol;Acc:MGI:2143163] MDGETAGEKGSLVPPPGALGGSALGGAPAPGVRREPKKYAVTDDYQLSKQVLGLGVNGKV LECYHRRSGQKCALKLLYDSPKARQEVDHHWQASGGPHIVRILDVYENMHHGKRCLLIVM ECMEGGELFSRIQERGDQAFTEREAAEIMRDIGTAIQFLHSRNIAHRDVKPENLLYTSKE KDAVLKLTDFGFAKETTQNALQTPCYTPYYVAPEVLGPEKYDKSCDMWSLGVIMYILLCG FPPFYSNTGQAISPGMKRRIRLGQYSFPNPEWLDVSEDAKQLIRLLLKTDPTERLTIMQF MNHPWINQSMVVPQTPLYTARVLQEDKDHWDDVKEEMTSALATMRVDYDQVKIKDLKTSN NRLLNKRRKKQAGSSSASQGCNNQ >ENSMUSP00000141342.1 pep:known chromosome:GRCm38:9:107256183:107289344:-1 gene:ENSMUSG00000032577.16 transcript:ENSMUST00000192054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk3 description:mitogen-activated protein kinase-activated protein kinase 3 [Source:MGI Symbol;Acc:MGI:2143163] MDGETAGEKGSLVPPPGALGGSALGGAPAPGVRREPKKYAVTDDYQLSKQVLGLGVNGKV LECYHRRSGQKCALKLLYDSPKARQEVDHHWQASGGPHIVRILDVYENMHHGKRCLLIVM ECMEGGELFSRIQERGDQAFTEREAAEIMRDIGTAIQFLHSRNIAHRDVKPENLLYTSKE KDAVLKLTDFGFAKETTQNALQTPCYTPYYVAPEVLGPEKYDKSCDMWSLGVIMYILNPW WSHRPHSTQPECSRKTKITGMTSRKR >ENSMUSP00000120848.1 pep:known chromosome:GRCm38:9:107263702:107280915:-1 gene:ENSMUSG00000032577.16 transcript:ENSMUST00000134682.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk3 description:mitogen-activated protein kinase-activated protein kinase 3 [Source:MGI Symbol;Acc:MGI:2143163] MSGSGSGLHDNRCLFSFEFGAVVFPLCIITETGKPPVGPVVLHPPVPSTLRLSADVGVCR PLRKSSCMTAPRPGRRWTTTGRRQAA >ENSMUSP00000031411.8 pep:known chromosome:GRCm38:5:121566027:121593824:-1 gene:ENSMUSG00000029455.14 transcript:ENSMUST00000031411.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh2 description:aldehyde dehydrogenase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:99600] MLRAALTTVRRGPRLSRLLSAAATSAVPAPNHQPEVFCNQIFINNEWHDAVSRKTFPTVN PSTGEVICQVAEGNKEDVDKAVKAARAAFQLGSPWRRMDASDRGRLLYRLADLIERDRTY LAALETLDNGKPYVISYLVDLDMVLKCLRYYAGWADKYHGKTIPIDGDFFSYTRHEPVGV CGQIIPWNFPLLMQAWKLGPALATGNVVVMKVAEQTPLTALYVANLIKEAGFPPGVVNIV PGFGPTAGAAIASHEGVDKVAFTGSTEVGHLIQVAAGSSNLKRVTLELGGKSPNIIMSDA DMDWAVEQAHFALFFNQGQCCCAGSRTFVQENVYDEFVERSVARAKSRVVGNPFDSRTEQ GPQVDETQFKKILGYIKSGQQEGAKLLCGGGAAADRGYFIQPTVFGDVKDGMTIAKEEIF GPVMQILKFKTIEEVVGRANDSKYGLAAAVFTKDLDKANYLSQALQAGTVWINCYDVFGA QSPFGGYKMSGSGRELGEYGLQAYTEVKTVTVKVPQKNS >ENSMUSP00000143261.1 pep:known chromosome:GRCm38:5:121567879:121579666:-1 gene:ENSMUSG00000029455.14 transcript:ENSMUST00000199369.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh2 description:aldehyde dehydrogenase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:99600] DRGRLLYRLADLIERDRTYLAALETLDNGKPYVISYLVDLDMVLKCLRYYAGWADKYHGK TIPIDGDFFSYTRHEPVGVCGQIIPWNFPLLMQAWKLGPALATGNVVVMKVAEQTPLTAL YVANLIKEIFGPVMQILKFKTIEEVVGRANDSKYGLAAAVFTKDLDKANYLSQALQAGTV WINCYDVFGAQSPFGGYKMSGSGRELGEYGLQAYTEVKTVTVKVPQKNS >ENSMUSP00000143034.1 pep:known chromosome:GRCm38:5:121567957:121572838:-1 gene:ENSMUSG00000029455.14 transcript:ENSMUST00000200541.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh2 description:aldehyde dehydrogenase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:99600] XHFALFFNQGQCCCAGSRTFVQENVYDEFVERSVARAKSRVDETQFKKILGYIKSGQQEG AKLLCGGGAAADRGYFIQPTVFGDVKDGMTIAKEEIFGPVMQILKFKTIEEVVGRANDSK YGLAAAVFTKDLDKANYLSQALQAGTVWINCYDVFGAQSPFGGYKMSGSGRELGEYGLQA YTEVKTVTVKVPQKNS >ENSMUSP00000142906.1 pep:known chromosome:GRCm38:5:121570316:121593580:-1 gene:ENSMUSG00000029455.14 transcript:ENSMUST00000129753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh2 description:aldehyde dehydrogenase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:99600] MLRAALTTVRRGPRLSRLLSAAATSAVPAPNHQPEVFCNQIFINNEWHDAVSRKTFPTVN PSTGEVICQVAEGNKEDVDKAVKAARAAFQLGSPWRRMDASDRGRLLYRLADLIERDRTY LAALETLDNGKPYVISYLVDLDMVLKCLRYYAGWADKYHGKTIPIDGDFFSYTRHEPVGV CGQIIPWNFPLLMQAWKLGPALATGNVVVMKVAEQTPLTALYVANLIKEAGFPPGVVNIV PGFGPTAGAAIASHEGVDKVAFTGSTEVGHLIQVAAGSSNLKRVTLELGGKSPNIIMSDA DMDWAVEQAHFALFFNQGQCCCAGSRTFVQENVYDEFVERSVARAKSRVVGNPFDSRTEQ GPQVDETQFKKILGYIKSGQQEGAKLLCGGGAAADRGYFIQPTVFGDVKDGMTIAKEEIF GPVMQILKFKTIEEVVGRANDSKYGLAAAVFTKDLDKANYLSQALQAGTVW >ENSMUSP00000123545.1 pep:known chromosome:GRCm38:5:121576457:121593582:-1 gene:ENSMUSG00000029455.14 transcript:ENSMUST00000152945.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh2 description:aldehyde dehydrogenase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:99600] MLRAALTTVRRGPRLSRLLSAAATSAVPAPNHQPEVFCNQIFINNEWHDAVSRKTFPTVN PSTGEVICQVAEGNKDVDKAVKAARAAFQLGSPWRRMDASDRGRLLYRLADLIERDRTYL AALETLDNGKPYVISYLVDLDMVLKCLRYYAGWADKYHGKTIPIDGDFFSYTRHEPVGVC GQIIP >ENSMUSP00000033930.4 pep:known chromosome:GRCm38:8:34807297:34819894:1 gene:ENSMUSG00000031530.6 transcript:ENSMUST00000033930.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp4 description:dual specificity phosphatase 4 [Source:MGI Symbol;Acc:MGI:2442191] MVTMEELREMDCSVLKRLMNRDENGGGGSAGGNGSGSHGALGLLSGGKCLLLDCRPFLAH SAGYIRGSVNVRCNTIVRRRAKGSVSLEQILPAEEEVRARLRSGLYSAVIVYDERSPRAE SLREDSTVSLVVQALRRNAERTDICLLKGGYERFSSEYPEFCSKTKALAAIPPPVPPSTN ESLDLGCSSCGTPLHDQGGPVEILPFLYLGSAYHAARRDMLDALGITALLNVSSDCPNHF EGHYQYKCIPVEDNHKADISSWFMEAIEYIDAVKDCRGRVLVHCQAGISRSATICLAYLM MKKRVRLEEAFEFVKQRRSIISPNFSFMGQLLQFESQVLTTSCAAEAASPSGPLRERGKA TPTPTSQFVFSFPVSVGVHAAPSNLPYLHSPITTSPSC >ENSMUSP00000048345.6 pep:known chromosome:GRCm38:5:121220219:121368577:1 gene:ENSMUSG00000042744.16 transcript:ENSMUST00000042614.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15800 description:predicted gene 15800 [Source:MGI Symbol;Acc:MGI:3647820] MGSSAAAAAAAAAAAAAADSAQWLSVKEETIFLHDGLIRVTDLAELPSEILGAPEAADTD LEILTFETKNPTELAERLRSVCGNQSNAYARLLEYRLNALRGLWNAQRQLALEEQHEREG SGDEETLALLKRQGLLQQPEQAPFTSRVGLLLVFPLIQSQSRTDPSLCNITAEVLLNCLR DCQPLSLTKEPADCLNGIETLLCSWLEETSDTGRHIPHKQKENAAAALVALACARGSLKT FVHTVHLLQRQTELGSLPVADVLYRLLLLEGGPGSPSCLLGGKHIVSWGYEDMLPAPDSN TGSSSENKDADLGRCLTADGHYLYTTNSAGRGVSKLGSGLHGTLRGFVYCRNEELEPGWV AYGNGHLLHRPVSFDNKPHSLFQVIDQNTLQVCQTVPMPASPLPVGSTMSTVHLSSDGTY FYWIWSPASLNEKTPKGHSVFMDIFELVVENGVFVANPLQERTILMRKEGESAKSINEML LSRLSRYRASPSATLAALTGSTISNTLKEDQAANTSCGLPLKMLRKTPMYTCGTYLVMLV PPPGGSGSSATRSLFGGTSGLSSLKILASSLVYNISDGQFASRADLIDAAGSSLGRGALV PGLGACYDTMNNMLWTCSNDYIDQWCNPGNQAFHYVCQRLGVSHIITEPKEEAISTNEVI NQLLHHVGAMCIHQLNLLATNPNLPITSVLGKQHPIEARHLSSICDIMEKAMVNGDTCIV RCILVVFQVVFKFFFSPQTERNRDIIRRSGLLLWQLLMAPKDQICPEIQKEVCLAISSGL NILYPGETEINNLLKLVLTEGERNSGLSQLRDVILTNLAEQLQNNRFGSDEDDHYRLNDE LLHYILKIVVRESCILITKCQTVSKDDFQKLLSTVPAASSCLRYLMAVQNHLLSNTVLIK PDENEDSDSSLQGETLKELKTSILALATQILTGCDEVLEMLQQVTTALINSDIPDREQRL KGLEQVTKATMLGHLLPVLLTSLMHPNLQTLTMADALMPQLVQLVLYTSQTALLLKTQCP AFAEMSCSPCGTSDQKCRLFPDERMLEEKEEPGFLTGLKIPAPWAAGKTVETVHPVRDNY KFKETVHIPGARCLYLRFDTRCSSQYDYDKLVIYAGPNTNSRKVAEYGGNTLGYGSRSVL GTGWPKDLVKVEGDTVTFSFEMRSGREHNTPDKAMWGFACTVRAQESSEDVSGGLPFLVD LALGLSVLACSMLRILYNGPEITKEEEACQDLLRSKLLQRCQWQVEANGVISPALTPSPS PLPLTIEEDREFTYPADVLVPPVGNYFDLPRIRLPPGIMIKLREISGRARPQFRPSIKEV IQPDVMEEMVVSCVIKHLNLVDALQSLINFQYQEEHAEEYDLLCKIMGETFKKLNAMERQ LQSVAELEQKWQSEAEDAMQGKLENNMPFFYDYHFNENKMKELELLCSMKEVSFDGNDLE NMVLSLREKFLQEVNSLAQKPSHPLAKTKTLVRSLMNRAELLLHVTIAAQAGLTRSISGT PAETPACKSASETKVASHAVRQPVFLRSMSAPSDLEMIGNEDLEFTRANQRRRHVTSHRS SSFTLLQSLAIEDSRDKPTYSVLLGQLFAFIGTNPDQAVSSSSFLLAAQTRWRRGNTRKQ ALVHMRELLTAAVRVGGVTHLVGPVTMVLQGGPRIEELTCGGMVEQVQEAFGETMTSVVS LCARYPIACANSIGLLCTIPYTRSEEKCLVRSGLVQLMDRLCSLSSQTESSSSEKQTKKQ KVATMAWAAFQVLANRCVEWEKEEGGSTEAVHSGLARQVSSLLTNHLARATECCGNQAAG NDALQDVLSLLNDLSRSHIGKAILSQPACVSKLLSLLLDQRPSPKLVLIILQLCRAALPL MSVEDCGNVELPPWSYSVPSLNSEQEDPSDPASKIASLLLAKLADYVVPGCQTVLSPTAS EPDTTLTKTSPKNSLKGDKDPGEESEAVDGKLSIFIHKREDQSSHEVLQPLLSSSEGRPF RLGTGANMEKVVKMDRDMTKGGCCEVITEEASAALRKATKWAQSGLIVSVGPPVESINPE TVSGLSTGDKKKTAQTSICRERNSELARTDPVRPFISGHVANSMAAEVIALLHSLLMAPE SNAAQIWTTTAEKVLSRALMYIPQLGKYAESILENGSSSGRKLAKLQRIARQAVAALCAL GGFKETIKIGSEVQVLGRGISGSIGVVASINEQEGIATVRFPPVDCRRTSQAADTLTIPL SRLCVPRSEALPLHKLSITEKVVQAVQSMLLPQEGSLSIHTSLPATGDGSAPVMAVVRLL AEIRTRACLVMAQLLEDSLFCEEFIQQCPAAVEVLNLVAQECSAGERLAVVEMQCERLRM LYRDCARPPPPPLQADRRQPKEITWSPSRVFPPVRACMFSSHLTSVTFLADPSAGGGLPR GTFIYATSPLPVQAPSFYWEIEIVSYGDTDDDTGPIVSFGFATEAEKRDGAWTNPVGTCL FHNNGRAVHYNGSSLLQWKSVRLDVTLSPGDVAGIGWERTEGTPPPPGQPAKGRVYFTYC GQRLTPYLEDVSGGMWPVVHIQKKNTKTRANFGSRPFAYAEGQAHRNAADLCTDLAEEIS ANFEALPFAMASDSDNDAGTSIASDPGTHGPPCRIAAVATAQQQYDSDTSCHYKVELSYE NFITSGPDPHPPPIADDESDDDDDDDIPQEDHYALLVKAWETKVFPTIRRRFRNEAERKS GLDQIKGALQLGMVDIARQTVEFLYEENGGIPRDLYLPTIEDIKDEANKFTIDKVRKGLT VVTRSPDSSNVASSTVGTALPKFAIRGMLKTFSLHGVVLDVDSVNELVQVETYLRSEGVL VRYWYPIDMLERPPAGYRRTATNGLVTLDNTNLQIHRELLRCEAALARLYCRMALLNIFA PKLPHLFTRLFHIPAIRDITLEHLQLLSNQLLAPPLPDGTISSSSILLAQSLQHCIHSQS CSATDLFYQGSSQTLREWLSVAITRTLHQGEDSLLELTKQICAFLQTAPEQFPSEEFPVS ESKVSMDVNFPGAAFVVVSCKESQSGFRKDSSLYKAPWARVLVYGLGHKVKRTGQLNLIE AACYPRDASPANTGLAPPPTADQYPSVVLSTDKVHIKLGVSPPPGAVLVLHSLPLEFPLA MAFAEQLLSWKSEDSDGKSEDEPDSIPASVLLHVVELLGNFLWTTDMAACVKELVFHLLA ELLRTVHTLEQRKHPAGLSSSIALQLNPCLAMLMALQSELHKLYDEETQSWVSSSACGGS GAAAVGDQGRFSTYFHALMEGCLAVAEVTLPTNISVTASGVTSTTTPNLSDSSSSSSSSP GQTPQSPSLLSKRKKVKMKREKASSSNKRQSSRASDADSTVLSIGGSKPEDMLWFHRALT LLIILRHLTKKDPQGLGVTSDAIADACQALVGPTAHSRLLVISGIPTHLDEAVVRGAIRK ACNAHGGVFKDEIYIPLQDEDPKKPKDKAEGSDSKVESEKLLGFPSVDSLEGSTSSSLAP AMSISASASTSQASVCSSQCVSQTASDLSVDPLPSGLELPVPPVLLEPHVVSSQESLDIS LCSTGSLGSLGSLGEPLDNPETASVSDVGSMYTVTSLDTQPLATRPIKGFAVVEIRSRAK IEKIRASLFNNNDLIGLSSLDGEDELMEMSTEEILTVSVVNQSLFDTQGSPGLEDYFSDK SIKGEKLVPGAREVLTEIFKSCAHSEQTLSLTPAKPIRVSDIYLSKEQINSQTPGNLLHL FFTNVRPPKKVLEDQLTQILRKYGVPKPKLDKSKYSKAGKEQHPVKVVSTKRPVTKPPAK DKAVLSSLSRTALSEKKPTVKPKSPEKSKPDEKDPEKSPTKKQEVPEEKYLTLEGFHKFV IDRAKQDIRSVWRAILSCGYDLHFERCACIDVRHAQKASRKWTLEMDVALVQYINRLCRH LAITPARLHPHEVYLDPADAADPRMACLSNVPIESLRLRFALLQSLNTTLETFFLPLVEL RQTPMYAHSIAALLKEAKGLIFYDTKVTVMNRVLNATVQRTADHAAPEITLDPLEIVGGE IRASENSYFCQAARQLASVPSSQLCVKLASGGDPTYAFNIRFTGEEVHGTSGSFRHFLWQ VCKELQSSSLSLLLLCPSSAVNKNKGKYILTPSPITYGEEQLLHFLGQLLGIAIRADVPL PLDLLPSFWKTLVGEPLDPDQDLQEADILTYNYVKKFESINDESELEALCAEIASQHLAT ESPEGPKPCCRFTYLTMTGEEVELCSRGRHIPVAWENKDIYAAAIRSLRLRELQNMECVT AVRAGLGSIIPLQLLTTLSPLEMELRTCGLPYINLEFLKAHTMYQVGLMETDQHIELFWG ALEMFTQEELCKFIKFACNQERIPFTCPCKDGGPDTAHVPPYPMKIAPPDGTAGPPDSRY IRVETCMFMIKLPQYSSLETMLEKLRCAIHYREDPLSG >ENSMUSP00000144313.1 pep:known chromosome:GRCm38:5:121286230:121304451:1 gene:ENSMUSG00000042744.16 transcript:ENSMUST00000201669.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15800 description:predicted gene 15800 [Source:MGI Symbol;Acc:MGI:3647820] XEAISTNEVINQLLHHVGAMCIHQLNLLATNPNLPITSVLGKQHPIEARHLSSICDIMEK AMVNGDTCIVRCILVVFQVVFKFFFSPQTERNRDIIRRSGLLLWQLLMAPKDQICPEIQK EVCLAISSGLNILYPGETEINNLLKLVLTEGERNSGLSQLRDVILTNLAEQLQNNRFGSD EDDHYRLNDELLHYILKIVVRESCILITKCQTVSKDDFQKLLSTVPAASSCLRYLMAVQN HLLSNTVLIKPDENEDSDSSLQGETLKVQELKTSILALATQILTGCDEVLEMLQQVTTAL INSDIPDREQRLKGLEQVTKATMLGHLLPVLLTSLMHPNLQTLTMADALMPQLVQLVLYT SQTALLLKTQCPAFAEMSCSPCGTSDQKCRLFPDERMLEEKEEPGFLTGLKIPAPWAAGK TVETVHPVRDNYKFKETVHIPGARCLYLRFDTRCSSQYDYDKSNGLFSVLKLVIYAGPNT NSRKVAEYGGNTLGYGSRSVLGTGWPKDLVKVEGDTVTFSFEMRSGREHNTPDKAMWGFA CTVRAQESSEDVSGGLPFLVDLALGLSVLACSMLRILYNGPEITKEEEACQDLLRSKLLQ RCQWQVEANGVISPALTPSPSPLPLTIEEDREFTYPADVLVPPVGNYFDLPRIRLPPGIM IKLREISGRARPQFRPSI >ENSMUSP00000114491.1 pep:known chromosome:GRCm38:5:121291273:121299449:1 gene:ENSMUSG00000042744.16 transcript:ENSMUST00000148368.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15800 description:predicted gene 15800 [Source:MGI Symbol;Acc:MGI:3647820] XGSDEDDHYRLNDELLHYILKIVVRESCILITKCQTVSKDDFQKLLSTVPAASSCLRYLM AVQNHLLSNTVLIKPDENEDSDSSLQGETLKVQELKTSILALATQILTGCDEVLEMLQQV TTALINSDIPDREQRLKGLEQVTKATMLGHLLPVLLTSLMHPNLQTLTMADALMPQLVQL VLYTSQTALLLKTQCPAFAEMSCSPCGTSDQKCRLFPDERM >ENSMUSP00000098332.2 pep:known chromosome:GRCm38:5:121305730:121324823:1 gene:ENSMUSG00000042744.16 transcript:ENSMUST00000100769.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15800 description:predicted gene 15800 [Source:MGI Symbol;Acc:MGI:3647820] LNLVDALQSLINFQYQEEHAEEYDLLCKIMGETFKKLNAMERQLQSVAELEQKWQSEAED AMQGKLENNMPFFYDYHFNENKMKELELLCSMKEVSFDGNDLENMVLSLREKFLQEVNSL AQKPSHPLAKTKTLVRSLMNRAELLLHVTIAAQAGLTRSISGTPAETPACKSASETKVAS HAVRQPVFLRSMSAPSDLEMIGNEDLEFTRANQRRRHVTSHRSSSFTLLQSLAIEDSRDK PTYSVLLGQLFAFIGTNPDQAVSSSSFLLAAQTRWRRGNTRKQALVHMRELLTAAVRVGG VTHLVGPVTMVLQGGPRIEELTCGGMVEQVQEAFGETMTSVVSLCARYPIACANSIGLLC TIPYTRSEEKCLVRSGLVQLMDRLCSLSSQTESSSSEKQTKKQKVATMAWAAFQVLANRC VEWEKEEGGSTEAVHSGLARQVSSLLTNHLARATECCGNQAAGNDALQDVLSLLNDLSRS HIGKAILSQPACVSKLLSLLLDQRPSPKLVLIILQLCRAALPLMSVEDCGNVELPPWSYS VPSLNSEQEDPSDPASKIASLLLAKLADYVVPGCQTVLSPTASEPDTTLTKTSPKNSLKG DKDPGEESEAVDGKLSIFIHKREDQSSHEVLQPLLSSSEGRPFRLGTGANMEKVVKMDRD MTKGGCCEVITEEASAALRKATKWAQSGLIVSVGPPVESINPETVSGLSTGDKKKTAQTS ICRERNSELARTDPVRPFISGHVANSMAAEVIALLHSLLMAPESNAAQIWTTTAEKVLSR ALMYIPQLGKYAESILENGSSSGRKLAKLQRIARQAVAALCALGGFKETIKIGSEVQVLG RGISGSIGVVASINEQEGIATVRFPPVDCRRTSQAADTLTIPLSRLCVPRSEALPLHKLS ITEKVVQAVQSMLLPQEGSLSIHTSLPATGDGSAPVMAVVRLLAEIRTRACLVMAQLLED SLFCEEFIQQCPAAVEVLNLVAQECSAGSEFKSQQPHGGSQPSVMRSDALFWCV >ENSMUSP00000029178.6 pep:known chromosome:GRCm38:2:157737401:157891614:1 gene:ENSMUSG00000027649.15 transcript:ENSMUST00000029178.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnbl1 description:catenin, beta like 1 [Source:MGI Symbol;Acc:MGI:1913892] MDVGELLSYQPNRGTKRPRDDEEEELKTRRKQTGPRERGRYREEEATAAEDTADDKKRLL QIIDRDGEEEEEEEEPLDESSVKKMILTFEKRSYKNQELRIKFPDNPEKFMESELDLNDI IQEMHVVATMPDLYHLLVELSAVQSLLGLLGHDNTDVSIAVVDLLQELTDIDTLHESEEG AEVLIDALVDGQVAALLVQNLERLDESVREEADGVHNTLAIVENMAEFRPEMCTEAAQQG LLQWLLKRLKAKMPFDANKLYCSEVLAILLQDNDENRELLGELDGIDVLLQQLSVFKRHN PSTAEEQEMMENLFDALCSCLMLSSNRERFLKGEGLQLMNLMLREKKVSRSSALKVLDHA MIGPEGTDNCHKFVDILGLRTIFPLFMKSPRKIKKVGTTEKEHEEHVCSILASLLRNLRG QQRTRLLNKFTENDSEKVDRLMELHFKYLSAMQVADKKIEGEKHDIVRRGEIIDNDMEDE FYLRRLDAGLFILQHICYIMAEICNANVPQIRQRVHQILNMRGSSIKIVRHIIKEYAENI GDGRSPEFRETEQKRILALLENF >ENSMUSP00000102987.1 pep:known chromosome:GRCm38:11:100715009:100738215:-1 gene:ENSMUSG00000019173.11 transcript:ENSMUST00000107364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab5c description:RAB5C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105306] MAGRGGAARPNGPAAGNKICQFKLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLT QTVCLDDTTVKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNTDTFARAKNWVKELQ RQASPNIVIALAGNKADLASKRAVEFQEAQAYADDNSLLFMETSAKTAMNVNEIFMAIAK KLPKNEPQNAAGAPGRTRGVDLQESNPASRSQCCSN >ENSMUSP00000019317.5 pep:known chromosome:GRCm38:11:100715011:100738215:-1 gene:ENSMUSG00000019173.11 transcript:ENSMUST00000019317.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab5c description:RAB5C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105306] MAGRGGAARPNGPAAGNKICQFKLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLT QTVCLDDTTVKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNTDTFARAKNWVKELQ RQASPNIVIALAGNKADLASKRAVEFQPCHLPCSPPTLFLTCLSQEAQAYADDNSLLFME TSAKTAMNVNEIFMAIAKKLPKNEPQNAAGAPGRTRGVDLQESNPASRSQCCSN >ENSMUSP00000123581.1 pep:known chromosome:GRCm38:11:100718500:100730215:-1 gene:ENSMUSG00000019173.11 transcript:ENSMUST00000155843.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab5c description:RAB5C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105306] MAGRGGAARPNGPAAGNKICQFKLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLT QTVCLDDTTVKFEIWDTAGQERY >ENSMUSP00000117656.1 pep:known chromosome:GRCm38:11:100719977:100738176:-1 gene:ENSMUSG00000019173.11 transcript:ENSMUST00000155500.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab5c description:RAB5C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105306] MAGRGGAARPNGPAAGNKICQFKLVLLGESAVGKS >ENSMUSP00000034051.6 pep:known chromosome:GRCm38:8:45975528:45996958:1 gene:ENSMUSG00000031634.12 transcript:ENSMUST00000034051.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufsp2 description:UFM1-specific peptidase 2 [Source:MGI Symbol;Acc:MGI:1913679] MDILFRIRGGFDLAFQLAPPKEMFIKNALRQVLSDLTTKLSSDALVLRVCNSSVYLWPNS DANTGELTDSSACKNVVRFIQFDQEEDTKRKFIKKKDKKLTDTQQIVNIDLMLEISTPLG AVTPILERENEEHHYINMSLPIDAVVSVAPEESWGKVRKLLVDAILRQLVDVEKCILRYM KGTSIVVPEPLHFQLPGKKNLVTVLYPSGIPDDQLQAYRKELHDLFNLPHDRPYFKRINA YHFPDELYKDGYIRNPHTYLSPPNIEGSMICVVQGTYAYHHYMQDRIDDNGWGCAYRSLQ TICSWFRHQGYTERSIPTHREIQQALVDAGDKPATFVGSRQWIGSIEVQMVLNQLIGVTS KILFVNQGSEMASQGRELANHFQNVGTPVMVGGGVLAHTILGVAWNETTGQIKFLILDPH YTGAEDLQVMLEKGWCGWKSPDFWNKDAYYNLCLPQRPNAL >ENSMUSP00000132922.1 pep:known chromosome:GRCm38:17:26561489:26656931:1 gene:ENSMUSG00000001576.14 transcript:ENSMUST00000167662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ergic1 description:endoplasmic reticulum-golgi intermediate compartment (ERGIC) 1 [Source:MGI Symbol;Acc:MGI:1914708] MPFDFRRFDIYRKVPKDLTQPTYTGAIISICCCLFILFLFLSELTGFITTEVVNELYVDD PDKDSGGKIDVSLNISLPNLHCELVGLDIQDEMGRHEVGHIDNSMKIPLNNGAGCRFEGQ FSINKVPGNFHVSTHSATAQPQNPDMTHTIHKLSFGDTLQVQNVHGAFNALGGADRLTSN PLASHDYILKIVPTVYEDKSGKQRYSYQYTVANKEYVAYSHTGRIIPAIWFRYDLSPITV KYTERRQPLYRFITTICAIIGGTFTVAGILDSCIFTASEAWKKIQLGKIH >ENSMUSP00000126282.1 pep:known chromosome:GRCm38:17:26614397:26634439:1 gene:ENSMUSG00000001576.14 transcript:ENSMUST00000170640.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ergic1 description:endoplasmic reticulum-golgi intermediate compartment (ERGIC) 1 [Source:MGI Symbol;Acc:MGI:1914708] SELTGFITTEVVNELYVDDPDKDSGGKIDVSLNISLPNLHCELVGLDIQDEMGRHEVGHI DNSMKIPLNNGAGCRFEGQFSINKQAVATGHWGLQLR >ENSMUSP00000127158.1 pep:known chromosome:GRCm38:17:26638747:26655352:1 gene:ENSMUSG00000001576.14 transcript:ENSMUST00000167070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ergic1 description:endoplasmic reticulum-golgi intermediate compartment (ERGIC) 1 [Source:MGI Symbol;Acc:MGI:1914708] XASHDYILKIVPTVYEDKSGKQRYSYQYTVANKEYVAYSHTGRIIPAIWFRYDLSPITVK YTERRQPLYRFITTKVHLGTKGADTGDNRFYCYPVHPTSGSL >ENSMUSP00000129378.2 pep:known chromosome:GRCm38:7:48830398:48843651:-1 gene:ENSMUSG00000030470.15 transcript:ENSMUST00000167786.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrp3 description:cysteine and glycine-rich protein 3 [Source:MGI Symbol;Acc:MGI:1330824] MPNWGGGAKCGACEKTVYHAEEIQCNGRSFHKTCFHCMACRKALDSTTVAAHESEIYCKV CYGRRYGPKGIGFGQGAGCLSTDTGEHLGLQFQQSPKPARAATTSNPSKFSAKFGESEKC PRCGKSVYAAEKVMGGGKPWHKTCFRCAICGKSLESTNVTDKDGELYCKVCYAKNFGPTG IGFGGLTQQVEKKE >ENSMUSP00000032658.6 pep:known chromosome:GRCm38:7:48830400:48848033:-1 gene:ENSMUSG00000030470.15 transcript:ENSMUST00000032658.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrp3 description:cysteine and glycine-rich protein 3 [Source:MGI Symbol;Acc:MGI:1330824] MPNWGGGAKCGACEKTVYHAEEIQCNGRSFHKTCFHCMACRKALDSTTVAAHESEIYCKV CYGRRYGPKGIGFGQGAGCLSTDTGEHLGLQFQQSPKPARAATTSNPSKFSAKFGESEKC PRCGKSVYAAEKVMGGGKPWHKTCFRCAICGKSLESTNVTDKDGELYCKVCYAKNFGPTG IGFGGLTQQVEKKE >ENSMUSP00000146360.1 pep:known chromosome:GRCm38:7:48835551:48845219:-1 gene:ENSMUSG00000030470.15 transcript:ENSMUST00000208050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrp3 description:cysteine and glycine-rich protein 3 [Source:MGI Symbol;Acc:MGI:1330824] MPNWGGGAKCGACEKTVYHAEEIQCNGRSFHKTCFHCMACRKALDSTTVAAHESEIYCKV CYGRR >ENSMUSP00000129397.1 pep:known chromosome:GRCm38:5:72695978:72736602:-1 gene:ENSMUSG00000054892.14 transcript:ENSMUST00000169534.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txk description:TXK tyrosine kinase [Source:MGI Symbol;Acc:MGI:102960] MISFSDSSFQSVLCCCCCRCSVQKRQVRTQISLSREEELSEKHSQRQRPWFAKLMGKTQS NRGGVQPSKRKPLPPLPQEPPDERIQVKALYDFLPREPGNLALKRAEEYLILERCDPHWW KARDRFGNEGLIPSNYVTENRLANLEIYEWYHKNITRNQTERLLRQEAKEGAFIVRDSRH LGSYTISVFTRARRHTQSSIKHYQIKKNDSGQWYITERHLFPSVPELIQYHQYNAAGLIS RLRYPIGLLGSCLPATSGFSYEKWEIDPSELAFVKEIGSGQFGVVHLGEWRAHIPVAIKA INEGSMSEEDFIEEAKVMMKLSHSRLVQLYGVCIQQKPLYIVTEFMENGCLLDYLRERKG QLQKALLLSMCQDICEGMAYLERSCYIHRDLAARNCLVSSACVVKISDFGMARYVLDDEY ISSSGAKFPVKWCPPEVFHFNKYSSKSDVWSFGVLMWEVFTEGKMPFENKSNLQVVEAIS QGFRLYRPHLAPMTIYRVMYSCWHESPKGRPTFAELLQVLTEIAETW >ENSMUSP00000143476.1 pep:known chromosome:GRCm38:5:72695978:72752773:-1 gene:ENSMUSG00000054892.14 transcript:ENSMUST00000197313.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txk description:TXK tyrosine kinase [Source:MGI Symbol;Acc:MGI:102960] MILSSYSSFQSVLCCCCCRCSVQKRQVRTQISLSREEELSEKHSQRQRPWFAKLMGKTQS NRGGVQPSKRKPLPPLPQEPPDERIQVKALYDFLPREPGNLALKRAEEYLILERCDPHWW KARDRFGWYHKNITRNQTERLLRQEAKEGAFIVRDSRHLGSYTISVFTRARRHTQSSIKH YQIKKNDSGQWYITERHLFPSVPELIQYHQYNAAGLISRLRYPIGLLGSCLPATSGFSYE KWEIDPSELAFVKEIGSGQFGVVHLGEWRAHIPVAIKAINEGSMSEEDFIEEAKVMMKLS HSRLVQLYGVCIQQKPLYIVTEFMENGCLLDYLRERKGQLQKALLLSMCQDICEGMAYLE RSCYIHRDLAARNCLVSSACVVKISDFGMARYVLDDEYISSSGAKFPVKWCPPEVFHFNK YSSKSDVWSFGVLMWEVFTEGKMPFENKSNLQVVEAISQGFRLYRPHLAPMTIYRVMYSC WHESPKGRPTFAELLQVLTEIAETW >ENSMUSP00000109234.3 pep:known chromosome:GRCm38:5:72695978:72752777:-1 gene:ENSMUSG00000054892.14 transcript:ENSMUST00000113604.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txk description:TXK tyrosine kinase [Source:MGI Symbol;Acc:MGI:102960] MILSSYSSFQSVLCCCCCRCSVQKRQVRTQISLSREEELSEKHSQRQRPWFAKLMGKTQS NRGGVQPSKRKPLPPLPQEPPDERIQVKALYDFLPREPGNLALKRAEEYLILERCDPHWW KARDRFGNEGLIPSNYVTENRLANLEIYEWYHKNITRNQTERLLRQEAKEGAFIVRDSRH LGSYTISVFTRARRHTQSSIKHYQIKKNDSGQWYITERHLFPSVPELIQYHQYNAAGLIS RLRYPIGLLGSCLPATSGFSYEKWEIDPSELAFVKEIGSGQFGVVHLGEWRAHIPVAIKA INEGSMSEEDFIEEAKVMMKLSHSRLVQLYGVCIQQKPLYIVTEFMENGCLLDYLRERKG QLQKALLLSMCQDICEGMAYLERSCYIHRDLAARNCLVSSACVVKISDFGMARYVLDDEY ISSSGAKFPVKWCPPEVFHFNKYSSKSDVWSFGVLMWEVFTEGKMPFENKSNLQVVEAIS QGFRLYRPHLAPMTIYRVMYSCWHESPKGRPTFAELLQVLTEIAETW >ENSMUSP00000143002.1 pep:known chromosome:GRCm38:5:72695980:72752773:-1 gene:ENSMUSG00000054892.14 transcript:ENSMUST00000198464.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txk description:TXK tyrosine kinase [Source:MGI Symbol;Acc:MGI:102960] MGKTQSNRGGVQPSKRKPLPPLPQEPPDERIQVKALYDFLPREPGNLALKRAEEYLILER CDPHWWKARDRFGNEGLIPSNYVTENRLANLEIYEWYHKNITRNQTERLLRQEAKEGAFI VRDSRHLGSYTISVFTRARRHTQSSIKHYQIKKNDSGQWYITERHLFPSVPELIQYHQYN AAGLISRLRYPIGLLGSCLPATSGFSYEKWEIDPSELAFVKEIGSGQFGVVHLGEWRAHI PVAIKAINEGSMSEEDFIEEAKVMMKLSHSRLVQLYGVCIQQKPLYIVTEFMENGCLLDY LRERKGQLQKALLLSMCQDICEGMAYLERSCYIHRDLAARNCLVSSACVVKISDFGMARY VLDDEYISSSGAKFPVKWCPPEVFHFNKYSSKSDVWSFGVLMWEVFTEGKMPFENKSNLQ VVEAISQGFRLYRPHLAPMTIYRVMYSCWHESPKGRPTFAELLQVLTEIAETW >ENSMUSP00000001147.4 pep:known chromosome:GRCm38:10:76708792:76726168:-1 gene:ENSMUSG00000001119.7 transcript:ENSMUST00000001147.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a1 description:collagen, type VI, alpha 1 [Source:MGI Symbol;Acc:MGI:88459] MRLAHALLPLLLQACWVATQDIQGSKAIAFQDCPVDLFFVLDTSESVALRLKPYGALVDK VKSFTKRFIDNLRDRYYRCDRNLVWNAGALHYSDEVEIIRGLTRMPSGRDELKASVDAVK YFGKGTYTDCAIKKGLEELLIGGSHLKENKYLIVVTDGHPLEGYKEPCGGLEDAVNEAKH LGIKVFSVAITPDHLEPRLSIIATDHTYRRNFTAADWGHSRDAEEVISQTIDTIVDMIKN NVEQVCCSFECQAARGPPGPRGDPGYEGERGKPGLPGEKGEAGDPGRPGDLGPVGYQGMK GEKGSRGEKGSRGPKGYKGEKGKRGIDGVDGMKGETGYPGLPGCKGSPGFDGIQGPPGPK GDAGAFGMKGEKGEAGADGEAGRPGNSGSPGDEGDPGEPGPPGEKGEAGDEGNAGPDGAP GERGGPGERGPRGTPGVRGPRGDPGEAGPQGDQGREGPVGIPGDSGEAGPIGPKGYRGDE GPPGPEGLRGAPGPVGPPGDPGLMGERGEDGPPGNGTEGFPGFPGYPGNRGPPGLNGTKG YPGLKGDEGEVGDPGEDNNDISPRGVKGAKGYRGPEGPQGPPGHVGPPGPDECEILDIIM KMCSCCECTCGPIDILFVLDSSESIGLQNFEIAKDFIIKVIDRLSKDELVKFEPGQSHAG VVQYSHNQMQEHVDMRSPNVRNAQDFKEAVKKLQWMAGGTFTGEALQYTRDRLLPPTQNN RIALVITDGRSDTQRDTTPLSVLCGADIQVVSVGIKDVFGFVAGSDQLNVISCQGLSQGR PGISLVKENYAELLDDGFLKNITAQICIDKKCPDYTCPITFSSPADITILLDSSASVGSH NFETTKVFAKRLAERFLSAGRADPSQDVRVAVVQYSGQGQQQPGRAALQFLQNYTVLASS VDSMDFINDATDVNDALSYVTRFYREASSGATKKRVLLFSDGNSQGATAEAIEKAVQEAQ RAGIEIFVVVVGPQVNEPHIRVLVTGKTAEYDVAFGERHLFRVPNYQALLRGVLYQTVSR KVALG >ENSMUSP00000140200.1 pep:known chromosome:GRCm38:7:44249594:44252315:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000188382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESSLHFEATELPFRPWTTMEE GIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQRRLLTAAPSELRLSLVSLLVRGMT VLEAVMEIQTIADVGLLWRQSHPGRTKLMLGPNPTRKDLLGWLLSHGVPREQVDRQPTKV LLELYIKEAKRSRGHPNYGLNEEQPPPPPYSDQACGEEQPVRHD >ENSMUSP00000139441.1 pep:known chromosome:GRCm38:7:44249965:44251631:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000186606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MEEGIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQRRLLTAAPSELRLSLVSLLVR GMTVLEAVMEIQTIADVGLLWRQSHPGRTKLMLGPNPTRKDLLGWLLSHGVPREQVDRQP TKVLLELYIKEAKRSRGHPNYGLNEEQPPPPPYSDQACGEEQPVRHD >ENSMUSP00000141077.1 pep:known chromosome:GRCm38:7:44248252:44251709:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000191537.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MEEGIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQRRLLTAAPSELRLSLVSLLVR GMTVLEAVMEIQTIADVGLLWRQSHPGRTKLMLGPNPTRKDLLGWLLSHGVPREQVDRQP TKVLLELYIKEAKRSRGHPNYGLNEEQPPPPPYSDQACGEEQPVRHD >ENSMUSP00000071265.4 pep:known chromosome:GRCm38:7:44247442:44252312:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000071296.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESSLHFEATELPFRPWTTMEE GIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQRRLLTAAPSELRLSLVSLLVRGMT VLEAVMEIQTIADVGLLWRQSHPGRTKLMLGPNPTRKDLLGWLLSHGVPREQVDRQPTKV LLELYIKEAKRSRGHPNYGLNEEQPPPPPYSDQACGEEQPVRHD >ENSMUSP00000116980.2 pep:known chromosome:GRCm38:7:44247231:44250801:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000145653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWIL >ENSMUSP00000140017.1 pep:known chromosome:GRCm38:7:44246799:44250955:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000187524.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQA >ENSMUSP00000103581.1 pep:known chromosome:GRCm38:7:44246791:44252313:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000107948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESSLHFEATELPFRPWTTMEE GIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQRRLLTAAPSELRLSLVSLLVRGMT VLEAVMEIQTIADVGLLWRQSHPGRTKLMLGPNPTRKDLLGWLLSHGVPREQVDRQPTKV LLELYIKEAKRSRGHPNYGLNEEQPPPPPYSDQACGEEQPVRHD >ENSMUSP00000103584.2 pep:known chromosome:GRCm38:7:44246789:44252312:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000107950.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESSLHFEATELPFRPWTTMEE GIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQRRLLTAAPSELRLSLVSLLVRGMT VLEAVMEIQTIADVGLLWRQSHPGRTKLMLGPNPTRKDLLGWLLSHGVPREQVDRQPTKV LLELYIKEAKRSRGHPNYGLNEEQPPPPPYSDQACGEEQPVRHD >ENSMUSP00000095894.2 pep:known chromosome:GRCm38:7:44246787:44252315:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000084937.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESSLHFEATELPFRPWTTMEE GIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQRRLLTAAPSELRLSLVSLLVRGMT VLEAVMEIQTIADVGLLWRQSHPGRTKLMLGPNPTRKDLLGWLLSHGVPREQVDRQPTKV LLELYIKEAKRSRGHPNYGLNEEQPPPPPYSDQACGEEQPVRHD >ENSMUSP00000103582.1 pep:known chromosome:GRCm38:7:44246787:44252312:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000107949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESSLHFEATELPFRPWTTMEE GIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQRRLLTAAPSELRLSLVSLLVRGMT VLEAVMEIQTIADVGLLWRQSHPGRTKLMLGPNPTRKDLLGWLLSHGVPREQVDRQPTKV LLELYIKEAKRSRGHPNYGLNEEQPPPPPYSDQACGEEQPVRHD >ENSMUSP00000103583.1 pep:known chromosome:GRCm38:7:44246722:44252319:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000055858.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESSLHFEATELPFRPWTTMEE GIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQRRLLTAAPSELRLSLVSLLVRGMT VLEAVMEIQTIADVGLLWRQSHPGRTKLMLGPNPTRKDLLGWLLSHGVPREQVDRQPTKV LLELYIKEAKRSRGHPNYGLNEEQPPPPPYSDQACGEEQPVRHD >ENSMUSP00000119445.2 pep:known chromosome:GRCm38:7:44246728:44251142:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000137702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESSLHFEATELPFRPWTTMEE GIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQR >ENSMUSP00000139694.1 pep:known chromosome:GRCm38:7:44246735:44252317:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000188111.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESSLHFEATELPFRPWTTMEE GIQLVRELGMIEWIYLDPEGPVDLAPEDVAFTQGLQRRLLTAAPSELRLSLVSLLVRGMT VLEAVMEIQTIADVGLLWRQSHPGRTKLMLGPNPTRKDLLGWLLSHGVPREQVDRQPTKV LLELYIKEAKRSRGHPNYGLNEEQPPPPPYSDQACGEEQPVRHD >ENSMUSP00000139913.1 pep:known chromosome:GRCm38:7:44246754:44250977:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000185481.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESS >ENSMUSP00000117318.2 pep:known chromosome:GRCm38:7:44246787:44251074:1 gene:ENSMUSG00000045411.16 transcript:ENSMUST00000146155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410002F23Rik description:RIKEN cDNA 2410002F23 gene [Source:MGI Symbol;Acc:MGI:1914226] MAERVLVPTQIGRGDRYYTYTELLAISRRFKQNPNELMVTWILRVYDQGGPALSLNSGEL GLLGDLTHDAIFNYRCKALRGAGCQTLLSWLLQAWRQRWESSLHFEATELPFRPWTTMEE GIQLVRELGMIEWI >ENSMUSP00000121042.1 pep:known chromosome:GRCm38:8:71469199:71476098:1 gene:ENSMUSG00000074247.10 transcript:ENSMUST00000124745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dda1 description:DET1 and DDB1 associated 1 [Source:MGI Symbol;Acc:MGI:1913748] MADFLKGLPVYNKSNFSRFHADSVCKASNRRPSVYLPTREYPSEQIIVTEKTNILLRYLH QQWDKKNAAKKRDQEQVEAEGESSAPPRKVARTDSPDMPEDT >ENSMUSP00000122367.1 pep:known chromosome:GRCm38:8:71469199:71476098:1 gene:ENSMUSG00000074247.10 transcript:ENSMUST00000138892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dda1 description:DET1 and DDB1 associated 1 [Source:MGI Symbol;Acc:MGI:1913748] MNAAKKRDQEQVEAEGESSAPPRKVARTDSPDMPEDT >ENSMUSP00000123665.1 pep:known chromosome:GRCm38:8:71469205:71476098:1 gene:ENSMUSG00000074247.10 transcript:ENSMUST00000147642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dda1 description:DET1 and DDB1 associated 1 [Source:MGI Symbol;Acc:MGI:1913748] MADFLKGLPVYNKSNFSRFHADSVCKASNRRPSVYLPTREYPSEQKCCQEERPRAGGGGG RELSATSQGGPDRQPRHAGGHLGPVHLPSSVSSVHSHPRV >ENSMUSP00000133920.1 pep:known chromosome:GRCm38:12:110279068:110281097:1 gene:ENSMUSG00000075707.5 transcript:ENSMUST00000173014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dio3 description:deiodinase, iodothyronine type III [Source:MGI Symbol;Acc:MGI:1306782] MPRQAASRLVVGEGEGPPGASGPAATMLRSLLLHSLRLCAQTASCLVLFPRFLGTAFMLW LLDFLCIRKHFLRRRHPDHPEPEVELNSEGEEMPPDDPPICVSDDNRLCTLASLKAVWHG QKLDFFKQAHEGGPAPNSEVVRPDGFQSQRILDYAQGTRPLVLNFGSCTUPPFMARMSAF QRLVTKYQRDVDFLIIYIEEAHPSDGWVTTDSPYVIPQHRSLEDRVSAARVLQQGAPGCA LVLDTMANSSSSAYGAYFERLYVIQSGTIMYQGGRGPDGYQVSELRTWLERYDEQLHGTR PHRF >ENSMUSP00000094864.4 pep:known chromosome:GRCm38:12:110279260:110280708:1 gene:ENSMUSG00000075707.5 transcript:ENSMUST00000097228.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dio3 description:deiodinase, iodothyronine type III [Source:MGI Symbol;Acc:MGI:1306782] MARMSAFQRLVTKYQRDVDFLIIYIEEAHPSDGWVTTDSPYVIPQHRSLEDRVSAARVLQ QGAPGCALVLDTMANSSSSAYGAYFERLYVIQSGTIMYQGGRGPDGYQVSELRTWLERYD EQLHGTRPHRF >ENSMUSP00000066235.3 pep:known chromosome:GRCm38:6:136829927:136835450:-1 gene:ENSMUSG00000043298.8 transcript:ENSMUST00000068293.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smco3 description:single-pass membrane protein with coiled-coil domains 3 [Source:MGI Symbol;Acc:MGI:2443451] MAQSDFLYPQNPRRRQEVNRLHQQLLDCLSDSFQVTNKLTGVLNTHLGCRLAFIEMKSDG TIKENCDIIIQAMTKIQKELQKIDEALKDQLEPTLYRKLQDIKERETEKIAIVQKVISVI LGEATSAASAVAVKLVGSSVTTGIISKLVSVLAHIGTSLLGSIGVAVLSLGIDMIIQAIL GAVERTQLQAAIKSYEKHLEEFKAASAKYHHAITEVATAVKRQLR >ENSMUSP00000144838.1 pep:known chromosome:GRCm38:6:136830361:136835379:-1 gene:ENSMUSG00000043298.8 transcript:ENSMUST00000203468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smco3 description:single-pass membrane protein with coiled-coil domains 3 [Source:MGI Symbol;Acc:MGI:2443451] MAQSDFLYPQNPRRRQEVNRLHQQLLDCLSDSFQVTNKLTGVLNTHLGCRLAFIEMKSDG TIKENCDIIIQAMTKIQKELQKIDEALKDQLEPTLYRKLQDIKERETEKIAIVQKVISVI LGEATSAASAVAVKLVGSSVTTGIISKLVSVLAHIGTSLLGSIGVAVLSLGIDMIIQAIL GAVERTQLQAAIKSYEKHLEEFKAASAKYHHAITEVATAVKRQLR >ENSMUSP00000107525.1 pep:known chromosome:GRCm38:6:136830421:136835452:-1 gene:ENSMUSG00000043298.8 transcript:ENSMUST00000111894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smco3 description:single-pass membrane protein with coiled-coil domains 3 [Source:MGI Symbol;Acc:MGI:2443451] MAQSDFLYPQNPRRRQEVNRLHQQLLDCLSDSFQVTNKLTGVLNTHLGCRLAFIEMKSDG TIKENCDIIIQAMTKIQKELQKIDEALKDQLEPTLYRKLQDIKERETEKIAIVQKVISVI LGEATSAASAVAVKLVGSSVTTGIISKLVSVLAHIGTSLLGSIGVAVLSLGIDMIIQAIL GAVERTQLQAAIKSYEKHLEEFKAASAKYHHAITEVATAVKRQLR >ENSMUSP00000108484.1 pep:known chromosome:GRCm38:X:143665745:143797792:1 gene:ENSMUSG00000031284.16 transcript:ENSMUST00000112863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak3 description:p21 protein (Cdc42/Rac)-activated kinase 3 [Source:MGI Symbol;Acc:MGI:1339656] MSDSLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTN KKKEKERPEISLPSDFEHTIHVGFDAVTGEFTPDLYGSQMCPGKLPEGIPEQWARLLQTS NITKLEQKKNPQAVLDVLKFYDSKETVNNQKYMSFTSGDKSAHGYIAAHQSNTKTASEPP LAPPVSEEEDEEEEEEEDDNEPPPVIAPRPEHTKSIYTRSVVESIASPAAPNKEDIPPSA ENANSTTLYRNTDRQRKKSKMTDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTA LDIATGQEVAIKQMNLQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYL AGGSLTDVVTETCMDEGQIAAVCRECLQALDFLHSNQVIHRDIKSDNILLGMDGSVKLTD FGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMVEGEPPYLNE NPLRALYLIATNGTPELQNPERLSAVFRDFLNRCLEMDVDRRGSAKELLQHPFLKLAKPL SSLTPLIIAAKEAIKNSSR >ENSMUSP00000108485.1 pep:known chromosome:GRCm38:X:143665745:143797792:1 gene:ENSMUSG00000031284.16 transcript:ENSMUST00000112864.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak3 description:p21 protein (Cdc42/Rac)-activated kinase 3 [Source:MGI Symbol;Acc:MGI:1339656] MSDSLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTN KKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGIPEQWARLLQTSNITKLEQKKNPQAVL DVLKFYDSKETVNNQKYMSFTSGDKSAHGYIAAHQSNTKTASEPPLAPPVSEEEDEEEEE EEDDNEPPPVIAPRPEHTKSIYTRSVVESIASPAAPNKEDIPPSAENANSTTLYRNTDRQ RKKSKMTDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTALDIATGQEVAIKQMN LQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYLAGGSLTDVVTETCMD EGQIAAVCRECLQALDFLHSNQVIHRDIKSDNILLGMDGSVKLTDFGFCAQITPEQSKRS TMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMVEGEPPYLNENPLRALYLIATNGTP ELQNPERLSAVFRDFLNRCLEMDVDRRGSAKELLQHPFLKLAKPLSSLTPLIIAAKEAIK NSSR >ENSMUSP00000114352.1 pep:known chromosome:GRCm38:X:143664373:143693384:1 gene:ENSMUSG00000031284.16 transcript:ENSMUST00000126592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak3 description:p21 protein (Cdc42/Rac)-activated kinase 3 [Source:MGI Symbol;Acc:MGI:1339656] MSDSLDNEEKPPAPPLRMNSNNR >ENSMUSP00000108486.1 pep:known chromosome:GRCm38:X:143664579:143797792:1 gene:ENSMUSG00000031284.16 transcript:ENSMUST00000112865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak3 description:p21 protein (Cdc42/Rac)-activated kinase 3 [Source:MGI Symbol;Acc:MGI:1339656] MSDSLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTN KKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGIPEQWARLLQTSNITKLEQKKNPQAVL DVLKFYDSKETVNNQKYMSFTSGDKSAHGYIAAHQSNTKTASEPPLAPPVSEEEDEEEEE EEDDNEPPPVIAPRPEHTKSIYTRSVVESIASPAAPNKEDIPPSAENANSTTLYRNTDRQ RKKSKMTDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTALDIATGQEVAIKQMN LQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYLAGGSLTDVVTETCMD EGQIAAVCRECLQALDFLHSNQVIHRDIKSDNILLGMDGSVKLTDFGFCAQITPEQSKRS TMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMVEGEPPYLNENPLRALYLIATNGTP ELQNPERLSAVFRDFLNRCLEMDVDRRGSAKELLQHPFLKLAKPLSSLTPLIIAAKEAIK NSSR >ENSMUSP00000108489.1 pep:known chromosome:GRCm38:X:143664290:143797792:1 gene:ENSMUSG00000031284.16 transcript:ENSMUST00000112868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak3 description:p21 protein (Cdc42/Rac)-activated kinase 3 [Source:MGI Symbol;Acc:MGI:1339656] MSDSLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTN KKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGIPEQWARLLQTSNITKLEQKKNPQAVL DVLKFYDSKETVNNQKYMSFTSGDKSAHGYIAAHQSNTKTASEPPLAPPVSEEEDEEEEE EEDDNEPPPVIAPRPEHTKSIYTRSVVESIASPAAPNKEDIPPSAENANSTTLYRNTDRQ RKKSKMTDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTALDIATGQEVAIKQMN LQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYLAGGSLTDVVTETCMD EGQIAAVCRECLQALDFLHSNQVIHRDIKSDNILLGMDGSVKLTDFGFCAQITPEQSKRS TMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMVEGEPPYLNENPLRALYLIATNGTP ELQNPERLSAVFRDFLNRCLEMDVDRRGSAKELLQHPFLKLAKPLSSLTPLIIAAKEAIK NSSR >ENSMUSP00000118716.1 pep:known chromosome:GRCm38:X:143664391:143716145:1 gene:ENSMUSG00000031284.16 transcript:ENSMUST00000156449.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak3 description:p21 protein (Cdc42/Rac)-activated kinase 3 [Source:MGI Symbol;Acc:MGI:1339656] MSDSLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTN KKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGIPEQWARLLQTSNITKLEQKKNPQAVL DVLKFYDSKETVNNQKYMSFTSGDKSAHGYIAAHQ >ENSMUSP00000118549.1 pep:known chromosome:GRCm38:X:143664526:143733143:1 gene:ENSMUSG00000031284.16 transcript:ENSMUST00000155215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak3 description:p21 protein (Cdc42/Rac)-activated kinase 3 [Source:MGI Symbol;Acc:MGI:1339656] MSDSLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTN KKKEKERPEISLPSDFEHTIHVGFDAVTGEFTPDLYGSQMCPGKLPEGIPEQWARLLQTS NITKLEQKKNPQAVLDVLKFYDSKETVNNQKYMSFTSGDKSAHGYIAAHQSNTKTASEPP LAPPVSEEEDEEEEE >ENSMUSP00000119090.1 pep:known chromosome:GRCm38:X:143518698:143765378:1 gene:ENSMUSG00000031284.16 transcript:ENSMUST00000134402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak3 description:p21 protein (Cdc42/Rac)-activated kinase 3 [Source:MGI Symbol;Acc:MGI:1339656] MSDSLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTN KKKEKERPEISLPSDFEHTIHVGFDAVTGEFTNSPFQTSRPVTVASSQSEGKMPDLYGSQ MCPGKLPEGIPEQWARLLQTSNITKLEQKKNPQAVLDVLKFYDSKETVNNQKYMSFTSGD KSAHGYIAAHQSNTKTASEPPLAPPVSEEEDEEEEEEEDDNEPPPVIAPRPEHTKSIYTR SVVESIASPAAPNKEDIPPSAENANSTTLYRNTDRQRKKSKMTDEEILEKLRSIVSVGDP KKKYTRFEKIGQGASGTVYTALDIATGQEVAIKQMNLQQQPKKELIINEILVMRENKNPN IVNYLD >ENSMUSP00000033640.7 pep:known chromosome:GRCm38:X:143518591:143797792:1 gene:ENSMUSG00000031284.16 transcript:ENSMUST00000033640.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak3 description:p21 protein (Cdc42/Rac)-activated kinase 3 [Source:MGI Symbol;Acc:MGI:1339656] MSDSLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTN KKKEKERPEISLPSDFEHTIHVGFDAVTGEFTPDLYGSQMCPGKLPEGIPEQWARLLQTS NITKLEQKKNPQAVLDVLKFYDSKETVNNQKYMSFTSGDKSAHGYIAAHQSNTKTASEPP LAPPVSEEEDEEEEEEEDDNEPPPVIAPRPEHTKSIYTRSVVESIASPAAPNKEDIPPSA ENANSTTLYRNTDRQRKKSKMTDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTA LDIATGQEVAIKQMNLQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYL AGGSLTDVVTETCMDEGQIAAVCRECLQALDFLHSNQVIHRDIKSDNILLGMDGSVKLTD FGFCAQITPEQSKRSTMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMVEGEPPYLNE NPLRALYLIATNGTPELQNPERLSAVFRDFLNRCLEMDVDRRGSAKELLQHPFLKLAKPL SSLTPLIIAAKEAIKNSSR >ENSMUSP00000126562.2 pep:known chromosome:GRCm38:X:143693286:143797796:1 gene:ENSMUSG00000031284.16 transcript:ENSMUST00000172330.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak3 description:p21 protein (Cdc42/Rac)-activated kinase 3 [Source:MGI Symbol;Acc:MGI:1339656] MSDSLDNEEKPPAPPLRMNSNNRDSSALNHSSKPLPMAPEEKNKKARLRSIFPGGGDKTN KKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGIPEQWARLLQTSNITKLEQKKNPQAVL DVLKFYDSKETVNNQKYMSFTSGDKSAHGYIAAHQSNTKTASEPPLAPPVSEEEDEEEEE EEDDNEPPPVIAPRPEHTKSIYTRSVVESIASPAAPNKEDIPPSAENANSTTLYRNTDRQ RKKSKMTDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTALDIATGQEVAIKQMN LQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYLAGGSLTDVVTETCMD EGQIAAVCRECLQALDFLHSNQVIHRDIKSDNILLGMDGSVKLTDFGFCAQITPEQSKRS TMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMVEGEPPYLNENPLRALYLIATNGTP ELQNPERLSAVFRDFLNRCLEMDVDRRGSAKELLQHPFLKLAKPLSSLTPLIIAAKEAIK NSSR >ENSMUSP00000035027.6 pep:known chromosome:GRCm38:9:97444395:98033181:-1 gene:ENSMUSG00000032452.12 transcript:ENSMUST00000035027.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clstn2 description:calsyntenin 2 [Source:MGI Symbol;Acc:MGI:1929897] MLPGRLCLVPLLLALGVGSGGGSGDGGDSRRRRLLVAKVNKHKPWIETSYHGVITENNDT VILDPPLVALDKDAPVPFAGEICAFKIHGQELPFEAVVLNKTSGEGRLRAKSPIDCELQK EYTFIIQAYDCGAGPREAAWKKSHKAVVHIQVKDVNEFAPTFKEPAYKAIVTEGKIYDSI LQVEAIDEDCSPQYSQICNYEIVTTDVPFAIDRNGNIRNTEKLSYDKQHQYEILVTAYDC GQKPAAQDTLVQVDVKPVCKPGWQDWTKRIEYQPGSGSMPLFPSIHLETCDGAVSSLQVT AELQTNYIGKGCDRETYSEKSLQKLCGASSGIIDLLPSPSAATNWTAGLLVDSSEMIFKF DGRQGAKIPDGIVPKNLTDQFTITMWMKHGPSPGVRAEKETILCNSDKTEMNRHHYALYV HNCRLVFLLRKDFDQADTFRPAEFHWKLDQICDKEWHYYVINVEFPVVTLYMDGATYEPY LVTNDWPIHPSHIAMQLTVGACWQGGEVAKPRFAQFFHGSLASLTIRPGKMESQKVISCL QACKEGLDINSLESLGRGIKYHFNPSQSILVMEGDDIGNINRALQKVSYINSRQFPTAGV RRLRLSSKVQCFGEDVCISIPDVDAYIMVLQAIEPQITLQGTERFWRPAAQFESARGVTL FPDIKIVSTFAKTEASGDMRATGTAPKSAVLEEMLHNLDFCDILVLGGDLDPRQECLELN HSELHQRHLDATNSTAGYSIYGVGSMNRYEQVLHHLRYRNWHPTSLETRRFRIKCSELNG RYTSNEFNLEVSVLHEVRVSDKEHVNHLIVQPPFLQSVHHPETRSSIQRSSVVPSIATVV IIISVCMLVFVVAMGVYRVRIAHQHFIQETEAAKEAEMDWDDSALTITVNPMEKHEGPGN GEDETTEVEEEEEAEEGSSSSSSGSDDSEEEEEEGMGRVRHGQSGTSSQSPERSTWNTAG VINIWK >ENSMUSP00000124081.1 pep:known chromosome:GRCm38:9:97453257:98032983:-1 gene:ENSMUSG00000032452.12 transcript:ENSMUST00000162295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clstn2 description:calsyntenin 2 [Source:MGI Symbol;Acc:MGI:1929897] MLPGRLCLVPLLLALGVGSGGGSGDGGDSRRRRLLVAKVNKHKPWIETSYHGVITENNDT VILDPPLVALDKDAPVPFAGEICAFKIHGQELPFEAVVLNKTSGEGRLRAKSPIDCELQK EYTFIIQAYDCGAGPREAAWKKSHKAVVHIQVKDVNEFAPTFKEPAYKAIVTEGKIYDSI LQVEAIDEDCSPQYSQICNYEIVTTDVPFAIDRNGNIRNTEKLSYDKQHQYEILVTAYDC GQKPAAQDTLVQVDVKPVCKPGWQDWTKRIEYQPGSGSMPLFPSIHLETCDGAVSSLQVT AELQTNYIGKGCDRETYSEKSLQKLCGASSGIIDLLPSPSAATNWTAGLLVDSSEMIFKF DGRQGAKIPDGIVPKNLTDQFTITMWMKHGPSPGVRAEKETILCNSDKTEMNRHHYALYV HNCRLVFLLRKDFDQADTFRPAEFHWKLDQICDKEWHYYVINVEFPVVTLYMDGATYEPY LVTNDWPIHPSHIAMQLTVGACWQGGEVAKPRFAQFFHGSLASLTIRPGKMESQKVISCL QACKEGLDINSLESLGRGIKYHFNPSQSILVMEGDDIGNINRALQKVSYINSRQFPTAGV RRLRLSSKVQCFGEDVCISIPDVDAYIMVLQAIEPQITLQGTERFWRPAAQFESARGVTL FPDIKIVSTFAKTEASGDMRATGTAPKSAVLEEMLHNLDFCDILVLGGDLDPRQECLELN HSELHQRHLDATNSTAGYSIYGVGSMNRYEQVLHHLRYRNWHPTSLETRRFRIKCSELNG RYTSNEFNLEVSVLHEVRVSDKEHVNHLIVQPPFLQSVHHPETRSSIQRSSVVPSIATVV IIISVCMLVFVVAMGVYRVRIAHQHFIQETEAAKEAEMDWDDSALTITVNPMEKHEGPGN GEDETTEVEEEEEAEEGSSSSSSGSDDSEEEEEEGMGRVRHGQSGTRQAQLEWDDSTLPY >ENSMUSP00000112213.1 pep:known chromosome:GRCm38:6:136813654:136828233:-1 gene:ENSMUSG00000030216.12 transcript:ENSMUST00000116514.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp11 description:WW domain binding protein 11 [Source:MGI Symbol;Acc:MGI:1891823] MGRRSTSSTKSGKFMNPTDQARKEARKRELKKNKKQRMMVRAAVLKMKDPKQIIRDMEKL DEMEFNPVQQPQLNEKVLKDKRKKLRETFERILRLYEKENPDIYKELRKLEVEYEQKRAQ LSQYFDAVKNAQHVEVESIPLPDMPHAPSNILIQDIPLPGAQPPSILKKTSAYGPPARAV SILPLLGHGVPRLPPGRKPPGPPPGPPPPQVLQMYGRKVGFALDLPPRRRDEDMLYSPEL AQRGHDDDMSSTSEDDGYPEDMDQDKHDDSTEDSDTDRSDAESDGDEFGHREDSERDNTE EKKSGLSVRFADMPGKSRKKKKNMKELTPLQAMMLRMAGQEIPEEGREVEEFSEEEDADD SDDSEAEKQSQKQHKDDGHSDSTAAASSQQQAPPQSAPASQIQAPPMPGPPPLGPPPAPP LRPPGPPTGLPPGPPPGAPPFLRPPGMPGIRGPLPRLLPPGPPPGRPPGPPPGPPPGLPP GPPPRGPPPRLPPPAPPGIPPPRPGMMRPPLVPPLGPAPPGLFPPAPLPNPGVLSAPPSL IQRPKADDASAATIEKKATATISAKPQITNPKAEVTRFVPTALRVRRENKGATAVPQRRS EDDSAVPVAKAAPRSGPSVAVSVQTKDDVYEAFMKEMEGLL >ENSMUSP00000144977.1 pep:known chromosome:GRCm38:6:136816951:136821214:-1 gene:ENSMUSG00000030216.12 transcript:ENSMUST00000204129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp11 description:WW domain binding protein 11 [Source:MGI Symbol;Acc:MGI:1891823] XRRDEDMLYSPELGLSVRFADMPGKSRKKKKNMKELTPLQAMMLRMAGQEIPEEGREVEE FSEEEDADDSDDSEAEKQSQKQHKDDGHSDSTAAASSQQQAPPQSAPASQIQAPPMPGPP PLGPPPAPPLRPPGPPTGLPPGP >ENSMUSP00000145501.1 pep:known chromosome:GRCm38:6:136817176:136828136:-1 gene:ENSMUSG00000030216.12 transcript:ENSMUST00000204272.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wbp11 description:WW domain binding protein 11 [Source:MGI Symbol;Acc:MGI:1891823] MGRRSTSSTKSGKFMNPTDQARKEARKRELKKNKKQRMMVRAAVLKMKDPKQIIRDMEKL DEMEFNPVQQPQLNEKVLKDKRKKLRETFERILRLYEKENPDIYKELRKLEVEYEQKRAQ LSQYFDAVKLSGVMTMTCPAPVKTTAILRTWIRISMMTVLRTVTLTGQMQRVTGMSSGTA RTVSATTLRRRSQV >ENSMUSP00000145155.1 pep:known chromosome:GRCm38:6:136825516:136827736:-1 gene:ENSMUSG00000030216.12 transcript:ENSMUST00000146348.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp11 description:WW domain binding protein 11 [Source:MGI Symbol;Acc:MGI:1891823] MGRRSTSSTKSGKFMNPTDQARKEARKRELKK >ENSMUSP00000042522.6 pep:known chromosome:GRCm38:17:36547075:36549250:1 gene:ENSMUSG00000037537.6 transcript:ENSMUST00000041964.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M11 description:histocompatibility 2, M region locus 11 [Source:MGI Symbol;Acc:MGI:2676637] MKTFVTEALFLLLQVLLAMTSHPDGTHFFGFFQTLFTLPWMPKPQFISVGFVDDIQFERF NSRRDVQRTEHCAPWKDQKKPEYWKDNTDLVLSYFQDLTEILQRMLKIYNYSLTGYHTIQ RRYGCYILPRGYFRNGFFEVVFNDHDSIRLNEDLSTWTPVGKFAEILREEWDSSGFTQNV KNFLEVECVDLFLTELEYGKEILLRTDIPKIHVIRKVRPDKKITLRCWALKFYPAEITLT WERDKSNQTLDMEVTETMPTGDGTFQKWAAVVVLSGEEHRYKCHVNHEGLPEPITLRWVP PEPTISFMHIVIVVVLGALLMGAMMTLLIWKRRTR >ENSMUSP00000141626.1 pep:known chromosome:GRCm38:Y:69321132:69323494:1 gene:ENSMUSG00000102388.5 transcript:ENSMUST00000195574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm33815 description:predicted gene, 33815 [Source:MGI Symbol;Acc:MGI:5592974] MTSLMKKKRRMSSSNVLRNIVGCRISQGWKEGNEPVTQWKTIVLGQLPTNPSLYLVKYDG NDSVYGQELYNDDRILNLKVLPPKVRFPQVRDAHLARALVGRAVQHKFKGKDGSEDNWRR VMLAQVPIMKDLFYSTTRRIQLSTSISSWMTTRKGTSTSFQTLLWLRKDQEMTVMC >ENSMUSP00000142163.1 pep:known chromosome:GRCm38:Y:69321244:69325483:1 gene:ENSMUSG00000102388.5 transcript:ENSMUST00000193593.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm33815 description:predicted gene, 33815 [Source:MGI Symbol;Acc:MGI:5592974] MTSLMKKKRRMSSSNVLRNIVGCRISQGWKEDPGDEHMPEKKN >ENSMUSP00000027575.6 pep:known chromosome:GRCm38:1:98421124:98509380:1 gene:ENSMUSG00000026336.13 transcript:ENSMUST00000027575.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco6d1 description:solute carrier organic anion transporter family, member 6d1 [Source:MGI Symbol;Acc:MGI:1918116] MAQDKIKQKDAVKQAQVVDMDEHQKTKEPRKLEMFLVTLPTAVKKFADTPDMKKSDRKYK DPSEEPYGLGSLVFPCLQRFNNVKSFLTLSFLAVVAHSMIFALVDQSIKLYVAQLSPSRI EEYLMDTSDNFVAFLFSMFVAHFGGRGNRANWVAAGCFLTGIAAIVFAVPFLNFEIIKLS VVKEELCEEGKLPKVCEPTVLPHKSICIFIFIFGQCLHGIAGLPLYILATSFIFDHVPTN SSGLYIALVDAALGVGYCLGFIGGMLNFKMSAQEVMQAVGHRQRIRILQRGWWRTFIFVA VFAFCTTLPLLGFPSSLPGARQIRLEKSQEPPTFDRRLKNKEIKNNLKSVLHATWCLIRN PLVLSQVVCKFTEFTTFKSSAVFLPQYLQTRFLIRPSSASMLTGVFILPGSIFGHFFGGF IVHRMQMNNKNKLKFLVVASFVSIVLFLLTFFVECEISKFAGINDDYDRLGIIGNLTAPC NVPCGCTTSEYNPVCGRDETQYFSPCFAGCKATKKLRKEKTYYNCSCIKEGLTTADNEGQ YIDAVSGTCNTRCLTLPLFFAFYFTATFLSSFCSIPSALIVIQSVPTSWNSMSLGLMSTV WRFTGSVPAPILFAATSDFTCQFWGINECGEKVRCWIYNTENLVYTFKTVWINMQILSGL SCLYGVYRHDYVVKGDGKKAEEK >ENSMUSP00000125258.1 pep:known chromosome:GRCm38:1:98421127:98516991:1 gene:ENSMUSG00000026336.13 transcript:ENSMUST00000162468.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slco6d1 description:solute carrier organic anion transporter family, member 6d1 [Source:MGI Symbol;Acc:MGI:1918116] MAQDKIKQKDAVKQAQVVDMDEHQKTKEPRKLEMFLVTLPTAVKKFADTPDMKKSDRKYK DPSEEPYGLGSLVFPCLQRFNNVKSFLTLSFLAVVAHTHFGGRGNRANWVAAGCFLTGIA AIVFAVPFLNFEIIKLSVVKEELCEEGKLPKVCEPTVLPHKSICIFIFIFGQCLHGIAGL PLYILATSFIFDHVPTNSSGLYIALVDAALGVGYCLGFIGGMLNFKMSAQEVMQAVGHRQ RIRILQRGWWRTFIFVAVFAFCTTLPLLGFPSSLPGARQIRLEKSQEPPTFDRRLKNKEI KNNLKSVLHATWNERQG >ENSMUSP00000123850.1 pep:known chromosome:GRCm38:1:98421154:98509247:1 gene:ENSMUSG00000026336.13 transcript:ENSMUST00000160796.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco6d1 description:solute carrier organic anion transporter family, member 6d1 [Source:MGI Symbol;Acc:MGI:1918116] MAQDKIKQKDAVKQAQVVDMDEHQKTKEPRKLEMFLVTLPTAVKKFADTPDMKKSDRKYK DPSEEPYGLGSLVFPCLQRFNNVKSFLTLSFLAVVAHSMIFALVDQSIKLYVAQLSPSRI EEYLMDTSDNFVAFLFSMFVAHFGGRGNRANWVAAGCFLTGIAAIVFAVPFLNFEIIKLS VVKEELCEEGKLPKVCEPTVLPHKSICIFIFIFGQCLHGIAGLPLYILATSFIFDHVPTN SSGLYIALVDAALGVGYCLGFIGGMLNFKMSAQEVMQAVGHRQRIRILQRGWWRTFIFVA VFAFCTTLPLLGFPSSLPGARQIRLEKSQEPPTFDRRLKNKEIKNNLKSVLHATWCLIRN PLVLSQVVCKFTEFTTFKSSAVFLPQYLQTRFLIRPSSASMLTGVFILPGSIFGHFFGGF IVHRMQMNNKNKLKFLVVASFVSIVLFLLTFFVECEISKFAGINDDYDRLGIIGNLTAPC NVPCGCTTSEYNPVCGRDETQYFSPCFAGCKATKKLRKEKTYYNCSCIKEGLTTADNEGQ YIDAVSGTCNTRCLTLPLFFAFYFTATFLSSFCSIPSALIVIQSVPTSWNSMSLGLMSTV WRFTGSVPAPILFAATSDFTCQFWGINECGEKVRCWIYNTENLVYTFKTV >ENSMUSP00000107787.1 pep:known chromosome:GRCm38:X:167304929:167330528:-1 gene:ENSMUSG00000044583.13 transcript:ENSMUST00000112161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr7 description:toll-like receptor 7 [Source:MGI Symbol;Acc:MGI:2176882] MVFSMWTRKRQILIFLNMLLVSRVFGFRWFPKTLPCEVKVNIPEAHVIVDCTDKHLTEIP EGIPTNTTNLTLTINHIPSISPDSFRRLNHLEEIDLRCNCVPVLLGSKANVCTKRLQIRP GSFSGLSDLKALYLDGNQLLEIPQDLPSSLHLLSLEANNIFSITKENLTELVNIETLYLG QNCYYRNPCNVSYSIEKDAFLVMRNLKVLSLKDNNVTAVPTTLPPNLLELYLYNNIIKKI QENDFNNLNELQVLDLSGNCPRCYNVPYPCTPCENNSPLQIHDNAFNSLTELKVLRLHSN SLQHVPPTWFKNMRNLQELDLSQNYLAREIEEAKFLHFLPNLVELDFSFNYELQVYHASI TLPHSLSSLENLKILRVKGYVFKELKNSSLSVLHKLPRLEVLDLGTNFIKIADLNIFKHF ENLKLIDLSVNKISPSEESREVGFCPNAQTSVDRHGPQVLEALHYFRYDEYARSCRFKNK EPPSFLPLNADCHIYGQTLDLSRNNIFFIKPSDFQHLSFLKCLNLSGNTIGQTLNGSELW PLRELRYLDFSNNRLDLLYSTAFEELQSLEVLDLSSNSHYFQAEGITHMLNFTKKLRLLD KLMMNDNDISTSASRTMESDSLRILEFRGNHLDVLWRAGDNRYLDFFKNLFNLEVLDISR NSLNSLPPEVFEGMPPNLKNLSLAKNGLKSFFWDRLQLLKHLEILDLSHNQLTKVPERLA NCSKSLTTLILKHNQIRQLTKYFLEDALQLRYLDISSNKIQVIQKTSFPENVLNNLEMLV LHHNRFLCNCDAVWFVWWVNHTDVTIPYLATDVTCVGPGAHKGQSVISLDLYTCELDLTN LILFSVSISSVLFLMVVMTTSHLFFWDMWYIYYFWKAKIKGYQHLQSMESCYDAFIVYDT KNSAVTEWVLQELVAKLEDPREKHFNLCLEERDWLPGQPVLENLSQSIQLSKKTVFVMTQ KYAKTESFKMAFYLSHQRLLDEKVDVIILIFLEKPLQKSKFLQLRKRLCRSSVLEWPANP QAHPYFWQCLKNALTTDNHVAYSQMFKETV >ENSMUSP00000061853.5 pep:known chromosome:GRCm38:X:167304931:167330558:-1 gene:ENSMUSG00000044583.13 transcript:ENSMUST00000060719.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr7 description:toll-like receptor 7 [Source:MGI Symbol;Acc:MGI:2176882] MVFSMWTRKRQILIFLNMLLVSRVFGFRWFPKTLPCEVKVNIPEAHVIVDCTDKHLTEIP EGIPTNTTNLTLTINHIPSISPDSFRRLNHLEEIDLRCNCVPVLLGSKANVCTKRLQIRP GSFSGLSDLKALYLDGNQLLEIPQDLPSSLHLLSLEANNIFSITKENLTELVNIETLYLG QNCYYRNPCNVSYSIEKDAFLVMRNLKVLSLKDNNVTAVPTTLPPNLLELYLYNNIIKKI QENDFNNLNELQVLDLSGNCPRCYNVPYPCTPCENNSPLQIHDNAFNSLTELKVLRLHSN SLQHVPPTWFKNMRNLQELDLSQNYLAREIEEAKFLHFLPNLVELDFSFNYELQVYHASI TLPHSLSSLENLKILRVKGYVFKELKNSSLSVLHKLPRLEVLDLGTNFIKIADLNIFKHF ENLKLIDLSVNKISPSEESREVGFCPNAQTSVDRHGPQVLEALHYFRYDEYARSCRFKNK EPPSFLPLNADCHIYGQTLDLSRNNIFFIKPSDFQHLSFLKCLNLSGNTIGQTLNGSELW PLRELRYLDFSNNRLDLLYSTAFEELQSLEVLDLSSNSHYFQAEGITHMLNFTKKLRLLD KLMMNDNDISTSASRTMESDSLRILEFRGNHLDVLWRAGDNRYLDFFKNLFNLEVLDISR NSLNSLPPEVFEGMPPNLKNLSLAKNGLKSFFWDRLQLLKHLEILDLSHNQLTKVPERLA NCSKSLTTLILKHNQIRQLTKYFLEDALQLRYLDISSNKIQVIQKTSFPENVLNNLEMLV LHHNRFLCNCDAVWFVWWVNHTDVTIPYLATDVTCVGPGAHKGQSVISLDLYTCELDLTN LILFSVSISSVLFLMVVMTTSHLFFWDMWYIYYFWKAKIKGYQHLQSMESCYDAFIVYDT KNSAVTEWVLQELVAKLEDPREKHFNLCLEERDWLPGQPVLENLSQSIQLSKKTVFVMTQ KYAKTESFKMAFYLSHQRLLDEKVDVIILIFLEKPLQKSKFLQLRKRLCRSSVLEWPANP QAHPYFWQCLKNALTTDNHVAYSQMFKETV >ENSMUSP00000107789.1 pep:known chromosome:GRCm38:X:167305214:167330497:-1 gene:ENSMUSG00000044583.13 transcript:ENSMUST00000112164.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr7 description:toll-like receptor 7 [Source:MGI Symbol;Acc:MGI:2176882] MVFSMWTRKRQILIFLNMLLVSRVFGFRWFPKTLPCEVKVNIPEAHVIVDCTDKHLTEIP EGIPTNTTNLTLTINHIPSISPDSFRRLNHLEEIDLRCNCVPVLLGSKANVCTKRLQIRP GSFSGLSDLKALYLDGNQLLEIPQDLPSSLHLLSLEANNIFSITKENLTELVNIETLYLG QNCYYRNPCNVSYSIEKDAFLVMRNLKVLSLKDNNVTAVPTTLPPNLLELYLYNNIIKKI QENDFNNLNELQVLDLSGNCPRCYNVPYPCTPCENNSPLQIHDNAFNSLTELKVLRLHSN SLQHVPPTWFKNMRNLQELDLSQNYLAREIEEAKFLHFLPNLVELDFSFNYELQVYHASI TLPHSLSSLENLKILRVKGYVFKELKNSSLSVLHKLPRLEVLDLGTNFIKIADLNIFKHF ENLKLIDLSVNKISPSEESREVGFCPNAQTSVDRHGPQVLEALHYFRYDEYARSCRFKNK EPPSFLPLNADCHIYGQTLDLSRNNIFFIKPSDFQHLSFLKCLNLSGNTIGQTLNGSELW PLRELRYLDFSNNRLDLLYSTAFEELQSLEVLDLSSNSHYFQAEGITHMLNFTKKLRLLD KLMMNDNDISTSASRTMESDSLRILEFRGNHLDVLWRAGDNRYLDFFKNLFNLEVLDISR NSLNSLPPEVFEGMPPNLKNLSLAKNGLKSFFWDRLQLLKHLEILDLSHNQLTKVPERLA NCSKSLTTLILKHNQIRQLTKYFLEDALQLRYLDISSNKIQVIQKTSFPENVLNNLEMLV LHHNRFLCNCDAVWFVWWVNHTDVTIPYLATDVTCVGPGAHKGQSVISLDLYTCELDLTN LILFSVSISSVLFLMVVMTTSHLFFWDMWYIYYFWKAKIKGYQHLQSMESCYDAFIVYDT KNSAVTEWVLQELVAKLEDPREKHFNLCLEERDWLPGQPVLENLSQSIQLSKKTVFVMTQ KYAKTESFKMAFYLSHQRLLDEKVDVIILIFLEKPLQKSKFLQLRKRLCRSSVLEWPANP QAHPYFWQCLKNALTTDNHVAYSQMFKETV >ENSMUSP00000114305.1 pep:known chromosome:GRCm38:X:167308342:167330491:-1 gene:ENSMUSG00000044583.13 transcript:ENSMUST00000145284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr7 description:toll-like receptor 7 [Source:MGI Symbol;Acc:MGI:2176882] MVFSMWTRKRQILIFLNMLLVSRVFGFRWFPKTLPCEVKVNIPEAHVIV >ENSMUSP00000120922.1 pep:known chromosome:GRCm38:X:167308356:167330510:-1 gene:ENSMUSG00000044583.13 transcript:ENSMUST00000137492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr7 description:toll-like receptor 7 [Source:MGI Symbol;Acc:MGI:2176882] MAQWVFSMWTRKRQILIFLNMLLVSRVFGFRWFPKTLPCEVKVNIPE >ENSMUSP00000076844.5 pep:known chromosome:GRCm38:11:43151599:43262285:1 gene:ENSMUSG00000055415.7 transcript:ENSMUST00000077659.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp10b description:ATPase, class V, type 10B [Source:MGI Symbol;Acc:MGI:2442688] MTCSLDFSWLRWKWRTQDGFSQSPSETTPLLSPETDRQSHNTAEQRVVYPNNSMCHQDWK KVCRRYPGNSICTTKYTLLTFLPQNLFEQFHRWANLYFLFLVILNWMPSMEVFHREITIF PLATVLLIIMVKDGIEDFKRYCFDREMNSASIQIYERKEQRYMLKRWQDVRVGDFVQMQC NEIVPADILLLFSSDPSGVCHLETANLDGETNLKQRRVVKGFSQPEVQFQPEHFHSTIVC EKPNNHLSKFKGYMEHPDQTRTGFGSESLLLRGCTIRNTEVAAGIVIYAGHETKAMLNNS GPRYKRSKIERRINTDIFFCIGLLFLMCLIGAVGHSLWNGTFKEHPPFDVPDADGNFLSL ALGGFYMFLTMIILLQVLIPISLYVSIELVKLGQVFLLHNDLDLYDEETDLSIQCRALNI TEDLGQIQYIFSDKTGTLTENKMVFRRCTIVGSEYCHQENAKRLEMPKELDSDGEEWTQY QCLSFPPRWAQGSTTMRSQGGAQPLRRCHSARVPIQSHCRQRSVGRWETSQPPVAFSSSI EKDVTPDKNLLSKVRDAALWLETSDTRPAKPSHSTTASIADFFLALTICNSVMVSTTTEP RKRVTTPPANKALGTSLEKIQQLFQRLKLLSLSQSFSSTAPSDTDLGESLGPNLPTIDSD EKDDTSVCSGDCSTDGGYRSSTWEQGDILGSESGTSLEEGLEAPTLSQDEPELCYEAESP DEAALVHAARAYSFTLVSRTPEQVTVRLPQGICLTFDLLFTLGFDSVRKRMSVVVRHPLT DEIIVYTKGADSVIMDLLEDPACESNIDVEKKLKRIRARTQKHLDLYARDGLRTLCIAKK VVDEEDFQRWASFRREAEASLDNREELLMETAQHLENHLTLLGATGIEDRLQEGVPDTIA ALREAGIQLWVLTGDKQETAVNIAYSCKLLDQTDTVYSINTENQETCESILNCTLEDIKR FHEPQQPARKLCGHRIPPKMPSVNSGAMAPEIGLVIDGKTLNAIFQGKLENKFLELTQYC RSVLCCRSTPLQKSMIVKLVRDKLSVMTLSIGDGANDVSMIQAADIGIGISGQEGMQAVM SSDFAIARFSHLKKLLLVHGHWCYSRLARMVVYYFYKNVCYVNLLFWYQFFCGFSGSTMI DYWQMIFFNLFFTSLPPIIFGVLDKDVSAETLLALPELYKSGQNSECYNLPTFWVSMADA FYQSLICFFIPYLTYRGSDIDVFTFGTPINTISLTTILLHQAMEMKTWTVLHGLVLLGSF LMYFVVSLIYNATCVTCNSPTNPYWVMERQLSDPTFYLICLLTPVVALLPRYFLLSLQGT YGKSLISKAQKIDKLPIDKRNLEIQNWRSKQRPAPASASASASAPATGTVHTRPPCHPVP PEAQQNFGASTSKSSGPPRQKHVEDRVLQDPRCSREHSRDDTCTVDTLAKLSSGECLLDP NRTVAPTAYSRGQKDVSRHSSKGSHRRSQSSLTI >ENSMUSP00000052208.1 pep:known chromosome:GRCm38:1:80198706:80213944:-1 gene:ENSMUSG00000043230.2 transcript:ENSMUST00000058748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam124b description:family with sequence similarity 124, member B [Source:MGI Symbol;Acc:MGI:3026880] MDEIQEPLALTVHLLADTGNGLLLQQALDQLLECICPEVRLFLVSERARPVNYHEKYHPR RARFPGMSVLLFLQESLGQERLFRVLDFLRRSPWQGFPTQHAQGRSCSYLPANQEFYSLH NQMPVWGMRPVNCGTDILRVTLYCSFDNYEDAIRLYEMLLQRDATVQKSDFCFFVLYATE GFSLQLSLKQLPLGMSVDPKESSVLQFRVQEIGQLVPLLPNPCVPISSARWQTQDYDGNK ILLQVHPKPGVGIKNGEHPFLNGCLRGDTHPQDSSLNSVSTQRTLEPRSRRRSRSRRFKV HSLELPQPSGSWENSTDPLWRRLGWSTLADSSASGMQQRRLSIPIEPKMGRNVLREDGFE KLEAETNVDTGFTIINSEPRRSFPSRFPRDFQSSQPPRCLSGSSLEVTVSPNQGVFKDRL HPLSLPSQRDFGAKKVISKCSHHLQAQGEEKEEFFI >ENSMUSP00000026378.3 pep:known chromosome:GRCm38:4:140812983:140845778:-1 gene:ENSMUSG00000025329.3 transcript:ENSMUST00000026378.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Padi1 description:peptidyl arginine deiminase, type I [Source:MGI Symbol;Acc:MGI:1338893] MASPRAVQLSLRKPTHAVCVVGVETLVNVYSDVPKGAKTFGVSGSSEVKIYMVYDPSRVA EPAGWAHWPLDANVDVVVVADTVSKDLYDFKVKVSYFESQEAAALAHSVLYLTAVDVSLD VDTGRTGKVKKGSGDKKTWRWGPGGSGAILLVNCDRDIHGSREDLHANHLKSLEDLQDMS PMVLSCGGPDELFESHKLVLKASLSDSRRLKVFCARGGTSLSNYKQVLGPRHSSYEVERH SGERAIQFYVEGLAFPDASFSGLLSLSVSLVDTRPLSEVSVFTDSVTFRVAPWIMTPNTQ PPLELYVCSVTDIHGRNDKFLEDMSHLATKANCKLVVCPRAENRNDRWIQDELEFGYIDA PHKSFPVVFDSPRNRGLRDFALKRILGPDFGYVTREIEFAGASGLDSFGNLDVSPPVRVG NTDYPLGRILIGGSFPKPSGRRMARVVRDFLQAQQVQSPVELYSDWLSVGHVDEFLSFVP TSDQKGFRLLLASPSACLQLFQEKKEEGYGEAEQFDGLKHKAKRSINDILADKHLRRDSA HVQKCIDWNREVLKRELGLSESDIVDIPQLFFLKGAYAEAFFPDMVNMVVLGKYLGIPKP FGPLINGRCCLEEKVRSLLEPLGLRCVFIDDFLFYHQLLGEIHCGTNVRRKPFTFKWWNS VP >ENSMUSP00000032895.7 pep:known chromosome:GRCm38:7:116504369:116540584:1 gene:ENSMUSG00000030659.14 transcript:ENSMUST00000032895.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nucb2 description:nucleobindin 2 [Source:MGI Symbol;Acc:MGI:1858179] MRWRIIQVQYCFLLVPCMLTALEAVPIDVDKTKVHNTEPVENARIEPPDTGLYYDEYLKQ VIEVLETDPHFREKLQKADIEEIRSGRLSQELDLVSHKVRTRLDELKRQEVGRLRMLIKA KLDALQDTGMNHHLLLKQFEHLNHQNPNTFESRDLDMLIKAATADLEQYDRTRHEEFKKY EMMKEHERREYLKTLSEEKRKEEESKFEEMKRKHEDHPKVNHPGSKDQLKEVWEETDGLD PNDFDPKTFFKLHDVNNDGFLDEQELEALFTRELEKVYNPQNAEDDMIEMEEERLRMREH VMSEIDNNKDRLVTLEEFLRATEKKEFLEPDSWETLDQQQLFTEDELKEYESIIAIQENE LKKRAEELQKQKEDLQRQHDHLEAQKQEYHQAVQHLEQKKLQQGIAPSGPAGELKFEPHT >ENSMUSP00000138741.1 pep:known chromosome:GRCm38:7:116504415:116540443:1 gene:ENSMUSG00000030659.14 transcript:ENSMUST00000183175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nucb2 description:nucleobindin 2 [Source:MGI Symbol;Acc:MGI:1858179] MRWRIIQVQYCFLLVPCMLTALEAVPIDVDKTKVHNTEPVENARIEPPDTGLYYDEYLKQ VIEVLETDPHFREKLQKADIEEIRSGRLSQELDLVSHKVRTRLDELKRQEVGRLRMLIKA KLDALQDTGMNHHLLLKQFEHLNHQNPNTFESRDLDMLIKAATADLEQYDRTRHEEFKKY EMMKEHERREYLKTLSEEKRKEEESKFEEMKRKHEDHPKVNHPGSKDQLKEVWEETDGLD PNDFDPKTFFKLHDVNNDGFLDEQELEALFTRELEKVYNPQNAEDDMIEMEEERLRMREH VMSEIDNNKDRLVTLEEFLRATEKKEFLEPDSWETLDQQQLFTEDELKEYESIIAIQENE LKKRAEELQKQKEDLQRQHDHLEAQKQEYHQAVQHLEQKKLQQGIAPSGPAGELKFEPRM >ENSMUSP00000138757.1 pep:known chromosome:GRCm38:7:116528812:116536033:1 gene:ENSMUSG00000030659.14 transcript:ENSMUST00000183335.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nucb2 description:nucleobindin 2 [Source:MGI Symbol;Acc:MGI:1858179] XLDVNNDGFLDEQELEALFTRELEKVYNPQNAEDDMIEMEEERLRMREHVMSEGTRR >ENSMUSP00000021306.7 pep:known chromosome:GRCm38:11:102838473:102880967:-1 gene:ENSMUSG00000020929.14 transcript:ENSMUST00000021306.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eftud2 description:elongation factor Tu GTP binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1336880] MDTDLYDEFGNYIGPELDSDEDDDELGRETKDLDEMDEDEDEDDVGEHEDDHPGMEVVLH EDKKYYPTAEEVYGPEVETIVQEEDTQPLTEPIIKPVKTKKFTLMEQTLPVTVYEMDFLA DLMDNSELIRNVTLCGHLHHGKTCFVDCLIEQTHPEIRKRYDQDLCYTDILFTEQERGVG IKSTPVTVVLPDTKGKSYLFNIMDTPGHVNFSDEVTAGLRISDGVVLFIDAAEGVMLNTE RLIKHAVQERLAVTVCINKIDRLILELKLPPTDAYYKLRHIVDEVNGLISMYSTDENLIL SPLLGNVCFSSSQYSICFTLGSFAKIYADTFGDINYQEFAKRLWGDIYFNPKTRKFTKKA PSSSSQRSFVEFILEPLYKILAQVVGDVDTSLPRTLDELGIHLTKEELKLNIRPLLRLVC KKFFGEFTGFVDMCVQHIPSPKVGAKPKIEHTYTGGVDSDLGEAMSDCDPDGPLMCHTTK MYSTDDGVQFHAFGRVLSGTIHAGQPVKVLGENYTLEDEEDSQICTVGRLWISVARYHIE VNRVPAGNWVLIEGVDQPIVKTATITEPRGNEEAQIFRPLKFNTTSVIKIAVEPVNPSEL PKMLDGLRKVNKSYPSLTTKVEESGEHVILGTGELYLDCVMHDLRKMYSEIDIKVADPVV TFCETVVETSSLKCFAETPNKKNKITMIAEPLEKGLAEDIENEVVQITWNRKKLGEFFQT KYDWDLLAARSIWAFGPDATGPNILVDDTLPSEVDKALLGSVKDSIVQGFQWGTREGPLC DELIRNVKFKILDAVVAQEPLHRGGGQIIPTARRVVYSAFLMATPRLMEPYYFVEVQAPA DCVSAVYTVLARRRGHVTQDAPIPGSPLYTIKAFIPAIDSFGFETDLRTHTQGQAFSLSV FHHWQIVPGDPLDKSIVIRPLEPQPAPHLAREFMIKTRRRKGLSEDVSISKFFDDPMLLE LAKQDVVLNYPM >ENSMUSP00000102675.1 pep:known chromosome:GRCm38:11:102838473:102880985:-1 gene:ENSMUSG00000020929.14 transcript:ENSMUST00000107060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eftud2 description:elongation factor Tu GTP binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1336880] MDTDLYDEFGNYIGPELDSDEDDDELGRETKDLDEDEDEDEDDVGEHEDDHPGMEVVLHE DKKYYPTAEEVYGPEVETIVQEEDTQPLTEPIIKPVKTKKFTLMEQTLPVTVYEMDFLAD LMDNSELIRNVTLCGHLHHGKTCFVDCLIEQTHPEIRKRYDQDLCYTDILFTEQERGVGI KSTPVTVVLPDTKGKSYLFNIMDTPGHVNFSDEVTAGLRISDGVVLFIDAAEGVMLNTER LIKHAVQERLAVTVCINKIDRLILELKLPPTDAYYKLRHIVDEVNGLISMYSTDENLILS PLLGNVCFSSSQYSICFTLGSFAKIYADTFGDINYQEFAKRLWGDIYFNPKTRKFTKKAP SSSSQRSFVEFILEPLYKILAQVVGDVDTSLPRTLDELGIHLTKEELKLNIRPLLRLVCK KFFGEFTGFVDMCVQHIPSPKVGAKPKIEHTYTGGVDSDLGEAMSDCDPDGPLMCHTTKM YSTDDGVQFHAFGRVLSGTIHAGQPVKVLGENYTLEDEEDSQICTVGRLWISVARYHIEV NRVPAGNWVLIEGVDQPIVKTATITEPRGNEEAQIFRPLKFNTTSVIKIAVEPVNPSELP KMLDGLRKVNKSYPSLTTKVEESGEHVILGTGELYLDCVMHDLRKMYSEIDIKVADPVVT FCETVVETSSLKCFAETPNKKNKITMIAEPLEKGLAEDIENEVVQITWNRKKLGEFFQTK YDWDLLAARSIWAFGPDATGPNILVDDTLPSEVDKALLGSVKDSIVQGFQWGTREGPLCD ELIRNVKFKILDAVVAQEPLHRGGGQIIPTARRVVYSAFLMATPRLMEPYYFVEVQAPAD CVSAVYTVLARRRGHVTQDAPIPGSPLYTIKAFIPAIDSFGFETDLRTHTQGQAFSLSVF HHWQIVPGDPLDKSIVIRPLEPQPAPHLAREFMIKTRRRKGLSEDVSISKFFDDPMLLEL AKQDVVLNYPM >ENSMUSP00000134316.1 pep:known chromosome:GRCm38:11:102838477:102844218:-1 gene:ENSMUSG00000020929.14 transcript:ENSMUST00000172611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eftud2 description:elongation factor Tu GTP binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1336880] XILGTGELYLDCVMHDLRKMYSEIDIKGLAALDSSRDLVTGPSSSEHRELLWGRLNAPET SIPVRKGHLAWFLLWPLPGPIPKEQRRAWAQRRRMRTR >ENSMUSP00000134327.1 pep:known chromosome:GRCm38:11:102838801:102877714:-1 gene:ENSMUSG00000020929.14 transcript:ENSMUST00000173679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eftud2 description:elongation factor Tu GTP binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1336880] MDTDLYDEFGNYIGPELDSDEDDDELGRETKDLDEMDEDEDEDDVGEHEDDHPGMEVVLH EDKKYYPTAEEVYGPEVETIVQEEDTQPLTEPIIKPVKTKKFTLMEQTLPVTVYEMDFLA DLMDNSELIRNVTLCGHLHHGKTHPEIRKRYDQDLCYTDILFTEQERGVGIKSTPVTVVL PDTKGKSYLFNIMDTPGHVNFSDEVTAGLRISDGVVLFIDAAEGVMLNTERLIKHAVQER LAVTVCINKIDRLILELKLPPTDAYYKLRHIVDEVNGLISMYSTDENLILSPLLGNVCFS SSQYSICFTLGSFAKIYADTFGDINYQEFAKRLWGDIYFNPKTRKFTKKAPSSSSQRSFV EFILEPLYKILAQVVGDVDTSLPRTLDELGIHLTKEELKLNIRPLLRLVCKKFFGEFTGF VDMCVQHIPSPKVGAKPKIEHTYTGGVDSDLGEAMSDCDPDGPLMCHTTKMYSTDDGVQF HAFGRVLSGTIHAGQPVKVLGENYTLEDEEDSQICTVGRLWISVARYHIEVNRVPAGNWV LIEGVDQPIVKTATITEPRGNEEAQIFRPLKFNTTSVIKIAVEPVNPSELPKMLDGLRKV NKSYPSLTTKVEESGEHVILGTGELYLDCVMHDLRKMYSEIDIKVADPVVTFCETVVETS SLKCFAETPNKKNKITMIAEPLEKGLAEDIENEVVQITWNRKKLGEFFQTKYDWDLLAAR SIWAFGPDATGPNILVDDTLPSEVDKALLGSVKDSIVQGFQWGTREGPLCDELIRNVKFK ILDAVVAQEPLHRGGGQIIPTARRVVYSAFLMATPRLMEPYYFVEVQAPADCVSAVYTVL ARRRGHVTQDAPIPGSPLYTIKAFIPAIDSFGFETDLRTHTQGQAFSLSVFHHWQIVPGD PLDKSIVIRPLEPQPAPHLAREFMIKTRRRKGLSEDVSISKFFDDPMLLELAKQDVVLNY PM >ENSMUSP00000133732.1 pep:known chromosome:GRCm38:11:102838838:102841238:-1 gene:ENSMUSG00000020929.14 transcript:ENSMUST00000132543.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eftud2 description:elongation factor Tu GTP binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1336880] XSVKDSIVQGFQWGTREGPLCDELIRNVKFKILDAVVAQEPLHRGGGQIIPTARRVVYSA FLMRSRLLQIVCLLFTQSWPDAGVM >ENSMUSP00000134725.1 pep:known chromosome:GRCm38:11:102862611:102877690:-1 gene:ENSMUSG00000020929.14 transcript:ENSMUST00000138483.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eftud2 description:elongation factor Tu GTP binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1336880] FGNYIGPELDSDEDDDELGRETKDLDENPL >ENSMUSP00000141861.1 pep:known chromosome:GRCm38:1:188971095:189007828:-1 gene:ENSMUSG00000026608.12 transcript:ENSMUST00000193143.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kctd3 description:potassium channel tetramerisation domain containing 3 [Source:MGI Symbol;Acc:MGI:2444629] MAGGHCGSFAASAASSGEIVQLNVGGTRFSTSRQTLMWIPDSFFSSLLSGRISTLRDETG AIFIDRDPAAFAPILNFLRTKELDLRGVSINVLRHEAEFYGITPLVRRLLLCEELERSSC GSVLFHGYLPPPGIPSRKVTNTARSSVDARNGLNSMEGEARGNGTQPVLAGNGEDTIRLG VPVDPRKVLIVAGHHNWIVAAYAHFAVCYRIKESSGWQQVFTSPYLDWTIERVALNAKVV GGPHGDKDKMVAVASESSIILWSVQDGGSGSEIGVFSLGVPVDALFFIGNQLVATSHTGK VGVWNAVTQHWQVQDVVPITSYDTAGSFLLLGCNNGSIYYIDMQKFPLRMKDNDLLVTEL YHDPSNDAVTALSVYLTPKTSVSGNWIEIAYGTSSGAVRVIVQHPETVGSGPQLFQTFTV HRSPVTKIMLSEKHLVSVCADNNHVRTWTVTRFRGMISTQPGSTPLASFKILSLEETESH GSYSSGNDIGPFGERDDQQVFIQKVVPITNKLFVRLSSTGKR >ENSMUSP00000082821.2 pep:known chromosome:GRCm38:1:188971095:189007840:-1 gene:ENSMUSG00000026608.12 transcript:ENSMUST00000085678.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd3 description:potassium channel tetramerisation domain containing 3 [Source:MGI Symbol;Acc:MGI:2444629] MAGGHCGSFAASAASSGEIVQLNVGGTRFSTSRQTLMWIPDSFFSSLLSGRISTLRDETG AIFIDRDPAAFAPILNFLRTKELDLRGVSINVLRHEAEFYGITPLVRRLLLCEELERSSC GSVLFHGYLPPPGIPSRKVTNTARSSVDARNGLNSMEGEARGNGTQPVLAGNGEDTIRLG VPVDPRKVLIVAGHHNWIVAAYAHFAVCYRIKESSGWQQVFTSPYLDWTIERVALNAKVV GGPHGDKDKMVAVASESSIILWSVQDGGSGSEIGVFSLGVPVDALFFIGNQLVATSHTGK VGVWNAVTQHWQVQDVVPITSYDTAGSFLLLGCNNGSIYYIDMQKFPLRMKDNDLLVTEL YHDPSNDAVTALSVYLTPKTSVSGNWIEIAYGTSSGAVRVIVQHPETVGSGPQLFQTFTV HRSPVTKIMLSEKHLVSVCADNNHVRTWTVTRFRGMISTQPGSTPLASFKILSLEETESH GSYSSGNDIGPFGERDDQQVFIQKVVPITNKLFVRLSSTGKRICEIQAVDCTTISSFTVR ECEGSSRMGSRPRRYLFTGHTNGSIQMWDLTTAMDMVNKTEDKDAGGPTEEELLKLLDQC DLSTSRCATPNISPATSVLQHSRLRESSSSLQLQHHETIHEAATYGATRPYRESPLLARA RRTESFHSYRDFQTLNLNRSIERPVPENGHMGPAQTEVKGAAGECAMSERRSPGTEVRCG RESDGGLEVHRTAEGLSEPKKRSAEDENEHKVEFRKKGFEAGGFLGRKKVPYLASSPSTS DGGTDSPGTASPSPTKTTPSPRHKKSDSSGQEYSL >ENSMUSP00000141863.1 pep:known chromosome:GRCm38:1:188972476:188978598:-1 gene:ENSMUSG00000026608.12 transcript:ENSMUST00000195658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd3 description:potassium channel tetramerisation domain containing 3 [Source:MGI Symbol;Acc:MGI:2444629] XISTQPGSTPLASFKILSLEETESHGSYSSGNDIGPFGERDDQQVFIQKVVPITNKLFVR LSSTGKRICEIQAVDCTTISSFTVRECEGSSRMGSRPRRYLFTGHTNGSIQMWDLTTAMD MVNKTEDKGGPTEEELLKLLDQCDLSTSRCATPNISPATSVLQHSRLRESSSSLQLQHHE TIHEAATYGATRPYRESPLLARARRTESFHSYRDFQTLNLNRSIERPVPENGHMGPAQTE VK >ENSMUSP00000044135.5 pep:known chromosome:GRCm38:8:35587798:35679449:1 gene:ENSMUSG00000070056.5 transcript:ENSMUST00000037666.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfhas1 description:malignant fibrous histiocytoma amplified sequence 1 [Source:MGI Symbol;Acc:MGI:1098644] MAGQDSGNLKTVRLWRDAALRARKLRSNLRQLTLSCPGAGGDPLESPDAPQLVLPANIGD IEVLNLGNNGLEDVPEGLGSALGSLRVLVLRRNRFARLPPAVAELGHHLTELDVSHNRLT ILGAEVVSALRELRKLNLSHNQLPALPAQLGALAHLEELDVSFNRLAHLPDSFSCLNHLR TLDVDHNQLTAFPQQLLQLAALEELDVSSNRLRGLPEDISALRALKILWLSGAELGTLPR GFCELASLESLMLDNNGLQALPDEFSRLQRLKMLNLSSNLFEEFPAALLPLAGLEELYLS RNQLTSVPSLIAGLGRLLTLWLDNNRIRYLPDSIVELTGLEELVLQGNQIAVLPDNFGQL SRVGLWKIKDNPLIQPPYEVCMKGIPYIAAYQKELAHSQPAVQPRLKLLLMGHKAAGKTL LRHCLTEDKVEGGQGGGDKEKSYLPFPPLGSKGIEVTSWTADASRGLRFIVYDLAGDESY EVIQPFFLSPGALYVLVVNLATYEPRCFPTTVGSFLHRVGARVPHAVVCIVGTHADLCGE RELEEKCLDIHRQIALQEKNDAEGLSHLAKVVDEALARDFELRSASPHAAYYGVSDKNLR RRKAHFQYLLNHRLQILSPVLPVSCRDPLQLQRLRDKLLSVAEHREIFPNLHRVLPRSWQ VLEELHFQPPQAQRLWLSWWDSARLGLQAGLTEDRLQSALSYLHESGKLLYFEDSPALKE HVFHNLTRLIDILNVFFQRDASLLLHKLLLGTNGEGEGEGESFPTIAVPSPGQDPLRATQ LHHYVEGFLLHGLLPAHIIRLLLKPHVQAQQDLQLLLELLEKMGLCYCLNKPKGKPLNGS AAWYKFPCYVQNEVPHAEAWINGTNLAGQSFVAEQLQIEYSFPFTFPPGLFARYSVQINS HVVHRSDGKFQIFAYRGKVPVVVSYRPAKGVLQPDTLSIASHASLPNIWTAWQAITPLVE ELNVLLQEWPGLHYTVHILCSKCLKRGSPNPHAFPGELLSQPRPEGVAEIICPKNGSERV NVALVYPPTPTVISPCSKKNVGEKHRNQ >ENSMUSP00000082190.3 pep:known chromosome:GRCm38:12:98920574:98983232:1 gene:ENSMUSG00000021013.15 transcript:ENSMUST00000085109.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc8 description:tetratricopeptide repeat domain 8 [Source:MGI Symbol;Acc:MGI:1923510] MGSEMEPLLRAWSYFRRRKFQLCADLCTQMLEKSPYDQAAWILKARALTEMVYIDEIDVD QEGIAEMILDENAIAQVPRPGTSLKLPGTNQTGGPTQAVRPITQAGRPITGFLRPSTQSG RPGTMEQAIRTPRTAYTARPITSSSGRFVRLGTASMLTSPDGPFINLSRLNLTKYSQKPK LAKALFEYILHHENDVKMALDLASLSTEYSQYKDWWWKVQIGKCYYRLGMYREAEKQFKS ALKQQEMVDTFLYLAKVYIILDQPVTALNLFKQGLDKFPGEVTLLCGIARIYEEMNNSSS AAEYYKEVLKQDNTHVEAIACIGSNHFYSDQPEVALRFYRRLLQMGVYNCQLFNNLGLCC FYAQQYDMTLTSFERALSLAENEEEAADVWYNLGHIAVGIGDTNLAHQCFRLALVHNNHH AEAYNNLAVLEMRKGHVEQARALLQTASSLAPHMYEPHFNFATVSDKIGDLQRSYVAAQK SEVAFPEHVDTQHLIKQLKQHFAML >ENSMUSP00000078148.6 pep:known chromosome:GRCm38:12:98920612:98983238:1 gene:ENSMUSG00000021013.15 transcript:ENSMUST00000079146.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc8 description:tetratricopeptide repeat domain 8 [Source:MGI Symbol;Acc:MGI:1923510] MGSEMEPLLRAWSYFRRRKFQLCADLCTQMLEKSPYDQEPAPDLPVSQAAWILKARALTE MVYIDEIDVDQEGIAEMILDENAIAQVPRPGTSLKLPGTNQTGGPTQAVRPITQAGRPIT GFLRPSTQSGRPGTMEQAIRTPRTAYTARPITSSSGRFVRLGTASMLTSPDGPFINLSRL NLTKYSQKPKLAKALFEYILHHENDVKMALDLASLSTEYSQYKDWWWKVQIGKCYYRLGM YREAEKQFKSALKQQEMVDTFLYLAKVYIILDQPVTALNLFKQGLDKFPGEVTLLCGIAR IYEEMNNSSSAAEYYKEVLKQDNTHVEAIACIGSNHFYSDQPEVALRFYRRLLQMGVYNC QLFNNLGLCCFYAQQYDMTLTSFERALSLAENEEEAADVWYNLGHIAVGIGDTNLAHQCF RLALVHNNHHAEAYNNLAVLEMRKGHVEQARALLQTASSLAPHMYEPHFNFATVSDKIGD LQRSYVAAQKSEVAFPEHVDTQHLIKQLKQHFAML >ENSMUSP00000115828.1 pep:known chromosome:GRCm38:12:98920820:98961492:1 gene:ENSMUSG00000021013.15 transcript:ENSMUST00000132878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc8 description:tetratricopeptide repeat domain 8 [Source:MGI Symbol;Acc:MGI:1923510] MVYIDEIDVDQEGIAEMILDENAIAQVPRPGTSLKLPGTNQTGGPTQAVRPITQAGRPIT GFLRPSTQSGRPGTMEQAIRTPRTAYTARPITSSSGRFVRLGTASMLTSPDGPFINLSRL NLTKYSQKPKLAKALFEYILHHENDVKMALDLASLSTEYSQYKDWWWKVQIGKCYYRLGM YREAEKQFKSALKQQEMVDTFLYLAKV >ENSMUSP00000141085.1 pep:known chromosome:GRCm38:1:128243964:128259535:1 gene:ENSMUSG00000026353.9 transcript:ENSMUST00000190736.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn4 description:UBX domain protein 4 [Source:MGI Symbol;Acc:MGI:1915062] MHSSKGEASVTNDNQSESSVSTPSASFEPDVCENPESKNTELCETPATSDTKSDTATGGE CTGHDSHSQEPHGCSNQRPAEDLTVRVE >ENSMUSP00000027592.3 pep:known chromosome:GRCm38:1:128244162:128279378:1 gene:ENSMUSG00000026353.9 transcript:ENSMUST00000027592.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn4 description:UBX domain protein 4 [Source:MGI Symbol;Acc:MGI:1915062] MLWFQGAIPAAIASAKRSGAVFVVFVAGDDEQSIQMAASWEDEKVTQASSNNFVAIKIDT KSEACLQFSQIYPVVCVPSSFFIGDSGIPLEVIAGSVSADELVTRIHKVQQMHSSKGEAS VTNDNQSESSVSTPSASFEPDVCENPESKNTELCETPATSDTKSDTATGGECTGHDSHSQ EPHGCSNQRPAEDLTVRVERLTKKLEERREEKRKEEAQREIKKEIERRKTGKEMLDYKRK QEEELTKRMLEERSREKAEDRAARERIKQQIALDRAERAARFAKTKEAEAAKAAALLTKQ AGTEVKRESTARDRSTIARIQFRLPDGSSFTNQFPSDAPLEEARQFAAQTVGNTYGNFSL ATMFPRREFTREDYKRRLLDLELAPSASVVLLPAGRPATSIVHSSSGDIWTLLGTVLYPF LAIWRLISNFLFSNPPPAQTSARATSTEPSNSASSSKSEKREPVRKRMLEKRGEDFKKEG KIYRLRTQDDGEDENNTWNGNSTQQM >ENSMUSP00000051316.5 pep:known chromosome:GRCm38:7:97332327:97350213:1 gene:ENSMUSG00000044952.5 transcript:ENSMUST00000054107.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd21 description:potassium channel tetramerisation domain containing 21 [Source:MGI Symbol;Acc:MGI:3643121] MSDPITLNVGGKLYTTSLATLTSFPDSMLGAMFSGKMPTKRDSQGNCFIDRDGKVFRYIL NFLRTSHLDLPEDFQEMGLLRREADFYQVQPLIEALQEKEVELSKAEKNAMLNITLKQRV QTVHFTVREAPQIYSLSSSSMEVFNANIFSTSCLFLKLLGSKLFYCSNGNLSSITSHLQD PNHLTLDWVANVEGLPEEEYTKQNLKRLWVVPANKQINSFQVFVEEVLKIALSDGFCIDS SHPHALDFMNNKIIRLIRYR >ENSMUSP00000026360.8 pep:known chromosome:GRCm38:12:119158022:119238802:-1 gene:ENSMUSG00000025321.14 transcript:ENSMUST00000026360.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb8 description:integrin beta 8 [Source:MGI Symbol;Acc:MGI:1338035] MCGSALAFLTAALLSLHNCQRGPALVLGAAWVFSLVLGLGQSEHNRCGSANVVSCARCLQ LGPECGWCVQEDFVSGGSGSERCDTVSSLISKGCPVDSIEYLSVHVVTSSENEINTQVTP GEVSVQLHPGAEANFMLKVRPLKKYPVDLYYLVDVSASMHNNIEKLNSVGNDLSKKMALY SRDFRLGFGSYVDKTVSPYISIHPERIHNQCSDYNLDCMPPHGYIHVLSLTENITEFEKA VHRQKISGNIDTPEGGFDAMLQAAVCESHIGWRKEAKRLLLVMTDQTSHLALDSKLAGIV VPNDGNCHLKNNVYVKSTTMEHPSLGQLSEKLIDNNINVIFAVQGKQFHWYKDLLPLLPG AIAGEIESKAANLNNLVVEAYKKIISEVKVQLENQVHGVHFNITAICPDGARKPGISGCG NVTSNDEVLFNVTVVMKTCDIMGGKNYAIIKPIGFNETTKVHIHRSCSCQCENHRGLKGQ CAEAAPDPKCPQCDDSRCHFDEDQFPSETCKPQEDQPVCSGRGVCICGKCLCHKTKLGRV YGQYCEKDDFSCPYLHGDVCAGHGECEGGRCQCFSGWEGDRCQCPSASAQHCVNSKGQVC SGRGTCVCGRCECTDPRSIGRLCEHCPTCHLSCSENWNCLQCLHPHNLSQAALDQCKSSC AVMEQHRMDQTSECLSGPSYLRIFFIIFIVTFLIGLLKVLIIRQVILQWNNNKIKSSSDY RMSASKKDKLILQSVCTRAVTYRREKPEEIKMDISKLNAQEAFRCNF >ENSMUSP00000044926.7 pep:known chromosome:GRCm38:X:134295225:134305969:1 gene:ENSMUSG00000033578.7 transcript:ENSMUST00000037687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem35 description:transmembrane protein 35 [Source:MGI Symbol;Acc:MGI:1914814] MASPRTITIMALSVALGLFFVFMGTIKLTPRLSKDAYSEMKRAYKSYVRALPLLKKMGIN SILLRKSIGALEVACGIVMTLVPGRPKDVANFFLLLLVLAVLFFHQLVGDPLKRYAHALV FGILLTCRLLIARKPEDRSSEKKALPESAEEQPSLYEKAPQGKVKVS >ENSMUSP00000051034.7 pep:known chromosome:GRCm38:1:97606318:97662074:-1 gene:ENSMUSG00000044768.16 transcript:ENSMUST00000053033.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D1Ertd622e description:DNA segment, Chr 1, ERATO Doi 622, expressed [Source:MGI Symbol;Acc:MGI:1277184] MEVDINGDSRSTLTTLPLPVAEGSSPGKAEAEKPRCSSTPCSPMRRTVSGYQILHMDSNY LVGFTTGEELLKLAQKCTGGEDSKGEAMPALRAKQLDTGLARSSRLYKTRSRYYQPYEIP AVNGRRRRRMPSSGDKCTKPLPYEPYKALHGPLPLCLLKGKRAHSKSLDYLNLDKMNIKE PADTEVLQYQLQHLTLRGDRVFARNNT >ENSMUSP00000138031.1 pep:known chromosome:GRCm38:1:97643903:97661676:-1 gene:ENSMUSG00000044768.16 transcript:ENSMUST00000153115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D1Ertd622e description:DNA segment, Chr 1, ERATO Doi 622, expressed [Source:MGI Symbol;Acc:MGI:1277184] MEVDINGDSRSTLTTLPLPVAEGSSPGKAEAEKPRCSSTPCSPMRRTVSGYQILHMDSNY LVGFTTGEELLKLAQKCTGGEDSKGEAMPALRAKQLDTGLARSSRLYKTRSRYYQPYEIP AVNGRRRRRMPSSGDKCTKPLPYEPYKALHGPLPLCLLKGKRAHSKSLDYLNLDKMNIKE PADTEVLQYQLQHLTLRGDRVFARNNT >ENSMUSP00000121997.2 pep:known chromosome:GRCm38:1:97643903:97662074:-1 gene:ENSMUSG00000044768.16 transcript:ENSMUST00000149927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D1Ertd622e description:DNA segment, Chr 1, ERATO Doi 622, expressed [Source:MGI Symbol;Acc:MGI:1277184] MEVDINGDSRSTLTTLPLPVAEGSSPGKAEAEKPRCSSTPCSPMRRTVSGYQILHMDSNY LVGFTTGEELLKLAQKCTGGEDSKGEAMPALRAKQLDTGLARSSRLYKTRSRYYQPYEIP AVNGRRRRRMPSSGDKCTKPLPYEPYKALHGPLPLCLLKGKRAHSKSLDYLNLDKMNIKE PADTEVLQYQLQHLTLRGDRVFARNNT >ENSMUSP00000137803.1 pep:known chromosome:GRCm38:1:97645935:97661676:-1 gene:ENSMUSG00000044768.16 transcript:ENSMUST00000142234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D1Ertd622e description:DNA segment, Chr 1, ERATO Doi 622, expressed [Source:MGI Symbol;Acc:MGI:1277184] MEVDINGDSRSTLTTLPLPVAEGSSPGKAEAEKPRCSSTPCSPMRRTVSGYQILHMDSNY LVGFTTGEELLKLAQKCTGGEDSKGEAMPALRAKQLDTGLARSSRLYKTRSRYYQPYEIP AVNGRRRRRMPSSG >ENSMUSP00000140705.1 pep:known chromosome:GRCm38:1:16964560:17004219:-1 gene:ENSMUSG00000042686.5 transcript:ENSMUST00000186024.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jph1 description:junctophilin 1 [Source:MGI Symbol;Acc:MGI:1891495] XASVSKYSGRHHVPNPSNGELHSQYHGYYVKLNTPQHPPEDREDDRGVSQSSSALVPRPS PNKWGPPKSVTKPVAKESKTEPKAKKSELAIPKNPASNDTCPSLEKEANSGPNSIMIVLV MLLNIGLAILFVHFLT >ENSMUSP00000039072.4 pep:known chromosome:GRCm38:1:16994938:17097889:-1 gene:ENSMUSG00000042686.5 transcript:ENSMUST00000038382.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jph1 description:junctophilin 1 [Source:MGI Symbol;Acc:MGI:1891495] MTGGRFDFDDGGTYCGGWEEGKAHGHGICTGPKGQGEYSGSWSHGFEVVGVYTWPSGNTY QGYWAQGKRHGLGVETKGKWMYRGEWSHGFKGRYGVRQSLCTPARYEGTWSNGLQDGYGV ETYGDGGTYQGQWAGGMRHGYGVRQSVPYGMATVIRSPLRTSLASLRSEQSNGSVLHEAA AAAADSPAGTRGGFVLNFHADTELGKKKGGLFRRGSLLGSMKLRKSESKSSISSKRSSVR SDAAMSRISSSDANSTISFGDVDCDFCPVEDHVDATTTETYMGEWKNDKRNGFGISERSN GMKYEGEWANNKRHGYGCTVFPDGSKEEGKYKNNILVRGIRKQLIPIRNTKTREKVDRAI EGAQRAAAMARTKVEIANSRTAHARAKADAADQAALAARQECDIARAVARELSPDFYQPG PDYIKQRCQEGGDIKENPEEKVLEKPPSPKESPHFYRKGTTPPRSPESSPKQSHSPQPSS PEPSKKQNPSPGARLSQDKQSLAEEQVTAFVNKPSMSKAPAKELGASVSKYSGRHHVPNP SNGELHSQYHGYYVKLNTPQHPPEDREDDRGVSQSSSALVPRPSPNKWGPPKSVTKPVAK ESKTEPKAKKSELAIPKNPASNDTCPSLEKEANSGPNSIMIVLVMLLNIGLAILFVHFLT >ENSMUSP00000124137.1 pep:known chromosome:GRCm38:1:85717083:85778643:-1 gene:ENSMUSG00000052760.15 transcript:ENSMUST00000159122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630001G21Rik description:RIKEN cDNA A630001G21 gene [Source:MGI Symbol;Acc:MGI:2443131] MFGVIQKEERFNEVFLNYFKENKIEIAHAITKLFPFLESLRDHSFITDKIYADSYEACRN SVPIVEVVYNVLSHLERLSDSSFLWALFNRVNLKEYPALREIHKSLGTELQDGYSPQKCK QEEQKKLATVHPSYEQGTNLCTHEKWAQPCFVKQDNLQEPEIQQNQVDEIILISSESSEC SDEDEEDQALGTGLRRQMNKEAEDSSPPGFQNIELPAGSHTSCVWTNHS >ENSMUSP00000070374.7 pep:known chromosome:GRCm38:1:85717689:85736629:-1 gene:ENSMUSG00000052760.15 transcript:ENSMUST00000064788.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630001G21Rik description:RIKEN cDNA A630001G21 gene [Source:MGI Symbol;Acc:MGI:2443131] MFGVIQKEERFNEVFLNYFKENKIEIAHAITKLFPFLESLRDHSFITDKIYADSYEACRN SVPIVEVVYNVLSHLERLSDSSFLWALFNRVNLKEYPALREIHKSLGTELQDGYSPQKCK QEEQKKLATVHPSYEQGTNLCTHEKWAQPCFVKQDNLQEPEIQQNQVDEIILISSESSEC SDEDEEDQALGTGLRRQMNKEAEDSSPPGFQNIELPAGSHTSCVWTNHS >ENSMUSP00000125643.1 pep:known chromosome:GRCm38:1:85717692:85736581:-1 gene:ENSMUSG00000052760.15 transcript:ENSMUST00000162038.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:A630001G21Rik description:RIKEN cDNA A630001G21 gene [Source:MGI Symbol;Acc:MGI:2443131] MFGVIQKEERFNEVFLNYFKENKIEIAHAITKLFPFLESLRDHSFITDKIYADSYEACRN SVPIVEVVYNVLSHLERLSDSSFLWALFNRVNLKEYPALREIHKSLGTGRDKLFQP >ENSMUSP00000128056.1 pep:known chromosome:GRCm38:1:74945315:74951672:-1 gene:ENSMUSG00000006538.10 transcript:ENSMUST00000164097.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ihh description:Indian hedgehog [Source:MGI Symbol;Acc:MGI:96533] MESPRATQTPESPKLSQPRAHLSAHQAPSPAALPGYPAMSPAWLRPRLRFCLFLLLLLLV PAARGCGPGRVVGSRRRPPRKLVPLAYKQFSPNVPEKTLGASGRYEGKIARSSERFKELT PNYNPDIIFKDEENTGADRLMTQRCKDRLNSLAISVMNQWPGVKLRVTEGWDEDGHHSEE SLHYEGRAVDITTSDRDRNKYGLLARLAVEAGFDWVYYESKAHVHCSVKSEHSAAAKTGG CFPAGAQVRLENGERVALSAVKPGDRVLAMGEDGTPTFSDVLIFLDREPNRLRAFQVIET QDPPRRLALTPAHLLFIADNHTEPAAHFRATFASHVQPGQYVLVSGVPGLQPARVAAVST HVALGSYAPLTRHGTLVVEDVVASCFAAVADHHLAQLAFWPLRLFPSLAWGSWTPSEGVH WYPQMLYRLGRLLLEESTFHPLGMSGAGS >ENSMUSP00000044441.7 pep:known chromosome:GRCm38:15:81936759:81950941:1 gene:ENSMUSG00000042109.7 transcript:ENSMUST00000038757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csdc2 description:cold shock domain containing C2, RNA binding [Source:MGI Symbol;Acc:MGI:2146027] MTSESTLPPVVPPLHSPKSPVWPTFPFHREGSRIWERGGGIAPRDLPSPLPTKRTRTYSA TARASAGPVFKGVCKQFSRSQGHGFITPENGSEDIFVHVSDIEGEYVPVEGDEVTYKICP IPPKNQKFQAVEVVLTQLAPHTPHETWSGQVVGS >ENSMUSP00000121080.1 pep:known chromosome:GRCm38:15:81936982:81946869:1 gene:ENSMUSG00000042109.7 transcript:ENSMUST00000135663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csdc2 description:cold shock domain containing C2, RNA binding [Source:MGI Symbol;Acc:MGI:2146027] MTSESTLPPVVPPLHSPKSPVWPTFPFHREGSRIWERGG >ENSMUSP00000071636.5 pep:known chromosome:GRCm38:1:161240112:161251219:-1 gene:ENSMUSG00000026701.15 transcript:ENSMUST00000071718.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx6 description:peroxiredoxin 6 [Source:MGI Symbol;Acc:MGI:894320] MPGGLLLGDEAPNFEANTTIGRIRFHDFLGDSWGILFSHPRDFTPVCTTELGRAAKLAPE FAKRNVKLIALSIDSVEDHLAWSKDINAYNGETPTEKLPFPIIDDKGRDLAILLGMLDPV EKDANNMPVTARVVFIFGPDKKLKLSILYPATTGRNFDEILRVVDSLQLTGTKPVATPVD WKKGESVMVVPTLSEEEAKQCFPKGVFTKELPSGKKYLRYTPQP >ENSMUSP00000142093.1 pep:known chromosome:GRCm38:1:161241015:161251186:-1 gene:ENSMUSG00000026701.15 transcript:ENSMUST00000192639.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prdx6 description:peroxiredoxin 6 [Source:MGI Symbol;Acc:MGI:894320] MPGGLLLGDEAPNFEANTTIGRIRFHDFLGDSTSMLTMVKHPRKSCHFPSLMIRAGTLPS FWACWIQSRRTLTTCL >ENSMUSP00000050703.4 pep:known chromosome:GRCm38:1:161241598:161251166:-1 gene:ENSMUSG00000026701.15 transcript:ENSMUST00000051925.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx6 description:peroxiredoxin 6 [Source:MGI Symbol;Acc:MGI:894320] MKWHLKMRWGILFSHPRDFTPVCTTELGRAAKLAPEFAKRNVKLIALSIDSVEDHLAWSK DINAYNGETPTEKLPFPIIDDKGRDLAILLGMLDPVEKDANNMPVTARVVFIFGPDKKLK LSILYPATTGRNFDEILRVVDSLQLTGTKPVATPVDWKKGESVMVVPTLSEEEAKQCFPK GVFTKELPSGKKYLRYTPQP >ENSMUSP00000145317.1 pep:known chromosome:GRCm38:6:136827985:136839798:1 gene:ENSMUSG00000047515.8 transcript:ENSMUST00000204086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC049715 description:cDNA sequence BC049715 [Source:MGI Symbol;Acc:MGI:3605234] MSSESEKDKERL >ENSMUSP00000049512.6 pep:known chromosome:GRCm38:6:136828843:136840536:1 gene:ENSMUSG00000047515.8 transcript:ENSMUST00000052702.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC049715 description:cDNA sequence BC049715 [Source:MGI Symbol;Acc:MGI:3605234] MSSESEKDKERLIQAAKLFFFHIRDLVSFINRFVELFNLTMKTQILPMNLNEESCIKDFF EQMIRNFKEMQLMVDGKHKQMQKEPLCSKVLTAMTSAVEKCATIAPHHTAEDMLRNIQTS GAALVLKTSHVLVNLETSLSLLMQFPIMGLRLSDLYREETKEQSDATSDATTSEKNKSPE CPKATTEETLRKLQDVLSPENAHTPVEAAADELEQFVKSMEITLQVLQKSIKTMEGDIFV LTQVQGK >ENSMUSP00000145015.1 pep:known chromosome:GRCm38:6:136830903:136840662:1 gene:ENSMUSG00000047515.8 transcript:ENSMUST00000203499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC049715 description:cDNA sequence BC049715 [Source:MGI Symbol;Acc:MGI:3605234] MSSESEKDKERLIQAAKLFFFHIRDLVSFINRFVELFNLTMKTQILPMNLNEESCIKDFF EQMIRNFKEMQLMVDGKHKQMQKEPLCSKVLTAMTSAVEKCATIAPHHTAEDMLRNIQTS GAALVLKTSHVLVNLETSLSLLMQFPIMGLRLSDLYREETKEQSDATSDATTSEKNKSPE CPKATTEETLRKLQDVLSPENAHTPVEAAADELEQFVKSMEITLQVLQKSIKTMEGDIFV LTQVQGK >ENSMUSP00000133266.1 pep:known chromosome:GRCm38:6:136839764:136840507:1 gene:ENSMUSG00000047515.8 transcript:ENSMUST00000163640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC049715 description:cDNA sequence BC049715 [Source:MGI Symbol;Acc:MGI:3605234] MSSESEKDKERLIQAAKLFFFHIRDLVSFINRFVELFNLTMKTQILPMNLNEESCIKDFF EQMIRNFKEMQLMVDGKHKQMQKEPLCSKVLTAMTSAVEKCATIAPHHTAEDMLRNIQTS GAALVLKTSHVLVNLETSLSLLMQFPIMGLRLSDLYREETKEQSDATSDATTSEKNKSPE CPKATTEETLRKLQDVLSPENAHTPVEAAADELEQFVKSMEITLQVLQKSIKTMEGDIFV LTQVQGK >ENSMUSP00000000329.2 pep:known chromosome:GRCm38:11:70241457:70255353:-1 gene:ENSMUSG00000000320.10 transcript:ENSMUST00000000329.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox12 description:arachidonate 12-lipoxygenase [Source:MGI Symbol;Acc:MGI:87998] MGRYRVRVVTGAWLFSGSLNLVRLWLVGEHREAKLELQLRPARGKEEEFDFDVPEDLGPL QFVKLHKQHTVVDDAWFCNLITVQGPGTSAEAVFPCYRWVQGEGILSLPEGTARLAGDNA LDVFQKYREKELKERQQTYCWATWKEGLPQTIAADCKDDLPPNMRFHEEKRLDFEWTLKA GVLEMGLKRVYTLLRSWNHLEDFDQIFWGQKSALAEKVHQCWQEDELFGYQFLNGANPML LRRSTSLPSRLVLPSGMEELQAQLEKELKNGSLFEADFILLDGIPANVIRGEPQYLAAPL VMLRMDPGGKLLPMAIQIQPPNPSSPAPTLFLPSDPPLAWLLAKIWVRNSDFQLQELQFH LLNTHLVAEVIAVATMRCLPGLHPIFKLLVPHIRYTMEINTRSRTQLISDGGIFDQVVST GGGGHVQLLTRAVAQLTYHSLCPPDDLANRGLLRIPSALYARDALQLWEVTARYVKGMVH LFYQSDDIVRGDPELQAWCREITEVGLCHAQDRGFPVSFQSRAQLCHFLTMCVFTCTAQH AAINQGQLDWYGWVPNAPCTMRMPPPTSKDDVTMETVMGSLPDVQKACLQMTITWHLGRL QPDMVPLGHHTEKYFSDPRTKAVLSQFQADLDNLEKEITARNEQLDLPYEYLKPSRIENS ITI >ENSMUSP00000104214.2 pep:known chromosome:GRCm38:11:70248963:70255341:-1 gene:ENSMUSG00000000320.10 transcript:ENSMUST00000108574.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox12 description:arachidonate 12-lipoxygenase [Source:MGI Symbol;Acc:MGI:87998] MGRYRVRVVTGAWLFSGSLNLVRLWLVGEHREAKLELQLRPARGKEEEFDFDVPEDLGPL QFVKLHKQHTVVDDAWFCNLITVQGPGTSAEAVFPCYRWVQGEGILSLPEGTARLAGDNA LDVFQKYREKELKERQQTYCWATWKEGLPQTIAADCKDDLPPNMRFHEEKRLDFEWTLKA GVLEMGLKRVYTLLRSWNHLEDFDQIFWGQKSALAEKVHQCWQEDELFGYQFLNGANPML LRRSTSLPSRLVLPSGMEELQAQLEKELKNGSLFEADFILLDGIPANVIRGEPQYLAAPL VMLRMDPGGKLLPMAIQIQPPNPSSPAPTLFLPSDPPLAWLLAKIWVRNSDFQLQELQFH LLNTHLVAEVIAVATMRCLPGLHPIFKVHT >ENSMUSP00000030332.6 pep:known chromosome:GRCm38:4:108400390:108406961:-1 gene:ENSMUSG00000028597.11 transcript:ENSMUST00000030332.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx7 description:glutathione peroxidase 7 [Source:MGI Symbol;Acc:MGI:1914555] MVAAVATAWLLLWAAACAQSEQDFYDFKAVNIRGKLVSLEKYRGSVSLVVNVASECGFTD QNYRALQQLQRDLGPHHFNVLAFPCNQFGQQEPDTNREIENFARRTYSVSFPMFSKIAVT GTGAHPAFKYLTQTSGKEPTWNFWKYLVDPDGKVVGAWDPTVPVAEIKPRITEQVMKLIL RKREDL >ENSMUSP00000139335.1 pep:known chromosome:GRCm38:4:108400849:108406724:-1 gene:ENSMUSG00000028597.11 transcript:ENSMUST00000184609.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx7 description:glutathione peroxidase 7 [Source:MGI Symbol;Acc:MGI:1914555] MVAAVATAWLLLWAAACAQSEQDFYDFKAVNIRGKLVSLEKYRGSRLLGRSPPGTSGST >ENSMUSP00000032908.8 pep:known chromosome:GRCm38:7:114550166:114562972:-1 gene:ENSMUSG00000030670.15 transcript:ENSMUST00000032908.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2r1 description:cytochrome P450, family 2, subfamily r, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2449771] MLELPGARACAGALAGALLLLLFVLVVRQLLRQRRPAGFPPGPPRLPFVGNICSLALSAD LPHVYMRKQSRVYGEIFSLDLGGISTVVLNGYDVVKECLVHQSEIFADRPCLPLFMKMTK MGGLLNSRYGRGWIDHRRLAVNSFHYFGSGQKSFESKILEETWSLIDAIETYKGGPFDLK QLITNAVSNITNLILFGERFTYEDTDFQHMIELFSENVELAASAPVFLYNAFPWIGILPF GKHQRLFRNADVVYDFLSRLIEKAAVNRKPHLPHHFVDAYLDEMDQGQNDPLSTFSKENL IFSVGELIIAGTETTTNVLRWAILFMALYPNIQGQVHKEIDLIVGHNRRPSWEYKCKMPY TEAVLHEVLRFCNIVPLGIFHATSEDAVVRGYSIPKGTTVITNLYSVHFDEKYWKDPDMF YPERFLDSNGYFTKKEALIPFSLGRRHCLGEQLARMEMFLFFTSLLQQFHLHFPHELVPN LKPRLGMTLQPQPYLICAERR >ENSMUSP00000123556.1 pep:known chromosome:GRCm38:7:114550222:114562970:-1 gene:ENSMUSG00000030670.15 transcript:ENSMUST00000138712.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp2r1 description:cytochrome P450, family 2, subfamily r, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2449771] MLELPGARACAGALAGALLLLLFVLVVRQLLRQRRPAGFPPGPPRLPFVGNICSLALSAD LPHVYMRKQSRVYGEIDHAFLCS >ENSMUSP00000112818.1 pep:known chromosome:GRCm38:7:114550233:114562962:-1 gene:ENSMUSG00000030670.15 transcript:ENSMUST00000119712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2r1 description:cytochrome P450, family 2, subfamily r, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2449771] MLELPGARACAGALAGALLLLLFVLVVRQLLRQRRPAGFPPGPPRLPFVGNICSLALSAD LPHVYMRKQSRVYGEIFSLDLGGISTVVLNGYDVVKECLVHQSEIFADRPCLPLFMKMTK MGGQVHKEIDLIVGHNRRPSWEYKCKMPYTEAVLHEVLRFCNIVPLGIFHATSEDAVVRG YSIPKGTTVITNLYSVHFDEKYWKDPDMFYPERFLDSNGYFTKKEALIPFSLGRRHCLGE QLARMEMFLFFTSLLQQFHLHFPHELVPNLKPRLGMTLQPQPYLICAERR >ENSMUSP00000121924.1 pep:known chromosome:GRCm38:7:114550247:114554732:-1 gene:ENSMUSG00000030670.15 transcript:ENSMUST00000128587.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp2r1 description:cytochrome P450, family 2, subfamily r, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2449771] IFSLDLGGISTVVLNGYDVVKECLVHQSEIFADRPCLPLFMKMTKMGGLLNSRYGRGWID HRRLAVNSFHYFGSGQKSFESKILEETWSLIDAIETYKGGPFDLKQLITNAVSNITNLIL FGERFTYEDTDFQHMIELFSENVELAASAPVFLYNAFPWIGILPFGKHQRLFRNADVVYD FLSRLIEKAAVNRKPHLPHHFVDAYLDEMDQGQNDPLSTFSKENLIFSVGELIIAGTETT TNVLRWAILFMALYPNIQVLERSRHVLS >ENSMUSP00000119605.1 pep:known chromosome:GRCm38:7:114550249:114562962:-1 gene:ENSMUSG00000030670.15 transcript:ENSMUST00000147428.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp2r1 description:cytochrome P450, family 2, subfamily r, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2449771] MLELPGARACAGALAGALLLLLFVLVVRQLLRQRRPAGFPPGPPRLPFVGNICSLALSAD LPHVYMRKQSRVYGEIDHAFLCS >ENSMUSP00000047244.5 pep:known chromosome:GRCm38:3:96670131:96691032:1 gene:ENSMUSG00000038354.13 transcript:ENSMUST00000048427.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd35 description:ankyrin repeat domain 35 [Source:MGI Symbol;Acc:MGI:2442590] MKRIFSCSSSQVAVEKWNRRDQKLLEAVQRGDVGRVAALASRKSARPTKLDSNGQSPFHL AASKGLTECLTILLANGADINSKNEDGSTALHLATISCQPQCVKVLLQHGANEDAVDAEN RSPLHWAASSGCASSVLLLCDHEAFLDVLDNDGRTPLMIASLGGHAAICSQLLQRGARVN VTDKDDKSALILACEKGSAEVAELLLSHGADAGAVDSLGHNALHYALRTQDKELWRLLQQ ALNRRRRGGHGLVQHPDHPSQASSCEPRVGSPPKNSRKVEPEEEQEEEGEERCSEEWRWK FEEEQRKVHQLEQELVRKTDECKAHAAAFSSLEEQIREQAQELGHLLVQEPGAPGNQGPG LRPEGDGMEEGCPLNLLAERIQELKKQQKALATINPTLVPKRAEELAPAEIHHEVHRKSQ PEQGLPQGPSSETTGKATGQQPNTNGGQNLGLQNTEQVCAGQKERTPAPGTETAGTVGEP VGIAMNQLLLQLREELAAVWREKDAARGALSRPVLEGALGTPRAEAAAAAWEKMEARLER VLVRLDGAKMGLHVKPEVPVQGSRDGAPKAVPGCSKEQEEKKALGTRGEPLGAPGKEQAL GGGLAKGQLEKEVSALRLSNSNLLEELGELGRERQRLQGELQSLTQRLHREFVPKPEAQV QLQQLRRSVGMLTEELAMEKEATDKLRRLLASQTSGLQGLWKCLPPDLVGKGNTQSTAAE PLEELQACISTLVDRHLEAQRVLARLEEENQQLRGSLAPCGEPEASLKVTASPQVAALEE DLGMLEEELRAVQATMSGKSQEICKLKQLLYQATEEVAELRAREAASLRQHEKTRGSLVA QAQAWGQELKVVLEKYNTACREMTRLRDTVAEERRRSEDLAARAAEQERQAGEMRGRSEQ FEKTAELLKEKTNHLIGACRDKEAKIKELLKKLEQLSEEVLEVRGENAHLALQLQDSQKN HEEIISTYRSHLLNAARGYMEQDVYNILLRILSMQE >ENSMUSP00000115316.1 pep:known chromosome:GRCm38:3:96670327:96691009:1 gene:ENSMUSG00000038354.13 transcript:ENSMUST00000122960.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankrd35 description:ankyrin repeat domain 35 [Source:MGI Symbol;Acc:MGI:2442590] MKRIFSCSSSQVAVEKWNRRDQKLLEAVQRGDVGRVAALASRKSARPTKLDSNGQSP >ENSMUSP00000115851.1 pep:known chromosome:GRCm38:9:55221298:55283587:-1 gene:ENSMUSG00000032311.17 transcript:ENSMUST00000145784.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrg4 description:neuregulin 4 [Source:MGI Symbol;Acc:MGI:1933833] MPTDHEQPCGPRHRSFCLNGGICYVIPTIPSPFCRFYHLFLRHCLLKPFVQLGTLVYPVF LKEFIPLTRPKFSCSVFLNA >ENSMUSP00000115247.1 pep:known chromosome:GRCm38:9:55221301:55326749:-1 gene:ENSMUSG00000032311.17 transcript:ENSMUST00000130158.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrg4 description:neuregulin 4 [Source:MGI Symbol;Acc:MGI:1933833] MPTDHEQPCGPRHRSFCLNGGICYVIPTIPSPFCRCIENYTGARCEEVFLPSSSIPSESN LSAAFVVLAVLLTLTIAALCFLCRKGHLQRASSVQCEISLVETNNTRTRHSHREH >ENSMUSP00000114336.1 pep:known chromosome:GRCm38:9:55221302:55283572:-1 gene:ENSMUSG00000032311.17 transcript:ENSMUST00000137675.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrg4 description:neuregulin 4 [Source:MGI Symbol;Acc:MGI:1933833] MPTGNFLSRAALWSQAQVILPQWGDLLCDPYYPQPIL >ENSMUSP00000114412.1 pep:known chromosome:GRCm38:9:55224232:55283572:-1 gene:ENSMUSG00000032311.17 transcript:ENSMUST00000135531.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrg4 description:neuregulin 4 [Source:MGI Symbol;Acc:MGI:1933833] MPTGNFLSRAALWSQAQVILPQWGDLLCDPYYPQPIL >ENSMUSP00000109945.1 pep:known chromosome:GRCm38:9:55240700:55283602:-1 gene:ENSMUSG00000032311.17 transcript:ENSMUST00000114306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg4 description:neuregulin 4 [Source:MGI Symbol;Acc:MGI:1933833] MPTDHEQPCGPRHRSFCLNGGICYVIPTIPSPFCRCIENYTGARCEEVFLPSSSIPSESN LSAAFVVLAVLLTLTIAALCFLCR >ENSMUSP00000130929.1 pep:known chromosome:GRCm38:9:55220223:55283625:-1 gene:ENSMUSG00000032311.17 transcript:ENSMUST00000164721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrg4 description:neuregulin 4 [Source:MGI Symbol;Acc:MGI:1933833] MPTDHEQPCGPRHRSFCLNGGICYVIPTIPSPFCRCIENYTGARCEEVFLPSSSIPSESN LSAAFVVLAVLLTLTIAALCFLCRKGHLQRASSVQCEISLVETNNTRTRHSHREH >ENSMUSP00000107048.2 pep:known chromosome:GRCm38:5:124862691:124888630:1 gene:ENSMUSG00000079215.8 transcript:ENSMUST00000111417.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp664 description:zinc finger protein 664 [Source:MGI Symbol;Acc:MGI:2442505] MIYKCPMCREFFSERADLFMHQKIHTAEKPHKCDKCDKGFFHISELHIHWRDHTGEKVYK CDDCGKDFSTTTKLNRHKKIHTVEKPYKCYECGKAFNWSSHLQIHMRVHTGEKPYVCSEC GRGFSNSSNLCMHQRVHTGEKPFKCEECGKAFRHTSSLCMHQRVHTGEKPYKCYECGKAF SQSSSLCIHQRVHTGEKPYRCCGCGKAFSQSSSLCIHQRVHTGEKPFKCDECGKAFSQST SLCIHQRVHTKERNHLKISVI >ENSMUSP00000122780.1 pep:known chromosome:GRCm38:8:94923694:94934516:1 gene:ENSMUSG00000061577.11 transcript:ENSMUST00000153448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg5 description:adhesion G protein-coupled receptor G5 [Source:MGI Symbol;Acc:MGI:2685955] MDPHGALFFYLCLLAAQVVLVETLSDLLVLMKRLEQPVGRGLSSRARSEAAREVLHVPVP ALPLSAGSDLICFPVGRSERGSALWPLLVRAPHAMQFPAELTKGACV >ENSMUSP00000074155.3 pep:known chromosome:GRCm38:8:94923694:94943280:1 gene:ENSMUSG00000061577.11 transcript:ENSMUST00000074570.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg5 description:adhesion G protein-coupled receptor G5 [Source:MGI Symbol;Acc:MGI:2685955] MDPHGALFFYLCLLAAQVVLVETLSDLLVLMKRLEQPVGRGLSSRARHIHSLEQKLLNAS FGGHNLTLQTNSIQSLVFKLSCDFPGLSLSSTTLTNVSQVRAPHAMQFPAELTKGACVTS RPAELRLICIYFFTAHLFQDDRNSSLLNNYVLGAQLDHRPVNNLQKPVNISFWHNRSLEG YTVSCVFWKEGASKSSWGAWSPEGCYTEQPSATQVLCHCNHLTYFAVLMLSGDPVPAELQ VPLEYISFVGCSISIVASLLTILLYAQSRKQSDSTTRIHMNLNGSVLLLNVTFLLSSQMT LPTMPRPVCKVLAAVLHYALLSSLTWMAIEGFNLYLFLGRVYNAYIRRYLLKLCMLGWGF PALLVLLLLMIKSSVYGPCVTSLSKSQENGTGFQNVSMCWIRSPMVHSILVMGYGGFTSL FNLVVLAWALWILCRLRAREKALSPWAYRDTAMVLGLTVLLGTTWTLAFFSFGVFLLPQL FLFTIFNSLYGFFLFLWFCSQKRYSDAEAKAEMEAVSSSQMTH >ENSMUSP00000120217.1 pep:known chromosome:GRCm38:8:94923699:94943280:1 gene:ENSMUSG00000061577.11 transcript:ENSMUST00000128308.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adgrg5 description:adhesion G protein-coupled receptor G5 [Source:MGI Symbol;Acc:MGI:2685955] MDPHGALFFYLCLLAAQVVLVETLSDLLVLMKRLEQPVGRGLSSRASLEQKLLNASFGGH NLTLQTNSIQSLVFKLSCDFPGLSLSSTTLTNVSQVRGSQGSPARPCPCSAPVSWERPHL LSCGKIRKRKCSMASPGASPTCHAIPC >ENSMUSP00000132628.1 pep:known chromosome:GRCm38:8:94923694:94943290:1 gene:ENSMUSG00000061577.11 transcript:ENSMUST00000166802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg5 description:adhesion G protein-coupled receptor G5 [Source:MGI Symbol;Acc:MGI:2685955] MDPHGALFFYLCLLAAQVVLVETLSDLLVLMKRLEQPVGRGLSSRARHIHSLEQKLLNAS FGGHNLTLQTNSIQSLVFKLSCDFPGLSLSSTTLTNVSQVRAPHAMQFPAELTKGACVTS RPAELRLICIYFFTAHLFQDDRNSSLLNNYVLGAQLDHRPVNNLQKPVNISFWHNRSLEG YTVSCVFWKEGASKSSWGAWSPEGCYTEQPSATQVLCHCNHLTYFAVLMQLSGDPVPAEL QVPLEYISFVGCSISIVASLLTILLYAQSRKQSDSTTRIHMNLNGSVLLLNVTFLLSSQM TLPTMPRPVCKVLAAVLHYALLSSLTWMAIEGFNLYLFLGRVYNAYIRRYLLKLCMLGWG FPALLVLLLLMIKSSVYGPCVTSLSKSQENGTGFQNVSMCWIRSPMVHSILVMGYGGFTS LFNLVVLAWALWILCRLRAREKALSPWAYRDTAMVLGLTVLLGTTWTLAFFSFGVFLLPQ LFLFTIFNSLYGFFLFLWFCSQKRYSDAEAKAEMEAVSSSQMTH >ENSMUSP00000086356.4 pep:known chromosome:GRCm38:6:115583544:115592549:-1 gene:ENSMUSG00000068011.4 transcript:ENSMUST00000088962.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mkrn2os description:makorin, ring finger protein 2, opposite strand [Source:MGI Symbol;Acc:MGI:1917541] MHFEGAGKPLIKFRHCERSIYTFSVPQCCPLCQGDVGSTRIEDAPISISDPFSNGHQEKC AFLLKPTRGTFLRGRV >ENSMUSP00000108580.1 pep:known chromosome:GRCm38:6:115585122:115592576:-1 gene:ENSMUSG00000068011.4 transcript:ENSMUST00000112957.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkrn2os description:makorin, ring finger protein 2, opposite strand [Source:MGI Symbol;Acc:MGI:1917541] MHFEGAGKPLIKFRHCERSIYTFSVPQCCPLCQGDVGSTRIEDAPISISDPFSNGHQEKC AFLLKPTRGTFLREYDGKSDLHVGITNTRGVVYNYSARGIQRDEAGWERSLSVPLVQPSM FGLLDQWDKYLEDFSASLAWLPHRYEENQHNCLTFALAFINCILAMEGREQLDKHAFTEK YVIPRTRLASKYIMLFRAIQESGFHVTDHPDPRTSPS >ENSMUSP00000113349.1 pep:known chromosome:GRCm38:3:38449259:38484844:-1 gene:ENSMUSG00000044864.16 transcript:ENSMUST00000120875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd50 description:ankyrin repeat domain 50 [Source:MGI Symbol;Acc:MGI:2139777] MTNPWEEKVCKMAQTSLLQGKQFYCREWVFHKLQHCLQEKWSCCSGPATAQSLVGNAGNN ASAISGKGASWGVLLVGGPGSGKTALCTELLWPSSPASLQRGLHRQALAFHFCKAQDSDT LCVGGFIRGLVAQICQSGLLQGYEDKLRDPAVQSLLEPGECERNPAEAFKRCVLLPLLGM KPPPQSLYLLVDSVDEGCNVVEGEQTSPSLSGTVAELLAGHHEFFPPWLLLLCSARKQSR AVTKMFSGSSCMRQKALWLEEPLSCQMEARCLRACC >ENSMUSP00000122842.1 pep:known chromosome:GRCm38:3:38449651:38483091:-1 gene:ENSMUSG00000044864.16 transcript:ENSMUST00000156038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd50 description:ankyrin repeat domain 50 [Source:MGI Symbol;Acc:MGI:2139777] QEKWSCCSGPATAQSLVGNAGNNASAISGKGASWGVLLVGGPGSGKTALCTELLWPSSPA SLQRGLHRQALAFHFCKAQDSDTLCVGGFIRGLVAQICQSGLLQGYEDKLRDPAVQSLLE PGECERNPAEAFKRCVLLPLLGMKPPPQSLYLLVDSVDEGCNVVEGEQTSPSLSGTVAEL LAGHHEFFPPWLLLLCSARKQSRAVTKMFSGFRKISLDDLRKAYIVKDVQQYILHRLDQE EALRQHLTKETAETLNQLHIKSSGCFLYLERVLDGVVENFIMLREIRDIPGTLNGLYLWL CQRLFVRKQFAKVQPILNVILAACRPLTMTELYHAVWTKNMSLTLEDFQRKLDVLSKLLV DGLGSTKILFHHSFAEWLLDVKHCTQKYLCNAAEGHRMLAMSYTCQARNLTPLEAQEFAL HLINSNLQLEPAELALWMIWNGTPVKDSLSTLIPKEQEVLQLLIRAGAHVNSEDDHTSCI VRQALEREDSIRTLLDNGASVNQCDSNGRTLLANAAYSGSLDVVNLLVSRGADLEIEDAH GHTPLTLAARQGHTKVVNCLIGCGANINHTDQDGWTALRSAAWGGHTEVVSALLYAGVKV DCADADSRTALRAAAWGGHEDIVLNLLQHGAEVNKADNEGRTALIAAAYMGHREIVEHLL DHGAEVNHEDVDGRTALSVAALCVPASKGHASVVSLLIDRGAEVDHCDKDGMTPLLVAAY EGHVDVVDLLLEGGADVDHTDNNGRTPLLAAASMGHASVVNTLLFWGAAVDSIDSEGRTV LSIASAQGNVEVVRTLLDRGLDESHRDDAGWTPLHMAAFEGHRLICEALIEQGARTNEID NDGRIPFILASQEGHYDCVQILLENKSNIDQRGYDGRNALRVAALEGHRDIVELLFSHGA DVNYKDADGRPTLYILALENQLTMAEYFLENGANVEASDAEGRTALHVSCWQGHVEMVRV LIACHADVNAADNEKRSALQSAAWQGHVKVVQLLIEHGAVVDHTCNQGATALCIAAQEGH VDVVQVLLEHGADPNHADQFGRTAMRVAAKNGHSQIIKLLEKYGASSLNGCSPSPVHTME QKPPQSAPSKMQSLTIRSNSSGGTGGGDLQPSLRGLPNGPAHAFSSPSESPDSTVDRQKS SLSNNSLKSSKNSSLRTTSSTATAQTVPIDSFHSLSFTEQIQQHSLPRSRSRQSVVSPSS TTQSLGHSHNSPSSEFEWSQVKPSLKSTKSNKGGKSDNSSKSGSAGKKAKQNSSSQPKVL EYEMTQFDKRGPVAKSGSSPPKQTPAESQCKIVVPSSQDSSRAQPQFLIHQQSSEQKRRN GIMTNPNYHLQSNQVFLGRVSVPRTVQERGHQEVLEGFPPSETELNLKQALKLQIEGSDP SFNYKKETPL >ENSMUSP00000091858.3 pep:known chromosome:GRCm38:3:38452079:38455557:-1 gene:ENSMUSG00000044864.16 transcript:ENSMUST00000094300.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd50 description:ankyrin repeat domain 50 [Source:MGI Symbol;Acc:MGI:2139777] MAEYFLENGANVEASDAEGRTALHVSCWQGHVEMVRVLIACHADVNAADNEKRSALQSAA WQGHVKVVQLLIEHGAVVDHTCNQGATALCIAAQEGHVDVVQVLLEHGADPNHADQFGRT AMRVAAKNGHSQIIKLLEKYGASSLNGCSPSPVHTMEQKPPQSAPSKMQSLTIRSNSSGG TGGGDLQPSLRGLPNGPAHAFSSPSESPDSTVDRQKSSLSNNSLKSSKNSSLRTTSSTAT AQTVPIDSFHSLSFTEQIQQHSLPRSRSRQSVVSPSSTTQSLGHSHNSPSSEFEWSQVKP SLKSTKSNKGGKSDNSSKSGSAGKKAKQNSSSQPKVLEYEMTQFDKRGPVAKSGSSPPKQ TPAESQCKIVVPSSQDSSRAQPQFLIHQQSSEQKRRNGIMTNPNYHLQSNQVFLGRVSVP RTVQERGHQEVLEGFPPSETELNLKQALKLQIEGSDPSFNYKKETPL >ENSMUSP00000006854.7 pep:known chromosome:GRCm38:9:108490602:108501699:1 gene:ENSMUSG00000006676.16 transcript:ENSMUST00000006854.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp19 description:ubiquitin specific peptidase 19 [Source:MGI Symbol;Acc:MGI:1918722] MSAGASATGPRRGPPGLEEATSKKKQKDRANLESKDGDARRVSLPRKEPTKDELLLDWRQ SADEVIVKLRVGTGPVRLEDVDAAFTDTDCVVRLPDGRQWGGVFFAEIQSSCTKVQARKG GLLQLVLPKKVPLLTWPSLLKPLGTQELVPGLQCQENGQELSPIALEPGSEPRRAKQEAR NQKRAQGRGEVGSGAGPGTQAGPSAKRAVHLRRGPEGEGSMDGPGPQGDAPSFLSDSATQ VEAEEKLCAPPMNTQTSLLSSEKSLALLTVEKTVSPRNDPVAPVMVQDRDPEPEQEDQVK EEMALGADPTALVEEPESMVNLAFVKNDSYEKGPDSVVVHVYVKEIRRDSSRVLFREQDF TLIFQTRDGNFLRLHPGCGPHTIFRWQVKLRNLIEPEQCTFCFTASRIDICLRKRQSQRW GGLEAPATRGAVGGAKVAVPTGPTPLDSTPPGGGPHPLTGQEEARAVEKEKPKARSEDSG LDGVVARTPLEHVAPKPDPHLASPKPTCMVPPMPHSPVSGDSVEEDEEEEKKVCLPGFTG LVNLGNTCFMNSVIQSLSNTRELRDFFHDRSFEAEINYNNPLGTGGRLAIGFAVLLRALW KGTHQAFQPSKLKAIVASKASQFTGYAQHDAQEFMAFLLDGLHEDLNRIQNKPYTETVDS DGRPDEVVAEEAWQRHKMRNDSFIVDLFQGQYKSKLVCPVCAKVSITFDPFLYLPVPLPQ KQKVLPIFYFAREPHSKPIKFLVSVSKENSSASEVLDSLSQSVHVKPENLRLAEVIKNRF HRVFLPSHSLDAVSPTDVLLCFELLSPELAKERVVVLEVQQRPQVPSIPISKCAACQRKQ QSEEEKLKRCTRCYRVGYCNQFCQKTHWPDHKGLCRPENIGYPFLVSVPASRLTYARLAQ LLEGYARYSVSVFQPPFQPGRMALESQSPGCTTLLSTSSLEAGDSEREPIQPSELQLVTP VAEGDTGAHRVWPPADRGPVPSTSGLSSEMLASGPIEGCPLLAGERVSRPEAAVPGYQHS SESVNTHTPQFFIYKIDASNREQRLEDKGETPLELGDDCSLALVWRNNERLQEFVLVASK ELECAEDPGSAGEAARAGHFTLDQCLNLFTRPEVLAPEEAWYCPQCKQHREASKQLLLWR LPNVLIVQLKRFSFRSFIWRDKINDLVEFPVRNLDLSKFCIGQKEEQLPSYDLYAVINHY GGMIGGHYTACARLPNDRSSQRSDVGWRLFDDSTVTTVDESQVVTRYAYVLFYRRRNSPV ERPPRASHSEHHPDLGPAAEAAASQASRIWQELEAEEEMVPEGPGPLGPWGPQDWVGPPP RGPTTPDEGCLRYFVLGTVAALVALVLNVFYPLVSQSRWR >ENSMUSP00000128573.2 pep:known chromosome:GRCm38:9:108490840:108502337:1 gene:ENSMUSG00000006676.16 transcript:ENSMUST00000166103.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp19 description:ubiquitin specific peptidase 19 [Source:MGI Symbol;Acc:MGI:1918722] MSAGASATGPRRGPPGLEEATSKKKQKDRANLESKDGDARRVSLPRKEPTKDELLLDWRQ SADEVIVKLRVGTGPVRLEDVDAAFTDTDCVVRLPDGRQWGGVFFAEIQSSCTKVQARKG GLLQLVLPKKVPLLTWPSLLKPLGTQELVPGLQCQENGQELSPIALEPGSEPRRAKQEAR NQKRAQGRGEVGSGAGPGTQAGPSAKRAVHLRRGPEGEGSMDGPGPQGDAPSFLSDSATQ VEAEEKLCAPPMNTQTSLLSSEKSLALLTVEKTVSPRNDPVAPVMVQDRDPEPEQEDQVK EEMALGADPTALVEEPESMVNLAFVKNDSYEKGPDSVVVHVYVKEIRRDSSRVLFREQDF TLIFQTRNLIEPEQCTFCFTASRIDICLRKRQSQRWGGLEAPATRGAVGGAKVAVPTGPT PLDSTPPGGGPHPLTGQEEARAVEKEKPKARSEDSGLDGVVARTPLEHVAPKPDPHLASP KPTCMVPPMPHSPVSGDSVEEDEEEEKKVCLPGFTGLVNLGNTCFMNSVIQSLSNTRELR DFFHDRSFEAEINYNNPLGTGGRLAIGFAVLLRALWKGTHQAFQPSKLKAIVASKASQFT GYAQHDAQEFMAFLLDGLHEDLNRIQNKPYTETVDSDGRPDEVVAEEAWQRHKMRNDSFI VDLFQGQYKSKLVCPVCAKVSITFDPFLYLPVPLPQKQKVLPIFYFAREPHSKPIKFLVS VSKENSSASEVLDSLSQSVHVKPENLRLAEVIKNRFHRVFLPSHSLDAVSPTDVLLCFEL LSPELAKERVVVLEVQQRPQVPSIPISKCAACQRKQQSEEEKLKRCTRCYRVGYCNQFCQ KTHWPDHKGLCRPENIGYPFLVSVPASRLTYARLAQLLEGYARYSVSVFQPPFQPGRMAL ESQSPGCTTLLSTSSLEAGDSEREPIQPSELQLVTPVAEGDTGAHRVWPPADRGPVPSTS GLSSEMLASGPIEGCPLLAGERVSRPEAAVPGYQHSSESVNTHTPQFFIYKIDASNREQR LEDKGETPLELGDDCSLALVWRNNERLQEFVLVASKELECAEDPGSAGEAARAGHFTLDQ CLNLFTRPEVLAPEEAWYCPQCKQHREASKQLLLWRLPNVLIVQLKRFSFRSFIWRDKIN DLVEFPVRNLDLSKFCIGQKEEQLPSYDLYAVINHYGGMIGGHYTACARLPNDRSSQRSD VGWRLFDDSTVTTVDESQVVTRYAYVLFYRRRNSPVERPPRASHSEHHPDLGPAAEAAAS QGLGPGQAPEVAPTRTAPERFAPPVDRPAPTYSNMEEVD >ENSMUSP00000082119.7 pep:known chromosome:GRCm38:9:108490845:108502317:1 gene:ENSMUSG00000006676.16 transcript:ENSMUST00000085044.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp19 description:ubiquitin specific peptidase 19 [Source:MGI Symbol;Acc:MGI:1918722] MSAGASATGPRRGPPGLEEATSKKKQKDRANLESKDGDARRVSLPRKEPTKDELLLDWRQ SADEVIVKLRVGTGPVRLEDVDAAFTDTDCVVRLPDGRQWGGVFFAEIQSSCTKVQARKG GLLQLVLPKKVPLLTWPSLLKPLGTQELVPGLQCQENGQELSPIALEPGSEPRRAKQEAR NQKRAQGRGEVGSGAGPGTQAGPSAKRAVHLRRGPEGEGSMDGPGPQGDAPSFLSDSATQ VEAEEKLCAPPMNTQTSLLSSEKSLALLTVEKTVSPRNDPVAPVMVQDRDPEPEQEDQVK EEMALGADPTALVEEPESMVNLAFVKNDSYEKGPDSVVVHVYVKEIRRDSSRVLFREQDF TLIFQTRDGNFLRLHPGCGPHTIFRWQVKLRNLIEPEQCTFCFTASRIDICLRKRQSQRW GGLEAPATRGAVGGAKVAVPTGPTPLDSTPPGGGPHPLTGQEEARAVEKEKPKARSEDSG LDGVVARTPLEHVAPKPDPHLASPKPTCMVPPMPHSPVSGDSVEEDEEEEKKVCLPGFTG LVNLGNTCFMNSVIQSLSNTRELRDFFHDRSFEAEINYNNPLGTGGRLAIGFAVLLRALW KGTHQAFQPSKLKAIVASKASQFTGYAQHDAQEFMAFLLDGLHEDLNRIQNKPYTETVDS DGRPDEVVAEEAWQRHKMRNDSFIVDLFQGQYKSKLVCPVCAKVSITFDPFLYLPVPLPQ KQKVLPIFYFAREPHSKPIKFLVSVSKENSSASEVLDSLSQSVHVKPENLRLAEVIKNRF HRVFLPSHSLDAVSPTDVLLCFELLSPELAKERVVVLEVQQRPQVPSIPISKCAACQRKQ QSEEEKLKRCTRCYRVGYCNQFCQKTHWPDHKGLCRPENIGYPFLVSVPASRLTYARLAQ LLEGYARYSVSVFQPPFQPGRMALESQSPGCTTLLSTSSLEAGDSEREPIQPSELQLVTP VAEGDTGAHRVWPPADRGPVPSTSGLSSEMLASGPIEGCPLLAGERVSRPEAAVPGYQHS SESVNTHTPQFFIYKIDASNREQRLEDKGETPLELGDDCSLALVWRNNERLQEFVLVASK ELECAEDPGSAGEAARAGHFTLDQCLNLFTRPEVLAPEEAWYCPQCKQHREASKQLLLWR LPNVLIVQLKRFSFRSFIWRDKINDLVEFPVRNLDLSKFCIGQKEEQLPSYDLYAVINHY GGMIGGHYTACARLPNDRSSQRSDVGWRLFDDSTVTTVDESQVVTRYAYVLFYRRRNSPV ERPPRASHSEHHPDLGPAAEAAASQGLGPGQAPEVAPTRTAPERFAPPVDRPAPTYSNME EVD >ENSMUSP00000141738.1 pep:known chromosome:GRCm38:9:108490845:108502317:1 gene:ENSMUSG00000006676.16 transcript:ENSMUST00000193678.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp19 description:ubiquitin specific peptidase 19 [Source:MGI Symbol;Acc:MGI:1918722] MSAGASATGPRRGPPGLEEATSKKKQKDRANLESKDGDARRVSLPRKEPTKDELLLDWRQ SADEVIVKLRVGTGPVRLEDVDAAFTDTDCVVRLPDGRQWGGVFFAEIQSSCTKVQARKG GLLQLVLPKKVPLLTWPSLLKKPLGTQELVPGLQCQENGQELSPIALEPGSEPRRAKQEA RNQKRAQGRGEVGSGAGPGTQAGPSAKRAVHLRRGPEGEGSMDGPGPQGDAPSFLSDSAT QVEAEEKLCAPPMNTQTSLLSSEKSLALLTVEKTVSPRNDPVAPVMVQDRDPEPEQEDQV KEEMALGADPTALVEEPESMVNLAFVKNDSYEKGPDSVVVHVYVKEIRRDSSRVLFREQD FTLIFQTRDGNFLRLHPGCGPHTIFRWQVKLRNLIEPEQCTFCFTASRIDICLRKRQSQR WGGLEAPATRVGGAKVAVPTGPTPLDSTPPGGGPHPLTGQEEARAVEKEKPKARSEDSGL DGVVARTPLEHVAPKPDPHLASPKPTCMVPPMPHSPVSGDSVEEDEEEEKKVCLPGFTGL VNLGNTCFMNSVIQSLSNTRELRDFFHDRSFEAEINYNNPLGTGGRLAIGFAVLLRALWK GTHQAFQPSKLKAIVASKASQFTGYAQHDAQEFMAFLLDGLHEDLNRIQNKPYTETVDSD GRPDEVVAEEAWQRHKMRNDSFIVDLFQGQYKSKLVCPVCAKVSITFDPFLYLPVPLPQK QKVLPIFYFAREPHSKPIKFLVSVSKENSSASEVLDSLSQSVHVKPENLRLAEVIKNRFH RVFLPSHSLDAVSPTDVLLCFELLSPELAKERVVVLEVQQRPQVPSIPISKCAACQRKQQ SEEEKLKRCTRCYRVGYCNQFCQKTHWPDHKGLCRPENIGYPFLVSVPASRLTYARLAQL LEGYARYSVSVFQPPFQPGRMALESQSPGCTTLLSTSSLEAGDSEREPIQPSELQLVTPV AEGDTGAHRVWPPADRGPVPSTSGLSSEMLASGPIEGCPLLAGERVSRPEAAVPGYQHSS ESVNTHTPQFFIYKIDASNREQRLEDKGETPLELGDDCSLALVWRNNERLQEFVLVASKE LECAEDPGSAGEAARAGHFTLDQCLNLFTRPEVLAPEEAWYCPQCKQHREASKQLLLWRL PNVLIVQLKRFSFRSFIWRDKINDLVEFPVRNLDLSKFCIGQKEEQLPSYDLYAVINHYG GMIGGHYTACARLPNDRSSQRSDVGWRLFDDSTVTTVDESQVVTRYAYVLFYRRRNSPVE RPPRASHSEHHPDLGPAAEAAASQGLGPGQAPEVAPTRTAPERFAPPVDRPAPTYSNMEE VD >ENSMUSP00000135930.1 pep:known chromosome:GRCm38:9:108490856:108502317:1 gene:ENSMUSG00000006676.16 transcript:ENSMUST00000178075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp19 description:ubiquitin specific peptidase 19 [Source:MGI Symbol;Acc:MGI:1918722] MSAGASATGPRRGPPGLEEATSKKKQKDRANLESKDGDARRVSLPRKEPTKDELLLDWRQ SADEVIVKLRVGTGPVRLEDVDAAFTDTDCVVRLPDGRQWGGVFFAEIQSSCTKVQARKG GLLQLVLPKKVPLLTWPSLLKKPLGTQELVPGLQCQENGQELSPIALEPGSEPRRAKQEA RNQKRAQGRGEVGSGAGPGTQAGPSAKRAVHLRRGPEGEGSMDGPGPQGDAPSFLSDSAT QVEAEEKLCAPPMNTQTSLLSSEKSLALLTVEKTVSPRNDPVAPVMVQDRDPEPEQEDQV KEEMALGADPTALVEEPESMVNLAFVKNDSYEKGPDSVVVHVYVKEIRRDSSRVLFREQD FTLIFQTRDGNFLRLHPGCGPHTIFRWQVKLRNLIEPEQCTFCFTASRIDICLRKRQSQR WGGLEAPATRGAVGGAKVAVPTGPTPLDSTPPGGGPHPLTGQEEARAVEKEKPKARSEDS GLDGVVARTPLEHVAPKPDPHLASPKPTCMVPPMPHSPVSGDSVEEDEEEEKKVCLPGFT GLVNLGNTCFMNSVIQSLSNTRELRDFFHDRSFEAEINYNNPLGTGGRLAIGFAVLLRAL WKGTHQAFQPSKLKAIVASKASQFTGYAQHDAQEFMAFLLDGLHEDLNRIQNKPYTETVD SDGRPDEVVAEEAWQRHKMRNDSFIVDLFQGQYKSKLVCPVCAKVSITFDPFLYLPVPLP QKQKVLPIFYFAREPHSKPIKFLVSVSKENSSASEVLDSLSQSVHVKPENLRLAEVIKNR FHRVFLPSHSLDAVSPTDVLLCFELLSPELAKERVVVLEVQQRPQVPSIPISKCAACQRK QQSEEEKLKRCTRCYRVGYCNQFCQKTHWPDHKGLCRPENIGYPFLVSVPASRLTYARLA QLLEGYARYSVSVFQPPFQPGRMALESQSPGCTTLLSTSSLEAGDSEREPIQPSELQLVT PVAEGDTGAHRVWPPADRGPVPSTSGLSSEMLASGPIEGCPLLAGERVSRPEAAVPGYQH SSESVNTHTPQFFIYKIDASNREQRLEDKGETPLELGDDCSLALVWRNNERLQEFVLVAS KELECAEDPGSAGEAARAGHFTLDQCLNLFTRPEVLAPEEAWYCPQCKQHREASKQLLLW RLPNVLIVQLKRFSFRSFIWRDKINDLVEFPVRNLDLSKFCIGQKEEQLPSYDLYAVINH YGGMIGGHYTACARLPNDRSSQRSDVGWRLFDDSTVTTVDESQVVTRYAYVLFYRRRNSP VERPPRASHSEHHPDLGPAAEAAASQGLGPGQAPEVAPTRTAPERFAPPVDRPAPTYSNM EEVD >ENSMUSP00000142137.1 pep:known chromosome:GRCm38:9:108493467:108494232:1 gene:ENSMUSG00000006676.16 transcript:ENSMUST00000193558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp19 description:ubiquitin specific peptidase 19 [Source:MGI Symbol;Acc:MGI:1918722] XWPSLLKPLGTQELVPGLQCQENGQELSPIALEPGSEPRRAKQEARNQKRAQGRGEVEAE EKLCAPPMNTQTSLLSSEKSLALLTVEKTVSPRNDPVAPVMVQDRDPEPEQEDQVKEEMA LGADPTAL >ENSMUSP00000141628.1 pep:known chromosome:GRCm38:9:108494630:108501699:1 gene:ENSMUSG00000006676.16 transcript:ENSMUST00000194171.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp19 description:ubiquitin specific peptidase 19 [Source:MGI Symbol;Acc:MGI:1918722] XGNFLRLHPGCGPHTIFRWQVKLRNLIEPEQCTFCFTASRIDICLRKRQSQRWGGLEAPA TRVGGAKVAVPTGPTPLDSTPPGGGPHPLTGQEEARAVEKEKPKARSEDSGLDGVVARTP LEHVAPKPDPHLASPKPTCMVPPMPHSPVSGDSVEEDEEEEKKVCLPGFTGLVNLGNTCF MNSVIQSLSNTRELRDFFHDRSFEAEINYNNPLGTGGRLAIGFAVLLRALWKGTHQAFQP SKLKAIVASKASQFTGYAQHDAQEFMAFLLDGLHEDLNRIQNKPYTETVDSDGRPDEVVA EEAWQRHKMRNDSFIVDLFQGQYKSKLVCPVCAKVSITFDPFLYLPVPLPQKQKVLPIFY FAREPHSKPIKFLVSVSKENSSASEVLDSLSQSVHVKPENLRLAEVIKNRFHRVFLPSHS LDAVSPTDVLLCFELLSPELAKERVVVLEVQQRPQVPSIPISKCAACQRKQQSEEEKLKR CTRCYRVGYCNQFCQKTHWPDHKGLCRPENIGYPFLVSVPASRLTYARLAQLLEGYARYS VSVFQPPFQPGRMALESQSPGCTTLLSTSSLEAGDSEREPIQPSELQLVTPVAEGDTGAH RVWPPADRGPVPSTSGLSSEMLASGPIEGCPLLAGERVSRPEAAVPGYQHSSESVNTHTP QFFIYKIDASNREQRLEDKGETPLELGDDCSLALVWRNNERLQEFVLVASKELECAEDPG SAGEAARAGHFTLDQCLNLFTRPEVLAPEEAWYCPQCKQHREASKQLLLWRLPNVLIVQL KRFSFRSFIWRDKINDLVEFPVRNLDLSKFCIGQKEEQLPSYDLYAVINHYGGMIGGHYT ACARLPNDRSSQRSDVGWRLFDDSTVTTVDESQVVTRYAYVLFYRRRNSPVERPPRASHS EHHPDLGPAAEAAASQASRIWQELEAEEEMVPEGPGPLGPWGPQDWVGPPPRGPTTPDEG CLRYFVLGTVAALVALVLNVFYPLVSQSRWR >ENSMUSP00000141826.1 pep:known chromosome:GRCm38:9:108497089:108499197:1 gene:ENSMUSG00000006676.16 transcript:ENSMUST00000194863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp19 description:ubiquitin specific peptidase 19 [Source:MGI Symbol;Acc:MGI:1918722] XNSSASEVLDSLSQSVHVKPENLRLAEVIKNRFHRVFLPSHSLDAVSPTDVLLCFELLSP ELAKERVVVLEVQQVPSIPISKCAACQRKQQSEEEKLKRCTRCYRVGYCNQFCQKTHWPD HKGLCRPENIGYPFLVSVPASRLTYARLAQLLEGYARYSVSVFQPPFQPGRMALESQSPG CTTLLSTSSLEAGDSEREPIQPSELQLVTPVAEGDTGAHRVWPPADRGPVPSTSGLSSEM LASGPIEGCPLLAGERVSRPEAAVPGYQHSSESVNTHTPQFFIYKIDASNREQRL >ENSMUSP00000082183.5 pep:known chromosome:GRCm38:9:107296026:107301987:1 gene:ENSMUSG00000032578.7 transcript:ENSMUST00000085102.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cish description:cytokine inducible SH2-containing protein [Source:MGI Symbol;Acc:MGI:103159] MVLCVQGSCPLLAVEQIGRRPLWAQSLELPGPAMQPLPTGAFPEEVTEETPVQAENEPKV LDPEGDLLCIAKTFSYLRESGWYWGSITASEARQHLQKMPEGTFLVRDSTHPSYLFTLSV KTTRGPTNVRIEYADSSFRLDSNCLSRPRILAFPDVVSLVQHYVASCAADTRSDSPDPAP TPALPMSKQDAPSDSVLPIPVATAVHLKLVQPFVRRSSARSLQHLCRLVINRLVADVDCL PLPRRMADYLRQYPFQL >ENSMUSP00000127523.1 pep:known chromosome:GRCm38:9:107296710:107302784:1 gene:ENSMUSG00000032578.7 transcript:ENSMUST00000168260.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cish description:cytokine inducible SH2-containing protein [Source:MGI Symbol;Acc:MGI:103159] MVLCVQGVVLGFYYSQRGPAAPTEDAGGYIPSSRQHPPQLPVHTVSQNHPWPHQRADRVR RF >ENSMUSP00000129941.1 pep:known chromosome:GRCm38:9:107296912:107300551:1 gene:ENSMUSG00000032578.7 transcript:ENSMUST00000167072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cish description:cytokine inducible SH2-containing protein [Source:MGI Symbol;Acc:MGI:103159] MVLCVQGSCPLLAVEQIGRRPLWAQSLELPGPAMQPLPTGAFPEEVTEETPVQAENEPKM PEGTFLVRDSTHPSYLFTLSVKTTRGPTNVRIEYADSSFRLDS >ENSMUSP00000143341.1 pep:known chromosome:GRCm38:5:87692624:87699630:-1 gene:ENSMUSG00000063157.9 transcript:ENSMUST00000197422.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn2 description:casein beta [Source:MGI Symbol;Acc:MGI:88541] MKVFILACLVALALARETTFTVSSETDSISSEESVEHINEQKLQKVNLMGQLQAEDVLQA KVHSSIQSQPQAFPYAQAQTISCNPVPQNIQPIAQPPVVPSLGPVISPELESFLKAKATI LPKHKQMPLLNSETVLRLINSQIPSLASLANLHLPQSLVQLLAQVVQAFPQTHLVSSQTQ LSLPQSKVLYFLQQVAPFLPQDMSVQDLLQYLELLNPTVQFPATPQHSVSV >ENSMUSP00000080976.6 pep:known chromosome:GRCm38:5:87692628:87699425:-1 gene:ENSMUSG00000063157.9 transcript:ENSMUST00000082370.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn2 description:casein beta [Source:MGI Symbol;Acc:MGI:88541] MKVFILACLVALALARETTFTVSSETDSISSEESVEHINEKLQKVNLMGQLQAEDVLQAK VHSSIQSQPQAFPYAQAQTISCNPVPQNIQPIAQPPVVPSLGPVISPELESFLKAKATIL PKHKQMPLLNSETVLRLINSQIPSLASLANLHLPQSLVQLLAQVVQAFPQTHLVSSQTQL SLPQSKVLYFLQQVAPFLPQDMSVQDLLQYLELLNPTVQFPATPQHSVSV >ENSMUSP00000142971.1 pep:known chromosome:GRCm38:5:87692805:87699409:-1 gene:ENSMUSG00000063157.9 transcript:ENSMUST00000196869.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn2 description:casein beta [Source:MGI Symbol;Acc:MGI:88541] MKVFILACLVALALAREESVEHINEKLQKVNLMGQLQAEDVLQAKVHSSIQSQPQAFPYA QAQTISCNPVPQNIQPIAQPPVVPSLGPVISPELESFLKAKATILPKHKQMPLLNSETVL RLINSQIPSLASLANLHLPQSLVQLLAQVVQAFPQTHLVSSQTQLSLPQSKVLYFLQQVA PFLPQDMSVQDLLQYLELLNPTVQFPATPQHSVSV >ENSMUSP00000143409.1 pep:known chromosome:GRCm38:5:87692869:87699421:-1 gene:ENSMUSG00000063157.9 transcript:ENSMUST00000199624.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn2 description:casein beta [Source:MGI Symbol;Acc:MGI:88541] MKVFILACLVALALARETTFTVSSETDSISSEESVEHINEQKLQKVNLMGQLQAEDVLQA KVHSSIQSQPQAFPYAQAQTISCNPVPQNIQPIAQPPVVPSLGPVISPELESFLKAKATI LPKHKQMPLLNSETVLRLINSQIPSLASLANLHLPQSLVQLLAQVVQAFPQTHLVSSQTQ LSLPQSKVLYFLQQVAPFLPQDMSVQDLLQYLELLNPTVQFPATPQHSVSV >ENSMUSP00000143709.1 pep:known chromosome:GRCm38:5:87692929:87699421:-1 gene:ENSMUSG00000063157.9 transcript:ENSMUST00000198057.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn2 description:casein beta [Source:MGI Symbol;Acc:MGI:88541] MKVFILACLVALALARETTFTVSSETDSISSEESVEHINEKLQKVNLMGQLQAEDVLQAK VHSSIQSQPQAFPYAQAQTISCNPVPQNIQPIAQPPVVPSLGPVISPELESFLKAKATIL PKHKQMPLLNSETVLRLINSQIPSLASLANLHLPQSLVQLLAQVVQAFPQTHLVSSQTQL SLPQSKVLYFLQQVAPFLPQDMSVQDLLQYLELLNPTVQFPATPQHSVSV >ENSMUSP00000142673.1 pep:known chromosome:GRCm38:5:87693836:87698092:-1 gene:ENSMUSG00000063157.9 transcript:ENSMUST00000196163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn2 description:casein beta [Source:MGI Symbol;Acc:MGI:88541] MKVFILACLVALALARETDSISSEESVEHINEQKLQKVNLMGQLQAEDVLQAKVHSSIQS QPQAFPYAQAQTISCNPVPQNIQPIAQPPVVPSLGPVISPELESFLKAKATILPKHKQMP LLNSETVLRLINSQIPSLASLANLHLPQSLVQLLAQVVQAFPQTHLVSSQTQLSLPQSKV LYFLQQVAPFLPQDMSVQDLLQYLELLNPTVQFPATPQHSVSV >ENSMUSP00000124991.1 pep:known chromosome:GRCm38:9:46303361:46319986:-1 gene:ENSMUSG00000056617.12 transcript:ENSMUST00000159565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931429L15Rik description:RIKEN cDNA 4931429L15 gene [Source:MGI Symbol;Acc:MGI:1921611] MQLNPLSQETWRHGQHRAYSNVTERLSCLTLQEPSVSHLYQILSPGPRRSFLLDDSLSNS IQRESLAVPTGLEDSASSGQQQEQQQQHRAVPEDLEEEEISPTDVKYRLQLLEEAPLGSM SSSVHSQCHKPGGMPFSKNQREWFKPWTVTNPEAELSHSGHTQGRRATPRGQLRHVEYDS QDQDTQVLVWSHALFPGLDKSSQGVIQSAQHVSRQKGTESGWWSMTHAHHEKSQTKHGTA FVGTKRTAKFNDATTARMPSLPPLKLLEKKGKNRCPPNTAGVFQGRLQSEVTSKQIFQDW RTQSQGRFGSNEKLPEPFEDQVNTKVAYMPLFSERVKFVSPRTVASNLRQIHSQLSKKSQ AGQLQNLASQKEHLEKRTA >ENSMUSP00000123966.1 pep:known chromosome:GRCm38:9:46310299:46315942:-1 gene:ENSMUSG00000056617.12 transcript:ENSMUST00000160795.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931429L15Rik description:RIKEN cDNA 4931429L15 gene [Source:MGI Symbol;Acc:MGI:1921611] MQLNPLSQETWRHGQ >ENSMUSP00000128017.1 pep:known chromosome:GRCm38:9:46304021:46311587:-1 gene:ENSMUSG00000056617.12 transcript:ENSMUST00000172280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931429L15Rik description:RIKEN cDNA 4931429L15 gene [Source:MGI Symbol;Acc:MGI:1921611] MQLNPLSQETWRHGQHRAYSNVTERLSCLTLQEPSVSHLYQILSPGPRRSFLLDDSLSNS IQRESLAVPTGLEDSASSGQQQEQQQQHRAVPEDLEEEEISPTDVKYRLQLLEEAPLGSM SSSVHSQCHKPGGMPFSKNQREWFKPWTVTNPEAELSHSGHTQGRRATPRGQLRHVEYDS QDQDTQVLVWSHALFPGLDKSSQGVIQSAQHVSRQKGTESGWWSMTHAHHEKSQTKHGTA FVGTKRTAKFNDATTARMPSLPPLKLLEKKGKNRCPPNTAGVFQGRLQSEVTSKQIFQDW RTQSQGRFGSNEKLPEPFEDQVNTKVAYMPLFSERVKFVSPRTVASNLRQIHSQLSKKSQ AGQLQNLASQKEHLEKRTA >ENSMUSP00000019517.3 pep:known chromosome:GRCm38:11:59817795:59839838:-1 gene:ENSMUSG00000019373.11 transcript:ENSMUST00000019517.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops3 description:COP9 signalosome subunit 3 [Source:MGI Symbol;Acc:MGI:1349409] MASALEQFVNSVRQLSAQGQMTQLCELINKSGELLAKNLSHLDTVLGALDVQEHSLGVLA VLFVKFSMPSVPDFETLFSQVQLFISTCNGEHIRYATDTFAGLCHQLTNALVERKQPLRG IGILKQAIDKMQMNTNQLTSVHADLCQLCLLAKCFKPALPYLDVDMMDICKENGAYDAKH FLCYYYYGGMIYTGLKNFERALYFYEQAITTPAMAVSHIMLESYKKYILVSLILLGKVQQ LPKYTSQIVGRFIKPLSNAYHELAQVYSTNNPSELRNLVSKHSETFTRDNNMGLVKQCLS SLYKKNIQRLTKTFLTLSLQDMASRVQLSGPQEAEKYVLHMIEDGEIFASINQKDGMVSF HDNPEKYNNPAMLHNIDQEMLKCIELDERLKAMDQEITVNPQFVQKSMGSQEDDSGNKPS SYS >ENSMUSP00000117288.1 pep:known chromosome:GRCm38:11:59821360:59836935:-1 gene:ENSMUSG00000019373.11 transcript:ENSMUST00000156837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops3 description:COP9 signalosome subunit 3 [Source:MGI Symbol;Acc:MGI:1349409] XALDVQEHSLGVLAVLFVKFSMPSVPDFETLFSQVQLFISTCNGEHIRYATDTFAGLCHQ LTNALVERKQLCLLAKCFKPALPYLDVDMMDICKENGAYDAKHFLCYYYYGGMIYTGLKN FERALYFYEQAITTPAMAVSHIMLESYKKYILVSLILLGKVQQLPKYTSQIVGRFIKPLS NAYHELAQVYSTNNPSELRNLVSKHSETFTRDNNMGLVKQCLSSLYKKNIQRLTKTFLTL SLQD >ENSMUSP00000120305.1 pep:known chromosome:GRCm38:11:59833091:59839752:-1 gene:ENSMUSG00000019373.11 transcript:ENSMUST00000141415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops3 description:COP9 signalosome subunit 3 [Source:MGI Symbol;Acc:MGI:1349409] MASALEQFVNSVRQLSAQGQMTQLCELINKSGELLAKNLSHLDTVLGALDVQEHSLGVLA VLGQRQLVGIVSLLPCGFQGSTSSHHPWPFVKFSMPSVPDFETLFS >ENSMUSP00000057794.7 pep:known chromosome:GRCm38:8:40793273:40797303:1 gene:ENSMUSG00000046282.7 transcript:ENSMUST00000056331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam20 description:a disintegrin and metallopeptidase domain 20 [Source:MGI Symbol;Acc:MGI:2152342] MQTTQRASSFAAAEDNIAMDKAVVYTRIPHLYVWLEILNILSSRPLTGYAQHTSLPEVVI PLRVTGNRPMWAMGWLTYSLHFGGQKHFIHIKAKKFLVSRLFSVFTYTKQGALHKDQPYV QNDCYYHGHMDGDPESMVAITTCYGGFQGILQINGTVYEIKPKNLSSTFEHLVHKMDSEE TELLPMRCALTEEIAKQMKRQQNENPTLMQNHYEEWWTHRSFLDLALVVDCERIRYHNNN KSHVLVEVFLIISLINKIYFALDIEVVLIGLELWNEGNLVPVDRMQILLEEFCVWKTLSL NIRIPNDIAHLFVNHSFGKFLGLAYVGTVCLPSHNCGVDRLLGPNLFQFAHIIAHEIGHN LGMEHDSSSCTCGGINYCLMSSTYSFNPEFSNCSYSNFWTTYATTNCLRKEKMSIGNLQI QFCGNGVVDDGEQCDCGDRHMCERDQCCNSRCALNDGAACAFGLCCLYCQIMPAGTVCRE EVNECDLPEWCNGHSHKCPNDVYLLDGSPCRDGGYCYEKRCNNRDEQCKQIFGKEARSAD HSCYRELNTQGDRFGNCGMIRDAYLRCHDSDILCGRVQCENVTRIPFLRDHSTVHWTHLN GVTCWGTDYHFGMTIPDIGIVKDGTDCGPEHVCINKKCVSKPIWLEQCSSKTCTMKGVCN NLHHCHCNRGWDPPHCLESGFGGSVDSGPPPGEEESQQHMDLVLITPILSIVSLVFLLPW LLNRYIRTSSKFEEPTVSTSKEEEESHVASNESEAQQVET >ENSMUSP00000052661.7 pep:known chromosome:GRCm38:8:24727093:24824059:-1 gene:ENSMUSG00000031554.17 transcript:ENSMUST00000050300.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam5 description:a disintegrin and metallopeptidase domain 5 [Source:MGI Symbol;Acc:MGI:104730] MFLLLLLFLHLKGLQAGQNPQKTTLQTTVPEKISSPDVETDAEDHMAYLITINETPHFIH LKKQSFITPTAVVYTYDRNDVQHSQPLSALENCNYNGYVAGFPNSIVTLTVCTGLRGIIQ FENVSYAIEPVETLSGFVHVIYENTNKHAVIPDLGKNQSYSWFDESDYQFRSNMKKSGFT VLRQRFIMMDIIVDKKLFDYMGSDTEVVLQKVIQIIGFVNTMLSKLKLTVLINSIEIWSK ENRIRLSKAVDDLLVQFSIWKHEYRSQHVAYLLAFEEHPASTGALYPGNLCKLEYNAAVA LYPKGLSLESFSVIVLQLLSIGMGLTYDTENCHCTGEVCLMTPKAIYSGGVKDFSTCTLD DFKYLSTRQDLRCLQDLPLERKPARRPRRICGNGILEMNEQCDCGTLKNCTHRKCCDPMS CRLKNKATCGSGECCSQDCTVKMNDVVCRKSVDECDFVEYCNGKDPYCVPNTYARNGQYC ESGEAFCFEGRCQTADKQCMSMLGKYVRGASFACYEEFNSRGDRFGNCIHNFCAFRNSLC GKLICTWPFKKLVLKANLSVAYAQIRDDLCVAMYKGGRIPKTTKTTYSNPADRDETFVND GTICGPDMFCLRASCTETRFHMDSSKCDSTRDCNDHGVCNNLQHCHCDIGYNPPFCEEHK GQFGSVDDGHKYHVEDGKSYKQQSHSNLKKNQLQLILYISLPLLVMISAVVIKQSKLSRV CDRERSESDSSTTEDSGSNTNVTSSGGSSSTDPPITPNPERILYVFALKKH >ENSMUSP00000112422.1 pep:known chromosome:GRCm38:8:24732985:24824369:-1 gene:ENSMUSG00000031554.17 transcript:ENSMUST00000118419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam5 description:a disintegrin and metallopeptidase domain 5 [Source:MGI Symbol;Acc:MGI:104730] MFLLLLLFLHLKGLQAGQNPQKTTLQTTVPEKISSPDVETDAEDHMAYLITINETPHFIH LKKQSFITPTAVVYTYDRNDVQHSQPLSALENCNYNGYVAGFPNSIVTLTVCTGLRGIIQ FENVSYAIEPVETLSGFVHVIYENTNKHAVIPDLGKNQSYSWFDESDYQFRSNMKKSGFT VLRQRFIMMDIIVDKKLFDYMGSDTEVVLQKVIQIIGFVNTMLSKLKLTVLINSIEIWSK ENRIRLSKAVDDLLVQFSIWKHEYRSQHVAYLLAFEEHPASTGALYPGNLCKLEYNAAVA LYPKGLSLESFSVIVLQLLSIGMGLTYDTENCHCTGEVCLMTPKAIYSGGVKDFSTCTLD DFKYLSTRQDLRCLQDLPLERKPARRPRRICGNGILEMNEQCDCGTLKNCTHRKCCDPMS CRLKNKATCGSGECCSQDCTVKMNDVVCRKSVDECDFVEYCNGKDPYCVPNTYARNGQYC ESGEAFCFEGRCQTADKQCMSMLGKYVRGASFACYEEFNSRGDRFGNCIHNFCAFRNSLC GKLICTWPFKKLVLKANLSVAYAQIRDDLCVAMYKGGRIPKTTKTTYSNPADRDETFVND GTICGPDMFCLRASCTETRFHMDSSKCDSTRDCNDHGVCNNLQHCHCDIGYNPPFCEEHK GQFGSVDDGHKYHVEDGKSYKQQSHSNLKKNQLQLILYISLPLLVMISAVVIKQSKLSRV CDRERSESDSSTTEDSGSNTNVTSSGGSTSH >ENSMUSP00000121272.1 pep:known chromosome:GRCm38:8:24727093:24815473:-1 gene:ENSMUSG00000031554.17 transcript:ENSMUST00000132180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam5 description:a disintegrin and metallopeptidase domain 5 [Source:MGI Symbol;Acc:MGI:104730] XSQPLSALENCNYNGYVAGFPNSIVTLTVCTGLRGIIQFENVSYAIEPVETLSGFVHVIY ENTNKHAVIPDLGKNQSYSWFDESDYQFRSNMKKSGFTVLRQRFIMMDIIVDKKLFDYMG SDTEVVLQKVIQIIGFVNTMLSKLKLTVLINSIEIWSKENRIRLSKAVDDLLVQFSIWKH EYRSQHVAYLLAFEEHPASTGALYPGNLCKLEYNAAVALYPKGLSLESFSVIVLQLLSIG MGLTYDTENCHCTGEVCLMTPKAIYSGGVKDFSTCTLDDFKYLSTRQDLRCLQDLPLERK PARRPRRICGNGILEMNEQCDCGTLKNCTHRKCCDPMSCRLKNKATCGSGECCSQDCTVK MNDVVCRKSVDECDFVEYCNGKDPYCVPNTYARNGQYCESGEAFCFEGRCQTADKQCMSM LGKYVRGASFACYEEFNSRGDRFGNCIHNFCAFRNSLCGKLICTWPFKKLVLKANLSVAY AQIRDDLCVAMYKGGRIPKTTKTTYSNPADRDETFVNDGTICGPDMFCLRASCTETRFHM DSSKCDSTRDCNDHGVCNNLQHCHCDIGYNPPFCEEHKGQFGSVDDGHKYHVEAHLRTHQ SHQTQKGFCTSLL >ENSMUSP00000020801.7 pep:known chromosome:GRCm38:11:87077732:87086774:-1 gene:ENSMUSG00000020495.13 transcript:ENSMUST00000020801.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg8 description:smg-8 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:1921383] MAGPVSLRELLMGASAWLGSESPGGPSAEGGGNAAGTPEPPWREDEICVVGIFGKTALRL NSEKFSLVNTVCDRQVFPLFHHQDPGDPGTGIKTKAVSVGEAGGAGDPGAAAGDSLRGGM ATAEGNRAEPGPQDFSLLQAYYNQESKVLYLILTSICDNSQLLRACRALQSGEAGGGLSL PHAETHEFWKHQEKLQCLSLLYLFSVCHILLLVHPTCSFDITYDRVFRALDGLRQKVLPL LKTAIKDCPVGKDWKLNCRPCPPRLLFLFQLNGALKVEPPRSQDTAHPDKPKKHSPKRRL QHALEDQIYRIFRKSRVLTNQSINCLFTVPANQAFVYIVPGSQEEDPIGMLLDQLRSHCT VKDPESLLVPAPLSGPRRYQAMRQHSRQQLSFHIDSSTSSSSGQLVDFTLREFLWQHVEL VLSKKGFDDSVGRNPQPSHFELPTYQKWISAAAKLYEVAIDGKEEDLGSPTGELTSKILS SIKVLEGFLDIDTKFSENRCQKALPMAHSAYQSNLPHNYTMTVHKNQLAQALRVYSQHAR GPAFHKYAMQLHEDCYKFWSNGHQLCEERSLTDQHCVHKFHSLPKSGEKPEADRNPPVLY HNSRARSTGACNCGRKQAPRDDPFDIKAANYDFYQLLEEKCCGKLDHINFPVFEPSTPDP APAKNEPSPAPPDSDAEKLKEKEPQTQGESTSLSLALSLGQSTDSLGTYPADPQAGGDNP EVHGQGEGKSEKRPNLVDRQASTVEYLPGMLHSNCPKGLLPKFSSWSLVKLGPAKSYNFH TGLDQQGFVPGTNYLMPWDIVIRTRAEDEGDLDTNSWPAPNKAIPGKRSAVVMGRGRRRD DIARAFVGFEYEDSRGRRFMCSGPDKVMKVMGSGPKESALKALNSDMPLYILSSSQGRGL KPHYAQLMRLFVVVPDAPLQIILMPQVQPGPPPCPVFYPEKQEITLPPDGLWVLRFPYAY VTERGPCFPPKENVQLMSYKVLRGVLKAVTQ >ENSMUSP00000119011.1 pep:known chromosome:GRCm38:11:87077736:87085382:-1 gene:ENSMUSG00000020495.13 transcript:ENSMUST00000143280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg8 description:smg-8 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:1921383] VAIDGKEEDLGSPTGELTSKILSSIKVLEGFLDIDTKFSENRCQKALPMAHSAYQSNLPH NYTMTVHKNQLAQALRVYSQHARGPAFHKYAMQLHEDCYKFWSNGHQLCEERSLTDQHCV HKFHSLPKSGEKPEADRNPPVLYHNSRARSTGACNCGRKQAPRDDPFDIKAANYDFYQLL EEKCCGKLDHINFPVFEPSTPDPAPAKNEPSPAPPDSDAEKLKEKEPQTQGESTSLSLAL SLGQSTDSLGSTRPTTMSSILPRKTRNHSPT >ENSMUSP00000147162.1 pep:known chromosome:GRCm38:8:35765790:35818047:1 gene:ENSMUSG00000109372.1 transcript:ENSMUST00000207505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-134N2.1 MRCRMFLLPFSLMLVSLSGSFGRSGAGELECNRTRQVACGEKCIPVAWLCNGEQECPDGT DELCEQTCLGHLQAWQCDNGRCIPASWLCDGASDCLDGSDEVNCESLTACPDYKIRCPGK AQCLDAGEPCDAQQSCEDGSIKAYCPHIRCLAGQWQCQNRACIMDSWRCDGIDHCGDASD ERDCASCPEGTVSCDSGKCIPESLMCNGRADCTDGADEPRTCGKNCSLLNGGCEGPCSDT HWGVRCSCTPGWQLQPDGWSCGDVDECSLPYGPCGQLCHNTPGSYSCGCIQGHQLYNGTD CRVTDDDVKILIATDKELRILDRRTGSHEMLIPVKSRPSSVAYDLDRNMYFWVDKVLNVF VFGKPNSVHLYPELPPVSSISLDWVTGQLYWASSSGRVICAGLSDGRGYVKILEKDLVPE ELVVFPAKRYLYWVDRSENGVKTIETAGMDGSDRKMLAVVTAEEPLGLTLDHVTGRLYWI SGYKESIETVNVDSSGRYTFPRVFFEDEHPVGLAVFENAFFWANQTQLIRTSPSSPKERE VLLHASISAFSVLHKTQQPKSRHSACVPGSCSHLCLLSPIHPKGYKCVCPEGLFLLPSGT CSELKVVFSSGKRLYLLKVSSMGRAIERTLIEEHAGNLYLLDIDWKRNFIYWANAQGHLV YSAGYSGEKQEIWTQQTVCSANVDIPTGNLFWVPCNRGTIQKTRMPGAESFTLYSTQNII LQLLLDWPRRMLYWVESGTPLQRMTLDGKNRQEVWRGTWAADTRMALDLGSASILWTTKG VGLHSLSILKNRTCSLNTSWSDVVMVAHAPYLVTADKAALVLWNRKTLEPFSTLKEPHIK KMIILAENQEVSDPGLKEPVPTTPSLPPVLCARSSVPCQDGKGCIPRESLCNGEADCQDG SDEKNCSQICHQPGVFQCLDGSRCIEERYHCDGAQHCSDGSDELDCWRPADDCSMHCDNK TRCIPKSWRCDGKPDCLDRRDEQGCFHEKCSSPEFQCENGQCISSSLRCDGDRDCLDHSD EEGCPVAWSLQCPEGEMKCPKSGECVLAEWICDHDVDCKDGTDEKDCGLKVISCGPRQWA CDSGDQCVPDFWHCDGQSDCRDGSDEAGCAPQKCQDSEFQCATGACLSFSMVCDGREDCV DGSDEGGECSSSVCSPGLCYHSCYQSPTGPVCVCAPGFELESNGQICQDVNECQQPSGQP CSQTCINTEGSYICACHPGYLLGPDGHTCKATGAEPILLVSIEFNLFLSGLRSLKEDILT TADKNLAIYSVDYDLVDQKIFWADPNAESIRWTSMATKKDGIVVQGIKPDCIGVDWIGRN LYWTDGRAGQILAIQLTAMGRGKSEYTVVLDDDLIRPQSLALDPLNGLMYWSETGEEPRI EQAGMDGSSRKILVNQGLGHPTSIALDQLSWKIFWSDEKFHCIGSVNLDGSGISMMQLTQ IKSPFSVAVFEDKVFWSDLQTRTIQHAEKMTGKDRAVLIKRSGQPFGLKIMHEVLQPRSS SPCLDIGCSHLCLLSPRAKGSCRCPVGLLLADDGITCVSLQESGFVFLVLPTVLTQIYLK NLKTSQQTTVPEHRILPFTSVKQLASVDYLVQEKALYLSELNTSDIRLLRMKEPGILSWR RVISVKGTVIDFALDWMSGNIYWIDTDNPYINVAFSNGQYPIVLLSENLYRPTSIVLHPP TAAMCLADLGSQDDGRHGSSIECASMDGSGRKVLWLQSQVPVGLAFSDSGTRVYWADTGQ GLIQSIQLDGSRYRVDHQGLKGLHLFACGQDMMLWTTVDDAQITRVWYNKAQVSESQWFQ VDQKIVDLKVYSVLSQQGNNSCSRDNGGCSHICLPNPEGKTCWCPNGYCLVDGHTCVEAV QCSPMSQCCKDGQRCISKEQICDGHVDCLDGSDEVDCLNPGNVHSTSILKKPEARKRLIS EAFKLFQATESTTNVYLGEEEMRHPVRKTLTSQMPASGSKVPETKGRAETRQSKDLQTAT HMSCSQDFCNGRGTCIMEGKLRKCRCLVEYGGEFCQEAARGPVSGYVALGGAVALSAALA IWGLFLHSRRERKFKRTSSRNLDYDKENNQEEENLMKSETFVNEVYDDQESLTSLQTE >ENSMUSP00000114103.1 pep:known chromosome:GRCm38:5:130171798:130214342:1 gene:ENSMUSG00000025340.14 transcript:ENSMUST00000119797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgef1 description:RAB guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1929459] MSLKSERRGIHVDQSELLCKKGCGYYGNPAWQGFCSKCWREEYHKARQRQIQEDWELAER LQREEEEAFASSQSSQGAQSLTFSKFEEKKTNEKTRKVTTVKKFFSASSRAGSKKEIQEA KAPSPSINRQTSIETDRVTKEFIDFLKTFHKTGQEVYKQTKMFLEAMPYKRDLSIEEQSE CTQDFYQNVAERMQTRGKVPPEKVEKIMDQIEKHIMTRLYKFVFCPETTDDEKKDLAIQK RIRALHWVTPQMLCVPVNEEIPEVSDMVVKAITDIIEMDSKRVPRDKLACITRCSKHIFN AIKITKNEPASADDFLPTLIYIVLKGNPPRLQSNIQYITRFCNPSRLMTGEDGYYFTNLC CAVAFIEKLDAQSLNLSQEDFDRYMSGQTSPRKQESESWPPEACLGVKQMYKNLDLLSQL NERQERIMNEAKKLEKDLIDWTDGIAKEVQDIVEKYPLEIKPPNQPLAAIDSENVENDKL PPPLQPQVYAG >ENSMUSP00000119245.1 pep:known chromosome:GRCm38:5:130171824:130210442:1 gene:ENSMUSG00000025340.14 transcript:ENSMUST00000148264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgef1 description:RAB guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1929459] MSLKSERRGIHVDQSELLCKKGCGYYGNPAWQGFCSKCWREEYHKARQRQIQEDWELAER LQREEEEAFASSQSSQGAQSLTFSKFEEKKTNEKTRKVTTVKKFFSASSRAGSKKAEIQE AKAPSPSINRQTSIETDRVTKEFIDFLKTFHKTGQEVYKQTKMFLEAMPYKRDLSIEEQS ECTQDFYQNVAERMQTRGKVPPEKVEKIMDQIEKHIMTRLYKFVFCPETTDDEKKDLAIQ KRIRALHWVT >ENSMUSP00000112537.1 pep:known chromosome:GRCm38:5:130187161:130208752:1 gene:ENSMUSG00000025340.14 transcript:ENSMUST00000119027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgef1 description:RAB guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1929459] MSLKSERRGIHVDQSELLCKKGCGYYGNPAWQGFCSKCWREEYHKARQRQIQEDWELAER LQREEEEAFASSQSSQGAQSLTFSKFEEKKTNEKTRKVTTVKKFFSASSRAGSKKAEIQE AKAPSPSINRQTSIETDRVTKEFIDFLKTFHKTGQEVYKQTKMFLEAMPYKRDLSIEEQS ECTQDFYQNVAERMQTRGKVPPEKVEKIMDQIEKHIMTRLY >ENSMUSP00000026390.7 pep:known chromosome:GRCm38:5:130187161:130214337:1 gene:ENSMUSG00000025340.14 transcript:ENSMUST00000026390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabgef1 description:RAB guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1929459] MSLKSERRGIHVDQSELLCKKGCGYYGNPAWQGFCSKCWREEYHKARQRQIQEDWELAER LQREEEEAFASSQSSQGAQSLTFSKFEEKKTNEKTRKVTTVKKFFSASSRAGSKKEIQEA KAPSPSINRQTSIETDRVTKEFIDFLKTFHKTGQEVYKQTKMFLEAMPYKRDLSIEEQSE CTQDFYQNVAERMQTRGKVPPEKVEKIMDQIEKHIMTRLYKFVFCPETTDDEKKDLAIQK RIRALHWVTPQMLCVPVNEEIPEVSDMVVKAITDIIEMDSKRVPRDKLACITRCSKHIFN AIKITKNEPASADDFLPTLIYIVLKGNPPRLQSNIQYITRFCNPSRLMTGEDGYYFTNLC CAVAFIEKLDAQSLNLSQEDFDRYMSGQTSPRKQESESWPPEACLGVKQMYKNLDLLSQL NERQERIMNEAKKLEKDLIDWTDGIAKEVQDIVEKYPLEIKPPNQPLAAIDSENVENDKL PPPLQPQVYAG >ENSMUSP00000118590.1 pep:known chromosome:GRCm38:5:130213166:130214050:1 gene:ENSMUSG00000025340.14 transcript:ENSMUST00000143865.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rabgef1 description:RAB guanine nucleotide exchange factor (GEF) 1 [Source:MGI Symbol;Acc:MGI:1929459] XDSENVENDKLPPPLQPQVYAG >ENSMUSP00000037473.9 pep:known chromosome:GRCm38:6:90604725:90646412:1 gene:ENSMUSG00000030089.15 transcript:ENSMUST00000044019.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc41a3 description:solute carrier family 41, member 3 [Source:MGI Symbol;Acc:MGI:1918949] MEGTEARQRRLEGCGRLKELGPLPSHDAGRLPKASEEGHLAVSESQLVDAKSLEAPPGRE TSLIIGFQVVIPFLLAGMGLSWAGLLLNYFQHWPVFKDVKDLMTLVPPLVGLKGNLEMTL ASRLSTSANTGQIDDRQERYKIISSNLAVVQVQATVVGLLAAVASLMLGTVSHEEFDWSK VALLCTSSVITAFLAALALGILMICIVIGARKFGVNPDNIATPIAASLGDLITLSILALM SSFFYSHKDTWYLTPLVCVGFLALTPLWLFIAKQNPPIMKILKYGWFPIILAMIISSFGG LILSKTISKHEFKGMAVLTPVMCGVGGNLVAIQTSRISTFLHMWSTPGVLPVQMKRFWPN PCFIFCSSEINSVSARVLLFLVVPGHLIFFYLICLVEGQSVTNSKIFILLYLVAGVVQVV ILLYLAEVTVRLTWHQALDPDNHCIPYLTGLGDLLGTSLLALCFFLDWLLRGRANLQELV SELVSVPP >ENSMUSP00000032177.8 pep:known chromosome:GRCm38:6:90619147:90646412:1 gene:ENSMUSG00000030089.15 transcript:ENSMUST00000032177.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc41a3 description:solute carrier family 41, member 3 [Source:MGI Symbol;Acc:MGI:1918949] MVVTQLSLEFRFQGKKLRGFSCELTRSPHGILPEPVLTTTCQVAIPILLSGLGMMTAGLV MNTVQHWPVFKDVKDLMTLVPPLVGLKGNLEMTLASRLSTSANTGQIDDRQERYKIISSN LAVVQVQATVVGLLAAVASLMLGTVSHEEFDWSKVALLCTSSVITAFLAALALGILMICI VIGARKFGVNPDNIATPIAASLGDLITLSILALMSSFFYSHKDTWYLTPLVCVGFLALTP LWLFIAKQNPPIMKILKYGWFPIILAMIISSFGGLILSKTISKHEFKGMAVLTPVMCGVG GNLVAIQTSRISTFLHMWSTPGVLPVQMKRFWPNPCFIFCSSEINSVSARVLLFLVVPGH LIFFYLICLVEGQSVTNSKIFILLYLVAGVVQVVILLYLAEVTVRLTWHQALDPDNHCIP YLTGLGDLLGTSLLALCFFLDWLLRGRANLQELVSELVSVPP >ENSMUSP00000099380.2 pep:known chromosome:GRCm38:2:165288031:165320826:-1 gene:ENSMUSG00000017670.16 transcript:ENSMUST00000103091.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo2 description:engulfment and cell motility 2 [Source:MGI Symbol;Acc:MGI:2153045] MPPPSDIVKVAIEWPGANAQLLEIDQKRPLASIIKEVCDGWSLPNPEYYTLRYADGPQLY VTEQTRNDIKNGTILQLAVSPSRAARQLMERTQSSSMETRLDAMKELAKLSADVTFATEF INMDGIIVLTRLVESGTKLLSHYSEMLAFTLTAFLELMDHGIVSWDMVSVTFIKQIAGYV SQPMVDVSILQRSLAILESMVLNSQSLYQKIAEEITVGQLISHLQVSNQEIQTYAIALIN ALFLKAPEDKRQDMANAFAQKHLRSIILNHVIRGNRPIKTEMAHQLYVLQVLTFNLLEER MMTKMDPNDQAQRDIIFELRRIAFDAESDPSNVPGSGTEKRKAMYTKDYKMLGFTNHINP ALDFTQTPPGMLALDNMLYLAKVHQDTYIRIVLENSSREDKHECPFGRSAIELTKMLCEI LQVGELPNEGRNDYHPMFFTHDRAFEELFGICIQLLNKTWKEMRATAEDFNKVMQVVREQ ITRALPSKPNSLDQFKSKLRSLSYSEILRLRQSERMSQDDFQSPPIVELREKIQPEILEL IKQQRLNRLCEGSSFRKIGNRRRQERFWHCRLALNHKVLHYGDLDDNPQGEVTFESLQEK IPVADIKAIVTGKDCPHMKEKSALKQNKEVLELAFSILYDPDETLNFIAPNKYEYCIWID GLSALLGKDMSSELTKSDLDTLLSMEMKLRLLDLENIQIPEAPPPVPKEPSSYDFVYHYG >ENSMUSP00000117124.1 pep:known chromosome:GRCm38:2:165289155:165296977:-1 gene:ENSMUSG00000017670.16 transcript:ENSMUST00000148643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo2 description:engulfment and cell motility 2 [Source:MGI Symbol;Acc:MGI:2153045] XNEGRNDYHPMFFTHDRAFEELFGICIQLLNKTWKEMRATAEDFNKVMQVVREQITRALP SKPNSLDQFKSKLRSLSYSEILRLRQSERMSQDDFQSPPIVELREKIQPEILELIKQQRL NRLCEGSSFRKIGNRRRQERFWHCRLALNHKVLHYGDLDDNPQGEVTFESLQEKNIKAIV TGKDCPHMKEKSALKQNKEVLELAFSILYDPDETLNFIAPNKYEYCIWIDGLSALLGKDM SSELTKSDLDTLLSMEMKLRLLDLENIQIPEAPPPVPKEPSSYDFVYHYG >ENSMUSP00000073691.6 pep:known chromosome:GRCm38:2:165289155:165326479:-1 gene:ENSMUSG00000017670.16 transcript:ENSMUST00000074046.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo2 description:engulfment and cell motility 2 [Source:MGI Symbol;Acc:MGI:2153045] MPPPSDIVKVAIEWPGANAQLLEIDQKRPLASIIKEVCDGWSLPNPEYYTLRYADGPQLY VTEQTRNDIKNGTILQLAVSPSRAARQLMERTQSSSMETRLDAMKELAKLSADVTFATEF INMDGIIVLTRLVESGTKLLSHYSEMLAFTLTAFLELMDHGIVSWDMVSVTFIKQIAGYV SQPMVDVSILQRSLAILESMVLNSQSLYQKIAEEITVGQLISHLQVSNQEIQTYAIALIN ALFLKAPEDKRQDKHLNPLDLPVTDMANAFAQKHLRSIILNHVIRGNRPIKTEMAHQLYV LQVLTFNLLEERMMTKMDPNDQAQRDIIFELRRIAFDAESDPSNVPGSGTEKRKAMYTKD YKMLGFTNHINPALDFTQTPPGMLALDNMLYLAKVHQDTYIRIVLENSSREDKHECPFGR SAIELTKMLCEILQVGELPNEGRNDYHPMFFTHDRAFEELFGICIQLLNKTWKEMRATAE DFNKVMQVVREQITRALPSKPNSLDQFKSKLRSLSYSEILRLRQSERMSQDDFQSPPIVE LREKIQPEILELIKQQRLNRLCEGSSFRKIGNRRRQERFWHCRLALNHKVLHYGDLDDNP QGEVTFESLQEKIPVADIKAIVTGKDCPHMKEKSALKQNKEVLELAFSILYDPDETLNFI APNKYEYCIWIDGLSALLGKDMSSELTKSDLDTLLSMEMKLRLLDLENIQIPEAPPPVPK EPSSYDFVYHYG >ENSMUSP00000091887.4 pep:known chromosome:GRCm38:2:165289155:165326479:-1 gene:ENSMUSG00000017670.16 transcript:ENSMUST00000094329.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo2 description:engulfment and cell motility 2 [Source:MGI Symbol;Acc:MGI:2153045] MPPPSDIVKVAIEWPGANAQLLEIDQKRPLASIIKEVCDGWSLPNPEYYTLRYADGPQLY VTEQTRNDIKNGTILQLAVSPSRAARQLMERTQSSSMETRLDAMKELAKLSADVTFATEF INMDGIIVLTRLVESGTKLLSHYSEMLAFTLTAFLELMDHGIVSWDMVSVTFIKQIAGYV SQPMVDVSILQRSLAILESMVLNSQSLYQKIAEEITVGQLISHLQVSNQEIQTYAIALIN ALFLKAPEDKRQDMANAFAQKHLRSIILNHVIRGNRPIKTEMAHQLYVLQVLTFNLLEER MMTKMDPNDQAQRDIIFELRRIAFDAESDPSNVPGSGTEKRKAMYTKDYKMLGFTNHINP ALDFTQTPPGMLALDNMLYLAKVHQDTYIRIVLENSSREDKHECPFGRSAIELTKMLCEI LQVGELPNEGRNDYHPMFFTHDRAFEELFGICIQLLNKTWKEMRATAEDFNKVMQVVREQ ITRALPSKPNSLDQFKSKLRSLSYSEILRLRQSERMSQDDFQSPPIVELREKIQPEILEL IKQQRLNRLCEGSSFRKIGNRRRQERFWHCRLALNHKVLHYGDLDDNPQGEVTFESLQEK IPVADIKAIVTGKDCPHMKEKSALKQNKEVLELAFSILYDPDETLNFIAPNKYEYCIWID GLSALLGKDMSSELTKSDLDTLLSMEMKLRLLDLENIQIPEAPPPVPKEPSSYDFVYHYG >ENSMUSP00000099377.3 pep:known chromosome:GRCm38:2:165291112:165326479:-1 gene:ENSMUSG00000017670.16 transcript:ENSMUST00000103088.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo2 description:engulfment and cell motility 2 [Source:MGI Symbol;Acc:MGI:2153045] MPPPSDIVKVAIEWPGANAQLLEIDQKRPLASIIKEVCDGWSLPNPEYYTLRYADGPQLY VTEQTRNDIKNGTILQLAVSPSRAARQLMERTQSSSMETRLDAMKELAKLSADVTFATEF INMDGIIVLTRLVESGTKLLSHYSEMLAFTLTAFLELMDHGIVSWDMVSVTFIKQIAGYV SQPMVDVSILQRSLAILESMVLNSQSLYQKIAEEITVGQLISHLQVSNQEIQTYAIALIN ALFLKAPEDKRQDMANAFAQKHLRSIILNHVIRGNRPIKTEMAHQLYVLQVLTFNLLEER MMTKMDPNDQAQRDIIFELRRIAFDAESDPSNVPGSGTEKRKAMYTKDYKMLGFTNHINP ALDFTQTPPGMLALDNMLYLAKVHQDTYIRIVLENSSREDKHECPFGRSAIELTKMLCEI LQVGELPNEGRNDYHPMFFTHDRAFEELFGICIQLLNKTWKEMRATAEDFNKVMQVVREQ ITRALPSKPNSLDQFKSKLRSLSYSEILRLRQSERMSQDDFQSPPIVELREKIQPEILEL IKQQRLNRLCEGSSFRKIGNRRRQERFWHCRLALNHKVLHYGDLDDNPQGEVTFESLQEK IPVADIKAIVTGKDCPHMKEKSALKQNKEVLELAFSILYDPDETLNFIAPNKYEVSSVPH CLEHQCPHCEEVSVPHCLEHQCSHCEEVWPAQRYPHKPGSQNGSLSLWTFYHWAGLPTSH RGLSSSAFRGLGLELCATTPNLSLCALEQLAWHREGFPLCNLAVTFPRRVESQLPGANLV RLWSQMDLPLLTRDSQFT >ENSMUSP00000071619.4 pep:known chromosome:GRCm38:2:165291117:165316903:-1 gene:ENSMUSG00000017670.16 transcript:ENSMUST00000071699.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo2 description:engulfment and cell motility 2 [Source:MGI Symbol;Acc:MGI:2153045] MPPPSDIVKVAIEWPGANAQLLEIDQKRPLASIIKEVCDGWSLPNPEYYTLRYADGPQLY VTEQTRNDIKNGTILQLAVSPSRAARQLMERTQSSSMETRLDAMKELAKLSADVTFATEF INMDGIIVLTRLVESGTKLLSHYSEMLAFTLTAFLELMDHGIVSWDMVSVTFIKQIAGYV SQPMVDVSILQRSLAILESMVLNSQSLYQKIAEEITVGQLISHLQVSNQEIQTYAIALIN ALFLKAPEDKRQDMANAFAQKHLRSIILNHVIRGNRPIKTEMAHQLYVLQVLTFNLLEER MMTKMDPNDQAQRDIIFELRRIAFDAESDPSNVPGSGTEKRKAMYTKDYKMLGFTNHINP ALDFTQTPPGMLALDNMLYLAKVHQDTYIRIVLENSSREDKHECPFGRSAIELTKMLCEI LQVGELPNEGRNDYHPMFFTHDRAFEELFGICIQLLNKTWKEMRATAEDFNKVMQVVREQ ITRALPSKPNSLDQFKSKLRSLSYSEILRLRQSERMSQDDFQSPPIVELREKIQPEILEL IKQQRLNRLCEGSSFRKIGNRRRQERFWHCRLALNHKVLHYGDLDDNPQGEVTFESLQEK IPVADIKAIVTGKDCPHMKEKSALKQNKEVLELAFSILYDPDETLNFIAPNKYEVSSVPH CLEHQCPHCEEVSVPHCLEHQCSHCEEVWPAQRYPHKPGSQNGSLSLWTFYHWAGLPTSH RGLSSSAFRGLGLELCATTPNLSLCALEQLAWHREGFPLCNLAVTFPRRVESQLPGANLV RLWSQMDLPLLTRDSQFT >ENSMUSP00000123232.1 pep:known chromosome:GRCm38:2:165298668:165311752:-1 gene:ENSMUSG00000017670.16 transcript:ENSMUST00000137188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo2 description:engulfment and cell motility 2 [Source:MGI Symbol;Acc:MGI:2153045] XRLDAMKELAKLSADVTFATEFINMDGIIVLTRLVESGTKLLSHEMLAFTLTAFLELMDH GIVSWDMVSVTFIKQIAGYVSQPMVDVSILQRSLAILESMVLNSQSLYQKIAEEITVGQL ISHLQVSNQEIQTYAIALINALFLKAPEDKRQDMANAFAQKHLRSIILNHVIRGNRPIKT EMAHQLYVLQVLTF >ENSMUSP00000116124.1 pep:known chromosome:GRCm38:2:165308913:165316351:-1 gene:ENSMUSG00000017670.16 transcript:ENSMUST00000126318.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Elmo2 description:engulfment and cell motility 2 [Source:MGI Symbol;Acc:MGI:2153045] MPPPSDIVKVAIEWPGANAQLLEIDQKRPLASIIKEVCDGPEMTLRTGQSYNWPSPRPGL HAS >ENSMUSP00000119682.1 pep:known chromosome:GRCm38:2:165311680:165316575:-1 gene:ENSMUSG00000017670.16 transcript:ENSMUST00000133205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo2 description:engulfment and cell motility 2 [Source:MGI Symbol;Acc:MGI:2153045] MPPPSDIVKVAIEWPGANAQLLEIDQKRPLASIIKEVCDGWSLPNPEYYTLRYADGPQLY VTEQTRNDIKNGTILQLAVSPSRAARQLMERTQSSSMETRLDAMKELAKLSADVTFATEF IN >ENSMUSP00000114303.1 pep:known chromosome:GRCm38:2:165315672:165326479:-1 gene:ENSMUSG00000017670.16 transcript:ENSMUST00000128690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo2 description:engulfment and cell motility 2 [Source:MGI Symbol;Acc:MGI:2153045] MPPPSDIVKVAIEWPGANAQLLEIDQKRPLASIIKEVCDGW >ENSMUSP00000105149.1 pep:known chromosome:GRCm38:2:157914653:157944719:1 gene:ENSMUSG00000037843.6 transcript:ENSMUST00000109523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm2l description:V-set and transmembrane domain containing 2-like [Source:MGI Symbol;Acc:MGI:2685537] MGAPLAAALGALHYLALFLQLGGATRPAGHAPWDNHVSGHALFTETPHDMTARTGEDVEM ACSFRGSGSPSYSLEIQWWYLRSHRDWTDKQTWASNQLKASQQEDSGKDATKISVVKVVG SNISHKLRLSRVKPTDEGTYECRVIDFSDGKARHHKVKAYLRVQPGENSVLQLPDAPPAA PAPPPKPGRELRKRSLPEACSL >ENSMUSP00000142614.1 pep:known chromosome:GRCm38:5:137852147:137854950:-1 gene:ENSMUSG00000066684.10 transcript:ENSMUST00000197914.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pilrb1 description:paired immunoglobin-like type 2 receptor beta 1 [Source:MGI Symbol;Acc:MGI:2450532] XMLLAKVLVIVLVYGWMIFLRWKQRPDPA >ENSMUSP00000142422.1 pep:known chromosome:GRCm38:5:137852147:137857376:-1 gene:ENSMUSG00000066684.10 transcript:ENSMUST00000198703.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilrb1 description:paired immunoglobin-like type 2 receptor beta 1 [Source:MGI Symbol;Acc:MGI:2450532] XGEVIYNSSLPFIHEHFKGRLILNWTQGQTSGVLRILNLKESDQAQYFSRVNLQSTEGMK LWQSIPGTQLNVTQESELMAAGLCCETSPFLDRHRR >ENSMUSP00000106606.2 pep:known chromosome:GRCm38:5:137852147:137858049:-1 gene:ENSMUSG00000066684.10 transcript:ENSMUST00000110978.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilrb1 description:paired immunoglobin-like type 2 receptor beta 1 [Source:MGI Symbol;Acc:MGI:2450532] MALLISLPGGTPAMAQVLLLLSSGCLHAGNSERYNRKNGFGVNQPERCSGVQGGSIDIPF SFYFPWKLAKDPQMSIAWKWKDFHGEVIYNSSLPFIHEHFKGRLILNWTQGQTSGVLRIL NLKESDQAQYFSRVNLQSTEGMKLWQSIPGTQLNVTQALNTTMRSPFIVTSEFTTAGLEH TSDQRNPSLMNLGAMVTMLLAKVLVIVLVYGWMIFLRWKQRPAH >ENSMUSP00000143379.1 pep:known chromosome:GRCm38:5:137852149:137858049:-1 gene:ENSMUSG00000066684.10 transcript:ENSMUST00000199387.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilrb1 description:paired immunoglobin-like type 2 receptor beta 1 [Source:MGI Symbol;Acc:MGI:2450532] MALLISLPGGTPAMAQVLLLLSSGCLHAGNSERYNRKNGFGVNQPERCSGVQGGSIDIPF SFYFPWKLAKDPQMSIAWKWKDFHGEVIYNSSLPFIHEHFKGRLILNWTQGQTSGVLRIL NLKESDQAQYFSRVNLQSTEGMKLWQSIPGTQLNVTQALNTTMRSPFIVTSEFTTAGLEH TSDQRNPSLMNLGAMVTMLLAKVLVIVLVYGWMIFLRWKQRPDPA >ENSMUSP00000143777.1 pep:known chromosome:GRCm38:5:137857450:137858106:-1 gene:ENSMUSG00000066684.10 transcript:ENSMUST00000196195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilrb1 description:paired immunoglobin-like type 2 receptor beta 1 [Source:MGI Symbol;Acc:MGI:2450532] MALLISLPGGTPAMAQVLLLLSSGCLHAVASESPHPSQEIQKDTTEKMALGSTNLNAALE SRVAPSTSP >ENSMUSP00000118312.2 pep:known chromosome:GRCm38:13:77043088:77135473:-1 gene:ENSMUSG00000021597.16 transcript:ENSMUST00000151524.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slf1 description:SMC5-SMC6 complex localization factor 1 [Source:MGI Symbol;Acc:MGI:2145448] MEDSATKHIIQMTGFKMEEKEALVKLLLKLDCTFIKSEKYKNCTHLIAERLCKSEKFLAA CAAGKWVLTKDYIIHSAKSGRWLDETTYEWGYKIEKDSHYSPQMQSAPKRWREELKRTGA PGAFHRWKVVLLVRADKRSDSLVRVLEAGKANVILPKNSPSGITHVIASNARISAEREQE NFKAPFYPIQYLGDFLLEKEIQNDEHSQISPAWTKYNNQEKGNDVGFPEMKGAGENMYRT QNKMENHNKNVSDRFVLSEHHKKKFKDFRKDIRSVKKRNTLRRHGLENQKETKKKDKNIQ RSYILRKKNKKEGYCKTDDAHDTIRSMLKKRGHYREQKEMKNPLLTDGTKESKTKDVKTN MNLIEIKNALKKQIYKDIYRAQAVRYNCIRVDKQPVYNVEVKNSEFPRGILNLIENLIEG QFFKEAIEELSSLQAHYIPPVCLLHAILENVLQDKIDTFSGRYFHILSALLHLHPPWKSP AMLKYYLELFQCPTCMKGAWDFTEVLIRSCLFNEDFCHQISENISTKVVNLTLLKFFFNL LEGEVRHLSQKLCDWSDSQSLKVTEKAILHEIFWSGSETSGLLTKPVNMLLEWTIYSHKE KCKSNDVFKHELSYLLTGILGAAVDYWIFLGIQMGRNVIRHMSDDLGSYISLSCDDFSSK ELEIFICSFSSSWLQMFVAEAIFKKLCLQGPTSTCTEPLSLQKIIDSYLPILGKMDIHGA GKMQSPKKLCQRPCLESQRALLMLNGAKRKQAEGRPELLELNRAKCSSSLKKLKKKSEEL SCSKENCPSLVTKMNFHKTNLKGETALHRVCIKNQVEKLIILLSLPGIDINVKDNAGWTP LHEACNYGNTECVQEILQRCPEVDLLTQVDGVTPLHDALSNGHVEIGKLLLQRGGPELLQ QRNSKGELPLDYVLSPKDKEELFAITNIDDTVDNFHAKTQKHFYHQQLEFGSFLLSRMLI NFCSIFDLSSEFILAFKGLGHLNELLMACNSDTEASNAHTDWLLDVYARNIKTLKKLPSV LKELPENLNVCPGVHTEALLVTLKMMCQSITELS >ENSMUSP00000124946.1 pep:known chromosome:GRCm38:13:77100923:77135465:-1 gene:ENSMUSG00000021597.16 transcript:ENSMUST00000162921.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slf1 description:SMC5-SMC6 complex localization factor 1 [Source:MGI Symbol;Acc:MGI:2145448] MEDSATKHIIQMTGFKMEEKEALVKLLLKLDCTFIKSEKYKNCTHLIAERLCKSEKFLAA CAAGKWVLTKDYIIHSAKSGRWLDETTYEWGYKIEKDSHYSPQMQSAPKRWREELKRTGA PGAFHRWKVVLLVRADKRSDSLVRKKFRMMNIPKSVLLGPNTTIKKKEMMWDFLK >ENSMUSP00000123982.1 pep:known chromosome:GRCm38:13:77103577:77135465:-1 gene:ENSMUSG00000021597.16 transcript:ENSMUST00000162944.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slf1 description:SMC5-SMC6 complex localization factor 1 [Source:MGI Symbol;Acc:MGI:2145448] MEDSATKHIIQMTGFKMEEKEALVKLLLKLDCTFIKSEKYKNCTHLIAERLCKSEKFLAA CAAGKWVLTKDYIIHSAKSGRWLDETTYEWGYKIEKDSHYSPQMQSAPKRWREELKRTGA PGAFHRWKVVLLVRADKRSDSLVRKKFRMMNIPKSVLLGPNTTIKKKEMMWDFLK >ENSMUSP00000124865.1 pep:known chromosome:GRCm38:13:77104534:77131276:-1 gene:ENSMUSG00000021597.16 transcript:ENSMUST00000159300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slf1 description:SMC5-SMC6 complex localization factor 1 [Source:MGI Symbol;Acc:MGI:2145448] MEDSATKHIIQMTGFKMEEKEALVKLLLKLDCTFIKSEKYKNCTHLIAERLCKSEKFLAA CAAGKWVLTKDYIIHSAKSGRWLDETTYEWGYKIEKDSHYSPQMQSAPKRWREELKRTGA PGAFHRWKVVLLVRADKRSDSLVRVLEAGKANVILPKNSPSGITHVIASNARISAEREQE NFKAPFYPIQYLGDFLLEKEIQNDEHSQISPAWTKYNNQEKGNDVGFPEMKGAGENMYRT QNKMENHNKNVSDRFVLSEHHKKKFKDFRKDIRSVKKRNTLRRHGLENQ >ENSMUSP00000124543.1 pep:known chromosome:GRCm38:13:77104620:77135438:-1 gene:ENSMUSG00000021597.16 transcript:ENSMUST00000159462.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slf1 description:SMC5-SMC6 complex localization factor 1 [Source:MGI Symbol;Acc:MGI:2145448] MYCVFKMEDSATKHIIQMTGFKMEEKEALVKLLLKLDCTFIKSEKYKNCTHLIAERLCKS EKFLAACAAGKWVLTKDYIIHSAKSGRWLDETTYEWGYKIEKDSHYSPQMQSAPKRWREE LKRTGAPGAFHRWKVVLLVRADKRSDSLVRVLEAGKANVILPKNSPSGITHVIASNARIS AEREQENFKAPFYPIQYLGDFLLEKEIQNDEHSQISPAWTKYNNQEKGNDVGFPEMKGAG ENMYRTQNKMENHNKNVSDRFVLSEH >ENSMUSP00000035754.6 pep:known chromosome:GRCm38:14:122456795:122465677:-1 gene:ENSMUSG00000041703.7 transcript:ENSMUST00000039118.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic5 description:zinc finger protein of the cerebellum 5 [Source:MGI Symbol;Acc:MGI:1929518] MMEPPLSKRNPPALRLADLATAQAQQLQNMTGFPVLVGPPAHSQRRAVAMHLHPRDLGTD PGVASTALGPEHMAQASGQGPCPPSQGLPGLSQVPAPAARSVASGTHPGARTHPDGGGSS GAQASAPPPPAPPLPPSQSSSPPPPPPPPPALSGYTATNSGGGSSSGKGHSRDFVLRRDL SATAPAAAMHGAPLGGEQRSGSSSPQHPTPPPHPAGMFISASGTYAGRDGGGSALFPALH DSPGAPGGHPLNGQMRLGLAAAAAAAAELYGRAEPPFAPRSGDAHYGAVAAAAAAALHGY GAVNLNLNLAAAAAAAAAAGPGPHLQHHAPPPAPPPAPAPHPHHPHLPGAAGAFLRYMRQ PIKRELICKWLDPEELAGPPASADSGVKPCSKTFGTMHELVNHVTVEHVGGPEQSSHVCF WEDCPREGKPFKAKYKLINHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEKPF KCEFDGCDRKFANSSDRKKHSHVHTSDKPYYCKIRGCDKSYTHPSSLRKHMKIHCKSPPP SPGALGYSSVGTPVGDPLSPVLDPTRSRSSTLSPQVTNLNEWYVCQASGAPSHLHTPSSN GTTSESEDEEMYGNPEVMRTIH >ENSMUSP00000074201.4 pep:known chromosome:GRCm38:1:146494760:146902471:1 gene:ENSMUSG00000035131.14 transcript:ENSMUST00000074622.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brinp3 description:bone morphogenetic protein/retinoic acid inducible neural specific 3 [Source:MGI Symbol;Acc:MGI:2443035] MIWRRRAGAELSSLMALWEWIVLSLHCWVLAVAAVSDQHATSPFDWLLSDKGPFHRSQEY TDFVDRSRQGFSTRYKIYREFGRWKVNNLAVERRNFLGSPLPLAPEFFRNIRLLGRRPTL QQITENLIKKYGTHFLLSATLGGEESLTIFVDKRKLSKRSEGSETSTNSSSVTLETLHQL AASYFIDRDSTLRRLHHIQIASTAIKVTETRTGPLGCSNYDNLDSVSSVLVQSPENKIQL QGLQVLLPDYLQERFVQAALSYIACNSEGEFICKDNDCWCHCGPKFPECNCPSMDIQAME ENLLRITETWKAYNSDFEDSDEFKFFMKRLPMNYFLNTSTIMHLWTMDSNFQRRYEQLEN SMKQLFLKAHRIVHKLFSLSKRCHKQPLISLPRQRTSTYWLTRIQSFLYCNENGLLGSFS EETHSCTCPNDQVVCTAFLPCTVGDASACLTCAPDNRTRCGTCNTGYMLSQGLCKPEVAE STDHYIGFETDLQDLEMKYLLQKTDRRIEVHAIFISNDMRLNSWFDPSWRKRMLLTLKSN KYKSSLVHMILGLSLQICLTKNSTLEPVLAVYVNPFGGSHSESWFMPVSESSFPDWERTK LDLPLQCYNWTLTLGNKWKTFFETVHIYLRSRIKANGPNSNESIYYEPLEFIDPSRNLGY MKINNIQVFGYSMHFDPEAIRDLILQLDYPYTQGSQDSALLQLLEIRDRVNKLSPPGQRR LDLFSCLLRHRLKLSTSEVVRIQSALQAFNAKLPNTVDYDTTKLCS >ENSMUSP00000118552.2 pep:known chromosome:GRCm38:1:146497614:146751780:1 gene:ENSMUSG00000035131.14 transcript:ENSMUST00000132847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brinp3 description:bone morphogenetic protein/retinoic acid inducible neural specific 3 [Source:MGI Symbol;Acc:MGI:2443035] MIWRRRAGAELSSLMALWEWIVLSLHCWVLAVAAVSDQHATSPFDWLLSDKGPFHRSQEY TDFVDRSRQGFSTRYKIYREFGRWKVNNLAVERRNFLGSPLPLAPEFFRNIRLLGRRPTL QQITENLIKKYGTHFLLSATLGGEESLTIFVDKRKLSKRSEGSETSTNSSSVTLETLHQL AASYFIDRDSTLRRLHHIQIASTAIKVTETRTGPLGCSNYDNLDSVSSVLVQSPENKIQL QGLQVLLPDY >ENSMUSP00000116763.1 pep:known chromosome:GRCm38:1:146497704:146902466:1 gene:ENSMUSG00000035131.14 transcript:ENSMUST00000128345.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brinp3 description:bone morphogenetic protein/retinoic acid inducible neural specific 3 [Source:MGI Symbol;Acc:MGI:2443035] MIWRRRAGAELSSLMALWEWIVLSLHCWVLAVAAVSDQHATSPFDWLLSDKGPFHRSQEY TDFVDRSRQGFSTRYKIYRLVPVCYKRKVL >ENSMUSP00000126074.1 pep:known chromosome:GRCm38:1:146497687:146902472:1 gene:ENSMUSG00000035131.14 transcript:ENSMUST00000166814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brinp3 description:bone morphogenetic protein/retinoic acid inducible neural specific 3 [Source:MGI Symbol;Acc:MGI:2443035] MIWRRRAGAELSSLMALWEWIVLSLHCWVLAVAAVSDQHATSPFDWLLSDKGPFHRSQEY TDFVDRSRQGFSTRYKIYREFGRWKVNNLAVERRNFLGSPLPLAPEFFRNIRLLGRRPTL QQITENLIKKYGTHFLLSATLGGEESLTIFVDKRKLSKRSEGSETSTNSSSVTLETLHQL AASYFIDRDSTLRRLHHIQIASTAIKVTETRTGPLGCSNYDNLDSVSSVLVQSPENKIQL QGLQVLLPDYLQERFVQAALSYIACNSEGEFICKDNDCWCHCGPKFPECNCPSMDIQAME ENLLRITETWKAYNSDFEDSDEFKFFMKRLPMNYFLNTSTIMHLWTMDSNFQRRYEQLEN SMKQLFLKAHRIVHKLFSLSKRCHKQPLISLPRQRTSTYWLTRIQSFLYCNENGLLGSFS EETHSCTCPNDQVVCTAFLPCTVGDASACLTCAPDNRTRCGTCNTGYMLSQGLCKPEVAE STDHYIGFETDLQDLEMKYLLQKTDRRIEVHAIFISNDMRLNSWFDPSWRKRMLLTLKSN KYKSSLVHMILGLSLQICLTKNSTLEPVLAVYVNPFGGSHSESWFMPVSESSFPDWERTK LDLPLQCYNWTLTLGNKWKTFFETVHIYLRSRIKANGPNSNESIYYEPLEFIDPSRNLGY MKINNIQVFGYSMHFDPEAIRDLILQLDYPYTQGSQDSALLQLLEIRDRVNKLSPPGQRR LDLFSCLLRHRLKLSTSEVVRIQSALQAFNAKLPNTVDYDTTKLCS >ENSMUSP00000106988.1 pep:known chromosome:GRCm38:2:91184061:91195035:-1 gene:ENSMUSG00000002108.10 transcript:ENSMUST00000111356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h3 description:nuclear receptor subfamily 1, group H, member 3 [Source:MGI Symbol;Acc:MGI:1352462] MSLWLEASMPDVSPDSATELWKTEPQDAGDQGGNTCILREEARMPQSTGVALGIGLESAE PTALLPRAETLPEPTELRPQKRKKGPAPKMLGNELCSVCGDKASGFHYNVLSCEGCKGFF RRSVIKGARYVCHSGGHCPMDTYMRRKCQECRLRKCRQAGMREECVLSEEQIRLKKLKRQ EEEQAQATSVSPRVSSPPQVLPQLSPEQLGMIEKLVAAQQQCNRRSFSDRLRVTPWPIAP DPQSREARQQRFAHFTELAIVSVQEIVDFAKQLPGFLQLSREDQIALLKTSAIEVMLLET SRRYNPGSESITFLKDFSYNREDFAKAGLQVEFINPIFEFSRAMNELQLNDAEFALLIAI SIFSADRPNVQDQLQVERLQHTYVEALHAYVSINHPHDPLMFPRMLMKLVSLRTLSSVHS EQVFALRLQDKKLPPLLSEIWDVHE >ENSMUSP00000002177.2 pep:known chromosome:GRCm38:2:91184061:91195116:-1 gene:ENSMUSG00000002108.10 transcript:ENSMUST00000002177.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h3 description:nuclear receptor subfamily 1, group H, member 3 [Source:MGI Symbol;Acc:MGI:1352462] MSLWLEASMPDVSPDSATELWKTEPQDAGDQGGNTCILREEARMPQSTGVALGIGLESAE PTALLPRAETLPEPTELRPQKRKKGPAPKMLGNELCSVCGDKASGFHYNVLSCEGCKGFF RRSVIKGARYVCHSGGHCPMDTYMRRKCQECRLRKCRQAGMREECVLSEEQIRLKKLKRQ EEEQAQATSVSPRVSSPPQVLPQLSPEQLGMIEKLVAAQQQCNRRSFSDRLRVTPWPIAP DPQSREARQQRFAHFTELAIVSVQEIVDFAKQLPGFLQLSREDQIALLKTSAIEVMLLET SRRYNPGSESITFLKDFSYNREDFAKAGLQVEFINPIFEFSRAMNELQLNDAEFALLIAI SIFSADRPNVQDQLQVERLQHTYVEALHAYVSINHPHDPLMFPRMLMKLVSLRTLSSVHS EQVFALRLQDKKLPPLLSEIWDVHE >ENSMUSP00000106987.1 pep:known chromosome:GRCm38:2:91184092:91194885:-1 gene:ENSMUSG00000002108.10 transcript:ENSMUST00000111355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h3 description:nuclear receptor subfamily 1, group H, member 3 [Source:MGI Symbol;Acc:MGI:1352462] MSLWLEASMPDVSPDSATELWKTEPQDAGDQGGNTCILREEARMPQSTGVALGIGLESAE PTALLPRAETLPEPTELRPQKRKKGPAPKMLGNELCSVCGDKASGFHYNVLSCEGCKGFF RRSVIKGARYVCHSGGHCPMDTYMRRKCQECRLRKCRQAGMREECVLSEEQIRLKKLKRQ EEEQAQATSVSPRVSSPPQVLPQLSPEQLGMIEKLVAAQQQCNRRSFSDRLRVTVMLLET SRRYNPGSESITFLKDFSYNREDFAKAGLQVEFINPIFEFSRAMNELQLNDAEFALLIAI SIFSADRPNVQDQLQVERLQHTYVEALHAYVSINHPHDPLMFPRMLMKLVSLRTLSSVHS EQVFALRLQDKKLPPLLSEIWDVHE >ENSMUSP00000106986.1 pep:known chromosome:GRCm38:2:91184092:91195151:-1 gene:ENSMUSG00000002108.10 transcript:ENSMUST00000111354.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h3 description:nuclear receptor subfamily 1, group H, member 3 [Source:MGI Symbol;Acc:MGI:1352462] MSLWLEASMPDVSPDSATELWKTEPQDAGDQGGNTCILREEARMPQSTGVALGIGLESAE PTALLPRAETLPEPTELRPQKRKKGPAPKMLGNELCSVCGDKASGFHYNVLSCEGCKGFF RRSVIKGARYVCHSGGHCPMDTYMRRKCQECRLRKCRQAGMREECVLSEEQIRLKKLKRQ EEEQAQATSVSPRVSSPPQVLPQLSPEQLGMIEKLVAAQQQCNRRSFSDRLRVTPWPIAP DPQSREARQQRFAHFTELAIVSVQEIVDFAKQLPGFLQLSREDQIALLKTSAIEVMLLET SRRYNPGSESITFLKDFSYNREDFAKAGLQVEFINPIFEFSRAMNELQLNDAEFALLIAI SIFSADRPNVQDQLQVERLQHTYVEALHAYVSINHPHDPLMFPRMLMKLVSLRTLSSVHS EQVFALRLQDKKLPPLLSEIWDVHE >ENSMUSP00000019354.8 pep:known chromosome:GRCm38:6:120795244:120822793:-1 gene:ENSMUSG00000019210.10 transcript:ENSMUST00000019354.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1e1 description:ATPase, H+ transporting, lysosomal V1 subunit E1 [Source:MGI Symbol;Acc:MGI:894326] MALSDADVQKQIKHMMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKK EKQIEQQKKIQMSNLMNQARLKVLRARDDLITDLLNEAKQRLSKVVKDTTRYQVLLDGLV LQGLYQLLEPRMIVRCRKQDFPLVKAAVQKAIPMYKIATKKDVDVQIDQEAYLPEEIAGG VEIYNGDRKIKVSNTLESRLDLIAQQMMPEVRGALFGANANRKFLD >ENSMUSP00000145324.1 pep:known chromosome:GRCm38:6:120795254:120818399:-1 gene:ENSMUSG00000019210.10 transcript:ENSMUST00000203783.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1e1 description:ATPase, H+ transporting, lysosomal V1 subunit E1 [Source:MGI Symbol;Acc:MGI:894326] IKHMMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKKEKQIEQQKKIQ MSNLMNQARLKVLRARDDLITDLLNEAKQRLSKVVKDTTRYQVLLDGLMMPEVRGALFGA NANRKFLD >ENSMUSP00000145353.1 pep:known chromosome:GRCm38:6:120804081:120822692:-1 gene:ENSMUSG00000019210.10 transcript:ENSMUST00000205049.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1e1 description:ATPase, H+ transporting, lysosomal V1 subunit E1 [Source:MGI Symbol;Acc:MGI:894326] MALSDADVQKQAEEEFNIEKGRLVQTQRLKIMEYYEKKEKQIEQQKKIQMSNLMNQARLK VLRARDDLITDLLNEAKQRLSKVVKDT >ENSMUSP00000145437.1 pep:known chromosome:GRCm38:6:120807585:120822539:-1 gene:ENSMUSG00000019210.10 transcript:ENSMUST00000204699.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1e1 description:ATPase, H+ transporting, lysosomal V1 subunit E1 [Source:MGI Symbol;Acc:MGI:894326] MMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMEYYEKKEKQIEQQKKIQMSN LMNQARLKVLRARDDLIT >ENSMUSP00000032992.4 pep:known chromosome:GRCm38:7:126546455:126566411:-1 gene:ENSMUSG00000030738.11 transcript:ENSMUST00000032992.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3c description:eukaryotic translation initiation factor 3, subunit C [Source:MGI Symbol;Acc:MGI:1926966] MSRFFTTGSDSESESSLSGEELVTKPVSGNYGKQPLLLSEDEEDTKRVVRSAKDKRFEEL TNLIRTIRNAMKIRDVTKCLEEFELLGKAYGKAKSIVDKEGVPRFYIRILADLEDYLNEL WEDKEGKKKMNKNNAKALSTLRQKIRKYNRDFESHITNYKQNPEQSADEDAEKNEEDSEG SSDEDEDEDGVGNTTFLKKKQESSGESRKFHKKMEDDDEDSEDSEDEEWDTSSTSSDSDS EEEEGKQTVLASKFLKKAPTTEEDKKAAEKKREDKAKKKHDRKSKRLDEEEEDNEGGEWE RVRGGVPLVKEKPKMFAKGTEITHAVVIKKLNEILQVRGKKGTDRATQIELLQLLVQIAA ENNLGVGVIVKIKFNIIASLYDYNPNLATYMKPEMWQMCLDCINELMDTLVAHSNIFVGE NILEESENLHNFDQPLRVRGCILTLVERMDEEFTKIMQNTDPHSQEYVEHLKDEAQVCAI IERVQRYLEEKGTTEEICQIYLRRILHTYYKFDYKAHQRQLTPPEGSSKSEQDQAENEGE DSAVLMERLCKYIYAKDRTDRIRTCAILCHIYHHALHSRWYQARDLMLMSHLQDNIQHAD PPVQILYNRTMVQLGICAFRQGLTKDAHNALLDIQSSGRAKELLGQGLLLRSLQERNQEQ EKVERRRQVPFHLHINLELLECVYLVSAMLLEIPYMAAHESDARRRMISKQFHHQLRVGE RQPLLGPPESMREHVVAASKAMKMGDWKTCHSFIINEKMNGKVWDLFPEADKVRTMLVRK IQEESLRTYLFTYSSVYDSISMETLSDMFELDLPTVHSIISKMIINEELMASLDQPTQTV VMHRTEPTAQQNLALQLAEKLGSLVENNERVFDHKQGTYGGYFRDQKDGYRKNEGYMRRG GYRQQQSQTAY >ENSMUSP00000138023.2 pep:known chromosome:GRCm38:7:126562589:126566358:-1 gene:ENSMUSG00000030738.11 transcript:ENSMUST00000180459.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3c description:eukaryotic translation initiation factor 3, subunit C [Source:MGI Symbol;Acc:MGI:1926966] MSRFFTTGSDSESESSLSGEELVTKPVSGNYGKQPLLLSEDEEDTKRVVRSAKDKRFEEL TNLIRTIRNAMKIRDVTKCLEEFELLGKAYGKAKSIVDKEGVPRFYIRILADLEDYLNEL WEDKEGKKKMNKNNAKALSTLRQKIRKYNRDFESHITNYKQNPEQSADEDAEKNEEDSEG SSDEDEDEDGVGNTTFLKKKQESSGESRKFHKKMEDDDEDSEDSEDEEWDTSSTSSDSDS EEEEGKQTV >ENSMUSP00000146083.1 pep:known chromosome:GRCm38:7:126563004:126566204:-1 gene:ENSMUSG00000030738.11 transcript:ENSMUST00000205949.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif3c description:eukaryotic translation initiation factor 3, subunit C [Source:MGI Symbol;Acc:MGI:1926966] MSRFFTTGSDSESESSLSGEELVTKPVSGNYGKQPLLLSEDEEDTKRVVRSAKDKSFGKI KKERRR >ENSMUSP00000099830.4 pep:known chromosome:GRCm38:2:50279881:50296717:-1 gene:ENSMUSG00000026766.16 transcript:ENSMUST00000102769.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmadhc description:methylmalonic aciduria (cobalamin deficiency) cblD type, with homocystinuria [Source:MGI Symbol;Acc:MGI:1923786] MAHVLCNRARLVSYLPGFCSLVKRVINPRAFSTAGSSGSDESHVATAPPDICSRTVWPDE TMGPFGPQDQRFQLPGNIGFDCHLNGTASQKKSQAHKTLPDVLAEPLSTERHEFVMAQYV NEFQDSDAPVEQEINSAETYFESAKVECAIQTCPELLRRDFESLFPEVANSKLMILTVTQ KTENDMTVWSEEVEVEREVLLEKFISGAKEICYALRAEGYWADFIDPSSGVAFFGPYTNN TLFETDERYRHLGFSVDDLGCCKVIRHSLWGTHVVVGSIFTNATADSSIMRKLSGN >ENSMUSP00000115961.1 pep:known chromosome:GRCm38:2:50287866:50296801:-1 gene:ENSMUSG00000026766.16 transcript:ENSMUST00000133768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmadhc description:methylmalonic aciduria (cobalamin deficiency) cblD type, with homocystinuria [Source:MGI Symbol;Acc:MGI:1923786] MAHVLCNRARLVSYLPGFCSLVKRVINPRAFSTAGSSGSDESHVATAPPDICSRTVWPDE TMGPFGPQDQRFQLPGNIGFDCHLNGTASQKKSQAHKTLPDVLAEPLSTERHEFVMAQYV NEFQDSDAPVEQEINSAETYFESAKVECAIQTCPELLRRDFESLFPEVANSKLMILTVT >ENSMUSP00000122804.1 pep:known chromosome:GRCm38:2:50281141:50296681:-1 gene:ENSMUSG00000026766.16 transcript:ENSMUST00000144143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmadhc description:methylmalonic aciduria (cobalamin deficiency) cblD type, with homocystinuria [Source:MGI Symbol;Acc:MGI:1923786] MAHVLCNRARLVSYLPGFCSLVKRVINPRAFSTAGSSGSDESHVATAPPDICSRTVWPDE TMGPFGPQDQRFQLPGNIGFDCHLNGTASQKKSQAHKTLPDVLAEPLSTERHEFVMAQYV NEFQDSDAPVEQEINSAETYFESAKVECAIQTCPELLRRDFESLFPEVANSKLMILTVTQ KTENDMTVWSEEVEVEREVLLEKFISGAKEICYALRAEG >ENSMUSP00000108329.1 pep:known chromosome:GRCm38:X:150645304:150657436:-1 gene:ENSMUSG00000025272.16 transcript:ENSMUST00000112709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tro description:trophinin [Source:MGI Symbol;Acc:MGI:1928994] MDRRNDHGHRVPTFQGPLPPAGSLGLPFSPDVQSETTEKDPPIASRSKKNKNKKNSIKPM DKTTPAPPPVPSANDNASNKPKVTLQALNLPMFTQISQASATTEAPNIQASVTSQTQKAK TMRVTPKVSLTGSEDATTQLKPPLQALNLPVTTPTIQTPVANESANSLASTAVNKSKKAS TANNAANKTVPSAAEISLASAATHTVTTQGQAAKETGSIQTIAATARSKKNSKGKRTPAK TTNTDNEYVEASNAIEASSRQIGASGRQTEASNRQIEASSRQTEASNRQTEASSRQTEAS SRQTETSNRQIGASNRQIMASNRQIGASNRQIEASNRQIGASNRQTEVSSRQIEASNRQI GASNRQTEASNRQIGASNRQTEASNRQIGASNRQTDASNRQTDASNRQTEASSRQTEASS RQTEASSRQTEASSRQIEASAAAVRPKKPRGKKGNNKGSNSASEPSEAPPAIQTVTNHAL SVTVRIRRGSRARKAANKNRATESQAQIAEQGAQASEASISALETQVAAAVQALADDYLA QLSLEPTTRTRGKRNRKSKHPNGEERTGNNYRRIPWGRRLPPPRDVAILQERANKLVKYL LVKDQTKIPIKRSDMLKDVIQEYEDYFPEIIERASYALEKMFRVNLKEIDKQNNLYILIS TQESSAGIMGTTKDTPKLGLLMVILSVIFMNGNKASEAVIWEVLRKLGLHPGVKHSLFGE VKKLITDEFVKQKYLEYKRVPNSRPPEYEFFWGLRSYHETSKMKVLKFACKVQKKDPKDW AAQYREAVEMDIQAAAVAVAEAKARAEARAQMGIGEEAVAGPWNWDDMDINCLTREELGD DAQAWSRFSFEIEPRAQENADPTTNVLFNQGATTRNSFSDGAGISFGGITNPSGGFGGIS NPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGIS NPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGIS NPSGGFGGRNSITFGSVPNTSANFSSAPSISFGDTPNTSTSFSGGANSSFSGTPSTSAPF CNTASISFGGAPSTSTSFSTASISFGGAPSTSTSLSTASISFGGAPSTSTSFSTASISFG GAPSTSTSLSTASISFGGAPSINSSSGGSSVSFGGAPTTSTSFSGGPCISFGGAPCTTAS ISGGASSGFGSTLCSTNPGFSALSTNTSFGSAPTTSTVFSGAVSTTTGFGGTLSTSVCFG SSPYSGAGFGGTLSTSISFGGSPSTNTGFGGTLSTSVSFGASSSTSSDFGGTLSTSVSFG GSSGANAGFGGTLNSSTSFGGAISTSTGFGSALNNSANFGGAISTSFSGVLNSSASFGGA INTSAGFGSTLNSSASFGSALSTSASFGGVLNGSAGFGGALNTNATFGGVLNGSAGFGGA MNTNATFGGALNSNAGFGGAISTSTNFGGALNNSAGFGGAMNTSASFGGALNNSAGFGGA ISTNATFGGALNNSAGFGGAISTNATFGGALNNSAGFGGAISTSASFGGTLNNSASFGGA INTSASFGGVLNNSAGFGGAINTSANFGGALTNSAGFGGAISTSASFGGALNNSAGFGGA ISTSASFGGALNNSAGFGGAISTNASFGGAISNSPDFGGAFSTSVGFGGTLNTTDFGSTH SNSISFGSAPTTSVSFGGSHSTNLCFGGAPSTSLCFGSASNTNLCFGGSNSTNCFSGATS ANFNEGHSISFGNGLSTSAGFGNGLGTSAGFGSSLGTSTGFGGSLGPSASFNGGLGTSTG FGGGLGTSTDFSGGLNHNADFNGGLGNSAGFNGGLNTNTDFGGELGTSAGFGDGLGSSTS FGAGLVTSDGFAGNLGTNTGFGGTLGTGAGFSVSLNNGNGFGNGPNASFNRGLNTIIGFG SGSNTSNGFTGEPNTGSSFSNGPSSIVGFSGGPSTGAGFCSGPSTGGFGGGPSTGPGFGG PSTGPGFGGPSTGGGFGGPNTGGGFGGPSTGGGFGGPSTGGGFGGPSTGGGFGGPSTAAG FGSGLSTSTGFGGGLNTSAGFSGGPPSTGTGFGGGASSHGGCGFPYG >ENSMUSP00000116905.1 pep:known chromosome:GRCm38:X:150653386:150657372:-1 gene:ENSMUSG00000025272.16 transcript:ENSMUST00000148604.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tro description:trophinin [Source:MGI Symbol;Acc:MGI:1928994] MDRRNDHGHRVPTFQGPLPPAGSLGLPFSPDVQSETTEKDPPIASRSKKNKNKKNSIKPM DKTTPAPPPVPSANDNASNKPKVTLQALNLPMFTQISQASATTEAPNIQASVTSQTQKAK TMRVTPKVSLTGSEDATTQLKPPLQALNLPVTTPTIQTPVANESANSLASTAVNKSKKAS TANNAANKTVPSAAEISLASAATHTVTTQGQAAKETGSIQTIAATARSKKNSKGKRTPAK TTNTDNEYVEASNAIEASSRQIGASGRQTEASNRQIEASSRQTEASNRQTEASSRQTEAS SRQTETSNRQIGASNRQIMASNRQIGASNRQIEASNRQIGASNRQTEVSSRQIEASNRQI GASNRQTEASNRQIGASNRQTEASNRQIGASNRQTDASNRQTDASNRQTEASSRQTEASS RQTEASSRQTEASSRQIEASAAAVRPKKPRGKKGNNKGSNSASEPSEAPPAIQTVTNHAL SVTVRIRRGSRARKAANKNRATESQAQIAEQGAQASEASISALETQVAAAVQALADDYLA QLSLEPTTRTRGKRNRKSKHP >ENSMUSP00000127689.1 pep:known chromosome:GRCm38:X:150649465:150657399:-1 gene:ENSMUSG00000025272.16 transcript:ENSMUST00000163450.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tro description:trophinin [Source:MGI Symbol;Acc:MGI:1928994] MEKKELEIITGGSHGAGGFHHPEMWPFCRKGQISW >ENSMUSP00000126042.1 pep:known chromosome:GRCm38:X:150645426:150655928:-1 gene:ENSMUSG00000025272.16 transcript:ENSMUST00000164071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tro description:trophinin [Source:MGI Symbol;Acc:MGI:1928994] MDRRNDHGHRVPTFQSKHPNGEERTGNNYRRIPWGRRLPPPRDVAILQERANKLVKYLLV KDQTKIPIKRSDMLKDVIQEYEDYFPEIIERASYALEKMFRVNLKEIDKQNNLYILISTQ ESSAGIMGTTKDTPKLGLLMVILSVIFMNGNKASEAVIWEVLRKLGLHPGVKHSLFGEVK KLITDEFVKQKYLEYKRVPNSRPPEYEFFWGLRSYHETSKMKVLKFACKVQKKDPKDWAA QYREAVEMDIQAAAVAVAEAKARAEACSPCLQITLTATILPMEPMCSFGIVVHAAA >ENSMUSP00000126054.1 pep:known chromosome:GRCm38:X:150645308:150657436:-1 gene:ENSMUSG00000025272.16 transcript:ENSMUST00000163969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tro description:trophinin [Source:MGI Symbol;Acc:MGI:1928994] MDRRNDHGHRVPTFQGPLPPAGSLGLPFSPDVQSETTEKDPPIASRSKKNKNKKNSIKPM DKTTPAPPPVPSANDNASNKPKVTLQALNLPMFTQISQASATTEAPNIQASVTSQTQKAK TMRVTPKVSLTGSEDATTQLKPPLQALNLPVTTPTIQTPVANESANSLASTAVNKSKKAS TANNAANKTVPSAAEISLASAATHTVTTQGQAAKETGSIQTIAATARSKKNSKGKRTPAK TTNTDNEYVEASNAIEASSRQIGASGRQTEASNRQIEASSRQTEASNRQTEASSRQTEAS SRQTETSNRQIGASNRQIMASNRQIGASNRQIEASNRQIGASNRQTEVSSRQIEASNRQI GASNRQTEASNRQIGASNRQTEASNRQIGASNRQTDASNRQTDASNRQTEASSRQTEASS RQTEASSRQTEASSRQIEASAAAVRPKKPRGKKGNNKGSNSASEPSEAPPAIQTVTNHAL SVTVRIRRGSRARKAANKNRATESQAQIAEQGAQASEASISALETQVAAAVQALADDYLA QLSLEPTTRTRGKRNRKSKHPNGEERTGNNYRRIPWGRRLPPPRDVAILQERANKLVKYL LVKDQTKIPIKRSDMLKDVIQEYEDYFPEIIERASYALEKMFRVNLKEIDKQNNLYILIS TQESSAGIMGTTKDTPKLGLLMVILSVIFMNGNKASEAVIWEVLRKLGLHPGVKHSLFGE VKKLITDEFVKQKYLEYKRVPNSRPPEYEFFWGLRSYHETSKMKVLKFACKACSPCLQIT LTATILPMEPMCSFGIVVHAAA >ENSMUSP00000084513.3 pep:known chromosome:GRCm38:X:150645304:150657583:-1 gene:ENSMUSG00000025272.16 transcript:ENSMUST00000087258.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tro description:trophinin [Source:MGI Symbol;Acc:MGI:1928994] MDRRNDHGHRVPTFQGPLPPAGSLGLPFSPDVQSETTEKDPPIASRSKKNKNKKNSIKPM DKTTPAPPPVPSANDNASNKPKVTLQALNLPMFTQISQASATTEAPNIQASVTSQTQKAK TMRVTPKVSLTGSEDATTQLKPPLQALNLPVTTPTIQTPVANESANSLASTAVNKSKKAS TANNAANKTVPSAAEISLASAATHTVTTQGQAAKETGSIQTIAATARSKKNSKGKRTPAK TTNTDNEYVEASNAIEASSRQIGASGRQTEASNRQIEASSRQTEASNRQTEASSRQTEAS SRQTETSNRQIGASNRQIMASNRQIGASNRQIEASNRQIGASNRQTEVSSRQIEASNRQI GASNRQTEASNRQIGASNRQTEASNRQIGASNRQTDASNRQTDASNRQTEASSRQTEASS RQTEASSRQTEASSRQIEASAAAVRPKKPRGKKGNNKGSNSASEPSEAPPAIQTVTNHAL SVTVRIRRGSRARKAANKNRATESQAQIAEQGAQASEASISALETQVAAAVQALADDYLA QLSLEPTTRTRGKRNRKSKHPNGEERTGNNYRRIPWGRRLPPPRDVAILQERANKLVKYL LVKDQTKIPIKRSDMLKDVIQEYEDYFPEIIERASYALEKMFRVNLKEIDKQNNLYILIS TQESSAGIMGTTKDTPKLGLLMVILSVIFMNGNKASEAVIWEVLRKLGLHPGVKHSLFGE VKKLITDEFVKQKYLEYKRVPNSRPPEYEFFWGLRSYHETSKMKVLKFACKVQKKDPKDW AAQYREAVEMDIQAAAVAVAEAKARAEARAQMGIGEEAVAGPWNWDDMDINCLTREELGD DAQAWSRFSFEIEPRAQENADPTTNVLFNQGATTRNSFSDGAGISFGGITNPSGGFGGIS NPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGIS NPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGISNPSGGFGGIS NPSGGFGGRNSITFGSVPNTSANFSSAPSISFGDTPNTSTSFSGGANSSFSGTPSTSAPF CNTASISFGGAPSTSTSFSTASISFGGAPSTSTSLSTASISFGGAPSTSTSFSTASISFG GAPSTSTSLSTASISFGGAPSINSSSGGSSVSFGGAPTTSTSFSGGPCISFGGAPCTTAS ISGGASSGFGSTLCSTNPGFSALSTNTSFGSAPTTSTVFSGAVSTTTGFGGTLSTSVCFG SSPYSGAGFGGTLSTSISFGGSPSTNTGFGGTLSTSVSFGASSSTSSDFGGTLSTSVSFG GSSGANAGFGGTLNSSTSFGGAISTSTGFGSALNNSANFGGAISTSFSGVLNSSASFGGA INTSAGFGSTLNSSASFGSALSTSASFGGVLNGSAGFGGALNTNATFGGVLNGSAGFGGA MNTNATFGGALNSNAGFGGAISTSTNFGGALNNSAGFGGAMNTSASFGGALNNSAGFGGA ISTNATFGGALNNSAGFGGAISTNATFGGALNNSAGFGGAISTSASFGGTLNNSASFGGA INTSASFGGVLNNSAGFGGAINTSANFGGALTNSAGFGGAISTSASFGGALNNSAGFGGA ISTSASFGGALNNSAGFGGAISTNASFGGAISNSPDFGGAFSTSVGFGGTLNTTDFGSTH SNSISFGSAPTTSVSFGGSHSTNLCFGGAPSTSLCFGSASNTNLCFGGSNSTNCFSGATS ANFNEGHSISFGNGLSTSAGFGNGLGTSAGFGSSLGTSTGFGGSLGPSASFNGGLGTSTG FGGGLGTSTDFSGGLNHNADFNGGLGNSAGFNGGLNTNTDFGGELGTSAGFGDGLGSSTS FGAGLVTSDGFAGNLGTNTGFGGTLGTGAGFSVSLNNGNGFGNGPNASFNRGLNTIIGFG SGSNTSNGFTGEPNTGSSFSNGPSSIVGFSGGPSTGAGFCSGPSTGGFGGGPSTGPGFGG PSTGPGFGGPSTGGGFGGPNTGGGFGGPSTGGGFGGPSTGGGFGGPSTGGGFGGPSTAAG FGSGLSTSTGFGGGLNTSAGFSGGPPSTGTGFGGGASSHGGCGFPYG >ENSMUSP00000120457.2 pep:known chromosome:GRCm38:X:150645308:150657399:-1 gene:ENSMUSG00000025272.16 transcript:ENSMUST00000151403.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tro description:trophinin [Source:MGI Symbol;Acc:MGI:1928994] MDRRNDHGHRVPTFQGPLPPAGSLGLPFSPDVQSETTEKDPPIASRSKKNKNKKNSIKPM DKTTPAPPPVPSANDNASNKPKVTLQALNLPMFTQISQASATTEAPNIQASVTSQTQKAK TMRVTPKVSLTGSEDATTQLKPPLQALNLPVTTPTIQTPVANESANSLASTAVNKSKKAS TANNAANKTVPSAAEISLASAATHTVTTQGQAAKETGSIQTIAATARSKKNSKGKRTPAK TTNTDNEYVEASNAIEASSRQIGASGRQTEASNRQIEASSRQTEASNRQTEASSRQTEAS SRQTETSNRQIGASNRQIMASNRQIGASNRQIEASNRQIGASNRQTEVSSRQIEASNRQI GASNRQTEASNRQIGASNRQTEASNRQIGASNRQTDASNRQTDASNRQTEASSRQTEASS RQTEASSRQTEASSRQIEASAAAVRPKKPRGKKGNNKGSNSASEPSEAPPAIQTVTNHAL SVTVRIRRGSRARKAANKNRATESQAQIAEQGAQASEASISALETQVAAAVQALADDYLA QLSLEPTTRTRGKRNRKSKHPNGEERTGNNYRRIPWGRRLPPPRDVAILQERANKLVKYL LVKDQTKIPIKRSDMLKDVIQEYEDYFPEIIERASYALEKMFRVNLKEIDKQNNLYILIS TQESSAGIMGTTKDTPKLGLLMVILSVIFMNGNKASEAVIWEVLRKLGLHPGVKHSLFGE VKKLITDEFVKQKYLEYKRVPNSRPPEYEFFWGLRSYHETSKMKVLKFACKVQKKDPKDW AAQYREAVEMDIQAAAVAVAEAKARAEACSPCLQITLTATILPMEPMCSFGIVVHAAA >ENSMUSP00000084508.4 pep:known chromosome:GRCm38:X:150645308:150657399:-1 gene:ENSMUSG00000025272.16 transcript:ENSMUST00000087253.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tro description:trophinin [Source:MGI Symbol;Acc:MGI:1928994] MDRRNDHGHRVPTFQGPLPPAGSLGLPFSPDVQSETTEKDPPIASRSKKNKNKKNSIKPM DKTTPAPPPVPSANDNASNKPKVTLQALNLPMFTQISQASATTEAPNIQASVTSQTQKAK TMRVTPKVSLTGSEDATTQLKPPLQALNLPVTTPTIQTPVANESANSLASTAVNKSKKAS TANNAANKTVPSAAEISLASAATHTVTTQGQAAKETGSIQTIAATARSKKNSKGKRTPAK TTNTDNEYVEASNAIEASSRQIGASGRQTEASNRQIEASSRQTEASNRQTEASSRQTEAS SRQTETSNRQIGASNRQIMASNRQIGASNRQIEASNRQIGASNRQTEVSSRQIEASNRQI GASNRQTEASNRQIGASNRQTEASNRQIGASNRQTDASNRQTDASNRQTEASSRQTEASS RQTEASSRQTEASSRQIEASAAAVRPKKPRGKKGNNKGSNSASEPSEAPPAIQTVTNHAL SVTVRIRRGSRARKAANKNRATESQAQIAEQGAQASEASISALETQVAAAVQALADDYLA QLSLEPTTRTRGKRNRKSKHPNGEERTGNNYRRIPWGRRLPPPRDVAILQERANKLVKYL LVKDQTKIPIKRSDMLKDVIQEYEDYFPEIIERASYALEKMFRVNLKEIDKQNNLYILIS TQESSAGIMGTTKDTPKLGLLMVILSVIFMNGNKASEAVIWEVLRKLGLHPGVKHSLFGE VKKLITDEFVKQKYLEYKRVPNSRPPEYEFFWGLRSYHETSKMKVLKFACKVQKKDPKDW AAQYREAVEMDIQAAAVAVAEAKARAEARAQMGIGEEAVAGPWNWDDMDINCLTSGGPST GAGFCSGPSTGGFGGGPSTGPGFGGPSTGPGFGGPSTGGGFGGPNTGGGFGGPSTGGGFG GPSTGGGFGGPSTGGGFGGPSTAAGFGSGLSTSTGFGGGLNTSAGFSGGPPSTGTGFGGG ASSHGGCGFPYG >ENSMUSP00000033621.6 pep:known chromosome:GRCm38:X:134588149:134601125:-1 gene:ENSMUSG00000031266.6 transcript:ENSMUST00000033621.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gla description:galactosidase, alpha [Source:MGI Symbol;Acc:MGI:1347344] MAMKLLSRDTRLVCELALCPLALVFWSILGVRALDNGLARTPTMGWLHWERFMCNLDCQE EPDACISEQLFMQMAELMVSDGWRDAGYDYLCIDDCWMAPERDSKGRLQADPQRFPSGIK HLANYVHSKGLKLGIYADVGNKTCAGFPGSFGSYDIDAQTFADWGVDLLKFDGCHCDSVV SLENGYKYMALALNRTGRSIVYSCEWPLYLRPFHKPNYTDIQYYCNHWRNFDDVYDSWES IKNILSWTVVYQKEIVEVAGPGSWNDPDMLVIGNFGLSWDQQVTQMALWAIMAAPLLMSN DLRQISSQAKALLQNKDVIAINQDPLGKQGYCFRKENHIEVWERPLSNLAWAVAVRNLQE IGGPCPYTIQISSLGRGLACNPGCIITQLLPEKVHLGFYEWTLTLKTRVNPSGTVLFRLE R >ENSMUSP00000123098.1 pep:known chromosome:GRCm38:X:134595289:134600300:-1 gene:ENSMUSG00000031266.6 transcript:ENSMUST00000153024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gla description:galactosidase, alpha [Source:MGI Symbol;Acc:MGI:1347344] MQMAELMVSDGWRDAGYDYLCIDDCWMAPERDSKGRLQADPQRFPSGIKHLANYVHSKGL KLGIYADVGNKTCAGFP >ENSMUSP00000054634.6 pep:known chromosome:GRCm38:4:137319696:137357720:-1 gene:ENSMUSG00000006699.17 transcript:ENSMUST00000051477.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42 description:cell division cycle 42 [Source:MGI Symbol;Acc:MGI:106211] MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTVMIGGEPYTLGLFDTAG QEDYDRLRPLSYPQTDVFLVCFSVVSPSSFENVKEKWVPEITHHCPKTPFLLVGTQIDLR DDPSTIEKLAKNKQKPITPETAEKLARDLKAVKYVECSALTQKGLKNVFDEAILAALEPP EPKKSRRCVLL >ENSMUSP00000030417.9 pep:known chromosome:GRCm38:4:137321762:137357699:-1 gene:ENSMUSG00000006699.17 transcript:ENSMUST00000030417.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42 description:cell division cycle 42 [Source:MGI Symbol;Acc:MGI:106211] MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTVMIGGEPYTLGLFDTAG QEDYDRLRPLSYPQTDVFLVCFSVVSPSSFENVKEKWVPEITHHCPKTPFLLVGTQIDLR DDPSTIEKLAKNKQKPITPETAEKLARDLKAVKYVECSALTQRGLKNVFDEAILAALEPP ETQPKRKCCIF >ENSMUSP00000029179.4 pep:known chromosome:GRCm38:2:157981803:158028433:-1 gene:ENSMUSG00000027650.12 transcript:ENSMUST00000029179.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tti1 description:TELO2 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1922675] MAVFDTPEEAFGVLRPVCVQLTKTQTVENVEHLQTQLQAISDTALQELQQYILFPLRFAL KTPGPKRERLVQSVVECLTFVLSSTCVREQELLQELFSELSACLYSPSSQKPAALSEELK LAVIQGLSTLMHSAYRDIILTFYEPSILPRLGFAVSLLLGLAEQEKSKQIKIAALQCLQV LLLQCDCQDHPRPLDELEQQQLGDLLASFLPGISTALTRIITGDFKQGHSIVVSSLKVFY KTVGFIMADEQLTRIPKAQAKPVVEHRVAALMIHREADWVKSTGDKLAIFIKKIIDCVSV HPHWKVRLELVEFVEILLLKCTQSLVESTGPLLKVLVGLVNDESPEVQARCSTVLRRLAD QKVVVGSRALADILSESLHSLATSLPRLMNTQDDQGKFSTLSLLLGYLKLLGPKVHVILN SVAHVQRLSRALIQVLELEVTDVKMVEERRWNSDNLSASAEVSAARPWSRVQRRYFRCFT DERVFLLLRKICQLLGYYGDLYLLVDHFMELYHTSVVYRKQAAMILNELVVGAAGLDVED IHNKCPSMGPEELREIVKSILEEYTSQENWYLITCFEAEEGEEVMMKQQGFQAVTSGVHT CQVVSFPALSKPSPTICSMNSNIWQICIQLEGIGQFAYALGKDFRLLLMSALYPILEKAG DPTLLISQVATSTMVDICHACGYNSVQHLINQNSDYLVNGISLNLRHLALHPHAPKVLEA MLRNADASLLPLVADVVQDVLATLDQFYDKRAASFVSVLHALLAALAHWFPDSGSTGQLQ QRSLEEEGRQLPAAGEASTTAEDIEQFVLSYLQEKDVAEGNVSDLEAEEEVQSAPPKVDE NDTLPDVEPPLPTHIQIAKDVMERCIHLSADKNLKIRLKVLDVLGLCVEVLQTHKNQLLP LAHRAWPSLVHRLTSDDPLAVLRAFKVLQTLGSRCGDFLRSRFCKDVLPKLTSSLITQAP ISARAGPVYSHTLAFKLQLAVLQGLGPLCENLDLGEGDLNKVADACVIYLSTKQPVKLQE AARSVFLHLMRVDPDSTWLLLHELYCPVQQFTAPHPSLHPVQLQGATQPQNPYATNVCHL LLQLQ >ENSMUSP00000116592.1 pep:known chromosome:GRCm38:2:158008770:158011298:-1 gene:ENSMUSG00000027650.12 transcript:ENSMUST00000124338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tti1 description:TELO2 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1922675] MAVFDTPEEAFGVLRPVCVQLTKTQTVENVEHLQTQLQAISDTALQELQQYILFPLRFAL KTPGPKRERLVQSVVECLTFVLSSTCVREQELLQELFSELSACLYSPSSQKPAALSEELK LAVIQGLSTLMHSAYRDIILTFYEPSILPRLGFAVSLLLGLAEQEKSKQIKIAALQCLQV LLL >ENSMUSP00000105148.1 pep:known chromosome:GRCm38:2:157981812:158009362:-1 gene:ENSMUSG00000027650.12 transcript:ENSMUST00000109522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tti1 description:TELO2 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1922675] MAVFDTPEEAFGVLRPVCVQLTKTQTVENVEHLQTQLQAISDTALQELQQYILFPLRFAL KTPGPKRERLVQSVVECLTFVLSSTCVREQELLQELFSELSACLYSPSSQKPAALSEELK LAVIQGLSTLMHSAYRDIILTFYEPSILPRLGFAVSLLLGLAEQEKSKQIKIAALQCLQV LLLQCDCQDHPRPLDELEQQQLGDLLASFLPGISTALTRIITGDFKQGHSIVVSSLKVFY KTVGFIMADEQLTRIPKAQAKPVVEHRVAALMIHREADWVKSTGDKLAIFIKKIIDCVSV HPHWKVRLELVEFVEILLLKCTQSLVESTGPLLKVLVGLVNDESPEVQARCSTVLRRLAD QKVVVGSRALADILSESLHSLATSLPRLMNTQDDQGKFSTLSLLLGYLKLLGPKVHVILN SVAHVQRLSRALIQVLELEVTDVKMVEERRWNSDNLSASAEVSAARPWSRVQRRYFRCFT DERVFLLLRKICQLLGYYGDLYLLVDHFMELYHTSVVYRKQAAMILNELVVGAAGLDVED IHNKCPSMGPEELREIVKSILEEYTSQENWYLITCFEAEEGEEVMMKQQGFQAVTSGVHT CQVVSFPALSKPSPTICSMNSNIWQICIQLEGIGQFAYALGKDFRLLLMSALYPILEKAG DPTLLISQVATSTMVDICHACGYNSVQHLINQNSDYLVNGISLNLRHLALHPHAPKVLEA MLRNADASLLPLVADVVQDVLATLDQFYDKRAASFVSVLHALLAALAHWFPDSGSTGQLQ QRSLEEEGRQLPAAGEASTTAEDIEQFVLSYLQEKDVAEGNVSDLEAEEEVQSAPPKVDE NDTLPDVEPPLPTHIQIAKDVMERCIHLSADKNLKIRLKVLDVLGLCVEVLQTHKNQLLP LAHRAWPSLVHRLTSDDPLAVLRAFKVLQTLGSRCGDFLRSRFCKDVLPKLTSSLITQAP ISARAGPVYSHTLAFKLQLAVLQGLGPLCENLDLGEGDLNKVADACVIYLSTKQPVKLQE AARSVFLHLMRVDPDSTWLLLHELYCPVQQFTAPHPSLHPVQLQGATQPQNPYATNVCHL LLQLQ >ENSMUSP00000061836.7 pep:known chromosome:GRCm38:3:38886940:39011985:1 gene:ENSMUSG00000046743.6 transcript:ENSMUST00000061260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fat4 description:FAT atypical cadherin 4 [Source:MGI Symbol;Acc:MGI:3045256] MNLAANRAPGRRRLPLPSPSLCQLLRVWGLLSLLPGSARVQAAEQRQVFQVMEEQPPGTL VGTIPTRPGFTYRLSESHALFAINSSTGALYTTATIDRESLPSDVVNLVVLSSSPTYPTE VRVLVRDLNDNAPVFPDPSIVVTFKEDSGSGRQVILDTATDSDIGSNGVDHHSYRIVSGN EAGRFRLDITLNPSGEGAFLHLVSKGGLDREVTPQYQLLVEVEDKGEPKRRGYLQVNVTV QDINDNPPVFGSSHYQAGVPEDAVVGSSVLQVAAADADEGTNADIRYRLQDEGTPFQMDP ETGLITVREPLDFEARRQYSLTVQATDRGVPSLTGRAEAFIQLLDVNDNDPVVKFRYFPA TSRYASVDENAQVGTVVALLTVTDADSPAANGNISVQILGGNEQRHFEVQRSKVPNLSLI KVASALDRERIPSYNLTVSVSDNSGAPPTAEVQARSSVASLVIFVNDINDHPPVFEQQVY RVNLSEEVPPGSYVSGVSATDGDSGLNANLRYSIVSGNGLGWFHISEHSGLVTTSAAGGL DRELASQIVLNISARDQGVHPKVSYAQLVVTVLDVNDEKPVFSQPEGYEVSVVENAPTGT ELLVLGATDRDLGDNGTVRFSLQEAENDQRLFRLDPVSGRLSTASSLDREEQAFYCLSIL ATDLGSPPQSSTAQVNVSLLDINDNSPVFYPVQYFAHIQENEPGGSYVTTVSATDPDMGP NGTVKYSISAGDRSRFQIHAKSGVISTKMALDREEKTAYQLQVVATDGGNLQSPNQAIVT VTVLDTQDNPPVFSQAAYSFVVFENVALGYHVGSVSATTMDLNANISYLITTGDQRGMFA MNPVTGQLTTASVIDREEQSFYQLKIVASGGAVTGDTVVNITVKDLNDNAPHFLQAVESI NAVENWQAGHSIFQAKAVDPDEGVNGRVLYSLKQNPKNLFTINEQNGNISLLGALDVHAG SYQVEIVASDMGVPQLSSSILLTVYVHDVNDNPPVFDQISYEVTLSESEPVNSRFFKVQA SDKDSGANGEIAYTITDGNNGDAFGIFPDGQLYIKSELDRELQDRYVLLVVASDRAVEPL SATVNVTVLLEDVNDNRPLFNSTNYTFYFEEEQRAGSFVGKVSAVDKDFGPNGEVRYAFE VTQPNFELHAVTGEITSTHKFDRESLMRRRGTAVFSFTVTAMDRGLPQPLKDQATVHVYM KDINDNAPKFLKDFYQATVSETATNLTQVLRVSASDVDEGSNGLIHYSILKGNEERQFAI DSFSGQVTLVGKLDYEATSAYSLLIQAVDSGAIPLNSTCTLSIDILDENDNTPSFPKSTL FVDVLENMRIGELVSSVTATDSDSGVNADLHYTITGSNNHGTFSISPNTGSIFLAKKLDF ETQSLYKLNITAKDQGRPPRSSTMSVVIQVRDFNDNPPSFPPGDIFKSIVENIPLGTSVI SVTAHDPDADINGQLSYAIIQQMPRGNHFSIDEVKGTIYTSAEIDREFANLFELTVKAND QAVPIETRRYALKNVTILVTDLNDNVPMFISQNALAADPSAMIGSVLTTIMAADPDEGAN GEVEYEILNGDTDTFTVDRYSGDLRVASALVPSQLIYNLIVSATDLGPERRKSTTELTVI LQGLDGPVFTQTKYITILKEGEPIGTNVISIEAASPRGSEAPVEYYIVSVRCEEKTVGRL FTIGRQTGVIQTAAILDREQGACLYLVDVYAIEKSSAFPRTQRAEVEITLQDINDNPPVF PTDTLDLTVEENIGDGSKIMQLTAMDADEGANALVTYALISGADDSFRIDPESGDLIATK RLDREHRSKYSLLVRADDGLQSSDMRINITISDVNDHTPRFSRPVYSFDIPEDTTPGSLV AAILATDDDSGVNGEISYVVEEDDGDGVFFLNLVTGVFNLTRALDYETQQYYILTVRAED GGGQSTTIRAYFNILDVNDNPPVFSMSSYSTSLMENLPLGSTVLVFNVTDADDGVNSQLS YSIASGDSLGQFAVDKHGVLKTLKALDRESQSFYNLVIQVHDLPQPPTSRFTSTAQVSII LLDVNDNPPMFLSPKLTYIPENTPIDTVVFKAQATDPDSGPNSYIEYTLLNPSGNKFSIG TIDGEVHLTGELDREEVSNYSLTVVATDKGQPPLSSSTEVVVMVLDINDNNPVFAQAMYR VQIKENILTGTDIIQVSAADNDEGTNGQVRYGIVGGNTHQEFRIDSVTGAITVAKSLDRE TTPAYTLTVQATDRGSSPRTDSCTVAITLLDMNDFVPVFELSPYSVNVPENLGTLPRAIL QVVARDDDQGPNSQLSYVLLGGNEDNAFVLTASGELRVTQSLDREARDHFVLVVTAADAG SPALTGTGTINIIVDDINDNVPTFANNMYLTSIAEDARTGTDVLLVNASDADAAANAVIS YSIIGGNSQFTINPSTGQIITSALLDRETKDNYTLVVVASDAGSPESLSSSTSVLVTITD VNDNPPRFQHHPYVTHIPSPTPPGSFVFAVTVTDADIGSNSELHYSLSGRNSEKFHIDPL RGAIMAAGPLSGASEVTFSVHVKDGGSFPKTDSTTVTVRFANKADFPKVRAKEQTFMFPE NQPVGTLVTTITGSSLRGETLSYYIASGNLGDTFQIDPLTGQVSISQPLDFEKIQKYVVW IEARDGGFPPFSSYEKLDITVLDINDNAPTFEEDPFVSEILENLSPRKILTVSATDKDSG PNGQLDYEIVNGNQESSFTINHATGEIRSIRPLDREKISHYELTVKSSDKGSPSQSTSVK VIISILDENDNAPRFSQIFSAYVSENSPLGYTVTRVTTSDEDIGINAISRYSIVDTSLPF TINPNTGDIVISRPLNREDTDRYRIRVSAHDSGWTVSTDVTIFVTDINDNTPRFSRPSYY LDCPELPELGSRVTQVSATDPDEGSNGQVFYFIKSQSEYFRINATTGEIFNKQVLKYQNV SGFSNVNINRHSFIVTASDRGNPSLLSETTVTINTVDSNDNPPQFLQNKYFTPVTKNVKV GTKLIKVTAVDDKDFGLNSEVEYFVSDGNHLGKFKLDNDTGWISIASSLVSDLNQNFLIR VTAKDKGNPPLSSQAVVHITVTEENYHTPEFSQNHISATIPESHSIGSVVRTVSARDRDT AMNGLISYNIISGNEEGIFAINSSTGVVTLAKALDYEMSSKHEMTISATDGGWVARTGYC SLTVSVIDVNDNSPVFVPDEFFPTVMENAPSGTTVIHLNATDADSGANAVIAYTVQSSDS DLFVIDPNMGVITTQGFLDFETKQSYHLTVKAFNVPDEEKCSFATVDIQLKGTNEYVPRF VSKLYYFEVSEAASRGTAVGEVFASDRDMGADGEVHYLIFGNSRKKGFQINKMTGQIYVS GLLDREKEERVSLKVLAKNFGNIRGADIDEVTVNITVLDANDPPVFSLSTYRVQISEGVP IGTHVTFVSAFDSDSIPSWSRFSYFIGSGNENGAFSINPQTGQITVTSGLDRESLPVYNL TVLAVDSGTPSATGSASLVVTLEDINDNGPVLTVSEGEVLENKRPGTLVMTLQSTDPDLP PNQGPFNYYLLSTGPATNYFSLSTAGVLSTTREIDREQIADFYLSVVTRDSGAPQMSSTG TVHITVLDQNDNPSQSRTVEIFVNYYGNLFPGGTLGSVKPQDPDVLDSFHCSLTSGVTSL FSIPAGSCDLSSQPRSTDGTFDLTVVSSDGVHSTVTNNIRVFFAGFSNATIDNSILLRVG VPTVKDFLTNHYLHFLRIASSQLTGLGTAVQLYAAYEENNRTFLLAAVKRNNNQYVNPSG VATFFESIKEILLRQSGVKVESVDHDPCIHGPCQNGGSCLRRLAVGSALKIQESLPVIIV ANEPLQPSQCKCVPGYAGSWCEVDIDECLPAPCHNGGTCHNLVGGFSCSCPEGFTGRACE RDINECLPSPCKHGAVCQNFPGGFNCVCKTGYTGKMCESSVNYCECNPCFNGGSCQSGVE SYYCHCPFGVFGKHCELNSYGFEELSYMEFPSLDPNNNYIYVKFATIKSHALLLYNYDNQ TGERAEFLALEIAEERLRFSYNLGSGTYKLTTMKKVSDGQFHTVIARRAGMAASLTVDSC SENQEPGYCTVSNVAVSDDWTLDVQPNRVTVGGIRSLEPILQRRGHVESHDFVGCVMEFA VNGRPLEPSQALAAQGILDQCPRLEGTCARNPCQHGGTCVDFWSWQQCQCMEGLTGKYCE KSVTPDTALSLEGKGRLDYHMSQSEKREYLLTQSIRDTTLEPFGVNSLEVKFRTRSENGI LIHIQESSNYTTVKIKNGKVHFTSDAGVAGKVERIIPEAYIADGHWHTFRISKNGSITVL SVDRIHNRDIVHPTQDFGGIEVLSMSLGGIPPNQAHRDTQTGFNGCIASVLYGGESLPFS GKHSLASISKTDPSVKIGCRGPNICASNPCWGDLLCINQWYAYKCVPPGDCASHPCQNGG SCEPGLLSGYTCSCPESHTGRTCETVVACLGVLCPQGKVCKAGSPGGHVCVQSQGPDEIS LPLWAVPAIVGSCATALALLVLSLILCNQCRGKMPKNPKEEKKPKEKKKKGSENVAFDDP DNIPPYGDDLAVRKQPEGNPKPDIIERENPYLIFDETDIPHNSETIPSAPLASPEQEIEH YDIDNASSIAPSDADIIQHYKQFRSHTPKFSIQRHSPLGFARQSPMPLGASSLTYQPSSY GQGLRTSSLSHSACPTPNPLSRHSPAPFSKPSAFYRNSPARELHLPLRDGGTLEMHGDPC QPGMFNYATRLGRRSKSPQAMASHGSRPGSRLKQPIAQIPLESSPPVGLSIEEVERLNTP RPRNPSICSADHGRSSSEEDCRRPLSRTRNPADGIPAPESSSDSDSHDSFTCSEMEYDRE KPVVYTSRMPKLSQVNESDADDEDNYGARLKPRRYHGRRAEGGPVGTPAAASGAADSTLK LGQQAGNFNWDNLLNWGPGFGHYVDVFKDLASLPEKAAGNEEGKSGAAKPAAKDGEAEQY V >ENSMUSP00000108302.2 pep:known chromosome:GRCm38:6:120773578:120794336:1 gene:ENSMUSG00000004902.7 transcript:ENSMUST00000112682.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a18 description:solute carrier family 25 (mitochondrial carrier), member 18 [Source:MGI Symbol;Acc:MGI:1919053] MIACRMSSQDLSISAKLINGGIAGLVGVTCVFPIDLAKTRLQNQQGKDVYRGMTDCLMKT ARAEGFLGMYRGAAVNLTLVTPEKAIKLAANDFLRQLLMQDGTQRNLKMEMLAGCGAGIC QVVITCPMEMLKIQLQDAGRLAVCHQASASATPTSRPYSTGSTSTHRRPSATLIARELLR TQGLSGLYRGLGATLLRDIPFSIIYFPLFANLNQLGVSELTGKASFTHSFVAGCTAGSVA AVAVTPLDVLKTRIQTLKKGLGEDTYSGVTDCARKLWTQEGPAAFMKGAGCRALVIAPLF GIAQGVYFIGIGERILKCFE >ENSMUSP00000034859.8 pep:known chromosome:GRCm38:9:55208925:55224433:1 gene:ENSMUSG00000032309.15 transcript:ENSMUST00000034859.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo22 description:F-box protein 22 [Source:MGI Symbol;Acc:MGI:1926014] MEPAGGGGGVSSSTDPRSTYVLSNLAEVVERVFTFLPAKALLRVAGVCRLWRECVRRVLR THRSVTWISAGVAEAGHLEGHCLVRVVAEALENVRILPQTVLYMADSETFISLEECRGHK RARKRTTMETACALEKLFPKQCQVLGIVTPGIVVTPMGSGSNRPQEIEIGESGFALLFPQ IEGIKIQPFHFIKDSKNLTLERHQLTEVGLLDNPELRVVLVFGYNCCKVGASNYLHRVVS TFSDMNIILAGGQVDNLSSLTCEKNPLDIDATGVVGLSFSGHRIQSATVLLTEDVNDAKT VEAAMQRLKAANIPEQNTIGFMFACVGRGFQYYRAKGNVEADAFRKFFPSVPLFGFFGNG EIGCDRIVTGNFILRRCNEVKEEDLFHSYTTIMALVHLGTSK >ENSMUSP00000122828.1 pep:known chromosome:GRCm38:9:55208986:55224431:1 gene:ENSMUSG00000032309.15 transcript:ENSMUST00000153970.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo22 description:F-box protein 22 [Source:MGI Symbol;Acc:MGI:1926014] MEPAGGGGGVSSSTDPRSTYVLKYAACGGSVYAECCGPIAA >ENSMUSP00000117341.1 pep:known chromosome:GRCm38:9:55208988:55224424:1 gene:ENSMUSG00000032309.15 transcript:ENSMUST00000140375.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo22 description:F-box protein 22 [Source:MGI Symbol;Acc:MGI:1926014] MEPAGGGGGVSSSTDPRSTYVLSNLAEVVERVFTFLPAKALLRVAGVCRLWRECVRRVLR THRSVTWISAGVAEAGHLEGHCLVRVVAEALENVRILPQTVLYMADSETFISLEECRGHK RARKRTTMETACALEKLFPKQCQVLGIVTPGIVGLQEFNTRKTPAY >ENSMUSP00000117106.1 pep:known chromosome:GRCm38:9:55209190:55224431:1 gene:ENSMUSG00000032309.15 transcript:ENSMUST00000146201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo22 description:F-box protein 22 [Source:MGI Symbol;Acc:MGI:1926014] MADSETFISLEECRGHKRARKRTTMETACALEKLFPKQCQVLGIVTPGIVVTPMGSGSNR PQEIEIGESGFALLFPQIEGIKIQPFHFIKDSKNLTLERHQLTEVGLLDNPELRVVLVFG YNCCKVGASNYLHRVVSTFSDMNIILAGGQVDNLSSLTCEKNPLDIDATGVVGLSFSGHR IQSATVLLTEDVNDAKTVEAAMQRLKAANIPEQNTIGFMFACVGRGFQYYRAKGNVEADA FRKFFPSVPLFGFFGNGEIGCDRIVTGNFILRRCNEVKEEDLFHSYTTIMALVHLGTSK >ENSMUSP00000119304.1 pep:known chromosome:GRCm38:9:55213663:55221029:1 gene:ENSMUSG00000032309.15 transcript:ENSMUST00000133795.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo22 description:F-box protein 22 [Source:MGI Symbol;Acc:MGI:1926014] MADSETFISLEECRGHKRARKRTTMETACALEKLFPKQCQVLGIVTPGIVVTPMGSGSNR PQEIEIGESGFALLFPQIEGIKIQPFHFIKDSKNLTLERHQLTEVGLL >ENSMUSP00000087457.5 pep:known chromosome:GRCm38:8:128685654:128733200:1 gene:ENSMUSG00000025809.15 transcript:ENSMUST00000090006.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb1 description:integrin beta 1 (fibronectin receptor beta) [Source:MGI Symbol;Acc:MGI:96610] MNLQLVSWIGLISLICSVFGQTDKNRCLKANAKSCGECIQAGPNCGWCTNTTFLQEGMPT SARCDDLEALKKKGCQPSDIENPRGSQTIKKNKNVTNRSKGMAEKLRPEDITQIQPQQLL LKLRSGEPQKFTLKFKRAEDYPIDLYYLMDLSYSMKDDLENVKSLGTDLMNEMRRITSDF RIGFGSFVEKTVMPYISTTPAKLRNPCTSEQNCTSPFSYKNVLSLTDRGEFFNELVGQQR ISGNLDSPEGGFDAIMQVAVCGSLIGWRNVTRLLVFSTDAGFHFAGDGKLGGIVLPNDGQ CHLENNVYTMSHYYDYPSIAHLVQKLSENNIQTIFAVTEEFQPVYKELKNLIPKSAVGTL SGNSSNVIQLIIDAYNSLSSEVILENSKLPDGVTINYKSYCKNGVNGTGENGRKCSNISI GDEVQFEISITANKCPNKESETIKIKPLGFTEEVEVVLQFICKCNCQSHGIPASPKCHEG NGTFECGACRCNEGRVGRHCECSTDEVNSEDMDAYCRKENSSEICSNNGECVCGQCVCRK RDNTNEIYSGKFCECDNFNCDRSNGLICGGNGVCRCRVCECYPNYTGSACDCSLDTGPCL ASNGQICNGRGICECGACKCTDPKFQGPTCETCQTCLGVCAEHKECVQCRAFNKGEKKDT CAQECSHFNLTKVESREKLPQPVQVDPVTHCKEKDIDDCWFYFTYSVNGNNEAIVHVVET PDCPTGPDIIPIVAGVVAGIVLIGLALLLIWKLLMIIHDRREFAKFEKEKMNAKWDTGEN PIYKSAVTTVVNPKYEGK >ENSMUSP00000120026.1 pep:known chromosome:GRCm38:8:128685757:128732617:1 gene:ENSMUSG00000025809.15 transcript:ENSMUST00000124826.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itgb1 description:integrin beta 1 (fibronectin receptor beta) [Source:MGI Symbol;Acc:MGI:96610] MNLQLVSWIGLISLICSVFGQTDKNRCLKANAKSCGECIQAGPNCGWCTNTENHRSLH >ENSMUSP00000119699.1 pep:known chromosome:GRCm38:8:128724333:128732389:1 gene:ENSMUSG00000025809.15 transcript:ENSMUST00000149116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb1 description:integrin beta 1 (fibronectin receptor beta) [Source:MGI Symbol;Acc:MGI:96610] XCKEKDIDDCWFYFTYSVNGNNEAIVHVVETPDCPTGPDIIPIVAGVVAGIVLIGLALLL IWKLLMIIHDRREFAKFEKEKMNAKWDTQENPIYKSPINNFKNPNYGRKAGL >ENSMUSP00000128828.1 pep:known chromosome:GRCm38:7:143458339:143460996:-1 gene:ENSMUSG00000037664.13 transcript:ENSMUST00000167912.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn1c description:cyclin-dependent kinase inhibitor 1C (P57) [Source:MGI Symbol;Acc:MGI:104564] MGMSDVYLRSRTAMERLASSDTFPVIARSSACRSLFGPVDHEELGRELRMRLAELNAEDQ NRWDFNFQQDVPLRGPGRLQWMEVDSESVPAFYRETVQVGRCRLQLGPRPPPVAVAVIPR SGPPAGEAPDGLEEAPEQPPSAPASAVVAEPTPPATPAPASDLTSDPIPEVTLVATSDPT PDPIPDANPDVATRDGEEQVPEQVSEQGEESGAEPGDELGTEPVSEQGEEQGAEPVEEKD EEPEEEQGAEPVEEQGAEPVEEQNGEPVEEQDENQEQRGQELKDQPLSGIPGRPAPGTAA ANANDFFAKRKRTAQENKASNDVPPGCPSPNVAPGVGAVEQTPRKRLR >ENSMUSP00000037302.6 pep:known chromosome:GRCm38:7:143458342:143461050:-1 gene:ENSMUSG00000037664.13 transcript:ENSMUST00000037287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn1c description:cyclin-dependent kinase inhibitor 1C (P57) [Source:MGI Symbol;Acc:MGI:104564] MERLASSDTFPVIARSSACRSLFGPVDHEELGRELRMRLAELNAEDQNRWDFNFQQDVPL RGPGRLQWMEVDSESVPAFYRETVQVGRCRLQLGPRPPPVAVAVIPRSGPPAGEAPDGLE EAPEQPPSAPASAVVAEPTPPATPAPASDLTSDPIPEVTLVATSDPTPDPIPDANPDVAT RDGEEQVPEQVSEQGEESGAEPGDELGTEPVSEQGEEQGAEPVEEKDEEPEEEQGAEPVE EQGAEPVEEQNGEPVEEQDENQEQRGQELKDQPLSGIPGRPAPGTAAANANDFFAKRKRT AQENKASNDVPPGCPSPNVAPGVGAVEQTPRKRLR >ENSMUSP00000147142.1 pep:known chromosome:GRCm38:7:143458822:143460173:-1 gene:ENSMUSG00000037664.13 transcript:ENSMUST00000207910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn1c description:cyclin-dependent kinase inhibitor 1C (P57) [Source:MGI Symbol;Acc:MGI:104564] PTPDPIPDANPDVATRDGEEQVPEQGEEQGAEPVEEKDEEPEEEQGAEPVEEQGAEPVEE QNGEPVEEQDENQEQRGQELKDQPLSGIPGRPAPGTAAANANDFFAKRKRTAQENKASND VPPGCPSPNVAPGVGAVEQTPRKRLR >ENSMUSP00000147116.1 pep:known chromosome:GRCm38:7:143458898:143460162:-1 gene:ENSMUSG00000037664.13 transcript:ENSMUST00000208137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn1c description:cyclin-dependent kinase inhibitor 1C (P57) [Source:MGI Symbol;Acc:MGI:104564] XPIPDANPDVATRDGEEQVPEQVSEQGEESGAEPGDELGTEPVSEQGEEQGAEPVEEKDE EPEEEQGAEPVEEQGAEPVEEQNGEPVEEQDENQEQRGQELKDQPLSGIPGRPAPGTAAA NANGAIKKLSGPLISDFFAKRKRTAQENKASNDVPPGCPSPNVAPGVGAVEQTPRKRLR >ENSMUSP00000104238.1 pep:known chromosome:GRCm38:11:69964779:69967810:1 gene:ENSMUSG00000018569.12 transcript:ENSMUST00000108597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn7 description:claudin 7 [Source:MGI Symbol;Acc:MGI:1859285] MANSGLQLLGFSMAMLGWVGLIASTAIPQWQMSSYAGDNIITAQAMYKGLWMECVTQSTG MMSCKMYDSVLALPGALQATRALMVVSLVLGFLAMFVATMGMKCTRCGGDDKAKKARIAM TGGIVFIVAGLAALVACSWIGHQIVTDFYNPLTPMNVKYEFGPAIFIGWAGSALVLLGGA LLSCSCPGSESKAAYRAPRSYPKSNSSKEYV >ENSMUSP00000090725.4 pep:known chromosome:GRCm38:11:69964824:69966969:1 gene:ENSMUSG00000018569.12 transcript:ENSMUST00000060651.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn7 description:claudin 7 [Source:MGI Symbol;Acc:MGI:1859285] MANSGLQLLGFSMAMLGWVGLIASTAIPQWQMSSYAGDNIITAQAMYKGLWMECVTQSTG MMSCKMYDSVLALPGALQATRAL >ENSMUSP00000104237.1 pep:known chromosome:GRCm38:11:69964835:69967882:1 gene:ENSMUSG00000018569.12 transcript:ENSMUST00000108596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn7 description:claudin 7 [Source:MGI Symbol;Acc:MGI:1859285] MVVSLVLGFLAMFVATMGMKCTRCGGDDKAKKARIAMTGGIVFIVAGLAALVACSWIGHQ IVTDFYNPLTPMNVKYEFGPAIFIGWAGSALVLLGGALLSCSCPGSESKAAYRAPRSYPK SNSSKEYV >ENSMUSP00000018713.6 pep:known chromosome:GRCm38:11:69965396:69967885:1 gene:ENSMUSG00000018569.12 transcript:ENSMUST00000018713.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn7 description:claudin 7 [Source:MGI Symbol;Acc:MGI:1859285] MANSGLQLLGFSMAMLGWVGLIASTAIPQWQMSSYAGDNIITAQAMYKGLWMECVTQSTG MMSCKMYDSVLALPGALQATRALMVVSLVLGFLAMFVATMGMKCTRCGGDDKAKKARIAM TGGIVFIVAGLAALVACSWIGHQIVTDFYNPLTPMNVKYEFGPAIFIGWAGSALVLLGGA LLSCSCPGSESKAAYRAPRSYPKSNSSKEYV >ENSMUSP00000137627.1 pep:known chromosome:GRCm38:11:69966918:69967652:1 gene:ENSMUSG00000018569.12 transcript:ENSMUST00000151515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn7 description:claudin 7 [Source:MGI Symbol;Acc:MGI:1859285] MVVSLVLGFLAMFVATMGMKCTRCGGDDKAKKARIAMTGGIVFIVAGLAALVACSWIGHQ IVTDFYNPLTPMNVKYEFGPAIFIGWAGSALVLLGGALLSCSCPGSE >ENSMUSP00000135735.2 pep:known chromosome:GRCm38:5:137641334:137642868:1 gene:ENSMUSG00000079165.10 transcript:ENSMUST00000177466.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap25 description:sin3 associated polypeptide [Source:MGI Symbol;Acc:MGI:3802945] MLPWLGPWATGQEEATEGLGLPTGTGHGEALSSGEETMKEQETPVQDSSQPQALSPSEPG RKQLPPSRHLATEPTAEAPVRLSPRVTSQVTPSRMSPLPLRDPSHQANAGPRLVEPSCGP GVSLSNRTLCHPSWPMYDNWGRSPTTSERPEEEQVVSKDTGVPVRNYEDVFLLDPLLPCG QRVPLILTKPPQQAMDSRKLLLPPPIMSPSVHPSSSQACSSTWLSEAEMIALAGLLQMSQ GEQTPNCVASSLPSTSCPDPVSVSEDPGPSGDQSCSGTDT >ENSMUSP00000127076.2 pep:known chromosome:GRCm38:5:137641437:137642902:1 gene:ENSMUSG00000079165.10 transcript:ENSMUST00000166099.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap25 description:sin3 associated polypeptide [Source:MGI Symbol;Acc:MGI:3802945] MGILLVLRLTQVSIRKGGEWGSLPFPSHSSQPQALSPSEPGRKQLPPSRHLATEPTAEAP VRLSPRVTSQVTPSRMSPLPLRDPSHQANAGPRLVEPSCGPGVSLSNRTLCHPSWPMYDN WGRSPTTSERPEEEQVVSKDTGVPVRNYEDVFLLDPLLPCGQRVPLILTKPPQQAMDSRK LLLPPPIMSPSVHPSSSQACSSTWLSEAEMIALAGLLQMSQGEQTPNCVASSLPSTSCPD PVSVSEDPGPSGDQSCSGTDT >ENSMUSP00000099756.3 pep:known chromosome:GRCm38:11:59848073:59876533:1 gene:ENSMUSG00000032615.14 transcript:ENSMUST00000102695.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5m description:5',3'-nucleotidase, mitochondrial [Source:MGI Symbol;Acc:MGI:1917127] MHRLRGCCARPRGAPLRAERSRASSRALRVLVDMDGVLADFEGGFLRKFRARFPDLPFVA LEDRRGFWVSEQYGRLQPGLSEKAISIWESKDFFFELEPLPGAVEAVKQMANLQNTDVFI CTSPIKMFKYCPYEKYAWVEKHFGPDFLEQIVLTRDKTVISADLLIDDRPDITGAEPHPS WEHILFTSCHNYHLQLQPPRRRLHSWADDWKAILDSKRLR >ENSMUSP00000051853.8 pep:known chromosome:GRCm38:2:118754158:118762661:-1 gene:ENSMUSG00000045838.8 transcript:ENSMUST00000059997.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A430105I19Rik description:RIKEN cDNA A430105I19 gene [Source:MGI Symbol;Acc:MGI:2685199] MISCAEQRSRSRQGEADRAGSGGSSFPPALAPGSCFEILSAPGISMHLAGPHKAESTMRR HEEKDAELDRRIVALRKKNQALLRRYQEIEEDRRQAEQGGMAVTTPGLLQPDSLTVTISQ VPGEKRVVSRNWARAPLSPGVTTTLTDDEDAADAPGTFSMGHRVELAVTMENKAKAKRIV SEKPTTQARSPRAKGTSGGGRSWRAPLQMTSSSDSAGKGVLEPRSPGVVSSPPPQQSLGL SPEASWDYTQWKQEREQIDLARLARHRNPQGDWSRPWDLDKAKSMPQNCHKPRDRDLAMG SRKGPRTHQKPQHPPSSLDGKCRGGQSGRPSMTSTIGSNAQGKERLTGRARRYDMKEDEM LQSQEGSQSSTKTPSAEEEFVQKRNEREPDRQETVPATSPIPASPEGLKGESGTSTASLA PDSPQHSDLAPLDLSVGGASSRKPGKSTCILGPKAGAQQSPVSWPNGSEQQTLGCTDHQP GLEVHSCTESQRKAQTPEPMEDRAGKAGAQENLTPRSRPPRGIGPRARGTSKRSRAGGPG PAGRC >ENSMUSP00000035061.6 pep:known chromosome:GRCm38:9:110419747:110423012:1 gene:ENSMUSG00000032484.8 transcript:ENSMUST00000035061.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngp description:neutrophilic granule protein [Source:MGI Symbol;Acc:MGI:105983] MAGLWKTFVLVVALAVVSCEALRQLRYEEIVDRAIEAYNQGRQGRPLFRLLSATPPSSQN PATNIPLQFRIKETECTSTQERQPKDCDFLEDGEERNCTGKFFRRRQSTSLTLTCDRDCS REDTQETSFNDKQDVSEKEKFEDVPPHIRNIYEDAKYDIIGNILKNF >ENSMUSP00000028024.4 pep:known chromosome:GRCm38:1:161395409:161418410:1 gene:ENSMUSG00000026700.4 transcript:ENSMUST00000028024.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf4 description:tumor necrosis factor (ligand) superfamily, member 4 [Source:MGI Symbol;Acc:MGI:104511] MEGEGVQPLDENLENGSRPRFKWKKTLRLVVSGIKGAGMLLCFIYVCLQLSSSPAKDPPI QRLRGAVTRCEDGQLFISSYKNEYQTMEVQNNSVVIKCDGLYIIYLKGSFFQEVKIDLHF REDHNPISIPMLNDGRRIVFTVVASLAFKDKVYLTVNAPDTLCEHLQINDGELIVVQLTP GYCAPEGSYHSTVNQVPL >ENSMUSP00000135286.1 pep:known chromosome:GRCm38:5:137629175:137642899:1 gene:ENSMUSG00000029720.9 transcript:ENSMUST00000177545.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20605 description:predicted gene 20605 [Source:MGI Symbol;Acc:MGI:5306917] MAAAVAGPLAAGGEEAAASVSLPGSPGLPGSRSAERALEEAVATGTLNLSNRRLKHFPRG AARSYDLSDITQADLSRNRFPEVPEAACQLVSLEGLSLYHNCLKCLNPALGNLTALTYLN LSRNQLSSLPPYICQLPLRVLIISNNKLGALPPDISTLGSLRQLDVSSNELQSLPVELCS LRSLRDLNVRRNQLSTLPDELGDLPLVRLDFSCNRISRIPVSFCRLRHLQVVLLDSNPLQ SPPAQICLKGKLHIFKYLTMEAGRRGAALGDLVPSRPPSFSPCPAEDLFPGRRYDGGLDS GFHSVDSGSKRWSGNESTDDFSELSFRISELARDPRGPRQPREDGAGDGDLEQIDFIDSH VPGEDEDRSAAEEQLPSELSLVAGDVEKPSSSRREEPAGEERRRPDTLQLWQERERKQQQ QSGGWGSPRKDSVLKRGIRAAGAGASAPSTQATCNGPPKSSTTQLGVSGGQGAPTPPPTS QDPLPVSGPVTAPVPRPLGSIQRPNSFLFRSSSQSGSSPSSPESVLRPRPFPQEKELISQ LRQVLESRLQQPLPEDLAEALANGVLLCQLANQLRPRSVPFIHVPSPAVPKLSALKSRKN VESFLEACRKMGVPEADLCSPSDLLRGTAQGLQTVLEAVILVGGKAPLPVQPSSGLGGFL LFYVVFMLLLYVVYTRLLGS >ENSMUSP00000102984.1 pep:known chromosome:GRCm38:11:100740376:100759740:-1 gene:ENSMUSG00000035355.15 transcript:ENSMUST00000107361.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh4 description:potassium voltage-gated channel, subfamily H (eag-related), member 4 [Source:MGI Symbol;Acc:MGI:2156184] MPVMKGLLAPQNTFLDTIATRFDGTHSNFLLANAQGPRGFPIVYCSDGFCELTGYGRTEV MQKTCSCRFLYGPETSEPALQRLQKALEGHQEHRTEICFYRKDGSAFWCLLDMMPIKNEM GEVVLFLFSFKDISQSGGPGLGSPGIHGDNNHENSLGRRGTSSRLRSTKRQNRTVLHRLT GHFGRRGQSSVKANSNLFEPKPSVPEYKVASVGGSRCLLLHYSIPKAVWDGLILLATFYV AVTVPYNVCFAGDDDTPITSRHTLVSDIAVEMLFILDIILNFRTTYVSQSGQVISAPRSI GLHYLATWFFVDLIAALPFDLLYVFNITVTSLVHLLKTVRLLRLLRLLQKLERYSQCSAV VLTLLMSVFALLAHWMACVWYVIGRREMEANDPLLWDIGWLHELGKRLEEPYVNGSAGGP SRRSAYIAALYFTLSSLTSVGFGNVCANTDAEKIFSICTMLIGALMHAVVFGNVTAIIQR MYSRRSLYHSRMKDLKDFIRVHRLPRPLKQRMLEYFQTTWAVNSGIDANELLRDFPDELR ADIAMHLNREILQLPLFGAASRGCLRALSLHIKTSFCAPGEYLLRRGDALQAHYYVCSGS LEVLRDNMVLAILGKGDLIGADIPELGQEPGSGAGPSCVLKTSADVKALTYCGLQQLSSR GLAEVLRLYPEYAAAFRAGLPRDLTFNLRQGSENNGLGRFSRSPRLSQARSDTLGSSSDK TLPSITETEGGTEPGAGSKPRRPHLLPNLSPARPRGSLVSLLGEELPPFSALVSSPSLSP TPSPALAGRGQSPSPHGPPRGSAAWKPPQLLIPPLGTFGPPDLSPRIVDGIEDSSNTAEA PTFRFSKRPEPTRTRSQAPPSGPRLSRELATEAAEEVKEKVCRLNQEISRLNQEVSQLSR ELRQVMGLLQARLGPPSHTAGPAWLPDLPCPHQRLPCISPHMSGPPPGLQNTTLAVVHCP ASVGTVEIGATPSELRPSTIPPYPSEPDPLGPSPAPEAPPLTPSLLKHSFQSGSDTFH >ENSMUSP00000102986.1 pep:known chromosome:GRCm38:11:100740382:100759942:-1 gene:ENSMUSG00000035355.15 transcript:ENSMUST00000107363.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh4 description:potassium voltage-gated channel, subfamily H (eag-related), member 4 [Source:MGI Symbol;Acc:MGI:2156184] MPVMKGLLAPQNTFLDTIATRFDGTHSNFLLANAQGPRGFPIVYCSDGFCELTGYGRTEV MQKTCSCRFLYGPETSEPALQRLQKALEGHQEHRTEICFYRKDGSAFWCLLDMMPIKNEM GEVVLFLFSFKDISQSGGPGLGSPGIHGDNNHENSLGRRGTSSRLRSTKRQNRTVLHRLT GHFGRRGQSSVKANSNLFEPKPSVPEYKVASVGGSRCLLLHYSIPKAVWDGLILLATFYV AVTVPYNVCFAGDDDTPITSRHTLVSDIAVEMLFILDIILNFRTTYVSQSGQVISAPRSI GLHYLATWFFVDLIAALPFDLLYVFNITVTSLVHLLKTVRLLRLLRLLQKLERYSQCSAV VLTLLMSVFALLAHWMACVWYVIGRREMEANDPLLWDIGWLHELGKRLEEPYVNGSAGGP SRRSAYIAALYFTLSSLTSVGFGNVCANTDAEKIFSICTMLIGALMHAVVFGNVTAIIQR MYSRRSLYHSRMKDLKDFIRVHRLPRPLKQRMLEYFQTTWAVNSGIDANELLRDFPDELR ADIAMHLNREILQLPLFGAASRGCLRALSLHIKTSFCAPGEYLLRRGDALQAHYYVCSGS LEVLRDNMVLAILGKGDLIGADIPELGQEPGSGAGPSCVLKTSADVKALTYCGLQQLSSR GLAEVLRLYPEYAAAFRAGLPRDLTFNLRQGSENNGLGRFSRSPRLSQARSDTLGSSSDK TLPSITETEGGTEPGAGSKPRRPHLLPNLSPARPRGSLVSLLGEELPPFSALVSSPSLSP TPSPALAGRGQSPSPHGPPRGSAAWKPPQLLIPPLGTFGPPDLSPRIVDGIEDSSNTAEA PTFRFSKRPEPTRTRSQAPPSGPRLSRELATEAAEEVKEKVCRLNQEISRLNQEVSQLSR ELRQVMGLLQARLGPPSHTAGPAWLPDLPCPHQRLPCISPHMSGPPPGLQNTTLAVVHCP ASVGTVEIGATPSELRPSTIPPYPSEPDPLGPSPAPEAPPLTPSLLKHSFQSGSDTFH >ENSMUSP00000086511.5 pep:known chromosome:GRCm38:2:152143561:152149097:1 gene:ENSMUSG00000068079.5 transcript:ENSMUST00000089112.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf15 description:transcription factor 15 [Source:MGI Symbol;Acc:MGI:104664] MAFALLRPVGAHVLYPDVRLLSEDEENRSESDASDQSFGCCEGLEAARRGPGPGSGRRAS NGAGPVVVVRQRQAANARERDRTQSVNTAFTALRTLIPTEPVDRKLSKIETLRLASSYIA HLANVLLLGDAADDGQPCFRAAGGGKSAVPAADGRQPRSICTFCLSNQRKGGSRRDLGGS CLKVRGVAPLRGPRR >ENSMUSP00000083251.1 pep:known chromosome:GRCm38:1:161494655:161505290:1 gene:ENSMUSG00000066755.2 transcript:ENSMUST00000086084.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf18 description:tumor necrosis factor (ligand) superfamily, member 18 [Source:MGI Symbol;Acc:MGI:2673064] MEEMPLRESSPQRAERCKKSWLLCIVALLLMLLCSLGTLIYTSLKPTAIESCMVKFELSS SKWHMTSPKPHCVNTTSDGKLKILQSGTYLIYGQVIPVDKKYIKDNAPFVVQIYKKNDVL QTLMNDFQILPIGGVYELHAGDNIYLKFNSKDHIQKTNTYWGIILMPDLPFIS >ENSMUSP00000113806.1 pep:known chromosome:GRCm38:12:83763634:83792382:1 gene:ENSMUSG00000021223.13 transcript:ENSMUST00000121733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papln description:papilin, proteoglycan-like sulfated glycoprotein [Source:MGI Symbol;Acc:MGI:2386139] MQLFPLLFSLLLTSTPGSWARNVRRQSDTWGTWGEWSPCSRTCGGGISFRERPCYSQRRD GGTSCVGPARSHRTCHTESCPDGVRDFRAEQCAEFDGTDFQGRRYRWLPYYAAPNKCELN CIPKGQNFYYKHKDAVVDGTPCEPGQRDICVDGVCRVVGCDHKLDSIKQEDKCLQCGGDG SSCYPVTGTFDGNDLSRGYNQIFIIPAGATSIRIEEAAASRNFLAVKSIRGEYYLNGHWT IEAAQALPVASTVLQYERGVEGDLAPERLQARGPTSEPLVIELLSQESNPGVHYEYYLPA NDPGRGFSWSHGSWGDCSAECGGGHQSRLVFCTIDNEAYPDHMCQHQPRPTHRRSCNTQP CPKTKRISFLHRPGAWRYAGVQHMCGNSWKVGPWTPCSVSCGGGVQSRSVYCISSDGTGG QEAAEETQCAGLVGKPPTTQACNLQHCAVWSVEPWGECSVTCGTGIRKRSVTCRGDEGSP VHAAACLLKDQPTLTEPCVQEACPVFRGQAWHVGSWSLCSKSCGSGIRRRQVVCTIGPPG RCVDLQSSKPAEMEACNRQPCHLPQEVPSIQDPRTRSSDPRMLSGPRVSPVSDGREQQWA PLERPRAQSNPREGQDPNLSSAGRAPTLQRPPHQPPLRPSSGPRDCRHSPHGCCPDGHTP SLGPQWQGCPLAGASCLQSRYGCCPDGVSAAEGPQQAGCTRSHGSDNTGNRPGSRAVASK NPKIHQPQAHEGEPSECRSSRFGCCYDNVASAAGPLGEGCVGQPSYAYPVRCLLPSAQGS CGDWAARWYFVASVGRCNRFWYGGCHGNANNFASEQECMNTCRGQHGPRRPEAGAAGHRA HVDGGQRGPGGQQEPDWHRAGATIPRLPSPSGSPWRREQEPAPGEPPHIPAYGNRPGGQE IRPRVPGLDREARPAVPPTHSPSYRIRLAGSEPSLVQAAPGQAVQLFCPGNIPSEFQAGW QKEGRPISSNRYQLQADGSLIISRLRPEDAGIYSCGSHRPGHEPQEIQLRVTGGDMAVFP EGQPRHFPEPRNPDLGHGPPHRGTGAEAGGHRVLSPSHPRPATRLRLDRTQPGVVDASPG QRIRLTCRAEGFPVPTIEWQRDGQLVSSPRHQVQPDGSLVISRVDVEDGGYYSCVAFNGQ DRDQRWVQLRVLRELTITGLPPAVTVAEGDTARLLCVVAGESVNIRWSRNGLPIQADGHR VHQSPDGTLLIHNLRPRDEGSYTCSAFRGSQAVSRSTEVKVALPAPAAQSRDLGKDCIDQ PELANCALILQAQLCGNEYYSSFCCASCSRFQPNAQPVWQQG >ENSMUSP00000021646.5 pep:known chromosome:GRCm38:12:83763654:83792355:1 gene:ENSMUSG00000021223.13 transcript:ENSMUST00000021646.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papln description:papilin, proteoglycan-like sulfated glycoprotein [Source:MGI Symbol;Acc:MGI:2386139] MQLFPLLFSLLLTSTPGSWARNVRRQSDTWGTWGEWSPCSRTCGGGISFRERPCYSQRRD GGTSCVGPARSHRTCHTESCPDGVRDFRAEQCAEFDGTDFQGRRYRWLPYYAAPNKCELN CIPKGQNFYYKHKDAVVDGTPCEPGQRDICVDGVCRVVGCDHKLDSIKQEDKCLQCGGDG SSCYPVTGTFDGNDLSRGYNQIFIIPAGATSIRIEEAAASRNFLAVKSIRGEYYLNGHWT IEAAQALPVASTVLQYERGVEGDLAPERLQARGPTSEPLVIELLSQESNPGVHYEYYLPA NDPGRGFSWSHGSWGDCSAECGGGHQSRLVFCTIDNEAYPDHMCQHQPRPTHRRSCNTQP CPKTKRWKVGPWTPCSVSCGGGVQSRSVYCISSDGTGGQEAAEETQCAGLVGKPPTTQAC NLQHCAVWSVEPWGECSVTCGTGIRKRSVTCRGDEGSPVHAAACLLKDQPTLTEPCVQEA CPVFRGQAWHVGSWSLCSKSCGSGIRRRQVVCTIGPPGRCVDLQSSKPAEMEACNRQPCH LPQEVPSIQDPRTRSSDPRMLSGPRVSPVSDGREQQWAPLERPRAQSNPREGQDPNLSSA GRAPTLQRPPHQPPLRPSSGPRDCRHSPHGCCPDGHTPSLGPQWQGCPLAGASCLQSRYG CCPDGVSAAEGPQQAGCTRSHGSDNTGNRPGSRAVASKNPKIHQPQAHEGEPSECRSSRF GCCYDNVASAAGPLGEGCVGQPSYAYPVRCLLPSAQGSCGDWAARWYFVASVGRCNRFWY GGCHGNANNFASEQECMNTCRGQHGPRRPEAGAAGHRAHVDGGQRGPGGQQEPDWHRAGA TIPRLPSPSGSPWRREQEPAPGEPPHIPAYGNRPGGQEIRPRVPGLDREARPAVPPTHSP SYRIRLAGSEPSLVQAAPGQAVQLFCPGNIPSEFQAGWQKEGRPISSNRYQLQADGSLII SRLRPEDAGIYSCGSHRPGHEPQEIQLRVTGGDMAVFPEGQPRHFPEPRNPDLGHGPPHR GTGAEAGGHRVLSPSHPRPATRLRLDRTQPGVVDASPGQRIRLTCRAEGFPVPTIEWQRD GQLVSSPRHQVQPDGSLVISRVDVEDGGYYSCVAFNGQDRDQRWVQLRVLRELTITGLPP AVTVAEGDTARLLCVVAGESVNIRWSRNGLPIQADGHRVHQSPDGTLLIHNLRPRDEGSY TCSAFRGSQAVSRSTEVKVALPAPAAQSRDLGKDCIDQPELANCALILQAQLCGNEYYSS FCCASCSRFQPNAQPVWQQG >ENSMUSP00000025076.3 pep:known chromosome:GRCm38:18:4165832:4182232:1 gene:ENSMUSG00000024233.10 transcript:ENSMUST00000025076.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyzl1 description:lysozyme-like 1 [Source:MGI Symbol;Acc:MGI:1914578] MKSVGVFALIISFSIVAESKIYTRCKLAKIFAKAGLDNYGGFALGNWLCMAYYESHYNTT AENVLEDGSTDYGIFQINSFTWCRNARKHQKNHCHVACSALITDDLTDAILCAKKIVKET QGMNYWQGWKKNCENKDMSEWKRGCEVS >ENSMUSP00000113101.1 pep:known chromosome:GRCm38:18:4165862:4182232:1 gene:ENSMUSG00000024233.10 transcript:ENSMUST00000120837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyzl1 description:lysozyme-like 1 [Source:MGI Symbol;Acc:MGI:1914578] MAYYESHYNTTAENVLEDGSTDYGIFQINSFTWCRNARKHQKNHCHVACSALITDDLTDA ILCAKKIVKETQGMNYWQGWKKNCENKDMSEWKRGCEVS >ENSMUSP00000078674.3 pep:known chromosome:GRCm38:18:57533780:57731065:1 gene:ENSMUSG00000058925.11 transcript:ENSMUST00000079738.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011I03Rik description:RIKEN cDNA 1700011I03 gene [Source:MGI Symbol;Acc:MGI:1922694] MRLVGVCPWTEDLNGPAKMGGCHSKKVVIPDIETSARCRSMLGSYQSYIQSQNRTSGNSP DSGQTVFSLEHLEICLKEAEEKARALLEQLTASEATKSQLLEKVSMLEGRLEDVNRKNVG GELYENMVLEKDKCIEKLQAEVKASQEKLNIHKLKHKKAVKKLQTDLAIAKQEAAITVLE LNEKIMTLCEGRPFPRATNSVEVLSGGLPPVEESDRKFSLIMELSTQLSLQTEKITQLED TLAEKEKKIEELEAECTSQVPQGEDRLTEDL >ENSMUSP00000115752.1 pep:known chromosome:GRCm38:18:57533829:57731065:1 gene:ENSMUSG00000058925.11 transcript:ENSMUST00000135806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011I03Rik description:RIKEN cDNA 1700011I03 gene [Source:MGI Symbol;Acc:MGI:1922694] MRLVGVCPWTEDLNGPAKMGGCHSKKVVIPDIETSARCRSMLGSYQSYIQSQNRTSGNSP DSGQTVFSLEHLEICLKEAEEKARALLEQLTASEATKSQLLEKVSMLEGRLEDVNRKNVG GELYENMVLEKDKCIEKLQAEVKASQEKLNIHQPTQWKYSPEVSHLWKKATGSFP >ENSMUSP00000117563.1 pep:known chromosome:GRCm38:18:57533882:57566946:1 gene:ENSMUSG00000058925.11 transcript:ENSMUST00000127130.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011I03Rik description:RIKEN cDNA 1700011I03 gene [Source:MGI Symbol;Acc:MGI:1922694] XEDLNGPAKMGGCHSKKVVIPDIETSARCRSMLGSYQSYIQSQNRTSGNSPDSGQTVFSL EHLEICLKEAEEKARALLEQTSFSL >ENSMUSP00000114519.1 pep:known chromosome:GRCm38:18:57563176:57731006:1 gene:ENSMUSG00000058925.11 transcript:ENSMUST00000123872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011I03Rik description:RIKEN cDNA 1700011I03 gene [Source:MGI Symbol;Acc:MGI:1922694] XSFLIVGVDQKQLSSRSSSKKTAHTQGDHNACSDWSLSVGNSPDSGQTVFSLEHLEICLK EAEEKARALLEQLTASEATKSQLLEKVSMLEGRLEDVNRKNVGGELYENMVLEKDKCIEK LQAEVKASQEKLNIHKLKHKKAVKKLQTDLAIAKQEAAITVLELNEKIMTLCEGRPFPRA TNSVEVLSGGLPPVEESDRKFSLIMELSTQLSLQTEKITQLEDTLAEKEKKIEELEAECT SQVPQGEDRLTEDL >ENSMUSP00000135242.1 pep:known chromosome:GRCm38:5:137639366:137640239:1 gene:ENSMUSG00000093445.7 transcript:ENSMUST00000176768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch4 description:leucine-rich repeats and calponin homology (CH) domain containing 4 [Source:MGI Symbol;Acc:MGI:1917193] XQQPLPEDLAEALANGVLLCQLANQLRPRSVPFIHVPSPAVPKLSALKSRKNVESFLEAC RKMGVPEVWGCTLLWSMLVSRAQLKLHEGRSSIHWGVRSYRKHVSWSHPLDLLPCSPSLS CMSCMSVMALAVVLVCEGRVGRPVA >ENSMUSP00000135832.1 pep:known chromosome:GRCm38:5:137629178:137641099:1 gene:ENSMUSG00000093445.7 transcript:ENSMUST00000176667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch4 description:leucine-rich repeats and calponin homology (CH) domain containing 4 [Source:MGI Symbol;Acc:MGI:1917193] MAAAVAGPLAAGGEEAAASVSLPGSPGLPGSRSAERALEEAVATGTLNLSNRRLKHFPRG AARSYDLSDITQADLSRNRFPEVPEAACQLVSLEGLSLYHNCLKCLNPALGNLTALTYLN LSRNQLSSLPPYICQLPLRVLIISNNKLGALPPDISTLGSLRQLDVSSNELQSLPVELCS LRSLRDLNVRRNQLSTLPDELGDLPLVRLDFSCNRISRIPVSFCRLRHLQVVLLDSNPLQ SPPAQICLKGKLHIFKYLTMEAGRRGAALGDLVPSRPPSFSPCPAEDLFPGRRYDGGLDS GFHSVDSGSKRWSGNESTDDFSELSFRISELARDPRGPRQPREDGAGDGDLEQIDFIDSH VPGEDEDRSAAEEQLPSELSLVAGDVEKPSSSRREEPAGEERRRPDTLQLWQERERKQQQ QSGGWGSPRKDSVLKRGIRAAGAGASAPSTQATCNGPPKSSTTQLGVSGGQGAPTPPPTS QDPLPVSGPVTAPVPRPLGSIQRPNSFLFRSSSQSGSSPSSPESVLRPRPFPQEKELISQ LRQVLESRLQQPLPEDLAEALANGVLLCQLANQLRPRSVPFIHVPSPAVPKLSALKSRKN VESFLEACRKMGVPEESLCQPHHILEEEGAPGRGLPHIAAVLHALLEQP >ENSMUSP00000134767.1 pep:known chromosome:GRCm38:5:137630130:137640180:1 gene:ENSMUSG00000093445.7 transcript:ENSMUST00000175968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch4 description:leucine-rich repeats and calponin homology (CH) domain containing 4 [Source:MGI Symbol;Acc:MGI:1917193] MDTLPLGLLWPGTGDCTMTDLSRNRFPEVPEAACQLVSLEGLSLYHNCLKCLNPALGNLT ALTYLNLSRNQLSSLPPYICQLPLRVLIISNNKLGALPPDISTLGSLRQLDVSSNELQSL PVELCSLRSLRDLNVRRNQLSTLPDELGDLPLVRLDFSCNRISRIPVSFCRLRHLQVVLL DSNPLQSPPAQICLKGKLHIFKYLTMEAGRRGAALGDLVPSRPPSFSPCPAEDLFPGRRY DGGLDSGFHSVDSGSKRWSGNESTDDFSELSFRISELARDPRGPRQPREDGAGDGDLEQI DFIDSHVPGEDEDRSAAEEQLPSELSLVAGDVEKPSSSRREEPAGEERRRPDTLQLWQER ERKQQQQSGGWGSPRKDSVLKRGIRAAGAGASAPSTQATCNGPPKSSTTQLGVSGGQGAP TPPPTSQDPLPVSGPVTAPVPRPLGSIQRPNSFLFRSSSQSGSSPSSPESVLRPRPFPQE KELISQLRQVLESRLQQPLPEDLAEALANGVLLCQLANQLRPRSVPFIHVPSPAVPKLSA LKSRKNVESFLEACRKMGVPEADLCSPSDLLRGTAQGLQTVLEAVILVGGKAPLPVQPSS GLGGFLLFYVVFMLLLYVVYTRLLGS >ENSMUSP00000135638.1 pep:known chromosome:GRCm38:5:137638320:137639363:1 gene:ENSMUSG00000093445.7 transcript:ENSMUST00000176871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch4 description:leucine-rich repeats and calponin homology (CH) domain containing 4 [Source:MGI Symbol;Acc:MGI:1917193] XGAGASAPSTQATCNGPPKSSTTQLGVSGGQGAPTPPPTSQDPLPVSGPGPSSPESVLRP RPFPQEKELISQLRQVLESR >ENSMUSP00000135133.1 pep:known chromosome:GRCm38:5:137629178:137633887:1 gene:ENSMUSG00000093445.7 transcript:ENSMUST00000176011.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrch4 description:leucine-rich repeats and calponin homology (CH) domain containing 4 [Source:MGI Symbol;Acc:MGI:1917193] MAAAVAGPLAAGGEEAAASVSLPGSPGLPGSRSAERALEEAVATGTLNLSNRRLKHFPRG AARSYDLSDITQAGLCLSEWTLGKTTKPSQPLLAPAPTQSPPSTFRPRVQLGLPRVQAHC VLKWEIPSLPSPVLLEPRF >ENSMUSP00000135724.1 pep:known chromosome:GRCm38:5:137629152:137637614:1 gene:ENSMUSG00000093445.7 transcript:ENSMUST00000177477.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrch4 description:leucine-rich repeats and calponin homology (CH) domain containing 4 [Source:MGI Symbol;Acc:MGI:1917193] MAAAVAGPLAAGGEEAAASVSLPGSPGLPGSRSAERALEEAVATGTLNLSNRRLKHFPRG AARSYDLSDITQAAGTSCRRCHPTSASCPFECLSSATTS >ENSMUSP00000031734.9 pep:known chromosome:GRCm38:5:137629121:137641094:1 gene:ENSMUSG00000093445.7 transcript:ENSMUST00000031734.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch4 description:leucine-rich repeats and calponin homology (CH) domain containing 4 [Source:MGI Symbol;Acc:MGI:1917193] MAAAVAGPLAAGGEEAAASVSLPGSPGLPGSRSAERALEEAVATGTLNLSNRRLKHFPRG AARSYDLSDITQADLSRNRFPEVPEAACQLVSLEGLSLYHNCLKCLNPALGNLTALTYLN LSRNQLSSLPPYICQLPLRVLIISNNKLGALPPDISTLGSLRQLDVSSNELQSLPVELCS LRSLRDLNVRRNQLSTLPDELGDLPLVRLDFSCNRISRIPVSFCRLRHLQVVLLDSNPLQ SPPAQICLKGKLHIFKYLTMEAGRRGAALGDLVPSRPPSFSPCPAEDLFPGRRYDGGLDS GFHSVDSGSKRWSGNESTDDFSELSFRISELARDPRGPRQPREDGAGDGDLEQIDFIDSH VPGEDEDRSAAEEQLPSELSLVAGDVEKPSSSRREEPAGEERRRPDTLQLWQERERKQQQ QSGGWGSPRKDSVLKRGIRAAGAGASAPSTQATCNGPPKSSTTQLGVSGGQGAPTPPPTS QDPLPVSGPVTAPVPRPLGSIQRPNSFLFRSSSQSGSSPSSPESVLRPRPFPQEKELISQ LRQVLESRLQQPLPEDLAEALANGVLLCQLANQLRPRSVPFIHVPSPAVPKLSALKSRKN VESFLEACRKMGVPEADLCSPSDLLRGTAQGLQTVLEAVILVGGKAPLPVQPSSGLGGFL LFYVVFMLLLYVVYTRLLGS >ENSMUSP00000115138.1 pep:known chromosome:GRCm38:18:36345619:36366720:1 gene:ENSMUSG00000046727.12 transcript:ENSMUST00000139727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cystm1 description:cysteine-rich transmembrane module containing 1 [Source:MGI Symbol;Acc:MGI:1913310] MNPENPPPYPGPGPTAPYPPYPQQPMGPMGPMGAPPPQGYPYPPPQGYPYQGYPQYGWQG GP >ENSMUSP00000051708.3 pep:known chromosome:GRCm38:18:36348697:36402980:1 gene:ENSMUSG00000046727.12 transcript:ENSMUST00000050584.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cystm1 description:cysteine-rich transmembrane module containing 1 [Source:MGI Symbol;Acc:MGI:1913310] MNPENPPPYPGPGPTAPYPPYPQQPMGPMGPMGAPPPQGYPYPPPQGYPYQGYPQYGWQG GPQEPPKTTVYVVEDQRRDDLGPSTCLTACWTALCCCCLWDMLT >ENSMUSP00000129683.1 pep:known chromosome:GRCm38:18:36348774:36393370:1 gene:ENSMUSG00000046727.12 transcript:ENSMUST00000152804.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cystm1 description:cysteine-rich transmembrane module containing 1 [Source:MGI Symbol;Acc:MGI:1913310] MNPENPPPYPGPGPTAPYPPYPQQPMGPMGPMGAPPPQGYPYPPPQGYPYQGYPQYGWQG GPQEPPKTTVYILERERKTNTDAGCQAVLAACWAALCCCCLLDSLD >ENSMUSP00000114199.1 pep:known chromosome:GRCm38:18:36365781:36402540:1 gene:ENSMUSG00000046727.12 transcript:ENSMUST00000144158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cystm1 description:cysteine-rich transmembrane module containing 1 [Source:MGI Symbol;Acc:MGI:1913310] MNPENPPPYPGPGPTAPYPPYPQQPMGPMGPMGAPPPQGYPYPPPQGYPYQGYPQYGWQG GPQEPPKTTVYVVEDQRRDDLGPS >ENSMUSP00000106118.1 pep:known chromosome:GRCm38:8:36094828:36147787:1 gene:ENSMUSG00000050271.12 transcript:ENSMUST00000110492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D8Ertd82e description:DNA segment, Chr 8, ERATO Doi 82, expressed [Source:MGI Symbol;Acc:MGI:1196223] MSACSDFVEHIWKPGSCKNCFCLRSDHQPTAGHPKARANSLPAGTRLPARPENCRLDDEG VNGLAYSKPTIAVKPTMMTSETSDLWTEASLSAEVPKVNWRRTPGKLLLPKQEDEPIVYL GSFRGLQKPASPLACTDGNSRCPPAYTMVGLHNLEARVDRNTAFQPVSFQEEKAGREELP SAHESFRQKLAAFAGMTSSCPKGPRPCTSPQPLRESLPSEDDSDQRCSPSGDSEGGEYCS ILDCCPESKDAVHSTEGSGRRGGDCSPTCREQGPRTRPTEEEKQGLSFPRECCGQGSTAN PPRLGPKKPSLNSEAASSSDGLSCGSSRSGASSPFAPHLENDYCSLVKEPASGKQQDLSG HFLTSGKCVGQAAELQPASLLRDPVQPEPIYAESAKRKKAAPGPPRPEPKKEQVPAGHSQ GQVWTGDTWIQKTPPSWSQDREGANPAPQVATTITVIAAHPEEDHRTIYLSSPDSAVGVQ WPRGPSNQDLQAGEEEPLVAQGLTSRESHPHNVTENTAKEKPAIPPKLSKSSPGGSPVSP APPLTDHSDGNTGGSSVGPQLLSRVPANLTSSCHTNGVATAGDSAKCPPPATSSSVLDQR RPRYQTGAWSRQCRIEEEEEVGQELSQSWGRELENGTADHSNSSTWHRLHPIDGTSGQNS KTNSGMSKSASFAFEFPKDRGRLEAFSPPPPPPKSRHLLKMNKSSSDLEKVSQSSAESLS PSFRGAHVSFTTGSTDSLASDSRPCSDGGPSYEPTHSPTISGKKLFAPVPFPSGSTEDVS PGGGPAQPPPLPQKKIVSRAASSPDGFFWTQGSPKPRTASPKLNLSHSETNVCAHDEPPF NCSLNSGNRSHHVFSSSEPLGKAFKGNAPWAPALGLANSKGGCGSPSLQCRAATSTSSSQ LSVSSQASSSSTQLQLHSLLSSISSKEGTYAKLGGLYTQSLARLVTKCEDLFMGGQKKEL RFNENYWSLFKLTCNKPCCDSGDAIYYCATCSEDPGSIYAVKICKTPEPKSASYCSPSVP VHFNIQQDCGHFVASVPSSMLASPDTSSKDTAPAVSPQPPAQEQDCVVVITREVPHQTAS DFVRDSMASHRAEPEVYERRVCFLLLQLCNGLEHLKEHGIIHRDLCLENLLLAHCNPQSS PGPSATPTVPTTTSRCPSAAPAATTACQGGPGEKQLPRLIISNFLKAKQKPGGTTNLQQK KSQARLAPEIVSASQYRKFDEFQTGILIYELLHQPNPFEVRAQLRERDYRREDLPPLPTL SLYSPGLQQLAHLLLEADPIKRIRIGEAKRVLQCLLWGPRRELVEQPCTSEEVLCNTLHN WIDMKRALMMMKFAEKAVDRRRGVELEDWLCCQYLASAEPGALLQSLKLLQLL >ENSMUSP00000006071.7 pep:known chromosome:GRCm38:11:21994764:22001615:-1 gene:ENSMUSG00000005917.15 transcript:ENSMUST00000006071.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otx1 description:orthodenticle homolog 1 [Source:MGI Symbol;Acc:MGI:97450] MMSYLKQPPYGMNGLGLAGPAMDLLHPSVGYPATPRKQRRERTTFTRSQLDVLEALFAKT RYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQQQQSGNGTKTRPVKKKSSPVRESSG SESSGQFTPPAVSSSASSSSSASSASANPAAAAAAGLGGNPVAAASSLSTPTASSIWSPA SISPGSAPTSVSVPEPLAAPSNASCMQRSVAAGAATAAASYPMSYGQGGSYGQGYPAPSS SYFGGVDCSSYLAPMHSHHHPHQLSPMAPSSMAGHHHHHPHAHHPLSQSSGHHHHHHHHH HHQGYGGSGLAFNSADCLDYKEPAAAAASSAWKLNFNSPDCLDYKDQASWRFQVL >ENSMUSP00000134704.1 pep:known chromosome:GRCm38:11:21996858:22002897:-1 gene:ENSMUSG00000005917.15 transcript:ENSMUST00000147486.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otx1 description:orthodenticle homolog 1 [Source:MGI Symbol;Acc:MGI:97450] MMSYLKQPPYGMNGLGLAGPAMDLLHPSVGYPATPRKQRRERTTFTRSQLDVLEALFAKT RYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQQQQSGNGTKTRPVKKKSSPVRESSG SESSGQFTPPAVSSSASSSSSASSASANPA >ENSMUSP00000142011.1 pep:known chromosome:GRCm38:8:128745963:128798694:-1 gene:ENSMUSG00000102141.1 transcript:ENSMUST00000195093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3952 description:predicted gene 3952 [Source:MGI Symbol;Acc:MGI:3782126] QKHTIKEKSEISNLEKPIVRGTDILKKSTSARKKRVLKGKSKSATLPKKCDNFPFDLVRS SSDSSKQEVEEQPKPGNVDKKVSDDSYSPDISTTPTKKHTLKGQSKSRTIYEKGVDLPNN LVLSKSASSIQEFEEQPQPGNVDKKVSDDSYSPDISTSPTKKHTLKGQPKSENSDEKGIK RPNNLHMSASV >ENSMUSP00000102284.1 pep:known chromosome:GRCm38:4:108459426:108479153:1 gene:ENSMUSG00000034610.14 transcript:ENSMUST00000106673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc11 description:zinc finger, CCHC domain containing 11 [Source:MGI Symbol;Acc:MGI:2445126] MEEPKTSKNENHEPKKNIICEESKAVKIISNQTLKPRNDKSEIGTSSLNRNSSKKTKQND ICI >ENSMUSP00000095538.2 pep:known chromosome:GRCm38:4:108460010:108559421:1 gene:ENSMUSG00000034610.14 transcript:ENSMUST00000097925.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc11 description:zinc finger, CCHC domain containing 11 [Source:MGI Symbol;Acc:MGI:2445126] MEEPKTSKNENHEPKKNIICEESKAVKIISNQTLKPRNDKSEIGTSSLNRNSSKKTKQND ICIEKTEAKSCKVNAASVPGPKDLGLVHRDQSHCKMKKLPNSPMKAQKGSSQTKLEKTPS LQTKAEKVPKSPNLPVKAEKAPCTTAEATTEKALNSQRKEENTPTSQMKLQKTPRSPLEP ENVPSLLLKENVKQTESQQTGKKLTSSFVSMDKRKSEALQGEKSALENSSLSQKQQTQTD NIADSDDSASGIEDTADDLSKMKSEESNKENSSEMDYLENATVIDESALTPEQRLGLKQA EERLERDHIFRLEKRSPEYTNCRYLCKLCLIHIENIQGAHKHIKEKRHKKNILEKQEESE LRSLPSPSSAHLAALSVAVVELAKEQGITDDDLRIRQDIVEEMSKVIMTFLPECSLRLYG SSLTKFALKSSDVNIDIKFPPKMNHPDLLIQVLGILKKSALYIDVESDFHAKVPVVVCKD RKSALLCRVSAGNDMACLTTDLLAALGKVEPVFTPLVLAFRYWAKLCYIDSQTDGGIPSY CFALMVMFFLQQRKPPLLPCLLGSWIEGFDPKRMDDFQLKGIVEEKFVKWEYNSSSATEK NLIADENKAKADEPKDDTKKTETDNQSNAAKAKHGKSPLTLEAPNQVPLGQLWLELLKFY TLDFALEEYVICVRIQDILTRENKNWPKRRIAIEDPFSVKRNVARSLNSQLVYEYVVERF RAAYRYFACPQKKGGNKSTMDPKKKEKGKLSSKKPVKSDCSATNCCILGESAEKIHMERG QPAKHDETEFTSQRCIVDNDSLLVNELGLANHGQDSSSLSTASGGSDLKQKSAEKQGDLT PSETSLKKELSQCICIGTPDGAESAGTDCRSNLEMDSSHQIVCNNVSATSCNCKATEVTS DLVDEDNLPSQELYYVFDKFILTSGKPPTIVCSICKKDGHSKNDCPEDFRKIDLKPLPPM TNRFREILDLVCKRCFDELSPPCSEQHNREQILIGLEKFIQKEYDEKARLCLFGSSKNGF GFRDSDLDICMTLEGHENAEKLNCKEIIENLAKILKRHPGLRNILPITTAKVPIVKFEHR RSGLEGDISLYNTLAQHNTRMLATYAAIDPRVQYLGYTMKVFAKRCDIGDASRGSLSSYA YILMVLYFLQQRKPPVIPVLQEIFDGKQIPQRMVDGWNAFFFDKTEELKKRLPSLGKNTE SLGELWLGLLRFYTEEFDFKEYVISIRQKKLLTTFEKQWTSKCIAIEDPFDLNHNLGAGV SRKMTNFIMKAFINGRKLFGTPFYPLIGREAEYFFDSRVLTDGELAPNDRCCRVCGKIGH YMKDCPKRKSSLLFRLKKKDSEEEKEGNEEEKDSRDLLDSRDLRCFICGDAGHVRRECPE VKMARQRNSSVAAAQLVRNLVNAQQVAGSAQQQSDQSIRTRQSSECSDSPSYSPQPQPFP QNSPQPSALPPPPSQPGSQPKLGPPQQGGQPPHQVQMPLYNFPQSPPAHYSPMHSMGLLP MHPLQIPAPSWPIHGPMLHSAPGSTPSNIGLNDPSIIFAQPAARPMAIPSPSHDGHWPRT VAPNSLVNNGAVGNSEPRFRGLNPPIPWEHAPRHFPLVPASWPYGLHQNFMHQGNPRFQP KPFYAQDRCATRRCRERCPHPPRGNVSE >ENSMUSP00000120172.1 pep:known chromosome:GRCm38:4:108479081:108524350:1 gene:ENSMUSG00000034610.14 transcript:ENSMUST00000155068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc11 description:zinc finger, CCHC domain containing 11 [Source:MGI Symbol;Acc:MGI:2445126] KSEIGTSSLNRNSSKKTKQNDICIEKTEAKSCKVNAASVPGPKDLGLVHRDQSHCKMKKL PNSPMKAQKGSSQTKLEKTPSLQTKAEKVPKSPNLPVKAEKAPCTTAEATTEKALNSQRK EENTPTSQMKLQKTPRSPLEPENVPSLLLKENVKQTESQQTGKKLTSSFVSMDKRKSEAL QGEKSALENSSLSQKQQTQTDNIADSDDSASGIEDTADDLSKMKSEESNKENSSEMDYLE NATVIDESALTPEQRLGLKQAEERLERDHIFRLEKRSPEYTNCRYLCKLCLIHIENIQGA HKHIKEKRHKKNILEKQEESELRSLPSPSSAHLAALSVAVVELAKEQGITDDDLRIRQDI VEEMSKVIMTFLPECSLRLYGSSLTKFALKSSDVNIDIKFPPKMNHPDLLIQVLGILKKS ALYIDVESDFHAKVPVVVCKDRKSALLCRVSAGNDMACLTTDLLAALGKVEPVFTPLVLA FRYWAKLCYIDSQTDGGIPSYCFALMVMFFLQQRKPPLLPCLLGSWIEGFDPKRMDDFQL KGIVEEKFVKWEYNSSSATEKNLIADENKAKADEPKDDTKKTETDNQSNAAKAKHGKSPL TLEAPNQVPLGQLWLELLKFYTLDFALEEYVICVRIQDILTRENKNWPKRRIAIEDPFSV KRNVARSLNSQLVYEYVVERFRAAYRYFACPQKKGGNKSTMDPKKKEKGKLSSKKPVKSD CSATNCCILGESAEKIHMERGQPAKHDETEFTSQRCIVDNDSLLVNELGLANHGQDSSSL STASGGSDLKQKSAEKQGDLTPSETSLKKELSQCICIGTPDGAESAGTDCRSNLEMDSSH QIVCNNVSATSCNCKATEVTSDLVDEDNLPSQELYYVFDKFILTSGKPPTIVCSICKKDG HSKNDCPEDFRKIDLKPLPPMTNRFREILDLVCKRCFDELSPPCSEQHNREQILIGLEKF IQKEYDEKARLCLFGSSKNGFGFRDSDLDICMTLEGHENAECITLC >ENSMUSP00000116253.1 pep:known chromosome:GRCm38:4:108555736:108559054:1 gene:ENSMUSG00000034610.14 transcript:ENSMUST00000128042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc11 description:zinc finger, CCHC domain containing 11 [Source:MGI Symbol;Acc:MGI:2445126] PMAIPSPSHDGHWPRTVAPNSLVNNGAVGNSEPRFRGLNPPIPWEHAPRHFPLVPASWPY GLHQNFMHQGNPRFQPKPFYAQADRCATRRCRERCPHPPRGNVSE >ENSMUSP00000044836.5 pep:known chromosome:GRCm38:4:108459426:108559415:1 gene:ENSMUSG00000034610.14 transcript:ENSMUST00000043368.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc11 description:zinc finger, CCHC domain containing 11 [Source:MGI Symbol;Acc:MGI:2445126] MEEPKTSKNENHEPKKNIICEESKAVKIISNQTLKPRNDKSEIGTSSLNRNSSKKTKQND ICIEKTEAKSCKVNAASVPGPKDLGLVHRDQSHCKMKKLPNSPMKAQKGSSQTKLEKTPS LQTKAEKVPKSPNLPVKAEKAPCTTAEATTEKALNSQRKEENTPTSQMKLQKTPRSPLEP ENVPSLLLKENVKQTESQQTGKKLTSSFVSMDKRKSEALQGEKSALENSSLSQKQQTQTD NIADSDDSASGIEDTADDLSKMKSEESNKENSSEMDYLENATVIDESALTPEQRLGLKQA EERLERDHIFRLEKRSPEYTNCRYLCKLCLIHIENIQGAHKHIKEKRHKKNILEKQEESE LRSLPSPSSAHLAALSVAVVELAKEQGITDDDLRIRQDIVEEMSKVIMTFLPECSLRLYG SSLTKFALKSSDVNIDIKFPPKMNHPDLLIQVLGILKKSALYIDVESDFHAKVPVVVCKD RKSALLCRVSAGNDMACLTTDLLAALGKVEPVFTPLVLAFRYWAKLCYIDSQTDGGIPSY CFALMVMFFLQQRKPPLLPCLLGSWIEGFDPKRMDDFQLKGIVEEKFVKWEYNSSSATEK NLIADENKAKADEPKDDTKKTETDNQSNAAKAKHGKSPLTLEAPNQVPLGQLWLELLKFY TLDFALEEYVICVRIQDILTRENKNWPKRRIAIEDPFSVKRNVARSLNSQLVYEYVVERF RAAYRYFACPQKKGGNKSTMDPKKKEKGKLSSKKPVKSDCSATNCCILGESAEKIHMERG QPAKHDETEFTSQRCIVDNDSLLVNELGLANHGQDSSSLSTASGGSDLKQKSAEKQGDLT PSETSLKKELSQCICIGTPDGAESAGTDCRSNLEMDSSHQIVCNNVSATSCNCKATEVTS DLVDEDNLPSQELYYVFDKFILTSGKPPTIVCSICKKDGHSKNDCPEDFRKIDLKPLPPM TNRFREILDLVCKRCFDELSPPCSEQHNREQILIGLEKFIQKEYDEKARLCLFGSSKNGF GFRDSDLDICMTLEGHENAEKLNCKEIIENLAKILKRHPGLRNILPITTAKVPIVKFEHR RSGLEGDISLYNTLAQHNTRMLATYAAIDPRVQYLGYTMKVFAKRCDIGDASRGSLSSYA YILMVLYFLQQRKPPVIPVLQEIFDGKQIPQRMVDGWNAFFFDKTEELKKRLPSLGKNTE SLGELWLGLLRFYTEEFDFKEYVISIRQKKLLTTFEKQWTSKCIAIEDPFDLNHNLGAGV SRKMTNFIMKAFINGRKLFGTPFYPLIGREAEYFFDSRVLTDGELAPNDRCCRVCGKIGH YMKDCPKRKRLKKKDSEEEKEGNEEEKDSRDLLDSRDLRCFICGDAGHVRRECPEVKMAR QRNSSVAAAQLVRNLVNAQQVAGSAQQQSDQSIRTRQSSECSDSPSYSPQPQPFPQNSPQ PSALPPPPSQPGSQPKLGPPQQGGQPPHQVQMPLYNFPQSPPAHYSPMHSMGLLPMHPLQ IPAPSWPIHGPMLHSAPGSTPSNIGLNDPSIIFAQPAARPMAIPSPSHDGHWPRTVAPNS LVNNGAVGNSEPRFRGLNPPIPWEHAPRHFPLVPASWPYGLHQNFMHQGNPRFQPKPFYA QADRCATRRCRERCPHPPRGNVSE >ENSMUSP00000088042.4 pep:known chromosome:GRCm38:2:93187584:93201749:1 gene:ENSMUSG00000068735.14 transcript:ENSMUST00000090554.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53i11 description:transformation related protein 53 inducible protein 11 [Source:MGI Symbol;Acc:MGI:2670995] MAGKQPPPLMKKHSQTDLVSRLKTRKILGVGGEDDDGEVHRSKISQVLGNEIKFAVREPL GLRVWQFLSAMLFSSVAIMALALPDQLYDAVFDGAEVTSKTPIRLYGGALLSISLIMWNA LYTAEKVIIRWTLLTEACYFGVQSLVVTATLAETGLMSLGTVLLLASRLLFVIVSIYYYY QVGRKPKKV >ENSMUSP00000106897.1 pep:known chromosome:GRCm38:2:93187548:93201759:1 gene:ENSMUSG00000068735.14 transcript:ENSMUST00000111266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53i11 description:transformation related protein 53 inducible protein 11 [Source:MGI Symbol;Acc:MGI:2670995] MAGKQPPPLMKKHSQTDLVSRLKTRKILGVGGEDDDGEVHRSKISQVLGNEIKFAVREPL GLRVWQFLSAMLFSSVAIMALALPDQLYDAVFDGAEVTSKTPIRLYGGALLSISLIMWNA LYTAEKVIIRWTLLTEACYFGVQSLVVTATLAETGLMSLGTVLLLASRLLFVIVSIYYYY QVGRKPKKV >ENSMUSP00000115918.1 pep:known chromosome:GRCm38:2:93187548:93198919:1 gene:ENSMUSG00000068735.14 transcript:ENSMUST00000150462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53i11 description:transformation related protein 53 inducible protein 11 [Source:MGI Symbol;Acc:MGI:2670995] MAGKQPPPLMKKHSQTDLVSRLKTRKILGVGGEDDDGEVHRSKISQVLGNEIKFAVREPL GLRVWQFLSAMLFSSVAIMALALPDQL >ENSMUSP00000031143.6 pep:known chromosome:GRCm38:5:76140271:76155479:1 gene:ENSMUSG00000029233.15 transcript:ENSMUST00000031143.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srd5a3 description:steroid 5 alpha-reductase 3 [Source:MGI Symbol;Acc:MGI:1930252] MAGWAGFELSALNPLRTLWLALAAAFLFALLLQLAPARLLPSCALFQDLLRYGKTKQSGS RRPAVCRAFDVPKRYFSHFYVISVVWNGSLLWLLSQSLFLGAPFPNWLSALLRTLGATQF QALEMESKASRMPAAELALSAFLVLVFLWVHSLRRLFECFYVSVFSNAAIHVVQYCFGLV YYVLVGLTVLSQVPMDDKNVYVLGKNLLIQARWFHILGMVMFFWSSAHQYKCHVILSNLR RNKKGVVIHCQHRIPFGDWFEYVSSANYLAELMIYISMAVTFGLHNLTWWLVVTYVFSSQ ALSAFFNHKFYRSTFVSYPKHRKAFLPFLF >ENSMUSP00000115297.1 pep:known chromosome:GRCm38:5:76140700:76149755:1 gene:ENSMUSG00000029233.15 transcript:ENSMUST00000152642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srd5a3 description:steroid 5 alpha-reductase 3 [Source:MGI Symbol;Acc:MGI:1930252] MESKASRMPA >ENSMUSP00000116801.1 pep:known chromosome:GRCm38:5:76140730:76149947:1 gene:ENSMUSG00000029233.15 transcript:ENSMUST00000127278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srd5a3 description:steroid 5 alpha-reductase 3 [Source:MGI Symbol;Acc:MGI:1930252] MESKASRMPAAELALSAFLVLVFLWVHSLRRLFECFYVSVFSNAAIHVVQYCFGLVYYVL VGLTVLSQVPMDDK >ENSMUSP00000109135.1 pep:known chromosome:GRCm38:5:76146637:76155244:1 gene:ENSMUSG00000029233.15 transcript:ENSMUST00000113507.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srd5a3 description:steroid 5 alpha-reductase 3 [Source:MGI Symbol;Acc:MGI:1930252] MESKASRMPAAELALSAFLVLVFLWVHSLRRLFECFYVSVFSNAAIHVVQYCFGLVYYVL VGLTVLSQVPMDDKNVYVLGKNLLIQARWFHILGMVMFFWSSAHQYKCHVILSNLRRNKK GVVIHCQHRIPFGDWFEYVSSANYLAELMIYISMAVTFGLHNLTWWLVVTYVFSSQALSA FFNHKFYRSTFVSYPKHRKAFLPFLF >ENSMUSP00000109134.1 pep:known chromosome:GRCm38:5:76147961:76155246:1 gene:ENSMUSG00000029233.15 transcript:ENSMUST00000113506.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srd5a3 description:steroid 5 alpha-reductase 3 [Source:MGI Symbol;Acc:MGI:1930252] MESKASRMPAAELALSAFLVLVFLWVHSLRRLFECFYVSVFSNAAIHVVQYCFGLVYYVL VGLTVLSQVPMDDKNVYVLGKNLLIQARWFHILGMVMFFWSSAHQYKCHVILSNLRRNKK GVVIHCQHRIPFGDWFEYVSSANYLAELMIYISMAVTFGLHNLTWWLVVTYVFSSQALSA FFNHKFYRSTFVSYPKHRKAFLPFLF >ENSMUSP00000136098.1 pep:known chromosome:GRCm38:6:79817916:79818364:1 gene:ENSMUSG00000096887.2 transcript:ENSMUST00000179797.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20594 description:predicted gene, 20594 [Source:MGI Symbol;Acc:MGI:5295700] MAKGGFNCLLFLISEIDLSVKRLKYNNKTRRPLVFCT >ENSMUSP00000042955.6 pep:known chromosome:GRCm38:12:119443410:119466934:1 gene:ENSMUSG00000041886.6 transcript:ENSMUST00000048880.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macc1 description:metastasis associated in colon cancer 1 [Source:MGI Symbol;Acc:MGI:2685113] MLISERAYCWPREIGRSRSEGNLIDMEASKRSKNQNITARQGLGLPHNWPDAPTLHRDSD AKATNPFWKELSASNPFLEDITQLRNNKKRDNMSILKEDPFLFLRQIETENSFDSSGDEL DMDQGFKSSSSRKSGRSKSVSELLDVLGDRTHTHQSIHSSDQILIQDLEWLQNDREAYKM AWLSQRQLARSCLDLNTINQSPGWAQTQIAETIAVCKLNHQGGSVQLPDSDITIHIPQGH IAVGEFQEVSLRAFLDPPPKLNHDLSCTVSPLLEIRLSNLNTMEAILLEMKTGAEVKTDP LSQVMTKMVCLYSLDREGPFQALNTCYIYKDTIQAKLLDLSHVMYIVIAVQATIIHPPAA TIWDYIHKTTSIGIYGPKYLHPSFTAVFTVCGHNYVPGKFTVSDIRKGGKNTSPVVFHLW GKHSFSLDKPKDLIISAFSCDPDFEVKEEGERKVIAQRQLEAGVVVHQQVFFSSIDHREM HLFVFRVQVKTPNEKPVTQFFVTSPDPSPNLKRFSYLPGFFQKREDKRVPLLSTGLVKYP TFQDKKLNFTNYGVALKTVLRQNKIDYLLEYLKGDTIALLGEGTVRAIGQSKVKEWYVGV LRGKIGLVHCKNVKVISKEQVMSMSDGILTTKNLLEQIALPFKSLTYIYSVVLTLVSEKV HDWKVLANVLGYSHLAQEDFNRIQADKEPERVSYVVKKLKEDCHEDRNTRKFLYELIVAL LKMDCQELVAHLIQEAVILTSAVKLGRSWRELAEKSAGLTKHQMQAYEIPHRGKSGDVSA EMMWKPAYDFLYAWSFHYGNSYRDVLQDLQSALDRMKNPVTKRWRDLTGTLILINSLEIL RVTAFSTSQEI >ENSMUSP00000092637.2 pep:known chromosome:GRCm38:1:38177326:38627185:-1 gene:ENSMUSG00000037138.16 transcript:ENSMUST00000095027.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aff3 description:AF4/FMR2 family, member 3 [Source:MGI Symbol;Acc:MGI:106927] MDSFDLALLQEWDLESLCVYEPDRNALRRKERERRSQETQQDSGSFNSGYSLFSEPYKTN KGDELSNRIQNTLGNYDEMKDFLTDRSNQSHLVGVPKPGVPQTPVNKIDEHFGAESRAQP QPSTVCSTASSTPAAVPVQQGKRGAMGWQKAGHPPSDGQQRAAQQGSLRTLLGDGVGRQQ TRAKQVCNMETGLQTQERPPAMAAKHGGSGHCVQNFPPSLASKPSLVQQKPTAYVRPMDG QDQAPDESPKLKSSTETAVHCTAYRGVPANKPESARAKAKLAKFSIPKQAEESRSGENNS CVEEIIREMTWLPPLSAIQAPAKVEPSKFPFPNKDSQLVSSGHSNPKKADAEPGSPDNGA SNTSTLEDDLKLSSDDEEGEQQAAQRTALRALADSSVVQQTNCRGSAPSSKGGGSSSSSG GSSSSSDSESTSGSDSETESSSSSSESEGSKPPHCSSPEAEPASSNKWQLDKWLNKVNPH KPPILIQNESHGPERNQYYTPPVKDEGQDCGKLPEICQASLRDKELKTTCKEEQRPRTAN KAPGSKSVKQKSPPAAVAVTAAALPPAVPSAPTESAPAPTRRSAGKKPTRRTERTSAGDG ANCHRPEEPVAPDTLGASVVGPLEPPKTRPCGNNRTGHRKELRSSVTCEKRRTRGLSRIV PKSKEFIETESSSSSSSSDSDLESEQEEYVLSKAPTTTGSEQRLKEAASSNNNSNSNSST SRASVGSINARTTSDIAKELEEQFYTLVPFGRNELLSPLKDSDEVRSLWVKIDLTLLSRI PEHLSQEPGVLSAPSAKDTDSAPASHALDAPAEKTLPKSKRKRKCDNEDDYREIKKVQGR KESASRLAASTNNTLSGNHCNVNVNSLAIPINKNEKMLRSPTSPLSDTCKHKYASEDLTS SSRPHGNGLLTSASSNKEPKAESQLQTIAGDLTKASHNSSENGTLHSKSRPQTEPWSPGS NGHRDCKRQKLIFDDMPRSADYFMREAKRMKHKADAMVEKFGKALNYAEAALSFIECGNA MEQGPMESKSPYTMYSETVELIRYAMRLKTHSGPNATPEDKQLAALCYRCLALLYWRMFR LKRDHAVKYSKALIDYFKNSSKAAQAPSPWGSSGKSTGSPSPMSPNPSPASSVGSQGSLS SSSGLSPSTIVSIPQRIHQMAANHVSITNSILHSYDYWEMADNLAKENREFFNDLDLLMG PVTLHSSMEHLVQYSQQGLHWLRSSVHLS >ENSMUSP00000116289.1 pep:known chromosome:GRCm38:1:38535159:38664955:-1 gene:ENSMUSG00000037138.16 transcript:ENSMUST00000134963.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aff3 description:AF4/FMR2 family, member 3 [Source:MGI Symbol;Acc:MGI:106927] MNRTGMRYGGKSEKEEVKKHSRTVAALTPVTRSSANPTRRTRGMNSPTGSRIH >ENSMUSP00000044128.7 pep:known chromosome:GRCm38:1:38177327:38664955:-1 gene:ENSMUSG00000037138.16 transcript:ENSMUST00000039827.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aff3 description:AF4/FMR2 family, member 3 [Source:MGI Symbol;Acc:MGI:106927] MDSFDLALLQEWDLESLCVYEPDRNALRRKERERRSQETQQDSGSFNSGYSLFSEPYKTN KGDELSNRIQNTLGNYDEMKDFLTDRSNQSHLVGVPKPGVPQTPVNKIDEHFGAESRAQP QPSTVCSTASSTPAAVPVQQGKRGAMGWQKAGHPPSDGQQRAAQQGSLRTLLGDGVGRQQ TRAKQVCNMETGLQTQERPPAMAAKHGGSGHCVQNFPPSLASKPSLVQQKPTAYVRPMDG QDQAPDESPKLKSSTETAVHCTAYRGVPANKPESARAKAKLAKFSIPKQAEESRSGENNS CVEEIIREMTWLPPLSAIQAPAKVEPSKFPFPNKDSQLVSSGHSNPKKADAEPGSPDNGA SNTSTLEDDLKLSSDDEEGEQAAQRTALRALADSSVVQQTNCRGSAPSSKGGGSSSSSGG SSSSSDSESTSGSDSETESSSSSSESEGSKPPHCSSPEAEPASSNKWQLDKWLNKVNPHK PPILIQNESHGPERNQYYTPPVKDEGQDCGKLPEICQASLRDKELKTTCKEEQRPRTANK APGSKSVKQKSPPAAVAVTAAALPPAVPSAPTESAPAPTRRSAGKKPTRRTERTSAGDGA NCHRPEEPVAPDTLGASVVGPLEPPKTRPCGNNRTGHRKELRSSVTCEKRRTRGLSRIVP KSKEFIETESSSSSSSSDSDLESEQEEYVLSKAPTTTGSEQRLKEAASSNNNSNSNSSTS RASVGSINARTTSDIAKELEEQFYTLVPFGRNELLSPLKDSDEVRSLWVKIDLTLLSRIP EHLSQEPGVLSAPSAKDTDSAPASHALDAPAEKTLPKSKRKRKCDNEDDYREIKKVQGRK ESASRLAASTNNTLSGNHCNVNVNSLAIPINKNEKMLRSPTSPLSDTCKHKYASEDLTSS SRPHGNGLLTSASSNKEPKAESQLQTIAGDLTKASHNSSENGTLHSKSRPQTEPWSPGSN GHRDCKRQKLIFDDMPRSADYFMREAKRMKHKADAMVEKFGKALNYAEAALSFIECGNAM EQGPMESKSPYTMYSETVELIRYAMRLKTHSGPNATPEDKQLAALCYRCLALLYWRMFRL KRDHAVKYSKALIDYFKNSSKAAQAPSPWGSSGKSTGSPSPMSPNPSPASSVGSQGSLSS SSGLSPSTIVSIPQRIHQMAANHVSITNSILHSYDYWEMADNLAKENREFFNDLDLLMGP VTLHSSMEHLVQYSQQGLHWLRSSVHLS >ENSMUSP00000130243.2 pep:known chromosome:GRCm38:6:3686822:3752974:-1 gene:ENSMUSG00000023964.15 transcript:ENSMUST00000168592.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcr description:calcitonin receptor [Source:MGI Symbol;Acc:MGI:101950] MTPRRSRVKRRNLRKPKMRFLLVNRFTLLLLLLVSPTPVLQAPTNLTDSGLDQEPFLYLV GRKKLLDAQYKCYDRIHQLPSYEGEGLYCNRTWDGWMCWDDTPAGATAYQHCPDYFPDFD TAEKVSKYCDENGEWFRHPDSNRTWSNYTLCNAFTSEKLQNAYVLYYLALVGHSLSIAAL VASMLIFWIFKNLSCQRVTLHKHMFLTYILNSIIIIIHLVEVVPNGDLVRRDPISCKVLH FLHQYMMSCNYFWMLCEGIYLHTLIVMAVFTDEQRLRWYYLLGWGFPIVPTIIHAITRAL YYNDNCWLSAETHLLYIIHGPVMVALVVNFFFLLNIVRVLVTKMRQTHEAESYMYLKAVK ATMVLVPLLGIQFVVFPWRPSNKVLGKIYDYLMHSLIHFQGFFVATIYCFCNHEVQVTLK RQWTQFKIQWSQRWGRRRRPTNRVVSAPRAVAFAEPDGLPIYICHQEPRNPPISNNEGEE STEMIPMNVIQQDASA >ENSMUSP00000132124.2 pep:known chromosome:GRCm38:6:3686822:3752974:-1 gene:ENSMUSG00000023964.15 transcript:ENSMUST00000170266.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcr description:calcitonin receptor [Source:MGI Symbol;Acc:MGI:101950] MTPRRSRVKRRNLRKPKMRFLLVNRFTLLLLLLVSPTPVLQAPTNLTDSGLDQEPFLYLV GRKKLLDAQYKCYDRIHQLPSYEGEGLYCNRTWDGWMCWDDTPAGATAYQHCPDYFPDFD TAEKVSKYCDENGEWFRHPDSNRTWSNYTLCNAFTSEKLQNAYVLYYLALVGHSLSIAAL VASMLIFWIFKNLSCQRVTLHKHMFLTYILNSIIIIIHLVEVVPNGDLVRRDPMHIFHHN THMWTMQWELSPPLPLSAHEGKMDPHASEVISCKVLHFLHQYMMSCNYFWMLCEGIYLHT LIVMAVFTDEQRLRWYYLLGWGFPIVPTIIHAITRALYYNDNCWLSAETHLLYIIHGPVM VALVVNFFFLLNIVRVLVTKMRQTHEAESYMYLKAVKATMVLVPLLGIQFVVFPWRPSNK VLGKIYDYLMHSLIHFQGFFVATIYCFCNHEVQVTLKRQWTQFKIQWSQRWGRRRRPTNR VVSAPRAVAFAEPDGLPIYICHQEPRNPPISNNEGEESTEMIPMNVIQQDASA >ENSMUSP00000075070.6 pep:known chromosome:GRCm38:6:3685733:3764658:-1 gene:ENSMUSG00000023964.15 transcript:ENSMUST00000075644.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcr description:calcitonin receptor [Source:MGI Symbol;Acc:MGI:101950] MTPRRSRVKRRNLRKPKMRFLLVNRFTLLLLLLVSPTPVLQAPTNLTDSGLDQEPFLYLV GRKKLLDAQYKCYDRIHQLPSYEGEGLYCNRTWDGWMCWDDTPAGATAYQHCPDYFPDFD TAEKVSKYCDENGEWFRHPDSNRTWSNYTLCNAFTSEKLQNAYVLYYLALVGHSLSIAAL VASMLIFWIFKNLSCQRVTLHKHMFLTYILNSIIIIIHLVEVVPNGDLVRRDPMHIFHHN THMWTMQWELSPPLPLSAHEGKMDPHASEVISCKVLHFLHQYMMSCNYFWMLCEGIYLHT LIVMAVFTDEQRLRWYYLLGWGFPIVPTIIHAITRALYYNDNCWLSAETHLLYIIHGPVM VALVVNFFFLLNIVRVLVTKMRQTHEAESYMYLKAVKATMVLVPLLGIQFVVFPWRPSNK VLGKIYDYLMHSLIHFQGFFVATIYCFCNHEVQVTLKRQWTQFKIQWSQRWGRRRRPTNR VVSAPRAVAFAEPDGLPIYICHQEPRNPPISNNEGEESTEMIPMNVIQQDASA >ENSMUSP00000111285.1 pep:known chromosome:GRCm38:6:3685720:3764714:-1 gene:ENSMUSG00000023964.15 transcript:ENSMUST00000115622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcr description:calcitonin receptor [Source:MGI Symbol;Acc:MGI:101950] MTPRRSRVKRRNLRKPKMRFLLVNRFTLLLLLLVSPTPVLQAPTNLTDSGLDQEPFLYLV GRKKLLDAQYKCYDRIHQLPSYEGEGLYCNRTWDGWMCWDDTPAGATAYQHCPDYFPDFD TAEKVSKYCDENGEWFRHPDSNRTWSNYTLCNAFTSEKLQNAYVLYYLALVGHSLSIAAL VASMLIFWIFKNLSCQRVTLHKHMFLTYILNSIIIIIHLVEVVPNGDLVRRDPISCKVLH FLHQYMMSCNYFWMLCEGIYLHTLIVMAVFTDEQRLRWYYLLGWGFPIVPTIIHAITRAL YYNDNCWLSAETHLLYIIHGPVMVALVVNFFFLLNIVRVLVTKMRQTHEAESYMYLKAVK ATMVLVPLLGIQFVVFPWRPSNKVLGKIYDYLMHSLIHFQGFFVATIYCFCNHEVQVTLK RQWTQFKIQWSQRWGRRRRPTNRVVSAPRAVAFAEPDGLPIYICHQEPRNPPISNNEGEE STEMIPMNVIQQDASA >ENSMUSP00000130083.1 pep:known chromosome:GRCm38:6:3685680:3763630:-1 gene:ENSMUSG00000023964.15 transcript:ENSMUST00000171613.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcr description:calcitonin receptor [Source:MGI Symbol;Acc:MGI:101950] MTPRRSRVKRRNLRKPKMRFLLVNRFTLLLLLLVSPTPVLQAPTNLTDSGLDQEPFLYLV GRKKLLDAQYKCYDRIHQLPSYEGEGLYCNRTWDGWMCWDDTPAGATAYQHCPDYFPDFD TAEKVSKYCDENGEWFRHPDSNRTWSNYTLCNAFTSEKLQNAYVLYYLALVGHSLSIAAL VASMLIFWIFKNLSCQRVTLHKHMFLTYILNSIIIIIHLVEVVPNGDLVRRDPISCKVLH FLHQYMMSCNYFWMLCEGIYLHTLIVMAVFTDEQRLRWYYLLGWGFPIVPTIIHAITRAL YYNDNCWLSAETHLLYIIHGPVMVALVVNFFFLLNIVRVLVTKMRQTHEAESYMYLKAVK ATMVLVPLLGIQFVVFPWRPSNKVLGKIYDYLMHSLIHFQGFFVATIYCFCNHEVQVTLK RQWTQFKIQWSQRWGRRRRPTNRVVSAPRAVAFAEPDGLPIYICHQEPRNPPISNNEGEE STEMIPMNVIQQDASA >ENSMUSP00000122416.1 pep:known chromosome:GRCm38:6:65381105:65452965:1 gene:ENSMUSG00000029917.15 transcript:ENSMUST00000133352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130060K24Rik description:RIKEN cDNA C130060K24 gene [Source:MGI Symbol;Acc:MGI:2441881] MSWNLTAEQLSALLRLHNLTRAQFIAHYGLRPLVLTPQLPARARLALLLVGMLIFALALF GNALVVYVVTRSKAMRTVTNIFICSLALSDLLIVFFCIPVTMLQNVSDTWLGGVVWLVAI IIGSPMWHVQRLEIKYDFLYEKEHICCLEEWSSPVHQKIYTT >ENSMUSP00000130225.1 pep:known chromosome:GRCm38:6:65381294:65458150:1 gene:ENSMUSG00000029917.15 transcript:ENSMUST00000170608.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130060K24Rik description:RIKEN cDNA C130060K24 gene [Source:MGI Symbol;Acc:MGI:2441881] MSWNLTAEQLSALLRLHNLTRAQFIAHYGLRPLVLTPQLPARARLALLLVGMLIFALALF GNALVVYVVTRSKAMRTVTNIFICSLALSDLLIVFFCIPVTMLQNVSDTWLGGAFICKMV PFVQCTAIVTEILTMTCIAVERHQGLVHPFKMKRQYTNQRAFTMLGVVWLVAIIIGSPMW HVQRLEIKYDFLYEKEHICCLEEWSSPVHQKIYTTFILVTLFLLPLLLLSVLYGKIGYEL WIKKRIGDGSVLRTIHGKEMFKIARKKKRAVIMMVTVVVLFAVCWAPFHIVHMMIEYSNF EKEYDEVTIKMIFAIVQIIGFFNSICNPIIYALMNENFKKNFVSAVCYCIVKETPSSARK HGSSGAMVMHRRAKLAARENPVEIKGEAFGGSNIDIKWCEQPEKKKRRSKVASCPL >ENSMUSP00000121875.2 pep:known chromosome:GRCm38:6:65441304:65452993:1 gene:ENSMUSG00000029917.15 transcript:ENSMUST00000136016.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130060K24Rik description:RIKEN cDNA C130060K24 gene [Source:MGI Symbol;Acc:MGI:2441881] XKRQYTNQRAFTMLGMLIISCHGHKAPELRNLNKNRFIFADYFSLWSLGFVGSGPLCGVV WLVAIIIGSPMWHVQRLEIKYDFLYEKEHICCLEEWSSPVHQKIYTTFILVTLFLL >ENSMUSP00000000834.2 pep:known chromosome:GRCm38:1:161780689:161788495:-1 gene:ENSMUSG00000000817.10 transcript:ENSMUST00000000834.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fasl description:Fas ligand (TNF superfamily, member 6) [Source:MGI Symbol;Acc:MGI:99255] MQQPMNYPCPQIFWVDSSATSSWAPPGSVFPCPSCGPRGPDQRRPPPPPPPVSPLPPPSQ PLPLPPLTPLKKKDHNTNLWLPVVFFMVLVALVGMGLGMYQLFHLQKELAELREFTNQSL KVSSFEKQIANPSTPSEKKEPRSVAHLTGNPHSRSIPLEWEDTYGTALISGVKYKKGGLV INETGLYFVYSKVYFRGQSCNNQPLNHKVYMRNSKYPEDLVLMEEKRLNYCTTGQIWAHS SYLGAVFNLTSADHLYVNISQLSLINFEESKTFFGLYKL >ENSMUSP00000141422.1 pep:known chromosome:GRCm38:1:161781422:161788358:-1 gene:ENSMUSG00000000817.10 transcript:ENSMUST00000193648.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fasl description:Fas ligand (TNF superfamily, member 6) [Source:MGI Symbol;Acc:MGI:99255] MRNSKYPEDLVLMEEKRLNYCTTGQIWAHSSYLGAVFNLTSADHLYVNISQLSLINFEES KTFFGLYKL >ENSMUSP00000116797.1 pep:known chromosome:GRCm38:1:74967139:75046639:-1 gene:ENSMUSG00000026162.7 transcript:ENSMUST00000152855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhej1 description:nonhomologous end-joining factor 1 [Source:MGI Symbol;Acc:MGI:1922820] VSQHLIHPLMGVSLALQSHVRELAALLRMKDLEIQAYQESGAVLSRSRLKTEPFEENSFL EQFMAEKLPEACAVGDGKPFAMSLQSLYVAVTKQQIQARQAHKDSGETQASSSTSPRGTD NQPEEPVSLSSTLSEPEYEPVAASGPMHRARLVKSKRKKPRGLFS >ENSMUSP00000079900.4 pep:known chromosome:GRCm38:2:181763332:181827797:1 gene:ENSMUSG00000010505.15 transcript:ENSMUST00000081125.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myt1 description:myelin transcription factor 1 [Source:MGI Symbol;Acc:MGI:1100535] MTLCGSFLENEFRGKLLTQRWTQEDKTSSCRTERHFLCRYNKMSSESDDKRARTRSKTLR GPPETTGADLSCPTPGCTGSGHVRGKYSRHRSLQSCPLAKKRKLEDAETEHLVSKRKSHP LRLALDEGYRMDSDGSEDAEVKDVSVSDESEGPLEEAEAEMSGQEEIHHPQTAEGKSLIK PHFDSNPTSSPSGFSKSSYSSYQGIIATSLLNLGQIAEEALVKEDSVSVAKLSPTVVHQL QDEAAMGVNSDEGEKDLFIQPEDVEEVIEVTSERSQEPCPQSLKDMVSEESSKQKGVLGH EEEGEEEEEDEEEEDEEEEEEGEEGEEEEEEEEEEEEEEDEEEEEEEEEAAPNVIFGEDT SHTSVQKASPEFRGPELSSPKPEYSVIVEVRSDDDKDEDSRSQKSAVTDESEMYDMMTRG NLGLLEQAIALKAEQVRAVCESGCPPAEQGHLGPGEPGKMAKPLDVVRKSCYSKDPSRVE KREIKCPTPGCDGTGHVTGLYPHHRSLSGCPHKDRIPPEILAMHENVLKCPTPGCTGQGH VNSNRNTHRSLSGCPIAAAEKLAKSHEKQQLQTGDPPKNNSNSDRILRPMCFVKQLEVPP YGSYRPNVAPATPRANLAKELEKFSKVTFDYASFDAQVFGKRMLAPKIQTSETSPKAFQC FDYSHDAEAAHMAATAILNLSTRCWEMPENLSTKPQDLPSKAVDIEVDENGTLDLSMHKH RKRENTFPSSSSCSSSPGVKSPDVSQRQSSTSAPSSSMTSPQSSQASRQDEWDRPLDYTK PSRLREEEPEESEPAAHSFASSEADDQEVSEENFEERKYPGEVTLTNFKLKFLSKDIKKE LLTCPTPGCDGSGHITGNYASHRSLSGCPLADKSLRNLMAAHSADLKCPTPGCDGSGHIT GNYASHRSLSGCPRAKKSGLKVAPTKDDKEDPELMKCPVPGCVGLGHISGKYASHRSASG CPLAARRQKEGALNGSSFSWKSLKNEGPTCPTPGCDGSGHANGSFLTHRSLSGCPRATFA GKKGKLSGDEILSPKFKTSDVLENDEEIKQLNQEIRDLNESNSEMEAAMVQLQSQISSME KNLKNIEEENKLIEEQNEALFLELSGLSQALIQSLANIRLPHMEPICEQNFDAYVNTLTD MYSNQDCYQNPENKGLLETIKQAVRGIQV >ENSMUSP00000104387.1 pep:known chromosome:GRCm38:2:181767042:181827768:1 gene:ENSMUSG00000010505.15 transcript:ENSMUST00000108756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myt1 description:myelin transcription factor 1 [Source:MGI Symbol;Acc:MGI:1100535] MSSESDDKRARTRSKTLRGPPETTGADLSCPTPGCTGSGHVRGKYSRHRSLQSCPLAKKR KLEDAETEHLVSKRKSHPLRLALDEGYRMDSDGSEDAEVKDVSVSDESEGPLEEAEAEMS GQEEIHHPQTAEGKSLIKPHFDSNPTSSPSGFSKSSYSSYQGIIATSLLNLGQIAEEALV KEDSVSVAKLSPTVVHQLQDEAAMGVNSDEGEKDLFIQPEDVEEVIEVTSERSQEPCPQS LKDMVSEESSKQKGVLGHEEEGEEEEEDEEEEDEEEEEEGEEGEEEEEEEEEEEEEEDEE EEEEEEEAAPNVIFGEDTSHTSVQKASPEFRGPELSSPKPEYSVIVEVRSDDDKDEDSRS QKSAVTDESEMYDMMTRGNLGLLEQAIALKAEQVRAVCESGCPPAEQGHLGPGEPGKMAK PLDVVRKSCYSKDPSRVEKREIKCPTPGCDGTGHVTGLYPHHRSLSGCPHKDRIPPEILA MHENVLKCPTPGCTGQGHVNSNRNTHRSLSGCPIAAAEKLAKSHEKQQLQTGDPPKNNSN SDRILRPMCFVKQLEVPPYGSYRPNVAPATPRANLAKELEKFSKVTFDYASFDAQVFGKR MLAPKIQTSETSPKAFQCFDYSHDAEAAHMAATAILNLSTRCWEMPENLSTKPQDLPSKA VDIEVDENGTLDLSMHKHRKRENTFPSSSSCSSSPGVKSPDVSQRQSSTSAPSSSMTSPQ SSQASRQDEWDRPLDYTKPSRLREEEPEESEPAAHSFASSEADDQEVSEENFEERKYPGE VTLTNFKLKFLSKDIKKELLTCPTPGCDGSGHITGNYASHRSLSGCPLADKSLRNLMAAH SADLKCPTPGCDGSGHITGNYASHRSLSGCPRAKKSGLKVAPTKDDKEDPELMKCPVPGC VGLGHISGKYASHRSASGCPLAARRQKEGALNGSSFSWKSLKNEGPTCPTPGCDGSGHAN GSFLTHRSLSGCPRATFAGKKGKLSGDEILSPKFKTSDVLENDEEIKQLNQEIRDLNESN SEMEAAMVQLQSQISSMEKNLKNIEEENKLIEEQNEALFLELSGLSQALIQSLANIRLPH MEPICEQNFDAYVNTLTDMYSNQDCYQNPENKGLLETIKQAVRGIQV >ENSMUSP00000139356.1 pep:known chromosome:GRCm38:2:181767303:181805009:1 gene:ENSMUSG00000010505.15 transcript:ENSMUST00000183403.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myt1 description:myelin transcription factor 1 [Source:MGI Symbol;Acc:MGI:1100535] MSSESDDKRARTRSKTLRGPPETTGADLRSFQGGEA >ENSMUSP00000104388.2 pep:known chromosome:GRCm38:2:181767322:181827768:1 gene:ENSMUSG00000010505.15 transcript:ENSMUST00000108757.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myt1 description:myelin transcription factor 1 [Source:MGI Symbol;Acc:MGI:1100535] MSSESDDKRARTRSKTLRGPPETTGADLSCPTPGCTGSGHVRGKYSRHRSLQSCPLAKKR KLEDAETEHLVSKRKSHPLRLALDEGYRMDSDGSEDAEVKDVSVSDESEGPLEEAEAEMS GQEEIHHPQTAEGKSLIKPHFDSNPTSSPSGFSKSSYSSYQGIIATSLLNLGQIAEEALV KEDSVSVAKLSPTVVHQLQDEAAMGVNSDEGEKDLFIQPEDVEEVIEVTSERSQEPCPQS LKDMVSEESSKQKGVLGHEEEGEEEEEDEEEEDEEEEEEGEEGEEEEEEEEEEEEEEDEE EEEEEEEAAPNVIFGEDTSHTSVQKASPEFRGPELSSPKPEYSVIVEVRSDDDKDEDSRS QKSAVTDESEMYDMMTRGNLGLLEQAIALKAEQVRAVCESGCPPAEQGHLGPGEPGKMAK PLDVVRKSCYSKDPSRVEKREIKCPTPGCDGTGHVTGLYPHHRSLSGCPHKDRIPPEILA MHENVLKCPTPGCTGQGHVNSNRNTHRRPMCFVKQLEVPPYGSYRPNVAPATPRANLAKE LEKFSKVTFDYASFDAQVFGKRMLAPKIQTSETSPKAFQCFDYSHDAEAAHMAATAILNL STRCWEMPENLSTKPQDLPSKAVDIEVDENGTLDLSMHKHRKRENTFPSSSSCSSSPGVK SPDVSQRQSSTSAPSSSMTSPQSSQASRQDEWDRPLDYTKPSRLREEEPEESEPAAHSFA SSEADDQEVSEENFEERKYPGEVTLTNFKLKFLSKDIKKELLTCPTPGCDGSGHITGNYA SHRSLSGCPLADKSLRNLMAAHSADLKCPTPGCDGSGHITGNYASHRSLSGCPRAKKSGL KVAPTKDDKEDPELMKCPVPGCVGLGHISGKYASHRSASGCPLAARRQKEGALNGSSFSW KSLKNEGPTCPTPGCDGSGHANGSFLTHRSLSGCPRATFAGKKGKLSGDEILSPKFKTSD VLENDEEIKQLNQEIRDLNESNSEMEAAMVQLQSQISSMEKNLKNIEEENKLIEEQNEAL FLELSGLSQALIQSLANIRLPHMEPICEQNFDAYVNTLTDMYSNQDCYQNPENKGLLETI KQAVRGIQV >ENSMUSP00000122011.1 pep:known chromosome:GRCm38:2:181782541:181815613:1 gene:ENSMUSG00000010505.15 transcript:ENSMUST00000129856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myt1 description:myelin transcription factor 1 [Source:MGI Symbol;Acc:MGI:1100535] XNEFRGKLLTQRWTQEDKTSSCRTERHFLCRYNKMSSESDDKRARTRSKTLRGPPETTGA DLSCPTPGCTGSGHVRGKYSRHRSLQSCPLAKKRKLEDAETEHLVSKRKSHPLRLALDEG YRMDSDGSEDAEVKDVSVSDESEGPLEEAEAEMSGQEEIHHPQTAEDPSRVEKREIKCPT PGCDGTGHVTGLYPHHRSLSGCPHKDRIPPEILAMHENVLKCPTPGCTGQGHVNSNRNTH RSLSGCPIAAAEKLAKSHEKQQLQTGDPPKNNSNSDRILRPMCFVKQLEVPPYGSYRPNV APATPRANLAKELEKFSKVTFDYASFDAQVFGKRMLAPKIQTSETSPKAFQCFDYSHDAE AAHMAATAILNLSTRCWEMPENLSTKPQDLPSKAVDIEVDENGTLDLSMHKHRKRENTFP SSSSCSSSPGVKSPDVSQRQSSTSAPSSSMTSPQSSQASRQDEWDRPLDYTKPSRLREEE PEESEPAAHSFASSEADDQEVSEENFEERKYPGEVTLTNFKLKFLSKDIKKELLTCPTPG CDGSGHITGNYASHRRCPTPGCDGSGHITGNYASHRSLSGCPRAKKSGLKVAPTKDDKED PELM >ENSMUSP00000115697.1 pep:known chromosome:GRCm38:2:181797576:181827768:1 gene:ENSMUSG00000010505.15 transcript:ENSMUST00000129843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myt1 description:myelin transcription factor 1 [Source:MGI Symbol;Acc:MGI:1100535] XDEEEEEEEEEAAPNVIFGEDTSHTSVQKASPEFRGPELSSPKPEYSVIVEVRSDDDKDE DSRSQKSAVTDESEMYDMMTRGNLGLLEQAIALKAEQVRAVCESGCPPAEQGHLGPGEPG KMAKPLDVVRKSCYSKDPSRVEKREIKCPTPGCDGTGHVTGLYPHHRSLSGCPHKDRIPP EILAMHENVLKCPTPGCTGQGHVNSNRNTHRSLSGCPIAAAEKLAKSHEKQQLQTGDPPK NNSNSDRILRPMCFVKQLEVPPYGSYRPNVAPATPRANLAKELEKFSKVTFDYASFDAQV FGKRMLAPKIQTSETSPKAFQCFDYSHDAEAAHMAATAILNLSTRCWEMPENLSTKPQDL PSKAVDIEVDENGTLDLSMHKHRKRENTFPSSSSCSSSPGVKSPDVSQRQSSTSAPSSSM TSPQSSQASRQDEWDRPLDYTKPSRLREEEPEESEPAAHSFASSEADDQEVSEENFEERK YPGEVTLTNFKLKFLSKDIKKELLTCPTPGCDGSGHITGNYASHRSLSGCPRAKKSGLKV APTKDDKEDPELMKCPVPGCVGLGHISGKYASHRSASGCPLAARRQKEGALNGSSFSWKS LKNEGPTCPTPGCDGSGHANGSFLTHRSLSGCPRATFAGKKGKLSGDEILSPKFKTSDVL ENDEEIKQLNQEIRDLNESNSEMEAAMVQLQSQISSMEKNLKNIEEENKLIEEQNEALFL ELSGLSQALIQSLANIRLPHMEPICEQNFDAYVNTLTDMYSNQDCYQNPENKGLLETIKQ AVRGIQV >ENSMUSP00000121394.1 pep:known chromosome:GRCm38:2:181811197:181820099:1 gene:ENSMUSG00000010505.15 transcript:ENSMUST00000135744.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myt1 description:myelin transcription factor 1 [Source:MGI Symbol;Acc:MGI:1100535] MPPIAGSCPAPLVWLRCFVLSGCPLADKSLRNLMAAHSADLKCPTPGCDGSGHITGNYAS HRSLSGCPRAKKSGLKVAPTKDDKEDPELMKCPVPGCVGLGHISGKYASHRSASGCPLAA R >ENSMUSP00000125871.2 pep:known chromosome:GRCm38:17:85684277:85688274:-1 gene:ENSMUSG00000024134.11 transcript:ENSMUST00000163568.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Six2 description:sine oculis-related homeobox 2 [Source:MGI Symbol;Acc:MGI:102778] MSMLPTFGFTQEQVACVCEVLQQGGNIERLGRFLWSLPACEHLHKNESVLKAKAVVAFHR GNFRELYKILESHQFSPHNHAKLQQLWLKAHYIEAEKLRGRPLGAVGKYRVRRKFPLPRS IWDGEETSYCFKEKSRSVLREWYAHNPYPSPREKRELAEATGLTTTQVSNWFKNRRQRDR AAEAKERENSENSNSSSHNPLASSLNGSGKSVLGSSEDEKTPSGTPDHSSSSPALLLSPP PPPGLPSLHSLGHPPGPSAVPVPVPGGGGADPLQHHHSLQDSILNPMSANLVDLGS >ENSMUSP00000024947.7 pep:known chromosome:GRCm38:17:85684282:85688254:-1 gene:ENSMUSG00000024134.11 transcript:ENSMUST00000024947.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Six2 description:sine oculis-related homeobox 2 [Source:MGI Symbol;Acc:MGI:102778] MSMLPTFGFTQEQVACVCEVLQQGGNIERLGRFLWSLPACEHLHKNESVLKAKAVVAFHR GNFRELYKILESHQFSPHNHAKLQQLWLKAHYIEAEKLRGRPLGAVGKYRVRRKFPLPRS IWDGEETSYCFKEKSRSVLREWYAHNPYPSPREKRELAEATGLTTTQVSNWFKNRRQRDR AAEAKERENSENSNSSSHNPLASSLNGSGKSVLGSSEDEKTPSGTPDHSSSSPALLLSPP PPPGLPSLHSLGHPPGPSAVPVPVPGGGGADPLQHHHSLQDSILNPMSANLVDLGS >ENSMUSP00000130115.1 pep:known chromosome:GRCm38:18:76241580:76305731:1 gene:ENSMUSG00000024563.15 transcript:ENSMUST00000168423.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad2 description:SMAD family member 2 [Source:MGI Symbol;Acc:MGI:108051] MSSILPFTPPVVKRLLGWKKSAGGSGGAGGGEQNGQEEKWCEKAVKSLVKKLKKTGRLDE LEKAITTQNCNTKCVTIPSTCSEIWGLSTANTVDQWDTTGLYSFSEQTRSLDGRLQVSHR KGLPHVIYCRLWRWPDLHSHHELKAIENCEYAFNLKKDEVCVNPYHYQRVETPVLPPVLV PRHTEILTELPPLDDYTHSIPENTNFPAGIEPQSNYIPETPPPGYISEDGETSDQQLNQS MDTGSPAELSPTTLSPVNHSLDLQPVTYSEPAFWCSIAYYELNQRVGETFHASQPSLTVD GFTDPSNSERFCLGLLSNVNRNATVEMTRRHIGRGVRLYYIGGEVFAECLSDSAIFVQSP NCNQRYGWHPATVCKIPPGCNLKIFNNQEFAALLAQSVNQGFEAVYQLTRMCTIRMSFVK GWGAEYRRQTVTSTPCWIELHLNGPLQWLDKVLTQMGSPSVRCSSMS >ENSMUSP00000089439.6 pep:known chromosome:GRCm38:18:76241701:76304730:1 gene:ENSMUSG00000024563.15 transcript:ENSMUST00000091831.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad2 description:SMAD family member 2 [Source:MGI Symbol;Acc:MGI:108051] MSSILPFTPPVVKRLLGWKKSAGGSGGAGGGEQNGQEEKWCEKAVKSLVKKLKKTGRLDE LEKAITTQNCNTKCVTIPRSLDGRLQVSHRKGLPHVIYCRLWRWPDLHSHHELKAIENCE YAFNLKKDEVCVNPYHYQRVETPVLPPVLVPRHTEILTELPPLDDYTHSIPENTNFPAGI EPQSNYIPETPPPGYISEDGETSDQQLNQSMDTGSPAELSPTTLSPVNHSLDLQPVTYSE PAFWCSIAYYELNQRVGETFHASQPSLTVDGFTDPSNSERFCLGLLSNVNRNATVEMTRR HIGRGVRLYYIGGEVFAECLSDSAIFVQSPNCNQRYGWHPATVCKIPPGCNLKIFNNQEF AALLAQSVNQGFEAVYQLTRMCTIRMSFVKGWGAEYRRQTVTSTPCWIELHLNGPLQWLD KVLTQMGSPSVRCSSMS >ENSMUSP00000125883.1 pep:known chromosome:GRCm38:18:76242046:76298450:1 gene:ENSMUSG00000024563.15 transcript:ENSMUST00000171256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad2 description:SMAD family member 2 [Source:MGI Symbol;Acc:MGI:108051] MSSILPFTPPVVKRLLGWKKSAGGSGGAGGGEQNGQEEKWCEKAVKSLVKKLKKTGRLDE LEKAITTQNCNTKCVTIPSTCSEIWGLSTANTVDQWDTTGLYSFSEQTRSLDGRLQVSHR KGLPHVIYCRLWRWPDLHSHHELKAIENCEYAFNLKKDEVCVNPYHYQRVETPVLPPVLV PRHTEILTELPPLDDYTHSIPENTNFPAGIEPQSNYIPETPPPGYISEDGETSDQQLNQS MDTG >ENSMUSP00000129232.1 pep:known chromosome:GRCm38:18:76242174:76299893:1 gene:ENSMUSG00000024563.15 transcript:ENSMUST00000172198.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad2 description:SMAD family member 2 [Source:MGI Symbol;Acc:MGI:108051] MDTGSPAELSPTTLSPVNHSLDLQPVTYSEPAFWCSIAYYELNQRVGETFHASQPSLT >ENSMUSP00000025453.8 pep:known chromosome:GRCm38:18:76261121:76305294:1 gene:ENSMUSG00000024563.15 transcript:ENSMUST00000025453.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad2 description:SMAD family member 2 [Source:MGI Symbol;Acc:MGI:108051] MSSILPFTPPVVKRLLGWKKSAGGSGGAGGGEQNGQEEKWCEKAVKSLVKKLKKTGRLDE LEKAITTQNCNTKCVTIPSTCSEIWGLSTANTVDQWDTTGLYSFSEQTRSLDGRLQVSHR KGLPHVIYCRLWRWPDLHSHHELKAIENCEYAFNLKKDEVCVNPYHYQRVETPVLPPVLV PRHTEILTELPPLDDYTHSIPENTNFPAGIEPQSNYIPETPPPGYISEDGETSDQQLNQS MDTGSPAELSPTTLSPVNHSLDLQPVTYSEPAFWCSIAYYELNQRVGETFHASQPSLTVD GFTDPSNSERFCLGLLSNVNRNATVEMTRRHIGRGVRLYYIGGEVFAECLSDSAIFVQSP NCNQRYGWHPATVCKIPPGCNLKIFNNQEFAALLAQSVNQGFEAVYQLTRMCTIRMSFVK GWGAEYRRQTVTSTPCWIELHLNGPLQWLDKVLTQMGSPSVRCSSMS >ENSMUSP00000132851.1 pep:known chromosome:GRCm38:18:76262492:76299837:1 gene:ENSMUSG00000024563.15 transcript:ENSMUST00000165084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad2 description:SMAD family member 2 [Source:MGI Symbol;Acc:MGI:108051] MSSILPFTPPVVKRLLGWKKSAGGSGGAGGGEQNGQEEKWCEKAVKSLVKKLKKTGRLDE LEKAITTQNCNTKCVTIPRSLDGRLQVSHRKGLPHVIYCRLWRWPDLHSHHELKAIENCE YAFNLKKDEVCVNPYHYQRVETPETPPPGYISEDGETSDQQLNQSMDTGSPAELSPTTLS PVNHSLDLQPVTYSEPAFWCSIAY >ENSMUSP00000109563.2 pep:known chromosome:GRCm38:18:76262492:76302642:1 gene:ENSMUSG00000024563.15 transcript:ENSMUST00000113930.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad2 description:SMAD family member 2 [Source:MGI Symbol;Acc:MGI:108051] MSSILPFTPPVVKRLLGWKKSAGGSGGAGGGEQNGQEEKWCEKAVKSLVKKLKKTGRLDE LEKAITTQNCNTKCVTIPRSLDGRLQVSHRKGLPHVIYCRLWRWPDLHSHHELKAIENCE YAFNLKKDEVCVNPYHYQRVETPVLPPVLVPRHTEILTELPPLDDYTHSIPENTNFPAGI EPQSNYIPETPPPGYISEDGETSDQQLNQSMDTGSPAELSPTTLSPVNHSLDLQPVTYSE PAFWCSIAYYELNQRVGETFHASQPSLTVDGFTDPSNSERFCLGLLSNVNRNATVEMTRR HIGRGVRLYYIGGEVFAECLSDSAIFVQSPNCNQRYGWHPATVCKIPPGCNLKIFNNQEF AALLAQSVNQGFEAVYQLTRMCTIRMSFVKGWGAEYRYDSPVQVSTFSTVIIFILFFVSS VVHVCLFSTDLYIK >ENSMUSP00000117319.1 pep:known chromosome:GRCm38:4:102986379:103002519:1 gene:ENSMUSG00000028523.13 transcript:ENSMUST00000125417.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctex1d1 description:Tctex1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1914594] MENTYQLGPTKPFPVATVNHILEDVLTT >ENSMUSP00000030248.5 pep:known chromosome:GRCm38:4:102986379:103004574:1 gene:ENSMUSG00000028523.13 transcript:ENSMUST00000030248.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctex1d1 description:Tctex1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1914594] MAQTHGSKQQAQRLEQGAESLRHGAQAQSRENNVSLSTVSHADEPSQRDESSRLTVRMEN TYQLGPTKPFPVATVNHILEDVLTTYLQEAQYDPEFCRQMTKTISEVIKTQVKELVIPRY KLIVIVYIGQRDDQSIVIGSRCLWNPKSDTVSSYTFKNSTFFALANVYAVYFE >ENSMUSP00000116692.1 pep:known chromosome:GRCm38:4:102991784:103004292:1 gene:ENSMUSG00000028523.13 transcript:ENSMUST00000140654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctex1d1 description:Tctex1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1914594] MENTYQLGPTKPFPVATVNHILEDVLTTYLQEAQYDPEFCRQMTKTISEVIKTQVKELVI PRYKLIVIVYIGQRDDQSIVIGSRCLWNPKSDTVSSYTF >ENSMUSP00000128228.1 pep:known chromosome:GRCm38:4:102991795:103005594:1 gene:ENSMUSG00000028523.13 transcript:ENSMUST00000169211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctex1d1 description:Tctex1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1914594] MENTYQLGPTKPFPVATVNHILEDVLTTYLQEAQYDPEFCRQMTKTISEVIKTQVKELVI PRYKLIVIVYIGQRDDQSIVIGSRCLWNPKSDTVSSYTFKNSTFFALANVYAVYFE >ENSMUSP00000112019.2 pep:known chromosome:GRCm38:4:102988573:103005594:1 gene:ENSMUSG00000028523.13 transcript:ENSMUST00000116317.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctex1d1 description:Tctex1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1914594] MAQTHGSKQQAQRLEQGAESLRHGAQAQSRENNVSLSTVSHADEPSQRDESSRLTVRMEN TYQLGPTKPFPVATVNHILEDVLTTYLQEAQYDPEFCRQMTKTISEVIKTQVKELVIPRY KLIVIVYIGQRDDQSIVIGSRCLWNPKSDTVSSYTFKNSTFFALANVYAVYFE >ENSMUSP00000115540.1 pep:known chromosome:GRCm38:5:86486367:86518571:-1 gene:ENSMUSG00000079451.9 transcript:ENSMUST00000140095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss11g description:transmembrane protease, serine 11g [Source:MGI Symbol;Acc:MGI:2444058] MYQPGILVRRKRVWKPWTVALITVALLLALAVLIGLLVYFLVYDEKTHYYQASFWIPSIN YSSDLSKEQSKFRTGLKQKISNEIDVIFQRSSLKHHYVKSQVVNFRPSNDGVKADVLIKF QIPRKNAGTLKRQADNILQEKLQSSQSILKRDASLPYLRDCGSGMEYPPIARIADGKPAD KASWPWQSSLQVEGIHLCGASLIGSQWLVTSAHCFDNYKNPKLWTVSFGRTLSSPLTTRK VESIIVHENYASHKHDDDIAVVKLSSPVLFSENLHRVCLPDATFQVLPKSKVFVTGWGAL KANGPFPNSLQEVEIEIISNDVCNQVNVYGGAISSGMICAGFLTGKLDACEGDSGGPLVI SDNRNKWYLLGIVSWGIDCGKENKPGIYTRVTHYRDWIKSKTSI >ENSMUSP00000122709.1 pep:known chromosome:GRCm38:5:86485876:86518600:-1 gene:ENSMUSG00000079451.9 transcript:ENSMUST00000134179.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss11g description:transmembrane protease, serine 11g [Source:MGI Symbol;Acc:MGI:2444058] MYQPGILVRRKRVWKPWTVALITVALLLALAVLIGLLVYFLVYDEKTHYYQASFWIPSIN YSSDLSKEQSKFRTGLKQKISNEIDVIFQRSSLKHHYVKSQVVNFRPSNDGVKADVLIKF QIPRKNAGTLKRQADNILQEKLQSSQSILKRDASLPYLREMNAAQAEHILNSDCGSGMEY PPIARIADGKPADKASWPWQSSLQVEGIHLCGASLIGSQWLVTSAHCFDNYKNPKLWTVS FGRTLSSPLTTRKVESIIVHENYASHKHDDDIAVVKLSSPVLFSENLHRVCLPDATFQVL PKSKVFVTGWGALKANGPFPNSLQEVEIEIISNDVCNQVNVYGGAISSGMICAGFLTGKL DACEGDSGGPLVISDNRNKWYLLGIVSWGIDCGKENKPGIYTRVTHYRDWIKSKTSI >ENSMUSP00000106051.1 pep:known chromosome:GRCm38:12:76120419:76167715:-1 gene:ENSMUSG00000021055.13 transcript:ENSMUST00000110421.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esr2 description:estrogen receptor 2 (beta) [Source:MGI Symbol;Acc:MGI:109392] MSICASSHKDFSQLRPTQDMEIKNSPSSLTSPASYNCSQSILPLEHGPIYIPSSYVESRH EYSAMTFYSPAVMNYSVPSSTGNLEGGPVRQTASPNVLWPTSGHLSPLATHCQSSLLYAE PQKSPWCEARSLEHTLPVNRETLKRKLGGSGCASPVTSPSAKRDAHFCAVCSDYASGYHY GVWSCEGCKAFFKRSIQGHNDYICPATNQCTIDKNRRKSCQACRLRKCYEVGMVKCGSRR ERCGYRIVRRQRSASEQVHCLNKAKRTSGHTPRVKELLLNSLSPEQLVLTLLEAEPPNVL VSRPSMPFTEASMMMSLTKLADKELVHMIGWAKKIPGFVELSLLDQVRLLESCWMEVLMV GLMWRSIDHPGKLIFAPDLVLDRDEGKCVEGILEIFDMLLATTARFRELKLQHKEYLCVK AMILLNSSMYPLATASQEAESSRKLTHLLNAVTDALVWVISKSGISSQQQSVRLANLLML LSHVRHISNKGMEHLLSMKCKNVVPVYDLLLEMLNAHTLRGYKSSISGSECCSTEDSKSK EGSQNLQSQ >ENSMUSP00000098849.3 pep:known chromosome:GRCm38:12:76120419:76177259:-1 gene:ENSMUSG00000021055.13 transcript:ENSMUST00000101291.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esr2 description:estrogen receptor 2 (beta) [Source:MGI Symbol;Acc:MGI:109392] MSICASSHKDFSQLRPTQDMEIKNSPSSLTSPASYNCSQSILPLEHGPIYIPSSYVESRH EYSAMTFYSPAVMNYSVPSSTGNLEGGPVRQTASPNVLWPTSGHLSPLATHCQSSLLYAE PQKSPWCEARSLEHTLPVNRETLKRKLGGSGCASPVTSPSAKRDAHFCAVCSDYASGYHY GVWSCEGCKAFFKRSIQGHNDYICPATNQCTIDKNRRKSCQACRLRKCYEVGMVKCGSRR ERCGYRIVRRQRSASEQVHCLNKAKRTSGHTPRVKELLLNSLSPEQLVLTLLEAEPPNVL VSRPSMPFTEASMMMSLTKLADKELVHMIGWAKKIPGFVELSLLDQVRLLESCWMEVLMV GLMWRSIDHPGKLIFAPDLVLDRSSEDPHWHVAQTKSAVPRDEGKCVEGILEIFDMLLAT TARFRELKLQHKEYLCVKAMILLNSSMYPLATASQEAESSRKLTHLLNAVTDALVWVISK SGISSQQQSVRLANLLMLLSHVRHISNKGMEHLLSMKCKNVVPVYDLLLEMLNAHTLRGY KSSISGSECCSTEDSKSKEGSQNLQSQ >ENSMUSP00000138637.1 pep:known chromosome:GRCm38:12:76120419:76177259:-1 gene:ENSMUSG00000021055.13 transcript:ENSMUST00000133564.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Esr2 description:estrogen receptor 2 (beta) [Source:MGI Symbol;Acc:MGI:109392] MSICASSHKDFSQLRPTQDMEIKNSPSSLTSPASYNCSQSILPLEHGPIYIPSSYVESRH EYSAMTFYSPAVMNYSVPSSTGNLEGGPVRQTASPNVLWPTSGHLSPLATHCQSSLLYAE PQKSPWCEARSLEHTLPVNRETLKRKLGGSGCASPVTSPSAKRDAHFCAVCSDYASGYHY GVWSCEGCKAFFKRSIQGHNDYICPATNQCTIDKNRRKSCQACRLRKCYEVGMVKCGSRR ERCGYRIVRRQRSASEQVHCLNKAKRTSGHTPRVKELLLNSLSPEQLVLTLLEAEPPNVL VSRPSMPFTEASMMMSLTKLADKELVHMIGWAKKIPGMRGSAWKGFWKSLTCSWRRRHGS VS >ENSMUSP00000075932.4 pep:known chromosome:GRCm38:12:76120439:76177259:-1 gene:ENSMUSG00000021055.13 transcript:ENSMUST00000076634.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esr2 description:estrogen receptor 2 (beta) [Source:MGI Symbol;Acc:MGI:109392] MSICASSHKDFSQLRPTQDMEIKNSPSSLTSPASYNCSQSILPLEHGPIYIPSSYVESRH EYSAMTFYSPAVMNYSVPSSTGNLEGGPVRQTASPNVLWPTSGHLSPLATHCQSSLLYAE PQKSPWCEARSLEHTLPVNRETLKRKLGGSGCASPVTSPSAKRDAHFCAVCSDYASGYHY GVWSCEGCKAFFKRSIQGHNDYICPATNQCTIDKNRRKSCQACRLRKCYEVGMVKCGSRR ERCGYRIVRRQRSASEQVHCLNKAKRTSGHTPRVKELLLNSLSPEQLVLTLLEAEPPNVL VSRPSMPFTEASMMMSLTKLADKELVHMIGWAKKIPGFVELSLLDQVRLLESCWMEVLMV GLMWRSIDHPGKLIFAPDLVLDRDEGKCVEGILEIFDMLLATTARFRELKLQHKEYLCVK AMILLNSSMYPLATASQEAESSRKLTHLLNAVTDALVWVISKSGISSQQQSVRLANLLML LSHVRHISNKGMEHLLSMKCKNVVPVYDLLLEMLNAHTLRGYKSSISGSECCSTEDSKSK EGSQNLQSQ >ENSMUSP00000130738.1 pep:known chromosome:GRCm38:1:80264923:80340480:-1 gene:ENSMUSG00000004364.14 transcript:ENSMUST00000163119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul3 description:cullin 3 [Source:MGI Symbol;Acc:MGI:1347360] MSNLSKGTGSRKDTKMRIRAFPMTMDEKYVNSIWDLLKNAIQEIQRKNNSGLSFEELYRN AYTMVLHKHGEKLYTGLREVVTEHLINKVREDVLNSLNNNFLQTLNQAWNDHQTAMVMIR DILMYMDRVYVQQNNVENVYNLGLIIFRDQVVRYGCIRDHLRQTLLDMIARERKGEVVDR GAIRNACQMLMILGLEGRSVYEEDFEAPFLEMSAEFFQMESQKFLAENSASVYIKKVEAR INEEIERVMHCLDKSTEEPIVKVVERELISKHMKTIVEMENSGLVHMLKNGKTEDLACMY KLFSRVPNGLKTMCECMSCYLREQGKALVSEEGEGKNPVDYIQGLLDLKSRFDRFLQESF NNDRLFKQTIAGDFEYFLNLNSRSPEYLSLFIDDKLKKGVKGLTEQEVETILDKAMVLFR FMQEKDVFERYYKQHLARRLLTNKSVSDDSEKNMISKLKTECGCQFTSKLEGMFRDMSIS NTTMDEFRQHLQATGVSLGGVDLTVRVLTTGYWPTQSATPKCNIPPAPRHAFEIFRRFYL AKHSGRQLTLQHHMGSADLNATFYGPVKKEDGSEVGVGGAQVTGSNTRKHILQVSTFQMT ILMLFNNREKYTFEEIQQETDIPERELVRALQSLACGKPTQRVLTKEPKSKEIESGHIFT VNDQFTSKLHRVKIQTVAAKQGESDPERKETRQKVDDDRKHEIEAAIVRIMKSRKKMQHN VLVAEVTQQLKARFLPSPVVIKKRIEGLIEREYLARTPEDRKVYTYVA >ENSMUSP00000131891.1 pep:known chromosome:GRCm38:1:80266823:80340311:-1 gene:ENSMUSG00000004364.14 transcript:ENSMUST00000164108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul3 description:cullin 3 [Source:MGI Symbol;Acc:MGI:1347360] MSNLSKGTGSRKDTKMRIRAFPVREDVLNSLNNNFLQTLNQAWNDHQTAMVMIRDILMYM DRVYVQQNNVENVYNLGLIIFRDQVVRYGCIRDHLRQTLLDMIARERKGEVVDRGAIRNA CQMLMILGLEGRSVYEEDFEAPFLEMSAEFFQMESQKFLAENSASVYIKKVEARINEEIE RVMHCLDKSTEEPIVKVVERELISKHMKTIVEMENSGLVHMLKNGKTEDLACMYKLFSRV PNGLKTMCECMSCYLREQGKALVSEEGEGKNPVDYIQGLLDLKSRFDRFLQESFNNDRLF KQTIAGDFEYFLNLNSRSPEYLSLFIDDKLKKGVKGLTEQEVETILDKAMVLFRFMQEKD VFERYYKQHLARRLLTNKSVSDDSEKNMISKLKTECGCQFTSKLEGMFRDMSISNTTMDE FRQHLQATGVSLGGVDLTVRVLTTGYWPTQSATPKCNIPPAPRHAFEIFRRFYLAKHSGR QLTLQHHMGSADLNATFYGPVKKEDGSEVGVGGAQVTGSNTRKHILQVSTFQMTILMLFN NREKYTFEEIQQETDIPERELVRALQSLACGKPTQRVLTKEPKSKEIESGHIFTVNDQFT SKLHRVKIQTVAAKQGESDPERKETRQKVDDDRKHEIEAAIVRIMKSRKKMQHNVLVAEV TQQLKARFLPSPVVIKKRIEGLIEREYLARTPEDRKVYTYVA >ENSMUSP00000132485.1 pep:known chromosome:GRCm38:1:80266947:80277441:-1 gene:ENSMUSG00000004364.14 transcript:ENSMUST00000168372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cul3 description:cullin 3 [Source:MGI Symbol;Acc:MGI:1347360] XLTLQHHMGSADLNATFYGPVKKEDGSEVGVGGAQVTGSNTRKHILQVSTFQMTILMLFN NREKYTFEVTQQLKARFLPSPVVIKKRIEGLIEREYLARTPEDRKVYTYVA >ENSMUSP00000130010.1 pep:known chromosome:GRCm38:1:80287050:80340307:-1 gene:ENSMUSG00000004364.14 transcript:ENSMUST00000170897.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cul3 description:cullin 3 [Source:MGI Symbol;Acc:MGI:1347360] XNLSKGTGSRKDTKMRIRAFPMTMDEKYVNSIWDLLKNAIQEIQRARRCTKFIE >ENSMUSP00000126630.1 pep:known chromosome:GRCm38:1:80288831:80340279:-1 gene:ENSMUSG00000004364.14 transcript:ENSMUST00000167293.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cul3 description:cullin 3 [Source:MGI Symbol;Acc:MGI:1347360] XKDTKMRIRAFPMTMDEKYVNSIWDLLKNAIQEIQRKNNSGLSFEELYRNAYTMVLHKHG EKLYTGLREVVTEHLINKVVHFWQE >ENSMUSP00000028769.6 pep:known chromosome:GRCm38:2:130450278:130554026:1 gene:ENSMUSG00000027303.17 transcript:ENSMUST00000028769.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpra description:protein tyrosine phosphatase, receptor type, A [Source:MGI Symbol;Acc:MGI:97808] MDSWFILVLFGSGLIHVSANNATTVSPSLGTTRLIKTSTTELAKEENKTSNSTSSVISLS VAPTFSPNLTLEPTYVTTVNSSHSDNGTRRAASTESGGTTISPNGSWLIENQFTDAITEP WEGNSSTAATTPETFPPADETPIIAVMVALSSLLVIVFIIIVLYMLRFKKYKQAGSHSNS FRLSNGRTEDVEPQSVPLLARSPSTNRKYPPLPVDKLEEEINRRMADDNKLFREEFNALP ACPIQATCEAASKEENKEKNRYVNILPYDHSRVHLTPVEGVPDSDYINASFINGYQEKNK FIAAQGPKEETVNDFWRMIWEQNTATIVMVTNLKERKECKCAQYWPDQGCWTYGNVRVSV EDVTVLVDYTVRKFCIQQVGDVTNRKPQRLITQFHFTSWPDFGVPFTPIGMLKFLKKVKA CNPQYAGAIVVHCSAGVGRTGTFVVIDAMLDMMHSERKVDVYGFVSRIRAQRCQMVQTDM QYVFIYQALLEHYLYGDTELEVTSLETHLQKIYNKIPGTSNNGLEEEFKKLTSIKIQNDK MRTGNLPANMKKNRVLQIIPYEFNRVIIPVKRGEENTDYVNASFIDGYRQKDSYIASQGP LLHTIEDFWRMIWEWKSCSIVMLTELEERGQEKCAQYWPSDGLVSYGDITVELKKEEECE SYTVRDLLVTNTRENKSRQIRQFHFHGWPEVGIPSDGKGMINIIAAVQKQQQQSGNHPIT VHCSAGAGRTGTFCALSTVLERVKAEGILDVFQTVKSLRLQRPHMVQTLEQYEFCYKVVQ EYIDAFSDYANFK >ENSMUSP00000076533.3 pep:known chromosome:GRCm38:2:130450544:130554023:1 gene:ENSMUSG00000027303.17 transcript:ENSMUST00000077303.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpra description:protein tyrosine phosphatase, receptor type, A [Source:MGI Symbol;Acc:MGI:97808] MDSWFILVLFGSGLIHVSANNATTVSPSLGTTRLIKTSTTELAKEENKTSNSTSSVISLS VAPTFSPNLTLEPTYVTTVNSSHSDNGTRRAASTESGGTTISPNGSWLIENQFTDAITEP WEGNSSTAATTPETFPPADETPIIAVMVALSSLLVIVFIIIVLYMLRFKKYKQAGSHSNS FRLSNGRTEDVEPQSVPLLARSPSTNRKYPPLPVDKLEEEINRRMADDNKLFREEFNALP ACPIQATCEAASKEENKEKNRYVNILPFLSLAVSKDAVKALNKTTPLLERRFIGKSNSRG CLSDDHSRVHLTPVEGVPDSDYINASFINGYQEKNKFIAAQGPKEETVNDFWRMIWEQNT ATIVMVTNLKERKECKCAQYWPDQGCWTYGNVRVSVEDVTVLVDYTVRKFCIQQVGDVTN RKPQRLITQFHFTSWPDFGVPFTPIGMLKFLKKVKACNPQYAGAIVVHCSAGVGRTGTFV VIDAMLDMMHSERKVDVYGFVSRIRAQRCQMVQTDMQYVFIYQALLEHYLYGDTELEVTS LETHLQKIYNKIPGTSNNGLEEEFKKLTSIKIQNDKMRTGNLPANMKKNRVLQIIPYEFN RVIIPVKRGEENTDYVNASFIDGYRQKDSYIASQGPLLHTIEDFWRMIWEWKSCSIVMLT ELEERGQEKCAQYWPSDGLVSYGDITVELKKEEECESYTVRDLLVTNTRENKSRQIRQFH FHGWPEVGIPSDGKGMINIIAAVQKQQQQSGNHPITVHCSAGAGRTGTFCALSTVLERVK AEGILDVFQTVKSLRLQRPHMVQTLEQYEFCYKVVQEYIDAFSDYANFK >ENSMUSP00000099432.3 pep:known chromosome:GRCm38:11:98082556:98149612:-1 gene:ENSMUSG00000020883.18 transcript:ENSMUST00000103143.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl20 description:F-box and leucine-rich repeat protein 20 [Source:MGI Symbol;Acc:MGI:1919444] MRRDVNGVTKSRFEMFSNSDEAVINKKLPKELLLRIFSFLDVVTLCRCAQVSRAWNVLAL DGSNWQRIDLFDFQRDIEGRVVENISKRCGGFLRKLSLRGCLGVGDNALRTFAQNCRNIE VLSLNGCTKTTDATCTSLSKFCSKLRHLDLASCTSITNMSLKALSEGCPLLEQLNISWCD QVTKDGIQALVRGCGGLKALFLKGCTQLEDEALKYIGAHCPELVTLNLQTCLQITDEGLI TICRGCHKLQSLCASGCSNITDAILNALGQNCPRLRILEVARCSQLTDVGFTTLARNCHE LEKMDLEECVQITDSTLIQLSIHCPRLQVLSLSHCELITDDGIRHLGNGACAHDQLEVIE LDNCPLITDASLEHLKSCHSLERIELYDCQQITRAGIKRLRTHLPNIKVHAYFAPVTPPP SVGGSRQRFCRCCIIL >ENSMUSP00000123507.1 pep:known chromosome:GRCm38:11:98095269:98100063:-1 gene:ENSMUSG00000020883.18 transcript:ENSMUST00000147971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl20 description:F-box and leucine-rich repeat protein 20 [Source:MGI Symbol;Acc:MGI:1919444] QVTKDGIQALVRGCGGLKALFLKGCTQLEDEALKYIGAHCPELVTLNLQTCLQITDEGLI TICRGCHKLQSLCASGCSNITDAILNALGQNCPRLRSRLPSGVSNMPSRRCLMQFGINSQ LQFYSSIFFDCITLKCGVDRTT >ENSMUSP00000119003.1 pep:known chromosome:GRCm38:11:98098422:98150403:-1 gene:ENSMUSG00000020883.18 transcript:ENSMUST00000150378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl20 description:F-box and leucine-rich repeat protein 20 [Source:MGI Symbol;Acc:MGI:1919444] MAPSRDRLLHFGFKATMFSNSDEAVINKKLPKELLLRIFSFLDVVTLCRCAQVSRAWNVL ALDGSNWQRIDLFDFQRDIEGRVVENISKRCGGFLRKLSLRGCLGVGDNALSTCTSLSKF CSKLRHLDLASCTSITNMSLKALSEGCPLLEQLNISWCDQVTKDGIQALVRGCGGLKALF LKGCTQLEDEALKYIGAHCPELVTLNLQTC >ENSMUSP00000120709.1 pep:known chromosome:GRCm38:11:98109518:98128963:-1 gene:ENSMUSG00000020883.18 transcript:ENSMUST00000149327.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl20 description:F-box and leucine-rich repeat protein 20 [Source:MGI Symbol;Acc:MGI:1919444] MFSNSDEAVINKKLPKELLLRIFSFLDVVTLCRCAQVSRAWNVLALDGSNWQRIDLFDFQ RDIEGRVVENISKRCGGFLRKLSLRGCLGVGDNALRTFAQNCRNIEVLSL >ENSMUSP00000048524.5 pep:known chromosome:GRCm38:11:69655314:69656727:1 gene:ENSMUSG00000041287.5 transcript:ENSMUST00000047373.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox15 description:SRY (sex determining region Y)-box 15 [Source:MGI Symbol;Acc:MGI:98363] MALTSSSQAETWSLHPRASTASLPLGPQEQEAGGSPGASGGLPLEKVKRPMNAFMVWSSV QRRQMAQQNPKMHNSEISKRLGAQWKLLGDEEKRPFVEEAKRLRARHLRDYPDYKYRPRR KSKNSSTGSVPFSQEGGGLACGGSHWGPGYTTTQGSRGFGYQPPNYSTAYLPGSYTSSHC RPEAPLPCTFPQSDPRLQGELRPSFSPYLSPDSSTPYNTSLAGAPMPVTHL >ENSMUSP00000063712.7 pep:known chromosome:GRCm38:4:102760135:102971983:1 gene:ENSMUSG00000028524.21 transcript:ENSMUST00000066824.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgip1 description:SH3-domain GRB2-like (endophilin) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1920344] MMEGLKKRTRKAFGIRKKEKDTDSTGSPDRDGMPSPHEPPYHSKAECAREGGKKASKKSN GAPNGFYAEIDWERYNSPELDEEGYSIRPEEPGSTKGKHFYSSSESEEEEESHKKFNIKI KPLQSKDVLKNAATVDELKASIGNIALSPSPVRKSPRRSPGAIKRNLSSEEVARPRRSTP TPELTSKKPLDDTLALAPLFGPPLESAFDEQKTEVLLDQPEIWGSGQPMNPSTESPELAR PFPTGTPPPLPPKTVPATPPRTGSPLTVATGASSPARPATPLVPCSTTPPPPPPRPPSRP KLPPGKPGVGDVSRPFSPPIHSSSPPPIAPLARAESTSSISSTNSLSAATTPTVENEQPS LVWFDRGKFYLTFEGSSRGPSPLTMGAQDTLPVAAAFTETVNAYFKGADPSKCIVKITGE MVLSFPAGITRHFANNPSPAALTFRVVNSSRLEHVLPNPQLLCCDNTQNDANTKEFWVNM PNLMTHLKKVSEQKPQATYYNVDMLKYQVSAQGIQSTPLNLAVNWRCEPASTDLRIDYKY NTDAMSTAVALNNVQFLVPIDGGVTKLQAVLPPAVWNAEQQRILWKIPDISQKSENGGVG SLLARFQLSEGPSKPSPLVVQFTSEGSTLSGCDIELVGAGYRFSLIKKRFAAGKYLADN >ENSMUSP00000072301.5 pep:known chromosome:GRCm38:4:102760345:102971863:1 gene:ENSMUSG00000028524.21 transcript:ENSMUST00000072481.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgip1 description:SH3-domain GRB2-like (endophilin) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1920344] MMEGLKKRTRKAFGIRKKEKDTDSTGSPDRDGMPSPHEPPYHSKAECAREGGKKASKKSN GAPNGFYAEIDWERYNSPELDEEGYSIRPEEPGSTKGKHFYSSSESEEEEESHKKFNIKI KPLQSKDVLKNAATVDELKASIGNIALSPSPVRKSPRRSPGAIKRNLSSEEVARPRRSTP TPELTSKKPLDDTLALAPLFGPPLESAFDEQKTEVLLDQPEIWGSGQPMNPSTESPELAR PFPTGTPPPLPPKTVPATPPRTGSPLTVATGASSPARPATPLVPCSTTPPPPPPRPPSRP KLPPGKPGVGDVSRPFSPPIHSSSPPPIAPLARAESTSSISSTNSLSAATTPTVGSSRGP SPLTMGAQDTLPVAAAFTETVNAYFKGADPSKCIVKITGEMVLSFPAGITRHFANNPSPA ALTFRVVNSSRLEHVLPNPQLLCCDNTQNDANTKEFWVNMPNLMTHLKKVSEQKPQATYY NVDMLKYQVSAQGIQSTPLNLAVNWRCEPASTDLRIDYKYNTDAMSTAVALNNVQFLVPI DGGVTKLQAVLPPAVWNAEQQRILWKIPDISQKSENGGVGSLLARFQLSEGPSKPSPLVV QFTSEGSTLSGCDIELVGAGYRFSLIKKRFAAGKYLADN >ENSMUSP00000115075.1 pep:known chromosome:GRCm38:4:102760352:102869808:1 gene:ENSMUSG00000028524.21 transcript:ENSMUST00000156596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgip1 description:SH3-domain GRB2-like (endophilin) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1920344] MMEGLKKRTRKAFGIRKKEKDTDSTGSPDRDGMKKSNGAPNGFYAEIDWERYNSPELDEE GYSIRPEEPG >ENSMUSP00000079553.6 pep:known chromosome:GRCm38:4:102760363:102971177:1 gene:ENSMUSG00000028524.21 transcript:ENSMUST00000080728.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgip1 description:SH3-domain GRB2-like (endophilin) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1920344] MMEGLKKRTRKAFGIRKKEKDTDSTGSPDRDGMQPSPHEPPYHSKAECAREGGKKASKKS NGAPNGFYAEIDWERYNSPELDEEGYSIRPEEPGSTKGKHFYSSSESEEEEESHKKFNIK IKPLQSKDVLKNAATVDELKASIGNIALSPSPVRKSPRRSPGAIKRNLSSEEVARPRRST PTPELTSKKPLDDTLALAPLFGPPLESAFDEQKTEVLLDQPEIWGSGQPMNPSTESPELA RPFPTGTPPPLPPKTVPATPPRTGSPLTVATGNDQAATEAKIEKLPSISDLDSIFGPVLS PKSVAVNTEEKWVHFSDASPEHVTPELTPREQVVTPPAASDIPADSPAPAPPGPTGSAGP PGPPGPRHVPSPLNLEEVQKKVAEQTFIKDDYLETLSSPKECGLGQRATPPPPPPPTYRT VVSSPGPGSGSGTGTTSGASSPARPATPLVPCSTTPPPPPPRPPSRPKLPPGKPGVGDVS RPFSPPIHSSSPPPIAPLARAESTSSISSTNSLSAATTPTVGSSRGPSPLTMGAQDTLPV AAAFTETVNAYFKGADPSKCIVKITGEMVLSFPAGITRHFANNPSPAALTFRVVNSSRLE HVLPNPQLLCCDNTQNDANTKEFWVNMPNLMTHLKKVSEQKPQATYYNVDMLKYQVSAQG IQSTPLNLAVNWRCEPASTDLRIDYKYNTDAMSTAVALNNVQFLVPIDGGVTKLQAVLPP AVWNAEQQRILWKIPDISQKSENGGVGSLLARFQLSEGPSKPSPLVVQFTSEGSTLSGCD IELVGAGYRFSLIKKRFAAGKYLADN >ENSMUSP00000139337.1 pep:known chromosome:GRCm38:4:102760465:102919120:1 gene:ENSMUSG00000028524.21 transcript:ENSMUST00000183855.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgip1 description:SH3-domain GRB2-like (endophilin) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1920344] MMEGLKKRTRKAFGIRKKEKDTDSTGSPDRDGMQPSPHEPPYHSKAECAREGGKKASKKS NGAPNGFYAEIDWERYNSPELDEEGYSIRPEEPGSTKGKHFYSSSESEEEEESHKKFNIK IKPLQSKDVLKNAATVDELKASIGNIALSPSPVVKKLQDPGVPPQLQNLQARSLWMTLWP LLPSLVHH >ENSMUSP00000102495.2 pep:known chromosome:GRCm38:4:102760525:102973628:1 gene:ENSMUSG00000028524.21 transcript:ENSMUST00000106882.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgip1 description:SH3-domain GRB2-like (endophilin) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1920344] MMEGLKKRTRKAFGIRKKEKDTDSTGSPDRDGMQPSPHEPPYHSKAECAREGGKKASKKS NGAPNGFYAEIDWERYNSPELDEEGYSIRPEEPGSTKGKHFYSSSESEEEEESHKKFNIK IKPLQSKDVLKNAATVDELKASIGNIALSPSPVRKSPRRSPGAIKRNLSSEEVARPRRST PTPELTSKKPLDDTLALAPLFGPPLESAFDEQKTEVLLDQPEIWGSGQPMNPSTESPELA RPFPTGTPPPLPPKTVPATPPRTGSPLTVATGNDQAATEAKIEKLPSISDLDSIFGPVLS PKSVAVNTEEKWVHFSDASPEHVTPELTPREQVVTPPAASDIPADSPAPAPPGPTGSAGP PGPPGPRHVPSPLNLEEVQKKVAEQTFIKDDYLETLSSPKECGLGQRATPPPPPPPTYRT VVSSPGPGSGSGTGTTSGASSPARPATPLVPCSTTPPPPPPRPPSRPKLPPGKPGVGDVS RPFSPPIHSSSPPPIAPLARAESTSSISSTNSLSAATTPTVENEQPSLVWFDRGKFYLTF EGSSRGPSPLTMGAQDTLPVAAAFTETVNAYFKGADPSKCIVKITGEMVLSFPAGITRHF ANNPSPAALTFRVVNSSRLEHVLPNPQLLCCDNTQNDANTKEFWVNMPNLMTHLKKVSEQ KPQATYYNVDMLKYQVSAQGIQSTPLNLAVNWRCEPASTDLRIDYKYNTDAMSTAVALNN VQFLVPIDGGVTKLQAVLPPAVWNAEQQRILWKIPDISQKSENGGVGSLLARFQLSEGPS KPSPLVVQFTSEGSTLSGCDIELVGAGYRFSLIKKRFAAGKYLADN >ENSMUSP00000122556.1 pep:known chromosome:GRCm38:4:102870564:102970603:1 gene:ENSMUSG00000028524.21 transcript:ENSMUST00000149547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgip1 description:SH3-domain GRB2-like (endophilin) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1920344] XFYSSSESEEEEESHKKFNIKIKPLQSKDVLKNAATVDELKASIGNIALSPSPVGAIKRN LSSEEVARPRRSTPTPELTSKKPLDDTLALAPLFGPPLESAFDEQKTEVLLDQPEIWGSG QPMNPSTESPELARPFPTGTPPPLPPKTVPATPPRTGSPLTVATGASSPARPATPLVPCS TTPPPPPPRPPSRPKLPPGKPGVGDVSRPFSPPIHSSSPPPIAPLARAESTSSISSTNSL SAATTPTVVSEDDVFYDKLPSFERRCDTPAENEQPSLVWFDRGKFYLTFEGSSRGPSPLT MGAQDTLPVAAAFTETVNAYFKGADPSKCIVKITGEMVLSFPAGITRHFANNPSPAALTF RVVNSSRLEHVLPNPQLLCCDNTQNDANTKEFWVNMPNLMTHLKKVSEQKPQATYYNVDM LKYQVSAQGIQSTPLNLAVNWRCEPASTDLRIDYKYNTDAMSTAVALNNVQFLVPIDGGV TKLQAVLPPAVWNAEQQRILWKIPDISQKSENGGVGSLLARFQLSEGPSKPSPLVVQFTS EGSTLSGCDIELVGAGYRFSLIKKRFAAGKYLADN >ENSMUSP00000120524.1 pep:known chromosome:GRCm38:8:36457548:36512956:1 gene:ENSMUSG00000039620.16 transcript:ENSMUST00000135373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430573F11Rik description:RIKEN cDNA 6430573F11 gene [Source:MGI Symbol;Acc:MGI:2442328] MDPEAVELEKRHVHSVYENTAPYFTDLQSKAWPRVRQFLQDQKPGSLVADIGCGTGKYLK VNSQVHTLGCDYCGPLVEIARNRGCEVMVCDNLNLPFRDQGFDAIISIGAISGGSLKVLT YADPLF >ENSMUSP00000119912.1 pep:known chromosome:GRCm38:8:36457574:36514320:1 gene:ENSMUSG00000039620.16 transcript:ENSMUST00000147525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430573F11Rik description:RIKEN cDNA 6430573F11 gene [Source:MGI Symbol;Acc:MGI:2442328] MDPEAVELEKRHVHSVYENTAPYFTDLQSKAWPRVRQFLQDQKPGSLVADIGCGTGKYLK VNSQVHTLGCDYCGPLVEIARNRGCEVMVCDNLNLPFRDQGFDAIISIGVIHHFSTKERR IRAIKEMARVLAPGGQLMIYVWAMEQKNRRFEKQDVLVPWNRALCSRLLSESHQSWGHHC EHPRSRGFQGPGSVCGCAVCFKGRCDSKRSHSMDYGSAVARTCCEAISKEGERENGLYSN FGKSFRSWFFSRSLDESTLRKQIERVRPMKIPEAWANSTVSQQPSRHPSLDLHAPEPFST KGPNLDEVFVDTSSQRHLGWLRTPGTSDNFSGHKGGGSRRKEGGNFLDITDTGDSVAASN SSDPSARKILRRVSAFDSNDSNSEDSSFLEAQRDATDSKAFMRYYHVFREGELSSLLQES VSELQVLSSGNDHGNWCIIAEKKRSWD >ENSMUSP00000119288.2 pep:known chromosome:GRCm38:8:36458182:36511591:1 gene:ENSMUSG00000039620.16 transcript:ENSMUST00000152039.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:6430573F11Rik description:RIKEN cDNA 6430573F11 gene [Source:MGI Symbol;Acc:MGI:2442328] MDPEAVELEKRHVHSVYENTAPYFTDLQSKAWPRVRQFLQDQKPGSLVADIGCGTGKYLK VNSQVHTLGCDYCGPLVEIARNRGCEVMVCDNLNLPFRDQGFDAIISIGG >ENSMUSP00000127875.1 pep:known chromosome:GRCm38:8:36489191:36513013:1 gene:ENSMUSG00000039620.16 transcript:ENSMUST00000171777.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430573F11Rik description:RIKEN cDNA 6430573F11 gene [Source:MGI Symbol;Acc:MGI:2442328] MDPEAVELEKRHVHSVYENTAPYFTDLQSKAWPRVRQFLQDQKPGSLVADIGCGTGKYLK VNSQVHTLGCDYCGPLVEIARNRGCEVMVCDNLNLPFRDQGFDAIISIGVIHHFSTKERR IRAIKEMARVLAPGGQLMIYVWAMEQKNRRFEKQDVLVPWNRALCSRLLSESHQSWGHHC EHPRSRGFQGPGSVCGCAVCFKGRCDSKRSHSMDYGSAVARTCCEAISKEGERENGLYSN FGKSFRSWFFSRSLDESTLRKQIERVRPMKIPEAWANSTVSQQPSRHPSLDLHAPEPFST KGPNLDEVFVDTSSQRHLGWLRTPGTSDNFSGHKGGGSRRKEGGNFLDITDTGDSVAASN SSDPSARKILRRVSAFDSNDSNSEDSSFLEAQRDATDSKAFMRYYHVFREGELSSLLQES VSELQVLSSGNDHGNWCIIAEKKRSWD >ENSMUSP00000018905.5 pep:known chromosome:GRCm38:11:69656697:69662642:-1 gene:ENSMUSG00000018761.14 transcript:ENSMUST00000018905.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdu1 description:mannose-P-dolichol utilization defect 1 [Source:MGI Symbol;Acc:MGI:1346040] MAGEADGPFKGLLVPILLPEKCYDQLFVQWDLLHVPCLKILLSKGLGLGIVAGSLLVKLP QVFKLLGAKSAEGLSLQSVMLELVALTGTVVYSITNNFPFSSWGEALFLTLQTVAICFLV MHYRGETVKGVAFLACYAMVLLALLSPLTPLAVVTLLQASNVPAVVVGKLLQAATNYRNG HTGQLSAITVFMLFGGSLARIFTSVQETGDPLMAGVFVVSSLCNGLIAAQVLFYWNAKAP HKQKKEQ >ENSMUSP00000120001.1 pep:known chromosome:GRCm38:11:69656961:69662564:-1 gene:ENSMUSG00000018761.14 transcript:ENSMUST00000129224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdu1 description:mannose-P-dolichol utilization defect 1 [Source:MGI Symbol;Acc:MGI:1346040] XEADGPFKGLLVPILLPEKCYDQLFVQWDLLHVPCLKILLSKGLGLGIVAGSLLVKLPQV FKLLGAKSAEGLSLQSVMLELVALTGTVVYSITNNFPFSCFRQPLTTATDTQASFQPLQC LCCLGAPWPESSLLFRKLETPSWLESLWSLLSAMASLLPRSSSTGTQRLPTNRKRSNRAE LASRTIPFPLILRVLPT >ENSMUSP00000133074.1 pep:known chromosome:GRCm38:11:69657027:69662587:-1 gene:ENSMUSG00000018761.14 transcript:ENSMUST00000148242.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpdu1 description:mannose-P-dolichol utilization defect 1 [Source:MGI Symbol;Acc:MGI:1346040] MAGEADGPFKGLLVPILLPEKCYDQLFVQWDLLHVPCLKILLSKGLGLGIVAGSLLVKLP QVFKLLGAKSAEGLSLQSVMLELVALTGTVVYSITNNFPFRPAFFLPPLDSAALGVKRCS >ENSMUSP00000117715.1 pep:known chromosome:GRCm38:11:69657154:69662587:-1 gene:ENSMUSG00000018761.14 transcript:ENSMUST00000155200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdu1 description:mannose-P-dolichol utilization defect 1 [Source:MGI Symbol;Acc:MGI:1346040] MAGEADGPFKGLLVPILLPEKCYDQLFVQWDLLHVPCLKILLSKGLGLGIVAGSLLVKLP QVFKLLGAKSAEGLSLQSVMLELVALTGTVVYSITNNFPFSSWGEALFLTLQTVAICFLV MHYRGETVKGAIELSSLLEQFHFHSSSES >ENSMUSP00000129025.1 pep:known chromosome:GRCm38:11:69657162:69662518:-1 gene:ENSMUSG00000018761.14 transcript:ENSMUST00000125389.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpdu1 description:mannose-P-dolichol utilization defect 1 [Source:MGI Symbol;Acc:MGI:1346040] XPEKCYDQLFVQWDLLHVPCLKILLSKGLGLGIVAGSLLVKLPQVFKLLGAKSAEGLSLQ SVMLELVALTGTVVYSITNNFPFRSRFPCLLCHGPAGAALPAHASGCSHSAPGLQCTCRG GGEVASGSH >ENSMUSP00000033626.8 pep:known chromosome:GRCm38:X:139079257:139085215:-1 gene:ENSMUSG00000031271.14 transcript:ENSMUST00000033626.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina7 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 7 [Source:MGI Symbol;Acc:MGI:3041197] MCYHLHSRMSVFFYLFVLVFGLQATIHCAPHNSSEGKVTTCHLPQQNATLYKMPSINADF AFSLYRRLSVENPDLNIFFSPVSISVALAMLSFGSGSSTQTQILEVLGFNLTDTPVTELQ QGFQHLICSLNFPKNELELQMGNAVFIGQQLKPLAKFLDDVKTLYETEVFSTDFSNVSAA QHKINSYVEKQTKGKIVGLIQGLKLNIIMILVNYIHFRAQWANPFRVSKTEESSNFSVDK STTVQVPMMHQLEQYYHYVDMELNCTVLQMDYSENALALFVLPKEGHMEWVEAAMSSKTL KKWNYLLQKGWVELFVPKFSISATYDLGSTLQKMGMRDAFAESADFPGITEDSGLKLSYA FHKAVLHIGEEGTKEGASPEVGSLDQQEVPPLHPVIRLDRAFLLMILEKRTRSVLFLGKL VNPTKQ >ENSMUSP00000056166.2 pep:known chromosome:GRCm38:X:139079257:139085217:-1 gene:ENSMUSG00000031271.14 transcript:ENSMUST00000060824.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina7 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 7 [Source:MGI Symbol;Acc:MGI:3041197] MQVASWACATCHWSLVRRETTAPYFLLNTPSNTCFSFINQAQHKINSYVEKQTKGKIVGL IQGLKLNIIMILVNYIHFRAQWANPFRVSKTEESSNFSVDKSTTVQVPMMHQLEQYYHYV DMELNCTVLQMDYSENALALFVLPKEGHMEWVEAAMSSKTLKKWNYLLQKGWVELFVPKF SISATYDLGSTLQKMGMRDAFAESADFPGITEDSGLKLSYAFHKAVLHIGEEGTKEGASP EVGSLDQQEVPPLHPVIRLDRAFLLMILEKRTRSVLFLGKLVNPTKQ >ENSMUSP00000120672.1 pep:known chromosome:GRCm38:X:139083486:139085236:-1 gene:ENSMUSG00000031271.14 transcript:ENSMUST00000152457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpina7 description:serine (or cysteine) peptidase inhibitor, clade A (alpha-1 antiproteinase, antitrypsin), member 7 [Source:MGI Symbol;Acc:MGI:3041197] MSVFFYLFVLVFGLQATIHCAPHNSSEGKVTTCHLPQQNATLYKMPSINADFAFSLYRRL SVENPDLNIFFS >ENSMUSP00000124531.1 pep:known chromosome:GRCm38:6:104492790:104642949:1 gene:ENSMUSG00000030092.14 transcript:ENSMUST00000161446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn6 description:contactin 6 [Source:MGI Symbol;Acc:MGI:1858223] MRLLWKLVILLPLINSCAGEGRFSRPIFIQEPQDVI >ENSMUSP00000124714.1 pep:known chromosome:GRCm38:6:104492811:104863248:1 gene:ENSMUSG00000030092.14 transcript:ENSMUST00000161070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn6 description:contactin 6 [Source:MGI Symbol;Acc:MGI:1858223] MTYHYRLDGGSLAISSPRTDQDIGIYQCLATNPVGTILSRKAKLQFAYIEDFETKTRSTV SVREGQGVVLLCGPPPHFGELSYAWTFNDSPLYVQEDKRRFVSQDTGNLYFAKVEPSDVG NYTCFVTNKEAHRSVQGPPTPLVLRTDGVMGEYEPKIEVRFPETIQAAKDSSIKLECFAL GNPVPDISWKRLDGSPMPGKIKYSKSQAILEIPKFQQEDEGFYECIAGNLRGRNLAKGQL IFYAPPEWEQKIQNTYLSIYDSLFWECKASGNPNPSYTWLKNGQRLNTEERIQIENGTLI ITMLNISDSGIYQCAAENKYQTIYANAELRVLASAPDFSKNPIKKISVVQVGGDISIECK PNAFPKASISWKRGTENLKQSKRVLFLEDGSLKICNVTRADAGSYTCVATNQFGNGKSSG GLVVKERTIITVPPSKMDVTVGESIVLPCQVSHDPTMEVLFVWYFNGDIIDLKKGVAHFE RIGGESVGDLMIRNIQLGHSGKYLCTVQTTLERLSAVADIIVRGPPGPPEDVKVEHISST TSQLSWRPGPDNNSPIQIFTIQTRTPFSVGWQAVATVPEILNGQTYNATVVGLSPWVEYE FRVVAGNNIGIGEPSKPSELLRTKASVPNVAPGNINGGGGSRSELVITWEAIPEELQNGE GFGYIVMFRPVGTTAWMKERVALVESSKFIYRNESIMPLSPFEVKVGVYNNEGEGSLSTV TIVYSGEDEPQLAPRGTSVQSFSASEMEVSWNAIAWNRNTGRVLGYEVLYWTDNSKESMI GKIRVSGNVTTKNITGLRANTIYFASVRAYNTAGTGPSSLPVNVTTKKSPPSQPPANIAW KLSNSKLCLNWEHVKTMENESEVLGYKILYRQNRQSKTHILETNNTSAELLVPFEEDYLI EIRTVSDGGDGSSSEEIRIPKMSSLSSTGVQISKPSTQSLSMVGVFYCFAIHPLSR >ENSMUSP00000086623.5 pep:known chromosome:GRCm38:6:104492922:104863406:1 gene:ENSMUSG00000030092.14 transcript:ENSMUST00000089215.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn6 description:contactin 6 [Source:MGI Symbol;Acc:MGI:1858223] MRLLWKLVILLPLINSCAGEGRFSRPIFIQEPQDVIFPLDLSRSEIILTCTANGYPSPHY RWKQNGTDIDFGMTYHYRLDGGSLAISSPRTDQDIGIYQCLATNPVGTILSRKAKLQFAY IEDFETKTRSTVSVREGQGVVLLCGPPPHFGELSYAWTFNDSPLYVQEDKRRFVSQDTGN LYFAKVEPSDVGNYTCFVTNKEAHRSVQGPPTPLVLRTDGVMGEYEPKIEVRFPETIQAA KDSSIKLECFALGNPVPDISWKRLDGSPMPGKIKYSKSQAILEIPKFQQEDEGFYECIAG NLRGRNLAKGQLIFYAPPEWEQKIQNTYLSIYDSLFWECKASGNPNPSYTWLKNGQRLNT EERIQIENGTLIITMLNISDSGIYQCAAENKYQTIYANAELRVLASAPDFSKNPIKKISV VQVGGDISIECKPNAFPKASISWKRGTENLKQSKRVLFLEDGSLKICNVTRADAGSYTCV ATNQFGNGKSSGGLVVKERTIITVPPSKMDVTVGESIVLPCQVSHDPTMEVLFVWYFNGD IIDLKKGVAHFERIGGESVGDLMIRNIQLGHSGKYLCTVQTTLERLSAVADIIVRGPPGP PEDVKVEHISSTTSQLSWRPGPDNNSPIQIFTIQTRTPFSVGWQAVATVPEILNGQTYNA TVVGLSPWVEYEFRVVAGNNIGIGEPSKPSELLRTKASVPNVAPGNINGGGGSRSELVIT WEAIPEELQNGEGFGYIVMFRPVGTTAWMKERVALVESSKFIYRNESIMPLSPFEVKVGV YNNEGEGSLSTVTIVYSGEDEPQLAPRGTSVQSFSASEMEVSWNAIAWNRNTGRVLGYEV LYWTDNSKESMIGKIRVSGNVTTKNITGLRANTIYFASVRAYNTAGTGPSSLPVNVTTKK SPPSQPPANIAWKLSNSKLCLNWEHVKTMENESEVLGYKILYRQNRQSKTHILETNNTSA ELLVPFEEDYLIEIRTVSDGGDGSSSEEIRIPKMSSLSSTGVQISKPSTQSLSMVGVFYC FAIHPLSR >ENSMUSP00000124025.1 pep:known chromosome:GRCm38:6:104493248:104863401:1 gene:ENSMUSG00000030092.14 transcript:ENSMUST00000162872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn6 description:contactin 6 [Source:MGI Symbol;Acc:MGI:1858223] MRLLWKLVILLPLINSCAGEGRFSRPIFIQEPQDVIFPLDLSRSEIILTCTANGYPSPHY RWKQNGTDIDFGMTYHYRLDGGSLAISSPRTDQDIGIYQCLATNPVGTILSRKAKLQFAY IEDFETKTRSTVSVREGQGVVLLCGPPPHFGELSYAWTFNDSPLYVQEDKRRFVSQDTGN LYFAKVEPSDVGNYTCFVTNKEAHRSVQGPPTPLVLRTDGVMGEYEPKIEVRFPETIQAA KDSSIKLECFALGNPVPDISWKRLDGSPMPGKIKYSKSQAILEIPKFQQEDEGFYECIAG NLRGRNLAKGQLIFYAPPEWEQKIQNTYLSIYDSLFWECKASGNPNPSYTWLKNGQRLNT EERIQIENGTLIITMLNISDSGIYQCAAENKYQTIYANAELRVLASAPDFSKNPIKKISV VQVGGDISIECKPNAFPKASISWKRGTENLKQSKRVLFLEDGSLKICNVTRADAGSYTCV ATNQFGNGKSSGGLVVKERTIITVPPSKMDVTVGESIVLPCQVSHDPTMEVLFVWYFNGD IIDLKKGVAHFERIGGESVGDLMIRNIQLGHSGKYLCTVQTTLERLSAVADIIVRGPPGP PEDVKVEHISSTTSQLSWRPGPDNNSPIQIFTIQTRTPFSVGWQAVATVPEILNGQTYNA TVVGLSPWVEYEFRVVAGNNIGIGEPSKPSELLRTKASVPNVAPGNINGGGGSRSELVIT WEAIPEELQNGEGFGYIVMFRPVGTTAWMKERVALVESSKFIYRNESIMPLSPFEVKVGV YNNEGEGSLSTVTIVYSGEDEPQLAPRGTSVQSFSASEMEVSWNAIAWNRNTGRVLGYEV LYWTDNSKESMIGKIRVSGNVTTKNITGLRANTIYFASVRAYNTAGTGPSSLPVNVTTKK SPPSQPPANIAWKLSNSKLCLNWEHVKTMENESEVLGYKILYRQNRQSKTHILETNNTSA ELLVPFEEDYLIEIRTVSDGGDGSSSEEIRIPKMSSLSSTGVQISKPSTQSLSMVGVFYC FAIHPLSR >ENSMUSP00000117263.1 pep:known chromosome:GRCm38:6:80018877:80810143:1 gene:ENSMUSG00000052581.13 transcript:ENSMUST00000147663.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm4 description:leucine rich repeat transmembrane neuronal 4 [Source:MGI Symbol;Acc:MGI:2389180] MPGFRLITQLKGMSVFLVLFPTLLLVMLTGAQRACPKNCRCDGKIVYCESHAFADIPENI SGGSQGLSLRFNSIQKLKSNQFAGLNQLIWLYLDHNYISSVDEDAFQGIRRLKELILSSN KITYLHNKTFHPVPNLRNLDLSYNKLQTLQSEQFKGLRKLIILHLRSNSLKTVPIRVFQD CRNLDFLDLGYNRLRSLSRNAFAGLLKLKELHLEHNQFSKINFAHFPRLFNLRSIYLQWN RIRSVSQGLTWTWSSLHTLDLSGNDIQAIEPGTFKCLPNLQKLNLDSNKLTNVSQETVNA WISLISITLSGNMWECSRSICPLFYWLKNFKGNKESTMICAGPKHIQGEKVSDAVETYNI CSDVQVVNTERSHLAPQTPQKPPFIPKPTIFKPDAVPATLEAVSPSPGFQIPGTDHEYEH VSFHKIIAGSVALFLSVAMILLVIYVSWKRYPASMKQLQQHSLMKRRRKKARESERQMNS PLQEYYVDYKPTNSETMDISVNGSGPCTYTISGSRECEIPHHVKPLPYYSYDQPVIGYCQ AHQPLHINKAYEAVSIEQDDSPSLELGRDHSFIATIARSAAPAIYLERITN >ENSMUSP00000114425.1 pep:known chromosome:GRCm38:6:80018888:80021756:1 gene:ENSMUSG00000052581.13 transcript:ENSMUST00000128718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm4 description:leucine rich repeat transmembrane neuronal 4 [Source:MGI Symbol;Acc:MGI:2389180] MGFRLITQLKGMSVFLVLFPTLLLVMLTGAQRACPKNCRCDGKIVYCES >ENSMUSP00000117445.1 pep:known chromosome:GRCm38:6:80018918:80024924:1 gene:ENSMUSG00000052581.13 transcript:ENSMUST00000126005.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm4 description:leucine rich repeat transmembrane neuronal 4 [Source:MGI Symbol;Acc:MGI:2389180] MGFRLITQLKGMSVFLVLFPTLLLVMLTGAQRACPKNCRCDGKIVYCESHAFADIPENIS GGSQGLSLRFNSIQKLKSNQFAGLNQLIWLYLDHNYISSVDEDAFQGIRRLKELILSSNK ITYLHNKTFHPVPNLRNLDLSYNKLQTLQSEQFKGLRKLIILHLRSNSLKTVPIRVFQDC RNLDFLDLGYNRLRSLSRNAFAGLLKLKELHLEHNQFSKINFAHFPRLFNLRSIYLQWNR IRSVSQGLTWTWSSLHTLDLSGNDIQAIEPGTFKCLPNLQKLNLDSNKLTNVSQETVNAW ISLISITLSGNMWECSRSICPLFYWLKNFKGNKESTMICAGPKHIQGEKVSDAVETYNIC SDVQVVNTERSHLAPQTPQKPPFIPKPTIFKPDAVPATLEAVSPSPGFQIPGTDHEYEHV SFHKIIAGSVALFLSVAMILLVIYVSWKRYPASMKQLQQHSLMKRRRKKARESERQMNSP LQEYYVDYKPTNSETMDISVNGSGPCTYTISGSRECEV >ENSMUSP00000115016.1 pep:known chromosome:GRCm38:6:80018918:80809323:1 gene:ENSMUSG00000052581.13 transcript:ENSMUST00000133918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm4 description:leucine rich repeat transmembrane neuronal 4 [Source:MGI Symbol;Acc:MGI:2389180] MGFRLITQLKGMSVFLVLFPTLLLVMLTGAQRACPKNCRCDGKIVYCESHAFADIPENIS GGSQGLSLRFNSIQKLKSNQFAGLNQLIWLYLDHNYISSVDEDAFQGIRRLKELILSSNK ITYLHNKTFHPVPNLRNLDLSYNKLQTLQSEQFKGLRKLIILHLRSNSLKTVPIRVFQDC RNLDFLDLGYNRLRSLSRNAFAGLLKLKELHLEHNQFSKINFAHFPRLFNLRSIYLQWNR IRSVSQGLTWTWSSLHTLDLSGNDIQAIEPGTFKCLPNLQKLNLDSNKLTNVSQETVNAW ISLISITLSGNMWECSRSICPLFYWLKNFKGNKESTMICAGPKHIQGEKVSDAVETYNIC SDVQVVNTERSHLAPQTPQKPPFIPKPTIFKPDAVPATLEAVSPSPGFQIPGTDHEYEHV SFHKIIAGSVALFLSVAMILLVIYVSWKRYPASMKQLQQHSLMKRRRKKARESERQMNSP LQEYYVDYKPTNSETMDISVNGSGPCTYTISGSRECEIPHHVKPLPYYSYDQPVIGYCQA HQPLHINKAYEAVSIEQDDSPSLELGRDHSFIATIARSAAPAIYLERITN >ENSMUSP00000121124.1 pep:known chromosome:GRCm38:6:80019011:80810141:1 gene:ENSMUSG00000052581.13 transcript:ENSMUST00000126399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm4 description:leucine rich repeat transmembrane neuronal 4 [Source:MGI Symbol;Acc:MGI:2389180] MGFRLITQLKGMSVFLVLFPTLLLVMLTGAQRACPKNCRCDGKIVYCESHAFADIPENIS GGSQGLSLRFNSIQKLKSNQFAGLNQLIWLYLDHNYISSVDEDAFQGIRRLKELILSSNK ITYLHNKTFHPVPNLRNLDLSYNKLQTLQSEQFKGLRKLIILHLRSNSLKTVPIRVFQDC RNLDFLDLGYNRLRSLSRNAFAGLLKLKELHLEHNQFSKINFAHFPRLFNLRSIYLQWNR IRSVSQGLTWTWSSLHTLDLSGNDIQAIEPGTFKCLPNLQKLNLDSNKLTNVSQETVNAW ISLISITLSGNMWECSRSICPLFYWLKNFKGNKESTMICAGPKHIQGEKVSDAVETYNIC SDVQVVNTERSHLAPQTPQKPPFIPKPTIFKPDAVPATLEAVSPSPGFQIPGTDHEYEHV SFHKIIAGSVALFLSVAMILLVIYVSWKRYPASMKQLQQHSLMKRRRKKARESERQMNSP LQEYYVDYKPTNSETMDISVNGSGPCTYTISGSRECEIPHHVKPLPYYSYDQPVIGYCQA HQPLHINKAYEAVSIEQDDSPSLELGRDHSFIATIARSAAPAIYLERITN >ENSMUSP00000121621.1 pep:known chromosome:GRCm38:6:80019048:80025909:1 gene:ENSMUSG00000052581.13 transcript:ENSMUST00000136421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm4 description:leucine rich repeat transmembrane neuronal 4 [Source:MGI Symbol;Acc:MGI:2389180] MPGFRLITQLKGMSVFLVLFPTLLLVMLTGAQRACPKNCRCDGKIVYCESHAFADIPENI SGGSQGLSLRFNSIQKLKSNQFAGLNQLIWLYLDHNYISSVDEDAFQGIRRLKELILSSN KITYLHNKTFHPVPNLRNLDLSYNKLQTLQSEQFKGLRKLIILHLRSNSLKTVPIRVFQD CRNLDFLDLGYNRLRSLSRNAFAGLLKLKELHLEHNQFSKINFAHFPRLFNLRSIYLQWN RIRSVSQGLTWTWSSLHTLDLSGNDIQAIEPGTFKCLPNLQKLNLDSNKLTNVSQETVNA WISLISITLSGNMWECSRSICPLFYWLKNFKGNKESTMICAGPKHIQGEKVSDAVETYNI CSDVQVVNTERSHLAPQTPQKPPFIPKPTIFKPDAVPATLEAVSPSPGFQIPGTDHEYEH VSFHKIIAGSVALFLSVAMILLVIYVSWKRYPASMKQLQQHSLMKRRRKKARESERQMNS PLQEYYVDYKPTNSETMDISVNGSGPCTYTISGSRECEV >ENSMUSP00000114465.1 pep:known chromosome:GRCm38:6:80019342:80021923:1 gene:ENSMUSG00000052581.13 transcript:ENSMUST00000145407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm4 description:leucine rich repeat transmembrane neuronal 4 [Source:MGI Symbol;Acc:MGI:2389180] MGFRLITQLKGMSVFLVLFPTLLLVMLTGAQRACPKNCRCDGKIVYCESHAFADIPENIS GGSQGLSLRFNSIQKLKSNQFAGLNQLIWLYLDHNYISSVDEDA >ENSMUSP00000074232.4 pep:known chromosome:GRCm38:6:80019643:80024932:1 gene:ENSMUSG00000052581.13 transcript:ENSMUST00000074662.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm4 description:leucine rich repeat transmembrane neuronal 4 [Source:MGI Symbol;Acc:MGI:2389180] MGFRLITQLKGMSVFLVLFPTLLLVMLTGAQRACPKNCRCDGKIVYCESHAFADIPENIS GGSQGLSLRFNSIQKLKSNQFAGLNQLIWLYLDHNYISSVDEDAFQGIRRLKELILSSNK ITYLHNKTFHPVPNLRNLDLSYNKLQTLQSEQFKGLRKLIILHLRSNSLKTVPIRVFQDC RNLDFLDLGYNRLRSLSRNAFAGLLKLKELHLEHNQFSKINFAHFPRLFNLRSIYLQWNR IRSVSQGLTWTWSSLHTLDLSGNDIQAIEPGTFKCLPNLQKLNLDSNKLTNVSQETVNAW ISLISITLSGNMWECSRSICPLFYWLKNFKGNKESTMICAGPKHIQGEKVSDAVETYNIC SDVQVVNTERSHLAPQTPQKPPFIPKPTIFKPDAVPATLEAVSPSPGFQIPGTDHEYEHV SFHKIIAGSVALFLSVAMILLVIYVSWKRYPASMKQLQQHSLMKRRRKKARESERQMNSP LQEYYVDYKPTNSETMDISVNGSGPCTYTISGSRECEV >ENSMUSP00000052872.7 pep:known chromosome:GRCm38:11:120805846:120824547:-1 gene:ENSMUSG00000025153.9 transcript:ENSMUST00000055655.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fasn description:fatty acid synthase [Source:MGI Symbol;Acc:MGI:95485] MEEVVIAGMSGKLPESENLQEFWANLIGGVDMVTDDDRRWKAGLYGLPKRSGKLKDLSKF DASFFGVHPKQAHTMDPQLRLLLEVSYEAIVDGGINPASLRGTNTGVWVGVSGSEASEAL SRDPETLLGYSMVGCQRAMMANRLSFFFDFKGPSIALDTACSSSLLALQNAYQAIRSGEC PAALVGGINLLLKPNTSVQFMKLGMLSPDGTCRSFDDSGSGYCRSEAVVAVLLTKKSLAR RVYATILNAGTNTDGSKEQGVTFPSGEVQEQLICSLYQPAGLAPESLEYIEAHGTGTKVG DPQELNGITRSLCAFRQAPLLIGSTKSNMGHPEPASGLAALTKVLLSLEHGVWAPNLHFH NPNPEIPALLDGRLQVVDRPLPVRGGNVGINSFGFGGSNVHVILQPNTRQAPAPTAHAAL PHLLHASGRTLEAVQDLLEQGRQHSQDLAFVSMLNDIAATPTAAMPFRGYTVLGVEGRVQ EVQQVSTNKRPLWFICSGMGTQWRGMGLSLMRLDSFRESILRSDEAVKPLGVKVSDLLLS TDERTFDDIVHAFVSLTAIQIALIDLLTSVGLKPDGIIGHSLGEVACGYADGCLSQREAV LAAYWRGQCIKDAHLPPGSMAAVGLSWEECKQRCPAGVVPACHNSEDTVTISGPQAAVNE FVEQLKQEGVFAKEVRTGGLAFHSYFMEGIAPTLLQALKKVIREPRPRSARWLSTSIPEA QWQSSLARTSSAEYNVNNLVSPVLFQEALWHIPEHAVVLEIAPHALLQAVLKRGVKSSCT IIPLMKRDHKDNLEFFLTNLGKVHLTGINVNPNALFPPVEFPAPRGTPLISPHIKWDHSQ TWDVPVAEDFPNGSSSSSATVYSIDASPESPDHYLVDHCIDGRVIFPGTGYLCLVWKTLA RSLGLSLEETPVVFENVSFHQATILPKTGTVALEVRLLEASHAFEVSDTGNLIVSGKVYL WEDPNSKLFDHPEVPTPPESASVSRLTQGEVYKELRLRGYDYGPQFQGICEATLEGEQGK LLWKDNWVTFMDTMLQVSILGSSQQSLQLPTRVTAIYIDPATHRQKVYRLKEDTQVADVT TSRCLGITVSGGIHISRLQTTATSRRQQEQLVPTLEKFVFTPHMEAECLSESTALQKELQ LCKGLARALQTKATQQGLKAAMLGQEDPPQHGLPRLLAAACQLQLNGNLQLELGEALAQE RLLLPEDPLISGLLNSQALKACVDTALENLSTLKMKVAEVLAGEGHLYSRIPALLNTQPM LQLEYTATDRHPQALKDVQTKLQQHDVAQGQWNPSDPAPSSLGALDLLVCNCALATLGDP ALALDNMVAALKEGGFLLVHTVLKGHALGETLACLPSEVQPAPSLLSQEEWESLFSRKAL HLVGLKRSFYGTALFLCRRAIPQEKPIFLSVEDTSFQWVDSLKSTLATSSSQPVWLTAMD CPTSGVVGLVNCLRKEPGGHRIRCILLSNLSNTSHAPKLDPGSPELQQVLKHDLVMNVYR DGAWGAFRHFQLEQDKPKEQTAHAFVNVLTRGDLASIRWVSSPLKHTQPSSSGAQLCTVY YASLNFRDIMLATGKLSPDAIPGKWASRDCMLGMEFSGRDRCGRRVMGLVPAEGLATSVL LSSDFLWDVPSSWTLEEAASVPVVYTTAYYSLVVRGRIQRGETVLIHSGSGGVGQAAISI ALSLGCRVFTTVGSAEKRAYLQARFPQLDDTSFANSRDTSFEQHVLLHTGGKGVDLVLNS LAEEKLQASVRCLAQHGRFLEIGKFDLSNNHPLGMAIFLKNVTFHGILLDALFEEANDSW REVAALLKAGIRDGVVKPLKCTVFPKAQVEDAFRYMAQGKHIGKVLVQVREEEPEAVLPG AQPTLISAISKTFCPAHKSYIITGGLGGFGLELARWLVLRGAQRLVLTSRSGIRTGYQAK HIREWRRQGIQVLVSTSNVSSLEGARALIAEATKLGPVGGVFNLAMVLRDAMLENQTPEL FQDVNKPKYNGTLNLDRATREACPELDYFVAFSSVSCGRGNAGQTNYGFANSTMERICEQ RRHDGLPGLAVQWGAIGDVGIVLEAMGTNDTVIGGTLPQRISSCMEVLDLFLNQPHAVLS SFVLAEKKAVAHGDGDTQRDLVKAVAHILGIRDLAGINLDSTLADLGLDSLMGVEVRQIL EREHDLVLPMREVRQLTLRKLQEMSSKTDSATDTTAPKSRSDTSLKQNQLNLSTLLVNPE GPTLTQLNSVQSSERPLFLVHPIEGSTTVFHSLAAKLSVPTYGLQCTQAAPLDSIPNLAA YYIDCIKQVQPEGPYRIAGYSFGACVAFEMCSQLQAQQGPAPTHNNLFLFDGSHTYVLAY TQSYRAKMTPGCEAEAEAEALCFFIKQFLDVEHSKVLEALLPLKSLEDRVAASVDLITKS HHSLDRRELSFAAVSFYHKLRAADQYKPKAKYHGNVTLLRAKTGGTYGEDLGADYNLSQV CDGKVSVHIIEGDHRTLLEGSGLESIINIIHSSLAEPRVSVREG >ENSMUSP00000145585.1 pep:known chromosome:GRCm38:11:120807105:120824095:-1 gene:ENSMUSG00000025153.9 transcript:ENSMUST00000206589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fasn description:fatty acid synthase [Source:MGI Symbol;Acc:MGI:95485] MEEVVIAGMSGKLPESENLQEFWANLIGGVDMVTDDDRRWKAGLYGLPKRSGKLKDLSKF DASFFGVHPKQAHTMDPQLRLLLEVSYEAIVDGGINPASLRGTNTGVWVGVSGSEASEAL SRDPETLLGYSMVGCQRAMMANRLSFFFDFKGPSIALDTACSSSLLALQNAYQAIRSGEC PAALVGGINLLLKPNTSVQFMKLGMLSPDGTCRSFDDSGSGYCRSEAVVAVLLTKKSLAR RVYATILNAGTNTDGSKEQGVTFPSGEVQEQLICSLYQPAGLAPESLEYIEAHGTGTKVG DPQELNGITRSLCAFRQAPLLIGSTKSNMGHPEPASGLAALTKVLLSLEHGVWAPNLHFH NPNPEIPALLDGRLQVVDRPLPVRGGNVGINSFGFGGSNVHVILQPNTRQAPAPTAHAAL PHLLHASGRTLEAVQDLLEQGRQHSQDLAFVSMLNDIAATPTAAMPFRGYTVLGVEGRVQ EVQQVSTNKRPLWFICSGMGTQWRGMGLSLMRLDSFRESILRSDEAVKPLGVKVSDLLLS TDERTFDDIVHAFVSLTAIQIALIDLLTSVGLKPDGIIGHSLGEVACGYADGCLSQREAV LAAYWRGQCIKDAHLPPGSMAAVGLSWEECKQRCPAGVVPACHNSEDTVTISGPQAAVNE FVEQLKQEGVFAKEVRTGGLAFHSYFMEGIAPTLLQALKKVIREPRPRSARWLSTSIPEA QWQSSLARTSSAEYNVNNLVSPVLFQEALWHIPEHAVVLEIAPHALLQAVLKRGVKSSCT IIPLMKRDHKDNLEFFLTNLGKVHLTGINVNPNALFPPVEFPAPRGTPLISPHIKWDHSQ TWDVPVAEDFPNGSSSSSATVYSIDASPESPDHYLVDHCIDGRVIFPGTGYLCLVWKTLA RSLGLSLEETPVVFENVSFHQATILPKTGTVALEVRLLEASHAFEVSDTGNLIVSGKVYL WEDPNSKLFDHPEVPTPPESASVSRLTQGEVYKELRLRGYDYGPQFQGICEATLEGEQGK LLWKDNWVTFMDTMLQVSILGSSQQSLQLPTRVTAIYIDPATHRQKVYRLKEDTQVADVT TSRCLGITVSGGIHISRLQTTATSRRQQEQLVPTLEKFVFTPHMEAECLSESTALQKELQ LCKGLARALQTKATQQGLKAAMLGQEDPPQHGLPRLLAAACQLQLNGNLQLELGEALAQE RLLLPEDPLISGLLNSQALKACVDTALENLSTLKMKVVLAGEGHLYSRIPALLNTQPMLQ LEYTATDRHPQALKDVQTKLQQHDVAQGQWNPSDPAPSSLGALDLLVCNCALATLGDPAL ALDNMVAALKEGGFLLVHTVLKGHALGETLACLPSEVQPAPSLLSQEEWESLFSRKALHL VGLKRSFYGTALFLCRRAIPQEKPIFLSVEDTSFQWVDSLKSTLATSSSQPVWLTAMDCP TSGVVGLVNCLRKEPGGHRIRCILLSNLSNTSHAPKLDPGSPELQQVLKHDLVMNVYRDG AWGAFRHFQLEQDKPKEQTAHAFVNVLTRGDLASIRWVSSPLKHTQPSSSGAQLCTVYYA SLNFRDIMLATGKLSPDAIPGKWASRDCMLGMEFSGRDRCGRRVMGLVPAEGLATSVLLS SDFLWDVPSSWTLEEAASVPVVYTTAYYSLVVRGRIQRGETVLIHSGSGGVGQAAISIAL SLGCRVFTTVGSAEKRAYLQARFPQLDDTSFANSRDTSFEQHVLLHTGGKGVDLVLNSLA EEKLQASVRCLAQHGRFLEIGKFDLSNNHPLGMAIFLKNVTFHGILLDALFEEANDSWRE VAALLKAGIRDGVVKPLKCTVFPKAQVEDAFRYMAQGKHIGKVLVQVREEEPEAVLPGAQ PTLISAISKTFCPAHKSYIITGGLGGFGLELARWLVLRGAQRLVLTSRSGIRTGYQAKHI REWRRQGIQVLVSTSNVSSLEGARALIAEATKLGPVGGVFNLAMVLRDAMLENQTPELFQ DVNKPKYNGTLNLDRATREACPELDYFVAFSSVSCGRGNAGQTNYGFANSTMERICEQRR HDGLPGLAVQWGAIGDVGIVLEAMGTNDTVIGGTLPQRISSCMEVLDLFLNQPHAVLSSF VLAEKKAVAHGDGDTQRDLVKAVAHILGIRDLAGINLDSTLADLGLDSLMGVEVRQILER EHDLVLPMREVRQLTLRKLQEMSSKTDSATDTTAPKSRSDTSLKQNQLNLSTLLVNPEGP TLTQLNSVQSSERPLFLVHPIEGSTTVFHSLAAKLSVPTYGLQCTQAAPLDSIPNLAAYY IDCIKQVQPEGPYRIAGYSFGACVAFEMCSQLQAQQGPAPTHNNLFLFDGSHTYVLAYTQ SYRAKMTPGCEAEAEAEALCFFIKQFLDVEHSKVLEALLPLKSLEDRVAASVDLITKSHH SLDRRELSFAAVSFYHKLRAADQYKPKAKYHGNVTLLRAKTGGTYGEDLGADYNLSQVCD GKVSVHIIEGDHRTLLEGSGLESIINIIHSSLAEPRVSVREG >ENSMUSP00000146068.1 pep:known chromosome:GRCm38:11:120822772:120823780:-1 gene:ENSMUSG00000025153.9 transcript:ENSMUST00000205905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fasn description:fatty acid synthase [Source:MGI Symbol;Acc:MGI:95485] MEEVVIAGMSGKLPESENLQEFWA >ENSMUSP00000000449.7 pep:known chromosome:GRCm38:6:115601902:115622624:1 gene:ENSMUSG00000000439.9 transcript:ENSMUST00000000449.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkrn2 description:makorin, ring finger protein, 2 [Source:MGI Symbol;Acc:MGI:1914277] MSTKQVTCRYFMHGVCREGSQCLFSHDLANSKPSTICKYYQKGYCAYGARCRYDHTKPPA AAGGAVGPAPNPSPSSGLHSPHPSPDIATSVMRTHSNEPGKREKKTLVLRDRNLTGLAED KTPPSKVNNPGGCSDPQTSPEMKPHSYLDAIRTGLDDLEASSSYSNEPQLCPYAAAGECR FGDACVYLHGDMCEICRLQVLHPFDPEQRKAHEKMCMSTFEHEMEKAFAFQASQDKVCSI CMEVILEKASASERRFGILSNCSHTYCLSCIRQWRCAKQFENPIIKSCPECRVISEFVIP SVYWVEDQNKKNELIEAFKQGMGKKACKYFEQGKGTCPFGSKCLYRHAYPDGRLAEPEKP RKQLSSEGTVRFFNSVRLWDFIENRETRQVPSTDDVDVTELGDLFMHLSGVESSEP >ENSMUSP00000009392.4 pep:known chromosome:GRCm38:7:142966829:142969264:-1 gene:ENSMUSG00000009248.6 transcript:ENSMUST00000009392.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascl2 description:achaete-scute family bHLH transcription factor 2 [Source:MGI Symbol;Acc:MGI:96920] MEAHLDWYGVPGLQEASDACPRESCSSALPEAREGANVHFPPHPVPREHFSCAAPELVAG AQGLNASLMDGGALPRLMPTSSGVAGACAARRRQASPELLRCSRRRRSGATEASSSSAAV ARRNERERNRVKLVNLGFQALRQHVPHGGANKKLSKVETLRSAVEYIRALQRLLAEHDAV RAALAGGLLTPATPPSDECAQPSASPASASLSCASTSPSPDRLGCSEPTSPRSAYSSEES SCEGELSPMEQELLDFSSWLGGY >ENSMUSP00000113012.1 pep:known chromosome:GRCm38:7:142967904:142968717:-1 gene:ENSMUSG00000009248.6 transcript:ENSMUST00000121862.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascl2 description:achaete-scute family bHLH transcription factor 2 [Source:MGI Symbol;Acc:MGI:96920] MDGGALPRLMPTSSGVAGACAARRRQASPELLRCSRRRRSGATEASSSSAAVARRNERER NRVKLVNLGFQALRQHVPHGGANKKLSKVETLRSAVEYIRALQRLLAEHDAVRAALAGGL LTPATPPSDECAQPSASPASASLSCASTSPSPDRLGCSEPTSPRSAYSSEESSCEGELSP MEQELLDFSSWLGGY >ENSMUSP00000067035.8 pep:known chromosome:GRCm38:8:25720064:25724887:1 gene:ENSMUSG00000031570.16 transcript:ENSMUST00000068916.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp5 description:phospholipid phosphatase 5 [Source:MGI Symbol;Acc:MGI:1919160] MGTAALGAELGVRVLLFVAFLVTELLPPFQRRIQPEELWLYRNPYVEAEYFPTGRMFVIA FLTPLSLIFLAKFLRKADATDSKQACLAASLALALNGVFTNIIKLIVGRPRPDFFYRCFP DGLAHSDLTCTGDEDVVNEGRKSFPSGHSSFAFAGLAFASFYLAGKLHCFTPQGRGKSWR LCAFLSPLLFAAVIALSRTCDYKHHWQDVLVGSMIGMTFAYVCYRQYYPPLTDVECHKPF QDKHKLPSSQKPSELHHLEI >ENSMUSP00000123420.1 pep:known chromosome:GRCm38:8:25720061:25724887:1 gene:ENSMUSG00000031570.16 transcript:ENSMUST00000124764.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plpp5 description:phospholipid phosphatase 5 [Source:MGI Symbol;Acc:MGI:1919160] MGTAALGAELGVRVLLFVAFLVTELLPPFQRRIQPEELWLYRNPSLPFSLHCP >ENSMUSP00000120448.1 pep:known chromosome:GRCm38:8:25720061:25724887:1 gene:ENSMUSG00000031570.16 transcript:ENSMUST00000145678.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plpp5 description:phospholipid phosphatase 5 [Source:MGI Symbol;Acc:MGI:1919160] MGTAALGAELGVRVLLFVAFLVTELLPPFQRRIQPEELWLYRNPSLPFSLHCP >ENSMUSP00000122437.1 pep:known chromosome:GRCm38:8:25720064:25724887:1 gene:ENSMUSG00000031570.16 transcript:ENSMUST00000139836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp5 description:phospholipid phosphatase 5 [Source:MGI Symbol;Acc:MGI:1919160] MGTAALGAELGVRVLLFVAFLVTELLPPFQRRIQPEELWLYRNPYVEAEYFPTGRMFVIA FLTPLSLIFLAKFLRKADATDSKQACLAASLALALNGVFTNIIKLIVGRPRPDFFYRCFP DGLAHSDLTCTGDEDVVNEGRKSFPSGHSSFAFAGLAFASFYLAGKLHCFTPQGRGKSWR LCAFLSPLLFAAVIALSRTCDYKHHWQGWYKDMHRCSQLFMRVLGI >ENSMUSP00000030675.7 pep:known chromosome:GRCm38:4:126046925:126055532:1 gene:ENSMUSG00000028861.13 transcript:ENSMUST00000030675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps15 description:mitochondrial ribosomal protein S15 [Source:MGI Symbol;Acc:MGI:1913657] MLRAAWRALSSVRAQAVTRAPVPALRGGSSASLLSARCGLQPPSLLRAARAYAAVQKPVQ PKQDDEPPSSAFIKEYKDIIPNIEKVDDVVKRILSLEMASRKEKLKIKQEQLMNKIVENP EDSRTLEAQIIALTVRIRNYEEHMQKHRKDKAHKRHLLMSIDRRKKLLKILRQTNYDVFE KTCKELGVEYTLPPLHFQKVHRRFLAKKALCIRVYQEVQKLKKQKRALKAAAAAAKKEKN EGVPENPSNAVPEKTQVN >ENSMUSP00000124499.1 pep:known chromosome:GRCm38:5:29195992:29203135:1 gene:ENSMUSG00000029130.12 transcript:ENSMUST00000160888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf32 description:ring finger protein 32 [Source:MGI Symbol;Acc:MGI:1861747] MLRNKDRSSNKGNLAVTAVALQDHLLHDLQLGHLSVADPCKIKARKKEKKSKSLKRDATA IIDTGLRKSTEGPNMEDPEKEYVLDPTPPPLTLAQKLGLLPPPPLPLSSDEWERVKQRSL >ENSMUSP00000125515.1 pep:known chromosome:GRCm38:5:29195993:29225481:1 gene:ENSMUSG00000029130.12 transcript:ENSMUST00000160383.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf32 description:ring finger protein 32 [Source:MGI Symbol;Acc:MGI:1861747] MLRNKDRSSNKGNLAVTAVALQDHLLHDLQLGHLSVADPCKIKARKKEKKSKSLKRDATA IIDTGLRKSTEGPNMEDPEKEYVLDPTPPPLTLGAAFLLTCIP >ENSMUSP00000124537.1 pep:known chromosome:GRCm38:5:29195995:29198747:1 gene:ENSMUSG00000029130.12 transcript:ENSMUST00000159272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf32 description:ring finger protein 32 [Source:MGI Symbol;Acc:MGI:1861747] MLRNKDHLLHDLQLGHLSVADPCKIKARKKEKKSKSLKRDATAIID >ENSMUSP00000001247.5 pep:known chromosome:GRCm38:5:29195997:29225522:1 gene:ENSMUSG00000029130.12 transcript:ENSMUST00000001247.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf32 description:ring finger protein 32 [Source:MGI Symbol;Acc:MGI:1861747] MLRNKDRSSNKGNLAVTAVALQDHLLHDLQLGHLSVADPCKIKARKKEKKSKSLKRDATA IIDTGLRKSTEGPNMEDPEKEYVLDPTPPPLTLAQKLGLLPPPPLPLSSDEWERVKQRSL LQGDSIQPCPICKEEFELHPQVLLSCSHVFHRACLQAFEKFTNKKTCPLCRKNQYQTRVI HDGARLFRVKCATRIQAYWRGYIVRKWYRNLRKIIPPSDAKLRRKFFEEKFTEISHRILC SYNTNIDELFSEIDVCLAVNRSILQQLDERCGQIITKEDWEKIQAQAAHHEIYECSICLT PLSFHGDGRQAAIGTSSQRPRETVLLSCAHLFHNACLLALEEFSLGDNAPFHVCPLCRSC YQKKIVEC >ENSMUSP00000125741.1 pep:known chromosome:GRCm38:5:29196003:29203195:1 gene:ENSMUSG00000029130.12 transcript:ENSMUST00000161398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf32 description:ring finger protein 32 [Source:MGI Symbol;Acc:MGI:1861747] MLRNKDHLLHDLQLGHLSVADPCKIKARKKEKKSKSLKRDATAIIDTGLRKSTEGPNMED PEKEYVLDPTPPPLTLAQKLGLLPPPPLPLSSDEWERVKQRSLLQGDSIQPCPICKEEFE LHP >ENSMUSP00000124657.1 pep:known chromosome:GRCm38:5:29196006:29225524:1 gene:ENSMUSG00000029130.12 transcript:ENSMUST00000160246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf32 description:ring finger protein 32 [Source:MGI Symbol;Acc:MGI:1861747] MLRNKDRSSNKGNLAVTAVALQDHLLHDLQLGHLSVADPCKIKARKKEKKSKSLKRDATA IIDTGLRKSTEGPNMEDPEKEYVLDPTPPPLTLAQKLGLLPPPPLPLSSDEWERVKQRSL LQGDSIQPCPICKEEFELHPQVLLSCSHVFHRACLQAFEKFTNKKTCPLCRKNQYQTRVI HDGARLFRVKCATRIQAYWRGYIVRKWYRNLRKIIPPSDAKLRRKFFEEKFTEISHRILC SYNTNIDELFSEIDVCLAVNRSILQQLDERCGQIITKEDWEKIQAQAAHHEIYECSICLT PLSFHGDGRQAAIGTSSQRPRETVLLSCAHLFHNACLLALEEFSLGDNAPFHVCPLCRSC YQKKIVEC >ENSMUSP00000144394.1 pep:known chromosome:GRCm38:5:29196587:29203084:1 gene:ENSMUSG00000029130.12 transcript:ENSMUST00000198669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf32 description:ring finger protein 32 [Source:MGI Symbol;Acc:MGI:1861747] MEDPEKEYVLDPTPPPLTLAQKLGLLPPP >ENSMUSP00000123952.1 pep:known chromosome:GRCm38:5:29198671:29225301:1 gene:ENSMUSG00000029130.12 transcript:ENSMUST00000162975.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf32 description:ring finger protein 32 [Source:MGI Symbol;Acc:MGI:1861747] XPCKIKARKKEKKSKSLKRDATAIIDTGLRKSTEGPNMEDPEKEYVLDPTPPPLTLAQKL GLLPPPPLPLSSDEWERVKQRSLLQGDSIQPCPICKEEFELHPQVLLSCSHVFHRACLQA FEKFTNKKTCPLCRKNQYQTRVIHDGARLFRVKCATRIQAYWRGYIVRKWYRNLRKIIPP SDAKLRRKFFEEKAAHHEIYECSICLTPLSFHGDGRQAAIGTSSQRPRETVLLSCAHLFH NACLLALEEFSLGDNAPFHVCPLCRSCYQKKIVEC >ENSMUSP00000132213.1 pep:known chromosome:GRCm38:5:29198006:29225291:1 gene:ENSMUSG00000029130.12 transcript:ENSMUST00000168460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf32 description:ring finger protein 32 [Source:MGI Symbol;Acc:MGI:1861747] MLRNKDRSSNKGNLAVTAVALQDHLLHDLQLGHLSVADPCKIKARKKEKKSKSLKRDATA IIDTGLRKSTEGPNMEDPEKEYVLDPTPPPLTLAQKLGLLPPPPLPLSSDEWERVKQRSL LQGDSIQPCPICKEEFELHPQVLLSCSHVFHRACLQAFEKFTNKKTCPLCRKNQYQTRVI HDGARLFRVKCATRIQAYWRGYIVRKWYRNLRKIIPPSDAKLRRKFFEEKFTEISHRILC SYNTNIDELFSEIDVCLAVNRSILQQLDERCGQIITKEDWEKIQAQAAHHEIYECSICLT PLSFHGDGRQAAIGTSSQRPRETVLLSCAHLFHNACLLALEEFSLGDNAPFHVCPLCRSC YQKKIVEC >ENSMUSP00000125788.1 pep:known chromosome:GRCm38:14:51690308:51695448:-1 gene:ENSMUSG00000090740.1 transcript:ENSMUST00000167231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17079 description:predicted gene 17079 [Source:MGI Symbol;Acc:MGI:4937906] XRSSWVPKQACCPCSSGYFGERIEYTQTPDQGRRRPAAHHGGKGQETTGHGEGSVGEVLP QAPTINEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHD QVMTDLKRMPQDISEALSKCKQLTKENQFYCFRNCHLLIESNLIQHKVRMLRKENRQLLR EQIALEKCNIETKILCNEGSQKIKDHYTKQQQV >ENSMUSP00000103578.1 pep:known chromosome:GRCm38:7:44252148:44257378:-1 gene:ENSMUSG00000012777.14 transcript:ENSMUST00000107945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acpt description:acid phosphatase, testicular [Source:MGI Symbol;Acc:MGI:3644563] MAEPGSQGHTVGPLLLLLLLLLPRALPEGPLLFVALVFRHGDRAPLASYPTDPHKEAAST LWPRGLGQLTKEGIRQQLELGRFLRRRYKAFLSPEYKREEVYIRSTDFDRTLESAQANLA GLFPEAAPGSPETDWKPIPVHTVPVSEDKLLRFPMRSCPRYHELLRESTEAADYQEALEG WTDFLTRLGNFTGLSLVGEPLRRAWKVLDTLICQRAHGLDLPSWASPDVLRTLSQISALD IRAHVGPPRAAEKAQLTGGILLDAILSNFSRTQRLGLPLKMVMYSAHDSTLLALQGALGL YDGNTPPYAACMAFEFRGSSREPEEEDGENVTVSLIYRNDTSRPPLPLRVPGCPAPCPLG RFQQLTAPARPPAHGAPCHGSYEPASPPDRWSILWKQAKGGQVRLCLTCSGPSSSPRFYP RRNRIKQHTLQRAMNQPFNRNSRRQKFQGCPPHPSTKSRSALARGHLCSQGDQTRLEGAS KGKGMV >ENSMUSP00000112922.2 pep:known chromosome:GRCm38:7:44253085:44257378:-1 gene:ENSMUSG00000012777.14 transcript:ENSMUST00000118216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acpt description:acid phosphatase, testicular [Source:MGI Symbol;Acc:MGI:3644563] MAEPGSQGHTVGPLLLLLLLLLPRALPEGPLLFVALVFRHGDRAPLASYPTDPHKEAAST LWPRGLGQLTKEGIRQQLELGRFLRRRYKAFLSPEYKREEVYIRSTDFDRTLESAQANLA GLFPEAAPGSPETDWKPIPVHTVPVSEDKLLRFPMRSCPRYHELLRESTEAADYQEALEG WTDFLTRLGNFTGLSLVGEPLRRAWKVLDTLICQRAHGLDLPSWASPDVLRTLSQISALD IRAHVGPPRAAEKAQLTGGILLDAILSNFSRTQRLGLPLKMVMYSAHDSTLLALQGALGL YDGNTPPYAACMAFEFRGSSREPEEEDGENVTVSLIYRNDTSRPPLPLRVPGCPAPCPLG RFQQLTAPARPPAHGAPCHGSYEPASPPATVPLLAGAVAVLAVLSLGLGLLAWRPRCLRA LGGTV >ENSMUSP00000012921.8 pep:known chromosome:GRCm38:7:44253092:44257205:-1 gene:ENSMUSG00000012777.14 transcript:ENSMUST00000012921.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acpt description:acid phosphatase, testicular [Source:MGI Symbol;Acc:MGI:3644563] MAEPGSQGHTVGPLLLLLLLLLPRALPEGPLLFVALVFRHGDRAPLASYPTDPHKEAAST LWPRGLGQLTKEGIRQQLELGRFLRRRYKAFLSPEYKREEVYIRSTDFDRTLESAQANLA GLFPEAAPGSPETDWKPIPVHTVPVSEDKLLRFPMRSCPRYHELLRESTEAADYQEALEG WTRAHGLDLPSWASPDVLRTLSQISALDIRAHVGPPRAAEKAQLTGGILLDAILSNFSRT QRLGLPLKMVMYSAHDSTLLALQGALGLYDGNTPPYAACMAFEFRGSSREPEEEDGENVT VSLIYRNDTSRPPLPLRVPGCPAPCPLGRFQQLTAPARPPAHGAPCHGSYEPASPPATVP LLAGAVAVLAVLSLGLGLLAWRPRCLRALGGT >ENSMUSP00000108169.1 pep:known chromosome:GRCm38:2:59899363:60125697:-1 gene:ENSMUSG00000026987.16 transcript:ENSMUST00000112550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz2b description:bromodomain adjacent to zinc finger domain, 2B [Source:MGI Symbol;Acc:MGI:2442782] MESGELLPSSPASSTTPTSSSAPSVASAVSKSSLSTGAASLSSTASPCVLEAGKSKIKVS PDSVSGAEWWRTTDGHSRAGTPFFPPLLGIPPLFAPPAQNHDSSFHSRTSGKSSRNGPEK GINGSVNGTSAASVLGVNASVVATPASSSMGQNQSTSSGGGTLKCHQEQNKSQPVDARAD RIKDKKPRKKAMESSSNSDSDSGTSSDTSSEGISSSDSDDLEEEEEEDQSVEESEDDDSD SETEAQHKSNNQVLLHGISDPKTDGQKATEKAQERRTHQPLPPVSESQTHPPFQSQQKQP QVLSQQLPFIFQSSQAKEESVTKHTSVIQSTGLVSNVKPLSLVNQAKKETYRKLVVPSPD VLKAGNKNTSEESSSLTSELRSKREQYKQTFPSQGKKQEMGKSLKKVIAALSNTKATSSS PAHPKLPLDNNHPNPFLTNALLGNHQPNGVIQSVIQEAPLALTTKTKMQSKINENVSSST PFSSPVNLSTSGRRAPGSQTPALPSASPILHSSGKEKRVSNDATPLKAHHHPHPAAAAAA LVEQFRGTDSDVPSSKDSEDSNEDEEEDDEEEDEEDDEDDESDDSQSESDSNSQSDSEGS EDDEEKDQEESDSDTEGEKPAVNLTQTSSSAKSPPSSLTAHSAPHLHIGSPPGSAPAALC SESQPPAFLGTSSSTLTSTPHSGTSKRRRVADDQELRIPLDYGWQRETRVRNFGGRLPGE VAYYAPCGKKLRQCPDMVKGMQWCLLKEEDVIPRIRAMDGRRGRPPNPDRPRAREESRMK RRKGRPPNVGSAEFLDNTDAKLLRKLQAQEIARQAAQIKLLRKLQKQEQARVAKEAKKQQ AIMAAEEKRKQKEQMKIIKQQEKIKRIQQIRMEKELRAQQILEAKKKKKEEAANAKLLEA EKRTKEKELRRQQAVLLKHQERERRRQHVMLMKAMEARKKAEEKERLKQEKRDEKRLNKE RKLEQRRLELEMAKELKKPKEDMCLADQKPLPEWPRIPGLVLSGTTFSDCLMVVQFLRNF GKVLGFDVNIDVPNLSVLQEGLLNIGDSMGEVQDLLVRLLSAAVCDPGLITGYKAKTALG EHLLNVGVNRDNVSEVLQIFMEAHCGQTELTESLKTKAFQAHTPAQKASILAFLVNELAC SKSVVSEIDKNIEYMSNLRRDKWMVEGKLRKLRIIHAKKTGKRDASGGIDLGEEQHPLGT PTPGRKRRRKGGDSDYDDDDDDDSDDQADEDEEDEEDKDDKKGKKTDICEDEDEGDQTAS VEELEKQIEKLSKQQSQYRRKLFDASHSLRSMMFGQDRYRRRYWILPQCGGIFVEGMESG EGLEEIAKEKEKLKKAESLQIKEEVFETSAETLNCSIRDHCEQKDDPKEKDNTNLFLQKP GSFSKLSKLLEVAKMPPESDVMTPPKVNVSTNGGPLSHQNSGKHPLGSVPSATTAQSPVG KTDASLFSSGSGSCGKFYSPLPNDQLLKTLTEKNRQWFSLLPKTPCDDTSLTHADLSTTL VTPQSQPPSKSPSPAPAALLGPSSVQSPPGLNPFALSPLQVKGGVSMMGLQFCGWPAGVL ASNVPFTSPLPALGSGLGLPEGNGSSSFLTSSVASSKSDSPVPPAERPSSAQPVAVEVAK PVDFPSPKPIPEEMQFGWWRIIDPEDLKTLLKVLHLRGIREKALQKQIQKHLDYITQACV RNKDVAIIELNENEDNQVTRDLVENWSVEEQAMELDLSILQQVEDLERRVASASLQVKGW MCPEPASEREDLVYFEHKSLTKLFKEHDGELTGDEENSAHALARKSDNPLDIAVTRLADL ERNIERRYLKSPLSTTIQIKLDNVGTVTVPAPAPSISGDGDGIEEDIAPGLRVWRRALAE ARSAAQVALCIQQLQRSIAWEKSIMKVYCQICRKGDNEELLLLCDGCDKGCHTYCHRPKI TTIPDGDWFCPACISKASGQSIKIKKIHVKGKKTNDSKKTKKGNVAGDTEDEDSASTSSS LKRGSKELKKRKMEETTSLNLSKAESTTSIKKPKKDESRDLALCSMILTEMETHEDSWPF LLPVNLKLVPGYKKVIKKPMDFSTIREKLNNGQYPNFETFALDVRLVFDNCETFNEDDSD IGRAGHSMRKYFEKKWTDTFKVS >ENSMUSP00000119690.1 pep:known chromosome:GRCm38:2:59903185:59912536:-1 gene:ENSMUSG00000026987.16 transcript:ENSMUST00000130637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz2b description:bromodomain adjacent to zinc finger domain, 2B [Source:MGI Symbol;Acc:MGI:2442782] XIIDPEDLKTLLKVLHLRGIREKALQKQIQKHLDYITQACVRNKDVAIIELNENEDNQVT RDLVENWSVEEQAMELDLSILQQVEDLERRVASASLQVKGWMCPEPASEREDLVYFEHKS LTKLFKEHDGELTGDEENSAHALARKSDNPLDIAVTRLADLERNIERRIEEDIAPGLRVW RRALAEARSAAQVALCIQQLQRSIAWEKSIMKVYCQICRKGDNEELLLLCDGCDKGCHTY CHRPKITTIPDGD >ENSMUSP00000118981.1 pep:known chromosome:GRCm38:2:59924722:59948216:-1 gene:ENSMUSG00000026987.16 transcript:ENSMUST00000153136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz2b description:bromodomain adjacent to zinc finger domain, 2B [Source:MGI Symbol;Acc:MGI:2442782] NPDRPRAREESRMKRRKGRPPNVGSAEFLDNTDAKLLRKLQAQEIARQAAQIKLLRKLQK QEQARVAKEAKKQQAIMAAEEKRKQKEQMKIIKQQEKIKRIQQIRMEKELRAQQILEAKK KKKEEAANAKLLEAEKRTKEKELRRQQAVLLKHQELERHRLDMERERRRQHVMLMKAMEA RKKAEEKERLKQEKRDEKRLNKERKLEQRRLELEMAKELKKPKEDMCLADQKPLPEWPRI PGLVLSGTTFSDCLMVVQFLRNFGKVLGFDVNIDVPNLSVLQEGLLNIGDSMGEVQ >ENSMUSP00000088443.6 pep:known chromosome:GRCm38:2:59899445:60006187:-1 gene:ENSMUSG00000026987.16 transcript:ENSMUST00000090925.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz2b description:bromodomain adjacent to zinc finger domain, 2B [Source:MGI Symbol;Acc:MGI:2442782] MESGELLPSSPASSTTPTSSSAPSVASAVSKSSLSTGAASLSSTASPCVLEAGKSKIKVS PDSVSGAEWWRTTDGHSRAGTPFFPPLLGIPPLFAPPAQNHDSSFHSRTSGKSSRNGPEK GINGSVNGTSAASVLGVNASVVATPASSSMGQNQSTSSGGGTLKCHQEQNKSQPVDARAD RIKDKKPRKKAMESSSNSDSDSGTSSDTSSEGISSSDSDDLEEEEEEDQSVEESEDDDSD SETEAQHKSNNQVLLHGISDPKTDGQKATEKAQERRTHQPLPPVSESQTHPPFQSQQKQP QVLSQQLPFIFQSSQAKEESVTKHTSVIQSTGLVSNVKPLSLVNQAKKETYRKLVVPSPD VLKAGNKNTSEESSSLTSELRSKREQYKQTFPSQGKKQEMGKSLKKVIAALSNTKATSSS PAHPKLPLDNNHPNPFLTNALLGNHQPNGVIQSVIQEAPLALTTKTKMQSKINENVSSST PFSSPVNLSTSGRRAPGSQTPALPSASPILHSSGKEKRVSNDATPLKAHHHPHPAAAAAA LVEQFRGTDSDVPSSKDSEDSNEDEEEDDEEEDEEDDEDDESDDSQSESDSNSQSDSEGS EDDEEKDQEESDSDTEGEKPAVNLTQTSSSAKSPPSSLTAHSAPHLHIGSPPGSAPAALC SESQPPAFLGTSSSTLTSTPHSGTSKRRRVADDQELRIPLDYGWQRETRVRNFGGRLPGE VAYYAPCGKKLRQCPDMVKGMQWCLLKEEDVIPRIRAMDGRRGRPPNPDRPRAREESRMK RRKGRPPNVGSAEFLDNTDAKLLRKLQAQEIARQAAQIKLLRKLQKQEQARVAKEAKKQQ AIMAAEEKRKQKEQMKIIKQQEKIKRIQQIRMEKELRAQQILEAKKKKKEEAANAKLLEA EKRTKEKELRRQQAVLLKHQERERRRQHVMLMKAMEARKKAEEKERLKQEKRDEKRLNKE RKLEQRRLELEMAKELKKPKEDMCLADQKPLPEWPRIPGLVLSGTTFSDCLMVVQFLRNF GKVLGFDVNIDVPNLSVLQEGLLNIGDSMGEVQDLLVRLLSAAVCDPGLITGYKAKTALG EHLLNVGVNRDNVSEVLQIFMEAHCGQTELTESLKTKAFQAHTPAQKASILAFLVNELAC SKSVVSEIDKNIEYMSNLRRDKWMVEGKLRKLRIIHAKKTGKRDASGGIDLGEEQHPLGT PTPGRKRRRKGGDSDYDDDDDDDSDDQADEDEEDEEDKDDKKGKKTDICEDEDEGDQTAS VEELEKQIEKLSKQQSQYRRKLFDASHSLRSMMFGQDRYRRRYWILPQCGGIFVEGMESG EGLEEIAKEKEKLKKAESLQIKEEVFETSAETLNCSIRDHCEQKDDPKEKDNTNLFLQKP GSFSKLSKLLEVAKMPPESDVMTPPKVNVSTNGGPLSHQNSGKHPLGSVPSATTAQSPVG KTDASLFSSGSGSCGKFYSPLPNDQLLKTLTEKNRQWFSLLPKTPCDDTSLTHADLSTTL VTPQSQPPSKSPSPAPAALLGPSSVQSPPGLNPFALSPLQVKGGVSMMGLQFCGWPAGVL ASNVPFTSPLPALGSGLGLPEGNGSSSFLTSSVASSKSDSPVPPAERPSSAQPVAVEVAK PVDFPSPKPIPEEMQFGWWRIIDPEDLKTLLKVLHLRGIREKALQKQIQKHLDYITQACV RNKDVAIIELNENEDNQVTRDLVENWSVEEQAMELDLSILQQVEDLERRVASASLQVKGW MCPEPASEREDLVYFEHKSLTKLFKEHDGELTGDEENSAHALARKSDNPLDIAVTRLADL ERNIERRYLKSPLSTTIQIKLDNVGTVTVPAPAPSISGDGDGIEEDIAPGLRVWRRALAE ARSAAQVALCIQQLQRSIAWEKSIMKVYCQICRKGDNEELLLLCDGCDKGCHTYCHRPKI TTIPDGDWFCPACISKASGQSIKIKKIHVKGKKTNDSKKTKKGNVAGDTEDEDSASTSSS LKRGSKELKKRKMEETTSLNLSKAESTTSIKKPKKDESRDLALCSMILTEMETHEDSWPF LLPVNLKLVPGYKKVIKKPMDFSTIREKLNNGQYPNFETFALDVRLVFDNCETFNEDDSD IGRAGHSMRKYFEKKWTDTFKVS >ENSMUSP00000108571.1 pep:known chromosome:GRCm38:6:115618569:115675964:-1 gene:ENSMUSG00000000441.17 transcript:ENSMUST00000112949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raf1 description:v-raf-leukemia viral oncogene 1 [Source:MGI Symbol;Acc:MGI:97847] MEHIQGAWKTISNGFGLKDAVFDGSSCISPTIVQQFGYQRRASDDGKLTDSSKTSNTIRV FLPNKQRTVVNVRNGMSLHDCLMKALKVRGLQPECCAVFRLLQEHKGKKARLDWNTDAAS LIGEELQVDFLDHVPLTTHNFARKTFLKLAFCDICQKFLLNGFRCQTCGYKFHEHCSTKV PTMCVDWSNIRQLLLFPNSTVGDSGVPAPPSFPMRRMRESVSRMPASSQHRYSTPHAFTF NTSSPSSEGSLSQRQRSTSTPNVHMVSTTLHVDSRMIEDAIRSHSESASPSALSSSPNNL SPTGWSQPKTPVPAQRERAPGSGTQEKNKIRPRGQRDSSYYWEIEASEVMLSTRIGSGSF GTVYKGKWHGDVAVKILKVVDPTPEQLQAFRNEVAVLRKTRHVNILLFMGYMTKDNLAIV TQWCEGSSLYKHLHVQETKFQMFQLIDIARQTAQGMDYLHAKNIIHRDMKSNNIFLHEGL TVKIGDFGLATVKSRWSGSQQVEQPTGSVLWMAPEVIRMQDDNPFSFQSDVYSYGIVLYE LMAGELPYAHINNRDQIIFMVGRGYASPDLSRLYKNCPKAMKRLVADCVKKVKEERPLFP QILSSIELLQHSLPKINRSASEPSLHRAAHTEDINACTLTTSPRLPVF >ENSMUSP00000000451.7 pep:known chromosome:GRCm38:6:115618569:115676635:-1 gene:ENSMUSG00000000441.17 transcript:ENSMUST00000000451.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raf1 description:v-raf-leukemia viral oncogene 1 [Source:MGI Symbol;Acc:MGI:97847] MEHIQGAWKTISNGFGLKDAVFDGSSCISPTIVQQFGYQRRASDDGKLTDSSKTSNTIRV FLPNKQRTVVNVRNGMSLHDCLMKALKVRGLQPECCAVFRLLQEHKGKKARLDWNTDAAS LIGEELQVDFLDHVPLTTHNFARKTFLKLAFCDICQKFLLNGFRCQTCGYKFHEHCSTKV PTMCVDWSNIRQLLLFPNSTVGDSGVPAPPSFPMRRMRESVSRMPASSQHRYSTPHAFTF NTSSPSSEGSLSQRQRSTSTPNVHMVSTTLHVDSRMIEDAIRSHSESASPSALSSSPNNL SPTGWSQPKTPVPAQRERAPGSGTQEKNKIRPRGQRDSSYYWEIEASEVMLSTRIGSGSF GTVYKGKWHGDVAVKILKVVDPTPEQLQAFRNEVAVLRKTRHVNILLFMGYMTKDNLAIV TQWCEGSSLYKHLHVQETKFQMFQLIDIARQTAQGMDYLHAKNIIHRDMKSNNIFLHEGL TVKIGDFGLATVKSRWSGSQQVEQPTGSVLWMAPEVIRMQDDNPFSFQSDVYSYGIVLYE LMAGELPYAHINNRDQIIFMVGRGYASPDLSRLYKNCPKAMKRLVADCVKKVKEERPLFP QILSSIELLQHSLPKINRSASEPSLHRAAHTEDINACTLTTSPRLPVF >ENSMUSP00000145520.1 pep:known chromosome:GRCm38:6:115618597:115621825:-1 gene:ENSMUSG00000000441.17 transcript:ENSMUST00000203759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raf1 description:v-raf-leukemia viral oncogene 1 [Source:MGI Symbol;Acc:MGI:97847] KSNNIFLHEGLTVKIGDFGLATVKSRWSGSQQVEQPTGSVLWMAPEGTPAAAGTCNWELG SLSLYGQWCSASFAHGVLATWGSLLWYYRTLWTNCV >ENSMUSP00000144937.1 pep:known chromosome:GRCm38:6:115619594:115623544:-1 gene:ENSMUSG00000000441.17 transcript:ENSMUST00000203142.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Raf1 description:v-raf-leukemia viral oncogene 1 [Source:MGI Symbol;Acc:MGI:97847] XVAVKILKVVDPTPEQLQAFRNEVAVLRKTRHVNILLFMGYMTKDNLAIVTQWCEGSSLY KHLHVQETKFQMFQLIDIARQTAQGMDYLHAKNIIHRDMKSNISLTSIEIYFSMKASR >ENSMUSP00000115424.2 pep:known chromosome:GRCm38:6:115623523:115632995:-1 gene:ENSMUSG00000000441.17 transcript:ENSMUST00000147979.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raf1 description:v-raf-leukemia viral oncogene 1 [Source:MGI Symbol;Acc:MGI:97847] XLFPNSTVGDSGVPAPPSFPMRRMRESVSRMPASSQHRYSTPHAFTFNTSSPSSEGSLSQ RQRSTSTPNVHMVSTTLHVDSRMIESNSLNASPRACSRRFCLRGRDAIRSHSESASPSAL SSSPNNLSPTGWSQPKTPVPAQRERAPGSGTQEKNKIRPRGQRDSSYYWEIEASEVMLST RIGSGSFGTVYKGKWHGDVAVKILK >ENSMUSP00000124056.1 pep:known chromosome:GRCm38:1:60180599:60338328:1 gene:ENSMUSG00000073664.11 transcript:ENSMUST00000160834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbeal1 description:neurobeachin like 1 [Source:MGI Symbol;Acc:MGI:2444343] MAARERLFELWMLYCTKKDPDYLRLWLDNFVLSYEQFLDVDFEKLPTRVDDMPPGISLLP DNILQVLRIQLLHCVQKTADGLEEQQQALSILLVKFFIILCRNLSNVEEIGTCSYINHVI TMTTLYIQQLKSKKKEKEMADQTSIEEFVIHALAFCESLYDPYRNWRHRISGRILSSVEK SRQKYKPASLTVEFVPFFYQCFQESEHLKDSLKCCLLHLFGAIVAGGQRNALQAISPATM EVLMRVLSDCDSWEEGDPEEVGRKRELTLKCLTQVVHILLTSSSDQRQVETSTILENYFK LLNSDHSALPKQGRSRRWESQFIALQIKMLNTITSMLDCTDRPVLQAIFLNSNCFEHLIR LLQNCKVFQGQLDCLAVSAIQALTAVMKSSPAAKEVFKERIGYMHMFEVLKSLGQPPQEL LKELMNMAVEGDHTSVGILGISNVQPLLVLIQWLPELESHDLQIFVSDWLKKICCINKQS RTTCVNANMGIKIIEALESHSSLHRTCAENLIAIHGSLGSQSVSSEEIRRLLRLLRVDES ECIHPYTIPVTRAVLTMARKLSFESALQYFNLSHSMAGISVPAIQRWPGSAFSFTAWFCL DQDPLTLGSANKGGKRKQLYSFFTGSGMGFEAFITHSGVLVVAVCTKREYATVMLPDHSF CDSLWHNITIVHMPGKRPFGQSLVYIYDNGQQKVSAPLRFPAMNEPFTSCCIGSAGQRTT TPPPSQIPDPPFSTPITPHRTSFGGILSSASWGGTIEKSKLFTKLISAGTQDSEWGCPTS LEGQLGSVIIFHEALQPPQVKALYLAGPNCLSPWKCQDCDMADLPSNILLHYTGKACKNS ICLDLSANCLHGRLTGNKVVNWDIKDIINCIGGLNVLFPLLEQISHFSEGQTSEAMSENT VPELVTPSEQECMGLASTRASESRLEKNLIATFILIVKHFIQRHPINQDNLIQSHGVATL GALLQKVPGSQMDVNVLMAIQLLIEQVSLEKNTLLLQQMYQCLLFDFRIWNRGDFPFQIG HIQYLSTIIKDSRRVFRKKYGVQFLLDTLRIYYGHGSTCNELSPDDIRTIRTSLYGLIKY FLCKGGTHEEIQSIIGYIAATNEEEQLFGILDILFSLLRTSPTRGQLFLLLFEPGNADIL YALLLNQKYSDKLREIIFKVMEQMLKCTNVYERSKQRIRLREVGYSGIGLLLNEAPVNTS LIKNLVNQIINTDPVINFKDLLSVVYICHRTHVNVRVVLCRKILQILQSQPDAAYQISQQ VGWQDTFVRLFLKANFENVNSLNKHGKTVSMKENKNMSTEDVKRNFEKLDDEKMMLADVS SDHWSLEDSQSLNSNTPLFQEDSSEGELSFKSENQEEFWHSNTSHLSLDLSGIDACELSD SGSQVPDSSPSTPSPVESTKSFSLQSDKERSITNEMGFSDDFSFLESQERCEEELFQLLT NILNYVMCKGLEKSDEDTWIERGQVFSALTKPGISSELLRPSDEVKLTLLQKMLEWAITE NRDAKSSPVTAENAFRLMLIVQDFLQSEGLVNSNMWTEKLLEDATQLLDCLSVWYSENPA WVKLSQIQTQLLLEFIGRGSLQVCAMASAKLNALLQTKVIENQDEACYILGKLEHILSRS IKEQTEIYSFLIPLLRTLVSKIYELLFMNLHLPSLPFTNGSASFFEDFQEYCNSEEWQVY IEKYIVPYMKQYEAHTFYDGHENMALYWKNCYEALMVNMHKRDREGGESKLKFQEYFVEP FNRKARQENLRYNNMIKQLNSQQLAAVRRWKAIRLYLTCERGPWAEKKQNRIHWKLANVE NYSRMRLKLVPNYNFKTHEEASALRDNLGVQHLQPSSDSLLLEVVKQVKLSDMEEDKLEL LEEDMAARVNIDEKEEQNQKEKLVLTEDCELITVIDIIPGRLEVTTQHIYFHDNSVEKED GLGFDFKWPHSQIREIHLRRYNLRRSALEIFHVDQSNYFLNFKKEVRNKIYSRLLSLHSP TGYGTRSPQELFKASGLTQKWVNREITNFDYLIQINTMAGRTYNDLAQYPVFPWILQDYT SEELDLNNPTVFRDLSKPIGVVNEKNAKAMREKYENFEDPMGTIDKFHYGTHYSNSAGVM HYLIRVEPFTTLHIQLQSGRFDCADRQFHSIPATWQALMDNTYDVKELIPEFFYFPEFLE NQNEFNLGHLQVSKELVNDVILPRWAKSAEDFIYKHRKALESEYVSAHLHEWIDLIFGYK QRGPAAVEALNVFYYCSYEGAVDLDALTDEKERKALEGMINNFGQTPCQLLKEPHPPRLS AEEAIQKQTKTEISTLNLFQHLTELKSFFIEGISDGIPLLKAIIPKNQSRSFMSQGSPEL LITVSMNYVIGTHGWLPYDRNISNYFTFIKDQTVTNPKAQRTISGPFAPGLEITSKLFVV SHDAKLLFSAGHWDNSIQVMSLTKGKIVSHNIRHIDIVTCLATDYCGIHLISGSRDTTCM IWQITQQGGTPVGLAPKPFQILYGHTDEILSVGISTELDMAVSGSRDGTVIIHTVQKGQY MRTLRLPCESSLFLTIPSLAISWEGHIVVYSSLEEKSVLKDKNLLHLFSINGKYLGSQVL SEQISDMCIIGEHIITGSLQGLLSIRELHSLKLSVTPLAMRLPIHCVSITKEYSHILVGL EDGKLIVVGVGKPAEMRSGQLSRKLWGSSKRLSQISAGETEYNTQDCK >ENSMUSP00000125147.1 pep:known chromosome:GRCm38:1:60222202:60235561:1 gene:ENSMUSG00000073664.11 transcript:ENSMUST00000160980.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbeal1 description:neurobeachin like 1 [Source:MGI Symbol;Acc:MGI:2444343] QVVHILLTSSSDQRQVETSTILENYFKLLNSDHSALPKQGRSRRWESQFIALQIKMLNTI TSMLDCTDRPVLQAIFLNSNCFEHLIRLLQNCKLFLNAHSKVTDKNEKDLANQLLTEMSE DQVFQGQLDCLAVSAIQALTAVMKSSPAAKEVFKERIGYMHMFEVLKSLGQPPQELLKEL MNMAVEGDHTSVGILGISNVQPLLVLIQWLPELESHDLQIFVSDWLKKICCINKQSRTTC VNANMGIKIIEALESHSSLHRTCAENLIAIHGSLGSQSVSSEEIRRLLRLLRVDESECIH PYTIPVTRAVLTMARKLSFESALQYFNLSHSMAGISVPAIQRWPGSAFSFTAWFCLDQDP LTLGSANKGGKRKQLY >ENSMUSP00000049393.6 pep:known chromosome:GRCm38:1:60260306:60319694:1 gene:ENSMUSG00000073664.11 transcript:ENSMUST00000035569.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbeal1 description:neurobeachin like 1 [Source:MGI Symbol;Acc:MGI:2444343] XVRLFLKANFENVNSLNKHGKTVSMKENKNMSTEDVKRNFEKLDDEKMMLADVSSDHWSL EDSQSLNSNTPLFQEDSSEGELSFKSENQEEFWHSNTSHLSLDLSGIDACELSDSGSQVP DSSPSTPSPVESTKSFSLQSDKERSITNEMGFSDDFSFLESQERCEEELFQLLTNILNYV MCKGLEKSDEDTWIERGQVFSALTKPGISSELLRPSDEVKLTLLQKMLEWAITENRDAKS SPVTAENAFRLMLIVQDFLQSEGLVNSNMWTEKLLEDATQLLDCLSVWYSENPAWVKLSQ IQTQLLLEFIGRGSLQVCAMASAKLNALLQTKVIENQDEACYILGKLEHILSRSIKEQTE IYSFLIPLLRTLVSKIYELLFMNLHLPSLPFTNGSASFFEDFQEYCNSEEWQVYIEKYIV PYMKQYEAHTFYDGHENMALYWKNCYEALMVNMHKRDREGGESKLKFQEYFVEPFNRKAR QENLRYNNMIKQLNSQQLAAVRRWKAIRLYLTCERGPWAEKKQNRIHWKLANVENYSRMR LKLVPNYNFKTHEEASALRDNLGVQHLQPSSDSLLLEVVKQVKLSDMEEDKLELLEEDMA ARVNIDEKEEQNQKEKLVLTEDCELITVIDIIPGRLEVTTQHIYFHDNSVEKEDGLGFDF KWPHSQIREIHLRRYNLRRSALEIFHVDQSNYFLNFKKEVRNKIYSRLLSLHSPTGYGTR SPQELFKASGLTQKWVNREITNFDYLIQINTMAGRTYNDLAQYPVFPWILQDYTSEELDL NNPTVFRDLSKPIGVVNEKNAKAMREKYENFEDPMGTIDKFHYGTHYSNSAGVMHYLIRV EPFTTLHIQLQSGRFDCADRQFHSIPATWQALMDNTYDVKELIPEFFYFPEFLENQNEFN LGHLQVSKELVNDVILPRWAKSAEDFIYKHRKALESEYVSAHLHEWIDLIFGYKQRGPAA VEALNVFYYCSYEGAVDLDALTDEKERKALEGMINNFGQTPCQLLKITVSMNYVIGTHGW LPYDRNISNYFTFIKDQTVTNPKAQRTISGPFAPGLEITSKLFVVSHDAKLLFSAGHWDN SIQVMSLTKGKIVSHNIRHIDIVTCLATDYCGIHLISGSRDTTCMIWQITQQGGTPVGLA PKPFQILYGHTDEILSVGISTELDMAVSGSRDGTVIIHT >ENSMUSP00000125592.1 pep:known chromosome:GRCm38:1:60260314:60331466:1 gene:ENSMUSG00000073664.11 transcript:ENSMUST00000162291.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nbeal1 description:neurobeachin like 1 [Source:MGI Symbol;Acc:MGI:2444343] LFLKANFENVNSLNKHGKTVSMKENKNMSTEDVKRNFEKLDDEKMMLADVSSDHWSLEDS QSLNSNTPLFQEDSSEGELSFKSENQEEFWHSNTSHLSLDLSGIDACELSDSGSQVPDSS PSTPSPVESTKSFSLQSDKERSITNEMGFSDDFSFLESQERCEEELFQLLTNILNYVMCK GLEKSDEDTWIERGQVFSALTKPGISSELLRPSDEVKLTLLQKMLEWAITENRDAKSSPV TAENAFRLMLIVQDFLQSEGLVNSNMWTEKLLEDATQLLDCLSVWYSENPAWVKLSQIQT QLLLEFIGRGSLQVCAMASAKLNALLQTKVIENQDEACYILGKLEHILSRSIKEQTEIYS FLIPLLRTLVSKIYELLFMNLHLPSLPFTNGSASFFEDFQEYCNSEEWQVYIEKYIVPYM KQYEAHTFYDGHENMALYWKNCYEALMVNMHKRDREGGESKLKFQEYFVEPFNRKARQEN LRYNNMIKQLNSQQLAAVRRWKAIRLYLTCERGPWAEKKQNRIHWKLANVENYSRMRLKL VPNYNFKTHEEASALRDNLGVQHLQPSSDSLLLEVVKQVKLSDMEEDKLELLEEDMAARV NIDEKEEQNQKEKLVLTEDCELITVIDIIPGRLEVTTQHIYFHDNSVEKEDGLGFDFKWP HSQIREIHLRRYNLRRSALEIFHVDQSNYFLNFKKEVRNKIYSRLLSLHSPTGYGTRSPQ ELFKASGLTQGLSI >ENSMUSP00000124850.1 pep:known chromosome:GRCm38:1:60278770:60291929:1 gene:ENSMUSG00000073664.11 transcript:ENSMUST00000159344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbeal1 description:neurobeachin like 1 [Source:MGI Symbol;Acc:MGI:2444343] XSNYFLNFKKEVRNKIYSRLLSLHSPTGYGTRSPQELFKASGLTQFPWILQDYTSEELDL NNPTVFRDLSKPIGVVNEKNAKAMREKYENFEDPMGTIDKFHYGTHYSNSAGVMHYLIRV EPFTTLHIQLQSGRFDCADRQFHSIPATWQALMDNTYDVKELIPEFFYFPEFLENQNEFN LGHLQVSKELVNDVILPRWAKSAEDFIYKHRKALESEYVSAHLHEWIDLIFGYKQRGPAA VEALNV >ENSMUSP00000075283.5 pep:known chromosome:GRCm38:14:122475435:122479852:1 gene:ENSMUSG00000061524.8 transcript:ENSMUST00000075888.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zic2 description:zinc finger protein of the cerebellum 2 [Source:MGI Symbol;Acc:MGI:106679] MLLDAGPQFPAIGVGSFARHHHHSAAAAAAAAAEMQDRELSLAAAQNGFVDSAAAHMGAF KLNPGAHELSPGQSSAFTSQGPGAYPGSAAAAAAAAALGPHAAHVGSYSGPPFNSTRDFL FRSRGFGDSAPGGGQHGLFGPGAGGLHHAHSDAQGHLLFPGLPEQHGPHASQNVLNGQMR LGLPGEVFGRSEQYRQVASPRTDPYSAAQLHNQYGPMNMNMGMNMAAAAAHHHHHHHHPG AFFRYMRQQCIKQELICKWIDPEQLSNPKKSCNKTFSTMHELVTHVSVEHVGGPEQSNHV CFWEECPREGKPFKAKYKLVNHIRVHTGEKPFPCPFPGCGKVFARSENLKIHKRTHTGEK PFQCEFEGCDRRFANSSDRKKHMHVHTSDKPYLCKMCDKSYTHPSSLRKHMKVHESSPQG SESSPAASSGYESSTPPGLVSPSAEPQSSSNLSPAAAAAAAAAAAAAAAVSAVHRGAGSG SSGSGGGSAAGSGGGGGGAGGGGGGSSGGGSGTTGGHSGLSSNFNEWYV >ENSMUSP00000091352.4 pep:known chromosome:GRCm38:9:57607085:57620774:-1 gene:ENSMUSG00000056271.13 transcript:ENSMUST00000093832.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lman1l description:lectin, mannose-binding 1 like [Source:MGI Symbol;Acc:MGI:2667537] MLEIRGLSPSLCLLSLLLVLHGAERSQPPPRRRFEYKLSFKGPRLAVPGAGIPFWSHHGD AILGLEEVRLVPSMKNRSGAVWSNISVSFPSWEVEMQMRVTGPGRRGAQGVAMWYTKDRA QVGSVVEELASWDGIGIYFDSSTSDVQDSPVIRVLASDGHDLQEQSGDGNVRELGSCHRD FRNRPFPFRARVTYWRQRLRVSLSGGLTPKDPEEVCVDVEPLFLAPGGFFGVSAATGTLA ADDHDVLSFLTFSLREPGPEETPQPFMEKDQLLLARKLEELKARLALGTREASIPPLNPK AQEEGERFFNLEDTLGRQSQILQALQALSRQMAQAEKHWKQQLGSTVQVRPEGGWNTAKV STLLYGQRTLIQALQEMREAAARMASGAHVFYLPVGTKHHFFELDHILSLLQKDLRGLVK KTAKAPRPSGWLLGSSTCLHTSIFLFFLLLQTVGFFCYVNFSRQELDKRLQEYLSTGSLS LEPALPITRTIGVLRRQPISPSMQA >ENSMUSP00000041631.4 pep:known chromosome:GRCm38:9:57607085:57620774:-1 gene:ENSMUSG00000056271.13 transcript:ENSMUST00000044937.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lman1l description:lectin, mannose-binding 1 like [Source:MGI Symbol;Acc:MGI:2667537] MLEIRGLSPSLCLLSLLLVLHGAERSQPPPRRRFEYKLSFKGPRLAVPGAGIPFWSHHGD AILGLEEVRLVPSMKNRSGAVWSNISVSFPSWEVEMQMRVTGPGRRGAQGVAMWYTKDRA QVGSVVEELASWDGIGIYFDSSTSDVQDSPVIRVLASDGHDLQEQSGDGNVRELGSCHRD FRNRPFPFRARVTYWRQRLRVSLSGGLTPKDPEEVCVDVEPLFLAPGGFFGVSAATGTLA ADDHDVLSFLTFSLREPGPEETPQPFMEKDQLLLARKLEELKARLALGTREASIPPLNPK AQEEGERFFNLEDTLGRQSQILQALQALSRQMAQAEKHWKQQLGSTVQVRPEGGWGSSCP DGFRSTRLLPACGH >ENSMUSP00000055806.2 pep:known chromosome:GRCm38:11:73135485:73138294:-1 gene:ENSMUSG00000050107.2 transcript:ENSMUST00000052140.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsg2 description:germ cell-specific gene 2 [Source:MGI Symbol;Acc:MGI:1194498] MAQAHPRSGTRLFRTYAARGVRGSQRQPGGLAEQWFQPPNLKRAFSSSLSDSNESPAVAS DDPDDPDFPGSLVGQRRRRPRGSGSRNQRTLTNTPRVQRLRPRLPQKCSTPCSRLRPPPF PNCSPGCLGSDHSVCIQSRDSNELGTSASLFSSPASPGAPDPLYADSAVPGSFHLPAASL SEPSVPCPQVAATGDRYTGRALRAEASFRSSLFSLVNSGATEENKFGTDGENVKESCCER RQQMGNRLTDPDLTSPGKRKAACKKVVSQGVDQRDYEESSACKDLRVPGEISRPKRTGPL RKRKQQEATGTPPRHYHQSKKKRKASVSLWNLNTSQRDSWTKTRASFGFHKKKIITSVIE VCSSVASSSSRSLLSECSTPPIKNRAHLTVSSRCSSVYLLSPLKTLHVTDQRPSYAEKVY GECNQEGPIPFSDCLSTEKLERCEKIGEGVFGEVFQIINDQAPVALKIIAIEGLDLVNGS HQKTFEEILPEIIISKELSLLSSEAYNRTEGFIGLNSVHCVQGLYPPLLLKAWDHYNTTK RSANDRPDFFQEDQLFIILEFEFGGVDLERMKTKLSSVATAKSILHQITASLAVAEASLH FEHRDLHWGNVLLKKTNLKELRYTLNGKTSTIPTHGLQVNIIDYTLSRLERDGIVVFCDI SAEEDLFTGEGDYQFEIYRLMRKENKNCWGEYHPYNNVLWLHYLTDKILNKMKFKTKCQS AAMKQIRKNLQHFHRTVLSFSSATDLLCQHSLFR >ENSMUSP00000074483.4 pep:known chromosome:GRCm38:X:134601179:134607054:1 gene:ENSMUSG00000045427.13 transcript:ENSMUST00000074950.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph2 description:heterogeneous nuclear ribonucleoprotein H2 [Source:MGI Symbol;Acc:MGI:1201779] MMLSTEGREGFVVKVRGLPWSCSAEEVMRFFSDCKIQNGTSGVRFIYTREGRPSGEAFVE LESEDEVKLALKKDRETMGHRYVEVFKSNSVEMDWVLKHTGPNSPDTANDGFVRLRGLPF GCSKEEIVQFFSGLEIVPNGMTLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY IEIFKSSRAEVRTHYDPPRKLMTMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY GGYDDYGGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSSFQSTTGHCVHMRGLPYRA TENDIYNFFSPLNPMRVHIEIGPDGRVTGEADVEFATHEDAVAAMAKDKANMQHRYVELF LNSTAGTSGGAYDHSYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGY GGGYGGQSSMSGYDQVLQENSSDYQSNLA >ENSMUSP00000108829.1 pep:known chromosome:GRCm38:X:134601225:134607060:1 gene:ENSMUSG00000045427.13 transcript:ENSMUST00000113203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph2 description:heterogeneous nuclear ribonucleoprotein H2 [Source:MGI Symbol;Acc:MGI:1201779] MMLSTEGREGFVVKVRGLPWSCSAEEVMRFFSDCKIQNGTSGVRFIYTREGRPSGEAFVE LESEDEVKLALKKDRETMGHRYVEVFKSNSVEMDWVLKHTGPNSPDTANDGFVRLRGLPF GCSKEEIVQFFSGLEIVPNGMTLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY IEIFKSSRAEVRTHYDPPRKLMTMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY GGYDDYGGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSSFQSTTGHCVHMRGLPYRA TENDIYNFFSPLNPMRVHIEIGPDGRVTGEADVEFATHEDAVAAMAKDKANMQHRYVELF LNSTAGTSGGAYDHSYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGY GGGYGGQSSMSGYDQVLQENSSDYQSNLA >ENSMUSP00000108828.1 pep:known chromosome:GRCm38:X:134601258:134606758:1 gene:ENSMUSG00000045427.13 transcript:ENSMUST00000113202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph2 description:heterogeneous nuclear ribonucleoprotein H2 [Source:MGI Symbol;Acc:MGI:1201779] MMLSTEGREGFVVKVRGLPWSCSAEEVMRFFSDCKIQNGTSGVRFIYTREGRPSGEAFVE LESEDEVKLALKKDRETMGHRYVEVFKSNSVEMDWVLKHTGPNSPDTANDGFVRLRGLPF GCSKEEIVQFFSGLEIVPNGMTLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY IEIFKSSRAEVRTHYDPPRKLMTMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY GGYDDYGGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSSFQSTTGHCVHMRGLPYRA TENDIYNFFSPLNPMRVHIEIGPDGRVTGEADVEFATHEDAVAAMAKDKANMQHRYVELF LNSTAGTSGGAYDHSYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGY GGGYGGQSSMSGYDQVLQENSSDYQSNLA >ENSMUSP00000108827.1 pep:known chromosome:GRCm38:X:134601271:134606384:1 gene:ENSMUSG00000045427.13 transcript:ENSMUST00000050331.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph2 description:heterogeneous nuclear ribonucleoprotein H2 [Source:MGI Symbol;Acc:MGI:1201779] MMLSTEGREGFVVKVRGLPWSCSAEEVMRFFSDCKIQNGTSGVRFIYTREGRPSGEAFVE LESEDEVKLALKKDRETMGHRYVEVFKSNSVEMDWVLKHTGPNSPDTANDGFVRLRGLPF GCSKEEIVQFFSGLEIVPNGMTLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY IEIFKSSRAEVRTHYDPPRKLMTMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY GGYDDYGGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSSFQSTTGHCVHMRGLPYRA TENDIYNFFSPLNPMRVHIEIGPDGRVTGEADVEFATHEDAVAAMAKDKANMQHRYVELF LNSTAGTSGGAYDHSYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGY GGGYGGQSSMSGYDQVLQENSSDYQSNLA >ENSMUSP00000050838.5 pep:known chromosome:GRCm38:X:134601286:134607054:1 gene:ENSMUSG00000045427.13 transcript:ENSMUST00000059297.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph2 description:heterogeneous nuclear ribonucleoprotein H2 [Source:MGI Symbol;Acc:MGI:1201779] MMLSTEGREGFVVKVRGLPWSCSAEEVMRFFSDCKIQNGTSGVRFIYTREGRPSGEAFVE LESEDEVKLALKKDRETMGHRYVEVFKSNSVEMDWVLKHTGPNSPDTANDGFVRLRGLPF GCSKEEIVQFFSGLEIVPNGMTLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY IEIFKSSRAEVRTHYDPPRKLMTMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY GGYDDYGGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSSFQSTTGHCVHMRGLPYRA TENDIYNFFSPLNPMRVHIEIGPDGRVTGEADVEFATHEDAVAAMAKDKANMQHRYVELF LNSTAGTSGGAYDHSYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGY GGGYGGQSSMSGYDQVLQENSSDYQSNLA >ENSMUSP00000099596.3 pep:known chromosome:GRCm38:11:73090583:73134834:1 gene:ENSMUSG00000005947.11 transcript:ENSMUST00000102537.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgae description:integrin alpha E, epithelial-associated [Source:MGI Symbol;Acc:MGI:1298377] MKWLFHTLLCMASLKPQGAFNLDVDWAWVTALQPGAPAVLSSLLHQDPSNNQTCLLVARR SSNRNTAALYRCAISISPDEIACQPVEHICMPKGRYQGVTLVGNHNGVLVCIQVQARKFR SLNSELTGACSLLTPNLDLQAQAYFSDLEGFLDPGAHVDSGDYCRSKGGSTGEEKKSARR RRTVEEEDEEEDGTEIAIVLDGSGSIEPSDFQKAKNFISTMMRNFYEKCFECNFALVQYG AVIQTEFDLQESRDINASLAKVQSIVQVKEVTKTASAMQHVLDNIFIPSRGSRKKALKVM VVLTDGDIFGDPLNLTTVINSPKMQGVVRFAIGVGDAFKNNNTYRELKLIASDPKEAHTF KVTNYSALDGLLSKLQQHIVHMEGTVGDALQYQLAQTGFSAQILDKGQVLLGTVGAFNWS GGALLYSTQNGRGCFLNQTAKEDSRTVQYSYLGYSLAVLHKAHGVSYVAGAPRHKLRGAV FELRKEDREEDAFVRRIEGEQMGSYFGSVLCPVDIDMDGTTDFLLVAAPFYHIRGEEGRV YVYQVPEQDASFSLAHTLSGHPGLTNSRFGFAMAAVGDINQDKFTDVAIGAPLEGFGAGD GASYGSVYIYNGHSGGLYDSPSQQIRASSVASGLHYFGMSVSGGLDFNGDGLADITVGSR DSAVVLRSRPVVDLTVSMTFTPDALPMVFIGKMDVKLCFEVDSSGVASEPGLREMFLNFT VDVDVTKQRQRLQCEDSSGCQSCLRKWNGGSFLCEHFWLISTEELCEEDCFSNITIKVTY EFQTSGGRRDYPNPTLDHYKEPSAIFQLPYEKDCKNKVFCIAEIQLTTNISQQELVVGVT KEVTMNISLTNSGEDSYMTNMALNYPRNLQFKKIQKPVSPDVQCDDPKPVASVLVMNCKI GHPILKRSSVNVSVTWQLEESVFPNRTADITVTISNSNEKSLARETRSLQFRHAFIAVLS RPSVMYMNTSQSPSDHKEFFFNVHGENLFGAVFQLQICVPIKLQDFQIVRVKNLTKTQVF KWESVIASLSKDYRFSKI >ENSMUSP00000006101.3 pep:known chromosome:GRCm38:11:73090583:73147446:1 gene:ENSMUSG00000005947.11 transcript:ENSMUST00000006101.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgae description:integrin alpha E, epithelial-associated [Source:MGI Symbol;Acc:MGI:1298377] MKWLFHTLLCMASLKPQGAFNLDVDWAWVTALQPGAPAVLSSLLHQDPSNNQTCLLVARR SSNRNTAALYRCAISISPDEIACQPVEHICMPKGRYQGVTLVGNHNGVLVCIQVQARKFR SLNSELTGACSLLTPNLDLQAQAYFSDLEGFLDPGAHVDSGDYCRSKGGSTGEEKKSARR RRTVEEEDEEEDGTEIAIVLDGSGSIEPSDFQKAKNFISTMMRNFYEKCFECNFALVQYG AVIQTEFDLQESRDINASLAKVQSIVQVKEVTKTASAMQHVLDNIFIPSRGSRKKALKVM VVLTDGDIFGDPLNLTTVINSPKMQGVVRFAIGVGDAFKNNNTYRELKLIASDPKEAHTF KVTNYSALDGLLSKLQQHIVHMEGTVGDALQYQLAQTGFSAQILDKGQVLLGTVGAFNWS GGALLYSTQNGRGCFLNQTAKEDSRTVQYSYLGYSLAVLHKAHGVSYVAGAPRHKLRGAV FELRKEDREEDAFVRRIEGEQMGSYFGSVLCPVDIDMDGTTDFLLVAAPFYHIRGEEGRV YVYQVPEQDASFSLAHTLSGHPGLTNSRFGFAMAAVGDINQDKFTDVAIGAPLEGFGAGD GASYGSVYIYNGHSGGLYDSPSQQIRASSVASGLHYFGMSVSGGLDFNGDGLADITVGSR DSAVVLRSRPVVDLTVSMTFTPDALPMVFIGKMDVKLCFEVDSSGVASEPGLREMFLNFT VDVDVTKQRQRLQCEDSSGCQSCLRKWNGGSFLCEHFWLISTEELCEEDCFSNITIKVTY EFQTSGGRRDYPNPTLDHYKEPSAIFQLPYEKDCKNKVFCIAEIQLTTNISQQELVVGVT KEVTMNISLTNSGEDSYMTNMALNYPRNLQFKKIQKPVSPDVQCDDPKPVASVLVMNCKI GHPILKRSSVNVSVTWQLEESVFPNRTADITVTISNSNEKSLARETRSLQFRHAFIAVLS RPSVMYMNTSQSPSDHKEFFFNVHGENLFGAVFQLQICVPIKLQDFQIVRVKNLTKTQDH TECTQSQEPACGSDPVQHVKEWHSVVCAITSNKENVTVAAEISVGHTKQLLRDVSELPIL GEISFNKSLYEGLNAENHRTKITVIFLKEEETRSLPLIIGSSIGGLLVLVVIIAILFKCG FFKRKYQQLNLESTRRAQLKADSLLQD >ENSMUSP00000093605.2 pep:known chromosome:GRCm38:14:51711643:51717183:-1 gene:ENSMUSG00000068506.3 transcript:ENSMUST00000095916.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5800 description:predicted gene 5800 [Source:MGI Symbol;Acc:MGI:3648769] MGSQAGSFRKASPETPNINEQEKRIKRLEKLKRDLQNIKNERDELQGILANYCNKNLNDR INFETFMLTMQHDQVMTDLKRMTQEISEALSKYKELTTENQLYYFRTCHLLTECNHIKQK VRMLRKENRQLFAEQKALEECNILRKILCKEDSQKNKNKYSRQSAGKQIIVVPGSREKAA VSNPCA >ENSMUSP00000145274.1 pep:known chromosome:GRCm38:6:87842615:87851106:-1 gene:ENSMUSG00000030057.15 transcript:ENSMUST00000204653.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnbp description:cellular nucleic acid binding protein [Source:MGI Symbol;Acc:MGI:88431] MSSNECFKCGRSGHWARECPTGGGRGRGMRSRGRGGFTSDRGFQFVSSSLPDICYRCGES GHLAKDCDLQEDACYNCGRGGHIAKDCKEPKREREQCCYNCGKPGHLARDCDHADEQKCY SCGEFGHIQKDCTKVKCYRCGETGHVAINCSKTSEVNCYRCGESGHLARECTIEATA >ENSMUSP00000145227.1 pep:known chromosome:GRCm38:6:87843082:87851030:-1 gene:ENSMUSG00000030057.15 transcript:ENSMUST00000204890.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnbp description:cellular nucleic acid binding protein [Source:MGI Symbol;Acc:MGI:88431] MSSNECFKCGRSGHWARECPTGGGRGRGMRSRGRGGFQFVSSSLPDICYRCGESGHLAKD CDLQEDACYNCGRGGHIAKDCKEPKREREQCCYNCGKPGHLARDCDHADEQKCYSCGEFG HIQKDCTKVKCYRCGETGHVAINCSKTSEVNCYRCGESGHLARECTIEATA >ENSMUSP00000109249.2 pep:known chromosome:GRCm38:6:87843082:87851080:-1 gene:ENSMUSG00000030057.15 transcript:ENSMUST00000113619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnbp description:cellular nucleic acid binding protein [Source:MGI Symbol;Acc:MGI:88431] MSSNECFKCGRSGHWARECPTGGGRGRGMRSRGRGFQFVSSSLPDICYRCGESGHLAKDC DLQEDACYNCGRGGHIAKDCKEPKREREQCCYNCGKPGHLARDCDHADEQKCYSCGEFGH IQKDCTKVKCYRCGETGHVAINCSKTSEVNCYRCGESGHLARECTIEATA >ENSMUSP00000109247.2 pep:known chromosome:GRCm38:6:87843887:87851060:-1 gene:ENSMUSG00000030057.15 transcript:ENSMUST00000113617.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnbp description:cellular nucleic acid binding protein [Source:MGI Symbol;Acc:MGI:88431] MSSNECFKCGRSGHWARECPTGGGRGRGMRSRGRGGFTSDRGFQFVSSSLPDICYRCGES GHLAKDCDLQEDACYNCGRGGHIAKDCKEPKREREQCCYNCGKPGHLARDCDHADEQKCY SCGEFGHIQKDCTKVKCYRCGETGHVAINCSKTSEVNCYRCGESGHLARECTIEATA >ENSMUSP00000032138.8 pep:known chromosome:GRCm38:6:87842615:87851106:-1 gene:ENSMUSG00000030057.15 transcript:ENSMUST00000032138.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnbp description:cellular nucleic acid binding protein [Source:MGI Symbol;Acc:MGI:88431] MSSNECFKCGRSGHWARECPTGGGRGRGMRSRGRGGFTSDRGFQFVSSSLPDICYRCGES GHLAKDCDLQEDEACYNCGRGGHIAKDCKEPKREREQCCYNCGKPGHLARDCDHADEQKC YSCGEFGHIQKDCTKVKCYRCGETGHVAINCSKTSEVNCYRCGESGHLARECTIEATA >ENSMUSP00000053916.6 pep:known chromosome:GRCm38:3:152183955:152193845:-1 gene:ENSMUSG00000028035.13 transcript:ENSMUST00000050073.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb4 description:DnaJ heat shock protein family (Hsp40) member B4 [Source:MGI Symbol;Acc:MGI:1914285] MGKDYYHILGIDKGATDEDVKKAYRKQALKFHPDKNKSPQAEEKFKEVAEAYEVLSDPKK REIYDQFGEEGLKGGAGGTDGQGGTFRYTFHGDPHATFAAFFGGSNPFEIFFGRRMGGGR DSEEMEIDGDPFSAFGFSMNGYPRDRNSVGPSRLKQDPPIIHELKVSLEEIYSGCTKRMK ISRKRLNPDGRSYRSEDKILTIEIKKGWKEGTKITFPREGDETPNSIPADIVFVIKDKEH PKFKRDGSNIVYTAKISLREALCGCSLNVPTMDGRNLPMSVTDIVKPGMRRRVIGYGLPF PKNPDQRGDLLIEFDVSFPDVISAASKEILRKHLPAS >ENSMUSP00000114356.1 pep:known chromosome:GRCm38:3:152183982:152210083:-1 gene:ENSMUSG00000028035.13 transcript:ENSMUST00000144950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb4 description:DnaJ heat shock protein family (Hsp40) member B4 [Source:MGI Symbol;Acc:MGI:1914285] MGKDYYHILGIDKGATDEDVKKAYRKQALKFHPDKNKSPQAEEKFKEVAEAYEVLSDPKK REIYDQFGEEGLKGGAGGTDGQGGTFRYTFHGDPHATFAAFFGGSNPFEIFFGRRMGGGR DSEEMEIDGDPFSAFGFSMNGYPRDRNSVGPSRLKQDPPIIHELKVSLEEIYSGCTKRMK ISRKRLNPDGRSYRSEDKILTIEIKKGWKEGTKITFPREGDETPNSIPADIVFVIKDKEH PKFKRDGSNIVYTAKISLREALCGCSLNVPTMDGRNLPMSVTDIVKPGMRRRVIGYGLPF PKNPDQRGDLLIEFDVSFPDVISAASKEILRKHLPAS >ENSMUSP00000029669.3 pep:known chromosome:GRCm38:3:152185081:152210019:-1 gene:ENSMUSG00000028035.13 transcript:ENSMUST00000029669.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb4 description:DnaJ heat shock protein family (Hsp40) member B4 [Source:MGI Symbol;Acc:MGI:1914285] MGKDYYHILGIDKGATDEDVKKAYRKQALKFHPDKNKSPQAEEKFKEVAEAYEVLSDPKK REIYDQFGEEGLKGGAGGTDGQGGTFRYTFHGDPHATFAAFFGGSNPFEIFFGRRMGGGR DSEEMEIDGDPFSAFGFSMNGYPRDRNSVGPSRLKQDPPIIHELKVSLEEIYSGCTKRMK ISRKRLNPDGRSYRSEDKILTIEIKKGWKEGTKITFPREGDETPNSIPADIVFVIKDKEH PKFKRDGSNIVYTAKISLREALCGCSLNVPTMDGRNLPMSVTDIVKPGMRRRVIGYGLPF PKNPDQRGDLLIEFDVSFPDVISAASKEILRKHLPAS >ENSMUSP00000142834.1 pep:known chromosome:GRCm38:3:152193563:152210057:-1 gene:ENSMUSG00000028035.13 transcript:ENSMUST00000197941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb4 description:DnaJ heat shock protein family (Hsp40) member B4 [Source:MGI Symbol;Acc:MGI:1914285] MGKDYYHI >ENSMUSP00000101323.2 pep:known chromosome:GRCm38:4:148643317:148671572:1 gene:ENSMUSG00000070577.5 transcript:ENSMUST00000105698.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm572 description:predicted gene 572 [Source:MGI Symbol;Acc:MGI:2685418] MWGSVAVVWAICLACIQPAVFPWILPVRSNKDRPRPAHGALTEKVECFSDYMTLQIPSSH VQGLKQWLVGVLRLPGSKRAPNHLDSLLTKCGYLLHPAHEGGFIFRALYSGCFVQKEKAN YRLEIRMFQKGAKRLKQSDRYIMRCPMMVARLGEQSVRCHPSFIQVSRPWPPRTDAGQTP WLLSLRGELVASLEDASLMGLEVDIGATMVTIQSPRQELLQRQEVWNTSLELLPLWLVSG SYAYSFEAACPLVSSQPGSEISVHIPKQRLGLVKRGSLVEESLSPRFLQVQQTDTFTVAE DRDFVIVSIPSMRLLQDQPCQKARESPGTQAFYRVDLSLDFAEMDSPVHWTVENFFQCVD SCGTDIDFEREGWNATRPVCSQRSEATRWGGGVGLSSSL >ENSMUSP00000049046.5 pep:known chromosome:GRCm38:9:65587205:65595967:1 gene:ENSMUSG00000041064.13 transcript:ENSMUST00000047099.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pif1 description:PIF1 5'-to-3' DNA helicase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143057] MRSGLCTPAEALEMPSSTEAATDECDDAELRCRVAVEELSPGGQPRKRQALRAAELSLGR NERRELMLRLQAPGPTGRPRCFPLRAVRLFTRFAATGRSTLRLPTDGVPGAGSVQLLLSD CPPERLRRFLRTLRLKLAVAPGPGPASARAQLLGPRPRDFVTISPVQPEELQRAAATKAP DSALEKRPMESQTSTEAPRWPLPVKKLRMPSTKPKLSEEQAAVLRMVLKGQSIFFTGSAG TGKSYLLKHILGSLPPTGTVATASTGVAACHIGGTTLHAFAGIGSGQAPLAQCMALANRP GVRQGWLNCQRLVIDEISMVEADFFDKLEAVARAVRQQKKPFGGIQLIICGDFLQLPPVT KGSQQPQFCFQAKSWRRCVPVILELTEVWRQADQTFISLLQAVRLGRCSDEVTRQLRATA AHKVGRDGIVATRLCTHQDDVALTNEKWLKALPGDVHSFEAIDSDPELSRTLDAQCPVSR VLQLKLGAQVMLVKNLAVSRGLVNGARGVVVGFESEGRGLPRVRFLCGITEVIRTDRWTV QVTGGQYLSRQQLPLQLAWAISIHKSQGMSLDCVEISLGRVFASGQAYVALSRARSLQGL RVLDFDPTVVRCDSRVLHFYATLRQGRGLSLESQDDEEANSDLENMDPNL >ENSMUSP00000122060.1 pep:known chromosome:GRCm38:9:65587160:65595964:1 gene:ENSMUSG00000041064.13 transcript:ENSMUST00000134538.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pif1 description:PIF1 5'-to-3' DNA helicase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143057] MRSGLCTPAEALEMPSSTEAATDECDDAELRCRVAVEELSPGGQPRKRQALRAAELSLGR NERRELMLRLQAPGPTGRPRCFPLRAVRLFTRFAATGRSTLRLPTDGVPGAGSVQLLLSD CPPERLRRFLRTLRLKLAVAPGPGPASARAQLLGPRPRDFVTISPVQPEELQRAAATKAP DSALEKRPMESQTSTEAPRWPLPVKKLRMPSTKPKLSEEQAAVLRMVLKGQSIFFTGSAG TGKSYLLKHILGSLPPTGTVATASTGVAACHIGGTTLHAFAGIGSGQAPLAQCMALANRP GVRQGWLNCQRLVIDEISMVEADFFDKLEAVARAVRQQKKPFGGIQLIICGDFLQLPPVT KGSQQPQFCFQAKSWRRCVPVILELTEVWRQADQTFISLLQAVRLGRCSDEVTRQLRATA AHKVGRDGIVATRLCTHQDDVALTNEKWLKALPGDVHSFEAIDSDPELSRTLDAQCPVSR VLQLKLGAQVMLVKNLAVSRGLVNGARGVVVGFESEGRGLPRVRFLCGITEVIRTDRWTV QVTGGQYLSRQQLPLQLAWAISIHKSQGMSLDCVEISLGRVFASGQAYVALSRARSLQGL RVLDFDPTVVRCDSRVLHFYATLRQGRGLSLESQDDEEANSDLENMDPNL >ENSMUSP00000117085.1 pep:known chromosome:GRCm38:9:65587193:65595962:1 gene:ENSMUSG00000041064.13 transcript:ENSMUST00000154970.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pif1 description:PIF1 5'-to-3' DNA helicase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143057] MRSGLCTPAEALEMPSSTEAATDECDDAELRCRVAVEELSPGGQPRKRQALRAAELSLGR NERRELMLRLQAPGPTGRPRCFPLRAVRLFTRFAATGRSTLRLPTDGVPGAGSVQLLLSD CPPERLRRFLRTLRLKLAVAPGPGPASARAQLLGPRPRDFVTISPVQPEELQRAAATKAP DSALEKRPMESQTSTEAPRWPLPVKKLRMPSTKPKLSEEQAAVLRMVLKGQSIFFTGSAG TGKSYLLKHILGSLPPTGTVATASTGVAACHIGGTTLHAFAGIGSGQAPLAQCMALANRP GVRQGWLNCQRLVIDEISMVEADFFDKLEAVARAVRQQKKPFGGIQLIICGDFLQLPPVT KGSQQPQFCFQAKSWRRCVPVILELTEVWRQADQTFISLLQAVRLGRGSLV >ENSMUSP00000117494.1 pep:known chromosome:GRCm38:9:65587221:65595967:1 gene:ENSMUSG00000041064.13 transcript:ENSMUST00000131483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pif1 description:PIF1 5'-to-3' DNA helicase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143057] MRSGLCTPAEALEMPSSTEAATDECDDAELRCRVAVEELSPGGQPRKRQALRAAELSLGR NERRELMLRLQAPGPTGRPRCFPLRAVRLFTRFAATGRSTLRLPTDGVPGAGSVQLLLSD CPPERLRRFLRTLRLKLAVAPGPGPASARAQLLGPRPRDFVTISPVQPEELQRAAATKAP DSALEKRPMESQTSTEAPRWPLPVKKLRMPSTKPKLSEEQAAVLRMVLKGQSIFFTGSAG TGKSYLLKHILGSLPPTGTVATASTGVAACHIGGTTLHAFAGIGSGQAPLAQCMALANRP GVRQGWLNCQRLVIDEISMVEADFFDKLEAVARAVRQQKKPFGGIQLIICGDFLQLPPVT KGSQQPQFCFQAKSWRRCVPVILELTEVWRQADQTFISLLQAVRLGRCSDEVTRQLRATA AHKVGRDGIVATRLCTHQDDVALTNEKWLKALPGDVHSFEAIDSDPELSRTLDAQCPVSR VLQLKLGAQVMLVKNLAVSRGLVNGARGVVVGFESEGRGLPRVRFLCGITEVIRTDRWTV QVTGGQYLSRQQLPLQLAWAISIHKSQGMSLDCVEISLGRVFASGQAYVALSRARSLQGL RVLDFDPTVVRCDSRVLHFYATLRQGRGLSLESQDDEEANSDLENMDPNL >ENSMUSP00000120400.1 pep:known chromosome:GRCm38:9:65587226:65588280:1 gene:ENSMUSG00000041064.13 transcript:ENSMUST00000141046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pif1 description:PIF1 5'-to-3' DNA helicase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143057] MRSGLCTPAEALEMPSSTEAATDECDDAELRCRVAVEELSPGGQPRKRQALRAAELSLGR NERRELMLRLQAPGPTGRPRCFPLRAVRLFTRFAATGRSTLRLPTDGVPGAGSVQLLLSD CPPERLRRFLRTLRLKLAVAPGPGPASARAQLLGPRP >ENSMUSP00000118742.1 pep:known chromosome:GRCm38:9:65587182:65587837:1 gene:ENSMUSG00000041064.13 transcript:ENSMUST00000136205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pif1 description:PIF1 5'-to-3' DNA helicase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2143057] MRSGLCTPA >ENSMUSP00000029453.7 pep:known chromosome:GRCm38:3:102153583:102204693:-1 gene:ENSMUSG00000027860.15 transcript:ENSMUST00000029453.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl1 description:vang-like 1 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2159344] MDTESTYSGYSYYSSHSKKSHRQGERTRERHKSPRNKDGRGSEKSVTIQAPAGEPLLAND SARTGAEEVQDDNWGETTTAITGTSEHSISQEDIARISKDMEDSVGLDCKRYLGLTVASF LGLLVFLTPIAFILLPQILWREELKPCGAICEGLLISVSFKLLILLIGTWALFFRKQRAD VPRVFVFRALLLVLIFLFVVSYWLFYGVRILDSRDQNYKDIVQYAVSLVDALLFIHYLAI VLLELRQLQPMFTLQVVRSTDGESRFYSLGHLSIQRAALVVLENYYKDFTIYNPNLLTAS KFRAAKHMAGLKVYNVDGPSNNATGQSRAMIAAAARRRDSSHNELYYEEAEHERRVKKRR ARLVVAVEEAFIHIQRLQAEEQQKSPGEVMDPREAAQAIFPSMARALQKYLRTTRQQHYH SMESILQHLAFCITNSMTPKAFLERYLSAGPTLQYDKDRWLSTQWRLISEEAVTNGLRDG IVFVLKCLDFSLVVNVKKIPFIVLSEEFIDPKSHKFVLRLQSETSV >ENSMUSP00000125484.1 pep:known chromosome:GRCm38:3:102158180:102166930:-1 gene:ENSMUSG00000027860.15 transcript:ENSMUST00000161021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl1 description:vang-like 1 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2159344] XDFTIYNPNLLTASKFRAAKHMAGLKVYNVDAALMAKLKLCVADGPSNNATGQSRAMIAA AARRRDSSHNELYYEEAEHERRVKKRRARLVVAVEEAFIHIQRLQAEEQQKSPGEVMDPR EAAQAIFPSMARALQKYLRTTRQQHYHSMESILQHLAFCITNSMTPKAFLERYLSAGPTL QYDKDRWLSTQWRLISEEAVTNGLRDGIVFVLKCLDFSLVVNVKKIPFIVLSEEFIDPKS HKFVLRLQSETSV >ENSMUSP00000124874.1 pep:known chromosome:GRCm38:3:102184356:102197905:-1 gene:ENSMUSG00000027860.15 transcript:ENSMUST00000159586.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl1 description:vang-like 1 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2159344] MDTESTYSGYSYYSSHSKKSHRQGERTRERHKSPRNKDGRGSEKSVTIQAPAGEPLLAND SARTGAEEVQDDNWGETTTAITGTSEHSISQEDIARISKDMEDSVGLDCKRYLGLTVASF LGLLVFLTPIAFILLPQ >ENSMUSP00000124642.1 pep:known chromosome:GRCm38:3:102196831:102203881:-1 gene:ENSMUSG00000027860.15 transcript:ENSMUST00000161722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl1 description:vang-like 1 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2159344] MDTESTYSGYS >ENSMUSP00000125043.2 pep:known chromosome:GRCm38:3:102156717:102203963:-1 gene:ENSMUSG00000027860.15 transcript:ENSMUST00000159388.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl1 description:vang-like 1 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2159344] MDTESTYSGYSYYSSHSKKSHRQGERTRERHKSPRNKDGRGSEKSVTIQAPAGEPLLAND SARTGAEEVQDDNWGETTTAITGTSEHSISQEDIARISKDMEDSVGLDCKRYLGLTVASF LGLLVFLTPIAFILLPQILWREELKPCGAICEGLLISVSFKLLILLIGTWALFFRKQRAD VPRVFVFRALLLVLIFLFVVSYWLFYGVRILDSRDQNYKDIVQYAVSLVDALLFIHYLAI VLLELRQLQPMFTLQVVRSTDGESRFYSLGHLSIQRAALVVLENYYKDFTIYNPNLLTAS KFRAAKHMAGLKVYNVDGPSNNATGQSRAMIAAAARRRDSSHNELYYEEAEHERRGTAEV PAHHTAAALPQHGEYPAAPGLLHHQQHDPQGFP >ENSMUSP00000126254.1 pep:known chromosome:GRCm38:3:102158183:102203963:-1 gene:ENSMUSG00000027860.15 transcript:ENSMUST00000168312.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl1 description:vang-like 1 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2159344] MDTESTYSGYSYYSSHSKKSHRQGERTRERHKSPRNKDGRGSEKSVTIQAPAGEPLLAND SARTGAEEVQDDNWGETTTAITGTSEHSISQEDIARISKDMEDSVGLDCKRYLGLTVASF LGLLVFLTPIAFILLPQILWREELKPCGAICEGLLISVSFKLLILLIGTWALFFRKQRAD VPRVFVFRALLLVLIFLFVVSYWLFYGVRILDSRDQNYKDIVQYAVSLVDALLFIHYLAI VLLELRQLQPMFTLQVVRSTDGESRFYSLGHLSIQRAALVVLENYYKDFTIYNPNLLTAS KFRAAKHMAGLKVYNVDGPSNNATGQSRAMIAAAARRRDSSHNELYYEEAEHERRALQKY LRTTRQQHYHSMESILQHLAFCITNSMTPKAFLERYLSAGPTLQYDKDRWLSTQWRLISE EAVTNGLRDGIVFVLKCLDFSLVVNVKKIPFIVLSEEFIDPKSHKFVLRLQSETSV >ENSMUSP00000095901.4 pep:known chromosome:GRCm38:7:97371606:97392185:1 gene:ENSMUSG00000035704.17 transcript:ENSMUST00000098300.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg8 description:asparagine-linked glycosylation 8 (alpha-1,3-glucosyltransferase) [Source:MGI Symbol;Acc:MGI:2141959] MAASGSATAGGHWFSALALGVTLLKCLLIPTYHSTDFEVHRNWLAITHSLPISQWYYEAT SEWTLDYPPFFAWFEYALSHIAKYFDQEMLNIHNLNYYSSRTLLFQRFSVILTDALFVYA VHECCKCIDGKRTGKDLTEKPKFILSVLLLWNFGLLIVDHIHFQYNGFLSGLLLLSIARL FQKRHIEGALLFAVLLHLKHIYLYVAPAYGVYLLRSYCFTASKPDGSVRWSSFSVVRVTS LGLIVFLVSALSLGPFLALNQLPQVFSRLFPFKRGLCHAYWAPNFWALYNALDKVLSVIG LKLKLLDPSQIPRASMTSGLVQQFQHTVLPSVSPLATLICTLIAILPSVFCLWFKPQGPR GFLRCLVLCALSSFMFGWHVHEKAILLAILPMSLLSVEKAGDATVFLILATTGHYSLFPL LFTAPELPIKILLMLLFTVYSISSLKTLFRKEKPLFNWMETVYLLGLGPLEVCCEFLLPF TSWKLKYPFIPLLLTSVYCAVGITYAWTRLYASVLTGSLVSKTKKH >ENSMUSP00000124565.1 pep:known chromosome:GRCm38:12:87426169:87443839:-1 gene:ENSMUSG00000079036.10 transcript:ENSMUST00000162961.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh1 description:alkB homolog 1, histone H2A dioxygenase [Source:MGI Symbol;Acc:MGI:2384034] MGKMAAAVASLATLAAEPREDAFRKLFRFYRQSRPGTADLGAVIDFSEAHLARSPKPGVP QVVRFPLNVSSVTERDAERVGLEPVSKWRAYGLEGYPGFIFIPNPFLPGCQRHWVKQCLK LYSQKPNVCNLDKHMTKEETQGLWEQSKEVLRSKEVTKRRPRSLLERLRWVTLGYHYNWD SKKYSADHYTPFPSDLAFLSEQVATACGFQGFQAEAGILNYYRLDSTLGIHVDRSELDHS KPLLSFSFGQSAIFLLGGLKRDEAPTAMFMHSGDIMVMSGFSRLLNHAVPRVLPHPDGEC LPHCLETPLPAVLPSNSLVEPCSVEDWQVCATYLRTARVNMTVRQVLATGQDFPLEPVEE TKRDIAADGLCHLHDPNSPVKRKRLNPNS >ENSMUSP00000124691.1 pep:known chromosome:GRCm38:12:87428077:87443826:-1 gene:ENSMUSG00000079036.10 transcript:ENSMUST00000160113.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alkbh1 description:alkB homolog 1, histone H2A dioxygenase [Source:MGI Symbol;Acc:MGI:2384034] MGKMAAAVASLATLAAEPREDAFRKLFRFYRQSRPGTADLGAVIDFSEAHLARSPKPGVP QEFSILGE >ENSMUSP00000124360.1 pep:known chromosome:GRCm38:12:87428077:87443828:-1 gene:ENSMUSG00000079036.10 transcript:ENSMUST00000162247.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alkbh1 description:alkB homolog 1, histone H2A dioxygenase [Source:MGI Symbol;Acc:MGI:2384034] MGKMAAAVASLATLAAEPREDAFRKLFRFYRQSRPGTADLGAVIDFSEAHLARSPKPGVP QVVRFPLNVSSVTERDAERVGLEPVSKWRAYGLEGYPGFIFIPNPFLPGCQRHWVKQCLK LYSQKPNVCNLDKHMTKEETQGLWEQSKEVLRSKEVTKRRPRSLLERLRWVTLGYHYNWD SKSKSPLPVDFRVSKQKQGS >ENSMUSP00000124933.1 pep:known chromosome:GRCm38:12:87428080:87443836:-1 gene:ENSMUSG00000079036.10 transcript:ENSMUST00000161712.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alkbh1 description:alkB homolog 1, histone H2A dioxygenase [Source:MGI Symbol;Acc:MGI:2384034] MGKMAAAVASLATLAAEPREDAFRKLFRFYRQSRPGTADLGAVIDFSEAHLARSPKPGVP QEFSILGE >ENSMUSP00000124445.1 pep:known chromosome:GRCm38:12:87428810:87443828:-1 gene:ENSMUSG00000079036.10 transcript:ENSMUST00000159079.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alkbh1 description:alkB homolog 1, histone H2A dioxygenase [Source:MGI Symbol;Acc:MGI:2384034] MGKMAAAVASLATLAAEPREDAFRKLFRFYRQSRPGTADLGAVIDFSEAHLARSPKPGVP QVVRFPLNVSSVTERDAERVGLEPVSKWRAYGLEGYPGLKK >ENSMUSP00000124892.1 pep:known chromosome:GRCm38:12:87429121:87438559:-1 gene:ENSMUSG00000079036.10 transcript:ENSMUST00000160687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh1 description:alkB homolog 1, histone H2A dioxygenase [Source:MGI Symbol;Acc:MGI:2384034] XFIFIPNPFLPGCQRHWVKQCLKLYSQKPNVCNLDKHMTKEETQGLWEQSKEVLRSKEVT KRRPRSLLERLRWVTLGYHYNWDSKQVATACGFQGFQAEAGILNYYRLDSTLGIHVDRSE LDHSKPLLSFSFGQSAIFLLGGLKRDEAPTAMFMHSGDIMVMSGFSRLLNHAVPRVLPHP >ENSMUSP00000125372.1 pep:known chromosome:GRCm38:12:87433246:87443833:-1 gene:ENSMUSG00000079036.10 transcript:ENSMUST00000162986.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alkbh1 description:alkB homolog 1, histone H2A dioxygenase [Source:MGI Symbol;Acc:MGI:2384034] MGKMAAAVASLATLAAEPREDAFRKLFRFYRQSRPGTADLGAVIDFSEAHLARSPKPGVP QDLFSFQTPSSRDARGTG >ENSMUSP00000140233.1 pep:known chromosome:GRCm38:12:87443511:87443918:-1 gene:ENSMUSG00000079036.10 transcript:ENSMUST00000185301.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh1 description:alkB homolog 1, histone H2A dioxygenase [Source:MGI Symbol;Acc:MGI:2384034] MNRNPGVVTPEEPARAGISSSASGPNCWQPRDGEDGGCCGFISHAGCRAQRGCFPEAFPL LPAEPAGDSGPGSRHRLLRGALGSEPEARRAPGRKGGVVCASLAADWATRDWAASGPALE GSPCWACPVSCNVER >ENSMUSP00000079851.5 pep:known chromosome:GRCm38:X:134308084:134362639:1 gene:ENSMUSG00000031262.12 transcript:ENSMUST00000081064.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpi description:centromere protein I [Source:MGI Symbol;Acc:MGI:2147897] MATQRVTRNSQQQNRISQGSNSRQTSLLDWMVKDKSGNFKSVLKESSSVEDSTRTDDRTE GALQVAVGYFQKGPKKASLSKDKVLEKHLTTVENVALSNGLAPEAIDILLNVALSGKFGN AVNSRILKCMIPESHISEDSVLKAVSWLCVDKCSGNTKVLFYRWLVAMFDFIDHKKQISS LYGFFFASLQDDTLCPYVCHLLYLLTKRENVKPFRVRKLLDLQAKMGMQPHLQALLSLYK FFAPTLISVSLPVRKKIFFNNSKNLWTSASLAVRLRNQGAFPEPLNLPLRPTTGRSLKRK WNSHSVIPALNSANKEYGEKTASLFDYLSSERSLPLEQLQRFPQLLESIHCLELPSQMCS VLNSPLLLHYINCVKDESILLRISYWLSQALQEECVWYNINNYEQEKEFINFLDLVIRVQ CFLQEGFYSCEAFLYKSLPLWDGSSCRSQYLQLLAWIPFSSFSEVKPLLSDHLAPLFFTS SIYFKCSVLQSLQELLQNWLLWLSTDAHVQPTTDSPLETTLGGSMSSVSQLIEYTGWLCV VAMRLESSSTLLLHFILDFYEKVCDIYINYDLPIVVLFPPVIFHSALLSLDATILNQLCY IMYRYRNNWTAAKKNRYLQKAKPEFSLSSKICKEYNYYLTAMVCCLWTSRPFKAGVYTDP ETIENTGGTQYKSTLNIVYHPSLLSYAASFLLQESPEEMTEHLSSIQGKKWNWYLDYLYS EGFQGLKLFIKSSVHSSVPKPEENTE >ENSMUSP00000098809.1 pep:known chromosome:GRCm38:X:134308155:134362636:1 gene:ENSMUSG00000031262.12 transcript:ENSMUST00000101251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpi description:centromere protein I [Source:MGI Symbol;Acc:MGI:2147897] MATQRVTRNSQQQNRISQGSNSRQTSLLDWMVKDKSGNFKSVLKESSSVEDSTRTDDRTE GALQVAVGYFQKGPKKASLSKDKVLEKHLTTVENVALSNGLAPEAIDILLNVALSGKFGN AVNSRILKCMIPESHISEDSVLKAVSWLCVDKCSGNTKVLFYRWLVAMFDFIDHKKQISS LYGFFFASLQDDTLCPYVCHLLYLLTKRENVKPFRVRKLLDLQAKMGMQPHLQALLSLYK FFAPTLISVSLPVRKKIFFNNSKNLWTSASLAVRLRNQGAFPEPLNLPLRPTTGRSLKRK WNSHSVIPALNSANKEYGEKTASLFDYLSSERSLPLEQLQRFPQLLESIHCLELPSQMCS VLNSPLLLHYINCVKDESILLRISYWLSQALQEECVWYNINNYEQEKEFINFLDLVIRVQ CFLQEGFYSCEAFLYKSLPLWDGSSCRSQYLQLLAWIPFSSFSEVKPLLSDHLAPLFFTS SIYFKCSVLQSLQELLQNWLLWLSTDAHVQPTTDSPLETTLGGSMSSVSQLIEYTGWLCV VAMRLESSSTLLLHFILDFYEKVCDIYINYDLPIVVLFPPVIFHSALLSLDATILNQLCY IMYRYRNNWTAAKKNRYLQKAKPEFSLSSKICKEYNYYLTAMVCCLWTSRPFKAGVYTDP ETIENTGGTQYKSTLNIVYHPSLLSYAASFLLQESPEEMTEHLSSIQGKKWNWYLDYLYS EGFQGLKLFIKSSVHSSVPKPEENTE >ENSMUSP00000117799.1 pep:known chromosome:GRCm38:X:134308199:134310250:1 gene:ENSMUSG00000031262.12 transcript:ENSMUST00000129782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpi description:centromere protein I [Source:MGI Symbol;Acc:MGI:2147897] MATQRVTRNSQQQNRISQGSNSRQTSLLDWMV >ENSMUSP00000099412.3 pep:known chromosome:GRCm38:2:158028497:158078207:1 gene:ENSMUSG00000027651.16 transcript:ENSMUST00000103123.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprd1b description:regulation of nuclear pre-mRNA domain containing 1B [Source:MGI Symbol;Acc:MGI:1917720] MSSFSESALEKKLSELSNSQQSVQTLSLWLIHHRKHAGPIVSVWHRELRKAKSNRKLTFL YLANDVIQNSKRKGPEFTREFESVLVDAFSHVAREADEGCKKPLERLLNIWQERSVYGGE FIQQLKLSMEDSKSPPPKAEEKKSLKRTFQQIQEEEDDDYPGSYSPQDPSAGPLLTEELI KALQDLENAASGDATVRQKIASLPQEVQDVSLLEKITDKEAAERLSKTVDEACLLLAEYN GRLAAELEDRRQLARMLVEYTQNQKEVLSEKEKKLEEYKQKLARVTQVRKELKSHIQSLP DLSLLPNVTGGLAPLPSAGDLFSTD >ENSMUSP00000105144.1 pep:known chromosome:GRCm38:2:158028701:158078207:1 gene:ENSMUSG00000027651.16 transcript:ENSMUST00000109518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprd1b description:regulation of nuclear pre-mRNA domain containing 1B [Source:MGI Symbol;Acc:MGI:1917720] MSSFSESALEKKLSELSNSQQSVQTLSLWLIHHRKHAGPIVSVWHRELRKAKSNRKLTFL YLANDVIQNSKRKGPEFTREFESVLVDAFSHVAREADEGCKKPLERLLNIWQERSVYGGE FIQQLKLSMEDSKSPPPKAAEEKKSLKRTFQQIQEEEDDDYPGSYSPQDPSAGPLLTEEL IKALQDLENAASGDATVRQKIASLPQEVQDVSLLEKITDKEAAERLSKTVDEACLLLAEY NGRLAAELEDRRQLARMLVEYTQNQKEVLSEKEKKLERLTQQLQPCSDPQNVSF >ENSMUSP00000029180.7 pep:known chromosome:GRCm38:2:158028721:158078207:1 gene:ENSMUSG00000027651.16 transcript:ENSMUST00000029180.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprd1b description:regulation of nuclear pre-mRNA domain containing 1B [Source:MGI Symbol;Acc:MGI:1917720] MSSFSESALEKKLSELSNSQQSVQTLSLWLIHHRKHAGPIVSVWHRELRKAKSNRKLTFL YLANDVIQNSKRKGPEFTREFESVLVDAFSHVAREADEGCKKPLERLLNIWQERSVYGGE FIQQLKLSMEDSKSPPPKAAEEKKSLKRTFQQIQEEEDDDYPGSYSPQDPSAGPLLTEEL IKALQDLENAASGDATVRQKIASLPQEVQDVSLLEKITDKEAAERLSKTVDEACLLLAEY NGRLAAELEDRRQLARMLVEYTQNQKEVLSEKEKKLEEYKQKLARVTQVRKELKSHIQSL PDLSLLPNVTGGLAPLPSAGDLFSTD >ENSMUSP00000118434.1 pep:known chromosome:GRCm38:2:158028799:158132297:1 gene:ENSMUSG00000027651.16 transcript:ENSMUST00000152452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprd1b description:regulation of nuclear pre-mRNA domain containing 1B [Source:MGI Symbol;Acc:MGI:1917720] MSSFSESALEKKLSELSNSQQSVQTLSLWLIHHRKHAGPIVSVWHRELRKAKSNRKLTFL YLANDVIQNSKRKGPEFTREFESVLVDAFSHVAREADEGCKKPLERLLNIWQERSVYGGE FIQQLKLSMEDSKSPPPKAAEEKKSLKRTFQQIQEEEDDDYPGSYSPQDPSAGPLLTEEL IKALQDLENAASGDATVRQKIASLPQEVQDVSLLEKITDAETEA >ENSMUSP00000117807.1 pep:known chromosome:GRCm38:2:158043423:158075067:1 gene:ENSMUSG00000027651.16 transcript:ENSMUST00000128206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprd1b description:regulation of nuclear pre-mRNA domain containing 1B [Source:MGI Symbol;Acc:MGI:1917720] XKKPLERLLNIWQERSVYGGEFIQQLKLSMEDSKSPPPKAEEKKSLKRTFQQIQEEEDDD YPGSYSPQDPSAGPLLTEELIKALQDLENAASGDATVRQKIASLPQEVQDVSLLEKITDK EAAERLSKTVDEACLLLAEYNGRLAAELEDRRQLARMLVEYTQNQKEVLSEKEKKLERLT QQLQPCSDPQNVSF >ENSMUSP00000122419.1 pep:known chromosome:GRCm38:2:158047959:158070510:1 gene:ENSMUSG00000027651.16 transcript:ENSMUST00000135603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprd1b description:regulation of nuclear pre-mRNA domain containing 1B [Source:MGI Symbol;Acc:MGI:1917720] XQEEEDDDYPGSYSPQDPSAGPLLTEELIKALQDLENAASGDATVRQKIASLPQEVQDVS LLEKITDKEAAERLSKTVDEACLLLAEYNGRLAAELEDRRQLARMLVEYTQNQKEVLSEK EKKLEKVLCACLSMALVIKLTSP >ENSMUSP00000011285.4 pep:known chromosome:GRCm38:11:69796068:69801716:-1 gene:ENSMUSG00000042826.13 transcript:ENSMUST00000011285.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf11 description:fibroblast growth factor 11 [Source:MGI Symbol;Acc:MGI:109167] MAALASSLIRQKREVREPGGSRPVSAQRRVCPRGTKSLCQKQLLILLSKVRLCGGRPTRQ DRGPEPQLKGIVTKLFCRQGFYLQANPDGSIQGTPEDTSSFTHFNLIPVGLRVVTIQSAK LGHYMAMNAEGLLYSSRRSGRAWYLGLDKEGRVMKGNRVKKTKAAAHFVPKLLEVAMYRE PSLHSVPETSPSSPPAH >ENSMUSP00000099645.1 pep:known chromosome:GRCm38:11:69796073:69801857:-1 gene:ENSMUSG00000042826.13 transcript:ENSMUST00000102585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf11 description:fibroblast growth factor 11 [Source:MGI Symbol;Acc:MGI:109167] MAALASSLIRQKREVREPGGSRPVSAQRRVCPRGTKSLCQKQLLILLSKVRLCGGRPTRQ DRGPEPQLKGIVTKLFCRQGFYLQANPDGSIQGTPEDTSSFTHFNLIPVGLRVVTIQSAK LGHYMAMNAEGLLYSSPHFTAECRFKECVFENYYVLYASALYRQRRSGRAWYLGLDKEGR VMKGNRVKKTKAAAHFVPKLLEVAMYREPSLHSVPETSPSSPPAH >ENSMUSP00000015903.4 pep:known chromosome:GRCm38:14:46775582:46788411:-1 gene:ENSMUSG00000015759.10 transcript:ENSMUST00000015903.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnih1 description:cornichon family AMPA receptor auxiliary protein 1 [Source:MGI Symbol;Acc:MGI:1277202] MAFTFAAFCYMLALLLTAALIFFAIWHIIAFDELKTDYKNPIDQCNTLNPLVLPEYLIHA FFCVMFLCAAEWLTLGLNMPLLAYHIWRYMSRPVMSGPGLYDPTTIMNADILAYCQKEGW CKLAFYLLAFFYYLYGMIYVLVSS >ENSMUSP00000116885.1 pep:known chromosome:GRCm38:14:46775582:46788411:-1 gene:ENSMUSG00000015759.10 transcript:ENSMUST00000146629.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cnih1 description:cornichon family AMPA receptor auxiliary protein 1 [Source:MGI Symbol;Acc:MGI:1277202] MAFTFAAFCYMLALLLTAALIFFAIWHIIAFDELKTDYKNPIDQCNTLNPQLKRSKRLKE SKLH >ENSMUSP00000025279.5 pep:known chromosome:GRCm38:18:12189693:12236400:-1 gene:ENSMUSG00000024413.13 transcript:ENSMUST00000025279.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npc1 description:Niemann-Pick type C1 [Source:MGI Symbol;Acc:MGI:1097712] MGAHHPALGLLLLLLCPAQVFSQSCVWYGECGIATGDKRYNCKYSGPPKPLPKDGYDLVQ ELCPGLFFDNVSLCCDIQQLQTLKSNLQLPLQFLSRCPSCFYNLMTLFCELTCSPHQSQF LNVTATEDYFDPKTQENKTNVKELEYFVGQSFANAMYNACRDVEAPSSNEKALGLLCGRD ARACNATNWIEYMFNKDNGQAPFTIIPVFSDLSILGMEPMRNATKGCNESVDEVTGPCSC QDCSIVCGPKPQPPPPPMPWRIWGLDAMYVIMWVTYVAFLFVFFGALLAVWCHRRRYFVS EYTPIDSNIAFSVNSSDKGEASCCDPLGAAFDDCLRRMFTKWGAFCVRNPTCIIFFSLAF ITVCSSGLVFVQVTTNPVELWSAPHSQARLEKEYFDKHFGPFFRTEQLIIQAPNTSVHIY EPYPAGADVPFGPPLNKEILHQVLDLQIAIESITASYNNETVTLQDICVAPLSPYNKNCT IMSVLNYFQNSHAVLDSQVGDDFYIYADYHTHFLYCVRAPASLNDTSLLHGPCLGTFGGP VFPWLVLGGYDDQNYNNATALVITFPVNNYYNDTERLQRAWAWEKEFISFVKNYKNPNLT ISFTAERSIEDELNRESNSDVFTVIISYVVMFLYISLALGHIQSCSRLLVDSKISLGIAG ILIVLSSVACSLGIFSYMGMPLTLIVIEVIPFLVLAVGVDNIFILVQTYQRDERLQEETL DQQLGRILGEVAPTMFLSSFSETSAFFFGALSSMPAVHTFSLFAGMAVLIDFLLQITCFV SLLGLDIKRQEKNHLDILCCVRGADDGQGSHASESYLFRFFKNYFAPLLLKDWLRPIVVA VFVGVLSFSVAVVNKVDIGLDQSLSMPNDSYVIDYFKSLAQYLHSGPPVYFVLEEGYNYS SRKGQNMVCGGMGCDNDSLVQQIFNAAELDTYTRVGFAPSSWIDDYFDWVSPQSSCCRLY NVTHQFCNASVMDPTCVRCRPLTPEGKQRPQGKEFMKFLPMFLSDNPNPKCGKGGHAAYG SAVNIVGDDTYIGATYFMTYHTILKTSADYTDAMKKARLIASNITETMRSKGSDYRVFPY SVFYVFYEQYLTIIDDTIFNLSVSLGSIFLVTLVVLGCELWSAVIMCITIAMILVNMFGV MWLWGISLNAVSLVNLVMSCGISVEFCSHITRAFTMSTKGSRVSRAEEALAHMGSSVFSG ITLTKFGGIVVLAFAKSQIFEIFYFRMYLAMVLLGATHGLIFLPVLLSYIGPSVNKAKRH TTYERYRGTERERLLNF >ENSMUSP00000028619.4 pep:known chromosome:GRCm38:2:94032689:94157964:-1 gene:ENSMUSG00000027195.10 transcript:ENSMUST00000028619.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b12 description:hydroxysteroid (17-beta) dehydrogenase 12 [Source:MGI Symbol;Acc:MGI:1926967] MECAPPAAGFLYWVGASTIAYLALRASYSLFRAFQVWCVGNEALVGPRLGEWAVVTGGTD GIGKAYAEELAKRGMKIVLISRSQDKLNQVSNNIKEKFNVETRTIAVDFSLDDIYDKIKT GLSGLEIGVLVNNVGMSYEYPEYFLEIPDLDNTIKKLININVLSVCKVTRLVLPGMVERS KGVILNISSASGMLPVPLLTIYSATKAFVDFFSQCLHEEYKSKGIFVQSVMPYLVATKLA KIQKPTLDKPSAETFVKSAIKTVGLQTRTTGYVIHSLMGSINSIMPRWMYFKIIMGFSKS LRNRYLKKRKKN >ENSMUSP00000108987.1 pep:known chromosome:GRCm38:1:85793441:85851576:1 gene:ENSMUSG00000036707.16 transcript:ENSMUST00000113360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cab39 description:calcium binding protein 39 [Source:MGI Symbol;Acc:MGI:107438] MPFPFGKSHKSPADIVKNLKESMAVLEKQDISDKKAEKATEEVSKNLVAMKEILYGTNEK EPQTEAVAQLAQELYNSGLLGTLVADLQLIDFEGKKDVAQIFNNILRRQIGTRTPTVEYI CTQQNILFMLLKGYESPEIALNCGIMLRECIRHEPLAKIILWSEQFYDFFRYVEMSTFDI ASDAFATFKDLLTRHKLLSAEFLEQHYDRFFSEYEKLLHSENYVTKRQSLKLLGELLLDR HNFTIMTKYISKPENLKLMMNLLRDKSRNIQFEAFHVFKVFVANPNKTQPILDILLKNQT KLIEFLSKFQNDRTEDEQFNDEKTYLVKQIRDLKRAAQQEA >ENSMUSP00000116086.1 pep:known chromosome:GRCm38:1:85793541:85818513:1 gene:ENSMUSG00000036707.16 transcript:ENSMUST00000126962.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cab39 description:calcium binding protein 39 [Source:MGI Symbol;Acc:MGI:107438] MPFPFGKSHKSPADIVKNLKESMAVLEKQDISDKKAEK >ENSMUSP00000114690.1 pep:known chromosome:GRCm38:1:85794468:85837318:1 gene:ENSMUSG00000036707.16 transcript:ENSMUST00000130754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cab39 description:calcium binding protein 39 [Source:MGI Symbol;Acc:MGI:107438] MPFPFGKSHKSPADIVKNLKESMAVLEKQDISDKKAEKATEEVSKNLVAMKEILYGTNEK EPQTEAVAQLAQELYNSGLLGTLVADLQLIDFEGKKDVAQIFNN >ENSMUSP00000095270.2 pep:known chromosome:GRCm38:1:85794511:85850365:1 gene:ENSMUSG00000036707.16 transcript:ENSMUST00000097666.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cab39 description:calcium binding protein 39 [Source:MGI Symbol;Acc:MGI:107438] MPFPFGKSHKSPADIVKNLKESMAVLEKQDISDKKAEKATEEVSKNLVAMKEILYGTNEK EPQTEAVAQLAQELYNSGLLGTLVADLQLIDFEGKKDVAQIFNNILRRQIGTRTPTVEYI CTQQNILFMLLKGYESPEIALNCGIMLRECIRHEPLAKIILWSEQFYDFFRYVEMSTFDI ASDAFATFKDLLTRHKLLSAEFLEQHYDRFFSEYEKLLHSENYVTKRQSLKLLGELLLDR HNFTIMTKYISKPENLKLMMNLLRDKSRNIQFEAFHVFKVFVANPNKTQPILDILLKNQT KLIEFLSKFQNDRTEDEQFNDEKTYLVKQIRDLKRAAQQEA >ENSMUSP00000112252.2 pep:known chromosome:GRCm38:5:86521898:86632424:-1 gene:ENSMUSG00000048764.16 transcript:ENSMUST00000116553.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss11f description:transmembrane protease, serine 11f [Source:MGI Symbol;Acc:MGI:2442348] MMYAPVEFSQTAYPRIEYQRRQQQFWDPIRLALFTLAIVAIVGITIGIVTHFVVEDDKSF YYLASFQVTSIKYRENYGIRSSREFIERSHQIERMMSRIFRRSSGVGRFIKSHVIKISPD EQGVNILIVLMFRYPSTDSAERIKKRIERTFYQSLKIKQLPLTISMPSFSLTPIDSKKMR NLLNSRCGIRMSSSNIPLPASSSTERIVQGRETAMEGEWPWQASLQLIGAGHQCGATLIS NTWLLTAAHCFWKNRDPTKWIVTFGTTITPPLVKRSVGKIIIHEEYHRDTNENDIALAQL TTRVEFSNVVQRVCLPDSSMKLPPKTSVFVTGFGSIVDDGPTQNKLRQARVETIGSDVCN RKDVYDGLITPGMLCAGFMEGKIDACKGDSGGPLVYDNRDIWYIVGIVSWGQSCALPNKP GVYTRVTKYRDWIASKTGL >ENSMUSP00000092521.4 pep:known chromosome:GRCm38:4:107209180:107218060:1 gene:ENSMUSG00000070877.4 transcript:ENSMUST00000094916.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldlrad1 description:low density lipoprotein receptor class A domain containing 1 [Source:MGI Symbol;Acc:MGI:3652166] MSVGCERAEFCPACFWFLGQADAESNITTRRKARPGDEGCGPLCCSRRGACLSVGLLLLL AMLAALLAVATILGHPPRTPETHSCVTLANRTGFLCHDRRHCIPAHAVCDGIRTCPHGED EAESLCRDVPQSLPSFLLTTCGDPDSWIYSDQKCDGVNNCGDCSDELSPVTTCPPCGPGW WRCSPTVFKYCSCVPRDLCRDSVQHCSDWSDEYSCPGP >ENSMUSP00000033625.1 pep:known chromosome:GRCm38:X:139086243:139093405:1 gene:ENSMUSG00000031270.1 transcript:ENSMUST00000033625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930513O06Rik description:RIKEN cDNA 4930513O06 gene [Source:MGI Symbol;Acc:MGI:1922375] MSAFDDQNQAPDPRDQNKVNRYMSQYLNFDEEGLESEEYVSLVPENLIPFALETEVQDLT VIEEGEDTIRFHTEQIEYDEEYLVESMEEDYGCMHSEDEKDNDDEDTDEGTEYFAGTVND HNYEHTCVAPNKVESEEKAETGKENQKEEDDSNPNSAENID >ENSMUSP00000060803.8 pep:known chromosome:GRCm38:11:87089153:87108708:-1 gene:ENSMUSG00000020493.8 transcript:ENSMUST00000051395.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr11 description:proline rich 11 [Source:MGI Symbol;Acc:MGI:2444496] MPKYKQRRRKLKAKAKRMSKKKEAAVVSPKLLTPSPPLPEPERVVTSAADIPQSRNWLRP SWNLRFPNIKDAINLWTNRAWCIYSCCQTCVAQSLEVLKDALFPSRVYHRELHSLKQQLC VLKRELCKLRENLKSISENSSCSSCCHKCCPSDKLTTVPACAPTTNGESQTVLSSTQPQP ANHPPSPPPLPPPPPPPPPLPPPPPPLAPLLLRKSGTTKALQVEPLKKDGPMHITVKDLL NVKLKKTQSVDERKKLVPSPPEERTPLVTVSDLQHVTLKPNSRVSATRIKNVLITPGKSQ IDLRKLLRKVQVERSPGGTPLNNKENMDTGTGLTPVMTRALRRKFQLAHPQSPTQSLPLS TSSFDEQN >ENSMUSP00000121206.1 pep:known chromosome:GRCm38:17:87990859:88065028:-1 gene:ENSMUSG00000005371.14 transcript:ENSMUST00000130379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo11 description:F-box protein 11 [Source:MGI Symbol;Acc:MGI:2147134] XNNAGERDDVPADMVAEESGPGAQNSPYQLRRKTLLPKRTACPTKSSMEGASTSTTENFG HRAKRARVSGKSQDLSAPAEQYLQEKLPDEVVLKIFSYLLEQDLCRAACVCKRFSELAND PILWKRLYMEVFEYTRPMMHPEPGKFYQINPEEYEHPNPWKESFQQLYKGAHVKPGFAEH FYSNPARYKGRENMLYYDTIEDALGGVQEAHFDGLIFVHSGIYTDEWIYIESPITMIGAA PGKVADKVIIENTRDSTFVFMEGSEDAYVGYMTIRFNPDDKSAQHHNAHHCLEITVNCSP IIDHCIIRSTCTVGSAVCVSGQGACPTIKHCNISDCENVGLYITDHAQGIYEDNEISNNA LAGIWVKNHGNPIIRRNHIHHGRDVGVFTFDHGMGYFESCNIHRNRIAGFEVKAYANPTV VRCEIHHGQTGGIYVHEKGRGQFIENKIYANNFAGVWITSNSDPTIRGNSIFNGNQGGVY IFGDGRGLIEGNDIYGNALAGIQIRTNSCPIVRHNKIHDGQHGGIYVHEKGQGVIEENEV YSNTLAGVWVTTGSTPVLRRNRIHSGKQVGVYFYDNGHGVLEDNDIYNHMYSGVQIRTGS NPKIRRNKIWGGQNGGILVYNSGLGCIEDNEIFDNAMAGVWIKTDSNPTLRRNKIHDGRD GGICIFNGGRGLLEENDIFRNAQAGVLISTNSHPVLRKNRIFDGFAAGIEITNHATATLE GNQIFNNRFGGLFLASGVNVTMKDNKIMNNQDAIEKAVSRGQCLYKISSYTSYPMHDFYR CHTCNTTDRNAICVNCIKKCHQGHDVEFIRHDRFFCDCGAGTLSNPCTLAGEPTHDTDTL YDSAPPIESNTLQHN >ENSMUSP00000005504.8 pep:known chromosome:GRCm38:17:87990859:88065291:-1 gene:ENSMUSG00000005371.14 transcript:ENSMUST00000005504.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo11 description:F-box protein 11 [Source:MGI Symbol;Acc:MGI:2147134] MNSVRAANRRPRRVSRPRPVQQQQQQPPQQPPPQPPQQQPPPQPPQQPPQQQPPPPPQQQ PPPPPPPPPPPPQDRNNAGERDDVPADMVAEESGPGAQNSPYQLRRKTLLPKRTACPTKS SMEGASTSTTENFGHRAKRARVSGKSQDLSAAPAEQYLQEKLPDEVVLKIFSYLLEQDLC RAACVCKRFSELANDPILWKRLYMEVFEYTRPMMHPEPGKFYQINPEEYEHPNPWKESFQ QLYKGAHVKPGFAEHFYSNPARYKGRENMLYYDTIEDALGGVQEAHFDGLIFVHSGIYTD EWIYIESPITMIGAAPGKVADKVIIENTRDSTFVFMEGSEDAYVGYMTIRFNPDDKSAQH HNAHHCLEITVNCSPIIDHCIIRSTCTVGSAVCVSGQGACPTIKHCNISDCENVGLYITD HAQGIYEDNEISNNALAGIWVKNHGNPIIRRNHIHHGRDVGVFTFDHGMGYFESCNIHRN RIAGFEVKAYANPTVVRCEIHHGQTGGIYVHEKGRGQFIENKIYANNFAGVWITSNSDPT IRGNSIFNGNQGGVYIFGDGRGLIEGNDIYGNALAGIQIRTNSCPIVRHNKIHDGQHGGI YVHEKGQGVIEENEVYSNTLAGVWVTTGSTPVLRRNRIHSGKQVGVYFYDNGHGVLEDND IYNHMYSGVQIRTGSNPKIRRNKIWGGQNGGILVYNSGLGCIEDNEIFDNAMAGVWIKTD SNPTLRRNKIHDGRDGGICIFNGGRGLLEENDIFRNAQAGVLISTNSHPVLRKNRIFDGF AAGIEITNHATATLEGNQIFNNRFGGLFLASGVNVTMKDNKIMNNQDAIEKAVSRGQCLY KISSYTSYPMHDFYRCHTCNTTDRNAICVNCIKKCHQGHDVEFIRHDRFFCDCGAGTLSN PCTLAGEPTHDTDTLYDSAPPIESNTLQHN >ENSMUSP00000138653.1 pep:known chromosome:GRCm38:17:87991418:88008696:-1 gene:ENSMUSG00000005371.14 transcript:ENSMUST00000135639.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo11 description:F-box protein 11 [Source:MGI Symbol;Acc:MGI:2147134] XLAGIWVKNHGNPIIRRNHIHHGRDVGVFTFDHGMGYFESCNIHRNRIAGFEVKAYANPT VVRCEIHHGQTGGIYVHEKGRGQFIENKIYANNFAGVWITSNSDPTIRGNSIFNGNQGGV YIFGDGRGLIEGNDIYA >ENSMUSP00000122471.1 pep:known chromosome:GRCm38:5:65537233:65598988:1 gene:ENSMUSG00000029203.16 transcript:ENSMUST00000142407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2k description:ubiquitin-conjugating enzyme E2K [Source:MGI Symbol;Acc:MGI:1858216] MANIAVQRIKREFKEVLKSEETSKNQIKVDLVDENFTELRGEIAGPPDTPYEGGRYQLEI KIPETYPFNPPKVRFITKIWHPNISSVTGAICLDILKDQWAAAMTLRTVLLSLQALLAAA EPDDPQDAVVANQYKQNPEMFKQTARLWAHVYAGAPVSSPEYTKKIENLCAMGFDRNAVI VALSSKSWDVETATELLLSN >ENSMUSP00000144192.1 pep:known chromosome:GRCm38:5:65537261:65595755:1 gene:ENSMUSG00000029203.16 transcript:ENSMUST00000201266.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2k description:ubiquitin-conjugating enzyme E2K [Source:MGI Symbol;Acc:MGI:1858216] MANIAVQRIKREFKEVLKSEETSKNQIKVDLVDENFTELRGEIAGPPDTPYEGGRYQLEI KIPETYPFNPPKYKQNPEMFKQTARLWAHVYAGAPVSSPEYTKKIENLCAMGFDRNAVIV ALSSKSWDVETATELLLSN >ENSMUSP00000144060.1 pep:known chromosome:GRCm38:5:65537276:65595755:1 gene:ENSMUSG00000029203.16 transcript:ENSMUST00000201292.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2k description:ubiquitin-conjugating enzyme E2K [Source:MGI Symbol;Acc:MGI:1858216] MTLRTVLLSLQALLAAAEPDDPQDAVVANQYKQNPEMFKQTARLWAHVYAGAPVSSPEYT KKIENLCAMGFDRNAVIVALSSKSWDVETATELLLSN >ENSMUSP00000143941.1 pep:known chromosome:GRCm38:5:65537291:65595755:1 gene:ENSMUSG00000029203.16 transcript:ENSMUST00000201984.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2k description:ubiquitin-conjugating enzyme E2K [Source:MGI Symbol;Acc:MGI:1858216] MANIAVQRIKREFKEVLKSEEVRFITKIWHPNISSVTGAICLDILKDQWAAAMTLRTVLL SLQALLAAAEPDDPQDAVVANQYKQNPEMFKQTARLWAHVYAGAPVSSPEYTKKIENLCA MGFDRNAVIVALSSKSWDVETATELLLSN >ENSMUSP00000144366.1 pep:known chromosome:GRCm38:5:65537291:65598929:1 gene:ENSMUSG00000029203.16 transcript:ENSMUST00000202679.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2k description:ubiquitin-conjugating enzyme E2K [Source:MGI Symbol;Acc:MGI:1858216] MTLRTVLLSLQALLAAAEPDDPQDAVVANQYKQNPEMFKQTARLWAHVYAGAPVSSPEYT KKIENLCAMGFDRNAVIVALSSKSWDVETATELLLSN >ENSMUSP00000144428.1 pep:known chromosome:GRCm38:5:65537320:65598929:1 gene:ENSMUSG00000029203.16 transcript:ENSMUST00000202082.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2k description:ubiquitin-conjugating enzyme E2K [Source:MGI Symbol;Acc:MGI:1858216] MTLRTVLLSLQALLAAAEPDDPQDAVVANQYKQNPEMFKQTARLWAHVYAGAPVSSPEYT KKIENLCAMGFDRNAVIVALSSKSWDVETATELLLSN >ENSMUSP00000144619.1 pep:known chromosome:GRCm38:5:65537334:65595145:1 gene:ENSMUSG00000029203.16 transcript:ENSMUST00000202601.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube2k description:ubiquitin-conjugating enzyme E2K [Source:MGI Symbol;Acc:MGI:1858216] MANIAVQRIKREFKEVLKSEETSKNQIKVDLVDENFTELRGEIAGPPDTPYEGPVYH >ENSMUSP00000144308.1 pep:known chromosome:GRCm38:5:65537362:65594548:1 gene:ENSMUSG00000029203.16 transcript:ENSMUST00000200946.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2k description:ubiquitin-conjugating enzyme E2K [Source:MGI Symbol;Acc:MGI:1858216] MTLRTVLLSLQALLAAAEPDDPQDAVVANQYKQNPEMFKQTARLWAHVYAGAPVSSPEYT KKIENLCAMGFDR >ENSMUSP00000144580.1 pep:known chromosome:GRCm38:5:65537484:65596028:1 gene:ENSMUSG00000029203.16 transcript:ENSMUST00000201383.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2k description:ubiquitin-conjugating enzyme E2K [Source:MGI Symbol;Acc:MGI:1858216] MANIAVQRIKREFKEVLKSEETSKNQIKVDLVDENFTELRGEIAGPPDTPYEGGRYQLEI KIPETYPFNPPKVRFITKIWHPNISSVTGAICLDILKDQWAAAMTLRTVLLSLQALLAAA EPDDPQDAVVANQNAVIVALSSKSWDVETATELLLSN >ENSMUSP00000118341.1 pep:known chromosome:GRCm38:1:10324720:10719945:-1 gene:ENSMUSG00000042501.12 transcript:ENSMUST00000153695.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cpa6 description:carboxypeptidase A6 [Source:MGI Symbol;Acc:MGI:3045348] MNFLGNPRSHTAAFLPVCWLLLNILKPGHCHSYDNRYAGDKVIRLIPKSEEEALALKNIY HQLKGPHRRSSESCGK >ENSMUSP00000035435.6 pep:known chromosome:GRCm38:1:10324727:10719945:-1 gene:ENSMUSG00000042501.12 transcript:ENSMUST00000035577.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpa6 description:carboxypeptidase A6 [Source:MGI Symbol;Acc:MGI:3045348] MNFLGNPRSHTAAFLPVCWLLLNILKPGHCHSYDNRYAGDKVIRLIPKSEEEALALKNIY HQLKVDLWQPSSISYVSEGTITDVHISQNASRTLLAFLQETHIYYKVLIEDLQKAVENEN SLQTQRNRRSLSEYNYEVYHSLEDIQSWLHHLNQTQPGLVRVFSIGRSYEGRPLFIMQLG RKSRAYKRAVWIDCGIHAREWIGPAFCQWFVREAILTYKTDPAMKKMLNHLYFYIMPVFN VDGYHFSWTHDRFWRKTRSRDSKFRCRGVDANRNWKVKWCDEGASAHPCDDTYCGPFPES EPEVKAVANFLRKHRKRIRAYLSFHAYAQMLLYPYSYKYATIPNFSCVEFAAHKAVKALR SVHGIRYRHGPASQTLYVSSGNSMDWAYKNGIPYAFAFELRDTGHFGFLLPEMLIKPTCT ETMLAVKNITMHLLKKCP >ENSMUSP00000123324.1 pep:known chromosome:GRCm38:2:130563742:130568695:1 gene:ENSMUSG00000037740.8 transcript:ENSMUST00000145614.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps26 description:mitochondrial ribosomal protein S26 [Source:MGI Symbol;Acc:MGI:1333830] MLRALNRLAARPETRPPTPLLLPVRGRKTRHDPPAKSKVGRVQTPPAVDPAEFFVLTERY RQYRETVRALRLEFTLEVRRKLHEARAGVLAERKAQQAITEHRELMAWNRDENRRMQELR IARLQLEAQAQEVQKAEAQAQRAQEEQAWVQLKEQEVLKLQEEAKNFITRENLEARIEEA LDSPKSYNWAVTKEGQVVRN >ENSMUSP00000026839.4 pep:known chromosome:GRCm38:X:167346322:167382749:-1 gene:ENSMUSG00000025742.5 transcript:ENSMUST00000026839.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prps2 description:phosphoribosyl pyrophosphate synthetase 2 [Source:MGI Symbol;Acc:MGI:97776] MPNIVLFSGSSHQDLSQRVADRLGLELGKVVTKKFSNQETSVEIGESVRGEDVYIIQSGC GEINDNLMELLIMINACKIASSSRVTAVIPCFPYARQDKKDKSRAPISAKLVANMLSVAG ADHIITMDLHASQIQGFFDIPVDNLYAEPAVLQWIRENITEWRNCIIVSPDAGGAKRVTS IADRLNVEFALIHKERKKANEVDRMVLVGDVKDRVAILVDDMADTCGTICHAADKLLSAG ATKVYAILTHGIFSGPAISRINSAAFEAVVVTNTIPQEDKMKHCSKIQVIDISMILAEAI RRTHNGESVSYLFSHVPL >ENSMUSP00000125341.1 pep:known chromosome:GRCm38:12:87443896:87452206:1 gene:ENSMUSG00000021040.15 transcript:ENSMUST00000161023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slirp description:SRA stem-loop interacting RNA binding protein [Source:MGI Symbol;Acc:MGI:1916394] MAASAIKGLSALRSSTGRPIAFVRKIPWTAAASELREHFAQFGHVRRCTVPFDKETGFHR GMGWVQFSSQEELQNALQQEHHIIDGVKIHVQAQRAKALHGAQTSDEERFLR >ENSMUSP00000124174.1 pep:known chromosome:GRCm38:12:87443912:87449920:1 gene:ENSMUSG00000021040.15 transcript:ENSMUST00000160488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slirp description:SRA stem-loop interacting RNA binding protein [Source:MGI Symbol;Acc:MGI:1916394] MAASAIKGLSALRSSTGRPIAFVRKIPWTAAASELREHFAQFGHVRRCTVPFDKETGFHR GMGWVQFSSQEELQNALQQEHHIIDGVKVSISDVCSTSL >ENSMUSP00000076673.7 pep:known chromosome:GRCm38:12:87443936:87449904:1 gene:ENSMUSG00000021040.15 transcript:ENSMUST00000077462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slirp description:SRA stem-loop interacting RNA binding protein [Source:MGI Symbol;Acc:MGI:1916394] ASAIKGLSALRSSTGRPIAFVRKIPWTAAASELREHFAQFGHDKETGFHRGMGWVQFSSQ EELQNALQQEHHIIDGVKIHVQAQRAKALHGAQTSDEERFLR >ENSMUSP00000125727.1 pep:known chromosome:GRCm38:12:87443946:87449924:1 gene:ENSMUSG00000021040.15 transcript:ENSMUST00000160880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slirp description:SRA stem-loop interacting RNA binding protein [Source:MGI Symbol;Acc:MGI:1916394] XKGLSALRSSTGRPIAFVRKIPWTAAASELREHFAQFGHVRRCTVPFRLAFTEAWVGFSF PLRKNFRMHYNKNIILLME >ENSMUSP00000058305.7 pep:known chromosome:GRCm38:6:85334962:85374564:-1 gene:ENSMUSG00000051343.11 transcript:ENSMUST00000060837.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip5 description:RAB11 family interacting protein 5 (class I) [Source:MGI Symbol;Acc:MGI:1098586] MALVRDPEPAAGSSRWLPTHVQVTVLRASGLRGKSSGAGSTSDAYTVIQVGREKYSTSVV EKTQGCPEWCEECSFELPPGALDGLLRAQEADAGPAPWASGPNAACELVLTTMHRSLIGV DKFLGRATVALDEVFRAGRAQHTQWYRLHSKPGKKEKERGEIQVTIQFTRNNLSASMFDL SMKDKPRSPFSKLKDRVKGKKKYDLESASAILPSSALEDPELGSLGKMGKAKGFFLRNKL RKSSLTQSNTSLGSDSTLSSTSGSLVYQGPGAELLTRSPSHSSWLSTEGGRDSIQSPKLL THKRTYSDEASQLRAAPPRALLELQGHLDGASRSSLCVNGSHVYNEEPQPPLRHRSSISG PFPPSSSLHSVPPRSSEEGSRSSDDSWGRGSHGTSSSEAVPGQEELSKQAKGASCSGEEE GARLPEGKPVQVATPMVASSEAVAAEKDRKPRMGLFHHHHHQGLSRSEQGRRGSVGEKGS PSLGASPHHSSTGEEKAKSSWFGLRESKEPTQKPSPHPVKPLTAAPVEASPDRKQPRTSL STALSSGLERLKTVTSGGIQSVLPASQLGSSVDTKRPKDSAVLDQSAKYYHLTHDELIGL LLQRERELSQRDEHVQELESYIDRLLVRIMETSPTLLQISPGPPK >ENSMUSP00000145402.1 pep:known chromosome:GRCm38:6:85334967:85374634:-1 gene:ENSMUSG00000051343.11 transcript:ENSMUST00000204087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip5 description:RAB11 family interacting protein 5 (class I) [Source:MGI Symbol;Acc:MGI:1098586] MALVRDPEPAAGSSRWLPTHVQVTVLRASGLRGKSSGAGSTSDAYTVIQVGREKYSTSVV EKTQGCPEWCEECSFELPPGALDGLLRAQEADAGPAPWASGPNAACELVLTTMHRSLIGV DKFLGRATVALDEVFRAGRAQHTQWYRLHSKPGKKEKERGEIQVTIQFTRNNLSASMFDL SMKDKPRSPFSKLKDRVKGKKKYDLESASAILPSSALEDPELGSLGKMGKAKGFFLRNKL RKSSLTQSNTSLGSDSTLSSTSGSLVYQGPGAELLTRSPSHSSWLSTEGGRDSIQSPKLL THKRTYSDEASQLRAAPPRALLELQGHLDGASRSSLCVNGSHVYNEEPQPPLRHRSSISG PFPPSSSLHSVPPRSSEEGSRSSDDSWGRGSHGTSSSEAVPGQEELSKQAKGASCSGEEE GARLPEGKPVQVATPMVASSEAVAAEKDRKPRMGLFHHHHHQGLSRSEQGRRGSVGEKGS PSLGASPHHSSTGEEKAKSSWFGLRESKEPTQKPSLDVSPQVESDPAAPHPCSPQALAPP PAPAAAPMLSTNLFAVTSPAAATAAAATIVLEATPSGFLGVTNPFLNSLQSNPFFEDLKA DIALNSPSPAPSLPSASRASLAPLASPGKALPEWDDTFNIFAAGRLQQEAGSGILAPAGM GLEEDGLQDPGPRTMAVKATEPQGEPGRGRRGSNIWLEPKVSVDSELDQPSTSMSDPGPF GSSGSGPSSRASQLHLQASASTPDRELPASEGGAGQSPADSGASLFSSPEVLSVWERFPG SDDTPGGRDEEAPQDGSQLFQELNTVEDSWPWDVITISPTAEVASPVMKGESDGVLSSQV QPESPDTVPPMGSEGLPALLEPEPEQGLDEGPWLGPPPPKPPRLFTPTNSQVEEEDKEEE ENATGRQSSRGPGVEKDSTPRALAIGPQESKEEWVNPELEKLHRLPSGTLIGKPELEDPV GETSSPVFGDCPPRPTSCPEGPVPRPHNSISSTLLSQKVLGTSETEEGFETKSQELAKEG FGPLSVPSQQSSMRAKEEEEGEEEEEEGEEEEALETSNSFLCQESQDPPSFPSISPPGSR GSSIHSGPEELPTPPEPAFPPPPLPPWASHRHGVPGPPCPPLPIAWPLTSSSSPPEESAS PLGPPELSPTGGSPTSYGEDHAAATPASPLVLLPLETRPAEEPQPSGSPHPVKPLTAAPV EASPDRKQPRTSLSTALSSGLERLKTVTSGGIQSVLPASQLGSSVDTKRPKDSAVLDQSA KYYHLTHDELIGLLLQRERELSQRDEHVQELESYIDRLLVRIMETSPTLLQISPGPPK >ENSMUSP00000135093.1 pep:known chromosome:GRCm38:2:118772769:118778165:1 gene:ENSMUSG00000046804.13 transcript:ENSMUST00000130293.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phgr1 description:proline/histidine/glycine-rich 1 [Source:MGI Symbol;Acc:MGI:1858382] MHPGGKGHCGPPPGHGPGHCHEGHHPPGPGGPHPGHGPGHCPGGHHPPGHGGPSHGHGPG HCPGGHHPPGHGGPSHGHGPGHCPGGHHPPGHGGPSHGHGPGHCGPHPGPHH >ENSMUSP00000134831.2 pep:known chromosome:GRCm38:2:118772769:118778164:1 gene:ENSMUSG00000046804.13 transcript:ENSMUST00000061360.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phgr1 description:proline/histidine/glycine-rich 1 [Source:MGI Symbol;Acc:MGI:1858382] MHPGKGHCGPPPGHGPGHCHEGHHPPGPGGPHPGHGPGHCPGGHHPPGHGGPSHGHGPGH CPGGHHPPGHGGPSHGHGPGHCPGGHHPPGHGGPSHGHGPGHCGPHPGPHH >ENSMUSP00000141149.1 pep:known chromosome:GRCm38:Y:57187188:57213321:1 gene:ENSMUSG00000101155.6 transcript:ENSMUST00000189109.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sly description:Sycp3 like Y-linked [Source:MGI Symbol;Acc:MGI:2687328] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKGSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKN >ENSMUSP00000139451.1 pep:known chromosome:GRCm38:Y:57187191:57212749:1 gene:ENSMUSG00000101155.6 transcript:ENSMUST00000191355.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sly description:Sycp3 like Y-linked [Source:MGI Symbol;Acc:MGI:2687328] METLKVFLGTGDVRNDIYKTLHIKRKWMETYVKESFKGSNQKLERFCKTNERERKNINNK FCEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEA >ENSMUSP00000140340.1 pep:known chromosome:GRCm38:Y:57187206:57213362:1 gene:ENSMUSG00000101155.6 transcript:ENSMUST00000190292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sly description:Sycp3 like Y-linked [Source:MGI Symbol;Acc:MGI:2687328] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000122770.1 pep:known chromosome:GRCm38:X:112384303:112405822:-1 gene:ENSMUSG00000025527.9 transcript:ENSMUST00000124335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satl1 description:spermidine/spermine N1-acetyl transferase-like 1 [Source:MGI Symbol;Acc:MGI:1921059] XFGQRYSMCQSSGSQSSMKEFHMLQSGSNQPDMNDVDVWQSGTSQPGMHQMDPWQWGHNY SGNTQSGQWTPGPNALVVGQFDSWQPVQPGMKYSESGPWSPRHLDMRQPSPSQLATRQFD KWQQNPSMPGVRQLYTWQPTSSFSDTRQLEKFHPCPINLNMEQFWEAVSRQPGTTQLGTN QLDTNQPDGTQSSQGGKTQSDKLEPSPRKPEMKGSQPDTSQSDSDHLDISQPGPSQLEPG ESSMSDLNESQQRITQSPMGKKDSCSFFIRPAEPEDCPDILRLIKELASYEGMEEKVSLT ERDLFRDGFGDNPLFYCLVAEAPSEQTESGVKTIGFAMYYFTYDPRIGKLLHLEDFYITE DYQGIGIGADMLKKLSQIAINTECCGMQFLVIIWNQDSVEYYTRLGALDLSCEEGWHLFR FNLDDLLELAEEE >ENSMUSP00000026601.2 pep:known chromosome:GRCm38:X:112384305:112406779:-1 gene:ENSMUSG00000025527.9 transcript:ENSMUST00000026601.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Satl1 description:spermidine/spermine N1-acetyl transferase-like 1 [Source:MGI Symbol;Acc:MGI:1921059] MDQPGTYQSGMTQPSVSQPAMSPPGLSPHNMQQPGTSQPYMNQPSMNQPAMNEPGVTIPD SSQSDINQAGQSQPNMKQPWSSTNQPGIYKTDMSQLGMKQPSASQAGMSQAGMWQPGPPT SDMKTINPWQWDPEYSGREPSDTWQITQSNQGTGQSDTVQEDPSCAEQKQPDTWKQDPSF PGMKKTEPWQWESSPPSVRQIDAWKWDPDHPGSNQLNLWQPQLSDSSTRQFDLRQAGPIE LGKKESDTWQLVPSQQGKTPSSSGQQDPSQQIVRHAVTWQTGPSPLAKTLSGPWHIGLNQ SGMEQLNTCQTGFGQRYSMCQSSGSQSSMKEFHMLQSGSNQPDMNDVDVWQSGTSQPGMH QMDPWQWGHNYSGNTQSGQWTPGPNALVVGQFDSWQPVQPGMKYSESGPWSPRHLDMRQP SPSQLATRQFDKWQQNPSMPGVRQLYTWQPTSSFSDTRQLEKFHPCPINLNMEQFWEAVS RQPGTTQLGTNQLDTNQPDGTQSSQGGKTQSDKLEPSPRKPEMKGSQPDTSQSDSDHLDI SQPGPSQLEPGESSMSDLNESQQRITQSPMGKKDSCSFFIRPAEPEDCPDILRLIKELAS YEGMEEKVSLTERDLFRDGFGDNPLFYCLVAEAPSEQTESGVKTIGFAMYYFTYDPRIGK LLHLEDFYITEDYQGIGIGADMLKKLSQIAINTECCGMQFLVIIWNQDSVEYYTRLGALD LSCEEGWHLFRFNLDDLLELAEEE >ENSMUSP00000009396.6 pep:known chromosome:GRCm38:7:143005046:143019486:1 gene:ENSMUSG00000000244.17 transcript:ENSMUST00000009396.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan32 description:tetraspanin 32 [Source:MGI Symbol;Acc:MGI:1350360] MGHWNRIKIAKCQILITNFLVLLLGLSMATMVVVIHFGDHFTVIGHASLERNPYETLRYW AFYVGISLAGLLSLGAALSTIATVREAHGLMAAGFLCFALSFCILVQVAFWRFYNPTQVE DAVLDTYDFVYDQAMKSPSSNWWQELAVIQDTFLCCGKKSPFGLLVSTGAIMCQGREAMR EDCLQSIRNVLWTHYSIASILTCTSLALTVYAMMLCAFLWFAIHSYHGLDRKGRYSLTPP RSHGFQTQEPSLFRWT >ENSMUSP00000146865.1 pep:known chromosome:GRCm38:7:143005144:143019486:1 gene:ENSMUSG00000000244.17 transcript:ENSMUST00000207211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan32 description:tetraspanin 32 [Source:MGI Symbol;Acc:MGI:1350360] MATMVVVIHFGDHFTVIGHASLERNPYETLRYWAFYVGISLAGLLSLGAALSTIATVREA HGLMAADCLQSIRNVLWTHYSIASILTCTSLALTVYAMMLCAFLWFAIHSYHGLDRKGRY SLTPPRSHGFQTQEPSLFRWT >ENSMUSP00000074667.5 pep:known chromosome:GRCm38:7:143005638:143019644:1 gene:ENSMUSG00000000244.17 transcript:ENSMUST00000075172.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan32 description:tetraspanin 32 [Source:MGI Symbol;Acc:MGI:1350360] MATMVVVIHFGDHFTVIGHASLERNPYETLRYWAFYVGISLAGLLSLGAALSTIATVREA HGLMAAGFLCFALSFCILVQVAFWRFYNPTQVEDAVLDTYDFVYDQAMKSPSSNWWQELA VIQDTFLCCGKKSPFGLLVSTGAIMCQGREAMREDCLQSIRNVLWTHYSIASILTCTSLA LTVYAMMLCAFLWFAIHSYHGLDRKGRYSLTPPRSHGFQTQEPSLFRWT >ENSMUSP00000116212.1 pep:known chromosome:GRCm38:7:143005663:143019483:1 gene:ENSMUSG00000000244.17 transcript:ENSMUST00000145212.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tspan32 description:tetraspanin 32 [Source:MGI Symbol;Acc:MGI:1350360] MATMVVVIHFGDHFTVIGHASLERNPYETLRYWAFYVGISLAGLLSLGAALSTIATVREA HGLMAAGFLCFALSFCILVQVAFWRFYNPTQLPPVPWVRKDTGVN >ENSMUSP00000101543.1 pep:known chromosome:GRCm38:7:143005671:143019486:1 gene:ENSMUSG00000000244.17 transcript:ENSMUST00000105923.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan32 description:tetraspanin 32 [Source:MGI Symbol;Acc:MGI:1350360] MATMVVVIHFGDHFTVIGHASLERNPYETLRYWAFYVGISLAGLLSLGAALSTIATVREA HGLMAAGFLCFALSFCILVQVAFWRFYNPTQFLCCGKKSPFGLLVSTGAIMCQGREAMRE VYAMMLCAFLWFAIHSYHGLDRKGRYSLTPPRSHGFQTQEPSLFRWT >ENSMUSP00000080668.5 pep:known chromosome:GRCm38:7:143005685:143019486:1 gene:ENSMUSG00000000244.17 transcript:ENSMUST00000082008.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan32 description:tetraspanin 32 [Source:MGI Symbol;Acc:MGI:1350360] MATMVVVIHFGDHFTVIGHASLERNPYETLRYWAFYVGISLAGLLSLGAALSTIATVREA HGLMAAGFLCFALSFCILVQVAFWRFYNPTQVEDAVLDTYDFVYDQAMKSPSSNWWQELA VIQDTFLCCGKKSPFGLLVSTGAIMCQGREAMREVYAMMLCAFLWFAIHSYHGLDRKGRY SLTPPRSHGFQTQEPSLFRWT >ENSMUSP00000101545.1 pep:known chromosome:GRCm38:7:143005699:143019486:1 gene:ENSMUSG00000000244.17 transcript:ENSMUST00000105925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan32 description:tetraspanin 32 [Source:MGI Symbol;Acc:MGI:1350360] MATMVVVIHFGDHFTVIGHASLERNPYETLRYWAFYVGISLAGLLSLGAALSTIATVREA HGLMAAGFLCFALSFCILVQVAFWRFYNPTQFLCCGKKSPFGLLVSTGAIMCQGREAMRE IPWFPDPGTQPLQMDLGWAGASMPC >ENSMUSP00000101544.1 pep:known chromosome:GRCm38:7:143005699:143019486:1 gene:ENSMUSG00000000244.17 transcript:ENSMUST00000105924.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan32 description:tetraspanin 32 [Source:MGI Symbol;Acc:MGI:1350360] MATMVVVIHFGDHFTVIGHASLERNPYETLRYWAFYVGISLAGLLSLGAALSTIATVREA HGLMAAGFLCFALSFCILVQVAFWRFYNPTQVEDAVLDTYDFVYDQAMKSPSSNWWQELA VIQDTFLCCGKKSPFGLLVSTGAIMCQGREAMREIPWFPDPGTQPLQMDLGWAGASMPC >ENSMUSP00000115344.1 pep:known chromosome:GRCm38:7:143005928:143017673:1 gene:ENSMUSG00000000244.17 transcript:ENSMUST00000143512.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan32 description:tetraspanin 32 [Source:MGI Symbol;Acc:MGI:1350360] MVVVIHFGDHFTVIGHASLERNPYETLRYWAFYVGISLAGLLSLGAALSTIATVREAHGL MAAVEDAVLDTYDFVYDQAMKSPSSNWWQELAVIQDTFLCCGKKSPFGLLVSTGAIMCQG REAMREDCLQSIRNVLWTHYSIASILTCTSLALTVYAMMLCAFLWFAIHSYHGLDRKGRY SLTPP >ENSMUSP00000101368.1 pep:known chromosome:GRCm38:4:145510759:145539188:1 gene:ENSMUSG00000078503.9 transcript:ENSMUST00000105742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13225 description:predicted gene 13225 [Source:MGI Symbol;Acc:MGI:3652161] MSVYLVNTPQGLLTFKDVALDFSLEEWECLNFAQRRLYMDVMLENYNNLLFVENHCIRGK NGKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILESLQCTPYKTNHSSDSLQYSNQKRLK PRNTKEVCKYNDSVNSLSLFSTISVNQGIYMQKKKHNRNAELEKVFVSKHKVMVKRNNIG GNPYKCSEFHKYLTQREKLQSQQRIYHGKKPYESSKSDKCLTHQIHLSIHQGIHTEEIIY KCSECDKCFKHKFNLTMHQRIHKGETPHKCSQCDKCFTHNFNLRIHQRIHTGEKSYKCSE CDKCFTRKFHLGIHQRIHTGEKPYKCSECDKSFTHKSHLNNHQRIHTGEKPYKCSECDKC FTEKGSLRIHQRIHTGEKPYKCSGCDKCFTQKSSLRIHQRIHTGEKPYKCSECDICFTTK GNLIIHQRIHTREKPYKCSECDKCFTHKCHLNNHQKIHTGEKPYKCSECDKCFTEKGSLR IHQRIHTGEKPYKCSGCDKCFTQKSSLRIHQRIHTGEKPYKCSECDKCFTKKGNLIIHQR IHIREKPHKCSECDKCFTQKSYLNIHQKIHTGEKLYKCSECDKCFTRKSHLGIHQKIHTG EKPYK >ENSMUSP00000122038.1 pep:known chromosome:GRCm38:4:145510791:145534919:1 gene:ENSMUSG00000078503.9 transcript:ENSMUST00000136309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13225 description:predicted gene 13225 [Source:MGI Symbol;Acc:MGI:3652161] MSVYLVNTPQGLLTFKDVALDFSLEEWECLNFAQRRLYMDVMLE >ENSMUSP00000101367.1 pep:known chromosome:GRCm38:4:145514884:145539188:1 gene:ENSMUSG00000078503.9 transcript:ENSMUST00000105741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13225 description:predicted gene 13225 [Source:MGI Symbol;Acc:MGI:3652161] MSVYLVNTPQGLLTFKDVALDFSLEEWECLNFAQRRLYMDVMLENYNNLLFVENHCIRGK NGKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILESLQCTPYKTNHSSDSLQYSNQKRLK PRNTKEVCKYNDSVNSLSLFSTISVNQGIYMQKKKHNRNAELEKVFVSKHKVMVKRNNIG GNPYKCSEFHKYLTQREKLQSQQRIYHGKKPYESSKSDKCLTHQIHLSIHQGIHTEEIIY KCSECDKCFKHKFNLTMHQRIHKGETPHKCSQCDKCFTHNFNLRIHQRIHTGEKSYKCSE CDKCFTRKFHLGIHQRIHTGEKPYKCSECDKSFTHKSHLNNHQRIHTGEKPYKCSECDKC FTEKGSLRIHQRIHTGEKPYKCSGCDKCFTQKSSLRIHQRIHTGEKPYKCSECDICFTTK GNLIIHQRIHTREKPYKCSECDKCFTHKCHLNNHQKIHTGEKPYKCSECDKCFTEKGSLR IHQRIHTGEKPYKCSGCDKCFTQKSSLRIHQRIHTGEKPYKCSECDKCFTKKGNLIIHQR IHIREKPHKCSECDKCFTQKSYLNIHQKIHTGEKLYKCSECDKCFTRKSHLGIHQKIHTG EKPYK >ENSMUSP00000146629.1 pep:known_by_projection chromosome:GRCm38:7:120812365:120831193:1 gene:ENSMUSG00000109392.1 transcript:ENSMUST00000208668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SDR42E2 description:short chain dehydrogenase/reductase family 42E, member 2 [Source:HGNC Symbol;Acc:HGNC:35414] MKTNPAGSPLETCQTAGQVQQQKTQAKHTQAPRQKVLVTGGGGYLGFSLGSSLAKRGTSV ILLDLRRPQWPLPSGTEFVQADVRDEEALYQAFQGVDCVFHVASYGMSGAEKLQKQEIES INVGGTKLVINVCVRRRVPRLVYTSTVNVTFGGKPIEQGNEESIPYFPLDKHMDHYSRTK AIADQLTLMANGTPLLGGGTLRTCVLRPPGIYGPEEQRHLPRVASHIKKRLFMFRFGDRK TRMNWVHVQNLVQAHMLAAEGLTMAKGYVASGQAYYINDGESVNLFEWMAPLFEKLGYSQ PWIQVPTSCVYLTAAVMEYLHLALRPICTIPPLLTRSEVRGVVAVTHTFQIAKARTQLGY APDKFSFADAVERYVQATTPQPRCCIVLTLLRLLLMLLLLFALLGLALYFLGLQPLQAVV ERL >ENSMUSP00000025276.8 pep:known chromosome:GRCm38:18:12168717:12189997:1 gene:ENSMUSG00000024410.14 transcript:ENSMUST00000025276.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110002H16Rik description:RIKEN cDNA 3110002H16 gene [Source:MGI Symbol;Acc:MGI:1916528] MGGEDYYLELCERPVQFEKANPVNCVFFDEANKQVFAVRSGGATGVVVKGPDDRNPISFR MDDRGEVKCIKFSLENKILAVQRTAKAVDFCNFIPDNSQLEYTQECKTKNANILGFCWTS STEIVFITDQGIEFYQVMPEKRSLKLLKSHNINVNWYTYCPESAVILLSTTVLENVLQPF HFRAGTMSKLPKFEIELPAAPKSTKLSLSERDIAMATIYGQLYILFLRHHSRTSNSTGAE VVLYHLPREGACKKMHILKLNRTGKFALNVVDNLVVVHHQDTETSVIFDIRLRGEFDGTV TFHHPVLPARSIQPYEIPLAGPAAVTSQSPVPCKLYSSSWIVFQPDIIISASQGYLWNLQ VKLQPIVNLLPDKGRLMDFLLQRKECKAVVLSVCSQMLSESDRATLPVIATVFDKLNHEY KKYLDADQSYTMAVEAGQSRSNPPLKRPVRTQAVVDQSDVYTQVLSPFVENKEMPHKFVI AVLMEYIRSLNQFQIPVQHYLHELVIKTLVQHNLFYMLHQFLQYHVLSDSKPLACLLLSL ESFYPPAHQLSLDMLKRLSTANDEIVEVLLSKHQVLAALRFIRGIGGHDNISARKFLDAA RQTDDVMLFYTIFRFFEQRNQRLRGNPNFTPGEHCEEHVAFFKQVFGEQALMRPTTF >ENSMUSP00000118783.1 pep:known chromosome:GRCm38:18:12179570:12184915:1 gene:ENSMUSG00000024410.14 transcript:ENSMUST00000134046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110002H16Rik description:RIKEN cDNA 3110002H16 gene [Source:MGI Symbol;Acc:MGI:1916528] RDIAMATIYGQLYILFLRHHSRTSNSTGAEVVLYHLPREGACKKMHILKLNRTGKFALNV VDNLVVVHHQDTETSVIFDIRLRGEFDGTVTFHHPVLPARSIQPYEIPLAGPAAVTSQSP VPCKLYIIISASQGYLWNLQV >ENSMUSP00000121414.1 pep:known chromosome:GRCm38:18:12180525:12188220:1 gene:ENSMUSG00000024410.14 transcript:ENSMUST00000138866.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:3110002H16Rik description:RIKEN cDNA 3110002H16 gene [Source:MGI Symbol;Acc:MGI:1916528] RTSNSTGAEVVLYHLPREGACKKMHILKLNRTGKFALNVVDNLVVVHHQDTETSVIFDIR LRGEFDGTVTFHHPVLPARSIQPYEIPLAGPAAVTSQSPVPCKLYSSSWIVFQPDIIISA SQGETSAHSESLAR >ENSMUSP00000073525.5 pep:known chromosome:GRCm38:14:51763878:51773590:-1 gene:ENSMUSG00000060615.5 transcript:ENSMUST00000073860.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ang4 description:angiogenin, ribonuclease A family, member 4 [Source:MGI Symbol;Acc:MGI:2656551] MTMSPCPLLLVFVLGLVVIPPTLAQNERYEKFLRQHYDAKPNGRDDRYCESMMKERKLTS PCKDVNTFIHGTKKNIRAICGKKGSPYGENFRISNSPFQITTCTHSGASPRPPCGYRAFK DFRYIVIACEDGWPVHFDESFISP >ENSMUSP00000113346.1 pep:known chromosome:GRCm38:9:44923131:44965560:-1 gene:ENSMUSG00000059890.15 transcript:ENSMUST00000117506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube4a description:ubiquitination factor E4A [Source:MGI Symbol;Acc:MGI:2154580] MTDQENNNNISSNPFAALFGSLADAKQFAAIQKEQLKQQSDELPASPDDSDNSVSESLDE FDYSVSEISRSFRTHQEMCEQLNINHMIQRIFLITLDNSDPSLKSGNGIPSRCVYLEEMA VELEDQDWLDMSNVEQAIFARLLLQDPGNHLISMTSSTTLNLSADRDAGERHIFCYLYSC FQRAKEEITKVPENLLPFAVQCRNLTVSNTRTVLLTPEIYVDQNIHEQLVDLMLEAIQGA HFEDVTEFLEEVIEALLLDEEVRTFPEVMIPVFDILLSRIKDLELCQILLYAYLDILLYF TRQKDMAKVFLEYIQPKDPSNGQMYQKTLLGVILNISCLLKTPGVVENHGFFLNPSRSSP QEIKVQEANIHQFMAQFHEKIYQMLKNLLQLSPETKHCILFWLGNCLHANAGRTKIWANQ MPEIFFQMYASDAFFLNLGAALLKLCQPFCKPRSSRLLTFNPTYCVLKDLNDEERKIKSV HMRGLDKETCLIPAVQEPTFPQSYNLVTENLALTEYTLYLGFHRLHDQMVKINQNLHRLQ VAWRDAQQSSSPAADNLREQFERLMTIYLSTKTAMTEPQMLQNCLNLQVSMAVLLVQLAI GNEGSQPIELSFPLPDGYSSLAYVPEFFADNLGDFLIFLRRFAEDILETSADSLEHVLHF ITIFTGSIERMKNPHLRAKLAEVLEAVMPHLDQTPSPLVSSVFHRKRVFCNFPYAPQLAE ALIKVFVDIEFTGDPHQFEQKFNYRRPMYPILRYMWGTDCYRESIKYLSKIKIQQIEKDR GEWESLTPEARREKEAGLQMFGQLARFHNIMSNETIGTLSFLTSEIKSLFVHPFLAERII SMLNYFLQHLVGPKMGALKVKDFSEFDFKPQQLVSDICTIYLNLGDEENFCATVPKDGRS YSPTLFAQTVRVLKKINKPGNMIVAFSNLAERIKSLADLQQQEEETYADACDEFLDPIMS TLMSDPVVLPSSRVTVDRSTIARHLLSDQTDPFNRSPLTMDQIRPNTELKEKIQRWLAER KQQKEQPE >ENSMUSP00000112632.1 pep:known chromosome:GRCm38:9:44925848:44965600:-1 gene:ENSMUSG00000059890.15 transcript:ENSMUST00000117549.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube4a description:ubiquitination factor E4A [Source:MGI Symbol;Acc:MGI:2154580] MTDQENNNNISSNPFAALFGSLADAKQFAAIQKEQLKQQSGSVFGSEEEALCNSLHVPAD ELPASPDDSDNSVSESLDEFDYSVSEISRSFRTHQEMCEQLNINHMIQRIFLITLDNSDP SLKSGNGIPSRCVYLEEMAVELEDQDWLDMSNVEQAIFARLLLQDPGNHLISMTSSTTLN LSADRDAGERHIFCYLYSCFQRAKEEITKVPENLLPFAVQCRNLTVSNTRTVLLTPEIYV DQNIHEQLVDLMLEAIQGAHFEDVTEFLEEVIEALLLDEEVRTFPEVMIPVFDILLSRIK DLELCQILLYAYLDILLYFTRQKDMAKVFLEYIQPKDPSNGQMYQKTLLGVILNISCLLK TPGVVENHGFFLNPSRSSPQEIKVQEANIHQFMAQFHEKIYQMLKNLLQLSPETKHCILF WLGNCLHANAGRTKIWANQMPEIFFQMYASDAFFLNLGAALLKLCQPFCKPRSSRLLTFN PTYCVLKDLNDEERKIKSVHMRGLDKETCLIPAVQEPTFPQSYNLVTENLALTEYTLYLG FHRLHDQMVKINQNLHRLQVAWRDAQQSSSPAADNLREQFERLMTIYLSTKTAMTEPQML QNCLNLQVSMAVLLVQLAIGNEGSQPIELSFPLPDGYSSLAYVPEFFADNLGDFLIFLRR FAEDILETSADSLEHVLHFITIFTGSIERMKNPHLRAKLAEVLEAVMPHLDQTPSPLVSS VFHRKRVFCNFPYAPQLAEALIKVFVDIEFTGDPHQFEQKFNYRRPMYPILRYMWGTDCY RESIKDLADYASKNLEAMNPPLFLRFLNLLMNDAIFLLDEAIQYLSKIKIQQIEKDRGEW ESLTPEARREKEAGLQMFGQLARFHNIMSNETIGTLSFLTSEIKSLFVHPFLAERIISML NYFLQHLVGPKMGALKVKDFSEFDFKPQQLVSDICTIYLNLGDEENFCATVPKDGRSYSP TLFAQTVRVLKKINKPGNMIVAFSNLAERIKSLADLQQQEEETYADACDEFLDPIMSTLM SDPVVLPSSRVTVDRSTIARHLLSDQTDPFNRSPLTMDQIRPNTELKEKIQRWLAERKQQ KEQPE >ENSMUSP00000123668.1 pep:known chromosome:GRCm38:9:44932971:44965519:-1 gene:ENSMUSG00000059890.15 transcript:ENSMUST00000154287.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube4a description:ubiquitination factor E4A [Source:MGI Symbol;Acc:MGI:2154580] MTDQENNNNISSNPFAALFGSLADAKQFAAIQKEQLKQQSGSVFGSEEEALCNSLHVPAD ELPASPDDSDNSVSESLDEFDYSVSEISRSFRTHQEMCEQLNINHMIQRIFLITLDNSDP SLKSGNGIPSRCVYLEEMAVELEDQDWLDMSNVEQAIFARLLLQDPGNHLISMTSSTTLN LSADRDAGERHIFCYLYSCFQRAKEEITKVPENLLPFAVQCRNLTVSNTRTVLLTPEIYV DQNIHEQLVDLMLEAIQGAHFEDVTEFLEEVIEALLLDEEVRTFPEVMIPVFDILLSRIK DLELCQILLYAYLDILLYFTRQKDMAKVFLEYIQPKDPSNGQMYQKTLLGVILNISCLLK TPGVVENHGFFLNPSRSSPQEIKVQEANIHQFMAQFHEKIYQMLKNLLQLSPETKHCILF WLGNCLHANAGRTKIWANQMPEIFFQMYASDAFFLNLGAALLKLCQPFCKPRSSRLLTFN PTYCVLKDLNDEERKIKSVHMRGLDKETCLIPAVQEPTFPQSYNLVTENLALTEYTLYLG FHRIFCR >ENSMUSP00000115311.1 pep:known chromosome:GRCm38:9:44933071:44965525:-1 gene:ENSMUSG00000059890.15 transcript:ENSMUST00000145657.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube4a description:ubiquitination factor E4A [Source:MGI Symbol;Acc:MGI:2154580] MTDQENNNNISSNPFAALFGSLADAKQFAAIQKEQLKQQSDTLSISSFVSRGHPCVQTPF GPVAPIATSVTESGVTHLRIMRKR >ENSMUSP00000118951.1 pep:known chromosome:GRCm38:9:44952949:44965554:-1 gene:ENSMUSG00000059890.15 transcript:ENSMUST00000125642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube4a description:ubiquitination factor E4A [Source:MGI Symbol;Acc:MGI:2154580] MTDQENNNNISSNPFAALFGSLADAKQFAAIQKEQLKQQSDELPASPDDSDNSVSESLDE FDYSVSEISRSFRTHQEMCEQLNINHMIQRIFLITL >ENSMUSP00000025561.7 pep:known chromosome:GRCm38:19:20373428:20390944:-1 gene:ENSMUSG00000024659.14 transcript:ENSMUST00000025561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa1 description:annexin A1 [Source:MGI Symbol;Acc:MGI:96819] MAMVSEFLKQARFLENQEQEYVQAVKSYKGGPGSAVSPYPSFNVSSDVAALHKAIMVKGV DEATIIDILTKRTNAQRQQIKAAYLQENGKPLDEVLRKALTGHLEEVVLAMLKTPAQFDA DELRGAMKGLGTDEDTLIEILTTRSNEQIREINRVYREELKRDLAKDITSDTSGDFRKAL LALAKGDRCQDLSVNQDLADTDARALYEAGERRKGTDVNVFTTILTSRSFPHLRRVFQNY GKYSQHDMNKALDLELKGDIEKCLTTIVKCATSTPAFFAEKLYEAMKGAGTRHKALIRIM VSRSEIDMNEIKVFYQKKYGISLCQAILDETKGDYEKILVALCGGN >ENSMUSP00000001148.4 pep:known chromosome:GRCm38:10:76761857:76961788:-1 gene:ENSMUSG00000001120.15 transcript:ENSMUST00000001148.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp3 description:poly(rC) binding protein 3 [Source:MGI Symbol;Acc:MGI:1890470] MGEGDAIWAPPILPHSTLGTLSHHPELHFGGKMESKVSEGGLNVTLTIRLLMHGKEVGSI IGKKGETVKKMREESGARINISEGNCPERIVTITGPTDAIFKAFAMIAYKFEEDIINSMS NSPATSKPPVTLRLVVPASQCGSLIGKGGSKIKEIRESTGAQVQVAGDMLPNSTERAVTI SGTPDAIIQCVKQICVVMLESPPKGATIPYRPKPASTPVIFAGGQAYTIQGQYAIPHPDQ LTKLHQLAMQQTPFPPLGQTNPAFPGEKLPLHSSEEAQNLMGQSSGLDASPPASTHELTI PNDLIGCIIGRQGTKINEIRQMSGAQIKIANATEGSSERQITITGTPANISLAQYLINAR LTSEVTGMGAL >ENSMUSP00000101051.2 pep:known chromosome:GRCm38:10:76761860:76961887:-1 gene:ENSMUSG00000001120.15 transcript:ENSMUST00000105411.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp3 description:poly(rC) binding protein 3 [Source:MGI Symbol;Acc:MGI:1890470] MGEGDAIWAPPILPHSTLGTLSHHPELHFGGKMESKVSEGGLNVTLTIRLLMHGKEVGSI IGKKGETVKKMREESGARINISEGNCPERIVTITGPTDAIFKAFAMIAYKFEEDIINSMS NSPATSKPPVTLRLVVPASQCGSLIGKGGSKIKEIRESTGAQVQVAGDMLPNSTERAVTI SGTPDAIIQCVKQICVVMLESPPKGATIPYRPKPASTPVIFAGGQAYTIQGQYAIPHPDL TKLHQLAMQQTPFPPLGQTNPAFPGEKLPLHSSEEAQNLMGQSSGLDASPPASTHELTIP NDLIGCIIGRQGTKINEIRQMSGAQIKIANATEGSSERQITITGTPANISLAQYLINARL TSEVTGMGAL >ENSMUSP00000134144.1 pep:known chromosome:GRCm38:10:76762370:76788422:-1 gene:ENSMUSG00000001120.15 transcript:ENSMUST00000173854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp3 description:poly(rC) binding protein 3 [Source:MGI Symbol;Acc:MGI:1890470] XVKQICVVMLESPPKGATIPYRPKPASTPVIFAGGQAYTIQGQYAIPHPDLTKLHQLAMQ QTPFPPLGQTNPAFPGLDASPPASTHELTIPNDLIGCIIGRQGTKINEIRQMSGAQIKIA NATEGSSERQITITGTPANISLAQYLINARLTSEVTGMGAL >ENSMUSP00000090048.5 pep:known chromosome:GRCm38:10:76763305:76816706:-1 gene:ENSMUSG00000001120.15 transcript:ENSMUST00000092393.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcbp3 description:poly(rC) binding protein 3 [Source:MGI Symbol;Acc:MGI:1890470] MESKVSEGGLNVTLTIRLLMHGKEVGSIIGKKGETVKKMREESGARINISEGNCPERIVT ITGPTDAIFKAFAMIAYKFEEDIINSMSNSPATSKPPVTLRLVVPASQCGSLIGKGGSKI KEIRESTGAQVQVAGDMLPNSTERAVTISGTPDAIIQCVKQICVVMLESPPKGATIPYRP KPASTPVIFAGGQAYTIQGQYAIPHPDVWMPAHRPALMSSPFPMIL >ENSMUSP00000129465.2 pep:known chromosome:GRCm38:10:76761858:76825869:-1 gene:ENSMUSG00000001120.15 transcript:ENSMUST00000168465.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp3 description:poly(rC) binding protein 3 [Source:MGI Symbol;Acc:MGI:1890470] MGEGDAIWAPPILPHSTLGTLSHHPELHFGGKMESKVSEGGLNVTLTIRLLMHGKEVGSI IGKKGETVKKMREESGARINISEGNCPERIVTITGPTDAIFKAFAMIAYKFEEDIINSMS NSPATSKPPVTLRLVVPASQCGSLIGKGGSKIKEIRESTGAQVQVAGDMLPNSTERAVTI SGTPDAIIQCVKQICVVMLESPPKGATIPYRPKPASTPVIFAGGQAYTIQGQYAIPHPDQ LTKLHQLAMQQTPFPPLGQTNPAFPGEKLPLHSSEEAQNLMGQSSGLDASPPASTHELTI PNDLIGCIIGRQGTKINEIRQMSGAQIKIANATEGSSERQITITGTPANISLAQYLINAR LTSEVTGMGAL >ENSMUSP00000047756.2 pep:known chromosome:GRCm38:X:119927196:119930165:1 gene:ENSMUSG00000034732.4 transcript:ENSMUST00000040961.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc5 description:poly(A) binding protein, cytoplasmic 5 [Source:MGI Symbol;Acc:MGI:2136401] MSGEPNTAGKKKKYLKAALYVGDLDPDVTEDMLYKKFRPAGPLRFTRICRDPVTRSPLGY GYVNFRFPADAEWALNTMNFDLINGKPFRLMWSQPDDRLRKSGVGNIFIKNLDKTIDNRA LFYLFSAFGNILSCKVVCDDNGSKGYAYVHFDSLAAANRAIWHMNGVRLNNRQVYVGRFK FPEERAAEVRTRERATFTNVFVKNFGDDIDDEKLNKLFSEYGPTESVKVIRDATGKSKGF GFVRYETHEAAQKAVLELHGKSIDGKVLCVGRAQKKIERLAELRRRFERLKLKEKNRPSG VPIYIKNLDETINDEKLKEEFSSFGSISRAKVMMEVGQGKGFGVVCFSSFEEACKAVDEM NGRIIGSKTLHVTLGQARHRW >ENSMUSP00000108993.1 pep:known chromosome:GRCm38:X:119927225:119930009:1 gene:ENSMUSG00000034732.4 transcript:ENSMUST00000113366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc5 description:poly(A) binding protein, cytoplasmic 5 [Source:MGI Symbol;Acc:MGI:2136401] MSGEPNTAGKKKKYLKAALYVGDLDPDVTEDMLYKKFRPAGPLRFTRICRDPVTRSPLGY GYVNFRFPADAEWALNTMNFDLINGKPFRLMWSQPDDRLRKSGVGNIFIKNLDKTIDNRA LFYLFSAFGNILSCKVVCDDNGSKGYAYVHFDSLAAANRAIWHMNGVRLNNRQVYVGRFK FPEERAAEVRTRERATFTNVFVKNFGDDIDDEKLNKLFSEYGPTESVKVIRDATGKSKGF GFVRYETHEAAQKAVLELHGKSIDGKVLCVGRAQKKIERLAELRRRFERLKLKEKNRPSG VPIYIKNLDETINDEKLKEEFSSFGSISRAKVMMEVGQGKGFGVVCFSSFEEACKAVDEM NGRIIGSKTLHVTLGQARHRW >ENSMUSP00000073190.6 pep:known chromosome:GRCm38:1:128284756:128328318:-1 gene:ENSMUSG00000026354.8 transcript:ENSMUST00000073490.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lct description:lactase [Source:MGI Symbol;Acc:MGI:104576] MELPWTALFLSTFLLGLSCQGNDWESDRNFISAAGPLTNDLVHNLNHPLGKQGSDLVAGD TDIYVCQQPLPSFLPQYFSSLRASQVTHYKVLLSWAQLLPKGSSKNPDQEAVQCYRQLLQ SLKDAQLQPMVVLFHQMPPTSTIQRDGGFADLFADYATLAFQSFGDLVEIWFTFSDLEKV IMGLPHQHLKASGLQTLSDAHRKAFDVYHRKYSSQGGKLSVVLKAEDLPKLLPDPSSAAL VKGSVDFLSLDLSYDCQSISTLPQKLSELQNLEPKVKVFVYTLKPQDCPSTGMSPASLLF RLLEAINKDQVQTVGFDVSAFLSCTSSSEESPSCSLTYSSLALQSEQQHETVVSSFPGSA YQRVWAALANQSREERDAFLQDVFPEGFLWGVSTGAFNVEGGWAEGGRGPSIWDHYSNLN AAESQATAKVASDSYHKPVSDVALLRGLRADVYKFSISWSRIFPFGQRTSPNLQGVAYYN KLIDSLLDSQVEPMATLFHWDLPQALQEQGGWQNESVVDAFLDYAAFCFSTFGDRVKLWV TFHEPWVISYAGYGTGQHAPAISDPGVASFKVAHLILKAHARTWHHYNYHHRQKQQGRVG IVLNSDWAEPLDGKSPQDLAAAERYLHFMLGWFAHPIFIDGDYPAALRAQIQHTNQQCGR PLAQLPEFTEAEKRLLKGSADFLGLSHYTSRLISKAGQQTCIPSYDNIGGFSQHVDPKWP QTASPWIRVVPWGIRRLLRFASLEYTKGKLPIFLAGNGMPIGEGSDLFDDSMRVNYLNLY INEVLKAVKEDSVDVRSYIARSLIDGYEGPLGYSQRFGLYHVNFNDSSRPRTPRKSAYFF TSIIEKNGFPAKKVKRNPLPLKADFSSRARAAFSFPSEVPSKAKVVWEKFSRQPKFERDL FYHGTFRDDFLWGVSSSAYQIEGGWDADGKGPSIWDNFTHTPGNGVKDNATGDIACDSYH QLDADINILRTLKVKSYRFSISWPRIFPTGRNSSINKQGVDYYNKLIDRLLESNIFPMVT LFHWDLPQALQDIGGWENPSLIELFDSYADFCFKTFGDRVKFWMTFNEPWCSAVLGYSSG IFPPNVQDPGSLSYKVSHVIIKAHARVYHTYDEKYRQEQKGVISLSLNTHWVEPKDPGVQ RDVEAADRMLQFNLGWFAHPIFKNGDYPDVMKWNVGNRSELQHLASSRLPSFTEEEKNYI RGTADVFCINTYTSVFAQHVTPRLNPPSYDNDMELKASDMNSSALISMMHQDVPWGMRRL LNWIKEEYGNIPIYITENGQGLTNPTLDDTERIFYHKTYINEALKAYRLDGVDLRGYSAW ALMDNFEWLHGYTMRFGLYHVDFDHVNRPRTARASARYYTEVITNNGMPLAKEDEFLYGE FPKGFIWSAASASYQVEGAWRADGKGLSIWDTFSHTPLKIGNDDNGDVACDSYHKIAEDV VALQNLGVSHYRFSISWPRILPDGTTKFINEAGLNYYVRFIDALLAAGITPQVTMYHWDL PQALQDVGGWENETVVQRFKDYADVLFRRLGDKVKFWITLNEPFVIAAHGYGSGVSAPGI SFRPGTAPYTAGHNLIKAHAEAWHLYNSTYRNSQGGVISITISSDWAEPRDPSNQEDVEA ARRYVQFMGGWFAHPIFKNGDYPEVMKTRIRDRSLAAGLNKSRLPEFTESEKKKIQGTFD FFGFNHYTTVLAYNLNYAAAVSSFDADRGVASITDRSWPDSGSFWLKMTPFGFRRILNWL KEEYNNPLIYVTENGVSRRGDPELNDTDRIYYLRSYINEALKAVRDKVDLRGYTVWSIMD NFEWATGFAERFGVHFVNRSDPSLPRIPKASAKVYASIVRCNGFPDPAQGPHPCLQQPED AGPTASPVKSEVPFLGLMLGTAEAQTALYVLFALVLLGVCSVAFLLYKYCKRSKQGTTQP GHHGLSQISSF >ENSMUSP00000092780.4 pep:known chromosome:GRCm38:8:128980019:129065517:-1 gene:ENSMUSG00000025808.16 transcript:ENSMUST00000095158.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc7a description:coiled-coil domain containing 7A [Source:MGI Symbol;Acc:MGI:1921953] MKCAKHPSTISMKLTSVPELPYKKGLLNSSPKPKEKHNAKSKYGKNESMVLRSPPTGESI VRFALPIPLSKTKDKISADEMVRRITTNLKMVVSNLEDTYGACYDNGEKAAEKSEAEGLS IGDDVSSFLLCCSQFTSQLEEAVKEECGALESLYKWFQQQVNQMEEISKDQSNLEELQSD GKTASLNIVQIAKLARKFEDFKSRLKTRKEVMQTKNEDKEIMAETLKHYGLMEKQIEEFI TSHSALESQTETESQSGTPSVTTRMARMIKIFENQSTMLEKALNDQQTIESKYKQLETDF QMLIMEKTLLEAEIRRLREIERVKSAAKEEQTKKSGKSEKKKFKEKEKYDMKICYLFIPQ LVKMYLNHGLF >ENSMUSP00000117961.1 pep:known chromosome:GRCm38:8:128980101:129065488:-1 gene:ENSMUSG00000025808.16 transcript:ENSMUST00000125112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc7a description:coiled-coil domain containing 7A [Source:MGI Symbol;Acc:MGI:1921953] MKCAKHPSTISMKLTSVPELPYKKGLLNSSPKPKEKHNAKSKYGKNESMVLRSPPTGESI VRFALPIPLSKTKDKISADEMVRRITTNLKMVVSNLEDTYGACYDNGEKAAEKSEAEGLS IGDDVSSFLLCCSQFTSQLEEAVKEECGALESLYKWFQQQVNQMEEISKDQSNLEELQSD GKTASLNIVQIAKLARKFEDFKSRLKTRKEVMQTKNEDKEIMAETLKHYGLMEKQIEEFI TSHSALESQTETESQSGTPSVTTRMARMIKIFENQSTMLEKALNDQQTIESKYKQLETDF QMLIMEKTLLEAEIRRLREIERVKSAAKEEQTKKSGKSEKKKFKEKEK >ENSMUSP00000104379.2 pep:known chromosome:GRCm38:8:129045477:129065492:-1 gene:ENSMUSG00000025808.16 transcript:ENSMUST00000108747.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc7a description:coiled-coil domain containing 7A [Source:MGI Symbol;Acc:MGI:1921953] MKCAKHPSTISMKLTSVPELPYKKGLLNSSPKPKEKHNAKSKYGKNESMVLRSPPTGESI VRFALPIPLSKTKDKISADEMVRRITTNLKMVVSNLEDTYGACYDNGEKAAEKSEAEGLS IGDDVSSFLLCCSQFTSQLEEAVKEECGKKTFQNYCQNFQ >ENSMUSP00000054994.7 pep:known chromosome:GRCm38:12:119945957:120019211:1 gene:ENSMUSG00000048004.14 transcript:ENSMUST00000058644.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem196 description:transmembrane protein 196 [Source:MGI Symbol;Acc:MGI:2685374] MCTSGQIIGSLLVLSVLEIGLGVSSVAVGAVSFSLALREHTPQLGDSSPFLLCGICGILC AKKKSGLVMILFSACCICGLIGGILNFQFLRAVTKKTSSLYPLHLASMSLACIGIGGCTV SSWLTCRLASYEQRRMFSEREHSLHHSHEMAEKEMTDNMSNGAPQLMFNGRVQSMF >ENSMUSP00000138921.1 pep:known chromosome:GRCm38:12:119946410:120018813:1 gene:ENSMUSG00000048004.14 transcript:ENSMUST00000183694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem196 description:transmembrane protein 196 [Source:MGI Symbol;Acc:MGI:2685374] MCTSGQIIGSLLVLSVLEIGLGVSSVAVGAVSFSLALREHTPQLGDSSPVWSGVCFLLCG ICGILCAKKKSGLVMILFSACCICGLIGGILNFQFLRAVTKKTSSLYPLHLASMSLACIG IGGCTVSSWLTCRLASYEQRRMFSEREHSLHHSHEMAEKEMTDNMSNGAPQLMFNGRVQS MF >ENSMUSP00000139033.1 pep:known chromosome:GRCm38:12:119946424:120014885:1 gene:ENSMUSG00000048004.14 transcript:ENSMUST00000184209.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem196 description:transmembrane protein 196 [Source:MGI Symbol;Acc:MGI:2685374] MCTSGQIIGSLLVLSVLEIGLGVSSVAVGAVSFSLALREHTPQLGDSSPERR >ENSMUSP00000138937.1 pep:known chromosome:GRCm38:12:119946558:120018706:1 gene:ENSMUSG00000048004.14 transcript:ENSMUST00000184820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem196 description:transmembrane protein 196 [Source:MGI Symbol;Acc:MGI:2685374] GAVSFSLALREHTPQLGDSSPFLLCGICGILCAKKKSGLVMILFSACCICGLIGGILNFQ FLRAVTKKTSSLYPLHLASMSLACIGIGGCTVSSWLTCRLASYEQRRMFSEREHSLHHSH EMAEKRLRAIEITDLPSCPVVPPTPELPTRK >ENSMUSP00000139022.1 pep:known chromosome:GRCm38:12:119946570:120019054:1 gene:ENSMUSG00000048004.14 transcript:ENSMUST00000184069.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem196 description:transmembrane protein 196 [Source:MGI Symbol;Acc:MGI:2685374] FSLALREHTPQLGDSSPVWSGVCFLLCGICGILCAKKKSGLVMILFSACCICGLIGGILN FQFLRAVTKKTSSLYPLHLASMSLACIGIGGCTVSSWLTCRLASYEQRRMFSEREHSLHH SHEMAEKRLRAIEITDLPSCPVVPPTPELPTRK >ENSMUSP00000137585.1 pep:known chromosome:GRCm38:1:90766860:90843952:-1 gene:ENSMUSG00000048126.16 transcript:ENSMUST00000097653.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a3 description:collagen, type VI, alpha 3 [Source:MGI Symbol;Acc:MGI:88461] MRKHRHLPLVAVFSLLLSGIATTHAQQHGVMEVNKRDIVFLVDGSSSLGPSNFNAIRDFV TRVIQRLEIGQDLVQVSVAQYADTVKPEFYLNSYTNKRDAITAVRKMRALNGSALYTGSS LDFVRNNLFTSSAGHRAAEGVPKLLVLITGGKSLDEVSQPAQELKRGSIMALAVGSKAAD EDELKEIAFDSSLVFIPAEFRPAPLQNMLPSLMAPLRTLTGTTEESKRDILFLFDGSVNV LGQFPAVRDFLYRIIEELDVKPDGTRVAIAQFSDDVRLESRFSEHQTKAEILNLVKKMKL KTGKALNLGYALDYALRNIFVRSAGSRIEDNVQQFLVLLVAGRSSDAVAGPASSLKQRGV VPFIFQAKNANPSELEQIVLSPAFILAAESLPKIGDLQSQIVSLLKAEQGSGPVSGEKDV VFLIDGSEGVRSGFPLLKDFVQRVVESLDVGPDRVRVALVQYSDRTRPEFYLNSHMDQQG VISAIRRLTLLGGPTPNTGAALEFVLRNILTSSTGSRIAEGVPQLLIVLTAEPSGDDVRG PSVVLKQGGAVPIGIGIGNADISEMQTISFIPDFAVAIPTFRELGTIQQVISERVIQLNR EELSSLKPILTPSTGAGVGSKKDVVFLIDGSRNAGPEFQYIRTLIERIVEYLDIGFDTTR VAVIQFSEDSKMEFPLNAHFSKDEVQNAVRRLRPKGGSQVYIGNALEYVLKNIFQRPLGS RIEEGVPQFLVLISSGKSDDEVDDSAVELKQFGVAPLTIARHTDQEELVKISLSPEYVYS VSTFRELPRLEQKLLTPITTLTSQQIHQILASTRYPPSVVESDAADIVFLIDSSDAVKPD GIAHIRDFVSRIVRRLNIGPSKVRIGVVQFSNDVFPEFYLKTHKSQSSVLEAIRRLRFKG GSPLNTGRALEFVARNLFVKSAGSRIEDGVPQHLVLFLGGKSQDDVARHAQVISSSGIVS LGIGDRNIDRTDLQTITNDPRLVFTVREFRELPNIEERVMLSFGPSGATPQPPGVDLPSP SRPEKKKADIVFLLDGSINFRRDSFQEVLRFASEIVDTVYEDGDSIRVGLVQYNSDPTDE FFLRDFSTKRQIIDAINKVVYKGGRHANTRVGIEHLLRNHFVPEAGSRLDERVPQIAFVI TGGKSVEDAQDVSLALTQKGVKVFAVGVRNIDSEEVGKIASNSATAFRVGSVQELSELSE TVLETLHDAMHETLCPGVTDVSKACNLEVILGFDGSRDQNVFVSQKGLESKVDIILNRIS QIQRISCSGNQLPTVRVSVMANTPSGPVEAFDFAEYQPELFEKFRNMRSQRPYVLTADTL KLYQNKFRQSSPDTVKVVIHFTDGADGDMADLYRASEELRQAGAQALILVGLERVANLER LMHLEFGRGFMYDRPLRLNLLDLDYELAEQLDNIAEKACCGVPCKCSGERGDRGPIGSIG PKGISGEDGYRGYPGDEGGPGERGPPGVNGTQGFQGCPGQRGVKGSRGFPGEKGELGEIG LDGLDGEEGDKGLPGSSGEKGSPGRRGDKGPKGDKGERGDVGIRGDPGDSGRDSQQRGPK GETGDIGPMGLPGRDGIPGSPGDPGKDGGSGRRGPAGAKGNRGGPGQPGFEGEQGTRGSQ GPPGPIGPPGLIGEQGIPGPRGGGGTAGAPGERGRTGPLGRKGEPGEPGPKGSIGNRGPR GETGDDGRDGVGSEGRRGKKGERGFPGYPGPKGTPGEPGADGPPGPKGIRGRRGNSGPPG ATGQKGDPGYPGPSGHKGNRGDSVDQCALIQSIRDKCPCCYGPLECPVFPTELAFALDTS EGVTQDTFSRMREVLLGIVGDLTIAESNCPRGARVAVVTYNNEVTTEIRFADSKKKSALL DSIQNLQVALTSKQQSLETAMSFVARNTFKRVRSGFLMRKVAVFFSNKPTRASPQLREAV LKLSDAGITPLFLTSQEDRQLINALQINNTAVGHALVLPARRDLTDFLKNVLTCHVCLDI CNIDPSCGFGSWRPSFRDRRAAGSDVDIDLAFILDSSEATTLFQFNEMKKYIGYVIRQLD LSPDPKASQHFARVAVVQQSTYESVDNASVPPVKVEFSLTDYGAKEKLLDFLSRRMTQLQ GTMGLGNAIEYTIENIFESAPNPRDLKIMVLMLTGDMQRQQLEEAQRAILQAKCKGYFFV VLGIGRKVNIKEVYSFASEPNDVFFKFVDKSTELNEEPLMRFGRLLPSFVSSENAFYLPP DLRKQCDWFQGDQPVKNGVKFGHKQINPPHTANSSLTSKVVTTMKPVTTTKPTAIVNLPP AKPAPARPAPAQPVLAKPDPAKPAQARPAPAKPASAKLVPPQPVHVQPAPAQTASVRPAP AKPAPPQPAAAKPVPAKPAVPAQPAPPQPAAAKPVPAKPAVPAQPAPPQPAAAKPVPAKP AVPAQPAAAQPMPAQPVLTKSAAVKPASANKPVAAKPVATNTATATARPALAAKPAAAKP AATRPLAAAIRPVATKPEAPRQQAKPAATKPATTKPLARVSREVQVSEVTENSARLHWER PEPSSSFFYDLTVTSAHDQSLVLRQNLTVTDRVIGGLLAGQLYHVVVVSYLQSQVRAIYQ GSFNTKKTQPPPLQAAHRASSSTINLMVNTEPLFLTKTDICKLSRDAGTCVDFKLLWHYD LESKSCKRFWYGGCGGNENRFHSQEECEKMCSPELTV >ENSMUSP00000115210.2 pep:known chromosome:GRCm38:1:90802473:90843928:-1 gene:ENSMUSG00000048126.16 transcript:ENSMUST00000130846.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a3 description:collagen, type VI, alpha 3 [Source:MGI Symbol;Acc:MGI:88461] MRKHRHLPLVAVFSLLLSGIATTHAQQHGDVKNGAAADIVFLVDSSWSAGKDRFLLVQEF LSDVVESLAVGDNDFHFALVRLNGNPHTEFLLNTYHSKQEVLSHIVNMSYIGGSNQTGKG LEYVIHSHLTEASGSRAADGVPQVIIVLTDGQSEDGFALPSAELKSADVNVFAVGVEGAD ERALGEVASEPLSMHVFNLENVTSLHGLVGNLVSCIHSSVNPERAGDKESLKDITAQDSA DIIFLIDGSQNTGNANFDVIRDFLVNVLERLSVGNQQVQVGVVQYSEEPITMFSLNSYPS KAAVLDAVKGLSLVGGESANIGQALDFVVENHFTRAGGSRVEEGVPQVLVLISAGPSSDE IRDSVVALKQASVFSFGLGAQAASRAELQHIATDDSLVFTVPEFRSFGDLQEQILPYLVG VAQRHIVLQPPAIVTQVMEVNKRDIVFLVDGSSSLGPSNFNAIRDFVTRVIQRLEIGQDL VQVSVAQYADTVKPEFYLNSYTNKRDAITAVRKMRALNGSALYTGSSLDFVRNNLFTSSA GHRAAEGVPKLLVLITGGKSLDEVSQPAQELKRGSIMALAVGSKAADEDELKEIAFDSSL VFIPAEFRPAPLQNMLPSLMAPLRTLTGTTEVHVNKRDIIFLLDGSDNVGKNNFPYVRDF VTNLVNSLDVGSDNIRVGLVQFSDTPVTEFSLDTYQTKSELLAHLRRLQLKGGSGLNAGS ALSYIHANHFTEAGGSRTREHVPQLLLLLMAGPSEDAYLQAANALVRSGVLTFCVGTNRA DKAELEHIAFNPSLVYLMDDFRSLPSLPQQLIQPLTTYVSGGVQEVPLSQPESKRDILFL FDGSVNVLGQFPAVRDFLYRIIEELDVKPDGTRVAIAQFSDDVRLESRFSEHQTKAEILN LVKKMKLKTGKALNLGYALDYALRNIFVRSAGSRIEDNVQQFLVLLVAGRSSDAVAGPAS SLKQRGVVPFIFQAKNANPSELEQIVLSPAFILAAESLPKIGDLQSQIVSLLKAEQGSGP VSGEKDVVFLIDGSEGVRSGFPLLKDFVQRVVESLDVGPDRVRVALVQYSDRTRPEFYLN SHMDQQGVISAIRRLTLLGGPTPNTGAALEFVLRNILTSSTGSRIAEGVPQLLIVLTAEP SGDDVRGPSVVLKQGGAVPIGIGIGNADISEMQTISFIPDFAVAIPTFRELGTIQQVISE RVIQLNREELSSLKPILTPSTGAGVGSKKDVVFLIDGSRNAGPEFQYIRTLIERIVEYLD IGFDTTRVAVIQFSEDSKMEFPLNAHFSKDEVQNAVRRLRPKGGSQVYIGNALEYVLKNI FQRPLGSRIEEGVPQFLVLISSGKSDDEVDDSAVELKQFGVAPLTIARHTDQEELVKISL SPEYVYSVSTFRELPRLEQKLLTPITTLTSQQIHQILASTRYPPSVVESDAADIVFLIDS SDAVKPDGIAHIRDFVSRIVRRLNIGPSKVRIGVVQFSNDVFPEFYLKTHKSQSSVLEAI RRLRFKGGSPLNTGRALEFVARNLFVKSAGSRIEDGVPQHLVLFLGGKSQDDVARHAQVI SSSGIVSLGIGDRNIDRTDLQTITNDPRLVFTVREFRELPNIEERVMLSFGPSGATPQPP GVDLPSPSRPEKKKADIVFLLDGSINFRRDSFQEVLRFASEIVDTVYEDGDSIRVGLVQY NSDPTDEFFLRDFSTKRQIIDAI >ENSMUSP00000140280.1 pep:known chromosome:GRCm38:1:90822271:90843971:-1 gene:ENSMUSG00000048126.16 transcript:ENSMUST00000187753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a3 description:collagen, type VI, alpha 3 [Source:MGI Symbol;Acc:MGI:88461] MRKHRHLPLVAVFSLLLSGIATTHAQQHGAQDSADIIFLIDGSQNTGNANFDVIRDFLVN VLERLSVGNQQVQV >ENSMUSP00000140858.1 pep:known chromosome:GRCm38:1:90765923:90843971:-1 gene:ENSMUSG00000048126.16 transcript:ENSMUST00000188587.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a3 description:collagen, type VI, alpha 3 [Source:MGI Symbol;Acc:MGI:88461] MRKHRHLPLVAVFSLLLSGIATTHAQQHGVMEVNKRDIVFLVDGSSSLGPSNFNAIRDFV TRVIQRLEIGQDLVQVSVAQYADTVKPEFYLNSYTNKRDAITAVRKMRALNGSALYTGSS LDFVRNNLFTSSAGHRAAEGVPKLLVLITGGKSLDEVSQPAQELKRGSIMALAVGSKAAD EDELKEIAFDSSLVFIPAEFRPAPLQNMLPSLMAPLRTLTGTTEESKRDILFLFDGSVNV LGQFPAVRDFLYRIIEELDVKPDGTRVAIAQFSDDVRLESRFSEHQTKAEILNLVKKMKL KTGKALNLGYALDYALRNIFVRSAGSRIEDNVQQFLVLLVAGRSSDAVAGPASSLKQRGV VPFIFQAKNANPSELEQIVLSPAFILAAESLPKIGDLQSQIVSLLKAEQGSGPVSGEKDV VFLIDGSEGVRSGFPLLKDFVQRVVESLDVGPDRVRVALVQYSDRTRPEFYLNSHMDQQG VISAIRRLTLLGGPTPNTGAALEFVLRNILTSSTGSRIAEGVPQLLIVLTAEPSGDDVRG PSVVLKQGGAVPIGIGIGNADISEMQTISFIPDFAVAIPTFRELGTIQQVISERVIQLNR EELSSLKPILTPSTGAGVGSKKDVVFLIDGSRNAGPEFQYIRTLIERIVEYLDIGFDTTR VAVIQFSEDSKMEFPLNAHFSKDEVQNAVRRLRPKGGSQVYIGNALEYVLKNIFQRPLGS RIEEGVPQFLVLISSGKSDDEVDDSAVELKQFGVAPLTIARHTDQEELVKISLSPEYVYS VSTFRELPRLEQKLLTPITTLTSQQIHQILASTRYPPSVVESDAADIVFLIDSSDAVKPD GIAHIRDFVSRIVRRLNIGPSKVRIGVVQFSNDVFPEFYLKTHKSQSSVLEAIRRLRFKG GSPLNTGRALEFVARNLFVKSAGSRIEDGVPQHLVLFLGGKSQDDVARHAQVISSSGIVS LGIGDRNIDRTDLQTITNDPRLVFTVREFRELPNIEERVMLSFGPSGATPQPPGVDLPSP SRPEKKKADIVFLLDGSINFRRDSFQEVLRFASEIVDTVYEDGDSIRVGLVQYNSDPTDE FFLRDFSTKRQIIDAINKVVYKGGRHANTRVGIEHLLRNHFVPEAGSRLDERVPQIAFVI TGGKSVEDAQDVSLALTQKGVKVFAVGVRNIDSEEVGKIASNSATAFRVGSVQELSELSE TVLETLHDAMHETLCPGVTDVSKACNLEVILGFDGSRDQNVFVSQKGLESKVDIILNRIS QIQRISCSGNQLPTVRVSVMANTPSGPVEAFDFAEYQPELFEKFRNMRSQRPYVLTADTL KLYQNKFRQSSPDTVKVVIHFTDGADGDMADLYRASEELRQAGAQALILVGLERVANLER LMHLEFGRGFMYDRPLRLNLLDLDYELAEQLDNIAEKACCGVPCKCSGERGDRGPIGSIG PKGISGEDGYRGYPGDEGGPGERGPPGVNGTQGFQGCPGQRGVKGSRGFPGEKGELGEIG LDGLDGEEGDKGLPGSSGEKGSPGRRGDKGPKGDKGERGDVGIRGDPGDSGRDSQQRGPK GETGDIGPMGLPGRDGIPGSPGDPGKDGGSGRRGPAGAKGNRGGPGQPGFEGEQGTRGSQ GPPGPIGPPGLIGEQGIPGPRGGGGTAGAPGERGRTGPLGRKGEPGEPGPKGSIGNRGPR GETGDDGRDGVGSEGRRGKKGERGFPGYPGPKGTPGEPGADGPPGPKGIRGRRGNSGPPG ATGQKGDPGYPGPSGHKGNRGDSVDQCALIQSIRDKCPCCYGPLECPVFPTELAFALDTS EGVTQDTFSRMREVLLGIVGDLTIAESNCPRGARVAVVTYNNEVTTEIRFADSKKKSALL DSIQNLQVALTSKQQSLETAMSFVARNTFKRVRSGFLMRKVAVFFSNKPTRASPQLREAV LKLSDAGITPLFLTSQEDRQLINALQINNTAVGHALVLPARRDLTDFLKNVLTCHVCLDI CNIDPSCGFGSWRPSFRDRRAAGSDVDIDLAFILDSSEATTLFQFNEMKKYIGYVIRQLD LSPDPKASQHFARVAVVQQSTYESVDNASVPPVKVEFSLTDYGAKEKLLDFLSRRMTQLQ GTMGLGNAIEYTIENIFESAPNPRDLKIMVLMLTGDMQRQQLEEAQRAILQAKCKGYFFV VLGIGRKVNIKEVYSFASEPNDVFFKFVDKSTELNEEPLMRFGRLLPSFVSSENAFYLPP DLRKQCDWFQGDQPVKNGVKFGHKQINPPHTANSSLTSKVVTTMKPVTTTKPTAIVNLPP AKPAPARPAPAQPVLAKPDPAKPAQARPAPAKPASAKLVPPQPVHVQPAPAQTASVRPAP AKPAPPQPAAAKPVPAKPAVPAQPAPPQPAAAKPVPAKPAVPAQPAPPQPAAAKPVPAKP AVPAQPAAAQPMPAQPVLTKSAAVKPASANKPVAAKPVATNTATATARPALAAKPAAAKP AATRPLAAAIRPVATKPEAPRQQAKPAATKPATTKPLARVSREVQVSEVTENSARLHWER PEPSSSFFYDLTVTSAHDQSLVLRQNLTVTDRVIGGLLAGQLYHVVVVSYLQSQVRAIYQ GSFNTKKTQPPPLQAAHRASSSTINLMVNTEPLFLTKTDICKLSRDAGTCVDFKLLWHYD LESKSCKRFWYGGCGGNENRFHSQEECEKMCSPDLLV >ENSMUSP00000057131.9 pep:known chromosome:GRCm38:1:90765923:90843971:-1 gene:ENSMUSG00000048126.16 transcript:ENSMUST00000056925.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col6a3 description:collagen, type VI, alpha 3 [Source:MGI Symbol;Acc:MGI:88461] MRKHRHLPLVAVFSLLLSGIATTHAQQHGDVKNGAAADIVFLVDSSWSAGKDRFLLVQEF LSDVVESLAVGDNDFHFALVRLNGNPHTEFLLNTYHSKQEVLSHIVNMSYIGGSNQTGKG LEYVIHSHLTEASGSRAADGVPQVIIVLTDGQSEDGFALPSAELKSADVNVFAVGVEGAD ERALGEVASEPLSMHVFNLENVTSLHGLVGNLVSCIHSSVNPERAGDKESLKDITAQDSA DIIFLIDGSQNTGNANFDVIRDFLVNVLERLSVGNQQVQVGVVQYSEEPITMFSLNSYPS KAAVLDAVKGLSLVGGESANIGQALDFVVENHFTRAGGSRVEEGVPQVLVLISAGPSSDE IRDSVVALKQASVFSFGLGAQAASRAELQHIATDDSLVFTVPEFRSFGDLQEQILPYLVG VAQRHIVLQPPAIVTQVMEVNKRDIVFLVDGSSSLGPSNFNAIRDFVTRVIQRLEIGQDL VQVSVAQYADTVKPEFYLNSYTNKRDAITAVRKMRALNGSALYTGSSLDFVRNNLFTSSA GHRAAEGVPKLLVLITGGKSLDEVSQPAQELKRGSIMALAVGSKAADEDELKEIAFDSSL VFIPAEFRPAPLQNMLPSLMAPLRTLTGTTEVHVNKRDIIFLLDGSDNVGKNNFPYVRDF VTNLVNSLDVGSDNIRVGLVQFSDTPVTEFSLDTYQTKSELLAHLRRLQLKGGSGLNAGS ALSYIHANHFTEAGGSRTREHVPQLLLLLMAGPSEDAYLQAANALVRSGVLTFCVGTNRA DKAELEHIAFNPSLVYLMDDFRSLPSLPQQLIQPLTTYVSGGVQEVPLSQPESKRDILFL FDGSVNVLGQFPAVRDFLYRIIEELDVKPDGTRVAIAQFSDDVRLESRFSEHQTKAEILN LVKKMKLKTGKALNLGYALDYALRNIFVRSAGSRIEDNVQQFLVLLVAGRSSDAVAGPAS SLKQRGVVPFIFQAKNANPSELEQIVLSPAFILAAESLPKIGDLQSQIVSLLKAEQGSGP VSGEKDVVFLIDGSEGVRSGFPLLKDFVQRVVESLDVGPDRVRVALVQYSDRTRPEFYLN SHMDQQGVISAIRRLTLLGGPTPNTGAALEFVLRNILTSSTGSRIAEGVPQLLIVLTAEP SGDDVRGPSVVLKQGGAVPIGIGIGNADISEMQTISFIPDFAVAIPTFRELGTIQQVISE RVIQLNREELSSLKPILTPSTGAGVGSKKDVVFLIDGSRNAGPEFQYIRTLIERIVEYLD IGFDTTRVAVIQFSEDSKMEFPLNAHFSKDEVQNAVRRLRPKGGSQVYIGNALEYVLKNI FQRPLGSRIEEGVPQFLVLISSGKSDDEVDDSAVELKQFGVAPLTIARHTDQEELVKISL SPEYVYSVSTFRELPRLEQKLLTPITTLTSQQIHQILASTRYPPSVVESDAADIVFLIDS SDAVKPDGIAHIRDFVSRIVRRLNIGPSKVRIGVVQFSNDVFPEFYLKTHKSQSSVLEAI RRLRFKGGSPLNTGRALEFVARNLFVKSAGSRIEDGVPQHLVLFLGGKSQDDVARHAQVI SSSGIVSLGIGDRNIDRTDLQTITNDPRLVFTVREFRELPNIEERVMLSFGPSGATPQPP GVDLPSPSRPEKKKADIVFLLDGSINFRRDSFQEVLRFASEIVDTVYEDGDSIRVGLVQY NSDPTDEFFLRDFSTKRQIIDAINKVVYKGGRHANTRVGIEHLLRNHFVPEAGSRLDERV PQIAFVITGGKSVEDAQDVSLALTQKGVKVFAVGVRNIDSEEVGKIASNSATAFRVGSVQ ELSELSETVLETLHDAMHETLCPGVTDVSKACNLEVILGFDGSRDQNVFVSQKGLESKVD IILNRISQIQRISCSGNQLPTVRVSVMANTPSGPVEAFDFAEYQPELFEKFRNMRSQRPY VLTADTLKLYQNKFRQSSPDTVKVVIHFTDGADGDMADLYRASEELRQAGAQALILVGLE RVANLERLMHLEFGRGFMYDRPLRLNLLDLDYELAEQLDNIAEKACCGVPCKCSGERGDR GPIGSIGPKGISGEDGYRGYPGDEGGPGERGPPGVNGTQGFQGCPGQRGVKGSRGFPGEK GELGEIGLDGLDGEEGDKGLPGSSGEKGSPGRRGDKGPKGDKGERGDVGIRGDPGDSGRD SQQRGPKGETGDIGPMGLPGRDGIPGSPGDPGKDGGSGRRGPAGAKGNRGGPGQPGFEGE QGTRGSQGPPGPIGPPGLIGEQGIPGPRGGGGTAGAPGERGRTGPLGRKGEPGEPGPKGS IGNRGPRGETGDDGRDGVGSEGRRGKKGERGFPGYPGPKGTPGEPGADGPPGPKGIRGRR GNSGPPGATGQKGDPGYPGPSGHKGNRGDSVDQCALIQSIRDKCPCCYGPLECPVFPTEL AFALDTSEGVTQDTFSRMREVLLGIVGDLTIAESNCPRGARVAVVTYNNEVTTEIRFADS KKKSALLDSIQNLQVALTSKQQSLETAMSFVARNTFKRVRSGFLMRKVAVFFSNKPTRAS PQLREAVLKLSDAGITPLFLTSQEDRQLINALQINNTAVGHALVLPARRDLTDFLKNVLT CHVCLDICNIDPSCGFGSWRPSFRDRRAAGSDVDIDLAFILDSSEATTLFQFNEMKKYIG YVIRQLDLSPDPKASQHFARVAVVQQSTYESVDNASVPPVKVEFSLTDYGAKEKLLDFLS RRMTQLQGTMGLGNAIEYTIENIFESAPNPRDLKIMVLMLTGDMQRQQLEEAQRAILQAK CKGYFFVVLGIGRKVNIKEVYSFASEPNDVFFKFVDKSTELNEEPLMRFGRLLPSFVSSE NAFYLPPDLRKQCDWFQGDQPVKNGVKFGHKQINPPHTANSSLTSKVVTTMKPVTTTKPT AIVNLPPAKPAPARPAPAQPVLAKPDPAKPAQARPAPAKPASAKLVPPQPVHVQPAPAQT ASVRPAPAKPAPPQPAAAKPVPAKPAVPAQPAPPQPAAAKPVPAKPAVPAQPAPPQPAAA KPVPAKPAVPAQPAAAQPMPAQPVLTKSAAVKPASANKPVAAKPVATNTATATARPALAA KPAAAKPAATRPLAAAIRPVATKPEAPRQQAKPAATKPATTKPLARVSREVQVSEVTENS ARLHWERPEPSSSFFYDLTVTSAHDQSLVLRQNLTVTDRVIGGLLAGQLYHVVVVSYLQS QVRAIYQGSFNTKKTQPPPLQAAHRASSSTINLMVNTEPLFLTKTDICKLSRDAGTCVDF KLLWHYDLESKSCKRFWYGGCGGNENRFHSQEECEKMCSPDLLV >ENSMUSP00000132164.2 pep:known chromosome:GRCm38:11:69803603:69805624:-1 gene:ENSMUSG00000089876.3 transcript:ENSMUST00000051025.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem102 description:transmembrane protein 102 [Source:MGI Symbol;Acc:MGI:1921591] MASTVWGGAPWWGPPPPAPARPLTDIDFCSGAQLQELTQLIQELRVQESWSEGPKPGADL LRAKDFVFALLGLVHRQDPRFPPQAELLLLRGGIREGSLDLGHAPLGPYSRGPHYDAGFT LLVPVFSLDGTGPELLLDLESCSAWLRLPELMRGILVREAWQDCLGPPVPEESDMTHQTH SKESPTDRENSVDPSHDYVPEPEPHMSLQKSSSDLSESQSSYKDITNPETPEPLETLSSD ALDADESQVPKPSEAPKAWPTLCPTQVTSWFFVKLAEVAESLIPVPGAPRLVHAARHAGV TTVLLATPEPPRHLLLFDLIPVVTVTGWPDTARSHSWAGPLVSESASFYLVPGSLPEQPS TSGWQLCFARQELALKERIPTPLLQAHAAAQALLRPLVAGTRAAAPYLLRTLLYWACERL PALYLARPENAGACCLGLLDELSRVLEAGALPHYFLSGRKLRVGDGSAALRGALAQLRGD PAQALREAVEEAKVARKGGGLAGVGGGTH >ENSMUSP00000099411.3 pep:known chromosome:GRCm38:2:158116402:158146436:-1 gene:ENSMUSG00000037820.15 transcript:ENSMUST00000103122.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgm2 description:transglutaminase 2, C polypeptide [Source:MGI Symbol;Acc:MGI:98731] MAEELLLERCDLEIQANGRDHHTADLCQEKLVLRRGQRFRLTLYFEGRGYEASVDSLTFG AVTGPDPSEEAGTKARFSLSDNVEEGSWSASVLDQQDNVLSLQLCTPANAPIGLYRLSLE ASTGYQGSSFVLGHFILLYNAWCPADDVYLDSEEERREYVLTQQGFIYQGSVKFIKSVPW NFGQFEDGILDTCLMLLDMNPKFLKNRSRDCSRRSSPIYVGRVVSAMVNCNDDQGVLLGR WDNNYGDGISPMAWIGSVDILRRWKEHGCQQVKYGQCWVFAAVACTVLRCLGIPTRVVTN YNSAHDQNSNLLIEYFRNEFGELESNKSEMIWNFHCWVESWMTRPDLQPGYEGWQAIDPT PQEKSEGTYCCGPVSVRAIKEGDLSTKYDAPFVFAEVNADVVDWIRQEDGSVLKSINRSL VVGQKISTKSVGRDDREDITHTYKYPEGSPEEREVFTKANHLNKLAEKEETGVAMRIRVG DSMSMGNDFDVFAHIGNDTSETRECRLLLCARTVSYNGVLGPECGTEDINLTLDPYSENS IPLRILYEKYSGCLTESNLIKVRGLLIEPAANSYLLAERDLYLENPEIKIRVLGEPKQNR KLVAEVSLKNPLSDPLYDCIFTVEGAGLTKEQKSVEVSDPVPAGDLVKARVDLFPTDIGL HKLVVNFQCDKLKSVKGYRNVIIGPA >ENSMUSP00000133662.1 pep:known chromosome:GRCm38:2:158127345:158146393:-1 gene:ENSMUSG00000037820.15 transcript:ENSMUST00000174718.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tgm2 description:transglutaminase 2, C polypeptide [Source:MGI Symbol;Acc:MGI:98731] MAEELLLERCDLEIQANGRDHHTADLCQEKLVLRRGQRFRLTLYFEGRGYEASVDSLTFG AVTGPDPSEEAGTKARFSLSDNVEEGSWSASVLDQQDNVLSLQLCTPANAPIGLYRLSLE ASTGYQGSSFVLGHFILLYNAWCPEW >ENSMUSP00000139362.1 pep:known chromosome:GRCm38:9:77102081:77347863:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000185144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MEFGKHEPGSSLKRNKNLEEGVTFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKE FNTKEPQGMQKGDLFKAEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSL ASDVVRPKVRGADLKTSSHPEIPHGIAPQQKHGLLISPTTSEQLAHKPPAFSFVSPTNQK TPPVPANVSGATVLREFHTRRLDVTGASEEETTTYFHSTAHDSPLSAWKGASTLVVSPSA QLSGSSLCGSNVTDHTRGLASEAQKKMSTSNVLNPKEDVRTCPAPASGASLTSPSASYIP VRIVMHSLSPSPKPLTSSSHGSLSTVCSQMSSSGSLSKSGLKSPVPSRLSLLTAILKSNP SHQRPLSPASCPTFSLNSLASSTLALDQKVKQTPPTSKKSLSSGSLTTGSTEQEHQASAA SYQPCHLPFFSKTTPLSQAQPLSPLALASNSCASMDIEKIPGSTLRSNTTSPQPQTDTFS LADVPSVTPVLSPLSSSKGRKDGDSRTPEKNRNICIQPSTLASTPPVDESLALSSSGKGF HPSPALSDLIDRSKRACSQQHPGQRPSPSALPTPPVSRAASASHPHLGCSILPLQSSLTQ TLQPSPSALRPSCGSATCPSRTQMPENTASNHSSRVSTPSLPVSLTRTKELFSPCALSMS AGPENKKPKQYKTMSSYKAFAAIPTNTLLLEQKALDEPARTESNSKASVLDLPVEFCFPA QLRQQTEELCATIDKVLQDSLSMHSSDSPSRPPQTMLGSETIKTPTTHPRAAGRETKYAN LSSSSSTASESQLTKPGVIRPVPVKSKLLLRKDEEVYEPNPFSKYLEDNSGLFSEQ >ENSMUSP00000034910.7 pep:known chromosome:GRCm38:9:77102081:77347870:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000034910.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MEFGKHEPGSSLKRNKNLEEGVTFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKE FNTKEPQGMQKGDLFKAEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSL ASDVVRPKVRGADLKTSSHPEIPHGIAPQQKHGLALDEPARTESNSKASVLDLPVEHSSD SPSRPPQTMLGSETIKTPTTHPRAAGRETKYANLSSSSSTASESQLTKPGVIRPVPVKSK LLLRKDEEVYEPNPFSKYLEDNSGLFSEQ >ENSMUSP00000139242.1 pep:known chromosome:GRCm38:9:77102086:77347793:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000184848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MEFGKHEPGSSLKRNKNLEEGVTFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKE FNTKEPQGMQKGDLFKAEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSL ASDVVRPKVRGADLKTSSHPEIPHGIAPQQKHGLTPTTHPRAAGRETKYANLSSSSSTAS ESQLTKPGVIRPVPVKSKLLLRKDEEVYEPNPFSKYLEDNSGLFSEQ >ENSMUSP00000139251.1 pep:known chromosome:GRCm38:9:77102086:77347801:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000184415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MEFGKHEPGSSLKRNKNLEEGVTVSPGDPEAKPLIFTFVPTLRRLPTHIQLADTSKFLVK IPEEPTDKSPETVNRFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKEFNTKEPQG MQKGDLFKAEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSLASDVVRPK VRGADLKTSSHPEIPHGIAPQQKHGLQYKTMSSYKAFAAIPTNTLLLEQKALDEPARTES NSKASVLDLPVEFCFPAQLRQQTEELCATIDKVLQDSLSMHSSDSPSRPPQTMLGSETIK TPTTHPRAAGRETKYANLSSSSSTASESQLTKPGVIRPVPVKSKLLLRKDEEVYEPNPFS KYLEDNSGLFSEQDMAIPHKPVSLHPLYQSKLYPPAKSLLHPQTLSHADCLTPGSFSHLS SFSVRDEQEKSPTLLSQDTYNKLGHPMVTIPEHDTLDSKE >ENSMUSP00000139055.1 pep:known chromosome:GRCm38:9:77102089:77251829:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000184322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MTSCILAGSLETTPKFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKEFNTKEPQG MQKGDLFKAEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSLASDVVRPK VRGADLKTSSHPEIPHGIAPQQKHGLKTPPVPANVSGATVLREFHTRRLDVTGASEEETT TYFHSTAHDSPLSAWKGASTLVVSPSAQLSGSSLCGSNVTDHTRGLASEAQKKMSTSNVL NPKEDVRTCPAPASGASLTSPSASYIPVRIVMHSLSPSPKPLTSSSHGSLSTVCSQMSSS GSLSKSGLKSPVPSRLSLLTAILKSNPSHQRPLSPASCPTFSLNSLASSTLALDQKVKQT PPTSKKSLSSGSLTTGSTEQEHQASAASYQPCHLPFFSKTTPLSQAQPLSPLALASNSCA SMDIEKIPGSTLRSNTTSPQPQTDTFSLADVPSVTPVLSPLSSSKGRKDGDSRTPEKNRN ICIQPSTLASTPPVDESLALSSSGKGFHPSPALSDLIDRSKRACSQQHPGQRPSPSALPT PPVSRAASASHPHLGCSILPLQSSLTQTLQPSPSALRPSCGSATCPSRTQMPENTASNHS SRVSTPSLPVSLTRTKELFSPCALSMSAGPENKKPKQYKTMSSYKAFAAIPTNTLLLEQK ALDEPARTESNSKASVLDLPVEHSSDSPSRPPQTMLGSETIKTPTTHPRAAGRETKYANL SSSSSTASESQLTKPGVIRPVPVKSKLLLRKDEEVYEPNPFSKYLEDNSGLFSEQ >ENSMUSP00000139109.1 pep:known chromosome:GRCm38:9:77102089:77347916:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000185039.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MEFGKHEPGSSLKRNKNLEEGVTVSPGDPEAKPLIFTFVPTLRRLPTHIQLADTSKFLVK IPEEPTDKSPETVNRFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKEFNTKEPQG MQKGDLFKAEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSLASDVVRPK VRGADLKTSSHPEIPHGIAPQQKHGLTPTTHPRAAGRETKYANLSSSSSTASESQLTKPG VIRPVPVKSKLLLRKDEEVYEPNPFSKYLEDNSGLFSEQ >ENSMUSP00000139353.1 pep:known chromosome:GRCm38:9:77102112:77138451:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000183686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] DCLTPGSFSHLSSFSVRDEQEKSPTLLSQDTYNKLGIFSVKLGHPMVTIPEHDTLDSKE >ENSMUSP00000138864.1 pep:known chromosome:GRCm38:9:77102310:77251875:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000183955.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MTSCILAGSLETTPKVSPGDPEAKPLIFTFVPTLRRLPTHIQLADTSKFLVKIPEEPTDK SPETVNRFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKEFNTKEPQGMQKGDLFK AEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSLASDVVRPKVRGADLKT SSHPEIPHGIAPQQKHGLLISPTTSEQLAHKPPAFSFVSPTNQKTPPVPANVSGATVLRE FHTRRLDVTGASEEETTTYFHSTAHDSPLSAWKGASTLVVSPSAQLSGSSLCGSNVTDHT RGLASEAQKKMSTSNVLNPKEDVRTCPAPASGASLTSPSASYIPVRIVMHSLSPSPKPLT SSSHGSLSTVCSQMSSSGSLSKSGLKSPVPSRLSLLTAILKSNPSHQRPLSPASCPTFSL NSLASSTLALDQKVKQTPPTSKKSLSSGSLTTGSTEQEHQASAASYQPCHLPFFSKTTPL SQAQPLSPLALASNSCASMDIEKIPGSTLRSNTTSPQPQTDTFSLADVPSVTPVLSPLSS SKGRKDGDSRTPEKNRNICIQPSTLASTPPVDESLALSSSGKGFHPSPALSDLIDRSKRA CSQQHPGQRPSPSALPTPPVSRAASASHPHLGCSILPLQSSLTQTLQPSPSALRPSCGSA TCPSRTQMPENTASNHSSRVSTPSLPVSLTRTKELFSPCALSMSAGPENKKPKQYKTMSS YKAFAAIPTNTLLLEQKALDEPARTESNSKASVLDLPVEFCFPAQLRQQTEELCATIDKV LQDSLSMHSSDSPSRPPQTMLGSETIKTPTTHPRAAGRETKYANLSSSSSTASESQLTKP GVIRPVPVKSKLLLRKDEEVYEPNPFSKYLEDNSGLFSEQDMAIPHKPVSLHPLYQSKLY PPAKSLLHPQTLSHADCLTPGSFSHLSSFSVRDEQEKSPTLLSQDTYNKLGHPMVTIPEH DTLDSKE >ENSMUSP00000139002.1 pep:known chromosome:GRCm38:9:77164760:77347861:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000184006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MEFGKHEPGSSLKRNKNLEEGVTFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKE FNTKEPQGMQKGDLFKAEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSL ASDVVRPKVRGADLKTSSHPEIPHGIAPQQKHGLALDEPARTESNSKASVLDLPVEHSSD SPSRPPQTMLGSETIKTPTTHPRAAGRETKYANLSSSSSTASESQLTKPGVIRPVPVKSK LLLRKDEEVYEPNPFSKYLEDNSGLFSEQV >ENSMUSP00000139188.1 pep:known chromosome:GRCm38:9:77230924:77347851:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000184138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MEFGKHEPGSSLKRNKNLEEGVTFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKE FNTKEPQGMQKGDLFKAEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSL ASDVVRPKVRGADLKTSSHPEIPHGIAPQQKHGLKTPPVPANVS >ENSMUSP00000138938.1 pep:known chromosome:GRCm38:9:77230927:77251838:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000184316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MTSCILAGSLETTPKVSPGDPEAKPLIFTFVPTLRRLPTHIQLADTSKFLVKIPEEPTDK SPETVNRFEYSDHMTFSSESKQERVQRILDYPSEVSGRNSQQKEFNTKEPQGMQKGDLFK AEYVFIVDSDGEDEATCRQGEQGPPGGPGNIATRPKSLAISSSLASDVVRPKVRGADLKT SSHPEIPHGIAPQQKHGLKTPPVPANV >ENSMUSP00000138851.1 pep:known chromosome:GRCm38:9:77230955:77340627:-1 gene:ENSMUSG00000032355.16 transcript:ENSMUST00000184106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlip description:muscular LMNA-interacting protein [Source:MGI Symbol;Acc:MGI:1916892] MTFSSESKQERVQRILDYPSEVSGRNSQQKEFNTKEPQGMQKGDLFKAEYVFIVDSDGED EATCRQGEQGPPGGPGNIATRPKSLAISSSLASDVVRPKVRGADLKTSSHPEIPHGIAPQ QKHGLLISPTTSEQLAHKPPAFSFVSPTNQ >ENSMUSP00000143044.1 pep:known chromosome:GRCm38:5:110231004:110255469:1 gene:ENSMUSG00000029501.14 transcript:ENSMUST00000197188.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankle2 description:ankyrin repeat and LEM domain containing 2 [Source:MGI Symbol;Acc:MGI:1261856] MLWQRLAVVEWAALAWELLGASVLFIAVRWLVRRLEKRPRDLNRCGTLSSPPSASEAVAA QPGEVTMDAMMARLKLLNPDDLRKEVMKAGLKCGPITSTTRFIFEKKLAQALLEQGGLLT SSLPKPSAVTAMAFIQGTSRTPPSVDGKQTQQACFSEDRDFGYSVGLNPPEEEAVASSVH PVPFSASTRNDNHKAGVTAPKEPLVYYGVCPVYEDGPVRHERIHVYEDKKEALQAAKLIK GSRFKAFRTREDAEKFARGICDYLPSPNKTTPLLSPVKAVPLGGSDGLKADGLCLAESET VNKERANSYKNPRTQDLTAKLRKAVENGEEHTFSDLIWSNPRYLIGSGDNPTIVQEGCRY NVMHVAAKENQASMCQLTLETLENPEFMRLMYPDDNMDMLQKRILYVVDLYLNTPDKVGF DTPLHFACKFGNVDVVNVLSSHPLIVKNRKNKYGKTPEEVICERSQNKSPALKERIREYL MGHYYVPLLRAEDTSPVIGELWSSDQKAEASNTAHCRSSPRDPVMTLRAFVGPLSPSKAE DFRKLWKTPPRKKAGFFHSIRKSDPERGIERVGRELAHELGYPWVEYWEFLGCFVDLSSQ EGLQRLEEYLIQKELSKKAQQEIRENEGCLQDRTSDFGSGKKYSNSISVGAFLDGDDDSS LEEIKNQQNTVPSQSQPTTDKFQTSKSGSLPLGQKVDPGETSVGTYPDKGRNGFCHPLNH RTADGRGLEATNGEEALPPPVSVLTQELNKLNLQSLGDSLHETPDKNGKLEDEVLPSRKG AADSDLLASPPAIASLGKKQVRTNTEVSEAMAEMSLGPKSPQLGVQAGLEPILSSATVDS TKRLFLSGEEPSKLDRDVLAALECANIDPGLYPAIHRWKSTVMCYSPSDRQSWPSPALKG KFTTELVDLDCSHSCSGRCSPAGSSPSKPGHTSSSSGLHSPGRYSPAHGRHFQRVAHVAR LAAL >ENSMUSP00000031474.8 pep:known chromosome:GRCm38:5:110231041:110256647:1 gene:ENSMUSG00000029501.14 transcript:ENSMUST00000031474.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankle2 description:ankyrin repeat and LEM domain containing 2 [Source:MGI Symbol;Acc:MGI:1261856] MLWQRLAVVEWAALAWELLGASVLFIAVRWLVRRLEKRPRDLNRCGTLSSPPSASEAVAA QPGEVTMDAMMARLKLLNPDDLRKEVMKAGLKCGPITSTTRFIFEKKLAQALLEQGGLLT SSLPKPSAVTAMAFIQGTSRTPPSVDGKQTQQACFSEDRDFGYSVGLNPPEEEAVASSVH PVPFSASTRNDNHKAGVTAPKEPLVYYGVCPVYEDGPVRHERIHVYEDKKEALQAAKLIK GSRFKAFRTREDAEKFARGICDYLPSPNKTTPLLSPVKAVPLGGSDGLKDGLCLAESETV NKERANSYKNPRTQDLTAKLRKAVENGEEHTFSDLIWSNPRYLIGSGDNPTIVQEGCRYN VMHVAAKENQASMCQLTLETLENPEFMRLMYPDDNMDMLQKRILYVVDLYLNTPDKVGFD TPLHFACKFGNVDVVNVLSSHPLIVKNRKNKYGKTPEEVICERSQNKSPALKERIREYLM GHYYVPLLRAEDTSPVIGELWSSDQKAEASNTAHCRSSPRDPVMTLRAFVGPLSPSKAED FRKLWKTPPRKKAGFFHSIRKSDPERGIERVGRELAHELGYPWVEYWEFLGCFVDLSSQE GLQRLEEYLIQKELSKKAQQEIRENEGCLQDRTSDFGSGKKYSNSISVGAFLDGDDDSSL EEIKNQQNTVPSQSQPTTDKFQTSKSGSLPLGQKVDPGETSVGTYPDKGRNGFCHPLNHR TADGRGLEATNGEEALPPPVSVLTQELNKLNLQSLGDSLHETPDKNGKLEDEVLPSRKGA ADSDLLASPPAIASLGKKQVRTNTEVSEAMAEMSLGPKSPQLGVQAGLEPILSSATVDST KRLFLSGEEPSKLDRDVLAALECANIDPGLYPAIHRWKSTVMCYSPSDRQSWPSPALKGK FTTELVDLDCSHSCSGRCSPAGSSPSKPGHTSSSSGLHSPGRYSPAHGRHFQRVAHVARL AAL >ENSMUSP00000083878.5 pep:known chromosome:GRCm38:5:110231004:110256651:1 gene:ENSMUSG00000029501.14 transcript:ENSMUST00000086674.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankle2 description:ankyrin repeat and LEM domain containing 2 [Source:MGI Symbol;Acc:MGI:1261856] MLWQRLAVVEWAALAWELLGASVLFIAVRWLVRRLEKRPRDLNRCGTLSSPPSASEAVAA QPGEVTMDAMMARLKLLNPDDLRKEVMKAGLKCGPITSTTRFIFEKKLAQALLEQGGLLT SSLPKPSAVTAMAFIQGTSRTPPSVDGKQTQQACFSEDRDFGYSVGLNPPEEEAVASSVH PVPFSASTRNDNHKAGVTAPKEPLVYYGVCPVYEDGPVRHERIHVYEDKKEALQAAKLIK GSRFKAFRTREDAEKFARGICDYLPSPNKTTPLLSPVKAVPLGGSDGLKADGLCLAESET VNKERANSYKNPRTQDLTAKLRKAVENGEEHTFSDLIWSNPRYLIGSGDNPTIVQEGCRY NVMHVAAKENQASMCQLTLETLENPEFMRLMYPDDNMDMLQKRILYVVDLYLNTPDKVGF DTPLHFACKFGNVDVVNVLSSHPLIVKNRKNKYGKTPEEVICERSQNKSPALKERIREYL MGHYYVPLLRAEDTSPVIGELWSSDQKAEASNTAHCRSSPRDPVMTLRAFVGPLSPSKAE DFRKLWKTPPRKKAGFFHSIRKSDPERGIERVGRELAHELGYPWVEYWEFLGCFVDLSSQ EGLQRLEEYLIQKELSKKAQQEIRENEGCLQDRTSDFGSGKKYSNSISVGAFLDGDDDSS LEEIKNTQELNKLNLQSLGDSLHETPDKNGKLEDEVLPSRKGAADSDLLASPPAIASLGK KQVRTNTEVSEAMAEMSLGPKSPQLGVQAGLEPILSSATVDSTKRLFLSGEEPSKLDRDV LAALECANIDPGLYPAIHRWKSTVMCYSPSDRQSWPSPALKGKFTTELVDLDCSHSCSGR CSPAGSSPSKPGHTSSSSGLHSPGRYSPAHGRHFQRVAHVARLAAL >ENSMUSP00000018851.7 pep:known chromosome:GRCm38:12:110601452:110666945:1 gene:ENSMUSG00000018707.13 transcript:ENSMUST00000018851.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1h1 description:dynein cytoplasmic 1 heavy chain 1 [Source:MGI Symbol;Acc:MGI:103147] MSEPGGGEDGSAGLEVSAVQNVADVAVLQKHLRKLVPLLLEDGGDAPAALEAALEEKSAL EQMRKFLSDPQVHTVLVERSTLKEDVGDEGEEEKEFISYNINIDIHYGVKSNSLAFIKRA PVIDADKPVSSQLRVLTLSEDSPYETLHSFISNAVAPFFKSYIRESGKADRDGDKMAPSV EKKIAELEMGLLHLQQNIEIPEISLPIHPIITNVAKQCYERGEKPKVTDFGDKVEDPTFL NQLQSGVNRWIREIQKVTKLDRDPASGTALQEISFWLNLERALYRIQEKRESPEVLLTLD ILKHGKRFHATVSFDTDTGLKQALETVNDYNPLMKDFPLNDLLSATELDKIRQALVAIFT HLRKIRNTKYPIQRALRLVEAISRDLSSQLLKVLGTRKLMHVAYEEFEKVMVACFEVFQT WDDEYEKLQVLLRDIVKRKREENLKMVWRINPAHRKLQARLDQMRKFRRQHEQLRAVIVR VLRPQVTAVAQQNQGEAPEPQDMKVAEVLFDAADANAIEEVNLAYENVKEVDGLDVSKEG TEAWEAAMKRYDERIDRVETRITARLRDQLGTAKNANEMFRIFSRFNALFVRPHIRGAIR EYQTQLIQRVKDDIESLHDKFKVQYPQSQACKMSHVRDLPPVSGSIIWAKQIDRQLTAYM KRVEDVLGKGWENHVEGQKLKQDGDSFRMKLNTQEIFDDWARKVQQRNLGVSGRIFTIES ARVRGRTGNVLKLKVNFLPEIITLSKEVRNLKWLGFRVPLAIVNKAHQANQLYPFAISLI ESVRTYERTCEKVEERNTISLLVAGLKKEVQALIAEGIALVWESYKLDPYVQRLAETVFN FQEKVDDLLIIEEKIDLEVRSLETCMYDHKTFSEILNRVQKAVDDLNLHSYSNLPIWVNK LDMEIERILGVRLQAGLRAWTQVLLGQAEDKAEVDMDTDAPQVSHKPGGEPKIKNVVHEL RITNQVIYLNPPIEECRYKLYQEMFAWKMVVLSLPRIQSQRYQVGVHYELTEEEKFYRNA LTRMPDGPVALEESYSAVMGIVTEVEQYVKVWLQYQCLWDMQAENIYNRLGEDLNKWQAL LVQIRKARGTFDNAETKKEFGPVVIDYGKVQSKVNLKYDSWHKEVLSKFGQMLGSNMTEF HSQISKSRQELEQHSVDTASTSDAVTFITYVQSLKRKIKQFEKQVELYRNGQRLLEKQRF QFPPSWLYIDNIEGEWGAFNDIMRRKDSAIQQQVANLQMKIVQEDRAVESRTTDLLTDWE KTKPVTGNLRPEEALQALTIYEGKFGRLKDDREKCAKAKEALELTDTGLLSGSEERVQVA LEELQDLKGVWSELSKVWEQIDQMKEQPWVSVQPRKLRQNLDGLLNQLKNFPARLRQYAS YEFVQRLLKGYMKINMLVIELKSEALKDRHWKQLMKRLHVNWVVSELTLGQIWDVDLQKN EAVVKDVLLVAQGEMALEEFLKQIREVWNTYELDLVNYQNKCRLIRGWDDLFNKVKEHIN SVSAMKLSPYYKVFEEDALSWEDKLNRIMALFDVWIDVQRRWVYLEGIFTGSADIKHLLP VETQRFQSISTEFLALMKKVSKSPLVMDVLNIQGVQRSLERLADLLGKIQKALGEYLERE RSSFPRFYFVGDEDLLEIIGNSKNVAKLQKHFKKMFAGVSSIILNEDNSVVLGISSREGE EVMFKTPVSITEHPKINEWLTLVEKEMRVTLAKLLAESVTEVEIFGKATSIDPNTYITWI DKYQAQLVVLSAQIAWSENVENALSNVGGGGDVGPLQSVLSNVEVTLNVLADSVLMEQPP LRRRKLEHLITELVHQRDVTRSLIKSKIDNAKSFEWLSQMRFYFDPKQTDVLQQLSIQMA NAKFNYGFEYLGVQDKLVQTPLTDRCYLTMTQALEARLGGSPFGPAGTGKTESVKALGHQ LGRFVLVFNCDETFDFQAMGRIFVGLCQVGAWGCFDEFNRLEERMLSAVSQQVQCIQEAL REHSNPNYDKTSAPITCELLNKQVKVSPDMAIFITMNPGYAGRSNLPDNLKKLFRSLAMT KPDRQLIAQVMLYSQGFRTAEVLANKIVPFFKLCDEQLSSQSHYDFGLRALKSVLVSAGN VKRERIQKIKREKEERGEAVDEGEIAENLPEQEILIQSVCETMVPKLVAEDIPLLFSLLS DVFPGVQYHRGEMTALREELKKVCQEMYLTYGDGEEVGGMWVEKVLQLYQITQINHGLMM VGPSGSGKSMAWRVLLKALERLEGVEGVAHIIDPKAISKDHLYGTLDPNTREWTDGLFTH VLRKIIDNVRGELQKRQWIVFDGDVDPEWVENLNSVLDDNKLLTLPNGERLSLPPNVRIM FEVQDLKYATLATVSRCGMVWFSEDVLSTDMIFNNFLARLRSIPLDEGEDEAQRRRKGKE DEGEEAASPMLQIQRDAATIMQPYFTSNGLVTKALEHAFKLEHIMDLTRLRCLGSLFSML HQACRNVAQYNANHPDFPMQIEQLERYIQRYLVYAILWSLSGDSRLKMRAELGEYIRRIT TVPLPTAPNVPIIDYEVSISGEWSPWQAKVPQIEVETHKVAAPDVVVPTLDTVRHEALLY TWLAEHKPLVLCGPPGSGKTMTLFSALRALPDMEVVGLNFSSATTPELLLKTFDHYCEYR RTPNGVVLAPVQLGKWLVLFCDEINLPDMDKYGTQRVISFIRQMVEHGGFYRTSDQTWVK LERIQFVGACNPPTDPGRKPLSHRFLRHVPVVYVDYPGPASLTQIYGTFNRAMLRLIPSL RTYAEPLTAAMVEFYTMSQERFTQDTQPHYIYSPREMTRWVRGIFEALRPLETLPVEGLI RIWAHEALRLFQDRLVEDEERRWTDENIDMVALKHFPNIDKEKAMSRPILYSNWLSKDYI PVDQEELRDYVKARLKVFYEEELDVPLVLFNEVLDHVLRIDRIFRQPQGHLLLIGVSGAG KTTLSRFVAWMNGLSVYQIKVHRKYTGEDFDEDLRTVLRRSGCKNEKIAFIMDESNVLDS GFLERMNTLLANGEVPGLFEGDEYATLMTQCKEGAQKEGLMLDSHEELYKWFTSQVIRNL HVVFTMNPSSEGLKDRAATSPALFNRCVLNWFGDWSTEALYQVGKEFTSKMDLEKPNYIV PDYMPVVYDKLPQPPTHREAIVNSCVFVHQTLHQANARLAKRGGRTMAITPRHYLDFINH YANLFHEKRSELEEQQMHLNVGLRKIKETVDQVEELRRDLRIKSQELEVKNAAANDKLKK MVKDQQEAEKKKVMSQEIQEQLHKQQEVIADKQMSVKEDLDKVEPAVIEAQNAVKSIKKQ HLVEVRSMANPPAAVKLALESICLLLGESTTDWKQIRSIIMRENFIPTIVNFSAEEISDA IREKMKKNYMSNPSYNYEIVNRASLACGPMVKWAIAQLNYADMLKRVEPLRNELQKLEDD AKDNQQKANEVEQMIRDLEASIARYKEEYAVLISEAQAIKADLAAVEAKVNRSTALLKSL SAERERWEKTSETFKNQMSTIAGDCLLSAAFIAYAGYFDQQMRQNLFTTWSHHLQQANIQ FRTDIARTEYLSNADERLRWQASSLPADDLCTENAIMLKRFNRYPLIIDPSGQATEFIMN EYKDRKITRTSFLDDAFRKNLESALRFGNPLLVQDVESYDPVLNPVLNREVRRTGGRVLI TLGDQDIDLSPSFVIFLSTRDPTVEFPPDLCSRVTFVNFTVTRSSLQSQCLNEVLKAERP DVDEKRSDLLKLQGEFQLRLRQLEKSLLQALNEVKGRILDDDTIITTLENLKREAAEVTR KVEETDIVMQEVETVSQQYLPLSTACSSIYFTMESLKQVHFLYQYSLQFFLDIYHNVLYE NPNLKGATDHTQRLSIITKDLFQVAFNRVARGMLHQDHITFAMLLARIKLKGTVGEPTYD AEFQHFLRGKEIVLSAGSTPKIQGLTVEQAEAVVRLSCLPAFKDLIAKVQADEQFGIWLD SSSPEQTVPYLWSEETPTTPIGQAIHRLLLIQAFRPDRLLAMAHMFVSTNLGESFMSIME QPLDLTHIVGTEVKPNTPVLMCSVPGYDASGHVEDLAAEQNTQITSIAIGSAEGFNQADK AINTAVKSGRWVMLKNVHLAPGWLMQLEKKLHSLQPHACFRLFLTMEINPKVPVNLLRAG RIFVFEPPPGVKANMLRTFSSIPVSRICKSPNERARLYFLLAWFHAIIQERLRYAPLGWS KKYEFGESDLRSACDTVDTWLDDTAKGRQNISPDKIPWSALKTLMAQSIYGGRVDNEFDQ RLLNTFLERLFTTRSFDSEFKLACKVDGHKDIQMPDGIRREEFVQWVELLPDAQTPSWLG LPNNAERVLLTTQGVDMISKMLKMQMLEDEDDLAYAETEKKARTDSTSDGRPAWMRTLHT TASNWLHLIPQTLSPLKRTVENIKDPLFRFFEREVKMGAKLLQDVRQDLADVVQVCEGKK KQTNYLRTLINELVKGILPRSWSHYTVPAGMTVIQWVSDFSERIKQLQNISQAAASGGAK ELKNIHVCLGGLFVPEAYITATRQYVAQANSWSLEELCLEVNVTASQSATLDACSFGVTG LKLQGATCSNNKLSLSNAISTVLPLTQLRWVKQTSAEKKASVVTLPVYLNFTRADLIFTV DFEIATKEDPRSFYERGVAVLCTE >ENSMUSP00000126117.1 pep:known chromosome:GRCm38:12:110654162:110658167:1 gene:ENSMUSG00000018707.13 transcript:ENSMUST00000167395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1h1 description:dynein cytoplasmic 1 heavy chain 1 [Source:MGI Symbol;Acc:MGI:103147] XKKQHLVEVRSMANPPAAVKLALESICLLLGESTTDWKQIRSIIMRENFIPTIVNFSAEE ISDAIREKMKKNYMSNPSYNYEIVNRASLACGPMVKWAIAQLNYADMLKRVEPLRNELQK LEDDAKDNQQKANEVEQMIRDLEASIARYKEEYAVLISEAQAIKADLAAVEAKDVESYDP VLNPVLNREVRRTGGRVLITLGDQDIDLSPSFVIFLSTRDPTVEFPPDLCSRVTFVNFTV TRSSLQSQCLNE >ENSMUSP00000102983.2 pep:known chromosome:GRCm38:11:100761069:100762928:-1 gene:ENSMUSG00000045471.4 transcript:ENSMUST00000107360.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcrt description:hypocretin [Source:MGI Symbol;Acc:MGI:1202306] MNFPSTKVPWAAVTLLLLLLLPPALLSLGVDAQPLPDCCRQKTCSCRLYELLHGAGNHAA GILTLGKRRPGPPGLQGRLQRLLQANGTYTERSNTRAQSPPGKGWM >ENSMUSP00000057578.3 pep:known chromosome:GRCm38:11:100761691:100762931:-1 gene:ENSMUSG00000045471.4 transcript:ENSMUST00000055083.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcrt description:hypocretin [Source:MGI Symbol;Acc:MGI:1202306] MNFPSTKVPWAAVTLLLLLLLPPALLSLGVDAQPLPDCCRQKTCSCRLYELLHGAGNHAA GILTLGKRRPGPPGLQGRLQRLLQANGNHAAGILTMGRRAGAELEPHPCSGRGCPTVTTT ALAPRGGSGV >ENSMUSP00000051204.2 pep:known chromosome:GRCm38:11:69816566:69818465:-1 gene:ENSMUSG00000044084.2 transcript:ENSMUST00000056941.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933402P03Rik description:RIKEN cDNA 4933402P03 gene [Source:MGI Symbol;Acc:MGI:1918293] MENQLWQNTLRCCEQYQESPQDAENILFLLLGLIILVNISINVATAMWQGLQNAIDKMIF WMNQKTEVVQVTECPPKEPQPANVQDVHIHCILDPVQVKMAQPTQCSSSSSHYFCKRSND RRSRRRYGYQQGNLQIHQSSQQQGCLSHQQRLRNRPLSRGYPPFRKQPQGHKMSQMRPMP FFDMEDRDSLPEGHSCPHAKQPRRGWGSLCKPVRLASNVGLWGRQGGILASLPLPSLYLS PELRRLPKRVEAKSELRLQGFGPHYSQSRIWGTVEAEQWASSPPPPRRLLPNPSWVTVGY SSFPSGGHIPYDARDQWRRGTEGCEPPPAFVPRNPREVQGYRDHNSQAHRQNFSSHTHSQ PNHSPPQSMGHVGYSSRESHEVRRRAPDWIEVFPSRHPLTTSTSLTALGEASYQRAPPAS SGLMIPHSSQRLAEVQISDPTPPPTTFVPLSRNPGGNATYQVYDSLELKRQVQENRGRAS SLPPPSTSASRPSLHRSRTGKLN >ENSMUSP00000140429.1 pep:known chromosome:GRCm38:Y:70010563:70012798:-1 gene:ENSMUSG00000099550.6 transcript:ENSMUST00000187271.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28079 description:predicted gene 28079 [Source:MGI Symbol;Acc:MGI:5578785] XSSWMTTRKGTSTSFQTLLWLRKDQEMTVMC >ENSMUSP00000139495.1 pep:known chromosome:GRCm38:Y:70010563:70014787:-1 gene:ENSMUSG00000099550.6 transcript:ENSMUST00000190418.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28079 description:predicted gene 28079 [Source:MGI Symbol;Acc:MGI:5578785] MTSLMKKKRRMSSSNVLRNIVGCRISQGWKEDPGDEYMPEKKN >ENSMUSP00000139506.1 pep:known chromosome:GRCm38:Y:70012553:70014865:-1 gene:ENSMUSG00000099550.6 transcript:ENSMUST00000188554.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28079 description:predicted gene 28079 [Source:MGI Symbol;Acc:MGI:5578785] MTSLMKKKRRMSSSNVLRNIVGCRISQGWKEGNEPVTQWKTIVLGQLPTNPSLYLVKYDG NDSVYGQELYNDDRILNLKVLPPKVRFPQVRDAHLARALVGRAVQHKFKGKDGSEDNWRR VMLAQVPIMKDLFYSTTRRIQLSTSISSWMTTRKGTSTSFQTLLWLRKDQEMTVMC >ENSMUSP00000139670.1 pep:known chromosome:GRCm38:Y:70013072:70014899:-1 gene:ENSMUSG00000099550.6 transcript:ENSMUST00000191151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28079 description:predicted gene 28079 [Source:MGI Symbol;Acc:MGI:5578785] MTSLMKKKRRMSSSNVLRNIVGCRISQGWKEGNEPVTQWKTIVLGQLPTNPSLY >ENSMUSP00000035196.7 pep:known chromosome:GRCm38:9:107327084:107338350:-1 gene:ENSMUSG00000032579.14 transcript:ENSMUST00000035196.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hemk1 description:HemK methyltransferase family member 1 [Source:MGI Symbol;Acc:MGI:1916786] MKLWGQILWTLLSVPRGRRGVLQGWAFNSWKTYSSLTGPLSATGMVNHWTRVFEERGIPE ARESSEYIVAHVLGAKTFQSLKPALWTKPLTPQQLECIQELCGRRLQRMPVQYILGEWDF QGLSLKMVPPVFIPRPETEELVEWVLEEVAQRPHAVRAQDGPLILEVGCGSGAITLSLLS QLPKSRVVAVDKEEAAVSLTHENAQRLQLQDRIRIIHLDITSEGCCTHLLPWSPLDLVVS NPPYIFRKDMEQLAPEICSYEDLVALDGGDEGMDIITHILTLAPQLLNASGSIFLEVDPR HPELVSSWLQSHPDLHLSLVGVREDFCGRPRFLHVQKSAP >ENSMUSP00000112882.1 pep:known chromosome:GRCm38:9:107327991:107338332:-1 gene:ENSMUSG00000032579.14 transcript:ENSMUST00000118051.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hemk1 description:HemK methyltransferase family member 1 [Source:MGI Symbol;Acc:MGI:1916786] MPSEGCCTHLLPWSPLDLVVSNPPYIFRKDMEQLAPEICSYEDLVALDGGDEGMDIITHI LTLAPQLLNASGSIFLEVDPRHPELVSSWLQSHPDLHLSLVGVREDFCGRPRFLHVQKSA P >ENSMUSP00000108729.2 pep:known chromosome:GRCm38:X:136518013:136534309:1 gene:ENSMUSG00000031424.16 transcript:ENSMUST00000113105.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kir3dl1 description:killer cell immunoglobulin-like receptor, three domains, long cytoplasmic tail, 1 [Source:MGI Symbol;Acc:MGI:2652397] MLLWFLSLVCSGFFLVQRMSAHLGSHDKPFLSAWPSYVVPLGQNVTLTCDSHRGSNIFKL YKEEGSPNHQLHETTFQKSQVFGPVTTEHAGTYRCFHPQYANVLSAHSEPLKIIISGIYM KPFLLILQSPLVDTGGNVTLECHSENMFDTYILISHRMGIIKNSVQVSAEHHESGSHVSY SIGPMTPDLVGTYTCYGANSYYPYEWSDSSDPIDIKITGIYKKPSLSALMGPVLMMSGET MTLSCISDHQFDTFHMSREGVPRGQGMPAVQSHSGKFEAKFLLSPMIQKGNYRCYGSFRN ASHVWSSPSDPLYLPAKGNCPAYTEADTKTNNYKNLHILTGLLVTMVLVVIIIFYSCYFS KQNKSQKQAAASMEQEYEVKNTINTQDFERQEGQGLHTQNLSRESSTKT >ENSMUSP00000108728.1 pep:known chromosome:GRCm38:X:136517999:136534061:1 gene:ENSMUSG00000031424.16 transcript:ENSMUST00000113104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kir3dl1 description:killer cell immunoglobulin-like receptor, three domains, long cytoplasmic tail, 1 [Source:MGI Symbol;Acc:MGI:2652397] MLLWFLSLVCSGFFLVQRMSAHLGIYMKPFLLILQSPLVDTGGNVTLECHSENMFDTYIL ISHRMGIIKNSVQVSAEHHESGSHVSYSIGPMTPDLVGTYTCYGANSYYPYEWSDSSDPI DIKITGIYKKPSLSALMGPVLMMSGETMTLSCISDHQFDTFHMSREGVPRGQGMPAVQSH SGKFEAKFLLSPMIQKGNYRCYGSFRNASHVWSSPSDPLYLPAKGNCPAYTEADTKTNNY KNLHILTGLLVTMVLVVIIIFYSCYFSKQNKSQKQAAASMEQEYEVKNTINTQDFERQEG QGLHTQNLSRESSTKT >ENSMUSP00000102374.1 pep:known chromosome:GRCm38:11:107033083:107132127:-1 gene:ENSMUSG00000040481.17 transcript:ENSMUST00000106763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bptf description:bromodomain PHD finger transcription factor [Source:MGI Symbol;Acc:MGI:2444008] MRGRRGRPPKQPAAPAAERCAPAPPPPPPPPPPPPPPPPPPPPASGPIGGLRSRHRGSSR GRWAAAQAEVAPKTRLSSPRGGGRRKQPPPPPPASGSSASGPGRGGRGGGGGRTGGGGGH LARTTPARRAVNKVVYDDHESDDDDEEEDMVSEEDEEEEEDGDAEETQDSEDEEEDDMEE DDDDSDYPEEMEDDDDDASYCTESSFRSHSTYSSTPGRRKPRVHRPRSPILEEKDIPPLE FPKSSEDLMVPNEHIMNVIAIYEVVRNFGNVLRLSPFCFEDFCAALVSQEQCTLMAEMHV ALLKAVLREEDTSNTTFGPADLKDSVNSTLYFIDGMTWPEVLRVYCESDKEYHHVLPYQE AEDYPYGPVENKIKVLQFLVDQFLTTNIAREELMSEGVIQYDDHCRVCHKLGDLLCCETC SAVYHLECVKPPLEEVPEDEWQCEVCVAHKVPGVTDCVAEVQKNKPYVRHEPIGYDRSRR KYWFLNRRLIIEEDTDNENEKKVWYYSTKVQLAELIDCLDKGYWEAELCRVLEDIREEMQ QHMDVTEDLTNKARGSNKSFLAAANEEILDSLRIKRGEDIDCDQSPEDPEKDKHEGENNS SKDAEKSREEAEDPSADKDADSKGLEEEPGHGKPEEPTEVGDKGNSVPANLGDNTTNASP EETSPCDGRSPEGCLSETHDSSSMAEKKVASELPPDVPEDSNRTCDSSNTSATTASSQPN LETCSSSELTSSQSDSAKAADDPEIGERDSHTPVSVHEEIGDFRLEKSNGEVSESPGAGK GTSGSTRIITRLRNPESKLSQLKSQQVAAAAHEANKLFKEGKEVLVVNSQGEVSRLSTKK EVVMKGNINNYFKLGQEGKYRVYHNQYSTNSFALNKHQHREDHDKRRHLAHKFCLTPAGE FKWNGSVHGSKVLTISTLRLTITQLESNIPSSFLHPNWASHRANWIKAVQMCSKPREFAL ALAILECAVKPVVMLPIWRESLGHTRLHRMTSIEREEKEKVKKKEKKQEEEETMQQATWV KYTFPVKHQVTGYGGWSWISKTHVYRFLPKLPGNTNVNYRKPLDGAKNNTDENKDESEKR KSPRSPKKMKTECDSEQGETRDADATAGAAAGAMELSKEPEKKDQDVKELLDSDNDKSFK EEPMEIDDTIKTESHVSSLESTEVDVVNVSEGFHLRTSYKKKTKSSKLDGLLERRIRQFT LEEKQRLEKLKLESGVKGAGKPPMGALKSSSESPGSTKASEGHQGDSLRQEQSPSSSQAS TVDLGLGGSQSDPLVLGISPPSLSTHKPDPKDQVLDDVSIQSPGPNCQRQNSVESDLDAR ISEPAGKGLELSQTKTEVTDSSSDDSKPTSADDVGILICKSRKLHSQDDSSTVVSSSKST LPASVPKSPRDRDARAFSKAVDFDGRLGGDSEYSSTLENSSDNMCIRDSAEEDMVVQNSS EATSKRFIAPEQGGESVESTKCQVVSKSTENCEDKLQGKVTEANGKKLGQHPPKPEERAV NRCTDQVSLRHSVDRKNSEPRESEKKGQKANKFQINGKDSKAKGYLKGPGTKDGSDGKVV SSAVEPKVNNINKVIPGNTKSLAGKESAAKPFINGDIIMEELSEQNTSETNSYSLSSSDA KGNHQDGLHTLPSTKESASTQVITPRAPCPDRNSLSQVEDMETESPEVKRVIPSPVRTGE GSNLSKGFMDDNGLPSSKDENVNGESQRKTVITEVTTMTSTVATESKTVIKVAKGDKQTV VSSTENCARSTVTTTTTTVTKLSTPSPDTGVDTISVKEQSKTVVTTTVTDSLTTAGSTLV TSMTVSKEYSTRDRVKLMKFSRPKKTRSGTALPSYRKFVTKSSKKSIFVLPNDDLKKLAR KGGIREVPYFNYNAKPALDIWPYPSPRPTFGITWRYRLQTVKSLAGVSLMLRLLWASLRW DDMAAKAPPGGGSTRTETSETEITTTEIIKRRDVGPYGIRSEYCIRKIICPIGVPEAPKE TPTPQRKGLRSSALRPKRPETPKQTGPVIIESWVAEEELELWEIRAFAERVEKEKAQAAE QQTKKRLEQQKPAVIAASTTSPANNTSSTVSPAQKVMVAPLSGSVTPGTKMVLATKVGSP ATVTFQQNKNFHQTFATWVKQGQSNSGMVQVQQKVLGIIPSTTGPSQQTFTSFQPRTATV TIRPNTSASAGTTTTSQVITGPQIRPGMTVIRTPLQQPALGKAIIRTPVVVQPGTPQQVV TQIIRGQPVSTAISAPSTASSAPVQKGLTPGAAAGPLQPSAPHSPRPQQGQVKLTMAQLT QLTQGHGGNQGLTVVIQGQGQTTGQLQLIPQGMTVLPGPGQQLMQAAMPNGTVQRFLFTP LSTSATAASSSSNSSSTTTNATAAGSGEQKQSKILPQTQVQPATTLAPTQSSSVSPAEAQ PQPAQPAAQPQPQPQPPAQPEVQTQPAVSSHVPSETQPSQAQTSKPLVATQCQPQSSVQG QSPVRVQSPPLTRIRPSTPSQVTPGQQPQVQTTASQPIPIPPPTSLQAPSQGQPQSQPQV QSSTQTLSSGQTLNQVTVLSPSCPQPQPQVIAVPQLQQVQVLSQIQSQVVAQIQAQQSGV PQQIKLQLPIQVQQNSAAQTQSVVTVQAASVQEQLQRVQQLRDQQQKKKQQIETEREHTL QASNQSEIIQKQVVMKHNAVIEHLKQKKTMTPAEREENQRMIVCNQVMKYILDKIDKEEK QAAKKRKREESVEQKRSKQNASKLSALLFKHKEQLKAEILRKRALLDKELQIQVQEELKR DLKMKREREMAQAVQANAASVPTPSVPAPVPAPAPAAPPAPPRSPPPSTHSLPPAGHPTA PLPVTSQKRKREEEKDSKSKKKKMISTTSKEAKKDTRLYCICKTPYDESKFYIGCDRCQN WYHGRCVGILQSEADLIDEYVCPQCQSTEDAMTVLTPLTEKDYEGLKRVLRSLQAHKMAW PFLEPVDPNDAPDYYGVIKEPMDLATMEERIQKRYYEKLTEFVADMTKIFDNCRYYNPRD TPFYQCAEVLESFFVQKLKGFKASRSHNNKLQSTAP >ENSMUSP00000102373.1 pep:known chromosome:GRCm38:11:107033083:107132127:-1 gene:ENSMUSG00000040481.17 transcript:ENSMUST00000106762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bptf description:bromodomain PHD finger transcription factor [Source:MGI Symbol;Acc:MGI:2444008] MRGRRGRPPKQPAAPAAERCAPAPPPPPPPPPPPPPPPPPPPPASGPIGGLRSRHRGSSR GRWAAAQAEVAPKTRLSSPRGGGRRKQPPPPPPASGSSASGPGRGGRGGGGGRTGGGGGH LARTTPARRAVNKVVYDDHESDDDDEEEDMVSEEDEEEEEDGDAEETQDSEDEEEDDMEE DDDDSDYPEEMEDDDDDASYCTESSFRSHSTYSSTPGRRKPRVHRPRSPILEEKDIPPLE FPKSSEDLMVPNEHIMNVIAIYEVVRNFGNVLRLSPFCFEDFCAALVSQEQCTLMAEMHV ALLKAVLREEDTSNTTFGPADLKDSVNSTLYFIDGMTWPEVLRVYCESDKEYHHVLPYQE AEDYPYGPVENKIKVLQFLVDQFLTTNIAREELMSEGVIQYDDHCRVCHKLGDLLCCETC SAVYHLECVKPPLEEVPEDEWQCEVCVAHKVPGVTDCVAEVQKNKPYVRHEPIGYDRSRR KYWFLNRRLIIEEDTDNENEKKVWYYSTKVQLAELIDCLDKGYWEAELCRVLEDIREEMQ QHMDVTEDLTNKARGSNKSFLAAANEEILDSLRIKRGEDIDCDQSPEDPEKDKHEGENNS SKDAEKSREEAEDPSADKDADSKGLEEEPGHGKPEEDSNRTCDSSNTSATTASSQPNLET CSSSELTSSQSDSAKAADDPEIGERDSHTPVSVHEEIGDFRLEKSNGEVSESPGAGKGTS GSTRIITRLRNPESKLSQLKSQQVAAAAHEANKLFKEGKEVLVVNSQGEVSRLSTKKEVV MKGNINNYFKLGQEGKYRVYHNQYSTNSFALNKHQHREDHDKRRHLAHKFCLTPAGEFKW NGSVHGSKVLTISTLRLTITQLESNIPSSFLHPNWASHRANWIKAVQMCSKPREFALALA ILECAVKPVVMLPIWRESLGHTRLHRMTSIEREEKEKVKKKEKKQEEEETMQQATWVKYT FPVKHQVTGYGGWSWISKTHVYRFLPKLPGNTNVNYRKPLDGAKNNTDENKDESEKRKSP RSPKKMKTECDSEQGETRDADATAGAAAGAMELSKEPEKKDQDVKELLDSDNDKSFKEEP MEIDDTIKTESHVSSLESTEVDVVNVSEGFHLRTSYKKKTKSSKLDGLLERRIRQFTLEE KQRLEKLKLESGVKGAGKPPMGALKSSSESPGSTKASEGHQGDSLRQEQSPSSSQASTVD LGLGGSQSDPLVLGISPPSLSTHKPDPKDQVLDDVSIQSPGPNCQRQNSVESDLDARISE PAGKGLELSQTKTEVTDSSSDDSKPTSADDVGILICKSRKLHSQDDSSTVVSSSKSTLPA SVPKSPRDRDARAFSKAVDFDGRLGGDSEYSSTLENSSDNMCIRDSAEEDMVVQNSSEAT SKRFIAPEQGGESVESTKCQVVSKSTENCEDKLQGKVTEANGKKLGQHPPKPEERAVNRC TDQVSLRHSVDRKNSEPRESEKKGQKANKFQINGKDSKAKGYLKGPGTKDGSDGKVVSSA VEPKVNNINKVIPGNTKSLAGKESAAKPFINGDIIMEELSEQNTSETNSYSLSSSDAKGN HQDGLHTLPSTKESASTQVITPRAPCPDRNSLSQVEDMETESPEVKRVIPSPVRTGEGSN LSKGFMDDNGLPSSKDENVNGESQRKTVITEVTTMTSTVATESKTVIKVAKGDKQTVVSS TENCARSTVTTTTTTVTKLSTPSPDTGVDTISVKEQSKTVVTTTVTDSLTTAGSTLVTSM TVSKEYSTRDRVKLMKFSRPKKTRSGTALPSYRKFVTKSSKKSIFVLPNDDLKKLARKGG IREVPYFNYNAKPALDIWPYPSPRPTFGITWRYRLQTVKSLAGVSLMLRLLWASLRWDDM AAKAPPGGGSTRTETSETEITTTEIIKRRDVGPYGIRSEYCIRKIICPIGVPEAPKETPT PQRKGLRSSALRPKRPETPKQTGPVIIESWVAEEELELWEIRAFAERVEKEKAQAAEQQT KKRLEQQKPAVIAASTTSPANNTSSTVSPAQKVMVAPLSGSVTPGTKMVLATKVGSPATV TFQQNKNFHQTFATWVKQGQSNSGMVQVQQKVLGIIPSTTGPSQQTFTSFQPRTATVTIR PNTSASAGTTTTSQVITGPQIRPGMTVIRTPLQQPALGKAIIRTPVVVQPGTPQQVVTQI IRGQPVSTAISAPSTASSAPVQKGLTPGAAAGPLQPSAPHSPRPQQGQVKLTMAQLTQLT QGHGGNQGLTVVIQGQGQTTGQLQLIPQGMTVLPGPGQQLMQAAMPNGTVQRFLFTPLST SATAASSSSNSSSTTTNATAAGSGEQKQSKILPQTQVQPATTLAPTQSSSVSPAEAQPQP AQPAAQPQPQPQPPAQPEVQTQPAVSSHVPSETQPSQAQTSKPLVATQCQPQSSVQGQSP VRVQSPPLTRIRPSTPSQVTPGQQPQVQTTASQPIPIPPPTSLQAPSQGQPQSQPQVQSS TQTLSSGQTLNQVTVLSPSCPQPQPQVIAVPQLQQVQVLSQIQSQVVAQIQAQQSGVPQQ IKLQLPIQVQQNSAAQTQSVVTVQAASVQEQLQRVQQLRDQQQKKKQQIETEREHTLQAS NQSEIIQKQVVMKHNAVIEHLKQKKTMTPAEREENQRMIVCNQVMKYILDKIDKEEKQAA KKRKREESVEQKRSKQNASKLSALLFKHKEQLKAEILRKRALLDKELQIQVQEELKRDLK MKREREMAQAVQANAASVPTPSVPAPVPAPAPAAPPAPPRSPPPSTHSLPPAGHPTAPLP VTSQKRKREEEKDSKSKKKKMISTTSKEAKKDTRLYCICKTPYDESKFYIGCDRCQNWYH GRCVGILQSEADLIDEYVCPQCQSTEDAMTVLTPLTEKDYEGLKRVLRSLQAHKMAWPFL EPVDPNDAPDYYGVIKEPMDLATMEERIQKRYYEKLTEFVADMTKIFDNCRYYNPRDTPF YQCAEVLESFFVQKLKGFKASRSHNNKLQSTAP >ENSMUSP00000146600.1 pep:known chromosome:GRCm38:11:107035397:107047343:-1 gene:ENSMUSG00000040481.17 transcript:ENSMUST00000208369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bptf description:bromodomain PHD finger transcription factor [Source:MGI Symbol;Acc:MGI:2444008] EELKRDLKMKREREMAQAVQANAASVPTPSVPAPVPAPAPAAPPAPPRSPPPSTHSLPPA GHPTAPLPVTSQKRKREEEKDSKSKKKKMISTTSKEAKKDTRLYCICKTPYDESKFYIGC DLCTNWYHGECVGITEKEAKKMDVYICNDCKRAQEGSSEELYCICRTPYDESQFYIGCDR CQNWYHGRCVGILQSEADLIDEYVCPQCQSTEDAMTVLTPLTEKDYEGLKRVLRSLQAHK MAWPFLEPVDPNDAPDYYGVIKEPMDLATMEERIQKRYYEKLTEFVADMTKIFDNCRYYN PRDTPFYQCAEVLESFFVQKLKGFKASRL >ENSMUSP00000118875.1 pep:known chromosome:GRCm38:11:107046666:107052890:-1 gene:ENSMUSG00000040481.17 transcript:ENSMUST00000133317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bptf description:bromodomain PHD finger transcription factor [Source:MGI Symbol;Acc:MGI:2444008] SKLSALLFKHKEQLKAEILRKRALLDKELQIQVQEELKRDLKMKREREMAQAVQANAASV PTPSVPAPVPAPAPAAPPAPPRSPPPSTHSLPPAGHPTAPLPVTSQKRKREEEKDSKSKK KKMISTTSKEAKKDTRLYCICKTPYDESKYVPVPQLCS >ENSMUSP00000122575.1 pep:known chromosome:GRCm38:11:107060548:107067205:-1 gene:ENSMUSG00000040481.17 transcript:ENSMUST00000149486.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bptf description:bromodomain PHD finger transcription factor [Source:MGI Symbol;Acc:MGI:2444008] VGPYGIRSEYCIRKIICPIGVPEAPKETPTPQRKGLRSSALRPKRPETPKQTGPVIIESW VAEEELELWEIRAFAERVEKEKAQAAEQQTKKRLEQQKPAVIAASTTSPANNTSSTVSPA QKVMVAPLSGSVTPGTKMVLATKVGSPATVTFQQNKNFHQTFATWVKQGQSNSATSTAAT SATTIASTGQTFQITGSPVTMAGKVITKLPLPANSKIVAVNVPATQGGMVQVQQKVLGII PSTTGPSQQTFTSFQPRTATVTIRPNTSASAGTTTTSQVITGPQIRPGM >ENSMUSP00000052303.8 pep:known chromosome:GRCm38:11:107033081:107131922:-1 gene:ENSMUSG00000040481.17 transcript:ENSMUST00000057892.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bptf description:bromodomain PHD finger transcription factor [Source:MGI Symbol;Acc:MGI:2444008] MRGRRGRPPKQPAAPAAERCAPAPPPPPPPPPPPPPPPPPPPPASGPIGGLRSRHRGSSR GRWAAAQAEVAPKTRLSSPRGGGRRKQPPPPPPASGSSASGPGRGGRGGGGGRTGGGGGH LARTTPARRAVNKVVYDDHESDDDDEEEDMVSEEDEEEEEDGDAEETQDSEDEEEDDMEE DDDDSDYPEEMEDDDDDASYCTESSFRSHSTYSSTPGRRKPRVHRPRSPILEEKDIPPLE FPKSSEDLMVPNEHIMNVIAIYEVVRNFGNVLRLSPFCFEDFCAALVSQEQCTLMAEMHV ALLKAVLREEDTSNTTFGPADLKDSVNSTLYFIDGMTWPEVLRVYCESDKEYHHVLPYQE AEDYPYGPVENKIKVLQFLVDQFLTTNIAREELMSEGVIQYDDHCRVCHKLGDLLCCETC SAVYHLECVKPPLEEVPEDEWQCEVCVAHKVPGVTDCVAEVQKNKPYVRHEPIGYDRSRR KYWFLNRRLIIEEDTDNENEKKVWYYSTKVQLAELIDCLDKGYWEAELCRVLEDIREEMQ QHMDVTEDLTNKARGSNKSFLAAANEEILDSLRIKRGEDIDCDQSPEDPEKDKHEGENNS SKDAEKSREEAEDPSADKDADSKGLEEEPGHGKPEGDFRLEKSNGEVSESPGAGKGTSGS TRIITRLRNPESKLSQLKSQQVAAAAHEANKLFKEGKEVLVVNSQGEVSRLSTKKEVVMK GNINNYFKLGQEGKYRVYHNQYSTNSFALNKHQHREDHDKRRHLAHKFCLTPAGEFKWNG SVHGSKVLTISTLRLTITQLESNIPSSFLHPNWASHRANWIKAVQMCSKPREFALALAIL ECAVKPVVMLPIWRESLGHTRLHRMTSIEREEKEKVKKKEKKQEEEETMQQATWVKYTFP VKHQVWKQKGEEYRVTGYGGWSWISKTHVYRFLPKLPGNTNVNYRKPLDGAKNNTDENKD ESEKRKSPRSPKKMKTECDSEQGETRDADATAGAAAGAMELSKEPEKKDQDVKELLDSDN DKSFKEEPMEIDDTIKTESHVSSLESTEVDVVNVSEGFHLRTSYKKKTKSSKLDGLLERR IRQFTLEEKQRLEKLKLESGVKGAGKPPMGALKSSSESPGSTKASEGHQGDSLRQEQSPS SSQASTVDLGLGGSQSDPLVLGISPPSLSTHKPDPKDQVLDDVSIQSPGPNCQRQNSVES DLDARISEPAGKGLELSQTKTEVTDSSSDDSKPTSADDVGILICKSRKLHSQDDSSTVVS SSKSTLPASVPKSPRDRDARAFSKAVDFDGRLGGDSEYSSTLENSSDNMCIRDSAEEDMV VQNSSEATSKRFIAPEQGGESVESTKCQVVSKSTENCEDKLQGKVTEANGKKLGQHPPKP EERAVNRCTDQVSLRHSVDRKNSEPRESEKKGQKANKFQINGKDSKAKGYLKGPGTKDGS DGKVVSSAVEPKVNNINKVIPGNTKSLAGKESAAKPFINGDIIMEELSEQNTSETNSYSL SSSDAKGNHQDGLHTLPSTKESASTQVITPRAPCPDRNSLSQVEDMETESPEVKRVIPSP VRTGEGSNLSKGFMDDNGLPSSKDENVNGESQRKTVITEVTTMTSTVATESKTVIKVAKG DKQTVVSSTENCARSTVTTTTTTVTKLSTPSPDTGVDTISVKEQSKTVVTTTVTDSLTTA GSTLVTSMTVSKEYSTRDRVKLMKFSRPKKTRSGTALPSYRKFVTKSSKKSIFVLPNDDL KKLARKGGIREVPYFNYNAKPALDIWPYPSPRPTFGITWRYRLQTVKSLAGVSLMLRLLW ASLRWDDMAAKAPPGGGSTRTETSETEITTTEIIKRRDVGPYGIRSEYCIRKIICPIGVP EAPKETPTPQRKGLRSSALRPKRPETPKQTGPVIIESWVAEEELELWEIRAFAERVEKEK AQAAEQQTKKRLEQQKPAVIAASTTSPANNTSSTVSPAQKVMVAPLSGSVTPGTKMVLAT KVGSPATVTFQQNKNFHQTFATWVKQGQSNSGMVQVQQKVLGIIPSTTGPSQQTFTSFQP RTATVTIRPNTSASAGTTTTSQVITGPQIRPGMTVIRTPLQQPALGKAIIRTPVVVQPGT PQQVVTQIIRGQPVSTAISAPSTASSAPVQKGLTPGAAAGPLQPSAPHSPRPQQGQVKLT MAQLTQLTQGHGGNQGLTVVIQGQGQTTGQLQLIPQGMTVLPGPGQQLMQAAMPNGTVQR FLFTPLSTSATAASSSSNSSSTTTNATAAGSGEQKQSKILPQTQVQPATTLAPTQSSSVS PAEAQPQPAQPAAQPQPQPQPPAQPEVQTQPAVSSHVPSETQPSQAQTSKPLVATQCQPQ SSVQGQSPVRVQSPPLTRIRPSTPSQVTPGQQPQVQTTASQPIPIPPPTSLQAPSQGQPQ SQPQVQSSTQTLSSGQTLNQVTVLSPSCPQPQPQVIAVPQLQQVQVLSQIQSQVVAQIQA QQSGVPQQIKLQLPIQVQQNSAAQTQSVVTVQAASVQEQLQRVQQLRDQQQKKKQQIETE REHTLQASNQSEIIQKQVVMKHNAVIEHLKQKKTMTPAEREENQRMIVCNQVMKYILDKI DKEEKQAAKKRKREESVEQKRSKQNASKLSALLFKHKEQLKAEILRKRALLDKELQIQVQ EELKRDLKMKREREMAQAVQANAASVPTPSVPAPVPAPAPAAPPAPPRSPPPSTHSLPPA GHPTAPLPVTSQKRKREEEKDSKSKKKKMISTTSKEAKKDTRLYCICKTPYDESKFYIGC DRCQNWYHGRCVGILQSEADLIDEYVCPQCQSTEDAMTVLTPLTEKDYEGLKRVLRSLQA HKMAWPFLEPVDPNDAPDYYGVIKEPMDLATMEERIQKRYYEKLTEFVADMTKIFDNCRY YNPRDTPFYQCAEVLESFFVQKLKGFKASRSHNNKLQSTAP >ENSMUSP00000062795.6 pep:known chromosome:GRCm38:7:80246376:80260821:1 gene:ENSMUSG00000045467.13 transcript:ENSMUST00000058266.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll13 description:tubulin tyrosine ligase-like family, member 13 [Source:MGI Symbol;Acc:MGI:1920845] MEPNNCKTSESEEDDIEEEESEEECVREESTTPNSTQQALRKADYKEFENGVALSVVAKK IPKKILSATDTDDLEVGRRRRKRKRRPLAINLTNCKYESVRRAAQMCGLKEVGEDEEWTV YWTDCSVSLERVMDMKRFQKINHFPGMTEICRKDLLARNLNRMQKLYPTEYNIFPRTWCL PADYGDFQAYGRQRKTRTYICKPDSGCQGRGIFITRTPKEIKPGEHMICQQYITKPFLID GFKFDMRIYVLITSCDPLRIFMYEEGLARFATMPYVEPSHNNLEEVCMHLTNYAINKHNE NFVRDDAVGSKRKLSTLNAWLREHSHDPQELWGDIEDIIIKTIISAHSVLRHNYRTCFPQ YLCGGTCACFEILGFDILLDHKLKPWLLEVNHSPSFTTDSRLDREVKDALLCDAMNLVNL RGCDKKKVIEEDKRRVKERLFPCHQQPRETRREQFELSQAAMHDQERYEDSHLGGYRRIY PGPDSEKYAPFFKHNGSLFQETAASKAREECARQQLEEIRLKQEQQENPGTKKRKENKEQ NQGESAGEKSRSRTATRVLATSLAYRNRNREKELLPVQLDTTQPQDIVEEEELERMKLLL QRENLIRSLGIVEQLTRMLYPSHRSHRKLHEYRPRFHQDGLSSQELQPVNLVPLVLLRGA ASEQIPPHFLQPLRPHELIPRILGPLSSINPAIAQHSRYHLQPKNFNWIGDSAATGPCSL SMKKSGRHYISSSRVRLTSRKRRKAQHSTKTANGLQSLLIERLPTSSRLKSSGQDLCLQK AKNTETPRVLQHSKILWGSVKTKR >ENSMUSP00000145702.1 pep:known chromosome:GRCm38:7:80247388:80260670:1 gene:ENSMUSG00000045467.13 transcript:ENSMUST00000205270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll13 description:tubulin tyrosine ligase-like family, member 13 [Source:MGI Symbol;Acc:MGI:1920845] TPNSTQQALRKADYKEFENGVALSVVAKKIPKKILSATDTDDLEVGRRRRKRKRRPLAIN LTNCKYESVRRAAQMCGLKEVGEDEEWTVYWTDCSVSLERVMDMKRFQKINHFPGMTEIC RKDLLARNLNRMQKLYPTEYNIFPRTWCLPADYGDFQAYGRQRKTRTYICKPDSGCQGRG IFITRTPKEIKPGEHMICQQYITKPFLIDGFKFDMRIYVLITSCDPLRIFMYEEGLARFA TMPYVEPSHNNLEEVCMHLTNYAINKHNENFVRDDAVGSKRKLSTLNAWLREHSHDPQEL WGDIEDIIIKTIISAHSVLRHNYRTCFPQYLCGGTCACFEILGFDILLDHKLKPWLLEVN HSPSFTTDSRLDREVKDALLCDAMNLVNLRGCDKKKVIEEDKRRVKERLFPCHQQPRETR REQFELSQAAMHDQERYEDSHLGGYRRIYPGPDSEKYAPFFKHNGSLFQETAASKAREEC ARQQLEEIRLKQEQQENPGTKKRKENKEQNQGESAGEKSRSRTATRVLATSLAYRNRNRE KELLPVQLDTTQPQDIVEEEELERMKLLLQRENLIRSLGIVEQLTRMLYPSHRSHRKLHE YRPRFHQDGLSSQELQPVNLVPLVLLRGAASEQIPPHFLQPLRPHELIPRILGPLSSINP AIAQHSRYHLQPKNFNWIGDSAATGPCSLSMKKSGRHYISSSRVRLTSQGQASRRLEAIN RALSGSVPPTLTPRQGYLLQPKRQENGSWTECCTLPSMVNSEHRGSKAGDLPLCPASAPV LQHSSPLLNIIQHR >ENSMUSP00000138263.1 pep:known chromosome:GRCm38:4:136310942:136340681:1 gene:ENSMUSG00000066037.14 transcript:ENSMUST00000131671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpr description:heterogeneous nuclear ribonucleoprotein R [Source:MGI Symbol;Acc:MGI:1891692] MKTYRQREKQGSKVQESTKGPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGVQP GIGTEVFVGKIPRDLYEDELVPLFEKAGPIWDLRLMMDPLSGQNRGYAFITFCGKEAAQE AVKLCDSYEIRPGKHLGVCISVANNRLFVGSIPKNKTKENILEEFSKVTEGLVDVILYHQ PDDKKKNRGFCFLEYEDHKSAAQARRRLMSGKVKVWGNVVTVEWADPVEEPDPEVMAKVK VLFVRNLATTVTEEILEKSFSEFGKLERVKKLKDYAFVHFEDRGAAVKAMDEMNGKEIEG EEIEIVLAKPPDKKRKERQAARQASRSTAYEDYYYHPPPRMPPPMRGRGRGGRGGYGYPP DYYGYEDYYDDYYGYDYHDYRGGYEDPYYGYDDGYAVRGRGGGRGGRGAPPPPRGRGAPP PRGRAGYSQRGAPLGPPRGSRGGRGGPAQQQRGRGSRGARGNRGGNVGGKRKADGYNQPD SKRRQTNNQQNWGSQPIAQQPLQQGGDYSGNYGYNNDNQEFYQDTYGQQWK >ENSMUSP00000101476.1 pep:known chromosome:GRCm38:4:136310968:136340681:1 gene:ENSMUSG00000066037.14 transcript:ENSMUST00000105850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpr description:heterogeneous nuclear ribonucleoprotein R [Source:MGI Symbol;Acc:MGI:1891692] MANQVNGNAVQLKEEEEPMDTSSVTHTEHYKTLIEAGLPQKVAERLDEIFQTGLVAYVDL DERAIDALREFNEEGALSVLQQFKESDLSHVQNKSAFLCGVMKTYRQREKQGSKVQESTK GPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGVQPGIGTEVFVGKIPRDLYEDE LVPLFEKAGPIWDLRLMMDPLSGQNRGYAFITFCGKEAAQEAVKLCDSYEIRPGKHLGVC ISVANNRLFVGSIPKNKTKENILEEFSKVTEGLVDVILYHQPDDKKKNRGFCFLEYEDHK SAAQARRRLMSGKVKVWGNVVTVEWADPVEEPDPEVMAKVKVLFVRNLATTVTEEILEKS FSEFGKLERVKKLKDYAFVHFEDRGAAVKAMDEMNGKEIEGEEIEIVLAKPPDKKRKERQ AARQASRSTAYEDYYYHPPPRMPPPMRGRGRGGRGGYGYPPDYYGYEDYYDDYYGYDYHD YRGGYEDPYYGYDDGYAVRGRGGGRGGRGAPPPPRGRGAPPPRGRAGYSQRGAPLGPPRG SRGGRGGPAQQQRGRGSRGARGNRGGNVGGKRKADGYNQPDSKRRQTNNQQNWGSQPIAQ QPLQQGGDYSGNYGYNNDNQEFYQDTYGQQWK >ENSMUSP00000138399.1 pep:known chromosome:GRCm38:4:136310968:136359447:1 gene:ENSMUSG00000066037.14 transcript:ENSMUST00000148843.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpr description:heterogeneous nuclear ribonucleoprotein R [Source:MGI Symbol;Acc:MGI:1891692] MANQVNGNAVQLKEEEEPMDTSSVTHTEHYKTLIEAGLPQKVAERLDEIFQTGLVAYVDL DERAIDALREFNEEGALSVLQQFKESDLSHVQNKSAFLCGVMKTYRQREKQGSKVQESTK GPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGVQPGIGTEVFVGKIPRDLYEDE LVPLFEKAGPIWDLRLMMDPLSGQNRGYAFITFCGKEAAQEAVKLCDSYEIRPGKHLGVC ISVANNRLFVGSIPKNKTKENILEEFSKVTEGLVDVILYHQPDDKKKNRGFCFLEYEDHK SAAQARRRLMSGKVKVWGNVVTVEWADPVEEPDPEVMAKVKVLFVRNLATTVTEEILEKS FSEFGKLERVKKLKDYAFVHFEDRGAAVKAMDEMNGKEIEGEEIEIVLAKPPDKKRKERQ AARQASRSTAYEDYYYHPPPRMPPPMRGRGRGGRGGYGYPPDYYGYEDYYDDYYGYDYHD YRGGYEDPYYGYDDGYAVRGRGGGRGGRGAPPPPRGRGAPPPRGRAGYSQRGAPLGPPRG SRGGRGGPAQQQRGRGSRGARGNRGGNVGGKRKADGYNQPDSKRRQTNNQQNWGSQPIAQ QPLQQGGDYSGNYGYNNDNQEFYQDTYGQQWK >ENSMUSP00000081239.5 pep:known chromosome:GRCm38:4:136311025:136340863:1 gene:ENSMUSG00000066037.14 transcript:ENSMUST00000084219.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpr description:heterogeneous nuclear ribonucleoprotein R [Source:MGI Symbol;Acc:MGI:1891692] MKTYRQREKQGSKVQESTKGPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGVQP GIGTEVFVGKIPRDLYEDELVPLFEKAGPIWDLRLMMDPLSGQNRGYAFITFCGKEAAQE AVKLCDSYEIRPGKHLGVCISVANNRLFVGSIPKNKTKENILEEFSKVTEGLVDVILYHQ PDDKKKNRGFCFLEYEDHKSAAQARRRLMSGKVKVWGNVVTVEWADPVEEPDPEVMAKVK VLFVRNLATTVTEEILEKSFSEFGKLERVKKLKDYAFVHFEDRGAAVKAMDEMNGKEIEG EEIEIVLAKPPDKKRKERQAARQASRSTAYEDYYYHPPPRMPPPMRGRGRGGRGGYGYPP DYYGYEDYYDDYYGYDYHDYRGGYEDPYYGYDDGYAVRGRGGGRGGRGAPPPPRGRGAPP PRGRAGYSQRGAPLGPPRGSRGGRGGPAQQQRGRGSRGARGNRGGNVGGKRKADGYNQPD SKRRQTNNQQNWGSQPIAQQPLQQGGDYSGNYGYNNDNQEFYQDTYGQQWK >ENSMUSP00000138859.1 pep:known chromosome:GRCm38:4:136311028:136329473:1 gene:ENSMUSG00000066037.14 transcript:ENSMUST00000145282.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpr description:heterogeneous nuclear ribonucleoprotein R [Source:MGI Symbol;Acc:MGI:1891692] MANQVNGNAVQLKEEEEPMDTSSVTHTEHYKTLIEAGLPQKVAERLDEIFQTGLVAYVDL DERAIDALREFNEEGALSVLQQFKESDLSHVQVRTKVHFYVEL >ENSMUSP00000138190.1 pep:known chromosome:GRCm38:4:136311535:136327257:1 gene:ENSMUSG00000066037.14 transcript:ENSMUST00000125696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpr description:heterogeneous nuclear ribonucleoprotein R [Source:MGI Symbol;Acc:MGI:1891692] MKTYRQREKQGSKVQESTKGPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGVQP GIGTEVFVGKIP >ENSMUSP00000119666.1 pep:known chromosome:GRCm38:4:136311591:136329501:1 gene:ENSMUSG00000066037.14 transcript:ENSMUST00000134524.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpr description:heterogeneous nuclear ribonucleoprotein R [Source:MGI Symbol;Acc:MGI:1891692] MANQVNGNAVQLKEEEEPMDTSSVTHTEHYKTLIEAGLPQKVAERLDEIFQTGLVAYVDL DERAIDALREFNEEGALSVLQQFKESDLSHVQNKSAFLCGVMKTYRQREKQGSKVQESTK GPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGVQPGIGTEVFVGKIPRDLYEDE LVPLFEKAGPIWDLRLMMDPLSGQNRGYAFITFCGKEAAQEAVKLCDSYEIRPGKHLGVC ISVANNRLFVGSIPKNKTKENILEEFSKVT >ENSMUSP00000117096.1 pep:known chromosome:GRCm38:4:136311685:136316423:1 gene:ENSMUSG00000066037.14 transcript:ENSMUST00000156259.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpr description:heterogeneous nuclear ribonucleoprotein R [Source:MGI Symbol;Acc:MGI:1891692] MANQVNGNAVQLKEEEEPMDTSSVTHTEHYKTLIEAGLPQKVAERLDEIFQTGLVAYVDL DERAIDALREFNE >ENSMUSP00000030299.7 pep:known chromosome:GRCm38:4:96628773:96664154:-1 gene:ENSMUSG00000052520.7 transcript:ENSMUST00000030299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2j5 description:cytochrome P450, family 2, subfamily j, polypeptide 5 [Source:MGI Symbol;Acc:MGI:1270149] MIMFLSSLVTTFWEALHLKTLVLAVVTFLFLINILRSRHPKNYPPGPWRLPFVGNFFQID TKQTHLVLQQFVKKYGNVFSLELGQSPVVVVSGLPLIKEMFTHLDQNFVNRFMTPVRERI TGKNGLVVSNGQTWKEQRRLALMALRNFGLGKKSLEERIQEETHHLVEAIREEGGQPFNP HLKLINAVSNIICSVTFGERFDYEDCQFQELLQLLDETMHLMGSSAGQLYNGFPCIMKYL PGPHQKIFRNWGKLKLFVSHIVKKHEKDWNPDEPRDFIDAFLIEMQKDPDRTTSFNEENL ISTTLDLFLGGTETTSSTLRWALLYMSSYPEIQENVQAEIDRVIGHKRQVSLSDRESMPY TNAVIHEVQRMGNIVPLNSSREVTVDTKFNGFHLPKGTMILTNLTALHRDPKEWATPEVF NPEHFLENGQFKKRESFLPFSMGKRACLGEQLAKSELFIFFSALMQKFTFKPPINEKLSL KFRMGLILSPASYRICAIPRV >ENSMUSP00000069674.6 pep:known chromosome:GRCm38:9:110425926:110476694:-1 gene:ENSMUSG00000054792.15 transcript:ENSMUST00000068025.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl18 description:kelch-like 18 [Source:MGI Symbol;Acc:MGI:2143315] MVEDGAEELEDLVHFSVSELPSRGYGVMEEIRRQGKLCDVTLKIGDHKFSAHRIVLAASI PYFHAMFTNDMMECKQDEIVMQGMDPSALEALINFAYNGNLAIDQQNVQSLLMGASFLQL QSIKDACCTFLRERLHPKNCLGVRQFAETMMCAVLYDAANSFIHQHFVEVSLSEEFLALP LEDVLELVSRDELNVKSEEQVFEAALAWVRYDREQRGPCLPELLSNIRLPLCRPQFLSDR VQQDDLVRCCHKCRDLVDEAKDYHLMPERRPHLPAFRTRPRCCTSIAGLIYAVGGLNSAG DSLNVVEVFDPIANRWEKCHPMTTARSRVGVAVVNGLLYAIGGYDGQLRLSTVEAYNPET DTWTRVGSMNSKRSAMGTVVLDGQIYVCGGYDGNSSLNSVETYSPETDKWTVVTPMSSNR SAAGVTVFEGRIYVSGGHDGLQIFSSVEHYNHHTATWHPAASMLNKRCRHGAASLGSKMF VCGGYDGSGFLSIAEMYSSVADQWCLIVPMHTRRSRVSLVASCGRLYAVGGYDGQSNLSS VEMYDPETDRWTFMAPMACHEGGVGVGCIPLLTI >ENSMUSP00000143384.1 pep:known chromosome:GRCm38:9:110425928:110462480:-1 gene:ENSMUSG00000054792.15 transcript:ENSMUST00000198400.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl18 description:kelch-like 18 [Source:MGI Symbol;Acc:MGI:2143315] MFTNDMMECKQDEIVMQGMDPSALEALINFAYNGNLAIDQQNVQSLLMGASFLQLQSIKD ACCTFLRERLHPKNCLGVRQFAETMMCAVLYDAANSFIHQHFVEVSLSEEFLALPLEDVL ELVSRDELNVKSEEQVFEAALAWVRYDREQRGPCLPELLSNIRLPLCRPQFLSDRVQQDD LVRCCHKCRDLVDEAKDYHLMPERRPHLPAFRTRPRCCTSIAGLIYAVGGLNSAGDSLNV VEVFDPIANRWEKCHPMTTARSRVGVAVVNGLLYAIGGYDGQLRLSTVEAYNPETDTWTR VGSMNSKRSAMGTVVLDGQIYVCGGYDGNSSLNSVETYSPETDKWTVVTPMSSNRSAAGV TVFEGRIYVSGGHDGLQIFSSVEHYNHHTATWHPAASMLNKRCRHGAASLGSKMFVCGGY DGSGFLSIAEMYSSVADQWCLIVPMHTRRSRVSLVASCGRLYAVGGYDGQSNLSSVEMYD PETDRWTFMAPMACHEGGVGVGCIPLLTI >ENSMUSP00000143717.1 pep:known chromosome:GRCm38:9:110425928:110476590:-1 gene:ENSMUSG00000054792.15 transcript:ENSMUST00000197336.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl18 description:kelch-like 18 [Source:MGI Symbol;Acc:MGI:2143315] XEDGAEELEDLVHFSVSELPSRGYGVMEEIRRQGKLCDVTLKIGDHKFSAHRIVLAASIP YFHAMFTNDMMECKQDEIVMQGMDPSALEALINFAYNGNLAIDQQNVQSLLMGASFLQLQ SIKDACCTFLRERFLKLHWPGSGMTGSRGDHACPSCCPISACLFAGPSSYQIECSKMTWY GAVTNAGTWSMKQRTII >ENSMUSP00000143634.1 pep:known chromosome:GRCm38:9:110425928:110476689:-1 gene:ENSMUSG00000054792.15 transcript:ENSMUST00000198164.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl18 description:kelch-like 18 [Source:MGI Symbol;Acc:MGI:2143315] MVEDGAEELEDLVHFSVSELPSRGYGVMEEIRRQGKLCDVTLKIGDHKFSAHRIVLAASI PYFHAMFTNDMMECKQDEIVMQGMDPSALEALINFAYNGNLAIDQQNVQSLLMGASFLQL QSIKDACCTFLRERLHPKNCLGVRQFAETMMCAVLYDAANSFIHQHFVEVSLSEEFLALP LEDVLELVSRDELNVKSEEQVFEAALAWVRYDREQRGPCLPELLSNIRLPLCRPQFLSDR VQQDDLVRCCHKCRDLVDEAKDYHLMPERRPHLPAFRTRPRCCTSIAGLIYAVGGLNSAA NFYAGDSLNVVEVFDPIANRWEKCHPMTTARSRVGVAVVNGLLYAIGGYDGQLRLSTVEA YNPETDTWTRVGSMNSKRSAMGTVVLDGQIYVCGGYDGNSSLNSVETYSPETDKWTVVTP MSSNRSAAGVTVFEGRIYVSGGHDGLQIFSSVEHYNHHTATWHPAASMLNKRCRHGAASL GSKMFVCGGYDGSGFLSIAEMYSSVADQWCLIVPMHTRRSRVSLVASCGRLYAVGGYDGQ SNLSSVEMYDPETDRWTFMAPMACHEGGVGVGCIPLLTI >ENSMUSP00000142820.1 pep:known chromosome:GRCm38:9:110436143:110455401:-1 gene:ENSMUSG00000054792.15 transcript:ENSMUST00000200256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl18 description:kelch-like 18 [Source:MGI Symbol;Acc:MGI:2143315] XECKQDEIVMQGMDPRLHPKNCLGVRQFAETMMCAVLYDAANSFIHQHFVEVSLSEEFLA LPLEDVLELVSRDELNVKSEEQVFEAALAWVRYDREQRGPCLPELLSNIRLPLCRPQFLS DRVQQDDLVRCCHKCRDLVDEAKDYHLMPERRPHLPAFRTRPRCCTSIAGLIYAVGGLNS AANFYAGDSLNVVEVFDPIANRWEKCHPMTTARSRVG >ENSMUSP00000101365.1 pep:known chromosome:GRCm38:4:145585166:145625345:1 gene:ENSMUSG00000078502.10 transcript:ENSMUST00000105739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13212 description:predicted gene 13212 [Source:MGI Symbol;Acc:MGI:3651014] MSVSLVNTPQGLLTFKDVALYFSLEEWECLSFAQRTLYKDVMLENYNNLLFVENHCICGK YRKVLDQDSQYIVHEHMNIQEKSSKWDKLSKVILESPQCTPYKTYHSSLQYSNQKRLKPR NTKEVCKYNDSVNSVSLFSTISLNQGIHMQKKKHNRNAEFEKVFVSKHKVMVKRNNTGVN PYKCSEFDKYLTQREKLQSQQRIYHGKKPYKSSKSDKCFTHQIHLSIHQGIHAEEKIYKC SECDKCFTHKSHLNIHQRIHTGENPYKCSECDKCFKHKFSFSMHQRIHTGEKPYKCSECD KCFNEKGSLRIHQRIHTGENPYKCSECDKCFTHKSHLNSHQRIHTGEKPYKCSECDKCFT KNGSLRIHQRIHTGENPYKCSECDKCFTQKSDLNIHQRIHTGEKPYKCSECDKCFTHKSH LNSHQRIHTGEKPYKCSECDKCFTRKFHLSIHQRIHTGENPYKCSECDKCFTQKSDLNIH QRIHTGEKPYKCSECDKCFTHKSHLNSHQRIHTGEKPYKCSECDKCFTRKFHLSIHQRIH TGEKPYKCSECDKCFTRKFHLSIHQRIHTGENPYKCSECDKCFTRKFHLSIHQRIHTGEK PYKCSECDKCFTRKFHLSIHQRIHTGENPYKCSECDKCFTQKSDLNIHQRIHTGEKPYKC SECDKCFTHKSHLNSHQRIHTGEKPYKCSECDKCFTRKFHLSIHQRIHTGENPYKCSECD KCFTQKSNLNIHQRIHTGEKPYKCSECDKCFNEKGSLRIHQRIHTGENPYKCSECDKCFT RKFHLSIHQKIHTGEKPYK >ENSMUSP00000112918.1 pep:known chromosome:GRCm38:4:145585203:145625341:1 gene:ENSMUSG00000078502.10 transcript:ENSMUST00000119718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13212 description:predicted gene 13212 [Source:MGI Symbol;Acc:MGI:3651014] MSVSLVNTPQGLLTFKDVALYFSLEEWECLSFAQRTLYKDVMLENYNNLLFVENHCICGK YRKVLDQDSQYIVHEHMNIQEKSSKWDKLSKVILESPQCTPYKTYHSSLQYSNQKRLKPR NTKEVCKYNDSVNSVSLFSTISLNQGIHMQKKKHNRNAEFEKVFVSKHKVMVKRNNTGVN PYKCSEFDKYLTQREKLQSQQRIYHGKKPYKSSKSDKCFTHQIHLSIHQGIHAEEKIYKC SECDKCFTHKSHLNIHQRIHTGENPYKCSECDKCFKHKFSFSMHQRIHTGEKPYKCMNVT NALPKNPILIFIREFIQERNLTNVVNVTNALPTNPILIVIREFIQERNLTNVVNVTNALP ENSILVFIREFIQERTLTNAVNVTNALPKNPILIFIREFIQERNLINAVNVTNALMKKAA >ENSMUSP00000095402.3 pep:known chromosome:GRCm38:4:145617146:145623215:1 gene:ENSMUSG00000078502.10 transcript:ENSMUST00000097794.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13212 description:predicted gene 13212 [Source:MGI Symbol;Acc:MGI:3651014] MSVSLVNTPQGLLTFKDVALYFSLEEWECLSFAQRTLYKDVMLENYNNLLFVENHCICGK YRKVLDQDSQYIVHEHMNIQEKSSKWDKLSKVILESPQCTPYKTYHSSLQYSNQKRLKPR NTKEVCKYNDSVNSVSLFSTISLNQGIHMQKKKHNRNAEFEKVFVSKHKVMVKRNNTGVN PYKCSEFDKYLTQREKLQSQQRIYHGKKPYKSSKSDKCFTHQIHLSIHQGIHAEEKIYKC SECDKCFTHKSHLNIHQRIHTGENPYKCSECDKCFKHKFSFSMHQRIHTGEKPYKCSECD KCFNEKGSLRIHQRIHTGENPYKCSECDKCFTHKSHLNSHQRIHTGEKPYKCSECDKCFT KNGSLRIHQRIHTGENPYKCSECDKCFTQKSDLNIHQRIHTGEKPYK >ENSMUSP00000037500.6 pep:known chromosome:GRCm38:11:69820876:69822180:-1 gene:ENSMUSG00000041165.6 transcript:ENSMUST00000045771.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spem1 description:sperm maturation 1 [Source:MGI Symbol;Acc:MGI:1921538] MAMAERPRPGWASYQNPNINNCQDMGNSILLLLGLIVCINIGINLVTLLWSRIRILLHRM FHIICEKETSTSLGKLVQPLRKQTYPKVHLRCTMDPVKMTVTPPPTRRRYRHRAPPSRRA RCPIAWAPDTDDEKPPHQHPAICSRHWNDSKDWEGFQSMQEVWEPWTQDGLEQPPQTIRF QPAVEARPLKSEIRSDQGLEAYVYTVNPPPPSPEALSHKNNAAGSGGCVEGEQAQGQPVS PSFLGPANVPEIPRRHSSGRIVYDARDVRRRLRELTQEVEALSHCYPLVSGSSAAEGTGK DWVYRPLKGR >ENSMUSP00000031674.7 pep:known chromosome:GRCm38:6:3962595:3968376:-1 gene:ENSMUSG00000029664.10 transcript:ENSMUST00000031674.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi2 description:tissue factor pathway inhibitor 2 [Source:MGI Symbol;Acc:MGI:108543] MDPAMPLQLWNLPLLLVGSVLGLTSVSAQGNNLEICLLPLDAGPCQALIPKFYYDRDQQK CRRFNYGGCLGNANNFHSRDLCQQTCGSIEKVPPVCRSELKTYPCDKPNIRFFFNLNTMT CEPLRPGLCSRTINVFSEEATCKGLCEPRKHIPSFCSSPKDEGLCSANVTRFYFNSRNKT CETFTYTGCGGNENNFYYLDACHRACVKGWKKPKRWKIGDFLPRFWKHLS >ENSMUSP00000145193.1 pep:known chromosome:GRCm38:6:3963385:3988858:-1 gene:ENSMUSG00000029664.10 transcript:ENSMUST00000203257.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi2 description:tissue factor pathway inhibitor 2 [Source:MGI Symbol;Acc:MGI:108543] MTCEPLRPGLCSRTINVFSEEATCKGLCEPRKHIPSFCSSPKDEGLCSANVTRFYFNSRN KTCETFTYTGCGGNENNFYYLDACHRACVKGWKKPKRWKIGDFLPRFWKHL >ENSMUSP00000139066.2 pep:known chromosome:GRCm38:6:3965528:3988919:-1 gene:ENSMUSG00000029664.10 transcript:ENSMUST00000183682.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpi2 description:tissue factor pathway inhibitor 2 [Source:MGI Symbol;Acc:MGI:108543] MTETSKSAADSTMEAAWAMPTISTVGTSANRLAEVSRKFLQ >ENSMUSP00000063140.5 pep:known chromosome:GRCm38:14:34351881:34355433:-1 gene:ENSMUSG00000043681.5 transcript:ENSMUST00000052126.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam25c description:family with sequence similarity 25, member C [Source:MGI Symbol;Acc:MGI:1916384] MLGGLGKLAAEGLAHRTEKATEGAVHAVEEVVSEVVGHAKEVGEKAINDALKKAQESGDR VVKEVTEKVTHTITDAVTHAAEGLGRLGQ >ENSMUSP00000099766.3 pep:known chromosome:GRCm38:4:116096916:116123685:-1 gene:ENSMUSG00000028702.15 transcript:ENSMUST00000102705.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad54l description:RAD54 like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:894697] MRRSLAPSQLARRKPEDRSSDDEDWQPGTVTPKKRKSSSETQVQECFLSPFRKPLTQLLN RPPCLDSSQHEAFIRSILSKPFKVPIPNYQGPLGSRALGLKRAGVRRALHDPLEEGALVL YEPPPLSAHDQLKLDKEKLPVHVVVDPILSKVLRPHQREGVKFLWECVTSRRIPGSHGCI MADEMGLGKTLQCITLMWTLLRQSPECKPEIEKAVVVSPSSLVKNWYNEVEKWLGGRIQP LAIDGGSKDEIDRKLEGFMNQRGARVPSPILIISYETFRLHVGVLKKGNVGLVICDEGHR LKNSENQTYQALDSLNTSRRVLISGTPIQNDLLEYFSLVHFVNSGILGTAHEFKKHFELP ILKSRDAAASEADRQRGEERLRELIGIVNRCLIRRTSDILSKYLPVKIEQVVCCRLTPLQ TELYKRFLRQAKPEEELREGKMSVSSLSSITSLKKLCNHPALIYDKCVAEEDGFEGTLGI FPPGYNSKAVEPQLSGKMLVLDYILAVTRSRSSDKVVLVSNYTQTLDLFEKLCRVRRYLY VRLDGTMSIKKRAKVVERFNSPSSPDFVFMLSSKAGGCGLNLIGANRLVMFDPDWNPAND EQAMARVWRDGQKKICYIYRLLSAGTIEEKIFQRQSHKKALSSCVVDEEQDVERHFSLGE LKELFTLDEASLSDTHDRLHCRRCVNNRQVWPPPDGSDCTSDLAQWNHSTDKRGLQDEVL QAAWDASSTAITFVFHQRSHEEQRGLH >ENSMUSP00000099765.3 pep:known chromosome:GRCm38:4:116096975:116123659:-1 gene:ENSMUSG00000028702.15 transcript:ENSMUST00000102704.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad54l description:RAD54 like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:894697] MRRSLAPSQLARRKPEDRSSDDEDWQPGTVTPKKRKSSSETQVQECFLSPFRKPLTQLLN RPPCLDSSQHEAFIRSILSKPFKVPIPNYQGPLGSRALGLKRAGVRRALHDPLEEGALVL YEPPPLSAHDQLKLDKEKLPVHVVVDPILSKVLRPHQREGVKFLWECVTSRRIPGSHGCI MADEMGLGKTLQCITLMWTLLRQSPECKPEIEKAVVVSPSSLVKNWYNEVEKWLGGRIQP LAIDGGSKDEIDRKLEGFMNQRGARVPSPILIISYETFRLHVGVLKKGNVGLVICDEGHR LKNSENQTYQALDSLNTSRRVLISGTPIQNDLLEYFSLVHFVNSGILGTAHEFKKHFELP ILKSRDAAASEADRQRGEERLRELIGIVNRCLIRRTSDILSKYLPVKIEQVVCCRLTPLQ TELYKRFLRQAKPEEELREGKMSVSSLSSITSLKKLCNHPALIYDKCVAEEDGFEGTLGI FPPGYNSKAVEPQLSGKMLVLDYILAVTRSRSSDKVVLVSNYTQTLDLFEKLCRVRRYLY VRLDGTMSIKKRAKVVERFNSPSSPDFVFMLSSKAGGCGLNLIGANRLVMFDPDWNPAND EQAMARVWRDGQKKICYIYRLLSAGTIEEKIFQRQSHKKALSSCVVDEEQDVERHFSLGE LKELFTLDEASLSDTHDRLHCRRCVNNRQVWPPPDGSDCTSDLAQWNHSTDKRGLQDEVL QAAWDASSTAITFVFHQRSHEEQRGLH >ENSMUSP00000108732.1 pep:known chromosome:GRCm38:X:136448107:136544056:-1 gene:ENSMUSG00000057439.12 transcript:ENSMUST00000113108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kir3dl2 description:killer cell immunoglobulin-like receptor, three domains, long cytoplasmic tail, 2 [Source:MGI Symbol;Acc:MGI:3612791] MLLWFLSLMCSGFFLVQRMSAHVGSHDKPFLYAWPSYVVPLGQNVTLTCDSHRGSNIFKL YKEEGSPIPQLHETTFQKSQVFGPVTTEHAGTYRCFHPQYANVLSAHSEPLKIIISGIYL KPFLLILQSPLVNSGGNVTLECHSENMFDTYILISHRMGIIKNSVQVSAEHHESGSHVTY SIGPMTPDLVGTYTCYGANSYYPYEWSDPSDPIDIKITGVYKKPSLSVLMGPVLMMSGET MTLSCISDHQFDMFHMSREGVPQGQGMPGVQIHSGKFEAKFLLSSMIQKGNYRCYGSFRN SSHVWSSPSDPLYLPAKGNCPACTEEDPKIHNCKNLRILTGLLMTMVLVIIIIFYSCYFP KQNKSQKQAAASMEQESEVKKHNKQTAF >ENSMUSP00000071994.5 pep:known chromosome:GRCm38:X:136448107:136469041:-1 gene:ENSMUSG00000057439.12 transcript:ENSMUST00000072125.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kir3dl2 description:killer cell immunoglobulin-like receptor, three domains, long cytoplasmic tail, 2 [Source:MGI Symbol;Acc:MGI:3612791] MLLWFLSLMCSGFFLVQRMSAHVGSHDKPFLYAWPSYVVPLGQNVTLTCDSHRGSNIFKL YKEEGSPIPQLHETTFQKSQVFGPVTTEHAGTYRCFHPQYANVLSAHSEPLKIIISGIYL KPFLLILQSPLVNSGGNVTLECHSENMFDTYILISHRMGIIKNSVQVSAEHHESGSHVTY SIGPMTPDLVGTYTCYGANSYYPYEWSDPSDPIDIKITGVYKKPSLSVLMGPVLMMSGET MTLSCISDHQFDMFHMSREGVPQGQGMPGVQIHSGKFEAKFLLSSMIQKGNYRCYGSFRN SSHVWSSPSDPLYLPAKGNCPACTEEDPKIHNCKNLRILTGLLMTMVLVIIIIFYSCYFP KQNKSQKQAAASMEQESEVKKHNKQTAF >ENSMUSP00000032906.4 pep:known chromosome:GRCm38:7:114631478:114636357:-1 gene:ENSMUSG00000030669.13 transcript:ENSMUST00000032906.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calca description:calcitonin/calcitonin-related polypeptide, alpha [Source:MGI Symbol;Acc:MGI:2151253] MGFLKFSPFLVVSILLLYQACSLQAVPLRSILESSPGMATLSEEEVRLLAALVQDYMQMK ARELEQEEEQEAEGSSVTAQKRSCNTATCVTHRLAGLLSRSGGVVKDNFVPTNVGSEAFG RRRRDLQA >ENSMUSP00000145756.1 pep:known chromosome:GRCm38:7:114632552:114636307:-1 gene:ENSMUSG00000030669.13 transcript:ENSMUST00000206156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calca description:calcitonin/calcitonin-related polypeptide, alpha [Source:MGI Symbol;Acc:MGI:2151253] MGFLKFSPFLVVSILLLYQACSLQAVPLRSILESSPGMATLSEEEVRLLAALVQDYMQMK ARELEQEEEQEAEGSSVTAQKRSCNTATCVT >ENSMUSP00000032907.7 pep:known chromosome:GRCm38:7:114633293:114636312:-1 gene:ENSMUSG00000030669.13 transcript:ENSMUST00000032907.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calca description:calcitonin/calcitonin-related polypeptide, alpha [Source:MGI Symbol;Acc:MGI:2151253] MGFLKFSPFLVVSILLLYQACSLQAVPLRSILESSPGMATLSEEEVRLLAALVQDYMQMK ARELEQEEEQEAEGSSLDSPRSKRCGNLSTCMLGTYTQDLNKFHTFPQTSIGVEAPGKKR DVAKDLETNHQSHFGN >ENSMUSP00000146328.1 pep:known chromosome:GRCm38:7:114633410:114636295:-1 gene:ENSMUSG00000030669.13 transcript:ENSMUST00000206853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calca description:calcitonin/calcitonin-related polypeptide, alpha [Source:MGI Symbol;Acc:MGI:2151253] MGFLKFSPFLVVSILLLYQACSLQAVPLRSILESSPGMATLSEEEVRLLAALVQDYMQMK ARELEQEEEQEAEGSSLDSPRSKRCGNLSTCMLGTYTQDLNKFHTFPQTSIGVEAPGKKR DVAKDLETNHQSHFGN >ENSMUSP00000145548.1 pep:known chromosome:GRCm38:7:114634341:114636306:-1 gene:ENSMUSG00000030669.13 transcript:ENSMUST00000205933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calca description:calcitonin/calcitonin-related polypeptide, alpha [Source:MGI Symbol;Acc:MGI:2151253] MGFLKFSPFLVVSILLLYQACSLQAVPLRSILESSPGMATLSEEEVRLLAALVQDYMQMK ARELEQEEEQEAEGSR >ENSMUSP00000146280.1 pep:known chromosome:GRCm38:7:114634372:114636271:-1 gene:ENSMUSG00000030669.13 transcript:ENSMUST00000205714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calca description:calcitonin/calcitonin-related polypeptide, alpha [Source:MGI Symbol;Acc:MGI:2151253] MGFLKFSPFLVVSILLLYQACSLQAVPLRSILESSPGMATLSEEEVRLLAALVQDYMQMK ARELEQEEEQEAEGSR >ENSMUSP00000017891.7 pep:known chromosome:GRCm38:11:100766032:100770957:-1 gene:ENSMUSG00000017747.13 transcript:ENSMUST00000017891.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghdc description:GH3 domain containing [Source:MGI Symbol;Acc:MGI:1931556] MLLLWLLLLLLLLVPLLAILWQQRSRGARPCWLISLQHRVAWGMLGWAAAWQQWRLDRST LNVGQSQQQALMWCLKKAQGSCCLPREDTDMRTFRNHLPLTQTSHTQEQESEETLPSPAS PQYHGDASLQATLLGLITLNKAYPEALAPGSTACVTPTSPWPCSVPWLGHALGRVSPDGA KDPRTLLLEALISPGLRVLEARTAVELLDVFVGLEADGEELAEAIAAGILGTLLPKRAAE LKEALEQGPRGLARRLWPKLQVVVTLDSGGQAEAVAALRVLWCQGLAFFSPAYAASGGVV ALNLWPERPQGSYLLPPGVPFIELLPIKEGTQEEAASTLLLTDAQREKEYELVLTNHTSL TRCRLGDVVQVVGTYNQCPVVRFTCRLGQTLNVRGEVTDETVFSVALAQAVGQWPGAKLL DHVCVESRVLDSCEGSAPHYEVFVELRGLRNLSEENRDKLDNCLQEASAQYKSLRFRGSV GPAKVHLVRPGSFRVLREALAAFSSSSCRPPEMPRVIRLRHLAQLLQKRVIS >ENSMUSP00000114260.1 pep:known chromosome:GRCm38:11:100769692:100770942:-1 gene:ENSMUSG00000017747.13 transcript:ENSMUST00000139341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghdc description:GH3 domain containing [Source:MGI Symbol;Acc:MGI:1931556] MLLLWLLLLLLLLVPLLAILWQQRSRGARPCWLISLQHRVAWGMLGWAAAWQQWRLDRST LNVGQSQQQALMWCLKKAQGSCCLPREDTDMRTFRNHLPLTQTSHTQEQESEETLPSP >ENSMUSP00000033979.4 pep:known chromosome:GRCm38:8:25808474:25814723:1 gene:ENSMUSG00000031574.7 transcript:ENSMUST00000033979.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Star description:steroidogenic acute regulatory protein [Source:MGI Symbol;Acc:MGI:102760] MFLATFKLCAGSSYRHMRNMKGLRHQAVLAIGQELNWRALGDSSPGWMGQVRRRSSLLGS QLEATLYSDQELSYIQQGEVAMQKALGILNNQEGWKKESQQENGDEVLSKMVPDVGKVFR LEVVVDQPMDRLYEELVDRMEAMGEWNPNVKEIKVLQRIGKDTVITHELAAAAAGNLVGP RDFVSVRCTKRRGSTCVLAGMATHFGEMPEQSGVIRAEHGPTCMVLHPLAGSPSKTKLTW LLSIDLKGWLPKTIINQVLSQTQIEFANHLRKRLEASPASEAQC >ENSMUSP00000108669.1 pep:known chromosome:GRCm38:X:139179228:139181009:1 gene:ENSMUSG00000042525.5 transcript:ENSMUST00000113046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933428M09Rik description:RIKEN cDNA 4933428M09 gene [Source:MGI Symbol;Acc:MGI:1918498] MSAVAAQEPGLPDQEGGGGGMGDDPRQIVLHVLPIEEAAADIDGTAAFLVSQAVVRAIAE EEGGQEELLPDVEEIWPPVEEVWPDIEDIWPAIEAVWPDVEEDWYIWNDGRENDEDQRQV GDQQDYNKEGEEENDDDDDDDDEEDDDDYEYEEDDDDYEYEEDDDDYEYEEDEDDNEGED NEDQGEIILRAY >ENSMUSP00000096414.3 pep:known chromosome:GRCm38:8:40862396:40918056:1 gene:ENSMUSG00000031596.15 transcript:ENSMUST00000098816.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a2 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 2 [Source:MGI Symbol;Acc:MGI:99828] MIPCRAVLTFARCLIRRKIVTLDSLEDSKLCRCLTTVDLIALGVGSTLGAGVYVLAGEVA KADSGPSIVVSFLIAALASVMAGLCYAEFGARVPKTGSAYLYTYVTVGELWAFITGWNLI LSYVIGTSSVARAWSGTFDELLNKQIGQFFKTYFKMNYTGLAEYPDFFAVCLVLLLAGLL SFGVKESAWVNKFFTAINILVLLFVMVAGFVKGNVANWKISEEFLKNISASAREPPSENG TSIYGAGGFMPYGFTGTLAGAATCFYAFVGFDCIATTGEEVRNPQKAIPIGIVTSLLVCF MAYFGVSAALTLMMPYYLLDEKSPLPVAFEYVRWSPAKYVVSAGSLCALSTSLLGSIFPM PRVIYAMAEDGLLFKCLAQINSKTKTPVIATLSSGAVAAVMAFLFDLKALVDMMSIGTLM AYSLVAACVLILRYQPGLCYDQPKYTPEKETLESCTNATLKSESQVTMLQGQGFSLRTLF SPSALPTRQSASLVSFLVGFLAFLILGLSILTTYGVQAIARLEAWSLALLALFLVLCVAV ILTIWRQPQNQQKVAFMVPFLPFLPAFSILVNIYLMVQLSADTWIRFSIWMALGFLIYFA YGIRHSLEGNPRDEEDDEDAFSDNINAATEEKSAMQANDHHQRNLSLPFILHEKTSEC >ENSMUSP00000058866.8 pep:known chromosome:GRCm38:8:40862412:40922308:1 gene:ENSMUSG00000031596.15 transcript:ENSMUST00000057784.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a2 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 2 [Source:MGI Symbol;Acc:MGI:99828] MIPCRAVLTFARCLIRRKIVTLDSLEDSKLCRCLTTVDLIALGVGSTLGAGVYVLAGEVA KADSGPSIVVSFLIAALASVMAGLCYAEFGARVPKTGSAYLYTYVTVGELWAFITGWNLI LSYVIGTSSVARAWSGTFDELLNKQIGQFFKTYFKMNYTGLAEYPDFFAVCLVLLLAGLL SFGVKESAWVNKFFTAINILVLLFVMVAGFVKGNVANWKISEEFLKNISASAREPPSENG TSIYGAGGFMPYGFTGTLAGAATCFYAFVGFDCIATTGEEVRNPQKAIPIGIVTSLLVCF MAYFGVSAALTLMMPYYLLDEKSPLPVAFEYVRWSPAKYVVSAGSLCALSTSLLGSMFPL PRILFAMARDGLLFRFLARVSKRQSPVAATMTAGVISAVMAFLFDLKALVDMMSIGTLMA YSLVAACVLILRYQPGLCYDQPKYTPEKETLESCTNATLKSESQVTMLQGQGFSLRTLFS PSALPTRQSASLVSFLVGFLAFLILGLSILTTYGVQAIARLEAWSLALLALFLVLCVAVI LTIWRQPQNQQKVAFMVPFLPFLPAFSILVNIYLMVQLSADTWIRFSIWMALGFLIYFAY GIRHSLEGNPRDEEDDEDAFSDNINAATEEKSAMQANDHHQRNLSLPFILHEKTSEC >ENSMUSP00000114675.1 pep:known chromosome:GRCm38:8:40862578:40898982:1 gene:ENSMUSG00000031596.15 transcript:ENSMUST00000141505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a2 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 2 [Source:MGI Symbol;Acc:MGI:99828] MIPCRAVLTF >ENSMUSP00000113729.1 pep:known chromosome:GRCm38:8:40874948:40922070:1 gene:ENSMUSG00000031596.15 transcript:ENSMUST00000117077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a2 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 2 [Source:MGI Symbol;Acc:MGI:99828] MIPCRAVLTFARCLIRRKIVTLDSLEDSKLCRCLTTVDLIALGVGSTLGAGVYVLAGEVA KADSGPSIVVSFLIAALASVMAGLCYAEFGARVPKTGSAYLYTYVTVGELWAFITGWNLI LSYVIGTSSVARAWSGTFDELLNKQIGQFFKTYFKMNYTGLAEYPDFFAVCLVLLLAGLL SFGVKESAWVNKFFTAINILVLLFVMVAGFVKGNVANWKISEEFLKNISASAREPPSENG TSIYGAGGFMPYGFTGTLAGAATCFYAFVGFDCIATTGEEVRNPQKAIPIGIVTSLLVCF MAYFGVSAALTLMMPYYLLDEKSPLPVAFEYVRWSPAKYVVSAGSLCALSTSLLGSIFPM PRVIYAMAEDGLLFKCLAQINSKTKTPVIATLSSGAVAAVMAFLFDLKALVDMMSIGTLM AYSLVAACVLILRYQPGLCYDQPKYTPEKETLESCTNATLKSESQVTMLQGQGFSLRTLF SPSALPTRQSASLVSFLVGFLAFLILGLSILTTYGVQAIARLEAWSLALLALFLVLCVAV ILTIWRQPQNQQKVAFMVPFLPFLPAFSILVNIYLMVQLSADTWIRFSIWMALGFLIYFA YGIRHSLEGNPRDEEDDEDAFSDNINAATEEKSAMQANDHHQRNLSLPFILHEKTSEC >ENSMUSP00000112848.1 pep:known chromosome:GRCm38:8:40898438:40917726:1 gene:ENSMUSG00000031596.15 transcript:ENSMUST00000118432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a2 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 2 [Source:MGI Symbol;Acc:MGI:99828] MASTPSPTLSYRLLSSTMIPCRAVLTFARCLIRRKIVTLDSLEDSKLCRCLTTVDLIALG VGSTLGAGVYVLAGEVAKADSGPSIVVSFLIAALASVMAGLCYAEFGARVPKTGSAYLYT YVTVGELWAFITGWNLILSYVIGTSSVARAWSGTFDELLNKQIGQFFKTYFKMNYTGLAE YPDFFAVCLVLLLAGLLSFGVKESAWVNKFFTAINILVLLFVMVAGFVKGNVANWKISEE FLKNISASAREPPSENGTSIYGAGGFMPYGFTGTLAGAATCFYAFVGFDCIATTGEEVRN PQKAIPIGIVTSLLVCFMAYFGVSAALTLMMPYYLLDEKSPLPVAFEYVRWSPAKYVVSA GSLCALSTSLLGSMFPLPRILFAMARDGLLFRFLARVSKRQSPVAATMTAGVISAVMAFL FDLKALVDMMSIGTLMAYSLVAACVLILRYQPGLCYDQPKYTPEKETLESCTNATLKSES QVTMLQGQGFSLRTLFSPSALPTRQSASLVSFLVGFLAFLILGLSILTTYGVQAIARLEA WSLALLALFLVLCVAVILTIWRQPQNQQKVAFMVPFLPFLPAFSILVNIYLMVQLSADTW IRFSIWMALGFLIYFAYGIRHSLEGNPRDEEDDEDAFSDNINAATEEKSAMQANDHHQRN LSLPFILHEKTSEC >ENSMUSP00000028251.3 pep:known chromosome:GRCm38:2:36116079:36136773:-1 gene:ENSMUSG00000026889.12 transcript:ENSMUST00000028251.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm18 description:RNA binding motif protein 18 [Source:MGI Symbol;Acc:MGI:1915139] METETKTLPLENASILSEGSLQEGHRLWIGNLDPKITEYHLLKLLQKFGKVKQFDFLFHK SGALEGQPRGYCFVNFETKQEAEQAIQCLNGKLALSKKLVVRWAHAQVKRYDHNKNDKIL PISLEPSSSTEPAQSNLSVTAKIKAIEAKLKMMAENPDAEYPAAPVYSYFKPPDKKRTTP YSRTAWKSRR >ENSMUSP00000144089.1 pep:known chromosome:GRCm38:2:36117593:36136576:-1 gene:ENSMUSG00000026889.12 transcript:ENSMUST00000200733.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm18 description:RNA binding motif protein 18 [Source:MGI Symbol;Acc:MGI:1915139] METETKTLPLENASILSEGSLQEGHRLWIGNLDPKITDVPIISRNYQTRKEILEKLKDTD LSRCSWNLKIPPPQAPPEVWQGEAV >ENSMUSP00000113204.1 pep:known chromosome:GRCm38:2:36117626:36136611:-1 gene:ENSMUSG00000026889.12 transcript:ENSMUST00000122456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm18 description:RNA binding motif protein 18 [Source:MGI Symbol;Acc:MGI:1915139] METETKTLPLENASILSEGSLQEGHRLWIGNLDPKITEKQNKPSSVSMASWRCLRSWLYD GPTLKSRDMIITRMIRSFPSALSHPQALSLLSLTSVSLQR >ENSMUSP00000117831.1 pep:known chromosome:GRCm38:2:36117768:36136615:-1 gene:ENSMUSG00000026889.12 transcript:ENSMUST00000134668.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm18 description:RNA binding motif protein 18 [Source:MGI Symbol;Acc:MGI:1915139] METETKTLPLENASILSEGSLQEGHRLWIGNLDPKITEYHLLKLLQKFGKVKQFDFLFHK SGSRTSHPVSQWQAGAV >ENSMUSP00000072382.6 pep:known chromosome:GRCm38:8:22060721:22071627:1 gene:ENSMUSG00000063362.13 transcript:ENSMUST00000072572.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg11 description:asparagine-linked glycosylation 11 (alpha-1,2-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:2142632] MAADTGSWCVYAVLRFFYSLFFPGLMICGVLCVYLVIGLWVIRWHLQRKKKSVSTSKNGK EQTVVAFFHPYCNAGGGGERVLWCALRALQKKYPEAVYVVYTGDINVSGQQILDGAFRRF NIKLAHPVQFVFLRKRYLVEDSRYPHFTLLGQSLGSILLGWEALMQRVPDVYIDSMGYAF TLPLFKYVGGCRVGSYVHYPTISTDMLSVVKNQNPGFNNAAFISRNALLSKAKLIYYYLF AFVYGLVGSCSDIVMVNSSWTLNHILSLWKVGHCTNIVYPPCDVQTFLDIPLHEKKVTPG HLLVSIGQFRPEKNHALQIKAFAKLLNEKAAELGHSLKLVLIGGCRNKDDEFRVNQLRSL SENLGVQENVEFKINISFDELKNYLSEATIGLHTMWNEHFGIGVVECMAAGTVILAHNSG GPKLDIVIPHEGQITGFLAESEEGYADSMAHILSLSAEERLQIRKNARASISRFSDQEFE VAFLCSMEKLLT >ENSMUSP00000106365.2 pep:known chromosome:GRCm38:8:22060722:22071627:1 gene:ENSMUSG00000063362.13 transcript:ENSMUST00000110737.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg11 description:asparagine-linked glycosylation 11 (alpha-1,2-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:2142632] MAADTGSWCVYAVLRFFYSLFFPGLMICGVLCVYLVIGLWVIRWHLQRKKKSVSTSKNGK EQTVVAFFHPYCNAGGGGERVLWCALRALQKKKRYLVEDSRYPHFTLLGQSLGSILLGWE ALMQRVPDVYIDSMGYAFTLPLFKYVGGCRVGSYVHYPTISTDMLSVVKNQNPGFNNAAF ISRNALLSKAKLIYYYLFAFVYGLVGSCSDIVMVNSSWTLNHILSLWKVGHCTNIVYPPC DVQTFLDIPLHEKKVTPGHLLVSIGQFRPEKNHALQIKAFAKLLNEKAAELGHSLKLVLI GGCRNKDDEFRVNQLRSLSENLGVQENVEFKINISFDELKNYLSEATIGLHTMWNEHFGI GVVECMAAGTVILAHNSGGPKLDIVIPHEGQITGFLAESEEGYADSMAHILSLSAEERLQ IRKNARASISRFSDQEFEVAFLCSMEKLLT >ENSMUSP00000119161.1 pep:known chromosome:GRCm38:8:22060740:22065447:1 gene:ENSMUSG00000063362.13 transcript:ENSMUST00000131624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg11 description:asparagine-linked glycosylation 11 (alpha-1,2-mannosyltransferase) [Source:MGI Symbol;Acc:MGI:2142632] XGSWCVYAVLRYPEAVYVVYTGDINVSGQQILDGAFRRFNIKLAHPVQFVFLRKRYLVED SRYPHFTLLGQSLGSILLGWEALMQRVPDVYIDSMGYAFTLPLFKYVGGCRVGSYVHYPT ISTDMLSVVKNQNPGFNNAAFISRNALLSKAKLIYYYLFA >ENSMUSP00000133385.1 pep:known chromosome:GRCm38:1:134405781:134411736:1 gene:ENSMUSG00000026456.18 transcript:ENSMUST00000154237.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyb5r1 description:cytochrome b5 reductase 1 [Source:MGI Symbol;Acc:MGI:1919267] MGIQPSPVLLASLGVGLLTLLGLALGTYLVRRSRRPQVTLQDPDEKYLLRLLDKTTVSHN TRRFRFALPTAHHILGLPVGKHVYLSARIDGSLVIRPYTPVTSDEDQGYVDLVIKVYLKG VHPKFPEGGKMSQYLDSLKIGDMVEFRGPSGLLSYAGKESACVHGCTWAKSPEATMLYGK ADFTLWRLPEETYASPSFTKDFPLAKDQKRKLFSSSHGDDPSTVPCLW >ENSMUSP00000027726.7 pep:known chromosome:GRCm38:1:134405781:134411740:1 gene:ENSMUSG00000026456.18 transcript:ENSMUST00000027726.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5r1 description:cytochrome b5 reductase 1 [Source:MGI Symbol;Acc:MGI:1919267] MGIQPSPVLLASLGVGLLTLLGLALGTYLVRRSRRPQVTLQDPDEKYLLRLLDKTTVSHN TRRFRFALPTAHHILGLPVGKHVYLSARIDGSLVIRPYTPVTSDEDQGYVDLVIKVYLKG VHPKFPEGGKMSQYLDSLKIGDMVEFRGPSGLLSYAGKGNFNIQPNKKSPPELRVAKKLG MIAGGTGITPMLQLIRAILKVPEDPTQCFLLFANQTERDIILREDLEELQAQYPNRFKLW FTLDSPPEDWTYSKGFVTADMIQEHLPAPAEDVLLLLCGPPPMVQLACHPNLDKLGYSQK MRFTY >ENSMUSP00000134488.1 pep:known chromosome:GRCm38:1:134406004:134409665:1 gene:ENSMUSG00000026456.18 transcript:ENSMUST00000173908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5r1 description:cytochrome b5 reductase 1 [Source:MGI Symbol;Acc:MGI:1919267] MGIQPVTLQDPDEKYLLRLLDKTTVSHNTRRFRFALPTAHHILGLPVGKHVYLSARIDGS LVIRPYTPVTSDEDQGYVDLVIKVYLKGVHPKFPEGGKMSQYLDSLKIGDMVEFRGPSGL LSYAGKGNFNIQPNKKSPPELRVAKKLGMIAGGTGITPMLQLIRAILKVPEDPTQC >ENSMUSP00000134354.1 pep:known chromosome:GRCm38:1:134406313:134407667:1 gene:ENSMUSG00000026456.18 transcript:ENSMUST00000127412.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyb5r1 description:cytochrome b5 reductase 1 [Source:MGI Symbol;Acc:MGI:1919267] SPVLLASLGVGLLTLLGLALGTYLVRRSRRPQVTLQDPDEKYLLRLLDKTTVSHNTRRFR FALPTAHHILGLPVGLIITRLLSQKTLIFHYANPLLTCCC >ENSMUSP00000133842.1 pep:known chromosome:GRCm38:1:134406313:134410969:1 gene:ENSMUSG00000026456.18 transcript:ENSMUST00000172795.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyb5r1 description:cytochrome b5 reductase 1 [Source:MGI Symbol;Acc:MGI:1919267] SPVLLASLGVGLLTLLGLALGTYLVRRSRRPQVTLQDPDEKYLLRLLDKTVSTSTSLPEL MAVWSSGLTPLSPVMKTKAM >ENSMUSP00000031613.4 pep:known chromosome:GRCm38:5:143902704:143909839:-1 gene:ENSMUSG00000029610.13 transcript:ENSMUST00000031613.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aimp2 description:aminoacyl tRNA synthetase complex-interacting multifunctional protein 2 [Source:MGI Symbol;Acc:MGI:2385237] MPMYQVKPYHGGSAPLHVELPTCMYRLPNVHSKTTSPATDAGHVQETSEPSLQALESRQD DILKRLYELKAAVDGLSKMIHTPDADLDVTNILQADEPTTLATNTLDLNSVLGKDYGALK DIVINANPASPPLSLLVLHRLLCERYRVLSTVHTHSSVKNVPENLVKCFGEQARKQSRHE YQLGFTLIWKNVPKTQMKFSVQTMCPIEGEGNIARFLFSLFGQKHNAVTLTLIDSWVDIA MFQLREGSSKEKAAVFRSMNSALGRSPWLVGNELTVADVVLWSVLQQTGGSSGAAPTNVQ RWLKSCENLAPFSTALQLLK >ENSMUSP00000098052.2 pep:known chromosome:GRCm38:5:143902704:143909847:-1 gene:ENSMUSG00000029610.13 transcript:ENSMUST00000100483.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aimp2 description:aminoacyl tRNA synthetase complex-interacting multifunctional protein 2 [Source:MGI Symbol;Acc:MGI:2385237] MPMYQETSEPSLQALESRQDDILKRLYELKAAVDGLSKMIHTPDADLDVTNILQADEPTT LATNTLDLNSVLGKDYGALKDIVINANPASPPLSLLVLHRLLCERYRVLSTVHTHSSVKN VPENLVKCFGEQARKQSRHEYQLGFTLIWKNVPKTQMKFSVQTMCPIEGEGNIARFLFSL FGQKHNAVTLTLIDSWVDIAMFQLREGSSKEKAAVFRSMNSALGRSPWLVGNELTVADVV LWSVLQQTGGSSGAAPTNVQRWLKSCENLAPFSTALQLLK >ENSMUSP00000032341.2 pep:known chromosome:GRCm38:6:136848451:136857733:-1 gene:ENSMUSG00000030217.2 transcript:ENSMUST00000032341.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art4 description:ADP-ribosyltransferase 4 [Source:MGI Symbol;Acc:MGI:1202710] MALWLPGGQLTLLLLLWVQQTPAGSTEAPLKVDVDLTPDSFDDQYQGCSEQMVEELNQGD YFIKEVDTHKYYSRAWQKAHLTWLNQAKALPESMTPVHAVAIVVFTLNLNVSSDLAKAMA RAAGSPGQYSQSFHFKYLHYYLTSAIQLLRKDSSTKNGSLCYKVYHGMKDVSIGANVGST IRFGQFLSASLLKEETRVSGNQTLFTIFTCLGASVQDFSLRKEVLIPPYELFEVVSKSGS PKGDLINLRSAGNMSTYNCQLLKACSKKCAPAPVVIGCLFLVTVVISSKSRAQRNLLAPF >ENSMUSP00000046595.8 pep:known chromosome:GRCm38:7:120842831:120907450:1 gene:ENSMUSG00000035064.17 transcript:ENSMUST00000047875.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef2k description:eukaryotic elongation factor-2 kinase [Source:MGI Symbol;Acc:MGI:1195261] MADEDLIFCLEGVDGGRCSRAGHNADSDTDSDDDEGYFICPITDDHMSNQNVSSKVQSYY SNLTKTECGSTGSPASSFHFKEAWKHAIEKAKHMPDPWAEFHLEDIATEHATRHRYNAVT GEWLKDEVLIKMASQPFGRGAMRECFRTKKLSNFLHAQQWKGASNYVAKRYIEPVDRSVY FEDVQLQMEAKLWGEDYNRHKPPKQVDIMQMCIIELKDRPGQPLFHLEHYIEGKYIKYNS NSGFVRDDNIRLTPQAFSHFTFERSGHQLIVVDIQGVGDLYTDPQIHTEKGTDFGDGNLG VRGMALFFYSHACNRICQSMGLTPFDLSPREQDAVNQSTRLLQSAKTILRGTEEKCGSPR IRTLSSSRPPLLLRLSENSGDENMSDVTFDSLPSSPSSATPHSQKLDHLHWPVFGDLDNM GPRDHDRMDNHRDSENSGDSGYPSEKRSDLDDPEPREHGHSNGNRRHESDEDSLGSSGRV CVETWNLLNPSRLHLPRPSAVALEVQRLNALDLGRKIGKSVLGKVHLAMVRYHEGGRFCE KDEEWDRESAIFHLEHAADLGELEAIVGLGLMYSQLPHHILADVSLKETEENKTKGFDYL LKAAEAGDRHSMILVARAFDTGLNLSPDRCQDWSEALHWYNTALETTDCDEGGEYDGIQD EPQYALLAREAEMLLTGGFGLDKNPQRSGDLYTQAAEAAMEAMKGRLANQYYEKAEEAWA QMEE >ENSMUSP00000119567.1 pep:known chromosome:GRCm38:7:120843567:120858534:1 gene:ENSMUSG00000035064.17 transcript:ENSMUST00000143279.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef2k description:eukaryotic elongation factor-2 kinase [Source:MGI Symbol;Acc:MGI:1195261] MADEDLIFCLEGVDGGRCSRAGHNADSDTDSDDDEGYF >ENSMUSP00000102098.1 pep:known chromosome:GRCm38:7:120843600:120904001:1 gene:ENSMUSG00000035064.17 transcript:ENSMUST00000106489.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef2k description:eukaryotic elongation factor-2 kinase [Source:MGI Symbol;Acc:MGI:1195261] MADEDLIFCLEGVDGGRCSRAGHNADSDTDSDDDEGYFICPITDDHMSNQNVSSKVQSYY SNLTKTECGSTGSPASSFHFKEAWKHAIEKAKHMPDPWAEFHLEDIATEHATRHRYNAVT GEWLKDEVLIKMASQPFGRGAMRECFRTKKLSNFLHAQQWKGASNYVAKRYIEPVDRSVY FEDVQLQMEAKLWGEDYNRHKPPKQVDIMQMCIIELKDRPGQPLFHLEHYIEGKYIKYNS NSGFVRDDNIRLTPQAFSHFTFERSGHQLIVVDIQGVGDLYTDPQIHTEKGTDFGDGNLG VRGMALFFYSHACNRICQSMGLTPFDLSPREQDAVNQSTRLLQSAKTILRGTEEKCGSPR IRTLSSSRPPLLLRLSENSGDENMSDVTFDSLPSSPSSATPHSQKLDHLHWPVFGDLDNM GPRDHDRMDNHRDSENSGDSGYPSEKRSDLDDPEPREHGHSNGNRRHESDEDSLGSSGRV CVETWNLLNPSRLHLPRPSAVALEVQRLNALDLGRKIGKSVLGKVHLAMVRYHEGGRFCE KDEEWDRESAIFHLEHAADLGELEAIVGLGLMYSQLPHHILADVSLKETEENKTKGFDYL LKAAEAGDRHSMILVARAFDTGLNLSPDRCQDWSEALHWYNTALETTDCDEGGEYDGIQD EPQYALLAREAEMLLTGGFGLDKNPQRSGDLYTQAAEAAMEAMKGRLANQYYEKAEEAWA QMEE >ENSMUSP00000115486.2 pep:known chromosome:GRCm38:7:120851189:120880354:1 gene:ENSMUSG00000035064.17 transcript:ENSMUST00000143322.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef2k description:eukaryotic elongation factor-2 kinase [Source:MGI Symbol;Acc:MGI:1195261] MADEDLIFCLEGVDGGRCSRAGHNADSDTDSDDDEGYFICPITDDHMSNQNVSSKVQSYY SNLTKTECGSTGSPASSFHFKEAWKHAIEKAKHMPDPWAEFHLEDIATEHATRHRYNAVT GEWLKDEVLIKMASQPFGRGAMRECFRTKKLSNFLHAQQWKGAS >ENSMUSP00000102097.1 pep:known chromosome:GRCm38:7:120851189:120903496:1 gene:ENSMUSG00000035064.17 transcript:ENSMUST00000106488.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef2k description:eukaryotic elongation factor-2 kinase [Source:MGI Symbol;Acc:MGI:1195261] MADEDLIFCLEGVDGGRCSRAGHNADSDTDSDDDEGYFICPITDDHMSNQNVSSKVQSYY SNLTKTECGSTGSPASSFHFKEAWKHAIEKAKHMPDPWAEFHLEDIATEHATRHRYNAVT GEWLKDEVLIKMASQPFGRGAMRECFRTKKLSNFLHAQQWKGASNYVAKRYIEPVDRSVY FEDVQLQMEAKLWGEDYNRHKPPKQVDIMQMCIIELKDRPGQPLFHLEHYIEGKYIKYNS NSGFVRDDNIRLTPQAFSHFTFERSGHQLIVVDIQGVGDLYTDPQIHTEKGTDFGDGNLG VRGMALFFYSHACNRICQSMGLTPFDLSPREQDAVNQSTRLLQSAKTILRGTEEKCGSPR IRTLSSSRPPLLLRLSENSGDENMSDVTFDSLPSSPSSATPHSQKLDHLHWPVFGDLDNM GPRDHDRMDNHRDSENSGDSGYPSEKRSDLDDPEPREHGHSNGNRRHESDEDSLGSSGRV CVETWNLLNPSRLHLPRPSAVALEVQRLNALDLGRKIGKSVLGKVHLAMVRYHEGGRFCE KDEEWDRESAIFHLEHAADLGELEAIVGLGLMYSQLPHHILADVSLKETEENKTKGFDYL LKAAEAGDRHSMILVARAFDTGLNLSPDRCQDWSEALHWYNTALETTDCDEGGEYDGIQD EPQYALLAREAEMLLTGGFGLDKNPQRSGDLYTQAAEAAMEAMKGRLANQYYEKAEEAWA QMEE >ENSMUSP00000114782.2 pep:known chromosome:GRCm38:7:120887924:120903505:1 gene:ENSMUSG00000035064.17 transcript:ENSMUST00000146482.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef2k description:eukaryotic elongation factor-2 kinase [Source:MGI Symbol;Acc:MGI:1195261] RDSENSGDSGYPSEKRSDLDDPEPREHGHSNGNRRHESDEDSLGSSGRETEENKTKGFDY LLKAAEAGDRHSMILVARAFDTGLNLSPDRCQDWSEALHWYNTALETTDCDEGGEYDGIQ DEPQYALLAREAEMLLTGGFGLDKNPQRSGDLYTQAAEAAMEAMKGRLANQYYEKAEEAW AQMEE >ENSMUSP00000102096.2 pep:known chromosome:GRCm38:7:120891985:120900372:1 gene:ENSMUSG00000035064.17 transcript:ENSMUST00000106487.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef2k description:eukaryotic elongation factor-2 kinase [Source:MGI Symbol;Acc:MGI:1195261] AIVGLGLMYSQLPHHILADVSLKETEENKTKGFDYLLKAAEAGDRHSMILVARAFDTGLN LSPDRCQDWSEALHWYNTALETTDCDEGGEYDGIQDEPQYALLAREAEMLLTGGFGLDKN PQRSEMESHVGQWTSNSLCS >ENSMUSP00000106953.1 pep:known chromosome:GRCm38:1:171225054:171226379:1 gene:ENSMUSG00000005681.12 transcript:ENSMUST00000111321.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoa2 description:apolipoprotein A-II [Source:MGI Symbol;Acc:MGI:88050] MKLLAMVALLVTICSLEGALVKRQADGPDMQSLFTQYFQSMTDYGKDLMEKAKTSEIQSQ AKAYFEKTHEQLTPLVRSAGTSLVNFFSSLMNLEEKPAPAAK >ENSMUSP00000005824.5 pep:known chromosome:GRCm38:1:171225082:171226378:1 gene:ENSMUSG00000005681.12 transcript:ENSMUST00000005824.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoa2 description:apolipoprotein A-II [Source:MGI Symbol;Acc:MGI:88050] MKLLAMVALLVTICSLEGALVKRQADGPDMQSLFTQYFQSMTDYGKDLMEKAKTSEIQSQ AKAYFEKTHEQLTPLVRSAGTSLVNFFSSLMNLEEKPAPAAK >ENSMUSP00000106952.1 pep:known chromosome:GRCm38:1:171225084:171226373:1 gene:ENSMUSG00000005681.12 transcript:ENSMUST00000111320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoa2 description:apolipoprotein A-II [Source:MGI Symbol;Acc:MGI:88050] MKLLAMVALLVTICSLEGALVKRQADGPDMQSLFTQYFQSMTDYGKDLMEKAKTSEIQSQ AKAYFEKTHEQLTPLVRSAGTSLVNFFSSLMNLEEKPAPAAK >ENSMUSP00000106951.1 pep:known chromosome:GRCm38:1:171225091:171226337:1 gene:ENSMUSG00000005681.12 transcript:ENSMUST00000111319.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoa2 description:apolipoprotein A-II [Source:MGI Symbol;Acc:MGI:88050] MKLLAMVALLVTICSLEGALVKRQADGPDMQSLFTQYFQSMTDYGKDLMEKAKTSEIQSQ AKAYFEKTHEQLTPLVRSAGTSLVNFFSSLMNLEEKPAPAAK >ENSMUSP00000045252.2 pep:known chromosome:GRCm38:5:135735485:135744448:-1 gene:ENSMUSG00000039886.8 transcript:ENSMUST00000043378.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem120a description:transmembrane protein 120A [Source:MGI Symbol;Acc:MGI:2686991] MQSPPPDPLGDCLRNWEDLQQDFQGIQETHRLYRLKLEELTKLQANCTNSITRQKKRLQE LALVLKKCRPSLPSESMEAAQELENQMKERQGLFFDMEAYLPKKNGLYLSLVLGNVNVTL LSKQAKFAYKDEYEKFKLYLTIILIVISFTCRFLLNSRVTDAAFNFLLVWYYCTLTIRES ILINNGSRIKGWWVFHHYVSTFLSGVMLTWPDGLMYQKFRNQFLSFSMYQSFVQFLQYYY QSGCLYRLRALGERHTMDLTVEGFQSWMWRGLTFLLPFLFFGHFWQLFNALTLFNLARDP ECKEWQVLMCGFPFLLLFLGNFFTTLRVVHQKFHSQQHGNKKD >ENSMUSP00000120834.1 pep:known chromosome:GRCm38:5:135737208:135744208:-1 gene:ENSMUSG00000039886.8 transcript:ENSMUST00000153399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem120a description:transmembrane protein 120A [Source:MGI Symbol;Acc:MGI:2686991] MQSPPPDPLGDCLRNWEDLQQDFQGIQKKRLQELALVLKKCRPSLPSESMEAAQELENQM KERQGLFFDMEAYLPKKNGLYLSLVLGNVNVTL >ENSMUSP00000078129.3 pep:known chromosome:GRCm38:14:51800046:51826359:1 gene:ENSMUSG00000050961.4 transcript:ENSMUST00000053821.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AY358078 description:cDNA sequence AY358078 [Source:MGI Symbol;Acc:MGI:2682312] MEQEESQAEGIHFQHPQHIFTGLGTSGLQSCTRRELESSVMSPVFWLQQLLVGYSFSAFW VLNQAFFPGCSGYFTRRMAIKERPDQVSAGKTSSQNCNITNHMKNVNKVEDMKLYIRKIN AEREELCRILDTDMNTDLNYRMNIEFTIIKSQDEKTMSDMEKMTQSISDTIEKYKEFIED NDSYSIRHTHLLKECNQLKEKVRMLLNENRKLLVEQADQEASYGEEKRFCDETSKNIHPT SKQQQVYQRQIALMANHTYRQSNVTVHQLIQALICVSFKCSFRLKVVAKDSTINVESLCM ISQLLHLQVVYEGDLDLVCALFELLPNISDIGEEETKAWRQRKWGIFDESTPVETRQRLN PPGGKTSDIFGSPVTATVPLAHPNKPKDHVLLCEGEDSKSDLKSATDSTPRGEQGDKGSS KEVEHAKIPEPTATVDSHEPRLGPRPRSHNKVLNPPGGKSSLSFY >ENSMUSP00000027405.4 pep:known chromosome:GRCm38:1:75125541:75133890:-1 gene:ENSMUSG00000026205.8 transcript:ENSMUST00000027405.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc23a3 description:solute carrier family 23 (nucleobase transporters), member 3 [Source:MGI Symbol;Acc:MGI:104516] MSRSPLHPIPLLSEGYQDTPAPLPPLLPPLQNPSSRSWASRVCGPSTWGLSCLLALQHFL VLASLLWASHLLLLHGLPPGGLSYPPAQLLASSFFSCGLSTVLQTWMGSRLPLIQAPSLE FLIPALVLTNQKLPLTTKTPGNASLSLPLCSLTRSCHGLELWNTSLREVSGAVVVSGLLQ GTIGLLGVPGRVFPYCGPLVLAPSLVVAGLSAHKEVAQFCSAHWGLALLLILLMVVCSQH LGSCQIPLCSWRPSSTSTHICIPVFRLLSVLAPVACVWFISAFVGTSVIPLQLSEPSDAP WFWLPHPGEWEWPLLTPRALAAGISMALAASTSSLGCYALCGQLLRLSPPPPHACSRGLS LEGLGSVLAGLLGSPLGTASSFPNVGTVSLFQTGSRRVAHLVGLFCMGLGLSPRLAQLFT SIPLPVLGGVLGVTQAVVLSAGFSSFHLADIDSGRNVFIVGFSIFMALLLPRWLREAPVL LNTGWSPLDMFLRSLLAEPIFLAGLLGFLLENTISGTRAERGLGQRLPTSFTAQEIQMLQ QSRRKAAQEYGLPLPIRNLCSCIPQPLHCLCPMPEDSGDEGGSSKTGERADLLPNSGESY STASREGVRSQ >ENSMUSP00000078416.7 pep:known chromosome:GRCm38:1:189207930:189344192:-1 gene:ENSMUSG00000037624.15 transcript:ENSMUST00000079451.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk2 description:potassium channel, subfamily K, member 2 [Source:MGI Symbol;Acc:MGI:109366] MGAVAAPDLLDPKSAAQNSKPRLSFSSKPTVLASRVESDSAINVMKWKTVSTIFLVVVLY LIIGATVFKALEQPQEISQRTTIVIQKQTFIAQHACVNSTELDELIQQIVAAINAGIIPL GNSSNQVSHWDLGSSFFFAGTVITTIGFGNISPRTEGGKIFCIIYALLGIPLFGFLLAGV GDQLGTIFGKGIAKVEDTFIKWNVSQTKIRIISTIIFILFGCVLFVALPAVIFKHIEGWS ALDAIYFVVITLTTIGFGDYVAGGSDIEYLDFYKPVVWFWILVGLAYFAAVLSMIGDWLR VISKKTKEEVGEFRAHAAEWTANVTAEFKETRRRLSVEIYDKFQRATSVKRKLSAELAGN HNQELTPCRRTLSVNHLTSEREVLPPLLKAESIYLNGLTPHCAGEDIAVIENMK >ENSMUSP00000136513.2 pep:known chromosome:GRCm38:1:189207937:189402273:-1 gene:ENSMUSG00000037624.15 transcript:ENSMUST00000180044.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcnk2 description:potassium channel, subfamily K, member 2 [Source:MGI Symbol;Acc:MGI:109366] MMNPRAKRSVYLAAPDLLDPKSAAQNSKPRLSFSSKPTVLASRVESDSAINVMKWKTVST IFLVVVLYLIIGATVFKALEQPQEISQRTTIVIQKQTFIAQHACVNSTELDELIQDLETS PHELKVEKYSASSMPCWEFPSLAFYWLGLVIS >ENSMUSP00000142176.1 pep:known chromosome:GRCm38:1:189209744:189402218:-1 gene:ENSMUSG00000037624.15 transcript:ENSMUST00000194172.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk2 description:potassium channel, subfamily K, member 2 [Source:MGI Symbol;Acc:MGI:109366] MMNPRAKRSVYLAAPDLLDPKSAAQNSKPRLSFSSKPTVLASRVESDSAINVMKWKTVST IFLVVVLYLIIGATVFKALEQPQEISQRTTIVIQKQTFIAQHACVNSTELDELIQQIVAA INAGIIPLGNSSNQVSHWDLGSSFFFAGTVITTIGFGNISPRTEGGKIFCIIYALLGIPL FGFLLAGVGDQLGTIFGKGIAKVEDTFIVDQTLNIWTSTSLWCGSGSSLGWPTLQLF >ENSMUSP00000142026.1 pep:known chromosome:GRCm38:1:189209753:189402213:-1 gene:ENSMUSG00000037624.15 transcript:ENSMUST00000194402.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk2 description:potassium channel, subfamily K, member 2 [Source:MGI Symbol;Acc:MGI:109366] MMNPRAKRSVYLAAPDLLDPKSAAQNSKPRLSFSSKPTVLASRVESDSAINVMKWKTVST IFLVVVLYLIIGATVFKALEQPQEISQRTTIVIQKQTFIAQHACVNSTELDELIQQIVAA INAGIIPLGNSSNQVSHWDLGSSFFFAGTVITTIGFGNISPRTEGGKIFCIIYALLGIPL FGFLLAGVGDQLGTIFGKGIAKVEDTFIKWNVSQTKIRIISTIIFILFGCVLFVALPAVI FKHIEGWSALDAIYFVVITLTTIGFGDYVAGGSDIEYLDFYKPVVWFWILVGLAYFAAVL SMIGDWLRVISKKTKEEVGEFRAHAAEWTANVTAEFKETRRRLSVEIYDKFQRATSVKRK LSAELAGNHNQELTPCRRTLSVNHLTSEREVLPPLLKAESIYLNGLTPHCAGEDIAVIEN MK >ENSMUSP00000141891.1 pep:known chromosome:GRCm38:1:189209790:189343355:-1 gene:ENSMUSG00000037624.15 transcript:ENSMUST00000193319.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk2 description:potassium channel, subfamily K, member 2 [Source:MGI Symbol;Acc:MGI:109366] MLASASRERPGYTAGVAAPDLLDPKSAAQNSKPRLSFSSKPTVLASRVESDSAINVMKWK TVSTIFLVVVLYLIIGATVFKALEQPQEISQRTTIVIQKQTFIAQHACVNSTELDELIQQ IVAAINAGIIPLGNSSNQVSHWDLGSSFFFAGTVITTIGFGNISPRTEGGKIFCIIYALL GIPLFGFLLAGVGDQLGTIFGKGIAKVEDTFIKWNVSQTKIRIISTIIFILFGCVLFVAL PAVIFKHIEGWSALDAIYFVVITLTTIGFGDYVAGGSDIEYLDFYKPVVWFWILVGLAYF AAVLSMIGDWLRVISKKTKEEVGEFRAHAAEWTANVTAEFKETRRRLSVEIYDKFQRATS VKRKLSAELAGNHNQELTPCRRTLSVNHLTSEREVLPPLLKAESIYLNGLTPHCAGEDIA VIENMK >ENSMUSP00000141849.1 pep:known chromosome:GRCm38:1:189209790:189343707:-1 gene:ENSMUSG00000037624.15 transcript:ENSMUST00000192723.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk2 description:potassium channel, subfamily K, member 2 [Source:MGI Symbol;Acc:MGI:109366] MAAPDLLDPKSAAQNSKPRLSFSSKPTVLASRVESDSAINVMKWKTVSTIFLVVVLYLII GATVFKALEQPQEISQRTTIVIQKQTFIAQHACVNSTELDELIQQIVAAINAGIIPLGNS SNQVSHWDLGSSFFFAGTVITTIGFGNISPRTEGGKIFCIIYALLGIPLFGFLLAGVGDQ LGTIFGKGIAKVEDTFIKWNVSQTKIRIISTIIFILFGCVLFVALPAVIFKHIEGWSALD AIYFVVITLTTIGFGDYVAGGSDIEYLDFYKPVVWFWILVGLAYFAAVLSMIGDWLRVIS KKTKEEVGEFRAHAAEWTANVTAEFKETRRRLSVEIYDKFQRATSVKRKLSAELAGNHNQ ELTPCRRTLSVNHLTSEREVLPPLLKAESIYLNGLTPHCAGEDIAVIENMK >ENSMUSP00000106545.1 pep:known chromosome:GRCm38:1:189207937:189343832:-1 gene:ENSMUSG00000037624.15 transcript:ENSMUST00000110920.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk2 description:potassium channel, subfamily K, member 2 [Source:MGI Symbol;Acc:MGI:109366] MAAPDLLDPKSAAQNSKPRLSFSSKPTVLASRVESDSAINVMKWKTVSTIFLVVVLYLII GATVFKALEQPQEISQRTTIVIQKQTFIAQHACVNSTELDELIQQIVAAINAGIIPLGNS SNQVSHWDLGSSFFFAGTVITTIGFGNISPRTEGGKIFCIIYALLGIPLFGFLLAGVGDQ LGTIFGKGIAKVEDTFIKWNVSQTKIRIISTIIFILFGCVLFVALPAVIFKHIEGWSALD AIYFVVITLTTIGFGDYVAGGSDIEYLDFYKPVVWFWILVGLAYFAAVLSMIGDWLRVIS KKTKEEVGEFRAHAAEWTANVTAEFKETRRRLSVEIYDKFQRATSVKRKLSAELAGNHNQ ELTPCRRTLSVNHLTSEREVLPPLLKAESIYLNGLTPHCAGEDIAVIENMK >ENSMUSP00000101495.2 pep:known chromosome:GRCm38:4:134396320:134426492:1 gene:ENSMUSG00000037366.14 transcript:ENSMUST00000105869.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah2 description:platelet-activating factor acetylhydrolase 2 [Source:MGI Symbol;Acc:MGI:2140321] MGAGQSVCFPPISGPHHIGCTDVMEGHSLEGSLFRLFYPCQASEKCEQPLWIPRYEYSMG LADYLQYNKRWVGLLFNVGIGSCRLPVSWNGPFKAKESGYPLIILSHGLGGFRASYSAFC MELASRGFVVAAVEHRDQSAAATYFCKPTSQESSPAESLEEEWLPFRRIKEGEKEFHVRN PQVHQRVKECVRVLRILQDASAGKTVVNVFPGGLDLMTLKGSIDRNRVAVMGHSFGGATA VLALTQEVQFRCAIALDAWMFPLERDFYPKARGPVFFINVEKFQTVESVNLMKKICAQHE QSRIVTVLGAVHRSQTDFAFVTGNLIAKFFSSNSRGTLDPYESQEVMVRAILAFLQKHLD LKEDYDQWSSFIEGVGPSLIQGAPHYLSSL >ENSMUSP00000101496.1 pep:known chromosome:GRCm38:4:134397471:134427413:1 gene:ENSMUSG00000037366.14 transcript:ENSMUST00000105870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah2 description:platelet-activating factor acetylhydrolase 2 [Source:MGI Symbol;Acc:MGI:2140321] MPEIIEDLSWPANSRAPGFGPAGDEMGAGQSVCFPPISGPHHIGCTDVMEGHSLEGSLFR LFYPCQASEKCEQPLWIPRYEYSMGLADYLQYNKRWVGLLFNVGIGSCRLPVSWNGPFKA KESGYPLIILSHGLGGFRASYSAFCMELASRGFVVAAVEHRDQSAAATYFCKPTSQESSP AESLEEEWLPFRRIKEGEKEFHVRNPQVHQRVKECVRVLRILQDASAGKTVVNVFPGGLD LMTLKGSIDRNRVAVMGHSFGGATAVLALTQEVQFRCAIALDAWMFPLERDFYPKARGPV FFINVEKFQTVESVNLMKKICAQHEQSRIVTVLGAVHRSQTDFAFVTGNLIAKFFSSNSR GTLDPYESQEVMVRAILAFLQKHLDLKEDYDQWSSFIEGVGPSLIQGAPHYLSSL >ENSMUSP00000117607.1 pep:known chromosome:GRCm38:4:134402912:134405075:1 gene:ENSMUSG00000037366.14 transcript:ENSMUST00000132658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah2 description:platelet-activating factor acetylhydrolase 2 [Source:MGI Symbol;Acc:MGI:2140321] MGAGQSVCFPPISGPHHIGCTDVMEGHSLEGSLFRLFYPCQASEKCEQPLWIPRYEYSMG LADYLQYNKRWVGLLFNVGIGSCRLPVSWNGPFKAKESGYPLI >ENSMUSP00000078571.5 pep:known chromosome:GRCm38:5:143890741:143895030:-1 gene:ENSMUSG00000029607.14 transcript:ENSMUST00000079624.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd61 description:ankyrin repeat domain 61 [Source:MGI Symbol;Acc:MGI:1913979] MDGWNTACVAGRRVPSSSQNTPTATMSCKQTQPIFPIHLAAEYRKPQSLLCLLQHGADPE VRDAQGFTTLHLMLLNWPASSTTWSKPSTQIQKILMDIQNNAVLCLCILCDHGAQVNARV DNSNKHSALHLAIIHGTYPVLSFLAQNGAQVNATNESSMTPLHMAADILNKNMIETLIAF GANVNCAISSTGNTALKLAVCTASSKVGRLLAAGVGCIRLLLNNGAQVNAQDHEGQTALH EACFGGREAIISLLLEFEANVNILTRNGESPIYMYLQRSSNIRDRSLLARLLYRTYPLRL SNKQGILPAGIMLPEYQLLRETLVKLSKKPLTLEAICKRSIRNVYGEKYKFHLEKLLPAK LWNSIYGIYDLTYLLKGEP >ENSMUSP00000106345.2 pep:known chromosome:GRCm38:5:143890741:143895067:-1 gene:ENSMUSG00000029607.14 transcript:ENSMUST00000110717.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd61 description:ankyrin repeat domain 61 [Source:MGI Symbol;Acc:MGI:1913979] MGNITKRGSRDLVADGATLVEESLATTLHSKLYEAIIKEDCNTIKTLLRNHPVNQPLTIL ANSTRYRLLSQQTQPIFPIHLAAEYRKPQSLLCLLQHGADPEVRDAQGFTTLHLMLLNWP ASSTTWSKPSTQIQKILMDIQNNAVLCLCILCDHGAQVNARVDNSNKHSALHLAIIHGTY PVLSFLAQNGAQVNATNESSMTPLHMAADILNKNMIETLIAFGANVNCAISSTGNTALKL AVCTASSKVGRLLAAGVGCIRLLLNNGAQVNAQDHEGQTALHEACFGGREAIISLLLEFE ANVNILTRNGESPIYMYLQRSSNIRDRSLLARLLYRTYPLRLSNKQGILPAGIMLPEYQL LRETLVKLSKKPLTLEAICKRSIRNVYGEKYKFHLEKLLPAKLWNSIYGIYDLTYLLKGE P >ENSMUSP00000106346.1 pep:known chromosome:GRCm38:5:143890743:143897685:-1 gene:ENSMUSG00000029607.14 transcript:ENSMUST00000110718.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd61 description:ankyrin repeat domain 61 [Source:MGI Symbol;Acc:MGI:1913979] MEADTCDATTGRRRQEGRCDYGASPGYMQTQPIFPIHLAAEYRKPQSLLCLLQHGADPEV RDAQGFTTLHLMLLNWPASSTTWSKPSTQIQKILMDIQNNAVLCLCILCDHGAQVNARVD NSNKHSALHLAIIHGTYPVLSFLAQNGAQVNATNESSMTPLHMAADILNKNMIETLIAFG ANVNCAISSTGNTALKLAVCTASSKVGRLLAAGVGCIRLLLNNGAQVNAQDHEGQTALHE ACFGGREAIISLLLEFEANVNILTRNGESPIYMYLQRSSNIRDRSLLARLLYRTYPLRLS NKQGILPAGIMLPEYQLLRETLVKLSKKPLTLEAICKRSIRNVYGEKYKFHLEKLLPAKL WNSIYGIYDLTYLLKGEP >ENSMUSP00000143366.1 pep:known chromosome:GRCm38:5:137865827:137868650:-1 gene:ENSMUSG00000066682.11 transcript:ENSMUST00000198024.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pilrb2 description:paired immunoglobin-like type 2 receptor beta 2 [Source:MGI Symbol;Acc:MGI:2450535] XMLLAKVVVIILVYGWMIFLRWKQRPDPA >ENSMUSP00000142830.1 pep:known chromosome:GRCm38:5:137865827:137871085:-1 gene:ENSMUSG00000066682.11 transcript:ENSMUST00000199247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilrb2 description:paired immunoglobin-like type 2 receptor beta 2 [Source:MGI Symbol;Acc:MGI:2450535] XGHFIYNSSMPFIHEHFKGRLILNWTQGQTSGVLRILNFKESDQTWYFCRVFLQTTEGIK FWQSLPGTQLTLTQESELMAAGLCYETSPFLDRHRR >ENSMUSP00000143064.1 pep:known chromosome:GRCm38:5:137865827:137871707:-1 gene:ENSMUSG00000066682.11 transcript:ENSMUST00000196162.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilrb2 description:paired immunoglobin-like type 2 receptor beta 2 [Source:MGI Symbol;Acc:MGI:2450535] MALLISLPGETPAMAQILLLLSSACLHAGNSARSNGGNDFGVNQPERCSGVQGGSIDIPF SFYFPWKLAKDPQMSIAWRWKDFFGHFIYNSSMPFIHEHFKGRLILNWTQGQTSGVLRIL NFKESDQTWYFCRVFLQTTEGIKFWQSLPGTQLTLTQALNTTMRSPFIVTSEFTTAGLEH TRDKRNPSLMNLGAMVTMLLAKVVVIILVYGWMIFLRWKQRPAH >ENSMUSP00000131233.1 pep:known chromosome:GRCm38:5:137865829:137871758:-1 gene:ENSMUSG00000066682.11 transcript:ENSMUST00000164886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilrb2 description:paired immunoglobin-like type 2 receptor beta 2 [Source:MGI Symbol;Acc:MGI:2450535] MALLISLPGETPAMAQILLLLSSACLHAGNSARSNGGNDFGVNQPERCSGVQGGSIDIPF SFYFPWKLAKDPQMSIAWRWKDFFGHFIYNSSMPFIHEHFKGRLILNWTQGQTSGVLRIL NFKESDQTWYFCRVFLQTTEGIKFWQSLPGTQLTLTQALNTTMRSPFIVTSEFTTAGLEH TRDKRNPSLMNLGAMVTMLLAKVVVIILVYGWMIFLRWKQRPDPA >ENSMUSP00000142492.1 pep:known chromosome:GRCm38:5:137871159:137871815:-1 gene:ENSMUSG00000066682.11 transcript:ENSMUST00000199773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pilrb2 description:paired immunoglobin-like type 2 receptor beta 2 [Source:MGI Symbol;Acc:MGI:2450535] MALLISLPGETPAMAQILLLLSSACLHAVASESPHPSQEIQQDQTEEMTLGSTNLNAALE SRVAPSTSP >ENSMUSP00000028250.2 pep:known chromosome:GRCm38:2:36136389:36190647:1 gene:ENSMUSG00000026887.9 transcript:ENSMUST00000028250.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrrf description:mitochondrial ribosome recycling factor [Source:MGI Symbol;Acc:MGI:1915121] MASGIRCFRLLHPAFRSYHAALTRPVSEVSMKTVSGRQHGHRQYSAYPAVPVRHFATKKA KAKGKGQPQARVTVNRALVEDIISLEEVDEDMKSVVEALKDNFNKTLNIRTAPGSLDHIT VVTADGKVALNQIGQISMKSPQVILVNMASFPECTAAAIKAIRESGMNLNPEVEGTLIRV PIPKVTREHREMLVKLAKQNTNKAKENLRKVRTNAMNKLKKSKDKTSEDTIRLIEKQISQ MADDTVAELDQHLAAKTKELLG >ENSMUSP00000123918.1 pep:known chromosome:GRCm38:2:36136634:36147919:1 gene:ENSMUSG00000026887.9 transcript:ENSMUST00000132042.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrrf description:mitochondrial ribosome recycling factor [Source:MGI Symbol;Acc:MGI:1915121] MASGIRCFRLLHPAFRSYHAALTRPVSEVSMKTVSGRQHGHRQYSAYPAVPVRHFATKKA KDLWRWSYEKFMGLKLDRSGTKS >ENSMUSP00000051163.5 pep:known chromosome:GRCm38:7:112225856:112355194:1 gene:ENSMUSG00000038244.13 transcript:ENSMUST00000050149.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical2 description:microtubule associated monooxygenase, calponin and LIM domain containing 2 [Source:MGI Symbol;Acc:MGI:2444947] MGENEDEKQAQASQVFENFVQATTCKGTLQAFNILTCLLDLDPLDHRNFYSQLKSKVNTW KAKALWHKLDKRGSHKEYKRGKACSNTKCLIVGGGPCGLRTAIELAYLGAKVVVVEKRDT FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGSIDHISIRQLQLILFKVALMLGVEVHVN VEFVRVLEPPEDQENQKVGWRAEFLPADHALSDFEFDVIIGADGHRNTLEGFRRKEFRGK LAIAITANFINRNSTAEAKVEEISGVAFIFNQKFFQDLKEETGIDLENIVYYKDSTHYFV MTAKKQSLLDKGVILNDYIDTEMLLCSENVNQDNLLSYAREAADFATNYQLPSLDFAINH NGQPDVAMFDFTSMYASENAALMRERQAHQLLVALVGDSLLEPFWPMGTGCARGFLAAFD TAWMVKSWDQGTPPLEVLAERESLYRLLPQTTPENINKNFEQYTLDPATRYPNLNLHCVR PHQVKHLYITKEMDRFPLERWGSVRRSVSLSRRESDIRPNKLLTWCQQQTKGYQHVRVTD LTTSWRSGLALCAIIHSFRPELINFDSLNEDDAVENNQLAFDVAKREFGILPVTTGKEMA STQEPDKLSMVMYLSKFYELFRGTPLRPMDSWRKNYGENADFGLGKTFIQNNYLNLTLPR KRTPRVDTQTEENDMNKRRRQGFNHLEELPSFSSRSLGSSQEYAKESGSQNKVKHMANQL LAKFEENTRNPSVVKQESPRKAFPLSLGGRDTCYFCKKRVYMIERLSAEGHFFHQECFRC SVCSATLRLAAYAFDCDEGKFYCKPHFVHCKTSSKQRKRRAELNQQREEEGTWQEQEAPR RDVPTESSCAVAAISTPEGSPPGTSTSFFRKALSWPLRLTRGLLNLPQSLLRWMQGLQEA AGHHVRDNAHNYCFMFELLSLGLLLLWAFSKVLAAMYRESEESLENIRSWLLRFIPVKLQ >ENSMUSP00000102258.1 pep:known chromosome:GRCm38:7:112225890:112315415:1 gene:ENSMUSG00000038244.13 transcript:ENSMUST00000106647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical2 description:microtubule associated monooxygenase, calponin and LIM domain containing 2 [Source:MGI Symbol;Acc:MGI:2444947] MGENEDEKQAQASQVFENFVQATTCKGTLQAFNILTCLLDLDPLDHRNFYSQLKSKVNTW KAKALWHKLDKRGSHKEYKRGKACSNTKCLIVGGGPCGLRTAIELAYLGAKVVVVEKRDT FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGSIDHISIRQLQLILFKVALMLGVEVHVN VEFVRVLEPPEDQENQKVGWRAEFLPADHALSDFEFDVIIGADGHRNTLEGFRRKEFRGK LAIAITANFINRNSTAEAKVEEISGVAFIFNQKFFQDLKEETGIDLENIVYYKDSTHYFV MTAKKQSLLDKGVILNVCTCWLGFLTCLSPGQHGLATSADVGEDDCPARTHTRGEETACH LPCFWHCVTTQPHRYVSNMR >ENSMUSP00000102259.1 pep:known chromosome:GRCm38:7:112225890:112348368:1 gene:ENSMUSG00000038244.13 transcript:ENSMUST00000106648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical2 description:microtubule associated monooxygenase, calponin and LIM domain containing 2 [Source:MGI Symbol;Acc:MGI:2444947] MGENEDEKQAQASQVFENFVQATTCKGTLQAFNILTCLLDLDPLDHRNFYSQLKSKVNTW KAKALWHKLDKRGSHKEYKRGKACSNTKCLIVGGGPCGLRTAIELAYLGAKVVVVEKRDT FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGSIDHISIRQLQLILFKVALMLGVEVHVN VEFVRVLEPPEDQENQKVGWRAEFLPADHALSDFEFDVIIGADGHRNTLEGFRRKEFRGK LAIAITANFINRNSTAEAKVEEISGVAFIFNQKFFQDLKEETGIDLENIVYYKDSTHYFV MTAKKQSLLDKGVILNDYIDTEMLLCSENVNQDNLLSYAREAADFATNYQLPSLDFAINH NGQPDVAMFDFTSMYASENAALMRERQAHQLLVALVGDSLLEPFWPMGTGCARGFLAAFD TAWMVKSWDQGTPPLEVLAERESLYRLLPQTTPENINKNFEQYTLDPATRYPNLNLHCVR PHQVKHLYITKEMDRFPLERWGSVRRSVSLSRRESDIRPNKLLTWCQQQTKGYQHVRVTD LTTSWRSGLALCAIIHSFRPELINFDSLNEDDAVENNQLAFDVAKREFGILPVTTGKEMA STQEPDKLSMVMYLSKFYELFRGTPLRPMDSWRKNYGENADFGLGKTFIQNNYLNLTLPR KRTPRVDTQTEENDMNKRRRQGFNHLEELPSFSSRSLGSSQEYAKESGSQNKVKHMANQL LAKFEENTRNPSVVKQESPRKAFPLSLGGRDTCYFCKKRVYMIERLSAEGHFFHQECFRC SVCSATLRLAAYAFDCDEGNPRGQESTSLWPWSQM >ENSMUSP00000047639.5 pep:known chromosome:GRCm38:7:112271299:112353975:1 gene:ENSMUSG00000038244.13 transcript:ENSMUST00000037991.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical2 description:microtubule associated monooxygenase, calponin and LIM domain containing 2 [Source:MGI Symbol;Acc:MGI:2444947] MGENEDEKQAQASQVFENFVQATTCKGTLQAFNILTCLLDLDPLDHRNFYSQLKSKVNTW KAKALWHKLDKRGSHKEYKRGKACSNTKCLIVGGGPCGLRTAIELAYLGAKVVVVEKRDT FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGSIDHISIRQLQLILFKVALMLGVEVHVN VEFVRVLEPPEDQENQKVGWRAEFLPADHALSDFEFDVIIGADGHRNTLEGFRRKEFRGK LAIAITANFINRNSTAEAKVEEISGVAFIFNQKFFQDLKEETGIDLENIVYYKDSTHYFV MTAKKQSLLDKGVILNDYIDTEMLLCSENVNQDNLLSYAREAADFATNYQLPSLDFAINH NGQPDVAMFDFTSMYASENAALMRERQAHQLLVALVGDSLLEPFWPMGTGCARGFLAAFD TAWMVKSWDQGTPPLEVLAERESLYRLLPQTTPENINKNFEQYTLDPATRYPNLNLHCVR PHQVKHLYITKEMDRFPLERWGSVRRSVSLSRRESDIRPNKLLTWCQQQTKGYQHVRVTD LTTSWRSGLALCAIIHSFRPELINFDSLNEDDAVENNQLAFDVAKREFGILPVTTGKEMA STQEPDKLSMVMYLSKFYELFRGTPLRPMDSWRKNYGENADFGLGKTFIQNNYLNLTLPR KRTPRVDTQTEENDMNKRRRQGFNHLEELPSFSSRSLGSSQEYAKESGSQNKVKHMANQL LAKFEENTRNPSVVKQDCRRVSGIGKPVLCSASRPPGTSCCPKLEESTPRLPPPLKRQFS STVATGQVLRELNQVPASGECPSRPWRARAKSDLQLGGVENLATLPRTCQGALALSGVLR RLQQVEEKVLQKRAQNLANREFHTKNIKEKAAHLASMFGHGDLPQDKLLSKRVPHAHPPS PPSCLPSPHPAAASSPPAADSVSPARKLTVGKVSSGIGAAAEVLVNLYLNDHRPKTQATS PDLESPRKAFPLSLGGRDTCYFCKKRVYMIERLSAEGHFFHQECFRCSVCSATLRLAAYA FDCDEGKFYCKPHFVHCKTSSKQRKRRAELNQQREEEGTWQEQEAPRRDVPTESSCAVAA ISTPEGSPPVRFSLPVLHPLLG >ENSMUSP00000123341.1 pep:known chromosome:GRCm38:7:112346729:112355194:1 gene:ENSMUSG00000038244.13 transcript:ENSMUST00000144509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical2 description:microtubule associated monooxygenase, calponin and LIM domain containing 2 [Source:MGI Symbol;Acc:MGI:2444947] XRKAFPLSLGGRDTCYFCKKRVYMIERLSAEGHFFHQECFRCSVCSATLRLAAYAFDCDE GKFYCKPHFVHCKTSSKQRKRRAELNQQREEEGTWQEQEAPRRDVPTESSCAVAAISTPE GSPPVRFSLPVLHPLLG >ENSMUSP00000030471.8 pep:known chromosome:GRCm38:4:116075269:116097043:1 gene:ENSMUSG00000028703.14 transcript:ENSMUST00000030471.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc41 description:leucine rich repeat containing 41 [Source:MGI Symbol;Acc:MGI:2441984] MAAPEAWRARSCWFCEVAAATTMEATSREAAPAKSSASGPSAPPALFELCGRAVSAHMGV LESGVWALPGPILQSILPLLNIYYLERIEETALKKGLSTQAIWRRLWDELMKTRPSSLES VTCWRAKFMEAFFSHVLRGTIDVSSDKRLCDQRFSPLLHSSRHVRQLTICNMLQGATELV AEPNRRVLETLASSLHTLKFRHLLFSDVAAQQSLRQLLHQLIHHGAVSQVSLYSWPVPES ALFILILTMSAGFWQPGPGSLPCRLCGEASRGRAPSRDEGSLLLGSRRPRRDAAERCAAA LMATRRKSEVKQMPRAVPPTRVTRRSTQESLAIGGTDSKLYLPATSYEASGTKQPSAPAA ASASSSTSSKRAPASSASQPKPLKRFKRAAGKKGPRTRQGSGAESEDLYDFVFIVAGEKE DGEEMEIGEVACGALDGSDPSCLGLPALEASQRFRSISTLELFTVPLSTEAALTLCHLLS SWVSLESLTLSYNGLGSNIFRLLDSLRALSGQAGCRLRALHLSDLFSPLPILELTRAIVR ALPLLRVLSIRVDHPSQRDNPAVPENAGPPGHIVGDEEIPENCLEQLEMGFPRGAQPAPL LCSVLKASGSLQQLSLDSATFASPQDFGLVLQTLKEHNLSLKRLSFHDMNLADCQSEVLF LLKNLTLQEITFSFCRLFEKRPVQFLPEMVAAMKGNSTLKGLRLPGNRLGNAGLLALADV FSEDSSSSLCQLDISSNCIKPDGLLEFAKRLERWGRGAFGHLRLFQNWLDQDAVTAREAI RRLRATCHVVSDSWDSTQAFADYVSTM >ENSMUSP00000098056.4 pep:known chromosome:GRCm38:5:143817788:143904251:1 gene:ENSMUSG00000029613.15 transcript:ENSMUST00000100487.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak1 description:eukaryotic translation initiation factor 2 alpha kinase 1 [Source:MGI Symbol;Acc:MGI:1353448] MLGGSSVDGERDTDDDAAGAVAAPPAIDFPAEVSDPKYDESDVPAELQVLKEPLQQPTFP FLVANQLLLVSLLEHLSHVHEPNPLHSKQVFKLLCQTFIKMGLLSSFTCSDEFSSLRLHH NRAITHLMRSAKERVRQDPCQDNSYMQKIRSREIAFEAQTSRYLNEFEELAILGKGGYGR VYKVRNKLDGQHYAIKKILIKSATKTDCMKVLREVKVLAGLQHPNIVGYHTAWIEHVHVV QPQDRVPIQLPSLEVLSEQEGDRDQGGVKDNESSSSIVFAELTPEKEKPFGESEVKNENN NLVSYTANLVVRNSSESESSIELQEDGLTDLSVRPVVRHQLPLGHSSELEGNFTSTDESS EGNLNLLGQTEVRYHLMLHIQMQLCELSLWDWITERNKRSREYVDEAACPYVMASVATKI FQELVEGVFYIHNMGIVHRDLKPRNIFLHGPDQQVKIGDFGLACADIIQNADWTNRNGKG TRTHTSRVGTCLYASPEQLEGSQYDAKSDMYSLGVILLELFQPFGTEMERATVLTGVRTG RIPESLSKRCPVQAKYIQLLTGRNVSQRPSALQLLQSELFQTTGNVNLTLQMKIIEQEKE IEELKKQLSLLSQDRGLKR >ENSMUSP00000030360.4 pep:known chromosome:GRCm38:4:107233514:107253532:-1 gene:ENSMUSG00000028617.10 transcript:ENSMUST00000030360.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc42 description:leucine rich repeat containing 42 [Source:MGI Symbol;Acc:MGI:1925059] MAYYLNSEAHLDPGPIYVRENGQLHMVNLALDGVKNSLQKPRPFRLFPKGFSVELCMNRE DDTAQKEKTDHFIFTYTREGNLRYSAKSLFSLVLGFISDNVDHIDSLIGFPEQIAEKLFS AAEARQKFTEPGAGLRALQKFTEAYGSLVLCSLCLRNRYLVVAEKLEEIKSFRELTRLDL SCCWLGDEHELLEHLTNEALSSVTQLHLKDNCLSDAGIRKMTAPVRVMKRGLENLALLDL SCNPEITDAGIGYLFSFRKLNCLDISGTGLKDIKAVKDKLRANIGLVHSKVPLKEFDHSN CKTEGWADQIVLQWERVSVEAVRQRKDVEPRKAAQYFYQNRARTEVTRKCPLAETHMNSS GKLQFYREEAPDCHEPLLSQESKKSKKRAFKESEQEQSSPQSAKQKCVCLAVEDWDLLNS Y >ENSMUSP00000120501.1 pep:known chromosome:GRCm38:4:107233939:107239753:-1 gene:ENSMUSG00000028617.10 transcript:ENSMUST00000144176.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc42 description:leucine rich repeat containing 42 [Source:MGI Symbol;Acc:MGI:1925059] RKLNCLDISGTGLKDIKAVKDKLRANIGLVHSKVPLKEFDHSNCKTEGWADQIVLQWERV SVEAVRQRKDVEPRKAAQYFYFFIHRKLRKLVIVRLTEPNQNRARTEVTRKCPLA >ENSMUSP00000116709.1 pep:known chromosome:GRCm38:4:107247413:107253160:-1 gene:ENSMUSG00000028617.10 transcript:ENSMUST00000156771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc42 description:leucine rich repeat containing 42 [Source:MGI Symbol;Acc:MGI:1925059] MAYYLNSEAHLDPGPIYVRENGQLHMVNLALDGVKNSLQKPRPFRLFPKGFSVELCMNRE DDTAQKEKTDHFIFTYTREGNLRYSAKSLFSLVLGFISDNVDHIDSLIGFPEQIAEKL >ENSMUSP00000109874.1 pep:known chromosome:GRCm38:6:65590398:65634040:1 gene:ENSMUSG00000044162.11 transcript:ENSMUST00000114236.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip3 description:TNFAIP3 interacting protein 3 [Source:MGI Symbol;Acc:MGI:3041165] MSGLSAAGACMEHTECAPPRRKDLTNSLERKIKHLEKQRQELLDVNQQWDQQFRNMKELY ERKVLELKTKLAATENTHTLVKEQKQSVTEGDRQLEKEKDSLRKELQALKKENKLLKENN ALANRKKEHYACEIKRLNKVLQDALTIGSSSLSEDSGKCKGRCSHAEMRREVEWHKQQPA YQWNALSQLPPRVQHKAHGFSSERKTDL >ENSMUSP00000078875.6 pep:known chromosome:GRCm38:1:171229572:171234365:-1 gene:ENSMUSG00000058715.11 transcript:ENSMUST00000079957.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer1g description:Fc receptor, IgE, high affinity I, gamma polypeptide [Source:MGI Symbol;Acc:MGI:95496] MISAVILFLLLLVEQAAALGEPQLCYILDAVLFLYGIVLTLLYCRLKIQVRKAAIASREK ADAVYTGLNTRSQETYETLKHEKPPQ >ENSMUSP00000141240.1 pep:known chromosome:GRCm38:1:171229579:171234307:-1 gene:ENSMUSG00000058715.11 transcript:ENSMUST00000193973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer1g description:Fc receptor, IgE, high affinity I, gamma polypeptide [Source:MGI Symbol;Acc:MGI:95496] MISAVILFLLLLVEQAAALGEPQLCYILDAVLFLYGIVLTLLYCRLKIQVRKAAIASREV WSLPRLHVSVSFLAFNLSRGLSLRKQMLSTRA >ENSMUSP00000070031.3 pep:known chromosome:GRCm38:2:118779719:118811174:1 gene:ENSMUSG00000040035.14 transcript:ENSMUST00000063975.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disp2 description:dispatched homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2388733] MAPEASPERSCSLHTCPLEDPTGAPVPPPTVSTLQAIDPTSPLTAGHFAFPRAPQDYQEG SSLLGLGDQASLCAHVSNLSTSIDTSQHDGVWKQPSVQRHVVSVRQERTFRMPKSYSHMI ADWPVAVIVGCLAFIFLCTLAGLLGSPPLDFSEPLLGFEPRDTEIGRRLEVWKAMQALTG PKNLLSLSPDPEMNSSSLLSTLSPAAWGRAEESVVRTKRMVGPVEVKEEENFFCGRPEKS HAKLVFVSTSGGSLWNLQAIHSMCRIEQEQIRNLSVQMLKVLAGGMEPASCHLSQAHVPR RAVLLIFSLCTKSLFMPSLSAQRQVFPAGILWFPRGCSAWD >ENSMUSP00000037136.2 pep:known chromosome:GRCm38:2:118779722:118795175:1 gene:ENSMUSG00000040035.14 transcript:ENSMUST00000037547.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disp2 description:dispatched homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2388733] MAPEASPERSCSLHTCPLEDPTGAPVPPPTVSTLQAIDPTSPLTAGHFAFPRAPQDYQEG SSLLGLGDQASLCAHVSNLSTSIDTSQHDGVWKQPSVQRHVVSVRQERTFRMPKSYSHMI ADWPVAVIVGCLAFIFLCTLAGLLGSPPLDFSEPLLGFEPRDTEIGRRLEVWKAMQALTG PKNLLSLSPDPEMNSSSLLSTLSPAAWGRAEESVVRTKRMVGPVEVKEEENFFCGRPEKS HAKLVFVSTSGGSLWNLQAIHSMCRIEQEQIRSHISFGALCQRSAANECCPSWSLGNYLA VLSNRSSCQDTTQADTDRTLALLRFCATFYHRGVLVPACVGSSQDKPPFCAQVPAKCTGS NVVYEFLHYLLDRDFLSPQTADYQVPSLKFALLFLPIIKTSSLLDIYLDGLGDPIKVSDN YTSISGMDLGLKPRLLKYYLAEDTMYPLIALVVIFFGMSLYLRSLFITFMSLLGVLGSLM VAYFLYHVAFRMAYFPFVNLAALLLLSGVCVNYTLIFLDMWRLSRGQVPSGGMPHRVGRT MHHFGYLLLVSGLTTSAAFYGSYLSRLPAVRCFALFMGTAVLVHMGLTLLWLPATVVLHE RYLAHGCVAQAHGQRGGSDPLRLLLALHRRIRIFRKIISILSRLLFQRLLPCGVIKFRYI WICWFAALAAGGAYIGGVSPRLQLPILLPLGGQFFRSSHPFERFDAEYRQQFLFEDLPPN EGGNLPVVLVWGILPVDTSDPLDPRTNSSVVSDPDFSPSSPEAQEWLLALCHGAQNQSFF GDQPEGWPTLCLVEALQQWMESPSCGRLGPDLCCGQSEFPWAPQLYLHCLKMMALEQSPD GTRDLGLRFDTHGNLAALVLKFQTNLPYSTEYGPVHHFYTEISRWLSTEMSKAPPGLNQG WFTSNLELYSLQHSLSTEPAVVLGLALALAFATLLLSTWNVPLSLFSVAAVAGTVLLTVG LLVLLEWQLNTAEALFLSASVGLSVDLTINYCISYHLCPHPDRLSRVAFSLRQISRATAM TTGVLFASGVIMLPSTILLYRKLGIIVMMVKFLGCGFASFFFQSLCCFFGPEKNCGQILW PCAHLPWDAGTEDPDEKGRAGPPGFSEHYELQPLARRRSPSFDTSTATSKLSHRPSILSE DLQIHDGSCCLQHAQAPVSPRDLLLDHQTVFSQCPALQTSSPYKQAGPTPQTWIRQDSQG QKTEPLQALPEGPAHCPKSKVEELPDGLCSSASTLEGLSVSDDTCASEHSVRVPDSVGTS PEVMNGTGHPILERGQLNGKRDTLWLALKETIYDPNMPNSHHSSLSWKGRGGPGDISPVM LPNSQPDLPDVWLRRPSTYTSGYSS >ENSMUSP00000106470.1 pep:known chromosome:GRCm38:2:118779739:118811293:1 gene:ENSMUSG00000040035.14 transcript:ENSMUST00000110846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disp2 description:dispatched homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2388733] MAPEASPERSCSLHTCPLEDPTGAPVPPPTVSTLQAIDPTSPLTAGHFAFPRAPQDYQEG SSLLGLGDQASLCAHVSNLSTSIDTSQHDGVWKQPSVQRHVVSVRQERTFRMPKSYSHMI ADWPVAVIVGCLAFIFLCTLAGLLGSPPLDFSEPLLGFEPRDTEIGRRLEVWKAMQALTG PKNLLSLSPDPEMNSSSLLSTLSPAAWGRAEESVVRTKRMVGPVEVKEEENFFCGRPEKS HAKLVFVSTSGGSLWNLQAIHSMCRIEQEQEGSVRVPVVSAMWEAEAGGLLEPRRPSTAW TT >ENSMUSP00000106467.1 pep:known chromosome:GRCm38:2:118779742:118790049:1 gene:ENSMUSG00000040035.14 transcript:ENSMUST00000110843.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Disp2 description:dispatched homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2388733] MAPEASPERSCSLHTCPLEDPTGAPVPPPTVSTLQAIDPTSPLTAGHFAFPRAPQDYQEG SSLLGLGDQASLCAHVSNLSTSIDTSQHDGVWKQPSVQRHVVSVRQERTFRMPKSYSHMI ADWPVAVIVGCLAFIFLCTLAGLLGSPPLDFSEPLLGFEPRDTEIGRRLEVWKAMQALTG PKNLLSLSPDPEMNSSSLLSTLSPAAWGRAEESVVRTKRMVGPVEVKEEENFFCGRPEKS HAKLVFVSTSGGSLWNLQAIHSMCRIEQEQVSWLGTCQGMGGN >ENSMUSP00000142870.1 pep:known chromosome:GRCm38:2:25863734:25917045:1 gene:ENSMUSG00000058740.14 transcript:ENSMUST00000198204.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt1 description:potassium channel, subfamily T, member 1 [Source:MGI Symbol;Acc:MGI:1924627] MNDLDTEVLPLPPRYRFRDLLLGDQPFPNDDRVQVEFYVNENTFKERLKLFFIKNQRSSL RIRLFNFSLKLLTCLLYIVRVLLDNPDQGIGCWGCTKYNYTFNGSSSEFHWAPILWVERK MALWVIQVIVATISFLETMLIIYLSYKGNIWEQIFHVSFVLEMINTLPFIITVFWPPLRN LFIPVFLNCWLAKHALENMINDFHRAILRTQSAMFNQVLILFCTLLCLVFTGTCGIQHLE RAGGNLNLLTSFYFCIVTFSTVGFGDVTPKIWPSQLLVVILICVTLVVLPLQFEELVYLW MERQKSGGNYSRHRARTEKHVVLCVSSLKIDLLMDFLNEFYAHPRLQDYYVVILCPSEMD VQVRRVLQIPLWSQRVIYLQGSALKDQDLMRAKMDNGEACFILSSRNEVDRTAADHQTIL RAWAVKDFAPNCPLYVQILKPENKFHVKFADHVVCEEECKYAMLALNCICPATSTLITLL VHTSRGQEGQESPEQWQRTYGRCSGNEVYHIRMGDSKFFREYEGKSFTYAAFHAHKKYGV CLIGLKREENKSILLNPGPRHILAASDTCFYINITKEENSAFIFKQEEKQKRRGLAGQAL YEGPSRLPVHSIIASMGTVAMDLQNTDCRPSQGGSGGDGTKLTLPTENGSGSRRPSIAPV LELADSSALLPCDLLSDQSEDEVTPSDDEGLSVVEYVKGYPPNSPYIGSSPTLCHLLPVK APFCCLRLDKGCKHNSYEDAKAYGFKNKLIIVSAETAGNGLYNFIVPLRAYYRSRRELNP IVLLLDNKPDHHFLEAICCFPMVYYMEGSVDNLDSLLQCGIIYADNLVVVDKESTMSAEE DYMADAKTIVNVQTMFRLFPSLSITTELTHPSNMRFMQFRAKDSYSLALSKLEKQERENG SNLAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMITITRLLLGLDTTPGSGYLCAMKVT EDDLWIRTYGRLFQKLCSSSAEIPIGIYRTECHVFSEPHDVRAQSQISVNMEDCEDTREA KGPWGTRAASGSGSTHGRHGGSADPVEHPLLRRKSLQWARKLSRKSTKQAGKAPVATDWI TQQRLSLYRRSERQELSELVKNRMKHLGLPTTGYDEMNDHHQNTLSYVLINPPPDTRLEP NDIVYLIRSDPLAHVASSSQSRKSSCSNKLSSCNPETRDETQL >ENSMUSP00000132212.1 pep:known chromosome:GRCm38:2:25863854:25916117:1 gene:ENSMUSG00000058740.14 transcript:ENSMUST00000171268.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt1 description:potassium channel, subfamily T, member 1 [Source:MGI Symbol;Acc:MGI:1924627] MNDLDTEVLPLPPRYRFRDLLLGDQPFPNDDRLHVEDFSLDSSLSQVQVEFYVNENTFKE RLKLFFIKNQRSSLRIRLFNFSLKLLTCLLYIVRVLLDNPDQGIGCWGCTKYNYTFNGSS SEFHWAPILWVERKMALWVIQVIVATISFLETMLIIYLSYKGNIWEQIFHVSFVLEMINT LPFIITVFWPPLRNLFIPVFLNCWLAKHALENMINDFHRAILRTQSAMFNQVLILFCTLL CLVFTGTCGIQHLERAGGNLNLLTSFYFCIVTFSTVGFGDVTPKIWPSQLLVVILICVTL VVLPLQFEELVYLWMERQKSGGNYSRHRARTEKHVVLCVSSLKIDLLMDFLNEFYAHPRL QDYYVVILCPSEMDVQVRRVLQIPLWSQRVIYLQGSALKDQDLMRAKMDNGEACFILSSR NEVDRTAADHQTILRAWAVKDFAPNCPLYVQILKPENKFHVKFADHVVCEEECKYAMLAL NCICPATSTLITLLVHTSRGQEGQESPEQWQRTYGRCSGNEVYHIRMGDSKFFREYEGKS FTYAAFHAHKKYGVCLIGLKREENKSILLNPGPRHILAASDTCFYINITKEENSAFIFKQ EEKQKRRGLAGQALYEGPSRLPVHSIIASMGTVAMDLQNTDCRPSQGGSGGDGTKLTLPT ENGSGSRRPSIAPVLELADSSALLPCDLLSDQSEDEVTPSDDEGLSVVEYVKGYPPNSPY IGSSPTLCHLLPVKAPFCCLRLDKGCKHNSYEDAKAYGFKNKLIIVSAETAGNGLYNFIV PLRAYYRSRRELNPIVLLLDNKPDHHFLEAICCFPMVYYMEGSVDNLDSLLQCGIIYADN LVVVDKESTMSAEEDYMADAKTIVNVQTMFRLFPSLSITTELTHPSNMRFMQFRAKDSYS LALSKLEKQERENGSNLAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMITITRLLLGLD TTPGSGYLCAMKVTEDDLWIRTYGRLFQKLCSSSAEIPIGIYRTECHVFSEPHDVRAQSQ ISVNMEDCEDTREAKGPWGTRAASGSGSTHGRHGGSADPVEHPLLRRKSLQWARKLSRKS TKQAGKAPVATDWITQQRLSLYRRSERQELSELVKNRMKHLGLPTTGYEDVANLTASDVM NRVNLGYLQDEMNDHHQNTLSYVLINPPPDTRLEPNDIVYLIRSDPLAHVASSSQSRKSS CSNKLSSCNPETRDETQL >ENSMUSP00000143482.1 pep:known chromosome:GRCm38:2:25863968:25916117:1 gene:ENSMUSG00000058740.14 transcript:ENSMUST00000200434.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt1 description:potassium channel, subfamily T, member 1 [Source:MGI Symbol;Acc:MGI:1924627] MNDLDTEVLPLPPRYRFRDLLLGDQPFPNDDRVQVEFYVNENTFKERLKLFFIKNQRSSL RIRLFNFSLKLLTCLLYIVRVLLDNPDQGIGCWGCTKYNYTFNGSSSEFHWAPILWVERK MALWVIQVIVATISFLETMLIIYLSYKGNIWEQIFHVSFVLEMINTLPFIITVFWPPLRN LFIPVFLNCWLAKHALENMINDFHRAILRTQSAMFNQVLILFCTLLCLVFTGTCGIQHLE RAGGNLNLLTSFYFCIVTFSTVGFGDVTPKIWPSQLLVVILICVTLVVLPLQFEELVYLW MERQKSGGNYSRHRARTEKHVVLCVSSLKIDLLMDFLNEFYAHPRLQDYYVVILCPSEMD VQVRRVLQIPLWSQRVIYLQGSALKDQDLMRAKMDNGEACFILSSRNEVDRTAADHQTIL RAWAVKDFAPNCPLYVQILKPENKFHVKFADHVVCEEECKYAMLALNCICPATSTLITLL VHTSRGQEGQESPEQWQRTYGRCSGNEVYHIRMGDSKFFREYEGKSFTYAAFHAHKKYGV CLIGLKREENKSILLNPGPRHILAASDTCFYINITKEENSAFIFKQEEKQKRRGLAGQAL YEGPSRLPVHSIIASMVAMDLQNTDCRPSQGGSGGDGTKLTLPTENGSGSRRPSIAPVLE LADSSALLPCDLLSDQSEDEVTPSDDEGLSVVEYVKGYPPNSPYIGSSPTLCHLLPVKAP FCCLRLDKGCKHNSYEDAKAYGFKNKLIIVSAETAGNGLYNFIVPLRAYYRSRRELNPIV LLLDNKPDHHFLEAICCFPMVYYMEGSVDNLDSLLQCGIIYADNLVVVDKESTMSAEEDY MADAKTIVNVQTMFRLFPSLSITTELTHPSNMRFMQFRAKDSYSLALSKLEKQERENGSN LAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMITITRLLLGLDTTPGSGYLCAMKVTED DLWIRTYGRLFQKLCSSSAEIPIGIYRTECHVFSEPHDVRAQSQISVNMEDCEDTREAKG PWGTRAASGSGSTHGRHGGSADPVEHPLLRRKSLQWARKLSRKSTKQAGKAPVATDWITQ QRLSLYRRSERQELSELVKNRMKHLGLPTTGYEDVANLTASDVMNRVNLGYLQDEMNDHH QNTLSYVLINPPPDTRLEPNDIVYLIRSDPLAHVASSSQSRKSSCSNKLSSCNPETRDET QL >ENSMUSP00000109809.2 pep:known chromosome:GRCm38:2:25877783:25917563:1 gene:ENSMUSG00000058740.14 transcript:ENSMUST00000114172.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt1 description:potassium channel, subfamily T, member 1 [Source:MGI Symbol;Acc:MGI:1924627] MARAKLPRSPSEGKAGPGDTPAGAAAPEEPHGLSPLLPARGGGSVGSDVGQRVQVEFYVN ENTFKERLKLFFIKNQRSSLRIRLFNFSLKLLTCLLYIVRVLLDNPDQGIGCWGCTKYNY TFNGSSSEFHWAPILWVERKMALWVIQVIVATISFLETMLIIYLSYKGNIWEQIFHVSFV LEMINTLPFIITVFWPPLRNLFIPVFLNCWLAKHALENMINDFHRAILRTQSAMFNQVLI LFCTLLCLVFTGTCGIQHLERAGGNLNLLTSFYFCIVTFSTVGFGDVTPKIWPSQLLVVI LICVTLVVLPLQFEELVYLWMERQKSGGNYSRHRARTEKHVVLCVSSLKIDLLMDFLNEF YAHPRLQDYYVVILCPSEMDVQVRRVLQIPLWSQRVIYLQGSALKDQDLMRAKMDNGEAC FILSSRNEVDRTAADHQTILRAWAVKDFAPNCPLYVQILKPENKFHVKFADHVVCEEECK YAMLALNCICPATSTLITLLVHTSRGQEGQESPEQWQRTYGRCSGNEVYHIRMGDSKFFR EYEGKSFTYAAFHAHKKYGVCLIGLKREENKSILLNPGPRHILAASDTCFYINITKEENS AFIFKQEEKQKRRGLAGQALYEGPSRLPVHSIIASMGTVAMDLQNTDCRPSQGGSGGDGT KLTLPTENGSGSRRPSIAPVLELADSSALLPCDLLSDQSEDEVTPSDDEGLSVVEYVKGY PPNSPYIGSSPTLCHLLPVKAPFCCLRLDKGCKHNSYEDAKAYGFKNKLIIVSAETAGNG LYNFIVPLRAYYRSRRELNPIVLLLDNKPDHHFLEAICCFPMVYYMEGSVDNLDSLLQCG IIYADNLVVVDKESTMSAEEDYMADAKTIVNVQTMFRLFPSLSITTELTHPSNMRFMQFR AKDSYSLALSKLEKQERENGSNLAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMITITR LLLGLDTTPGSGYLCAMKVTEDDLWIRTYGRLFQKLCSSSAEIPIGIYRTECHVFSEPHD VRAQSQISVNMEDCEDTREAKGPWGTRAASGSGSTHGRHGGSADPVEHPLLRRKSLQWAR KLSRKSTKQAGKAPVATDWITQQRLSLYRRSERQELSELVKNRMKHLGLPTTGYEDVANL TASDVMNRVNLGYLQDEMNDHHQNTLSYVLINPPPDTRLEPNDIVYLIRSDPLAHVASSS QSRKSSCSNKLSSCNPETRDETQL >ENSMUSP00000109813.2 pep:known chromosome:GRCm38:2:25877863:25916611:1 gene:ENSMUSG00000058740.14 transcript:ENSMUST00000114176.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt1 description:potassium channel, subfamily T, member 1 [Source:MGI Symbol;Acc:MGI:1924627] MARAKLPRSPSEGKAGPGDTPAGAAAPEEPHGLSPLLPARGGGSVGSDVGQRLHVEDFSL DSSLSQVQVEFYVNENTFKERLKLFFIKNQRSSLRIRLFNFSLKLLTCLLYIVRVLLDNP DQGIGCWGCTKYNYTFNGSSSEFHWAPILWVERKMALWVIQVIVATISFLETMLIIYLSY KGNIWEQIFHVSFVLEMINTLPFIITVFWPPLRNLFIPVFLNCWLAKHALENMINDFHRA ILRTQSAMFNQVLILFCTLLCLVFTGTCGIQHLERAGGNLNLLTSFYFCIVTFSTVGFGD VTPKIWPSQLLVVILICVTLVVLPLQFEELVYLWMERQKSGGNYSRHRARTEKHVVLCVS SLKIDLLMDFLNEFYAHPRLQDYYVVILCPSEMDVQVRRVLQIPLWSQRVIYLQGSALKD QDLMRAKMDNGEACFILSSRNEVDRTAADHQTILRAWAVKDFAPNCPLYVQILKPENKFH VKFADHVVCEEECKYAMLALNCICPATSTLITLLVHTSRGQEGQESPEQWQRTYGRCSGN EVYHIRMGDSKFFREYEGKSFTYAAFHAHKKYGVCLIGLKREENKSILLNPGPRHILAAS DTCFYINITKEENSAFIFKQEEKQKRRGLAGQALYEGPSRLPVHSIIASMGTVAMDLQNT DCRPSQGGSGGDGTKLTLPTENGSGSRRPSIAPVLELADSSALLPCDLLSDQSEDEVTPS DDEGLSVVEYVKGYPPNSPYIGSSPTLCHLLPVKAPFCCLRLDKGCKHNSYEDAKAYGFK NKLIIVSAETAGNGLYNFIVPLRAYYRSRRELNPIVLLLDNKPDHHFLEAICCFPMVYYM EGSVDNLDSLLQCGIIYADNLVVVDKESTMSAEEDYMADAKTIVNVQTMFRLFPSLSITT ELTHPSNMRFMQFRAKDSYSLALSKLEKQERENGSNLAFMFRLPFAAGRVFSISMLDTLL YQSFVKDYMITITRLLLGLDTTPGSGYLCAMKVTEDDLWIRTYGRLFQKLCSSSAEIPIG IYRTECHVFSEPHDVRAQSQISVNMEDCEDTREAKGPWGTRAASGSGSTHGRHGGSADPV EHPLLRRKSLQWARKLSRKSTKQAGKAPVATDWITQQRLSLYRRSERQELSELVKNRMKH LGLPTTGYDEMNDHHQNTLSYVLINPPPDTRLEPNDIVYLIRSDPLAHVASSSQSRKSSC SNKLSSCNPETRDETQL >ENSMUSP00000039058.6 pep:known chromosome:GRCm38:2:25877873:25918273:1 gene:ENSMUSG00000058740.14 transcript:ENSMUST00000037580.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt1 description:potassium channel, subfamily T, member 1 [Source:MGI Symbol;Acc:MGI:1924627] MARAKLPRSPSEGKAGPGDTPAGAAAPEEPHGLSPLLPARGGGSVGSDVGQRLHVEDFSL DSSLSQVQVEFYVNENTFKERLKLFFIKNQRSSLRIRLFNFSLKLLTCLLYIVRVLLDNP DQGIGCWGCTKYNYTFNGSSSEFHWAPILWVERKMALWVIQVIVATISFLETMLIIYLSY KGNIWEQIFHVSFVLEMINTLPFIITVFWPPLRNLFIPVFLNCWLAKHALENMINDFHRA ILRTQSAMFNQVLILFCTLLCLVFTGTCGIQHLERAGGNLNLLTSFYFCIVTFSTVGFGD VTPKIWPSQLLVVILICVTLVVLPLQFEELVYLWMERQKSGGNYSRHRARTEKHVVLCVS SLKIDLLMDFLNEFYAHPRLQDYYVVILCPSEMDVQVRRVLQIPLWSQRVIYLQGSALKD QDLMRAKMDNGEACFILSSRNEVDRTAADHQTILRAWAVKDFAPNCPLYVQILKPENKFH VKFADHVVCEEECKYAMLALNCICPATSTLITLLVHTSRGQEGQESPEQWQRTYGRCSGN EVYHIRMGDSKFFREYEGKSFTYAAFHAHKKYGVCLIGLKREENKSILLNPGPRHILAAS DTCFYINITKEENSAFIFKQEEKQKRRGLAGQALYEGPSRLPVHSIIASMGTVAMDLQNT DCRPSQGGSGGDGTKLTLPTENGSGSRRPSIAPVLELADSSALLPCDLLSDQSEDEVTPS DDEGLSVVEYVKGYPPNSPYIGSSPTLCHLLPVKAPFCCLRLDKGCKHNSYEDAKAYGFK NKLIIVSAETAGNGLYNFIVPLRAYYRSRRELNPIVLLLDNKPDHHFLEAICCFPMVYYM EGSVDNLDSLLQCGIIYADNLVVVDKESTMSAEEDYMADAKTIVNVQTMFRLFPSLSITT ELTHPSNMRFMQFRAKDSYSLALSKLEKQERENGSNLAFMFRLPFAAGRVFSISMLDTLL YQSFVKDYMITITRLLLGLDTTPGSGYLCAMKVTEDDLWIRTYGRLFQKLCSSSAEIPIG IYRTECHVFSEPHDVRAQSQISVNMEDCEDTREAKGPWGTRAASGSGSTHGRHGGSADPV EHPLLRRKSLQWARKLSRKSTKQAGKAPVATDWITQQRLSLYRRSERQELSELVKNRMKH LGLPTTGYEDVANLTASDVMNRVNLGYLQDEMNDHHQNTLSYVLINPPPDTRLEPNDIVY LIRSDPLAHVASSSQSRKSSCSNKLSSCNPETRDETQL >ENSMUSP00000143106.1 pep:known chromosome:GRCm38:2:25877881:25916117:1 gene:ENSMUSG00000058740.14 transcript:ENSMUST00000197917.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt1 description:potassium channel, subfamily T, member 1 [Source:MGI Symbol;Acc:MGI:1924627] MARAKLPRSPSEGKAGPGDTPAGAAAPEEPHGLSPLLPARGGGSVGSDVGQRLHVEDFSL DSSLSQVQVEFYVNENTFKERLKLFFIKNQRSSLRIRLFNFSLKLLTCLLYIVRVLLDNP DQGIGCWGCTKYNYTFNGSSSEFHWAPILWVERKMALWVIQVIVATISFLETMLIIYLSY KGNIWEQIFHVSFVLEMINTLPFIITVFWPPLRNLFIPVFLNCWLAKHALENMINDFHRA ILRTQSAMFNQVLILFCTLLCLVFTGTCGIQHLERAGGNLNLLTSFYFCIVTFSTVGFGD VTPKIWPSQLLVVILICVTLVVLPLQFEELVYLWMERQKSGGNYSRHRARTEKHVVLCVS SLKIDLLMDFLNEFYAHPRLQDYYVVILCPSEMDVQVRRVLQIPLWSQRVIYLQGSALKD QDLMRAKMDNGEACFILSSRNEVDRTAADHQTILRAWAVKDFAPNCPLYVQILKPENKFH VKFADHVVCEEECKYAMLALNCICPATSTLITLLVHTSRGQEGQESPEQWQRTYGRCSGN EVYHIRMGDSKFFREYEGKSFTYAAFHAHKKYGVCLIGLKREENKSILLNPGPRHILAAS DTCFYINITKEENSAFIFKQEEKQKRRGLAGQALYEGPSRLPVHSIIASMVAMDLQNTDC RPSQGGSGGDGTKLTLPTENGSGSRRPSIAPVLELADSSALLPCDLLSDQSEDEVTPSDD EGLSVVEYVKGYPPNSPYIGSSPTLCHLLPVKAPFCCLRLDKGCKHNSYEDAKAYGFKNK LIIVSAETAGNGLYNFIVPLRAYYRSRRELNPIVLLLDNKPDHHFLEAICCFPMVYYMEG SVDNLDSLLQCGIIYADNLVVVDKESTMSAEEDYMADAKTIVNVQTMFRLFPSLSITTEL THPSNMRFMQFRAKDSYSLALSKLEKQERENGSNLAFMFRLPFAAGRVFSISMLDTLLYQ SFVKDYMITITRLLLGLDTTPGSGYLCAMKVTEDDLWIRTYGRLFQKLCSSSAEIPIGIY RTECHVFSEPHDVRAQSQISVNMEDCEDTREAKGPWGTRAASGSGSTHGRHGGSADPVEH PLLRRKSLQWARKLSRKSTKQAGKAPVATDWITQQRLSLYRRSERQELSELVKNRMKHLG LPTTGYEDVANLTASDVMNRVNLGYLQDEMNDHHQNTLSYVLINPPPDTRLEPNDIVYLI RSDPLAHVASSSQSRKSSCSNKLSSCNPETRDETQL >ENSMUSP00000143472.1 pep:known chromosome:GRCm38:2:25900891:25903395:1 gene:ENSMUSG00000058740.14 transcript:ENSMUST00000128502.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcnt1 description:potassium channel, subfamily T, member 1 [Source:MGI Symbol;Acc:MGI:1924627] HVVCEEECKYAMLALNCICPATSTLITLLVHTSRGQ >ENSMUSP00000142532.1 pep:known chromosome:GRCm38:2:25903502:25909909:1 gene:ENSMUSG00000058740.14 transcript:ENSMUST00000153001.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnt1 description:potassium channel, subfamily T, member 1 [Source:MGI Symbol;Acc:MGI:1924627] DSSALLPCDLLSDQSEDEVTPSDDEGLSVVEYVKGYPPNSPYIGSSPTLCHLLPVKAPFC CLRLDKGCKHNSYEDAKAYGFKNKLIIVSAETAGNGLYNFIVPLRAYYRSRRELNPIVLL LDNKPFCPHRPDHHFLEAICCFPMVYYMEGSVDNLDSLLQCGIIYADNLVVVDKESTMSA EEDYMADAKTIVNVQTMFRLFPSLSITTELTHPSNMRFMQFRAKDSYSLALSKLEKQERE NGSNLAFMFRLPFAAGRVFSISMLDTLLYQSFVKDYMITITRLLLGLDTTPGSGYLCAMK VTEDDLWIRTYGRLFQKLCSSSAEIPIGIY >ENSMUSP00000087006.6 pep:known chromosome:GRCm38:6:85423886:85428877:1 gene:ENSMUSG00000068302.8 transcript:ENSMUST00000089578.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noto description:notochord homolog (Xenopus laevis) [Source:MGI Symbol;Acc:MGI:3053002] MSSPAPSGTQVQPGSLRPCPGAVSPVVPRRLARGRLESSFSVEAILARPKTRELAATSLP LSTCTSLNLLGAVSQYGVLPWVCSTGSWLPAYLSVGVYPLCSMSCVPGLNVTHHQQGLRL TGSELPYCLGPLKWAPTVDLRDHGTERHTKRVRTTFNLQQLQELEKVFAKQHNLVGKERA QLAARLHLTENQVRIWFQNRRVKYQKQQKLKLPSSSVMEEPSSSSDGNIQSEDAELGIGS >ENSMUSP00000134424.1 pep:known chromosome:GRCm38:6:85424068:85428277:1 gene:ENSMUSG00000068302.8 transcript:ENSMUST00000174469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noto description:notochord homolog (Xenopus laevis) [Source:MGI Symbol;Acc:MGI:3053002] XVPRRLARGRLESSFSVEAILARPKTRELAATSLPLSTCTSLNLLGAVSQYGVLPWVCST GSWLPAYLSVGVYPLCSMSCVPGLNVTHHQQGLRLTGEDLVPKSQGEVSEAAKTEIAFLL CHGGALQQLRWQHPE >ENSMUSP00000000924.6 pep:known chromosome:GRCm38:10:75923222:75932502:-1 gene:ENSMUSG00000000901.15 transcript:ENSMUST00000000924.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp11 description:matrix metallopeptidase 11 [Source:MGI Symbol;Acc:MGI:97008] MARAACLLRAISRVLLLPLPLLLLLLLLLPSPLMARARPPESHRHHPVKKGPRLLHAALP NTLTSVPASHWVPSPAGSSRPLRCGVPDLPDVLNARNRQKRFVLSGGRWEKTDLTYRILR FPWQLVREQVRQTVAEALQVWSEVTPLTFTEVHEGRADIMIDFARYWHGDNLPFDGPGGI LAHAFFPKTHREGDVHFDYDETWTIGDNQGTDLLQVAAHEFGHVLGLQHTTAAKALMSPF YTFRYPLSLSPDDRRGIQHLYGRPQMAPTSPAPTLSSQAGTDTNEIALLEPETPPDVCET SFDAVSTIRGELFFFKAGFVWRLRSGRLQPGYPALASRHWQGLPSPVDAAFEDAQGQIWF FQGAQYWVYDGEKPVLGPAPLSKLGLQGSPVHAALVWGPEKNKIYFFRGGDYWRFHPRTQ RVDNPVPRRSTDWRGVPSEIDAAFQDAEGYAYFLRGHLYWKFDPVKVKVLEGFPRPVGPD FFDCAEPANTFR >ENSMUSP00000112940.1 pep:known chromosome:GRCm38:10:75923222:75932475:-1 gene:ENSMUSG00000000901.15 transcript:ENSMUST00000120281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp11 description:matrix metallopeptidase 11 [Source:MGI Symbol;Acc:MGI:97008] MARAACLLRAISRVLLLPLPLLLLLLLLLPSPLMARARPPESHRHHPVKKGPRLLHAALP NTLTSVPASHWVPSPAGSSRPLRCGVPDLPDVLNARNRQKRFVLSGGRWEKTDLTYRILR FPWQLVREQVRQTVAEALQVWSEVTPLTFTEVHEGRADIMIDFARYWHGDNLPFDGPGGI LAHAFFPKTHREGDVHFDYDETWTIGDNQGTDLLQVAAHEFGHVLGLQHTTAAKALMSPF YTFRYPLSLSPDDRRGIQHLYGRPQMAPTSPAPTLSSQAGTDTNEIALLEPETPPDVCET SFDAVSTIRGELFFFKAGFVWRLRSGRLQPGYPALASRHWQGLPSPVDAAFEDAQGQIWF FQGAQYWVYDGEKPVLGPAPLSKLGLQGSPVHAALVWGPEKNKIYFFRGGDYWRFHPRTQ RVDNPVPRRSTDWRGVPSEIDAAFQDAEGYAYFLRGHLYWKFDPVKVKVLEGFPRPVGPD FFDCAEPANTFR >ENSMUSP00000116279.1 pep:known chromosome:GRCm38:10:75923223:75926412:-1 gene:ENSMUSG00000000901.15 transcript:ENSMUST00000152222.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp11 description:matrix metallopeptidase 11 [Source:MGI Symbol;Acc:MGI:97008] XGAQYWVYDGEKPVLGPAPLSKLGLQGSPVHAALVWGPEKNKIYFFRGGDYWRFHPRTQR VDNPVPRRSTDWRGVPSEIDAAFQDAEGYAYFLRGHLYWKFDPVKVIQTSASWNSGQKVH SQPRRQLLPPRDTEPQRPHIPHSLAPGHFFLGPSS >ENSMUSP00000120800.1 pep:known chromosome:GRCm38:10:75923222:75923993:-1 gene:ENSMUSG00000000901.15 transcript:ENSMUST00000132869.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mmp11 description:matrix metallopeptidase 11 [Source:MGI Symbol;Acc:MGI:97008] TFR >ENSMUSP00000096829.3 pep:known chromosome:GRCm38:2:152226839:152281852:1 gene:ENSMUSG00000074698.10 transcript:ENSMUST00000099224.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2a1 description:casein kinase 2, alpha 1 polypeptide [Source:MGI Symbol;Acc:MGI:88543] MSGPVPSRARVYTDVNTHRPREYWDYESHVVEWGNQDDYQLVRKLGRGKYSEVFEAINIT NNEKVVVKILKPVKKKKIKREIKILENLRGGPNIITLADIVKDPVSRTPALVFEHVNNTD FKQLYQTLTDYDIRFYMYEILKALDYCHSMGIMHRDVKPHNVMIDHEHRKLRLIDWGLAE FYHPGQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSLGCMLASMIFRKEPFFHGHDNYD QLVRIAKVLGTEDLYDYIDKYNIELDPRFNDILGRHSRKRWERFVHSENQHLVSPEALDF LDKLLRYDHQSRLTAREAMEHPYFYTVVKDQARMSSTSMAGGSTPVSSANMMSGISSVPT PSPLGPLAGSPVIAAANSLGIPVPAAAGAQQ >ENSMUSP00000122505.1 pep:known chromosome:GRCm38:2:152226896:152260829:1 gene:ENSMUSG00000074698.10 transcript:ENSMUST00000124791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2a1 description:casein kinase 2, alpha 1 polypeptide [Source:MGI Symbol;Acc:MGI:88543] MSGPVPSRARVYTDVNTHRPREYWDYESHVVEWGNQDDYQLVRKLGRGKYSEVFEAINIT NNEKVVVKILKSRTPALVFEHVNNTDFKQLYQTLTDYDIRFYMYEILKALDYCHSMGIMH RDVKPHNVMID >ENSMUSP00000116853.1 pep:known chromosome:GRCm38:2:152226908:152257396:1 gene:ENSMUSG00000074698.10 transcript:ENSMUST00000133119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2a1 description:casein kinase 2, alpha 1 polypeptide [Source:MGI Symbol;Acc:MGI:88543] MSGPVPSRARVYTDVNTHRPREYWDYESHVVEWGNQDDYQLVRKLGRGKYSEVFEAINIT NNEKVVVKILKPVKKKK >ENSMUSP00000044815.5 pep:known chromosome:GRCm38:1:161816114:161876682:-1 gene:ENSMUSG00000040297.12 transcript:ENSMUST00000048377.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suco description:SUN domain containing ossification factor [Source:MGI Symbol;Acc:MGI:2138346] MKKYRRALALVSCLSLCSLVWLPSWHVCCKESSSASTSYYSQDDNCAIGSEDTQFQKKNE REEPSNAELSGKSNSYLTISPEGNKIKDDYTVDVQDLETTKLSLPVVEALPTVDLHEESS SVVVGSETIENSSSSSTSERTPVSELDEVEKSGTLSIAKPGEVEQPEADCDAGEAPDADA PVEQPAFVSPPESLVGQHIENVSSSHGKEKVTKSEFESKVSVSEQDGGDPKSALNTSDTL KNESSDYTKPGETDPTSVTSPKDPEDIPTFDEWKKKVMEVEKEKSLSTGQSLHPSSNGGP HATKKVQKNRNNYASVECGAKILAANPEAKSTSAILIENMDLYMLNPCSTKIWFVIELCE PIQVKQFDIANYELFSSTPKDFLVSISDRYPTNKWIKLGTFHGRDERNVQSFPLDEQMYA KYVKMFIKYIKVELLSHFGSEHFCPLSLIRVFGTSMVEEYEEIADSQYQSERQELFDEDY DYPLDYNTVEDKSSKNLLGSATNAILNMVNIAANILGAKTEDLTEGNKSISENATATTEP KMTESTRVSTPVPSPEYVIKEVHTHDREPSTSDPPKESPIVQLVQEEEEEASPSTVTLLG SGEQEDESSSWFESETHILCSELTSICCISSFSEYIYKWCSVRIALYRQRSRTVSKGKDF VPPQPSLLLPVESVEVSVPQPPSGDVDSENMEREAETVDLDDLSSVHQGHLINHTVDTIE LEPSYPQTLSQSLLLDVTPEMNSLSKVEGSESVKSEGGYIPSQLMTQESSVEFDDKTEKK TESFSSAEKLSVIYETSKVNEVMDNTVKEDILSTEVVTKFPETVVPPPMNTATVPEGESV ETKPSIADTLKHTVTPVMDPSLPEVKEDEQSPEDALLRGLQRTATDFYAELQNSTDLGYG NGNLVHGSNQKESVFMRLNNRIKALEVNMSLSGRYLEELSQRYRKQMEEMQKAFNKTIVK LQNTSRIAEEQDQRQTEAIHLLQAQLTNMTQLVSNLSATVAELKREVSDRQSYLVMSLVL CVVLGLMLCMQRCRTTSQFDGDYISKLPKSNQYPSPKRCFSSYDDMNLKRRTSFPLIRSK SLQFTGKEVDPNDLYIVEPLKFSPEKKKKRCKYKTEKIETIKPADPLHPIANGDIKGRKP FTNQRDFSNMGEVYHSSYKGPPSEGSSETSSQSEESYFCGISACTSLCNGQTQKTKTEKR ALKRRRSKVQDQGKLIKALIQTKSGSLPSLHDIIKGNKEITVGAFGVTAVSGHI >ENSMUSP00000141896.1 pep:known chromosome:GRCm38:1:161844848:161853743:-1 gene:ENSMUSG00000040297.12 transcript:ENSMUST00000192570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suco description:SUN domain containing ossification factor [Source:MGI Symbol;Acc:MGI:2138346] XKVMEVEKEKSLSTGQSLHPSSNGGPHATKKVQKNRNNYASVECGAKILAANPEAKSTSA ILIENMDLYMLNPCSTKIWFVIELCEPIQVKQFDIANYELFSSTPKDFLVSISDRYPTNK WIKLGTFHGRDERNVQSFPLDEQMYAKYVKVELLSHFGSEHFCPLSLIRVFGTSMVEEY >ENSMUSP00000141627.1 pep:known chromosome:GRCm38:1:161852829:161857452:-1 gene:ENSMUSG00000040297.12 transcript:ENSMUST00000191975.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suco description:SUN domain containing ossification factor [Source:MGI Symbol;Acc:MGI:2138346] XAKPGEVEQPEADCDAGEAPDADAPVEQPAFVSPPESLVGQHIENVSSSHGKEKVTKSEF ESKVSVSEQDGGDPKSALNTSDTLKNESSDYTKPGETDPTSVTSPKDPEDIPTFDEWKKK VMEVEKEKSQSLHPSSNGGPHATKKVQKNRNNYASVECGAKILA >ENSMUSP00000117497.1 pep:known chromosome:GRCm38:10:80261486:80281005:1 gene:ENSMUSG00000069565.12 transcript:ENSMUST00000156935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dazap1 description:DAZ associated protein 1 [Source:MGI Symbol;Acc:MGI:1917498] MGKLFVGGLDWSTTQETLRSYFSQYGEVVDCVIMKDKTTNQSRGFGFVKFKDPNCVGTVL ASRPHTLDGRNIDPKPCTPRGMQPERTRPKEGWKGPRSDSSKSNKIFVGGIPHNCGETEL REYFKKFGVVTEVVMIYDAEKQRPRGFGFITFEDEQSVDQAVNMHFHDIMG >ENSMUSP00000101001.1 pep:known chromosome:GRCm38:10:80264984:80288408:1 gene:ENSMUSG00000069565.12 transcript:ENSMUST00000105362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dazap1 description:DAZ associated protein 1 [Source:MGI Symbol;Acc:MGI:1917498] MNSAGADEIGKLFVGGLDWSTTQETLRSYFSQYGEVVDCVIMKDKTTNQSRGFGFVKFKD PNCVGTVLASRPHTLDGRNIDPKPCTPRGMQPERTRPKEGWKGPRSDSSKSNKIFVGGIP HNCGETELREYFKKFGVVTEVVMIYDAEKQRPRGFGFITFEDEQSVDQAVNMHFHDIMGK KVEVKRAEPRDSKNQAPGQPGASQWGSRVAPSAANGWAGQPPPTWQQGYGPQGMWVPAGQ AIGGYGPPPAGRGAPPPPPPFTSYIVSTPPGGFPPPQGFPQGYGAPPQFSFGYGPPPPPP DQFAPPGVPPPPATPGAAPLAFPPPPSQAAPDMSKPPTAQPDFPYGQYGYGQDLSGFGQG FSDPSQQPPSYGGPSVPGSGGPPAGGSGFGRGQNHNVQGFHPYRR >ENSMUSP00000101000.3 pep:known chromosome:GRCm38:10:80265016:80287893:1 gene:ENSMUSG00000069565.12 transcript:ENSMUST00000105361.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dazap1 description:DAZ associated protein 1 [Source:MGI Symbol;Acc:MGI:1917498] MNSAGADEIGKLFVGGLDWSTTQETLRSYFSQYGEVVDCVIMKDKTTNQSRGFGFVKFKD PNCVGTVLASRPHTLDGRNIDPKPCTPRGMQPERTRPKEGWKGPRSDSSKSNKIFVGGIP HNCGETELREYFKKFGVVTEVVMIYDAEKQRPRGFGFITFEDEQSVDQAVNMHFHDIMGK KVEVKRAEPRDSKNQAPGQPGASQWGSRVAPSAANGWAGQPPPTWQQGYGPQGMWVPAGQ AIGGYGPPPAGRGAPPPPPPFTSYIVSTPPGGFPPPQGFPQGYGAPPQFSFGYGPPPPPP DQFAPPGVPPPPATPGAAPLAFPPPPSQAAPDMSKPPTAQPDFPYGQYAGYGQDLSGFGQ GFSDPSQQPPSYGGPSVPGSGGPPAGGSGFGRGQNHNVQGFHPYRR >ENSMUSP00000089958.5 pep:known chromosome:GRCm38:10:80265074:80288408:1 gene:ENSMUSG00000069565.12 transcript:ENSMUST00000092305.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dazap1 description:DAZ associated protein 1 [Source:MGI Symbol;Acc:MGI:1917498] MNSAGADEIGKLFVGGLDWSTTQETLRSYFSQYGEVVDCVIMKDKTTNQSRGFGFVKFKD PNCVGTVLASRPHTLDGRNIDPKPCTPRGMQPERTRPKEGWQKGPRSDSSKSNKIFVGGI PHNCGETELREYFKKFGVVTEVVMIYDAEKQRPRGFGFITFEDEQSVDQAVNMHFHDIMG KKVEVKRAEPRDSKNQAPGQPGASQWGSRVAPSAANGWAGQPPPTWQQGYGPQGMWVPAG QAIGGYGPPPAGRGAPPPPPPFTSYIVSTPPGGFPPPQGFPQGYGAPPQFSFGYGPPPPP PDQFAPPGVPPPPATPGAAPLAFPPPPSQAAPDMSKPPTAQPDFPYGQYGYGQDLSGFGQ GFSDPSQQPPSYGGPSVPGSGGPPAGGSGFGRGQNHNVQGFHPYRR >ENSMUSP00000099374.1 pep:known chromosome:GRCm38:2:165351297:165368729:-1 gene:ENSMUSG00000056824.15 transcript:ENSMUST00000103085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp663 description:zinc finger protein 663 [Source:MGI Symbol;Acc:MGI:2685854] MFKSQGPVLFEDVSVVFTQKEWQLLDAAQRHLYREVMLETYRHLRAVGHNVTKPELICKL EQGESPWEPSGHSLSEVQRADTMTTNEENGGRYLSQIFISDETLTKRRSKDLKEIVHLAT DPEASRAIRQKCCSMEASLENITGLVTGNRNHTTKKLDGLGGSRSPDERSHIGCKNCERD PKKKSHSPMEGPTQQQKTSRLEQLLEYQNCGKTSHTKAASVIHQTVHAGQDSKYTQATAH KIRFQSFLRTLRERKKQEASQHGKALCVKSKHEPAGTPVREKLCGRGMLEKPYKEKLDLC RGQRRQPGEPECSVSENAISSSPHLQNEELHVGEKICASGNCGGTLPGKPRLSQNRKTCT AEKPKCADTQTALKTSHQILHPRLSTREKTPKGDECGRSPLLSRKELRCSQYGRPSLGEK PECEGNEKPDHSENQSLCKEQKAHEGCKCEEGCPKTASLPQHQSSHTEKKTYACNECNKS FLVKSHLTEHQRTHTGEKPYECKECGKSFCQKYALTVHQRTHTGEKPYKCNECGKTFCVK SNLTQHQRTHTGDKPYKCSECWRSFCVKSNLVVHQRTHTGEKPYRCLECGKTFYEKSALT KHRRIHTGEKPYECEECKKSFSQRSALTKHQRKTHKKKTPTGSPRGQKSEPTSEAH >ENSMUSP00000115254.1 pep:known chromosome:GRCm38:2:165354044:165368723:-1 gene:ENSMUSG00000056824.15 transcript:ENSMUST00000141140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp663 description:zinc finger protein 663 [Source:MGI Symbol;Acc:MGI:2685854] MFKSQGPVLFEDVSVVFTQKEWQLLDAAQRHLYREVMLETYRHLRAVGHNVTKPELICKL EQGESPWEPSGHSLSEVQRADTMTT >ENSMUSP00000072813.7 pep:known chromosome:GRCm38:2:165351298:165360136:-1 gene:ENSMUSG00000056824.15 transcript:ENSMUST00000073062.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp663 description:zinc finger protein 663 [Source:MGI Symbol;Acc:MGI:2685854] MFKSQGPVLFEDVSVVFTQKEWQLLDAAQRHLYREVMLETYRHLRAVGHNVTKPELICKL EQGESPWEPSGHSLSEVQRADTMTTNEENGGRYLSQIFISDETLTKRRSKDLKEIVHLAT DPEASRAIRQKCCSMEASLENITGLVTGNRNHTTKKLDGLGGSRSPDERSHIGCKNCERD PKKKSHSPMEGPTQQQKTSRLEQLLEYQNCGKTSHTKAASVIHQTVHAGQDSKYTQATAH KIRFQSFLRTLRERKKQEASQHGKALCVKSKHEPAGTPVREKLCGRGMLEKPYKEKLDLC RGQRRQPGEPECSVSENAISSSPHLQNEELHVGEKICASGNCGGTLPGKPRLSQNRKTCT AEKPKCADTQTALKTSHQILHPRLSTREKTPKGDECGRSPLLSRKELRCSQYGRPSLGEK PECEGNEKPDHSENQSLCKEQKAHEGCKCEEGCPKTASLPQHQSSHTEKKTYACNECNKS FLVKSHLTEHQRTHTGEKPYECKECGKSFCQKYALTVHQRTHTGEKPYKCNECGKTFCVK SNLTQHQRTHTGDKPYKCSECWRSFCVKSNLVVHQRTHTGEKPYRCLECGKTFYEKSALT KHRRIHTGEKPYECEECKKSFSQRSALTKHQRKTHKKKTPTGSPRGQKSEPTSEAH >ENSMUSP00000119078.1 pep:known chromosome:GRCm38:8:48227682:48367363:-1 gene:ENSMUSG00000031561.15 transcript:ENSMUST00000129821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm3 description:teneurin transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:1345183] XNSDTVPTNTVSLPSGDNGKLGGFTHENNTIDSGELDIGRRAIQEVPPGIFWRSQLFIDQ PQFLKFNISLQKDALIGVYGRKGLPPSHTQYDFVELLDGSRLIAREQRNLVESERAGRQA RSVSLHEAGFIQYLDSGIWHLAFYNDGKNPEQVSFNTIVIESVVECPRNCHGNGECVSGT CHCFPGFLGPDCSRAACPVLCSGNGQYSKGRCLCFSGWKGTECDVPTTQCIDPQCGGRGI CIMGSCACNSGYKGENCEEADCLDPGCSNHGVCIHGECHCNPGWGGSNCEILKTMCADQC SGHGTYLQESGSCTCDPNWTGPDCSNEICSVDCGSHGVCMGGSCRCEEGWTGPACNQRAC HPRCAEHGTCKDGKCECSQGWNGEHCTIEGCPGLCNSNGRCTLDQNGWHCVCQPGWRGAG CDVAMETLCTDSKDNEGDGLIDCMDPDCCLQSSCQNQPYCRGLPDPQDIISQSLQTPSQQ AAKSFYDRISFLIGSDSTHVLPGESPFNKSLASVIRGQVLTADGTPLIGVNVSFLHYSEY GYTITRQDGMFDLVANGGASLTLVFERSPFLTQYHTVWIPWNVFYVMDTLVMKKEENDIP SCDLSGFVRPSPIIVSSPLSTFFRSSPEDSPIIPETQVLHEETTIPGTDLKLSYLSSRAA GYKSVLKITMTQAVIPFNLMKVHLMVAVVGRLFQKWFPASPNLAYTFIWDKTDAYNQKVY GLSEAVVSVGYEYESCLDLTLWEKRTAVLQGYELDASNMGGWTLDKHHVLDVQNGILYKG NGENQFISQQPPVVSSIMGNGRRRSISCPSCNGQADGNKLLAPVALACGIDGSLYVGDFN YVRRIFPSGNVTSVLELRNKDFRHSSNPAHRYYLATDPVTGDLYVSDTNTRRIYRPKSLT GAKDLTKNAEVVAGTGEQCLPFDEARCGDGGKAVEATLMSPKGMAIDKNGLIYFVDGTMI RKVDQNGIISTLLGSNDLTSARPLTCDTSMHISQVRLEWPTDLAINPMDNSIYVLDNNVV LQITENRQVRIAAGRPMHCQVPGVEYPVGKHAVQTTLESATAIAVSYSGVLYITETDEKK INRIRQVTTDGEISLVAGIPSECDCKNDANCDCYQSGDGYAKDAKLNAPSSLAASPDGTL YIADLGNIRIRAVSKNKPLLNSMNFYEVASPTDQELYIFDINGTHQYTVSLVTGDYLYNF SYSNDNDVTAVTDSNGNTLRIRRDPNRMPVRVVSPDNQVIWLTIGTNGCLKSMTAQGLEL VLFTYHGNSGLLATKSDETGWTTFFDYDSEGRLTNVTFPTGVVTNLHGDMDKAITVDIES SSREEDVSITSNLSSIDSFYTMVQDQLRNSYQIGYDGSLRIFYASGLDSHYQTEPHVLAG TANPTVAKRNMTLPGENGQNLVEWRFRKEQAQGKVNVFGRKLRVNGRNLLSVDFDRTTKT EKIYDDHRKFLLRIAYDTSGHPTLWLPSSKLMAVNVTYSSTGQIASIQRGTTSEKVDYDS QGRIVSRVFADGKTWSYTYLEKSMVLLLHSQRQYIFEYDMWDRLSAITMPSVARHTMQTI RSIGYYRNIYNPPESNASIITDYNEEGLLLQTAFLGTSRRVLFKYRRQTRLSEILYDSTR VSFTYDETAGVLKTVNLQSDGFICTIRYRQIGPLIDRQIFRFSEDGMVNARFDYSYDNSF RVTSMQGVINETPLPIDLYQFDDISGKVEQFGKFGVIYYDINQIISTAVMTYTKHFDAHG RIKEIQYEIFRSLMYWITIQYDNMGRVTKREIKIGPFANTTKYAYEYDVDGQLQTVYLNE KIMWRYNYDLNGNLHLLNPSSSARLTPLRYDLRDRITRLGDVQYRLDEDGFLRQRGTEIF EYSSKGLLTRVYSKGSGWTVIYRYDGLGRRVSSKTSLGQHLQFFYADLTYPTRITHVYNH SSSEITSLYYDLQGHLFAMEISSGDEFYIASDNTGTPLAVFSSNGLMLKQIQYTAYGEIY FDSNVDFQLVIGFHGGLYDPLTKLIHFGERDYDILAGRWTTPDIEIWKRIGKDPAPFNLY MFRNNNPASKIHDVKDYITDVNSWLVTFGFHLHNAIPGFPVPKFDLTEPSYELVKSQQWE DVPPIFGVQQQVARQAKAFLSLGKMAEVQVSRRKAGAEQSWLWFATVKSLIGKGVMLAVS QGRVQTNVLNIANEDCIKVAAVLNNAFYLENLHFTIEGKDTHYFIKTTTPESDLGTLRLT SGRKALENGINVTVSQSTTVVNGRTRRFADVEMQFGALALHVRYGMTLDEEKARILEQAR QRALARAWAREQQRVRDGEEGARLWTEGEKRQLLSAGKVQGYDGYYVLSVEQYPELADSA NNIQFLRQSEIGKR >ENSMUSP00000140141.1 pep:known chromosome:GRCm38:8:48227682:48844026:-1 gene:ENSMUSG00000031561.15 transcript:ENSMUST00000190840.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm3 description:teneurin transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:1345183] MDVKERRPYCSLTKSRREKERRYTNSSADNEECRVPTQKSYSSSETLKAFDHDSSRLLYG NRVKDLVHREADEYTRQGQNFTLRQLGVCESATRRGVAFCAEMGLPHRGYSISAGSDADT ENEAVMSPEHAMRLWGRGVKSGRSSCLSSRSNSALTLTDTEHENRSDSESEQPSNNPGQP TLQPLPPSHKQHPAQHHPSITSLNRNSLTNRRNQSPAPPAALPAELQTTPESVQLQDSWV LGSNVPLESRHFLFKTGTGTTPLFSTATPGYTMASGSVYSPPTRPLPRNTLSRSAFKFKK SSKYCSWRCTALCAVGVSVLLAILLSYFIAMHLFGLNWHLQQTENDTFENGKVNSDTVPT NTVSLPSGDNGKLGGFTHENNTIDSGELDIGRRAIQEVPPGIFWRSQLFIDQPQFLKFNI SLQKDALIGVYGRKGLPPSHTQYDFVELLDGSRLIAREQRNLVESERAGRQARSVSLHEA GFIQYLDSGIWHLAFYNDGKNPEQVSFNTIVIESVVECPRNCHGNGECVSGTCHCFPGFL GPDCSRAACPVLCSGNGQYSKGRCLCFSGWKGTECDVPTTQCIDPQCGGRGICIMGSCAC NSGYKGENCEEADCLDPGCSNHGVCIHGECHCNPGWGGSNCEILKTMCADQCSGHGTYLQ ESGSCTCDPNWTGPDCSNEICSVDCGSHGVCMGGSCRCEEGWTGPACNQRACHPRCAEHG TCKDGKCECSQGWNGEHCTIAHYLDKIVKEGCPGLCNSNGRCTLDQNGWHCVCQPGWRGA GCDVAMETLCTDSKDNEGDGLIDCMDPDCCLQSSCQNQPYCRGLPDPQDIISQSLQTPSQ QAAKSFYDRISFLIGSDSTHVLPGESPFNKSLASVIRGQVLTADGTPLIGVNVSFLHYSE YGYTITRQDGMFDLVANGGASLTLVFERSPFLTQYHTVWIPWNVFYVMDTLVMKKEENDI PSCDLSGFVRPSPIIVSSPLSTFFRSSPEDSPIIPETQVLHEETTIPGTDLKLSYLSSRA AGYKSVLKITMTQAVIPFNLMKVHLMVAVVGRLFQKWFPASPNLAYTFIWDKTDAYNQKV YGLSEAVVSVGYEYESCLDLTLWEKRTAVLQGYELDASNMGGWTLDKHHVLDVQNGILYK GNGENQFISQQPPVVSSIMGNGRRRSISCPSCNGQADGNKLLAPVALACGIDGSLYVGDF NYVRRIFPSGNVTSVLELRNKDFRHSSNPAHRYYLATDPVTGDLYVSDTNTRRIYRPKSL TGAKDLTKNAEVVAGTGEQCLPFDEARCGDGGKAVEATLMSPKGMAIDKNGLIYFVDGTM IRKVDQNGIISTLLGSNDLTSARPLTCDTSMHISQVRLEWPTDLAINPMDNSIYVLDNNV VLQITENRQVRIAAGRPMHCQVPGVEYPVGKHAVQTTLESATAIAVSYSGVLYITETDEK KINRIRQVTTDGEISLVAGIPSECDCKNDANCDCYQSGDGYAKDAKLNAPSSLAASPDGT LYIADLGNIRIRAVSKNKPLLNSMNFYEVASPTDQELYIFDINGTHQYTVSLVTGDYLYN FSYSNDNDVTAVTDSNGNTLRIRRDPNRMPVRVVSPDNQVIWLTIGTNGCLKSMTAQGLE LVLFTYHGNSGLLATKSDETGWTTFFDYDSEGRLTNVTFPTGVVTNLHGDMDKAITVDIE SSSREEDVSITSNLSSIDSFYTMVQDQLRNSYQIGYDGSLRIFYASGLDSHYQTEPHVLA GTANPTVAKRNMTLPGENGQNLVEWRFRKEQAQGKVNVFGRKLRVNGRNLLSVDFDRTTK TEKIYDDHRKFLLRIAYDTSGHPTLWLPSSKLMAVNVTYSSTGQIASIQRGTTSEKVDYD SQGRIVSRVFADGKTWSYTYLEKSMVLLLHSQRQYIFEYDMWDRLSAITMPSVARHTMQT IRSIGYYRNIYNPPESNASIITDYNEEGLLLQTAFLGTSRRVLFKYRRQTRLSEILYDST RVSFTYDETAGVLKTVNLQSDGFICTIRYRQIGPLIDRQIFRFSEDGMVNARFDYSYDNS FRVTSMQGVINETPLPIDLYQFDDISGKVEQFGKFGVIYYDINQIISTAVMTYTKHFDAH GRIKEIQYEIFRSLMYWITIQYDNMGRVTKREIKIGPFANTTKYAYEYDVDGQLQTVYLN EKIMWRYNYDLNGNLHLLNPSSSARLTPLRYDLRDRITRLGDVQYRLDEDGFLRQRGTEI FEYSSKGLLTRVYSKGSGWTVIYRYDGLGRRVSSKTSLGQHLQFFYADLTYPTRITHVYN HSSSEITSLYYDLQGHLFAMEISSGDEFYIASDNTGTPLAVFSSNGLMLKQIQYTAYGEI YFDSNVDFQLVIGFHGGLYDPLTKLIHFGERDYDILAGRWTTPDIEIWKRIGKDPAPFNL YMFRNNNPASKIHDVKDYITDVNSWLVTFGFHLHNAIPGFPVPKFDLTEPSYELVKSQQW EDVPPIFGVQQQVARQAKAFLSLGKMAEVQVSRRKAGAEQSWLWFATVKSLIGKGVMLAV SQGRVQTNVLNIANEDCIKVAAVLNNAFYLENLHFTIEGKDTHYFIKTTTPESDLGTLRL TSGRKALENGINVTVSQSTTVVNGRTRRFADVEMQFGALALHVRYGMTLDEEKARILEQA RQRALARAWAREQQRVRDGEEGARLWTEGEKRQLLSAGKVQGYDGYYVLSVEQYPELADS ANNIQFLRQSEIGKR >ENSMUSP00000105975.1 pep:known chromosome:GRCm38:8:48334753:48555693:-1 gene:ENSMUSG00000031561.15 transcript:ENSMUST00000110346.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm3 description:teneurin transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:1345183] MASGSVYSPPTRPLPRNTLSRSAFKFKKSSKYCSWRCTALCAVGVSVLLAILLSYFIAMH LFGLNWHLQQTENDTFENGKVNSDTVPTNTVSLPSGDNGKLGGFTHENNTIDSGELDIGR RAIQEVPPGIFWRSQLFIDQPQFLKFNISLQKDALIGVYGRKGLPPSHTQYDFVELLDGS RLIAREQRNLVESERAGRQARSVSLHEAGFIQYLDSGIWHLAFYNDGKNPEQVSFNTIVI ESVVECPRNCHGNGECVSGTCHCFPGFLGPDCSRAACPVLCSGNGQYSKGRCLCFSGWKG TECDVPTTQCIDPQCGGRGICIMGSCACNSGYKGENCEEADCLDPGCSNHGVCIHGECHC NPGWGGSNCEILKTMCADQCSGHGTYLQESGSCTCDPNWTGPDCSNEICSVDCGSHGVCM GGSCRCEEGWTGPACNQRACHPRCAEHGTCKDGKCECSQGWNGEHCTIGRPTRLLASYLL HFLFCAGTKPRPNSP >ENSMUSP00000105974.1 pep:known chromosome:GRCm38:8:48395436:48555846:-1 gene:ENSMUSG00000031561.15 transcript:ENSMUST00000110345.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm3 description:teneurin transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:1345183] MASGSVYSPPTRPLPRNTLSRSAFKFKKSSKYCSWRCTALCAVGVSVLLAILLSYFI >ENSMUSP00000105972.1 pep:known chromosome:GRCm38:8:48395436:48556039:-1 gene:ENSMUSG00000031561.15 transcript:ENSMUST00000110343.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm3 description:teneurin transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:1345183] MASGSVYSPPTRPLPRNTLSRSAFKFKKSSKYCSWRCTALCAVGVSVLLAILLSYFI >ENSMUSP00000033965.6 pep:known chromosome:GRCm38:8:48227705:48674641:-1 gene:ENSMUSG00000031561.15 transcript:ENSMUST00000033965.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tenm3 description:teneurin transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:1345183] MDVKERRPYCSLTKSRREKERRYTNSSADNEECRVPTQKSYSSSETLKAFDHDSSRLLYG NRVKDLVHREADEYTRQGQNFTLRQLGVCESATRRGVAFCAEMGLPHRGYSISAGSDADT ENEAVMSPEHAMRLWGRGVKSGRSSCLSSRSNSALTLTDTEHENRSDSESEQPSNNPGQP TLQPLPPSHKQHPAQHHPSITSLNRNSLTNRRNQSPAPPAALPAELQTTPESVQLQDSWV LGSNVPLESRHFLFKTGTGTTPLFSTATPGYTMASGSVYSPPTRPLPRNTLSRSAFKFKK SSKYCSWRCTALCAVGVSVLLAILLSYFIAMHLFGLNWHLQQTENDTFENGKVNSDTVPT NTVSLPSGDNGKLGGFTHENNTIDSGELDIGRRAIQEVPPGIFWRSQLFIDQPQFLKFNI SLQKDALIGVYGRKGLPPSHTQYDFVELLDGSRLIAREQRNLVESERAGRQARSVSLHEA GFIQYLDSGIWHLAFYNDGKNPEQVSFNTIVIESVVECPRNCHGNGECVSGTCHCFPGFL GPDCSRAACPVLCSGNGQYSKGRCLCFSGWKGTECDVPTTQCIDPQCGGRGICIMGSCAC NSGYKGENCEEADCLDPGCSNHGVCIHGECHCNPGWGGSNCEILKTMCADQCSGHGTYLQ ESGSCTCDPNWTGPDCSNEICSVDCGSHGVCMGGSCRCEEGWTGPACNQRACHPRCAEHG TCKDGKCECSQGWNGEHCTIAHYLDKIVKEGCPGLCNSNGRCTLDQNGWHCVCQPGWRGA GCDVAMETLCTDSKDNEGDGLIDCMDPDCCLQSSCQNQPYCRGLPDPQDIISQSLQTPSQ QAAKSFYDRISFLIGSDSTHVLPGESPFNKSLASVIRGQVLTADGTPLIGVNVSFLHYSE YGYTITRQDGMFDLVANGGASLTLVFERSPFLTQYHTVWIPWNVFYVMDTLVMKKEENDI PSCDLSGFVRPSPIIVSSPLSTFFRSSPEDSPIIPETQVLHEETTIPGTDLKLSYLSSRA AGYKSVLKITMTQAVIPFNLMKVHLMVAVVGRLFQKWFPASPNLAYTFIWDKTDAYNQKV YGLSEAVVSVGYEYESCLDLTLWEKRTAVLQGYELDASNMGGWTLDKHHVLDVQNGILYK GNGENQFISQQPPVVSSIMGNGRRRSISCPSCNGQADGNKLLAPVALACGIDGSLYVGDF NYVRRIFPSGNVTSVLELRNKDFRHSSNPAHRYYLATDPVTGDLYVSDTNTRRIYRPKSL TGAKDLTKNAEVVAGTGEQCLPFDEARCGDGGKAVEATLMSPKGMAIDKNGLIYFVDGTM IRKVDQNGIISTLLGSNDLTSARPLTCDTSMHISQVRLEWPTDLAINPMDNSIYVLDNNV VLQITENRQVRIAAGRPMHCQVPGVEYPVGKHAVQTTLESATAIAVSYSGVLYITETDEK KINRIRQVTTDGEISLVAGIPSECDCKNDANCDCYQSGDGYAKDAKLNAPSSLAASPDGT LYIADLGNIRIRAVSKNKPLLNSMNFYEVASPTDQELYIFDINGTHQYTVSLVTGDYLYN FSYSNDNDVTAVTDSNGNTLRIRRDPNRMPVRVVSPDNQVIWLTIGTNGCLKSMTAQGLE LVLFTYHGNSGLLATKSDETGWTTFFDYDSEGRLTNVTFPTGVVTNLHGDMDKAITVDIE SSSREEDVSITSNLSSIDSFYTMVQDQLRNSYQIGYDGSLRIFYASGLDSHYQTEPHVLA GTANPTVAKRNMTLPGENGQNLVEWRFRKEQAQGKVNVFGRKLRVNGRNLLSVDFDRTTK TEKIYDDHRKFLLRIAYDTSGHPTLWLPSSKLMAVNVTYSSTGQIASIQRGTTSEKVDYD SQGRIVSRVFADGKTWSYTYLEKSMVLLLHSQRQYIFEYDMWDRLSAITMPSVARHTMQT IRSIGYYRNIYNPPESNASIITDYNEEGLLLQTAFLGTSRRVLFKYRRQTRLSEILYDST RVSFTYDETAGVLKTVNLQSDGFICTIRYRQIGPLIDRQIFRFSEDGMVNARFDYSYDNS FRVTSMQGVINETPLPIDLYQFDDISGKVEQFGKFGVIYYDINQIISTAVMTYTKHFDAH GRIKEIQYEIFRSLMYWITIQYDNMGRVTKREIKIGPFANTTKYAYEYDVDGQLQTVYLN EKIMWRYNYDLNGNLHLLNPSSSARLTPLRYDLRDRITRLGDVQYRLDEDGFLRQRGTEI FEYSSKGLLTRVYSKGSGWTVIYRYDGLGRRVSSKTSLGQHLQFFYADLTYPTRITHVYN HSSSEITSLYYDLQGHLFAMEISSGDEFYIASDNTGTPLAVFSSNGLMLKQIQYTAYGEI YFDSNVDFQLVIGFHGGLYDPLTKLIHFGERDYDILAGRWTTPDIEIWKRIGKDPAPFNL YMFRNNNPASKIHDVKDYITDVNSWLVTFGFHLHNAIPGFPVPKFDLTEPSYELVKSQQW EDVPPIFGVQQQVARQAKAFLSLGKMAEVQVSRRKAGAEQSWLWFATVKSLIGKGVMLAV SQGRVQTNVLNIANEDCIKVAAVLNNAFYLENLHFTIEGKDTHYFIKTTTPESDLGTLRL TSGRKALENGINVTVSQSTTVVNGRTRRFADVEMQFGALALHVRYGMTLDEEKARILEQA RQRALARAWAREQQRVRDGEEGARLWTEGEKRQLLSAGKVQGYDGYYVLSVEQYPELADS ANNIQFLRQSEIGKR >ENSMUSP00000099894.3 pep:known chromosome:GRCm38:4:81278505:81442807:-1 gene:ENSMUSG00000028402.17 transcript:ENSMUST00000102830.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdz description:multiple PDZ domain protein [Source:MGI Symbol;Acc:MGI:1343489] MLETIDKNRALQAAERLQSKLKERGDVANEDKLSLLKSVLQSPLFSQILNLQTSLQQLKD QVNIATLATAAADHAHTPQFSSAVISNLQSESLLLSPNHGNLEALPGPGAPAVMDGKPTC DELDQLIKNMAQGRHVEIFELLKPPCGGLGFSVVGLRSENRGELGIFVQEIQEGSVAHRD GRLKETDQILAINGQVLDQTITHQQAISILQKAKDTVQLVIARGSLPPVSSPRISRSPSA ASTISAHSNPMHWQHVETIELVNDGSGLGFGIIGGKATGVIVKTILPGGVADQHGRLCSG DHILKIGDTDLAGMSSEQVAQVLRQCGNRVKLMIARGAVEETPASSSLGITLSSSTSSTS EMRVDASTQKNDESETFDVELTKNVQGLGITIAGYIGDKKLEPSGIFVKSITKSSAVEHD GRIQIGDQIIAVDGTNLQGFTNQQAVEVLRHTGQTVRLTLMRKGASQEAELTSRGDTAKD VDLPAENCEKDEESLSLKRNTSILPIEEEGFPLLSAELEEAEDVQQEAALLTKWQRIMGI NYEIVVAHVSKFSENSGLGISLEATVGHHFIRSVLPEGPVGHSGKLFSGDELLEVNGINL LGENHQDVVNILKELPIDVTMVCCRRTVPPIALSEMDSLDINDLELTEKPHIDLGEFIGS SETEDPMLAMSDVDQNAEEIQTPLAMWEAGGQSIELEKGSRGLGFSILDYQDPIDPANTV IVIRSLVPGGIAEKDGRLFPGDRLMFVNDINLENSTLEEAVEALKGAPSGMVRIGVAKPL PLSPEEGYVSAKEDAFLCSPHACKESGLSDKALFRADLALIDTPDAESIAESRFESQFSP DNDSVYSTQASIFSLHDGTCSDGMNYGPSLPSSPPKDVTSSSEVVLGLHLSLEELYTQNL LQRQHAGSPPTDMRPAPTSGFPISDYTTTNAVEQKYECANPVAWPHSQLPSSLSTSELAP ALPAVAQKYLTDQSSLASDAESVNLQSMSQEAFERTVTIAKGSSSLGMTVSANKDGLGVI VRSIIHGGAISRDGRIAVGDCILSINEESTISLTNAQARAMLRRHSLIGPDIKITYVPAE HLEEFRVSFGQQAGGIMALDIFSSYTGRDIPELPEREEGEGEESELQNAAYSSWSQPRRV ELWREPSKSLGISIVGGRGMGSRLSNGEVMRGIFIKHVLEDSPAGKNGTLKPGDRIIEVD GMDLRDASHEQAVEAIRKAGNPVVFMVQSIINRPRKSPLPSLPHSLYPKYSFSSTNPFAD SLQLTTDQAPSQSESETEKPALCNVPPSSPSVFSEMGSDCAQPSATAVSEDEDKEDEFGY SWKNIQERYGSLTGQLHVIELEKGQSGLGLSLAGNKDRTRMSVFIVGIDPTGAAGRDGRL QIADELLEINGQILYGRSHQNASSIIKCAPSKVKIIFIRNADAVNQMAVCPGIAADSPSS TSDSPQNKEVEPCSTTSASAADLSSLTDVYQLELPKDQGGLGIAICEEDTINGVMIESLT EHGGAAKDGRLKPGDHILAVDDEVVAGCPVEKFISLLKTAKATVKLTVRAENPACPAVPS SAVTVSGERKDNSQTPAVPAPDLEPIPSTSRSSTPAVFASDPATCPIIPGCETTIEISKG QTGLGLSIVGGSDTLLGAIIIHEVYEEGAACKDGRLWAGDQILEVNGIDLRKATHDEAIN VLRQTPQRVRLTLYRDEAPYKEEDVCDTFTIELQKRPGKGLGLSIVGKRNDTGVFVSDIV KGGIADADGRLMQGDQILMVNGEDVRHATQEAVAALLKCSLGAVTLEVGRVKAAPFHSER RPSQSSQVSESSLSSFTPPLSGINTSESLESNSKKNALASEIQGLRTVEIKKGPADSLGL SIAGGVGSPLGDVPIFIAMMHPNGVAAQTQKLRVGDRIVTICGTSTDGMTHTQAVNLMKN ASGSIEVQVVAGGDVSVVTGHQQELANPCLAFTGLTSSSIFPDDLGPPQSKTITLDRGPD GLGFSIVGGYGSPHGDLPIYVKTVFAKGAAAEDGRLKRGDQIIAVNGQSLEGVTHEEAVA ILKRTKGTVTLMVLS >ENSMUSP00000118283.1 pep:known chromosome:GRCm38:4:81348630:81363115:-1 gene:ENSMUSG00000028402.17 transcript:ENSMUST00000141995.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdz description:multiple PDZ domain protein [Source:MGI Symbol;Acc:MGI:1343489] TMVCCRRTVPPIALSEMDSLDINDLELTEKPHIDLGEFIGSSETEDPMLAMSDVDQNAEE IQTPLAMWEAGGQSIELEKGSRGLGFSILDYQDPIDPANTVIVIRSLVPGGIAEKDGRLF PGDRLMFVNDINLENSTLEEAVEALKGAPSGMVRIGVAKPLPIDTPDAESIAESRFESQF SPDNDSVYSTQASIFSLHDGTCSDGMNYGPSLPSSPPKDVTSSSEVVLGLHLSLEELYTQ NLLQRQHAGSPPTDMRPAPTSGFPISDYTTTNAVEQKYECANPVAWPHSQLPSSLSTSEL APALPAVAQKYLTDQSSLASDAESVNLQSMSQEAFERTVTIAKGSSSL >ENSMUSP00000117434.1 pep:known chromosome:GRCm38:4:81320346:81333041:-1 gene:ENSMUSG00000028402.17 transcript:ENSMUST00000125288.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdz description:multiple PDZ domain protein [Source:MGI Symbol;Acc:MGI:1343489] AAYASHSRFSAAPADDRAPFYVITYVPAEHLEEFRVSFGQQAGGIMALDIFSSYTGRDIP ELPEREEGEGEESELQNAAYSSWSQPRRVELWREPSKSLGISIVGGRGMGSRLSNGEVMR GIFIKHVLEDSPAGKNGTLKPGDRIIE >ENSMUSP00000102879.1 pep:known chromosome:GRCm38:4:81278505:81335872:-1 gene:ENSMUSG00000028402.17 transcript:ENSMUST00000107258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdz description:multiple PDZ domain protein [Source:MGI Symbol;Acc:MGI:1343489] MTVSANKDGLGVIVRSIIHGGAISRDGRIAVGDCILSINEESTISLTNAQARAMLRRHSL IGPDIKITYVPAEHLEEFRVSFGQQAGGIMALDIFSSYTGRDIPELPEREEGEGEESELQ NAAYSSWSQPRRVELWREPSKSLGISIVGGRGMGSRLSNGEVMRGIFIKHVLEDSPAGKN GTLKPGDRIIEVDGMDLRDASHEQAVEAIRKAGNPVVFMVQSIINRPRAPSQSESETEKP ALCNVPPSSPSVFSEMGSDCAQPSATAVSEDEDKEDEFGYSWKNIQERYGSLTGQLHVIE LEKGQSGLGLSLAGNKDRTRMSVFIVGIDPTGAAGRDGRLQIADELLEINGQILYGRSHQ NASSIIKCAPSKVKIIFIRNADAVNQMAVCPGIAADSPSSTSDSPQNKEVEPCSTTSASA ADLSSLTDVYQLELPKDQGGLGIAICEEDTINGVMIESLTEHGGAAKDGRLKPGDHILAV DDEVVAGCPVEKFISLLKTAKATVKLTVRAENPACPAVPSSAVTVSGERKDNSQTPAVPA PDLEPIPSTSRSSTPAVFASDPATCPIIPGCETTIEISKGQTGLGLSIVGGSDTLLGAII IHEVYEEGAACKDGRLWAGDQILEVNGIDLRKATHDEAINVLRQTPQRVRLTLYRDEAPY KEEDVCDTFTIELQKRPGKGLGLSIVGKRNDTGVFVSDIVKGGIADADGRLMQGDQILMV NGEDVRHATQEAVAALLKCSLGAVTLEVGRVKAAPFHSERRPSQSSQVSESSLSSFTPPL SGINTSESLESNSKKNALASEIQGLRTVEIKKGPADSLGLSIAGGVGSPLGDVPIFIAMM HPNGVAAQTQKLRVGDRIVTICGTSTDGMTHTQAVNLMKNASGSIEVQVVAGGDVSVVTG HQQELANPCLAFTGLTSSSIFPDDLGPPQSKTITLDRGPDGLGFSIVGGYGSPHGDLPIY VKTVFAKGAAAEDGRLKRGDQIIAVNGQSLEGVTHEEAVAILKRTKGTVTLMVLS >ENSMUSP00000116830.1 pep:known chromosome:GRCm38:4:81279338:81295584:-1 gene:ENSMUSG00000028402.17 transcript:ENSMUST00000134726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdz description:multiple PDZ domain protein [Source:MGI Symbol;Acc:MGI:1343489] FISLLKTAKATVKLTVRAENPACPAVPSSAVTVSGERKDNSQTPAVPAPDLEPIPSTSRS STPAVFASDPATCPIIPGCETTIEISKGQTGLGLSIVGGSDTLLVNGIDLRKATHDEAIN VLRQTPQRVRLTLYRDEAPYKEEDVCDTFTIELQKRPGKGLGLSIVGKRNDTGVFVSDIV KGGIADADGRLMQGDQILMVNGEDVRHATQEAVAALLKCSLGAVTLEVGRVKAAPFHSER RPSQSSQVSESSLSSFTPPLSGINTSESLESNSKKNALASEIQGLRTVEIKKGPADSLGL SIAGGVGSPLGDVPIFIAMMHPNGVAAQTQKLRVGDRIVTICGTSTDGMTHTQAVNLMKN ASGSIEVQVVAGGDVSVVTGHQQELANPCLAFTGLTSSSIFPDDLGPPQSKTITLDRGPD GLGFSIVGGYGSPHGDLPIYVKTVFAKGAAAEDGRLKRGDQIIAVNGQSLEGVTHEEAVA ILKRTKGTVTLMVLS >ENSMUSP00000122308.1 pep:known chromosome:GRCm38:4:81320368:81335830:-1 gene:ENSMUSG00000028402.17 transcript:ENSMUST00000134572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdz description:multiple PDZ domain protein [Source:MGI Symbol;Acc:MGI:1343489] RSIIHGGAISRDGRIAVGDCILSINEESTISLTNAQARAMLRRHSLIGPDIKITYVPAEH LEEFRVSFGQQAGGIMALDIFSSYTGRVELWREPSKSLGISIVGGRGMGSRLSNGEVMRG IFIKHVLEDSPAGKNGTL >ENSMUSP00000122498.1 pep:known chromosome:GRCm38:4:81306800:81320523:-1 gene:ENSMUSG00000028402.17 transcript:ENSMUST00000131197.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdz description:multiple PDZ domain protein [Source:MGI Symbol;Acc:MGI:1343489] XVELWREPSKSLGISIVGGRGMGSRLSNGEVMRGIFIKHVLEDSPAGKNGTLKPGDRIIE APSQSESETEKPALCNVPPSSPSVFSEMGSDCAQPSATAVSEDEDKEDEFGYSWKNIQER YGSLTGQLHVIELEKGQSGLGLSLAGNKDRTRMSVFIVGIDPTGAAGRDGRLQIADELLE INGQILYGRSHQNASSIIKCAPSKVKIIFIRNADAVNQMAVCPGIAADSPSSTSDSPQNK E >ENSMUSP00000116767.1 pep:known chromosome:GRCm38:4:81283374:81292612:-1 gene:ENSMUSG00000028402.17 transcript:ENSMUST00000131547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdz description:multiple PDZ domain protein [Source:MGI Symbol;Acc:MGI:1343489] VNGIDLRKATHDEAINVLRQTPQRVRLTLYRDEAPYKEEDVCDTFTIELQKRPGKGLGLS IVGKRNDTGVFVSDIVKGGIADADGRLMQGDQILMVNGEDVRHATQEAVAALLKCSLGAV TLEVGRVKAAPFHSERRPSQSSQVSESSLSSFTPPLSGINTSESLESNSKKNALASEIQG LRTVEIKKVGDRIVTICGTSTDGMTHTQA >ENSMUSP00000102883.1 pep:known chromosome:GRCm38:4:81278500:81442805:-1 gene:ENSMUSG00000028402.17 transcript:ENSMUST00000107262.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpdz description:multiple PDZ domain protein [Source:MGI Symbol;Acc:MGI:1343489] MLETIDKNRALQAAERLQSKLKERGDVANEDKLSLLKSVLQSPLFSQILNLQTSLQQLKD QVNIATLATAAADHAHTPQFSSAVISNLQSESLLLSPNHGNLEALPGPGAPAVMDGKPTC DELDQLIKNMAQGRHVEIFELLKPPCGGLGFSVVGLRSENRGELGIFVQEIQEGSVAHRD GRLKETDQILAINGQVLDQTITHQQAISILQKAKDTVQLVIARGSLPPVSSPRISRSPSA ASTISAHSNPMHWQHVETIELVNDGSGLGFGIIGGKATGVIVKTILPGGVADQHGRLCSG DHILKIGDTDLAGMSSEQVAQVLRQCGNRVKLMIARGAVEETPASSSLGITLSSSTSSTS EMRVDASTQKNDESETFDVELTKNVQGLGITIAGYIGDKKLEPSGIFVKSITKSSAVEHD GRIQIGDQIIAVDGTNLQGFTNQQAVEVLRHTGQTVRLTLMRKGASQEAELTSRGDTAKD VDLPAENCEKDEESLSLKRNTSILPIEEEGFPLLSAELEEAEDVQQEAALLTKWQRIMGI NYEIVVAHVSKFSENSGLGISLEATVGHHFIRSVLPEGPVGHSGKLFSGDELLEVNGINL LGENHQDVVNILKELPIDVTMVCCRRTVPPIALSEMDSLDINDLELTEKPHIDLGEFIGS SETEDPMLAMSDVDQNAEEIQTPLAMWEAGGQSIELEKGSRGLGFSILDYQDPIDPANTV IVIRSLVPGGIAEKDGRLFPGDRLMFVNDINLENSTLEEAVEALKGAPSGMVRIGVAKPL PLSPEEGYVSAKEDAFLCSPHACKESGLSDKALFRADLALIDTPDAESIAESRFESQFSP DNDSVYSTQASIFSLHDGTCSDGMNYGPSLPSSPPKDVTSSSEVVLGLHLSLEELYTQNL LQRQHAGSPPTDMRPAPTSGFPISDYTTTNAVEQKYECANPVAWPHSQLPSSLSTSELAP ALPAVAQKYLTDQSSLASDAESVNLQSMSQEAFERTVTIAKGSSSLGMTVSANKDGLGVI VRSIIHGGAISRDGRIAVGDCILSINEESTISLTNAQARAMLRRHSLIGPDIKITYVPAE HLEEFRVSFGQQAGGIMALDIFSSYTGSRDIPELPEREEGEGEESELQNAAYSSWSQPRR VELWREPSKSLGISIVGGRGMGSRLSNGEVMRGIFIKHVLEDSPAGKNGTLKPGDRIIEV DGMDLRDASHEQAVEAIRKAGNPVVFMVQSIINRPRKSPLPSLPHSLYPKYSFSSTNPFA DSLQLTTDQAPSQSESETEKPALCNVPPSSPSVFSEMGSDCAQPSATAVSEDEDKEDEFG YSWKNIQERYGSLTGQLHVIELEKGQSGLGLSLAGNKDRTRMSVFIVGIDPTGAAGRDGR LQIADELLEINGQILYGRSHQNASSIIKCAPSKVKIIFIRNADAVNQMAVCPGIAADSPS STSDSPQNKEVEPCSTTSASAADLSSLTDVYQLELPKDQGGLGIAICEEDTINGVMIESL TEHGGAAKDGRLKPGDHILAVDDEVVAGCPVEKFISLLKTAKATVKLTVRAENPACPAVP SSAVTVSGERKDNSQTPAVPAPDLEPIPSTSRSSTPAVFASDPATCPIIPGCETTIEISK GQTGLGLSIVGGSDTLLGAIIIHEVYEEGAACKDGRLWAGDQILEVNGIDLRKATHDEAI NVLRQTPQRVRLTLYRDEAPYKEEDVCDTFTIELQKRPGKGLGLSIVGKRNDTGVFVSDI VKGGIADADGRLMQGDQILMVNGEDVRHATQEAVAALLKCSLGAVTLEVGRVKAAPFHSE RRPSQSSQVSESSLSSFTPPLSGINTSESLESNSKKNALASEIQGLRTVEIKKGPADSLG LSIAGGVGSPLGDVPIFIAMMHPNGVAAQTQKLRVGDRIVTICGTSTDGMTHTQAVNLMK NASGSIEVQVVAGGDVSVVTGHQQELANPCLAFTGLTSSSIFPDDLGPPQSKTITLDRGP DGLGFSIVGGYGSPHGDLPIYVKTVFAKGAAAEDGRLKRGDQIIAVNGQSLEGVTHEEAV AILKRTKGTVTLMVLS >ENSMUSP00000046497.6 pep:known chromosome:GRCm38:5:121596775:121618938:-1 gene:ENSMUSG00000042647.13 transcript:ENSMUST00000041252.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad12 description:acyl-Coenzyme A dehydrogenase family, member 12 [Source:MGI Symbol;Acc:MGI:2443320] MWVRRLFQPSTLHWAWRTTALNHPLGRHQGGLRWTHSGGRSYRAVIFDTGGVLVPSPGTV AVGQASSATAQQSGKLTESMAELAWDFATKEGFRVFKEMPATKTLSRSYHAWAGPRSPRT PKGVRGHSTVAAASPSHEAKGGLVISPEGLSPAVRKLYEQLVQFIEQKVYPLEPELQRHQ ASANRWSPSPLIEDLKEKAKAEGLWNLFLPLETDPEKKYGAGLTNVEYAHLCEVMGMSLY ASEIFNCSVPDMGTMEILVRYGTEEQKARWLVPLLEGRIHSCFAMTDRKVASSDASNIEA SIKEEDNSYVINGHKWWTSGILHPHCKLCVFMGKTDPQAPRHQQQSMLLVPMDSPGITVI RPLSVFGLEDPPGGFAEVQFKDVRVPKENIILGPGRAFEIAQGRLGRARIHNSMRLIGYS ERALALMKTRVMSRTAFGKPLVEQGTILADIARSRVEIEQARLLVLKAAHLMDVAGNKAA ALEMAMIKMVVPSMANQVIDRAIQAFGAAGLSSDYPLAQFFGWAQTLRLGDDQLKVAKME LKNQSRLQEPAARRV >ENSMUSP00000121904.1 pep:known chromosome:GRCm38:5:121602590:121605629:-1 gene:ENSMUSG00000042647.13 transcript:ENSMUST00000131338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad12 description:acyl-Coenzyme A dehydrogenase family, member 12 [Source:MGI Symbol;Acc:MGI:2443320] XQSMLLVPMDSPGITVIRPLSVFGLEDPPGGFAEVQFKDVRVPKENIILGPGRAFEIAQG RLGRARIHNSMRLIGYSERALALMKTRVSPPVPTLTQTHCCWLRNSNHWSPLRTELTGQC PCLESASLFCMYFTWLQLASRVGWAPLCLGGQKPRAGFMVAEGKVLNPRGLWQPGTICSQ HI >ENSMUSP00000107406.1 pep:known chromosome:GRCm38:5:121604572:121618938:-1 gene:ENSMUSG00000042647.13 transcript:ENSMUST00000111776.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad12 description:acyl-Coenzyme A dehydrogenase family, member 12 [Source:MGI Symbol;Acc:MGI:2443320] MWVRRLFQPSTLHWAWRTTALNHPLGRHQGGLRWTHSGGRSYRAVIFDTGGVLVPSPGTV AVGQASSATAQQSGKLTESMAELAWDFATKEGFRVFKEMPATKTLSRSYHAWAGPRSPRT PKGVRGHSTVAAASPSHEAKGGLVISPEGLSPAVRKLYEQLVQFIEQKVYPLEPELQRHQ ASANRWSPSPLIEDLKEKAKAEGLWNLFLPLETDPEKKYGAGLTNVEYAHLCEVMGMSLY ASEIFNCSVPDMGTMEILVRYGTEEQKARWLVPLLEGRIHSCFAMTDRKVASSDASNIEA SIKEEDNSYVINGHKWWTSGILHPHCKLCVFMGKTDPQAPRHQQQSMLLVPMDSPGITVI RPLSVFGLEDPPG >ENSMUSP00000142370.1 pep:known chromosome:GRCm38:5:121607402:121618863:-1 gene:ENSMUSG00000042647.13 transcript:ENSMUST00000197916.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acad12 description:acyl-Coenzyme A dehydrogenase family, member 12 [Source:MGI Symbol;Acc:MGI:2443320] MWVRRLFQPSTLHWAWRTTALNHPLGRHQGGLRWTHSGGRSYRAVIFDTGGVLVPSPGTV AVGESQG >ENSMUSP00000069616.5 pep:known chromosome:GRCm38:18:34859823:34864984:1 gene:ENSMUSG00000038418.7 transcript:ENSMUST00000064795.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr1 description:early growth response 1 [Source:MGI Symbol;Acc:MGI:95295] MAAAKAEMQLMSPLQISDPFGSFPHSPTMDNYPKLEEMMLLSNGAPQFLGAAGTPEGSGG NSSSSTSSGGGGGGGSNSGSSAFNPQGEPSEQPYEHLTTESFSDIALNNEKAMVETSYPS QTTRLPPITYTGRFSLEPAPNSGNTLWPEPLFSLVSGLVSMTNPPTSSSSAPSPAASSSS SASQSPPLSCAVPSNDSSPIYSAAPTFPTPNTDIFPEPQSQAFPGSAGTALQYPPPAYPA TKGGFQVPMIPDYLFPQQQGDLSLGTPDQKPFQGLENRTQQPSLTPLSTIKAFATQSGSQ DLKALNTTYQSQLIKPSRMRKYPNRPSKTPPHERPYACPVESCDRRFSRSDELTRHIRIH TGQKPFQCRICMRNFSRSDHLTTHIRTHTGEKPFACDICGRKFARSDERKRHTKIHLRQK DKKADKSVVASPAASSLSSYPSPVATSYPSPATTSFPSPVPTSYSSPGSSTYPSPAHSGF PSPSVATTFASVPPAFPTQVSSFPSAGVSSSFSTSTGLSDMTATFSPRTIEIC >ENSMUSP00000126931.1 pep:known chromosome:GRCm38:18:34861207:34864956:1 gene:ENSMUSG00000038418.7 transcript:ENSMUST00000165033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr1 description:early growth response 1 [Source:MGI Symbol;Acc:MGI:95295] MAAAKAEMQLMSPLQISDPFGSFPHSPTMDNYPKLEEMMLLSNGAPQFLGAAGTPEGSGG NSSSSTSSGGGGGGGSNSGSSAFNPQGEPSEQPYEHLTTESFSDIALNNEKAMVETSYPS QTTRLPPITYTGRFSLEPAPNSGNTLWPEPLFSLVSGLVSMTNPPTSSSSAPSPAASSSS SASQSPPLSCAVPSNDSSPIYSAAPTFPTPNTDIFPEPQSQAFPGSAGTALQYPPPAYPA TKGGFQVPMIPDYLFPQQQGDLSLGTPDQKPFQGLENRTQQPSLTPLSTIKAFATQSGSQ DLKALNTTYQSQLIKPSRMRKYPNRPSKTPPHERPYACPVESCDRRFSRSDELTRHIRIH TGQKPFQCRICMRNFSRSDHLTTHIRTHTGEKPFACDICGRKFARSDERKRHTKIHLRQK DKKADKSVVASPAASSLSSYPSPVATSYPSPATTSFPSPVPTSYSSPGSSTYPSPAHSGF PSPSVATTFASVPPAFPTQVSSFPSAGVSSSFSTSTGLSDMTATFSPRTIEIC >ENSMUSP00000003061.7 pep:known chromosome:GRCm38:7:19756131:19770547:-1 gene:ENSMUSG00000002980.14 transcript:ENSMUST00000003061.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcam description:basal cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1929940] MEPPDARAGLLWLTFLLSGYSGAQAELHVSVPPRVEVMRGEQVALDCTPREHPEHYVLEW FLVDGTGARHRLASVEPQGSEFLGTVHSLGRVPPYEVDSRGRLVIAKVQVGDGRDYVCVV KAGAAGTSEATSSVRVFATPEDTEVSPNKGTLSVMDQFAQEIATCSSNNGNPVPRITWYR NGQRLEVPMEVNQKGYITIRTVREASGLYSLTSTLYLRLHKDDRDANFHCAAHYDLPSGQ HGRLDSHTFRLTLHYPTEHVEFWVGSPSTTEGWVREGDAVQLLCQGDGSPSPEYSFFRQQ GTQEEQLNVNLKGNLTLERVHRNQSGIYGCRVEDYDADEEVQLVKKLKLHVAYLDPLELS VPEELFVFLNSSSTVVNCSARGLPTPTVRWTKDSVTLADGPMLSLQSVTFDSAGTYTCEA STPTVPLLSRTQSFQLIVQGAPELKPNEIMPKSGNSWTEGDEVMLTCSARGFPEPKLTWS QRGDTPAEPPFEGRGWKSSSLMVKVTSALSREGVSCEASNIHGKKGHVFHFGSVAPQTAQ AGVAVMAVAVSVGLLLLVVAAFYCMRRKGRPGCCRRAEKGAPPAREPELSHSGSERPEHT GLLMGGPSGGGRGGSGGFGDEC >ENSMUSP00000121145.1 pep:known chromosome:GRCm38:7:19765613:19771016:-1 gene:ENSMUSG00000002980.14 transcript:ENSMUST00000155244.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcam description:basal cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1929940] MEPPDARAGLLWLTFLLSGYSGAQAELHVSVPPRVEVMRGEQVALDCTPREHPEHYVLEW FLVDGTGARHRLASVEPQGSEFLGTVHSLGRVPPYEVDSRGRLVIAKVQVGDGRDYVCVV KAGAAGTSEATSSVRVFATPEDTEVSPNKGTLSVMDQFAQEIATCSSNNGNPVPRITWYR NGQRLEVPMEVNQ >ENSMUSP00000117998.1 pep:known chromosome:GRCm38:7:19766987:19770495:-1 gene:ENSMUSG00000002980.14 transcript:ENSMUST00000133427.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bcam description:basal cell adhesion molecule [Source:MGI Symbol;Acc:MGI:1929940] MEPPDARAGLLWLTFLLSGYSGPSLTSAQVTHLTLFKLLWCPG >ENSMUSP00000099373.3 pep:known chromosome:GRCm38:2:165374264:165388259:-1 gene:ENSMUSG00000017667.9 transcript:ENSMUST00000103084.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp334 description:zinc finger protein 334 [Source:MGI Symbol;Acc:MGI:2388656] MDSTQRSISFKDLAVDFTREEWQRLGPAQRLLYRDVMLETYSNLIAVGLHVSKPDVILKL EQGKEPWVVENLSRQNHAEDDEPLEKDKKTQDKHLKQFSVVNSKPMLEKANLSEKTVPLN MNSVSSGKTLHKYDPGGNGLENNSEKTIAKPSKANGKVAADQDGRGKPALHTKADRSHSA AKRYRRNEVRDVKGQGEGLTQQQNTQSASQPSEHREGEQESAGAARSEEQPERKRNECAE CRKTFSKRSTLIVHLRIHTGERPYACNYCRKTFRIKASLTRHQRIHTGERPYKCKECGKA FIDKSALIVHQRIHGGEKSYECNECGKTFFRKSALAEHFRSHTGEKPYKCKECGNAFGKK SYLIVHQRTHRGEKPNECKECGKTFFCLSALTAHQRIHTGEKPYECKECDKTFFCQSALN VHLRSHTGEKPYKCRLCGKYLCTKSALVAHQVIHRGKKTFECPECGKLFYLKSTLTIHQR THTGEKHGLLSKWSRASAAKPNCSEQKRADAKDNVHECHDHKRPAHKSSRRFAHKRTIWE RPYECEECGRTYCRKSALRHHQKTHTGERPYECKECGKTFCQKVSFTEHQRTHTGEKPHK CKECGKSFRHKSAFTVHKRIHTGEKPYACNECGKSYRRLWTLTEHQKIHTGEKPYECNLC KKSFRHKSNFLLHQKTHKK >ENSMUSP00000056778.8 pep:known chromosome:GRCm38:7:48866429:48881041:-1 gene:ENSMUSG00000046179.17 transcript:ENSMUST00000058745.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f8 description:E2F transcription factor 8 [Source:MGI Symbol;Acc:MGI:1922038] MENQKENLFSEPHKRGLMKSPLHPSSKANMVLAEIQPDLGPLTTPTKPKEVSQGEPWTPT ANLKMLISAVSPEIRSRDQKRGLSDNRSALPEARDCLHEHLSGDEFEKSQPSRKEKSLGL LCHKFLARYPKYPNPAVNNDICLDEVAEELNVERRRIYDIVNVLESLHMVSRLAKNRYTW HGRHNLTKTLGTLKSVGEENKYAEQIMMIKRKEYEQEFDFIKSCGIEDHVIKSHTGQNGH SDMCFVELPGVEFRAASVNSRKDKSLRVMSQKFVMLFLVSTPQIVSLEIAAKILIGEDHV EDLDKSKYKTKIRRLYDIANVLSSLDLIKKVHVTEERGRKPAFKWTGPEISPNNSGSSPI MPLPASLEAEQSAKENCAKNLFSTRGKPSFTRHPSLIKLVKSIENDRRKISSAPSSPVKS NKAESSQNSPPVPNKMAQLAAICKMQLEEQSSEPRKKVKVNLARSGHYKPLAPLDPTVNT ELELLTPSLIQPLGVVPLIPSPLSSAVPVILPQAPSGPSYAIYLQPAQAQMLTPPPGLSP TVCPTQPSNATGSKDPTDAPAEKTATDAATTGSLQPAPERHGAKHRSKETTGDRGTKRMI TAEDSGPSSVKKPKEDLKALENVPTPTPLFPSGYLIPLTQCSSLGPDSVLSNTENSGTPS PNHRIYGSPIAGVIPVASSELTAVNFPPFHVTPLKLMVSPTSMAAVPVGNSPALNSGHPA PAQNPSSAIVNFTLQHLGLISPGVQMSASPGPGAGTVPVSPRVEADNLSSRQRRATNHDS PVLGQSQLNGQPVAGTGAQQPVPVTPKGSQLVAENFFRTPGGPTKPTSSPYTDFDGANKT SFGTLFVPQRKLEVSTEDIH >ENSMUSP00000112883.1 pep:known chromosome:GRCm38:7:48866429:48881596:-1 gene:ENSMUSG00000046179.17 transcript:ENSMUST00000119223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f8 description:E2F transcription factor 8 [Source:MGI Symbol;Acc:MGI:1922038] MENQKENLFSEPHKRGLMKSPLHPSSKANMVLAEIQPDLGPLTTPTKPKEVSQGEPWTPT ANLKMLISAVSPEIRSRDQKRGLSDNRSALPEARDCLHEHLSGDEFEKSQPSRKEKSLGL LCHKFLARYPKYPNPAVNNDICLDEVAEELNVERRRIYDIVNVLESLHMVSRLAKNRYTW HGRHNLTKTLGTLKSVGEENKYAEQIMMIKRKEYEQEFDFIKSCGIEDHVIKSHTGQNGH SDMCFVELPGVEFRAASVNSRKDKSLRVMSQKFVMLFLVSTPQIVSLEIAAKILIGEDHV EDLDKSKYKTKIRRLYDIANVLSSLDLIKKVHVTEERGRKPAFKWTGPEISPNNSGSSPI MPLPASLEAEQSAKENCAKNLFSTRGKPSFTRHPSLIKLVKSIENDRRKISSAPSSPVKS NKAESSQNSPPVPNKMAQLAAICKMQLEEQSSEPRKKVKVNLARSGHYKPLAPLDPTVNT ELELLTPSLIQPLGVVPLIPSPLSSAVPVILPQAPSGPSYAIYLQPAQAQMLTPPPGLSP TVCPTQPSNATGSKDPTDAPAEKTATDAATTGSLQPAPERHGAKHRSKETTGDRGTKRMI TAEDSGPSSVKKPKEDLKALENVPTPTPLFPSGYLIPLTQCSSLGPDSVLSNTENSGTPS PNHRIYGSPIAGVIPVASSELTAVNFPPFHVTPLKLMVSPTSMAAVPVGNSPALNSGHPA PAQNPSSAIVNFTLQHLGLISPGVQMSASPGPGAGTVPVSPRVEADNLSSRQRRATNHDS PVLGQSQLNGQPVAGTGAQQPVPVTPKGSQLVAENFFRTPGGPTKPTSSPYTDFDGANKT SFGTLFVPQRKLEVSTEDIH >ENSMUSP00000145341.1 pep:known chromosome:GRCm38:6:120836212:120865609:1 gene:ENSMUSG00000009112.4 transcript:ENSMUST00000203584.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l13 description:BCL2-like 13 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:2136959] MASSTTASLGFHYETKYVVLSYLGLLSQEKQQGPSPPAFTSTGFDCHTSPV >ENSMUSP00000145390.1 pep:known chromosome:GRCm38:6:120836226:120876317:1 gene:ENSMUSG00000009112.4 transcript:ENSMUST00000203037.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l13 description:BCL2-like 13 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:2136959] MASSTTASLGFHYETKYVVLSYLGLLSQEKQQGPSPPAFGASSFATTPAFGIDAPWSRAF AYAAPVRCDVPGGARR >ENSMUSP00000009256.2 pep:known chromosome:GRCm38:6:120836238:120892842:1 gene:ENSMUSG00000009112.4 transcript:ENSMUST00000009256.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l13 description:BCL2-like 13 (apoptosis facilitator) [Source:MGI Symbol;Acc:MGI:2136959] MASSTTASLGFHYETKYVVLSYLGLLSQEKQQGPSPPGVQLDVAPQSLNPEVLLKLKSEI EEELKTLDKEVSEAFTSTGFDCHTSPVFSPANPESSIEDCLAHLGERVSQDLKEPLQKAL QTILSQPVTYEAYRECTVETAVHASGWNKLLVPLVLLQHLLLELTRRGQEPLRMLLQFGV MYLEEHAAEFIIQQGGWGSVFSLEPEEEEYPGIIAEDSNDIYILPSDNSGQVSPPESPTV TTSWQSESLPVSLSASQSWHTESLPVSLGPESWQQIAMDPEEVKSLDSSGAGEKSENNSS NSDIVHVEKEEVPEEAFPGAAAPLLTQVPTVEAPEMMRAEKTSPTPSVFVELGEEELEAV TARPEAVERAEGAAQLSEERAGSRKKSHTGEAAAVRGAKSGLPAEGKAVLLFGGAAAVAI LAVAVGVALALRRK >ENSMUSP00000144126.1 pep:known chromosome:GRCm38:6:35177421:35247596:1 gene:ENSMUSG00000038759.15 transcript:ENSMUST00000201374.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup205 description:nucleoporin 205 [Source:MGI Symbol;Acc:MGI:2141625] MALGQSRAGSLVHTRSSLAWRSRRPWGRPCVQASTRAGPRARGPPRGRSALSVLLQDGGA VGAASLWGPYKDIWQTVGSALWRRQPEAVHLLDMILKKHKPDFISLFKNPPKNVQQHEKI QKASSEGVAIQGQQGTRLLPEQLIKEAFIISDLFDIGELSAVELLLAGEHQQPHFPGLTR GLVAVLLYWDGKRCIANSLRTLIQSRRGKTWTLELSPELVSMTTRFTDELMEQGLTYKVL TLLSQIDVNNEFEKLQRERGLGSEKHRKEVSDLIKECRQSLAESLFAWACQSPLPKDDTL LLIGHLERVTVEANGSLDAVNLCLLMALLYCFDTSFIDQSTEERDDMIHHLPLLTERQYV STIHSRLQDSQPWKLPGLQATVRLAWALALRGISQLPDVTALAEFTEADEAIAELAIADN VFLFLSEAVVLAENFYQEEFYIRRIHSLITDFLAFMPMKVKQLKNRADEDARMIHMSIQM GNEPPISLRRDLEHLMLLIGELYKKNPFHLELALEYWCPSEPLQTPTVMGSYLGVAHQRP PQRQVVLSKFVRQMGDLLPPTIYIPYLKMLQGLANGPQCAHYCFSLLKVNGSSHVENIQG AGGSPVSWEHFFHSLLLYHEHLRKDLPSADSVQYRHLPSRGITQKEQDGLIAFLQLTSTI ITWSENARLALCEHPQWTPVVVILGLLQCSIPPVLKAELLKTLAAFGKSPEIAASLWQSL EYTQILQTVRVPSQRQAIGIEVELNEIESRCEEYPLTRAFCQLISTLVESSFPSNLGAGL RPPGFDPYLQFLRDSVFLRFRTRAYRRAAEKWEVAEVVLEVFYKLLRDYEPQLEDFVDQF VELQGEEIIAYKPPGFSLMYHLLNESPMLELALSLLEEGVKQLDTYAPFPGKKHLEKAVQ HCLALLNLTLQKENLFMDLLRESQLALIVSPLEQLLQGINPRTKKADNVVNIARYLYHGN NNPELAFESAKILCCISCNSNIQVKMVGDFTHDQSVSQKLMAGFVECLDYEDTEEFVRVE EGSELEKKLAAIRHETRIHILNLLITSLERNPPNLALYLLGFELKKPISTTNLQDPGVLG CPRTCLHAILNILEKGTEGRDGPVAVREYPQLADLCYQVIYQLCACSDTSGPTMRYLRTS QDFLFSQLQHLPFSNKEHEISMLSQMSWLMKTASIELRVTSLNRQRSHTQRLLHLLLDDM PVKPYSDGEGGMEDENRSVSGFLHFDTATKVRRKILSILDSIDFSQEIPEPLQLDFFDRA QIEQVIANCEHKNLQGQTVCNVKLLHRVLVAEVNALQGMAAIGQRPLLMEEISTILQYVV GRNKLLQCLHAKRHALESWRQLVEIILTACPQELIQAEDRQLIIRDLLQDVHDKVLDDEA AQELMPVVAGAVFTLTAHLSQAVRTEQRQPLVSGPGEAQYAFMLDSSLTSSPAAESRPVG FASIGDSSLHIILKKLLDFILKTGGGFQRVRTHLYGSLLYYLQIAQRPDEPDTLEAAKKT MWERLTAPEDVFSKLQRENMAIIESYGAALMEVVCRDACDGHEIGRMLALALLDRIVSVD KQHQWLLYLSNSGYLKVLVDSLVDDDRTLQSLLTPQPPLLKALYTYESKMAFLTRVAKEQ QGSAELLRSGVIVRLAQCQVYDMRPEMDSHGMFGMRDPPVFIPTPVDRYRQILLPALQLC QVILTSSMSQHLQAAGQVLQFLVSHSDTIQAILRCQDVSTGSLQELALLTGIISKAALPG MLSELDVDVNEGSLMELHGHIARFQRQCLGLLSRFGGADRLRQFKFQDNNAEGDRVSKKD EIELAMQQICANVMEYCQSFIQQNSSNLQSAAMCLFTPSLSETVNRDGARQDTQVPVVPY WRLPGLGIIIYLLKQSATDFFSYYDSHRRSVNKLQNVEQLPPDEIKELCQSVMPAGIDKI STAQKCVLARRRLVKLINNRAKLLSLCSYIIETCLFILWRHLEYYLLHCTPMDSQDSLFA SRTLFKSRRLQEPNLDFRSGLSQVNQHDIDQLQSDAVNAFGESLQKKLLDIEGLYSKVRS RYSFIQALIRRIRGLLRLSRN >ENSMUSP00000039656.9 pep:known chromosome:GRCm38:6:35177430:35247596:1 gene:ENSMUSG00000038759.15 transcript:ENSMUST00000043815.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup205 description:nucleoporin 205 [Source:MGI Symbol;Acc:MGI:2141625] MAALLAVNSAASLWGPYKDIWQTVGSALWRRQPEAVHLLDMILKKHKPDFISLFKNPPKN VQQHEKIQKASSEGVAIQGQQGTRLLPEQLIKEAFIISDLFDIGELSAVELLLAGEHQQP HFPGLTRGLVAVLLYWDGKRCIANSLRTLIQSRRGKTWTLELSPELVSMTTRFTDELMEQ GLTYKVLTLLSQIDVNNEFEKLQRERGLGSEKHRKEVSDLIKECRQSLAESLFAWACQSP LPKDDTLLLIGHLERVTVEANGSLDAVNLCLLMALLYCFDTSFIDQSTEERDDMIHHLPL LTERQYVSTIHSRLQDSQPWKLPGLQATVRLAWALALRGISQLPDVTALAEFTEADEAIA ELAIADNVFLFLSEAVVLAENFYQEEFYIRRIHSLITDFLAFMPMKVKQLKNRADEDARM IHMSIQMGNEPPISLRRDLEHLMLLIGELYKKNPFHLELALEYWCPSEPLQTPTVMGSYL GVAHQRPPQRQVVLSKFVRQMGDLLPPTIYIPYLKMLQGLANGPQCAHYCFSLLKVNGSS HVENIQGAGGSPVSWEHFFHSLLLYHEHLRKDLPSADSVQYRHLPSRGITQKEQDGLIAF LQLTSTIITWSENARLALCEHPQWTPVVVILGLLQCSIPPVLKAELLKTLAAFGKSPEIA ASLWQSLEYTQILQTVRVPSQRQAIGIEVELNEIESRCEEYPLTRAFCQLISTLVESSFP SNLGAGLRPPGFDPYLQFLRDSVFLRFRTRAYRRAAEKWEVAEVVLEVFYKLLRDYEPQL EDFVDQFVELQGEEIIAYKPPGFSLMYHLLNESPMLELALSLLEEGVKQLDTYAPFPGKK HLEKAVQHCLALLNLTLQKENLFMDLLRESQLALIVSPLEQLLQGINPRTKKADNVVNIA RYLYHGNNNPELAFESAKILCCISCNSNIQVKMVGDFTHDQSVSQKLMAGFVECLDYEDT EEFVRVEEGSELEKKLAAIRHETRIHILNLLITSLERNPPNLALYLLGFELKKPISTTNL QDPGVLGCPRTCLHAILNILEKGTEGRDGPVAVREYPQLADLCYQVIYQLCACSDTSGPT MRYLRTSQDFLFSQLQHLPFSNKEHEISMLSQMSWLMKTASIELRVTSLNRQRSHTQRLL HLLLDDMPVKPYSDGEGGMEDENRSVSGFLHFDTATKVRRKILSILDSIDFSQEIPEPLQ LDFFDRAQIEQVIANCEHKNLQGQTVCNVKLLHRVLVAEVNALQGMAAIGQRPLLMEEIS TILQYVVGRNKLLQCLHAKRHALESWRQLVEIILTACPQELIQAEDRQLIIRDLLQDVHD KVLDDEAAQELMPVVAGAVFTLTAHLSQAVRTEQRQPLVSGPGEAQYAFMLDSSLTSSPA AESRPVGFASIGDSSLHIILKKLLDFILKTGGGFQRVRTHLYGSLLYYLQIAQRPDEPDT LEAAKKTMWERLTAPEDVFSKLQRENMAIIESYGAALMEVVCRDACDGHEIGRMLALALL DRIVSVDKQHQWLLYLSNSGYLKVLVDSLVDDDRTLQSLLTPQPPLLKALYTYESKMAFL TRVAKEQQGSAELLRSGVIVRLAQCQVYDMRPEMDSHGMFGMRDPPVFIPTPVDRYRQIL LPALQLCQVILTSSMSQHLQAAGQVLQFLVSHSDTIQAILRCQDVSTGSLQELALLTGII SKAALPGMLSELDVDVNEGSLMELHGHIARFQRQCLGLLSRFGGADRLRQFKFQDNNAEG DRVSKKDEIELAMQQICANVMEYCQSFIQQNSSNLQSAAMCLFTPSLSETVNRDGARQDT QVPVVPYWRLPGLGIIIYLLKQSATDFFSYYDSHRRSVNKLQNVEQLPPDEIKELCQSVM PAGIDKISTAQKCVLARRRLVKLINNRAKLLSLCSYIIETCLFILWRHLEYYLLHCTPMD SQDSLFASRTLFKSRRLQEPNLDFRSGLSQVNQHDIDQLQSDAVNAFGESLQKKLLDIEG LYSKVRSRYSFIQALIRRIRGLLRLSRN >ENSMUSP00000130033.1 pep:known chromosome:GRCm38:6:35177629:35247590:1 gene:ENSMUSG00000038759.15 transcript:ENSMUST00000170234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup205 description:nucleoporin 205 [Source:MGI Symbol;Acc:MGI:2141625] MAALLAVNSAASLWGPYKDIWQTVGSALWRRQPEAVHLLDMILKKHKPDFISLFKNPPKN VQQHEKIQKASSEGVAIQGQQGTRLLPEQLIKEAFIISDLFDIGELSAVELLLAGEHQQP HFPGLTRGLVAVLLYWDGKRCIANSLRTLIQSRRGKTWTLELSPELVSMTTRFTDELMEQ GLTYKVLTLLSQIDVNNEFEKLQRERGLGSEKHRKEVSDLIKECRQSLAESLFAWACQSP LPKDDTLLLIGHLERVTVEANGSLDAVNLCLLMALLYCFDTSFIDQSTEERDDMIHHLPL LTERQYVSTIHSRLQDSQPWKR >ENSMUSP00000129738.1 pep:known chromosome:GRCm38:1:189640606:189688086:-1 gene:ENSMUSG00000026605.14 transcript:ENSMUST00000171929.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpf description:centromere protein F [Source:MGI Symbol;Acc:MGI:1313302] MSWALEEWKEGLPSRALQKIQELEGQLEKLKKEKQQRQFQLDSLEAALQKQKQKVEDGKT EGADLKRENQRLMEICEHLEKSRQKLSHELQVKESQVNLQESQLSSCKKQIEKLEQELKR CKSEFERSQQVAQSADVSLNPCSTPQKLFATPLTPSSTYEDLKEKYNKEVEERKRLEEEV KALHAKKVSLPVSQATMNHRDIARHQASSSVFPWQQENTPSRLSSDALKTPLRRDGSAAH FLGEEVSPNKSSMKTGRGDCSSLPGEPHSAQLLHQAKAQNQDLKSKMTELELRLQGQEKE MRSQVNKCQDLQLQLEKTKVELIEKERILNKTRDEVVRSTAQYDQAAAKCTTLEQKLKTL TEELSCHRQNAESAKRSLEQRIKEKEKELQEELSRQHQSFQALDSEYTQMKTRLTQELQQ VKHLHSTLQLELEKVTSVKQQLERNLEEIRLKLSRAEQALQASQVAENELRRSSEEMKKE NSLIRSQSEQRTREVCHLEEELGKVKVSLSKSQNFAEEMKAKNTSQEIMLRDLQEKLNQQ ENSLTLEKLKLALADLERQRNCSQDLLKKREHHIDQLNNKLNKIEKEFETLLSALELKKK ECEELKEEKNQISFWKIDSEKLINQIESEKEILLGKINHLETSLKTQQVSPDSNERIRTL EMERENFTVEIKNLQSMLDSKMVEIKTQKQAYLELQQKSESSDQKHQKEIENMCLKANKL TGQVESLECKLQLLSSEVVTKDQQYQDLRMEYETLRDLLKSRGSSLVTNEDNQRSSEDNQ RSSEDNQRGSLAFEQQPAVSDSFANVMGRKGSINSERSDCSVDGGRSPEHIAILQNRVTS LESSLESQNQMNSDLQMRCEELLQIKGEVEENLSKAEQIHQNFVAETNQCISKLQEDAAV HQNIVAETLATLESKEKELQLLKEKLEAQQTEVQKLNKNNCLLEGTLKELQLLSDTLSSE KKEMNSIISLSKKNIEELTQANEALKEVNEALEQEKMNLLQKHEKITSCIAEQERSIAEL SDQYKQERLQLLQRCEETEAVLEDLRGNYKTAQENNAKLECMLSECTALCENRKNELEQL KETFAKEQQEFLTKLAFAEEQNRKLMLELEIEQQTVRSEITNTNKHSMSATDGLRQECLT LNEEQNEQQNEVSNLTHENEQLMELTQTKHDSYLAVEPVENSVKATEDEIGKSSSQYQMD IDTKDISLDSYKAQLVHLEALVRILEVQLDQSEEENKKLHLELQTIREELETKSSQDPQS QARTGLKDCDTAEEKYVSMLQELSASQNENAHLQCSLQTAVNKLNELGKMCDVLRVEKLQ LESELNDSRTECITATSQMTAEVEKLVSEMKMLNHESALSQNELMKDTSGGEFHDKANHS SVFLTPLDSSNFCEQMTLSSKEVRVHFAELQEKFSCLQSEHKILHDQHCEVSSKMSALRS YVDTLKAENSALSMSLRTLQGDLVKEGEPAAEGGHGLPLSFCGADSPSLTNFGETSFYKD VLEQTGDTCHLSLEGNASANSCDLDEEFSSSLEEETLTEKESPPAPGRTVEGLEVLCQVY LQSLKNLEEKTESQRIMKNKEIEKLEQLLSSERKELSCLRKQYLSEKEQWQQKLTSVTLE MESKLAEEKQQTKTLSLELEVARLQLQELDLSSRSLLGTDLESVVRCQNDNYDIKESEVY ISETTEKTPKQDTDQTCDKDIQQDLGLETSVTESETTRLTGEGCEEQPPKTNCEAPAEDK TQDCSECISELCSSSNVLVPMDVLEDQGSIQNLQLQKDTLNENLRLLPEVEDWDKKVESL LNEIMEADSKLSLQEVQLKMKIATCIQLEKIVKDLRKEKADLSEKLESLPCNQEVCLRVE RSEEDLGFNLDMGANELLSKSTKDNATNTEDNYKEKFLDMERELTRIKSEKANIEHHILS VETNLEVVQAEKLCLERDTESKQKVIIDLKEELFTVISERNRLREELDNVSKESKALDQM SKKMKEKIEELESHQRESLRHIGAVESEVKDKADLIQTLSFNVGELTKDKAHLQEQLQNL QNDSQELSLAIGELEIQIGQLNKEKESLVKESQNFQIKLTESECEKQTISKALEVALKEK GEFAVQLSSAQEEVHQLRRGIEKLSVRIEADEKKHLSAVAKLKESQRESDSLKDTVETLE RELERSEENQELAILDSENLKAEVETLKAQKDEMTKSLRIFELDLVTVRTERENLAKQLQ EKQSRVSELDERCSSLRRLLEEKEQARVQMEEDSKSAMLMLQMQLKELREEVAALCNDQE TLKAQEQSLDQPGEEVHHLKSSIRKLKVHIDADEKKHQNILEQLKESKHHADLLKDRVEN LEQELILSEKNMIFQAEKSKAEIQTLKSEIQRMAQNLQDLQLELISTRSENENLMKELKK EQERVSDLETINSSIENLLKDKEQEKVQMKEEAKITVEMLQTQLKELNETVVSLCNDQEV SKTKEQNLGSQVQTLELEKAQLLQDLGEAKNKYIIFQSSVNALTQEVEAGKQKLEKGEKE IRTLKEQLKSQEQLVCKLAQVEGEQQLWQKQKLELRNVTMALEQKVQVLQSENNTLQSTY EALQNSHKSLESELGLIKLEKVALVERVSTISGKEAELQRELRDMLQKTTQLSEDYNKEK NRLTEEVEVLREELQNTKAAHLKSVNQLEKELQRAQGKIKLMLKSCRQLEGEKEMLQKEL SQLEAAQQQRAGSLVDSNVDEVMTENKALKETLEEKVKEADKYLDKYCSLLISHEELEKA KEILEIEVARLKSRQSRQDLQSSPLLNSSIPGPSPNTSVSEMKSASGQNKASGKRQRSSG IWEHGKRAAPSTAETFSKKSRKSDSKSTRPAEHEQETEFEPEGLPEVVKKGFADIPTGKT SPYILRRTTMATRTSPRFATQKLVGSSPSLGKENVVESSKPTAGGSRSQKVKVVQESSAD SHTAFQELPAKSLTASNIPGRNSTESPREGLRAKRAYPASSPAAGPDPTNNENCRVQ >ENSMUSP00000132430.1 pep:known chromosome:GRCm38:1:189642696:189648856:-1 gene:ENSMUSG00000026605.14 transcript:ENSMUST00000165798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpf description:centromere protein F [Source:MGI Symbol;Acc:MGI:1313302] XIPGPSPNTSVSEMKSASGQNKASGFADIPTGKTSPYILRRTTMATRTSPRFATQKLVGS SPSLGKENVVESSKPTAGGSRSQKVKVVQESSADSHTAFQELPAKSLTASNIPGRNSTE >ENSMUSP00000132759.1 pep:known chromosome:GRCm38:1:189658725:189688086:-1 gene:ENSMUSG00000026605.14 transcript:ENSMUST00000165962.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpf description:centromere protein F [Source:MGI Symbol;Acc:MGI:1313302] MSWALEEWKEGLPSRALQKIQELEGQLEKLKKEKQQRQFQLDSLEAALQKQKQKVEDGKT EGADLKRENQRLMEICEHLEKSRQKLSHELQVKESQVNLQESQLSSCKKQIEKLEQELKR CKSEFERSQQVAQSADVSLNPCSTPQKLFATPLTPSSTYEDLKEKYNKEVEERKRLEEEV KALHAKKVSLPVSQATMNHRDIARHQASSSVFPWQQENTPSRLSSDALKTPLRRDGSAAH FLGEEVSPNKSSMKTGRGDCSSLPDLKSKMTELELRLQGQEKEMRSQVNKCQDLQLQLEK TKVELIEKERILNKTRDEVVRSTAQYDQAAAKCTTLEQKLKTLTEELSCHRQNAESAKRS LEQRIKEKEKELQEELSRQHQSFQALDSEYTQMKTRLTQELQQVKHLHSTLQLELEKVTS VKQQLERNLEEIRLKLSRAEQALQASQVAENELRRSSEEMKKENSLIRSQSEQRTREVCH LEEELGKVKVSLSKSQNFAEEMKAKNTSQEIMLRDLQEKLNQQENSLTLEKLKLALADLE RQRNCSQDLLKKREHHIDQLNNKLNKIEKEFETLLSALELKKKECEELKEEKNQISFWKI DSEKLINQIESEKEILLGKINHLETSLKTQQVSPDSNERIRTLEMERENFTVEIKNLQSM LDSKMVEIKTQKQAYLELQQKSESSDQKHQKEIENMCLKANKLTGQVESLECKLQLLSSE VVTKDQQYQDLRMEYETLRDLLKSRGSSLVTNEDNQRSSEDNQRSSEDNQRGSLAFEQQP AVSDSFANVMGRKGSINSERSDCSVDGGRSPEHIAILQNRVTSLESSLESQNQMNSDLQM RCEELLQIKGEVEENLSKAEQIHQNFVAETNQCISKLQEDAAVHQNIVAETLATLESKEK ELQLLKEKLEAQQTEVQKLNKNNCLLEGTLKELQLLSDTLSSEKKEMNSIIS >ENSMUSP00000130308.1 pep:known chromosome:GRCm38:1:189683826:189688035:-1 gene:ENSMUSG00000026605.14 transcript:ENSMUST00000165663.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpf description:centromere protein F [Source:MGI Symbol;Acc:MGI:1313302] MSWALEEWKEGLPSRALQKIQELEGQLEKLKKEKQQRQFQLDSLEAALQKQKQKVSVWQA ADCWLAHLHLTFPRKQSLPSPVKMEIAI >ENSMUSP00000078207.5 pep:known chromosome:GRCm38:4:108564870:108579336:-1 gene:ENSMUSG00000063800.5 transcript:ENSMUST00000079213.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf38a description:PRP38 pre-mRNA processing factor 38 (yeast) domain containing A [Source:MGI Symbol;Acc:MGI:1916962] MANRTVKDAHSIHGTNPQYLVEKIIRTRIYESKYWKEECFGLTAELVVDKAMELKFVGGV YGGNIKPTPFLCLTLKMLQIQPEKDIIVEFIKNEDFKYVRMLGALYMRLTGTAIDCYKYL EPLYNDYRKIKSQNRNGEFVLMHVDEFIYELLHSERVCDIILPRLQKRYVLEEAEQLEPR VSALEEDMDDVESSEEEEEEDEKLERVPSPDHRRRSYRDLDKPRRSPALRYRRSRSRSPR RRSRSPKRRSPSPRRERHRSKSPRRHRSRSRDRRHRSRSKSPGHHRSHRHRSHSKSPERS KKSHKKSRRGNE >ENSMUSP00000029213.4 pep:known chromosome:GRCm38:2:165393760:165400405:-1 gene:ENSMUSG00000027670.4 transcript:ENSMUST00000029213.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocstamp description:osteoclast stimulatory transmembrane protein [Source:MGI Symbol;Acc:MGI:1921864] MRTIRAATEHLFGLGWKFWRLGICKAVVPLQAAWKAFSQPVPASCNELLTQLLLCVSLAS LIAGLAHHWLVSLQLYPLGPPALVTSLCGLFVFLSLGLVPPIRCLFVLSVPTLGSKQGRR LLLSYSAANLAVAVVPNVLGNVRAAGQVLSCVTEGSLESLLNTTYQLRQAARELGPASRA GSRSLTFEVEGKGSAFRLHMHTITQEILEDFSGLEFLARAALGTQRVVTGLFLLGLLGES AWYLHRYLTDLRFDNIYATRQLVRQLAQAGATHLLTSPPPWLLQTAQPKLSREELLSCLL RLGLLALLLVATAVTVASDYGAFLLAQAAVAWAQKLPTVPITLTVKYDASYKVLDFILFV LNQPPVESVFASMQRSFQWELRFTPHDCHLPQAQPPRVTAALAAGALQLLAGATLVLQAY AWRLRHTIAASFFPDQEARRLSHLQARLQRRHNQSDHLNKQPGTMATRESRKPGQGTRTL ESQGPQAHDSLGPPYDLE >ENSMUSP00000015712.7 pep:known chromosome:GRCm38:8:68880491:68906934:1 gene:ENSMUSG00000015568.15 transcript:ENSMUST00000015712.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpl description:lipoprotein lipase [Source:MGI Symbol;Acc:MGI:96820] MESKALLLVVLGVWLQSLTAFRGGVAAADAGRDFSDIESKFALRTPEDTAEDTCHLIPGL ADSVSNCHFNHSSKTFVVIHGWTVTGMYESWVPKLVAALYKREPDSNVIVVDWLYRAQQH YPVSAGYTKLVGNDVARFINWMEEEFNYPLDNVHLLGYSLGAHAAGVAGSLTNKKVNRIT GLDPAGPNFEYAEAPSRLSPDDADFVDVLHTFTRGSPGRSIGIQKPVGHVDIYPNGGTFQ PGCNIGEAIRVIAERGLGDVDQLVKCSHERSIHLFIDSLLNEENPSKAYRCNSKEAFEKG LCLSCRKNRCNNLGYEINKVRAKRSSKMYLKTRSQMPYKVFHYQVKIHFSGTEDGKQHNQ AFEISLYGTVAESENIPFTLPEVSTNKTYSFLIYTEVDIGELLMMKLKWISDSYFSWPDW WSSPSFVIERIRVKAGETQKKVIFCAREKVSHLQKGKDSAVFVKCHDKSLKKSG >ENSMUSP00000132259.1 pep:known chromosome:GRCm38:8:68880555:68907448:1 gene:ENSMUSG00000015568.15 transcript:ENSMUST00000168401.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lpl description:lipoprotein lipase [Source:MGI Symbol;Acc:MGI:96820] MESKALLLVVLGVWLQSLTAFRGGVAAADAGRDFSDIESKFALRTPEDTAEDTCHLIPGL ADSVSNCHFNHSSKTFVVIHGWTVTGMYESWVPKLVAALYKREPDSNVIVVDWLYRAQQH YPVSAGYTKLVGNDVARFINWMEEEFNYPLDNVHLLGYSLGAHAAGVAGSLTNKKVNRIT GLDPAGPNFEYAEAPSRLSPDDADFVDVLHTFTRGSPGRSIGIQKPVGHVDIYPNGGTFQ PGCNIGEAIRVIAERGLGDVDQLVKCSHERSIHLFIDSLLNEENPSKAYRCNSKEAFEKG LCLSCRKNRCNNLGYEINKVRAKRSSKMYLKTRSQMPYKVFHYQVKIHFSGTEDGKQHNQ AFEISLYGTVAESENIPFTLPEVSTNKTYSFLIYTEVDIGELLMMKLKWISDSYFSWPDW WSSPSFVIERIRVKAGETQKKVIFCAREKVSHLQKGKDSAVFVKCHDKSLKKSG >ENSMUSP00000030944.4 pep:known chromosome:GRCm38:4:155812489:155824543:1 gene:ENSMUSG00000029068.16 transcript:ENSMUST00000030944.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnl2 description:cyclin L2 [Source:MGI Symbol;Acc:MGI:1927119] MAAAAAGAAGLMAPASAACSSGSAGAAPGSQGVLIGDRLYSGVLITLENCLLPDDKLRFT PSMSSGLDVDTETGLRVVGCELIQAAGILLRLPQVAMATGQVLFQRFFYTKSFVKHSMEH VSMACVHLASKIEEAPRRIRDVINVFHRLRHLREKKKPVPLVLDQEYVNLKNQIIKAERR VLKELGFCVHVKHPHKIIVMYLQVLECERNQHLVQTAWNYMNDSLRTDVFVRFQPESIAC ACIYLAARTLEIPLPNRPHWFLLFGATEEEIQEICFKILQLYTRKKVDLTHLESEVEKRK HAIEEAKARAKGLLPGTAPGLDSAAGFSPAPKLESPKEGKGGKPSPPSGKSAKRKMEGPK KAQGHSPVNGLLKGQESRSQSRSREQSYSRSPSRSASPKRRKSDSGSTSGGSKSQSRSRS RSDSPPRQVHRGAPYKGSEVRGSRKSKDCKYLTQKPHKSRSRSSSRSRSRSRERTDNSGK YKKKSHYYRDQRRERSRSYERTGHRYERDHPGHSRHRR >ENSMUSP00000116000.1 pep:known chromosome:GRCm38:4:155813497:155824303:1 gene:ENSMUSG00000029068.16 transcript:ENSMUST00000126346.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccnl2 description:cyclin L2 [Source:MGI Symbol;Acc:MGI:1927119] XEEAPRRIRDVINVFHRLRHLREKKKPVPLVLDQEYVNLKNQIIKAERRVLKELGFCVHV KHPHKIIVMYLQVLECERNQHLVQTAWVASEGK >ENSMUSP00000028735.7 pep:known chromosome:GRCm38:2:137081456:137116644:-1 gene:ENSMUSG00000027276.7 transcript:ENSMUST00000028735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jag1 description:jagged 1 [Source:MGI Symbol;Acc:MGI:1095416] MRSPRTRGRPGRPLSLLLALLCALRAKVCGASGQFELEILSMQNVNGELQNGNCCGGVRN PGDRKCTRDECDTYFKVCLKEYQSRVTAGGPCSFGSGSTPVIGGNTFNLKASRGNDRNRI VLPFSFAWPRSYTLLVEAWDSSNDTIQPDSIIEKASHSGMINPSRQWQTLKQNTGIAHFE YQIRVTCDDHYYGFGCNKFCRPRDDFFGHYACDQNGNKTCMEGWMGPDCNKAICRQGCSP KHGSCKLPGDCRCQYGWQGLYCDKCIPHPGCVHGTCNEPWQCLCETNWGGQLCDKDLNYC GTHQPCLNRGTCSNTGPDKYQCSCPEGYSGPNCEIAEHACLSDPCHNRGSCKETSSGFEC ECSPGWTGPTCSTNIDDCSPNNCSHGGTCQDLVNGFKCVCPPQWTGKTCQLDANECEAKP CVNARSCKNLIASYYCDCLPGWMGQNCDININDCLGQCQNDASCRDLVNGYRCICPPGYA GDHCERDIDECASNPCLNGGHCQNEINRFQCLCPTGFSGNLCQLDIDYCEPNPCQNGAQC YNRASDYFCKCPEDYEGKNCSHLKDHCRTTTCEVIDSCTVAMASNDTPEGVRYISSNVCG PHGKCKSQSGGKFTCDCNKGFTGTYCHENINDCESNPCKNGGTCIDGVNSYKCICSDGWE GAHCENNINDCSQNPCHYGGTCRDLVNDFYCDCKNGWKGKTCHSRDSQCDEATCNNGGTC YDEVDTFKCMCPGGWEGTTCNIARNSSCLPNPCHNGGTCVVNGDSFTCVCKEGWEGPICT QNTNDCSPHPCYNSGTCVDGDNWYRCECAPGFAGPDCRININECQSSPCAFGATCVDEIN GYQCICPPGHSGAKCHEVSGRSCITMGRVILDGAKWDDDCNTCQCLNGRVACSKVWCGPR PCRLHKSHNECPSGQSCIPVLDDQCFVRPCTGVGECRSSSLQPVKTKCTSDSYYQDNCAN ITFTFNKEMMSPGLTTEHICSELRNLNILKNVSAEYSIYIACEPSLSANNEIHVAISAED IRDDGNPVKEITDKIIDLVSKRDGNSSLIAAVAEVRVQRRPLKNRTDFLVPLLSSVLTVA WVCCLVTAFYWCVRKRRKPSSHTHSAPEDNTTNNVREQLNQIKNPIEKHGANTVPIKDYE NKNSKMSKIRTHNSEVEEDDMDKHQQKVRFAKQPVYTLVDREEKAPSGTPTKHPNWTNKQ DNRDLESAQSLNRMEYIV >ENSMUSP00000125731.1 pep:known chromosome:GRCm38:6:120891930:120916836:-1 gene:ENSMUSG00000004446.12 transcript:ENSMUST00000160684.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bid description:BH3 interacting domain death agonist [Source:MGI Symbol;Acc:MGI:108093] MDSEVSNGSGLGAEHITDLLVFGFLQSSGCTRQELEVLGRELPVQAYWEADLEDELQTDG SQASRSFNQGRIEPDSESQEEIIHNIARHLAQIGDEMDHNIQPTLVRQLAAQFMNGSLSE EDKRNCLAKALDEVKTAFPRDMENDKAMLIMTMLLAKKVASHAPSLLRDVFHTTVNFINQ NLFSYVRNLVRNEMD >ENSMUSP00000004560.5 pep:known chromosome:GRCm38:6:120892854:120916853:-1 gene:ENSMUSG00000004446.12 transcript:ENSMUST00000004560.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bid description:BH3 interacting domain death agonist [Source:MGI Symbol;Acc:MGI:108093] MDSEVSNGSGLGAEHITDLLVFGFLQSSGCTRQELEVLGRELPVQAYWEADLEDELQTDG SQASRSFNQGRIEPDSESQEEIIHNIARHLAQIGDEMDHNIQPTLVRQLAAQFMNGSLSE EDKRNCLAKALDEVKTAFPRDMENDKAMLIMTMLLAKKVASHAPSLLRDVFHTTVNFINQ NLFSYVRNLVRNEMD >ENSMUSP00000117529.1 pep:known chromosome:GRCm38:6:120900222:120916798:-1 gene:ENSMUSG00000004446.12 transcript:ENSMUST00000145948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bid description:BH3 interacting domain death agonist [Source:MGI Symbol;Acc:MGI:108093] MDSEVSNGSGLGAEHITDLLVFGFLQSSGCTRQELEVLGRELPVQAYWEADL >ENSMUSP00000117891.1 pep:known chromosome:GRCm38:2:105126529:105173612:1 gene:ENSMUSG00000016458.13 transcript:ENSMUST00000143043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wt1 description:Wilms tumor 1 homolog [Source:MGI Symbol;Acc:MGI:98968] LDFLLSQEPASTCVPEPASQHTLRREPGCVQQPEQPGDRGPRSAWAKSSAENPQDRRSGE PSASEPHLMGSDVRDLNALLPAVSSLGGGGGGCGLPVSGAAQWAPVLDFAPPGASAYGSL GGPAPPPAPPPPPPPPHSFIKQEPSWGGAEPHEEQCLSAFTLHFSGQFTGTAGACRYGPF GPPPPSQASSGQARMFPNAPYLPSCLESQPTIRNQGYSTVTFDGAPSYGHTPSHHAAQFP NHSFKHEDPMGQQGSLGEQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSDNLYQMTSQ LECMTWNQMNLGATLKGMAAGSSSSVKWTEGQSNHGTGYESENHTAPILCGAQYRIHTHG VFRGIQDVRRVSGVAPTLVRSASETSEKRPFMCAYPGCNKRYFKLSHLQMHSRKHTGEKP YQCDFKDCERRFSRSDQLKRHQRRHTGVKPFQCKTCQRKFSRSDHLKTHTRTHTGKTSEK PFSCRWHSCQKKFARSDELVRHHNMHQRNMTKLQLAL >ENSMUSP00000120702.1 pep:known chromosome:GRCm38:2:105126996:105172342:1 gene:ENSMUSG00000016458.13 transcript:ENSMUST00000146842.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wt1 description:Wilms tumor 1 homolog [Source:MGI Symbol;Acc:MGI:98968] MGSDVRDLNALLPAVSSLGGGGGGCGLPVSGAAQWAPVLDFAPPGASAYGSLGGPAPPPA PPPPPPPPHSFIKQEPSWGGAEPHEEQCLSAFTLHFSGQFTGTAGACRYGPFGPPPPSQA SSGQARMFPNAPYLPSCLESQPTIRNQGYSTVTFDGAPSYGHTPSHHAAQFPNHSFKHED PMGQQGSLGEQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSHGTGYESENHTAPILCG AQYRIHTHGVFRGIQDVRRVSGVAPTLVRSASETSEKRPFMCAYPGCNKRYFKLSHLQMH SRKHTGEKPYQCDFKDCERRFSRSDQLKRHQRRHTGVKPFQCKTCQRKFSRSDHLKTHTR THTGKTSEKPFSCRWHSCQKKFARSDELVRHHNMHQRNMTKLQLAL >ENSMUSP00000120054.1 pep:known chromosome:GRCm38:2:105127001:105172265:1 gene:ENSMUSG00000016458.13 transcript:ENSMUST00000133470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wt1 description:Wilms tumor 1 homolog [Source:MGI Symbol;Acc:MGI:98968] MGSDVRDLNALLPAVSSLGGGGGGCGLPVSGAAQWAPVLDFAPPGASAYGSLGGPAPPPA PPPPPPPPHSFIKQEPSWGGAEPHEEQCLSAFTLHFSGQFTGTAGACRYGPFGPPPPSQA SSGQARMFPNAPYLPSCLESQPTIRNQGYSTVTFDGAPSYGHTPSHHAAQFPNHSFKHED PMGQQGSLGEQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQ MNLGATLKGHGTGYESENHTAPILCGAQYRIHTHGVFRGIQDVRRVSGVAPTLVRSASET SEKRPFMCAYPGCNKRYFKLSHLQMHSRKHTGEKPYQCDFKDCERRFSRSDQLKRHQRRH TGVKPFQCKTCQRKFSRSDHLKTHTRTHTGKTSEKPFSCRWHSCQK >ENSMUSP00000123592.1 pep:known chromosome:GRCm38:2:105127210:105172681:1 gene:ENSMUSG00000016458.13 transcript:ENSMUST00000139585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wt1 description:Wilms tumor 1 homolog [Source:MGI Symbol;Acc:MGI:98968] XFIKQEPSWGGAEPHEEQCLSAFTLHFSGQFTGTAGACRYGPFGPPPPSQASSGQARMFP NAPYLPSCLESQPTIRNQGYSTVTFDGAPSYGHTPSHHAAQFPNHSFKHEDPMGQQGSLG EQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQMNLGATLKG HGTGYESENHTAPILCGAQYRIHTHGVFRGIQDVRRVSGVAPTLVRSASETSEKRPFMCA YPGCNKRYFKLSHLQMHSRKHTGEKPYQCDFKDCERRFSRSDQLKRHQRRHTGVKPFQCK TCQRKFSRSDHLKTHTRTHTGEKPFSCRWHSCQKKFARSDELVRHHNMHQRNMTKLQLAL >ENSMUSP00000106727.1 pep:known chromosome:GRCm38:2:105130883:105173612:1 gene:ENSMUSG00000016458.13 transcript:ENSMUST00000111098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wt1 description:Wilms tumor 1 homolog [Source:MGI Symbol;Acc:MGI:98968] MEKGYSTVTFDGAPSYGHTPSHHAAQFPNHSFKHEDPMGQQGSLGEQQYSVPPPVYGCHT PTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQMNLGATLKGHGTGYESENHTAPIL CGAQYRIHTHGVFRGIQDVRRVSGVAPTLVRSASETSEKRPFMCAYPGCNKRYFKLSHLQ MHSRKHTGEKPYQCDFKDCERRFSRSDQLKRHQRRHTGVKPFQCKTCQRKFSRSDHLKTH TRTHTGKTSEKPFSCRWHSCQKKFARSDELVRHHNMHQRNMTKLQLAL >ENSMUSP00000106728.1 pep:known chromosome:GRCm38:2:105130883:105173616:1 gene:ENSMUSG00000016458.13 transcript:ENSMUST00000111099.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wt1 description:Wilms tumor 1 homolog [Source:MGI Symbol;Acc:MGI:98968] MEKGYSTVTFDGAPSYGHTPSHHAAQFPNHSFKHEDPMGQQGSLGEQQYSVPPPVYGCHT PTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQMNLGATLKGMAAGSSSSVKWTEGQ SNHGTGYESENHTAPILCGAQYRIHTHGVFRGIQDVRRVSGVAPTLVRSASETSEKRPFM CAYPGCNKRYFKLSHLQMHSRKHTGEKPYQCDFKDCERRFSRSDQLKRHQRRHTGVKPFQ CKTCQRKFSRSDHLKTHTRTHTGEKPFSCRWHSCQKKFARSDELVRHHNMHQRNMTKLQL AL >ENSMUSP00000032342.1 pep:known chromosome:GRCm38:6:136872435:136875823:-1 gene:ENSMUSG00000030218.2 transcript:ENSMUST00000032342.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgp description:matrix Gla protein [Source:MGI Symbol;Acc:MGI:96976] MKSLLPLAILAALAVATLCYESHESMESYEISPFINRRNANTFMSPQQRWRAKAQKRVQE RNKPAYEINREACDDYKLCERYAMVYGYNAAYNRYFRQRRGAKY >ENSMUSP00000032710.5 pep:known chromosome:GRCm38:7:51622006:51671119:1 gene:ENSMUSG00000030500.6 transcript:ENSMUST00000032710.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a6 description:solute carrier family 17 (sodium-dependent inorganic phosphate cotransporter), member 6 [Source:MGI Symbol;Acc:MGI:2156052] MESVKQRILAPGKEGIKNFAGKSLGQIYRVLEKKQDNRETIELTEDGKPLEVPEKKAPLC DCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVDMVNNSTIHRGGKVIKEKAKFNWD PETVGMIHGSFFWGYIITQIPGGYIASRLAANRVFGAAILLTSTLNMLIPSAARVHYGCV IFVRILQGLVEGVTYPACHGIWSKWAPPLERSRLATTSFCGSYAGAVIAMPLAGILVQYT GWSSVFYVYGSFGMVWYMFWLLVSYESPAKHPTITDEERRYIEESIGESANLLGAMEKFK TPWRKFFTSMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGMLSAVPHLVMT IIVPIGGQIADFLRSKQILSTTTVRKIMNCGGFGMEATLLLVVGYSHTRGVAISFLVLAV GFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKNKSREEWQYVF LIAALVHYGGVIFYALFASGEKQPWADPEETSEEKCGFIHEDELDEETGDITQNYINYGT TKSYGATSQENGGWPNGWEKKEEFVQEGAQDAYTYKDRDDYS >ENSMUSP00000146364.1 pep:known chromosome:GRCm38:7:51622299:51668694:1 gene:ENSMUSG00000030500.6 transcript:ENSMUST00000207945.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc17a6 description:solute carrier family 17 (sodium-dependent inorganic phosphate cotransporter), member 6 [Source:MGI Symbol;Acc:MGI:2156052] MESVKQRILAPGKEGIKNFAGKSLGQIYRVLEKKQDNRETIELTEDGKPLEVPEKKAPLC DCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVDMVNNSTIHRGGKVIKEKAKFNWD PETVGMIHGSFFWGYIITQIPGGYIASRLAANRVFGAAILLTSTLNMLIPSAARVHYGCV IFVRILQGLVEVPMLEQSLQCP >ENSMUSP00000109703.1 pep:known chromosome:GRCm38:6:72565922:72598342:-1 gene:ENSMUSG00000056698.11 transcript:ENSMUST00000114069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmod3 description:ELMO/CED-12 domain containing 3 [Source:MGI Symbol;Acc:MGI:2445168] MSETSCSFFIEKEFQDGQLENVSAGLSSSYKDKGALMAFRGIPISELTNHGILQALTAET NGWQPGVVSEEVLRAQEEWEVVDTIHPDIESGVHCQQPGQLISFNEALEHFQSVDLSSFK KRIQPTIQRTGLAALRHCLFGPPKLHQGLREERDLVLTIAQCGLDSQNPTHGRVLQTIYK KLTGSKFDCALHGDHWEDLGFQGANPATDLRGAGFLALLHLLYLVMDSKTFLMAQEIFRL SHHHIQQFPFCLMSVNITRIAIQALREECLSRECNRRQKVIPVVNSFYAATFLHLARVWR TQQKTILDSGFVLKDLEALAKKSPKRLLKTLDDYLAQVSKGQTSLLEAHKRPGSQGPHSR DLTFTGVCDLQSHSFESAGLI >ENSMUSP00000067768.1 pep:known chromosome:GRCm38:6:72565934:72598343:-1 gene:ENSMUSG00000056698.11 transcript:ENSMUST00000070990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmod3 description:ELMO/CED-12 domain containing 3 [Source:MGI Symbol;Acc:MGI:2445168] MSETSCSFFIEKEFQDGQLENVSAGLSSSYKDKGALMAFRGIPISELTNHGILQALTAET NGWQPGVVSEEVLRAQEEWEVVDTIHPDIESGVHCQQPGQLISFNEALEHFQSVDLSSFK KRIQPTIQRTGLAALRHCLFGPPKLHQGLREERDLVLTIAQCGLDSQNPTHGRVLQTIYK KLTGSKFDCALHGDHWEDLGFQGANPATDLRGAGFLALLHLLYLVMDSKTFLMAQEIFRL SHHHIQQFPFCLMSVNITRIAIQALREECLSRECNRRQKVIPVVNSFYAATFLHLARVWR TQQKTILDSGFVLKDLEALAKKSPKRLLKTLDDYLAQVSKGQTSLLEAHKRPGSQGPHSR DLTFTGVCDLQSHSFESAGLI >ENSMUSP00000145544.1 pep:known chromosome:GRCm38:6:72567550:72598395:-1 gene:ENSMUSG00000056698.11 transcript:ENSMUST00000141833.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmod3 description:ELMO/CED-12 domain containing 3 [Source:MGI Symbol;Acc:MGI:2445168] MSETSCSFFIEKEFQDGQLENVSAGLSSSYKDKGALMAFRGIPISELTNHGILQALTAET NGWQPGVVSEEVLRAQEEWEVVDTIHPDIESGVHCQQPGQLISFNEALEHFQSVDLSSFK KRIQPTIQRTGLAALRHCLFGPPKLHQGLREERDLVLTIAQCGLDSQNPTHGRVLQTIYK KLTGSKFDCALHGDHWEDLGFQGANPATDLRGAGFLALLHLLYLVMDSKTFLMAQEIFRL SHHHIQQFPFCLMSVNITRIAIQALREECLSRECNRRQKVIPVVNSFYAATFLHLARVWR TQQKTILDSGFVLKGWHFLLCPGPRIPG >ENSMUSP00000145907.1 pep:known chromosome:GRCm38:6:72569328:72598361:-1 gene:ENSMUSG00000056698.11 transcript:ENSMUST00000148108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmod3 description:ELMO/CED-12 domain containing 3 [Source:MGI Symbol;Acc:MGI:2445168] MAFRGIPISELTNHGILQALTAETNGWQPGVVSEEVLRAQEEWEVVDTIHPDIESGVHCQ QPGQLISFNEALEHFQSVDLSSFKKRIQPTIQRTGLAALRHCLFGPPKLHQGLREERDLV LTIAQCGLDSQNPTHGRVLQTIYKKLTGSKFDCALHGDHWEDLGFQGANPATDLRGAGFL ALLHLLYLVMDSKTFLMAQEIFRLSHHHIQQFP >ENSMUSP00000116448.1 pep:known chromosome:GRCm38:6:72587623:72598413:-1 gene:ENSMUSG00000056698.11 transcript:ENSMUST00000152705.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmod3 description:ELMO/CED-12 domain containing 3 [Source:MGI Symbol;Acc:MGI:2445168] MSETSCSFFIEKEFQDGQLENVSAGLSSSYKDKGALMAF >ENSMUSP00000071729.3 pep:known chromosome:GRCm38:17:57059105:57065912:1 gene:ENSMUSG00000044279.15 transcript:ENSMUST00000071826.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crb3 description:crumbs family member 3 [Source:MGI Symbol;Acc:MGI:2670904] MATPGLGVLLAFGLPMLPSGWSLTAPDPFTNSTTQPPGDESNGGLSSGAIVAITVVFSIL GVLLIAVGLFLLMRKLREKRQTEGTYRPSSEEQVGARAPPPPNLKLPPEERLI >ENSMUSP00000094902.3 pep:known chromosome:GRCm38:17:57062231:57065918:1 gene:ENSMUSG00000044279.15 transcript:ENSMUST00000097299.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crb3 description:crumbs family member 3 [Source:MGI Symbol;Acc:MGI:2670904] MATPGLGVLLAFGLPMLPSGWSLTAPDPFTNSTTQPPGDESNGGLSSGAIVAITVVFSIL GVLLIAVGLFLLMRKLREKRQTEGTYRPSSEEQVGARAPPPPNLKLPPEERLI >ENSMUSP00000125760.1 pep:known chromosome:GRCm38:17:57062283:57065917:1 gene:ENSMUSG00000044279.15 transcript:ENSMUST00000169543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crb3 description:crumbs family member 3 [Source:MGI Symbol;Acc:MGI:2670904] MATPGLGVLLAFGLPMLPSGWSLTAPDPFTNSTTQPPGDESNGGLSSGAIVAITVVFSIL GVLLIAVGLFLLMRKLREKRQTEGTYRPSSEEQFSHAAAEARAPQDSKEPVRGCLPI >ENSMUSP00000132502.1 pep:known chromosome:GRCm38:17:57062299:57065427:1 gene:ENSMUSG00000044279.15 transcript:ENSMUST00000163763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crb3 description:crumbs family member 3 [Source:MGI Symbol;Acc:MGI:2670904] MATPGLGVLLAFGLPMLPSGWSLTAPDPFTNSTTQPPGDESNGGLSSGAIVAITVVFSIL GVLLIAVGLFLLMRKLREKRQTEGTYRPSSEEQVGARAPPPPNLKLPPEERLI >ENSMUSP00000126402.1 pep:known chromosome:GRCm38:17:57062508:57065164:1 gene:ENSMUSG00000044279.15 transcript:ENSMUST00000163628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crb3 description:crumbs family member 3 [Source:MGI Symbol;Acc:MGI:2670904] MATPGLGVLLAFGLPMLPSGWSLTAPDPFTNSTTQPPVFRGYCSHHCGLFHSGSPPYSSG TV >ENSMUSP00000097301.3 pep:known chromosome:GRCm38:2:91643669:91649779:-1 gene:ENSMUSG00000075040.9 transcript:ENSMUST00000099714.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp408 description:zinc finger protein 408 [Source:MGI Symbol;Acc:MGI:2685857] MKEVEEVILGKIEPHPPEPPLGQDSGWSPSGKGFAPGLKACPPGTSPAILVLRSLPQGLA VGPSRSRNQHLGVWCVGAPLQPGLHWGPLEDESVLEKGEGMKTPHEEDFSLAPCGAICPR QQSSTWTSLVQEGRLQSDGNASLVWIREKLHLQVDQTILPGFELLLRPQSPQKSLSVLHP KLEEEVAETGEASATQEEVASPQEDSAGFCTDPGHESSHSIQEDNMLVSESVTKTEEQLF KDSQPIGLLLHDDSMDKQCLPQTPPEPQSTSAAQKSPESSEAGLFPPEGAQVETCPAKQL DVPSSQCPSIAQTSEPGTQLPDLSGLLCSPLDPAGSSSKLGRRYRCGECSKAFLQLCHLK KHAFVHTDHKPFLCTECGKSYSSEESFKGHMLGHRGVRPFPCSQCDKAYGTRRDLREHQV VHSGARPFVCEQCGKTFARRPSLRLHRKTHQMPDAPSPCPCPVCGRLLATRGSLRNHMRL HTGEKPYLCPHCGQAFRQRGNLQGHLRLHTGERPYQCPHCANTYPQLPELRRHLISHTGE AYLCPVCGKALRDPHTLRAHERLHSGERPFRCPQCDRAYTLATKLRRHLKSHMTDKPYQC PICGMGYVLPHSLKRHQLSHQCGVSSSPSLPPAASEPPMVLLQSEPELLDTCSQQEVPPG EGIVEVTISESQEKCFIVPEQPAPSPSLVLIHKDVGFRGWAEVVEAEAGT >ENSMUSP00000106965.1 pep:known chromosome:GRCm38:2:91643688:91649791:-1 gene:ENSMUSG00000075040.9 transcript:ENSMUST00000111333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp408 description:zinc finger protein 408 [Source:MGI Symbol;Acc:MGI:2685857] MKTPHEEDFSLAPCGAICPRQQSSTWTSLVQEGRLQSDGNASLVWIREKLHLQVDQTILP GFELLLRPQSPQKSLSVLHPKLEEEVAETGEASATQEEVASPQEDSAGFCTDPGHESSHS IQEDNMLVSESVTKTEEQLFKDSQPIGLLLHDDSMDKQCLPQTPPEPQSTSAAQKSPESS EAGLFPPEGAQVETCPAKQLDVPSSQCPSIAQTSEPGTQLPDLSGLLCSPLDPAGSSSKL GRRYRCGECSKAFLQLCHLKKHAFVHTDHKPFLCTECGKSYSSEESFKGHMLGHRGVRPF PCSQCDKAYGTRRDLREHQVVHSGARPFVCEQCGKTFARRPSLRLHRKTHQMPDAPSPCP CPVCGRLLATRGSLRNHMRLHTGEKPYLCPHCGQAFRQRGNLQGHLRLHTGERPYQCPHC ANTYPQLPELRRHLISHTGEAYLCPVCGKALRDPHTLRAHERLHSGERPFRCPQCDRAYT LATKLRRHLKSHMTDKPYQCPICGMGYVLPHSLKRHQLSHQCGVSSSPSLPPAASEPPMV LLQSEPELLDTCSQQEVPPGEGIVEVTISESQEKCFIVPEQPAPSPSLVLIHKDVGFRGW AEVVEAEAGT >ENSMUSP00000119082.1 pep:known chromosome:GRCm38:2:91647668:91649759:-1 gene:ENSMUSG00000075040.9 transcript:ENSMUST00000145582.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp408 description:zinc finger protein 408 [Source:MGI Symbol;Acc:MGI:2685857] MKEVEEVILGKIEPHPPEPPLGQDSGWSPSGKGFAPGLKACPPGTSPAILVLRSLPQGLA VGPSRSRNQHLGVWCVGAPLQPGLHWGPLEDESVLEKGEGMKTPHEERCLALPKQQ >ENSMUSP00000098712.3 pep:known chromosome:GRCm38:6:90656088:90716529:-1 gene:ENSMUSG00000034312.13 transcript:ENSMUST00000101153.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqsec1 description:IQ motif and Sec7 domain 1 [Source:MGI Symbol;Acc:MGI:1196356] MWCLHCNSERTQSLLELELDSGVEGEAPSSETGTSLDSPSAYHQGPLVPGSSLSPDHYEH TSVGAYGLYAGPGPQQRTRRPRLQHSTSVLRKQAEEEAIKRSRSLSESYELSSDLQDKQV EMLERKYGGRLVTRHAARTIQTAFRQYQMNKNFERLRSSMSENRMSRRIVLSNMRMQFSF EGPEKVHSSYFEGKQVSVTNDGSQLGALVPSECGDLSDPALKSPAPSSDFADAITELEDA FSRQVKSLAESIDDALNCRSLHSEEVPASDTARARDTEPKPGLHGMDHRKLDEMTASYSD VTLYIDEEELSPPLPLSQAGDRPSSTESDLRLRSGGAAQDYWALAHKEDKADTDTSCRST PSLERPEPRLRVEHLPLLTIEPPSDSSVELSDRSDRSSLKRQSAYERSLGGQQGSPKHGP HGGPPKGLPREEPELRPRPPRPLESHLAINGSANRQSKSESDYSDGDNDSINSTSNSNDT INCSSESSSRDSLREQTLSKQTYHKETRNSWDSPAFSNDVIRKRHYRIGLNLFNKKPEKG IQYLIERGFVPDTPVGVAHFLLQRKGLSRQMIGEFLGNRQKQFNRDVLDCVVDEMDFSAM ELDEALRKFQAHIRVQGEAQKVERLIEAFSQRYCVCNPGVVRQFRNPDTIFILAFAIILL NTDMYSPNVKPERKMKLEDFVKNLRGVDDGEDIPRETLIGIYERIRKRELKTNEDHVSQV QKVEKLIVGKKPIGSLHHGLGCVLSLPHRRLVCYCRLFEVPDPNKPQKLGLHQREIFLFN DLLVVTKIFQKKKNSVTYSFRQSFSLYGMQVLLFENQYYPNGIRLTSAVPGADIKVLINF NAPNPQDRKKFTDDLRESVAEVQEMEKHRIESELEKQKGVVRPSMSQCSSLKKESGNGTL SRACLDDSYASGEGLKRSALSSSLRDLSEAGKRGRRSSAGSLESNVEFQPFQPPQPPVLC S >ENSMUSP00000118802.1 pep:known chromosome:GRCm38:6:90690532:90764141:-1 gene:ENSMUSG00000034312.13 transcript:ENSMUST00000156834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqsec1 description:IQ motif and Sec7 domain 1 [Source:MGI Symbol;Acc:MGI:1196356] MKGDGGAVWGLMWKYCISVRTLSVEGEAPSSETGTSLDSPSAYHQGPLVPGSSLSPDHYE HTSVGAYGLYAGPGPQQRTRRPRLQHSTSVLRKQAEEEAIKRSRSLSESYELSSDLQDKQ VEMLERKYGGRLVTRHAARTIQTAFRQYQMNKNFERLRSSMSENRMSRRIVLSNMRMQFS FEGPEKVHSSYFEGKQVSVTNDGSQLGALVPSECGDLS >ENSMUSP00000098710.3 pep:known chromosome:GRCm38:6:90659598:90810123:-1 gene:ENSMUSG00000034312.13 transcript:ENSMUST00000101151.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqsec1 description:IQ motif and Sec7 domain 1 [Source:MGI Symbol;Acc:MGI:1196356] MACRRRYFVEGEAPSSETGTSLDSPSAYHQGPLVPGSSLSPDHYEHTSVGAYGLYAGPGP QQRTRRPRLQHSTSVLRKQAEEEAIKRSRSLSESYELSSDLQDKQVEMLERKYGGRLVTR HAARTIQTAFRQYQMNKNFERLRSSMSENRMSRRIVLSNMRMQFSFEGPEKVHSSYFEGK QVSVTNDGSQLGALVPSECGDLSDPALKSPAPSSDFADAITELEDAFSRQVKSLAESIDD ALNCRSLHSEEVPASDTARARDTEPKPGLHGMDHRKLDEMTASYSDVTLYIDEEELSPPL PLSQAGDRPSSTESDLRLRSGGAAQDYWALAHKEDKADTDTSCRSTPSLERPEPRLRVEH LPLLTIEPPSDSSVELSDRSDRSSLKRQSAYERSLGGQQGSPKHGPHGGPPKGLPREEPE LRPRPPRPLESHLAINGSANRQSKSESDYSDGDNDSINSTSNSNDTINCSSESSSRDSLR EQTLSKQTYHKETRNSWDSPAFSNDVIRKRHYRIGLNLFNKKPEKGIQYLIERGFVPDTP VGVAHFLLQRKGLSRQMIGEFLGNRQKQFNRDVLDCVVDEMDFSAMELDEALRKFQAHIR VQGEAQKVERLIEAFSQRYCVCNPGVVRQFRNPDTIFILAFAIILLNTDMYSPNVKPERK MKLEDFVKNLRGVDDGEDIPRETLIGIYERIRKRELKTNEDHVSQVQKVEKLIVGKKPIG SLHHGLGCVLSLPHRRLVCYCRLFEVPDPNKPQKLGLHQREIFLFNDLLVVTKIFQKKKN SVTYSFRQSFSLYGMQVLLFENQYYPNGIRLTSAVPGADIKVLINFNAPNPQDRKKFTDD LRESVAEVQEMEKHRIESELEKQKGVVRPSMSQCSSLKKESGNGTLSRACLDDSYASGEG LKRSALSSSLRDLSEAGKRGRRSSAGSLESNVEGSIISSPHMRRRATSTRECPSRPPQPV PNSSSLLGSLFGSRRGKPPPQAHPPSAPPPAPPHAHHPGPSEGPLHGHHAQYCHAQQNPP PYHHHHHYHPPPHLQHTHQYHHGPHGGHPPYGAHAHSHPPLPTAHPGHAGHSAHHHGQPP APPPPTSSKAKPSGISTVV >ENSMUSP00000031673.5 pep:known chromosome:GRCm38:6:3993792:3997436:1 gene:ENSMUSG00000029663.10 transcript:ENSMUST00000031673.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gngt1 description:guanine nucleotide binding protein (G protein), gamma transducing activity polypeptide 1 [Source:MGI Symbol;Acc:MGI:109165] MPVINIEDLTEKDKLKMEVDQLKKEVTLERMMVSKCCEEVRDYIEERSGEDPLVKGIPED KNPFKELKGGCVIS >ENSMUSP00000054275.7 pep:known chromosome:GRCm38:2:40595248:42653624:-1 gene:ENSMUSG00000049252.17 transcript:ENSMUST00000052550.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1b description:low density lipoprotein-related protein 1B (deleted in tumors) [Source:MGI Symbol;Acc:MGI:2151136] MSQLLLAILTLSGLLPNAEVLIVGANQDQHLCDPGEFLCHDHVTCVSQSWLCDGDPDCPD QSDESLDTCPEEVEIKCPLNHIACHGSSACVHLSKLCNGVVDCPDGFDEGGHCQELLPSC QQLNCQFKCAMVRNATRCYCEDGFEVAEDGRSCKDQDECSIYGICSQTCKNTYGSYACSC VEGYIMQSDNRSCKVKHEPTDKAPMLLISSLETIELFYINGSKMTTLSSANRNEIHTLDF IYSEEMICWIESRESSNQLKCGQITKAGRLTDQRIINSLQSFQNVEQMAFDWLTRNIYFV DHVSDRIFVCNFNGSVCVTLIESELHNPKAIAADPIAGKLFFTDYGNVPKIERCDLDGMN RTRIVYSKAEQPSALALDLVNRLVYWVDLYLDYVGVVDYQGKNRHTIVQGRQVKHLYGIT VFEDYLYATSSDNFNIIRINRFNGTDIHSIIKMESARGIRTYQKRTQPTVRSHACEVDAY GMPGGCSHICLLSSSYKTRTCRCRTGFNMGSDGRSCKRPKNELFLFYGKGRPGIVRGMDL NTKIADECMIPIENLVNPRALDFHAEANYIYFADTTSFLIGRQKIDGTERETILKDDLDN VEGIAVDWIGNNLYWTNDGHRKTINVARLEKASQSRKTLLEGGMSHPRAIVVDPVNGWMY WTDWKEDKIDDSVGRIEKAWMDGVNRQVFVTSKMLWPNGLTLDFHTSTLYWCDAYYDHIE KVFLNGTHRKVVYSGKELNHPFGLSHHGNYVFWTDYMNGSIFQLDLMTNEVTLLRHERAP LFGLQIYDPRKQQGDNMCRINNGGCGTLCLAIPAGRVCACADNQLLDENGTTCTFNPEEI RFHICKPGEFRCKNKHCIQARWKCDGDDDCLDGSDEDSVTCFNHSCPDDQFKCQNNRCIP KRWLCDGANDCGSNEDESNQTCTARTCQADQFSCGNGRCIPTAWLCDREDDCGDQTDEVA SCEFPTCEPLTQFICKSGRCISNKWHCDTDDDCGDRSDEVGCVHSCLDDQFRCSSGRCIP GHWACDGDNDCGDFSDETHINCTKEEARSPAGCIGNEFQCRPDGNCIPDLWRCDGEKDCE DGSDEKGCNGTIRLCDHKTKFSCRSTGRCINNAWVCDGDVDCEDQSDEEDCDSFLCGPPK YPCANDTSVCLQPEKLCNGRKDCPDGSDEGDLCDECSLNNGGCSNHCSVVPGRGIVCSCP EGHQLKKDNRTCEIVDYCASHLRCSQVCEQQKHMVKCSCYEGWALGTDGESCTSVDSFEA FIIFSIRHEIRRIDLHKGDYSLLVPGLRNTIALDFHFNQSLLYWTDVVEDRIYRGKLSES GGVSAIEVVVEHGLATPEGLTVDWIAGNIYWIDSNLDQIEVSKLDGSLRATLIAGAMEHP RAIALDPRYGILFWTDWDANFPRIESASMSGAGRKTIYKDMKTGAWPNGLTVDHFERRIV WTDARSDAIYSAFYDGTNMIEIIRGHEYLSHPFAVSLYGSEVYWTDWRTNTLAKANKWTG QNVSVIQKTSAQPFDLQIYHPSRQPQAPNPCAANEGRGPCSHLCLINHNRSAACACPHLM KLSSDKKTCYEMKKFLLYARRSEIRGVDIDNPYVNFITAFTVPDIDDVAVIDFDASEERL YWTDIKTQTITRAFINGTGLETVISRDIQSIRGLAVDWVSRNLYWISSEFDETQINVARL DGSLKTSIIHGIDKPQCLAAHPVRGKLYWTDGNTINMANMDGSNSKILFQNQKEPVGLSI DYVENKLYWISSGNGTINRCNLDGGNLEVIESMKEELTKATALTIMDKKLWWADQNLAQL GTCNKRDGRNPSILRNKTSGVVHMKVYDKEAQQGSNSCQVNNGGCSQLCLPTSETTRTCM CTVGYYLQKNRMSCQGIESFLMYSVHEGIRGIPLEPRDKVDALMPISGAAFAVGIDFHAE NDTIYWTDMGLNKISRAKRDQTWKEDVVTNGLGRVEGIAVDWIAGNIYWTDHGFNLIEVA RLNGSFRYVIISQGLDQPRSIAVHPEKGFLFWTEWGQVPCIGKARLDGSEKVMIVSVGIT WPNGISIDYEENKLYWCDARSDKIERIDLDTGANREVLLSGSNVDLFSVAVFGAYIYWSD RAHANGSVRRGHKNDATETVTMRTGLGVNLKEIKIFNRVREKGTNVCAKENGGCQQLCLY RGNSRRTCACAHGYLAGDGVTCLRHEGYLLYSGRTILKSIHLSDETNLNSPVRPYENPNY FKNIIALAFDYNQRREGTNRIFYSDAHFGNIQLIKDNWEDRQVIVENVGSVEGLAYHRAW DTLYWTSSSTSSITRHTVDQTRPGAIDREAVITMSEDDHPHVLALDECQNLMFWTNWNEQ HPSIMRATLTGKNAHVVVSTDILTPNGLTIDHRAEKLYFSDGSLGKIERCEYDGSQRHVI VKSGPGTFLSLAVYDSYIFWSDWGRRAILRSNKYTGGETKILRSDIPHQPMGIIAVANDT NSCELSPCALLNGGCHDLCLLTPDGRVNCSCRGDRVLLANNRCVTKNSSCNIYSEFECGN GDCVDYVLTCDGIPHCKDKSDEKLLYCENRSCRSGFKPCYNRRCVPHGKLCDGTNDCGDS SDELDCKVSTCSTVEFRCADGTCIPRSARCNQNMDCSDASDEKGCNNTDCTHFYKLGVKS TGFIRCNSTSLCVLPSWICDGSNDCGDYSDELKCPVQNKHKCEENYFGCPSGRCILNTWV CDGQKDCEDGLDELHCDSSCSWNQFACSVKKCISKHWICDGEDDCGDSLDESDSICGAVT CAADMFSCQGSHACVPQHWLCDGERDCPDGSDELSSAGCAPNNTCDENAFMCHNKVCIPK QFVCDHDDDCGDGSDEFLQCGYRQCGPEEFRCADGRCLVNTLWQCDGDFDCPDSSDEAPI NPRCRSAEHSCNSSFFMCKNGRCIPSDGLCDIRDDCGDGSDETNCHINECLSKKISGCSQ DCQDLPVSYKCKCWPGFQLKDDGKTCVDIDECSSGFPCSQQCINTYGTYKCHCAEGYETQ PDNPNGCRSLSDEEPFLILADQHEIRKISTDGSNYTLLKQGLNNVIALDFDYREEFIYWI DSSRPNGSRINRMCLNGSDIKVVHNTAVPNALAVDWIGKNLYWSDTEKRIIEVSKLNGLY PTVLVSKRLKFPRDLSLDPRAGNLYWIDCCEYPHIGRVGMDGTNQSVVIETKISRPMALT IDYVNHRLYWADENHIEFSNMDGSHRHKVPNQDIPGVIALTLFEDYIYWTDGKTKSLSRV HKTSGADRLSLINSWHAITDIQVYHSYRQPDVSKHLCTVNNGGCSHLCLLGPGKTHTCAC PTNFYLAADNRTCLSNCTASQFRCKTDKCIPFWWKCDTVDDCGDGSDEPDDCPEFKCQPG RFQCGTGLCALPAFICDGENDCGDNSDELNCDTHVCLAGQFKCTKNKKCIPVNLRCNGQD DCGDEEDEKDCPENSCSPDYFQCKTTKHCISKLWVCDEDPDCADASDEANCDKKTCGPHE FQCKNNNCIPDHWRCDNQNDCSDNSDEDNCKPQTCTLKDFLCSNGDCVSSRFWCDGEFDC ADGSDEKNCETSCSKDQFQCSNGQCLSAKWKCDGHEDCKYGEDEKNCEPAFPVCSSSEYM CASGGCLSASLKCNGEPDCVDGSDEMDCVIECKEDQFQCKNKAYCIPIRWLCDGIYDCVD GSDEETCGRGGSICRDDEFLCNNSLCKLHFWVCDGEDDCGDNSDEAPDMCVKFLCPPTRP YRCRNDRICLQLEKICNGINDCGDNSDEEHCSGKLSLKSKPCKKDEFTCSNRNCIPMELQ CDSLDDCGDGSDEQGCLKTPIEHTCENNGNPCGDDAYCNQIKTSVFCRCKPGFQRNMKGR ECADLNECLLFGICSHHCLNTRGSYKCVCDQNFQEKNNSCIAKGSEDQALYIANDTDILG FVYPFNYSGGHQQISHVEHNSRITGMDVHYQRNVIVWSTQFNPGGIFYKMIDAREKRQAN SGLICPEFKRPRDIAVDWVAGNVYWTDHSRMHWFSYYTTHWTSLRYSINVGQLNGPNCTR LLTNMAGEPYAIAVNPKRGMMYWTVIGDHSHIEEAAMDGTLRRVLVQKNLQRPTGLTVDH FGERIYWADFELSIIGSVLYDGSSPVVSVSSKQGLLHPHRIDVFEDYIYGAGPKNGIFRV QKFGHGSVEVLALGVDKTKSILVSHRYKQLNLPNPCLDLSCDFLCLLNPSGATCICPEGK YMMNGTCHDDSLLDDSCKLTCENGGRCILNEKGDLRCHCWPSYSGGRCEVNHCSNYCQNG GTCIPSTLGRPTCICALGFTGPNCGKAVCEDSCHNGGSCVVTAGNQPYCHCQADYTGDRC QYYVCHHYCVNSESCTIGNDGSVECVCPTRYEGPKCEIDKCVRCHGGHCIINKDNEDIFC NCTNGKIASSCQLCDGYCYNGGTCQLDPETSIPVCVCSTNWSGTQCERPAPKSSKSEHIS TRSIAIIVPLVLLVTLVTTLVIGLVVCKRKRRTKTIRRQPIINGGINVEIGNPSYNMYEV DHDHSDGGLLEPSFMIDPVKSRYIGGGSSAFKLPHTAPPIYLNSDLKGPLTSGPTNYSNP VYAKLYMDGQNCRNSLASVDERKELLPKKIEIGIRETVA >ENSMUSP00000117212.1 pep:known chromosome:GRCm38:2:40595534:40702800:-1 gene:ENSMUSG00000049252.17 transcript:ENSMUST00000142546.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1b description:low density lipoprotein-related protein 1B (deleted in tumors) [Source:MGI Symbol;Acc:MGI:2151136] XSNRNCIPMELQCDSLDDCGDGSDEQGCLKTPIEHTCENNGNPCGDDAYCNQIKTSVFCR CKPGFQRNMKGRECADLNECLLFGICSHHCLNTRGSYKCVCDQNFQEKNNSCIAKGSEDQ ALYIANDTDILGFVYPFNYSGGHQQISHVEHNSRITGMDVHYQRNVIVWSTQFNPGGIFY KMIDAREKRQANSGLICPEFKRPRDIAVDWVAGNVYWTDHSRMHWFSYYTTHWTSLRYSI NVGQLNGPNCTRLLTNMAGEPYAIAVNPKRGMMYWTVIGDHSHIEEAAMDGTLRRVLVQK NLQRPTGLTVDHFGERIYWADFELSIIGSVLYDGSSPVVSVSSKQGLLHPHRIDVFEDYI YGAGPKNGIFRVQKFGHGSVEVLALGVDKTKSILVSHRYKQLNLPNPCLDLSCDFLCLLN PSGATCICPEGKYMMNGTCHDDSLLDDSCKLTCENGGRCILNEKGDLRCHCWPSYSGGRC EVNHCSNYCQNGGTCIPSTLGRPTCICALGFTGPNCGKAVCEDSCHNGGSCVVTAGNQPY CHCQADYTGDRCQYYVCHHYCVNSESCTIGNDGSVECVCPTRYEGPKCEIDKCVRCHGGH CIINKDNEDIFCNCTNGKIASSCQLCDGYCYNGGTCQLDPETSIPVCVCSTNWSGTQCER PAPKSSKSEHISTRSIAIIVPLVLLVTLVTTLVIGLVVCKRKRRTKTIRRQPIINGGINV EIGNPSYNMYEVDHDHSDGGLLEPSFMIDPVKPTNYSNPVYAKLYMDGQNCRNSLASVDE RKELLPKKIEIGIRETVA >ENSMUSP00000145066.1 pep:known chromosome:GRCm38:2:40600284:40657388:-1 gene:ENSMUSG00000049252.17 transcript:ENSMUST00000203015.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1b description:low density lipoprotein-related protein 1B (deleted in tumors) [Source:MGI Symbol;Acc:MGI:2151136] GTCIPSTLGRPTCICALGFTGPNCGKAVCEDSCHNGGSCVVTAGNQPYCHCQADYTGDRC QYYVCHHYCVNSESCTIGNDGSVECVCPTRYEGPKCEIDKCVRCHGGHCIINKDNEDIFC NCTNGKIASSCQLCDGYCYNGGTCQLDPETSIPVCVCSVGFKSQRCDQKENPCDHYCQNE GICTLTAFNEPRCKCSTNWSGTQCERPAPKSSKSEHISTRSIAIIVPLVLLVTLVTTLVI GLVVCKRKRRTKTIRRQPIINGGINVEIGNPSYNMYEVDHDHSDGGLL >ENSMUSP00000145278.1 pep:known chromosome:GRCm38:2:41282066:42653057:-1 gene:ENSMUSG00000049252.17 transcript:ENSMUST00000203080.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1b description:low density lipoprotein-related protein 1B (deleted in tumors) [Source:MGI Symbol;Acc:MGI:2151136] MSQLLLAILTLSGLLPNAEVLIVGANQDQHLCDPGEFLCHDHVTCVSQSWLCDGDPDCPD QSDESLDTSRTCQADQFSCGNGRCIPTAWLCDREDDCGDQTDEVASCEFPTCEPLTQFIC KSGRCISNKWHCDTDDDCGDRSDEVGCVHSCLDDQFRCSSGRCIPGHWACDGDNDCGDFS DETHINCTKEEARSPAGCIGNEFQCRPDGNCIPDLWRCDGEKDCEDGSDEKGCNGTIRLC DHKTKFSCRSTGRCINNAWVCDGDVDCEDQSDEEDCDSFLCGPPKYPCANDTSVCLQPEK LCNGRKDCPDGSDEGDLCDECSLNNGGCSNHCSVVPGRGIVCSCPEGHQLKKDNRTCEIV DYCASHLRCSQVCEQQKHMVKCSCYEGWALGTDGESCTSVDSFEAFIIFSIRHEIRRIDL HKGDYSLLVPGLRNTIALDFHFNQSLLYWTDVVEDRIYRGKLSESGGVSAIEVVVEHGLA TPEGLTVDWIAGNIYWIDSNLDQIEVSKLDGSLRATLIAGAMEHPRAIALDPRYGILFWT DWDANFPRIESASMSGAGRKTIYKDMKTGAWPNGLTVDHFERRIVWTDARSDAIYSAFYD GTNMIEIIRGHEYLSHPFAVSLYGSEVYWTDWRTNTLAKANKWTGQNVSVIQKTSAQPFD LQIYHPSRQPQAPNPCAANEGRGPCSHLCLINHNRSAACACPHLMKLSSDKKTCYEMKKF LLYARRSEIRGVDIDNPYVNFITAFTVPDIDDVAVIDFDASEERLYWTDIKTQTITRAFI NG >ENSMUSP00000145045.1 pep:known chromosome:GRCm38:2:42054119:42652926:-1 gene:ENSMUSG00000049252.17 transcript:ENSMUST00000204204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1b description:low density lipoprotein-related protein 1B (deleted in tumors) [Source:MGI Symbol;Acc:MGI:2151136] MSQLLLAILTLSGLLPNAEVLIVGANQDQHLCDPGEFLCHDHVTCVSQSWLCDGDPDCPD QSDESLDT >ENSMUSP00000144957.1 pep:known chromosome:GRCm38:2:42649878:42653015:-1 gene:ENSMUSG00000049252.17 transcript:ENSMUST00000142688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1b description:low density lipoprotein-related protein 1B (deleted in tumors) [Source:MGI Symbol;Acc:MGI:2151136] MSQLLLAILTLSGLLPNAEVLIVGANQGPVLSVPFPPGTFGLGCGFCPGIPSAADVGSEF AFL >ENSMUSP00000139874.1 pep:known chromosome:GRCm38:2:40595534:40627336:-1 gene:ENSMUSG00000049252.17 transcript:ENSMUST00000185258.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1b description:low density lipoprotein-related protein 1B (deleted in tumors) [Source:MGI Symbol;Acc:MGI:2151136] VNSAKRKCSTNWSGTQCERPAPKSSKSEHISTRSIAIIVPLVLLVTLVTTLVIGLVVCKR KRRTKTIRRQPIINGGINVEIGNPSYNMYEVDHDHSDGGLLEPSFMIDPVKPTNYSNPVY AKLYMDGQNCRNSLASVDERKELLPKKIEIGIRETVA >ENSMUSP00000129192.2 pep:known chromosome:GRCm38:2:40801393:41789078:-1 gene:ENSMUSG00000049252.17 transcript:ENSMUST00000167270.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp1b description:low density lipoprotein-related protein 1B (deleted in tumors) [Source:MGI Symbol;Acc:MGI:2151136] ELLPSCQQLNCQFKCAMVRNATRCYCEDGFEVAEDGRSCKDQDECSIYGICSQTCKNTYG SYACSCVEGYIMQSDNRSCKVKHEPTDKAPMLLISSLETIELFYINGSKMTTLSSANRNE IHTLDFIYSEEMICWIESRESSNQLKCGQITKAGRLTDQRIINSLQSFQNVEQMAFDWLT RNIYFVDHVSDRIFVCNFNGSVCVTLIESELHNPKAIAADPIAGKLFFTDYGNVPKIERC DLDGMNRTRIVYSKAEQPSALALDLVNRLVYWVDLYLDYVGVVDYQGKNRHTIVQGRQVK HLYGITVFEDYLYATSSDNFNIIRINRFNGTDIHSIIKMESARGIRTYQKRTQPTVRSHA CEVDAYGMPGGCSHICLLSSSYKTRTCRCRTGFNMGSDGRSCKRPKNELFLFYGKGRPGI VRGMDLNTKIADECMIPIENLVNPRALDFHAEANYIYFADTTSFLIGRQKIDGTERETIL KDDLDNVEGIAVDWIGNNLYWTNDGHRKTINVARLEKASQSRKTLLEGGMSHPRAIVVDP VNGWMYWTDWKEDKIDDSVGRIEKAWMDGVNRQVFVTSKMLWPNGLTLDFHTSTLYWCDA YYDHIEKVFLNGTHRKVVYSGKELNHPFGLSHHGNYVFWTDYMNGSIFQLDLMTNEVTLL RHERAPLFGLQIYDPRKQQGDNMCRINNGGCGTLCLAIPAGRVCACADNQLLDENGTTCT FNPEEIRFHICKPGEFRCKNKHCIQARWKCDGDDDCLDGSDEDSVTCFNHSCPDDQFKCQ NNRCIPKRWLCDGANDCGSNEDESNQTCTARTCQADQFSCGNGRCIPTAWLCDREDDCGD QTDEVASCEFPTCEPLTQFICKSGRCISNKWHCDTDDDCGDRSDEVGCVHSCLDDQFRCS SGRCIPGHWACDGDNDCGDFSDETHINCTKEEARSPAGCIGNEFQCRPDGNCIPDLWRCD GEKDCEDGSDEKGCNGTIRLCDHKTKFSCRSTGRCINNAWVCDGDVDCEDQSDEEDCDSF LCGPPKYPCANDTSVCLQPEKLCNGRKDCPDGSDEGDLCDECSLNNGGCSNHCSVVPGRG IVCSCPEGHQLKKDNRTCEIVDYCASHLRCSQVCEQQKHMVKCSCYEGWALGTDGESCTS VDSFEAFIIFSIRHEIRRIDLHKGDYSLLVPGLRNTIALDFHFNQSLLYWTDVVEDRIYR GKLSESGGVSAIEVVVEHGLATPEGLTVDWIAGNIYWIDSNLDQIEVSKLDGSLRATLIA GAMEHPRAIALDPRYGILFWTDWDANFPRIESASMSGAGRKTIYKDMKTGAWPNGLTVDH FERRIVWTDARSDAIYSAFYDGTNMIEIIRGHEYLSHPFAVSLYGSEVYWTDWRTNTLAK ANKWTGQNVSVIQKTSAQPFDLQIYHPSRQPQAPNPCAANEGRGPCSHLCLINHNRSAAC ACPHLMKLSSDKKTCYEMKKFLLYARRSEIRGVDIDNPYVNFITAFTVPDIDDVAVIDFD ASEERLYWTDIKTQTITRAFINGTGLETVISRDIQSIRGLAVDWVSRNLYWISSEFDETQ INVARLDGSLKTSIIHGIDKPQCLAAHPVRGKLYWTDGNTINMANMDGSNSKILFQNQKE PVGLSIDYVENKLYWISSGNGTINRCNLDGGNLEVIESMKEELTKATALTIMDKKLWWAD QNLAQLGTCNKRDGRNPSILRNKTSGVVHMKVYDKEAQQGSNSCQVNNGGCSQLCLPTSE TTRTCMCTVGYYLQKNRMSCQGIESFLMYSVHEGIRGIPLEPRDKVDALMPISGAAFAVG IDFHAENDTIYWTDMGLNKISRAKRDQTWKEDVVTNGLGRVEGIAVDWIAGNIYWTDHGF NLIEVARLNGSFRYVIISQGLDQPRSIAVHPEKGFLFWTEWGQVPCIGKARLDGSEKVMI VSVGITWPNGISIDYEENKLYWCDARSDKIERIDLDTGANREVLLSGSNVDLFSVAVFGA YIYWSDRAHANGSVRRGHKNDATETVTMRTGLGVNLKEIKIFNRVREKGTNVCAKENGGC QQLCLYRGNSRRTCACAHGYLAGDGVTCLRHEGYLLYSGRTILKSIHLSDETNLNSPVRP YENPNYFKNIIALAFDYNQRREGTNRIFYSDAHFGNIQLIKDNWEDRQVIVENVGSVEGL AYHRAWDTLYWTSSSTSSITRHTVDQTRPGAIDREAVITMSEDDHPHVLALDECQNLMFW TNWNEQHPSIMRATLTGKNAHVVVSTDILTPNGLTIDHRAEKLYFSDGSLGKIERCEYDG SQRHVIVKSGPGTFLSLAVYDSYIFWSDWGRRAILRSNKYTGGETKILRSDIPHQPMGII AVANDTNSCELSPCALLNGGCHDLCLLTPDGRVNCSCRGDRVLLANNRCVTKNSSCNIYS EFECGNGDCVDYVLTCDGIPHCKDKSDEKLLYCENRSCRSGFKPCYNRRCVPHGKLCDGT NDCGDSSDELDCKVSTCSTVEFRCADGTCIPRSARCNQNMDCSDASDEKGCNNTDCTHFY KLGVKSTGFIRCNSTSLCVLPSWICDGSNDCGDYSDELKCPVQNKHKCEENYFGCPSGRC ILNTWVCDGQKDCEDGLDELHCDSSCSWNQFACSVKKCISKHWICDGEDDCGDSLDESDS ICGAVTCAADMFSCQGSHACVPQHWLCDGERDCPDGSDELSSAGCAPNNTCDENAFMCHN KVCIPKQFVCDHDDDCGDGSDEFLQCGYRQCGPEEFRCADGRCLVNTLWQCDGDFDCPDS SDEAPINPRCRSAEHSCNSSFFMCKNGRCIPSDGLCDIRDDCGDGSDETNCHINECLSKK ISGCSQDCQDLPVSYKCKCWPGFQLKDDGKTCVDIDECSSGFPCSQQCINTYGTYKCHCA EGYETQPDNPNGCRSLSDEEPFLILADQHEIRKISTDGSNYTLLKQGLNNVIALDFDYRE EFIYWIDSSRPNGSRINRMCLNGSDIKVVHNTAVPNALAVDWIGKNLYWSDTEKRIIEVS KLNGLYPTVLVSKRLKFPRDLSLDPRAGNLYWIDCCEYPHIGRVGMDGTNQSVVIETKIS RPMALTIDYVNHRLYWADENHIEFSNMDGSHRHKVPNQDIPGVIALTLFEDYIYWTDGKT KSLSRVHKTSGADRLSLINSWHAITDIQVYHSYRQPDVSKHLCTVNNGGCSHLCLLGPGK THTCACPTNFYLAADNRTCLSNCTASQFRCKTDKCIPFWWKCDTVDDCGDGSDEPDDCPE FKCQPGRFQCGTGLCALPAFICDGENDCGDNSDELNCDTHVCLAGQFKCTKNKKCIPVNL RCNGQDDCGDEEDEKDCPENSCSPDYFQCKTTKHCISKLWVCDEDPDCADASDEANC >ENSMUSP00000027601.4 pep:known chromosome:GRCm38:1:128331590:128359664:-1 gene:ENSMUSG00000026355.11 transcript:ENSMUST00000027601.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm6 description:minichromosome maintenance deficient 6 (MIS5 homolog, S. pombe) (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1298227] MDLAAAAEPGAGSQHPEVRDEVAEKCQKLFLDFLEEFQGSDGEIKYLQFAEELIRPERNT LVVSFADLEQFNQQLSTTIQEEFYRVYPYLCRALKTFVKDRKEIPFAKDFYVAFQDLPTR HKIRELTSSRIGLLTRISGQVVRTHPVHPELVSGTFLCLDCQTVIKDVEQQFKYTQPNIC RNPVCANRKRFLLDTNKSRFVDFQKVRIQETQAELPRGSIPRSLEVILRAEAVESAQAGD RCDFTGALIVVPDVSKLSTPGARAETNSRVSGADGYETEGIRGLRALGVRDLSYRLVFLA CHVAPTNPRFGGKELRDEEQTAESIKNQMTVKEWEKVFEMSQDKNLYHNLCTSLFPTIHG NDEVKRGVLLMLFGGVPKTTGEGTSLRGDINVCIVGDPSTAKSQFLKHVDEFSPRAVYTS GKASSAAGLTAAVVRDEESHEFVIEAGALMLADNGVCCIDEFDKMDMRDQVAIHEAMEQQ TISITKAGVKATLNARTSILAAANPVSGHYDRSKSLKQNINLSAPIMSRFDLFFILVDEC NEVTDYAIARRIVDLHSRIEESIDRVYSLDDIRRYLLFARQFKPKISKESEDFIVEQYKR LRQRDGSGVTKSSWRITVRQLESMIRLSESMARMHCCDEVQPKHVKEAFRLLNKSIIRVE TPDVNLDQEEEIQMETDEGQGGVNGHADSPAPVNRFNGSSEDASQETVSKPSLRLGFAEY CRISNLIVLHLRKMEEEEDESALKRSELVNWYLKEIESEIDSEEELINKKTIIEKVVHRL THYDHVLIELTQAGLKGSSEGSESYEEDPYLVVNPNYLLED >ENSMUSP00000140308.1 pep:known chromosome:GRCm38:1:128331593:128359647:-1 gene:ENSMUSG00000026355.11 transcript:ENSMUST00000190495.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm6 description:minichromosome maintenance deficient 6 (MIS5 homolog, S. pombe) (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1298227] MDLAAAAEPGAGSQHPEVRDEVAEKCQKLFLDFLEEFQGSDGEIKYLQFAEELIRPERNT LVVSFADLEQFNQQLSTTIQEEFYRVYPYLCRALKTFVKDRKEIPFAKDFYVAFQDLPTR HKIRELTSSRIGLLTRISGQVVRTHPVHPELVSGTFLCLDCQTVIKDVEQQFKYTQPNIC RNPVCANRKRFLLDTNKSRFVDFQKVRIQETQAELPRGSIPRSLEVILRAEAVESAQAGD RCDFTGALIVVPDVSKLSTPGARAETNSRVSGADGYETEGIRGLRALGVRDLSYRLVFLA CHVAPTNPRFGGKELRDEEQTAESIKNQMTVKEWEKVFEMSQDKNLYHNLCTSLFPTIHG NDEVKRGVLLMLFGGVPKTTGEGTSLRGDINVCIVGDPSTAKSQFLKHVDEFSPRAVYTS GKASSAAGLTAAVVRDEESHEFVIEAGALMLADNGVCCIDEFDKMDMRDQVAIHEAMEQQ TISITKAGVKATLNARTSILAAANPVSGHYDRSKSLKQNINLSAPIMSRFDLFFILVDEC NEVTDYAIARRIVDLHSRIEESIDRVYSLDDIRRYLLFARQFKPKISKESEDFIVEQYKR LRQRDGSGVTKSSWRITVRQLESMIRLSESMARMHCCDEVQPKHVKEAFRLLNKSIIRVE TPDVNLDQEEEIQMETDEGQGGVNGHADSPAPVNRFNGSSEDASQETVSKPSLRLGFAEY CRISNLIVLHLRKMEEEEDESALKRSELVNWYLKEIESEIDSEEELINKKTIIEKVVHRL THYVSVHCLGSRAL >ENSMUSP00000032343.6 pep:known chromosome:GRCm38:6:136907311:136922180:-1 gene:ENSMUSG00000030219.13 transcript:ENSMUST00000032343.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erp27 description:endoplasmic reticulum protein 27 [Source:MGI Symbol;Acc:MGI:1916437] MKITRSRCLILSFVLVCGLVPEVTADVEEATDGLSTTQEPIWLTDVPATVELIAAAEVAV IGFFQDLEIPIVSVFRSMARQFQDVSFGISNHSEVLTHYNVTSNSICLFRLVDDQQLHLN AEDIENLDAAKLSRFIHVNNLHWVTEYSPMIAAGLFNTMVQTHLLLMMKKTSPEYEESMR RYREAAKLFQGQILFVLVDSGKRENGKVMSYFKLKESQLPALAIYESVDDKWDTLPIAEV TVEKVRGFCEGFLKGLLQRDHEAEGDSGKEEL >ENSMUSP00000029116.7 pep:known chromosome:GRCm38:2:181837854:181857461:1 gene:ENSMUSG00000027589.14 transcript:ENSMUST00000029116.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmtd2 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase domain containing 2 [Source:MGI Symbol;Acc:MGI:1923927] MGGAVSAGEDNDELIDNLKEAQYIRTDLVEQAFRAIDRADYYLEEFKENAYKDLAWKHGN IHLSAPCIYSEVMEALDLQPGLSFLNLGSGTGYLSSMVGLILGPFGVNHGVELHSDVTEY AKQKLDVFIRTSDSFDKFDFCEPSFVTGNCLEIAPDCCQYDRVYCGAGVQKEHEEYMKNL LKVGGILVMPLEEKLTKITRTGPSAWETKKILAVSFAPLVQPCRSESGQSRLVQLPPPAV RSLQDLARLAIRGSIKRAMRQEATRGGGLKNTPMFKRRRVRRRRMETIVFLDKEVFASRI SNPSDDTSCEDAEEDRREVAERTLQETKPEPPVNFLRQRVLRLPLPDPLKYYLLYYREK >ENSMUSP00000104385.1 pep:known chromosome:GRCm38:2:181837913:181857446:1 gene:ENSMUSG00000027589.14 transcript:ENSMUST00000108754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmtd2 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase domain containing 2 [Source:MGI Symbol;Acc:MGI:1923927] MGGAVSAGEDNDELIDNLKEAQYIRTDLVEQAFRAIDRADYYLEEFKENAYKDLAWKHGN IHLSAPCIYSEVMEALDLQPGLSFLNLGSGTGYLSSMVGLILGPFGVNHGVELHSDVTEY AKQKLDVFIRTSDSFDKFDFCEPSFVTGNCLEIAPDCCQYDRVYCGAGVQKEHEEYMKNL LKVGGILVMPLEEKIVDQDNTHRSFCLGDKKDSGCFLCPSGPALPFGIRTVQTCPATTTS RAQPTGPGPACYPWQHQEGYATGSHKRRWPEEHTYV >ENSMUSP00000115096.1 pep:known chromosome:GRCm38:2:181840833:181846611:1 gene:ENSMUSG00000027589.14 transcript:ENSMUST00000139434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmtd2 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase domain containing 2 [Source:MGI Symbol;Acc:MGI:1923927] MGGAVSAGEDNDELIDNLKEAQYIRTDLVEQAFRAIDRADYYLEEFKENAYKDLAWKHGN IHLSAPCIYSEVMEALDLQPGLSFLNLGSGTGYLSSMVGLILGPFGVNHGVELHSDVTEY AKQKLDVFIRTSDSFDKFDFCEPSFVTGNCLEIAPDCCQYDRVYCGAGVQKEHEEYMKNL LKVGG >ENSMUSP00000115743.1 pep:known chromosome:GRCm38:2:181844454:181855338:1 gene:ENSMUSG00000027589.14 transcript:ENSMUST00000124346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmtd2 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase domain containing 2 [Source:MGI Symbol;Acc:MGI:1923927] XQKLDVFIRTSDSFDKFDFCEPSFVTGNCLEIAPDCCQYDRVYCGAGVQKEHEEYMKNLL KVGGILVMPLEEKLTKITRTGPSAWETKKILAVSFAPLVQPCRSESGQSRLVQLRDTTSR AQPTGPGPACYPWQHQEGYATGSHKRRWPEEHTYV >ENSMUSP00000136356.1 pep:known chromosome:GRCm38:Y:57531412:57533744:-1 gene:ENSMUSG00000096122.2 transcript:ENSMUST00000178181.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21943 description:predicted gene, 21943 [Source:MGI Symbol;Acc:MGI:5439394] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFRKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000107448.1 pep:known chromosome:GRCm38:14:46808149:46822242:-1 gene:ENSMUSG00000062014.12 transcript:ENSMUST00000111817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmfb description:glia maturation factor, beta [Source:MGI Symbol;Acc:MGI:1927133] MSESLVVCDVAEDLVEKLRKFRFRKETHNAAIIMKIDKDERLVVLDEELEGVSPDELKDE LPERQPRFIVYSYKYQHDDGRVSYPLCFIFSSPVGCKPEQQMMYAGSKNKLVQTAELTKV FEIRNTEDLTEEWLREKLGFFH >ENSMUSP00000118225.1 pep:known chromosome:GRCm38:14:46814262:46819575:-1 gene:ENSMUSG00000062014.12 transcript:ENSMUST00000145958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmfb description:glia maturation factor, beta [Source:MGI Symbol;Acc:MGI:1927133] MKIDKDERLVVLDEELEGVSPDELKDELPERQPRFIVYSYKYQHDDGRVSYPLCFIFSSP VGCKPEQQMMYAGSKNKLVQ >ENSMUSP00000078293.5 pep:known chromosome:GRCm38:14:46808149:46822242:-1 gene:ENSMUSG00000062014.12 transcript:ENSMUST00000079314.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmfb description:glia maturation factor, beta [Source:MGI Symbol;Acc:MGI:1927133] MSESLVVCDVAEDLVEKLRKFRFRKETHNAAIIMKIDKDERLVVLDEELEGVSPDELKDE LPERQPRFIVYSYKYQHDDGRVSYPLCFIFSSPVGCKPEQQMMYAGSKNKLVQTAELTKV FEIRNTEDLTEEWLREKLGFFH >ENSMUSP00000118672.1 pep:known chromosome:GRCm38:4:109406623:109428134:1 gene:ENSMUSG00000028555.15 transcript:ENSMUST00000124209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39a description:tetratricopeptide repeat domain 39A [Source:MGI Symbol;Acc:MGI:2444350] MTALDLFLTNQFSEALSYLKPRTKESMYHSLTYATILEMQAMMTFDPQDILLAGNMMKEA QSLCQRHRRKSSMTDSFSNLVHRPTIDQFTEEEIHAEVCYAECLLQRAALTFLQDENMVS FIKGGIKVRNSYQTYKE >ENSMUSP00000066334.7 pep:known chromosome:GRCm38:4:109407087:109444745:1 gene:ENSMUSG00000028555.15 transcript:ENSMUST00000064129.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39a description:tetratricopeptide repeat domain 39A [Source:MGI Symbol;Acc:MGI:2444350] MTTASGPGDLPAGSPVSSLHEALDQCMTALDLFLTNQFSEALSYLKPRTKESMYHSLTYA TILEMQAMMTFDPQDILLAGNMMKEAQSLCQRHRRKSSMTDSFSNLVHRPTIDQFTEEEI HAEVCYAECLLQRAALTFLQDENMVSFIKGGIKVRNSYQTYKELDSLVQSSQYSKGESHR HFEGGVKLGVGAFNLTLSMLPTRILRLLEFVGFSGNKDYGLLQLEEGATGHSFRAVLCVM LLLCYHTFLTFVLGTGNVNIEEAEKLLKPYLNRYPKGAIFLFFAGRIEAIKGNIDAAVRR FEECCEAQQHWKQFHHMCYWELMWCFTYKGQWKMAYFYADLLSKENSWSKATYIYMKAAY LSMFGKEDYKPFGDNEVELFRAVPGLKLKIAGKSLPTEKFAIRKSRRYLSPNPISLPIPA LEMMYIWNGYAVIGKQPTLTDGMLEVITKAEEMLAMGPENEYSADDDCLVKLLKGLCLKY LGRIQEAEENFRSISANEKKIKYDHYLIPNALLELALLFMEQGRNEEAIKLLESAKQNYK NYSMESRTHFRIQAATLQARSSLEDGNRSLVSSVSL >ENSMUSP00000102230.1 pep:known chromosome:GRCm38:4:109407110:109424238:1 gene:ENSMUSG00000028555.15 transcript:ENSMUST00000106619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39a description:tetratricopeptide repeat domain 39A [Source:MGI Symbol;Acc:MGI:2444350] MTTASGPGDLPAGSPVSSLHEALDQCMTALDLFLTNQFSEALSYLKPRTKESMYHSLTYA TILEMQAMMTFDPQDILLAGNMMKEAQSLCQRHRRKSSMTDSFSNLVHRPTIDQFTEEEI HAEVCYAECLLQRAALTFLQVGSSPHPSPPHTWAESILDQSPSPSMTCPLRSDKARGSDS QTLKPGQAG >ENSMUSP00000117621.1 pep:known chromosome:GRCm38:4:109414776:109428202:1 gene:ENSMUSG00000028555.15 transcript:ENSMUST00000153315.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39a description:tetratricopeptide repeat domain 39A [Source:MGI Symbol;Acc:MGI:2444350] MTALDLFLTNQFSEALSYLKPRTKESMYHSLTYATILEMQAMMTFDPQDILLAGNMMKEA QSLCQRHRRKSSMTDSFSNLVHRPTIDQFTEEEIHAEVCYAECLLQRAALTFLQDENMVS FIKGGIKVRNSYQTYKELDSLVQSSQYSKGESHRHFEGGV >ENSMUSP00000102229.1 pep:known chromosome:GRCm38:4:109415648:109444382:1 gene:ENSMUSG00000028555.15 transcript:ENSMUST00000106618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39a description:tetratricopeptide repeat domain 39A [Source:MGI Symbol;Acc:MGI:2444350] MGQKGHKDSLYDCKGSPVSSLHEALDQCMTALDLFLTNQFSEALSYLKPRTKESMYHSLT YATILEMQAMMTFDPQDILLAGNMMKEAQSLCQRHRRKSSMTDSFSNLVHRPTIDQFTEE EIHAEVCYAECLLQRAALTFLQDENMVSFIKGGIKVRNSYQTYKELDSLVQSSQYSKGES HRHFEGGVKLGVGAFNLTLSMLPTRILRLLEFVGFSGNKDYGLLQLEEGATGHSFRAVLC VMLLLCYHTFLTFVLGTGNVNIEEAEKLLKPYLNRYPKGAIFLFFAGRIEAIKGNIDAAV RRFEECCEAQQHWKQFHHMCYWELMWCFTYKGQWKMAYFYADLLSKENSWSKATYIYMKA AYLSMFGKEDYKPFGDNEVELFRAVPGLKLKIAGKSLPTEKFAIRKSRRYLSPNPISLPI PALEMMYIWNGYAVIGKQPTLTDGMLEVITKAEEMLAMGPENEYSADDDCLVKLLKGLCL KYLGRIQEAEENFRSISANEKKIKYDHYLIPNALLELALLFMEQGRNEEAIKLLESAKQN YKNYSMESRTHFRIQAATLQARSSLEDGNRSLVSSVSL >ENSMUSP00000121779.1 pep:known chromosome:GRCm38:4:109420942:109426365:1 gene:ENSMUSG00000028555.15 transcript:ENSMUST00000139237.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39a description:tetratricopeptide repeat domain 39A [Source:MGI Symbol;Acc:MGI:2444350] MYHSLTYATILEMQAMMTFDPQDILLAGNMMKEAQSLCQRHRRKSSMTDSFSNLVHRPTI DQFTEEEIHAEVCYAECLLQRAALTFLQDENMVSFIKGGIKVRNSYQTY >ENSMUSP00000013737.6 pep:known chromosome:GRCm38:1:171234853:171247122:-1 gene:ENSMUSG00000013593.12 transcript:ENSMUST00000013737.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs2 description:NADH dehydrogenase (ubiquinone) Fe-S protein 2 [Source:MGI Symbol;Acc:MGI:2385112] MAALRALRCLRGVGAPVLRPGSGIRLPSQPSRGARQWQPDIEWAEQFSGAVMYPSKETAH WKPPPWNDVDILKEKAVTNMTLNFGPQHPAAHGVLRLVLELSGEMVRKCDPHIGLLHRGT EKLIEYKTYLQALPYFDRLDYVSMMCNEQAYSIAVEKLLNIQPPPRAQWIRVLFGEITRI LNHIMAVTTHALDIGAMTPFFWMFEEREKMFEFYERVSGARMHAAYIRPGGVHQDLPLGL LDDIYEFSKNFSLRIDEVEEMLTNNRIWRNRTVDIGVVTAEDALNYGFSGVMLRGSGIQW DLRKTQPYDVYDQVEFDVPIGSRGDCYDRYLCRVEEMRQSLRIIEQCLNKMPPGEIKVDD AKVSPPKRAEMKTSMESLIHHFKLYTEGYQVPPGATYTAIEAPKGEFGVYLVSDGSSRPY RCKIKAPGFAHLAGLDKMSKGHMLADVVAIIGTQDIVFGEIDR >ENSMUSP00000106950.1 pep:known chromosome:GRCm38:1:171234853:171247122:-1 gene:ENSMUSG00000013593.12 transcript:ENSMUST00000111318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs2 description:NADH dehydrogenase (ubiquinone) Fe-S protein 2 [Source:MGI Symbol;Acc:MGI:2385112] MAALRALRCLRGVGAPVLRPGSGIRLPSQPSRGARQWQPDIEWAEQFSGAVMYPSKETAH WKPPPWNDVDILKEKAVTNMTLNFGPQHPAAHGVLRLVLELSGEMVRKCDPHIGLLHRGT EKLIEYKTYLQALPYFDRLDYVSMMCNEQAYSIAVEKLLNIQPPPRAQWIRVLFGEITRI LNHIMAVTTHALDIGAMTPFFWMFEEREKMFEFYERVSGARMHAAYIRPGGVHQMLTNNR IWRNRTVDIGVVTAEDALNYGFSGVMLRGSGIQWDLRKTQPYDVYDQVEFDVPIGSRGDC YDRYLCRVEEMRQSLRIIEQCLNKMPPGEIKVDDAKVSPPKRAEMKTSMESLIHHFKLYT EGYQVPPGATYTAIEAPKGEFGVYLVSDGSSRPYRCKIKAPGFAHLAGLDKMSKGHMLAD VVAIIGTQDIVFGEIDR >ENSMUSP00000141370.1 pep:known chromosome:GRCm38:1:171238520:171251251:-1 gene:ENSMUSG00000013593.12 transcript:ENSMUST00000194778.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs2 description:NADH dehydrogenase (ubiquinone) Fe-S protein 2 [Source:MGI Symbol;Acc:MGI:2385112] MVPVRGARQWQPDIEWAEQFSGAVMYPSKETAHWKPPPWNDVDILKEKAVTNMTLNFGPQ HPAAHGVLRLVLELSGEMVRKCDPHIGLLHRGTEKLIEYKTYLQALPYFDRLDYVSMMCN EQAYSIAVEKLLNIQPPPRAQWIRVLFGEITRILNHIMAVTTHALDIGAMTPFFWMFEER EKMFEFYERVSGARMHAAYIRPGGVHQDLPLGLLDDIYEFSKNFSLRIDEV >ENSMUSP00000141942.1 pep:known chromosome:GRCm38:1:171238738:171251388:-1 gene:ENSMUSG00000013593.12 transcript:ENSMUST00000191871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs2 description:NADH dehydrogenase (ubiquinone) Fe-S protein 2 [Source:MGI Symbol;Acc:MGI:2385112] MTLNFGPQHPAAHGVLRLVLELSGEMVRKCDPHIGLLHRGTEKLIEYKTYLQALPYFDRL DYVSMMCNEQAYSIAVEKLLNIQPPPRAQWIRVLFGEITRILNHIMAVTTHALDIGAMTP FFWMFEEREKMFEFYERVSGARMHAA >ENSMUSP00000047093.2 pep:known chromosome:GRCm38:9:107340602:107349692:1 gene:ENSMUSG00000037977.6 transcript:ENSMUST00000042581.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6430571L13Rik description:RIKEN cDNA 6430571L13 gene [Source:MGI Symbol;Acc:MGI:2445137] MNSRVPATQSWFSSHLPTTEPDLEPATAAEGSTTETATLSPETTSFNDTRIPDVAGGAAG VGTMLLSFGIITVIGLAVAMVLYIRKKKRLEKLRHQLMPMYNFDPTEEQDELEQELLEHG RDAASMQAAASLQVTQGKSTLPSQGPLQRPSRLVFTDVANAIHA >ENSMUSP00000025218.7 pep:known chromosome:GRCm38:18:34902785:34932007:-1 gene:ENSMUSG00000024360.7 transcript:ENSMUST00000025218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etf1 description:eukaryotic translation termination factor 1 [Source:MGI Symbol;Acc:MGI:2385071] MADDPSAADRNVEIWKIKKLIKSLEAARGNGTSMISLIIPPKDQISRVAKMLADEFGTAS NIKSRVNRLSVLGAITSVQQRLKLYNKVPPNGLVVYCGTIVTEEGKEKKVNIDFEPFKPI NTSLYLCDNKFHTEALTALLSDDSKFGFIVIDGSGALFGTLQGNTREVLHKFTVDLPKKH GRGGQSALRFARLRMEKRHNYVRKVAETAVQLFISGDKVNVAGLVLAGSADFKTELSQSD MFDQRLQSKVLKLVDISYGGENGFNQAIELSTEVLSNVKFIQEKKLIGRYFDEISQDTGK YCFGVEDTLKALEMGAVEILIVYENLDIMRYVLHCQGTEEEKILYLTPEQEKDKSHFTDK ETGQEHELIESMPLLEWFANNYKKFGATLEIVTDKSQEGSQFVKGFGGIGGILRYRVDFQ GMEYQGGDDEFFDLDDY >ENSMUSP00000136929.1 pep:known chromosome:GRCm38:18:34931834:34931941:-1 gene:ENSMUSG00000024360.7 transcript:ENSMUST00000180351.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etf1 description:eukaryotic translation termination factor 1 [Source:MGI Symbol;Acc:MGI:2385071] MQLPGEREPVSYVRAAAAAEPPPGRSSRCRPGLGP >ENSMUSP00000024200.6 pep:known chromosome:GRCm38:4:137401554:137409791:-1 gene:ENSMUSG00000078520.3 transcript:ENSMUST00000024200.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cela3a description:chymotrypsin-like elastase family, member 3A [Source:MGI Symbol;Acc:MGI:3651647] MLRLLSSLLLVALASGCGQPSHNPSSRVVNGEEAVPHSWPWQVSLQYEMGGSFHHTCGGS LITPDWVLTAGHCIMPYLNYRVVLGEHEHGVEEGSEQVIPINAGELFVHPKWNSECVNCG NNIALVKLSRSAQLGDAVQLACLPPAGEILPNGAPCYISGWGRLSTNGPLPTKLQQALLP VVDYEHCSRWDWWGHYVKRTMVCAGGYIQAHSLSSDTHQPRLLSPLQGDSGGPLNCPADN GTWQVHGIASFVSPSGCNTLKKPTMFTRVSAFIDWIEETIANN >ENSMUSP00000116098.1 pep:known chromosome:GRCm38:4:133969028:134000890:-1 gene:ENSMUSG00000012117.13 transcript:ENSMUST00000144668.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhdds description:dehydrodolichyl diphosphate synthase [Source:MGI Symbol;Acc:MGI:1914672] MSWIKEGELSLWERFCANIIKAGPVPKHIAFIMDGNRRYAKKCQVERQEGHTQGFNKLAE TLRWCLNLGILEVTVYAFSIENFKRSKSEVDGLLDLARQKFSCLMEEQEKLQKHGVCIRV LGDLHLLPLDLQEKIAHAIQATKNYNKCFLNVCFAYTSRHEIANAVREMAWGVEQGLLEP SDVSESLLDKCLYSNHSPHPDILIRTSGEVRLSDFLLWQTSHSCLVFQPVLWPEYTFWNL CEAILQFQRNHGALQKARDMYAEERKRRQLERDQAAVTEQLLREGLQASGDAQLRRTRLH KLSTKREERVQGFLKALELKRANWLALWGTASA >ENSMUSP00000101511.1 pep:known chromosome:GRCm38:4:133970193:134000871:-1 gene:ENSMUSG00000012117.13 transcript:ENSMUST00000105887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhdds description:dehydrodolichyl diphosphate synthase [Source:MGI Symbol;Acc:MGI:1914672] MSWIKEGELSLWERFCANIIKAGPVPKHIAFIMDGNRRYAKKCQVERQEGHTQGFNKLAE TLRWCLNLGILEVTVYAFSIENFKRSKSEVDGLLDLARQKFSCLMEEQEKLQKHGVCIRV LGDLHLLPLDLQEKIAHAIQATKNYNKCFLNVCFAYTSRHEIANAVREMAWGVEQGLLEP SDVSESLLDKCLYSNHSPHPDILIRTSGEVRLSDFLLWQTSHSCLVFQPVLWPEYTFWNL CEAILQFQRNHGALQQKARDMYAEERKRRQLERDQAAVTEQLLREGLQASGDAQLRRTRL HKLSTKREERVQGFLKALELKRANWLALWGTASA >ENSMUSP00000012262.5 pep:known chromosome:GRCm38:4:133969057:134000887:-1 gene:ENSMUSG00000012117.13 transcript:ENSMUST00000012262.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhdds description:dehydrodolichyl diphosphate synthase [Source:MGI Symbol;Acc:MGI:1914672] MSWIKEGELSLWERFCANIIKAGPVPKHIAFIMDGNRRYAKKCQVERQEGHTQGFNKLAE TLRWCLNLGILEVTVYAFSIENFKRSKSEVDGLLDLARQKFSCLMEEQEKLQKHGVCIRV LGDLHLLPLDLQEKIAHAIQATKNYNKCFLNVCFAYTSRHEIANAVREMAWGVEQGLLEP SDVSESLLDKCLYSNHSPHPDILIRTSGEVRLSDFLLWQTSHSCLVFQPVLWPEYTFWNL CEAILQFQRNHGALQKARDMYAEERKRRQLERDQAAVTEQLLREGLQASGDAQLRRTRLH KLSTKREERVQGFLKALELKRANWLALWGTASA >ENSMUSP00000101510.1 pep:known chromosome:GRCm38:4:133970949:134000393:-1 gene:ENSMUSG00000012117.13 transcript:ENSMUST00000105886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhdds description:dehydrodolichyl diphosphate synthase [Source:MGI Symbol;Acc:MGI:1914672] MSWIKEGELSLWERFCANIIKAGPVPKHIAFIMDGNRRYAKKCQVERQEGHTQGFNKLAE TLRWCLNLGILEVTVYAFSIENFKRSKSEVDGLLDLARQKFSCLMEEQCFLNVCFAYTSR HEIANAVREMAWGVEQGLLEPSDVSESLLDKCLYSNHSPHPDILIRTSGEVRLSDFLLWQ TSHSCLVFQPVLWPEYTFWNLCEAILQFQRNHGALQKARDMYAEERKRRQLERDQAAVTE QLLREGLQASGDAQLRRTRLHKLSTKREERVQGFLKALELKRANWLALWGTASA >ENSMUSP00000101512.3 pep:known chromosome:GRCm38:4:133971243:134000918:-1 gene:ENSMUSG00000012117.13 transcript:ENSMUST00000105889.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhdds description:dehydrodolichyl diphosphate synthase [Source:MGI Symbol;Acc:MGI:1914672] MSWIKEGELSLWERFCANIIKAGPVPKHIAFIMDGNRRYAKKCQVERQEGHTQGFNKLAE TLRWCLNLGILEVTVYAFSIENFKRSKSEVDGLLDLARQKFSCLMEEQEKLQKHGVCIRV LGDLHLLPLDLQEKIAHAIQATKNYNKCFLNVCFAYTSRHEIANAVREMAWGVEQGLLEP SDVSESLLDKCLYSNHSPHPDILIRTSGEVRLSDFLLWQTSHSCLVFQPVLWPEYTFWNL CEAILQFQRNHGALQKAR >ENSMUSP00000101509.1 pep:known chromosome:GRCm38:4:133970981:134000393:-1 gene:ENSMUSG00000012117.13 transcript:ENSMUST00000105885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhdds description:dehydrodolichyl diphosphate synthase [Source:MGI Symbol;Acc:MGI:1914672] MSWIKEGELSLWERFCANIIKAGPVPKHIAFIMDGNRRYAKKCQVERQEGHTQGFNKLAE TLRWCLNLGILEVTVYAFSIENFKRSKSEVDGLLDLARQKFSCLMEEQEKLQKHGVCIRV LGDLHLLPLDLQEKIAHAIQATKNYNNDVSESLLDKCLYSNHSPHPDILIRTSGEVRLSD FLLWQTSHSCLVFQPVLWPEYTFWNLCEAILQFQRNHGALQKARDMYAEERKRRQLERDQ AAVTEQLLREGLQASGDAQLRRTRLHKLSTKREERVQGFLKALELKRANWLALWGTASA >ENSMUSP00000121656.1 pep:known chromosome:GRCm38:4:133970921:133994199:-1 gene:ENSMUSG00000012117.13 transcript:ENSMUST00000130464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhdds description:dehydrodolichyl diphosphate synthase [Source:MGI Symbol;Acc:MGI:1914672] LARQKFSCLMEEQEKLQKHGVCIRVLGDLHLLPLDLQEKIAHAIQATKNYNNDVSESLLD KCLYSNHSPHPDILIRTSGEVRLSDFLLWQKARDMYAEERKRRQLERDQAAVTEQLLREG LQASGDAQLRRTRLHKLSTKREERVQGFLKALELKRANWLALWGTASA >ENSMUSP00000058152.1 pep:known chromosome:GRCm38:X:37048845:37050418:1 gene:ENSMUSG00000044400.1 transcript:ENSMUST00000060057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sowahd description:sosondowah ankyrin repeat domain family member D [Source:MGI Symbol;Acc:MGI:3045274] MAQALEDGNPLPKASNRPAESEAPSDPQIKDNHCLGRYKVQAVRDSANLSQERIFHSALT VSGASWARRRGELRELLGLQGAAPAGWLSEEHLEPAVPGSRRSSGQGSSRVCLEPREHAW ILAAAECRFEVLLEMLEAEPSLLMREDPITGYSVLHWLAKHGRHEELILLHDFARRRGLP FDVSAPGSGGLTPLHLAALQGHDMVIKVLVGALGADPSRRDHSGNRPCHYLRPDASLNLR ELSGAEEWEIERDRKRENANNNSSRTTTTTTTTSRWLKRTPSASCIKSTGVHYKEASQPV KEKKASSTQEGQGRCLRRYLFPFTQNR >ENSMUSP00000053097.3 pep:known chromosome:GRCm38:11:69823122:69834849:-1 gene:ENSMUSG00000051790.15 transcript:ENSMUST00000056484.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn2 description:neuroligin 2 [Source:MGI Symbol;Acc:MGI:2681835] MWLLALCLVGLAGAQRGGGGPGGGAPGGPGLGLGSLGEERFPVVNTAYGRVRGVRRELNN EILGPVVQFLGVPYATPPLGARRFQPPEAPASWPGVRNATTLPPACPQNLHGALPAIMLP VWFTDNLEAAATYVQNQSEDCLYLNLYVPTEDGPLTKKRDEATLNPPDTDIRDSGKKPVM LFLHGGSYMEGTGNMFDGSVLAAYGNVIVVTLNYRLGVLGFLSTGDQAAKGNYGLLDQIQ ALRWLSENIAHFGGDPERITIFGSGAGASCVNLLILSHHSEGLFQKAIAQSGTAISSWSV NYQPLKYTRLLAAKVGCDREDSTEAVECLRRKSSRELVDQDVQPARYHIAFGPVVDGDVV PDDPEILMQQGEFLNYDMLIGVNQGEGLKFVEDSAESEDGVSASAFDFTVSNFVDNLYGY PEGKDVLRETIKFMYTDWADRDNGEMRRKTLLALFTDHQWVAPAVATAKLHADYQSPVYF YTFYHHCQAEGRPEWADAAHGDELPYVFGVPMVGATDLFPCNFSKNDVMLSAVVMTYWTN FAKTGDPNQPVPQDTKFIHTKPNRFEEVVWSKFNSKEKQYLHIGLKPRVRDNYRANKVAF WLELVPHLHNLHTELFTTTTRLPPYATRWPPRTPGPGTSGTRRPPPPATLPPESDIDLGP RAYDRFPGDSRDYSTELSVTVAVGASLLFLNILAFAALYYKRDRRQELRCRRLSPPGGSG SGVPGGGPLLPTAGRELPPEEELVSLQLKRGGGVGADPAEALRPACPPDYTLALRRAPDD VPLLAPGALTLLPSGLGPPPPPPPPSLHPFGPFPPPPPTATSHNNTLPHPHSTTRV >ENSMUSP00000104274.2 pep:known chromosome:GRCm38:11:69823122:69837784:-1 gene:ENSMUSG00000051790.15 transcript:ENSMUST00000108634.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn2 description:neuroligin 2 [Source:MGI Symbol;Acc:MGI:2681835] MWLLALCLVGLAGAQRGGGGPGGGAPGGPGLGLGSLGEERFPVVNTAYGRVRGVRRELNN EILGPVVQFLGVPYATPPLGARRFQPPEAPASWPGVRNATTLPPACPQNLHGALPAIMLP VWFTDNLEAAATYVQNQSEDCLYLNLYVPTEDGPLTKKRDEATLNPPDTDIRDSGKKPVM LFLHGGSYMEGTGNMFDGSVLAAYGNVIVVTLNYRLGVLGFLSTGDQAAKGNYGLLDQIQ ALRWLSENIAHFGGDPERITIFGSGAGASCVNLLILSHHSEGLFQKAIAQSGTAISSWSV NYQPLKYTRLLAAKVGCDREDSTEAVECLRRKSSRELVDQDVQPARYHIAFGPVVDGDVV PDDPEILMQQGEFLNYDMLIGVNQGEGLKFVEDSAESEDGVSASAFDFTVSNFVDNLYGY PEGKDVLRETIKFMYTDWADRDNGEMRRKTLLALFTDHQWVAPAVATAKLHADYQSPVYF YTFYHHCQAEGRPEWADAAHGDELPYVFGVPMVGATDLFPCNFSKNDVMLSAVVMTYWTN FAKTGDPNQPVPQDTKFIHTKPNRFEEVVWSKFNSKEKQYLHIGLKPRVRDNYRANKVAF WLELVPHLHNLHTELFTTTTRLPPYATRWPPRTPGPGTSGTRRPPPPATLPPESDIDLGP RAYDRFPGDSRDYSTELSVTVAVGASLLFLNILAFAALYYKRDRRQELRCRRLSPPGGSG SGVPGGGPLLPTAGRELPPEEELVSLQLKRGGGVGADPAEALRPACPPDYTLALRRAPDD VPLLAPGALTLLPSGLGPPPPPPPPSLHPFGPFPPPPPTATSHNNTLPHPHSTTRV >ENSMUSP00000120428.1 pep:known chromosome:GRCm38:11:69827739:69834259:-1 gene:ENSMUSG00000051790.15 transcript:ENSMUST00000139893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlgn2 description:neuroligin 2 [Source:MGI Symbol;Acc:MGI:2681835] XEILGPVVQFLGVPYATPPLGARRFQPPEAPASWPGVRNATTLPPACPQNLHGALPAIML PVWFTDNLEAAATYVQNQSEDCLYLNLYVPTEDDIRDSGKKPVMLFLHGGSYMEGTGNMF DGSVLAAYGNVIVVTLNYRLGVLGFLSTGDQAAKGNYGLLDQIQALRWLSENIAHFGGDP ERITIFGSGAGASCVNLLILSHHSEGLFQKAIAQSGTAISSWSVNYQPLKYTRLLAAKVG CDREDSTEAVECLRRKSSRELV >ENSMUSP00000045135.6 pep:known chromosome:GRCm38:2:122348892:122366828:-1 gene:ENSMUSG00000033256.14 transcript:ENSMUST00000048635.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shf description:Src homology 2 domain containing F [Source:MGI Symbol;Acc:MGI:3613669] MEPYEAQKMMAEIRGSKETAAQPLPLYDTPYEPEDEGASPEGEGTPWPRESRLPEDDERP PEEYDQPWEWKKERISKAFAAQFEGSENCLSPGREEKGRLPPRLSAGNPKSAKPLGMEPS SPLGEWTDPALPLENQVWYHGAISRTDAENLLRLCKEASYLVRNSETSKNDFSLSLKSSQ GFMHMKLSRTKEHKYVLGQNSPPFSSVPEIVHHYASRKLPIKGAEHMSLLYPVAIRTL >ENSMUSP00000114524.1 pep:known chromosome:GRCm38:2:122349128:122369137:-1 gene:ENSMUSG00000033256.14 transcript:ENSMUST00000151130.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shf description:Src homology 2 domain containing F [Source:MGI Symbol;Acc:MGI:3613669] XPMLLSGAPPTGSGPGPRAQGSAGGGPGGSRRGAGGAGTGPGGGGSGGVAKWLREHLGFR GGGGGGGAKPAPPEPDYRPPAPCPAAPPAPPPDILAAYRLQRDRDFEDPYSGGPSASAAL STPAVPGPTPPPRHGSPPHRLIRVETPGPPAPPPDSGPPASSDRLAILEDYADPFDVQET GEGSGGTSGAPGKVPENDGYMEPYEAQKMMAEIRGSKETAAQPLPLYDTPYEPEDEGASP EGEGTPWPRESRLPEDDERPPEEYDQPWEWKKERISKAFAAQFEGSENCLSPGREEKGRL PPRLSAGNPKSAKPLGMEPSSPLGEWTDPALPLENQLVPRGHQPNRCREPAQAVQRGQLP GAQQ >ENSMUSP00000117099.1 pep:known chromosome:GRCm38:2:122349128:122369162:-1 gene:ENSMUSG00000033256.14 transcript:ENSMUST00000125826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shf description:Src homology 2 domain containing F [Source:MGI Symbol;Acc:MGI:3613669] AVCSLSWEGPMLLSGAPPTGSGPGPRAQGSAGGGPGGSRRGAGGAGTGPGGGGSGGVAKW LREHLGFRGGGGGGGAKPAPPEPDYRPPAPCPAAPPAPPPDILAAYRLQRDRDFEDPYSG GPSASAALSTPAVPGPTPPPRHGSPPHRLIRVETPGPPAPPPDSGPPASSDRLAILEDYA DPFDVQETGEGSGGTSGAPGKVPENDGYMEPYEAQKMMAEIRGSKETAAQPLPLYDTPYE PEDEGASPEGEGTPWPRESRLPEDDERPPEEYDQPWEWKKERISKAFAAQFEGSENCLSP GREEKGRLPPRLSAGNPKSAKPLGMEPSSPLGEWTDPALPLENQVWYHGAISRTDAENLL RLCKEASYLVRNSETSKNDFSLSLKSSQGFMHMKLSRTKEHKYVLGQNSPPFSSVPEIVH HYASRKLPIKGAEHMSLLYPVAIRTL >ENSMUSP00000106161.2 pep:known chromosome:GRCm38:2:122349128:122368832:-1 gene:ENSMUSG00000033256.14 transcript:ENSMUST00000110532.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shf description:Src homology 2 domain containing F [Source:MGI Symbol;Acc:MGI:3613669] DRDFEDPYSGGPSASAALSTPAVPGPTPPPRHGSPPHRLIRVETPGPPAPPPDSGPPASS DRLAILEDYADPFDVQETGEGSGGTSGAPGKVPENDGYMEPYEAQKMMAEIRGSKETAAQ PLPLYDTPYEPEDEGASPEGEGTPWPRESRLPEDDERPPEEYDQPWEWKKERISKAFAAQ FEGSENCLSPGREEKGRLPPRLSAGNPKSAKPLGMEPSSPLGEWTDPALPLENQVSSQGF MHMKLSRTKEHKYVLGQNSPPFSSVPEIVHHYASRKLPIKGAEHMSLLYPVAIRTL >ENSMUSP00000119980.1 pep:known chromosome:GRCm38:2:122349128:122359586:-1 gene:ENSMUSG00000033256.14 transcript:ENSMUST00000139819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shf description:Src homology 2 domain containing F [Source:MGI Symbol;Acc:MGI:3613669] AILEDYADPFDVQETGEGSGGTSGAPGKVPENDGYMEPYEAQKMMAEIRGSKETAAQPLP LYDTPYEPEDEGASPEGEGTPWPRESRLPEDDERPPEEYDQPWEWKKERISKAFAVDIKV IKDLPWPPPVGQLDSSPSLPDGDRDISGPASPLPEPSLEDSSAQFEGSENCLSPGREEKG RLPPRLSAGNPKSAKPLGMEPSSPLGEWTDPALPLENQVWYHGAISRTDAENLLRLCKEA SYLVRNSETSKNDFSLSLKSSQGFMHMKLSRTKEHKYVLGQNSPPFSSVPEIVHHYASRK LPIKGAEHMSLLYPVAIRTL >ENSMUSP00000106159.2 pep:known chromosome:GRCm38:2:122349199:122358266:-1 gene:ENSMUSG00000033256.14 transcript:ENSMUST00000110530.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shf description:Src homology 2 domain containing F [Source:MGI Symbol;Acc:MGI:3613669] MQKHREIRGSKETAAQPLPLYDTPYEPEDEGASPEGEGTPWPRESRLPEDDERPPEEYDQ PWEWKKERISKAFAAQFEGSENCLSPGREEKGRLPPRLSAGNPKSAKPLGMEPSSPLGEW TDPALPLENQVWYHGAISRTDAENLLRLCKEASYLVRNSETSKNDFSLSLKSSQGFMHMK LSRTKEHKYVLGQNSPPFSSVPEIVHHYASRKLPIKGAEHMSLLYPVAIRTL >ENSMUSP00000113923.1 pep:known chromosome:GRCm38:2:122349199:122358240:-1 gene:ENSMUSG00000033256.14 transcript:ENSMUST00000121237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shf description:Src homology 2 domain containing F [Source:MGI Symbol;Acc:MGI:3613669] MQKHREIRGSKETAAQPLPLYDTPYEPEDEGASPEGEGTPWPRESRLPEDDERPPEEYDQ PWEWKKERISKAFAAQFEGSENCLSPGREEKGRLPPRLSAGNPKSAKPLGMEPSSPLGEW TDPALPLENQLVPRGHQPNRCREPAQAVQRGQLPGAQQ >ENSMUSP00000120732.1 pep:known chromosome:GRCm38:2:122349388:122357220:-1 gene:ENSMUSG00000033256.14 transcript:ENSMUST00000143484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shf description:Src homology 2 domain containing F [Source:MGI Symbol;Acc:MGI:3613669] XEGASPEGEGTPWPRESRLPEDDERPPEEYDQPWEWKKERISKAFAGDTQNGWAWTARDP AEMPPFPSLILSWYHGAISRTDAENLLRLCKEASYLVRNSETSKNDFSLSLKSSQGFMHM KLSRTKEHKYVLGQNSPPFSSVPEIVHHYASRKLPIKGAEHM >ENSMUSP00000106160.2 pep:known chromosome:GRCm38:2:122348893:122368918:-1 gene:ENSMUSG00000033256.14 transcript:ENSMUST00000110531.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shf description:Src homology 2 domain containing F [Source:MGI Symbol;Acc:MGI:3613669] MEPYEAQKMMAEIRGSKETAAQPLPLYDTPYEPEDEGASPEGEGTPWPRESRLPEDDERP PEEYDQPWEWKKERISKAFAAQFEGSENCLSPGREEKGRLPPRLSAGNPKSAKPLGMEPS SPLGEWTDPALPLENQVWYHGAISRTDAENLLRLCKEASYLVRNSETSKNDFSLSLKSSQ GFMHMKLSRTKEHKYVLGQNSPPFSSVPEIVHHYASRKLPIKGAEHMSLLYPVAIRTL >ENSMUSP00000044582.8 pep:known chromosome:GRCm38:2:181864337:181870830:1 gene:ENSMUSG00000038628.8 transcript:ENSMUST00000039551.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3k description:polymerase (RNA) III (DNA directed) polypeptide K [Source:MGI Symbol;Acc:MGI:1914255] MLLFCPGCGNGLIVEEGQRCHRFACNTCPYVHNITRKVTNRKYPKLKEVDDVLGGAAAWE NVDSTAEPCPKCEHPRAYFMQLQTRSADEPMTTFYKCCNAQCGHRWRD >ENSMUSP00000027602.8 pep:known chromosome:GRCm38:1:128363707:128417359:-1 gene:ENSMUSG00000026356.15 transcript:ENSMUST00000027602.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dars description:aspartyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2442544] MPSTNASRKGQEKPREIVDAAEDYAKERYGISSMIQSQEKPDRVLVRVKDLTVQKADDVV WVRARVHTSRAKGKQCFLVLRQQQFNVQALVAVGDHASKQMVKFAANINKESIIDVEGVV RKVNQKIGSCTQQDVELHVQKIYVISLAEPRLPLQLDDAIRPEVEGEEDGRATVNQDTRL DNRVIDLRTSTSQAIFRLQSGICHLFRETLINKGFVEIQTPKIISAASEGGANVFTVSYF KNNAYLAQSPQLYKQMCICADFEKVFCIGPVFRAEDSNTHRHLTEFVGLDIEMAFNYHYH EVVEEIADTLVQIFKGLQERFQTEIQTVSKQFPCEPFKFLEPTLRLEYCEALAMLREAGV EMDDEEDLSTPNEKLLGRLVKEKYDTDFYVLDKYPLAVRPFYTMPDPRNPKQSNSYDMFM RGEEILSGAQRIHDPQLLTERALHHGIDLEKIKAYIDSFRFGAPPHAGGGIGLERVTMLF LGLHNVRQTSMFPRDPKRLTP >ENSMUSP00000066866.7 pep:known chromosome:GRCm38:1:128412118:128417368:-1 gene:ENSMUSG00000026356.15 transcript:ENSMUST00000064309.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dars description:aspartyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2442544] MPSTNASRKGQEKPREIVDAAEDYAKERYGISSMIQSQEKPDRVLVRVKDLTVQKADDVV WVRARVHTSRAKDWEPTDRLFFLFFNY >ENSMUSP00000125303.1 pep:known chromosome:GRCm38:6:125690419:126040126:1 gene:ENSMUSG00000038115.16 transcript:ENSMUST00000160496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano2 description:anoctamin 2 [Source:MGI Symbol;Acc:MGI:2387214] MAAPGLRDIPLLPGSPRRLSSRTVARGSQGPKHGQQYLKVPGHRAPGQRDNSSLHPSQVS RRESSRDRSVINNYLDANEPPSSEARLSRMHFHDNQRKVDYVLAYHYRKRGAHLGHGSPG HSLAVISNGETGKERHGGGPGDVELGPLDALEEERREQRDEFEHNLMAAGLELEKDLESK SQGSVFVRIHAPWQVLAREAEFLKIKVPTKKMYEIKAGGSIAKKFSAILQTLSSPLQPRV PEHSNNRMKNLSYPFSREKMYLYNIQEKDTFFDNATRSRIVHEILKRTACSRANNTMGIN SLIANNIYEAAYPLHDGEYDSPGDDMNDRKLLYQEWARYGVFYKFQPIDLIRKYFGEKIG LYFAWLGLYTSFLIPSSVIGVIVFLYGCATIEEDIPSKEMCDHQNAFTMCPLCDKSCDYW NLSSACGTARASHLFDNPATVFFSIFMALWATMFLENWKRLQMRLGYFWDLTGIEEEEER SQEHSRPEYETKVREKLLKESGKSAVQKLEANSPEDDEDDEDKLTWKDRFPGYLMNFASI LFMIALTFSIVFGVIVYRITTAAALSLNKATRSNVRVTVTATAVIINLVVILILDEIYGA VAKWLTKIEVPKTEQTFEERLILKAFLLKFVNAYSPIFYVAFFKGRFVGRPGSYVYVFDG YRMEECAPGGCLMELCIQLSIIMLGKQLIQNNIFEIGVPKLKKLFRKLKDETEPGESDPD HSKRPEQWDLDHSLEPYTGLTPEYMEMIIQFGFVTLFVASFPLAPVFALLNNVIEVRLDA KKFVTELRRPDAVRTKDIGIWFDILSGIGKFSVIINAFVIAVTSDFIPRLVYQYSYSHNG TLHGFVNHTLSFFNVSQLKEGTQPENSQFDQEVQFCRFKDYREPPWAPNPYEFSKQYWSV LSARLAFVIIFQNLVMFLSVLVDWMIPDIPTDISDQIKKEKSLLVDFFLKEEHEKVKLAD EPTQRSQGGGDRSRRSRAASSAPSGRSQPGSIASSGSQHTNV >ENSMUSP00000125426.2 pep:known chromosome:GRCm38:6:125710626:126040126:1 gene:ENSMUSG00000038115.16 transcript:ENSMUST00000161619.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ano2 description:anoctamin 2 [Source:MGI Symbol;Acc:MGI:2387214] XKHGQQYLKVPGHRAPGQRDNSSLHPSQVSRRESSRDRSVINNYLDANEPPSSEARLSRM HFHDNQRKVDYVLAYHYRKRGAHLGHGSPGHSLAVISNGETGKERHGGGPGDVELGPLDA LEEERREQRDEFEHNLMAAGLELEKDLESKSQGSVFVRIHAPWQVLAREAEFLKIKVPTK KMYEIKAGGSIAKKFSAILQTLSSPLQPRVPEHSNNRMKNLSYPFSREKMYLYNIQEKDT FFDNATRSRIVHEILKRTACSRANNTMGINSLIANNIYEAAYPLHDGEYDSPGDDMNDRK LLYQEWARYGVFYKFQPIDLIRKYFGEKIGLYFAWLGLYTSFLIPSSVIGVIVFLYGCAT IEEDIPSKEMCDHQNAFTMCPLCDKSCDYWNLSSACGTARASHLFDNPATVFFSIFMALW ATMFLENWKRLQMRLGYFWDLTGIEEEEERSQEHSRPEYETKVREKLLKESGKSAVQKLE ANSPEDDEPSTS >ENSMUSP00000123965.1 pep:known chromosome:GRCm38:6:125815535:126040124:1 gene:ENSMUSG00000038115.16 transcript:ENSMUST00000159984.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ano2 description:anoctamin 2 [Source:MGI Symbol;Acc:MGI:2387214] LLYQEWARYGVFYKFQPIDLIRKYFGEKIGLYFAWLGLYTSFLIPSSVIGVIVFLYGCAT IEEDIPSKEMCDHQNAFTMCPLCDKSCDYWNLSSACGTARASHLFDNPATVFFSIFMALW ATMFLENWKRLQMRLGYFWDLTGIEEEEEHSRPEYETKVREKLLKESGKSAVQKLEANSP EDDEPSTS >ENSMUSP00000040198.7 pep:known chromosome:GRCm38:17:57043711:57059863:-1 gene:ENSMUSG00000046329.14 transcript:ENSMUST00000040280.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a23 description:solute carrier family 25 (mitochondrial carrier; phosphate carrier), member 23 [Source:MGI Symbol;Acc:MGI:1914222] MRGGSSDAERRQRWGRLFEELDSNKDGRVDVHELRQGLARLGRGDPDRAQQGVSSDWDAD PDGGLSLEEFTRYLQEREQRLLLMFHSLDRNQDGHIDVSEIQQSFRALGISISLEQAEKI LHSMDRDGTMTIDWQEWRDHFLLHSLENVEDVLYFWKHSTVLDIGECLTVPDEFSQEEKL TGMWWKQLVAGAVAGAVSRTGTAPLDRLKVFMQVHASKSNRLNILGGLRNMIQEGGVLSL WRGNGINVLKIAPESAIKFMAYEQIKRAIRGQQETLHVQERFVAGSLAGATAQTIIYPME VLKTRLTLRRTGQYKGLLDCAKRILEREGPRAFYRGYLPNVLGIIPYAGIDLAVYETLKN RWLQQYSHESANPGILVLLGCGTISSTCGQIASYPLALVRTRMQAQASIEGGPQVSMVGL LRHILSQEGVWGLYRGIAPNFMKVIPAVSISYVVYENMKQALGVTSR >ENSMUSP00000132962.1 pep:known chromosome:GRCm38:17:57045199:57052824:-1 gene:ENSMUSG00000046329.14 transcript:ENSMUST00000163442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a23 description:solute carrier family 25 (mitochondrial carrier; phosphate carrier), member 23 [Source:MGI Symbol;Acc:MGI:1914222] XRTGQYKGLLDCAKRILEREGPRAFYRGYLPNVLGIIPYAGIDLAVYETLKNRWLQQYSH ESANPGILVLLGCGTISSTCGQIASYPLALVRTRMQAQGLHGGSTSTHPVPGGCMGPLPG HCPQLHEGHSSREHLLRGLREHEAGSGGHIQVRDREPVPLKLPHLHHGIPYADIFNHRD >ENSMUSP00000132169.1 pep:known chromosome:GRCm38:17:57045485:57053829:-1 gene:ENSMUSG00000046329.14 transcript:ENSMUST00000170015.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a23 description:solute carrier family 25 (mitochondrial carrier; phosphate carrier), member 23 [Source:MGI Symbol;Acc:MGI:1914222] XRTGTAPLDRLKVFMQVHASKSNRLNILGGLRNMIQEGGVLSLWRGNGINVLKIAPESAI KFMAYEQIKRAIRGQQETLHVQERFVAGSLAGATAQTIIYPMETRLTLRRTGQYKGLLDC AKRILEREGPRAFYRGYLPNVLGIIPYAGIDLAVYETLKNRWLQQYSHESANPGILVLLG CGTISSTCGQIASYPLALVRTRMQAQASIEGGPQVSMVGLLRHILSQEGVWGLYRGIAPN FMKVIPAVSISYVVYENMKQALGVTS >ENSMUSP00000128348.1 pep:known chromosome:GRCm38:17:57047051:57053582:-1 gene:ENSMUSG00000046329.14 transcript:ENSMUST00000171528.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a23 description:solute carrier family 25 (mitochondrial carrier; phosphate carrier), member 23 [Source:MGI Symbol;Acc:MGI:1914222] PESAIKFMAYEQIKRAIRGQQETLHVQERFVAGSLAGATAQTIIYPMEVLKTRLTLRRTG QYKGLLDCAKRILEREGPRAFYRGYLPNVLGIIPYAGIDLAVYETLKNRWLQQYSHESAN PGILVLLGCGTISSTCGQIASYPLALVRTRMQAQGEKCGQEAGVGKPATWVPPAPT >ENSMUSP00000129354.1 pep:known chromosome:GRCm38:17:57047195:57055385:-1 gene:ENSMUSG00000046329.14 transcript:ENSMUST00000171128.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a23 description:solute carrier family 25 (mitochondrial carrier; phosphate carrier), member 23 [Source:MGI Symbol;Acc:MGI:1914222] XMDRDGTMTIDWQEWRDHFLLHSLENVEDVLYFWKHSTPLFSGPGHR >ENSMUSP00000045241.4 pep:known chromosome:GRCm38:4:126058565:126092195:1 gene:ENSMUSG00000042616.7 transcript:ENSMUST00000035497.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oscp1 description:organic solute carrier partner 1 [Source:MGI Symbol;Acc:MGI:1916308] MSVRTLPLLFLNLGGEMLYVLDQRLRAQNIPGDKARKVLNDIISTMFNRKFMDELFKPQE LYSKKALRTVYDRLAHASIMRLNQASMDKLYDLMTMAFKYQVLLCPRPKDVLLVTFNHLD AIKGFVQDSPTVIHQVDETFRQLSEVYGKLSEGEFQLIRQTLLNFFQDLHIRVSTFLKDK VQNSNGRFVLPVSGPVPWGTEVPGVIRVFSVKGKEVKKMKFRHGGDYVAAQKEGSFELYG DRVLKLGTNMYSASRPVETHMSATSKNAASRAQENIVPNPLAKEELNFLARLMGGMEIKK PSGPEPGFRLNLFTTDEEEEHAALSRPEELSYEVISIQATQDQQRNEELARIMGEFEITE QLEQNTSKGDDLLAMMDRL >ENSMUSP00000049146.7 pep:known chromosome:GRCm38:9:57708540:57752499:1 gene:ENSMUSG00000038957.13 transcript:ENSMUST00000043990.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edc3 description:enhancer of mRNA decapping 3 [Source:MGI Symbol;Acc:MGI:2142951] MAMDWLGSIVSINCGDSLGVYQGRVSAVDQVSQTISLTRPFHNGVKCLVPEVTFRAGDIT ELKILEIPGPGDNQQVGDLHQTELGPSGVGYQMSISQNGTGKVVKKPASSSSAPQSIPKR TDVKSQDVAISPQQQQCSKSYVDRHMESLSQSKSFRRRHNSWSSSSRHPNQATPKKSGLK NGQMKNKDDECFGDDIEELPDTDFDFEGNLALFDKAAVFEEIDTYERRSGSRSRGVPNER PARYRHDENILESEPIVYRRITVPHSVSKEFCTDSGLVVPSVSYELHKKLLSVAEKHGLT LERRLEMTGVCASQMALTLLGGPNRLNPKNVHQRPTVALLCGPHVKGAQGISCGRHLANH DVQVILFLPNFVKMLESITNELSLFSKTQGQQVSSLRDLPASPVDLVINCLDCPENAFLR DQPWYKAAVAWANQNRAPVLSIDPPVHEVEQGIDAKWSLALGLPLPLGEHAGRVYLCDIG IPQQVFQEVGINYHSPFGCKFVIPLHSA >ENSMUSP00000123317.1 pep:known chromosome:GRCm38:9:57708555:57751403:1 gene:ENSMUSG00000038957.13 transcript:ENSMUST00000137245.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Edc3 description:enhancer of mRNA decapping 3 [Source:MGI Symbol;Acc:MGI:2142951] MAMDWLGSIVSINCGDSLGVYQGRVSAVDQVSQTISLTRPFHNGVKCLVPEVTFRVI >ENSMUSP00000123505.1 pep:known chromosome:GRCm38:9:57708567:57715980:1 gene:ENSMUSG00000038957.13 transcript:ENSMUST00000142807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edc3 description:enhancer of mRNA decapping 3 [Source:MGI Symbol;Acc:MGI:2142951] MAMDWLGSIVSINCGDSLGVYQGRVSAVDQVSQTISLTRPFHNGVKCLVPEVTFRAGDIT ELKIL >ENSMUSP00000048230.3 pep:known chromosome:GRCm38:5:125003221:125012547:1 gene:ENSMUSG00000037962.7 transcript:ENSMUST00000036109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam101a description:family with sequence similarity 101, member A [Source:MGI Symbol;Acc:MGI:1920371] MVGHLHLQAMGDTREQSRDGLLDSPDSGLPPSPSPSPPFYALSPGTLDTRTTTEAPAAPS LFQTPPALEMRSRLLPVFFGESIEVDPEPAHEIRCNSEITYASERYFRDKIFYAPVPTVT AYSETIVAAPNCTWRSYRSQLTLEPRPRALRFGSTAIIFPKLARSSFRTTLHCSLGQPRH WYSSSLQLRRCGDPTPGPSCPDVL >ENSMUSP00000142824.1 pep:known chromosome:GRCm38:5:125003475:125012546:1 gene:ENSMUSG00000037962.7 transcript:ENSMUST00000197746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam101a description:family with sequence similarity 101, member A [Source:MGI Symbol;Acc:MGI:1920371] MVGHLHLQAMGDTREQSRDGLLDSPDSGLPPSPSPSPPFYALSPGTLDTRTTTEAPAAPS LFQTPPALEMRSRLLPVFFGESIEVDPEPAHEIRCNSEITYASERYFRDKIFYAPVPTVT AYSETIVAAPNCTWRSYRSQLTLEPRPRALRFGSTAIIFPKLARSSFRTTLHCSLGQPRH WYSSSLQLRRCGDPTPGPSCPDVL >ENSMUSP00000106947.2 pep:known chromosome:GRCm38:1:171250421:171260637:1 gene:ENSMUSG00000006403.12 transcript:ENSMUST00000111315.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts4 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 4 [Source:MGI Symbol;Acc:MGI:1339949] MASIHPSCSPGTMSQMGLHPRRGLTGHWLQRFQPCLPLHTVQWRRLLLLAFLLSLAWPAS PLPREEEIVFPEKLNGSSILPGSGVPARLLYRLPAFGEMLLLELEQDPGVQVEGLTVQYL GQAPEMLGGAEPGTYLTGTINGDPESVASLHWDGGALLGVLQYRGAELHLQPLEGGALNS AGGPGAHILRRKSPASSQGPMCTVKAPSGSPSPISRRTKRFASLSRFVETLVVADDKMAA FHGTGLKRYLLTVMAAAAKAFKHPSIRNPVNLVVTRLVILGSGQEGPQVGPSAAQTLRSF CTWQRGLNTPNDSDPDHFDTAILFTRQDLCGVSTCDTLGMADVGTVCDPARSCAIVEDDG LQSAFTAAHELGHVFNMLHDNSKPCTNLNGQGGSSRHVMAPVMAHVDPEEPWSPCSARFI TDFLDNGYGHCLLDKPEAPLHLPATFPGKDYDADRQCQLTFGPDSSHCPQLPPPCAALWC SGHLNGHAMCQTKHSPWADGTPCGSSQACMGGRCLHVDQLKDFNVPQAGGWGPWGPWGDC SRTCGGGVQFSSRDCTRPVPRNGGKYCEGRRTRFRSCNTENCPHGSALTFREEQCAAYNH RTDLFKSFPGPMDWVPRYTGVAPRDQCKLTCQARALGYYYVLEPRVADGTPCSPDTSSVC VQGRCIHAGCDRIIGSKKKFDKCMVCGGDGSRCSKQSGSFKKFRYGYSDVVTIPAGATHI LVRQQGGSGLKSIYLALKLSDGSYALNGEYTLMPSPTDVVLPGAVSLRYSGATAASETLS GHGPLAQPLTLQVLVAGNPQNARLRYSFFVPRPVPSTPRPPPQDWLQRRAEILKILRKRP WAGRK >ENSMUSP00000106946.1 pep:known chromosome:GRCm38:1:171252116:171260637:1 gene:ENSMUSG00000006403.12 transcript:ENSMUST00000111314.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts4 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 4 [Source:MGI Symbol;Acc:MGI:1339949] MKGGERDLRTLLSLIFFLLLLKRFASLSRFVETLVVADDKMAAFHGTGLKRYLLTVMAAA AKAFKHPSIRNPVNLVVTRLVILGSGQEGPQVGPSAAQTLRSFCTWQRGLNTPNDSDPDH FDTAILFTRQDLCGVSTCDTLGMADVGTVCDPARSCAIVEDDGLQSAFTAAHELGHVFNM LHDNSKPCTNLNGQGGSSRHVMAPVMAHVDPEEPWSPCSARFITDFLDNGYGHCLLDKPE APLHLPATFPGKDYDADRQCQLTFGPDSSHCPQLPPPCAALWCSGHLNGHAMCQTKHSPW ADGTPCGSSQACMGGRCLHVDQLKDFNVPQAGGWGPWGPWGDCSRTCGGGVQFSSRDCTR PVPRNGGKYCEGRRTRFRSCNTENCPHGSALTFREEQCAAYNHRTDLFKSFPGPMDWVPR YTGVAPRDQCKLTCQARALGYYYVLEPRVADGTPCSPDTSSVCVQGRCIHAGCDRIIGSK KKFDKCMVCGGDGSRCSKQSGSFKKFRYGYSDVVTIPAGATHILVRQQGGSGLKSIYLAL KLSDGSYALNGEYTLMPSPTDVVLPGAVSLRYSGATAASETLSGHGPLAQPLTLQVLVAG NPQNARLRYSFFVPRPVPSTPRPPPQDWLQRRAEILKILRKRPWAGRK >ENSMUSP00000106896.2 pep:known chromosome:GRCm38:2:93201760:93334487:-1 gene:ENSMUSG00000027217.13 transcript:ENSMUST00000111265.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan18 description:tetraspanin 18 [Source:MGI Symbol;Acc:MGI:1917186] MEGDCLSCMKYLMFVFNFFVFLGGACLLGVGIWVLVDPTGFREIVATNPLLTTGAYIVLA MGGLLFLLGFLGCCGAVRENRCLLLFFFLFILIIFLVELSAAILAFIFREHLTREFFTKE LTKHYQGDNDTDVFSATWNSVMITFGCCGVNGPEDFKLASVFRLLTLDTEEVPKACCRRE PQTRDGVVLSREECQLGRNPFINKQGCYTVILNTFETYVYLAGAFAIGVLAIELFLMVFA MCLFRGIQ >ENSMUSP00000028646.2 pep:known chromosome:GRCm38:2:93204247:93227786:-1 gene:ENSMUSG00000027217.13 transcript:ENSMUST00000028646.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan18 description:tetraspanin 18 [Source:MGI Symbol;Acc:MGI:1917186] MEGDCLSCMKYLMFVFNFFVFLGGACLLGVGIWVLVDPTGFREIVATNPLLTTGAYIVLA MGGLLFLLGFLGCCGAVRENRCLLLFFFLFILIIFLVELSAAILAFIFREHLTREFFTKE LTKHYQGDNDTDVFSATWNSVMITFGCCGVNGPEDFKLASVFRLLTLDTEEVPKACCRRE PQTRDGVVLSREECQLGRNPFINKQGCYTVILNTFETYVYLAGAFAIGVLAIELFLMVFA MCLFRGIQ >ENSMUSP00000142890.1 pep:known chromosome:GRCm38:14:52198151:52257723:-1 gene:ENSMUSG00000053754.13 transcript:ENSMUST00000200169.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd8 description:chromodomain helicase DNA binding protein 8 [Source:MGI Symbol;Acc:MGI:1915022] MADPIMDLFDDPNLFGLDSLTDDSFNQVTQDPIEEALGLPSSLDSLDQMNQDGGGGDVGN SSASDLVPPPEETASTELPKESTAPAPESLTLHDYTTQPTSQEQPAQPVLQTSTPTAGLL QVSKSQEILSQGNPFMGVSATGVSPSNTGGQPSQSAPKIVILKAPPNSSVTGTHVAQIQA QGITSTAQPLVAGTANGGKVTFTKVLTGTPLRPGVSIVSGNTVLATKVPGNQAAVQRIVQ PSRPVKQLVLQPVKGSAPAGNPGAAGPPLKPAVTLTSTPTQGESKRITLVLQQPQSGGPQ GHRHVVLGSLPGKIVLQGNQLAALTQAKNAQGQPAKVVTIQLQVQQPQQKIQIVPQPPSS QPQPQPQPPPSAQPLTLSSVQQAQIMGPGQNPGQRLSVPLKMVLQPQAGSSQGASSGLSV VKVLSASEVAALSSPASCAPHTAGKTGMEENRRLEHQKKQEKANRIVAEAIARARARGEQ NIPRVLNEDELPSVRPEEEGEKKRRKKSSGERLKEEKPKKSKTAAASKTKGKSKLNTITP VVGKKRKRNTSSDNSDVEVMPAQSPREDEESSIQKRRSNRQVKRKKYTEDLDIKITDDEE EEEVDVTGPIKPEPILPEPVQEPDGETLPSMQFFVENPSEEDAAIVDKVLSMRVVKKELP SGQYTEAEEFFVKYKNYSYLHCEWATISQLEKDKRIHQKLKRFKTKMAQMRHFFHEDEEP FNPDYVEVDRILDESHSVDKDNGEPVIYYLVKWCSLPYEDSTWELKEDVDEGKIREFKRI QSRHPELRRVNRPQANAWKKLELSHEYKNRNQLREYQLEGVNWLLFNWYNRQNCILADEM GLGKTIQSIAFLQEVYNVGIHGPFLVIAPLSTITNWEREFNTWTEMNTIVYHGSLASRQM IQQYEMYCKDSRGRLIPGAYKFDALITTFEMILSDCPELREIEWRCVIIDEAHRLKNRNC KLLDSLKHMDLEHKVLLTGTPLQNTVEELFSLLHFLEPSQFPSESEFLKDFGDLKTEEQV QKLQAILKPMMLRRLKEDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNFSFLSKGAGH TNMPNLLNTMMELRKCCNHPYLINGAEEKILMEFREACHIIPQDFHLQAMVRSAGKLVLI DKLLPKLKAGGHKVLIFSQMVRCLDILEDYLIQRRYLYERIDGRVRGNLRQAAIDRFSKP DSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSKAVKVYRLI TRNSYEREMFDKASLKLGLDKAVLQSMSGRDGNITGIQQFSKKEIEDLLRKGAYAAIMEE DDEGSKFCEEDIDQILLRRTTTITIESEGKGSTFAKASFVASENRTDISLDDPNFWQKWA KKADLDMDLLNSKNNLVIDTPRVRKQTRHFSTLKDDDLVEFSDLESEDDERPRSRRHDRH HTYGRTDCFRVEKHLLVYGWGRWRDILSHGRFKRRMTERDVETICRAILVYCLLHYRGDE NIKSFIWDLISPAENGKTKELQNHSGLSIPVPRGRKGKKVKSQSTFDIHKADWIRKYNPD TLFQDESYKKHLKHQCNKVLLRVRMLYYLRQEVIGDQAEKVLGGAIASEIDIWFPVVDQL EVPTTWWDSEADKSLLIGVFKHGYEKYNTMRADPALCFLEKAGRPDDKAIAAEHRVLDNF SDLVEGIDFDKDCEDPEYKPLQGPPKDPDDEGDPLMMMDEEISVIDGEEAQVTQQPGHLF WPPGSALTARLRRLVTAYQRSYKREQMKMEAAERGDRRRRRCEAAFKLKEIARREKQQRW TRREQTDFYRVVSTFGVEYDPDNMQFHWDRFRTFARLDKKTDESLTKYFHGFVAMCRQVC RLPPAAGDEPPDPNLFIEPITEERASRTLYRIELLRRLREQVLCHPLLEDRLALCQPPGL ELPKWWEPVRHDGELLRGAARHGVSQTDCNIMQDPDFSFLAARMNYMQNHQAGASAASLS RCSTPLLHQQCTSRTASPSPLRPDAPVEKSPEESTVQVPNLESLTLKLEDEVVARSRLTS QDYEVRVGSSDTAPLSRSVPPVKLEDEDDSDSELDLSKLSPSSSSSSSSSSSSSSTDESE DEKEEKLTADRSRPKLYDEESLLSLTMSQDGFPNEDGEQMTPELLLLQERQRASEWPKDR VLINRIDLVCQAVLSGKWPSNRRSQEVTAGGILGPGNHLLDSPSLTPGEDGDSPVPTPRS GSAASMAEEEASAVTTAAAQFTKLRRGMDEKEFTVQIKDEEGLKLTFQKHRLMANGVMGD GHPLFHKKKGNRKKLVELEVECMEEPNHLDLDLETRIPVINKVDGTLLVGDEAPRRAELE MWLQGHPEFAVDPRFLAYMEERRKQKWQRCKKNNKAELNCLGMEPVQPANSRNGKKGHYA ETAFNRVLPGPVAPENSKKRVRRTRPDLSKMMALMQGGSTGSLSLHNTFQHSSSNLQSVS SLGHSSTTSASLPFMPFVMGAAAPPHVDSSTMLHHHHHHPHPHHHHHHHPGLRTTGYPSS PATTTSGTALRLPTLQPEDDDEEEDEEDDDLSQGYDSSERDFSLIDDPMMPANSDSSEDA DD >ENSMUSP00000122995.1 pep:known chromosome:GRCm38:14:52198451:52237791:-1 gene:ENSMUSG00000053754.13 transcript:ENSMUST00000149975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd8 description:chromodomain helicase DNA binding protein 8 [Source:MGI Symbol;Acc:MGI:1915022] XGVPSSPAEGLAALLHEGESKRITLVLQQPQSGGPQGHRHVVLGSLPGKIVLQGNQLAAL TQAKNAQGQPAKVVTIQLQVQQPQQKIQIVPQPPSSQPQPQPQPPPSAQPLTLSSVQQAQ IMGPGQNPGQRLSVPLKMVLQPQAGSSQGASSGLSVVKVLSASEVAALSSPASCAPHTAG KTGMEENRRLEHQKKQEKANRIVAEAIARARARGEQNIPRVLNEDELPSVRPEEEGEKKR RKKSSGERLKEEKPKKSKTAAASKTKGKSKLNTITPVVGKKRKRNTSSDNSDVEVMPAQS PREDEESSIQKRRSNRQVKRKKYTEDLDIKITDDEEEEEVDVTGPIKPEPILPEPVQEPD GETLPSMQFFVENPSEEDAAIVDKVLSMRVVKKELPSGQYTEAEEFFVKYKNYSYLHCEW ATISQLEKDKRIHQKLKRFKTKMAQMRHFFHEDEEPFNPDYVEVDRILDESHSVDKDNGE PVIYYLVKWCSLPYEDSTWELKEDVDEGKIREFKRIQSRHPELRRVNRPQANAWKKLELS HEYKNRNQLREYQLEGVNWLLFNWYNRQNCILADEMGLGKTIQSIAFLQEVYNVGIHGPF LVIAPLSTITNWEREFNTWTEMNTIVYHGSLASRQMIQQYEMYCKDSRGRLIPGAYKFDA LITTFEMILSDCPELREIEWRCVIIDEAHRLKNRNCKLLDSLKHMDLEHKVLLTGTPLQN TVEELFSLLHFLEPSQFPSESEFLKDFGDLKTEEQVQKLQAILKPMMLRRLKEDVEKNLA PKQETIIEVELTNIQKKYYRAILEKNFSFLSKGAGHTNMPNLLNTMMELRKCCNHPYLIN GAEEKILMEFREACHIIPQDFHLQAMVRSAGKLVLIDKLLPKLKAGGHKVLIFSQMVRCL DILEDYLIQRRYLYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAGGLGINLTAAD TCIIFDSDWNPQNDLQAQARCHRIGQSKAVKVYRLITRNSYEREMFDKASLKLGLDKAVL QSMSGRDGNITGIQQFSKKEIEDLLRKGAYAAIMEEDDEGSKFCEEDIDQILLRRTTTIT IESEGKGSTFAKASFVASENRTDISLDDPNFWQKWAKKADLDMDLLNSKNNLVIDTPRVR KQTRHFSTLKDDDLVEFSDLESEDDERPRSRRHDRHHTYGRTDCFRVEKHLLVYGWGRWR DILSHGRFKRRMTERDVETICRAILVYCLLHYRGDENIKSFIWDLISPAENGKTKELQNH SGLSIPVPRGRKGKKVKSQSTFDIHKADWIRKYNPDTLFQDESYKKHLKHQCNKVLLRVR MLYYLRQEVIGDQAEKVLGGAIASEIDIWFPVVDQLEVPTTWWDSEADKSLLIGVFKHGY EKYNTMRADPALCFLEKAGRPDDKAIAAEHRVLDNFSDLVEGIDFDKDCEDPEYKPLQGP PKDPDDEGDPLMMMDEEISVIDGEEAQVTQQPGHLFWPPGSALTARLRRLVTAYQRSYKR EQMKMEAAERGDRRRRRCEAAFKLKEIARREKQQRWTRREQTDFYRVVSTFGVEYDPDNM QFHWDRFRTFARLDKKTDESLTKYFHGFVAMCRQVCRLPPAAGDEPPDPNLFIEPITEER ASRTLYRIELLRRLREQVLCHPLLEDRLALCQPPGLELPKWWEPVRHDGELLRGAARHGV SQTDCNIMQDPDFSFLAARMNYMQNHQAGASAASLSRCSTPLLHQQCTSRTASPSPLRPD APVEKSPEESTVQVPNLESLTLKLEDEVVARSRLTSQDYEVRVGSSDTAPLSRSVPPVKL EDEDDSDSELDLSKLSPSSSSSSSSSSSSSSTDESEDEKEEKLTADRSRPKLYDEESLLS LTMSQDGFPNEDGEQMTPELLLLQERQRASEWPKDRVLINRIDLVCQAVLSGKWPSNRRS QEVTAGGILGPGNHLLDSPSLTPGEDGDSPVPTPRSGSAASMAEEEASAVTTAAAQFTKL RRGMDEKEFTVQIKDEEGLKLTFQKHRLMANGVMGDGHPLFHKKKGNRKKLVELEVECME EPNHLDLDLETRIPVINKVDGTLLVGDEAPRRAELEMWLQGHPEFAVDPRFLAYMEERRK QKWQRCKKNNKAELNCLGMEPVQPANSRNGKKGHYAETAFNRVLPGPVAPENSKKRVRRT RPDLSKMMALMQGGSTGSLSLHNTFQHSSSNLQSVSSLGHSSTTSASLPFMPFVMGAAAP PHVDSSTMLHHHHHHPHPHHHHHHHPGLRTTGYPSSPATTTSGTALRLPTLQPEDDDEEE DEEDDDLSQGYDSSERDFSLIDDPMMPANSDSSEDADD >ENSMUSP00000118494.1 pep:known chromosome:GRCm38:14:52211848:52213379:-1 gene:ENSMUSG00000053754.13 transcript:ENSMUST00000140603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd8 description:chromodomain helicase DNA binding protein 8 [Source:MGI Symbol;Acc:MGI:1915022] XLVEFSDLESEDDERPRSRRHDRHHTYGRTDCFRVEKHLLVYGWGRWRDILSHGRFKRRM TERDVETICRAILVYCLLHYRGDENIKSFIWDLISPAENGKTKELQNHSVFRVSPFPGLS IPVPRGRKGKKVKSQSTFDIHKADWIRKYNPDTLFQDESYKKHLKHQCNKVLLRVRMLYY LRQEVIGDQAEKVLGGAIASEIDIWFPVVDQLEVPTTWWDSEA >ENSMUSP00000087184.4 pep:known chromosome:GRCm38:14:52198151:52237572:-1 gene:ENSMUSG00000053754.13 transcript:ENSMUST00000089752.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd8 description:chromodomain helicase DNA binding protein 8 [Source:MGI Symbol;Acc:MGI:1915022] MADPIMDLFDDPNLFGLDSLTDDSFNQVTQDPIEEALGLPSSLDSLDQMNQDGGGGDVGN SSASDLVPPPEETASTELPKESTAPAPESLTLHDYTTQPTSQEQPAQPVLQTSTPTAGLL QVSKSQEILSQGNPFMGVSATGVSPSNTGGQPSQSAPKIVILKAPPNSSVTGTHVAQIQA QGITSTAQPLVAGTANGGKVTFTKVLTGTPLRPGVSIVSGNTVLATKVPGNQAAVQRIVQ PSRPVKQLVLQPVKGSAPAGNPGAAGPPLKPAVTLTSTPTQGESKRITLVLQQPQSGGPQ GHRHVVLGSLPGKIVLQGNQLAALTQAKNAQGQPAKVVTIQLQVQQPQQKIQIVPQPPSS QPQPQPQPPPSAQPLTLSSVQQAQIMGPGQNPGQRLSVPLKMVLQPQAGSSQGASSGLSV VKVLSASEVAALSSPASCAPHTAGKTGMEENRRLEHQKKQEKANRIVAEAIARARARGEQ NIPRVLNEDELPSVRPEEEGEKKRRKKSSGERLKEEKPKKSKTAAASKTKGKSKLNTITP VVGKKRKRNTSSDNSDVEVMPAQSPREDEESSIQKRRSNRQVKRKKYTEDLDIKITDDEE EEEVDVTGPIKPEPILPEPVQEPDGETLPSMQFFVENPSEEDAAIVDKVLSMRVVKKELP SGQYTEAEEFFVKYKNYSYLHCEWATISQLEKDKRIHQKLKRFKTKMAQMRHFFHEDEEP FNPDYVEVDRILDESHSVDKDNGEPVIYYLVKWCSLPYEDSTWELKEDVDEGKIREFKRI QSRHPELRRVNRPQANAWKKLELSHEYKNRNQLREYQLEGVNWLLFNWYNRQNCILADEM GLGKTIQSIAFLQEVYNVGIHGPFLVIAPLSTITNWEREFNTWTEMNTIVYHGSLASRQM IQQYEMYCKDSRGRLIPGAYKFDALITTFEMILSDCPELREIEWRCVIIDEAHRLKNRNC KLLDSLKHMDLEHKVLLTGTPLQNTVEELFSLLHFLEPSQFPSESEFLKDFGDLKTEEQV QKLQAILKPMMLRRLKEDVEKNLAPKQETIIEVELTNIQKKYYRAILEKNFSFLSKGAGH TNMPNLLNTMMELRKCCNHPYLINGAEEKILMEFREACHIIPQDFHLQAMVRSAGKLVLI DKLLPKLKAGGHKVLIFSQMVRCLDILEDYLIQRRYLYERIDGRVRGNLRQAAIDRFSKP DSDRFVFLLCTRAGGLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQSKAVKVYRLI TRNSYEREMFDKASLKLGLDKAVLQSMSGRDGNITGIQQFSKKEIEDLLRKGAYAAIMEE DDEGSKFCEEDIDQILLRRTTTITIESEGKGSTFAKASFVASENRTDISLDDPNFWQKWA KKADLDMDLLNSKNNLVIDTPRVRKQTRHFSTLKDDDLVEFSDLESEDDERPRSRRHDRH HTYGRTDCFRVEKHLLVYGWGRWRDILSHGRFKRRMTERDVETICRAILVYCLLHYRGDE NIKSFIWDLISPAENGKTKELQNHSGLSIPVPRGRKGKKVKSQSTFDIHKADWIRKYNPD TLFQDESYKKHLKHQCNKVLLRVRMLYYLRQEVIGDQAEKVLGGAIASEIDIWFPVVDQL EVPTTWWDSEADKSLLIGVFKHGYEKYNTMRADPALCFLEKAGRPDDKAIAAEHRVLDNF SDLVEGIDFDKDCEDPEYKPLQGPPKDPDDEGDPLMMMDEEISVIDGEEAQVTQQPGHLF WPPGSALTARLRRLVTAYQRSYKREQMKMEAAERGDRRRRRCEAAFKLKEIARREKQQRW TRREQTDFYRVVSTFGVEYDPDNMQFHWDRFRTFARLDKKTDESLTKYFHGFVAMCRQVC RLPPAAGDEPPDPNLFIEPITEERASRTLYRIELLRRLREQVLCHPLLEDRLALCQPPGL ELPKWWEPVRHDGELLRGAARHGVSQTDCNIMQDPDFSFLAARMNYMQNHQAGASAASLS RCSTPLLHQQCTSRTASPSPLRPDAPVEKSPEESTVQVPNLESLTLKLEDEVVARSRLTS QDYEVRVGSSDTAPLSRSVPPVKLEDEDDSDSELDLSKLSPSSSSSSSSSSSSSSTDESE DEKEEKLTADRSRPKLYDEESLLSLTMSQDGFPNEDGEQMTPELLLLQERQRASEWPKDR VLINRIDLVCQAVLSGKWPSNRRSQEVTAGGILGPGNHLLDSPSLTPGEDGDSPVPTPRS GSAASMAEEEASAVTTAAAQFTKLRRGMDEKEFTVQIKDEEGLKLTFQKHRLMANGVMGD GHPLFHKKKGNRKKLVELEVECMEEPNHLDLDLETRIPVINKVDGTLLVGDEAPRRAELE MWLQGHPEFAVDPRFLAYMEERRKQKWQRCKKNNKAELNCLGMEPVQPANSRNGKKGHYA ETAFNRVLPGPVAPENSKKRVRRTRPDLSKMMALMQGGSTGSLSLHNTFQHSSSNLQSVS SLGHSSTTSASLPFMPFVMGAAAPPHVDSSTMLHHHHHHPHPHHHHHHHPGLRTTGYPSS PATTTSGTALRLPTLQPEDDDEEEDEEDDDLSQGYDSSERDFSLIDDPMMPANSDSSEDA DD >ENSMUSP00000134849.1 pep:known chromosome:GRCm38:2:44983632:45117395:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000176438.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRESVVEHSWHSGEILQASVAGPEEMKEDYDAM GPEATIQTTINNGTVKNANCTSDFEEYFAKRKLEERDGHAVSIEEYLQRSDTAIIYPEAP EELSRLGTPEANGQEENDLPPGTPDAFAQLLTCPYCDRGYKRLTSLKEHIKYRHEKNEEN FSCPLCSYTFAYRTQLERHMVTHKPGTDQHQMLTQGAGNRKFKCTECGKAFKYKHHLKEH LRIHSGEKPYECPNCKKRFSHSGSYSSHISSKKCIGLISVNGRMRNNIKTGSSPNSVSSS PTNSAITQLRNKLENGKPLSMSEQTGLLKIKTEPLDFNDYKVLMATHGFSGSSPFMNGGL GATSPLGVHPSAQSPMQHLGVGMEAPLLGFPTMNSNLSEVQKVLQIVDNTVSRQKMDCKT EDISKLKGYHMKDPCSQPEEQGVTSPNIPPVGLPVVSHNGATKSIIDYTLEKVNEAKACL QSLTTDSRRQISNIKKEKLRTLIDLVTDDKMIENHSISTPFSCQFCKESFPGPIPLHQHE RYLCKMNEEIKAVLQPHENIVPNKAGVFVDNKALLLSSVLSEKGLTSPINPYKDHMSVLK AYYAMNMEPNSDELLKISIAVGLPQEFVKEWFEQRKVYQYSNSRSPSLERTSKPLAPNSN PTTKDSLLPRSPVKPMDSITSPSIAELHNSVTSCDPPLRLTKSSHFTNIKAVDKLDHSRS NTPSPLNLSSTSSKNSHSSSYTPNSFSSEELQAEPLDLSLPKQMREPKGIIATKNKTKAT SINLDHNSVSSSSENSDEPLNLTFIKKEFSNSNNLDNKSNNPVFGMNPFSAKPLYTPLPP QSAFPPATFMPPVQTSIPGLRPYPGLDQMSFLPHMAYTYPTGAATFADMQQRRKYQRKQG FQGDLLDGAQDYMSGLDDMTDSDSCLSRKKIKKTESGMYACDLCDKTFQKSSSLLRHKYE HTGKRPHQCQICKKAFKHKHHLIEHSRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSY CKREAEEREAAEREAREKGHLEPTELLMNRAYLQSITPQGYSDSEERESMPRDGESEKEH EKEGEEGYGKLRRRDGDEEEEEEEEESENKSMDTDPETIRDEEETGDHSMDDSSEDGKME TKSDHEEDNMEDGME >ENSMUSP00000135393.3 pep:known chromosome:GRCm38:2:44987121:45112985:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000176732.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRESVVEHSWHSGEILQASVAGPDLPPGTPDAF AQLLTCPYCDRGYKRLTSLKEHIKYRHEKNEENFSCPLCSYTFAYRTQLERHMVTHKPGT DQHQMLTQGAGNRKFKCTECGKAFKYKHHLKEHLRIHSGT >ENSMUSP00000028229.7 pep:known chromosome:GRCm38:2:44987123:45110515:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000028229.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MTHFEDVILVSDPLASATHQTIEPACCRSRAPSPCELPSDPLLSMKQPIMADGPRCKRRK QANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDTSPASMPNHESSPHMSQ GLLPREEEEEELRESVVEHSWHSGEILQASVAGPEEMKEDYDAMGPEATIQTTINNGTVK NANCTSDFEEYFAKRKLEERDGHAVSIEEYLQRSDTAIIYPEAPEELSRLGTPEANGQEE NDLPPGTPDAFAQLLTCPYCDRGYKRLTSLKEHIKYRHEKNEENFSCPLCSYTFAYRTQL ERHMVTHKPGTDQHQMLTQGAGNRKFKCTECGKAFKYKHHLKEHLRIHSGEKPYECPNCK KRFSHSGSYSSHISSKKCIGLISVNGRMRNNIKTGSSPNSVSSSPTNSAITQLRNKLENG KPLSMSEQTGLLKIKTEPLDFNDYKVLMATHGFSGSSPFMNGGLGATSPLGVHPSAQSPM QHLGVGMEAPLLGFPTMNSNLSEVQKVLQIVDNTVSRQKMDCKTEDISKLKGYHMKDPCS QPEEQGVTSPNIPPVGLPVVSHNGATKSIIDYTLEKVNEAKACLQSLTTDSRRQISNIKK EKLRTLIDLVTDDKMIENHSISTPFSCQFCKESFPGPIPLHQHERYLCKMNEEIKAVLQP HENIVPNKAGVFVDNKALLLSSVLSEKGLTSPINPYKDHMSVLKAYYAMNMEPNSDELLK ISIAVGLPQEFVKEWFEQRKVYQYSNSRSPSLERTSKPLAPNSNPTTKDSLLPRSPVKPM DSITSPSIAELHNSVTSCDPPLRLTKSSHFTNIKAVDKLDHSRSNTPSPLNLSSTSSKNS HSSSYTPNSFSSEELQAEPLDLSLPKQMREPKGIIATKNKTKATSINLDHNSVSSSSENS DEPLNLTFIKKEFSNSNNLDNKSNNPVFGMNPFSAKPLYTPLPPQSAFPPATFMPPVQTS IPGLRPYPGLDQMSFLPHMAYTYPTGAATFADMQQRRKYQRKQGFQGDLLDGAQDYMSGL DDMTDSDSCLSRKKIKKTESGMYACDLCDKTFQKSSSLLRHKYEHTGKRPHQCQICKKAF KHKHHLIEHSRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSYCKREAEEREAAEREAR EKGHLEPTELLMNRAYLQSITPQGYSDSEERESMPRDGESEKEHEKEGEEGYGKLRRRDG DEEEEEEEEESENKSMDTDPETIRDEEETGDHSMDDSSEDGKMETKSDHEEDNMEDGME >ENSMUSP00000069685.4 pep:known chromosome:GRCm38:2:44987123:45113279:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000068415.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRESVVEHSWHSGEILQASVAGPEEMKEDYDAM GPEATIQTTINNGTVKNANCTSDFEEYFAKRKLEERDGHAVSIEEYLQRSDTAIIYPEAP EELSRLGTPEANGQEENDLPPGTPDAFAQLLTCPYCDRGYKRLTSLKEHIKYRHEKNEEN FSCPLCSYTFAYRTQLERHMVTHKPGTDQHQMLTQGAGNRKFKCTECGKAFKYKHHLKEH LRIHSGEKPYECPNCKKRFSHSGSYSSHISSKKCIGLISVNGRMRNNIKTGSSPNSVSSS PTNSAITQLRNKLENGKPLSMSEQTGLLKIKTEPLDFNDYKVLMATHGFSGSSPFMNGGL GATSPLGVHPSAQSPMQHLGVGMEAPLLGFPTMNSNLSEVQKVLQIVDNTVSRQKMDCKT EDISKLKGYHMKDPCSQPEEQGVTSPNIPPVGLPVVSHNGATKSIIDYTLEKVNEAKACL QSLTTDSRRQISNIKKEKLRTLIDLVTDDKMIENHSISTPFSCQFCKESFPGPIPLHQHE RYLCKMNEEIKAVLQPHENIVPNKAGVFVDNKALLLSSVLSEKGLTSPINPYKDHMSVLK AYYAMNMEPNSDELLKISIAVGLPQEFVKEWFEQRKVYQYSNSRSPSLERTSKPLAPNSN PTTKDSLLPRSPVKPMDSITSPSIAELHNSVTSCDPPLRLTKSSHFTNIKAVDKLDHSRS NTPSPLNLSSTSSKNSHSSSYTPNSFSSEELQAEPLDLSLPKQMREPKGIIATKNKTKAT SINLDHNSVSSSSENSDEPLNLTFIKKEFSNSNNLDNKSNNPVFGMNPFSAKPLYTPLPP QSAFPPATFMPPVQTSIPGLRPYPGLDQMSFLPHMAYTYPTGAATFADMQQRRKYQRKQG FQGDLLDGAQDYMSGLDDMTDSDSCLSRKKIKKTESGMYACDLCDKTFQKSSSLLRHKYE HTGKRPHQCQICKKAFKHKHHLIEHSRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSY CKREAEEREAAEREAREKGHLEPTELLMNRAYLQSITPQGYSDSEERESMPRDGESEKEH EKEGEEGYGKLRRRDGDEEEEEEEEESENKSMDTDPETIRDEEETGDHSMDDSSEDGKME TKSDHEEDNMEDGME >ENSMUSP00000144421.1 pep:known chromosome:GRCm38:2:44988334:45113002:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000200844.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRESVVEHSWHSGEILQASVAGPVKNANCTSDF EEYFAKRKLEERDGHAVSIEEYLQRSDTAIIYPEAPEELSRLGTPEANGQEENDLPPGTP DAFAQLLTCPYCDRGYKRLTSLKEHIKYRHEKNEENFSCPLCSYTFAYRTQLERHMVTHK PGTDQHQMLTQGAGNRKFKCTECGKAFKYKHHLKEHLRIHSGEKPYECPNCKKRFSHSGS YSSHISSKKCIGLISVNGRMRNNIKTGSSPNSVSSSPTNSAITQLRNKLENGKPLSMSEQ TGLLKIKTEPLDFNDYKVLMATHGFSGSSPFMNGGLGATSPLGVHPSAQSPMQHLGVGME APLLGFPTMNSNLSEVQKVLQIVDNTVSRQKMDCKTEDISKLKGYHMKDPCSQPEEQGVT SPNIPPVGLPVVSHNGATKSIIDYTLEKVNEAKACLQSLTTDSRRQISNIKKEKLRTLID LVTDDKMIENHSISTPFSCQFCKESFPGPIPLHQHERYLCKMNEEIKAVLQPHENIVPNK AGVFVDNKALLLSSVLSEKGLTSPINPYKDHMSVLKAYYAMNMEPNSDELLKISIAVGLP QEFVKEWFEQRKVYQYSNSRSPSLERTSKPLAPNSNPTTKDSLLPRSPVKPMDSITSPSI AELHNSVTSCDPPLRLTKSSHFTNIKAVDKLDHSRSNTPSPLNLSSTSSKNSHSSSYTPN SFSSEELQAEPLDLSLPKQMREPKGIIATKNKTKATSINLDHNSVSSSSENSDEPLNLTF IKKEFSNSNNLDNKSNNPVFGMNPFSAKPLYTPLPPQSAFPPATFMPPVQTSIPGLRPYP GLDQMSFLPHMAYTYPTGAATFADMQQRRKYQRKQGFQGDLLDGAQDYMSGLDDMTDSDS CLSRKKIKKTESGMYACDLCDKTFQKSSSLLRHKYEHTGKRPHQCQICKKAFKHKHHLIE HSRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSYCKREAEEREAAEREAREKGHLEPT ELLMNRAYLQSITPQGYSDSEERESMPRDGESEKEHEKEGEEGYGKLRRRDGDEEEEEEE EESENKSMDTDPETIRDEEETGDHSMDDSSEDGKMETKSDHEEDNMEDGME >ENSMUSP00000134747.1 pep:known chromosome:GRCm38:2:44988401:45110288:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000177302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRESVVEHSWHSGEILQASVAGPEEMKEDYDAM GPEATIQTTINNGTVKNANCTSDFEEYFAKRKLEERDGHAVSIEEYLQRSDTAIIYPEAP EELSRLGTPEANGQEENDLPPGTPDAFAQLLTCPYCDRGYKRLTSLKEHIKYRHEKNEEN FSCPLCSYTFAYRTQLERHMVTHKPGTDQHQMLTQGAGNRKFKCTECGKAFKYKHHLKEH LRIHSGEKPYECPNCKKRFSHSGSYSSHISSKKCIGLISVNGRMRNNIKTGSSPNSVSSS PTNSAITQLRNKLENGKPLSMSEQTGLLKIKTEPLDFNDYKVLMATHGFSGSSPFMNGGL GATSPLGVHPSAQSPMQHLGVGMEAPLLGFPTMNSNLSEVQKVLQIVDNTVSRQKMDCKT EDISKLKGYHMKDPCSQPEEQGVTSPNIPPVGLPVVSHNGATKSIIDYTLEKVNEAKACL QSLTTDSRRQISNIKKEKLRTLIDLVTDDKMIENHSISTPFSCQFCKESFPGPIPLHQHE RYLCKMNEEIKAVLQPHENIVPNKAGVFVDNKALLLSSVLSEKGLTSPINPYKDHMSVLK AYYAMNMEPNSDELLKISIAVGLPQEFVKEWFEQRKVYQYSNSRSPSLERTSKPLAPNSN PTTKDSLLPRSPVKPMDSITSPSIAELHNSVTSCDPPLRLTKSSHFTNIKAVDKLDHSRS NTPSPLNLSSTSSKNSHSSSYTPNSFSSEELQAEPLDLSLPKQMREPKGIIATKNKTKAT SINLDHNSVSSSSENSDEPLNLTFIKKEFSNSNNLDNKSNNPVFGMNPFSAKPLYTPLPP QSAFPPATFMPPVQTSIPGLRPYPGLDQMSFLPHMAYTYPTGAATFADMQQRRKYQRKQG FQGDLLDGAQDYMSGLDDMTDSDSCLSRKKIKKTESGMYACDLCDKTFQKSSSLLRHKYE HTGKRPHQCQICKKAFKHKHHLIEHSRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSY CKREAEEREAAEREAREKGHLEPTELLMNRAYLQSITPQGYSDSEERESMPRDGESEKEH EKEGEEGYGKLRRRDGDEEEEEEEEESENKSMDTDPETIRDEEETGDHSMDDSSEDGKME TKSDHEEDNMEDGME >ENSMUSP00000076111.6 pep:known chromosome:GRCm38:2:44988442:45112890:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000076836.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRESVVEHSWHSGEILQASVAGPEMKEDYDAMG PEATIQTTINNGTVKNANCTSDFEEYFAKRKLEERDGHAVSIEEYLQRSDTAIIYPEAPE ELSRLGTPEANGQEENDLPPGTPDAFAQLLTCPYCDRGYKRLTSLKEHIKYRHEKNEENF SCPLCSYTFAYRTQLERHMVTHKPGTDQHQMLTQGAGNRKFKCTECGKAFKYKHHLKEHL RIHSGEKPYECPNCKKRFSHSGSYSSHISSKKCIGLISVNGRMRNNIKTGSSPNSVSSSP TNSAITQLRNKLENGKPLSMSEQTGLLKIKTEPLDFNDYKVLMATHGFSGSSPFMNGGLG ATSPLGVHPSAQSPMQHLGVGMEAPLLGFPTMNSNLSEVQKVLQIVDNTVSRQKMDCKTE DISKLKGYHMKDPCSQPEEQGVTSPNIPPVGLPVVSHNGATKSIIDYTLEKVNEAKACLQ SLTTDSRRQISNIKKEKLRTLIDLVTDDKMIENHSISTPFSCQFCKESFPGPIPLHQHER YLCKMNEEIKAVLQPHENIVPNKAGVFVDNKALLLSSVLSEKGLTSPINPYKDHMSVLKA YYAMNMEPNSDELLKISIAVGLPQEFVKEWFEQRKVYQYSNSRSPSLERTSKPLAPNSNP TTKDSLLPRSPVKPMDSITSPSIAELHNSVTSCDPPLRLTKSSHFTNIKAVDKLDHSRSN TPSPLNLSSTSSKNSHSSSYTPNSFSSEELQAEPLDLSLPKQMREPKGIIATKNKTKATS INLDHNSVSSSSENSDEPLNLTFIKKEFSNSNNLDNKSNNPVFGMNPFSAKPLYTPLPPQ SAFPPATFMPPVQTSIPGLRPYPGLDQMSFLPHMAYTYPTGAATFADMQQRRKYQRKQGF QGDLLDGAQDYMSGLDDMTDSDSCLSRKKIKKTESGMYACDLCDKTFQKSSSLLRHKYEH TGKRPHQCQICKKAFKHKHHLIEHSRLHSGEKPYQCDKCGKRFSHSGSYSQHMNHRYSYC KREAEEREAAEREAREKGHLEPTELLMNRAYLQSITPQGYSDSEERESMPRDGESEKEHE KEGEEGYGKLRRRDGDEEEEEEEEESENKSMDTDPETIRDEEETGDHSMDDSSEDGKMET KSDHEEDNMEDGME >ENSMUSP00000144637.1 pep:known chromosome:GRCm38:2:44996805:45110452:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000201804.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MTHFEDIEPACCRSRAPSPCELPSDPLLSMKQPIMADGPRCKRRKQANPRRKNVVNYDNV VDAGSETDEEDKLHIAEDDSLANPLDQDTSPASMPNHESSPHMSQGLLPREEEEEELRES VVEHSWHSGEILQASVAGPEEMKEDYDAMGPEATIQTTINNGTVKNANCTSDFEEYFAKR KLEERDGHAVSIEEYLQRSDTAIIYPEAPEELSRLGTPEANGQEENDLPPGTPDAFAQLL TCPYCDRGYKRLTSLKEHIKYRHEKNEENFSCPLCSYTFAYRTQLERHMVTHKPGTDQHQ MLTQGAGNRKFKCTECGKAFKYKHHLKEHLRIHSGEKPYECPNCKKRFSHSGSYSSHISS KKCIGLISVNGRMRNNIKTGSSPNSVSSSPTNSAITQLRNKLENGKPLSMSEQTGLLKIK TEPLDFNDYKVLMATHGFSGSSPFMNGGLGATSPLGVHPSAQSPMQHLGVGMEAPLLGFP TMNSNLSEVQKVLQIVDNTVSRQKMDCKTEDISKLKGYHMKDPCSQPEEQGVTSPNIPPV GLPVVSHNGATKSIIDYTLEKVNEAKACLQSLTTDSRRQISNIKKEKLRTLIDLVTDDKM IENHSISTPFSCQFCKESFPGPIPLHQHERYLCKMNEEIKAVLQPHENIVPNKAGVFVDN KALLLSSVLSEKGLTSPINPYKDHMSVLKAYYAMNMEPNSDELLKISIAVGLPQEFVKEW FEQRKVYQYSN >ENSMUSP00000144075.1 pep:known chromosome:GRCm38:2:45001691:45111174:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000201623.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRESVVEHSWHSGEILQASVAGPVKNANCTSDF EEYFAKRKLEERDGHAVSIEEYLQRSDTAIIYPEAPEELSRLGTPEANGQEENDLPPGTP DAFAQLLTCPYCDRGYKRLTSLKEHIKYRHEKNE >ENSMUSP00000144197.1 pep:known chromosome:GRCm38:2:45001716:45022915:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000202432.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] XRESVVEHSWHSGEILQASVAGPEEMKEDYDAMGPEATIQTTINNGTDLPPGTPDAFAQL LTCPYCDRGYKRLTSLKEHI >ENSMUSP00000144406.1 pep:known chromosome:GRCm38:2:45001782:45110244:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000201211.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRESVVEHSWHSGEILQASVAGPVKNANCTSDF EEYFAKRKLEERDGHAVSIEEYLQRSDTAIIYPEAPEELSRLGTPEANGQEENDLPPGTP DAFA >ENSMUSP00000144141.1 pep:known chromosome:GRCm38:2:45002683:45111156:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000201969.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRESVVEHSWHSGEILQASVAGPEEMKEDYDAM GPEATIQTTINNGTVKNANCTS >ENSMUSP00000143841.1 pep:known chromosome:GRCm38:2:45017459:45113268:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000202935.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRESVVEHSWHSGEILQASVAGPEEM >ENSMUSP00000144552.1 pep:known chromosome:GRCm38:2:45022904:45110627:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000202187.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPASMPNHESSPHMSQGLLPREEEEEELRES >ENSMUSP00000120130.2 pep:known chromosome:GRCm38:2:45022989:45113284:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000127520.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNVVNYDNVVDAGSETDEEDKLHIAEDDSLANPLDQDT SPA >ENSMUSP00000143976.1 pep:known chromosome:GRCm38:2:45023060:45110754:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000201490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MRAKDPLSKFPSPAEDFSEEEIEPACCRSRAPSPCELPSDPLLSMKQPIMADGPRCKRRK QANPRRKNVVNYDNVVDAGSETD >ENSMUSP00000135491.1 pep:known chromosome:GRCm38:2:45095583:45110733:-1 gene:ENSMUSG00000026872.17 transcript:ENSMUST00000153561.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zeb2 description:zinc finger E-box binding homeobox 2 [Source:MGI Symbol;Acc:MGI:1344407] MKQPIMADGPRCKRRKQANPRRKNEVTFSRWCKIDLT >ENSMUSP00000092035.3 pep:known chromosome:GRCm38:4:148804429:148945129:1 gene:ENSMUSG00000028977.16 transcript:ENSMUST00000094464.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casz1 description:castor zinc finger 1 [Source:MGI Symbol;Acc:MGI:1196251] MDLGTAESTRCTDPPAGKPPMAAKRKGGLKLNAICAKLSRQVVVEKGAEAGSQAEGSPLH PRDKERSGPESGVSRAPRSEEDKRRAVIEKWVNGEYCEDPAPTPVLGRIARDQELPPEGV YMVQPQGCSDEEDHAEEPSKDNSVLEEKESDGTASKDDSGPSTRQASGETSSLRDYAAST MTEFLGMFGYDDQNTRDELAKKISFEKPHAGSTPEVAASSMLPSSEDTLSKRARFSKYEE YIRKLKAGEQLPWPAHGSKAEDRAGKEVVGPLPSLRLPSNTAHLETKATILPLPSHSSVQ MQNLVARASKYDFFIHKLKTGENLRPQNGSTYKKPSKYDLENVKYLHLFKPGEGSPDMGG AIAFKTGKVGRPSKYDVRGIQKPGPTKIPPAPSLVPTPLTNVPSAPSTPGPGPEPPASLS FNTPEYLKSTFSKTDSITTGTVSTVKNGLPTDKPAVTEDVNIYQKYIARFSGSQHCGHIH CAYQYREHYHCLDPECNYQRFTSKQDVIRHYNMHKKRDNSLQHGFMRFSPLDDCSVYYHG CHLNGKSTHYHCMQVGCNKVYTSTSDVMTHENFHKKNTQLINDGFQRFRATEDCGTADCQ FYGQKTTHFHCRRPGCTFTFKNKCDIEKHKSYHIKDDAYAKDGFKKFYKYEECKYEGCMY SKATNHFHCIRAGCGFTFTSTSQMTSHKRKHERRHIRSSGALGLPASLLGAKDTEHEESS NDDLVDFSALSSKNSSLSASPTSQQSSASLAAAAAATTAEAIPSATKPPNSKMAGLLPQG LSGSIPLALALSNSGLPTTTPYFPLLPNRGSASLPVGSPGLLGSMSSGATTSATPDMPAL MASRAGDSAPTAATSLSVPPASIIERISASKGLISPMMARLAAAALKPSATFDPGSGQQP TPTKFPQAQVKQEPDSAGTPGPHEASQDRSLDLTVKDPSNESNGHAVSANSSLLSSLMNK MSQGNPSLESFLSIKTEAEGSPAGEPSPFLGKAVKALVQEKLSEPWKVYLRRFGTKDFCD AQCDFLHKAHFHCVVEECGALFSTLDGAIKHANFHFRTEGGTAKGTPEASFPTSAAETKP PLAPSSLPAPPGTMVAGSSLEGPAPSPVSVPSTPTLLAWKQLASTIPQMPQIPSSVPHLP TSPLATTSLESAKPQVKPGFLQFQDK >ENSMUSP00000112978.1 pep:known chromosome:GRCm38:4:148804429:148954889:1 gene:ENSMUSG00000028977.16 transcript:ENSMUST00000122222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casz1 description:castor zinc finger 1 [Source:MGI Symbol;Acc:MGI:1196251] MDLGTAESTRCTDPPAGKPPMAAKRKGGLKLNAICAKLSRQVVVEKGAEAGSQAEGSPLH PRDKERSGPESGVSRAPRSEEDKRRAVIEKWVNGEYCEDPAPTPVLGRIARDQELPPEGV YMVQPQGCSDEEDHAEEPSKDNSVLEEKESDGTASKDDSGPSTRQASGETSSLRDYAAST MTEFLGMFGYDDQNTRDELAKKISFEKPHAGSTPEVAASSMLPSSEDTLSKRARFSKYEE YIRKLKAGEQLPWPAHGSKAEDRAGKEVVGPLPSLRLPSNTAHLETKATILPLPSHSSVQ MQNLVARASKYDFFIHKLKTGENLRPQNGSTYKKPSKYDLENVKYLHLFKPGEGSPDMGG AIAFKTGKVGRPSKYDVRGIQKPGPTKIPPAPSLVPTPLTNVPSAPSTPGPGPEPPASLS FNTPEYLKSTFSKTDSITTGTVSTVKNGLPTDKPAVTEDVNIYQKYIARFSGSQHCGHIH CAYQYREHYHCLDPECNYQRFTSKQDVIRHYNMHKKRDNSLQHGFMRFSPLDDCSVYYHG CHLNGKSTHYHCMQVGCNKVYTSTSDVMTHENFHKKNTQLINDGFQRFRATEDCGTADCQ FYGQKTTHFHCRRPGCTFTFKNKCDIEKHKSYHIKDDAYAKDGFKKFYKYEECKYEGCMY SKATNHFHCIRAGCGFTFTSTSQMTSHKRKHERRHIRSSGALGLPASLLGAKDTEHEESS NDDLVDFSALSSKNSSLSASPTSQQSSASLAAAAAATTAEAIPSATKPPNSKMAGLLPQG LSGSIPLALALSNSGLPTTTPYFPLLPNRGSASLPVGSPGLLGSMSSGATTSATPDMPAL MASRAGDSAPTAATSLSVPPASIIERISASKGLISPMMARLAAAALKPSATFDPGSGQQP TPTKFPQAQVKQEPDSAGTPGPHEASQDRSLDLTVKDPSNESNGHAVSANSSLLSSLMNK MSQGNPSLESFLSIKTEAEGSPAGEPSPFLGKAVKALVQEKLSEPWKVYLRRFGTKDFCD AQCDFLHKAHFHCVVEECGALFSTLDGAIKHANFHFRTEGGTAKGTPEASFPTSAAETKP PLAPSSLPAPPGTMVAGSSLEGPAPSPVSVPSTPTLLAWKQLASTIPQMPQIPSSVPHLP TSPLATTSLESAKPQVKPGFLQFQDNDPCLATDCKYASKFHFHCLFGNCKYVCKTSGKAE SHCLDHINPSNSLVNVRDQFAYYSLQCLCPNQHCEFRMRGHYHCLRTGCYFVTNITTKLP WHIKKHEKAERRAANGFKYFTKREECGRLGCKYNQVNSHFHCIREGCQFSFLLKHQMTSH ARKHMRRMLGKNFDRVPPSQGPPSLMDAETDEGMDYTGCSPGAASSESSTMDRSCSSTPV GNESTAAGNTISMPTASGAKKRFWIIEDMSPFGKRRKTASSRKMLDEGMMLEGFRRFDLY EDCKDTACQFSLKVTHYHCTRENCGYKFCGRTHMYKHAQHHDRVDNLVLDDFKRFKASLS CHFADCPFSGTSTHFHCLRCRFRCTDSTKVTAHRKHHGKQDVISAAGFCQFSSSADCAVP DCKYKLKCSHFHCTYPGCRHTVVGMSQMDSHKRKHEKQERGEPPAASPGAPVNLDGSLTL AAEQGSLLFLQTAAAGLGLLGDTGDPGPPVTASGTRDGPAAPTPAAAATTTTTTTATATA TAGESSQEDDEELELPEEEAEDDDEDDDEEDDDDEDDDDDDDDEDLRTDSEESLPEAAGE AGARTPLAALGGPGPAPTAASP >ENSMUSP00000116095.1 pep:known chromosome:GRCm38:4:148901149:148932896:1 gene:ENSMUSG00000028977.16 transcript:ENSMUST00000147270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casz1 description:castor zinc finger 1 [Source:MGI Symbol;Acc:MGI:1196251] MDLGTAESTRCTDPPAGKPPMAAKRKGGLKLNAICAKLSRQVVVEKGAEAGSQAEGSPLH PRDKERSGPESGVSRAPRSEEDKRRAVIEKWVNGEYCEDPAPTPVLGRIARDQELPPEGV YMVQPQGCSDEEDHAEEPSKDNSVLEEKESDGTASKDDSGPSTRQASGETSSLRDYAAST MTEFLGMFGYDDQNTRDELAKKISFEKPHAGSTP >ENSMUSP00000120307.1 pep:known chromosome:GRCm38:4:148933114:148940511:1 gene:ENSMUSG00000028977.16 transcript:ENSMUST00000139806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casz1 description:castor zinc finger 1 [Source:MGI Symbol;Acc:MGI:1196251] KATILPLPSHSSVQMQNLVARASKYDFFIHKLKTGENLRPQNGSTYKKPSKYDLENVKYL HLFKPGEGSPDMGGAIAFKTGKVGRPSKYDVRGIQKPGPTKIPPAPSLVPTPLTNVPSAP STPGPGPEPPASLSFNTPEYLKSTFSKTDSITTGTVSTVKNGLPTDKPAVTEDVNIYQKY IARFSGSQHCGHIHCAYQYREHYHCLDPECNYQRFTSKQDVIRHYNMHKKRDNSLQHGFM RFSPLDDCSVYYHGCHLNGKSTHYHCMQVGCNKVYTSTSDVMTHENFHKKNTQLINDGFQ RFRATEDCGTADCQFYGQKTTHFHCRRPGCTFTFKNKCDIEKHKSYHIKDDAYAKDGFKK FYKYEECKYEGCMYSKATNHFHCIRAGCGFTFTSTSQMTSHKRKHERRHIRSSGALGLPA SLLGAKDTEHEESSNDDLVDFSALSSKNSSLSASPTSQQSSASLAAAAAATTAEAIPSAT KPPNSKMAGLLPQGLSGSIPLALALSNSGLPTTTPYFPLLPNRGSASLPVGSPGLLGSMS SGATTSATPDMPALMASRAGDSAPTAATSLSVPPASIIERISASKGLISPMMARLAAAAL KPSATFDPGEQAGPCPVSKHTGKLATPGPETEEHGAGWEVSAQPPHLQPPRSSPFLLGLC LHPVDLFALWGWGSLILAMHPGTHSSVCGAGEWFLSLSTWVA >ENSMUSP00000028764.5 pep:known chromosome:GRCm38:2:130576173:130577054:1 gene:ENSMUSG00000027301.7 transcript:ENSMUST00000028764.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxt description:oxytocin [Source:MGI Symbol;Acc:MGI:97453] MACPSLACCLLGLLALTSACYIQNCPLGGKRAVLDLDMRKCLPCGPGGKGRCFGPSICCA DELGCFVGTAEALRCQEENYLPSPCQSGQKPCGSGGRCAATGICCSPDGCRTDPACDPES AFSER >ENSMUSP00000142888.1 pep:known chromosome:GRCm38:9:110476958:110489889:1 gene:ENSMUSG00000032489.17 transcript:ENSMUST00000198858.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif9 description:kinesin family member 9 [Source:MGI Symbol;Acc:MGI:1098237] MATVYTASRLAVLVANRAPSSCCSSSGRSTRAPLPRESIDIHLKKDTRRGVVNNQQTDWS FKLDGVLHNASQDLVYETVAKDAVSQALDGYNGTIMCYGQTGAGKTYTMTGATENYKHRG ILPRALQQVFRMIEERPTHAITVRVS >ENSMUSP00000142734.1 pep:known chromosome:GRCm38:9:110476994:110522131:1 gene:ENSMUSG00000032489.17 transcript:ENSMUST00000197248.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif9 description:kinesin family member 9 [Source:MGI Symbol;Acc:MGI:1098237] MGTRKKVQAFVRVRPTDDFAHEMIKYGEDNKSIDIHLKKDTRRGVVNNQQTDWSFKLDGV LHNASQDLVYETVAKDAVSQALDGYNGTIMCYGQTGAGKTYTMTGATENYKHRGILPRAL QQVFRMIEERPTHAITVRVSYLEIYNENLFDLLSTLPYVGPSVTPMTIVENPQGIFIKGL SVHLTSQEEDAFSLLFEGETNRIIASHTMNKNSSRSHCIFTIYMEAHSRTLSDEKYITSK INLVDLAGSERLSKTGSEGRVLKEATYINKSLSFLEQAIIALGDQNRDHVPFRQSKLTHA LKDSLGGNCNMVLVTNIYGEAAQLDETLSSLRFASRMKLVTTEPAINEKYDAERMVKNLE KELALLKQELAIHDSLSNRTLVNYDPMDEIQIAEINSQVRRYLEGTLDEIDIINLRQIQE VFNQFRVVLSQQEQEVESALRRKYTLIDKNDFAAISAVQKVGLMDIEGNLVGEPDGQSFG LGVAPFSVKPGKKPKTKKTPKDQFSSSARKEGASSPVSGKDFDVASISKTQLIPSSKDGD LKDMLARERETSSIEPLISDSPKEELRAPRPSTPPSRTVAFEEFKNERGSEINRIFKENK SILNERKKRASETTQRINAIKQEIDETKDALNFQKSLREKQGEYENKGLMIIDEEEFLLI LKLKDLKKQYRNEYQELRDLRAEIQYCQRLVDQCRHRLLMEFDIWYNESFMIPEDVQVAL KLGSSIRPGMVPISRIVCLGEDDQDRFSHLQQTVLPEGLDSITFYNAKVKTDQKVTLDIH VCEGGGPEDFVIRILRTTNSIR >ENSMUSP00000057896.6 pep:known chromosome:GRCm38:9:110476994:110524234:1 gene:ENSMUSG00000032489.17 transcript:ENSMUST00000061155.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif9 description:kinesin family member 9 [Source:MGI Symbol;Acc:MGI:1098237] MGTRKKVQAFVRVRPTDDFAHEMIKYGEDNKSIDIHLKKDTRRGVVNNQQTDWSFKLDGV LHNASQDLVYETVAKDAVSQALDGYNGTIMCYGQTGAGKTYTMTGATENYKHRGILPRAL QQVFRMIEERPTHAITVRVSYLEIYNENLFDLLSTLPYVGPSVTPMTIVENPQGIFIKGL SVHLTSQEEDAFSLLFEGETNRIIASHTMNKNSSRSHCIFTIYMEAHSRTLSDEKYITSK INLVDLAGSERLSKTGSEGRVLKEATYINKSLSFLEQAIIALGDQNRDHVPFRQSKLTHA LKDSLGGNCNMVLVTNIYGEAAQLDETLSSLRFASRMKLVTTEPAINEKYDAERMVKNLE KELALLKQELAIHDSLSNRTLVNYDPMDEIQIAEINSQVRRYLEGTLDEIDIINLRQIQE VFNQFRVVLSQQEQEVESALRRKYTLIDKNDFAAISAVQKVGLMDIEGNLVGEPDGQSFG LGVAPFSVKPGKKPKTKKTPKDQFSSSARKEGASSPVSGKDFDVASISKTQLIPSSKDGD LKDMLARERETSSIEPLISDSPKEELRAPRPSTPPSRTVAFEEFKNERGSEINRIFKENK SILNERKKRASETTQRINAIKQEIDETKDALNFQKSLREKQGEYENKGLMIIDEEEFLLI LKLKDLKKQYRNEYQELRDLRAEIQYCQRLVDQCRHRLLMEFDIWYNESFMIPEDVQVAL KLGSSIRPGMVPISRIVCLGEDDQDRFSHLQQTVLPEGLDSITFYNAKVKTDQKAYGSIR RAWWRAACIINALNLRRTGVDQGYDKPIRP >ENSMUSP00000142689.1 pep:known chromosome:GRCm38:9:110476994:110525038:1 gene:ENSMUSG00000032489.17 transcript:ENSMUST00000198043.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif9 description:kinesin family member 9 [Source:MGI Symbol;Acc:MGI:1098237] MGTRKKVQAFVRVRPTDDFAHEMIKYGEDNKSIDIHLKKDTRRGVVNNQQTDWSFKLDGV LHNASQDLVYETVAKDAVSQALDGYNGTIMCYGQTGAGKTYTMTGATENYKHRGILPRAL QQVFRMIEERPTHAITVRVSYLEIYNENLFDLLSTLPYVGPSVTPMTIVENPQGIFIKGL SVHLTSQEEDAFSLLFEGETNRIIASHTMNKNSSRSHCIFTIYMEAHSRTLSDEKYITSK INLVDLAGSERLSKTGSEGRVLKEATYINKSLSFLEQAIIALGDQNRDHVPFRQSKLTHA LKDSLGGNCNMVLVTNIYGEAAQLDETLSSLRFASRMKLVTTEPAINEKYDAERMVKNLE KELALLKQELAIHDSLSNRTLVNYDPMDEIQIAEINSQVRRYLEGTLDEIDIINLRQIQE VFNQFRVVLSQQEQEVESALRRKYTLIDKNDFAAISAVQKVGLMDIEGNLVGEPDGQSFG LGVAPFSVKPGKKPKTKKTPKDQFSSSARKEGASSPVSGKDFDVASISKTQLIPSSKDGD LKDMLARERETSSIEPLISDSPKEELRAPSTII >ENSMUSP00000082016.5 pep:known chromosome:GRCm38:9:110476994:110525179:1 gene:ENSMUSG00000032489.17 transcript:ENSMUST00000084952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif9 description:kinesin family member 9 [Source:MGI Symbol;Acc:MGI:1098237] MGTRKKVQAFVRVRPTDDFAHEMIKYGEDNKSIDIHLKKDTRRGVVNNQQTDWSFKLDGV LHNASQDLVYETVAKDAVSQALDGYNGTIMCYGQTGAGKTYTMTGATENYKHRGILPRAL QQVFRMIEERPTHAITVRVSYLEIYNENLFDLLSTLPYVGPSVTPMTIVENPQGIFIKGL SVHLTSQEEDAFSLLFEGETNRIIASHTMNKNSSRSHCIFTIYMEAHSRTLSDEKYITSK INLVDLAGSERLSKTGSEGRVLKEATYINKSLSFLEQAIIALGDQNRDHVPFRQSKLTHA LKDSLGGNCNMVLVTNIYGEAAQLDETLSSLRFASRMKLVTTEPAINEKYDAERMVKNLE KELALLKQELAIHDSLSNRTLVNYDPMDEIQIAEINSQVRRYLEGTLDEIDIINLRQIQE VFNQFRVVLSQQEQEVESALRRKYTLIDKNDFAAISAVQKVGLMDIEGNLVGEPDGQSFG LGVAPFSVKPGKKPKTKKTPKDQFSSSARKEGASSPVSGKDFDVASISKTQLIPSSKDGD LKDMLARERETSSIEPLISDSPKEELRAPRPSTPPSRTVAFEEFKNERGSEINRIFKENK SILNERKKRASETTQRINAIKQEIDETKDALNFQKSLREKQGEYENKGLMIIDEEEFLLI LKLKDLKKQYRNEYQELRDLRAEIQYCQRLVDQCRHRLLMEFDIWYNESFMIPEDVQVAL KLGSSIRPGMVPISRIVCLGEDDQDRFSHLQQTVLPEGLDSITFYNAKVKTDQKHNYMKT MVGLQQSHRK >ENSMUSP00000032882.8 pep:known chromosome:GRCm38:7:97400003:97407802:1 gene:ENSMUSG00000030647.8 transcript:ENSMUST00000032882.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufc2 description:NADH dehydrogenase (ubiquinone) 1, subcomplex unknown, 2 [Source:MGI Symbol;Acc:MGI:1344370] MMNGRPGHEPLKFLPDEARSLPPPKLNDPRLVYMGLLGYCTGLMDNMLRMRPVMRAGLHR QLLFVTSFVFAGYFYLKRQNYLYAVKDHDMFGYIKLHPEDFPEKEKKTYAEILEPFHPVR >ENSMUSP00000146005.1 pep:known chromosome:GRCm38:7:97400103:97407080:1 gene:ENSMUSG00000030647.8 transcript:ENSMUST00000149122.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufc2 description:NADH dehydrogenase (ubiquinone) 1, subcomplex unknown, 2 [Source:MGI Symbol;Acc:MGI:1344370] MMNGRPGHEPLKFLPDEARSLPPPKLNDPRLVYMGLLGYCTGLMDNMLRMRPVMRAGLHR QLLFVTSFVFAGYFYLKRQNYLYAVKDHDMFGYIKLHPEDFPEKGISC >ENSMUSP00000026602.2 pep:known chromosome:GRCm38:X:112495274:112558343:1 gene:ENSMUSG00000025528.10 transcript:ENSMUST00000026602.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010106E10Rik description:RIKEN cDNA 2010106E10 gene [Source:MGI Symbol;Acc:MGI:1914965] MADCTFMLTQMLFISWFLMAHCSQPNCTSVKDFNNCLGNTTGFCPESIVCACKDGKPFCK CPNFRGQWGDYWYMGEKCDQLWNTLDLVLVATLPGIALAIIVGVAIQIIHYCKGKSKKNG NHHRVEKSMSELRPQHNSAYGLGTDRNLPQPNQRENPWSLSNPEAFSRTSFSPSSQLSRT NSNFIAQEHKEDHPYNYPYLKWNASPGPSNPAVNYGNSHLSATYAKPNFDFSTSGVPRAA YPPKEKKYSVYEESEITYKIGRANMIPNY >ENSMUSP00000109039.2 pep:known chromosome:GRCm38:X:112495275:112558333:1 gene:ENSMUSG00000025528.10 transcript:ENSMUST00000113412.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010106E10Rik description:RIKEN cDNA 2010106E10 gene [Source:MGI Symbol;Acc:MGI:1914965] MADCTFMLTQMLFISWFLMAHCSQPNCTSVKDFNNCLGNTTGFCPESIVCACKDGKPFCK CPNFRGQWGDYWYMGEKCDQLWNTLDLVLVATLPGIALAIIVGVAIQIIHYCKGKSKKNG NHHRVEKSMSELRPQHNSAYGLGTDRNLPQPNQREVNTETHLSNKNPWSLSNPEAFSRTS FSPSSQLSRTNSNFIAQEHKEDHPYNYPYLKWNASPGPSNPAVNYGNSHLSATYAKPNFD FSTSGVPRAAYPPKEKKYSVYEESEITYKIGRANMIPNY >ENSMUSP00000095051.2 pep:known chromosome:GRCm38:1:189728268:189876695:1 gene:ENSMUSG00000026604.17 transcript:ENSMUST00000097442.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn14 description:protein tyrosine phosphatase, non-receptor type 14 [Source:MGI Symbol;Acc:MGI:102467] MPFGLKLRRTRRYNVLSKNCFVTRIRLLDSNVIECTLSVESTGQECLEAVAQRLELRETH YFGLWFLSKSQQARWVELEKPLKKHLDKFANEPLLFFGVMFYVPNVSRLQQEATRYQYYL QVKKDVLEGRLRCSLEQVIRLAGLAVQADFGDYNQFDSQEFLREYVLFPMDLAMEEAALE ELTQKVAQEHKAHSGILPAEAELMYINEVERLDGFGQEIFPVKDSHGNSVHLGIFFMGIF VRNRVGRQAVIYRWNDIGSVTHSKAAILLELIDKEETALFHTDDIENAKYISRLFTTRHK FYKQNKICTEQSNSPPPIRRQPTWSRSSLPRQQPYILPPMHVQCSEHYSETHTSQDSIFP GNEEALYCRSHNSLDLNYLNGTVTNGSVCSVHSVNSLSCSQSFIQASPVSSNLSIPGSDI MRADYIPSHRHSTIIVPSYRPTPDYETVMRQMKRGLMHADSQSRSLRNLNIINTHAYNQP EELVYSQPEMRERHPYTVPYAHQGCYGHKLVSPSDQMNPQNCAMPIKPGASSISHTVSTP ELANMQLQGAQHYSTAHMLKNYLFRPPPPYPRPRPATSTPDLASHRHKYVSGSSPDLVTR KVQLSVKTFQEDSSPVVHQSLQEVSEPLTATKHHGGGGGTVNKRHSLEVMNSMVRGMEAM TLKSLNIPMARRNTLREQGPSEETGGHEVHGLPQYHHKKTFSDATMLIHSSESEEEEETL EAAPQVPVLREKVEYSAQLQAALARIPNRPPPEYPGPRKSVSNGALRQDQGTPLPAMARC RVLRHGPSKALSVSRAEQLAVNGASLGPSISEPDLTSVKERVKKEPVKERPVSEMFSLED SIIEREMMIRNLEKQKMTGPQAQKRPLMLAALNGLSVARVSGREDGHHDATRVPIDERLR ALKKKLEDGMVFTEYEQIPNKKANGVFSTATLPENAERSRIREVVPYEENRVELIPTKEN NTGYINASHIKVVVGGSEWHYIATQGPLPHTCHDFWQMVWEQGVNVIAMVTAEEEGGRTK SHRYWPKLGSKHSSATYGKFKVTTKFRTDSGCYATTGLKVKHLLSGQERTVWHLQYTDWP HHGCPEDVQGFLSYLEEIQSVRRHTNSVLEGIRTRHPPIVVHCSAGVGRTGVVILSELMI YCLEHNEKVEVPTMLRFLREQRMFMIQTIAQYKFVYQVLVQFLQNSRLI >ENSMUSP00000027898.6 pep:known chromosome:GRCm38:1:189786672:189870068:1 gene:ENSMUSG00000026604.17 transcript:ENSMUST00000027898.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn14 description:protein tyrosine phosphatase, non-receptor type 14 [Source:MGI Symbol;Acc:MGI:102467] MPFGLKLRRTRRYNVLSKNCFVTRIRLLDSNVIECTLSVESTGQECLEAVAQRLELRETH YFGLWFLSKSQQARWVELEKPLKKHLDKFANEPLLFFGVMFYVPNVSRLQQEATRYQYYL QVKKDVLEGRLRCSLEQVIRLAGLAVQADFGDYNQFDSQEFLREYVLFPMDLAMEEAALE ELTQKVAQEHKAHSGILPAEAELMYINEVERLDGFGQEIFPVKDSHGNSVHLGIFFMGIF VRNRVGRQAVIYRWNDIGSVTHSKAAILLELIDKEETALFHTDDIENAKYISRLFTTRHK FYKQNKICTEQSNSPPPIRRQPTWSRSSLPRQQPYILPPMHVQCSEHYSETHTSQDSIFP GNEEALYCRSHNSLDLNYLNGTVTNGSVCSVHSVNSLSCSQSFIQASPVSSNLSIPGSDI MRADYIPSHRHSTIIVPSYRPTPDYETVMRQMKRGLMHADSQSRSLRNLNIINTHAYNQP EELVYSQPEMRERHPYTVPYAHQGCYGHKLNLEKQKMTGPQAQKRPLMLAALNGLSVARV SGREDGHHDATRVPIDERLRALKKKLEDGMVFTEYEQIPNKKANGVFSTATLPENAERSR IREVVPYEENRVELIPTKENNTGYINASHIKVVVGGSEWHYIATQGPLPHTCHDFWQMVW EQGVNVIAMVTAEEEGGRTKSHRYWPKLGSKHSSATYGKFKVTTKFRTDSGCYATTGLKV KHLLSGQERTVWHLQYTDWPHHGCPEDVQGFLSYLEEIQSVRRHTNSVLEGIRTRHPPIV VHCSAGVGRTGVVILSELMIYCLEHNEKVEVPTMLRFLREQRMFMIQTIAQYKFVYQVLV QFLQNSRLI >ENSMUSP00000133533.1 pep:known chromosome:GRCm38:9:88376767:88438914:-1 gene:ENSMUSG00000032422.17 transcript:ENSMUST00000174806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx14 description:sorting nexin 14 [Source:MGI Symbol;Acc:MGI:2155664] MWLRRRGLGVPSASASEGGTSSPAWTEMGSWVRTICGRLKQRLRLDVGREICRQYPLFCF LLLCLSVASLLLNRYLHVLMIFWSFVAGVVTFYCSLGPDSLLPNIFFTIKYKPKQLGLQE LFPQGHSCAVCGKVKCKRHRPSLLLENYQPWLDLKVSSKVDASLSEVLELVLENFVYPWY RDVTDDESFVDELRITLRFFASVLVRRIHKVDIPSIITKKLLKAAMKHIEVIVKARQKVK NTEYLQQAALEEYGPELHVALRSRRDELQYLRKLTELLFPYILPPKATDCRSLTLLIREI LSGSVLLPSLDFLADPDTVNHLLIIFIDDSPPEKATEPASPLVPFLQKFAEPRNKKPSVL KLELKQIREQQDLLFRFMNFLKQEGAVHVLQFCLTVEEFNDRILRPELSNDEMLSLHEEL QKIYKTYCLDESIDKIRFDPFIVEEIQRIAEGPYIDVVKLQTMRCLFEAYEHVLSLLENV FTPMFCHSDEYFRQLLRGAESPTRNSKFNRGSLSLDDFRSTQKRGESFGISRIGSKIKGV FKSTTMEGAVLPNYGVAEGEDDFIEEGIVVMEDDSPVEAVSTPNTPRNLAAWKISIPYVD FFEDPSSERKEKKERIPVFCIDVERNDRRAVGHEPEHWSVYRRYLEFYVLESKLTEFHGT FPDAQLPSKRIIGPKNYEFLKSKREEFQEYLQKLVQHPELSNSQLLADFLSPNGGETQFL DKILPDVNLGKIIKSVPGKLMKEKGQHLEPFIMSFINSCESPKPKPSRPELTILSPTSEN NKKLFNDLFKNNANRAENTERKQNQNYFMEVMTVDGVYDYLMYVGRVVFQVPDWLHHLLM GTRILFKNTLEMYTDYYLQCKLEQLFQEHRLVSLITLLRDAIFCENTEPRSLQDKQKGAK QTFEEMMNYIPDLIVKCIGEETKYESIRLLFDGLQQPVLNKQLTYVLLDIVIQELFPELN KVQKEATSMTSWM >ENSMUSP00000116773.1 pep:known chromosome:GRCm38:9:88381539:88438929:-1 gene:ENSMUSG00000032422.17 transcript:ENSMUST00000126405.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snx14 description:sorting nexin 14 [Source:MGI Symbol;Acc:MGI:2155664] MWLRRRGLGVPSASASEGGTSSPAWTEMGSWVRTICGRLKQRLRLDVGREICRQYPLFCF LLLCLSVASLLLNRYLHVLMIFWSFVAGVVTFYCSLGPDSLLPNIFFTIKYKPKQLGLQE LFPQGHSCAVCGKVKCKRHRPSLLLENYQPWLDLKVSSKVDASLSEVLELVLENFVYPWY RDVTDDESFVDELRITLRFFASVLVRRIHK >ENSMUSP00000130116.1 pep:known chromosome:GRCm38:9:88376750:88438951:-1 gene:ENSMUSG00000032422.17 transcript:ENSMUST00000165315.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx14 description:sorting nexin 14 [Source:MGI Symbol;Acc:MGI:2155664] MWLRRRGLGVPSASASEGGTSSPAWTEMGSWVRTICGRLKQRLRLDVGREICRQYPLFCF LLLCLSVASLLLNRYLHVLMIFWSFVAGVVTFYCSLGPDSLLPNIFFTIKYKPKQLGLQE LFPQGHSCAVCGKVKCKRHRPSLLLENYQPWLDLKVSSKVDASLSEVLELVLENFVYPWY RDVTDDESFVDELRITLRFFASVLVRRIHKVDIPSIITKKLLKAAMKHIEVIVKARQKVK NTEYLQQAALEEYGPELHVALRSRRDELQYLRKLTELLFPYILPPKATDCRSLTLLIREI LSGSVLLPSLDFLADPDTVNHLLIIFIDDSPPEKATEPASPLVPFLQKFAEPRNKKPSVL KLELKQIREQQDLLFRFMNFLKQEGAVHVLQFCLTVEEFNDRILRPELSNDEMLSLHEEL QKIYKTYCLDESIDKIRFDPFIVEEIQRIAEGPYIDVVKLQTMRCLFEAYEHVLSLLENV FTPMFCHSDEYFRQLLRGAESPTRNSKFNRSTQKRGESFGISRIGSKIKGVFKSTTMEGA VLPNYGVAEGEDDFIEEGIVVMEDDSPVEAVSTPNTPRNLAAWKISIPYVDFFEDPSSER KEKKERIPVFCIDVERNDRRAVGHEPEHWSVYRRYLEFYVLESKLTEFHGTFPDAQLPSK RIIGPKNYEFLKSKREEFQEYLQKLVQHPELSNSQLLADFLSPNGGETQFLDKILPDVNL GKIIKSVPGKLMKEKGQHLEPFIMSFINSCESPKPKPSRPELTILSPTSENNKKLFNDLF KNNANRAENTERKQNQNYFMEVMTVDGVYDYLMYVGRVVFQVPDWLHHLLMGTRILFKNT LEMYTDYYLQCKLEQLFQEHRLVSLITLLRDAIFCENTEPRSLQDKQKGAKQTFEEMMNY IPDLIVKCIGEETKYESIRLLFDGLQQPVLNKQLTYVLLDIVIQELFPELNKVQKEATSM TSWM >ENSMUSP00000133507.1 pep:known chromosome:GRCm38:9:88376752:88438898:-1 gene:ENSMUSG00000032422.17 transcript:ENSMUST00000173011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx14 description:sorting nexin 14 [Source:MGI Symbol;Acc:MGI:2155664] MWLRRRGLGVPSASASEGGTSSPAWTEMGSWVRTICGRLKQRLRLDVGREICRQYPLFCF LLLCLSVASLLLNRYLHVLMIFWSFVAGVVTFYCSLGPDSLLPNIFFTIKYKPKQLGLQE LFPQGHSCAVCGKVKCKRHRPSLLLENYQPWLDLKVSSKVDASLSEVLELVLENFVYPWY RDVTDDESFVDELRITLRFFASVLVRRIHKVDIPSIITKKLLKAAMKHIEVIVKARQKVK NTEYLQQAALEEYGPELHVALRSRRDELQYLRKLTELLFPYILPPKATDCRSLTLLIREI LSGSVLLPSLDFLADPDTVNHLLIIFIDDSPPEKATEPASPLVPFLQKFAEPRNKKPSVL KLELKQIREQQDLLFRFMNFLKQEGAVHVLQFCLTVEEFNDRILRPELSNDEMLSLHEEL QKIYKTYCLDESIDKIRFDPFIVEEIQRRKIIKSVPGKLMKEKGQHLEPFIMSFINSCES PKPKPSRPELTILSPTSENNKKLFNDLFKNNANRAENTERKQNQNYFMEVMTVDGVYDYL MYVGRVVFQVPDWLHHLLMGTRILFKNTLEMYTDYYLQCKLEQLFQEHRLVSLITLLRDA IFCENTEPRSLQDKQKGAKQTFEEMMNYIPDLIVKCIGEETKYESIRLLFDGLQQPVLNK QLTYVLLDIVIQELFPELNKVQKEATSMTSWM >ENSMUSP00000133624.1 pep:known chromosome:GRCm38:9:88376764:88438958:-1 gene:ENSMUSG00000032422.17 transcript:ENSMUST00000173039.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx14 description:sorting nexin 14 [Source:MGI Symbol;Acc:MGI:2155664] MWLRRRGLGVPSASASEGGTSSPAWTEMGSWVRTICGRLKQRLRLDVGREICRQYPLFCF LLLCLSVASLLLNRYLHVLMIFWSFVAGVVTFYCSLGPDSLLPNIFFTIKYKPKQLGLQE LFPQGHSCAVCGKVKCKRHRPSLLLENYQPWLDLKVSSKVDASLSEVDIPSIITKKLLKA AMKHIEVIVKARQKVKNTEYLQQAALEEYGPELHVALRSRRDELQYLRKLTELLFPYILP PKATDCRSLTLLIREILSGSVLLPSLDFLADPDTVNHLLIIFIDDSPPEKATEPASPLVP FLQKFAEPRNKKPSVLKLELKQIREQQDLLFRFMNFLKQEGAVHVLQFCLTVEEFNDRIL RPELSNDEMLSLHEELQKIYKTYCLDESIDKIRFDPFIVEEIQRIAEGPYIDVVKLQTMR CLFEAYEHVLSLLENVFTPMFCHSDEYFRQLLRGAESPTRNSKFNRSTQKRGESFGISRI GSKIKGVFKSTTMEGAVLPNYGVAEGEDDFIEEGIVVMEDDSPVEAVSTPNTPRNLAAWK ISIPYVDFFEDPSSERKEKKERIPVFCIDVERNDRRAVGHEPEHWSVYRRYLEFYVLESK LTEFHGTFPDAQLPSKRIIGPKNYEFLKSKREEFQEYLQKLVQHPELSNSQLLADFLSPN GGETQFLDKILPDVNLGKIIKSVPGKLMKEKGQHLEPFIMSFINSCESPKPKPSRPELTI LSPTSENNKKLFNDLFKNNANRAENTERKQNQNYFMEVMTVDGVYDYLMYVGRVVFQVPD WLHHLLMGTRILFKNTLEMYTDYYLQCKLEQLFQEHRLVSLITLLRDAIFCENTEPRSLQ DKQKGAKQTFEEMMNYIPDLIVKCIGEETKYESIRLLFDGLQQPVLNKQLTYVLLDIVIQ ELFPELNKVQKEATSMTSWM >ENSMUSP00000030765.6 pep:known chromosome:GRCm38:4:140906344:140952586:1 gene:ENSMUSG00000028927.6 transcript:ENSMUST00000030765.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Padi2 description:peptidyl arginine deiminase, type II [Source:MGI Symbol;Acc:MGI:1338892] MQPPIRENMLRERTVRLQYGSRVEAVYVLGTQLWTDVYSAAPAGAKTFSLKHSEGVKVEV VRDGEAEEVVTNGKQRWALSPSSTLRLSMAQASTEASSDKVTVNYYEEEGSAPIDQAGLF LTAIEISLDVDADRDGEVEKNNPKKASWTWGPEGQGAILLVNCDRDTPWLPKEDCSDEKV YSKQDLQDMSQMILRTKGPDRLPAGYEIVLYISMSDSDKVGVFYVENPFFGQRYIHILGR QKLYHVVKYTGGSAELLFFVEGLCFPDESFSGLVSIHVSLLEYMAEGIPLTPIFTDTVMF RIAPWIMTPNILPPVSVFVCCMKDNYLFLKEVKNLVEKTNCELKVCFQYMNRGDRWIQDE IEFGYIEAPHKGFPVVLDSPRDGNLKDFPIKQLLGPDFGYVTREPLFETVTSLDSFGNLE VSPPVTVNGKEYPLGRILIGSSFPLSGGRRMTKVVRDFLQAQQVQAPVELYSDWLTVGHV DEFMTFIPIPGKKEFRLLMASTSACYQLFREKQKAGHGEAVMFKGLGGMSSKRITINKIL SNESLTQENQYFQRCLDWNRDILKRELALTEKDIIDLPALFKMDENHQARAFFPNMVNMI VLDKDLGIPKPFGPQVEEECCLETHVRGLLEPLGLACTFIDDISAYHKFLGEVHCGTNVR RKPFTFKWWHMVP >ENSMUSP00000132469.1 pep:known chromosome:GRCm38:5:92052146:92083557:-1 gene:ENSMUSG00000029405.16 transcript:ENSMUST00000167918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G3bp2 description:GTPase activating protein (SH3 domain) binding protein 2 [Source:MGI Symbol;Acc:MGI:2442040] MVMEKPSPLLVGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQND IHHKVLSLNFSECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPEGSV PNKFYVHNDMFRYEDEVFGDSEPELDEESEDEVEEEQEDRQPSPEPVQENANSAYYDAHP VTNGIEEPLEESSHEPEPEPESETKTEELKPQVEEKHLEELEEKSATPPPAEPASLPQEP PKPRVDAKPEVQSQPPRVREQRPRERPGFPPRGPRPGRGDMEQNDSDNRRIIRYPDSHQL FVGNLPHDIDENELKEFFMSFGNVVELRINTKGVGGKLPNFGFVVFDDSEPVQRILIAKP IMFRGEVRLNVEEKKTRAARERETRGGGDDRRDIRRNDRGPGGPRGIVGGGMMRDRDGRG PPPRGGMTQKLGSGRGTGQMEGRFTGQRR >ENSMUSP00000144404.1 pep:known chromosome:GRCm38:5:92068325:92083263:-1 gene:ENSMUSG00000029405.16 transcript:ENSMUST00000201820.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G3bp2 description:GTPase activating protein (SH3 domain) binding protein 2 [Source:MGI Symbol;Acc:MGI:2442040] MVMEKPSPLLVGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQND IHHKVLSLNFSECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPE >ENSMUSP00000143804.1 pep:known chromosome:GRCm38:5:92066535:92073520:-1 gene:ENSMUSG00000029405.16 transcript:ENSMUST00000202123.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G3bp2 description:GTPase activating protein (SH3 domain) binding protein 2 [Source:MGI Symbol;Acc:MGI:2442040] MVMEKPSPLLVGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQND IHHKVLSLNFSECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPEGSV PNKFYVHNDMFRY >ENSMUSP00000128353.1 pep:known chromosome:GRCm38:5:92053717:92083238:-1 gene:ENSMUSG00000029405.16 transcript:ENSMUST00000164378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G3bp2 description:GTPase activating protein (SH3 domain) binding protein 2 [Source:MGI Symbol;Acc:MGI:2442040] MVMEKPSPLLVGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQND IHHKVLSLNFSECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPEGSV PNKFYVHNDMFRYEDEVFGDSEPELDEESEDEVEEEQEDRQPSPEPVQENANSAYYDAHP VTNGIEEPLEESSHEPEPEPESETKTEELKPQVEEKHLEELEEKSATPPPAEPASLPQEP PKAFSWASVTSKNLPPSGTVSSSGIPPHVKAPVSQPRVDAKPEVQSQPPRVREQRPRERP GFPPRGPRPGRGDMEQNDSDNRRIIRYPDSHQLFVGNLPHDIDENELKEFFMSFGNVVEL RINTKGVGGKLPNFGFVVFDDSEPVQRILIAKPIMFRGEVRLNVEEKKTRAARERETRGG GDDRRDIRRNDRGPGGPRGIVGGGMMRDRDGRGPPPRGGMTQKLGSGRGTGQMEGRFTGQ RR >ENSMUSP00000128244.1 pep:known chromosome:GRCm38:5:92053717:92083513:-1 gene:ENSMUSG00000029405.16 transcript:ENSMUST00000169094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G3bp2 description:GTPase activating protein (SH3 domain) binding protein 2 [Source:MGI Symbol;Acc:MGI:2442040] MVMEKPSPLLVGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQND IHHKVLSLNFSECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPEGSV PNKFYVHNDMFRYEDEVFGDSEPELDEESEDEVEEEQEDRQPSPEPVQENANSAYYDAHP VTNGIEEPLEESSHEPEPEPESETKTEELKPQVEEKHLEELEEKSATPPPAEPASLPQEP PKAFSWASVTSKNLPPSGTVSSSGIPPHVKAPVSQPRVDAKPEVQSQPPRVREQRPRERP GFPPRGPRPGRGDMEQNDSDNRRIIRYPDSHQLFVGNLPHDIDENELKEFFMSFGNVVEL RINTKGVGGKLPNFGFVVFDDSEPVQRILIAKPIMFRGEVRLNVEEKKTRAARERETRGG GDDRRDIRRNDRGPGGPRGIVGGGMMRDRDGRGPPPRGGMTQKLGSGRGTGQMEGRFTGQ RR >ENSMUSP00000144456.1 pep:known chromosome:GRCm38:5:92054500:92083658:-1 gene:ENSMUSG00000029405.16 transcript:ENSMUST00000202258.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G3bp2 description:GTPase activating protein (SH3 domain) binding protein 2 [Source:MGI Symbol;Acc:MGI:2442040] MVMEKPSPLLVGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQND IHHKVLSLNFSECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPEGSV PNKFYVHNDMFRYEDEVFGDSEPELDEESEDEVEEEQEDRQPSPEPVQENANSAYYDAHP VTNGIEEPLEESSHEPEPEPESETKTEELKPQVEEKHLEELEEKSATPPPAEPASLPQEP PKAFSWASVTSKNLPPSGTVSSSGIPPHVKAPVSQPRVDAKPEVQSQPPRVREQRPRERP GFPPRGPRPGRGDMEQNDSDNRRIIRYPDSHQLFVGNLPHDIDENELKEFFMSFGNVVEL RINTKGVGGKLPNFGFVVFDDSEPVQRILIAKPIMFRGEVRLNVEEKKTRAARERETRGG GDDRRDIRRNDRGPGGPRGIVGGGMMRDRDGRGPPPRGGMTQKLGSGRGTGQMEGRFTGQ RR >ENSMUSP00000108752.3 pep:known chromosome:GRCm38:5:92052164:92083719:-1 gene:ENSMUSG00000029405.16 transcript:ENSMUST00000113127.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G3bp2 description:GTPase activating protein (SH3 domain) binding protein 2 [Source:MGI Symbol;Acc:MGI:2442040] MVMEKPSPLLVGREFVRQYYTLLNKAPEYLHRFYGRNSSYVHGGVDASGKPQEAVYGQND IHHKVLSLNFSECHTKIRHVDAHATLSDGVVVQVMGLLSNSGQPERKFMQTFVLAPEGSV PNKFYVHNDMFRYEDEVFGDSEPELDEESEDEVEEEQEDRQPSPEPVQENANSAYYDAHP VTNGIEEPLEESSHEPEPEPESETKTEELKPQVEEKHLEELEEKSATPPPAEPASLPQEP PKPRVDAKPEVQSQPPRVREQRPRERPGFPPRGPRPGRGDMEQNDSDNRRIIRYPDSHQL FVGNLPHDIDENELKEFFMSFGNVVELRINTKGVGGKLPNFGFVVFDDSEPVQRILIAKP IMFRGEVRLNVEEKKTRAARERETRGGGDDRRDIRRNDRGPGGPRGIVGGGMMRDRDGRG PPPRGGMTQKLGSGRGTGQMEGRFTGQRR >ENSMUSP00000035551.6 pep:known chromosome:GRCm38:2:130580620:130582554:-1 gene:ENSMUSG00000037727.6 transcript:ENSMUST00000046001.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avp description:arginine vasopressin [Source:MGI Symbol;Acc:MGI:88121] MLARMLNTTLSACFLSLLAFSSACYFQNCPRGGKRAISDMELRQCLPCGPGGKGRCFGPS ICCADELGCFVGTAEALRCQEENYLPSPCQSGQKPCGSGGRCAAVGICCSDESCVAEPEC HDGFFRLTRAREPSNATQLDGPARALLLRLVQLAGTRESVDSAKPRVY >ENSMUSP00000099531.1 pep:known chromosome:GRCm38:2:124089969:124667769:1 gene:ENSMUSG00000027200.17 transcript:ENSMUST00000103241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6d description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6D [Source:MGI Symbol;Acc:MGI:2387661] MGFLLLWFCVLFLLVSRLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQ LMLKIRDTLYIAGRDQVYTVNLNEIPQTEVIPSKKLTWRSRQQDRENCAMKGKHKDECHN FIKVFVPRNDEMVFVCGTNAFNPMCRYYRLRTLEYDGEEISGLARCPFDARQTNVALFAD GKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREI AVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSI TDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPED KVPKPRPGCCAKHGLAEAYKTSIDFPDDTLAFIKSHPLMDSAVPPIADEPWFTKTRVRYR LTAIEVDRSAGPYQNYTVIFVGSEAGVVLKVLAKTSPFSLNDSVLLEEIEAYNPAKCSAE SEEDRKVVSLQLDKDHHALYVAFSSCVVRIPLSRCERYGSCKKSCIASRDPYCGWLSQGV CERVTLGMLPGGYEQDTEYGNTAHLGDCHGVRWEVQSGESNQMVHMNVLITCVFAAFVLG AFIAGVAVYCYRDMFVRKNRKIHKDAESAQSCTDSSGSFAKLNGLFDSPVKEYQQNIDSP KLYSNLLTSRKELPPNTDTKSMAVDHRGQPPELAALPTPESTPVLHQKTLQAMKSHSEKA HSHGASRKEHPQFFPSSPPPHSPLSHGHIPSAIVLPNATHDYNTSFSNSNAHKAEKKLQS MDHPLTKSSSKREHRRSVDSRNTLNDLLKHLNDPNSNPKAILGEIHMAHQTLMLDPVGPM AEVPPKVPNREASLYSPPSTLPRNSPTKRVDVPTTPGVPMTSLERQRGYHKNSSQRHSIS AVPKNLNSPNGVLLSRQPSMNRGGYMPTPTGAKVDYIQGTPVSVHLQPSLSRQSSYTSNG TLPRTGLKRTPSLKPDVPPKPSFVPQTTSVRPLNKYTY >ENSMUSP00000099530.2 pep:known chromosome:GRCm38:2:124610601:124665957:1 gene:ENSMUSG00000027200.17 transcript:ENSMUST00000103240.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6d description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6D [Source:MGI Symbol;Acc:MGI:2387661] MGFLLLWFCVLFLLVSRLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQ LMLKIRDTLYIAGRDQVYTVNLNEIPQTEVIPSKKLTWRSRQQDRENCAMKGKHKDECHN FIKVFVPRNDEMVFVCGTNAFNPMCRYYRLRTLEYDGEEISGLARCPFDARQTNVALFAD GKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREI AVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSI TDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPED KVPKPRPGCCAKHGLAEAYKTSIDFPDDTLAFIKSHPLMDSAVPPIADEPWFTKTRVRYR LTAIEVDRSAGPYQNYTVIFVGSEAGVVLKVLAKTSPFSLNDSVLLEEIEAYNPAKCSAE SEEDRKVVSLQLDKDHHALYVAFSSCVVRIPLSRCERYGSCKKSCIASRDPYCGWLSQGV CERVTLGMLPGGYEQDTEYGNTAHLGDCHESLPPSTTPDYKIFGGPTSDMEVSSSSVTTV ASSPEITSKVIDTWRPKLTSSRKFVVQDDPNTSDFTDTISGVRWEVQSGESNQMVHMNVL ITCVFAAFVLGAFIAGVAVYCYRDMFVRKNRKIHKDAESAQSCTDSSGSFAKLNGLFDSP VKEYQQNIDSPKLYSNLLTSRKELPPNTDTKSMAVDHRGQPPELAALPTPESTPVLHQKT LQAMKSHSEKAHSHGASRKEHPQFFPSSPPPHSPLSHGHIPSAIVLPNATHDYNTSFSNS NAHKAEKKLQSMDHPLTKSSSKREHRRSVDSRNTLNDLLKHLNDPNSNPKAILGEIHMAH QTLMLDPVGPMAEVPPKVPNREASLYSPPSTLPRNSPTKRVDVPTTPGVPMTSLERQRGY HKNSSQRHSISAVPKNLNSPNGVLLSRQPSMNRGGYMPTPTGAKVDYIQGTPVSVHLQPS LSRQSSYTSNGTLPRTGLKRTPSLKPDVPPKPSFVPQTTSVRPLNKYTY >ENSMUSP00000061123.8 pep:known chromosome:GRCm38:2:124610296:124665957:1 gene:ENSMUSG00000027200.17 transcript:ENSMUST00000051419.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6d description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6D [Source:MGI Symbol;Acc:MGI:2387661] MGFLLLWFCVLFLLVSRLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQ LMLKIRDTLYIAGRDQVYTVNLNEIPQTEVIPSKKLTWRSRQQDRENCAMKGKHKDECHN FIKVFVPRNDEMVFVCGTNAFNPMCRYYRLRTLEYDGEEISGLARCPFDARQTNVALFAD GKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREI AVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSI TDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPED KVPKPRPGCCAKHGLAEAYKTSIDFPDDTLAFIKSHPLMDSAVPPIADEPWFTKTRVRYR LTAIEVDRSAGPYQNYTVIFVGSEAGVVLKVLAKTSPFSLNDSVLLEEIEAYNPAKCSAE SEEDRKVVSLQLDKDHHALYVAFSSCVVRIPLSRCERYGSCKKSCIASRDPYCGWLSQGV CERVTLGMLLLTEDFFAFHNHSPGGYEQDTEYGNTAHLGDCHGVRWEVQSGESNQMVHMN VLITCVFAAFVLGAFIAGVAVYCYRDMFVRKNRKIHKDAESAQSCTDSSGSFAKLNGLFD SPVKEYQQNIDSPKLYSNLLTSRKELPPNTDTKSMAVDHRGQPPELAALPTPESTPVLHQ KTLQAMKSHSEKAHSHGASRKEHPQFFPSSPPPHSPLSHGHIPSAIVLPNATHDYNTSFS NSNAHKAEKKLQSMDHPLTKSSSKREHRRSVDSRNTLNDLLKHLNDPNSNPKAILGEIHM AHQTLMLDPVGPMAEVPPKVPNREASLYSPPSTLPRNSPTKRVDVPTTPGVPMTSLERQR GYHKNSSQRHSISAVPKNLNSPNGVLLSRQPSMNRGGYMPTPTGAKVDYIQGTPVSVHLQ PSLSRQSSYTSNGTLPRTGLKRTPSLKPDVPPKPSFVPQTTSVRPLNKYTY >ENSMUSP00000099528.1 pep:known chromosome:GRCm38:2:124653635:124665309:1 gene:ENSMUSG00000027200.17 transcript:ENSMUST00000103238.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6d description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6D [Source:MGI Symbol;Acc:MGI:2387661] MGFLLLWFCVLFLLVSRLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQ LMLKIRDTLYIAGRDQVYTVNLNEIPQTEVIPSKKLTWRSRQQDRENCAMKGKHKDECHN FIKVFVPRNDEMVFVCGTNAFNPMCRYYRLRTLEYDGEEISGLARCPFDARQTNVALFAD GKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREI AVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSI TDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPED KVPKPRPGCCAKHGLAEAYKTSIDFPDDTLAFIKSHPLMDSAVPPIADEPWFTKTRVRYR LTAIEVDRSAGPYQNYTVIFVGSEAGVVLKVLAKTSPFSLNDSVLLEEIEAYNPAKCSAE SEEDRKVVSLQLDKDHHALYVAFSSCVVRIPLSRCERYGSCKKSCIASRDPYCGWLSQGV CERVTLGMLPGGYEQDTEYGNTAHLGDCHDMEVSSSSVTTVASSPEITSKVIDTWRPKLT SSRKFVVQDDPNTSDFTDTISGIPKGVRWEVQSGESNQMVHMNVLITCVFAAFVLGAFIA GVAVYCYRDMFVRKNRKIHKDAESAQSCTDSSGSFAKLNGLFDSPVKEYQQNIDSPKLYS NLLTSRKELPPNTDTKSMAVDHRGQPPELAALPTPESTPVLHQKTLQAMKSHSEKAHSHG ASRKEHPQFFPSSPPPHSPLSHGHIPSAIVLPNATHDYNTSFSNSNAHKAEKKLQSMDHP LTKSSSKREHRRSVDSRNTLNDLLKHLNDPNSNPKAILGEIHMAHQTLMLDPVGPMAEVP PKVPNREASLYSPPSTLPRNSPTKRVDVPTTPGVPMTSLERQRGYHKNSSQRHSISAVPK NLNSPNGVLLSRQPSMNRGGYMPTPTGAKVDYIQGTPVSVHLQPSLSRQSSYTSNGTLPR TGLKRTPSLKPDVPPKPSFVPQTTSVRPLNKYTY >ENSMUSP00000099529.3 pep:known chromosome:GRCm38:2:124610573:124667770:1 gene:ENSMUSG00000027200.17 transcript:ENSMUST00000103239.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6d description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6D [Source:MGI Symbol;Acc:MGI:2387661] MGFLLLWFCVLFLLVSRLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQ LMLKIRDTLYIAGRDQVYTVNLNEIPQTEVIPSKKLTWRSRQQDRENCAMKGKHKDECHN FIKVFVPRNDEMVFVCGTNAFNPMCRYYRLRTLEYDGEEISGLARCPFDARQTNVALFAD GKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREI AVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSI TDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPED KVPKPRPGCCAKHGLAEAYKTSIDFPDDTLAFIKSHPLMDSAVPPIADEPWFTKTRVRYR LTAIEVDRSAGPYQNYTVIFVGSEAGVVLKVLAKTSPFSLNDSVLLEEIEAYNPAKCSAE SEEDRKVVSLQLDKDHHALYVAFSSCVVRIPLSRCERYGSCKKSCIASRDPYCGWLSQGV CERVTLGMLPGGYEQDTEYGNTAHLGDCHESLPPSTTPDYKIFGGPTSDMEVSSSSVTTV ASSPEITSKVIDTWRPKLTSSRKFVVQDDPNTSDFTDTISGIPKGVRWEVQSGESNQMVH MNVLITCVFAAFVLGAFIAGVAVYCYRDMFVRKNRKIHKDAESAQSCTDSSGSFAKLNGL FDSPVKEYQQNIDSPKLYSNLLTSRKELPPNTDTKSMAVDHRGQPPELAALPTPESTPVL HQKTLQAMKSHSEKAHSHGASRKEHPQFFPSSPPPHSPLSHGHIPSAIVLPNATHDYNTS FSNSNAHKAEKKLQSMDHPLTKSSSKREHRRSVDSRNTLNDLLKHLNDPNSNPKAILGEI HMAHQTLMLDPVGPMAEVPPKVPNREASLYSPPSTLPRNSPTKRVDVPTTPGVPMTSLER QRGYHKNSSQRHSISAVPKNLNSPNGVLLSRQPSMNRGGYMPTPTGAKVDYIQGTPVSVH LQPSLSRQSSYTSNGTLPRTGLKRTPSLKPDVPPKPSFVPQTTSVRPLNKYTY >ENSMUSP00000075674.5 pep:known chromosome:GRCm38:2:124610296:124667769:1 gene:ENSMUSG00000027200.17 transcript:ENSMUST00000076335.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6d description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6D [Source:MGI Symbol;Acc:MGI:2387661] MGFLLLWFCVLFLLVSRLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQ LMLKIRDTLYIAGRDQVYTVNLNEIPQTEVIPSKKLTWRSRQQDRENCAMKGKHKDECHN FIKVFVPRNDEMVFVCGTNAFNPMCRYYRLRTLEYDGEEISGLARCPFDARQTNVALFAD GKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREI AVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSI TDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPED KVPKPRPGCCAKHGLAEAYKTSIDFPDDTLAFIKSHPLMDSAVPPIADEPWFTKTRVRYR LTAIEVDRSAGPYQNYTVIFVGSEAGVVLKVLAKTSPFSLNDSVLLEEIEAYNPAKCSAE SEEDRKVVSLQLDKDHHALYVAFSSCVVRIPLSRCERYGSCKKSCIASRDPYCGWLSQGV CERVTLGMLPGGYEQDTEYGNTAHLGDCHGVRWEVQSGESNQMVHMNVLITCVFAAFVLG AFIAGVAVYCYRDMFVRKNRKIHKDAESAQSCTDSSGSFAKLNGLFDSPVKEYQQNIDSP KLYSNLLTSRKELPPNTDTKSMAVDHRGQPPELAALPTPESTPVLHQKTLQAMKSHSEKA HSHGASRKEHPQFFPSSPPPHSPLSHGHIPSAIVLPNATHDYNTSFSNSNAHKAEKKLQS MDHPLTKSSSKREHRRSVDSRNTLNDLLKHLNDPNSNPKAILGEIHMAHQTLMLDPVGPM AEVPPKVPNREASLYSPPSTLPRNSPTKRVDVPTTPGVPMTSLERQRGYHKNSSQRHSIS AVPKNLNSPNGVLLSRQPSMNRGGYMPTPTGAKVDYIQGTPVSVHLQPSLSRQSSYTSNG TLPRTGLKRTPSLKPDVPPKPSFVPQTTSVRPLNKYTY >ENSMUSP00000077691.5 pep:known chromosome:GRCm38:2:124610296:124667769:1 gene:ENSMUSG00000027200.17 transcript:ENSMUST00000078621.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6d description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6D [Source:MGI Symbol;Acc:MGI:2387661] MGFLLLWFCVLFLLVSRLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQ LMLKIRDTLYIAGRDQVYTVNLNEIPQTEVIPSKKLTWRSRQQDRENCAMKGKHKDECHN FIKVFVPRNDEMVFVCGTNAFNPMCRYYRLRTLEYDGEEISGLARCPFDARQTNVALFAD GKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREI AVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSI TDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPED KVPKPRPGCCAKHGLAEAYKTSIDFPDDTLAFIKSHPLMDSAVPPIADEPWFTKTRVRYR LTAIEVDRSAGPYQNYTVIFVGSEAGVVLKVLAKTSPFSLNDSVLLEEIEAYNPAKCSAE SEEDRKVVSLQLDKDHHALYVAFSSCVVRIPLSRCERYGSCKKSCIASRDPYCGWLSQGV CERVTLGMLLLTEDFFAFHNHSPGGYEQDTEYGNTAHLGDCHESLPPSTTPDYKIFGGPT SGVRWEVQSGESNQMVHMNVLITCVFAAFVLGAFIAGVAVYCYRDMFVRKNRKIHKDAES AQSCTDSSGSFAKLNGLFDSPVKEYQQNIDSPKLYSNLLTSRKELPPNTDTKSMAVDHRG QPPELAALPTPESTPVLHQKTLQAMKSHSEKAHSHGASRKEHPQFFPSSPPPHSPLSHGH IPSAIVLPNATHDYNTSFSNSNAHKAEKKLQSMDHPLTKSSSKREHRRSVDSRNTLNDLL KHLNDPNSNPKAILGEIHMAHQTLMLDPVGPMAEVPPKVPNREASLYSPPSTLPRNSPTK RVDVPTTPGVPMTSLERQRGYHKNSSQRHSISAVPKNLNSPNGVLLSRQPSMNRGGYMPT PTGAKVDYIQGTPVSVHLQPSLSRQSSYTSNGTLPRTGLKRTPSLKPDVPPKPSFVPQTT SVRPLNKYTY >ENSMUSP00000077014.5 pep:known chromosome:GRCm38:2:124610296:124667769:1 gene:ENSMUSG00000027200.17 transcript:ENSMUST00000077847.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6d description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6D [Source:MGI Symbol;Acc:MGI:2387661] MGFLLLWFCVLFLLVSRLRAVSFPEDDEPLNTVDYHYSRQYPVFRGRPSGNESQHRLDFQ LMLKIRDTLYIAGRDQVYTVNLNEIPQTEVIPSKKLTWRSRQQDRENCAMKGKHKDECHN FIKVFVPRNDEMVFVCGTNAFNPMCRYYRLRTLEYDGEEISGLARCPFDARQTNVALFAD GKLYSATVADFLASDAVIYRSMGDGSALRTIKYDSKWIKEPHFLHAIEYGNYVYFFFREI AVEHNNLGKAVYSRVARICKNDMGGSQRVLEKHWTSFLKARLNCSVPGDSFFYFDVLQSI TDIIQINGIPTVVGVFTTQLNSIPGSAVCAFSMDDIEKVFKGRFKEQKTPDSVWTAVPED KVPKPRPGCCAKHGLAEAYKTSIDFPDDTLAFIKSHPLMDSAVPPIADEPWFTKTRVRYR LTAIEVDRSAGPYQNYTVIFVGSEAGVVLKVLAKTSPFSLNDSVLLEEIEAYNPAKCSAE SEEDRKVVSLQLDKDHHALYVAFSSCVVRIPLSRCERYGSCKKSCIASRDPYCGWLSQGV CERVTLGMLPGGYEQDTEYGNTAHLGDCHDMEVSSSSVTTVASSPEITSKVIDTWRPKLT SSRKFVVQDDPNTSDFTDTISGIPKGVRWEVQSGESNQMVHMNVLITCVFAAFVLGAFIA GVAVYCYRDMFVRKNRKIHKDAESAQSCTDSSGSFAKLNGLFDSPVKEYQQNIDSPKLYS NLLTSRKELPPNTDTKSMAVDHRGQPPELAALPTPESTPVLHQKTLQAMKSHSEKAHSHG ASRKEHPQFFPSSPPPHSPLSHGHIPSAIVLPNATHDYNTSFSNSNAHKAEKKLQSMDHP LTKSSSKREHRRSVDSRNTLNDLLKHLNDPNSNPKAILGEIHMAHQTLMLDPVGPMAEVP PKVPNREASLYSPPSTLPRNSPTKRVDVPTTPGVPMTSLERQRGYHKNSSQRHSISAVPK NLNSPNGVLLSRQPSMNRGGYMPTPTGAKVDYIQGTPVSVHLQPSLSRQSSYTSNGTLPR TGLKRTPSLKPDVPPKPSFVPQTTSVRPLNKYTY >ENSMUSP00000117909.1 pep:known chromosome:GRCm38:3:107663118:107677767:-1 gene:ENSMUSG00000027893.14 transcript:ENSMUST00000138091.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ahcyl1 description:S-adenosylhomocysteine hydrolase-like 1 [Source:MGI Symbol;Acc:MGI:2385184] XLSRSISQSSTDSYSSAASYTDSSDDEVSPREKQQTNSKGSSNFCVKNIKQAEFGRREIE IAEQDMSALISLRKRAQGEKPLAGAKIVGCTHITAQTAVLIETLCALGAQCRWSACNIYS TQNEVAAALAEAGVAVFAWKGESEDDFWWCIDRCVNMDGWQANMAVSALQSWEALCSSHE CQ >ENSMUSP00000029490.8 pep:known chromosome:GRCm38:3:107663120:107696560:-1 gene:ENSMUSG00000027893.14 transcript:ENSMUST00000029490.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl1 description:S-adenosylhomocysteine hydrolase-like 1 [Source:MGI Symbol;Acc:MGI:2385184] MSMPDAMPLPGVGEELKQAKEIEDAEKYSFMATVTKAPKKQIQFADDMQEFTKFPTKTGR RSLSRSISQSSTDSYSSAASYTDSSDDEVSPREKQQTNSKGSSNFCVKNIKQAEFGRREI EIAEQDMSALISLRKRAQGEKPLAGAKIVGCTHITAQTAVLIETLCALGAQCRWSACNIY STQNEVAAALAEAGVAVFAWKGESEDDFWWCIDRCVNMDGWQANMILDDGGDLTHWVYKK YPNVFKKIRGIVEESVTGVHRLYQLSKAGKLCVPAMNVNDSVTKQKFDNLYCCRESILDG LKRTTDVMFGGKQVVVCGYGEVGKGCCAALKALGAIVYITEIDPICALQACMDGFRVVKL NEVIRQVDVVITCTGNKNVVTREHLDRMKNSCIVCNMGHSNTEIDVTSLRTPELTWERVR SQVDHVIWPDGKRVVLLAEGRLLNLSCSTVPTFVLSITATTQALALIELYNAPEGRYKQD VYLLPKKMDEYVASLHLPSFDAHLTELTDDQAKYLGLNKNGPFKPNYYRY >ENSMUSP00000121510.1 pep:known chromosome:GRCm38:3:107671148:107695963:-1 gene:ENSMUSG00000027893.14 transcript:ENSMUST00000153623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahcyl1 description:S-adenosylhomocysteine hydrolase-like 1 [Source:MGI Symbol;Acc:MGI:2385184] MQEFTKFPTKTGRRSLSRSISQSSTDSYSSAASYTDSSDDEVSPREKQQTNSKGSSNFCV KNIKQAEFGRREIEIAEQDMSALISLRKRAQGEKPLAGAKIVGCTHITAQTAVLIETLCA LGAQCRWSACNIYSTQNEVAAALAEAGVAVFAWKGESEDDFWWCIDRCVNMDGWQANMIL DDGGDLTHWVYKKYPNVFKKIRGIVEESVT >ENSMUSP00000094874.2 pep:known chromosome:GRCm38:17:86167785:86657919:1 gene:ENSMUSG00000045038.14 transcript:ENSMUST00000097275.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkce description:protein kinase C, epsilon [Source:MGI Symbol;Acc:MGI:97599] MVVFNGLLKIKICEAVSLKPTAWSLRHAVGPRPQTFLLDPYIALNVDDSRIGQTATKQKT NSPAWHDEFVTDVCNGRKIELAVFHDAPIGYDDFVANCTIQFEELLQNGSRHFEDWIDLE PEGKVYVIIDLSGSSGEAPKDNEERVFRERMRPRKRQGAVRRRVHQVNGHKFMATYLRQP TYCSHCRDFIWGVIGKQGYQCQVCTCVVHKRCHELIITKCAGLKKQETPDEVGSQRFSVN MPHKFGIHNYKVPTFCDHCGSLLWGLLRQGLQCKVCKMNVHRRCETNVAPNCGVDARGIA KVLADLGVTPDKITNSGQRRKKLAAGAESPQPASGNSPSEDDRSKSAPTSPCDQELKELE NNIRKALSFDNRGEEHRASSATDGQLASPGENGEVRPGQAKRLGLDEFNFIKVLGKGSFG KVMLAELKGKDEVYAVKVLKKDVILQDDDVDCTMTEKRILALARKHPYLTQLYCCFQTKD RLFFVMEYVNGGDLMFQIQRSRKFDEPRSRFYAAEVTSALMFLHQHGVIYRDLKLDNILL DAEGHCKLADFGMCKEGIMNGVTTTTFCGTPDYIAPEILQELEYGPSVDWWALGVLMYEM MAGQPPFEADNEDDLFESILHDDVLYPVWLSKEAVSILKAFMTKNPHKRLGCVAAQNGED AIKQHPFFKEIDWVLLEQKKIKPPFKPRIKTKRDVNNFDQDFTREEPILTLVDEAIIKQI NQEEFKGFSYFGEDLMP >ENSMUSP00000138615.1 pep:known chromosome:GRCm38:17:86167819:86177505:1 gene:ENSMUSG00000045038.14 transcript:ENSMUST00000142003.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkce description:protein kinase C, epsilon [Source:MGI Symbol;Acc:MGI:97599] MVVFNGLLKIKICEAVSLKPTAWSLRHAVGPRPQTFLLDPYIALNVDDSRIGQTATKQKT NSPAWHDEFVTDVCNGRKIELAVFHDAPIGYDDFVANCTIQFEELLQNGSRHFEDWQPNQ SLAYC >ENSMUSP00000094873.2 pep:known chromosome:GRCm38:17:86168174:86655415:1 gene:ENSMUSG00000045038.14 transcript:ENSMUST00000097274.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkce description:protein kinase C, epsilon [Source:MGI Symbol;Acc:MGI:97599] MVVFNGLLKIKICEAVSLKPTAWSLRHAVGPRPQTFLLDPYIALNVDDSRIGQTATKQKT NSPAWHDEFVTDVCNGRKIELAVFHDAPIGYDDFVANCTIQFEELLQNGSRHFEDWIDLE PEGKVYVIIDLSGSSGEAPKDNEERVFRERMRPRKRQGAVRRRVHQVNGHKFMATYLRQP TYCSHCRDFIWGVIGKQGYQCQVCTCVVHKRCHELIITKCAGLKKQETPDEVGSQRFSVN MPHKFGIHNYKVPTFCDHCGSLLWGLLRQGLQCKVCKMNVHRRCETNVAPNCGVDARGIA KVLADLGVTPDKITNSGQRRKKLAAGAESPQPASGNSPSEDDRSKSAPTSPCDQELKELE NNIRKALSFDNRGEEHRASSATDGQLASPGENGEVRPGQAKRLGLDEFNFIKVLGKGSFG KVMLAELKGKDEVYAVKVLKKDVILQDDDVDCTMTEKRILALARKHPYLTQLYCCFQTKD RLFFVMEYVNGGDLMFQIQRSRKFDEPRSRFYAAEVTSALMFLHQHGVIYRDLKLDNILL DAEGHCKLADFGMCKEGIMNGVTTTTFCGTPDYIAPEILQELEYGPSVDWWALGVLMYEM MAGQPPFEADNEDDLFESILHDDVLYPVWLSKEAVSILKAFMTKNPHKRLGCVAAQNGED AIKQHPFFKEIDWVLLEQKKIKPPFKPRIKTKRDVNNFDQDFTREEPILTLVDEAIIKQI NQEEFKGFSYFGEDLMP >ENSMUSP00000140677.1 pep:known chromosome:GRCm38:Y:51852120:51878300:1 gene:ENSMUSG00000100485.1 transcript:ENSMUST00000187477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20920 description:predicted gene, 20920 [Source:MGI Symbol;Acc:MGI:5434276] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000029208.8 pep:known chromosome:GRCm38:2:165405028:165473230:-1 gene:ENSMUSG00000018459.15 transcript:ENSMUST00000029208.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc13a3 description:solute carrier family 13 (sodium-dependent dicarboxylate transporter), member 3 [Source:MGI Symbol;Acc:MGI:2149635] MAALAALAKKVWSARRLLVLLLVPLALLPILFALPPKEGRCLYVILLMAVYWCTEALPLS VTALLPIILFPFMGILPSSKVCPQYFLDTNFLFLSGLIMASAIEEWNLHRRIALKVLMLV GVQPARLILGMMVTTSFLSMWLSNTASTAMMLPIASAILKSLFGQREARKDLPREGDEST AAVQGNGLRTVPTEMQFLASSEGGHTEDAEAPMELPDDSKEEEHRRNIWKGFLISIPYSA SIGGTATLTGTAPNLILLGQLKSFFPQCDVVNFGSWFIFAFPLMLLFLLVGWLWISFLYG GMSWRSWRKKKSKIRADAEDQAKAVIQEEFQNLGPIKFAEQAVFILFCTFAILLFSRDPK FIPGWASLFAPGFVSDAVTGVAIVTILFFFPSQKPSLKWWFDFKAPNSETEPLLSWKKAQ ETVPWNIILLLGGGFAMAKGCEESGLSAWIGGQLHPLEHVPPLLAVLLITVVIAFFTEFA SNTATIIIFLPVLAELAIRLHVHPLYLMIPGTVGCSYAFMLPVSTPPNSIAFSTGHLLVK DMVRTGLLMNLMGVLLLSLAMNTWAQTIFQLGTFPDWANTHAANATALPPALTNNTVQTF >ENSMUSP00000104902.2 pep:known chromosome:GRCm38:2:165405295:165473230:-1 gene:ENSMUSG00000018459.15 transcript:ENSMUST00000109279.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc13a3 description:solute carrier family 13 (sodium-dependent dicarboxylate transporter), member 3 [Source:MGI Symbol;Acc:MGI:2149635] MAALAALAKKVWSARRLLVLLLVPLALLPILFALPPKEGRCLYVILLMAVYWCTEALPLS VTALLPIILFPFMGILPSSKVCPQYFLDTNFLFLSGLIMASAIEEWNLHRRIALKVLMLV GVQPARLILGMMVTTSFLSMWLSNTASTAMMLPIASAILKSLFGQREARKDLPREGDEST AAVQGNGLRTVPTEMQFLASSEGGHTEDAEAPMELPDDSKEEEHRRNIWKGFLISIPYSA SIGGTATLTGTAPNLILLGQLKRSWRKKKSKIRADAEDQAKAVIQEEFQNLGPIKFAEQA VFILFCTFAILLFSRDPKFIPGWASLFAPGFVSDAVTGVAIVTILFFFPSQKPSLKWWFD FKAPNSETEPLLSWKKAQETVPWNIILLLGGGFAMAKGCEESGLSAWIGGQLHPLEHVPP LLAVLLITVVIAFFTEFASNTATIIIFLPVLAELAIRLHVHPLYLMIPGTVGCSYAFMLP VSTPPNSIAFSTGHLLVKDMVRTGLLMNLMGVLLLSLAMNTWAQTIFQLGTFPDWANTHA ANATALPPALTNNTVQTF >ENSMUSP00000036035.4 pep:known chromosome:GRCm38:12:99194980:99393797:-1 gene:ENSMUSG00000033713.11 transcript:ENSMUST00000046859.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn3 description:forkhead box N3 [Source:MGI Symbol;Acc:MGI:1918625] MGPVMPASKKAESSGISVSSGLSQRYRGSGFSKALQEDDDLDFPLPDIRLEEGAMEDEEL TNLNWLHESKNLLKSFGESVLRSVSPVQDLDDDTPPSPAHSDMPYDARQNPNCKPPYSFS CLIFMAIEDSPTKRLPVKDIYNWILEHFPYFANAPTGWKNSVRHNLSLNKCFKKVDKERS QSIGKGSLWCIDPEYRQNLIQALKKTPYHPPPTPQAYQSTSGPPIWPGSTFFKRNGALLQ VSPGVIQNGARVLSRGLFPGVRPLPITPIGMTAAIRNSITSCRMRTESEPPCGSPVVSGD PKEDHNYSSAKSSTARSTSPTSDSISSSSSSADDHYEFATKGSQEGSEGSFQSHESHSEP EEEDRKPSPKEGKDALGDSGYASQHKKRQHFAKARKVPSDTLPLKKRRTEKPPESDDEEM KEAAGSLLHLAGIRSCLNNITNRTAKGQKEQKETAKN >ENSMUSP00000135082.1 pep:known chromosome:GRCm38:12:99195094:99393072:-1 gene:ENSMUSG00000033713.11 transcript:ENSMUST00000177451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn3 description:forkhead box N3 [Source:MGI Symbol;Acc:MGI:1918625] MGPVMPASKKAESSGISVSSGLSQRYRGSGFSKALQEDDDLDFPLPDIRLEEGAMEDEEL TNLNWLHESKNLLKSFGESVLRSVSPVQDLDDDTPPSPAHSDMPYDARQNPNCKPPYSFS CLIFMAIEDSPTKRLPVKDIYNWILEHFPYFANAPTGWKNSVRHNLSLNKCFKKVDKERS QSIGKGSLWCIDPEYRQNLIQALKKTPYHPPPTPQAYQSTSGPPIWPGSTFFKRNGALLQ VSPGVIQNGARVLSRGLFPGVRPLPITPIGMTAAIRNSITSCRMRTESEPPCGSPVVSGD PKEDHNYSSAKSSTARSTSPTSDSISSSSSSADDHYEFATKGSQEGSEGSFQSHESHSEP EEEDRKPSPKEGKDALGDSGYASQHKKRQHFAKARKVPSDTLPLKKRRTEKPPESDDEEM KEAAGSLLHLAGIRSCLNNITNRTAKGQKEQKETAKN >ENSMUSP00000135873.1 pep:known chromosome:GRCm38:12:99196787:99291278:-1 gene:ENSMUSG00000033713.11 transcript:ENSMUST00000176959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn3 description:forkhead box N3 [Source:MGI Symbol;Acc:MGI:1918625] XGSTFFKRNGALLQDPDIDAASAMMLLNSPPEIQAGFSPGVIQNGARVLSRGLFPGVRPL PITPIGMTAAIRNSITSCRMR >ENSMUSP00000135331.1 pep:known chromosome:GRCm38:12:99209503:99291277:-1 gene:ENSMUSG00000033713.11 transcript:ENSMUST00000176829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn3 description:forkhead box N3 [Source:MGI Symbol;Acc:MGI:1918625] XGSTFFKRNGALLQDPDIDAASAMMLLNSPPEIQAVSPGVIQNGARVLSRGLFPGVR >ENSMUSP00000135814.1 pep:known chromosome:GRCm38:12:99341503:99412605:-1 gene:ENSMUSG00000033713.11 transcript:ENSMUST00000177269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn3 description:forkhead box N3 [Source:MGI Symbol;Acc:MGI:1918625] MGPVMPASKKAESSGISVSSGLSQRYRGSGFSKALQEDDDLDFPLPDIRLEEGAMEDEEL TNLNWLHESKNLLKSFGESVLRSVSPVQDLDDDTPPSPAHSDMPYDARQNPNCKPPYSFS CLIFMAIEDSPTKRLPVKDIYNWILEHFPYFANAPTGWKNSVRHNLSLNKCFKKVDKERS QSIGKGSLWCI >ENSMUSP00000135749.1 pep:known chromosome:GRCm38:12:99388439:99450111:-1 gene:ENSMUSG00000033713.11 transcript:ENSMUST00000176928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn3 description:forkhead box N3 [Source:MGI Symbol;Acc:MGI:1918625] MGPVMPASKKAESSGISVSSGLSQRYRGSGFSKALQEDDDLDFPLPDIRLEEGAMEDEEL TNLNWLHESKNLLKSFGESVLRSVSPVQDLDDDTPPSPAHSDMPYDARQNPNCKPPYSFS CLIFMAIEDSPTKRLPVKDIYNWILEHFPYFANAP >ENSMUSP00000082189.1 pep:known chromosome:GRCm38:12:99195094:99450074:-1 gene:ENSMUSG00000033713.11 transcript:ENSMUST00000085108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn3 description:forkhead box N3 [Source:MGI Symbol;Acc:MGI:1918625] MGPVMPASKKAESSGISVSSGLSQRYRGSGFSKALQEDDDLDFPLPDIRLEEGAMEDEEL TNLNWLHESKNLLKSFGESVLRSVSPVQDLDDDTPPSPAHSDMPYDARQNPNCKPPYSFS CLIFMAIEDSPTKRLPVKDIYNWILEHFPYFANAPTGWKNSVRHNLSLNKCFKKVDKERS QSIGKGSLWCIDPEYRQNLIQALKKTPYHPPPTPQAYQSTSGPPIWPGSTFFKRNGALLQ VSPGVIQNGARVLSRGLFPGVRPLPITPIGMTAAIRNSITSCRMRTESEPPCGSPVVSGD PKEDHNYSSAKSSTARSTSPTSDSISSSSSSADDHYEFATKGSQEGSEGSFQSHESHSEP EEEDRKPSPKEGKDALGDSGYASQHKKRQHFAKARKVPSDTLPLKKRRTEKPPESDDEEM KEAAGSLLHLAGIRSCLNNITNRTAKGQKEQKETAKN >ENSMUSP00000053489.6 pep:known chromosome:GRCm38:1:128588199:128592290:-1 gene:ENSMUSG00000045382.6 transcript:ENSMUST00000052172.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcr4 description:chemokine (C-X-C motif) receptor 4 [Source:MGI Symbol;Acc:MGI:109563] MEPISVSIYTSDNYSEEVGSGDYDSNKEPCFRDENVHFNRIFLPTIYFIIFLTGIVGNGL VILVMGYQKKLRSMTDKYRLHLSVADLLFVITLPFWAVDAMADWYFGKFLCKAVHIIYTV NLYSSVLILAFISLDRYLAIVHATNSQRPRKLLAEKAVYVGVWIPALLLTIPDFIFADVS QGDISQGDDRYICDRLYPDSLWMVVFQFQHIMVGLVLPGIVILSCYCIIISKLSHSKGHQ KRKALKTTVILILAFFACWLPYYVGISIDSFILLGVIKQGCDFESIVHKWISITEALAFF HCCLNPILYAFLGAKFKSSAQHALNSMSRGSSLKILSKGKRGGHSSVSTESESSSFHSS >ENSMUSP00000120153.1 pep:known chromosome:GRCm38:1:128589099:128592293:-1 gene:ENSMUSG00000045382.6 transcript:ENSMUST00000142893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcr4 description:chemokine (C-X-C motif) receptor 4 [Source:MGI Symbol;Acc:MGI:109563] MEPISIYTSDNYSEEVGSGDYDSNKEPCFRDENVHFNRIFLPTIYFIIFLTGIVGNGLVI LVMGYQKKLRSMTDKYRLHLSVADLLFVITLPFWAVDAMADWYFGKFLCKAVHIIYTVNL YSSVLILAFISLDRYLAIVHATNSQRPRKLLAEKAVYVGVWIPALLLTIPDFIFADVSQG DISQGDDRYICDRLYPDSLWMVVFQFQHIMVGLVLPGIVILSCYCIIISKLSHSKGHQKR KALKTTVILILAFFACWLPYYVGISIDSFILLG >ENSMUSP00000108991.4 pep:known chromosome:GRCm38:X:120290259:120906344:1 gene:ENSMUSG00000034755.18 transcript:ENSMUST00000113364.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh11x description:protocadherin 11 X-linked [Source:MGI Symbol;Acc:MGI:2442849] MDLLSGTYIFAVLLACVVFQSGAQEKNYTVREEMPENVLIGDLLKDLNLSLIPDKSLTTP MQFKLVYKTGDVPLIRIEEGTGEIFTTGARIDREKLCAGIVLDARCFYEVEVAVLPDEIF RLVKIRFLIEDINDNAPLFPTTVINISIPENSAINSRYSLPAAIDPDIGINGVQNYQLIK SQNIFGLDVIETPEGDKMPQLIVQKELDREEKDTYVMKVKVEDGGFPQRSSTAILQVSVA DTNDNHPIFIEKEIEVSIPENAPIGSSVTQLHATDADIGENARIHFYFSNLVSNIAKRLF HLNTTTGLITVKEPLDREESPSHKLLVLATDGGSTPARATVLVNVTDINDNVPSIDIRYI VNPTNGTVLLSENAPLNTKIALITVMDKDSEHNGRVTCFTDHEVPFRLRPVFSNQFLLET AAFLDFESTREYAIKLLAADAGKPPLNQSSMLLIKVKDENDNAPVFTQSFISLSVPENNS PGAQLTKISATDADSGQNAEISYMLGFDAPPEFNLDQRTGILTAVKKLDREKQEKYYFTV LAQDNGIPPLMSNATVFVTVLDQNDNSPIFTHNEYNFYVPESLPKHGTVGLITVTDPDYG ENSAVTLSILDVNDQFTIDPQSGVIRPNISFDRERQESYTFYVKAEDGGRVSRSSTARVT INVVDVNDNKPIFIDPPSNYSFEWVLPSTNPGTVVFKVVAIDDDIGMNAEVLYSIVGGNT KGLFMIEQTSGNITLKEKCMVSDLGLHRVIVKANDLGQPDSLFNVVNVNFFINESVPNAT LIYELVRRSIDAPANQNTETTSASSPTTDYVKIMVAIVAGTITVVLVIFITAVVRCRQPP HLKASQKNKQNSEWVTPNPENRQMIMMKKKKKKKKKHPPKNLLLNFVTIEEAKPDDGENE RNSVTLDLPIELEEQTMGKYNWGTTPTTFKPDSPDLARHYKSASPQPAFQIQPETPLNSK HHIIQELPLDNTFVGCDSISKCSSSSSDPYSVSECSYPVTTFKAPVSVHIRPTMKEVVRS HTPMKEATTVEIWTHPHPQRRSDGKKAGKSQRRVTFHLPEGSQESISDGGLGDHDAGSLP STSHALPLGYPQEEYFDHAAPNNRTEGDGNSDPESTFIPGLKKAAEITVQPTVEEASDTC TQECLILGHSDSCWMPATLTNPSPSQIKTSAICHSPPRPRLSVRRYSPPVTQTVTICHSP PVTQAIALCHSPPPVQVTVPRHSPPPAQASAVSYSPTLVQAVVIHHSPPLPQAATHHRTQ AQPPMGLQQGWVQGAGADGLYPIDQGVQGSTRAQFYTMAERFHPDDDSIKVIPLTTFTSG QQARSSRGDSPIIEEHPL >ENSMUSP00000108985.3 pep:known chromosome:GRCm38:X:120290327:120910619:1 gene:ENSMUSG00000034755.18 transcript:ENSMUST00000113358.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh11x description:protocadherin 11 X-linked [Source:MGI Symbol;Acc:MGI:2442849] MDLLSGTYIFAVLLACVVFQSGAQEKNYTVREEMPENVLIGDLLKDLNLSLIPDKSLTTP MQFKLVYKTGDVPLIRIEEGTGEIFTTGARIDREKLCAGIVLDARCFYEVEVAVLPDEIF RLVKIRFLIEDINDNAPLFPTTVINISIPENSAINSRYSLPAAIDPDIGINGVQNYQLIK SQNIFGLDVIETPEGDKMPQLIVQKELDREEKDTYVMKVKVEDGGFPQRSSTAILQVSVA DTNDNHPIFIEKEIEVSIPENAPIGSSVTQLHATDADIGENARIHFYFSNLVSNIAKRLF HLNTTTGLITVKEPLDREESPSHKLLVLATDGGSTPARATVLVNVTDINDNVPSIDIRYI VNPTNGTVLLSENAPLNTKIALITVMDKDSEHNGRVTCFTDHEVPFRLRPVFSNQFLLET AAFLDFESTREYAIKLLAADAGKPPLNQSSMLLIKVKDENDNAPVFTQSFISLSVPENNS PGAQLTKISATDADSGQNAEISYMLGFDAPPEFNLDQRTGILTAVKKLDREKQEKYYFTV LAQDNGIPPLMSNATVFVTVLDQNDNSPIFTHNEYNFYVPESLPKHGTVGLITVTDPDYG ENSAVTLSILDVNDQFTIDPQSGVIRPNISFDRERQESYTFYVKAEDGGRVSRSSTARVT INVVDVNDNKPIFIDPPSNYSFEWVLPSTNPGTVVFKVVAIDDDIGMNAEVLYSIVGGNT KGLFMIEQTSGNITLKEKCMVSDLGLHRVIVKANDLGQPDSLFNVVNVNFFINESVPNAT LIYELVRRSIDAPANQNTETTSASSPTTDYVKIMVAIVAGTITVVLVIFITAVVRCRQPP HLKASQKNKQNSEWVTPNPENRQMIMMKKKKKKKKKHPPKNLLLNFVTIEEAKPDDGENE RNSVTLDLPIELEEQTMGKYNWGTTPTTFKPDSPDLARHYKSASPQPAFQIQPETPLNSK HHIIQELPLDNTFVGCDSISKCSSSSSDPYSVSECSYPVTTFKAPVSVHIRPTMKEVVRS HTPMKEATTVEIWTHPHPQSQRRVTFHLPEGSQESISDGGLGDHDAGSLPSTSHALPLGY PQEEYFDHAAPNNRTEGDGNSDPESTAEITVQPTVEEASDTCTQECLILGHSDSCWMPAT LTNPSPSQIKTSAICHSPPRPRLSVRRYSPPVTQTVTICHSPPVTQAIALCHSPPPVQVT VPRHSPPPAQASAVSYSPTLVQAVVIHHSPPLPQAATHHRTQAQPPMGLQQGWVQGAGAD GLYPIDQGVQGSTRAQFYTMAERFHPDDDSIKVIPLTTFTSGQQARSSRGDSPIIEEHPL >ENSMUSP00000142050.1 pep:known chromosome:GRCm38:X:120290403:120906344:1 gene:ENSMUSG00000034755.18 transcript:ENSMUST00000195088.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcdh11x description:protocadherin 11 X-linked [Source:MGI Symbol;Acc:MGI:2442849] MDLLSGTYIFAVLLACVVFQSGAQEKNYTVREEMPENVLIGDLLKDLNLSLIPDKSLTTP MQFKLVYKTGDVPLIRIEEGTGEIFTTGARIDREKLCAGIVLDARCFYEVEVAVLPDEIF RLVKIRFLIEDINDNAPLFPTTVINISIPENSAINSRYSLPAAIDPDIGINGVQNYQLIK SQNIFGLDVIETPEGDKMPQLIVQKELDREEKDTYVMKVKVEDGGFPQRSSTAILQVSVA DTNDNHPIFIEKEIEVSIPENAPIGSSVTQLHATDADIGENARIHFYFSNLVSNIAKRLF HLNTTTGLITVKEPLDREESPSHKLLVLATDGGSTPARATVLVNVTDINDNVPSIDIRYI VNPTNGTVLLSENAPLNTKIALITVMDKDSEHNGRVTCFTDHEVPFRLRPVFSNQFLLET AAFLDFESTREYAIKLLAADAGKPPLNQSSMLLIKVKDENDNAPVFTQSFISLSVPENNS PGAQLTKISATDADSGQNAEISYMLGFDAPPEFNLDQRTGILTAVKKLDREKQEKYYFTV LAQDNGIPPLMSNATVFVTVLDQNDNSPIFTHNEYNFYVPESLPKHGTVGLITVTDPDYG ENSAVTLSILDVNDQFTIDPQSGVIRPNISFDRERQESYTFYVKAEDGGRVSRSSTARVT INVVDVNDNKPIFIDPPSNYSFEWVLPSTNPGTVVFKVVAIDDDIGMNAEVLYSIVGGNT KGLFMIEQTSGNITLKEKCMVSDLGLHRVIVKANDLGQPDSLFNVVNVNFFINESVPNAT LIYELVRRSIDAPANQNTETTSASSPTTDYVKIMVAIVAGTITVVLVIFITAVVRCRQPP HLKASQKNKQNSEWVTPNPENRQMIMMKKKKKKKKKHPPKNLLLNFVTIEEAKPDDGENE RNSVTLDLPIELEEQTMGKYNWGTTPTTFKPDSPDLARHYKSASPQPAFQIQPETPLNSK HHIIQELPLDNTFVGCDSISKCSSSSSDPYSVSECSYPVTTFKAPVSVHIRPTMKEVVRS HTPMKEATTVEIWTHPHPQSQRRVTFHLPEGSQESISDGGLGDHDAGSLPSTSHALPLGY PQEEYFDHAAPNNRTEGDGNSDPESIPLHPSKTTASRSFHIHHTLLSN >ENSMUSP00000141522.1 pep:known chromosome:GRCm38:X:120364013:120910607:1 gene:ENSMUSG00000034755.18 transcript:ENSMUST00000192677.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh11x description:protocadherin 11 X-linked [Source:MGI Symbol;Acc:MGI:2442849] MDLLSGTYIFAVLLACVVFQSGAQEKNYTVREEMPENVLIGDLLKDLNLSLIPDKSLTTP MQFKLVYKTGDVPLIRIEEGTGEIFTTGARIDREKLCAGIVLDARCFYEVEVAVLPDEIF RLVKIRFLIEDINDNAPLFPTTVINISIPENSAINSRYSLPAAIDPDIGINGVQNYQLIK SQNIFGLDVIETPEGDKMPQLIVQKELDREEKDTYVMKVKVEDGGFPQRSSTAILQVSVA DTNDNHPIFIEKEIEVSIPENAPIGSSVTQLHATDADIGENARIHFYFSNLVSNIAKRLF HLNTTTGLITVKEPLDREESPSHKLLVLATDGGSTPARATVLVNVTDINDNVPSIDIRYI VNPTNGTVLLSENAPLNTKIALITVMDKDSEHNGRVTCFTDHEVPFRLRPVFSNQFLLET AAFLDFESTREYAIKLLAADAGKPPLNQSSMLLIKVKDENDNAPVFTQSFISLSVPENNS PGAQLTKISATDADSGQNAEISYMLGFDAPPEFNLDQRTGILTAVKKLDREKQEKYYFTV LAQDNGIPPLMSNATVFVTVLDQNDNSPIFTHNEYNFYVPESLPKHGTVGLITVTDPDYG ENSAVTLSILDVNDQFTIDPQSGVIRPNISFDRERQESYTFYVKAEDGGRVSRSSTARVT INVVDVNDNKPIFIDPPSNYSFEWVLPSTNPGTVVFKVVAIDDDIGMNAEVLYSIVGGNT KGLFMIEQTSGNITLKEKCMVSDLGLHRVIVKANDLGQPDSLFNVVNVNFFINESVPNAT LIYELVRRSIDAPANQNTETTSASSPTTDYVKIMVAIVAGTITVVLVIFITAVVRCRQPP HLKASQKNKQNSEWVTPNPENRQMIMMKKKKKKKKKHPPKNLLLNFVTIEEAKPDDGENE RNSVTLDLPIELEEQTMGKYNWGTTPTTFKPDSPDLARHYKSASPQPAFQIQPETPLNSK HHIIQELPLDNTFVGCDSISKCSSSSSDPYSVSECSYPVTTFKAPVSVHIRPTMKEVVRS HTPMKEATTVEIWTHPHPQRRSDGKKAGKSQRRVTFHLPEGSQESISDGGLGDHDAGSLP STSHALPLGYPQEEYFDHAAPNNRTEGDGNSDPESTFIPGLKKAAEITVQPTVEEASDTC TQECLILGHSDSCWMPATLTNPSPSQIKTSAICHSPPRPRLSVRRYSPPVTQTVTICHSP PVTQAIALCHSPPPVQVTVPRHSPPPAQASAVSYSPTLVQAVVIHHSPPLPQAATHHRTQ AQPPMGLQQGWVQGAGADGLYPIDQGVQGSTRAQFYTMAERFHPDDDSIKVIPLTTFTSG QQARSSRGDSPIIEEHPL >ENSMUSP00000141581.1 pep:known chromosome:GRCm38:X:120364786:120410700:1 gene:ENSMUSG00000034755.18 transcript:ENSMUST00000193899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh11x description:protocadherin 11 X-linked [Source:MGI Symbol;Acc:MGI:2442849] MDLLSGTYIFAVLLACVVFQSGAQEKNYTVREEMPENVLIGDLLKDLNLSLIPDKSLTTP MQFKLVYKTGDVPLIRIEEGTGEIFTTGARIDREKLCAGIVLDARCFYEVEVAVLPDEIF RLVKIRFLIEDINDNAPLFPTTVINISIPENSAINSRYSLPAAIDPDIGINGVQNYQLIK SQNIFGLDVIETPEGDKMPQLIVQKELDREEKDTYVMKVKVEDGGFPQRSSTAILQVSVA DTNDNHPIFIEKEIEVSIPENAPIGSSVTQLHATDADIGENARIHFYFSNLVSNIAKRLF HLNTTTGLITVKEPLDREESPSHKLLVLATDGGSTPARATVLVNVTDINDNVPSIDIRYI VNPTNGTVLLSENAPLNTKIALITVMDKDSEHNGRVTCFTDHEVPFRLRPVFSNQFLLET AAFLDFESTREYAIKLLAADAGKPPLNQSSMLLIKVKDENDNAPVFTQSFISLSVPENNS PGAQLTKISATDADSGQNAEISYMLGFDAPPEFNLDQRTGILTAVKKLDREKQEKYYFTV LAQDNGIPPLMSNATVFVTVLDQNDNSPIFTHNEYNFYVPESLPKHGTVGLITVTDPDYG ENSAVTLSILDVNDQFTIDPQSGVIRPNISFDRERQESYTFYVKAEDGGRVSRSSTARVT INVVDVNDNKPIFIDPPSNYSFEWVLPSTNPGTVVFKVVAIDDDIGMNAEVLYSIVGGNT KGLFMIEQTSGNITLKEKCMVSDLGLHRVIVKANDLGQPDSLFNVVNVNFFINESVPNAT LIYELVRRSIDAPANQNTETTSASSPTTDYVKIMVAIVAGTITVVLVIFITAVVRCRQPP HLKASQKNKQNSEWVTPNPENRQMIMMKKKKKKKKKHPPKNLLLNFVTIEEAKPDDGENE RNSVTLDLPIELEEQTMGKYNWGTTPTTFKPDSPDLARHYKSASPQPAFQIQPETPLNSK HHIIQELPLDNTFVGCDSISKCSSSSSDPYSVSECSYPVTTFKAPVSVHIRPTEPRTSTI EICSEI >ENSMUSP00000138407.1 pep:known chromosome:GRCm38:X:120401364:120906342:1 gene:ENSMUSG00000034755.18 transcript:ENSMUST00000155223.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcdh11x description:protocadherin 11 X-linked [Source:MGI Symbol;Acc:MGI:2442849] RCRQPPHLKASQKNKQNSEWVTPNPENRQMIMMKKKKKKKKKHPPKNLLLNFVTIEEAKP DDGENERNSVTLDLPIELEEQTMGKYNWGTTPTTFKPDSPDLARHYKSASPQPAFQIQPE TPLNSKHHIIQELPLDNTFVGCDSISKCSSSSSDPYSVSECSYPVTTFKAPVSVHIRPTM KEVVRSHTPMKEATTVEIWTHPHPQRRSDGKKAGKSQRRVTFHLPEGSQESISDGGLGDH DAGSLPSTSHALPLGYPQEEYFDHAAPNNRTEGDGNSDPESIPLHPSKTTASRSFHIHHT LLSN >ENSMUSP00000141600.1 pep:known chromosome:GRCm38:X:120401364:120906344:1 gene:ENSMUSG00000034755.18 transcript:ENSMUST00000191653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh11x description:protocadherin 11 X-linked [Source:MGI Symbol;Acc:MGI:2442849] RCRQPPHLKASQKNKQNSEWVTPNPENRQMIMMKKKKKKKKKHPPKNLLLNFVTIEEAKP DDGENERNSVTLDLPIELEEQTMGKYNWGTTPTTFKPDSPDLARHYKSASPQPAFQIQPE TPLNSKHHIIQELPLDNTFVGCDSISKCSSSSSDPYSVSECSYPVTTFKAPVSVHIRPTM KEVVRSHTPMKEATTVEIWTHPHPQRRSDGKKAGKSQRRVTFHLPEGSQESISDGGLGDH DAGSLPSTSHALPLGYPQEEYFDHAAPNNRTEGDGNSDPESTAEITVQPTVEEASDTCTQ ECLILGHSDSCWMPATLTNPSPSQIKTSAICHSPPRPRLSVRRYSPPVTQTVTICHSPPV TQAIALCHSPPPVQVTVPRHSPPPAQASAVSYSPTLVQAVVIHHSPPLPQAATHHRTQAQ PPMGLQQGWVQGAGADGLYPIDQGVQGSTRAQFYTMAERFHPDDDSIKVIPLTTFTSGQQ ARSSRGDSPIIEEHPL >ENSMUSP00000052340.9 pep:known chromosome:GRCm38:X:120290259:120906344:1 gene:ENSMUSG00000034755.18 transcript:ENSMUST00000050239.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh11x description:protocadherin 11 X-linked [Source:MGI Symbol;Acc:MGI:2442849] MDLLSGTYIFAVLLACVVFQSGAQEKNYTVREEMPENVLIGDLLKDLNLSLIPDKSLTTP MQFKLVYKTGDVPLIRIEEGTGEIFTTGARIDREKLCAGIVLDARCFYEVEVAVLPDEIF RLVKIRFLIEDINDNAPLFPTTVINISIPENSAINSRYSLPAAIDPDIGINGVQNYQLIK SQNIFGLDVIETPEGDKMPQLIVQKELDREEKDTYVMKVKVEDGGFPQRSSTAILQVSVA DTNDNHPIFIEKEIEVSIPENAPIGSSVTQLHATDADIGENARIHFYFSNLVSNIAKRLF HLNTTTGLITVKEPLDREESPSHKLLVLATDGGSTPARATVLVNVTDINDNVPSIDIRYI VNPTNGTVLLSENAPLNTKIALITVMDKDSEHNGRVTCFTDHEVPFRLRPVFSNQFLLET AAFLDFESTREYAIKLLAADAGKPPLNQSSMLLIKVKDENDNAPVFTQSFISLSVPENNS PGAQLTKISATDADSGQNAEISYMLGFDAPPEFNLDQRTGILTAVKKLDREKQEKYYFTV LAQDNGIPPLMSNATVFVTVLDQNDNSPIFTHNEYNFYVPESLPKHGTVGLITVTDPDYG ENSAVTLSILDVNDQFTIDPQSGVIRPNISFDRERQESYTFYVKAEDGGRVSRSSTARVT INVVDVNDNKPIFIDPPSNYSFEWVLPSTNPGTVVFKVVAIDDDIGMNAEVLYSIVGGNT KGLFMIEQTSGNITLKEKCMVSDLGLHRVIVKANDLGQPDSLFNVVNVNFFINESVPNAT LIYELVRRSIDAPANQNTETTSASSPTTDYVKIMVAIVAGTITVVLVIFITAVVRCRQPP HLKASQKNKQNSEWVTPNPENRQMIMMKKKKKKKKKHPPKNLLLNFVTIEEAKPDDGENE RNSVTLDLPIELEEQTMGKYNWGTTPTTFKPDSPDLARHYKSASPQPAFQIQPETPLNSK HHIIQELPLDNTFVGCDSISKCSSSSSDPYSVSECSYPGGSESQGPVESRQLNSFNQSDE FRIDKQKCKNSWGFSSNIDNESNYDSQRRVTFHLPEGSQESISDGGLGDHDAGSLPSTSH ALPLGYPQEEYFDHAAPNNRTEGDGNSDPESNYMPHTLTAAEITVQPTVEEASDTCTQEC LILGHSDSCWMPATLTNPSPSQIKTSAICHSPPRPRLSVRRYSPPVTQTVTICHSPPVTQ AIALCHSPPPVQVTVPRHSPPPAQASAVSYSPTLVQAVVIHHSPPLPQAATHHRTQAQPP MGLQQGWVQGAGADGLYPIDQGVQGSTRAQFYTMAERFHPDDDSIKVIPLTTFTSGQQAR SSRGDSPIIEEHPL >ENSMUSP00000141166.1 pep:known chromosome:GRCm38:2:84601439:84678041:-1 gene:ENSMUSG00000101645.1 transcript:ENSMUST00000189772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28635 description:predicted gene 28635 [Source:MGI Symbol;Acc:MGI:5579341] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPANDTVDFPKRTSPARGYELLF QPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLT SEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILN TINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLE KEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSL DNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000099705.3 pep:known chromosome:GRCm38:2:84678402:84688215:1 gene:ENSMUSG00000027080.6 transcript:ENSMUST00000102645.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med19 description:mediator complex subunit 19 [Source:MGI Symbol;Acc:MGI:1914234] MENFTALFGAQTDPPPPPSALGFGPGKPPPPPPPPPGGGPGAAPPSTATSAPAGADKSTA GSGPFYLMRELPGSTELTGSTNLITHYNLEQAYNKFCGKKVKEKLSNFLPDLPGMIDLPG SHDNSSLRSLIEKPPILGGSFNPITGTMLSGFRLHTGPLPEQCRLMHIQPPKKKNKHKHK QSRTQDPVPPETPSDSDHKKKKKKKEEDPERKRKKKEKKKKKNRHSPDHPGMGSSQASSS SSLR >ENSMUSP00000048537.7 pep:known chromosome:GRCm38:6:85431989:85446435:1 gene:ENSMUSG00000033706.13 transcript:ENSMUST00000045693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd5 description:SET and MYND domain containing 5 [Source:MGI Symbol;Acc:MGI:108048] MAASMCDVFSFCVGVADRARGSVEVRYVDSIKGKGLFATQLIRKGETIFIERPLVAAQFL WNALYQYRACDHCLRALEKAEENAQRLTGKPSQILPHPELCSVRKDLHQNCPHCQVMYCS AECRLAAAEQYHQILCPGPSHDPRHPLNKLQEAWRSVHYPPETASIMLMARMVATVKQAK DKDHWVRLFNHFCSRTANQEQAIVHKLLKGKFKDQLELLLGLFKEALYEEALSLWFTPEG FRSLFALVGTNGQGIGTSSLSQWVHACDALELTPQDREQLDTFIDQLYKDIEAATGEFLN CEGSGLFVLQSCCNHSCVPNAETSFPENNFVLHVTALEDIKPGEEICISYLDCCQRERSR HSRHKILRENYLFNCSCPKCLAEADDPNVTSEEEEEEDEEEGEPEDAELGDEMTDV >ENSMUSP00000071670.6 pep:known chromosome:GRCm38:2:181991226:182001087:1 gene:ENSMUSG00000098505.2 transcript:ENSMUST00000071760.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14496 description:predicted gene 14496 [Source:MGI Symbol;Acc:MGI:3769929] MKQLCAFTISFLLLKFSLILCCLTEPICFWRIKNSEDDDRDLQRECHFYLWAIDKPIEDD FYNIVYNFRIAASEYEILLIMFFATDEINKNPYLLPNITLIFTIIGGHCHDLLGGLDEAY TQINGRVKFVNYFCYSDDSCLIGLTGPSWKTSLKLAMDSSMPMVFFGPFNPNLCDHDRLP HVHQVAPKDSHLSHGMVSLMFHFTWTWIGLVISDDNQGIQFLSDLRKEMQRHGICLAFVN MIPENMQIYMTRATIYDKQIMTSSAKVVIIYGDMNSTLEISFRRWEDLGAQRIWITTSQW DVITNKKDFTLNLFHGTVTFAHYKGEIPKFRNFMQTINTDKYPVDISHTILEWNYFNCSS SKNSSKMDHFTFNNTLEWTALHKYDMALSEEGYNLYNAVYAAAHTYHEHFLQQAESQKRA DPKRDFTECQQVSSLLKTRVFTNPVGELVNMMHGENECTEYDIFIIWNFPQGLGLKVKIG SYLPCFPQSQQLHISDDLEWAMGGTSVPSSMCSMTCTAGFRKIHQKETADCCFNCVPCPE NEVSNETADMEQCVMCPDDKYANIEQTHCLQRTVSFLAYEDPLGMALGCMALSFSAITTL VLVTFVKYKDTPIVKANNRILSYILLISLDFCFLCSLLFIGHPTQVTCILQQTTFGVFFT VAVSTVLAKTITVVMAFKLTTPGRRMRGMMMTGAPKLVIPICTLIQLVLCGIWLVTSPPF IDRDIKSEHGKTVILCNKGSVIAFHFVLGYLGFLALGSFTVAFLARNLPDRFNEAKFLTF SMLMFCSVWITFLPVYHSTRGKVMVAVEVFSILASCAGLVGCIFFPKCYVILIRSDSIFI QKYKDELHY >ENSMUSP00000090956.4 pep:known chromosome:GRCm38:8:95290593:95306585:-1 gene:ENSMUSG00000031789.16 transcript:ENSMUST00000093268.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cngb1 description:cyclic nucleotide gated channel beta 1 [Source:MGI Symbol;Acc:MGI:2664102] MLGWVQRVLPQPPGTPQKTVETAGPQPETESKPEANPQPEPEPQQEPEPEPEPEPEPEPE PEPEPEPEPEPEPEPVPEEAPPEVQALPPEEPMEGEGEAEAGPSLQETQVADPAQPTSQA QVAVAKVNRPSSWMLSWFWRGMQKVVPQPVCSNGGQNLAAGERDPDQGGAQIPEPCGTGD PGSAEASGTQDTEPSLWLLRWLEQNLEKVLPQPPPPSLAWKVEPEAAVLDPDPPGTPMQM EPTESPSQPNPGPLEPEEEPAAEPQPGFQSSSLPPPGDPVRLIEWLLHRLEMALPQPVLH GKAAEQEPGCPGMCDVQTRATAAGGL >ENSMUSP00000139246.1 pep:known chromosome:GRCm38:8:95290593:95294074:-1 gene:ENSMUSG00000031789.16 transcript:ENSMUST00000184103.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cngb1 description:cyclic nucleotide gated channel beta 1 [Source:MGI Symbol;Acc:MGI:2664102] XTGSVLRPAEQKEPGCPGMCDVQTRATAAGGL >ENSMUSP00000113827.2 pep:known chromosome:GRCm38:8:95239045:95306585:-1 gene:ENSMUSG00000031789.16 transcript:ENSMUST00000119870.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cngb1 description:cyclic nucleotide gated channel beta 1 [Source:MGI Symbol;Acc:MGI:2664102] MLGWVQRVLPQPPGTPQKTVETAGPQPETESKPEANPQPEPEPQQEPEPEPEPEPEPEPE PEPEPEPEPEPEPEPVPEEAPPEVQALPPEEPMEGEGEAEAGPSLQETQVADPAQPTSQA QVAVAKVNRPSSWMLSWFWRGMQKVVPQPVCSNGGQNLAAGERDPDQGGAQIPEPCGTGD PGSAEASGTQDTEPSLWLLRWLEQNLEKVLPQPPPPSLAWKVEPEAAVLDPDPPGTPMQM EPTESPSQPNPGPLEPEEEPAAEPQPGFQSSSLPPPGDPVRLIEWLLHRLEMALPQPVLH GKAAEQEPGCPGMCDVQTISILPVEQVEHDLVLEEVDSCWEDAQQEDGASPQETEVAPAH EEESEAIVEIPRELTKIQEEREDEQEEDEEEKEEEKKKGEEEKEKEEEEKEKEKEKEEEK EEEEKEEEEEEEKEEEEEEKEEEEKEEEEKEEEEEEEEEEEEPIVLLDSCLVVQADVDEC QLERTPSELASIQELPEEKEEKEEEKEEEKEEEEEKKEEEVEKKEEGEATNSTVPATKEH PELQVEDTDADSGPLIPEETLPPPERPPPSPVKSDTLTVPGAAAAGHRKKLPSQDDEAEE LKALSPAESPVVAWSDPTTPQEADGQDRAASTASQNSAIINDRLQELVKMFKERTEKVKE KLIDPDVTSDEESPKPSPAKKAPEPDPAQKPAEAEVAEEEHYCDMLCCKFKRRPLKMYRF PQSIDPLTNLMYILWLFFVVLAWNWNCWLIPVRWAFPYQRADNIHFWLLMDYLCDFIYLL DITVFQMRLQFVKGGDIITDKKEMRNNYLKSRRFKMDLLCLLPLDFLYLKLGINPLLRLP RCLKYMAFFEFNNRLEAILSKAYVYRVIRTTAYLLYSLHLNSCLYYWASAFQGIGSTHWV YDGVGNSYIRCYYWAVKTLITIGGLPDPQTLFEIVFQLLNYFTGVFAFSVMIGQMRDVVG AATAGQTYYRSCMDSTVKYMNFYKIPRSVQNRVKTWYEYTWHSQGMLDESELMVQLPDKM RLDLAIDVNYSIVSKVALFQGCDRQMIFDMLKRLRSVVYLPNDYVCKKGEIGREMYIIQA GQVQVLGGPDGKAVLVTLKAGSVFGEISLLAVGGGNRRTANVVAHGFTNLFILDKKDLNE ILVHYPESQKLLRKKARRMLRNNNKPKEEKSVLILPPRAGTPKLFNAALAAAGKMGPRGA KGGKLAHLRARLKELAALEAAARQQQLLEQAKSSQEAGGEEGSGATDQPAPQEPPEPKDP PKPPGPPEPSAQSSPPPASAKPEESTGEAAGPPEPSVRIRVSPGPDPGEQTLSVEVLEEK KEGAE >ENSMUSP00000113750.2 pep:known chromosome:GRCm38:8:95240981:95281590:-1 gene:ENSMUSG00000031789.16 transcript:ENSMUST00000120044.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cngb1 description:cyclic nucleotide gated channel beta 1 [Source:MGI Symbol;Acc:MGI:2664102] MAKPGLNMELNRLVQDQPPGQEGPRPGPPNPAEHLPNVPSYRPATTRIPVLVSRRTALSN SNFTKEIRSSIRRLVPATKEHPELQVEDTDADSGPLIPEETLPPPERPPPSPVKSDTLTV PGAAAAGHRKKLPSQDDEAEELKALSPAESPVVAWSDPTTPQEADGQDRAASTASQNSAI INDRLQELVKMFKERTEKVKEKLIDPDVTSDEESPKPSPAKKAPEPDPAQKPAEAEVAEE EHYCDMLCCKFKRRPLKMYRFPQSIDPLTNLMYILWLFFVVLAWNWNCWLIPVRWAFPYQ RADNIHFWLLMDYLCDFIYLLDITVFQMRLQFVKGGDIITDKKEMRNNYLKSRRFKMDLL CLLPLDFLYLKLGINPLLRLPRCLKYMAFFEFNNRLEAILSKAYVYRVIRTTAYLLYSLH LNSCLYYWASAFQGIGSTHWVYDGVGNSYIRCYYWAVKTLITIGGLPDPQTLFEIVFQLL NYFTGVFAFSVMIGQMRDVVGAATAGQTYYRSCMDSTVKYMNFYKIPRSVQNRVKTWYEY TWHSQGMLDESELMVQLPDKMRLDLAIDVNYSIVSKVALFQGCDRQMIFDMLKRLRSVVY LPNDYVCKKGEIGREMYIIQAGQVQVLGGPDGKAVLVTLKAGSVFGEISLLAVGGGNRRT ANVVAHGFTNLFILDKKDLNEILVHYPESQKLLRKKARRMLRNNNKPKEEKSVLILPPRA GTPKLFNAALAAAGKMGPRGAKGGKLAHLRARLKELAALEAAARQQQLLEQAKSSQEAGG EEGSGATDQPAPQEPPEPKDPPKPPGPPEPSAQSSPPPASAKPEESTGEAAGPPEPSVRI RVSPGPDPGEQTLSVEVLEEKKEGAE >ENSMUSP00000112437.2 pep:known chromosome:GRCm38:8:95240987:95281610:-1 gene:ENSMUSG00000031789.16 transcript:ENSMUST00000121162.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cngb1 description:cyclic nucleotide gated channel beta 1 [Source:MGI Symbol;Acc:MGI:2664102] MAKPGLNMELNRLVQDQPPGQEGPRPGPPNPAEHLPNVPSYRPATTRIPVLVSRRTALSN SNFTKEIRSSIRRLVPATKEHPELQVEDTDADSGPLIPEETLPPPERPPPSPVKSDTLTV PGAAAAGHRKKLPSQDDEAEELKALSPAESPVVAWSDPTTPQEADGQDRAASTASQNSAI INDRLQELVKMFKERTEKVKEKLIDPDVTSDEESPKPSPAKKAPEPDPAQKPAEAEVAEE EHYCDMLCCKFKRRPLKMYRFPQSIDPLTNLMYILWLFFVVLAWNWNCWLIPVRWAFPYQ RADNIHFWLLMDYLCDFIYLLDITVFQMRLQFVKGGDIITDKKEMRNNYLKSRRFKMDLL CLLPLDFLYLKLGINPLLRLPRCLKYMAFFEFNNRLEAILSKAYVYRVIRTTAYLLYSLH LNSCLYYWASAFQGIGSTHWVYDGVGNSYIRCYYWAVKTLITIGGLPDPQTLFEIVFQLL NYFTGVFAFSVMIGQMRDVVGAATAGQTYYRSCMDSTVKYMNFYKIPRSVQNRVKTWYEY TWHSQGMLDESELMVQLPDKMRLDLAIDVNYSIVSKVALFQGCDRQMIFDMLKRLRSVVY LPNDYVCKKGEIGREMYIIQAGQVQVLGGPDGKAVLVTLKAGSVFGEISLLAVGGGNRRT ANVVAHGFTNLFILDKKDLNEILVHYPESQKLLRKKARRMLRNNNKPKEEKSVLILPPRA GTPKLFNAALAAAGKMGPRGAKGGKLAHLRARLKELAALEAAARQQQLLEQAKSSQEAGG EEGSGATDQPAPQEPPEPKDPPKPPGPPEPSAQSSPPPASAKPEESTGEAAGPPEPSVRI RVSPGPDPGEQTLSVEVLEEKKEGAE >ENSMUSP00000110195.1 pep:known chromosome:GRCm38:9:47530173:47853385:1 gene:ENSMUSG00000032076.18 transcript:ENSMUST00000114548.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm1 description:cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1889272] MASAVLPSGSQCAAAAAVAAAAAPPGLRLRLLLLLLSAAALIPTGDGQNLFTKDVTVIEG EVATISCQVNKSDDSVIQLLNPNRQTIYFRDFRPLKDSRFQLLNFSSSELKVSLTNVSIS DEGRYFCQLYTDPPQESYTTITVLVPPRNLMIDIQKDTAVEGEEIEVNCTAMASKPATTI RWFKGNKELKGKSEVEEWSDMYTVTSQLMLKVHKEDDGVPVICQVEHPAVTGNLQTQRYL EVQYKPQVHIQMTYPLQGLTREGDAFELTCEAIGKPQPVMVTWVRVDDEMPQHAVLSGPN LFINNLNKTDNGTYRCEASNIVGKAHSDYMLYVYDTTATTEPAVHDSRAGEEGTIGAVDH AVIGGVVAVVVFAMLCLLIILGRYFARHKGTYFTHEAKGADDAADADTAIINAEGGQNNS EEKKEYFI >ENSMUSP00000083073.2 pep:known chromosome:GRCm38:9:47530257:47851029:1 gene:ENSMUSG00000032076.18 transcript:ENSMUST00000085909.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm1 description:cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1889272] MASAVLPSGSQCAAAAAVAAAAAPPGLRLRLLLLLLSAAALIPTGDGQNLFTKDVTVIEG EVATISCQVNKSDDSVIQLLNPNRQTIYFRDFRPLKDSRFQLLNFSSSELKVSLTNVSIS DEGRYFCQLYTDPPQESYTTITVLVPPRNLMIDIQKDTAVEGEEIEVNCTAMASKPATTI RWFKGNKELKGKSEVEEWSDMYTVTSQLMLKVHKEDDGVPVICQVEHPAVTGNLQTQRYL EVQYKPQVHIQMTYPLQGLTREGDAFELTCEAIGKPQPVMVTWVRVDDEMPQHAVLSGPN LFINNLNKTDNGTYRCEASNIVGKAHSDYMLYVYDPPTTIPPPTTTTTTTTTTTTTILTI ITDTTATTEPAVHDSRAGEEGTIGAVDHAVIGGVVAVVVFAMLCLLIILGRYFARHKGTY FTHEAKGADDAADADTAIINAEGGQNNSEEKKEYFI >ENSMUSP00000110194.1 pep:known chromosome:GRCm38:9:47530257:47853380:1 gene:ENSMUSG00000032076.18 transcript:ENSMUST00000114547.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm1 description:cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1889272] MASAVLPSGSQCAAAAAVAAAAAPPGLRLRLLLLLLSAAALIPTGDGQNLFTKDVTVIEG EVATISCQVNKSDDSVIQLLNPNRQTIYFRDFRPLKDSRFQLLNFSSSELKVSLTNVSIS DEGRYFCQLYTDPPQESYTTITVLVPPRNLMIDIQKDTAVEGEEIEVNCTAMASKPATTI RWFKGNKELKGKSEVEEWSDMYTVTSQLMLKVHKEDDGVPVICQVEHPAVTGNLQTQRYL EVQYKPQVHIQMTYPLQGLTREGDAFELTCEAIGKPQPVMVTWVRVDDEMPQHAVLSGPN LFINNLNKTDNGTYRCEASNIVGKAHSDYMLYVYDPPTTIPPPTTTTTTTTTTTTTILTI ITDSRAGEEGTIGAVDHAVIGGVVAVVVFAMLCLLIILGRYFARHKGTYFTHEAKGADDA ADADTAIINAEGGQNNSEEKKEYFI >ENSMUSP00000124119.1 pep:known chromosome:GRCm38:9:47530211:47850587:1 gene:ENSMUSG00000032076.18 transcript:ENSMUST00000152459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm1 description:cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1889272] MASAVLPSGSQCAAAAAVAAAAAPPGLRLRLLLLLLSAAALIPTGDGQNLFTKDVTVIEG EVATISCQVNKSDDSVIQLLNPNRQTIYFRDFRPLKDSRFQLLNFSSSELKVSLTNVSIS DEGRYFCQLYTDPPQESYTTITVLVPPRNLMIDIQKDTAVEGEEIEVNCTAMASKPATTI RWFKGNKELKGKSEVEEWSDMYTVTSQLMLKVHKEDDGVPVICQVEHPAVTGNLQTQRYL EVQYKPQVHIQMTYPLQGLTREGDAFELTCEAIGKPQPVMVTWVRVDDEMPQHAVLSGPN LFINNLNKTDNGTYRCEASNIVGKAHSDYMLYVYDPPTTIPPPTTTTTTTTTTTTTILTI ITDTTATTEPAVHGLTQLPNSAEELDSEDLSDSRAGEEGTIGAVDHAVIGGVVAVVVFAM LCLLIILGRYFARHKGTYFTHEAKGADDAADADTAIINAEGGQNNSEEKKEYFI >ENSMUSP00000124555.1 pep:known chromosome:GRCm38:9:47530244:47857637:1 gene:ENSMUSG00000032076.18 transcript:ENSMUST00000143026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm1 description:cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1889272] MASAVLPSGSQCAAAAAVAAAAAPPGLRLRLLLLLLSAAALIPTGDGQNLFTKDVTVIEG EVATISCQVNKSDDSVIQLLNPNRQTIYFRDFRPLKDSRFQLLNFSSSELKVSLTNVSIS DEGRYFCQLYTDPPQESYTTITVLVPPRNLMIDIQKDTAVEGEEIEVNCTAMASKPATTI RWFKGNKELKGKSEVEEWSDMYTVTSQLMLKVHKEDDGVPVICQVEHPAVTGNLQTQRYL EVQYKPQVHIQMTYPLQGLTREGDAFELTCEAIGKPQPVMVTWVRVDDEMPQHAVLSGPN LFINNLNKTDNGTYRCEASNIVGKAHSDYMLYVYDSRAGEEGTIGAVDHAVIGGVVAVVV FAMLCLLIILGRYFARHKGLFSLTSSPRIK >ENSMUSP00000034581.3 pep:known chromosome:GRCm38:9:47530373:47850390:1 gene:ENSMUSG00000032076.18 transcript:ENSMUST00000034581.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm1 description:cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:1889272] MASAVLPSGSQCAAAAAVAAAAAPPGLRLRLLLLLLSAAALIPTGDGQNLFTKDVTVIEG EVATISCQVNKSDDSVIQLLNPNRQTIYFRDFRPLKDSRFQLLNFSSSELKVSLTNVSIS DEGRYFCQLYTDPPQESYTTITVLVPPRNLMIDIQKDTAVEGEEIEVNCTAMASKPATTI RWFKGNKELKGKSEVEEWSDMYTVTSQLMLKVHKEDDGVPVICQVEHPAVTGNLQTQRYL EVQYKPQVHIQMTYPLQGLTREGDAFELTCEAIGKPQPVMVTWVRVDDEMPQHAVLSGPN LFINNLNKTDNGTYRCEASNIVGKAHSDYMLYVYDSRAGEEGTIGAVDHAVIGGVVAVVV FAMLCLLIILGRYFARHKGTYFTHEAKGADDAADADTAIINAEGGQNNSEEKKEYFI >ENSMUSP00000142247.1 pep:known chromosome:GRCm38:2:84659546:84668780:1 gene:ENSMUSG00000086598.9 transcript:ENSMUST00000133437.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd18 description:BTB (POZ) domain containing 18 [Source:MGI Symbol;Acc:MGI:3650217] MCSPASSKILYRNPRFLRVAFLQLHHQQQSGVFCDALLQAEGEAVPAHCCILSACSPFFT ERLERERPVQGRKVVLEMGGLKIQTLRKLVDFLYTSEMEVSQEEAQDVLSAARQLRVSEL ETLQLEGGKLVKAPQGRRLNRECLQPPAAAPISARVVGPKSRPQTPLPVTQTPSPLGAVR LKSLGEEEGAHKKTNLPNADSLSDTQLKKKARVCLTQESRSSPSSQREGPKETKSNPGPT ALPSLYPSVDEQLLPRKIRLSRSKPSPHVYTSTPSSILSGPSSMPTAPGRRLWRQRTVSK EAQGVDKQKPGEVRPLQSTPDPSDVGKPAENKKQSPELRAPTSSSVEEGQVGRVKLRKIV NGTCWEVVQEPPLRNTQDSPQILEPSDVEEPSGTLLSSVNEQEIPARIQLCQDSPESPRL QDILLSASHSPDHPMVKSEFGSSPMLTGKESDLNIDCREPYTFDTTLLGQPCEAEQYRIT SAAATSELEEIFDFMLCGSDVEPPVGSLESPGAEGCRTPSYHLSETGKNWIEGEEWCLPD MELWPRDLTGLEKEPVSENKEPVEPFSPLVMRSENTESFEPLSPLVMPSEVSREELSLRG SWTPDLEITSSQPLDGQGEKLLHFDSSDPSQRSYNHLSPPCSDWAETGLEVSLGMDDVLC PVPKAVREVSANPEQLDPLPGSSEDEEIDVVDWTVEKKLGPTSVPSVWPDPSSESETEVD ILT >ENSMUSP00000105891.1 pep:known chromosome:GRCm38:2:130613840:130630027:-1 gene:ENSMUSG00000079043.2 transcript:ENSMUST00000110262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fastkd5 description:FAST kinase domains 5 [Source:MGI Symbol;Acc:MGI:2139469] MALVVCRRFTGSFCGTFPCPPLMKPRQTRTCDFALTAKKISTDSRMSAALKLLEPLKYKA PCNPAYRAAQSVAHWHMGNITPHGGQTLPECNSSCHLARKVKNVGGTTLPRRTFTASSAH LGLEFNKASTLNASTLHPDSSSAGGGEEDVEVFDSFEGTRVFLKLRPEYQLHSYNRSDTH QPIAASEVELILHKVTFYQNKLQPEVITNYFYKLSSLPAEQNSVLLSSNSFALLCQLSVK NIQLFNTSDLISILKAFVDLRIPPSLSMLDVYETRFCHQVWEMTLDQLLLVADLWRNLGR RVPRFFKIFFSYLNLHWRELSLSQLIHLIYIIGENRQVPQDLMQRLESLILKYVDSVNLE EVGTICLGFFKSSSSLSEFVMRKIGDLACANMQHLSSHTLVHILKMFRFTHVDHIHFMKQ FGEIAPQRIPSLGVQGVMHLTLACSALRILDERVMNAVAASLPPRVAHCRSKDVAKILWS FGTLNYKPPNTEEFYSSLINEIHRKMPEFNQYPEHLLTCLIGLAFSEYFPVEFINVALSP GFVKLAQERSKFELTKELFTLDGTVAIECPDYKGNRLNSHLQQETSANLWNLASKDMRSK PEFLETLYLLETMLGGPQYIKHHMILPHTRSSDLEVQLDANMKPMPFNSEATPTEDGAQL RFKQVGVSLTDDLMNQLLKGKAKRYFQGQIELETGQPPMELRKKTTVPLVNSGCNGTDRL GDGMVGLCPLAHMQPPLVKLAIQFTNKNQYCYGSRALLGLHNMKRRQLVQIGYRVVELPH WEWLPLLKRTRLEKLAYLHEKVFTSAL >ENSMUSP00000137385.1 pep:known chromosome:GRCm38:2:130614245:130616668:-1 gene:ENSMUSG00000079043.2 transcript:ENSMUST00000179273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fastkd5 description:FAST kinase domains 5 [Source:MGI Symbol;Acc:MGI:2139469] MALVVCRRFTGSFCGTFPCPPLMKPRQTRTCDFALTAKKISTDSRMSAALKLLEPLKYKA PCNPAYRAAQSVAHWHMGNITPHGGQTLPECNSSCHLARKVKNVGGTTLPRRTFTASSAH LGLEFNKASTLNASTLHPDSSSAGGGEEDVEVFDSFEGTRVFLKLRPEYQLHSYNRSDTH QPIAASEVELILHKVTFYQNKLQPEVITNYFYKLSSLPAEQNSVLLSSNSFALLCQLSVK NIQLFNTSDLISILKAFVDLRIPPSLSMLDVYETRFCHQVWEMTLDQLLLVADLWRNLGR RVPRFFKIFFSYLNLHWRELSLSQLIHLIYIIGENRQVPQDLMQRLESLILKYVDSVNLE EVGTICLGFFKSSSSLSEFVMRKIGDLACANMQHLSSHTLVHILKMFRFTHVDHIHFMKQ FGEIAPQRIPSLGVQGVMHLTLACSALRILDERVMNAVAASLPPRVAHCRSKDVAKILWS FGTLNYKPPNTEEFYSSLINEIHRKMPEFNQYPEHLLTCLIGLAFSEYFPVEFINVALSP GFVKLAQERSKFELTKELFTLDGTVAIECPDYKGNRLNSHLQQETSANLWNLASKDMRSK PEFLETLYLLETMLGGPQYIKHHMILPHTRSSDLEVQLDANMKPMPFNSEATPTEDGAQL RFKQVGVSLTDDLMNQLLKGKAKRYFQGQIELETGQPPMELRKKTTVPLVNSGCNGTDRL GDGMVGLCPLAHMQPPLVKLAIQFTNKNQYCYGSRALLGLHNMKRRQLVQIGYRVVELPH WEWLPLLKRTRLEKLAYLHEKVFTSAL >ENSMUSP00000124751.1 pep:known chromosome:GRCm38:1:161942086:161979636:-1 gene:ENSMUSG00000086277.2 transcript:ENSMUST00000162676.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930558K02Rik description:RIKEN cDNA 4930558K02 gene [Source:MGI Symbol;Acc:MGI:1922618] MEKRELKAFVPKFDKIPWLSEASLVNKPLILSIPRRYHSSFVLTSYKKDMYLPHLLENPD FLSKARKNEHENLSPRNKQLCATCRGYQKVKTVQPKTFIIPDHQKPPSQNSVNHREVSLH SQVQQLNSYNDIPTESISYRLPILGPRTAVFHRLLSSAYENPRDTQHRAFPRKKGMSKTV KQ >ENSMUSP00000019051.2 pep:known chromosome:GRCm38:11:70315610:70322628:-1 gene:ENSMUSG00000018907.10 transcript:ENSMUST00000019051.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox12e description:arachidonate lipoxygenase, epidermal [Source:MGI Symbol;Acc:MGI:1274790] MVKYKILVATGDSVFAGSANLVHLWLVGEHGEADLGKQLRPLLGRKTELEVDVPLHLGRL LAVKLRKQKGLLDSDWFCKSITVQGPGTQGEAFFPCYSWVQGKETICLTEGTALKVTDDT QNLFRKYREQELENRRNVYRWGSWKEGLILPIAGSTERDLPRNQRFMKDKDLDFSLSLVK ELKNFAIKGTLDFVSRVQKLEDYQKVFPHTKTALPERVRGSWKEDALFGYQFLNGANPML LRRSMRLPARLVLPPGMEDVQTQLEKELKAGSLFEVDFSLLDGVKPNIIIFKQQYVTAPL VMLKLQPDGRLLPMVIQLQPPRHGCPPPLLFLPSDPPMAWLLAKIWVRSSDFQLHQLQSH LLRGHLMAEVISVATMRSLPSLHPIYKLLAPHFRYTMEINTLARNNLVSEWGIFDLVVST GSGGHVDILQRATSCLTYRSFCPPDDLADRGLVGVKSSLYAQDALRLWEIISRYVERMVE LFYRSDTDVKEDPELQVWCREVTEVGLLGAQDRGFPLSLESRAELCRFVAMCIFTCTGQH ASTHLGQLDWYAWIPNGPCTMRKPPPISKDVTERDIVDSLPCLQQARMQITVTKFLGRRQ PVMVALGQHKEEYFSGPRPRDVLKQFQEELAIMDKEIEVRNASLDLPYEYLRPSLVENSV TI >ENSMUSP00000028761.4 pep:known chromosome:GRCm38:2:130590002:130630038:-1 gene:ENSMUSG00000027300.9 transcript:ENSMUST00000028761.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubox5 description:U box domain containing 5 [Source:MGI Symbol;Acc:MGI:2154658] MVVNLCLPQFRPRIHCNKVSADGYEVENLISEDLIKRSHGFRTEYFIRPPIYVTVSFPFN VEICRVNIDLTTGGYQNVSGLELYTSALSSRVSQDAQDCWTTGPVETSVPDKEAFTLVGK VLLKNQNHVVFSHRGFKARPPFSPMEVTLLSPAVVAQELWNKGALSLSHVAHLKIGITHV TGSGISCIKRLEVWGQPARTCSQEVINSVLLIASESLPQDLDLHAPALPMESDCDPGGQS ESQHSPCTLQDMSEVESDVPEEFLDPITLEIMPCPMLLPSGKVIDQSTLEKCNLSEAAWG RVPSDPFTGLAFTPQSQPLPHPSLKARIDRFLLQHSISGCRLLGRAQTPSAMTPSVITLP SRKRKTEQAEHSSHYSLGMSASSSATSPLFSPTTSEPTAKKMKATSELGLTDMDCSAGPV SHEQKLAQSLEIALTSTLGSMPSFTARLTKGQLQLGTRGSSACRRPASSSEHPRSVSGPE CASCKQAFSSYSTNEPVYQLPCGHLLCRPCLSEKQRSQPMMCTACRQPVTSQDVLRVHF >ENSMUSP00000114878.1 pep:known chromosome:GRCm38:2:130599785:130630027:-1 gene:ENSMUSG00000027300.9 transcript:ENSMUST00000140581.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ubox5 description:U box domain containing 5 [Source:MGI Symbol;Acc:MGI:2154658] MALVVCRRFTGSFCGTFPCPPLMKPRQTRTCDFALTAKKISTDSRMSAALKLLEPLKYKA PCNPAYRAAQSVAHWHMGNITPHGGQTLPECNSSCHLARKVKNVGGTTLPRRTFTASSAH LGLEFNKASTLNASTLHPDSSSAGGGEEDVEVFDSFEGTRVFLKLRPEYQLHSYNRSDTH QPIAASEVELILHKVTFYQNKLQPEVITNYFYKLSSLPAEQNSVLLSSNSFALLCQLSVK NIQLFNTSDLISILKAFVDLRIPPSLSMLDVYETRFCHQVWEMTLDQLLLVADLWRNLGR RVPRFFKIFFSYLNLHWRELSLSQLIHLIYIIGENRQVPQDLMQRLESLILKYVDSVNLE EVGTICLGFFKSSSSLSEFVMRKIGDLACANMQHLSSHTLVHILKMFRFTHVDHIHFMKQ FGEIAPQRIPSLGVQGVMHLTLACSALRILDERVMNAVAASLPPRVAHCRSKDVAKILWS FGTLNYKPPNTEEFYSSLINEIHRKMPEFNQYPEHLLTCLIGLAFSEYFPVEFINVALSP GFVKLAQERSKFELTKELFTLDGTVAIECPDYKGNRLNSHLQQETSANLWNLASEDPI >ENSMUSP00000048198.5 pep:known chromosome:GRCm38:2:84687970:84715180:-1 gene:ENSMUSG00000034075.10 transcript:ENSMUST00000035840.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc5 description:zinc finger, DHHC domain containing 5 [Source:MGI Symbol;Acc:MGI:1923573] MPAESGKRFKPSKYVPVSAAAIFLVGATTLFFAFTCPGLSLNVSPAVPIYNAIMFLFVLA NFSMATFMDPGIFPRAEEDEDKEDDFRAPLYKTVEIKGIQVRMKWCATCRFYRPPRCSHC SVCDNCVEEFDHHCPWVNNCIGRRNYRYFFLFLLSLTAHIMGVFGFGLLYVLYHIEELSG VRTAVTMAVMCVAGLFFIPVAGLTGFHVVLVARGRTTNEQVTGKFRGGVNPFTNGCCNNV SRVLCSSPAPRYLGRPKKEKTIVIRPPFLRPEVSDGQITVKIMDNGIQGELRRTKSKGSL EITESQSADAEPPPPPKPDLSRYTGLRTHLSLATNEDSSLLGKDSPPTPTMYKYRPGYSS SSTSAAMPHSSSAKLSRGDSLKEPTSIADSSRHPSYRSEPSLEPESFRSPTFGKSFHFDP LSSGSRSSSLKSAQGTGFELGQLQSIRSEGTTSTSYKSLANQTRNGSLSYDSLLTPSDSP DFESVQAGPEPDPPLGYTSPFLSARLAQQREAERHPRLLPTGPPHREPSPVRYDNLSRHI VASLQEREKLLRQSPPLAGREEEPGLGDSGIQSTPGSGHAPRTSSSSDDSKRSPLSKTPL GRPAVPRFGKPDGLRSRGLGSPEPGTTAPYLGRSISYSSQKAPSGVSETEEVALQPLLTP KDEVQLKTTYSKSNGQPKSIGSASPGPGQPPLSSPTRGGVKKVSGVGGTTYEISV >ENSMUSP00000045141.5 pep:known chromosome:GRCm38:2:165490110:165493324:-1 gene:ENSMUSG00000039725.6 transcript:ENSMUST00000039007.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53rka description:transformation related protein 53 regulating kinase A [Source:MGI Symbol;Acc:MGI:1918294] MAGTSSEAEAEALAAARERSRLFLSGLELVQQGAEARVFRGRFQGRAAVVKHRFPKSYRH PELEARLGRRRTVQEARALLRCRRAGIAAPVVFFVDYASNCLYMEEIEDSVTVRDYIQST METEKDPQCLLDLARRMGQVLAGMHDQDLIHGDLTTSNMLLRRPLAQLHIVLIDFGLSFV SGLPEDKGVDLYVLEKAFLSTHPHTETVFEAFLKSYGASTQKSSPVLKKLDEVRLRGRKR SMVG >ENSMUSP00000074903.5 pep:known chromosome:GRCm38:5:74997988:75044774:-1 gene:ENSMUSG00000029229.8 transcript:ENSMUST00000075452.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chic2 description:cysteine-rich hydrophobic domain 2 [Source:MGI Symbol;Acc:MGI:1921527] MADFDEIYEEEEDEERALEEQLLKYSPDPVVVRGSGHVTVFGLSNKFESEFPSSLTGKVA PEEFKASINRVNSCLRKNLPVNVRWLLCGCLCCCCTLGCSMWPVICLSKRTRRSIEKLLE WENNRLYHKLCLHWRLSKRKCETNNMMEYVILIEFLPKTPIFRPD >ENSMUSP00000062198.7 pep:known chromosome:GRCm38:11:119229129:119262900:1 gene:ENSMUSG00000039963.18 transcript:ENSMUST00000053440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc40 description:coiled-coil domain containing 40 [Source:MGI Symbol;Acc:MGI:2443893] MAEPEDQADGSQQEGQQSSAEEDSEQQYTEGPEVSPQLEDNGQEIDEGRDPTRSPEEDIT TEGGGGSEGEMMDAEKVSTDGEAISEGEVGSNGETPPETEVEFIGETAPDTDVEFIGETS PGTDVEPTGESIQETEVESIGEATPGMDVEPIKKTMTELNVESIGEETSETDVDSIRKAL RGIDLESITVAYPPKKAKHRKVRPQAEVESTGRAAPEGELEVSDHEKVEALLDELDELSE IVSSPEVSYSDISPLEMGEDDTNVSATSTDTFQQGIYEPIEPIEPTEPPEPAEPPKPAET PEDSTVRAPAHPYQRDFPMGARHRFRLSIMGSLTPSDTDDLPLETDEPPQQESVQSTPRA LEETRIQFLDQVQSLSPEALLDRATEGSDEAEEEGSQLIVLDPDHPLMIRFQEALKGYLN RQMDKLKLDVQELDVATKQTRSQRQELGVNLYGVQQHLARLQMQLEKSHDRHSLVACERR RKEEELQCARSVYNKTCQTANEERKKLAALQTEVESLALHLFYMQNIEQDVRDDIQVMKQ VVRKTETEKMHAEVEKKKQDLFVDQLTERSHQLEENIALFEAQYLSQAEDTRVLKKAVTE AITEIDTIAVEKKRILQQWTTSLVGMKHRNEAYKTVMDALRECQHQVKSTDSEIEVCKKS IMQEEEKNEKLARLLNRAETEATLVQKMTAQCLSKQEALQTEFNTYQLALQDTEEMLNKG YVEHSAVLSELQATRQAFHQEQELRQKMDMSMVDKLQEQGTSSKMTKYFHQLLRKLQKEN TNLVTHLSKIDGDIAQATLDITNTNCKIDMHKKTLAEMDKEVKRFNDLITNSESEIARRT ILIERKQSLINFFNKQLEQMVSELGGEEAGPLELEIKRLSKLTEEYNTGVAEAQMTWLRL QQELVQVTHEREEQLVSVDQLKKEVHIMEQKKLRIESKIAHEKKEQKIVSRHMRDLDNDL SKLNMLLDKNRCSSEELEQNNIATETEFLRTLKDSERETIQMQEKLMELSEEKATLLNSF MEAEHQIMLWEKKIQLAKEMRSSVDSETGQTEIRAMKAEIHRMKVRHGQLLKQQEKMIRD MELAVARRETIVVQAEGQSKIDKKVITKTEFHYQQRELQKKVREMHKFIFSLLRVCG >ENSMUSP00000039463.2 pep:known chromosome:GRCm38:11:119228572:119265212:1 gene:ENSMUSG00000039963.18 transcript:ENSMUST00000035935.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc40 description:coiled-coil domain containing 40 [Source:MGI Symbol;Acc:MGI:2443893] MMDAEKVSTDGEAISEGEVGSNGETPPETEVEFIGETAPDTDVEFIGETSPGTDVEPTGE SIQETEVESIGEATPGMDVEPIKKTMTELNVESIGEETSETDVDSIRKALRGIDLESITV AYPPKKAKHRKVRPQAEVESTGRAAPEGELEVSDHEKVEALLDELDELSEIVSSPEVSYS DISPLEMGEDDTNVSATSTDTFQQGIYEPIEPIEPTEPPEPAEPPKPAETPEDSTVRAPA HPYQRDFPMGARHRFRLSIMGSLTPSDTDDLPLETDEPPQQESVQSTPRALEETRIQFLD QVQSLSPEALLDRATEGSDEAEEEGSQLIVLDPDHPLMIRFQEALKGYLNRQMDKLKLDV QELDVATKQTRSQRQELGVNLYGVQQHLARLQMQLEKSHDRHSLVACERRRKEEELQCAR SVYNKTCQTANEERKKLAALQTEVESLALHLFYMQNIEQDVRDDIQVMKQVVRKTETEKM HAEVEKKKQDLFVDQLTERSHQLEENIALFEAQYLSQAEDTRVLKKAVTEAITEIDTIAV EKKRILQQWTTSLVGMKHRNEAYKTVMDALRECQHQVKSTDSEIEVCKKSIMQEEEKNEK LARLLNRAETEATLVQKMTAQCLSKQEALQTEFNTYQLALQDTEEMLNKGYVEHSAVLSE LQATRQAFHQEQELRQKMDMSMVDKLQEQGTSSKMTKYFHQLLRKLQKENTNLVTHLSKI DGDIAQATLDITNTNCKIDMHKKTLAEMDKEVKRFNDLITNSESEIARRTILIERKQSLI NFFNKQLEQMVSELGGEEAGPLELEIKRLSKLTEEYNTGVAEAQMTWLRLQQELVQVTHE REEQLVSVDQLKKEVHIMEQKKLRIESKIAHEKKEQKIVSRHMRDLDNDLSKLNMLLDKN RCSSEELEQNNIATETEFLRTLKDSERETIQMQEKLMELSEEKATLLNSFMEAEHQIMLW EKKIQLAKEMRSSVDSETGQTEIRAMKAEIHRMKVRHGQLLKQQEKMIRDMELAVARRET IVVQAEGQSKIDKKVITKTEFHYQQRELQKKVREMHKATDDCTNTISELEETQKFLSSSL QEKQQLLSEMQATTDVLEEEINQLTALKRQNLLEIVTLQTRGKHLQAAIEGKYVFLHRNS RSQLMERKRLSVRLSQLNKVLSSVQEDYPQYQEVLQSIQQKIATKLETPEPS >ENSMUSP00000026879.7 pep:known chromosome:GRCm38:1:17145362:17164271:1 gene:ENSMUSG00000025777.8 transcript:ENSMUST00000026879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdap1 description:ganglioside-induced differentiation-associated-protein 1 [Source:MGI Symbol;Acc:MGI:1338002] MARRQDEARAGVPLRVEGPPDKEVHLILYHWTHSFSSQKVRLVIAEKALKCEEHDVSLPL SEHNEPWFMRLNSAGEVPVLVHGENIICEATQIIDYLEQTFLDERTPRLMPDEGSMYYPR VQHYRELLDSLPMDAYTHGCILHPELTVDSMIPAYATTRIRSQIGNTESELKKLAEENPD LQEAYIAKQKRLKSKLLDHDNVKYLKKILDELEKVLDQVETELQRRNEETPEEGNQPWLC GESFTLADVSLAVTLHRLKFLGFARRNWGHGKRPNLETYYERVLKRKTFNKVLGHVNNIL ISAVLPTAFRVAKKRAPKVLGSTLVVGLLVGMGYFAFMLFRRRLGSMILALRPRPNYF >ENSMUSP00000140406.1 pep:known chromosome:GRCm38:1:17145468:17161631:1 gene:ENSMUSG00000025777.8 transcript:ENSMUST00000189736.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gdap1 description:ganglioside-induced differentiation-associated-protein 1 [Source:MGI Symbol;Acc:MGI:1338002] MARRQDEARAGVPLRVEGPPDKEVHLILYHWTHSFSSQKVRLVIAEKALKCEEHDKEHPG >ENSMUSP00000064538.7 pep:known chromosome:GRCm38:1:161990212:162478034:-1 gene:ENSMUSG00000040265.16 transcript:ENSMUST00000070330.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm3 description:dynamin 3 [Source:MGI Symbol;Acc:MGI:1341299] MGNREMEELIPLVNRLQDAFSALGQSCLLELPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVTSKAEYAEFLHCKGKKFTDFDEVRHEIEAETDRVTGMNKGISSIPI NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIRDMIMQFITRENCLILAVTPANTD LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYVGVVNRSQK DIDGKKDIKAAMLAERKFFLSHPAYRHIADRMGTPHLQKVLNQQLTNHIRDTLPNFRNKL QGQLLSIEHEVEAFKNFKPEDPTRKTKALLQMVQQFAVDFEKRIEGSGDQVDTLELSGGA KINRIFHERFPFEIVKMEFNEKELRREISYAIKNIHGIRTGLFTPDMAFEAIVKKQIVKL KGPSLKSVDLVMQELINTVKKCTKRLANFPRLCEETERIVANHIREREGKTKDQVLLLID IQVSYINTNHEDFIGFANAQQRSSQVHKKSTIGNQVIRKGWLTVSNIGIMKGGSKGYWFV LTAESLSWYKDDEEKEKKYMLPLDNLKVRDVEKGFMSSKHVFALFNTEQRNVYKDYRFLE LACDSQEDVDSWKASLLRAGVYPDKSVTENDENGQAENFSMDPQLERQVETIRNLVDSYM SIINKCIRDLIPKTIMHLMINNVKDFINSELLAQLYSSEDQNTLMEESAEQAQRRDEMLR MYQALKEALAIIGDINTATVSTPAPPPVDDSWLQHSRRSPPPSPTTQRRLTISAPLPRPT SGRGPAPAIPSPGPHSGAPPVPFRPGPLPPFPNSSDSFGAPPQVPSRPTRAPPSVPSRRP PPSPTRPTIIRPLESSLLD >ENSMUSP00000124593.1 pep:known chromosome:GRCm38:1:162006122:162295580:-1 gene:ENSMUSG00000040265.16 transcript:ENSMUST00000160665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm3 description:dynamin 3 [Source:MGI Symbol;Acc:MGI:1341299] MKGGSKGYWFVLTAESLSWYKDDEEKEKKYMLPLDNLKVRDVEKGFMSSKHVFALFNTEQ RNVYKDYRFLELACDSQEDVDSWKASLLRAGVYPDKSVTENDENGQAENFSMDPQLERQV ETIRNLVDSYMSIINKCIRDLIPKTIMHLMINNVKDFINSELLAQLYSSEDQNTLMEESA EQAQRRDEMLRMYQALKEALAIIGDINTATVSTPAPPPVDDSWLQHSRRSPPPSPTTQRR LTISAPLPRPTSGRGPAPAIPSPGPHSGAPPVPFRPGPLPPFPNSSDSFGAPPQVPSRPT RAPPSVPRFGAVKEEAVEP >ENSMUSP00000083241.5 pep:known chromosome:GRCm38:1:161987302:162478034:-1 gene:ENSMUSG00000040265.16 transcript:ENSMUST00000086074.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm3 description:dynamin 3 [Source:MGI Symbol;Acc:MGI:1341299] MGNREMEELIPLVNRLQDAFSALGQSCLLELPQIAVVGGQSAGKSSVLENFVGRDFLPRG SGIVTRRPLVLQLVTSKAEYAEFLHCKGKKFTDFDEVRHEIEAETDRVTGMNKGISSIPI NLRVYSPHVLNLTLIDLPGITKVPVGDQPPDIEYQIRDMIMQFITRENCLILAVTPANTD LANSDALKLAKEVDPQGLRTIGVITKLDLMDEGTDARDVLENKLLPLRRGYVGVVNRSQK DIDGKKDIKAAMLAERKFFLSHPAYRHIADRMGTPHLQKVLNQQLTNHIRDTLPNFRNKL QGQLLSIEHEVEAFKNFKPEDPTRKTKALLQMVQQFAVDFEKRIEGSGDQVDTLELSGGA KINRIFHERFPFEIVKMEFNEKELRREISYAIKNIHGIRTGLFTPDMAFEAIVKKQIVKL KGPSLKSVDLVMQELINTVKKCTKRLANFPRLCEETERIVANHIREREGKTKDQVLLLID IQVSYINTNHEDFIGFANAQQRSSQVHKKSTIGNQVIRKGWLTVSNIGIMKGGSKGYWFV LTAESLSWYKDDEEKEKKYMLPLDNLKVRDVEKGFMSSKHVFALFNTEQRNVYKDYRFLE LACDSQEDVDSWKASLLRAGVYPDKSVGKRKTENDENGQAENFSMDPQLERQVETIRNLV DSYMSIINKCIRDLIPKTIMHLMINNVKDFINSELLAQLYSSEDQNTLMEESAEQAQRRD EMLRMYQALKEALAIIGDINTATVSTPAPPPVDDSWLQHSRRSPPPSPTTQRRLTISAPL PRPTSGRGPAPAIPSPGPHSGAPPVPFRPGPLPPFPNSSDSFGAPPQVPSRPTRAPPSVP SRRPPPSPTRPTIIRPLESSLLD >ENSMUSP00000125356.1 pep:known chromosome:GRCm38:1:161982453:162295636:-1 gene:ENSMUSG00000040265.16 transcript:ENSMUST00000159763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm3 description:dynamin 3 [Source:MGI Symbol;Acc:MGI:1341299] MKGGSKGYWFVLTAESLSWYKDDEEKEKKYMLPLDNLKVRDVEKGFMSSKHVFALFNTEQ RNVYKDYRFLELACDSQEDVDSWKASLLRAGVYPDKSVTENDENGQAENFSMDPQLERQV ETIRNLVDSYMSIINKCIRDLIPKTIMHLMINNVKDFINSELLAQLYSSEDQNTLMEESA EQAQRRDEMLRMYQALKEALAIIGDINTATVSTPAPPPVDDSWLQHSRRSPPPSPTTQRR LTISAPLPRPTSGRGPAPAIPSPGPHSGAPPVPFRPGPLPPFPNSSDSFGAPPQVPSRPT RAPPSVPRRPPPAVPGRPS >ENSMUSP00000099581.4 pep:known chromosome:GRCm38:4:137420999:137430540:-1 gene:ENSMUSG00000023433.8 transcript:ENSMUST00000102522.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cela3b description:chymotrypsin-like elastase family, member 3B [Source:MGI Symbol;Acc:MGI:1915118] MLRLLSSLLLVALASGCGQPSHNPSSRVVNGEEAVPHSWPWQVSLQYEKDGSFHHTCGGS LITPDWVLTAGHCISTSRTYQVVLGEHERGVEEGQEQVIPINAGDLFVHPKWNSMCVSCG NDIALVKLSRSAQLGDAVQLACLPPAGEILPNGAPCYISGWGRLSTNGPLPDKLQQALLP VVDYEHCSRWNWWGLSVKTTMVCAGGDIQSGCNGDSGGPLNCPADNGTWQVHGVTSFVSS LGCNTLRKPTVFTRVSAFIDWIEETIANN >ENSMUSP00000027897.7 pep:known chromosome:GRCm38:1:189880492:189922363:-1 gene:ENSMUSG00000026603.13 transcript:ENSMUST00000027897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd2 description:SET and MYND domain containing 2 [Source:MGI Symbol;Acc:MGI:1915889] MRAEARGGLERFCSAGKGRGLRALRPFHVGDLLFSCPAYACVLTVGERGHHCECCFARKE GLSKCGRCKQAFYCDVECQKEDWPLHKLECSSMVVLGENWNPSETVRLTARILAKQKIHP ERTPSEKLLAVREFESHLDKLDNEKKDLIQSDIAALHQFYSKYLEFPDHSSLVVLFAQVN CNGFTIEDEELSHLGSAIFPDVALMNHSCCPNVIVTYKGTLAEVRAVQEIHPGDEVFTSY IDLLYPTEDRNDRLRDSYFFTCECRECTTKDKDKAKVEVRKLSSPPQAEAIRDMVRYARN VIEEFRRAKHYKSPSELLEICELSQEKMSSVFEDSNVYMLHMMYQAMGVCLYMQDWEGAL KYGQKIIKPYSKHYPVYSLNVASMWLKLGRLYMGLENKAAGEKALKKAIAIMEVAHGKDH PYISEIKQEIESH >ENSMUSP00000029196.4 pep:known chromosome:GRCm38:2:165503787:165519917:1 gene:ENSMUSG00000027661.4 transcript:ENSMUST00000029196.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a10 description:solute carrier family 2 (facilitated glucose transporter), member 10 [Source:MGI Symbol;Acc:MGI:2156687] MGLRPAVLLLCASVSLLGGLTFGYELAVISGALLPLQLNFGLSCLEQELLVGSLLLGALL ASLVGGFLIDCYGRRRAILGSNAVLLAGSLILGLASSLPWLLLGRLSVGFAISLSSMACC IYVSELVGPRQRGVLVSLYEVGITVGILFSYGLNYVLAGSPWGWRHMFGWAAAPALLQSL SLFLLPAGAEGTAAPKDLIPLQGRETSKPGLVKPQYSFLDLFRAQDGMWSRTVVGLGLVL FQQLTGQPNVLYYASTIFRSVGFHGGSSAVLASVGLGTVKVAATLVATGLVDRAGRRVLL LFGCALMALSVSGIGLVSFAVSLDSGPSCLATSNASQQVDLPGSSGLLVRSSLPPVLHTN GDQGQLVLSVTERPIHPVITASLGPVLNTASPVPTSPILEHTLLCWSALVCMMVYVSAFS VGFGPVTWLVLSEIYPAEIRGRAFAFCSSFNWAANLFISLSFLDLIGAIGLAWTFLLYGL TAVLGLAFIYLLVPETKGQSLAEIEQQFQTSRFPLNFGHRQRIGIQYHRLDVSSAS >ENSMUSP00000133693.1 pep:known chromosome:GRCm38:15:76294968:76296252:1 gene:ENSMUSG00000071724.4 transcript:ENSMUST00000171192.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smpd5 description:sphingomyelin phosphodiesterase 5 [Source:MGI Symbol;Acc:MGI:3709877] VSECSQPPPRVPGGELKATLPMGLDFVCLQEVFDLRAARRLVRVLVPNLGPVIYDVGTFG LMAGPYIKVLGSGLLLASRYPLLRATFRCFPNARREDAMASKGLLSVQRMDIFAANS >ENSMUSP00000134687.1 pep:known chromosome:GRCm38:15:76294434:76296896:1 gene:ENSMUSG00000071724.4 transcript:ENSMUST00000163991.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd5 description:sphingomyelin phosphodiesterase 5 [Source:MGI Symbol;Acc:MGI:3709877] MSLPDISRRRSPVPQEDWPLTPNALRPSPFPNPVLQALYSLSRVLLFPTYWSLDQLLGCW APSVRSKSLGWFKVLAGSGVLLPLVVVGLPLALVGLALWLPLQVWRRPFCYQPPPACWVW PQPWHPPAERRRCFVFLTANLCLLPHGLAHFNNLSHSLQRAEAVGAALLDSLQSSQYRVS ECSQPPPRVPGGELKATLPMGLDFVCLQEVFDLRAARRLVRVLVPNLGPVIYDVGTFGLM AGPYIKVLGSGLLLASRYPLLRATFRCFPNARREDAMASKGLLSVQAQLGIVDGHPIVGY LHCTHLHAPVEDGHIRCKQLTLLLEWVEEFEAENRQSDEAVAFSVLLGDLNFDNCSQDHA KEQGHKLFSCFQDPCRLGVCQEQPWALGTILNSSMLRHSIACSPEMLRRALRQEKGRRLY LSGPLHGSYPAQSWKGRRLDYITYRRVPGSRLSPEAEQVTFSTAFAGLTDHLAMGLKLQV VCS >ENSMUSP00000138211.1 pep:known chromosome:GRCm38:X:120312748:120313095:-1 gene:ENSMUSG00000083616.3 transcript:ENSMUST00000122050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2afb3 description:H2A histone family, member B3 [Source:MGI Symbol;Acc:MGI:3644875] MPRNRENCLRESSGRRHRRSRTSRAELIFAVSLVEQHLREVSRARRLSDTVPIFLAAILE SLTRRLLELAGNEAQRRGTERRITPELLDLAVYSNMELSDVFQFITISQVAPAHR >ENSMUSP00000140692.1 pep:known chromosome:GRCm38:1:85894619:85908359:1 gene:ENSMUSG00000026223.15 transcript:ENSMUST00000185569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itm2c description:integral membrane protein 2C [Source:MGI Symbol;Acc:MGI:1927594] MVKISFQPAVAGIKADKADKAAASGPASASAPAAEILLTPAREERPPRHRSRKGGSVGGV CYLSMGMVVLLMGLVFASVYIYRYFFLAQLARDNFFHCGVLYEDSLSSQIRTRLELEEDV KIYLEENYERINVPVPQFGGGDPADIIHDFQRRGTYLPQTYIIQEEMVVTEHVRDKEALG SFIYHLCNGKDTYRLRRRSTRRRINKRGGKNCNAIRHFENTFVVETLICGVV >ENSMUSP00000027425.9 pep:known chromosome:GRCm38:1:85894281:85908675:1 gene:ENSMUSG00000026223.15 transcript:ENSMUST00000027425.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itm2c description:integral membrane protein 2C [Source:MGI Symbol;Acc:MGI:1927594] MVKISFQPAVAGIKADKADKAAASGPASASAPAAEILLTPAREERPPRHRSRKGGSVGGV CYLSMGMVVLLMGLVFASVYIYRYFFLAQLARDNFFHCGVLYEDSLSSQIRTRLELEEDV KIYLEENYERINVPVPQFGGGDPADIIHDFQRGLTAYHDISLDKCYVIELNTTIVLPPRN FWELLMNVKRGTYLPQTYIIQEEMVVTEHVRDKEALGSFIYHLCNGKDTYRLRRRSTRRR INKRGGKNCNAIRHFENTFVVETLICGVV >ENSMUSP00000037109.6 pep:known chromosome:GRCm38:2:130632842:130642803:-1 gene:ENSMUSG00000037703.14 transcript:ENSMUST00000045761.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzts3 description:leucine zipper, putative tumor suppressor family member 3 [Source:MGI Symbol;Acc:MGI:2656976] MAPADLASEGPKLEDPPAPHLFGKCPSGLIMAKLETLPVRADPGRDPLLAFAPRPSELGP PDPRLTMGSVGSGVTHAQEFPMKSVGTRTGGGGNQGSFPGPRSGGSGANRERPGRYPSED KVLANSLYLNGELRGSDHTDVCGNVVGSSGGSSSSGGSDKAPPQYREPNHPPKLLTTSGK LDQCSEPLVRPSAFKPVVPKNFHSMQNLCPPQTNGTPEGRQGPAGLKGGLDKSRTMTPAG GSGGGLSDSGRNSLTSLPTYSSSYSQHLAPLSASTSHINRIGTAGYSSGSSGGGSGYQDL GTSDSGRASSKSGSSSSMGRSGHLGSGEGGNGGLPFAACSPPSPSALIQELEERLWEKEQ EVAALRRSLEQSEAAVAQVLEERQKAWERELAELRQGCSGKLQQVARRAQRAQQGLQLQV LRLQQDKKQLQEEAAQLIRQREELEDKVAVCQKEQADFLPRMEETKWEVCQKAGEISLLK QQLKDSQADVSQKLSEIVGLRSQLREGRASLREKEEQLLSLRDSFGSKQASLELSEGELP PACLKPALTPVDLVEPQEALASCESDEAKMRRQAGVAAAASLVSVDGEVEAGGEGGTRAL RREVGRLQAELAAERRARERQGASFAEERRVWLEEKEKVIEYQKQLQLSYVEMYQRNQQL ERRLRERGAAGGSSTPTPQHGEEKKAWTPSRLERIESTEI >ENSMUSP00000086990.3 pep:known chromosome:GRCm38:2:130632839:130638095:-1 gene:ENSMUSG00000037703.14 transcript:ENSMUST00000089561.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzts3 description:leucine zipper, putative tumor suppressor family member 3 [Source:MGI Symbol;Acc:MGI:2656976] MAPADLASEGPKLEDPPAPHLFGKCPSGLIMAKLETLPVRADPGRDPLLAFAPRPSELGP PDPRLTMGSVGSGVTHAQEFPMKSVGTRTGGGGNQGSFPGPRSGGSGANRERPGRYPSED KVLANSLYLNGELRGSDHTDVCGNVVGSSGGSSSSGGSDKAPPQYREPNHPPKLLTTSGK LDQCSEPLVRPSAFKPVVPKNFHSMQNLCPPQTNGTPEGRQGPAGLKGGLDKSRTMTPAG GSGGGLSDSGRNSLTSLPTYSSSYSQHLAPLSASTSHINRIGTAGYSSGSSGGGSGYQDL GTSDSGRASSKSGSSSSMGRSGHLGSGEGGNGGLPFAACSPPSPSALIQELEERLWEKEQ EVAALRRSLEQSEAAVAQVLEERQKAWERELAELRQGCSGKLQQVARRAQRAQQGLQLQV LRLQQDKKQLQEEAAQLIRQREELEDKVAVCQKEQADFLPRMEETKWEVCQKAGEISLLK QQLKDSQADVSQKLSEIVGLRSQLREGRASLREKEEQLLSLRDSFGSKQASLELSEGELP PACLKPALTPVDLVEPQEALASCESDEAKMRRQAGVAAAASLVSVDGEVEAGGEGGTRAL RREVGRLQAELAAERRARERQGASFAEERRVWLEEKEKVIEYQKQLQLSYVEMYQRNQQL ERRLRERGAAGGSSTPTPQHGEEKKAWTPSRLERIESTEI >ENSMUSP00000105889.1 pep:known chromosome:GRCm38:2:130632839:130638095:-1 gene:ENSMUSG00000037703.14 transcript:ENSMUST00000110260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzts3 description:leucine zipper, putative tumor suppressor family member 3 [Source:MGI Symbol;Acc:MGI:2656976] MAPADLASEGPKLEDPPAPHLFGKCPSGLIMAKLETLPVRADPGRDPLLAFAPRPSELGP PDPRLTMGSCSEPLVRPSAFKPVVPKNFHSMQNLCPPQTNGTPEGRQGPAGLKGGLDKSR TMTPAGGSGGGLSDSGRNSLTSLPTYSSSYSQHLAPLSASTSHINRIGTAGYSSGSSGGG SGYQDLGTSDSGRASSKSGSSSSMGRSGHLGSGEGGNGGLPFAACSPPSPSALIQELEER LWEKEQEVAALRRSLEQSEAAVAQVLEERQKAWERELAELRQGCSGKLQQVARRAQRAQQ GLQLQVLRLQQDKKQLQEEAAQLIRQREELEDKVAVCQKEQADFLPRMEETKWEVCQKAG EISLLKQQLKDSQADVSQKLSEIVGLRSQLREGRASLREKEEQLLSLRDSFGSKQASLEL SEGELPPACLKPALTPVDLVEPQEALASCESDEAKMRRQAGVAAAASLVSVDGEVEAGGE GGTRALRREVGRLQAELAAERRARERQGASFAEERRVWLEEKEKVIEYQKQLQLSYVEMY QRNQQLERRLRERGAAGGSSTPTPQHGEEKKAWTPSRLERIESTEI >ENSMUSP00000025500.6 pep:known chromosome:GRCm38:18:58556257:58612773:1 gene:ENSMUSG00000024600.8 transcript:ENSMUST00000025500.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc27a6 description:solute carrier family 27 (fatty acid transporter), member 6 [Source:MGI Symbol;Acc:MGI:3036230] MLLSWLTGLGAGLLSLHFLQKLLFPYFWDDFWYLLKVVRYGIQMEMYKLRGELVTVLDKF LSHTRKQPRKAFIIYEGDVYTYEDVDKRSNRIAHALLNHSSLKRGDVVALLMSNEPDFVH VWFGLAKLGCVVAFLNSNLRFDSLLHCINTCEPTAVVVGGDLLGSIEEILPSLPKHVRVW GMKDSVPEGIDSLQEKLSLASDEPVPPSHHVTSSLKSTCLYIFTSGTTGLPKAAVISQLQ VLKGSVGLWAFGCTADDIIYITLPLYHSSGSLLGIGGCVELGATCVLKKKFSASQFWNDC KKYNVTVFQYIGELCRYLCKQPQREGEKDHRVRLAVGNGLSSDVWRQFLDRFGNIKMCEL YGATEGNIVFMNHTGKIGSVGRANFFYSLFFSFELIKYDFQKDEPWRNGQGWCSCVRKGE PGLLISRVNKKNPFFGYAGSDTHTKSKLLFDVFRKGDVYFNTGDLMFQDQENFVYFWDRL GDTFRWKGENVATTEVADVLGRLDFIQEANVYGVRVPGYEGKAGMTSVILKPNKSLDLEK MYNQVVTSLPAYACPLFLRIQDKMETTGTFKLKKLQLVEEGFDPLKISDPLYFMDNLKKS YVPLTEEIYNQIMSEEVKL >ENSMUSP00000140238.1 pep:known chromosome:GRCm38:Y:87116821:87142917:1 gene:ENSMUSG00000094399.7 transcript:ENSMUST00000189543.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21477 description:predicted gene, 21477 [Source:MGI Symbol;Acc:MGI:5434832] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000135953.1 pep:known chromosome:GRCm38:Y:87118255:87140155:1 gene:ENSMUSG00000094399.7 transcript:ENSMUST00000179970.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21477 description:predicted gene, 21477 [Source:MGI Symbol;Acc:MGI:5434832] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000079265.5 pep:known chromosome:GRCm38:7:62377010:62381640:1 gene:ENSMUSG00000056972.6 transcript:ENSMUST00000080403.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magel2 description:melanoma antigen, family L, 2 [Source:MGI Symbol;Acc:MGI:1351648] MSQLSTNLGDSSPPESPVPAVHSRPTVLMRAPPASSRAPPVPWDPPPVDLQAPMAAWQAP QPAWEAPEGQLPAPVAQLAQPPGLGAPMVQAPPLGGGMAKPPTPGVLMVHQPPPGAPMAQ SSTPGVLMLHPSVTGAPLAHPPPPGTPMTHPPGTSMAHPPPPPPPPPPPPPPGTPMTHPP PPGTPMGHHPPPGNPMTHPPPGNPMVHPLTHGAPMVHGGPHGTPMPHVPITGTPIAQQPT PGVLMAQQLTPGVLMVQPPAPGAPMVQPPPQAALMTQPAPSITPMAKPPGPGVVMIHPPG ARGPIIQTPVSGAPMAQTVLPPGQPLATWAPQGQPLILQIQSQVIRAPPQVPSVPQAPQV QLATPPGWQATTPNWQVTPQGWPATPLTWQATQVTWQAPTIAWQATQPGRQGHSTIRTGH TPIRPGPAPLLRQIPPMIRQIQPVMRQAPPLIRQVPIRPAPHGIASQPQLWQVLPPPPPL RQAPQARLLLPRVPGTGQVSTVPPVAQIHLVPQSGPQVPQTVLPAQLSIPIPVPQAAAQS APRTVHCPPIIWQAPKGQAPVPQELPVPQELPVPQELPVPQEVPVPQEIPVPQEIPVPQE LPVPQELPVPQELPVPQELPVPQELPVPQELPVPQELPVPQELPVPLEFQEVQQAQAVGW RAPKVPPHFWQPVSAQEAQEQATQIAHVEQQQPFQGAPASSKALQTQLPTHQAQASGLQA ELPSVQLQPSWQGPLPMLQAQPGASATLANFPRGSTRSRMAPSGEPGPSSLEPRGPPRER RAPARDKKGPPKERMFIGATFCAPRGASASRAYVPTAWKNLPATSETFPATSRVFPSTSH FQPASSNAFRGPSAASESPKSLPFALQDPYACVEALPAVPWVPYPDGNASSACKSVPAIL MVAAAAPQASATAAEASKSSEPPRRPGKATRKKKHLEPKEDNCGHRLSSRDWRGPRTWGN PSHSDWEIQRAMQLLGDRESLYTPQGLNDWGCPNTSRMPRSLEGPSTSRDQEFCGDSGGS QTWMASEVPSVSRGSSAAQEDPDRESQPLSPLDERANALVQFLLVKDQAKVPVQLSEMVN VVIREYKDDSLDIINRANTKLECTFGCQLKEVDTKTHTYIIVNKMAYPQCNLLASYLERP KFSLLMVVLSLIFMKGYCIRENLLFSFLFQLGLDVQETSGLFRITKKLITSVFVRHRYLE YRQIPFTEPAEYELLWGPRAFLETNRVHILRFLAALYENQPQIWSCQYLDSLAELEYKDA NAAAEESHDSDDDAHDPTSSPHPH >ENSMUSP00000112635.2 pep:known chromosome:GRCm38:2:84669215:84670770:-1 gene:ENSMUSG00000076437.10 transcript:ENSMUST00000117299.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700094K13Rik description:RIKEN cDNA 2700094K13 gene [Source:MGI Symbol;Acc:MGI:1919907] MAPHGRKRKAGAAPMETVDKREKLAEGATVVIEHCTSURVYGRHAAALSQALQLEAPELP VQVNPSKPRRGSFEVTLLRSDNSRVELWTGIKKGPPRKLKFPEPQEVVEELKKYLS >ENSMUSP00000099707.3 pep:known chromosome:GRCm38:2:84669221:84670708:-1 gene:ENSMUSG00000076437.10 transcript:ENSMUST00000102647.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700094K13Rik description:RIKEN cDNA 2700094K13 gene [Source:MGI Symbol;Acc:MGI:1919907] MAPHGRKRKAGAAPMETVDKREKLAEGATVVIEHCTSURVYGRHAAALSQALQLEAPELP VQVNPSKPRRGSFEVTLLRSDNSRVELWTGIKKGPPRKLKFPEPQEVVEELKKYLS >ENSMUSP00000139830.1 pep:known chromosome:GRCm38:2:84669307:84670676:-1 gene:ENSMUSG00000076437.10 transcript:ENSMUST00000189636.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700094K13Rik description:RIKEN cDNA 2700094K13 gene [Source:MGI Symbol;Acc:MGI:1919907] MAPHGRKRKAGAAPMETVDKREKLAEGATVVIEHCTSURVYGRHAAALSQALQLEAPELP VQVNPSKPRRGSFEVTLLRSDNSRVELWTGIKKGPPRKLKFPEPQELSVPGDVEAFMMVH GQT >ENSMUSP00000099706.1 pep:known chromosome:GRCm38:2:84669695:84670697:-1 gene:ENSMUSG00000076437.10 transcript:ENSMUST00000102646.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700094K13Rik description:RIKEN cDNA 2700094K13 gene [Source:MGI Symbol;Acc:MGI:1919907] MAPHGRKRKAGAAPMETVDKREKLAEGATVVIEHCTSURVYGRHAAALSQALQLEAPELP VQVNPSKPRRGSFEVTLLRSDNSRVELWTGIKKGPPRKLKFPEPQEVVEELKKYLS >ENSMUSP00000139492.1 pep:known chromosome:GRCm38:2:84670017:84670654:-1 gene:ENSMUSG00000076437.10 transcript:ENSMUST00000189988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700094K13Rik description:RIKEN cDNA 2700094K13 gene [Source:MGI Symbol;Acc:MGI:1919907] MAPHGRKRKAGAAPMETVDKREKLAEGATVVIEHCTSURVYGRHAAALSQALQLEAPELP VQVNPSKPRRGSFEVLNSGLVLRRALHESSNFLSLKRWLKN >ENSMUSP00000045369.4 pep:known chromosome:GRCm38:7:62346569:62350262:1 gene:ENSMUSG00000033585.5 transcript:ENSMUST00000038775.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndn description:necdin [Source:MGI Symbol;Acc:MGI:97290] MSEQSKDLSDPNFAAEVPDCEMQDSDAVPVGIPPPASLAANLAGPPCAPEGPMAAQQASP PPEERIEDVDPKILQQAAEEGRAHQPQSPARPIPAPPAPAQLVQKAHELMWYVLVKDQKR MVLWFPDMVKEVMGSYKKWCRSILRRTSVILARVFGLHLRLTNLHTMEFALVKALSPEEL DRVALNNRMPMTGLLLMILSLIYVKGRGAREGAVWNVLRILGLRPWKKHSTFGDVRKIIT EEFVQQNYLKYQRVPHIEPPEYEFFWGSRANREITKMQIMEFLARVFKKDPQAWPSRYRE ALEQARALREANLAAQAPRSSVSED >ENSMUSP00000091898.3 pep:known chromosome:GRCm38:7:62417593:62420139:-1 gene:ENSMUSG00000070527.3 transcript:ENSMUST00000094340.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkrn3 description:makorin, ring finger protein, 3 [Source:MGI Symbol;Acc:MGI:2181178] MEESTAPIEAHAAAGAEAGAEGGEGVSVPPPPQFEAAGASAGVSSAPLQQASGLAPLLVT PGPAIRRAASLRPAPAEGGGARSGPERNSGSWTKQILCRYYLHGQCKEGDNCRYSHDLSG RRRSRGGQDAQPRASADRGPKMATRWEPPTQEVAEAPPAASSSSLPLIGSAAERGFTEAE IDNAGIRSAAERGFSEAEIDNASLAAGAAAGAGAEGWEGAIEFVPGQPYRGRMVPPHGPE APLQSPAIEREHMAMGMGMPMPVPMPMPVPMPVPMPLPLCRYAARGQCLRGDRCAYPHGE ICDMCGQQALHPWDAAQQEAHRRACVEAHERDMELSFAVQRSMDKVCGICMEVVYEKADP SDRRFGILFSCNHTYCLRCIRRWRSATQFENRISKSCPQCRVSSGFVIPSEFWVEEEEEK EKLVQQYKEGMSQKACRYFAGGLGHCPFGEFCFYKHEYPEGWRDQPPRPDGGGSSSAYWH QVLEPVQLREGNVLFKSRKKEHSVLRLANQLLKKLLCLRGSSSFSDDRWLLLQYQLEEYF SLNL >ENSMUSP00000127955.1 pep:known chromosome:GRCm38:15:76306487:76328015:-1 gene:ENSMUSG00000022562.13 transcript:ENSMUST00000165279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oplah description:5-oxoprolinase (ATP-hydrolysing) [Source:MGI Symbol;Acc:MGI:1922725] MGSPEERFHFAIDRGGTFTDVFAQCPGGHVRVLKLLSEDPANYADAPTEGIRR >ENSMUSP00000023222.6 pep:known chromosome:GRCm38:15:76296603:76307245:-1 gene:ENSMUSG00000022562.13 transcript:ENSMUST00000023222.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oplah description:5-oxoprolinase (ATP-hydrolysing) [Source:MGI Symbol;Acc:MGI:1922725] MGSPEERFHFAIDRGGTFTDVFAQCPGGHVRVLKLLSEDPANYADAPTEGIRRILEQERG VLLPRGRPLDTSHIASIRMGTTVATNALLERQGERVALLVTRGFRDLLHIGTQARPDLFD LAVPMPEVLYEEVVEVDERVLLYRGEPGAGSPVKGCTGDLLEIQQPVDLAALRGKLEGLL TRGIHSLAVVLMHSYTWAQHEQQVGTLARELGFTHVSLSSEVMPMVRIVPRGHTACADAY LTPTIQRYVQGFRRGFQGQLKNVQVLFMRSDGGLAPMDAFSGSRAVLSGPAGGVVGYSTT TYQLEGGQPVIGFDMGGTSTDVSRYAGEFEHVFEASTAGVTLQAPQLDINTVAAGGGSRL FFRSGLFVVGPESAGAHPGPACYRKGGPVTVTDANLVLGRLLPASFPCIFGPGEDQPLSP EASRKALEAVAMEVNSFLASGPCPASQLSLEEVAMGFVRVANEAMCRPIRALTQARGHDP SAHVLACFGGAGGQHACAIARALGMDTVHIHRHSGLLSALGLALADVVHEAQEPCSLSYT PETFAQLDQRLSRLEEQCVDALQAQGFSRSQISTESFLHLRYQGTDCALMVSANQHPATT CSPRAGDFGAAFVERYMREFGFIIPERSVVVDDVRVRGTGRSGLQLEETSKIQSGPPHVE KVTQCYFEGGYQETPVYLLGELGYGHQLQGPCLIIDNNSTILVEPGCQAEVIETGDIRIS VGAEAPSMIDTKLDPIQLSIFSHRFMSIAEQMGRILQRTAISTNIKERLDFSCALFGPDG GLVSNAPHIPVHLGAMQETVQFQIQHLGADLHPGDVLLSNHPSAGGSHLPDLTVITPVFW PGQSRPVFYVASRGHHADIGGITPGSMPPHSTTLQQEGAVFLSFKLVQGGVFQEEAVTEA LQAPGKISGCSGTRNLHDNLSDLRAQVAANQKGIQLVGELIGQYGLDVVQAYMGHIQANA ELAVRDMLRAFGTSRQARGLPLEVSAKDHMDDGSPICLHVQINLNQGSAVFDFSGSGSEV FGNLNAPRAITLSALIYCLRCLVGRDIPLNQGCLAPVQVIIPKGSILDPSPEAAVVGGNV LTSQRVVDVILGAFGACAASQGCMNNVTLGNARMGYYETVAGGAGAGPGWHGRSGVHSHM TNTRITDPEILESRYPVILRRFELRPGSGGRGRFRGGDGVVRELVFREEALLSVLTERRA FQPYGLHGGEPGTRGLNLLIRKDGRTVNLGGKTSVTVYPGDAFCLHTPGGGGYGDPEDPA PPPGSPPLYPAFPERGSVYEYRRAQEAV >ENSMUSP00000131967.1 pep:known chromosome:GRCm38:15:76300954:76307389:-1 gene:ENSMUSG00000022562.13 transcript:ENSMUST00000164189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oplah description:5-oxoprolinase (ATP-hydrolysing) [Source:MGI Symbol;Acc:MGI:1922725] MGSPEERFHFAIDRGGTFTDVFAQCPGGHVRVLKLLSEDPANYADAPTEGIRRILEQERG VLLPRGRPLDTSHIASIRMGTTVATNALLERQGERVALLVTRGFRDLLHIGTQARPDLFD LAVPMPEVLYEEVVEVDERVLLYRGEPGAGSPVKGCTGDLLEIQQPVDLAALRGKLEGLL TRGIHSLAVVLMHSYTWAQHEQQVGTLARELGFTHVSLSSEVMPMVRIVPRGHTACADAY LTPTIQRYVQGFRRGFQGQLKNVQVLFMRSDGGLAPMDAFSGSRAVLSGPAGGVVGYSTT TYQLEGGQPVIGFDMGGTSTDVSRYAGEFEHVFEASTAGVTLQAPQLDINTVAAGGGSRL FFRSGLFVVGPESAGAHPGPACYRKGGPVTVTDANLVLGRLLPASFPCIFGPGEDQPLSP EASRKALEAVAMEVNSFLASGPCPASQLSLEEVAMGFVRVANEAMCRPIRALTQARGHDP SAHVLACFGGAGGQHACAIARALGMDTVHIHRHSGLLSALGLALADVVHEAQEPCSLSYT PETFAQLDQRLSRLEEQCVDALQAQGFSRSQISTESFLHLRYQGTDCALMVSANQHPATT CSPRAGDFGAAFVERYMREFGFIIPERSVVVDDVRVRGTGRSGLQLEETSKIQSGPPHVE KVTQCYFEGGYQETPVYLLGELGYGHQLQGPCLIIDNNSTILVEPGCQAEVIETGDIRIS VGAEAPSMIDTKLDPIQLSIFSHRFMSIAEQMGRILQRTAISTNIKERLDFSCALFGPDG GLVSNAPHIPVHLGAMQETVQFQIQHLGADLHPGDVLLSNHPSAGGSHLPDLTVITPVFW PGQSRPVFYVASR >ENSMUSP00000129100.1 pep:known chromosome:GRCm38:15:76296597:76307101:-1 gene:ENSMUSG00000022562.13 transcript:ENSMUST00000171340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oplah description:5-oxoprolinase (ATP-hydrolysing) [Source:MGI Symbol;Acc:MGI:1922725] MGSPEERFHFAIDRGGTFTDVFAQCPGGHVRVLKLLSEDPANYADAPTEGIRRILEQERG VLLPRGRPLDTSHIASIRMGTTVATNALLERQGERVALLVTRGFRDLLHIGTQARPDLFD LAVPMPEVLYEEVVEVDERVLLYRGEPGAGSPVKGCTGDLLEIQQPVDLAALRGKLEGLL TRGIHSLAVVLMHSYTWAQHEQQVGTLARELGFTHVSLSSEVMPMVRIVPRGHTACADAY LTPTIQRYVQGFRRGFQGQLKNVQVLFMRSDGGLAPMDAFSGSRAVLSGPAGGVVGYSTT TYQLEGGQPVIGFDMGGTSTDVSRYAGEFEHVFEASTAGVTLQAPQLDINTVAAGGGSRL FFRSGLFVVGPESAGAHPGPACYRKGGPVTVTDANLVLGRLLPASFPCIFGPGEDQPLSP EASRKALEAVAMEVNSFLASGPCPASQLSLEEVAMGFVRVANEAMCRPIRALTQARGHDP SAHVLACFGGAGGQHACAIARALGMDTVHIHRHSGLLSALGLALADVVHEAQEPCSLSYT PETFAQLDQRLSRLEEQCVDALQAQGFSRSQISTESFLHLRYQGTDCALMVSANQHPATT CSPRAGDFGAAFVERYMREFGFIIPERSVVVDDVRVRGTGRSGLQLEETSKIQSGPPHVE KVTQCYFEGGYQETPVYLLGELGYGHQLQGPCLIIDNNSTILVEPGCQAEVIETGDIRIS VGAEAPSMIDTKLDPIQLSIFSHRFMSIAEQMGRILQRTAISTNIKERLDFSCALFGPDG GLVSNAPHIPVHLGAMQETVQFQIQHLGADLHPGDVLLSNHPSAGGSHLPDLTVITPVFW PGQSRPVFYVASRGHHADIGGITPGSMPPHSTTLQQEGAVFLSFKLVQGGVFQEEAVTEA LQAPGKISGCSGTRNLHDNLSDLRAQVAANQKGIQLVGELIGQYGLDVVQAYMGHIQANA ELAVRDMLRAFGTSRQARGLPLEVSAKDHMDDGSPICLHVQINLNQGSAVFDFSGSGSEV FGNLNAPRAITLSALIYCLRCLVGRDIPLNQGCLAPVQVIIPKGSILDPSPEAAVVGGNV LTSQRVVDVILGAFGACAASQGCMNNVTLGNARMGYYETVAGGAGAGPGWHGRSGVHSHM TNTRITDPEILESRYPVILRRFELRPGSGGRGRFRGGDGVVRELVFREEALLSVLTERRA FQPYGLHGGEPGTRGLNLLIRKDGRTVNLGGKTSVTVYPGDAFCLHTPGGGGYGDPEDPA PPPGSPPLYPAFPERGSVYEYRRAQEAV >ENSMUSP00000084196.4 pep:known chromosome:GRCm38:1:85938318:85961007:-1 gene:ENSMUSG00000049608.8 transcript:ENSMUST00000086975.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr55 description:G protein-coupled receptor 55 [Source:MGI Symbol;Acc:MGI:2685064] MSQPERDNCSFDSVDKLTRTLQLAVHIPTFLLGLVLNLLAIRGFSAFLKKRKLDYIATSI YMINLAVFDLLLVLSLPFKMVLPQVESPLPSFCTLVECLYFISMYGSVFTICFISLDRFL AIQYPILASHLRSPRKTFGICCIIWMLVWIGSIPIYTFHREVERYKCFHNMSDVTWSASV FFPLEIFGFLLPMGIMGFCSYRSIHILLRRPDSTEDWVQQRDTKGWVQKRACIWTIATNL VIFVVSFLPVHLGFFLQYLVRNRFILDCRMKQGISLFLQLSLCFSNINCCLDVFCYYFVI KEFRMRIKAHRPSTIKLVNQDTMVSRG >ENSMUSP00000141119.1 pep:known chromosome:GRCm38:Y:73669315:73695486:1 gene:ENSMUSG00000099782.1 transcript:ENSMUST00000189346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20903 description:predicted gene, 20903 [Source:MGI Symbol;Acc:MGI:5434259] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000137199.1 pep:known chromosome:GRCm38:11:69983720:69989559:1 gene:ENSMUSG00000018559.16 transcript:ENSMUST00000141623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdnep1 description:CTD nuclear envelope phosphatase 1 [Source:MGI Symbol;Acc:MGI:1914431] MEIYGSAVADKLDNSRSILKRRYYRQHCTLELGSYIKDLSVVHSDLSSIVILDNSPGAYR SHPDNAIPIKSWFSDPSDTALLNLLPMLD >ENSMUSP00000104234.1 pep:known chromosome:GRCm38:11:69981156:69990601:1 gene:ENSMUSG00000018559.16 transcript:ENSMUST00000108593.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdnep1 description:CTD nuclear envelope phosphatase 1 [Source:MGI Symbol;Acc:MGI:1914431] MMRTQCLLGLRTFVAFAAKLWSFFIYLLRRQIRTVIQYQTVRYDILPLSPLSRNRLAQVK RKILVLDLDETLIHSHHDGVLRPTVRPGTPPDFILKVVIDKHPVRFFVHKRPHVDFFLEV VSQWYELVVFTASMEIYGSAVADKLDNSRSILKRRYYRQHCTLELGSYIKDLSVVHSDLS SIVILDNSPGAYRSHPDNAIPIKSWFSDPSDTALLNLLPMLDALRFTADVRSVLSRNLHQ HRLW >ENSMUSP00000027426.4 pep:known chromosome:GRCm38:1:85928483:85931754:1 gene:ENSMUSG00000026224.11 transcript:ENSMUST00000027426.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933407L21Rik description:RIKEN cDNA 4933407L21 gene [Source:MGI Symbol;Acc:MGI:1918391] MHPSETQEPRNHTALRERKPPQQRCCSSPGLSGWLATCSDPLVASSEHRDYRIWELSLPC LLVGSEAWSCCLAANLCQACTAERILQDLERDDEQLPFLAWEVGLFCALAALRGMHYDSW GTQCAFQFPKT >ENSMUSP00000116804.1 pep:known chromosome:GRCm38:1:85928727:85940624:1 gene:ENSMUSG00000026224.11 transcript:ENSMUST00000129392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933407L21Rik description:RIKEN cDNA 4933407L21 gene [Source:MGI Symbol;Acc:MGI:1918391] MHPSETQEPRNHTALRERKPPQQRCCSSPGLSGWLATCSDPLVASSEHRDYRLSNRMERW ES >ENSMUSP00000136873.1 pep:known chromosome:GRCm38:9:65676548:65690300:1 gene:ENSMUSG00000040652.15 transcript:ENSMUST00000046490.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz2 description:ornithine decarboxylase antizyme 2 [Source:MGI Symbol;Acc:MGI:109492] MINTQDSSILPLSKCPQLQCCRHIVPGPLWCDAPHPLSKIPGGRGGGRDPSLSALIYKDE KLTVTQDLPVNDGKPHIVHFQYEVTEVKVSSWDAVLSSQSLFVEIPDGLLADGSKEGLLA LLEFAEEKMKVNYVFICFRKGREDRAPLLKTFSFLGFEIVRPGHPCVPSRPDVMFMVYPL DQNLSDED >ENSMUSP00000136082.1 pep:known chromosome:GRCm38:9:65676591:65688538:1 gene:ENSMUSG00000040652.15 transcript:ENSMUST00000136166.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz2 description:ornithine decarboxylase antizyme 2 [Source:MGI Symbol;Acc:MGI:109492] MINTQDSSILPLSKCPQLQCCRHIVPGPLWCSDAPHPLSKIPGGRGGGRDPSLSALIYKD EKLTVTQDLPVNDGKPHIVHFQYEVTEVKVSSWDAVLSSQSLFVEIPDGLLADGSKEGLL LYHPDCLGTV >ENSMUSP00000137468.1 pep:known chromosome:GRCm38:9:65687806:65689517:1 gene:ENSMUSG00000040652.15 transcript:ENSMUST00000128664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz2 description:ornithine decarboxylase antizyme 2 [Source:MGI Symbol;Acc:MGI:109492] XLTVTQDLPVNDGKPHIVHFQYEVTEVKVSSWDAVLSSQSLFVEIPDGLLADGSKEGLLA LLEFAEEKMKVNYVFICFRKGREDRGEQSQQSDTYAEGCTPCCTFALPSWRVVAKGKFLR T >ENSMUSP00000136701.1 pep:known chromosome:GRCm38:9:65676586:65690266:1 gene:ENSMUSG00000040652.15 transcript:ENSMUST00000147732.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz2 description:ornithine decarboxylase antizyme 2 [Source:MGI Symbol;Acc:MGI:109492] MINTQDSSILPLSKCPQLQCCRHIVPGPLWCSDAPHPLSKIPGGRGGGRDPSLSALIYKD EKLTVTQDLPVNDGKPHIVHFQYEVTEVKVSSWDAVLSSQSLFVEIPDGLLADGSKEGST PEDVQLLGL >ENSMUSP00000136914.1 pep:known chromosome:GRCm38:9:65676548:65690300:1 gene:ENSMUSG00000040652.15 transcript:ENSMUST00000153700.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaz2 description:ornithine decarboxylase antizyme 2 [Source:MGI Symbol;Acc:MGI:109492] MINTQDSSILPLSKCPQLQCCRHIVPGPLWCSDAPHPLSKIPGGRGGGRDPSLSALIYKD EKLTVTQDLPVNDGKPHIVHFQYEVTEVKVSSWDAVLSSQSLFVEIPDGLLADGSKEGLL ALLEFAEEKMKVNYVFICFRKGREDRAPLLKTFSFLGFEIVRPGHPCVPSRPDVMFMVYP LDQNLSDED >ENSMUSP00000114647.1 pep:known chromosome:GRCm38:5:76199117:76204655:1 gene:ENSMUSG00000029234.13 transcript:ENSMUST00000130842.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem165 description:transmembrane protein 165 [Source:MGI Symbol;Acc:MGI:894407] MAMRYNRLTVLAGAMLALALMTCLSVLFGYATTVIPRVYTYYVSTALFAIFGIRMLREGL KMSPDEGQEELEEVQAELKKKDEEFQRTKL >ENSMUSP00000031144.7 pep:known chromosome:GRCm38:5:76183880:76209245:1 gene:ENSMUSG00000029234.13 transcript:ENSMUST00000031144.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem165 description:transmembrane protein 165 [Source:MGI Symbol;Acc:MGI:894407] MAAAARGSGRAPTRRLLVLLLLQLLWAPAGVRAGPEEDLSHRNQEPPAPAQQLQPQPAAV QGLEPARAEKGLTPVAPVHTNKEDAAAQTNLGFIHAFVAAISVIIVSELGDKTFFIAAIM AMRYNRLTVLAGAMLALALMTCLSVLFGYATTVIPRVYTYYVSTALFAIFGIRMLREGLK MSPDEGQEELEEVQAELKKKDEEFQRTKLLNGPDVETGTSTAIPQKKWLHFISPIFVQAL TLTFLAEWGDRSQLTTIVLAAREDPYGVAVGGTVGHCLCTGLAVIGGRMIAQKISVRTVT IIGGIVFLAFAFSALFISPESGF >ENSMUSP00000042406.6 pep:known chromosome:GRCm38:5:86657631:86676362:-1 gene:ENSMUSG00000035861.6 transcript:ENSMUST00000038448.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss11b description:transmembrane protease, serine 11B [Source:MGI Symbol;Acc:MGI:2442893] MYRPVIASRKSIPPWLIILCVLGVLAALGIIIGLLVHFLAVENKIYYYQGGFKVLDIPYD RNYERETSLESNYLSKILENKMVEAFQNSNIYKQYINSQVITLVPDNNSVTAHIWLVFKD PWSNKENLRRRIESILRQMLENNPESLTTDPGSLKLTEISKVDAEKIINNRCGRRPRMSA TYDRITGGSTAHKGEWPWQASLRVNGKHYCGASLIGERFLLTAAHCFQGTNNPKNLTVSF GTRVTPAYMQHSVQEIIIHEDYVKGEHHDDVAVIKLTEKVSFNNDVHRVCLPESTQIFPP GEGVVVTGWGSFSYNGKSPLLLQKASIKIIDTNTCNSEEAYGGRIVDTMLCAGYLEGSID ACQGDSGGPLVHPNSRDIWYLVGIVSWGHECGRVNKPGVYMRVTSYRNWIASKTGI >ENSMUSP00000010319.7 pep:known chromosome:GRCm38:1:190122453:190169399:-1 gene:ENSMUSG00000010175.13 transcript:ENSMUST00000010319.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prox1 description:prospero homeobox 1 [Source:MGI Symbol;Acc:MGI:97772] MPDHDSTALLSRQTKRRRVDIGVKRTVGTASAFFAKARATFFSAMNPQGSEQDVEYSVVQ HADGEKSNVLRKLLKRANSYEDAMMPFPGATIISQLLKNNMNKNGGTEPSFQASGLSSTG SEVHQEDICSNSSRDSPPECLSPFGRPTMSQFDVDRLCDEHLRAKRARVENIIRGMSHSP SVALRGNENEREMAPQSVSPRESYRENKRKQKLPQQQQQSFQQLVSARKEQKREERRQLK QQLEDMQKQLRQLQEKFYQVYDSTDSENDEDGDLSEDSMRSEILDARAQDSVGRSDNEMC ELDPGQFIDRARALIREQEMAENKPKREGSNKERDHGPNSLQPEGKHLAETLKQELNTAM SQVVDTVVKVFSAKPSRQVPQVFPPLQIPQARFAVNGENHNFHTANQRLQCFGDVIIPNP LDTFGSVQMPSSTDQTEALPLVVRKNSSEQSASGPATGGHHQPLHQSPLSATAGFTTPSF RHPFPLPLMAYPFQSPLGAPSGSFSGKDRASPESLDLTRDTTSLRTKMSSHHLSHHPCSP AHPPSTAEGLSLSLIKSECGDLQDMSDISPYSGSAMQEGLSPNHLKKAKLMFFYTRYPSS NMLKTYFSDVKFNRCITSQLIKWFSNFREFYYIQMEKYARQAINDGVTSTEELSITRDCE LYRALNMHYNKANDFEVPERFLEVAQITLREFFNAIIAGKDVDPSWKKAIYKVICKLDSE VPEIFKSPNCLQELLHE >ENSMUSP00000135066.1 pep:known chromosome:GRCm38:1:190123010:190170680:-1 gene:ENSMUSG00000010175.13 transcript:ENSMUST00000177288.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prox1 description:prospero homeobox 1 [Source:MGI Symbol;Acc:MGI:97772] MPDHDSTALLSRQTKRRRVDIGVKRTVGTASAFFAKARATFFSAMNPQGSEQDVEYSVVQ HADGEKSNVLRKLLKRANSYEDAMMPFPGATIISQLLKNNMNKNGGTEPSFQASGLSSTG SEVHQEDICSNSSRDSPPECLSPFGRPTMSQFDVDRLCDEHLRAKRARVENIIRGMSHSP SVALRGNENEREMAPQSVSPRESYRENKRKQKLPQQQQQSFQQLVSARKEQKREERRQLK QQLEDMQKQLRQLQEKFYQVYDSTDSENDEDGDLSEDSMRSEILDARAQDSVGRSDNEMC ELDPGQFIDRARALIREQEMAENKPKREGSNKERDHGPNSLQPEGKHLAETLKQELNTAM SQVVDTVVKVFSAKPSRQVPQVFPPLQIPQARFAVNGENHNFHTANQRLQCFGDVIIPNP LDTFGSVQMPSSTDQTEALPLVVRKNSSEQSASGPATGGHHQPLHQSPLSATAGFTTPSF RHPFPLPLMAYPFQSPLGAPSGSFSGKDRASPESLDLTRDTTSLRTKMSSHHLSHHPCSP AHPPSTAEGLSLSLIKSECGDLQDMSDISPYSGSAMQEGLSPNHLKKAKLMFFYTRYPSS NMLKTYFSDVKFNRCITSQLIKWFSNFREFYYIQMEKYARQAINDGVTSTEELSITRDCE LYRALNMHYNKANDFEVPERFLEVAQITLREFFNAIIAGKDVDPSWKKAIYKVICKLDSE VPEIFKSPNCLQELLHE >ENSMUSP00000135703.1 pep:known chromosome:GRCm38:1:190118035:190170714:-1 gene:ENSMUSG00000010175.13 transcript:ENSMUST00000175916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prox1 description:prospero homeobox 1 [Source:MGI Symbol;Acc:MGI:97772] MPDHDSTALLSRQTKRRRVDIGVKRTVGTASAFFAKARATFFSAMNPQGSEQDVEYSVVQ HADGEKSNVLRKLLKRANSYEDAMMPFPGATIISQLLKNNMNKNGGTEPSFQASGLSSTG SEVHQEDICSNSSRDSPPECLSPFGRPTMSQFDVDRLCDEHLRAKRARVENIIRGMSHSP SVALRGNENEREMAPQSVSPRESYRENKRKQKLPQQQQQSFQQLVSARKEQKREERRQLK QQLEDMQKQLRQLQEKFYQVYDSTDSENDEDGDLSEDSMRSEILDARAQDSVGRSDNEMC ELDPGQFIDRARALIREQEMAENKPKREGSNKERDHGPNSLQPEGKHLAETLKQELNTAM SQVVDTVVKVFSAKPSRQVPQVFPPLQIPQARFAVNGENHNFHTANQRLQCFGDVIIPNP LDTFGSVQMPSSTDQTEALPLVVRKNSSEQSASGPATGGHHQPLHQSPLSATAGFTTPSF RHPFPLPLMAYPFQSPLGAPSGSFSGKDRASPESLDLTRDTTSLRTKMSSHHLSHHPCSP AHPPSTAEGLSLSLIKSECGDLQDMSDISPYSGSAMQEGLSPNHLKKAKLMFFYTRYPSS NMLKTYFSDVKFNRCITSQLIKWFSNFREFYYIQMEKYARQAINDGVTSTEELSITRDCE LYRALNMHYNKANDFEVPERFLEVAQITLREFFNAIIAGKDVDPSWKKAIYKVICKLDSE VPEIFKSPNCLQELLHE >ENSMUSP00000120047.1 pep:known chromosome:GRCm38:6:136933611:136939591:-1 gene:ENSMUSG00000030220.13 transcript:ENSMUST00000154440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgdib description:Rho, GDP dissociation inhibitor (GDI) beta [Source:MGI Symbol;Acc:MGI:101940] MTEKDAQPQLEEADDDLDSKLNYKPPPQKSLKELQEMDKDDESLTKYKKT >ENSMUSP00000145103.1 pep:known chromosome:GRCm38:6:136924212:136941868:-1 gene:ENSMUSG00000030220.13 transcript:ENSMUST00000204934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgdib description:Rho, GDP dissociation inhibitor (GDI) beta [Source:MGI Symbol;Acc:MGI:101940] MDLTGDLEALKKDTFVLKEGIEYRVKINFKVNKDIVSGLKYVQHTYRTGMRVDKATFMVG SYGPRPEEYEFLTPVEEAPKGMLARGTYH >ENSMUSP00000107522.1 pep:known chromosome:GRCm38:6:136924001:136941899:-1 gene:ENSMUSG00000030220.13 transcript:ENSMUST00000111891.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgdib description:Rho, GDP dissociation inhibitor (GDI) beta [Source:MGI Symbol;Acc:MGI:101940] MTEKDAQPQLEEADDDLDSKLNYKPPPQKSLKELQEMDKDDESLTKYKKTLLGDVPVVAD PTVPNVTVTRLSLVCDSAPGPITMDLTGDLEALKKDTFVLKEGIEYRVKINFKVNKDIVS GLKYVQHTYRTGMRVDKATFMVGSYGPRPEEYEFLTPVEEAPKGMLARGTYHNKSFFTDD DKQDHLTWEWNLAIKKDWTE >ENSMUSP00000032344.5 pep:known chromosome:GRCm38:6:136923655:136941756:-1 gene:ENSMUSG00000030220.13 transcript:ENSMUST00000032344.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgdib description:Rho, GDP dissociation inhibitor (GDI) beta [Source:MGI Symbol;Acc:MGI:101940] MTEKDAQPQLEEADDDLDSKLNYKPPPQKSLKELQEMDKDDESLTKYKKTLLGDVPVVAD PTVPNVTVTRLSLVCDSAPGPITMDLTGDLEALKKDTFVLKEGIEYRVKINFKVNKDIVS GLKYVQHTYRTGMRVDKATFMVGSYGPRPEEYEFLTPVEEAPKGMLARGTYHNKSFFTDD DKQDHLTWEWNLAIKKDWTE >ENSMUSP00000107523.1 pep:known chromosome:GRCm38:6:136923655:136941503:-1 gene:ENSMUSG00000030220.13 transcript:ENSMUST00000111892.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgdib description:Rho, GDP dissociation inhibitor (GDI) beta [Source:MGI Symbol;Acc:MGI:101940] MTEKDAQPQLEEADDDLDSKLNYKPPPQKSLKELQEMDKDDESLTKYKKTLLGDVPVVAD PTVPNVTVTRLSLVCDSAPGPITMDLTGDLEALKKDTFVLKEGIEYRVKINFKVNKDIVS GLKYVQHTYRTGMRVDKATFMVGSYGPRPEEYEFLTPVEEAPKGMLARGTYHNKSFFTDD DKQDHLTWEWNLAIKKDWTE >ENSMUSP00000132914.1 pep:known chromosome:GRCm38:X:134686519:134696757:1 gene:ENSMUSG00000049804.9 transcript:ENSMUST00000124226.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx4 description:armadillo repeat containing, X-linked 4 [Source:MGI Symbol;Acc:MGI:2147887] MGRVQDVGWATAGLVIWAGTCYCIYRLTKGRTQSVSGHARNGSRIETETVVGEQNQTLAT SEAMAGREAETRVKTEPETGEGGEPVAEVDSKVPVLVRSSGNCQAKTMLEEEIETQSETS SLVETMVMTEAVTLTESTSQAKEVTMKEAVTQTDAEAEAVGKKEAVTQTKAKAWAMAGRA EVKKEAMTQTKAEARTLAEKETEINRVTVTQSEVLAVTKEVVKIGTMNETGVVAEAMIRP LEETVSVTRTQSEARLDAAVDTKENLNDMSVVVTGVDTKSCAQSQAVAIIQNDDMAGAEA DKEDLKNMSKAGSGIDMKVPGQPHIAANNLAEAVPGAKNDAWDNAKDICEAEADIRTCLI QSETVAKIETEATSSATMDGGKDANAKAMTDVNVTDTQPQAVTSDQTEAMPDAKVKGKGN ASAMAKAGAKANTKTSSQTDALPDAGDKNRSDNNVTAKAETGIDMVSCTQTEPVAKDNAN TTSKEGAQATGQSQGEALPNTKGKARGKAKAKCKAAAGTDTKTCAQPQAGTKTQAEALSD SKVDSKSDSNGVSKAGAKADQKASGQPQPVANCQNEALPGTKNKVKGNPNPTPKTEAGTA TTSSAQTNVTSSQGETTPGAKNKAKGNRNSVPKAGAGPDTTGSAQSQTVANSHSEALPGA KNKVKSNSNVVPKAEAGVGACPQSVPASQGTALTGTKTKVKGNSSAVSKPDAGAGTMGSA HAKTAANSQGETLPGSKNKVKGNSNAVPKAEAGAGTTEPNQPQAEALLGARNKVKGNSNS VPKAESGASTILALASSQAEALLGARNKVRGSSNAAPKAEAGVGARGSAQSQAVVSSQNE ALLGARNKIRSNAGTKSGARTGTRGSAQPQAVVSSQNEALLGARDKGLSSSQVEATGDNR VYAKPMVGAVPASEMVTVAGAQPNVHDYYWNGIGVEDWIAAERWIKFRFQTIDGDWENSV SWTEDESGATIGPWTGAANDKAGLVSSWAVACDESSIKSWTGARPENEVALGSWVSAGDQ ATGAIWAGAQTTDGTWVADKASAGSWTGAENQISAGSWVVSGNQAIAGPWAVSQVTDGSW PAVQASGVSWVVDQATGTWTVAENQTGAVSWAGAGNIVSIGYWTGAVDQTNAVSWTGTTD QVGVEVKPRFEDQASEKGSWVVAGVQTSGETRLGSEDQSSGRSWTETVDQANAASRLGTV DQAGGTSWAGTGDQVGGVSTSGSADQSSSGSWAGTRNLAGERSWTGTGDQSDGAAKPGFE NQTSDEGSWAGTIGQPSGGSKSVSEAQSAGRSWADSADQLSGGFLVGPLDQANGESQPVS GELAASGVDQTSGGGCWTGSGDQSGGESRLGPRDQSNGESWPGTGDQTGGWYCTYTGTQT IGGGPWVGVSGQDVGGPKPVHMNQATGGAWLGTGTQVSAVSWTGDQVGGCSKPGFEDQAI GGGFWAGAGDQTTGGSRPAVSEDQSSGGVSWGGAGGHVIGGSRTDQSSGTSWPGMGSQVS GGSWIGSVDQTSGCTKSGFEDQTCAGGSWVGTGEQTSGDSWPGSRASNEASGGSRLDPQD QASGGSWIRSEDQASGRFLVSAEVEANEGFWFGPGSEAFIGSWCWTEEATILPVAGMKDE ASTESTSGTREETSISSGLGDEKKTSPESWTRSEEAALTGTCDGGEAKTVAGAEAEVDPE SKTRAEAKAEAEAETKVEAEVRVETRAEAGAAATTASEAGAEAGARVEAGAGAEAGMGFW PWNGDDTTKGSRLGAEAEAGAGGGAEAGAETENSMGFWFWNGDAATKGSKLGTEAEAETG IGTGTGAGTGTGTGTGTGVGAGVEVQAVADTSMGFWFWDGAGATKGSRLGTEAEAGVGAG AQAGAEAVGGTSMEFWSWDGDEATKGSRLGAEAEPGTGVVAEPGAETSMGFWFWNEDSTT KGSGLGTEVGAGLGSWTFSTNVNDGEEEEEEDELSRESSPGIEEISLRTLFGAESEDSND LRSTNEKDVSSEPGTGDKADDTKDQFDAANGVDIRSWFYTGNENKCEDESSSQVKVKKST ESRGIYPSMVPGAGMGVWDGTIVCSESKLLHKTSFPGEDGFRRQVSTGVKVHSCNCRCKR TVNLDPHDLEKLICMIEMTEDPSVHEIATNALYNSADYPYPQEIDRNIGGISVIQSLLSN PYPNVRQKALNALNNISVAAENHRTVKTYLSQVCEDTVTYPLNSNVQVAGLRLIKHLTIT SEYQHMVTNYISEFLRLLALGSGETKDHVLGMLVNFSKNPSMTRDLLIANAPTALINIFS KKETKENILNALLLFENINRHFKKRGKTYPQDRFSKTSLYFLFQRPKACAKKLRALAADC SDPEVKERVEVLINKL >ENSMUSP00000140972.1 pep:known chromosome:GRCm38:7:67545376:67645204:-1 gene:ENSMUSG00000030556.13 transcript:ENSMUST00000190276.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc28 description:leucine rich repeat containing 28 [Source:MGI Symbol;Acc:MGI:1915689] MASEICKTISVARLEKHKNLFLNYRNLHHFPLELLKDEGLQHLERLYMKRNSLTTLPENL AQKLPNLVELYLHSNNIVVVPEAIGSLVKLQCLDLSDNALEIVCPEIGGLRALRHLRLAN NQLQFLPPDLGRSRELQYVYVDNNIQLKGLPSYLYNKVI >ENSMUSP00000032775.5 pep:known chromosome:GRCm38:7:67594399:67645207:-1 gene:ENSMUSG00000030556.13 transcript:ENSMUST00000032775.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc28 description:leucine rich repeat containing 28 [Source:MGI Symbol;Acc:MGI:1915689] MASEICKTISVARLEKHKNLFLNYRNLHHFPLELLKDEGLQHLERLYMKRNSLTTLPENL AQKLPNLVELYLHSNNIVVVPEAIGSLVKLQCLDLSDNALEIVCPEIGGLRALRHLRLAN NQLQFLPPDFGSETLSTFLTHEDDMATSVPLHVV >ENSMUSP00000139606.1 pep:known chromosome:GRCm38:7:67618062:67645268:-1 gene:ENSMUSG00000030556.13 transcript:ENSMUST00000189836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc28 description:leucine rich repeat containing 28 [Source:MGI Symbol;Acc:MGI:1915689] MASEICKTISVARLEKHKNLFLNYRNLHHFPLELLKDEGLQHLERLYMKRNSLTTLPENL AQKLPNLVELLGLFSSLGWYLHSNNIVVVPEAIGSLVKLQCLDLSDNALEIVCP >ENSMUSP00000140382.1 pep:known chromosome:GRCm38:7:67529586:67645207:-1 gene:ENSMUSG00000030556.13 transcript:ENSMUST00000191035.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc28 description:leucine rich repeat containing 28 [Source:MGI Symbol;Acc:MGI:1915689] MASEICKTISVARLEKHKNLFLNYRNLHHFPLELLKDEGLQHLERLYMKRNSLTTLPENL AQKLPNLVELYLHSNNIVVVPEAIGSLVKLQCLDLSDNALEIVCPEIGGLRALRHLRLAN NQLQFLPPVPHCGPQSAMLCAAPSLPAAQPQ >ENSMUSP00000140207.1 pep:known chromosome:GRCm38:7:67513412:67645207:-1 gene:ENSMUSG00000030556.13 transcript:ENSMUST00000187953.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc28 description:leucine rich repeat containing 28 [Source:MGI Symbol;Acc:MGI:1915689] MASEICKTISVARLEKHKNLFLNYRNLHHFPLELLKDEGLQHLERLYMKRNSLTTLVPSL K >ENSMUSP00000052177.2 pep:known chromosome:GRCm38:7:67513410:67645231:-1 gene:ENSMUSG00000030556.13 transcript:ENSMUST00000053950.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc28 description:leucine rich repeat containing 28 [Source:MGI Symbol;Acc:MGI:1915689] MASEICKTISVARLEKHKNLFLNYRNLHHFPLELLKDEGLQHLERLYMKRNSLTTLPENL AQKLPNLVELYLHSNNIVVVPEAIGSLVKLQCLDLSDNALEIVCPEIGGLRALRHLRLAN NQLQFLPPEVGDLKELQTLDISSNRLLALPERLHLCLSLQYLTVDRNRLCCVPRHLCQLP SLNELSMAGNHLASLPIDLGRSRELQYVYVDNNIQLKGLPSYLYNKVIGCNGCGIPIQLS EVRLLTFSSGQLTVFLPAEVKTIGTEKDHVLPLQELTMRSLYRTYHGLWKDLNFLSPISL PRSLLELLHCPLGHCHLCSEPMFTFVYPKIFPLRETPMAGLHQRRTSIGFVAYCCSTQCL RTFNLLC >ENSMUSP00000126761.1 pep:known chromosome:GRCm38:8:71488103:71493400:1 gene:ENSMUSG00000007610.15 transcript:ENSMUST00000168847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp3 description:GTP binding protein 3 [Source:MGI Symbol;Acc:MGI:1917609] MWRGLSALVTQAAWAPLRLCARCSTSAESLVPSSTIFALSSGQGRCAIAVIRTSGPASGL ALRSLTALQEPPPARRACLRLLRHPCSGEPLDRSLVLWFPEGPQSFTGEDCVEFHVHGGP AVVSGVLQALGSVPGLRPAEAGEFTRRAFAHGKLSLTEVEGLADLIRAETEAQRRQALRQ LDGELSQLCQGWAKTLTKALAYVEAYIDFGEDDNLEEGVLEQADREVRALEVALGSHLRD ARRGQRLLSGANVVVTGPPNAGKSSLVNLLSQKPVSIVSPEPGTTRDVLETPVDLAGFPV LLSDTAGLREGVGAVEQEGVRRARHRLEQADIILGVLDASDLASSSSCSFLDTVVTPLLA QSQDSGGQRLLLLLNKSDLLSANAPACDIALPPHLLLSCHTGAGMDSLLQALKTELAAVC GDPSTGPPLLTRVRHQYHLQGCLDALGHYQLATDLALAAEALRQARRQLNHLTGGGGTEE ILDLIFQDFCVGK >ENSMUSP00000092892.3 pep:known chromosome:GRCm38:8:71488721:71493400:1 gene:ENSMUSG00000007610.15 transcript:ENSMUST00000095259.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtpbp3 description:GTP binding protein 3 [Source:MGI Symbol;Acc:MGI:1917609] MWRGLSALVTQAAWAPLRLCARCSTSAESLVPSSTIFALSSGQGRCAIAVIRTSGPASGL ALRSLTALQEPPPARRACLRLLRHPCSGEPLDRSLVLWFPGPQSFTGEDCVEFHVHGGPA VVSGVLQALGSVPGLRPAEAGEFTRRAFAHGKLSLTEVEGLADLIRAETEAQRRQALRQL DGELSQLCQGWAKTLTKRTEKFEPWRWRWVPTCEMLGVDKGSSRGQMLWSLDLPMRARAV W >ENSMUSP00000123082.1 pep:known chromosome:GRCm38:8:71488751:71493400:1 gene:ENSMUSG00000007610.15 transcript:ENSMUST00000127741.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtpbp3 description:GTP binding protein 3 [Source:MGI Symbol;Acc:MGI:1917609] MWRGLSALVTQAAWAPLRLCARCSTSAESLVPSSTIFALSSGQGRCAIAVIRTSGPASGL ALRSLTALQEPPPARRACLRLLRHPCSGEPLDRSLVLWFPGPQSFTGEDCVEFHVHGGPA VVSGVLQALGSVPGLRPAEAGEFTRRAFAHGKLSLTEVEGLADLIRAETEAQRRQALRQL DGELSQLCQGWAKTLTKKRASDPITDGCEQPYGCWELNSDFWKSSQCT >ENSMUSP00000114193.1 pep:known chromosome:GRCm38:8:71488728:71499583:1 gene:ENSMUSG00000007610.15 transcript:ENSMUST00000150969.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtpbp3 description:GTP binding protein 3 [Source:MGI Symbol;Acc:MGI:1917609] MWRGLSALVTQAAWAPLRLCARCSTSAESLVPSSTIFALSSGQGRCAIAVIRTSGPASGL ALRSLTALQEPPPARRACLRLLRHPCSGEPLDRSLVLWFPGPQSFTGEDCVEFHVHGGPA VVSGVLQALGSVPGLRPAEAGEFTRRAFAHGKLSLTEVEGLADLIRAETEAQRRQALRQL DGELSQLCQGWAKTLTKALAYVEAYIDFGEDDNLEEGVLEQADREVRALEVALGSHLRDA RRGQRLLSGANVVVTGPPNAGKSSLVNLLSQKPVSIVSPEPGTTRDVLETPVDLAGFPVL LSDTAGLREGVGAVEQEGVRRARHRLEQADIILGVLDASDLASSSSCSFLDTVVTPLLAQ SQDSGGQRLLLLLNKSDLLSANAPACDIALPPHLLLSCHTGAGMDSLLQALKTELAAVCG DPSTGPPLLTRVRHQYHLQGCLDALGHYQLATDLALAAEALRQARRQLNHLTGGGGTEEI LDLIFQDFCVGK >ENSMUSP00000007754.6 pep:known chromosome:GRCm38:8:71488103:71493400:1 gene:ENSMUSG00000007610.15 transcript:ENSMUST00000007754.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp3 description:GTP binding protein 3 [Source:MGI Symbol;Acc:MGI:1917609] MWRGLSALVTQAAWAPLRLCARCSTSAESLVPSSTIFALSSGQGRCAIAVIRTSGPASGL ALRSLTALQEPPPARRACLRLLRHPCSGEPLDRSLVLWFPGPQSFTGEDCVEFHVHGGPA VVSGVLQALGSVPGLRPAEAGEFTRRAFAHGKLSLTEVEGLADLIRAETEAQRRQALRQL DGELSQLCQGWAKTLTKALAYVEAYIDFGEDDNLEEGVLEQADREVRALEVALGSHLRDA RRGQRLLSGANVVVTGPPNAGKSSLVNLLSQKPVSIVSPEPGTTRDVLETPVDLAGFPVL LSDTAGLREGVGAVEQEGVRRARHRLEQADIILGVLDASDLASSSSCSFLDTVVTPLLAQ SQDSGGQRLLLLLNKSDLLSANAPACDIALPPHLLLSCHTGAGMDSLLQALKTELAAVCG DPSTGPPLLTRVRHQYHLQGCLDALGHYQLATDLALAAEALRQARRQLNHLTGGGGTEEI LDLIFQDFCVGK >ENSMUSP00000102891.2 pep:known chromosome:GRCm38:3:94837567:94882326:1 gene:ENSMUSG00000038902.14 transcript:ENSMUST00000107270.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pogz description:pogo transposable element with ZNF domain [Source:MGI Symbol;Acc:MGI:2442117] MADTDLFMECEEEELEPWQKISDVIEDSVVEDYNSVDKTTSVSVSQQPVSAPVPIAAHAS VAGHLSTSTTVSNSGAQNSDSTKKTLVTLIANNNAGNTLVQQGGQPLILTQNPAPGLGTM VTQPVLRPVQVMQNANHVTSSPVASQPIFITTQGFPVRNVRPVQNAMNQVGIVLNVQQGQ TVRPITLVPAPGTQFVKPTVGVPQVFSQMTPVRPGSTMPVRPTTNTFTTVIPATLTIRST VPQSQSQQTKSTPSTSTTPTATQPTSLGQLAGQPPGQSNQTSNPKLAPSFPSPPAVSIAS FVTVKRPGVTGENSNEVAKLVNTLNTVPSLGQSPGPVVVSNNSSAQRTSGPESSVKVTSS IPVFDLQDGGRKICPRCNAQFRVTEALRGHMCYCCPEMVEYQKKGKSLDAEPSVPSAAKP SSPEKTAPVTSTPSSTPIPALSPPTKVPEPNENAGDAVQTKLIMLVDDFYYGRDGGKAAQ LTSFPKVATSFRCPHCTKRLKNNIRFMNHMKHHVELDQQNGEVDGHTICQHCYRQFSTPF QLQCHLENVHSPYESTTKCKICEWAFESEPLFLQHMKDTHKPGEMPYVCQVCQYRSSLYS EVDVHFRMIHEDTRHLLCPYCLKVFKNGNAFQQHYMRHQKRNVYHCNKCRLQFLFAKDKI EHKLQHHKTFRKPKQLEGLKPGTKVTIRASRGQPRTVPVSSNDAPSGTLQEAAALTSTDP LPVFLYPPVQRNIQKRAVRKMSVMGRQTCLECSFEIPDFPNHFPTYVHCSLCRYSTCCSR AYANHMINNHVPRKSPKYLALFKNSVSGIKLACTSCTFATSVGDAMAKHLVFNPSHRSSN ILPRGLSWMSHLRPGQASERVFDWSMKNTYLPPPLVPNKAATVKPVGVTPAEPQELAGPV LQALPSPASTATPPATPTHPQPSALPPSATEGTECLNVSEQEEGSPVTQDPEPASGGGGG SGVGKKEQLSVKKLRVVLFALCCNTEQAAEHFRNPQRRIRRWLRRFQASQGENLEGKYLS FEAEEKLAEWVLIQREQQLPVNEETLFQKATKIGRSLEGGFKISYEWAVRFMLRHHLTPH ARRAVAHTLPKHVAENAGLFIEFVQRQIHNQDLPLSMIVAIDEISLFLDTEVLSSDDRKE NALQTVGTGEPWCDVVLAILADGTVLPTLVFFRGQANRFANVPDSILLEAKDSGYSDDEI MELWSTRVWKKHTACQHSKSMLVMDCHRTHLSEEVLALLSASSTLPAVVPAGCSSKIQPL DVCIKRTVKNFLHKKWKEQAREMADAACDSDVLLQLVLVWLGEVLGVIGDSPELVQRSFL VASVLPGPDGNVNSPTRNADMQEELIASLEEQLKLNGEQSEEHSASAPRPRSSPEETVEP ESLHQLFEGESETESFYGFEEADLDLMEI >ENSMUSP00000102887.1 pep:known chromosome:GRCm38:3:94837713:94880332:1 gene:ENSMUSG00000038902.14 transcript:ENSMUST00000107266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pogz description:pogo transposable element with ZNF domain [Source:MGI Symbol;Acc:MGI:2442117] MADTDLFMECEEEELEPWQKISDVIEDSVVEDYNSVDKTTSAGNTLVQQGGQPLILTQNP APGLGTMVTQPVLRPVQVMQNANHVTSSPVASQPIFITTQGFPVRNVRPVQNAMNQVGIV LNVQQGQTVRPITLVPAPGTQFVKPTVGVPQVFSQMTPVRPGSTMPVRPTTNTFTTVIPA TLTIRSTVPQSQSQQTKSTPSTSTTPTATQPTSLGQLAGQPPGQSNQTSNPKLAPSFPSP PAVSIASFVTVKRPGVTGENSNEVAKLVNTLNTVPSLGQSPGPVVVSNNSSAQRTSGPES SVKVTSSIPVFDLQDGGRKICPRCNAQFRVTEALRGHMCYCCPEMVEYQKKGKSLDAEPS VPSAAKPSSPEKTAPVTSTPSSTPIPALSPPTKVPEPNENAGDAVQTKLIMLVDDFYYGR DGGKAAQLTSFPKVATSFRCPHCTKRLKNNIRFMNHMKHHVELDQQNGEVDGHTICQHCY RQFSTPFQLQCHLENVHSPYESTTKCKICEWAFESEPLFLQHMKDTHKPGEMPYVCQVCQ YRSSLYSEVDVHFRMIHEDTRHLLCPYCLKVFKNGNAFQQHYMRHQKRNVYHCNKCRLQF LFAKDKIEHKLQHHKTFRKPKQLEGLKPGTKVTIRASRGQPRTVPVSSNDAPSGTLQEAA ALTSTDPLPVFLYPPVQRNIQKRAVRKMSVMGRQTCLECSFEIPDFPNHFPTYVHCSLCR YSTCCSRAYANHMINNHVPRKSPKYLALFKNSVSGIKLACTSCTFATSVGDAMAKHLVFN PSHRSSNILPRGLSWMSHLRPGQASERVFDWSMKNTYLPPPLVPNKAATVKPVGVTPAEP QELAGPVLQALPSPASTATPPATPTHPQPSALPPSATEGTECLNVSEQEEGSPVTQDPEP ASGGGGGSGVGKKEQLSVKKLRVVLFALCCNTEQAAEHFRNPQRRIRRWLRRFQASQGEN LEGKYLSFEAEEKLAEWVLIQREQQLPVNEETLFQKATKIGRSLEGGFKISYEWAVRFML RHHLTPHARRAVAHTLPKHVAENAGLFIEFVQRQIHNQDLPLSMIVAIDEISLFLDTEVL SSDDRKENALQTVGTGEPWCDVVLAILADGTVLPTLVFFRGQANRFANVPDSILLEAKDS GYSDDEIMELWSTRVWKKHTACQHSKSMLVMDCHRTHLSEEVLALLSASSTLPAVVPAGC SSKIQPLDVCIKRTVKNFLHKKWKEQAREMADAACDSDVLLQLVLVWLGEVLGVIGDSPE LVQRSFLVASVLPGPDGNVNSPTRNADMQEELIASLEEQLKLNGEQSEEHSASAPRPRSS PEETVEPESLHQLFEGESETESFYGFEEADLDLMEI >ENSMUSP00000037523.5 pep:known chromosome:GRCm38:3:94837713:94880875:1 gene:ENSMUSG00000038902.14 transcript:ENSMUST00000042402.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pogz description:pogo transposable element with ZNF domain [Source:MGI Symbol;Acc:MGI:2442117] MADTDLFMECEEEELEPWQKISDVIEDSVVEDYNSVDKTTSVSVSQQPVSAPVPIAAHAS VAGHLSTSTTVSNSGAQNSDSTKKTLVTLIANNNAGNTLVQQGGQPLILTQNPAPGLGTM VTQPVLRPVQVMQNANHVTSSPVASQPIFITTQGFPVRNVRPVQNAMNQVGIVLNVQQGQ TVRPITLVPAPGTQFVKPTVGVPQVFSQMTPVRPGSTMPVRPTTNTFTTVIPATLTIRST VPQSQSQQTKSTPSTSTTPTATQPTSLGQLAGQPPGQSNQTSNPKLVSIASFVTVKRPGV TGENSNEVAKLVNTLNTVPSLGQSPGPVVVSNNSSAQRTSGPESSVKVTSSIPVFDLQDG GRKICPRCNAQFRVTEALRGHMCYCCPEMVEYQKKGKSLDAEPSVPSAAKPSSPEKTAPV TSTPSSTPIPALSPPTKVPEPNENAGDAVQTKLIMLVDDFYYGRDGGKAAQLTSFPKVAT SFRCPHCTKRLKNNIRFMNHMKHHVELDQQNGEVDGHTICQHCYRQFSTPFQLQCHLENV HSPYESTTKCKICEWAFESEPLFLQHMKDTHKPGEMPYVCQVCQYRSSLYSEVDVHFRMI HEDTRHLLCPYCLKVFKNGNAFQQHYMRHQKRNVYHCNKCRLQFLFAKDKIEHKLQHHKT FRKPKQLEGLKPGTKVTIRASRGQPRTVPVSSNDAPSGTLQEAAALTSTDPLPVFLYPPV QRNIQKRAVRKMSVMGRQTCLECSFEIPDFPNHFPTYVHCSLCRYSTCCSRAYANHMINN HVPRKSPKYLALFKNSVSGIKLACTSCTFATSVGDAMAKHLVFNPSHRSSNILPRGLSWM SHLRPGQASERVFDWSMKNTYLPPPLVPNKAATVKPVGVTPAEPQELAGPVLQALPSPAS TATPPATPTHPQPSALPPSATEGTECLNVSEQEEGSPVTQDPEPASGGGGGSGVGKKEQL SVKKLRVVLFALCCNTEQAAEHFRNPQRRIRRWLRRFQASQGENLEGKYLSFEAEEKLAE WVLIQREQQLPVNEETLFQKATKIGRSLEGGFKISYEWAVRFMLRHHLTPHARRAVAHTL PKHVAENAGLFIEFVQRQIHNQDLPLSMIVAIDEISLFLDTEVLSSDDRKENALQTVGTG EPWCDVVLAILADGTVLPTLVFFRGQANRFANVPDSILLEAKDSGYSDDEIMELWSTRVW KKHTACQHSKSMLVMDCHRTHLSEEVLALLSASSTLPAVVPAGCSSKIQPLDVCIKRTVK NFLHKKWKEQAREMADAACDSDVLLQLVLVWLGEVLGVIGDSPELVQRSFLVASVLPGPD GNVNSPTRNADMQEELIASLEEQLKLNGEQSEEHSASAPRPRSSPEETVEPESLHQLFEG ESETESFYGFEEADLDLMEI >ENSMUSP00000102890.1 pep:known chromosome:GRCm38:3:94837713:94882324:1 gene:ENSMUSG00000038902.14 transcript:ENSMUST00000107269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pogz description:pogo transposable element with ZNF domain [Source:MGI Symbol;Acc:MGI:2442117] MADTDLFMECEEEELEPWQKISDVIEDSVVEDYNSVDKTTSVSVSQQPVSAPVPIAAHAS VAGHLSTSTTVSNSGAQNSDSTKKTLVTLIANNNAPGTQFVKPTVGVPQVFSQMTPVRPG STMPVRPTTNTFTTVIPATLTIRSTVPQSQSQQTKSTPSTSTTPTATQPTSLGQLAGQPP GQSNQTSNPKLAPSFPSPPAVSIASFVTVKRPGVTGENSNEVAKLVNTLNTVPSLGQSPG PVVVSNNSSAQRTSGPESSVKVTSSIPVFDLQDGGRKICPRCNAQFRVTEALRGHMCYCC PEMVEYQKKGKSLDAEPSVPSAAKPSSPEKTAPVTSTPSSTPIPALSPPTKVPEPNENAG DAVQTKLIMLVDDFYYGRDGGKAAQLTSFPKVATSFRCPHCTKRLKNNIRFMNHMKHHVE LDQQNGEVDGHTICQHCYRQFSTPFQLQCHLENVHSPYESTTKCKICEWAFESEPLFLQH MKDTHKPGEMPYVCQVCQYRSSLYSEVDVHFRMIHEDTRHLLCPYCLKVFKNGNAFQQHY MRHQKRNVYHCNKCRLQFLFAKDKIEHKLQHHKTFRKPKQLEGLKPGTKVTIRASRGQPR TVPVSSNDAPSGTLQEAAALTSTDPLPVFLYPPVQRNIQKRAVRKMSVMGRQTCLECSFE IPDFPNHFPTYVHCSLCRYSTCCSRAYANHMINNHVPRKSPKYLALFKNSVSGIKLACTS CTFATSVGDAMAKHLVFNPSHRSSNILPRGLSWMSHLRPGQASERVFDWSMKNTYLPPPL VPNKAATVKPVGVTPAEPQELAGPVLQALPSPASTATPPATPTHPQPSALPPSATEGTEC LNVSEQEEGSPVTQDPEPASGGGGGSGVGKKEQLSVKKLRVVLFALCCNTEQAAEHFRNP QRRIRRWLRRFQASQGENLEGKYLSFEAEEKLAEWVLIQREQQLPVNEETLFQKATKIGR SLEGGFKISYEWAVRFMLRHHLTPHARRAVAHTLPKHVAENAGLFIEFVQRQIHNQDLPL SMIVAIDEISLFLDTEVLSSDDRKENALQTVGTGEPWCDVVLAILADGTVLPTLVFFRGQ ANRFANVPDSILLEAKDSGYSDDEIMELWSTRVWKKHTACQHSKSMLVMDCHRTHLSEEV LALLSASSTLPAVVPAGCSSKIQPLDVCIKRTVKNFLHKKWKEQAREMADAACDSDVLLQ LVLVWLGEVLGVIGDSPELVQRSFLVASVLPGPDGNVNSPTRNADMQEELIASLEEQLKL NGEQSEEHSASAPRPRSSPEETVEPESLHQLFEGESETESFYGFEEADLDLMEI >ENSMUSP00000122492.1 pep:known chromosome:GRCm38:3:94864519:94872302:1 gene:ENSMUSG00000038902.14 transcript:ENSMUST00000140397.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pogz description:pogo transposable element with ZNF domain [Source:MGI Symbol;Acc:MGI:2442117] XGVTGENSNEVAKLVNTLNTVPSLGQSPGPVVVSNNSSAQRTSGPESSVKVLLP >ENSMUSP00000133964.1 pep:known chromosome:GRCm38:9:88420629:88456501:-1 gene:ENSMUSG00000092541.7 transcript:ENSMUST00000154586.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20537 description:predicted gene 20537 [Source:MGI Symbol;Acc:MGI:5142002] XRGAAPSRGRGAAPPRGRAGYSQRGGPGSARGVRGARGGAQQQRGRGGKGVEAGPDLLQ >ENSMUSP00000134122.1 pep:known chromosome:GRCm38:9:88407432:88456616:-1 gene:ENSMUSG00000092541.7 transcript:ENSMUST00000173131.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20537 description:predicted gene 20537 [Source:MGI Symbol;Acc:MGI:5142002] XYYDYYGYDYHNYRGGYEDPYYGYEDFQVGARGRGGRGARGAAPSRGRGAAPPRGRAGYS QRGGPGSARGVRGARGGAQQQRGRGGKGVEAGPDLLQ >ENSMUSP00000091897.2 pep:known chromosome:GRCm38:7:62461871:62464510:-1 gene:ENSMUSG00000070526.2 transcript:ENSMUST00000094339.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peg12 description:paternally expressed 12 [Source:MGI Symbol;Acc:MGI:1351637] MPCRREEEAGDEAEGEEDDNSFLLLQQSVTLGGSADVDRLIAQIGETLQLDARHDGPASP CAAPGPPPAPPRVLAALPADKAGAPARRLLRRAASAEAGDPAPPGAVRCVLGERGRLRGR AAPYCVAEIAPGASALPQQLGLEVSPGMGKVSIPQPLSGRCRRGWLRNAAASRRQQQRRG SQSETRTSDGDPPRLLQQLLLSGNLIKEAVRRLHSRGLQLQAKLPAHSFLGPLSAPVHEP PLPGSPRAACSDPGDCDGGHSSELGTTFLSLAANNPGGHSTNL >ENSMUSP00000066244.7 pep:known chromosome:GRCm38:2:165655298:165771727:1 gene:ENSMUSG00000017897.18 transcript:ENSMUST00000063433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya2 description:EYA transcriptional coactivator and phosphatase 2 [Source:MGI Symbol;Acc:MGI:109341] MLEVVTSPSLATSSDWSEHGAAVGTLSDREGIAKSAALSVPQLFVKSHPRVPPGQSSTAM AAYGQTQYSTGIQQAPPYTAYPTPAQAYGIPPYSIKTEDSLNHSPSQSGFLSYGPSFSTA PAGQSPYTYPVHSTAGLYQGANGLTNTAGFGSVHQDYPSYPSFSQNQYPQYFSPSYNPPY VPASSLCSSPLSTSTYVLQEAPHNVPSQSSESLAGDYNTHNGPSTPAKEGDTERPHRASD GKLRGRSKRNSDPSPAGDNEIERVFVWDLDETIIIFHSLLTGTFASRYGKDTTTSVRIGL MMEEMIFNLADTHLFFNDLEDCDQIHVDDVSSDDNGQDLSTYNFSTDGFHSTAPGASLCL GTGVHGGVDWMRKLAFRYRRVKEMYNTYRNNVGGLIGAPKRETWLQLRAELEALTDLWLT HSLKALNLINSRPNCVNVLVTTTQLIPALAKVLLYGLGSVFPIENIYSATKTGKESCFER IMQRFGRKAVYIVIGDGVEEEQGAKKHNMPFWRISCHADLEALRHALELEYL >ENSMUSP00000085455.6 pep:known chromosome:GRCm38:2:165595032:165771719:1 gene:ENSMUSG00000017897.18 transcript:ENSMUST00000088132.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya2 description:EYA transcriptional coactivator and phosphatase 2 [Source:MGI Symbol;Acc:MGI:109341] MLEVVTSPSLATSSDWSEHGAAVGTLSDREGIAKSAALSVPQLFVKSHPRVPPGQSSTAM AAYGQTQYSTGIQQAPPYTAYPTPAQAYGIPPYSIKTEDSLNHSPSQSGFLSYGPSFSTA PAGQSPYTYPVHSTAGLYQGANGLTNTAGFGSVHQDYPSYPSFSQNQYPQYFSPSYNPPY VPASSLCSSPLSTSTYVLQEAPHNVPSQSSESLAGDYNTHNGPSTPAKEGDTERPHRASD GKLRGRSKRNSDPSPAGDNEIERVFVWDLDETIIIFHSLLTGTFASRYGKDTTTSVRIGL MMEEMIFNLADTHLFFNDLEDCDQIHVDDVSSDDNGQDLSTYNFSTDGFHSTAPGASLCL GTGVHGGVDWMRKLAFRYRRVKEMYNTYRNNVGGLIGAPKRETWLQLRAELEALTDLWLT HSLKALNLINSRPNCVNVLVTTTQLIPALAKVLLYGLGSVFPIENIYSATKTGKESCFER IMQRFGRKAVYIVIGDGVEEEQGAKKHNMPFWRISCHADLEALRHALELEYL >ENSMUSP00000063744.5 pep:known chromosome:GRCm38:9:88447009:88482559:-1 gene:ENSMUSG00000032423.12 transcript:ENSMUST00000069221.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syncrip description:synaptotagmin binding, cytoplasmic RNA interacting protein [Source:MGI Symbol;Acc:MGI:1891690] MATEHVNGNGTEEPMDTTSAVIHSENFQTLLDAGLPQKVAEKLDEIYVAGLVAHSDLDER AIEALKEFNEDGALAVLQQFKDSDLSHVQNKSAFLCGVMKTYRQREKQGTKVADSSKGPD EAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGQQPSVGTEIFVGKIPRDLFEDELVP LFEKAGPIWDLRLMMDPLTGLNRGYAFVTFCTKEAAQEAVKLYNNHEIRSGKHIGVCISV ANNRLFVGSIPKSKTKEQILEEFSKVTEGLTDVILYHQPDDKKKNRGFCFLEYEDHKTAA QARRRLMSGKVKVWGNVGTVEWADPIEDPDPEVMAKVKVLFVRNLANTVTEEILEKSFSQ FGKLERVKKLKDYAFIHFDERDGAVKAMEEMNGKDLEGENIEIVFAKPPDQKRKERKAQR QAAKNQMYDDYYYYGPPHMPPPTRGRGRGGRGGYGYPPDYYGYEDYYDYYGYDYHNYRGG YEDPYYGYEDFQVGARGRGGRGARGAAPSRGRGAAPPRGRAGYSQRGGPGSARGVRGARG GAQQQRGRGQGKGVEAGPDLLQ >ENSMUSP00000134342.1 pep:known chromosome:GRCm38:9:88449731:88482248:-1 gene:ENSMUSG00000032423.12 transcript:ENSMUST00000174391.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syncrip description:synaptotagmin binding, cytoplasmic RNA interacting protein [Source:MGI Symbol;Acc:MGI:1891690] MKTYRQREKQGTKVADSSKGPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGQQP SVGTEIFVGKIPRDLFEDELVPLFEKAGPIWDLRLMMDPLTGLNRGYAFVTFCTKEAAQE AVKLYNNHEIRSGKHIGVCISVANNRLFVGSIPKSKTKEQILEEFSKVTEGLTDVILYHQ PDDKKKNRGFCFLEYEDHKTAAQARRRLMSGKVKVWGNVGTVEWADPIEDPDPEVMAKVK VLFVRNLANTVTEEILEKSFSQFGKLERVKKLKDYAFIHFDERDGAVKAMEEMNGKDLEG ENIEIVFAKPPDQKRKERKAQRQAAKNQMYDDYYYYGPPHMPPPTRGRGRGGRGGYGYPP DYYGYEDYYDYYGYDYHNYRGGYEDPYYGYEDFQVGARGRGGRGARGAAPSRGRGAAPPR GRAGYSQRGGPGSARGVRGARGGAQQQRGRGQGKGVEAGPDLLQ >ENSMUSP00000133716.1 pep:known chromosome:GRCm38:9:88452437:88480765:-1 gene:ENSMUSG00000032423.12 transcript:ENSMUST00000174688.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syncrip description:synaptotagmin binding, cytoplasmic RNA interacting protein [Source:MGI Symbol;Acc:MGI:1891690] MATEHVNGNGTEEPMDTTSAVIHSENFQTLLDAGLPQKVAEKLDEIYVAGLVAHSDLDER AIEALKEFNEDGALAVLQQFKDSDLSHVQNKSAFLCGVMKTYRQREKQGTKVADSSKGPD EAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGQQPSVGTEIFVGKIPRDLFEDELVP LFEKAGPIWDLRLMMDPLTGLNRGYAFVTFCTKEAAQEAVKLVKVLFVRNLANTVTEEIL EKSFSQFGKLERVKKLKDYAFIHFDERDGAVKAMEEMNGKDLEGENIEIVFAKPPDQKRK ERKAQRQAAKNQMYDDYYYYGPPHMPPPTRGRGRGGRGGYGYPPDYYGYEDYYDYYGYDY HNYRGGYEDPYYGYEDFQVGARGRGGRGARGAAPSRGRGAAPPRGRAGYSQRGGPGSARG VRGARGGAQQQRGRGQGKGVEAGPDLLQ >ENSMUSP00000134506.1 pep:known chromosome:GRCm38:9:88452640:88482131:-1 gene:ENSMUSG00000032423.12 transcript:ENSMUST00000174269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syncrip description:synaptotagmin binding, cytoplasmic RNA interacting protein [Source:MGI Symbol;Acc:MGI:1891690] MATEHVNGNGTEEPMDTTSAVIHSENFQTLLDAGLPQKVAEKLDEIYVAGLVAHSDLDER AIEALKEFNEDGALAVLQQFKDSDLSHVQNKSAFLCGVMKTYRQREKQGTKVADSSKGPD EAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGQQPSVGTEIFVGKIPRDLFEDELVP LFEKAGPIWDLRLMMDPLTGLNRGYAFVTFCTKEAAQEAVKLYNNHEIRSGKHIGVCISV ANNRLFVGSIPKSKTKEQILEEFSKVTEGLTDVILYHQPDDKKKNRGFCFLEYEDHKTAA QVKVLFVRNLANTVTEEILEKSFSQFGKLERVKKLKDYAFIHFDERDGAVKAMEEMNGKD LEGENIEIVFAKPPDQKRKERKAQRQAAKNQMYDDYYYYGPPHMPPPTRGRGRGGRGGYG YPPDYYGYEDYYDYYGYDYHNYRGGYEDPYYGYEDFQVGARGRGGRGARGAAPSRGRGAA PPRGRAGYSQRGGPGSARGVRGARGGAQQQRGRGQGKGVEAGPDLLQ >ENSMUSP00000134071.1 pep:known chromosome:GRCm38:9:88452689:88482196:-1 gene:ENSMUSG00000032423.12 transcript:ENSMUST00000174282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syncrip description:synaptotagmin binding, cytoplasmic RNA interacting protein [Source:MGI Symbol;Acc:MGI:1891690] MATEHVNGNGTEEPMDTTSAVIHSENFQTLLDAGLPQKVAEKLDEIYVAGLVAHSDLDER AIEALKEFNEDGALAVLQQFKDSDLSHVQNKSAFLCGVMKTYRQREKQGTKVADSSKGPD EAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGQQPSVGTEIFVGKIPRDLFEDELVP LFEKAGPIWDLRLMMDPLTGLNRGYAFVTFCTKEAAQEAVKLYNNHEIRSGKHIGVCISV ANNRLFVGSIPKSKTKEQILEEFSKVTEGLTDVILYHQPDDKKKNRGFCFLEYEDHKTAA QARRRLMSGKVKVWGNVGTVEWADPIEDPDPEVMAKVKVLFVRNLANTVTEEILEKSFSQ FGKLERVKKLKDYAFIHFDERDGAVKAMEEMNGKDLEGENIEIVFAKPPDQKRKERKAQR QAAKNQMYDDYYYYGPPHMPPPTRGRGRGGRGGYGYPPDYYGYEDYYDYYGYDYHNYRGG YEDPYYGYEDFQVGARGRGGRGARGAAPSRGRGAAPPRGRAGYSQRGGPGSARGVRGARG GAQQQRGRGGKGVEAGPDLLQ >ENSMUSP00000133829.1 pep:known chromosome:GRCm38:9:88453938:88482149:-1 gene:ENSMUSG00000032423.12 transcript:ENSMUST00000172828.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syncrip description:synaptotagmin binding, cytoplasmic RNA interacting protein [Source:MGI Symbol;Acc:MGI:1891690] MKTYRQREKQGTKVADSSKGPDEAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSDICG EDPQRSV >ENSMUSP00000133649.1 pep:known chromosome:GRCm38:9:88455578:88482555:-1 gene:ENSMUSG00000032423.12 transcript:ENSMUST00000173801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syncrip description:synaptotagmin binding, cytoplasmic RNA interacting protein [Source:MGI Symbol;Acc:MGI:1891690] MATEHVNGNGTEEPMDTTSAVIHSENFQTLLDAGLPQKVAEKLDEIYVAGLVAHSDLDER AIEALKEFNEDGALAVLQQFKDSDLSHVQNKSAFLCGVMKTYRQREKQGTKVADSSKGPD EAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGQQPSVGTEIFVGKIPRDLFEDELVP LFEKAGPIWDLRLMMDPLTGLNRGYAFVTFCTKEAAQEAVKLYNNHEIRSGKHIGVCISV ANNRLFVGSIPKSKTKEQILEEFSKVTEGLTDVILYHQPDDKKKNRGFCFLEYEDHKTAA QARRRLMSGKVKVWGNVGTVEWADPIEDPDPEVMAKVKVLFVRNLANTVTEEILEKSFSQ FGKLERVKKLKDYAFIHFDERDGAVKAMEEMNGKDLEGENIEIVFAKPPDQKRKERKAQR QAAKNQMYDDYYYYGPPHMPPPTRGRGRGGRGGYGYPPDYYGYEDYYDYYGYDYHNYRGG YEDPYYGYEDFQVGARGRGGRGARGAAPSRGRGAAPPRGRAGYSQRGGPGSARGVRGARG GAQQQRGRGVRGARGGRGGNVGGKRKADGYNQPDTKRRQTNNQNWGSQPIAQQPLQGGDH SGNYGYKSENQEFYQDTFGQQWK >ENSMUSP00000134722.1 pep:known chromosome:GRCm38:9:88456138:88480753:-1 gene:ENSMUSG00000032423.12 transcript:ENSMUST00000174361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syncrip description:synaptotagmin binding, cytoplasmic RNA interacting protein [Source:MGI Symbol;Acc:MGI:1891690] MATEHVNGNGTEEPMDTTSAVIHSENFQTLLDAGLPQKVAEKLDEIYVAGLVAHSDLDER AIEALKEFNEDGALAVLQQFKDSDLSHVQNKSAFLCGVMKTYRQREKQGTKVADSSKGPD EAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGQQPSVGTEIFVGKIPRDLFEDELVP LFEKAGPIWDLRLMMDPLTGLNRGYAFVTFCTKEAAQEAVKLYNNHEIRSGKHIGVCISV ANNRLFVGSIPKSKTKEQILEEFSKVTEGLTDVILYHQPDDKKKNRGFCFLEYEDHKTAA QVKVLFVRNLANTVTEEILEKSFSQFGKLERVKKLKDYAFIHFDERDGAVKAMEEMNGKD LEGENIEIVFAKPPDQKRKERKAQRQAAKNQMYDDYYYYGPPHMPPPTRGRGRGGRGGYG YPPDYYGYEDYYDYYGYDYHNYRGGYEDPYYGYEDFQVGARGRGGRGARGAAPSRGRGAA PPRGRAGYSQRGGPGSARGVRGARGGAQQQRGRGVRGARGGRGGNVGGKRKADGYNQPDT KRRQTNNQNWGSQPIAQQPLQGGDHSGNYGYKSENQEFYQDTFGQQWK >ENSMUSP00000133343.1 pep:known chromosome:GRCm38:9:88476866:88481169:-1 gene:ENSMUSG00000032423.12 transcript:ENSMUST00000173405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syncrip description:synaptotagmin binding, cytoplasmic RNA interacting protein [Source:MGI Symbol;Acc:MGI:1891690] MATEHVNGNGTEEPMDTTSAVIHSENFQTLLDAGLPQKVAEKLDEIYVAGLVAHSDLDER AIEALKEFNEDGALAVLQQFKDSDLSHVQNKSAFLCGVMKTYRQREKQGTKVADSSKGPD EAKIKALLERTGYTLDVTTGQRKYGGPPPDSVYSGQQPSVGTEIFVGKIPRDLFEDELVP LFEKAGPIWDLRLMMD >ENSMUSP00000133292.1 pep:known chromosome:GRCm38:9:88479662:88482574:-1 gene:ENSMUSG00000032423.12 transcript:ENSMUST00000172508.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syncrip description:synaptotagmin binding, cytoplasmic RNA interacting protein [Source:MGI Symbol;Acc:MGI:1891690] MATEHVNGNGTEEPMDTTSAVIHSENFQTLLDAGLPQKVAEKLDEIYVAGLVAHSDLDER AIEALKEFNEDGALAVLQQFKDSDLSHVQNKSAF >ENSMUSP00000107592.1 pep:known chromosome:GRCm38:2:75659280:75666121:1 gene:ENSMUSG00000059005.13 transcript:ENSMUST00000111961.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa3 description:heterogeneous nuclear ribonucleoprotein A3 [Source:MGI Symbol;Acc:MGI:1917171] MEVKPPPGRPQPDSGRRRRRRGEEGHDPKEPEQLRKLFIGGLSFETTDDSLREHFEKWGT LTDCVVMRDPQTKRSRGFGFVTYSCVEEVDAAMCARPHKVDGRVVEPKRAVSREDSVKPG AHLTVKKIFVGGIKEDTEEYNLRDYFEKYGKIETIEVMEDRQSGKKRGFAFVTFDDHDTV DKIVVQKYHTINGHNCEVKKALSKQEMQSAGSQRGRGGGSGNFMGRGGNFGGGGGNFGRG GNFGGRGGYDGYNEGGNFGGGNYGGGGNYNDFGNYSGQQQSNYGPMKGGSFGGRSSGSPY GGGYGSGGGSGGYGSRRF >ENSMUSP00000116125.1 pep:known chromosome:GRCm38:2:75662489:75665455:1 gene:ENSMUSG00000059005.13 transcript:ENSMUST00000141974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa3 description:heterogeneous nuclear ribonucleoprotein A3 [Source:MGI Symbol;Acc:MGI:1917171] QKYHTINGHNCEVKKALSKQEMQSAGSQRGRGGGSGNFMGRGGNFGGGGGNFGRGGNFGG RGGYGGGGGGSRGSYGGGDGGYNGFGGDGGNYGGGPGYSSRGGYGGGGPGYGNQGGGYGG GGGGYDGYNEGGNFGGGNYGGGGNYNDFGNYSGQQQSNYGPMKGGSFGGRSSGSPYGGGY GSGGGSGGYGSRRF >ENSMUSP00000126069.2 pep:known chromosome:GRCm38:2:75659318:75665286:1 gene:ENSMUSG00000059005.13 transcript:ENSMUST00000164947.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa3 description:heterogeneous nuclear ribonucleoprotein A3 [Source:MGI Symbol;Acc:MGI:1917171] MEGHDPKEPEQLRKLFIGGLSFETTDDSLREHFEKWGTLTDCVVMRDPQTKRSRGFGFVT YSCVEEVDAAMCARPHKVDGRVVEPKRAVSREDSVKPGAHLTVKKIFVGGIKEDTEEYNL RDYFEKYGKIETIEVMEDRQSGKKRGFAFVTFDDHDTVDKIVVQKYHTINGHNCEVKKAL SKQEMQSAGSQRGRGGGSGNFMGRGGNFGGGGGNFGRGGNFGGRGGYGGGGGGSRGSYGG GDGGYNGFGGDGGNYGGGPGYSSRGGYGGGGPGYGNQGGGYGGGGGGYDGYNEGGNFGGG NYGGGGNYNDFGNYSGQQQSNYGPMKGGSFGGRSSGSPYGGGYGSGGGSGGYGSRRF >ENSMUSP00000088298.4 pep:known chromosome:GRCm38:2:75659318:75665286:1 gene:ENSMUSG00000059005.13 transcript:ENSMUST00000090792.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa3 description:heterogeneous nuclear ribonucleoprotein A3 [Source:MGI Symbol;Acc:MGI:1917171] MEVKPPPGRPQPDSGRRRRRRGEEGHDPKEPEQLRKLFIGGLSFETTDDSLREHFEKWGT LTDCVVMRDPQTKRSRGFGFVTYSCVEEVDAAMCARPHKVDGRVVEPKRAVSREDSVKPG AHLTVKKIFVGGIKEDTEEYNLRDYFEKYGKIETIEVMEDRQSGKKRGFAFVTFDDHDTV DKIVVQKYHTINGHNCEVKKALSKQEMQSAGSQRGRGGGSGNFMGRGGNFGGGGGNFGRG GNFGGRGGYGGGGGGSRGSYGGGDGGYNGFGGDGGNYGGGPGYSSRGGYGGGGPGYGNQG GGYGGGGGGYDGYNEGGNFGGGNYGGGGNYNDFGNYSGQQQSNYGPMKGGSFGGRSSGSP YGGGYGSGGGSGGYGSRRF >ENSMUSP00000107593.1 pep:known chromosome:GRCm38:2:75659267:75669407:1 gene:ENSMUSG00000059005.13 transcript:ENSMUST00000111962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa3 description:heterogeneous nuclear ribonucleoprotein A3 [Source:MGI Symbol;Acc:MGI:1917171] MEGHDPKEPEQLRKLFIGGLSFETTDDSLREHFEKWGTLTDCVVMRDPQTKRSRGFGFVT YSCVEEVDAAMCARPHKVDGRVVEPKRAVSREDSVKPGAHLTVKKIFVGGIKEDTEEYNL RDYFEKYGKIETIEVMEDRQSGKKRGFAFVTFDDHDTVDKIVVQKYHTINGHNCEVKKAL SKQEMQSAGSQRGRGGGSGNFMGRGGNFGGGGGNFGRGGNFGGRGGYGGGGGGSRGSYGG GDGGYNGFGGDGGNYGGGPGYSSRGGYGGGGPGYGNQGGGYGGGGGGYDGYNEGGNFGGG NYGGGGNYNDFGNYSGQQQSNYGPMKGGSFGGRSSGSPYGGGYGSGGGSGGYGSRRF >ENSMUSP00000107595.1 pep:known chromosome:GRCm38:2:75659261:75665839:1 gene:ENSMUSG00000059005.13 transcript:ENSMUST00000111964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa3 description:heterogeneous nuclear ribonucleoprotein A3 [Source:MGI Symbol;Acc:MGI:1917171] MEVKPPPGRPQPDSGRRRRRRGEEGHDPKEPEQLRKLFIGGLSFETTDDSLREHFEKWGT LTDCVVMRDPQTKRSRGFGFVTYSCVEEVDAAMCARPHKVDGRVVEPKRAVSREDSVKPG AHLTVKKIFVGGIKEDTEEYNLRDYFEKYGKIETIEVMEDRQSGKKRGFAFVTFDDHDTV DKIVVQKYHTINGHNCEVKKALSKQEMQSAGSQRGRGGGSGNFMGRGGNFGGGGGNFGRG GNFGGRGGYGGGGGGSRGSYGGGDGGYNGFGGDGGNYGGGPGYSSRGGYGGGGPGYGNQG GGYGGGGGGYDGYNEGGNFGGGNYGGGGNYNDFGNYSGQQQSNYGPMKGGSFGGRSSGSP YGGGYGSGGGSGGYGSRRF >ENSMUSP00000104235.1 pep:known chromosome:GRCm38:11:69968224:69981252:-1 gene:ENSMUSG00000018565.17 transcript:ENSMUST00000108594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp5 description:elongator acetyltransferase complex subunit 5 [Source:MGI Symbol;Acc:MGI:1859017] MLDSLLAIGGLVLLRDSVEWEGRSLLKALIKKSALRGEQVHVLGCEVSEEEFREGFDSDV NSRLVYHDLFRDPLNWSKPGEAVPEGPLKALRSMCKRTDHGSVTIALDSLSWLLCHIPCV TLCQALHALSQQNGDPGDNSLVEQVRVLGLLHEELHGPGSMGALNTLAHTEVTLSGKVDQ TSASILCRRPQQRATYQTWWFSVLPDFSLTLHEGLPLRSELHPDHHTTQVDPTAHLTFNL HLSKKEREARDSLTLPFQFSSEKQKALLHPVPSRTTGHIFYEPDAFDDVDPEDPDDDLDI >ENSMUSP00000136578.1 pep:known chromosome:GRCm38:11:69969241:69980061:-1 gene:ENSMUSG00000018565.17 transcript:ENSMUST00000127437.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Elp5 description:elongator acetyltransferase complex subunit 5 [Source:MGI Symbol;Acc:MGI:1859017] XDSVEWEGRSLLKALIKKSALRGEQVHVLGCEVSEEEFREGFDSDVNSR >ENSMUSP00000104236.2 pep:known chromosome:GRCm38:11:69970730:69981639:-1 gene:ENSMUSG00000018565.17 transcript:ENSMUST00000108595.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp5 description:elongator acetyltransferase complex subunit 5 [Source:MGI Symbol;Acc:MGI:1859017] MLDSLLAIGGLVLLRDSVEWEGRSLLKALIKKSALRGEQVHVLGCEVSEEEFREGFDSDV NSRLVYHDLFRDPLNWSKPGEAVPEGPLKALRSMCKRTDHGSVTIALDSLSWLLCHIPCV TLCQALHALSQQNGDPGDNSL >ENSMUSP00000117394.2 pep:known chromosome:GRCm38:11:69975103:69982517:-1 gene:ENSMUSG00000018565.17 transcript:ENSMUST00000147437.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp5 description:elongator acetyltransferase complex subunit 5 [Source:MGI Symbol;Acc:MGI:1859017] MLDSLLAIGGLVLLRDSVEWEGRSLLKALIKKSALRGEQVHVLGCEVSEEEFREGFDSDV NSRLVYHDLFRDPLNWSKPGEAVPEGPLKALRSMCKRTDHGSVTIALDSLSWLLC >ENSMUSP00000136484.1 pep:known chromosome:GRCm38:11:69980035:69980696:-1 gene:ENSMUSG00000018565.17 transcript:ENSMUST00000143175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp5 description:elongator acetyltransferase complex subunit 5 [Source:MGI Symbol;Acc:MGI:1859017] MLDSLLAIGGLVLLRDSVEWEGR >ENSMUSP00000136063.1 pep:known chromosome:GRCm38:11:69968222:69980272:-1 gene:ENSMUSG00000018565.17 transcript:ENSMUST00000142788.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Elp5 description:elongator acetyltransferase complex subunit 5 [Source:MGI Symbol;Acc:MGI:1859017] MLDSLLAIGGLVLLRDSVEWEGRSLLKALIKKSALRGEQVHVLGCEVSEEEFREGFDSDV NSR >ENSMUSP00000074075.6 pep:known chromosome:GRCm38:14:51853768:51854643:1 gene:ENSMUSG00000062148.6 transcript:ENSMUST00000074477.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ear6 description:eosinophil-associated, ribonuclease A family, member 6 [Source:MGI Symbol;Acc:MGI:1890463] MGPKLLESQLCLLLMLGLVLMLASCQKPTASQWFATQHITYKANLQCNVEMQAINMHRPR CKGLNTFLHTSFINVVGVCSNPSGLCSDKISQNCHNSSSRVPITVCNLTTPRRNYTQCRY QTKGSVEYYTVACEPRVAWDCPIYPVVPVHLDGTF >ENSMUSP00000127152.1 pep:known chromosome:GRCm38:14:51853699:51854591:1 gene:ENSMUSG00000062148.6 transcript:ENSMUST00000169070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ear6 description:eosinophil-associated, ribonuclease A family, member 6 [Source:MGI Symbol;Acc:MGI:1890463] MGPKLLESQLCLLLMLGLVLMLASCQKPTASQWFATQHITYKANLQCNVEMQAINMHRPR CKGLNTFLHTSFINVVGVCSNPSGLCSDKISQNCHNSSSRVPITVCNLTTPRRNYTQCRY QTKGSVEYYTVACEPRVAWDCPIYPVVPVHLDGTF >ENSMUSP00000145119.1 pep:known chromosome:GRCm38:6:136959261:136963269:1 gene:ENSMUSG00000064330.9 transcript:ENSMUST00000204382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6h description:phosphodiesterase 6H, cGMP-specific, cone, gamma [Source:MGI Symbol;Acc:MGI:1925850] MSDSPSLSPPAPSQGPTTPRKGPPKFKQRQTRQFKSKPPKKGVKGYHGHLSLGSVQPSGA A >ENSMUSP00000145191.1 pep:known chromosome:GRCm38:6:136923832:136963238:1 gene:ENSMUSG00000064330.9 transcript:ENSMUST00000204627.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6h description:phosphodiesterase 6H, cGMP-specific, cone, gamma [Source:MGI Symbol;Acc:MGI:1925850] MSDSPSLSPPAPSQGPTTPRKGPPKFKQRQTRQFKSKPPKKGVKGFGDDIPGMEGLGTDI TVICPWEAFSHLEL >ENSMUSP00000119246.1 pep:known chromosome:GRCm38:6:136954523:136968865:1 gene:ENSMUSG00000064330.9 transcript:ENSMUST00000137768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6h description:phosphodiesterase 6H, cGMP-specific, cone, gamma [Source:MGI Symbol;Acc:MGI:1925850] MSDSPSLSPPAPSQGPTTPRKGPPKFKQRQTRQFKSKPPKKGVKGFGDDIPGMEGLGTDI TVICPWEAFSHLELHELAQFGII >ENSMUSP00000072836.6 pep:known chromosome:GRCm38:3:152273849:152340407:-1 gene:ENSMUSG00000054942.13 transcript:ENSMUST00000073089.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73a description:family with sequence similarity 73, member A [Source:MGI Symbol;Acc:MGI:1924567] MSDETVSRSQFSLKTYAVRVFALPVSWYYSLSQIKFSPVAKKLFMVTAVSAVSVIFLAHH FKRRRGKQKGKVLPWEPEHLLLEHTRRAASEKGSSCSSSRQNLTLSLSSTKEKGSQCCNY PNGGLLSRYSGSAQSLGSVQSVNSCHSCACGNSNSWDKADDDDIRLVNIPVTTPENLYLM GMELFEEALRRWEQALTFRSRQAEDEACSSVKLGAGDAIAEESVDDIISSEFIHKLEALL QRAYRLQEEFEATLGGSDPNSIANDTDKDTDMSLRETMDELGLPDAMNMDSADLFASATE LAEQREAQQTFSLESFCPCPFYEEAMHLVEEGKIYSRVLRTEMLECLGDSDFLAKLHCIR QAFQLILAEADNRSFLAESGRKILSALIVKARKNPKKFQDVFDEMINFLEQTDHWDSTEL ELAARGVKNLNFYDVVLDFILMDSFEDLENPPTSIQSVVNNRWLNSSFKESAVASSCWSV LKQKRQQMKISDGFFAHFYAICEHVSPVLAWGFLGPRNSLYDLCCFFKNQVLFFLKDIFD FEKVRYSSIDTLAEDLTHLLIRRTELLVTCLGADALRHATTCTSGHSHAVPTALLEAKVQ >ENSMUSP00000068261.6 pep:known chromosome:GRCm38:3:152275931:152340405:-1 gene:ENSMUSG00000054942.13 transcript:ENSMUST00000068243.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73a description:family with sequence similarity 73, member A [Source:MGI Symbol;Acc:MGI:1924567] MSDETVSRSQFSLKTYAVRVFALPVSWYYSLSQIKFSPVAKKLFMVTAVSAVSVIFLAHH FKRRRGKQKGKVLPWEPEHLLLEHTRRAASEKGSSCSSSRQNLTLSLSSTKEKGSQCCNY PNGGLLSRYSGSAQSLGSVQSVNSCHSCACGNSNSWDKADDDDIRLVNIPVTTPENLYLM GMELFEEALRRWEQALTFRSRQAEDEACSSVKLGAGDAIAEESVDDIISSEFIHKLEALL QRAYRLQEEFEATLGGSDPNSIANDTDKDTDMSLRETMDELGLPDAMNMDSADLFASATE LILAEADNRSFLAESGRKILSALIVKARKNPKKFQDVFDEMINFLEQTDHWDSTELELAA RGVKNLNFYDVVLDFILMDSFEDLENPPTSIQSVVNNRWLNSSFKESAVASSCWSVLKQK RQQMKISDGFFAHFYAICEHVSPVLAWGFLGPRNSLYDLCCFFKNQVLFFLKDIFDFEKV RYSSIDTLAEDLTHLLIRRTELLVTCLGADALRHATTCTSGHSHAVPTALLEAKVQ >ENSMUSP00000143238.1 pep:known chromosome:GRCm38:3:152281577:152340383:-1 gene:ENSMUSG00000054942.13 transcript:ENSMUST00000199334.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73a description:family with sequence similarity 73, member A [Source:MGI Symbol;Acc:MGI:1924567] MSDETVSRSQFSLKTYAVRVFALPVSWYYSLSQIKFSPVAKKLFMVTAVSAVSVIFLAHH FKRRRGKQKGKVLPWEPEHLLLEHTRRAASEKGSSCSSSRQNLTLSLSSTKEKGSQCCNY PNGGLLSRYSGSAQSLGSVQSVNSCHSCACGNSNSWDKADDDDIRLVNIPVTTPENLYLM GMELFEEALRRWEQALTFRSRQAEDEACSSVKLGAGDAIAEESVDDIISSEFIHKLEALL QRAYRLQEEFEATLGGSDPNSIANDTDKDTDMSLRETMDELGLPDAMNMDSADLFASATE LAEQREAQQTFSLESFCPCPFYEEAMHLVEEGKIYSRVLRTEMLECLGDSDFLAKLHCIR QAFQLILAEADNRSFLAESGRKILSALIVKARKNPKKFQDVFDEMINFLEQTDHWDSTEL ELAARGVKNLNFYDVVLDFILMDSFEDLENPPTSIQSVVNNRWLNSSFKESAVASSCWSV LKQKRQQMKVKLTMPQTPPQFILCGF >ENSMUSP00000143643.1 pep:known chromosome:GRCm38:3:152290681:152317715:-1 gene:ENSMUSG00000054942.13 transcript:ENSMUST00000199443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73a description:family with sequence similarity 73, member A [Source:MGI Symbol;Acc:MGI:1924567] XHKLEALLQRAYRLQEEFEATLGGSDPNSIANDTDKDTDMSLRETMDELGLPDAMNMDSA DLFASATELAEQREAQQTFSLESFCPCPFYEEAMHLVEEGKIYSRVLRYHSSLVHSYYFI ILFL >ENSMUSP00000142667.1 pep:known chromosome:GRCm38:3:152297173:152337934:-1 gene:ENSMUSG00000054942.13 transcript:ENSMUST00000196265.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam73a description:family with sequence similarity 73, member A [Source:MGI Symbol;Acc:MGI:1924567] MSDETVSRSQFSLKTYAVRVFALPVSWYYSLSQIKFSPVAKKLFMVTAVSAVSVIFLAHH FKRRRGKQKGKVLPWEPEHLLLEHTRRAASEKGSSCSSSRQNLTLSLSSTKEKGSQCCNY PNGGLLSRYSGSAQSLGSVWNYLKRRYDDGNKL >ENSMUSP00000143008.1 pep:known chromosome:GRCm38:3:152331395:152340373:-1 gene:ENSMUSG00000054942.13 transcript:ENSMUST00000199397.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73a description:family with sequence similarity 73, member A [Source:MGI Symbol;Acc:MGI:1924567] MSDETVSRSQFSLKTYAVRVFALPVSWYYSLSQIKFSPVAKKLFMVTAVSAVSVIFLAHH FKRRRGKQKGKVLPWEPEHLLLEHTRRAASEKGSSCSSSRQNLTLSLSSTKEKGSQCCNY PNGGLLSRYSGSAQSLGSVRRAYVLLLLLCLLTYLLLA >ENSMUSP00000146648.1 pep:known chromosome:GRCm38:7:119895861:119956963:1 gene:ENSMUSG00000030922.12 transcript:ENSMUST00000207270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm1 description:LYR motif containing 1 [Source:MGI Symbol;Acc:MGI:1921169] MTAATRQEVLCLYRSIFRLARKWQAASGQMEDTIEEKQYILKEARTLFQKNKNLTDPELI KQCIVECTARIEIGLHYQIPYPRPIHLPPMGLTARRGRGLQTQEKLRKLSKPVYLKSHDE VS >ENSMUSP00000146841.1 pep:known chromosome:GRCm38:7:119895867:119951814:1 gene:ENSMUSG00000030922.12 transcript:ENSMUST00000208424.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm1 description:LYR motif containing 1 [Source:MGI Symbol;Acc:MGI:1921169] MTAATRQEVLCLYRSIFRLARKWQAASGQMEDTIEEKQYILKEARTLFQKNKNLTDPELI KQCIVECTARIEIGLHYQIPYPRPIHLPPMGLTARRGRGLQTQEKLRKLSKPVYLKSHDE VS >ENSMUSP00000146699.1 pep:known chromosome:GRCm38:7:119895870:119916670:1 gene:ENSMUSG00000030922.12 transcript:ENSMUST00000208202.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm1 description:LYR motif containing 1 [Source:MGI Symbol;Acc:MGI:1921169] MTAATRQEVLCLYRSIFRLARKWQAASGQMEDTIEEKQYILKEARTLFQKNKNLTDPELI KQCIVECTARIEIGLHYQIPYPRPIHLPPMGLTARRGRGLQTQEKLRKLSKPVYLKSHDE VS >ENSMUSP00000051616.3 pep:known chromosome:GRCm38:7:119895882:119916433:1 gene:ENSMUSG00000030922.12 transcript:ENSMUST00000054440.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm1 description:LYR motif containing 1 [Source:MGI Symbol;Acc:MGI:1921169] MTAATRQEVLCLYRSIFRLARKWQAASGQMEDTIEEKQYILKEARTLFQKNKNLTDPELI KQCIVECTARIEIGLHYQIPYPRPIHLPPMGLTARRGRGLQTQEKLRKLSKPVYLKSHDE VS >ENSMUSP00000102127.1 pep:known chromosome:GRCm38:7:119896292:119916753:1 gene:ENSMUSG00000030922.12 transcript:ENSMUST00000106517.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm1 description:LYR motif containing 1 [Source:MGI Symbol;Acc:MGI:1921169] MTAATRQEVLCLYRSIFRLARKWQAASGQMEDTIEEKQYILKEARTLFQKNKNLTDPELI KQCIVECTARIEIGLHYQIPYPRPIHLPPMGLTARRGRGLQTQEKLRKLSKPVYLKSHDE VS >ENSMUSP00000102126.1 pep:known chromosome:GRCm38:7:119900108:119916756:1 gene:ENSMUSG00000030922.12 transcript:ENSMUST00000106516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm1 description:LYR motif containing 1 [Source:MGI Symbol;Acc:MGI:1921169] MTAATRQEVLCLYRSIFRLARKWQAASGQMEDTIEEKQYILKEARTLFQKNKNLTDPELI KQCIVECTARIEIGLHYQIPYPRPIHLPPMGLTARRGRGLQTQEKLRKLSKPVYLKSHDE VS >ENSMUSP00000102128.1 pep:known chromosome:GRCm38:7:119895861:119916733:1 gene:ENSMUSG00000030922.12 transcript:ENSMUST00000106518.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm1 description:LYR motif containing 1 [Source:MGI Symbol;Acc:MGI:1921169] MTAATRQEVLCLYRSIFRLARKWQAASGQMEDTIEEKQYILKEARTLFQKNKNLTDPELI KQCIVECTARIEIGLHYQIPYPRPIHLPPMGLTARRGRGLQTQEKLRKLSKPVYLKSHDE VS >ENSMUSP00000088263.4 pep:known chromosome:GRCm38:3:97158777:97176574:1 gene:ENSMUSG00000028093.15 transcript:ENSMUST00000090759.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acp6 description:acid phosphatase 6, lysophosphatidic [Source:MGI Symbol;Acc:MGI:1931010] MRVWVPVGVLTSLAYCFHQRRVALAEQRAPNGQRPVDRNLLELKMVQVVFRHGARSPLKP LPLEEQVEWNPKLLEIPPQTRFDYTVTNLAGGPKPHSHYDTEYRKTTLRGGVLAGQLTKV GMQQMFALGEKLRKNYVEDIPFLSPVYNPQEVFIRSTNMFRNLESTRCLLAGLFQHQKGS AVIHTDEASSEVLYPNYQSCWVLKEKTRGRKKAAISQPGISEDLEKVKTGVGINNGDDVD FFVLLDNVAAEQVHSLLNCPALERFAQLIEQRAVDMALYVVEQEDRESIQMAVGPFLHIL EGNLLKTVDPTTAPSKTRKMYLYATHDVTLLPMLLALGIFDQKWPPFAVDLTMELYQHQE SKEWFVQLFYNGKEQVPRGCPDKLCPLDKFLNTMSVYSVSPEKYRTLCSKTRIVELGE >ENSMUSP00000103368.1 pep:known chromosome:GRCm38:11:95044474:95069198:-1 gene:ENSMUSG00000001507.16 transcript:ENSMUST00000107739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga3 description:integrin alpha 3 [Source:MGI Symbol;Acc:MGI:96602] MSYLQTLVWSPCSESVDLQADWREACKARLTHPPSFSSLLAGAPRDLAVGDDYTNRTGAV YLCPLTAHKDDCERMDISEKSDPDHHIIEDMWLGVTVASQGPAGRVLVCAHRYTKVLWSG LEDQRRMVGKCYVRGNDLQLDPGDDWQTYHNEMCNSNTDYLQTGMCQLGTSGGFTQNTVY FGAPGAYNWKGNSYMIQRKDWDLSEYSYRGSEEQGNLYIGYTVQVGNAILHPTDIITVVT GAPRHQHMGAVFLLKQESGGDLQRKQVLKGTQVGAYFGSAIALADLNNDGWQDLLVGAPY YFERKEEVGGAVYVFMNQAGASFPDQPSLLLHGPSRSAFGISIASIGDINQDGFQDIAVG APFEGLGKVYIYHSSSGGLLRQPQQIIHGEKLGLPGLATFGYSLSGKMDVDENLYPDLLV GSLSDHIVLLRARPVINILHRTLVARPAVLDPALCTATSCVQVELCFAYNQSAGNPNYRR NITLAYTLEADRDRRPPRLRFARSQSSVFHGFFSMPETHCQTLELLLMDNVRDKLRPIVI AMNYSLPLRMPDRLKLGLRSLDAYPVLNQAQAMENHTEVHFQKECGPDNKCDSNLQMRAA FLSEQLQPLSRLQYSRDTKKLFLSINVTNSPSSQRAGEDAHEALLTLEVPSALLLSSVRP SGTCQANNETILCELGNPFKRNQRMELLIAFEVIGVTLHTRDLPVLLQLSTSSHQDNLQP VLLTLQVDYTLQASLSLMNHRLQSFFGGTVMGEAAMKTAEDVGSPLKYEFQVSPVGDGLA ALGTLVLGLEWPYEVTNGKWLLYPTEITIHSNGSWPCQPSGNLVNPLNLTLSDPGVTPLS PQRRRRQLDPGGDQSSPPVTLAAAKKAKSETVLTCSNGRARCVWLECPLPDTSNITNVTV KARVWNSTFIEDYKDFDRVRVDGWATLFLRTSIPTINMENKTTWFSVDIDSELVEELPAE IELWLVLVAVGAGLLLLGLIILLLWKCGFFKRARTRALYEAKRQKAEMKSQPSETERLTD DY >ENSMUSP00000001548.7 pep:known chromosome:GRCm38:11:95044474:95076714:-1 gene:ENSMUSG00000001507.16 transcript:ENSMUST00000001548.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga3 description:integrin alpha 3 [Source:MGI Symbol;Acc:MGI:96602] MGPGPCRVPRAPGWLLRALALMVAACGRVAFAFNLDTRFLVVKEAVNPGSLFGYSVALHR QTERQQRYLLLAGAPRDLAVGDDYTNRTGAVYLCPLTAHKDDCERMDISEKSDPDHHIIE DMWLGVTVASQGPAGRVLVCAHRYTKVLWSGLEDQRRMVGKCYVRGNDLQLDPGDDWQTY HNEMCNSNTDYLQTGMCQLGTSGGFTQNTVYFGAPGAYNWKGNSYMIQRKDWDLSEYSYR GSEEQGNLYIGYTVQVGNAILHPTDIITVVTGAPRHQHMGAVFLLKQESGGDLQRKQVLK GTQVGAYFGSAIALADLNNDGWQDLLVGAPYYFERKEEVGGAVYVFMNQAGASFPDQPSL LLHGPSRSAFGISIASIGDINQDGFQDIAVGAPFEGLGKVYIYHSSSGGLLRQPQQIIHG EKLGLPGLATFGYSLSGKMDVDENLYPDLLVGSLSDHIVLLRARPVINILHRTLVARPAV LDPALCTATSCVQVELCFAYNQSAGNPNYRRNITLAYTLEADRDRRPPRLRFARSQSSVF HGFFSMPETHCQTLELLLMDNVRDKLRPIVIAMNYSLPLRMPDRLKLGLRSLDAYPVLNQ AQAMENHTEVHFQKECGPDNKCDSNLQMRAAFLSEQLQPLSRLQYSRDTKKLFLSINVTN SPSSQRAGEDAHEALLTLEVPSALLLSSVRPSGTCQANNETILCELGNPFKRNQRMELLI AFEVIGVTLHTRDLPVLLQLSTSSHQDNLQPVLLTLQVDYTLQASLSLMNHRLQSFFGGT VMGEAAMKTAEDVGSPLKYEFQVSPVGDGLAALGTLVLGLEWPYEVTNGKWLLYPTEITI HSNGSWPCQPSGNLVNPLNLTLSDPGVTPLSPQRRRRQLDPGGDQSSPPVTLAAAKKAKS ETVLTCSNGRARCVWLECPLPDTSNITNVTVKARVWNSTFIEDYKDFDRVRVDGWATLFL RTSIPTINMENKTTWFSVDIDSELVEELPAEIELWLVLVAVGAGLLLLGLIILLLWKCGF FKRARTRALYEAKRQKAEMKSQPSETERLTDDY >ENSMUSP00000113556.1 pep:known chromosome:GRCm38:11:95044474:95076801:-1 gene:ENSMUSG00000001507.16 transcript:ENSMUST00000120375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga3 description:integrin alpha 3 [Source:MGI Symbol;Acc:MGI:96602] MGPGPCRVPRAPGWLLRALALMVAACGRVAFAFNLDTRFLVVKEAVNPGSLFGYSVALHR QTERQQRYLLLAGAPRDLAVGDDYTNRTGAVYLCPLTAHKDDCERMDISEKSDPDHHIIE DMWLGVTVASQGPAGRVLVCAHRYTKVLWSGLEDQRRMVGKCYVRGNDLQLDPGDDWQTY HNEMCNSNTDYLQTGMCQLGTSGGFTQNTVYFGAPGAYNWKGNSYMIQRKDWDLSEYSYR GSEEQGNLYIGYTVQVGNAILHPTDIITVVTGAPRHQHMGAVFLLKQESGGDLQRKQVLK GTQVGAYFGSAIALADLNNDGWQDLLVGAPYYFERKEEVGGAVYVFMNQAGASFPDQPSL LLHGPSRSAFGISIASIGDINQDGFQDIAVGAPFEGLGKVYIYHSSSGGLLRQPQQIIHG EKLGLPGLATFGYSLSGKMDVDENLYPDLLVGSLSDHIVLLRARPVINILHRTLVARPAV LDPALCTATSCVQVELCFAYNQSAGNPNYRRNITLAYTLEADRDRRPPRLRFARSQSSVF HGFFSMPETHCQTLELLLMDNVRDKLRPIVIAMNYSLPLRMPDRLKLGLRSLDAYPVLNQ AQAMENHTEVHFQKECGPDNKCDSNLQMRAAFLSEQLQPLSRLQYSRDTKKLFLSINVTN SPSSQRAGEDAHEALLTLEVPSALLLSSVRPSGTCQANNETILCELGNPFKRNQRMELLI AFEVIGVTLHTRDLPVLLQLSTSSHQDNLQPVLLTLQVDYTLQASLSLMNHRLQSFFGGT VMGEAAMKTAEDVGSPLKYEFQVSPVGDGLAALGTLVLGLEWPYEVTNGKWLLYPTEITI HSNGSWPCQPSGNLVNPLNLTLSDPGVTPLSPQRRRRQLDPGGDQSSPPVTLAAAKKAKS ETVLTCSNGRARCVWLECPLPDTSNITNVTVKARVWNSTFIEDYKDFDRVRVDGWATLFL RTSIPTINMENKTTWFSVDIDSELVEELPAEIELWLVLVAVGAGLLLLGLIILLLWKCDF FKPTRYYRIMPKYHAVRIREEDRYPPPGSTLPTKKHWVTSWQIRDRYY >ENSMUSP00000115970.1 pep:known chromosome:GRCm38:11:95063161:95076797:-1 gene:ENSMUSG00000001507.16 transcript:ENSMUST00000145671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga3 description:integrin alpha 3 [Source:MGI Symbol;Acc:MGI:96602] XESGARTGRASLLAGAPRDLAVGDDYTNRTGAVYLCPLTAHKDDCERMDISEKSDPDHHI IEDMWLGVTVASQGPAGRVLVCAHRYTKVLWSGLEDQRRMVGKCYVRGNDLQLDPGDDWQ TYHNEMCNSNTDYLQTGMCQLGTSGGFTQNTVYFGAPGAYNWKGNSYMIQRKDWDLSEYS YRGS >ENSMUSP00000132688.1 pep:known chromosome:GRCm38:1:75134554:75142638:-1 gene:ENSMUSG00000033159.14 transcript:ENSMUST00000168720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnppd1 description:cyclin Pas1/PHO80 domain containing 1 [Source:MGI Symbol;Acc:MGI:1916421] MDLTGLLLDEEGAFSLTGFQDFMVLPGHQKLSARIRRRLYYGWDLETDCSLEELSSPVAD ITVELLQKAAPSPIRRLQKKYVAHVSREACISPCAMMLALVYIERLRHRNPDYLQHVSSS DLFLISMMVASKYLYDEGEEEEVFNDEWGAAGGVAVATLNALERSFLSAMDWRLYTDPRE IFEVLSWLESCVAEQQGRRRGWYTYTDLCVLLEQPTWQLALGSLCQRLVKLSCLLAVAYV SSVALAVASVAVIHQSLGLSSSPSPGPPELTLVSKSLVQPCVPAPVSQCLTNVSSCLEGS VDLPSLWGSLLAPLTPPLRPPPDPPAPPTPLHKCPLCQKFQRLPPNCRACHTNQTVSIGP SRPLYHARGLAPPWLWSPVAPPFLQPQQCSLFSVMELAHLKSVISPG >ENSMUSP00000140289.1 pep:known chromosome:GRCm38:1:75135768:75141691:-1 gene:ENSMUSG00000033159.14 transcript:ENSMUST00000190679.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnppd1 description:cyclin Pas1/PHO80 domain containing 1 [Source:MGI Symbol;Acc:MGI:1916421] MDLTGLLLDEEGAFSLTGFQDFMVLPGHQKLSARIRRRLYYGWDLETDCSLEELSSPVAD ITVELLQKAAPSPIRRLQKKYVAHVSREACISPCAMMLALVYIERLRHRNPDYLQHVSSS DLFLISMMVASKYLYDEGEEEEVFNDEWGAAGGVAVATLNALERSFLSAMDWRLYTDPRE IFEVLSWLESCVAEQQGRRRGWYTYTDLCVLLEQPTWQLALGSLCQRLVKLSCLLAVAYV SSVALAVASVAVIHQSLGLSSSPSPGPPELTLVSKSLVQPCVPAPVSQCLTNVSSCLEGS VDLPSLWGSLLAPLTPPLRPPPDPPAPPTPLHKCPLCQKFQRLPPNCRACHTNQTVSIGP SRPLYHARGLAPPWLWSPVAPPFLQPQQCSLFSVMELAHLKSVISPG >ENSMUSP00000044799.5 pep:known chromosome:GRCm38:1:75135771:75142697:-1 gene:ENSMUSG00000033159.14 transcript:ENSMUST00000041213.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnppd1 description:cyclin Pas1/PHO80 domain containing 1 [Source:MGI Symbol;Acc:MGI:1916421] MDLTGLLLDEEGAFSLTGFQDFMVLPGHQKLSARIRRRLYYGWDLETDCSLEELSSPVAD ITVELLQKAAPSPIRRLQKKYVAHVSREACISPCAMMLALVYIERLRHRNPDYLQHVSSS DLFLISMMVASKYLYDEGEEEEVFNDEWGAAGGVAVATLNALERSFLSAMDWRLYTDPRE IFEVLSWLESCVAEQQGRRRGWYTYTDLCVLLEQPTWQLALGSLCQRLVKLSCLLAVAYV SSVALAVASVAVIHQSLGLSSSPSPGPPELTLVSKSLVQPCVPAPVSQCLTNVSSCLEGS VDLPSLWGSLLAPLTPPLRPPPDPPAPPTPLHKCPLCQKFQRLPPNCRACHTNQTVSIGP SRPLYHARGLAPPWLWSPVAPPFLQPQQCSLFSVMELAHLKSVISPG >ENSMUSP00000141062.1 pep:known chromosome:GRCm38:1:75139347:75141803:-1 gene:ENSMUSG00000033159.14 transcript:ENSMUST00000189403.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnppd1 description:cyclin Pas1/PHO80 domain containing 1 [Source:MGI Symbol;Acc:MGI:1916421] MDLTGLLLDEEGAFSLTGFQDFMVLPGHQKLSARIRRRLYYGWDLETDCSLEELSSPVAD ITVELLQKAAPSPIRRLQKKYVAHVSREACISPCAMMLALVYIERLRHRNPDYLQHVSSS DLFLISMMVASKYLYDEGEEEEVFNDEWGAAGGVAVATLNALERSFLSAM >ENSMUSP00000140262.1 pep:known chromosome:GRCm38:1:75139597:75142711:-1 gene:ENSMUSG00000033159.14 transcript:ENSMUST00000189809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnppd1 description:cyclin Pas1/PHO80 domain containing 1 [Source:MGI Symbol;Acc:MGI:1916421] MDLTGLLLDEEGAFSLTGFQDFMVLPGHQKLSARIRRRLYYGWDLETDCSLEELSSPVAD ITVELLQKAAPSPIRRLQKKYVAHVSREACISPCAMMLALVYIERLRHRNPDYL >ENSMUSP00000125562.1 pep:known chromosome:GRCm38:1:161969302:161970531:1 gene:ENSMUSG00000026698.8 transcript:ENSMUST00000159648.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigc description:phosphatidylinositol glycan anchor biosynthesis, class C [Source:MGI Symbol;Acc:MGI:1914542] MCAQRVTDTPEVKWQKVLYERQPFPDN >ENSMUSP00000125321.1 pep:known chromosome:GRCm38:1:161969299:161970872:1 gene:ENSMUSG00000026698.8 transcript:ENSMUST00000160881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigc description:phosphatidylinositol glycan anchor biosynthesis, class C [Source:MGI Symbol;Acc:MGI:1914542] MCAQRVTDTPEVKWQKVLYERQPFPDNYVDQRFLEELRKNIYARKYQYWAVVFESSVVIQ QLCSVCVFVVIWWYMDEGLLAPQWLFGTGLASSLVGYVLFDLIDGGDGRKKSGRTRWADL KSTLVFITFTYGFSPVLKTLT >ENSMUSP00000141646.1 pep:known chromosome:GRCm38:1:161969228:161973434:1 gene:ENSMUSG00000026698.8 transcript:ENSMUST00000193784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigc description:phosphatidylinositol glycan anchor biosynthesis, class C [Source:MGI Symbol;Acc:MGI:1914542] MCAQRVTDTPEVKWQKVLYERQPFPDNYVDQRFLEELRKNIYARKYQYWAVVFESSVVIQ QLCSVCVFVVIWWYMDEGLLAPQWLFGTGLASSLVGYVLFDLIDGGDGRKKSGRTRWADL KSTLVFITFTYGFSPVLKTLTESVSTDTIYAMAVFMLLGHLIFFDYGANAAIVSSTLSLN MAIFASVCLASRLPRSLHAFIMVTFAIQIFALWPMLQKKLKAYTPRSYVGVTLLFAFSAF GGLLSISAVGAILFALLLFSISCLCPYYLIHLQLFKENIHGPWDEAEIKEDLSRFLS >ENSMUSP00000028021.6 pep:known chromosome:GRCm38:1:161969188:161972330:1 gene:ENSMUSG00000026698.8 transcript:ENSMUST00000028021.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigc description:phosphatidylinositol glycan anchor biosynthesis, class C [Source:MGI Symbol;Acc:MGI:1914542] MCAQRVTDTPEVKWQKVLYERQPFPDNYVDQRFLEELRKNIYARKYQYWAVVFESSVVIQ QLCSVCVFVVIWWYMDEGLLAPQWLFGTGLASSLVGYVLFDLIDGGDGRKKSGRTRWADL KSTLVFITFTYGFSPVLKTLTESVSTDTIYAMAVFMLLGHLIFFDYGANAAIVSSTLSLN MAIFASVCLASRLPRSLHAFIMVTFAIQIFALWPMLQKKLKAYTPRSYVGVTLLFAFSAF GGLLSISAVGAILFALLLFSISCLCPYYLIHLQLFKENIHGPWDEAEIKEDLSRFLS >ENSMUSP00000107221.2 pep:known chromosome:GRCm38:1:161969186:161973435:1 gene:ENSMUSG00000026698.8 transcript:ENSMUST00000111594.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigc description:phosphatidylinositol glycan anchor biosynthesis, class C [Source:MGI Symbol;Acc:MGI:1914542] MCAQRVTDTPEVKWQKVLYERQPFPDNYVDQRFLEELRKNIYARKYQYWAVVFESSVVIQ QLCSVCVFVVIWWYMDEGLLAPQWLFGTGLASSLVGYVLFDLIDGGDGRKKSGRTRWADL KSTLVFITFTYGFSPVLKTLTESVSTDTIYAMAVFMLLGHLIFFDYGANAAIVSSTLSLN MAIFASVCLASRLPRSLHAFIMVTFAIQIFALWPMLQKKLKAYTPRSYVGVTLLFAFSAF GGLLSISAVGAILFALLLFSISCLCPYYLIHLQLFKENIHGPWDEAEIKEDLSRFLS >ENSMUSP00000121835.1 pep:known chromosome:GRCm38:17:71344489:71475343:-1 gene:ENSMUSG00000024054.13 transcript:ENSMUST00000127430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smchd1 description:SMC hinge domain containing 1 [Source:MGI Symbol;Acc:MGI:1921605] MAAEGASDPAGLSEGSGRDGAVDGCRTVYLFDRRGKDSELGDRALQVSEHADYAGFRASV CQTIGISSEEKFVITTTSRKEITCNNFDHTVKDGVTLYLLQSVDQSLLTATKERIDFLPH YDTLVKSGMYEYYASEGQNPLPFALAELIDNSLSATSRNNGVRRIQIKLLFDETQGKPAV AVVDNGRGMTSKQLNNWAVYRLSKFTRQGDFESDHSGYVRPLPVPRSLNSDISYFGVGGK QAVFFVGQSARMISKPIDSKDVHELVLSKEDFEKKEKNKEAIYSGYIRNRKPADSAHITN DDERFLHNLIEEEKEKDSFTAVVITGVQPEHIQYLKNYLHLWTRQLTHIYHYYIHGPKGN EISTAKAIGPFNNIDIEISLFEKGKTPKIINLREIQDDMQTLYINTASDSFEFKAHVEGD GVVEGVIRYHPFLYDRETFPDDPCFPSKLKDEDDDDDCFISEKAARGKRPIFECFWNGRL IPYTSVGDFDWCAPPKKRGLVPIECFNRISGALFTNDKFQVSTNKLTFMDLELKLKDKNT LFTRILNGQEQRMKIDREFALWLKDCHEKHDKQIKFTLFKGIITRPDLPTKKQGPWATFS AIEWDGKIYKAGQLVKTIKTLPLCYGSIVRFFLHGDHDGEVYATGGEVQIAMEPQALYDE IKTVPIAKLDRTVAEKTIRKYVEDEMARLPDRLSVTWPEGDELLPNEVRPAGTPIGALRI EILNKKGEAMQKLPGTSHGGSKKLLVELKVILHTSSGNKEIISHISQHGGKWPYWFKKME NIQKLGNYTLKLQVVLNESNADTYAGRSLPSKVIKFSVKEGKPEKFSFGLLDSPFRVGVP FNIPLELQDEFGHTTQLLSDIEPVLEASGLSLHYEGITKGPNCVIQGVVAKGPVNSCQGK NFNLKVILPGLKEDSQILKIRLLPGPPHQLKVKPDSEVLVIENGTAFPFQVEVVDESDNI TAQPKLIVHCKFLGAPNLPVYTVDCSSSGTSILTGSPIQVQNIKKDQKTLTARIEIPSCK DVSPVEKTIKLLPSSHAACLQIFSVEEQKAIQIKHQDEVTWVAGDVIRNLIFQMYDEGER EINITPSLAEKIKVNWTPEVNKEHLVQGLLPDVQVPTSVKDVRYCHVSFQDDHVCLESAF TVRPLPDDPKHLKCELKGGKTVQMGQELQGEIVVIIADQYGNQISSFSPDSLSTLSITGD GLDSSNLKITLEANSQSVSVQGIRFTPGPPGPKDLCFTWREFSDFLRVQLVSGPPTKLLL MDWPELKESIPVINGRQLENPLIVQLCDQWDNPALVPNVKICLIKASSLRLLPSNQQHKT DDKGRANLGVFTVCAPRGEHTVQVKGVYNKSTIEGPTIKLTILPDPEKPIRLNVKYDQDA SFIAGDIFTDFMVSVISESGSVIKNINPTRISMKMWKLSSGMSRPPANAETFSCNKIKGN DKEDGCFYFREKTIPNKVGAYCIQFDFMIDKTNILSSQQVIVDVLPNQPMKLVPDSQPAT PAVSNVRSIASRTLVKDLRLSITDNYGNHTGMDLVGTVVATIKGFNEEDTDTPLFIGKVR TLEFPFVKGSAEITTLVLAENSPGRDSTEYFIIFEPRLSTVSGTLESYSLPFMFYNDVKK QQQMAALTKEKDELSKSITMYRSLFDANKQLVDEMKCQAEEAKLKETQLRNELKAYNIDI PATQQTTHIEALLEKKITEQNELKKRPRRLCTLPNYTKRSGDILGKIAHLAQIEDDRAAM VISWHLASDMDCVVTLTTDAARAIYDETQGRQQVLPLDSIYRKTLPDWKRPLPHFRNGKL HFKPFGNPVFARDLLTFPDNIEHCETVFGMLLGDTIILDNLDAANHYRKEVVKITHCPTL LTRDGDRIRSNGKFGGLQNKAPPMDKLRGMVFGAPVPKQCVVLGKQIDLIQQYRTALYRL SSVNEDLDNQLQYLHTPDMKKKKQELDEQEKSLKRIEQKLGMTPVRRCNESLCHSPKIEV TECPIPTKRMRRESTRQNRRPKGDVPN >ENSMUSP00000125010.1 pep:known chromosome:GRCm38:1:190700202:190911747:-1 gene:ENSMUSG00000089872.10 transcript:ENSMUST00000159624.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps6kc1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:2443419] MTSPWGHSADLARFYTVTEPQRHPRGYTVYKVTARVVSRRNPEDVQEIIVWRRYSDFKKL HRELWQIHRNAFRHSELFPPFAKGTVFGRFDKTVIEERRQCAEDLLQFSANIPALYNSRQ LQDFFKGGVISDGSELIGPAEAYPDSPANAFPECGTEGFSSDSDLLSLTVDADSLAEVDD GMASRQGSPSRTFGLSLSTDSSAVGAVASDSEPSRVEDRESRSLFPSSLKPRLGRRDYLE KAGELIKLALKKEEEDDYEAASDFYRKGVDLLLEGVQGKVRQCHHWLESLGSLQKSERNL EKPSHTRAQQDIASPLVTKITKSHHLIICRSVHSSK >ENSMUSP00000123733.1 pep:known chromosome:GRCm38:1:190772879:190834036:-1 gene:ENSMUSG00000089872.10 transcript:ENSMUST00000160889.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps6kc1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:2443419] XAFRVLGVIDKVENSGRISVNF >ENSMUSP00000124383.1 pep:known chromosome:GRCm38:1:190772879:190911738:-1 gene:ENSMUSG00000089872.10 transcript:ENSMUST00000159367.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps6kc1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:2443419] MTSPWGHSADLARFYTVTEPQRHPRGYTVYKVTARVVSRRNPEDVQEVVCLSTRTFCLPC >ENSMUSP00000061769.8 pep:known chromosome:GRCm38:1:190772879:190911770:-1 gene:ENSMUSG00000089872.10 transcript:ENSMUST00000061611.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6kc1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:2443419] MTSPWGHSADLARFYTVTEPQRHPRGYTVYKVTARVVSRRNPEDVQEIIVWRRYSDFKKL HRELWQIHRNAFRHSELFPPFAKGTVFGRFDKTVIEERRQCAEDLLQFSANIPALYNSRQ LQDFFKGGVISDGSELIGPAEAYPDSPANAFPECGTEGFSSDSDLLSLTVDADSLAEVDD GMASRQGSPSRTFGLSLSTDSSAVGAVASDSEPSRVEDRESRSLFPSSLKPRLGRRDYLE KAGELIKLALKKEEEDDYEAASDFYRKGVDLLLEGVQGESSPTRREAVKRRTAEYLMRAE SICSLRAAPQLHTGPQPPGSLSSRPPWSLRSPAEELKAFRVLGVIDKVLLVMDTRTEQTF ILKGLRKSSECSRNRKTIIPRCVPNMVCLHTYIISEESVFLVLQRAEGGKLWSYISKFLN RSSQESLDIKEGRPSMPPRVCLQQPSASPQGGSSFESRGSDTGSMLKALPLKTSLTPSSQ DDSNQEDDGQPSSPKWLDSGSSSEDECTAGYLTLCNEYGQEKMDLVSLSEESVMQPEGDK ADTQAVSSPASLATGSVSPSTHLRVFSGGEDLEAVSSPPTSESLSRSKNSPMEFFRIDSK DSTSELLGLDFGEKLHSLKPEPLKALFTLEDGDSPSQSLDPGESKRESEAQDSVSRGSDD SVPVISFKEAAAEAISGAEEGRPDLLVNLPGELQPTKEASAMDPKFSQASAGRLDSKLLE APDVLCLRLSSEQCHGLGPEGPEELSDPTEFCPGGVIPEHDAQADPGVLFEAAVDHRSSP DQFLFSSLRSESDRLGQVEVVVTAQALQESLFHISSPCSGANKEHSAYADTATSEEVLLF TEPTKEEANSLFQRDSEAQERGVGAGEADKEIHQIFEDLDKRLAASSRFFIPEGCIQRWA AEMVVALDALHREGIVCRDLNPNNILLNDGGHIQLTYFSRWSEVEDSCDSDAVARMYCAP EVGAVTEETEACDWWSLGAVLFELLTGKTLVECHPAGINTHTTLNMPGCVSEEARSLIQQ LLQFNPMERLGAGVAGVEDIKSHPFFTPVDWAELTR >ENSMUSP00000124558.1 pep:known chromosome:GRCm38:1:190885376:190911769:-1 gene:ENSMUSG00000089872.10 transcript:ENSMUST00000159066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6kc1 description:ribosomal protein S6 kinase polypeptide 1 [Source:MGI Symbol;Acc:MGI:2443419] MTSPWGHSADLARFYTVTEPQRHPRGYTVYKVTARIIVWRRYSDFKKLHRELWQIHRNAF RHSELFPPFAKGTVFGRFDKTVIEERRQCAEDLLQFSANIPALYNSRQLQDFFKGGVISD GSELIGPAEAY >ENSMUSP00000123320.1 pep:known chromosome:GRCm38:18:76944126:76971449:1 gene:ENSMUSG00000025421.14 transcript:ENSMUST00000145634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd2 description:haloacid dehalogenase-like hydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924237] MAARRALKAVLVDLNGTLHIEDAAVPGAQEALKRLRATSVMVRFVTNTTKESKKDLLERL KKLEFEISEDEIFTSLTAARNLIEQKQVRPMLLVDDRALPEFTGVQTQDPNAVVIGLAPE HFHYQLLNQAFRLLLDGAPLIAIHKARYYKRKDGLALGPGPFVTALEYATDTKAMVVGKP EKTFFLEALRDADCAPEEAVMIGDDCRDDVDGAQNIGMLGILVKTGKYKAADEEKINPPP YLTCESFPHAVDHILQHLL >ENSMUSP00000116152.1 pep:known chromosome:GRCm38:18:76944126:76962053:1 gene:ENSMUSG00000025421.14 transcript:ENSMUST00000147332.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd2 description:haloacid dehalogenase-like hydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924237] MAARRALKAVLVDLNGTLHIEDAAVPGAQEALKRLRATSVMVRFVTNTTKESKKDLLERL KKLEFEISEDEIFTSLTAARNLIEQKQVRPMLLVDDRALPEFTGVQTQDPNAVVIGLAPE HFHYQLLNQAFRRKQRGQEEENSDSH >ENSMUSP00000116243.1 pep:known chromosome:GRCm38:18:76944437:76972902:1 gene:ENSMUSG00000025421.14 transcript:ENSMUST00000148955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd2 description:haloacid dehalogenase-like hydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924237] MAARRALKAVLVDLNGTLHIEDAAVPGAQEALKRLRATSVMVRFVTNTTKESKKDLLERL KKLEFEISEDEIFTSLTAARNLIEQKQVRPMLLVDDRALPEFTGVQTQDPNAVVIGLAPE HFHYQLLNQAFRLLLDGAPLIAIHKARYYKRKDGLALGPGPFVTALEYATDTKAMVVGKP EKTFFLEALRDADCAPEEAVMIGDDCRDDVDGAQNIGMLGILVKTGKYKAADEEKINPPP YLTCESFPHAVDHILQHLL >ENSMUSP00000123035.1 pep:known chromosome:GRCm38:18:76944384:76955055:1 gene:ENSMUSG00000025421.14 transcript:ENSMUST00000156454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd2 description:haloacid dehalogenase-like hydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924237] MAARRALKAVLVDLNGTLHIEDAAVPGAQEALKRLRATSVMVRFVTNTTKESKKDLLERL >ENSMUSP00000114212.1 pep:known chromosome:GRCm38:18:76944384:76972902:1 gene:ENSMUSG00000025421.14 transcript:ENSMUST00000150990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd2 description:haloacid dehalogenase-like hydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924237] MAARRALKAVLVDLNGTLHIEDAAVPGAQEALKRLRATSVMVRFVTNTTKESKKDLLERL KKLEFEISEDEIFTSLTAARNLIEQKQVRPMLLVDDRALPEFTGVQTQDPNAVVIGLAPE HFHYQLLNQAFRLLLDGAPLIAIHKARYYKRKDGLALGPGPFVTALEYATDTKAMVVGKP EKTFFLEALRDADCAPEEAVMIGDDCRDDVDGAQNIGMLGILVKTGKYKAADEEKINPPP YLTCESFPHAVDHILQHLL >ENSMUSP00000118678.1 pep:known chromosome:GRCm38:18:76944151:76956893:1 gene:ENSMUSG00000025421.14 transcript:ENSMUST00000143910.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd2 description:haloacid dehalogenase-like hydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924237] MAARRALKAVLVDLNGTLHIEDAAVPGAQEALKRLRATSVMVRFVTNTTKESKKDLLERL KKLEFEISEDEIFTSLTAARNLIEQKQVRPMLLVDDRALPEFTGVQTQDPNAVVIGLAPE H >ENSMUSP00000118504.1 pep:known chromosome:GRCm38:18:76930126:76971449:1 gene:ENSMUSG00000025421.14 transcript:ENSMUST00000142456.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hdhd2 description:haloacid dehalogenase-like hydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924237] MAFTLYSLMQAALLCVNAIAVLHEERFLKNIGWGTDQGIGGFGEEPGIKSQLMNLIRSVR TVMRELHSGQEQKHLPRRPDAGRDFRRVTTASGLEGVLGGARAFPEDSGAARTLITVASS ALVTGAIKHWQSTVNLATAPLLPSGCSCVPLL >ENSMUSP00000095129.3 pep:known chromosome:GRCm38:18:76944115:76972171:1 gene:ENSMUSG00000025421.14 transcript:ENSMUST00000097522.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd2 description:haloacid dehalogenase-like hydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924237] MAARRALKAVLVDLNGTLHIEDAAVPGAQEALKRLRATSVMVRFVTNTTKESKKDLLERL KKLEFEISEDEIFTSLTAARNLIEQKQVRPMLLVDDRALPEFTGVQTQDPNAVVIGLAPE HFHYQLLNQAFRLLLDGAPLIAIHKARYYKRKDGLALGPGPFVTALEYATDTKAMVVGKP EKTFFLEALRDADCAPEEAVMIGDDCRDDVDGAQNIGMLGILVKTGKYKAADEEKINPPP YLTCESFPHAVDHILQHLL >ENSMUSP00000095128.4 pep:known chromosome:GRCm38:18:76944115:76962053:1 gene:ENSMUSG00000025421.14 transcript:ENSMUST00000097521.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd2 description:haloacid dehalogenase-like hydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924237] MAARRALKAVLVDLNGTLHIEDAAVPGAQEALKRLRATSVMVRFVTNTTKESKKDLLERL KKLEFEISEDEIFTSLTAARNLIEQKQVRPMLLVDDRALPEFTGVQTQDPNAVVIGLAPE HFHYQLLNQAFRRKQRGQEEENSDSH >ENSMUSP00000026485.7 pep:known chromosome:GRCm38:18:76944418:76972171:1 gene:ENSMUSG00000025421.14 transcript:ENSMUST00000026485.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd2 description:haloacid dehalogenase-like hydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924237] MAARRALKAVLVDLNGTLHIEDAAVPGAQEALKRLRATSVMVRFVTNTTKESKKDLLERL KKLEFEISEDEIFTSLTAARNLIEQKQVRPMLLVDDRALPEFTGVQTQDPNAVVIGLAPE HFHYQLLNQAFRLLLDGAPLIAIHKARYYKRKDGLALGPGPFVTALEYATDTKAMVVGKP EKTFFLEALRDADCAPEEAVMIGDDCRDDVDGAQNIGMLGILVKTGKYKAADEEKINPPP YLTCESFPHAVDHILQHLL >ENSMUSP00000146544.1 pep:known chromosome:GRCm38:6:120931722:121081609:-1 gene:ENSMUSG00000051586.15 transcript:ENSMUST00000207889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical3 description:microtubule associated monooxygenase, calponin and LIM domain containing 3 [Source:MGI Symbol;Acc:MGI:2442733] MEERKQETTNQAHVLFDRFVQATTCKGTLRAFQELCDHLELKPKDYRSFYHKLKSKLNYW KAKALWAKLDKRGSHKDYKKGKACTNTKCLIIGAGPCGLRTAIDLSLLGAKVVVIEKRDA FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGAIDHISIRQLQLILLKVALILGIEIHVN VEFQGLVQPPEDQENERIGWRALVHPKTHPVSEYEFEVIIGGDGRRNTLEGFRRKEFRGK LAIAITANFINRNTTAEAKVEEISGVAFIFNQKFFQELREATGIDLENIVYYKDDTHYFV MTAKKQSLLDKGVILHDYTDTELLLSRENVDQEALLNYAREAADFSTQQQLPSLDFAINH YGQPDVAMFDFTCMYASENAALVREQNGHQLLVALVGDSLLEPFWPMGTGIARGFLAAMD SAWMVRSWSLGTSPLEVLAERESIYRLLPQTTPENVSKNFSQYSIDPVTRYPNININFLR PSQVRHLYDSGETKDIHLEMENMVNPRTTPKLTRNESVARSSKLLGWCQRQTEGYSGVNV TDLTMSWKSGLALCAIIHRYRPDLIDFDSLDEQNVEKNNQLAFDIAEKELGISPIMTGKE MASVGEPDKLSMVMYLTQFYEMFKDSLSSSDTLDLNAEEKAVLIASTKSPISFLSKLGQT ISRKRSPKDKKEKDSDGAGKRRKTSQSEEEEPPRSYKGERPTLVSTLTDRRMDAAVGNQN KVKYMATQLLAKFEENAPAQSTGVRRQGSIKKEFPQNLGGSDTCYFCQKRVYVMERLSAE GKFFHRSCFKCEYCATTLRLSAYAYDIEDGKFYCKPHYCYRLSGYAQRKRPAVAPLSGKE VKGALQDGPTADANGLASVAASSAERSPGTSMNGLEEPSIAKRLRGTPERIELENYRRSV RQVEELEEVPEETQAEHNLSSVLDKGTEEDVASSSSESEMEEEEEEDDEDDHLPTSDLGG VPWKEAVRIHALLKGRSEEELEASKNFEPEEEEEEEEYEEEDEEYEEEEEEESSEAGNKR LQQIITAADPLAIQADVHWTHIREREAEERMLPTSESSTSRAPLDEDDLEEDADSEPAET EGEAAEDGDPGDTGAELDDQHWSDDIPSDAEAEHRLQSQAKVKAELELRVSENEEEKPSD APKQEERGTSQVSSPSQPPEKQVGVFSPARSPGTEEAKSPLATKVKSPEEPLFPTPLLLR EKPKAEVPEEQKAVLSPIRSQPVALPEARSPTSPTSLQPESLLAPPTPPTPPPTQLPICS QPQPSSDASIPSPTKSPIRFQPVPAKTSTPLTPLPVKSQGDPKDRLSGPLAVEEVLKRSD LVEEFWMKSAEIRRSLGLTPVDRSKGSEPSLPSPASKPISLKSYSVDKSPQDEGLCLLKP PSVPKRLGLPKSAGDQPPLLTPKSPSDKELRSSQEERRDLSSSSGLGLHDSSSNMKTLGS QSFNTSDSTMLTPPSSPPPPPPPNEEPATLRRKPHQTFERREASIIPPPTPASFMRPPRE PAQPPREEVRKSFVESVDEIPFADDVEDTYDDKTEDSSLQEKFFTPPSCWSRSEKLQAKE NGRLPPLEQDVPPQKRGLPLVSAEAKELAEERMRAREKSVKSQALRDAMAKQLSRMQAME MVSSRSHTAQSQGKELGSESTRHPSLRGTQEPTLKHEATSEEILSPPSDSGGPDGSVTSS EGSSGKSKKRSSLFSPRRNKKEKKTKGEARPPEKPSPGLPEDVVAKPKSLWKSVFSGYKK DKKKKSDEKSCSSTPSSGATVDSGQRRASPMVRAELQLRRQLSFSEDSDLSSDDILERSS QKSKREPRTYTEEELSAKLTRRVQKAARRQAKQEELKRLHRAQIIQRQLEQVEEKQRQLE ERGVAVEKALRGEAGMGKKDDPKLMQEWFKLVQEKNAMVRYESELMIFARELELEDRQSR LQQELRERMAVEDHLKTEGELSEEKKILNEMLEVVEQRDSLVALLEEQRLREKEEDKDLE AAMLCKGFSLDWS >ENSMUSP00000144972.1 pep:known chromosome:GRCm38:6:120997316:121022249:-1 gene:ENSMUSG00000051586.15 transcript:ENSMUST00000204302.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical3 description:microtubule associated monooxygenase, calponin and LIM domain containing 3 [Source:MGI Symbol;Acc:MGI:2442733] RKTSQSEEEEPPRSYKGERPTLVSTLTDRRMDAAVGNQNKVKYMATQLLAKFEENAPAQS TGVRRQGSIKKEFPQNLGGSDTCYFCQKRVYVMERLSAEGKFFHRSCFKCEYCATTLRLS AYAYDIEDGKFYCKPHYCYRLSGYAQRKRPAVAPLSGKEVKGALQDGPTADANGLASVAA SSAERSPGSLTSLFGWVVRHSLGLCDKAKGVSQHLQTNISSIGHQVAQNPLDSFFMCQLL AFGVPFLYGLSEVLVQIGGEFHWQTVSQ >ENSMUSP00000145254.1 pep:known chromosome:GRCm38:6:121007240:121081588:-1 gene:ENSMUSG00000051586.15 transcript:ENSMUST00000203254.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mical3 description:microtubule associated monooxygenase, calponin and LIM domain containing 3 [Source:MGI Symbol;Acc:MGI:2442733] MEERKQETTNQAHVLFDRFVQATTCKGTLRAFQELCDHLELKPKDYRSFYHKLKSKLNYW KAKALWAKLDKRGSHKDYKKGKACTNTKCLIIGAGPCGLRTAIDLSLLGAKVVVIEKRDA FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGAIDHISIRQLQLILLKVALILGIEIHVN VEFQGLVQPPEDQENERIGWRALVHPKTHPVSEYEFEVIIGGDGRRNTLEGFRRKEFRGK LAIAITANFINRNTTAEAKVEEISGVAFIFNQKFFQELREATGIDLENIVYYKDDTHYFV MTAKKQSLLDKGVILHDYTDTELLLSRENVDQEALLNYAREAADFSTQQQLPSLDFAINH YGQPDVAMFDFTCMYASENAALVREQNGHQLLVALVGDSLLEPFWPMGTGIARGFLAAMD SAWMVRSWSLGTSPLEVLAERESIYRLLPQTTPENVSKNFSQYSIDPVTRYPNININFLR PSQVRHLYDSGETKDIHLEMENMVNPRTTPKLTRNESVARSSKLLGWCQRQTEGYSGVNV TDLTMSWKSGLALCAIIHRYRPDLIDFDSLDEQNVEKNNQLAFDIAEKELGISPIMTGKE MASVGEPDKLSMVMYLTQFYEMFKDSLSSSGWRHVCSPTEDDDKEEEEEKEEEEESTTPS T >ENSMUSP00000076402.5 pep:known chromosome:GRCm38:6:121007240:121081590:-1 gene:ENSMUSG00000051586.15 transcript:ENSMUST00000077159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical3 description:microtubule associated monooxygenase, calponin and LIM domain containing 3 [Source:MGI Symbol;Acc:MGI:2442733] MEERKQETTNQAHVLFDRFVQATTCKGTLRAFQELCDHLELKPKDYRSFYHKLKSKLNYW KAKALWAKLDKRGSHKDYKKGKACTNTKCLIIGAGPCGLRTAIDLSLLGAKVVVIEKRDA FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGAIDHISIRQLQLILLKVALILGIEIHVN VEFQGLVQPPEDQENERIGWRALVHPKTHPVSEYEFEVIIGGDGRRNTLEGFRRKEFRGK LAIAITANFINRNTTAEAKVEEISGVAFIFNQKFFQELREATGIDLENIVYYKDDTHYFV MTAKKQSLLDKGVILHDYTDTELLLSRENVDQEALLNYAREAADFSTQQQLPSLDFAINH YGQPDVAMFDFTCMYASENAALVREQNGHQLLVALVGDSLLEPFWPMGTGIARGFLAAMD SAWMVRSWSLGTSPLEVLAERESIYRLLPQTTPENVSKNFSQYSIDPVTRYPNININFLR PSQVRHLYDSGETKDIHLEMENMVNPRTTPKLTRNESVARSSKLLGWCQRQTEGYSGVNV TDLTMSWKSGLALCAIIHRYRPDLIDFDSLDEQNVEKNNQLAFDIAEKELGISPIMTGKE MASVGEPDKLSMVMYLTQFYEMFKDSLSSSDTLDLNAEEKAVLIASTKSPISFLSKLGQT ISRKRSPKDKKEKDSDGAGKRRKTSQSEEEEPPRSYKGERPTLVSTLTDRRMDAAVGNQN KVKYMATQLLAKFEENAPAQSTGVRRQGSIKKEFPQNLGGSDTCYFCQKRVYVMERLSAE GKFFHRSCFKCEYCATTLRLSAYAYDIEDEFSPNFWTSASYHVPVALPATVMPMCLLYHP SQVLVCLEGGPAFMSPVLFNDTNS >ENSMUSP00000144882.1 pep:known chromosome:GRCm38:6:121007241:121022264:-1 gene:ENSMUSG00000051586.15 transcript:ENSMUST00000205030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical3 description:microtubule associated monooxygenase, calponin and LIM domain containing 3 [Source:MGI Symbol;Acc:MGI:2442733] GAGKRRKTSQSEEEEPPRSYKGERPTLVSTLTDRRMDAAVGNQNKVKYMATQLLAKFEEN APAQSTGVRRQPTQERGVSQPSCYLPKQGRPAPIPQWKQGSIKKEFPQNLGGSDTCYFCQ KRVYVMERLSAEGKFFHRSCFKCEYCATTLRLSAYAYDIEDEFSPNFWTSASYHVPVALP ATVMPMCLLYHPSQVLVCLEGGPAFMSPVLFNDTNS >ENSMUSP00000145439.1 pep:known chromosome:GRCm38:6:121022208:121130999:-1 gene:ENSMUSG00000051586.15 transcript:ENSMUST00000204248.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical3 description:microtubule associated monooxygenase, calponin and LIM domain containing 3 [Source:MGI Symbol;Acc:MGI:2442733] MEERKQETTNQAHVLFDRFVQATTCKGTLRAFQELCDHLELKPKDYRSFYHKLKSKLNYW KAKALWAKLDKRGSHKDYKKGKACTNTKCLIIGAGPCGLRTAIDLSLLGAKVVVIEKRDA FSRNNVLHLWPFTIHDLRGLGAKKFYGKFCAGAIDHISIRQLQLILLKVALILGIEIHVN VEFQGLVQPPEDQENERIGWRALVHPKTHPVSEYEFEVIIGGDGRRNTLEGFRRKEFRGK LAIAITANFINRNTTAEAKVEEISGVAFIFNQKFFQELREATGIDLENIVYYKDDTHYFV MTAKKQSLLDKGVILHDYTDTELLLSRENVDQEALLNYAREAADFSTQQQLPSLDFAINH YGQPDVAMFDFTCMYASENAALVREQNGHQLLVALVGDSLLEPFWPMGTGIARGFLAAMD SAWMVRSWSLGTSPLEVLAERESIYRLLPQTTPENVSKNFSQYSIDPVTRYPNININFLR PSQVRHLYDSGETKDIHLEMENMVNPRTTPKLTRNESVARSSKLLGWCQRQTEGYSGVNV TDLTMSWKSGLALCAIIHRYRPDLIDFDSLDEQNVEKNNQLAFDIAEKELGISPIMTGKE MASVGEPDKLSMVMYLTQFYEMFKDSLSSSDTLDLNAEEKAVLIASTKSPISFLSKLGQT ISRKRSPKDKKEKDSDGAGKRRKTSQSEEVRFIVA >ENSMUSP00000147139.1 pep:known chromosome:GRCm38:6:121042063:121103535:-1 gene:ENSMUSG00000051586.15 transcript:ENSMUST00000207968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical3 description:microtubule associated monooxygenase, calponin and LIM domain containing 3 [Source:MGI Symbol;Acc:MGI:2442733] MEERKQETTNQAHVLFDRFVQATTCKGTLRAFQELCDHLELKPKDYRSFYHKLKSKLNYW KAKAL >ENSMUSP00000146780.1 pep:known chromosome:GRCm38:6:121042092:121104641:-1 gene:ENSMUSG00000051586.15 transcript:ENSMUST00000207993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical3 description:microtubule associated monooxygenase, calponin and LIM domain containing 3 [Source:MGI Symbol;Acc:MGI:2442733] MEERKQETTNQAHVLFDRFVQATTCKGTLRAFQELCDHLELKPKDYRSFYHKLKSK >ENSMUSP00000107325.1 pep:known chromosome:GRCm38:2:84600071:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFPKRTSPARGYELLFQPEVVR IYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLTSEHERV VKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILNTINEVI AENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLEKEGWKK SDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDNNYSTLNERGDHNRTLDRSGDLGDME PLKGAPLMQDEGQESLEEELDVLVLDDEGNQVSYSPMQKI >ENSMUSP00000107307.1 pep:known chromosome:GRCm38:2:84600071:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111678.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MQEPGQIVETYTEEDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPD GLPVDASAVSNNYIQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGY PGGSDNYGSLSRVTRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYG LEDDQRSMGYDDLDYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQ HERGSLASLDSLRKGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVK TDVRKLKGIPILVGLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLR KARDMDLTEVITGTLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHI EWESVLTNTAGCLRNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVC LLRNLSYQVHREIPQAERYQEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPAN DTVDFPKRTSPARGYELLFQPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRY IRSALRQEKALSAIAELLTSEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPG GQQNSSWNFSEDTVVSILNTINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRA AALVLQTIWGYKELRKPLEKEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDK KPDREEIPMSNMGSNTKSLDNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQDEGQE SLEEELDVLVLDDEGNQVSYSPMQKI >ENSMUSP00000107319.1 pep:known chromosome:GRCm38:2:84600071:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MANGTLTRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTE EDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNY IQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRV TRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDL DYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLR KGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILV GLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITG TLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCL RNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREI PQAERYQEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFPKRTSPARGYELLF QPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLT SEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILN TINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLE KEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSL DNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000107324.1 pep:known chromosome:GRCm38:2:84600071:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111695.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFPKRTSPARGYELLFQPEVVR IYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLTSEHERV VKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILNTINEVI AENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLEKEGWKK SDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSLDNNYST LNERGDHNRTLDRSGDLGDMEPLKGAPLMQDEGQESLEEELDVLVLDDEGNQVSYSPMQK I >ENSMUSP00000107306.1 pep:known chromosome:GRCm38:2:84600071:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MQEPGQIVETYTEEDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPD GLPVDASAVSNNYIQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGY PGGSDNYGSLSRVTRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYG LEDDQRSMGYDDLDYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQ HERGSLASLDSLRKGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVK TDVRKLKGIPILVGLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLR KARDMDLTEVITGTLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHI EWESVLTNTAGCLRNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVC LLRNLSYQVHREIPQAERYQEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFP KRTSPARGYELLFQPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALR QEKALSAIAELLTSEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSS WNFSEDTVVSILNTINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQ TIWGYKELRKPLEKEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDNNYSTLN ERGDHNRTLDRSGDLGDMEPLKGAPLMQDEGQESLEEELDVLVLDDEGNQVSYSPMQKI >ENSMUSP00000107327.1 pep:known chromosome:GRCm38:2:84600071:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGAMSVV SVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGRDFRK NGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEERYRPS MEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMSDYGT ARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPPSNWR QPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHPKKEV HLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLSSHDS IKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSERSEA RRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERYQEAL PTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFPKRTSPARGYELLFQPEVVRIYIS LLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLTSEHERVVKAA SGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILNTINEVIAENL EAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLEKEGWKKSDFQ VNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSLDNNYSTLNER GDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000097524.2 pep:known chromosome:GRCm38:2:84600071:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000099941.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MQEPGQIVETYTEEDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPD GLPVDASAVSNNYIQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGY PGGSDNYGSLSRVTRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYG LEDDQRSMGYDDLDYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQ HERGSLASLDSLRKGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVK TDVRKLKGIPILVGLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLR KARDMDLTEVITGTLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHI EWESVLTNTAGCLRNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVC LLRNLSYQVHREIPQAERYQEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPAN DTVDFPKRTSPARGYELLFQPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRY IRSALRQEKALSAIAELLTSEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPG GQQNSSWNFSEDTVVSILNTINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRA AALVLQTIWGYKELRKPLEKEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDK KPDREEIPMSNMGSNTKSLDNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000107305.1 pep:known chromosome:GRCm38:2:84600071:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MQEPGQIVETYTEEDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPD GLPVDASAVSNNYIQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGY PGGSDNYGSLSRVTRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYG LEDDQRSMGYDDLDYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQ HERGSLASLDSLRKGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVK TDVRKLKGIPILVGLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLR KARDMDLTEVITGTLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHI EWESVLTNTAGCLRNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVC LLRNLSYQVHREIPQAERYQEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFP KRTSPARGYELLFQPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALR QEKALSAIAELLTSEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSS WNFSEDTVVSILNTINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQ TIWGYKELRKPLEKEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREE IPMSNMGSNTKSLDNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQDEGQESLEEEL DVLVLDDEGNQVSYSPMQKI >ENSMUSP00000107323.1 pep:known chromosome:GRCm38:2:84600071:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPANDTVDFPKRTSPARGYELLF QPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLT SEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILN TINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLE KEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSL DNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQDEGQESLEEELDVLVLDDEGNQVS YSPMQKI >ENSMUSP00000107304.1 pep:known chromosome:GRCm38:2:84600071:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPPSNWRQPELPEVIAMLGFRLDAVK SNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHPKKEVHLGACGALKNISFGRDQDN KIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLSSHDSIKMEIVDHALHALTDEVII PHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSERSEARRKLRECDGLVDALIFIVQ AEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERYQEALPTVANSTGPHAASCFGAKK GKGKKPTEDPANDTVDFPKRTSPARGYELLFQPEVVRIYISLLKESKTPAILEASAGAIQ NLCAGRWTYGRYIRSALRQEKALSAIAELLTSEHERVVKAASGALRNLAVDARNKELIGK HAIPNLVKNLPGGQQNSSWNFSEDTVVSILNTINEVIAENLEAAKKLRETQGIEKLVLIN KSGNRSEKEVRAAALVLQTIWGYKELRKPLEKEGWKKSDFQVNLNNASRSQSSHSYDDST LPLIDRNQKSDKKPDREEIPMSNMGSNTKSLDNNYSTLNERGDHNRTLDRSGDLGDMEPL KGAPLMQKI >ENSMUSP00000064518.3 pep:known chromosome:GRCm38:2:84600073:84650676:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000067232.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPANDTVDFPKRTSPARGYELLF QPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLT SEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILN TINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLE KEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSL DNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000107318.1 pep:known chromosome:GRCm38:2:84600073:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MANGTLTRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTE EDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNY IQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRV TRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDL DYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLR KGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILV GLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITG TLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCL RNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREI PQAERYQEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPANDTVDFPKRTSPAR GYELLFQPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSA IAELLTSEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDT VVSILNTINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKE LRKPLEKEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMG SNTKSLDNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000107316.1 pep:known chromosome:GRCm38:2:84600073:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MANGTLTRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTE EDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNY IQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRV TRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDL DYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLR KGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILV GLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITG TLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCL RNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREI PQAERYQEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFPKRTSPARGYELLF QPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLT SEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILN TINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLE KEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSL DNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQDEGQESLEEELDVLVLDDEGNQVS YSPMQKI >ENSMUSP00000107321.1 pep:known chromosome:GRCm38:2:84600073:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFPKRTSPARGYELLFQPEVVR IYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLTSEHERV VKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILNTINEVI AENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLEKEGWKK SDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSLDNNYST LNERGDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000107314.1 pep:known chromosome:GRCm38:2:84600073:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MANGTLTRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTE EDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNY IQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRV TRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDL DYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLR KGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILV GLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITG TLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCL RNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREI PQAERYQEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPANDTVDFPKRTSPAR GYELLFQPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSA IAELLTSEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDT VVSILNTINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKE LRKPLEKEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDNNYSTLNERGDHNR TLDRSGDLGDMEPLKGAPLMQDEGQESLEEELDVLVLDDEGNQVSYSPMQKI >ENSMUSP00000107315.1 pep:known chromosome:GRCm38:2:84600073:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MANGTLTRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTE EDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNY IQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRV TRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDL DYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLR KGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILV GLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITG TLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCL RNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREI PQAERYQEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFPKRTSPARGYELLF QPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLT SEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILN TINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLE KEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSL DNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000107317.1 pep:known chromosome:GRCm38:2:84600073:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111688.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MANGTLTRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTE EDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNY IQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRV TRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDL DYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLR KGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILV GLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITG TLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCL RNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREI PQAERYQEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFPKRTSPARGYELLF QPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLT SEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILN TINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLE KEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSL DNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000107322.1 pep:known chromosome:GRCm38:2:84600073:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFPKRTSPARGYELLFQPEVVR IYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLTSEHERV VKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILNTINEVI AENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLEKEGWKK SDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSLDNNYST LNERGDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000107313.1 pep:known chromosome:GRCm38:2:84600100:84650745:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MANGTLTRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTE EDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNY IQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRV TRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDL DYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLR KGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILV GLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITG TLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCL RNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREI PQAERYQEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPANDTVDFPKRTSPAR GYELLFQPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSA IAELLTSEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDT VVSILNTINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKE LRKPLEKEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMG SNTKSLDNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQDEGQESLEEELDVLVLDD EGNQVSYSPMQKI >ENSMUSP00000042543.6 pep:known chromosome:GRCm38:2:84600783:84649480:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000036811.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPANDTVDFPKRTSPARGYELLF QPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLT SEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILN TINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLE KEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDNNYSTLNERGDHNRTLDRSG DLGDMEPLKGAPLMQKI >ENSMUSP00000065252.3 pep:known chromosome:GRCm38:2:84600783:84650510:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000066177.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFPKRTSPARGYELLFQPEVVR IYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLTSEHERV VKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILNTINEVI AENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLEKEGWKK SDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDNNYSTLNERGDHNRTLDRSGDLGDME PLKGAPLMQKI >ENSMUSP00000107320.1 pep:known chromosome:GRCm38:2:84600783:84650765:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111691.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPANDTVDFPKRTSPARGYELLF QPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLT SEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILN TINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLE KEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREEIPMSNMGSNTKSL DNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMQKI >ENSMUSP00000107299.2 pep:known chromosome:GRCm38:2:84600781:84650740:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111670.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MQEPGQIVETYTEEDPEGAMSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPD GLPVDASAVSNNYIQTLGRDFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGY PGGSDNYGSLSRVTRIEERYRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYG LEDDQRSMGYDDLDYGMMSDYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQ HERGSLASLDSLRKGMPPPSNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVK TDVRKLKGIPILVGLLDHPKKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLR KARDMDLTEVITGTLWNLSSHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHI EWESVLTNTAGCLRNVSSERSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVC LLRNLSYQVHREIPQAERYQEALPTVANSTGPHAASCFGAKKGKGKKPTEDPANDTVDFP KRTSPARGYELLFQPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALR QEKALSAIAELLTSEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSS WNFSEDTVVSILNTINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQ TIWGYKELRKPLEKEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDKKPDREE IPMSNMGSNTKSLDNNYSTLNERGDHNRTLDRSGDLGDMEPLKGAPLMKI >ENSMUSP00000107326.2 pep:known chromosome:GRCm38:2:84600781:84650740:-1 gene:ENSMUSG00000034101.14 transcript:ENSMUST00000111697.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd1 description:catenin (cadherin associated protein), delta 1 [Source:MGI Symbol;Acc:MGI:105100] MDDSEVESTASILASVKEQEAQFEKLTRALEEERRHVSAQLERVRVSPQDANSLMANGTL TRRHQNGRFVGDADLERQKFSDLKLNGPQDHNHLLYSTIPRMQEPGQIVETYTEEDPEGA MSVVSVETTDDGTTRRTETTVKKVVKTMTTRTVQPVPMGPDGLPVDASAVSNNYIQTLGR DFRKNGNGGPGPYVGQAGTATLPRNFHYPPDGYGRHYEDGYPGGSDNYGSLSRVTRIEER YRPSMEGYRAPSRQDVYGPQPQVRVGGSSVDLHRFHPEPYGLEDDQRSMGYDDLDYGMMS DYGTARRTGTPSDPRRRLRSYEDMIGEEVPPDQYYWAPLAQHERGSLASLDSLRKGMPPP SNWRQPELPEVIAMLGFRLDAVKSNAAAYLQHLCYRNDKVKTDVRKLKGIPILVGLLDHP KKEVHLGACGALKNISFGRDQDNKIAIKNCDGVPALVRLLRKARDMDLTEVITGTLWNLS SHDSIKMEIVDHALHALTDEVIIPHSGWEREPNEDCKPRHIEWESVLTNTAGCLRNVSSE RSEARRKLRECDGLVDALIFIVQAEIGQKDSDSKLVENCVCLLRNLSYQVHREIPQAERY QEALPTVANSTGPHAASCFGAKKGKDEWFSRGKKPTEDPANDTVDFPKRTSPARGYELLF QPEVVRIYISLLKESKTPAILEASAGAIQNLCAGRWTYGRYIRSALRQEKALSAIAELLT SEHERVVKAASGALRNLAVDARNKELIGKHAIPNLVKNLPGGQQNSSWNFSEDTVVSILN TINEVIAENLEAAKKLRETQGIEKLVLINKSGNRSEKEVRAAALVLQTIWGYKELRKPLE KEGWKKSDFQVNLNNASRSQSSHSYDDSTLPLIDRNQKSDNNYSTLNERGDHNRTLDRSG DLGDMEPLKGAPLMQKI >ENSMUSP00000050940.7 pep:known chromosome:GRCm38:15:76327397:76330677:1 gene:ENSMUSG00000034259.7 transcript:ENSMUST00000059045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc4 description:exosome component 4 [Source:MGI Symbol;Acc:MGI:1923576] MAGLELLSDQGYRIDGRRAGELRKIQARMGVFAQADGSAYIEQGNTKALAVVYGPHEIRG SRSRALPDRALVNCQYSSATFSTGERKRRPHGDRKSCEMGLQLRQTFEAAILTQLHPRSQ IDIYVQVLQADGGTYAACVNAATLAVMDAGIPMRDFVCACSAGFVDGTALADLSHVEEAA GGPQLALALLPASGQIALLEMDSRLHEDHLEQVLEAAAQAARGVHTLLDLVVRQHVQEAS VSLGD >ENSMUSP00000027727.8 pep:known chromosome:GRCm38:1:134415378:134433351:1 gene:ENSMUSG00000026457.14 transcript:ENSMUST00000027727.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adipor1 description:adiponectin receptor 1 [Source:MGI Symbol;Acc:MGI:1919924] MSSHKGSAGAQGNGAPSGNREADTVELAELGPLLEEKGKRAASSPAKAEEDQACPVPQEE EEEVRVLTLPLQAHHAMEKMEEFVYKVWEGRWRVIPYDVLPDWLKDNDYLLHGHRPPMPS FRACFKSIFRIHTETGNIWTHLLGFVLFLFLGILTMLRPNMYFMAPLQEKVVFGMFFLGA VLCLSFSWLFHTVYCHSEKVSRTFSKLDYSGIALLIMGSFVPWLYYSFYCSPQPRLIYLS IVCVLGISAIIVAQWDRFATPKHRQTRAGVFLGLGLSGVVPTMHFTIAEGFVKATTVGQM GWFFLMAVMYITGAGLYAARIPERFFPGKFDIWFQSHQIFHVLVVAAAFVHFYGVSNLQE FRYGLEGGCTDDSLL >ENSMUSP00000107856.1 pep:known chromosome:GRCm38:1:134415456:134433348:1 gene:ENSMUSG00000026457.14 transcript:ENSMUST00000112237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adipor1 description:adiponectin receptor 1 [Source:MGI Symbol;Acc:MGI:1919924] MSSHKGSAGAQGNGAPSGNREADTVELAELGPLLEEKGKRAASSPAKAEEDQACPVPQEE EEEVRVLTLPLQAHHAMEKMEEFVYKVWEGRWRVIPYDVLPDWLKDNDYLLHGHRPPMPS FRACFKSIFRIHTETGNIWTHLLGFVLFLFLGILTMLRPNMYFMAPLQEKVVFGMFFLGA VLCLSFSWLFHTVYCHSEKVSRTFSKLDYSGIALLIMGSFVPWLYYSFYCSPQPRLIYLS IVCVLGISAIIVAQWDRFATPKHRQTRAGVFLGLGLSGVVPTMHFTIAEGFVKATTVGQM GWFFLMAVMYITGAGLYAARIPERFFPGKFDIWFQSHQIFHVLVVAAAFVHFYGVSNLQE FRYGLEGGCTDDSLL >ENSMUSP00000032841.5 pep:known chromosome:GRCm38:7:78775236:78783089:-1 gene:ENSMUSG00000030612.6 transcript:ENSMUST00000032841.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl46 description:mitochondrial ribosomal protein L46 [Source:MGI Symbol;Acc:MGI:1914558] MAAPVGRTLLGLARGWRQLDRFWAGSSRGLSLEAASSSSRSPWRLSGALCLQRPPLITKA LTPLQEEMAGLLQQIEVERSLYSDHELRALDEAQRLAKKKADLYDEEQEQGITLAQDLED MWEQAFLQFRPGARETEADKKNDRTSLHRKLDRNLVLLVREKLGDQDVWMLPQVEWQPGE TLRGTAERILATLSENNMEAKFLGNAPCGHYKFKFPKAIQTESDLGVKVFFFKALLLTGD FVQAGKKSRHVWASKEELGDYLQPKYLAQVRRFLLDSDGLSCL >ENSMUSP00000146895.1 pep:known chromosome:GRCm38:7:119922671:120090680:-1 gene:ENSMUSG00000052273.3 transcript:ENSMUST00000209154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah3 description:dynein, axonemal, heavy chain 3 [Source:MGI Symbol;Acc:MGI:2683040] MSKRDQAEMDLIKRCSEFESRLEGYSKELEMFRKREVMTTEEMKNNVEKLHDLSKNLDLA LTEFELINKEEELLEKEKSSFPLLQTLMINKIPYEQLWVTAYEFSTKSEEWMNGPLYLMN AEQIAEEIGNMWRTTYKLTKTLIDVPAPKRLAENVKLKIEKFKQHIPILNIACNPGMKDR HWQQISDIVGYEIKPTETTCLANMLEYGFGKFVDKLEPIGAAASKEYSLEKNLEKMKADW VNMCFSFVKYRDTDTSILCAVDDIQLILDDHVIKTQTMCGSVFIKPIEAECRKWEEKLVR VQENLDAWLKCQVTWLYLEPIFSSEDIIAQMPEEGKKFTTVDTYWKSLMAQAVNDTRVMV AADQPRMTEKLQEANVLLEDIQRGLNDYLEKKRLFFPRFFFLSNDELLEILSETKDPLRV QPHLKKCFEGIAKLEFTDNLEIKGMISSEKETVPFIQTIYPVKAKGMVEKWLQQVEQVML ASMRQVIENGIEAYVQVPRNAWVLEWPGQVVICVSSIFWTREVSEALVEDTLTDFLKKSN DQIAQIVELVRGKLSSGARLTLGALTVIDVHARDVVAKLRHDHINSLNDFQWISQLRYYW TEKNVHVQMITTEALYGYEYLGNSPRLVITPLTDRCYRTLMGALKLNLGGAPEGPAGTGK TETTKDLAKALAKQCVVFNCSDGLDYKAMGKFFKGLAQAGAWACFDEFNRIEVEVLSVVA QQILSIQQAIIRKLKRFIFEGTELSLNPTCAVFITMNPGYAGRAELPDNLKALFRTVAMM VPDYALIGEISLYSMGFLDSRSLAQKIVATYRLCSEQLSSQHHYDYGMRAVKSVLTAAGN LKLKYPEENESVLLLRALLDVNLAKFLAQDVPLFQGIISDLFPGVVLPKPDYEVFLEALN NNIRKMKLQPVPWFIGKIIQIYEMMLVRHGYMIVGDPMGGKTSAYKVLAAALGDLHAANQ MEEFAVEFKIINPKAITMGQLYGCFDAVSHEWTDGVLANAFREQASSITDDRKWIIFDGP VDAVWIENMNTVLDDNKKVATKPITYLWLCLMSGEIIQMSSKMSLIFEPADLEQASPATV SRCGMIYMEAHQLGWKPLKDSYMDTLPRCLTKEHTELVEDMFTWLVQPCLDFSRLHCKFV VQTSPIHLAFSMMRLYSSLLDEIRDIQEEEMEIYEGLSSQQIFLWLQGLFLFSLVWTLAG TINAESRKKFDVFFRNLIMGMDDRNPRPKSVKLTKNNIFPERGSIYDFYFLKQGGGHWNA WTEYITKEEETIPANAKVSDLIIPTMETARQSFFLKTYLDHEIPILFVGPTGTGKSAITN DFLLHLPKNVYQPNFINFSARTSANQTQDIIMSKLDRRRKGLFGPPIGKKAVVFVDDLNM PAKEVYGAQPPIELLRQWIDHGYWFDKKDTNRLDIVDVLLVTAMGPPGGGRNDITGRFTR HLNIISINAFEDEILTKIFSSIADWHFGKGFDVMFLRYGKMLVQATQTIYRAAVENFLPT PSKSHYVFNLRDFSRVIQGVLLCPHTHLQDLEKFIRLWIHEVYRVFYDRLIDNDDRQTFF NLVKETTSNCFKQTMEKVLIHLSPTGKITDDNIRSLFFGDYLKPESDQKIYDEIIDLRGL TVVMEYYLDEFNSVSKAPMSLVMFKFAIEHISRICRVLKQKKGHLLLVGIGGSGRQSATK LSTFMNSYELYQIEITKNYTNSDWREDLKKIMLQSGVATKSTVFLFSDNQIKHESFVEDI NMLLNTGDVPNIFPADEKADLVEKMQTAARTEGEKVEATPLSMYNFFIERGTNRAYFSLA MSPIGDAFRTRLRMFPSLINCCTIDWFQSWPTDALELVANKFLEDVELDDNIRAEVVSMC KYFQESVKKLSVDYYNTLLRHNYVTPTSYLELILTFKTLLNSKRQEVDTIRNRYLAGLQK LEFASSQVAVMQVELTALQPQLIQTSEDTAMMMVKIELETKEADAKKLLVQADEKEANAA AAISQAIKNECEGDLAEAMPALEAALAALDTLNPSDITLVKSMQNPPGPVKLVMESICVM KGLKPERKPDPSGSGKMIEDYWGVSRKILGDLKFLESLKTYDKDNIPSVIMKRIRERFID HPDFQPAVIKNVSSACEGLCKWVRAMEVYDRVAKVVAPKRERLREAEGKLEIQMQKLNQK RAELKLVEDRLQDLNDEFELMNRKKNSLEKNIEICSQKLVRAEKLISGLGGEKDRWTEAA RQLGIRYDNLTGDVLLASGTVAYLGAFTVDYRAQCQNEWLVSCKDKVIPGSVDFSLSNTL GDPIKIRAWQIAGLPVDSFSVDNGIIVSNSRRWPLMIDPQGQANKWVKNMEKTNKLSVIK FSDTNYVRTLENALQFGTPVLLENVGEELDAFIEPILLKATFKQQGVEYMRLGENIIEYS REFKFYITTRLRNPHYLPEVAVKVCLLNFMITPLGLQDQLLGIVAAKEKPELEEKKNKLI LESAQNKKQLKEIEDKILEVLSLCEGNILEDETAIKILSSSKVLSEEISEKQEIASVTET QIDETRMGYKPVAVHSAAIFFCISDLAHIEPMYQYSLTWFINLYVQSLANSNKSDELDLR IEYIIEHFTLSIYNNVCRSLFEKDKLLFSLLLTVGLLKERKAIDEEVWYFLLTGGVALDN PFPNPAPEWLSEKSWGEIVRASSLQKLKGLMEDVMQNIKVWKDIYDSAWPHEESLPSPWF FLQTLEKIAILRCLRPDKIVPAIQNFICETMGKIFIEAPTFDLQGSYGDSSCCVPLIFIL SPGADPMAGLLKFADDVSMGGTKTQTISLGQGQGPIAANMINKAIHEGTWVVLQNCHLAT SWMPALEKICEEVIVPENTNSEFRLWLTSYPSEKFPVSILQNGIKMTNEPPKGLRANLLR SYLNDPISDPLFFQSCTKPVIWQKLLFGLCFFHAIVQERRNYGALGWNIPYEFNESDLRI SMRQIQMFLNDYEEVPFEALTYLTGECNYGGRVTDDKDRRLLLSLLSMFYCKEIETDNYH IAPGDAYVIPPYGSYQSYIEYLRTLPITAHPEVFGLHENADITKDNQETNQLFQGVLLTL PRQSGGSGKSPQEVVEELAQDILSKLPNDFNLEEVMKKYPVVYKESMNTVLRQELIRFNR LTKVVRRSLIDLGRAIKGQVLMSSELEEVFNSMLVGKVPAMWAAKSYPSLKPLGGYVADL LARLTFFQEWIDKGPPVVFWISGFYFTQSFLTGVSQNYARKYTIPIDHIGFEFEVTPKET TMENIPEDGAYIKGLFLEGARWDRSTSQIGESLPKILYDPLPIIWLKPGESASFLHQDIY VCPVYKTSARRGILSTTGHSTNYVLSIELPTDMPQKHWINRGVASLCQLDN >ENSMUSP00000146733.1 pep:known chromosome:GRCm38:7:119925979:119952157:-1 gene:ENSMUSG00000052273.3 transcript:ENSMUST00000208701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah3 description:dynein, axonemal, heavy chain 3 [Source:MGI Symbol;Acc:MGI:2683040] TVDYRAQCQNEWLVSCKDKVIPGSVDFSLSNTLGDPIKIRAWQIAGLPVDSFSVDNGIIV SNSRRWPLMIDPQGQANKWVKNMEKTNKLSVIKFSDTNYVRTLENALQFGTPVLLENVGE ELDAFIEPILLKATFKQQGVEYMRLGENIIEYSREFKFYITTRLRNPHYLPEVAVKVCLL NFMITPLGLQDQLLGIVAAKEKPELEEKKNKLILESAQNKKQLKEIEDKILEVLSLCEGN ILEDETAIKILSSSKVLSEEISEKQEIASVTETQIDETRMGYKPVAVHSAAIFFCISDLA HIEPMYQYSLTWFINLYVQSLANSNKSDELDLRIEYIIEHFTLSIYNNVCRSLFEKDKLL FSLLLTVGLLKERKAIDEEVWYFLLTGGVALDNPFPNPAPEWLSEKSWGEIVRASSLQKL KGLMEDVMQNIKVWKDIYDSAWPHEESLPSPWFFLQTLEKIAILRCLRPDKIVPAIQNFI CETMGKIFIEAPTFDLQGSYGDSSCCVPLIFILSPGADPMAGLLKFADDVSMGGTKTQTI SLGQGQGPIAANMINKAIHEGTWVVLQNCHLATSWMPALEKICEEVIVPENTNSEFRLWL TSYPSEKFPVSILQNGIKMTNEPPKGLRANLLRSYLNDPISDPLFFQSCTKPVIWQKLLF GLCFFHAIVQERRNYGALGWNIPYEFNESDLRISMRQIQMFLNDYEEVPFEALTYLTGEC NYGGRVTDDKDRRLLLSLLSMFYCKEIETDNYHIAPGDAYVIPPYGSYQSYIEYLRTLPI TAHPEVFGLHENADITKDNQETNQLFQGVLLTLPRQSGGSGKSPQEVVEELAQDILSKLP NDFNLEEVMKKYPVVYKESMNTVLRQELIRFNRLTKVVRRSLIDLGRAIKGQVLMSSELE EVFNSMLVGKVPAMWAAKSYPSLKPLGGYVADLLARLTFFQVPGNLGNWIPGSSLRWRRH CGVAEQKLGTRVGSCVAS >ENSMUSP00000146748.1 pep:known chromosome:GRCm38:7:119960931:119967842:-1 gene:ENSMUSG00000052273.3 transcript:ENSMUST00000208910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah3 description:dynein, axonemal, heavy chain 3 [Source:MGI Symbol;Acc:MGI:2683040] XRVLKQKKGHLLLVGIGGSGRQSATKLSTFMNSYELYQIEITKNYTNSDWREDLKKIMLQ SGVATKSTVFLFSDNQIKHESFVEDINMLLNTGDVPNIFPADEKADLVEKMQTAARTEGE KVEATPLSMYNFFIERVRKNLHIVLAMSPIGDAFRTRLRMFPSLINCCTIDWFQSWPTDA LELVANKFLEDVELDDNIRAEVVSMCKYFQESVKKLSVDYYNTLLRHNYVTPTSYLELIL TFKTLLNSKRQEVDTIRNRYLAGLQKLEFASSQVAVMQVELTALQPQLIQTSEDTAMMMV KIELETKEADAKKLLVQADEKEANAAAAISQAIKVCDQARGAQGANTAKRM >ENSMUSP00000042857.3 pep:known chromosome:GRCm38:7:119922717:120095177:-1 gene:ENSMUSG00000052273.3 transcript:ENSMUST00000046993.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah3 description:dynein, axonemal, heavy chain 3 [Source:MGI Symbol;Acc:MGI:2683040] MSDTNCSAQKLDKSDSVHHMSHSQARPELPPLPVSANEEPSELYKTVMSHSFYPPLMQRT SWTLAVPFKEQDHHRGPSDSIGNNYSLTARDMKLKDLLKVYQPVTISIPRDKTSQGLPLG TSSKTSTEPSKKKMKFNLKAKDDVTGMPFVCKFSSSLSIKNTTDSSVTHPESRPMSPEQQ MDVMLQQEMEIESKEQKPSELDLERYYYYLTNGIRKDMIAPENEEVMMRIYKLIPKTLLT TPALEPLQVSLRSEKESDYYYSLMKSIVDYILMDPMEKKRLFIKSIPRLFPHRVIRAPVP WHNIYQSTKKWNEEHLHTVNPMMYKLKELWFAEFQNLRFVRTADLLAGKLPLLPHEYKEV VQKHCREARHILLTKWIPTCAQLFVTQKEHWVHFAPKNDYDSSRNIEEYFASVASFMSLQ LRDLVIKSLRDLVSFFMIHKDGNDFKEPYQEMDFFIPQLIMIKLEVRDPIIVFNPTFDDC WQLIKNSFLEIIKNSDGIPKVYLCWKPLASYICVSPHLRMTSVLQVESILFPDLKGYNMI LGTVNPEESLVSDFLDQTLEVFKKNQVGPYKYLNVYKKYDDLLDNMAEKGISEFLKEKHE IEDFVTSINSIKKRKNEIASMHITVPLAMFCLDAVFLNYDLCERAQNLKDNLILYQVDVN RETNTSICNQYSTIADKVSEIPANTAELVALIEYLKKSSDVTVFKLRRQLRDASERLEFL MDYADLPSESIEDVFESSRNLLMSKRDQAEMDLIKRCSEFESRLEGYSKELEMFRKREVM TTEEMKNNVEKLHDLSKNLDLALTEFELINKEEELLEKEKSSFPLLQTLMINKIPYEQLW VTAYEFSTKSEEWMNGPLYLMNAEQIAEEIGNMWRTTYKLTKTLIDVPAPKRLAENVKLK IEKFKQHIPILNIACNPGMKDRHWQQISDIVGYEIKPTETTCLANMLEYGFGKFVDKLEP IGAAASKEYSLEKNLEKMKADWVNMCFSFVKYRDTDTSILCAVDDIQLILDDHVIKTQTM CGSVFIKPIEAECRKWEEKLVRVQENLDAWLKCQVTWLYLEPIFSSEDIIAQMPEEGKKF TTVDTYWKSLMAQAVNDTRVMVAADQPRMTEKLQEANVLLEDIQRGLNDYLEKKRLFFPR FFFLSNDELLEILSETKDPLRVQPHLKKCFEGIAKLEFTDNLEIKGMISSEKETVPFIQT IYPVKAKGMVEKWLQQVEQVMLASMRQVIENGIEAYVQVPRNAWVLEWPGQVVICVSSIF WTREVSEALVEDTLTDFLKKSNDQIAQIVELVRGKLSSGARLTLGALTVIDVHARDVVAK LRHDHINSLNDFQWISQLRYYWTEKNVHVQMITTEALYGYEYLGNSPRLVITPLTDRCYR TLMGALKLNLGGAPEGPAGTGKTETTKDLAKALAKQCVVFNCSDGLDYKAMGKFFKGLAQ AGAWACFDEFNRIEVEVLSVVAQQILSIQQAIIRKLKRFIFEGTELSLNPTCAVFITMNP GYAGRAELPDNLKALFRTVAMMVPDYALIGEISLYSMGFLDSRSLAQKIVATYRLCSEQL SSQHHYDYGMRAVKSVLTAAGNLKLKYPEENESVLLLRALLDVNLAKFLAQDVPLFQGII SDLFPGVVLPKPDYEVFLEALNNNIRKMKLQPVPWFIGKIIQIYEMMLVRHGYMIVGDPM GGKTSAYKVLAAALGDLHAANQMEEFAVEFKIINPKAITMGQLYGCFDAVSHEWTDGVLA NAFREQASSITDDRKWIIFDGPVDAVWIENMNTVLDDNKKLCLMSGEIIQMSSKMSLIFE PADLEQASPATVSRCGMIYMEAHQLGWKPLKDSYMDTLPRCLTKEHTELVEDMFTWLVQP CLDFSRLHCKFVVQTSPIHLAFSMMRLYSSLLDEIRDIQEEEMEIYEGLSSQQIFLWLQG LFLFSLVWTLAGTINAESRKKFDVFFRNLIMGMDDRNPRPKSVKLTKNNIFPERGSIYDF YFLKQGGGHWNAWTEYITKEEETIPANAKVSDLIIPTMETARQSFFLKTYLDHEIPILFV GPTGTGKSAITNDFLLHLPKNVYQPNFINFSARTSANQTQDIIMSKLDRRRKGLFGPPIG KKAVVFVDDLNMPAKEVYGAQPPIELLRQWIDHGYWFDKKDTNRLDIVDVLLVTAMGPPG GGRNDITGRFTRHLNIISINAFEDEILTKIFSSIADWHFGKGFDVMFLRYGKMLVQATQT IYRAAVENFLPTPSKSHYVFNLRDFSRVIQGVLLCPHTHLQDLEKFIRLWIHEVYRVFYD RLIDNDDRQTFFNLVKETTSNCFKQTMEKVLIHLSPTGKITDDNIRSLFFGDYLKPESDQ KIYDEIIDLRGLTVVMEYYLDEFNSVSKAPMSLVMFKFAIEHISRICRVLKQKKGHLLLV GIGGSGRQSATKLSTFMNSYELYQIEITKNYTNSDWREDLKKIMLQSGVATKSTVFLFSD NQIKHESFVEDINMLLNTGDVPNIFPADEKADLVEKMQTAARTEGEKVEATPLSMYNFFI ERGTNRAYFSLAMSPIGDAFRTRLRMFPSLINCCTIDWFQSWPTDALELVANKFLEDVEL DDNIRAEVVSMCKYFQESVKKLSVDYYNTLLRHNYVTPTSYLELILTFKTLLNSKRQEVD TIRNRYLAGLQKLEFASSQVAVMQVELTALQPQLIQTSEDTAMMMVKIELETKEADAKKL LVQADEKEANAAAAISQAIKNECEGDLAEAMPALEAALAALDTLNPSDITLVKSMQNPPG PVKLVMESICVMKGLKPERKPDPSGSGKMIEDYWGVSRKILGDLKFLESLKTYDKDNIPS VIMKRIRERFIDHPDFQPAVIKNVSSACEGLCKWVRAMEVYDRVAKVVAPKRERLREAEG KLEIQMQKLNQKRAELKLVEDRLQDLNDEFELMNRKKNSLEKNIEICSQKLVRAEKLISG LGGEKDRWTEAARQLGIRYDNLTGDVLLASGTVAYLGAFTVDYRAQCQNEWLVSCKDKVI PGSVDFSLSNTLGDPIKIRAWQIAGLPVDSFSVDNGIIVSNSRRWPLMIDPQGQANKWVK NMEKTNKLSVIKFSDTNYVRTLENALQFGTPVLLENVGEELDAFIEPILLKATFKQQGVE YMRLGENIIEYSREFKFYITTRLRNPHYLPEVAVKVCLLNFMITPLGLQDQLLGIVAAKE KPELEEKKNKLILESAQNKKQLKEIEDKILEVLSLCEGNILEDETAIKILSSSKVLSEEI SEKQEIASVTETQIDETRMGYKPVAVHSAAIFFCISDLAHIEPMYQYSLTWFINLYVQSL ANSNKSDELDLRIEYIIEHFTLSIYNNVCRSLFEKDKLLFSLLLTVGLLKERKAIDEEVW YFLLTGGVALDNPFPNPAPEWLSEKSWGEIVRASSLQKLKGLMEDVMQNIKVWKDIYDSA WPHEESLPSPWFFLQTLEKIAILRCLRPDKIVPAIQNFICETMGKIFIEAPTFDLQGSYG DSSCCVPLIFILSPGADPMAGLLKFADDVSMGGTKTQTISLGQGQGPIAANMINKAIHEG TWVVLQNCHLATSWMPALEKICEEVIVPENTNSEFRLWLTSYPSEKFPVSILQNGIKMTN EPPKGLRANLLRSYLNDPISDPLFFQSCTKPVIWQKLLFGLCFFHAIVQERRNYGALGWN IPYEFNESDLRISMRQIQMFLNDYEEVPFEALTYLTGECNYGGRVTDDKDRRLLLSLLSM FYCKEIETDNYHIAPGDAYVIPPYGSYQSYIEYLRTLPITAHPEVFGLHENADITKDNQE TNQLFQGVLLTLPRQSGGSGKSPQEVVEELAQDILSKLPNDFNLEEVMKKYPVVYKESMN TVLRQELIRFNRLTKVVRRSLIDLGRAIKGQVLMSSELEEVFNSMLVGKVPAMWAAKSYP SLKPLGGYVADLLARLTFFQEWIDKGPPVVFWISGFYFTQSFLTGVSQNYARKYTIPIDH IGFEFEVTPKETTMENIPEDGAYIKGLFLEGARWDRSTSQIGESLPKILYDPLPIIWLKP GESASFLHQDIYVCPVYKTSARRGILSTTGHSTNYVLSIELPTDMPQKHWINRGVASLCQ LDN >ENSMUSP00000028963.7 pep:known chromosome:GRCm38:2:152293828:152313996:1 gene:ENSMUSG00000027465.13 transcript:ENSMUST00000028963.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d20 description:TBC1 domain family, member 20 [Source:MGI Symbol;Acc:MGI:1914481] MALRPSKGDGSAGRWDRGAGKADFNAKRKKKVAEIHQALNSDPIDLAALRRMAISEGGLL TDEIRCQVWPKLLNVNTSEPPPVSRKDLRDMSKDYQQVLLDVRRSLRRFPPGMPDEQREG LQEELIDIILLVLDRNPQLHYYQGYHDIVVTFLLVVGERLATSLVEKLSTHHLRDFMDPT MDNTKHILNYLMPIIDQVSPELHDFMQSAEVGTIFALSWLITWFGHVLMDFRHVVRLYDF FLACHPLMPIYFAAVIVLYREQEVLDCDCDMASVHHLLSQIPQDLPYETLISRAGDLFVQ FPPSELAREAAAQQEAERTAASTFKDFELASTQQRPDMVLRQRFRGLLRPEARTKDVLTK PRTNRFVKLAVMGLTVALGAAALAVVKSALEWAPKFQLQLFP >ENSMUSP00000122542.1 pep:known chromosome:GRCm38:2:152293851:152308082:1 gene:ENSMUSG00000027465.13 transcript:ENSMUST00000144252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d20 description:TBC1 domain family, member 20 [Source:MGI Symbol;Acc:MGI:1914481] MALRPSKGDGSAGRWDRGAGKAGKDLRDMSKDYQQVLLDVRRSLRRFPPGMPDEQREGLQ EELIDIILLVLDRNPQLHYY >ENSMUSP00000119209.1 pep:known chromosome:GRCm38:2:152294180:152308428:1 gene:ENSMUSG00000027465.13 transcript:ENSMUST00000147591.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d20 description:TBC1 domain family, member 20 [Source:MGI Symbol;Acc:MGI:1914481] MAISEGGLLTDEIRCQVWPKLLNVNTSEPPPVSRKDLRDMSKDYQQVLLDVRRSLRRFPP GMPDEQREGLQEELIDIILLVLDRNPQLHYYQGYHDIVVTFLLVVGERLATSLVEKLSTH HLRDFMDPTMDNTKHILNYLMPIIDQVSPELHDFM >ENSMUSP00000114743.1 pep:known chromosome:GRCm38:7:51862015:51994975:1 gene:ENSMUSG00000030498.15 transcript:ENSMUST00000129604.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gas2 description:growth arrest specific 2 [Source:MGI Symbol;Acc:MGI:95657] MMCTALSPKVRSGPGLSDMHQYSQWLASRHEANLLPMKEDLALWLTNLLGIRP >ENSMUSP00000053514.6 pep:known chromosome:GRCm38:7:51878967:51994453:1 gene:ENSMUSG00000030498.15 transcript:ENSMUST00000051912.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2 description:growth arrest specific 2 [Source:MGI Symbol;Acc:MGI:95657] MMCTALSPKVRSGPGLSDMHQYSQWLASRHEANLLPMKEDLALWLTNLLGKEITAETFME KLDNGALLCQLAATVQEKFKESMDANKPAKTLPLKKIPCKASAPSGSFFARDNTANFLSW CRDLGVDETCLFESEGLVLHKQPREVCLCLLELGRIAARYGVEPPGLIKLEKEIEQEETL SAPSPSPSPSSKSSGKKSTGNLLDDAVKRISEDPPCKCPTKFCVERLSQGRYRVGEKILF IRMLHNKHVMVRVGGGWETFAGYLLKHDPCRMLQISRVDGKTSPVQSKSPTLKDMNPDNY LVVSATYKAKKEIK >ENSMUSP00000103217.2 pep:known chromosome:GRCm38:7:51879050:51994458:1 gene:ENSMUSG00000030498.15 transcript:ENSMUST00000107591.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2 description:growth arrest specific 2 [Source:MGI Symbol;Acc:MGI:95657] MMCTALSPKVRSGPGLSDMHQYSQWLASRHEANLLPMKEDLALWLTNLLGKEITAETFME KLDNGALLCQLAATVQEKFKESMDANKPAKTLPLKKIPCKASAPSGSFFARDNTANFLSW CRDLGVDETCLFESEGLVLHKQPREVCLCLLELGRIAARYGVEPPGLIKLEKEIEQEETL SAPSPSPSPSSKSSGKKSTGNLLDDAVKRISEDPPCKCPTKFCVERLSQGRYRVGEKILF IRMLHNKHVMVRVGGGWETFAGYLLKHDPCRMLQISRVDGKTSPVQSKSPTLKDMNPDNY LVVSATYKAKKEIK >ENSMUSP00000117367.1 pep:known chromosome:GRCm38:7:51880331:51943729:1 gene:ENSMUSG00000030498.15 transcript:ENSMUST00000145049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2 description:growth arrest specific 2 [Source:MGI Symbol;Acc:MGI:95657] MMCTALSPKVRSGPGLSDMHQYSQWLASRHEANLLPMKEDLALWLTNLLGKEITAETFME KLDNGALLCQLAATVQEKFKESMDANKPAKTLPLKKIPCKASAPSGSFFARDNTANFLSW CRDLGVDETCLFESEGLVLHKQPREVCLCLLELGRIAARYGVEPPGLIKLEKEIEQEETL SAPSP >ENSMUSP00000146537.1 pep:known chromosome:GRCm38:7:51887918:51994515:1 gene:ENSMUSG00000030498.15 transcript:ENSMUST00000208711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2 description:growth arrest specific 2 [Source:MGI Symbol;Acc:MGI:95657] MMCTALSPKVRSGPGLSDMHQYSQWLASRHEANLLPMKEDLALWLTNLLGKEITAETFME KLDNGALLCQLAATVQEKFKESMDANKPAKTLPLKKIPCKASAPSGSFFARDNTANFLSW CRDLGVDETCLFESEGLVLHKQPREVCLCLLELGRIAARYGVEPPGLIKLEKEIEQEETL SAPSPSPSPSSKSSGKKSTGNLLDDAVKRISEDPPCKCPTKFCVERLSQGRYRVGEKILF IRMLHNKHVMVRVGGGWETFAGYLLKHDPCRMLQISRVDGKTSPVQSKSPTLKDMNPDNY LVVSATYKAKKEIK >ENSMUSP00000032840.4 pep:known chromosome:GRCm38:7:78783119:78792989:1 gene:ENSMUSG00000030611.10 transcript:ENSMUST00000032840.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps11 description:mitochondrial ribosomal protein S11 [Source:MGI Symbol;Acc:MGI:1915244] MQVLRNSGSWLLRSWAGHGMTRFVGIAPAKNIHTGAPRLEDSAARQNTEREAAPSRFSLY PPVPGQESSLQWAGMKFEDVPIAHIKATYNNTQIQVVSATNASLARASCGTEGFRNAKKG TGIAAQTAGIAAAAKATGKGVTHIRVVVKGMGPGRWSAIKGLTMGGLEVISITDNTPVPH NGCRPRKARRL >ENSMUSP00000146020.1 pep:known chromosome:GRCm38:7:78783356:78792989:1 gene:ENSMUSG00000030611.10 transcript:ENSMUST00000133553.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrps11 description:mitochondrial ribosomal protein S11 [Source:MGI Symbol;Acc:MGI:1915244] MQVLRNSGSWLLRSWAGHGMTRFVGIAPAKNIHTGAPRLEDSAARQNTEREAAPSRFR >ENSMUSP00000099805.3 pep:known chromosome:GRCm38:4:108579454:108614833:1 gene:ENSMUSG00000028587.18 transcript:ENSMUST00000102744.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc1 description:origin recognition complex, subunit 1 [Source:MGI Symbol;Acc:MGI:1328337] MPSYLTRQKTRQTFSWVGRPLPNRKQFQQMYREICMKINDGSEIHIKVGQFVLIQGEDNK KPYVAKLIELFQNGAEVPPKKCARVQWFVRFLEIPVSKRHLLGRSPPAQEIFWYDCSDWD NKINVETIIGPVQVVALAPEEVIPVDQKSEETLFVKLSWNKKDFAPLPPEVLAALREQED SPEWQKPLKAKIKNVKSPARNTTEQEVKGIKSNHSTSKFHQTPANIVIPNAKKSLELDGL GFTRKPNTRWSKKSSCDSLDYQKTSKRRAAFSETTSPPKKPNKPREIKPSSALETRVKNG QTQPFCAKSSVVLRARNPAMTTTKLGVDNTLSPIRNGLRSSVVPSGGLTPVYIRRKAKEQ ETHKEPIRTSRVHRKSSLLTLKRIRQQLCLLDGDDRDQEEEESVDSESEEEDEFISSLPT RNSLGQSRTRQTPSKSPQKNPKPRTPHRATPQIRDRNLAVQEPASALEEARLRLHVSAVP DSLPCREQEFQDIYSFVESKLLDGTGGCMYISGVPGTGKTATVHEVIRCLQQAAETDDVP PFQYVEVNGMKLTEPHQVYVQILKKLTGQKATANHAAELLAKQFCGQGSQKETTVLLVDE LDLLWTHKQDVMYNLFDWPTHKGAHLIVLTIANTMDLPERIMMNRVSSRLGLTRMSFQPY SHSQLKQILVSRLRNLRAFEDDAIQLVARKVAALSGDARRCLDICRRATEICELSHLRGD SLSLVTVAHLMEAIDEMFSSSYITAIKNSSVVEQSFLRAIIAEFRRSGLEEATFQQIYSQ HVALCRMEGLPYPTMSETMAVCSRLGSCRLLLVEPSRNDLLLRVRLNVSQNDVLFALKEE >ENSMUSP00000032749.5 pep:known chromosome:GRCm38:7:80269649:80291579:1 gene:ENSMUSG00000030534.13 transcript:ENSMUST00000032749.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps33b description:vacuolar protein sorting 33B (yeast) [Source:MGI Symbol;Acc:MGI:2446237] MAFPHRLDAPELPDFSMLKRLARDQLIYLLEQLPGKKDLFIEADLMSPLDRIANVSILKQ HEVDKLYKVENKPALSANEQLCFLVRPRIKNMRYIASLVNADKLAGRIRKYKVILSPQKF YACEMVLEEEGVYGDVSCDEWAFSLLPLDVDLLSMELPEFFRDYFLEGDQRWINTVAQAL HLLSTLYGPFPNCYGIGRCAKMSYDLWRKLEEEEDSETKGRKPEIGHIFLLDRDVDFVTA LCSQVVYEGLVDDTFRIKCGSVDFGPEVTSSDKSLKVLLNAEDKVFSEIRNEHFSNVFGF LSQKARNLQAQYDRRRGMDIKQMKNFVSQELKGLKQEHRLLSLHIGACESIMKKKTKQDF QELIKTEHALLEGFNIRESTSYIEEHIDRQVSPIESLRLMCLLSITENGLIPKDYRSLKT QYLQSYGPEHLLTFSNLRRAGLLTEQAPGDTLTAVESKVSKLVTDKAAGKITDAFSSLAK RSNFRAISKKLNLIPRVDGEYDLKVPRDMAYVFSGAYVPLSCRIIEQVLDRRSWQGLDEV VRLLNCSEFAFTDTAKEDKASSESLRLILVVFLGGCTFSEISALRFLGREKGYRFIFLTT AVTNSARLMEAMSEVKS >ENSMUSP00000138224.1 pep:known chromosome:GRCm38:7:80269679:80291573:1 gene:ENSMUSG00000030534.13 transcript:ENSMUST00000150585.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps33b description:vacuolar protein sorting 33B (yeast) [Source:MGI Symbol;Acc:MGI:2446237] MAFPHRLDAPELPDFSMLKRLARDQLIYLLEQLPGKKDLFIEADLMSPLDRIANVSILKQ HEVDKLYKVENKPALSANEQLCFLVRPRIKNMRYIASLVNADKLAGRIRKYKVILSPQKF YACEMVLEEEGVYGGERNRICEL >ENSMUSP00000138472.1 pep:known chromosome:GRCm38:7:80269740:80291571:1 gene:ENSMUSG00000030534.13 transcript:ENSMUST00000135053.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps33b description:vacuolar protein sorting 33B (yeast) [Source:MGI Symbol;Acc:MGI:2446237] MAFPHRLDAPELPDFSMLKRLARDQLIYLLEQLPGKKDLFIEADLMSPLDRIANVSILKT VLLGQTSNQEYALHCQSCQC >ENSMUSP00000146021.1 pep:known chromosome:GRCm38:7:80290008:80291754:1 gene:ENSMUSG00000030534.13 transcript:ENSMUST00000205864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps33b description:vacuolar protein sorting 33B (yeast) [Source:MGI Symbol;Acc:MGI:2446237] XLKVPRDMAYVFSGAYVPLSCRIIEQVLDRRSWQGLDEVVRLLNCSEFAFTDTAKEDKAS SESLRLILVVFLGGCTFSEISALRFLGREKGFLCIALTVLELPL >ENSMUSP00000026487.4 pep:known chromosome:GRCm38:18:76930017:76941605:1 gene:ENSMUSG00000090000.1 transcript:ENSMUST00000026487.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ier3ip1 description:immediate early response 3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1913441] MAFTLYSLMQAALLCVNAIAVLHEERFLKNIGWGTDQGIGGFGEEPGIKSQLMNLIRSVR TVMRVPLIIVNSITIVLLLLFG >ENSMUSP00000108666.1 pep:known chromosome:GRCm38:X:139210042:139238333:1 gene:ENSMUSG00000042515.13 transcript:ENSMUST00000113043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mum1l1 description:melanoma associated antigen (mutated) 1-like 1 [Source:MGI Symbol;Acc:MGI:2445062] MDGKYVLCNWKDQLWPAKVLDRSESPSESKRKNTSSLEVEILSLDEKITVESTDTKVLSK SAVEAIMSSLAVQSEVIIAPREETAYERSLKMALEMVKEGTNLSQESMSEEQPTATASEN VPEQPPDSPPHKKFRKLESNTQEDSASILLCSESDDSMTDDKLQVHTTGESMPSEMDTKA TENLGCCQTDPSLADEDDKKEEKKKIDISAIMSVNLSLKEESEYIKEEKFVPSSEDLTVP KEESQDILPEAPLAVSSECSIVSENNMEDPGEGPSNQNLVSYANQNQSSVESDVGAETST AGCSGDFQVSLPTRDTVSSDLLLQRLDLEDLEEEARASGKLLSLNPASAAALENDNEDDD EDLPRFILHYETRAFETGMIVWFKYQKYPFWPAVIKSIRRKERKASVLLVEADMSPQKKG VRVSLRRLKKYDCKEKQALVEKAREEYRESIDWCVSLICDYRVRLGCGSFTGSFFEYYAA DISYPVRKIIKQDTFRNIFPKLYNENVGEQLPMASQAKRVSFQKILPDRMKPARDRANKN LVDFIVNAKGTEDHLLGILKGTKKSKWLKSFLNAKSFTPCIETYFEDEDQLDEVVKYLQE IYKQIDQKMLTLIKDDKIKFVLEVLLPEAIICSISAVDGLDYEAAEAKYLKGPSLGCRER ELYDSKILFEKRRRSLPNEGH >ENSMUSP00000126605.1 pep:known chromosome:GRCm38:X:139217166:139234802:1 gene:ENSMUSG00000042515.13 transcript:ENSMUST00000166444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mum1l1 description:melanoma associated antigen (mutated) 1-like 1 [Source:MGI Symbol;Acc:MGI:2445062] MDGKYVLCNWKDQLWPAKVLDRSESPSES >ENSMUSP00000125759.1 pep:known chromosome:GRCm38:X:139210042:139234975:1 gene:ENSMUSG00000042515.13 transcript:ENSMUST00000169886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mum1l1 description:melanoma associated antigen (mutated) 1-like 1 [Source:MGI Symbol;Acc:MGI:2445062] MDGKYVLCNWKDQLWPAKVLDRSESPSESKRKNTSSLEVEILSLDEKITVESTDTKVLSK SAVEAIMSSLAVQSEVIIAPREETAYE >ENSMUSP00000129943.1 pep:known chromosome:GRCm38:X:139217166:139235041:1 gene:ENSMUSG00000042515.13 transcript:ENSMUST00000170671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mum1l1 description:melanoma associated antigen (mutated) 1-like 1 [Source:MGI Symbol;Acc:MGI:2445062] MDGKYVLCNWKDQLWPAKVLDRSESPSESKRKNTSSLEVEILSLDEKITVESTDTKVLSK SAVEAIMSSLAVQSEVIIAPREETAYERSLKMALEMVKEGTNLSQESMS >ENSMUSP00000108668.2 pep:known chromosome:GRCm38:X:139210044:139238335:1 gene:ENSMUSG00000042515.13 transcript:ENSMUST00000113045.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mum1l1 description:melanoma associated antigen (mutated) 1-like 1 [Source:MGI Symbol;Acc:MGI:2445062] MDGKYVLCNWKDQLWPAKVLDRSESPSESKRKNTSSLEVEILSLDEKITVESTDTKVLSK SAVEAIMSSLAVQSEVIIAPREETAYERSLKMALEMVKEGTNLSQESMSEEQPTATASEN VPEQPPDSPPHKKFRKLESNTQEDSASILLCSESDDSMTDDKLQVHTTGESMPSEMDTKA TENLGCCQTDPSLADEDDKKEEKKKIDISAIMSVNLSLKEESEYIKEEKFVPSSEDLTVP KEESQDILPEAPLAVSSECSIVSENNMEDPGEGPSNQNLVSYANQNQSSVESDVGAETST AGCSGDFQVSLPTRDTVSSDLLLQRLDLEDLEEEARASGKLLSLNPASAAALENDNEDDD EDLPRFILHYETRAFETGMIVWFKYQKYPFWPAVIKSIRRKERKASVLLVEADMSPQKKG VRVSLRRLKKYDCKEKQALVEKAREEYRESIDWCVSLICDYRVRLGCGSFTGSFFEYYAA DISYPVRKIIKQDTFRNIFPKLYNENVGEQLPMASQAKRVSFQKILPDRMKPARDRANKN LVDFIVNAKGTEDHLLGILKGTKKSKWLKSFLNAKSFTPCIETYFEDEDQLDEVVKYLQE IYKQIDQKMLTLIKDDKIKFVLEVLLPEAIICSISAVDGLDYEAAEAKYLKGPSLGCRER ELYDSKILFEKRRRSLPNEGH >ENSMUSP00000108664.2 pep:known chromosome:GRCm38:X:139217166:139238335:1 gene:ENSMUSG00000042515.13 transcript:ENSMUST00000113041.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mum1l1 description:melanoma associated antigen (mutated) 1-like 1 [Source:MGI Symbol;Acc:MGI:2445062] MDGKYVLCNWKDQLWPAKVLDRSESPSESKRKNTSSLEVEILSLDEKITVESTDTKVLSK SAVEAIMSSLAVQSEVIIAPREETAYERSLKMALEMVKEGTNLSQESMSEEQPTATASEN VPEQPPDSPPHKKFRKLESNTQEDSASILLCSESDDSMTDDKLQVHTTGESMPSEMDTKA TENLGCCQTDPSLADEDDKKEEKKKIDISAIMSVNLSLKEESEYIKEEKFVPSSEDLTVP KEESQDILPEAPLAVSSECSIVSENNMEDPGEGPSNQNLVSYANQNQSSVESDVGAETST AGCSGDFQVSLPTRDTVSSDLLLQRLDLEDLEEEARASGKLLSLNPASAAALENDNEDDD EDLPRFILHYETRAFETGMIVWFKYQKYPFWPAVIKSIRRKERKASVLLVEADMSPQKKG VRVSLRRLKKYDCKEKQALVEKAREEYRESIDWCVSLICDYRVRLGCGSFTGSFFEYYAA DISYPVRKIIKQDTFRNIFPKLYNENVGEQLPMASQAKRVSFQKILPDRMKPARDRANKN LVDFIVNAKGTEDHLLGILKGTKKSKWLKSFLNAKSFTPCIETYFEDEDQLDEVVKYLQE IYKQIDQKMLTLIKDDKIKFVLEVLLPEAIICSISAVDGLDYEAAEAKYLKGPSLGCRER ELYDSKILFEKRRRSLPNEGH >ENSMUSP00000108665.2 pep:known chromosome:GRCm38:X:139217166:139238335:1 gene:ENSMUSG00000042515.13 transcript:ENSMUST00000113042.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mum1l1 description:melanoma associated antigen (mutated) 1-like 1 [Source:MGI Symbol;Acc:MGI:2445062] MDGKYVLCNWKDQLWPAKVLDRSESPSESKRKNTSSLEVEILSLDEKITVESTDTKVLSK SAVEAIMSSLAVQSEVIIAPREETAYERSLKMALEMVKEGTNLSQESMSEEQPTATASEN VPEQPPDSPPHKKFRKLESNTQEDSASILLCSESDDSMTDDKLQVHTTGESMPSEMDTKA TENLGCCQTDPSLADEDDKKEEKKKIDISAIMSVNLSLKEESEYIKEEKFVPSSEDLTVP KEESQDILPEAPLAVSSECSIVSENNMEDPGEGPSNQNLVSYANQNQSSVESDVGAETST AGCSGDFQVSLPTRDTVSSDLLLQRLDLEDLEEEARASGKLLSLNPASAAALENDNEDDD EDLPRFILHYETRAFETGMIVWFKYQKYPFWPAVIKSIRRKERKASVLLVEADMSPQKKG VRVSLRRLKKYDCKEKQALVEKAREEYRESIDWCVSLICDYRVRLGCGSFTGSFFEYYAA DISYPVRKIIKQDTFRNIFPKLYNENVGEQLPMASQAKRVSFQKILPDRMKPARDRANKN LVDFIVNAKGTEDHLLGILKGTKKSKWLKSFLNAKSFTPCIETYFEDEDQLDEVVKYLQE IYKQIDQKMLTLIKDDKIKFVLEVLLPEAIICSISAVDGLDYEAAEAKYLKGPSLGCRER ELYDSKILFEKRRRSLPNEGH >ENSMUSP00000146388.1 pep:known chromosome:GRCm38:7:58656166:58658878:1 gene:ENSMUSG00000025324.8 transcript:ENSMUST00000207668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp10a description:ATPase, class V, type 10A [Source:MGI Symbol;Acc:MGI:1330809] MERELPAAEESASSGWRRPRRRRWEGRTRTVRSNLLPPLGTEDSTIGAPKGERLLMRGCI QHLADNRLKTTKYTLLSFLPKNLFEQFHRLANVYFVFIALLNFVPAVNAFQPGLALAPVL FILAVTAIKDLWEDYSRHRSD >ENSMUSP00000129811.1 pep:known chromosome:GRCm38:7:58658246:58829420:1 gene:ENSMUSG00000025324.8 transcript:ENSMUST00000168747.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp10a description:ATPase, class V, type 10A [Source:MGI Symbol;Acc:MGI:1330809] MERELPAAEESASSGWRRPRRRRWEGRTRTVRSNLLPPLGTEDSTIGAPKGERLLMRGCI QHLADNRLKTTKYTLLSFLPKNLFEQFHRLANVYFVFIALLNFVPAVNAFQPGLALAPVL FILAVTAIKDLWEDYSRHRSDHEINHLGCLVFSREEKKYVNRYWKEIRVGDFVRLCCNEI IPADILLLSSSDPDGLCHIETANLDGETNLKRRQVVRGFSELVSEFNPLTFTSVIECEKP NNDLSRFRGYIMHSNGEKAGLHKENLLLRGCTIRNTEAVAGIVIYAGHETKALLNNSGPR YKRSQLERQMNCDVLWCVLLLVCISLFSAVGHGLWVRRYQEKKALFDVPESDGSSLSPAT AAVYSFFTMIIVLQVLIPISLYVSIEIVKVCQVYFINQDIELYDEETDSQLQCRALNITE DLGQIKYIFSDKTGTLTENKMVFRRCTVSGIEYSHDANAQRLARYQEADSEEEEVVSKVG TISHRGSTGSHQSIWMTHKTQSIKSHRRTGSRAEAKRASMLSKHTAFSSPMEKDITPDPK LLEKVSECDRFLAIARHQEHPLAHLSPELSDVFDFFIALTICNTVVVTSPDQPRQKVRVR FELKSPVKTIEDFLRRFTPSRLASGCSSIGNLSTSKSSHKSGSAFLPSLSQDSMLLGLEE KLGQTAPSIASNGYASQAGQEESWASECTTDQKCPGEQREQQEGELRYEAESPDEAALVY AARAYNCALVDRLHDQVSVELPHLGRLTFELLHTLGFDSIRKRMSVVIRHPLTDEINVYT KGADSVVMDLLLPCSSDDARGRHQKKIRSKTQNYLNLYAVEGLRTLCIAKRVLSKEEYAC WLQSHIEAEASVESREELLFQSAVRLETNLHLLGATGIEDRLQEGVPETIAKLRQAGLQI WVLTGDKQETAINIAYACKLLDHGEEVITLNADSQEACAALLDQCLSYVQSRNPRSTLQN SESNLSVGFSFNPVSTSTDASPSPSLVIDGRSLAYALEKSLEDKFLFLAKQCRSVLCCRS TPLQKSMVVKLVRSKLKAMTLAIGDGANDVSMIQVADVGVGISGQEGMQAVMASDFAVPR FRYLERLLIVHGHWCYSRLANMVLYFFYKNTMFVGLLFWFQFYCGFSASAMIDQWYLIFF NLLFSSLPQLVTGVLDKDVPADMLLREPQLYKSGQNMEEYRPRAFWLNMVDAAFQSLVCF FIPYLAYYDSDVDVFTWGTPVTAIALFTFLLHLGIETKTWTWLNWLACGFSTFLFFSVAL IYNTSCATCYPPSNPYWTMQTLLGDPLFYLTCLIAPIAALLPRLFFKALQGSLFPTQLQL GRQLAKKPLNKFSDPKETFAQGQPPGHSETELSERKTMGPFETLPRDCASQASQFTQQLT CSPEASGEPSAVDTNMPLRENTLLEGLGSQASGSSMPRGAISEVCPGDSKRQSTSASQTA RLSSLFHLPSFGSLNWISSLSLASGLGSVLQLSGSSLQMDKQDGEFLSNPPQPEQDLHSF QGQVTGYL >ENSMUSP00000107777.1 pep:known chromosome:GRCm38:X:167471309:168123330:-1 gene:ENSMUSG00000049176.14 transcript:ENSMUST00000112149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmpd4 description:FERM and PDZ domain containing 4 [Source:MGI Symbol;Acc:MGI:3042378] MDVFSFVKIPKLSSHRTKSSGWPPPSGTWGLNQVPPYGWEMMTNRDGRDYFINHMTQAIP FDDPRFDSCQIIPPAPRKVEMRRDPVLGFGFVAGSEKPVVVRSVTPGGPSEGKLIPGDQI VMINDEAVSAAPRERVIDLVRSCKESILLTVIQPYPSPKSAFISAAKKARLKSNPVKVRF SEEVIINGQVSETVKDNSLLFMPNVLKVYLENGQTKSFRFDCSTSIKDVILTLQEKLSIK GIEHFSLMLEQRIEGAGTKLLLLHEQETLTQVTQRPSSHKMRCLFRISFVPKDPIDLLRR DPVAFEYLYVQSCNDVVQERFGPELKYDIALRLAALQMYIATVTTKQTQKISLKYIEKEW GLETFLPSAVLQSMKEKNIKKALSHLVKANQNLVPPGKKLSALQAKVHYLKFLSDLRLYG GRVFKATLVQAEKRSEVTLLVGPRYGISHVINTKTNLVALLADFSHVNRIEMFTEEESLV RVELHVLDVKPITLLMESSDAMNLACLTAGYYRLLVDSRRSIFNMANKKNAGTQDTGSEN KGKHNLLGPDWNCMPQMTTFIGEGEQEAQITYIDSKQKTVEMTDSTLCPKEHRHLYIDNS YSSDELNQPLTQPGDAPCEADYRSLAQRSLLTLSGPDTLKKAQESPRGAKVSFIFGDLAL DDGMSPPTIGYERMLEENPEMLEKQRNLYISSANDMKNLDLTPDTDSIQFVANSVYANIG DVKNFEAPEGIEEPLLHDICYAENTDDAEDEDEVSCEEDLVVGEMNQPAILDLSGSSDDI IDLTTLPPPEGDDNEDDFLLRSLNMAIAAPPPGFRDSSDEEDTQSQATSFHEDKEQGSSL QNEEIPVSLIDAVPTSAEGKCEKGLDPAVVSTLEALEALSEEQQKSENSGVAILRAYSPE SSSDSGNETNSSEMTEGSELAAAQKQSESLSRMFLATHEGYHPLAEEQTEFPTSKAPSVG LPPKSSHGLAARPATDLPPKVVPSKQILHSDHMEMEPETMETKSVTDYFSKLHMGSVAYS CTSKRKSKLPEGEGKSPLSGNIPGKKQQGTKIAEIEEDTKGKAGTVSSRDNPHLSTFNLE RTAFRKDSQRWYVASDGGVVEKSGMEAPAMKVFPRGPGLGNREAEGKEDGTVEGGADDAS VLGQGDRFLTDMACVASAKDLDNPEDTDSPSCDHATKLSEAEDNVARLCDYHLAKRMSSL QSEGHFSLQSSQGSSVDTGCGPGSSSSACATPVESPLCPSMGKHMIPDASGKGGRYISPE ERAPGHPNHGATFEELHPQTEGMCPRMTVPALHTAINADPLFGTLRDGCHRLPKIKETTV >ENSMUSP00000107774.1 pep:known chromosome:GRCm38:X:167471309:167855077:-1 gene:ENSMUSG00000049176.14 transcript:ENSMUST00000112146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmpd4 description:FERM and PDZ domain containing 4 [Source:MGI Symbol;Acc:MGI:3042378] MMTNRDGRDYFINHMTQAIPFDDPRFDSCQIIPPAPRKVEMRRDPVLGFGFVAGSEKPVV VRSVTPGGPSEGKLIPGDQIVMINDEAVSAAPRERVIDLVRSCKESILLTVIQPYPSPKS AFISAAKKARLKSNPVKVRFSEEVIINGQVSETVKDNSLLFMPNVLKVYLENGQTKSFRF DCSTSIKDVILTLQEKLSIKGIEHFSLMLEQRIEGAGTKLLLLHEQETLTQVTQRPSSHK MRCLFRISFVPKDPIDLLRRDPVAFEYLYVQSCNDVVQERFGPELKYDIALRLAALQMYI ATVTTKQTQKISLKYIEKEWGLETFLPSAVLQSMKEKNIKKALSHLVKANQNLVPPGKKL SALQAKVHYLKFLSDLRLYGGRVFKATLVQAEKRSEVTLLVGPRYGISHVINTKTNLVAL LADFSHVNRIEMFTEEESLVRVELHVLDVKPITLLMESSDAMNLACLTAGYYRLLVDSRR SIFNMANKKNAGTQDTGSENKGKHNLLGPDWNCMPQMTTFIGEGEQEAQITYIDSKQKTV EMTDSTLCPKEHRHLYIDNSYSSDELNQPLTQPGDAPCEADYRSLAQRSLLTLSGPDTLK KAQESPRGAKVSFIFGDLALDDGMSPPTIGYERMLEENPEMLEKQRNLYISSANDMKNLD LTPDTDSIQFVANSVYANIGDVKNFEAPEGIEEPLLHDICYAENTDDAEDEDEVSCEEDL VVGEMNQPAILDLSGSSDDIIDLTTLPPPEGDDNEDDFLLRSLNMAIAAPPPGFRDSSDE EDTQSQATSFHEDKEQGSSLQNEEIPVSLIDAVPTSAEGKCEKGLDPAVVSTLEALEALS EEQQKSENSGVAILRAYSPESSSDSGNETNSSEMTEGSELAAAQKQSESLSRMFLATHEG YHPLAEEQTEFPTSKAPSVGLPPKSSHGLAARPATDLPPKVVPSKQILHSDHMEMEPETM ETKSVTDYFSKLHMGSVAYSCTSKRKSKLPEGEGKSPLSGNIPGKKQQGTKIAEIEEDTK GKAGTVSSRDNPHLSTFNLERTAFRKDSQRWYVASDGGVVEKSGMEAPAMKVFPRGPGLG NREAEGKEDGTVEGGADDASVLGQGDRFLTDMACVASAKDLDNPEDTDSPSCDHATKLSE AEDNVARLCDYHLAKRMSSLQSEGHFSLQSSQGSSVDTGCGPGSSSSACATPVESPLCPS MGKHMIPDASGKGGRYISPEERAPGHPNHGATFEELHPQTEGMCPRMTVPALHTAINADP LFGTLRDGCHRLPKIKETTV >ENSMUSP00000107775.1 pep:known chromosome:GRCm38:X:167471309:168577231:-1 gene:ENSMUSG00000049176.14 transcript:ENSMUST00000112147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmpd4 description:FERM and PDZ domain containing 4 [Source:MGI Symbol;Acc:MGI:3042378] MRSHSCHRTKSSGWPPPSGTWGLNQVPPYGWEMMTNRDGRDYFINHMTQAIPFDDPRFDS CQIIPPAPRKVEMRRDPVLGFGFVAGSEKPVVVRSVTPGGPSEGKLIPGDQIVMINDEAV SAAPRERVIDLVRSCKESILLTVIQPYPSPKSAFISAAKKARLKSNPVKVRFSEEVIING QVSETVKDNSLLFMPNVLKVYLENGQTKSFRFDCSTSIKDVILTLQEKLSIKGIEHFSLM LEQRIEGAGTKLLLLHEQETLTQVTQRPSSHKMRCLFRISFVPKDPIDLLRRDPVAFEYL YVQSCNDVVQERFGPELKYDIALRLAALQMYIATVTTKQTQKISLKYIEKEWGLETFLPS AVLQSMKEKNIKKALSHLVKANQNLVPPGKKLSALQAKVHYLKFLSDLRLYGGRVFKATL VQAEKRSEVTLLVGPRYGISHVINTKTNLVALLADFSHVNRIEMFTEEESLVRVELHVLD VKPITLLMESSDAMNLACLTAGYYRLLVDSRRSIFNMANKKNAGTQDTGSENKGKHNLLG PDWNCMPQMTTFIGEGEQEAQITYIDSKQKTVEMTDSTLCPKEHRHLYIDNSYSSDELNQ PLTQPGDAPCEADYRSLAQRSLLTLSGPDTLKKAQESPRGAKVSFIFGDLALDDGMSPPT IGYERMLEENPEMLEKQRNLYISSANDMKNLDLTPDTDSIQFVANSVYANIGDVKNFEAP EGIEEPLLHDICYAENTDDAEDEDEVSCEEDLVVGEMNQPAILDLSGSSDDIIDLTTLPP PEGDDNEDDFLLRSLNMAIAAPPPGFRDSSDEEDTQSQATSFHEDKEQGSSLQNEEIPVS LIDAVPTSAEGKCEKGLDPAVVSTLEALEALSEEQQKSENSGVAILRAYSPESSSDSGNE TNSSEMTEGSELAAAQKQSESLSRMFLATHEGYHPLAEEQTEFPTSKAPSVGLPPKSSHG LAARPATDLPPKVVPSKQILHSDHMEMEPETMETKSVTDYFSKLHMGSVAYSCTSKRKSK LPEGEGKSPLSGNIPGKKQQGTKIAEIEEDTKGKAGTVSSRDNPHLSTFNLERTAFRKDS QRWYVASDGGVVEKSGMEAPAMKVFPRGPGLGNREAEGKEDGTVEGGADDASVLGQGDRF LTDMACVASAKDLDNPEDTDSPSCDHATKLSEAEDNVARLCDYHLAKRMSSLQSEGHFSL QSSQGSSVDTGCGPGSSSSACATPVESPLCPSMGKHMIPDASGKGGRYISPEERAPGHPN HGATFEELHPQTEGMCPRMTVPALHTAINADPLFGTLRDGCHRLPKIKETTV >ENSMUSP00000107773.2 pep:known chromosome:GRCm38:X:167471313:167897558:-1 gene:ENSMUSG00000049176.14 transcript:ENSMUST00000112145.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmpd4 description:FERM and PDZ domain containing 4 [Source:MGI Symbol;Acc:MGI:3042378] MRSHSCHRTKSSGWPPPSGTWGLNQVPPYGWEMMTNRDGRDYFINHMTQAIPFDDPRFDS CQIIPPAPRKVEMRRDPVLGFGFVAGSEKPVVVRSVTPGGPSEGKLIPGDQIVMINDEAV SAAPRERVIDLVRSCKESILLTVIQPYPSPKSAFISAAKKARLKSNPVKVRFSEEVIING QVSETVKDNSLLFMPNVLKVYLENGQTKSFRFDCSTSIKDVILTLQEKLSIKGIEHFSLM LEQRIEGAGTKLLLLHEQETLTQVTQRPSSHKMRCLFRISFVPKDPIDLLRRDPVAFEYL YVQSCNDVVQERFGPELKYDIALRLAALQMYIATVTTKQTQKISLKYIEKEWGLETFLPS AVLQSMKEKNIKKALSHLVKANQNLVPPGKKLSALQAKVHYLKFLSDLRLYGGRVFKATL VQAEKRSEVTLLVGPRYGISHVINTKTNLVALLADFSHVNRIEMFTEEESLVRVELHVLD VKPITLLMESSDAMNLACLTAGYYRLLVDSRRSIFNMANKKNAGTQDTGSENKGKHNLLG PDWNCMPQMTTFIGEGEQEAQITYIDSKQKTVEMTDSTLCPKEHRHLYIDNSYSSDELNQ PLTQPGDAPCEADYRSLAQRSLLTLSGPDTLKKAQESPRGAKVSFIFGDLALDDGMSPPT IGYERMLEENPEMLEKQRNLYISSANDMKNLDLTPDTDSIQFVANSVYANIGDVKNFEAP EGIEEPLLHDICYAENTDDAEDEDEVSCEEDLVVGEMNQPAILDLSGSSDDIIDLTTLPP PEGDDNEDDFLLRSLNMAIAAPPPGFRDSSDEEDTQSQATSFHEDKEQGSSLQNEEIPVS LIDAVPTSAEGKCEKGLDPAVVSTLEALEALSEEQQKSENSGVAILRAYSPESSSDSGNE TNSSEMTEGSELAAAQKQSESLSRMFLATHEGYHPLAEEQTEFPTSKAPSVGLPPKSSHG LAARPATDLPPKVVPSKQILHSDHMEMEPETMETKSVTDYFSKLHMGSVAYSCTSKRKSK LPEGEGKSPLSGNIPGKKQQGTKIAEIEEDTKGKAGTVSSRDNPHLSTFNLERTAFRKDS QRWYVASDGGVVEKSGMEAPAMKVFPRGPGLGNREAEGKEDGTVEGGADDASVLGQGDRF LTDMACVASAKDLDNPEDTDSPSCDHATKLSEAEDNVARLCDYHLAKRMSSLQSEGHFSL QSSQGSSVDTGCGPGSSSSACATPVESPLCPSMGKHMIPDASGKGGRYISPEERAPGHPN HGATFEELHPQTEGMCPRMTVPALHTAINADPLFGTLRDGCHRLPKIKETTV >ENSMUSP00000099410.3 pep:known chromosome:GRCm38:2:158182533:158229222:-1 gene:ENSMUSG00000037813.13 transcript:ENSMUST00000103121.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630003M21Rik description:RIKEN cDNA D630003M21 gene [Source:MGI Symbol;Acc:MGI:3606579] MDPSALDMAIQHALAGLYPPFEATAPTVLGQVFRLLDSDFRGDGLSFLLDFLIPAKRLCE QVREAACALYTHCLFLHEGWPLCLRDEVVVHLAPLNPLLLRQGDFYLQVESWEEQSVHMT LKCLSSDLREVDKKPIPESSYSLIFTPEWLEAINNDFEGRPLHNCLVASENGITPVPWTK ITSPEFVDDRPPIVKVPSSDGDSCPLEDLHLSRPQEPYQAGDLGGKGSVAQIWDKGKGKL SGDKYPGLIKVEPARSGQLAFRTDSEASQSLEGDYVALLGFPQEYRGASPDSEVVTLSVD IQKSQETRPRTKGAPLLGKTLPLSGPAGAPPLGRWACERPAGSGEKPCSGGSRRKARHKA SGHTAVRQPQQPHTSVPEKLPDCTSGLVEDTEEEPAASEMQKPVGMPEAMVRLRPGPRQA FSPLLSSAGPGSPAAETKTEETTLGHGRASKPEDCLNKNASFHGSPAPGLQFSFLKEQRV PHVTPEKALLQHARPRKALCPLYSLQPCEAKAPGKDGTTLPTTSGSGPLSGEPPGFRRDS AGPPGGGLRLEECSRTKPRIETLGVKLLQSGIACLPGGRDKVGRPLLLVSTAEDAWEAPW CTTSEVAELLSYLCSVPRLEDKAKGLLVVIDARKGTQRPGLVSALQGIQALAPASVSKVL LLGEKASIPQLSVLPAQVEVLTSLKALRNHVDPSQLPEALEGPFPYHHSEWVQFFQKLDP FLTDLRQASSLLQASIQEFEKGEPPGGVQEATRCLSKSKELMETVLRDPGLLALQREGGT TLASLQQEASGLNANPDVRSHLTEAAALYNLVDGQLHDLVTASNQLLRRLELRVRLGHLE TAIHQVSDWMAGEGSQSLQALAPVHVCAETVEKVHAEFEDFFLQVAAQYRQGLDLSKQAA QLGAAEEGAGEMGLPDLAAFASTQQAFQARLTHFYMAAERQRTDLETLLHLHRFRRKMSR FHMDCQNLLTQLSLGKAVKASPGDQLHLRLHCYLKRLASEFQTEKLLAMKLQVASLSRPG LGQEVWEEAQERHQEIQSLLRKALAYCPCPEVPATQVALIDRSRTRRNQDGSFLEASPLK LALTVAEGATQTERTLITSSISLESL >ENSMUSP00000040546.5 pep:known chromosome:GRCm38:2:158195751:158229222:-1 gene:ENSMUSG00000037813.13 transcript:ENSMUST00000046944.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630003M21Rik description:RIKEN cDNA D630003M21 gene [Source:MGI Symbol;Acc:MGI:3606579] MDPSALDMAIQHALAGLYPPFEATAPTVLGQVFRLLDSDFRGDGLSFLLDFLIPAKRLCE QVREAACALYTHCLFLHEGWPLCLRDEVVVHLAPLNPLLLRQGDFYLQVESWEEQSVHMT LKCLSSDLREVDKKPIPESSYSLIFTPEWLEAINNDFEGRPLHNCLVASENGITPVPWTK ITSPEFVDDRPPIVKVPSSDGDSCPLEDLHLSRPQEPYQAGDLGGKGSVAQIWDKGKGKL SGDKYPGLIKVEPARSGQLAFRTDSEASQSLEGDYVALLGFPQEYRGASPDSEVVTLSVD IQKSQETRPRTKGAPLLGKTLPLSGPAGAPPLGRWACERPAGSGEKPCSGGSRRKARHKA SGHTAVRQPQQPHTSVPEKLPDCTSGLVEDTEEEPAASEMQKPVGMPEAMVRLRPGPRQA FSPLLSSAGPGSPAAETKTEETTLGHGRASKPEDCLNKNASFHGSPAPGLQFSFLKEQRV PHVTPEKALLQHARPRKALCPLYSLQPCEAKAPGKDGTTLPTTSGSGPLSGEPPGFRRDS AGPPGGGLRLEECSRTKPRIETLGVKLLQSGIACLPGGRDKVGRPLLLVSTAEDAWEAPW CTTSEVAELLSYLCSVPRLEDKAKGLLVVIDARKGTQRPGLVSALQGIQALAPASVSKVL LLGEKASIPQLSVLPAQVEVLTSLKALRNHVDPSQLPEALEGPFPYHHSEWVQFFQKLDP FLTDLRQASSLLQASIQEFEKGEPPGGVQEATRCLSKSKELMETVLRDPGLLALQREGGT TLASLQQEASGLNANPDVRSHLTEAAALYNLVDGQLHDLVTASNQLLRRLELRVRLGHLE TAIHQVSDWMAGEGSQSLQALAPVHVCAETVEKVHAEFEDFFLQVAAQYRQGLDLSKQAA QLGAAEEGAGEMGLPDLAAFASTQQAFQARLTHFYMAAERQRTDLETLLHLHRFRRKMSR FHMDCQNLLTQLSLGKAVKASPGDQLHLRLHCYLKRLASEFQTEKLLAMKLQVASLSRPG LGQEVWEEAQERHQEIQSLLRKALAYCPCPEVPATQVALIDRSRPVAKGQGLPREVGSKW DRSLQDSLAVDHVFKSQRTPQGEQSRNMWAGLLSPEPGQSGDTEEVRGTPKLPDPTLERL LASLFSWPHLPKQSKASRPTGGSFSSEGTGSQTSLEDSPHTSPPASL >ENSMUSP00000130623.1 pep:known chromosome:GRCm38:2:158186158:158229222:-1 gene:ENSMUSG00000037813.13 transcript:ENSMUST00000169335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630003M21Rik description:RIKEN cDNA D630003M21 gene [Source:MGI Symbol;Acc:MGI:3606579] MDPSALDMAIQHALAGLYPPFEATAPTVLGQVFRLLDSDFRGDGLSFLLDFLIPAKRLCE QVREAACALYTHCLFLHEGWPLCLRDEVVVHLAPLNPLLLRQGDFYLQVESWEEQSVHMT LKCLSSDLREVDKKPIPESSYSLIFTPEWLEAINNDFEGRPLHNCLVASENGITPVPWTK ITSPEFVDDRPPIVKVPSSDGDSCPLEDLHLSRPQEPYQAGDLGGKGSVAQIWDKGKGKL SGDKYPGLIKVEPARSGQLAFRTDSEASQSLEGDYVALLGFPQEYRGASPDSEVVTLSVD IQKSQETRPRTKGAPLLGKTLPLSGPAGAPPLGRWACERPAGSGEKPCSGGSRRKARHKA SGHTAVRQPQQPHTSVPEKLPDCTSGLVEDTEEEPAASEMQKPVGMPEAMVRLRPGPRQA FSPLLSSAGPGSPAAETKTEETTLGHGRASKPEDCLNKNASFHGSPAPGLQFSFLKEQRV PHVTPEKALLQHARPRKALCPLYSLQPCEAKAPGKDGTTLPTTSGSGPLSGEPPGFRRDS AGPPGGGLRLEECSRTKPRIETLGVKLLQSGIACLPGGRDKVGRPLLLVSTAEDAWEAPW CTTSEVAELLSYLCSVPRLEDKAKGLLVVIDARKGTQRPGLVSALQGIQALAPASVSKVL LLGEKASIPQLSVLPAQVEVLTSLKALRNHVDPSQLPEALEGPFPYHHSEWVQFFQKLDP FLTDLRQASSLLQASIQEFEKGEPPGGVQEATRCLSKSKELMETVLRDPGLLALQREGGT TLASLQQEASGLNANPDVRSHLTEAAALYNLVDGQLHDLVTASNQLLRRLELRVRLGHLE TAIHQVSDWMAGEGSQSLQALAPVHVCAETVEKVHAEFEDFFLQVAAQYRQGLDLSKQAA QLGAAEEGAGEMGLPDLAAFASTQQAFQARLTHFYMAAERQRTDLETLLHLHRFRRKMSR FHMDCQNLLTQLSLGKAVKASPGDQLHLRLHCYLKRLASEFQTEKLLAMKLQVASLSRPG LGQEVWEEAQERHQEIQSLLRKALAYCPCPEVPATQVALIDRSRTRRNQDGSFLEASPLK LALTVAEGATQTERTLITSSISLESL >ENSMUSP00000113487.1 pep:known chromosome:GRCm38:18:59062248:59076962:1 gene:ENSMUSG00000050875.11 transcript:ENSMUST00000117064.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:A730017C20Rik description:RIKEN cDNA A730017C20 gene [Source:MGI Symbol;Acc:MGI:2442934] MKGDMDLSVLPNNNHPDKFLQLDVKSLMRSSTLLQASLARFPGGNYPATQHWQNLVYSQS PVASQRNSVSRREKAANLHYACIPRRQRGRHMYYWGERKNHCYSANQGIWCGEPSPS >ENSMUSP00000125952.2 pep:known chromosome:GRCm38:18:59062282:59076962:1 gene:ENSMUSG00000050875.11 transcript:ENSMUST00000165666.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A730017C20Rik description:RIKEN cDNA A730017C20 gene [Source:MGI Symbol;Acc:MGI:2442934] MKGDMDLSVLPNNNHPDKFLQLDVKSLMRSSTLLQASLARFPGGNYPATQHWQNLVYSQR EKTIATQRIKGFGVENPVLPESPPASMSSVMKNNPLYGDITLEEAMEERKKSPSWTIEEY DKHSVHTNLSGHLKENPNDLRFWLGDTYTPGFDTLLKKKKKRNKRSKLCHMGLILLLVAS ILVTIVTLSTIFS >ENSMUSP00000056379.2 pep:known chromosome:GRCm38:18:59062462:59076962:1 gene:ENSMUSG00000050875.11 transcript:ENSMUST00000058633.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A730017C20Rik description:RIKEN cDNA A730017C20 gene [Source:MGI Symbol;Acc:MGI:2442934] MPAYQEDREEDTCTIGEREKTIATQRIKGFGVENPVLPESPPASMSSVMKNNPLYGDITL EEAMEERKKSPSWTIEEYDKHSVHTNLSGHLKENPNDLRFWLGDTYTPGFDTLLKKKKKR NKRSKLCHMGLILLLVASILVTIVTLSTIFS >ENSMUSP00000135020.1 pep:known chromosome:GRCm38:18:59062466:59075621:1 gene:ENSMUSG00000050875.11 transcript:ENSMUST00000175897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A730017C20Rik description:RIKEN cDNA A730017C20 gene [Source:MGI Symbol;Acc:MGI:2442934] XMRSSTLLQASLARFPGGNYPATQHWQNLVYSQSPVASQRNSVSRREKAAREKTIATQRI KGFGVENPVLPESPPASMSSVMKNNPLYGDITLEEAMEERKKSPSWTIEEYDKHSVHTNL SGHLKENPNDLRFWLGDTYTPGFDTL >ENSMUSP00000113023.1 pep:known chromosome:GRCm38:18:59062494:59075824:1 gene:ENSMUSG00000050875.11 transcript:ENSMUST00000118510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A730017C20Rik description:RIKEN cDNA A730017C20 gene [Source:MGI Symbol;Acc:MGI:2442934] MPAYQEDREEDTCTIGEREKTIATQRIKGFGVENPVLPESPPASMSSVMKNNPLYGDITL EEAMEERKKSPSWTIEEYDKHSVHTNLSGHLKENPNDLRFWLGDTYTPGFDTLLKKKKKR NKRSKLCHMGLILLLVASILVTIVTLSTIFS >ENSMUSP00000135330.1 pep:known chromosome:GRCm38:18:59062511:59075931:1 gene:ENSMUSG00000050875.11 transcript:ENSMUST00000175830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A730017C20Rik description:RIKEN cDNA A730017C20 gene [Source:MGI Symbol;Acc:MGI:2442934] MPAYQEDREEDTCTIGEREKTIATQRIKGFGVENPVLPESPPASMSSVMKNNPLYGDITL EEAMEERKKSPSWTIEEYDKHSVHTNLSGHLKENPNDLRFWLGDTYTPGFDTLLKKKKKR NKRSKLCHMGLILLLVASILVTIVTLSTIFS >ENSMUSP00000027947.6 pep:known chromosome:GRCm38:1:190925112:190946962:1 gene:ENSMUSG00000026634.16 transcript:ENSMUST00000027947.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angel2 description:angel homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1196310] MFPYPLKSLGRDWTTPWEDLQKYCWRRHISSCLRWPGHYSRAPYPYFSSRHFSLNCRPPF LFESGTQFQYYNWRSDHLSNASLIHLSRHVMTSDRDEPLSKRRKHQGTIKRNWEYLCSHN KENTKDLEDRNVDSTCEDREDKFDFSVMSYNILSQDLLEDNSHLYRHCRRPVLHWSFRFP NILKEIKHFDADVLCLQEVQEDHYGTEIRPSLESLGYHCEYKMKTGRKPDGCAICFKHSR FSLLSVNPVEFCRRDIPLLDRDNIGLVLLLQPKIPRAASPSICIANTHLLYNPRRGDIKL TQLAMLLAEIANVTHRKDGSSCPIVMCGDFNSVPGSPLYSFIKEGKLNYEGLAIGKVSGQ EQSSRGQRILSIPIWPPNLGISQNCVYEAQQVPKVEKTDSDVTQAQQEKAEVPVSADKVS SHLQHGFSLSSVYSHYVPDTGVPEVTTCHSRSAITVDYIFYTAKKENTAQGPGAEVALVG GLKLLARLSLLTEQDLWTVNGLPNEHNSSDHLPLLAKFRLEL >ENSMUSP00000064556.7 pep:known chromosome:GRCm38:1:190928492:190946962:1 gene:ENSMUSG00000026634.16 transcript:ENSMUST00000066632.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angel2 description:angel homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1196310] METWRCVRRGYGRCVAGRGRYSMFPYPLKSLGRDWTTPWEDLQKYCWRRHISSCLRWPGH YSRAPYPYFSSRHFSLNCRPPFLFESGTQFQYYNWRSDHLSNASLIHLSRHVMTSDRDEP LSKRRKHQGTIKRNWEYLCSHNKENTKDLEDRNVDSTCEDREDKFDFSVMSYNILSQDLL EDNSHLYRHCRRPVLHWSFRFPNILKEIKHFDADVLCLQEVQEDHYGTEIRPSLESLGYH CEYKMKTGRKPDGCAICFKHSRFSLLSVNPVEFCRRDIPLLDRDNIGLVLLLQPKIPRAA SPSICIANTHLLYNPRRGDIKLTQLAMLLAEIANVTHRKDGSSCPIVMCGDFNSVPGSPL YSFIKEGKLNYEGLAIGKVSGQEQSSRGQRILSIPIWPPNLGISQNCVYEAQQVPKVEKT DSDVTQAQQEKAEVPVSADKVSSHLQHGFSLSSVYSHYVPDTGVPEVTTCHSRSAITVDY IFYTAKKENTAQGPGAEVALVGGLKLLARLSLLTEQDLWTVNGLPNEHNSSDHLPLLAKF RLEL >ENSMUSP00000117912.1 pep:known chromosome:GRCm38:1:190928492:190946962:1 gene:ENSMUSG00000026634.16 transcript:ENSMUST00000123384.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Angel2 description:angel homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1196310] MSYNILSQDLLEDNSHLYRHCRRPVLHWSFRFPNILKEIKHFDADVLCLQEVQEDHYGTE IRPSLESLGYHCEYKMKTGRKPDGCAICFKHSRFSLLSVNPVEFCRRDIPLLDRDNIGLV LLLQPKIPRAASPSICIANTHLLYNPRRGDIKLTQLAMLLAEIANVTHRKDGSSCPIVMC GDFNSVPGSPLYSFIKEGKLNYEGLAIGKTVM >ENSMUSP00000106524.1 pep:known chromosome:GRCm38:1:190928492:190946962:1 gene:ENSMUSG00000026634.16 transcript:ENSMUST00000110899.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angel2 description:angel homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1196310] MFPYPLKSLGRDWTTPWEDLQKYCWRRHISSCLRWPGHYSRAPYPYFSSRHFSLNCRPPF LFESGTQFQYYNWRSDHLSNASLIHLSRHVMTSDRDEPLSKRRKHQGTIKRNWEYLCSHN KENTKDLEDRNVDSTCEDREDKFDFSVMSYNILSQDLLEDNSHLYRHCRRPVLHWSFRFP NILKEIKHFDADVLCLQEVQEDHYGTEIRPSLESLGYHCEYKMKTGRKPDGCAICFKHSR FSLLSVNPVEFCRRDIPLLDRDNIGLVLLLQPKIPRAASPSICIANTHLLYNPRRGDIKL TQLAMLLAEIANVTHRKDGSSCPIVMCGDFNSVPGSPLYSFIKEGKLNYEGLAIGKVSGQ EQSSRGQRILSIPIWPPNLGISQNCVYEAQQVPKVEKTDSDVTQAQQEKAEVPVSADKVS SHLQHGFSLSSVYSHYVPDTGVPEVTTCHSRSAITVDYIFYTAKKENTAQGPGAEVALVG GLKLLARLSLLTEQDLWTVNGLPNEHNSSDHLPLLAKFRLEL >ENSMUSP00000115804.2 pep:known chromosome:GRCm38:1:190928822:190937702:1 gene:ENSMUSG00000026634.16 transcript:ENSMUST00000135364.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angel2 description:angel homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1196310] MFPYPLKSLGRDWTTPWEDLQKYCWRRHISSCLRWPGHYSRAPYPYFSSRHFSLNCRPPF LFESGTQFQYYNWRSDHLSNASLIHLSRHVMTSDRDEPLSKRRKHQGTIKRNWEYLCSHN KENTKDLEDRNVDSTCEDREDKFDFSVMSYNILSQDLLEDNSHLYRHCRRPVLHWSFRFP NILKEIKHFDADV >ENSMUSP00000142318.1 pep:known chromosome:GRCm38:1:190928851:190937477:1 gene:ENSMUSG00000026634.16 transcript:ENSMUST00000130298.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Angel2 description:angel homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1196310] MFPYPLKSLGRDWTTPWEDLQKYCWRRHISSCLRWPGHYSRAPYPYFSSRHFSLNCRPPF LFESGTQFQYYNWRSDHLSNASLIHLSRHVMTSDRDEPLSKRRKHQGVENKEKALR >ENSMUSP00000144828.1 pep:known chromosome:GRCm38:6:126101412:126164960:-1 gene:ENSMUSG00000049107.13 transcript:ENSMUST00000204542.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntf3 description:neurotrophin 3 [Source:MGI Symbol;Acc:MGI:97380] MVTSATILQVNKVMSILFYVIFLAYLRGIQGNSMDQRSLPEDSLNSLIIKLIQADILKNK LSKQMVDVKENYQSTLPKAEAPREPEQGEATRSEFQPMIATDTELLRQQRRYNSPRVLLS DSTPLEPPPLYLMEDYVGNPVVANRTSPRRKRYAEHKSHRGEYSVCDSESLWVTDKSSAI DIRGHQVTVLGEIKTGNSPVKQYFYETRCKEARPVKNGCRGIDDKHWNSQCKTSQTYVRA LTSENNKLVGWRWIRIDTSCVCALSRKIGRT >ENSMUSP00000107863.2 pep:known chromosome:GRCm38:6:126101412:126166715:-1 gene:ENSMUSG00000049107.13 transcript:ENSMUST00000112244.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntf3 description:neurotrophin 3 [Source:MGI Symbol;Acc:MGI:97380] MVTSATILQVNKVMSILFYVIFLAYLRGIQGNSMDQRSLPEDSLNSLIIKLIQADILKNK LSKQMVDVKENYQSTLPKAEAPREPEQGEATRSEFQPMIATDTELLRQQRRYNSPRVLLS DSTPLEPPPLYLMEDYVGNPVVANRTSPRRKRYAEHKSHRGEYSVCDSESLWVTDKSSAI DIRGHQVTVLGEIKTGNSPVKQYFYETRCKEARPVKNGCRGIDDKHWNSQCKTSQTYVRA LTSENNKLVGWRWIRIDTSCVCALSRKIGRT >ENSMUSP00000052302.7 pep:known chromosome:GRCm38:6:126101412:126166910:-1 gene:ENSMUSG00000049107.13 transcript:ENSMUST00000050484.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntf3 description:neurotrophin 3 [Source:MGI Symbol;Acc:MGI:97380] MSILFYVIFLAYLRGIQGNSMDQRSLPEDSLNSLIIKLIQADILKNKLSKQMVDVKENYQ STLPKAEAPREPEQGEATRSEFQPMIATDTELLRQQRRYNSPRVLLSDSTPLEPPPLYLM EDYVGNPVVANRTSPRRKRYAEHKSHRGEYSVCDSESLWVTDKSSAIDIRGHQVTVLGEI KTGNSPVKQYFYETRCKEARPVKNGCRGIDDKHWNSQCKTSQTYVRALTSENNKLVGWRW IRIDTSCVCALSRKIGRT >ENSMUSP00000058968.6 pep:known chromosome:GRCm38:7:119852796:119895745:-1 gene:ENSMUSG00000048787.13 transcript:ENSMUST00000059851.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d3 description:DCN1, defective in cullin neddylation 1, domain containing 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2679003] MGQCVTKCKNPSSTLGSKNGDRDPSNKSHSRRGASHREEQVPPCGKPAGDILVNGTKKAE AATEACQLPTSSGDAGRESKTNAEESSLQRLEELFRRYKDEREDAILEEGMERFCNDLCV DPTEFRVLLLAWKFQAATMCKFTRKEFFDGCKAISADSIDGICARFPSLLTEAKQEDKFK DLYRFTFQFGLDSEEGQRSLHREIAIALWKLVFTQNNPPVLDQWLNFLTENPSGIKGISR DTWNMFLNFTQVIGPDLSNYSEDEAWPSLFDTFVEWEMERRKREVEGRGTLSSGQEGLCP EEQT >ENSMUSP00000147253.1 pep:known chromosome:GRCm38:7:119855806:119896125:-1 gene:ENSMUSG00000048787.13 transcript:ENSMUST00000207233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d3 description:DCN1, defective in cullin neddylation 1, domain containing 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2679003] MGQCVTKCKNPSSTLGSKNGDRDPSNKSHSRRGASHREEQVPPCGKPAGDILVNGTKKAE AATEACQLPTSSGDAGRESKTNAEESSLQRLEELFRRYKDEREDAILEEGMERFCNDLCV DPTEFRVLLLAWKFQAATMCKFTRKEFFDGCKAISADSIDGICARFPSLLTEAKQEDKFK DLYRFTFQFGLDSEEGQRSLHREIAIALWKLVFTQNNPPVLDQWLNFLTENPSGIKGISR DTWNMFLNFTQVIGPDLSNYSEDEAWPSLFDTFVEWEMERRKREVEGRGTLSSGQEGLCP EEQT >ENSMUSP00000095686.2 pep:known chromosome:GRCm38:7:119855813:119895543:-1 gene:ENSMUSG00000048787.13 transcript:ENSMUST00000098080.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d3 description:DCN1, defective in cullin neddylation 1, domain containing 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2679003] MGQCVTKCKNPSSTLGSKNGDRDPSNKSHSRRGASHREEQVPPCGKPAGDILVNGTKKAE AATEACQLPTSSGDAGRESKTNAEESSLQRLEELFRRYKDEREDAILEEGMERFCNDLCV DPTEFRVLLLAWKFQAATMCKFTRKEFFDGCKAISADSIDGICARFPSLLTEAKQEDKFK DLYRFTFQFGLDSEEGQRSLHREIAIALWKLVFTQNNPPVLDQWLNFLTENPSGIKGISR DTWNMFLNFTQVIGPDLSNYSEDEAWPSLFDTFVEWEMERRKREVEGRGTLSSGQEGLCP EEQT >ENSMUSP00000102129.1 pep:known chromosome:GRCm38:7:119857325:119896291:-1 gene:ENSMUSG00000048787.13 transcript:ENSMUST00000106519.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d3 description:DCN1, defective in cullin neddylation 1, domain containing 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2679003] MGQCVTKCKNPSSTLGSKNGDRDPSNKSHSRRGASHREEQVPPCGKPAGDILVNGTKKAE AATEACQLPTSSGDAGRESKTNAEESSLQRLEELFRRYKDEREDAILEEGMERFCNDLCV DPTEFRVLLLAWKFQAATMCKFTRKEFFDGCKAISADSIDGICARFPSLLTEAKQEDKFK DLYRFTFQFGLDSEEGQRSLHREIAIALWKLVFTQNNPPVLDQWLNFLTENPSGIKGISR DTWNMFLNFTQVIGPDLSNYSEDEAWPSLFDTFVEWEMERRKREVEGRGTLSSGQEGLCP EEQT >ENSMUSP00000118439.1 pep:known chromosome:GRCm38:7:119857993:119861832:-1 gene:ENSMUSG00000048787.13 transcript:ENSMUST00000142120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d3 description:DCN1, defective in cullin neddylation 1, domain containing 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2679003] MGQCVTKCKNPSSTLGSKNGDRDPSNKSHSRRGASHREEQVPPCGKPAGDILVNGTKKAE AATEACQLPTSSGDAGRESKTNAEESSLQRLEELFRRYKDEREDAILEEGMERFCNDLCV DPTEFRVLLLAWKFQAATMCKFTRKEFFDGCKAISADSIDGICAR >ENSMUSP00000115386.1 pep:known chromosome:GRCm38:7:119859621:119861804:-1 gene:ENSMUSG00000048787.13 transcript:ENSMUST00000137888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d3 description:DCN1, defective in cullin neddylation 1, domain containing 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2679003] MGQCVTKCKNPSSTLGSKNGDRDPSNKSHSRRGASHREEQVPPCGKPAGDILVNGTKKAE AAT >ENSMUSP00000125812.1 pep:known chromosome:GRCm38:7:51860577:51862267:-1 gene:ENSMUSG00000092118.1 transcript:ENSMUST00000169357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancf description:Fanconi anemia, complementation group F [Source:MGI Symbol;Acc:MGI:3689889] MEPLVQQTERFSELLAVSCGSLVSTWDAEKVRRALQWARYLLHVYRRFAGRGRVREALER RLPARGGPLGLRSFAALESGDARLALRLLRNRALAPAAARALPSLLFPGPAADHRDDVPQ SRLVLLARRGSALRLLCRLGGDAPRSALLRTHAELLDARLHELGGADSAAARKLLDTLWT RGPREHVLDVTAEALLLREEDPEPAQATDPAGADETQKLLRWLLESPEVLAAFCRHLPAK RLASVAGCHHALSRAYLDLLTTWATRLHYDLQKGAWVPTQMEDMPWEELCLRLQSLCHAQ PFLQEEVLVTLRSRKALDGDFEVPGMSIWTDLLVVLECGIVLE >ENSMUSP00000139241.1 pep:known chromosome:GRCm38:17:71459025:71459300:-1 gene:ENSMUSG00000091831.4 transcript:ENSMUST00000183937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4707 description:predicted gene 4707 [Source:MGI Symbol;Acc:MGI:3782887] MVVALYLLVLARGPVRTVIFFLFCLFFVFRDKISLCSPGCPGTHSVDQAGPELRNPPASA SQVLGLQV >ENSMUSP00000138877.1 pep:known chromosome:GRCm38:17:71459830:71460395:-1 gene:ENSMUSG00000091831.4 transcript:ENSMUST00000180522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4707 description:predicted gene 4707 [Source:MGI Symbol;Acc:MGI:3782887] XFLTLLWPGFRSCSSPLICIFFEQTELGFIICMSVKQRLDLWLGSLC >ENSMUSP00000107857.2 pep:known chromosome:GRCm38:17:88440711:88490533:1 gene:ENSMUSG00000034998.18 transcript:ENSMUST00000112238.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn2 description:forkhead box N2 [Source:MGI Symbol;Acc:MGI:1347478] MGPVIGMTPDKRAETPGAEKVAGLSQIYKMGSLPEAGDAARPKATLVGSESADDELTNLN WLHESSNLLTNLRLGSEGLPMVSPLYDIEGDEMPSFGPSCYQNPEKKSATSKPPYSFSLL IYMAIEHSPNKCLPVKEIYSWILDRFPYFATAPTGWKNSVRHNLSLNKCFQKVERSHGKV NGKGSLWCVDPEYKPNLMQALKKQPFSSPQSAALSPHCLSSALKQSQVQTLQESDIDAAT AMILLNTSIEQEILECEKPLPLKTSLQKKRSYGSAFSAPGAVRLQESPSPTAGIDPKADH NYSANSVAAQRCASRASMSSLSSVDEVYEFIPKSSHGGSDGSEGFHSDEETDAEDEEDPL GDSGYAPQARGHSTLKGQPGKKIPKQSCQEIDEELKEAAGSLLHLAGIRTCLGSLISTAK AQSQKHREK >ENSMUSP00000116123.1 pep:known chromosome:GRCm38:17:88440735:88463037:1 gene:ENSMUSG00000034998.18 transcript:ENSMUST00000155640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxn2 description:forkhead box N2 [Source:MGI Symbol;Acc:MGI:1347478] MGPVIGMTPDKRAETPGAEKVAGLSQIYKMGSLPEAGDAARPKATLVGSESADDELTNLN WLHESSNLLTNLRLGSEGLPMVSPLYDIEGDEMPSFGPSCYQNP >ENSMUSP00000118378.1 pep:known chromosome:GRCm38:17:88440775:88487251:1 gene:ENSMUSG00000034998.18 transcript:ENSMUST00000141052.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Foxn2 description:forkhead box N2 [Source:MGI Symbol;Acc:MGI:1347478] MGPVIGMTPDKRAETPGAEKVAGLSQIYKMGSLPEAGDAARPKATLVGSESADDELTNLN WLHESSNLLTNLRLGSEGLPMVSPLYDIEGDEMPSFGPSCYQNPEKKSATSKPPYSFSLL IYMAIEHSPNKCLPVKEIYSWILDRFPYFATAPTGWKNSVRHNLSLNKCFQKVERSHGKP FLQNLRSHSQSFIVHDSEEENAYFLFSS >ENSMUSP00000139697.1 pep:known chromosome:GRCm38:Y:57705697:57731932:1 gene:ENSMUSG00000101399.1 transcript:ENSMUST00000190573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28961 description:predicted gene 28961 [Source:MGI Symbol;Acc:MGI:5579667] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALLEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVVSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYISTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000032347.4 pep:known chromosome:GRCm38:6:137054825:137170497:-1 gene:ENSMUSG00000030222.13 transcript:ENSMUST00000032347.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rerg description:RAS-like, estrogen-regulated, growth-inhibitor [Source:MGI Symbol;Acc:MGI:2665139] MAKSAEVKLAIFGRAGVGKSAIVVRFLTKRFIWEYDPTLESTYRHQATIDDEVVSMEILD TAGQEDTIQREGHMRWGEGFVLVYDITDRGSFEEVLPLKNILDEVKKPKNVTLILVGNKA DLDHSRQVSTEEGEKLATELACAFYECSACTGEGNITEVFYELCREVRRRRMVQGKTRRR SSTTHVKQAINKMLTKISS >ENSMUSP00000113105.1 pep:known chromosome:GRCm38:6:137054831:137169732:-1 gene:ENSMUSG00000030222.13 transcript:ENSMUST00000117919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rerg description:RAS-like, estrogen-regulated, growth-inhibitor [Source:MGI Symbol;Acc:MGI:2665139] MAKSAEVKLAIFGRAGVGKSAIVVRFLTKRFIWEYDPTLESTYRHQATIDDEVVSMEILD TAGQEDTIQREGHMRWGEGFVLVYDITDRGSFEEVLPLKNILDEVKKPKNVTLILVGNKA DLDHSRQVSTEEGEKLATELACAFYECSACTGEGNITEVFYELCREVRRRRMVQGKTRRR SSTTHVKQAINKMLTKISS >ENSMUSP00000113702.1 pep:known chromosome:GRCm38:6:137056099:137169685:-1 gene:ENSMUSG00000030222.13 transcript:ENSMUST00000119610.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rerg description:RAS-like, estrogen-regulated, growth-inhibitor [Source:MGI Symbol;Acc:MGI:2665139] MAKSAEVKLAIFGRAGVGKSESTYRHQATIDDEVVSMEILDTAGQEDTIQREGHMRWGEG FVLVYDITDRGSFEEVLPLKNILDEVKKPKNVTLILVGNKADLDHSRQVSTEEGEKLATE LACAFYECSACTGEGNITEVFYELCREVRRRRMVQGKTRRRSSTTHVKQAINKMLTKISS >ENSMUSP00000144823.1 pep:known chromosome:GRCm38:6:137056389:137140063:-1 gene:ENSMUSG00000030222.13 transcript:ENSMUST00000203003.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rerg description:RAS-like, estrogen-regulated, growth-inhibitor [Source:MGI Symbol;Acc:MGI:2665139] MEILDTAGQEDTIQREGHMRWGEGFVLVYDITDRGSFEEVLPLKNILDEVKKPKNVTLI >ENSMUSP00000144902.1 pep:known chromosome:GRCm38:6:137120657:137169708:-1 gene:ENSMUSG00000030222.13 transcript:ENSMUST00000149100.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rerg description:RAS-like, estrogen-regulated, growth-inhibitor [Source:MGI Symbol;Acc:MGI:2665139] MAKSAEVKLAIFGRAGVGKSDSISLCSPCWPYVNQAGLELQDPPDSAS >ENSMUSP00000031670.8 pep:known chromosome:GRCm38:6:4003904:4008445:1 gene:ENSMUSG00000032766.9 transcript:ENSMUST00000031670.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng11 description:guanine nucleotide binding protein (G protein), gamma 11 [Source:MGI Symbol;Acc:MGI:1913316] MPALHIEDLPEKEKLKMEVEQLRKEVKLQRQQVSKCSEEIKNYIEERSGEDPLVKGIPED KNPFKEKGSCVIS >ENSMUSP00000066353.3 pep:known chromosome:GRCm38:1:171270328:171276895:1 gene:ENSMUSG00000052423.14 transcript:ENSMUST00000064272.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt3 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1928767] MLRRLLERPCTLALLVGSQLAVMMYLSLGGFRSLSALFGRDPGPTFDYSHPHDVYSNLSH LPAAPGAAGAPPAQALPYCPERSPFLVGPVSVSFSPVPSLAEIVERNPRVESGGRYRPAG CEPRSRTAIIVPHRAREHHLRLLLYHLHPFLQRQQLAYGIYVIHQAGNGTFNRAKLLNVG VREALRDEEWDCLFLHDVDLLPENDHNLYVCDPRGPRHVAVAMNKFGYSLPYPQYFGGVS ALTPDQYLKMNGFPNEYWGWGGEDDDIATRVRLAGMKISRPPTSVGHYKMVKHRGDKGNE ENPHRFDLLVRTQNSWTQDGMNSLTYRLLARELGPLYTNITADIGTDPRGPRSPSGPRYP PGSSQAFRQEMLQRRPPARPGPLPTANHTAPRGSH >ENSMUSP00000142114.1 pep:known chromosome:GRCm38:1:171270339:171274286:1 gene:ENSMUSG00000052423.14 transcript:ENSMUST00000151863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt3 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1928767] MNKFGYSLPYPQY >ENSMUSP00000114926.1 pep:known chromosome:GRCm38:1:171270343:171271939:1 gene:ENSMUSG00000052423.14 transcript:ENSMUST00000141999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt3 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1928767] MLRRLLERPCTLALLVGSQLAVMMYLSLGGFRSLSALFGRDPGPTFDYSHPHDVYSNLSH LPAAPG >ENSMUSP00000106945.3 pep:known chromosome:GRCm38:1:171270343:171276896:1 gene:ENSMUSG00000052423.14 transcript:ENSMUST00000111313.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt3 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1928767] MLRRLLERPCTLALLVGSQLAVMMYLSLGGFRSLSALFGRDPGPTFDYSHPHDVYSNLSH LPAAPGAAGAPPAQALPYCPERSPFLVGPVSVSFSPVPSLAEIVERNPRVESGGRYRPAG CEPRSRTAIIVPHRAREHHLRLLLYHLHPFLQRQQLAYGIYVIHQAGNGTFNRAKLLNVG VREALRDEEWDCLFLHDVDLLPENDHNLYVCDPRGPRHVAVAMNKFGYSLPYPQYFGGVS ALTPDQYLKMNGFPNEYWGWGGEDDDIATRVRLAGMKISRPPTSVGHYKMVKHRGDKGNE ENPHRFDLLVRTQNSWTQDGMNSLTYRLLARELGPLYTNITADIGTDPRGPRSPSGPRYP PGSSQAFRQEMLQRRPPARPGPLPTANHTAPRGSH >ENSMUSP00000141958.1 pep:known chromosome:GRCm38:1:171270365:171276895:1 gene:ENSMUSG00000052423.14 transcript:ENSMUST00000126699.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt3 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1928767] MNKFGYSLPYPQYFGGVSALTPDQYLKMNGFPNEYWGWGGEDDDIATRVRLAGMKISRPP TSVGHYKMVKHRGDKGNEENPHRFDLLVRTQNSWTQDGMNSLTYRLLARELGPLYTNITA DIGTDPRGPRSPSGPRYPPGSSQAFRQEMLQRRPPARPGPLPTANHTAPRGSH >ENSMUSP00000114560.1 pep:known chromosome:GRCm38:1:171271640:171272604:1 gene:ENSMUSG00000052423.14 transcript:ENSMUST00000141114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt3 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 3 [Source:MGI Symbol;Acc:MGI:1928767] MSLLACSLPVCFQKSLHSLPSSQVRMLRRLLERPCTLALLVGSQLAVMMYLSLGGFRSLS ALFGRDPGPTFDYSHPHDVYSNLSHLPAAPGAAGAPPAQALPYCPERSPFLVGPVSVSFS PVPSLAEIVERNPRVESGG >ENSMUSP00000050509.6 pep:known chromosome:GRCm38:1:86021942:86029958:1 gene:ENSMUSG00000026226.15 transcript:ENSMUST00000052854.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata3 description:spermatogenesis associated 3 [Source:MGI Symbol;Acc:MGI:1917310] MKKVKKKKSDSRRRRNSISPQTSSDSSQQPSSETPPSCPEPASPPSKPQPCQESTTPHQV NPEPKPQQHTPQPLPPPEKPASSPFLVPMEPKPILPSRKAAVPLTYVAPRSCSCAACPGS SACWHRLGLCHSRIFDVLLPRDWSSMPGRGVPNLLTFYRKPSRKYCAPRNSRASSSRNCC CGSGGLGSCLLHG >ENSMUSP00000120768.1 pep:known chromosome:GRCm38:1:86021943:86024666:1 gene:ENSMUSG00000026226.15 transcript:ENSMUST00000125083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata3 description:spermatogenesis associated 3 [Source:MGI Symbol;Acc:MGI:1917310] MKKVKKKKSDSRRRRNSISPQTSSDSSQQPSSETPPSCPEPASPPSKPQPCQESTTPHQV NPEPKPQQHTPQPLPPPEKPASSPFLQCL >ENSMUSP00000123194.1 pep:known chromosome:GRCm38:1:86021946:86024668:1 gene:ENSMUSG00000026226.15 transcript:ENSMUST00000152501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata3 description:spermatogenesis associated 3 [Source:MGI Symbol;Acc:MGI:1917310] MKKVKKKKSDSRRRRNSISPQTSSDSSQQPSSETPPSCPEPASPPSKPQPCQESTTPHQV NPEPKPQQHTPQPLPPPEKPASSPFLVPMEPKPILPSRKAVPLTYVAPRSCSCAACPGSS ACWHRLGLCHSRIFDVLLPRDWSSMPGRGVPNLLTFYRFRMNGRAKKPWV >ENSMUSP00000108971.1 pep:known chromosome:GRCm38:1:86021946:86027178:1 gene:ENSMUSG00000026226.15 transcript:ENSMUST00000113344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata3 description:spermatogenesis associated 3 [Source:MGI Symbol;Acc:MGI:1917310] MKKVKKKKSDSRRRRNSISPQTSSDSSQQPSSETPPSCPEPASPPSKPQPCQESTTPHQV NPEPKPQQHTPQPLPPPEKPASSPFLVPMEPKPILPSRKAVPLTYVAPRSCSCAACPGSS ACWHRLGLCHSRIFDVLLPRDWSSMPGRGVPNLLTFYRKPSRKYCAPRNSRASSSRNCCC GSGGLGSCLLHG >ENSMUSP00000116903.1 pep:known chromosome:GRCm38:1:86021946:86029958:1 gene:ENSMUSG00000026226.15 transcript:ENSMUST00000130504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata3 description:spermatogenesis associated 3 [Source:MGI Symbol;Acc:MGI:1917310] MKKVKKKKSDSRRRRNSISPQTSSDSSQQPSSETPPSCPEPASPPSKPQPCQESTTPHQV NPEPKPQQHTPQPLPPPEKPASSPFLVPMEPKPILPSRKAVPLTYVAPRSCSCAACPGSS ACWHRLGLCHSRIFDVLLPRDWSSMPGRGVPNLLTFYRKPSRKYCAPRNSRASSSRNCCC GSGGLGSCLLHG >ENSMUSP00000117650.2 pep:known chromosome:GRCm38:1:86021953:86029921:1 gene:ENSMUSG00000026226.15 transcript:ENSMUST00000153247.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata3 description:spermatogenesis associated 3 [Source:MGI Symbol;Acc:MGI:1917310] MKKVKKKKSDSRRRRNSISPQTSSDSSQQPSSETPPSCPEPASPPSKPQPCQESTTPHQV NPEPKPQQHTPQPLPPPEKPASSPFLVPMEPKPILPSRKAENRQGSTALPVTLVLQALGT VAVALGALGVAYFMAESL >ENSMUSP00000124671.1 pep:known chromosome:GRCm38:1:86021960:86029262:1 gene:ENSMUSG00000026226.15 transcript:ENSMUST00000159876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata3 description:spermatogenesis associated 3 [Source:MGI Symbol;Acc:MGI:1917310] MKKVKKKKSDSRRRRNSISPQTSSDSSQQPSSETPPSCPEPASPPSKPQPCQESTTPHQV NPEPKPQQHTPQPLPPPEKPASSPFLVPMEPKPILPSRKAAVPLTYVAPRSCSCAACPGS SACWHRLGLCHSRIFDVLLPRDWSSMPGRGVPNLLTFYRKPSRKYCAPRNSRASSSRNCC CGSGGLGSCLLHG >ENSMUSP00000123587.1 pep:known chromosome:GRCm38:1:86021961:86024669:1 gene:ENSMUSG00000026226.15 transcript:ENSMUST00000135440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata3 description:spermatogenesis associated 3 [Source:MGI Symbol;Acc:MGI:1917310] MKKVKKKKSDSRRRRNSISPQTSSDSSQQPSSETPPSCPEPASPPSKPQPCQESTTPHQV NPEPKPQQHTPQPLPPPEKPASSPFLVPMEPKPILPSRKAAVPLTYVAPRSCSCAACPGS SACWHRLGLCHSRIFDVLLPRDWSSMPGRGVPNLLTFYRFRMNGRAKKPWV >ENSMUSP00000123844.1 pep:known chromosome:GRCm38:1:86022105:86029264:1 gene:ENSMUSG00000026226.15 transcript:ENSMUST00000131151.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata3 description:spermatogenesis associated 3 [Source:MGI Symbol;Acc:MGI:1917310] XPQTSSDSSQQPSSETPPSCPEPASPPSKPQPCQESTTPHQVNPEPKPQQHTPQPLPPPE KPASSPFLVPMEPKPILPSRKAVPLTYVAPRSCSCAACPGSSACWHRLGLCHSRIFDVLL PRDWSSMPGRGVPNLLTFYRKPSRKYCAPRNSRASSSRNCCCGSGGLGSCLLHG >ENSMUSP00000074864.4 pep:known chromosome:GRCm38:2:138278493:138287422:1 gene:ENSMUSG00000062098.11 transcript:ENSMUST00000075410.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd3 description:BTB (POZ) domain containing 3 [Source:MGI Symbol;Acc:MGI:2385155] MVDDKEKNMKCLTFFLMLPETVKNRSKKGSKKANSSGGGGGGGSVGSGSSKLPPVCYEII TLKTKKKKKMAADIFPRKKPANSSSTTVQQQHQHNLCNNNLIPAPNWQGLYPTIRERNAV MFNNDLMADVHFVVGPPGGTQRLPGHKYVLAVGSSVFHAMFYGELAEDKDEIRIPDVEPA AFLAMLKYIYCDEIDLAADTVLATLYAAKKYIVPHLARACVNFLETSLSAKNACVLLSQS CLFEEPDLTQRCWEVIDAQAELALKSEGFCDIDFQTLESILRRETLNAKEIVVFEAALNW AEVECQRQDLALSIENKRKVLGKALYLIRIPTMALDDFANGAAQSGVLTLNETNDIFLWY TASKKPELQFVSKARKGLVPQRCHRFQSCAYRSNQWRYRGRCDSIQFAVDKRVFIAGFGL YGSSCGSAEYSAKIELKRQGVVLGQNLSKYFSDGSSNTFPVWFEYPVQIEPDTFYTASVV LDGNELSYFGQEGMTEVQCGKVTVQFQCSSDSTNGTGVQGGQIPELIFYA >ENSMUSP00000089144.5 pep:known chromosome:GRCm38:2:138256565:138287422:1 gene:ENSMUSG00000062098.11 transcript:ENSMUST00000091556.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd3 description:BTB (POZ) domain containing 3 [Source:MGI Symbol;Acc:MGI:2385155] MAADIFPRKKPANSSSTTVQQQHQHNLCNNNLIPAPNWQGLYPTIRERNAVMFNNDLMAD VHFVVGPPGGTQRLPGHKYVLAVGSSVFHAMFYGELAEDKDEIRIPDVEPAAFLAMLKYI YCDEIDLAADTVLATLYAAKKYIVPHLARACVNFLETSLSAKNACVLLSQSCLFEEPDLT QRCWEVIDAQAELALKSEGFCDIDFQTLESILRRETLNAKEIVVFEAALNWAEVECQRQD LALSIENKRKVLGKALYLIRIPTMALDDFANGAAQSGVLTLNETNDIFLWYTASKKPELQ FVSKARKGLVPQRCHRFQSCAYRSNQWRYRGRCDSIQFAVDKRVFIAGFGLYGSSCGSAE YSAKIELKRQGVVLGQNLSKYFSDGSSNTFPVWFEYPVQIEPDTFYTASVVLDGNELSYF GQEGMTEVQCGKVTVQFQCSSDSTNGTGVQGGQIPELIFYA >ENSMUSP00000107724.1 pep:known chromosome:GRCm38:14:31019138:31121592:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000112095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIR TASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAA LAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDY YQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVM QAKKKELARRDDIEDGDSMISSATSDTGSAKRKSKKNIRKQRMKILFNVVLEAREPGSGR RLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEGMMEDMKLMFRNARHY NEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKSGVSPKKSKYMTPMQQ KLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMDMEKIRSHMMANKYQD IDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEGDEDSHVPNVTLLIQE LIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDIIRKNVESNRYRRLDLF QEHMFEVLERARRMNRTDSEIYEDAVELQQFFIRIRDELCKNGEILLSPALSYTTKHLHN DVEKEKKEKLPKEIEEDKLKREEEKREAEKSEDSSGTTGLSGLHRTYSQDCSFKNSMYHV GDYVYVEPAEANLQPHIVCIERLWEDSAGEKWLYGCWFYRPNETFHLATRKFLEKEVFKS DYYNKVPVSKILGKCVVMFVKEYFKLCPENFRDEDVFVCESRYSAKTKSFKKIKLWTMPI SSVRFVPRDVPLPVVRVASVFANADKGDDEKNTDNSDDNRAEDNFNLEKEKEDVPVEMSN GEPGCHYFEQLRYNDMWLKVGDCVFIKSHGLVRPRVGRIEKVWVRDGAAYFYGPIFIHPE ETEHEPTKMFYKKEVFLSNLEETCPMSCILGKCAVLSFKDFLSCRPTEIPENDILLCESR YNESDKQMKKFKGLKRFSLSAKVVDDEIYYFRKPIIPQKEPSPLLEKKIQLLEAKFAELE GGDDDIEEMGEEDSEVIEAPSLPQLQTPLANELDLMPYTPPQSTPKSAKGSAKKESSKRK INMSGYILFSSEMRAVIKAQHPDYSFGELSRLVGTEWRNLETAKKAEYEGMMGGYPPGLP PLQGPVDGLVSMGSMQPLHPGGPPPHHLPPGVPGLPGIPPPGVMNQGVAPMVGTPAPGGS PYGQQVGVLGPPGQQAPPPYPGPHPAGPPVIQQPTTPMFVAPPPKTQRLLHSEAYLKYIE GLSAESNSISKWDQTLAARRRDVHLSKEQESRLPSHWLKSKGAHTTMADALWRLRDLMLR DTLNIRQAYNLENV >ENSMUSP00000122805.1 pep:known chromosome:GRCm38:14:31019157:31082598:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000146325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MRRLAFRGAGCALVKLKKLDSMGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLS NLPTVDPIAVCHELYNTIRDYKDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQ KLKMEEYDDVNLLTADFQLLFNNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADD EDDDEDGQDNQGTLADGSSPGYLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPD YYAIIKEPIDLKTIAQRIQNGSYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKI FYMKKAEIEHHEMTKSSLRISNKRAVQGGRLSAITMALQYGSESEEDAALAAARYEEGES EAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDYYQQIKMPISLQ QIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVMQAKKKELARRD DIEDGDSMISSATSDTGSAKRKSKKNIRKQRMKILFNVVLEAREPGSGRRLCDLFMVKPS KKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEGMMEDMKLMFRNARHYNEEGSQVYNDA HILEKLLKDKRKELGPLPDDDDMASPKLKLSRKSGVSPKKSKYMTPMQQKLNEVYEAVKN YTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMDMEKIRSHMMANKYQDIDSMVEDFVMM FNNACTYNEPESLIYKDALVLHKVLLETRRDLEGDEDSHVPNVTLLIQELIHNLFVSVMS HQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDIIRKNVESNRYRRLDLFQEHMFEVLERA RRMNRTDSEIYEDAVELQQFFIRIRDELCKNGEILLSPALSYTTKHLHNDVEKEKKEKLP KEIEEDKLKREEEKREAEKSEDSSGTTGLSG >ENSMUSP00000107723.1 pep:known chromosome:GRCm38:14:31019170:31118967:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000112094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIS NKRAVQGGRLSAITMALQYGSESEEDAALAAARYEEGESEAESITSFMDVSNPFHQLYDT VRSCRNHQGQLIAEPFFHLPSKKKYPDYYQQIKMPISLQQIRTKLKNQEYETLDHLECDL NLMFENAKRYNVPNSAIYKRVLKLQQVMQAKKKELARRDDIEDGDSMISSATSDTGSAKR KSKKNIRKQRMKILFNVVLEAREPGSGRRLCDLFMVKPSKKDYPDYYKIILEPMDLKIIE HNIRNDKYAGEEGMMEDMKLMFRNARHYNEEGSQVYNDAHILEKLLKDKRKELGPLPDDD DMASPKLKLSRKSGVSPKKSKYMTPMQQKLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSE LPDYYLTIKKPMDMEKIRSHMMANKYQDIDSMVEDFVMMFNNACTYNEPESLIYKDALVL HKVLLETRRDLEGDEDSHVPNVTLLIQELIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDP NSPNKPPLTFDIIRKNVESNRYRRLDLFQEHMFEVLERARRMNRTDSEIYEDAVELQQFF IRIRDELCKNGEILLSPALSYTTKHLHNDVEKEKKEKLPKEIEEDKLKREEEKREAEKSE DSSGTTGLSGLHRTYSQDCSFKNSMYHVGDYVYVEPAEANLQPHIVCIERLWEDSAGEKW LYGCWFYRPNETFHLATRKFLEKEVFKSDYYNKVPVSKILGKCVVMFVKEYFKLCPENFR DEDVFVCESRYSAKTKSFKKIKLWTMPISSVRFVPRDVPLPVVRVASVFANADKGDDEKN TDNSDDNRAEDNFNLEKEKEDVPVEMSNGEPGCHYFEQLRYNDMWLKVGDCVFIKSHGLV RPRVGRIEKVWVRDGAAYFYGPIFIHPEETEHEPTKMFYKKEVFLSNLEETCPMSCILGK CAVLSFKDFLSCRPTEIPENDILLCESRYNESDKQMKKFKGLKRFSLSAKVVDDEIYYFR KPIIPQKEPSPLLEKKIQLLEAKFAELEGGDDDIEEMGEEDSEVIEAPSLPQLQTPLANE LDLMPYTPPQSTPKSAKGSAKKESSKRKINMSGYILFSSEMRAVIKAQHPDYSFGELSRL VGTEWRNLETAKKAEYEGMMGGYPPGLPPLQGPVDGLVSMGSMQPLHPGGPPPHHLPPGV PGLPGIPPPGVMNQGVAPMVGTPAPGGSPYGQQVGVLGPPGQQAPPPYPGPHPAGPPVIQ QPTTPMFVAPPPKTQRLLHSEAYLKYIEGLSAESNSISKWDQTLAARRRDVHLSKEQESR LPSHWLKSKGAHTTMADALWRLRDLMLRDTLNIRQAYNLENV >ENSMUSP00000060476.5 pep:known chromosome:GRCm38:14:31019208:31119119:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000052239.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIR TASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAA LAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDY YQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVM QAKKKELARRDDIEDGDSMISSATSDTGSAKRKSKKNIRKQRMKILFNVVLEAREPGSGR RLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEGMMEDMKLMFRNARHY NEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKSGVSPKKSKYMTPMQQ KLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMDMEKIRSHMMANKYQD IDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEGDEDSHVPNVTLLIQE LIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDIIRKNVESNRYRRLDLF QEHMFEVLERARRMNRTDSEIYEDAVELQQFFIRIRDELCKNGEILLSPALSYTTKHLHN DVEKEKKEKLPKEIEEDKLKREEEKREAEKSEDSSGTTGLSGLHRTYSQDCSFKNSMYHV GDYVYVEPAEANLQPHIVCIERLWEDSAEKEVFKSDYYNKVPVSKILGKCVVMFVKEYFK LCPENFRDEDVFVCESRYSAKTKSFKKIKLWTMPISSVRFVPRDVPLPVVRVASVFANAD KGDDEKNTDNSDDNRAEDNFNLEKEKEDVPVEMSNGEPGCHYFEQLRYNDMWLKVGDCVF IKSHGLVRPRVGRIEKVWVRDGAAYFYGPIFIHPEETEHEPTKMFYKKEVFLSNLEETCP MSCILGKCAVLSFKDFLSCRPTEIPENDILLCESRYNESDKQMKKFKGLKRFSLSAKVVD DEIYYFRKPIIPQKEPSPLLEKKIQLLEAKFAELEGGDDDIEEMGEEDSESTPKSAKGSA KKESSKRKINMSGYILFSSEMRAVIKAQHPDYSFGELSRLVGTEWRNLETAKKAEYEGMM GGYPPGLPPLQGPVDGLVSMGSMQPLHPGGPPPHHLPPGVPGLPGIPPPGVMNQGVAPMV GTPAPGGSPYGQQVGVLGPPGQQAPPPYPGPHPAGPPVIQQPTTPMFVAPPPKTQRLLHS EAYLKYIEGLSAESNSISKWDQTLAARRRDVHLSKEQESRLPSHWLKSKGAHTTMADALW RLRDLMLRDTLNIRQAYNLENV >ENSMUSP00000119722.1 pep:known chromosome:GRCm38:14:31025507:31118966:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000136237.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIR TASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAA LAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDY YQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVM QAKKKELARRDDIEDGDSMISSATSDTGSAKRKSKKNIRKQRMKILFNVVLEAREPGSGR RLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEGMMEDMKLMFRNARHY NEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKSGVSPKKSKYMTPMQQ KLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMDMEKIRSHMMANKYQD IDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEGDEDSHVPNVTLLIQE LIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDIIRKNVESNRYRRLDLF QEHMFEVLERARRMNRFRNI >ENSMUSP00000022471.5 pep:known chromosome:GRCm38:14:31025510:31120020:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000022471.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIR TASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAA LAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDY YQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVM QAKKKELARRDDIEDGDSMISSATSDTGSAKRKSKKNIRKQRMKILFNVVLEAREPGSGR RLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEGMMEDMKLMFRNARHY NEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKSGVSPKKSKYMTPMQQ KLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMDMEKIRSHMMANKYQD IDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEGDEDSHVPNVTLLIQE LIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDIIRKNVESNRYRRLDLF QEHMFEVLERARRMNRTDSEIYEDAVELQQFFIRIRDELCKNGEILLSPALSYTTKHLHN DVEKEKKEKLPKEIEEDKLKREEEKREAEKSEDSSGTTGLSGLHRTYSQDCSFKNSMYHV GDYVYVEPAEANLQPHIVCIERLWEDSAGEKWLYGCWFYRPNETFHLATRKFLEKEVFKS DYYNKVPVSKILGKCVVMFVKEYFKLCPENFRDEDVFVCESRYSAKTKSFKKIKLWTMPI SSVRFVPRDVPLPVVRVASVFANADKGDDEKNTDNSDDNRAEDNFNLEKEKEDVPVEMSN GEPGCHYFEQLRYNDMWLKVGDCVFIKSHGLVRPRVGRIEKVWVRDGAAYFYGPIFIHPE ETEHEPTKMFYKKEVFLSNLEETCPMSCILGKCAVLSFKDFLSCRPTEIPENDILLCESR YNESDKQMKKFKGLKRFSLSAKVVDDEIYYFRKPIIPQKEPSPLLEKKIQLLEAKFAELE GGDDDIEEMGEEDSEVIEAPSLPQLQTPLANELDLMPYTPPQSTPKSAKGSAKKESSKRK INMSGYILFSSEMRAVIKAQHPDYSFGELSRLVGTEWRNLETAKKAEYEGVMNQGVAPMV GTPAPGGSPYGQQVGVLGPPGQQAPPPYPGPHPAGPPVIQQPTTPMFVAPPPKTQRLLHS EAYLKYIEGLSAESNSISKWDQTLAARRRDVHLSKEQESRLPSHWLKSKGAHTTMADALW RLRDLMLRDTLNIRQAYNLENV >ENSMUSP00000120549.1 pep:known chromosome:GRCm38:14:31025510:31118967:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000123678.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIR TASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAA LAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDY YQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVM QAKKKELARRDDIEDGDSMISSATSDTGSAKRKSKKNIRKQRMKILFNVVLEAREPGSGR RLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEGMMEDMKLMFRNARHY NEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKSGVSPKKSKYMTPMQQ KLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMDMEKIRSHMMANKYQD IDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEGDEDSHVPNVTLLIQE LIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDIIRKNVESNRYRRLDLF QEHMFEVLERARRMNR >ENSMUSP00000123546.1 pep:known chromosome:GRCm38:14:31025507:31113945:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000156628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIR TASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAA LAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDY YQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVM QAKKKELARRDDIEDGDSMISSATSDTGSAKRKSKKNIRKQRMKILFNVVLEAREPGSGR RLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEGMMEDMKLMFRNARHY NEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKSGVSPKKSKYMTPMQQ KLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMDMEKIRSHMMANKYQD IDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEGDEDSHVPNVTLLIQE LIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDIIRKNVESNRYRRLDLF QEHMFEVLERARRMNRTDSEIYEDAVELQQFFIRIRDELCKNGEILLSPALSYTTKHLHN DVEKEKKEKLPKEIEEDKLKREEEKRAEKSEDSSGTTGLSGLHRTYSQDCSFKNSMYHVG DYVYVEPAEANLQPHIVCIERLWEDSAGEKWLYGCWFYRPNETFHLATRKFLEKEVFKSD YYNKVPVSKILGKCVVMFVKEYFKLCPENFRDEDVFVCESRYSAKTKSFKKIKLWTMPIS SVRFVPRDVPLPVVRVASVFANADKGDDEKNTDNSDDNRAEDNFNLEKEKEDVPVEMSNG EPGCHYFEQLRYNDMWLKVGDCVFIKSHGLVRPRVGRIEKVWVRDGAAYFYGPIFIHPEE TEHEPTKMFYKKEVFLSNLEETCPMSCILGKCAVLSFKDFLSCRPTEIPENDILLCESRY NESDKQMKKFKGLKRFSLSAKVVDDEIYYFRKPIIPQKEPSPLLEKKIQLLEAKFAELEG GDDDIEEMGEEDSEVIEAPSLPQLQTPLANELDLMPYTPPQSTPKSAKGSAKKESSKRKI NMSGYILFSSEMRAVIKAQHPDYSFGELSRLVGTEWRNLETAKKAEYEGMMGGYPPGLPP LQGPVDGLVSMGSMQPLHPGG >ENSMUSP00000022474.7 pep:known chromosome:GRCm38:14:31025510:31118947:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000022474.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIR TASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAA LAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDY YQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVM QAKKKELARRDDIEDGDSMISSATSDTGSAKRKRNTHDSEMLGLRRLSSKKNIRKQRMKI LFNVVLEAREPGSGRRLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEG MMEDMKLMFRNARHYNEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKS GVSPKKSKYMTPMQQKLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMD MEKIRSHMMANKYQDIDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEG DEDSHVPNVTLLIQELIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDII RKNVESNRYRRLDLFQEHMFEVLERARRMNRTDSEIYEDAVELQQFFIRIRDELCKNGEI LLSPALSYTTKHLHNDVEKEKKEKLPKEIEEDKLKREEEKREAEKSEDSSGTTGLSGLHR TYSQDCSFKNSMYHVGDYVYVEPAEANLQPHIVCIERLWEDSAGEKWLYGCWFYRPNETF HLATRKFLEKEVFKSDYYNKVPVSKILGKCVVMFVKEYFKLCPENFRDEDVFVCESRYSA KTKSFKKIKLWTMPISSVRFVPRDVPLPVVRVASVFANADKGDDEKNTDNSDDNRAEDNF NLEKEKEDVPVEMSNGEPGCHYFEQLRYNDMWLKVGDCVFIKSHGLVRPRVGRIEKVWVR DGAAYFYGPIFIHPEETEHEPTKMFYKKEVFLSNLEETCPMSCILGKCAVLSFKDFLSCR PTEIPENDILLCESRYNESDKQMKKFKGLKRFSLSAKVVDDEIYYFRKPIIPQKEPSPLL EKKIQLLEAKFAELEGGDDDIEEMGEEDSEVIEAPSLPQLQTPLANELDLMPYTPPQSTP KSAKGSAKKESSKRKINMSGYILFSSEMRAVIKAQHPDYSFGELSRLVGTEWRNLETAKK AEYEGVMNQGVAPMVGTPAPGGSPYGQQVGVLGPPGQQAPPPYPGPHPAGPPVIQQPTTP MFVAPPPKTQRLLHSEAYLKYIEGLSAESNSISKWDQTLAARRRDVHLSKEQESRLPSHW LKSKGAHTTMADALWRLRDLMLRDTLNIRQAYNLENV >ENSMUSP00000115505.1 pep:known chromosome:GRCm38:14:31027478:31085044:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000135704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] IRDYKDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADF QLLFNNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADG SSPGYLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQR IQNGSYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSS LRIRTASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESE EDAALAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKK YPDYYQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKL QQVMQAKKKELARRDDIEDGDSMISSATSDTGSAKRKRNTHDSEMLGLRRLSSKKNIRKQ RMKILFNVVLEAREPGSGRRLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYA GEEGMMEDMKLMFRNARHYNEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKL SRKSGVSPKKSKYMTPMQQKLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIK KPMDMEKIRSHMMANKYQDIDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRR DLEGDEDSHVPNVTLLIQELIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLT FDIIRKNVESNRYRRLDLFQEHMFEVLERARRMNRTDSEIYEDAVELQQFFIRIRDELCK NGEILLSPALSYTTKHLHNDVEKEKKEKLPKEIEEDKLKREEEKRAEKSEDSSGTTGLSG LHRTYSQDCSFKNSMYHVGDYVYVEPAEANLQPHIVCIERLWEDSAGEKWLYGCWFYRPN ETFHLATRKFLEKEVFKSDYYNKVPVSKILGKCVVMFVKEYFKLCPENFRDEDVFVCESR YSAKTKSFKKIKLWTMPISSVRFVPRDVPLPVVRVASVFANADKGDDEKNTDNSDDNRAE DNFNL >ENSMUSP00000107721.1 pep:known chromosome:GRCm38:14:31025510:31118948:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000112092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIR TASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAA LAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDY YQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVM QAKKKELARRDDIEDGDSMISSATSDTGSAKRKRNTHDSEMLGLRRLSSKKNIRKQRMKI LFNVVLEAREPGSGRRLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEG MMEDMKLMFRNARHYNEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKS GVSPKKSKYMTPMQQKLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMD MEKIRSHMMANKYQDIDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEG DEDSHVPNVTLLIQELIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDII RKNVESNRYRRLDLFQEHMFEVLERARRMNRTDSEIYEDAVELQQFFIRIRDELCKNGEI LLSPALSYTTKHLHNDVEKEKKEKLPKEIEEDKLKREEEKREAEKSEDSSGTTGLSGLHR TYSQDCSFKNSMYHVGDYVYVEPAEANLQPHIVCIERLWEDSAGEKWLYGCWFYRPNETF HLATRKFLEKEVFKSDYYNKVPVSKILGKCVVMFVKEYFKLCPENFRDEDVFVCESRYSA KTKSFKKIKLWTMPISSVRFVPRDVPLPVVRVASVFANADKGDDEKNTDNSDDNRAEDNF NLEKEKEDVPVEMSNGEPGCHYFEQLRYNDMWLKVGDCVFIKSHGLVRPRVGRIEKVWVR DGAAYFYGPIFIHPEETEHEPTKMFYKKEVFLSNLEETCPMSCILGKCAVLSFKDFLSCR PTEIPENDILLCESRYNESDKQMKKFKGLKRFSLSAKVVDDEIYYFRKPIIPQKEPSPLL EKKIQLLEAKFAELEGGDDDIEEMGEEDSEVIEAPSLPQLQTPLANELDLMPYTPPQSTP KSAKGSAKKESSKRKINMSGYILFSSEMRAVIKAQHPDYSFGELSRLVGTEWRNLETAKK AEYEERAAKVAEQQERERAAQQQQPSASPRAGTPVGALMGVVPPPTPMGMLNQQLTPVAG VMNQGVAPMVGTPAPGGSPYGQQVGVLGPPGQQAPPPYPGPHPAGPPVIQQPTTPMFVAP PPKTQRLLHSEAYLKYIEGLSAESNSISKWDQTLAARRRDVHLSKEQESRLPSHWLKSKG AHTTMADALWRLRDLMLRDTLNIRQAYNLENV >ENSMUSP00000087682.4 pep:known chromosome:GRCm38:14:31025511:31120041:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000090214.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIR TASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAA LAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDY YQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVM QAKKKELARRDDIEDGDSMISSATSDTGSAKRKSKKNIRKQRMKILFNVVLEAREPGSGR RLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEGMMEDMKLMFRNARHY NEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKSGVSPKKSKYMTPMQQ KLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMDMEKIRSHMMANKYQD IDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEGDEDSHVPNVTLLIQE LIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDIIRKNVESNRYRRLDLF QEHMFEVLERARRMNRTDSEIYEDAVELQQFFIRIRDELCKNGEILLSPALSYTTKHLHN DVEKEKKEKLPKEIEEDKLKREEEKREAEKSEDSSGTTGLSGLHRTYSQDCSFKNSMYHV GDYVYVEPAEANLQPHIVCIERLWEDSAGEKWLYGCWFYRPNETFHLATRKFLEKEVFKS DYYNKVPVSKILGKCVVMFVKEYFKLCPENFRDEDVFVCESRYSAKTKSFKKIKLWTMPI SSVRFVPRDVPLPVVRVASVFANADKGDDEKNTDNSDDNRAEDNFNLEKEKEDVPVEMSN GEPGCHYFEQLRYNDMWLKVGDCVFIKSHGLVRPRVGRIEKVWVRDGAAYFYGPIFIHPE ETEHEPTKMFYKKEVFLSNLEETCPMSCILGKCAVLSFKDFLSCRPTEIPENDILLCESR YNESDKQMKKFKGLKRFSLSAKVVDDEIYYFRKPIIPQKEPSPLLEKKIQLLEAKFAELE GGDDDIEEMGEEDSEVIEAPSLPQLQTPLANELDLMPYTPPQSTPKSAKGSAKKESSKRK INMSGYILFSSEMRAVIKAQHPDYSFGELSRLVGTEWRNLETAKKAEYEERAAKVAEQQE RERAAQQQQPSASPRAGTPVGALMGVVPPPTPMGMLNQQLTPVAGMMGGYPPGLPPLQGP VDGLVSMGSMQPLHPGGPPPHHLPPGVPGLPGIPPPGVMNQGVAPMVGTPAPGGSPYGQQ VGVLGPPGQQAPPPYPGPHPAGPPVIQQPTTPMFVAPPPKTQRLLHSEAYLKYIEGLSAE SNSISKWDQTLAARRRDVHLSKEQESRLPSHWLKSKGAHTTMADALWRLRDLMLRDTLNI RQAYNLENV >ENSMUSP00000107734.1 pep:known chromosome:GRCm38:14:31019149:31027530:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000112106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MRRLAFRGAGCALKKLDSMGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLP TVDPIAVCHELYNTIRDYKDEQGRLLCELFI >ENSMUSP00000123518.1 pep:known chromosome:GRCm38:14:31019177:31027498:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000144009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MRRLAFRGAGCALVKLKKLDSMGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLS NLPTVDPIAVCHELYNTIRDYKDE >ENSMUSP00000107727.3 pep:known chromosome:GRCm38:14:31019138:31118901:1 gene:ENSMUSG00000042323.16 transcript:ENSMUST00000112098.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbrm1 description:polybromo 1 [Source:MGI Symbol;Acc:MGI:1923998] MGSKRRRATSPSSSVSGDFDDGHHSVPTPGPSRKRRRLSNLPTVDPIAVCHELYNTIRDY KDEQGRLLCELFIRAPKRRNQPDYYEVVSQPIDLMKIQQKLKMEEYDDVNLLTADFQLLF NNAKAYYKPDSPEYKAACKLWDLYLRTRNEFVQKGEADDEDDDEDGQDNQGTLADGSSPG YLKEILEQLLEAIVVATNPSGRLISELFQKLPSKVQYPDYYAIIKEPIDLKTIAQRIQNG SYKSIHAMAKDIDLLAKNAKTYNEPGSQVFKDANSIKKIFYMKKAEIEHHEMTKSSLRIR TASNLAAARLTGPSHNKSSLGEERNPTSKYYRNKRAVQGGRLSAITMALQYGSESEEDAA LAAARYEEGESEAESITSFMDVSNPFHQLYDTVRSCRNHQGQLIAEPFFHLPSKKKYPDY YQQIKMPISLQQIRTKLKNQEYETLDHLECDLNLMFENAKRYNVPNSAIYKRVLKLQQVM QAKKKELARRDDIEDGDSMISSATSDTGSAKRKRNTHDSEMLGLRRLSSKKNIRKQRMKI LFNVVLEAREPGSGRRLCDLFMVKPSKKDYPDYYKIILEPMDLKIIEHNIRNDKYAGEEG MMEDMKLMFRNARHYNEEGSQVYNDAHILEKLLKDKRKELGPLPDDDDMASPKLKLSRKS GVSPKKSKYMTPMQQKLNEVYEAVKNYTDKRGRRLSAIFLRLPSRSELPDYYLTIKKPMD MEKIRSHMMANKYQDIDSMVEDFVMMFNNACTYNEPESLIYKDALVLHKVLLETRRDLEG DEDSHVPNVTLLIQELIHNLFVSVMSHQDDEGRCYSDSLAEIPAVDPNSPNKPPLTFDII RKNVESNRYRRLDLFQEHMFEVLERARRMNRTDSEIYEDAVELQQFFIRIRDELCKNGEI LLSPALSYTTKHLHNDVEKEKKEKLPKEIEEDKLKREEEKREAEKSEDSSGTTGLSGLHR TYSQDCSFKNSMYHVGDYVYVEPAEANLQPHIVCIERLWEDSAGEKWLYGCWFYRPNETF HLATRKFLEKEVFKSDYYNKVPVSKILGKCVVMFVKEYFKLCPENFRDEDVFVCESRYSA KTKSFKKIKLWTMPISSVRFVPRDVPLPVVRVASVFANADKGDDEKNTDNSDDNRAEDNF NLEKEKEDVPVEMSNGEPGCHYFEQLRYNDMWLKVGDCVFIKSHGLVRPRVGRIEKVWVR DGAAYFYGPIFIHPEETEHEPTKMFYKKEVFLSNLEETCPMSCILGKCAVLSFKDFLSCR PTEIPENDILLCESRYNESDKQMKKFKGLKRFSLSAKVVDDEIYYFRKPIIPQKEPSPLL EKKIQLLEAKFAELEGGDDDIEEMGEEDSEVIEAPSLPQLQTPLANELDLMPYTPPQSTP KSAKGSAKKESSKRKINMSGYILFSSEMRAVIKAQHPDYSFGELSRLVGTEWRNLETAKK AEYEERAAKVAEQQERERAAQQQQPSASPRAGTPVGALMGVVPPPTPMGMLNQQLTPVAG MMGGYPPGLPPLQGPVDGLVSMGSMQPLHPGGPPPHHLPPGVPGLPGIPPPGVMNQGVAP MVGTPAPGGSPYGQQVGVLGPPGQQAPPPYPGPHPAGPPVIQQPTTPMFVAPPPKTQRLL HSEAYLKYIEGLSAESNSISKWDQTLAARRRDVHLSKEQESRLPSHWLKSKGAHTTMADA LWRLRDLMLRDTLNIRQAYNLENV >ENSMUSP00000113444.1 pep:known chromosome:GRCm38:7:80261215:80265374:1 gene:ENSMUSG00000047084.8 transcript:ENSMUST00000117989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngrn description:neugrin, neurite outgrowth associated [Source:MGI Symbol;Acc:MGI:1933212] MALSLSLFLGGRVRTSLARCGFASQVMAGPGSVSCEPDPDSDWEPEERELQEVESALKRQ KKAMRFQKIRRQMEAPGAPPRTLTWEAMEQIRYLHKEFAESWSVPRLAEGFDVSTDVIRR VLKSKFVPTLEQKLRQDQKVLKKAGFTREIGQLPVSEDTLKALSAGRSVSGLLMAGDEVS SKSQNHSTALKVAKSHPHSTDAQKKREGRDKRIQVLEESLVPATTALGHQRELQKSATSD SEATGRAGSDTLPSAVLLEELKPGEPGDQSFSSKVVQRGHDFFDSNGNFLYRI >ENSMUSP00000037489.4 pep:known chromosome:GRCm38:11:22005828:22285838:-1 gene:ENSMUSG00000042302.14 transcript:ENSMUST00000045167.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehbp1 description:EH domain binding protein 1 [Source:MGI Symbol;Acc:MGI:2667252] MASVWKRLQRVGKHASKFQFVASYQELMVECTKKWQPDKLVVVWTRRSRRKSSKAHSWQP GIKNPYRGVVVWPVPENIEITVTLFKDPHAEEFEDKEWTFVIENESPSGRRKALATSSIN MKQYASPMPTQTDVKLKFKPLSKKVVSATLQFSLSCIFLREGKATDEDMQSLASLMSMKQ ADIGNLDDFEEDNEDDDENRVNQEEKAAKITEIVNQLNALSSLDEDQDDCIKQANVPSAK SASSSEELINTLNFLDEAQKDLATVNTNPFDEPDVTELNPFGDPDSEGVQTPQYLNPFDE PETFVMIKDSPPQSTRRKNLRPVDMSKYLYADSSKSEEELDESNPFYEPKPTSPNNLVNT VQEGETERRVKRRAPAPPAPLAPPAPPAPPALTPKTGVNENTVVSAGKDLSTSPKPSPIP SPVLGQKPNASQSLLAWCREVTKNYRGVKITNFTTSWRNGLSFCAILHHFRPDLIDYKSL NPQDIKENNKKAYDGFASIGISRLLEPSDMVLLAIPDKLTVMTYLYQIRAHFSGQELNVV QIEENSSKSTYKVGNYETDTNSSVDQEKFYAELSDLKREPEPHQPARGAVDLLSQDDSVF VTDSGVGESESEHQTPDDHLSPSTASPYYRRTKSDTEPQKSQQSSARTSGSDDPGLSSST DSAQALASLGKKRLKAENLELSDLCVSDKKKDVSPLSAYEQKLQTVHASSDMEQGKMEKS RSLECRLDGELAITKPNVSSPSKLGYNRDTDFTKKPCASLRQIESDPDADKSTLNHADHP NKAVQHRMLSRQEELKERARVLLEQARRDAAFKVGSKHGGSAAPALCSRQLNDQQDEERR RQLRERARQLIAEARCGVKMSELPSYGEMAAEKLKERSKASGDENDNIEIDTNEEIPEGF VVGGGDELTNIESDLDNPEQNSKVVDLRLKKLLEAQPQVANLLPSAAQKAVTEASEQGEK SGVEDLRTERLQKATERFRNPVVFNKDSTVRKTQLQSFSQYVENRPEMKRQRSIQEDTKR GTEEKAEITETQRKPSEDEKGFKDTSQYVVGELAALENEQKQIDTRAALVEKRLRYLMDT GRNTEEEEAMMQEWFMLVNKKNALIRRMNQLSLLEKEHDLERRYELLNRELRAMLAIEDW QKTEAQKRREQLLLDELVALVDKRDALVRDLDAQEKQAEEEDEHLERTLEQNKGKMAKKE EKCALQ >ENSMUSP00000118583.1 pep:known chromosome:GRCm38:11:22146532:22285872:-1 gene:ENSMUSG00000042302.14 transcript:ENSMUST00000134293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehbp1 description:EH domain binding protein 1 [Source:MGI Symbol;Acc:MGI:2667252] MASVWKRLQRVGKHASKFQFVASYQELMVECTKKWQPDKLVVVWTRRSRRKSSKAHSWQP GIKNPYRGVVVWPVPENIEITVTLFKDPHAEEFEDKEWTFVIENESPSGRRKALATSSIN MKQYASPMPTQTDVKLKFKPLSKKVVSATLQFSLSCIFLREGKATDEDMQSLASLMSMKQ ADIGNLDDFEEDNEDDDENRVNQEEKAAKITELINTLNFLDEAQKDLATVNTNPFDEPDV TELNPFGDPDSEEPITETTSPKKPEESFYNNSCNPFKGVQTPQYLNPFDEPETFVMIKDS PPQSTRRK >ENSMUSP00000136697.1 pep:known chromosome:GRCm38:11:22005828:22286795:-1 gene:ENSMUSG00000042302.14 transcript:ENSMUST00000180360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehbp1 description:EH domain binding protein 1 [Source:MGI Symbol;Acc:MGI:2667252] MASVWKRLQRVGKHASKFQFVASYQELMVECTKKWQPDKLVVVWTRRSRRKSSKAHSWQP GIKNPYRGVVVWPVPENIEITVTLFKDPHAEEFEDKEWTFVIENESPSGRRKALATSSIN MKQYASPMPTQTDVKLKFKPLSKKVVSATLQFSLSCIFLREGKATDEDMQSLASLMSMKQ ADIGNLDDFEEDNEDDDENRVNQEEKAAKITEIVNQLNALSSLDEDQDDCIKQANVPSAK SASSSEELINTLNFLDEAQKDLATVNTNPFDEPDVTELNPFGDPDSEGVQTPQYLNPFDE PETFVMIKDSPPQSTRRKNLRPVDMSKYLYADSSKSEEELDESNPFYEPKPTSPNNLVNT VQEGETERRVKRRAPAPPAPLAPPAPPAPPALTPKTGVNENTVVSAGKDLSTSPKPSPIP SPVLGQKPNASQSLLAWCREVTKNYRGVKITNFTTSWRNGLSFCAILHHFRPDLIDYKSL NPQDIKENNKKAYDGFASIGISRLLEPSDMVLLAIPDKLTVMTYLYQIRAHFSGQELNVV QIEENSSKSTYKVGNYETDTNSSVDQEKFYAELSDLKREPEPHQPARGAVDLLSQDDSVF VTDSGVGESESEHQTPDDHLSPSTASPYYRRTKSDTEPQKSQQSSARTSGSDDPGLSSST DSAQALASLGKKRLKAENLELSDLCVSDKKKDVSPLSAYEQKLQTVHASSDMEQGKMEKS RSLECRLDGELAITKPNVSSPSKLGYNRDTDFTKKPCASLRQIESDPDADKSTLNHADHP NKAVQHRMLSRQEELKERARVLLEQARRDAAFKVGSKHGGSAAPALCSRQLNDQQDEERR RQLRERARQLIAEARCGVKMSELPSYGEMAAEKLKERSKASGDENDNIEIDTNEEIPEGF VVGGGDELTNIESDLDNPEQNSKVVDLRLKKLLEAQPQVANLLPSAAQKAVTEASEQGEK SGVEDLRTERLQKATERFRNPVVFNKDSTVRKTQLQSFSQYVENRPEMKRQRSIQEDTKR GTEEKAEITETQRKPSEDEKGFKDTSQYVVGELAALENEQKQIDTRAALVEKRLRYLMDT GRNTEEEEAMMQEWFMLVNKKNALIRRMNQLSLLEKEHDLERRYELLNRELRAMLAIEDW QKTEAQKRREQLLLDELVALVDKRDALVRDLDAQEKQAEEEDEHLERTLEQNKGKMAKKE EKCALQ >ENSMUSP00000105191.2 pep:known chromosome:GRCm38:11:22005828:22286795:-1 gene:ENSMUSG00000042302.14 transcript:ENSMUST00000109563.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehbp1 description:EH domain binding protein 1 [Source:MGI Symbol;Acc:MGI:2667252] MASVWKRLQRVGKHASKFQFVASYQELMVECTKKWQPDKLVVVWTRRSRRKSSKAHSWQP GIKNPYRGVVVWPVPENIEITVTLFKDPHAEEFEDKEWTFVIENESPSGRRKALATSSIN MKQYASPMPTQTDVKLKFKPLSKKVVSATLQFSLSCIFLREGKATDEDMQSLASLMSMKQ ADIGNLDDFEEDNEDDDENRVNQEEKAAKITEIVNQLNALSSLDEDQDDCIKQANVPSAK SASSSEELINTLNFLDEAQKDLATVNTNPFDEPDVTELNPFGDPDSEEPITETTSPKKPE ESFYNNSCNPFKGVQTPQYLNPFDEPETFVMIKDSPPQSTRRKNLRPVDMSKYLYADSSK SEEELDESNPFYEPKPTSPNNLVNTVQEGETERRVKRRAPAPPAPLAPPAPPAPPALTPK TGVNENTVVSAGKDLSTSPKPSPIPSPVLGQKPNASQSLLAWCREVTKNYRGVKITNFTT SWRNGLSFCAILHHFRPDLIDYKSLNPQDIKENNKKAYDGFASIGISRLLEPSDMVLLAI PDKLTVMTYLYQIRAHFSGQELNVVQIEENSSKSTYKVGNYETDTNSSVDQEKFYAELSD LKREPEPHQPARGAVDLLSQDDSVFVTDSGVGESESEHQTPDDHLSPSTASPYYRRTKSD TEPQKSQQSSARTSGSDDPGLSSSTDSAQALASLGKKRLKAENLELSDLCVSDKKKDVSP LSAYEQKLQTVHASSDMEQGKMEKSRSLECRLDGELAITKPNVSSPSKLGYNRDTDFTKK PCASLRQIESDPDADKSTLNHADHPNKAVQHRMLSRQEELKERARVLLEQARRDAAFKVG SKHGGSAAPALCSRQLNDQQDEERRRQLRERARQLIAEARCGVKMSELPSYGEMAAEKLK ERSKASGDENDNIEIDTNEEIPEGFVVGGGDELTNIESDLDNPEQNSKVVDLRLKKLLEA QPQVANLLPSAAQKAVTEASEQGEKSGVEDLRTERLQKATERFRNPVVFNKDSTVRKTQL QSFSQYVENRPEMKRQRSIQEDTKRGTEEKAEITETQRKPSEDEKGFKDTSQYVVGELAA LENEQKQIDTRAALVEKRLRYLMDTGRNTEEEEAMMQEWFMLVNKKNALIRRMNQLSLLE KEHDLERRYELLNRELRAMLAIEDWQKTEAQKRREQLLLDELVALVDKRDALVRDLDAQE KQAEEEDEHLERTLEQNKGKMAKKEEKCALQ >ENSMUSP00000124534.1 pep:known chromosome:GRCm38:5:86705186:86745815:-1 gene:ENSMUSG00000054537.8 transcript:ENSMUST00000161306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss11e description:transmembrane protease, serine 11e [Source:MGI Symbol;Acc:MGI:3513175] MYRSCVVRARKRTCVEPWVIGIISFLSLIVLAVCIGLTVHYVRYNHRRTYNYYSTLSFTS DKLYSEFGREASKNFTEMSQRIETMVKHAFHKSPLRGQLVKAHIIKFSKEDDGVLAHMLL IFRIRSTEDPETVHKIIEYVLHEKLKYATGPPNVDPESVKIKKINKTESDNYFNHCCGTR RNKSTVQTSVRIVGGTPVEEEEWPWQSSLRWDGSHRCGATLINNTWLVTAAHCFRTHKDP SRWSATFGATLQPRKLTTGIRRIIVHEKYKYPSHDYDIALAELSKPVPCTNAVHKVCLPD ANHEFQPGQRMFVTGFGALKNDGFTQNNLRQVQVDYIDTQTCNQPQSYNGAITPRMLCAG FLKGEKDACQGDSGGPLVTADVRDIWYLAGVVSWGDECGQPNKPGVYTRVTAFRHWIASN TGI >ENSMUSP00000071717.6 pep:known chromosome:GRCm38:X:112604274:112635070:1 gene:ENSMUSG00000025529.14 transcript:ENSMUST00000071814.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp711 description:zinc finger protein 711 [Source:MGI Symbol;Acc:MGI:3045342] MESGGGSLGLHTSDARMAHTMIMQDFVAGMAGTAHIDGDHIVVSVPEAVLVSDVVTDDGI TLDHGLAAEVVHGPDIITETDVVTEGVIVPEAVLEADVAIEEDLEEDDGDHILTSELITE TVRVPEQVFVADLVSGPDGHLEHVVQDCVSGVDSPTMVSEEVLVTNSDTETVIQAGGGVP GSTVTIKTEEDDDDDVKSTSEDYLMISLDDVGEKLEHMGNTPLKIASDGSQEDVKEDAFG SEVIKVYIFKAEAEDDVEIGGTEIVTESEYSSGHSVAGVLDQSRMQREKMVYMAVKDSSQ EQDDINERRVSRRYEECQAPGNTFDSALENRNTTAAQYLQICDSMNTNKVLKQKIKKRRR GETRQWQTAVIIGPDGQPLTVYPCHICTKKFKSRGFLKRHMKNHPDHLMRKKYQCTDCDF TTNKKVSFHNHLESHKLINKVDKTHEFTEYTRRYREASPLSSNKLILRDKEPKMHKCKYC DYETAEQGLLNRHLLAVHSKSFPHVCVECGKGFRHPSELKKHMRTHTGEKPYQCQYCAFR CADQSNLKTHIKSKHGSNLPYKCEHCPQAFGDERELQRHLDLFQGHKTHQCPHCDHKSTN SSDLKRHIISVHTKDFPHKCEVCDKGFHRPSELKKHSDIHKGRKIHQCRHCDFKTSDPFI LSGHILSVHTKDQSLKCKRCKRGFRQQNELKKHMKTHTGRKIYQCEYCEYSTTDASGFKR HVISIHTKDYPHRCEFCKKGFRRPSEKKQHIMRHHKETLM >ENSMUSP00000118117.1 pep:known chromosome:GRCm38:X:112615301:112631910:1 gene:ENSMUSG00000025529.14 transcript:ENSMUST00000122805.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp711 description:zinc finger protein 711 [Source:MGI Symbol;Acc:MGI:3045342] XVTNSDTETVIQAGGGVPGSTVTIKTEEDDDDDVKSTSEDYLMISLDDVGEKLEHMGNTP LKIASDGSQEDVKEDAFGSEVIKVYIFKAEAEDDVEIGGTEIVTESEYSSGHSVAGVLDQ SRMQREKMVYMAVKDSSQEQDDISNADISNELCMEVIIGEEEGTPLEIPLQDCDVNKTGS PVLSPASYDERRVSRRYEECQAPGNTFDSALENRNTTAAQYLQICDSMNTN >ENSMUSP00000109036.1 pep:known chromosome:GRCm38:X:112600526:112635062:1 gene:ENSMUSG00000025529.14 transcript:ENSMUST00000113409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp711 description:zinc finger protein 711 [Source:MGI Symbol;Acc:MGI:3045342] MESGGGSLGLHTSDARMAHTMIMQDFVAGMAGTAHIDGDHIVVSVPEAVLVSDVVTDDGI TLDHGLAAEVVHGPDIITETDVVTEGVIVPEAVLEADVAIEEDLEEDDGDHILTSELITE TVRVPEQVFVADLVSGPDGHLEHVVQDCVSGVDSPTMVSEEVLVTNSDTETVIQAGGGVP GSTVTIKTEEDDDDDVKSTSEDYLMISLDDVGEKLEHMGNTPLKIASDGSQEDVKEDAFG SEVIKVYIFKAEAEDDVEIGGTEIVTESEYSSGHSVAGVLDQSRMQREKMVYMAVKDSSQ EQDDISNADISNELCMEVIIGEEEGTPLEIPLQDCDVNKTGSPVLSPASYDERRVSRRYE ECQAPGNTFDSALENRNTTAAQYLQICDSMNTNKVLKQKIKKRRRGETRQWQTAVIIGPD GQPLTVYPCHICTKKFKSRGFLKRHMKNHPDHLMRKKYQCTDCDFTTNKKVSFHNHLESH KLINKVDKTHEFTEYTRRYREASPLSSNKLILRDKEPKMHKCKYCDYETAEQGLLNRHLL AVHSKSFPHVCVECGKGFRHPSELKKHMRTHTGEKPYQCQYCAFRCADQSNLKTHIKSKH GSNLPYKCEHCPQAFGDERELQRHLDLFQGHKTHQCPHCDHKSTNSSDLKRHIISVHTKD FPHKCEVCDKGFHRPSELKKHSDIHKGRKIHQCRHCDFKTSDPFILSGHILSVHTKDQSL KCKRCKRGFRQQNELKKHMKTHTGRKIYQCEYCEYSTTDASGFKRHVISIHTKDYPHRCE FCKKGFRRPSEKKQHIMRHHKETLM >ENSMUSP00000146381.1 pep:known chromosome:GRCm38:7:62778423:62823673:-1 gene:ENSMUSG00000109344.1 transcript:ENSMUST00000207359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-222O22.4 MFSTFKKLCCCKREPKTPLGFCDGPSTCKWSLACCDCSQDRYGQSYDPDNKFHEAVCMGK IKVVLHLLSKKKFTINDQDKGKRTALHFACFYGHLHLVQFLLYNDCMINALDDQKATPLM KAVQSWETKIVSVLLDNGADPNCKDFNGETALHQAVYVNSPDIATCLLEYGAEIEETTRD GFTPLLLALRERKFLMVEYLIKNGANIHAWDDYQRTALMYAVQCDSEAIVKQLLEKGVDH SLKDAFGWSALQYAVAGKRKVRSLILDYEDSLLLGQHHIFSGQLRTDFYSS >ENSMUSP00000113000.1 pep:known chromosome:GRCm38:5:86804221:86836654:1 gene:ENSMUSG00000035851.14 transcript:ENSMUST00000119339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdc1 description:YTH domain containing 1 [Source:MGI Symbol;Acc:MGI:2443713] MAADSREEKDGELNVLDDILTEVPEQDDELYNPESEQDKNEKKGSKRKSERMESTDTKRQ KPSIHSRQLISKPLSSSVSNNKRIVSTKGKSVTEYKNEEYQRSERNKRLDADRKIRLSSS SSREPYKSQPEKTCLRKRDSERRAKSPTPDGSERIGLEVDRRASRSSQSSKEEVNSEDYG SDHETGSSGSSEQGNNTENEEEGGEEDVEEDEEVDEDAEDDEEVDEDAEEEEEEDEEEEE DEDEDEEEEEYEQDERDQKEEGNDYDTRSEASDSGSESVSFTDGSVRSGSGTDGSDEKKK ERKRARGISPIVFDRSGSSASESYADQTSKLKYVLQDARFFLIKSNNHENVSLAKAKGVW STLPVNEKKLNLAFRSARSVILIFSVRESGKFQGFARLSSESHHGGSPIHWVLPAGMSAK MLGGVFKIDWICRRELPFTKSAHLTNPWNEHKPVKIGRDGQEIELECGTQLCLLFPPDES IDLYQLIHKMRHKRRMHSQPRSRGRPSRREPVRDVGRRRPEDYDIHNSRKKPRIDYPPEF HQRPGYVKDPRYQEVDRRFSGVRRDVFLNGSYNDYVREFHNMGPPPPWQGMPPYPGIEQP PHHPYYQHHAPPPQAHPPYSGHHPVPHEARYRDKRVHDYDMRVDDFLRRTQAVVSGRRSR PRERDRERERDRPRDNRRDRERDRGRDRERERERICDRDRDRGERGRYRR >ENSMUSP00000113951.1 pep:known chromosome:GRCm38:5:86804233:86836659:1 gene:ENSMUSG00000035851.14 transcript:ENSMUST00000120498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdc1 description:YTH domain containing 1 [Source:MGI Symbol;Acc:MGI:2443713] MAADSREEKDGELNVLDDILTEVPEQDDELYNPESEQDKNEKKGSKRKSERMESTDTKRQ KPSIHSRQLISKPLSSSVSNNKRIVSTKGKSVTEYKNEEYQRSERNKRLDADRKIRLSSS SSREPYKSQPEKTCLRKRDSERRAKSPTPDGSERIGLEVDRRASRSSQSSKEEVNSEDYG SDHETGSSGSSEQGNNTENEEEGGEEDVEEDEEVDEDAEDDEEVDEDAEEEEEEDEEEEE DEDEDEEEEEYEQDERDQKEEGNDYDTRSEASDSGSESVSFTDGSVRSGSGTDGSDEKKK ERKRARGISPIVFDRSGSSASESYAGSEKKHEKLSSSVRAVRKDQTSKLKYVLQDARFFL IKSNNHENVSLAKAKGVWSTLPVNEKKLNLAFRSARSVILIFSVRESGKFQGFARLSSES HHGGSPIHWVLPAGMSAKMLGGVFKIDWICRRELPFTKSAHLTNPWNEHKPVKIGRDGQE IELECGTQLCLLFPPDESIDLYQLIHKMRHKRRMHSQPRSRGRPSRREPVRDVGRRRPED YDIHNSRKKPRIDYPPEFHQRPGYVKDPRYQEVDRRFSGVRRDVFLNGSYNDYVREFHNM GPPPPWQGMPPYPGIEQPPHHPYYQHHAPPPQAHPPYSGHHPVPHEARYRDKRVHDYDMR VDDFLRRTQAVVSGRRSRPRERDRERERDRPRDNRRDRERDRGRDRERERERICDRDRDR GERGRYRR >ENSMUSP00000122491.1 pep:known chromosome:GRCm38:5:86804496:86836659:1 gene:ENSMUSG00000035851.14 transcript:ENSMUST00000156363.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ythdc1 description:YTH domain containing 1 [Source:MGI Symbol;Acc:MGI:2443713] MAADSREEKEDCQDCSSWLFSDGELNVLDDILTEVPEQDDELYNPESEQDKNEKKGSKRK SERMESTDTKRQKPSIHSRQLISKPLSSSVSNNKRIVSTKGKSVTEYKNEEYQRSERNKR LDADRKIRLSSSSSREPYKSQPEKTCLRKRDSERRAKSPTPDGSERIGLEVDRRASRSSQ SSKEEVNSEDYGSDHETGSSGSSEQGNNTENEEEGGEEDVEEDEEVDEDAEDDEEVDEDA EEEEEEDEEEEEDEDEDEEEEEYEQDERDQKEEGNDYDTRSEASDSGSESVSFTDGSVRS GSGTDGSDEKKKERKRARGISPIVFDRSGSSASESYADQTSKLKYVLQDARFFLIKSNNH ENVSLAKAKGVWSTLPVNEKKLNLAFRSARSVILIFSVRESGKFQGFARLSSESHHGGSP IHWVLPAGMSAKMLGGVFKIDWICRRELPFTKSAHLTNPWNEHKPVKIGRDGQEIELECG TQLCLLFPPDESIDLYQLIHKMRHKRRMHSQPRSRGRPSRREPVRDVGRLETFFGLIIGT CIGIT >ENSMUSP00000039133.7 pep:known chromosome:GRCm38:5:86804564:86836657:1 gene:ENSMUSG00000035851.14 transcript:ENSMUST00000038384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ythdc1 description:YTH domain containing 1 [Source:MGI Symbol;Acc:MGI:2443713] MAADSREEKDGELNVLDDILTEVPEQDDELYNPESEQDKNEKKGSKRKSERMESTDTKRQ KPSIHSRQLISKPLSSSVSNNKRIVSTKGKSVTEYKNEEYQRSERNKRLDADRKIRLSSS SSREPYKSQPEKTCLRKRDSERRAKSPTPDGSERIGLEVDRRASRSSQSSKEEVNSEDYG SDHETGSSGSSEQGNNTENEEEGGEEDVEEDEEVDEDAEDDEEVDEDAEEEEEEDEEEEE DEDEDEEEEEYEQDERDQKEEGNDYDTRSEASDSGSESVSFTDGSVRSGSGTDGSDEKKK ERKRARGISPIVFDRSGSSASESYAGSEKKHEKLSSSVRAVRKDQTSKLKYVLQDARFFL IKSNNHENVSLAKAKGVWSTLPVNEKKLNLAFRSARSVILIFSVRESGKFQGFARLSSES HHGGSPIHWVLPAGMSAKMLGGVFKIDWICRRELPFTKSAHLTNPWNEHKPVKIGRDGQE IELECGTQLCLLFPPDESIDLYQLIHKMRHKRRMHSQPRSRGRPSRREPVRDVGRRRPED YDIHNSRKKPRIDYPPEFHQRPGYVKDPRYQEVDSFTNLIPNRRFSGVRRDVFLNGSYND YVREFHNMGPPPPWQGMPPYPGIEQPPHHPYYQHHAPPPQAHPPYSGHHPVPHEARYRDK RVHDYDMRVDDFLRRTQAVVSGRRSRPRERDRERERDRPRDNRRDRERDRGRDRERERER ICDRDRDRGERGRYRR >ENSMUSP00000028199.5 pep:known chromosome:GRCm38:2:30952959:30959015:1 gene:ENSMUSG00000026848.14 transcript:ENSMUST00000028199.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1b description:torsin family 1, member B [Source:MGI Symbol;Acc:MGI:1353605] MRRIGAFGGSTALWALLAAHVAGAFEPVSVGIAIGAVSALTGYLSYTDFYCRFTECCHEE RPLNTSALKLDLEEKLFGQHLATEVILKALTGFRNNKNSKKPLTLSLHGWAGTGKNFISQ IVAENLYPKGLKSNFVHLFVSTLHFPHEQKIKVYQDQLQKWIRGNVSACGSSVFIFDEMD KLHPGIIDAIKPFLDYYEQVDGISYRRAIFIFLSNAGGDLITKTALDFWRAGRKREEIQL KDLEPVLSVGVFNNKHSGLWHSGLIDKNLIDYFIPFLPLEYKHVKMCVRAEMRARGAAVD EDVVTSVADEMTFFPKDEKIYSDKGCKTVQSRLDFH >ENSMUSP00000117888.1 pep:known chromosome:GRCm38:2:30952991:30956494:1 gene:ENSMUSG00000026848.14 transcript:ENSMUST00000135507.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor1b description:torsin family 1, member B [Source:MGI Symbol;Acc:MGI:1353605] MRRIGAFGGSTALWALLAAHVAGAFEPVSVGIAIGAVSALTGYLSYTDFYCRFTECCHEE RPLNTSALKLDLEEKLFGQHLATEVILKALTGFRNNKNSKKPLTLSLHGWAGTGKNFISQ IVAENLYPKGLKSNFVHLFVSTLHFPHEQKIKVYQVGPGPAPEVDPRQCECVWQLRLHL >ENSMUSP00000120415.1 pep:known chromosome:GRCm38:2:30953187:30959012:1 gene:ENSMUSG00000026848.14 transcript:ENSMUST00000156711.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor1b description:torsin family 1, member B [Source:MGI Symbol;Acc:MGI:1353605] ECCHEERPLNTSALKLDLEEKLFGQHLATEVILKALTGFRNNKNSKKPLTLSLHGWAGTG KNFISQIVAENLYPKGLKSNFVHLFVSTLHFPHEQKIKVGPGPAPEVDPRQCECVWQLRL HL >ENSMUSP00000117612.1 pep:known chromosome:GRCm38:2:30953752:30956873:1 gene:ENSMUSG00000026848.14 transcript:ENSMUST00000132514.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor1b description:torsin family 1, member B [Source:MGI Symbol;Acc:MGI:1353605] XLKLDLEEKLFGQHLATEVILKALTGFRNNKNSKKPLTLSLHGWAGTGPAPEVDPRQCEC VWQLRLHL >ENSMUSP00000072863.4 pep:known chromosome:GRCm38:1:171276992:171281186:-1 gene:ENSMUSG00000062729.11 transcript:ENSMUST00000073120.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppox description:protoporphyrinogen oxidase [Source:MGI Symbol;Acc:MGI:104968] MGRTVIVLGGGISGLAASYHLIRGPSPPKVILVEGSKRLGGWIRSIRGSDGAIFELGPRG IRPAGALGARTLLLVSELGLESEVLPVRGDHPAAQNRFLYVGGTLHPLPSGLRGLLRPSP PFSKPLFWAGLRELLKPRGKEPDETVHSFAQRRLGPEVASLAMDSLCRGVFAGNSRELSI RSCFPSLFQAEQTHRSILLGLLLGAGQSPQPDSSLIRQARAERWSQWSLRGGLEVLPQAL HNHLASKGVTVLSGQPVCGLSLQPEGRWKVSLGDSSLEADHIISAIPASELSKLLPAEAA PLARILSTIKAVSVAVVNLQYRGACLPVQGFGHLVPSSEDPTVLGIVYDSVAFPEQDGNP PSLRVTVMLGGYWLQKLKAAGHQLSPELFQQQAQEAAATQLGLKEPPSHCLVHLHKNCIP QYTIGHWQKLDSAMQFLTAQRLPLTLAGASYEGVAVNDCIESGRQAAVAVLGTESNS >ENSMUSP00000141835.1 pep:known chromosome:GRCm38:1:171277447:171280760:-1 gene:ENSMUSG00000062729.11 transcript:ENSMUST00000192956.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppox description:protoporphyrinogen oxidase [Source:MGI Symbol;Acc:MGI:104968] MGRTVIVLGGGISGLAASYHLIRGPSPPKVILVEGSKRLGGWIRSIRGSDGAIFELGPRG IRPAGALGARTLLLFLLFLRACGEQVSELGLESEVLPVRGDHPAAQNRFLYVGGTLHPLP SGLSPSLTLKVASLAMDSLCRGVFAGNSRELSIRSCFPSLFQAEQTHRSILLGLLLGAGQ SPQPDSSLIRQARAERWSQWSLRGGLEVLPQALHNHLASKGVTVLSGQPVCGLSLQPEGR WKVSLGDSSLEADHIISAIPASELSKLLPAEAAPLARILSTIKAVSVAVVNLQYRGACLP VQGFGHLVPSSEDPTVLGIVYDSVAFPEQDGNPPSLRVTVMLGGYWLQKLKAAGHQLSPE LFQQQAQEAAATQLGLKEPPSHCLVHLHK >ENSMUSP00000105125.1 pep:known chromosome:GRCm38:2:158258094:158284392:1 gene:ENSMUSG00000052922.9 transcript:ENSMUST00000109499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpi description:bactericidal permeablility increasing protein [Source:MGI Symbol;Acc:MGI:3045315] MTWAPDNVRKWSALLLLAIIGTALTAATDPGFVAMISQKGLDFACQQGVVELQKELQAIS VPDFSGVFKIKHLGKGSYEFYSMAVDGFHIPNPKIEMLPSDGLRVFIKDASIKINGKWMS RKNFLKAGGNFELSIQGVSISTDLILGSDSSGHITTICSNCDSHIDSVHIKISGSMLGWL IRLFHRKIETSLKNIIYKKICKIVRDSVSSKLQPYLKTLSVITRVDDVTSVDYSLLAPLT TTNQFLEGQLKGEFFWRGHRDPLPIHPPVMRFVPNGAYMVCMGISDYFFNTEVLAYQQSG TLKMTLGGQLLSNNGRFQLNTDFLRTFLPKVAKMFPSMGVQLLISAPVPVHLSIQPSGLS FNPKLETQAFVVLPNASLVPLFVLGMKTNASLEVDAEENRLVGEMKLGSRWLLELKESKF GPFKVEYLEDVINYLVSTLVLPKINERLRRGFPLPLPAGIRFSHFTFYPYQNFLLLEADL HLI >ENSMUSP00000105126.1 pep:known chromosome:GRCm38:2:158258094:158284528:1 gene:ENSMUSG00000052922.9 transcript:ENSMUST00000109500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpi description:bactericidal permeablility increasing protein [Source:MGI Symbol;Acc:MGI:3045315] MTWAPDNVRKWSALLLLAIIGTALTAATDPGFVAMISQKGLDFACQQGVVELQKELQAIS VPDFSGVFKIKHLGKGSYEFYSMAVDGFHIPNPKIEMLPSDGLRVFIKDASIKINGKWMS RKNFLKAGGNFELSIQGVSISTDLILGSDSSGHITTICSNCDSHIDSVHIKISGSMLGWL IRLFHRKIETSLKNIIYKKICKIVRDSVSSKLQPYLKTLSVITRVDDVTSVDYSLLAPLT TTNQFLEGQLKGEFFWRGHRDPLPIHPPVMRFVPNGAYMVCMGISDYFFNTEVLAYQQSG TLKMTLGGQLLSNNGRFQLNTDFLRTFLPKVAKMFPSMGVQLLISAPVPVHLSIQPSGLS FNPKLETQAFVVLPNASLVPLFVLGMKTNASLEVDAEENRLVGEMKLGRWLLELKESKFG PFKVEYLEDVINYLVSTLVLPKINERLRRGFPLPLPAGIRFSHFTFYPYQNFLLLEADLH LI >ENSMUSP00000067837.2 pep:known chromosome:GRCm38:2:158258094:158284531:1 gene:ENSMUSG00000052922.9 transcript:ENSMUST00000065039.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpi description:bactericidal permeablility increasing protein [Source:MGI Symbol;Acc:MGI:3045315] MTWAPDNVRKWSALLLLAIIGTALTAATDPGFVAMISQKGLDFACQQGVVELQKELQAIS VPDFSGVFKIKHLGKGSYEFYSMAVDGFHIPNPKIEMLPSDGLRVFIKDASIKINGKWMS RKNFLKAGGNFELSIQGVSISTDLILGSDSSGHITTICSNCDSHIDSVHIKISGSMLGWL IRLFHRKIETSLKNIIYKKICKIVRDSVSSKLQPYLKTLSVITRVDDVTSVDYSLLAPLT TTNQFLEGQLKGEFFWRGHRDPLPIHPPVMRFVPNGAYMVCMGISDYFFNTEVLAYQQSG TLKMTLGGQLLSNNGRFQLNTDFLRTFLPKVAKMFPSMGVQLLISAPVPVHLSIQPSGLS FNPKLETQAFVVLPNASLVPLFVLGMVRRKTNASLEVDAEENRLVGEMKLGSRWLLELKE SKFGPFKVEYLEDVINYLVSTLVLPKINERLRRGFPLPLPAGIRFSHFTFYPYQNFLLLE ADLHLI >ENSMUSP00000124230.1 pep:known chromosome:GRCm38:10:79736127:79739374:-1 gene:ENSMUSG00000020329.12 transcript:ENSMUST00000161662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polrmt description:polymerase (RNA) mitochondrial (DNA directed) [Source:MGI Symbol;Acc:MGI:1915843] XGRTYPCPPHFNHLGSDLARALLEFAEGRPLGPRGLDWLKIHLINLTGLKKGDSLRMRLA FADEVMEEILDSADNPLTGRKWWMEADEPWQTLACCMEVAHAVRSPDPAAYISHLPVHQV EEFRQQDAKEGLRVAQVLEGFISRKVVKQTVMTVVYGVTRYGGRLQIEKRLRELSDFPQE FVWEASHYLVRQVFKSLQEMFTSTRAIQHWLTESANLISHAGWPVEWVTPLGIPIIQPYH RESKVQVKGGLQSITLTSSVDESQKPNTLKQKNGFPPNFIHSLDSSHMMLTALHCYRKGL IFVSVHDCFWTHAADIPTMNEVCREQFVRLHSQPILEDLAKFLKKRFCSVSSIKSLKSSE RALVTKLQETLQSLPKTGTFDLGQVIRSTYFFS >ENSMUSP00000020580.6 pep:known chromosome:GRCm38:10:79736130:79746579:-1 gene:ENSMUSG00000020329.12 transcript:ENSMUST00000020580.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polrmt description:polymerase (RNA) mitochondrial (DNA directed) [Source:MGI Symbol;Acc:MGI:1915843] MSALRWTRSAAGLGRVLRSPGPHRPPSEEGTFGGFCSSRRSSAASPREQHVLREWGHAEL LEVLEARVRQLRAEGTPEMRVKKVQVDRPPQGHSSRWAQKLEAEKRVKQRRQKEVDQQKQ ALTQEFWTLHKEPKIWNKKLAGYLQPSKKGTPTNSEEKQLAQALQAALGRLSSREAEALA RKKAKAVEAQILVLQQKFLAFFECCVCTGQVPLAHHVLVTHHNNGDRQQVLTLHMYNTVM LGWARKGSFRELVYVFLMLKDAGLSPDLCSYAAALQCMGRRDQDVRTIQRCLKQMMEEGF QPQLLFTDLVLEEEDRAALLRAVVKAEPAFRPPPQAPSPVNTSTLLKDIYSKEGPVSYPK LHLPLDTLQDLFYQQLHVELSSSVCVQSVEKAPVMSKEVIEARKTLQALREQWEVELLRV LRETKATMGRQAYEGQPTLYPFLCLLSEGEFVSILMQVLKVLPAQGEPLIQLAHNLGLRV LNRHLVKQKQVTNHVQKLGQRYSQYLQLLASDTQVAPCLPREYWESLGPLEAPAQQPWSV PVLLQLGKQLAELLVQAVQMPRSLAARQGAQRSIPVLYHVYSFRSYRQVGILKPHPAFTH LLETAAEPTLTFETTEVPMLCPPLPWTSLHSGAYLLSSTKLMRATEGTTQHQRLLEQCPP AQLHGPLDALTQLGNCAWRVNGHLLDLVLQIFRDKGCMPLGVPPPRSEAPRPARYQLPPG STPVHKSELRKELARCLKVAREMHSLRSEALYRLSLAQHLRHRVFWLPHNMDFRGRTYPC PPHFNHLGSDLARALLEFAEGRPLGPRGLDWLKIHLINLTGLKKGDSLRMRLAFADEVME EILDSADNPLTGRKWWMEADEPWQTLACCMEVAHAVRSPDPAAYISHLPVHQDGSCNGLQ HYAALGRDSVGAASVNLTPSDLPQDVYREVATQVEEFRQQDAKEGLRVAQVLEGFISRKV VKQTVMTVVYGVTRYGGRLQIEKRLRELSDFPQEFVWEASHYLVRQVFKSLQEMFTSTRA IQHWLTESANLISHAGWPVEWVTPLGIPIIQPYHRESKVQVKGGLQSITLTSSVDESQKP NTLKQKNGFPPNFIHSLDSSHMMLTALHCYRKGLIFVSVHDCFWTHAADIPTMNEVCREQ FVRLHSQPILEDLAKFLKKRFCSVSSIKSLKSSERALVTKLQETLQSLPKTGTFDLGQVI RSTYFFS >ENSMUSP00000124936.1 pep:known chromosome:GRCm38:10:79736130:79746581:-1 gene:ENSMUSG00000020329.12 transcript:ENSMUST00000159016.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polrmt description:polymerase (RNA) mitochondrial (DNA directed) [Source:MGI Symbol;Acc:MGI:1915843] MSALRWTRSAAGLGRVLRSPGPHRPPSEEGTFGGFCSSRRSSAASPREQHVLREWGHAEL LEVLEARVRQLRAEGTPEMRVKKVQVDRPPQGHSSRWAQKLEAEKRVKQRRQKEVDQQKQ ALTQEFWTLHKEPKIWNKKLAGYLQPSKKGTPTNSEEKQLAQALQAALGRLSSREAEALA RKKAKAVEAQILVLQQKFLAFFECCVCTGQVPLAHHVLVTHHNNGDRQQVLTLHMYNTVM LGWARKGSFRELVYVFLMLKDAGLSPDLCSYAAALQCMGRRDQDVRTIQRCLKQMMEEGF QPQLLFTDLVLEEEDRAALLRAVVKAEPAFRPPPQAPSPVNTSTLLKDIYSKEGPVSYPK LHLPLDTLQDLFYQQLHVELSSSVCVQSVEKAPVMSKEVIEARKTLQALREQWEVELLRV LRETKATMGRQAYEGQPTLYPFLCLLSEGEFVSILMQVLKVLPAQGEPLIQLAHNLGLRV LNRHLVKQKQVTNHVQKLGQRYSQYLQLLASDTQVGILKPHPAFTHLLETAAEPTLTFET TEVPMLCPPLPWTSLHSGAYLLSSTKLMRATEGTTQHQRLLEQCPPAQLHGPLDALTQLG NCAWRVNGHLLDLVLQIFRDKGCMPLGVPPPRSEAPRPARYQLPPGSTPVHKSELRKELA RCLKVAREMHSLRSEALYRLSLAQHLRHRVFWLPHNMDFRGRTYPCPPHFNHLGSDLARA LLEFAEGRPLGPRGLDWLKIHLINLTGLKKGDSLRMRLAFADEVMEEILDSADNPLTGRK WWMEADEPWQTLACCMEVAHAVRSPDPAAYISHLPVHQDGSCNGLQHYAALGRDSVGAAS VNLTPSDLPQDVYREVATQVEEFRQQDAKEGLRVAQVLEGFISRKVVKQTVMTVVYGVTR YGGRLQIEKRLRELSDFPQEFVWEASHYLVRQVFKSLQEMFTSTRAIQHWLTESANLISH AGWPVEWVTPLGIPIIQPYHRESKVQVKGGLQSITLTSSVDESQKPNTLKQKNGFPPNFI HSLDSSHMMLTALHCYRKGLIFVSVHDCFWTHAADIPTMNEVCREQFVRLHSQPILEDLA KFLKKRFCSVSSIKSLKSSERALVTKLQETLQSLPKTGTFDLGQVIRSTYFFS >ENSMUSP00000124556.1 pep:known chromosome:GRCm38:10:79736174:79746571:-1 gene:ENSMUSG00000020329.12 transcript:ENSMUST00000162694.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polrmt description:polymerase (RNA) mitochondrial (DNA directed) [Source:MGI Symbol;Acc:MGI:1915843] MSALRWTRSAAGLGRVLRSPGPHRPPSEEGTFGGFCSSRRSSAASPREQHVLREWGHAEL LEVLEARVRQLRAEGTPEMRVKKVQVDRPPQGHSSRWAQKLEAEKRVKQRRQKEVDQQKQ ALTQEFWTLHKEPKIWNKKLAGYLQPSKKGTPTNSEEKQLAQALQAALGRLSSREAEALA RKKAKAVEAQILVLQQKFLAFFECCVCTGQVPLAHHVLVTHHNNGDRQQVLTLHMYNTVM LGWARKGSFRELVYVFLMLKDAGLSPDLCSYAAALQCMGRRDQDVRTIQRCLKQMMEEGF QPQLLFTDLVLEEEDRAALLRAVVKAEPAFRPPPQAPSPVNTSTLLKDIYSKEGPVSYPK LHLPLDTLQDLFYQQLHVELSSSVCVQSVEKAPVMSKEVIEARKTLQALREQWEVELLRV LRETKATMGRQAYEGQPTLYPFLCLLSEGEFVSILMQVLKVLPAQGEPLIQLAHNLGLRV LNRHLVKQKQVTNHVQKLGQRYSQYLQLLASDTQVAPCLPREYWESLGPLEAPAQQPWSV PVLLQLGKQLAELLVQAVQMPRSLAARQGAQRSIPVLYHVYSFRSYRQVGILKPHPAFTH LLETAAEPTLTFETTEVPMLCPPLPWTSLHSGAYLLSSTKLMRATEGTTQHQRLLEQCPP AQLHGPLDALTQLGNCAWRVNGHLLDLVLQIFRDKGCMPLGVPPPRSEAPRPARYQLPPG STPVHKSELRKELARCLKVAREMHSLRSEALYRLSLAQHLRHRVFWLPHNMDFRGRTYPC PPHFNHLGSDLARALLEFAEGRPLGPRGLDWLKIHLINLTGLKKGDSLRMRLAFADEVME EILDSADNPLTGRKWWMEADEPWQTLACCMEVAHAVRSPDPAAYISHLPVHQAETVWVLP QST >ENSMUSP00000002403.8 pep:known chromosome:GRCm38:14:55739022:55745690:-1 gene:ENSMUSG00000002332.15 transcript:ENSMUST00000002403.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs1 description:dehydrogenase/reductase (SDR family) member 1 [Source:MGI Symbol;Acc:MGI:1196314] MVAPMKGQVCVVTGASRGIGRGIALQLCKAGATVYITGRHLDTLRATAQEAQSLGGRCVP VVCDSSQESEVKSLFEQVDREQKGRLDVLVNNAYAGVQAILNTTNKSFWEVPASIWDDIN NVGLRGHYLCSVYGARLMVPAGKGLIVIVSSPGGLQHMFNVPYGVGKAACDRLAADCAHE LRRHGVSYVSLWPGLVQTEMVKEFMAKEDTPEDPLFKKMKPDFSSAESPEMSGKCVVALA TDPNILNLSGKVLPSCDLARRYGLKDIDGRPVKDYFSLGYALSQVSSLGWLNSFLPGFLR VPKWVVTLYNSKF >ENSMUSP00000126889.1 pep:known chromosome:GRCm38:9:57790353:57836706:-1 gene:ENSMUSG00000004661.15 transcript:ENSMUST00000164010.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3b description:AT rich interactive domain 3B (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1930768] MEPLQQQQQQQQQKQPQQPLLQMDAREKQGPQTRESQFLYASKLGTQPALLSITPGRPSG SSVLGPLARVPPATPVARMSEQSNVNSEPEEEEGGLEDEDGDDDVAEVAEKEAQAASKYF HMQKVTRQEPRATPMSSLLPVPGLSPQGQQTKEDHTKDASKAPPSVPTAGQPSWSLDEQL KQNGALAWSDDADGGRGREISRDFAKLYELDGDPERKEFLDDLFIFMQKRGKCVALPPQV >ENSMUSP00000130173.1 pep:known chromosome:GRCm38:9:57790356:57836708:-1 gene:ENSMUSG00000004661.15 transcript:ENSMUST00000171444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3b description:AT rich interactive domain 3B (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1930768] MEPLQQQQQQQQQKQPQQPLLQMDAREKQGPQTRESQFLYASKLGTQPALLSITPGRPSG SSVLGPLARVPPATPVARMSEQSNVNSEPEEEEGGLEDEDGDDDVAEVAEKEAQAASKYF HMQKVTRQEPRATPMSSLLPVPGLSPQGQQTKEDHTKDASKAPPSVPTAGQPSWSLDEQL KQNGALAWSDDADGGRGREISRDFAKLYELDGDPERKEFLDDLFIFMQKRGTPINRIPIM AKQILDLYMLYKLVTEKGGLVEIINKKIWREITKGLNLPTSITSAAFTLRTQYMKYLYAY ECEKKALSSPAELQAAIDGNRREGRRPSYSSSLFGYSPAAAAAAAAAAAAAAASAASAGT PALLSSPKIRFSILGLGSSSGTSASSPRIPPASTLRKGDGVPVPVPNRLAVSGTLAGQQA GNRPGPLEHLRERLESGEPPEKKASRLSEEEQRLVQQAFQRNLFSMARQLPMKIRINGRE DRAEPSAPALNLTTSNIGSINMSVDIDGTTYTGVLFAQKPVVHLIAGSTPQSIGSSASSS NSSSSHCSPSPTSSRGTPSAEPSTSWSL >ENSMUSP00000127525.1 pep:known chromosome:GRCm38:9:57792161:57796221:-1 gene:ENSMUSG00000004661.15 transcript:ENSMUST00000171949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3b description:AT rich interactive domain 3B (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1930768] KKASRLSEEEQRLVQQAFQRNLFSMARQLPMKIRINGRGVLFAQKPVVHLIAGSTPQSIG SSASSSNSSSSHCSPSPTSSRGTPSAEPSTSWSL >ENSMUSP00000109802.2 pep:known chromosome:GRCm38:9:57792423:57836478:-1 gene:ENSMUSG00000004661.15 transcript:ENSMUST00000114165.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arid3b description:AT rich interactive domain 3B (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1930768] MEPLQQQQQQQQQKQPQQPLLQMDAREKQGPQTRESQFLYASKLGTQPALLSITPGRPSG SSVLGPLARVPPATPVARMSEQSNVNSEPEEEEGGLEDEDGDDDVAEVAEKEAQAASKYF HMQKVTRQEPRATPMSSLLPVPGLSPQGQQTKEDHTKDASKAPPSVPTAGQPSWSLDEQL KQNGALAWSDDADGGRGREISRDFAKVCNVLS >ENSMUSP00000131677.1 pep:known chromosome:GRCm38:9:57794686:57836761:-1 gene:ENSMUSG00000004661.15 transcript:ENSMUST00000164035.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arid3b description:AT rich interactive domain 3B (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1930768] MEPLQQQQQQQQQKQPQQPLLQMDAREKQGPQTRESQFLYASKLGTQPALLSITPGRPSG SSVLGPLARVPPATPVARMSEQSNVNSEPEEEEGGLEDEDGDDDVAEVAEKEAQAASKYF HMQKVTRQEPRATPMSSLLPVPGLSPQGQQTKEDHTKDASKAPPSVPTAGQPSWSLDEQL KQNGALAWSDDADGGRGREISRDFAKLYELDGDPERKEFLDDLFIFMQKRGDPAAAAECT AFLLPSLIVIKYPGTTQLSTIMQKGVWHPNQPDSHHGQADPGPVHAV >ENSMUSP00000096283.3 pep:known chromosome:GRCm38:9:57794687:57836793:-1 gene:ENSMUSG00000004661.15 transcript:ENSMUST00000098686.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3b description:AT rich interactive domain 3B (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1930768] MEPLQQQQQQQQQKQPQQPLLQMDAREKQGPQTRESQFLYASKLGTQPALLSITPGRPSG SSVLGPLARVPPATPVARMSEQSNVNSEPEEEEGGLEDEDGDDDVAEVAEKEAQAASKYF HMQKVTRQEPRATPMSSLLPVPGLSPQGQQTKEDHTKDASKAPPSVPTAGQPSWSLDEQL KQNGALAWSDDADGGRGREISRDFAKLYELDGDPERKEFLDDLFIFMQKRGTPINRIPIM AKQILDLYMLYKLVTEKGGLVEIINKKIWREITKGLNLPTSITSAAFTLRTQYMKYLYAY ECEKKALSSPAELQAAIDGNRREGRRPSYSSSLFGYSPAAAAAAAAAAAAAAASAASAGT PALLSSPKIRFSILGLGSSSGTSASSPRIPPASTLRKGDGVPVPVPNRLAVSGTLAGQQA GNRPGPLEHLRERLESGEPPEKKASRLSEEEQRLVQQAFQRNLFSMARQLPMKIRINGRE DRAEPSAPALNLTTSNIGSINMSVDIDGTTYTGRPLELWKAHADWQPKCSFPVGPA >ENSMUSP00000130902.1 pep:known chromosome:GRCm38:9:57798150:57820627:-1 gene:ENSMUSG00000004661.15 transcript:ENSMUST00000170477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3b description:AT rich interactive domain 3B (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1930768] MTSSSSCRREAPQSTGFPSWPSRSWTCTCCINWSQ >ENSMUSP00000004780.9 pep:known chromosome:GRCm38:9:57790513:57834236:-1 gene:ENSMUSG00000004661.15 transcript:ENSMUST00000004780.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3b description:AT rich interactive domain 3B (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1930768] MEPLQQQQQQQQQKQPQQPLLQMDAREKQGPQTRESQFLYASKLGTQPALLSITPGRPSG SSVLGPLARVPPATPVARMSEQSNVNSEPEEEEGGLEDEDGDDDVAEVAEKEAQAASKYF HMQKVTRQEPRATPMSSLLPVPGLSPQGQQTKEDHTKDASKAPPSVPTAGQPSWSLDEQL KQNGALAWSDDADGGRGREISRDFAKLYELDGDPERKEFLDDLFIFMQKRGTPINRIPIM AKQILDLYMLYKLVTEKGGLVEIINKKIWREITKGLNLPTSITSAAFTLRTQYMKYLYAY ECEKKALSSPAELQAAIDGNRREGRRPSYSSSLFGYSPAAAAAAAAAAAAAAASAASAGT PALLSSPKIRFSILGLGSSSGTSASSPRIPPASTLRKGDGVPVPVPNRLAVSGTLAGQQA GNRPGPLEHLRERLESGEPPEKKASRLSEEEQRLVQQAFQRNLFSMARQLPMKIRINGRE DRAEPSAPALNLTTSNIGSINMSVDIDGTTYTGVLFAQKPVVHLIAGSTPQSIGSSASSS NSSSSHCSPSPTSSRGTPSAEPSTSWSL >ENSMUSP00000070548.8 pep:known chromosome:GRCm38:14:46832134:46854193:1 gene:ENSMUSG00000055128.14 transcript:ENSMUST00000068532.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cgrrf1 description:cell growth regulator with ring finger domain 1 [Source:MGI Symbol;Acc:MGI:1916368] MAAVFLVTLYEYSPLFYIAVVFTCFIVTTGLVLGWFGWDVPVILRNSEETQFSTRAFKKQ MRQVKNPFGLEITNSSAASLATGMALRTDCLEDSRLTCYWGCSVQKLYEALQKHAYCFRI STPQALEEALYSDYLHREQYFIKKHSKEEIYCQLPSDTEIEDFGPVPRSRYPLVALLTLA DEDDREIYDIISMVSVIHIPDKTYKLPCRILYQYLILAQGQFYDLKQLFMSANNSPPPSN DESPEDRSVEQSLLEKVGLAGNDGDPVEESSRDCVVCQNGGVNWVLLPCRHACLCDSCVR YFKQCPMCRQFVQESFALCGQKEPDKDLLETS >ENSMUSP00000025217.8 pep:known chromosome:GRCm38:18:34937414:34954357:-1 gene:ENSMUSG00000024359.8 transcript:ENSMUST00000025217.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa9 description:heat shock protein 9 [Source:MGI Symbol;Acc:MGI:96245] MISASRAAAARLVGTAASRSPAAARPQDGWNGLSHEAFRFVSRRDYASEAIKGAVVGIDL GTTNSCVAVMEGKQAKVLENAEGARTTPSVVAFTADGERLVGMPAKRQAVTNPNNTFYAT KRLIGRRYDDPEVQKDTKNVPFKIVRASNGDAWVEAHGKLYSPSQIGAFVLMKMKETAEN YLGHTAKNAVITVPAYFNDSQRQATKDAGQISGLNVLRVINEPTAAALAYGLDKSEDKVI AVYDLGGGTFDISILEIQKGVFEVKSTNGDTFLGGEDFDQALLRHIVKEFKRETGVDLTK DNMALQRVREAAEKAKCELSSSVQTDINLPYLTMDASGPKHLNMKLTRAQFEGIVTDLIK RTIAPCQKAMQDAEVSKSDIGEVILVGGMTRMPKVQQTVQDLFGRAPSKAVNPDEAVAIG AAIQGGVLAGDVTDVLLLDVTPLSLGIETLGGVFTKLINRNTTIPTKKSQVFSTAADGQT QVEIKVCQGEREMAGDNKLLGQFTLIGIPPAPRGVPQIEVTFDIDANGIVHVSAKDKGTG REQQIVIQSSGGLSKDDIENMVKNAEKYAEEDRRKKERVEAVNMAEGIIHDTETKMEEFK DQLPADECNKLKEEISKMRALLAGKDSETGENIRQAASSLQQASLKLFEMAYKKMASERE GSGSSGTGEQKEDQKEEKQ >ENSMUSP00000127626.1 pep:known chromosome:GRCm38:1:190947646:190979296:-1 gene:ENSMUSG00000037568.12 transcript:ENSMUST00000166139.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vash2 description:vasohibin 2 [Source:MGI Symbol;Acc:MGI:2444826] MTGSAADTHRCPHPKITKGTRSRSSHARPVSLATSGGSEEEDKDGGVLFHVNKSGFPIDS HTWERMWLHVAKVHPRGGEMVGAIRNAAFLAKPSIPQVPNYRLSMTIPDWLQAIQNYMKT LQYNHTGTQFFEIRKMRPLSGLMETAKEMTRESLPIKCLEAVILGIYLTNGQPSIERFPI SFKTYFSGNYFHHVVLGIYCNGYYGSLGMSRRAELMDKPLTFRTLSDLVFDFEDSYKKYL HTVKKVKIGLYVPHEPHSFQPIEWKQLVLNVSKMLRADIRKELEKYARDMRMKILKPASA HSPTQVRSRGKSLSPRRRQASPPRRLGRRDKSPALTEKKVADLGTLNEVGYQIRI >ENSMUSP00000036768.6 pep:known chromosome:GRCm38:1:190947648:190979002:-1 gene:ENSMUSG00000037568.12 transcript:ENSMUST00000047409.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vash2 description:vasohibin 2 [Source:MGI Symbol;Acc:MGI:2444826] MTGSAADTHRCPHPKITKGTRSRSSHARPVSLATSGGSEEEDKDGGVLFHVNKSGFPIDS HTWERMWLHVAKVHPRGGEMVGAIRNAAFLAKPSIPQVPNYRLSMTIPDWLQAIQNYMKT LQYNHTGTQFFEIRKMRPLSGLMETAKEMTRESLPIKCLEAVILGIYLTNGQPSIERFPI SFKTYFSGNYFHHVVLGIYCNGYYGSLGMSRRAELMDKPLTFRTLSDLVFDFEDSYKKYL HTVKKVKIGLYVPHEPHSFQPIEWKQLVLNVSKMLRADIRKELEKYARDMRMKILKPASA HSPTQVRSRGKSLSPRRRQASPPRRLGRRDKSPALTEKKVADLGTLNEVGYQIRI >ENSMUSP00000142901.1 pep:known chromosome:GRCm38:5:87774567:87788698:1 gene:ENSMUSG00000061937.7 transcript:ENSMUST00000200322.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s2a description:casein alpha s2-like A [Source:MGI Symbol;Acc:MGI:88542] MKFFIFACLVVVALAKHEIKDKSSSEESSASIYPGKSKLDNSVFFQTTKDSASSSSSEKI VQSEEQKVNLNQQKKFKQFSQESSFSQCCTPLHQQQQSSVNQWPQPNAIHNTPTQESIST SVEEILKKIIDMIKYIQYQQVTIPQLPQALHPQIPVSYWYPSKDYTFPNAHYTRFY >ENSMUSP00000075716.3 pep:known chromosome:GRCm38:5:87774567:87788797:1 gene:ENSMUSG00000061937.7 transcript:ENSMUST00000076379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s2a description:casein alpha s2-like A [Source:MGI Symbol;Acc:MGI:88542] MKFFIFACLVVVALAKHEIKDKSSSEESSASIYPGKSKLDNSVFFQTTKDSASSSSSEES SEEVSEKIVQSEEQKVNLNQQKKFKQFSQESSFSQCCTPLHQQQQSSVNQWPQPNAIHNT PTQESISTSVEEILKKIIDMIKYIQYQQVTIPQLPQALHPQIPVSYWYPSKDYTFPNAHY TRFY >ENSMUSP00000143715.1 pep:known chromosome:GRCm38:5:87774567:87788797:1 gene:ENSMUSG00000061937.7 transcript:ENSMUST00000196749.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s2a description:casein alpha s2-like A [Source:MGI Symbol;Acc:MGI:88542] MKFFIFACLVVVALAKHEIKDKSSSEESSASIYPGSKLDNSVFFQTTKDSASSSSSEESS EEVSEKIVQSEEQKVNLNQQKKFKQFSQESSFSQCCTPLHQQQQSSVNQWPQPNAIHNTP TQESISTSVEEILKKIIDMIKYIQYQQVTIPQLPQALHPQIPVSYWYPSKDYTFPNAHYT RFY >ENSMUSP00000143515.1 pep:known chromosome:GRCm38:5:87774581:87788698:1 gene:ENSMUSG00000061937.7 transcript:ENSMUST00000196585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s2a description:casein alpha s2-like A [Source:MGI Symbol;Acc:MGI:88542] MKFFIFACLVVVALAKHEIKDKSSSEESSASIYPGKSKLDNSVFFQTTKDSASSSSSEES SEEVSEKKFKQFSQESSFSQCCTPLHQQQQSSVNQWPQPNAIHNTPTQESISTSVEEILK KIIDMIKYIQYQQVTIPQLPQALHPQIPVSYWYPSKDYTFPNAHYTRFY >ENSMUSP00000105976.2 pep:known chromosome:GRCm38:12:81026808:81186414:1 gene:ENSMUSG00000021136.13 transcript:ENSMUST00000110347.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smoc1 description:SPARC related modular calcium binding 1 [Source:MGI Symbol;Acc:MGI:1929878] MLPARVRLLTPHLLLVLVQLSPAGGHRTTGPRFLISDRDPPCNPHCPRTQPKPICASDGR SYESMCEYQRAKCRDPALAVVHRGRCKDAGQSKCRLERAQALEQAKKPQEAVFVPECGED GSFTQVQCHTYTGYCWCVTPDGKPISGSSVQNKTPVCSGPVTDKPLSQGNSGRKVSFRFF LTLNSDDGSKPTPTMETQPVFDGDEITAPTLWIKHLVIKDSKLNNTNVRNSEKVHSCDQE RQSALEEARQNPREGIVIPECAPGGLYKPVQCHQSTGYCWCVLVDTGRPLPGTSTRYVMP SCESDARAKSIEADDPFKDRELPGCPEGKKMEFITSLLDALTTDMVQAINSAAPTGGGRF SEPDPSHTLEERVAHWYFSQLDSNSSDDINKREMKPFKRYVKKKAKPKKCARRFTDYCDL NKDKVISLPELKGCLGVSKEGGSLGSFPQGKRAGTNPFIGRLV >ENSMUSP00000021564.4 pep:known chromosome:GRCm38:12:81026828:81186410:1 gene:ENSMUSG00000021136.13 transcript:ENSMUST00000021564.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smoc1 description:SPARC related modular calcium binding 1 [Source:MGI Symbol;Acc:MGI:1929878] MLPARVRLLTPHLLLVLVQLSPAGGHRTTGPRFLISDRDPPCNPHCPRTQPKPICASDGR SYESMCEYQRAKCRDPALAVVHRGRCKDAGQSKCRLERAQALEQAKKPQEAVFVPECGED GSFTQVQCHTYTGYCWCVTPDGKPISGSSVQNKTPVCSGPVTDKPLSQGNSGRKDDGSKP TPTMETQPVFDGDEITAPTLWIKHLVIKDSKLNNTNVRNSEKVHSCDQERQSALEEARQN PREGIVIPECAPGGLYKPVQCHQSTGYCWCVLVDTGRPLPGTSTRYVMPSCESDARAKSI EADDPFKDRELPGCPEGKKMEFITSLLDALTTDMVQAINSAAPTGGGRFSEPDPSHTLEE RVAHWYFSQLDSNSSDDINKREMKPFKRYVKKKAKPKKCARRFTDYCDLNKDKVISLPEL KGCLGVSKEGGSLGSFPQGKRAGTNPFIGRLV >ENSMUSP00000122858.1 pep:known chromosome:GRCm38:12:81026828:81186410:1 gene:ENSMUSG00000021136.13 transcript:ENSMUST00000129362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smoc1 description:SPARC related modular calcium binding 1 [Source:MGI Symbol;Acc:MGI:1929878] MLPARVRLLTPHLLLVLVQLSPAGGHRTTGPRFLISDRDPPCNPHCPRTQPKPICASDGR SYESMCEYQRAKCRDPALAVVHRGRCKDAGQSKCRLERAQALEQAKKPQEAVFVPECGED GSFTQVQCHTYTGYCWCVTPDGKPISGSSVQNKTPVCSGPVTDKPLSQGNSGRKDDGSKP TPTMETQPVFDGDEITAPTLWIKHLVIKDSKLNNTNVRNSEKVHSCDQERQSALEEARQN PREGIVIPECAPGGLYKPVQCHQSTGYCWCVLVDTGRPLPGTSTRYVMPSCESDARAKSI EADDPFKDRELPGCPEGKKMEFITSLLDALTTDMVQAINSAAPTGGGRFSEPDPSHTLEE RVAHWYFSQLDSNSSDDINKREMKPFKRYVKKKAKPKKCARRFTDYCDLNKDKVISLPEL KGCLGVSKEVGRLV >ENSMUSP00000090411.5 pep:known chromosome:GRCm38:11:98152154:98193260:-1 gene:ENSMUSG00000018160.15 transcript:ENSMUST00000092735.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med1 description:mediator complex subunit 1 [Source:MGI Symbol;Acc:MGI:1100846] MKAQGETEDSERLSKMSSLLERLHAKFNQNRPWSETIKLVRQVMEKRVVMSSGGHQHLVS CLETLQKALKVTSLPAMTDRLESIARQNGLGSHLSASGTECYITSDMFYVEVQLDPAGQL CDVKVAHHGENPVSCPELVQQLREKNFEEFSKHLKGLVNLYNLPGDNKLKTKMYLALQSL EQDLSKMAIMYWKATNAAPLDKILHGSVGYLTPRSGGHLMNMKYYASPSDLLDDKTASPI ILHEKNVPRSLGMNASVTIEGTSAMYKLPIAPLIMGSHPADNKWTPSFSAVTSANSVDLP ACFFLKFPQPIPVSKAFVQKLQNCTGIPLFETPPTYLPLYELITQFELSKDPDPLPLNHN MRFYAALPGQQHCYFLNKDAPLPDGQSLQGTLVSKITFQHPGRVPLILNMIRHQVAYNTL IGSCVKRTILKEDSPGLLQFEVCPLSESRFSVSFQHPVNDSLVCVVMDVQDSTHVSCKLY KGLSDALICTDDFIAKVVQRCMSIPVTMRAIRRKAETIQADTPALSLIAETVEDMVKKNL PPASSPGVEEKRQDKPSLGHLPPIQVCSPSCLKDGKDMKSTCTYLLLLLLLEFMVFFFFF LTYSSVFGLHVKGLWTKICSDVQEYFSVS >ENSMUSP00000103169.2 pep:known chromosome:GRCm38:11:98152155:98193293:-1 gene:ENSMUSG00000018160.15 transcript:ENSMUST00000107545.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med1 description:mediator complex subunit 1 [Source:MGI Symbol;Acc:MGI:1100846] MKAQGETEDSERLSKMSSLLERLHAKFNQNRPWSETIKLVRQVMEKRVVMSSGGHQHLVS CLETLQKALKVTSLPAMTDRLESIARQNGLGSHLSASGTECYITSDMFYVEVQLDPAGQL CDVKVAHHGENPVSCPELVQQLREKNFEEFSKHLKGLVNLYNLPGDNKLKTKMYLALQSL EQDLSKMAIMYWKATNAAPLDKILHGSVGYLTPRSGGHLMNMKYYASPSDLLDDKTASPI ILHEKNVPRSLGMNASVTIEGTSAMYKLPIAPLIMGSHPADNKWTPSFSAVTSANSVDLP ACFFLKFPQPIPVSKAFVQKLQNCTGIPLFETPPTYLPLYELITQFELSKDPDPLPLNHN MRFYAALPGQQHCYFLNKDAPLPDGQSLQGTLVSKITFQHPGRVPLILNMIRHQVAYNTL IGSCVKRTILKEDSPGLLQFEVCPLSESRFSVSFQHPVNDSLVCVVMDVQDSTHVSCKLY KGLSDALICTDDFIAKVVQRCMSIPVTMRAIRRKAETIQADTPALSLIAETVEDMVKKNL PPASSPGYGMTTGNNPMSGTTTPTNTFPGGPITTLFNMSMSIKDRHESVGHGEDFSKVSQ NPILTSLLQITGNGGSTIGSSPTPPHHTPPPVSSMAGNTKNHPMLMNLLKDNPAQDFSTL YGSSPLERQNSSSGSPRMEMCSGSNKAKKKKSSRVPPDKPKHQTEDDFQRELFSMDVDSQ NPMFDVSMTADALDTPHITPAPSQCSTPPATYPQPVSHPQPSIQRMVRLSSSDSIGPDVT DILSDIAEEASKLPSTSDDCPPIGTPVRDSSSSGHSQSALFDSDVFQTNNNENPYTDPAD LIADAAGSPNSDSPTNHFFPDGVDFNPDLLNSQSQSGFGEEYFDESSQSGDNDDFKGFAS QALNTLGMPMLGGDNGEPKFKGSSQADTVDFSIISVAGKALGAADLMEHHSGSQSPLLTT GELGKEKTQKRVKEGNGTGASSGSGPGSDSKPGKRSRTPSNDGKSKDKPPKRKKADTEGK SPSHSSSNRPFTPPTSTGGSKSPGSSGRSQTPPGVATPPIPKITIQIPKGTVMVGKPSSH SQYTSSGSVSSSGSKSHHSHSSSSSSLASASTSGKVKSSKSEGSSSSKLSGSMYASQGSS GSSQSKNSSQTGGKPGSSPITKHGLSSGSSSTKMKPQGKPSSLMNPSISKPNISPSHSRP PGGSDKLASPMKPVPGTPPSSKAKSPISSGSSGSHVSGTSSSSGMKSSSGSASSGSVSQK TPPASNSCTPSSSSFSSSGSSMSSSQNQHGSSKGKSPSRNKKPSLTAVIDKLKHGVVTSG PGGEDPIDSQMGASTNSSNHPMSSKHNTSGGEFQSKREKSDKDKSKVSASGGSVDSSKKT SESKNVGSTGVAKIIISKHDGGSPSIKAKVTLQKPGESGGDGLRPQIASSKNYGSPLISG STPKHERGSPSHSKSPAYTPQNVDSESESGSSIAERSYQNSPSSEDGIRPLPEYSTEKHK KHKKEKKKVRDKDRDKKKSHSMKPENWSKSPISSDPTASVTNNPILSADRPSRLSPDFMI GEEDDDLMDVALIGN >ENSMUSP00000018304.5 pep:known chromosome:GRCm38:11:98154248:98192955:-1 gene:ENSMUSG00000018160.15 transcript:ENSMUST00000018304.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med1 description:mediator complex subunit 1 [Source:MGI Symbol;Acc:MGI:1100846] MSSLLERLHAKFNQNRPWSETIKLVRQVMEKRVVMSSGGHQHLVSCLETLQKALKVTSLP AMTDRLESIARQNGLGSHLSASGTECYITSDMFYVEVQLDPAGQLCDVKVAHHGENPVSC PELVQQLREKNFEEFSKHLKGLVNLYNLPGDNKLKTKMYLALQSLEQDLSKMAIMYWKAT NAAPLDKILHGSVGYLTPRSGGHLMNMKYYASPSDLLDDKTASPIILHEKNVPRSLGMNA SVTIEGTSAMYKLPIAPLIMGSHPADNKWTPSFSAVTSANSVDLPACFFLKFPQPIPVSK AFVQKLQNCTGIPLFETPPTYLPLYELITQFELSKDPDPLPLNHNMRFYAALPGQQHCYF LNKDAPLPDGQSLQGTLVSKITFQHPGRVPLILNMIRHQVAYNTLIGSCVKRTILKEDSP GLLQFEVCPLSESRFSVSFQHPVNDSLVCVVMDVQDSTHVSCKLYKGLSDALICTDDFIA KVVQRCMSIPVTMRAIRRKAETIQADTPALSLIAETVEDMVKKNLPPASSPGYGMTTGNN PMSGTTTPTNTFPGGPITTLFNMSMSIKDRHESVGHGEDFSKVSQNPILTSLLQITGNGG STIGSSPTPPHHTPPPVSSMAGNTKNHPMLMNLLKDNPAQDFSTLYGSSPLERQNSSSGS PRMEMCSGSNKAKKKKSSRVPPDKPKHQTEDDFQRELFSMDVDSQNPMFDVSMTADALDT PHITPAPSQCSTPPATYPQPVSHPQPSIQRMVRLSSSDSIGPDVTDILSDIAEEASKLPS TSDDCPPIGTPVRDSSSSGHSQSALFDSDVFQTNNNENPYTDPADLIADAAGSPNSDSPT NHFFPDGVDFNPDLLNSQSQSGFGEEYFDESSQSGDNDDFKGFASQALNTLGMPMLGGDN GEPKFKGSSQADTVDFSIISVAGKALGAADLMEHHSGSQSPLLTTGELGKEKTQKRVKEG NGTGASSGSGPGSDSKPGKRSRTPSNDGKSKDKPPKRKKADTEGKSPSHSSSNRPFTPPT STGGSKSPGSSGRSQTPPGVATPPIPKITIQIPKGTVMVGKPSSHSQYTSSGSVSSSGSK SHHSHSSSSSSLASASTSGKVKSSKSEGSSSSKLSGSMYASQGSSGSSQSKNSSQTGGKP GSSPITKHGLSSGSSSTKMKPQGKPSSLMNPSISKPNISPSHSRPPGGSDKLASPMKPVP GTPPSSKAKSPISSGSSGSHVSGTSSSSGMKSSSGSASSGSVSQKTPPASNSCTPSSSSF SSSGSSMSSSQNQHGSSKGKSPSRNKKPSLTAVIDKLKHGVVTSGPGGEDPIDSQMGAST NSSNHPMSSKHNTSGGEFQSKREKSDKDKSKVSASGGSVDSSKKTSESKNVGSTGVAKII ISKHDGGSPSIKAKVTLQKPGESGGDGLRPQIASSKNYGSPLISGSTPKHERGSPSHSKS PAYTPQNVDSESESGSSIAERSYQNSPSSEDGIRPLPEYSTEKHKKHKKEKKKVRDKDRD KKKSHSMKPENWSKSPISSDPTASVTNNPILSADRPSRLSPDFMIGEEDDDLMDVALIGN >ENSMUSP00000070868.8 pep:known chromosome:GRCm38:1:149829618:149961290:-1 gene:ENSMUSG00000056220.14 transcript:ENSMUST00000070200.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g4a description:phospholipase A2, group IVA (cytosolic, calcium-dependent) [Source:MGI Symbol;Acc:MGI:1195256] MSFIDPYQHIIVEHQYSHKFTVVVLRATKVTKGTFGDMLDTPDPYVELFISTTPDSRKRT RHFNNDINPVWNETFEFILDPNQENVLEITLMDANYVMDETLGTATFPVSSMKVGEKKEV PFIFNQVTEMILEMSLEVCSCPDLRFSMALCDQEKTFRQQRKENIKENMKKLLGPKKSEG LYSTRDVPVVAILGSGGGFRAMVGFSGVMKALYESGILDCATYIAGLSGSTWYMSTLYSH PDFPEKGPEEINEELMKNVSHNPLLLLTPQKVKRYVESLWKKKSSGQPVTFTDIFGMLIG ETLIQNRMSMTLSSLKEKVNAARCPLPLFTCLHVKPDVSELMFADWVEFSPYEIGMAKYG TFMAPDLFGSKFFMGTVVKKYEENPLHFLMGVWGSAFSILFNRVLGVSGSQNKGSTMEEE LENITAKHIVSNDSSDSDDEAQGPKGTENEEAEKEYQSDNQASWVHRMLMALVSDSALFN TREGRAGKVHNFMLGLNLNTSYPLSPLRDFSSQDSFDDELDAAVADPDEFERIYEPLDVK SKKIHVVDSGLTFNLPYPLILRPQRGVDLIISFDFSARPSDTSPPFKELLLAEKWAKMNK LPFPKIDPYVFDREGLKECYVFKPKNPDVEKDCPTIIHFVLANINFRKYKAPGVLRETKE EKEIADFDIFDDPESPFSTFNFQYPNQAFKRLHDLMYFNTLNNIDVIKDAIVESIEYRRQ NPSRCSVSLSNVEARKFFNKEFLSKPTV >ENSMUSP00000107557.2 pep:known chromosome:GRCm38:1:149829636:149922439:-1 gene:ENSMUSG00000056220.14 transcript:ENSMUST00000111926.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g4a description:phospholipase A2, group IVA (cytosolic, calcium-dependent) [Source:MGI Symbol;Acc:MGI:1195256] MFLVEHQYSHKFTVVVLRATKVTKGTFGDMLDTPDPYVELFISTTPDSRKRTRHFNNDIN PVWNETFEFILDPNQENVLEITLMDANYVMDETLGTATFPVSSMKVGEKKEVPFIFNQVT EMILEMSLEVCSCPDLRFSMALCDQEKTFRQQRKENIKENMKKLLGPKKSEGLYSTRDVP VVAILGSGGGFRAMVGFSGVMKALYESGILDCATYIAGLSGSTWYMSTLYSHPDFPEKGP EEINEELMKNVSHNPLLLLTPQKVKRYVESLWKKKSSGQPVTFTDIFGMLIGETLIQNRM SMTLSSLKEKVNAARCPLPLFTCLHVKPDVSELMFADWVEFSPYEIGMAKYGTFMAPDLF GSKFFMGTVVKKYEENPLHFLMGVWGSAFSILFNRVLGVSGSQNKGSTMEEELENITAKH IVSNDSSDSDDEAQGPKGTENEEAEKEYQSDNQASWVHRMLMALVSDSALFNTREGRAGK VHNFMLGLNLNTSYPLSPLRDFSSQDSFDDELDAAVADPDEFERIYEPLDVKSKKIHVVD SGLTFNLPYPLILRPQRGVDLIISFDFSARPSDTSPPFKELLLAEKWAKMNKLPFPKIDP YVFDREGLKECYVFKPKNPDVEKDCPTIIHFVLANINFRKYKAPGVLRETKEEKEIADFD IFDDPESPFSTFNFQYPNQAFKRLHDLMYFNTLNNIDVIKDAIVESIEYRRQNPSRCSVS LSNVEARKFFNKEFLSKPTV >ENSMUSP00000139851.1 pep:known chromosome:GRCm38:1:149886144:149961258:-1 gene:ENSMUSG00000056220.14 transcript:ENSMUST00000190507.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g4a description:phospholipase A2, group IVA (cytosolic, calcium-dependent) [Source:MGI Symbol;Acc:MGI:1195256] MDTPDPYVELFISTTPDSRKRTRHFNNDINPVWNETFEFILDPNQENVLEITLMDANYVM DETLGTATFPVSSMKVGEKKEVPFIFNQVTEMILEMSLEVCSCPDLRFSMALCDQEKTFR QQRKENIKENMKKLLGPKKSEGLYSTRDV >ENSMUSP00000108824.1 pep:known chromosome:GRCm38:X:134717988:134721910:1 gene:ENSMUSG00000033460.14 transcript:ENSMUST00000113199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx1 description:armadillo repeat containing, X-linked 1 [Source:MGI Symbol;Acc:MGI:1925498] MGRTREAGCVAAGMVIGAGACYCVYRLTWGKDENEKLWDEEEEEEEEEEEKSCSDKTEKE LKTNVGVGARGKPQDDSKSKVEVNVGPENGPGVKKEVHPESQSGGGLEAKAKALFKTLKE QARAKAGRGIRLPNISRNRTLTSSLPCPGGRGGGCHPGRTGSRARNRTSGKVKRKNRSKS NKAPATAWPVRKGKFSFPYKIDDILSAPDLQKVLNILERTNDPFTQEVALVTLGNNAAYS FNQNAIRELGGVPIIAKLIKTRDPIIREKTYNALNNLSVNSENQGKIKTYISQVCDDTMV CRLDSAVQMAGLRLLTNMTVTNHYQHLLSYSFPDFFALLFLGNHFTKIQTMKLIINFTEN PAMTRELVSCKVPSELISLFNKEWDREILLNILTLFENINDNIKSEGLASSRKEFSRSSL FFLFKESGVCVKKIKALASHKDLVVKVKVLKVLTKL >ENSMUSP00000043965.7 pep:known chromosome:GRCm38:X:134717989:134721917:1 gene:ENSMUSG00000033460.14 transcript:ENSMUST00000035748.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx1 description:armadillo repeat containing, X-linked 1 [Source:MGI Symbol;Acc:MGI:1925498] MGRTREAGCVAAGMVIGAGACYCVYRLTWGKDENEKLWDEEEEEEEEEEEKSCSDKTEKE LKTNVGVGARGKPQDDSKSKVEVNVGPENGPGVKKEVHPESQSGGGLEAKAKALFKTLKE QARAKAGRGIRLPNISRNRTLTSSLPCPGGRGGGCHPGRTGSRARNRTSGKVKRKNRSKS NKAPATAWPVRKGKFSFPYKIDDILSAPDLQKVLNILERTNDPFTQEVALVTLGNNAAYS FNQNAIRELGGVPIIAKLIKTRDPIIREKTYNALNNLSVNSENQGKIKTYISQVCDDTMV CRLDSAVQMAGLRLLTNMTVTNHYQHLLSYSFPDFFALLFLGNHFTKIQTMKLIINFTEN PAMTRELVSCKVPSELISLFNKEWDREILLNILTLFENINDNIKSEGLASSRKEFSRSSL FFLFKESGVCVKKIKALASHKDLVVKVKVLKVLTKL >ENSMUSP00000108822.1 pep:known chromosome:GRCm38:X:134718020:134721917:1 gene:ENSMUSG00000033460.14 transcript:ENSMUST00000113197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx1 description:armadillo repeat containing, X-linked 1 [Source:MGI Symbol;Acc:MGI:1925498] MGRTREAGCVAAGMVIGAGACYCVYRLTWGKDENEKLWDEEEEEEEEEEEKSCSDKTEKE LKTNVGVGARGKPQDDSKSKVEVNVGPENGPGVKKEVHPESQSGGGLEAKAKALFKTLKE QARAKAGRGIRLPNISRNRTLTSSLPCPGGRGGGCHPGRTGSRARNRTSGKVKRKNRSKS NKAPATAWPVRKGKFSFPYKIDDILSAPDLQKVLNILERTNDPFTQEVALVTLGNNAAYS FNQNAIRELGGVPIIAKLIKTRDPIIREKTYNALNNLSVNSENQGKIKTYISQVCDDTMV CRLDSAVQMAGLRLLTNMTVTNHYQHLLSYSFPDFFALLFLGNHFTKIQTMKLIINFTEN PAMTRELVSCKVPSELISLFNKEWDREILLNILTLFENINDNIKSEGLASSRKEFSRSSL FFLFKESGVCVKKIKALASHKDLVVKVKVLKVLTKL >ENSMUSP00000053909.3 pep:known chromosome:GRCm38:X:134717970:134721917:1 gene:ENSMUSG00000033460.14 transcript:ENSMUST00000051256.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx1 description:armadillo repeat containing, X-linked 1 [Source:MGI Symbol;Acc:MGI:1925498] MGRTREAGCVAAGMVIGAGACYCVYRLTWGKDENEKLWDEEEEEEEEEEEKSCSDKTEKE LKTNVGVGARGKPQDDSKSKVEVNVGPENGPGVKKEVHPESQSGGGLEAKAKALFKTLKE QARAKAGRGIRLPNISRNRTLTSSLPCPGGRGGGCHPGRTGSRARNRTSGKVKRKNRSKS NKAPATAWPVRKGKFSFPYKIDDILSAPDLQKVLNILERTNDPFTQEVALVTLGNNAAYS FNQNAIRELGGVPIIAKLIKTRDPIIREKTYNALNNLSVNSENQGKIKTYISQVCDDTMV CRLDSAVQMAGLRLLTNMTVTNHYQHLLSYSFPDFFALLFLGNHFTKIQTMKLIINFTEN PAMTRELVSCKVPSELISLFNKEWDREILLNILTLFENINDNIKSEGLASSRKEFSRSSL FFLFKESGVCVKKIKALASHKDLVVKVKVLKVLTKL >ENSMUSP00000108823.1 pep:known chromosome:GRCm38:X:134718013:134721908:1 gene:ENSMUSG00000033460.14 transcript:ENSMUST00000113198.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx1 description:armadillo repeat containing, X-linked 1 [Source:MGI Symbol;Acc:MGI:1925498] MGRTREAGCVAAGMVIGAGACYCVYRLTWGKDENEKLWDEEEEEEEEEEEKSCSDKTEKE LKTNVGVGARGKPQDDSKSKVEVNVGPENGPGVKKEVHPESQSGGGLEAKAKALFKTLKE QARAKAGRGIRLPNISRNRTLTSSLPCPGGRGGGCHPGRTGSRARNRTSGKVKRKNRSKS NKAPATAWPVRKGKFSFPYKIDDILSAPDLQKVLNILERTNDPFTQEVALVTLGNNAAYS FNQNAIRELGGVPIIAKLIKTRDPIIREKTYNALNNLSVNSENQGKIKTYISQVCDDTMV CRLDSAVQMAGLRLLTNMTVTNHYQHLLSYSFPDFFALLFLGNHFTKIQTMKLIINFTEN PAMTRELVSCKVPSELISLFNKEWDREILLNILTLFENINDNIKSEGLASSRKEFSRSSL FFLFKESGVCVKKIKALASHKDLVVKVKVLKVLTKL >ENSMUSP00000108826.1 pep:known chromosome:GRCm38:X:134717963:134721908:1 gene:ENSMUSG00000033460.14 transcript:ENSMUST00000113201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx1 description:armadillo repeat containing, X-linked 1 [Source:MGI Symbol;Acc:MGI:1925498] MGRTREAGCVAAGMVIGAGACYCVYRLTWGKDENEKLWDEEEEEEEEEEEKSCSDKTEKE LKTNVGVGARGKPQDDSKSKVEVNVGPENGPGVKKEVHPESQSGGGLEAKAKALFKTLKE QARAKAGRGIRLPNISRNRTLTSSLPCPGGRGGGCHPGRTGSRARNRTSGKVKRKNRSKS NKAPATAWPVRKGKFSFPYKIDDILSAPDLQKVLNILERTNDPFTQEVALVTLGNNAAYS FNQNAIRELGGVPIIAKLIKTRDPIIREKTYNALNNLSVNSENQGKIKTYISQVCDDTMV CRLDSAVQMAGLRLLTNMTVTNHYQHLLSYSFPDFFALLFLGNHFTKIQTMKLIINFTEN PAMTRELVSCKVPSELISLFNKEWDREILLNILTLFENINDNIKSEGLASSRKEFSRSSL FFLFKESGVCVKKIKALASHKDLVVKVKVLKVLTKL >ENSMUSP00000055673.3 pep:known chromosome:GRCm38:6:126532551:126535412:-1 gene:ENSMUSG00000045534.4 transcript:ENSMUST00000060972.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna5 description:potassium voltage-gated channel, shaker-related subfamily, member 5 [Source:MGI Symbol;Acc:MGI:96662] MEISLVPMENGSAMTLRGGGEAGASCVQSPRGECGCPPTAGLNNQSKETSPRRRATHEDA GQGGRPLPPMPQELPQPRRPSAEDEEGEGDPGLGTVEEDQAPQDSGSLHHQRVLINISGL RFETQLGTLAQFPNTLLGDPVKRLRYFDPLRNEYFFDRNRPSFDGILYYYQSGGRLRRPV NVSLDVFADEIRFYQLGDEAMERFREDEGFIKEEEKPLPRNEFQRQVWLIFEYPESSGSA RAIAIVSVLVILISIITFCLETLPEFRDERELLRHPPVPPQPPAPAPGANGSGSGVLSSG PTVAPLLPRTLADPFFIVETTCVIWFTFELLVRFFACPSKAEFSRNIMNIIDIVAIFPYF ITLGTELAEQQPGGGGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGKTLQASM RELGLLIFFLFIGVILFSSAVYFAEADNQGSHFSSIPDAFWWAVVTMTTVGYGDMRPITV GGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETDHEEQAALKEEQGIQRRESGLDTG GQRKVSCSKASFCKTGGPLESTDSIRRGSCPLEKCHLKAKSNVDLRRSLYALCLDTSRET DL >ENSMUSP00000055225.3 pep:known chromosome:GRCm38:6:126640397:126644796:-1 gene:ENSMUSG00000047976.4 transcript:ENSMUST00000055168.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna1 description:potassium voltage-gated channel, shaker-related subfamily, member 1 [Source:MGI Symbol;Acc:MGI:96654] MTVMSGENADEASTAPGHPQDGSYPRQADHDDHECCERVVINISGLRFETQLKTLAQFPN TLLGNPKKRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDMFSEEIKFY ELGEEAMEKFREDEGFIKEEERPLPEKEYQRQVWLLFEYPESSGPARVIAIVSVMVILIS IVIFCLETLPELKDDKDFTGTIHRIDNTTVIYTSNIFTDPFFIVETLCIIWFSFELVVRF FACPSKTDFFKNIMNFIDIVAIIPYFITLGTEIAEQEGNQKGEQATSLAILRVIRLVRVF RIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEAEEAESHFSSIP DAFWWAVVSMTTVGYGDMYPVTIGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETE GEEQAQLLHVSSPNLASDSDLSRRSSSTISKSEYMEIEEDMNNSIAHYRQANIRTGNCTT ADQNCVNKSKLLTDV >ENSMUSP00000144947.1 pep:known chromosome:GRCm38:6:126641664:126644813:-1 gene:ENSMUSG00000047976.4 transcript:ENSMUST00000203094.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna1 description:potassium voltage-gated channel, shaker-related subfamily, member 1 [Source:MGI Symbol;Acc:MGI:96654] MTVMSGENADEASTAPGHPQDGSYPRQADHDDHECCERVVINISGLRFETQLKTLAQFPN TLLGNPKKRMRYFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDMFSEEIKFY ELGEEAMEKFREDEGFIKEEERPLPEKEYQRQVWLLFEYPESSGPARVIAIVSVMVILIS IVIFCLETLPELKDDKDFTGTIHRIDNTTVIYTSNIFTDPFFIVETLCIIWFSFELVVRF FACPSKTDFFKNIMNFIDIVAIIPYFITLGTEIAEQEGNQKGEQATSLAILRVIRLVRVF RIFKLSRHSKGLQILGQTLKASMRELGLLIFFLFIGVILFSSAVYFAEAEEAESHFSSIP DAFWWAVVSMTTVGYGDMYPVTIGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETE GEEQAQLLHVSSPNLASDSDLSRRSSSTISKSEYMEIEEDMNNSIAHYRQANIRTGNCTT ADQNCVNKSKLLTDV >ENSMUSP00000115860.1 pep:known chromosome:GRCm38:2:118814003:118837696:1 gene:ENSMUSG00000027331.15 transcript:ENSMUST00000134661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knstrn description:kinetochore-localized astrin/SPAG5 binding [Source:MGI Symbol;Acc:MGI:1289298] MAAPEAEAQETAFRTTGPPTDSEPRPFPPSSRKFPFESAAADSNEDWAVAAEHYLKGSGE NGGWEQPAPGVQPSHPATMASAKTVCDAQPHSMPSCGLPADTQTRATSKLPVKSKEADLL RHLHPGGPEPDVTKVTKSRRENGQVKAAETASRRNLRNSYKPFNKQKPEEELKDKNELLE AVNKQLHQKLTETQGELKDLTQKVELLEKFQDNCLALLESKGLNPGQETLASKQEPTTDH TDSMLLLETLKDELKVFNETAKKQMEELQALKVKLKLKEEESVQFLEQQTLCKDEASDFT IILEEMEQLLEM >ENSMUSP00000134898.1 pep:known chromosome:GRCm38:2:118814232:118834181:1 gene:ENSMUSG00000027331.15 transcript:ENSMUST00000177103.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Knstrn description:kinetochore-localized astrin/SPAG5 binding [Source:MGI Symbol;Acc:MGI:1289298] XEDWAVAAEHYLKGSGENGGWEQPAPGVQPSHPATMASAKTVCDAQPHSMPSCGLPAATN RLISKNRRRN >ENSMUSP00000123065.1 pep:known chromosome:GRCm38:2:118814232:118834249:1 gene:ENSMUSG00000027331.15 transcript:ENSMUST00000134579.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Knstrn description:kinetochore-localized astrin/SPAG5 binding [Source:MGI Symbol;Acc:MGI:1289298] XEDWAVAAEHYLKGSGENGGWEQPAPGVQPSHPATMASAKTVCDAQPHSMPSCGLPAGR >ENSMUSP00000106466.1 pep:known chromosome:GRCm38:2:118814233:118834158:1 gene:ENSMUSG00000027331.15 transcript:ENSMUST00000110842.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knstrn description:kinetochore-localized astrin/SPAG5 binding [Source:MGI Symbol;Acc:MGI:1289298] MASAKTVCDAQPHSMPSCGLPADTQTRATSKLPVKSKEADLLRHLHPGGPEPDVTKVTKS RRENGQVKAAETASRRNLRNSYKPFNKQKPEEELKDKNELLEAVNKQLHQKLTETQGELK DLTQKVELLEKFQDNCLALLESKGLNPGQETLASKQEPTTDHTDSMLLLETLKDELKVFN ETAKKQMEELQALKVKLKLKEEESVQFLEQQTLCKDEASDFTIILEEMEQLLEM >ENSMUSP00000028803.7 pep:known chromosome:GRCm38:2:118814254:118834642:1 gene:ENSMUSG00000027331.15 transcript:ENSMUST00000028803.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knstrn description:kinetochore-localized astrin/SPAG5 binding [Source:MGI Symbol;Acc:MGI:1289298] EHYLKGSGENGGWEQPAPGVQPSHPATMASAKTVCDAQPHSMPSCGLPADTQTRATSKLP VKSKEADLLRHLHPGGPEPDVTKVTKSRRENGQVKAAETASRRNLRNSYKPFNKQKPEEE LKDKNELLEAVNKQLHQKLTETQGELKDLTQKVELLEKFQDNCLALLESKGLNPAAARNF ERRTEGFQ >ENSMUSP00000122844.1 pep:known chromosome:GRCm38:2:118814277:118830969:1 gene:ENSMUSG00000027331.15 transcript:ENSMUST00000126045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Knstrn description:kinetochore-localized astrin/SPAG5 binding [Source:MGI Symbol;Acc:MGI:1289298] XENGGWEQPAPGVQPSHPATMASAKTVCDAQPHSMPSCGLPADTQTRATSKLPVKSKEAD LLRHLHPGGPEPDVTKVTKSRRENGQVKAAETASRRNLRNSYKPFNKQKPEEELKDKNEL LEAVNKQLHQKLTETQGELKDLTQKVELLEKFQDNCLALLESKGLNPGQETLASKQEPTT DHTDSMIGYHGASQESHFWLASEFAVTWLLRSVQYRAH >ENSMUSP00000135422.1 pep:known chromosome:GRCm38:2:118814296:118834068:1 gene:ENSMUSG00000027331.15 transcript:ENSMUST00000123104.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Knstrn description:kinetochore-localized astrin/SPAG5 binding [Source:MGI Symbol;Acc:MGI:1289298] QPAPGVQPSHPATMASAKTVCDAQPHSMPSCGLPADTQTRATSKLPVKSKEADLLRHLHP GGPEPDVTKVTKSRRENGQVKAAETASRRNLRNSYKPFNKQKPEEELKDKNELLEAVNKQ LHQKLTETQGELKDLTQKVELLEKFQDNCLALLESKGLNPGQETLASKQEPTTDHTDSMK DRLFEA >ENSMUSP00000135549.1 pep:known chromosome:GRCm38:2:118831583:118837143:1 gene:ENSMUSG00000027331.15 transcript:ENSMUST00000176463.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Knstrn description:kinetochore-localized astrin/SPAG5 binding [Source:MGI Symbol;Acc:MGI:1289298] KVFNETAKKQMEELQALKVKLKLKEEESVQFLEQQTLCKDEASDFTIILEEMEQLLEM >ENSMUSP00000135008.1 pep:known chromosome:GRCm38:2:118834075:118853957:1 gene:ENSMUSG00000027331.15 transcript:ENSMUST00000148877.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Knstrn description:kinetochore-localized astrin/SPAG5 binding [Source:MGI Symbol;Acc:MGI:1289298] EASDFTIILEEMEQLLEM >ENSMUSP00000105780.1 pep:known chromosome:GRCm38:12:87514316:87518266:1 gene:ENSMUSG00000079034.2 transcript:ENSMUST00000110152.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8300 description:predicted gene 8300 [Source:MGI Symbol;Acc:MGI:3643794] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGRLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNKADVILKYNPDEARSLKAYGELPEHAKINEMDT FGPGDDDEIVFDDIGDEDEDIDDI >ENSMUSP00000130759.1 pep:known chromosome:GRCm38:2:34941750:34958163:-1 gene:ENSMUSG00000026875.14 transcript:ENSMUST00000172159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf1 description:TNF receptor-associated factor 1 [Source:MGI Symbol;Acc:MGI:101836] MASSSAPDENEFQFGCPPAPCQDPSEPRVLCCTACLSENLRDDEDRICPKCRADNLHPVS PGSPLTQEKVHSDVAEAEIMCPFAGVGCSFKGSPQSMQEHEATSQSSHLYLLLAVLKEWK SSPGSNLGSAPMALERNLSELQLQAAVEATGDLEVDCYRAPCCESQEELALQHLVKEKLL AQLEEKLRVFANIVAVLNKEVEASHLALAASIHQSQLDREHILSLEQRVVELQQTLAQKD QVLGKLEHSLRLMEEASFDGTFLWKITNVTKRCHESVCGRTVSLFSPAFYTAKYGYKLCL RLYLNGDGSGKKTHLSLFIVIMRGEYDALLPWPFRNKVTFMLLDQNNREHAIDAFRPDLS SASFQRPQSETNVASGCPLFFPLSKLQSPKHAYVKDDTMFLKCIVDTSA >ENSMUSP00000028234.5 pep:known chromosome:GRCm38:2:34943256:34961585:-1 gene:ENSMUSG00000026875.14 transcript:ENSMUST00000028234.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf1 description:TNF receptor-associated factor 1 [Source:MGI Symbol;Acc:MGI:101836] MASSSAPDENEFQFGCPPAPCQDPSEPRVLCCTACLSENLRDDEDRICPKCRADNLHPVS PGSPLTQEKVHSDVAEAEIMCPFAGVGCSFKGSPQSMQEHEATSQSSHLYLLLAVLKEWK SSPGSNLGSAPMALERNLSELQLQAAVEATGDLEVDCYRAPCCESQEELALQHLVKEKLL AQLEEKLRVFANIVAVLNKEVEASHLALAASIHQSQLDREHILSLEQRVVELQQTLAQKD QVLGKLEHSLRLMEEASFDGTFLWKITNVTKRCHESVCGRTVSLFSPAFYTAKYGYKLCL RLYLNGDGSGKKTHLSLFIVIMRGEYDALLPWPFRNKVTFMLLDQNNREHAIDAFRPDLS SASFQRPQSETNVASGCPLFFPLSKLQSPKHAYVKDDTMFLKCIVDTSA >ENSMUSP00000108687.1 pep:known chromosome:GRCm38:2:34943258:34961772:-1 gene:ENSMUSG00000026875.14 transcript:ENSMUST00000113064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf1 description:TNF receptor-associated factor 1 [Source:MGI Symbol;Acc:MGI:101836] MASSSAPDENEFQFGCPPAPCQDPSEPRVLCCTACLSENLRDDEDRICPKCRADNLHPVS PGSPLTQEKVHSDVAEAEIMCPFAGVGCSFKGSPQSMQEHEATSQSSHLYLLLAVLKEWK SSPGSNLGSAPMALERNLSELQLQAAVEATGDLEVDCYRAPCCESQEELALQHLVKEKLL AQLEEKLRVFANIVAVLNKEVEASHLALAASIHQSQLDREHILSLEQRVVELQQTLAQKD QVLGKLEHSLRLMEEASFDGTFLWKITNVTKRCHESVCGRTVSLFSPAFYTAKYGYKLCL RLYLNGDGSGKKTHLSLFIVIMRGEYDALLPWPFRNKVTFMLLDQNNREHAIDAFRPDLS SASFQRPQSETNVASGCPLFFPLSKLQSPKHAYVKDDTMFLKCIVDTSA >ENSMUSP00000144189.1 pep:known chromosome:GRCm38:2:34956073:34958136:-1 gene:ENSMUSG00000026875.14 transcript:ENSMUST00000201690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf1 description:TNF receptor-associated factor 1 [Source:MGI Symbol;Acc:MGI:101836] MASSSAPDENEFQFGCPPAPCQDPSEPRVLCCTACLSENLRDDEDRICPKCRADNLHPVS PGSPLTQEKVC >ENSMUSP00000073220.6 pep:known chromosome:GRCm38:1:129273344:130219278:1 gene:ENSMUSG00000042581.14 transcript:ENSMUST00000073527.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd7b description:thrombospondin, type I, domain containing 7B [Source:MGI Symbol;Acc:MGI:2443925] MFLRSDLAVTHWVSRSMRKLFLVLSLLLSQAAHLEGRKDNQFLWKTGPWGRCAGDCGPGG AQSRAVWCFHIEGWTSPMSNCDESSQPPKERSCFRVCDWHSDLFQWEVSDWHRCLLVPGA QGEPRPRAVECVTAQHGLQHRTVRCLQKLNRTMVSNEICEHFAPQPPTEQACLIPCPRDC VVSEFSPWSTCPEGCGKKLQHRTRVAIAPPLYGGLQCPNLTESRACEAPVSCPLGKEEYS FSLKVGPWSKCRLPHLKEVDLSGRNIQDFSSDSNEQVTLTHQSYKAHHHSQPGDVVIGFQ TRQVWCTRSDGRNALLSLCVRDSFPLTVQPCVMPKDCETSEWSPWSPCSKTCRSGTLSPG VRSRSRNVKHIAIGGGQECPELLEKETCIAEGEFLQPCPRYSWRTSEWKECQVSLLLEQH DPLWHETGPICGGGIQTREVYCAQSLPATIASRTKEVSRPVERTLCLGPAPSASQLCNVP CSMDCIVSSWSTWGPCVFENCHDPQGKKGFRMRQRHVLMESTGPMGRCPHLAESVPCEDP MCHRWLASEGICIADHGKCGLGHRILKAVCQNERGEEVSGGLCPVPPPPERMACEIPCRM DCVVSEWTVWSSCSQSCSNKNSDGKQTRSRSILALAGEGGKTCPSSQELQEYRLCNDHSC TQLYWETSAWGSCSENTLVTALNVTIGWNGEATCGVGIQTRKVFCIKSHVGQVMTKRCPE STRPETVRPCFLPCKKDCLVTAFSEWTPCPRPCQPGNTTIKQSRYRIIIQEAANGGQECP DTLFEERECEDISLCPSYRWKPQKWSSCILVPESIRQGRTGTSEACGKGLQTRAVSCISD DNQSAEMTECLKQMNGMPPLVQECTIPCRDDCTFTPWSKFTPCSKNCEATQIRRRQLTGK SRKKEKCQDASLYPLVEMEPCPCDTFMSHPYGNWSACILPEGKRDAQQGGLWVQGDDKEC GEGVRFRAIACSNINGRPVDPSFCNSSGYIQEACVIPCPFDCKLSDWSSWGSCSSSCGIG VRIRSKWLKEKPYSGGRPCPKLDLKNQVHEAVPCYSECDQYSWVVEHWSPCKINNELRSP RCGRGTQSRRIRCVSTADREGGAVNRSLCNQDDAPQETQACSLLCPSECVMSEWGTWSRC PQSCDPHAMQRRTRHLLRPSLNSRTCGEDSQVRPCLLNENCFQFQYNLTEWSTCQLSENV SCGQGVRTRLLSCVRSDGKSVSMDHCEQRNLEKPQRMSIPCLVECVVNCQLSGWTTWTEC SQTCGQGGRMSRTRFIIMPTQGEGRQCPTELTQQKPCPVTPCYSWVLGNWSACKLEGGDC GEGVQVRSFSCVVHNGSISHTAVPVEEALCGEVPFQEGILKQLCSVPCPGDCHITPWSEW SKCELTCIDGRSFETTGRQSRSRTFIIQSFENQDSCPQQVLETRPCTGGKCYHYIWKASL WNNNERTVWCQRSDGLNVTGGCSPQARPAAIRQCIPACKKPFSYCTQGGVCGCEKGYTEI MRSSGFLDYCMKVPGSEDKKADVKNLSGKNRPVNSKIHDIFKGWSLQPLDPDGRVKMWVY GVSGGSFLIMIFLVFTSYLVCKKPKPHQSTPRHQKPLTLAYDGDLDM >ENSMUSP00000041716.7 pep:known chromosome:GRCm38:1:129273463:130050352:1 gene:ENSMUSG00000042581.14 transcript:ENSMUST00000040311.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd7b description:thrombospondin, type I, domain containing 7B [Source:MGI Symbol;Acc:MGI:2443925] MFLRSDLAVTHWVSRSMRKLFLVLSLLLSQAAHLEGRKDNQFLWKTGPWGRCAGDCGPGG AQSRAVWCFHIEGWTSPMSNCDESSQPPKERSCFRVCDWHSDLFQWEVSDWHRCLLVPGA QGEPRPRAVECVTAQHGLQHRTVRCLQKLNRTMVSNEICEHFAPQPPTEQACLIPCPRDC VVSEFSPWSTCPEGCGKKLQHRTRVAIAPPLYGGLQCPNLTESRACEAPVSCPLGKEEYS FSLKVGPWSKCRLPHLKEVDLSGRNIQDFSSDSNEQVTLTHQSYKAHHHSQPGDVVIGFQ TRQVWCTRSDGRNALLSLCVRDSFPLTVQPCVMPKDCETSEWSPWSPCSKTCRSGTLSPG VRSRSRNVKHIAIGGGQECPELLEKETCIAEGEFLQPCPRYSWRTSEWKECQVSLLLEQH DPLWHETGPICGGGIQTREVYCAQSLPATIASRTKEVSRPVERTLCLGPAPSASQLCNVP CSMDCIVSSWSTWGPCVFENCHDPQGKKGFRMRQRHVLMESTGPMGRCPHLAESVPCEDP MCHRWLASEGICIADHGKCGLGHRILKAVCQNERGEEVSGGLCPVPPPPERMACEIPCRM DCVVSEWTVWSSCSQSCSNKNSDGKQTRSRSILALAGEGGKTCPSSQELQEYRLCNDHSC TQLYWETSAWGSCSENTLVTALNVTIGWNGEATCGVGIQTRKVFCIKSHVGQVMTKRCPE STRPETVRPCFLPCKKDCLVTAFSEWTPCPRPCQPGNTTIKQSRYRIIIQEAANGGQECP DTLFEERECEDISLCPSYRWKPQKWSSCILVPESIRQGRTGTSEACGKGLQTRAVSCISD DNQSAEMTECLKQMNGMPPLVQECTIPCRDDCTFTPWSKFTPCSKNCEATQIRRRQLTGK SRKKEKCQDASLYPLVEMEPCPCDTFMSHPYGNWSACILPEGKRDAQQGGLWVQGDDKEC GEGVRFRAIACSNINGRPVDPSFCNSSGYIQEACVIPCPFDCKLSDWSSWGSCSSSCGIG VRIRSKWLKEKPYSGGRPCPKLDLKNQFECHLSISSGATLFLKKILLWDMSFLFAVVCHF CYFQSFLFICVHQRLVHQVFRDRLCGA >ENSMUSP00000117750.1 pep:known chromosome:GRCm38:1:129537511:129629046:1 gene:ENSMUSG00000042581.14 transcript:ENSMUST00000152305.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd7b description:thrombospondin, type I, domain containing 7B [Source:MGI Symbol;Acc:MGI:2443925] MSNCDESSQPPKERSCFRVCDWHSDLFQWEVSDWHRCLLVPGAQGEPRPRAVECVTAQHG LQHRTVRCLQKLNRTMVSNEICEHFAPQPPTEQACLIPCPRDCVVSEFSPWSTCPEGCGK KLQHRTRVAIAPPLYGGLQCPNLTESRACEAPVSCPLGKEEYSFSLKVGPWSKCRLPHLK EVDLSGRNIQDFSSDSNEQVTLTHQSYKAHHHSQPGDVVIGFQTRQVWCTRSDGRNALLS LCVRDSFPLTVQPCVMPKDCETSEWSPWSPCSKTCRSGTLSPGVRSRSRNVKHIAIGGGQ ECPELLEKETCIAEGEFLQPCPRYSWRTSEWKECQVSLLLEQHDPLWHETGPICGGGIQT REVYCAQSLPATIASRTKE >ENSMUSP00000036625.3 pep:known chromosome:GRCm38:5:75075601:75077893:1 gene:ENSMUSG00000035946.7 transcript:ENSMUST00000040477.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsx2 description:GS homeobox 2 [Source:MGI Symbol;Acc:MGI:95843] MSRSFYVDSLIIKDSSRPAPSLPESHPGPDFFIPLGMPSPLVMSVSGPGCPSRKSGAFCV CPLCVTSHLHSSRPPAGAGGGATGTAGAAVAGGGVAGGTGALPLLKSQFSPAPGDAQFCP RVSHAHHHHHPPQHHHHHHQPQQPGSAAAAAAAAAAAAAAAAALGHPQHHAPVCAATTYN MSDPRRFHCLSMGGSDTSQVPNGKRMRTAFTSTQLLELEREFSSNMYLSRLRRIEIATYL NLSEKQVKIWFQNRRVKHKKEGKGASRNNHTSCKCVGSQAHYARSEDEDSLSPASANEDK EISPL >ENSMUSP00000123712.1 pep:known chromosome:GRCm38:5:75075602:75077625:1 gene:ENSMUSG00000035946.7 transcript:ENSMUST00000160104.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsx2 description:GS homeobox 2 [Source:MGI Symbol;Acc:MGI:95843] MSRSFYVDSLIIKDSSRPAPSLPESHPGPDFFIPLGMPSPLVMSVSGPGCPSRKSGAFCV CPLCVTSHLHSSRPPAGAGGGATGTAGAAVAGGGVAGGTGALPLLKSQFSPAPGDAQFCP REAPIPARYPMAKG >ENSMUSP00000111041.2 pep:known chromosome:GRCm38:6:21985916:22256404:1 gene:ENSMUSG00000062980.15 transcript:ENSMUST00000115383.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cped1 description:cadherin-like and PC-esterase domain containing 1 [Source:MGI Symbol;Acc:MGI:2444814] MVCRPGFLCRRRFCPRPFLVGLVVAICLFYQTLTLRGTKKIAADVSGTVPHTPSQTQASR CEEGYRQDKQCFLLSASTQETRKIEESIERHFGSLSRRAVLHRPPSSSRAQLQIHQHLLA QHGYSVVTVEERLGGGLGPELLDQGGLNSWDLFICLPSREADGKPCIPREHMCRLSLHQR VNVLPEIQPQLCRREGLCEITRRFPEFRLPVSSSVCLDQETQSRLRVSSHLSKAEKPHVW KPRDWHRKQLNLTTVVAPHEAISRAEELSVILKAYVLVTSLRPLRAFIHSTGTVWSPPKK KRFTVKLQMFFETFLRTSSPLQALDSMKEAISKLLLVAEVFSDASTLGPKTFNRCIQCFQ LLTFDVGYGDSGYPVVLQVHEHLHFHDDENFSAEDQNAENIILRDTFDFLFSNASSLSMV SEMLQRRDSAGAFVDGNYEKELNQCLSFEEIHSIRTFVKELGSLGQFQLLFPSSVPGIRS LMGEFYDMATPGSVLSQYWSLLNVFEQFWLMNKKAQLQPLEWNSPTRNETIEEPPRSLEG IQSGKDAAPRVLFENKDIHCSDEEDVLCHIKKIITHPRLELSPEFNPKIKEYYCEVPFDV LTVRIGAETSKCQCKAHLQEQAGPSFATYPLGIGMNRISVLVVDESHAGGAALTTYKLTI YREDRPSLPFFEDFTACGFVQDCDLLIHPEETCGLQPISAEYIKAISQPEIESCPSGDTK GQWIVPCLTCSDNRTCDWREITWQPHNCQHNILTKPELQQCLQGRKILFIGDSTNRGMMY YLIERLNETLQEWQKLHGTKLYPSVNGGKSPISYSYYPQFWIRPSLRPAFEKALEHLLQR SQPLENTDQTVLVVGGVQWLNSNHLQIIHKVLKRENLLNILVIIKTLGIGFHLPVDGVHF LTQGEVRNLYKENLSILETAKKYGYEVVDTFTITMGRHKEFLQGNCGCHFHEVVKSKLSR QHHVIKMKRSKNHIVGKYFSSQSKLKQHNSVPNLHSPYHVRGPINQVCAEILLSRMCASQ RSAQAP >ENSMUSP00000138562.1 pep:known chromosome:GRCm38:6:21985944:22125009:1 gene:ENSMUSG00000062980.15 transcript:ENSMUST00000153922.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cped1 description:cadherin-like and PC-esterase domain containing 1 [Source:MGI Symbol;Acc:MGI:2444814] MVCRPGFLCRRRFCPRPFLVGLVVAICLFYQTLTLRGTKKIAADVSGTVPHTPSQTQASR CEEGYRQDKQCFLLSASTQETRKIEESIERHFGSLSRRAVLHRPPSSSRAQLQIHQHLLA QHGYSVVTVEERLGGGLGPELLDQGKCVTRNTASALQERRLV >ENSMUSP00000111040.1 pep:known chromosome:GRCm38:6:21986596:22124036:1 gene:ENSMUSG00000062980.15 transcript:ENSMUST00000115382.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cped1 description:cadherin-like and PC-esterase domain containing 1 [Source:MGI Symbol;Acc:MGI:2444814] MVCRPGFLCRRRFCPRPFLVGLVVAICLFYQTLTLRGTKKIAADVSGTVPHTPSQTQASR CEEGYRQDKQCFLLSASTQETRKIEESIERHFGSLSRRAVLHRPPSSSRAQLQIHQHLLA QHGYSVVTVEERLGGGLGPELLDQGGLNSWDLFICLPSREADGKPCIPREHMCRLSLHQR VNVLPEIQPQLCRREGLCEITRRFPEFRLPVSSSVCLDQETQSRLRVSSHLSKAEKPHVW KPRDWHRKQLNLTTVVAPHEAISRAEELSVILKAYVLVTSLRPLRAFIHSTGTVWSPPKK KRFTVKLQMFFETFLRTSSPLQALDSMKEAISKLLLVAEVFSDASTLGPKTFNRCIQCFQ LLTFDVGYGDSGYPVVLQVHEHLHFHDDENFSAEDQNAENIILRDTFDFLFSNASSLSMV SEMLQRRDSAGAFVDGNYEKELNQCLSFEEIHSIRTFVKELGSLGQFQLVKQK >ENSMUSP00000119808.2 pep:known chromosome:GRCm38:6:22017066:22240003:1 gene:ENSMUSG00000062980.15 transcript:ENSMUST00000137437.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cped1 description:cadherin-like and PC-esterase domain containing 1 [Source:MGI Symbol;Acc:MGI:2444814] XPELLDQGGLNSWDLFICLPSREADGKPCIPREHMCRLSLHQRVNVLPEIQPQLCRREGL CEITRRFPEFRLPVSSSVCLDQETQSRLRVSSHLSKAEKPHVWKPRDWHRKQLNLTTVVA PHEAISRAEELSVILKAYVLVTSLRPLRAFIHSTGTVWSPPKKKRFTVKLQMFFETFLRT SSPLQALDSMKEAISKLLLVAEVFSDASTLGPKTFNRCIQCFQLLTFDVGYGDSGYPVVL QVHEHLHFHDDENFSAEDQNAENIILRDTFDFLFSNASSLSMVSEMLQRRDSAGAFVDGN YEKELNQCLSFEEIHSIRTFVKELGSLGQFQLLFPSSVPGIRSLMGEFYDMATPGSVLSQ YWSLLNVFEQFWLMNKKAQLQPLEWNSPTRNETIEEPPRSLEGIQSGKDAAPRVLFENKD IHCSDEEDVLCHIKKIITHPRLELSPEFNPKIKEYYCEVPFDVLTVRIGAETSKCQCKAH LQEQAGPSFATYPLGIGMNRISVLVVDESHAGGAALTTYKLTIYREDRPSLPFFEDFTAC GFVQDCDLLIHPEETCGLQPISAEYIKAISQPEIESCPSGDTKGQWIVPCLTCSDNRTCD WREITWQPHNCQHNILTKPELQQCLQGRKILFIGDSTNRGMMYYLIERLNETLQEWQKLH GTKLYPSVNGGKSPISYSYYPQFWIRPSLRPAFEKALEHLLQRSQPLENTDQTVLVVGGV QWLNSNHLQIIHKVLKRENLLNILVIIKTLGIGFHLPVDGVHFLTQGEVRNLYKENLSIL ETAKKYGYEVVDTFTITMGRHKEFLQGNCGCHFHEQKKKKNKEEEEEE >ENSMUSP00000070957.7 pep:known chromosome:GRCm38:8:25816191:25840779:-1 gene:ENSMUSG00000031575.17 transcript:ENSMUST00000068892.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ash2l description:ash2 (absent, small, or homeotic)-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1344416] MAAAGAGPGPGVSAGPGPGAAASATTAEDRETEPVAAGAGEGPSAAPGAEPSSGEAESGD ANLVDVSGLETESSNGKDTLEGTGDTSEVMDTQAGSVDEENGRQLGEVELQCGICTKWFT ADTFGIDTSSCLPFMTNYSFHCNVCHHSGNTYFLRKQANLKEMCLSALANLTWQSRTQDE HPKTMFSKDKDIIPFIDKYWECMTTRQRPGKMTWPNNIVKTMSKERDVFLVKEHPDPGSK DPEEDYPKFGLLDQDLSNIGPAYDNQKQSSAVSASGNLNGGIAAGSSGKGRGAKRKQQDG GTTGTTKKARSDPLFSAQRLPPHGYPLEHPFNKDGYRYILAEPDPHAPDPEKLELDCWAG KPIPGDLYRACLYERVLLALHDRAPQLKISDDRLTVVGEKGYSMVRASHGVRKGAWYFEI TVDEMPPDTAARLGWSQPLGNLQAPLGYDKFSYSWRSKKGTKFHQSIGKHYSSGYGQGDV LGFYINLPEDTETAKSLPDTYKDKALIKFKSYLYFEEKDFVDKAEKSLKQTPHSEIIFYK NGVNQGVAYRDIFEGVYFPAISLYKSCTVSINFGPSFKYPPKDLTYHPMSDMGWGAVVEH TLADVLYHVETEVDGRRSPPWEP >ENSMUSP00000106240.1 pep:known chromosome:GRCm38:8:25816876:25847694:-1 gene:ENSMUSG00000031575.17 transcript:ENSMUST00000110610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ash2l description:ash2 (absent, small, or homeotic)-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1344416] MDTQAGSVDEENGRQLGEVELQCGICTKWFTADTFGIDTSSCLPFMTNYSFHCNVCHHSG NTYFLRKQANLKEMCLSALANLTWQSRTQDEHPKTMFSKDKDIIPFIDKYWECMTTRQRP GKMTWPNNIVKTMSKERDVFLVKEHPDPGSKDPEEDYPKFGLLDQDLSNIGPAYDNQKQS SAVSASGNLNGGIAAGSSGKGRGAKRKQQDGGTTGTTKKARSDPLFSAQRLPPHGYPLEH PFNKDGYRYILAEPDPHAPDPEKLELDCWAGKPIPGDLYRACLYERVLLALHDRAPQLKI SDDRLTVVGEKGYSMVRASHGVRKGAWYFEITVDEMPPDTAARLGWSQPLGNLQAPLGYD KFSYSWRSKKGTKFHQSIGKHYSSGYGQGDVLGFYINLPEDTETAKSLPDTYKDKALIKF KSYLYFEEKDFVDKAEKSLKQTPHSEIIFYKNGVNQGVAYRDIFEGVYFPAISLYKSCTV SINFGPSFKYPPKDLTYHPMSDMGWGAVVEHTLADVLYHVETEVDGRRSPPWEP >ENSMUSP00000106239.1 pep:known chromosome:GRCm38:8:25816886:25840457:-1 gene:ENSMUSG00000031575.17 transcript:ENSMUST00000110609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ash2l description:ash2 (absent, small, or homeotic)-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1344416] MDTQAGSVDEENGRQLGEVELQCGICTKWFTADTFGIDTSSCLPFMTNYSFHCNVCHHSG NTYFLRKQANLKEMCLSALANLTWQSRTQDEHPKTMFSKDKDIIPFIDKYWECMTTRQRP GKMTWPNNIVKTMSKERDVFLVKEHPDPGSKDPEEDYPKFGLLDQDLSNIGPAYDNQKQS SAVSASGNLNGGIAAGSSGKGRGAKRKQQDGGTTGTTKKARSDPLFSAQRLPPHGYPLEH PFNKDGYRYILAEPDPHAPDPEKLELDCWAGKPIPGDLYRACLYERVLLALHDRAPQLKI SDDRLTVVGEKGYSMVRASHGVRKGAWYFEITVDEMPPDTAARLGWSQPLGNLQAPLGYD KFSYSWRSKKGTKFHQSIGKHYSSGYGQGDVLGFYINLPEDTETAKSLPDTYKDKALIKF KSYLYFEEKDFVDKAEKSLKQTPHSEIIFYKNGVNQGVAYRDIFEGVYFPAISLYKSCTV SINFGPSFKYPPKDLTYHPMSDMGWGAVVEHTLADVLYHVETEVDGRRSPPWEP >ENSMUSP00000117488.1 pep:known chromosome:GRCm38:8:25816871:25840769:-1 gene:ENSMUSG00000031575.17 transcript:ENSMUST00000151856.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ash2l description:ash2 (absent, small, or homeotic)-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1344416] MAAAGAGPGPGVSAGPGPGAAASATTAEDRETEPVAAGAGEGPSAAPGAEPSSGEAESGD ANLVDVSGLETESSNGKDTLEGTGDTSEVMDTQAGSVDEENGRQLGEVELQCGICTKWFT ADTFGIDTSSCLPFMTNYSFHCNVCHHSGNTYFLRKQANLKEMCLSALANLTWQSRTQDE HPKTMFSKDKDIIPFIDKYWECMTTRQRPGKMTWPNNIVKTMSKERDVFLVKEHPDPGSK DPEEDYPKFGLLDQGGSQPEAVGKEEEPSVSSKMEGQQGPPRRPEVILYFLLSVSLLMAI LWNIHLTKMAIGIFLLSPILMPPTRRSLNLTAGRESLFLETFTEPAYMNESC >ENSMUSP00000118346.1 pep:known chromosome:GRCm38:8:25816525:25840762:-1 gene:ENSMUSG00000031575.17 transcript:ENSMUST00000139946.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ash2l description:ash2 (absent, small, or homeotic)-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1344416] MAAAGAGPGPGVSAGPGPGAAASATTAEDRETEPVAAGAGEGPSAAPGAEPSSGEAESGD ANLVDVSGLETESSNGKDTLEGTGDTSEVMDTQAGSVDEENGRQLGEVELQCGICTKWFT ADTFGIDTSSCLPFMTNYSFHCNVCHHSGNTYFLRKQANLKEMCLSALANLTWQSRTQDE HPKTMFSKDKDIIPFIDKYWECMTTRQRPGKMTWPNNIVKTMSKERDVFLVKEHPDPGSK DPEEDYPKFGLLDQDLSNIGPAYDNQKQSSAVSASGNLNVILYFLLSVSLLMAILWNIHL TKMAIGIFLLSPILMPPTRRSLNLTAGRESLFLETFTEPAYMNESC >ENSMUSP00000106238.1 pep:known chromosome:GRCm38:8:25816986:25840077:-1 gene:ENSMUSG00000031575.17 transcript:ENSMUST00000110608.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ash2l description:ash2 (absent, small, or homeotic)-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1344416] MDTQAGSVDEENGRQLGEVELQCGICTKWFTADTFGIDTSSCLPFMTNYSFHCNVCHHSG NTYFLRKQANLKEMCLSALANLTWQSRTQDEHPKTMFSKDKDIIPFIDKYWECMTTRQRP GKMTWPNNIVKTMSKERDVFLVKEHPDPGSKDPEEDYPKFGLLDQDLSNIGPAYDNQKQS SAVSASGNLNGGIAAGSSGKGRGAKRKQQDGGTTGTTKKARSDPLFSAQRLPPHGYPLEH PFNKDGYRYILAEPDPHAPDPEKLELDCWAGKPIPGDLYRACLYERVLLALHDRAPQLKI SDDRLTVVGEKGYSMVRASHGVRKGAWYFEITVDEMPPDTAARLGWSQPLGNLQAPLGYD KFSYSWRSKKGTKFHQSIGKHYSSGYGQGDVLGFYINLPEDTETAKSLPDTYKDKALIKF KSYLYFEEKDFVDKAEKSLKQTPHSEVSINFGPSFKYPPKDLTYHPMSDMGWGAVVEHTL ADVLYHVETEVDGRRSPPWEP >ENSMUSP00000132546.2 pep:known chromosome:GRCm38:8:25816870:25840082:-1 gene:ENSMUSG00000031575.17 transcript:ENSMUST00000166078.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ash2l description:ash2 (absent, small, or homeotic)-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1344416] MDTQAGSVDEENGRQLGEVELQCGICTKWFTADTFGIDTSSCLPFMTNYSFHCNVCHHSG NTYFLRKQANLKEMCLSALANLTWQSRTQDEHPKTMFSKDKDIIPFIDKYWECMTTRQRP GKMTWPNNIVKTMSKERDVFLVKEHPDPGSKDPEEDYPKFGLLDQDLSNIGPAYDNQKQS SAVSASGNLNGGIAAGSSGKGRGAKRKQQDGGTTGTTKKARSDPLFSAQRLPPHGYPLEH PFNKDGYRYILAEPDPHAPDPEKLELDCWAGKPIPGDLYRACLYERVLLALHDRAPQLKI SDDRLTVVGEKGYSMVRASHGVRKGAWYFEITVDEMPPDTAARLGWSQPLGNLQAPLGYD KFSYSWRSKKGTKFHQSIGKHYSSGYGQGDVLGFYINLPEDTETAKSLPDTYKDKALIKF KSYLYFEEKDFVDKAEKSLKQTPHSEIIFYKNGVNQGVAYRDIFEGVYFPAISLYKSCTV SINFGPSFKYPPKDLTYHPMSDMGWGAVVEHTLADVLYHVETEVDGRRSPPWEP >ENSMUSP00000142879.1 pep:known chromosome:GRCm38:3:96706067:96708547:-1 gene:ENSMUSG00000028100.11 transcript:ENSMUST00000200387.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt17 description:nudix (nucleoside diphosphate linked moiety X)-type motif 17 [Source:MGI Symbol;Acc:MGI:1925623] MAAARLLLRLAGRLESVSFTQSVCGLLGAGQRPGPWHTHCSLERGQLVLSSNPFPGASER LPIQPEVSKTEPLTNRGVDLGVAVILQSSDQTVLLTRRTCTLRISPNLWVPPGGHMEPDE EVPACRPNQET >ENSMUSP00000129851.1 pep:known chromosome:GRCm38:3:96706069:96708562:-1 gene:ENSMUSG00000028100.11 transcript:ENSMUST00000171249.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt17 description:nudix (nucleoside diphosphate linked moiety X)-type motif 17 [Source:MGI Symbol;Acc:MGI:1925623] MAAARLLLRLAGRLESVSFTQSVCGLLGAGQRPGPWHTHCSLERGQLVLSSNPFPGASER LPIQRPLFCPFAALDQQPEVSKTEPLTNRGVDLGVAVILQSSDQTVLLTRRTCTLRISPN LWVPPGGHMEPDEEILECGFRELWEECGLQLPKNQFSCVLLGLWESAYPPRLSWGFPKYH HLILYVLVISQESQEQLQARIQVNPNEVNAFMWLGPDVAAAVVATEDGTRTPGLFSQDLP LSVCATELKDDGGTQPLVLPMPTLMRTTPTTAEEDKERIGAGTKFALQLWLQHLGR >ENSMUSP00000029742.5 pep:known chromosome:GRCm38:3:96706168:96708539:-1 gene:ENSMUSG00000028100.11 transcript:ENSMUST00000029742.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt17 description:nudix (nucleoside diphosphate linked moiety X)-type motif 17 [Source:MGI Symbol;Acc:MGI:1925623] MAAARLLLRLAGRLESVSFTQSVCGLLGAGQRPGPWHTHCSLERGQLVLSSNPFPGASER LPIQRPLFCPFAALDQQPEVSKTEPLTNRGVDLGVAVILQSSDQTVLLTRRTCTLRISPN LWVPPDFLCPLENGVPGGRQQPFTLGPLLLQILECGFRELWEECGLQLPKNQFSCVLLGL WESAYPPRLSWGFPKYHHLILYVLVISQESQEQLQARIQVNPNEVNAFMWLGPDVAAAVV ATEDGTRTPGLFSQDLPLSVCATELKDDGGTQPLVLPMPTLMRTTPTTAEEDKERIGAGT KFALQLWLQHLGR >ENSMUSP00000099554.1 pep:known chromosome:GRCm38:2:120604238:120609315:-1 gene:ENSMUSG00000027286.16 transcript:ENSMUST00000102496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc57 description:leucine rich repeat containing 57 [Source:MGI Symbol;Acc:MGI:1913856] MGNSALRAHVETAQKTGVFQLKDRGLTEFPSELQKLTSNLRTIDLSNNKIDSLPPLIIGK FTLLKSLSLNNNKLTVLPDELCNLKKLETLSLNNNHLRELPSTFGQLSALKTLSLSGNQL GALPPQLCCLRHLDVVDLSKNQIRSIPDTVGELQAIELNLNQNQISQLSVKISCCPRLKV LRLEENCLELSMLPQSILSDSQICLLAVEGNLFEIKKLRELEGYDKYMERFTATKKKFA >ENSMUSP00000099555.3 pep:known chromosome:GRCm38:2:120604238:120609355:-1 gene:ENSMUSG00000027286.16 transcript:ENSMUST00000102497.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc57 description:leucine rich repeat containing 57 [Source:MGI Symbol;Acc:MGI:1913856] MGNSALRAHVETAQKTGVFQLKDRGLTEFPSELQKLTSNLRTIDLSNNKIDSLPPLIIGK FTLLKSLSLNNNKLTVLPDELCNLKKLETLSLNNNHLRELPSTFGQLSALKTLSLSGNQL GALPPQLCCLRHLDVVDLSKNQIRSIPDTVGELQAIELNLNQNQISQLSVKISCCPRLKV LRLEENCLELSMLPQSILSDSQICLLAVEGNLFEIKKLRELEGYDKYMERFTATKKKFA >ENSMUSP00000099556.1 pep:known chromosome:GRCm38:2:120604238:120609510:-1 gene:ENSMUSG00000027286.16 transcript:ENSMUST00000102498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc57 description:leucine rich repeat containing 57 [Source:MGI Symbol;Acc:MGI:1913856] MGNSALRAHVETAQKTGVFQLKDRGLTEFPSELQKLTSNLRTIDLSNNKIDSLPPLIIGK FTLLKSLSLNNNKLTVLPDELCNLKKLETLSLNNNHLRELPSTFGQLSALKTLSLSGNQL GALPPQLCCLRHLDVVDLSKNQIRSIPDTVGELQAIELNLNQNQISQLSVKISCCPRLKV LRLEENCLELSMLPQSILSDSQICLLAVEGNLFEIKKLRELEGYDKYMERFTATKKKFA >ENSMUSP00000099557.1 pep:known chromosome:GRCm38:2:120604238:120609520:-1 gene:ENSMUSG00000027286.16 transcript:ENSMUST00000102499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc57 description:leucine rich repeat containing 57 [Source:MGI Symbol;Acc:MGI:1913856] MGNSALRAHVETAQKTGVFQLKDRGLTEFPSELQKLTSNLRTIDLSNNKIDSLPPLIIGK FTLLKSLSLNNNKLTVLPDELCNLKKLETLSLNNNHLRELPSTFGQLSALKTLSLSGNQL GALPPQLCCLRHLDVVDLSKNQIRSIPDTVGELQAIELNLNQNQISQLSVKISCCPRLKV LRLEENCLELSMLPQSILSDSQICLLAVEGNLFEIKKLRELEGYDKYMERFTATKKKFA >ENSMUSP00000117895.1 pep:known chromosome:GRCm38:2:120604819:120607972:-1 gene:ENSMUSG00000027286.16 transcript:ENSMUST00000135465.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc57 description:leucine rich repeat containing 57 [Source:MGI Symbol;Acc:MGI:1913856] LSALKTLSLSGNQLGALPPQLCCLRHLDVVDLSKNQIRSIPDTVGELQAIELNLNQNQIS QLSVKISCCPRLKVLRLEENCLELSMLPQSILSDSQICLLAVEGNLFEIKKLRELEGYDK DYVR >ENSMUSP00000121638.1 pep:known chromosome:GRCm38:2:120605970:120609508:-1 gene:ENSMUSG00000027286.16 transcript:ENSMUST00000133612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc57 description:leucine rich repeat containing 57 [Source:MGI Symbol;Acc:MGI:1913856] MGNSALRAHVETAQKTGVFQLKDRGLTEFPSELQKLTSNLRTIDLSNNKIDSLPPLIIGK FTLLKSLSLNNNKLTVLPDELCNLKKLETLSLNNNHLRELPSTFGQLSALKTLSLSGNQL GALPPQLCCLRHLDVVDLSKNQIRSIPDTVGELQAIELNLNQNQISQLSVKISCCPRLKV LRLEENCLELSMLPQSILSDSQICLLAVEGNLFEIKKLR >ENSMUSP00000082777.4 pep:known chromosome:GRCm38:1:191005847:191026158:-1 gene:ENSMUSG00000066595.9 transcript:ENSMUST00000085635.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd7b description:major facilitator superfamily domain containing 7B [Source:MGI Symbol;Acc:MGI:2444881] MARPDDEVGPAVAPGHPLGKGYLPVPKGAPDGEARLVPQNGPEALNGGPGLGPLIAGAQG GPQALIAAEEETQARLLPAGDGEDVPCPACPPRTALSPRRFVVLLIFSLYSLVNAFQWIQ YSSISNVFEDFYEVSPLHINWLSMVYMVAYVPLIFPATWLLDTRGLRLTALLGSGLNCLG AWVKCGSVQRHLFWVTMLGQILCSVAQVFILGLPSPVASVWFGPKEVSTACATAVLGNQL GTAVGFLLPPVLVPALGTQNSTGLLAHTQNNTDLLAHNINTMFYGTAFISTFLFFLTIIA FKEKPPLPPSQAQAVLRDSPPEEYSYKSSIWNLCRNIPFVLLLVSYGIMTGAFYSISTLL NQIILTYYVGEEVNAGRIGLTLVVAGMVGSILCGLWLDYTKTYKQTTLIVYVLSFIGMLI FTFTLNLGYIIVVFFTGGILGFFMTGYLPLGFEFAVEITYPESEGMSSGLLNTAAQILGI FFTLAQGKITTDYNSPEAGNIFLCAWMFVGIILTALIKSDLRRHNINTGLTNIDVKAVPV DSRVDPKPKVMVSIQSESSL >ENSMUSP00000141985.1 pep:known chromosome:GRCm38:1:191005849:191025967:-1 gene:ENSMUSG00000066595.9 transcript:ENSMUST00000192666.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfsd7b description:major facilitator superfamily domain containing 7B [Source:MGI Symbol;Acc:MGI:2444881] EALNGGPGLGPLIAGAQGGPQALIAAEEETQARLLPAGDGEDVPCPACPPRTALSPRRFV VLLIFSLYSLVNAFQWIQYSSISNVFEDFYEVSPLHINWLSMVYMVAYVPLIFPATWLLD TRGLRLTALLGSGLNCLGAWVKCGSVQRHLFWVTMLGQILCSVAQVFILGLPSPVASVWF GPKEVSTACATAVLGNQHSRRNLRCLQVRLRQFFETAPLKSTPTRVLSGTCAETSPLFSC WSVMVS >ENSMUSP00000141578.1 pep:known chromosome:GRCm38:1:191024909:191026124:-1 gene:ENSMUSG00000066595.9 transcript:ENSMUST00000191946.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd7b description:major facilitator superfamily domain containing 7B [Source:MGI Symbol;Acc:MGI:2444881] MARPDDEVGPAVAPGHPLGKGYLPVPKGAPDGEARLVPQNGPEALNGGPGLGPLIAGAQG GPQALIAAEEETQARLLPAGDGEDVPCPACPPRTALSPRRFVVLLIFSLYSLVNAFQWIQ YSSISNVFEDFYEVSPLHINWLSMVYMVAYVPLIFPATWLLDTRGLRLTALLGSGLNCLG AWVKCGSVQRHLFWVTMLGQILCSVAQVFILGLPSPVASVWFGPKEVSTACATAVLGNQV KTGTSR >ENSMUSP00000027153.5 pep:known chromosome:GRCm38:1:66830839:66863277:-1 gene:ENSMUSG00000026003.5 transcript:ENSMUST00000027153.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acadl description:acyl-Coenzyme A dehydrogenase, long-chain [Source:MGI Symbol;Acc:MGI:87866] MAARLLLRSLRVLSARSAPRPLPSARCSHSGAEARLETPSAKKLTDIGIRRIFSSEHDIF RESVRKFFQEEVIPHHTEWEKAGEVSREVWEKAGKQGLLGINIAEKHGGIGGDLLSTAVT WEEQAYSNCTGPGFSLHSDIVMPYIANYGTKEQIEKFIPQMTAGKCIGAIAMTEPGAGSD LQGVRTNAKRSGSDWILNGSKVFITNGWLSDLVIVVAVTNREARSPAHGISLFLVENGMK GFIKGRKLHKMGMKAQDTAELFFEDVRLPANALLGEENKGFYYLMQELPQERLLIAELAI SACEFMFEETRNYVKQRKAFGKTVAHIQTVQHKLAELKTHICVTRAFVDSCLQLHETKRL DSGSASMAKYWASELQNSVAYECVQLHGGWGYMWEYPIAKAYVDARVQPIYGGTNEIMKE LIARQIVSDS >ENSMUSP00000040345.3 pep:known chromosome:GRCm38:3:102469919:102520848:1 gene:ENSMUSG00000027859.10 transcript:ENSMUST00000035952.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngf description:nerve growth factor [Source:MGI Symbol;Acc:MGI:97321] MSMLFYTLITAFLIGVQAEPYTDSNVPEGDSVPEAHWTKLQHSLDTALRRARSAPTAPIA ARVTGQTRNITVDPRLFKKRRLHSPRVLFSTQPPPTSSDTLDLDFQAHGTIPFNRTHRSK RSSTHPVFHMGEFSVCDSVSVWVGDKTTATDIKGKEVTVLAEVNINNSVFRQYFFETKCR ASNPVESGCRGIDSKHWNSYCTTTHTFVKALTTDEKQAAWRFIRIDTACVCVLSRKATRR G >ENSMUSP00000143428.1 pep:known chromosome:GRCm38:3:102469928:102509842:1 gene:ENSMUSG00000027859.10 transcript:ENSMUST00000198168.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngf description:nerve growth factor [Source:MGI Symbol;Acc:MGI:97321] MLCLKPVKLGSLEVGHGQHGGY >ENSMUSP00000102538.2 pep:known chromosome:GRCm38:3:102469928:102521013:1 gene:ENSMUSG00000027859.10 transcript:ENSMUST00000106925.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngf description:nerve growth factor [Source:MGI Symbol;Acc:MGI:97321] MLCLKPVKLGSLEVGHGQHGGVLACGRAVQGAGWHAGPKLTSVSGPNKGFAKDAAFYTGR SEVHSVMSMLFYTLITAFLIGVQAEPYTDSNVPEGDSVPEAHWTKLQHSLDTALRRARSA PTAPIAARVTGQTRNITVDPRLFKKRRLHSPRVLFSTQPPPTSSDTLDLDFQAHGTIPFN RTHRSKRSSTHPVFHMGEFSVCDSVSVWVGDKTTATDIKGKEVTVLAEVNINNSVFRQYF FETKCRASNPVESGCRGIDSKHWNSYCTTTHTFVKALTTDEKQAAWRFIRIDTACVCVLS RKATRRG >ENSMUSP00000142801.1 pep:known chromosome:GRCm38:3:102488694:102520995:1 gene:ENSMUSG00000027859.10 transcript:ENSMUST00000198644.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngf description:nerve growth factor [Source:MGI Symbol;Acc:MGI:97321] MAKLQFATLSLSTSSLGVLACGRAVQGAGWHAGPKLTSVSGPNKGFAKDAAFYTGRSEVH SVMSMLFYTLITAFLIGVQAEPYTDSNVPEGDSVPEAHWTKLQHSLDTALRRARSAPTAP IAARVTGQTRNITVDPRLFKKRRLHSPRVLFSTQPPPTSSDTLDLDFQAHGTIPFNRTHR SKRSSTHPVFHMGEFSVCDSVSVWVGDKTTATDIKGKEVTVLAEVNINNSVFRQYFFETK CRASNPVESGCRGIDSKHWNSYCTTTHTFVKALTTDEKQAAWRFIRIDTACVCVLSRKAT RRG >ENSMUSP00000034004.8 pep:known chromosome:GRCm38:8:40926233:40990785:1 gene:ENSMUSG00000031595.9 transcript:ENSMUST00000034004.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfrl description:platelet-derived growth factor receptor-like [Source:MGI Symbol;Acc:MGI:1916047] MKFWLLLGLLLLHEALEDVGGQHSPKNKRPKEQGENRIKPTNKKAKPKIPKVKDRDSTDS TAKSQSIMMQAMGNGRFQRPAATVSLLAGQTLELRCKGSKVEWSYPAYLDTFKDSRLTVK QSERYGQLTLVNSTAADTGEFSCWEQLCNGYICRRDEAKTGSTYIFFTEKGELFVPSPSY FDVVYLNPDRQAVVPCRVTAPSAKVTLHREFPAKEIPANGTDIVYDMKRGFVYLQPHSDH QGVVYCKAEAGGKSQISVKYQLLYVEVPSGPPSTTILASSNKVRGGDDISVLCTVLGEPD VEVEFRWLFPGQKDERPVTIQDTWRLIHRGLGHTTRISQSVIIVEDFETIDAGYYICTAQ NLRGQTTVATTVEFS >ENSMUSP00000051297.4 pep:known chromosome:GRCm38:6:65671590:65712326:1 gene:ENSMUSG00000049001.5 transcript:ENSMUST00000054351.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndnf description:neuron-derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:1915419] MELFYWCLLCLLLPLTSRTQKLPTRDEELFQMQIRDKEFFHDSSVIPDGAEVSSYLFRDT PRRYFFMVEEDNTPLSVTVTPCDAPLEWKLSLQELHEGSSADGSGDPELLDQQKQQMTDV EGTELFSYKGNDVEYFLSSSSPSGLYQLELLSTEKDTHFKVYATTTPESDQPYPELPYDP RVDVTSFGRTTVTLAWKPSPTASILKQPIEYCVVINKEHNFKSLCAAETKMNADDAFMVA PKPGLDFNPFDFAHFGFPTDNLGKDRSLLAKPSPKVGRHVYWRPKVDIQKICIGNKNIFT VSDLKPDTQYYFDVFMVNTNTNMSTAYVGAFVRTKEEAKQKTVELKDGRVTDVFVKRKGK KFLRFAPVSSHQKVTFFIHSCMDAVQVQVRRDGRLLLSQNVEGIRQFQLRGKPKGKYLIR LKGNRKGASKLKILATTRPSKHAFPSLPEDTRIKAFDKLRTCSSVTVAWLGTQERRKFCI YRKEVDGNYSEDQKRREQNQCLGPDTRKKSEKVLCKYFHSQNLQKAVTTETIRDLQPGKS YLLDVYVVGHGGHSVKYQSKIVKTRKVC >ENSMUSP00000139699.1 pep:known chromosome:GRCm38:10:21124936:21160905:-1 gene:ENSMUSG00000019982.14 transcript:ENSMUST00000188495.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myb description:myeloblastosis oncogene [Source:MGI Symbol;Acc:MGI:97249] MARRPRHSIYSSDEDDEDIEMCDHDYDGLLPKSGKRHLGKTRWTREEDEKLKKLVEQNGT DDWKVIANYLPNRTDVQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSVIAK HLKGRIGKQCRERWHNHLNPEVKKTSWTEEEDRIIYQAHKRLGNRWAEIAKLLPGRTDNA IKNHWNSTMRRKVEQEGYLQEPSKASQTPVATSFQKNNHLMGFGHASPPSQLSPSGQSSV NSEYPYYHIAEAQNISSHVPYPVALHVNIVNVPQPAAAAIQRHYNDEDPEKEKRIKELEL LLMSTENELKGQQALPTQNHTCSYPGWHSTSIVDQTRPHGDSAPVSCLGEHHATPSLPAD PGSLPEESASPARCMIVHQGTILDNVKNLLEFAETLQFIDSDSSWCDLSSFEFSEEAAAF SPSQQPTGKAFQLQQREGHGTRSAGEPSLRVTRRVLSEASLGPDSPQARHSKVPLVVLRK RRGQASPLAAGEPSPSLFADVISSTLKRSPVKSLPFSPSQFLNTSSNHESSGLDAPTLPS TPLIGHKLTPCRDQTVKTQKENSIFRTPAIKRSILESSPRTPTPFKHALAAQEIKYGPLK MLPQTPSHAVEDLQDVIKQESDESGIVAEFQESGPPLLKKIKQEVESPTEKSGNFFCSNH WAENSLSTQLFSQASPVADAPNILTSSVLMTPVSEDEDNVLKAFTVPKNRPLVGPLQPCS GAWEPASCGKTEDQMTASGPARKYVNAFSARTLVM >ENSMUSP00000020158.6 pep:known chromosome:GRCm38:10:21125276:21160905:-1 gene:ENSMUSG00000019982.14 transcript:ENSMUST00000020158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myb description:myeloblastosis oncogene [Source:MGI Symbol;Acc:MGI:97249] MARRPRHSIYSSDEDDEDIEMCDHDYDGLLPKSGKRHLGKTRWTREEDEKLKKLVEQNGT DDWKVIANYLPNRTDVQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSVIAK HLKGRIGKQCRERWHNHLNPEVKKTSWTEEEDRIIYQAHKRLGNRWAEIAKLLPGRTDNA IKNHWNSTMRRKVEQEGYLQEPSKASQTPVATSFQKNNHLMGFGHASPPSQLSPSGQSSV NSEYPYYHIAEAQNISSHVPYPVALHVNIVNVPQPAAAAIQRHYNDEDPEKEKRIKELEL LLMSTENELKGQQALPTQNHTCSYPGWHSTSIVDQTRPHGDSAPVSCLGEHHATPSLPAD PGSLPEESASPARCMIVHQGTILDNVKNLLEFAETLQFIDSFLNTSSNHESSGLDAPTLP STPLIGHKLTPCRDQTVKTQKENSIFRTPAIKRSILESSPRTPTPFKHALAAQEIKYGPL KMLPQTPSHAVEDLQDVIKQESDESGIVAEFQESGPPLLKKIKQEVESPTEKSGNFFCSN HWAENSLSTQLFSQASPVADAPNILTSSVLMTPVSEDEDNVLKAFTVPKNRPLVGPLQPC SGAWEPASCGKTEDQMTASGPARKYVNAFSARTLVM >ENSMUSP00000120027.1 pep:known chromosome:GRCm38:14:122534331:122616809:1 gene:ENSMUSG00000041650.15 transcript:ENSMUST00000154206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcca description:propionyl-Coenzyme A carboxylase, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97499] MAGQWVRTVALLAARRHWRRSSQQQLLGTLKHAPVYSYQCLVVSRSLSSVEYEPKEKVHV KMADEAVCVGPAPTSKSYLNMDAIMEAIKKTRAQAVHPGYGFLSENKEFAKRLAAEDVTF IGPDTHAIQAMG >ENSMUSP00000038763.6 pep:known chromosome:GRCm38:14:122534339:122889944:1 gene:ENSMUSG00000041650.15 transcript:ENSMUST00000038374.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcca description:propionyl-Coenzyme A carboxylase, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97499] MAGQWVRTVALLAARRHWRRSSQQQLLGTLKHAPVYSYQCLVVSRSLSSVEYEPKEKTFD KILIANRGEIACRVIKTCKKMGIKTVAIHSDVDASSVHVKMADEAVCVGPAPTSKSYLNM DAIMEAIKKTRAQAVHPGYGFLSENKEFAKRLAAEDVTFIGPDTHAIQAMGDKIESKLLA KRAKVNTIPGFDGVVKDADEAVRIAREIGYPVMIKASAGGGGKGMRIAWDDEETRDGFRF SSQEAASSFGDDRLLIEKFIDNPRHIEIQVLGDKHGNALWLNERECSIQRRNQKVVEEAP SIFLDPETRQAMGEQAVALAKAVKYSSAGTVEFLVDSQKNFYFLEMNTRLQVEHPVTECI TGLDLVQEMILVAKGYPLRHKQEDIPISGWAVECRVYAEDPYKSFGLPSIGRLSQYQEPI HLPGVRVDSGIQPGSDISIYYDPMISKLVTYGSDRAEALKRMEDALDNYVIRGVTHNIPL LREVIINTRFVKGDISTKFLSDVYPDGFKGHTLTLSERNQLLAIASSVFVASQLRAQRFQ EHSRVPVIRPDVAKWELSVKLHDEDHTVVASNNGPAFTVEVDGSKLNVTSTWNLASPLLS VNVDGTQRTVQCLSREAGGNMSIQFLGTVYKVHILTKLAAELNKFMLEKVPKDTSSTLCS PMPGVVVAVSVKPGDMVAEGQEICVIEAMKMQNSMTAGKMGKVKLVHCKAGDTVGEGDLL VELE >ENSMUSP00000123422.1 pep:known chromosome:GRCm38:14:122534345:122650284:1 gene:ENSMUSG00000041650.15 transcript:ENSMUST00000135578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcca description:propionyl-Coenzyme A carboxylase, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97499] MAGQWVRTVALLAARRHWRRSSQQQLLGTLKHAPVYSYQCLVVSRSLSSVEYEPKEKTFD KILIANRGEIACRVIKTCKKMGIKTVAIHSDVDASSAAEDVTFIGPDTHAIQAMGDKIES KLLAKRAKVNTIPGFDGVVKDADEAVRIAREIGYPVMIKASAG >ENSMUSP00000135665.1 pep:known chromosome:GRCm38:14:122582684:122889828:1 gene:ENSMUSG00000041650.15 transcript:ENSMUST00000177312.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcca description:propionyl-Coenzyme A carboxylase, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97499] XMDAIMEAIKKTRAQAVHPGYGFLSENKEFAKRLAAEDVTFIGPDTHAIQAMGDKIESKL LAKRAKVNTIPGFDGVVKDADEAVRIAREIGYPVMIKASAGGGGKGMRIAWDDEETRDGF RFSSQEAASSFGDDRLLIEKFIDNPRHIEIQVLGDKHGNALWLNERECSIQRRNQKVVEE APR >ENSMUSP00000135557.1 pep:known chromosome:GRCm38:14:122714029:122737995:1 gene:ENSMUSG00000041650.15 transcript:ENSMUST00000147018.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcca description:propionyl-Coenzyme A carboxylase, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97499] XLTLSERNQLLAIASSVFVASQLRAQRFQEHSSFT >ENSMUSP00000135266.1 pep:known chromosome:GRCm38:14:122714055:122889825:1 gene:ENSMUSG00000041650.15 transcript:ENSMUST00000152383.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcca description:propionyl-Coenzyme A carboxylase, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97499] LLAIASSVFVASQLRAQRFQEHSRVPVIRPDVAKWELSVKLHDEDHTVVASNNGPAFTVE VDGSKLNVTSTWNLASPLLSVNVDGTQRTVQCLSREAGGNMSIQFLGTVYKVHILTKLAA ELNKFMLEKVPKDTSSTLCSPMPGVVVAVSVKPGDMMPGEMSQERP >ENSMUSP00000135087.1 pep:known chromosome:GRCm38:14:122790399:122889820:1 gene:ENSMUSG00000041650.15 transcript:ENSMUST00000132444.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcca description:propionyl-Coenzyme A carboxylase, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97499] XLASPLLSVNVDGTQRTVQCLSREAGGNMSIQFLGTVYKVHILTKLAAELNKFMLEKVPK DTSSTLCSPMPGVVVAVSVKPGDMYF >ENSMUSP00000105117.1 pep:known chromosome:GRCm38:2:158306493:158310506:1 gene:ENSMUSG00000016024.9 transcript:ENSMUST00000109491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbp description:lipopolysaccharide binding protein [Source:MGI Symbol;Acc:MGI:1098776] MKAGTGPLLSTLLGLLFLSIQGTGGVNPGVVARITDKGLAYAAKEGLVALQRELYKITLP DFSGDFKIKAVGRGQYEFHSLEIQNCELRGSSLKLLPGQGLSLAISDSSIGVRGKWKVRK SFL >ENSMUSP00000016168.2 pep:known chromosome:GRCm38:2:158306493:158332852:1 gene:ENSMUSG00000016024.9 transcript:ENSMUST00000016168.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbp description:lipopolysaccharide binding protein [Source:MGI Symbol;Acc:MGI:1098776] MKAGTGPLLSTLLGLLFLSIQGTGGVNPGVVARITDKGLAYAAKEGLVALQRELYKITLP DFSGDFKIKAVGRGQYEFHSLEIQNCELRGSSLKLLPGQGLSLAISDSSIGVRGKWKVRK SFLKLHGSFDLDVKGVTISVDLLLGMDPSGRPTVSASGCSSRICDLDVHISGNVGWLLNL FHNQIESKLQKVLENKVCEMIQKSVTSDLQPYLQTLPVTAEIDNVLGIDYSLVAAPQAKA QVLDVMFKGEIFNRNHRSPVATPTPTMSLPEDSKQMVYFAISDYAFNIASRVYHQAGYLN FSITDDMLPHDSGIRLNTKAFRPFTPQIYKKYPDMKLELLGTVVSAPILNVSPGNLSLAP QMEIEGFVILPTSAREPVFRLGVVTNVFASLTFNNSKVTGMLHPDKAQVRLIESKVGMFN VNLFQAFLNYYLLNSLYPDVNAELAQGFPLPLPRHIQLHDLDFQIRKDFLYLGANVQYMR V >ENSMUSP00000118297.1 pep:known chromosome:GRCm38:2:158314206:158324642:1 gene:ENSMUSG00000016024.9 transcript:ENSMUST00000129811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbp description:lipopolysaccharide binding protein [Source:MGI Symbol;Acc:MGI:1098776] XENKVCEMIQKSVTSDLQPYLQTLPVTAEIDNVLGIDYSLVAAPQAKAQVLDVMFKGEIF NRNHRSPVATPTPTMSLPEDSKQMVYFAISDYAFNIASRVYHQAGYLNFSITDDMLPHDS GIRLNTKAFRPFTPQMEIEGFVILPT >ENSMUSP00000102691.3 pep:known chromosome:GRCm38:3:96696384:96705962:1 gene:ENSMUSG00000028101.18 transcript:ENSMUST00000107076.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias3 description:protein inhibitor of activated STAT 3 [Source:MGI Symbol;Acc:MGI:1913126] MVMSFRVSELQVLLGFAGRNKSGRKHELLAKALHLLKSSCAPSVQMKIKELYRRRFPRKT LGPSDLSLLSLPPGTSPVGSPGPLAPIPPTLLTPGTLLGPKREVDMHPPLPQPVHPDVTM KPLPFYEVYGELIRPTTLASTSSQRFEEAHFTFALTPQQLQQILTSREVLPGAKCDYTIQ VQLRFCLCETSCPQEDYFPPNLFVKVNGKLCPLPGYLPPTKNGAEPKRPSRPINITPLAR LSATVPNTIVVNWSSEFGRNYSLSVYLVRQLTAGTLLQKLRAKGIRNPDHSRALIKEKLT ADPDSEVATTSLRVSLMCPLGKMRLTVPCRALTCAHLQSFDAALYLQMNEKKPTWTCPVC DKKAPYESLIIDGLFMEILNSCSDCDEIQFMEDGSWCPMKPKKEASEVCPPPGYGLDGLQ YSAVQEGIQPESKKRVEVIDLTIESSSDEEDLPPTKKHCPVTSAAIPALPGSKGALTSGH QPSSVLRSPAMGTLGSDFLSSLPLHEYPPAFPLGADIQGLDLFSFLQTESQHYGPSVITS LDEQDTLGHFFQYRGTPSHFLGPLAPTLGSSHRSSTPAPPPGRVSSIVAPGSSLREGHGG PLPSGPSLTGCRSDVISLD >ENSMUSP00000125747.1 pep:known chromosome:GRCm38:3:96696442:96705474:1 gene:ENSMUSG00000028101.18 transcript:ENSMUST00000162934.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pias3 description:protein inhibitor of activated STAT 3 [Source:MGI Symbol;Acc:MGI:1913126] MVMSFRVSELQVLLGFAGRNKSGRKHELLAKALHLLKSSCAPSVQMKIKELYRRRFPRKT LGPSDLSLLSLPPGTSPVGSPGPLAPIPPTLLTPGTLLGPKREVDMHPPLPQPVHPDVTM KPLPFYEVYGELIRPTTLASTSSQRFEEAHFTFALTPQQLQQILTSREVLPGAKCDYTIQ VQLRFCLCETSCPQEDYFPPNLFVKVNGKLCPLPGYLPPTKNGAEPKRPSRPINITPLAR LSATVPNTIVVNWSSEFGRNYSLSVYLVRQLTAGTLLQKLRAKGIRNPDHSRALIKEKLT ADPDSEVATTSLRVSLMCPLGKMRLTVPCRALTCAHLQSFDAALYLQMNEKKPTWTCPVC DKKAPYESLIIDGLFMEILNSCSDCDEIQFMEDGSWCPMKPKKEASEVSSTAQSRREFSQ RVRRGSKSLT >ENSMUSP00000125377.2 pep:known chromosome:GRCm38:3:96697076:96699692:1 gene:ENSMUSG00000028101.18 transcript:ENSMUST00000162778.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias3 description:protein inhibitor of activated STAT 3 [Source:MGI Symbol;Acc:MGI:1913126] MVMSFRVSELQVLLGFAGRNKSGRKHELLAKALHLLKSSCAPSVQMKIKELYRRRFPRKT LGPSDLSLLSLPPGTSPVGSPGPLAPIPPT >ENSMUSP00000069259.9 pep:known chromosome:GRCm38:3:96697083:96706070:1 gene:ENSMUSG00000028101.18 transcript:ENSMUST00000064900.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias3 description:protein inhibitor of activated STAT 3 [Source:MGI Symbol;Acc:MGI:1913126] MAELGELKHMVMSFRVSELQVLLGFAGRNKSGRKHELLAKALHLLKSSCAPSVQMKIKEL YRRRFPRKTLGPSDLSLLSLPPGTSPVGSPGPLAPIPPTLLTPGTLLGPKREVDMHPPLP QPVHPDVTMKPLPFYEVYGELIRPTTLASTSSQRFEEAHFTFALTPQQLQQILTSREVLP GAKCDYTIQVQLRFCLCETSCPQEDYFPPNLFVKVNGKLCPLPGYLPPTKNGAEPKRPSR PINITPLARLSATVPNTIVVNWSSEFGRNYSLSVYLVRQLTAGTLLQKLRAKGIRNPDHS RALIKEKLTADPDSEVATTSLRVSLMCPLGKMRLTVPCRALTCAHLQSFDAALYLQMNEK KPTWTCPVCDKKAPYESLIIDGLFMEILNSCSDCDEIQFMEDGSWCPMKPKKEASEVCPP PGYGLDGLQYSAVQEGIQPESKKRVEVIDLTIESSSDEEDLPPTKKHCPVTSAAIPALPG SKGALTSGHQPSSVLRSPAMGTLGSDFLSSLPLHEYPPAFPLGADIQGLDLFSFLQTESQ HYGPSVITSLDEQDTLGHFFQYRGTPSHFLGPLAPTLGSSHRSSTPAPPPGRVSSIVAPG SSLREGHGGPLPSGPSLTGCRSDVISLD >ENSMUSP00000102692.3 pep:known chromosome:GRCm38:3:96697117:96705973:1 gene:ENSMUSG00000028101.18 transcript:ENSMUST00000107077.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias3 description:protein inhibitor of activated STAT 3 [Source:MGI Symbol;Acc:MGI:1913126] MAELGELKHMVMSFRVSELQVLLGFAGRNKSGRKHELLAKALHLLKSSCAPSVQMKIKEL YRRRFPRKTLGPSDLSLLSLPPGTSPPVHPDVTMKPLPFYEVYGELIRPTTLASTSSQRF EEAHFTFALTPQQLQQILTSREVLPGAKCDYTIQVQLRFCLCETSCPQEDYFPPNLFVKV NGKLCPLPGYLPPTKNGAEPKRPSRPINITPLARLSATVPNTIVVNWSSEFGRNYSLSVY LVRQLTAGTLLQKLRAKGIRNPDHSRALIKEKLTADPDSEVATTSLRVSLMCPLGKMRLT VPCRALTCAHLQSFDAALYLQMNEKKPTWTCPVCDKKAPYESLIIDGLFMEILNSCSDCD EIQFMEDGSWCPMKPKKEASEVCPPPGYGLDGLQYSAVQEGIQPESKKRVEVIDLTIESS SDEEDLPPTKKHCPVTSAAIPALPGSKGALTSGHQPSSVLRSPAMGTLGSDFLSSLPLHE YPPAFPLGADIQGLDLFSFLQTESQHYGPSVITSLDEQDTLGHFFQYRGTPSHFLGPLAP TLGSSHRSSTPAPPPGRVSSIVAPGSSLREGHGGPLPSGPSLTGCRSDVISLD >ENSMUSP00000134835.1 pep:known chromosome:GRCm38:3:96697194:96701691:1 gene:ENSMUSG00000028101.18 transcript:ENSMUST00000176302.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pias3 description:protein inhibitor of activated STAT 3 [Source:MGI Symbol;Acc:MGI:1913126] MVMSFRVSELQVLLGFAGRNKSGRKHELLAKALSVRWTCILLCRSLCTPMSP >ENSMUSP00000135843.1 pep:known chromosome:GRCm38:3:96704166:96705133:1 gene:ENSMUSG00000028101.18 transcript:ENSMUST00000162156.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias3 description:protein inhibitor of activated STAT 3 [Source:MGI Symbol;Acc:MGI:1913126] XFPLGADIQGLDLFSFLQTESQVSGHV >ENSMUSP00000141721.1 pep:known chromosome:GRCm38:1:191036639:191042935:1 gene:ENSMUSG00000057072.11 transcript:ENSMUST00000192151.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata45 description:spermatogenesis associated 45 [Source:MGI Symbol;Acc:MGI:1922808] MTSMNKGNEEKTQGANRKQLLEELNEKRESYCLVERSNEVNLLRVQKRHFSKAYQTLACM HIKESVPESTRTSWVKHDLSVHKEKRHFLPKNNAIFG >ENSMUSP00000077049.6 pep:known chromosome:GRCm38:1:191036819:191042942:1 gene:ENSMUSG00000057072.11 transcript:ENSMUST00000077889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata45 description:spermatogenesis associated 45 [Source:MGI Symbol;Acc:MGI:1922808] MTSMNKGNEEKTQGANRKQLLEELNEKRESYCLVERSNEVNLLRVQKRHFSKAYQTLACM HIKESVPESTRTSWVKHDLSVHKEKRHFLPKNNAIFG >ENSMUSP00000110886.3 pep:known chromosome:GRCm38:X:37082520:37085402:-1 gene:ENSMUSG00000079641.3 transcript:ENSMUST00000115231.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl39 description:ribosomal protein L39 [Source:MGI Symbol;Acc:MGI:1914498] MSSHKTFRIKRFLAKKQKQNRPIPQWIRMKTGNKIRYNSKRRHWRRTKLGL >ENSMUSP00000050996.4 pep:known chromosome:GRCm38:11:120826529:120932872:-1 gene:ENSMUSG00000048445.6 transcript:ENSMUST00000056781.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc57 description:coiled-coil domain containing 57 [Source:MGI Symbol;Acc:MGI:1918526] MLPLCSERELNELLARKEEEWRVLQAHRAQLQEAALQAAQNRLEETQGKLQRLQEDFVYN LQVLEERDRELERYDVEFTQARQREEAQQAEASELKIEVAKLKQDLTREARRVGELQHQH QLMLQEHRLELERVHSDKNSELAHQREQNERLEWELERKLKELDGELALQRQELLLEFES KMQRREHEFQLRADDMSNVVLTHELKIKLLNKELQALRDAGARAAESLQKAEAEHVELER KLQERARELQDLEAVKDARIKGLEKKLYSAQLAKKKAEETFRRKHEELDRQAREKDTVLA AVKRAHAEELQTLDAKVLELQFLCETLEGQLRRAECTRAEDAKEKNALTDKFREDAAALK AAWDAQITQMSKETVSKDFQIHTLQEEEMKLKAQVARFQQDIDRYKQQLSLAVERGQSLE REQVQLGLDWQRRCDDIERDQIQKSETLIEGLTKARDQVAAKLQETEKALRQQETLLKAV SLERDQAMETLRTHGLLPGQEAQVPPQQHEGEIRADSPSTEIQRLQEQNAGLRNAVSQMR REMEMLSGHLPPAQPEECSNADPDPKAGGDSTPPDYVLTLEAEMQNLKHKLKALEEQLQS TEEPVKTSVATADPHHGVHSSAAAADAALADQTSTALALRKLGDRVHLLNLLVTQLKRKL RQKPRELVPVQHEVPSEVDQVHLEVLELQKQVAELRKHLKVTPQGEPSSREQLQRQGVAD RYPMGMEDQTESPTFPQEGAQPPQTIYVTHLQRKLKDAARKILSLRLEREQLLEMGNRLR AEQGHAKGKPTPCPGPPTSEPQDPQEVPERSLDRGPPLGQLQPYSTTQDPRHTKRRCASE YAGKSQPHSAQVGSKTNTPRGHKAEMASRPAQLSQKQHRIPTETWKPVYQKENRTPKLPQ AHEVPEESDHRTHRSSSLASSSLQDIWRLLELGSSPSGVPSQDNSVAECPAPSRPSCFQK VNRSPVPIQKAFAVKGLKMEAQPKATPPRPSKSHPAKPTNCQQQRPSRIRNYNLKD >ENSMUSP00000044877.4 pep:known chromosome:GRCm38:6:4076899:4086972:-1 gene:ENSMUSG00000032757.6 transcript:ENSMUST00000049166.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bet1 description:Bet1 golgi vesicular membrane trafficking protein [Source:MGI Symbol;Acc:MGI:1343104] MRRAGLGDGAPPGSYGNYGYANTGYNACEEENDRLTESLRSKVTAIKSLSIEIGHEVKNQ NKLLAEMDSQFDSTTGFLGKTMGRLKILSRGSQTKLLCYMMLFSLFVFFVIYWIIKLR >ENSMUSP00000002101.5 pep:known chromosome:GRCm38:9:44969572:44980431:-1 gene:ENSMUSG00000002033.13 transcript:ENSMUST00000002101.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd3g description:CD3 antigen, gamma polypeptide [Source:MGI Symbol;Acc:MGI:88333] MEQRKGLAGLFLVISLLQGTVAQTNKAKNLVQVDGSRGDGSVLLTCGLTDKTIKWLKDGS IISPLNATKNTWNLGNNAKDPRGTYQCQGAKETSNPLQVYYRMCENCIELNIGTISGFIF AEVISIFFLALGVYLIAGQDGVRQSRASDKQTLLQNEQLYQPLKDREYDQYSHLQGNQLR KK >ENSMUSP00000125151.1 pep:known chromosome:GRCm38:9:44973620:44980431:-1 gene:ENSMUSG00000002033.13 transcript:ENSMUST00000160886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd3g description:CD3 antigen, gamma polypeptide [Source:MGI Symbol;Acc:MGI:88333] MEQRKGLAGLFLVISLLQAKNLVQVDGSRGDGSVLLTCGLTDKTIKWLKDGSIISPLNAT KNTWNLGNNAKDPRGTYQCQGAKETSNPLQVYYRMCENCI >ENSMUSP00000032738.5 pep:known chromosome:GRCm38:7:63098692:63212513:-1 gene:ENSMUSG00000030525.8 transcript:ENSMUST00000032738.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna7 description:cholinergic receptor, nicotinic, alpha polypeptide 7 [Source:MGI Symbol;Acc:MGI:99779] MCGRRGGIWLALAAALLHVSLQGEFQRRLYKELVKNYNPLERPVANDSQPLTVYFSLSLL QIMDVDEKNQVLTTNIWLQMSWTDHYLQWNMSEYPGVKNVRFPDGQIWKPDILLYNSADE RFDATFHTNVLVNASGHCQYLPPGIFKSSCYIDVRWFPFDVQQCKLKFGSWSYGGWSLDL QMQEADISSYIPNGEWDLMGIPGKRNEKFYECCKEPYPDVTYTVTMRRRTLYYGLNLLIP CVLISALALLVFLLPADSGEKISLGITVLLSLTVFMLLVAEIMPATSDSVPLIAQYFAST MIIVGLSVVVTVIVLRYHHHDPDGGKMPKWTRIILLNWCAWFLRMKRPGEDKVRPACQHK PRRCSLASVELSAGAGPPTSNGNLLYIGFRGLEGMHCAPTPDSGVVCGRLACSPTHDEHL MHGTHPSDGDPDLAKILEEVRYIANRFRCQDESEVICSEWKFAACVVDRLCLMAFSVFTI ICTIGILMSAPNFVEAVSKDFA >ENSMUSP00000028741.7 pep:known chromosome:GRCm38:2:120609383:120619906:1 gene:ENSMUSG00000027285.13 transcript:ENSMUST00000028741.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Haus2 description:HAUS augmin-like complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1913546] MAAANPWDPASSQTAAGLLLNHLVASGIVTKEMLDVSKKMAPCFVNFSRLQQISDIQAEI YQLRNVMFCKA >ENSMUSP00000117299.1 pep:known chromosome:GRCm38:2:120609383:120621560:1 gene:ENSMUSG00000027285.13 transcript:ENSMUST00000124187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus2 description:HAUS augmin-like complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1913546] MAAANPWDPASSQTAAGLLLNHLVASGIVTKEMLDVSKKMAPCFVNFSRLQQISDIQAEI YQNNLELELLKLEKDTADLIHPSHLIEKCDVLQSMNNHLEAVLKEKHAIRQRLLRPMCQE NLPLEAVYHRYVVHMLDLAVTFIEKFETHLETVKNSPHLDANLKQMSKALAKMDILVNKT EELAENILKWRELQTEISLYIPKMLTEERHLHELDIVPPLPFFPKAHTETSRAK >ENSMUSP00000106334.1 pep:known chromosome:GRCm38:2:120609595:120621560:1 gene:ENSMUSG00000027285.13 transcript:ENSMUST00000110706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus2 description:HAUS augmin-like complex, subunit 2 [Source:MGI Symbol;Acc:MGI:1913546] MLDVSKKMAPCFVNFSRLQQISDIQAEIYQNNLELELLKLEKDTADLIHPSHLIEKCDVL QSMNNHLEAVLKEKHAIRQRLLRPMCQENLPLEAVYHRYVVHMLDLAVTFIEKFETHLET VKNSPHLDANLKQMSKALAKMDILVNKTEELAENILKWRELQTEISLYIPKMLTEERHLH ELDIVPPLPFFPKAHTETSRAK >ENSMUSP00000146946.1 pep:known chromosome:GRCm38:8:71520120:71525711:-1 gene:ENSMUSG00000108900.1 transcript:ENSMUST00000207913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-457J22.1 MAEPGPEPGRAWRLLALCGAAVFLAAAAAGGALVAWNLAASTARSPRCPEPEQMNATVRP PDSAPEVEELRRRLAEAEQAQENLVWQLQRAEGDKRELEAALKACKDSQSRLQTQLKALK IEMDQAKVRGTQMGLENGMLTEALVRWEATATESKQQLEEALQRAGAAEAAGEACVSREV ALREHIYALEAELGTLRKESRLRPRSGSRTKPSISHRPKSGSTKGCRRPPRDPQ >ENSMUSP00000136864.1 pep:known chromosome:GRCm38:4:42522580:42528175:-1 gene:ENSMUSG00000094066.1 transcript:ENSMUST00000180201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam205a2 description:family with sequence similarity 205, member A2 [Source:MGI Symbol;Acc:MGI:3701946] MLSTMCFLWDTECPLYVYFCFFIIVLIVWQVRQNYQGLKCENRRSCCRRHQKVRQRAKDA ASRARRLSREEDEKPCELLSIMKSQSWVPKQGNVRQLLCLDPSCQICEATTLEIRQLLQS KKSQISPALLGLPQRAACLEMPISSESFEWNQDFYSRYSTNSPVVPGNQTLTQLTEELTE STNADGVLLCWTDPLQIGQEFHLADMPMASETLVSPGLEEPVVLMNEEDTVHSNLNYIQQ LQDHEALNSQIPFQTLTPQLTVTHPMAVSIVTDAPQPFLSPEVLRLLEIHVKKLMHFQRW GLPRRVEESLKQFMPNPPVYLPPEHNQPVSFILNTSSQDCVHRFEGISPETWYSYMDGQP IQTFWVSEWSSGDQGQRLSCKPIPSPVEKPLLTPDYELLHDLCLSPEGQVDGSQSNLQKK FTQLFCGLPSMHSESLGSTFLCTQGVSKNTLKPPYKEPHFLKVSPPIPLPEAAPPPSSTS PNESLDEPQRAQIGGVPFLTLSECKTLEWHLLQRQLQLQWGLPAVIARPPRVQSHTQYKH KPWNKAKPRETLKFFGPGKPFSALTRELFFIPQHARRLLEFHLQKRLIHLRWGLPQRIQR SINMLLSSTDLQSLPCGGSRLPNVSISQPGKPEAYGSGDTFLPTAGKGTTPMPHLFAKAQ EMLKSHDTKCEQIREGKVPACVQSAWKGRIPGDLAAGTLFPNIPQGQPLELQAENNPDLH QEAVSWKPMDLDQEAQAFSGVFIEHCRRPQALSEETIKKLETTLRHKYLAFLSGLQALYC MAPTKATSPTVDQSVITTMPWSVKSPQKPLSQKSPLEALCLSGLEPCTQDDKETSANIAE EFQHGAQGHGRTEKVPPERQPLLNRPYSLDTEIMERVSFYLKRKALDIKLGISLKESVFQ EPTATDLESESVQEPLGSPRESTLLQGPPTLCHVPVAPDPDKVCLKQPATAVQVVFQEQN QPSSRAVPHRSARQGSQVHRNMMEAQVHYVQMGTGGEMLNLGEPFSTESQSPGKSKSGYV PTVAGKRKIPGKPKVVGDLGEGDAGLGFSLVSLKTRQDGEQEKRLLHRQLQGSSLQAQTF HLEGACPHSPQESPELQFADPPPEVFMETDSEQDMEDSQSKESIVPEPARTAKAPQPMLS RASQGLPFPRSPTQRKPSQGQPGPGHVPPGHATPASPYTRPSRLPEAGLKNKMKLFFHSI KLKMKSKAHTEPSTVSTPGKVAKTSKENIDRGLPQAKSPTKKTKPEDFRGPKAQFSVVGP CLTPSYILDSKFWPRPRRVGSVSVLGHSYHCPRHCPRLAYANQQRNPP >ENSMUSP00000132993.1 pep:known chromosome:GRCm38:12:87618869:87622955:1 gene:ENSMUSG00000092019.2 transcript:ENSMUST00000164838.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4027 description:predicted gene 4027 [Source:MGI Symbol;Acc:MGI:3782201] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGWLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNRADIILKYNLDEARSLKAYGELPEHAKINEMDT FGAGDDEEIVFDDIGEDDEDIDDI >ENSMUSP00000084409.4 pep:known chromosome:GRCm38:17:36639285:36642666:-1 gene:ENSMUSG00000067201.11 transcript:ENSMUST00000087165.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M9 description:histocompatibility 2, M region locus 9 [Source:MGI Symbol;Acc:MGI:1276570] MKNFESQPLLLLFMVTLVIAKHPNGSHTLRFVSTFLSWPRHLELQFIFLIYVDETQIMGF NSISESQRMESRVPWLNELNAEFWELATQDVLKEKSFVTGIMNKLLHIYNDSMTGYHIIQ ETYGCQVKQRTYFSHAFMELLFDTHDYITLNEDLQTWRAVGKAAEIVKEEWEKINLVKSS KSFLLGACVEGLLQYLNFGKKYLLRTDPPEPTIPFLPMIIALVLGALLMGSVMTFLIWKR RTRG >ENSMUSP00000084411.4 pep:known chromosome:GRCm38:17:36640406:36642666:-1 gene:ENSMUSG00000067201.11 transcript:ENSMUST00000087167.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M9 description:histocompatibility 2, M region locus 9 [Source:MGI Symbol;Acc:MGI:1276570] MKNFESQPLLLLFMVTLVIAKHPNGSHTLRFVSTFLSWPRHLELQFIFLIYVDETQIMGF NSISESQRMESRVPWLNELNAEFWELATQDVLKEKSFVTGIMNKLLHIYNDSMTGYHIIQ ETYGCQVKQRTYFSHAFMELLFDTHDYITLNEDLQTWRAVGKAAEIVKEEWEKINLVKSS KSFLLGACVEGLLQYLNFGKKYLLRTDTPKIHMTYKIRPDRKITLRCWAFNFYPPEITLT WQRDGSNQTQDMEVIEIRPSGDGTFQKWAAVVVSSGEEHRYTCHVNHEGLSEPVTLRWDP PEPTIPFLPMIIALVLGALLMGSVMTFLIWKRRTRGKKGSWS >ENSMUSP00000032902.4 pep:known chromosome:GRCm38:7:114718643:114723365:1 gene:ENSMUSG00000030666.11 transcript:ENSMUST00000032902.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcb description:calcitonin-related polypeptide, beta [Source:MGI Symbol;Acc:MGI:2151254] MDFWKFFPFLALSSIWVLCLASSLQAAPFRSALESSLDLGTLGDQEKHLLLAALMQDYEQ MKARKLEQEEQETKGSRVTAQKRSCNTATCVTHRLADLLSRSGGVLKDNFVPTDVGSEAF GRRRRRDLQA >ENSMUSP00000138713.1 pep:known chromosome:GRCm38:7:114718675:114723063:1 gene:ENSMUSG00000030666.11 transcript:ENSMUST00000182816.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcb description:calcitonin-related polypeptide, beta [Source:MGI Symbol;Acc:MGI:2151254] MDFWKFFPFLALSSIWVLCLASSLQAAPFRSALESSLDLGTLGDQEKHLLLAALMQDYEQ MKARKLEQEEQETKGSRNDIKHAKDQISTSELMLQMLFLTSASVTAQKRSCNTATCVTHR LADLLSRSGGVLKDNFVPTDVGSEAFGRRRRRDLQA >ENSMUSP00000138590.1 pep:known chromosome:GRCm38:7:114719511:114720139:1 gene:ENSMUSG00000030666.11 transcript:ENSMUST00000182044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcb description:calcitonin-related polypeptide, beta [Source:MGI Symbol;Acc:MGI:2151254] MDFWKFFPFLALSSIWVLCLASSLQAAPFRSALESSLDLGTLGDQEKHLLLA >ENSMUSP00000105774.2 pep:known chromosome:GRCm38:12:87874072:87877859:1 gene:ENSMUSG00000072905.5 transcript:ENSMUST00000110147.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2016 description:predicted gene 2016 [Source:MGI Symbol;Acc:MGI:3780185] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGRLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNKADVILKYNPDEARSLKAYGELPEHAKINEMDT FGARDDDEIVFDDIGEDDEDIDDI >ENSMUSP00000047655.3 pep:known chromosome:GRCm38:X:112638431:112698651:-1 gene:ENSMUSG00000034607.6 transcript:ENSMUST00000039887.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pof1b description:premature ovarian failure 1B [Source:MGI Symbol;Acc:MGI:1916943] MMSSSYWSETSSSSCGTQQPTEVLQCQPQHYHYYHQPSQAQQPPEKNVVYERVRTYSGPM NKVVQALDPLGSREVLSPLKPASSYQSLVWSDHSQELYSPTLKISTCAPSTLHITQNAEQ ELHSPTVKVTTYPQTTIRRYIVQNPEQEPLSPFLRGSQFFPGNNVIYEKTIRKVEKLNTD QECCPQIQCHHHVIQQPQIIHSPHCQQSHSSHQIQCITENDSNIGHELCHGGPSQIHEQV IIQDDGPEKLDPKYFGELLADLSRKNTDLYHCLLEHLERIGGSKQDFESTDTSEDIESLI PKGLSEFTKQQIRYILQMRGMSDKSLRLVLSTFSNIREELGHLQNDLTSLENDKIRLEKD LAFKENQMKEYEELLASVRANNRQQQQGLQDSSAKCQSLEENNLSLRHTLSDLEYRLKEL EYCKRNLEQENKNLRIQVSETCTGPTLQAKMDEIGNHYMEMVKNLRLDKDREISKLRSQL NQYQKDVSKREGSCSDFQFKLHELTSLLEEKDSLIKRQSEELSKLRQEIYSSHNQPSCGG RTTITTKKYRTQYPILGLLYDDYEYIPPGSDTQTIVIEKTEDKWTCP >ENSMUSP00000144654.1 pep:known chromosome:GRCm38:5:149614287:149636171:-1 gene:ENSMUSG00000029657.15 transcript:ENSMUST00000201452.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsph1 description:heat shock 105kDa/110kDa protein 1 [Source:MGI Symbol;Acc:MGI:105053] MSVVGLDVGSQSCYIAVARAGGIETIANEFSDRCTPSVISFGSKNRTIGVAAKNQQITHA NNTVSSFKRFHGRAFNDPFIQKEKENLSYDLVPMKNGGVGIKVMYMDEEHFFSVEQITAM LLTKLKETAENNLKKPVTDCVISVPSFFTDAERRSVLDAAQIVGLNCLRLMNDMTAVALN YGIYKQDLPNAEEKPRVVVFVDMGHSSFQVSACAFNKGKLKVLGTAFDPFLGGKNFDEKL VEHFCAEFKTKYKLDAKSKIRALLRLHQECEKLKKLMSSNSTDLPLNIECFMNDKDVSGK MNRSQFEELCAELLQKIEVPLHSLMAQTQLKAEDVSAIEIVGGATRIPAVKERIAKFFGK DVSTTLNADEAVARGCALQCAILSPAFKVREFSVTDAVPFPISLVWNHDSEETEGVHEVF SRNHAAPFSKVLTFLRRGPFELEAFYSDPQGVPYPEAKIGRFVVQNVSAQKDGEKSRVKV KVRVNTHGIFTISTASMVEKVPTEEEDGSSLEADMECPNQRPTESSDVDKNIQQDNSEAG TQPQVQTDGQQTSQSPPSPELTSEESKTPDADKANEKKVDQPPEAKKPKIKVVNVELPVE ANLVWQLGRDLLNMYIETEGKMIMQDKLEKERNDAKNAVEECVYEFRDKLCGPYEKFICE QEHEKFLRLLTETEDWLYEEGEDQAKQAYIDKLEELMKMGTPVKVRFQEAEERPKVLEEL GQRLQHYAKIAADFRGKDEKYNHIDESEMKKVEKSVNEVMEWMNNVMNAQAKRSLDQDPV VRTHEIRAKVKELNNVCEPVVTQPKPKIESPKLERTPNGPNIDKKEDLEGKNNLGAEAPH QNGECHPNEKGSVNMDLD >ENSMUSP00000144413.1 pep:known chromosome:GRCm38:5:149616544:149636338:-1 gene:ENSMUSG00000029657.15 transcript:ENSMUST00000202361.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsph1 description:heat shock 105kDa/110kDa protein 1 [Source:MGI Symbol;Acc:MGI:105053] MSVVGLDVGSQSCYIAVARAGGIETIANEFSDRCTPSVISFGSKNRTIGVAAKNQQITHA NNTVSSFKRFHGRAFNDPFIQKEKENLSYDLVPMKNGGVGIKVMYMDEEHFFSVEQITAM LLTKLKETAENNLKKPVTDCVISVPSFFTDAERRSVLDAAQIVGLNCLRLMNDMTAVALN YGIYKQDLPNAEEKPRVVVFVDMGHSSFQVSACAFNKGKLKVLGTAFDPFLGGKNFDEKL VEHFCAEFKTKYKLDAKSKIRALLRLHQECEKLKKLMSSNSTDLPLNIECFMNDKDVSGK MNRSQFEELCAELLQKIEVPLHSLMAQTQLKAEDVSAIEIVGGATRIPAVKERIAKFFGK DVSTTLNADEAVARGCALQCAILSPAFKVREFSVTDAVPFPISLVWNHDSEETEGVHEVF SRNHAAPFSKVLTFLRRGPFELEAFYSDPQGVPYPEAKIGRFVVQNVSAQKDGEKSRVKV KVRVNTHGIFTISTASMVEKVPTEEEDGSSLEADMECPNQRPTESSDVDKNIQQDNSEAG TQPQVQTDGQQTSQSPPSPELTSEESKTPDADKANEKKVDQPPEAKKPKIKVVNVELPVE ANLVWQLGRDLLNMYIETEGKMIMQDKLEKERNDAKNAVEECVYEFRDKLCGPYEKFICE QEHEKFLRLLTETEDWLYEEGEDQAKQAYIDKLEELMKMGTPVKVRFQEAEERPKVLEEL GQRLQHYAKIAADFRGKDEKYNHIDESEMKKVEKSVNEVMEWMNNVMNAQAKRSLDQDPV VRTHEIRAKVKELNNVCEPVVTQPKPKIESPKLERTPNGPNIDKKEDLEGKNNLGAEAPH QNGECHPNEKGSVNMDLD >ENSMUSP00000074392.8 pep:known chromosome:GRCm38:5:149616845:149636209:-1 gene:ENSMUSG00000029657.15 transcript:ENSMUST00000074846.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsph1 description:heat shock 105kDa/110kDa protein 1 [Source:MGI Symbol;Acc:MGI:105053] MSVVGLDVGSQSCYIAVARAGGIETIANEFSDRCTPSVISFGSKNRTIGVAAKNQQITHA NNTVSSFKRFHGRAFNDPFIQKEKENLSYDLVPMKNGGVGIKVMYMDEEHFFSVEQITAM LLTKLKETAENNLKKPVTDCVISVPSFFTDAERRSVLDAAQIVGLNCLRLMNDMTAVALN YGIYKQDLPNAEEKPRVVVFVDMGHSSFQVSACAFNKGKLKVLGTAFDPFLGGKNFDEKL VEHFCAEFKTKYKLDAKSKIRALLRLHQECEKLKKLMSSNSTDLPLNIECFMNDKDVSGK MNRSQFEELCAELLQKIEVPLHSLMAQTQLKAEDVSAIEIVGGATRIPAVKERIAKFFGK DVSTTLNADEAVARGCALQCAILSPAFKVREFSVTDAVPFPISLVWNHDSEETEGVHEVF SRNHAAPFSKVLTFLRRGPFELEAFYSDPQGVPYPEAKIGRFVVQNVSAQKDGEKSRVKV KVRVNTHGIFTISTASMVEKVPTEEEDGSSLEADMECPNQRPTESSDVDANEKKVDQPPE AKKPKIKVVNVELPVEANLVWQLGRDLLNMYIETEGKMIMQDKLEKERNDAKNAVEECVY EFRDKLCGPYEKFICEQEHEKFLRLLTETEDWLYEEGEDQAKQAYIDKLEELMKMGTPVK VRFQEAEERPKVLEELGQRLQHYAKIAADFRGKDEKYNHIDESEMKKVEKSVNEVMEWMN NVMNAQAKRSLDQDPVVRTHEIRAKVKELNNVCEPVVTQPKPKIESPKLERTPNGPNIDK KEDLEGKNNLGAEAPHQNGECHPNEKGSVNMDLD >ENSMUSP00000144297.1 pep:known chromosome:GRCm38:5:149617169:149636338:-1 gene:ENSMUSG00000029657.15 transcript:ENSMUST00000202089.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsph1 description:heat shock 105kDa/110kDa protein 1 [Source:MGI Symbol;Acc:MGI:105053] MSVVGLDVGSQSCYIAVARAGGIETIANEFSDRCTPSVISFGSKNRTIGVAAKNQQITHA NNTVSSFKRFHGRAFNDPFIQKEKENLSYDLVPMKNGGVGIKVPSFFTDAERRSVLDAAQ IVGLNCLRLMNDMTAVALNYGIYKQDLPNAEEKPRVVVFVDMGHSSFQVSACAFNKGKLK VLGTAFDPFLGGKNFDEKLVEHFCAEFKTKYKLDAKSKIRALLRLHQECEKLKKLMSSNS TDLPLNIECFMNDKDVSGKMNRSQFEELCAELLQKIEVPLHSLMAQTQLKAEDVSAIEIV GGATRIPAVKERIAKFFGKDVSTTLNADEAVARGCALQCAILSPAFKVREFSVTDAVPFP ISLVWNHDSEETEGVHEVFSRNHAAPFSKVLTFLRRGPFELEAFYSDPQGVPYPEAKIGR FVVQNVSAQKDGEKSRVKVKVRVNTHGIFTISTASMVEKVPTEEEDGSSLEADMECPNQR PTESSDVDKNIQQDNSEAGTQPQVQTDGQQTSQSPPSPELTSEESKTPDADKANEKKVDQ PPEAKKPKIKVVNVELPVEANLVWQLGRDLLNMYIETEGKMIMQDKLEKERNDAKNAVEE CVYEFRDKLCGPYEKFICEQEHEKFLRLLTETEDWLYEEGEDQAKQAYIDKLEELMKMGT PVKVRFQEAEERPKVLEELGQRLQHYAKIAADFRGKDEKYNHIDESEMKKVEKSVNEVME WMNNVMNAQAKRSLDQDPVVRTHEIRAKVKELNNVCEPVVTQPKPKIESPKLERTPNGPN IDKKEDLEGKNNLGAEAPHQNGECHPNEKGSVNMDLD >ENSMUSP00000144043.1 pep:known chromosome:GRCm38:5:149629984:149636376:-1 gene:ENSMUSG00000029657.15 transcript:ENSMUST00000201559.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsph1 description:heat shock 105kDa/110kDa protein 1 [Source:MGI Symbol;Acc:MGI:105053] MKNGGVGIKVMYMDEEHFFSVEQITAMLLTKLKETAENNLKKPVTDCVISVPSFFTDAER RSVLDAAQIVGLNCLRLMNDMTAVALNYGIYKQDLPNAEEKPRVVVFVDMGHSSFQVSAC AFNKGKLKVLGTAFDPFLGGKNFD >ENSMUSP00000143925.1 pep:known chromosome:GRCm38:5:149630440:149636290:-1 gene:ENSMUSG00000029657.15 transcript:ENSMUST00000200805.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsph1 description:heat shock 105kDa/110kDa protein 1 [Source:MGI Symbol;Acc:MGI:105053] MKNGGVGIKVMYMDEEHFFSVEQITAMLLTKLKETAENNLKKPVTDCVISVPSFFTDAER RSVLDAAQIVGLNCLRLMNDMTAVALNYGIYKQD >ENSMUSP00000143913.1 pep:known chromosome:GRCm38:5:149632039:149636351:-1 gene:ENSMUSG00000029657.15 transcript:ENSMUST00000200825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsph1 description:heat shock 105kDa/110kDa protein 1 [Source:MGI Symbol;Acc:MGI:105053] MSVVGLDVGSQSCYIAVARAGGIETIANEFSDRCTPSVISFGSKNRTIGVAAKNQQITHA NNTVSSFKRFHGRAFNDPFIQKEKENLSYDLVPMKNGGVG >ENSMUSP00000105776.1 pep:known chromosome:GRCm38:12:87816577:87820676:1 gene:ENSMUSG00000079031.1 transcript:ENSMUST00000110149.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BB287469 description:expressed sequence BB287469 [Source:MGI Symbol;Acc:MGI:3034635] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGWLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNRADIILKYNLDEARSLKAYGELPEHAKINEMDT FGAGDDEEIVFDDIGEDDEDIDDI >ENSMUSP00000006104.3 pep:known chromosome:GRCm38:11:73160421:73172685:1 gene:ENSMUSG00000005950.14 transcript:ENSMUST00000006104.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx5 description:purinergic receptor P2X, ligand-gated ion channel, 5 [Source:MGI Symbol;Acc:MGI:2137026] MGQAAWKGFVLSLFDYKTAKFVVAKSKKVGLLYRVLQLTILLYLLIWVFLIKKSYQDIDT SLQSAVVTKVKGVAYTNTTMLGERLWDVADFVIPSQGENVFFVVTNLIVTPNQRQGICAE REGIPDGECSEDTDCHAGESVVAGHGLKTGRCLRVGNSTRGTCEIFAWCPVETKSMPTDP LLKDAEGFTIFIKNFIRFPKFNFSKANVLETGNKHFLKTCHFSSTNLYCPIFRLGSIVRW AGADFQDIALKGGVIGIHIEWDCDLDKAASHCNPHYYFNRLDNKHTQSISSGYNFRFARY YRDPHGVEFRDLMKAYGIRFDVIVNGKAGKFSIIPTVINIGSGLALMGAGAFFCDLVLIY LIRKSEFYRDKKFEKVRGQKEEDNVEVEANEMEQELPEDKPLERVHQDEQALELAQSGRK QNSNCQVLFEPARSGLQENAFVNMKPSQILQTVKT >ENSMUSP00000118448.1 pep:known chromosome:GRCm38:11:73160585:73170498:1 gene:ENSMUSG00000005950.14 transcript:ENSMUST00000135202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx5 description:purinergic receptor P2X, ligand-gated ion channel, 5 [Source:MGI Symbol;Acc:MGI:2137026] MGQAAWKGFVLSLFDYKTAKFVVAKSKKVGLLYRVLQLTILLYLLIWVFLIKKSYQDIDT SLQSAVVTKVKGVAYTNTTMLGERLWDVADFVIPSQREGIPDGECSEDTDCHAGESVVAG HGLKTGRCLRVGNSTRGTCEIFAWCPVETKSMPTDPLLKDAEGFTIFIKNFIRFPKFNFS KANVLETGNKHFLKTCHFSSTNLYCPIFRLGSIVRWAGADFQDIALKGGVIGIHIEWDCD LDKAASHCNPHYYFNRLDNKHTQSISSGYNFRFARYYRDPHGVEFRDLMKAYGIRFDVIV NGKGAFFCDLVLIYLIRKSEFYRDKKFEKV >ENSMUSP00000121834.1 pep:known chromosome:GRCm38:11:73160585:73170498:1 gene:ENSMUSG00000005950.14 transcript:ENSMUST00000136894.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx5 description:purinergic receptor P2X, ligand-gated ion channel, 5 [Source:MGI Symbol;Acc:MGI:2137026] MGQAAWKGFVLSLFDYKTAKFVVAKSKKVGLLYRVLQLTILLYLLIWVFLIKKSYQDIDT SLQSAVVTKVKGVAYTNTTMLGERLWDVADFVIPSQGENVFFVVTNLIVTPNQRQGICAE REGIPDGECSEDTDCHAGESVVAGHGLKTGRCLRVGNSTRGTCEIFAWCPVETKSMPTDP LLKDAEGFTIFIKNFIRFPKFNFSKANVLETGNKHFLKTCHFSSTNLYCPIFRLGSIVRW AGADFQDIALKGGVIGIHIEWDCDLDKAASHCNPHYYFNRLDNKHTQSISSGYNFRFARY YRDPHGVEFRDLMKAYGIRFDVIVNGKGAFFCDLVLIYLIRKSEFYRDKKFEKV >ENSMUSP00000023826.4 pep:known chromosome:GRCm38:14:34370274:34374789:-1 gene:ENSMUSG00000023064.4 transcript:ENSMUST00000023826.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sncg description:synuclein, gamma [Source:MGI Symbol;Acc:MGI:1298397] MDVFKKGFSIAKEGVVGAVEKTKQGVTEAAEKTKEGVMYVGTKTKENVVQSVTSVAEKTK EQANAVSEAVVSSVNTVANKTVEEAENIVVTTGVVRKEDLEPPAQDQEAKEQEENEEAKS GED >ENSMUSP00000137889.1 pep:known chromosome:GRCm38:12:87792580:87796877:1 gene:ENSMUSG00000097581.1 transcript:ENSMUST00000181313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21936 description:predicted gene, 21936 [Source:MGI Symbol;Acc:MGI:5439387] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGRLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNKADVILKYNPEEARNLKAYGELPEHAKINEMDT FGPGDDDEIVFDDIGDEDEDTDDI >ENSMUSP00000099506.4 pep:known chromosome:GRCm38:4:148960535:149099876:-1 gene:ENSMUSG00000028975.16 transcript:ENSMUST00000103217.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex14 description:peroxisomal biogenesis factor 14 [Source:MGI Symbol;Acc:MGI:1927868] MASSEQAEQPNQPSSPPGSENVVPREPLIATAVKFLQNSRVRQSPLATRRAFLKKKGLTD EEIDLAFQQSGTAADEPSPLGPATPVVPVQPPHLTPQPYSPRGSRWRDYGALAIIMAGIA FGFHQLYKRYLLPLILGGREDRKQLERMAASLSELSGTVAQTVTQVQTTLASVQELLRQQ QQKVQELAHELATAKATTSTNWILESQNINELKSEINSLKGLLLNRRQFPPSPSAPKIPS WQIPVKSSSPSSPAAVNHHSSSDISPVSNESTSSSPGKDSHSPEGSTATYHLLGPQEEGE GVLDVKGQVRMEVQGEEEKREDKEDEDDEDDDVSHVDEEDVLGVQREDRRGGDGQINEQV EKLRRPEGASNETERD >ENSMUSP00000116791.1 pep:known chromosome:GRCm38:4:148984105:149099809:-1 gene:ENSMUSG00000028975.16 transcript:ENSMUST00000134747.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pex14 description:peroxisomal biogenesis factor 14 [Source:MGI Symbol;Acc:MGI:1927868] MASSEQAEQPNQPSSPPGSENVVPREPLIATAVKFLQNSRVRQSPLATRRAFLKKKAAHT GQISHRQDCAELTVMVLK >ENSMUSP00000126351.2 pep:known chromosome:GRCm38:12:87772425:87775755:-1 gene:ENSMUSG00000095724.1 transcript:ENSMUST00000164517.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21319 description:predicted gene, 21319 [Source:MGI Symbol;Acc:MGI:5434674] MPKNKGKGGKNRCRGKNENESEKRELVFKEYGQEYAQVTKMLGCGRLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILVGLQDYQDNKADVILKYNLDEARSLKAYGELQEHAKINETDT FGPGDDDEIVFDDTGDDDEDIDDI >ENSMUSP00000059261.9 pep:known chromosome:GRCm38:1:7088920:7173628:1 gene:ENSMUSG00000051285.17 transcript:ENSMUST00000061280.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmtd1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:2441773] MGGAVSAGEDNDDLIDNLKEAQYIRTERVEQAFRAIDRGDYYLEGYRDNAYKDLAWKHGN IHLSAPCIYSEVMEALKLQPGLSFLNLGSGTGYLSTMVGLILGPFGINHGIELHSDVVEY AKEKLESFIKNSDSFDKFEFCEPAFVVGNCLQIASDSHQYDRIYCGAGVQKDHENYMKIL LKVGGILVMPIEDQLTQIMRTGQNTWESKNILAVSFAPLVQPSKNDNGTPDSVGLPPCAV RNLQDLARIYIRRTLRNFINDEMQAKGIPQRAPPKRKRKRVKQRINTYVFVGNQLIPQPL DSEEDEKMEEDSKEEEEKEHIEAMKREEPPQNLLREKIMKLPLPESLKAYLTYFRDK >ENSMUSP00000138680.1 pep:known chromosome:GRCm38:1:7088930:7169598:1 gene:ENSMUSG00000051285.17 transcript:ENSMUST00000182114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmtd1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:2441773] MKILLKVGGILVMPIEDQLTQIMRTGQNTWESKNILAVSFAPLVQPSKNDNGTPDSVGLP PCAVRNLQDLARIYIRRTLRNFINDEM >ENSMUSP00000138149.1 pep:known chromosome:GRCm38:1:7147637:7170076:1 gene:ENSMUSG00000051285.17 transcript:ENSMUST00000182675.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcmtd1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:2441773] XPFGINHGIELHSDVVEYAKEKLESFIKNSDSFDNQLLDLDYPSKREATGQG >ENSMUSP00000048443.6 pep:known chromosome:GRCm38:17:88530118:88588367:1 gene:ENSMUSG00000034709.8 transcript:ENSMUST00000038551.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r21 description:protein phosphatase 1, regulatory subunit 21 [Source:MGI Symbol;Acc:MGI:1921075] MASAELQGKYQKLAQEYSKLRAQNQVLKKGVVDEQASSAALKEQLKMKDQSLRKLQQEMD SLTFRNLQLAKRVELLQDELALSEPRGKKNKKSGESSSQLSQEQKSVFDEDLQKKIEENE RLHIQFFEADEHHRHVEAELRSRLATLETEAAQHQAVIDGLTRKYMETIEKLQSDKAKLE VKSQTLEKEAKECRLRTEECQLQLKNLHEDLSGRLEESLSIINEKVPFNDTKCHLYNALN VPLHNRRHQLKMRDIAGQALAFVQDLVPALLNFHTYTEQRIQIFPVDSAIDTISPLNQKF SQYLHENASYVRPLEEGMLHLFESITEDTVTVLETTVKLKMFSDHLTSYVRFLRKILPYQ LKSLEEECESSLCTPALRARNLELSQDMKTMTAVFEKLQTYVTLLALPSTEPDGLLRTNY TSVLTNVGAALHGFHDVMKDISKHYSQKASIEHEIPTATQKLVTTNDCILSSAVTLTNGA GKIASFFGNNVDYFIASLSYGPKTASGFISPLSAECMLQYKKKAAAYMKSLRTPLAESVP YGEAVANRRVLLSSTESREGLAQQVQQSLEKISKLEQEKEHWMLEAQLAKIKLEKENQRI ADRLRGTTSAQLPGLAQENATVPIASSQEEAAAKVLTEPVQSTSLVGMLTRTPDSEAPDV ESREDLIKSHYMARIAELTSQLQLADSKSVHFYAECRALSKRLALAEKSKETLTEEMRLA SQNISRLQDELMTTKRSYEDQLSMMSDHLCSMNETLSKQREEIDTLKMASKGNSKKTRNR >ENSMUSP00000106518.3 pep:known chromosome:GRCm38:1:191045826:191062932:-1 gene:ENSMUSG00000026632.17 transcript:ENSMUST00000110893.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tatdn3 description:TatD DNase domain containing 3 [Source:MGI Symbol;Acc:MGI:1916222] MGLGLVDCHCHLSASDFDNDLDDVLEKARKANVMALVAVAEHAGEFERIMQLSERYNGFV LPCLGVHPVQELSPEKPRSVTLKDLDVALPIIEKYKDRLLAIGEVGLDFTPRYAGTDEEK EEQRQVLIRQVQLAKRLNVPLNVHSRSAGRPTISLLREQGAKQVLLHAFDGRPSVAMEGA RAGYYFSIPPSIVRSGQKQKLVKQLPLSSICLETDSPALGPEKLTRNEPCNISIAAEFIA QVKGISVEEVREVTTRNAFRLFPKLQSLLQKELQSHPLQAKSAQGSAGESKGLL >ENSMUSP00000082773.5 pep:known chromosome:GRCm38:1:191045838:191062904:-1 gene:ENSMUSG00000026632.17 transcript:ENSMUST00000085633.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tatdn3 description:TatD DNase domain containing 3 [Source:MGI Symbol;Acc:MGI:1916222] MGLGLVDCHCHLSASDFDNDLDDVLEKARKANVMALVAVAEHAGEFERIMQLSERYNGFV LPCLGVHPVQELSPEKPRSVTLKDLDVALPIIEKYKDRLLAIGEVGLDFTPRYAGTDEEK EEQRQVLIRQVQLAKRLNVPLNVHSRSAGRPTISLLREQEAEACETAASEFYLLRNRFTC ARTRKADTE >ENSMUSP00000106516.1 pep:known chromosome:GRCm38:1:191045842:191062904:-1 gene:ENSMUSG00000026632.17 transcript:ENSMUST00000110891.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tatdn3 description:TatD DNase domain containing 3 [Source:MGI Symbol;Acc:MGI:1916222] MGLGLVDCHCHLSASDFDNDLDDVLEKARKANVMALVAVAEHAGEFERIMQLSERYNGFV LPCLGVHPVQELSPEKPRSVTLKDLDVALPIIEKYKDRLLAIGEVGLDFTPRYAGTDEEK EEQRQVLIRQVQLAKRLNVPLNVHSRSAGRPTISLLREQGAKQVLLHAFDGRPSVAMEGA RAGYYFSIPPSIVRSGQKQKLVKQLPLSSICLETDSPALGPEKLLCSLSDTE >ENSMUSP00000027945.5 pep:known chromosome:GRCm38:1:191045842:191062905:-1 gene:ENSMUSG00000026632.17 transcript:ENSMUST00000027945.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tatdn3 description:TatD DNase domain containing 3 [Source:MGI Symbol;Acc:MGI:1916222] MGLGLVDCHCHLSASDFDNDLDDVLEKARKANVMALVAVAEHAGEFERIMQLSERYNGFV LPCLGVHPVQELSPEKPRSVTLKDLDVALPIIEKYKDRLLAIGEVGLDFTPRYAGTDEEK EEQRQVLIRQVQLAKRLNVPLNVHSRSAGRPTISLLREQGAKQVLLHAFDGRPSVAMEGA RAGYYFSIPPSIVRSGQQKLVKQLPLSSICLETDSPALGPEKLTRNEPCNISIAAEFIAQ VKGISVEEVREVTTRNAFRLFPKLQSLLQKELQSHPLQAKSAQGSAGESKGLL >ENSMUSP00000023510.6 pep:known chromosome:GRCm38:16:33954782:33967038:-1 gene:ENSMUSG00000022814.6 transcript:ENSMUST00000023510.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umps description:uridine monophosphate synthetase [Source:MGI Symbol;Acc:MGI:1298388] MEVASQALGPLVTELYDVQAFKFGSFVLKSGLSSPVYIDLRGIVSRPRLLSQVADILFQT AKNAGISFDSVCGVPYTALPLATVICSANHIPMLIRRKETKDYGTKRLVEGEINPGQTCL VIEDVVTSGASVLETVEVLQKEGLKVTDAIVLLDREQGGKDKLQAQGIRLHAVCTLSQML EILQQQEKIDADMVGRVKRFIQENVFSAANHNGLPPPEKKACKELSFGARAELPGTHPLA SKLLRLMQKKETNLCLSADVSEARELLQLADALGPSICMLKTHVDILNDFTLDVMEELTA LAKRHEFLIFEDRKFADIGNTVKKQYESGTFKIASWADIVNAHVVPGSGVVKGLQEVGLP LHRACLLIAEMSSAGSLATGNYTKAAVGMAEEHCEFVIGFISGSRVSMKPEFLHLTPGVQ LETGGDHLGQQYNSPQEVIGKRGSDVIIVGRGILAAANRLEAAEMYRKAAWEAYLSRLAV Q >ENSMUSP00000119423.1 pep:known chromosome:GRCm38:16:33954794:33967003:-1 gene:ENSMUSG00000022814.6 transcript:ENSMUST00000131990.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Umps description:uridine monophosphate synthetase [Source:MGI Symbol;Acc:MGI:1298388] MEVASQALGPLVTELYDVQAFKFGSFVLKSGLSSPVYIDLRGIVSRPRLLSQVPSAL >ENSMUSP00000122136.1 pep:known chromosome:GRCm38:18:36559987:36583681:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000134146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] XVRLLPAIAAAPVESDRWELLLLPRRLLHLGRTRLSKSRVESFILDQEDLDNPVLKTSEI FLSSTAEGADLRTVDPETQARLEALLEAAGIGKLSTADGKAFADPEVLRRLTSSVSCALD EAAAALTRMRAENTHSTGQVDTRSLAEACSDGDVNAVRKLLDEGRSVNEHTEEGESLLCL ACSAGYYELAQVLLAMHANVEDRGNKGDITPLMA >ENSMUSP00000006205.7 pep:known chromosome:GRCm38:18:36560611:36658908:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000006205.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] MLTDSGGGGTSFEEDLDSVAPRSAPAGASEPPPPGGVGLGIRTVRLFGEAGPAPGVGGGG GGGGGSSSSSSAGGGDAALDFKLAAAVLRTGGGGGASGSDEDEVSEVESFILDQEDLDNP VLKTSEIFLSSTAEGADLRTVDPETQARLEALLEAAGIGKLSTADGKAFADPEVLRRLTS SVSCALDEAAAALTRMRAENTHSTGQVDTRSLAEACSDGDVNAVRKLLDEGRSVNEHTEE GESLLCLACSAGYYELAQVLLAMHANVEDRGNKGDITPLMAASSGGYLDIVKLLLLHDAD VNSQSATGNTALTYACAGGFIDIVKVLLNEGANIEDHNENGHTPLMEAASAGHVEVARVL LDHGAGINTHSNEFKESALTLACYKGHLDMVRFLLEAGADQEHKTDEMHTALMEACMDGH VEVARLLLDSGAQVNMPADSFESPLTLAACGGHVELAALLIERGANLEEVNDEGYTPLME AAREGHEEMVALLLAQGANINAQTEETQETALTLACCGGFSEVADFLIKAGADIELGCST PLMEASQEGHLELVKYLLAAGANVHATTATGDTALTYACENGHTDVADVLLQAGAHLEHE SEGGRTPLMKAARAGHLCTVQFLISKGANVNRATANNDHTVVSLACAGGHLAVVELLLAH GADPTHRLKDGSTMLIEAAKGGHTNVVSYLLDYPNNVLSVPTTDVSQLTSPSQDESQVPR VPIHTLAMVVPPQEPDRTSQETSTALLGVQKGASKQKSSSLQVADQDLLPPFHPYQPLEC IVEETEGKLNELGQRISAIEKAQLKSLELIQGEPLNKDKIEELKKNREEQVQKKKKILKE LQKVERQLQMKTQQQFTKEYLETKGQRDTESPHQQCSNRGVFMAGEEDGSLPQDHSSESP QLDTVLFKDHDIDDKQQSPPSAEQIDFVPVQPLSSPQCNFFSDLGSNGTNSLVLQKVSGN QQIVGQPQIAIAGHEQGLLVQEPDGLMVATPAQTLTDTLDDLIAAVSTRVPVGSNNPSQT TECPTPESCYQTPSNMATPSTPPVYPSVDIDAHTESNHDTALTLACAGGHEELVSVLIAR DAKIEHRDKKGFTPLILAATAGHVGVVEILLDKGGDIEAQSERTKDTPLSLACSGGRQEV VDLLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNAGAEINSRTGSKLGISPLMLA AMNGHVPAVKLLLDMGSDINAQIETNRNTALTLACFQGRAEVVSLLLDRKANVEHRAKTG LTPLMEAASGGYAEVGRVLLDKGADVNAPPVPSSRDTALTIAADKGHYKFCELLINRGAH IDVRNKKGNTPLWLASNGGHFDVVQLLVQAGADVDAADNRKITPLMSAFRKGHVKVVQYL VKEVSQFPSDIECMRYIATITDKELLKKCHQCVETIVKAKDQQAAEANKNASILLKELDL EKSREESRKQALAAKREKRKEKRKKKKEEQKRKQEDEENKPKENSEQPEGEDEENDEDVE QEIPIEPPSATTTTTIGISATSTTFTNVFGKKRANVVTTPSTNRKNKKNKTKESPPTAHL ILPEPHISLAQQKADKNKINGEPRGGGAGGNSDSDNIDSTDCNSESSSGGKSQEFSFPVD VNPASDKRCSTVVSSQEEKAVTTTSKTQTRLDGEVNSMSTSYKSLPLSSPTMKLNLTSPK RGQKREEGWKEVVRRSKKLSVPASVVSRIMGRGGCNITAIQDVTGAHIDVDKQKDKNGER MITIRGGTESTRYAVQLINALIQDPAKELEDLIPKNHIRTPASTKSIHTNFSSGVGTTAT SSKNAFPLGAPALVTSQATTLSTFQPTNKLSKNVPTNVRSPFPVSLPLAYPHPHFALLAA QTMQQIRHPRLPMAQFGGTFSPSPNTWGPFPVRPVNPGNTSSSPKHNNTARLPNQNGPVL PSESPGLATTGCPITVSSVVAASQQLCMTNSRTPSSVRKQLFACVPKTSPPATVISSVTS TSSSLPSVSSTSITSGHVTTTFMPAPTQVPLSSQKVESFSVIPPPKEKVSTQDQPLTNLC TPSPAATSCNSSASNTSGAPEAHPSSTPPPPPGNTQEEGQPSKASDLSPVSMPFASNSET APLTLASPRLVAADNRDTGSLPQLTVPAPRVSHRMQPRGSFYSVVPNATMHQDPQSIFVT NPVPLTPPQGPPAAVQLSSAVNIMNGSQVHINPANKSLQPTFGPATLFNHFSSLFDSGQV PANQGWGDGPLPSRVAADASFTVQSAFLSNSVLGHLENVHPDNSKAPGFRPPSQRVSTSP VGLPSIDPSGNSPSAAAPLTSFSGIPGTRVFLQGPAPVGTPSFNRQHFSPHPWTSASNTS TSAPPTLGQQPKGNSASQDRKIPPPIGTERLARIRQGGSVAQAPVGTSFVAPVGHGGIWS FGVNAMSEGLSGWSQSVIGNHPMHQQLSDPSTFSQHQPMERDDSGMVAPTNIFHQPMVSG FVDFFYRPADFHVWRHHYTLSPSAC >ENSMUSP00000123270.2 pep:known chromosome:GRCm38:18:36560611:36658908:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000155329.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] MLTDSGGGGTSFEEDLDSVAPRSAPAGASEPPPPGGVGLGIRTVRLFGEAGPAPGVGGGG GGGGGSSSSSSAGGGDAALDFKLAAAVLRTGGGGGASGSDEDEVSEVESFILDQEDLDNP VLKTSEIFLSSTAEGADLRTVDPETQARLEALLEAAGIGKLSTADGKAFADPEVLRRLTS SVSCALDEAAAALTRMRAENTHSTGQVDTRSLAEACSDGDVNAVRKLLDEGRSVNEHTEE GESLLCLACSAGYYELAQVLLAMHANVEDRGNKGDITPLMAASSGGYLDIVKLLLLHDAD VNSQSATGNTALTYACAGGFIDIVKVLLNEGANIEDHNENGHTPLMEAASAGHVEVARVL LDHGAGINTHSNEFKESALTLACYKGHLDMVRFLLEAGADQEHKTDEMHTALMEACMDGH VEVARLLLDSGAQVNMPADSFESPLTLAACGGHVELAALLIERGANLEEVNDEGYTPLME AAREGHEEMVALLLAQGANINAQTEETQETALTLACCGGFSEVADFLIKAGADIELGCST PLMEASQEGHLELVKYLLAAGANVHATTATGDTALTYACENGHTDVADVLLQAGAHLEHE SEGGRTPLMKAARAGHLCTVQFLISKGANVNRATANNDHTVVSLACAGGHLAVVELLLAH GADPTHRLKDGSTMLIEAAKGGHTNVVSYLLDYPNNVLSVPTTDVSQLTSPSQDESQVPR VPIHTLAMVVPPQEPDRTSQETSTALLGVQKGASKQKSSSLQVADQDLLPPFHPYQPLEC IVEETEGKLNELGQRISAIEKAQLKSLELIQGEPLNKDKIEELKKNREEQVQKKKKILKE LQKVERQLQMKTQQQFTKEYLETKGQRDTESPHQQCSNRGVFMAGEEDGSLPQDHSSESP QLDTVLFKDHDIDDKQQSPPSAEQIDFVPVQPLSSPQCNFFSDLGSNGTNSLVLQKVSGN QQIVGQPQIAIAGHEQGLLVQEPDGLMVATPAQTLTDTLDDLIAAVSTRVPVGSNNPSQT TECPTPESCYQTPSNMATPSTPPVYPSVDIDAHTESNHDTALTLACAGGHEELVSVLIAR DAKIEHRDKKGFTPLILAATAGHVGVVEILLDKGGDIEAQSERTKDTPLSLACSGGRQEV VDLLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNAGAEINSRTGSKLGISPLMLA AMNGHVPAVKLLLDMGSDINAQIETNRNTALTLACFQGRAEVVSLLLDRKANVEHRAKTG LTPLMEAASGGYAEVGRVLLDKGADVNAPPVPSSRDTALTIAADKGHYKFCELLINRGAH IDVRNKKGNTPLWLASNGGHFDVVQLLVQAGADVDAADNRKITPLMSAFRKGHVKVVQYL VKEVSQFPSDIECMRYIATITDKELLKKCHQCVETIVKAKDQQAAEANKNASILLKELDL EKSREESRKQALAAKREKRKEKRKKKKEEQKRKQEDEENKPKENSEQPEGEDEENDEDVE QEIPIEPPSATTTTTIGISATSTTFTNVFGKKRANVVTTPSTNRKNKKNKTKESPPTAHL ILPEPHISLAQQKADKNKINGEPRGGGAGGNSDSDNIDSTDCNSESSSGGKSQEFSFPVD VNPASDKRCSTVVSSQEEKAVTTTSKTQTRLDGEVNSMSTSYKSLPLSSPTMKLNLTSPK RGQKREEGWKEVVRRSKKLSVPASVVSRIMGRGGCNITAIQDVTGAHIDVDKQKDKNGER MITIRGGTESTRYAVQLINALIQDPAKELEDLIPKNHIRTPASTKSIHTNFSSGVGTTAT SSKNAFPLGAPALVTSQATTLSTFQPTNKLSKNVPTNVRSPFPVSLPLAYPHPHFALLAA QTMQQIRHPRLPMAQFGGTFSPSPNTWGPFPVRPVNPGNTSSSPKHNNTARLPNQNGPVL PSESPGLATTGCPITVSSVVAASQQLCMTNSRTPSSVRKQLFACVPKTSPPATVISSVTS TSSSLPSVSSTSITSGHVTTTFMPAPTQVPLSSQKVESFSVIPPPKEKVSTQDQPLTNLC TPSPAATSCNSSASNTSGAPEAHPSSTPPPPPGNTQEEGQPSKASDLSPVSMPFASNSET APLTLASPRLVAADNRDTGSLPQLTVPAPRVSHRMQPRGSFYSVVPNATMHQDPQSIFVT NPVPLTPPQGPPAAVQLSSAVNIMNGSQVHINPANKSLQPTFGPATLFNHFSSLFDSGQV PANQGWGDGPLPSRVAADASFTVQSAFLSNSVLGHLENVHPDNSKAPGFRPPSQRVSTSP VGLPSIDPSGNSPSAAAPLTSFSGIPGTRVFLQGPAPVGTPSFNRQHFSPHPWTSASNTC DSPIPSVSSGSSSPLSATSAPPTLGQQPKGNSASQDRKIPPPIGTERLARIRQGGSVAQA PVGTSFVAPVGHGGIWSFGVNAMSEGLSGWSQSVIGNHPMHQQLSDPSTFSQHQPMERDD SGMVAPTNIFHQPMGLPISMYGGTIIPSHPQLADVPGGPLFNGLHNPDPAWNPMIKVIQN SAECTEAQQIWPGTWAPHIGNMHLKYVN >ENSMUSP00000120290.1 pep:known chromosome:GRCm38:18:36560678:36665917:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000142977.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] MLTDSGGGGTSFEEDLDSVAPRSAPAGASEPPPPGGVGLGIRTVRLFGEAGPAPGVGGGG GGGGGSSSSSSAGGGDAALDFKLAAAVLRTGGGGGASGSDEDEVSEVESFILDQEDLDNP VLKTSEIFLSSTAEGADLRTVDPETQARLEALLEAAGIGKLSTADGKAFADPEVLRRLTS SVSCALDEAAAALTRMRAENTHSTGQVDTRSLAEACSDGDVNAVRKLLDEGRSVNEHTEE GESLLCLACSAGYYELAQVLLAMHANVEDRGNKGDITPLMAASSGGYLDIVKLLLLHDAD VNSQSATGNTALTYACAGGFIDIVKVLLNEGANIEDHNENGHTPLMEAASAGHVEVARVL LDHGAGINTHSNEFKESALTLACYKGHLDMVRFLLEAGADQEHKTDEMHTALMEACMDGH VEVARLLLDSGAQVNMPADSFESPLTLAACGGHVELAALLIERGANLEEVNDEGYTPLME AAREGHEEMVALLLAQGANINAQTEETQETALTLACCGGFSEVADFLIKAGADIELGCST PLMEASQEGHLELVKYLLAAGANVHATTATGDTALTYACENGHTDVADVLLQAGAHLEHE SEGGRTPLMKAARAGHLCTVQFLISKGANVNRATANNDHTVVSLACAGGHLAVVELLLAH GADPTHRLKDGSTMLIEAAKGGHTNVVSYLLDYPNNVLSVPTTDVSQLTSPSQDESQVPR VPIHTLAMVVPPQEPDRTSQETSTALLGVQKGASKQKSSSLQVADQDLLPPFHPYQPLEC IVEETEGKLNELGQRISAIEKAQLKSLELIQGEPLNKDKIEELKKNREEQVQKKKKILKE LQKVERQLQMKTQQQFTKEYLETKGQRDTESPHQQCSNRGVFMAGEEDGSLPQDHSSESP QLDTVLFKDHDIDDKQQSPPSAEQIDFVPVQPLSSPQCNFFSDLGSNGTNSLVLQKVSGN QQIVGQPQIAIAGHEQGLLVQEPDGLMVATPAQTLTDTLDDLIAAVSTRVPVGSNNPSQT TECPTPESCYQTPSNMATPSTPPVYPSVDIDAHTESNHDTALTLACAGGHEELVSVLIAR DAKIEHRDKKGFTPLILAATAGHVGVVEILLDKGGDIEAQSERTKDTPLSLACSGGRQEV VDLLLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNAGAEINSRTGSKLGISPLMLA AMNGHVPAVKLLLDMGSDINAQIETNRNTALTLACFQGRAEVVSLLLDRKANVEHRAKTG LTPLMEAASGGYAEVGRVLLDKGADVNAPPVPSSRDTALTIAADKGHYKFCELLINRGAH IDVRNKKGNTPLWLASNGGHFDVVQLLVQAGADVDAADNRKITPLMSAFRKGHVKVVQYL VKEVSQFPSDIECMRYIATITDKELLKKCHQCVETIVKAKDQQAAEANKNASILLKELDL EKSREESRKQALAAKREKRKEKRKKKKEEQKRKQEDEENKPKENSEQPEGEDEENDEDVE QEIPIEPPSATTTTTIGISATSTTFTNVFGKKRANVVTTPSTNRKNKKNKTKESPPTAHL ILPEPHISLAQQKADKNKINGEPRGGGAGGNSDSDNIDSTDCNSESSSGGKSQEFSFPVD VNPASDKRCSTVVSSQEEKAVTTTSKTQTRLDGEVNSMSTSYKSLPLSSPTMKLNLTSPK RGQKREEGWKEVVRRSKKLSVPASVVSRIMGRGGCNITAIQDVTGAHIDVDKQKDKNGER MITIRGGTESTRYAVQLINALIQDPAKELEDLIPKNHIRTPASTKSIHTNFSSGVGTTAT SSKNAFPLGAPALVTSQATTLSTFQPTNKLSKNVPTNVRSPFPVSLPLAYPHPHFALLAA QTMQQIRHPRLPMAQFGGTFSPSPNTWGPFPVRPVNPGNTSSSPKHNNTARLPNQNGPVL PSESPGLATTGCPITVSSVVAASQQLCMTNSRTPSSVRKQLFACVPKTSPPATVISSVTS TSSSLPSVSSTSITSGHVTTTFMPAPTQVPLSSQKVESFSVIPPPKEKVSTQDQPLTNLC TPSPAATSCNSSASNTSGAPEAHPSSTPPPPPGNTQEEGQPSKASDLSPVSMPFASNSET APLTLASPRLVAADNRDTGSLPQLTVPAPRVSHRMQPRGSFYSVVPNATMHQDPQSIFVT NPVPLTPPQGPPAAVQLSSAVNIMNGSQVHINPANKSLQPTFGPATLFNHFSSLFDSGQV PANQGWGDGPLPSRVAADASFTVQSAFLSNSVLGHLENVHPDNSKAPGFRPPSQRVSTSP VGLPSIDPSGNSPSAAAPLTSFSGIPGTRVFLQGPAPVGTPSFNRQHFSPHPWTSASNTS TSAPPTLGQQPKGNSASQDRKIPPPIGTERLARIRQGGSVAQAPVGTSFVAPVGHGGIWS FGVNAMSEGLSGWSQSVIGNHPMHQQLSDPSTFSQHQPMERDDSGMVAPTNIFHQPMVSG FVDFFYRPADFHVWRHHYTLSPSAC >ENSMUSP00000116047.1 pep:known chromosome:GRCm38:18:36600800:36625022:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000152060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] XTALTYACENGHTDVADVLLQAGAHLEHESEGGRTPLMKAARAGHLCTVQFLISKGANVN RATANNDHTVVSLACAGGHLAVVELLLAHGADPTHRLKDGSTMLIEAAKGGHTNVVSYLL DYPNNVLSVPTTDVSQLTSPSQDESQEPDRTSQETSTALLGVQKGASKQKSSSLQVADQD LLPPFHPYQPLECIVEETEGKLNELGQRISAIEKAQLKSLELIQGEPLNKDKIEELKKNR EEQVQKKKKILKELQKVERQLQMKTQQQFTKEYLETKGQRDTESPHQQCSNRGVFMAGEE DGSLPQDHSSESPQLDTVLFKDHDIDDKQQSPPSA >ENSMUSP00000115837.1 pep:known chromosome:GRCm38:18:36613909:36634357:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000136030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] XNVLSVPTTDVSQLTSPSQDESQEPDRTSQETSTALLGVQKAVSTRVPVGSNNPSQTTEC PTPESCYQTPSNMATPSTPPVYPSVDIDAHTESNHDTALTLACAGGHEELVSVLIARDAK IEHRDKKGFTPLILAATAGHVGVVEILLDKGGDIEAQSERTKDTPLSLACSGGRQEVVDL LLARGANKEHRNVSDYTPLSLAASGGYVNIIKILLNAGA >ENSMUSP00000117110.1 pep:known chromosome:GRCm38:18:36638324:36642988:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000130035.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] XLTIAADKGHYKFCELLINRGAHIDVRNKKGNTPLWLASNGGHFDVVQLLVQAGADVDAA DNRKITPLMSAFRKGHVKVVQYLVKEVSQFPSDIECMRYIATITDKVFSLL >ENSMUSP00000116462.1 pep:known chromosome:GRCm38:18:36638548:36642994:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000153612.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] XAHIDVRNKKGNTPLWLASNGGHFDVVQLLVQAGADVDAADNRKITPLMSAFRKGHVKVV QYLVKEVSQFPSDIECMRYIATITDKVFSLL >ENSMUSP00000040300.4 pep:known chromosome:GRCm38:18:36644298:36658913:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000037072.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] XTTTTIGISATSTTFTNVFGKKRANVVTTPSTNRKNKKNKTKESPPTAHLILPEPHISLA QQKADKNKINGEPRGGGAGGNSDSDNIDSTDCNSESSSGGKSQEFSFPVDVNPASDKRCS TVVSSQEEKAVTTTSKTQTRLDGEVNSMSTSYKSLPLSSPTMKLNLTSPKRGQKREEGWK EVVRRSKKLSVPASVVSRIMGRGGCNITAIQDVTGAHIDVDKQKDKNGERMITIRGGTES TRYAVQLINALIQDPAKELEDLIPKNHIRTPASTKSIHTNFSSGVGTTATSSKNAFPLGA PALVTSQATTLSTFQPTNKLSKNVPTNVRSPFPVSLPLAYPHPHFALLAAQTMQQIRHPR LPMAQFGGTFSPSPNTWGPFPVRPVNPGNTSSSPKHNNTARLPNQNGPVLPSESPGLATT GCPITVSSVVAASQQLCMTNSRTPSSVRKQLFACVPKTSPPATVISSVTSTSSSLPSVSS TSITSGHVTTTFMPAPTQVPLSSQKVESFSVIPPPKEKVSTQDQPLTNLCTPSPAATSCN SSASNTSGAPEAHPSSTPPPPPGNTQEEGQPSKASDLSPVSMPFASNSETAPLTLASPRL VAADNRDTGSLPQLTVPAPRVSHRMQPRGSFYSVVPNATMHQDPQSIFVTNPVPLTPPQG PPAAVQLSSAVNIMNGSQVHINPANKSLQPTFGPATLFNHFSSLFDSGQVPANQGWGDGP LPSRVAADASFTVQSAFLSNSVLGHLENVHPDNSKAPGFRPPSQRVSTSPVGLPSIDPSG NSPSAAAPLTSFSGIPGTRVFLQGPAPVGTPSFNRQHFSPHPWTSASNTSTSAPPTLGQQ PKGNSASQDRKIPPPIGTERLARIRQGGSVAQAPVGTSFVAPVGHGGIWSFGVNAMSEGL SGWSQSVIGNHPMHQQLSDPSTFSQHQPMERDDSGMVAPTNIFHQPMGLPISMYGGTIIP SHPQLADVPGGPLFNGLHNPDPAWNPMIKVIQNSAECTEAQQIWPGTWAPHIGNMHLKYV N >ENSMUSP00000121811.1 pep:known chromosome:GRCm38:18:36647860:36665917:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000140061.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] XSSTSITSGHVTTTFMPAPTQVPLSSQKVESFSVIPPPKEKVSTQDQPLTNLCTPSPAAT SCNSSASNTSGAPEAHPSSTPPPPPGNTQEEGQPSKASDLSPVSMPFASNSETAPLTLAS PRLVAADNRDTGSLPQLTVPAPRVSHRMQPRGSFYSVVPNATMHQDPQSIFVTNPVPLTP PQGPPAAVQLSSAVNIMNGSQVHINPANKSLQPTFGPATLFNHFSSLFDSGQVPANQGWG DGPLPSRVAADASFTVQSAFLSNSVLGHLENVHPDNSKAPGFRPPSQRVSTSPVGLPSID PSGNSPSAAAPLTSFSGIPGTRVFLQGPAPVGTPSFNRQHFSPHPWTSASNTCDSPIPSV SSGSSSPLSATSAPPTLGQQPKGNSASQDRKIPPPIGTERLARIRQGGSVAQAPVGTSFV APVGHGGIWSFGVNAMSEGLSGWSQSVIGNHPMHQQLSDPSTFSQHQPMERDDSGMVAPT NIFHQPMGLPISMYGGTIIPSHPQLADVPGGPLFNGLHNPDPAWNPMIKVIQNSAECTEA QQASVLSSVPALKGEIPSPQLTRPKKRVGQPMVSSSNQRHQDHLRQKVPAGVQELTHCPD TSLLPPSDSRGHNSPSSTTLQVGVAEGTEADRGRNNR >ENSMUSP00000112352.2 pep:known chromosome:GRCm38:18:36655510:36658810:1 gene:ENSMUSG00000024483.18 transcript:ENSMUST00000116653.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankhd1 description:ankyrin repeat and KH domain containing 1 [Source:MGI Symbol;Acc:MGI:1921733] XGNSASQDRKIPPPIGTERLARIRQGGSVAQAPVGTSFVAPVGHGGIWSFGVNAMSGNHP MHQQLSDPSTFSQHQPMERDDSGMVAPTNIFHQPMGLPISMYGGTIIPSHPQLADVPGGP LFNGLHNPDPAWNPMIKVIQNSAECTEAQQIWPGTWAPHIGNMHLKYVN >ENSMUSP00000017288.2 pep:known chromosome:GRCm38:2:51130438:51149111:-1 gene:ENSMUSG00000017144.8 transcript:ENSMUST00000017288.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnd3 description:Rho family GTPase 3 [Source:MGI Symbol;Acc:MGI:1921444] MKERRASQKLSSKSIMDPNQNVKCKIVVVGDSQCGKTALLHVFAKDCFPENYVPTVFENY TASFEIDTQRIELSLWDTSGSPYYDNVRPLSYPDSDAVLICFDISRPETLDSVLKKWKGE IQEFCPNTKMLLVGCKSDLRTDVSTLVELSNHRQTPVSYDQGANMAKQIGAATYIECSAL QSENSVRDIFHVATLACVNKTNKNVKRNKSQRATKRISHMPSRPELSAVATDLRKDKAKS CTVM >ENSMUSP00000128831.1 pep:known chromosome:GRCm38:2:51131835:51149110:-1 gene:ENSMUSG00000017144.8 transcript:ENSMUST00000154545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnd3 description:Rho family GTPase 3 [Source:MGI Symbol;Acc:MGI:1921444] MKERRASQKLSSKSIMDPNQNVKCKIVVVGDSQCGKTALLHVFAKDCFPENYVPTVFENY TASFEIDTQRIELSLWDTSGSPYYDNVRPLSYPDSDAVLICFDISRPETLDSVLKKWKGE IQEFCPNTKMLLVGCKSDLRTDVSTLVELSNHRQTPVSYDQVSVLSLWESLLPSFLPSFP FSFLSFFIRIYLFLFYVHWCFA >ENSMUSP00000045007.4 pep:known chromosome:GRCm38:X:85249679:85270288:1 gene:ENSMUSG00000035491.5 transcript:ENSMUST00000048382.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fthl17a description:ferritin, heavy polypeptide-like 17, member A [Source:MGI Symbol;Acc:MGI:1919246] MAASVPQLEQTYLSESNAALNSQIQLQLYGSYIYLSMASFCNKEEVALGSFALFFLRQSQ KWMERTEMLFSLLTERQGSLTLGRIANQDRQDWLDGLMAMECAFHLEKTLNQSLLQLYGL ANSKGDLYLCNFLKCHFLPQQVEILKEMGGYMTNLRRLGAPENQDAEKLFDQLTMADSIK KN >ENSMUSP00000056082.5 pep:known chromosome:GRCm38:7:143473736:143499334:1 gene:ENSMUSG00000000154.16 transcript:ENSMUST00000052348.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a18 description:solute carrier family 22 (organic cation transporter), member 18 [Source:MGI Symbol;Acc:MGI:1336884] MSGSMAALPRQGIIILTYVLAALELTCLFMQFSILPYLSRTLGLDSVSFGYLQTTFGVLQ LLGGPVFGRFADQCGARAALSLSFLAASALYLLLVASCSPALPGVFLLFASRIPSALMHT LPAAQMVITDLTAPTERPAALGRLGLCFGIGIIFGSLLGGTLNTAYGIQCPAILAFVVTL LGAVLSFTCVPATTKEASVQSAPQGGTKASVFDLKAITRLLLLPRVLPVFLVKVISGLPS GLFLVMFSIISMDFFQLEAAQAGYLMSFFGILQMMIQGLVIGRLSTHFPEEALLRSSVLV FAVVGLGMALMSSVLHFCFLMPGLVFSLCTLNVVTDSMLTKAVSASDTGTMLGLSASVQP LTRTLGPTLGGLLYRSYGVPIFGHVQLMVNLLVLLVLWKKPLSQKGEKAR >ENSMUSP00000123047.1 pep:known chromosome:GRCm38:7:143473768:143497051:1 gene:ENSMUSG00000000154.16 transcript:ENSMUST00000150791.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a18 description:solute carrier family 22 (organic cation transporter), member 18 [Source:MGI Symbol;Acc:MGI:1336884] MSGSMAALPRQGIIILTYVLAALELTCLFMQFSILPPPRWSSLI >ENSMUSP00000115345.1 pep:known chromosome:GRCm38:7:143473780:143499334:1 gene:ENSMUSG00000000154.16 transcript:ENSMUST00000145943.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a18 description:solute carrier family 22 (organic cation transporter), member 18 [Source:MGI Symbol;Acc:MGI:1336884] MSGSMAALPRQGIIILTYVLAALELTCLFMQFSILPVRRPVRGKSGTLTLLPGGLCSVPA PGGLL >ENSMUSP00000120434.1 pep:known chromosome:GRCm38:7:143473805:143497052:1 gene:ENSMUSG00000000154.16 transcript:ENSMUST00000141988.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc22a18 description:solute carrier family 22 (organic cation transporter), member 18 [Source:MGI Symbol;Acc:MGI:1336884] MSGSMAALPRQGIIILTYVLAALELTCLFMQFSILPPPRWSSLI >ENSMUSP00000101537.2 pep:known chromosome:GRCm38:7:143475101:143499325:1 gene:ENSMUSG00000000154.16 transcript:ENSMUST00000105917.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a18 description:solute carrier family 22 (organic cation transporter), member 18 [Source:MGI Symbol;Acc:MGI:1336884] MSGSMAALPRQGIIILTYVLAALELTCLFMQFSILPYLSRTLGLDSVSFGYLQTTFGVLQ LLGGPVFGRFADQCGARAALSLSFLAASALYLLLVASCSPALPGVFLLFASRIPSALMHT LPAAQMVITDLTAPTERPAALGRLGLCFGIGIIFGSLLGGTLNTAYGIQCPAILAFVVTL LGAVLSFTCVPATTKEASVQSAPQGGTKASVFDLKAITRLLLLPRVLPVFLVKVISGLPS GLFLVMFSIISMDFFQLEAAQAGYLMSFFGILQMMIQGLVIGRLSTHFPEEALLRSSVLV FAVVGLGMALMSSVLHFCFLMPGLVFSLCTLNVVTDSMLTKAVSASDTGTMLGLSASVQP LTRTLGPTLGGLLYRSYGVPIFGHVQLMVNLLVLLVLWKKPLSQKGEKAR >ENSMUSP00000124089.1 pep:known chromosome:GRCm38:9:65692391:65827564:-1 gene:ENSMUSG00000040524.9 transcript:ENSMUST00000159109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp609 description:zinc finger protein 609 [Source:MGI Symbol;Acc:MGI:2674092] MSLSSGACGGKGVDANPVETYDSGDEWDIGVGNLIIDLDADLEKDQQKLEMSGSKEVGIP APNAVATLPDNIKFVTPVPGPQGKEGKSKSKRSKSGKDASKPTPGTSLFSPSEGAASKKE VQGRAGDGASAGGLVAAVAPKGSEKAAKASRSVAGSKKEKENSSSKGKKERSEGVGTCSE KDPGVLQPVPLGGRGSQYDGSAGMDTGTVEPLGSIAIEPGAALNPLGTKPEPEEGENECR PLKKVKSEKMESPVSTPAVLPLHLLVPVVNNDISSPCEQIMVRTRSVGVNTCDVALATEP ECLGPCEPGTSVNLEGIVWQETEDGMLVVNVTWRNKTYVGTLLDCTRHDWAPPRFCDSPT SDLEMRNGRGRGKRMRPSSNTPVSEAAAASDSKGTSSSSKTRAGANSKGRRGSQNSSEHR PPASSTSEDVKASPSSANKRKSKPLSDMELNSSSEDSKGSKRVRTNSMGSATGPLPGTKV EPTLVDRNCPSPVLIDCPHPNCNKKYKHINGLKYHQAHAHTDDDSKPEADGDSEYGEEPA LHADLSCNGAPVPQKGSLSPARSATPKVRLVEPHSPSPSSKFSTKGLCKKKLSGEGDTDP GALSNDGSDDGPSVMDETSNDAFDSLERKCMEKEKCKKPSSLKSEKIPSKSLKSARPIAP AIPPQQIYTFQTATFTAASPGSSSGLTTTVVQAMPNSPQLKPIQPKPTVMGEPFTVNPAL TPAKDKKKKDKKKKDSSKELESPLTPGKVCRAEEGKSPFRDAAGDGIKVESLLNGSSESH QSRLASIKAEADKIYSFTDNAPSPSIGGSSRLDSTTPTQPLTPLHVVTQNGAEASSVKTN SPAYSDISDAGEDGEGKVDSAKSKDPEQLVKEGAKKTLFPPQPQSKDSPYYQGFESYYSP GYAQSSPGTLTSSSQAGMEGQPLKTKKDEEPESVEGKVKNDVCEEKKPELSNSSQQPSVI QQRPNMYMQSLYYNQYAYVPPYGYSDQSYHSHLLSTNTAYRQQYEEQQKRQSLEQQQQQQ RGLDKKTEMGLKEREASLKEEWKQKPSIPPTLTKAPSLTDLVKSGPGKAKEPGTDPAKSV IIPKLDDSSKLPSQPPEGLKGKLGEASHLGKEASEAKTGTECGRQAEVDPILWYRQETES RMWTYVYPAKYSDIKSEDDRWKEERDRKLKEDRSRSKDSVPKEDGKESTSSDCKLPPSEE SRLGSKEPRPSVHVPVSSPLTQHQSYIPYMHGYSYSQSYDPNHPSYRGMPAVMMQNYPGS YLPSSYSFSPYGSKVSGGEDADKARASPSVSCKASSESKALDILQQHASHYKSKSPTISD KNSQERDRGGCGVVGGGGSCGSVAGAGGTDRSADRPRTSPSQRLMSTHHHHHHLGYSLLP AQYNLPYAAGLSSTAIVASQQGSTPSLYPPPRR >ENSMUSP00000123882.1 pep:known chromosome:GRCm38:9:65795230:65797912:-1 gene:ENSMUSG00000040524.9 transcript:ENSMUST00000160747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp609 description:zinc finger protein 609 [Source:MGI Symbol;Acc:MGI:2674092] MSLSSGACGGKGVDANPVETYDSGDEWDIGVGNLIIDLDADLEKDQ >ENSMUSP00000105473.2 pep:known chromosome:GRCm38:2:152316334:152332412:-1 gene:ENSMUSG00000027466.15 transcript:ENSMUST00000109847.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbck1 description:RanBP-type and C3HC4-type zinc finger containing 1 [Source:MGI Symbol;Acc:MGI:1344372] MDEKTKKAEEMALSLARAVAGGDEQAAIKYATWLAEQRVPLRVQVKPEVSPTQDIRLCVS VEDAYMHTVTIWLTVRPDMTVASLKDMVFLDYGFPPSLQQWVVGQRLARDQETLHSHGIR RNGDGAYLYLLSARNTSLNPQELQRQRQLRMLEDLGFKDLTLQSRGPLEPVLPKPRTNQE PGQPDAAPESPPVGWQCPGCTFINKPTRPGCEMCCRARPETYQIPASYQPDEEERARLAG EEEALRQYQQRKQQQQEGNYLQHVQLEQRSLVLNTEPTECPVCYSVLAPGEAVVLRECLH TFCRECLQGTIRNSQEAEVACPFIDSTYSCPGKLLEREIRALLSPEDYQRFLDLGVSIAE NRSTLSYHCKTPDCRGWCFFEDDVNEFTCPVCTRVNCLLCKAIHEHMNCREYQDDLALRA QNDVAARQTTEMLKVMLQQGEAMHCPQCRIVVQKKDGCDWIRCTVCHTEICWVTKGPRWG PGGPGDTSGGCRCRVNGIPCHPSCQNCH >ENSMUSP00000028964.7 pep:known chromosome:GRCm38:2:152316334:152332653:-1 gene:ENSMUSG00000027466.15 transcript:ENSMUST00000028964.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbck1 description:RanBP-type and C3HC4-type zinc finger containing 1 [Source:MGI Symbol;Acc:MGI:1344372] MDEKTKKAEEMALSLARAVAGGDEQAAIKYATWLAEQRVPLRVQVKPEVSPTQDIRLCVS VEDAYMHTVTIWLTVRPDMTVASLKDMVFLDYGFPPSLQQWVVGQRLARDQETLHSHGIR RNGDGAYLYLLSARNTSLNPQELQRQRQLRMLEDLGFKDLTLQSRGPLEPVLPKPRTNQE PGQPDAAPESPPVGWQCPGCTFINKPTRPGCEMCCRARPETYQIPASYQPDEEERARLAG EEEALRQYQQRKQQQQEGNYLQHVQLEQRSLVLNTEPTECPVCYSVLAPGEAVVLRECLH TFCRECLQGTIRNSQEAEVACPFIDSTYSCPGKLLEREIRALLSPEDYQRFLDLGVSIAE NRSTLSYHCKTPDCRGWCFFEDDVNEFTCPVCTRVNCLLCKAIHEHMNCREYQDDLALRA QNDVAARQTTEMLKVMLQQGEAMHCPQCRIVVQKKDGCDWIRCTVCHTEICWVTKGPRWG PGGPGDTSGGCRCRVNGIPCHPSCQNCH >ENSMUSP00000118592.1 pep:known chromosome:GRCm38:2:152318780:152324364:-1 gene:ENSMUSG00000027466.15 transcript:ENSMUST00000144865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbck1 description:RanBP-type and C3HC4-type zinc finger containing 1 [Source:MGI Symbol;Acc:MGI:1344372] XPETYQIPASYQPDEEERARLAGEEEALRQYQQRKQQQQEGNYLQHVQLEQRSLVLNTEP TECPVCYSVLAPGEAVVLRECLHTFCRECLQGTIRNSQEAEVACPFIDSTYSCPGKLLER EIRAVGEEELGTGLKCLVSWDHLIGGGHLLGVLNPSSKAVYVQLLSPEDYQRFLDLGVSI AENRSTLSYHCKTPDCRGWCFFEDDVNEFTCPVCTRVNCLLCKAIHEHMNCRE >ENSMUSP00000046368.7 pep:known chromosome:GRCm38:14:122918971:122983283:-1 gene:ENSMUSG00000041594.17 transcript:ENSMUST00000037726.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc4 description:transmembrane and tetratricopeptide repeat containing 4 [Source:MGI Symbol;Acc:MGI:1921050] MVELDADLDHIVPSVLPPFWAKLVVGFVSLLCFARSYDGDFVFDDSEAIVNNKDLQSDTP LGDLWHHDFWGSKLSSNTSHKSYRPLTVLTFRINYYLSGGFHPVGFHVVNILLHGSISIL MLDVFSVLFGGLQYTGKGQRVHLAPRASLLATLLFAVHPVHTECVAGVVGRADLLCALFF LLSFLGYCQAFKETGNKEGTHSSTFWVLLSIFLGAVAMLCKEQGITVLGLNAVFDILVIG KLDILAAVRKVLHKDKSQENAGMFKNGGLLFRIALLTIGGTSMLYIRWKIMGTGPPAFTE VDNPASFADSMLVRAINYNYYYSLNAWLLLCPWWLCFDWSMGCIPLIKSVGDWRVIALAA LWLCLIGLIFQALCSEDSCKRRILTLGLGFLVIPFLPASNLFFRVGFVVAERVLYLPSAG YCVLLTFGFGALSRHTKKKKPVAAIILGILLINALRCVIRSGEWRSEEQLFRSALSVCPL NAKVHYNIGKNLADQGNQTAAIKYYREAVRLNPKYVHAMNNLGNILKERNELQEAEELLS LAVQIQPDFAAAWMNLGIVQNSLKRFEEAEQSYRTAIKHRRKYPDCYYNLGRLYADLNRH VDALNAWRNATVLKPEHSLAWNNMIILLDNTGNLAQAEAVGREALQLIPNDHSLMFSLAN VLGKSQKYKESEALFLKAIKANPNVASYHGNLAVLYHRWGHLDSAKKHYEISLQLDPVAV GTKENYSLLRRKLEQTQKKDV >ENSMUSP00000116480.1 pep:known chromosome:GRCm38:14:122918978:122983217:-1 gene:ENSMUSG00000041594.17 transcript:ENSMUST00000143189.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmtc4 description:transmembrane and tetratricopeptide repeat containing 4 [Source:MGI Symbol;Acc:MGI:1921050] MVELDADLDHIVPSVLPPFWAKLVVGFVSLLCFARSYDGDFVFDDSEAIVNNKDLQSDTP LGDLWHHDFWGSKLSSNTSHKSYRPLTVLTFRINYYLSGGFHPVGFHVVNILLHGSISIL MLDVFSVLFGGLQYTGKGQRVHLAPRASLLATLLFAVHPVHTECVAGVVGRADLLCALFF LLSFLGYCQAFKETGNKEGTHSSTFWVLLSIFLGAVAMLCKEQGITVLGLNAVFDILVIG KLDILAAVRKVLHKDKSQEELCGVLSECRHVQEWRPPFPNSPTYHWRHQHALYTLENHGN RPTGIY >ENSMUSP00000116379.1 pep:known chromosome:GRCm38:14:122919731:122983142:-1 gene:ENSMUSG00000041594.17 transcript:ENSMUST00000126867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc4 description:transmembrane and tetratricopeptide repeat containing 4 [Source:MGI Symbol;Acc:MGI:1921050] MVELDADLDHIVPSVLPPFWAKLVVGFVSLLCFARSYDGDFVFDDSEAIVNNKDLQSDTP LGDLWHHDFWGSKLSSNTSHKSYRPLTVLTFRINYYLSGGFHPVGFHVVNILLHGSISIL MLDVFSVLFGGLQYTGKGQRVHLAPRASLLATLLFAVHPVHTECVAGVVGRADLLCALFF LLSFLGYCQAFKETGNKEGTHSSTFWVLLSIFLGAVAMLCKEQGITVLGLNAVFDILVIG KLDILAAVRKVLHKDKSQENAGMFKNGGLLFRIALLTIGGTSMLYIRWKIMGTGPPAFTE VDNPASFADSMLVRAINYNYYYSLNAWLLLCPWWLCFDWSMGCIPLIKSVGDWRVIALAA LWLCLIGLIFQALCSEDSCKRRILTLGLGFLVIPFLPASNLFFRVGFVVAERVLYLPSAG YCVLLTFGFGALSRHTKKKKPVAAIILGILLINALRCVIRSGEWRSEEQLFRSALSVCPL NAKVHYNIGKNLADQGNQTAAIKYYREAVRLNPKYVHAMNNLGNILKERNELQEAEELLS LAVQIQPDFAAAWMNLGIVQNSLKRFEEAEQSYRTAIKHRRKYPDCYYNLGRLYADLNRH VDALNAWRNATVLKPEHSLAWNNMIILLDNTGNLAQAEAVGREALQLIPNDHSLMFSLAN VLGKSQKYKESEALFLKAIKANPNVASYHGNLAVLYHRWGHLDSAKKHYEISLQLDPVAV GTKENYSLLRRKLEQTQKKDV >ENSMUSP00000121523.1 pep:known chromosome:GRCm38:14:122919760:122983142:-1 gene:ENSMUSG00000041594.17 transcript:ENSMUST00000148661.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmtc4 description:transmembrane and tetratricopeptide repeat containing 4 [Source:MGI Symbol;Acc:MGI:1921050] MVELDADLDHIVPSVLPPFWAKLVVGFVSLLCFARSYDGDFVFDDSEAIVNNKDLQSDTP LGDLWHHDFWGSKLSSNTSHKSYRPLTVLTFRINYYLSGGFHPVGFHVVNILLHGSISIL MLDVFSVLFGGLQYTGKGQRVHLAPRASLLATLLFAVHPVHTECVAGVVGRADLLCALFF LLSFLGYCQAFKETGNKEGTHSSTFWVLLSIFLGAVAMLCKEQGITVLGLNAVFDILVIG KLDILAAVRKVLHKDKSQENAGMFKNGGLLFRIALLTIGGTSMLYIRWKIMGTGPPAFTE VDNPASFADSMLVRAINYNYYYSLNAWLLLCPWWLCFDWSMGCIPLIKSVGDWRVIALAA LWLCLIGLIFQALCSEDSCKRRILTLGLGFLVIPFLPASNLFFRVGFVVAERVLYLPSAG YCVLLTFGFGALSRHTKKKKPVAAIILGILLINALRCVIRSGEWRSEEQLFRSALSVCPL NAKVHYNIGKNLADQGNQTAAIKYYREAVRLNPKYVHAMNNLGNILKERNELQEAEELLS LAVQIQPDFAAAWMNLGIVQNSLKRFEEAEQSYRTAIKHRRKYPDCYYNLGRLYADLNRH VDALNAWRNATVLKPEHSLAWNNMIILLDNTGNLAQAEAVGREALQLIPNDHSLMFSLAN VLGKSQKYKESEALFLKAIKANPNVASYHGNLAVLYHRWGHLDSAKKHYEISLQLDPVAV GTKENYSLLRRKLEQTQKKDV >ENSMUSP00000118814.1 pep:known chromosome:GRCm38:14:122944747:122983275:-1 gene:ENSMUSG00000041594.17 transcript:ENSMUST00000128969.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmtc4 description:transmembrane and tetratricopeptide repeat containing 4 [Source:MGI Symbol;Acc:MGI:1921050] MVELDADLDHIVPSVLPPFWAKTSSQTRPLGTCGTMTSGAVN >ENSMUSP00000057336.4 pep:known chromosome:GRCm38:5:97382198:97392379:-1 gene:ENSMUSG00000046000.5 transcript:ENSMUST00000060265.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa11 description:N(alpha)-acetyltransferase 11, NatA catalytic subunit [Source:MGI Symbol;Acc:MGI:2141314] MNIRNARPDDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDEDGKIVGYVLAKM EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFGAKYVSLHVRKSNRAALH LYSNTLNFQVSEVEPKYYADGEDAYAMKRDLSQMTDELRRQLVLKKNRYVVLGSEETQGG TLPDAGEACLPKNPTSKDSGSSDSTDVQDSSEDLDSIS >ENSMUSP00000059448.3 pep:known chromosome:GRCm38:X:139333683:139338169:1 gene:ENSMUSG00000051257.3 transcript:ENSMUST00000054534.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trap1a description:tumor rejection antigen P1A [Source:MGI Symbol;Acc:MGI:98818] MSDNKKPDKAHSGSGGDGDGNRCNLLHRYSLEEILPYLGWLVFAVVTTSFLALQMFIDAL YEEQYERDVAWIARQSKRMSSVDEDEDDEDDEDDYYDDEDDDDDAFYDDEDDEEEELENL MDDESEDEAEEEMSVEMGAGAEEMGAGANCACVPGHHLRKNEVKCRMIYFFHDPNFLVSI PVNPKEQMECRCENADEEVAMEEEEEEEEEEEEEEMGNPDGFSP >ENSMUSP00000046924.6 pep:known chromosome:GRCm38:8:69037711:69089222:-1 gene:ENSMUSG00000036330.12 transcript:ENSMUST00000037478.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc18a1 description:solute carrier family 18 (vesicular monoamine), member 1 [Source:MGI Symbol;Acc:MGI:106684] MFQVVLGAPQRLLKEGRQSRKLVLVVVFVALLLDNMLLTVVVPIVPTFLYATEFKDINSS LLRGPSVSSQQALTSPAFSTTFSFFDNTTMTVEEHVPFRVAWINGTIPPPVTEAGSVPKN NCLQGIEFLEEENVRIGILFASKALMQLLVNPFVGPLTNRIGYHIPMFVGFMIMFLSTLM FAFSGTYALLFVARTLQGIGSSFSSVAGLGMLASVYTDNYERGRAMGIALGGLALGLLVG APFGSVMYEFVGKSSPFLILAFLALLDGALQFCILWPSKVSPESAMGTPLLTLLKDPYIL VAAGSICLANMGVAILEPTLPIWMMQTMCSPEWQLGLAFLPASVAYLIGTNLFGVLANKM GRWLCSLVGMVAVGISLLCVPLAHNIFGLIGPNAGLGFAIGMVDSSLMPIMGYLVDLRHT SVYGSVYAIADVAFCVGFAIGPSTGGVIVPVIGFPWLMVIIGTINIIYAPLCCFLQNPPA KEEELAILNQECPTETQMYTIQRPTKEFPLGENSDDPGSEE >ENSMUSP00000085436.4 pep:known chromosome:GRCm38:2:165785519:165884874:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000088113.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] MHPQSLAEEEIKTEQEVVEGMDISTRSKDPVSTEKTAPKRRFPSPPHSSNGHSPQDSSTS PIKKKKKPGLLNSSNKEQDGRNDFYCWVCHREGQVLCCELCPRVYHAKCLRLTSEPEGDW FCPECEKITVAECIETQSKAMTMLTIEQLSYLLKFAIQKMKQPGTDAFQKPVPLEQHPDY AEYIFHPMDLCTLEKNAKKKMYGCTEAFLADAKWILHNCIIYNGGNHKLTQIAKVVIKIC EHEMNEIEVCPECYLAACQKRDNWFCEPCSNPHPLVWAKLKGFPFWPAKALRDKDGQVDA RFFGQHDRAWVPVNNCYLMSKEIPFSVKKTKSIFNSAMQEMEVYVENIRRKFGVFNYSPF RTPYTPNNQYQMLLDPSNPSAGTAKTDKQEKVKLNFDMTASPKILLSKPLLSGGAGRRIS LSDMPRSPTSTNSSVHTGSDVEQDPEKKAPSSHFSASEESMDFLDKSTASPASTKTGQAG SLSGSPKPFSPQAPTPIMTKPDKTSTSTTGSILNLNLDRSKAEMDLKELSESVQQQSAPV PLISPKRQIRSRFQLNLDKTIESCKAQLGINEISEDVYTAVEHSDSEDSEKSESSDSEYV SDEEQKPKNEPEDPEDKEGSRVDKEAPAIKRKPKPTNQVEVKEEAKSNSPVSEKPDPTPA KDKASPEPEKDFVEKAKPSPHPTKDKLKGKDETDSPTVHLGLDSDSESELVIDLGEDPSG REGRKNKKDPKVPSPKQDAIGKPPPSSTSAGNQSPPETPVLTRSATQAPAAGVTVAAATT STMSTVTVTAPATAVTGSPVKKQRPLLPKETVPAVQRVVWNASTVQQKEVTQSPSTSTIT LVTSTQPAALVSSSGSASTLASAINADLPIATASADVAADIAKYTSKMMDAIKGTMTEIY NDLSKNTTGSTIAEIRRLRIEIEKLQWLHQQELAEMKHNLELTMAEMRQSLEQERDRLIA EVKKQLELEKQQAVDETKKKQWCANCKKEAIFYCCWNTSYCDYPCQQAHWPEHMKSCTQS ATAPQQEADAEASTETGNKSSQGNSSNTQSAPSEPASAPKEKEAPAEKSKDSNPGSFRLQ RDALLYALRLQSKL >ENSMUSP00000104892.1 pep:known chromosome:GRCm38:2:165785309:165878437:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000109269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] MDISTRSKEPKEDPVSTEKTAPKRRFPSPPHSSNGHSPQDSSTSPIKKKKKPGLLNSSNK EQSELRHGPFYYMKQPLTTDPVDVVPQDGRNDFYCWVCHREGQVLCCELCPRVYHAKCLR LTSEPEGDWFCPECEKITVAECIETQSKAMTMLTIEQLSYLLKFAIQKMKQPGTDAFQKP VPLEQHPDYAEYIFHPMDLCTLEKNAKKKMYGCTEAFLADAKWILHNCIIYNGGNHKLTQ IAKVVIKICEHEMNEIEVCPECYLAACQKRDNWFCEPCSNPHPLVWAKLKGFPFWPAKAL RDKDGQVDARFFGQHDRAWVPVNNCYLMSKEIPFSVKKTKSIFNSAMQEMEVYVENIRRK FGVFNYSPFRTPYTPNNQYQMLLDPSNPSAGTAKTDKQEKVKLNFDMTASPKILLSKPLL SGGAGRRISLSDMPRSPTSTNSSVHTGSDVEQDPEKKAPSSHFSASEESMDFLDKSTASP ASTKTGQAGSLSGSPKPFSPQAPTPIMTKPDKTSTSTTGSILNLNLDRSKAEMDLKELSE SVQQQSAPVPLISPKRQIRSRFQLNLDKTIESCKAQLGINEISEDVYTAVEHSDSEDSEK SESSDSEYVSDEEQKPKNEPEDPEDKEGSRVDKEAPAIKRKPKPTNQVEVKEEAKSNSPV SEKPDPTPAKDKASPEPEKDFVEKAKPSPHPTKDKLKGKDETDSPTVHLGLDSDSESELV IDLGEDPSGREGRKNKKDPKVPSPKQDAIGKPPPSSTSAGNQSPPETPVLTRSATQAPAA GVTVAAATTSTMSTVTVTAPATAVTGSPVKKQRPLLPKETVPAVQRVVWNASSKFQTSSQ KWHMQKIQRQQQQQQQQQQSQQQSQQQQPQSSQGTRYQTRQAVKAVQQKEVTQSPSTSTI TLVTSTQPAALVSSSGSASTLASAINADLPIATASADVAADIAKYTSKMMDAIKGTMTEI YNDLSKNTTGSTIAEIRRLRIEIEKLQWLHQQELAEMKHNLELTMAEMRQSLEQERDRLI AEVKKQLELEKQQAVDETKKKQWCANCKKEAIFYCCWNTSYCDYPCQQAHWPEHMKSCTQ SATAPQQEADAEASTETGNKSSQGNSSNTQSAPSEPASAPKEKEAPAEKSKDSSNSTLDL SGSRETPSSMLLGSNQSSVSKRCDKQPAYTPTTTDHQPHPNYPAQKYHSRSSKAGLWSSS EEKRASSRSEHSGGTSTKNLMPKESRESRLDAFWD >ENSMUSP00000104885.1 pep:known chromosome:GRCm38:2:165827182:165896950:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000109262.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] MDISTRSKDPVSTEKTAPKRRFPSPPHSSNGHSPQDSSTSPIKKKKKPGLLNSSNKEQDG RNDFYCWVCHREGQVLCCELCPRVYHAKCLRLTSEPEGDWFCPECEKITVAECIETQSKA MTMLTIEQLSYLLKFAIQKMKQPGTDAFQKPVPLEQHPDYAEYIFHPMDLCTLEKNAKKK MYGCTEAFLADAKWILHNCIIYNGGNHKLTQIAKVVIKICEHEMNEIEVCPECYLAACQK RDNWFCEPCSNPHPLVWAKLKGFPFWPAKALRDKDGQVDARFFGQHDRAWVPVNNCYLMS KEIPFSVKKTKSIFNSAMQEMEVYVENIRRKFGVFNYSPFRTPYTPNNQYQMLLDPSNPS AGTAKTDKQEKVKLNFDMTASPKILLSKPLLSGGAGRRISLSDMPRSPTSTNSSVHTGSD VEQDPEKKAPSSHFSASEESMDFLDKSTGQPQLGELGRRPLGAFWRPWMPPS >ENSMUSP00000120631.1 pep:known chromosome:GRCm38:2:165840041:165899016:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000136842.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] MELRSRRSQGWKMSGSLAEEEIKTEQEVVEGMDISTRSKDPVSTEKTAPKRRFPSPPHSS NGHSPQDSSTSPIKKKKKPGLLNSSNKEQDGRNDFYCWVCHREGQVLCCELCPRVYHAKC LRLTSEPEGDWFCPECEKITVAECIETQSKAMTMLTIEQLSYLLKFAIQKMKQPGTDAFQ KPVPLEQHPDYA >ENSMUSP00000121363.1 pep:known chromosome:GRCm38:2:165852033:165876408:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000144530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] MDISTRSKDPVSTEKTAPKRRFPSPPHSSNGHSPQDSSTSPIKKKKK >ENSMUSP00000120932.1 pep:known chromosome:GRCm38:2:165827129:165875777:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000153655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] SLAEEEIKTEQEVVEGMDISTRSKEPKEDPVSTEKTAPKRRFPSPPHSSNGHSPQDSSTS PIKKKKKPGLLNSSNKEQDGRNDFYCWVCHREGQVLCCELCPRVYHAKCLRLTSEPEGDW FCPECEKITVAECIETQSKAMTMLTIEQLSYLLKFAIQKMKQPGTDAFQKPVPLEQHPDY AEYIFHPMDLCTLEKNAKKKMYGCTEAFLADAKWILHNCIIYNGGNHKLTQIAKVVIKIC EHEMNEIEVCPECYLAACQKRDNWFCEPCSNPHPLVWAKLKGFPFWPAKALRDKDGQVDA RFFGQHDRAWVPVNNCYLMSKEIPFSVKKTKSIFNSAMQEMEVYVENIRRKFGVFNYSPF RTPYTPNNQYQMLLDPSNPSAGTAKTDKQEKVKLNFDMTASPKILLSKPLLSGGAGRRIS LSDMPRSPTSTNSSVHTGSDVEQDPEKKAPSSHFSASEESMDFLDKSTGQPQLGELGRRP LGAFWRPWMPPS >ENSMUSP00000018050.7 pep:known chromosome:GRCm38:2:165785282:165884772:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000018050.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] MDISTRSKDPVSTEKTAPKRRFPSPPHSSNGHSPQDSSTSPIKKKKKPGLLNSSNKEQSE LRHGPFYYMKQPLTTDPVDVVPQDGRNDFYCWVCHREGQVLCCELCPRVYHAKCLRLTSE PEGDWFCPECEKITVAECIETQSKAMTMLTIEQLSYLLKFAIQKMKQPGTDAFQKPVPLE QHPDYAEYIFHPMDLCTLEKNAKKKMYGCTEAFLADAKWILHNCIIYNGGNHKLTQIAKV VIKICEHEMNEIEVCPECYLAACQKRDNWFCEPCSNPHPLVWAKLKGFPFWPAKALRDKD GQVDARFFGQHDRAWVPVNNCYLMSKEIPFSVKKTKSIFNSAMQEMEVYVENIRRKFGVF NYSPFRTPYTPNNQYQMLLDPSNPSAGTAKTDKQEKVKLNFDMTASPKILLSKPLLSGGA GRRISLSDMPRSPTSTNSSVHTGSDVEQDPEKKAPSSHFSASEESMDFLDKSTASPASTK TGQAGSLSGSPKPFSPQAPTPIMTKPDKTSTSTTGSILNLNLDRSKAEMDLKELSESVQQ QSAPVPLISPKRQIRSRFQLNLDKTIESCKAQLGINEISEDVYTAVEHSDSEDSEKSESS DSEYVSDEEQKPKNEPEDPEDKEGSRVDKEAPAIKRKPKPTNQVEVKEEAKSNSPVSEKP DPTPAKDKASPEPEKDFVEKAKPSPHPTKDKLKGKDETDSPTVHLGLDSDSESELVIDLG EDPSGREGRKNKKDPKVPSPKQDAIGKPPPSSTSAGNQSPPETPVLTRSATQAPAAGVTV AAATTSTMSTVTVTAPATAVTGSPVKKQRPLLPKETVPAVQRVVWNASTVQQKEVTQSPS TSTITLVTSTQPAALVSSSGSASTLASAINADLPIATASADVAADIAKYTSKMMDAIKGT MTEIYNDLSKNTTGSTIAEIRRLRIEIEKLQWLHQQELAEMKHNLELTMAEMRQSLEQER DRLIAEVKKQLELEKQQAVDETKKKQWCANCKKEAIFYCCWNTSYCDYPCQQAHWPEHMK SCTQSATAPQQEADAEASTETGNKSSQGNSSNTQSAPSEPASAPKEKEAPAEKSKDSSNS TLDLSGSRETPSSMLLGSNQSSVSKRCDKQPAYTPTTTDHQPHPNYPAQKYHSRSSKAGL WSSSEEKRASSRSEHSGGTSTKNLMPKESRESRLDAFWD >ENSMUSP00000096683.2 pep:known chromosome:GRCm38:2:165784155:165882278:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000099084.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] MDISTRSKDPVSTEKTAPKRRFPSPPHSSNGHSPQDSSTSPIKKKKKPGLLNSSNKEQDG RNDFYCWVCHREGQVLCCELCPRVYHAKCLRLTSEPEGDWFCPECEKITVAECIETQSKA MTMLTIEQLSYLLKFAIQKMKQPGTDAFQKPVPLEQHPDYAEYIFHPMDLCTLEKNAKKK MYGCTEAFLADAKWILHNCIIYNGGNHKLTQIAKVVIKICEHEMNEIEVCPECYLAACQK RDNWFCEPCSNPHPLVWAKLKGFPFWPAKALRDKDGQVDARFFGQHDRAWVPVNNCYLMS KEIPFSVKKTKSIFNSAMQEMEVYVENIRRKFGVFNYSPFRTPYTPNNQYQMLLDPSNPS AGTAKTDKQEKVKLNFDMTASPKILLSKPLLSGGAGRRISLSDMPRSPTSTNSSVHTGSD VEQDPEKKAPSSHFSASEESMDFLDKSTASPASTKTGQAGSLSGSPKPFSPQAPTPIMTK PDKTSTSTTGSILNLNLDRSKAEMDLKELSESVQQQSAPVPLISPKRQIRSRFQLNLDKT IESCKAQLGINEISEDVYTAVEHSDSEDSEKSESSDSEYVSDEEQKPKNEPEDPEDKEGS RVDKEAPAIKRKPKPTNQVEVKEEAKSNSPVSEKPDPTPAKDKASPEPEKDFVEKAKPSP HPTKDKLKGKDETDSPTVHLGLDSDSESELVIDLGEDPSGREGRKNKKDPKVPSPKQDAI GKPPPSSTSAGNQSPPETPVLTRSATQAPAAGVTVAAATTSTMSTVTVTAPATAVTGSPV KKQRPLLPKETVPAVQRVVWNASSKFQTSSQKWHMQKIQRQQQQQQQQQQSQQQSQQQQP QSSQGTRYQTRQAVKAVQQKEVTQSPSTSTITLVTSTQPAALVSSSGSASTLASAINADL PIATASADVAADIAKYTSKMMDAIKGTMTEIYNDLSKNTTGSTIAEIRRLRIEIEKLQWL HQQELAEMKHNLELTMAEMRQSLEQERDRLIAEVKKQLELEKQQAVDETKKKQWCANCKK EAIFYCCWNTSYCDYPCQQAHWPEHMKSCTQSATAPQQEADAEASTETGNKSSQGNSSNT QSAPSEPASAPKEKEAPAEKSKDSSNSTLDLSGSRETPSSMLLGSNQSSVSKRCDKQPAY TPTTTDHQPHPNYPAQKYHSRSSKAGLWSSSEEKRASSRSEHSGGTSTKNLMPKESRESR LDAFWD >ENSMUSP00000136211.1 pep:known chromosome:GRCm38:2:165784152:165884751:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000177633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] MHPQSLAEEEIKTEQEVVEGMDISTRSKDPVSTEKTAPKRRFPSPPHSSNGHSPQDSSTS PIKKKKKPGLLNSSNKEQDGRNDFYCWVCHREGQVLCCELCPRVYHAKCLRLTSEPEGDW FCPECEKITVAECIETQSKAMTMLTIEQLSYLLKFAIQKMKQPGTDAFQKPVPLEQHPDY AEYIFHPMDLCTLEKNAKKKMYGCTEAFLADAKWILHNCIIYNGGNHKLTQIAKVVIKIC EHEMNEIEVCPECYLAACQKRDNWFCEPCSNPHPLVWAKLKGFPFWPAKALRDKDGQVDA RFFGQHDRAWVPVNNCYLMSKEIPFSVKKTKSIFNSAMQEMEVYVENIRRKFGVFNYSPF RTPYTPNNQYQMLLDPSNPSAGTAKTDKQEKVKLNFDMTASPKILLSKPLLSGGAGRRIS LSDMPRSPTSTNSSVHTGSDVEQDPEKKAPSSHFSASEESMDFLDKSTASPASTKTGQAG SLSGSPKPFSPQAPTPIMTKPDKTSTSTTGSILNLNLDRSKAEMDLKELSESVQQQSAPV PLISPKRQIRSRFQLNLDKTIESCKAQLGINEISEDVYTAVEHSDSEDSEKSESSDSEYV SDEEQKPKNEPEDPEDKEGSRVDKEAPAIKRKPKPTNQVEVKEEAKSNSPVSEKPDPTPA KDKASPEPEKDFVEKAKPSPHPTKDKLKGKDETDSPTVHLGLDSDSESELVIDLGEDPSG REGRKNKKDPKVPSPKQDAIGKPPPSSTSAGNQSPPETPVLTRSATQAPAAGVTVAAATT STMSTVTVTAPATAVTGSPVKKQRPLLPKETVPAVQRVVWNASTVQQKEVTQSPSTSTIT LVTSTQPAALVSSSGSASTLASAINADLPIATASADVAADIAKYTSKMMDAIKGTMTEIY NDLSKNTTGSTIAEIRRLRIEIEKLQWLHQQELAEMKHNLELTMAEMRQSLEQERDRLIA EVKKQLELEKQQAVDETKKKQWCANCKKEAIFYCCWNTSYCDYPCQQAHWPEHMKSCTQS ATAPQQEADAEASTETGNKSSQGNSSNTQSAPSEPASAPKEKEAPAEKSKDSSNSTLDLS GSRETPSSMLLGSNQSSVSKRCDKQPAYTPTTTDHQPHPNYPAQKYHSRSSKAGLWSSSE EKRASSRSEHSGGTSTKNLMPKESRESRLDAFWD >ENSMUSP00000128680.1 pep:known chromosome:GRCm38:2:165784155:165882278:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000170272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] MDISTRSKDPVSTEKTAPKRRFPSPPHSSNGHSPQDSSTSPIKKKKKPGLLNSSNKEQDG RNDFYCWVCHREGQVLCCELCPRVYHAKCLRLTSEPEGDWFCPECEKITVAECIETQSKA MTMLTIEQLSYLLKFAIQKMKQPGTDAFQKPVPLEQHPDYAEYIFHPMDLCTLEKNAKKK MYGCTEAFLADAKWILHNCIIYNGGNHKLTQIAKVVIKICEHEMNEIEVCPECYLAACQK RDNWFCEPCSNPHPLVWAKLKGFPFWPAKALRDKDGQVDARFFGQHDRAWVPVNNCYLMS KEIPFSVKKTKSIFNSAMQEMEVYVENIRRKFGVFNYSPFRTPYTPNNQYQMLLDPSNPS AGTAKTDKQEKVKLNFDMTASPKILLSKPLLSGGAGRRISLSDMPRSPTSTNSSVHTGSD VEQDPEKKAPSSHFSASEESMDFLDKSTASPASTKTGQAGSLSGSPKPFSPQAPTPIMTK PDKTSTSTTGSILNLNLDRSKAEMDLKELSESVQQQSAPVPLISPKRQIRSRFQLNLDKT IESCKAQLGINEISEDVYTAVEHSDSEDSEKSESSDSEYVSDEEQKPKNEPEDPEDKEGS RVDKEAPAIKRKPKPTNQVEVKEEAKSNSPVSEKPDPTPAKDKASPEPEKDFVEKAKPSP HPTKDKLKGKDETDSPTVHLGLDSDSESELVIDLGEDPSGREGRKNKKDPKVPSPKQDAI GKPPPSSTSAGNQSPPETPVLTRSATQAPAAGVTVAAATTSTMSTVTVTAPATAVTGSPV KKQRPLLPKETVPAVQRVVWNASTVQQKEVTQSPSTSTITLVTSTQPAALVSSSGSASTL ASAINADLPIATASADVAADIAKYTSKMMDAIKGTMTEIYNDLSKNTTGSTIAEIRRLRI EIEKLQWLHQQELAEMKHNLELTMAEMRQSLEQERDRLIAEVKKQLELEKQQAVDETKKK QWCANCKKEAIFYCCWNTSYCDYPCQQAHWPEHMKSCTQSATAPQQEADAEASTETGNKS SQGNSSNTQSAPSEPASAPKEKEAPAEKSKDSSNSTLDLSGSRETPSSMLLGSNQSSVSK RCDKQPAYTPTTTDHQPHPNYPAQKYHSRSSKAGLWSSSEEKRASSRSEHSGGTSTKNLM PKESRESRLDAFWD >ENSMUSP00000104889.4 pep:known chromosome:GRCm38:2:165784152:165858173:-1 gene:ENSMUSG00000039671.18 transcript:ENSMUST00000109266.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd8 description:zinc finger, MYND-type containing 8 [Source:MGI Symbol;Acc:MGI:1918025] MSAAGSLLLSSFGTEEPGSLSGQAASMADPVSTEKTAPKRRFPSPPHSSNGHSPQDSSTS PIKKKKKPGLLNSSNKEQSELRHGPFYYMKQPLTTDPVDVVPQDGRNDFYCWVCHREGQV LCCELCPRVYHAKCLRLTSEPEGDWFCPECEKITVAECIETQSKAMTMLTIEQLSYLLKF AIQKMKQPGTDAFQKPVPLEQHPDYAEYIFHPMDLCTLEKNAKKKMYGCTEAFLADAKWI LHNCIIYNGGNHKLTQIAKVVIKICEHEMNEIEVCPECYLAACQKRDNWFCEPCSNPHPL VWAKLKGFPFWPAKALRDKDGQVDARFFGQHDRAWVPVNNCYLMSKEIPFSVKKTKSIFN SAMQEMEVYVENIRRKFGVFNYSPFRTPYTPNNQYQMLLDPSNPSAGTAKTDKQEKVKLN FDMTASPKILLSKPLLSGGAGRRISLSDMPRSPTSTNSSVHTGSDVEQDPEKKAPSSHFS ASEESMDFLDKSTASPASTKTGQAGSLSGSPKPFSPQAPTPIMTKPDKTSTSTTGSILNL NLDRSKAEMDLKELSESVQQQSAPVPLISPKRQIRSRFQLNLDKTIESCKAQLGINEISE DVYTAVEHSDSEDSEKSESSDSEYVSDEEQKPKNEPEDPEDKEGSRVDKEAPAIKRKPKP TNQVEVKEEAKSNSPVSEKPDPTPAKDKASPEPEKDFVEKAKPSPHPTKDKLKGKDETDS PTVHLGLDSDSESELVIDLGEDPSGREGRKNKKDPKVPSPKQDAIGKPPPSSTSAGNQSP PETPVLTRSATQAPAAGVTVAAATTSTMSTVTVTAPATAVTGSPVKKQRPLLPKETVPAV QRVVWNASTVQQKEVTQSPSTSTITLVTSTQPAALVSSSGSASTLASAINADLPIATASA DVAADIAKYTSKMMDAIKGTMTEIYNDLSKNTTGSTIAEIRRLRIEIEKLQWLHQQELAE MKHNLELTMAEMRQSLEQERDRLIAEVKKQLELEKQQAVDETKKKQWCANCKKEAIFYCC WNTSYCDYPCQQAHWPEHMKSCTQSATAPQQEADAEASTETGNKSSQGNSSNTQSAPSEP ASAPKEKEAPAEKSKDSSNSTLDLSGSRETPSSMLLGSNQSSVSKRCDKQPAYTPTTTDH QPHPNYPAQKYHSRSSKAGLWSSSEEKRASSRSEHSGGTSTKNLMPKESRESRLDAFWD >ENSMUSP00000133768.1 pep:known chromosome:GRCm38:7:141122382:141154456:1 gene:ENSMUSG00000025495.14 transcript:ENSMUST00000174373.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptdss2 description:phosphatidylserine synthase 2 [Source:MGI Symbol;Acc:MGI:1351664] MTVKTLEGKEAIPALGRQRQACPHFNCAVHPHLCAGLRDSPRRNPSGYSLQHQERYCGQY FGFLMFWSHTS >ENSMUSP00000133352.1 pep:known chromosome:GRCm38:7:141130256:141152991:1 gene:ENSMUSG00000025495.14 transcript:ENSMUST00000172787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptdss2 description:phosphatidylserine synthase 2 [Source:MGI Symbol;Acc:MGI:1351664] MRAHTLTVLFILTCALGYVTLLEETPQDTAYNTKRGIVASILVFLCFGVTQAKDGPFSRP HPAYWRFWLCVSVVYELFLIFILFQTVQDGRQFLKYVDPRLGVPLPERDYGGNCLIYDAD NKTDPFHNIWDKLDGFVPAHFIGWYLKTLMIRDWWMCMIISVMFEFLEYSLEHQLPNFSE CWWDHWIMDVL >ENSMUSP00000133804.1 pep:known chromosome:GRCm38:7:141130278:141152823:1 gene:ENSMUSG00000025495.14 transcript:ENSMUST00000172479.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptdss2 description:phosphatidylserine synthase 2 [Source:MGI Symbol;Acc:MGI:1351664] MRAHTLTVLFILTCALGYVTLLEETPQDTAYNTKSLLAVLAVC >ENSMUSP00000026568.8 pep:known chromosome:GRCm38:7:141131286:141157021:1 gene:ENSMUSG00000025495.14 transcript:ENSMUST00000026568.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptdss2 description:phosphatidylserine synthase 2 [Source:MGI Symbol;Acc:MGI:1351664] MRRGERRVAGGSGSESPLLKGRRSTESEVYDDGTNTFFWRAHTLTVLFILTCALGYVTLL EETPQDTAYNTKRGIVASILVFLCFGVTQAKDGPFSRPHPAYWRFWLCVSVVYELFLIFI LFQTVQDGRQFLKYVDPRLGVPLPERDYGGNCLIYDADNKTDPFHNIWDKLDGFVPAHFI GWYLKTLMIRDWWMCMIISVMFEFLEYSLEHQLPNFSECWWDHWIMDVLVCNGLGIYCGM KTLEWLSLKTYKWQGLWNIPTYKGKMKRIAFQFTPYSWVRFEWKPASSLHRWLAVCGIIL VFLLAELNTFYLKFVLWMPPEHYLVLLRLVFFVNVGGVAMREIYDFMDELKPHRKLGQQA WLVAAITVTELLIVVKYDPHTLTLSLPFYISQCWTLGSILVLTWTVWRFFLRDITMRYKE TRRQKQQSHQARAVNNRDGHPGPDDDLLGTGTAEEEGTTNDGVTAEEGTSAAS >ENSMUSP00000134176.1 pep:known chromosome:GRCm38:7:141131356:141143142:1 gene:ENSMUSG00000025495.14 transcript:ENSMUST00000174058.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptdss2 description:phosphatidylserine synthase 2 [Source:MGI Symbol;Acc:MGI:1351664] MRRGERRVAGGSGSESPLLKGRRSTESEVYDDGTNTFFCFCSESQPELLFNMIPSNDCEN IRGKRSNPSTWKAEAGVPTL >ENSMUSP00000134436.1 pep:known chromosome:GRCm38:7:141132438:141147113:1 gene:ENSMUSG00000025495.14 transcript:ENSMUST00000174612.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptdss2 description:phosphatidylserine synthase 2 [Source:MGI Symbol;Acc:MGI:1351664] MDSFCSESQPELLFNMIPSNDCENIRGKRSNPSTWKAEAGVPTL >ENSMUSP00000031976.7 pep:known chromosome:GRCm38:6:65778988:65936376:1 gene:ENSMUSG00000029913.14 transcript:ENSMUST00000031976.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm5 description:PR domain containing 5 [Source:MGI Symbol;Acc:MGI:1918029] MLGMYVPDRFALKSSRVQDGMGLYTARRVRKGEKFGPFAGEKRMPEDLDENMDYRLMWEV RGSKGEVLYILDATNPRHSNWLRFVHEAPSQERKNLAAIQEGENIFYLAVDDIETDTELL IGYLDSDVEAEEEEQQALTMTKEGKVDHSKGQLAAGSKGHLGCEEDFACPQCESSFPSEE VLTEHLQSLHQKPTGEKEFKCENCGKKFPVRQALQRHFEQHRKACRGEARFVCKADSCGK RLKSKDALRRHQENVHTGDPKRKLICSVCNRKCTSVSSLQEHRKIHEIFDCQECMKKFIS ANQLKRHMITHSEKRPYNCEICNKSFKRLDQVGAHKVIHSEDKPYQCKLCGKGFAHRNVY KNHKKTHSEERPFQCDACKALFRTPFSLQRHLLIHNSERTFKCHHCDATFKRKDTLNVHV QVVHERHKKYRCELCNKAFVTPSVLRSHKKTHTGEKEKVCPYCGQKFASSGTLRVHIRSH TGERPYQCPYCEKGFSKNDGLKMHIRTHTREKPYQCSECSKAFSQKRGLDEHKRTHTGEK PFQCDVCDLAFSLKKMLIRHKMTHNPNRPMAECHFCHKKFTRNDYLKVHMDNIHGVADS >ENSMUSP00000079979.7 pep:known chromosome:GRCm38:6:65779022:65936373:1 gene:ENSMUSG00000029913.14 transcript:ENSMUST00000081219.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm5 description:PR domain containing 5 [Source:MGI Symbol;Acc:MGI:1918029] MLGMYVPDRFALKSSRVQDGMGLYTARRVRKVRGSKGEVLYILDATNPRHSNWLRFVHEA PSQERKNLAAIQTHTGEKEKVCPYCGQKFASSGTLRVHIRSHTGERPYQCPYCEKGFSKN DGLKMHIRTHTREKPYQCSECSKAFSQKRGLDEHKRTHTGEKPFQCDVCDLAFSLKKMLI RHKMTHNPNRPMAECHFCHKKFTRNDYLKVHMDNIHGVADS >ENSMUSP00000031973.6 pep:known chromosome:GRCm38:6:65779025:65936298:1 gene:ENSMUSG00000029913.14 transcript:ENSMUST00000031973.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm5 description:PR domain containing 5 [Source:MGI Symbol;Acc:MGI:1918029] MLGMYVPDRFALKSSRVQDGMGLYTARRVRKGEKFGPFAGEKRMPEDLDENMDYRLMWEV RGSKGEVLYILDATNPRHSNWLRFVHEAPSQERKNLAAIQIHEIFDCQECMKKFISANQL KRHMITHSEKRPYNCEICNKSFKRLDQVGAHKVIHSEDKPYQCKLCGKGFAHRNVYKNHK KTHSEERPFQCDACKALFRTPFSLQRHLLIHNSERTFKCHHCDATFKRKDTLNVHVQVVH ERHKKYRCELCNKAFVTPSVLRSHKKTHTGEKEKVCPYCGQKFASSGTLRVHIRSHTGER PYQCPYCEKGFSKNDGLKMHIRTHTREKPYQCSECSKAFSQKRGLDEHKRTHTGEKPFQC DVCDLAFSLKKMLIRHKMTHNPNRPMAECHFCHKKFTRNDYLKVHMDNIHGVADS >ENSMUSP00000133423.1 pep:known chromosome:GRCm38:6:65779101:65936134:1 gene:ENSMUSG00000029913.14 transcript:ENSMUST00000172638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm5 description:PR domain containing 5 [Source:MGI Symbol;Acc:MGI:1918029] MLGMYVPDRFALKSSRVQDGMGLYTARRVRKGEKFGPFAGEKRMPEDLDENMDYRLMWEV RGSKGEVLYILDATNPRHSNWLRFVHEAPSQERKNLAAIQEGENIFYLAVDDIETDTELL IGYLDSDVEAEEEEQQALTMTKEGKVDHSKGQLAAGSKGHLGCEEDFACPQCESSFPSEE VLTEHLQSLHQKPTGEKEFKCENCGKKFPVRQALQRHFEQHRKACRGEARFVCKADSCGK RLKSKDALRRHQENVHTGDPKRKLICSVCNRKCTSVSSLQEHRKIHEIFDCQECMKKFIS ANQLKRHMITHSEKRPYNCEICNKSFKRLDQVGAHKVIHSEDKPYQCKLCGKGFAHRNVY KNHKKTHSEERPFQCDACKALFRTPFSLQRHLLIHNSERTFKCHHCDATFKRKDTLNVHV QVVHERHKKYRCELCNKAFVTPSVLRSHKKTHTGEKEKVCPYCGQKFASSGTLRVHIRSH TGEALPVLRVQQGLQPEAGPR >ENSMUSP00000010007.8 pep:known chromosome:GRCm38:4:140961203:140979193:1 gene:ENSMUSG00000009863.14 transcript:ENSMUST00000010007.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdhb description:succinate dehydrogenase complex, subunit B, iron sulfur (Ip) [Source:MGI Symbol;Acc:MGI:1914930] MAATVGVSLKRGFPAAVLGRVGLQFQACRGAQTAAAAAPRIKKFAIYRWDPDKTGDKPRM QTYEVDLNKCGPMVLDALIKIKNEVDSTLTFRRSCREGICGSCAMNINGGNTLACTRRID TDLSKVSKIYPLPHMYVIKDLVPDLSNFYAQYKSIEPYLKKKDESQEGKQQYLQSIEDRE KLDGLYECILCACCSTSCPSYWWNGDKYLGPAVLMQAYRWMIDSRDDFTEERLAKLQDPF SVYRCHTIMNCTQTCPKGLNPGKAIAEIKKMMATYKEKRALA >ENSMUSP00000026993.7 pep:known chromosome:GRCm38:13:54789377:54796776:1 gene:ENSMUSG00000025875.14 transcript:ENSMUST00000026993.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan17 description:tetraspanin 17 [Source:MGI Symbol;Acc:MGI:1921507] MPGKHQQFQDPEVGCCGKYFLFGFNIVFWVLGALFLAIGLWAWGEKGVLSNISALTDLGG LDPVWLFVVVGGVMSVLGFAGCIGALRENTFLLKFFSVFLGLIFFLELAAGILAFVFKDW IRDQLNLFINNNVKAYRDDLDLQNLIDFAQEYWSCCGARGPNDWNLNIYFNCTDLNPSRE RCGVPFSCCVRDPAEDVLNTQCGYDIRLKLELEQQGSIYTKGCVGQFEKWLQDNLIVVAG VLVGIALLQIFGLCLAQNLVSDIKAVKANW >ENSMUSP00000115287.2 pep:known chromosome:GRCm38:13:54789403:54796768:1 gene:ENSMUSG00000025875.14 transcript:ENSMUST00000131692.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan17 description:tetraspanin 17 [Source:MGI Symbol;Acc:MGI:1921507] MPGKHQQFQDPEVGCCGKYFLFGFNIVFWVLGALFLAIGLWAWGEKGVLSNISALTDLGG LDPVWLFVVVGGVMSVLGFAGCIGALRENTFLLKFFSVFLGLIFFLELAAGILAFVFKDW IRDQLNLFINNNVKAYRDDLDLQNLIDFAQEYWSCCGARGPNDWNLNIYFNCTDLNPSRE RCGVPFSCCVRDPAEDVLNTQCGYDIRLKLELEQQGSIYTKGCVGQFEKWLQDNLIVVAG VLVGIALLQIFGLCLAQNLVSDIKAVKANWITLGDGYKRLNKQHF >ENSMUSP00000131671.1 pep:known chromosome:GRCm38:13:54789463:54796187:1 gene:ENSMUSG00000025875.14 transcript:ENSMUST00000163796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan17 description:tetraspanin 17 [Source:MGI Symbol;Acc:MGI:1921507] MPGKHQQFQDPEVGCCGKYFLFGFNIVFWFSVFLGLIFFLELAAGILAFVFKDWIRDQLN LFINNNVKAYRDDLDLQNLIDFAQEYWSCCGARGPNDWNLNIYFNCTDLNPSRERCGVPF SCCVRDPAEDVLNTQCGYDIRLKLELEQQGSIYTKGCVGQFEKWLQDNLIVVAGVLVGIA LLQIFGLCLAQNL >ENSMUSP00000130765.1 pep:known chromosome:GRCm38:13:54789595:54796118:1 gene:ENSMUSG00000025875.14 transcript:ENSMUST00000163915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan17 description:tetraspanin 17 [Source:MGI Symbol;Acc:MGI:1921507] MPGKHQQFQDPEVGCCGKYFLFGFNIVFWFSVFLGLIFFLELAAGILAFVFKDWIRDQLN LFINNNVKAYRDDLDLQNLIDFAQEYEDVLNTQCGYDIRLKLELEQQGSIYTKGCVGQFE KWLQDNLIVVAGVLVGIALLQVQPWLAHPPPA >ENSMUSP00000097102.3 pep:known chromosome:GRCm38:13:54789595:54796222:1 gene:ENSMUSG00000025875.14 transcript:ENSMUST00000099503.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan17 description:tetraspanin 17 [Source:MGI Symbol;Acc:MGI:1921507] MPGKHQQFQDPEVGCCGKYFLFGFNIVFWVLGALFLAIGLWAWGEKGVLSNISALTDLGG LDPVWLFVVVGGVMSVLGFAGCIGALRENTFLLKFFSVFLGLIFFLELAAGILAFVFKDW IRDQLNLFINNNVKAYRDDLDLQNLIDFAQEYWSCCGARGPNDWNLNIYFNCTDLNPSRE RCGVPFSCCVRDPAEDVLNTQCGYDIRLKLVRRELEQQGSIYTKGCVGQFEKWLQDNLIV VAGVLVGIALLQIFGLCLAQNLVSDIKAVKANW >ENSMUSP00000128568.1 pep:known chromosome:GRCm38:13:54789645:54795148:1 gene:ENSMUSG00000025875.14 transcript:ENSMUST00000171859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan17 description:tetraspanin 17 [Source:MGI Symbol;Acc:MGI:1921507] XKYFLFGFNIVFWVLGALFLAIGLWAWGEKGVLSNISALTDLGGLDPVWLFVVVGGVMSV LGFAGCIGALRENTFLLKFDWIRDQLNLFINNNVKAYRDDLDLQNLIDFAQEYWSCCGAR GPNDWNLNIYFNCTDLNPSRERCGV >ENSMUSP00000123607.2 pep:known chromosome:GRCm38:13:54792740:54796165:1 gene:ENSMUSG00000025875.14 transcript:ENSMUST00000130568.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan17 description:tetraspanin 17 [Source:MGI Symbol;Acc:MGI:1921507] GVLSNISALTDLGGLDPVWLFVVVGGVMSVLGFAGCIGALRENTFLLKFFSVFLGLIFFL ELAAGILAFVFKDWIRDQLNLFINNNVKAYRDDLDLQNLIDFAQEYWSCCGARGPNDWNL NIYFNCTDLNPSRERCGVPFSCCVRDPAMSSIPSVAMTSDSNWSSSSRAPSTPKAVWASL RSGYKTT >ENSMUSP00000119687.1 pep:known chromosome:GRCm38:13:54792871:54796775:1 gene:ENSMUSG00000025875.14 transcript:ENSMUST00000145574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan17 description:tetraspanin 17 [Source:MGI Symbol;Acc:MGI:1921507] XFLLKFFSVFLGLIFFLELAAGILAFVFKDWIRDQLNLFINNNVKAYRDDLDLQNLIDFA QEYWSCCGARGPNDWNLNIYFNCTDLNPSRERCGVPFSCCVRDPAEDVLNTQCGYDIRLK LELEQQGSIYTKGCVGQFEKWLQDNLIVVAGVLVGIALLQIFGLCLAQNLVSDIKAVKAN WSLLSQTSPYSP >ENSMUSP00000132687.1 pep:known chromosome:GRCm38:5:143933062:143985719:1 gene:ENSMUSG00000075569.9 transcript:ENSMUST00000166847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph10b description:radial spoke head 10 homolog B (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1922386] MVKEKKKADKKGDKSARSPSSISDNPEASKQDSNASKQEVAPSAVVPVVETPLKQAPKRD SVQMEQSEEETQYEEPILTKLIVESYEGEKVRGLYEGEGFAVFQGGNTYHGMFSEGLMHG QGTYIWADGLKYEGDFVKNIPMNHGVYTWPDGSTYEGEVTNGMRNGFGMFKCGTQPVSYI GHWCHGKRHGKGSIYYNQEGTSWYEGDWVYNIKKGWGIRCYKSGNIYEGQWENNMRHGEG RMRWLTTNEEYTGHWEKGIQNGFGTHTWFLKRIPNSQYPLRNEYIGEFVNGFRHGQGKFY YASGAMYEGEWASNKKQGRGRMTFKNGHVYEGLFSNDHIAQFFETEMDYSQSLDRWSDAS QRSRQPRGSSVSAVREPETLRKLDGSESRSVLGTSIELDLTLLLDMYPEESQEEEKKQVE YAVLRNITELRRIYCFYSGLGCDHSLDNTFLMTKLHFWRFLKDCRFHHHNITLADMDRVL SVYNGIPIEEIHSPFRTILLRTFLNYLLQLAYYIHHKEFQNRSPSLFLCFTKLMSENIHP HACQVKGHLFSEQQRTLYSMNYIDKCWEIYTAYCRPNEAPPYELTMKMRYFLWMLKDFRM INKDLTATKFMTVIAEDNPFVYDGTDSNFELELVFLEFFEALLCFSLCCMFDQMTRSYLK VPYDDITTNRYGSTQTILNQSIHRSPSAITSHDSEVHFSSTKSSLDKIGALPDGKIRQSE PKLKKSLSEDKVSKMNFKTQGRGLVFMSPQGEKYEKPKDEQKEKLNIWVNNLYVFFVSVL FSAYKREEMLKEKVKENQLQEAELAQQRQIENEELEARLNILREEEARKQDFELDITVLK EPSEIPASQPLTPSPPKEDLASIQTSKASPGKKKKK >ENSMUSP00000127770.1 pep:known chromosome:GRCm38:5:143937083:143946398:1 gene:ENSMUSG00000075569.9 transcript:ENSMUST00000169758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph10b description:radial spoke head 10 homolog B (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1922386] MVKEKKKADKKGDKSARSPSSISDNPEASKQDSNASKQEVAPSAVVPVVETPLKQAPKRD SVQMEQSEEETQYEEPILTKLIVESYEGEKVRGLYEGEGFAVFQGGNTYHGMFSEGLMHG QGTYIWADGLKYEGDFVKNIPMNHGVYTWPDGSTYEGEVTNGMRNGFGMFKCGTQPVSYI GHWCHGKRHGKVSSLCHLTHCHDVLPQHWPRTNRTDGYRLKTLEPPTKINNPSL >ENSMUSP00000104306.1 pep:known chromosome:GRCm38:11:69045647:69051664:1 gene:ENSMUSG00000020897.12 transcript:ENSMUST00000108666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkb description:aurora kinase B [Source:MGI Symbol;Acc:MGI:107168] MAQKENAYPWPYGSKTSQSGLNTLSQRVLRKEPATTSALALVNRFNSQSTAAPGQKLAEN KSQGSTASQGSQNKQPFTIDNFEIGRPLGKGKFGNVYLAREKKSRFIVALKILFKSQIEK EGVEHQLRREIEIQAHLKHPNILQLYNYFYDQQRIYLILEYAPRGELYKELQKSRTFDEQ RTATIMEELSDALTYCHKKKVIHRDIKPENLLLGLQGELKIADFGWSVHAPSLRRKTMCG TLDYLPPEMIEGRMHNEMVDLWCIGVLCYELMVGNPPFESPSHSETYRRIVKVDLKFPSS VPSGAQDLISKLLKHNPWQRLPLAEVAAHPWVRANSRRVLPPSAL >ENSMUSP00000021277.5 pep:known chromosome:GRCm38:11:69045652:69051664:1 gene:ENSMUSG00000020897.12 transcript:ENSMUST00000021277.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aurkb description:aurora kinase B [Source:MGI Symbol;Acc:MGI:107168] MAQKENAYPWPYGSKTSQSGLNTLSQRVLRKEPATTSALALVNRFNSQSTAAPGQKLAEN KSQGSTASQGSQNKQPFTIDNFEIGRPLGKGKFGNVYLAREKKSRFIVALKILFKSQIEK EGVEHQLRREIEIQAHLKHPNILQLYNYFYDQQRIYLILEYAPRGELYKELQKSRTFDEQ RTATIMEELSDALTYCHKKKVIHRDIKPENLLLGLQGELKIADFGWSVHAPSLRRKTMCG TLDYLPPEMIEGRMHNEMVDLWCIGVLCYELMVGNPPFESPSHSETYRRIVKVDLKFPSS VPSGAQDLISKLLKHNPWQRLPLAEVAAHPWVRANSRRVLPPSAL >ENSMUSP00000041756.7 pep:known chromosome:GRCm38:2:52397951:52424901:-1 gene:ENSMUSG00000036093.7 transcript:ENSMUST00000036541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl5a description:ADP-ribosylation factor-like 5A [Source:MGI Symbol;Acc:MGI:1922673] MGILFTRIWRLFNHQEHKVIIVGLDNAGKTTILYQFSMNEVVHTSPTIGSNVEEIVVNNT RFLMWDIGGQESLRPSWNTYYTNTEFVIVVVDSTDRERISVTREELYKMLAHEDLRKAGL LIFANKQDVKECMTVAEISQFLKLTSIKDHQWHIQACCALTGEGLCQGLEWMMSRLKIR >ENSMUSP00000068568.6 pep:known chromosome:GRCm38:6:72598475:72608425:1 gene:ENSMUSG00000056666.13 transcript:ENSMUST00000070597.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Retsat description:retinol saturase (all trans retinol 13,14 reductase) [Source:MGI Symbol;Acc:MGI:1914692] MWITALLLAVLLLVILHRVYVGLYAASSPNPFAEDVKRPPEPLVTDKEARKKVLKQAFSV SRVPEKLDAVVIGSGIGGLASAAVLAKAGKRVLVLEQHTKAGGCCHTFGENGLEFDTGIH YIGRMREGNIGRFILDQITEGQLDWAPMASPFDLMILEGPNGRKEFPMYSGRKEYIQGLK KKFPKEEAVIDKYMELVKVVARGVSHAVLLKFLPLPLTQLLSKFGLLTRFSPFCRASTQS LAEVLQQLGASRELQAVLSYIFPTYGVTPSHTAFSLHALLVDHYIQGAYYPRGGSSEIAF HTIPLIQRAGGAVLTRATVQSVLLDSAGRACGVSVKKGQELVNIYCPVVISNAGMFNTYQ HLLPETVRHLPDVKKQLAMVRPGLSMLSIFICLKGTKEDLKLQSTNYYVYFDTDMDKAME RYVSMPKEKAPEHIPLLFIAFPSSKDPTWEERFPDRSTMTALVPMAFEWFEEWQEEPKGK RGVDYETLKNAFVEASMSVIMKLFPQLEGKVESVTGGSPLTNQYYLAAPRGATYGADHDL ARLHPHAMASIRAQTPIPNLYLTGQDIFTCGLMGALQGALLCSSAILKRNLYSDLQALGS KVKAQKKKM >ENSMUSP00000134847.1 pep:known chromosome:GRCm38:6:72598628:72607381:1 gene:ENSMUSG00000056666.13 transcript:ENSMUST00000176364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Retsat description:retinol saturase (all trans retinol 13,14 reductase) [Source:MGI Symbol;Acc:MGI:1914692] MWITALLLAVLLLVILHRVYVGLYAASSPNPFAEDVKRPPEPLVTDKEARKKVLKQGIHY IGRMREGNIGRFILDQITEGQLDWAPMASPFDLMILEGPNGRKEFPMYSGRKEYIQGLKK KFPKEEAVIDKYMELVKVVARGVSHAVLLKFLPLPLTQLLSKFGLLTRFSPFCRASTQSL AEVLQQLGASRELQAVLSYIFPTYGVTPSHTAFSLHALLVDHYIQGAYYPRGGSSEIAFH TIPLIQRAGGAVLTRATVQSVLLDSAGRACGVSVKKGQELVNIYCPVVISNAGMFNTYQH LLPETVRHLPDVKKQLAMVRPGLSMLSIFICLKGTKEDLKLQSTNYYVYFDTDMDKAMER YVSMPKEKAPEHIPLLFIAFPSSKDPTWEERFPDRSTMTALVPMAFEWFEEWQEEPKGKR GVDYETLKNAFVEASMSVIMKLFPQLEGKVESVTGGSPLTNQYYLAAPRGATYGADHDLA RLHPHAMASIRAQTPIPNLYLTGQDIFTCGLMGALQGALLCSSAILKRNLYSDLQALGSK VKAQKKKM >ENSMUSP00000135421.1 pep:known chromosome:GRCm38:6:72598628:72607381:1 gene:ENSMUSG00000056666.13 transcript:ENSMUST00000176168.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Retsat description:retinol saturase (all trans retinol 13,14 reductase) [Source:MGI Symbol;Acc:MGI:1914692] MWITALLLAVLLLVILHRVYVGLYAASSPNPFAEDVKRPPEPLVTDKEARKKVLKQGVTP SHTAFSLHALLVDHYIQGAYYPRGGSSEIAFHTIPLIQRAGGAVLTRATVQSVLLDSAGR ACGVSVKKGQELVNIYCPVVISNAGMFNTYQHLLPETVRHLPDVKKQLAMVRPGLSMLSI FICLKGTKEDLKLQSTNYYVYFDTDMDKAMERYVSMPKEKAPEHIPLLFIAFPSSKDPTW EERFPDRSTMTALVPMAFEWFEEWQEEPKGKRGVDYETLKNAFVEASMSVIMKLFPQLEG KVESVTGGSPLTNQYYLAAPRGATYGADHDLARLHPHAMASIRAQTPIPNLYLTGQDIFT CGLMGALQGALLCSSAILKRNLYSDLQALGSKVKAQKKKM >ENSMUSP00000146069.1 pep:known chromosome:GRCm38:6:72605972:72607442:1 gene:ENSMUSG00000056666.13 transcript:ENSMUST00000205878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Retsat description:retinol saturase (all trans retinol 13,14 reductase) [Source:MGI Symbol;Acc:MGI:1914692] XVKKQLAMVRPGLSMLSIFICLKGTKEDLKLQSTNYYVYFDTDMDKAMERYVSMPKEKAP EHIPLLFIAFPSSKDPTWEERFPDRSTMTALVPMAFEWFEEWQEEPKGKRGVDYETLKNA FVEASMSVIMKLFPQLEGKAKISSPVG >ENSMUSP00000116567.1 pep:known chromosome:GRCm38:6:72606645:72607211:1 gene:ENSMUSG00000056666.13 transcript:ENSMUST00000129071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Retsat description:retinol saturase (all trans retinol 13,14 reductase) [Source:MGI Symbol;Acc:MGI:1914692] XKRGVDYETLKNAFVEASMSVIMKLFPQLEGKVESVTGGSPLTNQYYLAAPRGATYGADH DLARLHPHAMASIRAQTPIPNLYLTGTLPHFARIWTSLA >ENSMUSP00000132104.1 pep:known chromosome:GRCm38:5:143928175:143937309:1 gene:ENSMUSG00000104633.1 transcript:ENSMUST00000172367.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm42421 description:predicted gene, 42421 [Source:MGI Symbol;Acc:MGI:5649069] XSSWWTSMLRMRSTTLRCCSSTRCSRRRGSSRGCTQASEFPGPQTLNLTAVNEAVLIENL EIFRKNGFDFVIDEDAPVTERAKLISLPTSKNWTFGPQDIDELIFMLSDSPGVMCRPSRV RQMFASRACRKSVKPIRALKQNCSLPKKSLANSACKENAHVFQKPW >ENSMUSP00000006435.7 pep:known chromosome:GRCm38:8:69088646:69113711:1 gene:ENSMUSG00000006273.14 transcript:ENSMUST00000006435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1b2 description:ATPase, H+ transporting, lysosomal V1 subunit B2 [Source:MGI Symbol;Acc:MGI:109618] MALRAMRGIVNGAAPELPVPTGGPMAGAREQALAVSRNYLSQPRLTYKTVSGVNGPLVIL DHVKFPRYAEIVHLTLPDGTKRSGQVLEVSGSKAVVQVFEGTSGIDAKKTSCEFTGDILR TPVSEDMLGRVFNGSGKPIDRGPVVLAEDFLDIMGQPINPQCRIYPEEMIQTGISAIDGM NSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKKSKDVVDYSEENFAIVFAAMGVNMET ARFFKSDFEENGSMDNVCLFLNLANDPTIERIITPRLALTTAEFLAYQCEKHVLVILTDM SSYAEALREVSAAREEVPGRRGFPGYMYTDLATIYERAGRVEGRNGSITQIPILTMPNDD ITHPIPDLTGYITEGQIYVDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHADVSN QLYACYAIGKDVQAMKAVVGEEALTSDDLLYLEFLQKFEKNFITQGPYENRTVYETLDIG WQLLRIFPKEMLKRIPQSTLSEFYPRDSAKH >ENSMUSP00000115364.2 pep:known chromosome:GRCm38:X:56609757:56634841:1 gene:ENSMUSG00000060681.15 transcript:ENSMUST00000144068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a6 description:solute carrier family 9 (sodium/hydrogen exchanger), member 6 [Source:MGI Symbol;Acc:MGI:2443511] MDEEIVSEKQAEESHRQDSANLLIFILLLTLTILTIWLFKHRRARFLHETGLAMIYGLLV GLVLRYGIHVPSDVNNVTLSCEVQSSPTTLLVNVSGKFYEYTLKGEISSHELNNVQDNEM LRKVTFDPEVFFNILLPPIIFYAGYSLKRRHFFRNLGSILAYAFLGTAISCFVIGSIMYG CVTLMKVTGQLAGDFYFTDCLLFGAIVSATDPVTVLAIFHELQVDVELYALLFGESVLND AVAIVLSSSIVAYQPAGDNSHTFDVTAMFKSIGIFLGIFSGSFAMGAATGVVTALVTKFT KLREFQLLETGLF >ENSMUSP00000076922.5 pep:known chromosome:GRCm38:X:56609775:56664230:1 gene:ENSMUSG00000060681.15 transcript:ENSMUST00000077741.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a6 description:solute carrier family 9 (sodium/hydrogen exchanger), member 6 [Source:MGI Symbol;Acc:MGI:2443511] MAGARRGWRLAPVRRGVCGPRARPLMRPLWLLFAVSFFGWTGALDGSGGTTRAMDEEIVS EKQAEESHRQDSANLLIFILLLTLTILTIWLFKHRRARFLHETGLAMIYGLLVGLVLRYG IHVPSDVNNVTLSCEVQSSPTTLLVNVSGKFYEYTLKGEISSHELNNVQDNEMLRKVTFD PEVFFNILLPPIIFYAGYSLKRRHFFRNLGSILAYAFLGTAISCFVIGSIMYGCVTLMKV TGQLAGDFYFTDCLLFGAIVSATDPVTVLAIFHELQVDVELYALLFGESVLNDAVAIVLS SSIVAYQPAGDNSHTFDVTAMFKSIGIFLGIFSGSFAMGAATGVVTALVTKFTKLREFQL LETGLFFLMSWSTFLLAEAWGFTGVVAVLFCGITQAHYTYNNLSTESQHRTKQLFELLNF LAENFIFSYMGLTLFTFQNHVFNPTFVVGAFIAIFLGRAANIYPLSLLLNLGRRSKIGSN FQHMMMFAGLRGAMAFALAIRDTATYARQMMFSTTLLIVFFTVWVFGGGTTAMLSCLHIR VGVDSDQEHLGVPDNERRTTKAESAWLFRMWYNFDHNYLKPLLTHSGPPLTTTLPACCGP IARCLTSPQAYENQEQLKDDDSDLILNDGDISLTYGDSTVNTESATASAPRRFMGNSSED ALDRELTFGDHELVIRGTRLVLPMDDSEPALNSLDDTRHSPA >ENSMUSP00000110432.2 pep:known chromosome:GRCm38:X:56609817:56663841:1 gene:ENSMUSG00000060681.15 transcript:ENSMUST00000114784.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a6 description:solute carrier family 9 (sodium/hydrogen exchanger), member 6 [Source:MGI Symbol;Acc:MGI:2443511] MAGARRGWRLAPVRRGVCGPRARPLMRPLWLLFAVSFFGWTGALDGSGGTTRAMDEEIVS EKQAEESHRQDSANLLIFILLLTLTILTIWLFKHRRARFLHETGLAMIYGLLVGLVLRYG IHVPSDVNNVTLSCEVQSSPTTLLVTFDPEVFFNILLPPIIFYAGYSLKRRHFFRNLGSI LAYAFLGTAISCFVIGSIMYGCVTLMKVTGQLAGDFYFTDCLLFGAIVSATDPVTVLAIF HELQVDVELYALLFGESVLNDAVAIVLSSSIVAYQPAGDNSHTFDVTAMFKSIGIFLGIF SGSFAMGAATGVVTALVTKFTKLREFQLLETGLFFLMSWSTFLLAEAWGFTGVVAVLFCG ITQAHYTYNNLSTESQHRTKQLFELLNFLAENFIFSYMGLTLFTFQNHVFNPTFVVGAFI AIFLGRAANIYPLSLLLNLGRRSKIGSNFQHMMMFAGLRGAMAFALAIRDTATYARQMMF STTLLIVFFTVWVFGGGTTAMLSCLHIRVGVDSDQEHLGVPDNERRTTKAESAWLFRMWY NFDHNYLKPLLTHSGPPLTTTLPACCGPIARCLTSPQAYENQEQLKDDDSDLILNDGDIS LTYGDSTVNTESATASAPRRFMGNSSEDALDRELTFGDHELVIRGTRLVLPMDDSEPALN SLDDTRHSPA >ENSMUSP00000147081.1 pep:known chromosome:GRCm38:X:56645848:56661381:1 gene:ENSMUSG00000060681.15 transcript:ENSMUST00000207892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a6 description:solute carrier family 9 (sodium/hydrogen exchanger), member 6 [Source:MGI Symbol;Acc:MGI:2443511] XYARQMMFSTTLLIVFFTVWVFGGGTTAMLSCLHIRYYARLWGYRDELTHGLYPQEAHSL FGETDRVGVDSDQEHLGVPDNERRTTKAESAWLFRMWYNFDHNYLKPLLTHSGPPLTTTL PACCGPIARCLTSPQAYENQEQLKDDDSDLILNDGDISLTYGDSTVNTESATASAPRRFM GNSSEDALDRELTFGDHELVIRGTRLV >ENSMUSP00000117533.1 pep:known chromosome:GRCm38:2:65845767:66031546:1 gene:ENSMUSG00000044647.16 transcript:ENSMUST00000122912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrnp3 description:cysteine-serine-rich nuclear protein 3 [Source:MGI Symbol;Acc:MGI:1925021] MRSQGTCDNAAAMSGILKRKFEDVDASSPCSSARESDDEVSSSESADSGDSVNPSTSNHF TPSSILKREKRLRTKNVHFSCVTVYYFTRRQGFTSVPSQGGSTLGMSSRHNSVRQYTLGE FAREQERLHREMLREHLREEKLNSLKLKMTKNGTVESEEASTLTVDDISDDDIDLDNTEV DEYFFLQPLPTKKRRALLRASGVKKIDVDEKHELRAIRLSREDCGCDCRVFCDPETCTCS LAGIKCQVDRMSFPCGCTKEGCSNTAGRIEFNPIRVRTHFLHTIMKLELEKNREQQTPTL NGCHGEISAHGPSMGPVAHSVEYSIADNFEIETEPQAAVLHLQEELDCQGDEEEEEEDGS SFCSGATDSSTQSLAPSESDEEEEEEEEEEEEEEEDDDDDKGDGFVEGLGAHTEVVPLPS VLCYSDGTAVHESHTKNASFYASSSTLYYQIDSHIPGTPSQLSDNYSERDTVKNGALSLV PYAMTPERFVDYARQAEEAYGASHYPAANPSVIVCCPTSENDSGVPCNPLYPEHRSNLPQ VEFHSYLKGPAQEGFVSTLNGDSHISEHPAENPLSLAEKSRLHEECIQSPVVETVPV >ENSMUSP00000055719.3 pep:known chromosome:GRCm38:2:65845842:66025606:1 gene:ENSMUSG00000044647.16 transcript:ENSMUST00000053910.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrnp3 description:cysteine-serine-rich nuclear protein 3 [Source:MGI Symbol;Acc:MGI:1925021] MRSQGTCDNAAAMSGILKRKFEDVDASSPCSSARESDDEVSSSESADSGDSVNPSTSNHF TPSSILKREKRLRTKNVHFSCVTVYYFTRRQGFTSVPSQGGSTLGMSSRHNSVRQYTLGE FAREQERLHREMLREHLREEKLNSLKLKMTKNGTVESEEASTLTVDDISDDDIDLDNTEV DEYFFLQPLPTKKRRALLRASGVKKIDVDEKHELRAIRLSREDCGCDCRVFCDPETCTCS LAGIKCQVDRMSFPCGCTKEGCSNTAGRIEFNPIRVRTHFLHTIMKLELEKNREQQTPTL NGCHGEISAHGPSMGPVAHSVEYSIADNFEIETEPQAAVLHLQEELDCQGDEEEEEEDGS SFCSGATDSSTQSLAPSESDEEEEEEEEEEEEEEEDDDDDKGDGFVEGLGAHTEVVPLPS VLCYSDGTAVHESHTKNASFYASSSTLYYQIDSHIPGTPSQLSDNYSERDTVKNGALSLV PYAMTPERFVDYARQAEEAYGASHYPAANPSVIVCCPTSENDSGVPCNPLYPEHRSNLPQ VEFHSYLKGPAQEGFVSTLNGDSHISEHPAENPLSLAEKSRLHEECIQSPVVETVPV >ENSMUSP00000135151.1 pep:known chromosome:GRCm38:2:65845855:66023279:1 gene:ENSMUSG00000044647.16 transcript:ENSMUST00000112397.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Csrnp3 description:cysteine-serine-rich nuclear protein 3 [Source:MGI Symbol;Acc:MGI:1925021] MRSQGTCDNAAAMSGILKRKFEDVDASSPCSSARESDDEVSSSESADSGDSVNPSTSNHF THD >ENSMUSP00000135019.1 pep:known chromosome:GRCm38:2:65930137:66023946:1 gene:ENSMUSG00000044647.16 transcript:ENSMUST00000176109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrnp3 description:cysteine-serine-rich nuclear protein 3 [Source:MGI Symbol;Acc:MGI:1925021] MSGILKRKFEDVDASSPCSSARESDDEVSSSESADSGDSVNPSTSNHFTPSSILKREKRL RTKNVHFSCVTVYYFTRRQGFTSVPSQGGSTLGMSSRHNSVRQYTLGEFAREQERLHREM LREHLREEKLNSLKLKMTKNGTVESEEASTLTVDDISDDDIDLDNTEVDEYFFLQPLPTK KRRALLRASGVKKIDVDEKHELRAIRLSREDCGCDCRVFCDPETCTCSLAGIKCQVDRMS FPCGCTKEGCSNTAGRIEFNPIRVRTHFLHTIMKLELEKNREQQTPTLNGCHGEISAHGP SMGPVAHSVEYSIADNFEIETEPQAAVLHLQEELDCQGDEEEEEEDGSSFCSGATDSSTQ SLAPSESDEEEEEEEEEEEEEEEDDDDDKGDGFVEGLGAHTEVVPLPSVLCYSDGTAVHE SHTKNASFYASSSTLYYQIDSHIPGTPSQLSDNYSERDTVKNGALSLVPYAMTPERFVDY ARQAEEAYGASHYPAANPSVIVCCPTSENDSGVPCNPLYPEHRSNLPQVEFHSYLKGPAQ EGFVSTLNGDSHISEHPAENPLSLAEKSRLHEECIQSPVVETVPV >ENSMUSP00000135605.1 pep:known chromosome:GRCm38:2:65931865:66023484:1 gene:ENSMUSG00000044647.16 transcript:ENSMUST00000145598.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrnp3 description:cysteine-serine-rich nuclear protein 3 [Source:MGI Symbol;Acc:MGI:1925021] MSGILKRKFEDVDASSPCSSARESDDEVSSSESADSGDSVNPSTSNHFTPSSILKREKRL RTKNVHFSCVTVYYFTRRQGFTSVPSQGGSTLGMSSRHNSVRQYTLGEFAREQERLHREM LREHLREEKLNSLKLKMTKNGTVESEEASTLTVDDISDDDIDLDNTEVDEYFFLQPLPTK KRRALLRASGVKKIDVDEKHELRAIRLSREDCGCDCRVFCDPETCTCSLAGIKCQVDRMS FPCGCTKEGCSNTAGRIEFNPIRVRTHFLHTIMKLELEKNREQQTPTLNGCHGEISAHGP SMGPVAHSVEYSIADNFEIETEPQAAVLHLQEELDCQGDEEEEEEDGSSFCSGATDSSTQ SLAPSESDEEEEEEEEEEEEEEEDDDDDKGDGFVEGLGAHTEVVPLPSVLCYSDGTAVHE SHTKNASFYASSSTLYYQIDSHIPGTPSQLSDNYSERDTVKNGALSLVPYAMTPERFVDY ARQAEEAYGASHYPAANPSVIVCCPTSENDSGVPCNPLYPEHRSNLPQVEFHSYLKGPAQ EGFVSTLNGDSHISEHPAENPLSLAEKSRLHEECIQSPVVETVPV >ENSMUSP00000108013.1 pep:known chromosome:GRCm38:2:65948949:66023053:1 gene:ENSMUSG00000044647.16 transcript:ENSMUST00000112394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrnp3 description:cysteine-serine-rich nuclear protein 3 [Source:MGI Symbol;Acc:MGI:1925021] MSGILKRKFEDVDASSPCSSARESDDEVSSSESADSGDSVNPSTSNHFTPSSILKREKRL RTKNVHFSCVTVYYFTRRQGFTSVPSQGGSTLGMSSRHNSVRQYTLGEFAREQERLHREM LREHLREEKLNSLKLKMTKNGTVESEEASTLTVDDISDDDIDLDNTEVDEYFFLQPLPTK KRRALLRASGVKKIDVDEKHELRAIRLSREDCGCDCRVFCDPETCTCSLAGIKCQVDRMS FPCGCTKEGCSNTAGRIEFNPIRVRTHFLHTIMKLELEKNREQQTPTLNGCHGEISAHGP SMGPVAHSVEYSIADNFEIETEPQAAVLHLQEELDCQGDEEEEEEDGSSFCSGATDSSTQ SLAPSESDEEEEEEEEEEEEEEEDDDDDKGDGFVEGLGAHTEVVPLPSVLCYSDGTAVHE SHTKNASFYASSSTLYYQIDSHIPGTPSQLSDNYSERDTVKNGALSLVPYAMTPERFVDY ARQAEEAYGASHYPAANPSVIVCCPTSENDSGVPCNPLYPEHRSNLPQVEFHSYLKGPAQ EGFVSTLNGDSHISEHPAENPLSLAEKSRLHEECIQSPVVETVPV >ENSMUSP00000119875.1 pep:known chromosome:GRCm38:5:143909964:143933968:1 gene:ENSMUSG00000079109.11 transcript:ENSMUST00000148011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pms2 description:postmeiotic segregation increased 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:104288] MEQTEGVSTECAKAIKPIDGKSVHQICSGQVVLSLSTAVKELIENSVDAGATTIDLRLKD YGVDLIEVSDNGCGVEEENFEGLALKHHTSKIQEFADLTQVETFGFRGEALSSLCALSDV TISTCHGSASVGTRLVFDHNGKITQKTPYPRPKGTTVSVQHLFYTLPVRYKEFQRNIKKE YAKMVQVLQAYCIISAGVRVSCTNQLGQGKRQPVVCTSGSSGMKENIGSVFGQKQLQSLI PFVQLPPSDAVCEEYGLSTSRTPQNLFYVSGFISQCTHGAGRSATDRQFFFINQRPCDPA KVSKLVNEVYHMYNRHQYPFVVLNVSVDSECVDINVTPDKRQILLQEEKLLLAVLKTSLI GMFDSDANKLNVNQQPLLDVEGNLVKLHTAELEKPVPGKQDNSPSLKSTADEKRVASISR LREAFSLHPTKEIKSRGPETAELTRSFPSEKRGVLSSYPSDVISYRGLRGSQDKLVSPTD SPGDCMDREKIEKDSGLSSTSAGSEEGFSTPEVASSFSSDYNVSSPEDRPSQETINCGDL DCRPPGTGQSLKPEDHGYQCKALPLARLSPTNAKRFKTEERPSNVNISQRLPGPQSTSAA EVDVAIKMNKRIVLLEFSLSSLAKRMKQLQHLKAQNKHELSYRKFRAKICPGENQAAEDE LRKEISKSMFAEMEILGQFNLGFIVTKLKEDLFLVDQHAADEKYNFEMLQQHTVLQAQRL ITPQTLNLTAVNEAVLIENLEIFRKNGFDFVIDEDAPVTERAKLISLPTSKNWTFGPQDI DELIFMLSDSPGVMCRPSRVRQMFASRACRKSVMIGTALNASEMKKLITHMGEMDHPWNC PHGRPTMRHVANLDVISQN >ENSMUSP00000106337.3 pep:known chromosome:GRCm38:5:143910057:143931159:1 gene:ENSMUSG00000079109.11 transcript:ENSMUST00000110709.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pms2 description:postmeiotic segregation increased 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:104288] MEQTEGVSTECAKAIKPIDGKSVHQICSGQVVLSLSTAVKELIENSVDAGATTIDLRLKD YGVDLIEVSDNGCGVEEENFEGLALKHHTSKIQEFADLTQVETFGFRGEALSSLCALSDV TISTCHGSASVGTRLVFDHNGKITQKTPYPRPKGTTVSVQHLFYTLPVRYKEFQRNIKKE YAKMVQVLQAYCIISAGVRVSCTNQLGQGKRQPVVCTSGSSGMKENIGSVFGQKQLQSLI PFVQLPPSDAVCEEYGLSTSRTPQNLFYKSMFAEMEILGQFNLGFIVTKLKEDLFLVDQH AADEKYNFEMLQQHTVLQAQRLITPQTLNLTAVNEAVLIENLEIFRKNGFDFVIDEDAPV TERAKLISLPTSKNWTFGPQDIDELIFMLSDSPGVMCRPSRVRQMFASRACRKSVMIGTA LNASEMKKLITHMGEMDHPWNCPHGRPTMRHVANLDVISQN >ENSMUSP00000133062.1 pep:known chromosome:GRCm38:5:143919579:143925479:1 gene:ENSMUSG00000079109.11 transcript:ENSMUST00000164999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pms2 description:postmeiotic segregation increased 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:104288] INQRPCDPAKLVNEVYHMYNRHQYPFVVLNVSVDSECVDINVTPDKRQILLQEEKLLLAV LKTSLIGMFDSDANKLNVNQQPLLDVEGNLVKLHTAELEKPVPGKQDNSPSLKSTADEKR VASISRLREAFSLHPTKEIKSRGPETAELTRSFPSEKRGVLSSYPSDVISYRGL >ENSMUSP00000027111.8 pep:known chromosome:GRCm38:1:63143596:63176822:-1 gene:ENSMUSG00000025968.16 transcript:ENSMUST00000027111.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs1 description:NADH dehydrogenase (ubiquinone) Fe-S protein 1 [Source:MGI Symbol;Acc:MGI:2443241] MLRIPIKRALIGLSNSPKGYVRTTGTAASNLIEVFVDGQSVMVEPGTTVLQACEKVGMQI PRFCYHERLSVAGNCRMCLVEIEKAPKVVAACAMPVMKGWNILTNSEKSKKAREGVMEFL LANHPLDCPICDQGGECDLQDQSMMFGSDRSRFLEGKRAVEDKNIGPLVKTIMTRCIQCT RCIRFASEIAGVDDLGTTGRGNDMQVGTYIEKMFMSELSGNVIDICPVGALTSKPYAFTA RPWETRKTESIDVMDAVGSNIVVSTRTGEVMRILPRMHEDINEEWISDKTRFAYDGLKRQ RLTEPMVRNEKGLLTYTSWEDALSRVAGMLQNFEGNAVAAIAGGLVDAEALVALKDLLNK VDSDNLCTEEIFPTEGAGTDLRSNYLLNTTIAGVEEADVVLLVGTNPRFEAPLFNARIRK SWLHNDLKVALIGSPVDLTYRYDHLGDSPKILQDIASGRHSFCEVLKDAKKPMVVLGSSA LQRDDGAAILVAVSNMVQKIRVTTGVAAEWKVMNILHRIASQVAALDLGYKPGVEAIRKN PPKMLFLLGADGGCITRQDLPKDCFIVYQGHHGDVGAPMADVILPGAAYTEKSATYVNTE GRAQQTKVAVTPPGLAREDWKIIRALSEIAGITLPYDTLDQVRNRLEEVSPNLVRYDDIE ETNYFQQASELAKLVNQEVLADPLVPPQLTIKDFYMTDSISRASQTMAKCVKAVTEGAQA VEEPSIC >ENSMUSP00000140072.1 pep:known chromosome:GRCm38:1:63143689:63147391:-1 gene:ENSMUSG00000025968.16 transcript:ENSMUST00000187756.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufs1 description:NADH dehydrogenase (ubiquinone) Fe-S protein 1 [Source:MGI Symbol;Acc:MGI:2443241] DQVRNRLEEVSPNLVRYDDIEETNYFQQASELAKLVNQEVLADPLVPPQLTIKDFYMTGL LV >ENSMUSP00000126621.2 pep:known chromosome:GRCm38:1:63143735:63176732:-1 gene:ENSMUSG00000025968.16 transcript:ENSMUST00000168099.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs1 description:NADH dehydrogenase (ubiquinone) Fe-S protein 1 [Source:MGI Symbol;Acc:MGI:2443241] MLRIPIKRALIGLSNSPKGYVRTTGTAASNLIEVFVDGQSVMVEPGTTVLQACEKVGMQI PRFCYHERLSVAGNCRMCLVEIEKAPKVVAACAMPVMKGWNILTNSEKSKKAREGVMEFL LANHPLDCPICDQGGECDLQDQSMMFGSDRSRFLEGKRAVEDKNIGPLVKTIMTRCIQCT RCIRFASEIAGVDDLGTTGRGNDMQVGTYIEKMFMSELSGNVIDICPVGALTSKPYAFTA RPWETRKTESIDVMDAVGSNIVVSTRTGEVMRILPRMHEDINEEWISDKTRFAYDGLKRQ RLTEPMVRNEKGLLTYTSWEDALSRVAGMLQNFEGNAVAAIAGGLVDAEALVALKDLLNK VDSDNLCTEEIFPTEGAGTDLRSNYLLNTTIAGVEEADVVLLVGTNPRFEAPLFNARIRK SWLHNDLKVALIGSPVDLTYRYDHLGDSPKILQDIASGRHSFCEVLKDAKKPMVVLGSSA LQRDDGAAILVAVSNMVQKIRVTTGVAAEWKVMNILHRIASQVAALDLGYKPGVEAIRKN PPKMLFLLGADGGCITRQDLPKDCFIVYQGHHGDVGAPMADVILPGAAYTEKSATYVNTE GRAQQTKVAVTPPGLAREDWKIIRALSEIAGITLPYDTLDQVRNRLEEVSPNLVRYDDIE ETNYFQQASELAKLVNQEVLADPLVPPQLTIKDFYMTDSISRASQTMAKCVKAVTEGAQA VEEPSIC >ENSMUSP00000141190.1 pep:known chromosome:GRCm38:1:63150066:63176663:-1 gene:ENSMUSG00000025968.16 transcript:ENSMUST00000185847.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs1 description:NADH dehydrogenase (ubiquinone) Fe-S protein 1 [Source:MGI Symbol;Acc:MGI:2443241] MVVLGSSALQRDDGAAILVAVSNMVQKIRVTTGVAAEWKVMNILHRIASQVAALDLGYKP G >ENSMUSP00000139664.1 pep:known chromosome:GRCm38:1:63163839:63176690:-1 gene:ENSMUSG00000025968.16 transcript:ENSMUST00000188370.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs1 description:NADH dehydrogenase (ubiquinone) Fe-S protein 1 [Source:MGI Symbol;Acc:MGI:2443241] MLRIPIKRALIGLSNSPKGYVRTTGTAASNLIEVFVDGQSVMVEPGTTVLQACEKVGMQI PRFCYHERLSVAGNCRMCLVEIEKAPKDQSMMFGSDRSRFLEGKRAVEDKNIGPLVKTIM TRCIQCTRCIRFASE >ENSMUSP00000140307.1 pep:known chromosome:GRCm38:1:63165014:63176666:-1 gene:ENSMUSG00000025968.16 transcript:ENSMUST00000185732.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs1 description:NADH dehydrogenase (ubiquinone) Fe-S protein 1 [Source:MGI Symbol;Acc:MGI:2443241] MLRIPIKRALIGLSNSPKGYVRTTGTAASNLIEVFVDGQSVMVEPGTTVLQACEKVGMQI PRFCYHERLSVAGNCRMCLVEIEKAPKVVAACAMPVMKGWNILTNSEKSKKAREGVME >ENSMUSP00000140467.1 pep:known chromosome:GRCm38:1:63169253:63176793:-1 gene:ENSMUSG00000025968.16 transcript:ENSMUST00000185412.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs1 description:NADH dehydrogenase (ubiquinone) Fe-S protein 1 [Source:MGI Symbol;Acc:MGI:2443241] MLRIPIKRALIGLSNSPKGYVRTTGTAASNLIEVFVDGQSVMVEPGTTVLQACEKVGMQI PRFCYHERLSVAGNCRMCL >ENSMUSP00000140819.1 pep:known chromosome:GRCm38:1:63170853:63176833:-1 gene:ENSMUSG00000025968.16 transcript:ENSMUST00000189664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs1 description:NADH dehydrogenase (ubiquinone) Fe-S protein 1 [Source:MGI Symbol;Acc:MGI:2443241] MLRIPIKRALIGLSNSPKG >ENSMUSP00000099896.1 pep:known chromosome:GRCm38:9:44998743:45009590:-1 gene:ENSMUSG00000032093.6 transcript:ENSMUST00000102832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd3e description:CD3 antigen, epsilon polypeptide [Source:MGI Symbol;Acc:MGI:88332] MRWNTFWGILCLSLLAVGTCQDDAENIEYKVSISGTSVELTCPLDSDENLKWEKNGQELP QKHDKHLVLQDFSEVEDSGYYVCYTPASNKNTYLYLKARVCEYCVEVDLTAVAIIIIVDI CITLGLLMVIYYWSKNRKAKAKPVTRGTGAGSRPRGQNKERPPPVPNPDYEPIRKGQRDL YSGLNQRAV >ENSMUSP00000039298.7 pep:known chromosome:GRCm38:18:36664060:36665917:1 gene:ENSMUSG00000090264.1 transcript:ENSMUST00000036765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4ebp3 description:eukaryotic translation initiation factor 4E binding protein 3 [Source:MGI Symbol;Acc:MGI:1270847] MSSSTSCPIPGCRDQLPDGYSTTPGGTLYATTPGGTRIIYDRKFLLECKNSPIARTPPCC LPQIPGVTTLPAVPPSKLELLKEQKQTEVEITDDEQFEMDM >ENSMUSP00000046152.7 pep:known chromosome:GRCm38:3:97203662:97227364:-1 gene:ENSMUSG00000038256.15 transcript:ENSMUST00000046521.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl9 description:B cell CLL/lymphoma 9 [Source:MGI Symbol;Acc:MGI:1924828] MHPSNPKVRSSPSGNTQSSPKSKQEVMVRPPTVMSPSGNPQLDSKFSNQGKPGGSASQSQ PSPCDSKSGGHTPKALPGPGGSMGLKNGAGNGAKGKGKRERSISADSFDQRDPGTPNDDS DIKECNSADHIKSQESQHTPHSMTPSTATAPRSSTPSHGQTPAPEPISAQKTPAKVVYVF STEMANKAAEAVLKGQVETIVSFHIQNISNSKSERSTAPLNTQIPTLRNDPKPLPQQPPA PANQDQNSSQNARLQPTPPIQAPAPKPTAAPRPLDRESPGVENKLIPPVGSPGSSTPLPP DGTGPNSTPNNRAVTPVSQGSNSSSADPKAPPPPPVSGGEPPTLGENPDGLSQEQLEHRE RSLQTLRDIQRMLFPDEKEFTAGQTGGPQQNTGVLDGPQKKPDGPIQAMMSQSQSLGKGP GPRTDVGAPFGPQGHRDVPFSPDEMVPPNMSSQSGPIGPDHLDHMTPEQIAWLKLQQEFY EEKRRKQEQVVVQQCSLQDMMVHQHGPRGVVRGPPPPYQMAPGEGWAPGAEPFPDGINIS HSLPPRGMAPHPNMPGSQMRLPGFAGMINSEMEGPNVPNPASRPGLSGVSWPDDVPKIPD GRNFPPGQGVFSGPGRGERFPNPQGLSEEMFQQQLAEKQLALPPGMSMEGIRPGMEMNRM IPGSQRHMEPGSNPIFPRIPVEGPLSPSRGDFPKGMPPQIGPGRELEFGMVPGGMKGEVN LNVNMGSSSQMIPQKMREAGAGPEEMMKLRPGSSEMLPAQQKMVPLPFGEHPQQEYGVGP RPFLPMSQGPGSNSGLRNLREPIGPDQRTNSRLSHMPPLPLNPSSNPTSLSTAPPVQRGL GRKPLDISVAGSQVHSPGINPLKSPTMHQVQSPMLGSPSGNLKSPQTPSQLAGMLAGPAA AASIKSPPVLGSAAASPVHLKSPSLPAPSPGWTSSPKPPLQSPGIPPNHKAPLTMASPAM LGSVESGGPPPPTASQPASVNIPGSLPSSTPYPMPPEPTLSQNPLSIMMSRMSKFAMPSS TPLYHDAIKTVASSDDDSPPARSPNLPSMNSMPGMGINTQNPRISGPNPVVPMPTLSPMG MTQPLSHSNQMPSPNAMGPSIPPHGVPMGPGLMSHNPIMGHGSQEPPMVPQGRMGFPQGF PPVQSPPQQVPFPHNGPTGGQGNFPGGIGFPGEGPLGRPSNLPQSSADPALCKPGGPGAP DSFTVLGNSMPSVFTDPDLQEVIRPGATGIPEFDLSRIIPSEKPSQTLQYFPRGEVPGRK QPQGPGPGFSHMQGMMSDQAPRMGLALPGMGGPGPVGTPDIPLGTSPSMPGHNPMRPPAF LQQGMMGPHHRMMSPAQSTVPGPATLMTNPAAAVGMIPGKDRGPAGLYTHPGPVGSPGMM MSMQGMMGPQQNIMIPPQMRPRGMAADVGMGGFSQGPGNPGNMMF >ENSMUSP00000131692.1 pep:known chromosome:GRCm38:3:97204628:97216507:-1 gene:ENSMUSG00000038256.15 transcript:ENSMUST00000166341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl9 description:B cell CLL/lymphoma 9 [Source:MGI Symbol;Acc:MGI:1924828] MHPSNPKVRSSPSGNTQSSPKSKQEVMVRPPTVMSPSGNPQLDSKFSNQGKPGGSASQSQ PSPCDSKSGGHTPKALPGPGGSMGLKNGAGNGAKGKGKRERSISADSFDQRDPGTPNDDS DIKECNSADHIKSQESQHTPHSMTPSTATAPRSSTPSHGQTPAPEPISAQKTPAKVVYVF STEMANKAAEAVLKGQVETIVSFHIQNISNSKSERSTAPLNTQIPTLRNDPKPLPQQPPA PANQDQNSSQNARLQPTPPIQAPAPKPTAAPRPLDRESPGVENKLIPPVGSPGSSTPLPP DGTGPNSTPNNRAVTPVSQGSNSSSADPKAPPPPPVSGGEPPTLGENPDGLSQEQLEHRE RSLQTLRDIQRMLFPDEKEFTAGQTGGPQQNTGVLDGPQKKPDGPIQAMMSQSQSLGKGP GPRTDVGAPFGPQGHRDVPFSPDEMVPPNMSSQSGPIGPDHLDHMTPEQIAWLKLQQEFY EEKRRKQEQVVVQQCSLQDMMVHQHGPRGVVRGPPPPYQMAPGEGWAPGAEPFPDGINIS HSLPPRGMAPHPNMPGSQMRLPGFAGMINSEMEGPNVPNPASRPGLSGVSWPDDVPKIPD GRNFPPGQGVFSGPGRGERFPNPQGLSEEMFQQQLAEKQLALPPGMSMEGIRPGMEMNRM IPGSQRHMEPGSNPIFPRIPVEGPLSPSRGDFPKGMPPQIGPGRELEFGMVPGGMKGEVN LNVNMGSSSQMIPQKMREAGAGPEEMMKLRPGSSEMLPAQQKMVPLPFGEHPQQEYGVGP RPFLPMSQGPGSNSGLRNLREPIGPDQRTNSRLSHMPPLPLNPSSNPTSLSTAPPVQRGL GRKPLDISVAGSQVHSPGINPLKSPTMHQVQSPMLGSPSGNLKSPQTPSQLAGMLAGPAA AASIKSPPVLGSAAASPVHLKSPSLPAPSPGWTSSPKPPLQSPGIPPNHKAPLTMASPAM LGSVESGGPPPPTASQPASVNIPGSLPSSTPYPMPPEPTLSQNPLSIMMSRMSKFAMPSS TPLYHDAIKTVASSDDDSPPARSPNLPSMNSMPGMGINTQNPRISGPNPVVPMPTLSPMG MTQPLSHSNQMPSPNAMGPSIPPHGVPMGPGLMSHNPIMGHGSQEPPMVPQGRMGFPQGF PPVQSPPQQVPFPHNGPTGGQGNFPGGIGFPGEGPLGRPSNLPQSSADPALCKPGGPGAP DSFTVLGNSMPSVFTDPDLQEVIRPGATGIPEFDLSRIIPSEKPSQTLQYFPRGEVPGRK QPQGPGPGFSHMQGMMSDQAPRMGLALPGMGGPGPVGTPDIPLGTSPSMPGHNPMRPPAF LQQGMMGPHHRMMSPAQSTVPGPATLMTNPAAAVGMIPGKDRGPAGLYTHPGPVGSPGMM MSMQGMMGPQQNIMIPPQMRPRGMAADVGMGGFSQGPGNPGNMMF >ENSMUSP00000113627.2 pep:known chromosome:GRCm38:8:24836140:24948804:-1 gene:ENSMUSG00000037437.18 transcript:ENSMUST00000121438.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam32 description:a disintegrin and metallopeptidase domain 32 [Source:MGI Symbol;Acc:MGI:2653822] MLGAMLHTLLLLLLAELGALLASGPESQSSFLEIIFPEKIEDKTHSEEQISYIIPINKKQ YTVHLQKRYFLTNRFMVYMYNQGSTSFHSPNIPAQCYYQGHIKGYPNSVATLSTCSGLRG FLQFENVSYGIEPLQSAFTSQHIVYKLGNKEKELIFNKNSRNIEMPTNYGILINKKPKSP FKNLFPLYLEMSIVVDKALYDYLGSDSNIVTNKIIEIISLINSVFAQLKVTIVLSSLELW SDKNKIPTVGEADELLHKFLEWKQAYLTLRPHDVAYLFIYNEYPNYMGATYPGKMCTAHY SAGITMYPKDMTLEAFSVILTQMLGLSLGISYDEPEKCYCSESICIMNPRAMQYGGVKSF SNCSLNDFEHFKSNEGAKCLQNKPQMQRTAAAVCGNGKVEGDEICDCGSEAECGPDSCCE PNRCVLKAGRACDSKSPSSTCCKNCQFLPEKHQCRPEKHLYCDIPEVCNGSSGNCPPDVT INNGHVCKESGTICYNGDCPDLDRVCESIYGAGSVNAPFACYEEIQGQNDRFGNCGKDNR NRYVFCGWRNLICGRLICTYPTRMPYNPPNNSTASVIYAFVRDKVCITVDFGSSVKEDPL RVANGATCDLDRICLNGVCVESRFLRDQSKTCSSKCHGNGVCNSHGVCHCNAGYSPPNCQ YPTTKRSASLWSGKHDLPMERASKNQEKKWLLSLYIVLIILASVFLIGTGWKGLKQCGSK EEESMSSESKSEDSTYTYVSRSTSETSSMTSTSS >ENSMUSP00000133631.1 pep:known chromosome:GRCm38:8:24836143:24872679:-1 gene:ENSMUSG00000037437.18 transcript:ENSMUST00000173069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam32 description:a disintegrin and metallopeptidase domain 32 [Source:MGI Symbol;Acc:MGI:2653822] IYAFVRDKVCITVDFGSSVKEDPLRVANGATCDLDRICLNGVCVESRFLRDQSKTCSSKC HGNGKQIRRQHLHVCQQINF >ENSMUSP00000133843.1 pep:known chromosome:GRCm38:8:24836146:24884595:-1 gene:ENSMUSG00000037437.18 transcript:ENSMUST00000140573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam32 description:a disintegrin and metallopeptidase domain 32 [Source:MGI Symbol;Acc:MGI:2653822] XYCDIPEVCNGSSGNCPPDVTINNGHVCKESGTICYNGDCPDLDRVCESIYGAEANQKTA LTRMSADQLLRQAV >ENSMUSP00000113076.1 pep:known chromosome:GRCm38:8:24836146:24948795:-1 gene:ENSMUSG00000037437.18 transcript:ENSMUST00000119720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam32 description:a disintegrin and metallopeptidase domain 32 [Source:MGI Symbol;Acc:MGI:2653822] MLGAMLHTLLLLLLAELGALLASGPESQSSFLEIIFPEKIEDKTHSEEQISYIIPINKKQ YTVHLQKRYFLTNRFMVYMYNQGSTSFHSPNIPAQCYYQGHIKGYPNSVATLSTCSGLRG FLQFENVSYGIEPLQSAFTSQHIVYKLGNKEKELIFNKNSRNIEMPTNYGILINKKPKSP FKNLFPLYLEMSIVVDKALYDYLGSDSNIVTNKIIEIISLINSVFAQLKVTIVLSSLELW SDKNKIPTVGEADELLHKFLEWKQAYLTLRPHDVAYLFIYNEYPNYMGATYPGKMCTAHY SAGITMYPKDMTLEAFSVILTQMLGLSLGISYDEPEKCYCSESICIMNPRAMQYGGVKSF SNCSLNDFEHFKSNEGAKCLQNKPQMQRTAAAVCGNGKVEGDEICDCGSEAECGPDSCCE PNRCVLKAGRACDSKSPSSTCCKNCQFLPEKHQCRPEKHLYCDIPEVCNGSSGNCPPDVT INNGHVCKESGTICYNGDCPDLDRVCESIYGAGSVNAPFACYEEIQGQNDRFGNCGKDNR NRYVFCGWRNLICGRLICTYPTRMPYNPPNNSTASVIYAFVRDKVCITVDFGSSVKEDPL RVANGATCDLDRICLNGVCVESRFLRDQSKTCSSKCHGNGKQIRRQHLHVCQQVSSLKDG VKRHVENEHHNFQPINF >ENSMUSP00000134478.1 pep:known chromosome:GRCm38:8:24836205:24863426:-1 gene:ENSMUSG00000037437.18 transcript:ENSMUST00000173559.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam32 description:a disintegrin and metallopeptidase domain 32 [Source:MGI Symbol;Acc:MGI:2653822] QCGSKEEESMSSESKSEDSTYTYVSR >ENSMUSP00000134680.1 pep:known chromosome:GRCm38:8:24913985:24948781:-1 gene:ENSMUSG00000037437.18 transcript:ENSMUST00000174059.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam32 description:a disintegrin and metallopeptidase domain 32 [Source:MGI Symbol;Acc:MGI:2653822] XLHTLLLLLLAELGALLASGPESQSSFLEIIFPEKIEDKTHSEEQISYIIPINKKQYTVH LQKRYFLTNRFMVYMYNQGSTSFHSPNIPAQCYYQGHIKGYPNSVATLSTCSGLRGFLQF ENVSYGIEPLQSAFTSQHIVYKLGNKEKELIFNKNSRNIEMPTNYGILINKKR >ENSMUSP00000076220.5 pep:known chromosome:GRCm38:1:191063012:191075206:1 gene:ENSMUSG00000062510.12 transcript:ENSMUST00000076952.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsl1 description:NSL1, MIND kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685830] MAAVSETVLVSAPQDHDAQAASDPQATAADSPLEDFRVRCTLKRAVMEVMEMCGRFVQEL GAVLPEDVRELALRDAQWTFESAVQENVSFNGQAWEEAKEHGLMDSDIKVLEDEFDELIV DVATKRRQYPRRILESVIKTLKAQHASLKQYHPVVHPLDLKCDPDPGTQCVISGRVIFSE LHWILEEVNSDSIR >ENSMUSP00000115289.1 pep:known chromosome:GRCm38:1:191063024:191076939:1 gene:ENSMUSG00000062510.12 transcript:ENSMUST00000139340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsl1 description:NSL1, MIND kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685830] MAAVSETVLVSAPQDHDAQAASDPQATAADSPLEDFRVRCTLKRAVMEVMEMCGRFVQEL GAVLPEDVRELALRDAQWTFESAVQENVSFNGQAWEEAKEHGLMDSDIKVLEDEFDELIV DVATKRRQYPRRILESVIKTLKAQHASLKQYHPVVHPLDLKCDPDPGQQLSLCL >ENSMUSP00000077380.6 pep:known chromosome:GRCm38:1:191063031:191086474:1 gene:ENSMUSG00000062510.12 transcript:ENSMUST00000078259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsl1 description:NSL1, MIND kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685830] MAAVSETVLVSAPQDHDAQAASDPQATAADSPLEDFRVRCTLKRAVMEVMEMCGRFVQEL GAVLPEDVRELALRDAQWTFESAVQENVSFNGQAWEEAKEHGLMDSDIKVLEDEFDELIV DVATKRRQYPRRILESVIKTLKAQHASLKQYHPVVHPLDLKCDPDPASRVEDLKCRGEAI AKEMSEAMKALPVLIEQGEGFSQVLKMRPVIQLQRINQEVFSSLYRKADSKPDTRVTHVE TTPAETGARKASDIVLKRKKAPDCAQRKRYPLRLQRINLDM >ENSMUSP00000032080.2 pep:known chromosome:GRCm38:6:85446810:85451283:-1 gene:ENSMUSG00000030008.9 transcript:ENSMUST00000032080.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pradc1 description:protease-associated domain containing 1 [Source:MGI Symbol;Acc:MGI:1920577] MSRGAAGWCCLVLWLPTCVAAHGLRIHDYLYFQVLSPGDIRYIFTATPAKDFGGIFHTRY EQIHLVPAEPPEACGELSNGFFIQDQIALVERGGCSFLSKTRVVQEHGGRAVIISDNAVD NDSFYVEMIQDSTQRTADIPALFLLGRDGYMIRRSLEQHGLPWAIISIPVNVTSIPTFEL LQPPWTFW >ENSMUSP00000145290.1 pep:known chromosome:GRCm38:6:85446938:85451970:-1 gene:ENSMUSG00000030008.9 transcript:ENSMUST00000126805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pradc1 description:protease-associated domain containing 1 [Source:MGI Symbol;Acc:MGI:1920577] MIQDSTQRTADIPALFLLGRDGYMIRRSLEQHGLPWAIISIPVNVTSIPTFELLQPPWTF W >ENSMUSP00000109400.1 pep:known chromosome:GRCm38:6:85447035:85451248:-1 gene:ENSMUSG00000030008.9 transcript:ENSMUST00000113770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pradc1 description:protease-associated domain containing 1 [Source:MGI Symbol;Acc:MGI:1920577] MSRGAAGWCCLVLWLPTCVAAHGLRIHDYLYFQVLSPGDIRYIFTATPAKDFGGIFHTRY EQIHLVPAEPPEACGELSNGFFIQDQIALVERGYMIRRSLEQHGLPWAIISIPVNVTSIP TFELLQPPWTFW >ENSMUSP00000091488.3 pep:known chromosome:GRCm38:11:87617164:87659542:1 gene:ENSMUSG00000070345.3 transcript:ENSMUST00000093956.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf5 description:heat shock transcription factor family member 5 [Source:MGI Symbol;Acc:MGI:2685585] MEEALLSTPINPNNFPAKLWRLVNSPRYRSIRWDGRGEGLLIDQPLFEAELLSPPGPGAG GAGGAGSSGGGGGSGVGAAGAEPELFKTTNFTSFIRQLNLYGFRKVVLGGPGAAGPGPGP GAGGPAGDGPLHHFHSPHFRRDQPQLLVHLKRLTSANKAKLAAGLEVPCRPPNRFQRLLI TSASASASASTSPLQHQDPPPQPAGPRPEQHGPVAVGQFHRSFRRDNLSPYSYVSTSSHN HSAFPLKGLDRTPIPPRTWQNSLGMHPGQVETSPTFSDKGVPFPVLQRFPTEVTYTLQPS ATSVHVQQGPQTMVSSSQKYSNYTPSAQYSQAYYPTAVLQCCSPPTHMDALSSCVTPTAS SYAHCNYFQNPPMQSSYPVEFLPSNWPCSATDENKKTEVNLEAVFQIVDELHSSPKLEMV KVEPVETQCPSSQANRGQHILPNANSSNPSSTSQASQLEPLTPVGSDITSFVVGTEQAIT CSLPQSPEYIYTIHTAQPLENSTMQESATIQQTHVKLKEQLNHNPSPSSVVFVQEGLPFS TPQVDSSIKCQTNPSENILPSEQMGFLISEMGPANKSTKDTGLSTPARYRERRSNSQGKS PDLHLLVDVACKQEHFPKEEELKE >ENSMUSP00000103709.1 pep:known chromosome:GRCm38:7:34236707:34313551:-1 gene:ENSMUSG00000066571.13 transcript:ENSMUST00000108074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406P16Rik description:RIKEN cDNA 4931406P16 gene [Source:MGI Symbol;Acc:MGI:1924311] MYCCSAQDNKMDYKRRFLLGGSKQKVQQHQQYPMPELGRALSAPLASTAPSAPLGSLTAA GSCHHAMPHSTPIADIQQGISKYLDALNVFCRASTFLTDLFSTVFRNSHYSKAAMQLKDV QEHVMEAASRLTSAIKPEIAKMLMELSAGAANFTDQKEFSLQDIEVLGRCFLTVVQVHFQ FLTHALQKVQPVAHSCFAEVVVPEKKNSSLSGMGHTPELEEAVRSWRGAAEATSRLRERG CDGCLAGIEVQQLFCSQSAAIPEHQLKELNIKIDSALQAYKIALESLGHCEYAMKAGFHL NPKAIEASLQGCCSEAEAQQTGRRQTPPQPMQCELPTVPVQIGSHFLKGVSFNESAADNL KLKTHTMLQLIKEAGCYNGITPRDDLPVTEVLNQVCPSMWRGACKTAVQLLFGQAGLVVV DTAQIENKEAYAPQISLEGSRIVVQVPSTWCLKEDPATMSLLQRSLDPEKTLGLVDVLYT AVLDLNRWRTGREQALPCIQIQLQRDICDFGNQADLPSGNGSKSSGLQKTFSKLTSRFTK KVSCTNSGSTHYSKNIFTAGCSEERAKMMGNNIDTRLQSILNIGNFPRTTDPSQSSQNSS NPMVNGFLLERRENFLHGDDGKDEKGMNLPTDQEMQEVIDFLSGFNMGQSHQGSPLVTRR NSTATAMVTEQKTGTMQPQQPSLPLAPPLRPPQAGAHTPLAAQQGLAPQQQSPKQQQPQV QYYQHLLQPIGSQQTPPQPRAPAKWVHGSSQHPSQPMAAGLSPLGQWPGISDLSSDLYSL GLVSSYMDNMMSEVLGQKPQGPRNNTWPNRDQSDGVFGMLGEILPFDPAVGSDPEFARYV AGVSQAMQQKRQAQHGRRPGNPRGNWPPMEDAHRTWPLPEFFTEGDSLHSGWSGAQGDSA SSSDETSSANGDSLFSMFSGPDLVAAVKQRRKHSSGEQETSTLPSPPLLTTVEDVNQDNK TKTWPPKAPWQHPSPMPSTLPSPAAPLYAVASPGSQWNDTVQMLQSPVWAAANDCNTTSF TYVQTPPQPPPPPAHKAAPKGFKAFPGKAERRPAYLPQY >ENSMUSP00000145762.1 pep:known chromosome:GRCm38:7:34237580:34313522:-1 gene:ENSMUSG00000066571.13 transcript:ENSMUST00000206399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406P16Rik description:RIKEN cDNA 4931406P16 gene [Source:MGI Symbol;Acc:MGI:1924311] MGHTPELEEAVRSWRGAAEATSRLRERGCDGCLAGIEVQQLFCSQSAAIPEHQLKELNIK IDSALQAYKIALESLGHCEYAMKAGFHLNPKAIEASLQGCCSEAEAQQTGRRQTPPQPMQ CELPTVPVQIGSHFLKGVSFNESAADNLKLKTHTMLQLIKEAGCYNGITPRDDLPVTEVL NQVCPSMWRGACKTAVQLLFGQAGLVVVDTAQIENKEAYAPQISLEGSRIVVQVPSTWCL KEDPATMSLLQRSLDPEKTLGLVDVLYTAVLDLNRWRTGREQALPCIQIQLQRDICDFGN QADLPSGNGSKSSGLQKTFSKLTSRFTKKVSCTNSGSTHYSKNIFTAGCSEERAKMMGNN IDTRLQSILNIGNFPRTTDPSQSSQNSSNPMVNGFLLERRENFLHGDDGKDEKGMNLPTD QEMQEVIDFLSGFNMGQSHQGSPLVTRRNSTATAMVTEQKTGTMQPQQPSLPLAPPLRPP QAGAHTPLAAQQGLAPQQQSPKQQQPQVQYYQHLLQPIGSQQTPPQPRAPAKWVHGSSQH PSQPMAAGLSPLGQWPGISDLSSDLYSLGLVSSYMDNMMSEVLGQKPQGPRNNTWPNRDQ SDGVFGMLGEILPFDPAVGSDPEFARYVAGVSQAMQQKRQAQHGRRPGNPRGNWPPMEDA HRTWPLPEFFTEGDSLHSGWSGAQGDSASSSDETSSANGDSLFSMFSGPDLVAAVKQRRK HSSGEQETSTLPSPPLLTTVEDVNQDNKTKTWPPKAPWQHPSPMPSTLPSPAAPLYAVAS PGSQWNDTVQMLQSPVWAAANDCNTTSFTYVQTPPQPPPPPAHKAAPKGFKAFPGKAERR PAYLPQY >ENSMUSP00000145897.1 pep:known chromosome:GRCm38:7:34239121:34245305:-1 gene:ENSMUSG00000066571.13 transcript:ENSMUST00000205264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406P16Rik description:RIKEN cDNA 4931406P16 gene [Source:MGI Symbol;Acc:MGI:1924311] QPQVQYYQHLLQPIGSQQTPPQPRAPAKWVHGSSQHPSQPMAAGLSPLGQWPGISDLSSD LYSLGLVSSYMDNMMSEVLGQKPQGPRNNTWPNRDQSDGVFGMLGEILPFDPAVGSDPEF ARYVAGVSQAMQQKRQAQHGRRPGNPRGNWPPMEDAHRTWPLPEFFTEGLHSGWSGAQGD SASSSDETSSANGDSLFSMFSGPDLVAAVKQRRKHSSGEQETSTLPSPPLLTTVEDVNQD NKTKTWPPKAPWQHPSPMPSTLPSPAAPLYAVASPGSQ >ENSMUSP00000082730.4 pep:known chromosome:GRCm38:7:34236714:34285599:-1 gene:ENSMUSG00000066571.13 transcript:ENSMUST00000085592.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406P16Rik description:RIKEN cDNA 4931406P16 gene [Source:MGI Symbol;Acc:MGI:1924311] MYCCSAQDNKMDYKRRFLLGGSKQKVQQHQQYPMPELGRALSAPLASTAPSAPLGSLTAA GSCHHAMPHSTPIADIQQGISKYLDALNVFCRASTFLTDLFSTVFRNSHYSKAAMQLKDV QEHVMEAASRLTSAIKPEIAKMLMELSAGAANFTDQKEFSLQDIEVLGRCFLTVVQVHFQ FLTHALQKVQPVAHSCFAEVVVPEKKNSSLSGMGHTPELEEAVRSWRGAAEATSRLRERG CDGCLAGIEVQQLFCSQSAAIPEHQLKELNIKIDSALQAYKIALESLGHCEYAMKAGFHL NPKAIEASLQGCCSEAEAQQTGRRQTPPQPMQCELPTVPVQIGSHFLKGVSFNESAADNL KLKTHTMLQLIKEAGCYNGITPRDDLPVTEVLNQVCPSMWRGACKTAVQLLFGQAGLVVV DTAQIENKEAYAPQISLEGSRIVVQVPSTWCLKEDPATMSLLQRSLDPEKTLGLVDVLYT AVLDLNRWRTGREQALPCIQIQLQRDICDFGNQADLPSGNGSKSSGLQKTFSKLTSRFTK KVSCTNSGSTHYSKNIFTAGCSEERAKMMGNNIDTRLQSILNIGNFPRTTDPSQSSQNSS NPMVNGFLLERRENFLHGDDGKDEKGMNLPTDQEMQEVIDFLSGFNMGQSHQGSPLVTRR NSTATAMVTEQKTGTMQPQQPSLPLAPPLRPPQAGAHTPLAAQQGLAPQQQSPKQQQPQV QYYQHLLQPIGSQQTPPQPRAPAKWVHGSSQHPSQPMAAGLSPLGQWPGISDLSSDLYSL GLVSSYMDNMMSEVLGQKPQGPRNNTWPNRDQSDGVFGMLGEILPFDPAVGSDPEFARYV AGVSQAMQQKRQAQHGRRPGNPRGNWPPMEDAHRTWPLPEFFTEGDSLHSGWSGAQGDSA SSSDETSSANGDSLFSMFSGPDLVAAVKQRRKHSSGEQETSTLPSPPLLTTVEDVNQDNK TKTWPPKAPWQHPSPMPSTLPSPAAPLYAVASPGSQWNDTVQMLQSPVWAAANDCNTTSF TYVQTPPQPPPPPAHKAAPKGFKAFPGKAERRPAYLPQY >ENSMUSP00000038757.6 pep:known chromosome:GRCm38:9:99309963:99358518:-1 gene:ENSMUSG00000037681.13 transcript:ENSMUST00000042158.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esyt3 description:extended synaptotagmin-like protein 3 [Source:MGI Symbol;Acc:MGI:1098699] MQPEEPCAPSAPGGPDVPERGQRSRDPGPRLSGQLLPELYSFVARVLFYLAPVYLAGYLG LSVTWLLLGALLWMWWRRNRRGKLGRLEAAFEFLEHEREFISRELRGQHLPAWIHFPDVE RVEWANKIIIQIWPYLSMIMENKIREKLEPKIREKSIHLRTFTFTKLYFGQKCPKVNGVK VHTDKRNRRKVTLDLQICYIGDCEISVELQKIRGGVSGVQLQGTLRVILEPLLVDKPFIG AVTVFFLQKPHLQINWTGLTNLLDMPGINELSDSLLEDLIAAHLVLPNRVTVPVKKGLDV TNLRVPLPCGVIRVHLLEAKKLAQKDNFLGLGGKSDPYAKVSIGLQHCRSRTIYKNLNPT WNEVFEFMVYEVPGQDLEVDLYDEDTDKDDFLGSLQICLGDVMKNRVVDEWFALNDTTSG RLHLRLEWLSLLTDQEALTENDSGLSTAILVVFLENACNLPRNPFDYLNGEYRAKKLSRF VKNKASRDPSSYVKLTVGKKTFTSKTCPHSKDPVWSQVFSFFVHSVAAEQLCLKVLDDEL ECALGVLEFPLCRILPCADLTLEQCFQLDHSGLDSLISMRLVLRFLRVEGRELGSPYTGP DALKKGPLFIKKVATNQGCKAPPLNEGLADVTSTSNPASYIKGASKSIDNISAATTDPEP MPEPQGPGPEPKGKDSARGLCESPGKKKNPATTFLTVPGLHSPGPIKSPRPMSRPAFPFA WPLTRVAPSMSSLNSLASSCFDLTDVSLNTEAGDSRQGRLGEIQLTVRYVCLRHCLRVLV NGCRNLTPCTSSGADPYVRIYLLPERRWASRKKTSVKQKTLEPLFDETFEFFVPMGEVQK RSLDVAVKNSRPLGSHRRKELGKVLIDLSKQDLIKGFSQWYELTADGQPRS >ENSMUSP00000140567.1 pep:known chromosome:GRCm38:9:99319613:99325010:-1 gene:ENSMUSG00000037681.13 transcript:ENSMUST00000187520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esyt3 description:extended synaptotagmin-like protein 3 [Source:MGI Symbol;Acc:MGI:1098699] LGLGGKSDPYAKVSIGLQHCRSRTIYKNLNPTWNEVFEFMVYEVPGQDLEVDLYDEDTDK DDFLGSLQICLGDVMKNRVVDEWFALNDTTSGRLHLRLEWLSLLTDQEALTENDSGLSTA ILVVFLENACNLPNKASRDPSSYVKLTVGKKTFTSKTCPHSKDPVWSQVFSFFVHSVAAE QLCLKVLDDELECALGVL >ENSMUSP00000135487.1 pep:known chromosome:GRCm38:14:122816223:122913120:-1 gene:ENSMUSG00000041625.14 transcript:ENSMUST00000110679.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggact description:gamma-glutamylamine cyclotransferase [Source:MGI Symbol;Acc:MGI:2385008] MAHIFVYGTLKRGQPNHKVMLDHSHGLAAFRGRGCTVESFPLVIAGEHNIPWLLYLPGKG HCVTGNWKGGHICHCRWIH >ENSMUSP00000037278.5 pep:known chromosome:GRCm38:14:122890860:122913162:-1 gene:ENSMUSG00000041625.14 transcript:ENSMUST00000038075.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggact description:gamma-glutamylamine cyclotransferase [Source:MGI Symbol;Acc:MGI:2385008] MAHIFVYGTLKRGQPNHKVMLDHSHGLAAFRGRGCTVESFPLVIAGEHNIPWLLYLPGKG HCVTGEIYEVDEQMLRFLDDFEDCPSMYQRTALQVQVLEWEGDGDPGDSVQCFVYTTATY APEWLFLPYHESYDSEGPHGLRYNPRENR >ENSMUSP00000135481.1 pep:known chromosome:GRCm38:14:122890871:122891707:-1 gene:ENSMUSG00000041625.14 transcript:ENSMUST00000161322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggact description:gamma-glutamylamine cyclotransferase [Source:MGI Symbol;Acc:MGI:2385008] XRGCTVESFPLVIAGEHNIPWLLYLPGKGHCVTDGIF >ENSMUSP00000124954.1 pep:known chromosome:GRCm38:14:122891437:122913757:-1 gene:ENSMUSG00000041625.14 transcript:ENSMUST00000160401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggact description:gamma-glutamylamine cyclotransferase [Source:MGI Symbol;Acc:MGI:2385008] MAHIFVYGTLKRGQPNHKVMLDHSHGLAAFRGRGCTVESFPLVIAGEHNIPWLLYLPGKG HCVTGEIYEVDEQMLRFLDDFEDCPSMYQRTALQVQVLEWEGDGDPGDSVQCFVYTTATY AP >ENSMUSP00000123721.1 pep:known chromosome:GRCm38:14:122891486:122913085:-1 gene:ENSMUSG00000041625.14 transcript:ENSMUST00000162164.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggact description:gamma-glutamylamine cyclotransferase [Source:MGI Symbol;Acc:MGI:2385008] MAHIFVYGTLKRGQPNHKVMLDHSHGLAAFRGRGCTVESFPLVIAGEHNIPWLLYLPGKG HCVTGEIYEVDEQMLRFLDDFEDCPSMYQRTALQVQVLEWEGDGD >ENSMUSP00000068487.4 pep:known chromosome:GRCm38:6:72608432:72617000:-1 gene:ENSMUSG00000056429.4 transcript:ENSMUST00000070524.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgoln1 description:trans-golgi network protein [Source:MGI Symbol;Acc:MGI:105080] MRFQVALLLLSVAVARALPSVYKRDADSGDSQNPPNQPSKQSSTPLPSSNQVKTTRPTDG QGQKSDKKDQDKTTLAAVSSKAESGPRTAATDHSLGDSRRQPEKTDAELNETARPLSPVN PKLEKSDQSSTEDSGKPTGGNSGKPTGGDSGKPTEAGSNKATEDDSGKSTKVDLDKPTSK ISPDTETSKTDKVQPTEKGQKPTLTSKTESGETLAGDSDFSLKPEKGDKSSEPTEDVETK EIEEGDTEPEEGSPLEEENEKVPGPSSSENQEGTLTDSMKNEKDDLYKDSSGNTSAESSH FFAYLVTAAVLVAVLYIAYHNKRKIIAFALEGKRSKVTRRPKASDYQRLNLKL >ENSMUSP00000043461.3 pep:known chromosome:GRCm38:4:137453284:137455461:1 gene:ENSMUSG00000041399.3 transcript:ENSMUST00000048893.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700013G24Rik description:RIKEN cDNA 1700013G24 gene [Source:MGI Symbol;Acc:MGI:1916630] MSGRQVSRSNTYNHLSQPNNVSFPPRTPGWTQYPNMNNGKGLRSRLPSGSELSTSSGQSG HSEQSFNSTTSSELPLGKICMGRPYTSKCVETSHLTRGPKVVKKPTCQRSSPHCLICTDQ PSCPSSPTFLDQLIKGISYLDRSTNVFNNSSYPKALSLPQLAATYLERAANSLYLDQTER PPPRSYSTPSTSTAAANQPPANNCLVPSTRDTKSLQCLGSTGLNYQQRPNSQTFNVETPQ RPGVKLPEIPLFGNGFFSLGRLPKFWEAIRSGWNAPVPTPKPEGWW >ENSMUSP00000027943.4 pep:known chromosome:GRCm38:1:191098325:191108943:1 gene:ENSMUSG00000026630.9 transcript:ENSMUST00000027943.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Batf3 description:basic leucine zipper transcription factor, ATF-like 3 [Source:MGI Symbol;Acc:MGI:1925491] MSQGPPAVSVLQRSVDAPGNQPQSPKDDDRKVRRREKNRVAAQRSRKKQTQKADKLHEEH ESLEQENSVLRREISKLKEELRHLSEVLKEHEKMCPLLLCPMNFVQLRSDPVASCLPR >ENSMUSP00000027528.6 pep:known chromosome:GRCm38:1:90915085:90951142:1 gene:ENSMUSG00000026303.6 transcript:ENSMUST00000027528.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlph description:melanophilin [Source:MGI Symbol;Acc:MGI:2176380] MGKRLDLSTLTDEEAEHVWAVVQRDFDLRRREEERLQGLKGKIQKESSKRELLSDTAHLN ETHCARCLQPYRLLLNSRRQCLECSLFVCKSCSHAHPEEQGWLCDPCHLARVVKIGSLEW YYQHVRARFKRFGSAKVIRSLCGRLQGGGGSEPSLEEGNGDSEQTDEDGDLDTEARDQPL NSKKKKRLLSFRDVDFEEDSDHLVQPCSQTLGLSSVPESAHSLQSLSGEPYSEDTTSLEP EGLEETGARALGCHPSPEVQPCSPLPSGEDAHAELDSPAASCKSAFGTTAMPGTDDVRGK HLPSQYLADVDTSDEDSIQGPRAASQHSKRRARTVPETQILELNKRMSAVEHLLVHLENT VLPPSAQEPTVETHPSADTEEETLRRRLEELTSNISGSSTSSEDETKPDGTFLGGSPKVC TDTGHMETQERNPRSPGNPARPTKSTDEELSEMEDRVAMTASEVQQAESEISDIQSRIAA LRAAGLTVKPSGKPRRKSGIPIFLPRVTEKLDRIPKTPPADPDDQAKMPKATTAVPSLLR RKYSPSSQGVDSGSFDRKSVYRGSLTQRNPNGRRGTARHIFAKPVMAQQP >ENSMUSP00000123314.1 pep:known chromosome:GRCm38:1:90927679:90928432:1 gene:ENSMUSG00000026303.6 transcript:ENSMUST00000125149.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlph description:melanophilin [Source:MGI Symbol;Acc:MGI:2176380] MYFLSHFFPRGLKGKIQKESSKRELLSDTAHLNETHCARCLQPYRLLLNSRRQCLECSLF VCKSCSHAHPEEQGWLCDPCHLARVVKIGSLEWYYQHVRARFKRFGSAKVIRSLCGRLQG >ENSMUSP00000029450.3 pep:known chromosome:GRCm38:3:102777398:102782674:-1 gene:ENSMUSG00000027857.10 transcript:ENSMUST00000029450.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshb description:thyroid stimulating hormone, beta subunit [Source:MGI Symbol;Acc:MGI:98848] MSAAVLLSVLFALACGQAASFCIPTEYTMYVDRRECAYCLTINTTICAGYCMTRDINGKL FLPKYALSQDVCTYRDFIYRTVEIPGCPHHVTPYFSFPVAISCKCGKCNTDNSDCIHEAV RTNYCTKPQSFYLGGFSV >ENSMUSP00000127165.1 pep:known chromosome:GRCm38:3:102777407:102782718:-1 gene:ENSMUSG00000027857.10 transcript:ENSMUST00000170856.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshb description:thyroid stimulating hormone, beta subunit [Source:MGI Symbol;Acc:MGI:98848] MSAAVLLSVLFALACGQAASFCIPTEYTMYVDRRECAYCLTINTTICAGYCMTRDINGKL FLPKYALSQDVCTYRDFIYRTVEIPGCPHHVTPYFSFPVAISCKCGKCNTDNSDCIHEAV RTNYCTKPQSFYLGGFSV >ENSMUSP00000142782.1 pep:known chromosome:GRCm38:3:102777419:102782674:-1 gene:ENSMUSG00000027857.10 transcript:ENSMUST00000200041.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshb description:thyroid stimulating hormone, beta subunit [Source:MGI Symbol;Acc:MGI:98848] MSAAVLLSVLFALACGQAASFCIPTEYTMYVDRRECAYCLTINTTICAGYCMTRDINGKL FLPKYALSQDVCTYRDFIYRTVEIPGCPHHVTPYFSFPVAISCKCGKCNTDNSDCIHEAV RTNYCTKPQSFYLGGFSV >ENSMUSP00000142670.1 pep:known chromosome:GRCm38:3:102777446:102782483:-1 gene:ENSMUSG00000027857.10 transcript:ENSMUST00000197322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshb description:thyroid stimulating hormone, beta subunit [Source:MGI Symbol;Acc:MGI:98848] MSAAVLLSVLFALACGQAASFCIPTEYTMYVDRRECAYCLTINTTICAGYCMTRDINGKL FLPKYALSQDVCTYRDFIYRTVEIPGCPHHVTPYFSFPVAISCKCGKCNTDNSDCIHEAV RTNYCTKPQSFYLGGFSV >ENSMUSP00000128440.1 pep:known chromosome:GRCm38:3:102777398:102782714:-1 gene:ENSMUSG00000027857.10 transcript:ENSMUST00000172026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshb description:thyroid stimulating hormone, beta subunit [Source:MGI Symbol;Acc:MGI:98848] MSAAVLLSVLFALACGQAASFCIPTEYTMYVDRRECAYCLTINTTICAGYCMTRDINGKL FLPKYALSQDVCTYRDFIYRTVEIPGCPHHVTPYFSFPVAISCKCGKCNTDNSDCIHEAV RTNYCTKPQSFYLGGFSV >ENSMUSP00000032078.6 pep:known chromosome:GRCm38:6:85451514:85468475:1 gene:ENSMUSG00000030007.8 transcript:ENSMUST00000032078.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct7 description:chaperonin containing Tcp1, subunit 7 (eta) [Source:MGI Symbol;Acc:MGI:107184] MMPTPVILLKEGTDSSQGIPQLVSNISACQVIAEAVRTTLGPRGMDKLIVDGRGKATISN DGATILKLLDVVHPAAKTLVDIAKSQDAEVGDGTTSVTLLAAEFLKQVKPYVEEGLHPQI IIRAFRTATQLAVNKIKEIAVTVKKQDKVEQRKMLEKCAMTALSSKLISQQKVFFAKMVV DAVMMLDELLQLKMIGIKKVQGGALEESQLVAGVAFKKTFSYAGFEMQPKKYKNPKIALL NVELELKAEKDNAEIRVHTVEDYQAIVDAEWNILYDKLEKIHQSGAKVILSKLPIGDVAT QYFADRDMFCAGRVPEEDLKRTMMACGGSIQTSVNALVPDVLGHCQVFEETQIGGERYNF FTGCPKAKTCTIILRGGAEQFMEETERSLHDAIMIVRRAIKNDSVVAGGGAIEMELSKYL RDYSRTIPGKQQLLIGAYAKALEIIPRQLCDNAGFDATNILNKLRARHAQGGMWYGVDIN NENIADNFQAFVWEPAMVRINALTAASEAACLIVSVDETIKNPRSTVDPPAPSAGRGRGQ ARFH >ENSMUSP00000144919.1 pep:known chromosome:GRCm38:6:85452052:85468282:1 gene:ENSMUSG00000030007.8 transcript:ENSMUST00000204489.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cct7 description:chaperonin containing Tcp1, subunit 7 (eta) [Source:MGI Symbol;Acc:MGI:107184] MMPTPVILLKEGTDSSQGIPQLVSNISACQVIAEAVRTTLGPRGMDKLIVDGRVSEAGEA LRGRRFTPSDHHPSFPHSHPIGC >ENSMUSP00000144893.1 pep:known chromosome:GRCm38:6:85452092:85468282:1 gene:ENSMUSG00000030007.8 transcript:ENSMUST00000204592.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct7 description:chaperonin containing Tcp1, subunit 7 (eta) [Source:MGI Symbol;Acc:MGI:107184] MMPTPVILLKEGTDSSQGIPQLVSNISACQVIAEAVRTTLGPRGMDKLIVDGRGKATISN DGATILKLLDVVHPAAKTLVDIAKSQDAEAVNKIKEIAVTVKKQDKVEQRKMLEKCAMTA LSSKLISQQKVFFAKMVVDAVMMLDELLQLKMIGIKKVQGGALEESQLVAGVAFKKTFSY AGFEMQPKKYKNPKIALLNVELELKAEKDNAEIRVHTVEDYQAIVDAEWNILYDKLEKIH QSGAKVILSKLPIGDVATQYFADRDMFCAGRVPEEDLKRTMMACGGSIQTSVNALVPDVL GHCQVFEETQIGGERYNFFTGCPKAKTCTIILRGGAEQFMEETERSLHDAIMIVRRAIKN DSVVAGGGAIEMELSKYLRDYSRTIPGKQQLLIGAYAKALEIIPRQLCDNAGFDATNILN KLRARHAQGGMWYGVDINNENIADNFQAFVWEPAMVRINALTAASEAACLIVSVDETIKN PRSTVDPPAPSAGRGRGQARFH >ENSMUSP00000144701.1 pep:known chromosome:GRCm38:6:85462152:85466088:1 gene:ENSMUSG00000030007.8 transcript:ENSMUST00000204612.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct7 description:chaperonin containing Tcp1, subunit 7 (eta) [Source:MGI Symbol;Acc:MGI:107184] XLKMIGIKKVQGGALEDYQAIVDAEWNILYDKLEKIHQSGAKVILSKLPIGDVATQYFAD RDMFCAGRVPEEDLKRTMM >ENSMUSP00000052226.2 pep:known chromosome:GRCm38:5:97455142:97457021:-1 gene:ENSMUSG00000050553.3 transcript:ENSMUST00000059657.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gk2 description:glycerol kinase 2 [Source:MGI Symbol;Acc:MGI:1329027] MAASKQTSAGPLVGAVVQGTNSTRFLVFNSKTAELVCSHQVELTQEYPKEGWVEQDPKEI LKSVYECIAKACEKLAEVNIDISNIKAIGVSNQRETTVVWDKFTGDPLYNAVVWLDLRTQ STVETLTKKIPGNSNFVKSKTGLPLSTYFSAVKLRWMLDNLRPIQKAVEEGRAMFGTIDS WLIWCMTGGVNGGIHCTDVTNACRTMLFNIHSLEWDKDLCDFFEIPMSILPNVCSSSEIY GLMTSGALEGVPISGCLGDQSAALVGQMCFHEGQAKNTYGTGCFLLCNTGQKCVFSEHGL LTTLAYKLGKNKPVFYALEGSVAIAGAVIRWLRDNFEIITTSGEVENLAREVGTSYGCYF VPAFSGLYAPYWEPSARGIICGLTQFTNKCHIAFAALEAVCFQTREILDAMNRDCGIPLS HLQVDGGMTNNRILMQLQADILHIPVVKSVMPETTALGAAMAAGAAEGVNVWSLEPEDLS TILMERYEPQIQATESEIRFSTWKRAVMKSMGWVTAKDPENGDNPVFSCLPLGFFIVSSM TLLIGARCVSTAEE >ENSMUSP00000042988.7 pep:known chromosome:GRCm38:7:97412938:97417730:-1 gene:ENSMUSG00000035686.8 transcript:ENSMUST00000043077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrsp description:thyroid hormone responsive [Source:MGI Symbol;Acc:MGI:109126] MQVLTKRYPKNCLLTVMDRYSAVVRNMEQVVMIPSLLRDVQLSGPGGSVQDGAPDLYTYF TMLKSICVEVDHGLLPREEWQAKVAGNETSEAENDAAETEEAEEDRISEELDLEAQFHLH FCSLHHILTHLTRKAQEVTRKYQEMTGQVL >ENSMUSP00000036872.5 pep:known chromosome:GRCm38:6:126708329:126740662:-1 gene:ENSMUSG00000038077.7 transcript:ENSMUST00000040751.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcna6 description:potassium voltage-gated channel, shaker-related, subfamily, member 6 [Source:MGI Symbol;Acc:MGI:96663] MRSEKSLTLAAPGEVRGPEGEQQDAGEFQEAEGGGGCCSSERLVINISGLRFETQLRTLS LFPDTLLGDPGRRVRFFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDIFMEE IRFYQLGEEALAAFREDEGCLPEGGEDEKPLPSQPFQRQVWLLFEYPESSGPARGIAIVS VLVILISIVIFCLETLPQFRADGRGGSNEGSGTRLSPASRSHEEEDEDEDSYAFPGSIPS GGLGTGGTSSLSTLGGSFFTDPFFLVETLCIVWFTFELLVRFSACPSKAAFFRNIMNIID LVAIFPYFITLGTELVQRHEQQSVSGGSGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSK GLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADDVDSLFPSIPDAFWWAVVTM TTVGYGDMYPMTVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEQEEQGQYTHV TCGQPTPDLKATDNGLGKPDFAEASRERRPSYLPTPHRAYAEKRMLTEV >ENSMUSP00000107861.1 pep:known chromosome:GRCm38:6:126736688:126740151:-1 gene:ENSMUSG00000038077.7 transcript:ENSMUST00000112242.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna6 description:potassium voltage-gated channel, shaker-related, subfamily, member 6 [Source:MGI Symbol;Acc:MGI:96663] MRSEKSLTLAAPGEVRGPEGEQQDAGEFQEAEGGGGCCSSERLVINISGLRFETQLRTLS LFPDTLLGDPGRRVRFFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDIFMEE IRFYQLGEEALAAFREDEGCLPEGGEDEKPLPSQPFQRQVWLLFEYPESSGPARGIAIVS VLVILISIVIFCLETLPQFRADGRGGSNEGSGTRLSPASRSHEEEDEDEDSYAFPGSIPS GGLGTGGTSSLSTLGGSFFTDPFFLVETLCIVWFTFELLVRFSACPSKAAFFRNIMNIID LVAIFPYFITLGTELVQRHEQQSVSGGSGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSK GLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADDVDSLFPSIPDAFWWAVVTM TTVGYGDMYPMTVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEQEEQGQYTHV TCGQPTPDLKATDNGLGKPDFAEASRERRPSYLPTPHRAYAEKRMLTEV >ENSMUSP00000139481.1 pep:known chromosome:GRCm38:6:126708332:126740674:-1 gene:ENSMUSG00000038077.7 transcript:ENSMUST00000185333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna6 description:potassium voltage-gated channel, shaker-related, subfamily, member 6 [Source:MGI Symbol;Acc:MGI:96663] MRSEKSLTLAAPGEVRGPEGEQQDAGEFQEAEGGGGCCSSERLVINISGLRFETQLRTLS LFPDTLLGDPGRRVRFFDPLRNEYFFDRNRPSFDAILYYYQSGGRLRRPVNVPLDIFMEE IRFYQLGEEALAAFREDEGCLPEGGEDEKPLPSQPFQRQVWLLFEYPESSGPARGIAIVS VLVILISIVIFCLETLPQFRADGRGGSNEGSGTRLSPASRSHEEEDEDEDSYAFPGSIPS GGLGTGGTSSLSTLGGSFFTDPFFLVETLCIVWFTFELLVRFSACPSKAAFFRNIMNIID LVAIFPYFITLGTELVQRHEQQSVSGGSGQNGQQAMSLAILRVIRLVRVFRIFKLSRHSK GLQILGKTLQASMRELGLLIFFLFIGVILFSSAVYFAEADDVDSLFPSIPDAFWWAVVTM TTVGYGDMYPMTVGGKIVGSLCAIAGVLTIALPVPVIVSNFNYFYHRETEQEEQGQYTHV TCGQPTPDLKATDNGLGKPDFAEASRERRPSYLPTPHRAYAEKRMLTEV >ENSMUSP00000127945.1 pep:known chromosome:GRCm38:1:191162584:191164817:-1 gene:ENSMUSG00000091017.1 transcript:ENSMUST00000171798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71a description:family with sequence similarity 71, member A [Source:MGI Symbol;Acc:MGI:3588202] MDVKEESLLPYYTAQSGAEVGMFNGLFDTATGKLQQQLHKGEYDIFKYAPIFESDFIQIT RYGEVIDVHNRVRMVTVGIACTSPILPLPDVMLLARPAPFDELLGHGQSTNSTKGKKRKA GKTLELTRLLPLKFVRISVHRRDEQQLRLKFATGRSCYLQLCPPPESKDDLFSYWEKLID LLRPPVDSNTSTYAIPAGDMMSTPFLDEELKIPKKKGKRKSGHRKKRDLYKVSVKSLPAT TDVSAISSSAFSGGEETHDSHISTIVSRIPTPKVSSKVLARESASEAIVCDLVDSLSLAA NMPEGLGQINKATVEEAALGARGSKSHLTIASTGKMSPKSMKIALAGMASKSLEYIPSSP ASLSRKEIMTVTNSRIETTGKSVGETANDPVPVVLALTLPSESHSNEETGRRKAYETSTK AHKERRSRRDQRAKERVGSRSEQHQRTGEGHKTEGDKEFQKSTSHRGVGDDKDKGSKRNR WHKGVSHVPITKESRSSHKLSRTISTASSASTSKRVGRISLFLRNIRASLAGKSLAPRGQ DVGIMTKTLETTDVKAIMEAEDGQGLEIIDSVTSEVMETVTFEAH >ENSMUSP00000139765.1 pep:known chromosome:GRCm38:Y:70271306:70303343:-1 gene:ENSMUSG00000099530.1 transcript:ENSMUST00000189003.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29644 description:predicted gene 29644 [Source:MGI Symbol;Acc:MGI:5580350] MTSLMKKKRRMSSSNVLRNIVGCRISQGWKEGNEPVTQWKTIVLGQLPTNPSLYLVKYDG NDSVYGQELYNDDRILNLKVLPPKVRFPQVRDAHLTRALVGRAVQHKFKGKDGSEDNWRR VVLAQVPIMKDLFYSTYKKDPALYFYQLLDDYKKGNLHIIPDTPLAEERSGDDSDVLIGN WVQYTRKGGSK >ENSMUSP00000082906.3 pep:known chromosome:GRCm38:9:55326913:55438345:1 gene:ENSMUSG00000032313.11 transcript:ENSMUST00000085754.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem266 description:transmembrane protein 266 [Source:MGI Symbol;Acc:MGI:2142980] MMLFQPHRAFRSDWLKPCCGKRAAVWQVFLLSASLNSFLVACVILVVILLTLELLIDTKL LQFSNAFQFAGVIHWISLVILSVFFSETVLRIVVLGIWDYIENKIEVFDGAVIILSLAPM VASTVANGPRSPWDAISLIIMFRIWRVKRVIDAYVLPVKLEMEMVTQQYEKAKAIQDEQL ERLTQICQEQGFEIRQLRAHLAQQDLDLAAEREAALQAPHVLSQPRSRYKVVEAGTWAEE TAAESIVEELRPSQEATVKDDMNSYISQYYNGPSSGKSGLGLFACTMQPQDTCDPSKP >ENSMUSP00000034862.4 pep:known chromosome:GRCm38:9:55326949:55438345:1 gene:ENSMUSG00000032313.11 transcript:ENSMUST00000034862.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem266 description:transmembrane protein 266 [Source:MGI Symbol;Acc:MGI:2142980] MALVTSFNMANPQPAIEGGISEVEIISQQVDEETKSIAPVQLVNFAYRDLPLAAVDLSTG GSQLLSNLDEEYQREGSDWLKPCCGKRAAVWQVFLLSASLNSFLVACVILVVILLTLELL IDTKLLQFSNAFQFAGVIHWISLVILSVFFSETVLRIVVLGIWDYIENKIEVFDGAVIIL SLAPMVASTVANGPRSPWDAISLIIMFRIWRVKRVIDAYVLPVKLEMEMVTQQYEKAKAI QDEQLERLTQICQEQGFEIRQLRAHLAQQDLDLAAEREAALQAPHVLSQPRSRYKVVEAG TWAEETAAESIVEELRPSQEATVKDDMNSYISQYYNGPSSDSGAPEPAVCVVTTAAIDIH QPNVPSDLFSVDLPLKLSGNSTCASATSETTSHSTCGSVTRAQSASSQTLGSSTDCSTPR EELLPSKPRSSPLPLLLPPQQLVAEATVQDLMSSLSKDPCPSHKALDPAPLAQPTPLGSV QTSPELEHRVSLFNQKNQEALPVLQINPVIHLQPTAGLEEKFRSLESKEPKLHTVPEA >ENSMUSP00000140466.1 pep:known chromosome:GRCm38:Y:70271306:70273642:-1 gene:ENSMUSG00000094052.2 transcript:ENSMUST00000187418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21118 description:predicted gene, 21118 [Source:MGI Symbol;Acc:MGI:5434473] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGTLVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000137343.1 pep:known chromosome:GRCm38:Y:70271441:70272124:-1 gene:ENSMUSG00000094052.2 transcript:ENSMUST00000180179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21118 description:predicted gene, 21118 [Source:MGI Symbol;Acc:MGI:5434473] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGTLVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000085826.2 pep:known chromosome:GRCm38:1:17601901:17630939:1 gene:ENSMUSG00000067780.3 transcript:ENSMUST00000088476.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi15 description:peptidase inhibitor 15 [Source:MGI Symbol;Acc:MGI:1934659] MQSWRTSPSLKMIMNSAVSLVILLSLLCEAHTVVLLNPTDSSLPANNFTDTEAALSTPLE SADIPKARRKRYISQNDMIAILDYHNQVRGKVFPPAANMEYMVWDENLAKSAEAWAATCI WDHGPSYLLRFLGQNLSVRTGRYRSILQLVKPWYDEVKDYAFPYPQDCNPRCPMRCFGPM CTHYTQMVWATSNRIGCAIHTCQNMNVWGSVWRRAVYLVCNYAPKGNWIGEAPYKVGVPC SSCPPSYGGACTDNLCFPGVTTNYLYWFK >ENSMUSP00000116313.2 pep:known chromosome:GRCm38:9:110532597:110618633:1 gene:ENSMUSG00000044791.16 transcript:ENSMUST00000153838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd2 description:SET domain containing 2 [Source:MGI Symbol;Acc:MGI:1918177] MKPLPSQQPPPKMGDFYDPEHPTPEEEENEAKIENVQKTGFIKGPVFKGVASSRFLPKGT KTKVNLEEQGRQKVSFSFSFTKKTLQNRFLTALSNEKQSDSPNSPAPPLQVDSNPKVKMD AGDTFPATEESSPPKSRVELGRIHFKKHLLHVTSRPQLAASTTAASPLPPTTQLPAVLAE SMIDSPPSSPPPPPPPPQASSPSPPAQISEPVALPQPPATALMTSPPGPLPGDVAVRAQK ESPVKSGPEVLEVDTKQDIVSNSLEEHTVQTLKEQADHLLQKEDSHIGKEEEVSDGSKIS LSSKKASSKKKSSQFEGTFLGSESDEDSVRTSSSQRSHDLKSSTSIDKERDFKKSSAPSK SEDLGKSSRSKTERDDRYCSYSKLERDTRYVSSRCRSERDRRRSRSRSRSDRASRTSLSY SRSERSHYYDSERRYHRSSPYRERTRYSRPYTDNRARESSDSEDEYKKTYPRRTSAHSYR DLRTSSSYSKFDRDCKTETSYLEMERRGKYTSKLERESKRTSEHETIKRCCSPPNELGFR RGSSYSKHDNSTSRYKSALSKSISKNDKFKNSFCCTELNEENKQSHSFSLQTPCSKGSEL RTINKISEREKTGSPTPSNQLNDSPTFKKLDESPVLKPEFIGHDGRESIKELELSKVKND QLRNFCSIELNVNGSPETEADVATFCTSKTDAISMTSDDSVTGSEVSPLIKACMLSSNGF QNVGRCRERDSDDTCRQHNTSKSPFREMEPLLSPHHDKLMSLPVKTIDYPKTLIKEPVDK RHSCCKTKDSDIYCSPNENPEAENAEPSAMTISSHSFVNVHLESKTVICDNREPTDRHSE NTCDEYKQSIGSTSSASHNHFDGLYEPIGSSGISSLQSPPSGIRCEENTSPTLDAVESKK GIDFLKYARKETDVGSALPDSGKGFSWENRHNNVLSGQSLQEAQEEGNSILHERRGRPEI PLDEEQRGHTHISDDSEVVFPYDLNLTMEDSDGITYTLKCDSSGNAPEIVSTVHEDYSGS SASSSDESDSEDTESDDSSIPRNRLQSVVVVPKNSTLPMEETSPCSSRSSQSYKHYSDRW EDGLETRRHAYEEEYESKGCSQTEKYFLHKGTERSAESCYSQFGRKADNHLPDIAHAQSD GVDSTSQTDSRSDHLGHLNPEDTLRAKTSRPQELPVYSDDFEDLPNKSRQQMIFSNRPDS SRLGKTELSFSSSCDISRMDGLHSSEELRNLGWDFSQQERPTTTYQQPDSSYGTCGTHKY QQSTEHYGGTHNYWQGNGYWDPRSAGRPPGTGLAYDRIQGQVPDSLTDDREEEEHWDQRS GSHFSSPSNKFFFHQKDKGSVQAPEISSNSIKDALVMNERKDFSKNFEKNDIKERGPPKK RRQELESDSESDGELQARKKVRVEMEQGESSVPQHSELMGPSCAMDDFRDPQRWKEFAKL GKMPCYFDLIEENVYLTERKKNKSHRDIKRMQCECTPLSKDERAQGEVACGEDCLNRLLM IECSSRCPNGDYCSNRRFQRKQHADVEVILTEKKGWGLRAAKDLPSNTFVLEYCGEVLDH KEFKARVKEYARNKNIHYYFMALKNDEIIDATQKGNCSRFMNHSCEPNCETQKWTVNGQL RVGFFTTKLVPSGSELTFDYQFQRYGKEAQKCFCGSANCRGYLGGENRVSIRAAGGKMKK ERSRKKDSVDGELEALMENGEGLSDKNQVLSLSRLMVRIETLEQKLTCLKLIQNTHSQSC LKSFLERHGLSLLWIWMAELGDGRESNQKLQEEIIKTLEHLPIPTKNMLEESKVLPIIQR WSQTKTAVPQLSEGDGYSSENTSRAHTPLNTPDPSAKPSTEMDTDTPKKLIFRRLKIISE NSMDSAVSDVTSELECKDGKEDLDQLETVTVEEDEELQSQQLLPQQLCESKVESEATIEV SKLPTSEPEADTETEPKDSNGTKLEETIAEETPSQDEEEGVSDVESERSQEPPDKTVDIS DLATKLLDSWKDLKEVYRIPKKSQTEKESTVAERGRDAAAFRDQTAPKTPNRSRERDPDK QSQNKEKRKRRGSLSPPSSAYERGTKRPDDRYDTPTSKKKVRIKDRNKLSTEERRKLFEQ EVAQREAQKQQQQMQNLGMTSPLPFDSLGYNASHHPFAGYPPGYPMQAYVDPSNPNAGKV LLPTPSMDPVCSPAPYDHAQPLVGHSTESLAAPPSVPVVPHVAASVEVSSSQYVAQNESV VHQDSNVPVMPVQAPGPVQGQNYNVWESNQQSVSVQQQYSPAQSQTTIYYQGQTCSTVYS VTSPYSQTTPPIVQSYAQPSLQYIQGQQIFTAHPQGVVVQPTAAVTSIVAPGQPQSLQPP EMVVTNNLLDLPPPSPPKPKTIVLPPNWKTARDPEGKIYYYHVITRQTQWDPPTWESPGD DASLEHEAEMDLGTPTYDENPMKTSKKPKTAEADTSSELAKKSKEVFRKEMSQFIVQCLN PYRKPDCKVGRITTTEDFKHLARKLTHGVMNKELKYCKNPEDLECNENVKHKTKEYIKKY MQKFGAVYKPKEDTELE >ENSMUSP00000143774.1 pep:known chromosome:GRCm38:9:110547970:110618614:1 gene:ENSMUSG00000044791.16 transcript:ENSMUST00000196814.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Setd2 description:SET domain containing 2 [Source:MGI Symbol;Acc:MGI:1918177] XSHIGKEEEVSDGSKISLSSKKASSKKKSSQFEGTFLGSESDEDSVRTSSSQRSHDLKSS TSIDKERDFKKSSAPSKSEDLGKSSRSKTERDDRYCSYSKLERDTRYVSSRCRSERDRRR SRSRSRSDRASRTSLSYSRSERSHYYDSERRYHRSSPYRERTRYSRPYTDNRARESSDSE DEYKKTYPRRTSAHSYRDLRTSSSYSKFDRDCKTETSYLEMERRGKYTSKLERESKRTSE HETIKRCCSPPNELGFRRGSSYSKHDNSTSRYKSALSKSISKNDKFKNSFCCTELNEENK QSHSFSLQTPCSKGSELRTINKISEREKTGSPTPSNQLNDSPTFKKLDESPVLKPEFIGH DGRESIKELELSKVKNDQLRNFCSIELNVNGSPETEADVATFCTSKTDAISMTSDDSVTG SEVSPLIKACMLSSNGFQNVGRCRERDSDDTCRQHNTSKSPFREMEPLLSPHHDKLMSLP VKTIDYPKTLIKEPVDKRHSCCKTKDSDIYCSPNENPEAENAEPSAMTISSHSFVNVHLE SKTVICDNREPTDRHSENTCDEYKQSIGSTSSASHNHFDGLYEPIGSSGISSLQSPPSGI RCEENTSPTLDAVESKKGIDFLKYARKETDVGSALPDSGKGFSWENRHNNVLSGQSLQEA QEEGNSILHERRGRPEIPLDEEQRGHTHISDDSEVVFPYDLNLTMEDSDGITYTLKCDSS GNAPEIVSTVHEDYSGSSASSSDESDSEDTESDDSSIPRNRLQSVVVVPKNSTLPMEETS PCSSRSSQSYKHYSDRWEDGLETRRHAYEEEYESKGCSQTEKYFLHKGTERSAESCYSQF GRKADNHLPDIAHAQSDGVDSTSQTDSRSDHLGHLNPEDTLRAKTSRPQELPVYSDDFED LPNKSRQQMIFSNRPDSSRLGKTELSFSSSCDISRMDGLHSSEELRNLGWDFSQQERPTT TYQQPDSSYGTCGTHKYQQSTEHYGGTHNYWQGNGYWDPRSAGRPPGTGLAYDRIQGQVP DSLTDDREEEEHWDQRSGSHFSSPSNKFFFHQKDKGSVQAPEISSNSIKDALVMNERKDF SKNFEKNDIKERGPPKKRRQELESDSESDGELQARKKVRVEMEQGESSVPQHSELMGPSC AMDDFRDPQRWKEFAKLGKMPCYFDLIEENVYLTERKKNKSHRDIKRMQCECTPLSKDER AQGEVACGEDCLNRLLMIECSSRCPNGDYCSNRRFQRKQHADVEVILTEKKGWGLRAAKD LPS >ENSMUSP00000142553.1 pep:known chromosome:GRCm38:9:110551296:110564612:1 gene:ENSMUSG00000044791.16 transcript:ENSMUST00000198823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd2 description:SET domain containing 2 [Source:MGI Symbol;Acc:MGI:1918177] XELQARKKVRVEMEQGESSVPQHSELMGPSCAMDDFRDPQRWKEFAKLGKMPCYFDLIEE NVYLTERKKNKSHRDIKRMQCECTPLSKDERAQGEVACGEDCLNRLLMIECSSRCPNGDY CSNRRFQRKQHADVEVILTEKKGWGLRAAKDLPSNTFVLEYCGEVLDHKEFKARVKEYAR NKNIHYYFMALKNDEVSSIIDATQKGNCSRFMNHSCEPNCETQKWTVNGQLRVGFFTT >ENSMUSP00000142960.1 pep:known chromosome:GRCm38:9:110573622:110618607:1 gene:ENSMUSG00000044791.16 transcript:ENSMUST00000200460.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd2 description:SET domain containing 2 [Source:MGI Symbol;Acc:MGI:1918177] XLEHLPIPTKNMLEESKVLPIIQRWSQTKTAVPQLSEGDGYSSENTSRAHTPLNTPDPSA KPSTEMDTDTPKKLIFRRLKIISENSMDSAVSDVTSELECKDGKEDLDQLETVTVEEDEE LQSQQLLPQQLCESKVESEATIEVSKLPTSEPEADTETEPKDSNGTKLEETIAEETPSQD EEEGVSDVESERSQEPPDKTVDISDLATKLLDSWKDLKEVYRIPKKSQTEKESTAERGRD AAAFRDQTAPKTPNRSRERDPDKQSQNKEKRKRRGSLSPPSSAYERGTKRPDDRYDTPTS KKKVRIKDRNKLSTEERRKLFEQEVAQREAQKQQQQMQNLGMTSPLPFDSLGYNASHHPF AGYPPGYPMQAYVDPSNPNAGKVLLPTPSMDPVCSPAPYDHAQPLVGHSTESLAAPPSVP VVPHVAASVEVSSSQYVAQNESVVHQDSNVPVMPVQAPGPVQGQNYNVWESNQQSVSVQQ QYSPAQSQTTIYYQGQTCSTVYSVTSPYSQTTPPIVQSYAQPSLQYIQGQQIFTAHPQGV VVQPTAAVTSIVAPGQPQSLQPPEMVVTNNLLDLPPPSPPKPKTIVLPPNWKTARDPEGK IYYYHVITRQTQWDPPTWESPGDDASLEHEAEMDLGTPTYDENPMKTSKKPKTAEADTSS ELAKKSKEVFRKEMSQFIVQCLNPYRKPDCKVGRITTTEDFKHLARKLTHGVMNKELKYC KNPEDLECNENVKHKTKEYIKKYMQKFGAVYKPKEDTELE >ENSMUSP00000142933.1 pep:known chromosome:GRCm38:9:110573884:110594425:1 gene:ENSMUSG00000044791.16 transcript:ENSMUST00000197655.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Setd2 description:SET domain containing 2 [Source:MGI Symbol;Acc:MGI:1918177] XSAVSDVTSELECKDGKEDLDQLETVTVEEDEELQSQQLLPQQLCESKVESEATIEVSKL PTSEPEADTETEPKDSNGTKLEETIAEETPSQDEEEGVSDVESERSQEPPDKTVDISDLA TKLLDSWKDLKLLRAARSLLTSPADHVSPFLNSISNFVLKKNKTLACIL >ENSMUSP00000142572.1 pep:known chromosome:GRCm38:9:110602174:110618148:1 gene:ENSMUSG00000044791.16 transcript:ENSMUST00000197399.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Setd2 description:SET domain containing 2 [Source:MGI Symbol;Acc:MGI:1918177] PKPKTIVLPPNWKTARDPEGKIYYYHVITRQTQWDPPTWESPGDDASLEHEAEMDLGTPT YDENPMKCVPHS >ENSMUSP00000061913.7 pep:known chromosome:GRCm38:4:126096623:126098584:1 gene:ENSMUSG00000050188.8 transcript:ENSMUST00000055575.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm10 description:U7 snRNP-specific Sm-like protein LSM10 [Source:MGI Symbol;Acc:MGI:2151045] MALSHSVKERTISENSLIILLQGLQGQITTVDLRDESVARGRIDNVDAFMNIRLANVTYT DRWGHQVELDDLFVTGRNVRYVHIPDGVDITATIEQQLQIIHRVRNFGGKGQGRREFPSK RP >ENSMUSP00000119610.1 pep:known chromosome:GRCm38:4:126096660:126098132:1 gene:ENSMUSG00000050188.8 transcript:ENSMUST00000151831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm10 description:U7 snRNP-specific Sm-like protein LSM10 [Source:MGI Symbol;Acc:MGI:2151045] MALSHSVKERTISENSLIILLQGLQGQITTVDLRDESVARGRIDNVDAFMNIRLANVTYT DRWGHQVELDDLFVTGRNVRYVHIPDGVDITAT >ENSMUSP00000136585.1 pep:known chromosome:GRCm38:4:126096653:126098581:1 gene:ENSMUSG00000050188.8 transcript:ENSMUST00000179323.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm10 description:U7 snRNP-specific Sm-like protein LSM10 [Source:MGI Symbol;Acc:MGI:2151045] MALSHSVKERTISENSLIILLQGLQGQITTVDLRDESVARGRIDNVDAFMNIRLANVTYT DRWGHQVELDDLFVTGRNVRYVHIPDGVDITATIEQQLQIIHRVRNFGGKGQGRREFPSK RP >ENSMUSP00000033865.9 pep:known chromosome:GRCm38:8:22128283:22166412:-1 gene:ENSMUSG00000031478.16 transcript:ENSMUST00000033865.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek3 description:NIMA (never in mitosis gene a)-related expressed kinase 3 [Source:MGI Symbol;Acc:MGI:1344371] MDNYTVLRVIGQGSFGRALLVLQESSNQTFAMKEIRLLKSDTQTSRKEAVLLAKMKHPNI VAFKESFEAEGYLYIVMEYCDGGDLMQRIKQQKGKLFPEDTILNWFIQICLGVNHIHKRR VLHRDIKSKNVFLTHNGKVKLGDFGSARLLSSPMAFACTYVGTPYYVPPEIWENLPYNNK SDIWSLGCILYELCALKHPFQANSWKNLILKICQGPIHPLPALYSCKLQGLVKQMLKRNP SHRPSATTLLCRGSLAPLVPKCLPPQIIREYGEQILDEIKISTPKNMKKQDSNRVRRALG EANSASMQEEERGRKCSHTELESTGTTPAGNALERAARGNPGNPQEHGRHTSPASPHRPW WERHGPSSNVEALEKASILTSSFTAEDDRGGSVIKYEENARRQWVREPPEALLSMLKDAD LSQAFQTYTIYRPGAEGFLKGPLSEDTASDSVDGDLDSVMLDPERFEPRLDEEDTDFEED NENPDWVSELKKHVGYGDGPGGQLLGERA >ENSMUSP00000106358.3 pep:known chromosome:GRCm38:8:22128283:22166435:-1 gene:ENSMUSG00000031478.16 transcript:ENSMUST00000110730.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek3 description:NIMA (never in mitosis gene a)-related expressed kinase 3 [Source:MGI Symbol;Acc:MGI:1344371] MDNYTVLRVIGQGSFGRALLVLQESSNQTFAMKEIRLLKSDTQTSRKEAVLLAKMKHPNI VAFKESFEAEGYLYIVMEYCDGGDLMQRIKQQKGKLFPEDTILNWFIQICLGVNHIHKRR VLHRDIKSKNVFLTHNGKVKLGDFGSARLLSSPMAFACTYVGTPYYVPPEIWENLPYNNK SDIWSLGCILYELCALKHPFQANSWKNLILKICQGPIHPLPALYSCKLQGLVKQMLKRNP SHRPSATTLLCRGSLAPLVPKCLPPQIIREYGEQILDEIKISTPKNMKKQDSNRVRRALG EANSASMQEEERGRKCSHTELESTGTTPAGNALERAARGNPESGNPQEHGRHTSPASPHR PWWERHGPSSNVEALEKASILTSSFTAEDDRGGSVIKYEENARRQWVREPPEALLSMLKD ADLSQAFQTYTIYRPGAEGFLKGPLSEDTASDSVDGDLDSVMLDPERFEPRLDEEDTDFE EDNENPDWVSELKKHVGYGDGPGGQLLGERA >ENSMUSP00000136876.1 pep:known chromosome:GRCm38:8:22128286:22162494:-1 gene:ENSMUSG00000031478.16 transcript:ENSMUST00000178324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek3 description:NIMA (never in mitosis gene a)-related expressed kinase 3 [Source:MGI Symbol;Acc:MGI:1344371] MDNYTVLRVIGQGSFGRALLVLQESSNQTFAMKEIRLLKSDTQTSRKEAVLLAKMKHPNI VAFKESFEAEGYLYIVMEYCDGGDLMQRIKQQKGKLFPEDTILNWFIQICLGVNHIHKRR VLHRDIKSKNVFLTHNGKVKLGDFGSARLLSSPMAFACTYVGTPYYVPPEIWENLPYNNK SDIWSLGCILYELCALKHPFQANSWKNLILKICQGPIHPLPALYSCKLQGLVKQMLKRNP SHRPSATTLLCRGSLAPLVPKCLPPQIIREYGEQILDEIKISTPKNMKKQDSNRVRRALG EANSASMQEEERGRKCSHTELESTGTTPAGNALERAARGNPESGNPQEHGRHTSPASPHR PWWERHGPSSNVEALEKASILTSSFTAEDDRGGSVIKYEENARRQWVREPPEALLSMLKD ADLSQAFQTYTIYRPGAEGFLKGPLSEDTASDSVDGDLDSVMLDPERFEPRLDEEDTDFE EDNENPDWVSELKKHVGYGDGPGGQLLGERA >ENSMUSP00000029451.5 pep:known chromosome:GRCm38:3:102734529:102772292:1 gene:ENSMUSG00000027858.13 transcript:ENSMUST00000029451.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan2 description:tetraspanin 2 [Source:MGI Symbol;Acc:MGI:1917997] MGRFRGGLRCIKYLLLGFNLLFWLAGSAVIAFGLWFRFGGTMKDLSSEDKSPEYFYVGLY VLVGAGALMMTVGFFGCCGAMRESQCVLGSFFTCLLVIFAAEVTTGVFAFIGKDVAIRHV QSMYEEAYSDYLKDRARGNGTLITFHSAFQCCGKESSEQVQPTCPKELPGHKNCIDKIET VISAKLQLIGIVGIGIAGLTIFGMIFSMVLCCAIRNSRDVI >ENSMUSP00000142964.1 pep:known chromosome:GRCm38:3:102734957:102769021:1 gene:ENSMUSG00000027858.13 transcript:ENSMUST00000196611.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan2 description:tetraspanin 2 [Source:MGI Symbol;Acc:MGI:1917997] MMTVGFFGCCGAMRESQCVLGSFFTCLLVIFAAEVTTGVFAFIGKDVAIRHVQSMYEEAY SDYLKDRARGNGTLITFHSAFQCCGKESSEQVQPTCPKELPGHKNCIDKIETVISAKLQL IGIVGIGIAGLTIFGMIFSMVLCCAIRNSRDVI >ENSMUSP00000113803.1 pep:known chromosome:GRCm38:3:102735215:102769205:1 gene:ENSMUSG00000027858.13 transcript:ENSMUST00000119902.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan2 description:tetraspanin 2 [Source:MGI Symbol;Acc:MGI:1917997] MLPRKKPSSSAASPGGWRQLAGSAVIAFGLWFRFGGTMKDLSSEDKSPEYFYVGLYVLVG AGALMMTVGFFGCCGAMRESQCVLGSFFTCLLVIFAAEVTTGVFAFIGKDVAIRHVQSMY EEAYSDYLKDRARGNGTLITFHSAFQCCGKESSEQVQPTCPKELPGHKNCIDKIETVISA KLQLIGIVGIGIAGLTIFGMIFSMVLCCAIRNSRDVI >ENSMUSP00000142543.1 pep:known chromosome:GRCm38:3:102759310:102801513:1 gene:ENSMUSG00000027858.13 transcript:ENSMUST00000197345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan2 description:tetraspanin 2 [Source:MGI Symbol;Acc:MGI:1917997] AIRHVQSMYEEAYSDYLKDRARGNGTLITFHSAFQCCGKESSEQVQPTCPKELPGHKNCI DKIETVISAKLQLIGIVGIGIAGLTEL >ENSMUSP00000140691.1 pep:known chromosome:GRCm38:Y:70292585:70303287:-1 gene:ENSMUSG00000101725.6 transcript:ENSMUST00000189006.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29423 description:predicted gene 29423 [Source:MGI Symbol;Acc:MGI:5580129] MTKTFSLGLVEAFLTNNKNTHAMGKKILEENFPRFGISKVLSSDNGPSFIVQNPL >ENSMUSP00000139984.1 pep:known chromosome:GRCm38:Y:70293280:70303287:-1 gene:ENSMUSG00000101725.6 transcript:ENSMUST00000190274.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm29423 description:predicted gene 29423 [Source:MGI Symbol;Acc:MGI:5580129] MTKTFSLVPTRRIQLSTSISSWMTTRKGTSTSFQTLLWLRRDQEMTVMC >ENSMUSP00000139415.1 pep:known chromosome:GRCm38:Y:70298976:70303205:-1 gene:ENSMUSG00000101725.6 transcript:ENSMUST00000189304.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm29423 description:predicted gene 29423 [Source:MGI Symbol;Acc:MGI:5580129] MTKTFSLVPTRRIQLSTSISSWMTTRKGTSTSFQTLLWLRRDQEMTVMC >ENSMUSP00000140960.1 pep:known chromosome:GRCm38:Y:70298999:70301237:-1 gene:ENSMUSG00000101725.6 transcript:ENSMUST00000187904.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm29423 description:predicted gene 29423 [Source:MGI Symbol;Acc:MGI:5580129] XSSWMTTRKGTSTSFQTLLWLRRDQEMTVMC >ENSMUSP00000139436.1 pep:known chromosome:GRCm38:Y:70298999:70303230:-1 gene:ENSMUSG00000101725.6 transcript:ENSMUST00000189580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29423 description:predicted gene 29423 [Source:MGI Symbol;Acc:MGI:5580129] MTKTFSLVPTRRIQLSTSISSWMTTRKGTSTSFQTLLWLRRDQEMTVMC >ENSMUSP00000141061.1 pep:known chromosome:GRCm38:Y:70299002:70303231:-1 gene:ENSMUSG00000101725.6 transcript:ENSMUST00000188222.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm29423 description:predicted gene 29423 [Source:MGI Symbol;Acc:MGI:5580129] MTKTFSLGNIFNPRQWASMIFEHRMIPTRHLPRVGVVYHTRATAM >ENSMUSP00000141155.1 pep:known chromosome:GRCm38:Y:70299655:70301237:-1 gene:ENSMUSG00000101725.6 transcript:ENSMUST00000185989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29423 description:predicted gene 29423 [Source:MGI Symbol;Acc:MGI:5580129] XSSWMTTRKGTSTSFQTLLWLRRDQEMTVMC >ENSMUSP00000141636.1 pep:known chromosome:GRCm38:1:5070018:5162340:1 gene:ENSMUSG00000033793.12 transcript:ENSMUST00000192847.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1h description:ATPase, H+ transporting, lysosomal V1 subunit H [Source:MGI Symbol;Acc:MGI:1914864] MTKMDIRGAVDAAVPTNIIAAKAAEVRANKVNWQSYLQGQMISAEDCEFIQRFEMKRSSE DKQEMLQTEGSQCAKTFINLMTHISKEQTVQYILTMVDDMLQENHQRVSIFFDYAKRSKS TAWPYFLPMLNRQDPFTVHMAARIIAKLAAWGKELMEGSDLNYYFNWIKTQLSSQKLRGS GVAVETGTISSSDSSQYVQCVAGCLQLMLRVNEYRFAWVEADGVNCIMGVLSNKCGFQLQ YQMIFSIWLLAFSPQMCEHLRRYNIIPVLSDILQESVKEKVTRIILAAFRNFLEKSTERE TRQEYALAMIQCKVLKQLENLEQQKYDDEDISEDIKFLLEKLGESVQDLRILTKLLEVSD DPQVLAVAAHDVGEYVRHYPRGKRVIEQLGGKQLVMNHMHHEDQQVRYNALLAVQKLMVH NWEYLGKQLQSEQPQTAAARS >ENSMUSP00000040756.7 pep:known chromosome:GRCm38:1:5083080:5162529:1 gene:ENSMUSG00000033793.12 transcript:ENSMUST00000044369.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1h description:ATPase, H+ transporting, lysosomal V1 subunit H [Source:MGI Symbol;Acc:MGI:1914864] MTKMDIRGAVDAAVPTNIIAAKAAEVRANKVNWQSYLQGQMISAEDCEFIQRFEMKRSSE DKQEMLQTEGSQCAKTFINLMTHISKEQTVQYILTMVDDMLQENHQRVSIFFDYAKRSKS TAWPYFLPMLNRQDPFTVHMAARIIAKLAAWGKELMEGSDLNYYFNWIKTQLSSQKLRGS GVAVETGTISSSDSSQYVQCVAGCLQLMLRVNEYRFAWVEADGVNCIMGVLSNKCGFQLQ YQMIFSIWLLAFSPQMCEHLRRYNIIPVLSDILQESVKEKVTRIILAAFRNFLEKSTERE TRQEYALAMIQCKVLKQLENLEQQKYDDEDISEDIKFLLEKLGESVQDLSSFDEYSSELK SGRLEWSPVHKSEKFWRENAVRLNEKNYELLKILTKLLEVSDDPQVLAVAAHDVGEYVRH YPRGKRVIEQLGGKQLVMNHMHHEDQQVRYNALLAVQKLMVHNWEYLGKQLQSEQPQTAA ARS >ENSMUSP00000141264.1 pep:known chromosome:GRCm38:1:5083110:5095728:1 gene:ENSMUSG00000033793.12 transcript:ENSMUST00000194676.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1h description:ATPase, H+ transporting, lysosomal V1 subunit H [Source:MGI Symbol;Acc:MGI:1914864] MTKMDIRGAVDAAVPTNIIAAKAAEVRANKVNWQSYLQGQMISAEDCEFIQRFEMKRSSE DKQEMLQTEGSQCAKTFINLMTHISKEQTVQYILTMVDDMLQENHQRVSIFFDYAKRSKS TAWPYFLPMLNRQDPFTVHM >ENSMUSP00000141440.1 pep:known chromosome:GRCm38:1:5083132:5095659:1 gene:ENSMUSG00000033793.12 transcript:ENSMUST00000192029.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1h description:ATPase, H+ transporting, lysosomal V1 subunit H [Source:MGI Symbol;Acc:MGI:1914864] MISAEDCEFIQRFEMKRSSEDKQEMLQTEGSQCAKTFINLMTHISKEQTVQYILTMVDDM LQENHQRVSIFFDYAKR >ENSMUSP00000141797.1 pep:known chromosome:GRCm38:1:5083154:5162419:1 gene:ENSMUSG00000033793.12 transcript:ENSMUST00000192698.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1h description:ATPase, H+ transporting, lysosomal V1 subunit H [Source:MGI Symbol;Acc:MGI:1914864] MTKMDIRGAVDAAVPTNIIAAKAAEVRANKVNWQSYLQGQMISAEDCEFIQRFEMKRSSE DKQEMLQTEGSQCAKTFINLMTHISKEQTVQYILTMVDDMLQENHQRVSIFFDYAKRSKS TAWPYFLPMLNRQDPFTVHMAARIIAKLAAWGKELMEGSDLNYYFNWIKTQLSSQSSQYV QCVAGCLQLMLRVNEYRFAWVEADGVNCIMGVLSNKCGFQLQYQMIFSIWLLAFSPQMCE HLRRYNIIPVLSDILQESVKEKVTRIILAAFRNFLEKSTERETRQEYALAMIQCKVLKQL ENLEQQKYDDEDISEDIKFLLEKLGESVQDLSSFDEYSSELKSGRLEWSPVHKSEKFWRE NAVRLNEKNYELLKILTKLLEVSDDPQVLAVAAHDVGEYVRHYPRGKRVIEQLGGKQLVM NHMHHEDQQVRYNALLAVQKLMVHNWEYLGKQLQSEQPQTAAARS >ENSMUSP00000027941.8 pep:known chromosome:GRCm38:1:191170296:191183340:-1 gene:ENSMUSG00000026628.13 transcript:ENSMUST00000027941.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf3 description:activating transcription factor 3 [Source:MGI Symbol;Acc:MGI:109384] MMLQHPGQVSASEVSATAIVPCLSPPGSLVFEDFANLTPFVKEELRFAIQNKHLCHRMSS ALESVTVNNRPLEMSVTKSEAAPEEDERKRRRRERNKIAAAKCRNKKKEKTECLQKESEK LESVNAELKAQIEELKNEKQHLIYMLNLHRPTCIVRAQNGRTPEDERNLFIQQIKEGTLQ S >ENSMUSP00000141492.1 pep:known chromosome:GRCm38:1:191170296:191218039:-1 gene:ENSMUSG00000026628.13 transcript:ENSMUST00000195117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf3 description:activating transcription factor 3 [Source:MGI Symbol;Acc:MGI:109384] MMLQHPGQVSASEVSATAIVPCLSPPGSLVFEDFANLTPFVKEELRFAIQNKHLCHRMSS ALESVTVNNRPLEMSVTKSEAAPEEDERKRRRRERNKIAAAKCRNKKKEKTECLQKESEK LESVNAELKAQIEELKNEKQHLIYMLNLHRPTCIVRAQNGRTPEDERNLFIQQIKEGTLQ S >ENSMUSP00000023112.5 pep:known chromosome:GRCm38:15:81951108:81960930:-1 gene:ENSMUSG00000022474.14 transcript:ENSMUST00000023112.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmm1 description:phosphomannomutase 1 [Source:MGI Symbol;Acc:MGI:1353418] MAVAVEGARRKERILCLFDVDGTLTPARQKIDPEVSAFLQKLRSRVQIGVVGGSDYSKIA EQLGEGDEVIEKFDYVFAENGTVQYKHGRLLSKQTIQNHLGEELLQDLINFCLSYMALLR LPKKRGTFIEFRNGMLNVSPIGRSCTLEERIEFSELDKKEKIREKFVEALKTEFAGKGLR FSRGGMISFDVFPEGWDKRYCLDSLDEDSFDIIHFFGNETSPGGNDFEIYADPRTVGHSV VSPQDTVQRCRELFFPETAHEA >ENSMUSP00000071405.6 pep:known chromosome:GRCm38:15:81951108:81960869:-1 gene:ENSMUSG00000022474.14 transcript:ENSMUST00000071462.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmm1 description:phosphomannomutase 1 [Source:MGI Symbol;Acc:MGI:1353418] MAVAVEGARRKERILCLFDVDGTLTPARQKIDPETIQNHLGEELLQDLINFCLSYMALLR LPKKRGTFIEFRNGMLNVSPIGRSCTLEERIEFSELDKKEKIREKFVEALKTEFAGKGLR FSRGGMISFDVFPEGWDKRYCLDSLDEDSFDIIHFFGNETSPGGNDFEIYADPRTVGHSV VSPQDTVQRCRELFFPETAHEA >ENSMUSP00000115551.1 pep:known chromosome:GRCm38:15:81951444:81960842:-1 gene:ENSMUSG00000022474.14 transcript:ENSMUST00000155781.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pmm1 description:phosphomannomutase 1 [Source:MGI Symbol;Acc:MGI:1353418] MAVAVEGARRKERILCLFDVDGTLTPARQKIDPEVSAFLQKLRSRLHPGGEDRVLGTGQE GEDPGEVCGSLEDRVCWQGAAVLPRRHDKLRCLPRGLG >ENSMUSP00000030320.6 pep:known chromosome:GRCm38:4:108619940:108634123:1 gene:ENSMUSG00000028582.14 transcript:ENSMUST00000030320.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cc2d1b description:coiled-coil and C2 domain containing 1B [Source:MGI Symbol;Acc:MGI:2443076] MPGPRPRKGPKTSGQGAETAKQLGLFVEFNPEDMLLGVDETEDDGDLEAELLALTGETAS RSRKPAPKGQAPLPMAHIEKLAADCMRDVEEDEEEEGLEDDADLLTELQEVLGEDEEAGL LDGSEAASPDLCEEKTWDNTELPVEQAACQQAVPAAAQAGGPRGLQALLEERIRNYREAA ASAKEAGEAAKARRCERGLKTLQSQLATVRKGGKICEDEIPPPVALGKRPPAPQERAIKN PEIDSPGPCAMEPGNLSQPESSLPAIAPLPDSDPDPQALLLARQREYKAAALDAKRAGDL DRARELMRIGKRFGTVLEALEKGQPVDLSGMPPAPADLKALPQASKASSATQGLSPAVEQ MQPVMASDLPATPVAPAEPTTVLDALQQRLNKYREAGIQARANGDERKARMHDRIAKQYQ DAVRAHQAGQKVDFAELPVPPGFPPIPGLEPRKGSEQDSVAATLATAQKLASEDAALVDD DEESDTPAQAPLAKKPAQTLVSPSHLLTEPKASSSKESLSPSVREQVTLLEARKLQYQRA ALQAKRRQDLEQAKSHLRVAKSLEAQIIQARAGQPIDLSKVPSPLTDEEGDFILIHHEDL RLSQKAEEVYAQLQKMLQEQQAKCLLFSKQYMHQGNVAETTRFERLAEDRKKQLEILQLA QAQGLDPPSHHFELKTFQTVRIFSELNSTEMHLIIVRGMNLPAPPGVTPDDLDAFVRFEF HYPNSDQAQKSKTAVVKNTNSPEFEQVFKLNINRNHRGFRRVIQSKGIKFEIFHKGSFFR SDKLVGTAHLKLERLEKECEIREIMEVLDGRKPTGGKLEVKVRLREPLSSQDVQTVTENW LVLEPRGL >ENSMUSP00000135399.1 pep:known chromosome:GRCm38:4:108623512:108626006:1 gene:ENSMUSG00000028582.14 transcript:ENSMUST00000139962.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cc2d1b description:coiled-coil and C2 domain containing 1B [Source:MGI Symbol;Acc:MGI:2443076] XDGDLEAELLALTGETASRSRKPAPKGQAPLPMAHIEKLAADCMRDVEEDEEEEGLEDDA DLLTELQEVLGEDEEAGLLDGSEAASPDLCEEKTWDNTELPVEQAACQQAVPAAAQLPHR ERMARA >ENSMUSP00000123277.1 pep:known chromosome:GRCm38:4:108623563:108634123:1 gene:ENSMUSG00000028582.14 transcript:ENSMUST00000134844.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cc2d1b description:coiled-coil and C2 domain containing 1B [Source:MGI Symbol;Acc:MGI:2443076] XRSRKPAPKGQAPLPMAHIEKLAADCMRDVEEDEEEEGLEDDADLLTELQEVLGEDEEAG LLDGSEAASPDLCEEKTWDNTELPVEQAACQQAVPAAAQAGGPRGLQALLEERIRNYREA AASAKEAGEAAKARRCERGLKTLQSQLATVRKGGKICEDEIPPPVALGKRPPAPQERAIK NPEIDSPGPCAMEPDPDPQALLLARQREYKAAALDAKRAGDLDRARELMRIGKRFGTVLE ALEKGQPVDLSGMPPAPADLKALPQASKASSATQGLSPAVEQMQPVMASDLPATPVAPAE PTTVLDALQQRLNKYREAGIQARANGDERKARMHDRIAKQYQDAVRAHQAGQKVDFAELP VPPGFPPIPGLEPRKGSEQDSVAATLATAQKLASEDAALVDDDEESDTPAQAPLAKKPAQ TLVSPSHLLTEPKASSSKESLSPSVREQVTLLEARKLQYQRAALQAKRRQDLEQAKSHLR VAKSLEAQIIQARAGQPIDLSKVPSPLTDEEGDFILIHHEDLRLSQKAEEVYAQLQKMLQ EQQAKCLLFSKQYMHQGNVAETTRFERLAEDRKKQLEILQLAQAQGLDPPSHHFELKTFQ TVRIFSELNSTEMHLIIVRGMNLPAPPGVTPDDLDAFVRFEFHYPNSDQAQKSKTAVVKN TNSPEFEQVFKLNINRNHRGFRRVIQSKGIKFEIFHKGSFFRSDKLVGTAHLKLERLEKE CEIREIMEVLDGRKPTGGKLEVKVRLREPLSSQDVQTVTENWLVLEPRGL >ENSMUSP00000106984.1 pep:known chromosome:GRCm38:2:91211603:91236885:-1 gene:ENSMUSG00000002109.14 transcript:ENSMUST00000111352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddb2 description:damage specific DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:1355314] MIWNFGIKDKPIFLKGIGAGGSITGLKFNHLNTNQFFASSMEGTTRLQDFKGNILRVYTS SNSCKVWFCSLDVSAKSRVVVTGDNMGHVILLSTDGKELWNLRMHKKKVAHVALNPCCDW LLATASIDQTVKIWDLRQIKGKDSFLYSLPHRHPVNAACFSPDGARLLTTDQNNEIRVYS ASQWDSPLNLISHPHRHFQHLTPIKATWHSRHNLIVVGRYPDPNLKSCVPYELRTIDVFD GSSGKMMCQLYDPGYSGITSLNEFNPMGDTLASTMGYHILIWSQEEDGSQKDHERL >ENSMUSP00000028696.4 pep:known chromosome:GRCm38:2:91211856:91236982:-1 gene:ENSMUSG00000002109.14 transcript:ENSMUST00000028696.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddb2 description:damage specific DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:1355314] MAPKKCPETQKSPDVAVLLRSKSRRGPQELEPEAKKLRVQGPVSSRTCESCCLLAELSSL QIPSRSSSIVRDLYQHKLGKATWSSLQQGLQKSFLHSLASYQVFRKAAPFDRRTTSLAWH PTHPSTLAVGSKGGDIMIWNFGIKDKPIFLKGIGAGGSITGLKFNHLNTNQFFASSMEGT TRLQDFKGNILRVYTSSNSCKVWFCSLDVSAKSRVVVTGDNMGHVILLSTDGKELWNLRM HKKKVAHVALNPCCDWLLATASIDQTVKIWDLRQIKGKDSFLYSLPHRHPVNAACFSPDG ARLLTTDQNNEIRVYSASQWDSPLNLISHPHRHFQHLTPIKATWHSRHNLIVVGRYPDPN LKSCVPYELRTIDVFDGSSGKMMCQLYDPGYSGITSLNEFNPMGDTLASTMGYHILIWSQ EEDGSQKDHERL >ENSMUSP00000064002.5 pep:known chromosome:GRCm38:1:171281945:171287991:-1 gene:ENSMUSG00000053483.13 transcript:ENSMUST00000065941.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp21 description:ubiquitin specific peptidase 21 [Source:MGI Symbol;Acc:MGI:1353665] MPQASEHRLGRTREPPVNVQPRVGAKIPFPPRARSKERRNPVPGPNSMLRPLPPRPGPPD ERLKKLELGRGRTSGSRPRGPLRADHGVPLPGSPPPAVALPLPSRTNLARSKSVSSGDLR PMGIALGGHRGAGELGAALSRLALRPEPPTLRRSTSLRRLGGFPGPPTLLSIRTEPPTSH GSFHMISARPSEPFYSDDKMAHHTLLLGSGHVGLRNLGNTCFLNAVLQCLSSTRPLRDFC LRRDFRQEVPGGGRAQELTEAFADVIGALWHPDSCEAVNPTRFRAVFQKYVPSFSGYSQQ DAQEFLKLLMERLHLEINRRGRRAPPILASGPVPSPPCRGGGALHEEPELSDDDRANLMW KRYLEREDSKIVDLFVGQLKSCLKCQACGYRSTTFEVFCDLSLPIPKKGFAGGKVSLRDC FSLFTKEEELESENAPVCDRCRQKTRSTKKLTVQRFPRILVLHLNRFSTSRGSIKKSSVG VDFPLQRLSLGDFASDKAGSPVYQLYALCNHSGSVHYGHYTALCRCQTGWHVYNDSRVSP VSENQVASSEGYVLFYQLMQEPLRCL >ENSMUSP00000106936.1 pep:known chromosome:GRCm38:1:171281949:171287924:-1 gene:ENSMUSG00000053483.13 transcript:ENSMUST00000111305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp21 description:ubiquitin specific peptidase 21 [Source:MGI Symbol;Acc:MGI:1353665] MPQASEHRLGRTREPPVNVQPRVGAKIPFPPRARSKERRNPVPGPNSMLRPLPPRPGPPD ERLKKLELGRGRTSGSRPRGPLRADHGVPLPGSPPPAVALPLPSRTNLARSKSVSSGDLR PMGIALGGHRGAGELGAALSRLALRPEPPTLRRSTSLRRLGGFPGPPTLLSIRTEPPTSH GSFHMISARPSEPFYSDDKMAHHTLLLGSGHVGLRNLGNTCFLNAVLQCLSSTRPLRDFC LRRDFRQEVPGGGRAQELTEAFADVIGALWHPDSCEAVNPTRFRAVFQKYVPSFSGYSQQ DAQEFLKLLMERLHLEINRRGRRAPPILASGPVPSPPCRGGGALHEEPELSDDDRANLMW KRYLEREDSKIVDLFVGQLKSCLKCQACGYRSTTFEVFCDLSLPIPKKGFAGGKVSLRDC FSLFTKEEELESENAPVCDRCRQKTRSTKKLTVQRFPRILVLHLNRFSTSRGSIKKSSVG VDFPLQRLSLGDFASDKAGSPVYQLYALCNHSGSVHYGHYTALCRCQTGWHVYNDSRVSP VSENQVASSEGYVLFYQLMQEPLRCL >ENSMUSP00000120161.1 pep:known chromosome:GRCm38:1:171281958:171287955:-1 gene:ENSMUSG00000053483.13 transcript:ENSMUST00000149187.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp21 description:ubiquitin specific peptidase 21 [Source:MGI Symbol;Acc:MGI:1353665] MPQASEHRLGRTREPPVNVQPRVGAKIPFPPRARSKERRNPVPGPNSMLRPLPPRPGPPD ERLKKLELGRGRTSGSRPRGPLRADHGVPLPGSPPPAVALPLPSRTNLARSKSVSSGDLR PMGIALGGHRGAGELGAALSRLALRPEPPTLRRSTSLRRLGGFPGPPTLLSIRTEPPTSH GSFHMISARPSEPFYSDDKMAHHTLLLGSGHVGLRNLGNTCFLNAVLQCLSSTRPLRDFC LRRDFRQEVPGGGRAQELTEAFADVIGALWHPDSCEAVNPTRFRAVFQKYVPSFSGYSQQ DAQEFLKLLMERLHLEINRRGRRAPPILASGPVPSPPCRGGGALHEEPELSDDDRANLMW KRYLEREDSKIVDLFVGQLKSCLKCQACGYRSTTFEVFCDLSLPIPKKGFAGGKVSLRDC FSLFTKEEELESENAPGISHTVSPRLRLSFP >ENSMUSP00000106938.3 pep:known chromosome:GRCm38:1:171281958:171287961:-1 gene:ENSMUSG00000053483.13 transcript:ENSMUST00000111306.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp21 description:ubiquitin specific peptidase 21 [Source:MGI Symbol;Acc:MGI:1353665] MPQASEHRLGRTREPPVNVQPRVGAKIPFPPRARSKERRNPVPGPNSMLRPLPPRPGPPD ERLKKLELGRGRTSGSRPRGPLRADHGVPLPGSPPPAVALPLPSRTNLARSKSVSSGDLR PMGIALGGHRGAGELGAALSRLALRPEPPTLRRSTSLRRLGGFPGPPTLLSIRTEPPTSH GSFHMISARPSEPFYSDDKMAHHTLLLGSGHVGLRNLGNTLPQCFLNAVLQCLSSTRPLR DFCLRRDFRQEVPGGGRAQELTEAFADVIGALWHPDSCEAVNPTRFRAVFQKYVPSFSGY SQQDAQEFLKLLMERLHLEINRRGRRAPPILASGPVPSPPCRGGGALHEEPELSDDDRAN LMWKRYLEREDSKIVDLFVGQLKSCLKCQACGYRSTTFEVFCDLSLPIPKKGFAGGKVSL RDCFSLFTKEEELESENAPVCDRCRQKTRSTKKLTVQRFPRILVLHLNRFSTSRGSIKKS SVGVDFPLQRLSLGDFASDKAGSPVYQLYALCNHSGSVHYGHYTALCRCQTGWHVYNDSR VSPVSENQVASSEGYVLFYQLMQEPLRCL >ENSMUSP00000133362.1 pep:known chromosome:GRCm38:1:171284932:171286806:-1 gene:ENSMUSG00000053483.13 transcript:ENSMUST00000174720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp21 description:ubiquitin specific peptidase 21 [Source:MGI Symbol;Acc:MGI:1353665] XLRPLPPRPGPPDERLKKLELGRGRTSGSRPRGPLRADHGVPLPGSPPPAVALPLPSRTN LARSKSAHHTLLLGSGHVGLRNLGNTCFLNAVLQCLSSTRPLRDFCLRRDFRQEVPGGGR AQELTEAFADVIGALWHPDSCEAVNPTRFRAVFQKYVPSFSG >ENSMUSP00000113681.2 pep:known chromosome:GRCm38:6:12317087:12749410:-1 gene:ENSMUSG00000032625.14 transcript:ENSMUST00000119581.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd7a description:thrombospondin, type I, domain containing 7A [Source:MGI Symbol;Acc:MGI:2685683] MGLRAGRLASPSRGVLQLLRLPLLLLLLLSSGARGAAAQGDTEVPTLYLWKTGPWGRCMG DDCGPGGIQTRAVWCAHVEGWTTLHTNCKQAVRPSNQQNCFKVCDWHKELYDWRLGTWDR CQPVISKSLEKSRECVKGEEGIQVREIMCIQKDKDIPAEDIICEYFEPKPLLEQACLIPC QKDCIVSEFSPWSECSRTCGSGLQHRTRHVVAPPQYGGSGCPNLTEFQVCQSNPCEEDES LYSLQVGPWSACSVPHTRQARQARRRGKNKEREKERGKAVKDPEARELIKKKRNRNRQNR QENRYWDIQIGYQTRDVTCLNRTGKSADLSFCQQERLPMTFQSCVITKECQVSEWLEWSP CSKTCHDVTSPTGTRVRTRTITQFPIGSEKECPALEEKEPCVSQGDGAVLCATYGWRTTE WTECHVDPLLSQQDKRRANQTALCGGGVQTREIYCIQTNDNMLSHGNTQKDKEASKPVDS KLCTGPVPNTTQLCHVPCPIECEVSPWSAWGPCTYENCNDQQGKKGFKLRKRRITNEPTG GSGATGNCPHLLEAIPCEEPSCYDWKSVRLGDCEPDNGKSCGPGTQVQEVVCINSDGEEV DRQLCRDAIFPIPVACDAPCPKDCVLSAWSSWSSCSHTCSGKTTEGKQTRARSILAYAGE EGGIRCPNISALQEVRSCNEHPCTVYHWQTGPWGQCIEDTSVSSFNTTTTWNGEASCSVG MQTRKVICVRVNVGQVGPKKCPESLRPETVRPCLLPCRKDCVVTPYSDWTPCPSSCREGD SGARKQSRQRVIIQLPANGGKECSDPLYEEKACEAPPTCHSYRWKTHKWRRCQLVPWSIQ QDVPGAQEGCGPGRQARAITCRKQDGGQASIQECLQYAGPVPALTQACQIPCQDDCQFTS WSKFSSCNGDCGAVRTRKRAIVGKSKKKEKCKNSHLYPLIETQYCPCDKYNAQPVGNWSD CILPEGKAEVLLGMKVQGDSKECGQGYRYQAMACYDQNGRLVETSRCNSHGYIEEACIIP CPSDCKLSEWSNWSRCSKSCGSGVKVRSKWLREKPYNGGRPCPKLDHVNQAQVYEVVPCH SDCNQYIWVTEPWSVCKVTFVDMRDNCGEGVQTRKVRCMQNTADGPSEHVEDYLCDPEDM PLGSRECKLPCPEDCVISEWGPWTQCALPCNPSGSRQRSADPIRQPADEGRACPDAVEKE PCSLNKNCYHYDYNVTDWSTCQLSEKAVCGNGIKTRMLDCVRSDGKSVDLKYCEELGLEK NWPMNTSCTVECPVNCQLSDWSSWSQCSQTCGLTGKMIRKRTVTQPFQGDGRPCPSLMEQ SKPCPVKPCYRWQYGQWSPCQVQEAQCGEGTRTRNISCVVSDGSAEDFSKVVDEEFCANT ELIIDGNKQIVLEETCTQPCPGDCYLNDWSSWSLCQLTCVNGEDLGFGGIQVRSRAVIIQ ELENQHLCPEQMLETKSCDDGQCYEYKWVASAWKGSSRTVWCQRSDGINVTGGCLVVSQP DTDRSCNPPCSQPHSYCSEMKTCRCEEGYTEVMSSNSTLEQCTLIPVVVIPTVEDKRGDV KTSRAVHPTQPSINPAGRGRTWFLQPFGPDGRLKTWVYGVAAGAFVLLVFIVSMIYLACK KPKKPQRRQNNRLKPLTLAYDGDADM >ENSMUSP00000131662.1 pep:known chromosome:GRCm38:6:12311610:12749253:-1 gene:ENSMUSG00000032625.14 transcript:ENSMUST00000172356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd7a description:thrombospondin, type I, domain containing 7A [Source:MGI Symbol;Acc:MGI:2685683] MGLRAGRLASPSRGVLQLLRLPLLLLLLLSSGARGAAAQGDTEVPTLYLWKTGPWGRCMG DDCGPGGIQTRAVWCAHVEGWTTLHTNCKQAVRPSNQQNCFKVCDWHKELYDWRLGTWDR CQPVISKSLEKSRECVKGEEGIQVREIMCIQKDKDIPAEDIICEYFEPKPLLEQACLIPC QKDCIVSEFSPWSECSRTCGSGLQHRTRHVVAPPQYGGSGCPNLTEFQVCQSNPCEEDES LYSLQVGPWSACSVPHTRQARQARRRGKNKEREKERGKAVKDPEARELIKKKRNRNRQNR QENRYWDIQIGYQTRDVTCLNRTGKSADLSFCQQERLPMTFQSCVITKECQVSEWLEWSP CSKTCHDVTSPTGTRVRTRTITQFPIGSEKECPALEEKEPCVSQGDGAVLCATYGWRTTE WTECHVDPLLSQQDKRRANQTALCGGGVQTREIYCIQTNDNMLSHGNTQKDKEASKPVDS KLCTGPVPNTTQLCHVPCPIECEVSPWSAWGPCTYENCNDQQGKKGFKLRKRRITNEPTG GSGATGNCPHLLEAIPCEEPSCYDWKSVRLGDCEPDNGKSCGPGTQVQEVVCINSDGEEV DRQLCRDAIFPIPVACDAPCPKDCVLSAWSSWSSCSHTCSGKTTEGKQTRARSILAYAGE EGGIRCPNISALQEVRSCNEHPCTVYHWQTGPWGQCIEDTSVSSFNTTTTWNGEASCSVG MQTRKVICVRVNVGQVGPKKCPESLRPETVRPCLLPCRKDCVVTPYSDWTPCPSSCREGD SGARKQSRQRVIIQLPANGGKECSDPLYEEKACEAPPTCHSYRWKTHKWRRCQLVPWSIQ QDVPGAQEGCGPGRQARAITCRKQDGGQASIQECLQYAGPVPALTQACQIPCQDDCQFTS WSKFSSCNGDCGAVRTRKRAIVGKSKKKEKCKNSHLYPLIETQYCPCDKYNAQPVGNWSD CILPEGKAEVLLGMKVQGDSKECGQGYRYQAMACYDQNGRLVETSRCNSHGYIEEACIIP CPSDCKLSEWSNWSRCSKSCGSGVKVRSKWLREKPYNGGRPCPKLDHVNQSSVYEVVPCH SDCNQYIWVTEPWSVCKVTFVDMRDNCGEGVQTRKVRCMQNTADGPSEHVEDYLCDPEDM PLGSRECKLPCPEDCVISEWGPWTQCALPCNPSGSRQRSADPIRQPADEGRACPDAVEKE PCSLNKNCYHYDYNVTDWSTCQLSEKAVCGNGIKTRMLDCVRSDGKSVDLKYCEELGLEK NWPMNTSCTVECPVNCQLSDWSSWSQCSQTCGLTGKMIRKRTVTQPFQGDGRPCPSLMEQ SKPCPVKPCYRWQYGQWSPCQVQEAQCGEGTRTRNISCVVSDGSAEDFSKVVDEEFCANT ELIIDGNKQIVLEETCTQPCPGDCYLNDWSSWSLCQLTCVNGEDLGFGGIQVRSRAVIIQ ELENQHLCPEQMLETKSCDDGQCYEYKWVASAWKGSSRTVWCQRSDGINVTGGCLVVSQP DTDRSCNPPCSQPHSYCSEMKTCRCEEGYTEVMSSNSTLEQCTLIPVVVIPTVEDKRGDV KTSRAVHPTQPSINPAGRGRTWFLQPFGPDGRLKTWVYGVAAGAFVLLVFIVSMIYLACK KPKKPQRRQNNRLKPLTLAYDGDADM >ENSMUSP00000038878.7 pep:known chromosome:GRCm38:10:21377291:21396585:1 gene:ENSMUSG00000037542.13 transcript:ENSMUST00000042699.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh8a1 description:aldehyde dehydrogenase 8 family, member A1 [Source:MGI Symbol;Acc:MGI:2653900] MAGKRELLMLENFIGGKFLPCNSYIDSYDPSTGEVYCKVPNSGKEEIEAAVEAAREAFPA WSSRSPQERSLVLNRLADVLEQSLEELAQAESKDQGKTLTLARTMDIPRSVLNFRFFASS NLHHVSECTQMSHLGCMHYTVRTPVGIAGLISPWNLPLYLLTWKIAPAIAAGNTVIAKPS EMTSVTAWMFCKLLDKAGVPPGVINIVFGTGPRVGEALVSHPEVPLISFTGSQPTAERIT QLSAPHCKKLSLELGGKNPAIIFEDANLEECIPATVRSSFANQGEICLCTSRIFVQRSIY SEFLKRFVEATRKWKVGVPSDPSANMGALISKAHLEKVRSYVLKAQTEGARILCGEGVDQ LSLPLRNQAGYFMLPTVITDIKDESRCMTEEIFGPVTCVVPFDSEEEVITRANSVRYGLA ATVWSKDVGRIHRVAKKLQSGLVWTNCWLIRELNLPFGGMKSSGIGREGAKDSYDFFTEI KTITIKY >ENSMUSP00000124448.1 pep:known chromosome:GRCm38:10:21377347:21388996:1 gene:ENSMUSG00000037542.13 transcript:ENSMUST00000159163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh8a1 description:aldehyde dehydrogenase 8 family, member A1 [Source:MGI Symbol;Acc:MGI:2653900] GKRELLMLENFIGGKFLPCNSYIDSYDPSTGEVYCKVPNSGKEEIEAAVEAAREAFPAWS SRSPQERSLVLNRLADVLEQSLEELAQAESKDQGKTLTLARTMDIPRSVLNFRFFASSNL HHVSECTQMSHLGCMHYTVRTPVGIAGLISPWNLPLYLLTWKIAPAIAAGNTVIAKPSEM TSVTAWMFCKLLDKADAVTQAHPTPTYQLLDSRRR >ENSMUSP00000051216.4 pep:known chromosome:GRCm38:5:135747220:135778238:-1 gene:ENSMUSG00000019178.17 transcript:ENSMUST00000053906.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styxl1 description:serine/threonine/tyrosine interacting-like 1 [Source:MGI Symbol;Acc:MGI:1923821] MADLLFCEPTELYNILNQVSKLSRLAEPNYLCLLDVRSKRQYDESHVITALRVKKRDHQY LIPESVDLECVRYCIVYDSNTSSLELCIRRQLEEEEEEEEVEREDKEEDTELLPGPAVEF GQILIHFTRQPVYVLRGGYECFSGMYHFFRTQKIIWMPQELDAFQPYPVEILPGRVYLGK ISQACNAKMHKDLKIKAHVNISMETTPYFIGNADKLLHIKLEDSPDTLLFDYFRHICHFI ELHLRLHSVVLVFSTRGISRSVAAVVALLMHYNEETLKRSWAQVKKCKTNMRPNRAFVAQ LLEWEKVLHGEYLTDISEPIY >ENSMUSP00000135982.1 pep:known chromosome:GRCm38:5:135747521:135778250:-1 gene:ENSMUSG00000019178.17 transcript:ENSMUST00000177559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styxl1 description:serine/threonine/tyrosine interacting-like 1 [Source:MGI Symbol;Acc:MGI:1923821] MADLLFCEPTELYNILNQVSKLSRLAEPNYLCLLDVRSKRQYDESHVITALRVKKRDHQY LIPESVDLECVRYCIVYDSNTSSLELCIRRQLEEEEEEEEVEREDKEEDTELLPGPAVEF GQILIHFTRQPVYVLRGGYECFSGMYHFFRTQKIIWMPQELDAFQPYPVEILPGRVYLGK ISQACNAKMHKDLKIKAHVNISMETTPYFIGNADKLLHIKLEDSPDTLLFDYFRHICHFI ELHLRLHSVVLVFSTRGISRSVAAVVALLMHYNEETLKRSWAQVKKCKTNMRPNRAFVAQ LLEWEKVLHGEYLTDISEPIY >ENSMUSP00000106793.2 pep:known chromosome:GRCm38:5:135747586:135778301:-1 gene:ENSMUSG00000019178.17 transcript:ENSMUST00000111163.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styxl1 description:serine/threonine/tyrosine interacting-like 1 [Source:MGI Symbol;Acc:MGI:1923821] MADLLFCEPTELYNILNQVSKLSRLAEPNYLCLLDVRSKRQYDESHVITALRVKKRDHQY LIPESVDLECVRYCIVYDSNTSSLELCIRRQLEEEEEEEEVEREDKEEDTELLPGPAVEF GQILIHFTRQPVYVLRGGYECFSGMYHFFRTQKIIWMPQELDAFQPYPVEILPGRVYLGK ISQACNAKMHKDLKIKAHVNISMETTPYFIGNADKLLHIKLEDSPDTLLFDYFRHICHFI ELHLRLHSVVLVFSTRGISRSVAAVVALLMHYNEETLKRSWAQVKKCKTNMRPNRAFVAQ LLEWEKVLHGEYLTDISEPIY >ENSMUSP00000106794.2 pep:known chromosome:GRCm38:5:135747629:135778385:-1 gene:ENSMUSG00000019178.17 transcript:ENSMUST00000111164.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styxl1 description:serine/threonine/tyrosine interacting-like 1 [Source:MGI Symbol;Acc:MGI:1923821] MADLLFCEPTELYNILNQVSKLSRLAEPNYLCLLDVRSKRQYDESHVITALRVKKRDHQY LIPESVDLECVRYCIVYDSNTSSLELCIRRQLEEEEEEEEVEREDKEEDTELLPGPAVEF GQILIHFTRQPVYVLRGGYECFSGMYHFFRTQKIIWMPQELDAFQPYPVEILPGRVYLGK ISQACNAKMHKDLKIKAHVNISMETTPYFIGNADKLLHIKLEDSPDTLLFDYFRHICHFI ELHLRLHSVVLVFSTRGISRSVAAVVALLMHYNEETLKRSWAQVKKCKTNMRPNRAFVAQ LLEWEKVLHGEYLTDISEPIY >ENSMUSP00000136983.1 pep:known chromosome:GRCm38:5:135747649:135778291:-1 gene:ENSMUSG00000019178.17 transcript:ENSMUST00000142343.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Styxl1 description:serine/threonine/tyrosine interacting-like 1 [Source:MGI Symbol;Acc:MGI:1923821] MADLLFCEPTELYNILNQVSKLSRLAEPNYLCLLDVRSKRQYDESHVITALRVKKNSYLD LLWNLDKSSFTLPANLSMSSEGAMNASLACTTSSGLRRSSGCPRNWMPSSHTQLRYFQAE STWAKSVKPAMLRCTRT >ENSMUSP00000106792.1 pep:known chromosome:GRCm38:5:135747737:135778291:-1 gene:ENSMUSG00000019178.17 transcript:ENSMUST00000111162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styxl1 description:serine/threonine/tyrosine interacting-like 1 [Source:MGI Symbol;Acc:MGI:1923821] MADLLFCEPTELYNILNQVSKLSRLAEPNYLCLLDVRSKRQYDESHVITALRVKKNSYLD LLWNLDKSSFTLPANLSMSSEGAMNASLACTTSSGLRRSSGCPSFIGNADKLLHIKLEDS PDTLLFDYFRHICHFIELHLRLHSVVLVFSTRGISRSVAAVVALLMHYNEETLKRSWAQV KKCKTNMRPNRAFVAQLLEWEKVLHGEYLTDISEPIY >ENSMUSP00000137481.1 pep:known chromosome:GRCm38:5:135757129:135778369:-1 gene:ENSMUSG00000019178.17 transcript:ENSMUST00000178796.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styxl1 description:serine/threonine/tyrosine interacting-like 1 [Source:MGI Symbol;Acc:MGI:1923821] MCTLLNLPSRMADLLFCEPTELYNILNQVSKLSRLAEPNYLCLLDVRSKRQYDESHVITA LRVKKRDHQYLIPESVDLECVRYCIVYDSNTSSLELCIRRQLEEEEEEEEVEREDKEEDT ELLPGPAVEFGQILIHFTRQPVYVLRGGYECFSGMYHFFRTQKIIWMPQELDAF >ENSMUSP00000137191.1 pep:known chromosome:GRCm38:5:135747221:135778385:-1 gene:ENSMUSG00000019178.17 transcript:ENSMUST00000178515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styxl1 description:serine/threonine/tyrosine interacting-like 1 [Source:MGI Symbol;Acc:MGI:1923821] MYHFFRTQKIIWMPQELDAFQPYPVEILPGRVYLGKISQACNAKMHKDLKIKAHVNISME TTPYFIGNADKLLHIKLEDSPDTLLFDYFRHICHFIELHLRLHSVVLVFSTRGISRSVAA VVALLMHYNEETLKRSWAQVKKCKTNMRPNRAFVAQLLEWEKVLHGEYLTDISEPIY >ENSMUSP00000106791.2 pep:known chromosome:GRCm38:5:135747649:135778291:-1 gene:ENSMUSG00000019178.17 transcript:ENSMUST00000111161.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styxl1 description:serine/threonine/tyrosine interacting-like 1 [Source:MGI Symbol;Acc:MGI:1923821] MYHFFRTQKIIWMPQELDAFQPYPVEILPGRVYLGKISQACNAKMHKDLKIKAHVNISME TTPYFIGNADKLLHIKLEDSPDTLLFDYFRHICHFIELHLRLHSVVLVFSTRGISRSVAA VVALLMHYNEETLKRSWAQVKKCKTNMRPNRAFVAQLLEWEKVLHGEYLTDISEPIY >ENSMUSP00000099388.1 pep:known chromosome:GRCm38:11:101552149:101581951:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000103099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNTIQIKYLDEENEEISINS QGEYEEALKMANIKQGNQLQMQVHEGYHVVDEALPKNVVENQAAARTGKKPLAHYSSLVR VLGSDMKTTEEPAPEQCSSAPCDTDQPQDKPPDWFTSYLEMFREQVVKETVEKLEQRLQE KLVLQKPLLSSSPTEVSMPISEETLFLPENQFSWHIACSHCQKRIVGVRYQCSLCPSYNI CEDCEAGPYTHDTNHVLLKLRRPVVISSEPFFYSKYSAPRLPAALEQVRLQKQVDKNFVK AEKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSIHGLQSPKSPLGRPESLLQSNTLML PLQPCAPVMPTLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWNTDTKLKFMWGNL TLASTEKKDVLVPCLKAGHVGVVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVD PFPSSESPDNVEGDRISSSKADDFSCEQEEAFLLAEEEIPLGEVTKQTEGTGASASQKTR RAASERELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDMTPCMSPLPHDSPLI EKPGLGQIQEESEGAGFKAPPDSTVSAKRKAETPASVEETEEDLSGTQFVCETVIRSLTL DAAPDHNPPCRQRSPQRELQLYSTEGQQPLVLPGFCRKDSSLKFALPEEGPRGDEREEIV HIVEEEVVEEEEEVQDEEVQSQSSASSEDYIIILPECFDTSRPLGDSMYSSALSQPGLER GAEGEPGIESGLEPTEARERLPERESQPQEQSISDILTTSQPLDTVPLVPEVAGLPAALS RSAPCGQCESSGVDSPGVDSPATMHEVPPAPDDIRGEPRGSTGLANSRQRSCDHSRHHNG SSIAGGLVKGALSVAASAYKALFSGPPVTAQPIVSEDQTTALMAHLFEMGFCDRQLNLRL LRKHNYNILQVVTELLQVNNNDWYSHRY >ENSMUSP00000122097.1 pep:known chromosome:GRCm38:11:101552234:101559546:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000147239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNT >ENSMUSP00000099387.2 pep:known chromosome:GRCm38:11:101552654:101581951:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000103098.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNTIQIKYLDEENEEISINS QGEYEEALKMANIKQGNQLQMQVHEGYHVVDEALPKNVVENQAAARTGKKPLAHYSSLVR VLGSDMKTTEEPAPEQCSSAPCDTDQPQDKPPDWFTSYLEMFREQVVKETVEKLEQRLQE KLVLQKPLLSSSPTEVSMPISEETLFLPENQFSWHIACSHCQKRIVGVRYQCSLCPSYNI CEDCEAGPYTHDTNHVLLKLRRPVVISSEPFFYSKYSAPRLPAALEQVRLQKQVDKNFVK AEKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSIHGLQSPKSPLGRPESLLQSNTLML PLQPCAPVMPTLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWNTDTKLKFMWGNL TLASTEKKDVLVPCLKAGHVGVVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVD PFPSSESPDNVEGDRISSSKADDFSCEQEEAFLLAEEEIPLGEVTKQTEGTGASASQKTR RAASERELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDMTPCMSPLPHDSPLI EKPGLGQIQEESEGAGFKAPPDSTVSAKRKAETPASVEETEEDLSGTQFVCETVIRSLTL DAAPDHNPPCRQRSPQRELQLYSTEGQQPLVLPGFCRKDSSLKFALPEEGPRGDEREEIV HIVEEEVVEEEEEVQDEEVQSQSSASSEDYIIILPECFDTSRPLGDSMYSSALSQPGLER GAEGEPGIESGLEPTEARERLPERESQPQEQSISDILTTSQPLDTVPLVPEVAGLPAALS RSAPCGQCESSGVDSPGVDSPATMHEVPPAPDDIRGEPRGSTGLANSRQRSCDHSRHHNG SSIAGGLVKGALSVAASAYKALFSGPPVTAQPIVSEDQTTALMAHLFEMGFCDRQLNLRL LRKHNYNILQVVTELLQVNNNDWYSHRY >ENSMUSP00000102831.1 pep:known chromosome:GRCm38:11:101552858:101581951:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000107213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNTIQIKYLDEENEEISINS QGEYEEALKMANIKQGNQLQMQVHEGYHVVDEALPKNVVENQAAARTGKKPLAHYSSLVR VLGSDMKTTEEPAPEQCSSAPCDTDQPQDKPPDWFTSYLEMFREQVVKETVEKLEQRLQE KLVLQKPLLSSSPTEVSMPISEETLFLPENQFSWHIACSHCQKRIVGVRYQCSLCPSYNI CEDCEAGPYTHDTNHVLLKLRRPVVISSEPFFYSKYSAPRLPAALEQVRLQKQVDKNFVK AEKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSIHGLQSPKSPLGRPESLLQSNTLML PLQPCAPVMPTLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWNTDTKLKFMWGNL TLASTEKKDVLVPCLKAGHVGVVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVD PFPSSESPDNVEGDRISSSKADDFSCEQEEAFLLAEEEIPLGEVTKQTEGTGASASQKTR RAASERELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDSTVSAKRKAETPASV EETEEDLSGTQFVCETVIRSLTLDAAPDHNPPCRQRSPQRELQLYSTEGQQPLVLPGFCR KDSSLKFALPEEGPRGDEREEIVHIVEEEVVEEEEEVQDEEVQSQSSASSEDYIIILPEC FDTSRPLGDSMYSSALSQPGLERGAEGEPGIESGLEPTEARERLPERESQPQEQSISDIL TTSQPLDTVPLVPEVAGLPAALSRSAPCGQCESSGVDSPGVDSPATMHEVPPAPDDIRGE PRGSTGLANSRQRSCDHSRHHNGSSIAGGLVKGALSVAASAYKALFSGPPVTAQPIVSED QTTALMAHLFEMGFCDRQLNLRLLRKHNYNILQVVTELLQVNNNDWYSHRY >ENSMUSP00000133619.1 pep:known chromosome:GRCm38:11:101552858:101581951:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000123558.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNTIQIKYLDEENEEISINS QGEYEEALKMANIKQGNQLQMQVHEGYHVVDEALPKNVVENQAAARTGKKPLAHYSSLVR VLGSDMKTTEEPAPEQCSSAPCDTDQPQDKPPDWFTSYLEMFREQVVKETVEKLEQRLQE KLVLQKPLLSSSPTEVSMPISEETLFLPENQFSWHIACSHCQKRIVGVRYQCSLCPSYNI CEDCEAGPYTHDTNHVLLKLRRPVVISSEPFFYSKYSAPRLPAALEQVRLQKQVDKNFVK AEKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSIHGLQSPKSPLGRPESLLQSNTLML PLQPCAPVMPTLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWNTDTKLKFMWGNL TLASTEKKDVLVPCLKAGHVGVVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVD PFPSSESPDNVEGDRISSSKADDFSCEQEEAFLLAEEEIPLGEVTKQTEGTGASASQKTR RAASERELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDMTPCMSPLPHDSPLI EKPGLGQIQEESEGAGFKAPPDSTVSAKRKAETPASVEETEEDLSGTQFVCETVIRSLTL DAAPDHNPPCRQRSPQRELQLYSTEGQQPLVLPGFCRKDSSLKFALPEEGPRGDEREEIV HIVEEEVVEEEEEVQDEEVQSQSSASSEDYIIILPECFDTSRPLGDSMYSSALSQPGLER GAEGEPGIESGLEPTEARERLPERESQPQEQSISDILTTSQPLDTVPLVPEVAGLPAALS RSAPCGQCESSGVDSPGVDSPATMHEVPPAPDDIRGGTTMGAALLEDW >ENSMUSP00000102826.1 pep:known chromosome:GRCm38:11:101552858:101581951:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000107208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNTIQIKYLDEENEEISINS QGEYEEALKMANIKQGNQLQMQVHEGYHVVDEALPKNVVENQAAARTGKKPLAHYSSLVR VLGSDMKTTEEPAPEQCSSAPCDTDQPQDKPPDWFTSYLEMFREQVVKETVEKLEQRLQE KLVLQKPLLSSSPTEVSMPISEETLFLPENQFSWHIACSHCQKRIVGVRYQCSLCPSYNI CEDCEAGPYTHDTNHVLLKLRRPVVISSEPFFYSKYSAPRLPAALEQVRLQKQVDKNFVK AEKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSIHGLQSPKSPLGRPESLLQSNTLML PLQPCAPVMPTLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWNTDTKLKFMWGNL TLASTEKKDVLVPCLKAGHVGVVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVD PFPSSESPDNVEGDRISSSKADDFSCEQEEAFLLAEEEIPLGEVTKQTEGTGASASQKTR RAASERELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDMTPCMSPLPHDSPLI EKPGLGQIQEESEGAGFKAPPDSTVSAKRKAETPASVEETEEDLSGTQFVCETVIRSLTL DAAPDHNPPCRQRSPQRELQLYSTEGQQPLVLPGFCRKDSSLKFALPEEGPRGDEREEIV HIVEEEVVEEEEEVQDEEVQSQSSASSEDYIIILPECFDTSRPLGDSMYSSALSQPGLER GAEGEPGIESGLEPTEARERLPERESQPQEQSISDILTTSQPLDTVPLVPEVAGLPAALS RSAPCGQCESSGVDSPGVDSPATMHEVPPAPDDIRGEPRGSTGLANSRQRSCDHSSPSFL KIRPQP >ENSMUSP00000102830.1 pep:known chromosome:GRCm38:11:101552882:101581951:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000107212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNTIQIKYLDEENEEISINS QGEYEEALKMANIKQGNQLQMQVHEGYHVVDEALPKNVVENQAAARTGKKPLAHYSSLVR VLGSDMKTTEEPAPEQCSSAPCDTDQPQDKPPDWFTSYLEMFREQVVKETVEKLEQRLQE KLVLQKPLLSSSPTEVSMPISEETLFLPENQFSWHIACSHCQKRIVGVRYQCSLCPSYNI CEDCEAGPYTHDTNHVLLKLRRPVVISSEPFFYSKYSAPRLPAALEQVRLQKQVDKNFVK AEKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSIHGLQSPKSPLGRPESLLQSNTLML PLQPCAPVMPTLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWNTDTKLKFMWGNL TLASTEKKDVLVPCLKAGHVGVVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVD PFPSSESPDNVEGDRISSSKADDFSCEQEEAFLLAEEEIPLGEVTKQTEGTGASASQKTR RAASERELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDMTPCMSPLPHDSPLI EKPGLGQIQEESEGAGFKAPPDSTVSAKRKAETPASVEETEEDLSGTQFVCETVIRSLTL DAAPDHNPPCRQRSPQMKFALPEEGPRGDEREEIVHIVEEEVVEEEEEVQDEEVQSQSSA SSEDYIIILPECFDTSRPLGDSMYSSALSQPGLERGAEGEPGIESGLEPTEARERLPERE SQPQEQSISDILTTSQPLDTVPLVPEVAGLPAALSRSAPCGQCESSGVDSPGVDSPATMH EVPPAPDDIRGEPRGSTGLANSRQRSCDHSRHHNGSSIAGGLVKGALSVAASAYKALFSG PPVTAQPIVSEDQTTALMAHLFEMGFCDRQLNLRLLRKHNYNILQVVTELLQVNNNDWYS HRY >ENSMUSP00000121628.1 pep:known chromosome:GRCm38:11:101552901:101559545:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000127421.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNT >ENSMUSP00000134500.1 pep:known chromosome:GRCm38:11:101555866:101559562:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000136185.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMQFLSILP >ENSMUSP00000119900.1 pep:known chromosome:GRCm38:11:101567119:101581951:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000149019.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] XEDCEAGPYTHDTNHVLLKLRRPVVISSEPFFYSKYSAPRLPAALEQVRLQKQVDKNFVK AEKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSIHGLQSPKSPLGRPESLLQSNTLML PLQPCAPVMPTLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWNTDTKLKFMWGNL TLASTEKKDVLVPCLKAGHVGVVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVD PFPSSESPDNVEGDRISSSKADDFSCEQEEAFLLAEEEIPLGEVTKQTEGTGASASQKTR RAASERELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDMTPCMSPLPHDSPLI EKPGLGQIQEESEGAGFKAPPDSTVSAKRKAETPASVEETEEDLSGTQFVCETVIRSLTL DAAPDHNPPCRQRSPQRELQLYSTEGQQPLVLPGFCRKDSSLKFALPEEGPRGDEREEIV HIVEEEVVEEEEEVQDEEVQSLERGAEGEPGIESGLEPTEARERLPERESQPQEQSISDI LTTSQPLDTVPLVPEVAGLPAALSRSAPCGQCESSGVDSPGVDSPATMHEVPPAPDDIRG EPRGSTGLANSRQRSCDHSRHHNGSSIAGGLVKGALSVAASAYKALFSGPPVTAQPIVSE DQTTALMAHLFEMGFCDRQLNLRLLRKHNYNILQVVTELLQVNNNDWYSHRY >ENSMUSP00000102836.3 pep:known chromosome:GRCm38:11:101556058:101581012:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000107218.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNTIQIKYLDEENEEISINS QGEYEEALKMANIKQGNQLQMQVHEGYHVVDEALPKNVVENQAAARTGKKPLAHYSSLVR VLGSDMKTTEEPAPEQCSSAPCDTDQPQDKPPDWFTSYLEMFREQVVKETVEKLEQRLQE KLVLQKPLLSSSPTEVSMPISEETLFLPENQFSWHIACSHCQKRIVGVRYQCSLCPSYNI CEDCEAGPYTHDTNHVLLKLRRPVVISSEPFFYSKYSAPRLPAALEQVRLQKQVDKNFVK AEKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSIHGLQSPKSPLGRPESLLQSNTLML PLQPCAPVMPTLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWNTDTKLKFMWGNL TLASTEKKDVLVPCLKAGHVGVVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVD PFPSSESPDNVEGDRISSSKADDFSCEQEEAFLLAEEEIPLGEVTKQTEGTGASASQKTR RAASERELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDMTPCMSPLPHDSPLI EKPGLGQIQEESEGAGFKAPPDSTVSAKRKAETPASVEETEEDLSGTQFVCETVIRSLTL DAAPDHNPPCRQRSPQRELQLYSTEGQQPLVLPGFCRKDSSLKFALPEEGPRGDEREEIV HIVEEEVVEEEEEVQDEEVQSQSSASSEDYIIILPECFDTSRPLGDSMYSSALSQPGLER GAEGEPGIESGLEPTEARERLPERESQPQEQSISDILTTSQPLDTVPLVPEVAGLPAALS RSAPCGQCESSGVDSPGVDSPATMHEVPPAPDDIRGEPRGSTGLANSRQRSCDHSRHHNG SSIAGGLVKGALSVAASAYKALFSGPPVTAQPIVSEDQTTALMAHLFEMGFCDRQLNLRL LRKHNYNILQVVTELLQVNNNDWYSHRY >ENSMUSP00000071467.6 pep:known chromosome:GRCm38:11:101556172:101581012:1 gene:ENSMUSG00000017119.19 transcript:ENSMUST00000071537.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbr1 description:neighbor of Brca1 gene 1 [Source:MGI Symbol;Acc:MGI:108498] MEPQVTLNVTFKNETQSFLVSDPENTTWADVEAMVKVSFDLNTIQIKYLDEENEEVKYDI QCEYEEALKMANIKQGNQLQMQVHEGYHVVDEALPKNVVENQAAARTGKKPLAHYSSLVR VLGSDMKTTEEPAPEQCSSAPCDTDQPQDKPPDWFTSYLEMFREQVVKETVEKLEQRLQE KLVLQKPLLSSSPTEVSMPISEETLFLPENQFSWHIACSHCQKRIVGVRYQCSLCPSYNI CEDCEAGPYTHDTNHVLLKLRRPVVISSEPFFYSKYSAPRLPAALEQVRLQKQVDKNFVK AEKQRLRAEKKQRKAEVKELKKQLKLHRKIHLWNSIHGLQSPKSPLGRPESLLQSNTLML PLQPCAPVMPTLSAAFVDENLPDGTHLQPGTKFIKHWRMKNTGNVKWNTDTKLKFMWGNL TLASTEKKDVLVPCLKAGHVGVVSVEFIAPTLEGTYTSHWRLSHKGQQFGPRVWCSIIVD PFPSSESPDNVEGDRISSSKADDFSCEQEEAFLLAEEEIPLGEVTKQTEGTGASASQKTR RAASERELYIPSVDLLTAQDLLSFELLDINIVQELERVPHNTPVDMTPCMSPLPHDSPLI EKPGLGQIQEESEGAGFKAPPDSTVSAKRKAETPASVEETEEDLSGTQFVCETVIRSLTL DAAPDHNPPCRQRSPQRELQLYSTEGQQPLVLPGFCRKDSSLKFALPEEGPRGDEREEIV HIVEEEVVEEEEEVQDEEVQSQSSASSEDYIIILPECFDTSRPLGDSMYSSALSQPGLER GAEGEPGIESGLEPTEARERLPERESQPQEQSISDILTTSQPLDTVPLVPEVAGLPAALS RSAPCGQCESSGVDSPGVDSPATMHEVPPAPDDIRGEPRGSTGLANSRQRSCDHSRHHNG SSIAGGLVKGALSVAASAYKALFSGPPVTAQPIVSEDQTTALMAHLFEMGFCDRQLNLRL LRKHNYNILQVVTELLQVNNNDWYSHRY >ENSMUSP00000105710.1 pep:known chromosome:GRCm38:2:139493913:139637056:1 gene:ENSMUSG00000039092.9 transcript:ENSMUST00000110083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptlc3 description:serine palmitoyltransferase, long chain base subunit 3 [Source:MGI Symbol;Acc:MGI:2444678] MANLNDSAVTNGTLHNPKTQQGKRQSTGCVKNGISKEAQQNRKAYAEDKPVFEPYQEAPL YVYVLTYMGYGIGILFGYLRDFMRNWGIEKCNAAVEREEQKDFVPLYQDFENFYKRNLYM RIRDSWSHTVCSAPEPYMNVMEKVTDDYNWTFRHTGKVIENIINMASYNYLGLAGKYDDS MVRVKDTLEKYGVGVASTRNEMGTLDIHKELEDLMAEFLNVEAVMSFGMGFATNAMNIPV FVGKGCLILSDEFNHTSVILGSRLSGAVIRPFKHNNAENLEKLLREAIIRGQPGTGRAWK KILIVVEGVYSMEGSIVNLAQIVALKKKYKAYLYIDEAHSIGCTGPTGRGVRELFGLDPE DIDVYMGTFTKSFSGSGGYIGGKKEIVDYLRMQSHSTTYATSMSPVVAAQLIRSLKITMG YEGNIGGMERIQQLKENIKYFRRRLKEMGFIIYGNDFSPVIPVLLYMPAKVSAFSRFLLK KKISVVVVGFPATSLPEGRARFSMSSAHTREMLDTVLEVVDELGDLLNVKYFPLKKSGRA ILYNKEGFDNEASFEEMHSEPEA >ENSMUSP00000048313.2 pep:known chromosome:GRCm38:2:139493920:139637674:1 gene:ENSMUSG00000039092.9 transcript:ENSMUST00000047370.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptlc3 description:serine palmitoyltransferase, long chain base subunit 3 [Source:MGI Symbol;Acc:MGI:2444678] MANLNDSAVTNGTLHNPKTQQGKRQSTGCVKNGISKEAQQNRKAYAEDKPVFEPYQEAPL YVYVLTYMGYGIGILFGYLRDFMRNWGIEKCNAAVEREEQKDFVPLYQDFENFYKRNLYM RIRDSWSHTVCSAPEPYMNVMEKVTDDYNWTFRHTGKVIENIINMASYNYLGLAGKYDDS MVRVKDTLEKYGVGVASTRNEMGTLDIHKELEDLMAEFLNVEAVMSFGMGFATNAMNIPV FVGKGCLILSDEFNHTSVILGSRLSGAVIRPFKHNNAENLEKLLREAIIRGQPGTGRAWK KILIVVEGVYSMEGSIVNLAQIVALKKKYKAYLYIDEAHSIGCTGPTGRGVRELFGLDPE DIDVYMGTFTKSFSGSGGYIGGKKEIVDYLRMQSHSTTYATSMSPVVAAQLIRSLKITMG YEGNIGGMERIQQLKENIKYFRRRLKEMGFIIYGNDFSPVIPVLLYMPAKVSAFSRFLLK KKISVVVVGFPATSLPEGRARFSMSSAHTREMLDTVLEVVDELGDLLNVKYFPLKKSGRA ILYNKEGFDNEASFEEMHSEPEA >ENSMUSP00000046311.9 pep:known chromosome:GRCm38:1:191306789:191318194:-1 gene:ENSMUSG00000037499.9 transcript:ENSMUST00000046770.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nenf description:neuron derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:1913458] MARPAPWWRLRLLAALVLALALVPVPSAWAGQTPRPAERGPPVRLFTEEELARYGGEEED QPIYLAVKGVVFDVTSGKEFYGRGAPYNALAGKDSSRGVAKMSLDPADLTHDTTGLTAKE LEALDDVFSKVYKAKYPIVGYTARRILNEDGSPNLDFKPEDQPHFDIKDEF >ENSMUSP00000128646.1 pep:known chromosome:GRCm38:14:19532896:19549958:-1 gene:ENSMUSG00000092036.1 transcript:ENSMUST00000164372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2244 description:predicted gene 2244 [Source:MGI Symbol;Acc:MGI:3780414] MFSWLLRLCQKENGDEGEIRTTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQR SKINELEELKLDMRKISNDMEEMGGILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEM IQSIIGSMQYSKELIENNYSYSIKEDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSH EEEKRFCEEASKNICASSAKEQQCVNSSRNRNMAQTTT >ENSMUSP00000119144.1 pep:known chromosome:GRCm38:2:91202885:91208313:1 gene:ENSMUSG00000002103.15 transcript:ENSMUST00000150403.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acp2 description:acid phosphatase 2, lysosomal [Source:MGI Symbol;Acc:MGI:87882] MAGRQTGWSQAALLQFLLGMCLTVMPPIQARSLRFVTLLYRHGDRSPVKTYPKDPYQEEK WPQGFGQLTKEGMLQHWELGQALRQRYHGFLNTSYHRQEVYVRSTDFDRTLMSAEANLAG LFPPNEVQHFNPNISWQPIPVHTVPITEDRQFLNMVANETGLTNVTLETIWNVYDTLFCE QTHGLLLPPWASPQTVQRLSQLKDFSFLFLFGIHEQVQKARLQGGVLLAQILKNLTLMAT TSQFPKLLVYSAHDTTLVALQMALNVYNGKQAPYASCHIFELYQEDNGNFSVEMYFRNDS KKAPW >ENSMUSP00000002172.7 pep:known chromosome:GRCm38:2:91202909:91214098:1 gene:ENSMUSG00000002103.15 transcript:ENSMUST00000002172.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acp2 description:acid phosphatase 2, lysosomal [Source:MGI Symbol;Acc:MGI:87882] MAGRQTGWSQAALLQFLLGMCLTVMPPIQARSLRFVTLLYRHGDRSPVKTYPKDPYQEEK WPQGFGQLTKEGMLQHWELGQALRQRYHGFLNTSYHRQEVYVRSTDFDRTLMSAEANLAG LFPPNEVQHFNPNISWQPIPVHTVPITEDRLLKFPLGPCPRYEQLQNETRQTPEYQNRSI QNAQFLNMVANETGLTNVTLETIWNVYDTLFCEQTHGLLLPPWASPQTVQRLSQLKDFSF LFLFGIHEQVQKARLQGGVLLAQILKNLTLMATTSQFPKLLVYSAHDTTLVALQMALNVY NGKQAPYASCHIFELYQEDNGNFSVEMYFRNDSKKAPWPLILPGCPHRCPLQDFLRLTEP VIPKDWQKECQLANDTADTEVIVALAVCGSILFLLIVLLLTILFRMQAQPPGYHHVADRE DHA >ENSMUSP00000116030.1 pep:known chromosome:GRCm38:2:91202924:91206046:1 gene:ENSMUSG00000002103.15 transcript:ENSMUST00000155418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acp2 description:acid phosphatase 2, lysosomal [Source:MGI Symbol;Acc:MGI:87882] MAGRQTGWSQAALLQFLLGMCLTVMPPIQARSLRFVTLLYRHGDRSPVKTYPKDPYQEEK WPQGFGQLTKVCPQEGMLQHWELGQALRQRYHGFLNTSYHRQEVYVRSTDFDRTLMSAEA NLAGLFPPNEVQHFNPNISWQPIPVHTVPITEDRLLKFPLGPCPRY >ENSMUSP00000091921.4 pep:known chromosome:GRCm38:12:110690605:110696395:-1 gene:ENSMUSG00000021270.13 transcript:ENSMUST00000094361.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90aa1 description:heat shock protein 90, alpha (cytosolic), class A member 1 [Source:MGI Symbol;Acc:MGI:96250] MPEETQTQDQPMEEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNSSDALDKIR YESLTDPSKLDSGKELHINLIPSKQDRTLTIVDTGIGMTKADLINNLGTIAKSGTKAFME ALQAGADISMIGQFGVGFYSAYLVAEKVTVITKHNDDEQYAWESSAGGSFTVRTDTGEPM GRGTKVILHLKEDQTEYLEERRIKEIVKKHSQFIGYPITLFVEKERDKEVSDDEAEEKEE KEEEKEKEEKESDDKPEIEDVGSDEEEEEKKDGDKKKKKKIKEKYIDQEELNKTKPIWTR NPDDITNEEYGEFYKSLTNDWEEHLAVKHFSVEGQLEFRALLFVPRRAPFDLFENRKKKN NIKLYVRRVFIMDNCEELIPEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNLVKK CLELFTELAEDKENYKKFYEQFSKNIKLGIHEDSQNRKKLSELLRYYTSASGDEMVSLKD YCTRMKENQKHIYFITGETKDQVANSAFVERLRKHGLEVIYMIEPIDEYCVQQLKEFEGK TLVSVTKEGLELPEDEEEKKKQEEKKTKFENLCKIMKDILEKKVEKVVVSNRLVTSPCCI VTSTYGWTANMERIMKAQALRDNSTMGYMAAKKHLEINPDHSIIETLRQKAEADKNDKSV KDLVILLYETALLSSGFSLEDPQTHANRIYRMIKLGLGIDEDDPTVDDTSAAVTEEMPPL EGDDDTSRMEEVD >ENSMUSP00000021698.6 pep:known chromosome:GRCm38:12:110691028:110696289:-1 gene:ENSMUSG00000021270.13 transcript:ENSMUST00000021698.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90aa1 description:heat shock protein 90, alpha (cytosolic), class A member 1 [Source:MGI Symbol;Acc:MGI:96250] MPEETQTQDQPMEEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNSSDALDKIR YESLTDPSKLDSGKELHINLIPSKQDRTLTIVDTGIGMTKADLINNLGTIAKSGTKAFME ALQAGADISMIGQFGVGFYSAYLVAEKVTVITKHNDDEQYAWESSAGGSFTVRTDTGEPM GRGTKVILHLKEDQTEYLEERRIKEIVKKHSQFIGYPITLFVEKERDKEVSDDEAEEKEE KEEEKEKEEKESDDKPEIEDVGSDEEEEEKKDGDKKKKKKIKEKYIDQEELNKTKPIWTR NPDDITNEEYGEFYKSLTNDWEEHLAVKHFSVEGQLEFRALLFVPRRAPFDLFENRKKKN NIKLYVRRVFIMDNCEELIPEYLNFIRGVVDSEDLPLNISREMLQQSKILKVIRKNLVKK CLELFTELAEDKENYKKFYEQFSKNIKLGIHEDSQNRKKLSELLRYYTSASGDEMVSLKD YCTRMKENQKHIYFITGETKDQVANSAFVERLRKHGLEVIYMIEPIDEYCVQQLKEFEGK TLVSVTKEGLELPEDEEEKKKQEEKKTKFENLCKIMKDILEKKVEKVVVSNRLVTSPCCI VTSTYGWTANMERIMKAQALRDNSTMGYMAAKKHLEINPDHSIIETLRQKAEADKNDKSV KDLVILLYETALLSSGFSLEDPQTHANRIYRMIKLGLGIDEDDPTVDDTSAAVTEEMPPL EGDDDTSRMEEVD >ENSMUSP00000118189.1 pep:known chromosome:GRCm38:12:110694629:110702728:-1 gene:ENSMUSG00000021270.13 transcript:ENSMUST00000155242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90aa1 description:heat shock protein 90, alpha (cytosolic), class A member 1 [Source:MGI Symbol;Acc:MGI:96250] MPEETQTQDQPMEEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNSSDALDKIR YESLTDPSKLDSGKELHINLIPSKQDRTLTIVDTGIGMTKADLINNLGTIAKSGTKAFME ALQAGADISMIGQFGVGFYSAYLVAEKVTVITKHNDDEQYAWESSAGGSFTVRTDTGEPM GRGTKVILHLKEDQTEYLEER >ENSMUSP00000121138.1 pep:known chromosome:GRCm38:12:110695270:110696258:-1 gene:ENSMUSG00000021270.13 transcript:ENSMUST00000124156.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90aa1 description:heat shock protein 90, alpha (cytosolic), class A member 1 [Source:MGI Symbol;Acc:MGI:96250] MPEETQTQDQPMEEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNSSDALDKIR YESLTDPSKLDSGKELHINLIPSKQDRTLTIVDTGIGMTKADL >ENSMUSP00000114201.1 pep:known chromosome:GRCm38:12:110695286:110695951:-1 gene:ENSMUSG00000021270.13 transcript:ENSMUST00000149189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsp90aa1 description:heat shock protein 90, alpha (cytosolic), class A member 1 [Source:MGI Symbol;Acc:MGI:96250] MPEETQTQDQPMEEEEVETFAFQAEIAQLMSLIINTFYSNKEIFLRELISNSSDALDKIR YESLTDPSKLDSGKELHINLIPSKQDRTLTIVDTGIGM >ENSMUSP00000114535.1 pep:known chromosome:GRCm38:2:130654291:130661741:-1 gene:ENSMUSG00000068290.11 transcript:ENSMUST00000134044.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddrgk1 description:DDRGK domain containing 1 [Source:MGI Symbol;Acc:MGI:1924256] XKEEEERKAQEEQARREHEEYLKLKEAFVVEEEGVSETMTEEQVGPSLLGDLCHEGSVC >ENSMUSP00000121190.1 pep:known chromosome:GRCm38:2:130658686:130664165:-1 gene:ENSMUSG00000068290.11 transcript:ENSMUST00000135072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddrgk1 description:DDRGK domain containing 1 [Source:MGI Symbol;Acc:MGI:1924256] MRMWVKLLFQAEEAEREERKRLESQREAEWKKEEERLRLKEEQKEEEERKAQ >ENSMUSP00000086988.4 pep:known chromosome:GRCm38:2:130653960:130664659:-1 gene:ENSMUSG00000068290.11 transcript:ENSMUST00000089559.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddrgk1 description:DDRGK domain containing 1 [Source:MGI Symbol;Acc:MGI:1924256] MVGPWVYLVAAVLLIGLILFLTRSRGRAAAADGEPLHNEEERAGAGQVGRSLPQESEEQR TGSRPRRRRDLGSRLQAQRRAQRVAWEDGDENVGQTVIPAQEEEGIEKPAEVHPTGKIGA KKLRKLEEKQARKAQREAEEAEREERKRLESQREAEWKKEEERLRLKEEQKEEEERKAQE EQARREHEEYLKLKEAFVVEEEGVSETMTEEQSHSFLTEFINYIKKSKVVLLEDLAFQMG LRTQDAINRIQDLLTEGTLTGVIDDRGKFIYITPEELAAVANFIRQRGRVSITELAQASN SLISWGQDLPAQASA >ENSMUSP00000108124.2 pep:known chromosome:GRCm38:5:110259130:110269913:-1 gene:ENSMUSG00000029500.16 transcript:ENSMUST00000112505.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgam5 description:phosphoglycerate mutase family member 5 [Source:MGI Symbol;Acc:MGI:1919792] MAFRQALQLAACGLAGGSAAVLFSAVAVGKPRGGGDADTRATEPPAWTGARAGRGVWDTN WDRREPLSLINLKKRNVESGEDELTSRLDHYKAKATRHIFLIRHSQYHVDGSLEKDRTLT PLGREQAELTGLRLASLGLKFNKIVHSSMTRAVETTDIISKHLPGVSRVSTDLLREGAPI EPDPPVSHWKPEAVQYYEDGARIEAAFRNYIHRADARQEEDSYEIFICHANVIRYIVCRA LQFPPEGWLRLSLNNGSITHLVIRPNGRVALRTLGDTGFMPPDKITRS >ENSMUSP00000057760.8 pep:known chromosome:GRCm38:5:110259155:110269887:-1 gene:ENSMUSG00000029500.16 transcript:ENSMUST00000059229.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgam5 description:phosphoglycerate mutase family member 5 [Source:MGI Symbol;Acc:MGI:1919792] MAFRQALQLAACGLAGGSAAVLFSAVAVGKPRGGGDADTRATEPPAWTGARAGRGVWDTN WDRREPLSLINLKKRNVESGEDELTSRLDHYKAKATRHIFLIRHSQYHVDGSLEKDRTLT PLGREQAELTGLRLASLGLKFNKIVHSSMTRAVETTDIISKHLPGVSRVSTDLLREGAPI EPDPPVSHWKPEAVYYEDGARIEAAFRNYIHRADARQEEDSYEIFICHANVIRYIVCRAL QFPPEGWLRLSLNNGSITHLVIRPNGRVALRTLGDTGFMPPDKITRS >ENSMUSP00000143477.1 pep:known chromosome:GRCm38:5:110265582:110269854:-1 gene:ENSMUSG00000029500.16 transcript:ENSMUST00000196381.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgam5 description:phosphoglycerate mutase family member 5 [Source:MGI Symbol;Acc:MGI:1919792] MAFRQALQLAACGARAGRGVWDTNWDRREPLSLINLKKRNVESGEDELTSRLDHYKAKAT RHIFLIRHSQYHVDGSLEKDRTLTPLGREQAELTGLRLASLGLKFNKIVHSSMTRAVETT DIISKHLPGVSRVSTDLLREGAPIEPDPPVSHWKPEAVQYYEDGARIEAAFRNYIHRAD >ENSMUSP00000078040.5 pep:known chromosome:GRCm38:4:155891875:155907251:1 gene:ENSMUSG00000029033.16 transcript:ENSMUST00000079031.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acap3 description:ArfGAP with coiled-coil, ankyrin repeat and PH domains 3 [Source:MGI Symbol;Acc:MGI:2153589] MTVEFEECIKDSPRFRATIDEVETDVVEIEAKLDKLVKLCSGMIEAGKAYVTTNRLFVSG VRDLSQQCQGDTVISECLQRFGDSLQEMVNYHTILFDQAQRSVRQQLHNFVKEDVRKFKE TKKQFDKVREDMELSLVRNAQAPRHRPHEVEEATGALTVTRKCFRHLALDYVLQINVLQA KKKFEILDSMLSFMHAQYSFFQQGYSLLHQLDPYMKKLAAELDQLVIDSAVEKREMERKH AAIQQRDFSYDEPKVEFDVDAPSGVVMEGYLFKRASNAFKTWNRRWFSIQNSQLVYQKKL KDALTVVVDDLRLCSVKPCEDIERRFCFEVVSPTKSCMLQADSEKLRQAWVQAVQASIAS AYRESPDSCYSERLDRTASPSTSSIDSTTDSRERGVKGESVLQRVQSVAGNSQCGDCGQP DPRWASINLGVLLCIECSGIHRSLGVHCSKVRSLTLDSWEPELLKLMCELGNSTVNQIYE AQCEGPGVRKPTASSSRQDKEAWIKDKYVEKKFLRKLTSAPAREPPRRWRAQKCQRPHSS PHAPTTRRKVRLEPVLPSVAALSSAATMERKFRRDSLFCPDELDSLFSYFDAGAAGAGPR SLSSDSGLGGSSDGSSDVLAFGTGSVVDSVTEEEGAESEESSSEVDGEAEAWSLADVREL HPGLLAHQAARTRDLPALAAALAHGAEVNWADAADEGKTPLVQAVLGGSLIVCEFLLQNG ADVNQRDSLGRAPLHHATLLGRTGQVCLFLKRGADQHALDQEQQDPLTIAVQAANADIVT LLRLARMAEEMREAEAPPGQPGPLPGSSPTELQYRRCIQEFIGLHLEES >ENSMUSP00000101209.3 pep:known chromosome:GRCm38:4:155891822:155907250:1 gene:ENSMUSG00000029033.16 transcript:ENSMUST00000105584.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acap3 description:ArfGAP with coiled-coil, ankyrin repeat and PH domains 3 [Source:MGI Symbol;Acc:MGI:2153589] MTVEFEECIKDSPRFRATIDEVETDVVEIEAKLDKLVKLCSGMIEAGKAYVTTNRLFVSG VRDLSQQCQGDTVISECLQRFGDSLQEMVNYHTILFDQAQRSVRQQLHNFVKEDVRKFKE TKKQFDKVREDMELSLVRNAQAPRHRPHEVEEATGALTVTRKCFRHLALDYVLQINVLQA KKKFEILDSMLSFMHAQYSFFQQGYSLLHQLDPYMKKLAAELDQLVIDSAVEKREMERKH AAIQQRTLLQDFSYDEPKVEFDVDAPSGVVMEGYLFKRASNAFKTWNRRWFSIQNSQLVY QKKLKDALTVVVDDLRLCSVKPCEDIERRFCFEVVSPTKSCMLQADSEKLRQAWVQAVQA SIASAYRESPDSCYSERLDRTASPSTSSIDSTTDSRERGVKGESVLQRVQSVAGNSQCGD CGQPDPRWASINLGVLLCIECSGIHRSLGVHCSKVRSLTLDSWEPELLKLMCELGNSTVN QIYEAQCEGPGVRKPTASSSRQDKEAWIKDKYVEKKFLRKLTSAPAREPPRRWRAQKCQR PHSSPHAPTTRRKVRLEPVLPSVAALSSAATMERKFRRDSLFCPDELDSLFSYFDAGAAG AGPRSLSSDSGLGGSSDGSSDVLAFGTGSVVDSVTEEEGAESEESSSEVDGEAEAWSLAD VRELHPGLLAHQAARTRDLPALAAALAHGAEVNWADAADEGKTPLVQAVLGGSLIVCEFL LQNGADVNQRDSLGRAPLHHATLLGRTGQVCLFLKRGADQHALDQEQQDPLTIAVQAANA DIVTLLRLARMAEEMREAEAPPGQPGPLPGSSPTELQYRRCIQEFIGLHLEES >ENSMUSP00000123432.1 pep:known chromosome:GRCm38:8:71597648:71607936:1 gene:ENSMUSG00000043243.15 transcript:ENSMUST00000143662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam129c description:family with sequence similarity 129, member C [Source:MGI Symbol;Acc:MGI:3686743] MGAQPSCPLGRRQRQQLQGQVNGMLRTFLPCYRRQLAAAVLRHISQELGPQDPAGCQLSH TKKLPRVREHQGPLTLLQGHPPQWQPTFCVLRGDGRLEWFSHKENYESGGCPLGFAALTG YTLLTSQREYLCLLDDLCPSSSGDLALEEPLRVLEEPVSFALFLVHPFRAHLCFCARSRK AHRAWRLGLQGAIRLRATVLQRSRAPAACAFLDAVLLYRRRRGYAGGDDGILGSDAEVLS AELMRELLPGLRAQTLRSLRGAGRARARACAEFLDAVHTAVLAWASSGLRAFQPEKDALL AALERMVRADLEQILQQRALGARRIEAEIQGAVEACLCQKVDPQLPQLTLALLSPVEATL RAVRTLLIQGMDRLCHYLRKNPSGTRLCREVYEFGEIPWDPELMQACYREAERNHSHLVQ LAKPFDFLGMRSLVFGAQDLAQQLMAAAVTTFLQLADQCLTSALDRSQAAEQLGKVRGLV LKKFTSDSETTRWRFTRDCLLRIFWPFVWSQLRLRCNLEPPEVDGDILAMGWQVLTTEGV YRDVIQGLLLQRIDRELKKILGASDRACSLADCSVAQWVQEGAEEEADAQL >ENSMUSP00000123621.1 pep:known chromosome:GRCm38:8:71604744:71607571:1 gene:ENSMUSG00000043243.15 transcript:ENSMUST00000126559.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam129c description:family with sequence similarity 129, member C [Source:MGI Symbol;Acc:MGI:3686743] AAAVTTFLQLADQCLTSALDRSQAAEQLGKVRGLVLKKFTSDSETTRWRFTRDCLLRIFW PFVWSQLRLRCNLN >ENSMUSP00000119708.1 pep:known chromosome:GRCm38:8:71604961:71607933:1 gene:ENSMUSG00000043243.15 transcript:ENSMUST00000125339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam129c description:family with sequence similarity 129, member C [Source:MGI Symbol;Acc:MGI:3686743] RFTRDCLLRIFWPFVWSQLRLRCNLEPPEVDGDILAMGWQVLTTEGVYRDVIQGLLLQRI DRELKKILGASDRACSLADCSVAQWVQEGAGNIQMTAVISQRRKLTLSSKREKERERERD LLCRPNAATPYNMASKNSREQSLDPPTPILEDSG >ENSMUSP00000040435.2 pep:known chromosome:GRCm38:17:36670008:36672219:-1 gene:ENSMUSG00000037334.2 transcript:ENSMUST00000041662.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M1 description:histocompatibility 2, M region locus 1 [Source:MGI Symbol;Acc:MGI:95913] MKNFESQTLLLLLMITLAITKHPNGSHTLRYVYTLLSWPGPLEPQLIFLGYVDDTQIMGF NSISENLGVESRAPWMYETEEFWEKTTDNVVREHYILKEIMRSVLHIYNYSIIGYHTIQK TYGCQVMHRRYFSHGFFKLAFNLHDYITLNEDLKTWRGVGKAGEMLKEMWEKIKYANQVK SFLQITCVNLLHRFLAFGKKSLLRTDTPKIHMTHKIRPDRKTTLRCWAFNFYPPEITLTW QRDGSNQTQDMEMIETRPSGDGTFQKWAAVVVSTGEEHIYTCHVNHEGLSEPITIRWTKH EPPEPTIPFLAIVIALVLGALLMGAVMTFLIWKRRTRGKKGSWS >ENSMUSP00000028617.6 pep:known chromosome:GRCm38:2:94411682:94438136:-1 gene:ENSMUSG00000027193.12 transcript:ENSMUST00000028617.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Api5 description:apoptosis inhibitor 5 [Source:MGI Symbol;Acc:MGI:1888993] MPTVEELYRNYGILADATEQVGQHKDAYQVILDGVKGGTKEKRLAAQFIPKFFKHFPELA DSAINAQLDLCEDEDVSIRRQAIKELPQFATGENLPRVADILTQLLQTDDSAEFNLVNNA LLSIFKMDAKGTLGGLFSQILQGEDIVRERAIKFLSTKLKTLPDEVLTKEVEELILTESK KVLEDVTGEEFVLFMKILSGLKSLQTVSGRQQLVELVAEQADLEQAFSPSDPDCVDRLLQ CTRQAVPLFSKNVHSTRFVTYFCEQVLPNLSTLTTPVEGLDIQLEVLKLLAEMSSFCGDM EKLETNLRKLFDKLLEYMPLPPEEAENGENAGNEEPKLQFSYVECLLYSFHQLGRKLPDF LTAKLNAEKLKDFKIRLQYFARGLQVYIRQLRLALQGKTGEALKTEENKIKVVALKITNN INVLIKDLFHIPPSYKSTVTLSWKPVQKVEIGQKRTSEDTSSGSPPKKSPGGPKRDARQI YNPPSGKYSSNLSNFNYERSLQGK >ENSMUSP00000123430.1 pep:known chromosome:GRCm38:1:62958418:63091929:-1 gene:ENSMUSG00000040865.15 transcript:ENSMUST00000133236.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ino80d description:INO80 complex subunit D [Source:MGI Symbol;Acc:MGI:3027003] MDAMAFSLTVPTLALKMPNGLDSMSLSPPGARVPLHYLDTELEDPFAFNEEDDDLKKGVT VRKKLQSKLAQNRQRQRETEILKVRQEHFSTPPTPPQQHTHLSPLSTSLKPPAPPQGSVC KSPQPQNTSLPMQGVAPTTHSIAQIRQASHKRPLPLLPSSRAPISDAPRTDRILMKAAAF SPHLSCISRLQRLVKLCTQKRQLDADLFPHLGLDWSEESGEELEDADQASPYQVAWSIRE TLRHERHTSDDDDMESRSSRVTQLCTYFQQKYKHLCRLERAESRQKKCRHTFRKALLQAA SKEPECTGQLIQELRRAACSRASLRQTKLKEVEPAACSGTVKGEQCTKQALPFTRHCFQH ILLNRSQQLFSSCTAKFADGQQCSVPVFDITHQTPLCEEHAKKMDNFLRGDNSRKVQHQQ QRKPRKKTKPPALTKKHKKKRRRGPRRPQKPIPPAVPQGNLSMPTSVSLPVEASQMRSPS TPELSADELPDDIANEITDIPHDLELNQEDFADVLPRLPDDLQDFDFFEGKNGDLLPTTE EAEELERALQAVTSLECLSTIGVLSQSDGVPVQGLSDRGMGVFSTGTDASGIQSLSREVN TDLGELLNGRIVHDSFSSLELDENLLHSAPLSSPPTALAGQIQGQFSAPASAGLTSATLL SQSALGERAFPGQFHGLHDGSHASQRPHPAQLLSKADDLITSRQQYSSDHSHSSPHGSHY DSEHVPSPYSDHITSPHTSYSGDNMAATFSAEMPIMAQHLLPTQLEVPLGGVVNPRTHWG NLPVNLGDPSAFSNLLGADGHLLSTSLSTPPTTSNSETTQPAFATVTPSSSSVLPGLPQT SFSGMGPSAELMASTSPKQQLPQFSAAFGHQLSSHSGIPKDLQPSHSSIAPPTGFTATGA TATSTNNASPPFPSPN >ENSMUSP00000095325.2 pep:known chromosome:GRCm38:1:63047801:63114667:-1 gene:ENSMUSG00000040865.15 transcript:ENSMUST00000097718.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80d description:INO80 complex subunit D [Source:MGI Symbol;Acc:MGI:3027003] MDAMAFSLTVPTLALKMPNGLDSMSLSPPGARVPLHYLDTELEDPFAFNEEDDDLKKGVT VRKKLQSKLAQNRQRQRETEILKVRQEHFSTPPTPPQQHTHLSPLSTSLKPPAPPQGSVC KSPQPQNTSLPMQGVAPTTHSIAQIRQASHKRPLPLLPSSRAPISDAPRTDRILMKAAAF SPHLSCISRLQRLVKLCTQKRQLDADLFPHLGLDWSEESGEELEDADQASPYQVAWSIRE TLRHERHTSDDDDMESRSSRVTQLCTYFQQKYKHLCRLERAESRQKKCRHTFRKALLQAA SKEPECTGQLIQELRRAACSRASLRQTKLKEVEPAACSGTVKGEQCTKQALPFTRHCFQH ILLNRSQQLFSSCTAKFADGQQCSVPVFDITHQTPLCEEHAKKMDNFLRGDNSRKVQHQQ QRKPRKKTKPPALTKKHKKKRRRGPRRPQKPIPPAVPQGNLSMPTSVSLPVEASQMRSPS TPELSADELPDDIANEITDIPHDLELNQEDFADVLPRLPDDLQDFDFFEGKNGDLLPTTE EAEELERALQAVTSLECLSTIGVLSQSDGVPVQGLSDRGMGVFSTGTDASGIQSLSREVN TDLGELLNGRIVHDSFSSLELDENLLHSAPLSSPPTALAGQIQGQFSAPASAGLTSATLL SQSALGERAFPGQFHGLHDGSHASQRPHPAQLLSKADDLITSRQQYSSDHSHSSPHGSHY DSEHVPSPYSDHITSPHTSYSGDNMAATFSAEMPIMAQHLLPTQLEVPLGGVVNPRTHWG NLPVNLGDPSAFSNLLGADGHLLSTSLSTPPTTSNSETTQPAFATVTPSSSSVLPGLPQT SFSGMGPSAELMASTSPKQQLPQFSAAFGHQLSSHSGIPKDLQPSHSSIAPPTGFTATGA TATSTNNASPPFPSPN >ENSMUSP00000119118.1 pep:known chromosome:GRCm38:1:63062150:63114334:-1 gene:ENSMUSG00000040865.15 transcript:ENSMUST00000137511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80d description:INO80 complex subunit D [Source:MGI Symbol;Acc:MGI:3027003] MDAMAFSLTVPTLALKMPNGLDSMSLSPPGARVPLHYLDTELEDPFAFNEEDDDLKKGVT VRKKLQSKLAQNRQRQRETEILKVRQEHFSTPPTPPQQHTHLSPLSTSLKPPAPPQGSVC KSPQPQNTSLPMQGVAPTTHSIAQIRQASHKRPLPLLPSSRAPISDAPRTDRILMKAAAF SPHLSCISRLQRLVKLCTQKRQLDADLFPHLGLDWSEESGEELEDADQASPYQVAWSIRE TLRHERHTSDDDDMESRSSRVTQLCTYFQQKYKHLCRLERAESRQKKCRHTFRKALLQAA SKEPECTGQLIQELRRAACSRASLRQTKLKEVEPAACSGTVKGEQCTKQALPFTRHCFQH ILLNRSQQLFSSCTAKFADGQQCSVPVFDITHQTPLCEEHAKKMDNFLRGDNSRKVQHQQ QRKPRKKTKPPALTKKHK >ENSMUSP00000115332.1 pep:known chromosome:GRCm38:1:63062164:63114255:-1 gene:ENSMUSG00000040865.15 transcript:ENSMUST00000153992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80d description:INO80 complex subunit D [Source:MGI Symbol;Acc:MGI:3027003] MDAMAFSLTVPTLALKMPNGLDSMSLSPPGARVPLHYLDTELEDPFAFNEEDDDLKKGVT VRKKLQSKLAQNRQRQRETEILKVRQEHFSTPPTPPQQHTHLSPLSTSLKPPAPPQGSVC KSPQPQNTSLPMQGVAPTTHSIAQIRQASHKRPLPLLPSSRAPISDAPRTDRILMKAAAF SPHLSCISRLQRLVKLCTQKRQLDADLFPHLGLDWSEESGEELEDADQASPYQVAWSIRE TLRHERHTSDDDDMESRSSRVTQLCTYFQQKYKHLCRLERAESRQKKCRHTFRKALLQAA SKEPECTGQLIQELRRAACSRASLRQTKLKEVEPAACSGTVKGEQCTKQALPFTRHCFQH ILLNRSQQLFSSCTAKFADGQQCSVPVFDITHQTPLCEEHAKKMDNFLRGDNSRKVQHQQ QRKPRKKTKPPALT >ENSMUSP00000130864.1 pep:known chromosome:GRCm38:1:63047801:63114267:-1 gene:ENSMUSG00000040865.15 transcript:ENSMUST00000165066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80d description:INO80 complex subunit D [Source:MGI Symbol;Acc:MGI:3027003] MYEGKHIHFSEVDNKPLCSYSPKLCKQRRLNGYAFCIRHVLEDKTAPFKQCEYVAKYNSQ RCTNPIPKSEDRRYCNSHLQVLGFIPKKERKKKTDPVDEVKARHQMDAMAFSLTVPTLAL KMPNGLDSMSLSPPGARVPLHYLDTELEDPFAFNEEDDDLKKGVTVRKKLQSKLAQNRQR QRETEILKVRQEHFSTPPTPPQQHTHLSPLSTSLKPPAPPQGSVCKSPQPQNTSLPMQGV APTTHSIAQIRQASHKRPLPLLPSSRAPISDAPRTDRILMKAAAFSPHLSCISRLQRLVK LCTQKRQLDADLFPHLGLDWSEESGEELEDADQASPYQVAWSIRETLRHERHTSDDDDME SRSSRVTQLCTYFQQKYKHLCRLERAESRQKKCRHTFRKALLQAASKEPECTGQLIQELR RAACSRASLRQTKLKEVEPAACSGTVKGEQCTKQALPFTRHCFQHILLNRSQQLFSSCTA KFADGQQCSVPVFDITHQTPLCEEHAKKMDNFLRGDNSRKVQHQQQRKPRKKTKPPALTK KHKKKRRRGPRRPQKPIPPAVPQGNLSMPTSVSLPVEASQMRSPSTPELSADELPDDIAN EITDIPHDLELNQEDFADVLPRLPDDLQDFDFFEGKNGDLLPTTEEAEELERALQAVTSL ECLSTIGVLSQSDGVPVQGLSDRGMGVFSTGTDASGIQSLSREVNTDLGELLNGRIVHDS FSSLELDENLLHSAPLSSPPTALAGQIQGQFSAPASAGLTSATLLSQSALGERAFPGQFH GLHDGSHASQRPHPAQLLSKADDLITSRQQYSSDHSHSSPHGSHYDSEHVPSPYSDHITS PHTSYSGDNMAATFSAEMPIMAQHLLPTQLEVPLGGVVNPRTHWGNLPVNLGDPSAFSNL LGADGHLLSTSLSTPPTTSNSETTQPAFATVTPSSSSVLPGLPQTSFSGMGPSAELMAST SPKQQLPQFSAAFGHQLSSHSGIPKDLQPSHSSIAPPTGFTATGATATSTNNASPPFPSP N >ENSMUSP00000127378.1 pep:known chromosome:GRCm38:1:63047801:63114267:-1 gene:ENSMUSG00000040865.15 transcript:ENSMUST00000172416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80d description:INO80 complex subunit D [Source:MGI Symbol;Acc:MGI:3027003] MDAMAFSLTVPTLALKMPNGLDSMSLSPPGARVPLHYLDTELEDPFAFNEEDDDLKKGVT VRKKLQSKLAQNRQRQRETEILKVRQEHFSTPPTPPQQHTHLSPLSTSLKPPAPPQGSVC KSPQPQNTSLPMQGVAPTTHSIAQIRQASHKRPLPLLPSSRAPISDAPRTDRILMKAAAF SPHLSCISRLQRLVKLCTQKRQLDADLFPHLGLDWSEESGEELEDADQASPYQVAWSIRE TLRHERHTSDDDDMESRSSRVTQLCTYFQQKYKHLCRLERAESRQKKCRHTFRKALLQAA SKEPECTGQLIQELRRAACSRASLRQTKLKEVEPAACSGTVKGEQCTKQALPFTRHCFQH ILLNRSQQLFSSCTAKFADGQQCSVPVFDITHQTPLCEEHAKKMDNFLRGDNSRKVQHQQ QRKPRKKTKPPALTKKHKKKRRRGPRRPQKPIPPAVPQGNLSMPTSVSLPVEASQMRSPS TPELSADELPDDIANEITDIPHDLELNQEDFADVLPRLPDDLQDFDFFEGKNGDLLPTTE EAEELERALQAVTSLECLSTIGVLSQSDGVPVQGLSDRGMGVFSTGTDASGIQSLSREVN TDLGELLNGRIVHDSFSSLELDENLLHSAPLSSPPTALAGQIQGQFSAPASAGLTSATLL SQSALGERAFPGQFHGLHDGSHASQRPHPAQLLSKADDLITSRQQYSSDHSHSSPHGSHY DSEHVPSPYSDHITSPHTSYSGDNMAATFSAEMPIMAQHLLPTQLEVPLGGVVNPRTHWG NLPVNLGDPSAFSNLLGADGHLLSTSLSTPPTTSNSETTQPAFATVTPSSSSVLPGLPQT SFSGMGPSAELMASTSPKQQLPQFSAAFGHQLSSHSGIPKDLQPSHSSIAPPTGFTATGA TATSTNNASPPFPSPN >ENSMUSP00000033923.7 pep:known chromosome:GRCm38:8:36567751:36613943:-1 gene:ENSMUSG00000031523.16 transcript:ENSMUST00000033923.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlc1 description:deleted in liver cancer 1 [Source:MGI Symbol;Acc:MGI:1354949] MCRDEPDTMILTQIEAKEACDWLRVTGFPQYAQLYEDLLFPIDIALVKREHDFLDRDAIE ALCRRLNTLNKCAVMKLEISPHRKRSEDSDEDEPCAISGKWTFQRDSKRWSRLEEFDVFS PKQDPIPGSPDNSRLQSATSHESMLTDLSEHQEVASVRSLSSTSSSVPTHAAHSGDATTP RTNSVISVCSSGHFVGNDDSFSSLPSPKELSSFSFSMKGHHEKNTKSKTRSLLKRMESLK LKGSHHSKHKAPSKLGLIISAPILQEGMDEAKLKQLNCVEISALNGNHINVPMVRKRSVS NSTQTSSSSSQSETSSAVSTPSPVTRTRSLSTCNKRVGMYLEGFDPFSQSTLNNVTEQNY KNRESYPEDTVFYIPEDHKPGTFPKALSHGSFCPSGNSSVNWRTGSFHGPGHLSLRRENS HDSPKELKRRNSSSSLSSRLSIYDNVPGSILYSSSGELADLENEDIFPELDDILYHVKGM QRIVNQWSEKFSDEGDSDSALDSVSPCPSSPKQIHLDVDHDRRTPSDLDSTGNSLNEPEE PTDIPERRDSGVGASLTRCNRHRLRWHSFQSSHRPSLNSVSLQINCQSVAQMNLLQKYSL LKLTALLEKYTPSNKHGFSWAVPKFMKRIKVPDYKDRSVFGVPLTVNVQRSGQPLPQSIQ QAMRYLRNHCLDQVGLFRKSGVKSRIQALRQMNESAEDNVNYEGQSAYDVADMLKQYFRD LPEPLMTNKLSETFLQIYQYVPKDQRLQAIKAAIMLLPDENREVLQTLLYFLSDVTAAVK ENQMTPTNLAVCLAPSLFHLNTLKRENSSPRVMQRKQSLGKPDQKDLNENLAATQGLAHM IAECKKLFQVPEEMSRCRNSYTEQELKPLTLEALGHLNSDQPADYRHFLQDCVDGLFKEV KEKFKGWVSYPTSEQAELSYKKVSEGPPLRLWRSTIEVPAAPEEILKRLLKEQHLWDVDL LDSKVIEILDSQTEIYQYVQNSMAPHPARDYVVLRTWRTNLPRGACALLLTSVDHDRAPV AGVRVNVLLSRYLIEPCGSGKSKLTYMCRADLRGHMPEWYSKSFGHLCAAEVVKIRDSFS NQNTESKDTRSR >ENSMUSP00000096425.3 pep:known chromosome:GRCm38:8:36567751:36733054:-1 gene:ENSMUSG00000031523.16 transcript:ENSMUST00000098826.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlc1 description:deleted in liver cancer 1 [Source:MGI Symbol;Acc:MGI:1354949] MGDPEGHVMARPLRGPLRRSFSDHIRDSTARALDAIWKNTRERRLAEIEAKEACDWLRVT GFPQYAQLYEDLLFPIDIALVKREHDFLDRDAIEALCRRLNTLNKCAVMKLEISPHRKRS EDSDEDEPCAISGKWTFQRDSKRWSRLEEFDVFSPKQDPIPGSPDNSRLQSATSHESMLT DLSEHQEVASVRSLSSTSSSVPTHAAHSGDATTPRTNSVISVCSSGHFVGNDDSFSSLPS PKELSSFSFSMKGHHEKNTKSKTRSLLKRMESLKLKGSHHSKHKAPSKLGLIISAPILQE GMDEAKLKQLNCVEISALNGNHINVPMVRKRSVSNSTQTSSSSSQSETSSAVSTPSPVTR TRSLSTCNKRVGMYLEGFDPFSQSTLNNVTEQNYKNRESYPEDTVFYIPEDHKPGTFPKA LSHGSFCPSGNSSVNWRTGSFHGPGHLSLRRENSHDSPKELKRRNSSSSLSSRLSIYDNV PGSILYSSSGELADLENEDIFPELDDILYHVKGMQRIVNQWSEKFSDEGDSDSALDSVSP CPSSPKQIHLDVDHDRRTPSDLDSTGNSLNEPEEPTDIPERRDSGVGASLTRCNRHRLRW HSFQSSHRPSLNSVSLQINCQSVAQMNLLQKYSLLKLTALLEKYTPSNKHGFSWAVPKFM KRIKVPDYKDRSVFGVPLTVNVQRSGQPLPQSIQQAMRYLRNHCLDQVGLFRKSGVKSRI QALRQMNESAEDNVNYEGQSAYDVADMLKQYFRDLPEPLMTNKLSETFLQIYQYVPKDQR LQAIKAAIMLLPDENREVLQTLLYFLSDVTAAVKENQMTPTNLAVCLAPSLFHLNTLKRE NSSPRVMQRKQSLGKPDQKDLNENLAATQGLAHMIAECKKLFQVPEEMSRCRNSYTEQEL KPLTLEALGHLNSDQPADYRHFLQDCVDGLFKEVKEKFKGWVSYPTSEQAELSYKKVSEG PPLRLWRSTIEVPAAPEEILKRLLKEQHLWDVDLLDSKVIEILDSQTEIYQYVQNSMAPH PARDYVVLRTWRTNLPRGACALLLTSVDHDRAPVAGVRVNVLLSRYLIEPCGSGKSKLTY MCRADLRGHMPEWYSKSFGHLCAAEVVKIRDSFSNQNTESKDTRSR >ENSMUSP00000132812.2 pep:known chromosome:GRCm38:8:36570249:36952442:-1 gene:ENSMUSG00000031523.16 transcript:ENSMUST00000163663.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlc1 description:deleted in liver cancer 1 [Source:MGI Symbol;Acc:MGI:1354949] MSVAIRKRSWEEHVTQQTEQPFISDEYDIACHHGLASDSLEGRMEKDATLNVDHKEKCAS LPDRCCGSETRDFPGRPMGHIAQEVDESDSQEGEERFLSLEASTETLVHISDEDTDSDLN LINDSQILTPQRHERDSQDSVKGAGSFMKTLSTMQSSQDSHNTWRMAGKADMSPAEGSGE RKDVEAINKSLGLCKNTSADETKDVPIGNTFQSLNTEDDVTPKTLLLDSSVLIEDVTPET QLLDSAVITQQCRKSDFPKDDQENNRHHVLEEDVLAAPYVDRGLPLLKADCRSSLLQPPS CPGGMSAENDLEKSGFSEYQNKSTLGVSREDGMQCLHLRGPVTTQETVDNQVRLRKRKET REDRDRTRLDSMVLLIMKLDQLDQDIENALSTASSPSSTPTNLRRHVPDLESGSESRTDS MAVNQTQVNLSSESTDPSSTPGSNSGTKPKAMAAPCVGEKEMAEIEAKEACDWLRVTGFP QYAQLYEDLLFPIDIALVKREHDFLDRDAIEALCRRLNTLNKCAVMKLEISPHRKRSEDS DEDEPCAISGKWTFQRDSKRWSRLEEFDVFSPKQDPIPGSPDNSRLQSATSHESMLTDLS EHQEVASVRSLSSTSSSVPTHAAHSGDATTPRTNSVISVCSSGHFVGNDDSFSSLPSPKE LSSFSFSMKGHHEKNTKSKTRSLLKRMESLKLKGSHHSKHKAPSKLGLIISAPILQEGMD EAKLKQLNCVEISALNGNHINVPMVRKRSVSNSTQTSSSSSQSETSSAVSTPSPVTRTRS LSTCNKRVGMYLEGFDPFSQSTLNNVTEQNYKNRESYPEDTVFYIPEDHKPGTFPKALSH GSFCPSGNSSVNWRTGSFHGPGHLSLRRENSHDSPKELKRRNSSSSLSSRLSIYDNVPGS ILYSSSGELADLENEDIFPELDDILYHVKGMQRIVNQWSEKFSDEGDSDSALDSVSPCPS SPKQIHLDVDHDRRTPSDLDSTGNSLNEPEEPTDIPERRDSGVGASLTRCNRHRLRWHSF QSSHRPSLNSVSLQINCQSVAQMNLLQKYSLLKLTALLEKYTPSNKHGFSWAVPKFMKRI KVPDYKDRSVFGVPLTVNVQRSGQPLPQSIQQAMRYLRNHCLDQVGLFRKSGVKSRIQAL RQMNESAEDNVNYEGQSAYDVADMLKQYFRDLPEPLMTNKLSETFLQIYQYVPKDQRLQA IKAAIMLLPDENREVLQTLLYFLSDVTAAVKENQMTPTNLAVCLAPSLFHLNTLKRENSS PRVMQRKQSLGKPDQKDLNENLAATQGLAHMIAECKKLFQVPEEMSRCRNSYTEQELKPL TLEALGHLNSDQPADYRHFLQDCVDGLFKEVKEKFKGWVSYPTSEQAELSYKKVSEGPPL RLWRSTIEVPAAPEEILKRLLKEQHLWDVDLLDSKVIEILDSQTEIYQYVQNSMAPHPAR DYVVLRTWRTNLPRGACALLLTSVDHDRAPVAGVRVNVLLSRYLIEPCGSGKSKLTYMCR ADLRGHMPEWYSKSFGHLCAAEVVKIRDSFSNQNTESKDTRSR >ENSMUSP00000137498.1 pep:known chromosome:GRCm38:8:36938555:36953143:-1 gene:ENSMUSG00000031523.16 transcript:ENSMUST00000179501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlc1 description:deleted in liver cancer 1 [Source:MGI Symbol;Acc:MGI:1354949] MSVAIRKRSWEEHVTQQTEQPFISDE >ENSMUSP00000130768.1 pep:known chromosome:GRCm38:1:90953108:90954027:1 gene:ENSMUSG00000090550.2 transcript:ENSMUST00000166281.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prlh description:prolactin releasing hormone [Source:MGI Symbol;Acc:MGI:3644668] MAPRTWLLCLLLLGLVLPGASSRAHQHSMETRTPDINPAWYTGRGIRPVGRFGRRRAALR DVTGPGLRCRLSCFPLDGSAKFSHSS >ENSMUSP00000034264.4 pep:known chromosome:GRCm38:8:71592176:71596269:1 gene:ENSMUSG00000031807.10 transcript:ENSMUST00000034264.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgls description:6-phosphogluconolactonase [Source:MGI Symbol;Acc:MGI:1913421] MAAPAPSLISVFSSPQELGASLAQLVAQRAASCLEGDRGRFALGLSGGSLVSMLARDLPA AAAPAGPASFARWTLGFCDERLVPFDHAESTYGLYRTHLLSKLPIPDSQVLTINPALPVE DAAEDYARKLRQALQGDAVPVFDLLILGVGPDGHTCSLFPDHPLLQEREKIVAPISDSPK PPPQRVTLTLPVLNAAQSIIFVATGEGKAAVLKRILEDKEGTLPAALVQPRTGALCWFLD EAAARLLSVPFEKHSTL >ENSMUSP00000122042.1 pep:known chromosome:GRCm38:8:71592184:71601092:1 gene:ENSMUSG00000031807.10 transcript:ENSMUST00000127626.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgls description:6-phosphogluconolactonase [Source:MGI Symbol;Acc:MGI:1913421] MAAPAPSLISVFSSPQELGASLAQLVAQRAASCLEGDRGRFALGLSGGSLVSMLARDLPA AAAPAGPASFARWTLGFCDERLVPFDHAESTYGLYRTHLLSKLPIPDSQVLTINPALPVE DAAEDYARKLRQALQGDAVPVFDLLILGVGPDGHTCSLFPDHPLLQEREKIVAPISDSPK PPPQRVTLTLPVLNAAQSIIFVATGEGKAAVLKVR >ENSMUSP00000120113.1 pep:known chromosome:GRCm38:8:71592237:71595111:1 gene:ENSMUSG00000031807.10 transcript:ENSMUST00000138742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgls description:6-phosphogluconolactonase [Source:MGI Symbol;Acc:MGI:1913421] XLISVFSSPQELGASLAQLVAQRAASCLEGDRGRFALGLSGGSLVSMLARDLPAAAAPAG PASFARWTLGFCDERLVPFDHAESTYGLYRTHLLSKLPIPDSQVLTINPALPVEDAAEDY ARKLRQALQGDAVPVFDLLILGVGPDGHTCSLFPDHPLLQTWCLEGRFHPSPHWLPSPGA GEDRGSHQ >ENSMUSP00000116524.1 pep:known chromosome:GRCm38:8:71592291:71596269:1 gene:ENSMUSG00000031807.10 transcript:ENSMUST00000143441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgls description:6-phosphogluconolactonase [Source:MGI Symbol;Acc:MGI:1913421] MSIQVQPDGPPSPTHLLSKLPIPDSQVLTINPALPVEDAAEDYARKLRQALQGDAVPVFD LLILGVGPDGHTCSLFPDHPLLQEREKIVAPISDSPKPPPQRVTLTLPVLNAAQSIIFVA TGEGKAAVLKRILEDKEGTLPAALVQPRTGALCWFLDEAAARLLSVPFEKHSTL >ENSMUSP00000002533.8 pep:known chromosome:GRCm38:1:4909576:5019539:-1 gene:ENSMUSG00000002459.17 transcript:ENSMUST00000002533.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs20 description:regulator of G-protein signaling 20 [Source:MGI Symbol;Acc:MGI:1929866] MRTANGGPRARASPSASPADPGLPEGSERTEMRMRQMCGGSETQGPAPSQQGGRGSNACC FCWCCCCTCSCLTVRNQEDQRPQRASHEIRTDIPACEESPTPTLEEVCAWAQSFDNLMVT PAGRNAFREFLRTEFSEENMLFWMACEELKREANKSTIEEKARIIYEDYISILSPKEVSL DSRVREVINRNMVDPSQHIFDDAQLQIYTLMHRDSYPRFMNSTVYKDLLTSLAEKTVEA >ENSMUSP00000113398.1 pep:known chromosome:GRCm38:1:4909576:5070285:-1 gene:ENSMUSG00000002459.17 transcript:ENSMUST00000118000.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs20 description:regulator of G-protein signaling 20 [Source:MGI Symbol;Acc:MGI:1929866] MPSLSQENQGYLEEHLTRQAAQAQLLPLFRAEKDYNPSIDQDTEDKEGSQAVTGVKLPDS TGSTHFATFLACSPAVLVSSAATPSRGLPGRRDFPSQPSSWPTAWLSPEPHRLLFLLVAS MALPGRRPRGRLLKLASPNSEPRGEDACTEKSSPESPEGSERTEMRMRQMCGGSETQGPA PSQQGGRGSNACCFCWCCCCTCSCLTVRNQEDQRPQRASHEIRTDIPACEESPTPTLEEV CAWAQSFDNLMVTPAGRNAFREFLRTEFSEENMLFWMACEELKREANKSTIEEKARIIYE DYISILSPKEVSLDSRVREVINRNMVDPSQHIFDDAQLQIYTLMHRDSYPRFMNSTVYKD LLTSLAEKTVEA >ENSMUSP00000113324.1 pep:known chromosome:GRCm38:1:4910402:5018735:-1 gene:ENSMUSG00000002459.17 transcript:ENSMUST00000119256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs20 description:regulator of G-protein signaling 20 [Source:MGI Symbol;Acc:MGI:1929866] MRMRQMCGGSETQGPAPSQQGGRGSNACCFCWCCCCTCSCLTVRNQEDQRPQRASHEIRT DIPACEESPTPTLEEVCAWAQSFDNLMVTPAGRNAFREFLRTEFSEENMLFWMACEELKR EANKSTIEEKARIIYEDYISILSPKEVSLDSRVREVINRNMVDPSQHIFDDAQLQIYTLM HRDSYPRFMNSTVYKDLLTSLAEKTVEA >ENSMUSP00000131165.1 pep:known chromosome:GRCm38:1:4910474:5019379:-1 gene:ENSMUSG00000002459.17 transcript:ENSMUST00000170566.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgs20 description:regulator of G-protein signaling 20 [Source:MGI Symbol;Acc:MGI:1929866] MRTANGGPRARASPSASPADPGLPHCQKPRRPETPKSLP >ENSMUSP00000117380.1 pep:known chromosome:GRCm38:1:4912342:5070282:-1 gene:ENSMUSG00000002459.17 transcript:ENSMUST00000147158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs20 description:regulator of G-protein signaling 20 [Source:MGI Symbol;Acc:MGI:1929866] MPSLSQENQGYLEEHLTRQAAQAQLLPLFRAEKDYNPSIDQDTEDKEGSQAVTGVKPEGS ERTEMRMRQMCGGSETQGPAPSQQGGRGSNACCFCWCCCCTCSCLTVRNQEDQRPQRASH EIRTDIPACEESPTPTLEEVCAWAQSFDNLMVTPAGRNAFREFLRTEFSEENMLFWMACE ELKREANKSTIEEKARIIYE >ENSMUSP00000120992.1 pep:known chromosome:GRCm38:X:85574150:85613983:1 gene:ENSMUSG00000035476.9 transcript:ENSMUST00000146063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tab3 description:TGF-beta activated kinase 1/MAP3K7 binding protein 3 [Source:MGI Symbol;Acc:MGI:1913974] MAQNSPQLDIQVLHDLRQRFPEIPEGVVSQCMLQNNNN >ENSMUSP00000039668.3 pep:known chromosome:GRCm38:X:85574022:85634469:1 gene:ENSMUSG00000035476.9 transcript:ENSMUST00000048250.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tab3 description:TGF-beta activated kinase 1/MAP3K7 binding protein 3 [Source:MGI Symbol;Acc:MGI:1913974] MAQNSPQLDIQVLHDLRQRFPEIPEGVVSQCMLQNNNNLEACCRALSQESSKYLYMEYHS PEDNRMNRNRLLHINLGIHSPSSYHPGDGAHLNGGRTLVHSSSDGHIDPQHTAGKQLICL VQEPHSAPAVVAATPNYNPFFMNEQNRSAATPPSQPPQQPSSMQTGMNPSAMQGPSPPPP PPSYMHIPRYSTNPITVTVSQNLPSGQTVPRALQILPQIPSNLYGSPGSIFIRQTSQSSS GRQTPQNAPWQSSPQGPVPHYSQRPLPVYPHQQNYQPSQYSPKQQQIPQSVYHSPPPSQC PSPFSSPQHQVQPPQLGHPSSHVFMPPSPSTTPPHLYQQGPPSYQKPGSHSVAYLPYTAS SLPKGSMKKIEITVEPSQRPGTAITRSPSPISNQPSPRNQHSLYTATTPPSSSPSRGISS QPKPPFSVNPVYITYTQPTGPSCAPSPSPRVIPNPTTVFKITVGRATTENLLNLVDQEER SAAPEPIQPISVIPGSGGEKGNHKYQRSSSSGSDDYAYTQALLLHQRARMERLAKQLKLE KEELERLKAEVNSMEHDLMQRRLRRVSCTTAIPTPEEMTRLRSTNRQLQINVDCTLKEVD LLQSRGNFDPKAINNFYDHIEPGPVVPPKPSKKDSSDSCAIERKARRISVTSKAPVDIHD AQAAAADEHLSICKQSARTQPRDEDYEGAPWNCDSCTFLNHPALNRCEQCEMPRYT >ENSMUSP00000117932.1 pep:known chromosome:GRCm38:X:85574034:85614193:1 gene:ENSMUSG00000035476.9 transcript:ENSMUST00000137438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tab3 description:TGF-beta activated kinase 1/MAP3K7 binding protein 3 [Source:MGI Symbol;Acc:MGI:1913974] MAQNSPQLDIQVLHDLRQRFPEIPEGVVSQCMLQNNNNLEACCRALSQESSKYLYMEYHS PEDNRMNRNRLLHINLGIHSPSSYHPGDGAHLNGGRTLVHSSSDGHID >ENSMUSP00000061360.7 pep:known chromosome:GRCm38:2:94300767:94366597:-1 gene:ENSMUSG00000027194.16 transcript:ENSMUST00000055081.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc17 description:tetratricopeptide repeat domain 17 [Source:MGI Symbol;Acc:MGI:1921819] MDLKAKIPDDHARKILLSRIKNYTVPEEEIGSFLFHAINKPNAPVWLILNEAGLYWRAVG NSTFAIACLQRALNLAPVQYQDIPLVNLANLLIHYGLHLDATKLLLQAVAVNSSEPLTFL SLGNAYLALKNVSGALEAFRQALKLSTKCPECESSLKLIRCMQFYPFLYNATSSVCGGHC HEKPLDNSHDKQKYFAKPQSLDAAAEEPSGHGADEDPVLSVENAGRDSDALRLESTVVEE SNGSDEVEKSDETKMSEEILALVDEFQQAWPLEGFGGTLEMKGRRLDLQGIRVLKKGPQD GVAKSSCYGDCRSEDDEATEWITFQVKRVKKPKGDHKKPPGKKVEASQAENGQRYQANLE ITGPKVASPGPQEKKRDYQSLGWPSPDECLKLRWVELTAIVSTWLAVSSKNIDITEHIDF ATPIQQPAMEPLCNGNLPTSMHTLDHLHGVSNRASLHYTGESQLTEVLQNLGKDQYPQQS LEQIGTRIAKVLEKNQTSWVLSSMAALYWRVKGQGKKAIDCLRQALHYAPHQMKDVPLIS LANILHNAKLWNDAVIVATMAVEIAPHFAVNHFTLGNVYVAMEEFEKALVWYESTLKLQP EFVPAKNRIQTIQCHLMLKKGRRSP >ENSMUSP00000106868.2 pep:known chromosome:GRCm38:2:94300767:94406679:-1 gene:ENSMUSG00000027194.16 transcript:ENSMUST00000111237.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc17 description:tetratricopeptide repeat domain 17 [Source:MGI Symbol;Acc:MGI:1921819] MAAAIGVRGRFELLPRSGPGWLLSLSALLSVVARGALATTHWVVTEDGKIQQQVDSPMNL KHPHDLVILMRQETTVNYLKELEKQLVAQKIHIEENEDRDTGLEQRHNKEDPDCIKAKVP LGDLDLYDGTYITLESKDIRPEDFIDTESPVPPDPEQPDCTKILELPYSIHAFQHLRGVQ ERVNLSAPLLPKEDPIFTYLSKRLGRSIDDIGHLIHEGLQKNASSWVLYNLASFYWRIKN EPYQVVECAMRALHFSSRHNKDIALVNLANVLHRAHFSADAAVVVHAALDDSDFFTSYYT LGNIYAMLGEYNHSVLCYDHALQAKPGFEQAIKRKHAVLCQQKLEQKLEAQHRSLQRTLN ELKEYQKQHDHYLRQQEILEKHKLIQEEQILRNIIHETQMAKEAQLGNHQICRLVNQQHS LHCQWDQPVRYHRGDIFENVDYVQFGDDSSTSSMMSVNFDVPTNQSDVSESVRSSPVAHS VLWVWGRDSDAYRDKQHILWPKRADCTDSYPRVPLGGELPTYFLPPENKGLRIHELTSDD YSSEEEAQPPDCSITDYRKSHTLSYLVKELEVRMDLKAKIPDDHARKILLSRIKNYTVPE EEIGSFLFHAINKPNAPVWLILNEAGLYWRAVGNSTFAIACLQRALNLAPVQYQDIPLVN LANLLIHYGLHLDATKLLLQAVAVNSSEPLTFLSLGNAYLALKNVSGALEAFRQALKLST KCPECESSLKLIRCMQFYPFLYNATSSVCGGTVVEESNGSDEVEKSDETKMSEEILALVD EFQQAWPLEGFGGTLEMKGRRLDLQGIRVLKKGPQDGVAKSSCYGDCRSEDDEATEWITF QVKRVKKPKGDHKKPPGKKVEASQAENGQRYQANLEITGPKVASPGPQEKKRDYQSLGWP SPDECLKLRWVELTAIVSTWLAVSSKNIDITEHIDFATPIQQPAMEPLCNGNLPTSMHTL DHLHGVSNRASLHYTGESQLTEVLQNLGKDQYPQQSLEQIGTRIAKVLEKNQTSWVLSSM AALYWRVKGQGKKAIDCLRQALHYAPHQMKDVPLISLANILHNAKLWNDAVIVATMAVEI APHFAVNHFTLGNVYVAMEEFEKALVWYESTLKLQPEFVPAKNRIQTIQCHLMLKKGRRS P >ENSMUSP00000092395.4 pep:known chromosome:GRCm38:2:94327937:94406688:-1 gene:ENSMUSG00000027194.16 transcript:ENSMUST00000094801.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc17 description:tetratricopeptide repeat domain 17 [Source:MGI Symbol;Acc:MGI:1921819] MAAAIGVRGRFELLPRSGPGWLLSLSALLSVVARGALATTHWVVTEDGKIQQQVDSPMNL KHPHDLVILMRQETTVNYLKELEKQLVAQKIHIEENEDRDTGLEQRHNKEDPDCIKAKVP LGDLDLYDGTYITLESKDIRPEDFIDTESPVPPDPEQPDCTKILELPYSIHAFQHLRGVQ ERVNLSAPLLPKEDPIFTYLSKRLGRSIDDIGHLIHEGLQKNASSWVLYNLASFYWRIKN EPYQVVECAMRALHFSSRHNKDIALVNLANVLHRAHFSADAAVVVHAALDDSDFFTSYYT LGNIYAMLGEYNHSVLCYDHALQAKPGFEQAIKRKHAVLCQQKLEQKLEAQHRSLQRTLN ELKEYQKQHDHYLRQQEILEKHKLIQEEQILRNIIHETQMAKEAQLGNHQICRLVNQQHS LHCQWDQPVRYHRGDIFENVDYVQFGDDSSTSSMMSVNFDVPTNQSDVSESVRSSPVAHS VLWVWGRDSDAYRDKQHILWPKRADCTDSYPRVPLGGELPTYFLPPENKGLRIHELTSDD YSSEEEAQPPDCSITDYRKSHTLSYLVKELEVRMDLKAKIPDDHARKILLSRIKNYTVPE EEIGSFLFHAINKPNAPVWLILNEAGLYWRAVGNSTFAIACLQRALNLAPVQYQDIPLVN LANLLIHYGLHLDATKLLLQAVAVNSSEPLTFLSLGNAYLALKNVSGALEAFRQALKLST KCPECESSLKLIRCMQFYPFLYNATSSVCGGHCHEKPLDNSHDKQKYFAKPQSLDAAAEE PSGHGADEDPVLSVENAGRDSDALRLESTVVEESNGSDEVEKSDETKMSEEILALVDEFQ QAWPLEGFGGTLEMKGRRLDLQGIRVLKKGPQDGVAKSSCYGDCRSEDDEATEWITFQVK RVKKPKGDHKKPPGKKVEASQAENGQRYQANLEITGPKVASPGPQGLLDWKTREVP >ENSMUSP00000106869.1 pep:known chromosome:GRCm38:2:94300767:94406689:-1 gene:ENSMUSG00000027194.16 transcript:ENSMUST00000111238.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc17 description:tetratricopeptide repeat domain 17 [Source:MGI Symbol;Acc:MGI:1921819] MAAAIGVRGRFELLPRSGPGWLLSLSALLSVVARGALATTHWVVTEDGKIQQQVDSPMNL KHPHDLVILMRQETTVNYLKELEKQLVAQKIHIEENEDRDTGLEQRHNKEDPDCIKAKVP LGDLDLYDGTYITLESKDIRPEDFIDTESPVPPDPEQPDCTKILELPYSIHAFQHLRGVQ ERVNLSAPLLPKEDPIFTYLSKRLGRSIDDIGHLIHEGLQKNASSWVLYNLASFYWRIKN EPYQVVECAMRALHFSSRHNKDIALVNLANVLHRAHFSADAAVVVHAALDDSDFFTSYYT LGNIYAMLGEYNHSVLCYDHALQAKPGFEQAIKRKHAVLCQQKLEQKLEAQHRSLQRTLN ELKEYQKQHDHYLRQQEILEKHKLIQEEQILRNIIHETQMAKEAQLGNHQICRLVNQQHS LHCQWDQPVRYHRGDIFENVDYVQFGDDSSTSSMMSVNFDVPTNQSDVSESVRSSPVAHS VLWVWGRDSDAYRDKQHILWPKRADCTDSYPRVPLGGELPTYFLPPENKGLRIHELTSDD YSSEEEAQPPDCSITDYRKSHTLSYLVKELEVRMDLKAKIPDDHARKILLSRIKNYTVPE EEIGSFLFHAINKPNAPVWLILNEAGLYWRAVGNSTFAIACLQRALNLAPVQYQDIPLVN LANLLIHYGLHLDATKLLLQAVAVNSSEPLTFLSLGNAYLALKNVSGALEAFRQALKLST KCPECESSLKLIRCMQFYPFLYNATSSVCGGHCHEKPLDNSHDKQKYFAKPQSLDAAAEE PSGHGADEDPVLSVENAGRDSDALRLESTVVEESNGSDEVEKSDETKMSEEILALVDEFQ QAWPLEGFGGTLEMKGRRLDLQGIRVLKKGPQDGVAKSSCYGDCRSEDDEATEWITFQVK RVKKPKGDHKKPPGKKVEASQAENGQRYQANLEITGPKVASPGPQEKKRDYQSLGWPSPD ECLKLRWVELTAIVSTWLAVSSKNIDITEHIDFATPIQQPAMEPLCNGNLPTSMHTLDHL HGVSNRASLHYTGESQLTEVLQNLGKDQYPQQSLEQIGTRIAKVLEKNQTSWVLSSMAAL YWRVKGQGKKAIDCLRQALHYAPHQMKDVPLISLANILHNAKLWNDAVIVATMAVEIAPH FAVNHFTLGNVYVAMEEFEKALVWYESTLKLQPEFVPAKNRIQTIQCHLMLKKGRRSP >ENSMUSP00000031472.5 pep:known chromosome:GRCm38:5:110274282:110286186:-1 gene:ENSMUSG00000029499.11 transcript:ENSMUST00000031472.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxmp2 description:peroxisomal membrane protein 2 [Source:MGI Symbol;Acc:MGI:107487] MAPAASRLRVESELGSLPKRALAQYLLLLKLYPVLTKAVSSGILSALGNLLAQTIEKRKK DSQNLEVSGLLRYLVYGLFVTGPLSHYLYLFMEYSVPPEVPWASVKRLLLDRLFFAPTFL LLFFFVMNLLEGKNVSVFVAKMRSGFWPALQMNWRMWTPLQFININYVPLQFRVLFANMA ALFWYAYLASLGK >ENSMUSP00000117729.1 pep:known chromosome:GRCm38:5:110274295:110286021:-1 gene:ENSMUSG00000029499.11 transcript:ENSMUST00000155266.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pxmp2 description:peroxisomal membrane protein 2 [Source:MGI Symbol;Acc:MGI:107487] MAPAASRLRVESELGSLPKRALAQYLLLLKLYPVLTKAVSSGILSALGNLLAQTIEKRKK DSQNLEVSGLLRYLVYGGKTSVSLLPR >ENSMUSP00000057282.7 pep:known chromosome:GRCm38:7:63444751:63759028:1 gene:ENSMUSG00000033510.14 transcript:ENSMUST00000058476.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud7a description:OTU domain containing 7A [Source:MGI Symbol;Acc:MGI:2158505] MVSSLLPNPPSAECWAALLHDPMTLDMDAVLSDFVRSTGAEPGLARDLLEGKNWDLTAAL SDYEQLRQVHTANLPHVFNEGRCAKQAERELPQPGHKVERPCLQRQDDIAQAEKRLSRGI SHASSAIVSLARSHVANECNNEQFPLEMPIYTFQLPDLSVYSEDFRSFIERDLIEQATMV ALEQAGRLNWWSTVCTSCKRLLPLATTGDGNCLLHAASLGMWGFHDRDLVLRKALYTMMR TGAEREALKRRWRWQQTQQNKEEEWEREWTELLKLASSEPRTHFSKNGSGTGGGVDNSED PVYESLEEFHVFVLAHILRRPIVVVADTMLRDSGGEAFAPIPFGGIYLPLEVPPNRCHCS PLVLAYDQAHFSALVSMEQRDQQREQAVIPLTDSEHKLLPLHFAVDPGKDWEWGKDDNDN ARLANLILSLEAKLNLLHSYMNVTWIRIPSETRAPLAQPESPTASAGEDVQSLAESLDSD RDSVCSNSNSNNGKNGKDKEKEKQRKDKDKTRADSVANKLGSFSKTLGIKLKKNMGGLGG LVHGKMGRANSANGKNGDSAERNKEKKSKSRKGSKEESGASASTSPSEKTTPSPTDKASG ASPADKGSGSRGDAWKYSTDVKLSLNILRAAMQGERKFIFAGLLLTSHRHQFHEEMIGYY LTSAQERFSAEQEQRRRDAAAAAAAATATATVKRPARRPEAEGAPGPERASPGPTAAQPT QLVLKLKERPSPGTGASARAARAAGGAASPGPGGGARRAAPGTGGPTPGRSPPAPARQSV IHVQAAARDEACAPTVGALRPCATYPQQNRSLWSQSYSPARSALRTVNTVESLAPGGADA PGPAEHKSQTYSNGFGAARDGLEFADADAPAARSNAECGRGGPGPAQRRCQRENCAFYGR AETEHFCSYCYREELRRRREARAARP >ENSMUSP00000135559.1 pep:known chromosome:GRCm38:7:63650815:63757989:1 gene:ENSMUSG00000033510.14 transcript:ENSMUST00000177534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud7a description:OTU domain containing 7A [Source:MGI Symbol;Acc:MGI:2158505] MVSSLLPNPPSAECWAALLHDPMTLDMDAVLSDFVRSTGAEPGLARDLLEGKNWDLTAAL SDYEQLRQVHTANLPHVFNEGRCAKQAERELPQPGHKVERPCLQRQDDIAQEKRLSRGIS HASSAIVSLARSHVANECNNEQFPLEMPIYTFQLPDLSVYSEDFRSFIERDLIEQATMVA LEQAGRLNWWSTVCTSCKRLLPLATTGDGNCLLHAASLGMWGFHDRDLVLRKALYTMMRT GAEREALKRRWRWQQTQQNKESGLVYTEEEWEREWTELLKLASSEPRTHFSKNGSGTGGG VDNSEDPVYESLEEFHVFVLAHILRRPIVVVADTMLRDSGGEAFAPIPFGGIYLPLEVPP NRCHCSPLVLAYDQAHFSALVSMEQRDQQREQAVIPLTDSEHKLLPLHFAVDPGKDWEWG KDDNDNARLANLILSLEAKLNLLHSYMNVTWIRIPSETRAPLAQPESPTASAGEDVQSLA ESLDSDRDSVCSNSNSNNGKNGKDKEKEKQRKDKDKTRADSVANKLGSFSKTLGIKLKKN MGGLGGLVHGKMGRANSANGKNGDSAERNKEKKSKSRKGSKEESGASASTSPSEKTTPSP TDKASGASPADKGSGSRGDAWKYSTDVKLSLNILRAAMQGERKFIFAGLLLTSHRHQFHE EMIGYYLTSAQERFSAEQEQRRRDAA >ENSMUSP00000134821.1 pep:known chromosome:GRCm38:7:63754712:63757925:1 gene:ENSMUSG00000033510.14 transcript:ENSMUST00000177511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud7a description:OTU domain containing 7A [Source:MGI Symbol;Acc:MGI:2158505] GKDDNDNARLAKLPLHSQSLQRPQQGRMYSPWRNRWTRTAILCAAIPTAIMARMVRTKRR RNSARTRTRRARTPWPTSWAASARRWASS >ENSMUSP00000103618.1 pep:known chromosome:GRCm38:4:42735912:42846248:1 gene:ENSMUSG00000054885.11 transcript:ENSMUST00000107984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930578G10Rik description:RIKEN cDNA 4930578G10 gene [Source:MGI Symbol;Acc:MGI:1923202] MGIAAWILLMIRDLIGFEAVSKIPVQSGGCRGSRASAMSPEYDAKNPETLGGDRDHCQHK NVITYWDRRDGSTVTSTSCSSRRSRFSSQNPSLLSVNLMLRDLTPLSGFHELQAHMWWHL KAISKTTLRLPLCVTLALTTVSSTIAEHLQACL >ENSMUSP00000068585.3 pep:known chromosome:GRCm38:4:42735545:42845996:1 gene:ENSMUSG00000054885.11 transcript:ENSMUST00000068158.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930578G10Rik description:RIKEN cDNA 4930578G10 gene [Source:MGI Symbol;Acc:MGI:1923202] MGIAAWILLMIRDLIGFEAVSKIPVQSGGCRGSRASAMSPEYDAKNPETLGGDRDHCQHK NVITYWDRRDGSTVTSTSCSSRRSRFSSQNPSLLSVNLMLRDLTPLSGFHELQAHMWWHL KAISKTTLRLPLCVTLALTTVSSTIAEHLQACL >ENSMUSP00000070726.3 pep:known chromosome:GRCm38:1:191351975:191397041:-1 gene:ENSMUSG00000026626.11 transcript:ENSMUST00000067976.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r5a description:protein phosphatase 2, regulatory subunit B', alpha [Source:MGI Symbol;Acc:MGI:2388479] MSSPSPPAPVACAAISASEKVDGFTRKSVRKAQRQKRSQGSSQFRSQGSQAELHPLPQLK DATSNEQQELFCQKLQQCCVLFDFMDSVSDLKSKEIKRATLNELVEYVSTNRGVIVESAY SDIVKMISANIFRTLPPSDNPDFDPEEDEPTLEASWPHIQLVYEFFLRFLESPDFQPSIA KRYIDQKFVQQLLELFDSEDPRERDFLKTVLHRIYGKFLGLRAFIRKQINNIFLRFIYET EHFNGVAELLEILGSIINGFALPLKAEHKQFLMKVLIPMHTAKGLALFHAQLAYCVVQFL EKDTTLTEPVIRGLLKFWPKTCSQKEVMFLGEIEEILDVIEPTQFKKIEEPLFKQISKCV SSSHFQVAERALYFWNNEYILSLIEENIDKILPIMFASLYKISKEHWNQTIVALVYNVLK TLMEMNGKLFDDLTSSYKAERQREKKKELEREELWKKLEELQLKKALEKQNNAYNMHSIR SSTSAK >ENSMUSP00000141288.1 pep:known chromosome:GRCm38:1:191356960:191368725:-1 gene:ENSMUSG00000026626.11 transcript:ENSMUST00000191925.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp2r5a description:protein phosphatase 2, regulatory subunit B', alpha [Source:MGI Symbol;Acc:MGI:2388479] XRTLPPSDNPDFDPEEDEPTLEASWPHIQLVYEFFLRFLESPDFQPSIAKRYIDQKFVQQ >ENSMUSP00000103615.1 pep:known chromosome:GRCm38:4:42781928:42856771:-1 gene:ENSMUSG00000078722.2 transcript:ENSMUST00000107981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12394 description:predicted gene 12394 [Source:MGI Symbol;Acc:MGI:3649790] MKSQSWVPKQGNVRQLLCLDPSCQICEAATEEIQQLVQSEKSQLSPAFLGLNQGSAHSAH LEMLPMSFEQNMELCSRHTRPHPRVPGNQTLTQLTGHLTQLTNTFGVQECWTDRLQLDQN FHLANMPVVSETVTSSRLKEPMVLMIEETIVQSKAKLDQESQDLHHVKSSVSLLSLDPNL THTMSLHMDSMLSSHLPLLSPKVRGLLELHVKKWIHFQKWGLPRRVEESLRQLMPDPTLF RRSRENPSSCTLSSSSKVTEDRTGIVSHKTSSLYSAGQPVQTFWVSKWPVINLQQGRPWH QIHTCLPSHEGEHLRNFYPLPRAKANDSGDNLQSEYYSQLFCGLPSLHSESLDVTSLSSQ GICKKKDMSKPSTDAQPSGVLSGLFLPKTPCKSAFPSSPTSLNGKTPCEREGAQITVPFL SLAECEALERHLLKKQLSKLQWGLPALLLQNQRRMPCEALSEAKTVKTSLARKPFLHPTR DIFPEHAGRLLEFHLQKQLIHLRWGLPQRIQRCMNMLLSSTDPQSLPCGSSSLTNVSISQ PGKPEADGPGDMFLLTAGKGTIPMPHLFAKTREMLKSHVDSKCEQIQEGKVPAQVWKSWE CKIPGSLATVAPFPWIPQGQCRKLQAESKSDPDLLHKVVPWKPKTLSQETQTLSGTLFEH CKKPQSLPKETIKKLETTLHHKYLAFLSGLPALYCVALSRPASPAVTSQPRLREKMPKAV KSPSRALTQITPLESCTQDDSGVSADTAEEFQPGAEADGRTEKVPAESQPPPCRPYPINT HILAKLNFHLKKKILAMQFGISEKEKREHKELGTADLESESIQEFLRSLHTSESTLLQEQ PVACPSLPAPNAKGVHPKKQPASAVQDVCQAQRPPPSKAVPHSSAQQSSKASHSQRDKIQ VCVDTEAGGKRFNLEKSKVVGDLGEGDAGLGFSLVGQKTRQDEEQEKRLLHRPLQGSSQQ GHTFHLEDACPHSPWVSLCSPS >ENSMUSP00000143722.1 pep:known chromosome:GRCm38:3:95739976:95754835:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000196077.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] GLCLRWRRLGFPLPEFRRCELHTVREASAPTPPHWLAERFGLFEELWTAHVKKLASMTQK KARAIKISLPEGQKVDAVAWNTTPYQLAHQISVTLADTAVAAEVNGELYDLDRPLETDCH LRFLTFDSPEGKAVFWHSSAHVLGAAAEQQLGAVLCRGPSTESGFYHDFFLGKERTVRSA ELPILERICQELIAAAQPFRRLEASRDQLRQLFKDNHFKLHLIEEKVTGPTATVYGCGMS VDLCRGPHLRHTGQIGALKLLTSY >ENSMUSP00000143328.1 pep:known chromosome:GRCm38:3:95739976:95754851:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000199464.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MGLCLRWRRLGFPLPEFRRCELHTVREASAPTPPHWLAERFGLFEELWTAHVKKLASMTQ KKARAIKISLPEGQKVDAVAWNTTPYQLAHQISVTLADTAVAAEVNGELYDLDRPLETDC HLRFLTFDSPEGKADSPQRRVAHFRADLPGAHSCCTAFPEAGGFTGSASPALQGQPL >ENSMUSP00000073946.6 pep:known chromosome:GRCm38:3:95739976:95754862:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000074339.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MGLCLRWRRLGFPLPEFRRCELHTVREASAPTPPHWLAERFGLFEELWTAHVKKLASMTQ KKARAIKISLPEGQKVDAVAWNTTPYQLAHQISVTLADTAVAAEVNGELYDLDRPLETDC HLRFLTFDSPEGKAVFWHSSAHVLGAAAEQQLGAVLCRGPSTESGFYHDFFLGKERTVRS AELPILERICQELIAAAQPFRRLEASRDQLRQLFKDNHFKLHLIEEKVTGPTATVYGCGM SVDLCRGPHLRHTGQIGALKLLTNSSALWRSLGAPETLQRVSGISFPKVELLRNWEARRE AAELRDHRRIGKEQELFFFHELSPGSCFFLPRGTRVYNALVAFIRAEYARRGFSEVKTPT LFSTKLWEQSGHWEHYRADMFSLKPPGTDGVDNSQSGHPARCPKDTLALKPMNCPAHCLM FAHRPRSWRELPVRLADFGALHRAEASGSLGGLTRLWRFQQDDAHIFCAPHQLEAEIQGC LDFLRCVYSVLGFSFHLALSTRPPGFLGEPRLWDQAEQIDVHLHDALGRPHQCGTIQLDF QLPLRFDLQYKGPAGTPECPVLIHRAVLGSVERLLGVLAESCGGKWPLWLSPLQVVVIPV RTEQEEYARQVQQCLQAAGLVSDLDADSGLTLSRRVRRAQLAHYNFQFVVGQREQSQRTV NVRTRDNRQLGERDLAESVQRLLELQNARVPNAEEVF >ENSMUSP00000130269.1 pep:known chromosome:GRCm38:3:95739976:95754871:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000163530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MGLCLRWRRLGFPLPEFRRCELHTVREASAPTPPHWLAERFGLFEELWTAHVKKLASMTQ KKARAIKISLPEGQKVDAVAWNTTPYQLAHQISVTLADTAVAAEVNGELYDLDRPLETDC HLRFLTFDSPEGKADNHFKLHLIEEKVTGPTATVYGCGMSVDLCRGPHLRHTGQIGALKL LTNSSALWRSLGAPETLQRVSGISFPKVELLRNWEARREAAELRDHRRIGKEQELFFFHE LSPGSCFFLPRGTRVYNALVAFIRAEYARRGFSEVKTPTLFSTKLWEQSGHWEHYRADMF SLKPPGTDGVDNSQSGHPARCPKDTLALKPMNCPAHCLMFAHRPRSWRELPVRLADFGAL HRAEASGSLGGLTRLWRFQQDDAHIFCAPHQLEAEIQGCLDFLRCVYSVLGFSFHLALST RPPGFLGEPRLWDQAEQVLQQALEKFGEPWDLNPGDGAFYGPKIDVHLHDALGRPHQCGT IQLDFQLPLRFDLQYKGPAGTPECPVLIHRAVLGSVERLLGVLAESCGGKWPLWLSPLQV VVIPVRTEQEEYARQVQQCLQAAGLVSDLDADSGLTLSRRVRRAQLAHYNFQFVVGQREQ SQRTVNVRTRDNRQLGERDLAESVQRLLELQNARVPNAEEVF >ENSMUSP00000029752.8 pep:known chromosome:GRCm38:3:95739976:95754871:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000029752.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MGLCLRWRRLGFPLPEFRRCELHTVREASAPTPPHWLAERFGLFEELWTAHVKKLASMTQ KKARAIKISLPEGQKVDAVAWNTTPYQLAHQISVTLADTAVAAEVNGELYDLDRPLETDC HLRFLTFDSPEGKAVFWHSSAHVLGAAAEQQLGAVLCRGPSTESGFYHDFFLGKERTVRS AELPILERICQELIAAAQPFRRLEASRDQLRQLFKDNHFKLHLIEEKVTGPTATVYGCGM SVDLCRGPHLRHTGQIGALKLLTNSSALWRSLGAPETLQRVSGISFPKVELLRNWEARRE AAELRDHRRIGKEQELFFFHELSPGSCFFLPRGTRVYNALVAFIRAEYARRGFSEVKTPT LFSTKLWEQSGHWEHYRADMFSLKPPGTDGVDNSQSGHPARCPKDTLALKPMNCPAHCLM FAHRPRSWRELPVRLADFGALHRAEASGSLGGLTRLWRFQQDDAHIFCAPHQLEAEIQGC LDFLRCVYSVLGFSFHLALSTRPPGFLGEPRLWDQAEQVLQQALEKFGEPWDLNPGDGAF YGPKIDVHLHDALGRPHQCGTIQLDFQLPLRFDLQYKGPAGTPECPVLIHRAVLGSVERL LGVLAESCGGKWPLWLSPLQVVVIPVRTEQEEYARQVQQCLQAAGLVSDLDADSGLTLSR RVRRAQLAHYNFQFVVGQREQSQRTVNVRTRDNRQLGERDLAESVQRLLELQNARVPNAE EVF >ENSMUSP00000096456.4 pep:known chromosome:GRCm38:3:95743853:95754883:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000098857.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MGLCLRWRRLGFPLPEFRRCELHTVREASAPTPPHWLAERFGLFEELWTAHVKKLASMTQ KKARAIKISLPEGQKVDAVAWNTTPYQLAHQISVTLADTAVAAEVNGELYDLDRPLETDC HLRFLTFDSPEGKAVFWHSSAHVLGAAAEQQLGAVLCRGPSTESGFYHDFFLGKERTVRS AELPILERICQELIAAAQPFRRLEASRDQLRQLFKDNHFKLHLIEEKVTGPTATVYGCGM SVDLCRGPHLRHTGQIGALKLLTNSSALWRSLGAPETLQRVSGISFPKVELLRNWEARRE AAELRDHRRIGKEQELFFFHELSPGSCFFLPRGTRVYNALVAFIRLEAEIQGCLDFLRCV YSVLGFSFHLALSTRPPGFLGEPRLWDQAEQVLQQALEKFGEPWDLNPGDGAFYGPKASW SHT >ENSMUSP00000143757.1 pep:known chromosome:GRCm38:3:95747515:95754871:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000195929.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MSVDLCRGPHLRHTGQIGALKLLTEQELFFFHELSPGSCFFLPRGTRVYNALVAFIRAEY ARRGFSEVKTPTLFSTKLWEQSGHWEHYRADMFSLKPPGTDGVD >ENSMUSP00000143271.1 pep:known chromosome:GRCm38:3:95747549:95754838:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000198289.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MSVDLCRGPHLRHTGQIGALKLLTNSSALWRSLGAPETLQRVSGISFPKVELLRNWEARR EAAELRDHRRIGKEQELFFFHELSPGSCFFLPRGTRVYNALVAFIRAEYARRGFSEVKTP TLFSTKLWEQSGHWEHYRADMF >ENSMUSP00000143038.1 pep:known chromosome:GRCm38:3:95748552:95754871:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000199570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MGLCLRWRRLGFPLPEFRRCELHTVREASAPTPPHWLAERFGLFEELWTAHVKKLASMTQ KKARAIKISLPEGQKVDAVAWNTTPYQLAHQISVTLADTAVAAEVNGELYDLDRPLETDC HLRFLTFDSPEGKADNHFKLHLIEEKVTGPTATVYGCGMSVDLCRGPHLRHTGQIGALKL LTNSSALWRSLGAPETLQRVSGISFPKVE >ENSMUSP00000143033.1 pep:known chromosome:GRCm38:3:95748577:95754849:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000196868.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MSVDLCRGPHLRHTGQIGALKLLTNSSALWRSLGAPETLQRVS >ENSMUSP00000143295.1 pep:known chromosome:GRCm38:3:95750738:95754849:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000197720.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MGLCLRWRRLGFPLPEFRRCELHTVREASAPTPPHWLAERFGLFEELWTAHVKKLASMTQ KKARAIKISLPEGQKCNTG >ENSMUSP00000143270.1 pep:known chromosome:GRCm38:3:95754806:95760206:-1 gene:ENSMUSG00000028107.14 transcript:ENSMUST00000197501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tars2 description:threonyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1919057] MGLCLRWRRLG >ENSMUSP00000034600.4 pep:known chromosome:GRCm38:9:45042425:45054015:1 gene:ENSMUSG00000032092.4 transcript:ENSMUST00000034600.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpzl2 description:myelin protein zero-like 2 [Source:MGI Symbol;Acc:MGI:1289160] MYGKSPALVLPLLLSLQLTALCPTEAVEIYTSGALEAVNGTDVRLKCTFSSFAPVGDALT VTWNFRPRDGGREQFVFYYHMDPFRPMSGRFKDRVVWDGNPERYDVSILLWKLQFDDNGT YTCQVKNPPDVDGLVGTIRLSVVHTVPFSEIYFLAVAIGSACALMIIVVIVVVLFQHFRK KRWADSADKAEGTKSKEEEKLNQGNKVSVFVEDTD >ENSMUSP00000027529.5 pep:known chromosome:GRCm38:1:90958133:90969661:-1 gene:ENSMUSG00000026304.13 transcript:ENSMUST00000027529.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab17 description:RAB17, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:104640] MAQAAGLPQASTASGQPYVSKLVLLGSSSVGKTSLALRYMKQDFSNVLPTVGCAFFTKVL DLGSSSLKLEIWDTAGQEKYQSVCHLYFRGANAALLVYDITRKDSFHKAQQWLEDLEKEF QPGEVVVMLVGNKTDLGEEREVTFQEGKEFAESKSLLFMETSAKLNYQVSEIFNTVAQEL LQRAGDTGSSRPQEGEAVALNQEPPIRQRQCCAR >ENSMUSP00000122178.1 pep:known chromosome:GRCm38:1:90958135:90967667:-1 gene:ENSMUSG00000026304.13 transcript:ENSMUST00000131428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab17 description:RAB17, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:104640] MAQAAGLPQASTASGQPYVSKLVLLGSSSVGKTSLALRYMKQDFSNVLPTVGCAFFTKVL DLGSSSLKLEIWDTAGQEKYQSVCHLYFRGANAALLVYDITRKDSFHKAQQWLEDLEKEF QPGEVVVMLVGNKTDLGEEREVTFQEGKEFAESKSLLFMETSAKLNYQVSEIFNTVAQEL LQRAGDTGSSRPQEGEAVALNQEPPIRQRQCCAR >ENSMUSP00000114240.1 pep:known chromosome:GRCm38:1:90960001:90969644:-1 gene:ENSMUSG00000026304.13 transcript:ENSMUST00000130042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab17 description:RAB17, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:104640] MAQAAGLPQASTASGQPYVSKLVLLGSSSVGKTSLALRYMKQDFSNVLPTVGCAFFTKVL DLGSSSLKLEIWDTAGQEKYQSVCHLYFRGANAALLVYDITRKDSFHKAQQWLEDLEKEF QPGEVVVMLVGNKTDLGEEREVTFQ >ENSMUSP00000103613.1 pep:known chromosome:GRCm38:4:42848071:42853888:-1 gene:ENSMUSG00000078721.1 transcript:ENSMUST00000107979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam205a1 description:family with sequence similarity 205, member A1 [Source:MGI Symbol;Acc:MGI:3651059] MLSTMCFLWDTECPLYVYFCIFIIVLIVWQVCQNYQGLKCENRRSCCRRHQKVRQRAKDA ASRARGLSREEDEKPCELLSIMKSQSWVPKQGNVRQLLCLDPSCQICEATTLEIRQLLQS EKSQISPALLGLPQSPACLEMPISSESFEWNQDFYSRYSTNSPVVPGNQTLTQLTEELTG SINADGAPLCWTDPLQVGQEFHLADMPMASETLVSPGLEEPVVLMNEEDTVHSNLNYIQQ LQDHEALNSQVPFQTLTPQLTVTHPMAVSIVTDAPQPFLSPEVLRLLEIHVKKLMHFQRW GLPRRVEESLKQFMPNPPVYLRPEHNQPVSFILNTSSQDCVHRFEGISPETWYSYMDGQP IQTFWVSEWSSGDQGQRLSCKPIPSLVEKPLLTPDYELLHDLCLSPEGQVDGSQSNLQKK FTQLFCGLPSMHSESLGSTFLCTQGVSKNTLKPPYKEPHFLKVSPPIPLPEAAPPPSSTS PNESLDEPQRAQIGGVPFLTLSECKTLEWHLLQRQLQLQWGLSAVIARPPRVQSHTQYKH KPWNKAKPRETLKFFGPGKPFSAFTRELFFIPQHARKLLEFHLQKRLIHLRWGLPQRIQR SINMLLSSTDPQSLPCGGSRLPNVSISQPGKPEAYGSGDTFLPTAGKGTTPMPHLFAKAQ EMLKSHFDTKCEQIREGKVPACVQSAWKGRIPGDLAAGTLFPNIPQGQPLELQAENNPDL HQEAVSWKPMDLDQEAQAFSGVFIEHCRRPQALSEETIKKLETTLRHKYLAFLSGLQALY CMAPTKATSPTVDQSVITTMPWSVKSPQKPLSQKSPLEALCLSGLEPCTQDDKETSANIA EEFQHGAQGHGRTEKVPPERQPLLNRPYSLDTEIMERVSFYLKRKALDIKLGISLKESVF QEPTATDLESESVQEPLRSPRESTLLQGPPTLCHVPVAPDPDKVCLKQPATAVQVVFQEQ NQPSSRAVPHRSARQGSQVHRNMMEAQVHYVQMGTGGEMLNLGEPFSTESQSPGKSKSGY VPTVAGKRKIPGKPKVVGDLGEGDAGLGFSLVSLKTRQDGEQEKRLLHRQLQGSSLQAQT FHLEGACPHSPQESPELQFADPPPEVFMETDSEQDMEDSQSKESIVPEPARTAKAPQPML SRASQGLPFPRSPTQRKPSQGQPGPGHVPPGHATPASPYTRPSRLPEAGLKNKMKLFFHS IKLKMKSKAHTEPSTVSTPGKVAKTSKENIDRGLPQAKSPTKKTKPEDFRGPKAQFSSSE KSVVGPCLTPSYILDSKFWPRPRRVGSVSVLGHSYHCPRHCPRLAYANQQRNPP >ENSMUSP00000092732.4 pep:known chromosome:GRCm38:4:42772860:42773993:-1 gene:ENSMUSG00000094686.1 transcript:ENSMUST00000095114.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl21a description:chemokine (C-C motif) ligand 21A (serine) [Source:MGI Symbol;Acc:MGI:1349183] MAQMMTLSLLSLVLALCIPWTQGSDGGGQDCCLKYSQKKIPYSIVRGYRKQEPSLGCPIP AILFSPRKHSKPELCANPEEGWVQNLMRRLDQPPAPGKQSPGCRKNRGTSKSGKKGKGSK GCKRTEQTQPSRG >ENSMUSP00000027940.5 pep:known chromosome:GRCm38:1:191325912:191350914:1 gene:ENSMUSG00000026627.7 transcript:ENSMUST00000027940.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem206 description:transmembrane protein 206 [Source:MGI Symbol;Acc:MGI:1914200] MIRQELSTSYQELSEELEQVVENSEQADERDKELVQVQGPGVVPGVDNESASSSIRFSKA CLKNVFSVLLILIYLLLMAVAVFLVYQTITDFREKLKHPVMSVSYKEVDRYDAPGIAFYP GQAQLLSCKHHYEVIPPLASPGQPGDRNCTTQRINYTHPFFNHTMQSALIVQGPQEVKKR ELVFLQFRLNQSNEDFSAIDYLLFSSFREFMQSPDKAGFMQACESAYSSWKFSGGFRTWV KMSLVKTKEEDGREAVEFRQETSVVNYIDQRPAAERSAQLFFVVFEWKDPFIQKVQDIIT ANPWNTIALLCGAFLALFKAAEFAKLSVKWMIKIRKRYLKRRGQATNHIS >ENSMUSP00000078914.2 pep:known chromosome:GRCm38:1:171288563:171295024:-1 gene:ENSMUSG00000062963.10 transcript:ENSMUST00000080001.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufc1 description:ubiquitin-fold modifier conjugating enzyme 1 [Source:MGI Symbol;Acc:MGI:1913405] MADEATRRVVSEIPVLKTNAGPRDRELWVQRLKEEYQSLIRYVENNKNSDNDWFRLESNK EGTRWFGKCWYIHDFLKYEFDIEFEIPITYPTTAPEIAVPELDGKTAKMYRGGKICLTDH FKPLWARNVPKFGLAHLMALGLGPWLAVEVPDLIQKGVIQHKEKCSQ >ENSMUSP00000137977.1 pep:known chromosome:GRCm38:1:171288694:171294941:-1 gene:ENSMUSG00000062963.10 transcript:ENSMUST00000144576.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ufc1 description:ubiquitin-fold modifier conjugating enzyme 1 [Source:MGI Symbol;Acc:MGI:1913405] MADEATRRVVSEIPVLKTNAGPRDRELWVQRLKEEYQSLIRYVENNKNSDNDWFRLESNK EGTRWFGKCWYIHDFLKYEFDIEFEVSVIEWEG >ENSMUSP00000106933.3 pep:known chromosome:GRCm38:1:171288712:171294987:-1 gene:ENSMUSG00000062963.10 transcript:ENSMUST00000111302.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufc1 description:ubiquitin-fold modifier conjugating enzyme 1 [Source:MGI Symbol;Acc:MGI:1913405] MADEATRRVVSEIPVLKTNAGPRDRELWVQRLKEEYQSLIRYVENNKNSDNDWFRLESNK EGTRWFGKCWYIHDFLKYEFDIEFEIPITYPTTAPEIAVPELDGKTAKMYRGGKICLTDH FKPLWARNVPKFGLAHLMALGLGPWLAVEVPDLIQKGVIQHKEKCSQ >ENSMUSP00000100022.3 pep:known chromosome:GRCm38:4:42754525:42756543:-1 gene:ENSMUSG00000071005.7 transcript:ENSMUST00000102957.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl19 description:chemokine (C-C motif) ligand 19 [Source:MGI Symbol;Acc:MGI:1346316] MAPRVTPLLAFSLLVLWTFPAPTLGGANDAEDCCLSVTQRPIPGNIVKAFRYLLNEDGCR VPAVVFTTLRGYQLCAPPDQPWVDRIIRRLKKSSAKNKGNSTRRSPVS >ENSMUSP00000144891.1 pep:known chromosome:GRCm38:4:42754531:42756489:-1 gene:ENSMUSG00000071005.7 transcript:ENSMUST00000140546.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl19 description:chemokine (C-C motif) ligand 19 [Source:MGI Symbol;Acc:MGI:1346316] MAPRVTPLLAFSLLVLWTFPAPTLGGANDAEDCCLSVTQRPIPGNIVKAFRYLLNEDGCR VPAVVFTTLRGYQLCAPPDQPWVDRIIRRLKKSSAKASLTLLSPASALPTPRDSSS >ENSMUSP00000035900.8 pep:known chromosome:GRCm38:14:34410734:34502687:-1 gene:ENSMUSG00000021796.14 transcript:ENSMUST00000049005.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmpr1a description:bone morphogenetic protein receptor, type 1A [Source:MGI Symbol;Acc:MGI:1338938] MTQLYTYIRLLGACLFIISHVQGQNLDSMLHGTGMKSDLDQKKPENGVTLAPEDTLPFLK CYCSGHCPDDAINNTCITNGHCFAIIEEDDQGETTLTSGCMKYEGSDFQCKDSPKAQLRR TIECCRTNLCNQYLQPTLPPVVIGPFFDGSIRWLVVLISMAVCIVAMIIFSSCFCYKHYC KSISSRGRYNRDLEQDEAFIPVGESLKDLIDQSQSSGSGSGLPLLVQRTIAKQIQMVRQV GKGRYGEVWMGKWRGEKVAVKVFFTTEEASWFRETEIYQTVLMRHENILGFIAADIKGTG SWTQLYLITDYHENGSLYDFLKCATLDTRALLKLAYSAACGLCHLHTEIYGTQGKPAIAH RDLKSKNILIKKNGSCCIADLGLAVKFNSDTNEVDIPLNTRVGTKRYMAPEVLDESLNKN HFQPYIMADIYSFGLIIWEMARRCITGGIVEEYQLPYYNMVPSDPSYEDMREVVCVKRLR PIVSNRWNSDECLRAVLKLMSECWAHNPASRLTALRIKKTLAKMVESQDVKI >ENSMUSP00000131984.1 pep:known chromosome:GRCm38:14:34414486:34460556:-1 gene:ENSMUSG00000021796.14 transcript:ENSMUST00000165280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmpr1a description:bone morphogenetic protein receptor, type 1A [Source:MGI Symbol;Acc:MGI:1338938] MTQLYTYIRLLGACLFIISHVQGQNLDSMLHGTGMKSDLDQKKPENGVTLAPEDTLPFLK CYCSGHCPDDAINNTCITNGHCFAIIEEDDQGETTLTSGCMKYEGSDFQCKDSPKAQLRR TIECCRTNLCNQYLQPTLPPVVIGPFFDGSIRWLVVLISMAVCIVAMIIFSSCFCYKHYC KSISSRGRYNRDLEQDEAFIPVGESLKDLIDQSQSSGSGSGLPLLVQRTIAKQIQMVRQV GKGRYGEVWMGKWRGEKVAVKVFFTTEEASWFRETEIYQTVLMRHENILGFIAADIKGTG SWTQLYLITDYHENGSLYDFLKCATLDTRALLKLAYSAACGLCHLHTEIYGTQGKPAIAH RDLKSKNILIKKNGSCCIADLGLAVKFNSDTNEVDIPLNTRVGTKRYMAPEVLDESLNKN HFQPYIMADIYSFGLIIWEMARRCITGGIVEEYQLPYYNMVPSDPSYEDMREVVCVKRLR PIVSNRWNSDEVSWSQVPVK >ENSMUSP00000126852.1 pep:known chromosome:GRCm38:14:34434462:34503341:-1 gene:ENSMUSG00000021796.14 transcript:ENSMUST00000171343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmpr1a description:bone morphogenetic protein receptor, type 1A [Source:MGI Symbol;Acc:MGI:1338938] MTQLYTYIRLLGACLFIISHVQGQNLDSMLHGTGMKSDLDQKKPENGVTLAPEDTLPFLK CYCSGHCPDDAINNTCITNGHCFAIIEEDDQGETTLTSGCMKYEGSDFQCKDSPKAQLRR TIECCRTNLCNQYLQPTLPPVVIGPFFDG >ENSMUSP00000129927.1 pep:known chromosome:GRCm38:14:34443933:34502528:-1 gene:ENSMUSG00000021796.14 transcript:ENSMUST00000171551.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmpr1a description:bone morphogenetic protein receptor, type 1A [Source:MGI Symbol;Acc:MGI:1338938] MTQLYTYIRLLGACLFIISHVQGQNLDSMLHGTGMKSD >ENSMUSP00000140305.1 pep:known chromosome:GRCm38:Y:87550966:87575691:1 gene:ENSMUSG00000099856.1 transcript:ENSMUST00000186493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20906 description:predicted gene, 20906 [Source:MGI Symbol;Acc:MGI:5434262] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000028200.8 pep:known chromosome:GRCm38:2:30960627:30967933:-1 gene:ENSMUSG00000026849.18 transcript:ENSMUST00000028200.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor1a description:torsin family 1, member A (torsin A) [Source:MGI Symbol;Acc:MGI:1353568] MKLGRAALALLLLAPCVVRAVEPISLSLALAGVLTTYISYPRLYCLFAECCGQMRSLSRE ALQKDLDNKLFGQHLAKKVILNAVSGFLSNPKPKKPLTLSLHGWTGTGKNFASKIIAENI YEGGLNSDYVHLFVATLHFPHASNITQYKDQLQMWIRGNVSACARSIFIFDEMDKMHAGL IDAIKPFLDYYDVVDEVSYQKAIFIFLSNAGAERITDVALDFWKSGKQREEIKLRDMEPA LAVSVFNNKNSGFWHSSLIDRNLIDYFVPFLPLEYKHLKMCIRVEMQSRGYEVDEDIISK VAEEMTFFPKEEKVFSDKGCKTVFTKLDYYLDD >ENSMUSP00000027056.5 pep:known chromosome:GRCm38:1:10993465:11303681:1 gene:ENSMUSG00000048960.13 transcript:ENSMUST00000027056.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prex2 description:phosphatidylinositol-3,4,5-trisphosphate-dependent Rac exchange factor 2 [Source:MGI Symbol;Acc:MGI:1923385] MSDESAREVDKQLRLRVCVLSELQKTERDYVGTLEFLVSAFLHRMNQCAAAKVDKNVTEE TVKMLFSNIEEILIVHKEFLKVVEECLYPEPSAQQEVGACFLHFKDKFRIYDEYCSNHEK AQKLLLELNKIRTIRTFLLNCMLLGGRKNTDVPLEGYLVTPIQRICKYPLLLKELLKRTP RRHSDYTAVMEALQAMKAVCSNINEAKRQMEKLEVLEEWQAHIEGWEGSNITDTCTEMLM CGVLMKISSGNIQERVFFLFDNLLVYCKRKHRRLKNSKASTDGYRYVFRGRINTEVMEVE NVDDGTADFHSSGHIVVNGWKIHNTAKNKWFVCMAKSPEEKHEWFEAILKERERRKGLKL GMEQDTWVMISEQGEKLYKMMCKQGNLIKDRKRKLTTFPKCFLGSEFVSWLLEIGEIHRP EEGVHLGQALLENGIIHHVTDKHQFKPEQMLYRFRYDDGTFYPRSEMQDVISKGVRLYCR LHSLFTPVVRDKDYHLRTYKSVVMANKLIDWLIAQGDCRTREEAMIFAVGLCDNGFMHHV LEKSEFKDEPLLFRFFADEEMEGSNMKHRLMKHDLKVVENVIAKSLLIKSNEGSYGFGLE DKNKVPIIKLVEKGSNAEMAGMEVGKKIFAINGDLVFLRPFPEVDCFLKSCLNSRKPLRV LVSTKPRETVKIPDSADGLGFQIRGFGPSVVHAVGRGTVAAAAGLHPGQCIIKVNGINVS KETHASVIAHVTACRKYKRPMKQDSIQWVYDSLESAQEDIQKSHSKPPGDGAGDAFECKV EDVIDKFNTMAIIDGKKEHVSLTVDNVHLEYGVVYEYDSTAGTKCNVVEKMVEPKGFFSL TAKILEALAKSDEHFVQNCTSLNSLNEVIATDLQSKFTSMCSERIEHVCHRISSYGRFSR VLKNRAWPTFKQAKPKISPLHSSDFCPTNCHVNVMEVSYPKTSTSLGSAFGVQLDSRKHN SHDKENKSVEPGKLSPMVYIQHTITTMAAPSGLSLGHKDGHGLQYLLKEEDLETQDIYHK LLGKLQTALKEVEMSVCQIDDLLSSITYSPKLERKTTECVTPMDSDNEKGERNSKRVCFN VAGDEQEDSGHDTVSNRDSYSDCNSNRNSIASFTSICSSQCSSYFHSDEMDSGDELPISV RISHDKQDKIHTCLEQLFSQIDSIINLLKGQAVIRAFEQTKYLTPGRGLQEFQQEMEAKL SCPRRLRLHLKQDPWNLPSSIQALAQSIRKHAEEVKCRILLALLEYSDSETQLRRDMVFC QSLVATVCAFSEQLMAALNQMFDNSKENEMETCEASRRWLDQIANAGVLFHFQSLLSPNL KDEQAMLEDTLVALFDLEKVSFFFKPSEEDPLVANVPLTYQVEGSRQALKVYFYMDSYHF EQLPQRLKNGGGFKIHPVLFSQALESMEGYCYRDNISVEEFQAQINTASLEKVKQYNQKL RAFYLDKSNSPPNTTSKAAYIDKLMKPLNALDELYRLITSFIRSKRIAACVNTPCSASGV GLLSVSSELCDRLGACHIIMCSSGVHRCTLSVTLEQTITLARSHGLPPRYIMQAMDVMRK QGARVQNTAKNLGVRDRTPQSAPRLYKLCEPPPPVGEE >ENSMUSP00000140621.1 pep:known chromosome:GRCm38:1:11258067:11297898:1 gene:ENSMUSG00000048960.13 transcript:ENSMUST00000188189.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prex2 description:phosphatidylinositol-3,4,5-trisphosphate-dependent Rac exchange factor 2 [Source:MGI Symbol;Acc:MGI:1923385] XLAPSPACRVRLSDC >ENSMUSP00000140788.1 pep:known chromosome:GRCm38:1:11258106:11298005:1 gene:ENSMUSG00000048960.13 transcript:ENSMUST00000187745.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prex2 description:phosphatidylinositol-3,4,5-trisphosphate-dependent Rac exchange factor 2 [Source:MGI Symbol;Acc:MGI:1923385] XARSLDFHSQLRLNNCKELGCRLAFYLDKSNSPPNTTSKAAYIDKMNFTG >ENSMUSP00000140863.1 pep:known chromosome:GRCm38:1:11263963:11299594:1 gene:ENSMUSG00000048960.13 transcript:ENSMUST00000189385.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prex2 description:phosphatidylinositol-3,4,5-trisphosphate-dependent Rac exchange factor 2 [Source:MGI Symbol;Acc:MGI:1923385] XALALALALALAPSPACRVRLSDC >ENSMUSP00000140580.1 pep:known chromosome:GRCm38:1:11283993:11298204:1 gene:ENSMUSG00000048960.13 transcript:ENSMUST00000188154.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prex2 description:phosphatidylinositol-3,4,5-trisphosphate-dependent Rac exchange factor 2 [Source:MGI Symbol;Acc:MGI:1923385] XPSLELDPKLGWSLDLFSLSLFSIFVPAVLLDRDSSGSEF >ENSMUSP00000101866.2 pep:known chromosome:GRCm38:11:119267887:119285454:1 gene:ENSMUSG00000025579.14 transcript:ENSMUST00000106259.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gaa description:glucosidase, alpha, acid [Source:MGI Symbol;Acc:MGI:95609] MNIRKPLCSNSVVGACTLISLTTAVILGHLMLRELMLLPQDLHESSSGLWKTYRPHHQEG YKPGPLHIQEQTEQPKEAPTQCDVPPSSRFDCAPDKGISQEQCEARGCCYVPAGQVLKEP QIGQPWCFFPPSYPSYRLENLSSTESGYTATLTRTSPTFFPKDVLTLQLEVLMETDSRLH FKIKDPASKRYEVPLETPRVLSQAPSPLYSVEFSEEPFGVIVRRKLGGRVLLNTTVAPLF FADQFLQLSTSLPSQHITGLGEHLSPLMLSTDWARITLWNRDTPPSQGTNLYGSHPFYLA LEDGGLAHGVFLLNSNAMDVILQPSPALTWRSTGGILDVYVFLGPEPKSVVQQYLDVVGY PFMPPYWGLGFHLCRWGYSSTAIVRQVVENMTRTHFPLDVQWNDLDYMDARRDFTFNQDS FADFPDMVRELHQDGRRYMMIVDPAISSAGPAGSYRPYDEGLRRGVFITNETGQPLIGKV WPGTTAFPDFTNPETLDWWQDMVSEFHAQVPFDGMWLDMNEPSNFVRGSQQGCPNNELEN PPYVPGVVGGILQAATICASSHQFLSTHYNLHNLYGLTEAIASSRALVKTRGTRPFVISR STFSGHGRYAGHWTGDVRSSWEHLAYSVPDILQFNLLGVPLVGADICGFIGDTSEELCVR WTQLGAFYPFMRNHNDLNSVPQEPYRFSETAQQAMRKAFALRYALLPYLYTLFHRAHVRG DTVARPLFLEFPEDPSTWSVDRQLLWGPALLITPVLEPGKTEVTGYFPKGTWYNMQMVSV DSLGTLPSPSSASSFRSAVQSKGQWLTLEAPLDTINVHLREGYIIPLQGPSLTTTESRKQ PMALAVALTASGEADGELFWDDGESLAVLERGAYTLVTFSAKNNTIVNKLVRVTKEGAEL QLREVTVLGVATAPTQVLSNGIPVSNFTYSPDNKSLAIPVSLLMGELFQISWS >ENSMUSP00000026666.6 pep:known chromosome:GRCm38:11:119268026:119285454:1 gene:ENSMUSG00000025579.14 transcript:ENSMUST00000026666.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gaa description:glucosidase, alpha, acid [Source:MGI Symbol;Acc:MGI:95609] MNIRKPLCSNSVVGACTLISLTTAVILGHLMLRELMLLPQDLHESSSGLWKTYRPHHQEG YKPGPLHIQEQTEQPKEAPTQCDVPPSSRFDCAPDKGISQEQCEARGCCYVPAGQVLKEP QIGQPWCFFPPSYPSYRLENLSSTESGYTATLTRTSPTFFPKDVLTLQLEVLMETDSRLH FKIKDPASKRYEVPLETPRVLSQAPSPLYSVEFSEEPFGVIVRRKLGGRVLLNTTVAPLF FADQFLQLSTSLPSQHITGLGEHLSPLMLSTDWARITLWNRDTPPSQGTNLYGSHPFYLA LEDGGLAHGVFLLNSNAMDVILQPSPALTWRSTGGILDVYVFLGPEPKSVVQQYLDVVGY PFMPPYWGLGFHLCRWGYSSTAIVRQVVENMTRTHFPLDVQWNDLDYMDARRDFTFNQDS FADFPDMVRELHQDGRRYMMIVDPAISSAGPAGSYRPYDEGLRRGVFITNETGQPLIGKV WPGTTAFPDFTNPETLDWWQDMVSEFHAQVPFDGMWLDMNEPSNFVRGSQQGCPNNELEN PPYVPGVVGGILQAATICASSHQFLSTHYNLHNLYGLTEAIASSRALVKTRGTRPFVISR STFSGHGRYAGHWTGDVRSSWEHLAYSVPDILQFNLLGVPLVGADICGFIGDTSEELCVR WTQLGAFYPFMRNHNDLNSVPQEPYRFSETAQQAMRKAFALRYALLPYLYTLFHRAHVRG DTVARPLFLEFPEDPSTWSVDRQLLWGPALLITPVLEPGKTEVTGYFPKGTWYNMQMVSV DSLGTLPSPSSASSFRSAVQSKGQWLTLEAPLDTINVHLREGYIIPLQGPSLTTTESRKQ PMALAVALTASGEADGELFWDDGESLAVLERGAYTLVTFSAKNNTIVNKLVRVTKEGAEL QLREVTVLGVATAPTQVLSNGIPVSNFTYSPDNKSLAIPVSLLMGELFQISWS >ENSMUSP00000101865.1 pep:known chromosome:GRCm38:11:119268028:119273452:1 gene:ENSMUSG00000025579.14 transcript:ENSMUST00000106258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gaa description:glucosidase, alpha, acid [Source:MGI Symbol;Acc:MGI:95609] MNIRKPLCSNSVVGACTLISLTTAVILGHLMLRELMLLPQDLHESSSGLWKTYRPHHQEG YKPGPLHIQEQTEQPKEAPTQCDVPPSSRFDCAPDKGISQEQCEARGCCYVPAGQVLKEP QIGQPWCFFPPSYPSYRLENLSSTESGYTATLTRTSPTFFPKDVLTLQLEVLMETDSRLH FKIKDPASKRYEVPLETPRVLSQAPSPLYSVEFSEEPFGVIVRRKLGGRVL >ENSMUSP00000119702.1 pep:known chromosome:GRCm38:11:119274568:119278326:1 gene:ENSMUSG00000025579.14 transcript:ENSMUST00000132706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gaa description:glucosidase, alpha, acid [Source:MGI Symbol;Acc:MGI:95609] ATLHPQVESPICGALVPEPKHFFPDVILQPSPALTWRSTGGILDVYVFLGPEPKSVVQQY LDVVGYPFMPPYWGLGFHLCRWGYSSTAIVRQVVENMTRTHFPLDVQWNDLDYMDARRDF TFNQDSFADFPDMVRELHQDGRRYMMIVDPAISSAGPAGSYRPYDEGLRRGVFITNETGQ PLIGKVWPGTTAFPDFTNPETLDWWQDMVSEFHAQVPFDGMWLDMNEPSNFVRGSQQGCP NNELENPPYVPGVVGGILQAATICASSHQFLS >ENSMUSP00000115128.1 pep:known chromosome:GRCm38:11:119274856:119275620:1 gene:ENSMUSG00000025579.14 transcript:ENSMUST00000150562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gaa description:glucosidase, alpha, acid [Source:MGI Symbol;Acc:MGI:95609] XYPFMPPYWGLGFHLCRWGYSSTAIVRQVVENMTRTHFPLDVQWNDLDYMDARRDFTFNQ DSFADFPDMVRELHQDGRRYMMIVAGSAELLCLKACGRGRNELVLS >ENSMUSP00000121984.1 pep:known chromosome:GRCm38:11:119277714:119281435:1 gene:ENSMUSG00000025579.14 transcript:ENSMUST00000143288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gaa description:glucosidase, alpha, acid [Source:MGI Symbol;Acc:MGI:95609] XFVRGSQQGCPNNELENPPYVPGVVGGILQAATICASSHQFLSTHYNLHNLYGLTEAIAS SRALVKTRGTRPFVISRSTFSGHGRYAGHWTGDVRSSWEHLAYSVPDILQFNLLGVPLVG ADICGFIGDTSEELCVRWTQLGAFYPFMRNHNDLNSVPQEPYRFSETAQQAMRKAFALRY ALLPYLYTLFHRAHVRGDTVARPLFLE >ENSMUSP00000001497.7 pep:known chromosome:GRCm38:14:55754045:55758458:-1 gene:ENSMUSG00000022219.9 transcript:ENSMUST00000001497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cideb description:cell death-inducing DNA fragmentation factor, alpha subunit-like effector B [Source:MGI Symbol;Acc:MGI:1270844] MEYLSAFNPNGLLRSVSTVSSELSRRVWNSAPPPQRPFRVCDHKRTVRKGLTAASLQELL DKVLETLLLRGVLTLVLEEDGTAVDSEDFFQLLEDDTCLMVLEQGQSWSPKSGMLSYGLG REKPKHSKDIARITFDVYKQNPRDLFGSLNVKATFYGLYSMSCDFQGVGPKRVLRELLRW TSSLLQGLGHMLLGISSTLRHVVEGADRWQWHGQRHLHS >ENSMUSP00000116492.1 pep:known chromosome:GRCm38:1:63176825:63180486:1 gene:ENSMUSG00000025967.16 transcript:ENSMUST00000129339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1b2 description:eukaryotic translation elongation factor 1 beta 2 [Source:MGI Symbol;Acc:MGI:1929520] MGFGDLKTPAGLQVLNDYLADKSYIEGYVPSQADVAVFEAVSGPPPADLCHALRWYNHIK SYEKEKASLPGVKKSLGKYGPSSVEDTTGSGAADAKDDDDIDLFGSDDEEESEEAKKLRE ERLAQYESKKAKKPAVVAKSSILLDVKPWDDETDMTKLEECVRSIQADGLVWGSSKLVPV GYGIKKLQIQCVVEDDKVGTDMLEEQITAFEDYVQSMDVAAFNKI >ENSMUSP00000137881.1 pep:known chromosome:GRCm38:1:63177129:63179362:1 gene:ENSMUSG00000025967.16 transcript:ENSMUST00000142062.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eef1b2 description:eukaryotic translation elongation factor 1 beta 2 [Source:MGI Symbol;Acc:MGI:1929520] MGFGDLKTPAGLQVLNDYLADKSYIEGKGQPAGSEEIFGQVWP >ENSMUSP00000140895.1 pep:known chromosome:GRCm38:1:63177130:63179656:1 gene:ENSMUSG00000025967.16 transcript:ENSMUST00000188524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1b2 description:eukaryotic translation elongation factor 1 beta 2 [Source:MGI Symbol;Acc:MGI:1929520] MGFGDLKTPAGLQVLNDYLADKSYIEGLPGVKKSLGKYGPSSVEDTTGSGAADAKDDDDI DLFGSDDEEESEEAKKLREERLAQYESKKAKKPAVVAKSSILLDVKPWDDETDMTKLEEC VRSIQADGLVWGSSKLVPVGYGIKKLQIQCVVEDDKVGTDMLEEQITAFEDYVQSMDVAA FNKI >ENSMUSP00000137671.1 pep:known chromosome:GRCm38:1:63177145:63179568:1 gene:ENSMUSG00000025967.16 transcript:ENSMUST00000135877.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eef1b2 description:eukaryotic translation elongation factor 1 beta 2 [Source:MGI Symbol;Acc:MGI:1929520] MGFGDLKTPAGLQVCALVFVSLAGTCHHKQTWQYLKQFLVHHLLTCVMPYVGIITSSRMK KKRPACRE >ENSMUSP00000137835.1 pep:known chromosome:GRCm38:1:63177145:63179622:1 gene:ENSMUSG00000025967.16 transcript:ENSMUST00000027108.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eef1b2 description:eukaryotic translation elongation factor 1 beta 2 [Source:MGI Symbol;Acc:MGI:1929520] MGFGDLKTPAGLQVLNDYLADKSYIEGSVHLFLCHWQVRAITSRRGSI >ENSMUSP00000133545.1 pep:known chromosome:GRCm38:1:63177185:63178879:1 gene:ENSMUSG00000025967.16 transcript:ENSMUST00000174890.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eef1b2 description:eukaryotic translation elongation factor 1 beta 2 [Source:MGI Symbol;Acc:MGI:1929520] MGFGDLKTPAGLQVLNDYLADKSYIEGYVPSQADVAVFEAVSGPPPADLCHALRWYNHIK SYEKEKAR >ENSMUSP00000134341.1 pep:known chromosome:GRCm38:1:63177223:63178898:1 gene:ENSMUSG00000025967.16 transcript:ENSMUST00000126795.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eef1b2 description:eukaryotic translation elongation factor 1 beta 2 [Source:MGI Symbol;Acc:MGI:1929520] XDLKTPAGLQVLNDYLADKSYIEGYVPSQADVAVFEAVSGPPPADLCHALRWYNHIKSYE KEKARTGRTWTTAVHLSHTSLIMCFGPGGATAGV >ENSMUSP00000056872.8 pep:known chromosome:GRCm38:3:100468057:100489324:-1 gene:ENSMUSG00000044468.14 transcript:ENSMUST00000061455.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam46c description:family with sequence similarity 46, member C [Source:MGI Symbol;Acc:MGI:1921895] MAEEGSSTKDSESFSVLNWDQVSRLHEVLTEVVPIHGRGNFPTLEITLKDIVQTVRGRLE EAGINVQDVRLNGSAAGHVLVKDNGLGCKDLDLIFHVALPTEAEFQLVRDVVLCSLLNFL PEGVNKLKISPVTLKEAYVQKLVKVCTDTDRWSLISLSNKNGRNVELKFVDSIRRQFEFS VDSFQIILDSLLFFYDCSGNPISEHFHPTVIGESMYGDFEEAFDHLQNRLIATKNPEEIR GGGLLKYSNLLVRDFRPADQEEIKTLERYMCSRFFIDFPDILEQQRKLETYLQNHFSDEE RSKYDYLMILRRVVNESTVCLMGHERRQTLNLISLLALRVLAEQNIIPSATNVTCYYQPA PYVSDGNFNNYYIAHPPITYSQPYPTWLPCN >ENSMUSP00000019441.8 pep:known chromosome:GRCm38:14:55745693:55755500:1 gene:ENSMUSG00000019297.8 transcript:ENSMUST00000019441.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nop9 description:NOP9 nucleolar protein [Source:MGI Symbol;Acc:MGI:1915092] MGLGPRSAHKARRQFSGAGRRGRAARGSGRPPPGRDGYPRLPAAARAEQAPEALPHLSPE ALGYFRRALSALKVAPDAAEERELMARNILKEVEAQALALATNRTGSEMLQELLGFSPLK PLCRVWAALRPNLRFVACHRCGVHVLQSALLQLPRLLRRPAEAEEEEEEEEEGGPSQTLE ELVLGLAAEVCDDFLFFCGDTHGSFVVRTLLQVLGGTLLESERGKPRGSQSSETQRTSAR ECKPTDFEVPKTFLNRLQDLSACFLKDIAVFITDKISSFCLQVALQVLHQKLPQHCAHLC DAVIDYLSSRNSSADGSPLLLFLRDQTSSRLLEQVLLVLEAERLQRLYKDHFQGQLCSLA EHPIANFPLQRLLDAITSPELLSLVFEELSPALEMVLAQGHPGVVVALVEACRRVGTHQA QVLQLLFEAFHCAEPPSRQAACVPLFAALLAYEVYYELMEEEGAVPAEHQVEMATARALR EVTVLGSLLLQHLLYFSNPGLVLRSLSALTGPQLLTLAQSPAGSHVFDAILSSPSVTHKQ RRRVLKTLKGQYVALACSRHGSRVLDAIWSGAALGARKEIAAELGEKNQELIQDPFGHHV ARNVALTTFLKRREAWEQQQSTVAKRRRALSSILED >ENSMUSP00000031412.5 pep:known chromosome:GRCm38:5:121621026:121660479:-1 gene:ENSMUSG00000029456.12 transcript:ENSMUST00000031412.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad10 description:acyl-Coenzyme A dehydrogenase family, member 10 [Source:MGI Symbol;Acc:MGI:1919235] MLVRRLFQPSTLHWAWRTTALNHPLGRHQGGLRWTHSGGRSYRAVIFDTGGVLVPSPGTV AVGWEVQNHVPSGTIVKAFIRGGDSGPWIRFIKGEITTEHFLEEFGRLCSEIAKTSVPVS SYFSLLTSEQVTKQFPVMTQAISQIRAKGLQTAVLTNNFHLSSGESFLPLDRKQFDVVVE SCLEGICKPDPRIFQLCLQRLSLQPSEAIFLDDLGSNLKVAASLGIHTIKVDRPETAVKE LEALLGFPLHLGVPNTRPVRKTMAIPQDALEKYLKGLLGTHSTGPMELLQFDHGQSNPTY YIRLADRQLVLRKKPSGTLLPSAHAIEREFRIMKALANAGVPVPTVLDLCEDSSIIGTPF YLMEYCPGIIYKDPSLPGLEPSRREAIYTAMNQVLCRIHSVDLQATSLDSFGKQGDYIPR QVQTWTKQYRAAETSSIPAMERLIQWLPLHLPRQQRTTLVHGDFRLDNLIFHPEKAEVLA VLDWELSTLGDPFADVAYSCLAYYLPSSFPILRGFRDQDVTKLGIPTVEEYFRMYCLNMG IPPIDNWNFYMAFSFFRVAAILQGVYKRSLTGQASSATAQQSGKLTESMAELAWDFATKE GFRVFKEMPATKTLSRSYHAWAGPRSPRTPKGVRGHSTVAAASPSHEAKGGLVISPEGLS PAVRKLYEQLVQFIEQKVYPLEPELQRHQASADRWSPSPLIEDLKEKAKAEGLWNLFLPL ETDPEKKYGAGLTNVEYAHLCEVMGMSLYASEIFNCSAPDTGNMEILVRYGTEEQKARWL VPLLEGRIRSCFAMTEPQVASSDASNIEASIKEEDGCYVINGHKWWTSGILDPRCKLCVF MGKTDPQAPRHQQQSMLLVPMDSPGITVIRPLSVFGLEDPPGGHGEVRFKDVRVPKENIL LGPGRGFEIAQGRLGPGRIHHCMRLIGYSERALALMKTRVMSRTAFGKPLVEQGTILADI ARSRVEIEQARLLVLKAAHLMDVAGNKTAALDIAMIKMVVPSMAYHVIDRAIQAFGAAGL SSDYPLAQFFGWARALRFADGPDEVHQLTVAKMELKNQSRMQEPAVPRV >ENSMUSP00000107400.1 pep:known chromosome:GRCm38:5:121621028:121660514:-1 gene:ENSMUSG00000029456.12 transcript:ENSMUST00000111770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad10 description:acyl-Coenzyme A dehydrogenase family, member 10 [Source:MGI Symbol;Acc:MGI:1919235] MLVRRLFQPSTLHWAWRTTALNHPLGRHQGGLRWTHSGGRSYRAVIFDTGGVLVPSPGTV AVGWEVQNHVPSGTIVKAFIRGGDSGPWIRFIKGEITTEHFLEEFGRLCSEIAKTSVPVS SYFSLLTSEQVTKQFPVMTQAISQIRAKGLQTAVLTNNFHLSSGESFLPLDRKQFDVVVE SCLEGICKPDPRIFQLCLQRLSLQPSEAIFLDDLGSNLKVAASLGIHTIKVDRPETAVKE LEALLGFPLHLGVPNTRPVRKTMAIPQDALEKYLKGLLGTHSTGPMELLQFDHGQSNPTY YIRLADRQLVLRKKPSGTLLPSAHAIEREFRIMKALANAGVPVPTVLDLCEDSSIIGTPF YLMEYCPGIIYKDPSLPGLEPSRREAIYTAMNQVLCRIHSVDLQATSLDSFGKQGDYIPR QVQTWTKQYRAAETSSIPAMERLIQWLPLHLPRQQRTTLVHGDFRLDNLIFHPEKAEVLA VLDWELSTLGDPFADVAYSCLAYYLPSSFPILRGFRDQDVTKLGIPTVEEYFRMYCLNMG IPPIDNWNFYMAFSFFRVAAILQGVYKRSLTGQASSATAQQSGKLTESMAELAWDFATKE GFRVFKEMPATKTLSRSYHAWAGPRSPRTPKGVRGHSTVAAASPSHEAKGGLVISPEGLS PAVRKLYEQLVQFIEQKVYPLEPELQRHQASADRWSPSPLIEDLKEKAKAEGLWNLFLPL ETDPEKKYGAGLTNVEYAHLCEVMGMSLYASEIFNCSAPDTGNMEILVRYGTEEQKARWL VPLLEGRIRSCFAMTEPQVASSDASNIEASIKEEDGCYVINGHKWWTSGILDPRCKLCVF MGKTDPQAPRHQQQSMLLVPMDSPGITVIRPLSVFGLEDPPGGHGEVRFKDVRVPKENIL LGPGRGFEIAQGRLGPGRIHHCMRLIGYSERALALMKTRVMSRTAFGKPLVEQGTILADI ARSRVEIEQARLLVLKAAHLMDVAGNKTAALDIAMIKMVVPSMAYHVIDRAIQAFGAAGL SSDYPLAQFFGWARALRFADGPDEVHQLTVAKMELKNQSRMQEPAVPRV >ENSMUSP00000129517.1 pep:known chromosome:GRCm38:10:92775619:93081618:-1 gene:ENSMUSG00000020014.15 transcript:ENSMUST00000168110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap54 description:cilia and flagella associated protein 54 [Source:MGI Symbol;Acc:MGI:1922208] MASSRSSSSSSEESPDSETSVSPVHLPPTPPPTSTAVLKSPSESKSSSTDPPQCTHSEDS LPSAAFYGPLDSKNPLLASCEKEIRELLGFMKKKKALATSMEQKYEFHRRCATTLFNIWT KYAPRLPSNYYNEKLLKVGDSLCQIKEYKLALLQCYGRYLQEFIADFDEHKEDVNHFKTV FFPKGFGDETARLTFHALSGKNICNYQLVCESDANLQNEESVRQCLHILSSFRLIMQVAL PQEHLCWIIFNGTLYIYTICRKLMVIGQSSKALEFLLWASMCMESSVPLLSIRYLTWRAT LYTAVCQCHYDCQDGIHGEAFARRALAKIDELRQLELMSSSSSLETSRKYYREATIKMAV MIFKRGVFESRRKNKNVLRPKLRLNLKEAQSLPWPRTVTERLLDELLDSTSSRFLAVLEA LSDSNRRILQTGPLVTDEMELRDVVSELFMAGKELLILSNVRSNGKLDFPQTSLLEHVVE KRNALSVGASVRFAKLAFTYEEWGLFESLAGQLIHFLQKQDNPQSKKAEKDLILLLAVEP LINVKRNRGLIFPLETDKETQSIENYLKHIACHESCMRTTFTEDTFSLAAILHFCVCVPT QGVLPDKDIVVDIIGLLWQRCKLGIQRLNIPKNDFAKYSHKISTNKWVYLLWQISEVIHC YKLEDLDIVMVAEITLRLSEILESLGSPKRKFKKSADLSAKKGPDELPGTSKGVPEILPI LKRAPVEQLFYAYELLDKAIIGMSWKCMLTTLSDGSSVIDHCYVKDSQDVDGDTYKPIAS NSYTMDLHLELIQAQHRIAVVLLDQLEVLQAPTVSTNTPAKGWEKVKKPRSTECFTELTV MKKIKKNKLSKAIYLMQKALLLFEKDAVCETSRNLLMEANALIEKVEAEQNALYSYQKFL GSSKIKKSRIPPPPILLARTHCSVTLKPAPFISEVKASWYCILGCKADGSYGKVRLNNNH LPNSGEAIPADGRSFFEIKGLETNAQYCFAIAAYSSSGKLIGDAIGETTKPILIYPPLSA VTARMYLTQVAYQIGNYEMAKKVFSPVWDYFVASPLPDEQSVICLSNIMTITQKRLHSNI LADTSSILLYLFLRNIFVMSDIKIKEENLFCDNIKGNEIFPAQQVARLVECENVLVALEL SNFLNDANFALQAVTQCYGLLAPIIYHNIVLVPVIQILIKCIVVLQGIPSIIHSKKNISS FESIQHMIACCIFYMTKILRSWKEYDLAIMLINYGKKMLDITSGCRSLFGIEQEETAEEG VCSKKTSRTKKPQQVLLPEKINEQLALLETHLLKTTKQINSAELSGSEDPIFLYPIVLNW TVKGAMKEVMKFKQRPRFLEFFTQIMLKCMNDEKFHLMVEISAPVYDFLKRRNESLIGVK RIKYKETVISRRALKSPSKFKAIVIEIGKSSDLTRRRRKKKKTLKDFFYKNPSIFDMAEL DRNKRTDVRKMAYRSLSDNLNPLILTYVRRKRFHQILLEELPWRAQMNLYLANAHFHLFL QKLTERTKERLGSSYSSVSFRSCDPNLFSLFHSGTVLPTAKLTVDSYNAMMDALTVSKKK KHNQTTDTEDLSVLFNSKSDDNIPKMKTQTIYESDSQLGIGVNVREKDRTLVWGLDHFMK IFLCCRRAMVLAYRGGYWTLLQNCCRVFWNFSRELQILLKQTVASCKTFPVSQDNFLCIC VLPFYLGAELLIDMLIKLQSTNSIKPFEERGEFSIPSCYGNIKSDNGGSSLIFEHPLDDV NVVDLRWIHDFVLKSLEVLYQVEKWETLVSLAIQFNIISHERYTEQVTPLLVYAQRQLVQ RIKELNGPELSRQACARYEAENGEKITCRNFIGKRLKIDSSTPKNLAELQGSSEPLKTLI TSEQRLAKQLVSVPLDVNDTLRCFRETLEKSKYHNRSVRHSRKLLSLFLAQTQDVLQTSN QRSLKVQSLHSLGSLLLFADKKRAAFKCWSQALDDIFRKPDVLHNWKEFGTSLPGAPSSS SPPGFKDYSEEFLSKFGIWGCLQAAMITAKIAQFIKTANVKKRINCCILSALLFQSLLRT TLPHPKAERNYAQYEITQLLPGIELFSDKFRADICSVIASLYYVIRELHYAKYNLIVLPL LALYQYFVSVICQDIVRNLEARILKIEILIDLGFFSEAFYELFQIYHGKNMPCAIPAGYK ATMKVKITQSFDSGKPLTHKDNMQALEELINRGLPHILVNLGYQHLLNKFNFAKSHFFIS LAATINCIPDPSPKIMYYQFITERSKPTPQNLKDNENSHGQFLRLRDDYNLNTIKSILLM EAEEKVNSLLSETEHQCHRPLYLSSVLELEIMVEARLHLAAIALQRYRPAYSTAILYSTL KLLQDSKVFKKKVPEESCSPTSPETSTTESKDDSEFLDPISLNSREYFNIHLWLRCRLML VTSFIAQIRGIGIMKESELTDCLSLIDEVCTEAKSADDTEVLAEFLMQAVVLGLQEKHFK ADIIQKLKEIISLLEGSEFLSPRSWLTLAKSLILMDDLTKAEKFKKASSKENKLIFLNQA HRILIAQMLTFGETIEFPLSDSDYASPLQPLKNIYLPHVMLLAKVKLRIGHTMAKQVCSS SKKKDISKWLPVLHMFDMALKVCKATAAEEYEVEAEILFQKGRIERQMLMEEKTSIAHIE SFFEAIQISLKNDQNSGLIRDSYLEIALVYFYLKKPKRKASATTLKPLPRRHSSVKDPVA TQTEMYSSLAWIAIRAAAQVSESVLGINLLIGKKRAMIDKVNNITLPNIPEFATVDLLSS YTDYLLENYQVVFQTSNSLMCENDDVYDCIDGRKRNLSKVDVTWILLIRYYIHLQRINNM SKLLASATPVSGISLPDDTLLTSLYNSELILRQKEMHLFLKRFLQLYSSSCIDGFPRELL QGLENISLEKVLFESSGKVHRDSSLQSDLSGKLTVCPSYTEISSEMAVQALNKELCFQWY IPPLDKPLKDSEPMVLLLYAYNLKPLRILDIKVSTGNSLYVGTSWIPLRSVIAVHQKLSN LAQIAEISLPSVPEVTSEENIYETIEPEDKPIDTDLENMILECCSEIEALFSNNKDKDNE PPPPMTKVPFDVSLPAIFSLERLFDLANGCIVSVGSLFNWMVSIIQ >ENSMUSP00000127637.1 pep:known chromosome:GRCm38:10:92796753:92836346:-1 gene:ENSMUSG00000020014.15 transcript:ENSMUST00000163209.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap54 description:cilia and flagella associated protein 54 [Source:MGI Symbol;Acc:MGI:1922208] XKVLFESSGKVHRDSSLQSDLSGKLTVCPSYTEISSEMAVQALNKELCFQWYIPPLDKPL KDSEPMVLLLYAYNLKPLRILDIKVSTGNSLYVGTSWIPLRSVIAVHQKLSNLAQIAEIS LPSVPEVTSEENIYETIEPEDKPIDTDLENMILECCSEIEALFSNNKDKDNEPPPPMTKA TTLLLNN >ENSMUSP00000126955.1 pep:known chromosome:GRCm38:10:92972585:93034821:-1 gene:ENSMUSG00000020014.15 transcript:ENSMUST00000170065.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cfap54 description:cilia and flagella associated protein 54 [Source:MGI Symbol;Acc:MGI:1922208] WVYLLWQISEVIHCYKLEDLDIVMVAEITLRLSEILESLGSPKRKFKKSADLSAKKGPDE LPGTSKGVPEILPILKRAPVEQLFYAYELLDKAIIGMSWKCMLTTLSDGSSVIDHCYVKD SQDVDGDTYKPIASNSYTMDLHLELIQAQHRIAVVLLDQLEGSECLN >ENSMUSP00000127905.1 pep:known chromosome:GRCm38:10:93035419:93081596:-1 gene:ENSMUSG00000020014.15 transcript:ENSMUST00000168617.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap54 description:cilia and flagella associated protein 54 [Source:MGI Symbol;Acc:MGI:1922208] MASSRSSSSSSEESPDSETSVSPVHLPPTPPPTSTAVLKSPSESKSSSTDPPQCTHSEDS LPSAAFYGPLDSKNPLLASCEKEIRELLGFMKKKKALATSMEQKYEFHRRCATTLFNIWT KYAPRLPSNYYNEKLLKVGDSLCQIKFHALSGKNICNYQLVCESDANLQNEESVRQCLHI LSSFRLIMQVALPQEHLCWIIFNGTLYIYTICRKLMVIGQSSKALEFLLWASMCMESSVP LLSIRYLTWRATLYTAVCQCHYDCQDGIHGEAFARRALAKIDELRQLELMSSSSSLETSR KYYREATIKMAVMIFKRGVFESRRKNKNVLRPKLRLNLKEAQSLPWPRTVTERLLDELLD STSSRFLAVLEALSDSNRRILQTGPLVTDEMELRDVVSELFMAGKELLILSNVRSNGKLD FPQTSLLEHVVEKRNALSVGASVRFAKLAFTYEEWGLFESLAGQLIHFLQKQDNPQSKKA EKDLILLLAVEPLINVKRNRGLIFPLETDKETQSIENYLKHIACHESCMRTTFTEDTFSL AAILHFCVCVPTQGVLPDKDIVVDIIGLLWQRCKLGIQRLNIPKNDFAKYSHKISTNK >ENSMUSP00000129650.1 pep:known chromosome:GRCm38:10:92917290:92940297:-1 gene:ENSMUSG00000020014.15 transcript:ENSMUST00000164979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap54 description:cilia and flagella associated protein 54 [Source:MGI Symbol;Acc:MGI:1922208] GKVEFCLGTEEMHMPTPPDLSQENFRVFSSVEKSKLPSSQLGLVISSYYQTIDVLQTSNQ RSLKVQSLHSLGSLLLFADKKRAAFKCWSQALDDIFRKPDVLHNWKEFGTSLPGAPSSSS PPGFKDYSEEFLSKFGIWGCLQAAMITAKIAQFIKTANVKKRINCCILSALLFQSLLRTT LPHPKAERNYAQYEITQLLPGIELFSDKFRADICSVIASLYYVIRELHYAKYNLIVLPLL ALYQYFVSVICQDIVRNLEARILKIEILIDLGFFSEAFYELFQIYHGKNMPCAIPAGYKA TMKVKLLPRGFCPV >ENSMUSP00000020200.7 pep:known chromosome:GRCm38:10:93028470:93081618:-1 gene:ENSMUSG00000020014.15 transcript:ENSMUST00000020200.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap54 description:cilia and flagella associated protein 54 [Source:MGI Symbol;Acc:MGI:1922208] MASSRSSSSSSEESPDSETSVSPVHLPPTPPPTSTAVLKSPSESKSSSTDPPQCTHSEDS LPSAAFYGPLDSKNPLLASCEKEIRELLGFMKKKKALATSMEQKYEFHRRCATTLFNIWT KYAPRLPSNYYNEKLLKVGDSLCQIKEYKLALLQCYGRYLQEFIADFDEHKEDVNHFKTV FFPKGFGDETARLTFHALSGKNICNYQLVCESDANLQNEESVRQCLHILSSFRLIMQVAL PQEHLCWIIFNGTLYIYTICRKLMVIGQSSKALEFLLWASMCMESSVPLLSIRYLTWRAT LYTAVCQCHYDCQDGIHGEAFARRALAKIDELRQLELMSSSSSLETSRKYYREATIKMAV MIFKRGVFESRRKNKNVLRPKLRLNLKEAQSLPWPRTVTERLLDELLDSTSSRFLAVLEA LSDSNRRILQTGPLVTDEMELRDVVSELFMAGKELLILSNVRSNGKLDFPQTSLLEHVVE KRNALSVGASVRFAKLAFTYEEWGLFESLAGQLIHFLQKQDNPQSKKAEKDLILLLAVEP LINVKRNRGLIFPLETDKETQSIENYLKHIACHESCMRTTFTEDTFSLAAILHFCVCVPT QGVLPDKDIVVDIIGLLWQRCKLGIQRLNIPKNDFAKYSHKISTNKWVYLLWQISEVIHC YKLEDLDIVMVAEITLRLSEILESLGSPKRKFKKSADLSAKKGPDELPGTSKGVPEILPI LKRAPVEQLFYAYELLDKAIIGMSWKCMLTTLSDGSSVIDHCYVKDSQDVDGDTYKPIAS NSYTMDLHLELIQAQHRIAVVLLDQLEGSFILFSSD >ENSMUSP00000127112.1 pep:known chromosome:GRCm38:6:137252334:137463227:1 gene:ENSMUSG00000030223.14 transcript:ENSMUST00000167679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpro description:protein tyrosine phosphatase, receptor type, O [Source:MGI Symbol;Acc:MGI:1097152] MGHLPRGTLGGRRLLPLLGLFVLLKIVTTFHVAVQDDNNIVVSLEASDIVSPASVYVVRV AGESKNYFFEFEEFNSTLPPPVVFKATYHGLYYIITLVVVNGNVVTKPSRSITVLTKPLP VTSVSIYDYKPSPETGVLFEIHYPEKYNVFSRVNISYWEGRDFRTMLYKDFFKGKTVFNH WLPGLCYSNITFQLVSEATFNKSTLVEYSGVSHEPKQHRTAPYPPRNISVRFVNLNKNNW EEPSGSFPEDSFIKPPQDSIGRDRRFHFPEETPETPPSNVSSGSPPSNVSSAWPDPNSTD YESTSQPFWWDSASAAPENEEDFVSALPADYDTETTLDRTEKPTADPFSAFPVQMTLSWL PPKPPTAFDGFNILIEREENFTDYLTVDEEAHEFVAELKEPGKYKLSVTTFSSSGACETR KSQSAKSLSFYISPTGEWIEELTEKPQHVSVHVLSSTTALMSWTSSQENYNSTIVSVVSL TCQKQKESQRLEKQYCTQVNSSKPVIENLVPGAQYQVVMYLRKGPLIGPPSDPVTFAIVP TGIKDLMLYPLGPTAVVLSWTRPILGVFRKYVVEMFYFNPTTMTSEWTTYYEIAATVSLT ASVRIASLLPAWYYNFRVTMVTWGDPELSCCDSSTISFITAPVAPEITSVEYFNSLLYIS WTYGDATTDLSHSRMLHWMVVAEGRKKIKKSVTRNVMTAILSLPPGDIYNLSVTACTERG SNTSLPRLVKLEPAPPKSLFAVNKTQTSVTLLWVEEGVADFFEVFCQQLGSGHNGKLQEP VAVSSHVVTISSLLPATAYNCSVTSFSHDTPSVPTFIAVSTMVTEVNPNVVVISVLAILS TLLIGLLLVTLVILRKKHLQMARECGAGTFVNFASLEREGKLPYSWSKNGLKKRKLTNPV QLDDFDSYIKDMAKDSDYKFSLQFEELKLIGLDIPHFAADLPLNRCKNRYTNILPYDFSR VRLVSMNEEEGADYINANYIPGYNSPQEYIATQGPLPETRNDFWKMVLQQKSHIIVMLTQ CNEKRRVKCDHYWPFTEEPIAYGDITVEMVSEEEEEDWASRHFRINYADEAQDVMHFNYT AWPDHGVPPANAAESILQFVFTVRQQAAKSKGPMIIHCSAGVGRTGTFIALDRLLQHIRD HEFVDILGLVSEMRSYRMSMVQTEEQYIFIHQCVQLMWLRKKQQFCISDVIYENVSKS >ENSMUSP00000076364.6 pep:known chromosome:GRCm38:6:137252465:137463227:1 gene:ENSMUSG00000030223.14 transcript:ENSMUST00000077115.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpro description:protein tyrosine phosphatase, receptor type, O [Source:MGI Symbol;Acc:MGI:1097152] MGHLPRGTLGGRRLLPLLGLFVLLKIVTTFHVAVQDDNNIVVSLEASDIVSPASVYVVRV AGESKNYFFEFEEFNSTLPPPVVFKATYHGLYYIITLVVVNGNVVTKPSRSITVLTKPLP VTSVSIYDYKPSPETGVLFEIHYPEKYNVFSRVNISYWEGRDFRTMLYKDFFKGKTVFNH WLPGLCYSNITFQLVSEATFNKSTLVEYSGVSHEPKQHRTAPYPPRNISVRFVNLNKNNW EEPSGSFPEDSFIKPPQDSIGRDRRFHFPEETPETPPSNVSSGSPPSNVSSAWPDPNSTD YESTSQPFWWDSASAAPENEEDFVSALPADYDTETTLDRTEKPTADPFSAFPVQMTLSWL PPKPPTAFDGFNILIEREENFTDYLTVDEEAHEFVAELKEPGKYKLSVTTFSSSGACETR KSQSAKSLSFYISPTGEWIEELTEKPQHVSVHVLSSTTALMSWTSSQENYNSTIVSVVSL TCQKQKESQRLEKQYCTQVNSSKPVIENLVPGAQYQVVMYLRKGPLIGPPSDPVTFAIVP TGIKDLMLYPLGPTAVVLSWTRPILGVFRKYVVEMFYFNPTTMTSEWTTYYEIAATVSLT ASVRIASLLPAWYYNFRVTMVTWGDPELSCCDSSTISFITAPVAPEITSVEYFNSLLYIS WTYGDATTDLSHSRMLHWMVVAEGRKKIKKSVTRNVMTAILSLPPGDIYNLSVTACTERG SNTSLPRLVKLEPAPPKSLFAVNKTQTSVTLLWVEEGVADFFEVFCQQLGSGHNGKLQEP VAVSSHVVTISSLLPATAYNCSVTSFSHDTPSVPTFIAVSTMVTEVNPNVVVISVLAILS TLLIGLLLVTLVILRKKHLQMARECGAGTFVNFASLEREGKLPYSWRRSVFALLTLLPSC LWTDYLLAFYINPWSKNGLKKRKLTNPVQLDDFDSYIKDMAKDSDYKFSLQFEELKLIGL DIPHFAADLPLNRCKNRYTNILPYDFSRVRLVSMNEEEGADYINANYIPGYNSPQEYIAT QGPLPETRNDFWKMVLQQKSHIIVMLTQCNEKRRVKCDHYWPFTEEPIAYGDITVEMVSE EEEEDWASRHFRINYADEAQDVMHFNYTAWPDHGVPPANAAESILQFVFTVRQQAAKSKG PMIIHCSAGVGRTGTFIALDRLLQHIRDHEFVDILGLVSEMRSYRMSMVQTEEQYIFIHQ CVQLMWLRKKQQFCISDVIYENVSKS >ENSMUSP00000131764.1 pep:known chromosome:GRCm38:6:137410721:137463233:1 gene:ENSMUSG00000030223.14 transcript:ENSMUST00000167002.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpro description:protein tyrosine phosphatase, receptor type, O [Source:MGI Symbol;Acc:MGI:1097152] MVTEVNPNVVVISVLAILSTLLIGLLLVTLVILRKKHLQMARECGAGTFVNFASLEREGK LPYSWRRSVFALLTLLPSCLWTDYLLAFYINPWSKNGLKKRKLTNPVQLDDFDSYIKDMA KDSDYKFSLQFEELKLIGLDIPHFAADLPLNRCKNRYTNILPYDFSRVRLVSMNEEEGAD YINANYIPGYNSPQEYIATQGPLPETRNDFWKMVLQQKSHIIVMLTQCNEKRRVKCDHYW PFTEEPIAYGDITVEMVSEEEEEDWASRHFRINYADEAQDVMHFNYTAWPDHGVPPANAA ESILQFVFTVRQQAAKSKGPMIIHCSAGVGRTGTFIALDRLLQHIRDHEFVDILGLVSEM RSYRMSMVQTEEQYIFIHQCVQLMWLRKKQQFCISDVIYENVSKS >ENSMUSP00000144870.1 pep:known chromosome:GRCm38:6:137410737:137463233:1 gene:ENSMUSG00000030223.14 transcript:ENSMUST00000203914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpro description:protein tyrosine phosphatase, receptor type, O [Source:MGI Symbol;Acc:MGI:1097152] MVTEVNPNVVVISVLAILSTLLIGLLLVTLVILRKKHLQMARECGAGTFVNFASLEREGK LPYSWSKNGLKKRKLTNPVQLDDFDSYIKDMAKDSDYKFSLQFEELKLIGLDIPHFAADL PLNRCKNRYTNILPYDFSRVRLVSMNEEEGADYINANYIPGYNSPQEYIATQGPLPETRN DFWKMVLQQKSHIIVMLTQCNEKRRVKCDHYWPFTEEPIAYGDITVEMVSEEEEEDWASR HFRINYADEAQDVMHFNYTAWPDHGVPPANAAESILQFVFTVRQQAAKSKGPMIIHCSAG VGRTGTFIALDRLLQHIRDHEFVDILGLVSEMRSYRMSMVQTEEQYIFIHQCVQLMWLRK KQQFCISDVIYENVSKS >ENSMUSP00000102368.1 pep:known chromosome:GRCm38:11:107166663:107189381:-1 gene:ENSMUSG00000018433.14 transcript:ENSMUST00000106757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol11 description:nucleolar protein 11 [Source:MGI Symbol;Acc:MGI:1916229] MATLEEEFTLSTGVLGAGPEGFLGVEQTDKADQFLVTDSGRTVVLYKVSDQKPLGSWSVK QGQSITCPAVCNFQTGEYIMVHDHKVLRIWNNDDVNVDKVFKATLSAEVHRIHSVQRTEP LVLFRGGAARGLEALLVEPQQNIESVIPDEEVIVWSEVFMLFKQPVLIFITENHGHYYAY VRLCKSHSLSKYTLLLEKEEKSVKPNFTARVDGKFISLVSLSSDGCIYETLIPIYSSDTE QNQRLVRALMLKSVVSGGVRNGVALTILDQDHIAVLGPPLSASKECLSIWNIKFQTLQTS KELPQGTSGQLWYHGEVLFMLHGKSLTVIPYKCEESSLAGALGKLKHTQESGTHSVPHFV NWETCSGYELGSSGAEQSRTLRRKKVETNLQPEVPGFKQLLSIIKKDSEKHIEVELRKFL AKSTPAFHTIIGDLVAGLVGRCKAEPSFYPRNCLTQLIQTHVLSYSLCPDLMEIALEHTD VQMLQLCLQQFPDIPESTTCACLKLFLSDSTQDEKKEMEEQIEIVQNGFGPEDGNCSEDS QQLNDKPADTAHEPGSFPVTSCPVAPKRAALLNAVLHSAYSEPFLLPHLKDIPAKHVTLF LQYLYFLYLKCTGSATMTLPGVNPPTVSQIMDWICLLLDANFTVLLMIPEAKRLLLILYN FVKSQISIYSELNKIAVSFRELQRLNREKSSRGLYSIEVLELF >ENSMUSP00000018577.7 pep:known chromosome:GRCm38:11:107166663:107189381:-1 gene:ENSMUSG00000018433.14 transcript:ENSMUST00000018577.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol11 description:nucleolar protein 11 [Source:MGI Symbol;Acc:MGI:1916229] MATLEEEFTLSTGVLGAGPEGFLGVEQTDKADQFLVTDSGRTVVLYKVSDQKPLGSWSVK QGQSITCPAVCNFQTGEYIMVHDHKVLRIWNNDDVNVDKVFKATLSAEVHRIHSVQRTEP LVLFRGGAARGLEALLVEPQQNIESVIPDEEVIVWSEVFMLFKQPVLIFITENHGHYYAY VRLCKSHSLSKYTLLLEKEEKSVKPNFTARVDGKFISLVSLSSDGCIYETLIPIYSSDTE QNQRLVRALMLKSVVSGGVRNGVALTILDQDHIAVLGPPLSASKECLSIWNIKFQTLQTS KELPQGTSGQLWYHGEVLFMLHGKSLTVIPYKCEESSLAGALGKLKHTQESGTHSVPHFV NWETCSGYELGSSGAEQSRTLRRKKVETNLQPEVPGFKQLLSIIKKDSEKHIEVELRKFL AKSTPAFHTIIGDLVAGLVGRCKAEPSFYPRNCLTQLIQTHVLSYSLCPDLMEIALEHTD VQMLQLCLQQFPDIPESTTCACLKLFLSVGDDCLRDSNINMESVFDYSDSTQDEKKEMEE QIEIVQNGFGPEDGNCSEDSQQLNDKPADTAHEPGSFPVTSCPVAPKRAALLNAVLHSAY SEPFLLPHLKDIPAKHVTLFLQYLYFLYLKCTGSATMTLPGVNPPTVSQIMDWICLLLDA NFTVLLMIPEAKRLLLILYNFVKSQISIYSELNKIAVSFRELQRLNREKSSRGLYSIEVL ELF >ENSMUSP00000133164.1 pep:known chromosome:GRCm38:14:19563801:19585135:-1 gene:ENSMUSG00000093979.7 transcript:ENSMUST00000170694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2237 description:predicted gene 2237 [Source:MGI Symbol;Acc:MGI:3780407] MFSWLLRLFQKENVDEGETRPTEKEEGILSHEKGRRKWFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNSSPAESRTWH >ENSMUSP00000108214.2 pep:known chromosome:GRCm38:14:19564906:19569553:-1 gene:ENSMUSG00000093979.7 transcript:ENSMUST00000112595.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2237 description:predicted gene 2237 [Source:MGI Symbol;Acc:MGI:3780407] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSSPAESRTWH >ENSMUSP00000113205.1 pep:known chromosome:GRCm38:10:75943057:75949637:1 gene:ENSMUSG00000000903.3 transcript:ENSMUST00000121151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vpreb3 description:pre-B lymphocyte gene 3 [Source:MGI Symbol;Acc:MGI:98938] MASHKWAQSIGPMVHAFFMKPAPGFFQPTLTQPDAFSVFPGQDAHLSCTINSQHATAGDI GVSWYQQQPGSAPHLLYYYAEEEHYRPADIPDRFSATVDAAHNACILTISPVLPEDDADY FCSIAHTFEP >ENSMUSP00000000926.2 pep:known chromosome:GRCm38:10:75948300:75949657:1 gene:ENSMUSG00000000903.3 transcript:ENSMUST00000000926.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vpreb3 description:pre-B lymphocyte gene 3 [Source:MGI Symbol;Acc:MGI:98938] MACPGCLPLLLIGTFVAVFQPTLTQPDAFSVFPGQDAHLSCTINSQHATAGDIGVSWYQQ QPGSAPHLLYYYAEEEHYRPADIPDRFSATVDAAHNACILTISPVLPEDDADYFCSIAHT FEP >ENSMUSP00000031388.8 pep:known chromosome:GRCm38:5:123528659:123573038:-1 gene:ENSMUSG00000029434.12 transcript:ENSMUST00000031388.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps33a description:vacuolar protein sorting 33A (yeast) [Source:MGI Symbol;Acc:MGI:1924823] MAAHLSYGRVNLNVLREAVRRELREFLDKCAGSKAIVWDEYLTGPFGLIAQYSLLKEHEV EKMFTLKGSRLPAADVKNIIFLVRPRLELMDIIAENVLSEDRRGPTRDFHILFVPRRSLL CEQRLKDLGVLGSFIHREEYSLDLIPFDGDLLSMESEGAFKECYLEGDQTSLYHAAKGLM TLQALYGTIPQIFGKGECARQVANMMVRMKREFTGSQNSVFPVFDNLLLLDRNVDLLTPL ASQLTYEGLIDEIYGIQNSYVKLPPEKFAPKKQGGGSGGKDLPTEAKKLQLNSAEELYAE IRDKNFNAVGSVLSKKAKIISAAFEERHNAKTVGEIKQFVSQLPHMQAARGSLANHTSIA ELIKDVTTSEDFFDKLTVEQEFMSGIDTDKVNSYIEDCIAQKHPLIKVLRLVCLQSVCNS GLKQKVLDYYRREILQTYGYEHILTLNNLEKAGLLKAQTGGRNNYPTIRKTLRLWMDDVN EQNPTDISYVYSGYAPLSVRLAQLLSRPGWRSIEEVLRILPGPHFEERQPLPTGLQKKRQ PGENRVTLVFFLGGVTFAEIAALRFLSQLEDGGTEYVIATTKLMNGNSWIEALMEKPF >ENSMUSP00000142813.1 pep:known chromosome:GRCm38:5:123565062:123572979:-1 gene:ENSMUSG00000029434.12 transcript:ENSMUST00000197467.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps33a description:vacuolar protein sorting 33A (yeast) [Source:MGI Symbol;Acc:MGI:1924823] XAAHLSYGRVNLNVLREAVRRELREFLDKCAGSKAIVWDEYLTGPFGLIAQYSLLKEHEV EKMFTLKGSRLPAADVKNIIFLVRPRLELMDIIAENVLRMVGACVEVRRRL >ENSMUSP00000059102.7 pep:known chromosome:GRCm38:17:26715650:26776635:1 gene:ENSMUSG00000048249.14 transcript:ENSMUST00000062519.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebrf description:CREB3 regulatory factor [Source:MGI Symbol;Acc:MGI:1924378] MPQPSVSGMDPPFGDAFRSHTFSEQTLMSTDLLANSSDPDFMYELDREMNYQQNPRDNFL SLEDCKDIENLETFTDVLDNEDALTSNWEQWDTYCEDLTKYTKLTSCDIWGTKEVDYLGL DDFSSPYQDEEVISKTPTLAQLNSEDSQSVSDSLYYPDSLFSVKQNPLPPSSFPSKKITN RAAAPVCSSKTLQAEVPSSDCVQKASKPTSSTQIMVKTNMYHNEKVNFHVECKDYVKKAK VKINPVQQGRPLLSQVHIDAAKENTCYCGAVAKRQERRGVEPHQGRGTPALPFKETQELL LSPLTQDSPGLVATAESGSLSASTSVSDSSQKKEEHNYSLFVSDNMREQPTKYSPEDDED DEDEFDDEDHDEGFGSEHELSENEEEEEEEEDYEDDRDDDISDTFSEPGYENDSVEDLKE MTSISSRKRGKRRYFWEYSEQLTPSQQERILRPSEWNRDTLPSNMYQKNGLHHGKYAVKK SRRTDVEDLTPNPKKLLQIGNELRKLNKVISDLTPVSELPLTARPRSRKEKNKLASRACR LKKKAQYEANKVKLWGLNTEYDNLLFVINSIKQDIVNRVQNPREEREPSMGQKLEILIKD TLGLPVAGQTSEFVNQVLGKTAEGNPTGGLVGLRIPASKV >ENSMUSP00000120212.1 pep:known chromosome:GRCm38:17:26715655:26742959:1 gene:ENSMUSG00000048249.14 transcript:ENSMUST00000144221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebrf description:CREB3 regulatory factor [Source:MGI Symbol;Acc:MGI:1924378] MPQPSVSGMDPPFGDAFRSHTFSEQTLMSTDLLANSSDPDFMYELDREMNYQQNPRDNFL SLEDCKDIENLETFTDVLDNEDALTSNWEQWDTYCEDLTKYTKLTSCDIWGTKEVDYLGL DDFSSPYQDEEVISKTPTLAQLNSEDSQSVSDSLYYPDSLFSVKQNPLPPSSFPSKKITN RAAAPVCSSKTLQAEVPSSDCVQKASKPTSSTQIMVKTNMYHNEKVNFHVECKDYVKKAK VKINPVQQGRPLLSQVHIDAAKENTCYCGAVAKRQERRGVEPHQGRGTPALPFKETQELL LSPLTQDSPGLVATAESGSLSASTSVSDSSQKKEEHNYSLFVSDNMREQPT >ENSMUSP00000114274.1 pep:known chromosome:GRCm38:17:26715710:26764259:1 gene:ENSMUSG00000048249.14 transcript:ENSMUST00000142539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebrf description:CREB3 regulatory factor [Source:MGI Symbol;Acc:MGI:1924378] MDPPFGDAFRSHTFSEQTLMSTDLLANSSDPDFMYELDREMNYQQNPRDNFLSLEDCKDI ENLETFTDVLDNEDALTSNWEQWDTYCEDLTKYTKLTSCDIWGTKEVDYLGLDDFSSPYQ DEEVISKTPTLAQLNSEDSQSVSDSLYYPDSLFSVKQNPLPPSSFPSKKITNRAAAPVCS SKTLQAEVPSSDCVQKASKPTSSTQIMVKTNMYHNEKVNFHVECKDYVKKAKVKINPVQQ GRPLLSQVHIDAAKENTCYCGAVAKRQERRGVEPHQGRGTPALPFKETQELLLSPLTQDS PGLVATAESGSLSASTSVSDSSQKKEEHNYSLFVSDNMREQPTKYSPEDDEDDEDEFDDE DHDEGFGSEHELSENEEEEEEEEDYEDDRDDDISDTFSEPGYENDSVEDLKEMTSISSRK RGKRRYFWEYSEQLTPSQQERILRPSEWNRDTLPSNMYQKNGLHHGKYAVKKSRRTDVED LTPNPKKLLQIGNELRKLNKVISDLTPVSELPLTARPRSRKEKNKLASRACRLKKKAQYE ANKVKLWGLNTEYDNLLFVINSIKQDIVNRVQNPREEREPSMGQKLEILIKDTLGKESTV RDRQQLMMYSARVKEELLPSPCLPHLPPPLGRDLSSSAFSQGSNKLAYLTSHP >ENSMUSP00000119186.1 pep:known chromosome:GRCm38:17:26715724:26762298:1 gene:ENSMUSG00000048249.14 transcript:ENSMUST00000151681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crebrf description:CREB3 regulatory factor [Source:MGI Symbol;Acc:MGI:1924378] MTSISSRKRGKRRYFWEYSEQLTPSQQERILRPSEWNRDTLPSNMYQKNGLHHGKYAVKK SRRTDVEDLTPNPKKLLQIGNELRKLNKVISDLTPVSELPLTARPRSRKEKNKLASRACR LKKKAQYEANKVKLWGL >ENSMUSP00000022386.8 pep:known chromosome:GRCm38:14:46882854:47103150:1 gene:ENSMUSG00000021838.16 transcript:ENSMUST00000022386.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd4 description:sterile alpha motif domain containing 4 [Source:MGI Symbol;Acc:MGI:1921730] MMFRDQVGVLAGWFKGWNECEQTVALLSLLKRVSQTQARFLQLCLEHSLADCAELHVLEG EANSPGIINQWQQESKDKVISLLLTHLPLLKPGNLDAKAEYMKLLPKILAHSIEHNQHIE ESRQLLSYALIHPATSLEDRSALAMWLNHLEDRTSTSFGSQNRGRSDSVDYGQTHYYHQR QNSDDKLNGWQNSRDSGICISASNWQDKSLGCENGHVPLYSSSSVPATINTIGTGASTIL SGQAHHSPLKRSVSLTPPMNVPNQPLGHGWMSHEDLRARGPQCLPSDHAPLSPQSSVASS GSGGSEHLEDQTTARNTFQEEGSGMKDVPAWLKSLRLHKYAALFSQMTYEEMMALTECQL EAQNVTKGARHKIVISIQKLKERQNLLKSLERDIIEGGSLRTPLQELHQMILTPIKAYSS PSTTPEVRCREPSLMESPSPDCKDSAAAVTSATASASAGASGGLQPPQLSSCDGELAVAP LPEGDLPGQFTRVMGKVCTQLLVSRPDEENISSYLQLLDKCLVHEAFTETQKKRLLSWKQ QVQKLFRSFPRKTLLDISGYRQQRNRGFGQSNSLPTASSVGSGMGRRNPRQYQIASRNVP SARLGLLGTSGFVSSNQRHTAANPTIMKQGRQNLWFANPGGSNSMPSRTHSSVQKTRSLP VHTSPQNMLMFQQPEFQLPVTEPDINNRLESLCLSMTEHALGDGVDRTSTI >ENSMUSP00000114621.1 pep:known chromosome:GRCm38:14:46884175:47105811:1 gene:ENSMUSG00000021838.16 transcript:ENSMUST00000137543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd4 description:sterile alpha motif domain containing 4 [Source:MGI Symbol;Acc:MGI:1921730] MMFRDQVGVLAGWFKGWNECEQTVALLSLLKRVSQTQARFLQLCLEHSLADCAELHVLEG EANSPGIINQWQQESKDKVISLLLTHLPLLKPGNLDAKAEYMKLLPKILAHSIEHNQHIE ESRQLLSYALIHPATSLEDRSALAMWLNHLEDRTSTSFGSQNRGRSDSVDYGQTHYYHQR QNSDDKLNGWQNSRDSGICISASNWQDKSLGCENGHVPLYSSSSVPATINTIGTGASTNV PAWLKSLRLHKYAALFSQMTYEEMMALTECQLEAQNVTKGARHKIVISIQKLKERQNLLK SLERDIIEGGSLRTPLQELHQMILTPIKAYSSPSTTPEVRCREPSLMESPSPDCKDSAAA VTSATASASAGASGGLQPPQLSSCDGELAVAPLPEGDLPGQFTRVMGKVCTQLLVSRPDE ENISSYLQLLDKCLVHEAFTETQKKRLLSWKQQVQKLFRSFPRKTLLDISGYRQQRNRGF GQSNSLPTASSVGSGMGRRNPRQYQIASRNVPSARLGLLGTSGFVSSNQRHTAANPTIMK QGRQNLWFANPGGSNSMPSRTHSSVQKTRSLPVHTSPQNMLMFQQPEFQLPVTEPDINNR LESLCLSMTEHALGDGVDRTSTI >ENSMUSP00000122833.1 pep:known chromosome:GRCm38:14:47001347:47101634:1 gene:ENSMUSG00000021838.16 transcript:ENSMUST00000125113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd4 description:sterile alpha motif domain containing 4 [Source:MGI Symbol;Acc:MGI:1921730] MKLLPKILAHSIEHNQHIEESRQLLSYALIHPATSLEDRSALAMWLNHLEDRTSTSFGSQ NRGRSDSVDYGQTHYYHQRQNSDDKLNGWQNSRDSGICISASNWQDKSLGCENGHVPLYS SSSVPATINTIGTGASTILSGQAHHSPLKRSVSLTPPMNVPNQPLGHGWMSHEDLRARGP QCLPSDHAPLSPQSSVASSGSGGSEHLEDQTTARNTFQEEGSGMKDVPAWLKSLRLHKYA ALFSQMTYEEMMALTECQLEAQNVTKGARHKIVISIQKLKERQNLLKSLERDIIEGGSLR TPLQELHQMILTPIKAYSSPSTTPEVRCREPSLMESPSPDCKDSAAAVTSATASASAGAS GGLQPPQLSSCDGELAVAPLPEGDLPGQFTRVMGKVCTQLLVSRPDEENISSYLQLLDKC LVHEAFTETQKKRLLSWKQQVQKLFRSFPRKTLLDISGYRQQRNRGFGQSNSLPTASSVG SGMGRRNPRQYQIASRNVPSARLGLLGTSGFVSSNQRHTAANPTIMKQGRQNLWFANPGG SNSMPSRTHSSVQKTRSLPVHTSPQNMLMFQQPEFQLPVTEPDINNRLESLCLSMTEHAL GDGVDRTSTI >ENSMUSP00000115569.1 pep:known chromosome:GRCm38:14:47068974:47102154:1 gene:ENSMUSG00000021838.16 transcript:ENSMUST00000125688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd4 description:sterile alpha motif domain containing 4 [Source:MGI Symbol;Acc:MGI:1921730] MILTPIKAYSSPSTTPEVRCREPSLMESPSPDCKDSAAAVTSATASASAGASGGLQPPQL SSCDGELAVAPLPEGDLPGQFTRVMGKVCTQLLVSRPDEENISSYLQLLDKCLVHEAFTE TQKKRLLSWKQQVQKLFRSFPRKTLLDISGYRQQRNRGFGQSNSLPTASSVGSGMGRRNP RQYQIASRNVPSARLGLLGTSGFVSSNQRHTAANPTIMKQGRQNLWFANPGGSNSMPSRT HSSVQKTRSLPVHTSPQNMLMFQQPEFQLPVTEPDINNRLESLCLSMTEHALGDGVDRTS TI >ENSMUSP00000098237.3 pep:known chromosome:GRCm38:14:46882965:47105817:1 gene:ENSMUSG00000021838.16 transcript:ENSMUST00000100672.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd4 description:sterile alpha motif domain containing 4 [Source:MGI Symbol;Acc:MGI:1921730] MMFRDQVGVLAGWFKGWNECEQTVALLSLLKRVSQTQARFLQLCLEHSLADCAELHVLEG EANSPGIINQWQQESKDKVISLLLTHLPLLKPGNLDAKAEYMKLLPKILAHSIEHNQHIE ESRQLLSYALIHPATSLEDRSALAMWLNHLEDRTSTSFGSQNRGRSDSVDYGQTHYYHQR QNSDDKLNGWQNSRDSGICISASNWQDKSLGCENGHVPLYSSSSVPATINTIGTGASTNV PAWLKSLRLHKYAALFSQMTYEEMMALTECQLEAQNVTKGARHKIVISIQKLKERQNLLK SLERDIIEGGSLRTPLQELHQMILTPIKAYSSPSTTPEVRCREPSLMESPSPDCKDSAAA VTSATASASAGASGGLQPPQLSSCDGELAVAPLPEGDLPGQFTRVMGKVCTQLLVSRPDE ENISSYLQLLDKCLVHEAFTETQKKRLLSWKQQVQKLFRSFPRKTLLDISGYRQQRNRGF GQSNSLPTASSVGSGMGRRNPRQYQIASRNVPSARLGLLGTSGFVSSNQRHTAANPTIMK QGRQNLWFANPGGSNSMPSRTHSSVQKTRSLPVHTSPQNMLMFQQPEFQLPVTEPDINNR LESLCLSMTEHALGDGVDRTSTI >ENSMUSP00000060892.3 pep:known chromosome:GRCm38:11:73175519:73177037:-1 gene:ENSMUSG00000047260.4 transcript:ENSMUST00000054952.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc6 description:ER membrane protein complex subunit 6 [Source:MGI Symbol;Acc:MGI:1913298] MAAVVAKREGPPFISEAAVRGNAAVLDYCRTSVSALSGATAGILGLTGLYGFIFYLLASV LLSLLLILKAGRRWNKYFKSRRPLFTGGLIGGLFTYVLFWTFLYGMVHVY >ENSMUSP00000104120.1 pep:known chromosome:GRCm38:11:73176336:73177002:-1 gene:ENSMUSG00000047260.4 transcript:ENSMUST00000108480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc6 description:ER membrane protein complex subunit 6 [Source:MGI Symbol;Acc:MGI:1913298] MAAVVAKREGPPFISEAAVRGNAAVLDYCRTSVSALSGATAGILGLTGLYGFIFYLLASV LLSLLLILKAGRRWNKYFKSRRPLFTGGLIGGLFTYVLFWTFLYGMVHVY >ENSMUSP00000133434.1 pep:known chromosome:GRCm38:17:33524204:33553768:1 gene:ENSMUSG00000024299.16 transcript:ENSMUST00000173931.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adamts10 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 10 [Source:MGI Symbol;Acc:MGI:2449112] MASACQILRWALALGLGLTFKVTHAFRSQDELLSSLESYEIAFPTRVDHNGAMLAFSPPA FRRQRRGAGATTESRLFYKVAAPSTHFLLNLTRSPRLLAGHVSVEYWTREGLAWQRAARA HCLYAGHLQGQAGSSHVAVSTCGGLHGLIVADDEEYLIEPLQGGPKGHRGPEESGPHVVY KRSSLRHPHLDTACGVRDEKPWKGRPWWLRTLKPPPARPLGNESERGQLGLKRSVSRERY VETLVVADKMMVAYHGRRDVEQYVLAIMNIVRLPNFSRTRVWETSSTSWSLASSCSQRTS PPWRSPTMPGSHWTASVSGRNPS >ENSMUSP00000084905.6 pep:known chromosome:GRCm38:17:33524204:33553768:1 gene:ENSMUSG00000024299.16 transcript:ENSMUST00000087623.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts10 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 10 [Source:MGI Symbol;Acc:MGI:2449112] MASACQILRWALALGLGLTFKVTHAFRSQDELLSSLESYEIAFPTRVDHNGAMLAFSPPA FRRQRRGAGATTESRLFYKVAAPSTHFLLNLTRSPRLLAGHVSVEYWTREGLAWQRAARA HCLYAGHLQGQAGSSHVAVSTCGGLHGLIVADDEEYLIEPLQGGPKGHRGPEESGPHVVY KRSSLRHPHLDTACGVRDEKPWKGRPWWLRTLKPPPARPLGNESERGQLGLKRSVSRERY VETLVVADKMMVAYHGRRDVEQYVLAIMNIVAKLFQDSSLGNIVNILVTRLILLTEDQPT LEITHHAGKSLDSFCKWQKSIVSHSGHGNAIPENGVANHDTAVLITRYDICIYKNKPCGT LGLAPVGGMCERERSCSINEDIGLATAFTIAHEIGHTFGMNHDGVGNGCGARGQDPAKLM AAHITMKTNPFVWSSCSRDYITSFLDSGLGLCLNNRPPRQDFVYPTVAPGQAYDADEQCR FQHGVKSRQCKYGEVCSELWCLSKSNRCITNSIPAAEGTLCQTHTIDKGWCYKRVCVPFG SRPEGVDGAWGPWTPWGDCSRSCGGGVSSSSRHCDSPRPTIGGKYCLGERRRHRSCNTND CPPGSQDFREMQCSEFDSVPFRGKFYTWKTYRGGGVKACSLTCLAEGFNFYTERAAAVVD GTPCRPDTVDICVSGECKHVGCDRVLGSDLREDKCRVCGGDGSACETIEGVFSPALPGTG YEDVVWIPKGSVHIFIQDLNLSLSHLALKGDQESLLLEGLPGTPQPHRLPLAGTTFHLRQ GPDQAQSLEALGPINASLIIMVLAQAELPALHYRFNAPIARDALPPYSWHYAPWTKCSAQ CAGGSQVQVVECRNQLDSSAVAPHYCSGHSKLPKRQRACNTEPCPPDWVVGNWSRCSRSC DAGVRSRSVVCQRRVSAAEEKALDDSACPQPRPPVLEACQGPMCPPEWATLDWSECTPSC GPGLRHRVVLCKSADQRSTLPPGHCLPAAKPPSTMRCNLRRCPPARWVTSEWGECSTQCG LGQQQRTVRCTSHTGQPSRECTEALRPSTMQQCEAKCDSVVPPGDGPEECKDVNKVAYCP LVLKFQFCSRAYFRQMCCKTCQGR >ENSMUSP00000134298.1 pep:known chromosome:GRCm38:17:33524209:33553767:1 gene:ENSMUSG00000024299.16 transcript:ENSMUST00000173241.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adamts10 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 10 [Source:MGI Symbol;Acc:MGI:2449112] MASACQILRWALALGLGLTFKVTHAFRSQDELLSSLESYEIAFPTRVDHNGAMLAFSPPA FRRQRRGAGATTESRLFYKVAAPSTHFLLNLTRSPRLLAGHVSVEYWTREGLAWQRAARA HCLYAGHLQGQAGSSHVAVSTCGGLHGLIVADDEEYLIEPLQGGPKGHRGPEESGPHVVY KRSSLRHPHLDTACGVRDEKPWKGRPWWLRTLKPPPARPLGNESERGQLGLKRSVSRERY VETLVVADKMMVAYHGRRDVEQYVLAIMNIVRLPNFSRTRVWETSSTSWSLASSCSQRTS PPWRSPTMPGSHWTASVSGRNPS >ENSMUSP00000134549.1 pep:known chromosome:GRCm38:17:33524226:33553768:1 gene:ENSMUSG00000024299.16 transcript:ENSMUST00000173030.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adamts10 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 10 [Source:MGI Symbol;Acc:MGI:2449112] MASACQILRWALALGLGLTFKVTHAFRSQDELLSSLESYEIAFPTRVDHNGAMLAFSPPA FRRQRRGAGATTESRLFYKVAAPSTHFLLNLTRSPRLLAGHVSVEYWTREGLAWQRAARA HCLYAGHLQGQAGSSHVAVSTCGGLHGLIVADDEEYLIEPLQGGPKGHRGPEESGPHVVY KRSSLRHPHLDTACGVRDEKPWKGRPWWLRTLKPPPARPLGNESERGQLGLKRSVSRERY VETLVVADKMMVAYHGRRDVEQYVLAIMNIVRLPNFSRTRVWETSSTSWSLASSCSQRTS PPWRSPTMPGSHWTASVSGRNPS >ENSMUSP00000134181.1 pep:known chromosome:GRCm38:17:33528314:33553448:1 gene:ENSMUSG00000024299.16 transcript:ENSMUST00000173013.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adamts10 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 10 [Source:MGI Symbol;Acc:MGI:2449112] MASACQILRWALALGLGLTFKVTHAFRSQDELLSSLESYEIAFPTRVDHNGAMLAFSPPA FRRQRRGAGATTESRLFYKVAAPSTHFLLNLTRSPRLLAGHVSVEYWTREGLAWQRAARA HCLYAGHLQGQAGSSHVAVSTCGGLHGLIVADDEEYLIEPLQGGPKGHRGPEESGPHVVY KRSSLRHPHLDTACGVRDEKPWKGRPWWLRTLKPPPARPLGNESERGQLGLKRSVSRERY VETLVVADKMMVAYHGRRDVEQYVLAIMNIVRLPNFSRTRVWETSSTSWSLASSCSQRTS PPWRSPTMPGSHWTASVSGRNPS >ENSMUSP00000133891.1 pep:known chromosome:GRCm38:17:33528314:33553448:1 gene:ENSMUSG00000024299.16 transcript:ENSMUST00000172922.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adamts10 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 10 [Source:MGI Symbol;Acc:MGI:2449112] MASACQILRWALALGLGLTFKVTHAFRSQDELLSSLESYEIAFPTRVDHNGAMLAFSPPA FRRQRRGAGATTESRLFYKVAAPSTHFLLNLTRSPRLLAGHVSVEYWTREGLAWQRAARA HCLYAGHLQGQAGSSHVAVSTCGGLHGLIVADDEEYLIEPLQGGPKGHRGPEESGPHVVY KRSSLRHPHLDTACGVRDEKPWKGRPWWLRTLKPPPARPLGNESERGQLGLKRSVSRERY VETLVVADKMMVAYHGRRDVEQYVLAIMNIVRLPNFSRTRVWETSSTSWSLASSCSQRTS PPWRSPTMPGSHWTASIWHESRWRGKRLWGPWAGPSEAHGCPHYHED >ENSMUSP00000133856.1 pep:known chromosome:GRCm38:17:33531632:33553767:1 gene:ENSMUSG00000024299.16 transcript:ENSMUST00000174348.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adamts10 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 10 [Source:MGI Symbol;Acc:MGI:2449112] XPARPLGNESERGQLGLKRSVSRERYVETLVVADKMMVAYHGRRDVEQYVLAIMNIVAKL FQDSSLGNIVNILVTRLILLTEDQPTLEITHHAGKSLDSFCKWQKSIVSHSGHGNAIPEN GVANHDTAVLITRYDICIYKNKPCGTLGLAPVGGMCERERSCSINEDIGLATAFTIAHEI GHTFGMNHDGVGNGCGARGQDPAKLMAAHITMKTNPFVWSSCSRDYITSFLDSGLGLCLN NRPPRQDFVYPTVAPGQAYDADEQCRFQHGVKSRQ >ENSMUSP00000141218.1 pep:known chromosome:GRCm38:1:191537356:191575521:-1 gene:ENSMUSG00000037474.13 transcript:ENSMUST00000195650.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dtl description:denticleless E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1924093] MLFNSVLRQPQLGVLRNGWSSHYPLQSLLSGYQCNCNDEHTSYGETGVPVPPFGCTFCTA PSMEHILAVANEEGFVRLYNTESQTSKKTCFKEWMAHWNAVFDLAWVPGELKLVTAAGDQ TAKFWDVRAGELMGTCKGHQCSLKSVAFPKFQKAHRV >ENSMUSP00000027933.5 pep:known chromosome:GRCm38:1:191537365:191575544:-1 gene:ENSMUSG00000037474.13 transcript:ENSMUST00000027933.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtl description:denticleless E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1924093] MLFNSVLRQPQLGVLRNGWSSHYPLQSLLSGYQCNCNDEHTSYGETGVPVPPFGCTFCTA PSMEHILAVANEEGFVRLYNTESQTSKKTCFKEWMAHWNAVFDLAWVPGELKLVTAAGDQ TAKFWDVRAGELMGTCKGHQCSLKSVAFPKFQKAVFSTGGRDGNIMIWDTRCNKKDGFYR QVNQISGAHNTADKQTPSKPKKKQNSKGLAPAVDSQQSVTVVLFQDENTLVSAGAVDGII KVWDLRKNYTAYRQEPIASKSFLYPGTSTRKLGYSSLVLDSTGSTLFANCTDDNIYMFNM TGLKTSPVAVFNGHQNSTFYVKSSLSPDDQFLISGSSDEAAYIWKVSMPWHPPTVLLGHS QEVTSVCWCPSDFTKIATCSDDNTLKIWRLNRGLEEKPGDKHSIVGWTSQKKKEVKACPV TVPSSQSTPAKAPRAKSSPSISSPSSAACTPSCAGDLPLPSSTPTFSVKTTPATTRSSVS RRGSISSVSPKPLSSFKMSLRNWVTRTPSSSPPVTPPASETKISSPRKALIPVSQKSSQA DACSESRNRVKRRLDSSCLESVKQKCVKSCNCVTELDGQAESLRLDLCCLSGTQEVLSQD SEGPTKSSKTEGAGTSISEPPSPVSPYASEGCGPLPLPLRPCGEGSEMVGKENSSPENKN WLLAIAAKRKAENSSPRSPSSQTPSSRRQSGKTSPGPVTITPSSMRKICTYFRRKTQDDF CSPEHSTEL >ENSMUSP00000142111.1 pep:known chromosome:GRCm38:1:191549829:191575513:-1 gene:ENSMUSG00000037474.13 transcript:ENSMUST00000193977.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dtl description:denticleless E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1924093] MLFNSVLRQPQLGVLRNGWSSHYPLQSLLSGYQCNCNDEHTSYGETGVPVPPFGCTFCTA PSMEHILAVANEEGFVRLYNTESQTSKKTCFKEWMAHWNAVFDLAWVPGELKLQPVIRQP NFGM >ENSMUSP00000139875.1 pep:known chromosome:GRCm38:18:35553404:35572285:1 gene:ENSMUSG00000099703.6 transcript:ENSMUST00000187513.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28285 description:predicted gene 28285 [Source:MGI Symbol;Acc:MGI:5578991] MSKSFQQSSLGRDSQGHGRDLSAAGIGLLAAATQSLSMPASLGRMNQGTARLASLMNLGM SSSLNQQGAHSALSSASTSSHNLQSIF >ENSMUSP00000139892.1 pep:known chromosome:GRCm38:18:35553408:35578939:1 gene:ENSMUSG00000099703.6 transcript:ENSMUST00000189163.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28285 description:predicted gene 28285 [Source:MGI Symbol;Acc:MGI:5578991] MGDPFMLQQSTN >ENSMUSP00000139922.1 pep:known chromosome:GRCm38:18:35553413:35572208:1 gene:ENSMUSG00000099703.6 transcript:ENSMUST00000190458.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28285 description:predicted gene 28285 [Source:MGI Symbol;Acc:MGI:5578991] MSKSFQQSSLGRDSQGHGRDLSAAGIGLLAAATQSLSMPASLGRMNQGTARLASLMNLGM SS >ENSMUSP00000140047.1 pep:known chromosome:GRCm38:18:35553422:35581609:1 gene:ENSMUSG00000099703.6 transcript:ENSMUST00000187793.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28285 description:predicted gene 28285 [Source:MGI Symbol;Acc:MGI:5578991] MGDPFMLQQSTNPAPGILGPPPPSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGRVETSR VVHIMDFQRGKNLRYQLLQLVEPFGVISNHLILNKINEAFIE >ENSMUSP00000093833.5 pep:known chromosome:GRCm38:14:19594139:19602581:-1 gene:ENSMUSG00000095024.7 transcript:ENSMUST00000096121.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5458 description:predicted gene 5458 [Source:MGI Symbol;Acc:MGI:3646663] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGMQVSR GEEKRFCEEASKNMCVSSAKEQQV >ENSMUSP00000130345.1 pep:known chromosome:GRCm38:14:19594139:19602581:-1 gene:ENSMUSG00000095024.7 transcript:ENSMUST00000163800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5458 description:predicted gene 5458 [Source:MGI Symbol;Acc:MGI:3646663] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGMQVSR GEEKRFCEEASKNMCVSSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRRSTECAQEIHHC CLSSLITMAVMGCMLLLYFGFFGMNRP >ENSMUSP00000019068.6 pep:known chromosome:GRCm38:11:70344152:70352031:-1 gene:ENSMUSG00000018924.6 transcript:ENSMUST00000019068.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox15 description:arachidonate 15-lipoxygenase [Source:MGI Symbol;Acc:MGI:87997] MGVYRIRVSTGDSVYAGSNNEVYLWLIGQHGEASLGKLFRPCRNSEAEFKVDVSEYLGPL LFVRVQKWHYLKEDAWFCNWISVKGPGDQGSEYTFPCYRWVQGTSILNLPEGTGCTVVED SQGLFRNHREEELEERRSLYRWGNWKDGTILNVAATSISDLPVDQRFREDKRLEFEASQV LGTMDTVINFPKNTVTCWKSLDDFNYVFKSGHTKMAERVRNSWKEDAFFGYQFLNGANPM VLKRSTCLPARLVFPPGMEKLQAQLDEELKKGTLFEADFFLLDGIKANVILCSQQYLAAP LVMLKLQPDGQLLPIAIQLELPKTGSTPPPIFTPLDPPMDWLLAKCWVRSSDLQLHELQA HLLRGHLVAEVFAVATMRCLPSVHPVFKLLVPHLLYTMEINVRARSDLISERGFFDKVMS TGGGGHLDLLKQAGAFLTYSSLCPPDDLAERGLLDIDTCFYAKDALQLWQVMNRYVVGMF DLYYKTDQAVQDDYELQSWCQEITEIGLQGAQDRGFPTSLQSRAQACHFITMCIFTCTAQ HSSIHLGQLDWFYWVPNAPCTMRLPPPKTKDATMEKLMATLPNPNQSTLQINVVWLLGRR QAVMVPLGQHSEEHFPNPEAKAVLKKFREELAALDKEIEIRNKSLDIPYEYLRPSLVENS VAI >ENSMUSP00000054637.5 pep:known chromosome:GRCm38:7:126589010:126594941:-1 gene:ENSMUSG00000044701.5 transcript:ENSMUST00000058429.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il27 description:interleukin 27 [Source:MGI Symbol;Acc:MGI:2384409] MGQVTGDLGWRLSLLLLPLLLVQAGSWGFPTDPLSLQELRREFTVSLYLARKLLSEVQGY VHSFAESRLPGVNLDLLPLGYHLPNVSLTFQAWHHLSDSERLCFLATTLRPFPAMLGGLG TQGTWTSSEREQLWAMRLDLRDLHRHLRFQVLAAGFKCSKEEEDKEEEEEEEEEEKKLPL GALGGPNQVSSQVSWPQLLYTYQLLHSLELVLSRAVRDLLLLSLPRRPGSAWDS >ENSMUSP00000112626.1 pep:known chromosome:GRCm38:8:40990918:41114667:-1 gene:ENSMUSG00000045636.16 transcript:ENSMUST00000118835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus1 description:mitochondrial tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2142572] MNDDNSDRTEDGSRYVFIRDKNSNPSEYYQTSLSAQCPSVSHGDWNSDNPDAMVVDYEMD PAVDSSESVSLSHQCVEELAYPEPSSDFMGKHEFTMYSELTCQSPALVNTGKPQDLHSNC DSLEAIQDEKFDPLKPCECRSDDDYACGDSPEVLELKQTYGMKVDTANYTFIARHDIEQG QPLHAPGGLQTTVRDRNALSSCGRTPPHSSKMYVRGVNYNRENFENLQATPSKTLNTTFT VISDVLMQTDSPDVGVQGQNSLGNVTKEYTDGTRRGLIGEKEIQAVTLVSDGMEVPNGSA SQEFYCVSEDDPNSETHSHGPYAQQEMGQNLRGTLPNCHVDGECPVLVPAFEKSKTRVLG SECKVTVTEDPHIDSHDNDSDIQSSTEELTLRSVSGQRGSPYEMGWGENGGAICTDKAGC MSTPVEQPPNLSFRLEPAEVKKYNNVENGPRDAKRAPNLKGEPTNMPKPNLGKSATKTNT TVGSKVRKTEIISYPTPNFKNIKAKVISRSVLQPKDTSIMKDTPSPQVTGGSSPSPGPSK HLTMMNKAPRSDFKASKKAEIPINKTHKQQFNKLITSQAAQVTTHSKNASLGVPRTTSAT KSNQENVDKTGSPHAGSETGSVAAFFQKIKGILPVKMKSSECLEVTYVSHIDQISPEKGE QDGEAPMEKQELGKQATNEIFESKSLLVGSAPKTSTTPGRSSSKPDSRSLRKTPGLKAKV GPTAACLRRKSESRTLGSDRALSPQRIRRVSGSGGHAAINKYEEKPPKQAFQNGSGPLYL KPLVPRAHSHLLKTSPKGPSRKSLFTAFNSVEKGRQKNPRSLCIQTQTAPDVLSSERTLE LAQYKTKCESQSGFILHLRQLLSRGNTKFEALTVVIQHLLSEREEALKQHKTLSQELVSL RGELVAASSACEKLEKARTDLQTAYQEFVQKLNQQHQTDRTELENRLKDLYTAECEKLQS IYIEEAEKYKTQLQEQFDNLNAAHETTKLEIEASHSEKVELLKKTYETSLSEIKKSHEME KKSLEDLLNEKQESLEKQINDLKSENDALNERLKSEEQKQLSREKANSKNPQVMYLEQEL ESLKAVLEIKNEKLHQQDMKLMKMEKLVDNNTALVDKLKRFQQENEELKARMDKHMAISR QLSTEQAALQESLEKESKVNKRLSMENEELLWKLHNGDLCSPKRSPTSSAIPFQSPRNSG SFSSPSISPR >ENSMUSP00000119440.1 pep:known chromosome:GRCm38:8:41050100:41133726:-1 gene:ENSMUSG00000045636.16 transcript:ENSMUST00000145860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus1 description:mitochondrial tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2142572] MNDDNSDRTEDGSRYVFIRDKNSNPSEYYQTSLSAQCPSVSHGDWNSDNPDAMVVDYEMD PAVDSSESVSLSHQCVEELAYPEPSSDFMGKHEFTMYSELTCQSPALVNTGKPQDLHSNC DSLEAIQDEKFDPLKPCECRSDDDYACGDSPEVLELKQTYGMKVDTANYTFIARHDIEQG QPLHAPGGLQTTVRDRNALSSCGRTPPHSSKMYVRGVNYNRENFENLQATPSKTLNTTFT VISDVLMQTDSPDVGVQGQNSLGNVTKEYTDGTRRGLIGEKEIQAVTLVSDGMEVPNGSA SQEFYCVSEDDPNSETHSHGPYAQQEMGQNLRGTLPNCHVDGECPVLVPAFEKSKTRVLG SECKVTVTEDPHIDSHDNDSDIQSSTEELTLRSVSGQRGSPYEMGWGENGGAICTDKAGC MSTPVEQPPNLSFRLEPAEVKKYNNVENGPRDAKRAPNLKGEPTNMPKPNLGKSATKTNT TVGSKVRKTEIISYPTPNFKNIKAKVISRSVLQPKDTSIMKDTPSPQVTGGSSPSPGPSK HLTMMNKAPRSDFKASKKAEIPINKTHKQQFNKLITSQAAQVTTHSKNASLGVPRTTSAT KSNQENVDKTGSPHAGSETGSVAAFFQKIKGILPVKMKSSECLEVTYVSHIDQISPEKGE QDGEAPMEKQELGKQATNEIFESKSLLVGSAPKTSTTPGRSSSKPDSRSLRKTPGLKAKV GPTAACLRRKSESRTLGSDRALSPQRIRRVSGSGGHAAI >ENSMUSP00000091252.4 pep:known chromosome:GRCm38:8:40990918:41054794:-1 gene:ENSMUSG00000045636.16 transcript:ENSMUST00000093534.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus1 description:mitochondrial tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2142572] MTIPGGFRSCTETDISSTIFINSTLTPPAGSERQYDATLLALLVVGSYSLCIIPLLATLT RKKSGHAAINKYEEKPPKQAFQNGSGPLYLKPLVPRAHSHLLKTSPKGPSRKSLFTAFNS VEKGRQKNPRSLCIQTQTAPDVLSSERTLELAQYKTKCESQSGFILHLRQLLSRGNTKFE ALTVVIQHLLSEREEALKQHKTLSQELVSLRGELVAASSACEKLEKARTDLQTAYQEFVQ KLNQQHQTDRTELENRLKDLYTAECEKLQSIYIEEAEKYKTQLQEQFDNLNAAHETTKLE IEASHSEKVELLKKTYETSLSEIKKSHEMEKKSLEDLLNEKQESLEKQINDLKSENDALN ERLKSEEQKQLSREKANSKNPQVMYLEQELESLKAVLEIKNEKLHQQDMKLMKMEKLVDN NTALVDKLKRFQQENEELKARMDKHMAISRQLSTEQAALQESLEKESKVNKRLSMENEEL LWKLHNGDLCSPKRSPTSSAIPFQSPRNSGSFSSPSISPR >ENSMUSP00000053554.7 pep:known chromosome:GRCm38:8:40991052:41041866:-1 gene:ENSMUSG00000045636.16 transcript:ENSMUST00000051379.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus1 description:mitochondrial tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2142572] MLLSPKFSLSTIHVRLTAKGLLRNLRLPSGLRKNTVIFHTVEKGRQKNPRSLCIQTQTAP DVLSSERTLELAQYKTKCESQSGFILHLRQLLSRGNTKFEALTVVIQHLLSEREEALKQH KTLSQELVSLRGELVAASSACEKLEKARTDLQTAYQEFVQKLNQQHQTDRTELENRLKDL YTAECEKLQSIYIEEAEKYKTQLQEQFDNLNAAHETTKLEIEASHSEKVELLKKTYETSL SEIKKSHEMEKKSLEDLLNEKQESLEKQINDLKSENDALNERLKSEEQKQLSREKANSKN PQVMYLEQELESLKAVLEIKNEKLHQQDMKLMKMEKLVDNNTALVDKLKRFQQENEELKA RMDKHMAISRQLSTEQAALQESLEKESKVNKRLSMENEELLWKLHNGDLCSPKRSPTSSA IPFQSPRNSGSFSSPSISPR >ENSMUSP00000119163.1 pep:known chromosome:GRCm38:8:41084563:41133718:-1 gene:ENSMUSG00000045636.16 transcript:ENSMUST00000155055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus1 description:mitochondrial tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2142572] MNDDNSDRTEDGSRYVFIRDKNSNPSEYYQTSLSAQCP >ENSMUSP00000116339.1 pep:known chromosome:GRCm38:8:41049985:41082776:-1 gene:ENSMUSG00000045636.16 transcript:ENSMUST00000143853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus1 description:mitochondrial tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2142572] XVKMKSSECLEVTYVSHIDQISPEKGEQDGEAPMEKQELGKQATNEIFESKSLLVGSAPK TSTTPGRSSSKPDSRSLRKTPGLKAKVGPTAACLRRKSESRTLGSDRALSPQRIRRVSGS GKPPCLKTALSSWVNLPRPLPISEASLICRAGSTRSVASTHSDLSAYSSDCGHAAINKYE EKPPKQAFQNGSGPLYLKPLVPRAHSHLLKTSPK >ENSMUSP00000113082.1 pep:known chromosome:GRCm38:8:41000832:41016769:-1 gene:ENSMUSG00000045636.16 transcript:ENSMUST00000117735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus1 description:mitochondrial tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2142572] MGCPSSKMCLSPPCAATRREEALKQHKTLSQELVSLRGELVAASSACEKLEKARTDLQTA YQEFVQKLNQQHQTDRTELENRLKDLYTAECEKLQSIYIEEAEKYKTQLQEQFDNLNAAH ETT >ENSMUSP00000121605.1 pep:known chromosome:GRCm38:8:41002343:41016320:-1 gene:ENSMUSG00000045636.16 transcript:ENSMUST00000131965.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus1 description:mitochondrial tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2142572] MCGCVPATDLKREEALKQHKTLSQELVSLRGELVAASSACEKLEKARTDLQTAYQEFVQK LNQQHQTDRTELENRLKDLYTAECEKLQSIYI >ENSMUSP00000059503.6 pep:known chromosome:GRCm38:8:40990914:41133726:-1 gene:ENSMUSG00000045636.16 transcript:ENSMUST00000059115.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtus1 description:mitochondrial tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2142572] MNDDNSDRTEDGSRYVFIRDKNSNPSEYYQTSLSAQCPSVSHGDWNSDNPDAMVVDYEMD PAVDSSESVSLSHQCVEELAYPEPSSDFMGKHEFTMYSELTCQSPALVNTGKPQDLHSNC DSLEAIQDEKFDPLKPCECRSDDDYACGDSPEVLELKQTYGMKVDTANYTFIARHDIEQG QPLHAPGGLQTTVRDRNALSSCGRTPPHSSKMYVRGVNYNRENFENLQATPSKTLNTTFT VISDVLMQTDSPDVGVQGQNSLGNVTKEYTDGTRRGLIGEKEIQAVTLVSDGMEVPNGSA SQEFYCVSEDDPNSETHSHGPYAQQEMGQNLRGTLPNCHVDGECPVLVPAFEKSKTRVLG SECKVTVTEDPHIDSHDNDSDIQSSTEELTLRSVSGQRGSPYEMGWGENGGAICTDKAGC MSTPVEQPPNLSFRLEPAEVKKYNNVENGPRDAKRAPNLKGEPTNMPKPNLGKSATKTNT TVGSKVRKTEIISYPTPNFKNIKAKVISRSVLQPKDTSIMKDTPSPQVTGGSSPSPGPSK HLTMMNKAPRSDFKASKKAEIPINKTHKQQFNKLITSQAAQVTTHSKNASLGVPRTTSAT KSNQENVDKTGSPHAGSETGSVAAFFQKIKGILPVKMKSSECLEVTYVSHIDQISPEKGE QDGEAPMEKQELGKQATNEIFESKSLLVGSAPKTSTTPGRSSSKPDSRSLRKTPGLKAKV GPTAACLRRKSESRTLGSDRALSPQRIRRVSGSGGHAAINKYEEKPPKQAFQNGSGPLYL KPLVPRAHSHLLKTSPKGPSRKSLFTAFNSVEKGRQKNPRSLCIQTQTAPDVLSSERTLE LAQYKTKCESQSGFILHLRQLLSRGNTKFEALTVVIQHLLSEREEALKQHKTLSQELVSL RGELVAASSACEKLEKARTDLQTAYQEFVQKLNQQHQTDRTELENRLKDLYTAECEKLQS IYIEEAEKYKTQLQEQFDNLNAAHETTKLEIEASHSEKVELLKKTYETSLSEIKKSHEME KKSLEDLLNEKQESLEKQINDLKSENDALNERLKSEEQKQLSREKANSKNPQVMYLEQEL ESLKAVLEIKNEKLHQQDMKLMKMEKLVDNNTALVDKLKRFQQENEELKARMDKHMAISR QLSTEQAALQESLEKESKVNKRLSMENEELLWKLHNGDLCSPKRSPTSSAIPFQSPRNSG SFSSPSISPR >ENSMUSP00000054237.4 pep:known chromosome:GRCm38:10:21593145:21622376:1 gene:ENSMUSG00000050844.5 transcript:ENSMUST00000057341.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700020N01Rik description:RIKEN cDNA 1700020N01 gene [Source:MGI Symbol;Acc:MGI:1914942] MAEARGNQGESCVSLEDVCLRFTAEEWGLLDDTQKLLYHNVMLENFLLVLSLGLPISKSF PGTRVYPSWPYLLQKDKHMTSGPSINI >ENSMUSP00000145558.1 pep:known chromosome:GRCm38:7:97451323:97459557:1 gene:ENSMUSG00000051727.14 transcript:ENSMUST00000206658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd14 description:potassium channel tetramerisation domain containing 14 [Source:MGI Symbol;Acc:MGI:1289222] MMLNSDYLLFLPLPPKCWNYRMSLPANQIKEPGKQSQPAKSLKEPSQEALPVNLLKESSQ ESRILCPDPAIVVELNVGGQFYTTTMGTLMKHPGSKFSEILSRSARHYKDAQGRFFIDRP GTYFGLLLDYLRTGQVPTEYVPEVYQEAKFYQIHLLVKILEDMPQIFGEQVARTQFLMGV PNYRENLEVLLHLARAEAVAMRSSKVVVCVVRTEEEDAKCVEPLHILEAKKTPVVKFGPW KAGPMTEDFVCCLEKDIRAKGYKVTSQRYHLSRDPYTCFWIFVFTWW >ENSMUSP00000113765.2 pep:known chromosome:GRCm38:7:97453204:97458456:1 gene:ENSMUSG00000051727.14 transcript:ENSMUST00000121987.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd14 description:potassium channel tetramerisation domain containing 14 [Source:MGI Symbol;Acc:MGI:1289222] MSLPANQIKEPGKQSQPAKSLKEPSQEALPVNLLKESSQESRILCPDPAIGVPSSLLKKC LNEFLGKGHLWSQH >ENSMUSP00000146081.1 pep:known chromosome:GRCm38:7:97453204:97459553:1 gene:ENSMUSG00000051727.14 transcript:ENSMUST00000143321.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kctd14 description:potassium channel tetramerisation domain containing 14 [Source:MGI Symbol;Acc:MGI:1289222] MSLPANQIKEPGKQSQPAKSLKEPSQEALPVNLLKESSQESRILCPDPAILPAYCQDV >ENSMUSP00000145977.1 pep:known chromosome:GRCm38:7:97453222:97459555:1 gene:ENSMUSG00000051727.14 transcript:ENSMUST00000206279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd14 description:potassium channel tetramerisation domain containing 14 [Source:MGI Symbol;Acc:MGI:1289222] MSLPANQIKEPGKQSQPAKSLKEPSQEALPVNLLKESSQESRILCPDPAIVVELNVGGQF YTTTMGTLMKHPGSKFSEILSRSARHYKDAQGRFFIDRPGTYFGLLLDYLRTGQVPTEYV PEVYQEAKFYQIHLLVKILEDMPQIFGEQVARTQFLMGVPNYRENLEVLLHLARAEAVAM RSSKVVVCVVRTEEEDAKCVEPLHILEAKKTPVVKFGPWKAGPMTEDFVCCLEKDIRAKG YKVTSQRYHLSRDPYTCFWIFVFTWW >ENSMUSP00000060557.7 pep:known chromosome:GRCm38:7:97453204:97459556:1 gene:ENSMUSG00000051727.14 transcript:ENSMUST00000050732.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd14 description:potassium channel tetramerisation domain containing 14 [Source:MGI Symbol;Acc:MGI:1289222] MSSWAKVIYGASTELDAKVVELNVGGQFYTTTMGTLMKHPGSKFSEILSRSARHYKDAQG RFFIDRPGTYFGLLLDYLRTGQVPTEYVPEVYQEAKFYQIHLLVKILEDMPQIFGEQVAR TQFLMGVPNYRENLEVLLHLARAEAVAMRSSKVVVCVVRTEEEDAKCVEPLHILEAKKTP VVKFGPWKAGPMTEDFVCCLEKDIRAKGYKVTSQRYHLSRDPYTCFWIFVFTWW >ENSMUSP00000145727.1 pep:known chromosome:GRCm38:7:97453204:97459556:1 gene:ENSMUSG00000051727.14 transcript:ENSMUST00000205577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd14 description:potassium channel tetramerisation domain containing 14 [Source:MGI Symbol;Acc:MGI:1289222] MSSWAKVIYGASTELDAKVVELNVGGQFYTTTMGTLMKHPGSKFSEILSRSARHYKDAQG RFFIDRPGTYFGLLLDYLRTGQVPTEYVPEVYQEAKFYQIHLLVKILEDMPQIFGEQVAR TQFLMGVPNYRENLEVLLHLARAEAVAMRSSKVVVCVVRTEEEDAKCVEPLHILEAKKTP VVKFGPWKAGPMTEDFVCCLEKDIRAKGYKVTSQRYHLSRDPYTCFWIFVFTWW >ENSMUSP00000081636.4 pep:known chromosome:GRCm38:7:126571207:126585817:-1 gene:ENSMUSG00000030720.16 transcript:ENSMUST00000084589.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln3 description:ceroid lipofuscinosis, neuronal 3, juvenile (Batten, Spielmeyer-Vogt disease) [Source:MGI Symbol;Acc:MGI:107537] MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFSYVVMLSAAH DILKQEQASGNQSHVEPGPTPTPHNSSSRFDCNSISTAAVLLADILPTLVIKLLAPLGLH LLPYSPRVLVSGVCSAGSFVLVAFSQSVGLSLCGVVLASISSGLGEVTFLSLTAFYPSAV ISWWSSGTGGAGLLGSLSYLGLTQAGLSPQHTLLSMLGIPVLLLASYFLLLTSPEPLDPG GENEAETAARQPLIGTETPESKPGASWDLSLQERWTVFKGLLWYIIPLVLVYFAEYFINQ GLFELLFFRNTSLSHAQQYRWYQMLYQAGVFASRSSLQCCRIRFTWVLALLQCLNLALLL ADVCLNFLPSIYLIFIIILYEGLLGGAAYVNTFHNIALETSDKHREFAMEAACISDTLGI SLSGVLALPLHDFLCHLP >ENSMUSP00000032962.4 pep:known chromosome:GRCm38:7:126571400:126583247:-1 gene:ENSMUSG00000030720.16 transcript:ENSMUST00000032962.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln3 description:ceroid lipofuscinosis, neuronal 3, juvenile (Batten, Spielmeyer-Vogt disease) [Source:MGI Symbol;Acc:MGI:107537] MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFSYVVMLSAAH DILKQEQASGNQSHVEPGPTPTPHNSSSRFDCNSISTAAVLLADILPTLVIKLLAPLGLH LLPYSPRVLVSGVCSAGSFVLVAFSQSVGLSLCGVVLASISSGLGEVTFLSLTAFYPSAV ISWWSSGTGGAGLLGSLSYLGLTQAGLSPQHTLLSMLGIPVLLLASYFLLLTSPEPLDPG GENEAETAARQPLIGTETPESKPGASWDLSLQERWTVFKGLLWYIIPLVLVYFAEYFINQ GLFELLFFRNTSLSHAQQYRWYQMLYQAGVFASRSSLQCCRIRFTWVLALLQCLNLALLL ADVCLNFLPSIYLIFIIILYEGLLGGAAYVNTFHNIALETSDKHREFAMEAACISDTLGI SLSGVLALPLHDFLCHLP >ENSMUSP00000095644.2 pep:known chromosome:GRCm38:7:126572086:126583190:-1 gene:ENSMUSG00000030720.16 transcript:ENSMUST00000098036.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln3 description:ceroid lipofuscinosis, neuronal 3, juvenile (Batten, Spielmeyer-Vogt disease) [Source:MGI Symbol;Acc:MGI:107537] MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFSYVVMLSAAH DILKQEQASGNQSHAVLLADILPTLVIKLLAPLGLHLLPYSPRVLVSGVCSAGSFVLVAF SQSVGLSLCGVVLASISSGLGEVTFLSLTAFYPSAVISWWSSGTGGAGLLGSLSYLGLTQ AGLSPQHTLLSMLGIPVLLLASYFLLLTSPEPLDPGGENEAETAARQPLIGTETPESKPG ASWDLSLQERWTVFKGLLWYIIPLVLVYFAEYFINQGLFELLFFRNTSLSHAQQYRWYQM LYQAGVFASRSSLQCCRIRFTWVLALLQCLNLALLLADVCLNFLPSIYLIFIIILYEGLL GGAAYVNTFHNIALETSDKHREFAMEAACISDTLGISLSGVLALPLHDFLCHLP >ENSMUSP00000138688.1 pep:known chromosome:GRCm38:7:126579247:126583362:-1 gene:ENSMUSG00000030720.16 transcript:ENSMUST00000150917.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cln3 description:ceroid lipofuscinosis, neuronal 3, juvenile (Batten, Spielmeyer-Vogt disease) [Source:MGI Symbol;Acc:MGI:107537] MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFSYVVMLSAAH DILKQEQASGNQSHEGS >ENSMUSP00000114901.1 pep:known chromosome:GRCm38:7:126579263:126584220:-1 gene:ENSMUSG00000030720.16 transcript:ENSMUST00000128970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln3 description:ceroid lipofuscinosis, neuronal 3, juvenile (Batten, Spielmeyer-Vogt disease) [Source:MGI Symbol;Acc:MGI:107537] MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFSYVVMLSAAH DILKQEQASGNQSHVEPGPTPTPHNSSSRFDCNSISTAAVLLADILPTLVIKLLAPLGLH LLPYSPRVLVSGVCSAGSFVLVAFSQSVGLSLCGVVLASISSGLGEVTFLSLTAFYPSAV ISWWSSGTGGAGLLGS >ENSMUSP00000117561.1 pep:known chromosome:GRCm38:7:126579409:126583523:-1 gene:ENSMUSG00000030720.16 transcript:ENSMUST00000125508.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln3 description:ceroid lipofuscinosis, neuronal 3, juvenile (Batten, Spielmeyer-Vogt disease) [Source:MGI Symbol;Acc:MGI:107537] MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFSYVVMLSAAH DILKQEQASGNQSHAVLLADILPTLVIKLLAPLGLHLLPYSPRVLVSGVCSAGSFVLVAF SQSVGLSLCGVVLASISSGLGEVTFLSLTAF >ENSMUSP00000118054.1 pep:known chromosome:GRCm38:7:126581658:126583566:-1 gene:ENSMUSG00000030720.16 transcript:ENSMUST00000150587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln3 description:ceroid lipofuscinosis, neuronal 3, juvenile (Batten, Spielmeyer-Vogt disease) [Source:MGI Symbol;Acc:MGI:107537] MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFSYVVMLSAAH DILKQEQASG >ENSMUSP00000116160.1 pep:known chromosome:GRCm38:7:126581661:126584578:-1 gene:ENSMUSG00000030720.16 transcript:ENSMUST00000150311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln3 description:ceroid lipofuscinosis, neuronal 3, juvenile (Batten, Spielmeyer-Vogt disease) [Source:MGI Symbol;Acc:MGI:107537] MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFSYVVMLSAAH DILKQEQAS >ENSMUSP00000114555.1 pep:known chromosome:GRCm38:7:126581715:126583554:-1 gene:ENSMUSG00000030720.16 transcript:ENSMUST00000147086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln3 description:ceroid lipofuscinosis, neuronal 3, juvenile (Batten, Spielmeyer-Vogt disease) [Source:MGI Symbol;Acc:MGI:107537] MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFS >ENSMUSP00000111973.2 pep:known chromosome:GRCm38:7:126571400:126584280:-1 gene:ENSMUSG00000030720.16 transcript:ENSMUST00000116269.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln3 description:ceroid lipofuscinosis, neuronal 3, juvenile (Batten, Spielmeyer-Vogt disease) [Source:MGI Symbol;Acc:MGI:107537] MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFSYVVMLSAAH DILKQEQASGNQSHVEPGPTPTPHNSSSRFDCNSISTAAVLLADILPTLVIKLLAPLGLH LLPYSPRVLVSGVCSAGSFVLVAFSQSVGLSLCGVVLASISSGLGEVTFLSLTAFYPSAV ISWWSSGTGGAGLLGSLSYLGLTQAGLSPQHTLLSMLGIPVLLLASYFLLLTSPEPLDPG GENEAETAARQPLIGTETPESKPGASWDLSLQERWTVFKGLLWYIIPLVLVYFAEYFINQ GLFELLFFRNTSLSHAQQYRWYQMLYQAGVFASRSSLQCCRIRFTWVLALLQCLNLALLL ADVCLNFLPSIYLIFIIILYEGLLGGAAYVNTFHNIALETSDKHREFAMEAACISDTLGI SLSGVLALPLHDFLCHLP >ENSMUSP00000099809.4 pep:known chromosome:GRCm38:2:60209887:60249211:1 gene:ENSMUSG00000026977.17 transcript:ENSMUST00000102748.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March7 description:membrane-associated ring finger (C3HC4) 7 [Source:MGI Symbol;Acc:MGI:1931053] MESKPSRIPRRISVQPSGSLSTRMVSGNRGTSLNDSYHSRDSSFRLDSEYQSASASACAS PCQPAWYSESEIPQGARARAQTQQRDHDSKRPKLSCTNCASTSAGRNGGSGLNTVSDSSW RHSQVPRSSSMVLGSFGTDLMRERRDLDRRRESSISNLMDYNHRSGDFTTSSYVQERVPS SYSQGARPKENAVSTLQLNSSSTNHQLPSDHQTVPSSRDSSRSSFRSHFSPRQSESFRNS SHPAFSYFSSRNETPTISNSERGSSQRPYRESSDNEGRRTTRRLLSRIASSMSSTFFSRR SSQDSLNTRSLSSENYISPRTLTSQSRNNGTSSSSDVSEGRAAEASQGFRFLRRRWGLSS LSQNHSSEPEAENFNQESEGRNSGPWLSSSLRNRCTPLFSRRRREGRDESSRMSTSDVPP RSHIFRRDSNEVVHLEAQGDSLGAAANRPQASGASSSAAAGGSTPELPQGGRNPGLTGIL PGSLFRFAVPPALGSNLADNVMITVDIIPSGWNSTDGKNDKAKSAPSRDPEKLQKIKESL LLEDSDDEEEGDLCRICQMAAASSSNLLIEPCKCTGSLQYVHQECMKKWLQAKINSGSSL EAVTTCELCKEKLQLNLEDFDIHELHRAHANEQAEYEFISSGLYLVVLLHLCEQSFSDMM GNTIEPSTRVRFINLARTLQAHMEDLETSEDEF >ENSMUSP00000099808.1 pep:known chromosome:GRCm38:2:60210073:60247973:1 gene:ENSMUSG00000026977.17 transcript:ENSMUST00000102747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March7 description:membrane-associated ring finger (C3HC4) 7 [Source:MGI Symbol;Acc:MGI:1931053] MESKPSRIPRRISVQPSGSLSTRMVSGNRGTSLNDSYHSRDSSFRLDSEYQSASASACAS PCQPAWYSESEIPQGARARAQTQQRDHDSKRPKLSCTNCASTSAGRNGGSGLNTVSDSSW RHSQVPRSSSMVLGSFGTDLMRERRDLDRRRESSISNLMDYNHRSGDFTTSSYVQERVPS SYSQGARPKENAVSTLQLNSSSTNHQLPSDHQTVPSSRDSSRSSFRSHFSPRQSESFRNS SHPAFSYFSSRNETPTISNSERGSSQRPYRESSDNEGRRTTRRLLSRIASSMSSTFFSRR SSQDSLNTRSLSSENYISPRTLTSQSRNNGTSSSSDVSEGRAAEASQGFRFLRRRWGLSS LSQNHSSEPEAENFNQESEGRNSGPWLSSSLRNRCTPLFSRRRREGRDESSRMSTSDVPP RSHIFRRDSNEVVHLEAQGDSLGAAANRPQASGASSSAAAGGSTPELPQGGRNPGLTGIL PGSLFRFAVPPALGSNLADNVMITVDIIPSGWNSTDGKNDKAKSAPSRDPEKLQKIKESL LLEDSDDEEEGDLCRICQMAAASSSNLLIEPCKCTGSLQYVHQECMKKWLQAKINSGSSL EAVTTCELCKEKLQLNLEDFDIHELHRAHANEQAEYEFISSGLYLVVLLHLCEQSFSDMM GNTIEPSTRVRFINLARTLQAHMEDLETSEDEF >ENSMUSP00000116925.1 pep:known chromosome:GRCm38:2:60236754:60247957:1 gene:ENSMUSG00000026977.17 transcript:ENSMUST00000142485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March7 description:membrane-associated ring finger (C3HC4) 7 [Source:MGI Symbol;Acc:MGI:1931053] XLLLEDSDDEEEGDLCRICQMAAASSSNLLIEPCKCTGSLQYVHQECMKKWLQAKINSGS SLEAVTTCELCKEKLQLNLEDFDIHELHRAHANEQAEYEFISSGLYLVVLLHLCEQSFSD MMGNTIEPSTRVRFINLARTLQAHMEDLETVISQILLSFRG >ENSMUSP00000068961.8 pep:known chromosome:GRCm38:2:60224769:60247957:1 gene:ENSMUSG00000026977.17 transcript:ENSMUST00000067542.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March7 description:membrane-associated ring finger (C3HC4) 7 [Source:MGI Symbol;Acc:MGI:1931053] MESKPSRIPRRISVQPSGSLSTRMVSGNRGTSLNDSYHSRDSSFRLDSEYQSASASACAS PCQPAWYSESEIPQGARARAQTQQRDHDSKRPKLSCTNCASTSAGRNGGSGLNTVSDSSW RHSQVPRSSSMVLGSFGTDLMRERRDLDRRRESSISNLMDYNHRSGDFTTSSYVQERVPS SYSQGARPKENAVSTLQLNSSSTNHQLPSDHQTVPSSRDSSRSSFRSHFSPRQSESFRNS SHPAFSYFSSRNETPTISNSERGSSQRPYRESSDNEGRRTTRRLLSRIASSMSSTFFSRR SSQDSLNTRSLSSENYISPRTLTSQSRNNGTSSSSDVSEGRAAEASQGFRFLRRRWGLSS LSQNHSSEPEAENFNQESEGRNSGPWLSSSLRNRCTPLFSRRRREGRDESSRMSTSDVPP RSHIFRRDSNEVVHLEAQGDSLGAAANRPQASGASSSAAAGGSTPELPQGGRNPGLTGIL PGSLFRFAVPPALGSNLADNVMITVDIIPSGWNSTDGKNDKAKSAPSRDPEKLQKIKESL LLEDSDDEEEGDLCRICQMAAASSSNLLIEPCKCTGSLQYVHQECMKKWLQAKINSGSSL EAVTTCELCKEKLQLNLEDFDIHELHRAHANEQAEYEFISSGLYLVVLLHLCEQSFSDMM GNTIEPSTRVRFINLARTLQAHMEDLETSEDEF >ENSMUSP00000104875.1 pep:known chromosome:GRCm38:2:165992636:166071470:1 gene:ENSMUSG00000027678.17 transcript:ENSMUST00000109252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa3 description:nuclear receptor coactivator 3 [Source:MGI Symbol;Acc:MGI:1276535] MSGLGESSLDPLAAESRKRKLPCDAPGQGLVYSGEKWRREQESKYIEELAELISANLSDI DNFNVKPDKCAILKETVRQIRQIKEQGKTISSDDDVQKADVSSTGQGVIDKDSLGPLLLQ ALDGFLFVVNRDGNIVFVSENVTQYLQYKQEDLVNTSVYSILHEQDRKDFLKHLPKSTVN GVSWTNENQRQKSHTFNCRMLMKTHDILEDVNASPETRQRYETMQCFALSQPRAMLEEGE DLQCCMICVARRVTAPFPSSPESFITRHDLSGKVVNIDTNSLRSSMRPGFEDIIRRCIQR FFSLNDGQSWSQKRHYQEAYVHGHAETPVYRFSLADGTIVSAQTKSKLFRNPVTNDRHGF ISTHFLQREQNGYRPNPNPAGQGIRPPAAGCGVSMSPNQNVQMMGSRTYGVPDPSNTGQM GGARYGASSSVASLTPGQSLQSPSSYQNSSYGLSMSSPPHGSPGLGPNQQNIMISPRNRG SPKMASHQFSPAAGAHSPMGPSGNTGSHSFSSSSLSALQAISEGVGTSLLSTLSSPGPKL DNSPNMNISQPSKVSGQDSKSPLGLYCEQNPVESSVCQSNSRDHPSEKESKESSGEVSET PRGPLESKGHKKLLQLLTCSSDDRGHSSLTNSPLDPNCKDSSVSVTSPSGVSSSTSGTVS STSNVHGSLLQEKHRILHKLLQNGNSPAEVAKITAEATGKDTSSTASCGEGTTRQEQLSP KKKENNALLRYLLDRDDPSDVLAKELQPQADSGDSKLSQCSCSTNPSSGQEKDPKIKTET NEEVSGDLDNLDAILGDLTSSDFYNNPTNGGHPGAKQQMFAGPSSLGLRSPQPVQSVRPP YNRAVSLDSPVSVGSGPPVKNVSAFPGLPKQPILAGNPRMMDSQENYGANMGPNRNVPVN PTSSPGDWGLANSRASRMEPLASSPLGRTGADYSATLPRPAMGGSVPTLPLRSNRLPGAR PSLQQQQQQQQQQQQQQQQQQQQQQQQQQQQMLQMRTGEIPMGMGVNPYSPAVPSNQPGS WPEGMLSMEQGPHGSQNRPLLRNSLDDLLGPPSNAEGQSDERALLDQLHTLLSNTDATGL EEIDRALGIPELVNQGQALESKQDVFQGQEAAVMMDQKAALYGQTYPAQGPPLQGGFNLQ GQSPSFNSMMGQISQQGSFPLQGMHPRAGLVRPRTNTPKQLRMQLQQRLQGQQFLNQSRQ ALEMKMENPAGTAVMRPMMPQAFFNAQMAAQQKRELMSHHLQQQRMAMMMSQPQPQAFSP PPNVTASPSMDGVLAGSAMPQAPPQQFPYPANYGMGQPPEPAFGRGSSPPSAMMSSRMGP SQNAMVQHPQPTPMYQPSDMKGWPSGNLARNGSFPQQQFAPQGNPAAYNMVHMNSSGGHL GQMAMTPMPMSGMPMGPDQKYC >ENSMUSP00000085416.5 pep:known chromosome:GRCm38:2:165992637:166073242:1 gene:ENSMUSG00000027678.17 transcript:ENSMUST00000088095.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa3 description:nuclear receptor coactivator 3 [Source:MGI Symbol;Acc:MGI:1276535] MSGLGESSLDPLAAESRKRKLPCDAPGQGLVYSGEKWRREQESKYIEELAELISANLSDI DNFNVKPDKCAILKETVRQIRQIKEQGKTISSDDDVQKADVSSTGQGVIDKDSLGPLLLQ ALDGFLFVVNRDGNIVFVSENVTQYLQYKQEDLVNTSVYSILHEQDRKDFLKHLPKSTVN GVSWTNENQRQKSHTFNCRMLMKTHDILEDVNASPETRQRYETMQCFALSQPRAMLEEGE DLQCCMICVARRVTAPFPSSPESFITRHDLSGKVVNIDTNSLRSSMRPGFEDIIRRCIQR FFSLNDGQSWSQKRHYQEAYVHGHAETPVYRFSLADGTIVSAQTKSKLFRNPVTNDRHGF ISTHFLQREQNGYRPNPNPAGQGIRPPAAGCGVSMSPNQNVQMMGSRTYGVPDPSNTGQM GGARYGASSSVASLTPGQSLQSPSSYQNSSYGLSMSSPPHGSPGLGPNQQNIMISPRNRG SPKMASHQFSPAAGAHSPMGPSGNTGSHSFSSSSLSALQAISEGVGTSLLSTLSSPGPKL DNSPNMNISQPSKVSGQDSKSPLGLYCEQNPVESSVCQSNSRDHPSEKESKESSGEVSET PRGPLESKGHKKLLQLLTCSSDDRGHSSLTNSPLDPNCKDSSVSVTSPSGVSSSTSGTVS STSNVHGSLLQEKHRILHKLLQNGNSPAEVAKITAEATGKDTSSTASCGEGTTRQEQLSP KKKENNALLRYLLDRDDPSDVLAKELQPQADSGDSKLSQCSCSTNPSSGQEKDPKIKTET NEEVSGDLDNLDAILGDLTSSDFYNNPTNGGHPGAKQQMFAGPSSLGLRSPQPVQSVRPP YNRAVSLDSPVSVGSGPPVKNVSAFPGLPKQPILAGNPRMMDSQENYGANMGPNRNVPVN PTSSPGDWGLANSRASRMEPLASSPLGRTGADYSATLPRPAMGGSVPTLPLRSNRLPGAR PSLQQQQQQQQQQQQQQQQQQQQQQQQQQQQMLQMRTGEIPMGMGVNPYSPAVPSNQPGS WPEGMLSMEQGPHGSQNRPLLRNSLDDLLGPPSNAEGQSDERALLDQLHTLLSNTDATGL EEIDRALGIPELVNQGQALESKQDVFQGQEAAVMMDQKAALYGQTYPAQGPPLQGGFNLQ GQSPSFNSMMGQISQQGSFPLQGMHPRAGLVRPRTNTPKQLRMQLQQRLQGQQFLNQSRQ ALEMKMENPAGTAVMRPMMPQQAFFNAQMAAQQKRELMSHHLQQQRMAMMMSQPQPQAFS PPPNVTASPSMDGVLAGSAMPQAPPQQFPYPANYGMGQPPEPAFGRGSSPPSAMMSSRMG PSQNAMVQHPQPTPMYQPSDMKGWPSGNLARNGSFPQQQFAPQGNPAAYNMVHMNSSGGH LGQMAMTPMPMSGMPMGPDQKYC >ENSMUSP00000059143.2 pep:known chromosome:GRCm38:11:69059717:69061578:1 gene:ENSMUSG00000045176.3 transcript:ENSMUST00000051888.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Borcs6 description:BLOC-1 related complex subunit 6 [Source:MGI Symbol;Acc:MGI:1919173] MEAAQGRLGPEPELSVGAEHQAATFSGRPSRTPSKPPSVRTLSGEEEAESVGVSSRHPRA SPKTWSGSIAHGPELDTWEDKPSSRATPSGARGRRGVPGSEHAPPPSSWYPEPEPSEDQP SALRVCRRGSPGGVEMNVELPQQEGDDDDDEDEEAAAGRAGRSFPSRLQDSRSLDGLSGA CGGGGSSSSGETGAGGGRRATISSPLELEGTVSRHGDLTHFVANNLQLKIRLSGAPPPVP PASVRPCLTPAPTPTIPPIDPDVLRDLERLSRELGGRVDRLLRGLGGAVQELTALSVGCI QTYRDAVDSLGEAVDMSIKGMYTLLARCEELERALQPVQGLARQVRDIRRTLEVLEALCK >ENSMUSP00000031741.7 pep:known chromosome:GRCm38:5:137892932:137921619:-1 gene:ENSMUSG00000029727.7 transcript:ENSMUST00000031741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp3a13 description:cytochrome P450, family 3, subfamily a, polypeptide 13 [Source:MGI Symbol;Acc:MGI:88610] MDLIPNFSMETWMLLATSLVLLYLYGTHSHGIFKKLGIPGPKPLPFLGTILAYQKGFWEC DIQCHKKYGKMWGLYDGRQPVLAITDPDIIKTVLVKECYSTFTNRRRFGPVGILKKAISI SENEEWKRIRALLSPTFTSGRLKEMFPIINQFTDVLVRNMRQGLGEGKPTSMKDIFGAYS MDVITATSFGVNIDSLNNPQDPFVEKIKKLLKFDIFDPLFLSVTLFPFLTPVFDALNVSL FPRDVISFFTTSVERMKENRMKEKEKQRVDFLQLMINSQNYKTKESHKALSDVEIVAQSV IFIFAGYETTSSALSFALYLLAIHPDVQKKLQDEIDAALPNKAPATYDTLLQMEYLDMVV NETLRLYPIAGRLERVCKTDVEINGLFIPKGTVVMIPTFALHKDPKYWPEPEEFRPERFS KKNQDSINPYMYLPFGSGPRNCIGMRFALINMKVALVRVLQNFTVQPCKETEIPLKLSKQ GLLQPENPLLLKVVSRDETVSDE >ENSMUSP00000108886.2 pep:known chromosome:GRCm38:6:105677745:106674264:1 gene:ENSMUSG00000064293.14 transcript:ENSMUST00000113261.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn4 description:contactin 4 [Source:MGI Symbol;Acc:MGI:1095737] MRLPWELLVLQSFMLCLADDYTLHGPVFVQEPSHVMFPLDSEEKKVKLSCEVKGNPKPHI RWKINGTDVDIGMDFRYSVVDGSLLINNPNKTQDAGTYQCIATNSFGTIVSREAKLQFAY LENFKTRTRSTVSVRRGQGMVLLCGPPPHSGELSYAWIFNEYPSYQDNRRFVSQETGNLY IAKVEKSDVGNYTCVVTNTVTNHKVLGPPTPLILRNDGVMGEYEPKIEVQFPETVPAEKG TTVKLECFALGNPVPTILWRRADGKPIARKARRHKSNGILEIPNFQQEDAGSYECVAENS RGKNVAKGQLTFYAQPNWVQIINDIHVAMEESVFWECKANGRPKPTYRWLKNGDPLLTRD RIQIEQGTLNITIVNLSDAGMYQCVAENKHGVIFSSAELSVIAESPDFSRTLLKRVTLVK VGGEVVIECKPKASPRPVYTWRKGREILRENERITISEDGNLRIINVTKSDAGSYTCIAT NHFGTASSTGNVIVKDPTKVMVPPSSMDVTVGESIVLPCQVTHDHSLDIVFTWTFNGHLI DFDKDGDHFERVGGQDSAGDLMIRNIQLKHAGKYVCMVQTSVDKLSVAADLIVRGPPGPP EAVTIDEITDTTAQLSWRPGPDNHSPITMYVIQARTPFSVGWQAVNTVPDLVDGKTFTAT VVGLNPWVEYEFRTVAANVIGIGEPSRPSEKRRTEEAQNTGDQRG >ENSMUSP00000086616.2 pep:known chromosome:GRCm38:6:105677775:106699310:1 gene:ENSMUSG00000064293.14 transcript:ENSMUST00000089208.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn4 description:contactin 4 [Source:MGI Symbol;Acc:MGI:1095737] MRLPWELLVLQSFMLCLADDYTLHGPVFVQEPSHVMFPLDSEEKKVKLSCEVKGNPKPHI RWKINGTDVDIGMDFRYSVVDGSLLINNPNKTQDAGTYQCIATNSFGTIVSREAKLQFAY LENFKTRTRSTVSVRRGQGMVLLCGPPPHSGELSYAWIFNEYPSYQDNRRFVSQETGNLY IAKVEKSDVGNYTCVVTNTVTNHKVLGPPTPLILRNDGVMGEYEPKIEVQFPETVPAEKG TTVKLECFALGNPVPTILWRRADGKPIARKARRHKSNGILEIPNFQQEDAGSYECVAENS RGKNVAKGQLTFYAQPNWVQIINDIHVAMEESVFWECKANGRPKPTYRWLKNGDPLLTRD RIQIEQGTLNITIVNLSDAGMYQCVAENKHGVIFSSAELSVIAESPDFSRTLLKRVTLVK VGGEVVIECKPKASPRPVYTWRKGREILRENERITISEDGNLRIINVTKSDAGSYTCIAT NHFGTASSTGNVIVKDPTKVMVPPSSMDVTVGESIVLPCQVTHDHSLDIVFTWTFNGHLI DFDKDGDHFERVGGQDSAGDLMIRNIQLKHAGKYVCMVQTSVDKLSVAADLIVRGPPGPP EAVTIDEITDTTAQLSWRPGPDNHSPITMYVIQARTPFSVGWQAVNTVPDLVDGKTFTAT VVGLNPWVEYEFRTVAANVIGIGEPSRPSEKRRTEEALPEVTPANVSGGGGSKSELVITW ETVPEELQNGRGFGYVVAFRPHGKMIWMLTVLASADASRYVFRNESVRPFSPFEVKVGVF NNKGEGPFSPTTLVYSAEEEPTKPPASIFARSLSATDIEVFWASPIGKNRGRIQGYEVKY WRHDDKEENAKKIRTVGNQTSTKITNLKGSALYHLSVKAYNSAGTGPSSATVNVTTRKPP PSQPPGNIIWNSSDSKIILNWDQVKALDNESEVKGYKVLYRWNRQSSTSVIETNKTSVEL SLPFDEDYIIEIKPFSDGGDGSSSEQIRIPKISNSYARGSGASTSNACTLSAISTIMISL TARSSL >ENSMUSP00000108885.1 pep:known chromosome:GRCm38:6:105924800:106674270:1 gene:ENSMUSG00000064293.14 transcript:ENSMUST00000113260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn4 description:contactin 4 [Source:MGI Symbol;Acc:MGI:1095737] MRLPWELLVLQSFMLCLADDYTLHGPVFVQEPSHVMFPLDSEEKKVKLSCEVKGNPKPHI RWKINGTDVDIGMDFRYSVVDGSLLINNPNKTQDAGTYQCIATNSFGTIVSREAKLQFAY LENFKTRTRSTVSVRRGQGMVLLCGPPPHSGELSYAWIFNEYPSYQDNRRFVSQETGNLY IAKVEKSDVGNYTCVVTNTVTNHKVLGPPTPLILRNDGVMGEYEPKIEVQFPETVPAEKG TTVKLECFALGNPVPTILWRRADGKPIARKARRHKSNGILEIPNFQQEDAGSYECVAENS RGKNVAKGQLTFYAQPNWVQIINDIHVAMEESVFWECKANGRPKPTYRWLKNGDPLLTRD RIQIEQGTLNITIVNLSDAGMYQCVAENKHGVIFSSAELSVIAESPDFSRTLLKRVTLVK VGGEVVIECKPKASPRPVYTWRKGREILRENERITISEDGNLRIINVTKSDAGSYTCIAT NHFGTASSTGNVIVKDPTKVMVPPSSMDVTVGESIVLPCQVTHDHSLDIVFTWTFNGHLI DFDKDGDHFERVGGQDSAGDLMIRNIQLKHAGKYVCMVQTSVDKLSVAADLIVRGPPGPP EAVTIDEITDTTAQLSWRPGPDNHSPITMYVIQARTPFSVGWQAVNTVPDLVDGKTFTAT VVGLNPWVEYEFRTVAANVIGIGEPSRPSEKRRTEEAQNTGDQRG >ENSMUSP00000108883.1 pep:known chromosome:GRCm38:6:106118765:106618945:1 gene:ENSMUSG00000064293.14 transcript:ENSMUST00000113258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn4 description:contactin 4 [Source:MGI Symbol;Acc:MGI:1095737] MRLPWELLVLQSFMLCLADDYTLHGPVFVQEPSHVMFPLDSEEKKVKLSCEVKGNPKPHI RWKINGTDVDIGMDFRYSVVDGSLLINNPNKTQDAGTYQCIATNSFGTIVSREAKLQFAY LENFKTRTRSTVSVRRGQGMVLLCGPPPHSGELSYAWIFNEYPSYQDNRRFVSQETGNLY IAKVEKSDVGNYTCVVTNTVTNHKVLGPPTPLILRNDGVMGEYEPKIEVQFPETVPAEKG TTVKLECFALGNPVPTILWRRADGKPIARKARRHKSNGILEIPNFQQEDAGSYECVAENS RGKNVAKGQLTFYAQPNWVQIINDIHVAMEESVFWECKANGRPKPTYRWLKNGDPLLTRD RIQIEQGTLNITIVNLSDAGMYQCVAENKHGVIFSSAELSVIAESPDFSRTLLKRVTLVK VGGEVVIECKPKASPRPVYTWRKGREILRENERITISEDGNLRIINVTKSDAGSYTCIAT NHFGTASSTGNVIVKGNG >ENSMUSP00000078385.5 pep:known chromosome:GRCm38:6:106119006:106673487:1 gene:ENSMUSG00000064293.14 transcript:ENSMUST00000079416.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn4 description:contactin 4 [Source:MGI Symbol;Acc:MGI:1095737] MRLPWELLVLQSFMLCLADDYTLHGPVFVQEPSHVMFPLDSEEKKVKLSCEVKGNPKPHI RWKINGTDVDIGMDFRYSVVDGSLLINNPNKTQDAGTYQCIATNSFGTIVSREAKLQFAY LENFKTRTRSTVSVRRGQGMVLLCGPPPHSGELSYAWIFNEYPSYQDNRRFVSQETGNLY IAKVEKSDVGNYTCVVTNTVTNHKVLGPPTPLILRNDGVMGEYEPKIEVQFPETVPAEKG TTVKLECFALGNPVPTILWRRADGKPIARKARRHKSNGILEIPNFQQEDAGSYECVAENS RGKNVAKGQLTFYAQPNWVQIINDIHVAMEESVFWECKANGRPKPTYRWLKNGDPLLTRD RIQIEQGTLNITIVNLSDAGMYQCVAENKHGVIFSSAELSVIAESPDFSRTLLKRVTLVK VGGEVVIECKPKASPRPVYTWRKGREILRENERITISEDGNLRIINVTKSDAGSYTCIAT NHFGTASSTGNVIVKDPTKVMVPPSSMDVTVGESIVLPCQVTHDHSLDIVFTWTFNGHLI DFDKDGDHFERVGGQDSAGDLMIRNIQLKHAGKYVCMVQTSVDKLSVAADLIVRGPPGPP EAVTIDEITDTTAQLSWRPGPDNHSPITMYVIQARTPFSVGWQAVNTVPDLVDGKTFTAT VVGLNPWVEYEFRTVAANVIGIGEPSRPSEKRRTEEAREYHSRYALSVLVAPIP >ENSMUSP00000108889.2 pep:known chromosome:GRCm38:6:105677745:106699305:1 gene:ENSMUSG00000064293.14 transcript:ENSMUST00000113264.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn4 description:contactin 4 [Source:MGI Symbol;Acc:MGI:1095737] MRLPWELLVLQSFMLCLADDYTLHGPVFVQEPSHVMFPLDSEEKKVKLSCEVKGNPKPHI RWKINGTDVDIGMDFRYSVVDGSLLINNPNKTQDAGTYQCIATNSFGTIVSREAKLQFAY LENFKTRTRSTVSVRRGQGMVLLCGPPPHSGELSYAWIFNEYPSYQDNRRFVSQETGNLY IAKVEKSDVGNYTCVVTNTVTNHKVLGPPTPLILRNDGVMGEYEPKIEVQFPETVPAEKG TTVKLECFALGNPVPTILWRRADGKPIARKARRHKSNGILEIPNFQQEDAGSYECVAENS RGKNVAKGQLTFYAQPNWVQIINDIHVAMEESVFWECKANGRPKPTYRWLKNGDPLLTRD RIQIEQGTLNITIVNLSDAGMYQCVAENKHGVIFSSAELSVIAESPDFSRTLLKRVTLVK VGGEVVIECKPKASPRPVYTWRKGREILRENERITISEDGNLRIINVTKSDAGSYTCIAT NHFGTASSTGNVIVKDPTKVMVPPSSMDVTVGESIVLPCQVTHDHSLDIVFTWTFNGHLI DFDKDGDHFERVGGQDSAGDLMIRNIQLKHAGKYVCMVQTSVDKLSVAADLIVRGPPGPP EAVTIDEITDTTAQLSWRPGPDNHSPITMYVIQARTPFSVGWQAVNTVPDLVDGKTFTAT VVGLNPWVEYEFRTVAANVIGIGEPSRPSEKRRTEEALPEVTPANVSGGGGSKSELVITW ETVPEELQNGRGFGYVVAFRPHGKMIWMLTVLASADASRYVFRNESVRPFSPFEVKVGVF NNKGEGPFSPTTLVYSAEEEPTKPPASIFARSLSATDIEVFWASPIGKNRGRIQGYEVKY WRHDDKEENAKKIRTVGNQTSTKITNLKGSALYHLSVKAYNSAGTGPSSATVNVTTRKPP PSQPPGNIIWNSSDSKIILNWDQVKALDNESEVKGYKVLYRWNRQSSTSVIETNKTSVEL SLPFDEDYIIEIKPFSDGGDGSSSEQIRIPKISNSYARGSGASTSNACTLSAISTIMISL TARSSL >ENSMUSP00000107851.1 pep:known chromosome:GRCm38:1:134455531:134490873:1 gene:ENSMUSG00000026455.14 transcript:ENSMUST00000112232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl12 description:kelch-like 12 [Source:MGI Symbol;Acc:MGI:2385619] MGGIMAPKDIMTNTHAKSILNSMNSLRKSNTLCDVTLRVEQKDFPAHRIVLAACSDYFCA MFTSELSEKGKPYVDIQGLTAATMEILLDFVYTETVHVTVENVQELLPAACLLQLKGVKQ ACCEFLESQLDPSNCLGIRDFAETHNCVDLMQAAEVFSQKHFPEVVQHEEFILLSQGEVE KLIKCDEIQVDSEEPVFEAVINWVKHAKKEREESLPDLLQYVRMPLLTPRYITDVIDAEP FIRCSLQCRDLVDEAKKFHLRPELRSQMQGPRTRARLGANEVLLVVGGFGSQQSPIDVVE KYDPKTQEWSFLPSITRKRRYVASVSLHDRIYVIGGYDGRSRLSSVECLDYTADEDGVWY SVAPMNVRRGLAGATTLGDMIYVSGGFDGSRRHTSMERYDPNIDQWSMLGDMQTAREGAG LVVASGIIYCLGGYDGLNILNSVEKYDPHTGHWTNVTPMATKRSAYNIRTDSWTTVTSMT TPRCYVGATVLRGRLYAIAGYDGNSLLSSIECYDPIIDSWEVVASMGTQRCDAGVCVLRE K >ENSMUSP00000027725.4 pep:known chromosome:GRCm38:1:134455531:134491018:1 gene:ENSMUSG00000026455.14 transcript:ENSMUST00000027725.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl12 description:kelch-like 12 [Source:MGI Symbol;Acc:MGI:2385619] MGGIMAPKDIMTNTHAKSILNSMNSLRKSNTLCDVTLRVEQKDFPAHRIVLAACSDYFCA MFTSELSEKGKPYVDIQGLTAATMEILLDFVYTETVHVTVENVQELLPAACLLQLKGVKQ ACCEFLESQLDPSNCLGIRDFAETHNCVDLMQAAEVFSQKHFPEVVQHEEFILLSQGEVE KLIKCDEIQVDSEEPVFEAVINWVKHAKKEREESLPDLLQYVRMPLLTPRYITDVIDAEP FIRCSLQCRDLVDEAKKFHLRPELRSQMQGPRTRARLGANEVLLVVGGFGSQQSPIDVVE KYDPKTQEWSFLPSITRKRRYVASVSLHDRIYVIGGYDGRSRLSSVECLDYTADEDGVWY SVAPMNVRRGLAGATTLGDMIYVSGGFDGSRRHTSMERYDPNIDQWSMLGDMQTAREGAG LVVASGIIYCLGGYDGLNILNSVEKYDPHTGHWTNVTPMATKRSGAGVALLNDHIYVVGG FDGTAHLSSVEAYNIRTDSWTTVTSMTTPRCYVGATVLRGRLYAIAGYDGNSLLSSIECY DPIIDSWEVVASMGTQRCDAGVCVLREK >ENSMUSP00000112227.1 pep:known chromosome:GRCm38:1:134455555:134490873:1 gene:ENSMUSG00000026455.14 transcript:ENSMUST00000116528.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl12 description:kelch-like 12 [Source:MGI Symbol;Acc:MGI:2385619] MGGIMAPKDIMTNTHAKSILNSMNSLRKSNTLCDVTLRVEQKDFPAHRIVLAACSDYFCA MFTSELSEKGKPYVDIQGLTAATMEILLDFVYTETVHVTVENVQELLPAACLLQLKGVKQ ACCEFLESQLDPSNCLGIRDFAETHNCVDLMQAAEVFSQKHFPEVVQHEEFILLSQGEVE KLIKCDEIQVDSEEPVFEAVINWVKHAKKEREESLPDLLQYVRMPLLTPRYITDVIDAEP FIRCSLQCRDLVDEAKKFHLRPELRSQMQGPRTRARLGANEVLLVVGGFGSQQSPIDVVE KYDPKTQEWSFLPSITRKRRYVASVSLHDRIYVIGGYDGRSRLSSVECLDYTADEDGVWY SVAPMNVRRGLAGATTLGDMIYVSGGFDGSRRHTSMERYDPNIDQWSMLGDMQTAREGAG LVVASGIIYCLGGYDGLNILNSVEKYDPHTGHWTNVTPMATKRSGAGVALLNDHIYVVGG FDGTAHLSSVEAYNIRTDSWTTVTSMTTPRCYVGATVLRGRLYAIAGYDGNSLLSSIECY DPIIDSWEVVASMGTQRCDAGVCVLREK >ENSMUSP00000125061.1 pep:known chromosome:GRCm38:7:114743694:114791018:1 gene:ENSMUSG00000048782.15 transcript:ENSMUST00000161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insc description:inscuteable homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917942] MRRPPGDGDSTGEGPGNWGLWGAQESRRLCCVGPDRCGQALLQIGINMMALPGGRHLDSV PLQEQRLHFMQVDSVQRWMEDLKLMTEC >ENSMUSP00000119459.1 pep:known chromosome:GRCm38:7:114745740:114791296:1 gene:ENSMUSG00000048782.15 transcript:ENSMUST00000136645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insc description:inscuteable homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917942] MMALPGGRHLDSVPLQEQRLHFMQVDSVQRWMEDLKLMTECECMCVLQAKPISLEEDTQG DLILAGGPGPGDPLQLLLKRGWVISTELRRIGQKLAQDRWARVHSMSVRLTCHARSMVSE YSTISRTASQEMG >ENSMUSP00000112682.1 pep:known chromosome:GRCm38:7:114768736:114850383:1 gene:ENSMUSG00000048782.15 transcript:ENSMUST00000117543.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insc description:inscuteable homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917942] MMALPGGRHLDSVPLQEQRLHFMQVDSVQRWMEDLKLMTECECMCVLQAKPISLEEDTQG DLILAGGPGPGDPLQLLLKRGWVISTELRRIGQKLAQDRWARVHSMSVRLTCHARSMVSE YSTISRTASQEMGQAEKLLMEKCSELSAVTERCLQVENEHVLKSMKACVSETLSLLGEHF GQLLELALTREVQALVRKIDTSDNIYITESTTGNLFGLTQEGAPLCRIIAKEGGVVALFK VCRQDSFRCLYPQALRTLASICCVEEGVHQLEKVDGILCLADILTDESHSEATRAEAAAV VAQVTSPHLSFTQHLTSFLENMEEIVTALIKLCQEASSGEVFLLASAALANITFFDKMAC EMLLQLNAIRVLLEACGDKQRVDTPYTRDQIVTILANMSVLEQCGSDIIQENGVQLIMGM LSEKPRSGTPAEVAACERVQQKAAVTLARLCRDPDVAQEAVRLSCMSRLIELCRSPSERN SSDAVLVACLAALRRLAGVCPEGLQDSDFQQLVQPRLVDSFLLCSNMEESFV >ENSMUSP00000117296.1 pep:known chromosome:GRCm38:7:114768774:114791055:1 gene:ENSMUSG00000048782.15 transcript:ENSMUST00000151464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insc description:inscuteable homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917942] MMALPGGRHLDSVPLQEQRLHFMQVDSVQRWMEDLKLMTECECMCVLQAKPIS >ENSMUSP00000145636.1 pep:known chromosome:GRCm38:7:114793968:114829198:1 gene:ENSMUSG00000048782.15 transcript:ENSMUST00000206274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insc description:inscuteable homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917942] RCLQVENEHVLKSMKACVSETLSLLGEHFGQLLELALTREVQALVRKIDTSDNIYITEST TGNLFGLTQEGAPLCRIIAKVDGILCLADILTDESHSEATRAEAAAVVAQVTSPHLSFTQ HLTSFLENMEEIVTA >ENSMUSP00000129505.1 pep:known chromosome:GRCm38:7:114745766:114850380:1 gene:ENSMUSG00000048782.15 transcript:ENSMUST00000169913.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insc description:inscuteable homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917942] MMALPGGRHLDSVPLQEQRLHFMQVDSVQRWMEDLKLMTECECMCVLQAKPISLEEDTQG DLILAGGPGPGDPLQLLLKRGWVISTELRRIGQKLAQDRWARVHSMSVRLTCHARSMVSE YSTISRTASQEMGQAEKLLMEKCSELSAVTERCLQVENEHVLKSMKACVSETLSLLGEHF GQLLELALTREVQALVRKIDTSDNIYITESTTGNLFGLTQEGAPLCRIIAKEGGVVALFK VCRQDSFRCLYPQALRTLASICCVEEGVHQLEKVDGILCLADILTDESHSEATRAEAAAV VAQVTSPHLSFTQHLTSFLENMEEIVTALIKLCQEASSGEVFLLASAALANITFFDKMAC EMLLQLNAIRVLLEACGDKQRVDTPYTRDQIVTILANMSVLEQCGSDIIQENGVQLIMGM LSEKPRSGTPAEVAACERVQQKAAVTLARLCRDPDVAQEAVRLSCMSRLIELCRSPSERN SSDAVLVACLAALRRLAGVCPEGLQDSDFQQLVQPRLVDSFLLCSNMEESFV >ENSMUSP00000032124.8 pep:known chromosome:GRCm38:6:81957851:81965958:-1 gene:ENSMUSG00000030045.10 transcript:ENSMUST00000032124.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl19 description:mitochondrial ribosomal protein L19 [Source:MGI Symbol;Acc:MGI:1926274] MAASMAESCRASLYLARSVRMARPRLAAFASDACRVCTGPSRFQSTGPSEPGGFKPPPKP VIVDRRRVPEDERRFLSPEFIPPRGRTNPLKFKIERKDMLDRRKVLPIPEFYVGSILRVT TADPYASGKTSQFLGICIKRSGNGLGATFTLRNTIEGQGVEICFELYNPRIQEIQVVKLE KRLDDNLLYLRDALPEYSTFDVNMKPVPQEACQEVPVNKLKVKMKPKPWSKRWERPNFNI KGIRFDLALTEEQMKEAQKWNKPWIEFDMMREYDTSKIEAALWEEIEASKKS >ENSMUSP00000113136.1 pep:known chromosome:GRCm38:3:107741048:107760236:-1 gene:ENSMUSG00000014599.10 transcript:ENSMUST00000118593.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf1 description:colony stimulating factor 1 (macrophage) [Source:MGI Symbol;Acc:MGI:1339753] MTARGAAGRCPSSTWLGSRLLLVCLLMSRSIAKEVSEHCSHMIGNGHLKVLQQLIDSQME TSCQIAFEFVDQEQLDDPVCYLKKAFFLVQDIIDETMRFKDNTPNANATERLQELSNNLN SCFTKDYEEQNKACVRTFHETPLQLLEKIKNFFNETKNLLEKDWNIFTKNCNNSFAKCSS RGHVEQHEGSSDPQIPESVFHLLVPGIILVLLTVGGLLFYKWKWRSHRDPQTLDSSVGRP EDSSLTQDEDRQVELPV >ENSMUSP00000014743.3 pep:known chromosome:GRCm38:3:107741048:107760469:-1 gene:ENSMUSG00000014599.10 transcript:ENSMUST00000014743.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf1 description:colony stimulating factor 1 (macrophage) [Source:MGI Symbol;Acc:MGI:1339753] MTARGAAGRCPSSTWLGSRLLLVCLLMSRSIAKEVSEHCSHMIGNGHLKVLQQLIDSQME TSCQIAFEFVDQEQLDDPVCYLKKAFFLVQDIIDETMRFKDNTPNANATERLQELSNNLN SCFTKDYEEQNKACVRTFHETPLQLLEKIKNFFNETKNLLEKDWNIFTKNCNNSFAKCSS RDVVTKPDCNCLYPKATPSSDPASASPHQPPAPSMAPLAGLAWDDSQRTEGSSLLPSELP LRIEDPGSAKQRPPRSTCQTLESTEQPNHGDRLTEDSQPHPSAGGPVPGVEDILESSLGT NWVLEEASGEASEGFLTQEAKFSPSTPVGGSIQAETDRPRALSASPFPKSTEDQKPVDIT DRPLTEVNPMRPIGQTQNNTPEKTDGTSTLREDHQEPGSPHIATPNPQRVSNSATPVAQL LLPKSHSWGIVLPLGELEGKRSTRDRRSPAELEGGSASEGAARPVARFNSIPLTDTGHVE QHEGSSDPQIPESVFHLLVPGIILVLLTVGGLLFYKWKWRSHRDPQTLDSSVGRPEDSSL TQDEDRQVELPV >ENSMUSP00000112832.1 pep:known chromosome:GRCm38:3:107743014:107750979:-1 gene:ENSMUSG00000014599.10 transcript:ENSMUST00000120654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf1 description:colony stimulating factor 1 (macrophage) [Source:MGI Symbol;Acc:MGI:1339753] MVQDTLLRVTVTCNNVSAGLSAQLGQEPLLFCSLHDAQACVRTFHETPLQLLEKIKNFFN ETKNLLEKDWNIFTKNCNNSFAKCSSRGHVEQHEGSSDPQIPESVFHLLVPGIILVLLTV GGLLFYKWKWRSHRDPQTLDSSVGRPEDSSLTQDEDRQVELPV >ENSMUSP00000113617.1 pep:known chromosome:GRCm38:3:107745595:107760242:-1 gene:ENSMUSG00000014599.10 transcript:ENSMUST00000120243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf1 description:colony stimulating factor 1 (macrophage) [Source:MGI Symbol;Acc:MGI:1339753] MTARGAAGRCPSSTWLGSRLLLVCLLMSRSIAKEVSEHCSHMIGNGHLKVLQQLIDSQME TSCQIAFEFVDQEQLDDPVCYLKKAFFLVQDIIDETMRFKDNTPNANATERLQELSNNLN SCFTKDYEEQNKACVRTFHETPLQLLEKIKNFFNETKNLLEKDWNIFTKNCNNSFAKCSS RDVVTKPDCNCLYPKATPSSDPASASPHQPPAPSMAPLAGLAWDDSQRTEGSSLLPSELP LRIEDPGSAKQRPPRSTCQTLESTEQPNHGDRLTEDSQPHPSAGGPVPGVEDILESSLGT NWVLEEASGEASEGFLTQEAKFSPSTPVGGSIQAETDRPRALSASPFPKSTEDQKPVDIT DRPLTEVNPMRPIGQTQNNTPEKTDGTSTLREDHQEPGSPHIATPNPQRVSNSATPVAQL LLPKSHSWGIVLPLGELEGKRSTRDRRSPAELEGGSASEGAARPVARFNSIPLTDTGHVE QHEGSSDPQIPESVFHLLVPGIILVLLTVGGLLFYKWKWRSHRDPQTLDSSVGRPEDSSL TQDEDRQVELPV >ENSMUSP00000119553.1 pep:known chromosome:GRCm38:3:107748542:107750741:-1 gene:ENSMUSG00000014599.10 transcript:ENSMUST00000156820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf1 description:colony stimulating factor 1 (macrophage) [Source:MGI Symbol;Acc:MGI:1339753] GLSAQLGQEPLLFCSLHDAQACVRTFHETPLQLLEKIKNFFNETKNLLEKDWNIFTKNCN NSFAKCSSRDVVTKPDCNCLYPKATPSSDPASASPHQPPAPSMAPLAGLAWDDSQRTEGS SLLPSELPLRIEDPGSAKQRPPRSTCQTLESTEQPNHGDRLTEDSQPHPSAGGPVPGVED ILESSLGTNWVLEEASGEASEGFLTQEAKFSPSTPVGGSIQAETDRPRALSASPFPKSTE DQKPVDITDRPLTEVNPMRPIGQTQNNTPEKTDGTSTLR >ENSMUSP00000115480.1 pep:known chromosome:GRCm38:3:107750380:107760221:-1 gene:ENSMUSG00000014599.10 transcript:ENSMUST00000153114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf1 description:colony stimulating factor 1 (macrophage) [Source:MGI Symbol;Acc:MGI:1339753] MMVHNHSYLQVQEIPRRKHIECVHASRQNIHKHKTKTTWLGSRLLLVCLLMSRSIAKEVS EHCSHMIGNGHLKVLQQLIDSQMETSCQIAFEFVDQEQLDDPVCYLKKAFFLVQDIIDET MRFKDNTPNANATERLQELSNNLNSCFTKDYEEQNKACVRTFHETPLQLLEKIKNFFNET KN >ENSMUSP00000027429.5 pep:known chromosome:GRCm38:1:86045863:86053353:1 gene:ENSMUSG00000026227.11 transcript:ENSMUST00000027429.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810459M11Rik description:RIKEN cDNA 2810459M11 gene [Source:MGI Symbol;Acc:MGI:1920042] MESELEALAPRPASPAEPPFQALVEAAGGRGQVLLVGELWEREQSRALLRDFAGAVFPPE SAPGKPGCAEAESAGTAAATESHGAPGAKAERAIRSPLVFVLCRVGSLTSRESRRRLREM LRDVRDRRCEGAALVGVLVADTGADDARAPELQLLETLLRTVFGRQVGGPVQAAAFRPGC PASSLAVQEAACRALQAAGPGRPEGAWERPARTGLLTCFSWGPRRQRKNRGVTSSQGPAQ EHLQFSEEELALTPVFPNGDCEDRGNGSRAQDGGVHIPPDPPEDTR >ENSMUSP00000131459.1 pep:known chromosome:GRCm38:1:86045863:86055456:1 gene:ENSMUSG00000026227.11 transcript:ENSMUST00000165824.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810459M11Rik description:RIKEN cDNA 2810459M11 gene [Source:MGI Symbol;Acc:MGI:1920042] MESELEALAPRPASPAEPPFQALVEAAGGRGQVLLVGELWEREQSRALLRDFAGAVFPPE SAPGKPGCAEAESAGTAAATESHGAPGAKAERAIRSPLVFVLCRVGSLTSRESRRRLREM LRDVRDRRCEGAALVGVLVADTGADDARAPELQLLETLLRTVFGRQVGGPVQAAAFRPGC PASSLAVQEAACRALQAAGPGRPAEGAWERPARTGLLTCFSWGPRRQRKNRGVTSSQGPA QEHLQFSEEELALTPVFPNGDCEDRGNGSRAQDGGVHIPPDPPEDTR >ENSMUSP00000035644.4 pep:known chromosome:GRCm38:6:81923669:81959915:1 gene:ENSMUSG00000035125.10 transcript:ENSMUST00000043195.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcfc2 description:GC-rich sequence DNA binding factor 2 [Source:MGI Symbol;Acc:MGI:2141656] MALRPQRTFRRRQVESSDSDSDSDGAKEQSAEEPASAGGRTEGAERPRGARSARGRGRVW ASSRRSPGAAPRGDGGAECRTAELSTDEEEGTHTLTGSKGDRSPSSDSSCSLEERDVSPI VEIPDAAFIQAARRKRELARTPGDYISLDVNHSCSTSDCKRSNEEDPESDPDDHEKRILF TPKPQTLRQRMAEETSIRSEESSEESQEDENQDIWEQQQMRKAVRIPAGQNTDLSHSSKS QTLKKFDTSISFPPVNLEIIKKQLNNRLTLLQESHRSHQREYEKYEQDIKSSKTAIQNLE SASDHAQNYRFYRGMKSYVENIIDCLNEKIVSIVELESSMYTLLLKRSEALLKRRQDELK CESSYLQQLSRKDETSANGSLAVDEKDQRILEEIEARRMQRRQARELSGSCDHQEGMSSD DELSPAEMTNFHKCQGDILQDCKKVFEDVHDDFCNVQNILLKFQQWREKFPDSYYEAFVG FCLPKLLSPLIRVQLLDWNPLKMDSIGLDKMPWFTAITEFMESSMDDIGKEDGSDKKILA AVINKTVVPRLTDFVETIWDPLSTSQTRSLTVHCRVAFEQFASENEVSKNKQDLLKSIVA RMKKSIEDDIFIPLYPKSSEEGKMSPHSKFQERQFWGALKLFRNILLWNGLLPDDTLQDL GLGKLLNRYLIISLTNAVPGPDVVKKCSQIAACLPERWFENSAMRTSIPQLENFIKFLLQ SAQKLSSSEFRNEVSEIILILVKVKALTQAESLREERPLEPLPAQSTGV >ENSMUSP00000138136.1 pep:known chromosome:GRCm38:6:81923673:81959256:1 gene:ENSMUSG00000035125.10 transcript:ENSMUST00000152996.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gcfc2 description:GC-rich sequence DNA binding factor 2 [Source:MGI Symbol;Acc:MGI:2141656] MALRPQRTFRRRQVESSDSDSDSDGAKEQSAEEPASAGGRTEGAERPRGARSARGRGRVW ASSRRSPGAAPRGDGGAECRTAELSTDEEEGTHTLTGSKGDRSPSSDSSCSLEERDVSPI VEIPDAAFIQAARRKRELARTPGDYISLDVNHSCSTSDCKRSNEEDPESDPDDHEKRILF TPKPQTLRQRMAEETSIRSEESSEESQEDENQDIWEQQQMRKAVRIPAHGTNRATLEDGM SAGNCIHPVGLWTRTKYRPFPQQ >ENSMUSP00000144868.1 pep:known chromosome:GRCm38:6:81946503:81958062:1 gene:ENSMUSG00000035125.10 transcript:ENSMUST00000203959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcfc2 description:GC-rich sequence DNA binding factor 2 [Source:MGI Symbol;Acc:MGI:2141656] XITEFMESSMDDIGKEDGSDKKILAAVINKTVVPRLTDFVETIWDPLSTSQTRSLTVHCR VAFEQFASENEVSKNKQDLLKSIVARMKKSIEDDIFIPLYPKSSEEGKMSPHSKFQERQF WGALKLFRNILLWNGLLPDDTLQDLGLGKLLNRYLIISLTNAVPGPDVVKKCSQE >ENSMUSP00000104088.2 pep:known chromosome:GRCm38:7:19779718:19796793:-1 gene:ENSMUSG00000040525.13 transcript:ENSMUST00000108449.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cblc description:Casitas B-lineage lymphoma c [Source:MGI Symbol;Acc:MGI:1931457] MAAAAAPRGWQRGEPRALSRAVKLLQRLEEQCRDPRMVTGPPSLRDLLPRTAQLLGEVAK ARREAREDPEGPGGADDFLAIYLANLEVKGRQVAELLPPRGKKDVNQDVFREGSRFRRQL AKLALIFSHMHAELSALFPAGKYCGHLYQLTKGSAHIFWRQNCGVRCVLPWAEFQSLLCA CHPVEPGPTMQALRSTLDLTCNGHVSVFEFDVFTRLFQPWPTLLRNWQLLAVNHPGYMAF LTYDEVQTRLQAYRDKPGSYIFRPSCTRLGQWAIGYVSSDGSILQTIPLNKPLLQVLLKG QKDGIFLFPDGKKHNPDLTELCRVEPYQRIQVSEDSDSQTCPFCRCEIKGREAVSICQAQ ERPTEVRTAADGSRDNCHQEAAEQKLGPVIPSAPSLLPEDQFPQGPQDKGWLTLAPLALP RLRPPLPLPKMASVLWEVTSRPRAREEATESS >ENSMUSP00000039955.7 pep:known chromosome:GRCm38:7:19779718:19796809:-1 gene:ENSMUSG00000040525.13 transcript:ENSMUST00000043822.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cblc description:Casitas B-lineage lymphoma c [Source:MGI Symbol;Acc:MGI:1931457] MAAAAAPRGWQRGEPRALSRAVKLLQRLEEQCRDPRMVTGPPSLRDLLPRTAQLLGEVAK ARREAREDPEGPGGADDFLAIYLANLEVKGRQVAELLPPRGKKDVNQDVFREGSRFRRQL AKLALIFSHMHAELSALFPAGKYCGHLYQLTKGSAHIFWRQNCGVRCVLPWAEFQSLLCA CHPVEPGPTMQALRSTLDLTCNGHVSVFEFDVFTRLFQPWPTLLRNWQLLAVNHPGYMAF LTYDEVQTRLQAYRDKPGSYIFRPSCTRLGQWAIGYVSSDGSILQTIPLNKPLLQVLLKG QKDGIFLFPDGKKHNPDLTELCRVEPYQRIQVSEEQLLLYQAMNSTFQLCKICAERDKDV RIEPCGHLLCSCCLAAWQDSDSQTCPFCRCEIKGREAVSICQAQERPTEVRTAADGSRDN CHQEAAEQKLGPVIPSAPSLLPEDQFPQGPQDKGWLTLAPLALPRLRPPLPLPKMASVLW EVTSRPRAREEATESS >ENSMUSP00000103536.1 pep:known chromosome:GRCm38:11:88830792:88851503:-1 gene:ENSMUSG00000018428.15 transcript:ENSMUST00000107903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap1 description:A kinase (PRKA) anchor protein 1 [Source:MGI Symbol;Acc:MGI:104729] MAIQLRSLFPLALPGMLALLGWWWFFSRKKDRLSSSDKQVETLKVGPAIKDRRLSEEACP GVLSVAPTVTQPPGREEQRCVDKPSTEPLALPRTRQVRRRSESSGNLPSVADTRSQPGPC RDEIAKVELSLMGDKAKSIPLGCPLLPKDASFPYEAVERCKQESALGKTPGRGWPSPYAA SGEKARETGGTEGTGDAVLGENVSEEGLLSQECVSEVEKSEFPILAPGGGEGEEVSHGPP QVAELLKKEEYIVGKLPSSFVEPVHSEPVKDEDALEPQVKGSSNTSDRDLAGELDKDETV PENDQIKQAAFQLISQVILEATEEFRATTVGKTVAQVHPTSATQPKGKEESCVPASQETS LGQDTSDPASTRTGATASPSAEALPPKTYVSCLSSPLSGPTKDQKPKNSAHHISLAPCPP PVTPQRQSLEGASNPRGDDNFVACMANNSQSVLSVSSLGQCSDPVSTSGLEDSCTETISS SGDKAMTPPLPVSTQPFSNGVLKEELSDLGTEDGWTMDTEADHSGGSDGNSMDSVDSCCG LTKPDSPQSVQAGSNPKKVDLIIWEIEVPKHLVGRLIGKQGRYVSFLKQTSGAKIYISTL PYTQNIQICHIEGSQHHVDKALNLIGKKFKELNLTNIYAPPLPSLALPSLPMTSWLMLPD GITVEVIVVNQVNAGHLFVQQHTHPTFHALRSLDQQMYLCYSQPGIPTLPTPVEITVICA APGADGAWWRAQVVASYEETNEVEIRYVDYGGYKRVKVDVLRQIRSDFVTLPFQGAEVLL DSVVPLSDDDHFSPEADAAMSEMTGNTALLAQVTSYSATGLPLIQLWSVVGDEVVLINRS LVERGLAQWVDSYYASL >ENSMUSP00000122295.1 pep:known chromosome:GRCm38:11:88830792:88851503:-1 gene:ENSMUSG00000018428.15 transcript:ENSMUST00000143720.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Akap1 description:A kinase (PRKA) anchor protein 1 [Source:MGI Symbol;Acc:MGI:104729] MAIQLRSLFPLALPGMLALLGWWWFFSRKKDRLSSSDKQVETLKVGPAIKDRRLSEEACP GVLSVAPTVTQPPGREEQRCVDKPSTEPLALPRTRQVRRRSESSGNLPSVADTRSQPGPC RDEIAKVELSLMGDKAKSIPLGCPLLPKDASFPYEAVERCKQESALGKTPGRGWPSPYAA SGEKARETGGTEGTGDAVLGENVSEEGLLSQECVSEVEKSEFPILAPGGGEGEEVSHGPP QVAELLKKEEYIVGKLPSSFVEPVHSEPVKDEDALEPQVKGSSNTSDRDLAGELDKDETV PENDQIKQAAFQLISQVILEATEEFRATTVGKTVAQVHPTSATQPKGKEESCVPASQETS LGQDTSDPASTRTGATASPSAEALPPKTYVSCLSSPLSGPTKDQKPKNSAHHISLAPCPP PVTPQRQSLEGASNPRGDDNFVACMANNSQSVLSVSSLGQCSDPVSTSGLEDSCTETISS SGDKAMTPPLPVSTQPFSNGVLKEELSDLGTEDGWTMDTEADHSGVAAPPQERGHFGNGG CTGFFEC >ENSMUSP00000018572.4 pep:known chromosome:GRCm38:11:88830792:88864586:-1 gene:ENSMUSG00000018428.15 transcript:ENSMUST00000018572.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap1 description:A kinase (PRKA) anchor protein 1 [Source:MGI Symbol;Acc:MGI:104729] MAIQLRSLFPLALPGMLALLGWWWFFSRKKDRLSSSDKQVETLKVGPAIKDRRLSEEACP GVLSVAPTVTQPPGREEQRCVDKPSTEPLALPRTRQVRRRSESSGNLPSVADTRSQPGPC RDEIAKVELSLMGDKAKSIPLGCPLLPKDASFPYEAVERCKQESALGKTPGRGWPSPYAA SGEKARETGGTEGTGDAVLGENVSEEGLLSQECVSEVEKSEFPILAPGGGEGEEVSHGPP QVAELLKKEEYIVGKLPSSFVEPVHSEPVKDEDALEPQVKGSSNTSDRDLAGELDKDETV PENDQIKQAAFQLISQVILEATEEFRATTVGKTVAQVHPTSATQPKGKEESCVPASQETS LGQDTSDPASTRTGATASPSAEALPPKTYVSCLSSPLSGPTKDQKPKNSAHHISLAPCPP PVTPQRQSLEGASNPRGDDNFVACMANNSQSVLSVSSLGQCSDPVSTSGLEDSCTETISS SGDKAMTPPLPVSTQPFSNGVLKEELSDLGTEDGWTMDTEADHSGGSDGNSMDSVDSCCG LTKPDSPQSVQAGSNPKKVDLIIWEIEVPKHLVGRLIGKQGRYVSFLKQTSGAKIYISTL PYTQNIQICHIEGSQHHVDKALNLIGKKFKELNLTNIYAPPLPSLALPSLPMTSWLMLPD GITVEVIVVNQVNAGHLFVQQHTHPTFHALRSLDQQMYLCYSQPGIPTLPTPVEITVICA APGADGAWWRAQVVASYEETNEVEIRYVDYGGYKRVKVDVLRQIRSDFVTLPFQGAEVLL DSVVPLSDDDHFSPEADAAMSEMTGNTALLAQVTSYSATGLPLIQLWSVVGDEVVLINRS LVERGLAQWVDSYYASL >ENSMUSP00000103537.2 pep:known chromosome:GRCm38:11:88831755:88863717:-1 gene:ENSMUSG00000018428.15 transcript:ENSMUST00000107904.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap1 description:A kinase (PRKA) anchor protein 1 [Source:MGI Symbol;Acc:MGI:104729] MGCKTGPKPFGGGETIRPIRIRRCSYFTSTDSKMAIQLRSLFPLALPGMLALLGWWWFFS RKKDRLSSSDKQVETLKVGPAIKDRRLSEEACPGVLSVAPTVTQPPGREEQRCVDKPSTE PLALPRTRQVRRRSESSGNLPSVADTRSQPGPCRDEIAKVELSLMGDKAKSIPLGCPLLP KDASFPYEAVERCKQESALGKTPGRGWPSPYAASGEKARETGGTEGTGDAVLGENVSEEG LLSQECVSEVEKSEFPILAPGGGEGEEVSHGPPQVAELLKKEEYIVGKLPSSFVEPVHSE PVKDEDALEPQVKGSSNTSDRDLAGELDKDETVPENDQIKQAAFQLISQVILEATEEFRA TTVGKTVAQVHPTSATQPKGKEESCVPASQETSLGQDTSDPASTRTGATASPSAEALPPK TYVSCLSSPLSGPTKDQKPKNSAHHISLAPCPPPVTPQRQSLEGASNPRGDDNFVACMAN NSQSVLSVSSLGQCSDPVSTSGLEDSCTETISSSGDKAMTPPLPVSTQPFSNGVLKEELS DLGTEDGWTMDTEADHSGGSDGNSMDSVDSCCGLTKPDSPQSVQAGSNPKKVDLIIWEIE VPKHLVGRLIGKQGRYVSFLKQTSGAKIYISTLPYTQNIQICHIEGSQHHVDKALNLIGK KFKELNLTNIYAPPLPSLALPSLPMTSWLMLPDGITVEVIVVNQVNAGHLFVQQHTHPTF HALRSLDQQMYLCYSQPGIPTLPTPVEITVICAAPGADGAWWRAQVVASYEETNEVEIRY VDYGGYKRVKVDVLRQIRSDFVTLPFQGAEVLLDSVVPLSDDDHFSPEADAAMSEMTGNT ALLAQVTSYSATGLPLIQLWSVVGDEVVLINRSLVERGLAQWVDSYYASL >ENSMUSP00000123018.1 pep:known chromosome:GRCm38:11:88838972:88844498:-1 gene:ENSMUSG00000018428.15 transcript:ENSMUST00000153787.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Akap1 description:A kinase (PRKA) anchor protein 1 [Source:MGI Symbol;Acc:MGI:104729] XNNSQSVLSVSSLGQCSDPVSTSGLEDSCTETISSSGDKAMTPPLPVSTQPFSNGVLKEE LSDLGTEDGWTMDTEADHSGEALEGCSSTPGKRALW >ENSMUSP00000140148.1 pep:known chromosome:GRCm38:18:35562146:35572490:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000188359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MSKSFQQSSLGRDSQGHGRDLSAAGIGLLAAATQSLSMPASLGRMNQGTARLASLMNLGM SSSLNQQGAHSALSSASTSSHNLQSIFNIGSRGPLPLSSQHRGDTDQASNILASFGLSAR DLDELSRYPEDKITPENLPQILLQLKRRRTEEGPT >ENSMUSP00000125761.1 pep:known chromosome:GRCm38:18:35562158:35593835:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000166793.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MSKSFQQSSLGRDSQGHGRDLSAAGIGLLAAATQSLSMPASLGRMNQGTARLASLMNLGM SSSLNQQGAHSALSSASTSSHNLQSIFNIGSRGPLPLSSQHRGDTDQASNILASFGLSAR DLDELSRYPEDKITPENLPQILLQLKRRRTEEGPTLSYGRDGRSATREPPYRVPRDDWEE KRHFRRDSFDDRGPSLNPVLDYDHGSRSQESGYYDRMDYEDDRLRDGERCRDDSFFGETS HNYHKFDSEYERMGRGPGPLQERSLFEKKRGAPPSSNIEDFHGLLPKGYPHLCSICDLPV HSNKEWSQHINGASHSRRCQLLLEIYPEWNPDNDTGHTMGDPFMLQQSTNPAPGILGPPP PSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGRVETSRVVHIMDFQRGKNLRYQLLQLVE PFGVISNHLILNKINEAFIEMATTEDAQAAVDYYTTTPALVFGKPVRVHLSQKYKRIKKP EGKPDQKFDQKQELGRVIHLSNLPHSGYSDSAVLKLAEPYGKIKNYILMRMKSQAFIEME TREDAMAMVDHCLKKALWFQGRCVKVDLSEKYKKLVLRIPNRGIDLLKKDKSRKRSYSPD GKESPSDKKSKTDAQKTESPAEGKEQEEKSGEDGEKDTKDDQTEQEPSMLLESEDELLVD EEEAAALLESGSSVGDETDLANLGDVSSDGKKEPSDKAVKKDPSASATSKKKLKKVDKIE ELDQENEAALENGIKNEENTEPGAESAENADDPNKDTSENADGQNDENKEDYTIPDEYRI GPYQPNVPVGIDYVIPKTGFYCKLCSLFYTNEEVAKNTHCSSLPHYQKLKKFLNKLAEER RQKKET >ENSMUSP00000140286.1 pep:known chromosome:GRCm38:18:35562192:35572123:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000187543.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MSKSFQQSSLGRDSQGHGRDLSAAGIGLLAAAT >ENSMUSP00000141135.1 pep:known chromosome:GRCm38:18:35562211:35590598:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000190653.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MGDPFMLQQSTNPAPGILGPPPPSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGRVETSR VVHIMDFQRGKNLRYQLLQLVEPFGVISNHLILNKINEAFIEMATTEDAQAAVDYYTTTP ALVFGKPVRVHLSQKYKRIKKPEGKPDQKFDQKQELGRVIHLSNLPHSGYSDSAVLKLAE PYGKIKNYILMRMKSQAFIEMETREDAMAMVDHCLKKALWFQGRCVKVDLSEKYKKLVLR IPNRGIDLLKKDKSRKRSYSPDGKESPSDKKSKTDAQKTESPAEGKEQEEKSGEDGEKDT KDDQTEQEPSMLLESEDELLVDEEEAAALLESGSSVGDETDLANLGDVSSDGKKEPSDKA VKKDPSASATSKKKLKKVDKIEELDQENEAALENGIKNEENTEPGAESAENADDPNKDTS ENADGQNDENKEDYTIPDEYRIGPYQPNVPVGIDYVIPKTGFYCKLCSLFYTNEEVAKNT HCSSLPHYQKLKKFLNKLAEERRQKKET >ENSMUSP00000140853.1 pep:known chromosome:GRCm38:18:35562211:35591419:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000190121.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MGDPFMLQQSTNPAPGILGPPPPSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGRVETSR VVHIMDFQRGKNLRYQLLQLVEPFGVISNHLILNKINEAFIEMATTEDAQAAVDYYTTTP ALVFGKPVRVHLSQKYKRIKKPEGKPDQKFDQKQELGRVIHLSNLPHSGYSDSAVLKLAE PYGKIKNYILMRMKSQAFIEMETREDAMAMVDHCLKKALWFQGRCVKVDLSEKYKKLVLR IPNRGIDLLKKDKSRKRSYSPDGKESPSDKKSKTDAQKTESPAEGKEQEEKSGEDGEKDT KDDQTEQEPSMLLESEDELLVDEEEAAALLESGSSVGDETDLANLGDVSSDGKKEPSDKA VKKDPSASATSKKKLKKVDKIEELDQENEAALENGIKNEENTEPGAESAENADDPNKDTS ENADGQNDENKEDYTIPDEYRIGPYQPNVPVGIDYVIPKTGFYCKLCSLFYTNEEVAKNT HCSSLPHYQKLKKFLNKLAEERRQKKET >ENSMUSP00000141027.1 pep:known chromosome:GRCm38:18:35562217:35590621:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000188767.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MGDPFMLQQSTNPAPGILGPPPPSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGNQPSCS HYGFSARKKLEIPAVTTGRTIWSHFKSSDFK >ENSMUSP00000140128.1 pep:known chromosome:GRCm38:18:35562219:35590581:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000186796.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MGDPFMLQQSTNPAPGILGPPPPSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGRVETSR VVHIMDFQRGKNLRYQLLQLVEPFGVISNHLILNKINEAFIEMATTEDAQAAVDYYTTTP ALVFGKPVRVHLSQKYKRIKKPEGKPDQKFDQKQELGRVIHLSNLPHSGYSDSAVLKLAE PYGKIKNYILMRMKSQAFIEMETREDAMAMVDHCLKKALWFQGRCVKVDLSEKYKKLVLR IPNRGIDLLKKDKSRKRSYSPDGKESPSDKKSKTDAQKTESPAEGKEQEEKSGEDGEKDT KDDQTEQEPSMLLESEDELLVDEEEAAALLESGSSVGDETDLANLGDVSSDGKKEPSDKA VKKDPSASATSKKKLKKVDKIEELDQENEAALENGIKNEENTEPGAESAENADDPNKDTS ENADGQNDENKEDYTIPDEYRIGPYQPNVPVGIDYVIPKTGFYCKLCSLFYTNEEVAKNT HCSSLPHYQKLKKFLNKLAEERRQKKET >ENSMUSP00000140401.1 pep:known chromosome:GRCm38:18:35562299:35590529:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000188275.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MLGVQWRRSLPPRAAEEWSQHINGASHSRRCQLLLEIYPEWNPDNDTGHTMGDPFMLQQS TNPAPGILGPPPPSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGRVETSRVVHIMDFQRG KNLRYQLLQLVEPFGVISNHLILNKINEAFIEMATTEDAQAAVDYYTTTPALVFGKPVRV HLSQKYKRIKKPEGKPDQKFDQKQELGRVIHLSNLPHSGYSDSAVLKLAEPYGKIKNYIL MRMKSQAFIEMETREDAMAMVDHCLKKALWFQGRCVKVDLSEKYKKLVLRIPNRGIDLLK KDKSRKRSYSPDGKESPSDKKSKTDAQKTESPAEGKEQEEKSGEDGEKDTKDDQTEQEPS MLLESEDELLVDEEEAAALLESGSSVGDETDLANLGDVSSDGKKEPSDKAVKKDPSASAT SKKKLKKVDKIEELDQENEAALENGIKNEENTEPGAESAENADDPNKDTSENADGQNDEN KEDYTIPDEYRIGPYQPNVPVGIDYVIPKTGFYCKLCSLFYTNEEVAKNTHCSSLPHYQK LKKFLNKLAEERRQKKET >ENSMUSP00000139745.1 pep:known chromosome:GRCm38:18:35562445:35590738:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000187389.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MSKSFQQSSLGRDSQGHGRDLSAAGIGLLAAATQSLSMPASLGRMNQGTARLASLMNLGM SSSLNQQGAHSALSSASTSSHNLQSIFNIGSRGPLPLSSQHRGDTDQASNILASFGLSAR DLDELSRYPEDKITPENLPQILLQLKRRRTEEGPTLSYGRDGRSATREPPYRVPRDDWEE KRHFRRDSFDDRGPSLNPVLDYDHGSRSQESGYYDRMDYEDDRLRDGERCRDDSFFGETS HNYHKFDSEYERMGRGPGPLQERSLFEKKRGAPPSSNIEDFHGLLPKGYPHLCSICDLPV HSNKEWSQHINGASHSRRCQLLLEIYPEWNPDNDTGHTMGDPFMLQQSTNPAPGILGPPP PSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGRVETSRVVHIMDFQRGKNLRYQLLQLVE PFGVISNHLILNKINEAFIEMATTEDAQAAVDYYTTTPALVFGKPVRVHLSQKYKRIKKP EGKPDQKFDQKQELGRVIHLSNLPHSGYSDSAVLKLAEPYGKIKNYILMRMKSQAFIEME TREDAMAMVDHCLKKALWFQGRCVKVDLSEKYKKLVLRIPNRGIDLLKKDKSRKRSYSPD GKESPSDKKSKTDAQKTESPAEGKEQEEKSGEDGEKDTKDDQTEQEPSMLLESEDELLVD EEEAAALLESGSSVGDETDLANLGDVSSDGKKEPSDKAVKKDPSASATSKKKLKKVDKIE ELDQENEAALENGIKNEENTEPGAESAENADDPNKDTSENADGQNDENKEDYTIPDEYRI GPYQPNVPVGIDYVIPKTGFYCKLCSLFYTNEEVAKNTHCSSLPHYQKLKKFLNKLAEER RQKKET >ENSMUSP00000140846.1 pep:known chromosome:GRCm38:18:35562453:35590464:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000190029.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MSKSFQQSSLGRDSQGHGRDLSAAGIGLLAAATQSLSMPASLGRMNQGTARLASLMNLGM SSSLNQQGAHSALSSASTSSHNLQSIFNIGSRGPLPLSSQHRGDTDQASNILASFGLSAR DLDELSRYPEDKITPENLPQILLQLKRRRTEEGPTLSYGRDGRSATREPPYRVPRDDWEE KRHFRRDSFDDRGPSLNPVLDYDHGSRSQESGYYDRMDYEDDRLRDGERCRDDSFFGETS HNYHKFDSEYERMGRGPGPLQERSLFEKKRGAPPSSNIEDFHGLLPKGYPHLCSICDLPV HSNKEWSQHINGASHSRRCQLLLEIYPEWNPDNDTGHTMGDPFMLQQSTNPAPGILGPPP PSFHLGGPAVGPRGNLGAGNGNLQGPRHMQKGRVETSRVVHIMDFQRGKNLRYQLLQLVE PFGVISNHLILNKINEAFIEMATTEDAQAAVDYYTTTPALVFGKPVRVHLSQKYKRIKKP EGKPDQKFDQKQELGRVIHLSNLPHSGYSDSAVLKLAEPYGKIKNYILMRMKSQAFIEME TREDAMAMVDHCLKKALWFQGRCVKVDLSEKYKKLVLRIPNRGIDLLKKDKSRKRSYSPD GKESPSDKKSKTDAQKTESPAEGKEQEEKSGEDGEKDTKDDQTEQEPSMLLESEDELLVD EEEAAALLESGSSVGDETDLANLGDVSSDGKKEPSDKAVKKDPSASATSKKKLKKVDKIE ELDQENEAALENGIKNEENTEPGAESAENADDPNKDTSENADGQNDENKEDYTIPDEYRI GPYQPNVPVGIDYVIPKTGFYCKLCSLFYTNEEVAKNTHCSSLPHYQKLKKFLNKLAEER RQKKET >ENSMUSP00000139525.1 pep:known chromosome:GRCm38:18:35562487:35579008:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000189039.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MGRGPGPLQERSLFEKKRGAPPSSNIEDFHGLLPKGYPHLCSICDLPVHSNKEWSQHING ASHSRRCQLLLEIYPEWNPDNDTGHTMGDPFMLQQSTNPAPGILGPPPPSFHLGGPAVGP R >ENSMUSP00000139437.1 pep:known chromosome:GRCm38:18:35562683:35572203:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000186292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MSKSFQQSSLGRDSQGHGRDLSAAGIGLLAAATQSLSMPASLGRMNQGTARLASLMNLGM >ENSMUSP00000141189.1 pep:known chromosome:GRCm38:18:35562689:35579293:1 gene:ENSMUSG00000037236.14 transcript:ENSMUST00000186614.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matr3 description:matrin 3 [Source:MGI Symbol;Acc:MGI:1298379] MSKSFQQSSLGRDSQGHGRDLSAAGIGLLAAATQSLSMPASLGRMNQGTARLASLMNLGM SSSLNQQGAHSALSSASTSSHNLQSIFNIGSRGPLPLSSQHRGDTDQASNILASFGLSAR DLDELSRYPEDKITPENLPQILLQLKRRRTEEGPTLSYGRDGRSATREPPYRVPRDDWEE KRHFRRDSFDDRGPSLNPVLDYDHGSRSQESGYYDRMDYEDDRLRDGERCRDDSFFGETS HNYHKFDSEYERMGRGPGPLQERSLFEKKRGAPPSSNIEDFHGLLPKGYPHLCSICDLPV HSNKEWSQHINGASHSRRCQLLLEIYPEWNPDNDTGHTMGDPFMLQQSTNPAPGILGPPP PSFHLGGPAVGPRGNLGAGNGNLQGP >ENSMUSP00000092354.4 pep:known chromosome:GRCm38:4:126103972:126141029:1 gene:ENSMUSG00000042608.15 transcript:ENSMUST00000094761.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk40 description:serine/threonine kinase 40 [Source:MGI Symbol;Acc:MGI:1921428] MKRRASDRGAGETSANAKALGTGIAGNNAKRAGPFVLGPRLGNSPVPSIVQCLARKDGTD DFYQLKILTLEERGEQGIESQEERQGKMLLHTEYSLLSLLHTQDGVVHHHGLFQDRTCEA VEDTESGRMVKKMKKRICLVLDCLCAHDFSDKTADLINLQHYVIKEKRLSERETVVIFYD VVRVVEALHQKNIVHRDLKLGNMVLNKRTHRITITNFCLGKHLVSEGDLLKDQRGSPAYI SPDVLSGRPYRGKPSDMWALGVVLFTMLYGQFPFYDSIPQELFRKIKAAEYTIPEDGRVS ENTVCLIRKLLVLDPQQRLAAADVLEALSAIIASWQSLSSLSGPLQVVPDIDDQMSSSDS SQEAKVTEECSQYEFENYMRQQLLLAEEKSSIHEARAWVPKRQFGSMPPVRRLGHDAQPM TSLDTAILAQRYLRK >ENSMUSP00000121674.1 pep:known chromosome:GRCm38:4:126104001:126125111:1 gene:ENSMUSG00000042608.15 transcript:ENSMUST00000139524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk40 description:serine/threonine kinase 40 [Source:MGI Symbol;Acc:MGI:1921428] MKPYLRGASTQELRMKRRASDRGAGETSANAKALGTGIAGNNAKRAGPFVLGPRLGNSPV P >ENSMUSP00000114793.1 pep:known chromosome:GRCm38:4:126118272:126128968:1 gene:ENSMUSG00000042608.15 transcript:ENSMUST00000139188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk40 description:serine/threonine kinase 40 [Source:MGI Symbol;Acc:MGI:1921428] MKRRASDRGAGETSANAKALGTGIAGNNAKRAGPFVLGPRLGNSPVPSIVQCLARKDGTD DFYQLKILTLEERGEQGIESQEERQGKMLLHTEYSLLSLLHTQDGVVHHHGLFQDRTCEA VEDTESGRMVKKMKKRICLVLDCLCAHDFSDKTADLINLQHYVI >ENSMUSP00000111990.2 pep:known chromosome:GRCm38:4:126103957:126141028:1 gene:ENSMUSG00000042608.15 transcript:ENSMUST00000116286.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk40 description:serine/threonine kinase 40 [Source:MGI Symbol;Acc:MGI:1921428] MKPYLRGASTQELRMKRRASDRGAGETSANAKALGTGIAGNNAKRAGPFVLGPRLGNSPV PSIVQCLARKDGTDDFYQLKILTLEERGEQGIESQEERQGKMLLHTEYSLLSLLHTQDGV VHHHGLFQDRTCEAVEDTESGRMVKKMKKRICLVLDCLCAHDFSDKTADLINLQHYVIKE KRLSERETVVIFYDVVRVVEALHQKNIVHRDLKLGNMVLNKRTHRITITNFCLGKHLVSE GDLLKDQRGSPAYISPDVLSGRPYRGKPSDMWALGVVLFTMLYGQFPFYDSIPQELFRKI KAAEYTIPEDGRVSENTVCLIRKLLVLDPQQRLAAADVLEALSAIIASWQSLSSLSGPLQ VVPDIDDQMSSSDSSQEAKVTEECSQYEFENYMRQQLLLAEEKSSIHEARAWVPKRQFGS MPPVRRLGHDAQPMTSLDTAILAQRYLRK >ENSMUSP00000059401.4 pep:known chromosome:GRCm38:X:136666375:136668378:1 gene:ENSMUSG00000044550.11 transcript:ENSMUST00000060904.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal3 description:transcription elongation factor A (SII)-like 3 [Source:MGI Symbol;Acc:MGI:1913354] MEEVRGENEGKLEKEGKPEDEVEPEDEEKSDEDEKPDKKAKPAPRQGKPEEEAKPDEQGQ DEGKPEKQGKSDGEGKRQGESKPDSQAKSASEARAAEKRPAEDYVPRKAKRKTDRGTDDS PKNSQEDLQDRHVSSEEMMRECADMTRAQEELRKRQKMGGFHWVPRDAQDALVPRGPRGV RGVRGGGGRSQRGLHDIPYL >ENSMUSP00000114903.1 pep:known chromosome:GRCm38:X:136590842:136667693:1 gene:ENSMUSG00000044550.11 transcript:ENSMUST00000138047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal3 description:transcription elongation factor A (SII)-like 3 [Source:MGI Symbol;Acc:MGI:1913354] MEEVRGENEGKLEKEGKPEDEVEPEDEEKSDEDEKPDKKAKPAPRQGKPEEE >ENSMUSP00000123502.1 pep:known chromosome:GRCm38:X:136590844:136667549:1 gene:ENSMUSG00000044550.11 transcript:ENSMUST00000145648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal3 description:transcription elongation factor A (SII)-like 3 [Source:MGI Symbol;Acc:MGI:1913354] MEEV >ENSMUSP00000108724.1 pep:known chromosome:GRCm38:X:136666403:136668374:1 gene:ENSMUSG00000044550.11 transcript:ENSMUST00000113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal3 description:transcription elongation factor A (SII)-like 3 [Source:MGI Symbol;Acc:MGI:1913354] MEEVRGENEGKLEKEGKPEDEVEPEDEEKSDEDEKPDKKAKPAPRQGKPEEEAKPDEQGQ DEGKPEKQGKSDGEGKRQGESKPDSQAKSASEARAAEKRPAEDYVPRKAKRKTDRGTDDS PKNSQEDLQDRHVSSEEMMRECADMTRAQEELRKRQKMGGFHWVPRDAQDALVPRGPRGV RGVRGGGGRSQRGLHDIPYL >ENSMUSP00000117087.1 pep:known chromosome:GRCm38:X:136666611:136668065:1 gene:ENSMUSG00000044550.11 transcript:ENSMUST00000128040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal3 description:transcription elongation factor A (SII)-like 3 [Source:MGI Symbol;Acc:MGI:1913354] MEEVRGENEGKLEKEGKPEDEVEPEDEEKSDEDEKPDKKAKPAPRQGKPEEEAKPDEQGQ DEGKPEKQGKSDGEGKRQGESKPDSQAKSASEARAAEKRPAEDYVPRKAKRKTDRGTDDS PKNSQEDLQDRHVSSEEMMRECADMTRAQEELRKRQKMGGFHWVPRDAQDALVPRG >ENSMUSP00000028205.8 pep:known chromosome:GRCm38:2:30972177:30981941:-1 gene:ENSMUSG00000026851.9 transcript:ENSMUST00000028205.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC005624 description:cDNA sequence BC005624 [Source:MGI Symbol;Acc:MGI:2385132] MRITGKTFRRRRADSESEEDEQESEEVRLKLEETREVQNLRKRPNGVSAAALLVGEKVQE ETTLVDDPFQMATGGMVDMKKLKERGKDKVSEEEDLHLGTSFSAETNRRDEDADMMKYIE TELKKRKGIVEQEEQKAKPKNAEDCLYELPENIRVSSAKKTEEMLSNQMLSGIPEVDLGI DAKIKNIISTEDAKARLLAEQQNKKKDSETSFVPTNMAVNYVQHNRFYHEELNAPIRRNK EEPKARPLRVGDTEKPEPERSPPNRKRPANEKATDDYHYEKFKKMNRRY >ENSMUSP00000033866.8 pep:known chromosome:GRCm38:8:22192809:22220843:1 gene:ENSMUSG00000031479.8 transcript:ENSMUST00000033866.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps36 description:vacuolar protein sorting 36 (yeast) [Source:MGI Symbol;Acc:MGI:1917410] MDRFVWTSGLLEINETLVIQQRGVRVYDGEEKIKFDAGTLLLSTHRLIWRDQKNNECCMA IPLSQIVFIEEQAAGIGKSAKIVVHLHPAPSNKEPGPFQSSKNSYIRLSFKEHGQIEFYR RLSEEMTQRRWETVPVSQSLQTNKGPQPGRVRAVGIVGIERKLEEKRKETDKNISEAFED LSKLMIKAKEMVELSKSIANKIKEKQGDVTEDETIRFKSYLLSMGIANPVTRETYGSGTQ YHMQLAKQLAGILQAPLEERGGIMSLTEVYCLVNRARGMELLSPEDLVNACKMLEALKLP IRLRVFDSGVMVIELQTHKEEEMVASALETVSERGSLTSEEFAKLVGMSVLLAKERLLLA EKMGHLCRDDSVEGLRFYPNLFMTQN >ENSMUSP00000036277.5 pep:known chromosome:GRCm38:1:191575636:191623688:1 gene:ENSMUSG00000037461.10 transcript:ENSMUST00000045450.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints7 description:integrator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1924315] MASNSTKSFLADAGYGEQELDANSALMELDKGLRSGKLGEQCEAVVRFPRLFQKYPFPIL INSAFLKLADVFRVGNNFLRLCVLKVTQQSEKHLEKILNVDEFVKRVFSVIHSNDPVARA ITLRMLGSLASIIPERKNAHHSIRQSLDSHDNVEVEAAVFAAANFSAQSKDFAVGICNKI SEMIQGLATPVDLKLKLIPILQHMHHDALLASSARQLLQQLVTSYPSTKMVIVSLHTFTL LAASSLVDTPKQIQLLLQYLKNDPRKAVKRLAVQDLKLLASKTPHTWSKENIQALCECAL HTPYDSLKLGMLSVLSTLSGTIAIKHYFSVVPGNVGSSPRSSDLVKLAQECCYHSNRGIA AHGVRVLTNITVSCQEKDLLSLEQDAVFGLESLLVLCSQDDSPGAQSTVKSALSCMVKLA KGRPHLSRSVVDTLLTQLHSSQDAARILMCHCLAAIAMQLPVLGDGMLGDLVELYKVIGR SATDKQQELLVSLATVIFVASQKALSAEVKAVIKQQLESVSSGWTVYRIARQASRMGNHD MARELYQSLLTQVASEHFYFWLNSLKEFSHAEQCLTGLQEDSFSSALSCIAESLKFYHKG IASLTAASTPLNPLSFQCEFVKLRIDLLQAFSQLICTCNSLKTSPPPAIATTIAMTLGND LQRCGRISNQMKQSMEEFRSLASRYRDLYQASFDADSATLRNVELQQQSCLLIAHAIEAL VLDPESASFQEYGSTGAAHADSEYERRMMSVYSRVLEEVESLNRKYAPVSYMHTACLCNA IIALLKVPLSFQRYFFQKLQSTSIKLALSPSPRSPAEPIAVQNNQQLALKVEGVVQHGSK PGLFRRVQSVCLNVSSTLQSKSGQDYKIPIDSMTNEMEQRVEPHNDYFSTQFLLNFAVLG THSITVESSVRDANGIVWKTGPRTTMFVKSLEDPYSQQIRLQQQAQQPLQPQPQPQPQPR SAYTRF >ENSMUSP00000141741.1 pep:known chromosome:GRCm38:1:191575742:191621646:1 gene:ENSMUSG00000037461.10 transcript:ENSMUST00000194877.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ints7 description:integrator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1924315] MASNSTKSFLADAGYGEQELDANSALMELDKETTSCGCVFSK >ENSMUSP00000142177.1 pep:known chromosome:GRCm38:1:191575762:191608251:1 gene:ENSMUSG00000037461.10 transcript:ENSMUST00000193569.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ints7 description:integrator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1924315] MASNSTKSFLADAGYGEQELDANSALMELDKETTSCGCVFSK >ENSMUSP00000141817.1 pep:known chromosome:GRCm38:1:191575762:191622746:1 gene:ENSMUSG00000037461.10 transcript:ENSMUST00000194785.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ints7 description:integrator complex subunit 7 [Source:MGI Symbol;Acc:MGI:1924315] MASNSTKSFLADAGYGEQELDANSALMELDKGCWEVWRPSSLRGRMPITVSARVWIPMIM >ENSMUSP00000104872.2 pep:known chromosome:GRCm38:2:166073089:166155663:-1 gene:ENSMUSG00000006800.13 transcript:ENSMUST00000109249.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sulf2 description:sulfatase 2 [Source:MGI Symbol;Acc:MGI:1919293] MAPPGLPLWLLSTALLSLLAGSSAFLSHPRLKGRFQRDRRNIRPNIILVLTDDQDVELGS MQVMNKTRRIMEQGGAHFINAFVTTPMCCPSRSSILTGKYVHNHNTYTNNENCSSPSWQA QHESRTFAVYLNSTGYRTAFFGKYLNEYNGSYVPPGWKEWVGLLKNSRFYNYTLCRNGVK EKHGSDYSTDYLTDLITNDSVSFFRTSKKMYPHRPVLMVISHAAPHGPEDSAPQYSRLFP NASQHITPSYNYAPNPDKHWIMRYTGPMKPIHMEFTNMLQRKRLQTLMSVDDSMETIYDM LVETGELDNTYILYTADHGYHIGQFGLVKGKSMPYEFDIRVPFYVRGPNVEAGSLNPHIV LNIDLAPTILDIAGLDIPADMDGKSILKLLDSERPVNRFHLKKKLRVWRDSFLVERGKLL HKREGDKVNAQEENFLPKYQRVKDLCQRAEYQTACEQLGQKWQCVEDASGTLKLHKCKGP MRFGGGGGSRALSNLVPKYDGQSSEACSCDSGGGGDYKLGLAGRRKLFKKKYKTSYARNR SIRSVAIEVDGEIYHVGLDTVPQPRNLSKPHWPGAPEDQDDKDGGSFSGTGGLPDYSAPN PIKVTHRCYILENDTVQCDLDLYKSLQAWKDHKLHIDHEIETLQNKIKNLREVRGHLKKK RPEECDCHRISYHSQHKGRLKHKGSSLHPFRKGLQEKDKVWLLREQKRKKKLRKLLKRLQ NNDTCSMPGLTCFTHDNHHWQTAPLWTLGPFCACTSANNNTYWCLRTINETHNFLFCEFA TGFIEYFDLSTDPYQLMNAVNTLDRDVLNQLHVQLMELRSCKGYKQCNPRTRNMDLGLRD GGSYEQYRQFQRRKWPEMKRPSSKSLGQLWEGWEG >ENSMUSP00000085405.3 pep:known chromosome:GRCm38:2:166073920:166155285:-1 gene:ENSMUSG00000006800.13 transcript:ENSMUST00000088086.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sulf2 description:sulfatase 2 [Source:MGI Symbol;Acc:MGI:1919293] MAPPGLPLWLLSTALLSLLAGSSAFLSHPRLKGRFQRDRRNIRPNIILVLTDDQDVELGS MQVMNKTRRIMEQGGAHFINAFVTTPMCCPSRSSILTGKYVHNHNTYTNNENCSSPSWQA QHESRTFAVYLNSTGYRTAFFGKYLNEYNGSYVPPGWKEWVGLLKNSRFYNYTLCRNGVK EKHGSDYSTDYLTDLITNDSVSFFRTSKKMYPHRPVLMVISHAAPHGPEDSAPQYSRLFP NASQHITPSYNYAPNPDKHWIMRYTGPMKPIHMEFTNMLQRKRLQTLMSVDDSMETIYDM LVETGELDNTYILYTADHGYHIGQFGLVKGKSMPYEFDIRVPFYVRGPNVEAGSLNPHIV LNIDLAPTILDIAGLDIPADMDGKSILKLLDSERPVNRFHLKKKLRVWRDSFLVERGKLL HKREGDKVNAQEENFLPKYQRVKDLCQRAEYQTACEQLGQKWQCVEDASGTLKLHKCKGP MRFGGGGGSRALSNLVPKYDGQSSEACSCDSGGGGDYKLGLAGRRKLFKKKYKTSYARNR SIRSVAIEVDGEIYHVGLDTVPQPRNLSKPHWPGAPEDQDDKDGGSFSGTGGLPDYSAPN PIKVTHRCYILENDTVQCDLDLYKSLQAWKDHKLHIDHEIETLQNKIKNLREVRGHLKKK RPEECDCHRISYHSQHKGRLKHKGSSLHPFRKGLQEKDKVWLLREQKRKKKLRKLLKRLQ NNDTCSMPGLTCFTHDNHHWQTAPLWTLGPFCACTSANNNTYWCLRTINETHNFLFCEFA TGFIEYFDLSTDPYQLMNAVNTLDRDVLNQLHVQLMELRSCKGYKQCNPRTRNMDLGLRD GGSYEQYRQFQRRKWPEMKRPSSKSLGQLWEGWEG >ENSMUSP00000143333.1 pep:known chromosome:GRCm38:3:95760341:95818632:-1 gene:ENSMUSG00000028106.13 transcript:ENSMUST00000200164.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprd2 description:regulation of nuclear pre-mRNA domain containing 2 [Source:MGI Symbol;Acc:MGI:1922387] XIQGLSSWCIENKKHHSTIVYHWMKWLRRSTYPHRLNLFYLANDVIQNCKRKNAIIFRES FADVLPEAAALVKDPSVSKSIERIFKIWEDRNVYPEDMIVALREALTSTNPKAALKSKIV AEFRSQALIEELLMYKRSEDQIELKEKQLSTMRVDVCSTETLKCLKDKTGGKKFSKEFEE ASSKLEEFVNGLDKQVKNGPSLTEALENAGIFYEAQYKEVKVVANAYKTFANRVNNLKKK LDQLKSTLPDPEESPVPSPSMDAPSPTGSESPFQGMGGEEPQSPAMESDKSATPEPVTDN RDVEDMELSDVEDDGSKIIVEDRKEKPVEKPAVSTGVPTKSTESVSKASPCAPPSVPTTA APPLPKPLSTALLSPSPTLVLPNLANVDLAKISSILSSLTSVMKNTGVSSASRPSPGIPT SPSNLSSGLKTPAPATTPSHNPLANILSKVEITPESILSALSKTQTQSAPALQGLSSLLQ SVTANPVPASEVTSQSTTASPASTTGSAVKGRNLLSSTQSFIPKSFNYSPSSSTSEVSST SASKASVGQSPVLPSTTFKLPSSSLGFTGTHNPSPAAPPTEVAVCQSSEVSKPKPESEST SPSLEMKIHNFLKGNPGFSGLNLNIPILSSLGSSAPSEGHASDFQRGPTSTSVDSIDGTP VRDERSGTPTQDEMMDKPTSSSVDTMSLLSKIISPGSSTPSSTRSPPPGRDESYPQELPN SVSTYRPFGLGSDSPYKQPSGGVERPSSLMDSSQEKLFPDTSFQEDEDYRDFEYSGPPPS AMMNLEKKPAKSILKSSKLSDATEYQPILSSYNHRAQEFGVKSAFPPSVRALLDSSENCD RLSSPPGLFGAFNIRGNEPGSERSPSPSKNDAFFTPDSNHSGLSQSTAGHLTLPQTQYPD SPHSVPHRSIFSSQSTLAAPAGHPPTSGVEKVLASTISTTSTIEFKNMLKNASRKPSDDK HFGQTPNKGTSSDGVSLSNLTQPSLPTTDQQQEEHYRIETRVSSSCLDLPDSTEEKGAPI ETLGYHNAANRRMSGEPIKTVESIRVPGKGNRGHGREVSRVGWFDLSTPGSSFDNGPSSA SELASLGGGGSGGLTGFKTTPYKERAPQFQESVTSFRSNSFNSTFEHHLPPSPLEHGAPF QREPVGPSSAPPAPPKDHGGIFSREAPTHLPSVDLSNPFTKEASLAHAGPPPPPGEHSGV PFPPPPPPPPPGELSSGGTGVPFATPAPPPPPVDHSGVVPFPTPPLPEHGVTGAVSVFPK DHSSLLQGTMAEHFGVLTGPRDLNGPGLNRSRESLSLPSHPLEHLGPALGGGGGGNTSSS GLPLSPAHRDAIGRSGMILRSPRPDFRPREAFLGRDPFHSLKRPRPPFVRGPPFFAPKRP FFPPRY >ENSMUSP00000088297.3 pep:known chromosome:GRCm38:3:95760341:95818758:-1 gene:ENSMUSG00000028106.13 transcript:ENSMUST00000090791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprd2 description:regulation of nuclear pre-mRNA domain containing 2 [Source:MGI Symbol;Acc:MGI:1922387] MAAGGGGGSSKASSSSASSAGALESSLDRKFQSVTNTMESIQGLSSWCIENKKHHSTIVY HWMKWLRRSTYPHRLNLFYLANDVIQNCKRKNAIIFRESFADVLPEAAALVKDPSVSKSI ERIFKIWEDRNVYPEDMIVALREALMDRAASHNARLQKLQCFPGTTFKTQKQLKENLNKQ PNKQWKKSQTSTNPKAALKSKIVAEFRSQALIEELLMYKRSEDQIELKEKQLSTMRVDVC STETLKCLKDKTGGKKFSKEFEEASSKLEEFVNGLDKQVKNGPSLTEALENAGIFYEAQY KEVKVVANAYKTFANRVNNLKKKLDQLKSTLPDPEESPVPSPSMDAPSPTGSESPFQGMG GEEPQSPAMESDKSATPEPVTDNRDVEDMELSDVEDDGSKIIVEDRKEKPVEKPAVSTGV PTKSTESVSKASPCAPPSVPTTAAPPLPKPLSTALLSPSPTLVLPNLANVDLAKISSILS SLTSVMKNTGVSSASRPSPGIPTSPSNLSSGLKTPAPATTPSHNPLANILSKVEITPESI LSALSKTQTQSAPALQGLSSLLQSVTANPVPASEVTSQSTTASPASTTGSAVKGRNLLSS TQSFIPKSFNYSPSSSTSEVSSTSASKASVGQSPVLPSTTFKLPSSSLGFTGTHNPSPAA PPTEVAVCQSSEVSKPKPESESTSPSLEMKIHNFLKGNPGFSGLNLNIPILSSLGSSAPS EGHASDFQRGPTSTSVDSIDGTPVRDERSGTPTQDEMMDKPTSSSVDTMSLLSKIISPGS STPSSTRSPPPGRDESYPQELPNSVSTYRPFGLGSDSPYKQPSGGVERPSSLMDSSQEKL FPDTSFQEDEDYRDFEYSGPPPSAMMNLEKKPAKSILKSSKLSDATEYQPILSSYNHRAQ EFGVKSAFPPSVRALLDSSENCDRLSSPPGLFGAFNIRGNEPGSERSPSPSKNDAFFTPD SNHSGLSQSTAGHLTLPQTQYPDSPHSVPHRSIFSSQSTLAAPAGHPPTSGVEKVLASTI STTSTIEFKNMLKNASRKPSDDKHFGQTPNKGTSSDGVSLSNLTQPSLPTTDQQQEEHYR IETRVSSSCLDLPDSTEEKGAPIETLGYHNAANRRMSGEPIKTVESIRVPGKGNRGHGRE VSRVGWFDLSTPGSSFDNGPSSASELASLGGGGSGGLTGFKTTPYKERAPQFQESVTSFR SNSFNSTFEHHLPPSPLEHGAPFQREPVGPSSAPPAPPKDHGGIFSREAPTHLPSVDLSN PFTKEASLAHAGPPPPPGEHSGVPFPPPPPPPPPGELSSGGTGVPFATPAPPPPPVDHSG VVPFPTPPLPEHGVTGAVSVFPKDHSSLLQGTMAEHFGVLTGPRDLNGPGLNRSRESLSL PSHPLEHLGPALGGGGGGNTSSSGLPLSPAHRDAIGRSGMILRSPRPDFRPREAFLGRDP FHSLKRPRPPFVRGPPFFAPKRPFFPPRY >ENSMUSP00000143240.1 pep:known chromosome:GRCm38:3:95772255:95818778:-1 gene:ENSMUSG00000028106.13 transcript:ENSMUST00000197449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprd2 description:regulation of nuclear pre-mRNA domain containing 2 [Source:MGI Symbol;Acc:MGI:1922387] MAAGGGGGSSKASSSSASSAGALESSLDRKFQSVTNTMESIQGLSSWCIENKKHHSTIVY HWMKWLRRSTYPHRLNLFYLANDVIQNCKRKNAIIFRESFADVLPEAAALVKDPSVSKSI ERIFKIWEDRNVYPEDMIVALREALSTTFKTQKQLKENLNKQPNKQWKKSQTSTNPKAAL KSKIVAEFRSQALIEELLMYKRSEDQIELKEKQLSTMRVDVCSTETLKCLKDKTGGKKFS KEFEEASSKLEEFVNGLDKQVKNGPSLTEALENAGIFYEAQYKEVKVVANAYKTFANRVN NLKKKLDQLKSTLPDPEESPVPSPSMDAPSPTGSESPFQGMGGEEPQSPAMESDKSATPE PVTDNRDVEDMELSDVEDDGSKIIVEDRKEKPVEKPAVSTGVPTKSTESVSKASPCAPPS VPTTAAPPLPKPLSTALLSPSPTLVLPNLANVDLAKISSILSSLTSVMKNTGK >ENSMUSP00000050823.6 pep:known chromosome:GRCm38:1:60343323:60388060:1 gene:ENSMUSG00000049439.13 transcript:ENSMUST00000060608.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp20a1 description:cytochrome P450, family 20, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1925201] MLDFAIFAVTFLLALVGAVLYLYPASRQASGIPGLTPTEEKDGNLPDIVNSGSLHEFLVN LHERYGPVVSFWFGRRLVVSLGTTDVLKQHFNPNKTSDPFETMLKSLLGYQSGGGSAGED HVRRKLYGDAVTASLHSNFPLLLQLSEELLDKWLSYPETQHIPLSQHMLGFALKFVTRMV LGSTFEDEQEVIRFQKIHGTVWSEIGKGFLDGSLDKNTTRKKQYQEALMQLESTLKKIIK ERKGGNFRQHTFIDSLTQGKLNEQQILEDCVVFSLASCIITARLCTWTIHFLTTTGEVQK KLCKEIDQVLGEGPITSEKIEQLSYCQQVLFETVRTAKLTPVSARLQDIEGKVGPFVIPK ETLVLYALGVVLQDPSTWPLPHRFDPDRFADEPVMKVFSSLGFSGTWECPELRFAYMVTA VLVSVLLKRLRLLAVDRQVFEMKYELVTSAREEAWITVSKRH >ENSMUSP00000137471.1 pep:known chromosome:GRCm38:1:60343323:60388060:1 gene:ENSMUSG00000049439.13 transcript:ENSMUST00000148443.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp20a1 description:cytochrome P450, family 20, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1925201] MLDFAIFAVTFLLALVGAVLYLYPASRQASGIPGLTPTEENGPI >ENSMUSP00000072649.7 pep:known chromosome:GRCm38:11:70562890:70614128:1 gene:ENSMUSG00000020827.18 transcript:ENSMUST00000072873.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mink1 description:misshapen-like kinase 1 (zebrafish) [Source:MGI Symbol;Acc:MGI:1355329] MGDPAPARSLDDIDLSALRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKSPPGNDDQLWLVMEFCGAGSVTDLVKNT KGNALKEDCIAYICREILRGLAHLHAHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDIWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFTDFIDTCLIKTYLSRPPTEQLLKFPFIRDQPTERQVRI QLKDHIDRSRKKRGEKEETEYEYSGSEEEDDSHGEEGEPSSIMNVPGESTLRREFLRLQQ ENKSNSEALKQQQQLQQQQQRDPEAHIKHLLHQRQRRIEEQKEERRRVEEQQRREREQRK LQEKEQQRRLEDMQALRREEERRQAEREQEYKRKQLEEQRQSERLQRQLQQEHAYLKSLQ QQQQQQQLQKQQQQQQQILPGDRKPLYHYGRGINPADKPAWAREVEERARMNKQQNSPLA KAKPSSAGPEPPISQASPSPPGPLSQTPPMQRPVEPQEGPHKSLVAHRVPLKPYAAPVPR SQSLQDQPTRNLAAFPASHDPDPAAVPTPTATPSARGAVIRQNSDPTSEGPGPSPNPPSW VRPDNEAPPKVPQRTSSIATALNTSGAGGSRPAQAVRARPRSNSAWQIYLQRRAERGTPK PPGPPAQPPGPPNASSNPDLRRSDPGWERSDSVLPASHGHLPQAGSLERNRNRVGASTKL DSSPVLSPGNKAKPEDHRSRPGRPADFVLLKERTLDEAPKPPKKAMDYSSSSEEVESSEE EEEEGDGEPSEGSRDTPGGRSDGDTDSVSTMVVHDVEEISGTQPSYGGGTMVVQRTPEEE RSLLLADSNGYTNLPDVVQPSHSPTENSKGQSPPTKDGGSDYQSRGLVKAPGKSSFTMFV DLGIYQPGGSGDTIPITALVGGEGGRLDQLQFDVRKGSVVNVNPTNTRAHSETPEIRKYK KRFNSEILCAALWGVNLLVGTENGLMLLDRSGQGKVYGLIGRRRFQQMDVLEGLNLLITI SGKRNKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDMEGCGHYRVVKYERIKFLVIALK NSVEVYAWAPKPYHKFMAFKSFADLPHRPLLVDLTVEEGQRLKVIYGSSAGFHAVDVDSG NSYDIYIPVHIQSQITPHAIIFLPNTDGMEMLLCYEDEGVYVNTYGRIIKDVVLQWGEMP TSVAYICSNQIMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGG SSQVYFMTLNRNCIMNW >ENSMUSP00000099619.4 pep:known chromosome:GRCm38:11:70562898:70614478:1 gene:ENSMUSG00000020827.18 transcript:ENSMUST00000102559.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mink1 description:misshapen-like kinase 1 (zebrafish) [Source:MGI Symbol;Acc:MGI:1355329] MGDPAPARSLDDIDLSALRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKSPPGNDDQLWLVMEFCGAGSVTDLVKNT KGNALKEDCIAYICREILRGLAHLHAHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDIWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFTDFIDTCLIKTYLSRPPTEQLLKFPFIRDQPTERQVRI QLKDHIDRSRKKRGEKEETEYEYSGSEEEDDSHGEEGEPSSIMNVPGESTLRREFLRLQQ ENKSNSEALKQQQQLQQQQQRDPEAHIKHLLHQRQRRIEEQKEERRRVEEQQRREREQRK LQEKEQQRRLEDMQALRREEERRQAEREQEYKRKQLEEQRQSERLQRQLQQEHAYLKSLQ QQQQQQQLQKQQQQQQQILPGDRKPLYHYGRGINPADKPAWAREVEERARMNKQQNSPLA KAKPSSAGPEPPISQASPSPPGPLSQTPPMQRPVEPQEGPHKSLVAHRVPLKPYAAPVPR SQSLQDQPTRNLAAFPASHDPDPAAVPTPTATPSARGAVIRQNSDPTSEGPGPSPNPPSW VRPDNEAPPKVPQRTSSIATALNTSGAGGSRPAQAVRASNPDLRRSDPGWERSDSVLPAS HGHLPQAGSLERNRNRVGASTKLDSSPVLSPGNKAKPEDHRSRPGRPASYKRAIGEDFVL LKERTLDEAPKPPKKAMDYSSSSEEVESSEEEEEEGDGEPSEGSRDTPGGRSDGDTDSVS TMVVHDVEEISGTQPSYGGGTMVVQRTPEEERSLLLADSNGYTNLPDVVQPSHSPTENSK GQSPPTKDGGSDYQSRGLVKAPGKSSFTMFVDLGIYQPGGSGDTIPITALVGGEGGRLDQ LQFDVRKGSVVNVNPTNTRAHSETPEIRKYKKRFNSEILCAALWGVNLLVGTENGLMLLD RSGQGKVYGLIGRRRFQQMDVLEGLNLLITISGKRNKLRVYYLSWLRNKILHNDPEVEKK QGWTTVGDMEGCGHYRVVKYERIKFLVIALKNSVEVYAWAPKPYHKFMAFKSFADLPHRP LLVDLTVEEGQRLKVIYGSSAGFHAVDVDSGNSYDIYIPVHIQSQITPHAIIFLPNTDGM EMLLCYEDEGVYVNTYGRIIKDVVLQWGEMPTSVAYICSNQIMGWGEKAIEIRSVETGHL DGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVYFMTLNRNCIMNW >ENSMUSP00000078234.5 pep:known chromosome:GRCm38:11:70563017:70614483:1 gene:ENSMUSG00000020827.18 transcript:ENSMUST00000079244.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mink1 description:misshapen-like kinase 1 (zebrafish) [Source:MGI Symbol;Acc:MGI:1355329] MGDPAPARSLDDIDLSALRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKSPPGNDDQLWLVMEFCGAGSVTDLVKNT KGNALKEDCIAYICREILRGLAHLHAHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDIWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFTDFIDTCLIKTYLSRPPTEQLLKFPFIRDQPTERQVRI QLKDHIDRSRKKREETEYEYSGSEEEDDSHGEEGEPSSIMNVPGESTLRREFLRLQQENK SNSEALKQQQQLQQQQQRDPEAHIKHLLHQRQRRIEEQKEERRRVEEQQRREREQRKLQE KEQQRRLEDMQALRREEERRQAEREQEYKRKQLEEQRQSERLQRQLQQEHAYLKSLQQQQ QQQQLQKQQQQQQQILPGDRKPLYHYGRGINPADKPAWAREVEERARMNKQQNSPLAKAK PSSAGPEPPISQASPSPPGPLSQTPPMQRPVEPQEGPHKSLVAHRVPLKPYAAPVPRSQS LQDQPTRNLAAFPASHDPDPAAVPTPTATPSARGAVIRQNSDPTSEGPGPSPNPPSWVRP DNEAPPKVPQRTSSIATALNTSGAGGSRPAQAVRARPRSNSAWQIYLQRRAERGTPKPPG PPAQPPGPPNASSNPDLRRSDPGWERSDSVLPASHGHLPQAGSLERNRNRVGASTKLDSS PVLSPGNKAKPEDHRSRPGRPADFVLLKERTLDEAPKPPKKAMDYSSSSEEVESSEEEEE EGDGEPSEGSRDTPGGRSDGDTDSVSTMVVHDVEEISGTQPSYGGGTMVVQRTPEEERSL LLADSNGYTNLPDVVQPSHSPTENSKGQSPPTKDGGSDYQSRGLVKAPGKSSFTMFVDLG IYQPGGSGDTIPITALVGGEGGRLDQLQFDVRKGSVVNVNPTNTRAHSETPEIRKYKKRF NSEILCAALWGVNLLVGTENGLMLLDRSGQGKVYGLIGRRRFQQMDVLEGLNLLITISGK RNKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDMEGCGHYRVVKYERIKFLVIALKNSV EVYAWAPKPYHKFMAFKSFADLPHRPLLVDLTVEEGQRLKVIYGSSAGFHAVDVDSGNSY DIYIPVHIQSQITPHAIIFLPNTDGMEMLLCYEDEGVYVNTYGRIIKDVVLQWGEMPTSV AYICSNQIMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQ VYFMTLNRNCIMNW >ENSMUSP00000099618.4 pep:known chromosome:GRCm38:11:70563070:70613712:1 gene:ENSMUSG00000020827.18 transcript:ENSMUST00000102558.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mink1 description:misshapen-like kinase 1 (zebrafish) [Source:MGI Symbol;Acc:MGI:1355329] MGDPAPARSLDDIDLSALRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKSPPGNDDQLWLVMEFCGAGSVTDLVKNT KGNALKEDCIAYICREILRGLAHLHAHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDIWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFTDFIDTCLIKTYLSRPPTEQLLKFPFIRDQPTERQVRI QLKDHIDRSRKKRGEKEETEYEYSGSEEEDDSHGEEGEPSSIMNVPGESTLRREFLRLQQ ENKSNSEALKQQQQLQQQQQRDPEAHIKHLLHQRQRRIEEQKEERRRVEEQQRREREQRK LQEKEQQRRLEDMQALRREEERRQAEREQEYKRKQLEEQRQSERLQRQLQQEHAYLKSLQ QQQQQQQLQKQQQQQQQILPGDRKPLYHYGRGINPADKPAWAREVEERARMNKQQNSPLA KAKPSSAGPEPPISQASPSPPGPLSQTPPMQRPVEPQEGPHKSLVAHRVPLKPYAAPVPR SQSLQDQPTRNLAAFPASHDPDPAAVPTPTATPSARGAVIRQNSDPTSEGPGPSPNPPSW VRPDNEAPPKVPQRTSSIATALNTSGAGGSRPAQAVRASNPDLRRSDPGWERSDSVLPAS HGHLPQAGSLERNRNRVGASTKLDSSPVLSPGNKAKPEDHRSRPGRPADFVLLKERTLDE APKPPKKAMDYSSSSEEVESSEEEEEEGDGEPSEGSRDTPGGRSDGDTDSVSTMVVHDVE EISGTQPSYGGGTMVVQRTPEEERSLLLADSNGYTNLPDVVQPSHSPTENSKGQSPPTKD GGSDYQSRGLVKAPGKSSFTMFVDLGIYQPGGSGDTIPITALVGGEGGRLDQLQFDVRKG SVVNVNPTNTRAHSETPEIRKYKKRFNSEILCAALWGVNLLVGTENGLMLLDRSGQGKVY GLIGRRRFQQMDVLEGLNLLITISGKRNKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGD MEGCGHYRVVKYERIKFLVIALKNSVEVYAWAPKPYHKFMAFKSFADLPHRPLLVDLTVE EGQRLKVIYGSSAGFHAVDVDSGNSYDIYIPVHIQSQITPHAIIFLPNTDGMEMLLCYED EGVYVNTYGRIIKDVVLQWGEMPTSVAYICSNQIMGWGEKAIEIRSVETGHLDGVFMHKR AQRLKFLCERNDKVFFASVRSGGSSQVYFMTLNRNCIMNW >ENSMUSP00000117959.1 pep:known chromosome:GRCm38:11:70601989:70614483:1 gene:ENSMUSG00000020827.18 transcript:ENSMUST00000136663.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mink1 description:misshapen-like kinase 1 (zebrafish) [Source:MGI Symbol;Acc:MGI:1355329] XKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDRTVGRRNTFIGTPYWMAPEVIACDENP DATYDYRSDIWSLGITAIEMAEGAPPLCDMHPMRALFLIPRNPPPRLKSKKWSKKFTDFI DTCLIKTYLSRPPTEQLLKFPFIRDQPTERQVRIQLKDHIDRSRKKRGEKEETEYEYSGS EEEDDSHGEEGEPSSIMNVPGESTLRREFLRLQQENKSNSEALKQQQQLQQQQQRDPEAH IKHLLHQRQRRIEEQKEERRRVEEQQRREREQRKLQEKEQQRRLEDMQALRREEERRQAE REQEYKRKQLEEQRQSERLQRQLQQEHAYLKSLQQQQQQQQLQKQQQQQQQILPGDRKPL YHYGRGINPADKPAWAREVEERARMNKQQNSPLAKAKPSSAGPEPPISQASPSPPGPLSQ TPPMQRPVEPQEGPHKSLVAHRVPLKPYAAPVPRSQSLQDQPTRNLAAFPASHDPDPAAV PTPTATPSARGAVIRQNSDPTSEGPGPSPNPPSWVRPDNEAPPKVPQRTSSIATALNTSG AGGSRPAQAVRARPRSNSAWQIYLQRRAERGTPKPPGPPAQPPGPPNASSNPDLRRSDPG WERSDSVLPASHGHLPQAGSLERNRNRVGASTKLDSSPVLSPGNKAKPEDHRSRPGRPAS YKRAIGEDFVLLKERTLDEAPKPPKKAMDYSSSSEEVESSEEEEEEGDGEPSEGSRDTPG GRDGDTDSVSTMVVHDVEEISGTQPSYGGGTMVVQRTPEEERSLLLADSNGYTNLPDVVQ PSHSPTENSKGQSPPTKDGGSDYQSRGLVKAPGKSSFTMFVDLGIYQPGGSGDTIPITAL VGGEGGRLDQLQFDVRKGSVVNVNPTNTRAHSETPEIRKYKKRFNSEILCAALWGVNLLV GTENGLMLLDRSGQGKVYGLIGRRRFQQMDVLEGLNLLITISGKRNKLRVYYLSWLRNKI LHNDPEVEKKQGWTTVGDMEGCGHYRVVKYERIKFLVIALKNSVEVYAWAPKPYHKFMAF KSFADLPHRPLLVDLTVEEGQRLKVIYGSSAGFHAVDVDSGNSYDIYIPVHIQSQITPHA IIFLPNTDGMEMLLCYEDEGVYVNTYGRIIKDVVLQWGEMPTSVAYICSNQIMGWGEKAI EIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVYFMTLNRNCIMNW >ENSMUSP00000136149.1 pep:known chromosome:GRCm38:11:70604200:70607424:1 gene:ENSMUSG00000020827.18 transcript:ENSMUST00000178764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mink1 description:misshapen-like kinase 1 (zebrafish) [Source:MGI Symbol;Acc:MGI:1355329] XYSGSEEEDDSHGEEGEPSSIMNVPGESTLRREFLRLQQENKSNSEALKQQQQLQQQQQR DPEAHIKHLLHQRQRRIEEQKEERRRVEEQQRREREQRKLQEKEQQRRLEDMQALRREEE RRQAEREQEYIRHRLEEEQRQLEILQQQLLQEQALLLEYKRKQLEEQRQSERLQRQLQQE HAYLKSLQQQQQQQQLQKQQQQQQQILPGDRKPLYHYGRGINPADKPAWAREVEERARMN KQQNSPLAKAKPSSAGPEPP >ENSMUSP00000137075.1 pep:known chromosome:GRCm38:11:70604223:70607079:1 gene:ENSMUSG00000020827.18 transcript:ENSMUST00000149845.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mink1 description:misshapen-like kinase 1 (zebrafish) [Source:MGI Symbol;Acc:MGI:1355329] XDSHGEEGEPSSIMNVPGESTLRREFLRLQQENKSNSEALKQQQQLQQQQQRDPEAHIKH LLHQRQRRIEEQKEERRRVEEGSPSKAALY >ENSMUSP00000072091.6 pep:known chromosome:GRCm38:11:70562881:70614482:1 gene:ENSMUSG00000020827.18 transcript:ENSMUST00000072237.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mink1 description:misshapen-like kinase 1 (zebrafish) [Source:MGI Symbol;Acc:MGI:1355329] MGDPAPARSLDDIDLSALRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKSPPGNDDQLWLVMEFCGAGSVTDLVKNT KGNALKEDCIAYICREILRGLAHLHAHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDIWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFTDFIDTCLIKTYLSRPPTEQLLKFPFIRDQPTERQVRI QLKDHIDRSRKKRGEKEETEYEYSGSEEEDDSHGEEGEPSSIMNVPGESTLRREFLRLQQ ENKSNSEALKQQQQLQQQQQRDPEAHIKHLLHQRQRRIEEQKEERRRVEEQQRREREQRK LQEKEQQRRLEDMQALRREEERRQAEREQEYKRKQLEEQRQSERLQRQLQQEHAYLKSLQ QQQQQQQLQKQQQQQQQILPGDRKPLYHYGRGINPADKPAWAREVEERARMNKQQNSPLA KAKPSSAGPEPPISQASPSPPGPLSQTPPMQRPVEPQEGPHKSLVAHRVPLKPYAAPVPR SQSLQDQPTRNLAAFPASHDPDPAAVPTPTATPSARGAVIRQNSDPTSEGPGPSPNPPSW VRPDNEAPPKVPQRTSSIATALNTSGAGGSRPAQAVRARPRSNSAWQIYLQRRAERGTPK PPGPPAQPPGPPNASSNPDLRRSDPGWERSDSVLPASHGHLPQAGSLERNRNRVGASTKL DSSPVLSPGNKAKPEDHRSRPGRPASYKRAIGEDFVLLKERTLDEAPKPPKKAMDYSSSS EEVESSEEEEEEGDGEPSEGSRDTPGGRDGDTDSVSTMVVHDVEEISGTQPSYGGGTMVV QRTPEEERSLLLADSNGYTNLPDVVQPSHSPTENSKGQSPPTKDGGSDYQSRGLVKAPGK SSFTMFVDLGIYQPGGSGDTIPITALVGGEGGRLDQLQFDVRKGSVVNVNPTNTRAHSET PEIRKYKKRFNSEILCAALWGVNLLVGTENGLMLLDRSGQGKVYGLIGRRRFQQMDVLEG LNLLITISGKRNKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDMEGCGHYRVVKYERIK FLVIALKNSVEVYAWAPKPYHKFMAFKSFADLPHRPLLVDLTVEEGQRLKVIYGSSAGFH AVDVDSGNSYDIYIPVHIQSQITPHAIIFLPNTDGMEMLLCYEDEGVYVNTYGRIIKDVV LQWGEMPTSVAYICSNQIMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFF ASVRSGGSSQVYFMTLNRNCIMNW >ENSMUSP00000144904.1 pep:known chromosome:GRCm38:6:126821721:126849125:-1 gene:ENSMUSG00000000399.10 transcript:ENSMUST00000205002.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa9 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 9 [Source:MGI Symbol;Acc:MGI:1913358] MAAAVRFRVVRALPMSRPAITAAATSVFCGSSHRQLHHAVIPHGKGGRSSVSGVVATVFG ATGFLGRYVVNHLGRMGSQVIIPYRCDVYDIMHLRLMGDLGQLTFLEWDARDKDSIRKAV QHSNVVINLIGREWETRNFDFEDVFVNIPRAIAQASKEAGVERFIHVSHLNASMKSSSKS LRSKAVGEKEVRSVFPEAIIIRPSDIFGREDRFLNHFANYRWFLAVPLVSLGFKTVKQPV YVADVSKGIVNATKDPDAVGKTFAFTGPNRYLLFHLVKYIFGMTHRTFIPYPLPLFVYSW IGKLFGLSPFEPWTTKDKVERIHISDVMPTDLPGLEDLGVQPTPLELKSIEVLRRHRTYR WLSSEIEETKPAKTVNY >ENSMUSP00000085523.5 pep:known chromosome:GRCm38:6:126821996:126849125:-1 gene:ENSMUSG00000000399.10 transcript:ENSMUST00000088194.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa9 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 9 [Source:MGI Symbol;Acc:MGI:1913358] MCHVCCLWCFPEGPAITAAATSVFCGSSHRQLHHAVIPHGKGGRSSVSGVVATVFGATGF LGRYVVNHLGRMGSQVIIPYRCDVYDIMHLRLMGDLGQLTFLEWDARDKDSIRKAVQHSN VVINLIGREWETRNFDFEDVFVNIPRAIAQASKEAGVERFIHVSHLNASMKSSSKSLRSK AVGEKEVRSVFPEAIIIRPSDIFGREDRFLNHFANYRWFLAVPLVSLGFKTVKQPVYVAD VSKGIVNATKDPDAVGKTFAFTGPNRYLLFHLVKYIFGMTHRTFIPYPLPLFVYSWIGKL FGLSPFEPWTTKDKVERIHISDVMPTDLPGLEDLGVQPTPLELKSIEVLRRHRTYRWLSS EIEETKPAKTVNY >ENSMUSP00000125697.1 pep:known chromosome:GRCm38:14:19872559:19977249:-1 gene:ENSMUSG00000043004.13 transcript:ENSMUST00000160013.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng2 description:guanine nucleotide binding protein (G protein), gamma 2 [Source:MGI Symbol;Acc:MGI:102705] MASNNTASIAQARKLVEQLKMEANIDRIKVSKAAADLMAYCEAHAKEDPLLTPVPASENP FREKKFFCAIL >ENSMUSP00000055256.7 pep:known chromosome:GRCm38:14:19875655:19977172:-1 gene:ENSMUSG00000043004.13 transcript:ENSMUST00000055100.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng2 description:guanine nucleotide binding protein (G protein), gamma 2 [Source:MGI Symbol;Acc:MGI:102705] MASNNTASIAQARKLVEQLKMEANIDRIKVSKAAADLMAYCEAHAKEDPLLTPVPASENP FREKKFFCAIL >ENSMUSP00000125000.1 pep:known chromosome:GRCm38:14:19875655:19977627:-1 gene:ENSMUSG00000043004.13 transcript:ENSMUST00000159073.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng2 description:guanine nucleotide binding protein (G protein), gamma 2 [Source:MGI Symbol;Acc:MGI:102705] MASNNTASIAQARKLVEQLKMEANIDRIKVSKAAADLMAYCEAHAKEDPLLTPVPASENP FREKKFFCAIL >ENSMUSP00000125141.1 pep:known chromosome:GRCm38:14:19875696:19977059:-1 gene:ENSMUSG00000043004.13 transcript:ENSMUST00000159028.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng2 description:guanine nucleotide binding protein (G protein), gamma 2 [Source:MGI Symbol;Acc:MGI:102705] MASNNTASIAQARKLVEQLKMEANIDRIKVSKAAADLMAYCEAHAKEDPLLTPVPASENP FREKKFFCAIL >ENSMUSP00000124153.1 pep:known chromosome:GRCm38:14:19875739:19977184:-1 gene:ENSMUSG00000043004.13 transcript:ENSMUST00000162425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng2 description:guanine nucleotide binding protein (G protein), gamma 2 [Source:MGI Symbol;Acc:MGI:102705] MASNNTASIAQARKLVEQLKMEANIDRIKVSKAAADLMAYCEAHAKEDPLLTPVPASENP FREKKFFCAIL >ENSMUSP00000124725.1 pep:known chromosome:GRCm38:14:19875793:19976693:-1 gene:ENSMUSG00000043004.13 transcript:ENSMUST00000161247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng2 description:guanine nucleotide binding protein (G protein), gamma 2 [Source:MGI Symbol;Acc:MGI:102705] MASNNTASIAQARKLVEQLKMEANIDRIKVSKAAADLMAYCEAHAKEDPLLTPVPASENP FREKKFFCAIL >ENSMUSP00000118656.1 pep:known chromosome:GRCm38:12:99884537:99955219:1 gene:ENSMUSG00000021177.15 transcript:ENSMUST00000153627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdp1 description:tyrosyl-DNA phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:1920036] MSQESSYGKWTISSSDESEDEKPKPDKPSASSHPQAGQGVSKELIYTCSEARKVAHKRQI SPVKFNDADSVLPHKKQKSDSPEGLGWCLSSSDDDQQPDVTQQEQPKRVLPQEKKHVSSP DVTTAQKVVDRSPPASLRPQRADDEYETSGEGQDIWDMLDKGNPFQFYLTRVSGIKAKYN SKALHIKDILSPLFGTLVSSAQFNYCFDVDWLIKQYPPEFRKNPILLVHGDKREAKADLH AQAKPYANISLCQAKLDIAFGTHHTKMMLLLYEEGLRVVIHTSNLIREDWHQKTQGIWLS PLYPRIDQGSHTAGESSTRFKADLTSYLTAYNAPPLQEWIDIIQEHDLSETNVYLIGSTP GRFQGSHRDNWGHFRLRKLLQAHAPSTPKGECWPIVGQFSSIGSLGPDESKWLCSEFKDS LLALREEGRPPGKSAVPLHLIYPSVENVRTSLEGYPAGGSLPYSIQTAEKQRWLHSYFHK WSAETSGRSNAMPHIKTYMRPSPDFSKLAWFLVTSANLSKAAWGALEKNGTQLMIRSYEL GVLFLPSAFGLDTFKVKQKFFSSSCEPTASFPVPYDLPPELYGSKDRPWIWNIPYVKAPD THGNMWVPS >ENSMUSP00000123269.1 pep:known chromosome:GRCm38:12:99886484:99891338:1 gene:ENSMUSG00000021177.15 transcript:ENSMUST00000137653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdp1 description:tyrosyl-DNA phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:1920036] MSQESSYGKWTISSSDESEDEKPKPDKPSASSHPQAGQGVSKELIYTCSEAR >ENSMUSP00000021594.4 pep:known chromosome:GRCm38:12:99891173:99955214:1 gene:ENSMUSG00000021177.15 transcript:ENSMUST00000021594.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdp1 description:tyrosyl-DNA phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:1920036] MSQESSYGKWTISSSDESEDEKPKPDKPSASSHPQAGQGVSKELIYTCSEARKVAHKRQI SPVKFNDADSVLPHKKQKSDSPEGLGWCLSSSDDDQQPDVTQQEQPKRVLPQEKKHVSSP DVTTAQKVVDRSPPASLRPQRADDEYETSGEGQDIWDMLDKGNPFQFYLTRVSGIKAKYN SKALHIKDILSPLFGTLVSSAQFNYCFDVDWLIKQYPPEFRKNPILLVHGDKREAKADLH AQAKPYANISLCQAKLDIAFGTHHTKMMLLLYEEGLRVVIHTSNLIREDWHQKTQGIWLS PLYPRIDQGSHTAGESSTRFKADLTSYLTAYNAPPLQEWIDIIQEHDLSETNVYLIGSTP GRFQGSHRDNWGHFRLRKLLQAHAPSTPKGECWPIVGQFSSIGSLGPDESKWLCSEFKDS LLALREEGRPPGKSAVPLHLIYPSVENVRTSLEGYPAGGSLPYSIQTAEKQRWLHSYFHK WSAETSGRSNAMPHIKTYMRPSPDFSKLAWFLVTSANLSKAAWGALEKNGTQLMIRSYEL GVLFLPSAFGLDTFKVKQKFFSSSCEPTASFPVPYDLPPELYGSKDRPWIWNIPYVKAPD THGNMWVPS >ENSMUSP00000143406.1 pep:known chromosome:GRCm38:3:104396418:104461511:-1 gene:ENSMUSG00000032913.13 transcript:ENSMUST00000199180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrig2 description:leucine-rich repeats and immunoglobulin-like domains 2 [Source:MGI Symbol;Acc:MGI:2443718] XAANLESLIPSAEREPAAFPTNHERMTENLPFSQRSSEVMTEPPLNI >ENSMUSP00000035999.6 pep:known chromosome:GRCm38:3:104453980:104511840:-1 gene:ENSMUSG00000032913.13 transcript:ENSMUST00000046316.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrig2 description:leucine-rich repeats and immunoglobulin-like domains 2 [Source:MGI Symbol;Acc:MGI:2443718] MAAAPRGIWEQRRLGCGLGPLARLLILAQALRLLPAARAGLCPAPCACRLPLLDCSRRKL PAPSWRALSGPLPSDISSLDLSHNRLSNWNNTLESQTLQEVKMNYNELTEIPYFGEPTPN ITLLSLVHNLIPEINAEAFELYSALESLDLSSNIISEIKTSSFPRMSLKYLNLSNNRIST LEAGCFDNLSDSLLVVKLNRNRISMIPPKVFKLPHLQFLELKRNRIKIVEGLTFQGLDSL RSLKMQRNGISKLKDGAFFGLNNMEELELEHNNLTGVNKGWLYGLRMLQQLYMSQNAIEK ISPDAWEFCQRLSELDLSYNQLTRLDESAFVGLSLLERLNLGDNRVTHIADGVFRFLSNL QTLDLRNNDISWAIEDASEAFSGLKSLTKLILQGNRIKSVTQKAFIGLESLEYLDLNNNA IMSIQENAFSQTHLKGLVLNTSSLLCDCHLKWLLQWLVDNNFHHSVNVSCAHPEWLAGQS ILNVDLKDFVCDDFLKPQIRTHPESTIALRGVNVTLTCTAVSSSDSPMSTIWRKDSEILY DVDIENFVRYRQQDGEALEYTSVLRLFSVNFTDEGKYQCIVTNHFGSNYSQKAKLTVNEM PSFLKTPMDLTIRTGAMARLECAAEGHPTPQISWQKDGGTDFPAARERRMHVMPEDDVFF IANVKIEDMGIYSCMAQNIAGGLSANASLTVLETPSFIRPLEDKTVTRGETAVLQCIAGG SPAPRLNWTKDDGPLLVTERHFFAAANQLLIIVDAGLEDAGKYTCLMSNTLGTERGHIYL NVISSPNCDSSQSSIGHEDDGWTTVGIVIIVVVCCVVGTSLIWVIVIYHMRRKNEDYSIT NTEELNLPADIPSYLSSQGTLSEPQEGYSNSEAGSHQQLMPPANGYTHRGTDGGAGTRVI CSDCYDNANIYSRTREYCPYTYIAEEDVLDQALSSLMVQMPKETFLSHPPQDAANLESLI PSAEREPAAFPTNHERMTENLPFSQRSSEIFQRPLWNMNRELGLLPFSQQPVLESPELTE RDPNCSSPVTCRRLHDHAFDFSRTRIIQDGTEGT >ENSMUSP00000142373.1 pep:known chromosome:GRCm38:3:104453981:104511828:-1 gene:ENSMUSG00000032913.13 transcript:ENSMUST00000199070.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrig2 description:leucine-rich repeats and immunoglobulin-like domains 2 [Source:MGI Symbol;Acc:MGI:2443718] MAAAPRGIWEQRRLGCGLGPLARLLILAQALRLLPAARAGLCPAPCACRLPLLDCSRRKL PAPSWRALSGPLPSDISSLVLNTSSLLCDCHLKWLLQWLVDNNFHHSVNVSCAHPEWLAG QSILNVDLKDFVCDDFLKPQIRTHPESTIALRGVNVTLTCTAVSSSDSPMSTIWRKDSEI LYDVDIENFVRYRQQDGEALEYTSVLRLFSVNFTDEGKYQCIVTNHFGSNYSQKAKLTVN EMPSFLKTPMDLTIRTGAMARLECAAEGHPTPQISWQKDGGTDFPAARERRMHVMPEDDV FFIANVKIEDMGIYSCMAQNIAGGLSANASLTVLETPSFIRPLEDKTVTRGETAVLQCIA GGSPAPRLNWTKDDGPLLVTERHFFAAANQLLIIVDAGLEDAGKYTCLMSNTLGTERGHI YLNVISSPNCDSSQSSIGHEDDGWTTVGIVIIVVVCCVVGTSLIWVIVIYHMRRKNEDYS ITNTDIPSYLSSQGTLSEPQEGYSNSEAGSHQQLMPPANGYTHRGTDGGAGTRVICSDCY DNANIYSRTREYCPYTYIAEEDVLDQALSSLMVQMPKETFLSHPPQDAANLESLIPSAER EPAAFPTNHERMTENLPFSQRSSEIFQRPLWNMNRELGLLPFSQQPVLESPELTERDPNC SSPVTCRRLHDHAFDFSRTRIIQDGTEGT >ENSMUSP00000142540.1 pep:known chromosome:GRCm38:3:104457128:104511856:-1 gene:ENSMUSG00000032913.13 transcript:ENSMUST00000198332.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrig2 description:leucine-rich repeats and immunoglobulin-like domains 2 [Source:MGI Symbol;Acc:MGI:2443718] MAAAPRGIWEQRRLGCGLGPLARLLILAQALRLLPAARAGLCPAPCACRLPLLDCSRRKL PAPSWRALSGPLPSDISSLDLSHNRLSNWNNTLESQTLQEVKMNYNELTEIPYFGEPTPN ITLLSLVHNLIPEINAEAFELYSALESLDLSSNIISEIKTSSFPRMSLKYLNLSNNRIST LEAGCFDNLSDSLLVVKLNRNRISMIPPKVFKLPHLQFLELKRNRIKIVEGLTFQGLDSL RSLKMQRNGISKLKDGAFFGLNNMEELELEHNNLTGVNKGWLYGLRMLQQLYMSQNAIEK ISPDAWEFCQRLSELDLSYNQLTRLDESAFVGLSLLERLNLGDNRVTHIADGVFRFLSNL QTLDLRNNDISWAIEDASEAFSGLKSLTKLILQGNRIKSVTQKAFIGLESLEYLDLNNNA IMSIQENAFSQTHLKGLVLNTSSLLCDCHLKWLLQWLVDNNFHHSVNVSCAHPEWLAGQS ILNVDLKDFVCDDFLKPQIRTHPESTIALRGVNVTLTCTAVSSSDSPMSTIWRKDSEILY DVDIENFVRYRQQDGEALEYTSVLRLFSVNFTDEGKYQCIVTNHFGSNYSQKAKLTVNEM PSFLKTPMDLTIRTGAMARLECAAEGHPTPQISWQKDGGTDFPAARERRMHVMPEDDVFF IANVKIEDMGIYSCMAQNIAGGLSANASLTVLETPSFIRPLEDKTVTRGETAVLQCIAGG SPAPRLNWTKDDGPLLVTERHFFAAANQLLIIVDAGLEDAGKYTCLMSNTLGTERGHIYL NVISSPNCDSSQSSIGHEDDGWTTVGIVIIVVVCCVVGTSLIWVIVIYHMRRKNEDYSIT NTDIPSYLSSQGTLSEPQEGYSNSEAGSHQQLMPPANGYTHRGTDGGAGTRVICSDCYDN ANIYSRTREYCPYTYIAEEDVLDQALSSLMVQMPKETFLSHPPQDAANLESLIPSAEREP AAFPTNHERMTENLPFSQRSSEIFQRPLWNMNRELGLLPFSQQPVLESPELTERDPNCSS PVTCRRLHDHAFDFSRTRIIQDGTEGT >ENSMUSP00000083944.3 pep:known chromosome:GRCm38:1:99772765:100484849:1 gene:ENSMUSG00000067028.11 transcript:ENSMUST00000086738.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap5b description:contactin associated protein-like 5B [Source:MGI Symbol;Acc:MGI:3664583] MDSVPRLTSILTLVLSGLWHVGLTATNYNCDDPLASFLSPKAFSSSSDLTGSSSSAQLNG RMGTGGWSPENSSAHQWLQLDLGNRVEITAVATQGRYGSSDWVTSYCLIFSDTGHNWQQY KQGDSIWTFIGNMNANSVVHHKLLHAVRARFVRFVPLEWNPNGKIGMRVEVYGCFYKSDV ADFDGRSSLLYRFNQKTMSTLKDVISLKFKSMQGDGVLFHGEGQRGDHITLELQNGRLAL YLNLDDSKARLSSITPSAILGSLLDDQHWHSVLLERVGKQANFTVDRNTQHFRTNGDTDA LDIDYELSFGGIPVPSKPGTFLKKNFQGCIENLYYNGVNIIDLAKRRKHQIYSGNVTFSC SEPQIVPITFVNSRSSYLLLPGTPQIDGLSVSFQFRTWNEDGLLLSTELSEGSGTLLLIL EGGTLRLLIKNVAGHGTESLTGRSLNNGQWHSVNINARRNRVTLTLDNDPASPALETPWL QIYSGYSYYFGGCPDNLTDSQCLNPIKAFQGCMRLIFIDNQPKDLISVQQGSLGNFSDLH IDLCSIKDRCFPNYCEHGGHCTQTWTNFYCNCSNTGYTGATCHDSIYEQSCEVYRHRGNT AGYFYVDSDGSGPLRPLQVYCNVTEDKIWMTVQHNNTELTWIQGSNTEKPYVMNLNYGGS MEQLEALIDGSEHCEQEVTYYCRRSHLLNTPDGAPFAWWTGRSNERHPYWGGYFPGDQKC GCGLEESCLEMSNDTGFLSFKDHLPVTQIIISDTNRSNSEAAWRIGPLRCYGDRHFWNAV SFSTEAAYLHIPTFHAEFSADISFFFKTTALSGVFLENLGVKNFLRLEMSSPSEVIFAID VGNGPMELLVQSPYPLNDNQWHYIQAERNIKETLLHVDNLPKSMRMASEEGHFLLQLNSQ LFVGGTSSRQKGFLGCIRSLHLNGQKVDLEERAKITSGVRPGCAGHCNSYGRNCQNGGKC VEKHIGYTCDCTNSPYEGPFCKKEISALFYSGTSVTYMFQEPYPVTKNTSLSSSAIYKDT APSKEAITLSFMTAQAPTLLLYLNFSSQNFLAILLSRNGSLQIQYRLSKEESHVFTISTE NLANRRVHQVKMSRDGPELSIQMDQQLFSYNFPPEVEFQTVRSLILGKVTETLGLDPEVA KANTLGFVGCLSSVQYNHIAPLKAALRHASISPVTVQGTLTESSCDSMIDSDVTGVTTVY SPSVPFGKTDEHEPLTNTIQSDSAFIGGVIAIVTFITFCVLGIMVHFLYQQKQSHRTNQM KEKEYPDNLDSSFRNDIDLQNTAIECKREYFI >ENSMUSP00000139877.1 pep:known chromosome:GRCm38:1:100097761:100485942:1 gene:ENSMUSG00000067028.11 transcript:ENSMUST00000188735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap5b description:contactin associated protein-like 5B [Source:MGI Symbol;Acc:MGI:3664583] SKPGTFLKKNFQGCIENLYYNGVNIIDLAKRRKHQIYSVGNVTFSCSEPQIVPITFVNSR SSYLLLPGTPQIDGLSVSFQFRTWNEDGLLLSTELSEGSGTLLLILEGGTLRLLIKNVAG HGTESLTGRSLNNGQWHSVNINARRNRVTLTLDNDPASPALETPWLQIYSGYSYYFGGCP DNLTDSQCLNPIKAFQGCMRLIFIDNQPKDLISVQQGSLGNFSDLHIDLCSIKDRCFPNY CEHGGHCTQTWTNFYCNCSNTGYTGATCHDSIYEQSCEVYRHRGNTAGYFYVDSDGSGPL RPLQVYCNVTEDKIWMTVQHNNTELTWIQGSNTEKPYVMNLNYGGSMEQLEALIDGSEHC EQEVTYYCRRSHLLNTPDGAPFAWWTGRSNERHPYWGGYFPGDQKCGCGLEESCLEMSND TGFLSFKDHLPVTQIIISDTNRSNSEAAWRIGPLRCYGDPPSEVIFAIDVGNGPMELLVQ SPYPLNDNQWHYIQAERNIKETLLHVDNLPKSMRMASEEGHFLLQLNSQLFVGGTSSRQK GFLGCIRSLHLNGQKVDLEERAKITSGVRPGCAGHCNSYGRNCQNGGKCVEKHIGYTCDC TNSPYEGPFCKKEISALFYSGTSVTYMFQEPYPVTKNTSLSSSAIYKDTAPSKEAITLSF MTAQAPTLLLYLNFSSQNFLAILLSRNGSLQIQYRLSKEESHVFTISTENLANRRVHQVK MSRDGPELSIQMDQQLFSYNFPPEVEFQTVRSLILGKVTETLGLDPEVAKANTLGFVGCL SSVQYNHIAPLKAALRHASISPVTVQGTLTESSCDSMIDSDVTGVTTVYSPSVPFGKTDE HEPLTNTIQSDSAFIGGVIAIVTFITFCVLGIMVHFLYQQKQSHRTNQMKEKEYPDNLDS SFRNDIDLQNTAIECKREYFI >ENSMUSP00000117600.1 pep:known chromosome:GRCm38:1:38793645:38836711:-1 gene:ENSMUSG00000048814.10 transcript:ENSMUST00000147695.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lonrf2 description:LON peptidase N-terminal domain and ring finger 2 [Source:MGI Symbol;Acc:MGI:1920209] MKNYDQALQDAEAVCQREPLLTKGHHIKAQILSGLGRHREVLKEFIYCLALNPECNSAKK ETQKVICEVFFSTSESEHQTSTSSTETGPEALCEGQTNPQYPLEEAGGHANADNPKTPSE KSDAPADINSSVLYFILGLHCEEDKKALEGIVPAAPSSTLKRQLPSDAQDDEELKANTPE KIPKKDADSPPQRNASSLEEEPEFTIDATDFECALCMRLLFEPVTTPCGHTFCLKCLERC LDHAPHCPLCKDKLSELLATRNFNVTVLTEELIFRYLPDELSDRKRVYDEEMSELSNLTR DVPIFVCAMAFPTVPCPLHVFEPRYRLMIRRCMETGTKRFGMCLSAENAGISEYGCMLEI KDVRTFPDGSSVVDAIGISRFRVLSHRHRDGYNTADIEYLEDEKVEGPEFEELTALHESV YQQSVSWFASLQDHMKKQILSHFGSMPDREPEPQSNSSGPAWSWWILAVLPLERKAQLAI LGMASLKERLLAIRRILVIITRKLNSRQEMANNTQRDN >ENSMUSP00000047372.4 pep:known chromosome:GRCm38:1:38794509:38821215:-1 gene:ENSMUSG00000048814.10 transcript:ENSMUST00000039612.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lonrf2 description:LON peptidase N-terminal domain and ring finger 2 [Source:MGI Symbol;Acc:MGI:1920209] MKNYDQALQDAEAVCQREPLLTKGHHIKAQILSGLGRHREVLKEFIYCLALNPECNSAKK ETQKVICEVFFSTSESEHQTSTSSTETGPEALCEGQTNPQYPLEEAGGHANADNPKTPSE KSDAPADINSSVLYFILGLHCEEDKKALEGIVPAAPSSTLKRQLPSDAQDDEELKANTPE KIPKKDADSPPQRNASSLEEEPEFTIDATDFECALCMRLLFEPVTTPCGHTFCLKCLERC LDHAPHCPLCKDKLSELLATRNFNVTVLTEELIFRYLPDELSDRKRVYDEEMSELSNLTR DVPIFVCAMAFPTVPCPLHVFEPRYRLMIRRCMETGTKRFGMCLSAENAGISEYGCMLEI KDVRTFPDGSSVVDAIGISRFRVLSHRHRDGYNTADIEYLEDEKVEGPEFEELTALHESV YQQSVSWFASLQDHMKKQILSHFGSMPDREPEPQSNSSGPAWSWWILAVLPLERKAQLAI LGMASLKERLLAIRRILVIITRKLNSRQEMANNTQRDN >ENSMUSP00000021114.4 pep:known chromosome:GRCm38:11:116008457:116012719:-1 gene:ENSMUSG00000020766.4 transcript:ENSMUST00000021114.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galk1 description:galactokinase 1 [Source:MGI Symbol;Acc:MGI:95730] MAAWRPPRVEELLAEARRAFMEEFGAEPELAVSAPGRVNLIGEHTDYNQGLVLPMALELV TVMVGSPRTDGLVSLLTTSKDADEPQRLQFPLPSAQWSLEPGIPQWANYVKGVIQHYPAS PLVGFSAVVVSSVPLGGGLSSSASLEVATYTFIQQLCPDSGAIAARAQVCQRAEHSFAGV PCGIMDQLIALLGQKGYALLIDCRSLETSLVPLSDPKLAVLITNSNVRHSLGSSEYPVRR RQCEEVAQALGKESLREVRMEELEAGRELMSKEGFRRARHVVSEIRRTAQAAAAMSRGDY KAFGRLMVESHYSLRDDYEVSCPELDQLVEAALSVPGVYGSRMTGGGFGGCTVTLLEASV APLVIDHIQEQYSGTATFYLSQAADGAQVLSL >ENSMUSP00000141113.1 pep:known chromosome:GRCm38:Y:32588415:32620443:-1 gene:ENSMUSG00000095263.7 transcript:ENSMUST00000189577.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21094 description:predicted gene, 21094 [Source:MGI Symbol;Acc:MGI:5434449] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKW METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000136851.1 pep:known chromosome:GRCm38:Y:32591149:32619011:-1 gene:ENSMUSG00000095263.7 transcript:ENSMUST00000177842.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21094 description:predicted gene, 21094 [Source:MGI Symbol;Acc:MGI:5434449] MALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDV >ENSMUSP00000097172.3 pep:known chromosome:GRCm38:10:75954514:76009591:1 gene:ENSMUSG00000033255.8 transcript:ENSMUST00000099577.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5134 description:predicted gene 5134 [Source:MGI Symbol;Acc:MGI:3646667] MDPAESHHGVTWNQSTLGLSLHSTTDTLVMTFYLLLVVCVGLWMGISIYSSNIGSGHYMA LAGIGAASGIAVGALEWNSIFMLFLLGWIFVPIYSKAEVVTLPEYLKKRFGSLRIQLFFT FIFLIVYIFSRISMEIGFGAMFLKMVWDTDIYQTMLTVLTIIGMYTITGGLATVAYVESL QAGIMILGSALLMGYAFYEVGGYPKLVSKYMEAIPSKTQQGNWTAQPECYLPRQDAFHIF RSCVSGDIPWPGLILGATTVSLFYGCADQVSVQRFLAGKSRLHMEGGCLLCGYLKLLPMF LMVMPGMISRILYPDQVACVVPSECQKFCGRGTGCSALAYPVLVLGVMPHGLQGFMLSTV CASLMSSLTSIFNSSSALFTLNIYTWIRPTATEKELMIAGRFFVIILLAVTIVWIPIIEM APNEILFEYMQVLKSCLTPSMTAVFLLAVFCKRVNEQGAFWGLIVGTTIGVFRLLAEFFY GPVTCGRDRQCPIFICGLHYLYFGFCLFLVTILIILAISLATEPIPDHHLHGLCWSLRNS QQRRVALDKEMRWKAFPSFTSQPGMFGEAHTCLWKFWDLFCGLDSQSKCKTGPENATEKE LEDTWKKVVSSDRSTAFEILARRMAARKSEEHEDRMKDWSNEPESLFWKRVVQASAILLF LILIVAHVYFA >ENSMUSP00000032179.7 pep:known chromosome:GRCm38:6:91013068:91116829:-1 gene:ENSMUSG00000030091.17 transcript:ENSMUST00000032179.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup210 description:nucleoporin 210 [Source:MGI Symbol;Acc:MGI:1859555] MARASLVQPALWALLLLQVVGPAAAAKLNIPKVLLPFTRATRVNFTLEASEGCYRWSSTR PEVASIEPLGSSEQQCSQKAVVQARLTQPARLTSIIFAEDITTGQVLRCDAIVDLIHGIQ IVSTTRELYLEDSPLELKIQALDSEGNTFSTLAGLVFDWTIVKDTEANGFSDSHNALRIL TFLESTYIPPSYISEMEKAAKQGDTILVSGMKTGSSKLKARIQEAVYKNVRPAEVRLLIL ENILLNPAYDVYLLVGTSIHYKVQKIRQGKITELSMPSDQYELQLQNSIPDPQGDPARPV AILTQDTSRVTAMQMGQSNLVLGHRSIRMQGASRLPNSTIYVVEAGYLGFTVYPGDRWVL ETGHLYAITIEVFDRSSNKVYPSDNIRIEAVLPAEFFEVLSSSQNGSYHHIRAIQSGQTA ISATLTSVVDQDGGVHVLQVPVWNQQEVDIHIPITLYPSILTFPWQPKTGAYQYTIKAHG GSGNFSWSSSSSMVATVTVKGVMTTSGDTGLSVIRAHDVQNPLHFGEMKVYVIEPSSMEF APCQVEARVGHTLELPLTISGFMPGGGSEVVTLSDCSHFDLVVEVENQGVFQPLPGRLPP GPEHCSGVKVKADAQGSTTLLVSYTHGHVHLDAKITLAAYLPLKAVDPSSVAVVTLGSSK EMLFEGGPRPWVLEPSKFFRNVTSEDTGSISLSLLGPPASRNYQQHRVLMTCQALGEQVI ALSVGNRPSLSNPFPAVEPTVVKSICAPPSRLTLMPVYALPQLDLSCPLLQQNKQVVPVS SHRNPLLDLGAYDQQGRRFDNFSSLSIQWESSRPLLASIELDQPMQLVSQDDGNGQKKLH GLQTVSVHEASGTTAISATATGYQQSHLSEARVKQPHDPLVPVSASIELILVEDVRVSPE EMTIYNHPGVQVELYITEGSGYFFLNTSTQDIIKVAYQDTRGVALVHPLLPGSSTVMVHD LCLAFPAPAKAIIHVSDIQELYVRVVDKVEIGKAVKAYVRVLDFYKKPFLAKYFTFMDLK LQAASQIITLVTLDEALDNYTATFLVHGVAIGQTSLSASVTDKSGQRVSSTPQQIEVFPP FRLIPRKVTLIIGAMMQITSEGGPQPQSNILFSINNESVAAVSSSGLVRGLMVGNGSVLG VVQAVDAETGKVIIVSQDLVEVEVLQLQAVRIRAPITRMRTGTQMPVFVTGITSNQSPFS FGNAVPGLTFHWSVTKRDVLDLRGRHHEVSIRLPPQYNFAMNVYGRVKGRTGLRVVVKAL DPTAGQLHGLGKELSDEIQIQVFEKLRLLNPEIEAEQILMSPNSFIKLQTNRDGAAILSY RVLDGPEKAPIVHTDEKGFLVSGSGIGVSTLEVIAQEPFGTNQTILVAVKVSPVSYLRIS MSPVLHTQHKEALTALPLGMTVTFIVHFHDSSGDIFHAHNSVLNFATNRDDFVQIGKGAT NNTCIIRTVSVGLTLLHVWDVEHLGLSDFVPLPVLQAITPELSGAVVVGDILCLASVLTS LGGVSGTWSSSASHVLYVDPKTGVAIARDAGSVTVYYEIAGQLKTFKEIVVGTPQKIVAR RLHSAQTSIQEATASKVTVSVGDRSSNLLGECSPAQREAIEALHPESLISCQLQFKQDVF DFPACDVFTVEPGFDAALGQYLCSVTMRRLTDKQLKHLNMKKTSLAVTASIPSSYTSVEK VGAEVPFSPGLYANQAEILLSNHYTSSEVKVFGAVESLENLEVKSGSPAVLAFVKEKSFG LPSFITYTVGVLDPTAGSQGPLSTALTFSSPATNQAITIPVTVAFVLDRRGPGPYGASLL SHFLDSYQVMFFTFFALLAGTAVTIIAYHTVCAPRELASPLALTPRASPQHSPHYLASSP AAFNTLPSGRKASPPSGLWSPAYASH >ENSMUSP00000120098.2 pep:known chromosome:GRCm38:6:91013070:91055384:-1 gene:ENSMUSG00000030091.17 transcript:ENSMUST00000142951.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup210 description:nucleoporin 210 [Source:MGI Symbol;Acc:MGI:1859555] DLGAYDQQGRRFDNFSSLSIQWESSRPLLASIELDQPMQLVSQDDGNGQKKLHGLQTVSV HEASGTTAISATATGYQQSHLSEARVKQPHDPLVPVSASIELILVEDVRVSPEEMTIYNH PGVQVELYITEGSGYFFLNTSTQDIIKVAYQDTRGVALVHPLLPGSSTVMVHDLCLAFPA PAKAIIHVSDIQELYVRVVDKVEIGKAVKAYVRVLDFYKKPFLAKYFTFMDLKLQAASQI ITLVTLDEALDNYTATFLVHGVAIGQTSLSASVTDKSGQRVSSTPQQIEVFPPFRLIPRK VTLIIGAMMQITSEGGPQPQSNILFSINNESVAAVSSSGLVRGLMVGNGSVLGVVQAVDA ETGKVIIVSQDLVEVEVLQLQAVRIRAPITRMRTGTQMPVFVTGITSNQSPFSFGNAVPG LTFHWSVTKRDVLDLRGRHHEVSIRLPPQYNFAMNVYGRVKGRTGLRVVVKALDPTAGQL HGLGKELSDEIQIQVFEKLRLLNPEIEAEQILMSPNSFIKLQTNRDGAAILSYRVLDGPE KAPIVHTDEKGFLVSGSGIGVSTLEVIAQEPFGTNQTILVAVKVSPVSYLRISMSPVLHT QHKEALTALPLGMTVTFIVHFHDSSGDIFHAHNSVLNFATNRDDFVQIGKGATNNTCIIR TVSVGLTLLHVWDVEHLGLSDFVPLPVLQAITPELSGAVVVGDILCLASVLTSLGGVSGT WSSSASHVLYVDPKTGVAIARDAGSVTVYYEIAGQLKTFKEIVVGTPQKIVARRLHSAQT SIQEATASKVTVSVGDRSSNLLGECSPAQREAIEALHPESLISCQLQFKQDVFDFPACDV FTVEPGFDAALGQYLCSVTMRRLTDKQLKHLNMKKTSLAVTASIPSSYTSVEKVGAEVPF SPGLYANQAEILLSNHYTSSEVKVFGAVESLENLEVKSGSPAVLAFVKEKSFGLPSFITY TVGVLDPTAGSQGPLSTALTFSSPATNQAITIPVTVAFVLDRRGPGPYGASLLSHFLDSY QVMFFTFFALLAGTAVTIIAYHTVCAPRELASPLALTPRASPQHSPHCPGAVVLSE >ENSMUSP00000109137.1 pep:known chromosome:GRCm38:6:91013070:91116819:-1 gene:ENSMUSG00000030091.17 transcript:ENSMUST00000113509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup210 description:nucleoporin 210 [Source:MGI Symbol;Acc:MGI:1859555] MARASLVQPALWALLLLQVVGPAAAAKLNIPKVLLPFTRATRVNFTLEASEGCYRWSSTR PEVASIEPLGSSEQQCSQKAVVQARLTQPARLTSIIFAEDITTGQVLRCDAIVDLIHGIQ IVSTTRELYLEDSPLELKIQALDSEGNTFSTLAGLVFDWTIVKDTEANGFSDSHNALRIL TFLESTYIPPSYISEMEKAAKQGDTILVSGMKTGSSKLKARIQEAVYKNVRPAEVRLLIL ENILLNPAYDVYLLVGTSIHYKVQKIRQGKITELSMPSDQYELQLQNSIPDPQGDPARPV AILTQDTSRVTAMQMGQSNLVLGHRSIRMQGASRLPNSTIYVVEAGYLGFTVYPGDRWVL ETGHLYAITIEVFDRSSNKVYPSDNIRIEAVLPAEFFEVLSSSQNGSYHHIRAIQSGQTA ISATLTSVVDQDGGVHVLQVPVWNQQEVDIHIPITLYPSILTFPWQPKTGAYQYTIKAHG GSGNFSWSSSSSMVATVTVKGVMTTSGDTGLSVIRAHDVQNPLHFGEMKVYVIEPSSMEF APCQVEARVGHTLELPLTISGFMPGGGSEVVTLSDCSHFDLVVEVENQGVFQPLPGRLPP GPEHCSGVKVKADAQGSTTLLVSYTHGHVHLDAKITLAAYLPLKAVDPSSVAVVTLGSSK EMLFEGGPRPWVLEPSKFFRNVTSEDTGSISLSLLGPPASRNYQQHRVLMTCQALGEQLD LSCPLLQQNKQVVPVSSHRNPLLDLGAYDQQGRRFDNFSSLSIQWESSRPLLASIELDQP MQLVSQDDGNGQKKLHGLQTVSVHEASGTTAISATATGYQQSHLSEARVKQPHDPLVPVS ASIELILVEDVRVSPEEMTIYNHPGVQVELYITEGSGYFFLNTSTQDIIKVAYQDTRGVA LVHPLLPGSSTVMVHDLCLAFPAPAKAIIHVSDIQELYVRVVDKVEIGKAVKAYVRVLDF YKKPFLAKYFTFMDLKLQAASQIITLVTLDEALDNYTATFLVHGVAIGQTSLSASVTDKS GQRVSSTPQQIEVFPPFRLIPRKVTLIIGAMMQITSEGGPQPQSNILFSINNESVAAVSS SGLVRGLMVGNGSVLGVVQAVDAETGKVIIVSQDLVEVEVLQLQAVRIRAPITRMRTGTQ MPVFVTGITSNQSPFSFGNAVPGLTFHWSVTKRDVLDLRGRHHEVSIRLPPQYNFAMNVY GRVKGRTGLRVVVKALDPTAGQLHGLGKELSDEIQIQVFEKLRLLNPEIEAEQILMSPNS FIKLQTNRDGAAILSYRVLDGPEKAPIVHTDEKGFLVSGSGIGVSTLEVIAQEPFGTNQT ILVAVKVSPVSYLRISMSPVLHTQHKEALTALPLGMTVTFIVHFHDSSGDIFHAHNSVLN FATNRDDFVQIGKGATNNTCIIRTVSVGLTLLHVWDVEHLGLSDFVPLPVLQAITPELSG AVVVGDILCLASVLTSLGGVSGTWSSSASHVLYVDPKTGVAIARDAGSVTVYYEIAGQLK TFKEIVVGTPQKIVARRLHSAQTSIQEATASKVTVSVGDRSSNLLGECSPAQREAIEALH PESLISCQLQFKQDVFDFPACDVFTVEPGFDAALGQYLCSVTMRRLTDKQLKHLNMKKTS LAVTASIPSSYTSVEKVGAEVPFSPGLYANQAEILLSNHYTSSEVKVFGAVESLENLEVK SGSPAVLAFVKEKSFGLPSFITYTVGVLDPTAGSQGPLSTALTFSSPATNQAITIPVTVA FVLDRRGPGPYGASLLSHFLDSYQVMFFTFFALLAGTAVTIIAYHTVCAPRELASPLALT PRASPQHSPHYLASSPAAFNTLPSGRKASPPSGLWSPAYASH >ENSMUSP00000018918.5 pep:known chromosome:GRCm38:11:69664213:69666153:-1 gene:ENSMUSG00000018774.13 transcript:ENSMUST00000018918.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd68 description:CD68 antigen [Source:MGI Symbol;Acc:MGI:88342] MRLPVCLILLGPLIAQGTEEDCPHKKAVTLLPSFTMTPTATESTASPTTSHRPTTTSHGN VTVHTSSGPTTVTHNPATTTSHGNATISHATVSPTTNGTATSPRSSTVGPHPGPPPPSPS PRSKGALGNYTWANGSQPCVQLQAQIQIRILYPIQGGRKAWGISVLNPNKTKVQGGCDGT HPHLSLSFPYGQLTFGFKQDLHQSPSTVYLDYMAVEYNVSFPQAAQWTFMAQNSSLRELQ APLGQSFCCGNASIVLSPAVHLDLLSLRLQAAQLPDKGHFGPCFSCNRDQSLLLPLIIGL VLLGLLTLVLIAFCITRRRQSTYQPL >ENSMUSP00000104294.2 pep:known chromosome:GRCm38:11:69664371:69666062:-1 gene:ENSMUSG00000018774.13 transcript:ENSMUST00000108654.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd68 description:CD68 antigen [Source:MGI Symbol;Acc:MGI:88342] MRLPVCLILLGPLIAQGTEEDCPHKKAVTLLPSFTMTPTATESTASPTTSHRPTTTSHGN VTVHTSSGPTTVTHNPATTTSHGNATISHATVSPTTNGTATSPRSSTVGPHPGPPPPSPS PRSKGALGNYTWANGSQPCVQLQAQIQIRILYPIQGGRKVKLKWGLKRAWGISVLNPNKT KVQGGCDGTHPHLSLSFPYGQLTFGFKQDLHQSPSTVYLDYMAVEYNVSFPQAAQWTFMA QNSSLRELQAPLGQSFCCGNASIVLSPAVHLDLLSLRLQAAQLPDKGHFGPCFSCNRDQS LLLPLIIGLVLLGLLTLVLIAFCITRRRQSTYQPL >ENSMUSP00000038335.7 pep:known chromosome:GRCm38:1:134494648:134508774:1 gene:ENSMUSG00000042229.9 transcript:ENSMUST00000047978.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabif description:RAB interacting factor [Source:MGI Symbol;Acc:MGI:2138605] MEPCELQNELVSAEGRNRKAVLCQRCGSRVLQPGTALFSRRQLFLPSMRKKPDLADGSNP DGDLLQEHWLVNDMFTFENVGFTKDVGNIKFLVCADCEIGPIGWHCLDDKNSFYVALERV SHE >ENSMUSP00000123916.1 pep:known chromosome:GRCm38:12:99964499:100062682:1 gene:ENSMUSG00000045404.15 transcript:ENSMUST00000160413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk13 description:potassium channel, subfamily K, member 13 [Source:MGI Symbol;Acc:MGI:2384976] MAGRGCGCSPGHLNEDNARFLLLAGLILLYLLGGAAVFSALELAQELQAKQRWEERLANF SRGHNLSREELRGFLRHYEEATRAGIRMDSVRPRWDFTGAFYFVGTVVSTIGFGMTTPAT TGGKIFLIFYGLIGCASTILFFNLFLERLITVIACVMRSCHQQQLRRRGAVTQDNMKAPE KGEADSLTGWKPSVYYVMLILCLASVAISCGASALYTTMEGWSYFDSVYFCFVAFSTIGF GDLVSSQNAQYESQGLYRFFNFFLILMGVCCIYSLFNVISILIKQTVNWILRKLDSGCFP PCQRGLLRSRRNVVMPGNIRNRCNISIETDGVMESDTDGRRLSGEMISMKDTNKVSLAIL QKQLSEMANGGPHQNSASSRDDEFSGGVGAFAVMNNRLAETSGDR >ENSMUSP00000124844.1 pep:known chromosome:GRCm38:12:99964505:99966280:1 gene:ENSMUSG00000045404.15 transcript:ENSMUST00000162221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk13 description:potassium channel, subfamily K, member 13 [Source:MGI Symbol;Acc:MGI:2384976] MAGRGCGCSPGHLNEDNARFLLLAGLILLYLLGGAAVFSALELAQELQAKQRWEERLANF SRGHNLSREELRGFLRHYEEATRAGIRMDSVRPRWDFTGAFYFVGTVVSTI >ENSMUSP00000051846.8 pep:known chromosome:GRCm38:12:99964525:100062674:1 gene:ENSMUSG00000045404.15 transcript:ENSMUST00000049788.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk13 description:potassium channel, subfamily K, member 13 [Source:MGI Symbol;Acc:MGI:2384976] MAGRGCGCSPGHLNEDNARFLLLAGLILLYLLGGAAVFSALELAQELQAKQRWEERLANF SRGHNLSREELRGFLRHYEEATRAGIRMDSVRPRWDFTGAFYFVGTVVSTIGFGMTTPAT TGGKIFLIFYGLIGCASTILFFNLFLERLITVIACVMRSCHQQQLRRRGAVTQDNMKAPE KGEADSLTGWKPSVYYVMLILCLASVAISCGASALYTTMEGWSYFDSVYFCFVAFSTIGF GDLVSSQNAQYESQGLYRFFNFFLILMGVCCIYSLFNVISILIKQTVNWILRKLDSGCFP PCQRGLLRSRRNVVMPGNIRNRCNISIETDGVMESDTDGRRLSGEMISMKDTNKVSLAIL QKQLSEMANGGPHQNSASSRDDEFSGGVGAFAVMNNRLAETSGDR >ENSMUSP00000136882.1 pep:known chromosome:GRCm38:12:99964499:100062682:1 gene:ENSMUSG00000045404.15 transcript:ENSMUST00000177549.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk13 description:potassium channel, subfamily K, member 13 [Source:MGI Symbol;Acc:MGI:2384976] MAGRGCGCSPGHLNEDNARFLLLAGLILLYLLGGAAVFSALELAQELQAKQRWEERLANF SRGHNLSREELRGFLRHYEEATRAGIRMDSVRPRWDFTGAFYFVGTVVSTIGFGMTTPAT TGGKIFLIFYGLIGCASTILFFNLFLERLITVIACVMRSCHQQQLRRRGAVTQDNMKAPE KGEADSLTGWKPSVYYVMLILCLASVAISCGASALYTTMEGWSYFDSVYFCFVAFSTIGF GDLVSSQNAQYESQGLYRFFNFFLILMGVCCIYSLFNVISILIKQTVNWILRKLDSGCFP PCQRGLLRSRRNVVMPGNIRNRCNISIETDGVMESDTDGRRLSGEMISMKDTNKVSLAIL QKQLSEMANGGPHQNSASSRDDEFSGGVGAFAVMNNRLAETSGDR >ENSMUSP00000082334.5 pep:known chromosome:GRCm38:12:81197915:81333164:-1 gene:ENSMUSG00000079055.10 transcript:ENSMUST00000085238.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8a3 description:solute carrier family 8 (sodium/calcium exchanger), member 3 [Source:MGI Symbol;Acc:MGI:107976] MAWLRLQPLTSAFLHFGLVTFVLFLNCLRAEAGDSGDVPSAGQNNESCSGSSDCKEGVIL PIWYPENPSLGDKIARVIVYFVALIYMFLGVSIIADRFMASIEVITSQEREVTIKKPNGE TSTTTIRVWNETVSNLTLMALGSSAPEILLSLIEVCGHGFIAGDLGPSTIVGSAAFNMFI IIGICVYVIPDGETRKIKHLRVFFVTAAWSIFAYIWLYMILAVFSPGVVQVWEGLLTLFF FPVCVLLAWVADKRLLFYKYMHKKYRTDKHRGIIIETEGDHPKGIEMDGKMMNSHFLDGN FTPLEGKEVDESRREMIRILKDLKQKHPEKDLDQLVEMANYYALSHQQKSRAFYRIQATR MMTGAGNILKKHAAEQAKKTSSMSEVHTDEPEDFASKVFFDPCSYQCLENCGAVLLTVVR KGGDISKTMYVDYKTEDGSANAGADYEFTEGTVVLKPGETQKEFSVGIIDDDIFEEDEHF FVRLSNVRVEEEQLAEGMLPAILNSLPLPRAVLASPCVATVTILDDDHAGIFTFECDTIH VSESIGVMEVKVLRTSGARGTVIVPFRTVEGTAKGGGEDFEDAYGELEFKNDETVKTIRV KIVDEEEYERQENFFIALGEPKWMERGISEVTDRKLTVEEEEAKRIAEMGKPVLGEHPKL EVIIEESYEFKSTVDKLIKKTNLALVVGTHSWRDQFMEAITVSAGGDEDEDESGEERLPS CFDYVMHFLTVFWKVLFACVPPTEYCHGWACFVVSILIIGMLTAIIGDLASHFGCTIGLK DSVTAVVFVAFGTSVPDTFASKAAALQDVYADASIGNVTGSNAVNVFLGIGLAWSVAAIY WAMQGQEFHVSAGTLAFSVTLFTIFAFVCLSVLLYRRRPHLGGELGGPRGCKLATTWLFV SLWLLYILFATLEAYCYIKGF >ENSMUSP00000063258.7 pep:known chromosome:GRCm38:12:81198276:81332959:-1 gene:ENSMUSG00000079055.10 transcript:ENSMUST00000064594.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8a3 description:solute carrier family 8 (sodium/calcium exchanger), member 3 [Source:MGI Symbol;Acc:MGI:107976] MAWLRLQPLTSAFLHFGLVTFVLFLNCLRAEAGDSGDVPSAGQNNESCSGSSDCKEGVIL PIWYPENPSLGDKIARVIVYFVALIYMFLGVSIIADRFMASIEVITSQEREVTIKKPNGE TSTTTIRVWNETVSNLTLMALGSSAPEILLSLIEVCGHGFIAGDLGPSTIVGSAAFNMFI IIGICVYVIPDGETRKIKHLRVFFVTAAWSIFAYIWLYMILAVFSPGVVQVWEGLLTLFF FPVCVLLAWVADKRLLFYKYMHKKYRTDKHRGIIIETEGDHPKGIEMDGKMMNSHFLDGN FTPLEGKEVDESRREMIRILKDLKQKHPEKDLDQLVEMANYYALSHQQKSRAFYRIQATR MMTGAGNILKKHAAEQAKKTSSMSEVHTDEPEDFASKVFFDPCSYQCLENCGAVLLTVVR KGGDISKTMYVDYKTEDGSANAGADYEFTEGTVVLKPGETQKEFSVGIIDDDIFEEDEHF FVRLSNVRVEEEQLAEGMLPAILNSLPLPRAVLASPCVATVTILDDDHAGIFTFECDTIH VSESIGVMEVKVLRTSGARGTVIVPFRTVEGTAKGGGEDFEDAYGELEFKNDETVKTIRV KIVDEEEYERQENFFIALGEPKWMERGISALLLSPEVTDRKLTVEEEEAKRIAEMGKPVL GEHPKLEVIIEESYEFKSTVDKLIKKTNLALVVGTHSWRDQFMEAITVSAGGDEDEDESG EERLPSCFDYVMHFLTVFWKVLFACVPPTEYCHGWACFVVSILIIGMLTAIIGDLASHFG CTIGLKDSVTAVVFVAFGTSVPDTFASKAAALQDVYADASIGNVTGSNAVNVFLGIGLAW SVAAIYWAMQGQEFHVSAGTLAFSVTLFTIFAFVCLSVLLYRRRPHLGGELGGPRGCKLA TTWLFVSLWLLYILFATLEAYCYIKGF >ENSMUSP00000138735.1 pep:known chromosome:GRCm38:12:81199446:81333180:-1 gene:ENSMUSG00000079055.10 transcript:ENSMUST00000182208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8a3 description:solute carrier family 8 (sodium/calcium exchanger), member 3 [Source:MGI Symbol;Acc:MGI:107976] MAWLRLQPLTSAFLHFGLVTFVLFLNCLRAEAGDSGDVPSAGQNNESCSGSSDCKEGVIL PIWYPENPSLGDKIARVIVYFVALIYMFLGVSIIADRFMASIEVITSQEREVTIKKPNGE TSTTTIRVWNETVSNLTLMALGSSAPEILLSLIEVCGHGFIAGDLGPSTIVGSAAFNMFI IIGICVYVIPDGETRKIKHLRVFFVTAAWSIFAYIWLYMILAVFSPGVVQVWEGLLTLFF FPVCVLLAWVADKRLLFYKYMHKKYRTDKHRGIIIETEGDHPKGIEMDGKMMNSHFLDGN FTPLEGKEVDESRREMIRILKDLKQKHPEKDLDQLVEMANYYALSHQQKSRAFYRIQATR MMTGAGNILKKHAAEQAKKTSSMSEVHTDEPEDFASKVFFDPCSYQCLENCGAVLLTVVR KGGDISKTMYVDYKTEDGSANAGADYEFTEGTVVLKPGETQKEFSVGIIDDDIFEEDEHF FVRLSNVRVEEEQLAEGMLPAILNSLPLPRAVLASPCVATVTILDDDHAGIFTFECDTIH VSESIGVMEVKVLRTSGARGTVIVPFRTVEGTAKGGGEDFEDAYGELEFKNDETVKTIHI KVIDDKAYEKNKNYVIEMMGPRMVDMSVQKALLLSPEVTDRKLTVEEEEAKRIAEMGKPV LGEHPKLEVIIEESYEFKSTVDKLIKKTNLALVVGTHSWRDQFMEAITVSAGGDEDEDES GEERLPSCFDYVMHFLTVFWKVLFACVPPTEYCHGWACFVVSILIIGMLTAIIGDLASHF GCTIGLKDSVTAVVFVAFGTSVPDTFASKAAALQDVYADASIGNVTGSNAVNVFLGIGLA WSVAAIYWAMQGQEFHVSAGTLAFSVTLFTIFAFVCLSVLLYRRRPHLGGELGGPRGCKL ATTWLFVSLWLLYILFATLEAYCYIKGF >ENSMUSP00000138803.1 pep:known chromosome:GRCm38:12:81202397:81294674:-1 gene:ENSMUSG00000079055.10 transcript:ENSMUST00000182366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8a3 description:solute carrier family 8 (sodium/calcium exchanger), member 3 [Source:MGI Symbol;Acc:MGI:107976] MERGISEVTDRKLTVEEEEAKRIAEMGKPVLGEHPKLEVIIEESYEFKSTVDKLIKKTNL ALVVGTHSWRDQFMEAITVSAGGDEDEDESGEERLPSCFDYVMHFLTVFWKVLFACVPPT EY >ENSMUSP00000084918.3 pep:known chromosome:GRCm38:19:20601961:20643462:1 gene:ENSMUSG00000053279.6 transcript:ENSMUST00000087638.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1a1 description:aldehyde dehydrogenase family 1, subfamily A1 [Source:MGI Symbol;Acc:MGI:1353450] MSSPAQPAVPAPLADLKIQHTKIFINNEWHNSVSGKKFPVLNPATEEVICHVEEGDKADV DKAVKAARQAFQIGSPWRTMDASERGRLLNKLADLMERDRLLLATMEALNGGKVFANAYL SDLGGCIKALKYCAGWADKIHGQTIPSDGDIFTYTRREPIGVCGQIIPWNFPMLMFIWKI GPALSCGNTVVVKPAEQTPLTALHLASLIKEAGFPPGVVNIVPGYGPTAGAAISSHMDVD KVAFTGSTQVGKLIKEAAGKSNLKRVTLELGGKSPCIVFADADLDIAVEFAHHGVFYHQG QCCVAASRIFVEESVYDEFVKRSVERAKKYVLGNPLTPGINQGPQIDKEQHDKILDLIES GKKEGAKLECGGGRWGNKGFFVQPTVFSNVTDEMRIAKEEIFGPVQQIMKFKSVDDVIKR ANNTTYGLAAGLFTKDLDKAITVSSALQAGVVWVNCYMMLSAQCPFGGFKMSGNGRELGE HGLYEYTELKTVAMKISQKNS >ENSMUSP00000069004.7 pep:known chromosome:GRCm38:10:80292453:80294114:1 gene:ENSMUSG00000063457.14 transcript:ENSMUST00000068408.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps15 description:ribosomal protein S15 [Source:MGI Symbol;Acc:MGI:98117] MAEVEQKKKRTFRKFTYRGVDLDQLLDMSYEQLMQLYSARQRRRLNRGLRRKQHSLLKRL RKAKKEAPPMEKPEVVKTHLRDMIILPEMVGSMVGVYNGKTFNQVEIKPEMIGHYLGEFS ITYKPVKHGRPGIGATHSSRFIPLK >ENSMUSP00000100997.1 pep:known chromosome:GRCm38:10:80292645:80294087:1 gene:ENSMUSG00000063457.14 transcript:ENSMUST00000062674.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps15 description:ribosomal protein S15 [Source:MGI Symbol;Acc:MGI:98117] MSYEQLMQLYSARQRRRLNRGLRRKQHSLLKRLRKAKKEAPPMEKPEVVKTHLRDMIILP EMVGSMVGVYNGKTFNQVEIKPEMIGHYLGEFSITYKPVKHGRPGIGATHSSRFIPLK >ENSMUSP00000099733.4 pep:known chromosome:GRCm38:2:75675513:75704641:-1 gene:ENSMUSG00000015839.6 transcript:ENSMUST00000102672.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfe2l2 description:nuclear factor, erythroid derived 2, like 2 [Source:MGI Symbol;Acc:MGI:108420] MMDLELPPPGLQSQQDMDLIDILWRQDIDLGVSREVFDFSQRQKDYELEKQKKLEKERQE QLQKEQEKAFFAQFQLDEETGEFLPIQPAQHIQTDTSGSASYSQVAHIPKQDALYFEDCM QLLAETFPFVDDHESLALDIPSHAESSVFTAPHQAQSLNSSLEAAMTDLSSIEQDMEQVW QELFSIPELQCLNTENKQLADTTAVPSPEATLTEMDSNYHFYSSISSLEKEVGNCGPHFL HGFEDSFSSILSTDDASQLTSLDSNPTLNTDFGDEFYSAFIAEPSDGGSMPSSAAISQSL SELLDGTIEGCDLSLCKAFNPKHAEGTMEFNDSDSGISLNTSPSRASPEHSVESSIYGDP PPGFSDSEMEELDSAPGSVKQNGPKAQPAHSPGDTVQPLSPAQGHSAPMRESQCENTTKK EVPVSPGHQKAPFTKDKHSSRLEAHLTRDELRAKALHIPFPVEKIINLPVDDFNEMMSKE QFNEAQLALIRDIRRRGKNKVAAQNCRKRKLENIVELEQDLGHLKDEREKLLREKGENDR NLHLLKRRLSTLYLEVFSMLRDEDGKPYSPSEYSLQQTRDGNVFLVPKSKKPDTKKN >ENSMUSP00000042028.6 pep:known chromosome:GRCm38:7:126584942:126589112:1 gene:ENSMUSG00000042759.12 transcript:ENSMUST00000039522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobr description:apolipoprotein B receptor [Source:MGI Symbol;Acc:MGI:2176230] MDFLRLRLPGLHQALRGALDSFSAFVSYLVGDTVPTVERQTQAAEELGEVTEGKVVGEEA QEVLEGLRSGQSEGVEAPEETRRCQEGSLAGEQTWGWRADSSARPQAERQDTGSWKAAED ARGQEPSVPLKPEAEPGTHRDRSSNTAQEIWEHGEEEASSGEPLRTCEQKEEEEEVVRAA ESGMAEGVESQPTWHSEPGGNAGTEGQHVTEDSKEIDWVAKDMVAEIEWFGAKGIDKEEE RMVPMRDGERARAQGTQCPGAESEDQAMLSREAWTVSDREGADSLGVQGTEYGSDPGDNF PGTTGRVWVLEEADKGDQQDEVDEKREAEVRFPIQTLEAERTGEMTEGHIAEEEAMGEQE TEGSFEDEERQDLAIRDNGVSLEEEVRAEESSREKRNSWATEPTLVLDTEAKDEPDWEDS PEVSTEELFVGERSEAAQMTPEVLRVKVTEGQDPELVRHSQALTKQLEEGQKGQEETSGA PDLSPERVLSLKEYPGPVGFAGPELEAWGNWSRGVDRRNSQEVKADAEAGKEQTATEQAV EIRAEGGQEAQQPEVFGSGGEEALTSVALNPELEGSQGAEAGTEESVEESKPTENEAAEE EAVVPWEADGTCRKRRLEEVTLSLQDSEDTETSYLAEEIIVGIRAVDTEEGPKWEAGLAP ETELGKAWCSEGRGEAGRGTELEETTEKQSGQEVGLVGSAEKVSGYDIQEIDGTEEGEQA EMETSVMAEDIRGTDGVTLGSQAERAEGSITPMETEGLLRDQMLLEEEAGGGQSREQKVH NSEGEIQTLDDSSDQEGQQTHQIPTVAVPGPLESAEATAGAPGDVHSNWNEALLPGSRLD VSVPRSRVLLSRSSSRRRSRPSFHRISVPEPQCDPPSPQPQAERPVPEQSSLQLEETPEL SATKPEGTPVPARRKMLGRGFGFAHPGMMQELQARLSQPKPQ >ENSMUSP00000145626.1 pep:known chromosome:GRCm38:7:126584991:126587951:1 gene:ENSMUSG00000042759.12 transcript:ENSMUST00000131860.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Apobr description:apolipoprotein B receptor [Source:MGI Symbol;Acc:MGI:2176230] MDFLRLRLPGLHQALRGALDSFSAFVSYLVGDTVPTVERQTQAAEELGEAPRQREQRGP >ENSMUSP00000145620.1 pep:known chromosome:GRCm38:7:126584992:126588370:1 gene:ENSMUSG00000042759.12 transcript:ENSMUST00000137646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobr description:apolipoprotein B receptor [Source:MGI Symbol;Acc:MGI:2176230] MDFLRLRLPGLHQALRGALALLPGSRLDVSVPRSRVLLSRSSSRRRSRPSFHRISVPEPQ CDPPSPQPQAERPVPEQSSLQLEETPELSATKPEGTPVPARRKMLGRGFGFAHPGMMQEL QARLSQPKPQ >ENSMUSP00000146092.1 pep:known chromosome:GRCm38:7:126587080:126588445:1 gene:ENSMUSG00000042759.12 transcript:ENSMUST00000138558.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobr description:apolipoprotein B receptor [Source:MGI Symbol;Acc:MGI:2176230] EESKPTENEAAEEEAVVPWEADGTCRKRRLEEVTLSLQDSEDTETSYLAEEIIALLPGSR LDVSVPRSRVLLSRSSSRRRSRPSFHRISVPEPQCDPPSPQPQAERPVPEQSSLQLEETP ELSATKPEGTPVPARRKMLGRGFGFAHPGMMQELQARLSQPKPQ >ENSMUSP00000145535.1 pep:known chromosome:GRCm38:7:126587674:126588325:1 gene:ENSMUSG00000042759.12 transcript:ENSMUST00000144173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobr description:apolipoprotein B receptor [Source:MGI Symbol;Acc:MGI:2176230] IQTLDDSSDQEGQQTHQIPTVAVPGPLESAEATAGAPGDVHSNWNEALLPGSRLDVSVPR SRVLLSRSSSRRRSRPSFHRISVPEPQCDPPSPQPQAERPVPEQSSLQLEETPELSATKP EGTPVPARRKMLGRG >ENSMUSP00000057277.5 pep:known chromosome:GRCm38:X:134748463:134751417:-1 gene:ENSMUSG00000050394.14 transcript:ENSMUST00000052431.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx6 description:armadillo repeat containing, X-linked 6 [Source:MGI Symbol;Acc:MGI:2147993] MGRAREMGWMAAGLMIGAGACYCMYKLTMGRSEGNELEDEEEDEWEDGQDLDEEEADNWF DFTAMARPWSEDGDWDEPGAPGGTEDRRSGGGKANRAHPIKQRPFPYEHKNIWGEQSFKS FTCILDLNKCVSTQRKKRFTKNINAGFSLSPNISKHLASLSVVGNRSPTPHPTVREKALF VPENPNSSLENQGQIKMSIDEVCRETLLCCCKSFLQQAGLSLLISMTVINNMLAKSVSDL KFPLLSKGSGCAEVRGLEELMSLSEKPVLVGEALAAQMLSSFMCLFTRSGSREMLVEAIS P >ENSMUSP00000108819.1 pep:known chromosome:GRCm38:X:134748461:134751331:-1 gene:ENSMUSG00000050394.14 transcript:ENSMUST00000113194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx6 description:armadillo repeat containing, X-linked 6 [Source:MGI Symbol;Acc:MGI:2147993] MGRAREMGWMAAGLMIGAGACYCMYKLTMGRSEGNELEDEEEDEWEDGQDLDEEEADNWF DFTAMARPWSEDGDWDEPGAPGGTEDRRSGGGKANRAHPIKQRPFPYEHKNIWGEQSFKS FTCILDLNKCVSTQRKKRFTKNINAGFSLSPNISKHLASLSVVGNRSPTPHPTVREKALF VPENPNSSLENQGQIKMSIDEVCRETLLCCCKSFLQQAGLSLLISMTVINNMLAKSVSDL KFPLLSKGSGCAEVRGLEELMSLSEKPVLVGEALAAQMLSSFMCLFTRSGSREMLVEAIS P >ENSMUSP00000021107.7 pep:known chromosome:GRCm38:11:115974709:116008412:1 gene:ENSMUSG00000020758.15 transcript:ENSMUST00000021107.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb4 description:integrin beta 4 [Source:MGI Symbol;Acc:MGI:96613] MAGPCCSPWVKLLLLAAMLSASLPGDLANRCKKAQVKSCTECIRVDKSCAYCTDELFKER RCNTQAELLAAGCRGESILVMESSLEITENTQIDTSLHRSQVSPQGLQVRLRPGEERSFV FQVFEPLESPVDLYILMDFSNSMSDDLDNLKQMGQNLAKILRQLTSDYTIGFGKFVDKVS VPQTDMRPEKLKEPWPNSDPPFSFKNVISLTENVEEFWNKLQGERISGNLDAPEGGFDAI LQTAVCTRDIGWRADSTHLLVFSTESAFHYEADGANVLAGIMNRNDEKCHLDASGAYTQY KTQDYPSVPTLVRLLAKHNIIPIFAVTNYSYSYYEKLHKYFPVSSLGVLQEDSSNIVELL EEAFYRIRSNLDIRALDSPRGLRTEVTSDTLQKTETGSFHIKRGEVGTYNVHLRAVEDID GTHVCQLAKEDQGGNIHLKPSFSDGLRMDASVICDVCPCELQKEVRSARCHFRGDFMCGH CVCNEGWSGKTCNCSTGSLSDTQPCLREGEDKPCSGHGECQCGRCVCYGEGRYEGHFCEY DNFQCPRTSGFLCNDRGRCSMGECVCEPGWTGRSCDCPLSNATCIDSNGGICNGRGYCEC GRCHCNQQSLYTDTTCEINYSAIRLGLCEDLRSCVQCQAWGTGEKKGRACDDCPFKVKMV DELKKEEVVEYCSFRDEDDDCTYSYNVEGDGSPGPNSTVLVHKKKDCPPGSFWWLIPLLI FLLLLLALLLLLCWKYCACCKACLGLLPCCNRGHMVGFKEDHYMLRENLMASDHLDTPML RSGNLKGRDTVRWKITNNVQRPGFATHAASTSPTELVPYGLSLRLGRLCTENLMKPGTRE CDQLRQEVEENLNEVYRQVSGAHKLQQTKFRQQPNTGKKQDHTIVDTVLLAPRSAKQMLL KLTEKQVEQGSFHELKVAPGYYTVTAEQDARGMVEFQEGVELVDVRVPLFIRPEDDDEKQ LLVEAIDVPVGTATLGRRLVNITIIKEQASGVVSFEQPEYSVSRGDQVARIPVIRHILDN GKSQVSYSTQDNTAHGHRDYVPVEGELLFHPGETWKELQVKLLELQEVDSLLRGRQVRRF QVQLSNPKFGARLGQPSTTTVILDETDRSLINQTLSSPPPPHGDLGAPQNPNAKAAGSRK IHFNWLPPPGKPMGYRVKYWIQGDSESEAHLLDSKVPSVELTNLYPYCDYEMKVCAYGAQ GEGPYSSLVSCRTHQEVPSEPGRLAFNVVSSTVTQLSWAEPAETNGEITAYEVCYGLVNE DNRPIGPMKKVLVDNPKNRMLLIENLRESQPYRYTVKARNGAGWGPEREAIINLATQPKR PMSIPIIPDIPIVDAQGGEDYENFLMYSDDVLRSPASSQRPSVSDDTEHLVNGRMDFAYP GSANSLHRMTAANVAYGTHLSPHLSHRVLSTSSTLTRDYHSLTRTEHSHSGTLPRDYSTL TSLSSQGLPPIWEDGRSRLPLSWTLGSLSRAHMKGVPASRGSPDSIILAGQSAAPSWGTD SRGAVGVPDTPTRLVFSALGPTSLKVSWQEPQCDRMLLGYSVEYQLLNGGEMHRLNIPNP GQTSVVVEDLLPNHSYVFRVRAQSQEGWGREREGVITIESQVHPQSPLCPLPGSAFTLST PSAPGPLVFTALSPDSLQLSWERPRRPNGDILGYLVTCEMAQGGAPARTFRVDGDNPESR LTVPGLSENVPYKFKVQARTTEGFGPEREGIITIESQVGGPFPQLGSHSGLFQNPVQSEF SSVTSTHSTTTEPFLMDGLTLGTQRLEAGGSLTRHVTQEFVTRTLTASGSLSTHMDQQFF QT >ENSMUSP00000070811.6 pep:known chromosome:GRCm38:11:115977786:116008412:1 gene:ENSMUSG00000020758.15 transcript:ENSMUST00000068981.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb4 description:integrin beta 4 [Source:MGI Symbol;Acc:MGI:96613] MAGPCCSPWVKLLLLAAMLSASLPGDLANRCKKAQVKSCTECIRVDKSCAYCTDELFKER RCNTQAELLAAGCRGESILVMESSLEITENTQIDTSLHRSQVSPQGLQVRLRPGEERSFV FQVFEPLESPVDLYILMDFSNSMSDDLDNLKQMGQNLAKILRQLTSDYTIGFGKFVDKVS VPQTDMRPEKLKEPWPNSDPPFSFKNVISLTENVEEFWNKLQGERISGNLDAPEGGFDAI LQTAVCTRDIGWRADSTHLLVFSTESAFHYEADGANVLAGIMNRNDEKCHLDASGAYTQY KTQDYPSVPTLVRLLAKHNIIPIFAVTNYSYSYYEKLHKYFPVSSLGVLQEDSSNIVELL EEAFYRIRSNLDIRALDSPRGLRTEVTSDTLQKTETGSFHIKRGEVGTYNVHLRAVEDID GTHVCQLAKEDQGGNIHLKPSFSDGLRMDASVICDVCPCELQKEVRSARCHFRGDFMCGH CVCNEGWSGKTCNCSTGSLSDTQPCLREGEDKPCSGHGECQCGRCVCYGEGRYEGHFCEY DNFQCPRTSGFLCNDRGRCSMGECVCEPGWTGRSCDCPLSNATCIDSNGGICNGRGYCEC GRCHCNQQSLYTDTTCEINYSAIRLGLCEDLRSCVQCQAWGTGEKKGRACDDCPFKVKMV DELKKAEEVVEYCSFRDEDDDCTYSYNVEGDGSPGPNSTVLVHKKKDCPPGSFWWLIPLL IFLLLLLALLLLLCWKYCACCKACLGLLPCCNRGHMVGFKEDHYMLRENLMASDHLDTPM LRSGNLKGRDTVRWKITNNVQRPGFATHAASTSPTELVPYGLSLRLGRLCTENLMKPGTR ECDQLRQEVEENLNEVYRQVSGAHKLQQTKFRQQPNTGKKQDHTIVDTVLLAPRSAKQML LKLTEKQVEQGSFHELKVAPGYYTVTAEQDARGMVEFQEGVELVDVRVPLFIRPEDDDEK QLLVEAIDVPVGTATLGRRLVNITIIKEQASGVVSFEQPEYSVSRGDQVARIPVIRHILD NGKSQVSYSTQDNTAHGHRDYVPVEGELLFHPGETWKELQVKLLELQEVDSLLRGRQVRR FQVQLSNPKFGARLGQPSTTTVILGEHDETDRSLINQTLSSPPPPHGDLGAPQNPNAKAA GSRKIHFNWLPPPGKPMGYRVKYWIQGDSESEAHLLDSKVPSVELTNLYPYCDYEMKVCA YGAQGEGPYSSLVSCRTHQEVPSEPGRLAFNVVSSTVTQLSWAEPAETNGEITAYEVCYG LVNEDNRPIGPMKKVLVDNPKNRMLLIENLRESQPYRYTVKARNGAGWGPEREAIINLAT QPKRPMSIPIIPDIPIVDAQGGEDYENFLMYSDDVLRSPASSQRPSVSDDTEHLVNGRMD FAYPGSANSLHRMTAANVAYGTHLSPHLSHRVLSTSSTLTRDYHSLTRTEHSHSGTLPRD YSTLTSLSSQDSRGAVGVPDTPTRLVFSALGPTSLKVSWQEPQCDRMLLGYSVEYQLLNG GEMHRLNIPNPGQTSVVVEDLLPNHSYVFRVRAQSQEGWGREREGVITIESQVHPQSPLC PLPGSAFTLSTPSAPGPLVFTALSPDSLQLSWERPRRPNGDILGYLVTCEMAQGGAPART FRVDGDNPESRLTVPGLSENVPYKFKVQARTTEGFGPEREGIITIESQVGGPFPQLGSHS GLFQNPVQSEFSSVTSTHSTTTEPFLMDGLTLGTQRLEAGGSLTRHVTQEFVTRTLTASG SLSTHMDQQFFQT >ENSMUSP00000102068.2 pep:known chromosome:GRCm38:11:115974979:116008412:1 gene:ENSMUSG00000020758.15 transcript:ENSMUST00000106460.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb4 description:integrin beta 4 [Source:MGI Symbol;Acc:MGI:96613] MAGPCCSPWVKLLLLAAMLSASLPGDLANRCKKAQVKSCTECIRVDKSCAYCTDELFKER RCNTQAELLAAGCRGESILVMESSLEITENTQIDTSLHRSQVSPQGLQVRLRPGEERSFV FQVFEPLESPVDLYILMDFSNSMSDDLDNLKQMGQNLAKILRQLTSDYTIGFGKFVDKVS VPQTDMRPEKLKEPWPNSDPPFSFKNVISLTENVEEFWNKLQGERISGNLDAPEGGFDAI LQTAVCTRDIGWRADSTHLLVFSTESAFHYEADGANVLAGIMNRNDEKCHLDASGAYTQY KTQDYPSVPTLVRLLAKHNIIPIFAVTNYSYSYYEKLHKYFPVSSLGVLQEDSSNIVELL EEAFYRIRSNLDIRALDSPRGLRTEVTSDTLQKTETGSFHIKRGEVGTYNVHLRAVEDID GTHVCQLAKEDQGGNIHLKPSFSDGLRMDASVICDVCPCELQKEVRSARCHFRGDFMCGH CVCNEGWSGKTCNCSTGSLSDTQPCLREGEDKPCSGHGECQCGRCVCYGEGRYEGHFCEY DNFQCPRTSGFLCNDRGRCSMGECVCEPGWTGRSCDCPLSNATCIDSNGGICNGRGYCEC GRCHCNQQSLYTDTTCEINYSAIRLGLCEDLRSCVQCQAWGTGEKKGRACDDCPFKVKMV DELKKAEEVVEYCSFRDEDDDCTYSYNVEGDGSPGPNSTVLVHKKKDCPPGSFWWLIPLL IFLLLLLALLLLLCWKYCACCKACLGLLPCCNRGHMVGFKEDHYMLRENLMASDHLDTPM LRSGNLKGRDTVRWKITNNVQRPGFATHAASTSPTELVPYGLSLRLGRLCTENLMKPGTR ECDQLRQEVEENLNEVYRQVSGAHKLQQTKFRQQPNTGKKQDHTIVDTVLLAPRSAKQML LKLTEKQVEQGSFHELKVAPGYYTVTAEQDARGMVEFQEGVELVDVRVPLFIRPEDDDEK QLLVEAIDVPVGTATLGRRLVNITIIKEQASGVVSFEQPEYSVSRGDQVARIPVIRHILD NGKSQVSYSTQDNTAHGHRDYVPVEGELLFHPGETWKELQVKLLELQEVDSLLRGRQVRR FQVQLSNPKFGARLGQPSTTTVILGEHDETDRSLINQTLSSPPPPHGDLGAPQNPNAKAA GSRKIHFNWLPPPGKPMGYRVKYWIQGDSESEAHLLDSKVPSVELTNLYPYCDYEMKVCA YGAQGEGPYSSLVSCRTHQEVPSEPGRLAFNVVSSTVTQLSWAEPAETNGEITAYEVCYG LVNEDNRPIGPMKKVLVDNPKNRMLLIENLRESQPYRYTVKARNGAGWGPEREAIINLAT QPKRPMSIPIIPDIPIVDAQGGEDYENFLMYSDDVLRSPASSQRPSVSDDTEHLVNGRMD FAYPGSANSLHRMTAANVAYGTHLSPHLSHRVLSTSSTLTRDYHSLTRTEHSHSGTLPRD YSTLTSLSSQGLPPIWEDGRSRLPLSWTLGSLSRAHMKGVPASRGSPDSIILAGQSAAPS WGTDSRGAVGVPDTPTRLVFSALGPTSLKVSWQEPQCDRMLLGYSVEYQLLNGGEMHRLN IPNPGQTSVVVEDLLPNHSYVFRVRAQSQEGWGREREGVITIESQVHPQSPLCPLPGSAF TLSTPSAPGPLVFTALSPDSLQLSWERPRRPNGDILGYLVTCEMAQGGAPARTFRVDGDN PESRLTVPGLSENVPYKFKVQARTTEGFGPEREGIITIESQVGGPFPQLGSHSGLFQNPV QSEFSSVTSTHSTTTEPFLMDGLTLGTQRLEAGGSLTRHVTQEFVTRTLTASGSLSTHMD QQFFQT >ENSMUSP00000102066.1 pep:known chromosome:GRCm38:11:115977786:116008412:1 gene:ENSMUSG00000020758.15 transcript:ENSMUST00000106458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb4 description:integrin beta 4 [Source:MGI Symbol;Acc:MGI:96613] MAGPCCSPWVKLLLLAAMLSASLPGDLANRCKKAQVKSCTECIRVDKSCAYCTDELFKER RCNTQAELLAAGCRGESILVMESSLEITENTQIDTSLHRSQVSPQGLQVRLRPGEERSFV FQVFEPLESPVDLYILMDFSNSMSDDLDNLKQMGQNLAKILRQLTSDYTIGFGKFVDKVS VPQTDMRPEKLKEPWPNSDPPFSFKNVISLTENVEEFWNKLQGERISGNLDAPEGGFDAI LQTAVCTRDIGWRADSTHLLVFSTESAFHYEADGANVLAGIMNRNDEKCHLDASGAYTQY KTQDYPSVPTLVRLLAKHNIIPIFAVTNYSYSYYEKLHKYFPVSSLGVLQEDSSNIVELL EEAFYRIRSNLDIRALDSPRGLRTEVTSDTLQKTETGSFHIKRGEVGTYNVHLRAVEDID GTHVCQLAKEDQGGNIHLKPSFSDGLRMDASVICDVCPCELQKEVRSARCHFRGDFMCGH CVCNEGWSGKTCNCSTGSLSDTQPCLREGEDKPCSGHGECQCGRCVCYGEGRYEGHFCEY DNFQCPRTSGFLCNDRGRCSMGECVCEPGWTGRSCDCPLSNATCIDSNGGICNGRGYCEC GRCHCNQQSLYTDTTCEINYSAIRLGLCEDLRSCVQCQAWGTGEKKGRACDDCPFKVKMV DELKKAEEVVEYCSFRDEDDDCTYSYNVEGDGSPGPNSTVLVHKKKDCPPGSFWWLIPLL IFLLLLLALLLLLCWKYCACCKACLGLLPCCNRGHMVGFKEDHYMLRENLMASDHLDTPM LRSGNLKGRDTVRWKITNNVQRPGFATHAASTSPTELVPYGLSLRLGRLCTENLMKPGTR ECDQLRQEVEENLNEVYRQVSGAHKLQQTKFRQQPNTGKKQDHTIVDTVLLAPRSAKQML LKLTEKQVEQGSFHELKVAPGYYTVTAEQDARGMVEFQEGVELVDVRVPLFIRPEDDDEK QLLVEAIDVPVGTATLGRRLVNITIIKEQASGVVSFEQPEYSVSRGDQVARIPVIRHILD NGKSQVSYSTQDNTAHGHRDYVPVEGELLFHPGETWKELQVKLLELQEVDSLLRGRQVRR FQVQLSNPKFGARLGQPSTTTVILGEHDETDRSLINQTLSSPPPPHGDLGAPQNPNAKAA GSRKIHFNWLPPPGKPMGYRVKYWIQGDSESEAHLLDSKVPSVELTNLYPYCDYEMKVCA YGAQGEGPYSSLVSCRTHQEVPSEPGRLAFNVVSSTVTQLSWAEPAETNGEITAYEVCYG LVNEDNRPIGPMKKVLVDNPKNRMLLIENLRESQPYRYTVKARNGAGWGPEREAIINLAT QPKRPMSIPIIPDIPIVDAQGGEDYENFLMYSDDVLRSPASSQRPSVSDDTGCGWKFEPL LGEELDLRRVTWRLPPELIPRLSASSGRSDEDGSVAGGVEGEGSGWIRGATPRPPGEHLV NGRMDFAYPGSANSLHRMTAANVAYGTHLSPHLSHRVLSTSSTLTRDYHSLTRTEHSHSG TLPRDYSTLTSLSSQDSRGAVGVPDTPTRLVFSALGPTSLKVSWQEPQCDRMLLGYSVEY QLLNGGEMHRLNIPNPGQTSVVVEDLLPNHSYVFRVRAQSQEGWGREREGVITIESQVHP QSPLCPLPGSAFTLSTPSAPGPLVFTALSPDSLQLSWERPRRPNGDILGYLVTCEMAQGG APARTFRVDGDNPESRLTVPGLSENVPYKFKVQARTTEGFGPEREGIITIESQVGGPFPQ LGSHSGLFQNPVQSEFSSVTSTHSTTTEPFLMDGLTLGTQRLEAGGSLTRHVTQEFVTRT LTASGSLSTHMDQQFFQT >ENSMUSP00000127604.1 pep:known chromosome:GRCm38:11:115974725:116008411:1 gene:ENSMUSG00000020758.15 transcript:ENSMUST00000169928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb4 description:integrin beta 4 [Source:MGI Symbol;Acc:MGI:96613] MAGPCCSPWVKLLLLAAMLSASLPGDLANRCKKAQVKSCTECIRVDKSCAYCTDELFKER RCNTQAELLAAGCRGESILVMESSLEITENTQIDTSLHRSQVSPQGLQVRLRPGEERSFV FQVFEPLESPVDLYILMDFSNSMSDDLDNLKQMGQNLAKILRQLTSDYTIGFGKFVDKVS VPQTDMRPEKLKEPWPNSDPPFSFKNVISLTENVEEFWNKLQGERISGNLDAPEGGFDAI LQTAVCTRDIGWRADSTHLLVFSTESAFHYEADGANVLAGIMNRNDEKCHLDASGAYTQY KTQDYPSVPTLVRLLAKHNIIPIFAVTNYSYSYYEKLHKYFPVSSLGVLQEDSSNIVELL EEAFYRIRSNLDIRALDSPRGLRTEVTSDTLQKTETGSFHIKRGEVGTYNVHLRAVEDID GTHVCQLAKEDQGGNIHLKPSFSDGLRMDASVICDVCPCELQKEVRSARCHFRGDFMCGH CVCNEGWSGKTCNCSTGSLSDTQPCLREGEDKPCSGHGECQCGRCVCYGEGRYEGHFCEY DNFQCPRTSGFLCNDRGRCSMGECVCEPGWTGRSCDCPLSNATCIDSNGGICNGRGYCEC GRCHCNQQSLYTDTTCEINYSAIRLGLCEDLRSCVQCQAWGTGEKKGRACDDCPFKVKMV DELKKEEVVEYCSFRDEDDDCTYSYNVEGDGSPGPNSTVLVHKKKDCPPGSFWWLIPLLI FLLLLLALLLLLCWKYCACCKACLGLLPCCNRGHMVGFKEDHYMLRENLMASDHLDTPML RSGNLKGRDTVRWKITNNVQRPGFATHAASTSPTELVPYGLSLRLGRLCTENLMKPGTRE CDQLRQEVEENLNEVYRQVSGAHKLQQTKFRQQPNTGKKQDHTIVDTVLLAPRSAKQMLL KLTEKQVEQGSFHELKVAPGYYTVTAEQDARGMVEFQEGVELVDVRVPLFIRPEDDDEKQ LLVEAIDVPVGTATLGRRLVNITIIKEQASGVVSFEQPEYSVSRGDQVARIPVIRHILDN GKSQVSYSTQDNTAHGHRDYVPVEGELLFHPGETWKELQVKLLELQEVDSLLRGRQVRRF QVQLSNPKFGARLGQPSTTTVILDETDRSLINQTLSSPPPPHGDLGAPQNPNAKAAGSRK IHFNWLPPPGKPMGYRVKYWIQGDSESEAHLLDSKVPSVELTNLYPYCDYEMKVCAYGAQ GEGPYSSLVSCRTHQEVPSEPGRLAFNVVSSTVTQLSWAEPAETNGEITAYEVCYGLVNE DNRPIGPMKKVLVDNPKNRMLLIENLRESQPYRYTVKARNGAGWGPEREAIINLATQPKR PMSIPIIPDIPIVDAQGGEDYENFLMYSDDVLRSPASSQRPSVSDDTEHLVNGRMDFAYP GSANSLHRMTAANVAYGTHLSPHLSHRVLSTSSTLTRDYHSLTRTEHSHSGTLPRDYSTL TSLSSQGLPPIWEDGRSRLPLSWTLGSLSRAHMKGVPASRGSPDSIILAGQSAAPSWGTD SRGAVGVPDTPTRLVFSALGPTSLKVSWQEPQCDRMLLGYSVEYQLLNGGEMHRLNIPNP GQTSVVVEDLLPNHSYVFRVRAQSQEGWGREREGVITIESQVHPQSPLCPLPGSAFTLST PSAPGPLVFTALSPDSLQLSWERPRRPNGDILGYLVTCEMAQGGAPARTFRVDGDNPESR LTVPGLSENVPYKFKVQARTTEGFGPEREGIITIESQVGGPFPQLGSHSGLFQNPVQSEF SSVTSTHSTTTEPFLMDGLTLGTQRLEAGGSLTRHVTQEFVTRTLTASGSLSTHMDQQFF QT >ENSMUSP00000102069.1 pep:known chromosome:GRCm38:11:115974725:116008411:1 gene:ENSMUSG00000020758.15 transcript:ENSMUST00000106461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb4 description:integrin beta 4 [Source:MGI Symbol;Acc:MGI:96613] MAGPCCSPWVKLLLLAAMLSASLPGDLANRCKKAQVKSCTECIRVDKSCAYCTDELFKER RCNTQAELLAAGCRGESILVMESSLEITENTQIDTSLHRSQVSPQGLQVRLRPGEERSFV FQVFEPLESPVDLYILMDFSNSMSDDLDNLKQMGQNLAKILRQLTSDYTIGFGKFVDKVS VPQTDMRPEKLKEPWPNSDPPFSFKNVISLTENVEEFWNKLQGERISGNLDAPEGGFDAI LQTAVCTRDIGWRADSTHLLVFSTESAFHYEADGANVLAGIMNRNDEKCHLDASGAYTQY KTQDYPSVPTLVRLLAKHNIIPIFAVTNYSYSYYEKLHKYFPVSSLGVLQEDSSNIVELL EEAFYRIRSNLDIRALDSPRGLRTEVTSDTLQKTETGSFHIKRGEVGTYNVHLRAVEDID GTHVCQLAKEDQGGNIHLKPSFSDGLRMDASVICDVCPCELQKEVRSARCHFRGDFMCGH CVCNEGWSGKTCNCSTGSLSDTQPCLREGEDKPCSGHGECQCGRCVCYGEGRYEGHFCEY DNFQCPRTSGFLCNDRGRCSMGECVCEPGWTGRSCDCPLSNATCIDSNGGICNGRGYCEC GRCHCNQQSLYTDTTCEINYSAIRLGLCEDLRSCVQCQAWGTGEKKGRACDDCPFKVKMV DELKKAEEVVEYCSFRDEDDDCTYSYNVEGDGSPGPNSTVLVHKKKDCPPGSFWWLIPLL IFLLLLLALLLLLCWKYCACCKACLGLLPCCNRGHMVGFKEDHYMLRENLMASDHLDTPM LRSGNLKGRDTVRWKITNNVQRPGFATHAASTSPTELVPYGLSLRLGRLCTENLMKPGTR ECDQLRQEVEENLNEVYRQVSGAHKLQQTKFRQQPNTGKKQDHTIVDTVLLAPRSAKQML LKLTEKQVEQGSFHELKVAPGYYTVTAEQDARGMVEFQEGVELVDVRVPLFIRPEDDDEK QLLVEAIDVPVGTATLGRRLVNITIIKEQASGVVSFEQPEYSVSRGDQVARIPVIRHILD NGKSQVSYSTQDNTAHGHRDYVPVEGELLFHPGETWKELQVKLLELQEVDSLLRGRQVRR FQVQLSNPKFGARLGQPSTTTVILGEHDETDRSLINQTLSSPPPPHGDLGAPQNPNAKAA GSRKIHFNWLPPPGKPMGYRVKYWIQGDSESEAHLLDSKVPSVELTNLYPYCDYEMKVCA YGAQGEGPYSSLVSCRTHQEVPSEPGRLAFNVVSSTVTQLSWAEPAETNGEITAYEVCYG LVNEDNRPIGPMKKVLVDNPKNRMLLIENLRESQPYRYTVKARNGAGWGPEREAIINLAT QPKRPMSIPIIPDIPIVDAQGGEDYENFLMYSDDVLRSPASSQRPSVSDDTGCGWKFEPL LGEELDLRRVTWRLPPELIPRLSASSGRSDEDGSVAGGVEGEGSGWIRGATPRPPGEHLV NGRMDFAYPGSANSLHRMTAANVAYGTHLSPHLSHRVLSTSSTLTRDYHSLTRTEHSHSG TLPRDYSTLTSLSSQDSRGAVGVPDTPTRLVFSALGPTSLKVSWQEPQCDRMLLGYSVEY QLLNGGEMHRLNIPNPGQTSVVVEDLLPNHSYVFRVRAQSQEGWGREREGVITIESQVHP QSPLCPLPGSAFTLSTPSAPGPLVFTALSPDSLQLSWERPRRPNGDILGYLVTCEMAQGG APARTFRVDGDNPESRLTVPGLSENVPYKFKVQARTTEGFGPEREGIITIESQVGGPFPQ LGSHSGLFQNPVQSEFSSVTSTHSTTTEPFLMDGLTLGTQRLEAGGSLTRHVTQEFVTRT LTASGSLSTHMDQQFFQT >ENSMUSP00000115255.1 pep:known chromosome:GRCm38:1:191717834:191719398:1 gene:ENSMUSG00000026623.16 transcript:ENSMUST00000133076.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpgat1 description:lysophosphatidylglycerol acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2446186] MTATPGPGTPAAAAAAGPRPCRRSPLAPTEKDRTDANVRMAVTVE >ENSMUSP00000106479.1 pep:known chromosome:GRCm38:1:191717834:191784255:1 gene:ENSMUSG00000026623.16 transcript:ENSMUST00000110855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpgat1 description:lysophosphatidylglycerol acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2446186] MAVTVEEAPWLGWIVAKALMRFAFMVANNLVAIPSYICYVIILQPLRVLDSKRFWYIEGL MYKWLLGMVASWGWYAGYTVMEWGEDIKAIAKDEAVMLVNHQATGDVCTLMMCLQDKGPV VAQMMWLMDHIFKYTNFGIVSLIHGDFFIRQGRAYRDQQLLVLKKHLEHNYRSRDRKWIV LFPEGGFLRKRRETSQAFAKKNNLPFLTHVTLPRFGATNIILKALVARQENGSPAGGDAR GLECKSRGLQWIIDTTIAYPKAEPIDIQTWILGYRKPTVTHVHYRIFPIGDVPLETEDLT SWLYQRFIEKEDLLSHFYKTGAFPPPQGQKEAVCREMTLSNMWIFLIQSFAFLSGYLWYH IIQYFYHCLF >ENSMUSP00000106480.1 pep:known chromosome:GRCm38:1:191718389:191779977:1 gene:ENSMUSG00000026623.16 transcript:ENSMUST00000110856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpgat1 description:lysophosphatidylglycerol acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2446186] MTATPGPGTPAAAAAAGPRPCRRSPLAPTEKDRTDANVRMAVTVEEAPWLGWIVAKALMR FAFMVANNLVAIPSYICYVIILQPLRVLDSKRFWYIEGLMYKWLLGMVASWGWYAGYTVM EWGEDIKAIAKDEAVMLVNHQATGDVCTLMMCLQDKGPVVAQMMWLMDHIFKYTNFGIVS LIHGDFFIRQGRAYRDQQLLVLKKHLEHNYRSRDRKWIVLFPEGGFLRKRRETSQAFAKK NNLPFLTHVTLPRFGATNIILKALVARQENGSPAGGDARGLECKSRGLQWIIDTTIAYPK AEPIDIQTWILGYRKPTVTHVHYRIFPIGDVPLETEDLTSWLYQRFIEKEDLLSHFYKTG AFPPPQGQKEAVCREMTLSNMWIFLIQSFAFLSGYLWYHIIQYFYHCLF >ENSMUSP00000114382.1 pep:known chromosome:GRCm38:1:191718521:191760184:1 gene:ENSMUSG00000026623.16 transcript:ENSMUST00000130876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpgat1 description:lysophosphatidylglycerol acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2446186] MAVTVEEAPWLGWIVAKALMRFAFMVANNLVAIPSYICYVIILQPLRVLDSKRFWYIEGL MYKWLLGMVASWGWYAGYTVMEWGEDIKAIAKDEAVMLVNHQATGDVCTLMMCLQDKGPV VAQMMWLMDHIFKYTNFGIVSLIHGDFFIRQGRAYRDQQLLVLKKHLEHNYRSRDRKWIV LFPEGGFLRKRRETSQAFAKKNNLPFLTHVTLPRFGATNII >ENSMUSP00000133717.1 pep:known chromosome:GRCm38:1:134540941:134549682:-1 gene:ENSMUSG00000046367.10 transcript:ENSMUST00000172898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4e description:MGAT4 family, member E [Source:MGI Symbol;Acc:MGI:1918251] MEGGLRTGRLPKAPELSLQSFPSEWSWVWTYPLFHKLAAFACHASQAWLTVGITSESREG PNGLLDTLASLYHTSSTSEQKQMTVLVHLADSDPTWLRRTIIRISSLYRSQILTGQLLLI HAPPDAYPAVNDAQNKVSRGQIYSKQNVDHAFLMSFATKLSTYFLLIEDNVFCAPNFVNH IRSKVGYRKPNTWVLLEFSNMGFLGKLLHSRDLPLLAHFLLLFHKERPLNWLLLHFRTLL GQQSSILCRPFLFYHRLTHLTFENKTLIGHEKDPPDPYTLSGTVYTDMRFSDTHSPQEAY TLDESFFWSYNVTTGNYLTVILNNPANLNRVQVRTGSITDGKYILEKGQVELGYDPEGTP PQCTSFTLLGRLVQGQMDQFILESIGYKVSCVKLAVNANQVGGLMIRHIYIWGENAKNRK DVQIDNDDDDDDDDYDDDS >ENSMUSP00000139781.1 pep:known chromosome:GRCm38:1:134540955:134546950:-1 gene:ENSMUSG00000046367.10 transcript:ENSMUST00000185836.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mgat4e description:MGAT4 family, member E [Source:MGI Symbol;Acc:MGI:1918251] WSWVWTYPLFHKLAAFACHASQGDQPWQ >ENSMUSP00000125929.1 pep:known chromosome:GRCm38:1:134540945:134547022:-1 gene:ENSMUSG00000046367.10 transcript:ENSMUST00000052911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat4e description:MGAT4 family, member E [Source:MGI Symbol;Acc:MGI:1918251] MEGGLRTGRLPKAPELSLQSFPSEWSWVWTYPLFHKLAAFACHASQAWLTVGITSESREG PNGLLDTLASLYHTSSTSEQKQMTVLVHLADSDPTWLRRTIIRISSLYRSQILTGQLLLI HAPPDAYPAVNDAQNKVSRGQIYSKQNVDHAFLMSFATKLSTYFLLIEDNVFCAPNFVNH IRSKVGYRKPNTWVLLEFSNMGFLGKLLHSRDLPLLAHFLLLFHKERPLNWLLLHFRTLL GQQSSILCRPFLFYHRLTHLTFENKTLIGHEKDPPDPYTLSGTVYTDMRFSDTHSPQEAY TLDESFFWSYNVTTGNYLTVILNNPANLNRVQVRTGSITDGKYILEKGQVELGYDPEGTP PQCTSFTLLGRLVQGQMDQFILESIGYKVSCVKLAVNANQVGGLMIRHIYIWGENAKNRK DVQIDNDDDDDDDDYDDDS >ENSMUSP00000030284.3 pep:known chromosome:GRCm38:4:109451098:109476675:-1 gene:ENSMUSG00000028557.10 transcript:ENSMUST00000030284.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf11 description:ring finger protein 11 [Source:MGI Symbol;Acc:MGI:1352759] MGNCLKSPTSDDISLLHESQSDRASFGEGTEPDQEPPPPYQEQVPVPIYHPTPSQTRLAT QLTEEEQIRIAQRIGLIQHLPKGVYDPGRDGSEKKIRECVICMMDFVYGDPIRFLPCMHI YHLDCIDDWLMRSFTCPSCMEPVDAALLSSYETN >ENSMUSP00000063798.1 pep:known chromosome:GRCm38:4:109452899:109476983:-1 gene:ENSMUSG00000028557.10 transcript:ENSMUST00000064167.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf11 description:ring finger protein 11 [Source:MGI Symbol;Acc:MGI:1352759] MGNCLKSPTSDDISLLHESQSDRASFGEGTEPDQEPPPPYQEQVPVPIYHPTPSQTRLAT QLTEEEQIRIAQRIGLIQHLPKGVYDPGRDGSEKKIRECVICMMDFVYGDPIRFLPCMHI YHLDCIDDWLMRSFTCPSCMEPVDAALLSSYETN >ENSMUSP00000115779.1 pep:known chromosome:GRCm38:4:109454284:109484728:-1 gene:ENSMUSG00000028557.10 transcript:ENSMUST00000145980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf11 description:ring finger protein 11 [Source:MGI Symbol;Acc:MGI:1352759] MGNCLKSPTSDDISLLHESQSDRASFGEGTEPDQEPPPPYQEQVPVPIYHPTPSQTRLAT QLTEEEQIRIAQRIGLIQHLPKGVYDPGRDGSEKKIRECVICMMDFVYGDPIRFLPCMHI YHLDCIDDWLMRSFTCPSCMEPVD >ENSMUSP00000118617.1 pep:known chromosome:GRCm38:4:107253593:107279837:1 gene:ENSMUSG00000063172.13 transcript:ENSMUST00000152717.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb11 description:heat shock protein family B (small), member 11 [Source:MGI Symbol;Acc:MGI:1920188] MRKVDLCSVTEGTEVILATSSDEKHPPENIIDGNPETFWTTTGMFPQEFIICFHKHVKIE KLVIQSYLVRTLRIEKTTSKEPLDFEQWVEKDLVHTEGQLQNEEIVARDGYATFLRFIIV SAFDHFASVHSISAEGLTVSSLP >ENSMUSP00000102360.1 pep:known chromosome:GRCm38:4:107253903:107279938:1 gene:ENSMUSG00000063172.13 transcript:ENSMUST00000106749.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb11 description:heat shock protein family B (small), member 11 [Source:MGI Symbol;Acc:MGI:1920188] MRKVDLCSVTEGTEVILATSSDEKHPPENIIDGNPETFWTTTGMFPQEFIICFHKHVKIE KLVIQSYLVRTLRIEKTTSKEPLDFEQWVEKDLVHTEGQLQNEEIVARDGYATFLRFIIV SAFDHFASVHSISAEGLTVSSLP >ENSMUSP00000048810.7 pep:known chromosome:GRCm38:4:107253925:107279928:1 gene:ENSMUSG00000063172.13 transcript:ENSMUST00000046558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb11 description:heat shock protein family B (small), member 11 [Source:MGI Symbol;Acc:MGI:1920188] MRKVDLCSVTEGTEVILATSSDEKHPPENIIDGNPETFWTTTGMFPQEFIICFHKHVKIE KLVIQSYLVRTLRIEKTTSKEPLDFEQWVEKDLVHTEGQLQNEEIVARDGYATFLRFIIV SAFDHFASVHSISAEGLTVSSLP >ENSMUSP00000047766.6 pep:known chromosome:GRCm38:17:36772910:36776234:1 gene:ENSMUSG00000037246.6 transcript:ENSMUST00000041531.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M10.5 description:histocompatibility 2, M region locus 10.5 [Source:MGI Symbol;Acc:MGI:1276526] MRNPGCCTLLLLLVAMDLIQYCAGSHWLQTFNAVILEPGMVNSRFVHIGYVDSIEYQRYD SKEPIAVLLPRVAWMEQVPMTYWTSETASVAELSQLDRRILHFMVNKNEQRMDDYHTLQE VYGCNVANDGSFLGGHFRLTYYGYDDLYLNENLSSWIAHGNAAEYVKSRWDGEGDAERWK TYLQGVCVERLLRYMVLGKEALLRSDAPRTHVTHHVRPEGNVTLRCWALGFYPADITMTW KRDGNNHTQDMELPDTRPAGDGTFQKWAAVVVPSGEELRYTCHVHHKGLPEPLKLKWEPP HTIPIIAILIGLVLGTLVVGTVVIFLVWKK >ENSMUSP00000010904.3 pep:known chromosome:GRCm38:7:143501545:143502541:-1 gene:ENSMUSG00000010760.5 transcript:ENSMUST00000010904.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phlda2 description:pleckstrin homology-like domain, family A, member 2 [Source:MGI Symbol;Acc:MGI:1202307] MASKIVMSSKTVKTSDEILCEGELEKRSDSLFQVWKKKRCVLTADRLRLFSGKTSPAKEL FFHSILKVDCVEHTSKYVYFTIVTNYYKEIDFRCTVESCWNAAITMALIDFQNRRALQDF PRYRYQRSESEMPSEPGEQSALGP >ENSMUSP00000146883.1 pep:known chromosome:GRCm38:7:143502175:143502993:-1 gene:ENSMUSG00000010760.5 transcript:ENSMUST00000207425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phlda2 description:pleckstrin homology-like domain, family A, member 2 [Source:MGI Symbol;Acc:MGI:1202307] MASKIVMSSKTVKTSDEILCEGELEKRSDSLFQVWKKKRCVLTADRLRLFSGKTSPAKEL FFHSILKVDCVEHTSKYVYFTIVTNYYKEIDFRCTVESCWNAAIT >ENSMUSP00000140545.1 pep:known chromosome:GRCm38:9:58006411:58020742:1 gene:ENSMUSG00000032323.13 transcript:ENSMUST00000188116.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp11a1 description:cytochrome P450, family 11, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88582] MRRYSSHARVPTRSGSLCPPGWPITSIIRGPLGSCLTISSVIFGERMGMLEEIVDPEAQR FINAVYQMFHTSVPMLNLPPDFFRLLRTKTWKDHAAAWDVIFNKADEYTQNFYWD >ENSMUSP00000034874.7 pep:known chromosome:GRCm38:9:58014671:58027023:1 gene:ENSMUSG00000032323.13 transcript:ENSMUST00000034874.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp11a1 description:cytochrome P450, family 11, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88582] MLAKGLSLRSVLVKGCQPFLSPTWQGPVLSTGKGAGTSTSSPRSFNEIPSPGDNGWLNLY HFWRESGTQKIHYHQMQSFQKYGPIYREKLGTLESVYIVDPKDASILFSCEGPNPERFLV PPWVAYHQYYQRPIGVLFKSSDAWKKDRIVLNQEVMAPGAIKNFVPLLEGVAQDFIKVLH RRIKQQNSGNFSGVISDDLFRFSFESISSVIFGERMGMLEEIVDPEAQRFINAVYQMFHT SVPMLNLPPDFFRLLRTKTWKDHAAAWDVIFNKADEYTQNFYWDLRQKRDFSQYPGVLYS LLGGNKLPFKNIQANITEMLAGGVDTTSMTLQWNLYEMAHNLKVQEMLRAEVLAARRQAQ GDMAKMVQLVPLLKASIKETLRLHPISVTLQRYTVNDLVLRNYKIPAKTLVQVASFAMGR DPGFFPNPNKFDPTRWLEKSQNTTHFRYLGFGWGVRQCLGRRIAELEMTILLINLLENFR IEVQNLRDVGTKFSLILMPENPILFNFQPLKQDLGPAVTRKDNTVN >ENSMUSP00000140777.1 pep:known chromosome:GRCm38:9:58017396:58025243:1 gene:ENSMUSG00000032323.13 transcript:ENSMUST00000188539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp11a1 description:cytochrome P450, family 11, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88582] MKEDGGREKVAYTCHNVQVQTQVHDTAVLKCKTEWRRSSDAWKKDRIVLNQEVMAPGAIK NFVPLLEGVAQDFIKVLHRRIKQQNSGNFSGVISDDLFRFSFESISSVIFGERMGMLEEI VDPEAQRFINAVYQMFHTSVPMLNLPPDFFRLLRTKTWKDHAAAWDVIFNKADEYTQNFY WDLRQKRDFSQYPGVLYSLLGGNKLPFKNIQANITEMLAGGVDTTSMTLQWNLYEMAHNL KVQEMLRAEVLAARRQAQGDMAKMVQLVPLLKASIKETL >ENSMUSP00000032892.5 pep:known chromosome:GRCm38:7:117380979:117673580:1 gene:ENSMUSG00000030657.11 transcript:ENSMUST00000032892.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xylt1 description:xylosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2451073] MVAAPCARRLARRSHSALLAALMVLLLQTLVVWNFSSLDSGAGEQRRAGAAAGAAEQQQP AAPRRERRDLAAHLPAARGGPGGRAGGGGARGGGPGGARAQQPASRGALASRARDPQPSP LITLETQDGYFSHRPKEKVRTDSNNENSVPKDFENVDNSNFAPRTQKQKHQPELAKKPPS RQKEHLQRKLDAQDKRQGQSVLGKGPKEVLPPREKATGNSSQGKDLSRHSHARKSGGGGS PETKSDQAPKCDISGKEAISALTRAKSKHCRQEIAETYCRHKLGLLMPEKVARFCPLEGK ANKNVQWDEDAVEYMPANPVRIAFVLVVHGRASRQLQRMFKAIYHKDHFYYIHVDKRSNY LHRQVLQFSRQYDNVRVTSWRMATIWGGASLLSTYLQSMRDLLEMTDWPWDFFINLSAAD YPIRTNDQLVAFLSRYRDMNFLKSHGRDNARFIRKQGLDRLFLECDTHMWRLGDRRIPEG IAVDGGSDWFLLNRKFVEYVAFSTDDLVTKMKQFYSYTLLPAESFFHTVLENSPHCDTMV DNNLRITNWNRKLGCKCQYKHIVDWCGCSPNDFKPQDFHRFQQTARPTFFARKFEAIVNQ EIIGQLDSYLYGNYPAGTPGLRSYWENVYDEPDGIHTLSDVALTLYHSFIRLGLRRAESS LHTDGENSCRYYPMGHPASVHLYFLADRFQGFLIKHHVTNLAVSKLETLETWMMPKKVFK VASPPSDFGRLQFSEVGTDWDAKERLFRNFGGLLGPMDEPVGMQKWGKGPNVTVTVIWVD PVNVIAATYDILIESTAEFTHYKPPLNLPLRPGVWTVKILHHWVPVAETKFLVAPLTFSN KQPIKPEEALKLHNGPPRSAYMEQSFQSLNPVLSLHINPAQVEQARKNAAFTGTALEAWL DSLVGGTWTAMDICTTGPTACPVMQTCSQTAWSSFSPDPKSELGAVKPDGRLR >ENSMUSP00000031549.5 pep:known chromosome:GRCm38:18:60212080:60247820:1 gene:ENSMUSG00000073555.1 transcript:ENSMUST00000031549.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4951 description:predicted gene 4951 [Source:MGI Symbol;Acc:MGI:3644953] MGQLFSSRRSEDQDLSSSFIEYLKECEKGINIIPHEIITSIEINMKKGNIQEVNSTVRDM LREIDNTPLNVALTGETGSGKSSFINTLRGIGHEEGGAAHTGVTDKTKERHPYEHPKMPN VVFWDLPGTGSEDFQPKTYLEKMKFYEYDFFIIISATRFKKNDIDLAKAIGIMKKEFYFV RTQVDSDLRNEEDFKPQTFDREKVLQDIRLNCVNTFRENGIAEPPIFLISNKNVCHYDFP VLMDKLISDLPVFKRQNFMFSLPNITDSVIEKKRNFLRWKTWLEGFADGLLSFFLESDLE TLEKSMKFYRTVFGVDDASLQRLARAWEIDQVDQVRAMIKSPAVFTPTDEETIQERLSRY NQEFCLANGYLLPKNHCREILYLKLYFLDMVTEDAKTLLKEICLRN >ENSMUSP00000139280.1 pep:known chromosome:GRCm38:2:139678178:139758580:1 gene:ENSMUSG00000074766.10 transcript:ENSMUST00000184404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ism1 description:isthmin 1, angiogenesis inhibitor [Source:MGI Symbol;Acc:MGI:2442963] MVRLAAELLLLLGLLLLTLHITVLRGSGASDRQDAAAGNVSGSQLQNNLNLESDSTSETS FPLSKEAPEEHQVVHQPFPRQRFPPETGHPSLQRDGPRSFLLDLPNFPDLSKADINGQNP NIQVTIEVVDGPDSEAEKDQHPENKPSWSLPAPDWRAWWQRSLSLARTNSGDQDDKYDST SDDSNFLSVPRGWDRPAPGHRTFETKEQPEYDSTDGEGDWSLWSVCSVTCGNGNQKRTRS CGYACIATESRTCDRPNCPGIEDTFRTAATEVSLLAGSEEFNATKLFEVDMDSCERWMSC KSEFLKKYMHKVINDLPSCPCSYPTEVAYSTADIFDRIKRKDFRWKDASGPKEKLEIYKP TARYCIRSMLSLESTTLAAQHCCYGDNMQLITRGKGAGTPNLISTEFSAELHYKVDVLPW IICKGDWSRYNEARPPNNGQKCTESPSDEDYIKQFQEAREY >ENSMUSP00000096910.3 pep:known chromosome:GRCm38:2:139678178:139758581:1 gene:ENSMUSG00000074766.10 transcript:ENSMUST00000099307.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ism1 description:isthmin 1, angiogenesis inhibitor [Source:MGI Symbol;Acc:MGI:2442963] MVRLAAELLLLLGLLLLTLHITVLRGSGASDRQDAAAGNNNLNLESDSTSETSFPLSKEA PEEHQVVHQPFPRQRFPPETGHPSLQRDGPRSFLLDLPNFPDLSKADINGQNPNIQVTIE VVDGPDSEAEKDQHPENKPSWSLPAPDWRAWWQRSLSLARTNSGDQDDKYDSTSDDSNFL SVPRGWDRPAPGHRTFETKEQPEYDSTDGEGDWSLWSVCSVTCGNGNQKRTRSCGYACIA TESRTCDRPNCPGIEDTFRTAATEVSLLAGSEEFNATKLFEVDMDSCERWMSCKSEFLKK YMHKVINDLPSCPCSYPTEVAYSTADIFDRIKRKDFRWKDASGPKEKLEIYKPTARYCIR SMLSLESTTLAAQHCCYGDNMQLITRGKGAGTPNLISTEFSAELHYKVDVLPWIICKGDW SRYNEARPPNNGQKCTESPSDEDYIKQFQEAREY >ENSMUSP00000076367.6 pep:known chromosome:GRCm38:5:137953461:137963098:-1 gene:ENSMUSG00000056966.7 transcript:ENSMUST00000077119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjc3 description:gap junction protein, gamma 3 [Source:MGI Symbol;Acc:MGI:2153041] MLLLELPIKCRMCGRFLRQLLAQESQHSTPVGRFLLPMLMGFRLLILVSSGPGVFGNDEN EFICHLGQPGCKTICYDVFRPLSPLRFWAFQVILMAVPSAIYVAFTLYHVIGYWEVPGKE NKEQETQISKGDHSKDVSGAKSLKLLWAYVAHLGVRLALEGAALGVQYNLYGFKMSSTFI CREDPCIGSTTCFQSHPSEKTIFLNIMFGISGACFLFIFLELALLGLGRFWRIYKHKLSF LKKLPTSESSVRSKDTTDELSVVEAKEPF >ENSMUSP00000118522.1 pep:known chromosome:GRCm38:17:88597684:88635829:1 gene:ENSMUSG00000033855.15 transcript:ENSMUST00000137138.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ston1 description:stonin 1 [Source:MGI Symbol;Acc:MGI:1924307] MYSTNPGSWVTFDDDPAFQSSQKRKDFSLETQGVCRPNGLKLTLPTLRDPPSTPSSASST PLSSPMVDFYFSPGPPSNSPLSTPTKDFPGFPGIPKAGTHVLYPIPECSSSSAPTTAGGV GPPLLLTKPDCSPHVSLPSSHSHTQPTPTLGFTEDAGPQRVQSEARQFEYFQDHCAFSNP FWKDEGSASPFPLDSLASRKPFSPKDKEVPIGHKSLTQCSL >ENSMUSP00000067027.6 pep:known chromosome:GRCm38:17:88626555:88648696:1 gene:ENSMUSG00000033855.15 transcript:ENSMUST00000064035.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ston1 description:stonin 1 [Source:MGI Symbol;Acc:MGI:1924307] MYSTNPGSWVTFDDDPAFQSSQKRKDFSLETQGVCRPNGLKLTLPTLRDPPSTPSSASST PLSSPMVDFYFSPGPPSNSPLSTPTKDFPGFPGIPKAGTHVLYPIPECSSSSAPTTAGGV GPPLLLTKPDCSPHVSLPSSHSHTQPTPTLGFTEDAGPQRVQSEARQFEYFQDHCAFSNP FWKDEGSASPFPLDSLASRKPFSPKDKEVPIGHKSLTQCSLDYICEKLEHLHSAETQDPL GDLSMQDPYAGDTVSFVPHSLFRSQPRAGWSFMLRIPEKKNMMSSRQWGPIFLKVLPGGI LQMYYEKGLEKPFKEFQLDPHCRLSEPKLENFSMAGKIHTVKVEHVSYSEKRKYHAKTEV VHEPEVEQMLKLGSTEHRDFLEFLTTVEEELIKLPATAKPKNKSYEEQEICLDIQDSLWG KVTKEGQLVESAVVTQICCLCFLNGPAECFLALNDRELQKRDECYFEKEPEKKGIAILDY HFHTCVKAEEFEQSRIIKFVPLDACRFELMRFKTSYEAGELPFAVKSVVTVQGAYVELQA FVNMTPAAQGSPHAGALRSCNNIMIHFPVPAQWIKALWTRNLQRQKSLKAKMNRRACLGS LQEPESEPVIQVTVGSAKYESAYRAVVWKIDRLPDKNSSPDQPHCLSYKLELGSDQEVPS DWYPFATVQFSMLEACASRTEVRSLGVESDAQPQKHVCQRACYNIQVEIEKKWIQVDGED ADKTGGCVTQ >ENSMUSP00000122928.1 pep:known chromosome:GRCm38:17:88626609:88662586:1 gene:ENSMUSG00000033855.15 transcript:ENSMUST00000150023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ston1 description:stonin 1 [Source:MGI Symbol;Acc:MGI:1924307] MYSTNPGSWVTFDDDPAFQSSQKRKDFSLETQGVCRPNGLKLTLPTLRDPPSTPSSASST PLSSPMVDFYFSPGPPSNSPLSTPTKDFPGFPGIPKAGTHVLYPIPECSSSSAPTTAGGV GPPLLLTKPDCSPHVSLPSSHSHTQPTPTLGFTEDAGPQRVQSEARQFEYFQDHCAFSNP FWKDEGSASPFPLDSLASRKPFSPKDKEVPIGHKSLTQCSLDYICEKLEHLHSAETQDPL GDLSMQDPYAGDTVSFVPHSLFRSQPRAGWSFMLRIPEKKNMMSSRQWGPIFLKVLPGGI LQMYYEKGLEKPFKEFQLDPHCRLSEPKLENFSMAGKIHTVKVEHVSYSEKRKYHAKTEV VHEPEVEQMLKLGSTEHRDFLEFLTTVEEELIKLPATAKPKNKSYEEQEICLDIQDSLWG KVTKEGQLVESAVVTQICCLCFLNGPAECFLALNDRELQKRDECYFEKEPEKKGIAILDY HFHTCVKAEEFEQSRIIKFVPLDACRFELMRFKTSYEAGELPFAVKSVVTVQGAYVELQA FVNMTPAAQGSPHAGALRSCNNIMIHFPVPAQWIKALWTRNLQRQKSLKAKMNRRACLGS LQEPESEPVIQVTVGSAKYESAYRAVVWKIDRLPDKNSSPDQPHCLSYKLELGSDQEVPS DWYPFATVQFSMLEACASRTEVRSLGVESDAQPQKHVCQRACYNIQVEIEKKWIQVDGED ADKTGGCVTQ >ENSMUSP00000131703.1 pep:known chromosome:GRCm38:17:88626555:88645724:1 gene:ENSMUSG00000033855.15 transcript:ENSMUST00000163588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ston1 description:stonin 1 [Source:MGI Symbol;Acc:MGI:1924307] MYSTNPGSWVTFDDDPAFQSSQKRKDFSLETQGVCRPNGLKLTLPTLRDPPSTPSSASST PLSSPMVDFYFSPGPPSNSPLSTPTKDFPGFPGIPKAGTHVLYPIPECSSSSAPTTAGGV GPPLLLTKPDCSPHVSLPSSHSHTQPTPTLGFTEDAGPQRVQSEARQFEYFQDHCAFSNP FWKDEGSASPFPLDSLASRKPFSPKDKEVPIGHKSLTQCSLDYICEKLEHLHSAETQDPL GDLSMQDPYAGDTVSFVPHSLFRSQPRAGWSFMLRIPEKKNMMSSRQWGPIFLKVLPGGI LQMYYEKGLEKPFKEFQLDPHCRLSEPKLENFSMAGKIHTVKVEHVSYSEKRKYHAKTEV VHEPEVEQMLKLGSTEHRDFLEFLTTVEEELIKLPATAKPKNKSYEEQEICLDIQDSLWG KVTKEGQLVESAVVTQICCLCFLNGPAECFLALNDRELQKRDECYFEKEPEKKGIAILDY HFHTCVKAEEFEQSRIIKFVPLDACRFELMRFKTSYEAGELPFAVKSVVTVQGAYVELQA FVNMTPAAQGSPHAGALRSCNNIMIHFPVPAQWIKALWTRNLQRQKSLKAKMNRRACLGS LQEPESEPVIQVTVGSAKYESAYRAVVWKIDRLPDKNSSPDQPHCLSYKLELGSDQEVPS DWYPFATVQFSMLEACASRTEVRSLGVESDAQPQKHVCQRACYNIQVEIEKKWIQVDGED ADKTGGCVTQ >ENSMUSP00000063340.2 pep:known chromosome:GRCm38:2:105224320:105379796:1 gene:ENSMUSG00000055312.2 transcript:ENSMUST00000068813.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Them7 description:thioesterase superfamily member 7 [Source:MGI Symbol;Acc:MGI:1921338] MAMRRLLRNICLPWNHRNSDAAITMIPWAWRLLPRNAARSVSTSSANQRAEDPHRQLEAY GYFLPIQTRWQDNDQNSHVNNAVYYSYFDTVISHYLIRYCGLKTSLLTSPQVGFMVTNQC KFHTPISFPQTPLAALAVEKVGRSSVCYRLALFPPKPTKELPSVNHHDLIDGLFAGHPKL AQFDTLACTTGSSVHVFVNPATNKPENLPEDFRRGLQKLMSPA >ENSMUSP00000039908.4 pep:known chromosome:GRCm38:17:36812171:36815564:1 gene:ENSMUSG00000037130.4 transcript:ENSMUST00000041398.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-M10.6 description:histocompatibility 2, M region locus 10.6 [Source:MGI Symbol;Acc:MGI:2687364] MRKPGPWDLLLLLMAIDLTQYCAGSHWLQIFNIVILEPGMLEARFIQVGYVDSIQFQGFD SKEPNARMQPRAAWMKQEPPEYWEKETAKALSFSLSGRRILKYMMSANKDKNNGYHTLQE VYGCNVANDGSFLEGHYRLTYYGYDSLILNEDLNSWTAEGEVGEKFKTYQEQGGMTESWR TYLLGECVERLLRCLDLGKKTLLHSDAPRTHVTHHVRPEGNVTLRCWALGFYPADITMTW KRDGKNHTQDMELPDTRPAGDGTFQKWAAVVVPPGEELRYTCHVNHEGLPGPLTLKWEPP HTIPIIAILIGLVLGALVVGTVVIFLVWKN >ENSMUSP00000026126.8 pep:known chromosome:GRCm38:7:97480956:97541394:1 gene:ENSMUSG00000025133.9 transcript:ENSMUST00000026126.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints4 description:integrator complex subunit 4 [Source:MGI Symbol;Acc:MGI:1917164] MAAHLKKRVYEEFTKVVQQQQEEIATKKLRLTKPSKSAALHIDLCKATSPADALQYLLQF ARKPVEAESVEGVVRILLEHYYKENDPSVRLKIASLLGLLSKTAGFSPDCIMDDAINILQ NEKSHQVLAQLLDTLLAIGSKLPENQATQVRLVDVACKHLTDTSHGVRNKCLQLLGNLGS LEKSVTKDTEGSAARDVQKIIGDHFSDQDPRVRTAAIKAMLQLHERGLKLHQTIYNQACK LLSDDYEQVRSAAVQLIWVVSQLYPESIVPIPSSNEEIRLVDDAFGKICHMVSDGSWVVR VQAAKLLGSMEQVSSHFLEQTLDKKLMSDLRRKRTAHERAKELYSSGEFSSGRKWGDDAP KEEIDTGAVNLIESGACGAFVHGLEDEMYEVRIAAVEALCMLAQSSPSFAEKCLDFLVDM FNDEIEEVRLQSIHTMRKISNNITLREDQLDTVLAVLEDSSRDIREALHELLCCTNVSTK EGIHLALVELLKNLTKYPTDRDSIWKCLKFLGSRHPTLVLPLVPELLSTHPFFDTAEPDM DDPAYIAVLVLIFNAAKTCPTMPALFSDHTLRHYAYLRDSLSHLVPALRLPGRKLVSSTV PSNITPHEDPSQQFLQQSLERVYSVQHLDPQGAQELLEFTIRDLQRLGELQSELAGVADF SATYLQCQLLLIKALQEKLWNVAAPLYLKQSDLASAAAKQIMEETYKMEFMYSGVENKQV VIIQHMRLQAKALQLIVTARTTRGVDPLFGMCEKFLQEVDFFQRCFIADLPHLQDSFVDK LLDLMPRLMASKPVEVIKILQTMLRQSTFLHLPLPEQIHKASATIIEPAGESDNPLRFTS GLVVALDVDATLEHVQDPQNTVKVQVLYPDGQAQMIHPKPADFRNPGPGRHRLLTQVYLS HTAWTEPCQVEVRLLLAYNSGARIPKSPWLEGSEMSPQVETSIEGTIPFSKPVKVYIMPK PARR >ENSMUSP00000146158.1 pep:known chromosome:GRCm38:7:97501779:97507869:1 gene:ENSMUSG00000025133.9 transcript:ENSMUST00000205876.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ints4 description:integrator complex subunit 4 [Source:MGI Symbol;Acc:MGI:1917164] XFGKICHMVSDGSWVVRVQAAKLLGSMEQVSSHFLEQTLDKKLMSDLRTRGKSKEGRVVN ERR >ENSMUSP00000142385.1 pep:known chromosome:GRCm38:5:137981520:137990233:1 gene:ENSMUSG00000037053.6 transcript:ENSMUST00000197571.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Azgp1 description:alpha-2-glycoprotein 1, zinc [Source:MGI Symbol;Acc:MGI:103163] MVPVLLSLPLLLGPAVFQETATAGRQSLWDLGARWKEWRTGRRKASFRGPGRRSSL >ENSMUSP00000038559.5 pep:known chromosome:GRCm38:5:137981539:137990233:1 gene:ENSMUSG00000037053.6 transcript:ENSMUST00000035390.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azgp1 description:alpha-2-glycoprotein 1, zinc [Source:MGI Symbol;Acc:MGI:103163] MVPVLLSLPLLLGPAVFQETGSYYLTFLYTGLSRPSKGFPRFQATAFLNDQAFFHYNSNS GKAEPVGPWSQVEGMEDWEKESQLQRAREEIFLVTLKDIMDYYKDTTGSHTFQGMFGCEI TNNRSSGAVWRYAYDGEDFIEFNKEIPAWIPLDPAAANTKLKWEAEKVYVQRAKAYLEEE CPEMLKRYLNYSRSHLDRIDPPTVTITSRVIPGGNRIFKCLAYGFYPQRISLHWNKANKK LAFEPERGVFPNGNGTYLSWAEVEVSPQDIDPFFCLIDHRGFSQSLSVQWDRTRKVKDEN NVVAQPQ >ENSMUSP00000110312.2 pep:known chromosome:GRCm38:9:45055186:45077436:1 gene:ENSMUSG00000070305.10 transcript:ENSMUST00000114664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpzl3 description:myelin protein zero-like 3 [Source:MGI Symbol;Acc:MGI:2442647] MQLARGTVGGRGCALFPLLSILVVQGARIVLSLEISADAHVRGYVGEKIKLKCTFKSSSD VTDKLTIDWTYRPPSSSRTESIFHYQSFQYPTTAGTFRDRISWAGNVYKGDASISISNPT LKDNGTFSCAVKNPPDVYHNIPLTELTVTERGFGTMLSSVALLSILVFVPSAVVVILLLV RMGRKATGVQKRSRSGYKKSSIEVSDDTDQEDSNDCMTRLCVRCAECLDSDYEEEAY >ENSMUSP00000110311.3 pep:known chromosome:GRCm38:9:45055209:45066464:1 gene:ENSMUSG00000070305.10 transcript:ENSMUST00000114663.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpzl3 description:myelin protein zero-like 3 [Source:MGI Symbol;Acc:MGI:2442647] MQLARGTVGGRGCALFPLLSILVVQGARIVLSLEISADAHVRGYVGEKIKLKCTFKSSSD VTDKLTIDWTYRPPSSSRTESI >ENSMUSP00000104167.1 pep:known chromosome:GRCm38:11:70984091:71004437:-1 gene:ENSMUSG00000040620.15 transcript:ENSMUST00000108527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx33 description:DEAH (Asp-Glu-Ala-His) box polypeptide 33 [Source:MGI Symbol;Acc:MGI:2445102] MPEEASLPPAKRFRPGSCPPGRRVVMLLTAGGGGGAGGGRRQTPPLAQPSASPYREALEL QRRSLPIFRARGQLLAQLRNLDNAVLIGETGSGKTTQIPQYLYEGGISRQGIIAVTQPRR VAAISLATRVSDEKRTELGKLVGYTVRFEDVTSEDTRIKFLTDGMLLREAISDSLLRKYS CVILDEAHERTIHTDVLFGVVKTAQKRRKELGKLPLKVIVMSATMDVDLFSQYFNRAPVL YLEGRQHPIQIFYTKQPQQDYLHAALVSVFQIHQEAPASQDILVFLTGQEEIEAMSKTCR DIARHLPDGCPSMLVLPLYASLPYSQQLRVFQGAPKGYRKVIISTNIAETSITITGIKYV VDTGMVKAKKYNPDSGLEVLAVQRVSKTQAWQRTGRAGREDSGICYRLYTEDEFEKFEKM TVPEIQRCNLASVILQLLAMKVPNVLTFDFMSKPSPDHIEAAIAQLDLLGALEHKDDQLT LTPIGRKMAAFPLEPRFAKTILLSSKFHCTEEILTIVSLLSVDSVLYNPPARRDEVQSVR KKFISSEGDHITLLNIYRTFKNIGGNKDWCKENFVNSKNMLLVAEVRAQLREICLKMSMP IMSSRGDMESVRRCMAHSLFMNTAELQTDGTYATTDTHQPVAIHPSSVLFHCKPACVVYT SLLYTNKCYMRDLCVVDAEWLYEAAPDYFRRKLRTARN >ENSMUSP00000136913.1 pep:known chromosome:GRCm38:11:70986510:70993980:-1 gene:ENSMUSG00000040620.15 transcript:ENSMUST00000146203.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhx33 description:DEAH (Asp-Glu-Ala-His) box polypeptide 33 [Source:MGI Symbol;Acc:MGI:2445102] XKTQAWQRTGRAGREDSGICYRLYTEDEFEKFEKMTVPEIQRCNLASVILQLLAMKVPNV LTFDFMSKPSPDYPPVLQIPLYRRDSDHSLPAICGQCPLQPSCPER >ENSMUSP00000038018.6 pep:known chromosome:GRCm38:11:70986751:71004340:-1 gene:ENSMUSG00000040620.15 transcript:ENSMUST00000049048.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhx33 description:DEAH (Asp-Glu-Ala-His) box polypeptide 33 [Source:MGI Symbol;Acc:MGI:2445102] XRPGSCPPGRRVVMLLTAGGGGGAGGGRRQTPPLAQPSASPYREALELQRRSLPIFRARG QLLAQLRNLDNAVLIGWLYSAL >ENSMUSP00000136051.1 pep:known chromosome:GRCm38:11:70986751:71004420:-1 gene:ENSMUSG00000040620.15 transcript:ENSMUST00000124464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx33 description:DEAH (Asp-Glu-Ala-His) box polypeptide 33 [Source:MGI Symbol;Acc:MGI:2445102] MPEEASLPPAKRFRPGSCPPGRRVVMLLTAGGGGGAGGGRRQTPPLAQPSASPYREALEL QRRSLPIFRARGQLLAQLRNLDNAVLIGSSCFSRHPGVPHWSGGDRSNEQDLQRHRKAPP RWLPFNARPSSVRLPALFAAASSLSRGPKDSGLEVLAVQRVSKTQAWQRTGRAGREDSGI CYRLYTEDEFEKFEKMTVPEIQRCNLASVILQLLAMKVPNVLTFDFMSKPSPDHIEAAIA QLDLLGALEHKDDQLTLTPIGRKMAAFPLEPRFAKTILLSSKFHCTEEILTIVSLLSVDS VLYNPPARRDEVQSVRKKFISSEGDHITLLNIYRTFKNIGGNKDWCKENFVNSKNMLLVA EVRAQLREICLKMSMPIMSSRGDMESVRRCMAHSLFMNTAELQTDGTYATTDTHQPVAIH PSSVLFHCKPACVVYTSLLYTNKCYMRDLCVVDAEWLYEAAPDYFRRKLRTARN >ENSMUSP00000136782.1 pep:known chromosome:GRCm38:11:70992125:70995993:-1 gene:ENSMUSG00000040620.15 transcript:ENSMUST00000155044.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhx33 description:DEAH (Asp-Glu-Ala-His) box polypeptide 33 [Source:MGI Symbol;Acc:MGI:2445102] HRKAPPRWLPFNARPSSVRLPALFAAASSLSRGPKGLSQSDHFNQHR >ENSMUSP00000124095.1 pep:known chromosome:GRCm38:1:17727045:17765575:1 gene:ENSMUSG00000025776.13 transcript:ENSMUST00000159958.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crispld1 description:cysteine-rich secretory protein LCCL domain containing 1 [Source:MGI Symbol;Acc:MGI:1934666] MMCKAQEWLRVTALLFVARAVPAMVVPNATLLEKLLEKYMDEDGEWWTAKQRGKRAITDN DMQSILDLHNKLRSQVYPTASNMEYMTWDVELERSAESWAEMCLWEHGPASLLPSIGQNL GAHWGRYRPPTFHVQAWYDEVRDFSYPYENECDPYCPFRCSGPVCTHYTQVVWATSSRIG CAVNLCHNMNIWGQIWPKAVYLVCNYSPKGNWWGHAPYKHGRPCSACPPSFGGGCRENLC YKEGSDRYYTPREEETNEIERQQSQVHDTHVRTRSDDSDRNDVISTQQMSQIVSCEVRLR DQCKGTTCNRYECPAGCLDSKAKVIGSVHYEMQSSICRAAIHYGIIDNEGGWVDVTRQGR KHYFIKSNRNGIQTIGKYHSANSFTVSKVTVQAVTCETTVEQLCPFHKPASHCPRVYCPR NCMQSNPHYARVIGTRIYSDLSSICRAAVHAGVVRNHGGYVDVMPVDKRKMYTASFQNGI FSESLQNPTGGKAFRVFAVV >ENSMUSP00000123800.1 pep:known chromosome:GRCm38:1:17727059:17745893:1 gene:ENSMUSG00000025776.13 transcript:ENSMUST00000160305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crispld1 description:cysteine-rich secretory protein LCCL domain containing 1 [Source:MGI Symbol;Acc:MGI:1934666] MMCKAQEWLRVTALLFVARAVPAMVVPNATLLEKLLEKYMDEDGEWWTAKQRGKRAITDN DMQSILDLHNKLRSQVYPTASNMEYMTWDVELERSAESWAEMCLWEHGPASLLPSIGQNL GAHWGRYRPPTFHVQAWYDEVRDFSYPYENECDPYCPFRCSGPV >ENSMUSP00000092686.4 pep:known chromosome:GRCm38:1:17727088:17766344:1 gene:ENSMUSG00000025776.13 transcript:ENSMUST00000095075.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crispld1 description:cysteine-rich secretory protein LCCL domain containing 1 [Source:MGI Symbol;Acc:MGI:1934666] MMCKAQEWLRVTALLFVARAVPAMVVPNATLLEKLLEKYMDEDGEWWTAKQRGKRAITDN DMQSILDLHNKLRSQVYPTASNMEYMTWDVELERSAESWAEMCLWEHGPASLLPSIGQNL GAHWGRYRPPTFHVQAWYDEVRDFSYPYENECDPYCPFRCSGPVCTHYTQVVWATSSRIG CAVNLCHNMNIWGQIWPKAVYLVCNYSPKGNWWGHAPYKHGRPCSACPPSFGGGCRENLC YKEGSDRYYTPREEETNEIERQQSQVHDTHVRTRSDDSDRNDVISTQQMSQIVSCEVRLR DQCKGTTCNRYECPAGCLDSKAKVIGSVHYEMQSSICRAAIHYGIIDNEGGWVDVTRQGR KHYFIKSNRNGIQTIGKYHSANSFTVSKVTVQAVTCETTVEQLCPFHKPASHCPRVYCPR NCMQSNPHYARVIGTRIYSDLSSICRAAVHAGVVRNHGGYVDVMPVDKRKMYTASFQNGI FSESLQNPTGGKAFRVFAVV >ENSMUSP00000019323.6 pep:known chromosome:GRCm38:5:135778480:135790391:1 gene:ENSMUSG00000019179.10 transcript:ENSMUST00000019323.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdh2 description:malate dehydrogenase 2, NAD (mitochondrial) [Source:MGI Symbol;Acc:MGI:97050] MLSALARPAGAALRRSFSTSAQNNAKVAVLGASGGIGQPLSLLLKNSPLVSRLTLYDIAH TPGVAADLSHIETRANVKGYLGPEQLPDCLKGCDVVVIPAGVPRKPGMTRDDLFNTNATI VATLTAACAQHCPEAMVCIIANPVNSTIPITAEVFKKHGVYNPNKIFGVTTLDIVRANTF VAELKGLDPARVNVPVIGGHAGKTIIPLISQCTPKVDFPQDQLATLTGRIQEAGTEVVKA KAGAGSATLSMAYAGARFVFSLVDAMNGKEGVVECSFVQSKETECTYFSTPLLLGKKGLE KNLGIGKITPFEEKMIAEAIPELKASIKKGEDFVKNMK >ENSMUSP00000136225.1 pep:known chromosome:GRCm38:5:135778662:135790398:1 gene:ENSMUSG00000019179.10 transcript:ENSMUST00000138101.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mdh2 description:malate dehydrogenase 2, NAD (mitochondrial) [Source:MGI Symbol;Acc:MGI:97050] MLSALARPAGAALRRSFSTSAQATLDRSSCQIASKVVMWWSSQPECPGNQE >ENSMUSP00000142993.1 pep:known chromosome:GRCm38:5:135778685:135787651:1 gene:ENSMUSG00000019179.10 transcript:ENSMUST00000200556.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdh2 description:malate dehydrogenase 2, NAD (mitochondrial) [Source:MGI Symbol;Acc:MGI:97050] MLSALARPAGAALRRSFSTSAQNSPLVSRLTLYDIAHTPGVAADLSHIETRANVKGYLGP EQLPDCLKGCDVVVIPAGVPRKPGMTRDDLFNTNATIVATLTAACAQHCPEAMVCIIANP VNSTIPITAEVFKKHGVYNPNKIFGVTTLDIVRANTFVAELKGLDPARVNVPVIGGHAGK TIIPLISQVRDCKSSLLKD >ENSMUSP00000143748.1 pep:known chromosome:GRCm38:5:135778689:135786346:1 gene:ENSMUSG00000019179.10 transcript:ENSMUST00000196285.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdh2 description:malate dehydrogenase 2, NAD (mitochondrial) [Source:MGI Symbol;Acc:MGI:97050] MLSALARPAGAALRRSFSTSAQNNAKVAVLGASGGIGQPLSLLLKNSPLVSRLTLYDIAH TPGVAADLSHIETRANVKGMTRDDLFNTNATIVATLTAACAQHCPEAMVCIIANPVNSTI PITAEVFKKHGVYNPNKIFGVTTLDIVRANTFV >ENSMUSP00000093091.5 pep:known chromosome:GRCm38:6:126853098:126874308:1 gene:ENSMUSG00000030344.11 transcript:ENSMUST00000095440.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap3 description:A kinase (PRKA) anchor protein 3 [Source:MGI Symbol;Acc:MGI:1341149] MADRVDWLQSQSGVCKVGVYSPGDNQHQDWKMDTSTDPVRVLSWLRKDLEKSTAGFQDSR FKPGESSFVEEVAYPVDQRKGFCVDYYNTTNKGSPGRLHFEMSHKENPSQGLISHVGNGG SIDEVSFYANRLTNLVIAMARKEINEKIHGAENKCVHQSLYMGDEPTPHKSLSTVASELV NETVTACSKNISSDKAPGSGDRASGSSQAPGLRYTSTLKIKESTKEGKCPDDKPGTKKSF FYKEVFESRNAGDAKEGGRSLPGDQKLFRTSPDNRPDDFSNSISQGIMTYANSVVSDMMV SIMKTLKIQVKDTTIATILLKKVLMKHAKEVVSDLIDSFMKNLHGVTGSLMTDTDFVSAV KRSFFSHGSQKATDIMDAMLGKLYNVMFAKKFPENIRRARDKSESYSLISTKSRAGDPKL SNLNFAMKSESKLKENLFSTCKLEKEKTCAETLGEHIIKEGLHMWHKSQQKSPGLERAAK LGNAPQEVSFECPDPCEANPPHQPQPPENFANFMCDSDSWAKDLIVSALLLIQYHLAQGG KMDAQSFLEAAASTNFPTNKPPPPSPVVQDECKLKSPPHKICDQEQTEKKDLMSVIFNFI RNLLSETIFKSSRNCESNVHEQNTQEEEIHPCERPKTPCERPITPPAPKFCEDEEATGGA LSGLTKMVANQLDNCMNGQMVEHLMDSVMKLCLIIAKSCDSPLSELGEEKCGDASRPNSA FPDNLYECLPVKGTGTAEALLQNAYLTIHNELRGLSGQPPEGCEIPKVIVSNHNLADTVQ NKQLQAVLQWVAASELNVPILYFAGDDEGIQEKLLQLSATAVEKGRSVGEVLQSVLRYEK ERQLDEAVGNVTRLQLLDWLMANL >ENSMUSP00000143794.1 pep:known chromosome:GRCm38:6:126853144:126874305:1 gene:ENSMUSG00000030344.11 transcript:ENSMUST00000202878.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap3 description:A kinase (PRKA) anchor protein 3 [Source:MGI Symbol;Acc:MGI:1341149] MADRVDWLQSQSGVCKVGVYSPGDNQHQDWKMDTSTDPVRVLSWLRKDLEKSTAGFQDSR FKPGESSFVEEVAYPVDQRKGFCVDYYNTTNKGSPGRLHFEMSHKENPSQGLISHVGNGG SIDEVSFYANRLTNLVIAMARKEINEKIHGAENKCVHQSLYMGDEPTPHKSLSTVASELV NETVTACSKNISSDKAPGSGDRASGSSQAPGLRYTSTLKIKESTKEGKCPDDKPGTKKSF FYKEVFESRNAGDAKEGGRSLPGDQKLFRTSPDNRPDDFSNSISQGIMTYANSVVSDMMV SIMKTLKIQVKDTTIATILLKKVLMKHAKEVVSDLIDSFMKNLHGVTGSLMTDTDFVSAV KRSFFSHGSQKATDIMDAMLGKLYNVMFAKKFPENIRRARDKSESYSLISTKSRAGDPKL SNLNFAMKSESKLKENLFSTCKLEKEKTCAETLGEHIIKEGLHMWHKSQQKSPGLERAAK LGNAPQEVSFECPDPCEANPPHQPQPPENFANFMCDSDSWAKDLIVSALLLIQYHLAQGG KMDAQSFLEAAASTNFPTNKPPPPSPVVQDECKLKSPPHKICDQEQTEKKDLMSVIFNFI RNLLSETIFKSSRNCESNVHEQNTQEEEIHPCERPKTPCERPITPPAPKFCEDEEATGGA LSGLTKMVANQLDNCMNGQMVEHLMDSVMKLCLIIAKSCDSPLSELGEEKCGDASRPNSA FPDNLYECLPVKGTGTAEALLQNAYLTIHNELRGLSGQPPEGCEIPKVIVSNHNLADTVQ NKQLQAVLQWVAASELNVPILYFAGDDEGIQEKLLQLSATAVEKGRSVGEVLQSVLRYEK ERQLDEAVGNVTRLQLLDWLMANL >ENSMUSP00000144405.1 pep:known chromosome:GRCm38:6:126853160:126874308:1 gene:ENSMUSG00000030344.11 transcript:ENSMUST00000202574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap3 description:A kinase (PRKA) anchor protein 3 [Source:MGI Symbol;Acc:MGI:1341149] MADRVDWLQSQSGVCKVGVYSPGDNQHQDWKMDTSTDPVRVLSWLRKDLEKSTAGFQDSR FKPGESSFVEEVAYPVDQRKGFCVDYYNTTNKGSPGRLHFEMSHKENPSQGLISHVGNGG SIDEVSFYANRLTNLVIAMARKEINEKIHGAENKCVHQSLYMGDEPTPHKSLSTVASELV NETVTACSKNISSDKAPGSGDRASGSSQAPGLRYTSTLKIKESTKEGKCPDDKPGTKKSF FYKEVFESRNAGDAKEGGRSLPGDQKLFRTSPDNRPDDFSNSISQGIMTYANSVVSDMMV SIMKTLKIQVKDTTIATILLKKVLMKHAKEVVSDLIDSFMKNLHGVTGSLMTDTDFVSAV KRSFFSHGSQKATDIMDAMLGKLYNVMFAKKFPENIRRARDKSESYSLISTKSRAGDPKL SNLNFAMKSESKLKENLFSTCKLEKEKTCAETLGEHIIKEGLHMWHKSQQKSPGLERAAK LGNAPQEVSFECPDPCEANPPHQPQPPENFANFMCDSDSWAKDLIVSALLLIQYHLAQGG KMDAQSFLEAAASTNFPTNKPPPPSPVVQDECKLKSPPHKICDQEQTEKKDLMSVIFNFI RNLLSETIFKSSRNCESNVHEQNTQEEEIHPCERPKTPCERPITPPAPKFCEDEEATGGA LSGLTKMVANQLDNCMNGQMVEHLMDSVMKLCLIIAKSCDSPLSELGEEKCGDASRPNSA FPDNLYECLPVKGTGTAEALLQNAYLTIHNELRGLSGQPPEGCEIPKVIVSNHNLADTVQ NKQLQAVLQWVAASELNVPILYFAGDDEGIQEKLLQLSATAVEKGRSVGEVLQSVLRYEK ERQLDEAVGNVTRLQLLDWLMANL >ENSMUSP00000075614.6 pep:known chromosome:GRCm38:X:37091678:37110322:-1 gene:ENSMUSG00000036572.16 transcript:ENSMUST00000076265.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upf3b description:UPF3 regulator of nonsense transcripts homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1915384] MKEEKDHRPKEKRVTLFTPQGATGGCIGATAEGAKGDDRQDRNRDKKEALSKVVIRRLPP TLTKEQLQEHLQPMPEHDYFEFFSNDTSLYPHMYARAYINFKNQEDILLFRDRFDGYVFL DNKGQEYHAIVEFAPFQKAAKKKIKKRDTKVGTIEDDPEYRKFLESYATDNEKMTSTPET LLEEIEAKNRELIAKRTTPLLSFLKNKQRMREEKREERRRREIERKRQREEERRKWKEEE KRKRKDIEKLKKIERIPEREKIRDEPKIKLLRKPEKGDEKELDKRDKTKRLDKENLNEER ASGHSYTLPRRSDVELKDEKPKRLDDEGVRDYRDRDRDYERDQERMIRERERLKRQEEER RRQQQKERYEKEKAFKRKEEEMKREKEALRDKGKKSENTESICSLEKIEKKEEVVKRDRI RNKDRPAMQLYQPGARSRSRLCPADDSIKPGDSPVDKKQESGISHRKEGGEE >ENSMUSP00000121631.1 pep:known chromosome:GRCm38:X:37095676:37104523:-1 gene:ENSMUSG00000036572.16 transcript:ENSMUST00000130324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upf3b description:UPF3 regulator of nonsense transcripts homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1915384] XEYHAIVEFAPFQKAAKKKIKKRDTKVGTIEDDPEYRKFLESYATDNEKMTSTPETLLEE IEAKNRELIAKRTTPLLSFLKNKQRMREEKREERRRREIERKRQREEERRKWKEEEKRKR KDIEKLKKIERIPEREKIRDEPKIKVHRFLLQAVNQKNLLRKPEKGDEKELDKRDKTKRL DKENLNEERASGHSYTLPRRSDVELKDEKPKRLDDEGVRDYRDRDRDYERDQERMIRERE RLKRQEEERRRQQQKERYEK >ENSMUSP00000120994.1 pep:known chromosome:GRCm38:X:105968084:106011906:-1 gene:ENSMUSG00000031232.16 transcript:ENSMUST00000151689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magt1 description:magnesium transporter 1 [Source:MGI Symbol;Acc:MGI:1914325] MGSGKGSVRPFSRPTLGPCWKKVSPRRQERSVNMASPRWFWSVCAIAAVALLLVSKVPSA SAQRKKEMVLSEKVSQLMEWANKRPVIRMNGDKFRRLVKAPPRNYSVVVMFTALQLHRQC VVCKQADEEFQILANSWRYSNAFTNRIFFAMVDFDEGSDVFQMLNMNSAPTFINFPPKGK PKRADTYELQVRGFSAEQIARWIADRTDVNIRVIRPPNYAGPLMLGLLLAVIGGLVYLRR SNMEFLFNKTGWAFAALCFVLAMTSGQMWNHIRGPPYAHKNPHTGHVNYIHGSSQAQFVA ETHIVLLFNGGVTLGMVLLCEAATSDMDIGKRRMMCIAGIGLVVLFFSWMLSIFRSKYHG YPYSFLMS >ENSMUSP00000115579.1 pep:known chromosome:GRCm38:X:105976619:106011780:-1 gene:ENSMUSG00000031232.16 transcript:ENSMUST00000139421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magt1 description:magnesium transporter 1 [Source:MGI Symbol;Acc:MGI:1914325] XERSVNMASPRWFWSVCAIAAVALLLVSKVPSASAQRKKEMVLSEKVSQLMEWANKRPVI RMNGDKFRRLVKAPPRNYSVVVMFTALQLHRQCVVCKQADEEFQILANSWRYSNAFTNRI FFAMVDFDEGSDVFQMLNMNSAPTFINFPPKGKPKRADTYELQVRGFSAEQIARWIADRT DVNIRVIRPPNYAGPLMLGLLLAVIGGLVYLRRSNMEFLFNKTGWAFAALCFVLAMTSGQ MWNHIRGPPYAHKNPHTGHVNYIHGSSQAQFVAETHIVLLFNGGVTLGMVLLCEAATSDM DIGKRRNNFCQA >ENSMUSP00000109196.2 pep:known chromosome:GRCm38:X:105970478:106011786:-1 gene:ENSMUSG00000031232.16 transcript:ENSMUST00000113566.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magt1 description:magnesium transporter 1 [Source:MGI Symbol;Acc:MGI:1914325] XRQERSVNMASPRWFWSVCAIAAVALLLVSKVPSASAQRKKEMVLSEKVSQLMEWANKRP VIRMNGDKFRRLVKAPPRNYSVVVMFTALQLHRQCVVCKQADEEFQILANSWRYSNAFTN RIFFAMVDFDEGSDVFQMLNMNSAPTFINFPPKGKPKRADTYELQVRGFSAEQIARWIAD RTDVNIRVIRPPNYAGPLMLGLLLAVIGGLVYLRRSNMEFLFNKTGWAFAALCFVLAMTS GQMWNHIRGPPYAHKNPHTGHVNYIHGSSQAQFVAETHIVLLFNGGVTLGMVLLCEAATS DMDIGKRRMMCIAGIGLVVLFFSWMLSIFRSKYHGYPYSFLMS >ENSMUSP00000033583.7 pep:known chromosome:GRCm38:X:105968084:106011899:-1 gene:ENSMUSG00000031232.16 transcript:ENSMUST00000033583.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magt1 description:magnesium transporter 1 [Source:MGI Symbol;Acc:MGI:1914325] MGSGKGSVRPFSRPTLGPCWKKVSPRRQERSVNMASPRWFWSVCAIAAVALLLVSKVPSA SAQRKKEMVLSEKVSQLMEWANKRPVIRMNGDKFRRLVKAPPRNYSVVVMFTALQLHRQC VVCKQADEEFQILANSWRYSNAFTNRIFFAMVDFDEGSDVFQMLNMNSAPTFINFPPKGK PKRADTYELQVRGFSAEQIARWIADRTDVNIRVIRPPNYAGPLMLGLLLAVIGGLVYLRR SNMEFLFNKTGWAFAALCFVLAMTSGQMWNHIRGPPYAHKNPHTGHVNYIHGSSQAQFVA ETHIVLLFNGGVTLGMVLLCEAATSDMDIGKRRMMCIAGIGLVVLFFSWMLSIFRSKYHG YPYSFLMS >ENSMUSP00000064657.1 pep:known chromosome:GRCm38:2:51627511:51628408:1 gene:ENSMUSG00000056115.2 transcript:ENSMUST00000070028.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r134 description:taste receptor, type 2, member 134 [Source:MGI Symbol;Acc:MGI:2681300] MSFSHSFIFIVIFCMQSLAALLQNGFMATVLGREWVRSQGLPAGDMIMACLAASRFCLHG IAVLNNFLASAMFWTIKNYFSILWDFTNTVNFWFTTWLAIFYCVKISSFSHPIFFWIKWR ISRSVPRLLLGSLIIGGLSAISSATGNTIALQMAACENYTIYYKMMAFYLYYFRCHAMLM WVIPFFLFLLSIILLMFSLYRHLEQMRYHRPRTHDYSTQAHIMALKSLAFFLIFYTSYTL LLTVSVAHVINVHGSWHWAWEVVTYMGISLHSTILILSNTKMRKALKIKFPDLCIPRS >ENSMUSP00000090443.5 pep:known chromosome:GRCm38:11:95120119:95125296:1 gene:ENSMUSG00000001510.8 transcript:ENSMUST00000092768.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx3 description:distal-less homeobox 3 [Source:MGI Symbol;Acc:MGI:94903] MSGSFDRKLSSILTDISSSLSCHAGSKDSPTLPESTVTDLGYYSAPQHDYYSGQPYGQTV NPYTYHHQFNLNGLAGTGAYSPKSEYTYGGSYRQYGAYREQPLPAQDPVSVKEEPEAEVR MVNGKPKKVRKPRTIYSSYQLAALQRRFQKAQYLALPERAELAAQLGLTQTQVKIWFQNR RSKFKKLYKNGEVPLEHSPNNSDSMACNSPPSPALWDTSSHSTPAPARNPLPPPLPYSAS PNYLDDPTNSWYHTQNLSGPHLQQQPPQPATLHHASPGPPPNPGAVY >ENSMUSP00000140414.1 pep:known chromosome:GRCm38:15:102662864:102671127:-1 gene:ENSMUSG00000062683.11 transcript:ENSMUST00000185641.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5g2 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit C2 (subunit 9) [Source:MGI Symbol;Acc:MGI:1915192] MYACSKFVSTRSLIRSTSLRSTSQLLSRPLSAVELKRPQMPTDESLSSLAVRRPLTSLIP SRSFQTSAISRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSY AILGFALSEAMGLFCLMVAFLILFAM >ENSMUSP00000140323.1 pep:known chromosome:GRCm38:15:102664739:102667743:-1 gene:ENSMUSG00000062683.11 transcript:ENSMUST00000187160.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5g2 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit C2 (subunit 9) [Source:MGI Symbol;Acc:MGI:1915192] ACSKFVSTRSLIRSTSLRSTSQLLSRPLSAVELKRPQMPTDESLSSLAVRRPLTSLIPSR SFQTSAISRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYAR >ENSMUSP00000141008.1 pep:known chromosome:GRCm38:15:102665801:102672352:-1 gene:ENSMUSG00000062683.11 transcript:ENSMUST00000186952.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5g2 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit C2 (subunit 9) [Source:MGI Symbol;Acc:MGI:1915192] MYACSKFVSTRSLIRSTSLRSTSQLLSRPLS >ENSMUSP00000075057.3 pep:known chromosome:GRCm38:15:102663014:102667749:-1 gene:ENSMUSG00000062683.11 transcript:ENSMUST00000075630.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5g2 description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit C2 (subunit 9) [Source:MGI Symbol;Acc:MGI:1915192] MYACSKFVSTRSLIRSTSLRSTSQLLSRPLSAVELKRPQMPTDESLSSLAVRRPLTSLIP SRSFQTSAISRDIDTAAKFIGAGAATVGVAGSGAGIGTVFGSLIIGYARNPSLKQQLFSY AILGFALSEAMGLFCLMVAFLILFAM >ENSMUSP00000114883.1 pep:known chromosome:GRCm38:11:69838514:69839613:1 gene:ENSMUSG00000070394.10 transcript:ENSMUST00000133967.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem256 description:transmembrane protein 256 [Source:MGI Symbol;Acc:MGI:1916436] XCWVVRAVLVPRVTTHSYGRGAQFPDAYGKELFDKANKHHFLHSLALLGVPSCRKPVWAG LLLASGTTLFCTSFYYQALSGDTSIQTLGPVGGSLLILGWLALAF >ENSMUSP00000091608.4 pep:known chromosome:GRCm38:11:69838524:69839618:1 gene:ENSMUSG00000070394.10 transcript:ENSMUST00000094065.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem256 description:transmembrane protein 256 [Source:MGI Symbol;Acc:MGI:1916436] MAGVGAAFRRLGALSGAGALGLASYGAHGAQFPDAYGKELFDKANKHHFLHSLALLGVPS CRKPVWAGLLLASGTTLFCTSFYYQALSGDTSIQTLGPVGGSLLILGWLALAF >ENSMUSP00000140088.1 pep:known chromosome:GRCm38:Y:74470531:74496958:1 gene:ENSMUSG00000101528.1 transcript:ENSMUST00000189924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29110 description:predicted gene 29110 [Source:MGI Symbol;Acc:MGI:5579816] MRRMSLKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEGDED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000027931.7 pep:known chromosome:GRCm38:1:191821444:191833050:1 gene:ENSMUSG00000026622.15 transcript:ENSMUST00000027931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek2 description:NIMA (never in mitosis gene a)-related expressed kinase 2 [Source:MGI Symbol;Acc:MGI:109359] MPSRVEDYEVLHSIGTGSYGRCQKIRRKSDGKILVWKELDYGSMTEVEKQMLVSEVNLLR ELKHPNIVSYYDRIIDRTNTTLYIVMEYCEGGDLASVISKGTKDRQYLEEEFVLRVMTQL TLALKECHRRSDGGHTVLHRDLKPANVFLDSKHNVKLGDFGLARILNHDTSFAKTFVGTP YYMSPEQMSCLSYNEKSDIWSLGCLLYELCALMPPFTAFNQKELAGKIREGRFRRIPYRY SDGLNDLITRMLNLKDYHRPSVEEILESPLIADLVAEEQRRNLERRGRRSGEPSKLPDSS PVLSELKLKERQLQDREQALRAREDILEQKERELCIRERLAEDKLARAESLMKNYSLLKE HRLLCLAGGPELDLPSSAMKKKVHFHGESKENTARSENSESYLAKSKCRDLKKRLHAAQL RAQALADIEKNYQLKSRQILGMR >ENSMUSP00000122674.1 pep:known chromosome:GRCm38:6:82041043:82091895:1 gene:ENSMUSG00000035104.14 transcript:ENSMUST00000150976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eva1a description:eva-1 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2385247] MKLPLSPSTEPVATEPLGMALLSSILAAWSYISENPERAALYFVSGVCIGLFLTLAALVM RISCHTD >ENSMUSP00000037422.8 pep:known chromosome:GRCm38:6:82041623:82093099:1 gene:ENSMUSG00000035104.14 transcript:ENSMUST00000042974.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eva1a description:eva-1 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2385247] MKLPLSPSTEPVATEPLGMALLSSILAAWSYISENPERAALYFVSGVCIGLFLTLAALVM RISCHTDCRRGPRRRCLQDRECSDSSDSEDGSEDTASDLSVRRHRRFERTLNKNVFTSAE ELERAQRLEERERIIREIWMNGQPEVPGTRSLNRYY >ENSMUSP00000117345.1 pep:known chromosome:GRCm38:6:82052307:82092160:1 gene:ENSMUSG00000035104.14 transcript:ENSMUST00000149023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eva1a description:eva-1 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2385247] MKLPLSPSTEPVATEPLGMALLSSILAAWSYISENPERAALYFVSGVCIGLFLTLAALVM RISCHTDCRRGPRRRCLQDRECSDSSDSEDGSEDTASDLSVRRHRRFERTLNKNVFTSAE ELERAQRLEERERIIREIWMNGQPEVPGTRSLNRY >ENSMUSP00000103425.1 pep:known chromosome:GRCm38:4:45395923:45408761:-1 gene:ENSMUSG00000045973.18 transcript:ENSMUST00000107796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a51 description:solute carrier family 25, member 51 [Source:MGI Symbol;Acc:MGI:2684984] MSQVKETLPQRGKAGSTMMDSEAHEKRPPMLTSSNQDLSPHIAGVGDMKHYLCGYCAAFN NVAITYPVQKILFRQQLYGIKTRDAVLQLRKDGFRNLYRGILPPLMQKTTTLALMFGLYE DLSRLLHKHVSSAPEFATRSVAALLAGTTEAILTPFERVQTLLQDHKHHDKFTNTYQAFR ALRCHGIAEYYRGMVPILFRNGFGNVLFFGLRGPIKESLPTATTYSAHLVNDFICGGVLG AVLGFLSFPINVVKARIQSQIGGPFLSLPMVFKTIWIERDRKLINLFRGAHLNYHRSLIS WGIINATYEFLLKIV >ENSMUSP00000134132.1 pep:known chromosome:GRCm38:4:45399241:45408760:-1 gene:ENSMUSG00000045973.18 transcript:ENSMUST00000132815.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a51 description:solute carrier family 25, member 51 [Source:MGI Symbol;Acc:MGI:2684984] MMDSEAHEKRPPMLTSSNQDLSPHIAGVGDMKHYLCGYCAAFNNVAITYPVQKILFRQQL YGIKTRDAVLQLRKDGFRNLYRGILPPLMQKTTTLALMFGLYEDLSRLLHKHVSSAPEFA TRSVAALLAGTTEAILTPFERVQTLLQDHKHHDKFTNTYQAFRALRCHGIAEYYRGMVPI LFRNGFGNVLFFGLRGPIKESLPTATTYSAHLVNDFICGGVLGAVLGFLSFPINVVKARI QSQIGGPFLSLPMVFKTIWIERDRKLINLFRGAHLNYHRSLISWGIINATYEFLLKIV >ENSMUSP00000122620.1 pep:known chromosome:GRCm38:4:45399572:45408646:-1 gene:ENSMUSG00000045973.18 transcript:ENSMUST00000153904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a51 description:solute carrier family 25, member 51 [Source:MGI Symbol;Acc:MGI:2684984] MSQVKETLPQRGKAGSTMMDSEAHEKRPPMLTSSNQDLSPHIAGVGDMKHYLCGYCAAFN NVAITYPVQKILFRQQLYGIKTRDAVLQLRKDGFRNLYRGILPPLMQKTTTLALMFGLYE DLSRLLHKHVSSAPEFATRSVAALLAGTTEAILTPFERVQTLLQDHKHHDKFTNTYQAFR ALRCHGIAEYYRGMVPILFRNGFGNV >ENSMUSP00000134108.1 pep:known chromosome:GRCm38:4:45399602:45404866:-1 gene:ENSMUSG00000045973.18 transcript:ENSMUST00000151516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a51 description:solute carrier family 25, member 51 [Source:MGI Symbol;Acc:MGI:2684984] MSQVKETLPQRGKAGSTMMDSEAHEKRPPMLTSSNQDLSPHIAGVGDMKHYLCGYCAAFN NVAITYPVQKILFRQQLYGIKTRDAVLQLRKDGFRNLYRGILPPLMQKTTTLALMFGLYE DLSRLLHKHVSSAPEFATRSVAALLAGTTEAILTPFERVQTLLQDHKHHDKFTNTYQAFR ALRCHGIAEYYRGMVP >ENSMUSP00000112044.3 pep:known chromosome:GRCm38:4:45395923:45408766:-1 gene:ENSMUSG00000045973.18 transcript:ENSMUST00000116341.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a51 description:solute carrier family 25, member 51 [Source:MGI Symbol;Acc:MGI:2684984] MMDSEAHEKRPPMLTSSNQDLSPHIAGVGDMKHYLCGYCAAFNNVAITYPVQKILFRQQL YGIKTRDAVLQLRKDGFRNLYRGILPPLMQKTTTLALMFGLYEDLSRLLHKHVSSAPEFA TRSVAALLAGTTEAILTPFERVQTLLQDHKHHDKFTNTYQAFRALRCHGIAEYYRGMVPI LFRNGFGNVLFFGLRGPIKESLPTATTYSAHLVNDFICGGVLGAVLGFLSFPINVVKARI QSQIGGPFLSLPMVFKTIWIERDRKLINLFRGAHLNYHRSLISWGIINATYEFLLKIV >ENSMUSP00000068854.6 pep:known chromosome:GRCm38:11:120948480:120958775:1 gene:ENSMUSG00000025161.16 transcript:ENSMUST00000070653.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a3 description:solute carrier family 16 (monocarboxylic acid transporters), member 3 [Source:MGI Symbol;Acc:MGI:1933438] MGGAVVDEGPTGIKAPDGGWGWAVLFGCFIITGFSYAFPKAVSVFFKELMHEFGIGYSDT AWISSILLAMLYGTGPLCSVCVNRFGCRPVMLVGGLFASLGMVAASFCRSIIQIYLTTGV ITGLGLALNFQPSLIMLNRYFNKRRPIANGLAAAGSPVFLCALSPLGQLLQDHYGWRGGF LILGGLLLNCCVCAALMRPLVAPQVGGGTEPRGPQRPPQRLLDLSVFRDRGFLIYAVAAS IMVLGLFVPPVFVVSYAKDMGVPDTKAAFLLTILGFIDIFARPTAGFITGLKKVRPYSVY LFSFAMFFNGFTDLTGSTATDYGGLVVFCIFFGISYGMVGALQFEVLMAIVGTQKFSSAI GLVLLLEAVAVLIGPPSGGKLLDATKVYKYVFILAGAEVLTSSLVLLLGNFFCIGKRKRP EVTEPEEVASEEKLHKPPVDVGVDSREVEHFLKAEPEKNGEVVHTPETSV >ENSMUSP00000122784.1 pep:known chromosome:GRCm38:11:120949053:120956747:1 gene:ENSMUSG00000025161.16 transcript:ENSMUST00000154187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a3 description:solute carrier family 16 (monocarboxylic acid transporters), member 3 [Source:MGI Symbol;Acc:MGI:1933438] MGGAVVDEGPTGIKAPDGGWGWAVLFGCFIITGFSYAFPKAVSVFFKELMHEFGIGYSDT AWISSILLAMLYGTGPLCSVCVNRFGCRPVMLVGGLFASLGMVAASFCRSIIQIYLTTGV ITGLGLALNFQPSLIMLNRYFNKRRPIANGLAAAGSPVFLCALSPLGQLLQDHYGWRGGF LILGGLLLNCCVCAALMRPLVAPQVGGGTEPRGPQRPPQRLLDLSVFRDRGFLIYAVAAS IMVLGLFVPPVFV >ENSMUSP00000117275.1 pep:known chromosome:GRCm38:11:120949071:120956861:1 gene:ENSMUSG00000025161.16 transcript:ENSMUST00000129473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a3 description:solute carrier family 16 (monocarboxylic acid transporters), member 3 [Source:MGI Symbol;Acc:MGI:1933438] MGGAVVDEGPTGIKAPDGGWGWAVLFGCFIITGFSYAFPKAVSVFFKELMHEFGIGYSDT AWISSILLAMLYGTGPLCSVCVNRFGCRPVMLVGGLFASLGMVAASFCRSIIQIYLTTGV ITGLGLALNFQPSLIMLNRYFNKRRPIANGLAAAGSPVFLCALSPLGQLLQDHYGWRGGF LILGGLLLNCCVCAALMRPLVAPQVGGGTEPRGPQRPPQRLLDLSVFRDRGFLIYAVAAS IMVLGLFVPPVFVVSYAKDMGVPDTKAAFLLTILGFIDIFARPTAGFITGL >ENSMUSP00000115047.1 pep:known chromosome:GRCm38:11:120950688:120955273:1 gene:ENSMUSG00000025161.16 transcript:ENSMUST00000133029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a3 description:solute carrier family 16 (monocarboxylic acid transporters), member 3 [Source:MGI Symbol;Acc:MGI:1933438] MGGAVVDEG >ENSMUSP00000125846.1 pep:known chromosome:GRCm38:11:120949081:120960868:1 gene:ENSMUSG00000025161.16 transcript:ENSMUST00000168579.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a3 description:solute carrier family 16 (monocarboxylic acid transporters), member 3 [Source:MGI Symbol;Acc:MGI:1933438] MGGAVVDEGPTGIKAPDGGWGWAVLFGCFIITGFSYAFPKAVSVFFKELMHEFGIGYSDT AWISSILLAMLYGTGPLCSVCVNRFGCRPVMLVGGLFASLGMVAASFCRSIIQIYLTTGV ITGLGLALNFQPSLIMLNRYFNKRRPIANGLAAAGSPVFLCALSPLGQLLQDHYGWRGGF LILGGLLLNCCVCAALMRPLVAPQVGGGTEPRGPQRPPQRLLDLSVFRDRGFLIYAVAAS IMVLGLFVPPVFVVSYAKDMGVPDTKAAFLLTILGFIDIFARPTAGFITGLKKVRPYSVY LFSFAMFFNGFTDLTGSTATDYGGLVVFCIFFGISYGMVGALQFEVLMAIVGTQKFSSAI GLVLLLEAVAVLIGPPSGGKLLDATKVYKYVFILAGAEVLTSSLVLLLGNFFCIGKRKRP EVTEPEEVASEEKLHKPPVDVGVDSREVEHFLKAEPEKNGEVVHTPETSV >ENSMUSP00000097706.3 pep:known chromosome:GRCm38:11:120949067:120959000:1 gene:ENSMUSG00000025161.16 transcript:ENSMUST00000100130.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a3 description:solute carrier family 16 (monocarboxylic acid transporters), member 3 [Source:MGI Symbol;Acc:MGI:1933438] MGGAVVDEGPTGIKAPDGGWGWAVLFGCFIITGFSYAFPKAVSVFFKELMHEFGIGYSDT AWISSILLAMLYGTGPLCSVCVNRFGCRPVMLVGGLFASLGMVAASFCRSIIQIYLTTGV ITGLGLALNFQPSLIMLNRYFNKRRPIANGLAAAGSPVFLCALSPLGQLLQDHYGWRGGF LILGGLLLNCCVCAALMRPLVAPQVGGGTEPRGPQRPPQRLLDLSVFRDRGFLIYAVAAS IMVLGLFVPPVFVVSYAKDMGVPDTKAAFLLTILGFIDIFARPTAGFITGLKKVRPYSVY LFSFAMFFNGFTDLTGSTATDYGGLVVFCIFFGISYGMVGALQFEVLMAIVGTQKFSSAI GLVLLLEAVAVLIGPPSGGKLLDATKVYKYVFILAGAEVLTSSLVLLLGNFFCIGKRKRP EVTEPEEVASEEKLHKPPVDVGVDSREVEHFLKAEPEKNGEVVHTPETSV >ENSMUSP00000046337.6 pep:known chromosome:GRCm38:11:107207892:107337637:-1 gene:ENSMUSG00000040430.18 transcript:ENSMUST00000040380.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnc1 description:phosphatidylinositol transfer protein, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:1919045] MISKHSHEQSDRGEGVEVVQNEPFEDPHHGNGQFTEKRVYLNSKLPSWARAVVPKIFYVT EKAWNYYPYTITEYTCSFLPKFSIHIETKYEDNKGSNDSIFDSEAKDLEREVCFIDIACD EIPERYYKESEDPKHFKSEKTGRGQLREGWRDNHQPIMCSYKLVTVKFEVWGLQTRVEQF VHKVVRDILLIGHRQAFAWVDEWYDMTMDEVREFERATQEATNKKIGVFPPAISISSIAL LPSSVRSAPSSAPSTPLSTDAPEFLSIPKDRPRKKSAPETLTLPDPEKKATLNLPGVYTS EKPCRPKSE >ENSMUSP00000099353.3 pep:known chromosome:GRCm38:11:107207892:107470699:-1 gene:ENSMUSG00000040430.18 transcript:ENSMUST00000103064.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnc1 description:phosphatidylinositol transfer protein, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:1919045] MLLKEYRICMPLTVDEYKIGQLYMISKHSHEQSDRGEGVEVVQNEPFEDPHHGNGQFTEK RVYLNSKLPSWARAVVPKIFYVTEKAWNYYPYTITEYTCSFLPKFSIHIETKYEDNKGSN DSIFDSEAKDLEREVCFIDIACDEIPERYYKESEDPKHFKSEKTGRGQLREGWRDNHQPI MCSYKLVTVKFEVWGLQTRVEQFVHKVVRDILLIGHRQAFAWVDEWYDMTMDDVREYEKN MHEQTNIKVCNQHSSTVDDIESHAQTST >ENSMUSP00000122609.1 pep:known chromosome:GRCm38:11:107226257:107348130:-1 gene:ENSMUSG00000040430.18 transcript:ENSMUST00000134763.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnc1 description:phosphatidylinositol transfer protein, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:1919045] MISKHSHEQSDRGEGVEVVQNEPFEDPHHGNGQFTEKRVYLNSKLPSWARAVVPKIFYVT EKAWNYYPYTITEYTCSFLPKFSIHIETKYEDNKGSNDSIFDSEAKDLEREVCFIDIACD EIPERYYKESEDPKHFKSEKTGRGQLREGWRDNHQPIMCSYKLVTVKFEVWGLQTRVEQF VHKVVRDILLIGHRQA >ENSMUSP00000026667.8 pep:known chromosome:GRCm38:11:119288363:119300089:-1 gene:ENSMUSG00000025580.15 transcript:ENSMUST00000026667.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4a3 description:eukaryotic translation initiation factor 4A3 [Source:MGI Symbol;Acc:MGI:1923731] MAANATMATSGSARKRLLKEEDMTKVEFETSEEVDVTPTFDTMGLREDLLRGIYAYGFEK PSAIQQRAIKQIIKGRDVIAQSQSGTGKTATFSVSVLQCLDIQVRETQALILAPTRELAV QIQKGLLALGDYMNVQCHACIGGTNVGEDIRKLDYGQHVVAGTPGRVFDMIRRRSLRTRA IKMLVLDEADEMLNKGFKEQIYDVYRYLPPATQVVLISATLPHEILEMTNKFMTDPIRIL VKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMREA NFTVSSMHGDMPQKERESIMKEFRSGASRVLISTDVWARGLDVPQVSLIINYDLPNNREL YIHRIGRSGRYGRKGVAINFVKNDDIRILRDIEQYYSTQIDEMPMNVADLI >ENSMUSP00000101860.1 pep:known chromosome:GRCm38:11:119292841:119300014:-1 gene:ENSMUSG00000025580.15 transcript:ENSMUST00000106253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4a3 description:eukaryotic translation initiation factor 4A3 [Source:MGI Symbol;Acc:MGI:1923731] MAANATMATSGSARKRLLKEEDMTKVEFETSEEVDVTPTFDTMGLREDLLRGIYAYGFEK PSAIQQRAIKQIIKGRDVIAQSQSGTGKTATFSVSVLQCLDIQGLLALGDYMNVQCHACI GGTNVGEDIRKLDYGQHVVAGTPGRVFDMIRRRSLRTRAIKMLVLDEADEMLNKGFKEQI YDVYRYLPPATQVVLISATLPHEILEMTNKFMTDPIRILVKRDELTLEGIKQFFVAVERE EWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMREANFTVSSMHGDMPQKERESIM >ENSMUSP00000106931.1 pep:known chromosome:GRCm38:1:171329145:171342331:1 gene:ENSMUSG00000013973.12 transcript:ENSMUST00000111300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd description:death effector domain-containing [Source:MGI Symbol;Acc:MGI:1333874] MAGLKRRASQVWPEERGEQEHGLYSLHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGL IRNGRDFLLALERQGRCDESNFRQVLQLLRIITRHDLLPYVTLKKRRAVCPDLVDKYLEE TSIRYVTPRALSDPEPRPPQPSKTVPPHYPVVCCPTSGSQMCSKRPARGRTTLGSQRKRR KSVTPDPKEKQTCDIRLRVRAEYCQHETALQGNVFSNKQDPLERQFERFNQANTILKSRD LGSIICDIKFSELTYLDAFWRDYINGSLLEALKGVFITDSLKQAVGHEAIKLLVNVDEED YELGRQKLLRNLMLQALP >ENSMUSP00000106930.1 pep:known chromosome:GRCm38:1:171329399:171342325:1 gene:ENSMUSG00000013973.12 transcript:ENSMUST00000111299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd description:death effector domain-containing [Source:MGI Symbol;Acc:MGI:1333874] MAGLKRRASQVWPEERGEQEHGLYSLHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGL IRNGRDFLLALERQGRCDESNFRQVLQLLRIITRHDLLPYVTLKKRRAVCPDLVDKYLEE TSIRYVTPRALSDPEPRPPQPSKTVPPHYPVVCCPTSGSQMCSKRPARGRTTLGSQRKRR KSVTPDPKEKQTCDIRLRVRAEYCQHETALQGNVFSNKQDPLERQFERFNQANTILKSRD LGSIICDIKFSELTYLDAFWRDYINGSLLEALKGVFITDSLKQAVGHEAIKLLVNVDEED YELGRQKLLRNLMLQALP >ENSMUSP00000068419.4 pep:known chromosome:GRCm38:1:171329408:171341682:1 gene:ENSMUSG00000013973.12 transcript:ENSMUST00000064950.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd description:death effector domain-containing [Source:MGI Symbol;Acc:MGI:1333874] MAGLKRRASQVWPEERGEQEHGLYSLHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGL IRNGRDFLLALERQGRCDESNFRQVLQLLRIITRHDLLPYVTLKKRRAVCPDLVDKYLEE TSIRYVTPRALSDPEPRPPQPSKTVPPHYPVVCCPTSGSQMCSKRPARGRTTLGSQRKRR KSVTPDPKEKQTCDIRLRVRAEYCQHETALQGNVFSNKQDPLERQFERFNQANTILKSRD LGSIICDIKFSELTYLDAFWRDYINGSLLEALKGVFITDSLKQAVGHEAIKLLVNVDEED YELGRQKLLRNLMLQALP >ENSMUSP00000120861.1 pep:known chromosome:GRCm38:1:171329569:171340295:1 gene:ENSMUSG00000013973.12 transcript:ENSMUST00000142063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd description:death effector domain-containing [Source:MGI Symbol;Acc:MGI:1333874] MAGLKRRASQVWPEERGEQEHGLYSLHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGL IRNGRDFLLALERQGRCDESNFRQVLQLLRIITRHDLLPYVTLKKRRAVCPDLVDKYLEE TSIRYVTPRALSDPEPRPPQPSKTVPPHYPVVCCPTSGSQMCSKRPARGRTTLGSQRKR >ENSMUSP00000120278.1 pep:known chromosome:GRCm38:1:171329610:171340949:1 gene:ENSMUSG00000013973.12 transcript:ENSMUST00000129116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd description:death effector domain-containing [Source:MGI Symbol;Acc:MGI:1333874] MAGLKRRASQVWPEERGEQEHGLYSLHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGL IRNGRDFLLALERQGRCDESNFRQVLQLLRIITRHDLLPYVTLKKRRAVCPDLVDKYLEE TSIRYVTPRALSDPEPRPPQPSKTVPPHYPVVCCPTSGSQMCSKRPARGRTTLGSQRKRR KSVTPDPKEKQTCDIRLRVRAEYCQHETALQGNVFSNKQDPLERQFERFNQANT >ENSMUSP00000120993.1 pep:known chromosome:GRCm38:1:171330086:171338410:1 gene:ENSMUSG00000013973.12 transcript:ENSMUST00000135150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd description:death effector domain-containing [Source:MGI Symbol;Acc:MGI:1333874] MAGLKRRASQVWPEERGEQEHGLYSLHRMFD >ENSMUSP00000119948.1 pep:known chromosome:GRCm38:1:171330355:171338339:1 gene:ENSMUSG00000013973.12 transcript:ENSMUST00000157015.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd description:death effector domain-containing [Source:MGI Symbol;Acc:MGI:1333874] MAGLKRRA >ENSMUSP00000095075.1 pep:known chromosome:GRCm38:1:171331426:171341616:1 gene:ENSMUSG00000013973.12 transcript:ENSMUST00000097467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd description:death effector domain-containing [Source:MGI Symbol;Acc:MGI:1333874] MAGLKRRASQVWPEERGEQEHGLYSLHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGL IRNGRDFLLALERQGRCDESNFRQVLQLLRIITRHDLLPYVTLKKRRAVCPDLVDKYLEE TSIRYVTPRALSDPEPRPPQPSKTVPPHYPVVCCPTSGSQMCSKRPARGRTTLGSQRKRR KSVTPDPKEKQTCDIRLRVRAEYCQHETALQGNVFSNKQDPLERQFERFNQANTILKSRD LGSIICDIKFSELTYLDAFWRDYINGSLLEALKGVFITDSLKQAVGHEAIKLLVNVDEED YELGRQKLLRNLMLQALP >ENSMUSP00000122628.1 pep:known chromosome:GRCm38:1:171337967:171340225:1 gene:ENSMUSG00000013973.12 transcript:ENSMUST00000127830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd description:death effector domain-containing [Source:MGI Symbol;Acc:MGI:1333874] MAGLKRRASQVWPEERGEQEHGLYSLHRMFDIVGTHLTHRDVRVLSFLFVDVIDDHERGL IRNGRDFLLALERQGRCDESNFRQVLQLLRIITRHDLLPYVTLKKRRAVCPDLVDKYLEE TSIRYVTPRALSDPEPRPPQPSKTVPPHYPVVCCP >ENSMUSP00000054141.2 pep:known chromosome:GRCm38:4:126147744:126149874:1 gene:ENSMUSG00000050212.4 transcript:ENSMUST00000052876.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eva1b description:eva-1 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1922063] MDAPRRDMELLSNSLAAYAHIRANPESFGLYFVLGVCFGLLLTLCLLVISISCAPRSRPR TPAPRRDPRSSTLEPEDEDDEEDEDTMTRLGPDDTLQGQELSTEPDGPLSVNVFTSAEEL ERAQRLEERERILREIWRTGQPDLLGSGTLGPGATATLGRMHYY >ENSMUSP00000101758.2 pep:known chromosome:GRCm38:4:126148003:126149875:1 gene:ENSMUSG00000050212.4 transcript:ENSMUST00000106152.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eva1b description:eva-1 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1922063] MDAPRRDMELLSNSLAAYAHIRANPESFGLYFVLGVCFGLLLTLCLLVISISCAPRSRPR TPAPRRDPRSSTLEPEDEDDEEDEDTMTRLGPDDTLQGQELSTEPDGPLSVNVFTSAEEL ERAQRLEERERILREIWRTGQPDLLGSGTLGPGATATLGRMHYY >ENSMUSP00000101756.2 pep:known chromosome:GRCm38:4:126148532:126149865:1 gene:ENSMUSG00000050212.4 transcript:ENSMUST00000106150.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eva1b description:eva-1 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1922063] MDAPRRDMELLSNSLAAYAHIRANPESFGLYFVLGVCFGLLLTLCLLVISISCAPRSRPR TPAPRRDPRSSTLEPEDEDDEEDEDTMTRLGPDDTLQGQELSTEPDGPLSVNVFTSAEEL ERAQRLEERERILREIWRTGQPDLLGSGTLGPGATATLGRMHYY >ENSMUSP00000090476.5 pep:known chromosome:GRCm38:11:87664274:87735539:1 gene:ENSMUSG00000034177.15 transcript:ENSMUST00000092800.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf43 description:ring finger protein 43 [Source:MGI Symbol;Acc:MGI:2442609] MSGGHQLQLAVLWPWLLMATLHAGFGHTGRVLAAAVESERSAEQKAVIRVIPLKMDPTGK LNLTLEGVFAGVAEVTPAEGKLMQSHPLYLCNASDDDNLEPGFISIVKLESPRRAPRPCL SLASKARMAGERGANAVLFDITEDRSAAEQLQQPLGLTKPVVLIWGSDAAKLMEFVYKNR KAYVWIELKEPPAGANYDVWILLTVVGTVFVIILASVLRIRCRPHHSRPDPLQQRTARAI SQLATRRYQAGCRRARAEWPDSGSSCSSTPVCAICLEEFSEGQELRVISCLHEFHRTCVD PWLYQHRTCPLCMFNIVEGDSFSQAPAASPSYQEPGRRLHLIRQHPGHAHYHLPSAYLLG PSRTSVARTPRPRPFLPSQEPSMGSRHQRLPRTSHLRAPEEQQHLAVSPHPYAQGWGLNR LRCTSQHPAACPVALRRARPHESSGSGESYCTERSGYLADGPASDSSSGPCHGSSSDSVV NCTDVSLQGIHGSSSTFRSSLSSDFDPLVYCSPEGDLQGKGIQPSVTSRPRSLDSVVPRG ETQVSSHIHYHRHRHHHYKRQFQWHGRKPGPETGIPQSMPAASHTQLEPSLPDQQLITPN PTASSMLPNPQRPRALTEPAPGLAEASSPSPSPKPNPSGLLNLQKSSLTVRHPHRKRRGG PSEPLPTSLPPDLTVHTACPVFPHYSPRLAYPWPPEVHPLMFRPPGPDRRLLHEVPGPCY SSSQPVWLYLNPCQPLGPCLPGEGHSKWTFDSPEGRRCPYSHCQVLPAQPGSEEELEELC EQAV >ENSMUSP00000112748.2 pep:known chromosome:GRCm38:11:87664549:87734540:1 gene:ENSMUSG00000034177.15 transcript:ENSMUST00000121782.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf43 description:ring finger protein 43 [Source:MGI Symbol;Acc:MGI:2442609] MSGGHQLQLAVLWPWLLMATLHAGFGHTGRVLAAAVESERSAEQKAVIRVIPLKMDPTGK LNLTLEGVFAGVAEVTPAEGKLMQARMAGERGANAVLFDITEDRSAAEQLQQPLGLTKPV VLIWGSDAAKLMEFVYKNRKAYVWIELKEPPAGANYDVWILLTVVGTVFVIILASVLRIR CRPHHSRPDPLQQRTARAISQLATRRYQAGCRRARAEWPDSGSSCSSTPVCAICLEEFSE GQELRVISCLHEFHRTCVDPWLYQHRTCPLCMFNIVEGDSFSQAPAASPSYQEPGRRLHL IRQHPGHAHYHLPSAYLLGPSRTSVARTPRPRPFLPSQEPSMGSRHQRLPRTSHLRAPEE QQHLAVSPHPYAQGWGLNRLRCTSQHPAACPVALRRARPHESSGSGESYCTERSGYLADG PASDSSSGPCHGSSSDSVVNCTDVSLQGIHGSSSTFRSSLSSDFDPLVYCSPEGDLQGKG IQPSVTSRPRSLDSVVPRGETQVSSHIHYHRHRHHHYKRQFQWHGRKPGPETGIPQSMPA ASHTQLEPSLPDQQLITPNPTASSMLPNPQRPRALTEPAPGLAEASSPSPSPKPNPSGLL NLQKSSLTVRHPHRKRRGGPSEPLPTSLPPDLTVHTACPVFPHYSPRLAYPWPPEVHPLM FRPPGPDRRLLHEVPGPCYSSSQPVWLYLNPCQPLGPCLPGEGHSKWTFDSPEGRRCPYS HCQVLPAQPGSEEELEELCEQAV >ENSMUSP00000044241.4 pep:known chromosome:GRCm38:11:87699897:87735539:1 gene:ENSMUSG00000034177.15 transcript:ENSMUST00000040089.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf43 description:ring finger protein 43 [Source:MGI Symbol;Acc:MGI:2442609] MAGERGANAVLFDITEDRSAAEQLQQPLGLTKPVVLIWGSDAAKLMEFVYKNRKAYVWIE LKEPPAGANYDVWILLTVVGTVFVIILASVLRIRCRPHHSRPDPLQQRTARAISQLATRR YQAGCRRARAEWPDSGSSCSSTPVCAICLEEFSEGQELRVISCLHEFHRTCVDPWLYQHR TCPLCMFNIVEGDSFSQAPAASPSYQEPGRRLHLIRQHPGHAHYHLPSAYLLGPSRTSVA RTPRPRPFLPSQEPSMGSRHQRLPRTSHLRAPEEQQHLAVSPHPYAQGWGLNRLRCTSQH PAACPVALRRARPHESSGSGESYCTERSGYLADGPASDSSSGPCHGSSSDSVVNCTDVSL QGIHGSSSTFRSSLSSDFDPLVYCSPEGDLQGKGIQPSVTSRPRSLDSVVPRGETQVSSH IHYHRHRHHHYKRQFQWHGRKPGPETGIPQSMPAASHTQLEPSLPDQQLITPNPTASSML PNPQRPRALTEPAPGLAEASSPSPSPKPNPSGLLNLQKSSLTVRHPHRKRRGGPSEPLPT SLPPDLTVHTACPVFPHYSPRLAYPWPPEVHPLMFRPPGPDRRLLHEVPGPCYSSSQPVW LYLNPCQPLGPCLPGEGHSKWTFDSPEGRRCPYSHCQVLPAQPGSEEELEELCEQAV >ENSMUSP00000130685.1 pep:known chromosome:GRCm38:11:87663087:87735538:1 gene:ENSMUSG00000034177.15 transcript:ENSMUST00000165679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf43 description:ring finger protein 43 [Source:MGI Symbol;Acc:MGI:2442609] MSGGHQLQLAVLWPWLLMATLHAGFGHTGRVLAAAVESERSAEQKAVIRVIPLKMDPTGK LNLTLEGVFAGVAEVTPAEGKLMQSHPLYLCNASDDDNLEPGFISIVKLESPRRAPRPCL SLASKARMAGERGANAVLFDITEDRSAAEQLQQPLGLTKPVVLIWGSDAAKLMEFVYKNR KAYVWIELKEPPAGANYDVWILLTVVGTVFVIILASVLRIRCRPHHSRPDPLQQRTARAI SQLATRRYQAGCRRARAEWPDSGSSCSSTPVCAICLEEFSEGQELRVISCLHEFHRTCVD PWLYQHRTCPLCMFNIVEGDSFSQAPAASPSYQEPGRRLHLIRQHPGHAHYHLPSAYLLG PSRTSVARTPRPRPFLPSQEPSMGSRHQRLPRTSHLRAPEEQQHLAVSPHPYAQGWGLNR LRCTSQHPAACPVALRRARPHESSGSGESYCTERSGYLADGPASDSSSGPCHGSSSDSVV NCTDVSLQGIHGSSSTFRSSLSSDFDPLVYCSPEGDLQGKGIQPSVTSRPRSLDSVVPRG ETQVSSHIHYHRHRHHHYKRQFQWHGRKPGPETGIPQSMPAASHTQLEPSLPDQQLITPN PTASSMLPNPQRPRALTEPAPGLAEASSPSPSPKPNPSGLLNLQKSSLTVRHPHRKRRGG PSEPLPTSLPPDLTVHTACPVFPHYSPRLAYPWPPEVHPLMFRPPGPDRRLLHEVPGPCY SSSQPVWLYLNPCQPLGPCLPGEGHSKWTFDSPEGRRCPYSHCQVLPAQPGSEEELEELC EQAV >ENSMUSP00000051414.7 pep:known chromosome:GRCm38:11:22512088:22519234:1 gene:ENSMUSG00000049904.7 transcript:ENSMUST00000059319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem17 description:transmembrane protein 17 [Source:MGI Symbol;Acc:MGI:2144205] MELPDPVRQRLSNLSLTVFGDSSRTGPESSDAADNEMVSSLALQMSLYFNSYFFPLWWVS CIVMLHLKYSILPDYYKFIVVTVVILITLIEAIRLYLGCMGNLQEKVPELAGFWLLSLLL QLPLILFLLLNDGLRNLPLEKAIHIIFTIFLTFQVISAFLTLKKMVNQLAARFHLQDFDQ LSSSSAAVRRVRQCTEEL >ENSMUSP00000099757.4 pep:known chromosome:GRCm38:4:117153827:117156243:-1 gene:ENSMUSG00000047675.15 transcript:ENSMUST00000102696.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps8 description:ribosomal protein S8 [Source:MGI Symbol;Acc:MGI:98166] MGISRDNWHKRRKTGGKRKPYHKKRKYELGRPAANTKIGPRRIHTVRVRGGNKKYRALRL DVGNFSWGSECCTRKTRIIDVVYNASNNELVRTKTLVKNCIVLIDSTPYRQWYESHYALP LGRKKGAKLTPEEEEILNKKRSKKIQKKYDERKKNAKISSLLEEQFQQGKLLACIASRPG QCGRADGYVLEGKELEFYLRKIKARKGK >ENSMUSP00000029730.4 pep:known chromosome:GRCm38:3:97560742:97610203:-1 gene:ENSMUSG00000028089.5 transcript:ENSMUST00000029730.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd1l description:chromodomain helicase DNA binding protein 1-like [Source:MGI Symbol;Acc:MGI:1915308] MASGLPRFLQALPAEHGPEPLRTRVQEPDLQQWGLTGIRLRSYQLEGVNWLVQCFHCQNG CILGDEMGLGKTCQTIALLIYLVGRLNDEGPFLVLCPLSVLSNWKEEMERFAPGLSCVTY TGDKEERARLQQDLRQESGFHVLLTTYEICLKDASFLKSFSWSVLAVDEAHRLKNQSSLL HRTLSEFSAVFRLLLTGTPIQNSLRELYSLLCVVEPDLFCREQVEDFVQRYQDIEKESKS ASELHRLLQPFLLRRVKAQVATELPKKTEVVVYHGMSALQKKYYKAILMKDLDAFENETA KKVKLQNILTQLRKCVDHPYLFDGVEPEPFEVGEHLIEASGKLHLLDRLLAFLYSGGHRV LLFSQMTHMLDILQDYMDYRGYSYERVDGSVRGEERHLAIKNFGNQPIFVFLLSTRAGGV GMNLTAADTVIFVDSDFNPQNDLQAAARAHRIGQNKSVKVIRLIGRDTVEEIVYRKAASK LQLTNMVIEGGHFTPGAQKPSAEADFQLSEILKFGLDKLLSSEGSSMEDIDLKSILGETK DGQWTPDALPAAAAAGGGSLEPEEGSELESRSYENHMYLFEGRDYSKEPSKEDRKSFEQL VNLQKTLLEKTSHGGRTLRNKGSVLIPGLAEGPIKRKKILSPEELEDRRKKRQEAAAKRK RLMEEKRKEKEEAEHRKKMAWWESNGYQSFCLSSEDSELEDLEGGDESSAELAYEDLDST SINYVSGDVTHPQAGEEDAVIVHCVDDSGRWGRGGLFTALEVRSAEPRKIYELAGKMEDL SLGDVLLFPIDDKESRDKGQDLLALVVAQHRDRTNVLSGIKMAALEEGLKKIFLAAKKKK ASVHLPRIGHATKGFNWYGTERLIRKHLATRGIPTYIYYFPRSKARHSQPASSSSAPLVP >ENSMUSP00000105709.3 pep:known chromosome:GRCm38:12:100199435:100209806:1 gene:ENSMUSG00000001175.13 transcript:ENSMUST00000110082.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calm1 description:calmodulin 1 [Source:MGI Symbol;Acc:MGI:88251] MADQLTEEQIAEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADG NGTIDFPEFLTMMARKMKDTDSEEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDE EVDEMIREADIDGDGQVNYEEFVQMMTAK >ENSMUSP00000001204.6 pep:known chromosome:GRCm38:12:100200144:100209806:1 gene:ENSMUSG00000001175.13 transcript:ENSMUST00000001204.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calm1 description:calmodulin 1 [Source:MGI Symbol;Acc:MGI:88251] MGRGEPGDVQGLDRGLGVSSATFRPPDQPSRESPLACGPLLPPGGRGAGADQLTEEQIAE FKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLTM MARKMKDTDSEEEIREAFRVFDKDGNGYISAAELRHVMTNLGEKLTDEEVDEMIREADID GDGQVNYEEFVQMMTAK >ENSMUSP00000038877.6 pep:known chromosome:GRCm38:9:65890237:65903266:1 gene:ENSMUSG00000040204.6 transcript:ENSMUST00000045802.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810417H13Rik description:RIKEN cDNA 2810417H13 gene [Source:MGI Symbol;Acc:MGI:1915276] MVRTKANYVPGAYRKAVASQAPRKVLGSSTFVTNSSSSSRKAENKYAGGNPVCVRPTPKW QKGIGEFFRLSPKESKKENQAPEEAGTSGLGKAKRKACPLQPDHRDDENE >ENSMUSP00000139226.1 pep:known chromosome:GRCm38:9:77430823:77544870:-1 gene:ENSMUSG00000032352.15 transcript:ENSMUST00000183873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc1 description:leucine rich repeat containing 1 [Source:MGI Symbol;Acc:MGI:2442313] MFHCIPLWRCNRHVEAIDKRHCSLVYVPEEIYRYARSLEELLLDANQLRELPEQFFQLVK LRKLGLSDNEIQRLPPEIANFMQLVELDVSRNDIPEIPESIAFCKALQVADFSGNPLTRL PESFPELQNLTCLSVNDISLQSLPENIGNLYNLASLELRENLLTYLPDSLTQLRRLEELD LGNNEIYNLPESIGALLHLKDLWLDGNQLSELPQEIGNLKNLLCLDVSENRLERLPEEIS GLTSLTYLVISQNLLETIPEGIGKLKKLSILKLDQNRLTQLPEAIGDCENLTELVLTENR LLTLPKSIGKLKKLSNLNADRNKLVSLPKEIGGCCSLTMFCIRDNRLTRLPAEVSQAVEL HVLDVAGNRLHHLPLSLTTLKLKALWLSDNQSQPLLTFQTDIDRATGEKILTCVLLPQMP SEPICQESLPRCGALESLVTDMSEEAWNDRSVHRVSAIRFLEDEKDEDENETRTLQRRAT PHPGELKNMKKTVENLRNDMNAAKGLDSNKNEVNHAAERVTTSV >ENSMUSP00000109048.2 pep:known chromosome:GRCm38:9:77430980:77544095:-1 gene:ENSMUSG00000032352.15 transcript:ENSMUST00000113421.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc1 description:leucine rich repeat containing 1 [Source:MGI Symbol;Acc:MGI:2442313] MWGPSSAKQFFQLVKLRKLGLSDNEIQRLPPEIANFMQLVELDVSRNDIPEIPESIAFCK ALQVADFSGNPLTRLPESFPELQNLTCLSVNDISLQSLPENIGNLYNLASLELRENLLTY LPDSLTQLRRLEELDLGNNEIYNLPESIGALLHLKDLWLDGNQLSELPQEIGNLKNLLCL DVSENRLERLPEEISGLTSLTYLVISQNLLETIPEGIGKLKKLSILKLDQNRLTQLPEAI GDCENLTELVLTENRLLTLPKSIGKLKKLSNLNADRNKLVSLPKEIGGCCSLTMFCIRDN RLTRLPAEVSQAVELHVLDVAGNRLHHLPLSLTTLKLKALWLSDNQSQPLLTFQTDIDRA TGEKILTCVLLPQMPSEPICQESLPRCGALESLVTDMSEEAWNDRSVHRVSAIRFLEDEK DEDENETRTLQRRATPHPGELKNMKKTVENLRNDMNAAKGLDSNKNEVNHAAERVTTSV >ENSMUSP00000138934.1 pep:known chromosome:GRCm38:9:77431089:77442195:-1 gene:ENSMUSG00000032352.15 transcript:ENSMUST00000183467.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc1 description:leucine rich repeat containing 1 [Source:MGI Symbol;Acc:MGI:2442313] XDVAGNRLHHLPLSLTTLKLKALWLSDNQSQPLLTFQTDIDRATGEKILTEPAALRCPGE SGN >ENSMUSP00000138912.1 pep:known chromosome:GRCm38:9:77448692:77544850:-1 gene:ENSMUSG00000032352.15 transcript:ENSMUST00000183734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc1 description:leucine rich repeat containing 1 [Source:MGI Symbol;Acc:MGI:2442313] MFHCIPLWRCNRHVEAIDKRHCSLVYVPEEIYRYARSLEELLLDANQLRELPEQFFQLVK LRKLGLSDNEIQRLPPEIANFMQLVELDVSRNDIPEIPESIAFCKALQVADFSGNPLTRL PESFPELQNLTCLSVNDISLQSLPENIGNLYNLASLELRENLLTYLPDSLTQLRRLEELD LGNNEIYNLPESIGALLHLKDLWLDGNQLSELPQEIGNLKNLLCLDVSENRLERLPEEIS GLTSLTYLVISQNLLETIPEGIGKLKKLSILKLDQNRLTQLPEAIGDCENLTELVLTENR LLTLPKSIGKLKKLSNLNADRNKLVSLPKEEHISGKVCRLFYHKAATAI >ENSMUSP00000042410.6 pep:known chromosome:GRCm38:1:191906767:191913247:1 gene:ENSMUSG00000037434.7 transcript:ENSMUST00000044954.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a1 description:solute carrier family 30 (zinc transporter), member 1 [Source:MGI Symbol;Acc:MGI:1345281] MGCWGRNRGRLLCMLLLTFMFMVLEVVVSRVTASLAMLSDSFHMLSDVLALVVALVAERF ARRTHATQKNTFGWIRAEVMGALVNAIFLTGLCFAILLEAVERFIEPHEMQQPLVVLSVG VAGLLVNVLGLCLFHHHSGEGQGAGHGHSHGHGHGHLAKGARKAGRAGVEAGAPPGRAPD QEETNTLVANTSNSNGLKADQAEPEKLRSDDPVDVQVNGNLIQESDNLEAEDNRAGQLNM RGVFLHVLGDALGSVIVVVNALVFYFNWKGCTEDDFCTNPCFPDPCKSSVEIINSTQAPM RDAGPCWVLYLDPTLCIIMVCILLYTTYPLLKESALILLQTVPKQIDIKHLVKELRDVDG VEEVHELHVWQLAGSRIIATAHIKCEDPASYMQVAKTIKDVFHNHGIHATTIQPEFASVG SKSSVLPCELACRTQCALKQCCGTRPQVHSGKDAEKAPTVSISCLELSENLEKKARRTKA EGSLPAVVIEIKNVPNKQPESSL >ENSMUSP00000124176.1 pep:known chromosome:GRCm38:1:191907256:191909173:1 gene:ENSMUSG00000037434.7 transcript:ENSMUST00000161756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a1 description:solute carrier family 30 (zinc transporter), member 1 [Source:MGI Symbol;Acc:MGI:1345281] VNAIFLTGLCFAILLEAVERFIEPHEMQQPLVVLSVGVAGLLVNVLGLCLFHHHSGEGQG AGHGHSHGHGHGHLAKGARKAGRAGVEAGAPPGRAPDQEETNTLVANTSNSNGLKADQSQ KN >ENSMUSP00000112866.1 pep:known chromosome:GRCm38:9:65831136:65885055:-1 gene:ENSMUSG00000032386.15 transcript:ENSMUST00000122410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip4 description:thyroid hormone receptor interactor 4 [Source:MGI Symbol;Acc:MGI:1928469] MAVAGAAYREPLVHWCTQQLQKTFALDVSEEIIQYVLSIENAEEIREYVTDLLQGNEGKK GQFIEDLITKWQKNDQEFISDSFQQCLRKDEILDGQRSVDQLKRSRRKGRNKQEVPAFPE PDVAVEVKTPLDLAKAQESNNSVKKKTRFVNLYTREGQDKLAVLLPGRHPCDCLGQKHKL INNCLVCGRIVCEQEGSGPCLFCGSLVCTNEEQDILQRDSNKSQKLLKKLMSGAETSGKV DVSTKDLLPHQESRMKSGLEKAIKHKEKLLEFDRTSIRRTQVIDDESDYFASDSNQWLSK VEREMLQKREEELRELRHASRLSKKVTIDFAGRKILEDENPLAEYHSRLDETIQAIASGT LNQSLVTLDRSCEEPLGVLVNPNMYQASPQWVDNTGSTPQKKTSLSAGPRLEPSLHQHQL RIQDQEFQEGFDGGWCLSMHQPWASLLVRGIKRVEGRSWYTPHRGRLWIAATGKRPSPQE VSELQATYRLLRGKDVEFPNDYPSGCLLGCVDLIDCLSQKQFQEQGNWIPRSIKEQRRG >ENSMUSP00000112385.1 pep:known chromosome:GRCm38:9:65831759:65908676:-1 gene:ENSMUSG00000032386.15 transcript:ENSMUST00000119245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip4 description:thyroid hormone receptor interactor 4 [Source:MGI Symbol;Acc:MGI:1928469] MAVAGAAYREPLVHWCTQQLQKTFALDVSEEIIQYVLSIENAEEIREYVTDLLQGNEGKK GQFIEDLITKWQKNDQEFISDSFQQCLRKDEILDGQRSVDQLKRSRRKGRNKQEVPAFPE PDVAVEVKTPLDLAKAQESNNSVKKKTRFVNLYTREGQDKLAVLLPGRHPCDCLGQKHKL INNCLVCGRIVCEQEGSGPCLFCGSLVCTNEEQDILQRDSNKSQKLLKKLMSGAETSGKV DVSTKDLLPHQESRMKSGLEKAIKHKEKLLEFDRTSIRRTQVIDDESDYFASDSNQWLSK VEREMLQKREEELRELRHASRLSKKVTIDFAGRKILEDENPLAEYHSRLDETIQAIASGT LNQSLVTLDRSCEEPLGVLVNPNMYQASPQWVDNTGSTPQKKTSLSAGPRLEPSLHQHQL RIQDQEFQEGFDGGWCLSMHQPWASLLVRGIKRVEGRSWYTPHRGRLWIAATGKRPSPQE VSELQATYRLLRGKDVEFPNDYPSGCLLGCVDLIDCLSQKQFQEQFPDISQESDSSFVFI CKNPQEMVVKFPIKGNPKIWKLDSKIHQGAKKGLMKQNKAV >ENSMUSP00000113949.1 pep:known chromosome:GRCm38:9:65833156:65885056:-1 gene:ENSMUSG00000032386.15 transcript:ENSMUST00000117083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip4 description:thyroid hormone receptor interactor 4 [Source:MGI Symbol;Acc:MGI:1928469] MAVAGAAYREPLVHWCTQQLQKTFALDVSEEIIQYVLSIENAEEIREYVTDLLQGNEGKK GQFIEDLITKWQKNDQEFISDSFQQCLRKDEILDGQRSVDQLKRSRRKGRNKQEVPAFPE PDVAVEVKTPLDLAKAQESNNSVKKKTRFVNLYTREGQDKLAVLLPGRHPCDCLGQKHKL INNCLVCGRIVCEQEGSGPCLFCGSLVCTNEEQDILQRDSNKSQKLLKKLMSGAETSGKV DVSTKDLLPHQESRMKSGLEKAIKHKEKLLEFDRTSIRRTQVIDDESDYFASDSNQWLSK VEREMLQKREEELRELRHASRLSKKVTIDFAGRKILEDENPLAEYHSRLDETIQAIASGT LNQSLVTLDRSCEEPLGVLVNPNMYQASPQWVDNTGSTPQKKTSLSAGPRLEPSLHQHQL RIQDQEFQEGFDGGWCLSMHQPWASLLVRGIKRVEGRSWYTPHRGRLWIAATGKRPSPQE VSELQATYRLLRGKDVEFPNDYPSGCLLGCVDLIDCLSQKQFQEQFPDISQESDSSFVFI CKNPQEMVVKFPIKGNPKIWKLDSKIHQGAKKGLMKQNKAV >ENSMUSP00000117648.1 pep:known chromosome:GRCm38:9:65880994:65908786:-1 gene:ENSMUSG00000032386.15 transcript:ENSMUST00000134338.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip4 description:thyroid hormone receptor interactor 4 [Source:MGI Symbol;Acc:MGI:1928469] MAVAGAAYREPLVHWCTQQLQKTFALDVSEEIIQYVLSIENAEEIREYVTDLLQGN >ENSMUSP00000137304.1 pep:known chromosome:GRCm38:9:65828930:65908794:-1 gene:ENSMUSG00000032386.15 transcript:ENSMUST00000179395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip4 description:thyroid hormone receptor interactor 4 [Source:MGI Symbol;Acc:MGI:1928469] MAVAGAAYREPLVHWCTQQLQKTFALDVSEEIIQYVLSIENAEEIREYVTDLLQGNEGKK GQFIEDLITKWQKNDQEFISDSFQQCLRKDEILDGQRSVDQLKRSRRKGRNKQEVPAFPE PDVAVEVKTPLDLAKAQESNNSVKKKTRFVNLYTREGQDKLAVLLPGRHPCDCLGQKHKL INNCLVCGRIVCEQEGSGPCLFCGSLVCTNEEQDILQRDSNKSQKLLKKLMSGAETSGKV DVSTKDLLPHQESRMKSGLEKAIKHKEKLLEFDRTSIRRTQVIDDESDYFASDSNQWLSK VEREMLQKREEELRELRHASRLSKKVTIDFAGRKILEDENPLAEYHSRLDETIQAIASGT LNQSLVTLDRSCEEPLGVLVNPNMYQASPQWVDNTGSTPQKKTSLSAGPRLEPSLHQHQL RIQDQEFQEGFDGGWCLSMHQPWASLLVRGIKRVEGRSWYTPHRGRLWIAATGKRPSPQE VSELQATYRLLRGKDVEFPNDYPSGCLLGCVDLIDCLSQKQFQEQGNWIPRSIKEQRRG >ENSMUSP00000033725.9 pep:known chromosome:GRCm38:X:168654117:168673898:-1 gene:ENSMUSG00000031358.17 transcript:ENSMUST00000033725.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msl3 description:male-specific lethal 3 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1341851] MSASEGMKFQFHSGEKVLCFEPDPTKARVLYDAKIIDVIIGKDEKGRKIPEYLIHFNGWN RSWDRWAAEEHVLHDTDENRRLQRKLAKKAIARLRGTGKKKRRCRLPGVDSVLKSVPVKE KSKNDENSVSSTCHESCGEKNGGIKEHRQRRIKVKAKAKKKVLSLRSRKEMDERTITIDI PDVLKKQLEDDCYYINRRKRLVKLPCQTNIITILESYVKHFAINAAFSANERPRHHHAMM HTHMNVHYVPAEKNVDLCKEMVDGLRITFDYTLPLVLLYPYEQTQYKRVTSSKFFLPIKE STTTTNRSQEELSPSPPLLNPSTPQSTESQPPTGEPATPKRRKAEPEALQSLRRSTRHST NCDRLSESSSSPQPKRRQQDTSASMPKLFLHLEKKTPVHSRSSSPIPLTPSKDGSAVFAG FEGRRPNEINEVLSWKLVPDNYPPGDQPPPPSYIYGAQHLLRLFVKLPEILGKMSFSEKN LKALLKHFDLFLRFLAEYHDDFFPESAYVAACEAHYSTKNPRAIY >ENSMUSP00000107765.1 pep:known chromosome:GRCm38:X:168654117:168673898:-1 gene:ENSMUSG00000031358.17 transcript:ENSMUST00000112137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msl3 description:male-specific lethal 3 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1341851] MPSWDRWAAEEHVLHDTDENRRLQRKLAKKAIARLRGTGKKKRRCRLPGVDSVLKSVPVK EKSKNDENSVSSTCHESCGEKNGGIKEHRQRRIKVKAKAKKKVLSLRSRKEMDERTITID IPDVLKKQLEDDCYYINRRKRLVKLPCQTNIITILESYVKHFAINAAFSANERPRHHHAM MHTHMNVHYVPAEKNVDLCKEMVDGLRITFDYTLPLVLLYPYEQTQYKRVTSSKFFLPIK ESTTTTNRSQEELSPSPPLLNPSTPQSTESQPPTGEPATPKRRKAEPEALQSLRRSTRHS TNCDRLSESSSSPQPKRRQQDTSASMPKLFLHLEKKTPVHSRSSSPIPLTPSKDGSAVFA GFEGRRPNEINEVLSWKLVPDNYPPGDQPPPPSYIYGAQHLLRLFVKLPEILGKMSFSEK NLKALLKHFDLFLRFLAEYHDDFFPESAYVAACEAHYSTKNPRAIY >ENSMUSP00000125938.1 pep:known chromosome:GRCm38:5:138021261:138034664:1 gene:ENSMUSG00000075599.4 transcript:ENSMUST00000171498.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smok3a description:sperm motility kinase 3A [Source:MGI Symbol;Acc:MGI:3693943] MGPGSQQKSEKLRSKSPLADMDGLHAQYVMLETIGHGGCATVKLAQHRLTGTHVAVKTIR KREYWCNRVISEVELLMMADHPNIISLLQVIETKKKVYLIMELCKGKSLYQHIRKAGYLQ EHEARALFKQLLSAMNYCHNQGIVHRDLKPDNIMVEKDGKVKIIDFGLGTKVKPGQKLNL FCGTYPFSAPEVLLSTPYDGPKIDVWTLGVVLYFMVTGKIPFDACSIKKLVKRILAGKYS IPSRLSAELQDLLSLLMTANPKLRPTVAEVMVHPWVTEGSGVFPDPCEEQTPLKPDPAIV KAMGHIGFQAQDIEDSLRQRKFNQTMASYCLLKKQILKECDRPTRARPVNPSVTPFPSLV DTATTRLGLRRRENEPTCPWSSANRQVSVCGKSTSKKRDRRVSWPSVLGRPRHTAPTMDH TRTRTRSVPCICSMFCTVQPNSSEESTQGHTRASAADKPVHSRGWPRGIKGWTRMIGNAM RKLCCCIPSKETSHLGQNRVSPKK >ENSMUSP00000083049.2 pep:known chromosome:GRCm38:5:138021276:138034660:1 gene:ENSMUSG00000075599.4 transcript:ENSMUST00000085886.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smok3a description:sperm motility kinase 3A [Source:MGI Symbol;Acc:MGI:3693943] MGPGSQQKSEKLRSKSPLADMDGLHAQYVMLETIGHGGCATVKLAQHRLTGTHVAVKTIR KREYWCNRVISEVELLMMADHPNIISLLQVIETKKKVYLIMELCKGKSLYQHIRKAGYLQ EHEARALFKQLLSAMNYCHNQGIVHRDLKPDNIMVEKDGKVKIIDFGLGTKVKPGQKLNL FCGTYPFSAPEVLLSTPYDGPKIDVWTLGVVLYFMVTGKIPFDACSIKKLVKRILAGKYS IPSRLSAELQDLLSLLMTANPKLRPTVAEVMVHPWVTEGSGVFPDPCEEQTPLKPDPAIV KAMGHIGFQAQDIEDSLRQRKFNQTMASYCLLKKQILKECDRPTRARPVNPSVTPFPSLV DTATTRLGLRRRENEPTCPWSSANRQVSVCGKSTSKKRDRRVSWPSVLGRPRHTAPTMDH TRTRTRSVPCICSMFCTVQPNSSEESTQGHTRASAADKPVHSRGWPRGIKGWTRMIGNAM RKLCCCIPSKETSHLGQNRVSPKK >ENSMUSP00000045487.7 pep:known chromosome:GRCm38:6:65952609:65954014:1 gene:ENSMUSG00000036463.8 transcript:ENSMUST00000043382.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930544G11Rik description:RIKEN cDNA 4930544G11 gene [Source:MGI Symbol;Acc:MGI:1914903] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYVADIEVDGKQVELALWDT AGQEDYDRLRPLSYPDTDVLLLCFSIGNPDSFGNIPHKWIPEVKHFCPNVPIILVGSKKD LRNDFYTIQELAKRKQAPVRPEQGQGLANSIGAFEYVECSAKTKDGVRRVFEKATRAALQ TNRVKKKTGCFVF >ENSMUSP00000140834.1 pep:known chromosome:GRCm38:1:66924295:66935637:-1 gene:ENSMUSG00000061816.15 transcript:ENSMUST00000186202.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl1 description:myosin, light polypeptide 1 [Source:MGI Symbol;Acc:MGI:97269] MNAKKIEFEQFLPMMQAISNNKDQGGYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGEK MKEEEVEALLAGQEDSNGCINYEAFVKHIMSV >ENSMUSP00000027151.5 pep:known chromosome:GRCm38:1:66924295:66945143:-1 gene:ENSMUSG00000061816.15 transcript:ENSMUST00000027151.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl1 description:myosin, light polypeptide 1 [Source:MGI Symbol;Acc:MGI:97269] MAPKKDVKKPAAAPAPAPAPAPAPAKPKEEKIDLSAIKIEFSKEQQEDFKEAFLLFDRTG ECKITLSQVGDVLRALGTNPTNAEVKKVLGNPSNEEMNAKKIEFEQFLPMMQAISNNKDQ GGYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGEKMKEEEVEALLAGQEDSNGCINYEA FVKHIMSV >ENSMUSP00000112861.1 pep:known chromosome:GRCm38:1:66924298:66935354:-1 gene:ENSMUSG00000061816.15 transcript:ENSMUST00000119429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl1 description:myosin, light polypeptide 1 [Source:MGI Symbol;Acc:MGI:97269] MSFSADQIADFKEAFLLFDRTGECKITLSQVGDVLRALGTNPTNAEVKKVLGNPSNEEMN AKKIEFEQFLPMMQAISNNKDQGGYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGEKMK EEEVEALLAGQEDSNGCINYEAFVKHIMSV >ENSMUSP00000112880.1 pep:known chromosome:GRCm38:1:66926066:66935333:-1 gene:ENSMUSG00000061816.15 transcript:ENSMUST00000120415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl1 description:myosin, light polypeptide 1 [Source:MGI Symbol;Acc:MGI:97269] MSFSADQIADFKEAFLLFDRTGECKITLSQVGDVLRALGTNPTNAEVKKVLGNPSNEEMN AKKIEFEQFLPMMQAISNNKDQGGYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGEKMK EEEVEALLAGQEDSNGCINYEGTASLTSLRRDSFSTLFSSS >ENSMUSP00000125748.1 pep:known chromosome:GRCm38:1:66926622:66945384:-1 gene:ENSMUSG00000061816.15 transcript:ENSMUST00000160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl1 description:myosin, light polypeptide 1 [Source:MGI Symbol;Acc:MGI:97269] MAPKKDVKKPAAAPAPAPAPAPAPAKPKEEKIDLSAIKIEFSKEQQEDFKEAFLLFDRTG ECKITLSQVGDVLRALGTNPTNAEVKKVLGNPSNEEMNAKKIEFEQFLPMMQAISNNKDQ GGYE >ENSMUSP00000118151.1 pep:known chromosome:GRCm38:5:122206804:122233540:1 gene:ENSMUSG00000064267.13 transcript:ENSMUST00000145854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hvcn1 description:hydrogen voltage-gated channel 1 [Source:MGI Symbol;Acc:MGI:1921346] MTSHDPKAVTRRTKVAPTKRMSRFLKHFTVVGDDYHTWNVNYKKWEN >ENSMUSP00000072401.7 pep:known chromosome:GRCm38:5:122209729:122242297:1 gene:ENSMUSG00000064267.13 transcript:ENSMUST00000072602.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hvcn1 description:hydrogen voltage-gated channel 1 [Source:MGI Symbol;Acc:MGI:1921346] MTSHDPKAVTRRTKVAPTKRMSRFLKHFTVVGDDYHTWNVNYKKWENEEEEEEPAPTSAE GEGNAEGPDAEAGSASTPRQSLDFRSRLRKLFSSHRFQVIIICLVVLDALLVLAELLLDL KIIEPDEQDYAVTAFHYMSFAILVFFMLEIFFKIFVFRLEFFHHKFEILDAFVVVVSFVL DLVLLFKSHHFEALGLLILLRLWRVARIINGIIISVKTRSERQILRLKQINIQLATKIQH LEFSCSEKEQEIERLNKLLKQNGLLGDVN >ENSMUSP00000118013.1 pep:known chromosome:GRCm38:5:122209909:122238510:1 gene:ENSMUSG00000064267.13 transcript:ENSMUST00000143560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hvcn1 description:hydrogen voltage-gated channel 1 [Source:MGI Symbol;Acc:MGI:1921346] MTSHDPKAVTRRTKVAPTKRMSRFLKHFTVVGDDYHTWNVNYKKWENEEEEEEPAPTSAE GEGNAEGPDAEAGSASTPRQSLDFRSRLRKLFSSHRFQVIIICLVVLDALLVLAELLLDL KIIEPDEQDYAVTAFHYMSFAILVFFMLEIFFKIFVFRLEFFHHKFEI >ENSMUSP00000143483.1 pep:known chromosome:GRCm38:5:122210113:122237784:1 gene:ENSMUSG00000064267.13 transcript:ENSMUST00000196187.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hvcn1 description:hydrogen voltage-gated channel 1 [Source:MGI Symbol;Acc:MGI:1921346] MTSHDPKVIIICLVVLDALLVLAELLLDLKIIEPDEQDYAVT >ENSMUSP00000098312.2 pep:known chromosome:GRCm38:5:122210134:122242297:1 gene:ENSMUSG00000064267.13 transcript:ENSMUST00000100747.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hvcn1 description:hydrogen voltage-gated channel 1 [Source:MGI Symbol;Acc:MGI:1921346] MTSHDPKAVTRRTKVAPTKRMSRFLKHFTVVGDDYHTWNVNYKKWENEEEEEEPAPTSAE GEGNAEGPDAEAGSASTPRQSLDFRSRLRKLFSSHRFQVIIICLVVLDALLVLAELLLDL KIIEPDEQDYAVTAFHYMSFAILVFFMLEIFFKIFVFRLEFFHHKFEILDAFVVVVSFVL DLVLLFKSHHFEALGLLILLRLWRVARIINGIIISVKTRSERQILRLKQINIQLATKIQH LEFSCSEKEQEIERLNKLLKQNGLLGDVN >ENSMUSP00000098105.2 pep:known chromosome:GRCm38:5:138037224:138050635:1 gene:ENSMUSG00000079156.11 transcript:ENSMUST00000100537.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smok3b description:sperm motility kinase 3B [Source:MGI Symbol;Acc:MGI:3615348] MGPGSQQKSEKLRSKSPLADMDGLHAQYVMLETIGHGGCATVKLAQHRLTGTHVAVKTIR KREYWCNRVISEVELLMMADHPNIISLLQVIETKKKVYLIMELCKGKSLYQHIRKAGYLQ EHEARALFKQLLSAMNYCHNQGIVHRDLKPDNIMVEKDGKVKIIDFGLGTKVKPGQKLNL FCGTYPFSAPEVLLSTPYDGPKIDVWTLGVVLYFMVTGKIPFDACSIKKLVKRILAGKYS IPSRLSAELQDLLSLLMTANPKLRPTVAEVMVHPWVTEGSGVFPDPCEEQTPLKPDPAIV KAMGHIGFQAQDIEDSLRQRKFNQTMASYCLLKKQILKECDRPTRARPVNPSVTPFPSLV DTATTRLGLRRRENEPTCPWSSANRQVSVCGKSTSKKRDRRVSWPSVLGRPRHTAPTMDH TRTRTRSVPCICSMFCTVQPNSSEESTQGHTRASAADKPVHSRGWPRGIKGWTRMIGNAM RKLCCCIPSKETSHLGQNRVSPKK >ENSMUSP00000129450.2 pep:known chromosome:GRCm38:5:138021429:138050636:1 gene:ENSMUSG00000079156.11 transcript:ENSMUST00000166206.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smok3b description:sperm motility kinase 3B [Source:MGI Symbol;Acc:MGI:3615348] MGPGSQQKSEKLRSKSPLADMDGLHAQYVMLETIGHGGCATVKLAQHRLTGTHVAVKTIR KREYWCNRVISEVELLMMADHPNIISLLQVIETKKKVYLIMELCKGKSLYQHIRKAGYLQ EHEARALFKQLLSAMNYCHNQGIVHRDLKPDNIMVEKDGKVKIIDFGLGTKVKPGQKLNL FCGTYPFSAPEVLLSTPYDGPKIDVWTLGVVLYFMVTGKIPFDACSIKKLVKRILAGKYS IPSRLSAELQDLLSLLMTANPKLRPTVAEVMVHPWVTEGSGVFPDPCEEQTPLKPDPAIV KAMGHIGFQAQDIEDSLRQRKFNQTMASYCLLKKQILKECDRPTRARPVNPSVTPFPSLV DTATTRLGLRRRENEPTCPWSSANRQVSVCGKSTSKKRDRRVSWPSVLGRPRHTAPTMDH TRTRTRSVPCICSMFCTVQPNSSEESTQGHTRASAADKPVHSRGWPRGIKGWTRMIGNAM RKLCCCIPSKETSHLGQNRVSPKK >ENSMUSP00000031355.6 pep:known chromosome:GRCm38:5:92137938:92202795:1 gene:ENSMUSG00000029407.10 transcript:ENSMUST00000031355.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uso1 description:USO1 vesicle docking factor [Source:MGI Symbol;Acc:MGI:1929095] MNFLRGVMGGQSAGPQHTEAETIQKLCDRVASSTLLDDRRNAVRALKSLSKKYRLEVGIQ AMEHLIHVLQTDRSDSEIIAYALDTLYNIISNDEEEELEENSTRQSEDLGSQFTEIFIKQ PENVTLLLSLLEEFDFHVRWPGVRLLTSLLKQLGPPVQQIILVSPMGVSRLMDLLADSRE IIRNDGVLLLQALTRSNGAIQKIVAFENAFERLLDIITEEGNSDGGIVVEDCLILLQNLL KTNNSNQNFFKEGSYIQRMKPWFEVGEENSGWSAQKVTNLHLMLQLVRVLVSPTNPPGAT SSCQKAMFQCGLLQQLCTILMATGIPADILTETINTVSEVIRGCQVNQDYFASVNAPSNP PRPAIVVLLMSMVNERQPFVLRCAVLYCFQCFLYKNEKGQGEIVATLLPSTIDATGNSVS AGQLLCGGLFSTDSLSNWCAAVALAHALQGNATQKEQLLRVQLATSIGNPPVSLLQQCTN ILSQGSKIQTRVGLLMLLCTWLSNCPIAVTHFLHNSANVPFLTGQIAENLGEEEQLVQGL CALLLGISIYFNDNSLENYTKEKLKQLIEKRIGKENFIEKLGFISKHELYSRASQKPQPN FPSPEYMIFDHEFTKLVKELEGVITKAIYKSSEEDKKEEEVKKTLEQHDNIVTHYKNMIR EQDLQLEELKQQVSTLKCQNEQLQTAVTQQASQIQQHKDQYNLLKVQLGKDNHHQGSHGD GAQVNGIQPEEISRLREEIEELKSQQALLQGQLAEKDSLIENLKSSQASGMSEQASATCP PRDPEQVAELKQELTALKSQLCSQSLEITRLQTENCELLQRAETLAKSVPVEGESEHVSA AKTTDVEGRLSALLQETKELKNEIKALSEERTAIQKQLDSSNSTIAILQTEKDKLDLEVT DSKKEQDDLLVLLADQDQKILSLKSKLKDLGHPVEEEDESGDQEDDDDEIDDGDKDQDI >ENSMUSP00000144592.1 pep:known chromosome:GRCm38:5:92137946:92202798:1 gene:ENSMUSG00000029407.10 transcript:ENSMUST00000202155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uso1 description:USO1 vesicle docking factor [Source:MGI Symbol;Acc:MGI:1929095] MNFLRGVMGGQSAGPQHTEAETIQKLCDRVASSTLLDDRRNAVRALKSLSKKYRLEVGIQ AMEHLIHVLQTDRSDSEIIAYALDTLYNIISNDEEEELEENSTRQSEDLGSQFTEIFIKQ PENVTLLLSLLEEFDFHVRWPGVRLLTSLLKQLGPPVQQIILVSPMGVSRLMDLLADSRE IIRNDGVLLLQALTRSNGAIQKIVAFENAFERLLDIITEEGNSDGGIVVEDCLILLQNLL KTNNSNQNFFKEGSYIQRMKPWFEVGEENSGWSAQKVTNLHLMLQLVRVLVSPTNPPGAT SSCQKAMFQCGLLQQLCTILMATGIPADILTETINTVSEVIRGCQVNQDYFASVNAPSNP PRPAIVVLLMSMVNERQPFVLRCAVLYCFQCFLYKNEKGQGEIVATLLPSTIDATGNSVS AGQLLCGGLFSTDSLSNWCAAVALAHALQGNATQKEQLLRVQLATSIGNPPVSLLQQCTN ILSQGSKIQTRVGLLMLLCTWLSNCPIAVTHFLHNSANVPFLTGQIAENLGEEEQLVQGL CALLLGISIYFNDNSLENYTKEKLKQLIEKRIGKENFIEKLGFISKHELYSRASQKPQPN FPSPEYMIFDHEFTKLVKELEGVITKAIYKSSEEDKKEEEVKKTLEQHDNIVTHYKNMIR EQDLQLEELKQQVSTLKCQNEQLQTAVTQQASQIQQHKDQYNLLKVQLGKDNHHQGSHGD GAQVNGIQPEEISRLREEIEELKSQQALLQGQLAEKDSLIENLAKSVPVEGESEHVSAAK TTDVEGRLSALLQETKELKNEIKALSEERTAIQKQLDSSNSTIAILQTEKDKLDLEVTDS KKEQDDLLVLLADQDQKILSLKSKLKDLGHPVEEEDESGDQEDDDDEIDDGDKDQDI >ENSMUSP00000144165.1 pep:known chromosome:GRCm38:5:92137966:92202796:1 gene:ENSMUSG00000029407.10 transcript:ENSMUST00000201642.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uso1 description:USO1 vesicle docking factor [Source:MGI Symbol;Acc:MGI:1929095] MNFLRGVMGGQSAGPQHTEAETIQKLCDRVASSTLLDDRRNADRLQKISEKKSSWSKAYV PFFWAFQFISTITH >ENSMUSP00000138049.1 pep:known chromosome:GRCm38:1:191977370:191988283:1 gene:ENSMUSG00000049353.17 transcript:ENSMUST00000180463.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rd3 description:retinal degeneration 3 [Source:MGI Symbol;Acc:MGI:1921273] MSLIPWLRWNDTPPRLSARTPAEMVLETLMMELAGQMREVERQQRERRSAVRKICTGVDY SWLANTPRPTYDISPGERLQLEDVCAKIHPSYCGPAILRFRQLLAEREPEVQEVARLFRS VLQEALEKMKQEEEAHKLTRQWSLRPRGSLSSFKTRARIAPFASDIRTISEDVERDAPPP PRTWSMPEFRAPQAD >ENSMUSP00000137756.1 pep:known chromosome:GRCm38:1:191977373:191986392:1 gene:ENSMUSG00000049353.17 transcript:ENSMUST00000181512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rd3 description:retinal degeneration 3 [Source:MGI Symbol;Acc:MGI:1921273] MSLIPWLRWNDTPPRLSARTPAEMVLETLMMELAGQMREVERQQRERRSAVRKICTGVDY SWLANTPRPTYDISPGSGSCWLNESPRCRRWRGCSAPCCRRPWRR >ENSMUSP00000135650.1 pep:known chromosome:GRCm38:1:191978251:191986391:1 gene:ENSMUSG00000049353.17 transcript:ENSMUST00000175680.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rd3 description:retinal degeneration 3 [Source:MGI Symbol;Acc:MGI:1921273] MSLIPWLRWNDTPPRLSARTPAEMVLETLMMELAGQMREVERQQRERRSAVRKICTGVDY SWLANTPRPTYDISPGSGSCWLNESPRCRRWRGCSAPCCRRPWRR >ENSMUSP00000031281.9 pep:known chromosome:GRCm38:5:97882783:98030962:-1 gene:ENSMUSG00000029338.13 transcript:ENSMUST00000031281.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Antxr2 description:anthrax toxin receptor 2 [Source:MGI Symbol;Acc:MGI:1919164] MVAGRSRARSPGSWLFPGLWLLAVGGPGSLLQAQEQPSCKKAFDLYFVLDKSGSVANNWI EIYNFVHQLTERFVSPEMRLSFIVFSSQATIILPLTGDRYKIGKGLEDLKAVKPVGETYI HEGLKLANEQIQNAGGLKASSIIIALTDGKLDGLVPSYAENEAKKSRSLGASVYCVGVLD FEQAQLERIADSKDQVFPVKGGFQALKGIINSILAQSCTEILELSPSSVCVGEKFQVVLT GRAVTSISHDGSVLCTFTANSTYTKSEKPVSIQPSSILCPAPVLNKDGETLEVSISYNDG KSAVSRSLTITATECTNGIAAIVAILVLLLLLGAALMWWFWPLCCKVVIKDPPPPPSAPM EEEEEDPLPNKKWPTVDASYYGGRGVGGIKRMEVRWGDKGSTEEGARLEKAKNAVVMVPE EEIPIPSRPPRPRPTHQAPQTKWYTPIKGRLDALWALIMKQYDRVSLMRPQEGDEGRCIN FSRVPHQ >ENSMUSP00000142605.1 pep:known chromosome:GRCm38:5:97915373:98031043:-1 gene:ENSMUSG00000029338.13 transcript:ENSMUST00000199088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Antxr2 description:anthrax toxin receptor 2 [Source:MGI Symbol;Acc:MGI:1919164] MVAGRSRARSPGSWLFPGLWLLAVGGPGSLLQAQEQPSCKKAFDLYFVLDKSGSVANNWI EIYNFVHQLTERFVSPEMRLSFIVFSSQATIILPLTGDRYKIGKGLEDLKAVKPVGETYI HEGLKLANEQIQNAGGLKASSIIIALTDGKLDGLVPSYAENEAKKSRSLGASVYCVGVLD FEQAQLERIADSKDQVFPVKGGFQALKGIINSILAQSCTEILELSPSSVCVGEKFQVVLT GRAVTSISHDGSVLCTFTANSTYTKSEKPVSIQPSSILCPAPVLNKDGETLEVSISYNDG KSAVSRSLTITATECTNGIAAIVAILVLLLLLGAALMWWFWPLCCKVVIKDPPPPPSAPM EEEEEDPLPNKKWPTVDASYYGGRGVGGIKRMEVRWGDKGSTEEGARLEKAKNAVVMVPE EEIPIPSRPPRPRPTHQAPQTKWYTPIKGRLDALWALIMKQYDRVSLMRPQEGDEVRRNG KRDTPCLMRAKWSHAYQEQTLTIYCLWQRKHSSRPVR >ENSMUSP00000035065.7 pep:known chromosome:GRCm38:1:150100031:150108227:1 gene:ENSMUSG00000032487.8 transcript:ENSMUST00000035065.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgs2 description:prostaglandin-endoperoxide synthase 2 [Source:MGI Symbol;Acc:MGI:97798] MLFRAVLLCAALGLSQAANPCCSNPCQNRGECMSTGFDQYKCDCTRTGFYGENCTTPEFL TRIKLLLKPTPNTVHYILTHFKGVWNIVNNIPFLRSLIMKYVLTSRSYLIDSPPTYNVHY GYKSWEAFSNLSYYTRALPPVADDCPTPMGVKGNKELPDSKEVLEKVLLRREFIPDPQGS NMMFAFFAQHFTHQFFKTDHKRGPGFTRGLGHGVDLNHIYGETLDRQHKLRLFKDGKLKY QVIGGEVYPPTVKDTQVEMIYPPHIPENLQFAVGQEVFGLVPGLMMYATIWLREHNRVCD ILKQEHPEWGDEQLFQTSRLILIGETIKIVIEDYVQHLSGYHFKLKFDPELLFNQQFQYQ NRIASEFNTLYHWHPLLPDTFNIEDQEYSFKQFLYNNSILLEHGLTQFVESFTRQIAGRV AGGRNVPIAVQAVAKASIDQSREMKYQSLNEYRKRFSLKPYTSFEELTGEKEMAAELKAL YSDIDVMELYPALLVEKPRPDAIFGETMVELGAPFSLKGLMGNPICSPQYWKPSTFGGEV GFKIINTASIQSLICNNVKGCPFTSFNVQDPQPTKTATINASASHSRLDDINPTVLIKRR STEL >ENSMUSP00000139904.1 pep:known chromosome:GRCm38:1:150100332:150101326:1 gene:ENSMUSG00000032487.8 transcript:ENSMUST00000190784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgs2 description:prostaglandin-endoperoxide synthase 2 [Source:MGI Symbol;Acc:MGI:97798] MSTGFDQYKCDCTRTGFYGENCTTPEFLTRIKLLLKPTPNTVHYILTHFKGVWNIVNNIP FL >ENSMUSP00000024970.4 pep:known chromosome:GRCm38:17:88668660:88715152:1 gene:ENSMUSG00000024154.10 transcript:ENSMUST00000024970.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2a1l description:general transcription factor IIA, 1-like [Source:MGI Symbol;Acc:MGI:1919078] MAFINLVPKLYQSVIEDVIEGVRDLFAEEGIEEQVLKDLKKLWETKVLQSKATEDFFRNS TQVPLLTLQLPHALPPALQPEASLLIPAGRTLPSFTPEDLNTANCGANFAFAGYPIHVPA GMAFQTASGHLYKVNVPVMVTQTSGRTEILQHPFQQVLQQLGQPLVIQTTVPTLHPCSLQ AATEKSLRMEAVLQPPPILHPPPVDRTHVENAASDRRLLPGNELRPQESSPYLSLPGVGF PPQAALTESSLEPVLGVSASLTQNLHSDPFSQGPPGPLHHHLLESQLQSLKDSIYGCDST KQLRKAEEPSSLRVSEKNCTSERDLNIRVTDDDINEIIQIDGTGDNSSTEEMGSIRDADE NEFPGIIDAGDLNVLEEVDSVSNEDSTANSSDNEDHQINAPEEDPLNSGDDVSEQDVPDL FDTENVIVCQYDKIHRSKNRWKFYLKDGVMCFGGRDYVFAKAIGEAEW >ENSMUSP00000124286.1 pep:known chromosome:GRCm38:17:88668696:88694586:1 gene:ENSMUSG00000024154.10 transcript:ENSMUST00000161481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2a1l description:general transcription factor IIA, 1-like [Source:MGI Symbol;Acc:MGI:1919078] MAFINLVPKLYQSVIEDVIEGVRDLFAEEGIEEQVLKDLKKLWETKVLQSKATEDFFRNS TQVPLLTLQLPHALPPALQPEGHLYKVNVPVMVTQTSGRTEILQHPFQQVLQQLGQPLVI QTTVPTLHPCSLQAATEKSLRMEAVLQPPPILHPPPVDRTHVENAASDRRLLPGNELRPQ ESSPYLSLPGVGFPPQAALTESSLEPVLGVSASLTQNLHSDPFSQGPPGPLHHHLLESQL QSL >ENSMUSP00000145018.1 pep:known chromosome:GRCm38:14:31088132:31128893:-1 gene:ENSMUSG00000058351.10 transcript:ENSMUST00000203261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim4 description:small integral membrane protein 4 [Source:MGI Symbol;Acc:MGI:1913737] MFSRAQVRRALQRVPGKQRFGIYRFLPFFFVLGGAMEWIMIKVRVGQETFCFLPEMFKMK TTKHLSLDLANIPSKQRITDLCARSSTKVLSRCDVVLSYLST >ENSMUSP00000067418.5 pep:known chromosome:GRCm38:14:31088659:31128913:-1 gene:ENSMUSG00000058351.10 transcript:ENSMUST00000064032.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim4 description:small integral membrane protein 4 [Source:MGI Symbol;Acc:MGI:1913737] MFSRAQVRRALQRVPGKQRFGIYRFLPFFFVLGGAMEWIMIKVRVGQETFYDVYRRKASE RQYQRRLEDTSETNLHKLIKIPTPWQ >ENSMUSP00000050634.5 pep:known chromosome:GRCm38:14:31124505:31128838:-1 gene:ENSMUSG00000058351.10 transcript:ENSMUST00000049732.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim4 description:small integral membrane protein 4 [Source:MGI Symbol;Acc:MGI:1913737] MFSRAQVRRALQRVPGKQRFGIYRFLPFFFVLGGAMEWIMIKVRVGQETFYDVYRRKASE RQYQRRLEDTSETNLHKLIK >ENSMUSP00000087673.3 pep:known chromosome:GRCm38:14:31124802:31128930:-1 gene:ENSMUSG00000058351.10 transcript:ENSMUST00000090205.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim4 description:small integral membrane protein 4 [Source:MGI Symbol;Acc:MGI:1913737] MFSRAQVRRALQRVPGKQRFGIYRFLPFFFVLGGAMEWIMIKVRVGQETFFSGLVRWLSS >ENSMUSP00000106007.2 pep:known chromosome:GRCm38:8:46033261:46152159:-1 gene:ENSMUSG00000038291.16 transcript:ENSMUST00000110378.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx25 description:sorting nexin 25 [Source:MGI Symbol;Acc:MGI:2142610] MHRDATDSSGARRGPTSAADACRAARGSADRPRTADGPGWGWRGPAAVAALAVLALCCLV PGWGPAAGTGPGAVLLRLSLYLSCAAAALLLGTLVSLVCWSPRAQPPDFVSSWSRLAATV RCPLRSPVYGNPQETAQGRRVVISHNMDRVLRDVFDYSYRDYILSWYGNLSRDDGQLYHL LLDDFWEIVKQIRQRLSHVDVVKVVCNDIVKALLTHFCDLKAATARHEEQPRPFVLHACL KDSHDEVRFLQTCSQVLVLCLLPSKDIQSLSLRTMLAEILTTKVLKPVVELLSNPDYINQ MLLRQLEYREQMSEHHKRAYTYAPSYEDFIKLINSNSDVDFLKQLRYQIVVEIIQATTIS SFPQLKRHKGKESAAMKTDLLRARNMKRYINQLTVAKKQCEKRIRILGGPAYDQQEDGAS DEGEGPQSQKILQFEDIMTNPFYRERFGTYMERIDKRALVGFWESAEHLKNANKSEIPQL VSEMYQNFFVESKEISVEKSLYKEIQQCLVGNRGIEVFSKIQADVSEVLRERYYPSFLVS DLYEKLMREEEEEEPDAQLASEKDELGSGGEAGEEAVEGTSGVSDPASFAVIKLRELNEK LEYKRQALSSIQNAPKPDKKIISKLKDEILLIEKECTALQLHMARTDWWCENLGLWRASI TSAEVTEENGEQMPCYFVRVNLQEVGGVETKNWTVPRRLSEFQNLHRKLSECVPSLKKVQ LPSLSKLPFKSIDHKFLGKSRNQLNAFLQNLLSDERLFQSEALYAFLSPSPDYLKVIDVQ GKKTSFSLSSFLEKLPRDFFSHQEEEIEEDSDLSDYGDDVDGKKDSLAEPCFMLIGEIFE LRGMFKWVRRTLIALVQVTFGRTINKQIRDTVSWISSEQMLVYYISAFRDAFWPNGKLAP PTRIRSVAQSQETKQRAQQKLLENIPDTLQSLVGQQNARHGIIKIFKALQETKANKHLLY VLMELLLTELCPELRAHLDQFKAGQV >ENSMUSP00000035785.8 pep:known chromosome:GRCm38:8:46033266:46151705:-1 gene:ENSMUSG00000038291.16 transcript:ENSMUST00000041582.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx25 description:sorting nexin 25 [Source:MGI Symbol;Acc:MGI:2142610] MDRVLRDVFDYSYRDYILSWYGNLSRDDGQLYHLLLDDFWEIVKQIRQRLSHVDVVKVVC NDIVKALLTHFCDLKAATARHEEQPRPFVLHACLKDSHDEVRFLQTCSQVLVLCLLPSKD IQSLSLRTMLAEILTTKVLKPVVELLSNPDYINQMLLRQLEYREQMSEHHKRAYTYAPSY EDFIKLINSNSDVDFLKQLRYQIVVEIIQATTISSFPQLKRHKGKESAAMKTDLLRARNM KRYINQLTVAKKQCEKRIRILGGPAYDQQEDGASDEGEGPQSQKILQFEDIMTNPFYRER FGTYMERIDKRALVGFWESAEHLKNANKSEIPQLVSEMYQNFFVESKEISVEKSLYKEIQ QCLVGNRGIEVFSKIQADVSEVLRERYYPSFLVSDLYEKLMREEEEEEPDAQLASEKDEL GSGGEAGEEAVEGTSGVSDPASFAVIKLRELNEKLEYKRQALSSIQNAPKPDKKIISKLK DEILLIEKECTALQLHMARTDWWCENLGLWRASITSAEVTEENGEQMPCYFVRVNLQEVG GVETKNWTVPRRLSEFQNLHRKLSECVPSLKKVQLPSLSKLPFKSIDHKFLGKSRNQLNA FLQNLLSDERLFQSEALYAFLSPSPDYLKVIDVQGKKTSFSLSSFLEKLPRDFFSHQEEE IEEDSDLSDYGDDVDGKKDSLAEPCFMLIGEIFELRGMFKWVRRTLIALVQVTFGRTINK QIRDTVSWISSEQMLVYYISAFRDAFWPNGKLAPPTRIRSVAQSQETKQRAQQKLLENIP DTLQSLVGQQNARHGIIKIFKALQETKANKHLLYVLMELLLTELCPELRAHLDQFKAGQV >ENSMUSP00000134949.1 pep:known chromosome:GRCm38:8:46033453:46080284:-1 gene:ENSMUSG00000038291.16 transcript:ENSMUST00000177186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx25 description:sorting nexin 25 [Source:MGI Symbol;Acc:MGI:2142610] XVVEIIQATTISSFPQLKRHKGADGAAADRALP >ENSMUSP00000106006.2 pep:known chromosome:GRCm38:8:46056076:46124133:-1 gene:ENSMUSG00000038291.16 transcript:ENSMUST00000110377.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snx25 description:sorting nexin 25 [Source:MGI Symbol;Acc:MGI:2142610] MDRVLRDVFDYSYRDYILSWYGNLSRDDGQLYHLLLDDFWEIVKQIRQRLSHVDVVKVVC NDIVKALLTHFCDLKAATARHEEQPRPFVLHACLKDSHDEVRFLQTCSQVLVLCLLPSKD IQSLSLRTMLAEILTTKGTLTS >ENSMUSP00000127640.1 pep:known chromosome:GRCm38:8:46033261:46124146:-1 gene:ENSMUSG00000038291.16 transcript:ENSMUST00000170416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx25 description:sorting nexin 25 [Source:MGI Symbol;Acc:MGI:2142610] MDRVLRDVFDYSYRDYILSWYGNLSRDDGQLYHLLLDDFWEIVKQIRQRLSHVDVVKVVC NDIVKALLTHFCDLKAATARHEEQPRPFVLHACLKDSHDEVRFLQTCSQVLVLCLLPSKD IQSLSLRTMLAEILTTKVLKPVVELLSNPDYINQMLLRQLEYREQMSEHHKRAYTYAPSY EDFIKLINSNSDVDFLKQLRYQIVVEIIQATTISSFPQLKRHKGKESAAMKTDLLRARNM KRYINQLTVAKKQCEKRIRILGGPAYDQQEDGASDEGEGPQSQKILQFEDIMTNPFYRER FGTYMERIDKRALVGFWESAEHLKNANKSEIPQLVSEMYQNFFVESKEISVEKSLYKEIQ QCLVGNRGIEVFSKIQADVSEVLRERYYPSFLVSDLYEKLMREEEEEEPDAQLASEKDEL GSGGEAGEEAVEGTSGVSDPASFAVIKLRELNEKLEYKRQALSSIQNAPKPDKKIISKLK DEILLIEKECTALQLHMARTDWWCENLGLWRASITSAEVTEENGEQMPCYFVRVNLQEVG GVETKNWTVPRRLSEFQNLHRKLSECVPSLKKVQLPSLSKLPFKSIDHKFLGKSRNQLNA FLQNLLSDERLFQSEALYAFLSPSPDYLKVIDVQGKKTSFSLSSFLEKLPRDFFSHQEEE IEEDSDLSDYGDDVDGKKDSLAEPCFMLIGEIFELRGMFKWVRRTLIALVQVTFGRTINK QIRDTVSWISSEQMLVYYISAFRDAFWPNGKLAPPTRIRSVAQSQETKQRAQQKLLENIP DTLQSLVGQQNARHGIIKIFKALQETKANKHLLYVLMELLLTELCPELRAHLDQFKAGQV >ENSMUSP00000054313.4 pep:known chromosome:GRCm38:3:40531286:40654616:1 gene:ENSMUSG00000060798.7 transcript:ENSMUST00000061590.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Intu description:inturned planar cell polarity protein [Source:MGI Symbol;Acc:MGI:2443752] MFQGGGRTSIPKPAPRNLEDLDSVQRVLLHSDVEPEWLDSVQKNGELFYLELSEDEEESL LPETQTANHVNHVRFSDKEVIIEEDDSRERKKSEPKLRRFTKILKSKSLLPRRHHKKSSS NNGPVSILKHQSSQKTGVTVQQRYKDVTVYINPRKLTAIKAREQVKLLEVLVGIIHQTKR SWKRSAKQADGERLVVHGLLPGGSAMKSGQVLVGKCCSQLPSLFAEVHG >ENSMUSP00000088725.3 pep:known chromosome:GRCm38:3:40540767:40704774:1 gene:ENSMUSG00000060798.7 transcript:ENSMUST00000091186.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Intu description:inturned planar cell polarity protein [Source:MGI Symbol;Acc:MGI:2443752] MAGLARGDSRGRPPELPGDLSSQEEEEEEGDSDAGASSLGSYSSASSDTDVEPEWLDSVQ KNGELFYLELSEDEEESLLPETQTANHVNHVRFSDKEVIIEEDDSRERKKSEPKLRRFTK ILKSKSLLPRRHHKKSSSNNGPVSILKHQSSQKTGVTVQQRYKDVTVYINPRKLTAIKAR EQVKLLEVLVGIIHQTKRSWKRSAKQADGERLVVHGLLPGGSAMKSGQVLVGDVLVAVND VDVTSENIERVLSCIPGPMQVKLTFENAYAVKRETAQPQKKKAQSSTQDLVKLLCGSEAD AVQHSTLSIPHISMYLTLQLQSEAAREEQEILYHYPVSEASQKLKSVRGIFLTLCDMLES VTGTQVTSSSLHLNGKQIHVAYLKESDKLLLIGLPAEEVPLPQLRNMIEDVAQTLKFMYG SLDSAFCQVENAPRLDHFFSLFFERALRPGKLHLSGSPSAQQYAAASAVLLDNLPGVRWL VLPQELKVELDTALSDLEAADFEELSEDYYDMRRLYTILGSSLFYKGYMVCSHLPKDDVI EIAAYCRQHCLLPLAAKQRIGQLIIWREVFPRHHLQPPSDSDPEAFQEPEGRYFLLVVGL RHYLLCVLLEAGGCASKATGNPGPDCIYVDQVRATLHQLEGVDSRIEEQLATSPGPCLSC ADWFLAAPREKADSLTTSPILSRLQGPSKTAASPTCRRTFFSDYSFKARKPSPSRIGGGR EPTEGEESAGLSPHATPDAVRKQRESEGSDDNVALLKLARKKSTLPNPFHLGTSKKELSE KELEVYDIMKLTSGPENTLFHYVALETVQGIFITPTHEEVAQLGGSVHSQLIKNFHQCCL SIRAFFQQTLKEEKKKALSDGEHSEPTNSVSSLSPVKEHGVLFECSPENWTDQKKTPPVM SYWVVGRLFLNPKPQELYVCFHDSVSEIAIEMAFKLFFGLTL >ENSMUSP00000144877.1 pep:known chromosome:GRCm38:3:40672621:40692524:1 gene:ENSMUSG00000060798.7 transcript:ENSMUST00000204176.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Intu description:inturned planar cell polarity protein [Source:MGI Symbol;Acc:MGI:2443752] XPQKKKAQSSTQDLVKLLCGSEADAVQHSTLSIPHISMYLTLQLQSEAAREEQEILYHYP VSEASQKLKSVRGIFLTLCDMLESVTGTQVTSSSLHLNGKQIHVAYLKESDKLLLIGLPA EEVPLPQLRNMIEDVAQTLKFMYGSLDSAFCQVENAPRLDHFFSLFFERALRPGKLHLSG SPSAQQYAAASAVLLDNLPGVRWLVLPQELKVELDTALSDLEAADFEELSEDYYDMRRLY TILGSSLFYKRHYLLCVL >ENSMUSP00000021241.6 pep:known chromosome:GRCm38:11:95140447:95146263:-1 gene:ENSMUSG00000020871.8 transcript:ENSMUST00000021241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlx4 description:distal-less homeobox 4 [Source:MGI Symbol;Acc:MGI:94904] MTSLPCPLPDRGASNVVFPDLAPALSVVAAYPLGLSPGTAASPDLSYSQSYGHPRSYSHP GPATPGDSYLPRQQQLVAPSQPFHRPAEHPQELEAESEKLALSLVPSQQQSLTRKLRKPR TIYSSLQLQHLNQRFQHTQYLALPERAQLAAQLGLTQTQVKIWFQNKRSKYKKLLKQSSG EPEEDFSGRPPSLSPHSPALPFIWGLPKADTLPSSGYDNSHFGAWYQHRSPDVLALPQMM >ENSMUSP00000106920.1 pep:known chromosome:GRCm38:1:171340571:171345646:-1 gene:ENSMUSG00000013997.10 transcript:ENSMUST00000111289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nit1 description:nitrilase 1 [Source:MGI Symbol;Acc:MGI:1350916] MSSSTSWELPLVAVCQVTSTPNKQENFKTCAELVQEAARLGACLAFLPEAFDFIARNPAE TLLLSEPLNGDLLGQYSQLARECGIWLSLGGFHERGQDWEQNQKIYNCHVLLNSKGSVVA SYRKTHLCDVEIPGQGPMRESNYTKPGGTLEPPVKTPAGKVGLAICYDMRFPELSLKLAQ AGAEILTYPSAFGSVTGPAHWEVLLRARAIESQCYVIAAAQCGRHHETRASYGHSMVVDP WGTVVARCSEGPGLCLARIDLHFLQQMRQHLPVFQHRRPDLYGSLGHPLS >ENSMUSP00000106926.1 pep:known chromosome:GRCm38:1:171342244:171345645:-1 gene:ENSMUSG00000013997.10 transcript:ENSMUST00000111295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nit1 description:nitrilase 1 [Source:MGI Symbol;Acc:MGI:1350916] MLGFITRPPHQLLCTGYRLLRTPVLCTQPRPRTMSSSTSWELPLVAVCQVTSTPNKQENF KTCAELVQEAARLGACLAFLPEAFDFIARNPAETLLLSEPLNGDLLGQYSQLARECGIWL SLGGFHERGQDWEQNQKIYNCHVLLNSKGSVVASYRKTHLCDVEIPGQGPMRESNYTKPG GTLEPPVKTPAGKVGLAICYDMRFPELSLKLAQAGAEILTYPSAFGSVTGPAHWEVLLRA RAIESQCYVIAAAQCGRHHETRASYGHSMVVDPWGTVVARCSEGPGLCLARIDLHFLQQM RQHLPVFQHRRPDLYGSLGHPLS >ENSMUSP00000106927.1 pep:known chromosome:GRCm38:1:171338008:171345628:-1 gene:ENSMUSG00000013997.10 transcript:ENSMUST00000111296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nit1 description:nitrilase 1 [Source:MGI Symbol;Acc:MGI:1350916] MLGFITRPPHQLLCTGYRLLRTPVLCTQPRPRTMSSSTSWELPLVAVCQVTSTPNKQENF KTCAELVQEAARLGACLAFLPEAFDFIARNPAETLLLSEPLNGDLLGQYSQLARECGIWL SLGGFHERGQDWEQNQKIYNCHVLLNSKGSVVASYRKTHLCDVEIPGQGPMRESNYTKPG GTLEPPVKTPAGKVGLAICYDMRFPELSLKLAQAGAEILTYPSAFGSVTGPAHWELVSS >ENSMUSP00000119171.1 pep:known chromosome:GRCm38:1:171344148:171345645:-1 gene:ENSMUSG00000013997.10 transcript:ENSMUST00000148339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nit1 description:nitrilase 1 [Source:MGI Symbol;Acc:MGI:1350916] MSSSTSWELPLVAVCQVTSTPNKQENFKTCAELVQEAARLGACLAFLPEAFDFIARNPAE TLLLSEPLNGDLLGQYSQLARECGIWL >ENSMUSP00000116835.1 pep:known chromosome:GRCm38:1:171343777:171345625:-1 gene:ENSMUSG00000013997.10 transcript:ENSMUST00000156856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nit1 description:nitrilase 1 [Source:MGI Symbol;Acc:MGI:1350916] MSSSTSWELPLVAVCQVTSTPNKQENFKTCAELVQEAARLGACLAFLPEAFDFIARNPAE TLLLSEPLNGDLLGQYSQLARECGIWLSLGGFHERGQDWEQNQKIYNCHVLLNSKGSVVA SYRKTHLCDVEI >ENSMUSP00000026039.8 pep:known chromosome:GRCm38:X:85701937:85776819:-1 gene:ENSMUSG00000025059.16 transcript:ENSMUST00000026039.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gk description:glycerol kinase [Source:MGI Symbol;Acc:MGI:106594] MAAAKKAVLGPLVGAVDQGTSSTRFLVFNSKTAELLSHHQVEIKQEFPREGWVEQDPKEI LQSVYECIEKTCEKLGQLNIDISNIKAIGVSNQRETTVVWDKVTGEPLYNAVVWLDLRTQ STVENLSKRIPGNNNFVKSKTGLPLSTYFSAVKLRWLLDNVKKVQEAVEENRALFGTIDS WLIWSLTGGIHGGVHCTDVTNASRTMLFNIHSLEWDKELCEFFGIPMEILPNVRSSSEIY GLMKAGALEGVPISGCLGDQSAALVGQMCFQDGQAKNTYGTGCFLLCNTGHKCVFSEHGL LTTVAYKLGRDKPVYYALEGSVAIAGAVIRWLRDNLGIIKSSEEIEKLAKEVGTSYGCYF VPAFSGLYAPYWEPSARGIICGLTQFTNKCHIAFAALEAVCFQTREILDAMNRDCGIPLS HLQVDGGMTSNKILMQLQADILYIPVVKPSMPETTALGAAMAAGAAEGVGVWSLEPEDLS AVTMERFEPQINAEESEIRYSTWKKAVMKSIGWVTTQSPESGIP >ENSMUSP00000109611.2 pep:known chromosome:GRCm38:X:85701937:85776653:-1 gene:ENSMUSG00000025059.16 transcript:ENSMUST00000113978.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gk description:glycerol kinase [Source:MGI Symbol;Acc:MGI:106594] MAAAKKAVLGPLVGAVDQGTSSTRFLVFNSKTAELLSHHQVEIKQEFPREGWVEQDPKEI LQSVYECIEKTCEKLGQLNIDISNIKAIGVSNQRETTVVWDKVTGEPLYNAVVWLDLRTQ STVENLSKRIPGNNNFVKSKTGLPLSTYFSAVKLRWLLDNVKKVQEAVEENRALFGTIDS WLIWSLTGGIHGGVHCTDVTNASRTMLFNIHSLEWDKELCEFFGIPMEILPNVRSSSEIY GLMKISHSLKAGALEGVPISGCLGDQSAALVGQMCFQDGQAKNTYGTGCFLLCNTGHKCV FSEHGLLTTVAYKLGRDKPVYYALEGSVAIAGAVIRWLRDNLGIIKSSEEIEKLAKEVGT SYGCYFVPAFSGLYAPYWEPSARGIICGLTQFTNKCHIAFAALEAVCFQTREILDAMNRD CGIPLSHLQVDGGMTSNKILMQLQADILYIPVVKPSMPETTALGAAMAAGAAEGVGVWSL EPEDLSAVTMERFEPQINAEESEIRYSTWKKAVMKSIGWVTTQSPESGDPSIFCSLPLGF FIVSSMVMLIGARYISGIP >ENSMUSP00000119564.1 pep:known chromosome:GRCm38:X:85701937:85776637:-1 gene:ENSMUSG00000025059.16 transcript:ENSMUST00000156390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gk description:glycerol kinase [Source:MGI Symbol;Acc:MGI:106594] MAAAKKAVLGPLVGAVDQGTSSTRFLVFNSKTAELLSHHQVEIKQEFPREGWVEQDPKEI LQSVYECIEKTCEKLGQLNIDISNIKAIGVSNQRETTVVWDKVTGEPLYNAVVWLDLRTQ STVENLSKRIPGNNNFVKSKTGLPLSTYFSAVKLRWLLDNVKKVQEAVEENRALFGTIDS WLIWSLTGGIHGGVHCTDVTNASRTMLFNIHSLEWDKELCEFFGIPMEILPNVRSSSEIY GLMKAGALEGVPISGCLGDQSAALVGQMCFQDGQAKNTYGTGCFLLCNTGHKCVFSEHGL LTTVAYKLGRDKPVYYALEGSVAIAGAVIRWLRDNLGIIKSSEEIEKLAKEVGTSYGCYF VPAFSGLYAPYWEPSARGIICGLTQFTNKCHIAFAALEAVCFQTREILDAMNRDCGIPLS HLQVDGGMTSNKILMQLQADILYIPVVKPSMPETTALGAAMAAGAAEGVGVWSLEPEDLS AVTMERFEPQINAEESEIRYSTWKKAVMKSIGWVTTQSPESGDPSIFCSLPLGFFIVSSM VMLIGARYISGIP >ENSMUSP00000120754.1 pep:known chromosome:GRCm38:X:85701937:85776624:-1 gene:ENSMUSG00000025059.16 transcript:ENSMUST00000142152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gk description:glycerol kinase [Source:MGI Symbol;Acc:MGI:106594] MAAAKKAVLGPLVGAVDQGTSSTRFLVFNSKTAELLSHHQVEIKQEFPREGWVEQDPKEI LQSVYECIEKTCEKLGQLNIDISNIKAIGVSNQRETTVVWDKVTGEPLYNAVAAPVSPGS SVPVAVVPSGSSVPAAGASSVWLDLRTQSTVENLSKRIPGNNNFVKSKTGLPLSTYFSAV KLRWLLDNVKKVQEAVEENRALFGTIDSWLIWSLTGGIHGGVHCTDVTNASRTMLFNIHS LEWDKELCEFFGIPMEILPNVRSSSEIYGLMKAGALEGVPISGCLGDQSAALVGQMCFQD GQAKNTYGTGCFLLCNTGHKCVFSEHGLLTTVAYKLGRDKPVYYALEGSVAIAGAVIRWL RDNLGIIKSSEEIEKLAKEVGTSYGCYFVPAFSGLYAPYWEPSARGIICGLTQFTNKCHI AFAALEAVCFQTREILDAMNRDCGIPLSHLQVDGGMTSNKILMQLQADILYIPVVKPSMP ETTALGAAMAAGAAEGVGVWSLEPEDLSAVTMERFEPQINAEESEIRYSTWKKAVMKSIG WVTTQSPESGIP >ENSMUSP00000025083.7 pep:known chromosome:GRCm38:18:6201002:6241523:-1 gene:ENSMUSG00000006740.13 transcript:ENSMUST00000025083.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif5b description:kinesin family member 5B [Source:MGI Symbol;Acc:MGI:1098268] MADPAECNIKVMCRFRPLNESEVNRGDKYVAKFQGEDTVMIASKPYAFDRVFQSSTSQEQ VYNDCAKKIVKDVLEGYNGTIFAYGQTSSGKTHTMEGKLHDPEGMGIIPRIVQDIFNYIY SMDENLEFHIKVSYFEIYLDKIRDLLDVSKTNLSVHEDKNRVPYVKGCTERFVCSPDEVM DTIDEGKSNRHVAVTNMNEHSSRSHSIFLINVKQENTQTEQKLSGKLYLVDLAGSEKVSK TGAEGAVLDEAKNINKSLSALGNVISALAEGSTYVPYRDSKMTRILQDSLGGNCRTTIVI CCSPSSYNESETKSTLLFGQRAKTIKNTVCVNVELTAEQWKKKYEKEKEKNKTLRNTIQW LENELNRWRNGETVPIDEQFDKEKANLEAFTADKDIAITSDKPAAAVGMAGSFTDAERRK CEEELAKLYKQLDDKDEEINQQSQLVEKLKTQMLDQEELLASTRRDQDNMQAELNRLQAE NDASKEEVKEVLQALEELAVNYDQKSQEVEDKTKEYELLSDELNQKSATLASIDAELQKL KEMTNHQKKRAAEMMASLLKDLAEIGIAVGNNDVKQPEGTGMIDEEFTVARLYISKMKSE VKTMVKRCKQLESTQTESNKKMEENEKELAACQLRISQHEAKIKSLTEYLQNVEQKKRQL EESVDSLGEELVQLRAQEKVHEMEKEHLNKVQTANEVKQAVEQQIQSHRETHQKQISSLR DEVEAKEKLITDLQDQNQKMVLEQERLRVEHERLKATDQEKSRKLHELTVMQDRREQARQ DLKGLEETVAKELQTLHNLRKLFVQDLATRVKKSAEVDSDDTGGSAAQKQKISFLENNLE QLTKVHKQLVRDNADLRCELPKLEKRLRATAERVKALESALKEAKENASRDRKRYQQEVD RIKEAVRSKNMARRGHSAQIAKPIRPGQHPAASPTHPGTVRGGGSFVQNNQPVGLRGGGG KQS >ENSMUSP00000130750.1 pep:known chromosome:GRCm38:18:6214569:6241470:-1 gene:ENSMUSG00000006740.13 transcript:ENSMUST00000163210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif5b description:kinesin family member 5B [Source:MGI Symbol;Acc:MGI:1098268] MADPAECNIKVMCRFRPLNESEVNRGDKYVAKFQGEDTVMIASKPYAFDRVFQSSTSQEQ VYNDCAKKIVKDVLEGYNGTIFAYGQTSSGKTHTMEGKLHDPEGMGIIPRIVQDIFNYIY SMDENLEFHIKVSYFEIYLDKIRDLLDVSKTNLSVHEDKNRVPYVKGCTERFVCSPDEVM DTIDEGKSNRHVAVTNMNEHSSRSHSIFLINVKQENTQTEQKLSGKLYLVDLAGSEKVSK TGAEGAVLDEAKNINKSLSALGNVISALAEGSTYVPYRDSKMTRILQDSLGGNCRTTIVI CCSPSSYNESETKSTLLFGQRAKTIKNTVCVNVELTAEQWKKKYEKEKEKNKTLRNTIQW LENELNRWRNGETVPIDEQFDKEKANLEAFTADKDIAITSDKPAAAVGMAGSFTDAERRK CEEELAKLYKQLDDKDEEINQQSQLVEKLKTQMLDQEELLASTRRDQDNMQAELNRLQAE NDASKEEVKEVLQALEELAVNYDQKSQEVEDKTKEYELLSDELNQKSATLASIDAELQKL KEMTNHQKKRAAEMMASLLKDLAEIGIAVGNNDVKQPEGTGMIDEEFTVARLYISKMKSE VKTMVKRCKQLESTQTESN >ENSMUSP00000136435.1 pep:known chromosome:GRCm38:7:63916857:63920539:1 gene:ENSMUSG00000095061.1 transcript:ENSMUST00000177638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E030018B13Rik description:RIKEN cDNA E030018B13 gene [Source:MGI Symbol;Acc:MGI:2686543] MEARDADRGDLKQGSDMTDSVFSTHAGCAGAHEAEGYPAEWSCITSVSGDGSQAQQSTCS TDEVYPFGHTRSQCAQGFSQDEGQTKKEQKGVRKHWPHTSTVHTQPSNHPELP >ENSMUSP00000067680.7 pep:known chromosome:GRCm38:7:63886351:63938915:-1 gene:ENSMUSG00000052040.10 transcript:ENSMUST00000063694.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf13 description:Kruppel-like factor 13 [Source:MGI Symbol;Acc:MGI:1354948] MAAAAYVDHFAAECLVSMSSRAVVHEPREGPEPRPEGAAAAAPTLPRVDERRDGKDSASL FVVARILADLNQQAPAPAPAERREGAAARKARTPCRLPPAPPAPPPGPEPASPGQAGAPA APPSPAWSEPEAALEQEPGPAGSGEPGLRQRGRRGRSRADLESPQRKHKCHYAGCEKVYG KSSHLKAHLRTHTGERPFACSWQECNKKFARSDELARHYRTHTGEKKFSCPICEKRFMRS DHLTKHARRHANFHPGMLQRRGGGSRTGSLSDYSRSDASSPTISPASSP >ENSMUSP00000140381.1 pep:known chromosome:GRCm38:7:63891383:63936301:-1 gene:ENSMUSG00000052040.10 transcript:ENSMUST00000185175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf13 description:Kruppel-like factor 13 [Source:MGI Symbol;Acc:MGI:1354948] MRSDHLTKHARRHANFHPGMLQRRGGGSRTGSLSDYSRSDASSPTISPASSP >ENSMUSP00000139049.2 pep:known chromosome:GRCm38:7:63891400:63924870:-1 gene:ENSMUSG00000052040.10 transcript:ENSMUST00000183817.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf13 description:Kruppel-like factor 13 [Source:MGI Symbol;Acc:MGI:1354948] MSRFSSRFPWPPVLPGSLLRQVTLYILAPTKSLVRGLSPAAGRSATRSSHARTSWHGTIA RTRARRSSAAPSVRSASCGATT >ENSMUSP00000041747.6 pep:known chromosome:GRCm38:2:152337422:152344032:-1 gene:ENSMUSG00000032715.9 transcript:ENSMUST00000040312.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trib3 description:tribbles homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1345675] MRATPLAASADVSCRKKPLEFDDNIDAKCPVLKRVRDEPEPGPLPSLLPPSPPPASDLSP AVAPATRLGPYILLEREQGSCSYRALHCPTGTEYTCKVYPASEAQAVLAPYARLPTHQHV ARPTEVLLGSRLLYIFFTKTHGDLHSLVRSRRGIPESEAAGLFRQMASAVAHCHKHGLVL RDLKLRRFVFSNCERTKLVLENLEDACVMTGSDDSLWDKHACPAYVGPEILSSRPSYSGK AADVWSLGVALFTMLAGRYPFHDSEPVLLFGKIRRGTFALPEGLSAPARCLIRCLLRKEP SERLVALGILLHPWLREDHGRVSPPQSDRREMDQVVPDGPQLEEAEEGEVGLYG >ENSMUSP00000105706.2 pep:known chromosome:GRCm38:2:139833480:140066805:-1 gene:ENSMUSG00000039033.11 transcript:ENSMUST00000110079.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tasp1 description:taspase, threonine aspartase 1 [Source:MGI Symbol;Acc:MGI:1923062] MIMEKGMNSGEGLPSRSSQASAAKVTVKELETQQPCKEKRGGFVLVHAGAGYHSESKAKE YKHVCKRACQKAIEKLQAGALATDAVAAALVELEDSPFTNAGIGSNLNLLGEIECDASIM DGKSLNFGAVGALSGIKNPVSVAHRLLCEGQKGKLSAGRIPPCFLVGEGAYRWAVDHGIP SCPPSTMTTRFSLAAFKRNKRKLELAERVETDFIQLKRRRQSSAKENDSGTLDTVGAVVV DHEGNVAAAVSSGGLALKHPGRVGQAALYGCGCWAENTGAQNPYSTAVSTSGCGEHLVRT ILARECSHALQAEDAHQALLETMQNKFISSPFLACEDGVLGGVIVLRSCRCSSESDSSQD KQTLLVEFLWSHTTESMCVGYMSAQDGKAKTHISRLPPGAVAGQSVAIEGGVCRLESPVN >ENSMUSP00000039546.2 pep:known chromosome:GRCm38:2:139833480:140066760:-1 gene:ENSMUSG00000039033.11 transcript:ENSMUST00000046656.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tasp1 description:taspase, threonine aspartase 1 [Source:MGI Symbol;Acc:MGI:1923062] MIMEKGMNSGEGLPSRSSQASAAKVTVKELETQQPCKEKRGGFVLVHAGAGYHSESKAKE YKHVCKRACQKAIEKLQAGALATDAVAAALVELEDSPFTNAGIGSNLNLLGEIECDASIM DGKSLNFGAVGALSGIKNPVSVAHRLLCEGQKGKLSAGRIPPCFLVGEGAYRWAVDHGIP SCPPSTMTTRFSLAAFKRNKRKLELAERVETDFIQLKRRRQSSAKENDSGTLDTVGAVVV DHEGNVAAAVSSGGLALKHPGRVGQAALYGCGCWAENTGAQNPYSTAVSTSGCGEHLVRT ILARECSHALQAEDAHQALLETMQNKFISSPFLACEDGVLGGVIVLRSCRCSSESDSSQD KQTLLVEFLWSHTTESMCVGYMSAQDGKAKTHISRLPPGAVAGQSVAIEGGVCRLESPVN >ENSMUSP00000096907.3 pep:known chromosome:GRCm38:2:139833480:140066785:-1 gene:ENSMUSG00000039033.11 transcript:ENSMUST00000099304.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tasp1 description:taspase, threonine aspartase 1 [Source:MGI Symbol;Acc:MGI:1923062] MIMEKGMNSGEGLPSRSSQASAAKVTVKELETQQPCKEKRGGFVLVHAGAGYHSESKAKE YKHVCKRACQKAIEKLQAGALATDAVAAALVELEDSPFTNAGIGSNLNLLGEIECDASIM DGKSLNFGAVGALSGIKNPVSVAHRLLCEGQKGKLSAGRIPPCFLVGEGAYRWAVDHGIP SCPPSTMTTRFSLAAFKRNKRKLELAERVETDFIQLKRRRQSSAKENDSGTLDTVGAVVV DHEGNVAAAVSSGGLALKHPGRVGQAALYGCGCWAENTGAQNPYSTAVSTSGSPFLACED GVLGGVIVLRSCRCSSESDSSQDKQTLLVEFLWSHTTESMCVGYMSAQDGKAKTHISRLP PGAVAGQSVAIEGGVCRLESPVN >ENSMUSP00000107367.3 pep:known chromosome:GRCm38:5:122237848:122264460:-1 gene:ENSMUSG00000038593.18 transcript:ENSMUST00000111738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tctn1 description:tectonic family member 1 [Source:MGI Symbol;Acc:MGI:3603820] MGSRGLPPLLLVLLNCYTSSSTQVIAIPAAATPAVTKEDLNSTKATPTTLQPSLSPRTPG TPRAPERSGPRPTPVTDVAALCVCDLLPAQCDVNCCCDPDCSPADFSIFSACSVPVVTGD RQFCSQKAAFYSMNLTADPPHRDFKLIDQINPSVFCIHISNYKPALSFANPEVPDENNFD RLMQTSGGFTLSAESAVPSTAASDGPQPTKYEYGAPLQTAGASSGSFLKLPSPLTSSLCA DQNPAAFLVSQAFECSRRVDIEQCEGMEALSMAHYSSPAILRVPNSMTQVSIKIQSVMYR SLNHTLTQLEGHGVLRPSLVSTGQDRLCSNVVLQVKYSLLYTATGQIHEAGLSLVLGTLS SAVSLLQQKFEIHFIQHGTKPVPLSGNPGYRVGLPLAAGFQPQKGSGIIQTTNRQGQFTI LRSTSQQDCLASEGLRTPVLFGYNVQSGCQLRLTGTIPCGLLAQKVQDLLRGQAFPDYVA AFGNSRAQDVQDWVPVHFVTYSSNMKGSCQLPVALAIEVKWTKYGSLLNPQARIVNVTAQ LVSVPEPLPGPERTVVISTAVTFVDVSAPAEAGFRAPPTINARLPFSFFFPFV >ENSMUSP00000114820.1 pep:known chromosome:GRCm38:5:122241287:122264460:-1 gene:ENSMUSG00000038593.18 transcript:ENSMUST00000141281.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tctn1 description:tectonic family member 1 [Source:MGI Symbol;Acc:MGI:3603820] MGSRGLPPLLLVLLNCYTSSSTQVIAIPAAATPAVTKEDLNSTKATPTTLQPSLSPRTPG TPRAPERSGPRPTPVTDVAALCVCDLLPAQCDVNCCCDPDCSPADFSIFSACSVPVVTGD RQFCSQKAAFYSMNLTADPPHRDFKLIDQINPSVFCIHISNYKPALSFANPEVPDENNFD RLMQTSGGFTLSAESAVPSTAASDGPQPTKYEYGAPLQTAGASSGSFLKLPSPLTSSLCA DQNPAAFLVSQAFECSRRVDIEQCEGMEALSMAHYSSPAILRVPNSMTQVSIKIQSVMYR SLNHTLTQLEGHGVLRPSLVSTGQDRLCSNVVLQVKYSLLYTATGQIHEAGLSLVLGTLS SAVSLLQQKFEIHFIQPVNIWDYSDNEQTRPIYYSS >ENSMUSP00000034866.8 pep:known chromosome:GRCm38:9:55454508:55512243:-1 gene:ENSMUSG00000032314.14 transcript:ENSMUST00000034866.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etfa description:electron transferring flavoprotein, alpha polypeptide [Source:MGI Symbol;Acc:MGI:106092] MFRAAAPGQLRRAASLLRFQSTLVIAEHANDSLAPITLNTITAAGRLGGEVSCLVAGTKC DKVVQDLCKVAGVAKVLVAQHDAYKGLLPEELTPLILETQKQFSYTHICAGASAFGKNLL PRVAAKLNVAPVSDIIEIKSPDTFVRTIYAGNALCTVKCDEKVKVFSVRGTSFEAAATSG GSASSEKAPSSSSVGISEWLDQKLTKSDRPELTGAKVVVSGGRGLKSGENFKLLYDLADQ LHAAVGASRAAVDAGFVPNDMQVGQTGKIVAPELYIAVGISGAIQHLAGMKDSKTIVAIN KDPEAPIFQVADYGIVADLFKVVPEMTEILKKK >ENSMUSP00000028162.3 pep:known chromosome:GRCm38:2:32395896:32405772:1 gene:ENSMUSG00000026820.5 transcript:ENSMUST00000028162.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptges2 description:prostaglandin E synthase 2 [Source:MGI Symbol;Acc:MGI:1917592] MAQAARLSWVLVSSRCALTEGLLTRPWQPLSAQSRAGFTRVAAGSRGAAVRKGSPRLLGA AALALGGALGLYHTVRWHQRSQDLRAERSAAQLPLSNSLQLTLYQYKTCPFCSKVRAFLD FHSLPYQVVEVNPVRRTEIKFSSYRKVPILVAQEGDSLQQLNDSSVIISALKTYLVSGQP LEEVITYYPPMKAMNDQGKEVTEFCNKYWLMLDEKEAQQMYGGKEARTEEMKWRQWADDW LVHLISPNVYRTPAEALASFDYIVREGKFGAVEAAMAKYVGAAAMYLISKRLKSRHHLQD DVRVDLYEAANKWVTAVGKDRPFMGGQKPNLADLAVYGVLRVMEGLEAFDDLMRHSHIQP WYLRMERAIEEAPSVHHVNPSCKD >ENSMUSP00000141638.1 pep:known chromosome:GRCm38:2:32396440:32401023:1 gene:ENSMUSG00000026820.5 transcript:ENSMUST00000123714.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptges2 description:prostaglandin E synthase 2 [Source:MGI Symbol;Acc:MGI:1917592] RLLGAAALALGGALGLYHTVRWHQRSQDLRAERSAAQESAHLGGPGRRQLATAQ >ENSMUSP00000027249.6 pep:known chromosome:GRCm38:1:38863867:38898010:-1 gene:ENSMUSG00000026080.13 transcript:ENSMUST00000027249.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst10 description:carbohydrate sulfotransferase 10 [Source:MGI Symbol;Acc:MGI:2138283] MENVNPSGRIIEWPLSDNMHHQWLLLAACFWVIFMFMVASKFITLTFKDPDGYSAKQEFV FLTTMPEAEKLRGEKHFPEVPKPTGKMLSDSRPDQPPVYLERLELIRNTCKEEALRNLSH TEVSKFVLDRIFVCDKHKILFCQTPKVGNTQWKKVLIVLNGAFSSIEEIPENVVHDHEKN GLPRLSSFSKIGIQKRLKTYFKFFIVRDPFERLISAFKDKFVHNPRFEPWYRHEIAPGII RKYRKNRTETRGIQFEDFVRYLGDPNRRWLDLQFGDHIIHWVTYVELCAPCEIKYSVVGH HETLEADAPYILKEAGIDHLVSYPTIPPGITMYNRTKVEQYFLGISKRDIRRLYARFEGD FKLFGYQKPDFLLN >ENSMUSP00000142028.1 pep:known chromosome:GRCm38:1:38863867:38898089:-1 gene:ENSMUSG00000026080.13 transcript:ENSMUST00000193441.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst10 description:carbohydrate sulfotransferase 10 [Source:MGI Symbol;Acc:MGI:2138283] MENVNPSGRIIEWPLSDNMHHQWLLLAACFWVIFMFMVASKFITLTFKDPDGYSAKQEFV FLTTMPEAEKLRGEKHFPEVPKPTGKMLSDSRPDQPPVYLERLELIRNTCKEEALRNLSH TEVSKFVLDRIFVCDKHKILFCQTPKVGNTQWKKVLIVLNGAFSSIEEIPENVVHDHEKN GLPRLSSFSKIGIQKR >ENSMUSP00000141470.1 pep:known chromosome:GRCm38:1:38863875:38871760:-1 gene:ENSMUSG00000026080.13 transcript:ENSMUST00000192948.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chst10 description:carbohydrate sulfotransferase 10 [Source:MGI Symbol;Acc:MGI:2138283] NTCKEEALRNLSHTEVSKFVLDRIFVCDKHKILFCQTPKVGNTQWKKVLIVLNGAFSSIE EIPENVVHDHEKNGLPRLSSFSKIGIQKRLKTYFKFFIVRDPFERLISAFKDKFVHNPRF EPWYRHEIAPGIIRKYRKNRTETRGIQFEDFVRYLGDPNRRWLDLQFGDHIIHWVTYVEL CAPCEIKYSVVGHHETLEADAPYILKEAGIDHLVSYPTIPPGITMYNRTKCEQQGHFHLS PE >ENSMUSP00000141295.1 pep:known chromosome:GRCm38:1:38863877:38898161:-1 gene:ENSMUSG00000026080.13 transcript:ENSMUST00000194361.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst10 description:carbohydrate sulfotransferase 10 [Source:MGI Symbol;Acc:MGI:2138283] MYEGRIIEWPLSDNMHHQWLLLAACFWVIFMFMVASKFITLTFKDPDGYSAKQEFVFLTT MPEAEKLRGEKHFPEVPKPTGKMLSDSRPDQPPVYLERLELIRNTCKEEALRNLSHTEVS KFVLDRIFVCDKHKILFCQTPKVGNTQWKKVLIVLNGAFSSIEEIPENVVHDHEKNGLPR LSSFSKIGIQKRLKTYFKFFIVRDPFERLISAFKDKFVHNPRFEPWYRHEIAPGIIRKYR KNRTETRGIQFEDFVRYLGDPNRRWLDLQFGDHIIHWVTYVELCAPCEIKYSVVGHHETL EADAPYILKEAGIDHLVSYPTIPPGITMYNRTKVEQYFLGISKRDIRRLYARFEGDFKLF GYQKPDFLLN >ENSMUSP00000141481.1 pep:known chromosome:GRCm38:1:38870143:38898153:-1 gene:ENSMUSG00000026080.13 transcript:ENSMUST00000194657.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chst10 description:carbohydrate sulfotransferase 10 [Source:MGI Symbol;Acc:MGI:2138283] MENVNPSGRIIEWPLSDNMHHQWLLLAACFWVIFMFMVASKFITLTFKDPDGAFKEYLPS GLWLRQCHCAGHGCDVA >ENSMUSP00000141604.1 pep:known chromosome:GRCm38:1:38873949:38898134:-1 gene:ENSMUSG00000026080.13 transcript:ENSMUST00000193435.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst10 description:carbohydrate sulfotransferase 10 [Source:MGI Symbol;Acc:MGI:2138283] MHHQWLLLAACFWVIFMFMVASKFITLTFKDPDGYSAKQEFVFLTTMPEAEKLRGEKHFP EVPK >ENSMUSP00000143939.1 pep:known chromosome:GRCm38:5:76209868:76304792:-1 gene:ENSMUSG00000029238.11 transcript:ENSMUST00000202651.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clock description:circadian locomotor output cycles kaput [Source:MGI Symbol;Acc:MGI:99698] MVFTVSCSKMSSIVDRDDSSIFDGLVEEDDKDKAKRVSRNKSEKKRRDQFNVLIKELGSM LPGNARKMDKSTVLQKSIDFLRKHKETTAQSDASEIRQDWKPTFLSNEEFTQLMLEALDG FFLAIMTDGSIIYVSESVTSLLEHLPSDLVDQSIFNFIPEGEHSEVYKILSTHLLESDSL TPEYLKSKNQLEFCCHMLRGTIDPKEPSTYEYVRFIGNFKSLTSVSTSTHNGFEGTIQRT HRPSYEDRVCFVATVRLATPQFIKEMCTVEEPNEEFTSRHSLEWKFLFLDHRAPPIIGYL PFEVLGTSGYDYYHVDDLENLAKCHEHLMQYGKGKSCYYRFLTKGQQWIWLQTHYYITYH QWNSRPEFIVCTHTVVSYAEVRAERRRELGIEESLPETAADKSQDSGSDNRINTVSLKEA LERFDHSPTPSASSRSSRKSSHTAVSDPSSTPTKIPTDTSTPPRQHLPAHEKMTQRRSSF SSQSINSQSVGPSLTQPAMSQAANLPIPQGMSQFQFSAQLGAMQHLKDQLEQRTRMIEAN IHRQQEELRKIQEQLQMVHGQGLQMFLQQSNPGLNFGSVQLSSGNSNIQQLTPVNMQGQV VPANQVQSGHISTGQHMIQQQTLQSTSTQQSQQSVMSGHSQQTSLPSQTPSTLTAPLYNT MVISQPAAGSMVQIPSSMPQNSTQSATVTTFTQDRQIRFSQGQQLVTKLVTAPVACGAVM VPSTMLMGQVVTAYPTFATQQQQAQTLSVTQQQQQQQQQPPQQQQQQQQSSQEQQLPSVQ QPAQAQLGQPPQQFLQTSRLLHGNPSTQLILSAAFPLQQSTFPPSHHQQHQPQQQQQLPR HRTDSLTDPSKVQPQ >ENSMUSP00000074656.1 pep:known chromosome:GRCm38:5:76212177:76304548:-1 gene:ENSMUSG00000029238.11 transcript:ENSMUST00000075159.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clock description:circadian locomotor output cycles kaput [Source:MGI Symbol;Acc:MGI:99698] MVFTVSCSKMSSIVDRDDSSIFDGLVEEDDKDKAKRVSRNKSEKKRRDQFNVLIKELGSM LPGNARKMDKSTVLQKSIDFLRKHKETTAQSDASEIRQDWKPTFLSNEEFTQLMLEALDG FFLAIMTDGSIIYVSESVTSLLEHLPSDLVDQSIFNFIPEGEHSEVYKILSTHLLESDSL TPEYLKSKNQLEFCCHMLRGTIDPKEPSTYEYVRFIGNFKSLTSVSTSTHNGFEGTIQRT HRPSYEDRVCFVATVRLATPQFIKEMCTVEEPNEEFTSRHSLEWKFLFLDHRAPPIIGYL PFEVLGTSGYDYYHVDDLENLAKCHEHLMQYGKGKSCYYRFLTKGQQWIWLQTHYYITYH QWNSRPEFIVCTHTVVSYAEVRAERRRELGIEESLPETAADKSQDSGSDNRINTVSLKEA LERFDHSPTPSASSRSSRKSSHTAVSDPSSTPTKIPTDTSTPPRQHLPAHEKMTQRRSSF SSQSINSQSVGPSLTQPAMSQAANLPIPQGMSQFQFSAQLGAMQHLKDQLEQRTRMIEAN IHRQQEELRKIQEQLQMVHGQGLQMFLQQSNPGLNFGSVQLSSGNSNIQQLTPVNMQGQV VPANQVQSGHISTGQHMIQQQTLQSTSTQQSQQSVMSGHSQQTSLPSQTPSTLTAPLYNT MVISQPAAGSMVQIPSSMPQNSTQSATVTTFTQDRQIRFSQGQQLVTKLVTAPVACGAVM VPSTMLMGQVVTAYPTFATQQQQAQTLSVTQQQQQQQQQPPQQQQQQQQSSQEQQLPSVQ QPAQAQLGQPPQQFLQTSRLLHGNPSTQLILSAAFPLQQSTFPPSHHQQHQPQQQQQLPR HRTDSLTDPSKVQPQ >ENSMUSP00000144022.1 pep:known chromosome:GRCm38:5:76213853:76274012:-1 gene:ENSMUSG00000029238.11 transcript:ENSMUST00000202122.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clock description:circadian locomotor output cycles kaput [Source:MGI Symbol;Acc:MGI:99698] MVFTVSCSKMSSIVDRDDSSIFDGLVEEDDKDKAKRVSRNKSEKKRRDQFNVLIKELGSM LPGNARKMDKSTVLQKSIDFLRKHKETTAQSDASEIRQDWKPTFLSNEEFTQLMLEALDG FFLAIMTDGSIIYVSESVTSLLEHLPSDLVDQSIFNFIPEGEHSEVYKILSTHLLESDSL TPEYLKSKNQLEFCCHMLRGTIDPKEPSTYEYVRFIGNFKSLTSVSTSTHNGFEGTIQRT HRPSYEDRVCFVATVRLATPQFIKEMCTVEEPNEEFTSRHSLEWKFLFLDHRAPPIIGYL PFEVLGTSGYDYYHVDDLENLAKCHEHLMQYGKGKSCYYRFLTKGQQWIWLQTHYYITYH QWNSRPEFIVCTHTVVSYAEVRAERRRELGIEESLPETAADKSQDSGSDNRINTVSLKEA LERFDHSPTPSASSRSSRKSSHTAVSDPSSTPTKIPTDTSTPPRQHLPAHEKMTQRRSSF SSQSINSQSVGPSLTQPAMSQAANLPIPQGMSQFQFSAQLGAMQHLKDQLEQRTRMIEAN IHRQQEELRKIQEQLQMVHGQGLQMFLQQSNPGLNFGSVQLSSGNSNIQQLTPVNMQGQV VPANQVQSGHISTGQHMIQQQTLQSTSTQSQQSVMSGHSQQTSLPSQTPSTLTAPLYNTM VISQPAAGSMVQIPSSMPQNSTQSATVTTFTQDRQIRFSQGQQLVTKLVTAPVACGAVMV PSTMLMGQVVTAYPTFATQQQQAQTLSVTQQQQQQQQQPPQQQQQQQQSSQEQQLPSVQQ PAQAQLGQPPQQFLQTSRLLHGNPSTQLILSAAFPLQQSTFPPSHHQQHQPQQQQQLPRH RTDSLTDPSKVQPQ >ENSMUSP00000028233.3 pep:known chromosome:GRCm38:2:34983331:35061438:-1 gene:ENSMUSG00000026874.10 transcript:ENSMUST00000028233.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hc description:hemolytic complement [Source:MGI Symbol;Acc:MGI:96031] MGLWGILCLLIFLDKTWGQEQTYVISAPKILRVGSSENVVIQVHGYTEAFDATLSLKSYP DKKVTFSSGYVNLSPENKFQNAALLTLQPNQVPREESPVSHVYLEVVSKHFSKSKKIPIT YNNGILFIHTDKPVYTPDQSVKIRVYSLGDDLKPAKRETVLTFIDPEGSEVDIVEENDYT GIISFPDFKIPSNPKYGVWTIKANYKKDFTTTGTAYFEIKEYVLPRFSVSIELERTFIGY KNFKNFEITVKARYFYNKVVPDAEVYAFFGLREDIKDEEKQMMHKATQAAKLVDGVAQIS FDSETAVKELSYNSLEDLNNKYLYIAVTVTESSGGFSEEAEIPGVKYVLSPYTLNLVATP LFVKPGIPFSIKAQVKDSLEQAVGGVPVTLMAQTVDVNQETSDLETKRSITHDTDGVAVF VLNLPSNVTVLKFEIRTDDPELPEENQASKEYEAVAYSSLSQSYIYIAWTENYKPMLVGE YLNIMVTPKSPYIDKITHYNYLILSKGKIVQYGTREKLFSSTYQNINIPVTQNMVPSARL LVYYIVTGEQTAELVADAVWINIEEKCGNQLQVHLSPDEYVYSPGQTVSLDMVTEADSWV ALSAVDRAVYKVQGNAKRAMQRVFQALDEKSDLGCGAGGGHDNADVFHLAGLTFLTNANA DDSHYRDDSCKEILRSKRNLHLLRQKIEEQAAKYKHSVPKKCCYDGARVNFYETCEERVA RVTIGPLCIRAFNECCTIANKIRKESPHKPVQLGRIHIKTLLPVMKADIRSYFPESWLWE IHRVPKRKQLQVTLPDSLTTWEIQGIGISDNGICVADTLKAKVFKEVFLEMNIPYSVVRG EQIQLKGTVYNYMTSGTKFCVKMSAVEGICTSGSSAASLHTSRPSRCVFQRIEGSSSHLV TFTLLPLEIGLHSINFSLETSFGKDILVKTLRVVPEGVKRESYAGVILDPKGIRGIVNRR KEFPYRIPLDLVPKTKVERILSVKGLLVGEFLSTVLSKEGINILTHLPKGSAEAELMSIA PVFYVFHYLEAGNHWNIFYPDTLSKRQSLEKKIKQGVVSVMSYRNADYSYSMWKGASAST WLTAFALRVLGQVAKYVKQDENSICNSLLWLVEKCQLENGSFKENSQYLPIKLQGTLPAE AQEKTLYLTAFSVIGIRKAVDICPTMKIHTALDKADSFLLENTLPSKSTFTLAIVAYALS LGDRTHPRFRLIVSALRKEAFVKGDPPIYRYWRDTLKRPDSSVPSSGTAGMVETTAYALL ASLKLKDMNYANPIIKWLSEEQRYGGGFYSTQDTINAIEGLTEYSLLLKQIHLDMDINVA YKHEGDFHKYKVTEKHFLGRPVEVSLNDDLVVSTGYSSGLATVYVKTVVHKISVSEEFCS FYLKIDTQDIEASSHFRLSDSGFKRIIACASYKPSKEESTSGSSHAVMDISLPTGIGANE EDLRALVEGVDQLLTDYQIKDGHVILQLNSIPSRDFLCVRFRIFELFQVGFLNPATFTVY EYHRPDKQCTMIYSISDTRLQKVCEGAACTCVEADCAQLQAEVDLAISADSRKEKACKPE TAYAYKVRITSATEENVFVKYTATLLVTYKTGEAADENSEVTFIKKMSCTNANLVKGKQY LIMGKEVLQIKHNFSFKYIYPLDSSTWIEYWPTDTTCPSCQAFVENLNNFAEDLFLNSCE >ENSMUSP00000144345.1 pep:known chromosome:GRCm38:2:34983703:35019645:-1 gene:ENSMUSG00000026874.10 transcript:ENSMUST00000156412.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hc description:hemolytic complement [Source:MGI Symbol;Acc:MGI:96031] XSAASLHTSRPSRCVFQRIEGSSSHLVTFTLLPLEIGLHSINFSLETSFGKDILVKTLRV VAEVDLAISADSRKEKACKPETAYAYKVRITSATEENVFVKYTATLLVTYKTGEAADENS EVTFIKKMSCTNANLVKGKQYLIMGKEVLQIKHNFSFKYIYPLDSSTWIEYWPTDTTCPS CQAFVENLNNFAE >ENSMUSP00000080518.3 pep:known chromosome:GRCm38:X:134756595:134761425:1 gene:ENSMUSG00000049047.11 transcript:ENSMUST00000081834.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx3 description:armadillo repeat containing, X-linked 3 [Source:MGI Symbol;Acc:MGI:1918953] MGYARKVGWVTAGLVIGAGACYCIYRLTRGRKQNKEKMAEGGSGDVDDAGDCSGARYNDW SDDDDDSNESKSIVWYPPWARIGTEAGTRARARARARATRARRAVQKRASPNSDDTVLSP QELQKVLCLVEMSEKPYILEAALIALGNNAAYAFNRDIIRDLGGLPIVAKILNTRDPIVK EKALIVLNNLSVNAENQRRLKVYMNQVCDDTVTSRLNSSVQLAGLRLLTNMTVTNEYQHI LANSISDFFRLFSAGNEETKLQVLKLLLNLAENPAMTRELLRAQVPSSLGSLFNKKEYKE VILKLLIIFENINDNFKWEENEPAQNHFSEGSLFFFLKEFQVCADKVLGIESRHDFQVRV KVGKFVAKLTERMFPKSQE >ENSMUSP00000084093.4 pep:known chromosome:GRCm38:X:134756607:134760106:1 gene:ENSMUSG00000049047.11 transcript:ENSMUST00000086880.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx3 description:armadillo repeat containing, X-linked 3 [Source:MGI Symbol;Acc:MGI:1918953] MGYARKVGWVTAGLVIGAGACYCIYRLTRGRKQNKEKMAEGGSGDVDDAGDCSGARYNDW SDDDDDSNESKSIVWYPPWARIGTEAGTRARARARARATRARRAVQKRASPNSDDTVLSP QELQKVLCLVEMSEKPYILEAALIALGNNAAYAFNRDIIRDLGGLPIVAKILNTRDPIVK EKALIVLNNLSVNAENQRRLKVYMNQVCDDTVTSRLNSSVQLAGLRLLTNMTVTNEYQHI LANSISDFFRLFSAGNEETKLQVLKLLLNLAENPAMTRELLRAQVPSSLGSLFNKKEYKE VILKLLIIFENINDNFKWEENEPAQNHFSEGSLFFFLKEFQVCADKVLGIESRHDFQVRV KVGKFVAKLTERMFPKSQE >ENSMUSP00000084097.4 pep:known chromosome:GRCm38:X:134756647:134761455:1 gene:ENSMUSG00000049047.11 transcript:ENSMUST00000086884.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx3 description:armadillo repeat containing, X-linked 3 [Source:MGI Symbol;Acc:MGI:1918953] MGYARKVGWVTAGLVIGAGACYCIYRLTRGRKQNKEKMAEGGSGDVDDAGDCSGARYNDW SDDDDDSNESKSIVWYPPWARIGTEAGTRARARARARATRARRAVQKRASPNSDDTVLSP QELQKVLCLVEMSEKPYILEAALIALGNNAAYAFNRDIIRDLGGLPIVAKILNTRDPIVK EKALIVLNNLSVNAENQRRLKVYMNQVCDDTVTSRLNSSVQLAGLRLLTNMTVTNEYQHI LANSISDFFRLFSAGNEETKLQVLKLLLNLAENPAMTRELLRAQVPSSLGSLFNKKEYKE VILKLLIIFENINDNFKWEENEPAQNHFSEGSLFFFLKEFQVCADKVLGIESRHDFQVRV KVGKFVAKLTERMFPKSQE >ENSMUSP00000139309.1 pep:known chromosome:GRCm38:7:48959097:49606821:1 gene:ENSMUSG00000052512.16 transcript:ENSMUST00000183659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav2 description:neuron navigator 2 [Source:MGI Symbol;Acc:MGI:2183691] MESISESSQQQRRKPVTHGLEDQKRIYTDWANHYLAKSGHKRLIKDLQQDVTDGVLLAQI IQVVANDKIEDINGCPKNRSQMIENIDACLNFLAAKGINTQGLSAEEIRNGNLKAILGLF FSLSRYKQQQQQQQQQPEKQPLSSSPLPPAGSQVAGAPSQCQAGTPQHQGLATPQAPCQL LQPVSHQQGKTQVEMQSRLPGPTARVAAAGSEAKTRGGSAAANNRRSQSFNNYDKSKPVT SPPPPAPPSNHEKEPLASSASSHPGMSENVPAPLENSPSVPVNCSSSAIPQPSMTSKPWR SKSLSVKHTATSAMLSVKPAGPEAPRPTPEAMKPAPNNQKSMLEKLKLFNSKGGSKAGEG SASRDTSCERLEILPSFEETEELEATANRALSTVGPASSSPKIALKGIAQRTFSRALTNK KSSPKGNEKEKEKQQREKEKEKEKEKGKDLTKRVSVTDRPDLKEETKADLSGVAVTEMPK KSSKIASFIPKGGKLNSTKKEATAPSHSGIPKPGMKNVSAKSPSAPIPPKEGERSRGKLS SGLPPQKAQLDSRHSSSSSSLASSEGKGPGGTSLNPSISSQTVSGSVGTTQTTGSNTVSV QLPQPQQQYNHPNTATVAPFLYRSQTDTEGNVTAESSSAGVSMEPSHYTKSGQPALEELT EDPEARRLRTVKNIADLRQNLEETMSSLRGTQVTHSTLETTFDTNVTTEISGRSILSLTG RPTPLSWRLGQSSPRLQAGDAPSMGNGYPPRANASRFISAEAGRYVYSAPLRRQLASRGS SICHVDVSDKADDDVDLEGISMDAPGYMSDGDVLSKNIRSDDITSGYMTDGGLGLYTRRL NRLPDGMAVVRETLQRNTSLGLGDADSWDDSSSVSSGISDTIDNLSTDDINTSSSISSYA NTPASSRRNLDVQTDAEKHSQVERNSLWSGDDIKKSDGGSDSGVKMEPGSKWRRNPSDMS DESDKSVSGKKNPVLSQTGSWRRGMTAEVGITMPRTKPSAPTGTLKTPGTGKTDDAKVSE KGRLSPKASQVKRSPSDAGRSSGDESKKTLPSSSRTPTVNANSFGFKKQSGSAAGLAMIT ASGATVTSRSATLGKIPKSSALVGRPTGRKTSMDGAPNQDDGYLSLSSRTNLQYRSLPRP SKSNSRNGAGNRCSTSSIDSNMSSKSAGLPVPKLREPSKASLGSSLPGLVNQTDKEKGIS SDSESVASCNSVKVNPATQPVSSSAQATLQPGTKYADVASPTLRRLFGGKPTKPIATAET MKSAVVISNPHATLTQQGNLESPSGSGVLSSGSSSPLYSKNVDLNQSPLASSPSSAHSGP SNSLTWGTSSSAVSKDGLGFQSVSSLHTSCESIDISLGSGGGLSHNSSPGPVASTKEDSL MPFVRTSSVKTTLSESPLSSPAASPKFCRSTLPRKQDSDPHLDRNTLPKKGLRYTPTSQL RTQEDAKEWFRSHSAGGLQDTATNSPFSSGSSVTSPSGTRFNFSQLASPTAVTQMSLSNP TMLRTHSLSNADGQYDPYTDSRFRNSSMSLDEKSRTMSRSGSFRDGFEEVHGSSLSLVSS TSSIYSTPEEKCQSEIRKLRRELDASQEKVSALTTQLTANAHLVAAFEQSLGNMTIRLQS LTMTAEQKDSELNELRKTIELLKKQNAAAQAAINGVINTPELNCKGNGSAQATDLRIRRQ HSSDSVSSINSATSHSSVGSNIESDSKKKKRKNWVNELRSSFKQAFGKKKSPKSASSHSD IEEMTDSSLPSSPKLPHNGSTGSTPLLRNAHSNSLISECMDSEAETVMQLRNELRDKEMK LTDIRLEALSSAHQLDQLREAMNRMQSEIEKLKAENDRLKSESQGSGCSRAPSQVSISAS PRQSLGLSQHSLNLTESTSLDMLLDDTGECSARKEGGRHVKIVVSFQEAMKWKEDSRPHL FLIGCIGVSGKTKWDVLDGVVRRLFKEYIVHVDPVSQLGLSSDSVLGYSIGEIKRSNASE TPELLPCGYLVGENTTILVTVKGLTENSLDSLVFESLIPKPILQRYVSLLTEHRRIILSG PSGTGKTYLANRLSEYVVLREGRELTDGVIATFNVDHKSSKELRQYLSNLADQCNSENNA VDMPLVIILDNLHHVSSLGEIFNGLLNCKYHKCPYIIGTMNQATSSTPNLQLHHNFRWVL CANHTEPVKGFLGRFLRRKLMETEISGRVRNAELVKIINWIPKVWHHLNRFLEAHSSSDV TIGPRLFLSCPIDVDGSRVWFTDLWNYSIIPYLLEAVREGLQLYGRRAPWEDPAKWVMDT YPWAASPQQHEWPPLLQLRPEDVGFDGYSLPREGSTSKQVPPSDTEGDPLMNMLMRLQEA ANYSSPQSYDSDSNSNSHHDDILDSSLESTL >ENSMUSP00000139045.1 pep:known chromosome:GRCm38:7:49246844:49610090:1 gene:ENSMUSG00000052512.16 transcript:ENSMUST00000184945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav2 description:neuron navigator 2 [Source:MGI Symbol;Acc:MGI:2183691] MPAILVASKMKSGLPKPVHSAAPILHVPPARTGPQPCYLKLGSKVEVSKTAYTSQIPLKS QGLQEPTGEGLPLLKSSSLENGFDTQIYTDWANHYLAKSGHKRLIKDLQQDVTDGVLLAQ IIQVVANDKIEDINGCPKNRSQMIENIDACLNFLAAKGINTQGLSAEEIRNGNLKAILGL FFSLSRYKQQQQQQQQQPEKQPLSSSPLPPAGSQVAGAPSQCQAGTPQHQGLATPQAPCQ LLQPVSHQQGKTQVEMQSRLPGPTARVAAAGSEAKTRGGSAAANNRRSQSFNNYDKSKPV TSPPPPAPPSNHEKEPLASSASSHPGMSENVPAPLENSPSVPVNCSSSAIPQPSMTSKPW RSKSLSVKHTATSAMLSVKPAGPEAPRPTPEAMKPAPNNQKSMLEKLKLFNSKGGSKAGE GSASRDTSCERLEILPSFEETEELEATANRALSTVGPASSSPKIALKGIAQRTFSRALTN KKSSPKGNEKEKEKQQREKEKEKEKEKGKDLTKRVSVTDRPDLKEETKADLSGVAVTEMP KKSSKIASFIPKGGKLNSTKKEATAPSHSGIPKPGMKNVSAKSPSAPIPPKEGERSRGKL SSGLPPQKAQLDSRHSSSSSSLASSEGKGPGGTSLNPSISSQTVSGSVGTTQTTGSNTVS VQLPQPQQQYNHPNTATVAPFLYRSQTDTEGNVTAESSSAGVSMEPSHYTKSGQPALEEL TEDPEARRLRTVKNIADLRQNLEETMSSLRGTQVTHSTLETTFDTNVTTEISGRSILSLT GRPTPLSWRLGQSSPRLQAGDAPSMGNGYPPRANASRFISAEAGRYVYSAPLRRQLASRG SSICHVDVSDKADDDVDLEGISMDAPGYMSDGDVLSKNIRSDDITSGYMTDGGLGLYTRR LNRLPDGMAVVRETLQRNTSLGLGDADSWDDSSSVSSGISDTIDNLSTDDINTSSSISSY ANTPASSRRNLDVQTDAEKHSQVERNSLWSGDDIKKSDGGSDSGVKMEPGSKWRRNPSDM SDESDKSVSGKKNPVLSQTGSWRRGMTAEVGITMPRTKPSAPTGTLKTPGTGKTDDAKVS EKGRLSPKASQVKRSPSDAGRSSGDESKKTLPSSSRTPTVNANSFGFKKQSGSAAGLAMI TASGATVTSRSATLGKIPKSSALVGRPTGRKTSMDGAPNQDDGYLSLSSRTNLQYRSLPR PSKSNSRNGAGNRCSTSSIDSNMSSKSAGLPVPKLREPSKASLGSSLPGLVNQTDKEKGI SSDSESVASCNSVKVNPATQPVSSSAQATLQPGTKYADVASPTLRRLFGGKPTKPIATAE TMKSAVVISNPHATLTQQGNLESPSGSGVLSSGSSSPLYSKNVDLNQSPLASSPSSAHSG PSNSLTWGTSSSAVSKDGLGFQSVSSLHTSCESIDISLGSGGGLSHNSSPGPVASTKEDS LMPFVRTSSVKTTLSESPLSSPAASPKFCRSTLPRKQDSDPHLDRNTLPKKGLRYTPTSQ LRTQEDAKEWFRSHSAGGLQDTATNSPFSSGSSVTSPSGTRFNFSQLASPTAVTQMSLSN PTMLRTHSLSNADGQYDPYTDSRFRNSSMSLDEKSRTMSRSGSFRDGFEEVHGSSLSLVS STSSIYSTPEEKCQSEIRKLRRELDASQEKVSALTTQLTANAHLVAAFEQSLGNMTIRLQ SLTMTAEQKDSELNELRKTIELLKKQNAAAQAAINGVINTPELNCKGNGSAQATDLRIRR QHSSDSVSSINSATSHSSVGSNIESDSKKKKRKNWVNELRSSFKQAFGKKKSPKSASSHS DIEEMTDSSLPSSPKLPHNGSTGSTPLLRNAHSNSLISECMDSEAETVMQLRNELRDKEM KLTDIRLEALSSAHQLDQLREAMNRMQSEIEKLKAENDRLKSESQGSGCSRAPSQVSISA SPRQSLGLSQHSLNLTESTSLDMLLDDTGECSARKEGGRHVKIVVSFQEAMKWKEDSRPH LFLIGCIGVSGKTKWDVLDGVVRRLFKEYIVHVDPVSQLGLSSDSVLGYSIGEIKRSNAS ETPELLPCGYLVGENTTILVTVKGLTENSLDSLVFESLIPKPILQRYVSLLTEHRRIILS GPSGTGKTYLANRLSEYVVLREGRELTDGVIATFNVDHKSSKELRQYLSNLADQCNSENN AVDMPLVIILDNLHHVSSLGEIFNGLLNCKYHKCPYIIGTMNQATSSTPNLQLHHNFRWV LCANHTEPVKGFLGRFLRRKLMETEISGRVRNAELVKIINWIPKVWHHLNRFLEAHSSSD VTIGPRLFLSCPIDVDGSRVWFTDLWNYSIIPYLLEAVREGLQLYGRRAPWEDPAKWVMD TYPWAASPQQHEWPPLLQLRPEDVGFDGYSLPREGSTSKQVPPSDTEGDPLMNMLMRLQE AANYSSPQSYDSDSNSNSHHDDILDSSLESTL >ENSMUSP00000139312.1 pep:known chromosome:GRCm38:7:49246845:49494000:1 gene:ENSMUSG00000052512.16 transcript:ENSMUST00000184124.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nav2 description:neuron navigator 2 [Source:MGI Symbol;Acc:MGI:2183691] MPAILVASKMKSGLPKPVHSAAPILHVPPARTGPQPCYLKLGSKVEVSKTAYTSQIPLKS QGLQEPTGEGLPLLKSSSLENGFDTQQMTRLKTSMAVQRTDRK >ENSMUSP00000138846.1 pep:known chromosome:GRCm38:7:49548192:49556996:1 gene:ENSMUSG00000052512.16 transcript:ENSMUST00000184109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav2 description:neuron navigator 2 [Source:MGI Symbol;Acc:MGI:2183691] RTNLQYRSLPRPSKSNSRNGAGNRCSTSSIDSNMSSKSAGLPVPKLREPSKASLGSSLPG LVNQTDKEKGISSDSESVASCNSVKVNPATQPVSSSAQATLQPGTKYADVASPTLRRLFG GKPTKPIATAETMKSAVVISNPHATLTQQGNLESPSGSGVLSSGSSSPLYSKNVDLNQSP LASSPSSAHSGPSNSLTWGTSSSAVSKDGLGFQSVSSLHTSCESIDISLGSGGGLSHNSS PGPVASTKEDSLMPFVRTSSVKTTLSERYTPTSQLRTQEDAKEWFRSHSAGGLQDTA >ENSMUSP00000067448.6 pep:known chromosome:GRCm38:7:49246189:49610087:1 gene:ENSMUSG00000052512.16 transcript:ENSMUST00000064395.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav2 description:neuron navigator 2 [Source:MGI Symbol;Acc:MGI:2183691] MPAILVASKMKSGLPKPVHSAAPILHVPPARTGPQPCYLKLGSKVEVSKTAYTSQIPLKS QGLQEPTGEGLPLLKSSSLENGFDTQIYTDWANHYLAKSGHKRLIKDLQQDVTDGVLLAQ IIQVVANDKIEDINGCPKNRSQMIENIDACLNFLAAKGINTQGLSAEEIRNGNLKAILGL FFSLSRYKQQQQQQQQQPEKQPLSSSPLPPAGSQVAGAPSQCQAGTPQHQGLATPQAPCQ LLQPVSHQQGKTQVEMQSRLPGPTARVAAAGSEAKTRGGSAAANNRRSQSFNNYDKSKPV TSPPPPAPPSNHEKEPLASSASSHPGMSENVPAPLENSPSVPVNCSSSAIPQPSMTSKPW RSKSLSVKHTATSAMLSVKPAGPEAPRPTPEAMKPAPNNQKSMLEKLKLFNSKGGSKAGE GSASRDTSCERLEILPSFEETEELEATANRALSTVGPASSSPKIALKGIAQRTFSRALTN KKSSPKGNEKEKEKQQREKEKEKEKEKGKDLTKRVSVTDRPDLKEETKADLSGVAVTEMP KKSSKIASFIPKGGKLNSTKKEATAPSHSGIPKPGMKNVSAKSPSAPIPPKEGERSRGKL SSGLPPQKAQLDSRHSSSSSSLASSEGKGPGGTSLNPSISSQTVSGSVGTTQTTGSNTVS VQLPQPQQQYNHPNTATVAPFLYRSQTDTEGNVTAESSSAGVSMEPSHYTKSGQPALEEL TEDPEARRLRTVKNIADLRQNLEETMSSLRGTQVTHSTLETTFDTNVTTEISGRSILSLT GRPTPLSWRLGQSSPRLQAGDAPSMGNGYPPRANASRFISAEAGRYVYSAPLRRQLASRG SSICHVDVSDKADDDVDLEGISMDAPGYMSDGDVLSKNIRSDDITSGYMTDGGLGLYTRR LNRLPDGMAVVRETLQRNTSLGLGDADSWDDSSSVSSGISDTIDNLSTDDINTSSSISSY ANTPASSRRNLDVQTDAEKHSQVERNSLWSGDDIKKSDGGSDSGVKMEPGSKWRRNPSDM SDESDKSVSGKKNPVLSQTGSWRRGMTAEVGITMPRTKPSAPTGTLKTPGTGKTDDAKVS EKGRLSPKASQVKRSPSDAGRSSGDESKKTLPSSSRTPTVNANSFGFKKQSGSAAGLAMI TASGATVTSRSATLGKIPKSSALVGRPTGRKTSMDGAPNQDDGYLSLSSRTNLQYRSLPR PSKSNSRNGAGNRCSTSSIDSNMSSKSAGLPVPKLREPSKASLGSSLPGLVNQTDKEKGI SSDSESVASCNSVKVNPATQPVSSSAQATLQPGTKYADVASPTLRRLFGGKPTKPIATAE TMKSAVVISNPHATLTQQGNLESPSGSGVLSSGSSSPLYSKNVDLNQSPLASSPSSAHSG PSNSLTWGTSSSAVSKDGLGFQSVSSLHTSCESIDISLGSGGGLSHNSSPGPVASTKEDS LMPFVRTSSVKTTLSESPLSSPAASPKFCRSTLPRKQDSDPHLDRNTLPKKGLRYTPTSQ LRTQEDAKEWFRSHSAGGLQDTATNSPFSSGSSVTSPSGTRFNFSQLASPTAVTQMSLSN PTMLRTHSLSNADGQYDPYTDSRFRNSSMSLDEKSRTMSRSGSFRDGFEEVHGSSLSLVS STSSIYSTPEEKCQSEIRKLRRELDASQEKVSALTTQLTANAHLVAAFEQSLGNMTIRLQ SLTMTAEQKDSELNELRKTIELLKKQNAAAQAAINGVINTPELNCKGNGSAQATDLRIRR QHSSDSVSSINSATSHSSVGSNIESDSKKKKRKNWVSQLRSSFKQAFGKKKSPKSASSHS DIEEMTDSSLPSSPKLPHNGSTGSTPLLRNAHSNSLISECMDSEAETVMQLRNELRDKEM KLTDIRLEALSSAHQLDQLREAMNRMQSEIEKLKAENDRLKSESQGSGCSRAPSQVSISA SPRQSLGLSQHSLNLTESTSLDMLLDDTGECSARKEGGRHVKIVVSFQEAMKWKEDSRPH LFLIGCIGVSGKTKWDVLDGVVRRLFKEYIVHVDPVSQLGLSSDSVLGYSIGEIKRSNAS ETPELLPCGYLVGENTTILVTVKGLTENSLDSLVFESLIPKPILQRYVSLLTEHRRIILS GPSGTGKTYLANRLSEYVVLREGRELTDGVIATFNVDHKSSKELRQYLSNLADQCNSENN AVDMPLVIILDNLHHVSSLGEIFNGLLNCKYHKCPYIIGTMNQATSSTPNLQLHHNFRWV LCANHTEPVKGFLGRFLRRKLMETEISGRVRNAELVKIINWIPKVWHHLNRFLEAHSSSD VTIGPRLFLSCPIDVDGSRVWFTDLWNYSIIPYLLEAVREGLQLYGRRAPWEDPAKWVMD TYPWAASPQQHEWPPLLQLRPEDVGFDGYSLPREGSTSKQVPPSDTEGDPLMNMLMRLQE AANYSSPQSYDSDSNSNSHHDDILDSSLESTL >ENSMUSP00000107004.1 pep:known chromosome:GRCm38:2:91137360:91179315:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000111373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSVP ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGAQKLLRPNSLKLASDSDAES DSRASSPNSTVSNNSTEGFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLK GNRRALVDQKSSVIKHSPTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMK KVRRLLESEQLRVFVLSKLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLSLEQ SYAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPKAMA QLRVPQLGPRAPSATGKGPKELDTRSLKEENFVASVGPEGIKPVFDLGETEEKKSQMSAD SGVSLTSASQRTDQDSVIGVSPAVMIRSSSQDSEVSTVSNSSGETLGADSDLSSNAGDGP GGEGSAHLASSRATLSDSEIETNSATSAIFGKAHSLKPKEKPAGSPIRSSEDVSQRVYLY EGLLGKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKRLEDD EDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKSHIGLVYSQQVNEVLDQLNSLNGR DLSIRSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEKLINM TYCPKTKVLCLWRRNGSETQLNKFYTKKCRELYYCVKDSMERAAARQQSIKPGPELGGEF PVQDMKTGEGGLLQVTLEGINLKFMHNQVFIELNHIKKCNTVRGVFVLEEFVPEIKEVVS HKYKTPMAHEICYSVLCLFSYVAAVRSSEEDLRTPPRPVSS >ENSMUSP00000107006.1 pep:known chromosome:GRCm38:2:91137360:91179317:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000111375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSVP ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGAQKLLRPNSLKLASDSDAES DSRASSPNSTVSNNSTEGFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLK VFGLNTLMEIVTEAGPGSGEGNRRALVDQKSSVIKHSPTVKREPSSPQGRSSNSSENQQF LKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLSKLNRAVQSEDDARQDVIQDVEIS RKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSPTENV NTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGKGPKELDTRSLKEENFVASVGPEG IKPVFDLGETEEKKSQMSADSGVSLTSASQRTDQDSVIGVSPAVMIRSSSQDSEVSTVVS NSSGETLGADSDLSSNAGDGPGGEGSAHLASSRATLSDSEIETNSATSAIFGKAHSLKPK EKPAGSPIRSSEDVSQRVYLYEGLLGKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQGP QEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKSHI GLVYSQQVNEVLDQLNSLNGRDLSIRSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDDCV VLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNGSETQLNKFYTKKCRELYYCVKDS MERAAARQQSIKPGPELGGEFPVQDMKTGEGGLLQVTLEGINLKFMHNQVFIELNHIKKC NTVRGVFVLEEFVPEIKEVVSHKYKTPMAHEICYSVLCLFSYVAAVRSSEEDLRTPPRPV SS >ENSMUSP00000107000.1 pep:known chromosome:GRCm38:2:91137360:91179326:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000111369.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSVP ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGAQKLLRPNSLKLASDSDAES DSRASSPNSTVSNNSTEGFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLK GNRRALVDQKSSVIKHSPTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMK KVRRLLESEQLRVFVLSKLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLSLEQ SYAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPKAMA QLRVPQLGPRAPSATGKGPKELDTRSLKEENFVASVGPEGIKPVFDLGETEEKKSQMSAD SGVSLTSASQRTDQDSVIGVSPAVMIRSSSQDSEVSTVSNSSGETLGADSDLSSNAGDGP GGEGSAHLASSRATLSDSEIETNSATSAIFGKAHSLKPKEKPAGSPIRSSEDVSQRVYLY EGLLGKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKRLEDD EDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKSHIGLVYSQQVNEVLDQLNSLNGR DLSIRSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEKLINM TYCPKTKVLCLWRRNGSETQLNKFYTKKCRELYYCVKDSMERAAARQQSIKPGPELGGEF PVQDMKTGEGGLLQVTLEGINLKFMHNQFLKLKKW >ENSMUSP00000107001.2 pep:known chromosome:GRCm38:2:91137360:91182848:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000111370.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSEA ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPP EEDEEEQGESYTPRFSQHVSGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTE GFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLKGNRRALVDQKSSVIKHS PTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLS KLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLL EIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGK GPKELDTRSLKEENFVASVELWNKHQEVKKQKALEKQRPEGIKPVFDLGETEEKKSQMSA DSGVSLTSASQRTDQDSVIGVSPAVMIRSSSQDSEVSTVVSNSSGETLGADSDLSSNAGD GPGGEGSAHLASSRATLSDSEIETNSATSAIFGKAHSLKPKEKPAGSPIRSSEDVSQRVY LYEGLLGRDKGSMWDQLEDAAMETFSLSKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQ GPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKS HIGLVYSQQVNEVLDQLNSLNGRDLSIRSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDD CVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNGSETQLNKFYTKKCRELYYCVK DSMERAAARQQSIKPGPELGGEFPVQDMKTGEGGLLQVTLEGINLKFMHNQFLKLKKW >ENSMUSP00000107007.1 pep:known chromosome:GRCm38:2:91137360:91182888:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000111376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSVP ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPP EEDEEEQGESYTPRFSQHVSGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTE GFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLKGNRRALVDQKSSVIKHS PTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLS KLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLL EIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGK GPKELDTRSLKEENFVASVGPEGIKPVFDLGETEEKKSQMSADSGVSLTSASQRTDQDSV IGVSPAVMIRSSSQDSEVSNSSGETLGADSDLSSNAGDGPGGEGSAHLASSRATLSDSEI ETNSATSAIFGKAHSLKPKEKPAGSPIRSSEDVSQRVYLYEGLLGRDKGSMWDQLEDAAM ETFSLSKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKRLED DEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKSHIGLVYSQQVNEVLDQLNSLNG RDLSIRSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEKLIN MTYCPKTKVLCLWRRNGSETQLNKFYTKKCRELYYCVKDSMERAAARQQSIKPGPELGGE FPVQDMKTGEGGLLQVTLEGINLKFMHNQVFIELNHIKKCNTVRGVFVLEEFVPEIKEVV SHKYKTPMAHEICYSVLCLFSYVAAVRSSEEDLRTPPRPVSS >ENSMUSP00000097311.2 pep:known chromosome:GRCm38:2:91137360:91182889:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000099723.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSEA ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPP EEDEEEQGESYTPRFSQHVSGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTE GFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLKVFGLNTLMEIVTEAGPG SGEGNRRALVDQKSSVIKHSPTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWL NMKKVRRLLESEQLRVFVLSKLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLS LEQSYAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPK AMAQLRVPQLGPRAPSATGKGPKELDTRSLKEENFVASVELWNKHQEVKKQKALEKQRPE GIKPVFDLGETEEKKSQMSADSGVSLTSASQRTDQDSVIGVSPAVMIRSSSQDSEVSTVS NSSGETLGADSDLSSNAGDGPGGEGSAHLASSRATLSDSEIETNSATSAIFGKAHSLKPK EKPAGSPIRSSEDVSQRVYLYEGLLGRDKGSMWDQLEDAAMETFSLSKERSTLWDQMQFW EDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYMLL MKVNKNDIRKKVRRLMGKSHIGLVYSQQVNEVLDQLNSLNGRDLSIRSSGSRHMKKQTFV VHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNGSE TQLNKFYTKKCRELYYCVKDSMERAAARQQSIKPGPELGGEFPVQDMKTGEGGLLQVTLE GINLKFMHNQFLKLKKW >ENSMUSP00000077094.6 pep:known chromosome:GRCm38:2:91137360:91183818:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000077941.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSEA ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPP EEDEEEQGESYTPRFSQHVSGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTE GFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLKVFGLNTLMEIVTEAGPG SGEGNRRALVDQKSSVIKHSPTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWL NMKKVRRLLESEQLRVFVLSKLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLS LEQSYAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPK AMAQLRVPQLGPRAPSATGKGPKELDTRSLKEENFVASVELWNKHQEVKKQKALEKQRPE GIKPVFDLGETEEKKSQMSADSGVSLTSASQRTDQDSVIGVSPAVMIRSSSQDSEVSTVV SNSSGETLGADSDLSSNAGDGPGGEGSAHLASSRATLSDSEIETNSATSAIFGKAHSLKP KEKPAGSPIRSSEDVSQRVYLYEGLLGRDKGSMWDQLEDAAMETFSLSKERSTLWDQMQF WEDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYML LMKVNKNDIRKKVRRLMGKSHIGLVYSQQVNEVLDQLNSLNGRDLSIRSSGSRHMKKQTF VVHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNGS ETQLNKFYTKKVLRVCVWAGDWIGPELGGEFPVQDMKTGEGGLLQVTLEGINLKFMHNQV FIELNHIKKCNTVRGVFVLEEFVPEIKEVVSHKYKTPMAHEICYSVLCLFSYVAAVRSSE EDLRTPPRPVSS >ENSMUSP00000107012.2 pep:known chromosome:GRCm38:2:91137360:91183818:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000111381.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSEA ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPP EEDEEEQGESYTPRFSQHVSGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTE GFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLKVFGLNTLMEIVTEAGPG SGEGNRRALVDQKSSVIKHSPTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWL NMKKVRRLLESEQLRVFVLSKLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLS LEQSYAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPK AMAQLRVPQLGPRAPSATGKGPKELDTRSLKEENFVASVGPEGIKPVFDLGETEEKKSQM SADSGVSLTSASQRTDQDSVIGVSPAVMIRSSSQDSEVSTVVSNSSGETLGADSDLSSNA GDGPGGEGSAHLASSRATLSDSEIETNSATSAIFGKAHSLKPKEKPAGSPIRSSEDVSQR VYLYEGLLGKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKR LEDDEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKSHIGLVYSQQVNEVLDQLNS LNGRDLSIRSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEK LINMTYCPKTKVLCLWRRNGSETQLNKFYTKKVLRVCVWAGDWIGPELGGEFPVQDMKTG EGGLLQVTLEGINLKFMHNQVFIELNHIKKCNTVRGVFVLEEFVPEIKEVVSHKYKTPMA HEICYSVLCLFSYVAAVRSSEEDLRTPPRPVSS >ENSMUSP00000107003.1 pep:known chromosome:GRCm38:2:91137360:91183818:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000111372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSEA ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPP EEDEEEQGESYTPRFSQHVSGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTE GFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLKGNRRALVDQKSSVIKHS PTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLS KLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLL EIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGK GPKELDTRSLKEENFVASVGPEGIKPVFDLGETEEKKSQMSADSGVSLTSASQRTDQDSV IGVSPAVMIRSSSQDSEVSTVVSNSSGETLGADSDLSSNAGDGPGGEGSAHLASSRATLS DSEIETNSATSAIFGKAHSLKPKEKPAGSPIRSSEDVSQRVYLYEGLLGKERSTLWDQMQ FWEDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYM LLMKVNKNDIRKKVRRLMGKSHIGLVYSQQVNEVLDQLNSLNGRDLSIRSSGSRHMKKQT FVVHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNG SETQLNKFYTKKVLRVCVWAGDWIGPELGGEFPVQDMKTGEGGLLQVTLEGINLKFMHNQ VFIELNHIKKCNTVRGVFVLEEFVPEIKEVVSHKYKTPMAHEICYSVLCLFSYVAAVRSS EEDLRTPPRPVSS >ENSMUSP00000107002.1 pep:known chromosome:GRCm38:2:91137360:91183818:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000111371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSVR EHLAGICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPPEEDEEEQGESYTPRFSQHV SGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTEGFGGIMSFASSLYRNHSTS FSLSNLTLPTKGAREKTTPFPSLKVFGLNTLMEIVTEAGPGSGEGNRRALVDQKSSVIKH SPTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVL SKLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGL LEIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATG KGPKELDTRSLKEENFVASVGPEGIKPVFDLGETEEKKSQMSADSGVSLTSASQRTDQDS VIGVSPAVMIRSSSQDSEVSTVVSNSSGETLGADSDLSSNAGDGPGGEGSAHLASSRATL SDSEIETNSATSAIFGKAHSLKPKEKPAGSPIRSSEDVSQRVYLYEGLLGKERSTLWDQM QFWEDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISY MLLMKVNKNDIRKKVRRLMGKSHIGLVYSQQVNEVLDQLNSLNGRDLSIRSSGSRHMKKQ TFVVHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRN GSETQLNKFYTKKVLRVCVWAGDWIGPELGGEFPVQDMKTGEGGLLQVTLEGINLKFMHN QVFIELNHIKKCNTVRGVFVLEEFVPEIKEVVSHKYKTPMAHEICYSVLCLFSYVAAVRS SEEDLRTPPRPVSS >ENSMUSP00000074746.3 pep:known chromosome:GRCm38:2:91137360:91183818:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000075269.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSVR EHLAGICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPPEEDEEEQGESYTPRFSQHV SGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTEGFGGIMSFASSLYRNHSTS FSLSNLTLPTKGAREKTTPFPSLKGNRRALVDQKSSVIKHSPTVKREPSSPQGRSSNSSE NQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLSKLNRAVQSEDDARQDVIQD VEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSP TENVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGKGPKELDTRSLKEENFVASV GPEGIKPVFDLGETEEKKSQMSADSGVSLTSASQRTDQDSVIGVSPAVMIRSSSQDSEVS TVVSNSSGETLGADSDLSSNAGDGPGGEGSAHLASSRATLSDSEIETNSATSAIFGKAHS LKPKEKPAGSPIRSSEDVSQRVYLYEGLLGKERSTLWDQMQFWEDAFLDAVMLEREGMGM DQGPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMG KSHIGLVYSQQVNEVLDQLNSLNGRDLSIRSSGSRHMKKQTFVVHAGTDTNGDIFFMEVC DDCVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNGSETQLNKFYTKKVLRVCVW AGDWIGPELGGEFPVQDMKTGEGGLLQVTLEGINLKFMHNQVFIELNHIKKCNTVRGVFV LEEFVPEIKEVVSHKYKTPMAHEICYSVLCLFSYVAAVRSSEEDLRTPPRPVSS >ENSMUSP00000067210.5 pep:known chromosome:GRCm38:2:91161393:91183047:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000066420.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSEA ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPP EEDEEEQGESYTPRFSQHVSGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTE GFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLKGNRRALVDQKSSVIKHS PTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLS KLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLL EIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGK GPKELDTRSLKEENFVASVDLTENLLMFGVSLFTL >ENSMUSP00000117657.1 pep:known chromosome:GRCm38:2:91167816:91175729:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] IGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPESLELKKHLKQALAS MSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGNDVDSVDVATRVAMV RFFNSANVLQGFQMHTRTLRLFPRPTPFAEKLARTQAVEYFGEWILNPSNYAFQRIHNNT FDPALIGDKPKWYAHQLQPIHYRVYDGNSQLAEALSVPPERDSDSDPTEDSGSDSQDYDD SSSSYSSLGDFVSEMMKCDINGDT >ENSMUSP00000069350.5 pep:known chromosome:GRCm38:2:91137361:91183818:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000066473.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSEA ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPP EEDEEEQGESYTPRFSQHVSGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTE GFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLKGNRRALVDQKSSVIKHS PTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLS KLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLL EIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGK GPKELDTRSLKEENFVASVELWNKHQEVKKQKALEKQRPEGIKPVFDLGETEEKKSQMSA DSGVSLTSASQRTDQDSVIGVSPAVMIRSSSQDSEVSTVVSNSSGETLGADSDLSSNAGD GPGGEGSAHLASSRATLSDSEIETNSATSAIFGKAHSLKPKEKPAGSPIRSSEDVSQRVY LYEGLLGRDKGSMWDQLEDAAMETFSLSKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQ GPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKS HIGLVYSQQVNEVLDQLNSLNGRDLSIRSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDD CVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNGSETQLNKFYTKKCRELYYCVK DSMERAAARQQSIKPGPELGGEFPVQDMKTGEGGLLQVTLEGINLKFMHNQERKVFIELN HIKKCNTVRGVFVLEEFVPEIKEVVSHKYKTPMAHEICYSVLCLFSYVAAVRSSEEDLRT PPRPVSS >ENSMUSP00000097313.2 pep:known chromosome:GRCm38:2:91137360:91179321:-1 gene:ENSMUSG00000040687.16 transcript:ENSMUST00000099725.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madd description:MAP-kinase activating death domain [Source:MGI Symbol;Acc:MGI:2444672] MVQKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHPEFPLPPDVVFFCQPEGC LSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRMPKEKVEGGAGPRGKEG AHTSGASEEAAAGSSESGSTLQPPSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLS HYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALL QDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQQALTFALPDPSRFTLVDFPLHLPL ELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMA SAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPES LELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGND VDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEK LARTQAVEYFGEWILNPSNYAFQRIHNNTFDPALIGDKPKWYAHQLQPIHYRVYDGNSQL AEALSVPPERDSDSDPTEDSGSDSQDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLT HAALGDASEVEIDELQPQKEGEEPGPDSENSQENPPLRSSSSTTASSSPSTVVHSAHSEA ADSTEMGDKATAGISKPLPPVPPSICKSTVDRRQTETGEGSVCQRTYDNPYFEPQYGFPP EEDEEEQGESYTPRFSQHVSGSRAQKLLRPNSLKLASDSDAESDSRASSPNSTVSNNSTE GFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKTTPFPSLKGNRRALVDQKSSVIKHS PTVKREPSSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLS KLNRAVQSEDDARQDVIQDVEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLL EIAQTHYYSKEPDKRKRSPTENVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGK GPKELDTRSLKEENFVASVELWNKHQEVKKQKALEKQRPEGIKPVFDLGETEEKKSQMSA DSGVSLTSASQRTDQDSVIGVSPAVMIRSSSQDSEVSTVVSNSSGETLGADSDLSSNAGD GPGGEGSAHLASSRATLSDSEIETNSATSAIFGKAHSLKPKEKPAGSPIRSSEDVSQRVY LYEGLLGRDKGSMWDQLEDAAMETFSLSKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQ GPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKS HIGLVYSQQVNEVLDQLNSLNGRDLSIRSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDD CVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNGSETQLNKFYTKKCRELYYCVK DSMERAAARQQSIKPGPELGGEFPVQDMKTGEGGLLQVTLEGINLKFMHNQERKVFIELN HIKKCNTVRGVFVLEEFVPEIKEVVSHKYKTPMAHEICYSVLCLFSYVAAVRSSEEDLRT PPRPVSS >ENSMUSP00000145597.1 pep:known chromosome:GRCm38:7:78821547:78834188:-1 gene:ENSMUSG00000030610.13 transcript:ENSMUST00000138062.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Det1 description:de-etiolated homolog 1 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1923625] XLSLFSYDDKWVSVMERPKTCGDHPIRLALIPELRRSSCLDWPGVDYSFRAFRSIQFHVP ILDPWDFLEAGV >ENSMUSP00000103054.2 pep:known chromosome:GRCm38:7:78827472:78843253:-1 gene:ENSMUSG00000030610.13 transcript:ENSMUST00000107431.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Det1 description:de-etiolated homolog 1 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1923625] MHNWKFVGLSGGKQLIQHRKQNLQSCHCSQASFFVVYNMVTTEVIAVFENTSDELLELFE NFCDLFRNATLHSEVQFPCSASSNNFARQIQRRFKDTIINAKYGGHTEAVRRLLGQLPIS AQSYSGSPYLDLSLFSYDDKWVSVMERPKTCGDHPIRFYARDSGLLKFEIQAGLLGRPIN HTVRRLVAFTFHPFEPFAISVQRTNAEYVVNFHMRHCCT >ENSMUSP00000032839.6 pep:known chromosome:GRCm38:7:78827474:78847263:-1 gene:ENSMUSG00000030610.13 transcript:ENSMUST00000032839.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Det1 description:de-etiolated homolog 1 (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1923625] MDHHVSTIKPRRIQNQNVIHRLERRRISSGKAGTHWHQVRVFHQNVFPNFTVVNVEKPPC FLRKFSPDGRYFIAFSSDQTSLEIYEYQGCQAAEDLLQGYEGEILSNGNDQRSVSIRGRL FERFFVLLHITNVAANGEHLNRECSLFTDDCRCVIVGSAAYLPDEPHPPFYEVYRNSESV TPNPRSPLEDYSLHIIDLHTGRLCDTRTFKCDKVVLSHNQGLYLYKNILAILSVQQQTIH VFQVTPEGTFIDVRTIGRFCYEDDLLTVSAVFPEVQRDSQTGMANPFRDPFINSLKHRLL VYLWRRAEQDGSAMAKRRFFQYFDQLRQLRMWKMQLLDENHLFIKYTSEDVVTLRVTDPS QASFFVVYNMVTTEVIAVFENTSDELLELFENFCDLFRNATLHSEVQFPCSASSNNFARQ IQRRFKDTIINAKYGGHTEAVRRLLGQLPISAQSYSGSPYLDLSLFSYDDKWVSVMERPK TCGDHPIRFYARDSGLLKFEIQAGLLGRPINHTVRRLVAFTFHPFEPFAISVQRTNAEYV VNFHMRHCCT >ENSMUSP00000025656.3 pep:known chromosome:GRCm38:19:20692953:20727562:-1 gene:ENSMUSG00000024747.3 transcript:ENSMUST00000025656.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1a7 description:aldehyde dehydrogenase family 1, subfamily A7 [Source:MGI Symbol;Acc:MGI:1347050] MSSPAQPAVPAPLANLKIQHTKIFINNEWHDSVSSKKFPVLNPATEEVICHVEEGDKADV DKAVKAARQAFQIGSPWRTMDASERGRLLNKLADLMERDRLLLATMESMNAGKVFAHAYL LDVEISIKALQYFAGWADKIHGQTIPSDGNIFTYTRREPIGVCGQIIPWNGPLIIFTWKL GPALSCGNTVVVKPAEQTPLTALHMASLIKEAGFPPGVVNIVPGYGPTAGGAISSHMDID KVSFTGSTEVGKLIKEAAGKSNLKRVTLELGGKSPCIVFADADLDSAVEFAHQGVFFHQG QICVAASRLFVEESIYDEFVRRSVERAKKYILGNPLNSGINQGPQIDKEQHNKILGLIES GKKEGAKLECGGGRWGNKGFFVQPTVFSNVTDEMRIAKEEIFGPVQQIMKFKSMDDVIKR ANNTTYGLAAGVFTKDLDKAITVSSALQAGMVWVNCYLAVPVQCPFGGFKMSGNGRELGE HGLYEYTELKTVAMQISQKNS >ENSMUSP00000120522.1 pep:known chromosome:GRCm38:8:46151771:46170191:1 gene:ENSMUSG00000031631.15 transcript:ENSMUST00000154040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap97 description:cilia and flagella associated protein 97 [Source:MGI Symbol;Acc:MGI:1914006] MDRFGDISEGEVDHSFFDSDFEDAKKCESNSIFDKQNDDDLKEGINKDTKNVNLKFGVQN DHLKEKIDNNTENVNLKLGLQTTENYLTQKGNERKANFSSKEQHIENDPTQARSSSVLTS SRSKKSCDATKGHKLNLPVPDRIPKIVKGEDDYYTDGEESSDDGKKYVRSKSAKPSSNLK KNVSKKYSSSSLSSSSSRSNSDCSD >ENSMUSP00000034048.6 pep:known chromosome:GRCm38:8:46163651:46195590:1 gene:ENSMUSG00000031631.15 transcript:ENSMUST00000034048.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap97 description:cilia and flagella associated protein 97 [Source:MGI Symbol;Acc:MGI:1914006] MDRFGDISEGEVDHSFFDSDFEDAKKCESNSIFDKQNDDDLKEGINKDTKNVNLKFGVQN DHLKEKIDNNTENVNLKLGLQTTENYLTQKGNERKANFSSKEQHIENDPTQARSSSVLTS SRSKKSCDATKGHKLNLPVPDRIPKIVKGEDDYYTDGEESSDDGKKYVRSKSAKPSSNLK KNVSKKYSSSSLSSSSSRSNSDCSDMGSDRQRRSESHSSGKCVSSVTPSSPKQRCKSGRK SSAQPSSTKQKTGDYHESEGNVPDITPLSTPDVSPAQSLELGQPPDQKVKVKKQENVSRD VYEDAEALKNDSRCVKSAKRKEKHGQSFAPKSSVLDANLDRRSKQKVLHDTMDLNHLLKA FLQLDKKGPQKHHFEQPAIIPRKNYSFTREEVRQIDRENQRLLKELSRQAEKPGSKSTIP GRSIGHPPKLYHSALNRQREQQRIERENMALLKRLEAVKPTVGMKRSEQLMDYHRNMSYL NPSPSVRRVRSTLGHYSPLRGASRTSSATSGLSCKTDRSVLDTSNGFLLRPKPPNVRTAW L >ENSMUSP00000115734.1 pep:known chromosome:GRCm38:8:46163715:46170440:1 gene:ENSMUSG00000031631.15 transcript:ENSMUST00000145229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap97 description:cilia and flagella associated protein 97 [Source:MGI Symbol;Acc:MGI:1914006] MDRFGDISEGEVDHSFFDSDFEDAKKCESNSIFDKQNDDDLKEGINKDTKNVNLKFGVQN DHLKEKIDNNTENVNLKLGLQTTENYLTQKGNERKANFSSKEQHIENDPTQARSSSVLTS SRSKKSCDATKGHKLNLPVPDRIPKIVKGEDDYYTDGEESSDDGKKYVRSKSAKPSSNLK KNVSKKYSSSSLSSSSSRSNSDCSDMGSDRQRRSESHSSGKCVSSVTPSSPKQRCKSGRK SSAQPSSTKQKTGDYHESEGNVPDITPLSTPDVSPAQSLELGQPPDQKV >ENSMUSP00000106005.1 pep:known chromosome:GRCm38:8:46163735:46195586:1 gene:ENSMUSG00000031631.15 transcript:ENSMUST00000110376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap97 description:cilia and flagella associated protein 97 [Source:MGI Symbol;Acc:MGI:1914006] MDRFGDISEGEVDHSFFDSDFEDAKKCESNSIFDKQNDDDLKEGINKDTKNVNLKFGVQN DHLKEKIDNNTENVNLKLGLQTTENYLTQKGNERKANFSSKEQHIENDPTQARSSSVLTS SRSKKSCDATKGHKLNLPVPDRIPKIVKGEDDYYTDGEESSDDGKKYVRSKSAKPSSNLK KNVSKKYSSSSLSSSSSRSNSDCSDMGSDRQRRSESHSSGKCVSSVTPSSPKQRCKSGRK SSAQPSSTKQKTGDYHESEGNVPDITPLSTPDVSPAQSLELGQPPDQKVKVKKQENVSRD VYEDAEALKNDSRCVKSAKRKEKHGQSFAPKSSVLDANLDRRSKQKVLHDTMDLNHLLKA FLQLDKKGPQKHHFEQPAIIPRKNYSFTREEVRQIDRENQRLLKELSRQAEKPGSKSTIP GRSIGHPPKLYHSALNRQREQQRIERENMALLKRLEAVKPTVGMKRSEQLMDYHRNMSYL NPSPSVRRVRSTLGHYSPLRGASRTSSATSGLSCKTDRSVLDTSNGFLLRPKPPNIQCSN SKVLRLQ >ENSMUSP00000133211.1 pep:known chromosome:GRCm38:8:46169556:46195588:1 gene:ENSMUSG00000031631.15 transcript:ENSMUST00000164504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap97 description:cilia and flagella associated protein 97 [Source:MGI Symbol;Acc:MGI:1914006] MDRFGDISEGEVDHSFFDSDFEDAKKCESNSIFDKQNDDDLKEGINKDTKNVNLKFGVQN DHLKEKIDNNTENVNLKLGLQTTENYLTQKGNERKANFSSKEQHIENDPTQARSSSVLTS SRSKKSCDATKGHKLNLPVPDRIPKIVKGEDDYYTDGEESSDDGKKYVRSKSAKPSSNLK KNVSKKYSSSSLSSSSSRSNSDCSDMGSDRQRRSESHSSGKCVSSVTPSSPKQRCKSGRK SSAQPSSTKQKTGDYHESEGNVPDITPLSTPDVSPAQSLELGQPPDQKVKVKKQENVSRD VYEDAEALKNDSRCVKSAKRKEKHGQSFAPKSSVLDANLDRRSKQKVLHDTMDLNHLLKA FLQLDKKGPQKHHFEQPAIIPRKNYSFTREEVRQIDRENQRLLKELSRQAEKPGSKSTIP GRSIGHPPKLYHSALNRQREQQRIERENMALLKRLEAVKPTVGMKRSEQLMDYHRNMSYL NPSPSVRRVRSTLGHYSPLRGASRTSSATSGLSCKTDRSVLDTSNGFLLRPKPPNVRTAW L >ENSMUSP00000006103.2 pep:known chromosome:GRCm38:11:73183596:73199042:-1 gene:ENSMUSG00000005949.9 transcript:ENSMUST00000006103.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctns description:cystinosis, nephropathic [Source:MGI Symbol;Acc:MGI:1932872] MRRNWLLILTLFLLMFIEKYESTVSLTAPPTVKLENGSSTNVDITLGHPLNSTLVITFEV TFRSKNLTIVELPDEVIVPRGEKNASFQVTSQNIGQVTVFLHGNHSNQTCPRIRFLVIHS RIVSIINQVIGWIYFMAWSVSFYPQVIQNWRRKSVIGLSFDFLALNLTGFVAYSVFNIGL LWVPYIQEEFLLKYPNGVNPVDSNDAFFSLHAVALTLIVILQCCLYERGNQRVSWPSIGF LVLAWLFVLVTMIVAAVGITTWLQFLFCFSYIKLIITLIKYFPQAYMNFYYKSTKGWSIG GVLLDFTGGSFSLLQMFLQSYNNDQWTLIFGDPTKFGLGVFTIFFDVVFFIQHFYLYRKK PGYDQLN >ENSMUSP00000104116.1 pep:known chromosome:GRCm38:11:73183597:73199042:-1 gene:ENSMUSG00000005949.9 transcript:ENSMUST00000108476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctns description:cystinosis, nephropathic [Source:MGI Symbol;Acc:MGI:1932872] MRRNWLLILTLFLLMFIEKYESTVSLTAPPTVKLENGSSTNVDITLGHPLNSTLVITFEV TFRSKNLTIVELPDEVIVPRGEKNASFQVTSQNIGQVTVFLHGNHSNQTCPRIRFLVIHS RIVSIINQVIGWIYFMAWSVSFYPQVIQNWRRKSVIGLSFDFLALNLTGFVAYSVFNIGL LWVPYIQEEFLLKYPNGVNPVDSNDAFFSLHAVALTLIVILQCCLYERGNQRVSWPSIGF LVLAWLFVLVTMIVAAVGITTWLQFLFCFSYIKLIITLIKYFPQAYMNFYYKSTKGWSIG GVLLDFTGGSFSLLQMFLQSYNNDQWTLIFGDPTKFGLGVFTIFFDVVFFIQHFYLYRKK PGYDQLN >ENSMUSP00000102686.1 pep:known chromosome:GRCm38:4:96723647:96785186:-1 gene:ENSMUSG00000078639.1 transcript:ENSMUST00000107071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12695 description:predicted gene 12695 [Source:MGI Symbol;Acc:MGI:3650206] MSSPWKTSQSSVPMPEMIVKIVGSKHFRYFIEKPMNKQNEKLKTEPQTSLQKPRNDYSRR VSRDLPGPTDSSEQQITANPAEKEESKHQRSSLKPESNQKFLTRVFSNRFLDGRISYEAN VHCSSVPTGDQSLSYMHSLPRRKSVGWCLEHTAKDSSGQAEEIVQRPSVMTREDSFLTTL VRRELNSRPLSSNLLDKLQKELKTLDPISSGFLHQSQLSCLFLRHKVPLPLLTVKLLCQR FSRRCSPEMVNYGEVLCFLKEATKDNLQQNGTAGYSNSRKTSSPSYHKQSIPPQDSSLLS EVNKSLLEILKMALRPCQGKLSIDCLNMSFRKEDHSFSGCLPLPKVISICSKHGLYVTMT LLETLLHHQELGYRGEIKWKNFVKWLNRASADLSCDMPAGKNKKETQGDLVDIPERPQRK TEHVKTPEENLQTKNPTTMTSAPEDPVTFFKNRPVSQPVEHLAVKKDGQSELWIDRFRKL ENALYLCDLSNTGVLERERARRLIHNYNLIYSLSLSPRRINQALQRYRSGENIILEPALQ YLKEL >ENSMUSP00000120199.1 pep:known chromosome:GRCm38:5:123035769:123047016:-1 gene:ENSMUSG00000029477.14 transcript:ENSMUST00000132775.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Morn3 description:MORN repeat containing 3 [Source:MGI Symbol;Acc:MGI:1922140] MPVTKCPRKVEPPWKGWDRKAQKNGLRHQVFAVNGDHYVGEWKGNLKHGKGTQVWKKSGA VYEGDWKFGKRDGYGSLSHPDPETGKLRRVYSGWWKGDKKSGYGIQFFGPKEYYEGEWCN NQRSGWGRMYYNNGDIYEGQWQNDKPEGEGMLRLSGDSRPRWCAEGSVG >ENSMUSP00000122570.1 pep:known chromosome:GRCm38:5:123035789:123037704:-1 gene:ENSMUSG00000029477.14 transcript:ENSMUST00000129934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morn3 description:MORN repeat containing 3 [Source:MGI Symbol;Acc:MGI:1922140] XEPTQFPIPKVEILDPDGVLKEALDKLMKPEEEEG >ENSMUSP00000117668.1 pep:known chromosome:GRCm38:5:123035862:123037712:-1 gene:ENSMUSG00000029477.14 transcript:ENSMUST00000137490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morn3 description:MORN repeat containing 3 [Source:MGI Symbol;Acc:MGI:1922140] XEAPEPTQFPIPKVEILDPDGVLKEALDKLMKPEEEEG >ENSMUSP00000041714.8 pep:known chromosome:GRCm38:5:123037117:123046972:-1 gene:ENSMUSG00000029477.14 transcript:ENSMUST00000045843.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morn3 description:MORN repeat containing 3 [Source:MGI Symbol;Acc:MGI:1922140] MPVTKCPRKVEPPWKGWDRKAQKNGLRHQVFAVNGDHYVGEWKGNLKHGKGTQVWKKSGA VYEGDWKFGKRDGYGSLSHPDPETGKLRRVYSGWWKGDKKSGYGIQFFGPKEYYEGEWCN NQRSGWGRMYYNNGDIYEGQWQNDKPEGEGMLRLSGDSRPRWCAEGSVG >ENSMUSP00000031437.7 pep:known chromosome:GRCm38:5:123037122:123047016:-1 gene:ENSMUSG00000029477.14 transcript:ENSMUST00000031437.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morn3 description:MORN repeat containing 3 [Source:MGI Symbol;Acc:MGI:1922140] MPVTKCPRKVEPPWKGWDRKAQKNGLRHQVFAVNGDHYVGEWKGNLKHGKGTQVWKKSGA VYEGDWKFGKRDGYGSLSHPDPETGKLRRVYSGWWKGDKKSGYGIQFFGPKEYYEGEWCN NQRSGWGRMYYNNGDIYEGQWQNDKPEGEGMLRLKNGNRYEGIWERGMKNGHGRFFHLDH GQLFEGYWVDNVAKCGTMIDFGRDEAPEPTQFPIPKVEILDPDGVLKEALDKLMKPEEEE G >ENSMUSP00000122306.1 pep:known chromosome:GRCm38:5:123037305:123047005:-1 gene:ENSMUSG00000029477.14 transcript:ENSMUST00000145257.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Morn3 description:MORN repeat containing 3 [Source:MGI Symbol;Acc:MGI:1922140] MPVTKCPRKVEPPWKGWDRKAQKNGLRHQVFAVNGDHYVGEWKGNLKHGKGTQVWKKSGA VYEGDWKFGKRDGYGSLSHPDPETGKLRRVYSGWWKGDKKSRTGTGMRASGREA >ENSMUSP00000070721.7 pep:known chromosome:GRCm38:11:120961749:120991092:-1 gene:ENSMUSG00000025162.17 transcript:ENSMUST00000070575.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1d description:casein kinase 1, delta [Source:MGI Symbol;Acc:MGI:1355272] MELRVGNRYRLGRKIGSGSFGDIYLGTDIAAGEEVAIKLECVKTKHPQLHIESKIYKMMQ GGVGIPTIRWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIH SKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYA SINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVL CKGYPSEFATYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFGASRA ADDAERERRDREERLRHSRNPATRGLPSTASGRLRGTQEVAPPTPLTPTSHTANTSPRPV SGMERERKVSMRLHRGAPVNVSSSDLTGRQDTSRMSTSQNSIPFEHHGK >ENSMUSP00000018274.3 pep:known chromosome:GRCm38:11:120961749:120991330:-1 gene:ENSMUSG00000025162.17 transcript:ENSMUST00000018274.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1d description:casein kinase 1, delta [Source:MGI Symbol;Acc:MGI:1355272] MELRVGNRYRLGRKIGSGSFGDIYLGTDIAAGEEVAIKLECVKTKHPQLHIESKIYKMMQ GGVGIPTIRWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIH SKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYA SINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVL CKGYPSEFATYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFGASRA ADDAERERRDREERLRHSRNPATRGLPSTASGRLRGTQEVAPPTPLTPTSHTANTSPRPV SGMERERKVSMRLHRGAPVNVSSSDLTGRQDTSRMSTSQIPGRVASSGLQSVVHR >ENSMUSP00000117472.1 pep:known chromosome:GRCm38:11:120972472:120990871:-1 gene:ENSMUSG00000025162.17 transcript:ENSMUST00000154483.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1d description:casein kinase 1, delta [Source:MGI Symbol;Acc:MGI:1355272] XRGLRRAGVDVRHPRSRGPGHRPRPDTNGRCTDIAAGEEVAIKLECVKTKHPQLHIESKI YKMMQGGVGIPTIRWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISR IEYIHSKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTG TARYASINTHLGIEQSRRDDLESLGYVLMYFNLGSLPW >ENSMUSP00000115055.1 pep:known chromosome:GRCm38:11:120972490:120990987:-1 gene:ENSMUSG00000025162.17 transcript:ENSMUST00000146837.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Csnk1d description:casein kinase 1, delta [Source:MGI Symbol;Acc:MGI:1355272] XYRLGRKIGSGSFGDIYLGTDIAAGEEVAIKLECVKTKHPQLHIESKIYKMMQGGGYRLK PGHSASGL >ENSMUSP00000099786.4 pep:known chromosome:GRCm38:4:109505337:109531297:-1 gene:ENSMUSG00000060491.14 transcript:ENSMUST00000102725.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930522H14Rik description:RIKEN cDNA 4930522H14 gene [Source:MGI Symbol;Acc:MGI:1914896] MTSPNGVFSHLTYFMAAGALSLGIGFFALASALWFLICKRRELFEESKFKEFGENMKQGS CKPKLKAHPQCVFISRNFHAGYLQSQTEKREKEEAEKKAVRSHSKVEFCLQDPISCESPE VTSVANGSSVSTLSLSTSISSSYCCQTVEEAEDWLTDDCLETRIPLKNPLLGEPLKKKVL AYLSSISLEEWPGNTVSNTFCSEQKTDSLKELLVLKNTEVGKHNLQFDIE >ENSMUSP00000080923.7 pep:known chromosome:GRCm38:4:109505365:109531204:-1 gene:ENSMUSG00000060491.14 transcript:ENSMUST00000082306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930522H14Rik description:RIKEN cDNA 4930522H14 gene [Source:MGI Symbol;Acc:MGI:1914896] MGVFSHLTYFMAAGALSLGIGFFALASALWFLICKRRELFEESKFKEFGENMKQGSCKPK LKAHPQCVFISRNFHAGYLQSQTEKREKEEAEKKAVRSHSKVEFCLQDPISCESPEVTSV ANGSSVSTLSLSTSISSSYCCQTVEEAEDWLTDDCLETRIPLKNPLLGEPLKKKVLAYLS SISLEEWPGNTVSNTFCSEQKTDSLKELLVLKNTEVGKHNLQFDIE >ENSMUSP00000052033.6 pep:known chromosome:GRCm38:9:45079183:45108530:1 gene:ENSMUSG00000048534.6 transcript:ENSMUST00000050020.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amica1 description:adhesion molecule, interacts with CXADR antigen 1 [Source:MGI Symbol;Acc:MGI:2685484] MLCLLKLIVIPVILAPVGYPQGLPGLTVSSPQLRVHVGESVLMGCVVQRTEEKHVDRVDW LFSKDKDDASEYVLFYYSNLSVPTGRFQNRSHLVGDTFHNDGSLLLQDVQKADEGIYTCE IRLKNESMVMKKPVELWVLPEEPKDLRVRVGDTTQMRCSIQSTEEKRVTKVNWMFSSGSH TEEETVLSYDSNMRSGKFQSLGRFRNRVDLTGDISRNDGSIKLQTVKESDQGIYTCSIYV GKLESRKTIVLHVVQDEFQRTISPTPPTDKGQQGILNGNQLVIIVGIVCATFLLLPVLIL IVKKAKWNKSSVSSMASVKSLENKEKINPEKHIYSSITTWETTERGISGESEGTYMTMNP VWPSSPKASSLVRSSVRSK >ENSMUSP00000120106.1 pep:known chromosome:GRCm38:1:171345670:171359254:1 gene:ENSMUSG00000006412.10 transcript:ENSMUST00000135941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn2 description:prefoldin 2 [Source:MGI Symbol;Acc:MGI:1276111] MADSSGRVGKSGGSGAGKGAVSAEQVIAGFNRLRQEQRGLASKAAELEMELNEHSLVIDT LKEVDETRKCYRMVGGVLVERTVKEVLPALEGNKEQIQKIIETLSQQLQAKGKELNEFRE KHNIRLMGEDEKPAAKENSEGAGAKASSAGVLVS >ENSMUSP00000006579.4 pep:known chromosome:GRCm38:1:171345730:171358402:1 gene:ENSMUSG00000006412.10 transcript:ENSMUST00000006579.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn2 description:prefoldin 2 [Source:MGI Symbol;Acc:MGI:1276111] RVGKSGGSGAGKGAVSAEQVIAGFNRLRQEQRGLASKAAELEMELNEHSLVIDTLKEVDE TRKCYRMVGGVLVERTVKEVLPALEGNKEQHSPGQRRETCTPSPSSLLPLRYRRSLRHCH SSFRQRAKN >ENSMUSP00000139508.1 pep:known chromosome:GRCm38:1:75142778:75147909:1 gene:ENSMUSG00000049339.16 transcript:ENSMUST00000188873.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam134a description:family with sequence similarity 134, member A [Source:MGI Symbol;Acc:MGI:2388278] MASSGGGNTGAGGTSGLGLGLGLSLGMGEATGDAEEEAAAAEAVGRLATSLWLRLRGWEA VLAAAQRLLVWEKPLHSLVTAATLNGLFWLLSSSSLRPFFLLSISLLTYFLLDLWHPRFL PDVSAPPPEEPHSDRFTCKSCCSTRGRIQLSSALGAALPVLCWLCWDTMFREL >ENSMUSP00000095300.4 pep:known chromosome:GRCm38:1:75142786:75147913:1 gene:ENSMUSG00000049339.16 transcript:ENSMUST00000097694.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam134a description:family with sequence similarity 134, member A [Source:MGI Symbol;Acc:MGI:2388278] MASSGGGNTGAGGTSGLGLGLGLSLGMGEATGDAEEEAAAAEAVGRLATSLWLRLRGWEA VLAAAQRLLVWEKPLHSLVTAATLNGLFWLLSSSSLRPFFLLSISLLTYFLLDLWHPRFL PDVSAPPPEEPHSDSEGAGSGAQPHLLSVPELCRYLAESWLTFQIHLQELLQYKRQNPAQ FCARGCAACAVLAVLGHYVPGVMISYIVLLSILLWPLVVYHELIQRMYTRLEPLLMQLDY SMKAEADALHHKHDKRKRQGKSAPPAGDEPLAETESESEAELAGFSPVVDVKKTALALAI TDSELSDEEASILESGGFSVSRATTPQLTDVSEDLDQQSLPSEPEEALNRELGEGEETEL ASPEDLLSAPPALSKQALDTEEEGAADKEALLQLSSPLHFVNTHFNGAGSPQDGVKCPPG APVKTLSPEAVSGDLMAPSSTLSPQLCLAESGPVTPLSPSVLPSLPQDSPQPLAAPEEEE ALTTEDFELLDQGELEQLNAELGLGPEMPPKPPDVLPPPPLGADSHSLVQSDQEAHAEVE P >ENSMUSP00000139410.1 pep:known chromosome:GRCm38:1:75142794:75147909:1 gene:ENSMUSG00000049339.16 transcript:ENSMUST00000190240.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam134a description:family with sequence similarity 134, member A [Source:MGI Symbol;Acc:MGI:2388278] MASSGGGNTGAGGTSGLGLGLGLSLGMGEATGDAEEEAAAAEAVGRLATSLWLRLRGWEA VLAAAQRLLVWEKPLHSLVTAATLNGLFWLLSSSSLRPFFLLSISLLTYFLLDLWHPRFL PDVSAPPPEEPHSDSEGAGSGAQPHLLSVPELCRYLAESWLTFQIHLQELLQYKRQNPAQ FCARGCAACAVLAVLGHYVPGVMISYIVLLSILLWPLVVYHELIQRMYTRLEPLLMQLDY SMKAEADALHHKHDKRKRQGKSAPPAGDEPLAETESESEAELAGFSPVVDVKKTALALAI TDSELSDEEASILESGGFSVSRATTPQLTDVSEDLDQQSLPSEPEEALNRELGEGEETEL ASPEDLLSAPPALSKQALDTEEEGAADKEALLQLSSPLHFVNTHFNGAGSPQDGVKCPPG APVKTLSPEADSPQPLAAPEEEEALTTEDFELLDQGELEQLNAELGLGPEMPPKPPDVLP PPPLGADSHSLVQSDQEAHAEVEP >ENSMUSP00000139473.1 pep:known chromosome:GRCm38:1:75142980:75144664:1 gene:ENSMUSG00000049339.16 transcript:ENSMUST00000189650.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam134a description:family with sequence similarity 134, member A [Source:MGI Symbol;Acc:MGI:2388278] AAEAVGRLATSLWLRLRGWEAVLAAAQRLLVWEKPLHSLVTAATLNGLFWLLSSSSLRPF FLLSISLLTYFLLDLWHPRFLPDVSAPPPEEPHSDRFTCKSCCSTRGRIQLSFSPGDCAH GVSLCSSLLLCSSLQFCARGCAACAVLAV >ENSMUSP00000139890.1 pep:known chromosome:GRCm38:1:75143165:75147907:1 gene:ENSMUSG00000049339.16 transcript:ENSMUST00000186037.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam134a description:family with sequence similarity 134, member A [Source:MGI Symbol;Acc:MGI:2388278] XGRAGAGGPGAPSLGGLSASGAVILHQVAPFFSLLVTGLLSSSSLRPFFLLSISLLTYFL LDLWHPRFLPDVSAPPPEEPHSDSEGAGSGAQPHLLSVPELCRYLAESWLTFQIHLQELL QYKRQNPAQFCARGCAACAVLAVLGHYVPGVMISYIVLLSILLWPLVVYHELIQRMYTRL EPLLMQLDYSMKAEADALHHKHDKRKRQGKSAPPAGDEPLAETESESEAELAGFSPVVDV KKTALALAITDSELSDEEASILESGGFSVSRATTPQLTDVSEDLDQQSLPSEPEEALNRE LGEGEETELASPEDLLSAPPALSKQALDTEEEGAADKEALLQLSSPLHFVNTHFNGAGSP QDGVKCPPGAPVKTLSPEAVSGDLMAPSSTLSPQLCLAESGPVTPLSPSVLPSLPQDSPQ PLAAPEEEEALTTEDFELLDQGELEQLNAELGLGPEMPPKPPDVLPPPPLGADSHSLVQS DQEAHAEVEP >ENSMUSP00000140636.1 pep:known chromosome:GRCm38:1:75143182:75144377:1 gene:ENSMUSG00000049339.16 transcript:ENSMUST00000187901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam134a description:family with sequence similarity 134, member A [Source:MGI Symbol;Acc:MGI:2388278] MDLGASTEMPVSLRLLSSSSLRPFFLLSISLLTYFLLDLWHPRFLPDVSAPPPEEPHSDS EGAGSGAQPHLLSVPELCRYLAES >ENSMUSP00000140909.1 pep:known chromosome:GRCm38:1:75145304:75145761:1 gene:ENSMUSG00000049339.16 transcript:ENSMUST00000189345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam134a description:family with sequence similarity 134, member A [Source:MGI Symbol;Acc:MGI:2388278] XETESESEAELAGFSPVDVKKTALALAITDSELSDEEASILES >ENSMUSP00000014321.4 pep:known chromosome:GRCm38:11:62879455:62895185:1 gene:ENSMUSG00000014177.10 transcript:ENSMUST00000014321.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tvp23b description:trans-golgi network vesicle protein 23B [Source:MGI Symbol;Acc:MGI:1914760] MLSQDSNDDTEDVSLFDAEEETTNRPRKSKIRHPVASFFHLFFRVSAVVVYLLCELLSSS FIACMVTIILLLSCDFWAVKNVTGRLMVGLRWWNHIDEDGKSHWVFESRKSTPQDNKTIS EAESRIFWLGLIACPVLWVIFAFSALFSFRVKWLAVVIMGVVLQGANLYGYIRCKVGSKK NLTSMATSYLGKQFLRQNTGDGQTS >ENSMUSP00000047410.5 pep:known chromosome:GRCm38:11:73177083:73182152:1 gene:ENSMUSG00000040158.12 transcript:ENSMUST00000040687.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tax1bp3 description:Tax1 (human T cell leukemia virus type I) binding protein 3 [Source:MGI Symbol;Acc:MGI:1923531] MSYTPGQPVTAVVQRVEIHKLRQGENLILGFSIGGGIDQDPSQNPFSEDKTDKGIYVTRV SEGGPAEIAGLQIGDKIMQVNGWDMTMVTHDQARKRLTKRSEEVVRLLVTRQSLQKAVQQ SMLS >ENSMUSP00000104117.1 pep:known chromosome:GRCm38:11:73177270:73182047:1 gene:ENSMUSG00000040158.12 transcript:ENSMUST00000108477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tax1bp3 description:Tax1 (human T cell leukemia virus type I) binding protein 3 [Source:MGI Symbol;Acc:MGI:1923531] MSYTPGQPVTAVVQRVEIHKLRQGENLILGFSIGGGIDQDPSQNPFSEDKTDKVNGWDMT MVTHDQARKRLTKRSEEVVRLLVTRQSLQKAVQQSMLS >ENSMUSP00000141584.1 pep:known chromosome:GRCm38:1:191997205:191999970:-1 gene:ENSMUSG00000026637.13 transcript:ENSMUST00000192628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf5 description:TNF receptor-associated factor 5 [Source:MGI Symbol;Acc:MGI:107548] XKALTSHTDKSAWLEAQVRQLLQIVNQQPSRLDLRSLVDAVDSVKQRITQLEASDQRLVL LEGETSKHDAHINIHKAQLNKNEERFKQLEGACYSGKLIWKVTDYRVKKREAVEGHTVSV FSQPFYTSRCGYRLCARAYLNGDGSGKGTHLSLYFVVMRGEFDSLLQWPFRQRVTLMLLD QSGKKNHIVETFKADPNSSSFKRPDGEMNIASGCPRFVSHSTLENSKNTYIKDDTLFLKV AVDLTDLEDL >ENSMUSP00000141931.1 pep:known chromosome:GRCm38:1:192054350:192059162:-1 gene:ENSMUSG00000026637.13 transcript:ENSMUST00000195815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf5 description:TNF receptor-associated factor 5 [Source:MGI Symbol;Acc:MGI:107548] QDHLQHCSFQAVPCPNESCREAMLRKDVKEHLSAYCRFREEKCLYCKRDIVVTNLQDHEE NSCPAYPVSCPNRCVQTIPRARVNEHLTVCPEAEQDCPFKHYGCTVKGKRGNLLEHERAA LQDHMLLVLEKNYQLEQR >ENSMUSP00000082710.6 pep:known chromosome:GRCm38:1:191997430:192092559:-1 gene:ENSMUSG00000026637.13 transcript:ENSMUST00000085573.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf5 description:TNF receptor-associated factor 5 [Source:MGI Symbol;Acc:MGI:107548] MAHSEEQAAVPCAFIRQNSGNSISLDFEPDTEYQFVEQLEERYKCAFCHSVLHNPHQTGC GHRFCQQCIRSLRELNSVPICPVDKEVIKPQEVFKDNCCKREVLNLHVYCKNAPGCNARI ILGRFQDHLQHCSFQAVPCPNESCREAMLRKDVKEHLSAYCRFREEKCLYCKRDIVVTNL QDHEENSCPAYPVSCPNRCVQTIPRARVNEHLTVCPEAEQDCPFKHYGCTVKGKRGNLLE HERAALQDHMLLVLEKNYQLEQRVNALLSSLERKKKMAAADSAIQHATNPMSRFQDIFSF LYRKALTSHTDKSAWLEAQVRQLLQIVNQQPSRLDLRSLVDAVDSVKQRITQLEASDQRL VLLEGETSKHDAHINIHKAQLNKNEERFKQLEGACYSGKLIWKVTDYRVKKREAVEGHTV SVFSQPFYTSRCGYRLCARAYLNGDGSGKGTHLSLYFVVMRGEFDSLLQWPFRQRVTLML LDQSGKKNHIVETFKADPNSSSFKRPDGEMNIASGCPRFVSHSTLENSKNTYIKDDTLFL KVAVDLTDLEDL >ENSMUSP00000086052.4 pep:known chromosome:GRCm38:4:136423524:136444398:1 gene:ENSMUSG00000070687.11 transcript:ENSMUST00000088677.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr1d description:5-hydroxytryptamine (serotonin) receptor 1D [Source:MGI Symbol;Acc:MGI:96276] MSPPNQSLEGLPQEASNRSLNVTGAWDPEVLQALRISLVVVLSVITLATVLSNAFVLTTI LLTKKLHTPANYLIGSLATTDLLVSILVMPISIAYTTTRTWNFGQILCDIWVSSDITCCT ASILHLCVIALDRYWAITDALEYSKRRTAGHAAAMIAAVWIISICISIPPLFWRQATAHE EMSDCLVNTSQISYTIYSTCGAFYIPSILLIILYGRIYVAARSRILNPPSLYGKRFTTAQ LITGSAGSSLCSLNPSLHESHTHTVGSPLFFNQVKIKLADSILERKRISAARERKATKTL GIILGAFIICWLPFFVVSLVLPICRDSCWIHPALFDFFTWLGYLNSLINPVIYTVFNEDF RQAFQKVVHFRKIS >ENSMUSP00000112402.1 pep:known chromosome:GRCm38:4:136423526:136443764:1 gene:ENSMUSG00000070687.11 transcript:ENSMUST00000121571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr1d description:5-hydroxytryptamine (serotonin) receptor 1D [Source:MGI Symbol;Acc:MGI:96276] MSPPNQSLEGLPQEASNRSLNVTGAWDPEVLQALRISLVVVLSVITLATVLSNAFVLTTI LLTKKLHTPANYLIGSLATTDLLVSILVMPISIAYTTTRTWNFGQILCDIWVSSDITCCT ASILHLCVIALDRYWAITDALEYSKRRTAGHAAAMIAAVWIISICISIPPLFWRQATAHE EMSDCLVNTSQISYTIYSTCGAFYIPSILLIILYGRIYVAARSRILNPPSLYGKRFTTAQ LITGSAGSSLCSLNPSLHESHTHTVGSPLFFNQVKIKLADSILERKRISAARERKATKTL GIILGAFIICWLPFFVVSLVLPICRDSCWIHPALFDFFTWLGYLNSLINPVIYTVFNEDF RQAFQKVVHFRKIS >ENSMUSP00000112840.1 pep:known chromosome:GRCm38:4:136423530:136443764:1 gene:ENSMUSG00000070687.11 transcript:ENSMUST00000117699.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr1d description:5-hydroxytryptamine (serotonin) receptor 1D [Source:MGI Symbol;Acc:MGI:96276] MSPPNQSLEGLPQEASNRSLNVTGAWDPEVLQALRISLVVVLSVITLATVLSNAFVLTTI LLTKKLHTPANYLIGSLATTDLLVSILVMPISIAYTTTRTWNFGQILCDIWVSSDITCCT ASILHLCVIALDRYWAITDALEYSKRRTAGHAAAMIAAVWIISICISIPPLFWRQATAHE EMSDCLVNTSQISYTIYSTCGAFYIPSILLIILYGRIYVAARSRILNPPSLYGKRFTTAQ LITGSAGSSLCSLNPSLHESHTHTVGSPLFFNQVKIKLADSILERKRISAARERKATKTL GIILGAFIICWLPFFVVSLVLPICRDSCWIHPALFDFFTWLGYLNSLINPVIYTVFNEDF RQAFQKVVHFRKIS >ENSMUSP00000125481.1 pep:known chromosome:GRCm38:8:95310566:95313150:1 gene:ENSMUSG00000090206.7 transcript:ENSMUST00000162555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tepp description:testis, prostate and placenta expressed [Source:MGI Symbol;Acc:MGI:1920657] MAQIIDLVPWDECSAHLYASPAVLLPLERVRHPLAGVKHQLYHPALPSLRRMDMDTVKGC LSDE >ENSMUSP00000118840.1 pep:known chromosome:GRCm38:8:95310586:95320543:1 gene:ENSMUSG00000090206.7 transcript:ENSMUST00000135142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tepp description:testis, prostate and placenta expressed [Source:MGI Symbol;Acc:MGI:1920657] MAQIIDLVPWDECSAHLYASPAVLLPLERVRHPLAGVKHQLYHPALPSLRRMDMDTVKGC LSDEHCQSSTYFSKDDFNKAHFTLLGVPNKPLQCLDFTATGQKLCHKYRGGKMIPIAPGI NRVDWPCFTRAIEDWSKFVSRSEEFKLPCANKRVEGFSGYAVRYLKPEVTQNWR >ENSMUSP00000096080.2 pep:known chromosome:GRCm38:8:95311598:95321329:1 gene:ENSMUSG00000090206.7 transcript:ENSMUST00000098480.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tepp description:testis, prostate and placenta expressed [Source:MGI Symbol;Acc:MGI:1920657] MIPIAPGINRVDWPCFTRAIEDWSKFVSRSEEFKLPCANKRVEGFSGYAVRYLKPEVTQN WRYCLNQNPSLDRYGQKPLPFDSLNAFRRFGSHYSRINYLTPWH >ENSMUSP00000125362.1 pep:known chromosome:GRCm38:8:95311602:95321329:1 gene:ENSMUSG00000090206.7 transcript:ENSMUST00000161029.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tepp description:testis, prostate and placenta expressed [Source:MGI Symbol;Acc:MGI:1920657] MAQIIDLVPWDECSAHLYASPAVLLPLERVRHPLAGVKHQLYHPALPSLRRMDMDTVKGC LSDEHCQSSTYFSKDDFNKAHFTLLGVPNKPLQCLDFTATGQKLCHKYRGGKMIPIAPGI NRVDWPCFTRAIEDWSKFVSRSEEFKLPCANKRVEGFSGYAVRYLKPEVTQNWRYCLNQN PSLDRYGQKPLPFDSLNAFRRFGSHYSRINYLTPWH >ENSMUSP00000124913.1 pep:known chromosome:GRCm38:8:95320496:95326211:1 gene:ENSMUSG00000090206.7 transcript:ENSMUST00000162294.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tepp description:testis, prostate and placenta expressed [Source:MGI Symbol;Acc:MGI:1920657] GYAVRYLKPEVTQNWRYCLNQNPSLDRYGQKPLPFDSLNAFRRFGSHYRTRSPWLVLSSP TLAFGKWRLVSCS >ENSMUSP00000056212.4 pep:known chromosome:GRCm38:1:171358446:171360798:-1 gene:ENSMUSG00000045259.4 transcript:ENSMUST00000061878.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc9 description:kelch domain containing 9 [Source:MGI Symbol;Acc:MGI:1916124] MAGVQTLGRARGSTWTWRPVARDVLLARAFHSCTELEGRFYLVGGLLEGGARVPSNDTVI FDPAVGQAVRLVARGSPLRSHHDAALVGGRWLCVVGGWDGSRRLSTVAALDTEREVWEAW AANPGNCPPAGLSSHTCTRLSDGELRVSGREGGTHTQRRYGSIYTLKLDHRTRTYCYKEE GCHTTSRSGHCAALLPTAGPHPGHQLLLFGGCNSVGPEVAGQWSPGKIKEEQPVAPHLRE QLARLVSSGQGLQQGPQSLRHHSCSVVGPFAVLFGGETLTRARDTICNDLYIYDTRKSPP LWFHFPSTDRGLKRVGHRTCLWNDQLYLVGGFGEDGRTASPQVCILEFFI >ENSMUSP00000106328.1 pep:known chromosome:GRCm38:2:120716522:120731518:-1 gene:ENSMUSG00000027284.16 transcript:ENSMUST00000110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdan1 description:congenital dyserythropoietic anemia, type I (human) [Source:MGI Symbol;Acc:MGI:1916218] MAAVLESLLREEVPVAAAVRWIARSTPSSEVTVSEVAALSALRPLRKEFVPFLLNFLREQ SSRVLPQGPSTPAKTPVASAALPARQGAPARGGRGARSQLFPAAEPLSAAAEAPLARRAG RRRGPGPGPSRERGGRGSGAAEEGASGESPPWAGGRKPKGSGSPGSPRLSLSDPPNLSNL EEFPPVGTVPPGSAGTKPSRRINPTPVSEERSLSKPKTCFTSPPISCVPSSQPSTLDTSP WGLGLPPGCRSLQEEREMLRKARTKQLQQSPTPASPIPESGSPVPSRTGNLTAEPADPAR VSSRQRLELVALIYSSCIAENLVPNLFLELFFVLQLLTARRMVATKDSDLESSQGALDSL DTPLFRSIHDCVFFAVQVLEHQFQVLSYLDKGTLKLLAENERLLCFSPALQGRLRAAYEG SVAKVSLVIPPSAQAVSFQPETDNRANFSSDRAFHTFKKQRDVFYEVLREWEDHHEEPSW DFEKGLGSRIRAMMGQLSAACSHSHFVRLFQKQLLQMCQSPGGAGGSVLGEAPDVLNMLG ADKLGRLRQLQERLIAPQSSGGPCPPPTFPGCQGFFRDFIMSASSFHFNQHLMDSLSLKI RELNGLRLPQHEPGDEDGESDMDWQGERRQFAVVLLSLRLLAKFLGFVAFLPYRGHEPPP TRELQDSILALRSQVPPVLDIRALLQQGLWARRAVLTVPWLVEFLSFADHIVPLLDYYRS VFTLLLRLHRSLVLSKENEGEMCFLNKLLLLAVLGWLFQIPTVPEDLFFLEDGQVDAFEV TTTASEHGLDSVPVVDQQLLYTCCPYIGELRKLLASWVSGSSGRSGGFVRKITPTTTSSL GALPLQTSQGLQAQLAEAFFHNQPPSLRRTVEFVAERIGSNCVKHIKATLVADLVHQAES LLQEQLVARGQEGGDPAQLLESLCSQLCPHGAQALTQGREFCQRKSPTAVRALLPEETPA AVLSSAENIAVGLATEKACSWLSANITALIRREVKAAVSRMLRAQGPEPTARVERRGCSR ACEHHAPLPSHLISEIKDVLSLAAGPRDPEEGVSPEHLEQLLNQMGQSLRCRQFLCPTAE QHLAKCSVELASLLVADQIPILGPPTQHRLERGHARRLLHMLLSLWKDDFQGPVPLQLLL SPRNVGLLADTRPREWDLLLFLLRELVEKDLMGHLEIEACLGRLNEAQWPGDFSEELSTL FRLFLAEPHLLEPQLRACELMQPNRGTVLAQS >ENSMUSP00000106329.1 pep:known chromosome:GRCm38:2:120716154:120731517:-1 gene:ENSMUSG00000027284.16 transcript:ENSMUST00000110701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdan1 description:congenital dyserythropoietic anemia, type I (human) [Source:MGI Symbol;Acc:MGI:1916218] MAAVLESLLREEVPVAAAVRWIARSTPSSEDSSEVAALSALRPLRKEFVPFLLNFLREQS SRVLPQGPSTPAKTPVASAALPARQGAPARGGRGARSQLFPAAEPLSAAAEAPLARRAGR RRGPGPGPSRERGGRGSGAAEEGASGESPPWAGGRKPKGSGSPGSPRLSLSDPPNLSNLE EFPPVGTVPPGSAGRTKPSRRINPTPVSEERSLSKPKTCFTSPPISCVPSSQPSTLDTSP WGLGLPPGCRSLQEEREMLRKARTKQLQQSPTPASPIPESGSPVPSRTGNLTAEPADPAR VSSRQRLELVALIYSSCIAENLVPNLFLELFFVLQLLTARRMVATKDSDLESSQGALDSL DTPLFRSIHDCVFFAVQVLEHQFQVLSYLDKGTLKLLAENERLLCFSPALQGRLRAAYEG SVAKVSLVIPPSAQAVSFQPETDNRANFSSDRAFHTFKKQRDVFYEVLREWEDHHEEPSW DFEKGLGSRIRAMMGQLSAACSHSHFVRLFQKQLLQMCQSPGGAGGSVLGEAPDVLNMLG ADKLGRLRQLQERLIAPQSSGGPCPPPTFPGCQGFFRDFIMSASSFHFNQHLMDSLSLKI RELNGLRLPQHEPGDEDGESDMDWQGERRQFAVVLLSLRLLAKFLGFVAFLPYRGHEPPP TRELQDSILALRSQVPPVLDIRALLQQGLWARRAVLTVPWLVEFLSFADHIVPLLDYYRS VFTLLLRLHRSLVLSKENEGEMCFLNKLLLLAVLGWLFQIPTVPEDLFFLEDGQVDAFEV TTTASEHGLDSVPVVDQQLLYTCCPYIGELRKLLASWVSGSSGRSGGFVRKITPTTTSSL GALPLQTSQGLQAQLAEAFFHNQPPSLRRTVEFVAERIGSNCVKHIKATLVADLVHQAES LLQEQLVARGQEGGDPAQLLESLCSQLCPHGAQALTQGREFCQRKSPTAVRALLPEETPA AVLSSAENIAVGLATEKACSWLSANITALIRREVKAAVSRMLRAQGPEPTARVERRGCSR ACEHHAPLPSHLISEIKFHHCSLQDVLSLAAGPRDPEEGVSPEHLEQLLNQMGQSLRCRQ FLCPTAEQHLAKCSVELASLLVADQIPILGPPTQHRLERGHARRLLHMLLSLWKDDFQGP VPLQLLLSPRNVGLLADTRPREWDLLLFLLRELVEKDLMGHLEIEACLGRLNEAQWPGDF SEELSTLFRLFLAEPHLLEPQLRACELMQPNRGTVLAQS >ENSMUSP00000063887.3 pep:known chromosome:GRCm38:2:125068124:125088677:1 gene:ENSMUSG00000035183.14 transcript:ENSMUST00000070353.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a5 description:solute carrier family 24, member 5 [Source:MGI Symbol;Acc:MGI:2677271] MRTKWGPTWTRRVLLLGIFWVSAYLPVRGVSLPPRLPRATGNSTQCAVSPASEFPEGFFT KQESTDGGIVIYFLIILYMCMAISIVCDKYFLPSLEIISDSLGLSQDVAGATFMAAGSSA PELVTAFLGVFITKGDIGISTILGSAIYNLLGICAACGLLSNMVSTLSCWPLFRDCAVYA VSVGAVFGIIFDNRIYWYEGAGLLLIYGLYVLLLCFDTTISRHVMKTCSPCCPCLARAME ERIEQQTLLGWEDESQLFIRRQSRTDSGIFQEDSGYSQLSLSLHGLSQVSEDPPSVFSMP EADLRRIFWVLSLPIITLLALTTPDCRRKFWKNYFVITFFMSALWISAFTYILVWMVTVT GETLGIPDTVMGLTLLAAGTSIPDTVTSVLVARKGKGDMAISNIVGSNVFDMLCLGLPWF IKTAFTNASAPIEVNSKGLTYITISLNISILFLFLAVHFNGWKLDRKLGVVCLVLYLGLA TLSVLYEIGIIGNNRIRGCGV >ENSMUSP00000102268.1 pep:known chromosome:GRCm38:4:108637466:108780798:-1 gene:ENSMUSG00000034557.14 transcript:ENSMUST00000106657.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve9 description:zinc finger, FYVE domain containing 9 [Source:MGI Symbol;Acc:MGI:2652838] MENYFQAEAYNLDKVLDEFEQNEDETVSPTLLDTKWNKILDPSSHPLSFNPALASVNEPT VSETGPQLKVFSLAGSAPLTKEDKDPCANGQDCSLNPETDTMWIDENAVTEDQLIKRNYN QDDQFSAVEVGEEKCGSLTCLPDEKNVLVVAVMHNCDKRTLQSDLQDCNNYNSQSLMNSF SCSLDNETRQTDQFSFSMNGSTEKGINSEKQMDALNKPKPERDSVNHLCAASSNSATSIS SPSQLKDGENVGRDPSTSTVTSLAVNSSQGMDGGPAIKQQGNYMPDEDLSGMNSSSRTDL GISNSFSHSSGELLIKTEPAEERTAEDSLPSDLSLNLKPDTPALSGRDNCEQSSDCLGSS ETRADEDEGNDSQMTNWKLTKLNEMSDSQVNEENQMALQSNQPEDTNSGGECVGMADSDL DFKGTCMNESEGYDFSTVNDAPAANSLSNSCDSYGMQSPIVSFVPKTLPSKEDSVTEEKE IEESKSECYSNIYEQRGNENAEGSGLLLNSTGNVMKKNYLHNFCSQIPSVLGQSSPKIAN LQSISVPFGGARPKQPSNLKLQIPKPLSDHLQNDLPANNGNNSKNKNDVLGKAKLGENSA VNACSATLGNISVTDTNGEHLETYEAEISSRPCLALAPDSPDNDLRASQFGISARKPFTT LGEVAPVWVPDSQAPNCMKCEARFTFTKRRHHCRACGKVFCASCCSLKCKLLYMDRKEAR VCVICHSVLMNAQAWENMMSASSQSPNPNNPAEYCSTIPPLQQAQASGALSSPPPTVMVP VGVLKHPGTEVPQPREQRRVWFADGILPNGEVADAAKLTMNGTSSAGTLAVSHDPVKPVA TSPLPTEADTSLFSGSITQVGSPVGSAMNLIPEDGLPPILISTGVKGDYAVEEKPSQISV MQQLEDGGPDPLVFVLNANLLSMVKIVNYVNRKCWCFTTKGMHAVGQSEIVILLQCLPDE KCLPKDIFNHFVQLYRDALAGNVVGSLGHSFFSQSFLGSKEHGGFLYVTSTYQSLQDLVL PTPPYLFGILIQKWETPWAKVFPIRLLLRLGAEYRLYPCPLFSVRFRKPLFGETGHTIMN LLADFRNYQYTLPVVQGLVVDMEVRKTSIKIPSNRYNEMMKAMNKSNEHVLAGGACFNEK ADSHLVCVQNDDGNYQTQAISIHNQPRKVTGASFFVFSGALKSSSGYLAKSSIVEDGVMV QITAENMDSLRQALREMKDFTITCGKADAEDPQEQIHIQWVDDDKTVNKGVVSPIDGKSM ESITNVKIFHGSEYKANGKVIRWTEVFFLENDDHHNCLSDPADHSRLTEHVAKAFCLALC PHLKLLKEDGMTKLGLRVTLDSDQVGYQAGSNGQPLPSQYMNDLDSALVPVIHGGACQLS EGPVVMELIFYILENIA >ENSMUSP00000102269.1 pep:known chromosome:GRCm38:4:108637466:108780503:-1 gene:ENSMUSG00000034557.14 transcript:ENSMUST00000106658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve9 description:zinc finger, FYVE domain containing 9 [Source:MGI Symbol;Acc:MGI:2652838] MENYFQAEAYNLDKVLDEFEQNEDETVSPTLLDTKWNKILDPSSHPLSFNPALASVNEPT VSETGPQLKVFSLAGSAPLTKEDKDPCANGQDCSLNPETDTMWIDENAVTEDQLIKRNYN QDDQFSAVEVGEEKCGSLTCLPDEKNVLVVAVMHNCDKRTLQSDLQDCNNYNSQSLMNSF SCSLDNETRQTDQFSFSMNGSTEKGINSEKQMDALNKPKPERDSVNHLCAASSNSATSIS SPSQLKDGENVGRDPSTSTVTSLAVNSSQGMDGGPAIKQQGNYMPDEDLSGMNSSSRTDL GISNSFSHSSGELLIKTEPAEERTAEDSLPSDLSLNLKPDTPALSGRDNCEQSSDCLGSS ETRADEDEGNDSQMTNWKLTKLNEMSDSQVNEENQMALQSNQPEDTNSGGECVGMADSDL DFKGTCMNESEGYDFSTVNDAPAANSLSNSCDSYGMQSPIVSFVPKTLPSKEDSVTEEKE IEESKSECYSNIYEQRGNENAEGSGLLLNSTGNVMKKNYLHNFCSQIPSVLGQSSPKIAN LQSISVPFGGARPKQPSNLKLQIPKPLSDHLQNDLPANNGNNSKNKNDVLGKAKLGENSA VNACSATLGNISVTDTNGEHLETYEAEISSRPCLALAPDSPDNDLRASQFGISARKPFTT LGEVAPVWVPDSQAPNCMKCEARFTFTKRRHHCRACGKVFCASCCSLKCKLLYMDRKEAR VCVICHSVLMNVPQPREQRRVWFADGILPNGEVADAAKLTMNGTSSAGTLAVSHDPVKPV ATSPLPTEADTSLFSGSITQVGSPVGSAMNLIPEDGLPPILISTGVKGDYAVEEKPSQIS VMQQLEDGGPDPLVFVLNANLLSMVKIVNYVNRKCWCFTTKGMHAVGQSEIVILLQCLPD EKCLPKDIFNHFVQLYRDALAGNVVGSLGHSFFSQSFLGSKEHGGFLYVTSTYQSLQDLV LPTPPYLFGILIQKWETPWAKVFPIRLLLRLGAEYRLYPCPLFSVRFRKPLFGETGHTIM NLLADFRNYQYTLPVVQGLVVDMEVRKTSIKIPSNRYNEMMKAMNKSNEHVLAGGACFNE KADSHLVCVQNDDGNYQTQAISIHNQPRKVTGASFFVFSGALKSSSGYLAKSSIVEDGVM VQITAENMDSLRQALREMKDFTITCGKADAEDPQEQIHIQWVDDDKTVNKGVVSPIDGKS MESITNVKIFHGSEYKANGKVIRWTEVFFLENDDHHNCLSDPADHSRLTEHVAKAFCLAL CPHLKLLKEDGMTKLGLRVTLDSDQVGYQAGSNGQPLPSQYMNDLDSALVPVIHGGACQL SEGPVVMELIFYILENIA >ENSMUSP00000039852.7 pep:known chromosome:GRCm38:4:108639259:108723876:-1 gene:ENSMUSG00000034557.14 transcript:ENSMUST00000042185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve9 description:zinc finger, FYVE domain containing 9 [Source:MGI Symbol;Acc:MGI:2652838] MNLNKMKVFCASCCSLKCKLLYMDRKEARVCVICHSVLMNAQAWENMMSASSQSPNPNNP AEYCSTIPPLQQAQASGALSSPPPTVMVPVGVLKHPGTEVPQPREQRRVWFADGILPNGE VADAAKLTMNGTSSAGTLAVSHDPVKPVATSPLPTEADTSLFSGSITQVGSPVGSAMNLI PEDGLPPILISTGVKGDYAVEEKPSQISVMQQLEDGGPDPLVFVLNANLLSMVKIVNYVN RKCWCFTTKGMHAVGQSEIVILLQCLPDEKCLPKDIFNHFVQLYRDALAGNVVGSLGHSF FSQSFLGSKEHGGFLYVTSTYQSLQDLVLPTPPYLFGILIQKWETPWAKVFPIRLLLRLG AEYRLYPCPLFSVRFRKPLFGETGHTIMNLLADFRNYQYTLPVVQGLVVDMEVRKTSIKI PSNRYNEMMKAMNKSNEHVLAGGACFNEKADSHLVCVQNDDGNYQTQAISIHNQPRKVTG ASFFVFSGALKSSSGYLAKSSIVEDGVMVQITAENMDSLRQALREMKDFTITCGKADAED PQEQIHIQWVDDDKTVNKGVVSPIDGKSMESITNVKIFHGSEYKANGKVIRWTEVFFLEN DDHHNCLSDPADHSRLTEHVAKAFCLALCPHLKLLKEDGMTKLGLRVTLDSDQVGYQAGS NGQPLPSQYMNDLDSALVPVIHGGACQLSEGPVVMELIFYILENIA >ENSMUSP00000037536.6 pep:known chromosome:GRCm38:7:27305136:27337692:-1 gene:ENSMUSG00000040488.16 transcript:ENSMUST00000038618.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp4 description:latent transforming growth factor beta binding protein 4 [Source:MGI Symbol;Acc:MGI:1321395] MRRPGLGGPCPLLLLLLLPAATSASGSSPSPSPSPIEKAVVPSHQAGVAACHCCLDQTPK SSRCTRASCRVRNCPPAKCTGLEGCLTPTPSVPSPSRSPVEKSQVSLNWQPLTLQEARAL LRQRRPRGPWARALLKRRPPHRAPAGQARVLCPLICHNGGVCVKPDRCLCPPDFAGKFCQ LHSSGARPPAPAMPGLTRSVYTMPLANHRDDEHGVASMVSVHVEHPQEASVVVHQVERVS GPWEEANPEALARAEAAARAEAAAPYTVLAQSAPREDGYSDASGFGYCFRELRGSECASP LPGLRTQEVCCRGEGLAWGVHDCHPCAEHLRNSNQVSGPNGPCPPGFERVNGSCVDVDEC ATGGRCQHGECANTRGGYTCVCPDGFLLDSSRSSCISQHVISEAKGPCYRVLHDGGCSLP ILRNITKQICCCSRVGKAWGRGCQLCPPYGSEGFREICPAGPGYHYSASDLRYNTRPLNQ DPPRVTFNQPRVPPATPRPPTGFLPTRRPEPRPDPGPQPEPRPRPEPRPRPESRPRPEPR PRPEPRPQPESQPRPESRPRPESQPWPEFPLPSIPAWTGPEIPESGPSSSMCQRNPQVCG PGRCVPRPSGYTCACDPGFRLGPQGTRCIDIDECRRVPTPCAPGRCENTPGSFRCVCGTG FQAGPRATECLDVDECRRVPPPCDRGRCENTPGSFLCVCPAGYQAAPHGASCQDVDECTQ SPGLCGRGVCENLPGSFRCVCPAGFRGSACEEDVDECAQQPPPCGPGRCDNTAGSFHCAC PAGFRSRGPGAPCQDVDECSRSPSPCAYGRCENTEGSFKCVCPTGFQPNAAGSECEDVDE CENRLACPGQECVNSPGSFQCRACPVGHHLHRGRCTDVDECSSGTPCGLHGQCTNTKGSF HCSCSTGYRAPSGQPGPCADINECLEGDFCFPHGECLNTDGSFTCTCAPGYRPGPRGASC LDVDECSEEDLCQSGICTNTDGSFECICPPGHRAGPDLASCLDIDECRERGPALCGSQRC ENSPGSYRCVRDCDPGYHPGPEGTCDDIDECREYGSAICGAQRCENTPGSYRCTPACDPG YQPTPGGGCQDVDECRNRSFCGAHAMCQNLPGSFQCVCDQGYEGARDGRHCVDVNECETL QGVCGSALCENVEGSFLCVCPNSPEEFDPMTGRCVPPRAPAGTFPGSQPQAPASPSLPAR PPAPPPPRRPSPPRQGPVSSGRRECYFDTAAPDACDNILARNVTWQECCCTVGEGWGSGC RIQQCPGTETAEYQSLCPHGRGYLVPSGDLSARRDVDECQLFQDQVCKSGVCVNTAPGYS CYCSNGFYYHAHRLECVDNDECADEEPACEGGRCVNTVGSYHCTCEPPLVLDGSRRRCVS NESQSLDDNLGVCWQEVGPDLVCSRPRLDRQATYTECCCLYGEAWGMDCALCPAQDSDDF EALCNVLRPPAYGPPRPGGFGIPYEYGPDIGPPYQSLPYGPDLYPPPVLPYDPYPPPPGP FARREAPYGAPPFDMPDFEDDGGPYGESETPDPPSRGTGWPYRSRDTRGSFPEPEESSER GSYTGALSEPYEGLEAEECGILDGCPHGRCVRVPEGFTCDCFDGYRLDITRMSCVDVNEC DEAEATSPLCVNARCVNTDGSFRCICRPGFAPTHQPHHCAPARPRA >ENSMUSP00000113674.1 pep:known chromosome:GRCm38:7:27305140:27333663:-1 gene:ENSMUSG00000040488.16 transcript:ENSMUST00000121175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp4 description:latent transforming growth factor beta binding protein 4 [Source:MGI Symbol;Acc:MGI:1321395] MAGGAQLLWVSLLVLLAQLGPQPVLGRPRERLRVRFTPAVCGLRCIHGPTGSRCTPTCAP RNATSVDSGAPGGAAPGGPGFRAFLCPLICHNGGVCVKPDRCLCPPDFAGKFCQLHSSGA RPPAPAMPGLTRSVYTMPLANHRDDEHGVASMVSVHVEHPQEASVVVHQVERVSGPWEEA NPEALARAEAAARAEAAAPYTVLAQSAPREDGYSDASGFGYCFRELRGSECASPLPGLRT QEVCCRGEGLAWGVHDCHPCAEHLRNSNQVSGPNGPCPPGFERVNGSCVDVDECATGGRC QHGECANTRGGYTCVCPDGFLLDSSRSSCISQHVISEAKGPCYRVLHDGGCSLPILRNIT KQICCCSRVGKAWGRGCQLCPPYGSEGFREICPAGPGYHYSASDLRYNTRPLNQDPPRVT FNQPRVPPATPRPPTGFLPTRRPEPRPDPGPQPEPRPRPEPRPRPESRPRPEPRPRPEPR PQPESQPRPESRPRPESQPWPEFPLPSIPAWTGPEIPESGPSSSMCQRNPQVCGPGRCVP RPSGYTCACDPGFRLGPQGTRCIDIDECRRVPTPCAPGRCENTPGSFRCVCGTGFQAGPR ATECLDVDECRRVPPPCDRGRCENTPGSFLCVCPAGYQAAPHGASCQDVDECTQSPGLCG RGVCENLPGSFRCVCPAGFRGSACEEDVDECAQQPPPCGPGRCDNTAGSFHCACPAGFRS RGPGAPCQDVDECSRSPSPCAYGRCENTEGSFKCVCPTGFQPNAAGSECEDVDECENRLA CPGQECVNSPGSFQCRACPVGHHLHRGRCTDVDECSSGTPCGLHGQCTNTKGSFHCSCST GYRAPSGQPGPCADINECLEGDFCFPHGECLNTDGSFTCTCAPGYRPGPRGASCLDVDEC SEEDLCQSGICTNTDGSFECICPPGHRAGPDLASCLDIDECRERGPALCGSQRCENSPGS YRCVRDCDPGYHPGPEGTCDDIDECREYGSAICGAQRCENTPGSYRCTPACDPGYQPTPG GGCQDVDECRNRSFCGAHAMCQNLPGSFQCVCDQGYEGARDGRHCVDVNECETLQGVCGS ALCENVEGSFLCVCPNSPEEFDPMTGRCVPPRAPAGTFPGSQPQAPASPSLPARPPAPPP PRRPSPPRQGPVSSGRRECYFDTAAPDACDNILARNVTWQECCCTVGEGWGSGCRIQQCP GTETAEYQSLCPHGRGYLVPSGDLSARRDVDECQLFQDQVCKSGVCVNTAPGYSCYCSNG FYYHAHRLECVDNDECADEEPACEGGRCVNTVGSYHCTCEPPLVLDGSRRRCVSNESQSL DDNLGVCWQEVGPDLVCSRPRLDRQATYTECCCLYGEAWGMDCALCPAQDSDDFEALCNV LRPPAYGPPRPGGFGIPYEYGPDIGPPYQSLPYGPDLYPPPVLPYDPYPPPPGPFARREA PYGAPPFDMPDFEDDGGPYGESETPDPPSRGTGWPYRSRDTRGSFPEPEESSERGSYTGA LSEPYEGLEAEECGILDGCPHGRCVRVPEGFTCDCFDGYRLDITRMSCVDVNECDEAEAT SPLCVNARCVNTDGSFRCICRPGFAPTHQPHHCAPARPRA >ENSMUSP00000113523.1 pep:known chromosome:GRCm38:7:27305998:27333613:-1 gene:ENSMUSG00000040488.16 transcript:ENSMUST00000118583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp4 description:latent transforming growth factor beta binding protein 4 [Source:MGI Symbol;Acc:MGI:1321395] MAGGAQLLWVSLLVLLAQLGPQPVLGRPRERLRVRFTPAVCGLRCIHGPTGSRCTPTCAP RNATSVDSGAPGGAAPGGPGFRAFLCPLICHNGGVCVKPDRCLCPPDFAGKFCQLHSSGA RPPAPAMPGLTRSVYTMPLANHRDDEHGVASMVSVHVEHPQEASVVVHQVERVSGPWEEA NPEALARAEAAARAEAAAPYTVLAQSAPREDGYSDASGFGYCFRELRGSECASPLPGLRT QEVCCRGEGLAWGVHDCHPCAEHLRNSNQVSGPNGPCPPGFERVNGSCVDVDECATGGRC QHGECANTRGGYTCVCPDGFLLDSSRSSCISQHVISEAKGPCYRVLHDGGCSLPILRNIT KQICCCSRVGKAWGRGCQLCPPYGSEGFREICPAGPGYHYSASDLRYNTRPLNQDPPRVT FNQPRVPPATPRPPTGFLPTRRPEPRPDPGPQPEPRPRPEPRPRPESRPRPEPRPRPEPR PQPESQPRPESRPRPESQPWPEFPLPSIPAWTGPEIPESGPSSSMCQRNPQVCGPGRCVP RPSGYTCACDPGFRLGPQGTRCIDIDECRRVPTPCAPGRCENTPGSFRCVCGTGFQAGPR ATECLDVDECRRVPPPCDRGRCENTPGSFLCVCPAGYQAAPHGASCQDVDECTQSPGLCG RGVCENLPGSFRCVCPAGFRGSACEEDVDECAQQPPPCGPGRCDNTAGSFHCACPAGFRS RGPGAPCQDVDECSRSPSPCAYGRCENTEGSFKCVCPTGFQPNAAGSECEDVDECENRLA CPGQECVNSPGSFQCRACPVGHHLHRGRCTDVDECSSGTPCGLHGQCTNTKGSFHCSCST GYRAPSGQPGPCADINECLEGDFCFPHGECLNTDGSFTCTCAPGYRPGPRGASCLDVDEC SEEDLCQSGICTNTDGSFECICPPGHRAGPDLASCLDIDECRERGPALCGSQRCENSPGS YRCVRDCDPGYHPGPEGTCDDIDECREYGSAICGAQRCENTPGSYRCTPACDPGYQPTPG GGCQDVDECRNRSFCGAHAMCQNLPGSFQCVCDQGYEGARDGRHCVDVNECETLQGVCGS ALCENVEGSFLCVCPNSPEEFDPMTGRCVPPRAPAGTFPGSQPQAPASPSLPARPPAPPP PRRPSPPRQGPVSSGRRECYFDTAAPDACDNILARNVTWQECCCTVGEGWGSGCRIQQCP GTETAEYQSLCPHGRGYLVPSGDLSARRDVDECQLFQDQVCKSGVCVNTAPGYSCYCSNG FYYHAHRLECVDNDECADEEPACEGGRCVNTVGSYHCTCEPPLVLDGSRRRCVSNESQSL DDNLGVCWQEVGPDLVCSRPRLDRQATYTECCCLYGEAWGMDCALCPAQDSDDFEALCNV LRPPAYGPPRPGGFGIPYEYGPDIGPPYQSLPYGPDLYPPPVLPYDPYPPPPGPFARREA PYGAPPFDMPDFEDDGGPYGESETPDPPSRGTGWPYRSRDTRGSFPEPEESSERGSYTGA LSEPYEGLEAEECGILDGCPHGRCVRVPEGFTCDCFDGYRLDITRMSCVGEEGEEREG >ENSMUSP00000120326.1 pep:known chromosome:GRCm38:7:27306623:27310659:-1 gene:ENSMUSG00000040488.16 transcript:ENSMUST00000125455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp4 description:latent transforming growth factor beta binding protein 4 [Source:MGI Symbol;Acc:MGI:1321395] CYFDTAAPDACDNILARNVTWQECCCTVGEGWGSGCRIQQCPGTETAEYQSLCPHGRGYL VPSGDLSARRDDNLGVCWQEVGPDLVCSRPRLDRQATYTECCCLYGEAWGMDCALCPAQD SDDFEALCNVLRPPAYGPPRPGGFGIPYEYGPDIGPPYQSLPYGPDLYPPPVLPYDPYPP PPGPFARREAPYGAPPFDMPDFEDDGGPYGESETPDPPSRGTGWPYRS >ENSMUSP00000104006.2 pep:known chromosome:GRCm38:7:27305142:27337692:-1 gene:ENSMUSG00000040488.16 transcript:ENSMUST00000108369.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp4 description:latent transforming growth factor beta binding protein 4 [Source:MGI Symbol;Acc:MGI:1321395] MRRPGLGGPCPLLLLLLLPAATSASGSSPSPSPSPIEKAVVPSHQAGVAACHCCLDQTPK RSAALQPWRAARSCSGCRYWCCWRSWGRSPYWADPESVFACASPPLCAACVASTGPLAPA VPRPARPATPPDSGAPGGAAPGGPGFRAFLCPLICHNGGVCVKPDRCLCPPDFAGKFCQL HSSGARPPAPAMPGLTRSVYTMPLANHRDDEHGVASMVSVHVEHPQEASVVVHQVERVSG PWEEANPEALARAEAAARAEAAAPYTVLAQSAPREDGYSDASGFGYCFRELRGSECASPL PGLRTQEVCCRGEGLAWGVHDCHPCAEHLRNSNQVSGPNGPCPPGFERVNGSCVDVDECA TGGRCQHGECANTRGGYTCVCPDGFLLDSSRSSCISQHVISEAKGPCYRVLHDGGCSLPI LRNITKQICCCSRVGKAWGRGCQLCPPYGSEGFREICPAGPGYHYSASDLRYNTRPLNQD PPRVTFNQPRVPPATPRPPTGFLPTRRPEPRPDPGPQPEPRPRPEPRPRPESRPRPEPRP RPEPRPQPESQPRPESRPRPESQPWPEFPLPSIPAWTGPEIPESGPSSSMCQRNPQVCGP GRCVPRPSGYTCACDPGFRLGPQGTRCIDIDECRRVPTPCAPGRCENTPGSFRCVCGTGF QAGPRATECLDVDECRRVPPPCDRGRCENTPGSFLCVCPAGYQAAPHGASCQDVDECTQS PGLCGRGVCENLPGSFRCVCPAGFRGSACEEDVDECAQQPPPCGPGRCDNTAGSFHCACP AGFRSRGPGAPCQDVDECSRSPSPCAYGRCENTEGSFKCVCPTGFQPNAAGSECEDVDEC ENRLACPGQECVNSPGSFQCRACPVGHHLHRGRCTDVDECSSGTPCGLHGQCTNTKGSFH CSCSTGYRAPSGQPGPCADINECLEGDFCFPHGECLNTDGSFTCTCAPGYRPGPRGASCL DVDECSEEDLCQSGICTNTDGSFECICPPGHRAGPDLASCLDIDECRERGPALCGSQRCE NSPGSYRCVRDCDPGYHPGPEGTCDDIDECREYGSAICGAQRCENTPGSYRCTPACDPGY QPTPGGGCQDVDECRNRSFCGAHAMCQNLPGSFQCVCDQGYEGARDGRHCVDVNECETLQ GVCGSALCENVEGSFLCVCPNSPEEFDPMTGRCVPPRAPAGTFPGSQPQAPASPSLPARP PAPPPPRRPSPPRQGPVSSGRRECYFDTAAPDACDNILARNVTWQECCCTVGEGWGSGCR IQQCPGTETAEYQSLCPHGRGYLVPSGDLSARRDVDECQLFQDQVCKSGVCVNTAPGYSC YCSNGFYYHAHRLECVDNDECADEEPACEGGRCVNTVGSYHCTCEPPLVLDGSRRRCVSN ESQSLDDNLGVCWQEVGPDLVCSRPRLDRQATYTECCCLYGEAWGMDCALCPAQDSDDFE ALCNVLRPPAYGPPRPGGFGIPYEYGPDIGPPYQSLPYGPDLYPPPVLPYDPYPPPPGPF ARREAPYGAPPFDMPDFEDDGGPYGESETPDPPSRGTGWPYRSRDTRGSFPEPEESSERG SYTGALSEPYEGLEAEECGILDGCPHGRCVRVPEGFTCDCFDGYRLDITRMSCVDVNECD EAEATSPLCVNARCVNTDGSFRCICRPGFAPTHQPHHCAPARPRA >ENSMUSP00000134822.1 pep:known chromosome:GRCm38:6:22288227:22293579:1 gene:ENSMUSG00000029671.15 transcript:ENSMUST00000128245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt16 description:wingless-type MMTV integration site family, member 16 [Source:MGI Symbol;Acc:MGI:2136018] MDRAALLALPSLCALWAAVLSLLPCGTQGNWMWLGIASFGVPEKLGCADLPLNSRQKELC KRKPYLLPSIREGARLGIQECRSQFRHERWNCMVATTTSTQLATAPLFGYELSSGTKETA FIYAIMAAGLVHSVTRSCSAGNMTECSCDTTLQNGGSPSEGWHWGGCSDDVQYGMWFSRK FLDLPIRNTTGKESRVLLAMNLHNNEAGRQVCIGKLNKTLVSLGK >ENSMUSP00000031681.3 pep:known chromosome:GRCm38:6:22288230:22298522:1 gene:ENSMUSG00000029671.15 transcript:ENSMUST00000031681.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt16 description:wingless-type MMTV integration site family, member 16 [Source:MGI Symbol;Acc:MGI:2136018] MDRAALLALPSLCALWAAVLSLLPCGTQGNWMWLGIASFGVPEKLGCADLPLNSRQKELC KRKPYLLPSIREGARLGIQECRSQFRHERWNCMVATTTSTQLATAPLFGYELSSGTKETA FIYAIMAAGLVHSVTRSCSAGNMTECSCDTTLQNGGSPSEGWHWGGCSDDVQYGMWFSRK FLDLPIRNTTGKESRVLLAMNLHNNEAGRQAVAKLMSVDCRCHGVSGSCAVKTCWKTMSS FEKIGHFLKDKYENSIQISDKTKRKMRRREKDQRQTPILKDDLLYVHKSPNYCVENKKLG IPGTQGRECNRTSGGADGCNLLCCGRGYNTHVVRHVERCECKFIWCCYVRCRRCESMTDV HTCK >ENSMUSP00000135016.1 pep:known chromosome:GRCm38:6:22288288:22294585:1 gene:ENSMUSG00000029671.15 transcript:ENSMUST00000148639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt16 description:wingless-type MMTV integration site family, member 16 [Source:MGI Symbol;Acc:MGI:2136018] MDRAALLALPSLCALWAAVLSLLPCGTQGNWMWLGIASFGVPEKLGCADLPLNSRQKELC KRKPYLLPSIREGARLGIQECRSQFRHERWNCMVATTTSTQLATAPLFGYELSSGTKETA FIYAIMAAGLVHSVTRSCSAGNMTECSCDTTLQNGGSPSEGWHWGGCSDDVQYGMWFSRK FLDLPIRNTTGKESRVLLAMNLHNNEAGRQLPENIKQPLQPTLTA >ENSMUSP00000135598.1 pep:known chromosome:GRCm38:6:22288861:22297827:1 gene:ENSMUSG00000029671.15 transcript:ENSMUST00000176681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt16 description:wingless-type MMTV integration site family, member 16 [Source:MGI Symbol;Acc:MGI:2136018] XCKRKPYLLPSIREGARLGIQECRSQFRHERWNCMVATTTSTQLATAPLFGYELSSGCRQ VNVCGLPLPRSFRLLC >ENSMUSP00000030547.8 pep:known chromosome:GRCm38:4:137468769:137570630:1 gene:ENSMUSG00000028763.17 transcript:ENSMUST00000030547.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspg2 description:perlecan (heparan sulfate proteoglycan 2) [Source:MGI Symbol;Acc:MGI:96257] MGQRAVGSLLLGLLLHARLLAVTHGLRAYDGLSLPEDTETVTASRYGWTYSYLSDDEDLL ADDASGDGLGSGDVGSGDFQMVYFRALVNFTRSIEYSPQLEDASAKEFREVSEAVVEKLE PEYRKIPGDQIVSVVFIKELDGWVFVELDVGSEGNADGSQIQEVLHTVVSSGSIGPYVTS PWGFKFRRLGTVPQFPRVCTETEFACHSYNECVALEYRCDRRPDCRDMSDELNCEEPVPE LSSSTPAVGKVSPLPLWPEAATTPPPPVTHGPQFLLPSVPGPSACGPQEASCHSGHCIPR DYLCDGQEDCRDGSDELGCASPPPCEPNEFACENGHCALKLWRCDGDFDCEDRTDEANCS VKQPGEVCGPTHFQCVSTNRCIPASFHCDEESDCPDRSDEFGCMPPQVVTPPQQSIQASR GQTVTFTCVATGVPTPIINWRLNWGHIPAHPRVTMTSEGGRGTLIIRDVKEADQGAYTCE AMNSRGMVFGIPDGVLELVPQRGPCPDGHFYLEDSASCLPCFCFGVTNVCQSSLRFRDQI RLSFDQPNDFKGVNVTMPSQPGVPPLSSTQLQIDPALQEFQLVDLSRRFLVHDAFWALPK QFLGNKVDSYGGFLRYKVRYELARGMLEPVQKPDVILVGAGYRLHSRGHTPTHPGTLNQR QVQLSEEHWVHESGRPVQRAEMLQALASLEAVLLQTVYNTKMASVGLSDIVMDTTVTHTT IHGRAHSVEECRCPIGYSGLSCESCDAHFTRVPGGPYLGTCSGCNCNGHASSCDPVYGHC LNCQHNTEGPQCDKCKPGFFGDATKATATACRPCPCPYIDASRRFSDTCFLDTDGQATCD ACAPGYTGRRCESCAPGYEGNPIQPGGKCRPTTQEIVRCDERGSLGTSGETCRCKNNVVG RLCNECSDGSFHLSKQNPDGCLKCFCMGVSRQCSSSSWSRAQVLGASEQPSQFSLSNAAG THTTSEGVSSPAPGELSFSSFHNLLSEPYFWSLPASFRGDKVTSYGGELRFTVTQRPRPS SAPLHRQPLVVLQGNNIVLEHHASRDPSPGQPSNFIVPFQEQAWQRPDGQPATREHLLMA LAGIDALLIQASYTQQPAESRVSGISMDVAVPENTGQDSAREVEQCTCPPGYRGPSCQDC DTGYTRVPSGLYLGTCERCNCHGHSETCEPETGACQSCQHHTEGASCEQCQPGYYGDAQR GTPQDCQPCPCYGAPAAGQAAHTCFLDTDGHPTCDSCSPGHSGRHCERCAPGYYGNPSQG QPCHRDGQVPEVLGCGCDPHGSISSQCDAAGQCQCKAQVEGRTCSHCRPHHFHLSASNPE GCLPCFCMGVTQQCASSSYSRQLISTHFAPGDFQGFALVNPQRNSQLTGGFTVEPVHDGA RLSFSNFAHLGQESFYWQLPEIYQGDKVAAYGGKLRYTLSYTAGPQGSPLLDPDIQITGN NIMLVASQPALQGPERRSYEIIFREEFWRRPDGQPATREHLLMALADLDELLVRATFSSV PRAASISAVSLEVAQPGPSSGPRALEVEECRCPPGYVGLSCQDCAPGYTRTGSGLYLGQC ELCECNGHSDLCHPETGACSRCQHNTAGEFCELCATGYYGDATAGTPEDCQPCACPLTNP ENMFSRTCESLGAGGYRCTACEPGYTGQYCEQCAPGYEGDPNVQGGRCQPLTKESLEVQI HPSRSVVPQGGPHSLRCQVSGSPPHYFYWSREDGRPLPSSAQQRHQGSELHFPSVQPSDA GVYICTCRNLIHTSNSRAELLVAEAPSKPITVTVEEQRSQSVRPGADVTFICTAKSKSPA YTLVWTRLHNGKLPSRAMDFNGILTIRNVQPSDAGTYVCTGSNMFAMDQGTATLHVQVSG TSTAPVASIHPPQLTVQPGQQAEFRCSATGNPTPMLEWIGGPSGQLPAKAQIHNGILRLP AIEPSDQGQYLCRALSSAGQHVARAMLQVHGGSGPRVQVSPERTQVHEGRTVRLYCRAAG VPSASITWRKEGGSLPPQARSENTDIPTLLIPAITAADAGFYLCVATSPTGTAQARIQVV VLSVPVRIESSSPSVTEGQTLDLNCAVMGLTYTQVTWYKRGGSLPPHAQVHGSRLRLPQV SPADSGDYVCRVESDVGPKEASIVVSVLHSPHSGPSYTPATSITPPIRIESSSSHVAEGQ TLDLNCVVPGQAQVTWRKRGGSLPARHQTHGSLLRLHQVSPADSGEYVCHVVLGSEHTET SVLVTIEPAESIPAPGPAPPVRIEASSSTVTEGHMLDLNCVVAGQAHAQVTWYKRGGSLP ARHQVRGSRLYILQASPADAGEYVCRAGNGQEATITVTVTRNHGANLAYPPGSTSPIRIE SSSSHVAEGQTLDLNCVVQGQAHAQVTWHKRGGSLPARHQTHGSLLRLHQVSPVDSGEYV CRVEGGAVPLESSVLVTIEPAGTAPGVIPPVRIESSSSHVSEGQSLDLNCLVSGQTHPQI SWHKRGGSLPARHQVHGSRLRLLQVTPTDSGEYVCRVVSGSGTQEASILVTIQQTLSPSH SQSVVHPVRIESSSPSLANGHTLDLNCLVASLTPHTITWYKRGGSLPSRHQIVGSRLRIP QVTPADSGEYVCHVSNGAGSQETSLIVTIESRGPSHVPSVSPPMRIETSSPTVTEGQTLD LNCVVVGRPQATITWYKRGGSLPFRHQAHGSRLRLHHMSVADSGEYVCRANNNIDAQETS IMISVSPSTNSPPAPASPAPIRIESSSSRVAEGQTLDLNCVVPGHAHAQVTWHKRGGSLP THHQTHGSRLRLYQVSSADSGEYVCSVLSSSGPLEASVLVSITPAAANVHIPGVVPPIRI ETSSSRVAEGQTLDLSCVVPGQAHAQVTWHKRGGSLPAGHQVHGHMLRLNRVSPADSGEY SCQVTGSSGTLEASVLVTIEASEPSPIPAPGLAQPVYIESSSSHLTEGQTVDLKCVVPGQ AHAQVTWHKRGSSLPARHQTHGSLLRLYQLSPADSGEYVCQVAGSSHPEHEASFKLTVPS SQNSSFRLRSPVISIEPPSSTVQQGQDASFKCLIHEGATPIKVEWKIRDQELEDNVHISP NGSIITIVGTRPSNHGAYRCVASNVYGMAQSVVNLSVHGPPTVSVLPEGPVHVKMGKDIT LECISSGEPRSSPRWTRLGIPVKLEPRMFGLMNSHAMLKIASVKPSDAGTYVCQAQNALG TAQKQVELIVDTGTVAPGAPQVQVEESELTLEAGHTATLHCSATGNPPPTIHWSKLRAPL PWQHRIEGNTLVIPRVAQQDSGQYICNATNSAGHTEATVVLHVESPPYATIIPEHTSAQP GNLVQLQCLAHGTPPLTYQWSLVGGVLPEKAVARNQVLRLEPTVPEDSGRYRCQVSNRVG SAEAFAQVLVQGSSSNLPDTSIPGGSTPTVQVTPQLETRNIGASVEFHCAVPNERGTHLR WLKEGGQLPPGHSVQDGVLRIQNLDQSCQGTYVCQAHGPWGQAQATAQLIVQALPSVLIN VRTSVHSVVVGHSVEFECLALGDPKPQVTWSKVGGHLRPGIVQSGSIIRIAHVELADAGQ YRCAATNAAGTTQSHVLLLVQALPQISTPPEIRVPAGSAAVFPCMASGYPTPAITWSKVD GDLPPDSRLENNMLMLPSVRPEDAGTYVCTATNRQGKVKAFAYLQVPERVIPYFTQTPYS FLPLPTIKDAYRKFEIKITFRPDSADGMLLYNGQKRSPTNLANRQPDFISFGLVGGRPEF RFDAGSGMATIRHPTPLALGQFHTVTLLRSLTQGSLIVGNLAPVNGTSQGKFQGLDLNEE LYLGGYPDYGAIPKAGLSSGFVGCVRELRIQGEEVVFHDVNLTTHGISHCPTCQDRPCQN GGQCQDSESSSYTCVCPAGFTGSRCEHSQALHCHPEACGPDATCVNRPDGRGYTCRCHLG RSGVRCEEGVTVTTPSMSGAGSYLALPALTNMHHELRLDVEFKPLEPNGILLFSGGKSGP VEDFVSLAMVGGHLEFRYELGSGLAVLRSHEPLTLGRWHRVSAERLNKDGSLRVDGGRPV LRSSPGKSQGLNLHTLLYLGGVEPSVQLSPATNMSAHFHGCVGEVSVNGKRLDLTYSFLG SQGVGQCYDSSPCERQPCQNGATCMPAGEYEFQCLCQDGFKGDLCEHEENPCQLHEPCLN GGTCRGARCLCLPGFSGPRCQQGAGYGVVESDWHPEGSGGNDAPGQYGAYFYDNGFLGLP GNSFSRSLPEVPETIEFEVRTSTADGLLLWQGVVREASRSKDFISLGLQDGHLVFSYQLG SGEARLVSEDPINDGEWHRITALREGQRGSIQVDGEDLVTGRSPGPNVAVNTKDIIYIGG APDVATLTRGKFSSGITGCIKNLVLHTARPGAPPPQPLDLQHRAQAGANTRPCPS >ENSMUSP00000131316.1 pep:known chromosome:GRCm38:4:137468803:137570629:1 gene:ENSMUSG00000028763.17 transcript:ENSMUST00000171332.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspg2 description:perlecan (heparan sulfate proteoglycan 2) [Source:MGI Symbol;Acc:MGI:96257] MGQRAVGSLLLGLLLHARLLAVTHGLRAYDGLSLPEDTETVTASRYGWTYSYLSDDEDLL ADDASGDGLGSGDVGSGDFQMVYFRALVNFTRSIEYSPQLEDASAKEFREVSEAVVEKLE PEYRKIPGDQIVSVVFIKELDGWVFVELDVGSEGNADGSQIQEVLHTVVSSGSIGPYVTS PWGFKFRRLGTVPQFPRVCTETEFACHSYNECVALEYRCDRRPDCRDMSDELNCEEPVPE LSSSTPAVGKVSPLPLWPEAATTPPPPVTHGPQFLLPSVPGPSACGPQEASCHSGHCIPR DYLCDGQEDCRDGSDELGCASPPPCEPNEFACENGHCALKLWRCDGDFDCEDRTDEANCS VKQPGEVCGPTHFQCVSTNRCIPASFHCDEESDCPDRSDEFGCMPPQVVTPPQQSIQASR GQTVTFTCVATGVPTPIINWRLNWGHIPAHPRVTMTSEGGRGTLIIRDVKEADQGAYTCE AMNSRGMVFGIPDGVLELVPQRGPCPDGHFYLEDSASCLPCFCFGVTNVCQSSLRFRDQI RLSFDQPNDFKGVNVTMPSQPGVPPLSSTQLQIDPALQEFQLVDLSRRFLVHDAFWALPK QFLGNKVDSYGGFLRYKVRYELARGMLEPVQKPDVILVGAGYRLHSRGHTPTHPGTLNQR QVQLSEEHWVHESGRPVQRAEMLQALASLEAVLLQTVYNTKMASVGLSDIVMDTTVTHTT IHGRAHSVEECRCPIGYSGLSCESCDAHFTRVPGGPYLGTCSGCNCNGHASSCDPVYGHC LNCQHNTEGPQCDKCKPGFFGDATKATATACRPCPCPYIDASRRFSDTCFLDTDGQATCD ACAPGYTGRRCESCAPGYEGNPIQPGGKCRPTTQEIVRCDERGSLGTSGETCRCKNNVVG RLCNECSDGSFHLSKQNPDGCLKCFCMGVSRQCSSSSWSRAQVLGASEQPSQFSLSNAAG THTTSEGVSSPAPGELSFSSFHNLLSEPYFWSLPASFRGDKVTSYGGELRFTVTQRPRPS SAPLHRQPLVVLQGNNIVLEHHASRDPSPGQPSNFIVPFQEQAWQRPDGQPATREHLLMA LAGIDALLIQASYTQQPAESRVSGISMDVAVPENTGQDSAREVEQCTCPPGYRGPSCQDC DTGYTRVPSGLYLGTCERCNCHGHSETCEPETGACQSCQHHTEGASCEQCQPGYYGDAQR GTPQDCQPCPCYGAPAAGQAAHTCFLDTDGHPTCDSCSPGHSGRHCERCAPGYYGNPSQG QPCHRDGQVPEVLGCGCDPHGSISSQCDAAGQCQCKAQVEGRTCSHCRPHHFHLSASNPE GCLPCFCMGVTQQCASSSYSRQLISTHFAPGDFQGFALVNPQRNSQLTGGFTVEPVHDGA RLSFSNFAHLGQESFYWQLPEIYQGDKVAAYGGKLRYTLSYTAGPQGSPLLDPDIQITGN NIMLVASQPALQGPERRSYEIIFREEFWRRPDGQPATREHLLMALADLDELLVRATFSSV PRAASISAVSLEVAQPGPSSGPRALEVEECRCPPGYVGLSCQDCAPGYTRTGSGLYLGQC ELCECNGHSDLCHPETGACSRCQHNTAGEFCELCATGYYGDATAGTPEDCQPCACPLTNP ENMFSRTCESLGAGGYRCTACEPGYTGQYCEQCAPGYEGDPNVQGGRCQPLTKESLEVQI HPSRSVVPQGGPHSLRCQVSGSPPHYFYWSREDGRPLPSSAQQRHQGSELHFPSVQPSDA GVYICTCRNLIHTSNSRAELLVAEAPSKPITVTVEEQRSQSVRPGADVTFICTAKSKSPA YTLVWTRLHNGKLPSRAMDFNGILTIRNVQPSDAGTYVCTGSNMFAMDQGTATLHVQVSG TSTAPVASIHPPQLTVQPGQQAEFRCSATGNPTPMLEWIGGPSGQLPAKAQIHNGILRLP AIEPSDQGQYLCRALSSAGQHVARAMLQVHGGSGPRVQVSPERTQVHEGRTVRLYCRAAG VPSASITWRKEGGSLPPQARSENTDIPTLLIPAITAADAGFYLCVATSPTGTAQARIQVV VLSASGANSVPVRIESSSPSVTEGQTLDLNCAVMGLTYTQVTWYKRGGSLPPHAQVHGSR LRLPQVSPADSGDYVCRVESDVGPKEASIVVSVLHSPHSGPSYTPATSITPPIRIESSSS HVAEGQTLDLNCVVPGQAQVTWRKRGGSLPARHQTHGSLLRLHQVSPADSGEYVCHVVLG SEHTETSVLVTIEPAESIPAPGPAPPVRIEASSSTVTEGHMLDLNCVVAGQAHAQVTWYK RGGSLPARHQVRGSRLYILQASPADAGEYVCRAGNGQEATITVTVTRNHGANLAYPPGST SPIRIESSSSHVAEGQTLDLNCVVQGQAHAQVTWHKRGGSLPARHQTHGSLLRLHQVSPV DSGEYVCRVEGGAVPLESSVLVTIEPAGTAPGVIPPVRIESSSSHVSEGQSLDLNCLVSG QTHPQISWHKRGGSLPARHQVHGSRLRLLQVTPTDSGEYVCRVVSGSGTQEASILVTIQQ TLSPSHSQSVVHPVRIESSSPSLANGHTLDLNCLVASLTPHTITWYKRGGSLPSRHQIVG SRLRIPQVTPADSGEYVCHVSNGAGSQETSLIVTIESRGPSHVPSVSPPMRIETSSPTVT EGQTLDLNCVVVGRPQATITWYKRGGSLPFRHQAHGSRLRLHHMSVADSGEYVCRANNNI DAQETSIMISVSPSTNSPPAPASPAPIRIESSSSRVAEGQTLDLNCVVPGHAHAQVTWHK RGGSLPTHHQTHGSRLRLYQVSSADSGEYVCSVLSSSGPLEASVLVSITPAAANVHIPGE VPFPPIRIETSSSRVAEGQTLDLSCVVPGQAHAQVTWHKRGGSLPAGHQVHGHMLRLNRV SPADSGEYSCQVTGSSGTLEASVLVTIEASEPSPIPAPGLAQPVYIESSSSHLTEGQTVD LKCVVPGQAHAQVTWHKRGSSLPARHQTHGSLLRLYQLSPADSGEYVCQVAGSSHPEHEA SFKLTVPSSQNSSFRLRSPVISIEPPSSTVQQGQDASFKCLIHEGATPIKVEWKIRDQEL EDNVHISPNGSIITIVGTRPSNHGAYRCVASNVYGMAQSVVNLSVHGPPTVSVLPEGPVH VKMGKDITLECISSGEPRSSPRWTRLGIPVKLEPRMFGLMNSHAMLKIASVKPSDAGTYV CQAQNALGTAQKQVELIVDTGTVAPGAPQVQVEESELTLEAGHTATLHCSATGNPPPTIH WSKLRAPLPWQHRIEGNTLVIPRVAQQDSGQYICNATNSAGHTEATVVLHVESPPYATII PEHTSAQPGNLVQLQCLAHGTPPLTYQWSLVGGVLPEKAVARNQVLRLEPTVPEDSGRYR CQVSNRVGSAEAFAQVLVQGSSSNLPDTSIPGGSTPTVQVTPQLETRNIGASVEFHCAVP NERGTHLRWLKEGGQLPPGHSVQDGVLRIQNLDQSCQGTYVCQAHGPWGQAQATAQLIVQ ALPSVLINVRTSVHSVVVGHSVEFECLALGDPKPQVTWSKVGGHLRPGIVQSGSIIRIAH VELADAGQYRCAATNAAGTTQSHVLLLVQALPQISTPPEIRVPAGSAAVFPCMASGYPTP AITWSKVDGDLPPDSRLENNMLMLPSVRPEDAGTYVCTATNRQGKVKAFAYLQVPERVIP YFTQTPYSFLPLPTIKDAYRKFEIKITFRPDSADGMLLYNGQKRSPTNLANRQPDFISFG LVGGRPEFRFDAGSGMATIRHPTPLALGQFHTVTLLRSLTQGSLIVGNLAPVNGTSQGKF QGLDLNEELYLGGYPDYGAIPKAGLSSGFVGCVRELRIQGEEVVFHDVNLTTHGISHCPT CQDRPCQNGGQCQDSESSSYTCVCPAGFTGSRCEHSQALHCHPEACGPDATCVNRPDGRG YTCRCHLGRSGVRCEEGVTVTTPSMSGAGSYLALPALTNMHHELRLDVEFKPLEPNGILL FSGGKSGPVEDFVSLAMVGGHLEFRYELGSGLAVLRSHEPLTLGRWHRVSAERLNKDGSL RVDGGRPVLRSSPGKSQGLNLHTLLYLGGVEPSVQLSPATNMSAHFHGCVGEVSVNGKRL DLTYSFLGSQGVGQCYDSSPCERQPCQNGATCMPAGEYEFQCLCQDGFKGDLCEHEENPC QLHEPCLNGGTCRGARCLCLPGFSGPRCQQGAGYGVVESDWHPEGSGGNDAPGQYGAYFY DNGFLGLPGNSFSRSLPEVPETIEFEVRTSTADGLLLWQGVVREASRSKDFISLGLQDGH LVFSYQLGSGEARLVSEDPINDGEWHRITALREGQRGSIQVDGEDLVTGRSPGPNVAVNT KDIIYIGGAPDVATLTRGKFSSGITGCIKNLVLHTARPGAPPPQPLDLQHRAQAGANTRP CPS >ENSMUSP00000106473.3 pep:known chromosome:GRCm38:1:192098546:192138010:-1 gene:ENSMUSG00000037395.15 transcript:ENSMUST00000110849.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor3 description:REST corepressor 3 [Source:MGI Symbol;Acc:MGI:2441920] MPGMMEKGPELLGKSRSANGGAKSPAGGGGSSANGGLHFSEPESGCSSDDEHGDVGMRVG AEYQARIPEFDPGATKYTDKDNGGMLVWSPYHSIPDAKLDEYIAIAKEKHGYNVEQALGM LFWHKHNIEKSLADLPNFTPFPDEWTVEDKVLFEQAFSFHGKSFHRIQQMLPDKTIASLV KYYYSWKKTRSRTSLMDRQARKLANRHNQGDSDDDVEEAHPMDGNDSDYDPKKEAKREGN ADQPVQTSKIGLGRREYQSLQHRHHSQRSKCRPPKGMYLTQEDVVAVSCSPNAANTILRQ LDMELISLKRQVQNAKQVNSALKQKMEGGIEEFKPPESNQKINARWTTEEQLLAVQGTDP TGSSDSGSITSCPIIHSNTNSPHCHSEPASTTSSSNTACRPCSSPTTSSTPAAGSVHPAP ANSQSASSTSHSPC >ENSMUSP00000073004.7 pep:known chromosome:GRCm38:1:192098561:192138040:-1 gene:ENSMUSG00000037395.15 transcript:ENSMUST00000073279.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor3 description:REST corepressor 3 [Source:MGI Symbol;Acc:MGI:2441920] MPGMMEKGPELLGKSRSANGGAKSPAGGGGSSANGGLHFSEPESGCSSDDEHGDVGMRVG AEYQARIPEFDPGATKYTDKDNGGMLVWSPYHSIPDAKLDEYIAIAKEKHGYNVEQALGM LFWHKHNIEKSLADLPNFTPFPDEWTVEDKVLFEQAFSFHGKSFHRIQQMLPDKTIASLV KYYYSWKKTRSRTSLMDRQARKLANRHNQGDSDDDVEEAHPMDGNDSDYDPKKEAKREGN ADQPVQTSKIGLGRREYQSLQHRHHSQRSKCRPPKGMYLTQEDVVAVSCSPNAANTILRQ LDMELISLKRQVQNAKQVNSALKQKMEGGIEEFKPPEAQTPQAPRTLGPSPPAPSSTPTP TVPIATLNQPPPLLRPTLPAAPALHRQPPPLQQQARFIQPRPTLNQPPPPLIRPANSMPP RLNPRPVLTTVGGQQPPSLIGIQTDSQPSLH >ENSMUSP00000141841.1 pep:known chromosome:GRCm38:1:192098771:192138031:-1 gene:ENSMUSG00000037395.15 transcript:ENSMUST00000192158.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rcor3 description:REST corepressor 3 [Source:MGI Symbol;Acc:MGI:2441920] MPGMMEKGPELLGKSRSANGGAKSPAGGGGSSANGGLHFSEPESGCSSDDEHGDVGMRVG AEYQARIPEFDPGATKYTDKDNGGMLVWSPYHSIPDAK >ENSMUSP00000141270.1 pep:known chromosome:GRCm38:1:192100584:192116346:-1 gene:ENSMUSG00000037395.15 transcript:ENSMUST00000192222.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rcor3 description:REST corepressor 3 [Source:MGI Symbol;Acc:MGI:2441920] VQNAKQVNSALKQKMEGGIEEFKPPEVSANMVKIFKLLQM >ENSMUSP00000142054.1 pep:known chromosome:GRCm38:1:192100787:192138062:-1 gene:ENSMUSG00000037395.15 transcript:ENSMUST00000192866.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor3 description:REST corepressor 3 [Source:MGI Symbol;Acc:MGI:2441920] MPGMMEKGPELLGKSRSANGGAKSPAGGGGSSANGGLHFSEPESGCSSDDEHGDVGMRVG AEYQARIPEFDPGATKYTDKDNGGMLVWSPYHSIPDAKLDEYIAIAKEKHGYNVEQALGM LFWHKHNIEKSLADLPNFTPFPDEWTVEDKVLFEQAFSFHGKSFHRIQQMLPDKTIASLV KYYYSWKKTRSRTSLMDRQARKLANRHNQGDSDDDVEEAHPMDGNDSDYDPKKEAKREGN ADQPVQTSKIGLGRREYQSLQHRHHSQRSKCRPPKGMYLTQEDVVAVSCSPNAANTILRQ LDMELISLKRQVQNAKQVNSALKQKMEGGIEEFKPPESNQKINARWTTEEQLLAVQGVRK YGKDFQAIADVIGNKTVGQVKNFFVNYRRRFNLEEVLQEWEAEQGTQASNADASALGEET QSASKVPSGKSTDEEAEAQTPQAPRTLGPSPPAPSSTPTPTVPIATLNQPPPLLRPTLPA APALHRQPPPLQQQARFIQPRPTLNQPPPPLIRPANSMPPRLNPRPVLTTVGGQQPPSLI GIQTDSQPSLH >ENSMUSP00000142076.1 pep:known chromosome:GRCm38:1:192100907:192124285:-1 gene:ENSMUSG00000037395.15 transcript:ENSMUST00000194750.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor3 description:REST corepressor 3 [Source:MGI Symbol;Acc:MGI:2441920] XQARKLANRHNQGDSDDDVEEAHPMDGNDSDYDPKKEAKREGNADQPVQTSKIGLGRREY QSLQHRHHSQRSKCRPPKGMYLTQEDVVAVSCSPNAANTILRQLDMELISLKRQVQNAKQ VNSALKQKMEGGIEEFKPPESNQKINARWTTEEQLLAVQGVRKYGKDFQAIADVIGNKTV GQVKNFFVNYRRRFNLEEAQTPQAPRTLGPSPPAPSSTPTPTVPIATLNQPPPLLRPTLP AAPALHRQPPPLQQQARFIQPRPTLNQPPPPLIRPANSMPP >ENSMUSP00000141753.1 pep:known chromosome:GRCm38:1:192101384:192138026:-1 gene:ENSMUSG00000037395.15 transcript:ENSMUST00000192491.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor3 description:REST corepressor 3 [Source:MGI Symbol;Acc:MGI:2441920] MPGMMEKGPELLGKSRSANGGAKSPAGGGGSSANGGLHFSEPESGCSSDDEHGDVGMRVG AEYQARIPEFDPGATKYTDKDNGGMLVWSPYHSIPDAKLDEYIAIAKEKHGYNVEQALGM LFWHKHNIEKSLADLPNFTPFPDEWTVEDKVLFEQAFSFHGKSFHRIQQMLPDKTIASLV KYYYSWKKTRSRTSLMDRQARKLANRHNQGDSDDDVEEAHPMDGNDSDYDPKKEAKREGN ADQPVQTSKIGLGRREYQSLQHRHHSQRSKCRPPKGMYLTQEDVVAVSCSPNAANTILRQ LDMELISLKRQVQNAKQVNSALKQKMEGGIEEFKPPEVSANMVKIFKLLQM >ENSMUSP00000141637.1 pep:known chromosome:GRCm38:1:192101388:192137927:-1 gene:ENSMUSG00000037395.15 transcript:ENSMUST00000192128.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor3 description:REST corepressor 3 [Source:MGI Symbol;Acc:MGI:2441920] MPGMMEKGPELLGKSRSANGGAKSPAGGGGSSANGGLHFSEPESGCSSDDEHGDVGMRVG AEYQARIPEFDPGATKYTDKDNGGMLVWSPYHSIPDAKLDEYIAIAKEKHGYNVEQALGM LFWHKHNIEKSLADLPNFTPFPDEWTVEDKVLFEQAFSFHGKSFHRIQQMLPDKTIASLV KYYYSWKKTRSRTSLMDRQARKLANRHNQGDSDDDVEEAHPMDGNDSDYDPKKEAKREGN ADQPVQTSKIGLGRREYQSLQHRHHSQRSKCRPPKGMYLTQEDVVAVSCSPNAANTILRQ LDMELISLKRQVQNAKQVNSALKQKMEGGIEEFKPPESNQKINARWTTEEQLLAVQGVRK YGKDFQAIADVIGNKTVGQVKNFFVNYRRRFNLEEVLQEWEAEQGTQASNADASALGEET QSASKVPSGKSTDEEAEVCLCLESELI >ENSMUSP00000142001.1 pep:known chromosome:GRCm38:1:192127990:192137660:-1 gene:ENSMUSG00000037395.15 transcript:ENSMUST00000193144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor3 description:REST corepressor 3 [Source:MGI Symbol;Acc:MGI:2441920] MRVGAEYQARIPEFDPGATKYTDKDNGGMLVWSPYHSIPDAKLDEYIAIAKEKHG >ENSMUSP00000077606.5 pep:known chromosome:GRCm38:6:126876020:126921839:-1 gene:ENSMUSG00000030345.16 transcript:ENSMUST00000078521.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk4 description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 4 [Source:MGI Symbol;Acc:MGI:1330292] MQLLRLPALTRTETSMDTNKARKRSLTTFPILKARKKQSFTSVKVESKPLGHLQKPSSKN KKLRVNRCPQKIPSNTAFPFVDTKGKRNTVNFPQIGNKVPSKGPMQYQENQIHNQVLSSE LKTSEIPFNINTKAQDTKPHPELQKKHKVPLTVAEALKFFKNQLSPYEQSEILGYSELWF LGLEAKKLNVVPEKFSKTSFDDEHGSYMKVLHDHIAYRYEVLEMIGKGSFGQVAKCLDHK NNELVALKIIRNKKRFHHQALVELKILEALRRKDKDNNHNVVHMKDFFYFRNHLCITFEL LGINLYELMKNNSFHGFNLSIVRRFTFSILKCLHMLYVEKIIHCDLKPENIVLYQRGQVT VKVIDFGSSCYEHQKVYTYIQSRFYRSPEVILGHPYNMAIDMWSLGCIMAELYTGYPLFP GENEVEQLACIMEVLGLPPAHFTQTASRRQVFFDSKGLPKNINNNRGGKRYPDSKDLTMV VKTYDSSFLDFLRRCLVWEPSLRMTPEQALKHAWIHEPRKFKPRPKPQILRKPGASISSE ISTEKAEEQQASKGKKDEATKETTDKLKDEAEKHLENSGKQQSSVEHTADTIQLPHLTEA SGKSETVAGSEMSAEQQSTSSPKSTNILPPIV >ENSMUSP00000128180.2 pep:known chromosome:GRCm38:6:126876193:126885384:-1 gene:ENSMUSG00000030345.16 transcript:ENSMUST00000171945.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dyrk4 description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 4 [Source:MGI Symbol;Acc:MGI:1330292] SRFYRSPEVILGHPYNMAIDMWSLGCIMAELYTGYPLFPGENEVEQLACIMEIPKVFPKI >ENSMUSP00000075363.5 pep:known chromosome:GRCm38:11:69070806:69073030:1 gene:ENSMUSG00000020895.14 transcript:ENSMUST00000075980.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem107 description:transmembrane protein 107 [Source:MGI Symbol;Acc:MGI:1914160] MGRISGLVPSRFLTLLAHLVVVITLFWSRESNIQACLPLKFTPEEYEKQDNQLVAALCLT LGLFAVELAGFLSGVSMFNSTQSLLSIAAHCSASVALSFFVFERWECTTYWYIFTFCSAF PAVTETALFIAVFGLKKKPF >ENSMUSP00000091624.4 pep:known chromosome:GRCm38:11:69070811:69073293:1 gene:ENSMUSG00000020895.14 transcript:ENSMUST00000094081.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem107 description:transmembrane protein 107 [Source:MGI Symbol;Acc:MGI:1914160] MGRISGLVPSRFLTLLAHLVVVITLFWSRESNIQACLPLKFTPEEYEKQDNQLVAALCLT LGLFAVELAGFLSGVSMFNSTQSLLSIAAHCSASVALSFFVFERWECTTYWYIFTFCRCP STQYFGMGGGGGKSIPNLKVSPT >ENSMUSP00000106127.1 pep:known chromosome:GRCm38:2:125086271:125123595:-1 gene:ENSMUSG00000027201.16 transcript:ENSMUST00000110501.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myef2 description:myelin basic protein expression factor 2, repressor [Source:MGI Symbol;Acc:MGI:104592] MADADKSEAAAGDDGSQQQPAEPRRDTHPGEPEKPPRSSANGVKMENDESVKEEKSDLKE KSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVFISNIPYDMKWQAIKDLMREKVGEVTY VELFKDAEGKSRGCGVVEFKDEEFVKKALETMNKYDLSGRPLNIKEDPDGENARRALQRT GTSFQGSHASDVGSGLVNLPPSILNNPNIPPEVISNLQAGRLGSTIFVANLDFKVGWKKL KEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMFNGQFLFDRPMHVKMDD KSVPHEDYRSHDSKTSQLPRGLGGIGMGLGPGGQPISASQLNITGVMGNLGPSGVGFGGL EAMNSMAGFGGVGRMGELYRGAMTSSMERDFGRGDIGLSRGFGDSFGRLGSAMIGGFAGR IGASNMGPVGTGISGSMSGMSTVTGGMGMGLDRMSSSFDRMGPGIGAILERSIDVDRGFL SGPMGSGMRDRLGSKGNQIFVRNLPFDLTWQKLKEKFSQCGHVMFAEIKMENGKSKGCGT VRFESAESAEKACRIMNGIKISGREIDVRLDRNA >ENSMUSP00000123088.1 pep:known chromosome:GRCm38:2:125086568:125123626:-1 gene:ENSMUSG00000027201.16 transcript:ENSMUST00000152367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myef2 description:myelin basic protein expression factor 2, repressor [Source:MGI Symbol;Acc:MGI:104592] MADADKSEAAAGDDGSQQQPAEPRRDTHPGEPEKPPRSSANGVKMENDESVKEEKSDLKE KSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVFISNIPYDMKWQAIKDLMREKVGEVTY VELFKDAEGKSRGCGVVEFKDEEFVKKALETMNKYDLSGRPLNIKEDPDGENARRALQRT GTSFQGSHASDVGSGLVNLPPSILNNPNIPPEVISNLQAGRLGSTIFVANLDFKVGWKKL KEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMFNGQFLFDRPMHVKMDD KSVPHEDYRSHDSKTSQLPRGLGGIGMGLGPGGQPISASQLNITGVMGNLGPSGMGMDGP GFGGVNRIGGGVGFGGLEAMNSMAGFGGVGRMGELYRGAMTSSMERDFGRGDIGLSRGFG DSFGRLGSAMIGGFAGRIGASNMGPVGTGISGSMSGMSTVTGGMGMGLDRMSSSFDRMGP GIGAILERSIDVDRGFLSGPMGSGMRDRLGSKGNQIFVRNLPFDLTWQKLKEKFSQCGHV MFAEIKMENGKSKGCGTVRFESAESAEKACRIMNGIKISGREIDVRLDRNA >ENSMUSP00000115519.1 pep:known chromosome:GRCm38:2:125087741:125123623:-1 gene:ENSMUSG00000027201.16 transcript:ENSMUST00000142718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myef2 description:myelin basic protein expression factor 2, repressor [Source:MGI Symbol;Acc:MGI:104592] MADADKSEAAAGDDGSQQQPAEPRRDTHPGEPEKPPRSSANGVKMENDESVKEEKSDLKE KSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVFISNIPYDMKWQAIKDLMREKVGEVTY VELFKDAEGKSRGCGVVEFKDEEFVKKALETMNKYDLSGRPLNIKEDPDGENARRALQRT GTSFQGSHASDVGSGLVNLPPSILNNPNIPPEVISNLQAGRLGSTIFVANLDFKVGWKKL KEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMFNGQFLFDRPMHVKMDD KSVPHEDYRSHDSKTSQLPRGLGGIGMGLGPGGQPISASQLNITGVMGNLGPSGMGMDGP GFGGVNRIGGGVGFGGLEAMNSMAGFGGVGRMGELYRGAMTSSMERDFGRGDIGLSRGFG DSFGRLGGSMSGMSTVTGGMGMGLDRMSSSFDRMGPGIGAILERSIDVDRGFLSGPMGSG MRDRLGSKGNQIFVRNLPFDLTWQKLKEKFSQCGHVMFAEIKMENGKSKGCGTVRFESAE SAEKACRIMNGIKISGREIDVRLDRNA >ENSMUSP00000114817.1 pep:known chromosome:GRCm38:2:125087742:125123661:-1 gene:ENSMUSG00000027201.16 transcript:ENSMUST00000147105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myef2 description:myelin basic protein expression factor 2, repressor [Source:MGI Symbol;Acc:MGI:104592] MADADKSEAAAGDDGSQQQPAEPRRDTHPGEPEKPPRSSANGVKMENDESVKEEKSDLKE KSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVFISNIPYDMKWQAIKDLMREKVGEVTY VELFKDAEGKSRGCGVVEFKDEEFVKKALETMNKYDLSGRPLNIKEDPDGENARRALQRT GTSFQGSHASDVGSGLVNLPPSILNNPNIPPEVISNLQAGRLGSTIFVANLDFKVGWKKL KEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMFNGQFLFDRPMHVKMDD KSVPHEDYRSHDSKTSQLPRGLGGIGMGLGPGGQPISASQLNITGVMGNLGPSGVGFGGL EAMNSMAGFGGVGRMGELYRGAMTSSMERDFGRGDIGLSRGFGDSFGRLGGSMSGMSTVT GGMGMGLDRMSSSFDRMGPGIGAILERSIDVDRGFLSGPMGSGMRDRLGSKGNQIFVRNL PFDLTWQKLKEKFSQCGHVMFAEIKMENGKSKGCGTVRFESAESAEKACRIMNGIKISGR EIDVRLDRNA >ENSMUSP00000087258.6 pep:known chromosome:GRCm38:2:125088834:125116873:-1 gene:ENSMUSG00000027201.16 transcript:ENSMUST00000089825.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myef2 description:myelin basic protein expression factor 2, repressor [Source:MGI Symbol;Acc:MGI:104592] XENDESVKEEKSDLKEKSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVFISNIPYDMKW QAIKDLMREKVGEVTYVELFKDAEGKSRGCGVVEFKDEEFVKKALETMNKYDLSGRPLNI KEDPDGENARRALQRTGTSFQGSHASDVGSGLVNLPPSILNNPNIPPEVISNLQAGRLGS TIFVANLDFKVGWKKLKEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMF NGQFLFDRPMHVKMDDKSVPHEDYRSHDSKTSQLPRGLGGIGMGLGPGGQPISASQLNIT GVMGNLGPSGVGFGGLEAMNSMAGFGGVGRMGELYRGAMTSSMERDFGRGDIGLSRGFGD SFGRLGSAMIGGFAGRIGASNMGPVGTGISGSMSGMSTVTGGMGMGLDRMSSSFDRMGPG IGAILERSIDVDRGFLSGPMGSGMRDRLGSKGNQIFVRNLPFDLTWQKLKEKFSQCGHVM FAEIKMENGKSKGCGTVRFESAESAEKACRIMNGIKISGREIDVRLDRNA >ENSMUSP00000123222.1 pep:known chromosome:GRCm38:2:125095708:125123414:-1 gene:ENSMUSG00000027201.16 transcript:ENSMUST00000137091.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myef2 description:myelin basic protein expression factor 2, repressor [Source:MGI Symbol;Acc:MGI:104592] XPRSSANGVKMENDESVKEEKSDLKEKSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVF ISNIPYDMKWQAIKDLMREKVGEVTYVELFKDAEGKSRGCGVVEFKDEEFVKKALETMNK YDLSGRPLNIKEDPDGENARRALQRTGTSFQGSHASDVGSGLVNLPPSILNNPNIPPEVI SNLQAGRLGSTIFVANLDFKVGWKKLKEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQA IEAVQAISMFNGQFLFDRPMHVKMDDKSVPHEDYRSHDSKTSQLPRGLGGIGMGLGPGGQ PISASQLNITGVMGNLGPSGMGMDGPGFGGVNRIGGGVGFGGLEAMNSMAGFGGVGRMGG GSMSGMSTVTGGMGMGLDRMSSSFDRMGPGIGAILERSIDVDRGFLSGPMGSGMRDRLGS KGNQIFVRNLPFDLTWQKLKEKFSQC >ENSMUSP00000066312.3 pep:known chromosome:GRCm38:2:125087742:125123660:-1 gene:ENSMUSG00000027201.16 transcript:ENSMUST00000067780.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myef2 description:myelin basic protein expression factor 2, repressor [Source:MGI Symbol;Acc:MGI:104592] MADADKSEAAAGDDGSQQQPAEPRRDTHPGEPEKPPRSSANGVKMENDESVKEEKSDLKE KSTGNKKANRFHPYSKDKNSGTGEKKGPNRNRVFISNIPYDMKWQAIKDLMREKVGEVTY VELFKDAEGKSRGCGVVEFKDEEFVKKALETMNKYDLSGRPLNIKEDPDGENARRALQRT GTSFQGSHASDVGSGLVNLPPSILNNPNIPPEVISNLQAGRLGSTIFVANLDFKVGWKKL KEVFSIAGTVKRADIKEDKDGKSRGMGTVTFEQAIEAVQAISMFNGQFLFDRPMHVKMDD KSVPHEDYRSHDSKTSQLPRGLGGIGMGLGPGGQPISASQLNITGVMGNLGPSGVGFGGL EAMNSMAGFGGVGRMGELYRGAMTSSMERDFGRGDIGLSRGFGDSFGRLGSAMIGGFAGR IGASNMGPVGTGISGSMSGMSTVTGGMGMGLDRMSSSFDRMGPGIGAILERSIDVDRGFL SGPMGSGMRDRLGSKGNQIFVRNLPFDLTWQKLKEKFSQCGHVMFAEIKMENGKSKGCGT VRFESAESAEKACRIMNGIKISGREIDVRLDRNA >ENSMUSP00000051368.3 pep:known chromosome:GRCm38:14:55765962:55768494:1 gene:ENSMUSG00000046908.5 transcript:ENSMUST00000057569.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltb4r1 description:leukotriene B4 receptor 1 [Source:MGI Symbol;Acc:MGI:1309472] MAANTTSPAAPSSPGGMSLSLLPIVLLSVALAVGLPGNSFVVWSILKRMQKRTVTALLVL NLALADLAVLLTAPFFLHFLARGTWSFREMGCRLCHYVCGISMYASVLLITIMSLDRSLA VARPFMSQKVRTKAFARWVLAGIWVVSFLLAIPVLVYRTVKWNNRTLICAPNYPNKEHKV FHLLFEAITGFLLPFLAVVASYSDIGRRLQARRFRRSRRTGRLVVLIILAFAAFWLPYHL VNLVEAGRTVAGWDKNSPAGQRLRLARYVLIALAFLSSSVNPVLYACAGGGLLRSAGVGF VVKLLEGTGSEVSSTRRGGTLVQTPKDTPACPEPGPTDSFMTSSTIPESSK >ENSMUSP00000008907.7 pep:known chromosome:GRCm38:3:100562208:100685503:-1 gene:ENSMUSG00000008763.16 transcript:ENSMUST00000008907.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man1a2 description:mannosidase, alpha, class 1A, member 2 [Source:MGI Symbol;Acc:MGI:104676] MTTPALLPLSGRRIPPLNLGPPSFPHHRATLRLSEKFILLLILSAFITLCFGAFFFLPDS SKHKRFDLGLEDVLIPHVDAGKGAKNPGVFLIHGPDEHRHREEEERLRNKIRADHEKALE EAKEKLRKSREEIRAEIQTEKNKVAQAMKTKETRVLPPVPVPQRVGVSGGDPEDMEIKKK RDKIKEMMKHAWDNYRTYGWGHNELRPIARKGHSTNIFGSSQMGATIVDALDTLYIMGLH DEFMDGQRWIEENLDFSVNSEVSVFEVNIRFIGGLLAAYYLSGEEIFKTKAVQLAEKLLP AFNTPTGIPWAMVNLKSGVGRNWGWASAGSSILAEFGTLHMEFVHLSYLTGDLTYYNKVM HIRKLLQKMERPNGLYPNYLNPRTGRWGQYHTSVGGLGDSFYEYLLKAWLMSDKTDHEAR RMYDDAVEAIEKHLIKKSRGGLVFIGEWKNGHLERKMGHLACFAGGMFALGADGSRKDKA GHYLELGAEIARTCHESYDRTALKLGPESFKFDGAVEAVAVRQAEKYYILRPEVIETYWY LWRFTHDPRYRQWGWEAALAIEKSCRVSGGFSGVKDVYAPTPVHDDVQQSFFLAETLKYL YLLFSGDDLLPLDHWVFNTEAHPLPVLRLANSTLSGNPAVR >ENSMUSP00000116489.1 pep:known chromosome:GRCm38:3:100620033:100684613:-1 gene:ENSMUSG00000008763.16 transcript:ENSMUST00000130066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man1a2 description:mannosidase, alpha, class 1A, member 2 [Source:MGI Symbol;Acc:MGI:104676] AKNPGVFLIHGPDEHRHREEEERLRNKIRADHEKALEEAKEKLRKSREEIRAEIQTEKNK VAQAMKTKETRVLPPVPVPQRVGVSGGDPEDMEIKKKRDKIKEMMKHAWDNYRTYGWGHN ELRPIARKGHSTNIFGSSQMGATIVDALDTLYIMGLHDEFMDGQRWIEENLDFSVIFKTK AVQLAEKLLPAFNTPTGIPWAMVNLKSGVGRNWGWASAGSSILAEFGTLHMEFVHLSYLT GDLTYY >ENSMUSP00000143695.1 pep:known chromosome:GRCm38:3:100644562:100685125:-1 gene:ENSMUSG00000008763.16 transcript:ENSMUST00000196250.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man1a2 description:mannosidase, alpha, class 1A, member 2 [Source:MGI Symbol;Acc:MGI:104676] MTTPALLPLSGRRIPPLNLGPPSFPHHRATLRLSEKFILLLILSAFITLCFGAFFFLPDS SKHKRFDLGLEDVLIPHVDAGKGAKNPGVFLIHGPDEHRHRKLTDGCHHSGCFGYPLYHG AS >ENSMUSP00000137832.1 pep:known chromosome:GRCm38:12:87954080:87959053:1 gene:ENSMUSG00000096276.8 transcript:ENSMUST00000180803.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2042 description:predicted gene 2042 [Source:MGI Symbol;Acc:MGI:3800544] MIPVWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTRCKLRVINWREDDLKIWAG SHEGEGLPDFRTEKQPIENSAGCEVKKELKVTTEVLRMKGRLDESTTYLLQWAQQRKDSI HLFCRKLLIEGLTKASVIEIFKTVHADCIQELILRCICIEELAFLNPYLKLMKSLFTLTL DHI >ENSMUSP00000137804.1 pep:known chromosome:GRCm38:12:87954132:87958643:1 gene:ENSMUSG00000096276.8 transcript:ENSMUST00000180707.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2042 description:predicted gene 2042 [Source:MGI Symbol;Acc:MGI:3800544] MIPVWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTRCKLRV >ENSMUSP00000138012.1 pep:known chromosome:GRCm38:12:87954214:87959202:1 gene:ENSMUSG00000096276.8 transcript:ENSMUST00000181347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2042 description:predicted gene 2042 [Source:MGI Symbol;Acc:MGI:3800544] MKGRLDESTTYLLQWAQQRKDSIHLFCRKLLIEGLTKASVIEIFKTVHADCIQELILRCI CIEELAFLNPYLKLMKSLFTLTLDHIIGTFSLGDSEKLDEETIFSLISQLPTLHCLQKLY VNDVPFIKGNLKEYLR >ENSMUSP00000137699.1 pep:known chromosome:GRCm38:12:87954475:87958720:1 gene:ENSMUSG00000096276.8 transcript:ENSMUST00000181843.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2042 description:predicted gene 2042 [Source:MGI Symbol;Acc:MGI:3800544] MIPVWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKLRVINWREDDLKIWA GSHEGEGLPDFRT >ENSMUSP00000137784.1 pep:known chromosome:GRCm38:12:87954479:87960327:1 gene:ENSMUSG00000096276.8 transcript:ENSMUST00000180706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2042 description:predicted gene 2042 [Source:MGI Symbol;Acc:MGI:3800544] MVICLHCPDQDDSLEEVTEECYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPVIFEE AFTDGYIGILKAMIPVWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKLRV INWREDDLKIWAGSHEGEGLPDFRTEKQPIENSAGCEVKKELKVPEKALGDTLHQ >ENSMUSP00000137988.1 pep:known chromosome:GRCm38:12:87954502:87958885:1 gene:ENSMUSG00000096276.8 transcript:ENSMUST00000181394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2042 description:predicted gene 2042 [Source:MGI Symbol;Acc:MGI:3800544] MVICLHCPDQDDSLEEVTEECYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPVIFEE AFTDGYIGILKAMIPVWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTRCKLRVI NWREDDLKIWAGSHEGEGLPDFRTEKQPIENSAGCEVKKELKVTTEVLRMKGRLDESTTY LLQWAQQRKDSIHLFCRKLL >ENSMUSP00000105772.3 pep:known chromosome:GRCm38:12:87954502:87960672:1 gene:ENSMUSG00000096276.8 transcript:ENSMUST00000110145.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2042 description:predicted gene 2042 [Source:MGI Symbol;Acc:MGI:3800544] MVICLHCPDQDDSLEEVTEECYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPVIFEE AFTDGYIGILKAMIPVWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKLRV INWREDDLKIWAGSHEGEGLPDFRTEKQPIENSAGCEVKKELKVTTEVLRMKGRLDESTT YLLQWAQQRKDSIHLFCRKLLIEGLTKASVIEIFKTVHADCIQELILRCICIEELAFLNP YLKLMKSLFTLTLDHIIGTFSLGDSEKLDEETIFSLISQLPTLHCLQKLYVNDVPFIKGN LKEYLRCLKKPLETLCISNCDLSQSDLDCLPYCLNICELKHLHISDIYLCDLLLEPLGFL LERVGDTLKTLELDSCCIVDFQFSALLPALSQCSHLREVTFYDNDVSLPFLKQLLHHTAL LSQLIYECYPAPIECYDDSGVILTHRLESFCPELLDILRAKRQLHSVSFQTTKCSKCGGC YIYDRHTQCCRFVELL >ENSMUSP00000137729.1 pep:known chromosome:GRCm38:12:87954502:87960672:1 gene:ENSMUSG00000096276.8 transcript:ENSMUST00000181326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2042 description:predicted gene 2042 [Source:MGI Symbol;Acc:MGI:3800544] MVICLHCPDQDDSLEEVTEECYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPVIFEE AFTDGYIGILKAMIPVWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKLRV INWREDDLKIWAGSHEGEGLPDFRTEKQPIENSAGCEVKKELKVTTEVLRMKGRLDESTT YLLQWAQQRKDSIHLFCRKLLIEGLTKASVIEIFKTVHADCIQELILRCICIEELAFLNP YLKLMKSLFTLTLDHIIGTFSLGDSEKLDEETIFSLISQLPTLHCLQKLYVNDVPFIKGN LKEYLR >ENSMUSP00000138047.1 pep:known chromosome:GRCm38:12:87954548:87958845:1 gene:ENSMUSG00000096276.8 transcript:ENSMUST00000181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2042 description:predicted gene 2042 [Source:MGI Symbol;Acc:MGI:3800544] MVSMLDTSQIYKDDSLEEVTEECYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPVIF EEAFTDGYIGILKAMIPVWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKL RVINWREDDLKIWAGSHEGEGLPDFRTEKQPIENSAGCEVKKELKVTTEVLRMKGRLDES TTYLLQWAQ >ENSMUSP00000062507.5 pep:known chromosome:GRCm38:9:45138437:45154152:1 gene:ENSMUSG00000046480.5 transcript:ENSMUST00000060125.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn4b description:sodium channel, type IV, beta [Source:MGI Symbol;Acc:MGI:2687406] MSRAGNRGNTQARWLGTGLLGLFLLPMYLSLEVSVGKATTIYAINGSSILLPCTFSSCYG FENLYFKWSYNNSETSRILIDGIVKNDKSDPKVRVKDDDRITLEGSTKEKTNNISILLSD LEFSDTGRYTCFVRNPKEKDLNNSATIFLQVVDKLEKVDNTVTLIILAVVGGVIGLLVCI LLLKKLITFILKKTREKKKECLVSSSGNDNTENGLPGSKAEEKPPTKV >ENSMUSP00000008542.5 pep:known chromosome:GRCm38:10:93247414:93311135:-1 gene:ENSMUSG00000008398.14 transcript:ENSMUST00000008542.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elk3 description:ELK3, member of ETS oncogene family [Source:MGI Symbol;Acc:MGI:101762] MESAITLWQFLLHLLLDQKHEHLICWTSNDGEFKLLKAEEVAKLWGLRKNKTNMNYDKLS RALRYYYDKNIIKKVIGQKFVYKFVSFPDILKMDPHAVEISRESLLLQDGDCKVSPEGRE VHRHGLSSLKSASRNEYLHSGLYSSFTINSLQNAPEAFKAIKTEKLEEPCDDSPPVEEVR TVIRFVTNKTDKHITRPVVSLPSTSETAAAAASAFLASSVSAKISSLMLPNAASISSASP SSSRSPSLSPDSPLPSEHRSLFLEAACHDSDSLEPLNLSSGSKTKSPSLPPKGKKPKGLE ISAPQLLLSGTDIGSIALNSPALPSGSLTPAFFTAQTPSGLFLASSPLLPSIHFWSSLSP VAPLSPARLQGPNTLFQFPTLLNGHMPVPLPSLDRAPSPVLLSPSSQKS >ENSMUSP00000122324.1 pep:known chromosome:GRCm38:10:93249323:93310811:-1 gene:ENSMUSG00000008398.14 transcript:ENSMUST00000129827.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Elk3 description:ELK3, member of ETS oncogene family [Source:MGI Symbol;Acc:MGI:101762] MESAITLWQFLLHLLLDQKHEHLICWTSNDGEFKLLKAEEVAKLWGLRKNKTNMNYDKLS RALRYYYDKNIIKKVIGQKFVYKFVSFPDILKMDPHAVEISRESLLLQDGDCKVSPEGRE VHRHGLSSLKSASRNEYLHSGLYSSFTINSLQNAPEAFKAIKTEKLEEPCDDSPPVEEVR TVIRQKTQRLGNLCTPTVALRHRHRLHRPQQPSPPLRIPHSSLLHRTDTKWTVSGLESAA AQHTLLEQP >ENSMUSP00000121754.1 pep:known chromosome:GRCm38:10:93249323:93310969:-1 gene:ENSMUSG00000008398.14 transcript:ENSMUST00000151153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elk3 description:ELK3, member of ETS oncogene family [Source:MGI Symbol;Acc:MGI:101762] MESAITLWQFLLHLLLDQKHEHLICWTSNDGEFKLLKAEEVAKLWGLRKNKTNMNYDKLS RALRYYYDKTPSGLFLASSPLLPSIHFWSSLSPVAPLSPARLQGPNTLFQFPTLLNGHMP VPLPSLDRAPSPVLLSPSSQKS >ENSMUSP00000080742.6 pep:known chromosome:GRCm38:14:56129556:56132608:-1 gene:ENSMUSG00000059256.6 transcript:ENSMUST00000082093.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzmd description:granzyme D [Source:MGI Symbol;Acc:MGI:109255] MPPILILLTLLLPLRAGAEEIIGGHVVKPHSRPYMAFVMSVDIKGNRIYCGGFLIQDDFV LTAAHCKNSSVQSSMTVTLGAHNITAKEETQQIIPVAKDIPHPDYNATIFYSDIMLLKLE SKAKRTKAVRPLKLPRSNARVKPGDVCSVAGWGSRSINDTKASARLREVQLVIQEDEECK KRFRYYTETTEICAGDLKKIKTPFKGDSGGPLVCDNQAYGLFAYAKNGTISSGIFTKVVH FLPWISWNMKLL >ENSMUSP00000025506.6 pep:known chromosome:GRCm38:18:60560736:60572810:1 gene:ENSMUSG00000024604.7 transcript:ENSMUST00000025506.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm22 description:RNA binding motif protein 22 [Source:MGI Symbol;Acc:MGI:1914060] MATSLGSNTYNRQNWEDADFPILCQTCLGENPYIRMTKEKYGKECKICARPFTVFRWCPG VRMRFKKTEVCQTCSKLKNVCQTCLLDLEYGLPIQVRDAGLSFKDDMPKSDVNKEYYTQN MEREISNSDGTRPVGMLGKATSTSDMLLKLARTTPYYKRNRPHICSFWVKGECKRGEECP YRHEKPTDPDDPLADQNIKDRYYGINDPVADKLLKRASTMPRLDPPEDKTITTLYVGGLG DTITETDLRNHFYQFGEIRTITVVQRQQCAFIQFATRQAAEVAAEKSFNKLIVNGRRLNV KWGRSQAARGKEKEKDGTTDSGIKLEPVPGLPGALPPPPAAEEEASANYFNLPPSGPPAV VNIALPPPPGIAPPPPPGFGPHMFHPMGPPPPFMRAPGPIHYPSQDPQRMGAHAGKHSSP >ENSMUSP00000055032.7 pep:known chromosome:GRCm38:2:120732816:120850406:-1 gene:ENSMUSG00000090100.7 transcript:ENSMUST00000057135.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttbk2 description:tau tubulin kinase 2 [Source:MGI Symbol;Acc:MGI:2155779] MSGGGEQPDILSVGILVKERWKVLRKIGGGGFGEIYDALDMLTRENVALKVESAQQPKQV LKMEVAVLKKLQGKDHVCRFIGCGRNDRFNYVVMQLQGRNLADLRRSQSRGTFTISTTLR LGKQILESIESIHSVGFLHRDIKPSNFAMGRFPSTCRKCFMLDFGLARQFTNSCGDVRPP RAVAGFRGTVRYASINAHRNREMGRHDDLWSLFYMLVEFVVGQLPWRKIKDKEQVGSIKE RYDHRLMLKHLPPEFSTFLDHISSLDYFTKPDYQLLTSVFDNSIKTFGVIESDPFDWEKS GTDGSLTTTTTSATPQLHTRLTPAAIGIANATPIPGDLLRENTDEVFPDEQLSDGENGIP VGVSPDKLPGSLGHPRPQEKDVWEEMDINKNKIKLGICKAATEEENSHGQVNGILNAPSL GSPIRVRSEITQPDRDVPLVRKLRSIHSFELEKRLTLEPKPDTDKFLETCMEKMQKDSSA GKEPVPPALPHKPCVPVVTHTDHIWHYDDEYLPDASKPASANTPEQADGGGSNGFIAVNL SSCKQEVDSKEWVIVDKEQDLQDFRTNEVLGHKTTGSPSDEEPEVLQVLEGSPQDEKIQV GPWTDNHHLKKESSGVVLALSAECPATAASELYTDRLDLQAGAASQFITVTPTSPMEAQA EGPLTAITIPRPSVASTQSTSGSFHYGPQPEKKDLQPLEPTVELYSPRENFSGLVVTEGE LASGGSRVDLGLQIDHTGHDMLPNMRDGDTSQDLGPKDPPDHNRLAVKEFEHLPGETEER SLLLGSENEDERLSKGQHCIEVSSPGELVTAERAQLAATEPLHVSETQNCSVLPNQDKTH EIMKLLAVGTSEISPQAIDPHAEGQIGQMAAMQKNKLFKDDGIQSESLPRQQGDLSAFLH QEGKREKVVPRNGELYHCVSENEHGPPTRKDMLRSSFVTRHSRIPVLAQEIDSTFESSSA ISAKEKLLQKKAYQPEIVKLLVEKRQFKSFLGDLSSASDKLIEEKLAAVPVPFSEEEVFA PFSRLAADSHLSRSVEDSFLSPIISQARKSKIPRPVSWVSTDQINGSASPQFLPRPPPGK PPVRPGVEARLRRYKVLGSSNSDSDLFSRLAQILQNGSQKSRSTTQCKSPGSPHNPKTPP KSPVVPRRSPSASPRSSSLPRTSSSSPSRAGRPHHDQRSSSPHLGRSKSPPSHSGSSSSR RSCQQEHCKPSKNGPKGSGSLHHHSTSSKTPPGKSKPASKLSR >ENSMUSP00000028740.4 pep:known chromosome:GRCm38:2:120732816:120850604:-1 gene:ENSMUSG00000090100.7 transcript:ENSMUST00000028740.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttbk2 description:tau tubulin kinase 2 [Source:MGI Symbol;Acc:MGI:2155779] MGLANRRHRLGTCSPISTRGGENCKTGKIKCLLKETHSWLRREIGNRLNGIHPWELDACV AVLPHQGFAMSGGGEQPDILSVGILVKERWKVLRKIGGGGFGEIYDALDMLTRENVALKV ESAQQPKQVLKMEVAVLKKLQGKDHVCRFIGCGRNDRFNYVVMQLQGRNLADLRRSQSRG TFTISTTLRLGKQILESIESIHSVGFLHRDIKPSNFAMGRFPSTCRKCFMLDFGLARQFT NSCGDVRPPRAVAGFRGTVRYASINAHRNREMGRHDDLWSLFYMLVEFVVGQLPWRKIKD KEQVGSIKERYDHRLMLKHLPPEFSTFLDHISSLDYFTKPDYQLLTSVFDNSIKTFGVIE SDPFDWEKSGTDGSLTTTTTSATPQLHTRLTPAAIGIANATPIPGDLLRENTDEVFPDEQ LSDGENGIPVGVSPDKLPGSLGHPRPQEKDVWEEMDINKNKIKLGICKAATEEENSHGQV NGILNAPSLGSPIRVRSEITQPDRDVPLVRKLRSIHSFELEKRLTLEPKPDTDKFLETCM EKMQKDSSAGKEPVPPALPHKPCVPVVTHTDHIWHYDDEYLPDASKPASANTPEQADGGG SNGFIAVNLSSCKQEVDSKEWVIVDKEQDLQDFRTNEVLGHKTTGSPSDEEPEVLQVLEG SPQDEKIQVGPWTDNHHLKKESSGVVLALSAECPATAASELYTDRLDLQAGAASQFITVT PTSPMEAQAEGPLTAITIPRPSVASTQSTSGSFHYGPQPEKKDLQPLEPTVELYSPRENF SGLVVTEGELASGGSRVDLGLQIDHTGHDMLPNMRDGDTSQDLGPKDPPDHNRLAVKEFE HLPGETEERSLLLGSENEDERLSKGQHCIEVSSPGELVTAERAQLAATEPLHVSETQNCS VLPNQDKTHEIMKLLAVGTSEISPQAIDPHAEGQIGQMAAMQKNKLFKDDGIQSESLPRQ QGDLSAFLHQEGKREKVVPRNGELYHCVSENEHGPPTRKDMLRSSFVTRHSRIPVLAQEI DSTFESSSAISAKEKLLQKKAYQPEIVKLLVEKRQFKSFLGDLSSASDKLIEEKLAAVPV PFSEEEVFAPFSRLAADSHLSRSVEDSFLSPIISQARKSKIPRPVSWVSTDQINGSASPQ FLPRPPPGKPPVRPGVEARLRRYKVLGSSNSDSDLFSRLAQILQNGSQKSRSTTQCKSPG SPHNPKTPPKSPVVPRRSPSASPRSSSLPRTSSSSPSRAGRPHHDQRSSSPHLGRSKSPP SHSGSSSSRRSCQQEHCKPSKNGPKGSGSLHHHSTSSKTPPGKSKPASKLSR >ENSMUSP00000121996.1 pep:known chromosome:GRCm38:2:120745671:120850390:-1 gene:ENSMUSG00000090100.7 transcript:ENSMUST00000143051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttbk2 description:tau tubulin kinase 2 [Source:MGI Symbol;Acc:MGI:2155779] MSGGGEQPDILSVGILVKERWKVLRKIGGGGFGEIYDALDMLTRENVALKVESAQQPKQV LKMEVAVLKKLQGKDHVCRFIGCGRNDRFNYVVMQLQGRNLADLRRSQSRGTFTISTTLR LGKQILESIESIHSVGFLHRDIKPSNFAMGRFPSTCRKCFMLDFGLARQFTNSCGDVRPP RAVAGFRGTVRYASINAHRNREMGRHDDLWSLFYMLVEFVVGQLPWRKIKDKEQVGSIKE RYDHRLMLKHLPPEFSTFLDHISSLDYFTKPDYQLLTSVFDNSIKTFGVIESDPFDWEKS GTDGSLTTTTTSATPQLHTRLTPAAIGIANATPIPGDLLRENTDEVFPDEQLSDGENGIP VGVSPDKLPGSLGHPRPQEKDVWEEMDINKNKIKLGICKAATEEENSHGQVNGILNAPSL GSPIRVRSEITQPDRDVPLVRKLRSIHSFELEKRLTLEPKPDTDKFLETCMEKMQKDSSA GKEPVPPALPHKPCVPVVTHTDHIWHYDDEYLPDASKPASANTPEQADGGGSNGFIAVNL SSCKQEVDSKEWVIVDKEQDLQDFRTNEVLGHKTTGSPSDEEPEVLQVLEGSPQDEKIQV GPWTDNHHLKKESSGVVLALSAECPATAASELYTDRLDLQAGAASQFITVTPTSPMEAQA EGPLTAITIPRPSVASTQSTSGSFHYGPQPEKKDLQPLEPTVELYSPRENFSGLVVTEGE LASGGSRVDLGLQIDHTGHDMLPNMRDGDTSQDLGPKDPPDHNRLAVKEFEHLPGETEER SLLLGSENEDERLSKGQHCIEVSSPGELVTAERAQLAATEPLHVSETQNCSVLPNQDKTH EIMKLLAVGTSEISPQAIDPHAEGQIGQMAAM >ENSMUSP00000118905.1 pep:known chromosome:GRCm38:2:120750234:120850364:-1 gene:ENSMUSG00000090100.7 transcript:ENSMUST00000131389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttbk2 description:tau tubulin kinase 2 [Source:MGI Symbol;Acc:MGI:2155779] MSGGGEQPDILSVGILVKERWKVLRKIGGGGFGEIYDALDMLTRENVALKVESAQQPKQV LKMEVAVLKKLQGKDHVCRFIGCGRNDRFNYVVMQLQGRNLADLRRSQSRGTFTISTTLR LGKQILESIESIHSVGFLHRDIKPPRAVAGFRGTVRYASINAHRNREMGRHDDLWSLFYM LVEFVVGQLPWRKIKDKEQVGSIKERYDHRLMLKHLPPEFSTFLDHISSLDYFTKPDYQL LTSVFDNSIKTFGVIESDPFDWEKSGTDGSLTTTTTSATPQLHTRLTPAAIGIANATPIP GDLLRENTDEVFPDEQLSDGENGIPVGVSPDKLPGSLGHPRPQEKDVWEEMDINKNKIKL GICKAATEEENSHGQVNGILNAPSLGSPIRVRSEITQPDRDVPLVRKLRSIHSFELEKRL TLEPKPDTDKFLETWY >ENSMUSP00000083001.4 pep:known chromosome:GRCm38:2:120732816:120850418:-1 gene:ENSMUSG00000090100.7 transcript:ENSMUST00000085840.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttbk2 description:tau tubulin kinase 2 [Source:MGI Symbol;Acc:MGI:2155779] MSGGGEQPDILSVGILVKERWKVLRKIGGGGFGEIYDALDMLTRENVALKVESAQQPKQV LKMEVAVLKKLQGKDHVCRFIGCGRNDRFNYVVMQLQGRNLADLRRSQSRGTFTISTTLR LGKQILESIESIHSVGFLHRDIKPSNFAMGRFPSTCRKCFMLDFGLARQFTNSCGDVRPP RAVAGFRGTVRYASINAHRNREMGRHDDLWSLFYMLVEFVVGQLPWRKIKDKEQVGSIKE RYDHRLMLKHLPPEFSTFLDHISSLDYFTKPDYQLLTSVFDNSIKTFGVIESDPFDWEKS GTDGSLTTTTTSATPQLHTRLTPAAIGIANATPIPGDLLRENTDEVFPDEQLSDGENGIP VGVSPDKLPGSLGHPRPQEKDVWEEMDINKNKIKLGICKAATEEENSHGQVNGILNAPSL GSPIRVRSEITQPDRDVPLVRKLRSIHSFELEKRLTLEPKPDTDKFLETCMEKMQKDSSA GKEPVPPALPHKPCVPVVTHTDHIWHYDDEYLPDASKPASANTPEQADGGGSNGFIAVNL SSCKQEVDSKEWVIVDKEQDLQDFRTNEVLGHKTTGSPSDEEPEVLQVLEGSPQDEKIQV GPWTDNHHLKKESSGVVLALSAECPATAASELYTDRLDLQAGAASQFITVTPTSPMEAQA EGPLTAITIPRPSVASTQSTSGSFHYGPQPEKKDLQPLEPTVELYSPRENFSGLVVTEGE LASGGSRVDLGLQIDHTGHDMLPNMRDGDTSQDLGPKDPPDHNRLAVKEFEHLPGETEER SLLLGSENEDERLSKGQHCIEVSSPGELVTAERAQLAATEPLHVSETQNCSVLPNQDKTH EIMKLLAVGTSEISPQAIDPHAEGQIGQMAAMQKNKLFKDDGIQSESLPRQQGDLSAFLH QEGKREKVVPRNGELYHCVSENEHGPPTRKDMLRSSFVTRHSRIPVLAQEIDSTFESSSA ISAKEKLLQKKAYQPEIVKLLVEKRQFKSFLGDLSSASDKLIEEKLAAVPVPFSEEEVFA PFSRLAADSHLSRSVEDSFLSPIISQARKSKIPRPVSWVSTDQINGSASPQFLPRPPPGK PPVRPGVEARLRRYKVLGSSNSDSDLFSRLAQILQNGSQKSRSTTQCKSPGSPHNPKTPP KSPVVPRRSPSASPRSSSLPRTSSSSPSRAGRPHHDQRSSSPHLGRSKSPPSHSGSSSSR RSCQQEHCKPSKNGPKGSGSLHHHSTSSKTPPGKSKPASKLSR >ENSMUSP00000034003.4 pep:known chromosome:GRCm38:8:41191434:41215156:-1 gene:ENSMUSG00000031594.9 transcript:ENSMUST00000034003.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgl1 description:fibrinogen-like protein 1 [Source:MGI Symbol;Acc:MGI:102795] MGKIYSFVLVAIALMMGREGWALESENCLREQVRLRAQVHQLETRVKQQQTMIAQLLHEK EVQFLDKGSENSFIDLGGKKQYADCSEIYNDGFKQSGFYKIKPLQSLAEFSVYCDMSDGG GWTVIQRRSDGSENFNRGWNDYENGFGNFVQNNGEYWLGNKNINLLTIQGDYTLKIDLTD FEKNSSFAQYQSFKVGDKKSFYELNIGEYSGTAGDSLSGTFHPEVQWWASHQRMKFSTWD RDNDNYQGNCAEEEQSGWWFNRCHSANLNGVYYRGSYRAETDNGVVWYTWHGWWYSLKSV VMKIRPSDFIPNII >ENSMUSP00000139882.1 pep:known chromosome:GRCm38:Y:52780040:52806254:1 gene:ENSMUSG00000095606.7 transcript:ENSMUST00000191553.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21258 description:predicted gene, 21258 [Source:MGI Symbol;Acc:MGI:5434613] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKCSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000136718.1 pep:known chromosome:GRCm38:Y:52781462:52806058:1 gene:ENSMUSG00000095606.7 transcript:ENSMUST00000178673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21258 description:predicted gene, 21258 [Source:MGI Symbol;Acc:MGI:5434613] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKCSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKN >ENSMUSP00000140439.1 pep:known chromosome:GRCm38:11:22759738:22859545:-1 gene:ENSMUSG00000101716.1 transcript:ENSMUST00000189990.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm12057 description:predicted gene 12057 [Source:MGI Symbol;Acc:MGI:3650030] XGRGLPPRPAELEVSLDKTLEMRLCVKTQRKSEPQQNQQCWPPWNTSRRFNRKRPMGGSS KLVSGSYCRKTLPGGESSKMLTSSCPLCCSEDIPGCYMSKCIKCTVTVCPLFLHF >ENSMUSP00000078260.4 pep:known chromosome:GRCm38:2:152368755:152376638:-1 gene:ENSMUSG00000059361.6 transcript:ENSMUST00000079278.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrsn2 description:neurensin 2 [Source:MGI Symbol;Acc:MGI:2684969] MSCSRPCVCSHGTSVEESTWYGFDFYPNLFYNDWLGTTTLPYNPERIPIRYINRPWPSLC WKVTVAVASLFLLLGVAALTTGYAVPPKLELVNESKFSSMEDPVADYNQALMTCRVVGAT LCGVAGIMLAVCLFLIASGWMFQDIKAEPLVTETDSPVEVFRDEPEKLSPAFHETSSQSP FLTPPSPFGQQSVQTSQPQRDL >ENSMUSP00000097972.3 pep:known chromosome:GRCm38:5:149678230:149762599:1 gene:ENSMUSG00000051950.10 transcript:ENSMUST00000100404.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3glct description:beta-3-glucosyltransferase [Source:MGI Symbol;Acc:MGI:2685903] MRPPALLALFSCSAAFALMSEEIKEKVTPSQDLRQSSLPGRHDIDLKEIVFVIQSQSNSF HAKRAEQLKKNILKQAANLTQDLPRVLLLHQLAKQEGAWTILPLLPHFSVTYSKNSAWIF FCEEETRLQIPRLLDTLRRYDPSKEWFLGKALYDEESTIIHHYAFSENPTVFKYPDFAAG WALSIPLVNKLAKRLKSEALKSDFTIDLKHEIALYIWDKGGGPALTPVPEFCTEDVDPRC VTTFHSFLPLCGVPVKKEEIFVAVKTCKKFHADRIPIVKKTWAAQASLIEYYSDYAETAI PTVDLGIPNTDRGHCGKTFAILEKFLNHSHNKISWLVIVDDDTLISISRLRHLLSCYDSS DPVFLGERYGYGLGTGGYSYVTGGGGMVFSREAIRRLLVSSCRCYSNDAPDDMVLGMCFS GLGVPVTHSPLFHQARPVDYPKDYLAHQIPVSFHKHWHIDPVKVYLTWLAPSEEDQATQE TQKDPREEL >ENSMUSP00000031868.4 pep:known chromosome:GRCm38:6:35267957:35308131:-1 gene:ENSMUSG00000029843.4 transcript:ENSMUST00000031868.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc13a4 description:solute carrier family 13 (sodium/sulfate symporters), member 4 [Source:MGI Symbol;Acc:MGI:2442367] MGLLQGLLQARKLLLVICVPLLLLPLPTIYPTSEAACAYVLLVTAVYWVSEAVPLGAAAL VPAFLYPFFGVLRSSEVAAEYFKNTTLLLVGVICVAAAVEKWNLHKRIALRMVLMAGAKP GMLLLCFMCCTTMLSMWLSNTSTTAMVMPIVEAVLQELINAEEEQLAAGTEEAELMGLDV NNRQTSMELIFVNEDTSAADFTSLMQSKNLNGVPMVTKSINTANQQQQKKQQPSQEKPGV PTPSSKTQELNKKKYRSHHDQMICKCLSLSISYAATIGGLTTIIGTSTSLIFLEHFNNQY PAAEVVNFGTWFLFSFPISLIMLVVSWFWIHWLFLGCNFKETCSLSKKKKTRREELSEKR IREEYEKLGDISYPEMVTAFFFILMTVLWFTREPGFVPGWDSFFEKKGYRTDATVSVFLG FLLFLIPAKKPCFGKKSDGTGQEASKGIEPIITWKDFQKTMPWEIVILVGGGYALASGSK SSGLSTWIGHQMLSLSSLPPWAITLLACVLVSIVTEFVSNPATITIFLPILCTLSETLHI NPLYTLVPVTMSISFAVMLPVGNPPNAIVFSYGHCQIKDMVKAGLGVNVIGLVIVMVAIN TWGVSLFHLDAFPAWAKVSNITDQT >ENSMUSP00000062025.5 pep:known chromosome:GRCm38:11:22973215:22976496:1 gene:ENSMUSG00000044068.6 transcript:ENSMUST00000049506.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zrsr1 description:zinc finger (CCCH type), RNA binding motif and serine/arginine rich 1 [Source:MGI Symbol;Acc:MGI:98885] MASRQTAIPEKLSRKQYKAAMKKEKRKKRRQKMARLRALEAPPEEDDDVSANEELAERLL EIERQRLHEEWLLREEKAQEEFRIKKKKEEAARKQKEEQERQIKAEWEEQQKKQREEEEQ KLQEKREREEAVQKMLDQAENERIWQNPEPPKDLRLEKYRPSCPFYNKTGACRFGNRCSR KHDFPTSSPTLLVKSMFTTFGMEQCRRDDYDSDANLEYSEEETYQQFLDFYHDVLPEFKN VGKVIQFKVSCNLEPHLRGNVYVQYQSEEECQAALSLFNGRWYAGRQLQCEFCPVTRWKV AICGLFEMQKCPKGKHCNFLHVFRNPNNEFREANRDIYMSPPAWTGSSGKNSDRRERKDH HEEYYSKSRSYHSGSYHSSKRNRESERKSPHRWKKSHKQTTKSHERHSSRRGREEDSSPG PQSQSHRT >ENSMUSP00000113591.1 pep:known chromosome:GRCm38:12:83794034:83921934:-1 gene:ENSMUSG00000021224.14 transcript:ENSMUST00000117217.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numb description:numb gene homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:107423] MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKYLGHVEVDESRGMHICED AVKRLKATGKKAVKAVLWVSADGLRVVDEKTKDLIVDQTIEKVSFCAPDRNFDRAFSYIC RDGTTRRWICHCFMAVKDTGERLSHAVGCAFAACLERKQKREKECGVTATFDASRTTFTR EGSFRVTTATEQAEREEIMKQLQDAKKAETDKTVVGPSVAPGNTAPSPSSPTSPTPDGTA SSEMNNPHAIPRRHAPIEQLARQGSFRGFPALSQKMSPFKRQLSLRINELPSTMQRKTDF PIKNTVPEVEGEAESISSLCSQITSAFSTPSEDPFSSAPMTKPVTLVAPQSPVLQGTEWG QSSGAASPGLFQAGHRRTPSEADRWLEEVSKSVRAQQPQVSAAPLQPVLQPPPPAAIAPP APPFQGHAFLTSQPVPVGVVPPLQPAFVPTQSYPVANGMPYPASNVPVVGITPSQMVANV FGTAGHPQTTHPHQSPSLAKQQTFPQYETSSATTSPFFKPPAQHLNGSAAFNGVDNGGLA SGNRHAEVPPGTCPVDPFEAQWAALESKSKQRTNPSPTNPFSSDLQKTFEIEL >ENSMUSP00000105927.2 pep:known chromosome:GRCm38:12:83794036:83876810:-1 gene:ENSMUSG00000021224.14 transcript:ENSMUST00000110298.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Numb description:numb gene homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:107423] MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKYLGHVEVDESRGMHICED AVKRLKALWTRKLRTS >ENSMUSP00000082311.4 pep:known chromosome:GRCm38:12:83794071:83921844:-1 gene:ENSMUSG00000021224.14 transcript:ENSMUST00000085215.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Numb description:numb gene homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:107423] MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKYLGHVEVDESRGMHICED AVKRLKAERKFFKGFFGKTGKKAVKAVLWVSADGLRVVDEKTKDLIVDQTIEKVSFCAPD RNFDRAFSYICRDGTTRRWICHCFMAVKDTGERLSHAVGCAFAACLERKQKREKECGVTA TFDASRTTFTREGSFRVTTATEQAEREEIMKQLQDAKKAETDKTVVGPSVAPGNTAPSPS SPTSPTPDGTASSEMNNPHAIPRRHAPIEQLARQGSFRGFPALSQKMSPFKRQLSLRINE LPSTMQRKTDFPIKNTVSCVTS >ENSMUSP00000119303.1 pep:known chromosome:GRCm38:12:83795439:83842343:-1 gene:ENSMUSG00000021224.14 transcript:ENSMUST00000129335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numb description:numb gene homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:107423] MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKYLGHVEVDESRGMHICED AVKRLKAERKFFKGFFGKTGKKAVKAVLWVSADGLRVVDEKTKDLIVDQTIEKVSFCAPD RNFDRAFSYICRDGTTRRWICHCFMAVKDTGERLSHAVGCAFAACLERKQKREKECGVTA TFDASRTTFTREGSFRVTTATEQAEREEIMKQLQDAKKAETDKTVVGPSVAPGNTAPSPS SPTSPTPDGTASSEMNNPHAIPRRHAPIEQLARQGSFRGFPALSQKMSPFKRQLSLRINE LPSTMQRKTDFPIKNTVPEVEGEAESISSLCSQITSAFSTPSEDPFSSAPMTKPVTLVAP QSPVLQANGTDSASHVLTAKPANTALAHVAMPVRETNPWAHVPDAANKEIAAIHPGTEWG QSSGAASPGLFQAGHRRTPSEADRWLEEVSKSVRAQQPQVSAAPLQPVLQPPPPAAIAPP APPFQGHAFLTSQPVPVGVVPPLQPAFVPTQSYPVANGMPYPASNVPVVGITPSQMVANV FGTAGHPQTTHPHQSPSLAKQQTFPQYETSSATTSPFFKPPAQHLNGSAAFNGVDNGGLA SGNRHAEVPPGTCPVDPFEAQWAALESKSKQRTNPSPTNPFSSDLQKTFEIEL >ENSMUSP00000117899.1 pep:known chromosome:GRCm38:12:83795439:83842343:-1 gene:ENSMUSG00000021224.14 transcript:ENSMUST00000154043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numb description:numb gene homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:107423] MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKYLGHVEVDESRGMHICED AVKRLKATGKKAVKAVLWVSADGLRVVDEKTKDLIVDQTIEKVSFCAPDRNFDRAFSYIC RDGTTRRWICHCFMAVKDTGERLSHAVGCAFAACLERKQKREKECGVTATFDASRTTFTR EGSFRVTTATEQAEREEIMKQLQDAKKAETDKTVVGPSVAPGNTAPSPSSPTSPTPDGTA SSEMNNPHAIPRRHAPIEQLARQGSFRGFPALSQKMSPFKRQLSLRINELPSTMQRKTDF PIKNTVPEVEGEAESISSLCSQITSAFSTPSEDPFSSAPMTKPVTLVAPQSPVLQANGTD SASHVLTAKPANTALAHVAMPVRETNPWAHVPDAANKEIAAIHPGTEWGQSSGAASPGLF QAGHRRTPSEADRWLEEVSKSVRAQQPQVSAAPLQPVLQPPPPAAIAPPAPPFQGHAFLT SQPVPVGVVPPLQPAFVPTQSYPVANGMPYPASNVPVVGITPSQMVANVFGTAGHPQTTH PHQSPSLAKQQTFPQYETSSATTSPFFKPPAQHLNGSAAFNGVDNGGLASGNRHAEVPPG TCPVDPFEAQWAALESKSKQRTNPSPTNPFSSDLQKTFEIEL >ENSMUSP00000021647.7 pep:known chromosome:GRCm38:12:83795439:83842343:-1 gene:ENSMUSG00000021224.14 transcript:ENSMUST00000021647.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numb description:numb gene homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:107423] MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKYLGHVEVDESRGMHICED AVKRLKAERKFFKGFFGKTGKKAVKAVLWVSADGLRVVDEKTKDLIVDQTIEKVSFCAPD RNFDRAFSYICRDGTTRRWICHCFMAVKDTGERLSHAVGCAFAACLERKQKREKECGVTA TFDASRTTFTREGSFRVTTATEQAEREEIMKQLQDAKKAETDKTVVGPSVAPGNTAPSPS SPTSPTPDGTASSEMNNPHAIPRRHAPIEQLARQGSFRGFPALSQKMSPFKRQLSLRINE LPSTMQRKTDFPIKNTVPEVEGEAESISSLCSQITSAFSTPSEDPFSSAPMTKPVTLVAP QSPVLQGTEWGQSSGAASPGLFQAGHRRTPSEADRWLEEVSKSVRAQQPQVSAAPLQPVL QPPPPAAIAPPAPPFQGHAFLTSQPVPVGVVPPLQPAFVPTQSYPVANGMPYPASNVPVV GITPSQMVANVFGTAGHPQTTHPHQSPSLAKQQTFPQYETSSATTSPFFKPPAQHLNGSA AFNGVDNGGLASGNRHAEVPPGTCPVDPFEAQWAALESKSKQRTNPSPTNPFSSDLQKTF EIEL >ENSMUSP00000116863.1 pep:known chromosome:GRCm38:12:83803946:83921867:-1 gene:ENSMUSG00000021224.14 transcript:ENSMUST00000155112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numb description:numb gene homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:107423] MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKYLGHVEVDESRGMHICED AVKRLKAERKFFKGFFGKTGKKAVKAVLWVSADGLRVVDEKTKDLIVDQTIEKVSFCAPD RNFDRAFSYICRDGTTRRWICHCFMAVKDTGERLSHAVGCAFA >ENSMUSP00000122977.2 pep:known chromosome:GRCm38:12:83808106:83921856:-1 gene:ENSMUSG00000021224.14 transcript:ENSMUST00000135962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numb description:numb gene homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:107423] MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKYLGHVEVDESRGMHICED AVKRLKAERKFFKGFFGKTGKKAVKAVLWVSADGLRVVDEKTKDLIVDQTIEKVSFCAPD RNFDRAFSYICRDGTTRRWICHCFMAVK >ENSMUSP00000122597.1 pep:known chromosome:GRCm38:12:83808177:83921883:-1 gene:ENSMUSG00000021224.14 transcript:ENSMUST00000136848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numb description:numb gene homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:107423] MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKYLGHVEVDESRGMHICED AVKRLKATGKKAVKAVLWVSADGLRVVDEKTKDLIVDQTIEKVSFCAPDRNFD >ENSMUSP00000122960.1 pep:known chromosome:GRCm38:12:83811291:83921890:-1 gene:ENSMUSG00000021224.14 transcript:ENSMUST00000126943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Numb description:numb gene homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:107423] MNKLRQSFRRKKDVYVPEASRPHQWQTDEEGVRTGKCSFPVKTGKKAVKAVLWVSADGLR VVDEK >ENSMUSP00000006128.6 pep:known chromosome:GRCm38:2:105386291:105399319:-1 gene:ENSMUSG00000005973.6 transcript:ENSMUST00000006128.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcn1 description:reticulocalbin 1 [Source:MGI Symbol;Acc:MGI:104559] MARGGRLGLALGLLLALVLALRAKPTVRKERVVRPDSELGERPPEDNQSFQYDHEAFLGK EDSKTFDQLSPDESKERLGKIVDRIDSDGDGLVTTEELKLWIKRVQKRYIYDNVAKVWKD YDRDKDEKISWEEYKQATYGYYLGNPAEFHDSSDHHTFKKMLPRDERRFKASDLDGDLTA TREEFTAFLHPEEFEHMKEIVVLETLEDIDKNGDGFVDQDEYIADMFSHEDNGPEPDWVL SEREQFNDFRDLNKDGKLDKDEIRHWILPQDYDHAQAEARHLVYESDKNKDEMLTKEEIL DNWNMFVGSQATNYGEDLTKNHDEL >ENSMUSP00000037180.5 pep:known chromosome:GRCm38:2:166566342:166713832:-1 gene:ENSMUSG00000039621.13 transcript:ENSMUST00000036719.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prex1 description:phosphatidylinositol-3,4,5-trisphosphate-dependent Rac exchange factor 1 [Source:MGI Symbol;Acc:MGI:3040696] MEAPGSGGGDGGGDPGGDGAHPDARGPVSGPCAAARDSERQLRLRLCVLNEILGTERDYV GTLRFLQSAFLQRIRQNVADSVEKGLTEENVKVLFSNIEDILEVHKDFLAALEYCLHPEP QSQHELGNVFLKFKDKFCVYEEYCSNHEKALRLLVELNKVPAVRAFLLSCMLLGGRKTTD IPLEGYLLSPIQRICKYPLLLKELAKRTPGKHPDHTAVQSALQAMKTVCSNINETKRQME KLEALEQLQSHIEGWEGSNLTDICTELLLQGNLLKISAGNIQERAFFLFDNLLVYCKRKS RVTGSKKSTKRTKSINGSLYIFRGRINTEVMEVENVEDGTADYHSNGYTVTNGWKIHNTA KNKWFVCMAKTAEEKQKWLDALIREREQRESLKLGMERDAYVMIAEKGEKLYHMMMSKKV NLIKDRRRKLSTVPKCFLGNEFVAWLLEIGEISKTEEGVNLGQALLENGIIHHVSDKHQF KNEQVMYRFRYDDGTYKARSELEDIMSKGVRLYCRLHSLYAPVIKDRDYHLKTYKSVVPG SKLVDWLLAQGDCQTREEAVALGVGLCNNGFMHHVLEKSEFKDESQYFRFHADEEMEGTS SKNKQLRNDFKLVENILAKRLLIPPQEDDYGFDLEEKNKAVVVKSVQRGSLAEMAGLQAG RKIYSINEDLVFLRPFSEVETILNQFFCSRRPLRLLVATKAKETIKVPDHPEALSFQIRG TAPPCVFAVGRGSEAVAAGLCAGQCILKVNGTSVANDGALEVLEHFQAFRNHREEALGLY QWVYHSHEDAQLARASQGAPDEDPQEDDQPDSALPLLSLGPQLSLHEDSAVVSLTLDNVH LEHGVVYEYMSTAGAKCHVLEKIVEPRGCFRLAAKILEAFAVDDSIFVQNCGRLMAMSSA IVTMSHYEFHNICDTKLESIGQRIACYQEFAAQLKSRVSPPFKQASLEPHPLCGLDFCPT NCHVNLMEVSYPKTTPSVGRSFSIRFGRKPSLIGLDPEQGLNPMAYTQHCITTMAAPSWK CSPAVDEDSQGQGLNDSSYGSASGAPSQQDRGLSFLLKQEDREIQDAYLQLFTKLDVALK EMKQYVTQINRLLSTITEPTSAAPAPCDPSLVEETSSSPPVSEESEVDRTDHSGIKKVCF KVSEDEQEDSGHDTMSYRDSYSECNSNRDSVLSYTSVRSNSSYLGSDEMGSGDELPCDMR IPSDKQDKLHGCLEHLFNQVDSIHALLKGPVMSRAFEETRHFPMKHSWQEFKQKEECTVR GRNLIQISIQEDPWNLPSSIRTLVDNIQQYVEDGKNQLLLALLKCTDTELQLRRDAVFCQ ALVAAVCTFSEQLLAALDYRYNNNGEYEESSRDASRKWLEQVAATGVLLHWQSLLAPASV KEERTMLEDIWVTLSELDNVTFSFKQLDENSVANTNVFYHIEGSRQALKVVFYLDGFHFS RLPSRLEGGASLRLHTVLFTKALESVEGPPPPGNQAAEELQQEINAQSLEKVQQYYRKLR AFYLERSNLPTDAGATAVKIDQLIRPINALDELYRLMKTFVHPKAGAAGSLGAGLIPVSS ELCYRLGACQITMCGTGMQRSTLSVSLEQAAILARSHGLLPKCVMQATDIMRKQGPRVEI LAKNLRIKDPMPQGAPRLYQLCQPPVDGDL >ENSMUSP00000096679.2 pep:known chromosome:GRCm38:2:166566960:166638968:-1 gene:ENSMUSG00000039621.13 transcript:ENSMUST00000099080.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prex1 description:phosphatidylinositol-3,4,5-trisphosphate-dependent Rac exchange factor 1 [Source:MGI Symbol;Acc:MGI:3040696] MLLGGRKTTDIPLEGYLLSPIQRICKYPLLLKELAKRTPGKHPDHTAVQSALQAMKTVCS NINETKRQMEKLEALEQLQSHIEGWEGSNLTDICTELLLQGNLLKISAGNIQERAFFLFD NLLVYCKRKSRVTGSKKSTKRTKSINGSLYIFRGRINTEVMEVENVEDGTADYHSNGYTV TNGWKIHNTAKNKWFVCMAKTAEEKQKWLDALIREREQRESLKLGMERDAYVMIAEKGEK LYHMMMSKKVNLIKDRRRKLSTVPKCFLGNEFVAWLLEIGEISKTEEGVNLGQALLENGI IHHVSDKHQFKNEQVMYRFRYDDGTYKARSELEDIMSKGVRLYCRLHSLYAPVIKDRDYH LKTYKSVVPGSKLVDWLLAQGDCQTREEAVALGVGLCNNGFMHHVLEKSEFKDESQYFRF HADEEMEGTSSKNKQLRNDFKLVENILAKRLLIPPQEDDYGFDLEEKNKAVVVKSVQRGS LAEMAGLQAGRKIYSINEDLVFLRPFSEVETILNQFFCSRRPLRLLVATKAKETIKVPDH PEALSFQIRGTAPPCVFAVGRGSEAVAAGLCAGQCILKVNGTSVANDGALEVLEHFQAFR NHREEALGLYQWVYHSHEDAQLARASQGAPDEDPQEDDQPDSALPLLSLGPQLSLHEDSA VVSLTLDNVHLEHGVVYEYMSTAGAKCHVLEKIVEPRGCFRLAAKILEAFAVDDSIFVQN CGRLMAMSSAIVTMSHYEFHNICDTKLESIGQRIACYQEFAAQLKSRVSPPFKQASLEPH PLCGLDFCPTNCHVNLMEVSYPKTTPSVGRSFSIRFGRKPSLIGLDPEQGLNPMAYTQHC ITTMAAPSWKCSPAVDEDSQGQGLNDSSYGSASGAPSQQDRGLSFLLKQEDREIQDAYLQ LFTKLDVALKEMKQYVTQINRLLSTITEPTSAAPAPCDPSLVEETSSSPPVSEESEVDRT DHSGIKKVCFKVSEDEQEDSGHDTMSYRDSYSECNSNRDSVLSYTSVRSNSSYLGSDEMG SGDELPCDMRIPSDKQDKLHGCLEHLFNQVDSIHALLKGPVMSRAFEETRHFPMKHSWQE FKQKEECTVRGRNLIQISIQEDPWNLPSSIRTLVDNIQQYVEDGKNQLLLALLKCTDTEL QLRRDAVFCQALVAAVCTFSEQLLAALDYRYNNNGEYEESSRDASRKWLEQVAATGVLLH WQSLLAPASVKEERTMLEDIWVTLSELDNVTFSFKQLDENSVANTNVFYHIEGSRQALKV VFYLDGFHFSRLPSRLEGGASLRLHTVLFTKALESVEGPPPPGNQAAEELQQEINAQSLE KVQQYYRKLRAFYLERSNLPTDAGATAVKIDQLIRPINALDELYRLMKTFVHPKAGAAGS LGAGLIPVSSELCYRLGACQITMCGTGMQRSTLSVSLEQAAILARSHGLLPKCVMQATDI MRKQGPRVEILAKNLRIKDPMPQGAPRLYQLCQPPVDGDL >ENSMUSP00000104869.1 pep:known chromosome:GRCm38:2:166566966:166582071:-1 gene:ENSMUSG00000039621.13 transcript:ENSMUST00000109246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prex1 description:phosphatidylinositol-3,4,5-trisphosphate-dependent Rac exchange factor 1 [Source:MGI Symbol;Acc:MGI:3040696] MGSGDELPCDMRIPSDKQDKLHGCLEHLFNQVDSIHALLKGPVMSRAFEETRHFPMKHSW QEFKQKEECTVRGRNLIQISIQEDPWNLPSSIRTLVDNIQQYVEDGKNQLLLALLKCTDT ELQLRRDAVFCQALVAAVCTFSEQLLAALDYRYNNNGEYEESSRDASRKWLEQVAATGVL LHWQSLLAPASVKEERTMLEDIWVTLSELDNVTFSFKQLDENSVANTNVFYHIEGSRQAL KVVFYLDGFHFSRLPSRLEGGASLRLHTVLFTKALESVEGPPPPGNQAAEELQQEINAQS LEKVQQYYRKLRAFYLERSNLPTDAGATAVKIDQLIRPINALDELYRLMKTFVHPKAGAA GSLGAGLIPVSSELCYRLGACQITMCGTGMQRSTLSVSLEQAAILARSHGLLPKCVMQAT DIMRKQGPRVEILAKNLRIKDPMPQGAPRLYQLCQPPVDGDL >ENSMUSP00000124719.1 pep:known chromosome:GRCm38:11:22896136:22982382:-1 gene:ENSMUSG00000051355.18 transcript:ENSMUST00000159081.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd1 description:COMM domain containing 1 [Source:MGI Symbol;Acc:MGI:109474] MAGDLEGGKSLSGLLSGLAQNAFHGHSGVTEELLHSQLYPEVPPEEFRPFLAKMRGLLKS IASADMDFNQLEAFLTAQTKKQGGITSEQAAVISKFWKSHKIKIRESLMKQSRWDNGLRG LSWRVDGKSQSRHSTQIHSPVAIIELEFGKNGQESEFLCLEFDEVKVKQILKKLSEVEES INRLMQAA >ENSMUSP00000065079.8 pep:known chromosome:GRCm38:11:22899759:22982252:-1 gene:ENSMUSG00000051355.18 transcript:ENSMUST00000071068.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd1 description:COMM domain containing 1 [Source:MGI Symbol;Acc:MGI:109474] AGDLEGGKSLSGLLSGLAQNAFHGHSGVTEELLHSQLYPEVPPEEFRPFLAKMRGLLKES EFLCLEFDEVKVKQILKKLSEVEESINRLMQAA >ENSMUSP00000053606.5 pep:known chromosome:GRCm38:11:22899764:22978996:-1 gene:ENSMUSG00000051355.18 transcript:ENSMUST00000057843.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd1 description:COMM domain containing 1 [Source:MGI Symbol;Acc:MGI:109474] MGGLIGGTGPTGITPGGGAATGIMEVSPMLGACIIPECGGPRGLEPEFTLELSSCVPSSI KEREGCLAFFFRLVLNIHIAPQMRFQVAAYFELNHLSHVLELRQHVMVEIQELLSIASAD MDFNQLEAFLTAQTKKQGGITSEQAAVISKFWKSHKIKIRESLMKQSRWDNGLRGLSWRV DGKSQSRHSTQIHSPVAIIELEFGKNGQESEFLCLEFDEVKVKQILKKLSEVEESINRLM QAA >ENSMUSP00000090958.5 pep:known chromosome:GRCm38:11:22956152:22982184:-1 gene:ENSMUSG00000051355.18 transcript:ENSMUST00000093270.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd1 description:COMM domain containing 1 [Source:MGI Symbol;Acc:MGI:109474] XGHSGVTEELLHSQLYPEVPPEEFRPFLAKMRGLLKSIASADMDFNQLEAFLTAQTKKQG GITSEQAAVISKFWKSHKIKIRESLMKQSRWDNGLRGLSWRVDGKSQSRHSTQIHSPVAI IELEFGKNGQLSQKGRVGQF >ENSMUSP00000102665.1 pep:known chromosome:GRCm38:3:97628804:97655282:1 gene:ENSMUSG00000028088.14 transcript:ENSMUST00000107050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo5 description:flavin containing monooxygenase 5 [Source:MGI Symbol;Acc:MGI:1310004] MAKKRIAVIGAGASGLTCIKCCLEEGLEPVCFERSGDIGGLWRFQEAPEEGRASIYQSVV INTSKEMMCFSDYPIPDHYPNYMHNSQVLEYFRMYAKEFDLLKYIQFKTTVCSVKKQPDF STSGQWQVVTECEGKQQVDVFDGVLVCTGHHTDAHLPLESFPGIEKFKGKYFHSRDYKNP VEFTGKRVIVIGIGNSGGDLAVEISHTAKQVFLSTRRGAWILNRVGKHGYPIDLLLSSRI MYYLSRICGPSLKNNYMEKQMNQRFDHEMFGLKPKHRALSQHPTVNDDLPNRIIAGLVKV KGNVKEFTETAAVFEDGSREDGIDVVIFATGYSFAFPFLEDSVKVVKNKVSLYKKVFPPN LEKPTLAIIGLIQPLGAIMPISELQGRWATQVFKGLKKLPSQSEMMAEINKAREEMAKRY VDSQRHTIQGDYIDTMEEIADLVGVRPNILPLVFTDPRLALRLLLGPCTPVQYRLQGPGK WAGARKTILTTEDRVRKPLMTRVVERDSSGGSLVTVRVLMLAVAFFAVILAYF >ENSMUSP00000029729.8 pep:known chromosome:GRCm38:3:97628838:97652554:1 gene:ENSMUSG00000028088.14 transcript:ENSMUST00000029729.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo5 description:flavin containing monooxygenase 5 [Source:MGI Symbol;Acc:MGI:1310004] MAKKRIAVIGAGASGLTCIKCCLEEGLEPVCFERSGDIGGLWRFQEAPEEGRASIYQSVV INTSKEMMCFSDYPIPDHYPNYMHNSQVLEYFRMYAKEFDLLKYIQFKTTVCSVKKQPDF STSGQWQVVTECEGKQQVDVFDGVLVCTGHHTDAHLPLESFPGIEKFKGKYFHSRDYKNP VEFTGKRVIVIGIGNSGGDLAVEISHTAKQVFLSTRRGAWILNRVGKHGYPIDLLLSSRI MYYLSRICGPSLKNNYMEKQMNQRFDHEMFGLKPKHRALSQHPTVNDDLPNRIIAGLVKV KGNVKEFTETAAVFEDGSREDGIDVVIFATGYSFAFPFLEDSVKVVKNKVSLYKKVFPPN LEKPTLAIIGLIQPLGAIMPISELQGRWATQVFKGLKKLPSQSEMMAEINKAREEMAKRY VDSQRHTIQGDYIDTMEEIADLVGVRPNILPLVFTDPRLALRLLLGPCTPVQYRLQGPGK WAGARKTILTTEDRVRKPLMTRVVERDSSGGSLVTVRVLMLAVAFFAVILAYF >ENSMUSP00000102664.1 pep:known chromosome:GRCm38:3:97628857:97652604:1 gene:ENSMUSG00000028088.14 transcript:ENSMUST00000107049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmo5 description:flavin containing monooxygenase 5 [Source:MGI Symbol;Acc:MGI:1310004] MAKKRIAVIGAGASGLTCIKCCLEEGLEPVCFERSGDIGGLWRFQEAPEEGRASIYQSVV INTSKEMMCFSDYPIPDHYPNYMHNSQVLEYFRMYAKEFDLLKYIQFKTTVCSVKKQPDF STSGQWQVVTECEGKQQVDVFDGVLVCTGHHTDAHLPLESFPGIEKFKGKYFHSRDYKNP VEFTGKRVIVIGIGNSGGDLAVEISHTAKQVFLSTRRGAWILNRVGKHGYPIDLLLSSRI MYYLSRICGPSLKNNYMEKQMNQRFDHEMFGLKPKHRALSQHPTVNDDLPNRIIAGLVKV KGNVKEFTETAAVFEDGSREDGIDVVIFATGYSFAFPFLEDSVKVVKNKVSLYKKVFPPN LEKPTLAIIGLIQPLGAIMPISELQGRWATQVFKGLKKLPSQSEMMAEINKAREEMAKRY VDSQRHTIQGDYIDTMEEIADLVGVRPNILPLVFTDPRLALRLLLGPCTPVQYRLQGPGK WAGARKTILTTEDRVRKPLMTRVVERDSSGGSLVTVRVLMLAVAFFAVILAYF >ENSMUSP00000020341.8 pep:known chromosome:GRCm38:10:80318254:80320537:-1 gene:ENSMUSG00000020133.8 transcript:ENSMUST00000020341.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310011J03Rik description:RIKEN cDNA 2310011J03 gene [Source:MGI Symbol;Acc:MGI:1913624] MNSKAKKRVVLPTRPAPPTVEQILEDVRGAPAQDPVFTALAPEDPPDPSPRAEDSEIQQE QIYQQSRAYVAMNERLRQAGEALRQKFDGLRQAGQRLEQDISQVTSATS >ENSMUSP00000104272.1 pep:known chromosome:GRCm38:11:69846376:69851036:1 gene:ENSMUSG00000019461.12 transcript:ENSMUST00000108632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr3 description:phospholipid scramblase 3 [Source:MGI Symbol;Acc:MGI:1917560] MAGYLPPKGYAPSPPPPYPVPSGYPEPVALHPGPGQAPVPTQVPAPAPGFALFPSPGPVA PGPPAPFVPLPGVPPGLEFLVQIDQILIHQKAERVETFLGWETCNMYELRSGTGQQLGQA AEESNCCARLCCGARRPFRIRLADPGDREVLRLLRPLHCGCSCCPCGLQEMEVQAPPGTT IGHVLQTWHPFLPKFSILDADRQPVLRVVGPCWTCGCGTDTNFEVKTKDESRSVGRISKQ WGGLLREALTDADDFGLQFPVDLDVKVKAVLLGATFLIDYMFFEKRGGAGPSAITS >ENSMUSP00000123549.1 pep:known chromosome:GRCm38:11:69846617:69848375:1 gene:ENSMUSG00000019461.12 transcript:ENSMUST00000152566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr3 description:phospholipid scramblase 3 [Source:MGI Symbol;Acc:MGI:1917560] MAGYLPPKGYAPSPPPPYPVPSGYPEPVALHPGPGQAPVPTQVPAPAPGFALFPSPGPVA PGPPAPFVPLPGVPPGLEFLVQIDQILIHQKAERVETFLGWETCNMYELRSGTGQQLGQA AEESNCCARLCCGARRPFRIRLADPGDREVLRLLRPLHCGCSCCPCGLQEMEVQAPPGTT IGHVLQTWHPFLPKFSILDADRQPVLRVVGPCWTCGCGTDTNFE >ENSMUSP00000019605.2 pep:known chromosome:GRCm38:11:69846676:69851043:1 gene:ENSMUSG00000019461.12 transcript:ENSMUST00000019605.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr3 description:phospholipid scramblase 3 [Source:MGI Symbol;Acc:MGI:1917560] MAGYLPPKGYAPSPPPPYPVPSGYPEPVALHPGPGQAPVPTQVPAPAPGFALFPSPGPVA PGPPAPFVPLPGVPPGLEFLVQIDQILIHQKAERVETFLGWETCNMYELRSGTGQQLGQA AEESNCCARLCCGARRPFRIRLADPGDREVLRLLRPLHCGCSCCPCGLQEMEVQAPPGTT IGHVLQTWHPFLPKFSILDADRQPVLRVVGPCWTCGCGTDTNFEVKTKDESRSVGRISKQ WGGLLREALTDADDFGLQFPVDLDVKVKAVLLGATFLIDYMFFEKRGGAGPSAITS >ENSMUSP00000104273.2 pep:known chromosome:GRCm38:11:69846617:69852058:1 gene:ENSMUSG00000019461.12 transcript:ENSMUST00000108633.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plscr3 description:phospholipid scramblase 3 [Source:MGI Symbol;Acc:MGI:1917560] MAGYLPPKGYAPSPPPPYPVPSGYPEPVALHPGPGQAPVPTQVPAPAPGFALFPSPGPVA PGPPAPFVPLPGVPPGLEFLVQIDQILIHQKAERVETFLGWETCNMYELRSGTGQQLGQA AEESNCCARLCCGARRPFRIRLADPGDREVLRLLRPLHCGCSCCPCGLQEMEVQAPPGTT IGHVLQTWHPFLPKFSILDADRQPVLRVVGPCWTCGCGTDTNFEVKTKDESRSVGRISKQ WGGLLREALTDADDFGLQFPVDLDVKVKAVLLGATFLIDYMFFEKRGGAGPSAITS >ENSMUSP00000143368.1 pep:known chromosome:GRCm38:3:90104134:90212048:1 gene:ENSMUSG00000027939.11 transcript:ENSMUST00000200410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup210l description:nucleoporin 210-like [Source:MGI Symbol;Acc:MGI:1924845] MIAFGAPRRRSFGLLFSLAPHLFFLFLIGTLANKLNVPQVLLPFSREPGRVPFLLEAQRG CYIWHSTHHDAVTIQPLYENGTSCSQRAVLVAESTQPIRLSSIILAREVVTDHELRCDVK VDVIDNIEIVSRTRELYVDDAPLELMVRALDSKGNTFSTLAGMVFEWSIAQDNESSREEL SSKIRILKYSEAEYSPPDYIIEMEKQERQGDVILVSGMRTGAAVVKVRIYEPFYKKVAAA LIRLLVLENIFLIPSHDTYLLVGAYIKYRVAKMVQGRMTEVNFPLEHYTLELQDHRLTNG GLPSKSVALLDEKTAMVTAVQLGQTNLVFVHKNVHMRSVSGLPNSTIYVVEPGFLGFSIH PGGRWSLEVGQVYVITVEVFDKSSTRVYISDNLKITFQFSKEYFEEQLSTSNGSYHVVKA LKDGVVVINATLTSSLQERNSSQPKTYQISHQQEVKIYFPIQLKPSFLAFPHHPLGISNR FTVQVEGGSGNFTWSSSNETVAMVTTKGVVTAGQVRGNSTILARDVQNPSRSGDIKVYVM KLNKMELLPFQADVEIGQIIEVPIAMYHVNTETKEAIAFTDCSHLPLDLNSDKQGVFTLF KEGIQKPGAMHCSSVHIAATSPGHTLVTVSVTGHEEHAWSSATFAAYEPLKALNPVDVAL VTWQSVKEMVFEGGPHPWILEPSRFFLELSMEKAEAIRVAEVRLPAKRKQNQYVYRVLCL ELGEQVLTFRIGNHPGVLNPSPSVEKVQVRFICAHPASMLVTPMYKAPSGTQPCPLPQYN KQLIPVSSLRDSVLELAVFDQHGRKFDNFSSLMLEWKSSNETLAHFEDSKSVEMVARDDG SGQTRLHGHQILKVHQMKGTVLIGVNFAGYSGKKSPKGISNSPRSAGVELILVEDVTVQP ENATIYNHPDVKEIFNLVEGSGYFLINSSEQDIVTITYREAESSVQVIPAHPGFLTLEVY DLCLAYLGPAVAQIRVSDIQELELDLIDKVEIGKTVLVVVRVLGSSKHPFRNKYFRNMEV RLQLASAIVTLRLMEDQDEYSENYMLRAVTVGQTTLVAIATDRMGKKFTSAPRHIEVFPP FRLIPEKMTLIATNMMQIMSEGGPQPQSIIHFSISNQTVAVVNRRGQVTGKSVGTAVLHG TIQTVNEDTGKVIVFSQDEVQIEVVQLQAVRILAAATRLVTATEMPVYVMGVTSTQTPFS FSNASPLLTFHWSMSKRDVLDLVPRHSEVFLQLPAENNFAMVVHTKAAGRTTIKVTVRSE NSSSGQLEGNLLELSDEIQILVFEKLQLFYANCQPEQILMPMNSQLKLHTNREGAAFVSS RVLKCFPNSSVIEEDGGGLLRSGSIAGTAVLEVTSIEPFGVNQTTITGVQATPVTYLRLS SYPKLYTAQGRTLSAFPLGMSLTFIVEFYNNIGEKFHTHNTRLYMALNRDDLLLIGPGNR NYTYMAQAVNKGVTVVGLWDQRHPGMADYIPVAVEHAIEPDTKLIFVGDVICFSTQLVNQ HGEPGVWMISTNNIVQTDTATGVGVARNPGTATIFHNIPGVVKTFREVVVNASSRLTLSY DLKTYLTNTPNATAFKLFISTGRNVNLKGSCTPSQALAIEKVLLPETLMLCHVQFSNTLL DIPASKVFHIHSEFSVEKGVYVCLIKVRQESKELRQVLSVADTSVYGWATLVSERGKNGM QRILIPFIPGFYMNQSEFVLGHKDTGELRILGVERVLESLEVFHSSPFLAVSGYKHSMLT TGLTVYLVRIVNFTAFQQMSSPAFINVSCALTNQQEAVIVRAKDASGADHCEDSGVFKNF VGSYQILLFTLFAVLASTSFIFLAHNAFLNKVQTIPVVYVPTTGTTQPGSYTATCSPPHF LSSRPPLVQSRLQHWLWSIKH >ENSMUSP00000029548.4 pep:known chromosome:GRCm38:3:90104132:90212017:1 gene:ENSMUSG00000027939.11 transcript:ENSMUST00000029548.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup210l description:nucleoporin 210-like [Source:MGI Symbol;Acc:MGI:1924845] MIAFGAPRRRSFGLLFSLAPHLFFLFLIGTLANKLNVPQVLLPFSREPGRVPFLLEAQRG CYIWHSTHHDAVTIQPLYENGTSCSQRAVLVAESTQPIRLSSIILAREVVTDHELRCDVK VDVIDNIEIVSRTRELYVDDAPLELMVRALDSKGNTFSTLAGMVFEWSIAQDNESSREEL SSKIRILKYSEAEYSPPDYIIEMEKQERQGDVILVSGMRTGAAVVKVRIYEPFYKKVAAA LIRLLVLENIFLIPSHDTYLLVGAYIKYRVAKMVQGRMTEVNFPLEHYTLELQDHRLTNG GLPSKSVALLDEKTAMVTAVQLGQTNLVFVHKNVHMRSVSGLPNSTIYVVEPGFLGFSIH PGGRWSLEVGQVYVITVEVFDKSSTRVYISDNLKITFQFSKEYFEEQLSTSNGSYHVVKA LKDGVVVINATLTSSLQERNSSQPKTYQISHQQEVKIYFPIQLKPSFLAFPHHPLGISNR FTVQVEGGSGNFTWSSSNETVAMVTTKGVVTAGQVRGNSTILARDVQNPSRSGDIKVYVM KLNKMELLPFQADVEIGQIIEVPIAMYHVNTETKEAIAFTDCSHLPLDLNSDKQGVFTLF KEGIQKPGAMHCSSVHIAATSPGHTLVTVSVTGHEEHAWSSATFAAYEPLKALNPVDVAL VTWQSVKEMVFEGGPHPWILEPSRFFLELSMEKAEAIRVAEVRLPAKRKQNQYVYRVLCL ELGEQVLTFRIGNHPGVLNPSPSVEKVQVRFICAHPASMLVTPMYKAPSGTQPCPLPQYN KQLIPVSSLRDSVLELAVFDQHGRKFDNFSSLMLEWKSSNETLAHFEDSKSVEMVARDDG SGQTRLHGHQILKVHQMKGTVLIGVNFAGYSGKKSPKGISNSPRSAGVELILVEDVTVQP ENATIYNHPDVKEIFNLVEGSGYFLINSSEQDIVTITYREAESSVQLVPAHPGFLTLEVY DLCLAYLGPAVAQIRVSDIQELELDLIDKVEIGKTVLVVVRVLGSSKHPFRNKYFRNMEV RLQLASAIVTLRLMEDQDEYSENYMLRAVTVGQTTLVAIATDRMGKKFTSAPRHIEVFPP FRLIPEKMTLIATNMMQIMSEGGPQPQSIIHFSISNQTVAVVNRRGQVTGKSVGTAVLHG TIQTVNEDTGKVIVFSQDEVQIEVVQLQAVRILAAATRLVTATEMPVYVMGVTSTQTPFS FSNASPLLTFHWSMSKRDVLDLVPRHSEVFLQLPAENNFAMVVHTKAAGRTTIKVTVRSE NSSSGQLEGNLLELSDEIQILVFEKLQLFYANCQPEQILMPMNSQLKLHTNREGAAFVSS RVLKCFPNSSVIEEDGGGLLRSGSIAGTAVLEVTSIEPFGVNQTTITGVQVAPVTYLRLS SYPKLYTAQGRTLSAFPLGMSLTFIVEFYNNIGEKFHTHNTRLYMALNRDDLLLIGPGNR NYTYMAQAVNKGVTVVGLWDQRHPGMADYIPVAVEHAIEPDTKLIFVGDVICFSTQLVNQ HGEPGVWMISTNNIVQTDTATGVGVARNPGTATIFHNIPGVVKTFREVVVNASSRLTLSY DLKTYLTNTPNATAFKLFISTGRNVNLKGSCTPSQALAIEKVLLPETLMLCHVQFSNTLL DIPASKVFHIHSEFSVEKGVYVCLIKVRQESKELRQVLSVADTSVYGWATLVSERGKNGM QRILIPFIPGFYMNQSEFVLGHKDTGELRILGVERVLESLEVFHSSPFLAVSGYKHSMLT TGLTVYLVRIVNFTAFQQMSSPAFINVSCALTNQQEAVIVRAKDASGADHCEDSGVFKNF VGSYQILLFTLFAVLASTSFIFLAHNAFLNKVQTIPVVYVPTTGTTQPGSYTATCSPPHF LSSRPPLVQSRLQHWLWSIKH >ENSMUSP00000067701.3 pep:known chromosome:GRCm38:8:22227313:22261334:1 gene:ENSMUSG00000031480.15 transcript:ENSMUST00000069828.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd1 description:thrombospondin, type I, domain 1 [Source:MGI Symbol;Acc:MGI:1929096] MKPMLKDFSNLLLVVLCDYVLGEAEYLLLQEPVHVALSDRTVSVGFHYLSDVNGTLRNVS VMLWEANTNRTLTTKYLLTNQAQGTLQFECFYFKEAGDYWFVMIPEVTDNGTQVPLWEKS AFLKVEWPVFHIDLNRTAKAAEGTFQVGVFTTQPLCLFPVDKPDMLVDVIFTDRLPEARA SLGQPLEIRASKRTKLTQGQWVEFGCAPVGVEAYVTVMLRLLGQDSVIASTGPIDLAQKF GYKLMMAPEVTCESVLEVMVLPPPCVFVQGVLAVYKEAPKRPEERTFQVAENRLPLGERR TVFNCTLFDVGKNKYCFNFGIVKKGHFSAKECMLIQRNIETWGPWQPWSPCSTTCGDAVR ERRRLCVTSFPSRPSCSGMSSETSPCSLEECAVFRPPGPSPVSPQDPVKSNNVVTVTGIS LCLFIIFATVLITLWRRFGRAPKCSTPVRHNSIHSPGFRKNSDEENICELSEPRGSFSDA GDGPRGSPGDTGIPLTYRCSASAPPEDEASGSESFQSNAQKIIPPLFSYRLAQQQLKEMK KKGLTETTKVYHVSQSPLTDTVVDATASPPLDLECPEEAAASKFRIKSPFLDQPGAGTGE RPPSRLDGIVPPPGCAVSPSQTLIRKSQIRSTGGRDGSSERCHSRSSLFRRTASFHETKQ SRPFRERSLSALTPRQVPAYSSRMRTWDQMEDRCRPPSRSTHLLPERPEHFQGAGRTSSP LGPLSKSYTVGHPRRKPDPGDRQAGLVAGAEKMEPHRAHRGPSPSHRSASRKQSSPIFLK DSYQKVSQLSPSHFRKDKCQSFPIHPEFAFYDNTSFRLTEAEQRMLDLPGYFGSNEEDET TSTLSVEKLVI >ENSMUSP00000125118.1 pep:known chromosome:GRCm38:8:22227345:22260379:1 gene:ENSMUSG00000031480.15 transcript:ENSMUST00000160585.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thsd1 description:thrombospondin, type I, domain 1 [Source:MGI Symbol;Acc:MGI:1929096] MKPMLKDFSNLLLVVLCDYVLGEAEYLLLQEPVHVALSDRTVSVGFHYLSDVNGTLRNVS VMLWEANTNRTLTTKYLLTNQAQGTLQFECFYFKEAGDYWFVMIPEVTDNGTQVPLWEKS AFLKVEWPVFHIDLNRTAKAAEGTFQVGVFTTQPLCLFPVDKPDMLVDVIFTDRLPEARA SLGQPLEIRASKRTKLTQGQWVEFGCAPVGVEAYVTVMLRLLGQDSVIASTGPIDLAQKF GYKLMMAPEVTCESVLEVMVLPPPCVFVQGVLAVYKEAPKRPEERTFQVAENRLPLGERR TVFNCTLFDVGKNKYCFNFGIVKKGHFSAKECMLIQRNIVFRPPGPSPVSPQDPVKSNNV VTVTGISLCLFIIFATVLITLWRRFGRAPKCSTPVRHNSIHSPGFRKNSDEENICELSEP RGSFSDAGDGPRGSPGDTGIPLTYRCSASAPPEDEASGSESFQSNAQKIIPPLFSYRLAQ QQLKEMKKKGLTETTKVYHVSQSPLTDTVVDATASPPLDLECPEEAAASKFRIKSPFLDQ PGAGTGERPPSRLDGIVPPPGCAVSPSQTLIRKSQIRSTGGRDGSSERCHSRSSLFRRTA SFHETKQSRPFRERSLSALTPRQVPAYSSRMRTWDQMEDRCRPPSRSTHLLPERPEHFQG AGRTSSPLGPLSKSYTVGHPRRKPDPGDRQAGLVAGAEKMEPHRAHRGPSPSHRSASRKQ SSPIFLKDSYQKVSQLSPSHFRKDKCQSFPIHPEFAFYDNTSFRLTEAEQRMLDLPGYFG SNEEDETTSTLSVEKLVI >ENSMUSP00000100996.1 pep:known chromosome:GRCm38:10:80295977:80318263:1 gene:ENSMUSG00000020135.13 transcript:ENSMUST00000105359.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apc2 description:adenomatosis polyposis coli 2 [Source:MGI Symbol;Acc:MGI:1346052] MGLLGLLSLLHSAFFGNQALQELKMTSSMASYEQLVRQVEALKAENTHLRQELRDNSSHL SKLETETSGMKEVLKHLQGKLEQEARVLVSSGQTEVLEQLKALQTDISSLYNLKFHAPAL GPEPAARTPEGSPVHGSGPSKDSFGELSRATIRLLEELDQERCFLLSEIEKEEKEKLWYY SQLQGLSKRLDELPHVDTFSMQMDLIRQQLEFEAQHIRSLMEERFGTSDEMVQRAQIRAS RLEQIDKELLEAQDRVQQTEPQALLAVKPVAVEEEQEAEVPTHPEDGTPQPGNSKVEVVF WLLSMLATRDQEDTARTLLAMSSSPESCVAMRRSGCLPLLLQILHGTEAGSVGRAGIPGA PGAKDARMRANAALHNIVFSQPDQGLARKEMRVLHVLEQIRAYCETCWDWLQARDSGTES GTGDTPVPIEPQICQATCAVMKLSFDEEYRRAMNELGGLQAVAELLQVDYEMHKMTRDPL NLALRRYAGMTLTNLTFGDVANKATLCARRGCMEAIVAQLGSESEELHQVVSSILRNLSW RADINSKKVLREVGSMTALMECVLRASKESTLKSVLSALWNLSAHSTENKAAICQVDGAL GFLVSTLTYRCQGNSLAVIESGGGILRNVSSLIATREDYRQVLRDHNCLQTLLQHLTSHS LTIVSNACGTLWNLSARSPRDQELLWDLGAVGMLRNLVHSKHKMIAMGSAAALRNLLAHR PAKYQAAAMAVSPGTCVPSLYVRKQRALEAELDTRHLVHALGHLEKQSLPEAETTSKKPL PPLRHLDGLVQDYASDSGCFDDDDAPSLAAAATTAEPASPAVMSMFLGGPFLQGQALART PPARQGGLEAEKEAGGEAAVAAKAKAKLALAVARIDRLVEDISALHTSSDDSFSLSSGDP GQEAPREGRAQSCSPCRGTEGGRREAGSRAHPLLRLKAAHTSLSNDSLNSGSTSDGYCTR EHMTPCPLAALAEHRDDPVRGQTRPSRLDLDLPSRAELPARDTAATDARVRTIKLSPTYQ HVPLLDGAAGAGVRPLVGPGTSPGARKQAWIPADSLSKVPEKLVASPLPIASKVLQKLVA QDGPMSLSRCSSLSSLSSTGHAVPSQAENLDSDSSLEGLEEAGPGEAELGRAWRASGSTS LPVSIPAPQRGRSRGLGVEDATPSSSSENCVQETPLVLSRCSSVSSLGSFESRSIASSIP SDPCSGLGSGTVSPSELPDSPGQTMPPSRSKTPPAPPGQPETSQFSLQWESYVKRFLDIA DCRERCQPPSELDAGSVRFTVEKPDENFSCASSLSALALHELYVQQDVELRLRPPACPER AVGGGGHRRRDEAASRLDGPAPAGSRARSATDKELEALRECLGAAMPARLRKVASALVPG RRSLPVPVYMLVPAPARGDDSGTDSAEGTPVNFSSAASLSDETLQGPSRDKPAGPGDRQK PTGRAAPARQTRSHRPKAAGAGKSTEHTRGPCRNRAGLELPLSRPQSARSNRDSSCQTRT RGDGALQSLCLTTPTEEAVYCFYDSDEEPPATAPPPRRASAIPRALKREKPAGRKETPSR AAQPATLPVRAQPRLIVDETPPCYSLTSSASSLSEPEAPEQPANHARGPEQGSKQDSSPS PRAEEELLQRCISLAMPRRRTQVPGSRRRKPRALRSDIRPTEITQKCQEEVAGSDPASDL DSVEWQAIQEGANSIVTWLHQAAAKASLEASSESDSLLSLVSGVSAGSTLQPSKLRKGRK PAAEAGGAWRPEKRGTTSTKINGSPRLPNGPEKAKGTQKMMAGESTMLRGRTVIYSAGPA SRTQSKGISGPCTTPKKTGTSGTTQPETVTKAPSPEQQRSRSLHRPGKISELAALRHPPR SATPPARLAKTPSSSSSQTSPASQPLPRRSPLATPTGGPLPGPGGSLVPKSPARALLAKQ HKTQKSPVRIPFMQRPARRVPPPLARPSPEPGSRGRAGAEGTPGARGSRLGLVRMASARS SGSESSDRSGFRRQLTFIKESPGLLRRRRSELSSADSTASTSQAASPRRGRPALPAVFLC SSRCDELRVSPRQPLAAQRSPQAKPGLAPRAPRRTSSESPSRLPVRASPGRPETVKRYAS LPHISVSRRSDSAVSVPTTQANATRRGSDGEARPLPRVAPPGTTWRRIKDEDVPHILRST LPATALPLRVSSPEDSPAGTPQRKTSDAVVQTEDVATSKTNSSTSPSLESRDPPQAPASG PVAPQGSDVDGPVLTKPPASAPFPHEGLSAVIAGFPTSRHGSPSRAARVPPFNYVPSPMA AATMASDSAVEKAPVSSPASLLE >ENSMUSP00000118171.1 pep:known chromosome:GRCm38:10:80299091:80302361:1 gene:ENSMUSG00000020135.13 transcript:ENSMUST00000154212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apc2 description:adenomatosis polyposis coli 2 [Source:MGI Symbol;Acc:MGI:1346052] MTSSMASYEQLVRQVEALKAENTHLRQELRDNSSHLSKLETETSGMKEVLKHLQGKLEQE ARVLVSSGQTEVLEQLKALQTDISSLYNLKFHA >ENSMUSP00000121324.1 pep:known chromosome:GRCm38:10:80301034:80302347:1 gene:ENSMUSG00000020135.13 transcript:ENSMUST00000140828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apc2 description:adenomatosis polyposis coli 2 [Source:MGI Symbol;Acc:MGI:1346052] MNRATGDPCVSGGMWGHRGSRPRTQTPGRIVALQELKMTSSMASYEQLVRQVEALKAENT HLRQELRDNSSHLSKLETETSGMKEVLKHLQGKLEQEARVLVSSGQTEVLEQLKALQTDI SSLYNL >ENSMUSP00000116795.1 pep:known chromosome:GRCm38:10:80301035:80302406:1 gene:ENSMUSG00000020135.13 transcript:ENSMUST00000138909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apc2 description:adenomatosis polyposis coli 2 [Source:MGI Symbol;Acc:MGI:1346052] MNRATGDPCALQELKMTSSMASYEQLVRQVEALKAENTHLRQELRDNSSHLSKLETETSG MKEVLKHLQGKLEQEARVLVSSGQTEVLEQLKALQTDISSLYNLKFHAPALGPEPAARTP EGS >ENSMUSP00000020349.6 pep:known chromosome:GRCm38:10:80301820:80318256:1 gene:ENSMUSG00000020135.13 transcript:ENSMUST00000020349.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apc2 description:adenomatosis polyposis coli 2 [Source:MGI Symbol;Acc:MGI:1346052] MTSSMASYEQLVRQVEALKAENTHLRQELRDNSSHLSKLETETSGMKEVLKHLQGKLEQE ARVLVSSGQTEVLEQLKALQTDISSLYNLKFHAPALGPEPAARTPEGSPVHGSGPSKDSF GELSRATIRLLEELDQERCFLLSEIEKEEKEKLWYYSQLQGLSKRLDELPHVDTFSMQMD LIRQQLEFEAQHIRSLMEERFGTSDEMVQRAQIRASRLEQIDKELLEAQDRVQQTEPQAL LAVKPVAVEEEQEAEVPTHPEDGTPQPGNSKVEVVFWLLSMLATRDQEDTARTLLAMSSS PESCVAMRRSGCLPLLLQILHGTEAGSVGRAGIPGAPGAKDARMRANAALHNIVFSQPDQ GLARKEMRVLHVLEQIRAYCETCWDWLQARDSGTETPVPIEPQICQATCAVMKLSFDEEY RRAMNELGGLQAVAELLQVDYEMHKMTRDPLNLALRRYAGMTLTNLTFGDVANKATLCAR RGCMEAIVAQLGSESEELHQVVSSILRNLSWRADINSKKVLREVGSMTALMECVLRASKE STLKSVLSALWNLSAHSTENKAAICQVDGALGFLVSTLTYRCQGNSLAVIESGGGILRNV SSLIATREDYRQVLRDHNCLQTLLQHLTSHSLTIVSNACGTLWNLSARSPRDQELLWDLG AVGMLRNLVHSKHKMIAMGSAAALRNLLAHRPAKYQAAAMAVSPGTCVPSLYVRKQRALE AELDTRHLVHALGHLEKQSLPEAETTSKKPLPPLRHLDGLVQDYASDSGCFDDDDAPSLA AAATTAEPASPAVMSMFLGGPFLQGQALARTPPARQGGLEAEKEAGGEAAVAAKAKAKLA LAVARIDRLVEDISALHTSSDDSFSLSSGDPGQEAPREGRAQSCSPCRGTEGGRREAGSR AHPLLRLKAAHTSLSNDSLNSGSTSDGYCTREHMTPCPLAALAEHRDDPVRGQTRPSRLD LDLPSRAELPARDTAATDARVRTIKLSPTYQHVPLLDGAAGAGVRPLVGPGTSPGARKQA WIPADSLSKVPEKLVASPLPIASKVLQKLVAQDGPMSLSRCSSLSSLSSTGHAVPSQAEN LDSDSSLEGLEEAGPGEAELGRAWRASGSTSLPVSIPAPQRGRSRGLGVEDATPSSSSEN CVQETPLVLSRCSSVSSLGSFESRSIASSIPSDPCSGLGSGTVSPSELPDSPGQTMPPSR SKTPPAPPGQPETSQFSLQWESYVKRFLDIADCRERCQPPSELDAGSVRFTVEKPDENFS CASSLSALALHELYVQQDVELRLRPPACPERAVGGGGHRRRDEAASRLDGPAPAGSRARS ATDKELEALRECLGAAMPARLRKVASALVPGRRSLPVPVYMLVPAPARGDDSGTDSAEGT PVNFSSAASLSDETLQGPSRDKPAGPGDRQKPTGRAAPARQTRSHRPKAAGAGKSTEHTR GPCRNRAGLELPLSRPQSARSNRDSSCQTRTRGDGALQSLCLTTPTEEAVYCFYDSDEEP PATAPPPRRASAIPRALKREKPAGRKETPSRAAQPATLPVRAQPRLIVDETPPCYSLTSS ASSLSEPEAPEQPANHARGPEQGSKQDSSPSPRAEEELLQRCISLAMPRRRTQVPGSRRR KPRALRSDIRPTEITQKCQEEVAGSDPASDLDSVEWQAIQEGANSIVTWLHQAAAKASLE ASSESDSLLSLVSGVSAGSTLQPSKLRKGRKPAAEAGGAWRPEKRGTTSTKINGSPRLPN GPEKAKGTQKMMAGESTMLRGRTVIYSAGPASRTQSKGISGPCTTPKKTGTSGTTQPETV TKAPSPEQQRSRSLHRPGKISELAALRHPPRSATPPARLAKTPSSSSSQTSPASQPLPRR SPLATPTGGPLPGPGGSLVPKSPARALLAKQHKTQKSPVRIPFMQRPARRVPPPLARPSP EPGSRGRAGAEGTPGARGSRLGLVRMASARSSGSESSDRSGFRRQLTFIKESPGLLRRRR SELSSADSTASTSQAASPRRGRPALPAVFLCSSRCDELRVSPRQPLAAQRSPQAKPGLAP RAPRRTSSESPSRLPVRASPGRPETVKRYASLPHISVSRRSDSAVSVPTTQANATRRGSD GEARPLPRVAPPGTTWRRIKDEDVPHILRSTLPATALPLRVSSPEDSPAGTPQRKTSDAV VQTEDVATSKTNSSTSPSLESRDPPQAPASGPVAPQGSDVDGPVLTKPPASAPFPHEGLS AVIAGFPTSRHGSPSRAARVPPFNYVPSPMAAATMASDSAVEKAPVSSPASLLE >ENSMUSP00000032122.7 pep:known chromosome:GRCm38:6:82402475:82560099:1 gene:ENSMUSG00000030043.11 transcript:ENSMUST00000032122.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacr1 description:tachykinin receptor 1 [Source:MGI Symbol;Acc:MGI:98475] MDNVLPVDSDLFPNTSTNTSESNQFVQPTWQIVLWAAAYTVIVVTSVVGNVVVIWIILAH KRMRTVTNYFLVNLAFAEACMAAFNTVVNFTYAVHNVWYYGLFYCKFHNFFPIAALFASI YSMTAVAFDRYMAIIHPLQPRLSATATKVVIFVIWVLALLLAFPQGYYSTTETMPSRVVC MIEWPEHPNRTYEKAYHICVTVLIYFLPLLVIGYAYTVVGITLWASEIPGDSSDRYHEQV SAKRKVVKMMIVVVCTFAICWLPFHIFFLLPYINPDLYLKKFIQQVYLASMWLAMSSTMY NPIIYCCLNDRFRLGFKHAFRCCPFISAGDYEGLEMKSTRYLQTQSSVYKVSRLETTIST VVGAHEDEPEEGPKATPSSLDLTSNGSSRSNSKTMTESSSFYSNMLA >ENSMUSP00000145217.1 pep:known chromosome:GRCm38:6:82402787:82560104:1 gene:ENSMUSG00000030043.11 transcript:ENSMUST00000203775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacr1 description:tachykinin receptor 1 [Source:MGI Symbol;Acc:MGI:98475] MDNVLPVDSDLFPNTSTNTSESNQFVQPTWQIVLWAAAYTVIVVTSVVGNVVVIWIILAH KRMRTVTNYFLVNLAFAEACMAAFNTVVNFTYAVHNVWYYGLFYCKFHNFFPIAALFASI YSMTAVAFDRYMAIIHPLQPRLSATATKVVIFVIWVLALLLAFPQGYYSTTETMPSRVVC MIEWPEHPNRTYEKAYHICVTVLIYFLPLLVIGYAYTVVGITLWASEIPGDSSDRYHEQV SAKRKVVKMMIVVVCTFAICWLPFHIFFLLPYINPDLYLKKFIQQVYLASMWLAMSSTMY NPIIYCCLNDRFRLGFKHAFRCCPFISAGDYEGLEMKSTRYLQTQSSVYKVSRLETTIST VVGAHEDEPEEGPKATPSSLDLTSNGSSRSNSKTMTESSSFYSNMLA >ENSMUSP00000113582.1 pep:known chromosome:GRCm38:10:76057494:76110961:-1 gene:ENSMUSG00000020226.9 transcript:ENSMUST00000120757.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a4b description:solute carrier family 5 (neutral amino acid transporters, system A), member 4b [Source:MGI Symbol;Acc:MGI:1890478] MASTLSPSITPQTEEPPVVPVRIQNAADISVIVIYFIVVLAVGLWSMVRSNRGTVGGFFL AGHDMAWWPMGASLFASNIGSNHFVGLAGTGAASGIAIAAVEWNALLMVLVLGWVFLPIY IKAGVLTMPEYLRKRFGGKRLQIYLSVLSLFIMVALQTSSIIFSGAIFIQLALGLNLYLA VFILLAITAFYTVAGGLASVIYTDSVQTFIMLLGSLILMGFAFAEVGGYESFTEKYMNAI PSVVEGDNLTISPKCYTPQPDSFHVFRDPVTGDIPWPGLIFGMTILAIWYWCADQVIVQR CLCGKNMSHVKAACILCGYLKLLPMFLMVMPGMISRILYTDKVACVVPSECEKQCGTAVG CTNYAYPTLVLELMPDGLRGLMLSVMLASLMSSLTSIFNSASTLFTIDLYTKIRKKASER ELMIAGRIFGMVLIAVSILWVPLVQVSQNGQLFHYIGSVSSYLGPPLGAVFMLAIFFKRV NEQGAFWGLMVGLVVGLIRLIAEFVYGTGSCVAPSNCPKIICGVHYMYFAIILFFVSIIV ILGVSFLTEPIPDVHLYRLCWSLWNNTEERIDLDAEELETQEEAGGALEEDSEQSRGCLK RACCLLCGLQNTGPKLTKEEEAALRQKFSDTSEKPLWRTVMNINAVLLLGVAVFVHAYFA >ENSMUSP00000138293.1 pep:known chromosome:GRCm38:2:152393611:152398063:-1 gene:ENSMUSG00000051817.8 transcript:ENSMUST00000182625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox12 description:SRY (sex determining region Y)-box 12 [Source:MGI Symbol;Acc:MGI:98360] MVQQRGARAKRDGGPPPPGPGPAAEGAREPGWCKTPSGHIKRPMNAFMVWSQHERRKIMD QWPDMHNAEISKRLGRRWQLLQDSEKIPFVREAERLRLKHMADYPDYKYRPRKKSKGAPA KARPRPPGGGGGGSRLKPGPQLPGRGGRRASGGPLGGGAAAPEDDDEDEEEELLEVRLLE TPGRELWRMVPAGRAARGPAERAQGPSGEGAAASAASPTLSEDEEPEEEEEEAATAEEGE EETVVSGEEPLGFLSRMPPGPAGLDCSALDRDPDLLPPSGTSHFEFPDYCTPEVTEMIAG DWRSSSIADLVFTY >ENSMUSP00000064250.7 pep:known chromosome:GRCm38:2:152393614:152398046:-1 gene:ENSMUSG00000051817.8 transcript:ENSMUST00000063332.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox12 description:SRY (sex determining region Y)-box 12 [Source:MGI Symbol;Acc:MGI:98360] MVQQRGARAKRDGGPPPPGPGPAAEGAREPGWCKTPSGHIKRPMNAFMVWSQHERRKIMD QWPDMHNAEISKRLGRRWQLLQDSEKIPFVREAERLRLKHMADYPDYKYRPRKKSKGAPA KARPRPPGGGGGGSRLKPGPQLPGRGGRRASGGPLGGGAAAPEDDDEDEEEELLEVRLLE TPGRELWRMVPAGRAARGPAERAQGPSGEGAAASAASPTLSEDEEPEEEEEEAATAEEGE EETVVSGEEPLGFLSRMPPGPAGLDCSALDRDPDLLPPSGTSHFEFPDYCTPEVTEMIAG DWRSSSIADLVFTY >ENSMUSP00000125671.1 pep:known chromosome:GRCm38:6:85469574:85502994:-1 gene:ENSMUSG00000047013.15 transcript:ENSMUST00000159062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo41 description:F-box protein 41 [Source:MGI Symbol;Acc:MGI:1261912] MASLDLPYRCPRCGEHKRFRSLSSLRAHLEYSHTYETLYILSKTNSICDGAAAAAAAAAA ASGFPLAPEPAALLAVPGARREVFESTSFQGKEQATGPSPAGPHLLHHHHHHAPLAHFPA DLVPASLPCEELAEPGLVPAARYALREIEIPLGELFARKSVASSACSTPPPGPGPGPCSG PSSASPASPSPADVAYEEGLARLKIRALEKLEVDRRLERLSEEVEQKIAGQVGRLQAELE RKAAELETARQESARLGREKEELEERASELSRQVDVSVELLASLKQDLVHKEQELSRKQQ EVVQIDQFLKETAAREASAKLRLQQFIEELLERADRAERQLQVISSSCGSTPSASLGRGG GGSASGPGVRGPGRMREHHAGSAVPSTYAVSRHGSSPSTGASSRVPAASQSSGCYDSDSL ELPRPEEGPSEDSGPGGLGSRAQATNGGSERSQAPRSSGLRRQAIQNWQRRPRRHSTEGE EGDVSDVGSRTTESEAEGPSDVPRPGPAVAGPLNSCRLSARPEGGSGRGRRVERGSPSRS NEVISPEILKMRAALFCIFTYLDTRTLLHAAEVCRDWRFVARHPAVWTRVLLENARVCSK FLAMLAQWCTQAHSLTLQNLKPRQRGKKESKEEYARSTRGCLEAGLESLLKAAGGNLLIL RISHCPNILTDRSLWLASCYCRALQAVTYRSATDPVGHEVIWALGAGCRDIVSLQVAPLH PCQQPTRFSNRCLQMIGRCWPHLRALGVGGAGCGVQGLASLARNCMRLQVLELDHVSEIT QEVAAEVCREGLKGLEMLVLTATPVTPKALLHFNSICRNLKSIVVQIGIADYFKEPSSPE AQKLFEDMVTKLQALRRRPGFSKILHIKVEGGC >ENSMUSP00000124524.1 pep:known chromosome:GRCm38:6:85469578:85502886:-1 gene:ENSMUSG00000047013.15 transcript:ENSMUST00000161078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo41 description:F-box protein 41 [Source:MGI Symbol;Acc:MGI:1261912] MASLDLPYRCPRCGEHKRFRSLSSLRAHLEYSHTYETLYILSKTNSICDGAAAAAAAAAA ASGFPLAPEPAALLAVPGARREVFESTSFQGKEQATGPSPAGPHLLHHHHHHAPLAHFPA DLVPASLPCEELAEPGLVPAARYALREIEIPLGELFARKSVASSACSTPPPGPGPGPCSG PSSASPASPSPADVAYEEGLARLKIRALEKLEVDRRLERLSEEVEQKIAGQVGRLQAELE RKAAELETARQESARLGREKEELEERASELSRQVDVSVELLASLKQDLVHKEQELSRKQQ EVVQIDQFLKETAAREASAKLRLQQFIEELLERADRAERQLQVISSSCGSTPSASLGRGG GGSASGPGVRGPGRMREHHAGSAVPSTYAVSRHGSSPSTGASSRVPAASQSSGCYDSDSL ELPRPEEGPSEDSGPGGLGSRAQATNGGSERSQAPRSSGLRRQAIQNWQRRPRRHSTEGE EGDVSDVGSRTTESEAEGPSDVPRPGPAVAGPLNSCRLSARPEGGSGRGRRVERGSPSRS NEVISPEILKMRAALFCIFTYLDTRTLLHAAEVCRDWRFVARHPAVWTRVLLENARVCSK FLAMLAQWCTQAHSLTLQNLKPRQRGKKESKEEYARSTRGCLEAGLESLLKAAGGNLLIL RISHCPNILTDRSLWLASCYCRALQAVTYRSATDPVGHEVIWALGAGCRDIVSLQVAPLH PCQQPTRFSNRCLQMIGRCWPHLRALGVGGAGCGVQGLASLARNCMRLQVLELDHVSEIT QEVAAEVCREGLKGLEMLVLTATPVTPKALLHFNSICRNLKSIVVQIGIADYFKEPSSPE AQKLFEDMVTKLQALRRRPGFSKILHIKVEGGC >ENSMUSP00000124754.1 pep:known chromosome:GRCm38:6:85469580:85502858:-1 gene:ENSMUSG00000047013.15 transcript:ENSMUST00000161546.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo41 description:F-box protein 41 [Source:MGI Symbol;Acc:MGI:1261912] MASLDLPYRCPRCGEHKRFRSLSSLRAHLEYSHTYETLYILSKTNSICDGAAAAAAAAAA ASGFPLAPEPAALLAVPGARREVFESTSFQGKEQATGPSPAGPHLLHHHHHHAPLAHFPA DLVPASLPCEELAEPGLVPAARYALREIEIPLGELFARKSVASSACSTPPPGPGPGPCSG PSSASPASPSPADVAYEEGLARLKIRALEKLEVDRRLERLSEEVEQKIAGQVGRLQAELE RKAAELETARQESARLGREKEELEERASELSRQVDVSVELLASLKQDLVHKEQELSRKQQ EVVQIDQFLKETAAREASAKLRLQQFIEELLERADRAERQLQVISSSCGSTPSASLGRGG GGSASGPGVRGPGRMREHHAGSAVPSTYAVSRHGSSPSTGASSRVPAASQSSGCYDSDSL ELPRPEEGPSEDSGPGGLGSRAQATNGGSERSQAPRSSGLRRQAIQNWQRRPRRHSTEGE EGDVSDVGSRTTESEAEGPSDVPRPGPAVAGPLNSCRLSARPEGGSGRGRRVERGSPSRS NEVISPEILKMRAALFCIFTYLDTRTLLHAAEVCRDWRFVARHPAVWTRVLLENARVCSK FLAMLAQWCTQAHSLTLQNLKPRQRGKKESKEEYARSTRGCLEAGLESLLKAAGGNLLIL RISHCPNILTDRSLWLASCYCRALQAVTYRSATDPVGHEVIWALGAGCRDIVSLQVAPLH PCQQPTRFSNRCLQMIGRCWPHLRALGVGGAGCGVQGLASLARNCMRLQVLELDHVSEIT QEVAAEVCREGLKGLEMLVLTATPVTPKALLHFNSICRNLKSIVVQIGIADYFKEPSSPE AQKLFEDMVTKLQALRRRPGFSKILHIKVEGGC >ENSMUSP00000139485.1 pep:known chromosome:GRCm38:9:55538672:55542570:1 gene:ENSMUSG00000032318.12 transcript:ENSMUST00000175950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isl2 description:insulin related protein 2 (islet 2) [Source:MGI Symbol;Acc:MGI:109156] MPALDSWGDWDPGDPCSGTWKMVLQRQRNGEKPGTAMCVGCGSQIHDQFILRVSPDLEWH AACLKCAECSQYLDETCTCFVRDGKTYCKRDYVRLFGIKCAQCQVGFSSSDLVMRARDSV YHIECFRCSVCSRQLLPGDEFSLREHELLCRA >ENSMUSP00000034869.4 pep:known chromosome:GRCm38:9:55541148:55546180:1 gene:ENSMUSG00000032318.12 transcript:ENSMUST00000034869.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isl2 description:insulin related protein 2 (islet 2) [Source:MGI Symbol;Acc:MGI:109156] MVDIIFHYPFLGAMGDHSKKKPGTAMCVGCGSQIHDQFILRVSPDLEWHAACLKCAECSQ YLDETCTCFVRDGKTYCKRDYVRLFGIKCAQCQVGFSSSDLVMRARDSVYHIECFRCSVC SRQLLPGDEFSLREHELLCRADHGLLLERAAAGSPRSPGPLPGARGLHLPDAGSGRQPSL RTHVHKQAEKTTRVRTVLNEKQLHTLRTCYAANPRPDALMKEQLVEMTGLSPRVIRVWFQ NKRCKDKKKSILMKQLQQQQHSDKASLQGLTGTPLVAGSPIRHENAVQGSAVEVQTYQPP WKALSEFALQSDLDQPAFQQLVSFSESGSLGNSSGSDVTSLSSQLPDTPNSMVPSPVET >ENSMUSP00000130638.1 pep:known chromosome:GRCm38:9:55541207:55546178:1 gene:ENSMUSG00000032318.12 transcript:ENSMUST00000164373.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Isl2 description:insulin related protein 2 (islet 2) [Source:MGI Symbol;Acc:MGI:109156] MVDIIFHYPFLGAMGDHSKKKPGTAMCVGCGSQIHDQFILRVSPDLEWHAACLKCAECSQ YLDETCTCFVRDGKTYCKRDYVRRWVRTTALTAHARAQAGGEDNPGTDCAQREATAYPAD VLRRQSAARRAHERAASRDDRLEPAGHPRVVSEQALQGQEEVHSHEAATAAATQ >ENSMUSP00000109929.2 pep:known chromosome:GRCm38:9:55541207:55546180:1 gene:ENSMUSG00000032318.12 transcript:ENSMUST00000114290.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Isl2 description:insulin related protein 2 (islet 2) [Source:MGI Symbol;Acc:MGI:109156] MVDIIFHYPFLGAMGDHSKKKPGTAMCVGCGSQIHDQFILRVSPDLEWHAACLKCAECSQ YLDETCTCFVRDGKTYCKRDYVRRWVRTTALTAHARAQAGGEDNPGTDCAQREATAYPAD VLRRQSAARRAHERAASRDDRLEPAGHPRVVSEQALQGQEEVHSHEAATAAATQ >ENSMUSP00000059977.5 pep:known chromosome:GRCm38:2:36230426:36252272:1 gene:ENSMUSG00000047250.13 transcript:ENSMUST00000062069.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgs1 description:prostaglandin-endoperoxide synthase 1 [Source:MGI Symbol;Acc:MGI:97797] MSRRSLSLWFPLLLLLLLPPTPSVLLADPGVPSPVNPCCYYPCQNQGVCVRFGLDNYQCD CTRTGYSGPNCTIPEIWTWLRNSLRPSPSFTHFLLTHGYWLWEFVNATFIREVLMRLVLT VRSNLIPSPPTYNSAHDYISWESFSNVSYYTRILPSVPKDCPTPMGTKGKKQLPDVQLLA QQLLLRREFIPAPQGTNILFAFFAQHFTHQFFKTSGKMGPGFTKALGHGVDLGHIYGDNL ERQYHLRLFKDGKLKYQVLDGEVYPPSVEQASVLMRYPPGVPPERQMAVGQEVFGLLPGL MLFSTIWLREHNRVCDLLKEEHPTWDDEQLFQTTRLILIGETIKIVIEEYVQHLSGYFLQ LKFDPELLFRAQFQYRNRIAMEFNHLYHWHPLMPNSFQVGSQEYSYEQFLFNTSMLVDYG VEALVDAFSRQRAGRIGGGRNFDYHVLHVAVDVIKESREMRLQPFNEYRKRFGLKPYTSF QELTGEKEMAAELEELYGDIDALEFYPGLLLEKCQPNSIFGESMIEMGAPFSLKGLLGNP ICSPEYWKPSTFGGDVGFNLVNTASLKKLVCLNTKTCPYVSFRVPDYPGDDGSVLVRRST EL >ENSMUSP00000140666.1 pep:known chromosome:GRCm38:Y:75195856:75222058:1 gene:ENSMUSG00000101146.1 transcript:ENSMUST00000190173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20814 description:predicted gene, 20814 [Source:MGI Symbol;Acc:MGI:5434170] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000026159.5 pep:known chromosome:GRCm38:11:121036747:121039418:-1 gene:ENSMUSG00000025163.6 transcript:ENSMUST00000026159.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd7 description:CD7 antigen [Source:MGI Symbol;Acc:MGI:88344] MTQQAVLALLLTLAGILPGPLDAQDVHQSPRLTIASEGDSVNITCSTRGHLEGILMKKIW PQAYNVIYFEDRQEPTVDRTFSGRINFSGSQKNLTITISSLQLADTGDYTCEAVRKVSAR GLFTTVVVKEKSSQEAYRSQEPLQTSFSFPAAIAVGFFFTGLLLGVVCSMLRKIQIKKLC ASGIKESPCVVYEDMSYSNRKTPCIPNQYQ >ENSMUSP00000121940.1 pep:known chromosome:GRCm38:7:97550331:97579497:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000136757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MASPKIASLSWGQMKVQGSTLTYKDCKVWPGGSRAWDWRETGTEHSPGVQPADVKEVAEK GVQTLVIGRGMSEALKVPPSTVEYLEKQGIDVRVLQTEQAVKEYNALVAQGVRVGGVFHS TC >ENSMUSP00000072508.5 pep:known chromosome:GRCm38:7:97550334:97579479:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000072725.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MYVRFPELLPTLLLTSQVLGSQISAQLLMASPKIASLSWGQMKVQGSTLTYKDCKVWPGG SRAWDWRETGTEVPPSTVEYLEKQGIDVRVLQTEQAVKEYNALVAQGVRVGGVFHSTC >ENSMUSP00000120089.1 pep:known chromosome:GRCm38:7:97550340:97579398:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000126085.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MYVRFPELLPTLLLTSQVLGSQFKDHQPALERTSLPHIPCCGGSHHIVEELKEARKGTWG >ENSMUSP00000115672.1 pep:known chromosome:GRCm38:7:97550340:97579496:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000154853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MYVRFPELLPTLLLTSQVLGSQISAQLLMASPKIASLSWGQMKVQGSTLTYKDCKVWPGG SRAWDWRETGTEHSPGVQPADVKEVAEKGVQTLVIGRGMSEALKVPPSTVEYLEKQGIDV RVLQTEQAVKEYNALVAQGVRVGGVFHSTC >ENSMUSP00000120661.1 pep:known chromosome:GRCm38:7:97550352:97579497:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000124552.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MSEALKVPPSTVEYLEKQGIDVRVLQTEQAVKEYNALVAQGVRVGGVFHSTC >ENSMUSP00000117205.1 pep:known chromosome:GRCm38:7:97550542:97579441:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000144858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MYVRFPELLPTLLLTSQVLGSQVPPSTVEYLEKQGIDVRVLQTEQAVKEYNALVAQGVRV GGVFHSTC >ENSMUSP00000116214.1 pep:known chromosome:GRCm38:7:97550542:97579482:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000138060.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MASPKIASLSWGQMKVQGSTLTYKDCKVWPGGSRAWDWRETGTEVPPSTVEYLEKQGIDV RVLQTEQAVKEYNALVAQGVRVGGVFHSTC >ENSMUSP00000120195.1 pep:known chromosome:GRCm38:7:97550548:97579442:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000154779.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MYVRFPELLPTLLLTSQVLGSQNSDHYKPLLAVLTICLGLYAVAIMELMRLDFSSVTYGF P >ENSMUSP00000117571.1 pep:known chromosome:GRCm38:7:97550565:97579474:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000146605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MQQKRMAGRREISAQLLMASPKIASLSWGQMKVQGSTLTYKDCKVWPGGSRAWDWRETGT EHSPGVQPADVKEVAEKGVQTLVIGRGMSEALKVPPSTVEYLEKQGIDVRVLQTEQAVKE YNALVAQGVRVGGVFHSTC >ENSMUSP00000118391.1 pep:known chromosome:GRCm38:7:97550670:97579419:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000135998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MYVRFPELLPTLLLTSQVLGSQISAQLLMASPKIASLSWGQMKVQGSTLTYKDCKVWPGG SRAWDWRETGTEHSPGVQPADVKEVAEKGVQTLVIGRGMSEALKVPPSTVEYLEKQGIDV RVLQTEQA >ENSMUSP00000115852.1 pep:known chromosome:GRCm38:7:97550715:97579382:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000151840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MYVRFPELLPTLLLTSQVLGSQISAQLLMASPKIASLSWGQMKVQGSTLTYKDCKVWPGG SRAWDWRETGTEVPPSTVEYL >ENSMUSP00000137067.1 pep:known chromosome:GRCm38:7:97550331:97575653:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000178078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MYVRFPELLPTLLLTSQVLGSQISAQLLMASPKIASLSWGQMKVQGSTLTYKDCKVWPGG SRAWDWRETGTEHSPGVQPADVKEVAEKGVQTLVIGRGMSEALKVPPSTVEYLEKQGIDV RVLQTEQAVKEYNALVAQGVRVGGVFHSTC >ENSMUSP00000035883.9 pep:known chromosome:GRCm38:7:97550331:97575653:-1 gene:ENSMUSG00000035642.16 transcript:ENSMUST00000042627.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aamdc description:adipogenesis associated Mth938 domain containing [Source:MGI Symbol;Acc:MGI:1913523] MYVRFPELLPTLLLTSQVLGSQISAQLLMASPKIASLSWGQMKVQGSTLTYKDCKVWPGG SRAWDWRETGTEVPPSTVEYLEKQGIDVRVLQTEQAVKEYNALVAQGVRVGGVFHSTC >ENSMUSP00000001626.3 pep:known chromosome:GRCm38:11:69851005:69858730:-1 gene:ENSMUSG00000001583.13 transcript:ENSMUST00000001626.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk1 description:tyrosine kinase, non-receptor, 1 [Source:MGI Symbol;Acc:MGI:1930958] MLPEASSLWLLRLLRDVQLAQFYRPILEELNVTRPEHFDFVRPEDLDNIGMGRPAQRRLN EALKRYRSGVKSKNWVYKILGGFAPEQKEIPPRSDSPLCFHEPEGGLKCLIPEGAVRRGE LLGSGCFGVVHRGLWTLPSGQSIPVAVKSLRVGPEGPMGTELGDFLREVSVMMKLEHPHV LRLHGLVLGQPLQMVMELAPLGSLHARLTAPAPTPPLPVALLCLFLRQLAGAMAYLGSCG LVHRDLATRNLLLASPRMIKVADFGLVRPLGGARGRYVMGGPRPIPYAWCAPESLRQGAF SSASDVWMFGVTLWEMFSGGEEPWAGVPPYLILQRLEKDRARLPKPPLCSRALYSLALRC WAPHPADRPSFSNLEGLLQEAWLSEGRCVREVTEPGALRMEPGDPITIIEGSLDTATWKG QNGRTLKVGNFPASAVTLADLGGSPVTHPAHRGSPAHGEKCRGGTDGDREKATLQDLPPA RSHRTKMPLQRMRGISKSLESVLSLGPRPTGGGSSPPELRRTRAMPQRLPDLPPRPPDLP PRPPIICNSSQPTQPHKARPKRESSHNHRTGAPGASKATVPSGGPLSDPEWQRKVVEVEL SVHGVTYQECQVALRTTGGDVASAIRNLKVDQLFHLSNRSRADCRRILEHHQWDLSAASR YILARS >ENSMUSP00000104268.1 pep:known chromosome:GRCm38:11:69851219:69857125:-1 gene:ENSMUSG00000001583.13 transcript:ENSMUST00000108628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk1 description:tyrosine kinase, non-receptor, 1 [Source:MGI Symbol;Acc:MGI:1930958] MLPEASSLWLLRLLRDVQLAQFYRPILEELNVTRPEHFDFVRPEDLDNIGMGRPAQRRLN EALKRYRSGVKSKNWVYKILGGFAPEQKEIPPRSDSPLCFHEPEGGLKCLIPEGAVRRGE LLGSGCFGVVHRGLWTLPSGQSIPVAVKSLRVGPEGPMGTELGDFLREVSVMMKLEHPHV LRLHGLVLGQPLQMVMELAPLGSLHARLTAPAPTPPLPVALLCLFLRQLAGAMAYLGSCG LVHRDLATRNLLLASPRMIKVADFGLVRPLGGARGRYVMGGPRPIPYAWCAPESLRQGAF SSASDVWMFGVTLWEMFSGGEEPWAGVPPYLILQRLEKDRARLPKPPLCSRALYSLALRC WAPHPADRPSFSNLEGLLQEAWLSEGRCVREVTEPGALRMEPGDPITIIEGSSSSHSLDT ATWKGQNGRTLKVGNFPASAVTLADLGGSPVTHPAHRGSPAHGEKCRGGTDGDREKATLQ DLPPARSHRTKMPLQRMRGISKSLESVLSLGPRPTGGGSSPPELRRTRAMPQRLPDLPPR PPDLPPRPPIICNSSQPTQPHKARPKRESSHNHRTGAPGASKATVPSGGPLSDPEWQRKV VEVELSVHGVTYQECQVALRTTGGDVASAIRNLKVDQLFHLSNRSRADCRRILEHHQWDL SAASRYILARS >ENSMUSP00000120585.1 pep:known chromosome:GRCm38:11:69852075:69855602:-1 gene:ENSMUSG00000001583.13 transcript:ENSMUST00000156507.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk1 description:tyrosine kinase, non-receptor, 1 [Source:MGI Symbol;Acc:MGI:1930958] ADFGLVRPLGGARGRYVMGGPRPIPYAWCAPESLRQGAFSSASDVWMFGVTLWEMFSGVT LADLGGSPVTHPAHRGSPAHGEKCRGGTDGDREKATLQDLPPARSHRTKMPLQRMRGISK SLESVLSLGPRPTGGGSSPPELRRTRAMPQRLPDLPPRPPDLPPRPPIICNSSQPTQPHK ARPKRES >ENSMUSP00000104266.1 pep:known chromosome:GRCm38:11:69854480:69858730:-1 gene:ENSMUSG00000001583.13 transcript:ENSMUST00000108626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk1 description:tyrosine kinase, non-receptor, 1 [Source:MGI Symbol;Acc:MGI:1930958] MLPEASSLWLLRLLRDVQLAQFYRPILEELNVTRPEHFDFVRPEDLDNIGMGRPAQRRLN EALKRYRSGVKSKNWVYKILGGFAPEQKEIPPRSDSPLCFHEPEGGLKCLIPEGAVRRGE LLGSGCFGVVHRGLWTLPSGQSIPVAVKSLRVGPEGPMGTELGDFLREVSVMMKLEHPHV LRLHGLVLGQPLQMVMELAPLGSLHARLTAPAPTPPLPVALLCLFLRQLAGAMAYLGSCG LVHRDLATRNLLLASPRMIKVADFGLVRPLGGARGRYVMGGPRPIPYAWCAPESLRQGAF SSASDVWMFGVTLWEMFSGGEEPWAGVPPYLILQRLEKDRARLPKPPLCSRALYSLALRC WAPHPADRPSFSNLEGLLQEVRIPNSPYTHIAFLPCILSPSVPCRRQTRLGAWAGIWNSA QNQVQSLPAGSAEP >ENSMUSP00000118490.1 pep:known chromosome:GRCm38:11:69855581:69858708:-1 gene:ENSMUSG00000001583.13 transcript:ENSMUST00000125571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnk1 description:tyrosine kinase, non-receptor, 1 [Source:MGI Symbol;Acc:MGI:1930958] MLPEASSLWLLRLLRDVQLAQFYRPILEELNVTRPEHFDFVRPEDLDNIGMGRPAQRRLN EALKRYRSGVKSKNWVYKILGGFAPEQKEIPPRSDSPLCFHEPEGGLKCLIPEGAVRRGE LLGSGCFGVVHRGLWTLPSGQSIPVAVKSLRVGPEGPMGTELGDFLREVSVMMKLEHPHV LRLHGLVLGQPLQMVMELAPLGSLHARLTAPAPTPPLPVALLCLFLRQLAGAMAYLGSCG LVHRDLATRNLLLASPRMIKVADFGLVR >ENSMUSP00000060247.10 pep:known chromosome:GRCm38:11:22834747:22860101:-1 gene:ENSMUSG00000051650.10 transcript:ENSMUST00000062844.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt2 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 2 [Source:MGI Symbol;Acc:MGI:1889505] MSVGRRRVKLLGILMMANVFIYLIVEVSKNSSQDKNGKGGVIIPKEKFWKPPSTPRAYWN REQEKLNRWYNPILNRVANQTGELATSPNTSHLSYCEPDSTVMTAVTDFNNLPDRFKDFL LYLRCRNYSLLIDQPKKCAKKPFLLLAIKSLIPHFARRQAIRESWGRETNVGNQTVVRVF LLGKTPPEDNHPDLSDMLKFESDKHQDILMWNYRDTFFNLSLKEVLFLRWVSTSCPDAEF VFKGDDDVFVNTHHILNYLNSLSKSKAKDLFIGDVIHNAGPHRDKKLKYYIPEVFYTGVY PPYAGGGGFLYSGPLALRLYSATSRVHLYPIDDVYTGMCLQKLGLVPEKHKGFRTFDIEE KNKKNICSYIDLMLVHSRKPQEMIDIWSQLQSPNLKC >ENSMUSP00000053528.3 pep:known chromosome:GRCm38:11:22834865:22860488:-1 gene:ENSMUSG00000051650.10 transcript:ENSMUST00000055549.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt2 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 2 [Source:MGI Symbol;Acc:MGI:1889505] MSVGRRRVKLLGILMMANVFIYLIVEVSKNSSQDKNGKGGVIIPKEKFWKPPSTPRAYWN REQEKLNRWYNPILNRVANQTGELATSPNTSHLSYCEPDSTVMTAVTDFNNLPDRFKDFL LYLRCRNYSLLIDQPKKCAKKPFLLLAIKSLIPHFARRQAIRESWGRETNVGNQTVVRVF LLGKTPPEDNHPDLSDMLKFESDKHQDILMWNYRDTFFNLSLKEVLFLRWVSTSCPDAEF VFKGDDDVFVNTHHILNYLNSLSKSKAKDLFIGDVIHNAGPHRDKKLKYYIPEVFYTGVY PPYAGGGGFLYSGPLALRLYSATSRVHLYPIDDVYTGMCLQKLGLVPEKHKGFRTFDIEE KNKKNICSYIDLMLVHSRKPQEMIDIWSQLQSPNLKC >ENSMUSP00000133306.1 pep:known chromosome:GRCm38:11:22836583:22860961:-1 gene:ENSMUSG00000051650.10 transcript:ENSMUST00000173660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt2 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 2 [Source:MGI Symbol;Acc:MGI:1889505] MSVGRRRVKLLGILMMANVFIYLIVEVSKNSSQDKNGKGGVIIPKEKFWKPPSTPRAYWN REQEKLNRWYNPILNRVANQTGELATSPNTSHLSYCEPDSTVMTAVTDFNNLPDRFKDFL LYLRCRNYSLLIDQPKKCAKKPFLLLAIKSLIPHFARRQAIRESWGRETNVGNQTVVRVF LLGKTPPEDNHPDLSDMLKFE >ENSMUSP00000125609.1 pep:known chromosome:GRCm38:11:22834744:22982090:-1 gene:ENSMUSG00000098650.1 transcript:ENSMUST00000160826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28048 description:predicted gene, 28048 [Source:MGI Symbol;Acc:MGI:5547784] GLLKSIASADMDFNQLEAFLTAQTKKQGGITSEQAAVISKFWKSHKIKIRESLMKQSRWD NGLRGLSWRVDGKSQSRHSTQIHSPVAIIELEFGKNGQV >ENSMUSP00000020277.8 pep:known chromosome:GRCm38:10:62383137:62422491:-1 gene:ENSMUSG00000020080.8 transcript:ENSMUST00000020277.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hkdc1 description:hexokinase domain containing 1 [Source:MGI Symbol;Acc:MGI:2384910] MFAVHLVAFYFTKLKEDQIKKVDRFLYHMRLSDETLVDIMARFQAEMEKGLGKDTNPTAS VKMLPTFVRAIPDGSENGEFLSLDLGGSKFRVLKVQVSQEGQQNVQMESQFYPMPNEITR GNGTELFDYVADCLADFMKTKNLTHKKLPLGFTFSFPCRQNKLEEGVLLSWTKKFKARGV QDTDVVNRLATAMKKHKDLDVDILALVNDTVGTMMTCAYDDPNCEVGVIIGTGTNACYME DMSNIDLVEGDEGRMCINTEWGAFGDDGALEDIRTEFDRELDLGSLNPGKQLFEKMISGL YMGELVRLILLKMAKVGLLFGGAKSSALHTKGKIETQHVAAMEMSKEGLANTREILVDLG LEPSESDCIAVQHVCTIVSFRSANLCAAALATILTRLRENKKLARLRTTVGMDGTLYKTH PQYPKRLHKVVRRLVPNCDVRFLLSESGSTKGAAMVTAVASRVQAQRKQIDKVLALFQLT REQLLGVRDKMRAELEYGLKKKTHSLATVKMLPTYVYGMPDGTEKGKFLALDLGGTNFRV LLVKIRRRSVRMYNKIFAIPLEIMQGTGEELFDHIVQCIADFLDYMGLKGAQLPLGFTFS FPCRQTCIDKGTLVGWTKGFKATDCEGEDVVDMLREAIKRRNEFDLDIVAIVNDTVGTMM TCGYEDPRCEIGLIAGTGSNVCYMEEMRNIELVDGDEGRMCVNTEWGGFGDNGCIDDIRT QYDKEVDEGSLNAGKQRYEKMTSGMYLGEIVRRILIDLTRQGLLFRGQISERLRTRGIFE TKFLSQIESDRLALLQVRRILQQLGLDSTCEDSIVVKEVCGAVSRRAAQMCGAGMAAIVE KRREDQGLQHFKVTVGVDGTLYKLHPHFSRILQETVKELAPQCDVTFMLSEDGSGKGAAL ITAVAKRLQQPRKDI >ENSMUSP00000132941.1 pep:known chromosome:GRCm38:12:81358860:81532905:-1 gene:ENSMUSG00000021139.17 transcript:ENSMUST00000164386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20498 description:predicted gene 20498 [Source:MGI Symbol;Acc:MGI:5141963] MNGRVDYLVTEEEINLTRGPSGLGFNIVGGTDQQYVSNDSGIYVSRIKEDGAAAQDGRLQ EGDKILSVNGQDLKNLLHQDAVDLFRNAGCAVSLRVQHRLLVVGGSFGLREFSQIRYDAV TIKIDPELEKKLKVNKITLESEYERLLCLLCRQ >ENSMUSP00000131728.1 pep:known chromosome:GRCm38:12:81470603:81532882:-1 gene:ENSMUSG00000021139.17 transcript:ENSMUST00000166664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20498 description:predicted gene 20498 [Source:MGI Symbol;Acc:MGI:5141963] MNGRVDYLVTEEEINLTRGPSGLGFNIVGGTDQQYVSNDSGIYVSRIKEDGAAAQDGRLQ EGDKILSVNGQDLKNLLHQDAVDLFRNAGCAVSLRVQHRLLVVGGSFGLREFSQIRYDAV TIKIDPELEKKLKVNKITLESEYEKIKDSTFENWKNIRGPRPWEDPQLLQGRNPETLKPK TT >ENSMUSP00000133042.1 pep:known chromosome:GRCm38:12:81474559:81532877:-1 gene:ENSMUSG00000021139.17 transcript:ENSMUST00000171459.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20498 description:predicted gene 20498 [Source:MGI Symbol;Acc:MGI:5141963] MNGRVDYLVTEEEINLTRGPSGKWPRSEEPAAPRCCRPLP >ENSMUSP00000141678.1 pep:known chromosome:GRCm38:12:110737944:110804238:1 gene:ENSMUSG00000037957.14 transcript:ENSMUST00000193053.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr20 description:WD repeat domain 20 [Source:MGI Symbol;Acc:MGI:1916891] MATEGGGKEMNEIKTQFTTREGLYKLLQHSEYSRPNRVPFNSQGSNPVRVSFVNLNDQSG NGDRLCFNVGRELYFYIYKGVRKAADLSKPIDKRIYKGTQPTCHDFNLLTATAESVSLLV GFSAGQVQLIDPIKKETSKLFNEEGLLSSPNQATSPGGTVV >ENSMUSP00000141887.1 pep:known chromosome:GRCm38:12:110737980:110793144:1 gene:ENSMUSG00000037957.14 transcript:ENSMUST00000192870.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr20 description:WD repeat domain 20 [Source:MGI Symbol;Acc:MGI:1916891] MATEGGGKEMNEIKTQFTTREGLYKLLQHSEYSRPNRVPFNSQGSNPVRVSFVNLNDQSG NGDRLCFNVGRELYFYIYKGVRKAADLSKPIDKRIYKGTQPTCHDFNLLTATAESVSLLV GFSAGQVQLIDPIKKETSKLFNEESL >ENSMUSP00000093059.2 pep:known chromosome:GRCm38:12:110737992:110795028:1 gene:ENSMUSG00000037957.14 transcript:ENSMUST00000095410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr20 description:WD repeat domain 20 [Source:MGI Symbol;Acc:MGI:1916891] MATEGGGKEMNEIKTQFTTREGLYKLLQHSEYSRPNRVPFNSQGSNPVRVSFVNLNDQSG NGDRLCFNVGRELYFYIYKGVRKAADLSKPIDKRIYKGTQPTCHDFNLLTATAESVSLLV GFSAGQVQLIDPIKKETSKLFNEERLIDKSRVTCVKWVPGSESLFLVAHSSGNMYLYNVE HTCGTTAPHYQLLKQGESFAVHTCKSKSTRNPLLKWTVGEGALNEFAFSPDGKFLACVSQ DGFLRVFNFDSVELHGTMKSYFGGLLCVCWSPDGKYIVTGGEDDLVTVWSFLDCRVIARG HGHKSWVSVVAFDPYTTSVEESDPMEFSGSDEDFQDLLHFGRDRANSTQSRLSKRNSTDS RPVSVTYRFGSVGQDTQLCLWDLTEDILFPHQPLSRARTHTNVMNATSPPAGSNGNSVTT PGNSVPPPLPRSNSLPHSAVSNAASKGSVMDGAIASGVSKFATLSLHDRKERHHEKDHKR NHSMGHISSKSSDKLNLVTKAKTDPAKTLGTSLCPRMEDVPLLEPLICKKIAHERLTVLV FLEDCIVTACQEGFICTWARPGKVVSFNP >ENSMUSP00000142157.1 pep:known chromosome:GRCm38:12:110738209:110793316:1 gene:ENSMUSG00000037957.14 transcript:ENSMUST00000195886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr20 description:WD repeat domain 20 [Source:MGI Symbol;Acc:MGI:1916891] NGDRLCFNVGRELYFYIYKGVRKAADLSKPIDKRIYKGTQPTCHDFNLLTATAESVSLLV GFSAGQVQLIDPIKKETSKLFNEERMISSLQQPPHRKPGMATCIFHSSPGKRRLKDPWDQ LV >ENSMUSP00000143962.1 pep:known chromosome:GRCm38:7:59228750:59289819:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000202945.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] MKRAAAKHLIERYYHQLTEGCGNEACTNEFCASCPTFLRMDNNAAAIKALELYKINAKLC DPHPSKKGASSAYLENSKGASNNSEIKMNKKEGKDFKDVIYLTEEKVYEIYEFCRESEDY SPLIRVIGRIFSSAEALVLSFRKVKQHTKEELKSLQEKDEDKDEDEKEKAACSAAAMEED SEASSSRMGDSSQGDNNVQKLGPDDVTVDIDAIRRVYSSLLANEKLETAFLNALVYLSPN VECDLTYHNVYTRDPNYLNLFIIVMENSNLHSPEYLEMALPLFCKAMCKLPLEAQGKLIR LWSKYSADQIRRMMETFQQLITYKVISNEFNSRNLVNDDDAIVAASKCLKMVYYANVVGG DVDTNHNEEDDEEPIPESSELTLQELLGDERRNKKGPRVDPLETELGVKTLDCRKPLISF EEFINEPLNDVLEMDKDYTFFKVETENKFSFMTCPFILNAVTKNLGLYYDNRIRMYSERR ITVLYSLVQGQQLNPYLRLKVRRDHIIDDALVRLEMIAMENPADLKKQLYVEFEGEQGVD EGGVSKEFFQLVVEEIFNPDIGMFTYDEATKLFWFNPSSFETEGQFTLIGIVLGLAIYNN CILDVHFPMVVYRKLMGKKGTFRDLGDSHPVLYQSLKDLLEYEGSVEDDMMITFQISQTD LFGNPMMYDLKENGDKIPITNENRKEFVNLYSDYILNKSVEKQFKAFRRGFHMVTNESPL KYLFRPEEIELLICGSRNLDFQALEETTEYDGGYTRESVVIR >ENSMUSP00000103161.2 pep:known chromosome:GRCm38:7:59228751:59306727:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000107537.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] MKRAAAKHLIERYYHQLTEGCGNEACTNEFCASCPTFLRMDNNAAAIKALELYKINAKLC DPHPSKKGASSAYLENSKGASNNSEIKMNKKEGKDFKDVIYLTEEKVYEIYEFCRESEDY SPLIRVIGRIFSSAEALVLSFRKVKQHTKEELKSLQEKDEDKDEDEKEKAACSAAAMEED SEASSSRMGDSSQGDNNVQKLGPDDVTVDIDAIRRVYSSLLANEKLETAFLNALVYLSPN VECDLTYHNVYTRDPNYLNLFIIVMENSNLHSPEYLEMALPLFCKAMCKLPLEAQGKLIR LWSKYSADQIRRMMETFQQLITYKVISNEFNSRNLVNDDDAIVAASKCLKMVYYANVVGG DVDTNHNEEDDEEPIPESSELTLQELLGDERRNKKGPRVDPLETELGVKTLDCRKPLISF EEFINEPLNDVLEMDKDYTFFKVETENKFSFMTCPFILNAVTKNLGLYYDNRIRMYSERR ITVLYSLVQGQQLNPYLRLKVRRDHIIDDALVRLEMIAMENPADLKKQLYVEFEGEQGVD EGGVSKEFFQLVVEEIFNPDIGMFTYDEATKLFWFNPSSFETEGQFTLIGIVLGLAIYNN CILDVHFPMVVYRKLMGKKGTFRDLGDSHPVLYQSLKDLLEYEGSVEDDMMITFQISQTD LFGNPMMYDLKENGDKIPITNENRKEFVNLYSDYILNKSVEKQFKAFRRGFHMVTNESPL KYLFRPEEIELLICGSRNLDFQALEETTEYDGGYTRESVVIREFWEIVHSFTDEQKRLFL QFTTGTDRAPVGGLGKLKMIIAKNGPDTERLPTSHTCFNVLLLPEYSSKEKLKERLLKAI TYAKGFGML >ENSMUSP00000144453.1 pep:known chromosome:GRCm38:7:59228802:59247198:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000201794.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] MATACKRSPGESQSE >ENSMUSP00000143896.1 pep:known chromosome:GRCm38:7:59228802:59272093:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000202440.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] MKRAAAKHLIERYYHQLTEGCGNEACTNEFCASCPTFLRMDNNAAAIKAL >ENSMUSP00000143859.1 pep:known chromosome:GRCm38:7:59228802:59311536:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000200758.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] MATACKRSPGESQSEDIEASRMKRAAAKHLIERYYHQLTEGCGNEACTNEFCASCPTFLR MDNNAAAIKALELYKINAKLCDPHPSKKGASSAYLENSKGASNNSEIKMNKKEGKDFKDV IYLTEEKVYEIYEFCRESEDYSPLIRVIGRIFSSAEALVLSFRKVKQHTKEELKSLQEKD EDKDEDEKEKAACSAAAMEEDSEASSSRMGDSSQGDNNVQKLGPDDVTVDIDAIRRVYSS LLANEKLETAFLNALVYLSPNVECDLTYHNVYTRDPNYLNLFIIVMENSNLHSPEYLEMA LPLFCKAMCKLPLEAQGKLIRLWSKYSADQIRRMMETFQQLITYKVISNEFNSRNLVNDD DAIVAASKCLKMVYYANVVGGDVDTNHNEEDDEEPIPESSELTLQELLGDERRNKKGPRV DPLETELGVKTLDCRKPLISFEEFINEPLNDVLEMDKDYTFFKVETENKFSFMTCPFILN AVTKNLGLYYDNRIRMYSERRITVLYSLVQGQQLNPYLRLKVRRDHIIDDALVRLEMIAM ENPADLKKQLYVEFEGEQGVDEGGVSKEFFQLVVEEIFNPDIGMFTYDEATKLFWFNPSS FETEGQFTLIGIVLGLAIYNNCILDVHFPMVVYRKLMGKKGTFRDLGDSHPVLYQSLKDL LEYEGSVEDDMMITFQISQTDLFGNPMMYDLKENGDKIPITNENRKEFVNLYSDYILNKS VEKQFKAFRRGFHMVTNESPLKYLFRPEEIELLICGSRNLDFQALEETTEYDGGYTRESV VIREFWEIVHSFTDEQKRLFLQFTTGTDRAPVGGLGKLKMIIAKNGPDTERLPTSHTCFN VLLLPEYSSKEKLKERLLKAITYAKGFGML >ENSMUSP00000144220.1 pep:known chromosome:GRCm38:7:59229283:59276351:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000201409.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] MATACKRSPGESQSEDIEASRMKRAAAKHLIERYYHQLTEGCGNEACTNEFCASCPTFLR MDNNAAAIKALELYKINAKLCDPHPSKKGASSAYLENSKGASNNSEIKMNKKEGKDFKDV IYLTEEKVYEIYEFCRESEDYSPLIRVIGRIFSSAEALVLSFRKVKQHTKEELKSLQEKD EDKDEDEKEKAACSAAAMEEDSEASSSRMGDSSQGDNNVQKLGPDDVTVDIDAIRRVYSS LLANEKLETAFLNALVYLSPNVECDLTYHNVYTRDPNYLNLFIIVMENSNLHSPEYLEMA LPLFCKAMCKLPL >ENSMUSP00000146524.1 pep:known chromosome:GRCm38:7:59229433:59275792:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000207686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] MATACKRSPGESQSEDIEASRMKRAAAKHLIERYYHQLTEGCGNEACTNEFCASCPTFLR MDNNAAAIKALELYKINAKLCDPHPSKKGASSAYLENSKGASNNSEIKMNKKEGKDFKDV IYLTEE >ENSMUSP00000146673.1 pep:known chromosome:GRCm38:7:59229436:59272117:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000208313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] MATACKRSPGESQSEDIEASRMKRAAAKHLIERYYHQLTEGCGNEACTNEFCASCPTFLR MDNNAAAIKALELYKINA >ENSMUSP00000144148.1 pep:known chromosome:GRCm38:7:59242908:59272003:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000200709.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] MATACKRSPGESQSEDIEASRMKRAAAKHLIERYYHQLTEG >ENSMUSP00000144398.1 pep:known chromosome:GRCm38:7:59286178:59304932:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000202247.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] XDVHFPMVVYRKLMGKKGTFRDLGDSHPEFVNLYSDYILNKSVEKQFKAFRRGFHMVTNE SPLKYLFRPEEIELLICGSRNLDFQALEETTEYDGGYTRESVVIREFWEIVHSFTDEQKR LFLQFTTGTDRAPVGGLGKLKMIIAKNGPDTERLPTSHTCFNVLLLPEYSSKEKLKERLL KAITYAKGFGML >ENSMUSP00000144645.1 pep:known chromosome:GRCm38:7:59286942:59304942:1 gene:ENSMUSG00000025326.12 transcript:ENSMUST00000202288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube3a description:ubiquitin protein ligase E3A [Source:MGI Symbol;Acc:MGI:105098] XISQTDLFGNPMMYDLKENGDKIPITNENRKNLDFQALEETTEYDGGYTRESVVIREFWE IVHSFTDEQKRLFLQFTTGTDRAPVGGLGKLKMIIAKNGPDTERLPTSHTCFNVLLLPEY SSKEKLKERLLKAITYAKGFGML >ENSMUSP00000028378.3 pep:known chromosome:GRCm38:2:66082766:66124994:-1 gene:ENSMUSG00000026994.9 transcript:ENSMUST00000028378.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt3 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 3 [Source:MGI Symbol;Acc:MGI:894695] MAHLKRLVKLHIKRHYHRKFWKLGAVIFFFLVVLILMQREVSVQYSKEESKMERNLKNKN KMLDFMLEAVNNIKDAMPKMQIGAPIKENIDVRERPCLQGYYTAAELKPVFDRPPQDSNA PGASGKPFKITHLSPEEQKEKERGETKHCFNAFASDRISLHRDLGPDTRPPECIEQKFKR CPPLPTTSVIIVFHNEAWSTLLRTVHSVLYSSPAILLKEIILVDDASVDDYLHEKLEEYI KQFSIVKIVRQQERKGLITARLLGAAVATAETLTFLDAHCECFYGWLEPLLARIAENYTA VVSPDIASIDLNTFEFNKPSPYGSNHNRGNFDWSLSFGWESLPDHEKQRRKDETYPIKTP TFAGGLFSISKKYFEHIGSYDEEMEIWGGENIEMSFRVWQCGGQLEIMPCSVVGHVFRSK SPHTFPKGTQVIARNQVRLAEVWMDEYKEIFYRRNTDAAKIVKQKSFGDLSKRFEIKKRL QCKNFTWYLNTIYPEAYVPDLNPVISGYIKSVGQPLCLDVGENNQGGKPLILYTCHGLGG NQYFEYSAQREIRHNIQKELCLHATQGVVQLKACVYKGHRTIAPGEQIWEIRKDQLLYNP LFKMCLSSNGEHPNLVPCDATDLLQKWIFSQND >ENSMUSP00000112507.1 pep:known chromosome:GRCm38:X:134804145:134807525:-1 gene:ENSMUSG00000033436.13 transcript:ENSMUST00000119010.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx2 description:armadillo repeat containing, X-linked 2 [Source:MGI Symbol;Acc:MGI:1914666] MSRARDAGCVAAGIVIGASAWYCVYKYTRGKDQKKKRLTKPKNRASVGTGSRARAGLRAG FTIDLGPGFSPPNPVDIEIMNKAQGEASNLATTVAEEVAPAAPSPKVQNGAESKVQELNG AKTEANLESVVMPSATCTVTPPPKVAGGLTAAEAPEIIGAPKVLEAPSTTEASGAVAAPG PTVSPMIAQTPGPVVPSPTIVSTGPAAIPWAVAHPGAVQSPGPAVPPMAVQSLVPAAPSW AVVAPPGAVYIPVAAHFAGPAAASRVTQSPGTVIPPLPPPSSVLPRGVPSVPGRTVQSPG AAVHPVAAQSTGVVVPPRAVQYSGAAVTSGGAAVPSGGAATPRAAASTQRTASTEVMQVP RVAAATEATETPRIGTPAMVAEASLPVHSGAAENPGTSGSSKTAATGKKAAPGAHTGAIP KAGSATGAVPKGGGGKGGNKNRSGGKGKNRKNKVDVDELGMGFRPGDGAAAAAAASANGG QAFLAEIPESEEGESGWTDTESDSDSEPDVPQRGKGKRTIPMHKRPFPYEIDEILGVRDL RKVLALLQKSDDPFIQQVALLTLSNNANYSCNQETIRKLGGLPIIANMINKTDPHIKEKA LMAMNNLSENYENQGRLQVYMNKVMDDIMASNLNSAVQVVGLKFLTNMTITNDYQHLLVN SIANFFRLLSQGGGKIKVEILKILSNFAENPDMLKKLLGTQVPSSFSSLYNSYVESEILI NALTLFEIIFDNLRAEVFNYREFNKGSLFYLCTTSGVCVKKIRALANHHDLLVKVKVIKL VNKF >ENSMUSP00000108818.2 pep:known chromosome:GRCm38:X:134804147:134809221:-1 gene:ENSMUSG00000033436.13 transcript:ENSMUST00000113193.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx2 description:armadillo repeat containing, X-linked 2 [Source:MGI Symbol;Acc:MGI:1914666] MSRARDAGCVAAGIVIGASAWYCVYKYTRGKDQKKKRLTKPKNRASVGTGSRARAGLRAG FTIDLGPGFSPPNPVDIEIMNKAQGEASNLATTVAEEVAPAAPSPKVQNGAESKVQELNG AKTEANLESVVMPSATCTVTPPPKVAGGLTAAEAPEIIGAPKVLEAPSTTEASGAVAAPG PTVSPMIAQTPGPVVPSPTIVSTGPAAIPWAVAHPGAVQSPGPAVPPMAVQSLVPAAPSW AVVAPPGAVYIPVAAHFAGPAAASRVTQSPGTVIPPLPPPSSVLPRGVPSVPGRTVQSPG AAVHPVAAQSTGVVVPPRAVQYSGAAVTSGGAAVPSGGAATPRAAASTQRTASTEVMQVP RVAAATEATETPRIGTPAMVAEASLPVHSGAAENPGTSGSSKTAATGKKAAPGAHTGAIP KAGSATGAVPKGGGGKGGNKNRSGGKGKNRKNKVDVDELGMGFRPGDGAAAAAAASANGG QAFLAEIPESEEGESGWTDTESDSDSEPDVPQRGKGKRTIPMHKRPFPYEIDEILGVRDL RKVLALLQKSDDPFIQQVALLTLSNNANYSCNQETIRKLGGLPIIANMINKTDPHIKEKA LMAMNNLSENYENQGRLQVYMNKVMDDIMASNLNSAVQVVGLKFLTNMTITNDYQHLLVN SIANFFRLLSQGGGKIKVEILKILSNFAENPDMLKKLLGTQVPSSFSSLYNSYVESEILI NALTLFEIIFDNLRAEVFNYREFNKGSLFYLCTTSGVCVKKIRALANHHDLLVKVKVIKL VNKF >ENSMUSP00000049147.4 pep:known chromosome:GRCm38:X:134804147:134808996:-1 gene:ENSMUSG00000033436.13 transcript:ENSMUST00000035559.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx2 description:armadillo repeat containing, X-linked 2 [Source:MGI Symbol;Acc:MGI:1914666] MSRARDAGCVAAGIVIGASAWYCVYKYTRGKDQKKKRLTKPKNRASVGTGSRARAGLRAG FTIDLGPGFSPPNPVDIEIMNKAQGEASNLATTVAEEVAPAAPSPKVQNGAESKVQELNG AKTEANLESVVMPSATCTVTPPPKVAGGLTAAEAPEIIGAPKVLEAPSTTEASGAVAAPG PTVSPMIAQTPGPVVPSPTIVSTGPAAIPWAVAHPGAVQSPGPAVPPMAVQSLVPAAPSW AVVAPPGAVYIPVAAHFAGPAAASRVTQSPGTVIPPLPPPSSVLPRGVPSVPGRTVQSPG AAVHPVAAQSTGVVVPPRAVQYSGAAVTSGGAAVPSGGAATPRAAASTQRTASTEVMQVP RVAAATEATETPRIGTPAMVAEASLPVHSGAAENPGTSGSSKTAATGKKAAPGAHTGAIP KAGSATGAVPKGGGGKGGNKNRSGGKGKNRKNKVDVDELGMGFRPGDGAAAAAAASANGG QAFLAEIPESEEGESGWTDTESDSDSEPDVPQRGKGKRTIPMHKRPFPYEIDEILGVRDL RKVLALLQKSDDPFIQQVALLTLSNNANYSCNQETIRKLGGLPIIANMINKTDPHIKEKA LMAMNNLSENYENQGRLQVYMNKVMDDIMASNLNSAVQVVGLKFLTNMTITNDYQHLLVN SIANFFRLLSQGGGKIKVEILKILSNFAENPDMLKKLLGTQVPSSFSSLYNSYVESEILI NALTLFEIIFDNLRAEVFNYREFNKGSLFYLCTTSGVCVKKIRALANHHDLLVKVKVIKL VNKF >ENSMUSP00000127305.1 pep:known chromosome:GRCm38:X:134804147:134809221:-1 gene:ENSMUSG00000033436.13 transcript:ENSMUST00000168264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armcx2 description:armadillo repeat containing, X-linked 2 [Source:MGI Symbol;Acc:MGI:1914666] MSRARDAGCVAAGIVIGASAWYCVYKYTRGKDQKKKRLTKPKNRASVGTGSRARAGLRAG FTIDLGPGFSPPNPVDIEIMNKAQGEASNLATTVAEEVAPAAPSPKVQNGAESKVQELNG AKTEANLESVVMPSATCTVTPPPKVAGGLTAAEAPEIIGAPKVLEAPSTTEASGAVAAPG PTVSPMIAQTPGPVVPSPTIVSTGPAAIPWAVAHPGAVQSPGPAVPPMAVQSLVPAAPSW AVVAPPGAVYIPVAAHFAGPAAASRVTQSPGTVIPPLPPPSSVLPRGVPSVPGRTVQSPG AAVHPVAAQSTGVVVPPRAVQYSGAAVTSGGAAVPSGGAATPRAAASTQRTASTEVMQVP RVAAATEATETPRIGTPAMVAEASLPVHSGAAENPGTSGSSKTAATGKKAAPGAHTGAIP KAGSATGAVPKGGGGKGGNKNRSGGKGKNRKNKVDVDELGMGFRPGDGAAAAAAASANGG QAFLAEIPESEEGESGWTDTESDSDSEPDVPQRGKGKRTIPMHKRPFPYEIDEILGVRDL RKVLALLQKSDDPFIQQVALLTLSNNANYSCNQETIRKLGGLPIIANMINKTDPHIKEKA LMAMNNLSENYENQGRLQVYMNKVMDDIMASNLNSAVQVVGLKFLTNMTITNDYQHLLVN SIANFFRLLSQGGGKIKVEILKILSNFAENPDMLKKLLGTQVPSSFSSLYNSYVESEILI NALTLFEIIFDNLRAEVFNYREFNKGSLFYLCTTSGVCVKKIRALANHHDLLVKVKVIKL VNKF >ENSMUSP00000114546.1 pep:known chromosome:GRCm38:14:54642161:54653616:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000126166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] MDTSENRPENEVPEPPLPVADQVSNDERPEGGAEEEEKKESSMPKSFKRKISVVSATKGV QAGNSDTEGGQPGRKRRWGASTAATQKKPSISITTESLKSLIPDIKPLAGQEAVVDLHAD DSRISEDETERNGDDGTHDKGLKICRTVTQVVPAEGQENGQREEEEEKEPEAELPAPPQV SVEVALPPPVEHEVKKVTLGDTLTRRSISQQKSGVSITIDDPVRTAQVPSPPRGKISNIV HISNLVRPFTLGQLKELLGRTGTLVEEAFWIDKIKSHCFVTYSTVEEAVATRTALHGVKW PQSNPKFLCADYAEQDELDYHRGLLVDRPSETKAEEQGAPRPLHPPPPPPVQPPPHPRAE QREQERAVREQWAEREREMERRERTRSEREWDRDKVREGPRSRSRSRDRRRKERAKSKEK KSEKKEKAQEEPPAKLLDDLFRKTKAAPCIYWLPLTESQIVQKEAEQAERAKEREKRRKE REEEEQKEREKEAERERNRQLEREKRREHSRERERDRERERDRGDRERERERDRDRGRER DRRDTKRHSRSRSRSTPVRDRGGRR >ENSMUSP00000116664.1 pep:known chromosome:GRCm38:14:54642161:54653667:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000141453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] MDTSENRPENEVPEPPLPVADQVSNDERPEGGAEEEEKKESSMPKSFKRKISVVSTKGVQ AGNSDTEGGQPGRKRRWGASTAATQKKPSISITTESLKEAVVDLHADDSRISEDETERNG DDGTHDKGLKICRTVTQVVPAEGQENGQREEEEEKEPEAELPAPPQVSVEVALPPPVEHE VKKVTLGDTLTRRSISQQKSGVSITIDDPVRTAQVPSPPRGKISNIVHISNLVRPFTLGQ LKELLGRTGTLVEEAFWIDKIKSHCFVTYSTVEEAVATRTALHGVKWPQSNPKFLCADYA EQDELDYHRGLLVDRPSETKAEEQGAPRPLHPPPPPPVQPPPHPRAEQREQERAVREQWA EREREMERRERTRSEREWDRDKVREGPRSRSRSRDRRRKERAKSKEKKSEKKEKAQEEPP AKLLDDLFRKTKAAPCIYWLPLTESQIVQKEAEQAERAKEREKRRKEREEEEQKEREKEA ERERNRQLEREKRREHSRERERDRERERDRGDRERERERDRDRGRERDRRDTKRHSRSRS RSTPVRDRGGRR >ENSMUSP00000022794.7 pep:known chromosome:GRCm38:14:54642161:54653695:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000022794.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] MMFSDSRAGEEKEEVTMDTSENRPENEVPEPPLPVADQVSNDERPEGGAEEEEKKESSMP KSFKRKISVVSTKGVQAGNSDTEGGQPGRKRRWGASTAATQKKPSISITTESLKSLIPDI KPLAGQEAVVDLHADDSRISEDETERNGDDGTHDKGLKICRTVTQVVPAEGQENGQREEE EEKEPEAELPAPPQVSVEVALPPPVEHEVKKVTLGDTLTRRSISQQKSGVSITIDDPVRT AQVPSPPRGKISNIVHISNLVRPFTLGQLKELLGRTGTLVEEAFWIDKIKSHCFVTYSTV EEAVATRTALHGVKWPQSNPKFLCADYAEQDELDYHRGLLVDRPSETKAEEQGAPRPLHP PPPPPVQPPPHPRAEQREQERAVREQWAEREREMERRERTRSEREWDRDKVREGPRSRSR SRDRRRKERAKSKEKKSEKKEKAQEEPPAKLLDDLFRKTKAAPCIYWLPLTESQIVQKEA EQAERAKEREKRRKEREEEEQKEREKEAERERNRQLEREKRREHSRERERDRERERDRGD RERERERDRDRGRERDRRDTKRHSRSRSRSTPVRDRGGRR >ENSMUSP00000022793.8 pep:known chromosome:GRCm38:14:54642161:54686931:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000022793.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] MWGRKRPNSSGETRGILSGNRGVDYGSGRGQSGPFEGRWRKLPKMPEAVGTDPSTSRKMA ELEEVTLDGKPLQALRVTDLKAALEQRGLAKSGQKSALVKRLKGALMLENLQKHSTPHAA FQPNSQIGEEMSQNSFIKQYLEKQQELLRQRLEREAREAAELEEASAESEDEMTHPEGVA SLLPPDFQSSLNRPELELSTHSPRKSSSFSEEKGESDDEKPRKGERRSSRVRQAKSKLPE YSQTAEEEEDQETPSRNLRVRADRNLKIEEEEEEEEEEEDDDDEEEEEVDEAQKSREAEA PTLKQFEDEEGEERTRAKPEKVVDEKPLNIRSQEKGELEKGGRVTRSQEEARRSHLARQQ QEKETQIVSLPQEENEVKSSQSLEEKSQSPSPPPLPEDLEKAPVVLQPEQIVSEEETPPP LLTKEASSPPTHIQLQEEMEPVEGPAPPVLIQLSPPNTDAGAREPLASPHPAQLLRSLSP LSGTTDTKAESPAGRVSDESVLPLAQKSSLPECSTQKGVESEREKSAPLPLTVEEFAPAK GITEEPMKKQSLEQKEGRRASHALFPEHSGKQSADSSSSRSSSPSSSSSPSRSPSPDSVA SRPQSSPGSKQRDGAQARVHANPHERPKMGSRSTSESRSRSRSRSRSASSSSRKSLSPGV SRDSNTSYTETKDPSCGQEAAAPSGPQLQVLEPKEKAPTFSASVRGRHLSHPEPEQQHVI QRLQPEQGSPKKCEAEEAEPPAATQPQTSETQISHLLESERTHHTVEEKEEVTMDTSENR PENEVPEPPLPVADQVSNDERPEGGAEEEEKKESSMPKSFKRKISVVSATKGVQAGNSDT EGGQPGRKRRWGASTAATQKKPSISITTESLKSLIPDIKPLAGQEAVVDLHADDSRISED ETERNGDDGTHDKGLKICRTVTQVVPAEGQENGQREEEEEKEPEAELPAPPQVSVEVALP PPVEHEVKKVTLGDTLTRRSISQQKSGVSITIDDPVRTAQVPSPPRGKISNIVHISNLVR PFTLGQLKELLGRTGTLVEEAFWIDKIKSHCFVTYSTVEEAVATRTALHGVKWPQSNPKF LCADYAEQDELDYHRGLLVDRPSETKAEEQGAPRPLHPPPPPPVQPPPHPRAEQREQERA VREQWAEREREMERRERTRSEREWDRDKVREGPRSRSRSRDRRRKERAKSKEKKSEKKEK AQEEPPAKLLDDLFRKTKAAPCIYWLPLTESQIVQKEAEQAERAKEREKRRKEREEEEQK EREKEAERERNRQLEREKRREHSRERERDRERERDRGDRERERERDRDRGRERDRRDTKR HSRSRSRSTPVRDRGGRR >ENSMUSP00000119080.1 pep:known chromosome:GRCm38:14:54642162:54686616:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000147714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] XSRKMAELEEVTLDGKPLQALRVTDLKAALEQRGLAKSGQKSALVKRLKGALMLENLQKH STPHAAFQPNSQIGEEMSQNSFIKQYLEKQQELLRQRLEREAREAAELEEASAESEDEMT HPEGVASLLPPDFQSSLNRPELELSTHSPRKSSSFSEEKGESDDEKPRKGERRSSRVRQA KSKLPEYSQTAEEEEDQETPSRNLRVRADRNLKIEEEEEEEEEEEDDDDEEEEEVDEAQK SREAEAPTLKQFEDEEGEERTRAKPEKVVDEKPLNIRSQEKGELEKGGRVTRSQEEARRS HLARQQQEKETQIVSLPQEENEVKSSQSLEEKSQSPSPPPLPEDLEKAPVVLQPEQIVSE EETPPPLLTKEASSPPTHIQLQEEMEPVEGPAPPVLIQLSPPNTDAGAREPLASPHPAQL LRSLSPLSGTTDTKAESPAGRVSDESVLPLAQKSSLPECSTQKGVESEREKSAPLPLTVE EFAPAKGITEEPMKKQSLEQKEGRRASHALFPEHSGKQSADSSSSRSSSPSSSSSPSRSP SPDSVASRPQSSPGSKQRDGAQARVHANPHERPKMGSRSTSESRSRSRSRSRSASSSSRK SLSPGVSRDSNTSYTETKDPSCGQEAAAPSGPQLQVLEPKEKAPTFSASVRGRHLSHPEP EQQHVIQRLQPEQGSPKKCEAEEAEPPAATQPQTSETQISHLLESERTHHTVEEKEEVTM DTSENRPENEVPEPPLPVADQVSNDERPEGGAEEEEKKESSMPKSFKRKISVVSATKGVQ AGNSDTEGGQPGRKRRWGASTAATQKKPSISITTESLKEAVVDLHADDSRISEDETERNG DDGTHDKGLKICRTVTQVVPAEGQENGQREEEEEKEPEAELPAPPQVSVEVALPPPVEHE VKKVTLGDTLTRRSISQQKSGVSITIDDPVRTAQVPSPPRGKISNIVHISNLVRPFTLGQ LKELLGRTGTLVEEAFWIDKIKSHCFVTYSTVEEAVATRTALHGVKWPQSNPKFLCADYA EQDELDYHRGLLVDRPSETKAEEQGAPRPLHPPPPPPVQPPPHPRAEQREQERAVREQWA EREREMERRERTRSEREWDRDKVREGPRSRSRSRDRRRKERAKSKEKKSEKKEKAQEEPP AKLLDDLFRKTKAAPCIYWLPLTESQIVQKEAEQAERAKEREKRRKEREEEEQKEREKEA ERERNRQLEREKRREHSRERERDRERERDRGDRERERERDRDRGRERDRRDTKRHSRSRS RSTPVRDRGGRR >ENSMUSP00000118069.1 pep:known chromosome:GRCm38:14:54642167:54653682:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000150371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] MSPADRCRFTNTIESAYYQQPGPLPPLPPERPVQPNSGFAKGINSTSRGRKKIMMFSDSR AGEEKEEVTMDTSENRPENEVPEPPLPVADQVSNDERPEGGAEEEEKKESSMPKSFKRKI SVVSATKGVQAGNSDTEGGQPGRKRRWGASTAATQKKPSISITTESLKSLIPDIKPLAGQ EAVVDLHADDSRISEDETERNGDDGTHDKGLKICRTVTQVVPAEGQENGQREEEEEKEPE AELPAPPQVSVEVALPPPVEHEVKKVTLGDTLTRRSISQQKSGVSITIDDPVRTAQVPSP PRGKISNIVHISNLVRPFTLGQLKELLGRTGTLVEEAFWIDKIKSHCFVTYSTVEEAVAT RTALHGVKWPQSNPKFLCADYAEQDELDYHRGLLVDRPSETKAEEQGAPRPLHPPPPPPV QPPPHPRAEQREQERAVREQWAEREREMERRERTRSEREWDRDKVREGPRSRSRSRDRRR KERAKSKEKKSEKKEKAQEEPPAKLLDDLFRKTKAAPCIYWLPLTESQIVQKEAEQAERA KEREKRRKEREEEEQKEREKEAERERNRQLEREKRREHSRERERDRERERDRGDRERERE RDRDRGRERDRRDTKRHSRSRSRSTPVRDRGGRR >ENSMUSP00000125776.1 pep:known chromosome:GRCm38:14:54642728:54653239:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000167015.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] MMFSDSRAGEEKEEVTMDTSENRPENEVPEPPLPVADQVSNDERPEGGAEEEEKKESSMP KSFKRKISVVSATKGVQAGNSDTEGGQPGRKRRWGASTAATQKKPSISITTESLKSLIPD IKPLAGQEAVVDLHADDSRISEDETERNGDDGTHDKGLKICRTVTQVVPAEGQENGQREE EEEKEPEAELPAPPQVSVEVALPPPVEHEVKKVTLGDTLTRRSISQQKSGVSITIDDPVR TAQVPSPPRGKISNIVHISNLVRPFTLGQLKELLGRTGTLVEEAFWIDKIKSHCFVTYST VEEAVATRTALHGVKWPQSNPKFLCADYAEQDELDYHRGLLVDRPSETKAEEQGAPRPLH PPPPPPVQPPPHPRAEQREQERAVREQWAEREREMERRERTRSEREWDRDKVREGPRSRS RSRDRRRKERAKSKEKKSEKKEKAQEEPPAKLLDDLFRKTKAAPCIYWLPLTESQIVQKE AEQAERAKEREKRRKEREEEEQKEREKEAERERNRQLEREKRREHSRERERDRERERDRG DRERERERDRDRGRERDRRDTKRHSRSRSRSTPVRDRGGRR >ENSMUSP00000107109.2 pep:known chromosome:GRCm38:14:54642728:54686931:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000111484.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] MWGRKRPNSSGETRGILSGNRGVDYGSGRGQSGPFEGRWRKLPKMPEAVGTDPSTSRKMA ELEEVTLDGKPLQALRVTDLKAALEQRGLAKSGQKSALVKRLKGALMLENLQKHSTPHAA FQPNSQIGEEMSQNSFIKQYLEKQQELLRQRLEREAREAAELEGKSSSFSEEKGESDDEK PRKGERRSSRVRQAKSKLPEYSQTAEEEEDQETPSRNLRVRADRNLKIEEEEEEEEEEED DDDEEEEEVDEAQKSREAEAPTLKQFEDEEGEERTRAKPEKVVDEKPLNIRSQEKGELEK GGRVTRSQEEARRSHLARQQQEKETQIVSLPQEENEVKSSQSLEEKSQSPSPPPLPEDLE KAPVVLQPEQIVSEEETPPPLLTKEASSPPTHIQLQEEMEPVEGPAPPVLIQLSPPNTDA GAREPLASPHPAQLLRSLSPLSGTTDTKAESPAGRVSDESVLPLAQKSSLPECSTQKGVE SEREKSAPLPLTVEEFAPAKGITEEPMKKQSLEQKEGRRASHALFPEHSGKQSADSSSSR SSSPSSSSSPSRSPSPDSVASRPQSSPGSKQRDGAQARVHANPHERPKMGSRSTSESRSR SRSRSRSASSSSRKSLSPGVSRDSNTSYTETKDPSCGQEAAAPSGPQLQVLEPKEKAPTF SASVRGRHLSHPEPEQQHVIQRLQPEQGSPKKCEAEEAEPPAATQPQTSETQISHLLESE RTHHTVEEKEEVTMDTSENRPENEVPEPPLPVADQVSNDERPEGGAEEEEKKESSMPKSF KRKISVVSATKGVQAGNSDTEGGQPGRKRRWGASTAATQKKPSISITTESLKSLIPDIKP LAGQEAVVDLHADDSRISEDETERNGDDGTHDKGLKICRTVTQVVPAEGQENGQREEEEE KEPEAELPAPPQVSVEVALPPPVEHEVKKVTLGDTLTRRSISQQKSGVSITIDDPVRTAQ VPSPPRGKISNIVHISNLVRPFTLGQLKELLGRTGTLVEEAFWIDKIKSHCFVTYSTVEE AVATRTALHGVKWPQSNPKFLCADYAEQDELDYHRGLLVDRPSETKAEEQGAPRPLHPPP PPPVQPPPHPRAEQREQERAVREQWAEREREMERRERTRSEREWDRDKVREGPRSRSRSR DRRRKERAKSKEKKSEKKEKAQEEPPAKLLDDLFRKTKAAPCIYWLPLTESQIVQKEAEQ AERAKEREKRRKEREEEEQKEREKEAERERNRQLEREKRREHSRERERDRERERDRGDRE RERERDRDRGRERDRRDTKRHSRSRSRSTPVRDRGGRR >ENSMUSP00000119326.1 pep:known chromosome:GRCm38:14:54643288:54644210:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000138321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] XQGAPRPLHPPPPPPVQPPPHPRAEQREQERAVREQWAEREREMERRERTRSEREWDRDK VREGPRSRSRSRDRRRKERAKSKEKKSEKKEKAQEEPPAKLLDDLFRKTKAAPCIYWLPL TESQVSTLPLSAAGAVFAPRR >ENSMUSP00000117210.1 pep:known chromosome:GRCm38:14:54645739:54653685:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000123875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] MSPADRCRFTNTIESAYYQQPGPLPPLPPERPVQPNSGFADFFVWFLLFLPFQKGINSTS RGRKKIMMFSDSRAGEEKEEVTMDTSENRPENEVPEPPLPVADQVSNDERPEGGAEEEEK KESSMPKSFKRKISVVSATKGVQAGNSDTEGGQPGRKRRWGASTAATQKKPSISITTESL KEAVVDLHADDSRISEDETERNGDDGTHDKGLKICRTVTQVV >ENSMUSP00000120445.1 pep:known chromosome:GRCm38:14:54665126:54686060:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000125265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] MLENLQKHSTPHAAFQPNSQIGEEMSQNSFIKQYLEKQQELLRQRLEREAREAAELEGKS SSFSEEKGESDDEKPRKGERRSSRVRQAKSKLPEYSQTAEEEEDQETPSRNLRVRADRNL KIEEEEEEEEEEEDDDDEEEEEVDEAQKSREAEAPTLKQFEDEEGEERTRAKPEKVVDEK PLNIRSQEKGELEKGGRVTRSQEEARRSHLARQQQEKETQIVSLPQEENEVKSSQSLEEK SQSPSPPPLPEDLEKAP >ENSMUSP00000122003.3 pep:known chromosome:GRCm38:14:54642162:54653701:-1 gene:ENSMUSG00000022185.18 transcript:ENSMUST00000148754.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acin1 description:apoptotic chromatin condensation inducer 1 [Source:MGI Symbol;Acc:MGI:1891824] MMFSDSRAGEEKEEVTMDTSENRPENEVPEPPLPVADQVSNDERPEGGAEEEEKKESSMP KSFKRKISVVSATKGVQAGNSDTEGGQPGRKRRWGASTAATQKKPSISITTESLKSLIPD IKPLAGQEAVVDLHADDSRISEDETERNGDDGTHDKGLKICRTVTQVVPAEGQENGQREE EEEKEPEAELPAPPQVSVEVALPPPVEHEVKKVTLGDTLTRRSISQQKSGVSITIDDPVR TAQVPSPPRGKISNIVHISNLVRPFTLGQLKELLGRTGTLVEEAFWIDKIKSHCFVTYST VEEAVATRTALHGVKWPQSNPKFLCADYAEQDELDYHRGLLVDRPSETKAEEQGAPRPLH PPPPPPVQPPPHPRAEQREQERAVREQWAEREREMERRERTRSEREWDRDKVREGPRSRS RSRDRRRKERAKSKEKKSEKKEKAQEEPPAKLLDDLFRKTKAAPCIYWLPLTESQIVQKE AEQAERAKEREKRRKEREEEEQKEREKEAERERNRQLEREKRREHSRERERDRERERDRG DRERERERDRDRGRERDRRDTKRHSRSRSRSTPVRDRGGRR >ENSMUSP00000038138.7 pep:known chromosome:GRCm38:1:134560171:134635285:1 gene:ENSMUSG00000042207.17 transcript:ENSMUST00000047714.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5b description:lysine (K)-specific demethylase 5B [Source:MGI Symbol;Acc:MGI:1922855] MEPATTLPPGPRPALPLGGPGPLGEFLPPPECPVFEPSWEEFADPFAFIHKIRPIAEQTG ICKVRPPPDWQPPFACDVDKLHFTPRIQRLNELEAQTRVKLNFLDQIAKYWELQGSTLKI PHVERKILDLFQLNKLVAEEGGFAVVCKDRKWTKIATKMGFAPGKAVGSHIRGHYERILN PYNLFLSGDSLRCLQKPNLTSDTKDKEYKPHDIPQRQSVQPAETCPPARRAKRMRAEAMN IKIEPEEATEARTHNLRRRMGCTTPKWENEKEMKSTIKQEPTEKKDCELESEKEKPKSRA KKTATAVDLYVCLLCGSGNDEDRLLLCDGCDDSYHTFCLVPPLHDVPKGDWRCPKCLAQE CNKPQEAFGFEQAARDYTLRTFGEMADAFKSDYFNMPVHMVPTELVEKEFWRLVSTIEED VTVEYGADIASKEFGSGFPVRDGKIKISPEEEEYLDSGWNLNNMPVMEQSVLAHITADIC GMKLPWLYVGMCFSSFCWHIEDHWSYSINYLHWGEPKTWYGVPGYAAEQLENVMKKLAPE LFVSQPDLLHQLVTIMNPNTLMTHEVPVYRTNQCAGEFVITFPRAYHSGFNQGFNFAEAV NFCTVDWLPLGRQCVEHYRLLHRYCVFSHDEMICKMASKADVLDVVVASTVQKDMAIMIE DEKALRETVRKLGVIDSERMDFELLPDDERQCIKCKTTCFMSAISCSCKPGLLVCLHHVK ELCSCPPYKYNLRYRYTLDDLYPMMNALKLRAESYNEWALNVNEALEAKINKKKSLVSFK ALIEESEMKKFPDNDLLRHLRLVTQDAEKCASVAQQLLNGKRQTRYRSGGGKSQNQLTVN ELRQFVTQLYALPCVLSQTPLLKDLLNRVEDFQQQSQKLLSEEMPSAAELQELLDVSFEF DVELPQLTEMRIRLEQARWLEEVQQACLDSSSLSLDDMRRLIDLGVGLAPYSAVEKAMAR LQELLTVSEHWDDKAKSLLRARPRHSLSSLATAVKEMEEIPAYLPNGTVLKDSVQRARDW VQDVDALQAGGRVPVLETLIELVARGRSIPVHLNSLPRLEMLVAEVHAWKECAAKTFLPE NSTYSLLEVLCPRCDIGLLGLKRKQRKLKEPLPSGKKRSTKLESLSDLERALMESKETAA AMATLGEARLREMEALQSLRFANEEKLLSPVQDLEMKVCLCQKTPATPMIQCELCRDAFH TSCVAAPSISQSSRIWLCPHCRRSEKPPLEKILPLLASLQRIRVRLPEGDALRYMIERTV NWQHRAQQLLSSGNLKLVQDQVGSGLLSSRWPASAGQASATDKVSQPPGTTSFSLPDDWD NRTSYLHSPFSTGQSCLPLHGLSPEVNELLMEAQLLQVSLPEIQELYQTLLTKPSSVQQA DRSSPVRSSSEKNDCLRGKRDAINSPERKLKRRPEREGLPSERWDRVKHMRTPQKKKIKL SHPKDMDSFKLERERSYDLVRNAETHSLPSDTSYSEQEDSEDEDAICPAVSCLQPEGDEV DWVQCDGSCNQWFHQVCVGVSPEMAEKEDYICVRCTGKDAPSRK >ENSMUSP00000107817.1 pep:known chromosome:GRCm38:1:134560192:134631522:1 gene:ENSMUSG00000042207.17 transcript:ENSMUST00000112198.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm5b description:lysine (K)-specific demethylase 5B [Source:MGI Symbol;Acc:MGI:1922855] MEPATTLPPGPRPALPLGGPGPLGEFLPPPECPVFEPSWEEFADPFAFIHKIRPIAEQTG ICKVRPPPDWQPPFACDVDKLHFTPRIQRLNELEAQTRVKLNFLDQIAKYWELQGSTLKI PHVERKILDLFQLNKLVAEEGGFAVVCKDRKWTKIATKMGFAPGKAVGSHIRGHYERILN PYNLFLSGDSLRCLQKPNLTSDTKDKEYKPHDIPQRQSVQPAETCPPARRAKRMRAEAMN IKIEPEEATEARTHNLRRRMGCTTPKWENEKEMKSTIKQEPTEKKDCELESEKEKPKSRA KKTATAVDLYVCLLCGSGNDEDRLLLCDGCDDSYHTFCLVPPLHDVPKGDWRCPKCLAQE CNKPQEAFGFEQAARDYTLRTFGEMADAFKSDYFNMPVHMVPTELVEKEFWRLVSTIEED VTVEYGADIASKEFGSGFPVRDGKIKISPEEEEYLDSGWNLNNMPVMEQSVLAHITADIC GMKLPWLYVGMCFSSFCWHIEDHWSYSINYLHWGEPKTWYGVPGYAAEQLENVMKKLAPE LFVSQPDLLHQLVTIMNPNTLMTHEVPVYRTNQCAGEFVITFPRAYHSGFNQGFNFAEAV NFCTVDWLPLGRQCVEHYRLLHRYCVFSHDEMICKMASKADVLDVVVASTVQKDMAIMIE DEKALRETVRKLGVIDSERMDFELLPDDERQCIKCKTTCFMSAISCSCKPGLLVCLHHVK ELCSCPPYKYNLRYRYTLDDLYPMMNALKLRAESYNEWALNVNEALEAKINKKKSLVSFK ALIEESEMKKFPDNDLLRHLRLVTQDAEKCASVAQQLLNGKRQTRYRSGGGKSQNQLTVN ELRQFVTQLYALPCVLSQTPLLKDLLNRVEDFQQQSQKLLSEEMPSAAELQELLDVSFEF DVELPQLTEMRIRLEQARWLEEVQQACLDSSSLSLDDMRRLIDLGVGLAPYSAVEKAMAR LQELLTVSEHWDDKAKSLLRARPRHSLSSLATAVKEMEEIPAYLPNGTVLKDSVQRARDW VQDVDALQAGGRVPVLETLIELVARGRSIPVHLNSLPRLEMLVAEVHAWKECAAKTFLPE NSTYSLLEVLCPRCDIGLLGLKRKQRKLKEPLPSGKKRSTKLESLSDLERALMESKETAA AMATLGEARLREMEALQSLRFANEEKLLSPVQDLEMKVCLCQKTPATPMIQCELCRDAFH TSCVAAPSISQSSRIWLCPHCRRSEKPPLEKILPLLASLQRIRVRLPEGDALRYMIERTV NWQHRAQQLLSSGNLKLVQDQVGSGLLSSRWPASAGQASATDKVSQPPGTTSFSLPDDWD NRTSYLHSPFSTGQSCLPLHGLSPEVNELLMEAQLLQVSLPEIQELYQTLLTKPSSVQQA DRSSPVRSSSEKNDCLRGKRDAINSPERKLKRRPEREGLPSERWDRVKHMRTPQKKKIKL SHPKDMDSFKLERERSYDLVRNAETHSLPSDTSYSEQEDSEDEDAICPAVSCLQPEGDEV SEVWAIEDALSPNSETL >ENSMUSP00000141136.1 pep:known chromosome:GRCm38:1:150319417:150333906:1 gene:ENSMUSG00000006007.14 transcript:ENSMUST00000191228.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdc description:phosducin [Source:MGI Symbol;Acc:MGI:98090] MEEAASQSLEEDFEGQATHTGPKGVINDWRKFKLESEDGDSIPPSKKEILRQMSSPQSRD DSKERMSRKMSIQEYELIHQDKEDESCLRKYRRQCMQDMHQKLSFGPRYGFVYELETGEQ FLETIEKEQKVTTIVVNIYEDGVRGCDALNSSLACLAVEYPMVKFCKIKASNTGAGDRFS TDVLPTLLVYKGGELISNFISVAEQFAEEFFAVDVESFLNEYGLLPEREIHDLEQTNMED EDIE >ENSMUSP00000140843.1 pep:known chromosome:GRCm38:1:150319426:150333322:1 gene:ENSMUSG00000006007.14 transcript:ENSMUST00000186572.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdc description:phosducin [Source:MGI Symbol;Acc:MGI:98090] MEEAASQSLEEDFEGQATHTGPKGVINDWRKFKLESEDGDSIPPSKKEILRQMSSPQSRD DSKERMSRKMSIQEYELIHQDKEDESCLRKYRRQCMQDMHQKLSFGPRYGFVYELETGEQ FLETIEKEQKVTTIVVNIYEDGVRGCDALNSSLACLAVEYPMVKFCKIKASNTGAGDRFS TDVLP >ENSMUSP00000140669.1 pep:known chromosome:GRCm38:1:150319429:150333903:1 gene:ENSMUSG00000006007.14 transcript:ENSMUST00000185698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdc description:phosducin [Source:MGI Symbol;Acc:MGI:98090] MEEAASQSLEEDFEGQATHTGPKGVINDWRKFKLESEDGDSIPPSKKEILRQMSSPQSRD DSKERMSRKVREEKTGYEFCIT >ENSMUSP00000131631.1 pep:known chromosome:GRCm38:1:150319417:150333905:1 gene:ENSMUSG00000006007.14 transcript:ENSMUST00000165062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdc description:phosducin [Source:MGI Symbol;Acc:MGI:98090] MEEAASQSLEEDFEGQATHTGPKGVINDWRKFKLESEDGDSIPPSKKEILRQMSSPQSRD DSKERMSRKMSIQEYELIHQDKEDESCLRKYRRQCMQDMHQKLSFGPRYGFVYELETGEQ FLETIEKEQKVTTIVVNIYEDGVRGCDALNSSLACLAVEYPMVKFCKIKASNTGAGDRFS TDVLPTLLVYKGGELISNFISVAEQFAEEFFAVDVESFLNEYGLLPEREIHDLEQTNMED EDIE >ENSMUSP00000122121.1 pep:known chromosome:GRCm38:1:86064619:86132749:1 gene:ENSMUSG00000026229.17 transcript:ENSMUST00000139715.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmd1 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 1 [Source:MGI Symbol;Acc:MGI:1917497] MITSAAGIISLLDEEEPQLKEFALHKLNAVVNDFWAEISESVDKIKMH >ENSMUSP00000027432.8 pep:known chromosome:GRCm38:1:86064619:86139151:1 gene:ENSMUSG00000026229.17 transcript:ENSMUST00000027432.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd1 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 1 [Source:MGI Symbol;Acc:MGI:1917497] MITSAAGIISLLDEEEPQLKEFALHKLNAVVNDFWAEISESVDKIEVLYEDEGFRSRQFA ALVASKVFYHLGAFEESLNYALGAGDLFNVNDNSEYVETIIAKCIDHYTKQCVENADLPE GEKKPIDQRLEGIVNKMFQRCLDDHKYKQAIGIALETRRLDVFEKTILESNDVPGMLAYS LKLCMSLMQNKQFRNKVLRVLVKIYMNLEKPDFINVCQCLIFLDDPQAVSDILEKLVKED NLLMAYQICFDLYESASQQFLSSVIQNLRTVGTPIASVPGSTNTGTVPGSEKDSDPMETE EKTASAVAGKTPDASPEPKDQTLKMIKILSGEMAIELHLQFLIRNNNTDLMILKNTKDAV RNSVCHTATVIANSFMHCGTTSDQFLRDNLEWLARATNWAKFTATASLGVIHKGHEKEAL QLMATYLPKDTSPGSAYQEGGGLYALGLIHANHGGDIIDYLLNQLKNASNDIVRHGGSLG LGLAAMGTARQDVYDLLKTNLYQDDAVTGEAAGLALGLVMLGSKNAQAIEDMVGYAQETQ HEKILRGLAVGIALVMYGRMEEADALIESLCRDKDPILRRSGMYTVAMAYCGSGNNKAIR RLLHVAVSDVNDDVRRAAVESLGFILFRTPEQCPSVVSLLSESYNPHVRYGAAMALGICC AGTGNKEAINLLEPMTNDPVNYVRQGALIASALIMIQQTEITCPKVNQFRQLYSKVINDK HDDVMAKFGAILAQGILDAGGHNVTISLQSRTGHTHMPSVVGVLVFTQFWFWFPLSHFLS LAYTPTCVIGLNKDLKMPKVQYKSNCKPSTFAYPAPLEVPKEKEKEKVSTAVLSITAKAK KKEKEKEKKEEEKMEVDEAEKKEEKEKKKEPEPNFQLLDNPARVMPAQLKVLSMTETCRY QPFKPLSIGGIIILKDTSEDVEELVEPVAAHGPKIEEEEQEPEPPEPFEYIDD >ENSMUSP00000105969.2 pep:known chromosome:GRCm38:12:81359026:81485110:-1 gene:ENSMUSG00000091803.7 transcript:ENSMUST00000110340.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox16 description:cytochrome c oxidase assembly protein 16 [Source:MGI Symbol;Acc:MGI:1913522] MIAPAVLRALRKNKTLRYGVPMLLLVVGGSFGLREFSQIRYDAVTIKIDPELEKKLKVNK ITLESEYERLLCLLCRQ >ENSMUSP00000130935.1 pep:known chromosome:GRCm38:12:81359168:81485073:-1 gene:ENSMUSG00000091803.7 transcript:ENSMUST00000166723.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox16 description:cytochrome c oxidase assembly protein 16 [Source:MGI Symbol;Acc:MGI:1913522] MIAPAVLRALRKNKTLRYGVPMLLLVVGGSFGLREFSQIRYDAVTIKIDPELEKKLKVNK ITLESEYEFLLIYTVSLFLEC >ENSMUSP00000002757.3 pep:known chromosome:GRCm38:12:81470704:81485120:-1 gene:ENSMUSG00000091803.7 transcript:ENSMUST00000002757.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox16 description:cytochrome c oxidase assembly protein 16 [Source:MGI Symbol;Acc:MGI:1913522] MIAPAVLRALRKNKTLRYGVPMLLLVVGGSFGLREFSQIRYDAVTIKIDPELEKKLKVNK ITLESEYEKIKDSTFENWKNIRGPRPWEDPQLLQGRNPETLKPKTT >ENSMUSP00000127295.1 pep:known chromosome:GRCm38:12:81472093:81485113:-1 gene:ENSMUSG00000091803.7 transcript:ENSMUST00000168463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox16 description:cytochrome c oxidase assembly protein 16 [Source:MGI Symbol;Acc:MGI:1913522] MIAPAVLRALRKNKTLRYGVPMLLLVVGGSFGLREFSQIRYDAVTIKIDPELEKKLKVNK ITLESEYEEEDSRPVETWM >ENSMUSP00000128281.1 pep:known chromosome:GRCm38:12:81474255:81485114:-1 gene:ENSMUSG00000091803.7 transcript:ENSMUST00000169124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox16 description:cytochrome c oxidase assembly protein 16 [Source:MGI Symbol;Acc:MGI:1913522] MIAPAVLRALRKNKTLRYGVPMLLLVVGGSFGLREFSQIRYDAVTIKIDPELEKKLKVNK ITLESEYEV >ENSMUSP00000063108.7 pep:known chromosome:GRCm38:18:60576316:60591788:-1 gene:ENSMUSG00000049173.7 transcript:ENSMUST00000056533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myoz3 description:myozenin 3 [Source:MGI Symbol;Acc:MGI:2179296] MIPKEQKEPVMAVPGDLAEPVPSLDLGKKLSVPQDLMIEELSLRNNRGSLLFQKRQRRVQ KFTFELSESLQAILASSARGKVAGRAAQATVPNGLEEQNHHSETHVFQGSPGDPGITHLG AAGTGSVHSPSALAPGYSEPLKGVPPEKFNHTAIPKGYRCPWQEFTSYQDYSSGSRSHTP IPRDYRNFNKTPVPFGGPHVREAIFHAGTPFVPESFSGLELLRLRPNFNRVAQGWVRKLP ESEEL >ENSMUSP00000121874.1 pep:known chromosome:GRCm38:2:120629126:120682782:1 gene:ENSMUSG00000033705.16 transcript:ENSMUST00000150912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard9 description:START domain containing 9 [Source:MGI Symbol;Acc:MGI:3045258] MANVQVAVRVRPLSKRETKEGGRIIVEVDDKVAKIRNVKVDSRPESFGDTREKVVAFGFD YCYWSVNPEDPHYASQEVVFRDLGTEVLSGAAKGYNICLFAYGQTGSGKTYTMLGTPASV GLTPRICEGLFIREDDCASQPCSRSIKVSFLEIYNERVRDLLKQSNQNKSYTLRVREHPE MGPYVQGLSQHVVTNYQQVIQLLEAGIANRITAATHVHEASSRSHAIFTIHCTQAILQNN LPSETASKINLVDLAGSERADPSYCKDRITEGANINKSLVTLGIVISTLAQNSQVFSSCQ SLSSAASSGGDSGVPSTTSGASSGGGPARRQSYIPYRDSVLTWLLKESLGGNSKTIMVAT VSPAHTSYSETMSTMRYASNAKNIINKPRVNEDANVKLIRELREEIERLKAV >ENSMUSP00000117178.1 pep:known chromosome:GRCm38:2:120684856:120716082:1 gene:ENSMUSG00000033705.16 transcript:ENSMUST00000140843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard9 description:START domain containing 9 [Source:MGI Symbol;Acc:MGI:3045258] IDTLTQHWTEKRNDRQALMEHYGVDINRKRARVVIDSSLPHLMALEDDVLSTGVVLYHLK EGTTKIGRIDSDQEQDIVLQGQWIERDHCTITSTCGVVILRPTQGARCTVNGREVTASCR LTQGAVITLGKAQKFRFNHPAEAAVLRHQRLKVGEALGSSGSLEWLDLDGDVSASRLGLC PVLRKERRVLEEQCDRDQQPSRHSEIPYRAQPEQQQCHVEALKQQAKEGQSRVQKELELD HTHISQQIKDNQQWLLTEETWLASLRETQQEGNCGEEKELEASVAPDAWLPTVPQTPPSP LVQSQKRVVQPQCSPRHTTRATVWNIRQKKVSFQLERIIKKRRLLEAQRRLEQLSTFFWI QDDGASRAPSWASSSNTSGPGSQRRSRWTTCSSLSLQRLGCRRLPQLHSDFMNWDPSAMS PPVPELTHQMPEKTLSTDCIPPKAGRLGRNSFHSSRWRKFSPARRASTQGTHLTVSHKSV SSQEIESLGKQPCQMSSQGQSTKKQKARDGSRTFIPAAQTRWASVNTKTGWQKEGTCGTY KDPKETTSQSTDLSGLEPAAGHRKVVKTFQAESKPSPSSRASKKHQRVLAARARDIVKTF SRLPHGGPLKNQPRAGDPGTPASFTDSRPIKDPVREEDRDLSDTESSYSVDSLSYIYAKV PKKLLKPEDLQGKWNLPDSENSESDNSQISEDSLAGKGHKSLPENSRGEYSMKDHGHSRA RTSASVRGLPMPSDSSLCTQKYRSFSLDSLIDPENRQGEPFLGSADEMPTETFWHLQNAT PSSVDQEAMDRPSPTNHRMGVGVNVLLPKSNSFYLDPQFQPPCEQLESEMEASYSEHTNP LRGLQLARESPLLSVDSWFSCDSKVSPSSPSGDIHSLCPSPDIHEIQPHDEKPKHWLSIE EPKPPGTCKLPQSSTEPPCSSDLYATSASDTSKPSVCESQGLLQPGDGGFFQGREMPDMT NQGISEESHNSDMSSVLAPSATSFTQVCSVNKDWAALHQEYLLELSDSGFEAVGEPRPAF PFLEEDSSSLAEASDKVDTQLPTGPGLPRNLDFSSFPVHISKIGHLRAEKDHDSLSAKVE SASDLLSTVERMSSNGTYPADIESLTSGSINAQPYTAGNVIPSSMTEAWEVHRASLEGCL QGDRHSVLITSSGQKRAYHNDDTLATEGDCLPQDGALLGKNTKVQPGLLSHNSYQQPLLE EKAASQQCTDGEVAGTRIDACCAFPSGPELFLHSTPWSSSPSSLQPPPLETFYVTKSRDA LTETALEIPACREAWVPSPPPREAWGFDHSHQVSQKAHWKNNLPKLSQSQNSKIDSPQQT TTKRPTDLDTGEGTEELGKHSRNMREEENHDSAYSFVAQNRQHLPSTRLKVCECGNQLGI LNKEYSLSVHQDEEGASAWHHGSVAFNGSEPKTLLFICDSKASGEEQSLLLPQIQSCGMH SQSPGARSDFIGKIANLDPEKVIPEEAAVSLKSRSLHCLSSPVIVAGGRSPTRRREGRNE TGLLREVISKDIQEEFSLPGTQYICERCHLLLCSRERKPTECKAHGQSQEVQSKEEPLEE KQNKRVNNIDEMARLMRSVMQLETGILEIESKHNKHLHASHMPSTELMLQDLEDQEKADH VPTPESSGEHLCFEDQPSFPIQIKDDIFEDSKAREIEVTNATSNNNTQIQKLTGSPFRSR EYVQTRESESEHSYPPPGADRLARDTCDSLGKGTALRKPSNISLHSRTMRGLARALPLQP SIERPKKDNELLKASAKFQGQTWALESLEELESMERFQESQIVVVPSGSELEDAKTQGGV EEMTVDRRGSLQEKEDMVSSTQKVPTPSQHWKGTFFSQEAVSPFYYQTGFSAALPHGELS GTQPVHSLSFPRSGLHGSDTKGVSSFEYILEPVMLKTNRNSLATGVGDQDHSGETRSSSP QERASGDVSTTHTPLGGSVMPVVVRASGQAVTSDSTLLNTEDWITVSTSSQEDQEGDFRD TSTGSTTQEALGSEAEATVQKERKNSSLDRISRQAEKRVSFLLQEDSNQGEEERQKAEET SEDQQLPNSAYLTPISELKGPDAEPLLLPDSSINASICLGILAEIRQAKTQRKQLTDLVA EGTVLPYETLQEAEWFSEAAGKPQTQKVKLGWGSTRNDAKAQRLHEASPSAVSADLLADE RKAQVSAGSFHHLPNPETDRGPQHHLLASPHIVSELEKRYCTGKPRQFCGASGRSDSSEV IEKRKEASRTKSSVDPLPSDRLLSIPAVEQDGGLGSEKVSVLPSQTSYAPGRILHGQGQL TARETVKGLSFGGKDSILGHQEPRSLDSTHGGGSEKISVTTQKENAVFSECPSVICTVDN AVDLSQSKQDHVQGLDASTGLEETKASPKSGAVHPEAPGNVGAEANIRHPVKWKNVDSGL ACGGDSKNPWSTPFLDQRPSLHPSGVREEAPGPCPKECLVFERNTGGSRPLGSSYEEAEN RTIPCPHLSGSQPTTAVHACCSHSSTLLCCRDGVLRKGTPWAAAPPDHSLCIVPSTVCEV DGTGECLSRVSLAHDLKHKCGPVDNSIPNPPTTTPVSSPAQNCSCLSTSEMRARCLTHTF ARGRSVEGSGEETTGKKVTTAPEDTFPSSPAGMSSEPLRTLKNNSVDENGQASQTMPEPP AVTQGPGTLNSNECVDSKLVIAAQFGHLENTKCCSEKMQPSTKVRGHSCLAPQARFVDML KPTCHPKIETSWEEEEQQRDQVSGDGKDHAQVRNLVPSNVGGFDGYQTRDGETKSSVPQT FFSDFEAQTEPSQPAAQTHSQHCSDREQLPRSHRHLLPVIAIFSGPKHARYSPRPQFTVV SSSRSLQELNLSVEPPSPTDEDAQRPDSSWRPHLRGYSSEKPISTSLKTQDCSQKALCNL NNSSSNHRPLNPVIPPYPTSSTVSCMPTPEFMTTWMPGALEQAHQGKTDKLSVQGMPENW HSQTDEEMLHFGSSELSPSVLSSCPQGLVHIGWKQYVFGSAVDVSCSQKPQCLIQSNMAQ CSSIDNVLEDKKSPFHSHPKTDAQTQDLPNIHSDVENDQSSNELPLVGGSATAQVDEILL LCPPEMGCAGGEASVNTFEQGTQALGSRRHWCCTDVSLQPEARTMSDSELASWTSMHNLS VHLSQLLHSTSELLGSLSHPGVVIKEQNVKRDSLDEAQQALRMDGSASTTVDEGIQTDLA LPPLAFQGPEVKSEEVSVILDMMDSGITTVAQEKGDVPVVFQKREAEGAAETPGLHEEST HNKLQSPPLPSPHLRVQKADLGQNFTFMSPPASPDGSPPPSLRPEESCMVVNMPRFSPHS GLSLGAFESTQEPRTQKRLCGSRAVLVDRASSPILTFSASIQELSNPLACVTLSAPSVHP LEDFQKLDDINSDLAVGDPRPPVDNSQATDESGDSQRAESLDREGKSPLGKSSERLLLDN SSSCSPQQSSSLQVSFLGIAPQQLQPKTTTGDQSKLPSPPPRHKNPKLDDSCVSEKVTSV EHGPLRPSQWQGRTTNKDWGSEFMVEPQPNLDQPSSRRGLQPLSPCQISDTTGLQSPAVD PPQACHPVGLLCSGSHMHVAPGPQHYNLRDLPVHNNFNNLYGVQGGPGRGLHEGESLGVR CDSSSVGTHRPPQLSDKYSQNLEWLRLEHIPLQAGVQKLALSVELTEAKLHHGFGETDAL LKVLQSGTGEVLAPQEPAVPSSEEFYTRQKKTIETLRRQRAERLHNFRRTRSLSPQKQLG LLPSKDLPTWELDLPSRRQEYLQQLRKHIVDTTRIPEPAPGLARPPSDIELMLQEYRRAR EEAKVEIAQARDRLKERTEQEKMRIRQQIISQLLKEEEKLQTLANFSSLYTSSNGSISSG VTSGYNSSPAFSGHLQSLEVSGDSQVPDSQDTWIGDWQDQSTVRNSYLYLTGSSWKSLAH SRRASMGSGCCSASSLSSLGACFSFPYQDLAKHIVSTSMADVMAACSDNLHNLFIRQATD GWNYQGEEQEVQLYYKEFSSTRHGFLGASVVSQPLSQVWAAVSDPTLWPLYHKPIQTARL HQRVTNSISLVYLVCNTTLCELKQLRDFCCVCVEAKEGCLSIMAAQSVYDASMPRPSRKM VRGEILPSAWVLQPVIIEGKEITRVISLVQVELGAPGFPPHLLNSCIKQQPLVVAKLASF LRS >ENSMUSP00000070111.2 pep:known chromosome:GRCm38:2:120701341:120715832:1 gene:ENSMUSG00000033705.16 transcript:ENSMUST00000070420.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard9 description:START domain containing 9 [Source:MGI Symbol;Acc:MGI:3045258] MTKVQMSCLWLEGVPPLRQKKTIETLRRQRAERLHNFRRTRSLSPQKQLGLLPSKDLPTW ELDLPSRRQEYLQQLRKHIVDTTRIPEPAPGLARPPSDIELMLQEYRRAREEAKVEIAQA RDRLKERTEQEKMRIRQQIISQLLKEEEKLQTLANFSSLYTSSNGSISSGVTSGYNSSPA FSGHLQSLEVSGDSQVPDSQDTWIGDWQDQSTVRNSYLYLTGSSWKSLAHSRRASMGSGC CSASSLSSLGACFSFPYQDLAKHIVSTSMADVMAACSDNLHNLFIRQATDGWNYQGEEQE VQLYYKEFSSTRHGFLGASVVSQPLSQVWAAVSDPTLWPLYHKPIQTARLHQRVTNSISL VYLVCNTTLCELKQLRDFCCVCVEAKEGCLSIMAAQSVYDASMPRPSRKMVRGEILPSAW VLQPVIIEGKEITRVISLVQVELGAPGFPPHLLNSCIKQQPLVVAKLASFLRS >ENSMUSP00000116900.1 pep:known chromosome:GRCm38:2:120704592:120731895:1 gene:ENSMUSG00000033705.16 transcript:ENSMUST00000154193.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stard9 description:START domain containing 9 [Source:MGI Symbol;Acc:MGI:3045258] HPLEDFQKLDDINSDLAVGDPRPPVDNSQATDESGDSQRAESLDREGKSPLGKSSERLLL DNSSSCSPQQSSSLQVSFLGIAPQQLQPKTTTGDQSKLPSPPPRHKNPKLDDSCVSEKVT SVEHGPLRPSQWQGRTTNKDWGSEFMVEPQPNLDQPSSRRGLQPLSPCQISDTTGLQSPA VDPPQACHPVGLLCSGSHMHVAPGPQHYNLRDLPVHNNFNNLYGVQGGPGRGLHEGESLG VRCDSSSVGTHRPPQLSDKYSQNLEWLRLEHIPLQAGVQKLALSVELTEAKLHHGFGETD ALLKVLQSGTGEVLAPQEPAVPSSEEFYTRQKKTIETLRRQRAERLHNFRRTRSLSPQKQ LGLLPSKDLPTWELDLPSRRQEYLQQLRKHIVDTTRIPEPAPGLARPPSDIELMLQEYRR AREEAKVEIAQARDRLKERTEQEKMRIRQQIISQLLKEEEKLQTLANFSSLYTSSNGSIS SGVTSGYNSSPAFSGHLQSLEVSGDSQVPDSQDTWIGDWQDQSTVRNSYLYLTGSSWKSL AHSRRASMGSGCCSASSLSSLGACFSFPYQDLAKHIVSTSMADVMAACSDNLHNLFIRQA TDGWNYQGEEQEVQLYYKEFSSTRHGFLGASVVSQPLSQVWAAVSDPTLWPLYHKPIQTA RLHQRVTNSISLVYLVCNTTLCELKQLRDFCCVCVEAKEGCLSIMAAQSVYDASMPRPSR KMVRGEILPSAWVLQPVIIEGKEITRVISLVQVELGAPGFPPHLLNSCIKQQPLVVAKLA SFLRS >ENSMUSP00000136055.1 pep:known chromosome:GRCm38:2:120629224:120716082:1 gene:ENSMUSG00000033705.16 transcript:ENSMUST00000180041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard9 description:START domain containing 9 [Source:MGI Symbol;Acc:MGI:3045258] MANVQVAVRVRPLSKRETKEGGRIIVEVDDKVAKIRNVKVDSRPESFGDTREKVVAFGFD YCYWSVNPEDPHYASQEVVFRDLGTEVLSGAAKGYNICLFAYGQTGSGKTYTMLGTPASV GLTPRICEGLFIREDDCASQPCSRSIKVSFLEIYNERVRDLLKQSNQNKSYTLRVREHPE MGPYVQGLSQHVVTNYQQVIQLLEAGIANRITAATHVHEASSRSHAIFTIHCTQAILQNN LPSETASKINLVDLAGSERADPSYCKDRITEGANINKSLVTLGIVISTLAQNSQVFSSCQ SLSSAASSGGDSGVPSTTSGASSGGGPARRQSYIPYRDSVLTWLLKESLGGNSKTIMVAT VSPAHTSYSETMSTMRYASNAKNIINKPRVNEDANVKLIRELREEIERLKAVLLNFELID TLTQHWTEKRNDRQALMEHYGVDINRKRARVVIDSSLPHLMALEDDVLSTGVVLYHLKEG TTKIGRIDSDQEQDIVLQGQWIERDHCTITSTCGVVILRPTQGARCTVNGREVTASCRLT QGAVITLGKAQKFRFNHPAEAAVLRHQRLKVGEALGSSGSLEWLDLDGDVSASRLGLCPV LRKERRVLEEQCDRDQQPSRHSEIPYRAQPEQQQCHVEALKQQAKEGQSRVQKELELDHT HISQQIKDNQQWLLTEETWLASLRETQQEGNCGEEKELEASVAPDAWLPTVPQTPPSPLV QSQKRVVQPQCSPRHTTRATVWNIRQKKVSFQLERIIKKRRLLEAQRRLEQLSTFFWIQD DGASRAPSWASSSNTSGPGSQRRSRWTTCSSLSLQRLGCRRLPQLHSDFMNWDPSAMSPP VPELTHQMPEKTLSTDCIPPKAGRLGRNSFHSSRWRKFSPARRASTQGTHLTVSHKSVSS QEIESLGKQPCQMSSQGQSTKKQKARDGSRTFIPAAQTRWASVNTKTGWQKEGTCGTYKD PKETTSQSTDLSGLEPAAGHRKVVKTFQAESKPSPSSRASKKHQRVLAARARDIVKTFSR LPHGGPLKNQPRAGDPGTPASFTDSRPIKDPVREEDRDLSDTESSYSVDSLSYIYAKVPK KLLKPEDLQGKWNLPDSENSESDNSQISEDSLAGKGHKSLPENSRGEYSMKDHGHSRART SASVRGLPMPSDSSLCTQKYRSFSLDSLIDPENRQGEPFLGSADEMPTETFWHLQNATPS SVDQEAMDRPSPTNHRMGVGVNVLLPKSNSFYLDPQFQPPCEQLESEMEASYSEHTNPLR GLQLARESPLLSVDSWFSCDSKVSPSSPSGDIHSLCPSPDIHEIQPHDEKPKHWLSIEEP KPPGTCKLPQSSTEPPCSSDLYATSASDTSKPSVCESQGLLQPGDGGFFQGREMPDMTNQ GISEESHNSDMSSVLAPSATSFTQVCSVNKDWAALHQEYLLELSDSGFEAVGEPRPAFPF LEEDSSSLAEASDKVDTQLPTGPGLPRNLDFSSFPVHISKIGHLRAEKDHDSLSAKVESA SDLLSTVERMSSNGTYPADIESLTSGSINAQPYTAGNVIPSSMTEAWEVHRASLEGCLQG DRHSVLITSSGQKRAYHNDDTLATEGDCLPQDGALLGKNTKVQPGLLSHNSYQQPLLEEK AASQQCTDGEVAGTRIDACCAFPSGPELFLHSTPWSSSPSSLQPPPLETFYVTKSRDALT ETALEIPACREAWVPSPPPREAWGFDHSHQVSQKAHWKNNLPKLSQSQNSKIDSPQQTTT KRPTDLDTGEGTEELGKHSRNMREEENHDSAYSFVAQNRQHLPSTRLKVCECGNQLGILN KEYSLSVHQDEEGASAWHHGSVAFNGSEPKTLLFICDSKASGEEQSLLLPQIQSCGMHSQ SPGARSDFIGKIANLDPEKVIPEEAAVSLKSRSLHCLSSPVIVAGGRSPTRRREGRNETG LLREVISKDIQEEFSLPGTQYICERCHLLLCSRERKPTECKAHGQSQEVQSKEEPLEEKQ NKRVNNIDEMARLMRSVMQLETGILEIESKHNKHLHASHMPSTELMLQDLEDQEKADHVP TPESSGEHLCFEDQPSFPIQIKDDIFEDSKAREIEVTNATSNNNTQIQKLTGSPFRSREY VQTRESESEHSYPPPGADRLARDTCDSLGKGTALRKPSNISLHSRTMRGLARALPLQPSI ERPKKDNELLKASAKFQGQTWALESLEELESMERFQESQIVVVPSGSELEDAKTQGGVEE MTVDRRGSLQEKEDMVSSTQKVPTPSQHWKGTFFSQEAVSPFYYQTGFSAALPHGELSGT QPVHSLSFPRSGLHGSDTKGVSSFEYILEPVMLKTNRNSLATGVGDQDHSGETRSSSPQE RASGDVSTTHTPLGGSVMPVVVRASGQAVTSDSTLLNTEDWITVSTSSQEDQEGDFRDTS TGSTTQEALGSEAEATVQKERKNSSLDRISRQAEKRVSFLLQEDSNQGEEERQKAEETSE DQQLPNSAYLTPISELKGPDAEPLLLPDSSINASICLGILAEIRQAKTQRKQLTDLVAEG TVLPYETLQEAEWFSEAAGKPQTQKVKLGWGSTRNDAKAQRLHEASPSAVSADLLADERK AQVSAGSFHHLPNPETDRGPQHHLLASPHIVSELEKRYCTGKPRQFCGASGRSDSSEVIE KRKEASRTKSSVDPLPSDRLLSIPAVEQDGGLGSEKVSVLPSQTSYAPGRILHGQGQLTA RETVKGLSFGGKDSILGHQEPRSLDSTHGGGSEKISVTTQKENAVFSECPSVICTVDNAV DLSQSKQDHVQGLDASTGLEETKASPKSGAVHPEAPGNVGAEANIRHPVKWKNVDSGLAC GGDSKNPWSTPFLDQRPSLHPSGVREEAPGPCPKECLVFERNTGGSRPLGSSYEEAENRT IPCPHLSGSQPTTAVHACCSHSSTLLCCRDGVLRKGTPWAAAPPDHSLCIVPSTVCEVDG TGECLSRVSLAHDLKHKCGPVDNSIPNPPTTTPVSSPAQNCSCLSTSEMRARCLTHTFAR GRSVEGSGEETTGKKVTTAPEDTFPSSPAGMSSEPLRTLKNNSVDENGQASQTMPEPPAV TQGPGTLNSNECVDSKLVIAAQFGHLENTKCCSEKMQPSTKVRGHSCLAPQARFVDMLKP TCHPKIETSWEEEEQQRDQVSGDGKDHAQVRNLVPSNVGGFDGYQTRDGETKSSVPQTFF SDFEAQTEPSQPAAQTHSQHCSDREQLPRSHRHLLPVIAIFSGPKHARYSPRPQFTVVSS SRSLQELNLSVEPPSPTDEDAQRPDSSWRPHLRGYSSEKPISTSLKTQDCSQKALCNLNN SSSNHRPLNPVIPPYPTSSTVSCMPTPEFMTTWMPGALEQAHQGKTDKLSVQGMPENWHS QTDEEMLHFGSSELSPSVLSSCPQGLVHIGWKQYVFGSAVDVSCSQKPQCLIQSNMAQCS SIDNVLEDKKSPFHSHPKTDAQTQDLPNIHSDVENDQSSNELPLVGGSATAQVDEILLLC PPEMGCAGGEASVNTFEQGTQALGSRRHWCCTDVSLQPEARTMSDSELASWTSMHNLSVH LSQLLHSTSELLGSLSHPGVVIKEQNVKRDSLDEAQQALRMDGSASTTVDEGIQTDLALP PLAFQGPEVKSEEVSVILDMMDSGITTVAQEKGDVPVVFQKREAEGAAETPGLHEESTHN KLQSPPLPSPHLRVQKADLGQNFTFMSPPASPDGSPPPSLRPEESCMVVNMPRFSPHSGL SLGAFESTQEPRTQKRLCGSRAVLVDRASSPILTFSASIQELSNPLACVTLSAPSVHPLE DFQKLDDINSDLAVGDPRPPVDNSQATDESGDSQRAESLDREGKSPLGKSSERLLLDNSS SCSPQQSSSLQVSFLGIAPQQLQPKTTTGDQSKLPSPPPRHKNPKLDDSCVSEKVTSVEH GPLRPSQWQGRTTNKDWGSEFMVEPQPNLDQPSSRRGLQPLSPCQISDTTGLQSPAVDPP QACHPVGLLCSGSHMHVAPGPQHYNLRDLPVHNNFNNLYGVQGGPGRGLHEGESLGVRCD SSSVGTHRPPQLSDKYSQNLEWLRLEHIPLQAGVQKLALSVELTEAKLHHGFGETDALLK VLQSGTGEVLAPQEPAVPSSEEFYTRQKKTIETLRRQRAERLHNFRRTRSLSPQKQLGLL PSKDLPTWELDLPSRRQEYLQQLRKHIVDTTRIPEPAPGLARPPSDIELMLQEYRRAREE AKVEIAQARDRLKERTEQEKMRIRQQIISQLLKEEEKLQTLANFSSLYTSSNGSISSGVT SGYNSSPAFSGHLQSLEVSGDSQVPDSQDTWIGDWQDQSTVRNSYLYLTGSSWKSLAHSR RASMGSGCCSASSLSSLGACFSFPYQDLAKHIVSTSMADVMAACSDNLHNLFIRQATDGW NYQGEEQEVQLYYKEFSSTRHGFLGASVVSQPLSQVWAAVSDPTLWPLYHKPIQTARLHQ RVTNSISLVYLVCNTTLCELKQLRDFCCVCVEAKEGCLSIMAAQSVYDASMPRPSRKMVR GEILPSAWVLQPVIIEGKEITRVISLVQVELGAPGFPPHLLNSCIKQQPLVVAKLASFLR S >ENSMUSP00000015725.8 pep:known chromosome:GRCm38:17:26781079:26792521:1 gene:ENSMUSG00000024191.14 transcript:ENSMUST00000015725.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip1 description:BCL2/adenovirus E1B interacting protein 1 [Source:MGI Symbol;Acc:MGI:109328] MAAPQDVHVRICNQEIVKFDLEVKALIQDIRDCSGPLSELTELNTKVKEKFQQLKQRIQE LEQSAREQDKESEKQLLLQEVENHKKQMLSNQTSWRKANLTCKLAIDNLEKAELLQGGDS LRQRKTTKESLAQTSSSITESLMGISRMMSQQVQQSEEAMQTLVSSSRTLLDANEEFKSM SGTIQLGRKLITKYNRRELTDKLLIFLALALFLATVLYIVKKRLFPFL >ENSMUSP00000118933.1 pep:known chromosome:GRCm38:17:26781093:26792181:1 gene:ENSMUSG00000024191.14 transcript:ENSMUST00000135824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip1 description:BCL2/adenovirus E1B interacting protein 1 [Source:MGI Symbol;Acc:MGI:109328] MAAPQDVHVRICNQEIVKFDLEVKALIQDIRDCSGPLSELTELNTKVKEKFQQLKQRIQE LEQSAREQDKESEKQLLLQEVENHKKQMLRKTTKESLAQTSSSITESLMGISRMMSQQVQ QSEEAMQTLVSSSRTLLDANEEFKSMSGTIQLGRKLITKYNRRELTDKLLIFLALALFLA TVLYIVKKRLFPFL >ENSMUSP00000122734.1 pep:known chromosome:GRCm38:17:26781121:26792520:1 gene:ENSMUSG00000024191.14 transcript:ENSMUST00000134344.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bnip1 description:BCL2/adenovirus E1B interacting protein 1 [Source:MGI Symbol;Acc:MGI:109328] XICNQEIVKFDLEVKALIQDIRDCSGPLSELTELNTKVKEKFQQLKQRIQELEQSAREQD KESEKQLLLQEVENHKKQMLSNQTSWRKANLTCKLAIDNLEKAELLQGGDSLRQSLIHPS LVSNVL >ENSMUSP00000118354.1 pep:known chromosome:GRCm38:17:26781135:26792077:1 gene:ENSMUSG00000024191.14 transcript:ENSMUST00000126505.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bnip1 description:BCL2/adenovirus E1B interacting protein 1 [Source:MGI Symbol;Acc:MGI:109328] EIVKFDLECSSYSAKCSTQRNTILT >ENSMUSP00000116284.1 pep:known chromosome:GRCm38:17:26781158:26792425:1 gene:ENSMUSG00000024191.14 transcript:ENSMUST00000137989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bnip1 description:BCL2/adenovirus E1B interacting protein 1 [Source:MGI Symbol;Acc:MGI:109328] XVKALIQDIRDCSGPLSELTELNTKVKEKFQQLKQRIQQPDLMAKSQSYLQACHRQPGEG RTSARRRLLTAKENHQREFGPDLQLHHRESHGDQQDDVTAGATERGGYADSSQLLTDSPG CKRRV >ENSMUSP00000113460.1 pep:known chromosome:GRCm38:17:47368887:47378679:1 gene:ENSMUSG00000034729.16 transcript:ENSMUST00000120737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps10 description:mitochondrial ribosomal protein S10 [Source:MGI Symbol;Acc:MGI:1928139] MAARAACVALCRRLQQELGNSSINGSKSRTTRAGGLALASMKWVPLSNLHVDVPKDVTRP TITTSDEPDTLYKRLSILVKAHDRAVLDSYEYFAVLAAKELGISIKVHEPPRKIERFTLL KSVHIFKKHRVQYEMRTLYRCLELKHLTGSTASVYLEYIQRNLPEGVAMEVTKTQIQQLP EHIKEPMWETVPEEKEESKS >ENSMUSP00000113343.1 pep:known chromosome:GRCm38:17:47368891:47378681:1 gene:ENSMUSG00000034729.16 transcript:ENSMUST00000119945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps10 description:mitochondrial ribosomal protein S10 [Source:MGI Symbol;Acc:MGI:1928139] MAARAACVALCRRLQQELGNSSINGSKSRTTRAGGLALSASMKWVPLSNLHVDVPKDVTR PTITTSDEPDTLYKRLSILVKAHDRAVLDSYEYFAVLAAKELGISIKVHEPPRKIERFTL LKSVHIFKKHRVQYEMRTLYRCLELKHLTGSTASVYLEYIQRNLPEGVAMEVTKTQIQQL PEHIKEPMWETVPEEKEESKS >ENSMUSP00000116184.1 pep:known chromosome:GRCm38:17:47368893:47375066:1 gene:ENSMUSG00000034729.16 transcript:ENSMUST00000125963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps10 description:mitochondrial ribosomal protein S10 [Source:MGI Symbol;Acc:MGI:1928139] MAARAACVALCRRLQQRLNPGPCLHQTRVLPLKPICSLLWDSSGLLGLPSHHSSLDIVPV FAYHLLLDGTTHAALEDSTEHQMENESRKVLSNVMELGNSSINGSKSRTTRAGGLALSAS MKWVPLSNLHVDVPKDVTRPTITTSDEPDTLYKRLSILVKAHDRAVLDSYEY >ENSMUSP00000112740.1 pep:known chromosome:GRCm38:17:47369197:47378679:1 gene:ENSMUSG00000034729.16 transcript:ENSMUST00000119841.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps10 description:mitochondrial ribosomal protein S10 [Source:MGI Symbol;Acc:MGI:1928139] MKWVPLSNLHVDVPKDVTRPTITTSDEPDTLYKRLSILVKAHDRAVLDSYEYFAVLAAKE LGISIKVHEPPRKIERFTLLKSVHIFKKHRVQYEMRTLYRCLELKHLTGSTASVYLEYIQ RNLPEGVAMEVTKTQIQQLPEHIKEPMWETVPEEKEESKS >ENSMUSP00000055301.6 pep:known chromosome:GRCm38:17:47369197:47378678:1 gene:ENSMUSG00000034729.16 transcript:ENSMUST00000060752.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps10 description:mitochondrial ribosomal protein S10 [Source:MGI Symbol;Acc:MGI:1928139] MKWVPLSNLHVDVPKDVTRPTITTSDEPDTLYKRLSILVKAHDRAVLDSYEYFAVLAAKE LGISIKVHEPPRKIERFTLLKSVHIFKKHRVQYEMRTLYRCLELKHLTGSTASVYLEYIQ RNLPEGVAMEVTKTQIQQLPEHIKEPMWETVPEEKEESKS >ENSMUSP00000035069.9 pep:known chromosome:GRCm38:9:110621135:110624452:-1 gene:ENSMUSG00000032491.14 transcript:ENSMUST00000035069.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nradd description:neurotrophin receptor associated death domain [Source:MGI Symbol;Acc:MGI:1914419] MLYNVSKGVVYSDTALQGQDGDREGMWVGAGGALAPNTSSLFPPEPPGASSNIIPVYCAL LATVILGLLAYVAFKCWRSHKQRQQLAKARTVELGDPDRDQRRGDSNVFVDSPPSLEPCI PSQGPHPDLGCQLYLHIPQQQQEEVQRLLMMGEPAKGWQELAGHLGYQAEAVETMACDQM PAYTLLRNWAAQEGNRATLRVLEDALAAIGREDVVQVLSSPAESSSVV >ENSMUSP00000143785.1 pep:known chromosome:GRCm38:9:110621508:110623322:-1 gene:ENSMUSG00000032491.14 transcript:ENSMUST00000196735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nradd description:neurotrophin receptor associated death domain [Source:MGI Symbol;Acc:MGI:1914419] MLYNVSKGVVYSDTALQGQDGDREGMWVGAGGALAPNTSSLFPPEPPGASSNIIPVYCAL LATVILGLLAYVAFKCWRSHKQRQQLAKARTVELGDPDRDQRRGDSNVFVDSPPSLEPCI PSQGPHPDLGCQLYLHIPQQQQEEVQRLLMMGEPAKGWQELAGHLGYQAEAVETMACDQM PAYTLLRNWAAQEGNRATLR >ENSMUSP00000142925.1 pep:known chromosome:GRCm38:9:110621885:110624378:-1 gene:ENSMUSG00000032491.14 transcript:ENSMUST00000196876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nradd description:neurotrophin receptor associated death domain [Source:MGI Symbol;Acc:MGI:1914419] MLYNVSKGVVYSATVILGLLAYVAFKCWRSHKQRQQLAKARTVELGDPDRDQRRGDSNV >ENSMUSP00000120479.2 pep:known chromosome:GRCm38:1:192496711:192771213:-1 gene:ENSMUSG00000037375.16 transcript:ENSMUST00000128619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhat description:hedgehog acyltransferase [Source:MGI Symbol;Acc:MGI:2444681] MLPGWELTLCLLVSLGFHFRSFYEVYKVSREHEEELDQEFELEMDTLFGGLKKDPTDFEW NFWMEWGKRRLVWLFIGHMAVSQLATLLTKKHRPWIVMVYGMWACWCVLGAPGVVMVLLH STIAFCVAQFRSVLLSWLCSLLLLSTLRLQSVEEVKRRWYKTENEYYLLQFTLTVRCLYY TSFSLELCRQPPSAQPTPSAQGASHSYPWLLTYVFYYPVFHNGPILNFPEFFRQMQQPEL NSLQHSLCIVAKGLGRLLCWWWLAELMVHLMYMHALYSSAPLLESVSCWTLGGLALAQVL FFYVKYLVLFGVPALLMRLDGLTPPPLPRCVSTMFSFTGMWRYFDVGLHNFLIRYVYIPL GGSQHGLLGTLLSTATTFAFVSYWHGSYEDLWCWAALNWLGVTVESGVRRLLETPCVRET LARHLSPQAHHRLHALLAACSTSMLILFNLVFLGGIQVGKTYWNRIFLQAAFR >ENSMUSP00000046686.5 pep:known chromosome:GRCm38:1:192512828:192771223:-1 gene:ENSMUSG00000037375.16 transcript:ENSMUST00000044190.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhat description:hedgehog acyltransferase [Source:MGI Symbol;Acc:MGI:2444681] MLPGWELTLCLLVSLGFHFRSFYEVYKVSREHEEELDQEFELEMDTLFGGLKKDPTDFEW NFWMEWGKRRLVWLFIGHMAVSQLATLLTKKHRPWIVMVYGMWACWCVLGAPGVVMVLLH STIAFCVAQFRSVLLSWLCSLLLLSTLRLQSVEEVKRRWYKTENEYYLLQFTLTVRCLYY TSFSLELCRQPPSAQPTPSAQGASHSYPWLLTYVFYYPVFHNGPILNFPEFFRQMQQPEL NSLQHSLCIVAKGLGRLLCWWWLAELMVHLMYMHALYSSAPLLESVSCWTLGGLALAQVL FFYVKYLVLFGVPALLMRLDGLTPPPLPRCVSTMFSFTGMWRYFDVGLHNFLIRYVYIPL GGSQHGLLGTLLSTATTFAFVSYWHGSYEDLWCWAALNWLGVTVESGVRRLLETPCVRET LARHLSPQAHHRLHALLAACSTSMLILFNLVFLGGIQVGKTYWNRIFLQGWPWVTLSVLG FLYCYSHVDIAWAQTYTVL >ENSMUSP00000141575.1 pep:known chromosome:GRCm38:1:192543148:192771218:-1 gene:ENSMUSG00000037375.16 transcript:ENSMUST00000192585.1 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Hhat description:hedgehog acyltransferase [Source:MGI Symbol;Acc:MGI:2444681] MLPGWELTLCLLVSLGFHFRSFYEVYKVSREHEEELDQEFELEMDTLFGGLKKDPTDFEW NFWMEWGKRRLVWLFIGHMAVSQLATLLTKKHRPWIVMVYGMWACWCVLGAPGVVMVLLH STIAFCVAQFRSVLLSWLCSLLLLSTLRLQSVEEVKRRWYKTENEYYLLQFTLTVRCLYY TSFSLELCRQPPSAQPTPSAQGASHSYPWLLTYVFYYPVFHNGPILNFPEFFRQMQQPEL NSLQHSLCIVAKGLGRLLCWWWLAELMVHLMYMHALYSSAPLLESVSCWTLGGLALAQVL FFYVKYLVLFGVPALLMRLDGLTPPPLPRCVSTMFSFTGMWRYFDVGLHNFLIRYVYIPL GGSQHGLLGTLLSTATTFAFVSYWHGSYEDLWCWAALNWLGVTVESGVRRLLETPCVRET LARHLSPQAHHRLHALLAACSTSMLILFNLVFLGGIQVGKTYWNRIFLQELSLDIFWPQR PVFGALLFSQLALFYSARRNQINLNLT >ENSMUSP00000136581.1 pep:known chromosome:GRCm38:1:86133637:86162788:1 gene:ENSMUSG00000094638.1 transcript:ENSMUST00000135197.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21972 description:predicted gene 21972 [Source:MGI Symbol;Acc:MGI:5439441] XDEAEKKEEKEKKKEPEPNFQLLDNPARVMPAQLKVLSMTETCRYQPFKPLSIGGIIILK DTSEDVEELVEPVAAHGPKIEEEEQEPEPPEPFEYIDD >ENSMUSP00000027431.6 pep:known chromosome:GRCm38:1:86099026:86111970:-1 gene:ENSMUSG00000026228.6 transcript:ENSMUST00000027431.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr2b description:5-hydroxytryptamine (serotonin) receptor 2B [Source:MGI Symbol;Acc:MGI:109323] MASSYKMSEQSTTSEHILQKTCDHLILTNRSGLETDSVAEEMKQTVEGQGHTVHWAALLI LAVIIPTIGGNILVILAVALEKRLQYATNYFLMSLAIADLLVGLFVMPIALLTIMFEAIW PLPLALCPAWLFLDVLFSTASIMHLCAISLDRYIAIKKPIQANQCNSRATAFIKITVVWL ISIGIAIPVPIKGIETDVINPHNVTCELTKDRFGSFMVFGSLAAFFAPLTIMVVTYFLTI HTLQKKAYLVKNKPPQRLTRWTVPTVFLREDSSFSSPEKVAMLDGSHRDKILPNSSDETL MRRMSSVGKRSAQTISNEQRASKALGVVFFLFLLMWCPFFITNLTLALCDSCNQTTLKTL LEIFVWIGYVSSGVNPLIYTLFNKTFREAFGRYITCNYRATKSVKALRKFSSTLCFGNSM VENSKFFTKHGIRNGINPAMYQSPMRLRSSTIQSSSIILLDTLLTENDGDKAEEQVSYI >ENSMUSP00000116273.1 pep:known chromosome:GRCm38:1:86100101:86110672:-1 gene:ENSMUSG00000026228.6 transcript:ENSMUST00000155077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr2b description:5-hydroxytryptamine (serotonin) receptor 2B [Source:MGI Symbol;Acc:MGI:109323] NILVILAVALEKRLQYATNYFLMSLAIADLLVGLFVMPIALLTIMFEAIWPLPLALCPAW LFLDVLFSTASIMHLCAISLDRYIAIKKPIQANQCNSRATAFIKITVVWLISIGMWGMPL FPYGQNASSGIAIPVPIKGIETDVINPHNVTCELTKDRFGSFMVFGSLAAFFA >ENSMUSP00000005923.6 pep:known chromosome:GRCm38:3:94884093:94886961:-1 gene:ENSMUSG00000005779.12 transcript:ENSMUST00000005923.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb4 description:proteasome (prosome, macropain) subunit, beta type 4 [Source:MGI Symbol;Acc:MGI:1098257] MEAFWESRAGHWAGGPAPGQFYRIPATPSGLMDPASAPCEGPITRTQNPMVTGTSVLGVK FDGGVVIAADMLGSYGSLARFRNISRIMRVNDSTMLGASGDYADFQYLKQVLGQMVIDEE LLGDGHSYSPRAIHSWLTRAMYSRRSKMNPLWNTMVIGGYADGESFLGYVDMLGVAYEAP SLATGYGAYLAQPLLREVLEKQPVLSQTEARELVERCMRVLYYRDARSYNRFQIATVTEK GVEIEGPLSAQTNWDIAHMISGFE >ENSMUSP00000092352.2 pep:known chromosome:GRCm38:4:126150602:126162626:-1 gene:ENSMUSG00000073758.10 transcript:ENSMUST00000094760.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3d21 description:SH3 domain containing 21 [Source:MGI Symbol;Acc:MGI:1914188] MVQSELQLQPRAGRRADASNWGDFGSDKGGLGNTDIPPITPNSQRPPKLSNLTYDSPPDY LRTVSCPETCRVLFDYQPEAPDELALQKGDLVKVLRKTTEDKGWWEGECQGRRGVFPDNF VIPPPPIRKLIPRKIISRESAPIKETKKLMPKSSLPTVKKLAAAASAPGRAKTLSTPSGD SQKRPSRNSGFNGSCLNGGPRQPGRKGSRTQASQQHSASSQEDEQKSPGKGPSRSKTPTP EKTRLPDKVLAPETIPAPDKVSIPKDPVPKKAPDSDKIPATEDTTLDKAGTPESTLSGNK PAKDEALDLKMALHEDTAPALVKILTPEHMIFKKEPSRDNDQCQHLPQGGSTQRPESPAP SNNIQVPGEYSPPPDSSERSCCRVRQVNGSFPAQSKAEDVSAMEEANFLEEPLAKDERTL NKALPKKLPSERAGPQKQVLPQESAPTPQVPHTIQQMPVPEEAPTLHPLTPLTSPKSKND RMDVLESLKEEVGLLRSRLELLELKLEQKMGDVWEELKTETLLSPEVQMMQRNRKSFKHA ETQTETQTE >ENSMUSP00000095501.3 pep:known chromosome:GRCm38:4:126150602:126163491:-1 gene:ENSMUSG00000073758.10 transcript:ENSMUST00000097891.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3d21 description:SH3 domain containing 21 [Source:MGI Symbol;Acc:MGI:1914188] MEVLVLARYRAQTEDELSLAPGDVIRQVCAGPARGWLLGELRGRRGRFPKRLVQEIPEAL RGVTESRPRFPRRSRRHPINSRDPQRWCRVNFNYSPEQADELTLQTGEILEVIKEIEDGW WLGEKNGQLGAFPSNFVELLDSGPPSLGNTDIPPITPNSQRPPKLSNLTYDSPPDYLRTV SCPETCRVLFDYQPEAPDELALQKGDLVKVLRKTTEDKGWWEGECQGRRGVFPDNFVIPP PPIRKLIPRKIISRESAPIKETKKLMPKSSLPTVKKLAAAASAPGRAKTLSTPSGDSQKR PSRNSGFNGSCLNGGPRQPGRKGSRTQASQQHSASSQEDEQKSPGKGPSRSKTPTPEKTR LPDKVLAPETIPAPDKVSIPKDPVPKKAPDSDKIPATEDTTLDKAGTPESTLSGNKPAKD EALDLKMALHEDTAPALVKILTPEHMIFKKEPSRDNDQCQHLPQGGSTQRPESPAPSNNI QVPGEYSPPPDSSERSCCRVRQVNGSFPAQSKAEDVSAMEEANFLEEPLAKDERTLNKAL PKKLPSERAGPQKQVLPQESAPTPQVPHTIQQMPVPEEAPTLHPLTPLTSPKSKNDRMDV LESLKEEVGLLRSRLELLELKLEQKMGDVWEELKTETLLSPEVQMMQRNRKSFKHAETQT ETQTE >ENSMUSP00000141020.1 pep:known chromosome:GRCm38:5:138053194:138066537:1 gene:ENSMUSG00000075598.5 transcript:ENSMUST00000110967.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smok3c description:sperm motility kinase 3C [Source:MGI Symbol;Acc:MGI:3647925] MGPGSQQKSEKLRCKPPFSNMDGFHAQYVMLETIGHGGCATVKLAQHRLTGTHVAVKTIQ KRGYWCILIMSEVDLLMMADHPNVISLLQVIETKKKVYLIMELCEGKSLYQHIRKAGYLQ EHEARALFKQLLSAMNYCHNQGIVHRDLKPDNIMVEKDGKVKIIDFGLSTKVKPGQKLNL FCGTYPFSAPEVLLSTPYDGPKIDVWTLGVVLYFMVTGKIPFDACSIKRLVKRILAGKYS IPSRLSAELRDLLSLLMTANPKLRPTVAEVMVHPWVTEGSGVFPDPCEEQTPLKPDPAIV KAMGHIGFQAQDIEDSLRQRKFNQTMASYCLLKKQILKECDRPTRARPVNPSVTPFPSLV DTATTCLGLRRRENEPTCPWSSANRQVSVCGKSTSKKRDRRVSWPSVLGRPRHTAPTMDH TRTRTRSVPCICSMFCTVQPNSSEESTQGHTRASAADKPVRSRGWPRGIKGWTRMIGNAM RKLCCCIPSKETSHLGQNRVSPKK >ENSMUSP00000140749.1 pep:known chromosome:GRCm38:5:138064253:138065767:1 gene:ENSMUSG00000075598.5 transcript:ENSMUST00000178402.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smok3c description:sperm motility kinase 3C [Source:MGI Symbol;Acc:MGI:3647925] MGPGSQQKSEKLRCKPPFSNMDGFHAQYVMLETIGHGGCATVKLAQHRLTGTHVAVKTIQ KRGYWCILIMSEVDLLMMADHPNVISLLQVIETKKKVYLIMELCEGKSLYQHIRKAGYLQ EHEARALFKQLLSAMNYCHNQGIVHRDLKPDNIMVEKDGKVKIIDFGLSTKVKPGQKLNL FCGTYPFSAPEVLLSTPYDGPKIDVWTLGVVLYFMVTGKIPFDACSIKRLVKRILAGKYS IPSRLSAELRDLLSLLMTANPKLRPTVAEVMVHPWVTEGSGVFPDPCEEQTPLKPDPAIV KAMGHIGFQAQDIEDSLRQRKFNQTMASYCLLKKQILKECDRPTRARPVNPSVTPFPSLV DTATTCLGLRRRENEPTCPWSSANRQVSVCGKSTSKKRDRRVSWPSVLGRPRHTAPTMDH TRTRTRSVPCICSMFCTVQPNSSEESTQGHTRASAADKPVRSRGWPRGIKGWTRMIGNAM RKLCCCIPSKETSHLGQNRVSPKK >ENSMUSP00000129224.1 pep:known chromosome:GRCm38:12:81497941:81532911:-1 gene:ENSMUSG00000090935.10 transcript:ENSMUST00000163402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2bp description:synaptojanin 2 binding protein [Source:MGI Symbol;Acc:MGI:1344347] MNGRVDYLVTEEEINLTRGPSGLGFNIVGGTDQQYVSNDSGIYVSRIKEDGAAAQDGRLQ EGDKILSVNGQDLKNLLHQDAVDLFRNAGCAVSLRVQHRLPVQNGPIVHRGEGEPSGVPV AMVLLPVFALTMVAVWAFVRYRKQL >ENSMUSP00000129053.1 pep:known chromosome:GRCm38:12:81499142:81532899:-1 gene:ENSMUSG00000090935.10 transcript:ENSMUST00000164431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2bp description:synaptojanin 2 binding protein [Source:MGI Symbol;Acc:MGI:1344347] MNGRVDYLVTEEEINLTRGPSGKWPRSEEPAAPRCCRPLP >ENSMUSP00000109839.2 pep:known chromosome:GRCm38:12:81504121:81511430:-1 gene:ENSMUSG00000090935.10 transcript:ENSMUST00000114201.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2bp description:synaptojanin 2 binding protein [Source:MGI Symbol;Acc:MGI:1344347] MIFSGLGFNIVGGTDQQYVSNDSGIYVSRIKEDGAAAQDGRLQEGDKILSVNGQDLKNLL HQDAVDLFRNAGCAVSLRVQHRVGITCTWIWDSRLLHCSCE >ENSMUSP00000130691.1 pep:known chromosome:GRCm38:12:81504251:81532880:-1 gene:ENSMUSG00000090935.10 transcript:ENSMUST00000169158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synj2bp description:synaptojanin 2 binding protein [Source:MGI Symbol;Acc:MGI:1344347] MNGRVDYLVTEEEINLTRGPSGLGFNIVGGTDQQYVSNDSGIYVSRIKEDGAAAQDGRLQ EGDKILSVNGQDLKNLLHQDAVDLFRNAGCAVSLRVQHRVGITCTWIWDSRLLHCSCE >ENSMUSP00000106917.3 pep:known chromosome:GRCm38:1:171370099:171388287:1 gene:ENSMUSG00000006411.12 transcript:ENSMUST00000111286.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl4 description:poliovirus receptor-related 4 [Source:MGI Symbol;Acc:MGI:1918990] MPLSLGAEMWGPEAWLRLLFLASFTGQYSAGELETSDVVTVVLGQDAKLPCFYRGDPDEQ VGQVAWARVDPNEGIRELALLHSKYGLHVNPAYEDRVEQPPPPRDPLDGSVLLRNAVQAD EGEYECRVSTFPAGSFQARMRLRVLVPPLPSLNPGPPLEEGQGLTLAASCTAEGSPAPSV TWDTEVKGTQSSRSFTHPRSAAVTSEFHLVPSRSMNGQPLTCVVSHPGLLQDRRITHTLQ VAFLAEASVRGLEDQNLWQVGREGATLKCLSEGQPPPKYNWTRLDGPLPSGVRVKGDTLG FPPLTTEHSGVYVCHVSNELSSRDSQVTVEVLDPEDPGKQVDLVSASVIIVGVIAALLFC LLVVVVVLMSRYHRRKAQQMTQKYEEELTLTRENSIRRLHSHHSDPRSQPEESVGLRAEG HPDSLKDNSSCSVMSEEPEGRSYSTLTTVREIETQTELLSPGSGRTEEDDDQDEGIKQAM NHFVQENGTLRAKPTGNGIYINGRGHLV >ENSMUSP00000006578.3 pep:known chromosome:GRCm38:1:171370352:171388598:1 gene:ENSMUSG00000006411.12 transcript:ENSMUST00000006578.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl4 description:poliovirus receptor-related 4 [Source:MGI Symbol;Acc:MGI:1918990] MPLSLGAEMWGPEAWLRLLFLASFTGQYSAGELETSDVVTVVLGQDAKLPCFYRGDPDEQ VGQVAWARVDPNEGIRELALLHSKYGLHVNPAYEDRVEQPPPPRDPLDGSVLLRNAVQAD EGEYECRVSTFPAGSFQARMRLRVLVPPLPSLNPGPPLEEGQGLTLAASCTAEGSPAPSV TWDTEVKGTQSSRSFTHPRSAAVTSEFHLVPSRSMNGQPLTCVVSHPGLLQDRRITHTLQ VAFLAEASVRGLEDQNLWQVGREGATLKCLSEGQPPPKYNWTRLDGPLPSGVRVKGDTLG FPPLTTEHSGVYVCHVSNELSSRDSQVTVEVLDPEDPGKQVDLVSASVIIVGVIAALLFC LLVVVVVLMSRYHRRKAQQMTQKYEEELTLTRENSIRRLHSHHSDPRSQPEESVGLRAEG HPDSLKDNSSCSVMSEEPEGRSYSTLTTVREIETQTELLSPGSGRTEEDDDQDEGIKQAM NHFVQENGTLRAKPTGNGIYINGRGHLV >ENSMUSP00000091883.4 pep:known chromosome:GRCm38:1:171370355:171388285:1 gene:ENSMUSG00000006411.12 transcript:ENSMUST00000094325.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl4 description:poliovirus receptor-related 4 [Source:MGI Symbol;Acc:MGI:1918990] MPLSLGAEMWGPEAWLRLLFLASFTGQYSAGELETSDVVTVVLGQDAKLPCFYRGDPDEQ VGQVAWARVDPNEGIRELALLHSKYGLHVNPAYEDRVEQPPPPRDPLDGSVLLRNAVQAD EGEYECRVSTFPAGSFQARMRLRVLVPPLPSLNPGPPLEEGQGLTLAASCTAEGSPAPSV TWDTEVKGTQSSRSFTHPRSAAVTSEFHLVPSRSMNGQPLTCVVSHPGLLQDRRITHTLQ VAFLAEASVRGLEDQNLWQVGREGATLKCLSEGQPPPKYNWTRLDGPLPSGVRVKGDTLG FPPLTTEHSGVYVCHVSNELSSRDSQVTVEVLDPEDPGKQVDLVSASVIIVGVIAALLFC LLVVVVVLMSRYHRRKAQQMTQKYEEELTLTRENSIRRLHSHHSDPRSQSEEPEGRSYST LTTVREIETQTELLSPGSGRTEEDDDQDEGIKQAMNHFVQENGTLRAKPTGNGIYINGRG HLV >ENSMUSP00000078453.4 pep:known chromosome:GRCm38:X:122394565:122397401:-1 gene:ENSMUSG00000055733.6 transcript:ENSMUST00000079490.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l3 description:nucleosome assembly protein 1-like 3 [Source:MGI Symbol;Acc:MGI:1859565] MAEADPKMVTEPGAHGVAEEAMASTACDSGDESDSNSSSSTNSCSSSGSSSSGSSSSSSS SSSSSSSSSSSSSGSSGSSSNGSHLNRKKRVPEPSRRAQRRPSGKLFLDKLPQAVRNRVQ ALRNIQNECDKVDTLFLRAIHDLERKYAELNKPLYDKRFQIINAEYEPTEEECEWNSEEE FSGDEEMQDDTPNEMPPLEGEEEEESCNEKAEVKEEGTHVPEEVPEAKVEEEEAPKETPE VKTEEKDIPKEGAEEKAEEQESSKEIPEVKGEEKADSTDCIDIAPEEKEDVKEVTQANTE NKDQPTEEFTPRAPAREAQKRVPETRPEEGVNIKRARKGKPKKEDPKGIPDYWLTVLKNV DKLGPMIQKCDEPILKFLSDVSLKFSNPGQPIGYTFEFHFLPNPYFRNELLMKTYIIRSK PDHYDPFFAWGWEIEECKGCKIDWRRGKDVTVTTTRSRPGITGEIEVQPRVVPNASFFNF FSPPEIPLIGKLEPREDAILDEDFEIGQILHDNVILKSIYYFTGEINDPYYHDFRDYGNR KYYK >ENSMUSP00000108934.2 pep:known chromosome:GRCm38:1:86154798:86276697:1 gene:ENSMUSG00000062590.13 transcript:ENSMUST00000113309.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc9 description:armadillo repeat containing 9 [Source:MGI Symbol;Acc:MGI:1926045] MGDILAHESELLGLVKEYLDFAEFEDTLKTFSKECKVKGKPLCKNVGGPLKKDSKSLVIQ RDLVAAFDSGDQKAFFDLWEGHIPSSVRDTDSLAQKLEFYLHIHFAIYLLKYCRGRPDKQ ELDKRISYFKTYLETKGAALSQTTEFLPFYALPFVPNPMVHPSFKELFQDSWTPELKLKL EKFLALTFKANNTPKLLTIYKENGPNSKELLQQLHQQLVEAERRAMTYLKRYNKIQADYH NLIGVTAELVDSLEATVSGKMITPEYLQSVCVRLFSNQMRQSLAHSVDFTRPGTASTMLR ASLAPEKLKDVPLLPSLDYEKLKKDLIWGSDRLKAFLLQALRWRLTTSHPGEQRETVLQA YISNDLLDCHSHNQRSVLQLLHSKSEAVRQYMARLINALASLAEGRLYLAQNTKVLRMLE GRLKEEDKDVITRENVLGALQKFSLRRPLQTAMIRDGLIFWLIDLLKDPDCLSDYTLEYS VALLMNLCLRSAGKNMCAKVAGLMLKVLSDLLGHENHEIQPYVNGALYSILSIPSIREEA RAMGMEDILRCFIKEGNAEMIRQIEFIIKQLNSEDLLDGVLESDDDEDEDDEEDHDIMEA DLDKDELIQPQLGELSGEKLLTTEYLGIMTNTGKARRKGPASVQWSGDEPLRRPVTPGGH RTGCPVLGDHLISPQNAQQARNGCLRAMPVAHPDDYKEGKPGVTGCGTSSSSVMDHKPRE WSPAGHQKSRLVPTAALGWPREMTQDPSSGHITREFVPAFTCKPQVPSTPETVEQNPLKA KALSLAPQFSSSGPQQASRPASTASSTRGLHSSQSIRK >ENSMUSP00000139539.1 pep:known chromosome:GRCm38:1:86154799:86164837:1 gene:ENSMUSG00000062590.13 transcript:ENSMUST00000186509.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc9 description:armadillo repeat containing 9 [Source:MGI Symbol;Acc:MGI:1926045] MGDILAHESELLGLVKEYLDFAEFEDTLKTFSKECKVKGKPLCKNVGGPLKKDSKSLVIQ DKQELDKRISYFKTYLETKGAALSQTTEFLPFYALPFVPNPMVHPSF >ENSMUSP00000027434.8 pep:known chromosome:GRCm38:1:86154810:86278284:1 gene:ENSMUSG00000062590.13 transcript:ENSMUST00000027434.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc9 description:armadillo repeat containing 9 [Source:MGI Symbol;Acc:MGI:1926045] MGDILAHESELLGLVKEYLDFAEFEDTLKTFSKECKVKGKPLCKNVGGPLKKDSKSLVIQ RDLVAAFDSGDQKAFFDLWEGHIPSSVRDTDSLAQKLEFYLHIHFAIYLLKYCRGRPDKQ ELDKRISYFKTYLETKGAALSQTTEFLPFYALPFVPNPMVHPSFKELFQDSWTPELKLKL EKFLALTFKANNTPKLLTIYKENGPNSKELLQQLHQQLVEAERRAMTYLKRYNKIQADYH NLIGVTAELVDSLEATVSGKMITPEYLQSVCVRLFSNQMRQSLAHSVDFTRPGTASTMLR ASLAPEKLKDVPLLPSLDYEKLKKDLIWGSDRLKAFLLQALRWRLTTSHPGEQRETVLQA YISNDLLDCHSHNQRSVLQLLHSKSEAVRQYMARLINALASLAEGRLYLAQNTKVLRMLE GRLKEEDKDVITRENVLGALQKFSLRRPLQTAMIRDGLIFWLIDLLKDPDCLSDYTLEYS VALLMNLCLRSAGKNMCAKVAGLMLKVLSDLLGHENHEIQPYVNGALYSILSIPSIREEA RAMGMEDILRCFIKEGNAEMIRQIEFIIKQLNSEDLLDGVLESDDDEDEDDEEDHDIMEA DLDKDELIQPQLGELSGEKLLTTEYLGIMTNTGKARRKGPASVQWSGDEPLRRPVTPGGH RTGCPVLGDHLISPQNAQQARNGCLRAMPVAHPDDYKEGKPGVTGCGTSSSFMDHKPREW SPAGHQKSRLVPTAALGWPREMTQDPSSGHITREFVPAFTCKPQVPSTPETVEQNPLKAK ALSLAPQFSSSGPQQASRPASTASSTRGLHSSQSIRK >ENSMUSP00000117267.1 pep:known chromosome:GRCm38:1:86154815:86252489:1 gene:ENSMUSG00000062590.13 transcript:ENSMUST00000131412.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc9 description:armadillo repeat containing 9 [Source:MGI Symbol;Acc:MGI:1926045] MGDILAHESELLGLVKEYLDFAEFEDTLKTFSKECKVKGKPLCKNVGGPLKKDSKSLVIQ RDLVAAFDSGDQKAFFDLWEGHIPSSVRDTDSLAQKLEFYLHIHFAIYLLKYCRGRPDKQ ELDKRISYFKTYLETKGAALSQTTEFLPFYALPFVPNPMVHPSFKELFQDSWTPELKLKL EKFLALTFKANNTPKLLTIYKENGPNSKELLQQLHQQLVEAERRAMTYLKRYNKIQADYH NLIGVTAELVDSLEATVSGKMITPEYLQSVCVRLFSNQMRQSLAHSVDFTRPGTASTMLR ASLAPEKLKDVPLLPSLDYEKLKKDLIWGSDRLKAFLLQALRWRLTTSHPGEQRETVLQA YISNDLLDCHSHNQRSVLQLLHSKSEAVRQYMARLINALASLAEGRLYLAQNTKVLRMLE GRLKEEDKDVITRENVLGALQKFSLRRPLQTAMIRDGLIFWLIDLLKDPDCLSDYTLEYS VALLMNLCLRSAGKNMCAKVAGLMLKVLSDLLGHENHEIQPYVNGALYSILSIPSIREEA RAMGMEDILRCFIKEGNAEMIRQIEFIIKQLNSEDLLDGVLESDDDEDEDDEEDHDIMEA DLDKDELIQPQLGELSGEKLLTTEYLGIMTNTGKARRKGPASVQWSGDEPLRRPVTPGGH RTGCPV >ENSMUSP00000116908.1 pep:known chromosome:GRCm38:1:86196390:86252392:1 gene:ENSMUSG00000062590.13 transcript:ENSMUST00000150059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc9 description:armadillo repeat containing 9 [Source:MGI Symbol;Acc:MGI:1926045] DVITRENVLGALQKFSLRRPLQTAMIRDGLIFWLIDLLKDPDCLSDYTLEYSVALLMNLC LRSAGKNMCAKVAGLMLKVLSDLLGHENHEIQPYVNGALYSILSIPSIREEARAMGMEDI LRCFIKEGNAEMIRQIEFIIKQLNSEDLLDGVLESDDDEDEDDETGSHGVQAGLELCNYL KEDHDIMEADLDKDELIQPQLGELSGEKLLTTEYLGIMTNTGKARRKGPASVQWSGDEPL RRPVTPGGHRTGCPV >ENSMUSP00000119749.1 pep:known chromosome:GRCm38:1:86202496:86252489:1 gene:ENSMUSG00000062590.13 transcript:ENSMUST00000156675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc9 description:armadillo repeat containing 9 [Source:MGI Symbol;Acc:MGI:1926045] PYVNGALYSILSIPSIREEARAMGMEDILRCFIKEGNAEMIRQIEFIIKQLNSDLLDGVL ESDDDEDEDDEEDHDIMEADLDKDELIQPQLGELSGEKLLTTEYLGIMTNTGKARRKGPA SVQWSGDEPLRRPVTPGGHRTGCPV >ENSMUSP00000116445.1 pep:known chromosome:GRCm38:1:86244566:86269086:1 gene:ENSMUSG00000062590.13 transcript:ENSMUST00000126579.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Armc9 description:armadillo repeat containing 9 [Source:MGI Symbol;Acc:MGI:1926045] EDHDIMEADLDKDELIQPQLGELSGEKLLTTEYLGARRPSHFSPECPTSQKRLPAGHASR SSR >ENSMUSP00000096813.3 pep:known chromosome:GRCm38:2:152411955:152415027:-1 gene:ENSMUSG00000074682.4 transcript:ENSMUST00000099207.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc3 description:zinc finger, CCHC domain containing 3 [Source:MGI Symbol;Acc:MGI:1915167] MATGGGAEEESQRGRPQLPLPARPVARVEEPEGVREKMGWAQVVKNLAEKKGDFREPRRR DETGSGASGGLGSPGGLATPNPGDFPPAARGDPKGRRRDPTGEASDAYRKKSASGAGDPS RRKKAEVTAAMATPARPGTTEDATERPLQDEPPAAGPGKGRFLVRICFQGDESACPTRDF VVGALILRSIGMDPDDIYAVIQIPGSREFDVSFRSAEKLALFLRVYEEKRELEDCWENFV VLGRSRSSLKTLFILFRNETVDVEDIVTWLKRHCDVLAVPVKVTDRFGIWTGEYKCEIEL RQGEGGVRHLPGAFFLGAERGYSWYKGQPKTCFKCGSRTHMSGTCTQDRCFRCGEEGHLS PYCRKVIVCNLCGKRGHAFAQCPKAVHNSVTAQLTSVAGH >ENSMUSP00000047720.6 pep:known chromosome:GRCm38:14:51884842:51891868:1 gene:ENSMUSG00000004561.14 transcript:ENSMUST00000047899.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl17 description:methyltransferase like 17 [Source:MGI Symbol;Acc:MGI:1098577] MATARTPRFLLLFCRSCRSLRGPQSRAYAALVPGVSQVDNGSDFLGKKPHRKHPGILHLP HVQLPQALADAAQLMLFERPMRSVEKQVQALTNYLWSRHLPVEPEELQRRAAYLEKKFLE KQDSAPTEEKLREAVLHALRKTTYHWQELSYSEELSLIYMAARLDGGFAAVFRAFHEIQA RIPEFRPQTLMDFGSGTGSVAWAAHRTWGQSLREYVCVDSSAAMLGLAEKLLKGGSESGK PCIPGVFFRQFLPVSPKVQFDVVVSAYALSELPSRADRIEVIQNLWRKTSHFLVLVENGT KAGHRLLMDARNLVLGEKEKSPLDLRPSFVFAPCPHELPCPQLNASKSLACSFSQAYHPI PFNWNKKPKEEIFSMVILARGSPKEANRWPRITQPVLKRPRHVHCHLCCPDGHMQHAVVT ARRHGRDLYRCARVSSWGDLLPVIAPSEFPPSSPDEEPPEN >ENSMUSP00000130565.1 pep:known chromosome:GRCm38:14:51884878:51889584:1 gene:ENSMUSG00000004561.14 transcript:ENSMUST00000168217.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl17 description:methyltransferase like 17 [Source:MGI Symbol;Acc:MGI:1098577] MATARTPRFLLLFCRSCRSLRGPQSRAYAALVPGVSQVDNGSDFLGKKPHRKHPGILHLP HVQLPQALADAAQLMLFV >ENSMUSP00000132354.1 pep:known chromosome:GRCm38:14:51884889:51891793:1 gene:ENSMUSG00000004561.14 transcript:ENSMUST00000165100.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl17 description:methyltransferase like 17 [Source:MGI Symbol;Acc:MGI:1098577] MATARTPRFLLLFCRSCRSLRGPQSRAYAALVPGVSQVDNGSDFLGKKPHRKHPGILHLP HVQLPQALADAAQLMLFERPMRSVEKQVQALTNYLWSRHLPVEPEELQRRAAYLEKKFLE KQDSAPTEEKLREAVLHALRKTTYHWQELSYSEELSLIYMAARLDGGFAAVFRAFHEIQA RIPEFRPQTLMDFGSGTGSVAWAAHRTWGQSLREYVCVDSSAAMLGLAEKLLKGPV >ENSMUSP00000130038.1 pep:known chromosome:GRCm38:14:51884889:51891793:1 gene:ENSMUSG00000004561.14 transcript:ENSMUST00000164252.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl17 description:methyltransferase like 17 [Source:MGI Symbol;Acc:MGI:1098577] MATARTPRFLLLFCRSCRSLRGPQSRAYAALVPGVSQVDNGSDFLGKKPHRKHPGILHLP HVQLPQALADAAQLMLFERPMRSVEKQVQALTNYLWSRHLPVEPEELQRRAAYLEKKFLE KQDSAPTEEKLREAVLHALRKTTYHWQELSYSEELSLIYMAARLDGGFAAVFRAFHEIQA RIPEFRPQTLMDFGSGTGSVAWAAHRTWGQSLREYVCVDSSAAMLGLAEKLLKGPV >ENSMUSP00000130200.1 pep:known chromosome:GRCm38:14:51884946:51891735:1 gene:ENSMUSG00000004561.14 transcript:ENSMUST00000164902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl17 description:methyltransferase like 17 [Source:MGI Symbol;Acc:MGI:1098577] MATARTPRFLLLFCRSCRSLRGPQSRAYAALVPGVSQVDNGSDFLGKKPHRKHPGILHLP HVQLPQALADAAQLMLFERPMRSVEKQVQALTNYLWSRHLPVEPEELQRRAAYLEKKFLE KQDSAPTEEKLREAVLHALRKTTYHWQELSYSEELSLIYMAARLDGGFAAVFRAFHEIQA RIPEFRPQTLMDFGSGTGSVAWAAHRTWGQSLREYVCVDSSAAMLGLAEKLLKGGSESGK PCIPGVFFRQFLPVSPKVQFDVVVSAYALSELPSRADRIEVIQNLWRKTSHFLVLVENGT KAGHRLLMDARNLVLGEKEKSPLDLRPSFVFAPCPHELPCPQLNASKSLACSFSQAYHPI PFNWNKKPKEEIFSMVILARGSPKEANRWPRITQPVLKRPRHVHCHLCCPDGHMQHAVVT ARRHGRYRRYDHQSKCRKTCSSSNASLSCHRDLYRCARVSSWGDLLPVIAPSEFPPSSPD EEPPEN >ENSMUSP00000126425.1 pep:known chromosome:GRCm38:14:51885141:51885420:1 gene:ENSMUSG00000004561.14 transcript:ENSMUST00000167984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl17 description:methyltransferase like 17 [Source:MGI Symbol;Acc:MGI:1098577] MLFERPMRSVEKQV >ENSMUSP00000129973.1 pep:known chromosome:GRCm38:14:51885402:51890728:1 gene:ENSMUSG00000004561.14 transcript:ENSMUST00000165568.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl17 description:methyltransferase like 17 [Source:MGI Symbol;Acc:MGI:1098577] SVEKQVQALTNYLWSRHLPVEPEELQRRAAYLEKKFLEKQDRNGEGWEACTSRDLSRSPK SSPPVLLTLDSAPTEEKLREAVLHALRKTTYHWQELSYSEELSLIYMAARLDGGFAAVFR AFHEIQARIPEFRPQTLMDFGSGTGSVAWAAHRTWGQSLREYVCVDSSAAMLGLAEKLLK GGSESGKPCIPGVFFRQFLPVSPKVQFDVVVSAYALSELPSRADRIEVIQNLWRKTSHFL VLVENGTKAGHRLLMDARNLVLGEKEKSPLDLRPSFVFAP >ENSMUSP00000077390.5 pep:known chromosome:GRCm38:12:81376991:81379464:-1 gene:ENSMUSG00000072974.4 transcript:ENSMUST00000062182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4787 description:predicted gene 4787 [Source:MGI Symbol;Acc:MGI:3646380] MAPFLRPPTWTLALLRGALWLSVLWALLSPACCSRSPPKWRFSTSEIVIPRKVPQRMGKS DMSGHITYSMRFRGQRHVVHMKLKKNMIPQNFPVYTSNDQGAQQKDYPFVPRDCYFYSYL EGVPGSQATLDTCTGGLKGMIQVDDFTYEIKPLASSSKFEHVISLLVVDERSRESKKCRN DEIMAKVGNLPEETKLAGSPRAAPVYLWRYHSKNVGIQYTVTHELYTQIGSNSTASIELI LIMTSISHSIYKTSGMFVFARAACIWNDKDHYSISSFYNNVWRLMELFGYWKAGYFWHME HATTALLLGRKLGNTDYAGFQDGICNPNWGVLYTYVGTNHFFLAGSFLAHAVGHLLNVRH DTPGCVCFRRSSCLMDEFPTLQDMISNCSHDVLHMRIHGWDPCMSEVRRTHNIQNVPRCG NKIVEGSESCDCGSVKDCTTDKCCEVDCEFTQGSSCNKGGCCLSCKFAPTGTICRDKNGI CDLPEYCSGASEHCPGNFYIMDGTPCSPLAVCIAGNCTDRHLQCQALFGYQVKDSSPACY HELNVKGDRFGNCGVRIKRGGSQTVPCQKEDVFCGMLHCDGVKRIVGGGEHTTFYHLKVQ DVKEVQCFGYDIHHGLDLPEIGLVMDGATCGPGKYCKNQRCVFHQTLKFNCNISSCNFRG VCNNQGNCHCVQGWQPPKCLQRGKGGSVNSGPITNPLKRYRAKIHVSINKLLIILGARIF LIVALIIFGALAKAVLRPEVPQRPPANS >ENSMUSP00000097938.3 pep:known chromosome:GRCm38:11:102885169:102886731:1 gene:ENSMUSG00000075510.3 transcript:ENSMUST00000100369.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam187a description:family with sequence similarity 187, member A [Source:MGI Symbol;Acc:MGI:1914034] MSLAHTTVLLWAWGSLQAFEIVEKESVFQRTPCPAFLVFDNAAYLADMSFELPCHCKPED VSAVVWYYQKHLGSKRTTVLTDFDGRLLTEAAHVRVGSSMLVRFSIRMFSLLVFRAQPED TGLYFCGTREGDYFYAYDVDIQSNKEIVASFKDMAQEPLPDEYYGALHVFTTFWEWTPCD RCGVRGEQWRFGLCYLQYPDLSPRYIKTRSAVVSCGSGAVPWKLHLQTKYHTPELQFQSC LVSCQKRNKTRKGVLAIYSYVSKLGSRPWVPQVPIQFHQQRLGHGLIISCPGARPEHAVA WDKDNQPLYRAQYLKGVNRSMRVFIDHGNHLHIRFTQLSDRGIYYCWLQGLKIAGFRLGV ITRGRYPASLSDPETRTAIELTLMGYLLITIFFITIHLCRCCCQSRCCPNFSAQTLL >ENSMUSP00000082427.3 pep:known chromosome:GRCm38:12:81419443:81421946:-1 gene:ENSMUSG00000072972.3 transcript:ENSMUST00000085319.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam4 description:a disintegrin and metallopeptidase domain 4 [Source:MGI Symbol;Acc:MGI:104731] MAPFLRPSTWTLALLRGALWLSVLWALLSPACCSRSPPKWRFSTSEIVIPRKVPQRMGKS DMSGHITYSMRFRGQRHVVHMKLKKNMIPQNFPVYTSNDQGAQQKDYPFVPRDCYFYSFL EGVPGSQAILDTCTGGLKGMIQVDDFTYEIKPLASSSKFEHVISLLVVDERSRESKKCRN DEIMAKAGDLSEETKLAGSPRAAPVYLWRYHLKSVGIHYTVTHDLFKQTGSNSSTSVELI LIMNSISDSIYKISGLIVYARGVWIWNTKNLHTVPGENPHLDPWSVMAGFGVWKSKELHD LYATTAILLASRPKNTDYGSFQNGLCNPNWGVLFTYVGKNHLFLAGSFLAHAVGHLLDVS HDTPGCVCFRRSSCLMDEFPTLHDMISNCSHNELHRRIHDWDPCLSLELKTAKEVNMYEV PRCGNKRVEASEKCDCGSVKDCTTDKCCEVNCEFTQGSSCAAGGCCLSCKFAPTGTICRD KNGICDLPEYCSGASEHCPGNFYIMDGTPCSPLAVCIAGNCSDRHLQCQALFGYQVKDGS PACYNELNVKGDRFGNCGIRIIRGGSQPVPCQKEDVFCGMIHCDGVSHIPGGGEHTTFYH LKVQDVKEEQCFGYDAHHGTELPEMGLVVDGATCGPGKYCKAQRCVAHPTLNFNCNISSC NFRGVCNNKGNCHCVRGWQPPHCLQIGKGGSTNSGPPPTTQKKHQAEIHVSANRLIVILS IRMILILASILLGAVFKAIFVSETTEEEQHSAVNRQLTSYQTI >ENSMUSP00000077563.5 pep:known chromosome:GRCm38:1:192190774:192510159:1 gene:ENSMUSG00000058248.12 transcript:ENSMUST00000078470.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh1 description:potassium voltage-gated channel, subfamily H (eag-related), member 1 [Source:MGI Symbol;Acc:MGI:1341721] MTMAGGRRGLVAPQNTFLENIVRRSNDTNFVLGNAQIVDWPIVYSNDGFCKLSGYHRAEV MQKSSACSFMYGELTDKDTVEKVRQTFENYEMNSFEILMYKKNRTPVWFFVKIAPIRNEQ DKVVLFLCTFSDITAFKQPIEDDSCKGWGKFARLTRALTSSRGVLQQLAPSVQKGENVHK HSRLAEVLQLGSDILPQYKQEAPKTPPHIILHYCVFKTTWDWIILILTFYTAILVPYNVS FKTRQNNVAWLVVDSIVDVIFLVDIVLNFHTTFVGPAGEVISDPKLIRMNYLKTWFVIDL LSCLPYDVINAFENVDEVSAFMGDPGKIGFADQIPPPLEGRESQGISSLFSSLKVVRLLR LGRVARKLDHYIEYGAAVLVLLVCVFGLAAHWMACIWYSIGDYEIFDEDTKTIRNNSWLY QLALDIGTPYQFNGSGSGKWEGGPSKNSVYISSLYFTMTSLTSVGFGNIAPSTDIEKIFA VAIMMIGSLLYATIFGNVTTIFQQMYANTNRYHEMLNSVRDFLKLYQVPKGLSERVMDYI VSTWSMSRGIDTEKVLQICPKDMRADICVHLNRKVFKEHPAFRLASDGCLRALAMEFQTV HCAPGDLIYHAGESVDSLCFVVSGSLEVIQDDEVVAILGKGDVFGDVFWKEATLAQSCAN VRALTYCDLHVIKRDALQKVLEFYTAFSHSFSRNLILTYNLRKRIVFRKISDVKREEEER MKRKNEAPLILPPDHPVRRLFQRFRQQKEARLAAERGGRDLDDLDVEKGNALTDHTSANH SLVKASVVTVRESPATPVSFQAATTSTMSDHAKLHAPGSECLGPKAVSCDPAKRKGWARF KDACGKGEDWNKVSKAESMETLPERTKAPGEATLKKTDSCDSGITKSDLRLDNVGETRSP QDRSPILAEVKHSFYPIPEQTLQATVLEVKYELKEDIKALNAKMTSIEKQLSEILRILMS RGSAQSPQETGEISRPQSPESDRDIFGAS >ENSMUSP00000106468.1 pep:known chromosome:GRCm38:1:192190774:192510159:1 gene:ENSMUSG00000058248.12 transcript:ENSMUST00000110844.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh1 description:potassium voltage-gated channel, subfamily H (eag-related), member 1 [Source:MGI Symbol;Acc:MGI:1341721] MTMAGGRRGLVAPQNTFLENIVRRSNDTNFVLGNAQIVDWPIVYSNDGFCKLSGYHRAEV MQKSSACSFMYGELTDKDTVEKVRQTFENYEMNSFEILMYKKNRTPVWFFVKIAPIRNEQ DKVVLFLCTFSDITAFKQPIEDDSCKGWGKFARLTRALTSSRGVLQQLAPSVQKGENVHK HSRLAEVLQLGSDILPQYKQEAPKTPPHIILHYCVFKTTWDWIILILTFYTAILVPYNVS FKTRQNNVAWLVVDSIVDVIFLVDIVLNFHTTFVGPAGEVISDPKLIRMNYLKTWFVIDL LSCLPYDVINAFENVDEGISSLFSSLKVVRLLRLGRVARKLDHYIEYGAAVLVLLVCVFG LAAHWMACIWYSIGDYEIFDEDTKTIRNNSWLYQLALDIGTPYQFNGSGSGKWEGGPSKN SVYISSLYFTMTSLTSVGFGNIAPSTDIEKIFAVAIMMIGSLLYATIFGNVTTIFQQMYA NTNRYHEMLNSVRDFLKLYQVPKGLSERVMDYIVSTWSMSRGIDTEKVLQICPKDMRADI CVHLNRKVFKEHPAFRLASDGCLRALAMEFQTVHCAPGDLIYHAGESVDSLCFVVSGSLE VIQDDEVVAILGKGDVFGDVFWKEATLAQSCANVRALTYCDLHVIKRDALQKVLEFYTAF SHSFSRNLILTYNLRKRIVFRKISDVKREEEERMKRKNEAPLILPPDHPVRRLFQRFRQQ KEARLAAERGGRDLDDLDVEKGNALTDHTSANHSLVKASVVTVRESPATPVSFQAATTST MSDHAKLHAPGSECLGPKAVSCDPAKRKGWARFKDACGKGEDWNKVSKAESMETLPERTK APGEATLKKTDSCDSGITKSDLRLDNVGETRSPQDRSPILAEVKHSFYPIPEQTLQATVL EVKYELKEDIKALNAKMTSIEKQLSEILRILMSRGSAQSPQETGEISRPQSPESDRDIFG AS >ENSMUSP00000141247.1 pep:known chromosome:GRCm38:1:192434740:192510159:1 gene:ENSMUSG00000058248.12 transcript:ENSMUST00000151152.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh1 description:potassium voltage-gated channel, subfamily H (eag-related), member 1 [Source:MGI Symbol;Acc:MGI:1341721] GDVFWKEATLAQSCANVRALTYCDLHVIKRDALQKVLEFYTAFSHSFSRNLILTYNLRKR DPRPKNPLPLSWGPKNIEDPTQTMKISLERLCSGRSAT >ENSMUSP00000021307.3 pep:known chromosome:GRCm38:11:102881204:102885216:1 gene:ENSMUSG00000020930.9 transcript:ENSMUST00000021307.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc103 description:coiled-coil domain containing 103 [Source:MGI Symbol;Acc:MGI:1920543] MEKNDVINFKALEKELQAALAADEKYKRENAAKLRAVEQRVPSYEEFRGIVLASHLKPLE QKDKMGGKRFVPWNCHTTRERTSQDVVTEIPQEKSPFQPTTSAEFYRDWRRHLRSGPERY QALLQLGGPKLGHLFQMDVGFGLLGELLVALAEHARLSDRTAVLGILHSLANTGRFNLNL SLLSHAERESCQRLFQKLQAMSTTRPMQEGLTVEEPSAGLQGEEGLLQELLELYGVH >ENSMUSP00000125214.1 pep:known chromosome:GRCm38:11:102881245:102884523:1 gene:ENSMUSG00000020930.9 transcript:ENSMUST00000159834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc103 description:coiled-coil domain containing 103 [Source:MGI Symbol;Acc:MGI:1920543] MEKNDVINFKALEKELQAALAADEKYKRENAAKLRAVEQRVPSYEEFRGIVLASHLKPLE QKDKMGGKRFVPWNCHTTRERTSQDVVTEIPQLQSGKKSSEPVSL >ENSMUSP00000118426.1 pep:known chromosome:GRCm38:17:71552061:71578700:1 gene:ENSMUSG00000052525.14 transcript:ENSMUST00000124001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdya description:speedy/RINGO cell cycle regulator family, member A [Source:MGI Symbol;Acc:MGI:1918141] MRHNQMYCETPPTVTIHVKSGSNRSHQTRKPISLKRPILKDSWEASENNAQNNKSKRPRG PCLIIQRQEMTAFFKLFDDDLIQDFLWMDCCCKIADKYLLAMTFVYFKRAKFTINEHTRI NFFIALYLANTVEEDEEEAKYEIFPWALGKNWRKLFPNFLKLRDQLWDRIDYRAIVSRRC CEEVMAIAPTHYIWQRERSVHHSGAVRNYNRDEVHLPRGPSATPVDCSLCGKKGRYVRLG LSSSSSSSSDTGELMEKDSQELHSAFSVDTAGDPPHTYSQGMA >ENSMUSP00000063214.5 pep:known chromosome:GRCm38:17:71552072:71589533:1 gene:ENSMUSG00000052525.14 transcript:ENSMUST00000064420.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdya description:speedy/RINGO cell cycle regulator family, member A [Source:MGI Symbol;Acc:MGI:1918141] MRHNQMYCETPPTVTIHVKSGSNRSHQTRKPISLKRPILKDSWEASENNAQNNKSKRPRG PCLIIQRQEMTAFFKLFDDDLIQDFLWMDCCCKIADKYLLAMTFVYFKRAKFTINEHTRI NFFIALYLANTVEEDEEEAKYEIFPWALGKNWRKLFPNFLKLRDQLWDRIDYRAIVSRRC CEEVMAIAPTHYIWQRERSVHHSGAVRNYNRDEVHLPRGPSATPVDCSLCGKKGRYVRLG LSSSSSSSSDTGELMEKDSQELHSAFSVDTAGDPPHTYSQANDHQSNKENETNFVKKNKS VEWFAESEE >ENSMUSP00000118994.1 pep:known chromosome:GRCm38:17:71556258:71588674:1 gene:ENSMUSG00000052525.14 transcript:ENSMUST00000144142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdya description:speedy/RINGO cell cycle regulator family, member A [Source:MGI Symbol;Acc:MGI:1918141] MRHNQMYCETPPTVTIHVKSGSNRSHQTRKPISLKRPILKDSWEASENNAQNNKSKRPRG PCLIIQRQEMTAFFKLFDDDLIQDFLWMDCCCKIADKYLLAMTFVYFKRAKFTINEHTRI NFFIALYLANTVEEDEEEAKYEIFPWALGKNWRKLFPNFLKLRDQLWDRIDYRAIVSRRC CEEVMAIAPTHYIWQRERSVHHSGAVRNYNRDEVHLPRGPSATPVDCSLCGKKGRYVRLG LSSSSSSSSDTGELMEKDSQELHSAFSVDTAGDPPHTYSQVANDHQSNKENETNFVKKNK SVEWFAESEE >ENSMUSP00000125912.1 pep:known chromosome:GRCm38:17:71552061:71589533:1 gene:ENSMUSG00000052525.14 transcript:ENSMUST00000167641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spdya description:speedy/RINGO cell cycle regulator family, member A [Source:MGI Symbol;Acc:MGI:1918141] MRHNQMYCETPPTVTIHVKSGSNRSHQTRKPISLKRPILKDSWEASENNAQNNKSKRPRG PCLIIQRQEMTAFFKLFDDDLIQDFLWMDCCCKIADKYLLAMTFVYFKRAKFTINEHTRI NFFIALYLANTVEEDEEEAKYEIFPWALGKNWRKLFPNFLKLRDQLWDRIDYRAIVSRRC CEEVMAIAPTHYIWQRERSVHHSGAVRNYNRDEVHLPRGPSATPVDCSLCGKKGRYVRLG LSSSSSSSSDTGELMEKDSQELHSAFSVDTAGDPPHTYSQVANDHQSNKENETNFVKKNK SVEWFAESEE >ENSMUSP00000108319.2 pep:known chromosome:GRCm38:X:150806370:150814345:-1 gene:ENSMUSG00000025268.15 transcript:ENSMUST00000112699.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maged2 description:melanoma antigen, family D, 2 [Source:MGI Symbol;Acc:MGI:1933391] MSDTSESGADPARSQGKASEKDSGSVMQDLLTVTQNLEVSETPKAEKAPEVSEAAKAPKA SGNPKATEVSKAPEASEAAATQASPTTQLSETQVLATENKSPAADTKTQKSDLQAMTMPT TPTKKVSCGTDPKVNTKAPETEAPASQAGTDEPEPEGTAVQVQENQDTRPKVKAKNTQKG KHPDGEEDGNSDQSQASEATGGRRVSKALMASMARRASRGPIAFWARRASRTRLAAWARR ALLSLRSPRARRGKARRRAAKLQSSQEPEAPPPRDVALLQGRANDLVKYLLAKDQTKIPI RRSDMLKDIIKEYTDVYPEIIERAGYSLEKVFGIQLKEIDKNDHLYILLSTLEPTDAGIL GTTKDSPKLGLLMVLLSIIFMNGNRSSEAVIWEVLRKLGLRPGIHHSLFGDVKKLITDEF VKQKYLDYARVPNSNPPEYEFFWGLRSYYETSKMKVLKFACKVQKKDPKEWAAQYREAME ADLKAAAEAAAEAKARAEIRAQMGIGLGSENAAGPCNWDEADIGPWAKARIQAGAETKAK AQGSGGANASAGAGASTGTSVGASGSASAGASAGASGGFSNNSSLTASLTFGLFAGLSGA GASTNSSSGACGFSYK >ENSMUSP00000108320.1 pep:known chromosome:GRCm38:X:150806421:150813707:-1 gene:ENSMUSG00000025268.15 transcript:ENSMUST00000112700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maged2 description:melanoma antigen, family D, 2 [Source:MGI Symbol;Acc:MGI:1933391] MSDTSESGADPARSQGKASEKDSGSVMQDLLTVTQNLEVSETPKAEKAPEVSEAAKAPKA SGNPKATEVSKAPEASEAAATQASPTTQLSETQVLATENKSPAADTKTQKSDLQAMTMPT TPTKKVSCGTDPKVNTKAPETEAPASQAGTDEPEPEGTAVQVQENQDTRPKVKAKNTQKG KHPDGEEDGNSDQSQASEATGGRRVSKALMASMARRASRGPIAFWARRASRTRLAAWARR ALLSLRSPRARRGKARRRAAKLQSSQEPEAPPPRDVALLQGRANDLVKYLLAKDQTKIPI RRSDMLKDIIKEYTDVYPEIIERAGYSLEKVFGIQLKEIDKNDHLYILLSTLEPTDAGIL GTTKDSPKLGLLMVLLSIIFMNGNRSSEAVIWEVLRKLGLRPGIHHSLFGDVKKLITDEF VKQKYLDYARVPNSNPPEYEFFWGLRSYYETSKMKVLKFACKVQKKDPKEWAAQYREAME ADLKAAAEAAAEAKARAEIRAQMGIGLGSENAAGPCNWDEADIGPWAKARIQAGAETKAK AQGSGGANASAGAGASTGTSVGASGSASAGASAGASGGFSNNSSLTASLTFGLFAGLSGA GASTNSSSGACGFSYK >ENSMUSP00000026302.6 pep:known chromosome:GRCm38:X:150806424:150814269:-1 gene:ENSMUSG00000025268.15 transcript:ENSMUST00000026302.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maged2 description:melanoma antigen, family D, 2 [Source:MGI Symbol;Acc:MGI:1933391] MSDTSESGADPARSQGKASEKDSGSVMQDLLTVTQNLEVSETPKAEKAPEVSEAAKAPKA SGNPKATEVSKAPEASEAAATQASPTTQLSETQVLATENKSPAADTKTQKSDLQAMTMPT TPTKKVSCGTDPKVNTKAPETEAPASQAGTDEPEPEGTAVQVQENQDTRPKVKAKNTQKG KHPDGEEDGNSDQSQASEATGGRRVSKALMASMARRASRGPIAFWARRASRTRLAAWARR ALLSLRSPRARRGKARRRAAKLQSSQEPEAPPPRDVALLQGRANDLVKYLLAKDQTKIPI RRSDMLKDIIKEYTDVYPEIIERAGYSLEKVFGIQLKEIDKNDHLYILLSTLEPTDAGIL GTTKDSPKLGLLMVLLSIIFMNGNRSSEAVIWEVLRKLGLRPGIHHSLFGDVKKLITDEF VKQKYLDYARVPNSNPPEYEFFWGLRSYYETSKMKVLKFACKVQKKDPKEWAAQYREAME ADLKAAAEAAAEAKARAEIRAQMGIGLGSENAAGPCNWDEADIGPWAKARIQAGAETKAK AQGSGGANASAGAGASTGTSVGASGSASAGASAGASGGFSNNSSLTASLTFGLFAGLSGA GASTNSSSGACGFSYK >ENSMUSP00000116332.1 pep:known chromosome:GRCm38:X:150810874:150813078:-1 gene:ENSMUSG00000025268.15 transcript:ENSMUST00000147152.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maged2 description:melanoma antigen, family D, 2 [Source:MGI Symbol;Acc:MGI:1933391] MSDTSESGADPARSQGKASEKDSGSVMQDLLTVTQNLEVSETPKAEKAPEVSEAAKAPKA SGNPKATEAPETEAPASQAGTDEPEPEGTAVQVQENQDTRPKVKAKNTQKGKHPDGEEDG NSDQSQASEATGGRRVSKALMASMARRASRGPIAFWARRASRTRLAAWARRALLSLRSPR ARRGKARRRAAKLQSSQEPEAPPPRDVALLQGR >ENSMUSP00000119088.1 pep:known chromosome:GRCm38:X:150810891:150813154:-1 gene:ENSMUSG00000025268.15 transcript:ENSMUST00000143843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maged2 description:melanoma antigen, family D, 2 [Source:MGI Symbol;Acc:MGI:1933391] MSDTSESGADPARSQGKASEKDSGSVMQDLLTVTQNLEVSETPKAEKAPEVSEAAKAPKA SGNPKATEVSKAPEASEAAATQASPTTQLSETQVLATENKSPAADTKTQKSDLQAMTMPT TPTKKVSCGTDPKVNTKAPETEAPASQAGTDEPEPEGTAVQVQENQDTRPKVKAKNTQKG KHPDGEEDGNSDQSQASEATGGRRVSKALMASMARRASRGPIAFWARRASRTRLAAWARR ALLSLRSPRARRGKARRRAAKLQSSQEPEAPPPRDV >ENSMUSP00000115770.1 pep:known chromosome:GRCm38:X:150811138:150814281:-1 gene:ENSMUSG00000025268.15 transcript:ENSMUST00000129768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maged2 description:melanoma antigen, family D, 2 [Source:MGI Symbol;Acc:MGI:1933391] MSDTSESGADPARSQGKASEKDSGSVMQDLLTVTQNLEVSETPKAEKAPEVSEAAKAPKA SGNPKATEVSKAPEASEAAATQASPTTQLSETQVLATENKSPAADTKTQKSDLQAMTMPT TPTKKVSCGTDPKVNTKAPETEAPASQAGTDEPEPEGTAVQVQENQDTRPKVKAKNTQKG KHPDGEEDGNSDQS >ENSMUSP00000120903.1 pep:known chromosome:GRCm38:X:150811875:150812958:-1 gene:ENSMUSG00000025268.15 transcript:ENSMUST00000131241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maged2 description:melanoma antigen, family D, 2 [Source:MGI Symbol;Acc:MGI:1933391] MSDTSESGADPARSQGKASEKDSGSVMQDLLTVTQNLEVSETPKAEKAPEVSEAAKAPKA SGNPKATEVSKAPEASEAAATQASPTTQLSETQVLATENKSPAADTKTQKSDLQAMTMPT TPTKKVSCGTDPKVNTKAPETEAPASQAGTDEPEPEGTAVQV >ENSMUSP00000108317.3 pep:known chromosome:GRCm38:X:150806717:150812715:-1 gene:ENSMUSG00000025268.15 transcript:ENSMUST00000112697.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maged2 description:melanoma antigen, family D, 2 [Source:MGI Symbol;Acc:MGI:1933391] MSDTSESGADPARSQGKASEKDSGSVMQDLLTVTQNLEVSETPKAEKAPEVSEAAKAPKA SGNPKATEVSKAPEASEAAATQASPTTQLSETQVLATENKSPAADTKTQKSDLQAMTMPT TPTKKVSCGTDPKVNTKAPETEAPASQAGTDEPEPEGTAVQVQENQDTRPKVKAKNTQKG KHPDGEEDGNSDQSQASEATGGRRVSKALMASMARRASRGPIAFWARRASRTRLAAWARR ALLSLRSPRARRGKARRRAAKLQSSQEPEAPPPRDVALLQGRANDLVKYLLAKDQTKIPI RRSDMLKDIIKEYTDVYPEIIERAGYSLEKVFGIQLKEIDKNDHLYILLSTLEPTDAGIL GTTKDSPKLGLLMVLLSIIFMNGNRSSEAVIWEVLRKLGLRPGIHHSLFGDVKKLITDEF VKQKYLDYARVPNSNPPEYEFFWGLRSYYETSKMKVLKFACKVQKKDPKEWAAQYREAME ADLKAAAEAAAEAKARAEIRAQMGIGLGSENAAGPCNWDEADIGPWAKARIQAGAETKAK AQGSGGANASAGAGASTGTSVGASGSASAGASAGASGGFSNNSSLTASLTFGLFAGLSGA GASTNSSSGACGFSYK >ENSMUSP00000074190.3 pep:known chromosome:GRCm38:15:81980540:81981563:1 gene:ENSMUSG00000061633.3 transcript:ENSMUST00000074608.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700029P11Rik description:RIKEN cDNA 1700029P11 gene [Source:MGI Symbol;Acc:MGI:1913596] MAAWRLSLCARSLSAIRRLQAAPLCWKSSSSRAVVARSAAARKEQEEPTVQWMEDPDPED ENVYLKNPHFHGYDDDPKVDALNMHAVFFFGFSIALVLGTTFVAYVPNYKMIEWARREAA MLVKHREASGLPIMESNYFDPTKINLLEDD >ENSMUSP00000096014.3 pep:known chromosome:GRCm38:7:51997171:52006018:-1 gene:ENSMUSG00000074093.4 transcript:ENSMUST00000098414.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svip description:small VCP/p97-interacting protein [Source:MGI Symbol;Acc:MGI:1922994] MGLCFPCPAESAPPSPSPEEKREKLAEAAERRQKEAATRGILDIQSVEAKKKKKEQLEKQ MATSGPPTAGGLRWTVS >ENSMUSP00000146410.1 pep:known chromosome:GRCm38:7:51999612:52005698:-1 gene:ENSMUSG00000074093.4 transcript:ENSMUST00000209193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svip description:small VCP/p97-interacting protein [Source:MGI Symbol;Acc:MGI:1922994] MCLFSCLYQLYLEGREKRKAGRGSRKKAEGGGDSGDFGHPVSGGKKEEEGTVRKADGDIG ATNGRGT >ENSMUSP00000139935.1 pep:known chromosome:GRCm38:7:59974149:60005064:-1 gene:ENSMUSG00000000948.16 transcript:ENSMUST00000189432.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm38393 description:predicted gene, 38393 [Source:MGI Symbol;Acc:MGI:5613898] MERGRDRLHLRRTTEQHVPEVEVQVKRRRTASLSNQES >ENSMUSP00000136053.1 pep:known chromosome:GRCm38:7:59974149:60005064:-1 gene:ENSMUSG00000000948.16 transcript:ENSMUST00000179360.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm38393 description:predicted gene, 38393 [Source:MGI Symbol;Acc:MGI:5613898] MERGRDRLHLRRTTEQHVPEVEVQVKRRRTASLSNQECHLYPRRSQQQQVPVVDFQAELR QAFLAETPRGG >ENSMUSP00000055941.10 pep:known chromosome:GRCm38:7:59982495:60005111:-1 gene:ENSMUSG00000102252.5 transcript:ENSMUST00000059305.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpn description:small nuclear ribonucleoprotein N [Source:MGI Symbol;Acc:MGI:98347] MTVGKSSKMLQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKPKNAKQPE REEKRVLGLVLLRGENLVSMTVEGPPPKDTGIARVPLAGAAGGPGVGRAAGRGVPAGVPI PQAPAGLAGPVRGVGGPSQQVMTPQGRGTVAAAAVAATASIAGAPTQYPPGRGTPPPPVG RATPPPGIMAPPPGMRPPMGPPIGLPPARGTPIGMPPPGMRPPPPGIRGPPPPGMRPPRP >ENSMUSP00000096003.3 pep:known chromosome:GRCm38:7:59982502:60140219:-1 gene:ENSMUSG00000102252.5 transcript:ENSMUST00000098402.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpn description:small nuclear ribonucleoprotein N [Source:MGI Symbol;Acc:MGI:98347] MTVGKSSKMLQHIDYRMRCILQDGRIFIGTFKAFDKHMNLILCDCDEFRKIKPKNAKQPE REEKRVLGLVLLRGENLVSMTVEGPPPKDTGIARVPLAGAAGGPGVGRAAGRGVPAGVPI PQAPAGLAGPVRGVGGPSQQVMTPQGRGTVAAAAVAATASIAGAPTQYPPGRGTPPPPVG RATPPPGIMAPPPGMRPPMGPPIGLPPARGTPIGMPPPGMRPPPPGIRGPPPPGMRPPRP >ENSMUSP00000115749.1 pep:known chromosome:GRCm38:3:97658193:97670799:1 gene:ENSMUSG00000038205.12 transcript:ENSMUST00000143927.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkab2 description:protein kinase, AMP-activated, beta 2 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336185] MGNTTSERVSGERHGAKAARAEGGGHGPGKEHKIMVGSTDDPSVFSLPDSKLPGDKEFVP WQQDLDDSVKPAQQARPTVIRWSEGGKEVFISGSFNNWSTKIPLIKSHNDFVAILDLPEG EHQYKFFVDGQWVHDPSEPVVTSQLGTINNLIHVKKSDFEVFDALKLDSMESSETSCRV >ENSMUSP00000036410.6 pep:known chromosome:GRCm38:3:97658212:97673812:1 gene:ENSMUSG00000038205.12 transcript:ENSMUST00000045743.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkab2 description:protein kinase, AMP-activated, beta 2 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336185] MGNTTSERVSGERHGAKAARAEGGGHGPGKEHKIMVGSTDDPSVFSLPDSKLPGDKEFVP WQQDLDDSVKPAQQARPTVIRWSEGGKEVFISGSFNNWSTKIPLIKSHNDFVAILDLPEG EHQYKFFVDGQWVHDPSEPVVTSQLGTINNLIHVKKSDFEVFDALKLDSMESSETSCRDL SSSPPGPYGQEMYVFRSEERFKSPPILPPHLLQVILNKDTNISCDPALLPEPNHVMLNHL YALSIKDSVMVLSATHRYKKKYVTTLLYKPI >ENSMUSP00000116622.1 pep:known chromosome:GRCm38:3:97658214:97670933:1 gene:ENSMUSG00000038205.12 transcript:ENSMUST00000130924.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkab2 description:protein kinase, AMP-activated, beta 2 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336185] MGNTTSERVSGERHGAKAARAEGGGHGPGKEHKIMVGSTDDPSVFSLPDSKS >ENSMUSP00000072510.5 pep:known chromosome:GRCm38:7:143513579:143549092:-1 gene:ENSMUSG00000059119.9 transcript:ENSMUST00000072727.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l4 description:nucleosome assembly protein 1-like 4 [Source:MGI Symbol;Acc:MGI:1316687] MAENSLSDGGPADSVEAAKNASNTEKLTDQVMQNPQVLAALQERLDNVSHTPSSYIETLP KAVKRRINALKQLQVRCAHIEAKFYEEVHDLERKYAALYQPLFDKRREFITGDVEPTDAE SAWHSENEEEDKLAGDMKNKVVIAEKEAATVEELNPKGIPEFWFTIFRNVDMLSELVQEY DEPILKHLQDIKVKFSDPGQPMSFVLEFHFEPNDYFTNPVLTKTYKMKSEPDKADPFSFE GPEIVDCDGCTIDWKKGKNVTVKTIKKKQKHKGRGTVRTITKQVPNESFFNFFSPLKASG DGESLDEDSEFTLASDFEIGHFFRERIVPRAVLYFTGEAIEDDDNFEEGEEGEEEELEGD EEGEDEDDADVNPKV >ENSMUSP00000147130.1 pep:known chromosome:GRCm38:7:143513583:143549106:-1 gene:ENSMUSG00000059119.9 transcript:ENSMUST00000208190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l4 description:nucleosome assembly protein 1-like 4 [Source:MGI Symbol;Acc:MGI:1316687] MAENSLSDGGPADSVEAAKNASNTEKLTDQVMQNPQVLAALQERLDNVSHTPSSYIETLP KAVKRRINALKQLQVRCAHIEAKFYEEVHDLERKYAALYQPLFDKRREFITGDVEPTDAE SAWHSENEEEDKLAGDMKNKVVIAEKEAATVEELNPKGIPEFWFTIFRNVDMLSELVQEY DEPILKHLQDIKVKFSDPGQPMSFVLEFHFEPNDYFTNPVLTKTYKMKSEPDKADPFSFE GPEIVDCDGCTIDWKKGKNVTVKTIKKKQKHKGRGTVRTITKQVPNESFFNFFSPLKASG DGESLDEDSEFTLASDFEIGHFFRERIVPRAVLYFTGEAIEDDDNFEEGEEGEEEELEGD EEGEDEDDADVNPKKEPSQPAECKQQ >ENSMUSP00000146474.1 pep:known chromosome:GRCm38:7:143513588:143548828:-1 gene:ENSMUSG00000059119.9 transcript:ENSMUST00000209098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l4 description:nucleosome assembly protein 1-like 4 [Source:MGI Symbol;Acc:MGI:1316687] MAENSLSDGGPADSVEAAKNASNTEKLTDQVMQNPQVLAALQERLDNVSHTPSSYIETLP KAVKRRINALKQLQVRCAHIEAKFYEEVHDLERKYAALYQPLFDKRREFITGDVEPTDAE SAWHSENEEEDKLAGDMKNKVVIAEKEAATVEELNPKGIPEFWFTIFRNVDMLSELVQEY DEPILKHLQDIKVKFSDPGQPMSFVLEFHFEPNDYFTNPVLTKTYKMKSEPDKADPFSFE GPEIVDCDGCTIDWKKGKNVTVKTIKKKQKHKGRGTVRTITKQVPNESFFNFFSPLKASG DGESLDEDSEFTLASDFEIGHFFRERIVPRAVLYFTGEAIEDDDNFEEGEEGEEEELEGD EEGEDEDDADVNPKKEPSQPAECKQQ >ENSMUSP00000147149.1 pep:known chromosome:GRCm38:7:143524183:143535989:-1 gene:ENSMUSG00000059119.9 transcript:ENSMUST00000208317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l4 description:nucleosome assembly protein 1-like 4 [Source:MGI Symbol;Acc:MGI:1316687] XRCAHIEAKFYEEVHDLERKYAALYQPLFDKRREFITGDVEPTDAESAWHSENEEEDKLA EYDEPILKHLQDIKVKFSDPGQPMSFVLEFHFEPNDYFTNPVLTKTYKMKSEPDKADPFS FEGPEIVDCDGCTIDWKKGKNVTVKTIKKKQKHKGRGTVRTITKQVPNESFFNFFSPLKA SGDGESLDEDSEFTLASDFEIGHFFRERIVPRAVLYFTG >ENSMUSP00000146710.1 pep:known chromosome:GRCm38:7:143527166:143549104:-1 gene:ENSMUSG00000059119.9 transcript:ENSMUST00000207948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l4 description:nucleosome assembly protein 1-like 4 [Source:MGI Symbol;Acc:MGI:1316687] MWTCLVSWCRCTIDWKKGKNVTVKTIKKKQKHKGRGTVRTITKQVPNESFFNFFSPLK >ENSMUSP00000147062.1 pep:known chromosome:GRCm38:7:143535987:143548803:-1 gene:ENSMUSG00000059119.9 transcript:ENSMUST00000208093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l4 description:nucleosome assembly protein 1-like 4 [Source:MGI Symbol;Acc:MGI:1316687] MAENSLSDGGPADSVEAAKNASNTEKLTDQVMQNPQVLAALQERLDNVSHTPSSYIETLP KAVKRRINALKQLQV >ENSMUSP00000146639.1 pep:known chromosome:GRCm38:7:143538259:143541770:-1 gene:ENSMUSG00000059119.9 transcript:ENSMUST00000207870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l4 description:nucleosome assembly protein 1-like 4 [Source:MGI Symbol;Acc:MGI:1316687] MAHTSLSDGGPADSVEAAKNASNTEKLTDQVMQNPQVLAALQER >ENSMUSP00000051206.7 pep:known chromosome:GRCm38:6:35312668:35326141:-1 gene:ENSMUSG00000047420.8 transcript:ENSMUST00000051176.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam180a description:family with sequence similarity 180, member A [Source:MGI Symbol;Acc:MGI:3039626] MSWKALTILLVFSSTQATASCRWSRAALFPAAHRPKRSLSLPLNPVLQTSLEEVELLYEL LLAEIEISPDLEISIKDEELASLRKALSFHSICNNIIPKRIPDIRRLSANLANHPGILKK EDFERITLTLAYTAYRTALSEGHQKDIWAQSLISLFQALRHDLMRSSSPAVSS >ENSMUSP00000111977.2 pep:known chromosome:GRCm38:4:136550540:136602723:-1 gene:ENSMUSG00000036940.15 transcript:ENSMUST00000116273.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm1a description:lysine (K)-specific demethylase 1A [Source:MGI Symbol;Acc:MGI:1196256] MLSGKKAAAAAAAAAAAAAAGTEAGSGAAGGAENGSEVAAPPAGLTGPTDMATGAAGERT PRKKEPPRASPPGGLAEPPGSAGPQAGPTAGPGSATPMETGIAETPEGRRTSRRKRAKVE YREMDESLANLSEDEYYSEEERNAKAEKEKKLPPPPPQAPPEEENESEPEEPSGVEGAAF QSRLPHDRMTSQEAACFPDIISGPQQTQKVFLFIRNRTLQLWLDNPKIQLTFEATLQQLE APYNSDTVLVHRVHSYLERHGLINFGIYKRIKPLPIKKTGKVIIIGSGVSGLAAARQLQS FGMDVTLLEARDRVGGRVATFRKGNYVADLGAMVVTGLGGNPMAVVSKQVNMELAKIKQK CPLYEANGQAVPKEKDEMVEQEFNRLLEATSYLSHQLDFNVLNNKPVSLGQALEVVIQLQ EKHVKDEQIEHWKKIVKTQEELKELLNKMVNLKEKIKELHQQYKEASEVKPPRDITAEFL VKSKHRDLTALCKEYDELAETQGKLEEKLQELEANPPSDVYLSSRDRQILDWHFANLEFA NATPLSTLSLKHWDQDDDFEFTGSHLTVRNGYSCVPVALAEGLDIKLNTAVRQVRYTASG CEVIAVNTRSTSQTFIYKCDAVLCTLPLGVLKQQPPAVQFVPPLPEWKTSAVQRMGFGNL NKVVLCFDRVFWDPSVNLFGHVGSTTASRGELFLFWNLYKAPILLALVAGEAAGIMENIS DDVIVGRCLAILKGIFGSSAVPQPKETVVSRWRADPWARGSYSYVAAGSSGNDYDLMAQP ITPGPSIPGAPQPIPRLFFAGEHTIRNYPATVHGALLSGLREAGRIADQFLGAMYTLPRQ ATPGVPAQQSPSM >ENSMUSP00000101473.1 pep:known chromosome:GRCm38:4:136550544:136602687:-1 gene:ENSMUSG00000036940.15 transcript:ENSMUST00000105847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm1a description:lysine (K)-specific demethylase 1A [Source:MGI Symbol;Acc:MGI:1196256] MLSGKKAAAAAAAAAAAAAAGTEAGSGAAGGAENGSEVAAPPAGLTGPTDMATGAAGERT PRKKEPPRASPPGGLAEPPGSAGPQAGPTAGPGSATPMETGIAETPEGRRTSRRKRAKVE YREMDESLANLSEDEYYSEEERNAKAEKEKKLPPPPPQAPPEEENESEPEEPSGQAGGLQ DDSSGGYGDGQASGVEGAAFQSRLPHDRMTSQEAACFPDIISGPQQTQKVFLFIRNRTLQ LWLDNPKIQLTFEATLQQLEAPYNSDTVLVHRVHSYLERHGLINFGIYKRIKPLPIKKTG KVIIIGSGVSGLAAARQLQSFGMDVTLLEARDRVGGRVATFRKGNYVADLGAMVVTGLGG NPMAVVSKQVNMELAKIKQKCPLYEANGQAVPKEKDEMVEQEFNRLLEATSYLSHQLDFN VLNNKPVSLGQALEVVIQLQEKHVKDEQIEHWKKIVKTQEELKELLNKMVNLKEKIKELH QQYKEASEVKPPRDITAEFLVKSKHRDLTALCKEYDELAETQGKLEEKLQELEANPPSDV YLSSRDRQILDWHFANLEFANATPLSTLSLKHWDQDDDFEFTGSHLTVRNGYSCVPVALA EGLDIKLNTAVRQVRYTASGCEVIAVNTRSTSQTFIYKCDAVLCTLPLGVLKQQPPAVQF VPPLPEWKTSAVQRMGFGNLNKVVLCFDRVFWDPSVNLFGHVGSTTASRGELFLFWNLYK APILLALVAGEAAGIMENISDDVIVGRCLAILKGIFGSSAVPQPKETVVSRWRADPWARG SYSYVAAGSSGNDYDLMAQPITPGPSIPGAPQPIPRLFFAGEHTIRNYPATVHGALLSGL REAGRIADQFLGAMYTLPRQATPGVPAQQSPSM >ENSMUSP00000035457.7 pep:known chromosome:GRCm38:4:136550848:136602308:-1 gene:ENSMUSG00000036940.15 transcript:ENSMUST00000046846.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm1a description:lysine (K)-specific demethylase 1A [Source:MGI Symbol;Acc:MGI:1196256] XSATPMETGIAETPEGRRTSRRKRAKVEYREMDESLANLSEDEYYSEEERNAKAEKEKKL PPPPPQAPPEEENESEPEEPSGVEGAAFQSRLPHDRMTSQEAACFPDIISGPQQTQKVFL FIRNRTLQLWLDNPKIQLTFEATLQQLEAPYNSDTVLVHRVHSYLERHGLINFGIYKRIK PLPIKKTGKVIIIGSGVSGLAAARQLQSFGMDVTLLEARDRVGGRVATFRKGNYVADLGA MVVTGLGGNPMAVVSKQVNMELAKIKQKCPLYEANGQAMVNLKEKIKELHQQYKEASEVK PPRDITAEFLVKSKHRDLTALCKEYDELAETQGKLEEKLQELEANPPSDVYLSSRDRQIL DWHFANLEFANATPLSTLSLKHWDQDDDFEFTGSHLTVRNGYSCVPVALAEGLDIKLNTA VRQVRYTASGCEVIAVNTRSTSQTFIYKCDAVLCTLPLGVLKQQPPAVQFVPPLPEWKTS AVQRMGFGNLNKVVLCFDRVFWDPSVNLFGHVGSTTASRGELFLFWNLYKAPILLALVAG EAAGIMENISDDVIVGRCLAILKGIFGSSAVPQPKETVVSRWRADPWARGSYSYVAAGSS GNDYDLMAQPITPGPSIPGAPQPIPRLFFAGEHTIRNYPATVHGALLSGLREAGRIADQF LGAMYTLPRQATPGVPAQQSPSM >ENSMUSP00000114268.1 pep:known chromosome:GRCm38:4:136555235:136568607:-1 gene:ENSMUSG00000036940.15 transcript:ENSMUST00000155354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm1a description:lysine (K)-specific demethylase 1A [Source:MGI Symbol;Acc:MGI:1196256] XKCPLYEANGQAVPKEKDEMVEQEFNRLLEATSYLSHQLDFNVLNNKPVSLGQALEVVIQ LQEKHVKDEQIEHWKKIVKTQEELKELLNKMVNLKEKIKELHQQYKEASEVKPPRDITAE FLVKSKHRDLTALCKEYDELAETQGKLEEKLQELEANPPSDVYLSSRDRQILDWHFANLE FANATPLSTLSLKHWDQDIEHFTLVSPCTPVIPVLWRLGQKKINEFKDDDFEFTGSHLTV RNGYSCVPVA >ENSMUSP00000131385.1 pep:known chromosome:GRCm38:4:136558532:136577158:-1 gene:ENSMUSG00000036940.15 transcript:ENSMUST00000170979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm1a description:lysine (K)-specific demethylase 1A [Source:MGI Symbol;Acc:MGI:1196256] XTSQEAACFPDIISGPQQTQKVFLFIRNRTLQLWLDNPKIQLTFEATLQQLEAPYNSDTV LVHRVHSYLERHGLINFGIYKRIKPLPIKKTGKVIIIGSGVSGLAAARQLQSFGMDVTLL EARDRVGGRVATFRKGNYVADLGAMVVTGLGGNPMAVVSKQVNMELAKIKQKCPLYEANG QADTVKVPKEKDEMVEQEFNRLLEATSYLSHQLDFNVLNNKPVSLGQALEVVIQLQEKHV KDEQIEHWKKIVKTQEELKELLNKMVNLKEKIKELHQQYKEASEVKPPRDITAEFLVKSK HRDLTALCKEYDELAETQGKLE >ENSMUSP00000066907.1 pep:known chromosome:GRCm38:2:166792531:166794388:1 gene:ENSMUSG00000042854.9 transcript:ENSMUST00000065753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53rkb description:transformation related protein 53 regulating kinase B [Source:MGI Symbol;Acc:MGI:1914050] MAEEAGGFPKRAEAARSGSEGVGGMAGVSSEAEAEALAAARERSRLFLSGLELVQQGAEA RVFRGRFQGRAAVVKHRFPKSYRHPELEARLGRRRTVQEARALLRCRRAGEGGRTGLRET GQTGLRETGGTGETGLRVPGVRTK >ENSMUSP00000115353.1 pep:known chromosome:GRCm38:2:166793767:166799505:1 gene:ENSMUSG00000042854.9 transcript:ENSMUST00000151826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53rkb description:transformation related protein 53 regulating kinase B [Source:MGI Symbol;Acc:MGI:1914050] MAGVSSEAEAEALAAARERSRLFLSGLELVQQGAEARVFRGRFQGRAAVVKHRFPKSYRH PELEARLGRRRTVQEARALLRCRRAGIAAPVVFFVDYASNCLYMEEIEDSVTVRDYIQST METEKDPQCLLDLARRMGQVLAGMHDQDLIHGDLTTSNMLLRRPLAQLHIVLIDFGLSFV SGLPEDKGVDLYVLEKAFLSTHPHTETAFEAFLKSYGASSKKSSPVLKKLDEVRLRGRKR SMVG >ENSMUSP00000026572.4 pep:known chromosome:GRCm38:7:141189105:141193960:-1 gene:ENSMUSG00000025499.17 transcript:ENSMUST00000026572.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hras description:Harvey rat sarcoma virus oncogene [Source:MGI Symbol;Acc:MGI:96224] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNTKSFEDIHQYREQIKRVKDSDDVPMVLVGNKCDL AARTVESRQAQDLARSYGIPYIETSAKTRQGVEDAFYTLVREIRQHKLRKLNPPDESGPG CMSCKCVLS >ENSMUSP00000095570.4 pep:known chromosome:GRCm38:7:141190926:141194005:-1 gene:ENSMUSG00000025499.17 transcript:ENSMUST00000097957.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hras description:Harvey rat sarcoma virus oncogene [Source:MGI Symbol;Acc:MGI:96224] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNTKSFEDIHQYREQIKRVKDSDDVPMVLVGNKCDL AARTVESRQAQDLARSYGIPYIETSAKTRQGVEDAFYTLVREIRQHKLRKLNPPDESGPG CMSCKCVLS >ENSMUSP00000138189.1 pep:known chromosome:GRCm38:7:141190930:141193882:-1 gene:ENSMUSG00000025499.17 transcript:ENSMUST00000124971.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hras description:Harvey rat sarcoma virus oncogene [Source:MGI Symbol;Acc:MGI:96224] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNTKSFEDIHQYSHACSLLAGSYPPHPPPGSRSSG >ENSMUSP00000132110.1 pep:known chromosome:GRCm38:7:141189934:141194004:-1 gene:ENSMUSG00000025499.17 transcript:ENSMUST00000168550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hras description:Harvey rat sarcoma virus oncogene [Source:MGI Symbol;Acc:MGI:96224] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNTKSFEDIHQYREQIKRVKDSDDVPMVLVGNKCDL AARTVESRQAQDLARSYGIPYIETSAKTRQGSRSGSSSGTLWDPPSPGTHVTQRPSSWRG GCLLYTSP >ENSMUSP00000114074.1 pep:known chromosome:GRCm38:10:21882184:21999893:1 gene:ENSMUSG00000019970.15 transcript:ENSMUST00000120509.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk1 description:serum/glucocorticoid regulated kinase 1 [Source:MGI Symbol;Acc:MGI:1340062] MVNKDMNGFPVKKCSAFQFFKKRVRRWIKSPMVSVDKHQSPNLKYTGPAGVHLPPGESDF EAMCQSCLGDHAFQRGMLPPEESCSWEIQPGCEVKEQCNHANILTKPDPRTFWTNDDAAF MKQRRMGLNDFIQKIASNTYACKHAEVQSILKMSHPQEPELMNANPSPPPSPSQQINLGP SSNPHAKPSDFHFLKVIGKGSFGKVLLARHKAEEVFYAVKVLQKKAILKKKEEKHIMSER NVLLKNVKHPFLVGLHFSFQTADKLYFVLDYINGGELFYHLQRERCFLEPRARFYAAEIA SALGYLHSLNIVYRDLKPENILLDSQGHIVLTDFGLCKENIEHNGTTSTFCGTPEYLAPE VLHKQPYDRTVDWWCLGAVLYEMLYGLPPFYSRNTAEMYDNILNKPLQLKPNITNSARHL LEGLLQKDRTKRLGAKDDFMEIKSHIFFSLINWDDLINKKITPPFNPNVSGPSDLRHFDP EFTEEPVPSSIGRSPDSILVTASVKEAAEAFLGFSYAPPVDSFL >ENSMUSP00000116096.1 pep:known chromosome:GRCm38:10:21928470:21990402:1 gene:ENSMUSG00000019970.15 transcript:ENSMUST00000124203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk1 description:serum/glucocorticoid regulated kinase 1 [Source:MGI Symbol;Acc:MGI:1340062] XCLGDHAFQRGMLPPEESCSWEIQPGCEVKEQCNHANILTKPDPRTFWTNDDAGPWPHSK DGVKLTLQSHRPTCCCYLDFHSSKNALDSST >ENSMUSP00000120882.1 pep:known chromosome:GRCm38:10:21978672:21995893:1 gene:ENSMUSG00000019970.15 transcript:ENSMUST00000142174.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk1 description:serum/glucocorticoid regulated kinase 1 [Source:MGI Symbol;Acc:MGI:1340062] MKQRRMGLNDFIQKIASNTYACKHAEVQSILKMSHPQEPELMNANPSPPPSPSQQINLGP SSNPHAKPSDFHFLKVIGKGSF >ENSMUSP00000115073.1 pep:known chromosome:GRCm38:10:21992223:21995874:1 gene:ENSMUSG00000019970.15 transcript:ENSMUST00000150089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk1 description:serum/glucocorticoid regulated kinase 1 [Source:MGI Symbol;Acc:MGI:1340062] MKEETLRSPWKAFMKQRRMGLNDFIQKIASNTYACKHAEVQSILKMSHPQEPELMNANPS PPPSPSQQINLGPSSNPHAKPSDFHFLKV >ENSMUSP00000090343.4 pep:known chromosome:GRCm38:10:21993890:21999891:1 gene:ENSMUSG00000019970.15 transcript:ENSMUST00000092673.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk1 description:serum/glucocorticoid regulated kinase 1 [Source:MGI Symbol;Acc:MGI:1340062] MGEMQGALARARLESLLRPRHKKRAEAQKRSESVLLSGLAFMKQRRMGLNDFIQKIASNT YACKHAEVQSILKMSHPQEPELMNANPSPPPSPSQQINLGPSSNPHAKPSDFHFLKVIGK GSFGKVLLARHKAEEVFYAVKVLQKKAILKKKEEKHIMSERNVLLKNVKHPFLVGLHFSF QTADKLYFVLDYINGGELFYHLQRERCFLEPRARFYAAEIASALGYLHSLNIVYRDLKPE NILLDSQGHIVLTDFGLCKENIEHNGTTSTFCGTPEYLAPEVLHKQPYDRTVDWWCLGAV LYEMLYGLPPFYSRNTAEMYDNILNKPLQLKPNITNSARHLLEGLLQKDRTKRLGAKDDF MEIKSHIFFSLINWDDLINKKITPPFNPNVSGPSDLRHFDPEFTEEPVPSSIGRSPDSIL VTASVKEAAEAFLGFSYAPPVDSFL >ENSMUSP00000020145.5 pep:known chromosome:GRCm38:10:21994666:21999903:1 gene:ENSMUSG00000019970.15 transcript:ENSMUST00000020145.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk1 description:serum/glucocorticoid regulated kinase 1 [Source:MGI Symbol;Acc:MGI:1340062] MTVKAEAARSTLTYSRMRGMVAILIAFMKQRRMGLNDFIQKIASNTYACKHAEVQSILKM SHPQEPELMNANPSPPPSPSQQINLGPSSNPHAKPSDFHFLKVIGKGSFGKVLLARHKAE EVFYAVKVLQKKAILKKKEEKHIMSERNVLLKNVKHPFLVGLHFSFQTADKLYFVLDYIN GGELFYHLQRERCFLEPRARFYAAEIASALGYLHSLNIVYRDLKPENILLDSQGHIVLTD FGLCKENIEHNGTTSTFCGTPEYLAPEVLHKQPYDRTVDWWCLGAVLYEMLYGLPPFYSR NTAEMYDNILNKPLQLKPNITNSARHLLEGLLQKDRTKRLGAKDDFMEIKSHIFFSLINW DDLINKKITPPFNPNVSGPSDLRHFDPEFTEEPVPSSIGRSPDSILVTASVKEAAEAFLG FSYAPPVDSFL >ENSMUSP00000114691.1 pep:known chromosome:GRCm38:10:21994910:21997434:1 gene:ENSMUSG00000019970.15 transcript:ENSMUST00000124350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk1 description:serum/glucocorticoid regulated kinase 1 [Source:MGI Symbol;Acc:MGI:1340062] MKQRRMGLNDFIQKIASNTYACKHAEVQSILKMSHPQEPELMNANPSPPPSPSQQINLGP SSNPHAKPSDFHFLKVIGKGSFGKVLLARHKAEEVFYAVKVLQKKAILKKKEEKHIMSER NVLLKNVKHPFLVGLHFSFQTADKLYFVLDYINGGELFYHLQRERCFLEPRARFYAAEIA SALGYLHSLNIVYRDLKPENILLDSQGHIVLTDFGLCKENIEHNGTTSTFCGTPEYLAPE VLHKQPYDRTVDWWCLGAVLYEMLYG >ENSMUSP00000097614.3 pep:known chromosome:GRCm38:10:21992223:21999898:1 gene:ENSMUSG00000019970.15 transcript:ENSMUST00000100036.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk1 description:serum/glucocorticoid regulated kinase 1 [Source:MGI Symbol;Acc:MGI:1340062] MKEETLRSPWKAFMKQRRMGLNDFIQKIASNTYACKHAEVQSILKMSHPQEPELMNANPS PPPSPSQQINLGPSSNPHAKPSDFHFLKVIGKGSFGKVLLARHKAEEVFYAVKVLQKKAI LKKKEEKHIMSERNVLLKNVKHPFLVGLHFSFQTADKLYFVLDYINGGELFYHLQRERCF LEPRARFYAAEIASALGYLHSLNIVYRDLKPENILLDSQGHIVLTDFGLCKENIEHNGTT STFCGTPEYLAPEVLHKQPYDRTVDWWCLGAVLYEMLYGLPPFYSRNTAEMYDNILNKPL QLKPNITNSARHLLEGLLQKDRTKRLGAKDDFMEIKSHIFFSLINWDDLINKKITPPFNP NVSGPSDLRHFDPEFTEEPVPSSIGRSPDSILVTASVKEAAEAFLGFSYAPPVDSFL >ENSMUSP00000128873.1 pep:known chromosome:GRCm38:10:21978672:21999898:1 gene:ENSMUSG00000019970.15 transcript:ENSMUST00000164659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk1 description:serum/glucocorticoid regulated kinase 1 [Source:MGI Symbol;Acc:MGI:1340062] MKQRRMGLNDFIQKIASNTYACKHAEVQSILKMSHPQEPELMNANPSPPPSPSQQINLGP SSNPHAKPSDFHFLKVIGKGSFGKVLLARHKAEEVFYAVKVLQKKAILKKKEEKHIMSER NVLLKNVKHPFLVGLHFSFQTADKLYFVLDYINGGELFYHLQRERCFLEPRARFYAAEIA SALGYLHSLNIVYRDLKPENILLDSQGHIVLTDFGLCKENIEHNGTTSTFCGTPEYLAPE VLHKQPYDRTVDWWCLGAVLYEMLYGLPPFYSRNTAEMYDNILNKPLQLKPNITNSARHL LEGLLQKDRTKRLGAKDDFMEIKSHIFFSLINWDDLINKKITPPFNPNVSGPSDLRHFDP EFTEEPVPSSIGRSPDSILVTASVKEAAEAFLGFSYAPPVDSFL >ENSMUSP00000139535.1 pep:known chromosome:GRCm38:Y:58782567:58814597:-1 gene:ENSMUSG00000096626.7 transcript:ENSMUST00000190806.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21861 description:predicted gene, 21861 [Source:MGI Symbol;Acc:MGI:5434025] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKW METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000137215.1 pep:known chromosome:GRCm38:Y:58785307:58813165:-1 gene:ENSMUSG00000096626.7 transcript:ENSMUST00000179480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21861 description:predicted gene, 21861 [Source:MGI Symbol;Acc:MGI:5434025] MALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDV >ENSMUSP00000117754.2 pep:known chromosome:GRCm38:7:19808462:19809663:-1 gene:ENSMUSG00000053175.17 transcript:ENSMUST00000135609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl3 description:B cell leukemia/lymphoma 3 [Source:MGI Symbol;Acc:MGI:88140] HGANVNAQMYSGSSALHSASGRGLLPLVRTLVRSGADSGLKNCHNDTPLMVARSRRVIDI LRGKASRAASGSQPEPSPDQSATNSPESSSRLSSNGVLRGPGRPVPPSPAPGSS >ENSMUSP00000113851.1 pep:known chromosome:GRCm38:7:19808462:19822770:-1 gene:ENSMUSG00000053175.17 transcript:ENSMUST00000120537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl3 description:B cell leukemia/lymphoma 3 [Source:MGI Symbol;Acc:MGI:88140] MPRCPAGAMDEGPVDLRTRPKGTPGAALPLRKRPLRPASPEPATTRSPAGPLDALRSGCD VPVVPGPPHCVARPEALYYQGPLMPIYSTPTMAPHFPLLNLPTHPYSMICPMEHPLSADI AMATRVDEDGDTPLHIAVVQNNIAAVYRILSLFKLGSREVDVHNNLRQTPLHLAVITTLP DMVRLLVTAGASPMALDRHGQTAIHLACEHRSPSCLQALLDSATSGSVDLEVRNYEGLTA LHVAVNTGCQEAVLLLLERGADIDAVDIKSGRSPLIHAVENNSLNMVQLLLLHGANVNAQ MYSGSSALHSASGRGLLPLVRTLVRSGADSGLKNCHNDTPLMVARSRRVIDILRGKASRA ASGSQPEPSPDQSATNSPESSSRLSSNGLQSSPSSSPSLSPPKDAPGFPATPQNFFLPTT STPAFLPFPGVLRGPGRPVPPSPAPGSS >ENSMUSP00000052776.6 pep:known chromosome:GRCm38:6:137477245:137649285:-1 gene:ENSMUSG00000015766.14 transcript:ENSMUST00000058210.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8 description:epidermal growth factor receptor pathway substrate 8 [Source:MGI Symbol;Acc:MGI:104684] MNGHMSNRSSGYGVYPSQLNGYGSSPPYSQMDREHSSRTSAKALYEQRKNYARDSVSSVS DVSQYRVEHLTTFVLDRKDAMITVEDGIRKLKLLDAKGKVWTQDMILQVDDRAVSLIDLE SKNELENFPLNTISHCQAVVHACSYDSILALVCKEPTQSKPDLHLFQCDEVKANLISEDI ESAISDSKGGKQKRRPEALRMIAKADPGIPPPPRAPAPVPPGTVTQVDVRSRVAAWSAWA ADQGDFEKPRQYHEQEETPEMMAARIDRDVQILNHILDDIEFFITKLQKAAEAFSELSKR KKSKKSKRKGPGEGVLTLRAKPPPPDEFVDCFQKFKHGFNLLAKLKSHIQNPSASDLVHF LFTPLNMVVQATGGPELASSVLSPLLTKDTVDFLNYTATAEERKLWMSLGDSWVKVRAEW PKEQFIPPYVPRFRNGWEPPMLNFMGAPTEQDMYQLAESVANAEHQRKQDSKRLSTEHSN VSDYPPADGYAYSSSMYHRGPHADHGEAAMPFKSTPNHQVDRNYDAVKTQPKKYAKSKYD FVARNSSELSVMKDDVLEILDDRRQWWKVRNASGDSGFVPNNILDIMRTPESGVGRADPP YTHTIQKQRTEYGLRSADTPSAPSPPPTPAPVPVPLPPSVPAPVSVPKVPANVTRQNSSS SDSGGSIVRDSQRYKQLPVDRRKSQMEEVQDELFQRLTIGRSAAQRKFHVPRQNVPVINI TYDSSPEEVKTWLQSKGFNPVTVNSLGVLNGAQLFSLNKDELRSVCPEGARVFNQITVQK AALEDSNGSSELQEIMRRRQEKISAAASDSGVESFDEGSSH >ENSMUSP00000098402.2 pep:known chromosome:GRCm38:6:137477668:137571017:-1 gene:ENSMUSG00000015766.14 transcript:ENSMUST00000100841.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8 description:epidermal growth factor receptor pathway substrate 8 [Source:MGI Symbol;Acc:MGI:104684] MNGHMSNRSSGYGVYPSQLNGYGSSPPYSQMDREHSSRTSAKALYEQRKNYARDSVSSVS DVSQYRVEHLTTFVLDRKDAMITVEDGIRKLKLLDAKGKVWTQDMILQVDDRAVSLIDLE SKNELENFPLNTISHCQAVVHACSYDSILALVCKEPTQSKPDLHLFQCDEVKANLISEDI ESAISDSKGGKQKRRPEALRMIAKADPGIPPPPRAPAPVPPGTVTQVDVRSRVAAWSAWA ADQGDFEKPRQYHEQEETPEMMAARIDRDVQILNHILDDIEFFITKLQKAAEAFSELSKR KKSKKSKRKGPGEGVLTLRAKPPPPDEFVDCFQKFKHGFNLLAKLKSHIQNPSASDLVHF LFTPLNMVVQATGGPELASSVLSPLLTKDTVDFLNYTATAEERKLWMSLGDSWVKVRAEW PKEQFIPPYVPRFRNGWEPPMLNFMGAPTEQDMYQLAESVANAEHQRKQDSKRLSTEHSN VSDYPPADGYAYSSSMYHRGPHADHGEAAMPFKSTPNHQVDRNYDAVKTQPKKYAKSKYD FVARNSSELSVMKDDVLEILDDRRQWWKVRNASGDSGFVPNNILDIMRTPESGVGRADPP YTHTIQKQRTEYGLRSADTPSAPSPPPTPAPVPVPLPPSVPAPVSVPKVPANVTRQNSSS SDSGGSIVRDSQRYKQLPVDRRKSQMEEVQDELFQRLTIGRSAAQRKFHVPRQNVPVINI TYDSSPEEVKTWLQSKGFNPVTVNSLGVLNGAQLFSLNKDELRSVCPEGARVFNQITVQK AALEDSNGSSELQEIMRRRQEKISAAASDSGVESFDEGSSH >ENSMUSP00000107509.1 pep:known chromosome:GRCm38:6:137478177:137649285:-1 gene:ENSMUSG00000015766.14 transcript:ENSMUST00000111878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8 description:epidermal growth factor receptor pathway substrate 8 [Source:MGI Symbol;Acc:MGI:104684] MNGHMSNRSSGYGVYPSQLNGYGSSPPYSQMDREHSSRTSAKALYEQRKNYARDSVSSVS DVSQYRVEHLTTFVLDRKDAMITVEDGIRKLKLLDAKGKVWTQDMILQVDDRAVSLIDLE SKNELENFPLNTISHCQAVVHACSYDSILALVCKEPTQSKPDLHLFQCDEVKANLISEDI ESAISDSKGGKQKRRPEALRMIAKADPGIPPPPRAPAPVPPGTVTQVDVRSRVAAWSAWA ADQGDFEKPRQYHEQEETPEMMAARIDRDVQILNHILDDIEFFITKLQKAAEAFSELSKR KKSKKSKRKGPGEGVLTLRAKPPPPDEFVDCFQKFKHGFNLLAKLKSHIQNPSASDLVHF LFTPLNMVVQATGGPELASSVLSPLLTKDTVDFLNYTATAEERKLWMSLGDSWVKVRAEW PKEQFIPPYVPRFRNGWEPPMLNFMGAPTEQDMYQLAESVANAEHQRKQDSKRLSTEHSN VSDYPPADGYAYSSSMYHRGPHADHGEAAMPFKSTPNHQVDRNYDAVKTQPKKYAKSKYD FVARNSSELSVMKDDVLEILDDRRQWWKVRNASGDSGFVPNNILDIMRTPESGVGRADPP YTHTIQKQRTEYGLRSADTPSAPSPPPTPAPVPVPLPPSVPAPVSVPKVPANVTRQNSSS SDSGGSIVRDSQRYKQLPVDRRKSQMEEVQDELFQRLTIGRSAAQRKFHVPRQNVPVINI TYDSSPEEVKTWLQSKGFNPVTVNSLGVLNGAQLFSLNKDELRSVCPEGARVFNQITVQK AALEDSNGSSELQEIMRRRQEKISAAASDSGVESFDEGSSH >ENSMUSP00000120044.1 pep:known chromosome:GRCm38:6:137499639:137648283:-1 gene:ENSMUSG00000015766.14 transcript:ENSMUST00000147526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8 description:epidermal growth factor receptor pathway substrate 8 [Source:MGI Symbol;Acc:MGI:104684] MNGHMSNRSSGYGVYPSQLNGYGSSPPYSQMDREHSSRTSAKALYEQRKNYARDSVSSVS DVSQYRVEHLTTFVLDRKDAMITVEDGIRKLKLLDAKGKVWTQDMILQVDDRAVSLIDLE SKNELENFPLNTISHCQAVVHACSYDSILALVCKEPTQSKPDLHLFQCDEVKANLISEDI ESAISDSKGGKQKRRPEALRMIAKADPGIPPPPRAPAPVPPGTVTQVDVRSRVAAWSAWA ADQGDFEKPRQYHEQEETPEMMAARIDRDVQILNHILDDIEFFITKLQKAAEAFSELSKR KKSKKSKRKGPGEGVLTLRAKPPPPDEFVDCFQKFKHGFNLLAKLKSHIQNPSASDLVHF LFTPLNMVVQATGGPELASSVLSPLLTKDTVDFLNYTATAEERKLWMSLGDSWVKVRAEW PKEQFIPPYVPRFRNGWEPPMLNFMGAPTEQDMYQLAESVANAEHQRKQDSKRLSTEHSN VSDYPPADGYAYSSSMYHRGPHADHGEAAMPFKSTPNHQVDRNYDAVKTQPKKYAKSKYD FVARNSSELSVMKDDVLEILDDRRQWWKVRNASGDSGFVPNNILDIM >ENSMUSP00000122517.1 pep:known chromosome:GRCm38:6:137517071:137654876:-1 gene:ENSMUSG00000015766.14 transcript:ENSMUST00000132920.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8 description:epidermal growth factor receptor pathway substrate 8 [Source:MGI Symbol;Acc:MGI:104684] MNGHMSNRSSGYGVYPSQLNGYGSSPPYSQMDREHSSRTSAKALYGTDGAYFFRSSSKQK KKEQRKNYARDSVSSVSDVSQYRVEHLTTFVLDRKDAMITVEDGIRKLKLLDAKGKVWTQ DMILQVDDRAVSLIDLESKNELENFPLNTISHCQAVVHACSYDSILALVCKEPTQSKPDL HLFQCDEVKANLISEDIESAISDSKGGKQKRRPEALRMIAKADPGIPPPPRAPAPVPPGT VTQVDVRSRVAAWSAWAADQGDFEKPRQYHEQEETPEMMAARIDRDVQILNHILDDIEFF ITKLQKAAEAFSELSKRKKSKKSKRKGPG >ENSMUSP00000119997.1 pep:known chromosome:GRCm38:6:137522862:137589026:-1 gene:ENSMUSG00000015766.14 transcript:ENSMUST00000146442.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8 description:epidermal growth factor receptor pathway substrate 8 [Source:MGI Symbol;Acc:MGI:104684] MNGHMSNRSSGYGVYPSQLNGYGSSPPYSQMDREHSSRTSAKALYEQRKNYARDSVSSVS DVSQYRVEHLTTFVLDRKDAMITVEDGIRKLKLLDAKGKVWTQDMILQVDDRAVSLIDLE SKNELENFPLNTISHCQAVVHACSYDSILALVCKEPTQSKPDLHLFQCDEVKANLISEDI ESAISDSKG >ENSMUSP00000145059.1 pep:known chromosome:GRCm38:6:137537638:137648283:-1 gene:ENSMUSG00000015766.14 transcript:ENSMUST00000139753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8 description:epidermal growth factor receptor pathway substrate 8 [Source:MGI Symbol;Acc:MGI:104684] MNGHMSNRSSGYGVYPSQLNGYGSSPPYSQMDREHSSRT >ENSMUSP00000120648.1 pep:known chromosome:GRCm38:6:137539337:137649242:-1 gene:ENSMUSG00000015766.14 transcript:ENSMUST00000134630.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8 description:epidermal growth factor receptor pathway substrate 8 [Source:MGI Symbol;Acc:MGI:104684] MNGHMSNRSSGYGV >ENSMUSP00000040232.7 pep:known chromosome:GRCm38:14:34673928:34747983:1 gene:ENSMUSG00000041408.16 transcript:ENSMUST00000048263.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wapl description:WAPL cohesin release factor [Source:MGI Symbol;Acc:MGI:2675859] MTSRFGKTYSRKGGNGSSKFDEVFSNKRTTLSTKWGETTFMAKLGQKRPNFKPDIQEIPK KPKVEEEDTGDPFGFDSDDESLPVSSKNLAQGKGSSYSESSEAAQLEEVTSVFEANSKCS HVVGEDSFASDRCLLVEDTLIGKEKSISRIPEDNANKSSCTKLLTSDKVENFSEEHEKNS HHFHKNAEDSTKKPNAETAVASEYKADETKETNDTWNSQSGKRTESPSESCPVKGSVRTG LYEWDNDFEDIRSEDCILSLDNESLLEMKDEDLKNRIGGLENLNETFEEDIIQSVLRPSN CRTYCRANKARSSQGASNFDKLMDGTSQSLAKANSESSKDGLNQAKKGSASCGTSFRGTV GRTRDYTVLHPSCLSVCNVTIQDTMERSMDEFTASTPADLGEAGRLRKKADIATSKTTTR FRPSNTKSKKDVKLEFFGFEDHDETGGDEGGSGSSNYKIKYFGFDDLSESEDDDDDDCQV ERKKDKKRTKTAPSPSQQPPPESSDNSQDSQSSTNNAENLDFTEDLPGVPESVKKPISKQ GDKSKENTRKIFSGPKRSPTKAVYNARHWNHPDSEELPGPPIAKPQRVTVRLSSKEPNQK DDGVFKAPAPPLKVIKTVTIPTQPYQEIVTALKCRKEDKELYTVVQHVKHFNDVVEFGEN QEFTDDIEYLLSGLKSTQPLNTRCLSVISLATKCAMPSFRMHLRAHGMVAMVFKTLDDSQ HHQNLSLCTAALMYILSRDRLNMDLDRASLDLMIRLLELEQDASSAKLLNEKDMNKIKEK IRRLCETVHNKHLDLENITTGHLAMETLLSLTSKRAGDWFKEELRLLGGLDHIVDKVKEC VDHLSRDDEDEEKLVASLWGAERCLRVLESVTVHNPENQSYLIAYKDSQLIISSAKALQH CEDLIQQYNRAENSICVADSNPLPYQNVTNHVGKAVEDCMRAIIGVLLNLTNDNEWGSTK TGEQEGLIGTAMNCVLQVPKYLPQEQRFDIRVLGLGLLINLVEYSARNRHCLVNMQTSCS FDSSFSSGEGDHSLRLAGQVHAVQALVQLFLERERAAQLAESKTDELIKDAPTTQHDKSG EWQETSGEIQWVSTEKTDGAEEKQKKEEEDEELDLNKALQHAGKHMEDCIVASYTALLLG CLCQESPINVTTVREYLPEGDFSIMTEMLKKFLSFMNLTCAVGTTGQKSISRVIEYLEHC >ENSMUSP00000087481.3 pep:known chromosome:GRCm38:14:34673964:34745857:1 gene:ENSMUSG00000041408.16 transcript:ENSMUST00000090027.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wapl description:WAPL cohesin release factor [Source:MGI Symbol;Acc:MGI:2675859] MTSRFGKTYSRKGGNGSSKFDEVFSNKRTTLSTKWGETTFMAKLGQKRPNFKPDIQEIPK KPKVEEEDTGDPFGFDSDDESLPVSSKNLAQGKGSSYSESSEAAQLEEVTSVFEANSKCS HVVGEDSFASDRCLLVEDTLIGKEKSISRIPEDNANKSSCTKLLTSDKVENFSEEHEKNS HHFHKNAEDSTKKPNAETAVASEYKADETKETNDTWNSQSGKRTESPSESCPVKGSVRTG LYEWDNDFEDIRSEDCILSLDNESLLEMKDEDLKNRIGGLENLNETFEEDIIQSVLRPSN CRTYCRANKARSSQGASNFDKLMDGTSQSLAKANSESSKDGLNQAKKGSASCGTSFRGTV GRTRDYTVLHPSCLSVCNVTIQDTMERSMDEFTASTPADLGEAGRLRKKADIATSKTTTR FRPSNTKSKKDVKLEFFGFEDHDETGGDEGGSGSSNYKIKYFGFDDLSESEDDDDDDCQV ERKKDKKRTKTAPSPSQQPPPESSDNSQDSQSSTNNAEDLPGVPESVKKPISKQGDKSKE NTRKIFSGPKRSPTKAVYNARHWNHPDSEELPGPPIAKPQRVTVRLSSKEPNQKDDGVFK APAPPLKVIKTVTIPTQPYQEIVTALKCRKEDKELYTVVQHVKHFNDVVEFGENQEFTDD IEYLLSGLKSTQPLNTRCLSVISLATKCAMPSFRMHLRAHGMVAMVFKTLDDSQHHQNLS LCTAALMYILSRDRLNMDLDRASLDLMIRLLELEQDASSAKLLNEKDMNKIKEKIRRLCE TVHNKHLDLENITTGHLAMETLLSLTSKRAGDWFKEELRLLGGLDHIVDKVKECVDHLSR DDEDEEKLVASLWGAERCLRVLESVTVHNPENQSYLIAYKDSQLIISSAKALQHCEDLIQ QYNRAENSICVADSNPLPYQNVTNHVGKAVEDCMRAIIGVLLNLTNDNEWGSTKTGEQEG LIGTAMNCVLQVPKYLPQEQRFDIRVLGLGLLINLVEYSARNRHCLVNMQTSCSFDSSFS SGEGDHSLRLAGQVHAVQALVQLFLERERAAQLAESKTDELIKDAPTTQHDKSGEWQETS GEIQWVSTEKTDGAEEKQKKEEEDEELDLNKALQHAGKHMEDCIVASYTALLLGCLCQES PINVTTVREYLPEGDFSIMTEMLKKFLSFMNLTCAVGTTGQKSISRVIEYLEHC >ENSMUSP00000117282.1 pep:known chromosome:GRCm38:14:34725990:34746219:1 gene:ENSMUSG00000041408.16 transcript:ENSMUST00000151285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wapl description:WAPL cohesin release factor [Source:MGI Symbol;Acc:MGI:2675859] XDDSQHHQNLSLCTAALMYILSRDRLNMDLDRASLDLMIRLLELEQDASSAKLLNEKDMN KIKEKIRRLCETVHNKHLDLENITTGHLAMETLLSLTSKRAGDWFKEELRLLGGLDHIVD KVKECVDHLSRDDEDEEKLVASLWGAERCLRVLESVTVHNPENQSYLIAYKDSQLIISSA KALQHCEDLIQQYNRAENSICVADSNPLPYQNVTNHVGKAVEDCMRAIIGVLLNLTNDNE WGSTKTGEQEGLIGTAMNCVLQVPKYLPQEQRFDIRVLLFLERERAAQLAESKTDELIKD APTTQHDKSGEWQETSGEIQWVSTEKTDGAEEKQKKEEEDEELDLNKALQHAGKHMEDCI VASYTALLLGCLCQESPINVTTVREYLPEGDFSIMTEMLKKFLSFMNLTCAVGTTGQKSI SRVIEYLEHC >ENSMUSP00000133779.1 pep:known chromosome:GRCm38:14:34736845:34741127:1 gene:ENSMUSG00000041408.16 transcript:ENSMUST00000174559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wapl description:WAPL cohesin release factor [Source:MGI Symbol;Acc:MGI:2675859] EWGSTKTGEQEGLIGTAMNCVLQVPKYLPQEQRFDIRVLGLGLLINLVEYSARNRHCLVN MQTSCSFDSSFSSGEGDHSLRLAGQVHAVQALVQHDKSGEWQETSGEIQWVSTEKTDGAE EKQKKEEEDEELDLNKALQHAGKHMEDCIVASYTALLLGC >ENSMUSP00000130547.1 pep:known chromosome:GRCm38:14:34674122:34745952:1 gene:ENSMUSG00000041408.16 transcript:ENSMUST00000169910.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wapl description:WAPL cohesin release factor [Source:MGI Symbol;Acc:MGI:2675859] MTSRFGKTYSRKGGNGSSKFDEVFSNKRTTLSTKWGETTFMAKLGQKRPNFKPDIQEIPK KPKVEEEDTGDPFGFDSDDESLPVSSKNLAQGKGSSYSESSEAAQLEEVTSVFEANSKCS HVVGEDSFASDRCLLVEDTLIGKEKSISRIPEDNANKSSCTKLLTSDKVENFSEEHEKNS HHFHKNAEDSTKKPNAETAVASEYKADETKETNDTWNSQSGKRTESPSESCPVKGSVRTG LYEWDNDFEDIRSEDCILSLDNESLLEMKDEDLKNRIGGLENLNETFEEDIIQSVLRPSN CRTYCRANKARSSQGASNFDKLMDGTSQSLAKANSESSKDGLNQAKKGSASCGTSFRGTV GRTRDYTVLHPSCLSVCNVTIQDTMERSMDEFTASTPADLGEAGRLRKKADIATSKTTTR FRPSNTKSKKDVKLEFFGFEDHDETGGDEGGSGSSNYKIKYFGFDDLSESEDDDDDDCQV ERKKDKKRTKTAPSPSQQPPPESSDNSQDSQSSTNNAENLDFTEDLPGVPESVKKPISKQ GDKSKENTRKIFSGPKRSPTKAVYNARHWNHPDSEELPGPPIAKPQRVTVRLSSKEPNQK DDGVFKAPAPPLKVIKTVTIPTQPYQEIVTALKCRKEDKELYTVVQHVKHFNDVVEFGEN QEFTDDIEYLLSGLKSTQPLNTRCLSVISLATKCAMPSFRMHLRAHGMVAMVFKTLDDSQ HHQNLSLCTAALMYILSRDRLNMDLDRASLDLMIRLLELEQDASSAKLLNEKDMNKIKEK IRRLCETVHNKHLDLENITTGHLAMETLLSLTSKRAGDWFKEELRLLGGLDHIVDKVKEC VDHLSRDDEDEEKLVASLWGAERCLRVLESVTVHNPENQSYLIAYKDSQLIISSAKALQH CEDLIQQYNRAENSICVADSNPLPYQNVTNHVGKAVEDCMRAIIGVLLNLTNDNEWGSTK TGEQEGLIGTAMNCVLQVPKYLPQEQRFDIRVLGLGLLINLVEYSARNRHCLVNMQTSCS FDSSFSSGEGDHSLRLAGQVHAVQALVQLFLERERAAQLAESKTDELIKDAPTTQHDKSG EWQETSGEIQWVSTEKTDGAEEKQKKEEEDEELDLNKALQHAGKHMEDCIVASYTALLLG CLCQESPINVTTVREYLPEGDFSIMTEMLKKFLSFMNLTCAVGTTGQKSISRVIEYLEHC >ENSMUSP00000058754.8 pep:known chromosome:GRCm38:1:60409619:60481158:1 gene:ENSMUSG00000026782.15 transcript:ENSMUST00000052332.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi2 description:abl-interactor 2 [Source:MGI Symbol;Acc:MGI:106913] MAELQMLLEEEIPGGRRALFDSYTNLERVADYCENNYIQSPDKQRALEETKAYTTQSLAS VAYLINTLANNVLQMLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANLERPVRYIRKPIDYTILDDIGHGVKVSTQNMKMGGLPRTTPPTQKPPSPPM SGKGTLGRHSPYRTLEPVRPPVVPNDYVPSPTRNMAPSQQSPVRTASVNQRNRTYSSSGS SGGSHPSSRSSSRENSGSGSVGVPIAVPTPSPPSVFPGHPVQFYSMNRPASRHTPPTIGG SLPYRRPPSITSQTSLQNQMNGGPFYNQNPVSDTPPPPPPVEEPVFDESPPPPPPPEDYE EEEAAVVEYSDPYAEEDPPWAPRAYLEKVVAIYDYTKDKEDELSFQEGAIIYVIKKNDDG WYEGVMNGVTGLFPGNYVESIMHYSE >ENSMUSP00000140750.1 pep:known chromosome:GRCm38:1:60409695:60477088:1 gene:ENSMUSG00000026782.15 transcript:ENSMUST00000188594.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi2 description:abl-interactor 2 [Source:MGI Symbol;Acc:MGI:106913] MAELQMLLEEEIPGGRRALFDSYTNLERVADYCENNYIQSPDKQRALEETKAYTTQSLAS VAYLINTLANNVLQMLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANLERPVRYIRKPIDYTILDDIGHGVKVSTQNMKMGGLPRTTPPTQKPPSPPM SGKGTLGSSGSSGGSHPSSRSSSRENSGSGSVGVPIAVPTPSPPSVFPAPAGSAGTPPLP ATSASVPTPLVPATVPSSTAPDAAAGGAQSLADGFTSPTPPVISSNPPTGHPVQFYSMNR PASRHTPPTIGGSLPYRRPPSITSQTSLQNQMNGGPFYNQNPVSDTPPPPPPVEEPVFDE SPPPPPPPEDYEEEEAAVVEYSDPYAEEDPPWAPRAYLEKVVAIYDYTKDKEDELSFQEG AIIYVIKKNDDGWYEGVMNGVTGLFPGNYVESIMHYSE >ENSMUSP00000140274.1 pep:known chromosome:GRCm38:1:60409695:60481158:1 gene:ENSMUSG00000026782.15 transcript:ENSMUST00000188618.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi2 description:abl-interactor 2 [Source:MGI Symbol;Acc:MGI:106913] MAELQMLLEEEIPGGRRALFDSYTNLERVADYCENNYIQSPDKQRALEETKAYTTQSLAS VAYLINTLANNVLQMLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANLERPVRYIRKPIDYTILDDIGHGVKWLLRFKVSTQNMKMGGLPRTTPPTQK PPSPPMSGKGTLGRHSPYRTLEPVRPPVVPNDYVPSPTRNMAPSQQSPVRTASVNQRNRT YSSSGSSGGSHPSSRSSSRENSGSGSVGVPIAVPTPSPPSVFPAPAGSAGTPPLPATSAS VPTPLVPATVPSSTAPDAAAGGAQSLADGFTSPTPPVISSNPPTGHPVQFYSMNRPASRH TPPTIGGSLPYRRPPSITSQTSLQNQMNGGPFYNQNPVSDTPPPPPPVEEPVFDESPPPP PPPEDYEEEEAAVVEYSDPYAEEDPPWAPRAYLEKVVAIYDYTKDKEDELSFQEGAIIYV IKKNDDE >ENSMUSP00000141068.1 pep:known chromosome:GRCm38:1:60409734:60479518:1 gene:ENSMUSG00000026782.15 transcript:ENSMUST00000189980.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi2 description:abl-interactor 2 [Source:MGI Symbol;Acc:MGI:106913] MAELQMLLEEEIPGGRRALFDSYTNLERVADYCENNYIQSPDKQRALEETKAYTTQSLAS VAYLINTLANNVLQMLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANLERPVRYIRKPIDYTILDDIGHGVKWLLRFKVSTQNMKMGGLPRTTPPTQK PPSPPMSGKGTLGRHSPYRTLEPVRPPVVPNDYVPSPTRNMAPSQQSPVRTASVNQRNRT YSSSGSSGGSHPSSRSSSRENSGSGSVGVPIAVPTPSPPSVFPDAAAGGAQSLADGFTSP TPPVISSNPPTGHPVQFYSMNRPASRHTPPTIGGSLPYRRPPSITSQTSLQNQMNGGPFY NQNPVSDTPPPPPPVEEPVFDESPPPPPPPEDYEEEEAAVVEYSDPYAEEDPPWAPRAYL EKVVAIYDYTKDKEDELSFQEGAIIYVIKKNDDGWYEGVMNGVTGLFPGNYVESIMHYSE >ENSMUSP00000140522.1 pep:known chromosome:GRCm38:1:60409795:60447304:1 gene:ENSMUSG00000026782.15 transcript:ENSMUST00000189082.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi2 description:abl-interactor 2 [Source:MGI Symbol;Acc:MGI:106913] MAELQMLLEEEIPGGRRALFDSYTNLERVADYCENNYIQTVDIHKEKVARREIGILTTNK NTSRTHKIIAPANLERPVRYIRKPIDYTILDDIGHGVKWLLRFKVSTQNMKMGGLPRTTP PTQKPPSPPMSGKGTLGRHSPYRTLEPVRPPV >ENSMUSP00000139867.1 pep:known chromosome:GRCm38:1:60409803:60477158:1 gene:ENSMUSG00000026782.15 transcript:ENSMUST00000187709.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi2 description:abl-interactor 2 [Source:MGI Symbol;Acc:MGI:106913] MAELQMLLEEEIPGGRRALFDSYTNLERVADYCENNYIQSPDKQRALEETKAYTTQSLAS VAYLINTLANNVLQMLDIQASQLRRMESSINHISQTVDIHKEKVARREIGILTTNKNTSR THKIIAPANLERPVRYIRKPIDYTILDDIGHGVKVSTQNMKMGGLPRTTPPTQKPPSPPM SGKGTLGRHSPYRTLEPVRPPVVPNDYVPSPTRNMAPSQQSPVRTASVNQRNRTYSSSGS SGGSHPSSRSSSRENSGSGSVGVPIAVPTPSPPSVFPGHPVQFYSMNRPASRHTPPTIGG SLPYRRPPSITSQTSLQNQMNGGPFYNQNPVSLAPPPPSILQVTPQLPLMGFVARVQENI SDTPPPPPPVEEPVFDESPPPPPPPEDYEEEEAAVVEYSDPYAEEDPPWAPRAYLEKVVA IYDYTKDKEDELSFQEGAIIYVIKKNDDGWYEGVMNGVTGLFPGNYVESIMHYSE >ENSMUSP00000139483.1 pep:known chromosome:GRCm38:1:60437083:60477758:1 gene:ENSMUSG00000026782.15 transcript:ENSMUST00000185788.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi2 description:abl-interactor 2 [Source:MGI Symbol;Acc:MGI:106913] ARREIGILTTNKNTSRTHKIIAPANLERPVRYIRKPIDYTILDDIGHGVKWLLRFKVSTQ NMKMGGLPRTTPPTQKPPSPPMSGKGTLGSSGSSGGSHPSSRSSSRENSGSGSVGVPIAV PTPSPPSVFPAPAGSAGTPPLPATSASVPTPLVPATVPSSTAPDAAAGGAQSLADGFTSP TPPVISSNPPTGHPVQFYSMNRPASRHTPPTIGGSLPYRRPPSITSQTSLQNQMNGGPFY NQNPVSDTPPPPPPVEEPVFDESPPPPPPPEDYEEEEAAVVEYSDPYAEEDPPWAPRAYL EKVVAIYDYTKDKEDELSFQEGAIIYVIKKNDDGWYEGVMNGVTGLFPGNYVESIMHYSE >ENSMUSP00000139646.1 pep:known chromosome:GRCm38:1:60437207:60476920:1 gene:ENSMUSG00000026782.15 transcript:ENSMUST00000187400.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi2 description:abl-interactor 2 [Source:MGI Symbol;Acc:MGI:106913] XDDIGHGVKVSTQNMKMGGLPRTTPPTQKPPSPPMSGKGTLGSSGSSGGSHPSSRSSSRE NSGSGSVGVPIAVPTPSPPSVFPGHPVQFYSMNRPASRHTPPTIGGSLPYRRPPSITSQT SLQNQMNGGPFYNQNPVSDTPPPPPPVEEPVFDESPPPPPPPEDYEEEEAAVVEYSDPYA EEDPPWAPRAYLEKVVAIYDYTKDKEDELSFQEGAIIYVIKKNDDGWYEGVMNGVTGLFP GNYVESIMHYSE >ENSMUSP00000139501.1 pep:known chromosome:GRCm38:1:60437212:60477083:1 gene:ENSMUSG00000026782.15 transcript:ENSMUST00000186097.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi2 description:abl-interactor 2 [Source:MGI Symbol;Acc:MGI:106913] DIGHGVKWLLRFKVSTQNMKMGGLPRTTPPTQKPPSPPMSGKGTLGRHSPYRTLEPVRPP VVPNDYVPSPTRNMAPSQQSPVRTASVNQRNRTYSSSGSSGGSHPSSRSSSRENSGSGSV GVPIAVPTPSPPSVFPAPAGSAGTPPLPATSASVPTPLVPATVPSSTAPDAAAGGAQSLA DGFTSPTPPVISSNPPTGHPVQFYSMNRPASRHTPPTIGGSLPYRRPPSITSQTSLQNQM NGGPFYNQNPVSLAPPPPSILQVTPQLPLMGFVARVQENISDTPPPPPPVEEPVFDESPP PPPPPEDYEEEEAAVVEYSDPYAEEDPPWAPRAYLEKVVAIYDYTKDKEDELSFQEGAII YVIKKNDDGWYEGVMNGVTGLFPGNYVESIMHYSE >ENSMUSP00000139743.1 pep:known chromosome:GRCm38:1:60438942:60477304:1 gene:ENSMUSG00000026782.15 transcript:ENSMUST00000190158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi2 description:abl-interactor 2 [Source:MGI Symbol;Acc:MGI:106913] WLLRFKVSTQNMKMGGLPRTTPPTQKPPSPPMSGKGTLGSSGSSGGSHPSSRSSSRENSG SGSVGVPIAVPTPSPPSVFPAPAGSAGTPPLPATSASVPTPLVPATVPSSTAPDAAAGGA QSLADGFTSPTPPVISSNPPTGHPVQFYSMNRPASRHTPPTIGGSLPYRRPPSITSQTSL QNQMNGGPFYNQNPVSLAPPPPSILQVTPQLPLMGFVARVQENNTPPPPPPVEEPVFDES PPPPPPPEDYEEEEAAVVEYSDPYAEEDPPWAPRAYLEKVVAIYDYTKDKEDELSFQEGA IIYVIKKNDDGWYEGVMNGVTGLFPGNYVESIMHYSE >ENSMUSP00000099802.1 pep:known chromosome:GRCm38:4:108816718:108833564:-1 gene:ENSMUSG00000028568.16 transcript:ENSMUST00000102741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btf3l4 description:basic transcription factor 3-like 4 [Source:MGI Symbol;Acc:MGI:1915312] MNQEKLAKLQAQVRIGGKGTARRKKKVVHRTATADDKKLQSSLKKLAVNNIAGIEEVNMI KDDGTVIHFNNPKVQASLSANTFAITGHAEAKPITEMLPGILSQLGADSLTSLRKLAEQF PRQVLDSKAPKPEDIDEEDDDVPDLVENFDEASKNEAN >ENSMUSP00000099800.1 pep:known chromosome:GRCm38:4:108816832:108831931:-1 gene:ENSMUSG00000028568.16 transcript:ENSMUST00000102739.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btf3l4 description:basic transcription factor 3-like 4 [Source:MGI Symbol;Acc:MGI:1915312] MNQEKLAKLQAQVRIGGKGTARRKKKVVHRTATADDKKLQSSLKKLAVNNIAGIEEVNMI KDDGTVIHFNNPKVQASLSANTFAITGHAEAKPITEMLPGILSQLGADSLTSLRKLAEQF PRQVLDSKAPKPEDIDEEDDDVPDLVENFDEASKNEAN >ENSMUSP00000099801.1 pep:known chromosome:GRCm38:4:108816791:108833554:-1 gene:ENSMUSG00000028568.16 transcript:ENSMUST00000102740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btf3l4 description:basic transcription factor 3-like 4 [Source:MGI Symbol;Acc:MGI:1915312] MNQEKLAKLQAQVRIGGKGTARRKKKVVHRTATADDKKLQSSLKKLAVNNIAGIEEVNMI KDDGTVIHFNNPKVQASLSANTFAITGHAEAKPITEMLPGILSQLGADSLTSLRKLAEQF PRQVLDSKAPKPEDIDEEDDDVPDLVENFDEASKNEAN >ENSMUSP00000099803.4 pep:known chromosome:GRCm38:4:108814295:108833614:-1 gene:ENSMUSG00000028568.16 transcript:ENSMUST00000102742.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btf3l4 description:basic transcription factor 3-like 4 [Source:MGI Symbol;Acc:MGI:1915312] MNQEKLAKLQAQVRIGGKGTARRKKKVVHRTATADDKKLQSSLKKLAVNNIAGIEEVNMI KDDGTVIHFNNPKVQASLSANTFAITGHAEAKPITEMLPGILSQLGADSLTSLRKLAEQF PRQVLDSKAPKPEDIDEEDDDVPDLVENFDEASKNEAN >ENSMUSP00000059389.8 pep:known chromosome:GRCm38:1:171388954:171410240:1 gene:ENSMUSG00000048865.16 transcript:ENSMUST00000056449.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap30 description:Rho GTPase activating protein 30 [Source:MGI Symbol;Acc:MGI:2684948] MKSRQKGKKKGSSKERVFGCDLREHLQHSGQEVPQVLRSCAEFVQEYGVVDGIYRLSGVS SNIQKLRQEFETERKPDLRRDVYLQDIHCVSSLCKAYFRELPDPLLTYRLYDKFAEAVAV QLEPERLVKILEVLQELPIQNYRTLEFLMRHLVHMASFSAQTNMHARNLAIVWAPNLLRS KDIEASGFNGTAAFMEVRVQSIVVEFILTHVDQLFRGDSLSAGVDLESGWKSLPGARASG SSEDLMPTSLPYHLPSILQAGDGPPQIRPYHTIIEIAEHKRKGSLKVRKWRSIFNLGRSG HETKRKLPLRVEDREEKSSKGTLRPAKSMDSLSAAAGASDEPEGLVGSSSSQPSSLMPES LESNSMEGEQEPEAEAPGSANSEPGTPRAGRSAVRALGSSRAERCAGVHISDPYNVNLPL HITSILSVPPNIISNVSLVRLTRGLECPALQPRPSPALGPGPPDEKSEARSVPGPLDDSS PAAMTPALEDSLSQEVQDSFSFLEDLSSSEPEWVGVEEREVAKAEAAGAAGAAAFSLGED DPGMGYLEELLRVGPQVEEFSVEPPLDDLSLDDTQYVLAPNCCSLDSAVSTPDVEEDYGE EVFLSAYDDLSPLLGPKPINWEGVGSLEEEAAGCGKQPPTQDEEEQACSETRQEKEAKPR STSDNREEAEATPETEMEAGKADAEGGEAERSQKVMDSFKEGSREELEAKEENSEGREVE SIKETKDVEKIIGEPGKDEEREIGREEGAEKGDDTPVDSDMDPEHVFQEDLVLEESWEVV HKHEAEKGRESETKELRRKSDLKSREDQGHSEDSGSPEEGDDRKEGVFSKEQKSIDVETE VMRGVGDHLEEGALSEGPGVELLRVDSTEEINEQTSEMKQAPLQPSEPEGMEAEGQLNPE TCDLYSCPCGSAGGVGMRLASTLVQVRQVRSVPVVPPKPQFAKMPSAMCSKIHVAPASPC PRPGRLDGTPGEKAWGSRASWRNGGSLSFDAAVALARERQRTESQGVLRTQTCTGGGDYS LSSRTPPCSMILAHSSRPLSCLERPPEGTEGSEPRSRLSLPPRELHPVVPLVAPQRQTYA FETQTNHGKDEGV >ENSMUSP00000108975.1 pep:known chromosome:GRCm38:X:123103559:123145654:1 gene:ENSMUSG00000079450.11 transcript:ENSMUST00000113348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34c1 description:claudin 34C1 [Source:MGI Symbol;Acc:MGI:1920311] MFISKRAARNRTLAGFHIFDASMVLLNKSANHQIRGFTLATIACIMCNTSMALPEWRICY LNNSMLSYPSLAFVNIWEAYICHHNHNSSHLRDCHYYTCHNNLVPLDIRVSQILLQVANV VGLVGTVCSVFALQQLYTEELHKNNDYNPFVLSAVLNAIASTFIFLAVMCNHLSVPSKEE VSFLQSFQMPIFSNAQRAGRAMGLAYISAILFLLSAIIFISYCPSMEIKMFPRV >ENSMUSP00000108970.1 pep:known chromosome:GRCm38:X:123103495:123145651:1 gene:ENSMUSG00000079450.11 transcript:ENSMUST00000113343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34c1 description:claudin 34C1 [Source:MGI Symbol;Acc:MGI:1920311] MVLLNKSANHQIRGFTLATIACIMCNTSMALPEWRICYLNNSMLSYPSLAFVNIWEAYIC HHNHNSSHLRDCHYYTCHNNLVPLDIRVSQILLQVANVVGLVGTVCSVFALQQLYTEELH KNNDYNPFVLSAVLNAIASTFIFLAVMCNHLSVPSKEEVSFLQSFQMPIFSNAQRAGRAM GLAYISAILFLLSAIIFISYCPSMEIKMFPRV >ENSMUSP00000137081.1 pep:known chromosome:GRCm38:X:123117567:123143270:1 gene:ENSMUSG00000079450.11 transcript:ENSMUST00000169445.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34c1 description:claudin 34C1 [Source:MGI Symbol;Acc:MGI:1920311] MFISKRAARNRTLAGFHIFDASMVLLNKSANHQIRGFTLATIACIMCNTSMALPEWRICY LNNSMLSYPSLAFVNIWEAYICHHNHNSSHLRDCHYYTCHNNLVPLDIRVSQILLQVANV VGLVGTVCSVFALQQLYTEELHKNNDYNPFVLSAVLNAIASTFIFLAVMCNHLSVPSKEE VSFLQSFQMPIFSNAQRAGRAMGLAYISAILFLLSAIIFISYCPSMEIKMFPRV >ENSMUSP00000101857.1 pep:known chromosome:GRCm38:11:119307768:119345375:1 gene:ENSMUSG00000013483.14 transcript:ENSMUST00000106250.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Card14 description:caspase recruitment domain family, member 14 [Source:MGI Symbol;Acc:MGI:2386258] MAELCRMDSTLTALDEEMLWDMLESHRCRIVQSICPSRLTPYLRQAKVLGQLDEEEILHS SRFTNSAMRVGHLLDLLKARGKNGAIAFLESLKFHNPDVYTLVTGLQSDIDFSTFSGLME TSKLTECLAGAISSLQEELAQEKAQKEVLLRRCQQLKERLGLAEAHAEGLRQLEVDHSRM KREVSTHFHEVLKLKDEMLNLSLHYSNALREKELAATRCHSLQEELYLVKQELQRASLVS SCERESRERSLKMASNLEPQGEELNRLKEENEKLRSMTFSLVEKDILEQSLDEARESKQE LVDRIHSLRERAVAAERQQKQYWEEKEQTLLQFRKTQVDCELYKEKMTMLQGQVAELQKE RDQAYTARDRAQMEISQRLVEKDALRRRVFELTEQVCELRTQLRRLQAEAPGGPKQEAGA RELCLRGKQRLVRMHAVCPPDDSDCSLLSSTESRLWWDLNSTSSREQMDSFRSSSPMPPS QQSLYKRVAEDFLEDPESLSFPEVLEMRLQGATVDDTDTDLEFEMIDGADLSQTEDSLQG SSRSLNVSESSVPVRRRPARKILSQVTVLAFQGDALLEQIGVIGGNLTGIFIHRVTPGSA ADEMALRPGTQIMMVDYKPTKPSLRATLENTTLEQAVGLLRRVNGSCYLSVKINTEGYKN LIQDLDAKVVTSGDSFYIRVNLAMQRGGDGELQTHCNDILHVTDTMFQGRSCWHAHHVNP YTMKDMEPGTIPNYSQAQQQLLALIQDMTQRCTVPRKPPGGPQKLVRIVSVDKAAVSPLT SSFDQSQWDSGKEEGGPSVCFWSESCFTLAPYTLVHPHRPARPRPVLFVPRLVGRILGKK LCLLQGFKQCSAEYLSQEEYATWSQRGDIIQEGESIGDHHWITRHAVESLMNMSTHALLD VRLDSVRVLHRMDMFPIIIHVSVNEKTAKKLRKGLHRLGSSEEQFLEVARQEEGELDRVP CLYSSLAPDSWSDLDSLLSCVRLAIADEQKKVVWTESPC >ENSMUSP00000053665.6 pep:known chromosome:GRCm38:11:119314787:119345375:1 gene:ENSMUSG00000013483.14 transcript:ENSMUST00000053245.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Card14 description:caspase recruitment domain family, member 14 [Source:MGI Symbol;Acc:MGI:2386258] MAELCRMDSTLTALDEEMLWDMLESHRCRIVQSICPSRLTPYLRQAKVLGQLDEEEILHS SRFTNSAMRVGHLLDLLKARGKNGAIAFLESLKFHNPDVYTLVTGLQSDIDFSTFSGLME TSKLTECLAGAISSLQEELAQEKAQKEVLLRRCQQLKERLGLAEAHAEGLRQLEVDHSRM KREVSTHFHEVLKLKDEMLNLSLHYSNALREKELAATRCHSLQEELYLVKQELQRASLVS SCERESRERSLKMASNLEPQGEELNRLKEENEKLRSMTFSLVEKDILEQSLDEARESKQE LVDRIHSLRERAVAAERQQKQYWEEKEQTLLQFRKTQVDCELYKEKMTMLQGQVAELQKE RDQAYTARDRAQMEISQRLVEKDALRRRVFELTEQVCELRTQLRRLQAEAPGGPKQEAGA RELCLRGKQRLVRMHAVCPPDDSDCSLLSSTESRLWWDLNSTSSREQMDSFRSSSPMPPS QQSLYKRVAEDFLEDPESLSFPEVLEMRLQGATVDDTDTDLEFEMIDGADLSQTEDSLQG SSRSLNVSESSVPVRRRPARKILSQVTVLAFQGDALLEQIGVIGGNLTGIFIHRVTPGSA ADEMALRPGTQIMMVDYKPTKPSLRATLENTTLEQAVGLLRRVNGSCYLSVKINTEGYKN LIQDLDAKVVTSGDSFYIRVNLAMQRGGDGELQTHCNDILHVTDTMFQGRSCWHAHHVNP YTMKDMEPGTIPNYSQAQQQLLALIQDMTQRCTVPRKPPGGPQKLVRIVSVDKAAVSPLT SSFDQSQWDSGKEEGGPSVCFWSESCFTLAPYTLVHPHRPARPRPVLFVPRLVGRILGKK LCLLQGFKQCSAEYLSQEEYATWSQRGDIIQEGESIGDHHWITRHAVESLMNMSTHALLD VRLDSVRVLHRMDMFPIIIHVSVNEKTAKKLRKGLHRLGSSEEQFLEVARQEEGELDRVP CLYSSLAPDSWSDLDSLLSCVRLAIADEQKKVVWTESPC >ENSMUSP00000106963.2 pep:known chromosome:GRCm38:2:91650118:91672326:1 gene:ENSMUSG00000027247.16 transcript:ENSMUST00000111331.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap1 description:Rho GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2445003] MAEVVGELGAPEGAVPIPISEPDVCRALTGVAEEALPERAMDPLSELQDDLTLDDTSQAL NQLKLASIDEKNWPSDEMPDFPKSDDSKSSSPEPVTHLKWDDPYYDIARHQIVEVAGDDK YGRKIIVFSACRMPPSHQLDHSKLLGYLKHTLDQYVESDYTLLYLHHGLTSDNKPSLSWL RDAYREFDRKYKKNIKALYIVHPTMFIKTLLILFKPLISFKFGRKIFYVNYLSELSEHVK LEQLGIPRQVLKYDDFLKSTQKSPATAPKPMPPRPPLPNQQFGVSLQHLQEKSPGQDPIP IVLRETVAYLQAHALTTEGIFRRSANTQVVREVQQKYNMGLPVDFDQYNELHLPAVILKT FLRELPEPLLTFDLYPHVVGFLNIDESQRVEVTQQVLQTLPEENYQVLHFLTAFLVQISA HCDQNKMTNTNLAVVFGPNLLWAKDAAITLKAINPINTFTKFLLDHQGELFPSTDAQGV >ENSMUSP00000106961.1 pep:known chromosome:GRCm38:2:91649860:91672326:1 gene:ENSMUSG00000027247.16 transcript:ENSMUST00000111329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap1 description:Rho GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2445003] MDPLSELQDDLTLDDTSQALNQLKLASIDEKNWPSDEMPDFPKSDDSKSSSPEPVTHLKW DDPYYDIARHQIVEVAGDDKYGRKIIVFSACRMPPSHQLDHSKLLGYLKHTLDQYVESDY TLLYLHHGLTSDNKPSLSWLRDAYREFDRKYKKNIKALYIVHPTMFIKTLLILFKPLISF KFGRKIFYVNYLSELSEHVKLEQLGIPRQVLKYDDFLKSTQKSPATAPKPMPPRPPLPNQ QFGVSLQHLQEKSPGQDPIPIVLRETVAYLQAHALTTEGIFRRSANTQVVREVQQKYNMG LPVDFDQYNELHLPAVILKTFLRELPEPLLTFDLYPHVVGFLNIDESQRVEVTQQVLQTL PEENYQVLHFLTAFLVQISAHCDQNKMTNTNLAVVFGPNLLWAKDAAITLKAINPINTFT KFLLDHQGELFPSTDAQGV >ENSMUSP00000088105.4 pep:known chromosome:GRCm38:2:91650222:91672326:1 gene:ENSMUSG00000027247.16 transcript:ENSMUST00000090614.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap1 description:Rho GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2445003] MDPLSELQDDLTLDDTSQALNQLKLASIDEKNWPSDEMPDFPKSDDSKSSSPEPVTHLKW DDPYYDIARHQIVEVAGDDKYGRKIIVFSACRMPPSHQLDHSKLLGYLKHTLDQYVESDY TLLYLHHGLTSDNKPSLSWLRDAYREFDRKYKKNIKALYIVHPTMFIKTLLILFKPLISF KFGRKIFYVNYLSELSEHVKLEQLGIPRQVLKYDDFLKSTQKSPATAPKPMPPRPPLPNQ QFGVSLQHLQEKSPGQDPIPIVLRETVAYLQAHALTTEGIFRRSANTQVVREVQQKYNMG LPVDFDQYNELHLPAVILKTFLRELPEPLLTFDLYPHVVGFLNIDESQRVEVTQQVLQTL PEENYQVLHFLTAFLVQISAHCDQNKMTNTNLAVVFGPNLLWAKDAAITLKAINPINTFT KFLLDHQGELFPSTDAQGV >ENSMUSP00000106962.2 pep:known chromosome:GRCm38:2:91653208:91672302:1 gene:ENSMUSG00000027247.16 transcript:ENSMUST00000111330.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap1 description:Rho GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:2445003] MDPLSELQDDLTLDDTSQALNQLKLASIDEKNWPSDEMPDFPKSDDSKSSSPEPVTHLKW DDPYYDIARHQIVEVAGDDKYGRKIIVFSACRMPPSHQLDHSKLLGYLKHTLDQYVESDY TLLYLHHGLTSDNKPSLSWLRDAYREFDRKYKKNIKALYIVHPTMFIKTLLILFKPLISF KFGRKIFYVNYLSELSEHVKLEQLGIPRQVLKYDDFLKSTQKSPATAPKPMPPRPPLPNQ QFGVSLQHLQEKSPGQDPIPIVLRETVAYLQAHALTTEGIFRRSANTQVVREVQQKYNMG LPVDFDQYNELHLPAVILKTFLRELPEPLLTFDLYPHVVGFLNIDESQRVEVTQQVLQTL PEENYQVLHFLTAFLVQISAHCDQNKMTNTNLAVVFGPNLLWAKDAAITLKAINPINTFT KFLLDHQGELFPSTDAQGV >ENSMUSP00000082820.2 pep:known chromosome:GRCm38:9:58129088:58153955:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000085677.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLSWTVPAAVFVVLFSNLCLLLPD ENPLPFLNLTAASSPDGEMETSRGPWKLLALLYYPALYYPLAACASAGHQAAFLLGTVLS WAHFGVQVWQKAECPQDPKIYKHYSLLASLPLLLGLGFLSLWYPVQLVQSLRHPTGAGSQ GLQTSYSEKYLRTLLCPKKLDSCSHPASKRSLLSRAWAFSHHSIYTPQPGFRLPLKLVIS ATLTGTATYQVALLLLVSVVPTVQKVRAGINTDVSYLLAGFGIVLSEDRQEVVELVKHHL WTVEACYISALVLSCASTFLLLIRSLRTHRANLQALHRGAALDLDPPLQSIHPSRQAIVS WMSFCAYQTAFSCLGLLVQQVIFFLGTTSLAFLVFVPLLHGRNLLLLRSLESTWPFWLTV ALAVILQNIAANWIFLRTHHGYPELTNRRMLCVATFLLFPINMLVGAIMAVWRVLISSLY NTVHLGQMDLSLLPQRAASLDPGYHTYQNFLRIEASQSHPGVIAFCALLLHAPSPQPRPP LAPQDSLRPAEEEEGMQLLQTKDLMAKGAGHKGSQSRARWGLAYTLLHNPSLQAFRKAAL TSAKANGTQP >ENSMUSP00000034880.3 pep:known chromosome:GRCm38:9:58129349:58153953:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000034880.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLSWTVPAAVFVVLFSNLCLLLPD ENPLPFLNLTAASSPDGEMETSRGPWKLLALLYYPALYYPLAACASAGHQAAFLLGTVLS WAHFGVQVWQKAECPQDPKIYKHYSLLASLPLLLGLGFLSLWYPVQLVQSLRHPTGAGSQ GLQTSYSEKYLRTLLCPKKLDSCSHPASKRSLLSRAWAFSHHSIYTPQPGFRLPLKLVIS ATLTGTATYQVALLLLVSVVPTVQKVRAGINTDVSYLLAGFGIVLSEDRQEVVELVKHHL WTVEACYISALVLSCASTFLLLIRSLRTHRANLQALHRGAALDLDPPLQSIHPSRQAIVS WMSFCAYQTAFSCLGLLVQQVIFFLGTTSLAFLVFVPLLHGRNLLLLRSLESTWPFWLTV ALAVILQNIAANWIFLRTHHGYPELTNRRMLCVATFLLFPINMLVGAIMAVWRVLISSLY NTVHLGQMDLSLLPQRAASLDPGYHTYQNFLRIEASQSHPGVIAFCALLLHAPSPQPRPP LAPQDSLRPAEEEEGMQLLQTKDLMAKGAGHKGSQSRARWGLAYTLLHNPSLQAFRKAAL TSAKANGTQP >ENSMUSP00000114346.1 pep:known chromosome:GRCm38:9:58129501:58140871:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000133287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLSWTVPAAVFVVLFSNLCLLLPD ENPLPFLNLTAASSPDGEM >ENSMUSP00000115314.1 pep:known chromosome:GRCm38:9:58131897:58140444:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000136338.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLS >ENSMUSP00000117280.1 pep:known chromosome:GRCm38:9:58134017:58141196:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000134955.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLSWTVPAAVFVVLFSNLCLLLPD ENPLPFLNLTAASSPDGEMETSRGPWKLLALLYYPALYYPLAACASAGHQAAFLLGTVLS WAHFGVQVWQ >ENSMUSP00000115315.1 pep:known chromosome:GRCm38:9:58134075:58141112:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000147134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLSWTVPAAVFVVLFSNLCLLLPD ENPLPFLNLTAASSPDGEMETSRGPWKLLALLYYPALYYPLA >ENSMUSP00000115511.1 pep:known chromosome:GRCm38:9:58134535:58141118:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000128378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLSWTVPAAVFVVLFSNLCLLLPD ENPLPFLNLTAASSPDGEMETSRGPWKLLALLYYPALYYPLAAC >ENSMUSP00000122373.1 pep:known chromosome:GRCm38:9:58134665:58141128:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000150820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLSWTVPAAVFVVLFSNLCLLLPD ENPLPFLNLTAASSPDGEMETSRGPWKLLALLYYPALYYPLAACASAG >ENSMUSP00000118242.1 pep:known chromosome:GRCm38:9:58134810:58139366:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000134450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVL >ENSMUSP00000117832.1 pep:known chromosome:GRCm38:9:58079385:58139435:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000128021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGL >ENSMUSP00000119062.1 pep:known chromosome:GRCm38:9:58079310:58141222:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000136154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLSWTVPAAVFVVLFSNLCLLLPD ENPLPFLNLTAASSPDGEMETSRGPWKLLALLYYPALYYPLAACASAGHQAAFLLGTVLS WAHFGVQVWQKAECPQDPK >ENSMUSP00000130232.1 pep:known chromosome:GRCm38:9:58134075:58153996:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000170397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLSWTVPAAVFVVLFSNLCLLLPD ENPLPFLNLTAASSPDGEMETSRGPWKLLALLYYPALYYPLAACASAGHQAAFLLGTVLS WAHFGVQVWQKAECPQDPKIYKHYSLLASLPLLLGLGFLSLWYPVQLVQSLRHPTGAGSQ GLQTSYSEKYLRTLLCPKKLDSCSHPASKRSLLSRAWAFSHHSIYTPQPGFRLPLKLVIS ATLTGTATYQVALLLLVSVVPTVQKVRAGINTDVSYLLAGFGIVLSEDRQEVVELVKHHL WTVEACYISALVLSCASTFLLLIRSLRTHRANLQALHRGAALDLDPPLQSIHPSRQAIVS WMSFCAYQTAFSCLGLLVQQVIFFLGTTSLAFLVFVPLLHGRNLLLLRSLESTWPFWLTV ALAVILQNIAANWIFLRTHHGYPELTNRRMLCVATFLLFPINMLVGAIMAVWRVLISSLY NTVHLGQMDLSLLPQRAASLDPGYHTYQNFLRIEASQSHPGVIAFCALLLHAPSPQPRPP LAPQDSLRPAEEEEGMQLLQTKDLMAKGAGHKGSQSRARWGLAYTLLHNPSLQAFRKAAL TSAKANGTQP >ENSMUSP00000128417.1 pep:known chromosome:GRCm38:9:58134665:58153996:1 gene:ENSMUSG00000032327.14 transcript:ENSMUST00000167479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6 description:stimulated by retinoic acid gene 6 [Source:MGI Symbol;Acc:MGI:107742] MESQASENGSQTSSGVTDDYSSWYIEEPLGAEEVQPEGVIPLCQLTAPPALLHACLASLS FLVLLLLALLVRRRRLWPRCGHRGLGLPSPVDFLAGDLSWTVPAAVFVVLFSNLCLLLPD ENPLPFLNLTAASSPDGEMETSRGPWKLLALLYYPALYYPLAACASAGHQAAFLLGTVLS WAHFGVQVWQKAECPQDPKIYKHYSLLASLPLLLGLGFLSLWYPVQLVQSLRHPTGAGSQ GLQTSYSEKYLRTLLCPKKLDSCSHPASKRSLLSRAWAFSHHSIYTPQPGFRLPLKLVIS ATLTGTATYQVALLLLVSVVPTVQKVRAGINTDVSYLLAGFGIVLSEDRQEVVELVKHHL WTVEACYISALVLSCASTFLLLIRSLRTHRANLQALHRGAALDLDPPLQSIHPSRQAIVS WMSFCAYQTAFSCLGLLVQQVIFFLGTTSLAFLVFVPLLHGRNLLLLRSLESTWPFWLTV ALAVILQNIAANWIFLRTHHGYPELTNRRMLCVATFLLFPINMLVGAIMAVWRVLISSLY NTVHLGQMDLSLLPQRAASLDPGYHTYQNFLRIEASQSHPGVIAFCALLLHAPSPQPRPP LAPQDSLRPAEEEEGMQLLQTKDLMAKGAGHKGSQSRARWGLAYTLLHNPSLQAFRKAAL TSAKANGTQP >ENSMUSP00000116176.1 pep:known chromosome:GRCm38:1:192844488:192855756:-1 gene:ENSMUSG00000016262.14 transcript:ENSMUST00000155579.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertad4 description:SERTA domain containing 4 [Source:MGI Symbol;Acc:MGI:2443496] MTLVLSMNRFCEPIVSEGAAEIAGYQTLWEADSYGGASPPGPAPVPSQGDRGASSHLAGS HYRGIPNPISTPKVTYFKRKYAEDEDLHPPLSSCSHKTISIFEERAHILYMSLEKLRFID DPEVYLRRSVLINNLMKRIHGEIVMQNSWCLPACSLGGTPAQEWFLAQDCPYRKRPRVAK EEWEKIHTCCFYQECGGHCLNLPLSVNTSVGSTSAAAVASAASSSSSPSTSSSSSPSSSS SPSPSPSSSSSSSSSSPLPLPSCSHHVDFDIGSAPIYKSQIPASEIFVTNIRSLGVQEKV KFNNDGKVSHETSRDGDALGQEPVGNDLDFECKGQFYDYFETGYNERNRVSESWKKSLRK KELSPSSKLCCNRGGKM >ENSMUSP00000142132.1 pep:known chromosome:GRCm38:1:192847063:192851747:-1 gene:ENSMUSG00000016262.14 transcript:ENSMUST00000155503.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertad4 description:SERTA domain containing 4 [Source:MGI Symbol;Acc:MGI:2443496] MTLVLSMNRFCEPIVSEGAAEIAGYQTLWEADSYGGASPPGPAPVPSQGDRGASSHLAGS HYRGIPNPISTPKVTYFKRKYAEDEDLHPPLSSCSHKTISIFEERAHILYMSLEKLRFID DPEVYLRRSVLINNLMKRIHGEIVMQNS >ENSMUSP00000016406.7 pep:known chromosome:GRCm38:1:192844489:192851747:-1 gene:ENSMUSG00000016262.14 transcript:ENSMUST00000016406.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertad4 description:SERTA domain containing 4 [Source:MGI Symbol;Acc:MGI:2443496] MTLVLSMNRFCEPIVSEGAAEIAGYQTLWEADSYGGASPPGPAPVPSQGDRGASSHLAGS HYRGIPNPISTPKVTYFKRKYAEDEDLHPPLSSCSHKTISIFEERAHILYMSLEKLRFID DPEVYLRRSVLINNLMKRIHGEIVMQNSWCLPACSLGGTPAQEWFLAQDCPYRKRPRVAK EEWEKIHTCCFYQECGGHCLNLPLSVNTSVGSTSAAAVASAASSSSSPSTSSSSSPSSSS SPSPSPSSSSSSSSSSPLPLPSCSHHVDFDIGSAPIYKSQIPASEIFVTNIRSLGVQEKV KFNNDGKVSHETSRDGDALGQEPVGNDLDFECKGQFYDYFETGYNERNRVSESWKKSLRK KELSPSSKLCCNRGGKM >ENSMUSP00000025659.4 pep:known chromosome:GRCm38:19:21272278:21282289:1 gene:ENSMUSG00000024750.10 transcript:ENSMUST00000025659.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand5 description:zinc finger, AN1-type domain 5 [Source:MGI Symbol;Acc:MGI:1278334] MAQETNQTPGPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQQNSGRMSPMGTASGSNSPT SDSASVQRADAGLNNCEGAAGSTSEKSRNVPVAALPVTQQMTEMSISREDKITTPKTEVS EPVVTQPSPSVSQPSSSQSEEKAPELPKPKKNRCFMCRKKVGLTGFDCRCGNLFCGLHRY SDKHNCPYDYKAEAAAKIRKENPVVVAEKIQRI >ENSMUSP00000123555.1 pep:known chromosome:GRCm38:19:21273246:21277038:1 gene:ENSMUSG00000024750.10 transcript:ENSMUST00000152338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand5 description:zinc finger, AN1-type domain 5 [Source:MGI Symbol;Acc:MGI:1278334] MAQETNQTPGPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQQNSGRMSPMGTASGSNSPT SDSASVQRADA >ENSMUSP00000122782.1 pep:known chromosome:GRCm38:19:21272687:21280433:1 gene:ENSMUSG00000024750.10 transcript:ENSMUST00000149144.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfand5 description:zinc finger, AN1-type domain 5 [Source:MGI Symbol;Acc:MGI:1278334] MAQETNQTPGPMLCSTGCGFYGNPRTNGMCSVCYKEHLQRQQNSGRMSPMGTASGSNSPT SDSASVQRADAGLNNCEGAAGSTSEKSSCHSAQSISFSAQFFSK >ENSMUSP00000141004.1 pep:known chromosome:GRCm38:Y:75715322:75741549:1 gene:ENSMUSG00000100902.1 transcript:ENSMUST00000188672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20850 description:predicted gene, 20850 [Source:MGI Symbol;Acc:MGI:5434206] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000107293.1 pep:known chromosome:GRCm38:2:84671316:84678118:-1 gene:ENSMUSG00000050043.16 transcript:ENSMUST00000111664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmx2 description:thioredoxin-related transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1914208] MAVLAPLIALVYSVPRLSRWLARPYCLLSALLSIAFLLVRKLPPICNGLPTQREDGNPCD FDWREVEILMFLSAIVMMKNRRSMFLMTCKPPLYMGPEYIKYFNDKTIDEELERDKRVTW IVEFFANWSNDCQSFAPIYADLSLKYNCSGLNFGKVDVGRYTDVSTRYKVSTSPLTRQLP TLILFQGGKEVIRRPQIDKKGRAVSWTFSEENVIREFNLNELYQRAKKHSKGGDMSEEKP VDPAPTTVPDGENKKDK >ENSMUSP00000107294.1 pep:known chromosome:GRCm38:2:84671316:84678828:-1 gene:ENSMUSG00000050043.16 transcript:ENSMUST00000111665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmx2 description:thioredoxin-related transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1914208] MAVLAPLIALVYSVPRLSRWLARPYCLLSALLSIAFLLVRKLPPICNGLPTQREDGNPCD FDWREVEILMFLSAIVMMKNRRSITVEQHVGNIFMFSKVANAILFFRLDIRMGLLYLTLC IVFLMTCKPPLYMGPEYIKYFNDKTIDEELERDKRVTWIVEFFANWSNDCQSFAPIYADL SLKYNCSGLNFGKVDVGRYTDVSTRYKVSTSPLTRQLPTLILFQGGKEVIRRPQIDKKGR AVSWTFSEENVIREFNLNELYQRAKKHSKGGDMSEEKPVDPAPTTVPDGENKKDK >ENSMUSP00000115745.1 pep:known chromosome:GRCm38:2:84676106:84679140:-1 gene:ENSMUSG00000050043.16 transcript:ENSMUST00000152149.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmx2 description:thioredoxin-related transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1914208] MAVLAPLIALVYSVPRLSRWLARPYCLLSALLSIAFLLVRKLPPICNGLPTQREDGNPCD FDWREVEILMFLSAIVMMKN >ENSMUSP00000059582.8 pep:known chromosome:GRCm38:2:84671317:84678056:-1 gene:ENSMUSG00000050043.16 transcript:ENSMUST00000053664.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmx2 description:thioredoxin-related transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1914208] MAVLAPLIALVYSVPRLSRWLARPYCLLSALLSIAFLLVRKLPPICNGLPTQREDGNPCD FDWREVEILMFLSAIVMMKNRRSITVEQHVGNIFMFSKVANAILFFRLDIRMGLLYLTLC IVFLMTCKPPLYMGPEYIKYFNDKTIDEELERDKRVTWIVEFFANWSNDCQSFAPIYADL SLKYNCSGLNFGKVDVGRYTDVSTRYKVSTSPLTRQLPTLILFQGGKEVIRRPQIDKKGR AVSWTFSEENVIREFNLNELYQRAKKHSKGGDMSEEKPVDPAPTTVPDGENKKDK >ENSMUSP00000064691.3 pep:known chromosome:GRCm38:11:102887336:102897131:-1 gene:ENSMUSG00000020932.14 transcript:ENSMUST00000067444.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfap description:glial fibrillary acidic protein [Source:MGI Symbol;Acc:MGI:95697] MERRRITSARRSYASETVVRGLGPSRQLGTMPRFSLSRMTPPLPARVDFSLAGALNAGFK ETRASERAEMMELNDRFASYIEKVRFLEQQNKALAAELNQLRAKEPTKLADVYQAELREL RLRLDQLTANSARLEVERDNFAQDLGTLRQKLQDETNLRLEAENNLAAYRQEADEATLAR VDLERKVESLEEEIQFLRKIYEEEVRELREQLAQQQVHVEMDVAKPDLTAALREIRTQYE AVATSNMQETEEWYRSKFADLTDAASRNAELLRQAKHEANDYRRQLQALTCDLESLRGTN ESLERQMREQEERHARESASYQEALARLEEEGQSLKEEMARHLQEYQDLLNVKLALDIEI ATYRKLLEGEENRITIPVQTFSNLQIRETSLDTKSVSEGHLKRNIVVKTVEMRDGEVIKD SKQEHKDVVM >ENSMUSP00000077061.4 pep:known chromosome:GRCm38:11:102890166:102897200:-1 gene:ENSMUSG00000020932.14 transcript:ENSMUST00000077902.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfap description:glial fibrillary acidic protein [Source:MGI Symbol;Acc:MGI:95697] MERRRITSARRSYASETVVRGLGPSRQLGTMPRFSLSRMTPPLPARVDFSLAGALNAGFK ETRASERAEMMELNDRFASYIEKVRFLEQQNKALAAELNQLRAKEPTKLADVYQAELREL RLRLDQLTANSARLEVERDNFAQDLGTLRQKLQDETNLRLEAENNLAAYRQEADEATLAR VDLERKVESLEEEIQFLRKIYEEEVRELREQLAQQQVHVEMDVAKPDLTAALREIRTQYE AVATSNMQETEEWYRSKFADLTDAASRNAELLRQAKHEANDYRRQLQALTCDLESLRGTN ESLERQMREQEERHARESASYQEALARLEEEGQSLKEEMARHLQEYQDLLNVKLALDIEI ATYRKLLEGEENRITIPVQTFSNLQIRGGKSTKEGEGQKVTRPLKRLTIQVVPIQAHQIE NGALPALP >ENSMUSP00000141531.1 pep:known chromosome:GRCm38:7:59995449:60005049:-1 gene:ENSMUSG00000102627.1 transcript:ENSMUST00000194059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snurf description:SNRPN upstream reading frame [Source:MGI Symbol;Acc:MGI:1891236] MERGRDRLHLRRTTEQHVPEVEVQVKRRRTASLSNQECHLYPRRSQQQQVPVVDFQAELR QAFLAETPRGG >ENSMUSP00000007296.5 pep:known chromosome:GRCm38:5:110286306:110337474:1 gene:ENSMUSG00000007080.14 transcript:ENSMUST00000007296.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pole description:polymerase (DNA directed), epsilon [Source:MGI Symbol;Acc:MGI:1196391] MVLRNSGRRHPEPGADGEGSRDDGPSSSVSALKRLERSQWTDKMDLRFGFERLKEPGERT GWLINMHPTEILDEDKRLVSAVDYYFIQDDGSRFKVALPYMPYFYIAARKGCDREVSSFL SKKFQGKIAKLENVPKEDLDLPNHLVGLKRSYIKLSFHTVEDLVKVRKEISPAVKKNREQ DHASDEYTTMLSSILQGGSVITDEDETSKKIADQLDNIVDMREYDVPYHIRLSIDLRIHV AHWYNVRFRGNAFPVEITRRDDLVERPDPVVLAFDIETTKLPLKFPDAETDQIMMISYMI DGQGYLITNREIVSEDIEDFEFTPKPEYEGPFCVFNEPDEVHLIQRWFEHIQETKPTIMV TYNGDFFDWPFVEARAAIHGLSMYQEIGFQKDSQGEYKAPQCIHMDCLRWVKRDSYLPVG SHNLKAAAKAKLGYDPVELDPEDMCRMATEQPQTLATYSVSDAVATYYLYMKYVHPFIFA LCTIIPMEPDEVLRKGSGTLCEALLMVQAFHANIIFPNKQEQEFNKLTDDGHMLDAETYV GGHVEALESGVFRSDIPCRFRMNPAAFDFLLQRVEKTMRHAIEEEEKVPVEQATNFQEVC EQIKTKLTSLKDVPNRIECPLIYHLDVGAMYPNIILTNRLQPSAIVDEATCAACDFNKPG ASCQRKMAWQWRGEFMPASRSEYHRIQHQLESEKFPPLFPEGPARAFHELSREEQAKYEK RRLADYCRKAYKKIHVTKVEERLTTICQRENSFYVDTVRAFRDRRYEFKGLHKVWKKKLS AAVEVGDASEVKRCKNMEILYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGIVCFTGA NIITQARELIEQIGRPLELDTDGIWCVLPNSFPENFVIKTTNAKKPKLTISYPGAMLNIM VKEGFTNHQYQELTEPSSLTYVTHSENSIFFEVDGPYLAMILPASKEEGKKLKKRYAVFN EDGSLAELKGFEVKRRGELQLIKIFQSSVFEAFLKGSTLEEVYGSVAKVADYWLDVLYSK AANMPDSELFELISENRSMSRKLEDYGEQKSTSISTAKRLAEFLGDQMVKDAGLSCRYII SRKPEGSPVTERAIPLAIFQAEPTVRKHFLRKWLKSSSLQDFDIRTILDWDYYIERLGSA IQKIITIPAALQQVKNPVPRVKHPDWLHKKLLEKNDIYKQKKISELFVLEGKRQIVMAQA SENSLSLCTPDMEDIGLTKPHHSTVPVATKRKRVWETQKESQDIALTVPWQEVLGQPPSL GTTQEEWLVWLQFHKKKWQLQAQQRLALRKKQRLESAEDMPRLGPIREGPSTGLGSFLRR TARSIMDLPWQIIQISETRQAGLFRLWAIIGNDLHCIKLSIPRVFYVNQRVAKAEDGPAY RKVNRALPRSNIVYNLYEYSVPEDMYQEHINEINTELSVPDIEGVYETQVPLLFRALVQL GCVCVVNKQLTRHLSGWEAETFALEHLEMRSLAQFSYLEPGSIRHIYLYHHTQGHKALFG VFIPSQRRASVFVLDTVRSNQMPGLSALYSSEHSLLLDKVDPKLLPPPKHTFEVRAETNL KTICRAIQRFLLAYKEERRGPTLIAVQSSWELCRLTSEIPVLEEFPLVPIRVADKISYAV LDWQRHGARRMIRHYLNLDLCLSQAFEMSRYFHIPVGNLPEDISTFGSDLFFARHLQHHN HLLWLSPTSRPDLGGKEADDNRLVMEFDDRATVEINSSGCYSTVCVELDIQNLAVNTILQ SHHVNDMEGAGSMGISFDVIQQASLEDMVTGNQAASALANYDETALCSSTFRILKSMVVG WVKEITQYHNIYADNQVMHFYRWLQSPCSLLHDPALHRTLHNMMKKLFLQLIAEFKRLGS SVVYANFNRIILCTKKRRIEDALAYVEYITNSIHSKEIFHSLTISFSRCWEFLLWMDPSN YGGIKGKVPSSIHCGQVKEQDSQAREETDEEEEDKEKDEEEEGMGESEVEDLLENNWNIL QFLPQAASCQSYFLMIVSAYIVAVYQSMKEELRHSAPGSTPVKRKGASQFSQESEGATGS LPGMITFSQDYVANELTQSFFTITQKIQKKVTGSRNTTEPSEMFPVLPGSHLLLNNPALE FIKYVCKVLSLDTNITNQVNKLNRDLLRLVDVGEFSEEAQFRDPCHSYVLPEVICHSCNF CRDLDLCKDSSFSQDGAILPQWLCSNCQAPYDSSAIESALVEALQRKLMAFTLQDLVCLK CRGMKETHMPVYCSCAGDFTLTIRTEVFMEQIRIFQNIAKYYSMSYLQETIEWLLQTSPV SNC >ENSMUSP00000108101.1 pep:known chromosome:GRCm38:5:110286341:110291235:1 gene:ENSMUSG00000007080.14 transcript:ENSMUST00000112482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pole description:polymerase (DNA directed), epsilon [Source:MGI Symbol;Acc:MGI:1196391] MVLRNSGRRHPEPGADGEGSRDDGPSSSVSALKRLERSQWTDKMDLRFGFERLKEPGERT GWLINMHPTEILDEDKRLVSAVDYYFIQDDGSRFKVALPYMPYFYIAARKGCDREVSSFL SKKFQGKIAKLENVPKEDLDLPNHLVGLKRSYIKLSFHTVEDLVKVRKEISPAVKKNREQ DHASDEYTTMLSR >ENSMUSP00000108100.1 pep:known chromosome:GRCm38:5:110330697:110337453:1 gene:ENSMUSG00000007080.14 transcript:ENSMUST00000112481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pole description:polymerase (DNA directed), epsilon [Source:MGI Symbol;Acc:MGI:1196391] MLGSLGDCLNPLEVHFIHSKEIFHSLTISFSRCWEFLLWMDPSNYGGIKGKVPSSIHCGQ VKEQDSQAREETDEEEEDKEKDEEEEGMGESEVEDLLENNWNILQFLPQAASCQSYFLMI VSAYIVAVYQSMKEELRHSAPGSTPVKRKGASQFSQESEGATGSLPGMITFSQDYVANEL TQSFFTITQKIQKKVTGSRNTTEPSEMFPVLPGSHLLLNNPALEFIKYVCKVLSLDTNIT NQVNKLNRDLLRLVDVGEFSEEAQFRDPCHSYVLPEVICHSCNFCRDLDLCKDSSFSQDG AILPQWLCSNCQAPYDSSAIESALVEALQRKLMAFTLQDLVCLKCRGMKETHMPVYCSCA GDFTLTIRTEVFMEQIRIFQNIAKYYSMSYLQETIEWLLQTSPVSNC >ENSMUSP00000081007.3 pep:known chromosome:GRCm38:4:107291465:107307169:-1 gene:ENSMUSG00000034785.14 transcript:ENSMUST00000082426.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dio1 description:deiodinase, iodothyronine, type I [Source:MGI Symbol;Acc:MGI:94896] MGLPQLWLWLKRLVIFLQVALEVAVGKVLMTLFPGRVKQSILAMGQKTGMARNPRFAPDN WVPTFFSIQYFWFVLKVRWQRLEDRAEFGGLAPNCTVVCLSGQKCNIWDFIQGSRPLVLN FGSCTUPSFLLKFDQFKRLVDDFASTADFLIIYIEEAHATDGWAFKNNVDIRQHRSLQER VRAARMLLARSPQCPVVVDTMQNQSSQLYAALPERLYVIQEGRICYKGKAGPWNYNPEEV RAVLEKLCTPPRHVPQL >ENSMUSP00000117751.1 pep:known chromosome:GRCm38:4:107292167:107307142:-1 gene:ENSMUSG00000034785.14 transcript:ENSMUST00000150974.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dio1 description:deiodinase, iodothyronine, type I [Source:MGI Symbol;Acc:MGI:94896] MGLPQLWLWLKRLVIFLQVALEVAVGKVLMTLFPGRVKQSILAMGQKTGMARNPRFAPDN WVPTFFSIQYFWFVLKVRWQRLEDRAEFGGLAPNCTVVCLSGQKCNIWDFIQGSRPLVLN FGSCT >ENSMUSP00000119199.1 pep:known chromosome:GRCm38:4:107292330:107307124:-1 gene:ENSMUSG00000034785.14 transcript:ENSMUST00000134366.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dio1 description:deiodinase, iodothyronine, type I [Source:MGI Symbol;Acc:MGI:94896] MGLPQLWLWLKRLVIFLQVALEVAVGKVLMTLFPGRVKQSILAMGQKTGMARNPRFAPDN WVPTFFSIQYFWFVLKAAGPWC >ENSMUSP00000118335.1 pep:known chromosome:GRCm38:4:107292334:107307124:-1 gene:ENSMUSG00000034785.14 transcript:ENSMUST00000129138.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dio1 description:deiodinase, iodothyronine, type I [Source:MGI Symbol;Acc:MGI:94896] MGLPQLWLWLKRLVIFLQVALEVAVGKVLMTLFPGRVKQSILAMGQKTGMARNPRFAPDN WVPTFFSIQYFWFVLKVRWQRLEDRAEFGGLAPNCTVVCLSGQKCNIWDFIQDGWAFKNN VDIRQHRSLQERVRAARMLLARSPQCPVVVDTMQNQSSQLYAALPERLYVIQEGRICYKG KAGPWNYNPEEVRAVLEKLCTPPRHVPQL >ENSMUSP00000114807.2 pep:known chromosome:GRCm38:4:107292364:107307118:-1 gene:ENSMUSG00000034785.14 transcript:ENSMUST00000126291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dio1 description:deiodinase, iodothyronine, type I [Source:MGI Symbol;Acc:MGI:94896] MLLARSPQCPVVVDTMQNQSSQLYAALPERLYVIQEGRICYKGKAGPWNYNPEEVRAVLE KL >ENSMUSP00000121450.1 pep:known chromosome:GRCm38:4:107292364:107307118:-1 gene:ENSMUSG00000034785.14 transcript:ENSMUST00000147709.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dio1 description:deiodinase, iodothyronine, type I [Source:MGI Symbol;Acc:MGI:94896] MGLPQLWLWLKRLVIFLQVALEVAVGKVLMTLFPGRVKQSILAMGQKTGMARNPRFAPDN WVPTFFSIQYFWFVLKAAGPWC >ENSMUSP00000102359.1 pep:known chromosome:GRCm38:4:107292364:107307118:-1 gene:ENSMUSG00000034785.14 transcript:ENSMUST00000106748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dio1 description:deiodinase, iodothyronine, type I [Source:MGI Symbol;Acc:MGI:94896] MGLPQLWLWLKRLVIFLQVALEVAVGKVLMTLFPGRVKQSILAMGQKTGMARNPRFAPDN WVPTFFSIQYFWFVLKVRWQRLEDRAEFGGLAPNCTVVCLSGQKCNIWDFIQG >ENSMUSP00000010210.6 pep:known chromosome:GRCm38:9:107399612:107529343:1 gene:ENSMUSG00000010066.15 transcript:ENSMUST00000010210.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d2 description:calcium channel, voltage-dependent, alpha 2/delta subunit 2 [Source:MGI Symbol;Acc:MGI:1929813] MAVPARTCGASWPGPVRTARPWPGRGPRPCPDPRGPASGPARPLLLLLPPLLLLPLLTAP GASAYSFPQQHTMQHWARRLEQEIDGVMRIFGGVQQLREIYKDNRNLFEVQENEPQKLVE KVAGDIESLLDRKVQALKRLADAAENFQKAHRWQDNIKEEDIMYYDAKADAELDDPESED MERGSKTSALRLDFIEDPNFKNKVNYSYTAVQIPTDIYKGSTVILNELNWTEALENVFIE NRRQDPTLLWQVFGSATGVTRYYPATPWRAPKKIDLYDVRRRPWYIQGASSPKDMVIIVD VSGSVSGLTLKLMKTSVCEMLDTLSDDDYVNVASFNEKAQPVSCFTHLVQANVRNKKVFK EAVQGMVAKGTTGYKAGFEYAFDQLQNSNITRANCNKMIMMFTDGGEDRVQDVFEKYNWP NRTVRVFTFSVGQHNYDVTPLQWMACTNKGYYFEIPSIGAIRINTQEYLDVLGRPMVLAG KDAKQVQWTNVYEDALGLGLVVTGTLPVFNLTQDGPGEKKNQLILGVMGIDVALNDIKRL TPNYTLGANGYVFAIDLNGYVLLHPNLKPQTTNFREPVTLDFLDAELEDENKEEIRRSMI DGDKGHKQIRTLVKSLDERYIDEVIRNYTWVPIRSTNYSLGLVLPPYSTYYLQANLSDQI LQVKYFEFLLPSSFESEGHVFIAPREYCKDLNASDNNTEFLKNFIELMEKVTPDSKQCNN FLLHNLILDTGITQQLVERVWRDQDLNTYSLLAVFAATDGGITRVFPNKAAEDWTENPEP FNASFYRRSLDNHGYIFKPPHQDSLLRPLELENDTVGVLVSTAVELSLGRRTLRPAVVGV KLDLEAWAEKFKVLASNRTHQDQPQKQCGPSSHCEMDCEVNNEDLLCVLIDDGGFLVLSN QNHQWDQVGRFFSEVDANLMLALYNNSFYTRKESYDYQAACAPQPPGNLGAAPRGVFVPT IADFLNLAWWTSAAAWSLFQQLLYGLIYHSWFQADPAEAEGSPETRESSCVMKQTQYYFG SVNASYNAIIDCGNCSRLFHAQRLTNTNLLFVVAEKPLCSQCEAGRLLQKETHSDGPEQC ELVQRPRYRRGPHICFDYNATEDTSDCGRGASFPPSLGVLVSLQLLLLLGLPPRPQPQVH SFAASRHL >ENSMUSP00000132512.1 pep:known chromosome:GRCm38:9:107399612:107529343:1 gene:ENSMUSG00000010066.15 transcript:ENSMUST00000168532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d2 description:calcium channel, voltage-dependent, alpha 2/delta subunit 2 [Source:MGI Symbol;Acc:MGI:1929813] MAVPARTCGASWPGPVRTARPWPGRGPRPCPDPRGPASGPARPLLLLLPPLLLLPLLTAP GASAYSFPQQHTMQHWARRLEQEIDGVMRIFGGVQQLREIYKDNRNLFEVQENEPQKLVE KVAGDIESLLDRKVQALKRLADAAENFQKAHRWQDNIKEEDIMYYDAKADAELDDPESED MERGSKTSALRLDFIEDPNFKNKVNYSYTAVQIPTDIYKGSTVILNELNWTEALENVFIE NRRQDPTLLWQVFGSATGVTRYYPATPWRAPKKIDLYDVRRRPWYIQGASSPKDMVIIVD VSGSVSGLTLKLMKTSVCEMLDTLSDDDYVNVASFNEKAQPVSCFTHLVQANVRNKKVFK EAVQGMVAKGTTGYKAGFEYAFDQLQNSNITRANCNKMIMMFTDGGEDRVQDVFEKYNWP NRTVRVFTFSVGQHNYDVTPLQWMACTNKGYYFEIPSIGAIRINTQEYLDVLGRPMVLAG KDAKQVQWTNVYEDALGLGLVVTGTLPVFNLTQDGPGEKKNQLILGVMGIDVALNDIKRL TPNYTLGANGYVFAIDLNGYVLLHPNLKPQTTNFREPVTLDFLDAELEDENKEEIRRSMI DGDKGHKQIRTLVKSLDERYIDEVIRNYTWVPIRSTNYSLGLVLPPYSTYYLQANLSDQI LQVKLPISKLKDFEFLLPSSFESEGHVFIAPREYCKDLNASDNNTEFLKNFIELMEKVTP DSKQCNNFLLHNLILDTGITQQLVERVWRDQDLNTYSLLAVFAATDGGITRVFPNKAAED WTENPEPFNASFYRRSLDNHGYIFKPPHQDSLLRPLELENDTVGVLVSTAVELSLGRRTL RPAVVGVKLDLEAWAEKFKVLASNRTHQDQPQKCGPSSHCEMDCEVNNEDLLCVLIDDGG FLVLSNQNHQWDQVGRFFSEVDANLMLALYNNSFYTRKESYDYQAACAPQPPGNLGAAPR GVFVPTIADFLNLAWWTSAAAWSLFQQLLYGLIYHSWFQADPAEAEGSPETRESSCVMKQ TQYYFGSVNASYNAIIDCGNCSRLFHAQRLTNTNLLFVVAEKPLCSQCEAGRLLQKETHC PADGPEQCELVQRPRYRRGPHICFDYNATEDTSDCGRGASFPPSLGVLVSLQLLLLLGLP PRPQPQVHSFAASRHL >ENSMUSP00000082173.5 pep:known chromosome:GRCm38:9:107399880:107528993:1 gene:ENSMUSG00000010066.15 transcript:ENSMUST00000085092.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d2 description:calcium channel, voltage-dependent, alpha 2/delta subunit 2 [Source:MGI Symbol;Acc:MGI:1929813] MAVPARTCGASWPGPVRTARPWPGRGPRPCPDPRGPASGPARPLLLLLPPLLLLPLLTAP GASAYSFPQQHTMQHWARRLEQEIDGVMRIFGGVQQLREIYKDNRNLFEVQENEPQKLVE KVAGDIESLLDRKVQALKRLADAAENFQKAHRWQDNIKEEDIMYYDAKADAELDDPESED MERGSKTSALRLDFIEDPNFKNKVNYSYTAVQIPTDIYKGSTVILNELNWTEALENVFIE NRRQDPTLLWQVFGSATGVTRYYPATPWRAPKKIDLYDVRRRPWYIQGASSPKDMVIIVD VSGSVSGLTLKLMKTSVCEMLDTLSDDDYVNVASFNEKAQPVSCFTHLVQANVRNKKVFK EAVQGMVAKGTTGYKAGFEYAFDQLQNSNITRANCNKMIMMFTDGGEDRVQDVFEKYNWP NRTVRVFTFSVGQHNYDVTPLQWMACTNKGYYFEIPSIGAIRINTQEYLDVLGRPMVLAG KDAKQVQWTNVYEDALGLGLVVTGTLPVFNLTQDGPGEKKNQLILGVMGIDVALNDIKRL TPNYTLGANGYVFAIDLNGYVLLHPNLKPQTTNFREPVTLDFLDAELEDENKEEIRRSMI DGDKGHKQIRTLVKSLDERYIDEVIRNYTWVPIRSTNYSLGLVLPPYSTYYLQANLSDQI LQVKLPISKLKDFEFLLPSSFESEGHVFIAPREYCKDLNASDNNTEFLKNFIELMEKVTP DSKQCNNFLLHNLILDTGITQQLVERVWRDQDLNTYSLLAVFAATDGGITRVFPNKAAED WTENPEPFNASFYRRSLDNHGYIFKPPHQDSLLRPLELENDTVGVLVSTAVELSLGRRTL RPAVVGVKLDLEAWAEKFKVLASNRTHQDQPQKCGPSSHCEMDCEVNNEDLLCVLIDDGG FLVLSNQNHQWDQVGRFFSEVDANLMLALYNNSFYTRKESYDYQAACAPQPPGNLGAAPR GVFVPTIADFLNLAWWTSAAAWSLFQQLLYGLIYHSWFQADPAEAEGSPETRESSCVMKQ TQYYFGSVNASYNAIIDCGNCSRLFHAQRLTNTNLLFVVAEKPLCSQCEAGRLLQKETHS DGPEQCELVQRPRYRRGPHICFDYNATEDTSDCGRGASFPPSLGVLVSLQLLLLLGLPPR PQPQVHSFAASRHL >ENSMUSP00000126029.1 pep:known chromosome:GRCm38:9:107400069:107527464:1 gene:ENSMUSG00000010066.15 transcript:ENSMUST00000166799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d2 description:calcium channel, voltage-dependent, alpha 2/delta subunit 2 [Source:MGI Symbol;Acc:MGI:1929813] MAVPARTCGASWPGPVRTARPWPGRGPRPCPDPRGPASGPARPLLLLLPPLLLLPLLTAP GASAYSFPQQHTMQHWARRLEQEIDGVMRIFGGVQQLREIYKDNRNLFEVQENEPQKLVE KVAGDIESLLDRKVQALKRLADAAENFQKAHRWQDNIKEEDIMYYDAKADAELDDPESED MERGSKTSALRLDFIEDPNFKNKVNYSYTAVQIPTDIYKGSTVILNELNWTEALENVFIE NRRQDPTLLWQVFGSATGVTRYYPATPWRAPKKIDLYDVRRRPWYIQGASSPKDMVIIVD VSGSVSGLTLKLMKTSVCEMLDTLSDDDYVNVASFNEKAQPVSCFTHLVQANVRNKKVFK EAVQGMVAKGTTGYKAGFEYAFDQLQNSNITRANCNKMIMMFTDGGEDRVQDVFEKYNWP NRTVRVFTFSVGQHNYDVTPLQWMACTNKGYYFEIPSIGAIRINTQEYLDVLGRPMVLAG KDAKQVQWTNVYEDALGLGLVVTGTLPVFNLTQDGPGEKKNQLILGVMGIDVALNDIKRL TPNYTLGANGYVFAIDLNGYVLLHPNLKPQTTNFREPVTLDFLDAELEDENKEEIRRSMI DGDKGHKQIRTLVKSLDERYIDEVIRNYTWVPIRSTNYSLGLVLPPYSTYYLQANLSDQI LQVKLPISKLKDFEFLLPSSFESEGHVFIAPREYCKDLNASDNNTEFLKNFIELMEKVTP DSKQCNNFLLHNLILDTGITQQLVERVWRDQDLNTYSLLAVFAATDGGITRVFPNKAAED WTENPEPFNASFYRRSLDNHGYIFKPPHQDSLLRPLELENDTVGVLVSTAVELSLGRRTL RPAVVGVKLDLEAWAEKFKVLASNRTHQDQPQKQCGPSSHCEMDCEVNNEDLLCVLIDDG GFLVLSNQNHQWDQVGRFFSEVDANLMLALYNNSFYTRKESYDYQAACAPQPPGNLGAAP RGVFVPTIADFLNLAWWTSAAAWSLFQQLLYGLIYHSWFQADPAEAEGSPETRESSCVMK QTQYYFGSVNASYNAIIDCGNCSRLFHAQRLTNTNLLFVVAEKPLCSQCEAGRLLQKETH CPADGPEQCELVQRPRYRRGPHICFDYNATEDTSDCGRGASFPPSLGVLVSLQLLLLLGL PPRPQPQVHSFAASRHL >ENSMUSP00000125943.1 pep:known chromosome:GRCm38:9:107400069:107529343:1 gene:ENSMUSG00000010066.15 transcript:ENSMUST00000170737.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d2 description:calcium channel, voltage-dependent, alpha 2/delta subunit 2 [Source:MGI Symbol;Acc:MGI:1929813] MAVPARTCGASWPGPVRTARPWPGRGPRPCPDPRGPASGPARPLLLLLPPLLLLPLLTAP GASAYSFPQQHTMQHWARRLEQEIDGVMRIFGGVQQLREIYKDNRNLFEVQENEPQKLVE KVAGDIESLLDRKVQALKRLADAAENFQKAHRWQDNIKEEDIMYYDAKADAELDDPESED MERGSKTSALRLDFIEDPNFKNKVNYSYTAVQIPTDIYKGSTVILNELNWTEALENVFIE NRRQDPTLLWQVFGSATGVTRYYPATPWRAPKKIDLYDVRRRPWYIQGASSPKDMVIIVD VSGSVSGLTLKLMKTSVCEMLDTLSDDDYVNVASFNEKAQPVSCFTHLVQANVRNKKVFK EAVQGMVAKGTTGYKAGFEYAFDQLQNSNITRANCNKMIMMFTDGGEDRVQDVFEKYNWP NRTVRVFTFSVGQHNYDVTPLQWMACTNKGYYFEIPSIGAIRINTQEYLDVLGRPMVLAG KDAKQVQWTNVYEDALGLGLVVTGTLPVFNLTQDGPGEKKNQLILGVMGIDVALNDIKRL TPNYTLGANGYVFAIDLNGYVLLHPNLKPQTTNFREPVTLDFLDAELEDENKEEIRRSMI DGDKGHKQIRTLVKSLDERYIDEVIRNYTWVPIRSTNYSLGLVLPPYSTYYLQANLSDQI LQVKYFEFLLPSSFESEGHVFIAPREYCKDLNASDNNTEFLKNFIELMEKVTPDSKQCNN FLLHNLILDTGITQQLVERVWRDQDLNTYSLLAVFAATDGGITRVFPNKAAEDWTENPEP FNASFYRRSLDNHGYIFKPPHQDSLLRPLELENDTVGVLVSTAVELSLGRRTLRPAVVGV KLDLEAWAEKFKVLASNRTHQDQPQKQCGPSSHCEMDCEVNNEDLLCVLIDDGGFLVLSN QNHQWDQVGRFFSEVDANLMLALYNNSFYTRKESYDYQAACAPQPPGNLGAAPRGVFVPT IADFLNLAWWTSAAAWSLFQQLLYGLIYHSWFQADPAEAEGSPETRESSCVMKQTQYYFG SVNASYNAIIDCGNCSRLFHAQRLTNTNLLFVVAEKPLCSQCEAGRLLQKETHCPADGPE QCELVQRPRYRRGPHICFDYNATEDTSDCGRGASFPPSLGVLVSLQLLLLLGLPPRPQPQ VHSFAASRHL >ENSMUSP00000130451.2 pep:known chromosome:GRCm38:9:107399880:107529343:1 gene:ENSMUSG00000010066.15 transcript:ENSMUST00000164988.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d2 description:calcium channel, voltage-dependent, alpha 2/delta subunit 2 [Source:MGI Symbol;Acc:MGI:1929813] MAVPARTCGASWPGPVRTARPWPGRGPRPCPDPRGPASGPARPLLLLLPPLLLLPLLTAP GASAYSFPQQHTMQHWARRLEQEIDGVMRIFGGVQQLREIYKDNRNLFEVQENEPQKLVE KVAGDIESLLDRKVQALKRLADAAENFQKAHRWQDNIKEEDIMYYDAKADAELDDPESED MERGSKTSALRLDFIEDPNFKNKVNYSYTAVQIPTDIYKGSTVILNELNWTEALENVFIE NRRQDPTLLWQVFGSATGVTRYYPATPWRAPKKIDLYDVRRRPWYIQGASSPKDMVIIVD VSGSVSGLTLKLMKTSVCEMLDTLSDDDYVNVASFNEKAQPVSCFTHLVQANVRNKKVFK EAVQGMVAKGTTGYKAGFEYAFDQLQNSNITRANCNKMIMMFTDGGEDRVQDVFEKYNWP NRTVRVFTFSVGQHNYDVTPLQWMACTNKGYYFEIPSIGAIRINTQEYLDVLGRPMVLAG KDAKQVQWTNVYEDALGLGLVVTGTLPVFNLTQDGPGEKKNQLILGVMGIDVALNDIKRL TPNYTLGANGYVFAIDLNGYVLLHPNLKPQTTNFREPVTLDFLDAELEDENKEEIRRSMI DGDKGHKQIRTLVKSLDERYIDEVIRNYTWVPIRSTNYSLGLVLPPYSTYYLQANLSDQI LQVKSPVSVADFEFLLPSSFESEGHVFIAPREYCKDLNASDNNTEFLKNFIELMEKVTPD SKQCNNFLLHNLILDTGITQQLVERVWRDQDLNTYSLLAVFAATDGGITRVFPNKAAEDW TENPEPFNASFYRRSLDNHGYIFKPPHQDSLLRPLELENDTVGVLVSTAVELSLGRRTLR PAVVGVKLDLEAWAEKFKVLASNRTHQDQPQKQCGPSSHCEMDCEVNNEDLLCVLIDDGG FLVLSNQNHQWDQVGRFFSEVDANLMLALYNNSFYTRKESYDYQAACAPQPPGNLGAAPR GVFVPTIADFLNLAWWTSAAAWSLFQQLLYGLIYHSWFQADPAEAEGSPETRESSCVMKQ TQYYFGSVNASYNAIIDCGNCSRLFHAQRLTNTNLLFVVAEKPLCSQCEAGRLLQKETHC CPADGPEQCELVQRPRYRRGPHICFDYNATEDTSDCGRGASFPPSLGVLVSLQLLLLLGL PPRPQPQVHSFAASRHL >ENSMUSP00000115587.1 pep:known chromosome:GRCm38:11:119343425:119355502:-1 gene:ENSMUSG00000005043.13 transcript:ENSMUST00000136523.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgsh description:N-sulfoglucosamine sulfohydrolase (sulfamidase) [Source:MGI Symbol;Acc:MGI:1350341] MHCPGLACCTILLVLGLCGAHSRNVLLIVALSSVTPSRLSAAVPRAVPASSPACPSIRMA CMGCTRMCITSTLLTRYRAFRCCSTRPECAQASLGRSTWVRRRCIPLTLHSQRRTAL >ENSMUSP00000005173.4 pep:known chromosome:GRCm38:11:119343482:119355536:-1 gene:ENSMUSG00000005043.13 transcript:ENSMUST00000005173.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsh description:N-sulfoglucosamine sulfohydrolase (sulfamidase) [Source:MGI Symbol;Acc:MGI:1350341] MHCPGLACCTILLVLGLCGAHSRNVLLIVADDGGFESGVYNNTAIATPHLDALSRHSLIF RNAFTSVSSCSPSRASLLTGLPQHQNGMYGLHQDVHHFNSFDKVQSLPLLLNQAGVRTGI IGKKHVGPETVYPFDFAFTEENSSVMQVGRNITRIKQLVQKFLQTQDDRPFFLYVAFHDP HRCGHSQPQYGTFCEKFGNGESGMGYIPDWTPQIYDPQDVMVPYFVPDTPAARADLAAQY TTIGRMDQGVGLVLQELRGAGVLNDTLIIFTSDNGIPFPSGRTNLYWPGTAEPLLVSSPE HPQRWGQVSDAYVSLLDLTPTILDWFSIPYPSYAIFGSKTIQLTGRSLLPALEAEPLWAT VFSSQSHHEVTMSYPMRSVYHQNFRLIHNLSFKMPFPIDQDFYVSPTFQDLLNRTTTGRP TGWYKDLHRYYYRERWELYDISRDPRETRNLAADPDLAQVLEMLKAQLVKWQWETHDPWV CAPDGVLEEKLTPQCRPLHNEL >ENSMUSP00000097748.2 pep:known chromosome:GRCm38:11:119343498:119355510:-1 gene:ENSMUSG00000005043.13 transcript:ENSMUST00000100172.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsh description:N-sulfoglucosamine sulfohydrolase (sulfamidase) [Source:MGI Symbol;Acc:MGI:1350341] MHCPGLACCTILLVLGLCGAHSRNVLLIVADDGGFESGVYNNTAIATPHLDALSRHSLIF RNAFTSVSSCSPSRASLLTGLPQHQNGMYGLHQDVHHFNSFDKVQSLPLLLNQAGVRTGI IGKKHVGPETVYPFDFAFTEENSSVMQVGRNITRIKQLVQKFLQTQDDRPFFLYVAFHDP HRCGHSQPQYGTFCEKFGNGESGMGYIPDWTPQIYDPQDVMVPYFVPDTPAARADLAAQY TTIGRMDQERQDQPVLARYSRAFAGVISRAPTALGPGQRRLREPSRPHPYHPGLVLHPVP QLCHLWLKDDPAHRPIPPAGAGGRAPLGHCLQQPEPPRGHHVLPDALGVPPELPPHSQPE LQDAISHRPRFLCLADLPGPPEPNHHRPAHGLVQGPPPLLLPGTLGTLRHQPGPSRDTEP GR >ENSMUSP00000019660.4 pep:known chromosome:GRCm38:5:138085084:138107822:1 gene:ENSMUSG00000029729.12 transcript:ENSMUST00000019660.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan1 description:zinc finger with KRAB and SCAN domains 1 [Source:MGI Symbol;Acc:MGI:1921820] MMTAESRETTGLSPQAAQEKDGIVIVKVEEEDEEDHMWGQDSSLQETPPPDPEVFRQRFR RFCYQNTFGPREALNRLKELCHQWLRPEVNSKEQILELLVLEQFLSILPKELQVWLQEYR PDSGEEAVTLLEDLELDLSGQQVPGQVHGPEMLARGVVPLDPVQESSSFDHHETAQSHFK HSSRKPRLLSRALPATHVPAPHHEGNPRDQAMASALLTADSQAMVKIEDMAVSLILEEWG CQNLARRNLNRDSRQMNLGNVFSQGSENRNGNESTSKAEVKEDSTSHGEIAGRFQKEFGE KREQQGRVVERQQKNPEEKTGKEKKEPGPPTAKEKKPSTGERGPREKGKGLGRSFSLSAN FNNTPEEAPSGAKTHRCDECGKCFTRSSSLIRHKIIHTGEKPYECNECGKAFSLNSNLVL HQRIHTGEKPHECNECGKAFSHSSNLILHQRIHSGEKPYECNECGKAFSQSSDLTKHQRI HTGEKPYECSECGKAFNRNSYLILHRRIHTREKPYKCTKCGKAFTRSSTLTLHHRIHARE RTSEYSPASLDAFGAFLKSCV >ENSMUSP00000106588.1 pep:known chromosome:GRCm38:5:138085120:138104492:1 gene:ENSMUSG00000029729.12 transcript:ENSMUST00000110963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan1 description:zinc finger with KRAB and SCAN domains 1 [Source:MGI Symbol;Acc:MGI:1921820] MMTAESRETTGLSPQAAQEKDGIVIVKVEEEDEEDHMWGQDSSLQETPPPDPEVFRQRFR RFCYQNTFGPREALNRLKELCHQWLRPEVNSKEQILELLVLEQFLSILPKELQVWLQEYR PDSGEEAVTLLEDLELDLSGQQVPGQVHGPEMLARGVVPLDPVQESSSFDHHETAQSHFK HSSRKPRLLSRGSENRNGNESTSKAEVKEDSTSHGEIAGRFQKEFGEKREQQGRVVERQQ KNPEEKTGKEKKEPGPPTAKEKKPSTGERGPREKGKGLGRSFSLSANFNNTPEEAPSGAK THRCDECGKCFTRSSSLIRHKIIHTGEKPYECNECGKAFSLNSNLVLHQRIHTGEKPHEC NECGKAFSHSSNLILHQRIHSGEKPYECNECGKAFSQSSDLTKHQRIHTGEKPYECSECG KAFNRNSYLILHRRIHTREKPYKCTKCGKAFTRSSTLTLHHRIHARERTSEYSPASLDAF GAFLKSCV >ENSMUSP00000106587.1 pep:known chromosome:GRCm38:5:138092640:138104491:1 gene:ENSMUSG00000029729.12 transcript:ENSMUST00000110962.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan1 description:zinc finger with KRAB and SCAN domains 1 [Source:MGI Symbol;Acc:MGI:1921820] MMTAESRETTGLSPQAAQEKDGIVIVKVEEEDEEDHMWGQDSSLQETPPPDPEVFRQRFR RFCYQNTFGPREALNRLKELCHQWLRPEVNSKEQILELLVLEQFLSILPKELQVWLQEYR PDSGEEAVTLLEDLELDLSGQQVPGQVHGPEMLARGVVPLDPVQESSSFDHHETAQSHFK HSSRKPRLLSRGSENRNGNESTSKAEVKEDSTSHGEIAGRFQKEFGEKREQQGRVVERQQ KNPEEKTGKEKKEPGPPTAKEKKPSTGERGPREKGKGLGRSFSLSANFNNTPEEAPSGAK THRCDECGKCFTRSSSLIRHKIIHTGEKPYECNECGKAFSLNSNLVLHQRIHTGEKPHEC NECGKAFSHSSNLILHQRIHSGEKPYECNECGKAFSQSSDLTKHQRIHTGEKPYECSECG KAFNRNSYLILHRRIHTREKPYKCTKCGKAFTRSSTLTLHHRIHARERTSEYSPASLDAF GAFLKSCV >ENSMUSP00000068480.5 pep:known chromosome:GRCm38:5:138085084:138107822:1 gene:ENSMUSG00000029729.12 transcript:ENSMUST00000066617.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan1 description:zinc finger with KRAB and SCAN domains 1 [Source:MGI Symbol;Acc:MGI:1921820] MMTAESRETTGLSPQAAQEKDGIVIVKVEEEDEEDHMWGQDSSLQETPPPDPEVFRQRFR RFCYQNTFGPREALNRLKELCHQWLRPEVNSKEQILELLVLEQFLSILPKELQVWLQEYR PDSGEEAVTLLEDLELDLSGQQVPGQVHGPEMLARGVVPLDPVQESSSFDHHETAQSHFK HSSRKPRLLSRGSENRNGNESTSKAEVKEDSTSHGEIAGRFQKEFGEKREQQGRVVERQQ KNPEEKTGKEKKEPGPPTAKEKKPSTGERGPREKGKGLGRSFSLSANFNNTPEEAPSGAK THRCDECGKCFTRSSSLIRHKIIHTGEKPYECNECGKAFSLNSNLVLHQRIHTGEKPHEC NECGKAFSHSSNLILHQRIHSGEKPYECNECGKAFSQSSDLTKHQRIHTGEKPYECSECG KAFNRNSYLILHRRIHTREKPYKCTKCGKAFTRSSTLTLHHRIHARERTSEYSPASLDAF GAFLKSCV >ENSMUSP00000142190.1 pep:known chromosome:GRCm38:1:192891233:193035775:-1 gene:ENSMUSG00000016200.13 transcript:ENSMUST00000195354.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt14 description:synaptotagmin XIV [Source:MGI Symbol;Acc:MGI:2444490] MAIEGGERTCGVHELICIRKVSPEAVGFLSAVGVFIVLMLLLFLYINKKFCFENVGGFPD LGSGYNTRTNSQDKMYNSYMDRDEPGSSSESEDEALGKYHEALSRTHNSRWPLVDSRQKS YAWETRQKYSPLSAEYDGYSTEASMEDGNCIQRMRRTPPLDELQPPPYQDDSGSPHLSCT PSEIGDAKCEISHCSNSPRCSFNKCPSEGSTGHEAESYHNKGYEDDVPSDSTAVLSPEDM SAQGSSSQLPKPFDPEPEAKYGTLDVTFDYDSERQKLLVTVTAVTDIPTYNRTGGNSWQV HLVLLPIKKQRAKTSIQRGPCPVFTETFKFNHVESEMIGNYAVRFRLYGVHRMKKEKIVG EKIFYLTKLNLQGKMSLPVILEPSYNPSGCDSQVSLSEASCGDSTSSCQSLQHGSVPEIL IGLLYNATTGRLSAEVIKGSHFKNLAANRPPNTYVKLTLLNSMGQEMSKCKTSTRRGQPN PVYKETFVFQVALFQLSDVTLILSVYNRRSMKRKEMIGWISLGLNSSGEEELRHWTAMKE SKGQQVCRWHALLES >ENSMUSP00000141563.1 pep:known chromosome:GRCm38:1:192895986:193035662:-1 gene:ENSMUSG00000016200.13 transcript:ENSMUST00000195530.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syt14 description:synaptotagmin XIV [Source:MGI Symbol;Acc:MGI:2444490] MAIEGGERTCGVHELICIRKVSPEAVGFLSAVGVFIVLMLLLFLYINKKFCFENVGGFPD LGSGYNTRTNSQDKMWL >ENSMUSP00000016344.8 pep:known chromosome:GRCm38:1:192897210:193035717:-1 gene:ENSMUSG00000016200.13 transcript:ENSMUST00000016344.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt14 description:synaptotagmin XIV [Source:MGI Symbol;Acc:MGI:2444490] MAIEGGERTCGVHELICIRKVSPEAVGFLSAVGVFIVLMLLLFLYINKKFCFENVGGFPD LGSGYNTRTNSQDKMYNSYMDRDEPGSSSESEDEALGKYHEALSRTHNSRWPLVDSRQKS YAWETRQKYSPLSAEYDGYSTEASMEDGNCIQRMRRTPPLDELQPPPYQDDSGSPHLSCT PSEIGDAKCEISHCSNSPRCSFNKCPSEGSTGHEAESYHNKGYEDDVPSDSTAVLSPEDM SAQGSSSQLPKPFDPEPEAKYGTLDVTFDYDSERQKLLVTVTAVTDIPTYNRTGGNSWQV HLVLLPIKKQRAKTSIQRGPCPVFTETFKFNHVESEMIGNYAVRFRLYGVHRMKKEKIVG EKIFYLTKLNLQGKMSLPVILEPSYNPSGCDSQVSLSEASCGDSTSSCQSLQHGSVPEIL IGLLYNATTGRLSAEVIKGSHFKNLAANRPPNGLFCCLKHLIGGQVYIIRDTYVKLTLLN SMGQEMSKCKTSTRRGQPNPVYKETFVFQVALFQLSDVTLILSVYNRRSMKRKEMIGWIS LGLNSSGEEELRHWTAMKESKGQQVCRWHALLES >ENSMUSP00000124000.1 pep:known chromosome:GRCm38:1:171411313:171416977:1 gene:ENSMUSG00000026641.13 transcript:ENSMUST00000159207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf1 description:upstream transcription factor 1 [Source:MGI Symbol;Acc:MGI:99542] MKGQQKTAETEEGTVQIQEGAVATGEDPTSVAIASIQSAATFPDPNVKYVFRTENGGQVM YRVIQVSEGQLDGQTEGSGAISGYPATQSMTQAVIQGAFTSDDAVDTEGAAAETHYTYFP STAVGDGSGGTTSGSTTAVVTTQGSEAL >ENSMUSP00000001284.6 pep:known chromosome:GRCm38:1:171411313:171418121:1 gene:ENSMUSG00000026641.13 transcript:ENSMUST00000001284.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usf1 description:upstream transcription factor 1 [Source:MGI Symbol;Acc:MGI:99542] MKGQQKTAETEEGTVQIQEGAVATGEDPTSVAIASIQSAATFPDPNVK >ENSMUSP00000125729.1 pep:known chromosome:GRCm38:1:171411313:171419142:1 gene:ENSMUSG00000026641.13 transcript:ENSMUST00000161241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf1 description:upstream transcription factor 1 [Source:MGI Symbol;Acc:MGI:99542] MKGQQKTAETEEGTVQIQEGAVATGEDPTSVAIASIQSAATFPDPNVKYVFRTENGGQVM YRVIQVSEGQLDGQTEGSGAISGYPATQSMTQAVIQGAFTSDDAVDTEGAAAETHYTYFP STAVGDGSGGTTSGSTTAVVTTQGSEALLGQATPPSTGQFFVMMSPQEVLQGGSQRSIAP RTHPYSPKSEAPRTTRDEKRRAQHNEVERRRRDKINNWIVQLSKIIPDCSMESTKSGQSK GGILSKACDYIQELRQSNHRLSEELQGLDQLQLDNDVLRQQVEDLKNKNLLLRAQLRHHG LEVVIKNDSN >ENSMUSP00000125363.1 pep:known chromosome:GRCm38:1:171411384:171418757:1 gene:ENSMUSG00000026641.13 transcript:ENSMUST00000160486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf1 description:upstream transcription factor 1 [Source:MGI Symbol;Acc:MGI:99542] MKGQQKTAETEEGTVQIQEGAVATGEDPTSVAIASIQSAATFPDPNVKYVFRTENGGQVM YRVIQVSEGQLDGQTEGSGAISGYPATQSMTQAVIQGAFTSDDAVDTEGAAAETHYTYFP STAVGDGSGGTTSGSTTAVVTTQGSEALLGQATPPSTGQFFVMMSPQEVLQGGSQRSIAP RTHPYSPKSEAPRTTRDEKRRAQHNEVERRRRDKINNWIVQLSKIIPDCSMESTKSGQSK GGILSKACDYIQELRQSNHRLSEELQGLDQLQLDNDVLRQQVRLTPGWPPWQPRSH >ENSMUSP00000128913.1 pep:known chromosome:GRCm38:1:171411681:171418743:1 gene:ENSMUSG00000026641.13 transcript:ENSMUST00000167546.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf1 description:upstream transcription factor 1 [Source:MGI Symbol;Acc:MGI:99542] MKGQQKTAETEEGTVQIQEGAVATGEDPTSVAIASIQSAATFPDPNVKYVFRTENGGQVM YRVIQVSEGQLDGQTEGSGAISGYPATQSMTQAVIQGAFTSDDAVDTEGAAAETHYTYFP STAVGDGSGGTTSGSTTAVVTTQGSEALLGQATPPSTGQFFVMMSPQEVLQGGSQRSIAP RTHPYSPKSEAPRTTRDEKRRAQHNEVERRRRDKINNWIVQLSKIIPDCSMESTKSGQSK GGILSKACDYIQELRQSNHRLSEELQGLDQLQLDNDVLRQQVEDLKNKNLLLRAQLRHHG LEVVIKNDSN >ENSMUSP00000038707.5 pep:known chromosome:GRCm38:14:51893610:51896745:1 gene:ENSMUSG00000072572.8 transcript:ENSMUST00000047726.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a2 description:solute carrier family 39 (zinc transporter), member 2 [Source:MGI Symbol;Acc:MGI:2684326] MEVLLGVKIGCLLALLVLTLGCGLTPIYVKWFQMDAATGHHHRVLSLLGCTSAGVFLGAG LMHMTAEALEGIESEIQKFVEQNSTGSKGNSSRDAASSYVEYPYGELVISLGFFFVFLLE SLALQCCHGAAGGSTVQEEEWGGTHAFGFHKHPAVPSPSRGPLRALVLLLSLSFHSVFEG LAVGLQATVAATIQLCVAVLAHKGLVVFSVGLRLGKIGTGPRWATFCILSLALMSPVGLA LGLTVAGGASGQTQGLAQAVLEGIAAGTFLYVTFLEILPRELACPEAPLAKYSCVAAGFA FMALIALWA >ENSMUSP00000124399.1 pep:known chromosome:GRCm38:14:51893656:51895799:1 gene:ENSMUSG00000072572.8 transcript:ENSMUST00000161888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a2 description:solute carrier family 39 (zinc transporter), member 2 [Source:MGI Symbol;Acc:MGI:2684326] MEVLLGVKIGCLLALLVLTLGCGLTPIYVKWFQMDAATGHHHRVLSLLGCTSAGVFLGAG LMHMTAEALEGIESEIQKFVEQNSTGSKGNSSRDAASSYVEYPYGELVISLGFFFVFLLE SLALQCCHGAAGGSTVQEEEWGGTHAFGFHKHPAVPSPSRGPSGQV >ENSMUSP00000088723.6 pep:known chromosome:GRCm38:3:40708855:40726096:1 gene:ENSMUSG00000069041.8 transcript:ENSMUST00000091184.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a31 description:solute carrier family 25 (mitochondrial carrier; adenine nucleotide translocator), member 31 [Source:MGI Symbol;Acc:MGI:1920583] MSNESSKKQSSKKALFDPVSFSKDLLAGGVAAAVSKTAVAPIERVKLLLQVQASSKQISP EARYKGMLDCLVRIPREQGFLSYWRGNLANVIRYFPTQALNFAFKDKYKELFMSGVNKEK QFWRWFLANLASGGAAGATSLCVVYPLDFARTRLGVDIGKGPEQRQFTGLGDCIMKIAKS DGLIGLYQGFGVSVQGIIVYRASYFGAYDTVKGLLPKPKETPFLVSFIIAQIVTTCSGIL SYPFDTVRRRMMMQSGESDRQYKGTIDCFLKIYRHEGVPAFFRGAFSNILRGTGGALVLV LYDKIKEFLNIDVGGSSSGD >ENSMUSP00000129034.1 pep:known chromosome:GRCm38:19:41600257:41743492:-1 gene:ENSMUSG00000025020.11 transcript:ENSMUST00000169141.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slit1 description:slit homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315203] MALTPQRGSSSGLSRPELWLLLWAAAWRLGATACPALCTCTGTTVDCHGTGLQAIPKNIP RNTERLELNGNNITRIHKNDFAGLKQLRVLQLMENQIGAVERGAFDDMKELERLRLNRNQ LQVLPELLFQNNQALSRLDLSENFLQAVPRKAFRGATDLKNLQLDKNRISCIEEGAFRAL RGLEVLTLNNNNITTIPVSSFNHMPKLRTFRLHSNHLFCDCHLAWLSQWLRQRPTIGLFT QCSGPASLRGLNVAEVQKGEFSCSGQGEAAGAPACTLSSGSCPAMCSCSSGIVDCRGKGL TAIPANLPETMTEIRLELNGIKSIPPGAFSPYRKLRRIDLSNNQIAEIAPDAFQGLRSLN SLVLYGNKITDLPRGVFGGLYTLQLLLLNANKINCIRPDAFQDLQNLSLLSLYDNKIQSL AKGTFTSLRAIQTLHLAQNPFICDCNLKWLADFLRTNPIETTGARCASPRRLANKRIGQI KSKKFRCSAKEQYFIPGTEDYHLNSECTSDVACPHKCRCEASVVECSSLKLSKIPERIPQ STTELRLNNNEISILEATGLFKKLSHLKKINLSNNKVSEIEDGTFEGAASVSELHLTANQ LESIRSGMFRGLDGLRTLMLRNNRISCIHNDSFTGLRNVRLLSLYDNHITTISPGAFDTL QALSTLNLLANPFNCNCHLSWLGDWLRKRKIVTGNPRCQNPDFLRQIPLQDVAFPDFRCE EGQEEVGCLPRPQCPQECACLDTVVRCSNKHLQALPKGIPKNVTELYLDGNQFTLVPGQL STFKYLQLVDLSNNKISSLSNSSFTNMSQLTTLILSYNALQCIPPLAFQGLRSLRLLSLH GNDVSTLQEGIFADVTSLSHLAIGANPLYCDCRLRWLSSWVKTGYKEPGIARCAGPPEME GKLLLTTPAKKFECQGPPSLAVQAKCDPCLSSPCQNQGTCHNDPLEVYRCTCPSGYKGRH CEVSLDGCSSNPCGNGGTCHAQEGEDAGFTCSCPSGFEGPTCGVDTDDCVKHACVNGGVC VDGVGNYTCQCPLQYTGRACEQLVDFCSPDMNPCQHEAQCVGTPDGPRCECMLGYTGDNC SENQDDCKDHKCQNGAQCVDEVNSYACLCVEGYSGQLCEIPPAPRSSCEGTECQNGANCV DQGSRPVCQCLPGFGGPECEKLLSVNFVDRDTYLQFTDLQNWPRANITLQVSTAEDNGIL LYNGDNDHIAVELYQGHVRVSYDPGSYPSSAIYSAETINDGQFHTVELVTFDQMVNLSID GGSPMTMDNFGKHYTLNSEAPLYVGGMPVDVNSAAFRLWQILNGTSFHGCIRNLYINNEL QDFTKTQMKPGVVPGCEPCRKLYCLHGICQPNATPGPVCHCEAGWGGLHCDQPVDGPCHG HKCVHGKCVPLDALAYSCQCQDGYSGALCNQVGAVAEPCGGLQCLHGHCQASATKGAHCV CSPGFSGELCEQGQGAPS >ENSMUSP00000025993.3 pep:known chromosome:GRCm38:19:41600259:41743665:-1 gene:ENSMUSG00000025020.11 transcript:ENSMUST00000025993.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slit1 description:slit homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315203] MALTPQRGSSSGLSRPELWLLLWAAAWRLGATACPALCTCTGTTVDCHGTGLQAIPKNIP RNTERLELNGNNITRIHKNDFAGLKQLRVLQLMENQIGAVERGAFDDMKELERLRLNRNQ LQVLPELLFQNNQALSRLDLSENFLQAVPRKAFRGATDLKNLQLDKNRISCIEEGAFRAL RGLEVLTLNNNNITTIPVSSFNHMPKLRTFRLHSNHLFCDCHLAWLSQWLRQRPTIGLFT QCSGPASLRGLNVAEVQKGEFSCSGQGEAAGAPACTLSSGSCPAMCSCSSGIVDCRGKGL TAIPANLPETMTEIRLELNGIKSIPPGAFSPYRKLRRIDLSNNQIAEIAPDAFQGLRSLN SLVLYGNKITDLPRGVFGGLYTLQLLLLNANKINCIRPDAFQDLQNLSLLSLYDNKIQSL AKGTFTSLRAIQTLHLAQNPFICDCNLKWLADFLRTNPIETTGARCASPRRLANKRIGQI KSKKFRCSAKEQYFIPGTEDYHLNSECTSDVACPHKCRCEASVVECSSLKLSKIPERIPQ STTELRLNNNEISILEATGLFKKLSHLKKINLSNNKVSEIEDGTFEGAASVSELHLTANQ LESIRSGMFRGLDGLRTLMLRNNRISCIHNDSFTGLRNVRLLSLYDNHITTISPGAFDTL QALSTLNLLANPFNCNCHLSWLGDWLRKRKIVTGNPRCQNPDFLRQIPLQDVAFPDFRCE EGQEEVGCLPRPQCPQECACLDTVVRCSNKHLQALPKGIPKNVTELYLDGNQFTLVPGQL STFKYLQLVDLSNNKISSLSNSSFTNMSQLTTLILSYNALQCIPPLAFQGLRSLRLLSLH GNDVSTLQEGIFADVTSLSHLAIGANPLYCDCRLRWLSSWVKTGYKEPGIARCAGPPEME GKLLLTTPAKKFECQGPPSLAVQAKCDPCLSSPCQNQGTCHNDPLEVYRCTCPSGYKGRH CEVSLDGCSSNPCGNGGTCHAQEGEDAGFTCSCPSGFEGPTCGVDTDDCVKHACVNGGVC VDGVGNYTCQCPLQYTGRACEQLVDFCSPDMNPCQHEAQCVGTPDGPRCECMLGYTGDNC SENQDDCKDHKCQNGAQCVDEVNSYACLCVEGYSGQLCEIPPAPRSSCEGTECQNGANCV DQGSRPVCQCLPGFGGPECEKLLSVNFVDRDTYLQFTDLQNWPRANITLQVSTAEDNGIL LYNGDNDHIAVELYQGHVRVSYDPGSYPSSAIYSAETINDGQFHTVELVTFDQMVNLSID GGSPMTMDNFGKHYTLNSEAPLYVGGMPVDVNSAAFRLWQILNGTSFHGCIRNLYINNEL QDFTKTQMKPGVVPGCEPCRKLYCLHGICQPNATPGPVCHCEAGWGGLHCDQPVDGPCHG HKCVHGKCVPLDALAYSCQCQDGYSGALCNQVGAVAEPCGGLQCLHGHCQASATKGAHCV CSPGFSGELCEQESECRGDPVRDFHRVQRGYAICQTTRPLSWVECRGACPGQGCCQGLRL KRRKLTFECSDGTSFAEEVEKPTKCGCAQCA >ENSMUSP00000128381.1 pep:known chromosome:GRCm38:19:41600451:41743492:-1 gene:ENSMUSG00000025020.11 transcript:ENSMUST00000166496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slit1 description:slit homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315203] MALTPQRGSSSGLSRPELWLLLWAAAWRLGATACPALCTCTGTTVDCHGTGLQAIPKNIP RNTERLELNGNNITRIHKNDFAGLKQLRVLQLMENQIGAVERGAFDDMKELERLRLNRNQ LQVLPELLFQNNQALSRLDLSENFLQAVPRKAFRGATDLKNLQLDKNRISCIEEGAFRAL RGLEVLTLNNNNITTIPVSSFNHMPKLRTFRLHSNHLFCDCHLAWLSQWLRQRPTIGLFT QCSGPASLRGLNVAEVQKGEFSCSGQGEAAGAPACTLSSGSCPAMCSCSSGIVDCRGKGL TAIPANLPETMTEIRLELNGIKSIPPGAFSPYRKLRRIDLSNNQIAEIAPDAFQGLRSLN SLVLYGNKITDLPRGVFGGLYTLQLLLLNANKINCIRPDAFQDLQNLSLLSLYDNKIQSL AKGTFTSLRAIQTLHLAQNPFICDCNLKWLADFLRTNPIETTGARCASPRRLANKRIGQI KSKKFRCSAKEQYFIPGTEDYHLNSECTSDVACPHKCRCEASVVECSSLKLSKIPERIPQ STTELRLNNNEISILEATGLFKKLSHLKKINLSNNKVSEIEDGTFEGAASVSELHLTANQ LESIRSGMFRGLDGLRTLMLRNNRISCIHNDSFTGLRNVRLLSLYDNHITTISPGAFDTL QALSTLNLLANPFNCNCHLSWLGDWLRKRKIVTGNPRCQNPDFLRQIPLQDVAFPDFRCE EGQEEVGCLPRPQCPQECACLDTVVRCSNKHLQALPKGIPKNVTELYLDGNQFTLVPGQL STFKYLQLVDLSNNKISSLSNSSFTNMSQLTTLILSYNALQCIPPLAFQGLRSLRLLSLH GNDVSTLQEGIFADVTSLSHLAIGANPLYCDCRLRWLSSWVKTGYKEPGIARCAGPPEME GKLLLTTPAKKFECQGPPSLAVQAKCDPCLSSPCQNQGTCHNDPLEVYRCTCPSGYKGRH CEVSLDGCSSNPCGNGGTCHAQEGEDAGFTCSCPSGFEGPTCGVDTDDCVKHACVNGGVC VDGVGNYTCQCPLQYTGRACEQLVDFCSPDMNPCQHEAQCVGTPDGPRCECMLGYTGDNC SENQDDCKDHKCQNGAQCVDEVNSYACLCVEGYSGQLCEIPPAPRSSCEGTECQNGANCV DQGSRPVCQCLPGFGGPECEKLLSVNFVDRDTYLQFTDLQNWPRANITLQVSTAEDNGIL LYNGDNDHIAVELYQGHVRVSYDPGSYPSSAIYSAETINDGQFHTVELVTFDQMVNLSID GGSPMTMDNFGKHYTLNSEAPLYVGGMPVDVNSAAFRLWQILNGTSFHGCIRNLYINNEL QDFTKTQMKPGVVPGCEPCRKLYCLHGICQPNATPGPVCHCEAGWGGLHCDQPVDGPCHG HKCVHGKCVPLDALAYSCQCQDGYSGALCNQSPSAGGTLSGTFTGSRGAMPSARPHARCR GWNAGARARARAAARACG >ENSMUSP00000126139.1 pep:known chromosome:GRCm38:19:41646103:41743486:-1 gene:ENSMUSG00000025020.11 transcript:ENSMUST00000171586.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slit1 description:slit homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315203] MALTPQRGSSSGLSRPELWLLLWAAAWRLGATACPALCTCTGTTVDCHGTGLQAIPKNIP RNTERLELNGNNITRIHKNDFAGLKQLRVLQLMENQIGAVERGAFDDMKELERLDLSENF LQAVPRKAFRGATDLKNLQLDKNRISCIEEGAFRALRGLEVLTLNNNNITTIPVSSFNHM PKLRTFRLHSNHLFCDCHLAWLSQWLRQRPTIGLFTQCSGPASLRGLNVAEVQKGEFSCS GQGEAAGAPACTLSSGSCPAMCSCSSGIVDCRGKGLTAIPANLPETMTEIRLELNGIKSI PPGAFSPYRKLRRIDLSNNQIAEIAPDAFQGLRSLNSLVLYGNKITDLPRGVFGGLYTLQ LLLLNANKINCIRPDAFQDL >ENSMUSP00000065949.7 pep:known chromosome:GRCm38:14:56526761:56571846:-1 gene:ENSMUSG00000064128.6 transcript:ENSMUST00000065302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpj description:centromere protein J [Source:MGI Symbol;Acc:MGI:2684927] MFLMPTSSELNSGQNFLTQWMTSPSRAGVILNRGFPILEADDKQAATNVSTSFPAKATHF SNSFSISSEEDSFHEEQKLEAGGPYKPWSENPEAPPVFPSVRKEPIASRQDAPGCQEDNN NDLTPHLESEFKEVANKNPLFKKLEQLKEIQQKKQEQLKRQQLEQLQRLMEEQEKLLTMV SAQHAFPGTLLPDDQSQKHRSPGDLTLPPHSYSNPTQENSCASNVLPDEQSNFCRATQDS VLTSKNASDLFYESQYQEAHVKRNDLKEESPAHPSGEGALPRWEKKMGRSQEGKDVNLQK CGDSSEVVNIDERPIKAAVREKQQTFEDYLEEQIQLEERELRQKQLQEAEGPLLAKTKPK QPFLKRGEGLARFTNAKSKFQKGKESKLASTQSPSEDQPGSKVDRQHLQRKTALINKDLC AETPTVKKDSKARPKAGFASLRQKPKVTKTNMRESLSPPGLKVQTGKKRDGQFRHQVKGE RNAHASNKENVPACIKPWDAGCKMWSKTQGRERLPLSTGPVGCVVSRSPIRETDRETESS LDFSLQKKLEIWEREKEKENLELDEFLFLERAADEISFSSNSSFVLRILERDQQICDGHR LSSTPVKAVQQREAQQADPRGQSNCSEIPRYGVAHENESECEAMLLSWGSGSPDGLRELS CKRSMKAFQTSTSEIQSQWDARDDGVANSDSSTESEEQHDITIKPSTEVGDRVFSNREDS PQVCDAKGPIRDTGAQEDKWRDADLDLSDKECSSDESVIVESLNNKVLEPLRLPSSQAGS KIDFDDERSWTDLEENPYEHGVIHREEAIYGTPQTQCHSKSEGCVLDKTIKRKIAPVKKG EDFKCDRRISPPPPSDLMVKFFPSLKPKPKLDSHLENESKLNLSQDQPPEFMVCFIGDSV RSQVLREKVTELESEIEKFKAENTSLAKLRIERESALEKLRKEIADFEQQKARELARIEE YRKEETRKLQKERKVFEKYTAAARTFPDKKEREEIQALKQQIADLQEDLKRKETKWSSTQ SRLRSQIEMLVKENTDLREEIKVMERFRLDAWKRAEAMENSPKACQYMMATKKDESMNSS FQFQKSHVSSGVQVEKYKKKYLPAQGNLSRRIKSAPPRDLGSSDKGQAALPREPLQQVNF PDLEYKNKEEKEEEIQGEISHPDGKVEKIYKNGRRVVLFPNGTRKEVSADGKSVTVTFFN GDVKQVMPDERVVYYYAAAQTTHTTYPEGLEVLHFSSGQIEKHFPDGRKEITFPDQTIKT LFADGQEESIFPDGTIVRVQRDGNKIIEFNNGQRELHTAQFKRREYPDGTVKTVYANGHQ ETKYTSGRVRVKDKDGNVLMDTEM >ENSMUSP00000106715.2 pep:known chromosome:GRCm38:2:105668900:105697364:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000111086.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQTHADAKVQVLDNE NVSNGCVSKILGRYYETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRL LSEGVCTNDNIPSVSSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGT SVPGQPTQDGCQQQEGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALE KEFERTHYPDVFARERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIP ISSSFSTSVYQPIPQPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPP VPSQTSSYSCMLPTSPSVNGRSYDTYTPPHMQTHMNSQPMGTSGTTSTGLISPGVSVPVQ VPGSEPDMSQYWPRLQ >ENSMUSP00000106716.2 pep:known chromosome:GRCm38:2:105668900:105697364:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000111087.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQVSNGCVSKILGRY YETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRLLSEGVCTNDNIPSV SSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGTSVPGQPTQDGCQQQ EGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALEKEFERTHYPDVFAR ERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIPISSSFSTSVYQPIP QPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPPVPSQTSSYSCMLPT SPSVNGRSYDTYTPPHMQTHMNSQPMGTSGTTSTGLISPGVSVPVQVPGSEPDMSQYWPR LQ >ENSMUSP00000139168.1 pep:known chromosome:GRCm38:2:105668935:105683870:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000142772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNSHSGVNQLGGVFVNG >ENSMUSP00000106717.2 pep:known chromosome:GRCm38:2:105671860:105697242:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000111088.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNNGCQQQEGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALEKEFER THYPDVFARERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIPISSSF STSVYQPIPQPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQDSFHLEC QFPSKFPGVNLTCLSTGLDYSKERRREHVIERGNCVHSANDYVDTAVGYSGKKEKWRLEA LHFVTVLNWSPGMD >ENSMUSP00000087878.6 pep:known chromosome:GRCm38:2:105675191:105697242:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000090397.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQVSNGCVSKILGRY YETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRLLSEGVCTNDNIPSV SSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGTSVPGQPTQDGCQQQ EGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALEKEFERTHYPDVFAR ERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIPISSSFSTSVYQPIP QPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPPVPSQTSSYSCMLPT SPSVNGRSYDTYTPPHMQTHMNSQPMGTSGTTSTGLISPGVSVPVQVPGSEPDMSQYWPR LQ >ENSMUSP00000106714.1 pep:known chromosome:GRCm38:2:105675433:105697344:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000111085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQTHADAKVQVLDNE NVSNGCVSKILGRYYETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRL LSEGVCTNDNIPSVSSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGT SVPGQPTQDGCQQQEGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALE KEFERTHYPDVFARERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIP ISSSFSTSVYQPIPQPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPP VPSQTSSYSCMLPTSPSVNGRSYDTYTPPHMQTHMNSQPMGTSGTTSTGLISPGVSVPVQ VPGSEPDMSQYWPRLQ >ENSMUSP00000106712.2 pep:known chromosome:GRCm38:2:105675527:105697071:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000111083.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQVSNGCVSKILGRY YETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRLLSEGVCTNDNIPSV SSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGTSVPGQPTQDGCQQQ EGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALEKEFERTHYPDVFAR ERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIPISSSFSTSVYQPIP QPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPPVPSQTSSYSCMLPT SPSVNGRSYDTYTPPHMQTHMNSQPMGTSGTTSTGLISPGVSVPVQVPGSEPDMSQYWPR LQ >ENSMUSP00000087870.5 pep:known chromosome:GRCm38:2:105676128:105697071:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000090391.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQTHADAKVQVLDNE NVSNGCVSKILGRYYETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRL LSEGVCTNDNIPSVSSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGT SVPGQPTQDGCQQQEGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALE KEFERTHYPDVFARERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIP ISSSFSTSVYQPIPQPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPP VPSQTSSYSCMLPTSPSVNGRSYDTYTPPHMQTHMNSQPMGTSGTTSTGLISPGVSVPVQ VPGSEPDMSQYWPRLQ >ENSMUSP00000106711.1 pep:known chromosome:GRCm38:2:105676129:105697361:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000111082.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQVSNGCVSKILGRY YETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRLLSEGVCTNDNIPSV SSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGTSVPGQPTQDGCQQQ EGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALEKEFERTHYPDVFAR ERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIPISSSFSTSVYQPIP QPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPPVPSQTSSYSCMLPT SPSVNGRSYDTYTPPHMQTHMNSQPMGTSGTTSTGLISPGVSVPVQVPGSEPDMSQYWPR LQ >ENSMUSP00000119375.2 pep:known chromosome:GRCm38:2:105678391:105685795:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000156216.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQTHADAKVQVLDNE NVSNGCVSKILGRYYETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRL LSEGVCTNDNIPSVSSINR >ENSMUSP00000129344.2 pep:known chromosome:GRCm38:2:105675513:105697361:1 gene:ENSMUSG00000027168.21 transcript:ENSMUST00000167211.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax6 description:paired box 6 [Source:MGI Symbol;Acc:MGI:97490] MQNSHSGVNQLGGVFVNGRPLPDSTRQKIVELAHSGARPCDISRILQTHADAKVQVLDNE NVSNGCVSKILGRYYETGSIRPRAIGGSKPRVATPEVVSKIAQYKRECPSIFAWEIRDRL LSEGVCTNDNIPSVSSINRVLRNLASEKQQMGADGMYDKLRMLNGQTGSWGTRPGWYPGT SVPGQPTQDGCQQQEGGGENTNSISSNGEDSDEAQMRLQLKRKLQRNRTSFTQEQIEALE KEFERTHYPDVFARERLAAKIDLPEARIQVWFSNRRAKWRREEKLRNQRRQASNTPSHIP ISSSFSTSVYQPIPQPTTPVSSFTSGSMLGRTDTALTNTYSALPPMPSFTMANNLPMQPP VPSQTSSYSCMLPTSPSVNGRSYDTYTPPHMQTHMNSQPMGTSGTTSTGLISPGVSVPVQ VPGSEPDMSQYWPRLQ >ENSMUSP00000045748.5 pep:known chromosome:GRCm38:11:121053458:121063569:-1 gene:ENSMUSG00000039364.13 transcript:ENSMUST00000039309.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sectm1b description:secreted and transmembrane 1B [Source:MGI Symbol;Acc:MGI:1929083] MLAYSVTSSGLFPRMLWALLLLAASLNAYNHVWDKPCCTEHEVSVNRGSRVVMACNISNN LRDVTIELVTSKKTSIIFNQTPPGNYSKDSWQLHIQGGQAQLVITDAQGKHSGEYWWKLR GFQAEFKNFNLIVNAADRQKTEDLPVTKVPDKPPTAVRTEVIIIIAIATTIIITGIGVFV WYKQFPVAPQIQMSVPCLIHGSPGIPYLTLPP >ENSMUSP00000080217.2 pep:known chromosome:GRCm38:11:121054582:121063545:-1 gene:ENSMUSG00000039364.13 transcript:ENSMUST00000081499.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sectm1b description:secreted and transmembrane 1B [Source:MGI Symbol;Acc:MGI:1929083] MLAYSVTSSGLFPRMLWALLLLAASLNAYNHVWDKPCCTEHEVSVNRGSRVVMACNISNN LRDVTIELVTSKKTSIIFNQTPPGNYSKDSWQLHIQGGQAQLVITDAQGKHSGEYWWKLR GFQAEFKNFNLIVNAADRQKTEDLPVTKVPDKPPTAVRTEVIIIIAIATTIIITGIGVFV WYKQFPVAPQIQMSVPCLIHGSPGIPYLTLPP >ENSMUSP00000116010.1 pep:known chromosome:GRCm38:11:121054957:121063455:-1 gene:ENSMUSG00000039364.13 transcript:ENSMUST00000130786.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sectm1b description:secreted and transmembrane 1B [Source:MGI Symbol;Acc:MGI:1929083] MLAYSVTSSGLFPRMLWALLLLAASLNAYNHVWDKPCCTEHEVSVNRGSRVVMACNISNN LRDVTIELVTSKKTSIIFNQTPPGNYSKDSWQLHIQGGQAQLVITDAQGKHSGEYWWKLR GFQAEFKNFNLIVNAADRQKTEDLPVTKVPDKPPTAVRTEVIIIIAIATTIIITGIGVFV WYKQFP >ENSMUSP00000126486.1 pep:known chromosome:GRCm38:11:121054536:121056363:-1 gene:ENSMUSG00000039364.13 transcript:ENSMUST00000170381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sectm1b description:secreted and transmembrane 1B [Source:MGI Symbol;Acc:MGI:1929083] MLAYSVTSSGLFPRMLWALLLLAASLNAYNHVWDKPCCTEHEVSVNRGSRVVMACNISNN LRDVTIELVTSKKTSIIFNQTPPGNYSKDSWQLHIQGGQAQLVITDAQGKHSGEYWWKLR GFQAEFKNFNLIVNAADRQKTEDLPVTKVPDKPPTAVRTEVIIIIAIATTIIITGIGVFV WYKQFPVAPQIQMSVPCLIHGSPGIPYLTLPP >ENSMUSP00000125965.1 pep:known chromosome:GRCm38:11:121054531:121063538:-1 gene:ENSMUSG00000039364.13 transcript:ENSMUST00000168459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sectm1b description:secreted and transmembrane 1B [Source:MGI Symbol;Acc:MGI:1929083] MLAYSVTSSGLFPRMLWALLLLAASLNAYNHVWDKPCCTEHEVSVNRGSRVVMACNISNN LRDVTIELVTSKKTSIIFNQTPPGNYSKDSWQLHIQGGQAQLVITDAQGKHSGEYWWKLR GFQAEFKNFNLIVNAADRQKTEDLPVTKVPDKPPTAVRTEVIIIIAIATTIIITGIGVFV WYKQFPVAPQIQMSVPCLIHGSPGIPYLTLPP >ENSMUSP00000140242.1 pep:known chromosome:GRCm38:Y:88053315:88079494:1 gene:ENSMUSG00000101915.1 transcript:ENSMUST00000187146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28102 description:predicted gene 28102 [Source:MGI Symbol;Acc:MGI:5578808] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNYPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYISTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000127034.2 pep:known chromosome:GRCm38:11:69666936:69672317:-1 gene:ENSMUSG00000059796.16 transcript:ENSMUST00000163666.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4a1 description:eukaryotic translation initiation factor 4A1 [Source:MGI Symbol;Acc:MGI:95303] MSASQDSRSRDNGPDGMEPEGVIESNWNEIVDSFDDMNLSESLLRGIYAYGFEKPSAIQQ RAILPCIKGYDVIAQAQSGTGKTATFAISILQQIELDLKATQALVLAPTRELAQQIQKVV MALGDYMGASCHACIGGTNVRAEVQKLQMEAPHIIVGTPGRVFDMLNRRYLSPKYIKMFV LDEADEMLSRGFKDQIYDIFQKLNSNTQVVLLSATMPSDVLEVTKKFMRDPIRILVKKEE LTLEGIRQFYINVEREEWKLDTLCDLYETLTITQAVIFINTRRKVDWLTEKMHARDFTVS AMHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHRI GRGGRFGRKGVAINMVTEEDKRTLRDIETFYNTSIEEMPLNVADLI >ENSMUSP00000099649.5 pep:known chromosome:GRCm38:11:69668482:69672423:-1 gene:ENSMUSG00000059796.16 transcript:ENSMUST00000102589.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif4a1 description:eukaryotic translation initiation factor 4A1 [Source:MGI Symbol;Acc:MGI:95303] MRWLGRGRTLYKLSIGGHSALDSKDHVCESGFSIQRQWPRRDGAGRRHRE >ENSMUSP00000034000.8 pep:known chromosome:GRCm38:8:41340197:41374773:-1 gene:ENSMUSG00000031591.14 transcript:ENSMUST00000034000.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asah1 description:N-acylsphingosine amidohydrolase 1 [Source:MGI Symbol;Acc:MGI:1277124] MRGQSLLTWVLAAAVTCAQAQDVPPWTEDCRKSTYPPSGPTYRGPVPWHTINLDLPPYKR WHELLAQKAPALRILVNSITSLVNTFVPSGKLMKMVDQKLPGMIGSLPDPFGEEMRGIAD VTGIPLGEIISFNIFYELFTMCTSIITEDEKGHLLHGRNMDFGIFLGWNINNNTWVVTEE LKPLTVNLDFQRNNKTVFKATSFVGYVGMLTGFKPGLFSLSLNERFSINGGYLGILEWMF GRKDAQWVGFITRSVLENTTSYEEAKNTLTKTKIMAPVYFILGGKKSGEGCVITRERKES LDVYELDPKHGRWYVVQTNYDRWKNTLFIDDRRTPAKKCLNHTTQKNLSFATIYDVLSTK PVLNKLTVFTTLMDVTKGQFESHLRDCPDPCIGW >ENSMUSP00000117362.1 pep:known chromosome:GRCm38:8:41354027:41374773:-1 gene:ENSMUSG00000031591.14 transcript:ENSMUST00000143057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asah1 description:N-acylsphingosine amidohydrolase 1 [Source:MGI Symbol;Acc:MGI:1277124] MVWPRVADHALGCGLLLVEVVPAAAAARVPRSGACSWEDAGPKSSHLGPSRGSHLRPGTG CAAGGYRGPVPWHTINLDLPPYKRWHELLAQKAPALRILVNSITSLVNTFVPSGKLMKMV >ENSMUSP00000106047.1 pep:known chromosome:GRCm38:8:41346180:41374635:-1 gene:ENSMUSG00000031591.14 transcript:ENSMUST00000110417.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asah1 description:N-acylsphingosine amidohydrolase 1 [Source:MGI Symbol;Acc:MGI:1277124] MCRRWWTEDCRKSTYPPSGPTYRGPVPWHTINLDLPPYKRWHELLAQKAPALRILVNSIT SLVNTFVPSGKLMKMVDQKLPGMIGSLPDPFGEEMRGIADVTGIPLGEIISFNIFYELFT MCTSIITEDEKGHLLHGRNMDFGIFLGWNINNNTWVVTEELKPLTVNLDFQRNNKTVFKA TSFVGYVGMLTGFKPGLFSLSLNERFSINGGYLGIL >ENSMUSP00000136157.1 pep:known chromosome:GRCm38:7:52011683:52015728:-1 gene:ENSMUSG00000094445.7 transcript:ENSMUST00000180038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700015G11Rik description:RIKEN cDNA 1700015G11 gene [Source:MGI Symbol;Acc:MGI:1922779] MCLRVKDEEPAQVYPEGPRRHHPSDVSTRQSVEKRINYMQNLQKEKRKLGKRFARPNPIP DTGILWT >ENSMUSP00000140226.1 pep:known chromosome:GRCm38:7:52011685:52015703:-1 gene:ENSMUSG00000094445.7 transcript:ENSMUST00000185758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700015G11Rik description:RIKEN cDNA 1700015G11 gene [Source:MGI Symbol;Acc:MGI:1922779] MCLRVKDEEPAQVYPEGPRRHHPSDVSTSLWKNVSITCKTYRKRRGNWGKGSQGLTRFRI QASCGHKLGVF >ENSMUSP00000141120.1 pep:known chromosome:GRCm38:7:52011687:52015695:-1 gene:ENSMUSG00000094445.7 transcript:ENSMUST00000190307.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700015G11Rik description:RIKEN cDNA 1700015G11 gene [Source:MGI Symbol;Acc:MGI:1922779] MCLRVKDEEPAQVYPEGPRRHHPSDVSTRQWT >ENSMUSP00000141159.1 pep:known chromosome:GRCm38:7:52011690:52015682:-1 gene:ENSMUSG00000094445.7 transcript:ENSMUST00000185841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700015G11Rik description:RIKEN cDNA 1700015G11 gene [Source:MGI Symbol;Acc:MGI:1922779] MCLRVKDEEPAQVYPEGPRRHHPSDVSTRQKEKRKLGKRFARPNPIPDTGILWT >ENSMUSP00000060318.4 pep:known chromosome:GRCm38:4:42868004:42874203:-1 gene:ENSMUSG00000050141.13 transcript:ENSMUST00000055944.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam205c description:family with sequence similarity 205, member C [Source:MGI Symbol;Acc:MGI:2679716] MMVPSFILWDVGSSVYTYGSLFIIALIIWHVRRSHRGLRLGPIKSCTKCFRRIKQKPSDR TLRVKKRTTKEETEKLQKLLSNMKRQGWLPQEGSVRRLLCSDPSCPICNAMALEIQQLLG VENKKTSSSLLRHSRSFSCLEALSPPKVLNDQSSELSKVLNGQSSELSKVLNGQSSELSK VLNDQSSELSKVLNDQSSELSSQHSKDISLPPKFMQSQSTDQKLTLSAPLSTGNTVLQCY HPAPQQQPEPQGSNAFQDVSGLSSSSMDEHGVPANQQKKRKKTKKLVSKNQAPSEAEMEN KMTFFSHWVNPEVKCDRPEESFAFYKSETGAKPKTGEPKKSSAKVRAEEPNLEKHAKDLK AKPLHAKRNI >ENSMUSP00000103612.1 pep:known chromosome:GRCm38:4:42868203:42874195:-1 gene:ENSMUSG00000050141.13 transcript:ENSMUST00000107978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam205c description:family with sequence similarity 205, member C [Source:MGI Symbol;Acc:MGI:2679716] MMVPSFILWDVGSSVYTYGSLFIIALIIWHVRRSHRGLRLGPIKSCTKCFRRIKQKPSDR TLRVKKRTTKEETEKLQKLLSNMKRQGWLPQEGSVRRLLCSDPSCPICNAMALEIQQLLG VENKKTSSSLLRHSRSFSCLEALSPPKVLNDQSSELSKVLNGQSSELSKVLNGQSSELSK VLNDQSSELSKVLNDQSSELSSQHSKDISLPPKFMQSQSTDQKLTLSAPLSTGNTVLQCY HPAPQQQPEPQGSNAFQDVSGLSSSSMDEHGVPANQQKKRKKTKKLVSKNQAAPSEAEME NKMTFFSHWVNPEVKCDRPEESFAFYKSETGAKPKTGEPKKSSAKVRAEEPNLEKHAKDL KAKPLHAKRNI >ENSMUSP00000132771.1 pep:known chromosome:GRCm38:1:171419033:171420352:1 gene:ENSMUSG00000103711.1 transcript:ENSMUST00000171362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tstd1 description:thiosulfate sulfurtransferase (rhodanese)-like domain containing 1 [Source:MGI Symbol;Acc:MGI:3648482] MLRLAVLRHSHTSAAAGTMAGVPTVSFSELRSLVASGRARLFDVRSPEEAAAGTIPGALN IPVSELEIALNMDPAAFQTLYCAEKPKLEDKNLIFFCQMGRRGLQATQLAQGLGYTGARN YAGAYKEWLEKQG >ENSMUSP00000122821.1 pep:known chromosome:GRCm38:5:135806897:135852219:1 gene:ENSMUSG00000039860.19 transcript:ENSMUST00000126232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm3 description:serine/arginine repetitive matrix 3 [Source:MGI Symbol;Acc:MGI:1920309] MSSTVNNGATGMPAPPDAANGFPQPGASSGSWPRAEEELRAAEPGLVKRAHREILDHERK RRVELKCMELQEMMEEQGYSEEEIRQKVGTFRQMLMEKEGVLTREDRPGAHIVAETPRRM EGLE >ENSMUSP00000013615.7 pep:known chromosome:GRCm38:5:135818106:135873109:1 gene:ENSMUSG00000039860.19 transcript:ENSMUST00000013615.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srrm3 description:serine/arginine repetitive matrix 3 [Source:MGI Symbol;Acc:MGI:1920309] MSSTVNNGATGMPAPPDAANGFPQPGASSGSWPRAEEELRAAEPGLVKRAHREILDHERK RRVELKCMELQEMMEEQGYSEEEIRQKVGTFRQMLMEKEGVLTREDRPGAHIVAETPRRM EGLEPGLEYPPFDEDDGPVDCDCPVSCYRGHRGYRTKHWSSSSASPPPKKKKKKKGSHRR SRKKRRLESECSCGSASPLRKKKKNVKKHRRDRSDSGSRRKRRYRSRSLKSKRKEKNKER KRPHTESPGRRFHHHSSASSHSPSMSSHYSDSGSPSRLSPKHRDDGRKTGSQRSSGSRSP SPSGGSGWGSPQQNGGSRQRSGAHGGRPGSAHSPPDEEVPLY >ENSMUSP00000115695.1 pep:known chromosome:GRCm38:5:135818106:135874772:1 gene:ENSMUSG00000039860.19 transcript:ENSMUST00000144211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm3 description:serine/arginine repetitive matrix 3 [Source:MGI Symbol;Acc:MGI:1920309] MSSTVNNGATGMPAPPDAANGFPQPGASSGSWPRAEEELRAAEPGLVKRAHREILDHERK RRVELKCMELQEMMEEQGYSEEEIRQKVGTFRQMLMEKEGVLTREDRPGAHIVAETPRRM EGLEPGLEYPPFDEDDGPVDCDCPVSCYRGHRGYRTKHWSSSSASPPPKKKKKKKGSHRR SRKKRRLESECSCGSASPLRKKKKNVKKHRRDRSDSGSRRKRRYRSRSLKSKRKEKNKER KRPHTESPGRRFHHHSSASSHSPSMSSHYSDSGSPSRLSPKHRDDGRKTGSQRSSGSRSP SPSGGSGWGSPQQNGGSRQRSGAHGGRPGSAHSPPDKPSSPRACDKAAAAPTPPARGKDS QSPRSAPSSQGRGGRAAGGTARRRRRRRRRRRSRSSANAPRRRGRRRTKPAPPRGSSRSL SGAHSSSDSGGGAPGPGPEPCSERGHGGHGKRAKERPPRARPASTSPSPGTRGRRGGPEG NSSSRSPGPHQGSWSSSRSPSKSHSRSPDKRTRSPSLSPSPKKPLGRDKDSEGRARHAEA EAARTRRRSRSYSPIRKRRRDSPSFMEPRRITSARKRPIPYYRPSPSSSSSCLSTDYSSR SHSRSPSPGHSHGSYSSRSHGTRSRSCSASRSRSPSYHSRSSSESGGF >ENSMUSP00000035045.8 pep:known chromosome:GRCm38:9:99385420:99437381:-1 gene:ENSMUSG00000032470.16 transcript:ENSMUST00000035045.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mras description:muscle and microspikes RAS [Source:MGI Symbol;Acc:MGI:1100856] MATSAVPSENLPTYKLVVVGDGGVGKSALTIQFFQKIFVPDYDPTIEDSYLKHTEIDNQW AILDVLDTAGQEEFSAMREQYMRTGDGFLIVYSVTDKASFEHVDRFHQLILRVKDRESFP MILVANKVDLMHLRKVTRDQGKEMATKYNIPYIETSAKDPPLNVDKTFHDLVRVIRQQVP EKNQKKKKKTKWRGDRATGTHKLQCVIL >ENSMUSP00000113275.1 pep:known chromosome:GRCm38:9:99387928:99436881:-1 gene:ENSMUSG00000032470.16 transcript:ENSMUST00000122384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mras description:muscle and microspikes RAS [Source:MGI Symbol;Acc:MGI:1100856] MATSAVPSENLPTYKLVVVGDGGVGKSALTIQFFQKIFVPDYDPTIEDSYLKHTEIDNQW AILDVLDTAGQEEFSAMREQYMRTGDGFLIVYSVTDKASFEHVDRFHQLILRVKDRESFP MILVANKVDLMHLRKVTRDQGKEMATKYNIPYIETSAKDPPLNVDKTFHDLVRVIRQQVP EKNQKKKKKTKWRGDRATGTHKLQCVIL >ENSMUSP00000112407.1 pep:known chromosome:GRCm38:9:99387979:99425896:-1 gene:ENSMUSG00000032470.16 transcript:ENSMUST00000119472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mras description:muscle and microspikes RAS [Source:MGI Symbol;Acc:MGI:1100856] MATSAVPSENLPTYKLVVVGDGGVGKSALTIQFFQKIFVPDYDPTIEDSYLKHTEIDNQW AILDVLDTAGQEEFSAMREQYMRTGDGFLIVYSVTDKASFEHVDRFHQLILRVKDRESFP MILVANKVDLMHLRKVTRDQGKEMATKYNIPYIETSAKDPPLNVDKTFHDLVRVIRQQVP EKNQKKKKKTKWRGDRATGTHKLQCVIL >ENSMUSP00000121307.1 pep:known chromosome:GRCm38:9:99393001:99420152:-1 gene:ENSMUSG00000032470.16 transcript:ENSMUST00000123771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mras description:muscle and microspikes RAS [Source:MGI Symbol;Acc:MGI:1100856] MATSAVPSENLPTYKLVVVGDGGVGKSALTIQFFQKIFVPDYDPTIEDSYLKHTEIDNQW AILDVLDTAGQEEFSAMREQYMRTGDGFLIVYSVTDKASFEHVDRFHQLILRVKDRESFP MILVANKVDL >ENSMUSP00000142099.1 pep:known chromosome:GRCm38:1:193091104:193114675:-1 gene:ENSMUSG00000016181.9 transcript:ENSMUST00000194412.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diexf description:digestive organ expansion factor homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:2138080] XVGAAPSRLPENGSTRPIFSDRCQKEELNFTHICEYTQKSGISRARHFFLQGEKQFLLLT ERFHFYKRLMYPLYETCSKVEVGWISGLIVGKP >ENSMUSP00000142059.1 pep:known chromosome:GRCm38:1:193092566:193117557:-1 gene:ENSMUSG00000016181.9 transcript:ENSMUST00000193460.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diexf description:digestive organ expansion factor homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:2138080] LLIIDQADIYLMQNWEHVLHLMNHMNLLPLDSHGVDFSRVRMWSLNNWSKYYRQTLLFGA LQDAQINSVFNKHCINAQGQVAVRNVPMTGSISHVLVQLPHVFQRMEAQDLSSVIDARFH FFINKILPQYRDAVMSHTLIYVPSYFDFVRLRNYFKKEELNFTHICEYTQKSGISRARHF FLQGEKQFLLLTERFHFYKRLMYPLYETCSKVEVGWISGLIVGKP >ENSMUSP00000082691.1 pep:known chromosome:GRCm38:1:193104402:193130272:-1 gene:ENSMUSG00000016181.9 transcript:ENSMUST00000085555.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diexf description:digestive organ expansion factor homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:2138080] MGKRRNRGRSQMLSTMTKKQKKHLRDFGEEHPFYDRVSKKEAKPQICQLPESSDSSHSES ESESEQEHVSGYHRLLATLKNVSEEEEEEEEEEEEEEEEEEEEEDDSAVGDAEMNEEAGS EDGSVGEAAVSEAAEEAAETQEHMSLADNSKEKDGEEPPGVSQKSSEEFTDVKHESLFSL ETNFLEEDSGGSCSQRPSQDPFQQHVNKELKEKEIQAAASSPPATQQLKWPVLGHLVFSS KFQKTETFKPPKDIDLKLLHLQKPLESTWAKTNSQFLSGPQKSNSSFTPLQKELFLIMNS YRDLFYPERTALKNGEEVRHVYCLHAINHVLKANAQVLANNSRRRSQKLGVGEDDDFRDQ GLTRPKVLIVVPFREAALRVVQLFISLLEGDSKKKIIVSNKKRFQGEYGSDPEERPPNLK RPEDYEAVFVGNIDDHFRIGVAILQRSIRLYAPFYSSDILIASPLGLRTIIGGEGEKKRD FDFLSSVELLIIDQADIYLMQNWEHVLHLMNHMNLLPLDSHGVDFSRVRMWSLNNWSKYY RQTLLFGALQDAQINSVFNKHCINAQGQVAVRNVPMTGSISHVLVQLPHVFQRMEAQDLS SVIDARFHFFINKILPQYRDAVMSHTLIYVPSYFDFVRLRNYFKKEELNFTHICEYTQKS GISRARHFFLQGEKQFLLLTERFHFYKRYTIKGIRNLIFYELPTYPHFYSEVCNMLRATS RGEEATWTCTVLYSKYDAQRLAAVVGVERAAQMLQSPKNVHLFVTGEK >ENSMUSP00000141676.1 pep:known chromosome:GRCm38:1:193104418:193130244:-1 gene:ENSMUSG00000016181.9 transcript:ENSMUST00000195291.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Diexf description:digestive organ expansion factor homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:2138080] MGKRRNRGRSQMLSTMTKKQKKHLRDFGEEHPFYDRVSKKEAKPQICQLPESSDSSHSES ESESEQEHVSGYHRLLATLKNVSEEEEEEEEEEEEEEEEEEEEEDDSAVGDAEMNEEAGS EDGSVGEAAVSEAAEEAAETQEHMSLADNSKEKDGEEPPGVSQKSSEEFTDVKHESLFSL ETNFLEEDSGGSCSQRPSQDPFQQHVNKELKEKEIQAAASSPPATQQLKWPVLGHLVFSS KFQKTETFKPPKDIDLKLLHLQKPLESTWAKTNSQFLSGPQKSNSSFTPLQKELFLIMNS YRDLFYPERTALKNGEEVRHVYCLHAINHVLKANAQVLANNSRRRSQKLGVGEDDDFRDQ GLTRPKVLIVVPFREAALRVVQLFISLLEGDSKKKIIVSNKKRFQGEYGSDPEERPPNLK RPEDYEAVFVGNIDDHFRIGVAILQRSIRLYAPFYSSDILIASPLGLRTIIGGEGEKKRD FDFLSSVELLIIDQADIYLMQNWEHVLHLMNHMNLLPLDSHGVDFSRVRMWSLNNWSKYY RQTLLFGALQDAQINSVFNKHCINAQGQVAVRNVPMTGSISHVLVQLPHVFQRMEAQDLS SVIDARFCLSTGMLSCLTHSSMSPPTLTLCVSEITSRKKS >ENSMUSP00000141950.1 pep:known chromosome:GRCm38:1:193122042:193130207:-1 gene:ENSMUSG00000016181.9 transcript:ENSMUST00000195848.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Diexf description:digestive organ expansion factor homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:2138080] MGKRRNRGRSQMLSTMTKKQKKHLRDFGEEHPFYDRVSKKEAKPQICQLICL >ENSMUSP00000107839.1 pep:known chromosome:GRCm38:6:126923050:126939587:-1 gene:ENSMUSG00000030346.16 transcript:ENSMUST00000112220.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51ap1 description:RAD51 associated protein 1 [Source:MGI Symbol;Acc:MGI:1098224] MVRPIRNRKPINYSQFEDSGNDSDDDFISSSTPVNKSKTVPKVLKQDKPKPNLKNLQKEE VLPTEPPKKRVALDDKVFQRGLEVALALSVKELPTLTNQVKKSKEKSTDKQGKEKTENTG KPPHVSNCSVASDDVDLDKITEEGDASSVEGERKSPSQAKAPRRRAPSEGSDGSSANDTE SESATGEGSESDPDFDESKESDEDFGVRRSKESKKKTVQKKPAGEKKERKSKPKCEASVT SVDPAPAAIKSGSPSLPQAVGLPSEATRKPAIMCSPSAESKRPKWVPPAASGSRNSSSNA LAGTPAKSPSQSLRLGLSRLAPVKRLHPSATSSQVR >ENSMUSP00000107841.1 pep:known chromosome:GRCm38:6:126923415:126939587:-1 gene:ENSMUSG00000030346.16 transcript:ENSMUST00000112221.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51ap1 description:RAD51 associated protein 1 [Source:MGI Symbol;Acc:MGI:1098224] MVRPIRNRKPINYSQFEDSGNDSDDDFISSSTPVNKSKTVPKVLKQDKPKPNLKNLQKEE VLPTEPPKKRVALDDKVFQRGLEVALALSVKELPTLTNQVKKSKEKSTDKQGKEKTENTG KPPHVSNCSVASDDVEDLDKITEEGDASSVEGERKSPSQAKAPRRRAPSEGSDGSSANDT ESESATGEGSESDPDFDESKESDEDFGVRRSKESKKKTVQKKPAGEKKERKSKPKCEASV TSVDPAPAAIKSGSPSLPQAVGLPSEATRKPAIMCSPSAESKRPKWVPPAASGSRNSSSN ALAGTPAKSPSQSLRLGLSRLAPVKRLHPSATSSQVR >ENSMUSP00000122978.1 pep:known chromosome:GRCm38:6:126926362:126939571:-1 gene:ENSMUSG00000030346.16 transcript:ENSMUST00000144954.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51ap1 description:RAD51 associated protein 1 [Source:MGI Symbol;Acc:MGI:1098224] MVRPIRNRKPINYSQFEDSGNDSDDDFISSSTPVNKSKTVPKVLKQDKPKPNLKNLQKEE VLPTEPPKKSVASDDVEDLDKITEEGDASSVEGERKSPSQAKAPRRRAPSEGSDGSSAND TESESATGEGSESDPDFDESKESDEDFGVRRSKESKKKTVQKKPAGEKKERKSKPKCEA >ENSMUSP00000144327.1 pep:known chromosome:GRCm38:6:126928107:126939575:-1 gene:ENSMUSG00000030346.16 transcript:ENSMUST00000202897.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad51ap1 description:RAD51 associated protein 1 [Source:MGI Symbol;Acc:MGI:1098224] MVRPIRNRKPINYSQFEDSGNDSDDDFISSSTPVNKSKTVPKVLKQDKPKPNLKNLQKEE VLPTEPPKKR >ENSMUSP00000121284.1 pep:known chromosome:GRCm38:4:97568133:97778048:-1 gene:ENSMUSG00000048747.14 transcript:ENSMUST00000146447.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130114P18Rik description:RIKEN cDNA E130114P18 gene [Source:MGI Symbol;Acc:MGI:2442873] XDTAPAEAHGRPAPPQLLTWGCREASADAHQSRWMDLLPVQRTRVLGSPSVHLAEAWHKS QPSSRAARYMTLHCAACHPGTASLSKVCLCLVIDTLPGAQDSKGKDSGLPSRCTLALAEE AGTGAGYQKITMQDAKIERQLIVLPSCDTFQPWQ >ENSMUSP00000102683.2 pep:known chromosome:GRCm38:4:97568133:97584629:-1 gene:ENSMUSG00000048747.14 transcript:ENSMUST00000107068.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130114P18Rik description:RIKEN cDNA E130114P18 gene [Source:MGI Symbol;Acc:MGI:2442873] MTQEVIDTLPGAQDSKGKDSGLPSRCTLALAEEAGTGAGMYFAFGYQKITMQDAKIERQL IVLPSCDTFQPWQ >ENSMUSP00000102682.1 pep:known chromosome:GRCm38:4:97568133:97584607:-1 gene:ENSMUSG00000048747.14 transcript:ENSMUST00000107067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130114P18Rik description:RIKEN cDNA E130114P18 gene [Source:MGI Symbol;Acc:MGI:2442873] MTQEVIDTLPGAQDSKGKDSGLPSRCTLALAEEAGTGAGYQKITMQDAKIERQLIVLPSC DTFQPWQ >ENSMUSP00000140103.1 pep:known chromosome:GRCm38:4:97569190:97690736:-1 gene:ENSMUSG00000048747.14 transcript:ENSMUST00000186369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130114P18Rik description:RIKEN cDNA E130114P18 gene [Source:MGI Symbol;Acc:MGI:2442873] AEAWHKSQPSSRAARYMTLHCAACHPGTASLSKVCLCLVIDTLPGAQDSKGKDSGLPSRC TLALAEEAGTGAGYQKITMQDAKIERQLIVLPSCDTFQPWQ >ENSMUSP00000120724.1 pep:known chromosome:GRCm38:2:152427635:152444329:1 gene:ENSMUSG00000032680.11 transcript:ENSMUST00000153713.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:6820408C15Rik description:RIKEN cDNA 6820408C15 gene [Source:MGI Symbol;Acc:MGI:3045333] MAQKLQLLVCFGKVGLLDPCLGWGIMEVLPPTLNSFQTNLETSILREQVSSTCEIGAREE LEAIYSASPPTSQRPQQKQDEESE >ENSMUSP00000117627.1 pep:known chromosome:GRCm38:2:152427662:152439643:1 gene:ENSMUSG00000032680.11 transcript:ENSMUST00000128737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6820408C15Rik description:RIKEN cDNA 6820408C15 gene [Source:MGI Symbol;Acc:MGI:3045333] MAQKQTSRPQFSGNKFQVPVRLGPEKNLKPSTLPPLRQVKGRNKSKTKNLNKIQPAVQSQ VSVEMANSTKTSGGLRRRDQTHHGKVQAKVRLMRSMLRNQRTSLQELYSHEGYLSKLNKE LIKAILDTEDSVALSVREMLQQQSILGSIIDILEYSNK >ENSMUSP00000037024.5 pep:known chromosome:GRCm38:2:152415587:152444330:1 gene:ENSMUSG00000032680.11 transcript:ENSMUST00000039961.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6820408C15Rik description:RIKEN cDNA 6820408C15 gene [Source:MGI Symbol;Acc:MGI:3045333] MAQKQTSRPQFSGNKFQVPVRLGPEKNLKPSTLPPLRQVKGRNKSKTKNLNKIQPVQSQV SVEMANSTKTSGGLRRRDQTHHGKVQAKVRLMRSMLRNQRTSLQELYSHEGYLSKLNKEL IKAILDTEDSVALSVREMLQQQSILGSIIDILEYSNKKRVQQLRSELQEWKEKEESKTNS LQREVDQLNSEIQKASEEVNFLDTYMDHEYPVKLVQIASHIRQVQQAKDNQQDELDNLSE MRETILALFSNVIQEKKKKILKSLVVNTQKPHENILLLKTLDRRRLQRCMVLFRELIEQM KEEIPILLSEVEQMCAELWNPREAVYKDVLLQRPKCTPDMAVELNIPVQEPFPF >ENSMUSP00000127709.1 pep:known chromosome:GRCm38:6:22306520:22356166:-1 gene:ENSMUSG00000029672.16 transcript:ENSMUST00000165576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3c description:family with sequence similarity 3, member C [Source:MGI Symbol;Acc:MGI:107892] MRVAGAAKLVVAVAVFLLTFYVISQVFEIKMDASLGNLFARSALDSAIRSTKPPRYKCGI SKACPEKHFAFKMASGAANVVGPKICLEDNVLMSGVKNNVGRGINIALVNGKTGEVIDTK FFDMWGGDVAPFIEFLKTIQDGTVVLMATYDDGATKLTDEARRLIAELGSTSITSLGFRD NWVFCGGKGIKTKSPFEQHIKNNKETNKYEGWPEVVEMEGCIPQKQD >ENSMUSP00000127559.1 pep:known chromosome:GRCm38:6:22307882:22356098:-1 gene:ENSMUSG00000029672.16 transcript:ENSMUST00000163963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3c description:family with sequence similarity 3, member C [Source:MGI Symbol;Acc:MGI:107892] MPLTKKRPSFGDVLESEKAKMRVAGAAKLVVAVAVFLLTFYVISQVFEIKMDASLGNLFA RSALDSAIRSTKPPRYKCGISKACPEKHFAFKMASGAANVVGPKICLEDNVLMSGVKNNV GRGINIALVNGKTGEVIDTKFFDMWGGDVAPFIEFLKTIQDGTVVLMATYDDGATKLTDE ARRLIAELGSTSITSLGFRDNWVFCGGKGIKTKSPFEQHIKNNKETNKYEGWPEVVEMEG CIPQKQD >ENSMUSP00000080040.7 pep:known chromosome:GRCm38:6:22307882:22356243:-1 gene:ENSMUSG00000029672.16 transcript:ENSMUST00000081288.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3c description:family with sequence similarity 3, member C [Source:MGI Symbol;Acc:MGI:107892] MDASLGNLFARSALDSAIRSTKPPRYKCGISKACPEKHFAFKMASGAANVVGPKICLEDN VLMSGVKNNVGRGINIALVNGKTGEVIDTKFFDMWGGDVAPFIEFLKTIQDGTVVLMATY DDGATKLTDEARRLIAELGSTSITSLGFRDNWVFCGGKGIKTKSPFEQHIKNNKETNKYE GWPEVVEMEGCIPQKQD >ENSMUSP00000128855.1 pep:known chromosome:GRCm38:6:22309327:22356073:-1 gene:ENSMUSG00000029672.16 transcript:ENSMUST00000163371.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam3c description:family with sequence similarity 3, member C [Source:MGI Symbol;Acc:MGI:107892] MRVAGAAKLVVAVAVFLLTFYVISQVFEIKMDASLGNLFARSALDSAIRSTKPPRYKCGI SKACPEKHFAFKMASGAANVVGPKICLEDNVCSEYRNSL >ENSMUSP00000128135.1 pep:known chromosome:GRCm38:6:22322290:22343913:-1 gene:ENSMUSG00000029672.16 transcript:ENSMUST00000168965.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3c description:family with sequence similarity 3, member C [Source:MGI Symbol;Acc:MGI:107892] MRVAGAAKLVVAVAVFLLTFYVISQVFEIKMDASLGNLFARSALDSAIRSTKPPRYKCGI SKACPEKHFAFKMASGAANVVGPKICLEDNVLMSGVKNNVGRGINIALVN >ENSMUSP00000112511.1 pep:known chromosome:GRCm38:8:112570043:112882717:1 gene:ENSMUSG00000031772.17 transcript:ENSMUST00000118171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap4 description:contactin associated protein-like 4 [Source:MGI Symbol;Acc:MGI:2183572] MNMGSVAGAVLKMLLLLSTQNWNRVEAGNSYDCDEPLVSALPQASFSSSSELSSSHGPGF ARLNRRDGAGGWSPLVSNKYQWLQIDLGERMEVTSVATQGGYGSSNWVTSYLLMFSDSGR NWKQYRQEDSIWGFSGNANADSVVYYRLQPSIKARFLRFIPLEWNPKGRIGMRIEVFGCA YRSVVIDLDGKSSLLYRFDQNSLSPIRDIISLKFKTMESDGILLHRAGPAGDHITLELRR GKLFLLINSGDARLTSSSTLINLTLGSLLDDQHWHSVLIQRLGKQVNFTVDEHRRHFHAQ GEFNYLDLDYEISFGGISAPAKSVSLPYKHFHGCLENLFYNGVDVIGLVKEHSPQIITMG NASFSCSQPQSMPLTFLSPRSYLVLPASTKEEAISASFQFRTWNKAGLLLFSELQLVSGS LLLLLSDGKLKLTLYQPGKSPSDITAGAGLGDGQWHSVSLSAKRNHLSVVVDGHISPASP WLGPEQVNSGGVFYFGGCPDKGFGSKCKSPLGGFQGCMRLISINNKMVDLIAVQQGALGN FSDLQIDSCGISDRCLPNSCEHGGECSQSWSTFHCNCTNTGYTGATCHSSVYEQSCEAYK HQGNASGFYYIDSDGSGPLQPFLLYCNMTETAWTVMQHNGSDLMRVRNTHSENAHTGVFE YTASMEQLQAAINRAEHCQQELVYYCKKSRLVNQQDGSPRSWWVGRTNETQTYWGGSLPV HQKCTCGLEGNCIDAQYHCNCDADLNEWTNDTGFLSYKEHLPVTKIVITDTGRPHSEAAY KLGPLLCRGDRPFWNAASFNTEASYLHFPTFHGELSADVSFFFKTTALSGVFLENLGITD FIRIELRSPTTVTFSFDVGNGPFELSVHSPTHFNDNQWHHVRVERNMKEASLRVDELPPK IQAAPTDGHVLLQLNSQLFVGGTATRQRGFLGCIRSLQLNGMALDLEERATVTPGVQPGC RGHCGSYGKLCRHGGKCREKPSGFFCDCSSSAYAGPFCSKEISAYFGSGSSVIYNFQENY SLSKNSSFHAASFHGDMKLSREMIKFSFRTTRAPSLLLHMSSFYKEYLSIIIAKNGDLQI RYKLNKYHEPDVISFDLKSMADGQLHHIKINREEGMVFVEIDENTRRQTYLSSGTEFSAV KSLVLGRMLEYSDVDQETALAAAHGFTGCLSAVQFSHIAPLKAALQPGPPAPVTVTGHVT ESSCVAPSGTDATSRERTHSFADHSGTMDDREPLTHAIKSDSAVIGGLIAVVIFILLCVS AIAVRIYQQKRLYKRNEAKRSENVDSAEAVLKSELHIQNAVGENQKEYFF >ENSMUSP00000034225.6 pep:known chromosome:GRCm38:8:112570043:112882705:1 gene:ENSMUSG00000031772.17 transcript:ENSMUST00000034225.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap4 description:contactin associated protein-like 4 [Source:MGI Symbol;Acc:MGI:2183572] MNMGSVAGAVLKMLLLLSTQNWNRVEAGNSYDCDEPLVSALPQASFSSSSELSSSHGPGF ARLNRRDGAGGWSPLVSNKYQWLQIDLGERMEVTSVATQGGYGSSNWVTSYLLMFSDSGR NWKQYRQEDSIWGFSGNANADSVVYYRLQPSIKARFLRFIPLEWNPKGRIGMRIEVFGCA YRSVVIDLDGKSSLLYRFDQNSLSPIRDIISLKFKTMESDGILLHRAGPAGDHITLELRR GKLFLLINSGDARLTSSSTLINLTLGSLLDDQHWHSVLIQRLGKQVNFTVDEHRRHFHAQ GEFNYLDLDYEISFGGISAPAKSVSLPYKHFHGCLENLFYNGVDVIGLVKEHSPQIITMG NASFSCSQPQSMPLTFLSPRSYLVLPASTKEEAISASFQFRTWNKAGLLLFSELQLVSGS LLLLLSDGKLKLTLYQPGKSPSDITAGAGLGDGQWHSVSLSAKRNHLSVVVDGHISPASP WLGPEQVNSGGVFYFGGCPDKGFGSKCKSPLGGFQGCMRLISINNKMVDLIAVQQGALGN FSDLQIDSCGISDRCLPNSCEHGGECSQSWSTFHCNCTNTGYTGATCHSSVYEQSCEAYK HQGNASGFYYIDSDGSGPLQPFLLYCNMTETAWTVMQHNGSDLMRVRNTHSENAHTGVFE YTASMEQLQAAINRAEHCQQELVYYCKKSRLVNQQDGSPRSWWVGRTNETQTYWGGSLPV HQKCTCGLEGNCIDAQYHCNCDADLNEWTNDTGFLSYKEHLPVTKIVITDTGRPHSEAAY KLGPLLCRGDRPFWNAASFNTEASYLHFPTFHGELSADVSFFFKTTALSGVFLENLGITD FIRIELRSPTTVTFSFDVGNGPFELSVHSPTHFNDNQWHHVRVERNMKEASLRVDELPPK IQAAPTDGHVLLQLNSQLFVGGTATRQRGFLGCIRSLQLNGMALDLEERATVTPGVQPGC RGHCGSYGKLCRHGGKCREKPSGFFCDCSSSAYAGPFCSKEISAYFGSGSSVIYNFQENY SLSKNSSFHAASFHGDMKLSREMIKFSFRTTRAPSLLLHMSSFYKEYLSIIIAKNGSLQI RYKLNKYHEPDVISFDLKSMADGQLHHIKINREEGMVFVEIDENTRRQTYLSSGTEFSAV KSLVLGRMLEYSDVDQETALAAAHGFTGCLSAVQFSHIAPLKAALQPGPPAPVTVTGHVT ESSCVAPSGTDATSRERTHSFADHSGTMDDREPLTHAIKSDSAVIGGLIAVVIFILLCVS AIAVRIYQQKRLYKRNEAKRSENVDSAEAVLKSELHIQNAVGENQKEYFF >ENSMUSP00000034527.7 pep:known chromosome:GRCm38:9:48318006:48340898:-1 gene:ENSMUSG00000032028.15 transcript:ENSMUST00000034527.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxpe2 description:neurexophilin and PC-esterase domain family, member 2 [Source:MGI Symbol;Acc:MGI:1925502] MRRMLSPRILLSSLPNASAQKLFLIVLIIFAFWVVFMTSKDHTEFMVHLNNRIILRRWSI FKEFLHSEELKNTPASVEAELAVTAILEKLNQQIPPRPFQTHSSTTSAKQSTATIHNPQR TYCVGDQLNVLLVAKDYFGNRKEYGGDFLRARMSSPALKAGASGKVTDFNNGTYLISFTL FWEGPVSLSILLMHPSEGVSALWRARNRGYGKIIFTGQFLNGTSPVLTECGLTLNTSAEL CQYLDARDHEAFYCLKLPGVPCEALTHMTSKNSNISYLSLEEKLLFRRFNIGVEVVKNLS IVVSPCNNKTNKKKKKCQIGMETPSPGGYTLKGRWITAHCEQNEFRAIKDINNCLTRKLI YLMGDSTLRQWIYYLPKVVKTLKFFDRHGAGPFKTHILLDTERHIFVQWKKHSHPFVTNK LFSMKDDNYIPREIDQVAGDSGTAIVISFGQHFRPFPINVFIRRAINVKNAIERLFLRSP ETKVIIKTENIREINEHVEIFSDFHGSIQNLIIRDIFRDLNVGIIDAWDMTVAYRSEDVH PPESVIESQIGMFLNYIC >ENSMUSP00000117580.1 pep:known chromosome:GRCm38:9:48319144:48340834:-1 gene:ENSMUSG00000032028.15 transcript:ENSMUST00000135457.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nxpe2 description:neurexophilin and PC-esterase domain family, member 2 [Source:MGI Symbol;Acc:MGI:1925502] MRRMLSPRILLSSLPNASAQKLFLIVLIIFAFWVVFMTSKDHTEVQHRS >ENSMUSP00000116684.1 pep:known chromosome:GRCm38:9:48326393:48353454:-1 gene:ENSMUSG00000032028.15 transcript:ENSMUST00000137976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxpe2 description:neurexophilin and PC-esterase domain family, member 2 [Source:MGI Symbol;Acc:MGI:1925502] MEDMTIPRILLSSLPNASAQKLFLIVLIIFAFWVVFMTSKDHTEFMVHLNNRIILRRWSI FKEFLHSEELKNTPASVEAELAVTAILEKLNQQIPPRPFQTHSSTTSAKQSTATIHNPQR TYCVGDQLNVLLVAKDYFGNRKEYGGDFLRARMSSPALKAGASGKVTDFNNGTYLISFTL FWEGPVS >ENSMUSP00000019065.3 pep:known chromosome:GRCm38:11:70392883:70410028:-1 gene:ENSMUSG00000018921.11 transcript:ENSMUST00000019065.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pelp1 description:proline, glutamic acid and leucine rich protein 1 [Source:MGI Symbol;Acc:MGI:1922523] MAAAVLSGASAGSPAGAPGGPGGLSAVGSGPRLRLLLLESISGLLQPRTASPVAPVHPPI QWAPHLPGLMCLLRLHGTAGGAQNLSALGALVNLSNAHLGSIKTRFEGLCLLSLLIGESP TELFQQHCVSWLRSIQQVLQSQDSPSTMELAVAVLRDLLRHASQLPTLFRDISTNHLPGL LTSLLGLRPECEQSALEGMKACVTYFPRACGSLKGKLASFFLSRLDSLNPQLQQLACECY SRLPSLGAGFSQGLKHTENWEQELHSLLTSLHSLLGSLFEETEPAPVQSEGPGIEMLLSH SEDGNTHVLLQLRQRFSGLARCLGLMLSSEFGAPVSVPVQEILDLICRILGISSKNINLL GDGPLRLLLLPSLHLEALDLLSALILACGSRLLRFGALISRLLPQVLNAWSTGRDTLAPG QERPYSTIRTKVYAILELWVQVCGASAGMLQGGASGEALLTHLLSDISPPADALKLCSTR GSSDGGLQSGKPSAPKKLKLDMGEALAPPSQRKGDRNANSDVCAAALRGLSRTILMCGPL IKEETHRRLHDLVLPLVMSVQQGEVLGSSPYNSSCCRLGLYRLLLALLLAPSPRCPPPLA CALKAFSLGQWEDSLEVSSFCSEALVTCAALTHPRVPPLQSSGPACPTPAPVPPPEAPSS FRAPAFHPPGPMPSIGAVPSTGPLPSAGPIPTVGSMASTGQVPSRPGPPATANHLGLSVP GLVSVPPRLLPGPENHRAGSGEDPVLAPSGTPPPSIPPDETFGGRVPRPAFVHYDKEEAS DVEISLESDSDDSVVIVPEGLPSLPPAPPSGTPPPAAPAGPPTASPPVPAKEDSEELPAT PGPPPPPPPPPPPASGPVTLPPPQLVPEGTPGGGGPTAMEEDLTVININSSDEEEEEEEE EEEEDEDEEEEDFEEEEEDEEEYFEEEEEEEEFEEEFEEEEGELEEEEEEEEEELDEVED VEFGSAGEVEEGGPPPPTLPPALPPSDSPKVQPEAEPEPGLLLEVEEPGPEEVPGPETAP TLAPEVLPSQEEGEQEVGSPAAGPPQELVEESSAPPALLEEGTEGGGDKVPPPPETPAEE METEAEVPAPQEKEQDDTAAMLADFIDCPPDDEKPPPATEPDS >ENSMUSP00000134014.1 pep:known chromosome:GRCm38:11:70402631:70410031:-1 gene:ENSMUSG00000018921.11 transcript:ENSMUST00000135148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pelp1 description:proline, glutamic acid and leucine rich protein 1 [Source:MGI Symbol;Acc:MGI:1922523] MAAAVLSGASAGSPAGAPGGPGGLSAVGSGPRLRLLLLESISGLLQPRTASPVAPVHPPI QWAPHLPGLMCLLRLHGTAGGAQNLSALGALVNLSNAHLGSIKTRFEGLCLLSLLIGESP TELFQQHCVSWLRSIQQVLQVLLNFPESPETPEFQGGCISNLER >ENSMUSP00000034245.8 pep:known chromosome:GRCm38:8:95332292:95347507:1 gene:ENSMUSG00000031792.14 transcript:ENSMUST00000034245.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usb1 description:U6 snRNA biogenesis 1 [Source:MGI Symbol;Acc:MGI:2142454] MSSAPLVGYSSSGSEDEAEAVAAGRSKPGTGFHRCGQNPVPSEKLPVPDSVLSMFPSTEE GPEDDSAKHGGRIRTFPHERGNWATHIYIPYEAKEDFRDLLDALLPRAQMFVPRLVLMEE FHVSLSQSVVLRHHWILPFVQVLKDRMASFQRFFFTANRVKIYTNQEKTRTFIGLEVSSG HAQFLDLVSEVDRAMKEFDLTTFYQDPSFHVSLAWCVGDASLQLEGQCLQELQEIVDEFE DSEMLLRVLANQVRCKSGNKFFSMPLK >ENSMUSP00000122529.1 pep:known chromosome:GRCm38:8:95332596:95343165:1 gene:ENSMUSG00000031792.14 transcript:ENSMUST00000126180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usb1 description:U6 snRNA biogenesis 1 [Source:MGI Symbol;Acc:MGI:2142454] MFPSTEEGPEDDSAKHGGRIRTFPHERGNWATHIYIPYEAKEDFRDLLDALLPRAQMFVP RLVLMEEFHVSLSQSVVLRHHWILPFVQVLKDRMASFQRFFFTANRVKIYTNQ >ENSMUSP00000031414.8 pep:known chromosome:GRCm38:5:121660563:121687256:1 gene:ENSMUSG00000029458.14 transcript:ENSMUST00000031414.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brap description:BRCA1 associated protein [Source:MGI Symbol;Acc:MGI:1919649] MSVSLVVIRLELAGHSPVPTDFGFSAAAGEMSDEEIKKKTLASAVACLEGKSAGEKAAII HQHLGRREMTDVIIETMKARADEVRDTVEEKKPSAAPVSAQRSREQSESVNTAPESPSKQ LPDQISFFSGNPSVEIVHGIMHLYKTNKMTSLKEDVRRSAMLCVLTVPATMTSHDLMKFV APFNDVIEQMKIIRDSTPNQYMVLIKFSAQADADSFYMACNGRQFNSIEDDVCQLVYVER AEVLKSEDGASLPVMDLTELPKCTVCLERMDESVNGILTTLCNHSFHSQCLQRWDDTTCP VCRYCQTPEPVEENKCFECGVQENLWICLICGHIGCGRYVSRHAYKHFEETQHTYAMQLT NHRVWDYAGDNYVHRLVASKTDGKIVQYECEGDTCQEEKIDALQLEYSYLLTSQLESQRI YWENKIVRIEKDTAEEINNMKTKFKETIEKCDSLELRLSDLLKEKQSVERKCTQLNTRVA KLSTELQEEQELNKCLRANQLVLQNQLKEEEKLLKETCAQKDLQITEIQEQLRDVMFYLE TQQQISHLPAETRQEIQEGQINIAMASAPNPPSSGAGGKLQSRKGRSKRGK >ENSMUSP00000122536.1 pep:known chromosome:GRCm38:5:121660574:121687243:1 gene:ENSMUSG00000029458.14 transcript:ENSMUST00000140996.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brap description:BRCA1 associated protein [Source:MGI Symbol;Acc:MGI:1919649] MSVSLVVIRLELAGHSPVPTDFGFSAAAGEMSDEEIKKKTLASAVACLEGKSAGEKAAII HQHLGRREMTDVIIETMKARAVR >ENSMUSP00000107395.1 pep:known chromosome:GRCm38:5:121660869:121687245:1 gene:ENSMUSG00000029458.14 transcript:ENSMUST00000111765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brap description:BRCA1 associated protein [Source:MGI Symbol;Acc:MGI:1919649] MSDEEIKKKTLASAVACLEGKSAGEKAAIIHQHLGRREMTDVIIETMKARADEVRDTVEE KKPSAAPVSAQRSREQSESVNTAPESPSKQLPDQISFFSGNPSVEIVHGIMHLYKTNKMT SLKEDVRRSAMLCVLTVPATMTSHDLMKFVAPFNDVIEQMKIIRDSTPNQYMVLIKFSAQ ADADSFYMACNGRQFNSIEDDVCQLVYVERAEVLKSEDGASLPVMDLTELPKCTVCLERM DESVNGILTTLCNHSFHSQCLQRWDDTTCPVCRYCQTPEPVEENKCFECGVQENLWICLI CGHIGCGRYVSRHAYKHFEETQHTYAMQLTNHRVWDYAGDNYVHRLVASKTDGKIVQYEC EGDTCQEEKIDALQLEYSYLLTSQLESQRIYWENKIVRIEKDTAEEINNMKTKFKETIEK CDSLELRLSDLLKEKQSVERKCTQLNTRVAKLSTELQEEQELNKCLRANQLVLQNQLKEE EKLLKETCAQKDLQITEIQEQLRDVMFYLETQQQISHLPAETRQEIQEGQINIAMASAPN PPSSGAGGKLQSRKGRSKRGK >ENSMUSP00000143350.1 pep:known chromosome:GRCm38:5:121662041:121675381:1 gene:ENSMUSG00000029458.14 transcript:ENSMUST00000195952.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brap description:BRCA1 associated protein [Source:MGI Symbol;Acc:MGI:1919649] ASAVACLEGKSAGEKAAIIHQHLGRREMTDVIIETMKARAGSLGLFPAVCDLLIFTL >ENSMUSP00000143043.1 pep:known chromosome:GRCm38:5:121662050:121665641:1 gene:ENSMUSG00000029458.14 transcript:ENSMUST00000142701.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brap description:BRCA1 associated protein [Source:MGI Symbol;Acc:MGI:1919649] VACLEGKSAGEKAAIIHQHLGRREMTDVIIETMKARADEVRDTVEEKKPSAAPVSAQRSR EQSESVNTAPESPSKQLPDQISFFSGNPSVEIVHGIMHLYKTNKMTSLKEDVRRSAMLCV LTVPATMTSHDLMKFVAPFNDVIEQMKIIRDSTPNQYMVLIKFSAQVKVKMLDTSLLQTA RETAMPVLWVLCLKFRYGS >ENSMUSP00000096677.3 pep:known chromosome:GRCm38:2:166805588:166898052:1 gene:ENSMUSG00000074582.10 transcript:ENSMUST00000099078.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgef2 description:ADP-ribosylation factor guanine nucleotide-exchange factor 2 (brefeldin A-inhibited) [Source:MGI Symbol;Acc:MGI:2139354] MQESQTKSMFVSRALEKILADKEVKRPQHSQLRRACQVALDEIKAELEKQRLGAAAPPKA NFIEADKYFLPFELACQSKSPRVVSTSLDCLQKLIAYGHITGNAPDSGAPGKRLIDRIVE TICNCFQGPQTDEGVQLQIIKALLTAVTSPHIEIHEGTILQTVRTCYNIYLASKNLINQT TAKATLTQMLNVIFTRMENQVLQEARELEKPMQSKPQSPVIQATAGSPKFSRLKQSQAQS KPTTPEKAELPNGDHAQSGLGKVSLENGEAPRERGSPVSGRAEPSRGTDSGAQEVVKDIL EDVVTSAVKEAAEKHGLPEPDRALGALECQECAVPPGVDENSQTNGIADDRQSLSSADNL EPDVQGHQVAARFSHILQKDAFLVFRSLCKLSMKPLGEGPPDPKSHELRSKVVSLQLLLS VLQNAGPVFRSHEMFVTAIKQYLCVALSKNGVSSVPDVFELSLAIFLTLLSNFKMHLKMQ IEVFFKEIFLNILETSTSSFEHRWMVIQTLTRICADAQCVVDIYVNYDCDLNAANIFERL VNDLSKIAQGRSGHELGMTPLQELSLRKKGLECLVSILKCMVEWSKDLYVNPNHQATLGQ ERLPDQEMGDGKGLDMARRCSVTSVESTVSSGTQTAIQDDPEQFEVIKQQKEIIEHGIEL FNKKPKRGIQFLQEQGMLGAAVEDIAQFLHQEERLDSTQVGEFLGDSTRFNKEVMYAYVD QLDFCEKEFVSALRTFLEGFRLPGEAQKIDRLMEKFAARYIECNQGQTLFASADTAYVLA YSIIMLTTDLHSPQVKNKMTKEQYIKMNRGINDSKDLPEEYLSSIYDEIEGKKIAMKETK EHTIATKSTKQSVASEKQRRLLYNVEMEQMAKTAKALMEAVSHAKAPFTSATHLDHVRPM FKLVWTPLLAAYSIGLQNCDDTEVASLCLEGIRCAVRIACIFGMQLERDAYVQALARFSL LTASSSITEMKQKNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKTRY LSGSGREREGSLKGHSLAGEEFMGLGLGNLVSGGVDKRQMASFQESVGETSSQSVVVAVD RIFTGSTRLDGNAIVDFVRWLCAVSMDELASPHHPRMFSLQKIVEISYYNMNRIRLQWSR IWHVIGDHFNKVGCNPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKK NRSPTIRDMVIRCIAQMVSSQAANIRSGWKNIFAVFHQAASDHDGNIVELAFQTTGHIVS TIFQHHFPAAIDSFQDAVKCLSEFACNAAFPDTSMEAIRLIRFCGKYVSERPRVLQEYTS DDMNVAPGDRVWVRGWFPILFELSCIINRCKLDVRTRGLTVMFEIMKSYGHTFAKHWWQD LFRIVFRIFDNMKLPEQQSEKSEWMTTTCNHALYAICDVFTQFYEALHEVLLSDVFAQLQ WCVKQDNEQLARSGTNCLENLVISNGEKFSPAVWDETCNCMLDIFKTTIPHVLLTWRPAG MEEEVSDRHLDVDLDRQSLSSIDRNASERGQSQLSNPTDDSWKGAPYAHQKLLASLLIKC VVQLELIQTIDNIVFYPATSKKEDAEHMVAAQQDTLDAEIHIETENQGMYKFMSSQHLFK LLDCLQESHSFSKAFNSNYEQRTVLWRAGFKGKSKPNLLKQETSSLACCLRILFRMYVDE NRRDSWDEIQQRLLRVCSEALAYFITVNSESHREAWTSLLLLLLTKTLKISDEKFKAHAS MYYPYLCEIMQFDLIPELRAVLRKFFLRIGLVYKIWIPEEPSQVPAALSSTW >ENSMUSP00000131572.1 pep:known chromosome:GRCm38:2:166827657:166848971:1 gene:ENSMUSG00000074582.10 transcript:ENSMUST00000144847.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgef2 description:ADP-ribosylation factor guanine nucleotide-exchange factor 2 (brefeldin A-inhibited) [Source:MGI Symbol;Acc:MGI:2139354] CLQKLIAYGHITGNAPDSGAPGKRLIDRIVETICNCFQGPQTDEGVQLQIIKALLTAVTS PHIEIHEGTILQTVRTCYNIYLASKNLINQTTAKATLTQMLNVIFTRMENQVLQEARELE KPMQSKPQSPVIQATAGSPKFSRLKQSQAQSKPTTPEKAELPNGDHAQSGLGKVSLENGE APRERGSPVSGRAEPSREAAEKHGLPEPDRALGALECQECAVPPGVDENSQTNGIADDRQ SLSSADNLEPDVQGHQVAARFSHILQKDAFLVFRSLCKLSMKP >ENSMUSP00000096812.2 pep:known chromosome:GRCm38:2:152459055:152464620:-1 gene:ENSMUSG00000074681.2 transcript:ENSMUST00000099206.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb23 description:defensin beta 23 [Source:MGI Symbol;Acc:MGI:3644405] MKLLFPLFASLMLQSQVKSEFATVKRCLVGFGKCKDSCLADETQMQHCKAKKCCIGPKVS ELIKSYLRQEIPHIPDEDIVEMMRTKKNSTEEMQGKQALMALFQSRAAKNLLSNTNSAMG PNAFPVKSVTTRTRRRRMGATASTKMHIKQSKDSANAAPRPRPGPP >ENSMUSP00000130758.1 pep:known chromosome:GRCm38:4:136469761:136549315:1 gene:ENSMUSG00000001089.14 transcript:ENSMUST00000170102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luzp1 description:leucine zipper protein 1 [Source:MGI Symbol;Acc:MGI:107629] MAELTNYKDAASNRHLRFKLQSLSRRLDELEEATKNLQRAEDELLDLQDKVIQAEGSDSS TLAEIEVLRQRVLKIEGKDEEIKRAEDLCHTMKEKLEEEENLTRELKSEIERLQKRMVDL EKLEEALSRSKNECSQLCLSLNEERNLTKKISSELEMLRVKVKELESSEDRLDKTEQSLV SELEKLKSLTLSFVNERKYLNEKEKENEKIIKELTQKLEQNKKMNRDHMRNASTFLERND LRIEDGISSTLSSKESKRKGSLDYLKQVENETRDKSENEKNRNQEDNKVKDLNQEIEKLK TQIKHFESLEEELKKMRAKNNDLQDNYLTELNRNRSLASQLEEIKLQVRKQKELGNGDIE GEDAFLLGRGRHERTKLKGHGSEASVSKHTSRELSPQHKRERLRNREFALSNEHYSLSSK QASSPVFTNKRAAKASNMGMGTDSGTQETKRTEDRFAPGSSHSEGKRGREQPSVLSRYPP AAQEHTKVWKGAPKPGTESGLKGKVEKTTRTFSDSTHVSVPNDIVGKGDKTSDLSSEAHC GKRGQVPGHASQGTQAVESSCSKAIGALSSSQKASSEGLSKGKKTANGLAADANFSNSKA PILSKYPYSSRSQENILQGFSLPNKEGVDQPVAVVMEDSSQHEALRCRVIKSSGREKPDS DDDLDIESFVTAKLVNTTITPEPEPKPQPNSREKVKSRGGTRTALFENDKNAAIENDSVK PTRPSSNAIEFPDANCAGVKNQRPFSPREALRSRAIIKPVIIDKDVKKIMGGSGTEVVLE KQKSTSKSVTSKVTSSITIYPSDSSGPRAVPSEAPRERHTSTSNIQVGPPELTAISNHVS SPLELSIHKHDITLQLTEAERVGDGSPKNRAEMVVSRSSILIKPSESVEKNSHVPPAETI RWKSHSASSDSRHITVRNAWKSKRDLKCSEDPPTGIGRNMEATNAYTQRPCTDFLELEQP RSQPSEQGARRVGNSGDAPELSPRRTQSSLTASEVLTRRDRMGGAITAASCNHSSSMEEG EDSTFVTSRRIHNPLEHSELPGKQGLPEPEPVWTEERLHPAKPYAEED >ENSMUSP00000101475.2 pep:known chromosome:GRCm38:4:136469761:136549319:1 gene:ENSMUSG00000001089.14 transcript:ENSMUST00000105849.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luzp1 description:leucine zipper protein 1 [Source:MGI Symbol;Acc:MGI:107629] MAELTNYKDAASNRHLRFKLQSLSRRLDELEEATKNLQRAEDELLDLQDKVIQAEGSDSS TLAEIEVLRQRVLKIEGKDEEIKRAEDLCHTMKEKLEEEENLTRELKSEIERLQKRMVDL EKLEEALSRSKNECSQLCLSLNEERNLTKKISSELEMLRVKVKELESSEDRLDKTEQSLV SELEKLKSLTLSFVNERKYLNEKEKENEKIIKELTQKLEQNKKMNRDHMRNASTFLERND LRIEDGISSTLSSKESKRKGSLDYLKQVENETRDKSENEKNRNQEDNKVKDLNQEIEKLK TQIKHFESLEEELKKMRAKNNDLQDNYLTELNRNRSLASQLEEIKLQVRKQKELGNGDIE GEDAFLLGRGRHERTKLKGHGSEASVSKHTSRELSPQHKRERLRNREFALSNEHYSLSSK QASSPVFTNKRAAKASNMGMGTDSGTQETKRTEDRFAPGSSHSEGKRGREQPSVLSRYPP AAQEHTKVWKGAPKPGTESGLKGKVEKTTRTFSDSTHVSVPNDIVGKGDKTSDLSSEAHC GKRGQVPGHASQGTQAVESSCSKAIGALSSSQKASSEGLSKGKKTANGLAADANFSNSKA PILSKYPYSSRSQENILQGFSLPNKEGVDQPVAVVMEDSSQHEALRCRVIKSSGREKPDS DDDLDIESFVTAKLVNTTITPEPEPKPQPNSREKVKSRGGTRTALFENDKNAAIENDSVK PTRPSSNAIEFPDANCAGVKNQRPFSPREALRSRAIIKPVIIDKDVKKIMGGSGTEVVLE KQKSTSKSVTSKVTSSITIYPSDSSGPRAVPSEAPRERHTSTSNIQVGPPELTAISNHVS SPLELSIHKHDITLQLTEAERVGDGSPKNRAEMVVSRSSILIKPSESVEKNSHVPPAETI RWKSHSASSDSRHITVRNAWKSKRDLKCSEDPPTGIGRNMEATNAYTQRPCTDFLELEQP RSQPSEQGARRVGNSGDAPELSPRRTQSSLTASEVLTRRDRMGGAITAASCNHSSSMEEG EDSTFVTSRRIHNPLEHSELPGKQGLPEPEPVWTEERLHPAKPYAEED >ENSMUSP00000128591.1 pep:known chromosome:GRCm38:4:136469850:136540667:1 gene:ENSMUSG00000001089.14 transcript:ENSMUST00000129230.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luzp1 description:leucine zipper protein 1 [Source:MGI Symbol;Acc:MGI:107629] MAELTNYKDAASNRHLRFKLQSLSRRLDELEEATKNLQRAEDELLDLQDKVIQAEGSDSS TLAEIEV >ENSMUSP00000060619.6 pep:known chromosome:GRCm38:4:136521395:136546394:1 gene:ENSMUSG00000001089.14 transcript:ENSMUST00000063021.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luzp1 description:leucine zipper protein 1 [Source:MGI Symbol;Acc:MGI:107629] MAELTNYKDAASNRHLRFKLQSLSRRLDELEEATKNLQRAEDELLDLQDKVIQAEGSDSS TLAEIEVLRQRVLKIEGKDEEIKRAEDLCHTMKEKLEEEENLTRELKSEIERLQKRMVDL EKLEEALSRSKNECSQLCLSLNEERNLTKKISSELEMLRVKVKELESSEDRLDKTEQSLV SELEKLKSLTLSFVNERKYLNEKEKENEKIIKELTQKLEQNKKMNRDHMRNASTFLERND LRIEDGISSTLSSKESKRKGSLDYLKQVENETRDKSENEKNRNQEDNKVKDLNQEIEKLK TQIKHFESLEEELKKMRAKNNDLQDNYLTELNRNRSLASQLEEIKLQVRKQKELGNGDIE GEDAFLLGRGRHERTKLKGHGSEASVSKHTSRELSPQHKRERLRNREFALSNEHYSLSSK QASSPVFTNKRAAKASNMGMGTDSGTQETKRTEDRFAPGSSHSEGKRGREQPSVLSRYPP AAQEHTKVWKGAPKPGTESGLKGKVEKTTRTFSDSTHVSVPNDIVGKGDKTSDLSSEAHC GKRGQVPGHASQGTQAVESSCSKAIGALSSSQKASSEGLSKGKKTANGLAADANFSNSKA PILSKYPYSSRSQENILQGFSLPNKEGVDQPVAVVMEDSSQHEALRCRVIKSSGREKPDS DDDLDIESFVTAKLVNTTITPEPEPKPQPNSREKVKSRGGTRTALFENDKNAAIENDSVK PTRPSSNAIEFPDANCAGVKNQRPFSPREALRSRAIIKPVIIDKDVKKIMGGSGTEVVLE KQKSTSKSVTSKVTSSITIYPSDSSGPRAVPSEAPRERHTSTSNIQVGPPELTAISNHVS SPLELSIHKHDITLQLTEAERVGDGSPKNRAEMVVSRSSILIKPSESVEKNSHVPPAETI RWKSHSASSDSRHITVRNAWKSKRDLKCSEDPPTGIGRNMEATNAYTQRPCTDFLELEQP RSQPSEQGARRVGNSGDAPELSPRRTQSSLTASEVLTRRDRMGGAITAASCNHSSSMEEG EDSTFVTSRRIHNPLEHSELPGKQGLPEPEPVWTEERLHPAKPYAEED >ENSMUSP00000132089.1 pep:known chromosome:GRCm38:4:136545265:136554780:1 gene:ENSMUSG00000001089.14 transcript:ENSMUST00000168936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luzp1 description:leucine zipper protein 1 [Source:MGI Symbol;Acc:MGI:107629] TSRRIHNPLEHSELPGKQGLPEPEPVWTEERLHPAKPYAEED >ENSMUSP00000001116.4 pep:known chromosome:GRCm38:4:136540354:136549318:1 gene:ENSMUSG00000001089.14 transcript:ENSMUST00000001116.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luzp1 description:leucine zipper protein 1 [Source:MGI Symbol;Acc:MGI:107629] MAELTNYKDAASNRHLRFKLQSLSRRLDELEEATKNLQRAEDELLDLQDKVIQAEGSDSS TLAEIEVLRQRVLKIEGKDEEIKRAEDLCHTMKEKLEEEENLTRELKSEIERLQKRMVDL EKLEEALSRSKNECSQLCLSLNEERNLTKKISSELEMLRVKVKELESSEDRLDKTEQSLV SELEKLKSLTLSFVNERKYLNEKEKENEKIIKELTQKLEQNKKMNRDHMRNASTFLERND LRIEDGISSTLSSKESKRKGSLDYLKQVENETRDKSENEKNRNQEDNKVKDLNQEIEKLK TQIKHFESLEEELKKMRAKNNDLQDNYLTELNRNRSLASQLEEIKLQVRKQKELGNGDIE GEDAFLLGRGRHERTKLKGHGSEASVSKHTSRELSPQHKRERLRNREFALSNEHYSLSSK QASSPVFTNKRAAKASNMGMGTDSGTQETKRTEDRFAPGSSHSEGKRGREQPSVLSRYPP AAQEHTKVWKGAPKPGTESGLKGKVEKTTRTFSDSTHVSVPNDIVGKGDKTSDLSSEAHC GKRGQVPGHASQGTQAVESSCSKAIGALSSSQKASSEGLSKGKKTANGLAADANFSNSKA PILSKYPYSSRSQENILQGFSLPNKEGVDQPVAVVMEDSSQHEALRCRVIKSSGREKPDS DDDLDIESFVTAKLVNTTITPEPEPKPQPNSREKVKSRGGTRTALFENDKNAAIENDSVK PTRPSSNAIEFPDANCAGVKNQRPFSPREALRSRAIIKPVIIDKDVKKIMGGSGTEVVLE KQKSTSKSVTSKVTSSITIYPSDSSGPRAVPSEAPRERHTSTSNIQVGPPELTAISNHVS SPLELSIHKHDITLQLTEAERVGDGSPKNRAEMVVSRSSILIKPSESVEKNSHVPPAETI RWKSHSASSDSRHITVRNAWKSKRDLKCSEDPPTGIGRNMEATNAYTQRPCTDFLELEQP RSQPSEQGARRVGNSGDAPELSPRRTQSSLTASEVLTRRDRMGGAITAASCNHSSSMEEG EDSTFVTSRRIHNPLEHSELPGKQGLPEPEPVWTEERLHPAKPYAEED >ENSMUSP00000021311.9 pep:known chromosome:GRCm38:11:102905529:102925124:-1 gene:ENSMUSG00000051378.10 transcript:ENSMUST00000021311.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif18b description:kinesin family member 18B [Source:MGI Symbol;Acc:MGI:2446979] MVMAVEDSVVRVVVRVRPPTPKELESQRRPVIQVVDERMLVFDPEECDGGFPGLKWSGSH NGPKKKGKDLTFVFDRVFGEMATQEDVFQHTTHNILDSFLQGYNCSVFAYGATGAGKTHT MLGREGEPGIMYLTTMELYRRLEARQEEKQFEVLISYLEVYNEQIHDLLEPKGPLTIRED PDKGVVVPGLSFHQPASAEQLLEMLTRGNCSRTQHPTDANATSSRSHAIFQIFVKQQDRV PGLTQALRVAKMSLIDLAGSERASSTHAKGERLREGANINRSLLALINVLNALADAKGRK SHVPYRDSKLTRLLKDSIGGNCRTVMIAAISPSSLTYEDTYNTLKYADRAKEIRLTLKSN VISVDHHISQYATICQQLQAEVAFLREKLQMYEAGAQALQQQCSPQPPTLSIPQSLSSSS LQPGPSSQSSTLECHAKNETLQEESLGSDAQGQEIVEESASEQEQCPQDKQCPTQKPEPN LPGSPSPSVQAKPGTGQHSPQKQDADHSKQLALQVLRLAQRQYSLLQAANLLTPDMISEF ETLQQLVLEESVDHRAESPRSPALARGDPLAQALCSESKSSGYCGPVTRTMAKQLNGLTH TLGAPLAPDCTSDKTFQKPTKEKKRKLTPEEPGSLPAPNLEMKRQRQSFLPCLRRGSLPK AQPCSEPRTPKRERASSPSPSSRVCPATVIKSRVPLGPSALQNCSTPLALPTRDLNTTFN VSEESPSKPSFQEFVDWEKVSPELNSTDQPFLPSAPVFIFTKGRKPSLPAVTASKKRRTM RPSVSRGRSCIARLHSSTLKKPNRPFTVPEPPLSPHCLDDQRTPKGLTGVTESY >ENSMUSP00000085346.1 pep:known chromosome:GRCm38:1:38939149:38950276:1 gene:ENSMUSG00000067604.8 transcript:ENSMUST00000088029.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nms description:neuromedin S [Source:MGI Symbol;Acc:MGI:3583618] MKHPLPHYSPILFIYCFCMLQIPSSGASPPLADSPDGLDIVDPERLAYFLKQREIHSNQP KENQDVYKRFLFHYSRTRKPTHPVSAEFAPVHPLMRLAAKLASRRMKRLPRLLRLDSRMA TVDFPKKDPTTSLGRPFFLFRPRNGRYTDNNFQ >ENSMUSP00000125166.1 pep:known chromosome:GRCm38:1:38939203:38948643:1 gene:ENSMUSG00000067604.8 transcript:ENSMUST00000160214.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nms description:neuromedin S [Source:MGI Symbol;Acc:MGI:3583618] MKHPLPHYSPILFIYCFCMLQIPSSGASPPLADSPDGLDIVDPERLAYFLKQREIHSNQP KFLFHYSRTRKPTHPVSAEFAPVHPLMRLAAKLASRRMKRLPRLLRLDSRMATVDFPKKD PTTSLGRPFFLFRPRNGR >ENSMUSP00000080358.6 pep:known chromosome:GRCm38:10:77052178:77166548:-1 gene:ENSMUSG00000001435.14 transcript:ENSMUST00000081654.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col18a1 description:collagen, type XVIII, alpha 1 [Source:MGI Symbol;Acc:MGI:88451] MAPRWHLLDVLTSLVLLLVARVSWAEPENVAEEVGLLQLLGDPLPEKISQIDDPHVGPAY IFGPDSNSGQVAQYHFPKLFFRDFSLLFHVRPATEAAGVLFAITDAAQVVVSLGVKLSEV RDGQQNISLLYTEPGASQTQTGASFRLPAFVGQWTHFALSVDGGSVALYVDCEEFQRVPF ARASQGLELERGAGLFVGQAGTADPDKFQGMISELKVRKTPRVSPVHCLDEEDDDEDRAS GDFGSGFEESSKSHKEDTSLLPGLPQPPPVTSPPLAGGSTTEDPRTEETEEDAAVDSIGA ETLPGTGSSGAWDEAIQNPGRGLIKGGMKGQKGEPGAQGPPGPAGPQGPAGPVVQSPNSQ PVPGAQGPPGPQGPPGKDGTPGRDGEPGDPGEDGRPGDTGPQGFPGTPGDVGPKGEKGDP GIGPRGPPGPPGPPGPSFRQDKLTFIDMEGSGFSGDIESLRGPRGFPGPPGPPGVPGLPG EPGRFGINGSYAPGPAGLPGVPGKEGPPGFPGPPGPPGPPGKEGPPGVAGQKGSVGDVGI PGPKGSKGDLGPIGMPGKSGLAGSPGPVGPPGPPGPPGPPGPGFAAGFDDMEGSGIPLWT TARSSDGLQGPPGSPGLKGDPGVAGLPGAKGEVGADGAQGIPGPPGREGAAGSPGPKGEK GMPGEKGNPGKDGVGRPGLPGPPGPPGPVIYVSSEDKAIVSTPGPEGKPGYAGFPGPAGP KGDLGSKGEQGLPGPKGEKGEPGTIFSPDGRALGHPQKGAKGEPGFRGPPGPYGRPGHKG EIGFPGRPGRPGTNGLKGEKGEPGDASLGFSMRGLPGPPGPPGPPGPPGMPIYDSNAFVE SGRPGLPGQQGVQGPSGPKGDKGEVGPPGPPGQFPIDLFHLEAEMKGDKGDRGDAGQKGE RGEPGAPGGGFFSSSVPGPPGPPGYPGIPGPKGESIRGPPGPPGPQGPPGIGYEGRQGPP GPPGPPGPPSFPGPHRQTVSVPGPPGPPGPPGPPGAMGASAGQVRIWATYQTMLDKIREV PEGWLIFVAEREELYVRVRNGFRKVLLEARTALPRGTGNEVAALQPPLVQLHEGSPYTRR EYSYSTARPWRADDILANPPRLPDRQPYPGVPHHHSSYVHLPPARPTLSLAHTHQDFQPV LHLVALNTPLSGGMRGIRGADFQCFQQARAVGLSGTFRAFLSSRLQDLYSIVRRADRGSV PIVNLKDEVLSPSWDSLFSGSQGQLQPGARIFSFDGRDVLRHPAWPQKSVWHGSDPSGRR LMESYCETWRTETTGATGQASSLLSGRLLEQKAASCHNSYIVLCIENSFMTSFSK >ENSMUSP00000116418.1 pep:known chromosome:GRCm38:10:77096309:77113946:-1 gene:ENSMUSG00000001435.14 transcript:ENSMUST00000149744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col18a1 description:collagen, type XVIII, alpha 1 [Source:MGI Symbol;Acc:MGI:88451] MAPDPSRRLCLLLLLLLSCRLVPASADGNSLSPLNPLVWLWPPKTSDSLEGPVSKPQNSS PVQSTENPTTHVVPQDGLTEQQTTPASSELPPEEEEEEDQKAGQGGSPATPAVPIPLVAP AASPDMKEENVAGVGAKILNVAQGIRSFVQLWDEDSTIGHSAGTEVPDSSIPTVLPSPAE LSSAPQGSKTTLWLSSAIPSSPDAQTTEAGTLAVPTQLPPFQSNLQAPLGRPSAPPDFPG RAFLSSPRIRAPPWGNQEPPRQPQHLEGKGFLPMTARSSQQHRHSDVHSDIHGHVPLLPL VTGPLVTASLSVHGLLSVPSSDPSGQLSQVAALPGFPGTWVSHVAPSSGTGLSNDSALAG NGSLTSTSRCLPLPPTLTLCSRLGIGHFWLPNHLHHTDSVEVEATVQAWGRFLHTNCHPF LAWFFCLLLAPSCGPGPPPPLPPCRQFCEALEDECWNYLAGDRLPVVCASLPSQEDGYCV FIGPAAENVAEEVGLLQLLGDPLPEKISQIDDPHVGPAYIFGPDSNSGQVAQYHFPKLFF RDFSLLFHVRPATEAAGVLFAI >ENSMUSP00000101049.1 pep:known chromosome:GRCm38:10:77053016:77113705:-1 gene:ENSMUSG00000001435.14 transcript:ENSMUST00000105409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col18a1 description:collagen, type XVIII, alpha 1 [Source:MGI Symbol;Acc:MGI:88451] MAPDPSRRLCLLLLLLLSCRLVPASADGNSLSPLNPLVWLWPPKTSDSLEGPVSKPQNSS PVQSTENPTTHVVPQDGLTEQQTTPASSELPPEEEEEEDQKAGQGGSPATPAVPIPLVAP AASPDMKEENVAGVGAKILNVAQGIRSFVQLWDEDSTIGHSAGTEVPDSSIPTVLPSPAE LSSAPQGSKTTLWLSSAIPSSPDAQTTEAGTLAVPTQLPPFQSNLQAPLGRPSAPPDFPE NVAEEVGLLQLLGDPLPEKISQIDDPHVGPAYIFGPDSNSGQVAQYHFPKLFFRDFSLLF HVRPATEAAGVLFAITDAAQVVVSLGVKLSEVRDGQQNISLLYTEPGASQTQTGASFRLP AFVGQWTHFALSVDGGSVALYVDCEEFQRVPFARASQGLELERGAGLFVGQAGTADPDKF QGMISELKVRKTPRVSPVHCLDEEDDDEDRASGDFGSGFEESSKSHKEDTSLLPGLPQPP PVTSPPLAGGSTTEDPRTEETEEDAAVDSIGAETLPGTGSSGAWDEAIQNPGRGLIKGGM KGQKGEPGAQGPPGPAGPQGPAGPVVQSPNSQPVPGAQGPPGPQGPPGKDGTPGRDGEPG DPGEDGRPGDTGPQGFPGTPGDVGPKGEKGDPGIGPRGPPGPPGPPGPSFRQDKLTFIDM EGSGFSGDIESLRGPRGFPGPPGPPGVPGLPGEPGRFGINGSYAPGPAGLPGVPGKEGPP GFPGPPGPPGPPGKEGPPGVAGQKGSVGDVGIPGPKGSKGDLGPIGMPGKSGLAGSPGPV GPPGPPGPPGPPGPGFAAGFDDMEGSGIPLWTTARSSDGLQGPPGSPGLKGDPGVAGLPG AKGEVGADGAQGIPGPPGREGAAGSPGPKGEKGMPGEKGNPGKDGVGRPGLPGPPGPPGP VIYVSSEDKAIVSTPGPEGKPGYAGFPGPAGPKGDLGSKGEQGLPGPKGEKGEPGTIFSP DGRALGHPQKGAKGEPGFRGPPGPYGRPGHKGEIGFPGRPGRPGTNGLKGEKGEPGDASL GFSMRGLPGPPGPPGPPGPPGMPIYDSNAFVESGRPGLPGQQGVQGPSGPKGDKGEVGPP GPPGQFPIDLFHLEAEMKGDKGDRGDAGQKGERGEPGAPGGGFFSSSVPGPPGPPGYPGI PGPKGESIRGPPGPPGPQGPPGIGYEGRQGPPGPPGPPGPPSFPGPHRQTVSVPGPPGPP GPPGPPGAMGASAGQVRIWATYQTMLDKIREVPEGWLIFVAEREELYVRVRNGFRKVLLE ARTALPRGTGNEVAALQPPLVQLHEGSPYTRREYSYSTARPWRADDILANPPRLPDRQPY PGVPHHHSSYVHLPPARPTLSLAHTHQDFQPVLHLVALNTPLSGGMRGIRGADFQCFQQA RAVGLSGTFRAFLSSRLQDLYSIVRRADRGSVPIVNLKDEVLSPSWDSLFSGSQGQLQPG ARIFSFDGRDVLRHPAWPQKSVWHGSDPSGRRLMESYCETWRTETTGATGQASSLLSGRL LEQKAASCHNSYIVLCIENSFMTSFSK >ENSMUSP00000122580.1 pep:known chromosome:GRCm38:10:77080725:77089428:-1 gene:ENSMUSG00000001435.14 transcript:ENSMUST00000156009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col18a1 description:collagen, type XVIII, alpha 1 [Source:MGI Symbol;Acc:MGI:88451] MISELKVRKTPRVSPVHCLDEEDDDEDRASGDFGSGFEESSKSHKEDTSLLPGLPQPPPV TSPPLAGGSTTEDPRTEETEEDAAVDSIGAETLPGTGSSGAWDEAIQNPGRGLIKGGMKG QKGEPGAQGPPGPAGPQGPAGPVVQSPNSQPVPGAQGPPGP >ENSMUSP00000072538.4 pep:known chromosome:GRCm38:10:77052181:77113676:-1 gene:ENSMUSG00000001435.14 transcript:ENSMUST00000072755.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col18a1 description:collagen, type XVIII, alpha 1 [Source:MGI Symbol;Acc:MGI:88451] MAPDPSRRLCLLLLLLLSCRLVPASADGNSLSPLNPLVWLWPPKTSDSLEGPVSKPQNSS PVQSTENPTTHVVPQDGLTEQQTTPASSELPPEEEEEEDQKAGQGGSPATPAVPIPLVAP AASPDMKEENVAGVGAKILNVAQGIRSFVQLWDEDSTIGHSAGTEVPDSSIPTVLPSPAE LSSAPQGSKTTLWLSSAIPSSPDAQTTEAGTLAVPTQLPPFQSNLQAPLGRPSAPPDFPG RAFLSSPRIRAPPWGNQEPPRQPQHLEGKGFLPMTARSSQQHRHSDVHSDIHGHVPLLPL VTGPLVTASLSVHGLLSVPSSDPSGQLSQVAALPGFPGTWVSHVAPSSGTGLSNDSALAG NGSLTSTSRCLPLPPTLTLCSRLGIGHFWLPNHLHHTDSVEVEATVQAWGRFLHTNCHPF LAWFFCLLLAPSCGPGPPPPLPPCRQFCEALEDECWNYLAGDRLPVVCASLPSQEDGYCV FIGPAAENVAEEVGLLQLLGDPLPEKISQIDDPHVGPAYIFGPDSNSGQVAQYHFPKLFF RDFSLLFHVRPATEAAGVLFAITDAAQVVVSLGVKLSEVRDGQQNISLLYTEPGASQTQT GASFRLPAFVGQWTHFALSVDGGSVALYVDCEEFQRVPFARASQGLELERGAGLFVGQAG TADPDKFQGMISELKVRKTPRVSPVHCLDEEDDDEDRASGDFGSGFEESSKSHKEDTSLL PGLPQPPPVTSPPLAGGSTTEDPRTEETEEDAAVDSIGAETLPGTGSSGAWDEAIQNPGR GLIKGGMKGQKGEPGAQGPPGPAGPQGPAGPVVQSPNSQPVPGAQGPPGPQGPPGKDGTP GRDGEPGDPGEDGRPGDTGPQGFPGTPGDVGPKGEKGDPGIGPRGPPGPPGPPGPSFRQD KLTFIDMEGSGFSGDIESLRGPRGFPGPPGPPGVPGLPGEPGRFGINGSYAPGPAGLPGV PGKEGPPGFPGPPGPPGPPGKEGPPGVAGQKGSVGDVGIPGPKGSKGDLGPIGMPGKSGL AGSPGPVGPPGPPGPPGPPGPGFAAGFDDMEGSGIPLWTTARSSDGLQGPPGSPGLKGDP GVAGLPGAKGEVGADGAQGIPGPPGREGAAGSPGPKGEKGMPGEKGNPGKDGVGRPGLPG PPGPPGPVIYVSSEDKAIVSTPGPEGKPGYAGFPGPAGPKGDLGSKGEQGLPGPKGEKGE PGTIFSPDGRALGHPQKGAKGEPGFRGPPGPYGRPGHKGEIGFPGRPGRPGTNGLKGEKG EPGDASLGFSMRGLPGPPGPPGPPGPPGMPIYDSNAFVESGRPGLPGQQGVQGPSGPKGD KGEVGPPGPPGQFPIDLFHLEAEMKGDKGDRGDAGQKGERGEPGAPGGGFFSSSVPGPPG PPGYPGIPGPKGESIRGPPGPPGPQGPPGIGYEGRQGPPGPPGPPGPPSFPGPHRQTVSV PGPPGPPGPPGPPGAMGASAGQVRIWATYQTMLDKIREVPEGWLIFVAEREELYVRVRNG FRKVLLEARTALPRGTGNEVAALQPPLVQLHEGSPYTRREYSYSTARPWRADDILANPPR LPDRQPYPGVPHHHSSYVHLPPARPTLSLAHTHQDFQPVLHLVALNTPLSGGMRGIRGAD FQCFQQARAVGLSGTFRAFLSSRLQDLYSIVRRADRGSVPIVNLKDEVLSPSWDSLFSGS QGQLQPGARIFSFDGRDVLRHPAWPQKSVWHGSDPSGRRLMESYCETWRTETTGATGQAS SLLSGRLLEQKAASCHNSYIVLCIENSFMTSFSK >ENSMUSP00000074899.6 pep:known chromosome:GRCm38:4:97777608:98118871:1 gene:ENSMUSG00000028565.18 transcript:ENSMUST00000075448.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfia description:nuclear factor I/A [Source:MGI Symbol;Acc:MGI:108056] MYSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKEEERAVKDEL LSEKPEVKQKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGVSVKELDLYLA YFVHAADSSQSESPSQPSEADIKDQPENGHLGFQDSFVTSGVFSVTELVRVSQTPIAAGT GPNFSLSDLESSSYYSMSPGAMRRSLPSTSSTSSTKRLKSVEDEMDSPGEEPFYTGQGRS PGSGSQSSGWHEVEPGLPSPSTLKKSEKSGFSSPSPSQTSSLGTAFTQHHRPVITGPRAS PHATPSTLHFPTSPIIQQPGPYFSHPAIRYHPQETLKEFVQLVCPDAGQQAGQVGFLNPN GSSQGKVHNPFLPTPMLPPPPPPPMARPVPLPMPDTKPPTTSTEGGAASPTSPTYSTPST SPANRFVSVGPRDPSFVNIPQQTQSWYLG >ENSMUSP00000102672.1 pep:known chromosome:GRCm38:4:97777834:98118871:1 gene:ENSMUSG00000028565.18 transcript:ENSMUST00000107057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfia description:nuclear factor I/A [Source:MGI Symbol;Acc:MGI:108056] MYSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKEEERAVKDEL LSEKPEVKQKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGVSVKELDLYLA YFVHAAGLPSPSTLKKSEKSGFSSPSPSQTSSLGTAFTQHHRPVITGPRASPHATPSTLH FPTSPIIQQPGPYFSHPAIRYHPQETLKEFVQLVCPDAGQQAGQVGFLNPNGSSQGKVHN PFLPTPMLPPPPPPPMARPVPLPMPDTKPPTTSTEGGAASPTSPTYSTPSTSPANRFVSV GPRDPSFVNIPQQTQSWYLG >ENSMUSP00000102677.2 pep:known chromosome:GRCm38:4:97777803:98118871:1 gene:ENSMUSG00000028565.18 transcript:ENSMUST00000107062.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfia description:nuclear factor I/A [Source:MGI Symbol;Acc:MGI:108056] MYSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKEEERAVKDEL LSEKPEVKQKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGVSVKELDLYLA YFVHAADSSQSESPSQPSEADIKDQPENGHLGFQDSFVTSGVFSVTELVRVSQTPIAAGT GPNFSLSDLESSSYYSMSPGAMRRSLPSTSSTSSTKRLKSVEDEMDSPGEEPFYTGQGRS PGSGSQSSGWHEVEPGLPSPSTLKKSEKSGFSSPSPSQTSSLGTAFTQHHRPVITGPRAS PHATPSTLHFPTSPIIQQPGPYFSHPAIRYHPQETLKEFVQLVCPDAGQQAGQVGFLNPN GSSQGKVHNPFLPTPMLPPPPPPPMARPVPLPMPDTKPPTTSTEGGAASPTSPILVPGIK VAASHHPPDRPPDPFSTL >ENSMUSP00000099856.2 pep:known chromosome:GRCm38:4:97777819:98118871:1 gene:ENSMUSG00000028565.18 transcript:ENSMUST00000052018.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfia description:nuclear factor I/A [Source:MGI Symbol;Acc:MGI:108056] MYSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKEEERAVKDEL LSEKPEVKQKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGVSVKELDLYLA YFVHAAGHLGFQDSFVTSGVFSVTELVRVSQTPIAAGTGPNFSLSDLESSSYYSMSPGAM RRSLPSTSSTSSTKRLKSVEDEMDSPGEEPFYTGQGRSPGSGSQSSGWHEVEPGLPSPST LKKSEKSGFSSPSPSQTSSLGTAFTQHHRPVITGPRASPHATPSTLHFPTSPIIQQPGPY FSHPAIRYHPQETLKEFVQLVCPDAGQQAGQVGFLNPNGSSQGKVHNPFLPTPMLPPPPP PPMARPVPLPMPDTKPPTTSTEGGAASPTSPTYSTPSTSPANRFVSVGPRDPSFVNIPQQ TQSWYLG >ENSMUSP00000114880.1 pep:known chromosome:GRCm38:4:97772734:98000466:1 gene:ENSMUSG00000028565.18 transcript:ENSMUST00000152023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfia description:nuclear factor I/A [Source:MGI Symbol;Acc:MGI:108056] MDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKEEERAVKDELLSEKPEVK QKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCLRQADKVWR LDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGVSVKELDLYLAYFVHAADS SQSESPSQPSEADIKDQPENGHLGFQDSFVTSGVFSVTELVRVSQ >ENSMUSP00000122641.1 pep:known chromosome:GRCm38:4:97880119:98065355:1 gene:ENSMUSG00000028565.18 transcript:ENSMUST00000148930.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfia description:nuclear factor I/A [Source:MGI Symbol;Acc:MGI:108056] XHIWQYKKSRIPLHFGTDSSQSESPSQPSEADIKDQPENGHLGFQDSFVTSGVFSVTELV RVSQTPIAAGTGPNFSLSDLESSSYYSMSPGAMRRSLPSTSSTSSTKRLKSVEDEMDSPG EEPFYTGQGRSPGSGSQSSGWHEVEPGLPSPSTLKKSEKSGFSSPSPSQTSSLGTAFTQH HRPVITGPRASPHATPSTLHFPTSPIIQQPGPYFSHPAIRYHPQETLKEFVQLVCPDAGQ QAGQVGFLNPNGSSQGKVHNPFLPTPMLPPPPPP >ENSMUSP00000130032.1 pep:known chromosome:GRCm38:4:97777626:98118874:1 gene:ENSMUSG00000028565.18 transcript:ENSMUST00000092532.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfia description:nuclear factor I/A [Source:MGI Symbol;Acc:MGI:108056] MYSPLCLTQDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKEEERAVKDEL LSEKPEVKQKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGVSVKELDLYLA YFVHAADSSQSESPSQPSEADIKDQPENGHLGFQDSFVTSGVFSVTELVRVSQTPIAAGT GPNFSLSDLESSSYYSMSPGAMRRSLPSTSSTSSTKRLKSVEDEMDSPGEEPFYTGQGRS PGSGSQSSGWHEVEPASPHATPSTLHFPTSPIIQQPGPYFSHPAIRYHPQETLKEFVQLV CPDAGQQAGQVGFLNPNGSSQGKVHNPFLPTPMLPPPPPPPMARPVPLPMPDTKPPTTST EGGAASPTSPTYSTPSTSPANRFVSVGPRDPSFVNIPQQTQSWYLG >ENSMUSP00000101725.2 pep:known chromosome:GRCm38:11:121067407:121081139:-1 gene:ENSMUSG00000025165.12 transcript:ENSMUST00000106119.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sectm1a description:secreted and transmembrane 1A [Source:MGI Symbol;Acc:MGI:2384805] MMTCPSVPAIPTLWLFSILLLVVSLNAQNKKPSNEDKVPDTTLFTSFPDHAKSSPIEGKP GTLVGVITVIFILGVAGFITFIYYRHRRS >ENSMUSP00000026162.5 pep:known chromosome:GRCm38:11:121067407:121081220:-1 gene:ENSMUSG00000025165.12 transcript:ENSMUST00000026162.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sectm1a description:secreted and transmembrane 1A [Source:MGI Symbol;Acc:MGI:2384805] MMTCPSVPAIPTLWLFSILLLVVSLNAQNKSWDNPICTEGILSVPRGNPAVMTCNISNTF TDVTIQLSANGKDKTIFDKKPQGNFSWRGWELQVQGGLAQLVIKDTQDDHTGIYLWQLHG RQRCYKNITLNILEPSNEDKVPDTTLFTSFPDHAKSSPIEGKPGTLVGVITVIFILGVAG FITFIYYRHRRS >ENSMUSP00000097702.2 pep:known chromosome:GRCm38:11:121067917:121081136:-1 gene:ENSMUSG00000025165.12 transcript:ENSMUST00000100126.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sectm1a description:secreted and transmembrane 1A [Source:MGI Symbol;Acc:MGI:2384805] MMTCPSVPAIPTLWLFSILLLVVSLNAQNKKPSNEDKVPDTTLFTSFPGTVVPRSPRWNV PQCLT >ENSMUSP00000101726.2 pep:known chromosome:GRCm38:11:121067935:121081130:-1 gene:ENSMUSG00000025165.12 transcript:ENSMUST00000106120.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sectm1a description:secreted and transmembrane 1A [Source:MGI Symbol;Acc:MGI:2384805] MMTCPSVPAIPTLWLFSILLLVVSLNAQNKSWDNPICTEGILSVPRGNPAVMTCNISNTF TDVTIQLSANGKDKTIFDKKPQGNFSWRGWELQVQGGLAQLVIKDTQDDHTGIYLWQLHG RQRCYKNITLNILDHAKSSPIEGKPGTLVGVITVIFILGVAGFITFIYYRHRRS >ENSMUSP00000028807.5 pep:known chromosome:GRCm38:2:118861954:118882909:1 gene:ENSMUSG00000027332.11 transcript:ENSMUST00000028807.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ivd description:isovaleryl coenzyme A dehydrogenase [Source:MGI Symbol;Acc:MGI:1929242] MATAIRLLGRRVSSWRLRPSPSPLAVPRRAHSILPVDDDINGLNEEQKQLRHTISKFLQE NLAPKAQEIDQTNDFKNLREFWKQLGSLGVLGITAPVQYGGSGLGYLEHVLVMEEISRAS GAVGLSYGAHSNLCVNQIVRNGNEAQKEKYLPKLISGEFIGALAMSEPNAGSDVVSMKLK AEKKGDHYVLNGNKFWITNGPDADILVVYAKTDLTAVPASRGITAFIVEKGMPGFSTSKK LDKLGMRGSNTCELVFEDCKVPAANVLSQESKGVYVLMSGLDLERLVLAGGPLGIMQAVL DHTIPYLHVREAFGQKIGQFQLMQGKMADMYTRLMASRQYVYNVAKACDEGHIIPKDCAG VILYAAECATQVALDGIQCLGGNGYINDFPMGRFLRDAKLYEIGAGTSEVRRLVIGRAFN ADFR >ENSMUSP00000021063.6 pep:known chromosome:GRCm38:11:107479484:107498173:1 gene:ENSMUSG00000020720.13 transcript:ENSMUST00000021063.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd12 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 12 [Source:MGI Symbol;Acc:MGI:1914247] MADGGSERADGRIVKMEVDYSATVDQRLPECEKLAKEGRLQEVIETLLSLEKQTRTASDM VSTSRILVAVVKMCYEAKEWDLLNENIMLLSKRRSQLKQAVAKMVQQCCTYVEEITDLPV KLRLIDTLRMVTEGKIYVEIERARLTKTLATIKEQNGDVKEAASILQELQVETYGSMEKK ERVEFILEQMRLCLAVKDYIRTQIISKKINTKFFQEENTENLKLKYYNLMIQLDQHEGSY LSICKHYRAIYDTPCIQAESDKWQQALKSVVLYVILAPFDNEQSDLVHRISSDKKLEEIP KYKDLLKLFTTMELMRWSTLVEDYGVELRKGSSETPATDVFSSTEEGEKRWKDLKSRVVE HNIRIMAKYYTRITMKRMAQLLDLSVDESEAFLSNLVVNKTIFAKVDRLAGVINFQRPKD PNNLLNDWSQKLNSLMSLVNKTTHLIAKEEMIHNLQ >ENSMUSP00000102363.3 pep:known chromosome:GRCm38:11:107479528:107504362:1 gene:ENSMUSG00000020720.13 transcript:ENSMUST00000106752.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd12 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 12 [Source:MGI Symbol;Acc:MGI:1914247] MADGGSERADGRIVKMEVDYSATVDQRLPECEKLAKEGRLQEVIETLLSLEKQTRTASDM VSTSRILVAVVKMCYEAKEWDLLNENIMLLSKRRSQLKQAVAKMVQQCCTYVEEITDLPV KLRLIDTLRMVTEGKIYVEIERARLTKTLATIKEQNGDVKEAASILQELQVETYGSMEKK ERVEFILEQMRLCLAVKDYIRTQIISKKINTKFFQEENTENLKLKYYNLMIQLDQHEGSY LSICKHYRAIYDTPCIQAESDKWQQALKSVVLYVILAPFDNEQSDLVHRISSDKKLEEIP KYKDLLKLFTTMELMRWSTLVEDYGVELRKGSSETPATDVFSSTEEGEKRWKDLKSRVVE HNIRIMAKYYTRITMKRMAQLLDLSVDVWTTQARPRRISLGAVSISASASREIGLELV >ENSMUSP00000102361.4 pep:known chromosome:GRCm38:11:107479577:107497733:1 gene:ENSMUSG00000020720.13 transcript:ENSMUST00000106750.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd12 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 12 [Source:MGI Symbol;Acc:MGI:1914247] MADGGSERADGRIVKMEVDYSATVDQRLPECEKLAKASDMVSTSRILVAVVKMCYEAKEW DLLNENIMLLSKRRSQLKQAVAKMVQQCCTYVEEITDLPVKLRLIDTLRMVTEGKIYVEI ERARLTKTLATIKEQNGDVKEAASILQELQVETYGSMEKKERVEFILEQMRLCLAVKDYI RTQIISKKINTKFFQEENTENLKLKYYNLMIQLDQHEGSYLSICKHYRAIYDTPCIQAES DKWQQALKSVVLYVILAPFDNEQSDLVHRISSDKKLEEIPKYKDLLKLFTTMELMRWSTL VEDYGVELRKGSSETPATDVFSSTEEGEKRWKDLKSRVVEHNIRIMAKYYTRITMKRMAQ LLDLSVDESEAFLSNLVVNKTIFAKVDRLAGVINFQRPKDPNNLLNDWSQKLNSLMSLVN KTTHLIAKEEMIHNLQ >ENSMUSP00000146545.1 pep:known chromosome:GRCm38:8:24949611:25016882:-1 gene:ENSMUSG00000031555.14 transcript:ENSMUST00000208247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam9 description:a disintegrin and metallopeptidase domain 9 (meltrin gamma) [Source:MGI Symbol;Acc:MGI:105376] MGPRALSPLASLRLRWLLACGLLGPVLEAGRPDLEQTVHLSSYEIITPWRLTRERREALG PSSQQISYVIQAQGKQHIIHLERNTDLLPNDFVVYTYDKEGSLLSDHPNVQSHCHYRGYV EGVQNSAVAVSACFGLRGLLHLENASFGIEPLHNSSHFEHIFYPMDGIHQEPLRCGVSNR DTEKEGTQGDEEEHPSVTQLLRRRRAVLPQTRYVELFIVVDKERYDMMGRNQTAVREEMI RLANYLDSMYIMLNIRIVLVGLEIWTDRNPINIIGGAGDVLGNFVQWREKFLITRWRHDS AQLVLKKGFGGTAGMAFVGTVCSRSHAGGINVFGQITVETFASIVAHELGHNLGMNHDDG RECFCGAKSCIMNSGASGSRNFSSCSAEDFEKLTLNKGGSCLLNIPKPDEAYSAPSCGNK LVDPGEECDCGTAKECEVDPCCEGSTCKLKSFAECAYGDCCKDCQFLPGGSMCRGKTSEC DVPEYCNGSSQFCPPDVFIQNGYPCQNSKAYCYNGMCQYYDAQCQVIFGSKAKAAPRDCF IEVNSKGDRFGNCGFSGSEYKKCATGNALCGKLQCENVQDMPVFGIVPAIIQTPSRGTKC WGVDFQLGSDVPDPGMVNEGTKCDAGKICRNFQCVNASVLNYDCDIQGKCHGHGVCNSNK NCHCEDGWAPPHCDTKGYGGSVDSGPTYNAKSTALRDGLLVFFFLIVPLVAAAIFLFIKR DELRKTFRKKRSQMSDGRNQANVSRQPGDPSISRPPGGPNVSRPPGGPGVSRPPGGPGVS RPPGGPGVSRPPGGPGVSRPPGGPGVSRPPPGHGNRFPVPTYAAKQPAQFPSRPPPPQPK ISSQGNLIPARPAPAPPLYSSLT >ENSMUSP00000147165.1 pep:known chromosome:GRCm38:8:24982115:25016819:-1 gene:ENSMUSG00000031555.14 transcript:ENSMUST00000207132.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam9 description:a disintegrin and metallopeptidase domain 9 (meltrin gamma) [Source:MGI Symbol;Acc:MGI:105376] MGPRALSPLASLRLRWLLACGLLGPVLEAGRPDLEQTVHLSSYEIITPWRLTRERREALG PSSQQISYVIQAQGKQHIIHLERNTKKGFGGTAGMAFVGTVCSRSHAGGINVFGQITVET FASIVAHELGHNLGMNHDDGRECFCGAKSCIMNSGASGS >ENSMUSP00000081045.5 pep:known chromosome:GRCm38:8:24949653:25016812:-1 gene:ENSMUSG00000031555.14 transcript:ENSMUST00000084032.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam9 description:a disintegrin and metallopeptidase domain 9 (meltrin gamma) [Source:MGI Symbol;Acc:MGI:105376] MGPRALSPLASLRLRWLLACGLLGPVLEAGRPDLEQTVHLSSYEIITPWRLTRERREALG PSSQQISYVIQAQGKQHIIHLERNTDLLPNDFVVYTYDKEGSLLSDHPNVQSHCHYRGYV EGVQNSAVAVSACFGLRGLLHLENASFGIEPLHNSSHFEHIFYPMDGIHQEPLRCGVSNR DTEKEGTQGDEEEHPSVTQLLRRRRAVLPQTRYVELFIVVDKERYDMMGRNQTAVREEMI RLANYLDSMYIMLNIRIVLVGLEIWTDRNPINIIGGAGDVLGNFVQWREKFLITRWRHDS AQLVLKKGFGGTAGMAFVGTVCSRSHAGGINVFGQITVETFASIVAHELGHNLGMNHDDG RECFCGAKSCIMNSGASGSRNFSSCSAEDFEKLTLNKGGSCLLNIPKPDEAYSAPSCGNK LVDPGEECDCGTAKECEVDPCCEGSTCKLKSFAECAYGDCCKDCQFLPGGSMCRGKTSEC DVPEYCNGSSQFCPPDVFIQNGYPCQNSKAYCYNGMCQYYDAQCQVIFGSKAKAAPRDCF IEVNSKGDRFGNCGFSGSEYKKCATGNALCGKLQCENVQDMPVFGIVPAIIQTPSRGTKC WGVDFQLGSDVPDPGMVNEGTKCDAGKICRNFQCVNASVLNYDCDIQGKCHGHGVCNSNK NCHCEDGWAPPHCDTKGYGGSVDSGPTYNAKSTALRDGLLVFFFLIVPLVAAAIFLFIKR DELRKTFRKKRSQMSDGRNQANVSRQPGDPSISRPPGGPNVSRPPGGPGVSRPPGGPGVS RPPGGPRCLQTATWAWKQIPSTNLRRQAACAVPVKATSTTTENIFSGKLDSGSARSCTSF I >ENSMUSP00000081048.5 pep:known chromosome:GRCm38:8:24949611:25016922:-1 gene:ENSMUSG00000031555.14 transcript:ENSMUST00000084035.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam9 description:a disintegrin and metallopeptidase domain 9 (meltrin gamma) [Source:MGI Symbol;Acc:MGI:105376] MGPRALSPLASLRLRWLLACGLLGPVLEAGRPDLEQTVHLSSYEIITPWRLTRERREALG PSSQQISYVIQAQGKQHIIHLERNTDLLPNDFVVYTYDKEGSLLSDHPNVQSHCHYRGYV EGVQNSAVAVSACFGLRGLLHLENASFGIEPLHNSSHFEHIFYPMDGIHQEPLRCGVSNR DTEKEGTQGDEEEHPSVTQLLRRRRAVLPQTRYVELFIVVDKERYDMMGRNQTAVREEMI RLANYLDSMYIMLNIRIVLVGLEIWTDRNPINIIGGAGDVLGNFVQWREKFLITRWRHDS AQLVLKKGFGGTAGMAFVGTVCSRSHAGGINVFGQITVETFASIVAHELGHNLGMNHDDG RECFCGAKSCIMNSGASGSRNFSSCSAEDFEKLTLNKGGSCLLNIPKPDEAYSAPSCGNK LVDPGEECDCGTAKECEVDPCCEGSTCKLKSFAECAYGDCCKDCQFLPGGSMCRGKTSEC DVPEYCNGSSQFCPPDVFIQNGYPCQNSKAYCYNGMCQYYDAQCQVIFGSKAKAAPRDCF IEVNSKGDRFGNCGFSGSEYKKCATGNALCGKLQCENVQDMPVFGIVPAIIQTPSRGTKC WGVDFQLGSDVPDPGMVNEGTKCDAGKICRNFQCVNASVLNYDCDIQGKCHGHGVCNSNK NCHCEDGWAPPHCDTKGYGGSVDSGPTYNAKSTALRDGLLVFFFLIVPLVAAAIFLFIKR DELRKTFRKKRSQMSDGRNQANVSRQPGDPSISRPPGGPNVSRPPGGPGVSRPPGGPGVS RPPGGPGVSRPPPGHGNRFPVPTYAAKQPAQFPSRPPPPQPKISSQGNLIPARPAPAPPL YSSLT >ENSMUSP00000072483.6 pep:known chromosome:GRCm38:3:153922357:153944632:-1 gene:ENSMUSG00000062908.12 transcript:ENSMUST00000072697.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acadm description:acyl-Coenzyme A dehydrogenase, medium chain [Source:MGI Symbol;Acc:MGI:87867] MAAAFRRGCRVLRSVSHFECRTQHSKAAHKQEPGLGFSFELTEQQKEFQATARKFAREEI IPVAPEYDKSGEYPFPLIKRAWELGLINAHIPESCGGLGLGTFDACLITEELAYGCTGVQ TAIEANSLGQMPVILAGNDQQKKKYLGRMTEQPMMCAYCVTEPSAGSDVAAIKTKAEKKG DEYVINGQKMWITNGGKANWYFLLARSNPDPKVPASKAFTGFIVEADTPGIHIGKKELNM GQRCSDTRGIAFEDVRVPKENVLIGEGAGFKIAMGAFDRTRPTVAAGAVGLAQRALDEAT KYALDRKTFGKLLVEHQGVSFLLAEMAMKVELARLSYQRAAWEVDSGRRNTYYASIAKAF AGDIANQLATDAVQIFGGYGFNTEYPVEKLMRDAKIYQIYEGTAQIQRLIIAREHIEKYK N >ENSMUSP00000122989.1 pep:known chromosome:GRCm38:3:153936311:153944467:-1 gene:ENSMUSG00000062908.12 transcript:ENSMUST00000156310.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acadm description:acyl-Coenzyme A dehydrogenase, medium chain [Source:MGI Symbol;Acc:MGI:87867] MAAAFRRGCRVLRSVSHFECRTQHSKAAHKQEPGLGFSFELTEQQKEFQATARKFAREEI IPVAPEYDKSGEVGIGLPGKWGSRVWPGDWVASLPRLRCAVPVLLSVPVPSHQKSLGTRL DQRAHSGKLRWPWPGNVRCLFNYRRVGVWVYRGANCY >ENSMUSP00000121714.1 pep:known chromosome:GRCm38:3:153936365:153944446:-1 gene:ENSMUSG00000062908.12 transcript:ENSMUST00000150070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acadm description:acyl-Coenzyme A dehydrogenase, medium chain [Source:MGI Symbol;Acc:MGI:87867] MTKAGRWVSGCRGSGEVVYGQEIGWPACLGSGVLYLFSFQYPFPLIKRAWELGLINAHIP ESCGGLGLGTFDACLITEELAYGCTGVQTAIEANSLGQMPVILAGNDQQKKKYLGRMTEQ PMMCAYCVTEPSAGSDVAAIKTKA >ENSMUSP00000096457.3 pep:known chromosome:GRCm38:8:25849623:25937932:1 gene:ENSMUSG00000031576.15 transcript:ENSMUST00000098858.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnu1 description:potassium channel, subfamily U, member 1 [Source:MGI Symbol;Acc:MGI:1202300] MSQTLLDSLNQKELTETSCTIEIQAAFILSSLATFFGGLIILFLFRIALKSSRSWKYVKG PRGLLELFSSRRIEANPLRKLYFHGVFRQRIEMLLSAQTVVGQVLVILVFVLSIGSLVIY FINSMDPVRRCSSYEDKIVHVDLSFNAFFSFYFGLRFWAAEDKIKFWLEMNSIVDIFTIP PTFISYYLKSNWLGLRFLRALRLLELPKILQILQVIKTSNSVKLSKLLSIVISTWFTAAG FLHLVENSGDPWLNGRNSQTMSYFESIYLVTATMSTVGFGDVVAKTSLGRIFIVFFTLGS LILFANYIPEMVELFSTRKKYTKPYEAVKGKKFIVVCGNITVDSVTAFLRNFLHWKSGEI NIEIVFLGETLPCLELETLLKCHTSCTNFVCGTALKFEDLKRVAVENSEACLILANHFCS DLHDEDNSNIMRVLSIKNYYPQTRVIIQILQSQNKVFLSKIPNWDWSAGDNILCFAELKL GFIAQGCLVPGLCTFLTTLFIEQNQKVFPKHPWQKHFLNGLKNKILTQRLSNDFVGMTFP QVSRLCFVKLNLMLIAIQHKPFFHSCCTLILNPSSQVRLNKDTLGFFIADSSKAVKRAFF YCSNCHSDVCNPELIGKCNCKIKSRQQLIAPTIMVMKSSLTDFTTSSHIHASMSTEIHTC FSREQPSLITITTNRPTTNDTVDDTDMLDSSGMFHWCRAMPLDKVVLKRSEKAKHEFQNH IVVCVFGDAQCTLVGLRNFVMPLRASNYTRQELKDIVFIGSLEYFQREWRFLRNFPKIHI MPGSALYMGDLIAVNVEQCSMCVILATPYKALSSQILVDTEAIMATLNIQSLRITSPTPG SSKSEVKPSSAFDSKERKQRYKQIPILTELKNPSNIHFIEQMGGLDGMLKGTSLHLSTSF STGAVFSDTFLDSLLATSFYNYHVVELLQMLVTGGISSEMEHYLVKEKPYKTTDDYEAIK SGRTRCKLGLLSLDQTVLSGINPRKTFGQLFCGSLDNFGILCVGLYRMIDEEEPSQEHKR FVITRPSNECHLLPSDLVFCAIPFNTTCGKSDSSPSIQAQNNSTNATTPLAQGSNFFDSH HADESHDLYPVDDTGERWSQHHHSRVYPLDTLDASDIVQEK >ENSMUSP00000113442.1 pep:known chromosome:GRCm38:8:25911680:25937933:1 gene:ENSMUSG00000031576.15 transcript:ENSMUST00000120653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnu1 description:potassium channel, subfamily U, member 1 [Source:MGI Symbol;Acc:MGI:1202300] MPLRASNYTRQELKDIVFIGSLEYFQREWRFLRNFPKIHIMPGSALYMGDLIAVNVEQCS MCVILATPYKALSSQILVDTEAIMATLNIQSLRITSPTPGSSKSEVKPSSAFDSKERKQR YKQIPILTELKNPSNIHFIEQMGGLDGMLKGTSLHLSTSFSTGAVFSDTFLDSLLATSFY NYHVVELLQMLVTGGISSEMEHYLVKEKPYKTTDDYEAIKSGRTRCKLGLLSLDQTVLSG INPRKTFGQLFCGSLDNFGILCVGLYRMIDEEEPSQEHKRFVITRPSNECHLLPSDLVFC AIPFNTTCGKSDSSPSIQAQNNSTNATTPLAQGSNFFDSHHADESHDLYPVDDTGERWSQ HHHSRVYPLDTLDASDIVQEK >ENSMUSP00000123273.1 pep:known chromosome:GRCm38:8:25911692:25921509:1 gene:ENSMUSG00000031576.15 transcript:ENSMUST00000126226.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnu1 description:potassium channel, subfamily U, member 1 [Source:MGI Symbol;Acc:MGI:1202300] MPLRASNYTRQELKDIVFIGSLEYFQREWRFLRNFPKIHIMPGSALYMGDLIAVNVEQCS MCVILATPYKALSSQILVDTEAIMATLNIQSLRITSPTPGSSKSEVKPSSAFDSKERKQR YKQIPILTELKNPSNIHFIEQMGGLDGMLKGTSLHLSTSF >ENSMUSP00000108583.1 pep:known chromosome:GRCm38:5:98180978:98188991:1 gene:ENSMUSG00000035456.8 transcript:ENSMUST00000112959.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm8 description:PR domain containing 8 [Source:MGI Symbol;Acc:MGI:1924880] MEDSGIQRGIWDGDAKAVQQCLTDIFTSVYTTCDIPENAIFGPCVLSHTSLYDSIAFVAL KSTDKRTVPYIFRVDTSAANGSSEGLMWLRLVQSARDKEEQNLEAYIKNGQLFYRSLRRI AKDEELLVWYGKELTELLLLCPSRAHKMNGSSPYTCLECSQRFQFEFPYVAHLRFRCPKR LHSTDANPQDEQGGGLGTKDHGGGGGGKEQQQQQQQQQQEAPLIPGPKFCKAGPIHHYPA SSPEASNPPGSAGAGSAKPSTDFHNLARELENSRGSSSCVAAPGVGSGGSGHQEAELSPD GVATGGCKGKRRFPEEAAAEGGGAGLAGGRARFSERPLATSKEELVCTPQQYRAAGSYFG LEENGRLFAPPSPETGEAKRSAFVEVKKAGRAVGLQEEAAATDGAGGTAEDPDAGGGVAG GGSNGSSTPAAGSPGAPEKLLAPRPGGSLPGRLEGGSPARGSAFTSVSQLGGGGGAGTAG TAGGSGGGQTAASDERKSAFSQPARSFSQLSPLVLGQKLGALEPCHPGDGVGPTRLYPAA ADPLAVKLQGAADLNGACGPLASGGGGGLPKQSPFLYATAFWPKSSAAAAAAAAAAAGPL QLQLPSALTLLPPSFTSLCLPAQNWCAKCNASFRMTSDLVYHMRSHHKKEYAMEPLVKRR REEKLKCPICNESFRERHHLSRHMTSHN >ENSMUSP00000036523.7 pep:known chromosome:GRCm38:2:140119883:140170564:-1 gene:ENSMUSG00000045624.15 transcript:ENSMUST00000046030.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esf1 description:ESF1 nucleolar pre-rRNA processing protein homolog [Source:MGI Symbol;Acc:MGI:1913830] MSSKQEIMDDQRFRRVSKDPRFWEMPEKERKVKIDKRFRAMFHDKKFKLNYAVDKRGRPI SHSTTEDLKRFYDLSDSDSDLSDEESKILSQKKAKQKKKQTKKEAKSIEKPIEEKKKETK KTDQKDSINKHDLNNSERVQKMKNSQKPQKIDSEISPKKDNEEFLQNKKKKRGTTDLSVE ALPKGKLRTKDSSTSEMVKSSTMSSSKAKREKQSVVPVIMAKDNDGKMPDEDALEEDSDS ASELGSDEESEDEIISDGKTSADEDESEEEDEEEEEDSEEEEEEEEEDESDSGPDLARGK GNVETSSEDEDDLADLFPEEPGFEHAWRELDKDAPRADEITRRLAVCNMDWDRLKAKDLL ALFNSFKPKGGVVFSVKIYPSEFGKERMKEEQVQGPVELLSIPEDAPEKDWASREKLRDY QFKRLKYYYAVAECDSPETASKIYEDCDGLEFESSCSFIDLRFIPDDITFDDEPKDVALE VDLTAYKPKYFTSAAMGTSTVEITWDETDHERITTLNRKFKKDELLDMDFQAYLASSSED EEEVEEAPEGEEGVNIGEDGKTKKSQKDDEEQIAKYRQLLQVIQEKEKKGKENDMEMEIK WVPGLKESAEEMVKNKLEGKDKLTPWEQFLEKKKEKKRLKKKQKALAEEDSEDELPSDVD FNDPYFAEEVKKIGIKKKSMKSAKDSASSEEETDLEKQKAEMALLVMDEEEDSKKHFNYD KIVEHQNLSKKKKKQLMKKKELVEDDFEVNVSDARFQAMYTSHLFNLDPSDPNFKKTKAM EKILEEKARHRERKEELLIQAVERAQQDTGKPTQKQPMDPALSMLIKSVKNKTEQFQARK KQRVK >ENSMUSP00000096279.3 pep:known chromosome:GRCm38:9:58028678:58118823:-1 gene:ENSMUSG00000037716.14 transcript:ENSMUST00000098682.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc33 description:coiled-coil domain containing 33 [Source:MGI Symbol;Acc:MGI:1922464] MAFRGPDPYLPASLLSQRLKAGEKTLDLEFEILSVGFNEEGRYALRLSAENPLQAGSSAG VQLQVNDGDPLPACSAVTEVIEQQDPGQSLTFTRNKFIFTLPKGFCKNDGQSDAHLRVEA LRLDGSSGQEAQRVGEAIFPIYPRPDEPRMNLTAQDHEDLYRYCGNLALLRASEDPTARH CGGLAYSVAFHVHRDPRSSVSDCQLEPSQPELQTSREALSDKIEESYMSPFSTDSDQEGL SWEAGPWQHPAQVPEEPQGRLDTSQDPYPAANYLAPCNKETITVTLYGATNLPAGKDGSE PWPYVVVKTTSEKANKHSPQAMTSVTSEPTRAPVWGDTVNVEIQAEDTGREDLILKVMDN KRKKELVSYDIPIKYLRIFHPYQFKLEKVFLRGVNEPLVNSLKPMVVIARVVPNYTEFKA RQARRDPASVGLPLTQVSFPISSPMNFDVPRINQNGYPQLSKPGGPPEQPLWNQSFLFQA RDGATSFSENTALVLEYYPSASMQSSEPWALNQPLGVSVLPLKSRLYHKMLTGKHLQGLQ VERLPIIRPENFLTPNNSKALPTINPKILDENLGAIRESWSMSSLDSTQEMEELQPRDVE MNNYRRAMQKMAEDILALKKQANILEEENGMLRSHLSQQSIEEQSRAEEENLAVSMKQKL LLNELDMKRLRDRVQHLQNELIRKNDREKELLLLYQAQQPQAAQLRRYQDKLQKMKALED TVRHQEKVIEKMEQILEERLCERKEPIPSNRPQGKPIMASGIPLGPVGETLAVDLYSMLL AENTRLRTELEKNRQQSAPIILQQQALPVDPRELGAGGDLAERLQDTNGPGHPKSTETLP AQVGVPGGYSTAQAAPGAPAVHKPKINIWSSGGMRTQDFLGGTSDKFNLLAKLEQAQSRI LSLENQLEESARHWAREKQNLAIRLQEQQHGFGQPPNSIIIDQPNAGASKNRQQLSKLET SLPSSDKKLNRPSDSQIEISNNQKT >ENSMUSP00000096278.3 pep:known chromosome:GRCm38:9:58111789:58118807:-1 gene:ENSMUSG00000037716.14 transcript:ENSMUST00000098681.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc33 description:coiled-coil domain containing 33 [Source:MGI Symbol;Acc:MGI:1922464] MAFRGPDPYLPASLLSQRLKAGEKTLDLEFEILSVGFNEEGRYALRLSAENPLQAGSSAG VQLQVNDGDPLPACSAVTEVIEQQDPGQSLTFTRNKFIFTLPKGFCKNDGQSDAHLRVEA LRLDGSSGQEAQRVGEAIFPIYPRPDEPRMNLTAQDHEDLYRYCGNLALLRASEDPTARH CGGLAYSVAFHVHRDPRSSVSDCQLEPSQPELQTSREALSDKIEESYMSPFSTDSDQEGL SWEAGPWQHPAQAELLKRETLNWKSPVTGFSQPLLPHL >ENSMUSP00000040899.6 pep:known chromosome:GRCm38:9:58028677:58102297:-1 gene:ENSMUSG00000037716.14 transcript:ENSMUST00000042205.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc33 description:coiled-coil domain containing 33 [Source:MGI Symbol;Acc:MGI:1922464] MGRQKTKVPEEPQGRLDTSQDPYPAANYLAPCNKETITVTLYGATNLPAGKDGSEPWPYV VVKTTSEKANKHSPQAMTSVTSEPTRAPVWGDTVNVEIQAEDTGREDLILKVMDNKRKKE LVSYDIPIKYLRIFHPYQFKLEKSEKKDEAAAKTCLYATVVRKGSLLPRYVGCDHTALEV FLRGVNEPLVNSLKPMVVIARVVPNYTEFKARQARRDPASVGLPLTQVSFPISSPMNFDV PRINQNGYPQLSKPGGPPEQPLWNQSFLFQARDGATSFSENTALVLEYYPSASMQSSEPW ALNQPLGVSVLPLKSRLYHKMLTGKHLQGLQVERLPIIDTNLKTINGEAPSVNLSFQLLS SERPENFLTPNNSKALPTINPKILDENLGAIRESWSMSSLDSTQEMEELQPRDVEMNNYR RAMQKMAEDILALKKQANILEEENGMLRSHLSQQSIEEQSRAEEENLAVSMKQKLLLNEL DMKRLRDRVQHLQNELIRKNDREKELLLLYQAQQPQAAQLRRYQDKLQKMKALEDTVRHQ EKVIEKMEQILEERLCERKEPIPSNRPQGKPIMASGIPLGPVGETLAVDLYSMLLAENTR LRTELEKNRQQSAPIILQQQALPDFLGGTSDKFNLLAKLEQAQSRILSLENQLEESARHW AREKQNLAIRLQEQQHGFGQPPNSIIIDQPNAGASKNRQQLSKLETSLPSSDKKLNRPSD SQIEISNNQKT >ENSMUSP00000112613.1 pep:known chromosome:GRCm38:9:58028682:58102225:-1 gene:ENSMUSG00000037716.14 transcript:ENSMUST00000119665.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc33 description:coiled-coil domain containing 33 [Source:MGI Symbol;Acc:MGI:1922464] MGRQKTKVPEEPQGRLDTSQDPYPAANYLAPCNKETITVTLYGATNLPAGKDGSEPWPYV VVKTTSEKANKHSPQAMTSVTSEPTRAPVWGDTVNVEIQAEDTGREDLILKVMDNKRKKE LVSYDIPIKYLRIFHPYQFKLEKSEKKDEAAAKTCLYATVVRKGSLLPRYVGCDHTALEV FLRGVNEPLVNSLKPMVVIARVVPNYTEFKARQARRDPASVGLPLTQVSFPISSPMNFDV PRINQNGYPQLSKPGGPPEQPLWNQSFLFQARDGATSFSENTALVLEYYPSASMQSSEPW ALNQPLGVSVLPLKSRLYHKMLTGKHLQGLQVERLPIIDTNLKTINGEAPSVNLSFQLLS SERPENFLTPNNSKALPTINPKILDENLGAIRESWSMSSLDSTQEMEELQPRDVEMNNYR RAMQKMAEDILALKKQANILEEENGMLRSHLSQQSIEEQSRAEEENLVSMKQKLLLNELD MKRLRDRVQHLQNELIRKNDREKELLLLYQAQQPQAAQLRRYQDKLQKMKALEDTVRHQE KVIEKMEQILEERLCERKEPIPSNRPQGKPIMASGIPLGPVGETLAVDLYSMLLAENTRL RTELEKNRQQSAPIILQQQALPDFLGGTSDKFNLLAKLEQAQSRILSLENQLEESARHWA REKQNLAIRLQEQQHGFGQPPNSIIIDQPNAGASKNRQQLSKLETSLPSSDKKLNRPSDS QIEISNNQKT >ENSMUSP00000091487.5 pep:known chromosome:GRCm38:11:87737552:87743617:1 gene:ENSMUSG00000020485.14 transcript:ENSMUST00000093955.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt4a description:suppressor of Ty 4A [Source:MGI Symbol;Acc:MGI:107416] MALETVPKDLRHLRACLLCSLVKTIDQFEYDGCDNCDAYLQMKGNREMVYDCTSSSFDGI IAMMSPEDSWVSKWQRVSNFKPGVYAVSVTGRLPQGIVRELKSRGVAYKSRDTAIKT >ENSMUSP00000118619.1 pep:known chromosome:GRCm38:11:87737552:87743623:1 gene:ENSMUSG00000020485.14 transcript:ENSMUST00000152700.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Supt4a description:suppressor of Ty 4A [Source:MGI Symbol;Acc:MGI:107416] MALETVPKDLRHLRACLLCSLVKTIDQFEYDGCDNCDAYLQMKGNREMVYDCTSSSFDGR SRLTMNDEAGCVDAAFSPSSQAEQGTQVSGSLLLHHETTPPSKN >ENSMUSP00000117762.1 pep:known chromosome:GRCm38:11:87737553:87743623:1 gene:ENSMUSG00000020485.14 transcript:ENSMUST00000141169.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Supt4a description:suppressor of Ty 4A [Source:MGI Symbol;Acc:MGI:107416] MALETVPKDLRHLRACLLCSLVKTIDQFEYDGCDNCDAYLQMKGNREMVYDCTSSSFDGC LARAVALGSCCGPLQQGRLGLTLCLPL >ENSMUSP00000123336.1 pep:known chromosome:GRCm38:10:93488768:93519304:1 gene:ENSMUSG00000020017.14 transcript:ENSMUST00000129421.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hal description:histidine ammonia lyase [Source:MGI Symbol;Acc:MGI:96010] MPRYTVHVRGEWLAVPCQDGKLTVGWLGREAVRRYMKNKPDNGGFTSVDEVQFLVHRCKG LGLLDNEDELEVALEDNEFVEVVIEGDVMSPDFIPSQPEGVFLYSKYREPEKYIALDGDS LSTEDLVNLGKGRYKIKLTSIAEKKVQQSREVIDSIIKERTVVYGITTGFGKFARTVIPA NKLQELQVNLVRSHSSGVGKPLSPERCRMLLALRINVLAKGYSGISLETLKQVIEAFNAS CLSYVPEKGTVGASGDLAPLSHLALGLIGEGKMWSPKSGWADAKYVLEAHGLKPIVLKPK EGLALINGTQMITSLGCEALERASAIARQADIVAALTLEVLKGTTKAFDTDIHAVRPHRG QIEVAFRFRSLLDSDHHPSEIAESHRFCDRVQDAYTLRCCPQVHGVVNDTIAFVKDIITT ELNSATDNPMVFASRGETISGGNFHGEYPAKALDYLAIGVHELAAISERRIERLCNPSLS ELPAFLVAEGGLNSGFMIAHCTAAALVSESKALCHPSSVDSLSTSAATEDHVSMGGWAAR KALRVVEHVEQVLAIELLAACQGIEFLRPLKTTTPLEKVYDLVRSVVRPWIKDRFMAPDI EAAHRLLLDQKVWEVAAPYIEKYRMEHIPESRPLSPTAFSLESLRKNSATIPESDDL >ENSMUSP00000016031.7 pep:known chromosome:GRCm38:10:93488803:93516464:1 gene:ENSMUSG00000020017.14 transcript:ENSMUST00000016031.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hal description:histidine ammonia lyase [Source:MGI Symbol;Acc:MGI:96010] MPRYTVHVRGEWLAVPCQDGKLTVGWLGREAVRRYMKNKPDNGGFTSVDEVQFLVHRCKG LGLLDNEDELEVALEDNEFVEVVIEGDVMSPDFIPSQPEGVFLYSKYREPEKYIALDGDS LSTEDLVNLGKGRYKIKLTSIAEKKVQQSREVIDSIIKERTGSFKST >ENSMUSP00000105462.2 pep:known chromosome:GRCm38:2:152477063:152479934:1 gene:ENSMUSG00000049560.4 transcript:ENSMUST00000109836.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb20 description:defensin beta 20 [Source:MGI Symbol;Acc:MGI:2442320] MKLLQVLLVLLFVALADGAQPKRCFSNVEGYCRKKCRLVEISEMGCLHGKYCCVNELENK KHKKHSVVEETVKLQDKSKVQDYMILPTVTYYTISI >ENSMUSP00000041907.4 pep:known chromosome:GRCm38:1:171437535:171464603:1 gene:ENSMUSG00000038235.4 transcript:ENSMUST00000043839.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F11r description:F11 receptor [Source:MGI Symbol;Acc:MGI:1321398] MGTEGKAGRKLLFLFTSMILGSLVQGKGSVYTAQSDVQVPENESIKLTCTYSGFSSPRVE WKFVQGSTTALVCYNSQITAPYADRVTFSSSGITFSSVTRKDNGEYTCMVSEEGGQNYGE VSIHLTVLVPPSKPTISVPSSVTIGNRAVLTCSEHDGSPPSEYSWFKDGISMLTADAKKT RAFMNSSFTIDPKSGDLIFDPVTAFDSGEYYCQAQNGYGTAMRSEAAHMDAVELNVGGIV AAVLVTLILLGLLIFGVWFAYSRGYFERTKKGTAPGKKVIYSQPSTRSEGEFKQTSSFLV >ENSMUSP00000099301.5 pep:known chromosome:GRCm38:7:66079649:66089405:1 gene:ENSMUSG00000075701.9 transcript:ENSMUST00000101801.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vimp description:VCP-interacting membrane protein [Source:MGI Symbol;Acc:MGI:95994] MDRDEEPLSARPALETESLRFLHVTVGSLLASYGWYILFSCILLYIVIQRLSLRLRALRQ RQLDQAETVLEPDVVVKRQEALAAARLRMQEDLNAQVEKHKEKLRQLEEEKRRQKIEMWD SMQEGRSYKRNSGRPQEEDGPGPSTSSVIPKGKSDKKPLRGGGYNPLTGEGGGTCSWRPG RRGPSSGGUN >ENSMUSP00000145846.1 pep:known chromosome:GRCm38:7:66079678:66089403:1 gene:ENSMUSG00000075701.9 transcript:ENSMUST00000206575.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vimp description:VCP-interacting membrane protein [Source:MGI Symbol;Acc:MGI:95994] MDRDEEPLSARPALETESLRFLHVTVGSLLASYGWYILFSCILLYIVIQRLSLRLRALRQ RQLDQAETVLEPDVVVKRQEALAAARLRMQEDLNAQVEKHKEKLRQLEEEKRRQKIEMWD SMQEGRSYKRNSGRPQEEDGPGPSTSSVIPKGKSDKKPLRGGYNPLTGEGGGTCSWRPGR RGPSSGG >ENSMUSP00000146218.1 pep:known chromosome:GRCm38:7:66079687:66089120:1 gene:ENSMUSG00000075701.9 transcript:ENSMUST00000205279.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vimp description:VCP-interacting membrane protein [Source:MGI Symbol;Acc:MGI:95994] MDRDEEPLSARPALETESLRFLHVTGQGRRAPPCSWAPCWPAMAGTSSSAASYSTLSSRG SPFD >ENSMUSP00000145998.1 pep:known chromosome:GRCm38:7:66079961:66089187:1 gene:ENSMUSG00000075701.9 transcript:ENSMUST00000206044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vimp description:VCP-interacting membrane protein [Source:MGI Symbol;Acc:MGI:95994] MQEDLNAQVEKHKEKLRQLEEEKRRQKIEMWDSMQEGRSYKRNSGRPQEEDGPGPSTSSV IPKGKSDKKPLRGGGYNPLTGEGGGTCSWRPGRRGPSSGG >ENSMUSP00000028966.2 pep:known chromosome:GRCm38:2:152485663:152490138:-1 gene:ENSMUSG00000027468.2 transcript:ENSMUST00000028966.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb22 description:defensin beta 22 [Source:MGI Symbol;Acc:MGI:3045368] MKSLLSTLVIIMFLAHLVTGGWYVKKCANTLGNCRKMCRDGEKQTEPATSKCPIGKLCCV LDFKISGHCGGGGQNSDNLVTAGGDEGSSAKASTAAMVGAAAMAGTPTKTSAPAKTSAPA KTSTTTKASNAAKASTTTKASNAAKASAATMAGNTTKVSTAAIASTPAQASTPTKANST >ENSMUSP00000058405.4 pep:known chromosome:GRCm38:5:29229802:29378384:-1 gene:ENSMUSG00000010721.15 transcript:ENSMUST00000055195.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbr1 description:limb region 1 [Source:MGI Symbol;Acc:MGI:1861746] MEGQDEVSAREQHFHSQVRESTICFLLFAILYIVSYFIIIRYKRKSDEQEDEDAVVNRIS LFLSTFTLAVSAGAVLLLPFSIISNEILLAFPHNYYIQWLNGSLIHGLWNLASLFSNLCL FVLMPFAFFFLESEGFAGLKKGIRARILETLVMLLLLALLILGMVWVASALIDSDAASME SLYDLWEFYLPYLYSCISLMGCLLLLLCTPVGLSRMFTVMGQLLVKPAILEDLDEQIYMI TLEEEALQRRLHGLSSSVEYNVMELEQELENVKILKTKLERRKKASAWERNLVYPAVMVL LLIETSISVLLVACNILCLLVDETAMPKGTRGPGIGSASLSTFGFVGAALEIILIFYLMV SSVVGFYSLRFFGNFTPKKDDTTMTKIIGNCVSILVLSSALPVMSRTLGITRFDLLGDFG RFNWLGNFYIVLSYNLLFAIMTTLCLIRKFTSAVREELFKALGLHKLHLSDTSRDSETTK PSANGHQKAL >ENSMUSP00000143348.1 pep:known chromosome:GRCm38:5:29232119:29378302:-1 gene:ENSMUSG00000010721.15 transcript:ENSMUST00000196321.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbr1 description:limb region 1 [Source:MGI Symbol;Acc:MGI:1861746] MPFAFFFLESEGFAGLKKGIRARILETLVMLLLLALLILGMVWVASALIDSDAASMESLY DLWEFYLPYLYSCISLMGCLLLLLCTPVGLSRMFTVMGQLLVKPAILEDLDEQIYMITLE EEALQRRLHGLSSSVEYNVMELEQELENVKILKTKLERRKKASAWERNLVYPAVMVLLLI ETSISVLLVACNILCLLVDETAMPKGTRGPGIGSASLSTFGFVGAALEIILIFYLMVSSV VGFYSLRFFGNFTPKKDDTTMTKIIGNCVSILVLSSALPVMSRTLGITRFDLLGDFGRFN WLGNFYIVLSYNLLFAIMTTLCLIRKFTSAVREELFKALGLHKLHLSDTSRDSETTKPSA NGHQKAL >ENSMUSP00000142755.1 pep:known chromosome:GRCm38:5:29232373:29378384:-1 gene:ENSMUSG00000010721.15 transcript:ENSMUST00000198105.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbr1 description:limb region 1 [Source:MGI Symbol;Acc:MGI:1861746] MEGQDEVSAREQHFHSQVRESTICFLLFAILYIVSYFIIIRYKRKSDEQEDEDAVVNRIS LFLSTFTLAVSAGAVLLLPFSIISNEILLAFPHNYYIQWLNGSLIHEGFAGLKKGIRARI LETLVMLLLLALLILGMVWVASALIDSDAASMESLYDLWEFYLPYLYSCISLMGCLLLLL CTPVGLSRMFTVMGQLLVKPAILEDLDEQIYMITLEEEALQRRLHGLSSSVEYNVMELEQ ELENVKILKTKLERRKKASAWERNLVYPAVMVLLLIETSISVLLVACNILCLLVDETAMP KGTRGPGIGSASLSTFGFVGAALEIILIFYLMVSSVVGFYSLRFFGNFTPKKDDTTMTKI IGNCVSILVLSSALPVMSRTLGITRFDLLGDFGRFNWLGNFYIVLSYNLLFAIMTTLCLI RKFTSAVREELFKALGLHKLHLSDTSRDSETTKPSANGHQKAL >ENSMUSP00000143316.1 pep:known chromosome:GRCm38:5:29232841:29378330:-1 gene:ENSMUSG00000010721.15 transcript:ENSMUST00000200564.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbr1 description:limb region 1 [Source:MGI Symbol;Acc:MGI:1861746] MPFAFFFLESEGFAGLKKGIRARILETLVMLLLLALLILGMVWVASALIDSDAASMESLY DLWEFYLPYLYSCISLMGCLLLLLCTPVGLSRMFTVMGQLLVKPAILEDLDEQIYMITLE EEALQRRLHGLSSSVEYNVMELEQELENVKILKTKLERRKKASAWERNLVYPAVMVLLLI ETSISVLLVACNILCLLVDETAMPKGTRGPGIGSASLSTFGFVGAALEIILIFYLMVSSV VGFYSLRFFGNFTPKKDDTTMTKIIGNCVSILVLSSALPVMSRTLGLHKLHLSDTSRDSE TTKPSANGHQKAL >ENSMUSP00000142987.1 pep:known chromosome:GRCm38:5:29235018:29292214:-1 gene:ENSMUSG00000010721.15 transcript:ENSMUST00000200149.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lmbr1 description:limb region 1 [Source:MGI Symbol;Acc:MGI:1861746] XEFYLPYLYSCISLMGCLLLLLCTPVGLSRMFTVMGQLLVKPAILEDLDEQIYMITLEEE ALQRRLHGLSSSVEYNVMELEQELENVKILKTKLVHFSPPGGVQYSLPAG >ENSMUSP00000136160.1 pep:known chromosome:GRCm38:5:29229814:29378390:-1 gene:ENSMUSG00000010721.15 transcript:ENSMUST00000179191.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbr1 description:limb region 1 [Source:MGI Symbol;Acc:MGI:1861746] MEGQDEVSAREQHFHSQVRESTICFLLFAILYIVSYFIIIRYKRKSDEQEDEDAVVNRIS LFLSTFTLAVSAGAVLLLPFSIISNEILLAFPHNYYIQWLNGSLIHGYAGMKGGIRARIL ETLVMLLLLALLILGMVWVASALIDSDAASMESLYDLWEFYLPYLYSCISLMGCLLLLLC TPVGLSRMFTVMGQLLVKPAILEDLDEQIYMITLEEEALQRRLHGLSSSVEYNVMELEQE LENVKILKTKLERRKKASAWERNLVYPAVMVLLLIETSISVLLVACNILCLLVDETAMPK GTRGPGIGSASLSTFGFVGAALEIILIFYLMVSSVVGFYSLRFFGNFTPKKDDTTMTKII GNCVSILVLSSALPVMSRTLGITRFDLLGDFGRFNWLGNFYIVLSYNLLFAIMTTLCLIR KFTSAVREELFKALGLHKLHLSDTSRDSETTKPSANGHQKAL >ENSMUSP00000096811.1 pep:known chromosome:GRCm38:2:152507798:152511692:-1 gene:ENSMUSG00000074680.1 transcript:ENSMUST00000099205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb26 description:defensin beta 26 [Source:MGI Symbol;Acc:MGI:3643488] MIFLTLAFIVHGLLTQGNKAAWRRPIPKCWKNSLGYCRVRCQEEERYIYLCKNKVSCCIH RTLSEDKLPSGPPIHTVTRVIPSFNIPVNSSATRLTDNWDANDSKTPIGTIVNPAGIGKT PTGTTVNPAGIGKMPTGTTVNPAGIGKTPTGTTVNPAGIGKTPTTTTPIYSWATNTKPFT MMPNTT >ENSMUSP00000104230.2 pep:known chromosome:GRCm38:11:70017085:70045532:1 gene:ENSMUSG00000020886.16 transcript:ENSMUST00000108589.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg4 description:discs, large homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277959] MSQRPRAPRSALWLLAPPLLRWAPPLLTVLHSDLFQALLDILDYYEACISESQKYRYQDE DTPPLEHSPAHLPNQANSPPVIVNTDTLEAPGYELQVNGTEGEMEYEEITLERGNSGLGF SIAGGTDNPHIGDDPSIFITKIIPGGAAAQDGRLRVNDSILFVNEVDVREVTHSAAVEAL KEAGSIVRLYVMRRKPPAEKIIEIKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEG GAAHKDGRLQIGDKILAVNSVGLEDVMHEDAVAALKNTYDVVYLKVAKPSNAYLSDSYAP PDITTSYSQHLDNEISHSSYLGTDYPTAMTPTSPRRYSPVAKDLLGEEDIPREPRRIVIH RGSTGLGFNIVGGEDGEGIFISFILAGGPADLSGELRKGDQILSVNGVDLRNASHEQAAI ALKNAGQTVTIIAQYKPEEYSRFEAKIHDLREQLMNSSLGSGTASLRSNPKRGFYIRALF DYDKTKDCGFLSQALSFHFGDVLHVIDASDEEWWQARRVHSDSETDDIGFIPSKRRVERR EWSRLKAKDWGSSSGSQGREDSVLSYETVTQMEVHYARPIIILGPTKDRANDDLLSEFPD KFGSCVPHTTRPKREYEIDGRDYHFVSSREKMEKDIQAHKFIEAGQYNSHLYGTSVQSVR EVAEQGKHCILDVSANAVRRLQAAHLHPIAIFIRPRSLENVLEINKRITEEQARKAFDRA TKLEQEFTECFSAIVEGDSFEEIYHKVKRVIEDLSGPYIWVPARERL >ENSMUSP00000126707.1 pep:known chromosome:GRCm38:11:70017241:70031253:1 gene:ENSMUSG00000020886.16 transcript:ENSMUST00000133140.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg4 description:discs, large homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277959] MEYEEITLERGNSGLGFSIAGGTDNPHIGDDPSIFITKIIPGGAAAQDGRLRVNDSILFV NEVDVREVTHSAAVEALKEAGSIVRLYVMRRKPPAEKIIEIKLIKGP >ENSMUSP00000104229.1 pep:known chromosome:GRCm38:11:70018466:70045532:1 gene:ENSMUSG00000020886.16 transcript:ENSMUST00000108588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg4 description:discs, large homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277959] MDCLCIVTTKKYRYQDEDTPPLEHSPAHLPNQANSPPVIVNTDTLEAPGYVNGTEGEMEY EEITLERGNSGLGFSIAGGTDNPHIGDDPSIFITKIIPGGAAAQDGRLRVNDSILFVNEV DVREVTHSAAVEALKEAGSIVRLYVMRRKPPAEKIIEIKLIKGPKGLGFSIAGGVGNQHI PGDNSIYVTKIIEGGAAHKDGRLQIGDKILAVNSVGLEDVMHEDAVAALKNTYDVVYLKV AKPSNAYLSDSYAPPDITTSYSQHLDNEISHSSYLGTDYPTAMTPTSPRRYSPVAKDLLG EEDIPREPRRIVIHRGSTGLGFNIVGGEDGEGIFISFILAGGPADLSGELRKGDQILSVN GVDLRNASHEQAAIALKNAGQTVTIIAQYKPEEYSRFEAKIHDLREQLMNSSLGSGTASL RSNPKRGFYIRALFDYDKTKDCGFLSQALSFHFGDVLHVIDASDEEWWQARRVHSDSETD DIGFIPSKRRVERREWSRLKAKDWGSSSGSQGREDSVLSYETVTQMEVHYARPIIILGPT KDRANDDLLSEFPDKFGSCVPHTTRPKREYEIDGRDYHFVSSREKMEKDIQAHKFIEAGQ YNSHLYGTSVQSVREVAEQGKHCILDVSANAVRRLQAAHLHPIAIFIRPRSLENVLEINK RITEEQARKAFDRATKLEQEFTECFSAIVEGDSFEEIYHKVKRVIEDLSGPYIWVPARER L >ENSMUSP00000018700.6 pep:known chromosome:GRCm38:11:70018728:70045532:1 gene:ENSMUSG00000020886.16 transcript:ENSMUST00000018700.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg4 description:discs, large homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277959] MDCLCIVTTKKYRYQDEDTPPLEHSPAHLPNQANSPPVIVNTDTLEAPGYELQVNGTEGE MEYEEITLERGNSGLGFSIAGGTDNPHIGDDPSIFITKIIPGGAAAQDGRLRVNDSILFV NEVDVREVTHSAAVEALKEAGSIVRLYVMRRKPPAEKIIEIKLIKGPKGLGFSIAGGVGN QHIPGDNSIYVTKIIEGGAAHKDGRLQIGDKILAVNSVGLEDVMHEDAVAALKNTYDVVY LKVAKPSNAYLSDSYAPPDITTSYSQHLDNEISHSSYLGTDYPTAMTPTSPRRYSPVAKD LLGEEDIPREPRRIVIHRGSTGLGFNIVGGEDGEGIFISFILAGGPADLSGELRKGDQIL SVNGVDLRNASHEQAAIALKNAGQTVTIIAQYKPEEYSRFEAKIHDLREQLMNSSLGSGT ASLRSNPKRGFYIRALFDYDKTKDCGFLSQALSFHFGDVLHVIDASDEEWWQARRVHSDS ETDDIGFIPSKRRVERREWSRLKAKDWGSSSGSQGREDSVLSYETVTQMEVHYARPIIIL GPTKDRANDDLLSEFPDKFGSCVPHTTRPKREYEIDGRDYHFVSSREKMEKDIQAHKFIE AGQYNSHLYGTSVQSVREVAEQGKHCILDVSANAVRRLQAAHLHPIAIFIRPRSLENVLE INKRITEEQARKAFDRATKLEQEFTECFSAIVEGDSFEEIYHKVKRVIEDLSGPYIWVPA RERL >ENSMUSP00000115206.2 pep:known chromosome:GRCm38:11:70018864:70031149:1 gene:ENSMUSG00000020886.16 transcript:ENSMUST00000134376.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg4 description:discs, large homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277959] MDCLCIVTTKKYRYQDEDTPPLEHSPAHLPNQVNAPELVHVAERNLSHLEAVHGVVGHAH LSSLKANSPPVIVNTDTLEAPGYELQVNGTEGEMEYEEITLERGNSGLGFSIAGGTDNPH IGDDPSIFITKIIPGGAAAQDGRLRVNDSILFVNEVDVREVTHSA >ENSMUSP00000121053.2 pep:known chromosome:GRCm38:11:70023905:70031131:1 gene:ENSMUSG00000020886.16 transcript:ENSMUST00000124568.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg4 description:discs, large homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277959] MTLSRTAQAPPPLSLAAASCRRGRRSPRPLGPSPSPTQHPCYPTHTLPLVPLPFFMSTRN RFASMCLCVKYRYQDEDTPPLEHSPAHLPNQANSPPVIVNTDTLEAPGYELQVNGTEGEM EYEEITLERGNSGLGFSIAGGTDNPHIGDDPSIFITKIIPGGAAAQDGRLRVNDSILFVN EVDVR >ENSMUSP00000135994.1 pep:known chromosome:GRCm38:11:70026824:70030991:1 gene:ENSMUSG00000020886.16 transcript:ENSMUST00000135916.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg4 description:discs, large homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277959] MEYEEITLERGNSGLGFSIAGGTDNPHIGDDPSIFITKIIPGGAAAQDGRL >ENSMUSP00000114165.2 pep:known chromosome:GRCm38:11:70029742:70039042:1 gene:ENSMUSG00000020886.16 transcript:ENSMUST00000132597.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg4 description:discs, large homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277959] MAQDLEQMYAKANSPPVIVNTDTLEAPGYELQVNGTEGEMEYEEITLERGNSGLGFSIAG GTDNPHIGDDPSIFITKIIPGGAAAQDGRLRVNDSILFVNEVDVREVTHSAAVEALKEAG SIVRLYVMRRKPPAEKIIEIKLIKGPKGLGFSIAGGVGNQHIPGDNSIYVTKIIEGGAAH KDGRLQIGDKILAVNSVGLEDVMHEDAVAALKNTYDVVYLKVAKPSNAYLSDSYAPPDIT TSYSQHLD >ENSMUSP00000136419.1 pep:known chromosome:GRCm38:11:70030023:70030910:1 gene:ENSMUSG00000020886.16 transcript:ENSMUST00000143920.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlg4 description:discs, large homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277959] MEYEEITLERGNSGLGFSIAGGTD >ENSMUSP00000134545.1 pep:known chromosome:GRCm38:11:70039821:70044619:1 gene:ENSMUSG00000020886.16 transcript:ENSMUST00000123687.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dlg4 description:discs, large homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1277959] ASLRSNPKRGFYIRALFDYDKTKDCGFLSQALSFHFGDVLHVIDASDEEWWQARRVHSDS ETDDIGFIPSKRRVERREWSRLKAKDWGSSSGSQGREDSVLSYETVTQMEVHYARPIIIL GPTKDRANDDLLSEFPDKFGSCVPHTTRPKREYEIDGRDYHFVSSREKMEKDIQAHKFIE AGQYNSHLYGTSVQSVREVAEQRDQ >ENSMUSP00000112697.1 pep:known chromosome:GRCm38:2:152518255:152521447:1 gene:ENSMUSG00000074679.3 transcript:ENSMUST00000121912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb28 description:defensin beta 28 [Source:MGI Symbol;Acc:MGI:3650536] MLQTRSSTLSGHNKLWFLTLAVLVVLAQTSPEGWFRTCFYGLGKCRRICRANEKKKERCG ERTFCCLRETNSRLSHIPVTKDREKDESC >ENSMUSP00000075839.5 pep:known chromosome:GRCm38:1:193153111:193172023:1 gene:ENSMUSG00000026638.15 transcript:ENSMUST00000076521.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf6 description:interferon regulatory factor 6 [Source:MGI Symbol;Acc:MGI:1859211] MALHPRRVRLKPWLVAQVDSGLYPGLIWLHRDSKRFQIPWKHATRHSPQQEEENTIFKAW AVETGKYQEGVDDPDPAKWKAQLRCALNKSREFNLMYDGTKEVPMNPVKIYQVCDIPQTQ GSVINPGSTGSAPWDEKDNDVDEDEEEDELEQSQHHVPIQDTFPFLNINGSPMAPASVGN CSVGNCSPESVWPKTEPLEMEVPQAPIQPFYSSPELWISSLPMTDLDIKFQYRGKEYGQT MTVSNPQGCRLFYGDLGPMPDQEELFGPVSLEQVKFPGPEHITNEKQKLFTSKLLDVMDR GLILEVSGHAIYAIRLCQCKVYWSGPCAPSLAAPNLIERQKKVKLFCLETFLSELIAHQK GQIEKQPPFEIYLCFGEEWPDGKPLERKLILVQVIPVVARMIYEMFSGDFTRSFDSGSVR LQISTPDIKDNIVAQLKQLYRILQTQESWQPMQPAPSMQLPQALPAQ >ENSMUSP00000133571.1 pep:known chromosome:GRCm38:11:80428623:80471909:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000173938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] MAAAAVVEFQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKT GQAAELGGLLKYVRPFLNSISKAKAARLVRSLLDLFLDMEAATGQEVELCLECIEWAKSE KRTFLRQALEARLVSLYFDTKRYQEALHLGSQLLRELKKMDDKALLVEVQLLESKTYHAL SNLPKARAALTSARTTANAIYCPPKLQATLDMQSGIIHAAEEKDWKTAYSYFYEAFEGYD SIDSPKAITSLKYMLLCKIMLNTPEDVQALVSGKLALRYAGRQTEALKCVAQASKNRSLA DFEKALTDYRAELRDDPIISTHLAKLYDNLLEQNLIRVIEPFSRVQIEHISSLIKLSKAD VERKLSQMILDKKFHGILDQGEGVLIIFDEPPVDKTYEAALETIQNMSKVVDSLYSKAKK LT >ENSMUSP00000017572.7 pep:known chromosome:GRCm38:11:80428625:80473248:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000017572.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] MAAAAVVEFQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKT GQAAELGGLLKYVRPFLNSISKAKAARLVRSLLDLFLDMEAATGQEVELCLECIEWAKSE KRTFLRQALEARLVSLYFDTKRYQEALHLGSQLLRELKKMDDKALLVEVQLLESKTYHAL SNLPKARAALTSARTTANAIYCPPKLQATLDMQSGIIHAAEEKDWKTAYSYFYEAFEGYD SIDSPKAITSLKYMLLCKIMLNTPEDVQALVSGKLALRYAGRQTEALKCVAQASKNRSLA DFEKALTDYRAELRDDPIISTHLAKLYDNLLEQNLIRVIEPFSRVQIEHISSLIKLSKAD VERKLSQMILDKKFHGILDQGEGVLIIFDEPPVDKTYEAALETIQNMSKVVDSLYSKAKK LT >ENSMUSP00000134129.1 pep:known chromosome:GRCm38:11:80428646:80445959:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000172615.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] MAAAAVVEFQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKT GQAAELGGLLKYVRPFLNSISKAKAARLVRSLLDLFLDMEAATGQEFLFVTGHLIL >ENSMUSP00000134096.1 pep:known chromosome:GRCm38:11:80428665:80460691:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000172773.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] MAAAAVVEFQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKT GQAAELGGLLKYVRPFLNSISKAKAARLVRSLLDLFLDMEAATGQEVLSCFGS >ENSMUSP00000133572.1 pep:known chromosome:GRCm38:11:80428686:80456242:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000173186.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] EFQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKTGQAAGRK MIFKQKR >ENSMUSP00000133442.1 pep:known chromosome:GRCm38:11:80428686:80469882:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000174743.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] EFQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKTGQAAGRA MFRVHRMGQIREKNFLTPSIGGKAGVFVF >ENSMUSP00000134136.1 pep:known chromosome:GRCm38:11:80428686:80471539:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000172847.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] EFQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKTGQAAELG GLLKYVRPFLNSISKAKAARLVRSLLDLFLDMEAATGQEH >ENSMUSP00000133509.1 pep:known chromosome:GRCm38:11:80428690:80456423:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000173060.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] XQRAQSLLSTDREASIDILHSIVKRDIQENDEEAVQVKEQSILELGSLLAKTGQAAELGG LLKYVRPFLNSISKAKAARLVRSLLDLFLDMEAATGQEGI >ENSMUSP00000134326.1 pep:known chromosome:GRCm38:11:80429887:80456427:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000173565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] MEAATGQEVELCLECIEWAKSEKRTFLRQALEARLVSLYFDTKRYQEALHLGSQLLRELK KMDDKALLVEVQLLESKTYHALSNLPKARAALTSARTTANAIYCPPKLQATLDM >ENSMUSP00000134083.1 pep:known chromosome:GRCm38:11:80456368:80471875:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000148895.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] ARTTANAIYCPPKLQATLDMQSGIIHAAEEKDWKTAYSYFYEAFEGYDSIDSPKAITSLK YMLLCKIMLNTPEDVQALVSGKLALRYAGRQALTDYRAELRDDPIISTHLAKLYDNLLEQ NLIRVIEPFSRVQIEHISSLIKLSKADVERKLSQMILDKKFHGILDQGEGVLIIFDEPPV DKTYEAALETIQNMSKVVDSLYSKAKKLT >ENSMUSP00000133452.1 pep:known chromosome:GRCm38:11:80460709:80471714:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000129500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] YMLLCKIMLNTPEDVQALVSGKLALRYAGRQTEALKCVAQASKNRSLADFEKALTDYRAE LRDDPIISTHLAKLYDNLLEQNLIRVIEPFSRVQADVERKLSQMILDKKFHGILDQGEGV LIIFDEPPVDKTYEAALETIQNMSKVVDSLYSKAKKLT >ENSMUSP00000133739.1 pep:known chromosome:GRCm38:11:80462611:80473248:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000173797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] XPEDVQALVSGKLALRYAGRQTEALKCVAQASKNRSLADFEKALTDYRAELRDDPIISTH LAKLYDNLLEQNLIRVIEPFSRVQIEHISSLIKLSKADVERKLSQMILDKKFHGILDQGE GVLIIFDEPPVDKTYEAALETIQNMSKVVDSLYSKAKKLT >ENSMUSP00000134320.1 pep:known chromosome:GRCm38:11:80462615:80471641:1 gene:ENSMUSG00000017428.16 transcript:ENSMUST00000125591.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmd11 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 11 [Source:MGI Symbol;Acc:MGI:1916327] EDVQALVSGKLALRYAGRQTEALKCVAQASKNRSLADFEKALTDYRAELRDDPIISTHLA KLYDNLLEQNLIRVIEPFSRVQVRTFLGALSTVLG >ENSMUSP00000080242.1 pep:known chromosome:GRCm38:1:171503478:171504750:1 gene:ENSMUSG00000060244.1 transcript:ENSMUST00000081527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alyref2 description:Aly/REF export factor 2 [Source:MGI Symbol;Acc:MGI:1913144] MADKMDMSLDDIIKLNRNQRRVNRGGGPRRNRPAIARGGRNRPAPYSRPKPLPDKWQHDL FDSGCGGGEGVETGAKLLVSNLDFGVSDADIQELFAEFGTLKKAAVDYDRSGRSLGTADV HFERRADALKAMKQYKGVPLDGRPMDIQLVTSQIDPQRRPAQSGNRGGMTRSRGSGGFGG RGSQGRGRGTGRNSKQQQLSAEELDAQLDAYNARRDTS >ENSMUSP00000071836.6 pep:known chromosome:GRCm38:5:72755716:72868444:-1 gene:ENSMUSG00000029217.16 transcript:ENSMUST00000071944.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tec description:tec protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:98662] MNFNTILEEILIKRSQQKKKTSPLNYKERLFVLTKSVLSYYEGRAEKKYRKGVIDISKIK CVEIVKNDDGVIPCQNKFPFQVVHDANTLYIFAPSPQSRDRWVKKLKEEIKNNNNIMIKY HPKFWADGSYQCCRQTEKLAPGCEKYNLFESSIRKTLPPAPEIKKRRPPPPIPPEEENTE EIVVAMYDFQATEAHDLRLERGQEYIILEKNDLHWWRARDKYGSEGYIPSNYVTGKKSNN LDQYEWYCRNTNRSKAEQLLRTEDKEGGFMVRDSSQPGLYTVSLYTKFGGEGSSGFRHYH IKETATSPKKYYLAEKHAFGSIPEIIEYHKHNAAGLVTRLRYPVSTKGKNAPTTAGFSYD KWEINPSELTFMRELGSGLFGVVRLGKWRAQYKVAIKAIREGAMCEEDFIEEAKVMMKLT HPKLVQLYGVCTQQKPIYIVTEFMERGCLLNFLRQRQGHFSRDMLLSMCQDVCEGMEYLE RNSFIHRDLAARNCLVNEAGVVKVSDFGMARYVLDDQYTSSSGAKFPVKWCPPEVFNYSR FSSKSDVWSFGVLMWEIFTEGRMPFEKNTNYEVVTMVTRGHRLHRPKLASKYLYEVMLRC WQERPEGRPSFEDLLRTIDELVECEETFGR >ENSMUSP00000109224.1 pep:known chromosome:GRCm38:5:72755723:72868459:-1 gene:ENSMUSG00000029217.16 transcript:ENSMUST00000113594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tec description:tec protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:98662] MNFNTILEEILIKRSQQKKKTSPLNYKERLFVLTKSVLSYYEGRAEKKYRKGVIDISKIK CVEIVKNDDGVIPCQNKFPFQVVHDANTLYIFAPSPQSRDRWVKKLKEEIKNNNNIMIKY HPKFWADGSYQCCRQTEKLAPGCEKYNLFESSIRKTLPPAPEIKKRRPPPPIPPEEENTE EIVVAMYDFQATEAHDLRLERGQEYIILEKNDLHWWRARDKYGSEGYIPSNYVTGKKSNN LDQYEWYCRNTNRSKAEQLLRTEDKEGGFMVRDSSQPGLYTVSLYTKFGGEGSSGFRHYH IKETATSPKKYYLAEKHAFGSIPEIIEYHKHNAAGLVTRLRYPVSTKGKNAPTTAGFSYD KWEINPSELTFMRELGSGLFGVVRLGKWRAQYKVAIKAIREGAMCEEDFIEEAKVMMKLT HPKLVQLYGVCTQQKPIYIVTEFMERGCLLNFLRQRQGHFSRDMLLSMCQDVCEGMEYLE RNSFIHRDLAARNCLVNEAGVVKVSDFGMARYVLDDQYTSSSGAKFPVKWCPPEVFNYSR FSSKSDVWSFGVLMWEIFTEGRMPFEKNTNYEVVTMVTRGHRLHRPKLASKYLYEVMLRC WQERPEGRPSFEDLLRTIDELVECEETFGR >ENSMUSP00000123606.1 pep:known chromosome:GRCm38:5:72755734:72868396:-1 gene:ENSMUSG00000029217.16 transcript:ENSMUST00000126481.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tec description:tec protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:98662] MNFNTILEEILIKRSQQKKKTSPLNYKERLFVLTKSVLSYYEGRAEKKYRKGVIDISKIK CVEIVKNDDGVIPCQNKFPFQVVHDANTLYIFAPSPQSRDRWVKKLKEEIKNNNNIMIKY HPKFWADGSYQCCRQTEKLAPGCEKYNLFESIIGIYRYKKDPASRARNKEEKASSTNSPR GRKY >ENSMUSP00000118980.1 pep:known chromosome:GRCm38:5:72755738:72786020:-1 gene:ENSMUSG00000029217.16 transcript:ENSMUST00000155342.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tec description:tec protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:98662] XIKYHPKFWADGSYQCCRQTEKLAPGCEKYNLFESIIGIYRYKKDPASRARNKEEKASST NSPRGRKY >ENSMUSP00000073509.6 pep:known chromosome:GRCm38:5:72755738:72868446:-1 gene:ENSMUSG00000029217.16 transcript:ENSMUST00000073843.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tec description:tec protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:98662] MNFNTILEEILIKRSQQKKKTSPLNYKERLFVLTKSVLSYYEGRAEKKYRKGVIDISKIK CVEIVKNDDGVIPCQNKFPFQVVHDANTLYIFAPSPQSRDRWVKKLKEEIKNNNNIMIKY HPKFWADGSYQCCRQTEKLAPGCEKYNLFESSIRKTLPPAPEIKKRRPPPPIPPEEENTE EIVVAMYDFQATEAHDLRLERGQEYIILEKNDLHWWRARDKYGWYCRNTNRSKAEQLLRT EDKEGGFMVRDSSQPGLYTVSLYTKFGGEGSSGFRHYHIKETATSPKKYYLAEKHAFGSI PEIIEYHKHNAAGLVTRLRYPVSTKGKNAPTTAGFSYDKWEINPSELTFMRELGSGLFGV VRLGKWRAQYKVAIKAIREGAMCEEDFIEEAKVMMKLTHPKLVQLYGVCTQQKPIYIVTE FMERGCLLNFLRQRQGHFSRDMLLSMCQDVCEGMEYLERNSFIHRDLAARNCLVNEAGVV KVSDFGMARYVLDDQYTSSSGAKFPVKWCPPEVFNYSRFSSKSDVWSFGVLMWEIFTEGR MPFEKNTNYEVVTMVTRGHRLHRPKLASKYLYEVMLRCWQERPEGRPSFEDLLRTIDELV ECEETFGR >ENSMUSP00000120155.1 pep:known chromosome:GRCm38:5:72755738:72868483:-1 gene:ENSMUSG00000029217.16 transcript:ENSMUST00000138842.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tec description:tec protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:98662] MNFNTILEEILIKRSQQKKKTSPLNYKERLFVLTKSVLSYYEGRAEKKYRKGVIDISKIK CVEIVKNDDGVIPCQNKFPFQSTKQGPMGEEVKRRNKEQQ >ENSMUSP00000123258.1 pep:known chromosome:GRCm38:5:72773209:72868449:-1 gene:ENSMUSG00000029217.16 transcript:ENSMUST00000149533.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tec description:tec protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:98662] MNFNTILEEILIKRSQQKKKTSPLNYKERLFVLTKSVLSYYEGRAEKKYRKGVIDISKIK CVEIVKNDDGVIPCQNKFPFQSTKQGPMGEEVKRRNKEQQ >ENSMUSP00000144458.1 pep:known chromosome:GRCm38:5:72823462:72844449:-1 gene:ENSMUSG00000029217.16 transcript:ENSMUST00000202547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tec description:tec protein tyrosine kinase [Source:MGI Symbol;Acc:MGI:98662] MNFNTILEEILIKRSQQKKKTSPLNYKERLFVLTKSVLSYYEGR >ENSMUSP00000112304.1 pep:known chromosome:GRCm38:6:66535390:66547220:1 gene:ENSMUSG00000029910.14 transcript:ENSMUST00000116605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mad2l1 description:MAD2 mitotic arrest deficient-like 1 [Source:MGI Symbol;Acc:MGI:1860374] MAQQLAREQGITLRGSAEIVAEFFSFGINSILYQRGIYPSETFTRVQKYGLTLLTTTDPE LIKYLNNVVEQLKEWLYKCSVQKLVVVISNIESGEVLERWQFDIECDKTAKEEGVRREKS QKAIQDEIRSVIRQITATVTFLPLLEVSCSFDLLIYTDKDLVVPEKWEESGPQFITNCEE VRLRSFTTTIHKVNSMVAYKTPVND >ENSMUSP00000098897.1 pep:known chromosome:GRCm38:6:66535468:66540991:1 gene:ENSMUSG00000029910.14 transcript:ENSMUST00000101343.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mad2l1 description:MAD2 mitotic arrest deficient-like 1 [Source:MGI Symbol;Acc:MGI:1860374] MAQQLAREQGITLRGSAEIVAEFFSFGINSILYQRGIYPSETFTRVQKYGLTLLTTTDPE LIKYLNNVVEQLKEWLYKCSVQKLVVVISNIESGEVLERWQFDIECDKTAKEEGVRREKS QKAIQDEIRSVIRQITATVTFLPLLEVSCSFDLLIYTDKDLVVPEKWEESGPQFITNCEE VRLRSFTTTIHKVNSMVAYKTPVND >ENSMUSP00000020687.8 pep:known chromosome:GRCm38:11:43420250:43426251:-1 gene:ENSMUSG00000020415.16 transcript:ENSMUST00000020687.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1 description:pituitary tumor-transforming gene 1 [Source:MGI Symbol;Acc:MGI:1353578] MATLIFVDKDNEEPGRRLASKDGLKLGTGVKALDGKLQVSTPRVGKVFNAPAVPKASRKA LGTVNRVAEKPMKTGKPLQPKQPTLTGKKITEKSTKTQSSVPAPDDAYPEIEKFFPFNPL DFESFDLPEEHQISLLPLNGVPLMTLNEERGLEKLLHLGPPSPLKTPFLSWESDPLYSPP SALSTLDVELPPVCYDADI >ENSMUSP00000112841.1 pep:known chromosome:GRCm38:11:43420264:43425981:-1 gene:ENSMUSG00000020415.16 transcript:ENSMUST00000117446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1 description:pituitary tumor-transforming gene 1 [Source:MGI Symbol;Acc:MGI:1353578] MATLIFVDKDNEEPGRRLASKDGLKLGTGVKALDGKLQVSTPRVGKVFNAPAVPKASRKA LGTVNRVAEKPMKTGKPLQPKQPTLTGKKITEKSTKTQSSVPAPDDAYPEIEKFFPFNPL DFESFDLPEEHQISLLPLNGVPLMTLNEERGLEKLLHLGPPSPLKTPFLSWESGKGVRSN SGCKQLVT >ENSMUSP00000122019.1 pep:known chromosome:GRCm38:11:43420265:43422986:-1 gene:ENSMUSG00000020415.16 transcript:ENSMUST00000140434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1 description:pituitary tumor-transforming gene 1 [Source:MGI Symbol;Acc:MGI:1353578] ITEKSTKTQSSVPAPDDAYPEIEKFFPFNPLDFESFDLPEEHQISLLPLNGVPLMTLNEE RGLEKLLHLGPPSPLKTPFLSWESGKGVRSNSGCKQLVT >ENSMUSP00000098894.4 pep:known chromosome:GRCm38:11:43420269:43426202:-1 gene:ENSMUSG00000020415.16 transcript:ENSMUST00000101340.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1 description:pituitary tumor-transforming gene 1 [Source:MGI Symbol;Acc:MGI:1353578] MATLIFVDKDNEEPGRRLASKDGLKLGTGVKALDGKLQVSTPRVGKVFNAPAVPKASRKA LGTVNRVAEKPMKTGKPLQPKQPTLTGKKITEKSTKTQSSVPAPDDAYPEIEKFFPFNPL DFESFDLPEEHQISLLPLNGVPLMTLNEERGLEKLLHLGPPSPLKTPFLSWESDPLYSPP SALSTLDVELPPVCYDADI >ENSMUSP00000112834.1 pep:known chromosome:GRCm38:11:43420273:43426217:-1 gene:ENSMUSG00000020415.16 transcript:ENSMUST00000118368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1 description:pituitary tumor-transforming gene 1 [Source:MGI Symbol;Acc:MGI:1353578] MATLIFVDKDNEEPGRRLASKDGLKLGTGVKALDGKLQVSTPRVGKVFNAPAVPKASRKA LGTVNRVAEKPMKTGKPLQPKQPTLTGKKITEKSTKTQSSVPAPDDAYPEIEKFFPFNPL DFESFDLPEEHQISLLPLNGVPLMTLNEERGLEKLLHLGPPSPLKTPFLSWESDPLYSPP SALSTLDVELPPVCYDADI >ENSMUSP00000112815.1 pep:known chromosome:GRCm38:11:43420274:43425963:-1 gene:ENSMUSG00000020415.16 transcript:ENSMUST00000121638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1 description:pituitary tumor-transforming gene 1 [Source:MGI Symbol;Acc:MGI:1353578] MATLIFVDKDNEEPGRRLASKDGLKLGTGVKALDGKLQVSTPRVGKVFNAPAVPKASRKA LGTVNRVAEKPMKTGKPLQPKQPTLTGKKITEKSTKTQSSVPAPDDAYPEIEKFFPFNPL DFESFDLPEEHQISLLPLNGVPLMTLNEERGLEKLLHLGPPSPLKTPFLSWESDPLYSPP SALSTLDVELPPVCYDADI >ENSMUSP00000119554.1 pep:known chromosome:GRCm38:11:43420278:43425981:-1 gene:ENSMUSG00000020415.16 transcript:ENSMUST00000152115.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pttg1 description:pituitary tumor-transforming gene 1 [Source:MGI Symbol;Acc:MGI:1353578] MATLIFVDKDNEEPGRRLASKDGLKLGTGVKALDGKLQVSTPRVGKVFNAPAVPKASRKA LGTVNRVAEKPMKTGKPLQPKQPTLTGKKITEKSTKTQSSVPAPDDAYPEIEKFFPFNPL EF >ENSMUSP00000020685.9 pep:known chromosome:GRCm38:11:43421031:43426227:-1 gene:ENSMUSG00000020415.16 transcript:ENSMUST00000020685.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1 description:pituitary tumor-transforming gene 1 [Source:MGI Symbol;Acc:MGI:1353578] MATLIFVDKDNEEPGRRLASKDGLKLGTGVKALDGKLQVSTPRVGKVFNAPAVPKASRKA LGTVNRVAEKPMKTGKPLQPKQPTLTGKKITEKSTKTQSSVPAPDDAYPEIEKFFPFNPL DFESFDLPEEHQISLLPLNGVPLMTLNEERGLEKLLHLGPPSPLKTPFLSWESGKGVRSN SGCKQLVT >ENSMUSP00000122079.1 pep:known chromosome:GRCm38:18:76977148:77047308:-1 gene:ENSMUSG00000025420.13 transcript:ENSMUST00000126153.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnal2 description:katanin p60 subunit A-like 2 [Source:MGI Symbol;Acc:MGI:1924234] MELSYQTLKLTHQAREAYEMRTEARRKNLLILILHYLTQEGYMDAAKALEEETKLGLRRF EVCDNVDLETILMEYESYYFVKFQKYPKVVKKAPDPVENNLPSRSGGKNKRLTNDSCQNL PKICHQKSRPKTSAVKTGDTKSVKEHLKQVKESVTDTQAESTDFGLNISKIHKDQPEEKA QPRRGQIIDFRGLLSDAIKGATSEFALNTFECNPDPSERLLKPLSAFIGMNSEMRELAAV VSRDIYLHNPNIKWNDIIGLDAAKQLVKEAVVYPIRYPQLFTGILSPWKGLLLYGPPGTG KTLLAKAVATECKTTFFNISASTIVSKWRGDSEKLVRVLFELARYHAPSTIFLDELESVM SQRGMVPGGEHEGSLRMKTELLVQMDGLARSEDLVFVLAASNLPWELDCAMLRRLEKRIL VDLPSQEARQAMIYHWLPPVSKNHALELHTQLEYSVLSQETEGYSGSDIKLVCREAAMRP VRKIFSVLENNQSESNNLPGIQLDTVTTQDFLDVLAHTKPSAKNLTERYLAWQEKFESV >ENSMUSP00000118424.1 pep:known chromosome:GRCm38:18:76977149:77047286:-1 gene:ENSMUSG00000025420.13 transcript:ENSMUST00000122984.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Katnal2 description:katanin p60 subunit A-like 2 [Source:MGI Symbol;Acc:MGI:1924234] MELSYQTLKLTHQAREAALDGTRAMCSYPQRCMHWVCAH >ENSMUSP00000117495.1 pep:known chromosome:GRCm38:18:76977151:77047270:-1 gene:ENSMUSG00000025420.13 transcript:ENSMUST00000137498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnal2 description:katanin p60 subunit A-like 2 [Source:MGI Symbol;Acc:MGI:1924234] MDAAKALEEETKLGLRRFEVCDNVDLETILMEYESYYFVKFQKYPKVVKKAPDPVENNLP SRSGGKNKRLTNDSCQNLPKICHQKSRPKTSAVKTGDTKSVKEHLKQESVTDTQAESTDF GLNISKIHKDQPEEKAQPRRGQIIDFRGLLSDAIKGATSEFALNTFECNPDPSERLLKPL SAFIGMNSEMRELAAVVSRDIYLHNPNIKWNDIIGLDAAKQLVKEAVVYPIRYPQLFTGI LSPWKGLLLYGPPGTGKTLLAKAVATECKTTFFNISASTIVSKWRGDSEKLVRVLFELAR YHAPSTIFLDELESVMSQRGMVPGGEHEGSLRMKTELLVQMDGLARSEDLVFVLAASNLP WELDCAMLRRLEKRILVDLPSQEARQAMIYHWLPPVSKNHALELHTQLEYSVLSQETEGY SGSDIKLVCREAAMRPVRKIFSVLENNQSESNNLPGIQLDTVTTQDFLDVLAHTKPSAKN LTERYLAWQEKFESV >ENSMUSP00000026486.6 pep:known chromosome:GRCm38:18:76993199:77047296:-1 gene:ENSMUSG00000025420.13 transcript:ENSMUST00000026486.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnal2 description:katanin p60 subunit A-like 2 [Source:MGI Symbol;Acc:MGI:1924234] MELSYQTLKLTHQAREAYEMRTEARRKNLLILILHYLTQEGYMDAAKALEEETKLGLRRF EVCDNVDLETILMEYESYYFVKFQKYPKVVKKAPDPVENNLPSRSGGKNKRLTNDSCQNL PKICHQKSRPKTSAVKTGDTKSVKEHLKQVKESVTDTQAESTDFGLNISKIHKDQPEEKA QPRRGQIIDFRGLLSDAIKGATSEFALNTFECNPDPSERLLKPLSAFIGMNSEMRELAAV VSRDIYLHNPNIKWNDIIGLDAAKQLVKEAVVYPIRYPQLFTGILSPWKGLLLYGPPGTG KTLLAKAVATECKTTFFNISASTIVSKWRGDSEKLVRVLFELARYHAPSTIFLDELESVM SQRGMVPGGEHEGSLRMKTELLVQMDGLARSEDLVFVLAASNLPWEGDA >ENSMUSP00000119066.1 pep:known chromosome:GRCm38:18:77010041:77047287:-1 gene:ENSMUSG00000025420.13 transcript:ENSMUST00000154665.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnal2 description:katanin p60 subunit A-like 2 [Source:MGI Symbol;Acc:MGI:1924234] MELSYQTLKLTHQAREAYEMRTEARRKNLLILILHYLTQEGYMDAAKALEEETKLGLRRF EVCDNVDLETILMEYESYYFVKFQKYPKVVKKAPDPVENNLPSRSGGKNKRLTNDSCQNL PKICHQKSRPKTSAVKTGDTKSVKEHLKQESVTDTQAESTDFGLNISKIHKDQPEEKAQP RRVSGAQGPRMERGTCLKKKENKAYSCCQTGKRRQKH >ENSMUSP00000115489.1 pep:known chromosome:GRCm38:18:77010533:77047298:-1 gene:ENSMUSG00000025420.13 transcript:ENSMUST00000123650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnal2 description:katanin p60 subunit A-like 2 [Source:MGI Symbol;Acc:MGI:1924234] MELSYQTLKLTHQAREAESVTDTQAESTDFGLNISKIHKDQPEEKAQPRRVSGAQGPRME RGTCLKKKENKAYSCCQTGKRRQKH >ENSMUSP00000118511.1 pep:known chromosome:GRCm38:18:76977157:77047243:-1 gene:ENSMUSG00000025420.13 transcript:ENSMUST00000137354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnal2 description:katanin p60 subunit A-like 2 [Source:MGI Symbol;Acc:MGI:1924234] MNSEMRELAAVVSRYPQLFTGILSPWKGLLLYGPPGTGKTLLAKAVATECKTTFFNISAS TIVSKWRGDSEKLVRVLFELARYHAPSTIFLDELESVMSQRGMVPGGEHEGSLRMKTELL VQMDGLARSEDLVFVLAASNLPWELDCAMLRRLEKRILVDLPSQEARQAMIYHWLPPVSK NHALELHTQLEYSVLSQETEGYSGSDIKLVCREAAMRPVRKIFSVLENNQSESNNLPGIQ LDTVTTQDFLDVLAHTKPSAKNLTERYLAWQEKFESV >ENSMUSP00000115411.1 pep:known chromosome:GRCm38:18:76977149:77047243:-1 gene:ENSMUSG00000025420.13 transcript:ENSMUST00000135029.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Katnal2 description:katanin p60 subunit A-like 2 [Source:MGI Symbol;Acc:MGI:1924234] MELSYQTLKLTHQAREAYEMRTEARRKNLLILILHYLTQEGYMDAAKALEEETKLGLRRF EVCDNVDLETILMEYESYYFVKFQKYPKVVKKAPDPVENNLPSRSGGKNKRLTNDSCQNL PKICHQKSRPKTSAVKTGDTKSVKEHLKQVKESVTDTQAESTDFGLNISKIHKDQPEEKA QPRRGQIIDFRGLLSDAIKGATSEFALNTFECNPDPSERLLKPLSAFIGMNSEMRELAAV VSRDIYLHNPNIKWNDIIGLDAAKQLVKEAVVYPIRYPQLFTGILSPWKGLLLYGPPGTG KTLLAKAVATECKTTFFNISASTIVSKWRGDSEKLVRVLFELARYHAPSTIFLDELESVM SQRGGNMKGAFG >ENSMUSP00000031866.5 pep:known chromosome:GRCm38:6:35508906:35539888:-1 gene:ENSMUSG00000029840.8 transcript:ENSMUST00000031866.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtpn description:myotrophin [Source:MGI Symbol;Acc:MGI:99445] MCDKEFMWALKNGDLDEVKDYVAKGEDVNRTLEGGRKPLHYAADCGQLEILEFLLLKGAD INAPDKHHITPLLSAVYEGHVSCVKLLLSKGADKTVKGPDGLTALEATDNQAIKALLQ >ENSMUSP00000144482.1 pep:known chromosome:GRCm38:6:35521929:35539799:-1 gene:ENSMUSG00000029840.8 transcript:ENSMUST00000201026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtpn description:myotrophin [Source:MGI Symbol;Acc:MGI:99445] MCDKEFMWALKNGDLDEGEDVNRTLEGGRKPLHYAADCGQLEILEFLLLKGADINAPDKH HITPLLSAVYEGH >ENSMUSP00000064261.2 pep:known chromosome:GRCm38:4:117159639:117182639:-1 gene:ENSMUSG00000028678.13 transcript:ENSMUST00000065896.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif2c description:kinesin family member 2C [Source:MGI Symbol;Acc:MGI:1921054] MESLHARLFPGLSINIQRSNGLIHPANISTVNVEKSCVSVEWIEGGTTKGKEIDIDDVAA INPELLQLLPLRPKDSLPLQENVTVPKQKRKSVNSKIPALKEGLRSRSTRMSTVSEVRIP AQENEMEVELPVPTNSRKQFAIPSHPRASCSTVTELPLLMVSEEAEEQAHSTRSTSSANP GNSVRRKSCIVKEMEKMKNKREEKRAQNSELRIKRAQEYDSSFPNWEFARMIKEFRVTME CSPLTVTDPIEEHRICVCVRKRPLNKQELAKKEIDVISVPSKCLLLVHEPKLKVDLTKYL ENQAFCFDFAFDETASNEVVYRFTARPLVQTIFEGGKATCFAYGQTGSGKTHTMGGDLSG KSQNASKGIYAMASRDVFLLKNQPRYRNLNLEVYVTFFEIYNGKVFDLLNKKAKLRVLED SRQQVQVVGLQEYLVTCADDVIKMINMGSACRTSGQTFANSNSSRSHACFQILLRTKGRL HGKFSLVDLAGNERGADTSSADRQTRMEGAEINKSLLALKECIRALGQNKAHTPFRESKL TQVLRDSFIGENSRTCMIAMISPGISSCEYTLNTLRYADRVKELSPHSGPSGEQPVQMET EVMEASSNGTSLTGNEEEELSSQMSSFNEAMTQIRELEERALEELREIIQQGPNWLELSE MTDQPDYDLETFVNKAESALTQQAKQAKHFSALREVIKALRLAMQLEEQASKQINSKKRH Q >ENSMUSP00000102044.1 pep:known chromosome:GRCm38:4:117160143:117178744:-1 gene:ENSMUSG00000028678.13 transcript:ENSMUST00000106436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif2c description:kinesin family member 2C [Source:MGI Symbol;Acc:MGI:1921054] MIDIDDVAAINPELLQLLPLRPKDSLPLQENVTVPKQKRKSVNSKIPALKEGLRSRSTRM STVSEVRIPAQENEMEVELPVPTNSRKQFAIPSHPRASCSTVTELPLLMVSEEAEEQAHS TRSTSSANPGNSVRRKSCIVKEMEKMKNKREEKRAQNSELRIKRAQEYDSSFPNWEFARM IKEFRVTMECSPLTVTDPIEEHRICVCVRKRPLNKQELAKKEIDVISVPSKCLLLVHEPK LKVDLTKYLENQAFCFDFAFDETASNEVVYRFTARPLVQTIFEGGKATCFAYGQTGSGKT HTMGGDLSGKSQNASKGIYAMASRDVFLLKNQPRYRNLNLEVYVTFFEIYNGKVFDLLNK KAKLRVLEDSRQQVQVVGLQEYLVTCADDVIKMINMGSACRTSGQTFANSNSSRSHACFQ ILLRTKGRLHGKFSLVDLAGNERGADTSSADRQTRMEGAEINKSLLALKECIRALGQNKA HTPFRESKLTQVLRDSFIGENSRTCMIAMISPGISSCEYTLNTLRYADRVKELSPHSGPS GEQPVQMETEVMEASSNGTSLTGNEEEELSSQMSSFNEAMTQIRELEERALEELREIIQQ GPNWLELSEMTDQPDYDLETFVNKAESALTQQAKQAKHFSALREVIKALRLAMQLEEQAS KQINSKKRHQ >ENSMUSP00000122655.1 pep:known chromosome:GRCm38:4:117174992:117178742:-1 gene:ENSMUSG00000028678.13 transcript:ENSMUST00000153953.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif2c description:kinesin family member 2C [Source:MGI Symbol;Acc:MGI:1921054] MIDIDDVAAINPELLQLLPLRPKDSLPLQENVTVPKQKRKSVNSKIPALKEGLRSRS >ENSMUSP00000074188.4 pep:known chromosome:GRCm38:2:60251993:60284488:-1 gene:ENSMUSG00000060703.12 transcript:ENSMUST00000074606.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd302 description:CD302 antigen [Source:MGI Symbol;Acc:MGI:1913455] MPHAALSSLVLLSLATAIVADCPSSTWVQFQGSCYAFLQVTINVENIEDVRKQCTDHGAD MVSIHNEEENAFILDTLQKRWKGPDDLLLGMFYDTDDATFKWYDHSNMTFDKWADQDGED LVDTCGFLYTKTGEWRKGDCEISSVEGTLCKAAIPYDKKYLSDNHILISTLVIASTVTLA VLGAIIWFLYRRNARSGFTSFSPAPLSPYSDGCALVVAEEDEYAVQLD >ENSMUSP00000028356.8 pep:known chromosome:GRCm38:2:60251993:60284418:-1 gene:ENSMUSG00000060703.12 transcript:ENSMUST00000028356.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd302 description:CD302 antigen [Source:MGI Symbol;Acc:MGI:1913455] MPHAALSSLVLLSLATAIVADCPSSTWVQFQGSCYAFLQVTINVENIEDVRKQCTDHGAD MVSIHNEEENAFILDTLQKRWKGPDDLLLGMFYDTDDATFKWYDHSNMTFDKWADQDGED LVDTCGFLYTKTGEWRKGDCEISSVEGTLCKAANNHILISTLVIASTVTLAVLGAIIWFL YRRNARSGFTSFSPAPLSPYSDGCALVVAEEDEYAVQLD >ENSMUSP00000000287.8 pep:known chromosome:GRCm38:11:88924020:88955465:-1 gene:ENSMUSG00000000278.10 transcript:ENSMUST00000000287.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scpep1 description:serine carboxypeptidase 1 [Source:MGI Symbol;Acc:MGI:1921867] MELSRRICLVRLWLLLLSFLLGFSAGSAIDWREPEGKEVWDYVTVRKDAHMFWWLYYATN PCKNFSELPLVMWLQGGPGGSSTGFGNFEEIGPLDTQLKPRNTTWLQWASLLFVDNPVGT GFSYVNTTDAYAKDLDTVASDMMVLLKSFFDCHKEFQTVPFYIFSESYGGKMAAGISVEL YKAVQQGTIKCNFSGVALGDSWISPVDSVLSWGPYLYSMSLLDNQGLAEVSDIAEQVLDA VNKGFYKEATQLWGKAEMIIEKNTDGVNFYNILTKSSPEKAMESSLEFLRSPLVRLCQRH VRHLQGDALSQLMNGPIKKKLKIIPEDISWGAQASYVFLSMEGDFMKPAIDVVDKLLAAG VNVTVYNGQLDLIVDTIGQESWVQKLKWPQLSKFNQLKWKALYTDPKSSETAAFVKSYEN LAFYWILKAGHMVPSDQGEMALKMMKLVTKQE >ENSMUSP00000141698.1 pep:known chromosome:GRCm38:1:193175453:193201334:-1 gene:ENSMUSG00000037318.10 transcript:ENSMUST00000192020.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3ip3 description:TRAF3 interacting protein 3 [Source:MGI Symbol;Acc:MGI:2441706] MISSDSRSSPGLARWAESYEAKCERRQETRENRRRRRNETTCRQPGKVLRTQHKERLQGA RQLQFLKRRNLEEEKKGQAREQGPSSKTDGGTGQVSILKESLPGANKASFPGQQETGISS EVFPALHHSSSGIQRDLGGHHASHGRAFPPQDSDIKKPHRQHRGTQTKAEEALPTIKNDA SQQTKCGVAVLDKDIIQLSEYLKEALHRELILKKKMVILQDLLPALIRASDSSWKGQLNE DKLKGKLRSLENQLYTCLQKHSPWGMKKVLLEMEDQRSSYEQKAKASLQKVLEEKMCAEQ QLQRAQLSLALAEQKCQEWKSQYEALKEDWRTLGDQHRELESQLHVLQSKLQGADSRDSQ MSQALQLLENEHQELQTKLESLQGDGEQQSSETQDLQDQLKKSEEEKQALVSKVQQLQSL LQNQSLQLQEQEKLLKKDQGLPVWNPKLSLDEVKPEGTRKEKEEELRDQLQKETFQLQVK EKELQCGQWLPVLMVVIATALAVFLANKGNLVI >ENSMUSP00000141661.1 pep:known chromosome:GRCm38:1:193175494:193201547:-1 gene:ENSMUSG00000037318.10 transcript:ENSMUST00000194278.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Traf3ip3 description:TRAF3 interacting protein 3 [Source:MGI Symbol;Acc:MGI:2441706] MISSDSRSSPGLARWAESYEAKCERRQETRENRRRRRNETTCRQPGKVLRTQHKERLQGA RQLQFLKRRNLEEEKKGQAREQGPSSKTDGGTGQVSILKESLPGANKASFPGQQETGISS EVFPALHHSSSGIQRDLGGHHASHGRAFPPQDSDIKKPHRQHRGTQTKAEEALPTIKNDA SQQTK >ENSMUSP00000040977.4 pep:known chromosome:GRCm38:1:193175504:193201547:-1 gene:ENSMUSG00000037318.10 transcript:ENSMUST00000043550.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3ip3 description:TRAF3 interacting protein 3 [Source:MGI Symbol;Acc:MGI:2441706] MISSDSRSSPGLARWAESYEAKCERRQETRENRRRRRNETTCRQPGKVLRTQHKERLQGA RQLQFLKRRNLEEEKKGQAREQGPSSKTDGGTGQVSILKESLPGANKASFPGQQETGISS EVFPALHHSSSGIQRDLGGHHASHGRAFPPQDSDIKKPHRQHRGTQTKAEEALPTIKNDA SQQTKCGVAVLDKDIIQLSEYLKEALHRELILKKKMVILQDLLPALIRASDSSWKGQLNE DKLKGKLRSLENQLYTCLQKHSPWGMKKVLLEMEDQRSSYEQKAKASLQKVLEEKMCAEQ QLQRAQLSLALAEQKCQEWKSQYEALKEDWRTLGDQHRELESQLHVLQSKLQGADSRDSQ MSQALQLLENEHQELQTKLESLQGDGEQQSSETQDLQDQLKKSEEEKQALVSKVQQLQSL LQNQSLQLQEQEKLLKKDQGLPVWNPKLSLDEVKPEGTRKEKEEELRDQLQKETFQLQVK EKELQCGQWLPVLMVVIATALAVFLANKGNLVI >ENSMUSP00000142321.1 pep:known chromosome:GRCm38:1:193179237:193184818:-1 gene:ENSMUSG00000037318.10 transcript:ENSMUST00000160302.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3ip3 description:TRAF3 interacting protein 3 [Source:MGI Symbol;Acc:MGI:2441706] XEQQLQRAQLSLALAEQKCQEWKSQYEALKEDWRTLGDQHRELESQLHVLQSKLQGADSR DSQMSQALQLLENEHQELQTKLESLQGDGEQQSSETQDLQDQLKKSEEEKQALVSKVQQL QSLLQNQSLQLQEQEKLLKKGQQISSH >ENSMUSP00000141669.1 pep:known chromosome:GRCm38:1:193184574:193194300:-1 gene:ENSMUSG00000037318.10 transcript:ENSMUST00000162480.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3ip3 description:TRAF3 interacting protein 3 [Source:MGI Symbol;Acc:MGI:2441706] XLLEEGQLNEDKLKGKLRSLENQLYTCLQKHSPWGMKKVLLEMEDQRSSYEQKAKASLQK VLEEKMCAEQQLQRAQVEMLCSSRGWGPGSSPPEQEG >ENSMUSP00000141938.1 pep:known chromosome:GRCm38:1:193184663:193187140:-1 gene:ENSMUSG00000037318.10 transcript:ENSMUST00000159666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3ip3 description:TRAF3 interacting protein 3 [Source:MGI Symbol;Acc:MGI:2441706] KLRSLENQLYTCLQQEP >ENSMUSP00000141358.1 pep:known chromosome:GRCm38:1:193194529:193197971:-1 gene:ENSMUSG00000037318.10 transcript:ENSMUST00000161367.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3ip3 description:TRAF3 interacting protein 3 [Source:MGI Symbol;Acc:MGI:2441706] ESYEAKCERRQETRENRRRRRNETTCRQPGKVLRTQHKERLQGARQLQFLKRRNLEEEKK GQAREQGPSSKTDGGTGQVSILKESLPGANKASFPGQQMWSCCPRQGHHSTLG >ENSMUSP00000069403.5 pep:known chromosome:GRCm38:6:72626378:72789254:-1 gene:ENSMUSG00000055799.13 transcript:ENSMUST00000069536.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l1 description:transcription factor 7 like 1 (T cell specific, HMG box) [Source:MGI Symbol;Acc:MGI:1202876] MPQLGGGRGGGAGGGGGGSGAGATSGGDDLGANDELIPFQDEGGEEQEPSSDSASAQRDL DEVKSSLVNESENQSSSSDSEAERRPQPARDAFQKPRDYFAEVRRPQDGAFFKGPAYPGY PFLMIPDLSSPYLSNGPLSPGGARTYLQMKWPLLDVPSSATVKDTRSPSPAHLSNKVPVV QHPHHMHPLTPLITYSNDHFSPASPPTHLSPEIDPKTGIPRPPHPSELSPYYPLSPGAVG QIPHPLGWLVPQQGQPMYSLPPGGFRHPYPALAMNASMSSLVSSRFPHMVAPAHPGLPTS GIPHPAIVSPIVKQEPAAPSLSPAVSAKSPVTVKKEEEKKPHVKKPLNAFMLYMKEMRAK VVAECTLKESAAINQILGRKWHNLSREEQAKYYELARKERQLHAQLYPTWSARDNYGKKK KRKREKQLSQTQSQQQIQEAEGALASKSKKPCIQYLPPEKPCDSPASSHGSTLDSPATPS AALASPAAPAATHSEQAQPLSLTTKPEARAQLALHSAAFLSAKAAASNSSQMGSQPPLLS RPLPLGSMPAALLTSPPTFPATLHAHQALPVLQAQPLSLVTKSAH >ENSMUSP00000109687.1 pep:known chromosome:GRCm38:6:72627272:72788952:-1 gene:ENSMUSG00000055799.13 transcript:ENSMUST00000114053.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l1 description:transcription factor 7 like 1 (T cell specific, HMG box) [Source:MGI Symbol;Acc:MGI:1202876] MPQLGGGRGGGAGGGGGGSGAGATSGGDDLGANDELIPFQDEGGEEQEPSSDSASAQRDL DEVKSSLVNESENQSSSSDSEAERRPQPARDAFQKPRDYFAEVRRPQDGAFFKGPAYPGY PFLMIPDLSSPYLSNGPLSPGGARTYLQMKWPLLDVPSSATVKDTRSPSPAHLYGDPARW MVPPTFRSNKVPVVQHPHHMHPLTPLITYSNDHFSPASPPTHLSPEIDPKTGIPRPPHPS ELSPYYPLSPGAVGQIPHPLGWLVPQQGQPMYSLPPGGFRHPYPALAMNASMSSLVSSRF PHMVAPAHPGLPTSGIPHPAIVSPIVKQEPAAPSLSPAVSAKSPVTVKKEEEKKPHVKKP LNAFMLYMKEMRAKVVAECTLKESAAINQILGRKWHNLSREEQAKYYELARKERQLHAQL YPTWSARDNYGKKKKRKREKQLSQTQSQQQIQEAEGALASKSKKPCIQYLPPEKPCDSPA SSHGSTLDSPATPSAALASPAAPAATHSEQAQPLSLTTKPEARAQLALHSAAFLSAKAAA SNSSQMGSQPPLLSRPLPLGSMPAALLTSPPTFPATLHAHQALPVLQAQPLSLVTKSAH >ENSMUSP00000115060.2 pep:known chromosome:GRCm38:6:72632976:72788598:-1 gene:ENSMUSG00000055799.13 transcript:ENSMUST00000149446.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l1 description:transcription factor 7 like 1 (T cell specific, HMG box) [Source:MGI Symbol;Acc:MGI:1202876] DAFQKPRDYFAEVRRPQDGAFFKGPAYPGYPFLMIPDLSSPYLSNGPLSPGGARTYLQMK WPLLDVPSSATVKDTRSPSPAHLSNKVPVVQHPHHMHPLTPLITYSNDHFSPASPPTHLS PEIDPKTGAVGQIPHPLGWLVPQQGQPMYSLPPG >ENSMUSP00000141024.1 pep:known chromosome:GRCm38:1:90998737:91108640:1 gene:ENSMUSG00000026305.15 transcript:ENSMUST00000189505.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip1 description:leucine rich repeat (in FLII) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1342770] MDMGTQGSGRKRLPNRERLTAEDDALNQIAREAEARLAAKRAARAEAREIRMKELERQQK EIYQVQKKYYGLDTKWGDIEQWMEDSERYSRRFRRNTSASDEDERLSVGSRGSLRTNGYD GDYCGSQSLSRRSGRPSEYGSHLNSSSRASSRASSARASPVVEERPDKDFAEKGSRNMPS LSAATLASLGGTSSRRGSGDTSISMDTEASIREIKDSLAEVEEKYKKAMVSNAQLDNEKT NFMYQVDTLKDMLLELEEQLAESQRQYEEKNKEFEREKHAHSILQFQFAEVKEALRQREE MLEEIRQLQQKQAGFIREISDLQ >ENSMUSP00000139497.1 pep:known chromosome:GRCm38:1:90998777:91122539:1 gene:ENSMUSG00000026305.15 transcript:ENSMUST00000185531.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip1 description:leucine rich repeat (in FLII) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1342770] MDMGTQGSGRKRLPNRERLTAEDDALNQIAREAEARLAAKRAARAEAREIRMKELERQQK EIYQVQKKYYGLDTKWGDIEQWMEDSERYSRRFRRNTSASDEDERLSVGSRGSLRAQPEL EYGSPYAWTNGYDGDYCGSQSLSRRSGRNSSFSGGDGRVSTLSSCREEKLGLSCSNLGLP SSGLASKPLSTQNGSRASMLDESSLYGARRGSACGSRAPSEYGSHLNSSSRASSRASSAR ASPVVEERPDKDFAEKGSRNMPSLSAATLASLGGTSSRRGSGDTSISMDTEASIREIKEL NELKDQIQDVEGKYMQGLKEMKDSLAEVEEKYKKAMVSNAQLDNEKTNFMYQVDTLKDML LELEEQLAESQRQYEEKNKEFEREKHAHSILQFQFAEVKEALRQREEMLEEIRQLQQKQA GFIREISDLQETIEWKDKKIGALERQKEFFDSIRSERDDLREETVKLKEELKKHGIILNS EIATNGETSDTVNDVGYQAPTKITKEELNALKSAGEGTLDVRLKKLIDERECLLEQIKKL KGQLEGRQKNNKLDLLRAEDGILENGTDAHVMDLQ >ENSMUSP00000065850.6 pep:known chromosome:GRCm38:1:90998779:91128940:1 gene:ENSMUSG00000026305.15 transcript:ENSMUST00000068116.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip1 description:leucine rich repeat (in FLII) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1342770] MDMGTQGSGRKRLPNRERLTAEDDALNQIAREAEARLAAKRAARAEAREIRMKELERQQK EVEERPDKDFAEKGSRNMPSLSAATLASLGGTSSRRGSGDTSISMDTEASIREIKELNEL KDQIQDVEGKYMQGLKEMKDSLAEVEEKYKKAMVSNAQLDNEKTNFMYQVDTLKDMLLEL EEQLAESQRQYEEKNKEFEREKHAHSILQFQFAEVKEALRQREEMLEKHGIILNSEIATN GETSDTVNDVGYQAPTKITKEELNALKSAGEGTLDVRLKKLIDERECLLEQIKKLKGQLE GRQKNNKLDLLRAEDGILENGTDAHVMDLQRDANRQISDLKFKLAKSEQEITALEQNVIR LESQVTRYRSAAENAEKIEDELKAEKRKLQRELRSALDKTEELEVSNGHLVKRLEKMKAN RSALLSQQ >ENSMUSP00000095254.3 pep:known chromosome:GRCm38:1:91053454:91117315:1 gene:ENSMUSG00000026305.15 transcript:ENSMUST00000097649.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip1 description:leucine rich repeat (in FLII) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1342770] MTSPEGAQNKEIDCLSPEAQRLAEARLAAKRAARAEAREIRMKELERQQKEVEERPDKDF AEKGSRNMPSLSAATLASLGGTSSRRGSGDTSISMDTEASIREIKDSLAEVEEKYKKAMV SNAQLDNEKTNFMYQVDTLKDMLLELEEQLAESQRQYEEKNKEFEREKHAHSILQFQFAE VKEALRQREEMLEKHGIILNSEIATNGETSDTVNDVGYQAPTKITKEELNALKSAGEGTL GKAKEVEVKKEIVEKVGQRETLQNSEQEQPKPNTGKDCVDRGVSHPGEKAENQRPAEDSA LSPGPLAGAKCEQQVQSQDQENTSDLKNSEQIESHKVTNKSDSRASNSPEQSSCLEGLDS EVPGPTEDLKTDLGKGSFEPCPDYILGQTAEIDKVTCTDSRGTGGNQREDEVQAGDTTVE DQVGTVASGPAKQSKGTENHGESCLKDGLGQSSERELTQEVAEPEEAIVQIPQAGGENTI TKADDAEGRDEKPIQAEAQASPGAPINQSGHQDTTGPGSTDAQRTPPHAKERKKQGKSEQ QAEALDSPQKKTKNKKKKNKKKKAATPAETCRDANEELNCQDPDVGDMEEEERLQVTDKK QASGSPEQKIRAGSREPVEDPQSGSSGKQNKVEEDGPTEGPTDILDQNSPQCEDREISPV GEKGPQCDTSQIGSEEGHVTSQHGGQAVENHNLDNSDLSGQLEGFNSESGGQAREEVGNS KSKEDCTMS >ENSMUSP00000139902.1 pep:known chromosome:GRCm38:1:91053507:91100581:1 gene:ENSMUSG00000026305.15 transcript:ENSMUST00000186762.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip1 description:leucine rich repeat (in FLII) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1342770] MTSPEGAQNKEIDCLSPEAQRLAEARLAAKRAARAEAREIRMKELERQQKETNGYDGDYC GSQSLSRRSGRPSEYGSHLNSSSRASSRASSARASPVV >ENSMUSP00000095255.3 pep:known chromosome:GRCm38:1:91053507:91128918:1 gene:ENSMUSG00000026305.15 transcript:ENSMUST00000097650.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip1 description:leucine rich repeat (in FLII) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1342770] MTSPEGAQNKEIDCLSPEAQRLAEARLAAKRAARAEAREIRMKELERQQKEIYQVQKKYY GLDTKWGDIEQWMEDSERYSRRFRRNTSASDEDERLSVGSRGSLRTNGYDGDYCGSQSLS RRSGRGLSCSNLGLPSSGLASKPLSTQNGSRASMLDESSLYGARRGSACGSRAPSEYGSH LNSSSRASSRASSARASPVVEERPDKDFAEKGSRNMPSLSAATLASLGGTSSRRGSGDTS ISMDTEASIREIKELNELKDQIQDVEGKYMQGLKEMKDSLAEVEEKYKKAMVSNAQLDNE KTNFMYQVDTLKDMLLELEEQLAESQRQYEEKNKEFEREKHAHSILQFQFAEVKEALRQR EEMLEEIRQLQQKQAGFIREISDLQETIEWKDKKIGALERQKEFFDSIRSERDDLREETV KLKEELKKHGIILNSEIATNGETSDTVNDVGYQAPTKITKEELNALKSAGEGTLDVRLKK LIDERECLLEQIKKLKGQLEGRQKNNKLDLLRAEDGILENGTDAHVMDLQRDANRQISDL KFKLAKSEQEITALEQNVIRLESQVTRYRSAAENAEKIEDELKAEKRKLQRELRSALDKT EELEVSNGHLVKRLEKMKANRSALLSQQ >ENSMUSP00000139811.1 pep:known chromosome:GRCm38:1:91053586:91128287:1 gene:ENSMUSG00000026305.15 transcript:ENSMUST00000189617.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip1 description:leucine rich repeat (in FLII) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1342770] MTSPEGAQNKEIDCLSPEAQRLAEARLAAKRAARAEAREIRMKELERQQKEIYQVQKKYY GLDTKWGDIEQWMEDSERYSRRFRRNTSASDEDERLSVGSRGSLRAQPELEYGSPYAWTN GYDGDYCGSQSLSRRSGRNSSFSGGDGRVSTLSSCREEKLGLSCSNLGLPSSGLASKPLS TQNGSRASMLDESSLYGARRGSACGSRAPSEYGSHLNSSSRASSRASSARASPVVEERPD KDFAEKGSRNMPSLSAATLASLGGTSSRRGSGDTSISMDTEASIREIKELNELKDQIQDV EGKYMQGLKEMKDSLAEVEEKYKKAMVSNAQLDNEKTNFMYQVDTLKDMLLELEEQLAES QRQYEEKNKEFEREKHAHSILQFQFAEVKEALRQREEMLEEIRQLQQKQAGFIREISDLQ ETIEWKDKKIGALERQKEFFDSIRSERDDLREETVKLKEELKKHGIILNSEIATNGETSD TVNDVGYQAPTKITKEELNALKSAGEGTLDVRLKKLIDERECLLEQIKKLKGQLEGRQKN NKLDLLRAEDGILENGTDAHVMDLQRDANRQISDLKFKLAKSEQEITALEQNVIRLESQV TRYRSAAENAEKIEDELKAEKRKLQRELRSALDKTEELEVSNGHLVKRLEKMKANRSALL SQQ >ENSMUSP00000063878.6 pep:known chromosome:GRCm38:1:91053444:91128944:1 gene:ENSMUSG00000026305.15 transcript:ENSMUST00000068167.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip1 description:leucine rich repeat (in FLII) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1342770] MTSPEGAQNKEIDCLSPEAQRLAEARLAAKRAARAEAREIRMKELERQQKEVMGAETKYY GLDTKWGDIEQWMEDSERYSRRFRRNTSASDEDERLSVGSRGSLRTNGYDGDYCGSQSLS RRSGRGLSCSNLGLPSSGLASKPLSTQNGSRASMLDESSLYGARRGSACGSRAPSEYGSH LNSSSRASSRASSARASPVVEERPDKDFAEKGSRNMPSLSAATLASLGGTSSRRGSGDTS ISMDTEASIREIKELNELKDQIQDVEGKYMQGLKEMKDSLAEVEEKYKKAMVSNAQLDNE KTNFMYQVDTLKDMLLELEEQLAESQRQYEEKNKEFEREKHAHSILQFQFAEVKEALRQR EEMLEEIRQLQQKQAGFIREISDLQETIEWKDKKIGALERQKEFFDSIRSERDDLREETV KLKEELKKHGIILNSEIATNGETSDTVNDVGYQAPTKITKEELNALKSAGEGTLDVRLKK LIDERECLLEQIKKLKGQLEGRQKNNKLDLLRAEDGILENGTDAHVMDLQRDANRQISDL KFKLAKSEQEITALEQNVIRLESQVTRYRSAAENAEKIEDELKAEKRKLQRELRSALDKT EELEVSNGHLVKRLEKMKANRSALLSQQ >ENSMUSP00000108568.1 pep:known chromosome:GRCm38:6:115729131:115759271:-1 gene:ENSMUSG00000059900.14 transcript:ENSMUST00000112946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem40 description:transmembrane protein 40 [Source:MGI Symbol;Acc:MGI:2137870] MVAPPSAVTVPWPLLPQPGHIHSQHTAHLAAHMSPGTPGKVMEASGSSSQSQDSGGVHRE TEDHYQETELHKHHGKARERYKRDKSSSSSSSSSSSSSSSSSSSSSSSDSSDEDQPSRGP RKHRRRPRRDSLRGADHGELEVLKDELQLCGGAAGEMVPTGESGLRRRGSGSAEGEVEAS QLRRLNIKKDDEFFHFVLLCFAIGALLVCYHYYADWFMSLGVGLLTFASLETIGIYFGLV YRIHSVLQGFIPLLQKFRLPGFRRTN >ENSMUSP00000130407.1 pep:known chromosome:GRCm38:6:115729571:115762410:-1 gene:ENSMUSG00000059900.14 transcript:ENSMUST00000170625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem40 description:transmembrane protein 40 [Source:MGI Symbol;Acc:MGI:2137870] MEASGSSSQSQDSGGVHRETEDHYQETELHKHHGKARERYKRDKSSSSSSSSSSSSSSSS SSSSSSSGADHGELEVLKDELQLCGGAAGEMVPTGESGLRRRGSGSAEGEVEASQLRRLN IKKDDEFFHFVLLCFAIGALLVCYHYYADWFMSLGVGLLTFASLETIGIYFGLVYRIHSV LQGFIPLLQKFRLPGFRRTN >ENSMUSP00000131697.1 pep:known chromosome:GRCm38:6:115729633:115762365:-1 gene:ENSMUSG00000059900.14 transcript:ENSMUST00000166254.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem40 description:transmembrane protein 40 [Source:MGI Symbol;Acc:MGI:2137870] MEASGSSSQSQDSGGVHRETEDHYQETELHKHHGKARERYKRDKSSSSSSSSSSSSSSSS SSSSSSSDSSDEDQPSRGPRKHRRRPRRDSLRGADHGELEVLKDELQLCGGAAGEMVPTG ESGLRRRGSGSAEGEVEASQLRRLNIKKDDEFFHFVLLCFAIGALLVCYHYYADWFMSLG VGLLTFASLETIGIYFGLVYRIHSVLQGFIPLLQKFRLPGFRRTN >ENSMUSP00000072704.6 pep:known chromosome:GRCm38:6:115729141:115758999:-1 gene:ENSMUSG00000059900.14 transcript:ENSMUST00000072933.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem40 description:transmembrane protein 40 [Source:MGI Symbol;Acc:MGI:2137870] MEASGSSSQSQDSGGVHRETEDHYQETELHKHHGKARERYKRDKSSSSSSSSSSSSSSSS SSSSSSSDSSDEDQPSRGPRKHRRRPRRDSLRGADHGELEVLKDELQLCGGAAGEMVPTG ESGLRRRGSGSAEGEVEASQLRRLNIKKDDEFFHFVLLCFAIGALLVCYHYYADWFMSLG VGLLTFASLETIGIYFGLVYRIHSVLQGFIPLLQKFRLPGFRRTN >ENSMUSP00000021242.4 pep:known chromosome:GRCm38:11:95261529:95269265:1 gene:ENSMUSG00000020872.4 transcript:ENSMUST00000021242.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tac4 description:tachykinin 4 [Source:MGI Symbol;Acc:MGI:1931130] MLPLLALLLLIGPSVCTTAGDREELAFGAEAESWVTVNLKGIPVPSIELKLQELKRSRTR QFYGLMGKRVGGYQLGRIVQDLLGTRGLSIEGTCRQAASQQRARPGAVTRESLQSREEDE APLTTSNV >ENSMUSP00000113699.1 pep:known chromosome:GRCm38:5:76312115:76331133:-1 gene:ENSMUSG00000029235.14 transcript:ENSMUST00000122213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcl2 description:phosducin-like 2 [Source:MGI Symbol;Acc:MGI:1890655] MTLAQLKEAEDEFDEEDIKAIEIYREKRLQEWKALKKKQKFGELREISGNQYVNEVTNAE KDLWVVIHLYRSSVPMCLVVNQHLSVLARKFPETKFVKAIVNSCIEHYHDNCLPTIFVYK NGQIEGKFIGIIECGGINLKLEELEWKLSEVGAIQSDLEENPKKGIADMMVSSIRNISIY DSDSSGSDTEAK >ENSMUSP00000031145.6 pep:known chromosome:GRCm38:5:76312127:76331156:-1 gene:ENSMUSG00000029235.14 transcript:ENSMUST00000031145.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcl2 description:phosducin-like 2 [Source:MGI Symbol;Acc:MGI:1890655] MQDPNEDTEWNEILRNFGILPPKEEPKDEIEEMVLRLQQEAMVKPYEKMTLAQLKEAEDE FDEEDIKAIEIYREKRLQEWKALKKKQKFGELREISGNQYVNEVTNAEKDLWVVIHLYRS SVPMCLVVNQHLSVLARKFPETKFVKAIVNSCIEHYHDNCLPTIFVYKNGQIEGKFIGII ECGGINLKLEELEWKLSEVGAIQSDLEENPKKGIADMMVSSIRNISIYDSDSSGSDTEAK >ENSMUSP00000026607.8 pep:known chromosome:GRCm38:X:113040593:113185517:-1 gene:ENSMUSG00000025531.14 transcript:ENSMUST00000026607.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chm description:choroidermia (RAB escort protein 1) [Source:MGI Symbol;Acc:MGI:892979] MADNLPSDFDVIVIGTGLPESIIAAACSRSGQRVLHVDSRSYYGGNWASFSFSGLLSWLK EYQENSDVVTENSMWQEQILENEEAILLSSKDKTIQHVEVFCYASQDLHKDVEEAGALQK NPASVMSAQATEAAEAAEAAEAAEATEAAEAACLPTAEESLSTRSCELPAEQSQCTGPES SPQVNDAEVGEKETQSDAKSSTEQSSEILPKVQDNTETPKRNVITYSQIIKEGRRFNIDL VSKLLYSRGLLIDLLIKSNVSRYAEFKNITRILAFREGTVEQVPCSRADVFNSKQLTMVE KRMLMKFLTFCVEYEDHPDEYKAYEETTFSEYLKTQKLTPNLQYFVLHSIAMTSETTSST VDGLKATKKFLQCLGRYGNTPFLFPLYGQGELPQCFCRMCAVFGGIYCLRHSVQCLVVDK ESRKCKAIVDQFGQRIISKHFVIEDSYLSENTCSGVQYRQISRAVLITDGSVLKPDSDQQ VSILTVPAEESGSFAVRVIELCSSTMTCMKGTYLVHLTCMSSKTAREDLERVVQKLFTPY TEIEAENEQVEKPRILWALYFNMRDSSDISRDCYNDLPSNVYVCSGPDCNLGNDNAVQQA ETVFQKICPNEDFCPAPPNPEDIILDGDSSQQEVSESSVIPETNSETPKESTVLGDSEEP SE >ENSMUSP00000109015.2 pep:known chromosome:GRCm38:X:113040595:113185517:-1 gene:ENSMUSG00000025531.14 transcript:ENSMUST00000113388.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chm description:choroidermia (RAB escort protein 1) [Source:MGI Symbol;Acc:MGI:892979] MADNLPSDFDVIVIGTGLPESIIAAACSRSGQRVLHVDSRSYYGGNWASFSFSGLLSWLK EYQENSDVVTENSMWQEQILENEEAILLSSKDKTIQHVEVFCYASQDLHKDVEEAGALQK NPASVMSAQATEAAEAAEAAEAAEATEAAEAACLPTAEESLSTRSCELPAEQSQCTGPES SPQVNDAEVGEKETQSDAKSSTEQSSEILPKVQDNTETPKRNVITYSQIIKEGRRFNIDL VSKVPCSRADVFNSKQLTMVEKRMLMKFLTFCVEYEDHPDEYKAYEETTFSEYLKTQKLT PNLQYFVLHSIAMTSETTSSTVDGLKATKKFLQCLGRYGNTPFLFPLYGQGELPQCFCRM CAVFGGIYCLRHSVQCLVVDKESRKCKAIVDQFGQRIISKHFVIEDSYLSENTCSGVQYR QISRAVLITDGSVLKPDSDQQVSILTVPAEESGSFAVRVIELCSSTMTCMKGTYLVHLTC MSSKTAREDLERVVQKLFTPYTEIEAENEQVEKPRILWALYFNMRDSSDISRDCYNDLPS NVYVCSGPDCNLGNDNAVQQAETVFQKICPNEDFCPAPPNPEDIILDGDSSQQEVSESSV IPETNSETPKESTVLGDSEEPSE >ENSMUSP00000002790.7 pep:known chromosome:GRCm38:2:166906059:166946389:1 gene:ENSMUSG00000002718.14 transcript:ENSMUST00000002790.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cse1l description:chromosome segregation 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1339951] MELSDANLQTLTEYLKKTLDPDPAIRRPAEKFLESVEGNQNYPLLLLTLLEKSQDNVIKV CASVTFKNYIKRNWRIVEDEPNKICEADRVAIKANIVHLMLSSPEQIQKQLSDAISIIGR EDFPQKWPDLLTEMVNRFQSGDFHVINGVLRTAHSLFKRYRHEFKSNELWTEIKLVLDAF ALPLTNLFKATIELCSTHANDASALRILFSSLILISKLFYSLNFQDLPEFFEDNMETWMN NFHTLLTLDNKLLQTDDEEEAGLLELLKSQICDNAALYAQKYDEEFQRYLPRFVTAIWNL LVTTGREVKYDLLVSNAIQFLASVCERPHYKNLFEDQNTLTSICEKVIVPNMEFRAADEE AFEDNSEEYIRRDLEGSDIDTRRRAACDLVRGLCKFFEGPVTGIFSGYVNSMLQEYAKNP SVNWKHKDAAIYLVTSLASKAQTQKHGITQANELVNLTEFFVNHILPDLKSNNVNEFPVL KADGIKYIMIFRNQVPKEHLLVSIPLLISHLEAESIVVHTYAAHALERLFTMRGSNNTTL FTAAEIAPFVEILLTNLFKALTLPGSSENEYIMKAIMRSFSLLQEAIIPYIPTLITQLTQ KLLAVSKNPSKPHFNHYMFEAICLSIRITCKANPAAVVNFEEALFLVFTEILQNDVQEFI PYVFQVMSLLLETHKNDIPSSYMALFPHLLQPVLWERTGNIPALVRLLQAFLERGSSTIA TAAADKIPGLLGVFQKLIASKANDHQGFYLLNSIIEHMPPESVDQYRKQIFILLFQRLQN SKTTKFIKSFLVFINLYCIKYGALALQEIFDGIQPKMFGMVLEKIIIPEIQKVSGNVEKK ICAVGITKLLTECPPMMDTEYTKLWTPLLQSLIGLFELPEDDSIPDEEHFIDIEDTPGYQ TAFSQLAFAGKKEHDPVGQMVNNPKIHLAQSLHKLSTACPGRVPSMVSTSLNAEALQYLQ GYLQAASVTLL >ENSMUSP00000128376.1 pep:known chromosome:GRCm38:2:166906111:166946101:1 gene:ENSMUSG00000002718.14 transcript:ENSMUST00000169290.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cse1l description:chromosome segregation 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1339951] MELSDANLQTLTEYLKKTLDPDPAIRRPAEKFLESVEGNQNYPLLLLTLLEKSQDNVIKV CASVTFKNYIKRNWRIVEDEPNKICEADRVAIKANIVHLMLSSPEQIQKQLSDAISIIGR EDFPQKWPDLLTEMVNRFQSGDFHVINGVLRTAHSLFKRYRHEFKSNELWTEIKLVLDAF ALPLTNLFKATIELCSTHANDASALRILFSSLILISKLFYSLNFQDLPEFFEDNMETWMN NFHTLLTLDNKLLQTDDEEEAGLLELLKSQICDNAALYAQKYDEEFQRYLPRFVTAIWNL LVTTGREVKYDLLVSNAIQFLASVCERPHYKNLFEDQNTLTSICEKVIVPNMEFRAADEE AFEDNSEEYIRRDLEGSGVCKKPVCQLETQRCSYLPCHIFGIKSPDTEAWNHASQ >ENSMUSP00000129983.1 pep:known chromosome:GRCm38:2:166915180:166945793:1 gene:ENSMUSG00000002718.14 transcript:ENSMUST00000168599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cse1l description:chromosome segregation 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1339951] MELSDANLQTLTEYLKKTLDPDPAIRRPAEKFLESVEGNQNYPLLLLTLLEKSQDNVIKV CASVTFKNYIKRNWRIVEDEPNKICEADRVAIKANIVHLMLSSPEQIQKQLSDAISIIGR EDFPQKWPDLLTEMVNRFQSGDFHVINGVLRTAHSLFKRYRHEFKSNELWTEIKLVLDAF ALPLTNLFKATIELCSTHANDASALRILFSSLILISKLFYSLNFQDLPEFFEDNMETWMN NFHTLLTLDNKLLQTDLVSNAIQFLASVCERPHYKNLFEDQNTLTSICEKVIVPNMEFRA ADEEAFEDNSEEYIRRDLEGSDIDTRRRAACDLVRGLCKFFEGPVTGIFSGYVNSMLQEY AKNPSVNWKHKDAAIYLVTSLASKAQTQKHGITQANELVNLTEFFVNHILPDLKSNNVNE FPVLKADGIKYIMIFRNQVPKEHLLVSIPLLISHLEAESIVVHTYAAHALERLFTMRGSN NTTLFTAAEIAPFVEILLTNLFKALTLPGSSENEYIMKAIMRSFSLLQEAIIPYIPTLIT QLTQKLLAVSKNPSKPHFNHYMFEAICLSIRITCKANPAAVVNFEEALFLVFTEILQNDV QEFIPYVFQVMSLLLETHKNDIPSSYMALFPHLLQPVLWERTGNIPALVRLLQAFLERGS STIATAAADKIPGLLGVFQKLIASKANDHQGFYLLNSIIEHMPPESVDQYRKQIFILLFQ RLQNSKTTKFIKSFLVFINLYCIKYGALALQEIFDGIQPKMFGMVLEKIIIPEIQKVSGN VEKKICAVGITKLLTECPPMMDTEYTKLWTPLLQSLIGLFELPEDDSIPDEEHFIDIEDT PGYQTAFSQLAFAGKKEHDPVGQMVNNPKIHLAQSLHKLSTACPGRVPSMVSTSLNAEAL QYLQGYLQAASVTLL >ENSMUSP00000126757.1 pep:known chromosome:GRCm38:2:166927530:166945808:1 gene:ENSMUSG00000002718.14 transcript:ENSMUST00000163437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cse1l description:chromosome segregation 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1339951] FQRYLPRFVTAIWNLLVTTGREVKYDLLVSNAIQFLASVCERPHYKNLFEDQNTLTSICE KVIVPNMEFRAADEEAFEDNSEEYIRRDLEGSDIDTRRRAACDLVRGLCKFFEGPVTGIF SGYVNSMLQEYAKNPSVNWKHKDAAIYLVTSLASKAQTQKHGITQANELVNLTEFFVNHI LPDLKSNNVNEFPVLKADGIKYIMIFRNQVPKEHLLVSIPLLISHLEAETAEIAPFVEIL LTNLFKALTLPGSSENEYIMKAIMRSFSLLQEAIIPYIPTLITQLTQKLLAVSKNPSKPH FNHYMFEAICLSIRITCKANPAAVVNFEEALFLVFTEILQNDVQEFIPYVFQVMSLLLET HKNDIPSSYMALFPHLLQPVLWERTGNIPALVRLLQAFLERGSSTIATAAADKIPGLLGV FQKLIASKANDHQGFYLLNSIIEHMPPESVDQYRKQIFILLFQRLQNSKTTKFIKSFLVF INLYCIKYGALALQEIFDGIQPKMFGMVLEKIIIPEIQKVSGNVEKKICAVGITKLLTEC PPMMDTEYTKLWTPLLQSLIGLFELPEDDSIPDEEHFIDIEDTPGYQTAFSQLAFAGKKE HDPVGQMVNNPKIHLAQSLHKLSTACPGRVPSMVSTSLNAEALQYLQGYLQAASVTLL >ENSMUSP00000128515.1 pep:known chromosome:GRCm38:2:166934782:166941454:1 gene:ENSMUSG00000002718.14 transcript:ENSMUST00000164974.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cse1l description:chromosome segregation 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1339951] XPLLISHLEAESIVVHTYAAHALERLFTMRGSNNTTLFTAAEIAPFVEILLTNLFKALTL PGSSENEYIMKESQ >ENSMUSP00000124357.1 pep:known chromosome:GRCm38:6:82621852:82652870:-1 gene:ENSMUSG00000030042.15 transcript:ENSMUST00000160281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pole4 description:polymerase (DNA-directed), epsilon 4 (p12 subunit) [Source:MGI Symbol;Acc:MGI:1914229] MAAAAAAGSGTPREEEAPGGEAAASQAQAPTSAPGGVRLSRLPLARVKALVKADPDVTLA GQEAIFILARAAELFVETIAKDAYCCAQQGKRKTLQRRDLDNAIEAVDEFAFLEGLFQR >ENSMUSP00000093462.5 pep:known chromosome:GRCm38:6:82646701:82652929:-1 gene:ENSMUSG00000030042.15 transcript:ENSMUST00000095786.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pole4 description:polymerase (DNA-directed), epsilon 4 (p12 subunit) [Source:MGI Symbol;Acc:MGI:1914229] MAAAAAAGSGTPREEEAPGGEAAASQAQAPTSAPGGVRLSRLPLARVKALVKADPDVTLA GQEAIFILARAAELFVETIAKDAYCCAQQGKRKTLQRRDLDNAIEAVDEFAFLEGTLD >ENSMUSP00000034599.8 pep:known chromosome:GRCm38:9:45172726:45204092:-1 gene:ENSMUSG00000032091.14 transcript:ENSMUST00000034599.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss4 description:transmembrane protease, serine 4 [Source:MGI Symbol;Acc:MGI:2384877] MESDSGQPLNNRDIVPFRKPRRPQETFKKVGIPIIAVLLSLIALVIVALLIKVILDKYYF ICGSPLTFIQRGQLCDGHLDCASGEDEEHCVKDFPEKPGVAVRLSKDRSTLQVLDAATGT WASVCFDNFTEALAKTACRQMGYDSQPAFRAVEIRPDQNLPVAQVTGNSQELQVQNGSRS CLSGSLVSLRCLDCGKSLKTPRVVGGVEAPVDSWPWQVSIQYNKQHVCGGSILDPHWILT AAHCFRKYLDVSSWKVRAGSNILGNSPSLPVAKIFIAEPNPLYPKEKDIALVKLQMPLTF SGSVRPICLPFSDEVLVPATPVWVIGWGFTEENGGKMSDMLLQASVQVIDSTRCNAEDAY EGEVTAEMLCAGTPQGGKDTCQGDSGGPLMYHSDKWQVVGIVSWGHGCGGPSTPGVYTKV TAYLNWIYNVRKSEM >ENSMUSP00000131933.1 pep:known chromosome:GRCm38:9:45172727:45204090:-1 gene:ENSMUSG00000032091.14 transcript:ENSMUST00000170069.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmprss4 description:transmembrane protease, serine 4 [Source:MGI Symbol;Acc:MGI:2384877] MESDSGQPLNNRDIVPFRKPRRPQETFKKSPDLHSEGPVV >ENSMUSP00000131890.1 pep:known chromosome:GRCm38:9:45173305:45203976:-1 gene:ENSMUSG00000032091.14 transcript:ENSMUST00000165263.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmprss4 description:transmembrane protease, serine 4 [Source:MGI Symbol;Acc:MGI:2384877] MESDSGQPLNNRDIVPFRKPRRPQETFKKVGIPIIAVLLSLIALVIVALLIKVILDKYYF ICGSPLTFIQRGQLCDGHLDCASGEDEEHCVKDFPEKPGVAVRLSKDRSTLQVLDAATGT WASVCFDNFTEALAKTACRQMGYDSQPAFRAVEIRPDQNLPVAQVTGNSQELQVQNGSRS CLSGSLVSLRCLDCGKSLKTPRVVGGVEAPVDSWPWQVSIQYNKQHVCGGSILDPHWILT AAHCFRKYLDVSSWKVRAGSNILGNSPSLPVAKIFIAEPNPLYPKEKDIALVKLQMPLTF SGSVRPICLPFSDEVLVPATPVWVIGWGFTEENGG >ENSMUSP00000103048.1 pep:known chromosome:GRCm38:7:78895927:78911209:1 gene:ENSMUSG00000030609.18 transcript:ENSMUST00000107425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aen description:apoptosis enhancing nuclease [Source:MGI Symbol;Acc:MGI:1915298] MVAGEVPESTQCPSLTSLNTKDVVRRRHKRRSRQHQRFMARKALLQEQELLSTAPGPGLC LLPSPSQMPAVTEASDSRRQRPKARSGSNGLCSKKSVPREAPRPGPIKCVAIDCEMVGTG PQGRVSELARCSVVSYSGDVLYDKYIRPEMPIVDYRTRWSGITRQHMHKAIPFQVAQKEI LKLLKGKVVVGHALHNDFQALKYVHPRSQTRDTTYVPNLLSQPSSLIRTRVSLKDLALNL LHKKIQVGHQGHSSVEDAMTAMELYQLVEVQWEQQVASSAQAPAEDRGPDSSTDVEQYMD DQYWPEDLTQSTGAETNGGPDRQEGEEGQGARSAPP >ENSMUSP00000103044.1 pep:known chromosome:GRCm38:7:78895934:78908381:1 gene:ENSMUSG00000030609.18 transcript:ENSMUST00000107421.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aen description:apoptosis enhancing nuclease [Source:MGI Symbol;Acc:MGI:1915298] MARKALLQEQELLSTAPGPGLCLLPSPSQMPAVTEASDSRRQRPKARSGSNGLCSKKSVP REAPRPGPIKCVAIDCEMVGTGPQGRVSELARCSVVSYSGDVLYDKYIRPEMPIVDYRTR WSGITRQHMHKAIPFQVAQKEILKLLKGKVVVGHALHNDFQALKYVHPRSQTRDTTYVPN LLSQPSSLIRTRVSLKDLALNLLHKKIQVGHQGHSSVEDAMTAMELYQLVEVQWEQQVAS SAQAPAEDRGPDSSTDVEQYMDDQYWPEDLTQSTGAETNGGPDRQEGEEGQGARSAPP >ENSMUSP00000103046.1 pep:known chromosome:GRCm38:7:78896009:78908378:1 gene:ENSMUSG00000030609.18 transcript:ENSMUST00000107423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aen description:apoptosis enhancing nuclease [Source:MGI Symbol;Acc:MGI:1915298] MVAGEVPESTQCPSLTSLNTKDVVRRRHKRRSRQHQRFMARKALLQEQELLSTAPGPGLC LLPSPSQMPAVTEASDSRRQRPKARSGSNGLCSKKSVPREAPRPGPIKCVAIDCEMVGTG PQGRVSELARCSVVSYSGDVLYDKYIRPEMPIVDYRTRWSGITRQHMHKAIPFQVAQKEI LKLLKGKVVVGHALHNDFQALKYVHPRSQTRDTTYVPNLLSQPSSLIRTRVSLKDLALNL LHKKIQVGHQGHSSVEDAMTAMELYQLVEVQWEQQVASSAQAPAEDRGPDSSTDVEQYMD DQYWPEDLTQSTGAETNGGPDRQEGEEGQGARSAPP >ENSMUSP00000117331.1 pep:known chromosome:GRCm38:7:78898031:78902822:1 gene:ENSMUSG00000030609.18 transcript:ENSMUST00000138167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aen description:apoptosis enhancing nuclease [Source:MGI Symbol;Acc:MGI:1915298] MARKALLQEQELLSTAPGPGLCLLPSPSQMPAVTEASDSRRQRPKARSGSNGLCSKKSVP REAPRPGPIKCVAIDCEMVGTGPQGRVSELARCSVVSYSGDVLYDKYIRPEMPIVDYRTR WSGITRQHMHKAIPFQVA >ENSMUSP00000146246.1 pep:known chromosome:GRCm38:7:78900454:78902391:1 gene:ENSMUSG00000030609.18 transcript:ENSMUST00000205882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aen description:apoptosis enhancing nuclease [Source:MGI Symbol;Acc:MGI:1915298] MVAGEVPESTQCPSLTSLNTKDVVRRRHKRRSR >ENSMUSP00000145715.1 pep:known chromosome:GRCm38:7:78902579:78907365:1 gene:ENSMUSG00000030609.18 transcript:ENSMUST00000205861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aen description:apoptosis enhancing nuclease [Source:MGI Symbol;Acc:MGI:1915298] SVPREAPRPGPIKCVAIDCEMVGTGPQGRVSELARCSVVSYSGDVLYDKYIRPEMPIVDY RTRWSGITRQHMHKAIPFQVAQKEILKLLKGKVVVGHALHNDFQALKYGHSSVEDAMTAM ELYQLVEVQWEQQVASSAQAPAEDRGPDSSTDVEQYMDDQYWPEDLTQSTGAETNGGP >ENSMUSP00000132091.1 pep:known chromosome:GRCm38:9:45195766:45250020:1 gene:ENSMUSG00000091996.8 transcript:ENSMUST00000171799.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC049352 description:cDNA sequence BC049352 [Source:MGI Symbol;Acc:MGI:3040681] MAGDDSISTLGMILGVGLSLLLVSILGYSLAKWYQRGYCWDGPNFVFNLYQIRNLKDLEV GPPFTISGHMSSPDGGYMKFSNDRV >ENSMUSP00000041967.7 pep:known chromosome:GRCm38:2:75832177:75931350:1 gene:ENSMUSG00000042410.15 transcript:ENSMUST00000047232.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agps description:alkylglycerone phosphate synthase [Source:MGI Symbol;Acc:MGI:2443065] MVARGAALSDLSPEPSGLGRRHKAEAMAEAAAGEAGASERDPDAGRARRRLRVLSGHLLG RPQEAPSTNECKARRAASAAGASPAATPAAPESGTIPKKRQEVMKWNGWGYNDSKFLLNK KGQVELTGKRYPLSGLVLPTLRDWIQNTLGVSLEHKTTSKTSINPSEAPPSIVNEDFLQE LKEARISYSQEADDRVFRAHGHCLHEIFLLREGMFERIPDIVVWPTCHDDVVKIVNLACK YNLCIIPIGGGTSVSYGLMCPADETRTIISLDTSQMNRILWVDENNLTAHVEAGITGQDL ERQLKESGYCTGHEPDSLEFSTVGGWISTRASGMKKNIYGNIEDLVVHMKMVTPRGVIEK SSQGPRMSTGPDIHHFIMGSEGTLGVITEATIKIRPTPEYQKYGSVAFPNFEQGVACLRE IAKQRCAPASIRLMDNQQFQFGHALKPQVSSIFTSFLDGLKKFYITKFKGFDPNQISVAT LLFEGDREKVLQHEKQVYDIAAKFGGLAAGEDNGQRGYLLTYVIAYIRDLGLEYYVIGES FETSAPWDRVIDLCRNVKERIRRECKERGVQFAPLSTCRVTQTYDAGACIYFYFAFNYRG ISDPLTVFEHTEAAAREEILANGGSLSHHHGVGKIRKQWLKESISDVGFGMLKSVKEYVD PSNIFGNRNLL >ENSMUSP00000107583.2 pep:known chromosome:GRCm38:2:75832189:75895208:1 gene:ENSMUSG00000042410.15 transcript:ENSMUST00000111952.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agps description:alkylglycerone phosphate synthase [Source:MGI Symbol;Acc:MGI:2443065] MVARGAALSDLSPEPSGLGRRHKAEAMAEAAAGEAGASERDPDAGRARRRLRVLSGHLLG RPQEAPSTNECKARRAASAAGASPAATPAAPESGTIPKKRQEVMKWNGWGYNDSKFLLNK KGQVELTGKRYPLSGLVLPTLRDWIQNTLGVSLEHKTTSKTSINPSEAPPSIVNEDFLQE LKEARISYSQEADDRVFRAHGHCLHEIFLLREGMFERIPDIVVWPTCHDDVVKIVNLACK YNLCIIPIGGGTSVSYGLMCPADETRTIISLDTSQMNRILWVDENNLTAHVEAGITGQDL ERQLKESGYCTGHEPDSLEFSTVGGWISTRASGMKKNIYGNIEDLVVHMKMVTPRGVIEK SSQGPRMSTGPDIHHFIMGSEGTLGVITEATIKIRPTPEYQKYGSVAFPNFEQGVACLRE IAKQRCAPASIRLMDNQQFQFGHALKPQVSSIFTSFLDGLKKFYITKFKGFDPNQISVAT LLFEGDREKVLQHEKQVYDIAAKFGYGL >ENSMUSP00000135457.1 pep:known chromosome:GRCm38:2:75832505:75926078:1 gene:ENSMUSG00000042410.15 transcript:ENSMUST00000175646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agps description:alkylglycerone phosphate synthase [Source:MGI Symbol;Acc:MGI:2443065] MKWNGWGYNDSKFLLNKKGQVELTGKRYPLSGLVLPTLRDWIQNTLGVSLEHKTTSKTSI NPSEAPPSIVNEDFLQELKEARISYSQEADDRVFRAHGHCLHEIFLLREGMFERIPDIVV WPTCHDDVVKIVNLACKYNLCIIPIGGGTSVSYGLMCPADETRTIISLDTSQMNRILWVD ENNLTAHVEAGITGQDLERQLKESGYCTGHEPDSLEFSTVGGWISTRASGMKKNIYGNIE DLVVHMKMVTPRGVIEKSSQGPRMSTGPDIHHFIMGSEGTLGVITEATIKIRPTPEYQKY GSVAFPNFEQGVACLREIAKQRCAPASIRLMDNQQFQFGHALKPQVSSIFTSFLDGLKKF YITKFKGFDPNQISVATLLFEGDREKVLQHEKQVYDIAAKFGGLAAGEDNGQRGYLLTYV IAYIRDLGLEYYVIGESFETSAPWDRVIDLCRNVKERIRRECKERGVQFAPLSTCRVTQT YDAGACIYFYFAFNYRGISDPLTVFEHTEAAAREEILANGGSLSHHHGVGKIRKQWLKES ISDVGFGMLKSVKEYVDPSNIFGNRNLL >ENSMUSP00000134773.1 pep:known chromosome:GRCm38:2:75868383:75877963:1 gene:ENSMUSG00000042410.15 transcript:ENSMUST00000176056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agps description:alkylglycerone phosphate synthase [Source:MGI Symbol;Acc:MGI:2443065] DIHHFIMGSEGTLGVITEATIKIRPTPEYQKYGSVAFPNFEQGVACLREIAKQVKTK >ENSMUSP00000134806.1 pep:known chromosome:GRCm38:2:75894109:75926148:1 gene:ENSMUSG00000042410.15 transcript:ENSMUST00000176973.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agps description:alkylglycerone phosphate synthase [Source:MGI Symbol;Acc:MGI:2443065] XVLQHEKQVYDIAAKFGIWVWNTM >ENSMUSP00000119975.1 pep:known chromosome:GRCm38:7:118104372:118116188:-1 gene:ENSMUSG00000008683.16 transcript:ENSMUST00000131374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps15a description:ribosomal protein S15A [Source:MGI Symbol;Acc:MGI:2389091] MVRMNVLADALKSINNAEKRGKRQVLIRPCSKVIVRFLTVMMKHGYIGEFEIIDDHRAGK IVVNLTGRLNKCGVISPRFDVQLKDLEKWQNNLLPSRQFGFIVLTTSAGIMDHEEARRKH TGGKILGFFF >ENSMUSP00000102198.1 pep:known chromosome:GRCm38:7:118109064:118115677:-1 gene:ENSMUSG00000008683.16 transcript:ENSMUST00000106588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps15a description:ribosomal protein S15A [Source:MGI Symbol;Acc:MGI:2389091] MVRMNVLADALKSINNAEKRGKRQVLIRPCSKVIVRFLTVMMKHGYIGEFEIIDDHRAGK IVVNLTGRLNKCGVISPRFDVQLKDLEKWQNNLLPSRQFGFIVLTTSAGIMDHEEARRKH TGGKILGFFF >ENSMUSP00000102200.3 pep:known chromosome:GRCm38:7:118109169:118115554:-1 gene:ENSMUSG00000008683.16 transcript:ENSMUST00000106590.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps15a description:ribosomal protein S15A [Source:MGI Symbol;Acc:MGI:2389091] MVRMNVLADALKSINNAEKRGKRQVLIRPCSKVIVRFLTVMMKHGYIGEFEIIDDHRAGK IVVNLTGRLNKCGVISPRFDVQLKDLEKWQNNLLPSRQFGFIVLTTSA >ENSMUSP00000116061.2 pep:known chromosome:GRCm38:7:118109998:118116129:-1 gene:ENSMUSG00000008683.16 transcript:ENSMUST00000131840.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps15a description:ribosomal protein S15A [Source:MGI Symbol;Acc:MGI:2389091] MVRMNVLADALKSINNAEKRGKRQVLIRPCSKVIVRFLTVMMKHGYIGEFEIIDDHRAGK IVVNLTGRLNKCGVISPR >ENSMUSP00000114544.1 pep:known chromosome:GRCm38:7:118114785:118116128:-1 gene:ENSMUSG00000008683.16 transcript:ENSMUST00000128482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps15a description:ribosomal protein S15A [Source:MGI Symbol;Acc:MGI:2389091] MVRMNVLADALKSINNAEKRGKRQVLIRPCSKVIVRFLTVMMKHGYIGEFEIIDDHRAGK IVVNLTGRLNK >ENSMUSP00000127791.1 pep:known chromosome:GRCm38:7:118104378:118115256:-1 gene:ENSMUSG00000008683.16 transcript:ENSMUST00000172457.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps15a description:ribosomal protein S15A [Source:MGI Symbol;Acc:MGI:2389091] MVRMNVLADALKSINNAEKRGKRQVLIRPCSKVIVRFLTVMMKHGYIGEFEIIDDHRAGK IVVNLTGRLNKCGVISPRFDVQLKDLEKWQNNLLPSRQFGFIVLTTSAGIMDHEEARRKH TGGKILGFFF >ENSMUSP00000060433.5 pep:known chromosome:GRCm38:4:45423278:45530330:-1 gene:ENSMUSG00000044813.15 transcript:ENSMUST00000061986.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shb description:src homology 2 domain-containing transforming protein B [Source:MGI Symbol;Acc:MGI:98294] MAKWLNKYFSLGNSKTKSPPQPPRPDYREQRRRGERREQPPQAVPQACSASSASCGSAAA CFSASSGSLPDDSGSTSDLIRAYRAQKERDFEDPYNGPGSSLRKLRAMCRLDYCGGGGGG DPGGGQRAFTAAAGAAGCCCAAAGAGAAASSSSSSGSPHLYRSSSERRPTTPAEVRYISP KHRLIKVESASAAGDPPGGVCSGGRTWSPTTCGGKKLLNKCSAEETGAGQKDKVTIADDY SDPFDAKSDLKSKAGKGESAGYMEPYEAQRIMTEFQRQESVRSQHKGIQLYDTPYEPEGQ SVDSDSESTVSLRLRESKLPQDDDRPADEYDQPWEWNRVTIPALAAQFNGNEKRQSSPSP SRDRRRQLRAPGGGFKPIKHGSPEFCGILGERVDPTIPLEKQIWYHGAISRSDAENLLRL CKECSYLVRNSQTSKHDYSLSLKSNQGFMHMKLAKTKEKYVLGQNSPPFDSVPEVIHYYT TRKLPIKGAEHLSLLYPVAVRTL >ENSMUSP00000123176.1 pep:known chromosome:GRCm38:4:45458129:45489794:-1 gene:ENSMUSG00000044813.15 transcript:ENSMUST00000146236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shb description:src homology 2 domain-containing transforming protein B [Source:MGI Symbol;Acc:MGI:98294] MWGPDAHPGPQLAVCPLLKGPLALRPLACSVSTLVTIADDYSDPFDAKSDLKSKAGKGES AGYMEPYEAQRIMTEFQRQESVRSQHKGIQLYDTPYEPEGQSVDSDSESTVSLRLRESKL PQDDDRPADEYDQPWEWNRVTIPALA >ENSMUSP00000115331.1 pep:known chromosome:GRCm38:4:45458320:45532470:-1 gene:ENSMUSG00000044813.15 transcript:ENSMUST00000147448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shb description:src homology 2 domain-containing transforming protein B [Source:MGI Symbol;Acc:MGI:98294] MNRSQVTIADDYSDPFDAKSDLKSKAGKGESAGYMEPYEAQRIMTEFQRQESV >ENSMUSP00000050488.2 pep:known chromosome:GRCm38:4:134003330:134018841:-1 gene:ENSMUSG00000050966.9 transcript:ENSMUST00000051674.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin28a description:lin-28 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:1890546] MGSVSNQQFAGGCAKAAEKAPEEAPPDAARAADEPQLLHGAGICKWFNVRMGFGFLSMTA RAGVALDPPVDVFVHQSKLHMEGFRSLKEGEAVEFTFKKSAKGLESIRVTGPGGVFCIGS ERRPKGKNMQKRRSKGDRCYNCGGLDHHAKECKLPPQPKKCHFCQSINHMVASCPLKAQQ GPSSQGKPAYFREEEEEIHSPALLPEAQN >ENSMUSP00000135254.1 pep:known chromosome:GRCm38:4:134006244:134012386:-1 gene:ENSMUSG00000050966.9 transcript:ENSMUST00000176113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin28a description:lin-28 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:1890546] MEGFRSLKEGEAVEFTFKKSAKGLESIRVTGPGGVFCIGSERRPKGKNMQKRRSKGDRCY NCGGLDHHAKECKLPPQPKKCHF >ENSMUSP00000135608.1 pep:known chromosome:GRCm38:4:134006250:134009411:-1 gene:ENSMUSG00000050966.9 transcript:ENSMUST00000176292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin28a description:lin-28 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:1890546] MEGFRSLKEGEAVEFTFKKSAKGLESIRVTGPGGVFCIGSERRPKGKNMQKRRSKGDRCY NCGGLDHHAKECKLPPQPKKC >ENSMUSP00000135736.1 pep:known chromosome:GRCm38:4:134007948:134018382:-1 gene:ENSMUSG00000050966.9 transcript:ENSMUST00000176897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin28a description:lin-28 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:1890546] MGFGFLSMTARAGVALDPPVDVFVHQSKLHMEGFRSLKEGEAVEFTFKKSAKGLESIRVT GPGGVFCIGSERRPK >ENSMUSP00000141156.1 pep:known chromosome:GRCm38:1:134646677:134741829:1 gene:ENSMUSG00000026452.15 transcript:ENSMUST00000187725.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt2 description:synaptotagmin II [Source:MGI Symbol;Acc:MGI:99666] MRNIFKRNQEPNVAPATTTATMPLAPVAPADNSTESTGPGESQEDMFAKLKEKFFNEINK IPLPPWALI >ENSMUSP00000140081.1 pep:known chromosome:GRCm38:1:134646681:134749417:1 gene:ENSMUSG00000026452.15 transcript:ENSMUST00000188842.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt2 description:synaptotagmin II [Source:MGI Symbol;Acc:MGI:99666] MRNIFKRNQEPNVAPATTTATMPLAPVAPADNSTESTGPGESQEDMFAKLKEKFFNEINK IPLPPWALIAMAVVAGLLLLTCCFCICKKCCCKKKKNKKEKGKGMKNAMNMKDMKGGQDD DDAETGLTEGEGEGEEEKEPENLGKLQFSLDYDFQANQLTVGVLQAAELPALDMGGTSDP YVKVFLLPDKKKKYETKVHRKTLNPAFNETFTFKVPYQELGGKTLVMAIYDFDRFSKHDI IGEVKVPMNTVDLGQPIEEWRDLQGGEKEEPEKLGDICTSLRYVPTAGKLTVCILEAKNL KKMDVGGLSDPYVKIHLMQNGKRLKKKKTTVKKKTLNPYFNESFSFEIPFEQIQKVQVVV TVLDYDKLGKNEAIGKIFVGSNATGTELRHWSDMLANPRRPIAQWHSLKPEEEVDALLGK NK >ENSMUSP00000112438.1 pep:known chromosome:GRCm38:1:134709300:134753149:1 gene:ENSMUSG00000026452.15 transcript:ENSMUST00000121990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt2 description:synaptotagmin II [Source:MGI Symbol;Acc:MGI:99666] MRNIFKRNQEPNVAPATTTATMPLAPVAPADNSTESTGPGESQEDMFAKLKEKFFNEINK IPLPPWALIAMAVVAGLLLLTCCFCICKKCCCKKKKNKKEKGKGMKNAMNMKDMKGGQDD DDAETGLTEGEGEGEEEKEPENLGKLQFSLDYDFQANQLTVGVLQAAELPALDMGGTSDP YVKVFLLPDKKKKYETKVHRKTLNPAFNETFTFKVPYQELGGKTLVMAIYDFDRFSKHDI IGEVKVPMNTVDLGQPIEEWRDLQGGEKEEPEKLGDICTSLRYVPTAGKLTVCILEAKNL KKMDVGGLSDPYVKIHLMQNGKRLKKKKTTVKKKTLNPYFNESFSFEIPFEQIQKVQVVV TVLDYDKLGKNEAIGKIFVGSNATGTELRHWSDMLANPRRPIAQWHSLKPEEEVDALLGK NK >ENSMUSP00000144283.1 pep:known chromosome:GRCm38:5:92209893:92215408:-1 gene:ENSMUSG00000029409.7 transcript:ENSMUST00000201332.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U90926 description:cDNA sequence U90926 [Source:MGI Symbol;Acc:MGI:1930915] MKVVILMALLVLTAHCVPVSRFPGKIFLYCPFFNRKHCQRFCEFFKICRKPPLSRRTTVV PSFPLTTEADLSLTGGPLTPDRRGDSR >ENSMUSP00000031356.4 pep:known chromosome:GRCm38:5:92209896:92215408:-1 gene:ENSMUSG00000029409.7 transcript:ENSMUST00000031356.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U90926 description:cDNA sequence U90926 [Source:MGI Symbol;Acc:MGI:1930915] MKVVILMALLVLTAHCVPVSRFPGKIFLYCPFFNRKHCQRFCEFFKICRKPPLSRRTTVV PSFPLTTEADLSLTGGPLTPTGGEIQDSRVPHSPEKPLPPHSAHATVGSCFQLLPAPQ >ENSMUSP00000124546.1 pep:known chromosome:GRCm38:1:193168046:193177832:1 gene:ENSMUSG00000079144.7 transcript:ENSMUST00000160822.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A130010J15Rik description:RIKEN cDNA A130010J15 gene [Source:MGI Symbol;Acc:MGI:2441776] MSTPSPQLLVAAAQQTLGMGKRKCPPRATCLHLAGEVLAVARGLKPAVLYDCNSAGVLAL QSYLEELQGLGFLEPGLHILEIGENNFIVSPEYACQHLEQTLLGTVAFVDVSRSQPHPSV RSVDQLPDLKSLIADVITRFRGLKKDVSQGVSYTRLHSSDWNLCTVFGILLGYPVSYTFD LNREDDNCLTMTPLRVFTARISWLPGQPSILLYSFSVPESLFPALKNFLSAWEKELRTRF RAQNAFADLSISSEVVTLPAVAL >ENSMUSP00000124191.1 pep:known chromosome:GRCm38:1:193173468:193176298:1 gene:ENSMUSG00000079144.7 transcript:ENSMUST00000161235.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A130010J15Rik description:RIKEN cDNA A130010J15 gene [Source:MGI Symbol;Acc:MGI:2441776] MSTPSPQLLVAAAQQTLGMGKRKCPPRATCLHLAGEVLAVARGLKPAVLYDCNSAGVLAL QSYLEELQGLGFLEPGLHILEIGENNFIVSPEYACQHLEQTLLGTVAFVDVSRSQPHPSV RSVDQLPDLKSLIADVITRFRGLKKDVSQGVSYTRLHSSDWNLCTVFGILLGYPVSYTFD LNREDDNCLTMTPLRVFTARISWLPGQPSILLYSFSVPESLFPALKNFLSAWEKELRTRF RAQNAFADLSISSEVVTLPAVAL >ENSMUSP00000141934.1 pep:known chromosome:GRCm38:1:193173492:193174831:1 gene:ENSMUSG00000079144.7 transcript:ENSMUST00000160077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A130010J15Rik description:RIKEN cDNA A130010J15 gene [Source:MGI Symbol;Acc:MGI:2441776] MSTPSPQLLVAAAQQTLGMGKRKCPPRATCLHLAGEVLAVARGLKPAVLYDCNSAGVLAL QSYLEELQGLGFLEPGLHILEIGENNFIVSPEYACQHLEQTLLGTVAFVDVSRSQPHPSV RSVDQLPDLKSLIADVITRFRGLKKDVSQGVSYTRLHSSDWNL >ENSMUSP00000142222.1 pep:known chromosome:GRCm38:1:193173580:193174426:1 gene:ENSMUSG00000079144.7 transcript:ENSMUST00000192189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A130010J15Rik description:RIKEN cDNA A130010J15 gene [Source:MGI Symbol;Acc:MGI:2441776] MSTPSPQLLVAAAQQTLGMGKRKCPPRA >ENSMUSP00000106455.2 pep:known chromosome:GRCm38:1:193173580:193176300:1 gene:ENSMUSG00000079144.7 transcript:ENSMUST00000110831.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A130010J15Rik description:RIKEN cDNA A130010J15 gene [Source:MGI Symbol;Acc:MGI:2441776] MSTPSPQLLVAAAQQTLGMGKRKCPPRATCLHLAGEVLAVARGLKPAVLYDCNSAGVLAL QSYLEELQGLGFLEPGLHILEIGENNFIVSPEYACQHLEQTLLGTVAFVDVSRSQPHPSV RSVDQLPDLKSLIADVITRFRGLKKDVSQGVSYTRLHSSDWNLCTVFGILLGYPVSYTFD LNREDDNCLTMTPLRVFTARISWLPGQPSILLYSFSVPESLFPALKNFLSAWEKELRTRF RAQNAFADLSISSEVVTLPAVAL >ENSMUSP00000141799.1 pep:known chromosome:GRCm38:1:193173586:193174552:1 gene:ENSMUSG00000079144.7 transcript:ENSMUST00000191613.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A130010J15Rik description:RIKEN cDNA A130010J15 gene [Source:MGI Symbol;Acc:MGI:2441776] MSTPSPQLLVAAAQQTLGMGKRKCPPRATCLHLAGEVLAVARGLKPAVLYDCNSAGVLAL QSYLEELQGL >ENSMUSP00000142273.1 pep:known chromosome:GRCm38:1:193173744:193174595:1 gene:ENSMUSG00000079144.7 transcript:ENSMUST00000193307.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A130010J15Rik description:RIKEN cDNA A130010J15 gene [Source:MGI Symbol;Acc:MGI:2441776] MSTPSPQLLVAAAQQTLGMGKRKCPPRATCLHLAGEVLAVARGLKPAVLYDCNSAGVLAL QSYLEELQGLGFLEPGLHILEIGE >ENSMUSP00000136653.1 pep:known chromosome:GRCm38:1:193173577:193177832:1 gene:ENSMUSG00000079144.7 transcript:ENSMUST00000178744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A130010J15Rik description:RIKEN cDNA A130010J15 gene [Source:MGI Symbol;Acc:MGI:2441776] MSTPSPQLLVAAAQQTLGMGKRKCPPRATCLHLAGEVLAVARGLKPAVLYDCNSAGVLAL QSYLEELQGLGFLEPGLHILEIGENNFIVSPEYACQHLEQTLLGTVAFVDVSRSQPHPSV RSVDQLPDLKSLIADVITRFRGLKKDVSQGVSYTRLHSSDWNLCTVFGILLGYPVSYTFD LNREDDNCLTMTPLRVFTARISWLPGQPSILLYSFSVPESLFPALKNFLSAWEKELRTRF RAQNAFADLSISSEVVTLPAVAL >ENSMUSP00000031280.1 pep:known chromosome:GRCm38:5:98254184:98277030:1 gene:ENSMUSG00000029337.2 transcript:ENSMUST00000031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf5 description:fibroblast growth factor 5 [Source:MGI Symbol;Acc:MGI:95519] MSLSLLFLIFCSHLIHSAWAHGEKRLTPEGQPAPPRNPGDSSGSRGRSSATFSSSSASSP VAASPGSQGSGSEHSSFQWSPSGRRTGSLYCRVGIGFHLQIYPDGKVNGSHEASVLSILE IFAVSQGIVGIRGVFSNKFLAMSKKGKLHASAKFTDDCKFRERFQENSYNTYASAIHRTE KTGREWYVALNKRGKAKRGCSPRVKPQHVSTHFLPRFKQSEQPELSFTVTVPEKKKPPVK PKVPLSQPRRSPSPVKYRLKFRFG >ENSMUSP00000142420.1 pep:known chromosome:GRCm38:5:98254412:98275461:1 gene:ENSMUSG00000029337.2 transcript:ENSMUST00000200059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf5 description:fibroblast growth factor 5 [Source:MGI Symbol;Acc:MGI:95519] MSLSLLFLIFCSHLIHSAWAHGEKRLTPEGQPAPPRNPGDSSGSRGRSSATFSSSSASSP VAASPGSQGSGSEHSSFQWSPSGRRTGSLYCRVGIGFHLQIYPDGKVNGSHEASVLSQIY G >ENSMUSP00000078536.1 pep:known chromosome:GRCm38:6:66552183:66559708:-1 gene:ENSMUSG00000062905.1 transcript:ENSMUST00000079584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r32 description:vomeronasal 1 receptor 32 [Source:MGI Symbol;Acc:MGI:2159451] MFSLNNVLYFQVGLGTLANIFLLFFYTIIILCHRSKPMDLISCQLTFVHIMMFLTGGDIW LTDLFESLNIENDFKCKATFYINRVMRGLSICITCLLSVFQAVTISPNTSLLAKFKHKLK KYMIFSFFYFWSFNLSFSSNRIFYVGAYTNMSETNQLKVTKYCSLFPMNYIIRGLILTMT TSRDVFLVGVMLTTSAYMVIILFRHQRQCKHLHSNSHLRASPEKRATQIILLLVVFFVVM YWVDFIISSTSVLLWMYDPVILTVQKFVMNAYPTITPLIQIISDNRMIITLKNMQKICHQ IF >ENSMUSP00000120953.1 pep:known chromosome:GRCm38:9:56041845:56061882:1 gene:ENSMUSG00000032320.7 transcript:ENSMUST00000147842.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rcn2 description:reticulocalbin 2 [Source:MGI Symbol;Acc:MGI:1349765] MRLGPRPAALGLLLPLLLYAAVAGASKAEELHYPQGEHRADYDREALLGVQTSMSMLNLA TKSSKDDCSRS >ENSMUSP00000109915.2 pep:known chromosome:GRCm38:9:56041857:56059077:1 gene:ENSMUSG00000032320.7 transcript:ENSMUST00000114276.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcn2 description:reticulocalbin 2 [Source:MGI Symbol;Acc:MGI:1349765] MRLGPRPAALGLLLPLLLYAAVAGASKAEELHYPQGEHRADYDREALLGVQEDVDEYVKL GHEEQQRRLQSIIKKIDSDSDGFLTENELSQWIQMSFKHYAMQEAKQQFVEYDKNSDGAV TWDEYNIQMYDRVIDFDENTALDDTEEGSFRQLHLKDKKRFEKANQDSGPGLSLEEFIAF EHPEEVDYMTEFVIQEALEEHDKNGDGFVSLEEFLGDYRRDPTANEDPEWILVEKDRFVN DYDKDNDGRLDPQELLSWVVPNNQGIAQEEALHLIDEMDLNSDKKLSEEEILENQDLFLT SEATDYGRQLHDDYFYHDEL >ENSMUSP00000101508.1 pep:known chromosome:GRCm38:4:134053838:134058996:1 gene:ENSMUSG00000049410.8 transcript:ENSMUST00000105884.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp683 description:zinc finger protein 683 [Source:MGI Symbol;Acc:MGI:3650254] MKALDGLRESLYPSLDFQLYQDDQVCSADASQPLADSVGAHDLAWSERMCPLPLAPAKSP LLACPESPDLCLCALQKTPLGRAPQDLGEDASNMRHQPPSLYKASTDSEKLTIKDSLNRE EMGNEPERGAYPHLPPRTSSFPDAGLDRKSLSPLTFWPWLPPTLISKEPPIHIYPIFPGY PLLPLPYLFTYGALPSAQHPYLFMLPPHSTYPTVAGPSLLMTASGSGPRIPQEKTLLLHS GAFQSAGHTLHSQVESRSSRDTRTPGQAGVAAPTRRAVPGSRAGVIALPYPLKKENGKIL YECNVCGKNFGQLSNLKVHLRVHSGERPFQCALCQKRFTQLAHLQKHHLVHTGERPHQCQ VCHKRFSSSSNLKTHLRLHSGAKPSQCGLCPSYLTPNVYPKLHHRLRAPQLRGLTHTHLP LASLTCLAQWHQGALDLVEKKMGWTVDKVSSESKGKQG >ENSMUSP00000043837.6 pep:known chromosome:GRCm38:1:171518122:171535294:-1 gene:ENSMUSG00000038209.12 transcript:ENSMUST00000043094.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itln1 description:intelectin 1 (galactofuranose binding) [Source:MGI Symbol;Acc:MGI:1333831] MTQLGFLLFIMVATRGCSAAEENLDTNRWGNSFFSSLPRSCKEIKQEHTKAQDGLYFLRT KNGVIYQTFCDMTTAGGGWTLVASVHENNMRGKCTVGDRWSSQQGNRADYPEGDGNWANY NTFGSAEAATSDDYKNPGYFDIQAENLGIWHVPNKSPLHNWRKSSLLRYRTFTGFLQHLG HNLFGLYKKYPVKYGEGKCWTDNGPALPVVYDFGDARKTASYYSPSGQREFTAGYVQFRV FNNERAASALCAGVRVTGCNTEHHCIGGGGFFPEGNPVQCGDFASFDWDGYGTHNGYSSS RKITEAAVLLFYR >ENSMUSP00000126385.1 pep:known chromosome:GRCm38:1:171529152:171531733:-1 gene:ENSMUSG00000038209.12 transcript:ENSMUST00000168184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itln1 description:intelectin 1 (galactofuranose binding) [Source:MGI Symbol;Acc:MGI:1333831] TKNGVIYQTFCDMTTAGGGWTLVASVHENNMRGKCTVGDRWSSQQGNRADYPEGDGNWAN YNTFGSAEAATSDDYKKYPVKYGEGKCWTDNGPALPVVYDFGDARKTASYYSPSGQREFT AGYVQFRVFNNERAASALCAG >ENSMUSP00000080979.6 pep:known chromosome:GRCm38:7:54835615:55268885:1 gene:ENSMUSG00000063297.7 transcript:ENSMUST00000082373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Luzp2 description:leucine zipper protein 2 [Source:MGI Symbol;Acc:MGI:1889615] MKFNAAHYLLPLLPALVLSTRQDYEELEKQLKEVFKERSTVLRQLTKTSRELDGIKVNLQ SLKNDEQSSKTDVQKLLELGQRQREEMKSLQEALQNQLKETSEKAEKHQATINFLKTEVE RKSKMIRDLQNENKSLKNKLLSGSKLCGIHAEESKKIQAQLKELRYGKKDLLFKAQQLTE LEQKLAVAKNELEKAALDRESQMKAMKETVQLCLSSVFRDQPPPLSLMPSNPTQMLHPPR TVASRIPEARTKSKPQPSSPGHHDSSQVQATKEESRRPSVCGPQDEGSSCLVKHEEGPQS NSTAESELTTQKLQMPICSECEEKKGPENPSASFDGTPAREEKLL >ENSMUSP00000121237.1 pep:known chromosome:GRCm38:10:77032241:77042361:1 gene:ENSMUSG00000001436.15 transcript:ENSMUST00000136150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a1 description:solute carrier family 19 (folate transporter), member 1 [Source:MGI Symbol;Acc:MGI:103182] MVPTGQVAEKQAYEEPRQDHELKSWRCLVFYLCFFGFMAQLRPGESFITPFLLERKFTKE QVTNEIIPMLPYSHLAVLVPVFLLTDYLRYKPVLVLQCLSFVCVWLLLLLGTSVVHMQLM EVFYSVTMAARIAYSSYIFSLVHPSRYQRMASYSRAAVLLGVFISSVLGQALVTVGHIST YTLNCVSLGFILFSLVLSLFLKRPKRSLFFNRSTLARGALPCELDQMHPGPDRPETRKLD RML >ENSMUSP00000120266.1 pep:known chromosome:GRCm38:10:77032271:77041847:1 gene:ENSMUSG00000001436.15 transcript:ENSMUST00000133059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a1 description:solute carrier family 19 (folate transporter), member 1 [Source:MGI Symbol;Acc:MGI:103182] MVPTGQVAEKQAYEEPRQDHELKSWRCLVFYLCFFGFMAQLRPGESFITPFLLERKFTKE QVTNEIIPMLP >ENSMUSP00000116784.1 pep:known chromosome:GRCm38:10:77032684:77050432:1 gene:ENSMUSG00000001436.15 transcript:ENSMUST00000144234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a1 description:solute carrier family 19 (folate transporter), member 1 [Source:MGI Symbol;Acc:MGI:103182] MVPTGQVAEKQAYEEPRQDHELKSWRCLVFYLCFFGFMAQLRPGESFITPFLLERKFTKE QVTNEIIPMLPYSHLAVLVPVFLLTDYLRYKPVLVLQCLSFVCVWLLLLLGTSVVHMQLM EVFYSVTMAARIAYSSYIFSLVHPSRYQRMASYSRAAVLLGVFISSVLGQALVTVGHIST YTLNCVSLGFILFSLVLSLFLKRPKRSLFFNRSTLARGALPCELDQMHPGPDRPETRKLD RMLGTCRDSFLVRMLSELVENARQPQLRLWCLWWVFNSSGYYLITYYVHVLWRSTDSSLS YNGAVDAASTLLSAITSFSAGFLSIRWTLWSKLVIAGVIAIQASLVFCMFQIRDIWVCYV TFVLFRGAYQFLVPIATFQIASSLSKELCALVFGINTFLATALKTCITLVVSDKRGLGLQ VRDQFRIYFIYFLMLSITCFAWAGLDGLRYCQRGRHQPLAQAQELRSPLETSVQAISLQD GDLRGPQPSAPQLLSEDGMEDDRGDLRVEAKA >ENSMUSP00000101050.3 pep:known chromosome:GRCm38:10:77033212:77050431:1 gene:ENSMUSG00000001436.15 transcript:ENSMUST00000105410.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a1 description:solute carrier family 19 (folate transporter), member 1 [Source:MGI Symbol;Acc:MGI:103182] MVPTGQVAEKQAYEEPRQDHELKSWRCLVFYLCFFGFMAQLRPGESFITPFLLERKFTKE QVTNEIIPMLPYSHLAVLVPVFLLTDYLRYKPVLVLQCLSFVCVWLLLLLGTSVVHMQLM EVFYSVTMAARIAYSSYIFSLVHPSRYQRMASYSRAAVLLGVFISSVLGQALVTVGHIST YTLNCVSLGFILFSLVLSLFLKRPKRSLFFNRSTLARGALPCELDQMHPGPDRPETRKLD RMLGTCRDSFLVRMLSELVENARQPQLRLWCLWWVFNSSGYYLITYYVHVLWRSTDSSLS YNGAVDAASTLLSAITSFSAGFLSIRWTLWSKLVIAGVIAIQASLVFCMFQIRDIWVCYV TFVLFRGAYQFLVPIATFQIASSLSKELCALVFGINTFLATALKTCITLVVSDKRGLGLQ VRDQFRIYFIYFLMLSITCFAWAGLDGLRYCQRGRHQPLAQAQELRSPLETSVQAISLQD GDLRGPQPSAPQLLSEDGMEDDRGDLRVEAKA >ENSMUSP00000119382.1 pep:known chromosome:GRCm38:10:77033268:77046268:1 gene:ENSMUSG00000001436.15 transcript:ENSMUST00000136925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a1 description:solute carrier family 19 (folate transporter), member 1 [Source:MGI Symbol;Acc:MGI:103182] MVPTGQVAEKQAYEEPRQDHELKSWRCLVFYLCFFGFMAQLRPGESFITPFLLERKFTKE QVTNEIIPMLPYSHLAVLVPVFLLTDYLRYKPVLVLQCLSFVCVWLLLLLGTSVVHMQLM EVFYSVTMAARIAYSSYIFSLVHPSRYQRMASYSRAAVLLGVFISSVLGQALVTVGHIST YTLNCVSLGFILFSLVLSLFLKRPKRSLFFNRSTLARGALPCELDQMHPGPDRPETRKLD RMLGTCRDSFLVRMLSELVENARQPQLRLWCLWWVFNSSGYYLITYYVHVLWRSTDSSLS YNGAVDAASTLLSAITSFSAGFLSIRWTLWSKLVIAGVIAIQASLVFCMFQIRDIWVCYV TFVLFRGAYQFLVPIATFQIASSLSKELCALVFGINTFLATALKTCITLVVSDKRGLGLQ VRDQVSPHLSAMSLGEGSPSCGHGEGLLVCFTVRGQRLQVHCPWSMQSVAHIWSRN >ENSMUSP00000115658.1 pep:known chromosome:GRCm38:10:77033281:77042956:1 gene:ENSMUSG00000001436.15 transcript:ENSMUST00000130703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a1 description:solute carrier family 19 (folate transporter), member 1 [Source:MGI Symbol;Acc:MGI:103182] MVPTGQVAEKQAYEEPRQDHELKSWRCLVFYLCFFGFMAQLRPGESFITPFLLERKFTKE QIRDIWVCYVTFVLFRGAYQ >ENSMUSP00000116657.1 pep:known chromosome:GRCm38:10:77033319:77042330:1 gene:ENSMUSG00000001436.15 transcript:ENSMUST00000132984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a1 description:solute carrier family 19 (folate transporter), member 1 [Source:MGI Symbol;Acc:MGI:103182] MVPTGQVAEKQAYEEPRQDHELKSWRCLVFYLCFFGFMAQLRPGESFITPFLLERKFTKE QVTNEIIPMLPYSHLAVLVPVFLLTDYLRYKPVLVLQCLSFVCVWLLLLLGTSVVHMQLM EVFYSVTMAARIAYSSYIFSLVHPSRYQRMASYSRAAVLLGVFISSVLGQALVTVGHIST YTLNCVSLGFILFSLVLSLFLKRPKRSLFFNRSTLARGALPCELDQMHPGPDR >ENSMUSP00000114884.1 pep:known chromosome:GRCm38:10:77042791:77061002:1 gene:ENSMUSG00000001436.15 transcript:ENSMUST00000131031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc19a1 description:solute carrier family 19 (folate transporter), member 1 [Source:MGI Symbol;Acc:MGI:103182] XSFSAGFLSIRWTLWSKLVIAGVIAIQASLVFCMFQIRDIWVCYVTFVLFRGAYQFLVPI ATFQIASSLSKELCALVFGINTFLATALKTCITLVVSDKRGLGLQVRDQNCICKCS >ENSMUSP00000078619.2 pep:known chromosome:GRCm38:6:66611582:66612617:-1 gene:ENSMUSG00000059375.4 transcript:ENSMUST00000079678.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r33 description:vomeronasal 1 receptor 33 [Source:MGI Symbol;Acc:MGI:2159450] MFSLNNVFYVQAGLGTLANIFLLFFYTIIILCHRSKPMDLISCQLTFVHIIMVITGWDIF TTDMFDLLNIENDFICKATSYLNRVMRGISICITCLLSVFQAVTISPNTSLLAKFKYKFK KYMISSFFYFWSFNLSFSINRIFYTGAYTNVSETNQLKVTKYCSLFPMDYIIKGLISTMT ALRDVFLVGVMLTTSAYMIIILFRHQRQCMHLHSDSHLRASPEKRATHIILLLVFFYVVM YWIDFIMSSRTVLLWMYDPVLLTVQKFVINAYPTITPLIQISSDNRINIMIKNMQKVCHQ IFFKV >ENSMUSP00000047463.6 pep:known chromosome:GRCm38:1:134754658:134955940:-1 gene:ENSMUSG00000073557.11 transcript:ENSMUST00000045665.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r12b description:protein phosphatase 1, regulatory (inhibitor) subunit 12B [Source:MGI Symbol;Acc:MGI:1916417] MAELEHLGGKRAESARARRAEQLRRWRGSLTEQEPAERQGAGRQLQTRRGSPRVRFEDGA VFLAACSSGDTDEVKKLLARGADINTVNVDGLTALHQACIDENLDMVKFLVENRANVNQQ DNEGWTPLHAAASCGYLNIAEYFISHGASVGIVNSEGEVPSDLAEEPAMKDLLLEQVKKQ GVDLEQSRKEEEQQMLQDARQWLNSGRIEDVRQARSGATALHVAAAKGYSEVLRLLIQAG YELNVQDHDGWTPLHAAAHWGVKEACSILAEALCDMDIRNKLGQTPFDVADEGLVEHLEM LQKKQDVLRSEKETRNKLIESDLNSKFQSGLFKNKEKMLYEEEIPKSQDTEEENKESSSS SSEEEEGEDEVSESETEKEADKKPEATVNHSNSEIKSRIMEQIPAPAQNTFSASSARRLS SLFNKAEEPKDESPSSWRLGLRKTGSHNMLSEVANSREALRDRGSSIYRSSSSPRISALL DDKDKERENKSYFSMLVPRRLSSTSDIEEKENRESAVNLVRSGSHTRQLWRDEAKGSETP QTIAPSTYTSTYLKRTPYKSQADSTAEKTADSVSSSTPLCVITNRPAPSTANGVPAATVF SSAGTDPSVEAREKRRSYLTPVRDEEAESLRKARSRQARQTRRSTQGVTLTDLQEAEKTF SRSRAERQAQEQPGEKLEDPGGLEGSTKKQEPSAAPTKGAGEGRSLEEEPIYHRLRYPTQ PDKPTTPVSPSASRPSLYTGSHLLRTSRASGPDSENSETSTHATAAKEMDTSEKGEADLD DQSSNRLSVRERRRAKDRRRGTGINFWTNDEDETDVSEEVKEALHERLSRLESGGTNPTS SDSYSDRASARARREAREARLASLTSRVEEDSNRDYKKLYESALTENQKLKTKLQEAQLE LADIKAKLEKMAQQKQEKTSDRSSVLEVEKRERRALERKMSEMEEEMKVLTELKSDNQRL KDENGALIRVISKLSK >ENSMUSP00000107788.1 pep:known chromosome:GRCm38:1:134947943:134955942:-1 gene:ENSMUSG00000073557.11 transcript:ENSMUST00000112163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r12b description:protein phosphatase 1, regulatory (inhibitor) subunit 12B [Source:MGI Symbol;Acc:MGI:1916417] MAELEHLGGKRAESARARRAEQLRRWRGSLTEQEPAERQGAGRQLQTRRGSPRVRFEDGA VFLAACSSGDTDEVKKLLARGADINTVNVDGLTALHQRNHKPRGS >ENSMUSP00000083633.4 pep:known chromosome:GRCm38:1:134765943:134955940:-1 gene:ENSMUSG00000073557.11 transcript:ENSMUST00000086444.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r12b description:protein phosphatase 1, regulatory (inhibitor) subunit 12B [Source:MGI Symbol;Acc:MGI:1916417] MAELEHLGGKRAESARARRAEQLRRWRGSLTEQEPAERQGAGRQLQTRRGSPRVRFEDGA VFLAACSSGDTDEVKKLLARGADINTVNVDGLTALHQACIDENLDMVKFLVENRANVNQQ DNEGWTPLHAAASCGYLNIAEYFISHGASVGIVNSEGEVPSDLAEEPAMKDLLLEQVKKQ GVDLEQSRKEEEQQMLQDARQWLNSGRIEDVRQARSGATALHVAAAKGYSEVLRLLIQAG YELNVQDHDGWTPLHAAAHWGVKEACSILAEALCDMDIRNKLGQTPFDVADEGLVEHLEM LQKKQDVLRSEKETRNKLIESDLNSKFQSGLFKNKEKMLYEEEIPKSQDTEEENKESSSS SSEEEEGEDEVSESETEKEADKKPEATVNHSNSEIKSRIMEQIPAPAQNTFSASSARRLS SLFNKAEEPKDESPSSWRLGLRKTGSHNMLSEVANSREALRDRGSSIYRSSSSPRISALL DDKDKERENKSYFSMLVPRRLSSTSDIEEKENRESAVNLVRSGSHTRQLWRDEAKGSETP QTIAPSTYTSTYLKRTPYKSQADSTAEKTADSVSSSTPLCVITNRPAPSTANGVPAATVF SSAGTDPSVEAREKRRSYLTPVRDEEAESLRKARSRQARQTRRSTQGVTLTDLQEAEKTF SRSRAERQAQEQPGEKLEDPGGLEGSTKKQEPSAAPTKGAGEGRSLEEEPIYHRLRYPTQ PDKPTTPVSPSASRPSLYTGSHLLRTSRASGPDSENSETSTHATAAKEMDTSEKGEADLD DQSSNRLSVRERRRAKDRRRGTGINFWTNDEDETDVSEEVKEALHERLSRLESGGTNPTS SDSYSDRASARARREAREARLASLTSRVEEDSNRDYKKLYESALTENQKLKTKLQEAQLE LADIKAKLEKMAQQKQEKTSDRSSVLEVEKRERRALERKMSEMEEEMKNLHQLKQIQTLK QMNEQLQAENRALTRVVARLSKSIESSDTQEL >ENSMUSP00000131406.1 pep:known chromosome:GRCm38:1:134759969:134955851:-1 gene:ENSMUSG00000073557.11 transcript:ENSMUST00000168381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r12b description:protein phosphatase 1, regulatory (inhibitor) subunit 12B [Source:MGI Symbol;Acc:MGI:1916417] MAELEHLGGKRAESARARRAEQLRRWRGSLTEQEPAERQGAGRQLQTRRGSPRVRFEDGA VFLAACSSGDTDEVKKLLARGADINTVNVDGLTALHQACIDENLDMVKFLVENRANVNQQ DNEGWTPLHAAASCGYLNIAEYFISHGASVGIVNSEGEVPSDLAEEPAMKDLLLEQVKKQ GVDLEQSRKEEEQQMLQDARQWLNSGRIEDVRQARSGATALHVAAAKGYSEVLRLLIQAG YELNVQDHDGWTPLHAAAHWGVKEACSILAEALCDMDIRNKLGQTPFDVADEGLVEHLEM LQKKQDVLRSEKETRNKLIESDLNSKFQSGLFKNKEKMLYEEEIPKSQDTEEENKESSSS SSEEEEGEDEVSESETEKEADKKPEATVNHSNSEIKSRIMEQIPAPAQNTFSASSARRLS SLFNKAEEPKDESPSSWRLGLRKTGSHNMLSEVANSREALRDRGSSIYRSSSSPRISALL DDKDKERENKSYFSMLVPRRLSSTSDIEEKENRESAVNLVRSGSHTRQLWRDEAKGSETP QTIAPSTYTSTYLKRTPYKSQADSTAEKTADSVSSSTPLCVITNRPAPSTANGVPAATVF SSAGTDPSVEAREKRRSYLTPVRDEEAESLRKARSRQARQTRRSTQGVTLTDLQEAEKTF SRSRAERQAQEQPGEKLEDPGGLEGSTKKQEPSAAPTKGAGEGRSLEEEPIYHRLRYPTQ PDKPTTPVSPSASRPSLYTGSHLLRTSRASGPDSENSETSTHATAAKEMDTSEKGEADLD DQSSNRLSVRERRRAKDRRRGTGINFWTNDEDETDVSEEVKEALHERLSRLESGGTNPTS SDSYSDRASARARREAREARLASLTSRVEEDSNRDYKKLYESALTENQKLKTKLQEAQLE LADIKAKLEKMAQQKQEKTSDRSSVLEVEKRERRALERKMSEMEEEMKNLHQLKQIQTLK QMNEQLQAENRALTRVVARLSKSIESSDTQEL >ENSMUSP00000105693.1 pep:known chromosome:GRCm38:12:100876682:100908198:-1 gene:ENSMUSG00000047415.11 transcript:ENSMUST00000110066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr68 description:G protein-coupled receptor 68 [Source:MGI Symbol;Acc:MGI:2441763] MGNITTENSSLSCPIDHTIHQTLAPVVYVTVLVVGFPANCLSLYFGYLQIKARNELGVYL CNLTIADLFYICSLPFWLQYVLQHDDWSHGDLSCQVCGILLYENIYISVGFLCCISIDRY LAVAHPFRFHQFRTLKAAVGVSVLIWAKELLTSIYFLNHKEVIEDEDQHRVCFEHYPIQA WQRSINYYRFLVGFLFPICLLLASYQGILRAVRRSHGTQKSRKDQIQRLVLSTVVIFLAC FLPYHVLLLVRSLWERNCEFAKSIFNVYHFSLLLTSFNCVADPVLYCFVSETTHRDLARL RGACLAVLTCSRTSRAREAYPLGAPEASGKSGAQGEEPELLTKLHSAFQTPSSLGVGGPS TVGLA >ENSMUSP00000105692.1 pep:known chromosome:GRCm38:12:100876685:100908181:-1 gene:ENSMUSG00000047415.11 transcript:ENSMUST00000110065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr68 description:G protein-coupled receptor 68 [Source:MGI Symbol;Acc:MGI:2441763] MGNITTENSSLSCPIDHTIHQTLAPVVYVTVLVVGFPANCLSLYFGYLQIKARNELGVYL CNLTIADLFYICSLPFWLQYVLQHDDWSHGDLSCQVCGILLYENIYISVGFLCCISIDRY LAVAHPFRFHQFRTLKAAVGVSVLIWAKELLTSIYFLNHKEVIEDEDQHRVCFEHYPIQA WQRSINYYRFLVGFLFPICLLLASYQGILRAVRRSHGTQKSRKDQIQRLVLSTVVIFLAC FLPYHVLLLVRSLWERNCEFAKSIFNVYHFSLLLTSFNCVADPVLYCFVSETTHRDLARL RGACLAVLTCSRTSRAREAYPLGAPEASGKSGAQGEEPELLTKLHSAFQTPSSLGVGGPS TVGLA >ENSMUSP00000057510.3 pep:known chromosome:GRCm38:12:100876685:100899524:-1 gene:ENSMUSG00000047415.11 transcript:ENSMUST00000053668.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr68 description:G protein-coupled receptor 68 [Source:MGI Symbol;Acc:MGI:2441763] MGNITTENSSLSCPIDHTIHQTLAPVVYVTVLVVGFPANCLSLYFGYLQIKARNELGVYL CNLTIADLFYICSLPFWLQYVLQHDDWSHGDLSCQVCGILLYENIYISVGFLCCISIDRY LAVAHPFRFHQFRTLKAAVGVSVLIWAKELLTSIYFLNHKEVIEDEDQHRVCFEHYPIQA WQRSINYYRFLVGFLFPICLLLASYQGILRAVRRSHGTQKSRKDQIQRLVLSTVVIFLAC FLPYHVLLLVRSLWERNCEFAKSIFNVYHFSLLLTSFNCVADPVLYCFVSETTHRDLARL RGACLAVLTCSRTSRAREAYPLGAPEASGKSGAQGEEPELLTKLHSAFQTPSSLGVGGPS TVGLA >ENSMUSP00000132900.1 pep:known chromosome:GRCm38:6:66636823:66637752:-1 gene:ENSMUSG00000091012.1 transcript:ENSMUST00000074381.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r34 description:vomeronasal 1 receptor 34 [Source:MGI Symbol;Acc:MGI:3644800] MFSLNNILYFQAGLGAMANIFLLFFYTMIILCHRSKPMDMISCQLTFVHTMMCLTGGNVW LTDLLGSLNIVNDFICKATFYINRVMRGLSICITCLLSVFQAVTISPNTSLLAKFKYKLK KYMISSFFYFWSFNLSFSINRIFYAGAYTNVSETNQLKVTKYCSLFPMNYIIRGLILTMT TLRDVFLVGVMLTTSTYMVIILFRHQRQCKHLHSISHFRASPEKRATQTILLLLVFFVVM YWVDFIISSSSVLLWMYDPVMLTVQKFVMNVYPTITPLVQISSDKRIIIQKKCKNYASRY FKKCNFPLI >ENSMUSP00000099505.3 pep:known chromosome:GRCm38:4:149104146:149118870:1 gene:ENSMUSG00000028974.13 transcript:ENSMUST00000103216.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dffa description:DNA fragmentation factor, alpha subunit [Source:MGI Symbol;Acc:MGI:1196227] MELSRGASAPDPDDVRPLKPCLLRRNHSRDQHGVAASSLEELRSKACELLAIDKSLTPIT LVLAEDGTIVDDDDYFLCLPSNTKFVALACNEKWIYNDSDGGTAWVSQESFEADEPDSRA GVKWKNVARQLKEDLSSIILLSEEDLQALIDIPCAELAQELCQSCATVQGLQSTLQQVLD QREEARQSKQLLELYLQALEKEGNILSNQKESKAALSEELDAVDTGVGREMASEVLLRSQ ILTTLKEKPAPELSLSSQDLEVGKN >ENSMUSP00000030816.3 pep:known chromosome:GRCm38:4:149104146:149120647:1 gene:ENSMUSG00000028974.13 transcript:ENSMUST00000030816.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dffa description:DNA fragmentation factor, alpha subunit [Source:MGI Symbol;Acc:MGI:1196227] MELSRGASAPDPDDVRPLKPCLLRRNHSRDQHGVAASSLEELRSKACELLAIDKSLTPIT LVLAEDGTIVDDDDYFLCLPSNTKFVALACNEKWIYNDSDGGTAWVSQESFEADEPDSRA GVKWKNVARQLKEDLSSIILLSEEDLQALIDIPCAELAQELCQSCATVQGLQSTLQQVLD QREEARQSKQLLELYLQALEKEGNILSNQKESKAALSEELDAVDTGVGREMASEVLLRSQ ILTTLKEKPAPELSLSSQDLESVSKEDPKALAVALSWDIRKAETVQQACTTELALRLQQV QSLHSLRNLSARRSPLPGEPQRPKRAKRDSS >ENSMUSP00000071362.1 pep:known chromosome:GRCm38:6:66678794:66679684:-1 gene:ENSMUSG00000060699.1 transcript:ENSMUST00000071414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r35 description:vomeronasal 1 receptor 35 [Source:MGI Symbol;Acc:MGI:2159444] MFSLNNILYFQAGLGAIANIVLLFFYTIIILCHRSKPMDMISCQLTFIHIMLFLTGGHAW LTDFFVSLNIGNDFICKATAYINRVMRGLSICITCLLSVFQAVTISPNTSLLAKFKHKLK KYMISSFFYFWSFNLSFSINRIIYTGAYTNVSETSQLKVIKYCSLFPMNYIIRGLILTMT TLRDVFLVGVMLTTSTYMVIILFRHQRQCKHLHSINHLRASPEKRATHTILLLVVFFVVM YWVDFIISSTSVLLWMYDPVILTVQKFVMNAYPTITPLVQISSDKRISMMLKNMQK >ENSMUSP00000111008.3 pep:known chromosome:GRCm38:9:21907491:21918192:-1 gene:ENSMUSG00000019066.13 transcript:ENSMUST00000115351.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3d description:RAB3D, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97844] MASASEPPASPRDAADQNFDYMFKLLLIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFK VKTVYRHDKRIKLQIWDTAGQERYRTITTAYYRGAMGFLLMYDIANQESFTAVQDWATQI KTYSWDNAQVILVGNKCDLEDERVVPAEDGRRLADDLGFEFFEASAKENINVKQVFERLV DIICDKMNESLEPSSSPGSNGKGPALGDTPPPQPSSCSC >ENSMUSP00000113322.1 pep:known chromosome:GRCm38:9:21907510:21918139:-1 gene:ENSMUSG00000019066.13 transcript:ENSMUST00000122211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3d description:RAB3D, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97844] MASASEPPASPRDAADQNFDYMFKLLLIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFK VKTVYRHDKRIKLQIWDTAGQERYRTITTAYYRGAMGFLLMYDIANQESFTAVQDWATQI KTYSWDNAQVILVGNKCDLEDERVVPAEDGRRLADDLGFEFFEASAKENINVKQVFERLV DIICDKMNESLEPSSSPGSNGKGPALGDTPPPQPSSCSC >ENSMUSP00000120067.1 pep:known chromosome:GRCm38:9:21914892:21918089:-1 gene:ENSMUSG00000019066.13 transcript:ENSMUST00000128442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3d description:RAB3D, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97844] MASASEPPASPRDAADQNFDYMFKLLLIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFK VKTVYRHDKRIKLQIWDTAGQERYRTITTAYYRGAMGFLLMYDIAN >ENSMUSP00000114106.1 pep:known chromosome:GRCm38:9:21908642:21918117:-1 gene:ENSMUSG00000019066.13 transcript:ENSMUST00000119055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3d description:RAB3D, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:97844] MASASEPPASPRDAADQNFDYMFKLLLIGNSSVGKTSFLFRYADDSFTPAFVSTVGIDFK VKTVYRHDKRIKLQIWDTAGQERYRTITTAYYRGAMGFLLMYDIANQESFTAVQDWATQR NPVLKNREKERESKRE >ENSMUSP00000116668.1 pep:known chromosome:GRCm38:14:20394193:20452222:-1 gene:ENSMUSG00000039543.15 transcript:ENSMUST00000144797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap70 description:cilia and flagella associated protein 70 [Source:MGI Symbol;Acc:MGI:1923920] MDQTSSTTKIVHITVTNGYDLKGFKGDTPVTFVRAEFNQTVLGDSSKVTVSPEGTAKYNF TSNIDLSPDGGGALDDLAHKPLFLTVTEVLPKEKKQKDEKTLILGQAVVDLLPLLEGEES FETTVPLHPVPGSPLETPLPGSKQCSLDVKVFVAEPLLTPAQVSASNLLKVTLEAAYSVP ESFIPVGPQQNYMVGLQVPSVGEKDYTMIFKNGNLKLGGEKEPVPRPKKWPIANILAPGA SNIPDEFIVGGPYEEEEGELNHPEDREFRNQAECTKKRIVWDLESRCFLHPFAVASFQKR IADCRLWPVEITRVPLVVMPKAKPGKQIDDENQLSFHGVAYINMVPLLYPGVKKIRGAFH VYPYLDGTVFEKTKCLFSLFRDTGHHLVQNNKAGGLNSPLSKPLSKNLKEEKPGKDKDTE GRPRLGELQAPSIKSQSSDTPLESEAPLSHNLEGQQYIEAGTYIVLEIQLEKALVPKRMP EELARRYRVKEMIPPRPPLTRRTGGAQKAVSDYHTQIKSISRAILNEYYRMFGKQGPKLE SDIDNETMEERKCQLNYELNCSGKYFAFKEQLKHAVVKIVREKYLKTTAFESQEELQTFI SELYVFLVDQMHVALNQDLNDKAVPDDVPSSTSTIQTSSEQLRLFAFEAEVNEKFEIAAM YYEERLVREPQNLENWLDYGAFCLLTEDNIKAQECFRKALSLNESHVDSLLLCGVLAILL ENYEQAEIFFEDATCLEPTNVIAWTLLGLFYEIQNNDIRMEMAFHEAFKQLQARTLQTKL KSTVTIENMEEGVKVEPSFGPWGVVQESTTAIKTEGLSGMRPQSSHQLSPHTNMELHPQP QGPNTALSSLDEFLEESPKAQSESQEPMATGQPLEPSLVQRSSNALLKELTSKKDISKCQ DSSAFSPPTQHVIAQPPVTIFMETIRFLMKVNAVQFVHRVLAHELLCPQGGPSCEYYLVL AQTHLLKKDFAKTEEYLQQAAQMDYLNPNVWGVKGHLYFLSGNHAEAKECYERTISFVVD ASEMHFIFLRLGHIYLEEKEYENAKRTYMHACKRSPSCLTWLGLGIACYRLEELTEAEDA LSEANALNNCNAEVWAYLALVCLKVGRQLEAEQAYKYTIKLKLKDQALLEEIHTVQEMVG FGNPSF >ENSMUSP00000119023.1 pep:known chromosome:GRCm38:14:20439665:20452223:-1 gene:ENSMUSG00000039543.15 transcript:ENSMUST00000151077.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap70 description:cilia and flagella associated protein 70 [Source:MGI Symbol;Acc:MGI:1923920] MDQTSSTTKIVHITVTNGYDLKGFKGDTPVTFVRAEFNQTVLGDSSKVTVSPEGTAKYNF TSNIDLSPDGGGALDDLAHKPLFLTVTEVLPKEKKQKDEKTLILGQAVVDLLPLLEGEES FETTVPLHPVPGSPLETPLPGSKQCSLDVKVFVAEPLLTPAQVSASNLLKVTLEAAYSVP ESFIPVGPQQNYMVGLQVPSVGEKDYTMIFKNGNLKLGGEKEPVPRPKKWPIANILAPGA SNIPDEFIVGGPYEEEEGELNHPE >ENSMUSP00000022349.6 pep:known chromosome:GRCm38:14:20394190:20452225:-1 gene:ENSMUSG00000039543.15 transcript:ENSMUST00000022349.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap70 description:cilia and flagella associated protein 70 [Source:MGI Symbol;Acc:MGI:1923920] MDQTSSTTKIVHITVTNGYDLKGFKGDTPVTFVRAEFNQTVLGDSSKVTVSPEGTAKYNF TSNIDLSPDGGGALDDLAHKPLFLTVTEVLPKEKKQKDEKTLILGQAVVDLLPLLEGEES FETTVPLHPVPGSPLETPLPGSKQCSLDVKVFVAEPLLTPAQVSASNLLKVTLEAAYSVP ESFIPVGPQQNYMVGLQVPSVGEKDYTMIFKNGNLKLGGEKEPVPRPKKWPIANILAPGA SNIPDEFIVGGPYEEEEGELNHPEDREFRNQAECTKKRIVWDLESRCFLHPFAVASFQKR IADCRLWPVEITRVPLVVMPKAKPGKLEKIDDENQLSFHGVAYINMVPLLYPGVKKIRGA FHVYPYLDGTVFEKQAPSIKSQSSDTPLESEAPLSHNLEGQQYIEAGTYIVLEIQLEKAL VPKRMPEELARRVKEMIPPRPPLTRRTGGAQKAVSDYHTQIKSISRAILNEYYRMFGKQG PKLESDIDNETMEERKCQLNYELNCSGKYFAFKEQLKHAVVKIVREKYLKTTAFESQEEL QTFISELYVFLVDQMHVALNQAVPDDVPSSTSTIQTSSEQLRLFAFEAEVNEKFEIAAMY YEERLVREPQNLENWLDYGAFCLLTEDNIKAQECFRKALSLNESHVDSLLLCGVLAILLE NYEQAEIFFEDATCLEPTNVIAWTLLGLFYEIQNNDIRMEMAFHEAFKQLQARTLQTKLK STVTIENMEEGVKVEPSFGPWGVVQESTTAIKTEGLSGEIPCLFITHHLGPGLKCLLILI FISYRIYSLHKYSLKTGMRPQSSHQLSPHTNMELHPQPQGPNTALSSLDEFLEESPKAQS ESQEPMATGQPLEPSLVQRSSNALLKELTSKKDISKCQDSSAFSPPTQHVIAQPPVTIFM ETIRFLMKVNAVQFVHRVLAHELLCPQGGPSCEYYLVLAQTHLLKKDFAKTEEYLQQAAQ MDYLNPNVWGVKGHLYFLSGNHAEAKECYERTISFVVDASEMHFIFLRLGHIYLEEKEYE NAKRTYMHACKRSPSCLTWLGLGIACYRLEELTEAEDALSEANALNNCNAEVWAYLALVC LKVGRQLEAEQAYKYTIKLKLKDQALLEEIHTVQEMVGFGNPSF >ENSMUSP00000022348.7 pep:known chromosome:GRCm38:14:20394190:20452225:-1 gene:ENSMUSG00000039543.15 transcript:ENSMUST00000022348.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap70 description:cilia and flagella associated protein 70 [Source:MGI Symbol;Acc:MGI:1923920] MDQTSSTTKIVHITVTNGYDLKGFKGDTPVTFVRAEFNQTVLGDSSKVTVSPEGTAKYNF TSNIDLSPDGGGALDDLAHKPLFLTVTEVLPKEKKQKDEKTLILGQAVVDLLPLLEGEES FETTVPLHPVPGSPLETPLPGSKQCSLDVKVFVAEPLLTPAQVSASNLLKVTLEAAYSVP ESFIPVGPQQNYMVGLQVPSVGEKDYTMIFKNGNLKLGGEKEPVPRPKKWPIANILAPGA SNIPDEFIVGGPYEEEEGELNHPEDREFRNQAECTKKRIVWDLESRCFLHPFAVASFQKR IADCRLWPVEITRVPLVVMPKAKPGKLEKIDDENQLSFHGVAYINMVPLLYPGVKKIRGA FHVYPYLDGTVFEKTKCLFSLFRDTGHHLVQNNKAGGLNSPLSKPLSKNLKEEKPGKDKD TEGRPRLGELQAPSIKSQSSDTPLESEAPLSHNLEGQQYIEAGTYIVLEIQLEKALVPKR MPEELARRVKEMIPPRPPLTRRTGGAQKAVSDYHTQIKSISRAILNEYYRMFGKQGPKLE SDIDNETMEERKCQLNYELNCSGKYFAFKEQLKAVPDDVPSSTSTIQTSSEQLRLFAFEA EVNEKFEIAAMYYEERLVREPQNLENWLDYGAFCLLTEDNIKAQECFRKALSLNESHVDS LLLCGVLAILLENYEQAEIFFEDATCLEPTNVIAWTLLGLFYEIQNNDIRMEMAFHEAFK QLQARTLQTKLKSTVTIENMEEGVKVEPSFGPWGVVQESTTAIKTEGLSGMRPQSSHQLS PHTNMELHPQPQGPNTALSSLDEFLEESPKAQSESQEPMATGQPLEPSLVQRSSNALLKE LTSKKDISKCQDSSAFSPPTQHVIAQPPVTIFMETIRFLMKVNAVQFVHRVLAHELLCPQ GGPSCEYYLVLAQTHLLKKDFAKTEEYLQQAAQMDYLNPNVWGVKGHLYFLSGNHAEAKE CYERTISFVVDASEMHFIFLRLGHIYLEEKEYENAKRTYMHACKRSPSCLTWLGLGIACY RLEELTEAEDALSEANALNNCNAEVWAYLALVCLKVGRQLEAEQAYKYTIKLKLKDQALL EEIHTVQEMVGFGNPSF >ENSMUSP00000056869.6 pep:known chromosome:GRCm38:14:20394190:20452225:-1 gene:ENSMUSG00000039543.15 transcript:ENSMUST00000056073.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap70 description:cilia and flagella associated protein 70 [Source:MGI Symbol;Acc:MGI:1923920] MDQTSSTTKIVHITVTNGYDLKGFKGDTPVTFVRAEFNQTVLGDSSKVTVSPEGTAKYNF TSNIDLSPDGGGALDDLAHKPLFLTVTEVLPKEKKQKDEKTLILGQAVVDLLPLLEGEES FETTVPLHPVPGSPLETPLPGSKQCSLDVKVFVAEPLLTPAQVSASNLLKVTLEAAYSVP ESFIPVGPQQNYMVGLQVPSVGEKDYTMIFKNGNLKLGGEKEPVPRPKKWPIANILAPGA SNIPDEFIVGGPYEEEEGELNHPEDREFRNQAECTKKRIVWDLESRCFLHPFAVASFQKR IADCRLWPVEITRVPLVVMPKAKPGKLEKIDDENQLSFHGVAYINMVPLLYPGVKKIRGA FHVYPYLDGTVFEKTKCLFSLFRDTGHHLVQNNKAGGLNSPLSKPLSKNLKEEKPGKDKD TEGRPRLGELQAPSIKSQSSDTPLESEAPLSHNLEGQQYIEAGTYIVLEIQLEKALVPKR MPEELARRVKEMIPPRPPLTRRTGGAQKAVSDYHTQIKSISRAILNEYYRMFGKQGPKLE SDIDNETMEERKCQLNYELNCSGKYFAFKEQLKHAVVKIVREKYLKTTAFESQEELQTFI SELYVFLVDQMHVALNQAVPDDVPSSTSTIQTSSEQLRLFAFEAEVNEKFEIAAMYYEER LVREPQNLENWLDYGAFCLLTEDNIKAQECFRKALSLNESHVDSLLLCGVLAILLENYEQ AEIFFEDATCLEPTNVIAWTLLGLFYEIQNNDIRMEMAFHEAFKQLQARTLQTKLKSTVT IENMEEGVKVEPSFGPWGVVQESTTAIKTEGLSGMRPQSSHQLSPHTNMELHPQPQGPNT ALSSLDEFLEESPKAQSESQEPMATGQPLEPSLVQRSSNALLKELTSKKDISKCQDSSAF SPPTQHVIAQPPVTIFMETIRFLMKVNAVQFVHRVLAHELLCPQGGPSCEYYLVLAQTHL LKKDFAKTEEYLQQAAQMDYLNPNVWGVKGHLYFLSGNHAEAKECYERTISFVVDASEMH FIFLRLGHIYLEEKEYENAKRTYMHACKRSPSCLTWLGLGIACYRLEELTEAEDALSEAN ALNNCNAEVWAYLALVCLKVGRQLEAEQAYKYTIKLKLKDQALLEEIHTVQEMVGFGNPS F >ENSMUSP00000127449.1 pep:known chromosome:GRCm38:13:77135540:77613291:1 gene:ENSMUSG00000071252.5 transcript:ENSMUST00000168779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210408I21Rik description:RIKEN cDNA 2210408I21 gene [Source:MGI Symbol;Acc:MGI:1919621] MDALQEYSHNSFDLQCLLNSFPGDLEFKQIFSDIGEQMEQNAASIEHCIEEIQSEVNKLC PDAQLQTTSDCFKWLTSYNYNLSKSPSISHGDLINFLKTMKDLLNNEDNHEEMILDLLWD LSCQSSISFLSSLGGTTFCHLSRTSIHSVEDFSSVDVKSVWDDVRLHLRRFLVNRLERYN EINNSQQKIELKSQCMKQFLLLYSESEVLVKYQSIQKRLLDTFLQDSFPSCNRESDLERI VCGYQSTMLMLYSMIKEDFNVLCEILAPSSLVQFFNETYLDTVTEEMTKILEYFCELQFK ENAVPVVKTSKSCNKRRGAVHALVSPECSQKERRPSLSLEELRFLSQLTESFVKLENSIQ ELFAETLSLLQMPRSSPGILEKSKKEVMVEKLIANENNKPPEALLPVKEATLLEFGWRNA FKEVPLAIAHCISAAIEGFSTQVLQQEQTERTSSVSYTINLVNVPQLCPEGHIFPEEEQP KRVAKFCSDIIEKLDTMLLLALACRDDSPQEIKENLVEAYSKVATAVLERLQERGKEVPS RAPLKNLHSILSSATYVSQRFTHYDNLMKETTKKPIFLVPVQRYQEFINTVQFQVTDYCA RVCAMSILQDAESHHWDDYKAFYEGERCSFAIQMWHYFSLALHHDLWTILPPKLAQEILA EMLEKSLGLLASRYARAHPSPKRTAQIRLDVMAILIFTENMLWSICSSGQEILNPHKFNN HKIFKIHTHCNNLFTTLAILTSPLTELYKTFQHGVDESPSNSLTPLFNQPLHWISCMSQF YPSLLRPPSVGGLTAQGQLKLLLSQPCCKWNLLLDTLLHSGGLIPRILLKSSKQAAGMES KQSAGGSLVGAIFEVLYHCHLSSQTFGNVFMSHMEEEQLWDFLFNIPVSSFTESQPEVIH CLRLALMDSVKDTVQQIISIMRCRRNSETNLNKPRVPDHLLQSIPQGWNYIPRDSRGKES NKGITELAAQAVSIVLSKLPTVIACLPPTIKYFFFLSERKMSKNLAELKKAGLLVWNLII IICRIFEDGNTVERLTGSSLDRWSKEKLGLICLCLESILGKQSNPSQLTQKVILSIERQK PNWMEQQLLKARTLSIQCAFTRMEENSGSEGEAALELTEQKTNAMVLDLCHKPGGSKYLQ QIYHIMQLNEEYLKEQLFAMNGSEEKPLLIRPLKVALRDEDQPPAFNPFHVHKVVSESML DQVATVTWCCDWSNLLPNYLGLNKMTFGALFKNRWEMRKDETLEEKEKMMLEHLKQNCTI QDFSTSDSKTEQ >ENSMUSP00000016553.4 pep:known chromosome:GRCm38:X:37126795:37150746:1 gene:ENSMUSG00000016409.4 transcript:ENSMUST00000016553.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkap description:NFKB activating protein [Source:MGI Symbol;Acc:MGI:1914300] MAPVSGSRSPEREASGAKRRSPSRSPKSIKSSRSPRCRRSRSRSCSRFGDRNGLSHSLSG FSQSSRNQSYRSRSRSRSRERPSAQRSAPFASASSSAYYGGYSRPYGGDKPWPSLLDKER EESLRQKRLSERERIGELGAPEVWGLSPKNPEPDSDEHTPVEDEEPKKSTTSASSSEDDK KKKRKSSHSKDRAKKKRKKKSSKRKHKKYSEDSDSDSESDTDSSDEDSKRRAKKAKKKDK KKKRRGKKYKKKKSKKNRKESSDSSSKESQEEFLENPWKDRSKAEEPSDLIGPEAPKTLA SQDDKPLNYGHALLPGEGAAMAEYVKAGKRIPRRGEIGLTSEEIASFECSGYVMSGSRHR RMEAVRLRKENQIYSADEKRALASFNQEERRKRENKILASFREMVYRKTKGKDDK >ENSMUSP00000067830.4 pep:known chromosome:GRCm38:12:100779057:100872610:1 gene:ENSMUSG00000021185.15 transcript:ENSMUST00000069782.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030617O03Rik description:RIKEN cDNA 9030617O03 gene [Source:MGI Symbol;Acc:MGI:2444813] MTISFLLRSCLRSAVRSLPKAALIRNTSSMTEGLQPASVVVLPRSLAPAFESFCQGNRGP LPLLGQSEAVKTLPQLSAVSDIRTICPQLQKYKFGTCTGILTSLEEHSEQLKEMVTFIID CSFSIEEALEQAGIPRRDLTGPSHAGAYKTTVPCATIAGFCCPLVVTMRPIPKDKLERLL QATHAIRGQQGQPIHIGDPGLLGIEALSKPDYGSYVECRPEDVPVFWPSPLTSLEAVISC KAPLAFASPPGCMVMVPKDTASSASCLTPEMVPEVHAISKDPLHYSIVSAPAAQKVRELE STIAVDPGNRGIGHLLLKDELLQAALSLSHARSVLVTTGFPTHFNHEPPEETDGPPGAIA LAAFLQALGKETAMVVDQRALNLHMRIVEDAIRQGVLKTPIPILTYQGRSMEDARAFLCK DGDPKSPRFDHLVAIERAGRAADGNYYNARKMNIKHLVDPIDDIFLAAQKIPGISSTGVG DGGNELGMGKVKAAVKKHIRNGDVIACDVEADFAVIAGVSNWGGYALACALYILNSCQVH ERYLRRATGPSRRAGEQSWIQALPSVAKEEKMLGILVENQVRSGVSGIVGMEVDGLPFHD VHAEMIRKLVGATTVHM >ENSMUSP00000105697.1 pep:known chromosome:GRCm38:12:100779086:100896981:1 gene:ENSMUSG00000021185.15 transcript:ENSMUST00000110070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030617O03Rik description:RIKEN cDNA 9030617O03 gene [Source:MGI Symbol;Acc:MGI:2444813] MTISFLLRSCLRSAVRSLPKAALIRNTSSMTEGLQPASVVVLPRSLAPAFESFCQGNRGP LPLLGQSEAVKTLPQLSAVSDIRTICPQLQKYKFGTCTGILTSLEEHSEQLKEMVTFIID CSFSIEEALEQAGIPRRDLTGPSHAGAYKTTVPCATIAGFCCPLVVTMRPIPKDKLERLL QATHAIRGQQGQPIHIGDPGLLGIEALSKPDYGSYVECRPEDVPVFWPSPLTSLEAVISC KAPLAFASPPGCMVMVPKDTASSASCLTPEMVPEVHAISKDPLHYSIVSAPAAQKVRELE STIAVDPGNRGIGHLLLKDELLQAALSLSHARSVLVTTGFPTHFNHEPPEETDGPPGAIA LAAFLQALGKETAMVVDQRALNLHMRIVEDAIRQGVLKTPIPILTYQGRSMEDARAFLCK DGDPKSPRFDHLVAIERAGRAADGNYYNARKMNIKHLVDPIDDIFLAAQKIPGISSTGVG DGGNELGMGKVKAAVKKHIRNGDVIACDVEADFAVIAGVSNWGGYALACALYILNSCQVH ERYLRRATGPSRRAGEQSWIQALPSVAKPV >ENSMUSP00000105696.1 pep:known chromosome:GRCm38:12:100779095:100872354:1 gene:ENSMUSG00000021185.15 transcript:ENSMUST00000110069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030617O03Rik description:RIKEN cDNA 9030617O03 gene [Source:MGI Symbol;Acc:MGI:2444813] MTISFLLRSCLRSAVRSLPKAALIRNTSSMTEGLQPASVVVLPRSLAPAFESFCQGNRGP LPLLGQSEAVKTLPQLSAVSDIRTICPQLQKYKFGTCTGILTSLEEHSEQLKEMVTFIID CSFSIEEALEQAGIPRRDLTGPSHAGAYKTTVPCATIAGFCCPLVVTMRPIPKDKLERLL QATHAIRGQQGQPIHIGDPGLLGIEALSKPDYGSYVECRPEDVPVFWPSPLTSLEAVISC KAPLAFASPPGCMVMVPKDTASSASCLTPEMVPEVHAISKDPLHYSIVSAPAAQKVRELE STIAVDPGNRGIGHLLLKDELLQAALSLSHARSVLVTTGFPTHFNHEPPEETDGPPGAIA LAAFLQALGKETAMVVDQRALNLHMRIVEDAIRQGVLKTPIPILTYQGRSMEDARAFLCK DGDPKSPRFDHLVAIERAGRAADGNYYNARKMNIKHLVDPIDDIFLAAQKIPGISSTGVG DGGNELGMGKVKAAVKKHIRNGDVIACDVEADFAVIAGVSNWGGYALACALYILNSCQVH ERYLRRATGPSRRAGEQSWIQALPSVAKEEKMLGILVENQVRSGVSGIVGMEVDGLPFHD VHAEMIRKLVGATTVHM >ENSMUSP00000105700.1 pep:known chromosome:GRCm38:12:100779078:100872354:1 gene:ENSMUSG00000021185.15 transcript:ENSMUST00000110073.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030617O03Rik description:RIKEN cDNA 9030617O03 gene [Source:MGI Symbol;Acc:MGI:2444813] MFSRTWNWKNEISPLLGSYLVPCRSFRDGIMTISFLLRSCLRSAVRSLPKAALIRNTSSM TEGLQPASVVVLPRSLAPAFESFCQGNRGPLPLLGQSEAVKTLPQLSAVSDIRTICPQLQ KYKFGTCTGILTSLEEHSEQLKEMVTFIIDCSFSIEEALEQAGIPRRDLTGPSHAGAYKT TVPCATIAGFCCPLVVTMRPIPKDKLERLLQATHAIRGQQGQPIHIGDPGLLGIEALSKP DYGSYVECRPEDVPVFWPSPLTSLEAVISCKAPLAFASPPGCMVMVPKDTASSASCLTPE MVPEVHAISKDPLHYSIVSAPAAQKVRELESTIAVDPGNRGIGHLLLKDELLQAALSLSH ARSVLVTTGFPTHFNHEPPEETDGPPGAIALAAFLQALGKETAMVVDQRALNLHMRIVED AIRQGVLKTPIPILTYQGRSMEDARAFLCKDGDPKSPRFDHLVAIERAGRAADGNYYNAR KMNIKHLVDPIDDIFLAAQKIPGISSTGVGDGGNELGMGKVKAAVKKHIRNGDVIACDVE ADFAVIAGVSNWGGYALACALYILNSCQVHERYLRRATGPSRRAGEQSWIQALPSVAKEE KMLGILVENQVRSGVSGIVGMEVDGLPFHDVHAEMIRKLVGATTVHM >ENSMUSP00000119832.1 pep:known chromosome:GRCm38:12:100779136:100841383:1 gene:ENSMUSG00000021185.15 transcript:ENSMUST00000154603.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:9030617O03Rik description:RIKEN cDNA 9030617O03 gene [Source:MGI Symbol;Acc:MGI:2444813] MTISFLLRSCLRSAVRSLPKAALIRNTSSMTEGPSVHSCRNTSLAPAQAS >ENSMUSP00000122512.1 pep:known chromosome:GRCm38:12:100867189:100875399:1 gene:ENSMUSG00000021185.15 transcript:ENSMUST00000124957.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030617O03Rik description:RIKEN cDNA 9030617O03 gene [Source:MGI Symbol;Acc:MGI:2444813] XATGPSRRAGEQSWIQALPSVAKEEKMLGILVENQVRSGVSGIVGMEVDGLPFHDVHAEM IRKLVGATTVHM >ENSMUSP00000129876.1 pep:known chromosome:GRCm38:12:100786142:100872348:1 gene:ENSMUSG00000021185.15 transcript:ENSMUST00000167322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030617O03Rik description:RIKEN cDNA 9030617O03 gene [Source:MGI Symbol;Acc:MGI:2444813] MTISFLLRSCLRSAVRSLPKAALIRNTSSMTEGLQPASVVVLPRSLAPAFESFCQGNRGP LPLLGQSEAVKTLPQLSAVSDIRTICPQLQKYKFGTCTGILTSLEEHSEQLKEMVTFIID CSFSIEEALEQAGIPRRDLTGPSHAGAYKTTVPCATIAGFCCPLVVTMRPIPKDKLERLL QATHAIRGQQGQPIHIGDPGLLGIEALSKPDYGSYVECRPEDVPVFWPSPLTSLEAVISC KAPLAFASPPGCMVMVPKDTASSASCLTPEMVPEVHAISKDPLHYSIVSAPAAQKVRELE STIAVDPGNRGIGHLLLKDELLQAALSLSHARSVLVTTGFPTHFNHEPPEETDGPPGAIA LAAFLQALGKETAMVVDQRALNLHMRIVEDAIRQGVLKTPIPILTYQGRSMEDARAFLCK DGDPKSPRFDHLVAIERAGRAADGNYYNARKMNIKHLVDPIDDIFLAAQKIPGISSTGVG DGGNELGMGKVKAAVKKHIRNGDVIACDVEADFAVIAGVSNWGGYALACALYILNSCQVH ERYLRRATGPSRRAGEQSWIQALPSVAKEEKMLGILVENQVRSGVSGIVGMEVDGLPFHD VHAEMIRKLVGATTVHM >ENSMUSP00000114028.1 pep:known chromosome:GRCm38:18:12252362:12305711:-1 gene:ENSMUSG00000057766.13 transcript:ENSMUST00000118525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd29 description:ankyrin repeat domain 29 [Source:MGI Symbol;Acc:MGI:2687055] MCRMSFKKETPLANAAFWAAKRGNLALLKLLLNSGRVDVDCRDSHGTTLLMVASYAGHID CVRELVLQGADINLQRESGTTALFFAAQQGHNDVVRFLFGFGASTECRTKDGGTALLAAS QYGHMPVVETLLKHGANIHDQLYDGATALFLAAQGGYLDVIRLLLSSGAKVNQPRQDGTA PLWIASQMGHSEVVRVMLLRGADRDAARNDGTTALLKAANKGYNDVIEELLKFSPTLGIL KNGTSALHAAVLSGNVKTVALLLEAGADPALRNKANELPAELTKNERILHLLRQKEGPGK NELGSILDR >ENSMUSP00000112888.1 pep:known chromosome:GRCm38:18:12254582:12305638:-1 gene:ENSMUSG00000057766.13 transcript:ENSMUST00000122408.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd29 description:ankyrin repeat domain 29 [Source:MGI Symbol;Acc:MGI:2687055] MCRMSFKKETPLANAAFWAAKRGNLALLKLLLNSGRVDVDCRDSHGTTLLMVASYAGHID CVRELVLQGADINLQRESGTTALFFAAQQGHNDVVRFLFGFGASTECRTKDGGTALLAAS QYGHMPVVETLLKHGANIHDQLYDGATALFLAAQGGYLDVIRLLLSSGAKVNQPRQDGTA PLWIASQMGHSEVVRVMLLRGADRDAARNDGTTALLKAANKGYNDVIEELLKFSPTLGIL KANELPAELTKNERILHLLRQKEGPGKNELGSILDR >ENSMUSP00000119314.1 pep:known chromosome:GRCm38:18:12275760:12305720:-1 gene:ENSMUSG00000057766.13 transcript:ENSMUST00000142066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd29 description:ankyrin repeat domain 29 [Source:MGI Symbol;Acc:MGI:2687055] MCRMSFKSGTTALFFAAQQGHNDVVRFLFGFGASTECRTKDGGTALLAASQYGHMPVVET LLKHGANIHDQLYDGATALFLAAQGGYL >ENSMUSP00000099958.3 pep:known chromosome:GRCm38:10:51480632:51486316:1 gene:ENSMUSG00000089672.4 transcript:ENSMUST00000102894.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lilr4b description:leukocyte immunoglobulin-like receptor, subfamily B, member 4B [Source:MGI Symbol;Acc:MGI:102702] MIAMLTVLLYLALILEPRTAVQAGHLPKPIIWAEPGSVIAAYTSVIIWCWGSWEAQYYYL DKEKSVNPWDTEVPLENRNKTKFKIRFMTASYAGIYNCYYKSAAGFSEHSDAMELVMTGA YENPSLSVYPSSNVTSGVSISFKCSSSTLFGRFILIQEGKHGLSWTLDSQHQANQPTHAT FVLDAVAPNHNGTFRCYGFFRNEPQVWSKPSNSLDLMISETKEQSCTPTEDGLETYQKIL IGVLVSFLLLFFLLLFLILIGYQCRHKNKANASVKNTQSEDNAELNSWNPQNEDPPRELC TPR >ENSMUSP00000108152.1 pep:known chromosome:GRCm38:2:60292103:60383303:-1 gene:ENSMUSG00000026980.15 transcript:ENSMUST00000112533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly75 description:lymphocyte antigen 75 [Source:MGI Symbol;Acc:MGI:106662] MRTGRVTPGLAAGLLLLLLRSFGLVEPSESSGNDPFTIVHENTGKCIQPLSDWVVAQDCS GTNNMLWKWVSQHRLFHLESQKCLGLDITKATDNLRMFSCDSTVMLWWKCEHHSLYTAAQ YRLALKDGYAVANTNTSDVWKKGGSEENLCAQPYHEIYTRDGNSYGRPCEFPFLIGETWY HDCIHDEDHSGPWCATTLSYEYDQKWGICLLPESGCEGNWEKNEQIGSCYQFNNQEILSW KEAYVSCQNQGADLLSIHSAAELAYITGKEDIARLVWLGLNQLYSARGWEWSDFRPLKFL NWDPGTPVAPVIGGSSCARMDTESGLWQSVSCESQQPYVCKKPLNNTLELPDVWTYTDTH CHVGWLPNNGFCYLLANESSSWDAAHLKCKAFGADLISMHSLADVEVVVTKLHNGDVKKE IWTGLKNTNSPALFQWSDGTEVTLTYWNENEPSVPFNKTPNCVSYLGKLGQWKVQSCEKK LRYVCKKKGEITKDAESDKLCPPDEGWKRHGETCYKIYEKEAPFGTNCNLTITSRFEQEF LNYMMKNYDKSLRKYFWTGLRDPDSRGEYSWAVAQGVKQAVTFSNWNFLEPASPGGCVAM STGKTLGKWEVKNCRSFRALSICKKVSEPQEPEEAAPKPDDPCPEGWHTFPSSLSCYKVF HIERIVRKRNWEEAERFCQALGAHLPSFSRREEIKDFVHLLKDQFSGQRWLWIGLNKRSP DLQGSWQWSDRTPVSAVMMEPEFQQDFDIRDCAAIKVLDVPWRRVWHLYEDKDYAYWKPF ACDAKLEWVCQIPKGNTPQMPDWYNPERTGIHGPPVIIEGSEYWFVADPHLNYEEAVLYC ASNHSFLATITSFTGLKAIKNKLANISGEEQKWWVKTSENPIDRYFLGSRRRLWHHFPMT FGDECLHMSAKTWLVDLSKRADCNAKLPFICERYNVSSLEKYSPDPAAKVQCTEKWIPFQ NKCFLKVNSGPVTFSQASGICHSYGGTLPSVLSRGEQDFIISLLPEMEASLWIGLRWTAY ERINRWTDNRELTYSNFHPLLVGRRLSIPTNFFDDESHFHCALILNLKKSPLTGTWNFTS CSERHSLSLCQKYSETEDGQPWENTSKTVKYLNNLYKIISKPLTWHGALKECMKEKMRLV SITDPYQQAFLAVQATLRNSSFWIGLSSQDDELNFGWSDGKRLQFSNWAGSNEQLDDCVI LDTDGFWKTADCDDNQPGAICYYPGNETEEEVRALDTAKCPSPVQSTPWIPFQNSCYNFM ITNNRHKTVTPEEVQSTCEKLHSKAHSLSIRNEEENTFVVEQLLYFNYIASWVMLGITYE NNSLMWFDKTALSYTHWRTGRPTVKNGKFLAGLSTDGFWDIQSFNVIEETLHFYQHSISA CKIEMVDYEDKHNGTLPQFIPYKDGVYSVIQKKVTWYEALNACSQSGGELASVHNPNGKL FLEDIVNRDGFPLWVGLSSHDGSESSFEWSDGRAFDYVPWQSLQSPGDCVVLYPKGIWRR EKCLSVKDGAICYKPTKDKKLIFHVKSSKCPVAKRDGPQWVQYGGHCYASDQVLHSFSEA KQVCQELDHSATVVTIADENENKFVSRLMRENYNITMRVWLGLSQHSLDQSWSWLDGLDV TFVKWENKTKDGDGKCSILIASNETWRKVHCSRGYARAVCKIPLSPDYTGIAILFAVLCL LGLISLAIWFLLQRSHIRWTGFSSVRYEHGTNEDEVMLPSFHD >ENSMUSP00000028362.8 pep:known chromosome:GRCm38:2:60293760:60383231:-1 gene:ENSMUSG00000026980.15 transcript:ENSMUST00000028362.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly75 description:lymphocyte antigen 75 [Source:MGI Symbol;Acc:MGI:106662] MRTGRVTPGLAAGLLLLLLRSFGLVEPSESSGNDPFTIVHENTGKCIQPLSDWVVAQDCS GTNNMLWKWVSQHRLFHLESQKCLGLDITKATDNLRMFSCDSTVMLWWKCEHHSLYTAAQ YRLALKDGYAVANTNTSDVWKKGGSEENLCAQPYHEIYTRDGNSYGRPCEFPFLIGETWY HDCIHDEDHSGPWCATTLSYEYDQKWGICLLPESGCEGNWEKNEQIGSCYQFNNQEILSW KEAYVSCQNQGADLLSIHSAAELAYITGKEDIARLVWLGLNQLYSARGWEWSDFRPLKFL NWDPGTPVAPVIGGSSCARMDTESGLWQSVSCESQQPYVCKKPLNNTLELPDVWTYTDTH CHVGWLPNNGFCYLLANESSSWDAAHLKCKAFGADLISMHSLADVEVVVTKLHNGDVKKE IWTGLKNTNSPALFQWSDGTEVTLTYWNENEPSVPFNKTPNCVSYLGKLGQWKVQSCEKK LRYVCKKKGEITKDAESDKLCPPDEGWKRHGETCYKIYEKEAPFGTNCNLTITSRFEQEF LNYMMKNYDKSLRKYFWTGLRDPDSRGEYSWAVAQGVKQAVTFSNWNFLEPASPGGCVAM STGKTLGKWEVKNCRSFRALSICKKVSEPQEPEEAAPKPDDPCPEGWHTFPSSLSCYKVF HIERIVRKRNWEEAERFCQALGAHLPSFSRREEIKDFVHLLKDQFSGQRWLWIGLNKRSP DLQGSWQWSDRTPVSAVMMEPEFQQDFDIRDCAAIKVLDVPWRRVWHLYEDKDYAYWKPF ACDAKLEWVCQIPKGSTPQMPDWYNPERTGIHGPPVIIEGSEYWFVADPHLNYEEAVLYC ASNHSFLATITSFTGLKAIKNKLANISGEEQKWWVKTSENPIDRYFLGSRRRLWHHFPMT FGDECLHMSAKTWLVDLSKRADCNAKLPFICERYNVSSLEKYSPDPAAKVQCTEKWIPFQ NKCFLKVNSGPVTFSQASGICHSYGGTLPSVLSRGEQDFIISLLPEMEASLWIGLRWTAY ERINRWTDNRELTYSNFHPLLVGRRLSIPTNFFDDESHFHCALILNLKKSPLTGTWNFTS CSERHSLSLCQKYSETEDGQPWENTSKTVKYLNNLYKIISKPLTWHGALKECMKEKMRLV SITDPYQQAFLAVQATLRNSSFWIGLSSQDDELNFGWSDGKRLQFSNWAGSNEQLDDCVI LDTDGFWKTADCDDNQPGAICYYPGNETEEEVRALDTAKCPSPVQSTPWIPFQNSCYNFM ITNNRHKTVTPEEVQSTCEKLHSKAHSLSIRNEEENTFVVEQLLYFNYIASWVMLGITYE NNSLMWFDKTALSYTHWRTGRPTVKNGKFLAGLSTDGFWDIQSFNVIEETLHFYQHSISA CKIEMVDYEDKHNGTLPQFIPYKDGVYSVIQKKVTWYEALNACSQSGGELASVHNPNGKL FLEDIVNRDGFPLWVGLSSHDGSESSFEWSDGRAFDYVPWQSLQSPGDCVVLYPKGIWRR EKCLSVKDGAICYKPTKDKKLIFHVKSSKCPVAKRDGPQWVQYGGHCYASDQVLHSFSEA KQVCQELDHSATVVTIADENENKFVSRLMRENYNITMRVWLGLSQHSLDQSWSWLDGLDV TFVKWENKTKDGDGKCSILIASNETWRKVHCSRGYARAVCKIPLSPDYTGIAILFAVLCL LGLISLAIWFLLQRSHIRWTGFSSVRYEHGTNEDEVMLPSFHD >ENSMUSP00000030815.2 pep:known chromosome:GRCm38:4:149125034:149126763:-1 gene:ENSMUSG00000028971.4 transcript:ENSMUST00000030815.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cort description:cortistatin [Source:MGI Symbol;Acc:MGI:109538] MMGGRGTGGKWPSAFGLLLLWGVAASALPLESGPTGQDSVQEATEGRSGLLTFLAWWHEW ASQASSSTPVGGGTPGLSKSQERPPPQQPPHLDKKPCKNFFWKTFSSCK >ENSMUSP00000099464.1 pep:known chromosome:GRCm38:4:155943831:155957711:1 gene:ENSMUSG00000023286.16 transcript:ENSMUST00000103175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j2 description:ubiquitin-conjugating enzyme E2J 2 [Source:MGI Symbol;Acc:MGI:2153608] MSNNSNKRAPTTATQRLKQDYLRIKKDPVPYICAEPLPSNILEWHYVVRGPEMTPYEGGY YHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPAWSVSTILTGLLS FMVEKGPTLGSIETSDFTKKQLAAQSLVFNLKDKVFCELFPEVVEEIKQKQKAQDELSNR PQNLPLPDVVPDGELHRGQHGIQLLNGHAPAAGPNLAGLPQANRHHGLLGGALANLFVIV GFAAFAYTVKYVLRSIAQE >ENSMUSP00000024056.3 pep:known chromosome:GRCm38:4:155943850:155959604:1 gene:ENSMUSG00000023286.16 transcript:ENSMUST00000024056.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j2 description:ubiquitin-conjugating enzyme E2J 2 [Source:MGI Symbol;Acc:MGI:2153608] MHQAKALDSARKMSNNSNKRAPTTATQRLKQDYLRIKKDPVPYICAEPLPSNILEWHYVV RGPEMTPYEGGYYHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPA WSVSTILTGLLSFMVEKGPTLGSIETSDFTKKQLAAQSLVFNLKDKVFCELFPEVVEEIK QKQKAQDELSNRPQNLPLPDVVPDGELHRGQHGIQLLNGHAPAAGPNLAGLPQANRHHGL LGGALANLFVIVGFAAFAYTVKYVLRSIAQE >ENSMUSP00000120855.1 pep:known chromosome:GRCm38:4:155943861:155949111:1 gene:ENSMUSG00000023286.16 transcript:ENSMUST00000136492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j2 description:ubiquitin-conjugating enzyme E2J 2 [Source:MGI Symbol;Acc:MGI:2153608] MSNNSNKRAPTTATQRLKQDYLRIKKDPVPYICAE >ENSMUSP00000101208.2 pep:known chromosome:GRCm38:4:155943869:155957711:1 gene:ENSMUSG00000023286.16 transcript:ENSMUST00000105583.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j2 description:ubiquitin-conjugating enzyme E2J 2 [Source:MGI Symbol;Acc:MGI:2153608] MHQAKALDSARKMSNNSNKRAPTTATQRLKQDYLRIKKDPVPYICAEPLPSNILEWHYVV RGPEMTPYEGGYYHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPA WSVSTILTGLLSFMVEKGPTLGSIETSDFTKKQLAAQSLVFNLKDKVFCELFPEVVEEIK QKQKAQDELSNRPQNLPLPDVVPDGELHRGQHGIQLLNGHAPAAGPNLAGLPQANRHHGL LGGALANLFVIVGFAAFAYTVKYVLRSIAQE >ENSMUSP00000114235.1 pep:known chromosome:GRCm38:4:155943910:155957101:1 gene:ENSMUSG00000023286.16 transcript:ENSMUST00000152536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j2 description:ubiquitin-conjugating enzyme E2J 2 [Source:MGI Symbol;Acc:MGI:2153608] MTPYEGGYYHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPAWSVS TILTGLLSFMVEKGPTLGSIETSDFTKKQLAAQSLVFNLKDKVFCELFPEVVEEIKQKQK >ENSMUSP00000112785.1 pep:known chromosome:GRCm38:4:155943992:155957566:1 gene:ENSMUSG00000023286.16 transcript:ENSMUST00000118192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j2 description:ubiquitin-conjugating enzyme E2J 2 [Source:MGI Symbol;Acc:MGI:2153608] MSNNSNKRAPTTATQRLKQDYLRIKKDPVPYICAEPLPSNILEWHYVVRGPEMTPYEGGY YHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPAWSVSTILTGLLS FMVEKGPTLGSIETSDFTEIKQKQKAQDELSNRPQNLPLPDVVPDGELHRGQHGIQLLNG HAPAAGPNLAGLPQANRHHGLLGGALANLFVIVGFAAFAYTVKYVLRSIAQE >ENSMUSP00000101207.1 pep:known chromosome:GRCm38:4:155944158:155957714:1 gene:ENSMUSG00000023286.16 transcript:ENSMUST00000105582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j2 description:ubiquitin-conjugating enzyme E2J 2 [Source:MGI Symbol;Acc:MGI:2153608] MHQAKALDSARKMSNNSNKRAPTTATQRLKQDYLRIKKDPVPYICAEPLPSNILEWHYVV RGPEMTPYEGGYYHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPA WSVSTILTGLLSFMVEKGPTLGSIETSDFTKKQLAAQSLVFNLKDKVFCELFPEVVEEIK QKQKAQDELSNRPQNLPLPDVVPDGELHRGQHGIQLLNGHAPAAGPNLAGLPQANRHHGL LGGALANLFVIVGFAAFAYTVKYVLRSIAQE >ENSMUSP00000101206.3 pep:known chromosome:GRCm38:4:155944158:155957711:1 gene:ENSMUSG00000023286.16 transcript:ENSMUST00000105581.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j2 description:ubiquitin-conjugating enzyme E2J 2 [Source:MGI Symbol;Acc:MGI:2153608] MSNNSNKRAPTTATQRLKQDYLRIKKDPVPYICAEPLPSNILEWHYVVRGPEMTPYEGGY YHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPAWSVSTILTGLLS FMVEKGPTLGSIETSDFTKKQLAAQSLVFNLKDKVFCELFPEVVEEIKQKQKAQDELSNR PQNLPLPDVVPDGELHRGQHGIQLLNGHAPAAGPNLAGLPQANRHHGLLGGALANLFVIV GFAAFAYTVKYVLRSIAQE >ENSMUSP00000127712.1 pep:known chromosome:GRCm38:4:155943831:155959604:1 gene:ENSMUSG00000023286.16 transcript:ENSMUST00000166489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2j2 description:ubiquitin-conjugating enzyme E2J 2 [Source:MGI Symbol;Acc:MGI:2153608] MSNNSNKRAPTTATQRLKQDYLRIKKDPVPYICAEPLPSNILEWHYVVRGPEMTPYEGGY YHGKLIFPREFPFKPPSIYMITPNGRFKCNTRLCLSITDFHPDTWNPAWSVSTILTGLLS FMVEKGPTLGSIETSDFTKKQLAAQSLVFNLKDKVFCELFPEVVEEIKQKQKAQDELSNR PQNLPLPDVVPDGELHRGQHGIQLLNGHAPAAGPNLAGLPQANRHHGLLGGALANLFVIV GFAAFAYTVKYVLRSIAQE >ENSMUSP00000048773.5 pep:known chromosome:GRCm38:X:37150698:37168842:-1 gene:ENSMUSG00000036551.6 transcript:ENSMUST00000046557.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap14 description:A kinase (PRKA) anchor protein 14 [Source:MGI Symbol;Acc:MGI:3618288] MSNKKKFPNQKAKIVENTSTAKTKKPEAPEPKHVTEPKDSKSVEDPKIPVTDQKEKKTVT TIAEPKDKKSETEPKEKKTVTISDPKAKKPAIEKDKRTVTFVTEVKDKRIVTDTKENRIA SIDTKEKVPVVVPEVKEKRVQLLDPKEKVAVLPTTPIGSVAHVPHAPPVAHTEHAAPAKP VAPAKPVAPVAPAKPVAPVAPAKPVVPVAPAKPVAPAAPVTSVVRAVPAAPVTPVAPVAP AVPVVPAAPVAPAAPVVPAAPVAPVAPALPVAPVAPVAPALPVAPAVPVAPAVPVAPVVP EVKQKIPVSEVKGKKEVPLRVPELKEIKASSFAPEIKVKKVGSEIKQKAHVKDVKEKKIV PEVKEKKPVVVKKKDGASEVARTVVDGVMAAAVEMVEEARNPIKNIKWLTHGEFTPEKGR KQIEKFVSTWEFQNRWVYYADFIEKKDLIHSYHYIYRVRWSAPTAVRPMARVSANALFTI KFNKSKPADMPVDVSYIFENSELLQRPGEIRFREQWLRDITETKHILLESIPFKVV >ENSMUSP00000059643.6 pep:known chromosome:GRCm38:9:77636500:77660127:1 gene:ENSMUSG00000044938.8 transcript:ENSMUST00000057781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl31 description:kelch-like 31 [Source:MGI Symbol;Acc:MGI:3045305] MAPKKKTIKKNKAEINEMTIIVEDSPLSKLNALNGLLEGSNSLSCVSSELTDTSYGPNLL EGLSKMRQESFLCDLVIGTKTKSFDVHKSVMASCSEYFYNILKNDPSTKRVDLNDIAPLG LATVIAYAYTGKLTLSLYTIGSIISAAVYLQIHTLVKMCSDFLIREISVENCMYVVNIAE TYSLKNAKATAQKFIRDNFIEFAESEQFMKLTFEQINELLVDDDLQLPSELVAFQIAMKW LEFDQKRVKHAADLLSNIRFGTISAQDLVNYVQTVPRMMQDADCHKLLVDAMNYHLLPYH QNTLQSRRTRIRGGCRVLITVGGRPGLTEKSLSRDILYRDPENGWSKLTEMPAKSFNQCV AVMDGFLYVAGGEDQNDARNQAKHAVSNFCRYDPRFNTWIHLGSMNQKRTHFSLSVFNGL LYAVGGRNSEGSLASLECYVPSTNQWQPKAPLEVARCCHASAVADGRVIVTGGYIGSAYS RSVCAYDPALDAWQELPQLSTPRGWHCAVALGDRLYVMGGSQLGPRGERVDVLTVESFSP AARQWSFVAPLPVGVSTAGVSALHGRAYLLGGWNEGEKKYKKCIQCFNPELNEWTEDDEL PEATVGVSCCTLAMPNSVSRESRASSVSSVPVSI >ENSMUSP00000038616.6 pep:known chromosome:GRCm38:9:21937033:21948904:1 gene:ENSMUSG00000040563.13 transcript:ENSMUST00000046371.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr2 description:phospholipid phosphatase related 2 [Source:MGI Symbol;Acc:MGI:2384575] MAGGRPHLKRSFSIIPCFVFVESVLLGIVVLLAYRLEFTDTFPVHTQGFFCYDSAYAKPY PGPEAASRAPPALIYALVTAGPTLTILLGELARAFFPAPPSSSPVSGESTIVSGACCRFS PPLRRLVRFLGVYSFGLFTTTIFANAGQVVTGNPTPHFLSVCRPNYTALGCPPPSPDRPG PDRFVTDQSACAGSPSLVAAARRAFPCKDAALCAYAVTYTAMYVTLVFRVKGSRLVKPSL CLALLCPAFLVGVVRVAEYRNHWSDVLAGFLTGAAIATFLVTCVVHNFQSRPHSGRRLSP WEDLSQAPTMDSPLEKLSVAQEPETCRPHSTPARLTPSKPQNCARRGHLIPSCVSSRAPA MCSSPRVPRPRLRSEPTPLPLPLPLPAPTPSQGPSPSSPGPGGPGGGGGRGRKLLLPTPL LRDLYTLSGLHPSPFHRDNFSPYLFASRDHLL >ENSMUSP00000139727.1 pep:known chromosome:GRCm38:9:21938274:21948907:1 gene:ENSMUSG00000040563.13 transcript:ENSMUST00000190387.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr2 description:phospholipid phosphatase related 2 [Source:MGI Symbol;Acc:MGI:2384575] MAGGRPHLKRSFSIIPCFVFVESVLLGIVVLLAYRLEFTDTFPVHTQGFFCYDSAYAKPY PGPEAASRAPPALIYALVTAGPTLTILLGELARAFFPAPPSSSPVSGESTIVSGACCRFS PPLRRLVRFLGVYSFGLFTTTIFANAGQVVTGNPTPHFLSVCRPNYTALGCPPPSPDRPG PDRFVTDQSACAGSPSLVAAARRAFPCKDAALCAYAVTYTAMYVTLVFRVKGSRLVKPSL CLALLCPAFLVGVVRVAEYRNHWSDVLAGFLTGAAIATFLVTCVVHNFQSRPHSGRRLSP WEDLSQAPTMDSPLEKNPRPAGRIRHRHGSPHPSRRTVPAVAT >ENSMUSP00000140166.1 pep:known chromosome:GRCm38:9:21938453:21948017:1 gene:ENSMUSG00000040563.13 transcript:ENSMUST00000188468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr2 description:phospholipid phosphatase related 2 [Source:MGI Symbol;Acc:MGI:2384575] MAGGRPHLKRSFSIIPCFVFVEGFFCYDSAYAKPYPGPEAASRAPPALIYALVTAGPTLT ILLGELARAFFPAPPSSSPVSGESTIVSGACCRFSPPLRRLVRFLGVYSFGLFTTTIFAN AGQVVTGNPTPHFLSVCRPNYTALGCPPPSPDRPGPDRFVTDQSACAGSPSLVAAARRAF PCKDAALCAYAVTYTAMYVTLVFRVKGSRLVKPSLCLALLCPAFLVGVVRVAEYRNHWSD VLAGFLTGAAIATFLVTCVVHNFQSRPHSGRRLSPWEDLSQAPTMDSPLEKLSVAQEPET CRPHSTPARLTPSKPQNCARRGHLIPSCVSSRAPAMCSSPRVPRPRLRSEPTPLPLPLPL PAPTPSQGPSPSSPGPGGPGGGGGRGRKLLLPTPLLRDLYTLSGLHPSPFHRDNFSPYLF ASRDHLL >ENSMUSP00000101121.1 pep:known chromosome:GRCm38:10:51480640:51496597:1 gene:ENSMUSG00000062593.15 transcript:ENSMUST00000105481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lilrb4a description:leukocyte immunoglobulin-like receptor, subfamily B, member 4A [Source:MGI Symbol;Acc:MGI:102701] MIAMLTVLLYLGLILEPRTAVQAGHLPKPIIWAEPGSVIAAYTSVITWCQGSWEAQYYHL YKEKSVNPWDTQVPLETRNKAKFNIPSMTTSYAGIYKCYYESAAGFSEHSDAMELVMTGA YENPSLSVYPSSNVTSGVSISFSCSSSIVFGRFILIQEGKHGLSWTLDSQHQANQPSYAT FVLDAVTPNHNGTFRCYGYFRNEPQVWSKPSNSLDLMISETKDQSSTPTEDGLETYQKIL IGVLVSFLLLFFLLLFLILIGYQYGHKKKANASVKNTQSENNAELNSWNPQNEDPQGIVY AQVKPSRLQKDTACKETQDVTYAQLCIRTQEQNNS >ENSMUSP00000101122.1 pep:known chromosome:GRCm38:10:51480640:51496613:1 gene:ENSMUSG00000062593.15 transcript:ENSMUST00000105482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lilrb4a description:leukocyte immunoglobulin-like receptor, subfamily B, member 4A [Source:MGI Symbol;Acc:MGI:102701] MIAMLTVLLYLGLILEPRTAVQAGHLPKPIIWAEPGSVIAAYTSVITWCQGSWEAQYYHL YKEKSVNPWDTQVPLETRNKAKFNIPSMTTSYAGIYKCYYESAAGFSEHSDAMELVMTGA YENPSLSVYPSSNVTSGVSISFSCSSSIVFGRFILIQEGKHGLSWTLDSQHQANQPSYAT FVLDAVTPNHNGTFRCYGYFRNEPQVWSKPSNSLDLMISETKDQSSTPTEDDASVKNTQS ENNAELNSWNPQNEDPQGIVYAQVKPSRLQKDTACKETQDVTYAQLCIRTQEQNNS >ENSMUSP00000077833.3 pep:known chromosome:GRCm38:10:51491018:51496613:1 gene:ENSMUSG00000062593.15 transcript:ENSMUST00000078778.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lilrb4a description:leukocyte immunoglobulin-like receptor, subfamily B, member 4A [Source:MGI Symbol;Acc:MGI:102701] MIAMLTVLLYLGLILEPRTAVQAGHLPKPIIWAEPGSVIAAYTSVITWCQGSWEAQYYHL YKEKSVNPWDTQVPLETRNKAKFNIPSMTTSYAGIYKCYYESAAGFSEHSDAMELVMTGA YENPSLSVYPSSNVTSGVSISFSCSSSIVFGRFILIQEGKHGLSWTLDSQHQANQPSYAT FVLDAVTPNHNGTFRCYGYFRNEPQVWSKPSNSLDLMISETKDQSSTPTEDGLETYQKIL IGVLVSFLLLFFLLLFLILIGYQYGHKKKANASVKNTQSENNAELNSWNPQNEDPQGIVY AQVKPSRLQKDTACKETQDVTYAQLCIRTQEQNNS >ENSMUSP00000031146.2 pep:known chromosome:GRCm38:5:76333495:76363788:-1 gene:ENSMUSG00000029236.4 transcript:ENSMUST00000031146.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmu description:neuromedin U [Source:MGI Symbol;Acc:MGI:1860476] MSRAAGHRPGLSAGQLAAATASPLLSLLLLLACCADACKGVPISPQRLQPEQELQLWNEI HEACASFLSIDSRPQASVALRELCRIVMEISQKPQEQSEKDNTKRFLFHYSKTQKLGNSN VVSSVVHPLLQLVPQLHERRMKRFKAEYQSPSVGQSKGYFLFRPRNGKRSTSFI >ENSMUSP00000034808.5 pep:known chromosome:GRCm38:9:48591877:48605153:-1 gene:ENSMUSG00000032271.13 transcript:ENSMUST00000034808.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnmt description:nicotinamide N-methyltransferase [Source:MGI Symbol;Acc:MGI:1099443] MESGFTSKDTYLSHFNPRDYLEKYYSFGSRHCAENEILRHLLKNLFKIFCLGAVKGELLI DIGSGPTIYQLLSACESFTEIIVSDYTDQNLWELQKWLKKEPGAFDWSPVVTYVCDLEGN RMKGPEKEEKLRRAIKQVLKCDVTQSQPLGGVSLPPADCLLSTLCLDAACPDLPAYRTAL RNLGSLLKPGGFLVMVDALKSSYYMIGEQKFSSLPLGWETVRDAVEEAGYTIEQFEVISQ NYSSTTSNNEGLFSLVGRKPGRSE >ENSMUSP00000112381.1 pep:known chromosome:GRCm38:9:48591877:48605153:-1 gene:ENSMUSG00000032271.13 transcript:ENSMUST00000119426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnmt description:nicotinamide N-methyltransferase [Source:MGI Symbol;Acc:MGI:1099443] MESGFTSKDTYLSHFNPRDYLEKYYSFGSRHCAENEILRHLLKNLFKIFCLGAVKGELLI DIGSGPTIYQLLSACESFTEIIVSDYTDQNLWELQKWLKKEPGAFDWSPVVTYVCDLEGN RMKGPEKEEKLRRAIKQEPGQSAQARRLPGDGRCPEE >ENSMUSP00000101271.1 pep:known chromosome:GRCm38:4:153373221:153482811:-1 gene:ENSMUSG00000039546.9 transcript:ENSMUST00000105646.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ajap1 description:adherens junction associated protein 1 [Source:MGI Symbol;Acc:MGI:2685419] MWIQQLLGLSSMSIRWPGRSLGSHAWILIAMLQLAVDFPSCDSLGPGPEFRLLSRPQRPQ RLWSLRSGPPTRLPTPAWSPRAARAERAHGPIQMQTPRARRAHRPRDQVATLGPKGGLTK PPAATRSSPSLASATASSSIVTAGAAEHQGLLRRGRRHTHDTEFNDFDFRGGRPTTETEF IAWGPTGDEDALESNTFPGGFGPTTVSILQTRKTTVATTTTTTAASTATAMTLQTKGVTE SLDPWKRTPVGVSTTEPSTSPSSNGKDIQPPRILGETSGLAVHQIITITVSLIMVIAALI TTLVLKNCCAPSGHTRRNSHQRKMNQQEESCQNLTDFTPARVPSSVDIFTAYNETLQCSH ECVRASVPVYADETLHSTGEYKSTFNGNRTSSADRHLIPVAFVSEKWFEISC >ENSMUSP00000116651.1 pep:known chromosome:GRCm38:4:153374231:153482179:-1 gene:ENSMUSG00000039546.9 transcript:ENSMUST00000149177.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ajap1 description:adherens junction associated protein 1 [Source:MGI Symbol;Acc:MGI:2685419] MWIQQLLGLRSGCASDHHHHRLPHHGHRCAHHNSCLKKLLCPKRAHSEE >ENSMUSP00000033963.6 pep:known chromosome:GRCm38:8:49370913:49501984:1 gene:ENSMUSG00000031559.14 transcript:ENSMUST00000033963.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930555F03Rik description:RIKEN cDNA 4930555F03 gene [Source:MGI Symbol;Acc:MGI:1922609] MEQDQMLQEWEWIVKHIRARGGRCCTGCPSPGNIVSTILIFLLEPHRLLGNQFVRAARED LSKRSPVQLRCQGHETAEHHCAQCHIFSDHLCFVMQCDRLPNVPTAMPPLPWWIILENTK IVTQ >ENSMUSP00000130706.1 pep:known chromosome:GRCm38:8:49463323:49501891:1 gene:ENSMUSG00000031559.14 transcript:ENSMUST00000163925.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930555F03Rik description:RIKEN cDNA 4930555F03 gene [Source:MGI Symbol;Acc:MGI:1922609] MEQDQMLQEWEWIVKHIRARGGRCCTGCPSPGNIVSTILIFLLEPHRLLGNQFVRAARED LSKRSPVQLRCQGHETAEHHCAQCHIFSDHLCFVMQCDRLPNVPTAMPPLPWWIILENTK IVTQ >ENSMUSP00000118998.1 pep:known chromosome:GRCm38:2:118900377:118916479:1 gene:ENSMUSG00000040007.8 transcript:ENSMUST00000151162.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bahd1 description:bromo adjacent homology domain containing 1 [Source:MGI Symbol;Acc:MGI:2139371] MTHTRRKSLPMLSSGPTGRGEPLQMEDSNMEQGTEDVEPGMPESPGHLTGRRKNYPLRKR SLVPEKPKACKVLLTRLENVAGPRSADEADELPPDLPKPPSPTSSSEDAGLVQPRKRRLA SLNAEALNNLLLEREETSSLAGARRSRGGDPHRSRDRATGSWSFSKKRPRLGDLGEGSRD LSPELAPDEGARR >ENSMUSP00000043130.6 pep:known chromosome:GRCm38:2:118901453:118924528:1 gene:ENSMUSG00000040007.8 transcript:ENSMUST00000036578.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bahd1 description:bromo adjacent homology domain containing 1 [Source:MGI Symbol;Acc:MGI:2139371] MTHTRRKSLPMLSSGPTGRGEPLQMEDSNMEQGTEDVEPGMPESPGHLTGRRKNYPLRKR SLVPEKPKACKVLLTRLENVAGPRSADEADELPPDLPKPPSPTSSSEDAGLVQPRKRRLA SLNAEALNNLLLEREETSSLAGARRSRGGDPHRSRDRATGSWSFSKKRPRLGDLGEGSRD LSPELAPDEGARRDGDPAPKRLASLNAAAFLKLSQERELPLRPSRAQAEADGRSTEPLAP RILRPKVNGKNCPKARQGAGSGEATGPPNWQEQPNERWPSAPPHGPPTQPSHQAPGKALE NPLRPNLPLLMGGQAALKPEPGRPGEESPAPKQELHQPSFPAPQLSPLPMPGNPADYSGP CGGPELTALGSFYLYCGQDGLQCGAYSPCPMLPEGKLSPVAAPNEGLLMAPSSVPSGVPF QHPPWSAPRYCSSEDTGANGYSICGVLPLSLTHIGTTCGGCPYKMPFTAEGCRSLGQLEF PLPEAGHPASPAHPLLGCPVPSVPPAAEPIPHLQTPISEPQTVARACPQSAKPPSGSKSG LRTGSSCRHAVRSKAARRPSHPKQPRAQRPRPRRRRRRRTNGWVPVGAACEKAVYVLDEP EPAIRKSYQAVERHGETIRVRDTVLLKSGPRKTSTPYVAKISALWENPESGELMMSLLWY YRPEHLQGGRSPSMHEPLQNEVFASRHQDQNSVACIEEKCYVLTFAEYCRFCAMAKRRGE GLPSRKTALVPPSADYSTPPHRTVPEDTDPELVFLCRHVYDFRHGRILKNPQ >ENSMUSP00000069319.7 pep:known chromosome:GRCm38:1:171588624:171607410:-1 gene:ENSMUSG00000004707.14 transcript:ENSMUST00000068878.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly9 description:lymphocyte antigen 9 [Source:MGI Symbol;Acc:MGI:96885] MADLKRYWCDWALGPLSENPRMSQQQIFSPILWIPLLFLLMGLGASGKETPPTVISGMLG GSVTFSLNISKDAEIEHIIWNCPPKALALVFYKKDITILDKGYNGRLKVSEDGYSLYMSN LTKSDSGSYHAQINQKNVILTTNKEFTLHIYEKLQKPQIIVESVTPSDTDSCTFTLICTV KGTKDSVQYSWTREDTHLNTYDGSHTLRVSQSVCDPDLPYTCKAWNPVSQNSSQPVRIWQ FCTGASRRKTAAGKTVVGILGEPVTLPLEFRATRATKNVVWVFNTSVISQERRGAATADS RRKPKGSEERRVRTSDQDQSLKISQLKMEDAGPYHAYVCSEASRDPSVRHFTLLVYKRLE KPSVTKSPVHMMNGICEVVLTCSVDGGGNNVTYTWMPLQNKAVMSQGKSHLNVSWESGEH LPNFTCTAHNPVSNSSSQFSSGTICSGPERNKRFWLLLLLVLLLLMLIGGYFILRKKKQC SSLATRYRQAEVPAEIPETPTGHGQFSVLSQRYEKLDMSAKTTRHQPTPTSDTSSESSAT TEEDDEKTRMHSTANSRNQVYDLVTHQDIAHALAYEGQVEYEAITPYDKVDGSMDEEDMA YIQVSLNVQGETPLPQKKEDSNTIYCSVQKPKKTAQTPQQDAESPETPTYENFT >ENSMUSP00000004827.7 pep:known chromosome:GRCm38:1:171588632:171607358:-1 gene:ENSMUSG00000004707.14 transcript:ENSMUST00000004827.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly9 description:lymphocyte antigen 9 [Source:MGI Symbol;Acc:MGI:96885] MADLKRYWCDWALGPLSENPRMSQQQIFSPILWIPLLFLLMEKLQKPQIIVESVTPSDTD SCTFTLICTVKGTKDSVQYSWTREDTHLNTYDGSHTLRVSQSVCDPDLPYTCKAWNPVSQ NSSQPVRIWQFCTGASRRKTAAGKTVVGILGEPVTLPLEFRATRATKNVVWVFNTSVISQ ERRGAATADSRRKPKGSEERRVRTSDQDQSLKISQLKMEDAGPYHAYVCSEASRDPSVRH FTLLVYKRLEKPSVTKSPVHMMNGICEVVLTCSVDGGGNNVTYTWMPLQNKAVMSQGKSH LNVSWESGEHLPNFTCTAHNPVSNSSSQFSSGTICSGPERNKRFWLLLLLVLLLLMLIGG YFILRKKKQCSSLATRYRQAEVPAEIPETPTGHGQFSVLSQRYEKLDMSAKTTRHQPTPT SDTSSESSATTEEDDEKTRMHSTANSRNQVYDLVTHQDIAHALAYEGQVEYEAITPYDKV DGSMDEEDMAYIQVSLNVQGETPLPQKKEDSNTIYCSVQKPKKTAQTPQQDAESPETPTY ENFT >ENSMUSP00000137924.1 pep:known chromosome:GRCm38:1:171588648:171607334:-1 gene:ENSMUSG00000004707.14 transcript:ENSMUST00000143463.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ly9 description:lymphocyte antigen 9 [Source:MGI Symbol;Acc:MGI:96885] MADLKRYWCDWALGPLSENPRMSQQQIFSPILWIPLLFLLMGKFCLLHAVSEVGLLPGR >ENSMUSP00000106908.1 pep:known chromosome:GRCm38:1:171591536:171607329:-1 gene:ENSMUSG00000004707.14 transcript:ENSMUST00000111277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly9 description:lymphocyte antigen 9 [Source:MGI Symbol;Acc:MGI:96885] MADLKRYWCDWALGPLSENPRMSQQQIFSPILWIPLLFLLMGLGASGKETPPTVISGMLG GSVTFSLNISKDAEIEHIIWNCPPKALALVFYKKDITILDKGYNGRLKVSEDGYSLYMSN LTKSDSGSYHAQINQKNVILTTNKEFTLHIYEKLQKPQIIVESVTPSDTDSCTFTLICTV KGTKDSVQYSWTREDTHLNTYDGSHTLRVSQSVCDPDLPYTCKAWNPVSQNSSQPVRIWQ FCTGASRRKTAAGKTVVGILGEPVTLPLEFRATRATKNVVWVFNTSVISQERRGAATADS RRKPKGSEERRVRTSDQDQSLKISQLKMEDAGPYHAYVCSEASRDPSVRHFTLLVYKRLE KPSVTKSPVHMMNGICEVVLTCSVDGGGNNVTYTWMPLQNKAVMSQGKSHLNVSWESGEH LPNFTCTAHNPVSNSSSQFSSGTICSGPERNKRFWLLLLLVLLLLMLIGGYFILRKKKQC SSLATRYRQAEVPAEIPETPTGHGQFSVLSQRYEKLDMSAKTTRHQPTPTSDTSSESSAT TEEDDEKTRMHSTANSRNQVYDLVTHQDIAHALAYEGQVEYEAITPYDKVDGSMDEEDMA YIQVSLNVQGETPLPQKKEDSNTIYCSVQKPKKVVL >ENSMUSP00000004134.4 pep:known chromosome:GRCm38:3:107895821:107898686:1 gene:ENSMUSG00000004032.10 transcript:ENSMUST00000004134.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm5 description:glutathione S-transferase, mu 5 [Source:MGI Symbol;Acc:MGI:1309466] MSSKSMVLGYWDIRGLAHAIRMLLEFTDTSYEEKRYICGEAPDYDRSQWLDVKFKLDLDF PNLPYLMDGKNKITQSNAILRYIARKHNMCGDTEEEKIRVDIMENQIMDFRMQLVRLCYN SNHENLKPQYLEQLPAQLKQFSLFLGKFTWFAGEKLTFVDFLTYDVLDQNRIFEPKCLDE FPNLKAFMCRFEALEKIAAFLQSDRFFKMPINNKMAKWGNKCLC >ENSMUSP00000127840.1 pep:known chromosome:GRCm38:3:107895836:107898575:1 gene:ENSMUSG00000004032.10 transcript:ENSMUST00000167523.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gstm5 description:glutathione S-transferase, mu 5 [Source:MGI Symbol;Acc:MGI:1309466] MSSKSMVLGYWDIRGLAHAIRMLLEFTDTSYEEKRYICGEAPDYDRSQWLDVKFKLDLDF PNRSGGPGGLGSH >ENSMUSP00000125913.1 pep:known chromosome:GRCm38:3:107895846:107898686:1 gene:ENSMUSG00000004032.10 transcript:ENSMUST00000170058.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gstm5 description:glutathione S-transferase, mu 5 [Source:MGI Symbol;Acc:MGI:1309466] MSSKSMVLGYWDIRGLAHAIRMLLEFTDTSYEEKRYICGEGNECLWVRYSCFLPRGLYHT KMVWDPLLL >ENSMUSP00000129426.1 pep:known chromosome:GRCm38:3:107895941:107898345:1 gene:ENSMUSG00000004032.10 transcript:ENSMUST00000172247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm5 description:glutathione S-transferase, mu 5 [Source:MGI Symbol;Acc:MGI:1309466] MSSKSMVLGYWDIRGLAHAIRMLLEFTDTSYEEKRYICGEAPDYDRSQWLDVKFKLDLDF PNLPYLMDGKNKITQSNAILRYIARKHNMCGDTEEEKIRVDIMENQIMDFRMQLVRLCYN SNHENLKPQYLEQLPAQLKQFSLFLGKFTWFAGEKLTFVDFLTYDVLDQNRIFEPKCLDE FPNLKAFMCRFEVMFTTPFSKHYAQAPLWPVGPIV >ENSMUSP00000127020.1 pep:known chromosome:GRCm38:3:107895954:107898549:1 gene:ENSMUSG00000004032.10 transcript:ENSMUST00000167387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm5 description:glutathione S-transferase, mu 5 [Source:MGI Symbol;Acc:MGI:1309466] MDGKNKITQSNAILRYIARKHNMCGDTEEEKIRVDIMENQIMDFRMQLVRLCYNSNHENL KPQYLEQLPAQLKQFSLFLGKFTWFAGEKLTFVDFLTYDVLDQNRIFEPKCLDEFPNLKA FMCRFEALEKIAAFLQSDRFFKMPINNKMAKWGNKCLC >ENSMUSP00000128306.1 pep:known chromosome:GRCm38:3:107896247:107898678:1 gene:ENSMUSG00000004032.10 transcript:ENSMUST00000169365.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm5 description:glutathione S-transferase, mu 5 [Source:MGI Symbol;Acc:MGI:1309466] MDGKNKITQSNAILRYIARKHNMCGDTEEEKIRVDIMENQIMDFRMQLVRLCYNSNHENL KPQYLEQLPAQLKQFSLFLGKFTWFAGEKLTFVDFLTYDVLDQNRIFEPKCLDEFPNLKA FMCRFEALEKIAAFLQSDRFFKMPINNKMAKWGNKCLC >ENSMUSP00000099799.2 pep:known chromosome:GRCm38:4:108847785:108849413:1 gene:ENSMUSG00000073775.4 transcript:ENSMUST00000102738.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kti12 description:KTI12 homolog, chromatin associated [Source:MGI Symbol;Acc:MGI:1923547] MPLVVVCGLPSSGKSQRTEELRRALASEGRAVYVVDDASVLGAQDPTVYGDSAGEKALRA ALRAAVERRLSRQDVVILDSVNYIKGFRYELYCLARAARTPLCLLYCVRPNWPSRELREA SASENRDLAVSVSWRPRAETGGKSQAAGAVEEQRATSPVANGGVLAAVSKELDPEEILPS NPSAVMTPGSEKSAEPASCAFPPEVLESLALRFESPDSRNRWDRPLFTVVGLEEPLPLAE IRSALFENRAPPPHQSTQSQPLASGSFLHQLDQATSQVLTAVMEAQKSAVPGDFLKLPGT TEPLRFTRPLTLAELSRLRRQFISYTKMHPNNENLPQLANMFLQYLNQSLH >ENSMUSP00000034243.5 pep:known chromosome:GRCm38:8:95352268:95374293:1 gene:ENSMUSG00000031790.7 transcript:ENSMUST00000034243.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp15 description:matrix metallopeptidase 15 [Source:MGI Symbol;Acc:MGI:109320] MGSDRSALGRPGCTGSCLSSRASLLPLLLVLLDCLGHGTASKDAEVYAAENWLRLYGYLP QPSRHMSTMRSAQILASALAEMQSFYGIPVTGVLDEETKTWMKRPRCGVPDQFGVHVKAN LRRRRKRYTLTGKAWNNYHLTFSIQNYTEKLGWYNSMEAVRRAFQVWEQVTPLVFQEVSY DDIRLRRRAEADIMVLFASGFHGDSSPFDGVGGFLAHAYFPGPGLGGDTHFDADEPWTFS STDLHGISLFLVAVHELGHALGLEHSSNPSAIMAPFYQWMDTDNFQLPEDDLRGIQQLYG SPDGKPQPTRPLPTVRPRRPGRPDHQPPRPPQPPHPGGKPERPPKPGPPPQPRATERPDQ YGPNICDGNFDTVAVLRGEMFVFKGRWFWRVRHNRVLDNYPMPIGHFWRGLPGNISAAYE RQDGHFVFFKGNRYWLFREANLEPGYPQPLSSYGTDIPYDRIDTAIWWEPTGHTFFFQAD RYWRFNEETQHGDPGYPKPISVWQGIPTSPKGAFLSNDAAYTYFYKGTKYWKFNNERLRM EPGHPKSILRDFMGCQEHVEPRSRWPDVARPPFNPNGGAEPEADGDSKEENAGDKDEGSR VVVQMEEVVRTVNVVMVLVPLLLLLCILGLAFALVQMQRKGAPRMLLYCKRSLQEWV >ENSMUSP00000030296.8 pep:known chromosome:GRCm38:4:108834601:108862127:1 gene:ENSMUSG00000028567.8 transcript:ENSMUST00000030296.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc12 description:thioredoxin domain containing 12 (endoplasmic reticulum) [Source:MGI Symbol;Acc:MGI:1913323] MSLRFGATCLLSFSFLLLITSSDGRTGLGKGFGDHIHWRTLEDGKKEAAASGLPLMVIIH KSWCGACKALKPKFAESTEISELSHNFVMVNLEDEEEPRDEDFSPDGGYIPRILFLDPSG KVRPEIINESGNPSYKYFYVSAEQVVQGMKEAQERLTGDAFREKHFQDEL >ENSMUSP00000015723.4 pep:known chromosome:GRCm38:17:26838664:26841565:-1 gene:ENSMUSG00000015579.4 transcript:ENSMUST00000015723.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx2-5 description:NK2 homeobox 5 [Source:MGI Symbol;Acc:MGI:97350] MFPSPALTPTPFSVKDILNLEQQQRSLASGDLSARLEATLAPASCMLAAFKPEAYSGPEA AASGLAELRAEMGPAPSPPKCSPAFPAAPTFYPGAYGDPDPAKDPRADKKELCALQKAVE LDKAETDGAERPRARRRRKPRVLFSQAQVYELERRFKQQRYLSAPERDQLASVLKLTSTQ VKIWFQNRRYKCKRQRQDQTLELLGPPPPPARRIAVPVLVRDGKPCLGDPAAYAPAYGVG LNAYGYNAYPYPSYGGAACSPGYSCAAYPAAPPAAQPPAASANSNFVNFGVGDLNTVQSP GMPQGNSGVSTLHGIRAW >ENSMUSP00000103163.1 pep:known chromosome:GRCm38:11:98203059:98251564:1 gene:ENSMUSG00000003119.14 transcript:ENSMUST00000107539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk12 description:cyclin-dependent kinase 12 [Source:MGI Symbol;Acc:MGI:1098802] MPNSERHGGKKDGSGGASGTSQPSSGGGSSNSRERHRLVSKHKRHKSKHSKDVGLVTPEA ASLGTIIKPLVEYDDISSDSDTFSDDTAFKSDRRENEERRGTDRSDRLHRHRHHQHRRSR DLLKTKQTEKEKNQEVSKSGSMKDRVSGSSKRSVEGSDDYGKAQLSKSGSKESRSSKMHK EKTRKERELKSGYKDRSKSHRKRETPKSYKTVASPKRRSRSPHRKWSDSSKQDDSPSGAS YGQDYDLSPPRSHTSSNYDSYKKSPGSTSRRQSISPPYKEPSAYQSSTRSPSPYSRRQRS VSPYSRRRSSSYERSGSYSGRSPSPYGRRRSSSPFLSKRSLSRSPLPSRKSMKSRSRSPA YSRHSSSHSKKKRSGSRSRHSSISPVRLPLNSSLGAELSRKKKERAAAAAAAKMDGKESK SSPIILPKKEKLEVKESGLESKKLPRSIKSEKSTPDTELVTVAHSNPEVKHCLDTGKVRL DENLQKHPAKDLKAQGTKDVKPVAPKEVIVTSKETETSEKETLPPLPTITSPPPLPATTP PPQTPPLPPLPPLPAIPLQPPLPPPQPPFSQVPVSSTSILPSSPHPRTSTLSSQTNSQPP VQVSMKTQVSITAAIPHLKTSTLPPLPLPPLLPGDDDMDSPKETLPSKPAKKEKEQRTRH LLTDLPLPPELPGGDPSPPDSPEPKAITPPQQPYKKRPKICCPRYGERRQTESDWGKRCV DKFDIIGIIGEGTYGQVYKAKDKDTGELVALKKVRLDNEKEGFPITAIREIKILRQLVHQ SVVNMKEIVTDKQDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFSEDHIKSFMKQLM EGLDYCHKKNFLHRDIKCSNILLNNSGQIKLADFGLARLYNSEESRPYTNKVITLWYRPP ELLLGEERYTPAIDVWSCGCILGELFTKKPIFQANLELAQLELISRLCGSPCPAVWPDVI KLPYFNTMKPKKQYRRRLREEFSFIPSAALDLLDHMLTLDPSKRCTAEQTLQSDFLKDVE LSKMAPPDLPHWQDCHELWSKKRRRQRQSGIVIEDPPPSKASRKETTSGTTAEPVKNNSP APPQPAPVKAEPGPGDAVGLGDITQQLNQSELAVLLNLLQSQTDLSIPQMAQLLNIHSNP EMQQQLEALNQSISALTEASSQQQDSESIAPEESLKEVPSVPVVLPPAEQTTPEASNTPA DMQNVLAVLLSQLMKTQEPAGNLEENTNDKNSGPQGPRRTPTMPQEEAAEKRPPEPPGPP PPPPPPPLVEGDLSSAPQELNPAVTAALLQLLSQPEAEPPGHLPHEHQALRPMEYSTRSH PNRTYGNTDGPETGFSSADTDERSSGPALTESLVQTPVKNRTFSGSVSHLGESNSYQGTG SVQFPGDQDLRFTRVPLALHSVVGQPFLKSEGNSNSVVHAETKLQNYGELGPGTTGANSS GTTLQWGGPAQSYGKPYRGAARVLPRGGRGRGVPY >ENSMUSP00000003203.7 pep:known chromosome:GRCm38:11:98203314:98246704:1 gene:ENSMUSG00000003119.14 transcript:ENSMUST00000003203.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk12 description:cyclin-dependent kinase 12 [Source:MGI Symbol;Acc:MGI:1098802] MPNSERHGGKKDGSGGASGTSQPSSGGGSSNSRERHRLVSKHKRHKSKHSKDVGLVTPEA ASLGTIIKPLVEYDDISSDSDTFSDDTAFKSDRRENEERRGTDRSDRLHRHRHHQHRRSR DLLKTKQTEKEKNQEVSKSGSMKDRVSGSSKRSVEGSDDYGKAQLSKSGSKESRSSKMHK EKTRKERELKSGYKDRSKSHRKRETPKSYKTVASPKRRSRSPHRKWSDSSKQDDSPSGAS YGQDYDLSPPRSHTSSNYDSYKKSPGSTSRRQSISPPYKEPSAYQSSTRSPSPYSRRQRS VSPYSRRRSSSYERSGSYSGRSPSPYGRRRSSSPFLSKRSLSRSPLPSRKSMKSRSRSPA YSRHSSSHSKKKRSGSRSRHSSISPVRLPLNSSLGAELSRKKKERAAAAAAAKMDGKESK SSPIILPKKEKLEVKESGLESKKLPRSIKSEKSTPDTELVTVAHSNPEVKHCLDTGKVRL DENLQKHPAKDLKAQGTKDVKPVAPKEVIVTSKETETSEKETLPPLPTITSPPPLPATTP PPQTPPLPPLPPLPAIPLQPPLPPPQPPFSQVPVSSTSILPSSPHPRTSTLSSQTNSQPP VQVSMKTQVSITAAIPHLKTSTLPPLPLPPLLPGDDDMDSPKETLPSKPAKKEKEQRTRH LLTDLPLPPELPGGDPSPPDSPEPKAITPPQQPYKKRPKICCPRYGERRQTESDWGKRCV DKFDIIGIIGEGTYGQVYKAKDKDTGELVALKKVRLDNEKEGFPITAIREIKILRQLVHQ SVVNMKEIVTDKQDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFSEDHIKSFMKQLM EGLDYCHKKNFLHRDIKCSNILLNNSGQIKLADFGLARLYNSEESRPYTNKVITLWYRPP ELLLGEERYTPAIDVWSCGCILGELFTKKPIFQANLELAQLELISRLCGSPCPAVWPDVI KLPYFNTMKPKKQYRRRLREEFSFIPSAALDLLDHMLTLDPSKRCTAEQTLQSDFLKDVE LSKMAPPDLPHWQDCHELWSKKRRRQRQSGIVIEDPPPSKASRKETTSGTTAEPVKNNSP APPQPAPVKAEPGPGDAVGLGDITQQLNQSELAVLLNLLQSQTDLSIPQMAQLLNIHSNP EMQQQLEALNQSISALTEASSQQQDSESIAPEESLKEVPSVPVVLPPAEQTTPEASNTPA DMQNVLAVLLSQLMKTQEPAGNLEENTNDKNSGPQGPRRTPTMPQEEAAGKQTGHESH >ENSMUSP00000103162.1 pep:known chromosome:GRCm38:11:98203335:98251044:1 gene:ENSMUSG00000003119.14 transcript:ENSMUST00000107538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk12 description:cyclin-dependent kinase 12 [Source:MGI Symbol;Acc:MGI:1098802] MPNSERHGGKKDGSGGASGTSQPSSGGGSSNSRERHRLVSKHKRHKSKHSKDVGLVTPEA ASLGTIIKPLVEYDDISSDSDTFSDDTAFKSDRRENEERRGTDRSDRLHRHRHHQHRRSR DLLKTKQTEKEKNQEVSKSGSMKDRVSGSSKRSVEGSDDYGKAQLSKSGSKESRSSKMHK EKTRKERELKSGYKDRSKSHRKRETPKSYKTVASPKRRSRSPHRKWSDSSKQDDSPSGAS YGQDYDLSPPRSHTSSNYDSYKKSPGSTSRRQSISPPYKEPSAYQSSTRSPSPYSRRQRS VSPYSRRRSSSYERSGSYSGRSPSPYGRRRSSSPFLSKRSLSRSPLPSRKSMKSRSRSPA YSRHSSSHSKKKRSGSRSRHSSISPVRLPLNSSLGAELSRKKKERAAAAAAAKMDGKESK SSPIILPKKEKLEVKESGLESKKLPRSIKSEKSTPDTELVTVAHSNPEVKHCLDTGKVRL DENLQKHPAKDLKAQGTKDVKPVAPKEVIVTSKETETSEKETLPPLPTITSPPPLPATTP PPQTPPLPPLPPLPAIPLQPPLPPPQPPFSQVPVSSTSILPSSPHPRTSTLSSQTNSQPP VQVSMKTQVSITAAIPHLKTSTLPPLPLPPLLPGDDDMDSPKETLPSKPAKKEKEQRTRH LLTDLPLPPELPGGDPSPPDSPEPKAITPPQQPYKKRPKICCPRYGERRQTESDWGKRCV DKFDIIGIIGEGTYGQVYKAKDKDTGELVALKKVRLDNEKEGFPITAIREIKILRQLVHQ SVVNMKEIVTDKQDALDFKKDKGAFYLVFEYMDHDLMGLLESGLVHFSEDHIKSFMKQLM EGLDYCHKKNFLHRDIKCSNILLNNSGQIKLADFGLARLYNSEESRPYTNKVITLWYRPP ELLLGEERYTPAIDVWSCGCILGELFTKKPIFQANLELAQLELISRLCGSPCPAVWPDVI KLPYFNTMKPKKQYRRRLREEFSFIPSAALDLLDHMLTLDPSKRCTAEQTLQSDFLKDVE LSKMAPPDLPHWQDCHELWSKKRRRQRQSGIVIEDPPPSKASRKETTSGTTAEPVKNNSP APPQPAPVKAEPGPGDAVGLGDITQQLNQSELAVLLNLLQSQTDLSIPQMAQLLNIHSNP EMQQQLEALNQSISALTEASSQQQDSESIAPEESLKEVPSVPVVLPPAEQTTPEASNTPA DMQNVLAVLLSQLMKTQEPAGNLEENTNDKNSGPQGPRRTPTMPQEEAAACPPHILPPEK RPPEPPGPPPPPPPPPLVEGDLSSAPQELNPAVTAALLQLLSQPEAEPPGHLPHEHQALR PMEYSTRSHPNRTYGNTDGPETGFSSADTDERSSGPALTESLVQTPVKNRTFSGSVSHLG ESNSYQGTGSVQFPGDQDLRFTRVPLALHSVVGQPFLKSEGNSNSVVHAETKLQNYGELG PGTTGANSSGTTLQWGGPAQSYGKPYRGAARVLPRGGRGRGVPY >ENSMUSP00000034905.8 pep:known chromosome:GRCm38:9:77754535:77794485:1 gene:ENSMUSG00000032350.8 transcript:ENSMUST00000034905.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gclc description:glutamate-cysteine ligase, catalytic subunit [Source:MGI Symbol;Acc:MGI:104990] MGLLSQGSPLSWEETQRHADHVRRHGILQFLHIYHAVKDRHKDVLKWGDEVEYMLVSFDH ENRKVQLLLNGGDVLETLQEKGERTNPNHPTLWRPEYGSYMIEGTPGQPYGGTMSEFNTV EANMRKRRKEATSVLGEHQALCTITSFPRLGCPGFTLPEHRPNPEEGGASKSLFFPDEAI NKHPRFGTLTRNIRHRRGEKVVINVPIFKDKNTPSPFVETFPEDAEASKASQPDHIYMDA MGFGMGNCCLQVTFQACSISEARYLYDQLATICPIVMALSAASPFYRGYVSDIDCRWGVI SASVDDRTREERGLEPLKNNRFRISKSRYDSIDSYLSKCGEKYNDIDLTIDKEIYEQLLE EGIDHLLAQHVAHLFIRDPLTLFEEKIHLDDANESDHFENIQSTNWQTMRFKPPPPNSDI GWRVEFRPMEVQLTDFENSAYVVFVVLLTRVILSYKLDFLIPLSKVDENMKVAQKRDAVL QGMFYFRKDICKGGNAVVDGCSKAQSSSEPAAEEYTLMSIDTIINGKEGVFPGLIPILNS YLENMEVDVDTRCSILNYLKLIKKRASGELMTVARWMREFIANHPDYKQDSVITDEINYS LIWKCNQIADELCECPELLGSGFRKAKYSGGKSDPSA >ENSMUSP00000073213.5 pep:known chromosome:GRCm38:8:114133557:114154739:1 gene:ENSMUSG00000031767.13 transcript:ENSMUST00000073521.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt7 description:nudix (nucleoside diphosphate linked moiety X)-type motif 7 [Source:MGI Symbol;Acc:MGI:1914778] MSRPCGLPEPVRNNLIDDAKARLRKSDVGTRYSHLSSNKFSVLVPLLARGGKLYLMFTVR SDKLKREPGEVCFPGGKRDPVDTDDTATALREAQEEVGLHPHQVEVVSHLVPYVFDNDAL VTPVVGFLDHNFQAQPNADEVKEVFFVPLDYFLHPQVYYQKQITQSGRDFIMHCFEYKDP ETGVNYLIQGMTSKLAVLVALIILEQSPAFKIDFDLHDLIPSCERTFLWRYSLSKL >ENSMUSP00000065791.6 pep:known chromosome:GRCm38:8:114133574:114152302:1 gene:ENSMUSG00000031767.13 transcript:ENSMUST00000066514.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt7 description:nudix (nucleoside diphosphate linked moiety X)-type motif 7 [Source:MGI Symbol;Acc:MGI:1914778] MRVRTICFPKSPAASKRKYCRADVATLWTPGACQLKREPGEVCFPGGKRDPVDTDDTATA LREAQEEVGLHPHQVEVVSHLVPYVFDNDALVTPVVGFLDHNFQAQPNADEVKEVFFVPL DYFLHPQVYYQKQITQSGRDFIMHCFEYKDPETGVNYLIQGMTSKLAVLVALIILEQSPA FKIDFDLHDLIPSCERTFLWRYSLSKL >ENSMUSP00000104737.1 pep:known chromosome:GRCm38:8:114133618:114152312:1 gene:ENSMUSG00000031767.13 transcript:ENSMUST00000109109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt7 description:nudix (nucleoside diphosphate linked moiety X)-type motif 7 [Source:MGI Symbol;Acc:MGI:1914778] MVQSLELPQRQPCHFGSWIKRSPSGLTSKSPSQVLGNNLIDDAKARLRKSDVGTRYSHLS SNKFSVLVPLLARGGKLYLMFTVRSDKLKREPGEVCFPGGKRDPVDTDDTATALREAQEE VGLHPHQVEVVSHLVPYVFDNDALVTPVVGFLDHNFQAQPNADEVKEVFFVPLDYFLHPQ VYYQKQITQSGRDFIMHCFEYKDPETGVNYLIQGMTSKLAVLVALIILEQSPAFKIDFDL HDLIPSCERTFLWRYSLSKL >ENSMUSP00000114598.1 pep:known chromosome:GRCm38:8:114133641:114152306:1 gene:ENSMUSG00000031767.13 transcript:ENSMUST00000147605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt7 description:nudix (nucleoside diphosphate linked moiety X)-type motif 7 [Source:MGI Symbol;Acc:MGI:1914778] MSRPCGLPEPVRNNLIDDAKARLRKSDVGTRYSHLSSNKFSVLVPLLARGGKLYLMFTVR SDKLKREPGEVCFPGGKRDPVDTDDTATALREAQEENDALVTPVVGFLDHNFQAQPNADE VKEVFFVPLDYFLHPQVYYQKQITQSGRDFIMHCFEYKDPETGVNYLIQGMTSKLAVLVA LIILEQSPAFKIDFDLHDLIPSCERTFLWRYSLSKL >ENSMUSP00000116868.1 pep:known chromosome:GRCm38:8:114133642:114148388:1 gene:ENSMUSG00000031767.13 transcript:ENSMUST00000134593.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt7 description:nudix (nucleoside diphosphate linked moiety X)-type motif 7 [Source:MGI Symbol;Acc:MGI:1914778] MSRPCGLPEPVRNNLIDDAKARLRKSDVGTRYSHLSSNKFSVLVPLLARGGKLYLMFTVR SDKLKREPGEVCFPGGKRDPVDTDDTATALREAQEEVGLHPHQVEVVSHLVPYVFDVRTG MGPPELSGASTKFPNTLGTEPGELSPCVDSVLCELCGPSHSIQEERGEPPQ >ENSMUSP00000032497.3 pep:known chromosome:GRCm38:6:126939966:126975967:1 gene:ENSMUSG00000030347.6 transcript:ENSMUST00000032497.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D6Wsu163e description:DNA segment, Chr 6, Wayne State University 163, expressed [Source:MGI Symbol;Acc:MGI:107893] MKKNRERFCNKEREFVYKFQVGRERLELRVPLRFPVEENASHLHGRLMLLHSLPCFIESD LKDALSRFIEEESLRDHDSDAEACLEAVKSGEVDLHQLASAWAKAYAETTLEHARPEEPD WDEDFADVYHDLIHSPASETLLNLEHNYFVSISELIGERDVELKKLRERQGIEMEKVMQE LGKSLTDQDVNSLAAQHFESQQDLENKWSNELKQSTAIQKQEYQEWVIKLHQDLKNPNNS SLSEEIKVQPSQFRESADAAGRIYEEQRKLEESFTIHLGAQLKTMHNLRLLRADMLDFCK HKRTHGSGVKLHRLQTALSLYSTSLCGLVLLVDNRINSYSGIKRDFATVCQECTDFHFPR IEEQLEVVQQVALYARTQRKSKCKEARDSGNQNGGSDDKSKNAERNYLNILPGEFYITRH SNLSEIHVAFHLCVDDNVKSGNITARDPAIMGLRNILKVCCTHDITTISIPLLLVHDMSE EMTIPWCLRRAELVFKCVKGFMMEMASWDGGISRTVQFLVPQSISEEMFYQLSNMLPQIF RVSSTLTLTSKH >ENSMUSP00000144570.1 pep:known chromosome:GRCm38:6:126940029:126944864:1 gene:ENSMUSG00000030347.6 transcript:ENSMUST00000201617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D6Wsu163e description:DNA segment, Chr 6, Wayne State University 163, expressed [Source:MGI Symbol;Acc:MGI:107893] MKKNRERFCNKEREFVYKFQVGRERLELRVPLRFPVEENASHLHGRLMLLHSLPCFIESD LKDALSRFIEEESLRDHDSDAEA >ENSMUSP00000144157.1 pep:known chromosome:GRCm38:5:92226679:92256278:-1 gene:ENSMUSG00000029410.11 transcript:ENSMUST00000201130.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppef2 description:protein phosphatase, EF hand calcium-binding domain 2 [Source:MGI Symbol;Acc:MGI:1342304] MGSSSSTQHHFAFQNAEKAFKAAALIQRWYRRYMARLEMRRRCTWNIFQSIEYAGQQDQV KLHEFFSYLVDHFTPSSHHERDFLNRMFTEERFAQDVETEEGGDFESIEVPDSYTGPRLS FPLLPDHATALVEAFRLRQQLHARYVLNLLYETRKHLAQLPNINRVSTCYSEEVTVCGDL HGQLDDLIFIFYKNGLPSPERAYVFNGDFVDRGKDSVEVLMVLFAFMLVYPKEFHLNRGN HEDHLVNLRYGFTKEVMHKYKIHGKKILRTLQDVFCWLPLATLVDEKVLVLHGGVSDKTD LELLAKLDRHKIVSTMRCKTRKESENREEQKRKDNQTSSGQKPTPWFLPQSRSLPSSPFH LGSGFKAYKAGRSCSIPCGSPNSKELSRRGQVRRSVDLELEQCRQQAGFLGIREKGESLP LAPDADCVADGGGVLEPTPEEWKQVVDILWSDPAAQEGCKANAVRGGGCYFGPDVTERLM EKYKLQLLIRSHECKPEGYEFCHNRKVLTIFSASNYYEVGSNRGAYVKLGPALTPHIVQY QANKATHRLTMRQRISRVEESALRALRQKLFAHSSDLLVEFRKRDPDESGVITLSDWATA VESVLHLGLPWRMLRPQLVNSSADNVLEYRSWLDSLAKEQLSRENIQSSLLEKLYRNRSN LETIFRIIDSDHSGFISLDEFRQTWKLFSSHMSIDITDDGICDLARSIDFNKDGHIDINE FLEAFRLVEQSCLEGHASACLQSTDTAESGHSSPGPC >ENSMUSP00000031359.8 pep:known chromosome:GRCm38:5:92226974:92253159:-1 gene:ENSMUSG00000029410.11 transcript:ENSMUST00000031359.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppef2 description:protein phosphatase, EF hand calcium-binding domain 2 [Source:MGI Symbol;Acc:MGI:1342304] MGSSSSTQHHFAFQNAEKAFKAAALIQRWYRRYMARLEMRRRCTWNIFQSIEYAGQQDQV KLHEFFSYLVDHFTPSSHHERDFLNRMFTEERFAQDVETEEGGDFESIEVPDSYTGPRLS FPLLPDHATALVEAFRLRQQLHARYVLNLLYETRKHLAQLPNINRVSTCYSEEVTVCGDL HGQLDDLIFIFYKNGLPSPERAYVFNGDFVDRGKDSVEVLMVLFAFMLVYPKEFHLNRGN HEDHLVNLRYGFTKEVMHKYKIHGKKILRTLQDVFCWLPLATLVDEKVLVLHGGVSDKTD LELLAKLDRHKIVSTMRCKTRKESENREEQKRKDNQTSSGQKPTPWFLPQSRSLPSSPFH LGSGFKAYKAGRSCSIPCGSPNSKELSRRGQVRRSVDLELEQCRQQAGFLGIREKGESLP LAPDADCVADGGGVLEPTPEEWKQVVDILWSDPAAQEGCKANAVRGGGCYFGPDVTERLM EKYKLQLLIRSHECKPEGYEFCHNRKVLTIFSASNYYEVGSNRGAYVKLGPALTPHIVQY QANKATHRLTMRQRISRVEESALRALRQKLFAHSSDLLVEFRKRDPDESGVITLSDWATA VESVLHLGLPWRMLRPQLVNSSADNVLEYRSWLDSLAKEQLSRENIQSSLLEKLYRNRSN LETIFRIIDSDHSGFISLDEFRQTWKLFSSHMSIDITDDGICDLARSIDFNKDGHIDINE FLEAFRLVEQSCLEGHASACLQSTDTAESGHSSPGPC >ENSMUSP00000114888.1 pep:known chromosome:GRCm38:15:81992523:82016153:-1 gene:ENSMUSG00000022472.16 transcript:ENSMUST00000146628.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Desi1 description:desumoylating isopeptidase 1 [Source:MGI Symbol;Acc:MGI:106313] MEPPNLYPVKLYVYDLSKGLARRLSPIMLGKQLEGIWEGHCLGPQTLWLMWETQKSQKKS FWNTCPPWGSLCSEEKPTTSLNTTVTPLAMKWRSS >ENSMUSP00000121504.1 pep:known chromosome:GRCm38:15:81992523:82016163:-1 gene:ENSMUSG00000022472.16 transcript:ENSMUST00000152227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Desi1 description:desumoylating isopeptidase 1 [Source:MGI Symbol;Acc:MGI:106313] MEPPNLYPVKLYVYDLSKGLARRLSPIMLGKQLEGIWHTSIVVHKDEFFFGSSGISSCTP GGTLLGPPDSVVDVGNTEVTEEIFLEYLSSLGESLFRGEAYNLFEHNCNTFSNEVAQFLT GRKIPSYITDLPSEVLSTPFGQALRPFLDSIQIQPPGGNSVGRPNGQS >ENSMUSP00000117613.1 pep:known chromosome:GRCm38:15:81993847:82016225:-1 gene:ENSMUSG00000022472.16 transcript:ENSMUST00000135988.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Desi1 description:desumoylating isopeptidase 1 [Source:MGI Symbol;Acc:MGI:106313] MEPPNLYPVKLYVYDLSKGLARRLSPIMLGKQLEGIWHTSIVVHKDEFFFGSSGISSCTP GGTLLGPPDSVVDVGNTEVTEEIFLEYLSSLGESLFRSSQIGLLSS >ENSMUSP00000023110.6 pep:known chromosome:GRCm38:15:81994658:82016011:-1 gene:ENSMUSG00000022472.16 transcript:ENSMUST00000023110.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Desi1 description:desumoylating isopeptidase 1 [Source:MGI Symbol;Acc:MGI:106313] MEPPNLYPVKLYVYDLSKGLARRLSPIMLGGSERQEDLNSRETTGRHLAHLYSCTQG >ENSMUSP00000086591.6 pep:known chromosome:GRCm38:15:81994721:82016037:-1 gene:ENSMUSG00000022472.16 transcript:ENSMUST00000089187.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Desi1 description:desumoylating isopeptidase 1 [Source:MGI Symbol;Acc:MGI:106313] MEPPNLYPVKLYVYDLSKGLARRLSPIMLGRDIAWAPRLCG >ENSMUSP00000033909.7 pep:known chromosome:GRCm38:8:11840474:11855761:1 gene:ENSMUSG00000031512.14 transcript:ENSMUST00000033909.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex29 description:testis expressed 29 [Source:MGI Symbol;Acc:MGI:1922778] MKDTKEIKRSPPHLLKKFAVCDIPLYDICDYNVTRERCRSLDCCFYRGVCYEKAVPIYVQ VFFTLIWFVAGAFIIAVIYRVIQGTKKEKKPLLPEDSQVEILKSPTPELIPEPIPEPIPE PIPEPIREPPPPVKKTESPIEAGCCLWMKSKPAKDQPQKETAAPEPPSNPEVKKVNSGSA VPQAAP >ENSMUSP00000132090.1 pep:known chromosome:GRCm38:8:11840919:11855705:1 gene:ENSMUSG00000031512.14 transcript:ENSMUST00000166638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex29 description:testis expressed 29 [Source:MGI Symbol;Acc:MGI:1922778] MKDTKEIKRSPPHLLKKFAVCDIPLYDICDYNVTRERCRSLDCCFYRGVCYEKAVPIYVQ VFFTLIWFVAGAFIIAVIYRVIQGTKKEKKPLLPEDSQVEILKSPTPELIPEPIPEPIPE PIPEPIREPPPPVKKTESPIEAGCCLWMKSKPAKDQPQKETAAPEPPSNPEVKKVNSGSA VPQAAP >ENSMUSP00000134044.1 pep:known chromosome:GRCm38:7:19852003:19858350:1 gene:ENSMUSG00000092216.3 transcript:ENSMUST00000172815.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm19345 description:predicted gene, 19345 [Source:MGI Symbol;Acc:MGI:5011530] MEAGWRDHGRHPCCSTCHRIPGSFWGSSFGSVTLGTACTYLQTLDTPHVGPEVIWSTLFP AKVPHRWNLVHARHMPWPGLPSTSGSSLHLRIPGMAGALEDSQYTVKFSGSLRLTLTGFH TSHW >ENSMUSP00000143906.1 pep:known chromosome:GRCm38:5:75152292:75183517:1 gene:ENSMUSG00000029231.15 transcript:ENSMUST00000202681.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfra description:platelet derived growth factor receptor, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97530] MGTSHQVFLVLSCLLTGPGLISCQLLLPSILPNENEKIVQLNSSFSLRCVGESEVSWQHP MSEEDDPNVEIRSEENNSGLFVTVLEVVNASAAHTGWYTCYYNHTQTDESEIEGRHIYIY VPDPDMAFVPLGMTDSLVIVEEDDSAIIPCRTTDPETQVTLHNNGRLVPASYDSRQGFNG TFSVGPYICEATVKGRTFKTSEFNVYALKATSELNLEMDARQTVYKAGETIVVTCAVFNN EVVDLQWTYPGEVRNKGITMLEEIKLPSIKLVYTLTVPKATVKDSGEYECAARQATKEVK EMKRVTISVHEKGFVEIEPTFGQLEAVNLHEVREFVVEVQAYPTPRISWLKDNLTLIENL TEITTDVQKSQETRYQSKLKLIRAKEEDSGHYTIIVQNEDDVKSYTFELSTLVPASILDL VDDHHGSGGGQTVRCTAEGTPLPEIDWMICKHIKKCNNDTSWTVLASNVSNIITELPRRG RSTVEGRVSFAKVEETIAVRCLAKNNLSVVARELKLVAPTLRSELTVAAAVLVLLVIVIV SLIVLVVIWKQKPRYEIRWRVIESISPDGHEYIYVDPMQLPYDSRWEFPRDGLVLGRILG SGAFGKVVEGTAYGLSRSQPVMKVAVKMLKPTARSSEKQALMSELKIMTHLGPHLNIVNL LGACTKSGPIYIITEYCFYGDLVNYLHKNRDSFMSQHPEKPKKDLDIFGLNPADESTRSY VILSFENNGDYMDMKQADTTQYVPMLERKEVSKYSDIQRSLYDRPASYKKKSMLGKSAHA HSGKYDLSVV >ENSMUSP00000000476.8 pep:known chromosome:GRCm38:5:75152306:75198215:1 gene:ENSMUSG00000029231.15 transcript:ENSMUST00000000476.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfra description:platelet derived growth factor receptor, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97530] MGTSHQVFLVLSCLLTGPGLISCQLLLPSILPNENEKIVQLNSSFSLRCVGESEVSWQHP MSEEDDPNVEIRSEENNSGLFVTVLEVVNASAAHTGWYTCYYNHTQTDESEIEGRHIYIY VPDPDMAFVPLGMTDSLVIVEEDDSAIIPCRTTDPETQVTLHNNGRLVPASYDSRQGFNG TFSVGPYICEATVKGRTFKTSEFNVYALKATSELNLEMDARQTVYKAGETIVVTCAVFNN EVVDLQWTYPGEVRNKGITMLEEIKLPSIKLVYTLTVPKATVKDSGEYECAARQATKEVK EMKRVTISVHEKGFVEIEPTFGQLEAVNLHEVREFVVEVQAYPTPRISWLKDNLTLIENL TEITTDVQKSQETRYQSKLKLIRAKEEDSGHYTIIVQNEDDVKSYTFELSTLVPASILDL VDDHHGSGGGQTVRCTAEGTPLPEIDWMICKHIKKCNNDTSWTVLASNVSNIITELPRRG RSTVEGRVSFAKVEETIAVRCLAKNNLSVVARELKLVAPTLRSELTVAAAVLVLLVIVIV SLIVLVVIWKQKPRYEIRWRVIESISPDGHEYIYVDPMQLPYDSRWEFPRDGLVLGRILG SGAFGKVVEGTAYGLSRSQPVMKVAVKMLKPTARSSEKQALMSELKIMTHLGPHLNIVNL LGACTKSGPIYIITEYCFYGDLVNYLHKNRDSFMSQHPEKPKKDLDIFGLNPADESTRSY VILSFENNGDYMDMKQADTTQYVPMLERKEVSKYSDIQRSLYDRPASYKKKSMLDSEVKN LLSDDDSEGLTLLDLLSFTYQVARGMEFLASKNCVHRDLAARNVLLAQGKIVKICDFGLA RDIMHDSNYVSKGSTFLPVKWMAPESIFDNLYTTLSDVWSYGILLWEIFSLGGTPYPGMM VDSTFYNKIKSGYRMAKPDHATSEVYEIMVQCWNSEPEKRPSFYHLSEIVENLLPGQYKK SYEKIHLDFLKSDHPAVARMRVDSDNAYIGVTYKNEEDKLKDWEGGLDEQRLSADSGYII PLPDIDPVPEEEDLGKRNRHSSQTSEESAIETGSSSSTFIKREDETIEDIDMMDDIGIDS SDLVEDSFL >ENSMUSP00000144543.1 pep:known chromosome:GRCm38:5:75152352:75175150:1 gene:ENSMUSG00000029231.15 transcript:ENSMUST00000202186.3 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Pdgfra description:platelet derived growth factor receptor, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97530] MGTSHQVFLVLSCLLTGPGLISCQLLLPSILPNENEKIVQLNSSFSLRCVGESEVSWQHP MSEEDDPNVEIRSEENNSGLFVTVLEVVNASAAHTGWYTCYYNHTQTDESEIEGRHIYIY VPDPDMAFVPLGMTDSLVIVEEDDSAIIPCRTTDPETQVTLHNNGRLVPASYDSRQGFNG TFSVGPYICEATVKGRTFKTSEFNVYALKATSELNLEMDARQTVYKAGETIVVTCAVFNN EVVDLQWTYPGEVRNKGITMLEEIKLPSIKLVYTLTVPKATVKDSGEYECAARQATKEVK EMKRVTISVHEKGFVEIEPTFGQLEAVNLHEVREFVVEVQAYPTPRISWLKDNLTLIENL TEITTDVQKSQETRYQSKLKLIRAKEEDSGHYTIIVQNEDDVKSYTFELSTLVPASILDL VDDHHGSGGGQTVRCTAEGTPLPEIDWMICKHIKKYGNQMFPVLVWSGCFFHDIKGLKCS L >ENSMUSP00000143891.1 pep:known chromosome:GRCm38:5:75152935:75184131:1 gene:ENSMUSG00000029231.15 transcript:ENSMUST00000201711.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfra description:platelet derived growth factor receptor, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97530] MGTSHQVFLVLSCLLTGPGLISCQLLLPSILPNENEKIVQLNSSFSLRCVGESEVSWQHP MSEEDDPNVEIRSEENNSGLFVTVLEVVNASAAHTGWYTCYYNHTQTDESEIEGRHIYIY VPDPDMAFVPLGMTDSLVIVEEDDSAIIPCRTTDPETQVTLHNNGRLVPASYDSRQGFNG TFSVGPYICEATVKGRTFKTSEFNVYALKATSELNLEMDARQTVYKAGETIVVTCAVFNN EVVDLQWTYPGEVRNKGITMLEEIKLPSIKLVYTLTVPKATVKDSGEYECAARQATKEVK EMKRVTISVHEKGFVEIEPTFGQLEAVNLHEVREFVVEVQAYPTPRISWLKDNLTLIENL TEITTDVQKSQETRYQSKLKLIRAKEEDSGHYTIIVQNEDDVKSYTFELSTLVPASILDL VDDHHGSGGGQTVRCTAEGTPLPEIDWMICKHIKKCNNDTSWTVLASNVSNIITELPRRG RSTVEGRVSFAKVEETIAVRCLAKNNLSVVARELKLVAPTLRSELTVAAAVLVLLVIVIV SLIVLVVIWKQKPRYEIRWRVIESISPDGHEYIYVDPMQLPYDSRWEFPRDGLVLGRILG SGAFGKVVEGTAYGLSRSQPVMKVAVKMLKPTARSSEKQALMSELKIMTHLGPHLNIVNL LGACTKSGPIYIITEYCFYGDLVNYLHKNRDSFMSQHPEKPKKDLDIFGLNPADESTRSY VILSFENNGDYMDMKQADTTQYVPMLERKEVSKYSDIQRSLYDRPASYKKKSMLGKSAHA HSGKYDLSVV >ENSMUSP00000144485.1 pep:known chromosome:GRCm38:5:75155076:75163749:1 gene:ENSMUSG00000029231.15 transcript:ENSMUST00000202161.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfra description:platelet derived growth factor receptor, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97530] MGTSHQVFLVLSCLLTGPGLISCQLLLPSILPNENEKIVQLNSSFSLRCVGESEVSWQHP MSEEDDPNVEIRSEENNSGLFVTVLEVV >ENSMUSP00000127173.1 pep:known chromosome:GRCm38:5:75155899:75198201:1 gene:ENSMUSG00000029231.15 transcript:ENSMUST00000168162.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfra description:platelet derived growth factor receptor, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97530] MGTSHQVFLVLSCLLTGPGLISCQLLLPSILPNENEKIVQLNSSFSLRCVGESEVSWQHP MSEEDDPNVEIRSEENNSGLFVTVLEVVNASAAHTGWYTCYYNHTQTDESEIEGRHIYIY VPDPDMAFVPLGMTDSLVIVEEDDSAIIPCRTTDPETQVTLHNNGRLVPASYDSRQGFNG TFSVGPYICEATVKGRTFKTSEFNVYALKATSELNLEMDARQTVYKAGETIVVTCAVFNN EVVDLQWTYPGEVRNKGITMLEEIKLPSIKLVYTLTVPKATVKDSGEYECAARQATKEVK EMKRVTISVHEKGFVEIEPTFGQLEAVNLHEVREFVVEVQAYPTPRISWLKDNLTLIENL TEITTDVQKSQETRYQSKLKLIRAKEEDSGHYTIIVQNEDDVKSYTFELSTLVPASILDL VDDHHGSGGGQTVRCTAEGTPLPEIDWMICKHIKKCNNDTSWTVLASNVSNIITELPRRG RSTVEGRVSFAKVEETIAVRCLAKNNLSVVARELKLVAPTLRSELTVAAAVLVLLVIVIV SLIVLVVIWKQKPRYEIRWRVIESISPDGHEYIYVDPMQLPYDSRWEFPRDGLVLGRILG SGAFGKVVEGTAYGLSRSQPVMKVAVKMLKPTARSSEKQALMSELKIMTHLGPHLNIVNL LGACTKSGPIYIITEYCFYGDLVNYLHKNRDSFMSQHPEKPKKDLDIFGLNPADESTRSY VILSFENNGDYMDMKQADTTQYVPMLERKEVSKYSDIQRSLYDRPASYKKKSMLDSEVKN LLSDDDSEGLTLLDLLSFTYQVARGMEFLASKNCVHRDLAARNVLLAQGKIVKICDFGLA RDIMHDSNYVSKGSTFLPVKWMAPESIFDNLYTTLSDVWSYGILLWEIFSLGGTPYPGMM VDSTFYNKIKSGYRMAKPDHATSEVYEIMVQCWNSEPEKRPSFYHLSEIVENLLPGQYKK SYEKIHLDFLKSDHPAVARMRVDSDNAYIGVTYKNEEDKLKDWEGGLDEQRLSADSGYII PLPDIDPVPEEEDLGKRNRHSSQTSEESAIETGSSSSTFIKREDETIEDIDMMDDIGIDS SDLVEDSFL >ENSMUSP00000144132.1 pep:known chromosome:GRCm38:5:75156833:75166560:1 gene:ENSMUSG00000029231.15 transcript:ENSMUST00000202992.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfra description:platelet derived growth factor receptor, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97530] MGTSHQVFLVLSCLLTGPGLISCQLLLPSILPNENEKIVQLNSSFSLRCVGESEVSWQHP MSEEDDPNVEIRSEENNSGLFVTVLEVVNASAAHTGWYTCYYNHTQTDESEIEGRHIYIY VPDPDMAFVPLGMTDSLVI >ENSMUSP00000144634.1 pep:known chromosome:GRCm38:5:75161834:75167937:1 gene:ENSMUSG00000029231.15 transcript:ENSMUST00000200822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfra description:platelet derived growth factor receptor, alpha polypeptide [Source:MGI Symbol;Acc:MGI:97530] MGTSHQVFLVLSCLLTGPGLISCQLLLPSILPNENEKIVQLNSSFSLRCVGESEVSWQHP MSEEDDPNVEIRSEENNSGLFVTVLEVVNASAAHTGWYTCYYNHTQTDESEIEGRHIYIY VPDPDMAFVPLGMTDSLVIVEEDDSAIIPCRTTDPETQVTLHNNGRLVPASYDSRQGFNG TFSVGPYICEATVKGRTFKTSEFNVYALKATSELNLEMDARQTVYKAGETIVVTCAVFNN EVV >ENSMUSP00000016338.8 pep:known chromosome:GRCm38:1:193221634:193242629:-1 gene:ENSMUSG00000016194.14 transcript:ENSMUST00000016338.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd11b1 description:hydroxysteroid 11-beta dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:103562] MAVMKNYLLPILVLFLAYYYYSTNEEFRPEMLQGKKVIVTGASKGIGREMAYHLSKMGAH VVLTARSEEGLQKVVSRCLELGAASAHYIAGTMEDMTFAEQFIVKAGKLMGGLDMLILNH ITQTSLSLFHDDIHSVRRVMEVNFLSYVVMSTAALPMLKQSNGSIAVISSLAGKMTQPMI APYSASKFALDGFFSTIRTELYITKVNVSITLCVLGLIDTETAMKEISGIINAQASPKEE CALEIIKGTALRKSEVYYDKSPLTPILLGNPGRKIMEFFSLRYYNKDMFVSN >ENSMUSP00000123849.1 pep:known chromosome:GRCm38:1:193221682:193241598:-1 gene:ENSMUSG00000016194.14 transcript:ENSMUST00000160929.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd11b1 description:hydroxysteroid 11-beta dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:103562] MLQGKKVIVTGASKGIGREMAYHLSKMGAHVVLTARSEEGLQKVVSRCLELGAASAHYIA GTMEDMTFAEQFIVKAGKLMGGLDMLILNHITQTSLSLFHDDIHSVRRVMEVNFLSYVVM STAALPMLKQSNGSIAVISSLAGKMTQPMIAPYSASKFALDGFFSTIRTELYITKVNVSI TLCVLGLIDTETAMKEISGIINAQASPKEECALEIIKGTALRKSEVYYDKSPLTPILLGN PGRKIMEFFSLRYYNKDMFVSN >ENSMUSP00000125620.1 pep:known chromosome:GRCm38:1:193221689:193264075:-1 gene:ENSMUSG00000016194.14 transcript:ENSMUST00000161737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd11b1 description:hydroxysteroid 11-beta dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:103562] MAVMKNYLLPILVLFLAYYYYSTNEEFRPEMLQGKKVIVTGASKGIGREMAYHLSKMGAH VVLTARSEEGLQKVVSRCLELGAASAHYIAGTMEDMTFAEQFIVKAGKLMGGLDMLILNH ITQTSLSLFHDDIHSVRRVMEVNFLSYVVMSTAALPMLKQSNGSIAVISSLAGKMTQPMI APYSASKFALDGFFSTIRTELYITKVNVSITLCVLGLIDTETAMKEISGIINAQASPKEE CALEIIKGTALRKSEVYYDKSPLTPILLGNPGRKIMEFFSLRYYNKDMFVSN >ENSMUSP00000124693.2 pep:known chromosome:GRCm38:1:193223641:193243561:-1 gene:ENSMUSG00000016194.14 transcript:ENSMUST00000159644.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd11b1 description:hydroxysteroid 11-beta dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:103562] MAVMKNYLLPILVLFLAYYYYSTNEEFRPEMLQGKKVIVTGASKGIGREMAYHLSKMGAH VVLTARSEEGLQKVVSRCLELGAASAHYIAGTMEDMTFAEQFIVKAGKLMGGLDMLILNH ITQTSLSLFHDDIHSVRRVMEVNFLSYVVMSTAALPMLKQSNGSIAVISSLAGKMTQPMI APYSASKFALDGFFSTIRTELYITKVNVSITLCV >ENSMUSP00000124142.1 pep:known chromosome:GRCm38:1:193229454:193240410:-1 gene:ENSMUSG00000016194.14 transcript:ENSMUST00000161406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd11b1 description:hydroxysteroid 11-beta dehydrogenase 1 [Source:MGI Symbol;Acc:MGI:103562] XDMLILNHITQTSLSLFHDDIHSVRRVMEVNFLSYVVMSTAALPMLKQSNGSIAVISSLA GGRTVPQQRSRSVTPDSRGP >ENSMUSP00000014830.7 pep:known chromosome:GRCm38:7:19852097:19861299:-1 gene:ENSMUSG00000014686.8 transcript:ENSMUST00000014830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam16 description:carcinoembryonic antigen-related cell adhesion molecule 16 [Source:MGI Symbol;Acc:MGI:2685615] MKMPLTWYSWFLLSAWILNTGAEISITPEPAQPAEGDNVTLVVHGLSGELLAYNWYAGPT LSLTFLVASYIVSTGDETPGPAHTGREAVRPDGSLDIHGALPGHTGTYILQTLNRQFQTE VGYGHMQVYEILAPPTVMANDTALVERRDTLRLVCSSPSPAEVRWFFNGDALPVAVRLGM SPDGRMLTRHGVRREEAGAYQCEVWNPVSVSRSEPLNLTVYFGPERVAILQDSTTRTGCT IKVDFNMSLTLWCVARSCPEPEYVWAFNGKALKNGQDHLNISSMTAAHEGTYTCIAKNSK TLLSGSASVVVKLSAAAVAMMIVPVPTKPTEGQDVTLTVQGYPKDLLVYAWYRGPASEPN RLLSQLPSGNWIAGPAHTGREVGFANCSLLVQKLNLTDAGRYTLKTVTLQGKTDTLEVEL QVAPLE >ENSMUSP00000147247.1 pep:known chromosome:GRCm38:7:19852098:19856236:-1 gene:ENSMUSG00000014686.8 transcript:ENSMUST00000208198.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam16 description:carcinoembryonic antigen-related cell adhesion molecule 16 [Source:MGI Symbol;Acc:MGI:2685615] PEYVWAFNGKALKNGQDHLNISSMTAAHEGTYTCIAKNSKTLLSGSASVVVKLSAAAVAM MIVPVPTKPTEGQDVTLTVQGYPKDLLVYACPGVATAASSAAAQAAETWRETPLAASPRI RP >ENSMUSP00000027247.5 pep:known chromosome:GRCm38:1:38985608:38997238:1 gene:ENSMUSG00000026078.10 transcript:ENSMUST00000027247.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcl3 description:phosducin-like 3 [Source:MGI Symbol;Acc:MGI:1916083] MQDPNADTEWNDILRKKGILPPKESLKELEEEEAEKEEQLLQQSVVKTYEDMTLEELEEN EDEFSEEDERAIEMYRQQRLAEWKATQLKNKFGEVLEISGKDYVQEVTKAGEGLWVILHL YKQGIPLCSLINHHLSGLARKFPDVKFIKAISTTCIPNYPDRNLPTVFVYREGDIKAQFI GPLVFGGMNLTIDELEWKLSESGAIKTALEENPKKPIQDLLLSSVRGPVPMRRDSDSEDD >ENSMUSP00000141258.1 pep:known chromosome:GRCm38:1:38987841:38994917:1 gene:ENSMUSG00000026078.10 transcript:ENSMUST00000193489.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdcl3 description:phosducin-like 3 [Source:MGI Symbol;Acc:MGI:1916083] MQVSVASSGPQVCGRLAWWARARPGAGSTWISYRTRRTAGRPGAGALREGGCGPSGLLGW ALLLRTPMQTPSGMTSYVKRASFPRRRA >ENSMUSP00000142151.1 pep:known chromosome:GRCm38:1:38987865:38995807:1 gene:ENSMUSG00000026078.10 transcript:ENSMUST00000194552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcl3 description:phosducin-like 3 [Source:MGI Symbol;Acc:MGI:1916083] MTLEELEENEDEFSEEDERAIEMYRQQRLAEWKATQLKNKFGEVLEISGKDYVQEVTKAG EGLWVILHLYKQGIPLCSLINHHLSGLARKFPDVKFI >ENSMUSP00000004829.7 pep:known chromosome:GRCm38:1:171559193:171585318:1 gene:ENSMUSG00000004709.14 transcript:ENSMUST00000004829.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd244 description:CD244 natural killer cell receptor 2B4 [Source:MGI Symbol;Acc:MGI:109294] MLGQAVLFTTFLLLRAHQGQDCPDSSEEVVGVSGKPVQLRPSNIQTKDVSVQWKKTEQGS HRKIEILNWYNDGPSWSNVSFSDIYGFDYGDFALSIKSAKLQDSGHYLLEITNTGGKVCN KNFQLLILDHVETPNLKAQWKPWTNGTCQLFLSCLVTKDDNVSYALYRGSTLISNQRNST HWENQIDASSLHTYTCNVSNRASWANHTLNFTHGCQSVPSNFRFLPFGVIIVILVTLFLG AIICFCVWTKKRKQLQFSPKEPLTIYEYVKDSRASRDQQGCSRASGSPSAVQEDGRGQRE LDRRVSEVLEQLPQQTFPGDRGTMYSMIQCKPSDSTSQEKCTVYSVVQPSRKSGSKKRNQ NSSLSCTVYEEVGNPWLKAHNPARLSRRELENFDVYS >ENSMUSP00000141898.1 pep:known chromosome:GRCm38:1:171559193:171609746:1 gene:ENSMUSG00000004709.14 transcript:ENSMUST00000194797.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd244 description:CD244 natural killer cell receptor 2B4 [Source:MGI Symbol;Acc:MGI:109294] MLGQAVLFTTFLLLRAHQGQDCPDSSEEVVGVSGKPVQLRPSNIQTKDVSVQWKKTEQGS HRKIEILNWYNDGPSWSNVSFSDIYGFDYGDFALSIKSAKLQDSGHYLLEITNTGGKVCN KNFQLLILDHVETPNLKAQWKPWTNGTCQLFLSCLVTKDDNVSYALYRGSTLISNQRNST HWENQIDASSLHTYTCNVSNRASWANHTLNFTHGCQSVPSNFRFLPFGVIIVILVTLFLG AIICFCVWTKKRKQLQFSPKEPLTIYEYVKDSRASRDQQGCSRASGSPSAVQEDGRGQRE LDRRVSEIRKEY >ENSMUSP00000030636.4 pep:known chromosome:GRCm38:4:134468320:134473843:1 gene:ENSMUSG00000028832.11 transcript:ENSMUST00000030636.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn1 description:stathmin 1 [Source:MGI Symbol;Acc:MGI:96739] MASSDIQVKELEKRASGQAFELILSPRSKESVPDFPLSPPKKKDLSLEEIQKKLEAAEER RKSHEAEVLKQLAEKREHEKEVLQKAIEENNNFSKMAEEKLTHKMEANKENREAQMAAKL ERLREKDKHVEEVRKNKESKDPADETEAD >ENSMUSP00000119547.1 pep:known chromosome:GRCm38:4:134468406:134472925:1 gene:ENSMUSG00000028832.11 transcript:ENSMUST00000127279.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn1 description:stathmin 1 [Source:MGI Symbol;Acc:MGI:96739] MASSDIQVKELEKRASGQAFELILSPRSKESVPDFPLSPPKKKDLSLEEIQKKLEAAEER RKSHEAEVLKQLAEKREHEKEVLQKAIEENNNFSKMAEEKLT >ENSMUSP00000101493.1 pep:known chromosome:GRCm38:4:134468409:134473664:1 gene:ENSMUSG00000028832.11 transcript:ENSMUST00000105867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn1 description:stathmin 1 [Source:MGI Symbol;Acc:MGI:96739] MASSDIQVKELEKRASGQAFELILSPRSKESVPDFPLSPPKKKDLSLEEIQKKLEAAEER RKSHEAEVLKQLAEKREHEKEVLQKAIEENNNFSKMAEEKLTHKMEANKENREAQMAAKL ERLREKFLPGQARGRGAEEQRIQRPRG >ENSMUSP00000101494.1 pep:known chromosome:GRCm38:4:134469742:134473499:1 gene:ENSMUSG00000028832.11 transcript:ENSMUST00000105868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmn1 description:stathmin 1 [Source:MGI Symbol;Acc:MGI:96739] MASSDIQVKELEKRASGQAFELILSPRSKESVPDFPLSPPKKKDLSLEEIQKKLEAAEER RKSHEAEVLKQLAEKREHEKEVLQKAIEENNNFSKMAEEKLTHKMEANKENREAQMAAKL ERLREKDKHVEEVRKNKESKDPADETEAD >ENSMUSP00000075113.5 pep:known chromosome:GRCm38:4:107314363:107359822:1 gene:ENSMUSG00000057375.13 transcript:ENSMUST00000075693.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf1 description:Yip1 domain family, member 1 [Source:MGI Symbol;Acc:MGI:1915532] MAAVDDLQFEEFGDGATLLAANPDATTINIEDPSVSFGHQPRPPGSVGREEDEELLGNND SDETELLAGQKRSSPFWTFEYYQTFFDVDTYQVFDRIKGSLLPVPGKNFVRLYIRSNPDL YGPFWICATLVFAIAISGNLSNFLIHLGEKTYHYVPEFQKVSIAATVIYAYAWLVPLALW GFLLWRNSKVMSMVSYSFLEIVCVYGYSLFIYIPTAVLWIIPQRVVRWVLVMIALGVSGS VLVMTFWPAVREDNRRVALATIVTIVLLHVLLSVGCLAYFFDAPEMDHLPAAITTPNQTV TAAKSS >ENSMUSP00000115626.1 pep:known chromosome:GRCm38:4:107314384:107350472:1 gene:ENSMUSG00000057375.13 transcript:ENSMUST00000139527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf1 description:Yip1 domain family, member 1 [Source:MGI Symbol;Acc:MGI:1915532] MAAVDDLQFEEFGDGATLLAANPDATTINIEDPSVSFGHQPRPPGSVGREEDEELLGNND SDETEVFDRIKGSLLPVPGKNFVRLYIRSNPDLYGPFWICATLVFAIAISGNLSNFLIHL GEKTYHYVPEFQKVSIAATVIYAYAWLVPLALWGFLLWRNSKVMSMVSYSFLEIVCVYGY SLFIYIPTAVLWIIPQRVVRWVLVMIALGVSGSVLVMTFWPAVREDNRRVALATIVTIVL LHVLLSVGCLAYFFDAPEMDHLPAAITTP >ENSMUSP00000119107.1 pep:known chromosome:GRCm38:4:107314437:107319016:1 gene:ENSMUSG00000057375.13 transcript:ENSMUST00000124650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf1 description:Yip1 domain family, member 1 [Source:MGI Symbol;Acc:MGI:1915532] MAAVDDLQFEEFGDGATLLAANPDATTINIEDPSV >ENSMUSP00000114898.1 pep:known chromosome:GRCm38:4:107314412:107345067:1 gene:ENSMUSG00000057375.13 transcript:ENSMUST00000128284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf1 description:Yip1 domain family, member 1 [Source:MGI Symbol;Acc:MGI:1915532] MAAVDDLQFEEFGDGATLLAANPDATTINIEDPSVSFGHQPRPPGSVGREEDEELLGNND SDETELLAGQKRSSPFWTFEYYQTFFDVDTYQVFDRIKGSLLPVPGKNFVRLYIRSNPDL YGPFWICATLVFAIAISGNLSNFLIHLGEKTYHYVPEFQKDGTALSPTVSIAATVIYAYA WLVPLALWGFLLWRNSKVMSMVSYSFLEIVCVYGYSLFIYIPTAVLWIIPQRVVRWVLVM IALG >ENSMUSP00000081486.2 pep:known chromosome:GRCm38:7:141194157:141210055:1 gene:ENSMUSG00000038637.14 transcript:ENSMUST00000084446.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc56 description:leucine rich repeat containing 56 [Source:MGI Symbol;Acc:MGI:1917802] MDPAWDGSQGSRPGTASIRVRELSWQGLNNPHPQNKRLGSHGDIHRERWVEERLSPARLQ ALAQVDDLQLVRVLEMCVDTRKNSLGNFGLYLPNLIQLKLNHSYLGSLRDLGTSLGHLQV LWLARCGLTDLDGIGSFLELKELYVSYNNISDLSPLCLLEQLEVLDLEGNNVEDLGQMRY LQLCPRLAMLTLEGNLVCLKPDPGPSNKAPQGYNYRAEVKKLIPQLHVLDEVPTTCTSAP APQTLSQDWLMVKEAIKEGSVLDILLPRLDDPHGATIRKFDPTLPVPETQPWALSLLVPG GPLPEGLLSENPATEDHASNLTHGPGQVLCGNPTKGLRKRRNQYQSSSPKTAGVPAGKIC SGPSPGPTEGSCRTGRPDWTQDFPNPATPGLRTFQDIRLSFDPFSPKVPNAT >ENSMUSP00000063912.4 pep:known chromosome:GRCm38:7:141194176:141210049:1 gene:ENSMUSG00000038637.14 transcript:ENSMUST00000070458.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc56 description:leucine rich repeat containing 56 [Source:MGI Symbol;Acc:MGI:1917802] MDPAWDGSQGSRPGTASIRVRELSWQGLNNPHPQNKRLGSHGDIHRERWVEERLSPARLQ ALAQVDDLQLVRVLEMCVDTRKNSLGNFGLYLPNLIQLKLNHSYLGSLRDLGTSLGHLQV LWLARCGLTDLDGIGSFLELKELYVSYNNISDLSPLCLLEQLEVLDLEGNNVEDLGQMRY LQLCPRLAMLTLEGNLVCLKPDPGPSNKAPQGYNYRAEVKKLIPQLHVLDEVPTTCTSAP APQTLSQDWLMVKEAIKEGSVLDILLPRLDDPHGATIRKFDPTLPVPETQPWALSLLVPG GPLPEGLLSENPATEDHASNLTHGPGQVLCGNPTKGLRKRRNQYQEWAPLEQMPPHRPDL AIRPSTPRPDPAESCDLAMTGLRAWTEPGLRPLLQRQLEFQQERSAQVQAQDPQKDPVEQ EDQTGPKTSLTPPRLVSELSRTSGFHLIPSPPKYPMPPESGISSLGRSADLPFRGRRLRV LGSLGPSLGEGSVLGERLAAVTALRALEASSGPSHRAQGCPDPKPALGPAACPPGLHCLH HLNPIPPAHSLP >ENSMUSP00000048691.4 pep:known chromosome:GRCm38:7:141195078:141210047:1 gene:ENSMUSG00000038637.14 transcript:ENSMUST00000047093.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc56 description:leucine rich repeat containing 56 [Source:MGI Symbol;Acc:MGI:1917802] MDPAWDGSQGSRPGTASIRVRELSWQGLNNPHPQNKRLGSHGDIHRERWVEERLSPARLQ ALAQVDDLQLVRVLEMCVDTRKNSLGNFGLYLPNLIQLKLNHSYLGSLRDLGTSLGHLQV LWLARCGLTDLDGIGSFLELKELYVSYNNISDLSPLCLLEQLEVLDLEGNNVEDLGQMRY LQLCPRLAMLTLEGNLVCLKPDPGPSNKAPQGYNYRAEVKKLIPQLHVLDEVPTTCTSAP APQTLSQDWLMVKEAIKEGSVLDILLPRLDDPHGATIRKFDPTLPVPETQPWALSLLVPG GPLPEGLLSENPATEDHASNLTHGPGQVLCGNPTKGLRKRRNQYQEWAPLEQMPPHRPDL AIRPSTPRPDPAESCDLAMTGLRAWTEPGLRPLLQRQLEFQQERSAQVQAQDPQKDPVEQ EDQTGPKTSLTPPRLVSELSRTSGFHLIPSPPKYPMPPESGISSLGRSADLPFRGRRLRV LGSLGPSLGEGSVLGERLAAVTALRALEASSGPSHRAQGCPDPKPALGPAACPPGLHCLH HLNPIPPAHSLP >ENSMUSP00000131212.1 pep:known chromosome:GRCm38:15:81987835:82022574:1 gene:ENSMUSG00000022471.13 transcript:ENSMUST00000165777.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc6 description:X-ray repair complementing defective repair in Chinese hamster cells 6 [Source:MGI Symbol;Acc:MGI:95606] MSEWESYYKTEGEEEEEEEESPDTGGEYKYSGRDSLIFLVDASRAMFESQGEDELTPFDM SIQCIQSVYTSKIISSDRDLLAVVFYGTEKDKNSVNFKNIYVLQDL >ENSMUSP00000097968.4 pep:known chromosome:GRCm38:15:82015519:82040085:1 gene:ENSMUSG00000022471.13 transcript:ENSMUST00000100399.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc6 description:X-ray repair complementing defective repair in Chinese hamster cells 6 [Source:MGI Symbol;Acc:MGI:95606] MSEWESYYKTEGEEEEEEEESPDTGGEYKYSGRDSLIFLVDASRAMFESQGEDELTPFDM SIQCIQSVYTSKIISSDRDLLAVVFYGTEKDKNSVNFKNIYVLQDLDNPGAKRVLELDQF KGQQGKKHFRDTVGHGSDYSLSEVLWVCANLFSDVQLKMSHKRIMLFTNEDDPHGRDSAK ASRARTKASDLRDTGIFLDLMHLKKPGGFDVSVFYRDIITTAEDEDLGVHFEESSKLEDL LRKVRAKETKKRVLSRLKFKLGEDVVLMVGIYNLVQKANKPFPVRLYRETNEPVKTKTRT FNVNTGSLLLPSDTKRSLTYGTRQIVLEKEETEELKRFDEPGLILMGFKPTVMLKKQHYL RPSLFVYPEESLVSGSSTLFSALLTKCVEKEVIAVCRYTPRKNVSPYFVALVPQEEELDD QNIQVTPGGFQLVFLPYADDKRKVPFTEKVTANQEQIDKMKAIVQKLRFTYRSDSFENPV LQQHFRNLEALALDMMESEQVVDLTLPKVEAIKKRLGSLADEFKELVYPPGYNPEGKVAK RKQDDEGSTSKKPKVELSEEELKAHFRKGTLGKLTVPTLKDICKAHGLKSGPKKQELLDA LIRHLEKN >ENSMUSP00000068559.6 pep:known chromosome:GRCm38:15:82016369:82040085:1 gene:ENSMUSG00000022471.13 transcript:ENSMUST00000069530.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc6 description:X-ray repair complementing defective repair in Chinese hamster cells 6 [Source:MGI Symbol;Acc:MGI:95606] MSEWESYYKTEGEEEEEEEESPDTGGEYKYSGRDSLIFLVDASRAMFESQGEDELTPFDM SIQCIQSVYTSKIISSDRDLLAVVFYGTEKDKNSVNFKNIYVLQDLDNPGAKRVLELDQF KGQQGKKHFRDTVGHGSDYSLSEVLWVCANLFSDVQLKMSHKRIMLFTNEDDPHGRDSAK ASRARTKASDLRDTGIFLDLMHLKKPGGFDVSVFYRDIITTAEDEDLGVHFEESSKLEDL LRKVRAKETKKRVLSRLKFKLGEDVVLMVGIYNLVQKANKPFPVRLYRETNEPVKTKTRT FNVNTGSLLLPSDTKRSLTYGTRQIVLEKEETEELKRFDEPGLILMGFKPTVMLKKQHYL RPSLFVYPEESLVSGSSTLFSALLTKCVEKEVIAVCRYTPRKNVSPYFVALVPQEEELDD QNIQVTPGGFQLVFLPYADDKRKVPFTEKVTANQEQIDKMKAIVQKLRFTYRSDSFENPV LQQHFRNLEALALDMMESEQVVDLTLPKVEAIKKRLGSLADEFKELVYPPGYNPEGKVAK RKQDDEGSTSKKPKVELSEEELKAHFRKGTLGKLTVPTLKDICKAHGLKSGPKKQELLDA LIRHLEKN >ENSMUSP00000129628.1 pep:known chromosome:GRCm38:15:82016461:82023034:1 gene:ENSMUSG00000022471.13 transcript:ENSMUST00000168581.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc6 description:X-ray repair complementing defective repair in Chinese hamster cells 6 [Source:MGI Symbol;Acc:MGI:95606] MSHKRIMLFTNEDDPHGRDSAKASRAR >ENSMUSP00000126245.1 pep:known chromosome:GRCm38:15:82016464:82025637:1 gene:ENSMUSG00000022471.13 transcript:ENSMUST00000170630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc6 description:X-ray repair complementing defective repair in Chinese hamster cells 6 [Source:MGI Symbol;Acc:MGI:95606] MSEWESYYKTEGEEEEEEEESPDTGGEYKYSGRDSLIFLVDASRAMFESQGEDELTPFDM SIQCIQSVYTSKIISSDRDLLAVVFYGTEKDKNSVNFKNIYVLQDLDNPGAKRVLELDQF KGQQGKKHFRDTVGHGSDYSLSEVLWVCANLFSDVQLKMSHKRIMLFTNEDDPHGRDSAK ASRARTKASDLRDTGTSSPPLRTRTLGFTSRSQASWK >ENSMUSP00000127927.1 pep:known chromosome:GRCm38:15:82016515:82025686:1 gene:ENSMUSG00000022471.13 transcript:ENSMUST00000164779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc6 description:X-ray repair complementing defective repair in Chinese hamster cells 6 [Source:MGI Symbol;Acc:MGI:95606] MSHKRIMLFTNEDDPHGRDSAKASRARTKASDLRDTGIFLDLMHLKKPGGFDVSVFYRDI ITTAEDEDLGVHFEESSKLEDLLRKVRAKETKKRVLS >ENSMUSP00000045216.1 pep:known chromosome:GRCm38:3:104638668:104658462:1 gene:ENSMUSG00000032902.1 transcript:ENSMUST00000046212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a1 description:solute carrier family 16 (monocarboxylic acid transporters), member 1 [Source:MGI Symbol;Acc:MGI:106013] MPPAIGGPVGYTPPDGGWGWAVLVGAFISIGFSYAFPKSITVFFKEIEVIFSATTSEVSW ISSIMLAVMYAGGPISSILVNKYGSRPVMIAGGCLSGCGLIAASFCNTVQELYLCIGVIG GLGLAFNLNPALTMIGKYFYKKRPLANGLAMAGSPVFLSTLAPLNQAFFDIFDWRGSFLI LGGLLLNCCVAGSLMRPIGPEQVKLEKLKSKESLQEAGKSDANTDLIGGSPKGEKLSVFQ TINKFLDLSLFTHRGFLLYLSGNVVMFFGLFTPLVFLSSYGKSKDFSSEKSAFLLSILAF VDMVARPSMGLAANTKWIRPRIQYFFAASVVANGVCHLLAPLSTTYVGFCVYAGVFGFAF GWLSSVLFETLMDLIGPQRFSSAVGLVTIVECCPVLLGPPLLGRLNDMYGDYKYTYWACG VILIIAGIYLFIGMGINYRLLAKEQKAEEKQKREGKEDEASTDVDEKPKETMKAAQSPQQ HSSGDPTEEESPV >ENSMUSP00000042004.8 pep:known chromosome:GRCm38:3:107877229:107892900:1 gene:ENSMUSG00000040600.9 transcript:ENSMUST00000037375.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l3 description:EPS8-like 3 [Source:MGI Symbol;Acc:MGI:2139743] MSRPSSRAIYLHRKEYSQSMASEPTLLQHRVEHLMTCKLGTQRVREPKDALQKLQEMDAQ GRVWSQDLFLQVRDGWLHLLDIETKEELDSYRLDNIKAIDVALNTCSYNSILSVTVQESG LPGISTLLFQCQEVGAEQLRTSLQKALEEELEERPRFGVHHPSQDRWKGPPLERPLPIQQ APPLEQRFSPEHRFPPEQPHNMTSERSISPSSRSLTHYPSAREPNGFTLPPPPRRAPSPE DPERDEEVLNHVLRDIELFAGKLKEVQARNSHKKTKLGRKKKKSKNGITQAEYIDCFQKI KLSFNLLGKLALRMQETSAPEFVGLIFQTLKFILSQCPEAGLPAKVISPLLTPKAIDLLQ SCLSPPEDTLWKSLGTSWTTSWADWTGSEPPPYQPTFYDGWQIPQPRSMMPITNQDSISL RGSRMRSSLHFPRDEPYNHNPEYEDSNLPLSSPSPGRAALKMQVLYEFEARNAQELTVAQ GEILEVLDQSKRWWLVKNEAGLTGYIPSNILEPLPAGAPRGHRQPSFRAPMLRLSSKPEE VTAWLQAENFSTVTVRTLGSLMGSQLLHMRPGELQMLCPQEAPRIQARLDAVRRMLGMTH >ENSMUSP00000143754.1 pep:known chromosome:GRCm38:3:107877262:107881629:1 gene:ENSMUSG00000040600.9 transcript:ENSMUST00000199990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l3 description:EPS8-like 3 [Source:MGI Symbol;Acc:MGI:2139743] MTCKLGTQRVREPKDALQKLQEMDAQGRVWSQDLFLQVRDGWLHLLDIETKEELDSYRLD NIKAIDVALNTCSYNSILSVTVQESGLPG >ENSMUSP00000076521.7 pep:known chromosome:GRCm38:6:47796141:47813430:-1 gene:ENSMUSG00000025823.9 transcript:ENSMUST00000077290.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdia4 description:protein disulfide isomerase associated 4 [Source:MGI Symbol;Acc:MGI:104864] MKLRKAWLLVLLLALTQLLAAASAGDAHEDTSDTENATEEEEEEEEEDDDDLEVKEENGV WVLNDGNFDNFVADKDTVLLEFYAPWCGHCKQFAPEYEKIASTLKDNDPPIAVAKIDATS ASMLASKFDVSGYPTIKILKKGQAVDYDGSRTQEEIVAKVREVSQPDWTPPPEVTLSLTK DNFDDVVNNADIILVEFYAPWCGHCKKLAPEYEKAAKELSKRSPPIPLAKVDATEQTDLA KRFDVSGYPTLKIFRKGRPFDYNGPREKYGIVDYMIEQSGPPSKEILTLKQVQEFLKDGD DVVIIGLFQGDGDPAYLQYQDAANNLREDYKFHHTFSPEIAKFLKVSLGKLVLTHPEKFQ SKYEPRFHVMDVQGSTEASAIKDYVVKHALPLVGHRKTSNDAKRYSKRPLVVVYYSVDFS FDYRAATQFWRNKVLEVAKDFPEYTFAIADEEDYATEVKDLGLSESGEDVNAAILDESGK KFAMEPEEFDSDTLREFVTAFKKGKLKPVIKSQPVPKNNKGPVKVVVGKTFDAIVMDPKK DVLIEFYAPWCGHCKQLEPIYTSLGKKYKGQKDLVIAKMDATANDITNDQYKVEGFPTIY FAPSGDKKNPIKFEGGNRDLEHLSKFIDEHATKRSRTKEEL >ENSMUSP00000101321.1 pep:known chromosome:GRCm38:4:149127121:149137548:-1 gene:ENSMUSG00000073705.10 transcript:ENSMUST00000105696.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Apitd1 description:apoptosis-inducing, TAF9-like domain 1 [Source:MGI Symbol;Acc:MGI:1917178] MEEVEAEEPQEFSHRQRLKAAVHYTVGCLCQEVTLNKQVNFSKQTIAAISEVTFRQCENF AKDLEMFARNVQTADLGILESPRPGTLQVCMSLRGPGQRPWH >ENSMUSP00000030813.3 pep:known chromosome:GRCm38:4:149128198:149137629:-1 gene:ENSMUSG00000073705.10 transcript:ENSMUST00000030813.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apitd1 description:apoptosis-inducing, TAF9-like domain 1 [Source:MGI Symbol;Acc:MGI:1917178] MEEVEAEEPQEFSHRQRLKAAVHYTVGCLCQEVTLNKQVNFSKQTIAAISEVTFRQCENF AKDLEMFARHAKRSTVTTEDVKLLARRNNSLLKYITEKNEEIAQLNLKGKAKKKRKPEDE SRSSRESMAEELDGAEELQSES >ENSMUSP00000135536.1 pep:known chromosome:GRCm38:4:149128540:149137569:-1 gene:ENSMUSG00000073705.10 transcript:ENSMUST00000177408.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apitd1 description:apoptosis-inducing, TAF9-like domain 1 [Source:MGI Symbol;Acc:MGI:1917178] MEEVEAEEPQEFSHRQRLKAAVHYTVGCLCQEVTLNKQVNFSKQTIAAISEKILPKTLKC LPDTRKEARSPLKT >ENSMUSP00000134756.2 pep:known chromosome:GRCm38:4:149128776:149137565:-1 gene:ENSMUSG00000073705.10 transcript:ENSMUST00000176124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apitd1 description:apoptosis-inducing, TAF9-like domain 1 [Source:MGI Symbol;Acc:MGI:1917178] MFARHAKRSTVTTEDVKLLARRNNSLLKYITEKNEEIAQLNLKGKAKKKRKPEDESRSSR ESMAEELDGAEELQSES >ENSMUSP00000121878.2 pep:known chromosome:GRCm38:4:149128833:149132635:-1 gene:ENSMUSG00000073705.10 transcript:ENSMUST00000150150.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apitd1 description:apoptosis-inducing, TAF9-like domain 1 [Source:MGI Symbol;Acc:MGI:1917178] MFARHAKRSTVTTEDVKLLARRNNSLLKYITEKNEEIAQLNLKGKAKKKRKPEDESRS >ENSMUSP00000101320.1 pep:known chromosome:GRCm38:4:149131355:149137577:-1 gene:ENSMUSG00000073705.10 transcript:ENSMUST00000105695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apitd1 description:apoptosis-inducing, TAF9-like domain 1 [Source:MGI Symbol;Acc:MGI:1917178] MEEVEAEEPQEFSHRQRLKAAVHYTVGCLCQEVTLNKQVNFSKQTIAAISEVTFRQCENF AKDLEMFARWVEKLAV >ENSMUSP00000108814.1 pep:known chromosome:GRCm38:X:134944528:134964754:-1 gene:ENSMUSG00000009941.10 transcript:ENSMUST00000113189.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf2 description:nuclear RNA export factor 2 [Source:MGI Symbol;Acc:MGI:1933192] MWSSPKENLQGRSSMFVQKNINSETYKQRYGLPYKRSERFYHSEYKMNYNHGFQGRKRGV NYIWSQFDRKNNHFDHYGAPYAMGMKRRRERCSYDDQYFLNVWDDSKTEEGETDLDAENE TEEKWYKVTIPSGRKYEKTWLMRSIQNFCSEPFIPVDFHYDKTQARFFVQNAKTASALKD VSYRICDETSRKIAIFVSPSVVPYSVQNKFTSEQMEYIRESMMNRYDASQKALDLEKFRF DQDLMDKDIDMMLNRRSCMVATLQIIQSDIPELLSLNLTNNKLYQLDGLSDMTEKAPHVK ILNLSRNKLKSFTELEKVKELKLEELWLEGNPFCNCFLDHFEYISTIHDLFPKLLRLDGE DIIVPKRNLQNGKGLIVPTRNLQNGKDLIVPTGNPQDGKDLIVPTGNPQDGKDLIVPTGN PQDGKDLIVPTKMDIEVPQPCKESCNTSEVIKNLVLQFLKEYYLFYDNGDRLRLLDAYHD QACFSLSVPFDVSDPNLNNLEEYFKYSRDLKRQQDSSMRMQLLKHTKHDIVNSLSLLPKT QHDLCSFLVDLFLHTEMMLCFSVNGLFMEVEGKCRGCIRAFTRIFIAIPCSDSRICIMND ELIVRNASPKEIQKAFTSLPAPDTSFKPLLSEEQQEMVKSFSVQSGMKLDWSQKCLQDNE WDYTKAGEAFTALQNEGKIPKEFFK >ENSMUSP00000108812.1 pep:known chromosome:GRCm38:X:134944528:134963896:-1 gene:ENSMUSG00000009941.10 transcript:ENSMUST00000113187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf2 description:nuclear RNA export factor 2 [Source:MGI Symbol;Acc:MGI:1933192] MFVQKNINSETYKQRYGLPYKRSERFYHSEYKMNYNHGFQGRKRGVNYIWSQFDRKNNHF DHYGAPYAMGMKRRRERCSYDDQYFLNVWDDSKTEEGETDLDAENETEEKWYKVTIPSGR KYEKTWLMRSIQNFCSEPFIPVDFHYDKTQARFFVQNAKTASALKDVSYRICDETSRKIA IFVSPSVVPYSVQNKFTSEQMEYIRESMMNRYDASQKALDLEKFRFDQDLMDKDIDMMLN RRSCMVATLQIIQSDIPELLSLNLTNNKLYQLDGLSDMTEKAPHVKILNLSRNKLKSFTE LEKVKELKLEELWLEGNPFCNCFLDHFEYISTIHDLFPKLLRLDGEDIIVPKRNLQNGKG LIVPTRNLQNGKDLIVPTGNPQDGKDLIVPTGNPQDGKDLIVPTGNPQDGKDLIVPTKMD IEVPQPCKESCNTSEVIKNLVLQFLKEYYLFYDNGDRLRLLDAYHDQACFSLSVPFDVSD PNLNNLEEYFKYSRDLKRQQDSSMRMQLLKHTKHDIVNSLSLLPKTQHDLCSFLVDLFLH TEMMLCFSVNGLFMEVEGKCRGCIRAFTRIFIAIPCSDSRICIMNDELIVRNASPKEIQK AFTSLPAPDTSFKPLLSEEQQEMVKSFSVQSGMKLDWSQKCLQDNEWDYTKAGEAFTALQ NEGKIPKEFFK >ENSMUSP00000010085.3 pep:known chromosome:GRCm38:X:134944528:134964754:-1 gene:ENSMUSG00000009941.10 transcript:ENSMUST00000010085.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf2 description:nuclear RNA export factor 2 [Source:MGI Symbol;Acc:MGI:1933192] MWSSPKENLQGRSSMFVQKNINSETYKQRYGLPYKRSERFYHSEYKMNYNHGFQGRKRGV NYIWSQFDRKNNHFDHYGAPYAMGMKRRRERCSYDDQYFLNVWDDSKTEEGETDLDAENE TEEKWYKVTIPSGRKYEKTWLMRSIQNFCSEPFIPVDFHYDKTQARFFVQNAKTASALKD VSYRICDETSRKIAIFVSPSVVPYSVQNKFTSEQMEYIRESMMNRYDASQKALDLEKFRF DQDLMDKDIDMMLNRRSCMVATLQIIQSDIPELLSLNLTNNKLYQLDGLSDMTEKAPHVK ILNLSRNKLKSFTELEKVKELKLEELWLEGNPFCNCFLDHFEYISTIHDLFPKLLRLDGE DIIVPKRNLQNGKGLIVPTRNLQNGKDLIVPTGNPQDGKDLIVPTGNPQDGKDLIVPTGN PQDGKDLIVPTKMDIEVPQPCKESCNTSEVIKNLVLQFLKEYYLFYDNGDRLRLLDAYHD QACFSLSVPFDVSDPNLNNLEEYFKYSRDLKRQQDSSMRMQLLKHTKHDIVNSLSLLPKT QHDLCSFLVDLFLHTEMMLCFSVNGLFMEVEGKCRGCIRAFTRIFIAIPCSDSRVHSSFR ICIMNDELIVRNASPKEIQKAFTSLPAPDTSFKPLLSEEQQEMVKSFSVQSGMKLDWSQK CLQDNEWDYTKAGEAFTALQNEGKIPKEFFK >ENSMUSP00000097287.1 pep:known chromosome:GRCm38:2:93419111:93462411:-1 gene:ENSMUSG00000027215.13 transcript:ENSMUST00000099696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd82 description:CD82 antigen [Source:MGI Symbol;Acc:MGI:104651] MGAGCVKVTKYFLFLFNLLFFILGAVILGFGVWILADKNSFISVLQTSSSSLQVGAYVFI GVGAITIVMGFLGCIGAVNEVRCLLGLYFVFLLLILIAQVTVGVLFYFNADKLKKEMGNT VMDIIRNYTANATSSREEAWDYVQAQVKCCGWVSHYNWTENEELMGFTKTTYPCSCEKIK EEDNQLIVKKGFCEADNSTVSENNPEDWPVNTEGCMEKAQAWLQENFGILLGVCAGVAVI ELLGLFLSICLCRYIHSEDYSKVPKY >ENSMUSP00000028644.4 pep:known chromosome:GRCm38:2:93419111:93462502:-1 gene:ENSMUSG00000027215.13 transcript:ENSMUST00000028644.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd82 description:CD82 antigen [Source:MGI Symbol;Acc:MGI:104651] MGAGCVKVTKYFLFLFNLLFFILGAVILGFGVWILADKNSFISVLQTSSSSLQVGAYVFI GVGAITIVMGFLGCIGAVNEVRCLLGLYFVFLLLILIAQVTVGVLFYFNADKLKKEMGNT VMDIIRNYTANATSSREEAWDYVQAQVKCCGWVSHYNWTENEELMGFTKTTYPCSCEKIK EEDNQLIVKKGFCEADNSTVSENNPEDWPVNTEGCMEKAQAWLQENFGILLGVCAGVAVI ELLGLFLSICLCRYIHSEDYSKVPKY >ENSMUSP00000106888.1 pep:known chromosome:GRCm38:2:93419830:93452679:-1 gene:ENSMUSG00000027215.13 transcript:ENSMUST00000111257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd82 description:CD82 antigen [Source:MGI Symbol;Acc:MGI:104651] MGAGCVKVTKYFLFLFNLLFFILGAVILGFGVWILADKNSFISVLQTSSSSLQVGAYVFI GVGAITIVMGFLGCIGAVNEVRCLLGLYFVFLLLILIAQVTVGVLFYFNADKLKKEMGNT VMDIIRNYTANATSSREEAWDYVQAQVKCCGWVSHYNWTENEELMGFTKTTYPCSCEKIK EEDNQLIVKKGFCEADNSTVSENNPEDWPVNTEGCMEKAQAWLQENFGILLGVCAGVAVI ELLGLFLSICLCRYIHSEDYSKVPKY >ENSMUSP00000114762.1 pep:known chromosome:GRCm38:2:93420657:93462386:-1 gene:ENSMUSG00000027215.13 transcript:ENSMUST00000123565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd82 description:CD82 antigen [Source:MGI Symbol;Acc:MGI:104651] MGAGCVKVTKYFLFLFNLLFFILGAVILGFGVWILADKNSFISVLQTSSSSLQVGAYVFI GVGAITIVMGFLGCIGAVNEVRCLLGLYFVFLLLILIAQVTVGVLFYFNADKLKKEMGNT VMDIIRNYTANATSSREEAWDYVQAQVKCCGWVSHYNWTENEELMGFTKTTYPCSCEKI >ENSMUSP00000115310.1 pep:known chromosome:GRCm38:2:93421827:93452683:-1 gene:ENSMUSG00000027215.13 transcript:ENSMUST00000145553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd82 description:CD82 antigen [Source:MGI Symbol;Acc:MGI:104651] MGAGCVKVTKYFLFLFNLLFFILGAVILGFGVWILADKNSFISVLQTSSSSLQVGAYVFI GVGAITIVMGFLGCIGAVNEVRCLLGLYFVFLLLILIAQVTVGVLFYFNADKLKKEMGNT VMDIIRNYTANATSSREEAWDYVQAQ >ENSMUSP00000120183.1 pep:known chromosome:GRCm38:2:93421827:93461912:-1 gene:ENSMUSG00000027215.13 transcript:ENSMUST00000150508.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd82 description:CD82 antigen [Source:MGI Symbol;Acc:MGI:104651] MGAGCVKVTKYFLFLFNLLFFILGAVILGFGVWILADKNSFISVLQTSSSSLQVGAYVFI GVGAITIVMGFLGCIGAVNEVRCLLGLYFVFLLLILIAQVTVGVLFYFNADKLKKEMGNT VMDIIRNYTANATSSREEAWDYVQAQ >ENSMUSP00000106887.1 pep:known chromosome:GRCm38:2:93430127:93463140:-1 gene:ENSMUSG00000027215.13 transcript:ENSMUST00000111256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd82 description:CD82 antigen [Source:MGI Symbol;Acc:MGI:104651] MGAGCVKVTKYFLFLFNLLFFILGAVILGFGVWILADKNSFISVLQTSSSSLQVGAYVFI GVGAITIVMGFLGCIGAVNEVRCLLGLV >ENSMUSP00000115349.1 pep:known chromosome:GRCm38:2:93437388:93462912:-1 gene:ENSMUSG00000027215.13 transcript:ENSMUST00000124804.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd82 description:CD82 antigen [Source:MGI Symbol;Acc:MGI:104651] MGAGCVKVTKYFLFLFNLLFF >ENSMUSP00000112158.2 pep:known chromosome:GRCm38:2:93419116:93462946:-1 gene:ENSMUSG00000027215.13 transcript:ENSMUST00000116457.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd82 description:CD82 antigen [Source:MGI Symbol;Acc:MGI:104651] MGAGCVKVTKYFLFLFNLLFFILGAVILGFGVWILADKNSFISVLQTSSSSLQVGAYVFI GVGAITIVMGFLGCIGAVNEVRCLLGLYFVFLLLILIAQVTVGVLFYFNADKLKKEMGNT VMDIIRNYTANATSSREEAWDYVQAQVKCCGWVSHYNWTENEELMGFTKTTYPCSCEKIK EEDNQLIVKKGFCEADNSTVSENNPEDWPVNTEGCMEKAQAWLQENFGILLGVCAGVAVI ELLGLFLSICLCRYIHSEDYSKVPKY >ENSMUSP00000129718.1 pep:known chromosome:GRCm38:18:36679579:36683853:1 gene:ENSMUSG00000033272.12 transcript:ENSMUST00000050476.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a4 description:solute carrier family 35, member A4 [Source:MGI Symbol;Acc:MGI:1915093] MSVEDGGVPGLARPRQARWTLLLFLSTAMYGAHAPFLALCHVDGRVPFRPSSAVLLTELT KLLLCAFSLLVGWQTWPQGTPPWRQAVPFALSALLYGANNNLVIYLQRYMDPSTYQVLSN LKIGSTALLYCLCLGHRLSARQGLALLLLMAAGACYASGGFQEPVNTLPGPASAAGAHPM PLHITPLGLLLLILYCLISGLSSVYTELIMKRQRLPLALQNLFLYTFGVILNFGLYAGSG PGPGFLEGFSGWAVLVVLNQAVNGLLMSAVMKHGSSITRLFIVSCSLVVNAVLSAVLLQL QLTAIFFLAALLIGLAVCLYYGSP >ENSMUSP00000036081.6 pep:known chromosome:GRCm38:18:36679592:36683858:1 gene:ENSMUSG00000033272.12 transcript:ENSMUST00000036158.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a4 description:solute carrier family 35, member A4 [Source:MGI Symbol;Acc:MGI:1915093] MSVEDGGVPGLARPRQARWTLLLFLSTAMYGAHAPFLALCHVDGRVPFRPSSAVLLTELT KLLLCAFSLLVGWQTWPQGTPPWRQAVPFALSALLYGANNNLVIYLQRYMDPSTYQVLSN LKIGSTALLYCLCLGHRLSARQGLALLLLMAAGACYASGGFQEPVNTLPGPASAAGAHPM PLHITPLGLLLLILYCLISGLSSVYTELIMKRQRLPLALQNLFLYTFGVILNFGLYAGSG PGPGFLEGFSGWAVLVVLNQAVNGLLMSAVMKHGSSITRLFIVSCSLVVNAVLSAVLLQL QLTAIFFLAALLIGLAVCLYYGSP >ENSMUSP00000140201.1 pep:known chromosome:GRCm38:18:36679609:36681725:1 gene:ENSMUSG00000033272.12 transcript:ENSMUST00000185899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a4 description:solute carrier family 35, member A4 [Source:MGI Symbol;Acc:MGI:1915093] MADDKDSLPKLKDLTFLKNQLERLQQRVEGEVNSGVGQDGSLLSSPFFKGFLAGYVVAKL RASAVLGFAVGTCTGIYAAQAYAVPNVEKALKNYFRSLRKGPD >ENSMUSP00000140615.1 pep:known chromosome:GRCm38:18:36679215:36683861:1 gene:ENSMUSG00000033272.12 transcript:ENSMUST00000186538.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a4 description:solute carrier family 35, member A4 [Source:MGI Symbol;Acc:MGI:1915093] MSVEDGGVPGLARPRQARWTLLLFLSTAMYGAHAPFLALCHVDGRVPFRPSSAVLLTELT KLLLCAFSLLVGWQTWPQGTPPWRQAVPFALSALLYGANNNLVIYLQRYMDPSTYQVLSN LKIGSTALLYCLCLGHRLSARQGLALLLLMAAGACYASGGFQEPVNTLPGPASAAGAHPM PLHITPLGLLLLILYCLISGLSSVYTELIMKRQRLPLALQNLFLYTFGVILNFGLYAGSG PGPGFLEGFSGWAVLVVLNQAVNGLLMSAVMKHGSSITRLFIVSCSLVVNAVLSAVLLQL QLTAIFFLAALLIGLAVCLYYGSP >ENSMUSP00000142536.1 pep:known chromosome:GRCm38:3:90212522:90213301:-1 gene:ENSMUSG00000090733.6 transcript:ENSMUST00000196205.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps27 description:ribosomal protein S27 [Source:MGI Symbol;Acc:MGI:1888676] LAKDLLHPSPEEEKRKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCSTV LCQPTGGKARLTEGCSFRRKQH >ENSMUSP00000142942.1 pep:known chromosome:GRCm38:3:90212667:90213647:-1 gene:ENSMUSG00000090733.6 transcript:ENSMUST00000195998.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps27 description:ribosomal protein S27 [Source:MGI Symbol;Acc:MGI:1888676] MDVKCPGCYKITTVFSHAQTVVLCVGCSTVLCQPTGGKARLTEGCSFRRKQH >ENSMUSP00000143402.1 pep:known chromosome:GRCm38:3:90212671:90213648:-1 gene:ENSMUSG00000090733.6 transcript:ENSMUST00000197361.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps27 description:ribosomal protein S27 [Source:MGI Symbol;Acc:MGI:1888676] MPLAKDLLHPSPEEEKRKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCS TVLCQPTGGKARLTEGGSSTESP >ENSMUSP00000132102.2 pep:known chromosome:GRCm38:3:90212671:90213651:-1 gene:ENSMUSG00000090733.6 transcript:ENSMUST00000170122.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps27 description:ribosomal protein S27 [Source:MGI Symbol;Acc:MGI:1888676] MPLAKDLLHPSPEEEKRKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCS TVLCQPTGGKARLTEGCSFRRKQH >ENSMUSP00000113265.3 pep:known chromosome:GRCm38:3:152346478:152393333:1 gene:ENSMUSG00000025437.15 transcript:ENSMUST00000117492.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp33 description:ubiquitin specific peptidase 33 [Source:MGI Symbol;Acc:MGI:2159711] MTTFRNHCPHLDSVGEITKEDLIQKSLGACQDCKVRGPNLWACLENRCSYVGCGESQVDH STIHSQETKHYLTVNLTTLRVWCYACSKEVFLDRKLGTPPSLPHVRQPQQTQENSVQDFK IPSNPALKTPMVAVSEDLDIEVEEEDELKARGLTGLKNIGNTCYMNAALQALSNCPPLTQ FFLDCGGLARTDKKPAICKSYLKLMTELWHKSRPGSVVPANLFQGIKTVNPTFRGYSQQD AQEFLRCLMDLLHEELKEQVMEMEEEPQTLTSEETVEEEKSQSDVDFQSCESCSSSEKAE NESGSKGFPEDSNETTMLIQDEDDLEMAKDWQKEKVCNKINKANADVELDKDRDTVCETV DLNSQETVKVQIHGRASESITDVHLNDLATSQILPSNESVSPRLSASPPKLGSLWPGLSP PHKKAQSTSAKRKKQHKKYRSVISDIFDGTVISSVQCLTCDRVSITLETFQDLSLPIPGK EDLAKLHSSSHPTIVKAGSCGEAYAPQGWIAFFMEYVKSWFWGPVVTLQDCLAAFFARDE LKGDNMYSCEKCKKLRNGVKFCKVQKFPEILCIHLKRFRHELMFSTKISTHVSFPLEGLD LQPFLAKDSPAQIVTYDLLSVICHHGTASSGHYIAYCRNNLNNLWYEFDDQSVTEVSEST VQNAEAYVLFYRKSSEEAQKERRRISNLLNIMEPSLLQFYISRQWLNKFKTFAEPGPISN NDFLCIHGGIPPRKASYIEDLVLMLPQNIWDNLYSRYGGGPAVNHLYICHTCQIELEKIE KRRKTELEIFIRLNRAFQEEDSPATFYCISMQWFREWESFVKGKDGDPPGPIDNTKIAVT KCGSVMLKQGADSGQISEETWNFLQSIYGGGPEVILRPPVVHVDPDVLQAEEKIEVETRS L >ENSMUSP00000026507.7 pep:known chromosome:GRCm38:3:152346478:152393612:1 gene:ENSMUSG00000025437.15 transcript:ENSMUST00000026507.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp33 description:ubiquitin specific peptidase 33 [Source:MGI Symbol;Acc:MGI:2159711] MTTFRNHCPHLDSVGEITKEDLIQKSLGACQDCKVRGPNLWACLENRCSYVGCGESQVDH STIHSQETKHYLTVNLTTLRVWCYACSKEVFLDRKLGTPPSLPHVRQPQQTQENSVQDFK IPSNPALKTPMVAVSEDLDIEVEEEDELKARGLTGLKNIGNTCYMNAALQALSNCPPLTQ FFLDCGGLARTDKKPAICKSYLKLMTELWHKSRPGSVVPANLFQGIKTVNPTFRGYSQQD AQEFLRCLMDLLHEELKEQVMEMEEEPQTLTSEETVEEEKSQSDVDFQSCESCSSSEKAE NESGSKGFPEDSNETTMLIQDEDDLEMAKDWQKEKVCNKINKANADVELDKDRDTVCETV DLNSQETVKVQIHGRASESITDVHLNDLATSQILPSNESVSPRLSASPPKLGSLWPGLSP PHKKAQSTSAKRKKQHKKYRSVISDIFDGTVISSVQCLTCDRVSITLETFQDLSLPIPGK EDLAKLHSSSHPTIVKAGSCGEAYAPQGWIAFFMEYVKSWFWGPVVTLQDCLAAFFARDE LKGDNMYSCEKCKKLRNGVKFCKVQKFPEILCIHLKRFRHELMFSTKISTHVSFPLEGLD LQPFLAKDSPAQIVTYDLLSVICHHGTASSGHYIAYCRNNLNNLWYEFDDQSVTEVSEST VQNAEAYVLFYRKSSEEAQKERRRISNLLNIMEPSLLQFYISRQWLNKFKTFAEPGPISN NDFLCIHGGIPPRKASYIEDLVLMLPQNIWDNLYSRYGGGPAVNHLYICHTCQIELEKIE KRRKTELEIFIRLNRAFQEEDSPATFYCISMQWFREWESFVKDPPGPIDNTKIAVTKCGS VMLKQGADSGQISEETWNFLQSIYGGGPEVILRPPVVHVDPDVLQAEEKIEVETRSL >ENSMUSP00000142708.1 pep:known chromosome:GRCm38:3:152346489:152393617:1 gene:ENSMUSG00000025437.15 transcript:ENSMUST00000197748.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp33 description:ubiquitin specific peptidase 33 [Source:MGI Symbol;Acc:MGI:2159711] MTTFRNHCPHLDSVGEITKEDLIQKSLGACQDCKVRGPNLWACLENRCSYVGCGESQVDH STIHSQETKHYLTVNLTTLRVWCYACSKEVFLDRKLGTPPSLPHVRQPQQTQENSVQDFK IPSNPALKTPMVAVSEDLDIEVEEEDELKARGLTGLKNIGNTCYMNAALQALSNCPPLTQ FFLDCGGLARTDKKPAICKSYLKLMTELWHKSRPGSVVPANLFQGIKTVNPTFRGYSQQD AQEFLRCLMDLLHEELKEQVMEMEEEPQTLTSEETVEEEKSQSDVDFQSCESCSSSEKAE NESGSKGFPEDSNETTMLIQDEDDLEMAKDWQKEKVCNKINKANADVELDKDRDTVCETV DLNSQETVKVQIHGRASESITDVHLNDLATSQILPSNESVSPRLSASPPKLGSLWPGLSP PHKKAQSTSAKRKKQHKKYRSVISDIFDGTVISSVQCLTCDRVSITLETFQDLSLPIPGK EDLAKLHSSSHPTIVKAGSCGEAYAPQGWIAFFMEYVKRFVVSCVPSWFWGPVVTLQDCL AAFFARDELKGDNMYSCEKCKKLRNGVKFCKVQKFPEILCIHLKRFRHELMFSTKISTHV SFPLEGLDLQPFLAKDSPAQIVTYDLLSVICHHGTASSGHYIAYCRNNLNNLWYEFDDQS VTEVSESTVQNAEAYVLFYRKSSEEAQKERRRISNLLNIMEPSLLQFYISRQWLNKFKTF AEPGPISNNDFLCIHGGIPPRKASYIEDLVLMLPQNIWDNLYSRYGGGPAVNHLYICHTC QIELEKIEKRRKTELEIFIRLNRAFQEEDSPATFYCISMQWFREWESFVKGKDGDPPGPI DNTKIAVTKCGSVMLKQGADSGQISEETWNFLQSIYGGGPEVILRPPVVHVDPDVLQAEE KIEVETRSL >ENSMUSP00000117590.1 pep:known chromosome:GRCm38:3:152346512:152371905:1 gene:ENSMUSG00000025437.15 transcript:ENSMUST00000123237.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp33 description:ubiquitin specific peptidase 33 [Source:MGI Symbol;Acc:MGI:2159711] MTTFRNHCPHLDSVGEITKEDLIQKSLGACQDCKVRGPNLWACLEVLLCWLRGVASGPQH HTLAGDKALSNCEPHHSSSMVLCLQQRSIFG >ENSMUSP00000143062.1 pep:known chromosome:GRCm38:3:152368430:152374987:1 gene:ENSMUSG00000025437.15 transcript:ENSMUST00000197600.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp33 description:ubiquitin specific peptidase 33 [Source:MGI Symbol;Acc:MGI:2159711] XSKGFPEDSNETTMLIQDEDDLEMAKDWQKEKVCNKINKANADVELDKDRDTVCETVDLN SQETVKVQIHGRASGWPGTCYVDQAIKHICLPLPLECWD >ENSMUSP00000084882.3 pep:known chromosome:GRCm38:19:21391307:21472672:-1 gene:ENSMUSG00000058624.12 transcript:ENSMUST00000087600.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gda description:guanine deaminase [Source:MGI Symbol;Acc:MGI:95678] MCAARTPPLALVFRGTFVHSTWTCPMEVLRDHLLGVSDSGKIVFLEESSQQEKLAKEWCF KPCEIRELSHHEFFMPGLVDTHIHAPQYAFAGSNVDLPLLEWLNKYTFPTEQRFRSTDVA EEVYTRVVRRTLKNGTTTACYFGTIHTDSSLILAEITDKFGQRAFVGKVCMDLNDTVPEY KETTEESVKETERFVSEMLQKNYPRVKPIVTPRFTLSCTETLMSELGNIAKTHDLYIQSH ISENREEIEAVKSLYPSYKNYTDVYDKNNLLTNKTVMAHGCYLSEEELNIFSERGASIAH CPNSNLSLSSGLLNVLEVLKHKVKIGLGTDVAGGYSYSMLDAIRRAVMVSNVLLINKVNE KNLTLKEVFRLATLGGSQALGLDSEIGNFEVGKEFDALLINPRASDSPIDLFYGDFVGDI SEAVIQKFLYLGDDRNIEEVYVGGKQVVPFSSSV >ENSMUSP00000112758.1 pep:known chromosome:GRCm38:19:21394038:21473445:-1 gene:ENSMUSG00000058624.12 transcript:ENSMUST00000121725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gda description:guanine deaminase [Source:MGI Symbol;Acc:MGI:95678] MPGLVDTHIHAPQYAFAGSNVDLPLLEWLNKYTFPTEQRFRSTDVAEEVYTRVVRRTLKN GTTTACYFGTIHTDSSLILAEITDKFGQRAFVGKVCMDLNDTVPEYKETTEESVKETERF VSEMLQKNYPRVKPIVTPRFTLSCTETLMSELGNIAKTHDLYIQSHISENREEIEAVKSL YPSYKNYTDVYDKNNLLTNKTVMAHGCYLSEEELNIFSERGASIAHCPNSNLSLSSGLLN VLEVLKHKVKIGLGTDVAGGYSYSMLDAIRRAVMVSNVLLINKVNEKNLTLKEVFRLATL GGSQALGLDSEIGNFEVGKEFDALLINPRASDSPIDLFYGDFVGDISEAVIQKFLYLGDD RNIEEVYVGGKQVVPFSSSV >ENSMUSP00000133360.1 pep:known chromosome:GRCm38:18:36666681:36671196:-1 gene:ENSMUSG00000006050.11 transcript:ENSMUST00000173875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sra1 description:steroid receptor RNA activator 1 [Source:MGI Symbol;Acc:MGI:1344414] MMRCPAGGAEVEMAELYVKPGNKERGWNDPPQFSYGLQTQTGGPKRTPLTKRVAAPQDGS PRAPETSGPPPVDHPPPSSKASRPPPMGSCPATGVEPPSSPVIESETLIEDVLRPLEQAL EDCHGHTKKQVCDDISRRLALLREQWAGGKLSIPVKKRMALLVQELLHHQWDAADDIHRS LMVDHVTEVSQWMVGVKRLIAEKKSLSSEETKEEKFTVEPENQTIPGFQQPS >ENSMUSP00000134589.1 pep:known chromosome:GRCm38:18:36667246:36670286:-1 gene:ENSMUSG00000006050.11 transcript:ENSMUST00000173482.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sra1 description:steroid receptor RNA activator 1 [Source:MGI Symbol;Acc:MGI:1344414] XAEVEMAELQQGTRLERPATILLRAPDSDWWTQTHSPY >ENSMUSP00000006209.4 pep:known chromosome:GRCm38:18:36667429:36670285:-1 gene:ENSMUSG00000006050.11 transcript:ENSMUST00000006209.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sra1 description:steroid receptor RNA activator 1 [Source:MGI Symbol;Acc:MGI:1344414] XAEVEMAELYVKPGNKERGWNDPPQFSYGLQTQTGGPKRTPLTKRVAAPQDGSPRAPETS GPPPVDHPPPSSKASRPPPMGSCPATGVEPPSSPVIESETLIEDVLRPLEQALEDCHGHT KVCDDISRRLALLREQWAGGKLSIPVKKRMALLVQELLHHQWDAADDIHRSLMVDHVTEV SQWMVGVKRLIAEKKSLSSEETKEEKFTVEPENQTIPGFQQPS >ENSMUSP00000001415.7 pep:known chromosome:GRCm38:18:36671160:36679366:-1 gene:ENSMUSG00000006050.11 transcript:ENSMUST00000001415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sra1 description:steroid receptor RNA activator 1 [Source:MGI Symbol;Acc:MGI:1344414] MLGKDYMLAIILVNCDDDLWGDQNLEGETGLPPGWRKIRDAAGTYYWHVPSGSTQWQRPT WELPGAEDPGRGTEGIWELRPPKGRSFSSLDSSLNRSNSLTWYSEDSYVRSLEPGAKCFA VRSLGWVEVPEEDLAPGKSSIAVNNCIQQLAQTRNRSQPHDGTWGEGQNMLMILKKDAMS LLNPLDHSLIHCQPLVHIRVWGVGSSKGRDRDFAFVAGDKDSCMLKCHVFHCDVPAKAIA SALQGLCAQILSERVGVSGEAACCSPDPISPEDLPRQVELLDAVSQAAQKYEALYMGILP VTKAMGMDVLNEAIGTLTARGDRKTWVPAMLSVSDSLMTAHAIQAEAGAEEEPLWQCPVR LVTFIGVGRDPHTFGLIADLGCQSFQCAAFWCEPHAGGLSEAVQAACMVQYQKCLVASAA RGKAWGAQARARLRLKRTSSMDSPGGPLPPPLLKGGAGGAGAAPRKRGVFSFLDAFRLKP SLLHMS >ENSMUSP00000009777.2 pep:known chromosome:GRCm38:1:193272161:193273217:-1 gene:ENSMUSG00000009633.3 transcript:ENSMUST00000009777.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G0s2 description:G0/G1 switch gene 2 [Source:MGI Symbol;Acc:MGI:1316737] MESVQELIPLAKEMMAQKPRGKLVKLYVLGSVLALFGVVLGLVETVCSPFTAASRLRDQE AAVVELREACEQQSLHKQALLAGGKAQEATLCSRALSLRQHAS >ENSMUSP00000123208.1 pep:known chromosome:GRCm38:6:13069759:13075094:1 gene:ENSMUSG00000029571.14 transcript:ENSMUST00000124234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem106b description:transmembrane protein 106B [Source:MGI Symbol;Acc:MGI:1919150] MGKSLSHLPLHSNKEDGYDGVTSTDNMRNGLVSSEVHNEDGRNGDVSQFPYVEFTGRDSV TCPTCQGTGRIPRGQENQLVALIPYSDQRLRPR >ENSMUSP00000122392.1 pep:known chromosome:GRCm38:6:13069816:13071953:1 gene:ENSMUSG00000029571.14 transcript:ENSMUST00000142211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem106b description:transmembrane protein 106B [Source:MGI Symbol;Acc:MGI:1919150] MGKSLSHLPLHSNKEDGYDGVTSTDNMRNGLVSSEVHNEDGRNGDVSQFPYVEFTGRDSV TCPTCQGTGR >ENSMUSP00000031556.7 pep:known chromosome:GRCm38:6:13069839:13089269:1 gene:ENSMUSG00000029571.14 transcript:ENSMUST00000031556.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem106b description:transmembrane protein 106B [Source:MGI Symbol;Acc:MGI:1919150] MGKSLSHLPLHSNKEDGYDGVTSTDNMRNGLVSSEVHNEDGRNGDVSQFPYVEFTGRDSV TCPTCQGTGRIPRGQENQLVALIPYSDQRLRPRRTKLYVMASVFVCLLLSGLAVFFLFPR SIEVKYIGVKSAYVSYDAEKRTIYLNITNTLNITNNNYYSVEVENITAQVQFSKTVIGKA RLNNITNIGPLDMKQIDYTVPTVIAEEMSYMYDFCTLLSIKVHNIVLMMQVTVTTAYFGH SEQISQERYQYVDCGRNTTYQLAQSEYLNVLQPQQ >ENSMUSP00000122107.1 pep:known chromosome:GRCm38:6:13070251:13081652:1 gene:ENSMUSG00000029571.14 transcript:ENSMUST00000122916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem106b description:transmembrane protein 106B [Source:MGI Symbol;Acc:MGI:1919150] MGKSLSHLPLHSNKEDGYDGVTSTDNMRNGLVSSEVHNEDGRNGDVSQFPYVEFTGRDSV TCPTCQGTGRIPRGQENQLVALIPYSDQRLRPRRTKLYVMASVFVCLLLSGLAVFFLFPR SIEVKYIGVKSAYVSYDAEKRTIYLNITNTLNITNNNYYSVEVENITAQVQFSKTVIGKA RLNNIT >ENSMUSP00000057495.5 pep:known chromosome:GRCm38:6:47819266:47830867:-1 gene:ENSMUSG00000051499.7 transcript:ENSMUST00000058844.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp786 description:zinc finger protein 786 [Source:MGI Symbol;Acc:MGI:3026883] MAGPARFPLTFEDVAIYFSEQEWQHLEAWQKELYKQVMRTNYETLISLDNGLPKPELISW IELGRELFGSWGEKKKPDKETHCSIDDVHVHLAIEEQLLESSQRAVKSEVTCHSQLNPLE SRHSFGLAVGERVDASLRHDQGISLVNAQTRCGEAVVSVVHSSSEAAQRKVLSSHRTLAL PGLQRVSSWQSTQNSCPVCRENSWEKNHLVKQQKGHSKSRSYRVWKKFSRQADAKQPWSI SCLGCGKSFRLKQYLVRHLDIHTRKRPPQCPKCKMCFHHERTLFSHHLKNSSSQHPTCDT SFRTDSSVDLCQQIQERPAFWREDTNVPSRQKPGPSLDCEDCCHMGSKLKGLQCGCILGE DRPHSHTEYGNCFLSQSKQAGLCRTHTGEKASQCPESNKRLCLRGLQNVHQHGLRGEKPV FCRKCGQGFTKHCGLTEHTRILSGEKSFWCAQCGRNFSQKGQLLRHQRLHLEEKPFQCTM CELRFHLKSRLRAHQLQHGGERPFSCSECGRAFTHQCKLREHLRVHSGERPFQCPECHKS FRLKGVLKAHQRIHSKERPFSCGECGKGFIRQSKLTEHFRVHSGERPFQCPECDRRFRLK GQLLSHQRLHTGERPFQCPECGKSYRVKADMKAHQLLHGGQMPFSCQCGKGFAKQSKLVE HMRTHTGEKPFQCPKCDKSFRLKAQLLSHQGLHTGERPFHCPECDKNFREKGHMLRHQRI HRPERPFACGDCGKGFIYKSKLAEHIRVHTKACQVPREPDIKKRLSQLFAMIEADWS >ENSMUSP00000099821.3 pep:known chromosome:GRCm38:2:52428325:52558560:-1 gene:ENSMUSG00000017412.15 transcript:ENSMUST00000102760.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb4 description:calcium channel, voltage-dependent, beta 4 subunit [Source:MGI Symbol;Acc:MGI:103301] MYDNLYLHGVEDSEAGSADSYTSRPSDSDVSLEEDREAIRQEREQQAAIQLERAKSKPVA FAVKTNVSYCGALDEDVPVPSTAISFDAKDFLHIKEKYNNDWWIGRLVKEGCEIGFIPSP LRLENIRIQQEQKRGRFHGGKSSGNSSSSLGEMVSGTFRATPTTTAKQKQKVTEHIPPYD VVPSMRPVVLVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADISLAKRSVLNNP SKRAIIERSNTRSSLAEVQSEIERIFELARSLQLVVLDADTINHPAQLIKTSLAPIIVHV KVSSPKVLQRLIKSRGKSQSKHLNVQLVAADKLAQCPPEMFDVILDENQLEDACEHLGEY LEAYWRATHTSSSTPMTPLLGRNVGSTALSPYPTAISGLQSQRMRHSNHSTENSPIERRS LMTSDENYHNERARKSRNRLSSSSQHSRDHYPLVEEDYPDSYQDTYKPHRNRGSPGGCSH DSRHRL >ENSMUSP00000099822.2 pep:known chromosome:GRCm38:2:52428325:52558567:-1 gene:ENSMUSG00000017412.15 transcript:ENSMUST00000102761.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb4 description:calcium channel, voltage-dependent, beta 4 subunit [Source:MGI Symbol;Acc:MGI:103301] MAGSADSYTSRPSDSDVSLEEDREAIRQEREQQAAIQLERAKSKPVAFAVKTNVSYCGAL DEDVPVPSTAISFDAKDFLHIKEKYNNDWWIGRLVKEGCEIGFIPSPLRLENIRIQQEQK RGRFHGGKSSGNSSSSLGEMVSGTFRATPTTTAKQKQKVTEHIPPYDVVPSMRPVVLVGP SLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKRAIIERSNTRS SLAEVQSEIERIFELARSLQLVVLDADTINHPAQLIKTSLAPIIVHVKVSSPKVLQRLIK SRGKSQSKHLNVQLVAADKLAQCPPEMFDVILDENQLEDACEHLGEYLEAYWRATHTSSS TPMTPLLGRNVGSTALSPYPTAISGLQSQRMRHSNHSTENSPIERRSLMTSDENYHNERA RKSRNRLSSSSQHSRDHYPLVEEDYPDSYQDTYKPHRNRGSPGGCSHDSRHRL >ENSMUSP00000077438.6 pep:known chromosome:GRCm38:2:52428325:52676831:-1 gene:ENSMUSG00000017412.15 transcript:ENSMUST00000078324.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb4 description:calcium channel, voltage-dependent, beta 4 subunit [Source:MGI Symbol;Acc:MGI:103301] MSSSYGKNGAADGPHSPSSQVARGTTTRRSRLKRSDGSTTSTSFILRQGSADSYTSRPSD SDVSLEEDREAIRQEREQQAAIQLERAKSKPVAFAVKTNVSYCGALDEDVPVPSTAISFD AKDFLHIKEKYNNDWWIGRLVKEGCEIGFIPSPLRLENIRIQQEQKRGRFHGGKSSGNSS SSLGEMVSGTFRATPTTTAKQKQKVTEHIPPYDVVPSMRPVVLVGPSLKGYEVTDMMQKA LFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKRAIIERSNTRSSLAEVQSEIERIFE LARSLQLVVLDADTINHPAQLIKTSLAPIIVHVKVSSPKVLQRLIKSRGKSQSKHLNVQL VAADKLAQCPPEMFDVILDENQLEDACEHLGEYLEAYWRATHTSSSTPMTPLLGRNVGST ALSPYPTAISGLQSQRMRHSNHSTENSPIERRSLMTSDENYHNERARKSRNRLSSSSQHS RDHYPLVEEDYPDSYQDTYKPHRNRGSPGGCSHDSRHRL >ENSMUSP00000136811.1 pep:known chromosome:GRCm38:2:52428320:52676582:-1 gene:ENSMUSG00000017412.15 transcript:ENSMUST00000178799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb4 description:calcium channel, voltage-dependent, beta 4 subunit [Source:MGI Symbol;Acc:MGI:103301] MSSSYGKNGAADGPHSPSSQVARGTTTRRSRLKRSDGSTTSTSFILRQGSADSYTSRPSD SDVSLEEDREAIRQEREQQAAIQLERAKSKPVAFAVKTNVSYCGALDEDVPVPSTAISFD AKDFLHIKEKYNNDWWIGRLVKEGCEIGFIPSPLRLENIRIQQEQKRGRFHGGKSSGNSS SSLGEMVSGTFRATPTTTGKISLLVTEHIPPYDVVPSMRPVVLVGPSLKGYEVTDMMQKA LFDFLKHRFDGRISITRVTADISLAKRSVLNNPSKRAIIERSNTRSSLAEVQSEIERIFE LARSLQLVVLDADTINHPAQLIKTSLAPIIVHVKVSSPKVLQRLIKSRGKSQSKHLNVQL VAADKLAQCPPEMFDVILDENQLEDACEHLGEYLEAYWRATHTSSSTPMTPLLGRNVGST ALSPYPTAISGLQSQRMRHSNHSTENSPIERRSLMTSDENYHNERARKSRNRLSSSSQHS RDHYPLVEEDYPDSYQDTYKPHRNRGSPGGCSHDSRHRL >ENSMUSP00000125551.1 pep:known chromosome:GRCm38:1:150449816:150466165:-1 gene:ENSMUSG00000006014.16 transcript:ENSMUST00000162367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prg4 description:proteoglycan 4 (megakaryocyte stimulating factor, articular superficial zone protein) [Source:MGI Symbol;Acc:MGI:1891344] MGWKILPVCLSLLLPVVLIQQVSSQDLSSCAGRCGEGYSRDATCNCDYNCQHYMECCPDF KRVCTTELSCKGRCFESFARGRECDCDSQCKQYGKCCADYDSFCEEVKDNKKNTPKKKPN PEPPAVDEAGSGLDNAIPGTDLLAGRLNQGININPMLSDETNLCNGKPVDGLTTLRNGTL VAFRGHYFWMLNPFRPPSPPRRITEVWGIPSPIDTVFTRCNCEGKTFFFKDSQYWRFTND VVDPGYPKQIVKGFGGLTGKIVAALSIAKYKDRPESVYFFKRGGNIQQYTYKQEPMKKCT GRRPAINYSVYGEAAQVRRRRFERAVGPFQTHTFRIHYSVPMRVSYQDKGFLHNEVKVST MWRGFPNVVTSAITLPNIRKPDGYDYYAFSKDQYYNIDVPTRTARAITTRSGQTLSKIWY NCP >ENSMUSP00000125677.1 pep:known chromosome:GRCm38:1:150449869:150466165:-1 gene:ENSMUSG00000006014.16 transcript:ENSMUST00000161611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prg4 description:proteoglycan 4 (megakaryocyte stimulating factor, articular superficial zone protein) [Source:MGI Symbol;Acc:MGI:1891344] MGWKILPVCLSLLLPVVLIQQVSSQDLSSCAGRCGEGYSRDATCNCDYNCQHYMECCPDF KRVCTTELSCKGRCFESFARGRECDCDSQCKQYGKCCADYDSFCEEVHNPTSPSPKTAPP PPGASHTIKSTTKRSPKSPKKKTKKVVESEEITEEHSVSENQESSSSSSSSSSTIRKIKS SKNSANRELQKNPKVKDNKKNTPKKKPNPEPPAVDEAGSGLDNGEFKLTPPPPDPPTTPH SKVTTSPKTTAAKPVTPKPSLAPNSETSKEASLASNKETTVETKETTATNKQSSASKKKT TSAKETRSAEKTSDKDVEPTSTTPKNSAPTTTKKPVTTTKEPVPTTPKEPEPTTPKEPPP TTKKPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPPPTTKKPEPTTPKEP EPTTPKEPEPTTTKEPEPTTTKEPESTTRKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTT PKEPEPTTLKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEP EPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTLKEPEPTTPKEPEPTT PKEPEPTTLKEPEPTTPKEPEPTTLKEPEPTTPKEPEPTTTKEPESTTRKEPEPTTPKEP EPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKKPEPTT PKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTRKEPEPTTPKEPEPTTPKEPEPTTPKKP EPTTTSPKTTTLKATTLAPKVTAPAEEIQNKPEETTPASEDSDDSKTTLKPQKPTKAPKP TKKPTKAPKKPTSTKKPKTPKTRKPKTTPSPLKTTSATPELNTTPLEVMLPTTTIPKQTP NPETAEVNPDHEDADGGEGEKPLIPGPPVLFPTAIPGTDLLAGRLNQGININPMLSDETN LCNGKPVDGLTTLRNGTLVAFRGHYFWMLNPFRPPSPPRRITEVWGIPSPIDTVFTRCNC EGKTFFFKDSQYWRFTNDVVDPGYPKQIVKGFGGLTGKIVAALSIAKYKDRPESVYFFKR GGNIQQYTYKQEPMKKCTGRRPAINYSVYGEAAQVRRRRFERAVGPFQTHTFRIHYSVPM RVSYQDKGFLHNEVKVSTMWRGFPNVVTSAITLPNIRKPDGYDYYAFSKDQYYNIDVPTR TARAITTRSGQTLSKIWYNCP >ENSMUSP00000124801.1 pep:known chromosome:GRCm38:1:150455997:150466165:-1 gene:ENSMUSG00000006014.16 transcript:ENSMUST00000161320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prg4 description:proteoglycan 4 (megakaryocyte stimulating factor, articular superficial zone protein) [Source:MGI Symbol;Acc:MGI:1891344] MGWKILPVCLSLLLPVVLIQQVSSQELSCKGRCFESFARGRECDCDSQCKQYGKCCADYD SFCEEVKDNKKNTPKKKPNPEPPAVDEAGSGLDNGEFKLTPPPPDPPTTPHSKVTTSPKT TAAKPVTPKPSLAPNSETSKEASLASNKETTVETKETTATNKQSSASKKKTTSAKETRS >ENSMUSP00000124410.1 pep:known chromosome:GRCm38:1:150458192:150466165:-1 gene:ENSMUSG00000006014.16 transcript:ENSMUST00000159035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prg4 description:proteoglycan 4 (megakaryocyte stimulating factor, articular superficial zone protein) [Source:MGI Symbol;Acc:MGI:1891344] MGWKILPVCLSLLLPVVLIQQVSSQELSCKGRCFESFARGRECDCDSQCKQYGKCCADYD SFCEEVHNPTSPSPKTAPPPPGASHTIKSTTKRSPKSPK >ENSMUSP00000006171.9 pep:known chromosome:GRCm38:1:150449412:150465598:-1 gene:ENSMUSG00000006014.16 transcript:ENSMUST00000006171.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prg4 description:proteoglycan 4 (megakaryocyte stimulating factor, articular superficial zone protein) [Source:MGI Symbol;Acc:MGI:1891344] MGWKILPVCLSLLLPVVLIQQVSSQDLSSCAGRCGEGYSRDATCNCDYNCQHYMECCPDF KRVCTTELSCKGRCFESFARGRECDCDSQCKQYGKCCADYDSFCEEVKDNKKNTPKKKPN PEPPAVDEAGSGLDNAIPGTDLLAGRLNQGININPMLSDETNLCNGKPVDGLTTLRNGTL VAFRGHYFWMLNPFRPPSPPRRITEVWGIPSPIDTVFTRCNCEGKTFFFKDSQYWRFTND VVDPGYPKQIVKGFGGLTGKIVAALSIAKYKDRPESVYFFKRGGNIQQYTYKQEPMKKCT GRRPAINYSVYGEAAQVRRRRFERAVGPFQTHTFRIHYSVPMRVSYQDKGFLHNEVKVST MWRGFPNVVTSAITLPNIRKPDGYDYYAFSKDQYYNIDVPTRTARAITTRSGQTLSKIWY NCP >ENSMUSP00000128943.1 pep:known chromosome:GRCm38:1:150449412:150465598:-1 gene:ENSMUSG00000006014.16 transcript:ENSMUST00000164600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prg4 description:proteoglycan 4 (megakaryocyte stimulating factor, articular superficial zone protein) [Source:MGI Symbol;Acc:MGI:1891344] MGWKILPVCLSLLLPVVLIQQVSSQDLSSCAGRCGEGYSRDATCNCDYNCQHYMECCPDF KRVCTTELSCKGRCFESFARGRECDCDSQCKQYGKCCADYDSFCEEVHNPTSPSPKTAPP PPGASHTIKSTTKRSPKSPKKKTKKVVESEEITEEHSVSENQESSSSSSSSSSTIRKIKS SKNSANRELQKNPKVKDNKKNTPKKKPNPEPPAVDEAGSGLDNGEFKLTPPPPDPPTTPH SKVTTSPKTTAAKPVTPKPSLAPNSETSKEASLASNKETTVETKETTATNKQSSASKKKT TSAKETRSAEKTSDKDVEPTSTTPKNSAPTTTKKPVTTTKEPVPTTPKEPEPTTPKEPPP TTKKPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPPPTTKKPEPTTPKEP EPTTPKEPEPTTTKEPEPTTTKEPESTTRKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTT PKEPEPTTLKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEP EPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTLKEPEPTTPKEPEPTT PKEPEPTTLKEPEPTTPKEPEPTTLKEPEPTTPKEPEPTTTKEPESTTRKEPEPTTPKEP EPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKKPEPTT PKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTRKEPEPTTPKEPEPTTPKEPEPTTPKKP EPTTTSPKTTTLKATTLAPKVTAPAEEIQNKPEETTPASEDSDDSKTTLKPQKPTKAPKP TKKPTKAPKKPTSTKKPKTPKTRKPKTTPSPLKTTSATPELNTTPLEVMLPTTTIPKQTP NPETAEVNPDHEDADGGEGEKPLIPGPPVLFPTAIPGTDLLAGRLNQGININPMLSDETN LCNGKPVDGLTTLRNGTLVAFRGHYFWMLNPFRPPSPPRRITEVWGIPSPIDTVFTRCNC EGKTFFFKDSQYWRFTNDVVDPGYPKQIVKGFGGLTGKIVAALSIAKYKDRPESVYFFKR GGNIQQYTYKQEPMKKCTGRRPAINYSVYGEAAQVRRRRFERAVGPFQTHTFRIHYSVPM RVSYQDKGFLHNEVKVSTMWRGFPNVVTSAITLPNIRKPDGYDYYAFSKDQYYNIDVPTR TARAITTRSGQTLSKIWYNCP >ENSMUSP00000107532.2 pep:known chromosome:GRCm38:1:150449412:150465598:-1 gene:ENSMUSG00000006014.16 transcript:ENSMUST00000111901.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prg4 description:proteoglycan 4 (megakaryocyte stimulating factor, articular superficial zone protein) [Source:MGI Symbol;Acc:MGI:1891344] MGWKILPVCLSLLLPVVLIQQVSSQDLSSCAGRCGEGYSRDATCNCDYNCQHYMECCPDF KRVCTTELSCKGRCFESFARGRECDCDSQCKQYGKCCADYDSFCEEVKDNKKNTPKKKPN PEPPAVDEAGSGLDNGEFKLTPPPPDPPTTPHSKVTTSPKTTAAKPVTPKPSLAPNSETS KEASLASNKETTVETKETTATNKQSSASKKKTTSAKETRSAEKTSDKDVEPTSTTPKNSA PTTTKKPVTTTKEPVPTTPKEPEPTTPKEPPPTTKKPEPTTPKEPEPTTPKEPEPTTPKE PEPTTPKEPEPTTPKEPPPTTKKPEPTTPKEPEPTTPKEPEPTTTKEPEPTTTKEPESTT RKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEP EPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKKPEPTTPKEPEPTTPKEPEPTT PKEPEPTTPKEPEPTTRKEPEPTTPKEPEPTTPKEPEPTTPKKPEPTTTSPKTTTLKATT LAPKVTAPAEEIQNKPEETTPASEDSDDSKTTLKPQKPTKAPKPTKKPTKAPKKPTSTKK PKTPKTRKPKTTPSPLKTTSATPELNTTPLEVMLPTTTIPKQTPNPETAEVNPDHEDADG GEGEKPLIPGPPVLFPTAIPGTDLLAGRLNQGININPMLSDETNLCNGKPVDGLTTLRNG TLVAFRGHYFWMLNPFRPPSPPRRITEVWGIPSPIDTVFTRCNCEGKTFFFKDSQYWRFT NDVVDPGYPKQIVKGFGGLTGKIVAALSIAKYKDRPESVYFFKRGGNIQQYTYKQEPMKK CTGRRPAINYSVYGEAAQVRRRRFERAVGPFQTHTFRIHYSVPMRVSYQDKGFLHNEVKV STMWRGFPNVVTSAITLPNIRKPDGYDYYAFSKDQYYNIDVPTRTARAITTRSGQTLSKI WYNCP >ENSMUSP00000107533.2 pep:known chromosome:GRCm38:1:150449412:150465598:-1 gene:ENSMUSG00000006014.16 transcript:ENSMUST00000111902.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prg4 description:proteoglycan 4 (megakaryocyte stimulating factor, articular superficial zone protein) [Source:MGI Symbol;Acc:MGI:1891344] MGWKILPVCLSLLLPVVLIQQVSSQELSCKGRCFESFARGRECDCDSQCKQYGKCCADYD SFCEEVHNPTSPSPKTAPPPPGASHTIKSTTKRSPKSPKKKTKKVVESEEITEEHSVSEN QESSSSSSSSSSTIRKIKSSKNSANRELQKNPKVKDNKKNTPKKKPNPEPPAVDEAGSGL DNGEFKLTPPPPDPPTTPHSKVTTSPKTTAAKPVTPKPSLAPNSETSKEASLASNKETTV ETKETTATNKQSSASKKKTTSAKETRSAEKTSDKDVEPTSTTPKNSAPTTTKKPVTTTKE PVPTTPKEPEPTTPKEPPPTTKKPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTT PKEPPPTTKKPEPTTPKEPEPTTPKEPEPTTTKEPEPTTTKEPESTTRKEPEPTTPKEPE PTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPEPTTP KEPEPTTPKEPEPTTPKEPEPTTPKKPEPTTPKEPEPTTPKEPEPTTPKEPEPTTPKEPE PTTRKEPEPTTPKEPEPTTPKEPEPTTPKKPEPTTTSPKTTTLKATTLAPKVTAPAEEIQ NKPEETTPASEDSDDSKTTLKPQKPTKAPKPTKKPTKAPKKPTSTKKPKTPKTRKPKTTP SPLKTTSATPELNTTPLEVMLPTTTIPKQTPNPETAEVNPDHEDADGGEGEKPLIPGPPV LFPTAIPGTDLLAGRLNQGININPMLSDETNLCNGKPVDGLTTLRNGTLVAFRGHYFWML NPFRPPSPPRRITEVWGIPSPIDTVFTRCNCEGKTFFFKDSQYWRFTNDVVDPGYPKQIV KGFGGLTGKIVAALSIAKYKDRPESVYFFKRGGNIQQYTYKQEPMKKCTGRRPAINYSVY GEAAQVRRRRFERAVGPFQTHTFRIHYSVPMRVSYQDKGFLHNEVKVSTMWRGFPNVVTS AITLPNIRKPDGYDYYAFSKDQYYNIDVPTRTARAITTRSGQTLSKIWYNCP >ENSMUSP00000142053.1 pep:known chromosome:GRCm38:1:193207725:193343878:1 gene:ENSMUSG00000026639.18 transcript:ENSMUST00000194677.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb3 description:laminin, beta 3 [Source:MGI Symbol;Acc:MGI:99915] MTAFFLLWLALPGFLCAQQACSRGACYPPVGDLLIGRTQLLRASSTCGLTKPETYCTQYG QWQMKCCKCDSRLPRNYNSHRVENVASSSGPMRWWQSQNDVSPVSLQLDLDKRMQLQDIM MDFKGLTPAGMLIERSSDFGKTWRVYQYLATDCASTFPQVHQGQPKNWQDVRCRPLSQRP NGHLTGGKVQLNLMDLASAIPASQSKKIQELGDITNLRVNFTKLAPVPQRGSYPPSAYFA VSQLRLQGSCFCHGHADRCAPNPGGSTTAVQVNNVCVCQHNTAGPNCDRCAPFYNNRPWR PAEGQDTHECQRCDCNGHSETCHFDPAVFAASQGTNGGVCDNCRDHTEGKNCERCQLHYF RNRRPSAPIHETCIPCECDPDGAVQGAPCDRLTGQCVCKEYVQGERCDLCKPGFTGLTFA NPKGCHACDCSILGARKDMPCEEETGRCLCLPNVVGPKCDQCAPSHWKLASGLGCEPCAC DPRNSLSSQCNQFTGQCPCREGFGGLTCSSAAIRQCPDQTYGHVATGCRACDCDFRGTEG PGCDKASGRCLCRPGFTGPRCDQCQRGHCDRYPVCVACHSCFQAYDTDLQEQARRLHSLR NATEGLWTGTGLEDHGLASRLLDAKSKIEQIRQILEGTSVTEQDVAQVANGILSIRRTLQ GLPLDLPLEEEMESFSGDLGNLDRSFSRLLLMYRSKKEQFEKLSSEDPSGAFRMLTMAYE QSSRAAQQVSDSSSLLSQLRDSRREAEGLERQAGGGGTGGAQLMALRLEMASLPDLTPTI NKLCGRSRQTACTPGDCPGELCPQDNGTACGSHCRGALPRAKGAFHMAGRVAEQLRNFNT QLQQTRQMIRAAEEAASRVQADAQRLETQVSTSRLLMEEDVQRTRLLIQQVRGFLTDPDT DAATIQQVSEAVLALWLPTDSATVLRKMKEIQAIAARLPNVDSVLSQTKQDIARARRLQA EAEQARSRAHAVEGQVDDVVGNLRQGTVALQEAQDTMQGTGRSLRLIQERVGEVQQVLVP AERLVKGMKEQMSGFWARMKELRRQAQEEQAQAMQARQLAEGASQQAMNAQEGFKRLKQK YTELKDRLGQSPVLGEQGNRILSIKMEAEELFGETMEMMDKMKDMESELLRGSQAIMLRS ADLSGLEKRVEQIRSYINGRVLYYATCK >ENSMUSP00000141302.1 pep:known chromosome:GRCm38:1:193207732:193325992:1 gene:ENSMUSG00000026639.18 transcript:ENSMUST00000192322.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb3 description:laminin, beta 3 [Source:MGI Symbol;Acc:MGI:99915] MTAFFLLWLALPGFLCAQQACSRGACYPPVGDLLIGRTQLLRASSTCGLTKPETYCTQYG QWQMKCCKCDSRLPRNYNSHRVENVASSSGPMRWWQSQNDVSPVSLQLDLDKRMQLQDIM MDFKGLTPAGMLIERSSDFGKTWRVYQYLATDCASTFPQVHQGQPKNWQDVRCRPLSQRP NGHLTGGKVQLNLMDLASAIPASQSKKIQELGDITNLRVNFTKLAPVPQRGSYPPSAYFA VSQL >ENSMUSP00000016315.9 pep:known chromosome:GRCm38:1:193301993:193343878:1 gene:ENSMUSG00000026639.18 transcript:ENSMUST00000016315.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb3 description:laminin, beta 3 [Source:MGI Symbol;Acc:MGI:99915] MTAFFLLWLALPGFLCAQQACSRGACYPPVGDLLIGRTQLLRASSTCGLTKPETYCTQYG QWQMKCCKCDSRLPRNYNSHRVENVASSSGPMRWWQSQNDVSPVSLQLDLDKRMQLQDIM MDFKGLTPAGMLIERSSDFGKTWRVYQYLATDCASTFPQVHQGQPKNWQDVRCRPLSQRP NGHLTGGKVQLNLMDLASAIPASQSKKIQELGDITNLRVNFTKLAPVPQRGSYPPSAYFA VSQLRLQGSCFCHGHADRCAPNPGGSTTAVQVNNVCVCQHNTAGPNCDRCAPFYNNRPWR PAEGQDTHECQRCDCNGHSETCHFDPAVFAASQGTNGGVCDNCRDHTEGKNCERCQLHYF RNRRPSAPIHETCIPCECDPDGAVQGAPCDRLTGQCVCKEYVQGERCDLCKPGFTGLTFA NPKGCHACDCSILGARKDMPCEEETGRCLCLPNVVGPKCDQCAPSHWKLASGLGCEPCAC DPRNSLSSQCNQFTGQCPCREGFGGLTCSSAAIRQCPDQTYGHVATGCRACDCDFRGTEG PGCDKASGRCLCRPGFTGPRCDQCQRGHCDRYPVCVACHSCFQAYDTDLQEQARRLHSLR NATEGLWTGTGLEDHGLASRLLDAKSKIEQIRQILEGTSVTEQDVAQVANGILSIRRTLQ GLPLDLPLEEEMESFSGDLGNLDRSFSRLLLMYRSKKEQFEKLSSEDPSGAFRMLTMAYE QSSRAAQQVSDSSSLLSQLRDSRREAEGLERQAGGGGTGGAQLMALRLEMASLPDLTPTI NKLCGRSRQTACTPGDCPGELCPQDNGTACGSHCRGALPRAKGAFHMAGRVAEQLRNFNT QLQQTRQMIRAAEEAASRVQADAQRLETQVSTSRLLMEEDVQRTRLLIQQVRGFLTDPDT DAATIQQVSEAVLALWLPTDSATVLRKMKEIQAIAARLPNVDSVLSQTKQDIARARRLQA EAEQARSRAHAVEGQVDDVVGNLRQGTVALQEAQDTMQGTGRSLRLIQERVGEVQQVLVP AERLVKGMKEQMSGFWARMKELRRQAQEEQAQAMQARQLAEGASQQAMNAQEGFKRLKQK YTELKDRLGQSPVLGEQGNRILSIKMEAEELFGETMEMMDKMKDMESELLRGSQAIMLRS ADLSGLEKRVEQIRSYINGRVLYYATCK >ENSMUSP00000123875.1 pep:known chromosome:GRCm38:1:193302243:193343875:1 gene:ENSMUSG00000026639.18 transcript:ENSMUST00000159955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamb3 description:laminin, beta 3 [Source:MGI Symbol;Acc:MGI:99915] MTAFFLLWLALPGFLCAQQACSRGACYPPVGDLLIGRTQLLRASSTCGLTKPETYCTQYG QWQMKCCKCDSRLPRNYNSHRVENVASSSGPMRWWQSQNDVSPVSLQLDLDKRMQLQDIM MDFKGLTPAGMLIERSSDFGKTWRVYQYLATDCASTFPQVHQGQPKNWQDVRCRPLSQRP NGHLTGGKVQLNLMDLASAIPASQSKKIQELGDITNLRVNFTKLAPVPQRGSYPPSAYFA VSQLRLQGSCFCHGHADRCAPNPGGSTTAVQVNNVCVCQHNTAGPNCDRCAPFYNNRPWR PAEGQDTHECQRCDCNGHSETCHFDPAVFAASQGTNGGVCDNCRDHTEGKNCERCQLHYF RNRRPSAPIHETCIPCECDPDGAVQGAPCDRLTGQCVCKEYVQGERCDLCKPGFTGLTFA NPKGCHACDCSILGARKDMPCEEETGRCLCLPNVVGPKCDQCAPSHWKLASGLGCEPCAC DPRNSLSSQCNQFTGQCPCREGFGGLTCSSAAIRQCPDQTYGHVATGCRACDCDFRGTEG PGCDKASGRCLCRPGFTGPRCDQCQRGHCDRYPVCVACHSCFQAYDTDLQEQARRLHSLR NATEGLWTGTGLEDHGLASRLLDAKSKIEQIRQILEGTSVTEQDVAQVANGILSIRRTLQ GLPLDLPLEEEMESFSGDLGNLDRSFSRLLLMYRSKKEQFEKLSSEDPSGAFRMLTMAYE QSSRAAQQVSDSSSLLSQLRDSRREAEGLERQAGGGGTGGAQLMALRLEMASLPDLTPTI NKLCGRSRQTACTPGDCPGELCPQDNGTACGSHCRGALPRAKGAFHMAGRVAEQLRNFNT QLQQTRQMIRAAEEAASRVQADAQRLETQVSTSRLLMEEDVQRTRLLIQQVRGFLTDPDT DAATIQQVSEAVLALWLPTDSATVLRKMKEIQAIAARLPNVDSVLSQTKQDIARARRLQA EAEQARSRAHAVEGQVDDVVGNLRQGTVALQEAQDTMQGTGRSLRLIQERVGEVQQVLVP AERLVKGMKEQMSGFWARMKELRRQAQEEQAQAMQARQLAEGASQQAMNAQEGFKRLKQK YTELKDRLGQSPVLGEQGNRILSIKMEAEELFGETMEMMDKMKDMESELLRGSQAIMLRS ADLSGLEKRVEQIRSYINGRVLYYATCK >ENSMUSP00000099579.3 pep:known chromosome:GRCm38:2:118926496:118928585:1 gene:ENSMUSG00000074916.5 transcript:ENSMUST00000099546.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst14 description:carbohydrate (N-acetylgalactosamine 4-0) sulfotransferase 14 [Source:MGI Symbol;Acc:MGI:1919386] MFPRPLTPLAAPKSAETLGRTPRRAPLGRARAGLGGPPLLLPSMLMFAVIVASSGLLLMI ERGILSEMKPLPLHPPSHKGAAWSGTDPKPRGLSLDAGDSDLQVREDIRNRTLRAVCGQP GMPRDPWDLPVGQRRTLLRHILVSDRYRFLYCYVPKVACSNWKRVLKVLAGILNNVDVRL KMDHRSDLVFLADLRPEEIRYRLQHYFKFLFVRDPLERLLSAYRNKFGEIREYQQRYGAE IVRRYRAGAGPSPAGDDVTFPEFLRYLVDEDPEHMNEHWMPVYHLCQPCAVHYDFVGSYE RLEADANQVLEWVRAPPHVRFPARQAWYRPASPESLHYHLCNVPRALLQDVLPKYILDFS LFAYPLPNVTKEACHQ >ENSMUSP00000106461.1 pep:known chromosome:GRCm38:2:118926514:118928579:1 gene:ENSMUSG00000074916.5 transcript:ENSMUST00000110837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst14 description:carbohydrate (N-acetylgalactosamine 4-0) sulfotransferase 14 [Source:MGI Symbol;Acc:MGI:1919386] MFPRPLTPLAAPKSAETLGRTPRRAPLGRARAGLGGPPLLLPSMLMFAVIVASSGLLLMI ERGILSEMKPLPLHPPSHKGAAWSGTDPKPRGLSLDAGDSDLQVREDIRNRTLRAVCGQP GMPRDPWDLPVGQRRTLLRHILVLAGILNNVDVRLKMDHRSDLVFLADLRPEEIRYRLQH YFKFLFVRDPLERLLSAYRNKFGEIREYQQRYGAEIVRRYRAGAGPSPAGDDVTFPEFLR YLVDEDPEHMNEHWMPVYHLCQPCAVHYDFVGSYERLEADANQVLEWVRAPPHVRFPARQ AWYRPASPESLHYHLCNVPRALLQDVLPKYILDFSLFAYPLPNVTKEACHQ >ENSMUSP00000130874.1 pep:known chromosome:GRCm38:6:36388084:36528414:1 gene:ENSMUSG00000045613.9 transcript:ENSMUST00000172278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrm2 description:cholinergic receptor, muscarinic 2, cardiac [Source:MGI Symbol;Acc:MGI:88397] MNNSTNSSNNGLAITSPYKTFEVVFIVLVAGSLSLVTIIGNILVMVSIKVNRHLQTVNNY FLFSLACADLIIGVFSMNLYTLYTVIGYWPLGPVVCDLWLALDYVVSNASVMNLLIISFD RYFCVTKPLTYPVKRTTKMAGMMIAAAWVLSFILWAPAILFWQFIVGVRTVEDGECYIQF FSNAAVTFGTAIAAFYLPVIIMTVLYWHISRASKSRIKKEKKEPVANQDPVSPSLVQGRI VKPNNNNMPGGDGGLEHNKIQNGKAPRDGGTENCVQGEEKESSNDSTSVSAVASNMRDDE ITQDENTVSTSLGHSKDDNSRQTCIKIVTKTQKGDACTPTSTTVELVGSSGQNGDEKQNI VARKIVKMTKQPAKKKPPPSREKKVTRTILAILLAFIITWAPYNVMVLINTFCAPCIPNT VWTIGYWLCYINSTINPACYALCNATFKKTFKHLLMCHYKNIGATR >ENSMUSP00000114896.1 pep:known chromosome:GRCm38:17:36837143:36859398:1 gene:ENSMUSG00000024457.16 transcript:ENSMUST00000130367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim26 description:tripartite motif-containing 26 [Source:MGI Symbol;Acc:MGI:1337056] MAVSAPLRSLEEEVTCSICLDYLRDPVTIDCGHVFCRSCTSDIRPISGNRPVCPLCKKPF KKENIRPVWQLASLVENIERLKVDNGRQPGELAREPQDMKLCERHQEKLHYYCEDDGKLL CVMCRESREHRPHTAVLVEKAALPHREKILNHLNTLRRDRDKIQGFQAKGEADILAALTK LQEQRQYIVAEFKQGHQFLKKREQHLLDQLATLEQLLTEGREKFKTRGVSELDRLTLVIS ELEGKARQPAAELMQDVCTTQDTKDFANKYPRKKFWIGKAIPHMVKRKAGEFSDKLLSLQ RGLRQFQGKLLRDLEYKTVSVTLDPQSASGYLHLSEDWKCVTYTGQYQSDCLLPQQFDCE PGVLGSKGFTWGKVYWEVELEREGWSEDEEEGEEEEEGEEEEEDEEVGYGDGYEDWETDE EDESLGEEEEEEEEEEEEVQESCMVGVAKDSVKRKGDLSLRPEDGVWALRLSPSGIWANT SPEAQLFPVLRPRRVGIALDYEGGTVTFTNAESQELIYTFTTTFTRRLVPFLWLKWPGAR LLLRP >ENSMUSP00000060103.7 pep:known chromosome:GRCm38:17:36837146:36859380:1 gene:ENSMUSG00000024457.16 transcript:ENSMUST00000053434.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim26 description:tripartite motif-containing 26 [Source:MGI Symbol;Acc:MGI:1337056] MAVSAPLRSLEEEVTCSICLDYLRDPVTIDCGHVFCRSCTSDIRPISGNRPVCPLCKKPF KKENIRPVWQLASLVENIERLKVDNGRQPGELAREPQDMKLCERHQEKLHYYCEDDGKLL CVMCRESREHRPHTAVLVEKAALPHREKILNHLNTLRRDRDKIQGFQAKGEADILAALTK LQEQRQYIVAEFKQGHQFLKKREQHLLDQLATLEQLLTEGREKFKTRGVSELDRLTLVIS ELEGKARQPAAELMQDVCTTQDTKDFANKYPRKKFWIGKAIPHMVKRKAGEFSDKLLSLQ RGLRQFQGKLLRDLEYKTVSVTLDPQSASGYLHLSEDWKCVTYTGQYQSDCLLPQQFDCE PGVLGSKGFTWGKVYWEVELEREGWSEDEEEGEEEEEGEEEEEDEEVGYGDGYEDWETDE EDESLGEEEEEEEEEEEEVQESCMVGVAKDSVKRKGDLSLRPEDGVWALRLSPSGIWANT SPEAQLFPVLRPRRVGIALDYEGGTVTFTNAESQELIYTFTTTFTRRLVPFLWLKWPGAR LLLRP >ENSMUSP00000118388.1 pep:known chromosome:GRCm38:17:36837173:36852689:1 gene:ENSMUSG00000024457.16 transcript:ENSMUST00000130801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim26 description:tripartite motif-containing 26 [Source:MGI Symbol;Acc:MGI:1337056] MAVSAPLRSLEEEVTCSICLDYLRDPVTIDCGHVFCRSCTSDIRPISGNRPVCPLCKKPF KKENIRPVWQLASLVENIERLKVDNGRQPGELAREPQDMKLCERHQEKLHYYCEDDGKLL CVMCRESREHRPHTAVLVEKAALPHREKILNHLNTLRRDRDKIQGFQAKGEADILAALTK LQEQRQYIVAEFKQGHQFLKKREQHLLDQLATLEQLLTEGREKFKTRGVSELDRLTLVIS ELEGKARQPAAEL >ENSMUSP00000114565.1 pep:known chromosome:GRCm38:17:36837184:36857627:1 gene:ENSMUSG00000024457.16 transcript:ENSMUST00000144182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim26 description:tripartite motif-containing 26 [Source:MGI Symbol;Acc:MGI:1337056] MAVSAPLRSLEEEVTCSICLDYLRDPVTIDCGHVFCRSCTSDIRPISGNRPVCPLCKKPF KKENIRPVWQLASLVENIERLKVDNGRQPGELAREPQDMKLCERHQEKLHYYCEDDGKLL CVMCRESREHRPHTAVLVEKAALPHREKILNHLNTLRRDRDKIQGFQAKGEADILAALTK LQEQRQYIVAEFKQGHQFLKKREQHLLDQLATLEQLLTEGREKFKTRGVSELDRLTLVIS ELEGKARQPAAELMQDVCTTQDTKDFANKYPRKKFWIGKAIPHMVKRKAGEFSDKLLSLQ RGLRQFQGKLLRDLEYKTVSVTLDPQSASGYLHLSEDWKCVTYTGQYQSDCLLPQQFDCE PGVLGSKGFTWGKVYWEVELEREGWSEDEEEGEE >ENSMUSP00000118438.1 pep:known chromosome:GRCm38:17:36837184:36859397:1 gene:ENSMUSG00000024457.16 transcript:ENSMUST00000123715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim26 description:tripartite motif-containing 26 [Source:MGI Symbol;Acc:MGI:1337056] MAVSAPLRSLEEEVTCSICLDYLRDPVTIDCGHVFCRSCTSDIRPISGNRPVCPLCKKPF KKENIRPVWQLASLVENIERLKVDNGRQPGELAREPQDMKLCERHQEKLHYYCEDDGKLL CVMCRESREHRPHTAVLVEKAALPHREKILNHLNTLRRDRDKIQGFQAKGEADILAALTK LQEQRQYIVAEFKQGHQFLKKREQHLLDQLATLEQLLTEGREKFKTRGVSELDRLTLVIS ELEGKARQPAAELMQDVCTTQDTKDFANKYPRKKFWIGKAIPHMVKRKAGEFSDKLLSLQ RGLRQFQGKLLRDLEYKTDFFCPCKC >ENSMUSP00000120764.1 pep:known chromosome:GRCm38:17:36852502:36857939:1 gene:ENSMUSG00000024457.16 transcript:ENSMUST00000124136.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim26 description:tripartite motif-containing 26 [Source:MGI Symbol;Acc:MGI:1337056] FKQGHQFLKKREQHLLDQLATLEQLLTEGREKFKTRGVSELDRLTLVISELEGKARQPAA ELMQDTKDFANKYPRKKFWIGKAIPHMVKRKAGEFSDKLLSLQRGLRQFQGKLLRDLEYK TVSVTLDPQSASGYLHLSEDWKCVTYTGQYQSDCLLPQQFDCEPGVLGSKGFTWGKRKKK KKFRKAAWWEWPKTL >ENSMUSP00000136651.1 pep:known chromosome:GRCm38:17:36837141:36859380:1 gene:ENSMUSG00000024457.16 transcript:ENSMUST00000179968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim26 description:tripartite motif-containing 26 [Source:MGI Symbol;Acc:MGI:1337056] MAVSAPLRSLEEEVTCSICLDYLRDPVTIDCGHVFCRSCTSDIRPISGNRPVCPLCKKPF KKENIRPVWQLASLVENIERLKVDNGRQPGELAREPQDMKLCERHQEKLHYYCEDDGKLL CVMCRESREHRPHTAVLVEKAALPHREKILNHLNTLRRDRDKIQGFQAKGEADILAALTK LQEQRQYIVAEFKQGHQFLKKREQHLLDQLATLEQLLTEGREKFKTRGVSELDRLTLVIS ELEGKARQPAAELMQAVCTCLDTKDFANKYPRKKFWIGKAIPHMVKRKAGEFSDKLLSLQ RGLRQFQGKLLRDLEYKTVSVTLDPQSASGYLHLSEDWKCVTYTGQYQSDCLLPQQFDCE PGVLGSKGFTWGKVYWEVELEREGWSEDEEEGEEEEEGEEEEEDEEVGYGDGYEDWETDE EDESLGEEEEEEEEEEEEVQESCMVGVAKDSVKRKGDLSLRPEDGVWALRLSPSGIWANT SPEAQLFPVLRPRRVGIALDYEGGTVTFTNAESQELIYTFTTTFTRRLVPFLWLKWPGAR LLLRP >ENSMUSP00000053431.6 pep:known chromosome:GRCm38:8:114205630:114374071:1 gene:ENSMUSG00000046844.6 transcript:ENSMUST00000049509.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vat1l description:vesicle amine transport protein 1 homolog-like (T. californica) [Source:MGI Symbol;Acc:MGI:2142534] MAKEGVEKAEETEQMIEKETSKEPAEGGDGSHRLGDAQEMRAVVLAGFGGLNKLRLSRKA MPEPQDGELKIRVKACGLNFIDLMVRQGNIDNPPKTPLVPGFECSGIVEALGDSVKGYEI GDRVMAFVNYNAWAEVVCTPVEFVYKIPDDMSFSEAAAFPMNFVTAYTMLFEIANLREGM SVLVHSAGGGVGQAVAQLCSTVPNVTVFGTASTFKHEAIKDSVTHLFDRNADYVQEVKRI SAEGVDIVLDCLCGDNTGKGLSLLKPLGTYILYGSSNMVTGETKSFFSFAKSWWQVEKVN PIKLYEENKVIAGFSLLNLLFKQGRSGLIRGVVEKLIGLYNQKKIKPVVDSLWALEEVKE AMQRIHDRGNIGKLILDVEKTPTPLMANDSTETSEAGEEEEDHEGDSENKERMPFIQ >ENSMUSP00000116680.1 pep:known chromosome:GRCm38:8:114205634:114230319:1 gene:ENSMUSG00000046844.6 transcript:ENSMUST00000150963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vat1l description:vesicle amine transport protein 1 homolog-like (T. californica) [Source:MGI Symbol;Acc:MGI:2142534] MAKEGVEKAEETEQMIEKETSKEPAEGGDGSHRLGDAQEMRAVVLAGFGGLNKLRLSRKA MPEPQDGELKIRVKAWSRTLWTMDLFTEVSGLNFIDLMVRQGNIDNPPKTPLVPGFECSG IVEALGD >ENSMUSP00000092067.3 pep:known chromosome:GRCm38:X:124127339:124135910:-1 gene:ENSMUSG00000072049.5 transcript:ENSMUST00000094491.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r121 description:vomeronasal 2, receptor 121 [Source:MGI Symbol;Acc:MGI:3643045] MKKLCAFTISFLFLKFSLILCCLSEPSCFWRIRNSEDSDGDLQRECHFYLWLIDESIEDN FVSDLNFRIAASEYELLLVIFFATDEINKNSYLLPNMTLMFSIIGGNCHDLLRSLDQEYT EIKGHMNFVNYFCYLDDSCAIGFTGPSWKTSLKLGLHSSMPLVFFGPFNPNLRDHDRLPH VHQVATKDTHLSHGMVSLMFHFRWTWIGLVISDDDQGIQFLSDLREESQRHEICLAFVNM IPENMQIYMTRATIYDKQIMTSSANVVIIYGEMNSTLEVSFRRWEELGAWRIWITTSQWD VIKNKKEFTFNLFHGTITFAHHKVEIPKFKKFMQTMNTAKYPVDISHTILEWNYFNCSIS KNSSKMDHMTFNNTLEWTALHNYDMAMSDEGYNLYNAVYAAAHTYHEHILQQVESQKKAK PKRYFTACQQVSSLMKTRVFSNPVGELVNMKHRENQCTEYDIFIIWNFPQGLGLKVKIGS YIPCFPKSQQLHICDDLEWAMGGTVPSSVCSVACTAGFRKIHQEETADCCFDCVQCPENE VSNETADMEQCVRCPDDKYANIEQTHCLSRAVSFLAYEDPLGMALGCMALSFSAITILVL VTFVKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIGHPNQVSCILQQTIFGVFFTVS VSTVLAKTITVVMAFKLTTPGRRIRGMMMTGAPKLVIPICTLIQLVLCGIWLVTSPPFID RDIQSEHGKTVILCNKGSVIAFHVVLGYLGSLALGSFTLAFLARNLPDTFNEAKFLTFSM LVFCSVWITFLPVYHSTRGRVMVVVEVFSILASSAGLLMCIFVPKCYVILIRPDSNFIKK HKGKLLN >ENSMUSP00000034904.7 pep:known chromosome:GRCm38:9:77917364:77984519:1 gene:ENSMUSG00000032349.13 transcript:ENSMUST00000034904.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl5 description:ELOVL family member 5, elongation of long chain fatty acids (yeast) [Source:MGI Symbol;Acc:MGI:1916051] MEHFDASLSTYFKAFLGPRDTRVKGWFLLDNYIPTFVCSVIYLLIVWLGPKYMKNRQPFS CRGILQLYNLGLTLLSLYMFYELVTGVWEGKYNFFCQGTRSAGESDMKIIRVLWWYYFSK LIEFMDTFFFILRKNNHQITVLHVYHHATMLNIWWFVMNWVPCGHSYFGATLNSFIHVLM YSYYGLSSIPSMRPYLWWKKYITQGQLVQFVLTIIQTTCGVFWPCSFPLGWLFFQIGYMI SLIALFTNFYIQTYNKKGASRRKDHLKGHQNGSVAAVNGHTNSFPSLENSVKPRKQRKD >ENSMUSP00000120171.1 pep:known chromosome:GRCm38:9:77941291:77961021:1 gene:ENSMUSG00000032349.13 transcript:ENSMUST00000134072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl5 description:ELOVL family member 5, elongation of long chain fatty acids (yeast) [Source:MGI Symbol;Acc:MGI:1916051] MEHFDASLSTYFKAFLGPRDTRVKGWFLLDNYIPTFVCSVIYLLIVWLGPKYMKNRQPFS CRGILQLYNLG >ENSMUSP00000123121.1 pep:known chromosome:GRCm38:9:77921932:77979868:1 gene:ENSMUSG00000032349.13 transcript:ENSMUST00000133757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl5 description:ELOVL family member 5, elongation of long chain fatty acids (yeast) [Source:MGI Symbol;Acc:MGI:1916051] MEHFDASLSTYFKAFLGPRDTRVKGWFLLDNYIPTFVCSVIYLLIVWLGPKYMKNRQPFS CRGILQLYNLGLTLLSLYMFYELVTGVWEGKYNFFCQGTRSAGESDMKIIRVLWWYYFSK LIEFMDTFFFILRKNNHQITVLHVYHHATMLNIWWFVMNWVPCGHSYFGATLNSFIHVLM >ENSMUSP00000053430.8 pep:known chromosome:GRCm38:5:138116903:138134213:1 gene:ENSMUSG00000037017.15 transcript:ENSMUST00000062350.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan21 description:zinc finger and SCAN domain containing 21 [Source:MGI Symbol;Acc:MGI:99182] MTKVVGMATVLGPRPPQESMGPSPIKVEEDEEKDKCCPTLELSHKHFRQSGNQDTLEPMG PSTIKAEEDESKDKCRPNLEISRKSFKQFGYQDTLEQLGPSTIKAEEDDEKDKGHPSPEI SRQRFRQFGYHDTPGPREALSQLRVLCCEWLQPEIHTKEQILELLVLEQFLTILPRELQT WVQQHCPESAEEAVTLLEDLEQELDEPGLQVSSPPNEQKQSWEKMSTSGTAMESLSSTET QHVDASPKYEFWGPLYIQETGEEEVFTQDPRKRQGFKSNPQKEDSADEHRSSEEESHADG LKRTVIPMIPANKYGSRSERQWANNLERERGTKASLQDTGSRKGAEPASTRPAPGEKRYI CAECGKAFSNSSNLTKHRRTHTGEKPYVCTKCGKAFSHSSNLTLHYRTHLVDRPYDCKCG KAFGQSSDLLKHQRMHTEEAPYQCKDCGKAFSGKGSLIRHYRIHTGEKPYQCNECGKSFS QHAGLSSHQRLHTGEKPYKCKECGKAFNHSSNFNKHHRIHTGEKPYWCSHCGKTFCSKSN LSKHQRVHTGEGEVQ >ENSMUSP00000106586.2 pep:known chromosome:GRCm38:5:138116916:138134265:1 gene:ENSMUSG00000037017.15 transcript:ENSMUST00000110961.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan21 description:zinc finger and SCAN domain containing 21 [Source:MGI Symbol;Acc:MGI:99182] MTKVVGMATVLGPRPPQESMGPSPIKVEEDEEKDKCCPTLELSHKHFRQSGNQDTLEPMG PSTIKAEEDESKDKCRPNLEISRKSFKQFGYQDTLEQLGPSTIKAEEDDEKDKGHPSPEI SRQRFRQFGYHDTPGPREALSQLRVLCCEWLQPEIHTKEQILELLVLEQFLTILPRELQT WVQQHCPESAEEAVTLLEDLEQELDEPGLQVSSPPNEQKQSWEKMSTSGTAMESLSSTET QHVDASPKYEFWGPLYIQETGEEEVFTQDPRKRQGFKSNPQKEDSADEHRSSEEESHADG LKRTVIPMIPANKYGSRSERQWANNLERERGTKASLQDTGSRKGAEPASTRPAPGEKRYI CAECGKAFSNSSNLTKHRRTHTGEKPYVCTKCGKAFSHSSNLTLHYRTHLVDRPYDCKCG KAFGQSSDLLKHQRMHTEEAPYQCKDCGKAFSGKGSLIRHYRIHTGEKPYQCNECGKSFS QHAGLSSHQRLHTGEKPYKCKECGKAFNHSSNFNKHHRIHTGEKPYWCSHCGKTFCSKSN LSKHQRVHTGEGEVQ >ENSMUSP00000079557.3 pep:known chromosome:GRCm38:5:138116937:138134214:1 gene:ENSMUSG00000037017.15 transcript:ENSMUST00000080732.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan21 description:zinc finger and SCAN domain containing 21 [Source:MGI Symbol;Acc:MGI:99182] MTKVVGMATVLGPRPPQESMGPSPIKVEEDEEKDKCCPTLELSHKHFRQSGNQDTLEPMG PSTIKAEEDESKDKCRPNLEISRKSFKQFGYQDTLEQLGPSTIKAEEDDEKDKGHPSPEI SRQRFRQFGYHDTPGPREALSQLRVLCCEWLQPEIHTKEQILELLVLEQFLTILPRELQT WVQQHCPESAEEAVTLLEDLEQELDEPGLQVSSPPNEQKQSWEKMSTSGTAMESLSSTET QHVDASPKYEFWGPLYIQETGEEEVFTQDPRKRQGFKSNPQKEDSADEHRSSEEESHADG LKRTVIPMIPANKYGSRSERQWANNLERERGTKASLQDTGSRKGAEPASTRPAPGEKRYI CAECGKAFSNSSNLTKHRRTHTGEKPYVCTKCGKAFSHSSNLTLHYRTHLVDRPYDCKCG KAFGQSSDLLKHQRMHTEEAPYQCKDCGKAFSGKGSLIRHYRIHTGEKPYQCNECGKSFS QHAGLSSHQRLHTGEKPYKCKECGKAFNHSSNFNKHHRIHTGEKPYWCSHCGKTFCSKSN LSKHQRVHTGEGEVQ >ENSMUSP00000106585.2 pep:known chromosome:GRCm38:5:138116937:138134265:1 gene:ENSMUSG00000037017.15 transcript:ENSMUST00000110960.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan21 description:zinc finger and SCAN domain containing 21 [Source:MGI Symbol;Acc:MGI:99182] MTKVVGMATVLGPRPPQESMGPSPIKVEEDEEKDKCCPTLELSHKHFRQSGNQDTLEPMG PSTIKAEEDESKDKCRPNLEISRKSFKQFGYQDTLEQLGPSTIKAEEDDEKDKGHPSPEI SRQRFRQFGYHDTPGPREALSQLRVLCCEWLQPEIHTKEQILELLVLEQFLTILPRELQT WVQQHCPESAEEAVTLLEDLEQELDEPGLQVSSPPNEQKQSWEKMSTSGTAMESLSSTET QHVDASPKYEFWGPLYIQETGEEEVFTQDPRKRQGFKSNPQKEDSADEHRSSEEESHADG LKRTVIPMIPANKYGSRSERQWANNLERERGTKASLQDTGSRKGAEPASTRPAPGEKRYI CAECGKAFSNSSNLTKHRRTHTGEKPYVCTKCGKAFSHSSNLTLHYRTHLVDRPYDCKCG KAFGQSSDLLKHQRMHTEEAPYQCKDCGKAFSGKGSLIRHYRIHTGEKPYQCNECGKSFS QHAGLSSHQRLHTGEKPYKCKECGKAFNHSSNFNKHHRIHTGEKPYWCSHCGKTFCSKSN LSKHQRVHTGEGEVQ >ENSMUSP00000121495.1 pep:known chromosome:GRCm38:5:138116980:138133247:1 gene:ENSMUSG00000037017.15 transcript:ENSMUST00000142185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan21 description:zinc finger and SCAN domain containing 21 [Source:MGI Symbol;Acc:MGI:99182] XESRAVITGMHHHDFSLEFPSAECLKGIIYYKVSSPPNEQKQSWEKMSTSGTAMESLSST ETQHVDASPKYEFWGPLYIQETGEEEVFTQDPRKRQGFKSNPQKEDSADEHRSSEEESHA DGLKRTVIPMIPANKYGSRSERQWANNLERERGTKASLQDTGSRKG >ENSMUSP00000119570.1 pep:known chromosome:GRCm38:5:138116982:138125540:1 gene:ENSMUSG00000037017.15 transcript:ENSMUST00000136425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan21 description:zinc finger and SCAN domain containing 21 [Source:MGI Symbol;Acc:MGI:99182] MTKVVGMATVLGPRPPQESMGPSPIKVEEDEEKDKCCPTLELSHKHFRQSGNQDTLEPMG PSTIKAEEDESKDKCRPNLEISRKSFKQFGYQDTLEQLGPSTIKAEEDDEKDKGHPSPEI SRQRFRQFGYHDTPGPREALSQLRVLCCEWLQPEIHTKEQ >ENSMUSP00000106584.1 pep:known chromosome:GRCm38:5:138117041:138134216:1 gene:ENSMUSG00000037017.15 transcript:ENSMUST00000110959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan21 description:zinc finger and SCAN domain containing 21 [Source:MGI Symbol;Acc:MGI:99182] MTKVVGMATVLGPRPPQESMGPSPIKVEEDEEKDKCCPTLELSHKHFRQSGNQDTLEPMG PSTIKAEEDESKDKCRPNLEISRKSFKQFGYQDTLEQLGPSTIKAEEDDEKDKGHPSPEI SRQRFRQFGYHDTPGPREALSQLRVLCCEWLQPEIHTKEQILELLVLEQFLTILPRELQT WVQQHCPESAEEAVTLLEDLEQELDEPGLQVSSPPNEQKQSWEKMSTSGTAMESLSSTET QHVDASPKYEFWGPLYIQETGEEEVFTQDPRKRQGFKSNPQKEDSADEHRSSEEESHADG LKRTVIPMIPANKYGSRSERQWANNLERERGTKASLQDTGSRKGAEPASTRPAPGEKRYI CAECGKAFSNSSNLTKHRRTHTGEKPYVCTKCGKAFSHSSNLTLHYRTHLVDRPYDCKCG KAFGQSSDLLKHQRMHTEEAPYQCKDCGKAFSGKGSLIRHYRIHTGEKPYQCNECGKSFS QHAGLSSHQRLHTGEKPYKCKECGKAFNHSSNFNKHHRIHTGEKPYWCSHCGKTFCSKSN LSKHQRVHTGEGEVQ >ENSMUSP00000067897.3 pep:known chromosome:GRCm38:5:150018675:150081336:1 gene:ENSMUSG00000053368.13 transcript:ENSMUST00000065745.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxfp2 description:relaxin/insulin-like family peptide receptor 2 [Source:MGI Symbol;Acc:MGI:2153463] MWLLLHVILLTEVKDFALADSSMVAPLCPKGYFPCGNLTKCLPRAFHCDGVDDCGNGADE DNCGDTSGWTTIFGTVHGNVNKVTLTQECFLSQYPQHCYCRENELECVKADLKAVPKVSS NVTLLSLKKNKIHRLPVKVFSRYTELRKIYLQHNCITHISRRAFLGLHNLQILYLSHNCI TSLRPGIFKDLHQLAWLILDDNPITRISQKSFMGLNSLFFLSMVGNRLEALPETLCAQMP QLNWVDLANNGIKYITNSTFLTCDSLTVLFLPRNQIGFVPEKTFSSLKNLGELDLSSNMI TKLPVHLFSDLHLLQKLNLSSNPLLYVHKNQFGSLKQLQSLDLERIEIPNISTGMFQPMK NLSHIYLKTFRYCSYVPHVRICMPSTDGISSSEDLLANGILRVSVWVIAFITCVGNFLVI AVRSLIKAENTTHAMSIKILCCADCLMGVYLFSVGVFDIKYRGQYQKYALLWMESVPCRL LGFLATLSTEVSVLLLTFLTLEKFLVIVFPFSNLRLGKRQTAVALASIWVVGFLIAAVPF TREDYFGNFYGKNGVCFPLHYDQAEDFGSRGYSLGIFLGVNLLAFLVIVISYVTMFCSIH KTALQTAEVRSHIGKEVAVANRFFFIVFSDAICWIPVFVVKILSLLQVEIPGTITSWIVV FFLPVNSALNPILYTLTTSFFKDKLKQLLHKHRRKPIFKVKKKSLSASIVWTDESSLKLG VLSKIALGDSIMKPVSP >ENSMUSP00000106122.1 pep:known chromosome:GRCm38:5:150018675:150081336:1 gene:ENSMUSG00000053368.13 transcript:ENSMUST00000110496.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxfp2 description:relaxin/insulin-like family peptide receptor 2 [Source:MGI Symbol;Acc:MGI:2153463] MWLLLHVILLTEVKDFALADSSMVAPLCPKGYFPCGNLTKCLPRAFHCDGVDDCGNGADE DNCGDTSGWTTIFGTVHGNVNKVTLTQECFLSQYPQHCYCRENELECVKADLKAVPKVSS NVTLLSLKKNKIHRLPVKVFSRYTELRKIYLQHNCITHISRRAFLGLHNLQILYLSHNCI TSLRPGIFKDLHQLAWLILDDNPITRISQKSFMGLNSLFFLSMVGNRLEALPETLCAQMP QLNWVDLANNGIKYITNSTFLTCDSLTVLDLSSNMITKLPVHLFSDLHLLQKLNLSSNPL LYVHKNQFGSLKQLQSLDLERIEIPNISTGMFQPMKNLSHIYLKTFRYCSYVPHVRICMP STDGISSSEDLLANGILRVSVWVIAFITCVGNFLVIAVRSLIKAENTTHAMSIKILCCAD CLMGVYLFSVGVFDIKYRGQYQKYALLWMESVPCRLLGFLATLSTEVSVLLLTFLTLEKF LVIVFPFSNLRLGKRQTAVALASIWVVGFLIAAVPFTREDYFGNFYGKNGVCFPLHYDQA EDFGSRGYSLGIFLGVNLLAFLVIVISYVTMFCSIHKTALQTAEVRSHIGKEVAVANRFF FIVFSDAICWIPVFVVKILSLLQVEIPGTITSWIVVFFLPVNSALNPILYTLTTSFFKDK LKQLLHKHRRKPIFKVKKKSLSASIVWTDESSLKLGVLSKIALGDSIMKPVSP >ENSMUSP00000144536.1 pep:known chromosome:GRCm38:5:150018706:150081328:1 gene:ENSMUSG00000053368.13 transcript:ENSMUST00000201612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxfp2 description:relaxin/insulin-like family peptide receptor 2 [Source:MGI Symbol;Acc:MGI:2153463] MWLLLHVILLTEVKDFALADSSMVAPLCPKGYFPCGNLTKCLPRAFHCDGVDDCGNGADE DNCGDTSGWTTIFGTVHGNVNKVTLTQECFLSQYPQHCYCRENELECVKADLKAVPKVSS NVTLLSLKKNKIHRLPVKVFSRYTELRKIYLQHNCITHISRRAFLGLHNLQILYLSHNCI TSLRPGIFKDLHQLAWLNIPNIGNILMCFVRILDDNPITRISQKSFMGLNSLFFLSMVGN RLEALPETLCAQMPQLNWVDLANNGIKYITNSTFLTCDSLTVLDLSSNMITKLPVHLFSD LHLLQKLNLSSNPLLYVHKNQFGSLKQLQSLDLERIEIPNISTGMFQPMKNLSHIYLKTF RYCSYVPHVRICMPSTDGISSSEDLLANGILRVSVWVIAFITCVGNFLVIAVRSLIKAEN TTHAMSIKILCCADCLMGVYLFSVGVFDIKYRGQYQKYALLWMESVPCRLLGFLATLSTE VSVLLLTFLTLEKFLVIVFPFSNLRLGKRQTAVALASIWVVGFLIAAVPFTREDYFGNFY GKNGVCFPLHYDQAEDFGSRGYSLGIFLGVNLLAFLVIVISYVTMFCSIHKTALQTAEVR SHIGKEVAVANRFFFIVFSDAICWIPVFVVKILSLLQVEIPGTITSWIVVFFLPVNSALN PILYTLTTSFFKDKLKQLLHKHRRKPIFKVKKKSLSASIVWTDESSLKLGVLSKIALGDS IMKPVSP >ENSMUSP00000050469.5 pep:known chromosome:GRCm38:11:102939264:102946688:-1 gene:ENSMUSG00000045532.5 transcript:ENSMUST00000057849.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1ql1 description:complement component 1, q subcomponent-like 1 [Source:MGI Symbol;Acc:MGI:1344400] MLLVLVVLIPVLVSSGGPDGHYEMLGTCRMVCDPYPARGPGAGARSDGGDALSEQSGAPP PSTLVQGPQGKPGRTGKPGPPGPPGDRGPPGPVGPPGEKGEPGKPGPPGLPGSGGSGAIS TATYTTVPRVAFYAGLKNPHEGYEVLKFDDVVTNLGNNYDAASGKFTCNIPGTYFFTYHV LMRGGDGTSMWADLCKNGQVRASAIAQDADQNYDYASNSVILHLDAGDEVFIKLDGGKAH GGNSNKYSTFSGFIIYSD >ENSMUSP00000103534.1 pep:known chromosome:GRCm38:11:88964658:88966917:-1 gene:ENSMUSG00000057534.13 transcript:ENSMUST00000061938.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15698 description:predicted gene 15698 [Source:MGI Symbol;Acc:MGI:3651308] MDVFLMIRYKKTTILTDAKESSTVLALKRIIEGIMKRPPEEQLLFKDNQLLDEMKTLREC GFTSQVARPEAPATVGLAFRTGDVFEGLEIHPYSPPLDLLDLLKASQNEANEPLFDEDPA NEPLSNEDPANEQFFDDEPPNQQFFHNLPNQFFDDDPMCNH >ENSMUSP00000113104.1 pep:known chromosome:GRCm38:11:88964658:88966931:-1 gene:ENSMUSG00000057534.13 transcript:ENSMUST00000121228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15698 description:predicted gene 15698 [Source:MGI Symbol;Acc:MGI:3651308] MDVFLMIRYKKTTILTDAKESSTVLALKRIIEGIMKRPPEEQLLFKDNQLLDEMKTLREC GFTSQVARPEAPATVGLAFRTGDVFEGLEIHPYSPPLDLLDLLKASQNEANEPLFDEDPA NEPLSNEDPANEQFFDDEPPNQQFFHNLPNQFFDDDPMCNH >ENSMUSP00000080951.3 pep:known chromosome:GRCm38:11:88964960:88965259:-1 gene:ENSMUSG00000057534.13 transcript:ENSMUST00000082339.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15698 description:predicted gene 15698 [Source:MGI Symbol;Acc:MGI:3651308] LEPQAAKGMDVFLMIRYKKTTILTDAKESSTVLALKRIIEGIMKRPPEEQLLFKDNQLLD EMKTLRECGFTSQVARPEAPATVGLAFRTGDVFEGLEIHP >ENSMUSP00000059107.3 pep:known chromosome:GRCm38:11:69878261:69881406:-1 gene:ENSMUSG00000046731.4 transcript:ENSMUST00000050555.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd11 description:potassium channel tetramerisation domain containing 11 [Source:MGI Symbol;Acc:MGI:2448712] MLGAMFRADTLMPANLNPQGDGHYFIDRDGKAFRHILNFLRLGRLDLPRGYGETALLKAE ADFYQIRPLLDALRELEASRGTPASTAALLHADVDVSPRQVHFSARRGPHHYELSSVQVD TFRANLFCTDPECLAAMRNRFGVAIGDRAEGGPHFRLEWASRPQELPEVEYQRLGLQPLW TGGPEDRREVANTPTFLEEVLRVALEHGFRLDSVFPDPEDLLNSRSLRFVRH >ENSMUSP00000132215.1 pep:known chromosome:GRCm38:10:79781476:79790961:-1 gene:ENSMUSG00000020323.14 transcript:ENSMUST00000169684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss57 description:protease, serine 57 [Source:MGI Symbol;Acc:MGI:1920356] MPSSTAMVPGTRGGWHCLVLTTAAALTQLMWLPGCCGSYIVGGHEVTPHSRPYMASVSFE GHHYCGGFLIHTHWVVSAAHCFSDRDPSMGLVVLGAHALLAPEPTQQTFSIAAAVSHPDF QPATQANDICLLRLNGSAVLGPAVRLLRLPRRNAKPPAAGTRCHVSGWGFVSDFEEPPPG LMEVEVRILDLSVCNSSWQGQLNPAMLCTHSGDRRRRGFCSADSGGPLVCGRRAHGLVSF SGLWCGDPKTPDVYTQVSAFVTWIWDVVRASSSPGSTGRSVRAV >ENSMUSP00000130448.1 pep:known chromosome:GRCm38:10:79782918:79784565:-1 gene:ENSMUSG00000020323.14 transcript:ENSMUST00000167987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss57 description:protease, serine 57 [Source:MGI Symbol;Acc:MGI:1920356] XLPRRNAKPPAAGTRCHVSGWGFVSDFEEPPPGLMEVEVRILDLSVCNSSWQGQLNPAML CTHSGDRRRRGFCSASGVVTPRLRMSTLKCQRL >ENSMUSP00000131642.1 pep:known chromosome:GRCm38:10:79783096:79784583:-1 gene:ENSMUSG00000020323.14 transcript:ENSMUST00000171681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss57 description:protease, serine 57 [Source:MGI Symbol;Acc:MGI:1920356] XAVRLLRLPRRNAKPPAAGTRCHVSGWGFVSDFEEPPPGLMEVEADSGGPLVCGRRAHGL VSFSGLWCGDPKTPDVYTQVSAFVTWIWDVVRASSSPGSTGRSVRAV >ENSMUSP00000020573.6 pep:known chromosome:GRCm38:10:79781474:79788985:-1 gene:ENSMUSG00000020323.14 transcript:ENSMUST00000020573.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss57 description:protease, serine 57 [Source:MGI Symbol;Acc:MGI:1920356] MPSSTAMVPGTRGGWHCLVLTTAAALTQLMWLPGCCGSYIVGGHEVTPHSRPYMASVSFE GHHYCGGFLIHTHWVVSAAHCFSDRDPSMGLVVLGAHALLAPEPTQQTFSIAAAVSHPDF QPATQANDICLLRLNGSAVLGPAVRLLRLPRRNAKPPAAGTRCHVSGWGFVSDFEEPPPG LMEVEVRILDLSVCNSSWQGQLNPAMLCTHSGDRRRRGFCSADSGGPLVCGRRAHGLVSF SGLWCGDPKTPDVYTQVSAFVTWIWDVVRASSSPGSTGRSVRAV >ENSMUSP00000144718.1 pep:known chromosome:GRCm38:6:106710357:106749037:-1 gene:ENSMUSG00000005364.11 transcript:ENSMUST00000204659.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il5ra description:interleukin 5 receptor, alpha [Source:MGI Symbol;Acc:MGI:96558] MVPVLLILVGALATLQADLLNHKKFLLLPPVNFTIKATGLAQVLLHWDPNPDQEQRHVDL EYHVKINAPQEDEYDTRKTESKCVTPLHEGFAASVRTILKSSHTTLASSWVSAELKAPPG SPGTSVTNLTCTTHTVVSSHTHLRPYQVSLRCTWLVGKDAPEDTQYFLYYRFGVLTEKCQ EYSRDALNRNTACWFPRTFINSKGFEQLAVHINGSSKRAAIKPFDQLFSPLAIDQVNPPR NVTVEIESNSLYIQWEKPLSAFPDHCFNYELKIYNTKNGHIQKEKLIANKFISKIDDVST YSIQVRAAVSSPCRMPGRWGEWSQPIYVGKERKSLVEWHLIVLPTAACFVLLIFSLICRV CHLWTRLFPPVPAPKSNIKDLPVVTEYEKPSNETKIEVVHCVEEVGFEVMGNSTF >ENSMUSP00000144825.1 pep:known chromosome:GRCm38:6:106710606:106748948:-1 gene:ENSMUSG00000005364.11 transcript:ENSMUST00000205004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il5ra description:interleukin 5 receptor, alpha [Source:MGI Symbol;Acc:MGI:96558] MPGRWGEWSQPIYVGVIYGPGCFHRFRPQRVTSKISLWLLNMRNLRMKPKLKLYIVWKRL DLKSWEIPRFDGILPF >ENSMUSP00000129781.2 pep:known chromosome:GRCm38:6:106711975:106745109:-1 gene:ENSMUSG00000005364.11 transcript:ENSMUST00000167925.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il5ra description:interleukin 5 receptor, alpha [Source:MGI Symbol;Acc:MGI:96558] MVPVLLILVGALATLQADLLNHKKFLLLPPVNFTIKATGLAQVLLHWDPNPDQEQRHVDL EYHVKINAPQEDEYDTRKTESKCVTPLHEGFAASVRTILKSSHTTLASSWVSAELKAPPG SPGTSVTNLTCTTHTVVSSHTHLRPYQVSLRCTWLVGKDAPEDTQYFLYYRFGVLTEKCQ EYSRDALNRNTACWFPRTFINSKGFEQLAVHINGSSKRAAIKPFDQLFSPLAIDQVNPPR NVTVEIESNSLYIQWEKPLSAFPDHCFNYELKIYNTKNGHIQKEKLIANKFISKIDDVST YSIQVRAAVSSPCRMPGRWGEWSQPIYVGKERKSLVEWHLIVLPTAACFVLLIFSLICRV CHLWTRLFPPVPAPKSNIKDLPVVTEYEKPSNETKIEVVHCVEEVGFEVMGNSTF >ENSMUSP00000124258.1 pep:known chromosome:GRCm38:14:56575619:56659794:1 gene:ENSMUSG00000054509.7 transcript:ENSMUST00000161553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp4 description:poly (ADP-ribose) polymerase family, member 4 [Source:MGI Symbol;Acc:MGI:2685589] MTLGIFANCIFCLKVKYLPRQQKKKLQTDIKENGGKFSFLLNPQCTHVIVDSADVLSRCH LNSIQKNDVQIANPAFIQDSVRQRRLLDVRNYDPLSPAPAAPPAERSRSEVQSEYLPSDN TPEKENTEVTEVSAENVEIPPFLQDFEVVKYNILEKVGGPETVVVELQSSQDPESCPFVI TAHFLLADQKTRRESTGKQTSEGAIEYYESYVEDLKRQGFLLQEHFTAEATQLASEKLQA LLLEEVISSGALSQEVSDLLEVIWTEALGHLENTLLKPVNSMSLNDVSKAEGILLLVKTA LKNGDSPGQLQKTMAEFYRLLPHRHPASEEVNLRLLAQKEDLCQLVRDMVNVCETNLSKP NPPSLAKYRALRCKIEHVDQNTEEFSRVRKEVLQNNRSEQPVDILQIFRVGRVNEATEFL SKLGNVRLLFHGSPVRNILGILSRGLLLPKVAEDRGVQRTDVGNLGSGIYFSDSLSTSIK YAHAGETDGSRLLVVCDVALGKCVNLFKKDFSLTEAPPGYDSVHGVSETTSVPTDFQDDE FVVYKTNQVKMKYIVKFCTPGDQIKEFHPHENTEVEEQRAEPSSVPEAGDFQLPDIKPFT NIKAGLQDASANPVPLDSVHIKGRVIDFVAQVIVFQTYTNQSHVPIEAKYIFPLDDKAAV CGFEAFINGKHIVGEIKEKEEARQEYREAVSQGHGAYLMDQDTPDVFTVSVGNLPPRAKV LIKITYITELSIQSPVAIFFIPGTVAPWQQDKALNENLQDTVETIRIKEIGAEQSFSLAM SIEMPYMIEFISSDTHELRQKSTDCKAVVSTVEGSSLDSGGFSLHIGLRDAYLPRMWVEK HPEKESEACMLVFQPELADVLPDLRGKNEVIICLDCSSSMEGVTFTQAKQVALYALSLLG EEQKVNIMQFGTGYKELFSYPKCITDSKMATEFIMSAAPSMGNTDFWKVLRYLSLLYPSE GFRNILLISDGHLQSESLTLQLVKRNIQHTRVFTCAVGSTANRHILRTLSQCGAGVFEYF NSKSKHSWKKQIEAQMTRIRSPSCHSVSVKWQQLSRDAPEPLQAPAWVPSLFHNDRLLVY GFIPHCTQATLQAFIQEKEFCTMVSTTELQKTTGTMIHKLAARALIRDYEDGILHDDETN HEMKKNIMKSLIIELSKENSLITQFTSFVAVEKRDVNEIPFANVPNISELVAKEDVDFLP YVSWQEKQPEASISQTEIDSSRLKHNKLSDGHGVLQPVSVSSEVNEKPSLLLAAKKRKIK TIKKCSLDISEDFEDRTAVAQSPATAQSLNFHLPLSVRPQLKAVEQQLHGNRLEPKQRGG FRKLLMAKKCRNVPDSLVSSAPAVTAEFSYLSACSSSSAFLSPLCDIPSSLPPHPLGGTH PPPPLPLPDGTHLPSPLFGSTHPPPPLFGGTLIPPPSSLFGGTHLPPPPPLPGGTHIPPP PPIPGGTLIPPSSSLFGGTHLPPPPLLSAGTHIPPPPLLSAGTHLPPPPLLPAGTHIPPP PPITGSTHPPPPSSLFGGTHLPPPPPLPGGTHIPPPPPIPGGTLIPSPSSLFGGTHLPPP PLLPAGTHIPPPPPITGSTHPPPPSSLFGGTHLPPPPPAGTQFSLSPIGFIPPKLGPPKL SHSHKLVGDTNIHDSEPPLLGFKDLCSRDMGFSCGTAFSGSFASSKDFDPGKFSQGPNNI SFSPKAPEMGVLHQSPFCSPPKPPSAPPLVTNVLCSEAPQSYFLNLQSAAVHQSPNNRVS EIIMESVESSLPSDYSSRDASSYLALEGAEDSLLGGSSFETDTDEAAAFIANDLLTSIET SSDEECAFCDEDQESPVPWASLFALQTENGFWKLTPELGLILNLNVNALLTSLEEKGIRS LGTKGRERLLDLIATLLVLQFLYTKLEQEGMVAKSLIKMDDAFISRNIPWAFENIKKARE WARKTEGQYPSICQRLELGKDWESATKQLLGIQPQANTSLHRILYYSQG >ENSMUSP00000104858.1 pep:known chromosome:GRCm38:2:166947549:166996278:-1 gene:ENSMUSG00000039536.16 transcript:ENSMUST00000109235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau1 description:staufen (RNA binding protein) homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1338864] MYKPVDPHSRMQSTYSYGMRGGAYPPRYFYPFPVPPLLYQVELSVGGQQFNGKGKMRPPV KHDAPARALRTLQSEPLPERLEVNGREAEEENLNKSEISQVFEIALKRNLPVNFEVARES GPPHMKNFVTRVSVGEFVGEGEGKSKKISKKNAARAVLEQLRRLPPLPAVERVKPRIKKK SQPTCKLQTAPDYGQGMNPISRLAQIQQAKKEKEPEYMLLTERGLPRRREFVMQVKVGHH TAEGVGTNKKVAKRNAAENMLEILGFKVPQAQPAKPALKSEEKTPVKKPGDGRKVTFFEP SPGDENGTSNKDEEFRMPYLSHQQLPAGILPMVPEVAQAVGVSQGHHTKDFTRAAPNPAK ATVTAMIARELLYGGTSPTAETILKSNISSGHVPHGPRTRPSEQLYYLSRAQGFQVEYKD FPKNNKNECVSLINCSSQPPLVSHGIGKDVESCHDMAALNILKLLSELDQQSTEMPRTGN GPVSACGRC >ENSMUSP00000042626.5 pep:known chromosome:GRCm38:2:166948147:166996103:-1 gene:ENSMUSG00000039536.16 transcript:ENSMUST00000049412.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau1 description:staufen (RNA binding protein) homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1338864] MYKPVDPHSRMQSTYSYGMRGGAYPPRYFYPFPVPPLLYQVELSVGGQQFNGKGKMRPPV KHDAPARALRTLQSEPLPERLEVNGREAEEENLNKSEISQVFEIALKRNLPVNFEVARES GPPHMKNFVTRVSVGEFVGEGEGKSKKISKKNAARAVLEQLRRLPPLPAVERVKPRIKKK SQPTCKLQTAPDYGQGMNPISRLAQIQQAKKEKEPEYMLLTERGLPRRREFVMQVKVGHH TAEGVGTNKKVAKRNAAENMLEILGFKVPQAQPAKPALKSEEKTPVKKPGDGRKVTFFEP SPGDENGTSNKDEEFRMPYLSHQQLPAGILPMVPEVAQAVGVSQGHHTKDFTRAAPNPAK ATVTAMIARELLYGGTSPTAETILKSNISSGHVPHGPRTRPSEQLYYLSRAQGFQVEYKD FPKNNKNECVSLINCSSQPPLVSHGIGKDVESCHDMAALNILKLLSELDQQSTEMPRTGN GPVSA >ENSMUSP00000104861.2 pep:known chromosome:GRCm38:2:166948147:166996265:-1 gene:ENSMUSG00000039536.16 transcript:ENSMUST00000109238.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau1 description:staufen (RNA binding protein) homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1338864] MYKPVDPHSRMQSTYSYGMRGGAYPPRYFYPFPVPPLLYQVELSVGGQQFNGKGKMRPPV KHDAPARALRTLQSEPLPERLEVNGREAEEENLNKSEISQVFEIALKRNLPVNFESFLLT QVARESGPPHMKNFVTRVSVGEFVGEGEGKSKKISKKNAARAVLEQLRRLPPLPAVERVK PRIKKKSQPTCKLQTAPDYGQGMNPISRLAQIQQAKKEKEPEYMLLTERGLPRRREFVMQ VKVGHHTAEGVGTNKKVAKRNAAENMLEILGFKVPQAQPAKPALKSEEKTPVKKPGDGRK VTFFEPSPGDENGTSNKDEEFRMPYLSHQQLPAGILPMVPEVAQAVGVSQGHHTKDFTRA APNPAKATVTAMIARELLYGGTSPTAETILKSNISSGHVPHGPRTRPSEQLYYLSRAQGF QVEYKDFPKNNKNECVSLINCSSQPPLVSHGIGKDVESCHDMAALNILKLLSELDQQSTE MPRTGNGPVSACGRC >ENSMUSP00000104859.2 pep:known chromosome:GRCm38:2:166948273:166996299:-1 gene:ENSMUSG00000039536.16 transcript:ENSMUST00000109236.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stau1 description:staufen (RNA binding protein) homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1338864] MYKPVDPHSRMQSTYSYGMRGGAYPPRYFYPFPVPPLLYQVELSVGGQQFNGKGKMRPPV KHDAPARALRTLQSEPLPERLEVNGREAEEENLNKSEISQVFEIALKRNLPVNFEVARES GPPHMKNFVTRVSVGEFVGEGEGKSKKISKKNAARAVLEQLRRLPPLPAVERVKPRIKKK SQPTCKTAPDYGQGMNPISRLAQIQQAKKEKEPEYMLLTERGLPRRREFVMQVKVGHHTA EGVGTNKKVAKRNAAENMLEILGFKVPQAQPAKPALKSEEKTPVKKPGDGRKVTFFEPSP GDENGTSNKDEEFRMPYLSHQQLPAGILPMVPEVAQAVGVSQGHHTKDFTRAAPNPAKAT VTAMIARELLYGGTSPTAETILKSNISSGHVPHGPRTRPSEQLYYLSRAQGFQVEYKDFP KNNKNECVSLINCSSQPPLVSHGIGKDVESCHDMAALNILKLLSELDQQSTEMPRTGNGP VSACGRC >ENSMUSP00000139039.1 pep:known chromosome:GRCm38:2:166949292:166964716:-1 gene:ENSMUSG00000039536.16 transcript:ENSMUST00000184390.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stau1 description:staufen (RNA binding protein) homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1338864] MYKPVDPHSRMQSTYSYGMRGGAYPPRYFYPFPVPPLLYQVELSVGGQQFNGKGKMRPPV KHDAPARALRTLQSEPLPERLEVNGREAEEENLNKSEISQVFEIALKRNLPVNFESFLLT QVARESGPPHMKNFVTRVSVGEFVGEGEGKSKKISKKNAARAVLEQLRRLPPLPAVERVK PRIKKKSQPTCKLQTAPDYGQGMNPISRLAQIQQAKKEKEPEYMLLTERGLPRRREFVMQ VKVGHHTAEGVGTNKKVAKRNAAENMLEILGFKVPQAQPAKPALKSEEKTPVKKPGDGRK VTFFEPSPGDENGTSNKDEEFRMPYLSHQQLPAGILPMVPEVAQAVGVSQGHHTKDFTRA APNPAKATATYPMDLALDPLSNCTTFPEPRDSRLNTKIFPRTTRTSVYLSSTAPHSRLSS VMASARMWSPVMIWLH >ENSMUSP00000038589.7 pep:known chromosome:GRCm38:2:119017779:119029393:-1 gene:ENSMUSG00000039983.13 transcript:ENSMUST00000036470.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc32 description:coiled-coil domain containing 32 [Source:MGI Symbol;Acc:MGI:2685477] MKMFESLDSSATKSGRDLWAEICSCLPSPAQEDVSDNAFSDSFMDSHPAGESHTAAADSA VQPAGKPWAPLHDSEVYLASLEKKLRRIKGLNEEVTSKDMLRTLAQAKKECWDRFLQEKL ASEFFVDGLDSDESTLEHFKRWLQPDKVAISTEEVQFLIPPESQAEKPEAGDKPAAAEQ >ENSMUSP00000106458.1 pep:known chromosome:GRCm38:2:119021734:119029393:-1 gene:ENSMUSG00000039983.13 transcript:ENSMUST00000110834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc32 description:coiled-coil domain containing 32 [Source:MGI Symbol;Acc:MGI:2685477] MKMFESLDSSATKSGRDLWAEICSCLPSPAQEDVSDNAFSDSFMDSHPAGESHTAAADSA VQPAGKPWAPLHDSEVYLASLEKKLRRIKGLNEEVTSKDMLRTLAQAKKECWDRFLQEKL ASEFFVDGLDSDER >ENSMUSP00000106457.1 pep:known chromosome:GRCm38:2:119021805:119029377:-1 gene:ENSMUSG00000039983.13 transcript:ENSMUST00000110833.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc32 description:coiled-coil domain containing 32 [Source:MGI Symbol;Acc:MGI:2685477] MKMFESLDSSATKSGRDLWAEICSCLPSPAQEDVSDNAFSDSFMDSHPAGESHTAAADSA VQPAGKPWAPLHDSEVYLASLEKKLRRIKGLNEEVTSKDMLRTLAQAKKECWDRFLQEKL ASEFFVDGLDSDER >ENSMUSP00000020575.4 pep:known chromosome:GRCm38:10:79777272:79782630:1 gene:ENSMUSG00000020325.10 transcript:ENSMUST00000020575.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fstl3 description:follistatin-like 3 [Source:MGI Symbol;Acc:MGI:1890391] MRSGALWPLLWGALVWTVGSVGAVMGSEDSVPGGVCWLQQGREATCSLVLKTRVSREECC ASGNINTAWSNFTHPGNKISLLGFLGLVHCLPCKDSCDGVECGPGKACRMLGGRPHCECV PNCEGLPAGFQVCGSDGATYRDECELRTARCRGHPDLRVMYRGRCQKSCAQVVCPRPQSC LVDQTGSAHCVVCRAAPCPVPSNPGQELCGNNNVTYISSCHLRQATCFLGRSIGVRHPGI CTGGPKVPAEEEENFV >ENSMUSP00000088729.5 pep:known chromosome:GRCm38:Y:1260771:1286629:-1 gene:ENSMUSG00000069045.11 transcript:ENSMUST00000091190.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx3y description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 3, Y-linked [Source:MGI Symbol;Acc:MGI:1349406] MSQVAAESTAGLDQQFVGLDLKSSDNQNGGGNTESKGRYIPPHLRNRETSKGVCDKDSSG WSCSKDKDAYSSFGSRDSRGKPNYFSDRGSGSRGRFDDHGRNDYDGIGGRDRTGFGKFER SGHSRWSDRSDEDDWSKPLPPSERLEQELFSGGNTGINFEKYDDIPVEATGNNCPPHIEN FSDIEMGEIIMGNIELTRYTRPTPVQKHAIPIIKEKRDLMACAQTGSGKTAAFLLPILSQ IYTDGPGEALKAMKENGRYGRRKQYPISLVLAPTRELAVQIYEEARKFSYRSRVRPCVVY GGADTVQQIRDLERGCHLLVATPGRLVDMMERGKIGLDFCKYLVLDEADRMLDMGFEPQI RRIVEQDTMPPKGVRHTMMFSATFPKEIQMLARDFLDEYIFLAVGRVGSTSENITQKVVW VEELDKRSFLLDLLNATGKDSLTLVFVETKKGADSLENFLFQERYACTSIHGDRSQKDRE EALHQFRSGRKPILVATAVAARGLDISNVKHVINFDLPSDIEEYVHRIGRTGRVGNLGLA TSFFNERNLNITKDLLDLLVEAKQEVPSWLESMAYEHHYKGSSRGRSKSRFSGGFGARDY RQSSGSANAGFNSNRANSSRSSGSSHNRGFGGGGYGGFYNNDGYGGNYNSQAVDWWGN >ENSMUSP00000140361.1 pep:known chromosome:GRCm38:Y:1262313:1286582:-1 gene:ENSMUSG00000069045.11 transcript:ENSMUST00000188484.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddx3y description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 3, Y-linked [Source:MGI Symbol;Acc:MGI:1349406] MSQVAAESTAGLDQQFVGLDLKSSDNQNGGGNTESILHFVF >ENSMUSP00000137424.1 pep:known chromosome:GRCm38:9:22072246:22085402:-1 gene:ENSMUSG00000066839.11 transcript:ENSMUST00000179422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecsit description:ECSIT signalling integrator [Source:MGI Symbol;Acc:MGI:1349469] MSWVQVNLLVRSLSRGWGGLCRPALSGTPFAQVSLQALRGLHCSAATHKDEPWLVPRPPE PQRKPIKVPAMHEDSFKPSGNRERDKASFLNAVRSFGAHNVRKRGHVDFIYLALRKMPEF GVERDLSVYNLLLDVFPKEVFRPRNVIQRIFVHYPRQQECGVAVLEQMERHGVMPSAETE FLLIQIFGRKSYPMLKFLRMKLWFTRFKNINPYPVPRDLPQDPLDLAKLGLRHMEPDLSA KVTVYQMSLPSDSTGMEDPTQPHIVGIQSPDQQAALARHNPSRPVFVEGPFPLWLRNKCV YYHILRADLPPPEEEKVEEIPEEWELYYPQKLDLEYSRSGWDDYEFDVDEVTEGPVFAMC MAGAHDQATLIKWIQGLQETNPTLAQIPVVFRLARSTGELLTTSRLEGQSPPHSPPKGPE EDDETIQAEQQQGQS >ENSMUSP00000136247.1 pep:known chromosome:GRCm38:9:22072246:22085438:-1 gene:ENSMUSG00000066839.11 transcript:ENSMUST00000180180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecsit description:ECSIT signalling integrator [Source:MGI Symbol;Acc:MGI:1349469] MSWVQVNLLVRSLSRGWGGLCRPALSGTPFAQVSLQALRGLHCSAATHKDEPWLVPRPPE PQRKPIKVPAMHEDSFKPSGNRERDKASFLNAVRSFGAHNVRKRGHVDFIYLALRKMPEF GVERDLSVYNLLLDVFPKEVFRPRNVIQRIFVHYPRQQECGVAVLEQMERHGVMPSAETE FLLIQIFGRKSYPMLKFLRMKLWFTRFKNINPYPVPRDLPQDPLDLAKLGLRHMEPDLSA KVTVYQMSLPSDSTGMEDPTQPHIVGIQSPDQQAALARHNPSRPVFVEGPFPLWLRNKCV YYHILRADLPPPEEEKVEEIPEEWELYYPQKLDLEYSRSGWDDYEFDVDEVTEGPVFAMC MAGAHDQATLIKWIQGLQETNPTLAQIPVVFRLARSTGELLTTSRLEGQSPPHSPPKGPE EDDETIQAEQQQGQS >ENSMUSP00000135936.1 pep:known chromosome:GRCm38:9:22073492:22085427:-1 gene:ENSMUSG00000066839.11 transcript:ENSMUST00000177967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecsit description:ECSIT signalling integrator [Source:MGI Symbol;Acc:MGI:1349469] MHEDSFKPSGNRERDKASFLNAVRSFGAHNVRKRGHVDFIYLALRKMPEFGVERDLSVYN LLLDVFPKEVFRPRNVIQRIFVHYPRQQECGVAVLEQMERHGVMPSAETEFLLIQIFGRK SYPMLKFLRMKLWFTRFKNINPYPVPRDLPQDPLDLAKLGLRHMEPDLSAKVTVYQMSLP SDSTGMEDPTQPHIVGIQSPDQQAALARHNPSRPVFVEGPFPL >ENSMUSP00000096537.3 pep:known chromosome:GRCm38:9:22072246:22085427:-1 gene:ENSMUSG00000066839.11 transcript:ENSMUST00000098937.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecsit description:ECSIT signalling integrator [Source:MGI Symbol;Acc:MGI:1349469] MSWVQVNLLVRSLSRGWGGLCRPALSGTPFAQVSLQALRGLHCSAATHKDEPWLVPRPPE PQRKPIKVPAMHEDSFKPSGNRERDKASFLNAVRSFGAHNVRKRGHVDFIYLALRKMPEF GVERDLSVYNLLLDVFPKEVFRPRNVIQRIFVHYPRQQECGVAVLEQMERHGVMPSAETE FLLIQIFGRKSYPMLKFLRMKLWFTRFKNINPYPVPRDLPQDPLDLAKLGLRHMEPDLSA KVTVYQMSLPSDSTGMEDPTQPHIVGIQSPDQQAALARHNPSRPVFVEGPFPLWLRNKCV YYHILRADLPPPEEEKVEEIPEEWELYYPQKLDLEYSRSGWDDYEFDVDEVTEGPVFAMC MAGAHDQATLIKWIQGLQETNPTLAQIPVVFRLARSTGELLTTSRLEGQSPPHSPPKGPE EDDETIQAEQQQGQS >ENSMUSP00000140477.1 pep:known chromosome:GRCm38:Y:76761600:76787729:1 gene:ENSMUSG00000100708.1 transcript:ENSMUST00000189238.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21173 description:predicted gene, 21173 [Source:MGI Symbol;Acc:MGI:5434528] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSILDKSEVNNPAIGKDENTSPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000135597.1 pep:known chromosome:GRCm38:13:78024902:78026295:1 gene:ENSMUSG00000093668.3 transcript:ENSMUST00000175955.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou5f2 description:POU domain class 5, transcription factor 2 [Source:MGI Symbol;Acc:MGI:1922757] MAGRRSSNVFPLSGNSGGGLEVDTPTWLSSQAATSRLMVRPSMGPGICPGPEVWGVPLGS SPYEFRGGIAPYRACEARAWSQSSSEDTCPGPYIALRYMPNLALPEDVSAIQKEMEQLAK ELRQKRMTLGYSQADVGFAVGAMFGKVLSQTTICRFEAQQLSLANMWKLRPLLKMWLEEV DEKNLLGICRMEMILEQARKRRRASRERRIGSNLEKLFLQCPEPTPQQISYIAGRLRLQK DLVQVWFSNRSQMGSWPTNDTSRREDVGATGSPFPGPPVCFPMAPGLHFDFPHYEGSCLT PLYSSTPFPVRGALLSAPTTTLGLPRLSS >ENSMUSP00000126461.1 pep:known chromosome:GRCm38:4:140986873:141005087:1 gene:ENSMUSG00000036622.15 transcript:ENSMUST00000168047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a2 description:ATPase type 13A2 [Source:MGI Symbol;Acc:MGI:1922022] MSADSSLLMGSTPPSYGTLTTGTSIDPLSSSASSVRLSGYCGSPWRAIGYHAAVWMLAGI PWLLFRWKPLWGVRLRLKPCSLAHAETLVIEIKDKEGSSRQLFTVQVQTEAVVQGSLELP PQAQAEDGRSQAAVGVTPEGTWQDTSELHRQEEAVSGQLGSRPRLVLLWASRSHAVWEDW AHGSLCPSGSLWSRRPVILSDRQASLSHDAIPLPSPTLCVWMLHARPPPTGLSSLTQKQV LRYYVLQGQRYVWMETQQAFCQVSLLDHGRTCDDVHCSSSGLSLQDQATRKTIYGPNVIS IPVKSYLQLLADEALNPYYGFQAFSIALWLADHYYWYALCIFLISAISICLALYKTRKQS LTLRDMVKLSVRVQVCRPGGEEEWVDSSELVPGDCLVLPQEGGVMPCDAALVAGECVVNE SSLTGESTPVLKTALPEGPKPYCPETHRRHTLFCGTLILQARAYVGPRVLAVVTRTGFCT AKGGLVSSILHPRPISFKFYKHSMKFVAALSVLALLGTVYSIIILYRNRVPVREIVIRAL DLVTVVVPPALPAAMTVCTLYAQSRLRTQGIFCIHPLRINLGGKLRLVCFDKTGTLTEDG LDVMGVVPLKGQVLLPLVPEPCHLPLGPLLRALATCHALSQLHDTPVGDPMDLKMVESTG WVLEEGPAAGSAPGSQVLVVMRPPPGGPRQQEEPPVPVSVLCRFPFSSALQRMDVVVTWP GATQPEAYVKGSPELVASLCSPETVPSDFSQVLQSYTAAGYRVVALAGKPLPIAPSLAAA QQLTRDTVERELSLLGLLVMRNLLKPQTAPVIQTLRKTGIRTVMVTGDNLQTAVTVARAC GMVGAQEHLAVIHATHPEQGQPAALEFLPTESSAVMNGAKATGYPTVPEPQSCHLALSGS TFAVLRKHFPKLLPKVLVQATVFARMAPEQKTELVCELQRLQYCVGMCGDGANDCGALKA ADVGISLSQA >ENSMUSP00000039648.7 pep:known chromosome:GRCm38:4:140986873:141007330:1 gene:ENSMUSG00000036622.15 transcript:ENSMUST00000037055.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a2 description:ATPase type 13A2 [Source:MGI Symbol;Acc:MGI:1922022] MSADSSLLMGSTPPSYGTLTTGTSIDPLSSSASSVRLSGYCGSPWRAIGYHAAVWMLAGI PWLLFRWKPLWGVRLRLKPCSLAHAETLVIEIKDKEGSSRQLFTVQVQTEAVVQGSLELP PQAQAEDGRSQAAVGVTPEGTWQDTSELHRQEEAKQVLRYYVLQGQRYVWMETQQAFCQV SLLDHGRTCDDVHCSSSGLSLQDQATRKTIYGPNVISIPVKSYLQLLADEALNPYYGFQA FSIALWLADHYYWYALCIFLISAISICLALYKTRKQSLTLRDMVKLSVRVQVCRPGGEEE WVDSSELVPGDCLVLPQEGGVMPCDAALVAGECVVNESSLTGESTPVLKTALPEGPKPYC PETHRRHTLFCGTLILQARAYVGPRVLAVVTRTGFCTAKGGLVSSILHPRPISFKFYKHS MKFVAALSVLALLGTVYSIIILYRNRVPVREIVIRALDLVTVVVPPALPAAMTVCTLYAQ SRLRTQGIFCIHPLRINLGGKLRLVCFDKTGTLTEDGLDVMGVVPLKGQVLLPLVPEPCH LPLGPLLRALATCHALSQLHDTPVGDPMDLKMVESTGWVLEEGPAAGSAPGSQVLVVMRP PPGGPRQQEEPPVPVSVLCRFPFSSALQRMDVVVTWPGATQPEAYVKGSPELVASLCSPE TVPSDFSQVLQSYTAAGYRVVALAGKPLPIAPSLAAAQQLTRDTVERELSLLGLLVMRNL LKPQTAPVIQTLRKTGIRTVMVTGDNLQTAVTVARACGMVGAQEHLAVIHATHPEQGQPA ALEFLPTESSAVMNGAKATGYPTVPEPQSCHLALSGSTFAVLRKHFPKLLPKVLVQATVF ARMAPEQKTELVCELQRLQYCVGMCGDGANDCGALKAADVGISLSQAEASVVSPFTSSMA SIECVPTVIREGRCSLDTSFSVFKYMALYSLTQFISVLILYTINTNLGDLQFLAIDLVIT TTVAVLMSRTGPALTLVRARPPGALLSVPVLGSLLLQVALVAGIQLGGYFLVIAQPWFVP LNRTVPAPDNLPNYENTVVFSLSGFQYLILAAAVSKGAPFRQPLYTNVPFLVALALLGSV LVGLILVPGLLQGPLGLRNIVDSSFKLLLLGLVAFNFVGAFMLESVLDQCLPACLRWLRP KRASKKQFKRLQQELAEHPWPTLPVGSVR >ENSMUSP00000132183.1 pep:known chromosome:GRCm38:4:140986873:141007330:1 gene:ENSMUSG00000036622.15 transcript:ENSMUST00000127833.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a2 description:ATPase type 13A2 [Source:MGI Symbol;Acc:MGI:1922022] MSADSSLLMGSTPPSYGTLTTGTSIDPLSSSASSVRLSGYCGSPWRAIGYHAAVWMLAGI PWLLFRWKPLWGVRLRLKPCSLAHAETLVIEIKDKEGSSRQLFTVQVQTEAVVQGSLELP PQAQAEDGRSQAAVGVTPEGTWQDTSELHRQEEAKQVLRYYVLQGQRYVWMETQQAFCQV SLLDHGRTCDDVHCSSSGLSLQDQATRKTIYGPNVISIPVKSYLQLLADEALNPYYGFQA FSIALWLADHYYWYALCIFLISAISICLALYKTRKQSLTLRDMVKLSVRVQVCRPGGEEE WVDSSELVPGDCLVLPQEGGVMPCDAALVAGECVVNESSLTGESTPVLKTALPEGPKPYC PETHRRHTLFCGTLILQARAYVGPRVLAVVTRTGFCTAKGGLVSSILHPRPISFKFYKHS MKFVAALSVLALLGTVYSIIILYRNRVPVREIVIRALDLVTVVVPPALPAAMTVCTLYAQ SRLRTQGIFCIHPLRINLGGKLRLVCFDKTGTLTEDGLDVMGVVPLKGQVLLPLVPEPCH LPLGPLLRALATCHALSQLHDTPVGDPMDLKMVESTGWVLEEGPAAGSAPGSQVLVVMRP PPGGPRQQEEPPVPVSVLCRFPFSSALQRMDVVVTWPGATQPEAYVKGSPELVASLCSPE TVPSDFSQVLQSYTAAGYRVVALAGKPLPIAPSLAAAQQLTRDTVERELSLLGLLVMRNL LKPQTAPVIQTLRKTGIRTVMVTGDNLQTAVTVARACGMVGAQEHLAVIHATHPEQGQPA ALEFLPTESSAVMNGAKATGYPTVPEPQSCHLALSGSTFAVLRKHFPKLLPKVLVQATVF ARMAPEQKTELVCELQRLQYCVGMCGDGANDCGALKAADVGISLSQAEASVVSPFTSSMA SIECVPTVIREGRCSLDTSFSVFKYMALYSLTQFISVLILYTINTNLGDLQFLAIDLVIT TTVAVLMSRTGPALTLVRARPPGALLSVPVLGSLLLQVALVAGIQLGGYFLVIAQPWFVP LNRTVPAPDNLPNYENTVVFSLSGFQYLILAAAVSKGAPFRQPLYTNGAPRTEEHCGQLL QATAAGSGRLQLRRRLYAGERAGPVSPSLPAVAAA >ENSMUSP00000103530.3 pep:known chromosome:GRCm38:11:88970252:88991613:1 gene:ENSMUSG00000033983.13 transcript:ENSMUST00000107898.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coil description:coilin [Source:MGI Symbol;Acc:MGI:104842] MSKMAASETVRLRLQFDYPPPATPHCTVFWLLVDLNRCRVVTDLISLIRQRFGFSSGALL GLYLEGGLLPPAESARLVRDNDSLRVKLEDQGLPENLIVSNGGDSSFPCRKAKKRAFKLM EDEETDQGYKSLKKHCKRQEDSGQNEKASDLETKLLPDETGRTKSKKKSKVTGSPAEEDE EETKKKSSKRKEKCEPKKQTRASKSSKQQTPKEGASQNCSFPRASPRSLGKARRRSSTGL KGSSGHLSESESCPESVSDGHCSVMMQEVTFSEKLSAELLKDAPATKTAAANRQASKPGF TFSSGKGKASRTSSSSSDSSSESEDQFLVSKNMLEGASAGFLKPTGLFAGQGGSGPGLSL ETPGIMGWKSSDSNRGRQAPGPPSTPVPTSLGRGWGRGEDLLFGKGLRGRGVRGRGRGRG QAVSCVFNRSSESQKQRQLNDILTNSSVVIQNPVEPPKKDYSLLPLLAAAPQVGEKIAFK LLELTSDYSPDVSDYKEGKILSHDPETQQVDIEVLSSLPALKEPGKFDLVYHNENGTEVV EYAVTQEKRITVLWRELIDPRLIIDSSGSISST >ENSMUSP00000047588.5 pep:known chromosome:GRCm38:11:88973935:88991613:1 gene:ENSMUSG00000033983.13 transcript:ENSMUST00000036649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coil description:coilin [Source:MGI Symbol;Acc:MGI:104842] MSKMAASETVRLRLQFDYPPPATPHCTVFWLLVDLNRCRVVTDLISLIRQRFGFSSGALL GLYLEGGLLPPAESARLVRDNDSLRVKLEDQGLPENLIVSNGGDSSFPCRKAKKRAFKLM EDEETDQGYKSLKKHCKRQEDSGQNEKASDLETKLLPDETGRTKSKKKSKVTGSPAEEDE EETKKKSSKRKEKCEPKKQTRASKSSKQQTPKEGASQNCSFPRASPRSLGKARRRSSTGL KGSSGHLSESESCPESVSDGHCSVMMQEVTFSEKLSAELLKDAPATKTAAANRQASKPGF TFSSGKGKASRTSSSSSDSSSESEDQFLVSKNMLEGASAGFLKPTGLFAGQGGSGPGLSL ETPGIMGWKSSDSNRGRQAPGPPSTPVPTSLGRGWGRGEDLLFGKGLRGRGVRGRGRGRG QAVSCVFNRSSESQKQRQLNDILTNSSVVIQNPVEPPKKDYSLLPLLAAAPQVGEKIAFK LLELTSDYSPDVSDYKEGKILSHDPETQQVDIEVLSSLPALKEPGKFDLVYHNENGTEVV EYAVTQEKRITVLWRELIDPRLIIDSSGSISST >ENSMUSP00000005077.6 pep:known chromosome:GRCm38:5:135887919:135889563:1 gene:ENSMUSG00000004951.10 transcript:ENSMUST00000005077.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb1 description:heat shock protein 1 [Source:MGI Symbol;Acc:MGI:96240] MTERRVPFSLLRSPSWEPFRDWYPAHSRLFDQAFGVPRLPDEWSQWFSAAGWPGYVRPLP AATAEGPAAVTLAAPAFSRALNRQLSSGVSEIRQTADRWRVSLDVNHFAPEELTVKTKEG VVEITGKHEERQDEHGYISRCFTRKYTLPPGVDPTLVSSSLSPEGTLTVEAPLPKAVTQS AEITIPVTFEARAQIGGPEAGKSEQSGAK >ENSMUSP00000106785.1 pep:known chromosome:GRCm38:5:135888018:135889561:1 gene:ENSMUSG00000004951.10 transcript:ENSMUST00000111155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb1 description:heat shock protein 1 [Source:MGI Symbol;Acc:MGI:96240] MTERRVPFSLLRSPSWEPFRDWYPAHSRLFDQAFGVPRLPDEWSQWFSAAGWPGYVRPLP AATAEGPAAVTLAAPAFSRALNRQLSSGVSEIRQTADRWRVSLDVNHFAPEELTVKTKEG VVEITGKHEERQDEHGYISRCFTRKYT >ENSMUSP00000065658.2 pep:known chromosome:GRCm38:7:101578860:101581161:-1 gene:ENSMUSG00000030651.4 transcript:ENSMUST00000063920.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art2b description:ADP-ribosyltransferase 2b [Source:MGI Symbol;Acc:MGI:107545] MTSKIFKFFLTWWLTQQVTGLAVPFMLDMAPNAFDDQYESCVEDMEKKAPQLLQEDFNMN EELKLEWEKAEINWKEIKNSTSYPAGFHDFHGTALVAYTGNLAIDFNRAVRDFKKSPDNF HYKAFHYYLTRAVQLLNDQGCSLVYRGTKVMFEYTGKGSVRFGQFSSSSLTKRVALSSNF FSNHGTLFIIRTCLGVNIKEFSSFPREEEVLIPGYEVYHKVTAQNDNGYNEIFLDSPERK KSNFNCFYNGSAQTVNIDFSISGSRESCVSLFLVVLLGLLVQQLTLAEL >ENSMUSP00000026876.6 pep:known chromosome:GRCm38:1:18115191:18145902:-1 gene:ENSMUSG00000025774.14 transcript:ENSMUST00000026876.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crisp4 description:cysteine-rich secretory protein 4 [Source:MGI Symbol;Acc:MGI:1925331] MDVGMAVKFILLLFVAAFVPVVTIRPLKLDRALYNKLITESQTEPQEEIVNTHNAFRRKV SPPARNMLKVSWSSAAAENARILARYCDKSDSDSLERRLPNTFCGENMLMEHYPSSWSKV IEIWFNESKYFKYGEWPSTDDDIETDHYTQMVWASTYLVGCDVAACRRQKAATYLYVCHY CHEGNHQDTLNMPYKEGSPCDDCPNNCEDGLCTNPCIYYDEYNNCDTQVKLYGCSHPAVQ PFCKASCLCTTEIK >ENSMUSP00000111001.1 pep:known chromosome:GRCm38:1:18115600:18145902:-1 gene:ENSMUSG00000025774.14 transcript:ENSMUST00000115344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crisp4 description:cysteine-rich secretory protein 4 [Source:MGI Symbol;Acc:MGI:1925331] MDVDIQPLSRPEDRCPPSPGALCLSISSGHLGSRTPPSVFCTGMAVKFILLLFVAAFVPV VTIRPLKLDRALYNKLITESQTEPQEEIVNTHNAFRRKVSPPARNMLKVSWSSAAAENAR ILARYCDKSDSDSLERRLPNTFCGENMLMEHYPSSWSKVIEIWFNESKYFKYGEWPSTDD DIETDHYTQMVWASTYLVGCDVAACRRQKAATYLYVCHYCHEGNHQDTLNMPYKEGSPCD DCPNNCEDGLCTNPCIYYDEYNNCDTQVKLYGCSHPAVQPFCKASCLCTTEIK >ENSMUSP00000110997.1 pep:known chromosome:GRCm38:1:18115204:18137051:-1 gene:ENSMUSG00000025774.14 transcript:ENSMUST00000115340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crisp4 description:cysteine-rich secretory protein 4 [Source:MGI Symbol;Acc:MGI:1925331] MAVKFILLLFVAAFVPVVTIRPLKLDRALYNKLITESQTEPQEEIVNTHNAFRRKVSPPA RNMLKVSWSSAAAENARILARYCDKSDSDSLERRLPNTFCGENMLMEHYPSSWSKVIEIW FNESKYFKYGEWPSTDDDIETDHYTQMVWASTYLVGCDVAACRRQKAATYLYVCHYCHEG NHQDTLNMPYKEGSPCDDCPNNCEDGLCTNPCIYYDEYNNCDTQVKLYGCSHPAVQPFCK ASCLCTTEIK >ENSMUSP00000043828.4 pep:known chromosome:GRCm38:6:91156665:91174692:1 gene:ENSMUSG00000034245.10 transcript:ENSMUST00000041736.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac11 description:histone deacetylase 11 [Source:MGI Symbol;Acc:MGI:2385252] MPHATQLYQHVPEKRWPIVYSPRYNITFMGLEKLHPFDAGKWGKVINFLKEEKLLSDGML VEAREASEEDLLVVHTRRYLNELKWSFVVATITEIPPVIFLPNFLVQRKVLRPLRTQTGG TIMAGKLAVERGWAINVGGGFHHCSSDRGGGFCAYADITLAIKFLFERVEGISRATIIDL DAHQGNGHERDFMGDKRVYIMDVYNRHIYPGDRFAKEAIRRKVELEWGTEDEEYLEKVER NVRRSLQEHLPDVVVYNAGTDVLEGDRLGGLSISPAGIVKRDEVVFRVVRAHDIPILMVT SGGYQKRTARIIADSILNLHDLGLIGPEFPCVSAQNSGIPLLSCAVP >ENSMUSP00000121624.1 pep:known chromosome:GRCm38:6:91156826:91166522:1 gene:ENSMUSG00000034245.10 transcript:ENSMUST00000143621.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac11 description:histone deacetylase 11 [Source:MGI Symbol;Acc:MGI:2385252] MPHATQLYQHVPEKRWPIVYSPRYNITFMGLEKLHPFDAGKWGKVINFLKEEKLLSDGML VEAREASEEDLLVVHTRRYLNELKWSFVVATITEIPPVIFLPNFLVQRKVLRPLRTQTGG TIMAGKLAVERGWAINVGPTGTSICCEIFVVRMSLPNIYIFPMTGSSLPPRE >ENSMUSP00000120923.1 pep:known chromosome:GRCm38:6:91157397:91173516:1 gene:ENSMUSG00000034245.10 transcript:ENSMUST00000155007.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac11 description:histone deacetylase 11 [Source:MGI Symbol;Acc:MGI:2385252] XPHATQLYQHVPEKRWPIVYSPRYNITFMGLEKLHPFDAGKWGKVINFLKEEKLLSDGML VEAREASEEDLLVVHTRRYLNELKWSFVVATITEIPPVIFLPNFLVQRKVLRPLRTQTGG TIMVVASTTAPVTVVGASVPMQTSHWLSSSCLNAWKASPEPPSLISMPTRAMGMSETSWV TSEYTSWMFTTATSTLGIALLKRPSGGRWNWSGAQKMRNIWRRWRGMSGGPSRSTCLTWW CTTLARTCWRETASGGCPSAQRAL >ENSMUSP00000145014.1 pep:known chromosome:GRCm38:6:91165651:91169459:1 gene:ENSMUSG00000034245.10 transcript:ENSMUST00000204776.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hdac11 description:histone deacetylase 11 [Source:MGI Symbol;Acc:MGI:2385252] RTQTGGTIMAGKLAVERGWAINVVPV >ENSMUSP00000117616.2 pep:known chromosome:GRCm38:1:150392838:150449815:1 gene:ENSMUSG00000006005.17 transcript:ENSMUST00000124973.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpr description:translocated promoter region, nuclear basket protein [Source:MGI Symbol;Acc:MGI:1922066] MTSGGSASRSGHRGVPMTSRGFDGSRRGSLRRAGARETASEAADGAAPAAGLRASPCSLA SPSAAAAVAAIPADMAAVLQQVLERPELNKLPKSTQNKLEKFLAEQQSEIDCLKGRHEKF KVESEQQYFEIEKRLSQSQERLVTETRECQNLRLELEKLNNQVKVLTEKTKELETAQDRN LGIQSQFTRAKEELEAEKRDLIRTNERLSQEVEYLTEDVKRLNEKLKESNTTKGELQLKL DELQASDVAVKYREKRLEQEKELLHNQNSWLNTELKTKTDELLALGREKGNEILELKCNL ENKKEEVLRLEEQMNGLKTSNEHLQKHVEDLLTKLKEAKEQQASMEEKFHNELNAHIKLS NLYKSAADDSEAKSNELTRAVDELHKLLKEAGEANKTIQDHLLQVEESKDQMEKEMLEKI GKLEKELENANDLLSATKRKGAILSEEELAAMSPTAAAVAKIVKPGMKLTELYNAYVETQ DQLLLEKQENKRINKYLDEIVKEVEAKAPILKRQREEYERAQKAVASLSAKLEQAMKEIQ RLQEDTDKANKHSSVLERDNQRMEIQIKDLSQQIRVLLMELEEARGNHVIRDEEVSSADI SSSSEVISQHLVSYRNIEELQQQNQRLLFALRELGETREREEQETTSSKIAELQHKLENS LAELEQLRESRQHQMQLVDSIVRQRDMYRILLSQTTGMAIPLQASSLDDISLLSTPKRSS TSQTVSTPAPEPVIDSTEAIEAKAALKQLQEIFENYKKEKIDSEKLQNEQLEKLQEQVTD LRSQNTKISTQLDFASKRYEMLQDNVEGYRREITSLQERNQKLTATTQKQEQIINTMTQD LRGANEKLAVAEVRAENLKKEKEMLKLSEVRLSQQRESLLAEQRGQNLLLTNLQTIQGIL ERSETETKQRLNSQIEKLEHEISHLKKKLENEVEQRHTLTRNLDVQLLDTKRQLDTEINL HLNTKELLKNAQKDIATLKQHLNNMEAQLASQSTQRTGKGQPGDRDDVDDLKSQLRQAEE QVNDLKERLKTSTSNVEQYRAMVTSLEDSLNKEKQVTEEVHKNIEVRLKESAEFQTQLEK KLMEVEKEKQELQDDKRKAIESMEQQLSELKKTLSTVQNEVQEALQRASTALSNEQQARR DCQEQAKIAVEAQNKYERELMLHAADVEALQAAKEQVSKMTSIRQHLEETTQKAESQLLE CKASWEERERVLKDEVSKSVSRCEDLEKQNRLLHDQIEKLSDKVVTSMKDAVQAPLNVSL NEEGKSQEQILEILRFIRREKEIAETRFEVAQVESLRYRQRVELLERELQELQDSLNVER EKVQVTAKTMAQHEELMKKTETMNVVMETNKMLREEKERLEQNLQQMQAKVRKLELDILP LQEANAELSEKSGMLQAEKKLLEEDVKRWKARNQQLINQQKDPDTEEYRKLLSEKEIHTK RIQQLNEEVGRLKAEIARSNASLTNNQNLIQSLREDLSKARTEKEGIQKDLDAKIIDIQE KVKTITQVKKIGRRYKTQFEELKAQQNKAMETSTQSSGDHQEQHISVQEMQELKDTLSQS ETKTKSLEGQVENLQKTLSEKETEARSLQEQTVQLQSELSRLRQDLQDKTTEEQLRQQMN EKTWKTLALAKSKITHLSGVKDQLTKEIEELKQRNGALDQQKDELDVRMTALKSQYEGRI SRLERELREHQERHLEQRDEPQEPTNKAPEQQRQITLKTTPASGERGIASTSDPPTANIK PTPVVSTPSKVTAAAMAGNKSTPRASIRPMVTPATVTNPTTTPTATVMPTTQVESQEAMQ SEGPVEHVPVFGNASGSVRSTSPNVQPSISQPILTVQQQTQATAFVQPTQQSHPQIEPTN QELSPNIVEVVQSSPVERPSTSTAVFGTVSATPSSSLPKRTREEEEDSTMEAGDQVSEDT VEMPLPKKLKMVTPVGTEEEVMAEESTDGEAETQAYNQDSQDSIGEGVTQGDYTPMEDSE ETSQSLQIDLGPLQSDQQTTSSQDGQGKGDDVIVIDSDDEDDDEENDGEHEDYEEDEDDD DDEEDDTGMGDEGEDSNEGTGSADGNDGYEADDAEGGDGTDPGTETEESMGGAESHQRAA DSQNSGEGNTSAAESSFSQEVAREQQPTSASERQTPQAPQSPRRPPHPLPPRLTIHAPPQ ELGPPVQRIQMTRRQSVGRGLQLTPGIGGMQQHFFDDEDRTVPSTPTLVVPHRTDGFAEA IHSPQVAGVPRFRFGPPEDMPQTSSSHSDLGQLASQGGLGMYETPLFLAHEEESGGRSVP TTPLQVAAPVTVFTESTTSDASEHASQSVPMVTTSTGTLSTTNETAAGDDGDEVFVEAES EGISSEAGLEIDSQQEEEPVQASDESDLPSTSQDPPSSSSVDTSSSQPKPFRRVRLQTTL RQGVRGRQFNRQRGISHAMGGRGGINRGNIN >ENSMUSP00000112606.2 pep:known chromosome:GRCm38:1:150393250:150449935:1 gene:ENSMUSG00000006005.17 transcript:ENSMUST00000119161.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpr description:translocated promoter region, nuclear basket protein [Source:MGI Symbol;Acc:MGI:1922066] MAAVLQQVLERPELNKLPKSTQNKLEKFLAEQQSEIDCLKGRHEKFKVESEQQYFEIEKR LSQSQERLVTETRECQNLRLELEKLNNQVKVLTEKTKELETAQDRNLGIQSQFTRAKEEL EAEKRDLIRTNERLSQEVEYLTEDVKRLNEKLKESNTTKGELQLKLDELQASDVAVKYRE KRLEQEKELLHNQNSWLNTELKTKTDELLALGREKGNEILELKCNLENKKEEVLRLEEQM NGLKTSNEHLQKHVEDLLTKLKEAKEQQASMEEKFHNELNAHIKLSNLYKSAADDSEAKS NELTRAVDELHKLLKEAGEANKTIQDHLLQVEESKDQMEKEMLEKIGKLEKELENANDLL SATKRKGAILSEEELAAMSPTAAAVAKIVKPGMKLTELYNAYVETQDQLLLEKQENKRIN KYLDEIVKEVEAKAPILKRQREEYERAQKAVASLSAKLEQAMKEIQRLQEDTDKANKHSS VLERDNQRMEIQIKDLSQQIRVLLMELEEARGNHVIRDEEVSSADISSSSEVISQHLVSY RNIEELQQQNQRLLFALRELGETREREEQETTSSKIAELQHKLENSLAELEQLRESRQHQ MQLVDSIVRQRDMYRILLSQTTGMAIPLQASSLDDISLLSTPKRSSTSQTVSTPAPEPVI DSTEAIEAKAALKQLQEIFENYKKEKIDSEKLQNEQLEKLQEQVTDLRSQNTKISTQLDF ASKRYEMLQDNVEGYRREITSLQERNQKLTATTQKQEQIINTMTQDLRGANEKLAVAEVR AENLKKEKEMLKLSEVRLSQQRESLLAEQRGQNLLLTNLQTIQGILERSETETKQRLNSQ IEKLEHEISHLKKKLENEVEQRHTLTRNLDVQLLDTKRQLDTEINLHLNTKELLKNAQKD IATLKQHLNNMEAQLASQSTQRTGKGQPGDRDDVDDLKSQLRQAEEQVNDLKERLKTSTS NVEQYRAMVTSLEDSLNKEKQVTEEVHKNIEVRLKESAEFQTQLEKKLMEVEKEKQELQD DKRKAIESMEQQLSELKKTLSTVQNEVQEALQRASTALSNEQQARRDCQEQAKIAVEAQN KYERELMLHAADVEALQAAKEQVSKMTSIRQHLEETTQKAESQLLECKASWEERERVLKD EVSKSVSRCEDLEKQNRLLHDQIEKLSDKVVTSMKDAVQAPLNVSLNEEGKSQEQILEIL RFIRREKEIAETRFEVAQVESLRYRQRVELLERELQELQDSLNVEREKVQVTAKTMAQHE ELMKKTETMNVVMETNKMLREEKERLEQNLQQMQAKVRKLELDILPLQEANAELSEKSGM LQAEKKLLEEDVKRWKARNQQLINQQKDPDTEEYRKLLSEKEIHTKRIQQLNEEVGRLKA EIARSNASLTNNQNLIQSLREDLSKARTEKEGIQKDLDAKIIDIQEKVKTITQVKKIGRR YKTQFEELKAQQNKAMETSTQSSGDHQEQHISVQEMQELKDTLSQSETKTKSLEGQVENL QKTLSEKETEARSLQEQTVQLQSELSRLRQDLQDKTTEEQLRQQMNEKTWKTLALAKSKI THLSGVKDQLTKEIEELKQRNGALDQQKDELDVRMTALKSQYEGRISRLERELREHQERH LEQRDEPQEPTNKAPEQQRQITLKTTPASGERGIASTSDPPTANIKPTPVVSTPSKVTAA AMAGNKSTPRASIRPMVTPATVTNPTTTPTATVMPTTQVESQEAMQSEGPVEHVPVFGNA SGSVRSTSPNVQPSISQPILTVQQQTQATAFVQPTQQSHPQIEPTNQELSPNIVEVVQSS PVERPSTSTAVFGTVSATPSSSLPKRTREEEEDSTMEAGDQVSEDTVEMPLPKKLKMVTP VGTEEEVMAEESTDGEAETQAYNQDSQDSIGEGVTQGDYTPMEDSEETSQSLQIDLGPLQ SDQQTTSSQDGQGKGDDVIVIDSDDEDDDEENDGEHEDYEEDEDDDDDEEDDTGMGDEGE DSNEGTGSADGNDGYEADDAEGGDGTDPGTETEESMGGAESHQRAADSQNSGEGNTSAAE SSFSQEVAREQQPTSASERQTPQAPQSPRRPPHPLPPRLTIHAPPQELGPPVQRIQMTRR QSVGRGLQLTPGIGGMQQHFFDDEDRTVPSTPTLVVPHRTDGFAEAIHSPQVAGVPRFRF GPPEDMPQTSSSHSDLGQLASQGGLGMYETPLFLAHEEESGGRSVPTTPLQVAAPVTVFT ESTTSDASEHASQSVPMVTTSTGTLSTTNETAAGDDGDEVFVEAESEGISSEAGLEIDSQ QEEEPVQASDESDLPSTSQDPPSSSSVDTSSSQPKPFRRVRLQTTLRQGVRGRQFNRQRG ISHAMGGRGGINRGNIN >ENSMUSP00000116012.1 pep:known chromosome:GRCm38:1:150429364:150440315:1 gene:ENSMUSG00000006005.17 transcript:ENSMUST00000151563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpr description:translocated promoter region, nuclear basket protein [Source:MGI Symbol;Acc:MGI:1922066] XLIQSLREDLSKARTEKEGIQKDLDAKIIDIQEKVKTITQVKKIGRRYKTQFEELKAQQN KAMETSTQSSGDHQEQHISVQEMQELKDTLSQSETKTKSLEGQVENLQKTLSEKETEARS LQEQTVQLQSELSRLRQDLQDKTTEEQLRQQMNEKTWKTLALAKSKITHLSGVKDQLTKE IEELKQRNGALDQQKDELDVRMTALKSQYEGRISRLERELREHQERHLEQRDEPQEPTNK APEQQRQITLKTTPASGERGIASTSDPPTANIKPTPVVSTPSKVTAAAMAGNKSTPRASI RPMVTPATVTNPTTTPTATVMPTTQVESQEAMQSEGPVEHVPVFGNASGSVRSTSPNVQP SISQPILTVQQQTQATAFVQPTQQSHPQIEPTNQELSPNIVEVVQSSPVERPSTSTAVFG TVSATPSSSLPKRTREEEEDSTMEAGDQVSEDTVEMPLPKKLKMVTPVGTEEEVMAEEST DGEAETQAYNQDSQDSIGEGVTQGDYTPMEDSEETSQSLQIDLGPLQSDQQTTSSQDGQG KGDDVIVIDSDDEDDDEENDGEHEDYEEDEDDDDDEEDDTGMGDEGEDSNEGTGSADGND GYEADDAEVTG >ENSMUSP00000121991.1 pep:known chromosome:GRCm38:1:150445918:150449927:1 gene:ENSMUSG00000006005.17 transcript:ENSMUST00000124484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpr description:translocated promoter region, nuclear basket protein [Source:MGI Symbol;Acc:MGI:1922066] GGRSVPTTPLQVAAPEHASQSVPMVTTSTGTLSTTNETAAGDDGDEVFVEAESEGISSEA GLEIDSQQEEEPVQASDESDLPSTSQDPPSSSSVDTSSSQPKPFRRVRLQTTLRQGVRGR QFNRQRGISHAMGGRGGINRGNIN >ENSMUSP00000054638.2 pep:known chromosome:GRCm38:4:153957237:153961925:1 gene:ENSMUSG00000047613.10 transcript:ENSMUST00000058393.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A430005L14Rik description:RIKEN cDNA A430005L14 gene [Source:MGI Symbol;Acc:MGI:2140680] MRSRKLTGGVRSSARLRARSYSSASLASARDVTSSTSAKTTCLASSSHKATDRRTSKKFK YDKGHLVKAELQKLDPKSDISSLPKVAPVAPCENKFAEDSAEAAVSVPESREPPQGCSTP ASEEPSVKAENGLSTEPSSAAAAQEPDDSSAGQAEPVPRTEEVRASVLQMDSSIFLDDDS NQPMPVSRFFGNVELMQDLPPASSSYPSMSRREFRKMHFRAKDDEDDAEG >ENSMUSP00000121891.1 pep:known chromosome:GRCm38:4:153957266:153961511:1 gene:ENSMUSG00000047613.10 transcript:ENSMUST00000141493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A430005L14Rik description:RIKEN cDNA A430005L14 gene [Source:MGI Symbol;Acc:MGI:2140680] MRSRKASSSHKATDRRTSKKFKYDKGHLVKAELQKLDPKSDISSLPKVAPVAPCENKFAE DSAEAAVSVPESREPPQGCSTPASEEPSVKAENGLSTEPSSAAAAQEPDDSSAGQAEPVP RTEEVRASVLQMDSSIFLDDDSNQPMPVSRFFGNVELMQDLPPASS >ENSMUSP00000116796.1 pep:known chromosome:GRCm38:4:153957266:153961803:1 gene:ENSMUSG00000047613.10 transcript:ENSMUST00000147826.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:A430005L14Rik description:RIKEN cDNA A430005L14 gene [Source:MGI Symbol;Acc:MGI:2140680] MRSRKVECGPQHAFGPGVTLQPAWPLPGTLPAPHLLKQHVWLPHHTKLRTDELPKSSSMT KVTL >ENSMUSP00000101270.2 pep:known chromosome:GRCm38:4:153957237:153961918:1 gene:ENSMUSG00000047613.10 transcript:ENSMUST00000105645.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A430005L14Rik description:RIKEN cDNA A430005L14 gene [Source:MGI Symbol;Acc:MGI:2140680] MRSRKASSSHKATDRRTSKKFKYDKGHLVKAELQKLDPKSDISSLPKVAPVAPCENKFAE DSAEAAVSVPESREPPQGCSTPASEEPSVKAENGLSTEPSSAAAAQEPDDSSAGQAEPVP RTEEVRASVLQMDSSIFLDDDSNQPMPVSRFFGNVELMQDLPPASSSYPSMSRREFRKMH FRAKDDEDDAEG >ENSMUSP00000128143.1 pep:known chromosome:GRCm38:1:193346346:193370225:-1 gene:ENSMUSG00000016179.11 transcript:ENSMUST00000169907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk1g description:calcium/calmodulin-dependent protein kinase I gamma [Source:MGI Symbol;Acc:MGI:2388073] MGRKEEEDCSSWKKQTTNIRKTFIFMEVLGSGAFSEVFLVKQRVTGKLFALKCIKKSPAF RDSSLENEIAVLKRIKHENIVTLEDIYESTTHYYLVMQLVSGGELFDRILERGVYTEKDA SLVIQQVLSAVKYLHENGIVHRDLKPENLLYLTPEENSKIMITDFGLSKMEQNGVMSTAC GTPGYVAPEVLAQKPYSKAVDCWSIGVITYILLCGYPPFYEETESKLFEKIKEGYYEFES PFWDDISESAKDFICHLLEKDPNERYTCEKALRHPWIDGNTALHRDIYPSVSLQIQKNFA KSKWRELQVRGHGTSEGWWQHPLPGWADWGVSRYVIPGAHVVFRRHSWLFSASLSWHLP >ENSMUSP00000016323.4 pep:known chromosome:GRCm38:1:193346346:193370298:-1 gene:ENSMUSG00000016179.11 transcript:ENSMUST00000016323.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk1g description:calcium/calmodulin-dependent protein kinase I gamma [Source:MGI Symbol;Acc:MGI:2388073] MGRKEEEDCSSWKKQTTNIRKTFIFMEVLGSGAFSEVFLVKQRVTGKLFALKCIKKSPAF RDSSLENEIAVLKRIKHENIVTLEDIYESTTHYYLVMQLVSGGELFDRILERGVYTEKDA SLVIQQVLSAVKYLHENGIVHRDLKPENLLYLTPEENSKIMITDFGLSKMEQNGVMSTAC GTPGYVAPEVLAQKPYSKAVDCWSIGVITYILLCGYPPFYEETESKLFEKIKEGYYEFES PFWDDISESAKDFICHLLEKDPNERYTCEKALRHPWIDGNTALHRDIYPSVSLQIQKNFA KSKWRQAFNAAAVVHHMRKLHMNLHSPSVRQEVENRPPVSPAPEVSRPDSHDSSITEAPI LDPSTPLPALTRLPCSHSSRPSAPSGGRSLNCLVNGSLRISSSLVPMQQGPLATGPCGCC SSCLNIGNKGKSSYCSEPTLFRKANKKQNFKSEVMVPVKAGGSTHCRGGQTGVCLVM >ENSMUSP00000131451.1 pep:known chromosome:GRCm38:1:193348761:193359778:-1 gene:ENSMUSG00000016179.11 transcript:ENSMUST00000163202.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk1g description:calcium/calmodulin-dependent protein kinase I gamma [Source:MGI Symbol;Acc:MGI:2388073] XKKSPAFRDSSLENEIAVLKRIKHENIVTLEDIYESTTHYYLVMQLVSGGELFDRILERG VYTEKDASLVIQQVLSAVKYLHENGIVHRDLKPENLLYLTPEENSKIMITDFGLSKMEQN GVMSTACGTPGYVAHREGKSRKDLKKEAPEVLAQKPYSKAVDCWSIGVITYILLCGYPPF YEETESKLFEKIKEGYYEFESPFWDDISESAKDFICHLLEKDPNERYTCEKALRHPWIDG NTALHRDIYPSV >ENSMUSP00000102771.2 pep:known chromosome:GRCm38:7:97579897:97692778:1 gene:ENSMUSG00000035623.14 transcript:ENSMUST00000107153.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsf1 description:remodeling and spacing factor 1 [Source:MGI Symbol;Acc:MGI:2682305] MAAAAAAAAAAAAAAMAPPGCPGSCPNFAVVCSFLERYGPLLDLPELPFPELERVLQAPP PDIGNGEVPKELVELHLKLMRKIGKSVTADRWEKYLIKICQEFNSTWAWEMEKKGYLEMS VECKLALLKYLCECQFDDNLKFKNIINEEDADTMRLQPIGRDKDGLMYWYQLDQDHNVRM YIEEQDDQDGSSWKCIVRNRNELAETLALLKAQIDPVLLKNSSQQEDSSRESPTLEDEET KKDEETPKQEASKENEKTGADERSVDSGKCSAASALEEPAVKTEKADEKELAKLPVIVKL EKPLPETEEKKIIREESDSFKENVKPIKVEAKECRADPKDLKGSLERLGSQEPERADFGS NIKSQDIIEKSTEETEKLKNDQQAKIPLKKREIKLSDDFDSPVKGPLCKSATPTKEVLKD DIKQEEETCKRVSTISALSHEGKQLVNGEINDDKVIPNFKTEQMEIQLCDTKEDSASIPA KDGNACMEGNGTECLNSVITSTKTSELEKEAPLGKGIDSSVPDIESLSQKARLEEPGLLN MDMPLEPSEKATDLSLKPTLSATEPCSTKVEEKAPRSKSENHTPGIECLERVEKAKKTSI DKDIQKLSPIPEEVVRGALESEKSGPCEVAETPLPLSMAGAKEKQTSEKKDVDCSRGSSE SQSLENASPEILKEDSESSREEVAKLDNAQTSGMEDTSETKGSVQKNKFKYKLVSERNST ASENTEITSERKKEGIKLTIRISSRKKKPDCPPQTVDSESKEEKAGKEEEKASVGRTLRR SPRISRPTAKVAEIRVQKADKRRADGEDGVEGEPASLQTADKKDHLKKAEKDTNSKASKV KPKGKVRWTGSRTRGRWKYSSNDESEGSESDKSSAALEEEEGKEGEEAVLPDDDEPCKKC GLPNHPELILLCDSCDSGYHTACLRPPLMIIPDGEWFCPPCQHKLLCEKLEEQLQDLDVA LKKKERAERRKERLVYVGISIENIIPPQEPEFSEEQEEKKKDAKKSKANALERRSTRTRK CISYRFDEFDEAIDEAIEDDIKEADGGGVGRGKDISTITGHRGKDISTILDEERKENKRP QRAAAARRKKRRRLNDLDSDSNLDEEESEDEFKISDGSQDEFVVSDENPDESEEEPPSNE DSDTDFCSRRLRRHPSRPMRQSRRLRRKTPKKKYSDDDEEEEESEENSRDSESDFSDDFS DDFVETRRRRSRRNQKRQINYKEDSESDGSQKSLRRGKEIRRVHKRRLSSSESEESFMSK NSEDDELTKESKRSVRKRGRSTDDYSEADEDDEEEGKPSRKRLHRIETDEESCDNAHGDA DQPARDSQPSALPSEQESSKKPYRIESDEEEDFENKVGSPLDYSLVDLPSTNGQSPGKAI ENLMGKATEKSQTPKDNGTASASLAPNGTSGGQEVGAPEEDEDELLRVTDLVDYVCNSEQ L >ENSMUSP00000145905.1 pep:known chromosome:GRCm38:7:97579907:97639463:1 gene:ENSMUSG00000035623.14 transcript:ENSMUST00000127891.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsf1 description:remodeling and spacing factor 1 [Source:MGI Symbol;Acc:MGI:2682305] AAAAAAAAAAAAAAMAPPGCPGSCPNFAVVCSFLERYGPLLDLPELPFPELERVLQAPPP DIGNGEVPKELVELHLKLMRKIGKSVTADRWEKYLIKNSFQVCIYIMEA >ENSMUSP00000145663.1 pep:known chromosome:GRCm38:7:97662552:97686608:1 gene:ENSMUSG00000035623.14 transcript:ENSMUST00000123731.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsf1 description:remodeling and spacing factor 1 [Source:MGI Symbol;Acc:MGI:2682305] EKDTNSKASKAMLH >ENSMUSP00000145808.1 pep:known chromosome:GRCm38:7:97669847:97680778:1 gene:ENSMUSG00000035623.14 transcript:ENSMUST00000135270.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsf1 description:remodeling and spacing factor 1 [Source:MGI Symbol;Acc:MGI:2682305] EWFCPPCQHKLLCEKLEEQLQDLDVALKKKERAERRKERLVYVGISIENIIPPQRSEEGA IDPLELVVSHSGRSLSFLKSKKKRKKMQKNPKQMHLKGDQQEQGNVLATDLMNLMKQLMK L >ENSMUSP00000078806.4 pep:known chromosome:GRCm38:6:47835664:47867688:1 gene:ENSMUSG00000062519.13 transcript:ENSMUST00000079881.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp398 description:zinc finger protein 398 [Source:MGI Symbol;Acc:MGI:1917856] MAEATAAPTSEWDSECLTSLQPLPLPTPPAANEAHLQTAAISLWTVVAAVQAIERKVEVH SRRLLHLEGRTGTAEKKLASCEKTVAELGNQLDGKWAVLGTLLQEYGLLQRRLENLENLL RNRNFWILRLPPGIKGDIPKVPVAFDDVSIYFSTPEWEKLEEWQKELYKNIMKGNYESLI SMDYAMNQPDVLSQIQPEGEHSTDDQPRPEASEIPADPSEEPGLSTSDILSWIKQEEEPQ VGVSQESKESELYKGTYADEELVIKAEDLARASLCPEVPVAFSAPPPAAAKDAFSDIAFK SQQCTPMAPFARTATDLPEASEGQVTFTQLGSYPLPPPVGEQVFSCHHCGKSLSQDMLMT HQCGHAAEHPLTCAQCPKHFTPQADVGSTSQDHANETPPTCPHCARTFTHPSRLTYHLRV HNSTERPFLCPDCPKRFADQARLTSHRRAHATERPFRCPQCGRSFSLKISLLLHQRGHAQ ERPFSCPQCGIDFNGHSALIRHQMIHTGERPYPCTDCSKSFMRKEHLLNHRRLHTGERPF QCPHCGKSFIRKHHLMKHQRIHTGERPYPCAVCGRSFRYKQTLKDHLRTGHSGGCAGDRD PSVQPPDPPGPLLTALETSGLGVSTEGLESSQWYGEGSGGVVL >ENSMUSP00000110245.1 pep:known chromosome:GRCm38:6:47835695:47873537:1 gene:ENSMUSG00000062519.13 transcript:ENSMUST00000114598.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp398 description:zinc finger protein 398 [Source:MGI Symbol;Acc:MGI:1917856] MAEATAAPVPVAFDDVSIYFSTPEWEKLEEWQKELYKNIMKGNYESLISMDYAMNQPDVL SQIQPEGEHSTDDQPRPEASEIPADPSEEPGLSTSDILSWIKQEEEPQVGVSQESKESEL YKGTYADEELVIKAEDLARASLCPEVPVAFSAPPPAAAKDAFSDIAFKSQQCTPMAPFAR TATDLPEASEGQVTFTQLGSYPLPPPVGEQVFSCHHCGKSLSQDMLMTHQCGHAAEHPLT CAQCPKHFTPQADVGSTSQDHANETPPTCPHCARTFTHPSRLTYHLRVHNSTERPFLCPD CPKRFADQARLTSHRRAHATERPFRCPQCGRSFSLKISLLLHQRGHAQERPFSCPQCGID FNGHSALIRHQMIHTGERPYPCTDCSKSFMRKEHLLNHRRLHTGERPFQCPHCGKSFIRK HHLMKHQRIHTGERPYPCAVCGRSFRYKQTLKDHLRTGHSGGCAGDRDPSVQPPDPPGPL LTALETSGLGVSTEGLESSQWYGEGSGGVVL >ENSMUSP00000089036.6 pep:known chromosome:GRCm38:13:78188973:78199757:-1 gene:ENSMUSG00000069171.13 transcript:ENSMUST00000091458.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2f1 description:nuclear receptor subfamily 2, group F, member 1 [Source:MGI Symbol;Acc:MGI:1352451] MAMVVSSWRDPQDDVAGGNPGGPNPAAQAARGGGGGEQQQAGSGAPHTPQTPGQPGAPAT PGTAGDKGQGPPGSGQSQQHIECVVCGDKSSGKHYGQFTCEGCKSFFKRSVRRNLTYTCR ANRNCPIDQHHRNQCQYCRLKKCLKVGMRREAVQRGRMPPTQPNPGQYALTNGDPLNGHC YLSGYISLLLRAEPYPTSRYGSQCMQPNNIMGIENICELAARLLFSAVEWARNIPFFPDL QITDQVSLLRLTWSELFVLNAAQCSMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQ EQVEKLKALHVDSAEYSCLKAIVLFTSDACGLSDAAHIESLQEKSQCALEEYVRSQYPNQ PSRFGKLLLRLPSLRTVSSSVIEQLFFVRLVGKTPIETLIRDMLLSGSSFNWPYMSIQCS >ENSMUSP00000118161.1 pep:known chromosome:GRCm38:13:78189152:78197038:-1 gene:ENSMUSG00000069171.13 transcript:ENSMUST00000150498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2f1 description:nuclear receptor subfamily 2, group F, member 1 [Source:MGI Symbol;Acc:MGI:1352451] MPPTQPNPGQYALTNGDPLNGHCYLSGYISLLLRAEPYPTSRYGSQCMQPNNIMGIENIC ELAARLLFSAVEWARNIPFFPDLQITDQVSLLRLTWSELFVLNAAQCSMPLHVAPLLAAA GLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYSCLKAIVLFTSDACGLSDAAH IESLQEKSQCALEEYVRSQYPNQPSRFGKLLLRLPSLRTVSSSVIEQLFFVRLVGKTPIE TLIRDMLLSGSSFNWPYMSIQCS >ENSMUSP00000133704.1 pep:known chromosome:GRCm38:13:78189672:78197930:-1 gene:ENSMUSG00000069171.13 transcript:ENSMUST00000127137.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2f1 description:nuclear receptor subfamily 2, group F, member 1 [Source:MGI Symbol;Acc:MGI:1352451] MPPTQPNPGQYALTNGDPLNGHCYLSGYISLLLRAEPYPTSRYGSQCMQPNNIMGIENIC ELAARLLFSAVEWARNIPFFPDLQITDQVSLLRLTWSELFVLNAAQCSMPLHVAPLLAAA GLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYSCLKAIVLFTSDACGLSDAAH IESLQEKSQCALEEYVRSQYPNQPSRFGKLLLRLPSLRTVSSSVIEQLFFVRLVGKTPIE TLIRDMLLSGSSFNWPYMSIQCS >ENSMUSP00000122618.2 pep:known chromosome:GRCm38:13:78189733:78196373:-1 gene:ENSMUSG00000069171.13 transcript:ENSMUST00000125176.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2f1 description:nuclear receptor subfamily 2, group F, member 1 [Source:MGI Symbol;Acc:MGI:1352451] MFGYSVQRGRMPPTQPNPGQYALTNGDPLNGHCYLSGYISLLLRAEPYPTSRYGSQCMQP NNIMGIENICELAARLLFSAVEWARNIPFFPDLQITDQVSLLRLTWSELFVLNAAQCSMP LHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYSCLKAIVLFTS DACGLSDAAHIESLQEKSQCALEEYVRSQYPNQPSRFGKLLLRLPSLRTVSSSVIEQLFF VRLVGKTPIETLIRDMLLSGSSFNWPYMSIQCS >ENSMUSP00000048844.3 pep:known chromosome:GRCm38:11:62951193:62993095:1 gene:ENSMUSG00000042200.3 transcript:ENSMUST00000035854.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdrt4 description:CMT1A duplicated region transcript 4 [Source:MGI Symbol;Acc:MGI:1913588] MISRPESSLSGLESSQEVQKKTWNPRNYSARLTENIGLPLILLEKHNPWPAYVAYISPAV TRITEKGWARDLEYIYAAEKNGKPVKRSKHSAVLLKRRKPSKPSELMLKETLSETMLPTW ECSTIYVSPTFVPEPAQLQMDVREGPTSNYNKIIFSKRPAMRKLPFGLLQASKEMHTKD >ENSMUSP00000104163.3 pep:known chromosome:GRCm38:11:71007164:71019242:-1 gene:ENSMUSG00000018442.13 transcript:ENSMUST00000108523.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Derl2 description:Der1-like domain family, member 2 [Source:MGI Symbol;Acc:MGI:2151483] MAYQSLRLEYLQIPPVSRAYTTACVLTTAAVQLELITPFQLYFNPELIFKHFQIWRLITN FLFFGPVGFNFLFNMIFLYRYCRMLEEGSFRGRTADFVFMFLFGGFLMTLFGLFVSLVFL GQAFTIMLVYVWSRRNPYVRMNFFGLLNFQAPFLPWVLMGFSLLLGNSIIVDLLGIAVGH IYFFLEDIFPNQPGGIRILKTPSILRTIFDTPDEDPNYNPLPEERPGGFAWGWNKTDLD >ENSMUSP00000117052.1 pep:known chromosome:GRCm38:11:71007442:71019303:-1 gene:ENSMUSG00000018442.13 transcript:ENSMUST00000143850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Derl2 description:Der1-like domain family, member 2 [Source:MGI Symbol;Acc:MGI:2151483] MAYQSLRLEYLQIPPVSRAYTTACVLTTAAVQLELITPFQLYFNPELIFKHFQIWRLITN FLFFGPVGFNFLFNMIFLYRYCRMLEEGSFRGRTADFVFMFLFGGFLMTLFGLFVSLVFL GQAFTIMLVYVWSRRNPYVRMNFFGLLNFQAPFLPWVLMGFSLLLGNSIIVDLLGIAVGH IYFFLEDIFPNQPGGIRILKTPSILRTIFDTPDEDPNYNPLPEERPGGFAWGEGQRLGG >ENSMUSP00000127568.1 pep:known chromosome:GRCm38:11:71009933:71019841:-1 gene:ENSMUSG00000018442.13 transcript:ENSMUST00000171041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Derl2 description:Der1-like domain family, member 2 [Source:MGI Symbol;Acc:MGI:2151483] MIFLYRYCRMLEEGSFRGRTADFVFMFLFGGFLMTLFGLFVSLVFLGQAFTIMLVYVWSR RNPYVRMNFFGLLNFQAPFLPWVLMGFSLLLGNSIIVDLLGIAVGHIYFFLEDIFPNQPG GIRILKTPSILRTIFDTPDEDPNYNPLPEERPGGFAWGEGQRLGG >ENSMUSP00000136261.1 pep:known chromosome:GRCm38:11:71010351:71019269:-1 gene:ENSMUSG00000018442.13 transcript:ENSMUST00000018586.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Derl2 description:Der1-like domain family, member 2 [Source:MGI Symbol;Acc:MGI:2151483] MAYQSLRLEYLQIPPVSRAYTTACVLTTAAVQLELITPFQLYFNPELIFKHFQIWRLITN FLFFGPVGFNFLFNMIFLSLVCL >ENSMUSP00000136401.1 pep:known chromosome:GRCm38:11:71013122:71019275:-1 gene:ENSMUSG00000018442.13 transcript:ENSMUST00000132198.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Derl2 description:Der1-like domain family, member 2 [Source:MGI Symbol;Acc:MGI:2151483] MAYQSLRLEYLQIPPVSRAYTTACVLTTAAVVSSCGATSSFSSSWN >ENSMUSP00000135984.1 pep:known chromosome:GRCm38:11:71014566:71019212:-1 gene:ENSMUSG00000018442.13 transcript:ENSMUST00000131340.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Derl2 description:Der1-like domain family, member 2 [Source:MGI Symbol;Acc:MGI:2151483] EYLQIPPVSRAYTTACVLTTAAVVSSCGATSSFSRLAMTASSENGFGPRRSPVGLVPGVG GDIRS >ENSMUSP00000099782.1 pep:known chromosome:GRCm38:4:111875375:111902918:-1 gene:ENSMUSG00000028544.14 transcript:ENSMUST00000102721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a9 description:solute carrier family 5 (sodium/glucose cotransporter), member 9 [Source:MGI Symbol;Acc:MGI:2140201] MNTELVAMEPGVSRNGVRTETTTNPSLGLHTYDIVVVVIYFVFVLAVGIWSSIRASRGTV GGYFLAGRSMTWWPIGASLMSSNVGSGLFIGLAGTGAAGGLAVGGFEWNATFLLLALGWI FVPVYIAAGVVTMPQYLKKRFGGQRIQVYMSVLSLILYIFTKISTDIFSGALFIQMALGW NLYLSTVILLVVTAVYTIAGGLTAVIYTDALQTVIMVGGALVLMFLGFQEVGWYPGLQQL YRQAIPNTTVPNTTCHLPRPDAFHMLRDPVNGDIPWPGLIFGLTVLATWCWCTDQVIVQR SLAAKNLSHAKGGSVLGGYLKILPMFFIVMPGMISRALYPDEVACVDPDICQRVCGARVG CSNIAYPKLVMALMPVGLRGLMIAVIMAALMSSLTSIFNSSSTLFAIDVWQRFRRQASEQ ELMVVGRLFVVFLVVISILWIPIIQSSNSGQLFDYIQSITSYLAPPITALFLLAIFCKRV NEPGAFWGLMFGLVVGILRMILEFSYSAPACGEMDRRPAVLKDFHYLYFALLLCGLTAII IVVISFFTEPIPDDKLARLTWWTRNCAVSDLQKKTSVSVNNTEDDNSPGLAGRPVVEGPA GDEEEANTTQGPEQPGALHRSWGKWLWNWFCGLSGAPQQALSPAEKAVLEQKLTSIEEEP LWRRVCNINAIILLAINIFLWGYFA >ENSMUSP00000099780.1 pep:known chromosome:GRCm38:4:111877246:111902754:-1 gene:ENSMUSG00000028544.14 transcript:ENSMUST00000102719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a9 description:solute carrier family 5 (sodium/glucose cotransporter), member 9 [Source:MGI Symbol;Acc:MGI:2140201] MNTELVAMEPGVSRNGVRTETTTNPSLGLHTYDIVVVVIYFVFVLAVGIWSSIRASRGTV GGYFLAGRSMTWWPIGASLMSSNVGSGLFIGLAGTGAAGGLAVGGFEWNATFLLLALGWI FVPVYIAAGVVTMPQYLKKRFGGQRIQVYMSVLSLILYIFTKISTDIFSGALFIQMALGW NLYLSTVILLVVTAVYTIAGGLTAVIYTDALQTVIMVGGALVLMFLGFQEVGWYPGLQQL YRQAIPNTTVPNTTCHLPRPDAFHMLRDPVNGDIPWPGLIFGLTVLATWCWCTDQVIVQR SLAAKNLSHAKGGSVLGGYLKILPMFFIVMPGMISRALYPDEVACVDPDICQRVCGARVG CSNIAYPKLVMALMPVGLRGLMIAVIMAALMSSLTSIFNSSSTLFAIDVWQRFRRQASEQ ELMVVGRLFVVFLVVISILWIPIIQSSNSGQLFDYIQSITSYLAPPITALFLLAIFCKRV NEPGAFWGLMFGLVVGILRMILEFSYSAPACGEMDRRPAVLKDFHYLYFALLLCGLTAII IVVISFFTEPIPDDKLARLTWWTRNCAVSDLQKKTSVSVNNTEDDNSPGLAGRPVVEGPA GDEEEANTTQGPEQPGALHRSWGKWLWNWFCGLSGAPQQALSPAEKAVLEQKLTSIEEEP LWRRVCNINAIILLAINIFLWGYFA >ENSMUSP00000099781.1 pep:known chromosome:GRCm38:4:111876273:111898769:-1 gene:ENSMUSG00000028544.14 transcript:ENSMUST00000102720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a9 description:solute carrier family 5 (sodium/glucose cotransporter), member 9 [Source:MGI Symbol;Acc:MGI:2140201] MNTELVAMEPGVSRNGVRTETTTNPSLGLHTYDIVVVVIYFVFVLAVGIWSSIRASRGTV GGYFLAGRSMTWWPIGASLMSSNVGSGLFIGLAGTGAAGGLAVGGFEWNATFLLLALGWI FVPVYIAAGVVTMPQYLKKRFGGQRIQVYMSVLSLILYIFTKISTDIFSGALFIQMALGW NLYLSTVILLVVTAVYTIAGGLTAVIYTDALQTVIMVGGALVLMFLGFQEVGWYPGLQQL YRQAIPNTTVPNTTCHLPRPDAFHMLRDPVNGDIPWPGLIFGLTVLATWCWCTDQVIVQR SLAAKNLSHAKGGSVLGGYLKILPMFFIVMPGMISRALYPDEVACVDPDICQRVCGARVG CSNIAYPKLVMALMPVGLRGLMIAVIMAALMSSLTSIFNSSSTLFAIDVWQRFRRQASEQ ELMVVGRLFVVFLVVISILWIPIIQSSNSGQLFDYIQSITSYLAPPITALFLLAIFCKRV NEPGAFWGLMFGLVVGILRMILEFSYSAPACGEMDRRPAVLKDFHYLYFALLLCGLTAII IVVISFFTEPIPDDKLARLTWWTRNCAVSDLQKKTSVSVNNTEDDNSPGLAGRPVVEGPA GDEEEANTTQGPEQPGALHRSWGKWLWNWFCGLSGAPQQALSPAEKAVLEQKLTSIEEEP LWRRVCNINAIILLAINIFLWGYFA >ENSMUSP00000120546.1 pep:known chromosome:GRCm38:4:111890168:111896352:-1 gene:ENSMUSG00000028544.14 transcript:ENSMUST00000128340.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a9 description:solute carrier family 5 (sodium/glucose cotransporter), member 9 [Source:MGI Symbol;Acc:MGI:2140201] SSIRASRGTVGGYFLAGRSMTWWPATFLLLALGWIFVPVYIAAGVVTMPQYLKKRFGGQR IQVYMSVLSLILYIFTKISTDIFSGALFIQMALGWNLYLSTVILLVVTAVYTIAGGLTAV IYTDALQTVIMVGGALVLMFLGFQEVGWYPGLQQLYRQAIPNTTVPNTTCHLPRPDAFHM LRDPVNGDIPWPGLIFGLTVLATWCWCTDQVIVQRSLAAKNLSHAKGGSVLGGYLKILPM FFIVMPGMISRALYP >ENSMUSP00000028796.1 pep:known chromosome:GRCm38:2:119034790:119039769:1 gene:ENSMUSG00000027324.1 transcript:ENSMUST00000028796.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpusd2 description:RNA pseudouridylate synthase domain containing 2 [Source:MGI Symbol;Acc:MGI:1918066] MWRGVPGCLRDIVQWQVALWSHSFVRTWGSCGKAMTEALSAQAEAAGGLKALVQPNGDAG SNTSGEPLLERLEPAAVGKQVPESGDQAQGGEGQLPSNGEQTPAPVADSGKRKKRRGATG ERVVPPPKKRRTGVSFSDEHFAETTYYFEGGLRKVRPYYFDFQTYCKGRWVGRSLLHVFS TEFRSQPLSYYEAAVRAGRLHLNEEPVQDLSIVLKDNDFLRNTVHRHEPPVTAEPIHLLA ENNDVVVIDKPSSIPVHPCGRFRHNTVIFILGKEHQLKELHPLHRLDRLTSGVLMFAKTA AVSEKIHEQVRDRQLEKEYVCRVAGEFPDKEVICKEPILVVSYKVGVCRVDPRGKPCETV FQRLSYNGRSSVVQCRPLTGRTHQIRVHLQFLGHPILNDPIYNSTAWGPSRGQGGHIPKT DEELLRDLVAEHQAKESLSMLDLCESDLTPGLIDSTAPSSELAKDSLEGLATAAQKIDGI AEAAPQHLDTPEKAAKADVTQETDPLCAECRVLRQDPLPQDLVMFLHALRYKGPDFEYVS PIPAWARDDWQED >ENSMUSP00000035325.4 pep:known chromosome:GRCm38:2:140170649:140203689:1 gene:ENSMUSG00000027384.6 transcript:ENSMUST00000044825.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf5 description:NADH dehydrogenase (ubiquinone) complex I, assembly factor 5 [Source:MGI Symbol;Acc:MGI:1916737] MLRKVVLLRLCPLLGRPAVSASSGSRREVASGVPPSGSTSPRALNIFDRELKRKQKNWAA RQPDPMKFDYLKEEVGSRIADRVYDIARDFPLALDIGCGRGYIAQHLDKETVGKIFQTDI AEHALKNSLETDIPTVNILADEEFLPFQENTFDLVVSSLSLHWVNDLPRALEQIHYVLKP DGVFVGAMFGGDTLYELRCSLQLAETEREGGFSPHISPFTAVNDLGHLLGRAGFNTLTVD TDEIQVNYPGMFELMEDLKGMGESNCSWNRKALLHRDTMLAAAAVYREMYRNEDGSIPAT FQIYHMIGWKYHDSQARPAERGSATVSFGELAKLNDVMSHEKK >ENSMUSP00000026506.4 pep:known chromosome:GRCm38:7:97696634:97718879:1 gene:ENSMUSG00000025439.5 transcript:ENSMUST00000026506.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clns1a description:chloride channel, nucleotide-sensitive, 1A [Source:MGI Symbol;Acc:MGI:109638] MLSPAMSFLKSFPPPGSADGLRLQQPDTEAVLNGKGLGTGTLYIAESRLSWLDGSGLGFS LEYPTISLHAVSRDPNAYPQEHLYVMVNAKLGEESKEPLSDEDEEDNDDVEPISEFRFVP SDKSALEAMFTAMCECQALHPDPEDEDSDDYDGEEYDVEAHEQGQGDIPTFYTYEEGLSH LTAEGQATLERLEGMLSQSVSSQYNMAGVRTEDSVRNYEDGMEVETTPTVAGQFEDADVD H >ENSMUSP00000146970.1 pep:known chromosome:GRCm38:7:120917744:120945821:1 gene:ENSMUSG00000030880.14 transcript:ENSMUST00000207481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3e description:polymerase (RNA) III (DNA directed) polypeptide E [Source:MGI Symbol;Acc:MGI:1349452] MANEEDDPVIQEIDVYLAKSLAEKLYLFQVELEMAIDTLNPNYCRSKGEQIALNVDGACA DETSTYSSKLMDKQTFCSSQTTSNTARYAAALYRQGELHLTPLHGILQLRPSFSYLDKAD AKHREREAANEAGDSSQDEAEEDVKQITVRFSRPESEQARQRRVQSYEFLQKKHAEEPWV HLHYYGMRDSRSEHERQYLLCQGSSGVENTELVKSPSEYLMMLMPPSPEEEKDKPVAPSN VLSMAQLRTLPLADQIKVLMKNVKVMPFANLMSLLGPSVDSVAVLRGIQKVAMLVQGNWV VKSDILYPKDSSSPHSGMPAEVLCRGRDFVMWKFTQSRWVVRKEVAAVTKLCAEDVKDFL EHMAVVRINKGWEFLLPYDLEFIKKHPDVVQRQHMLWSGIQAKLEKVYNLVKETMPKKPD GQSAPVGLVSGEQRVQTAKTKAQQNHAFLERELQRRKEQMRAATVLPSVQIKEEPLSEEE ADGAELEAEEEEPMDTAPSTCLSTKLANGLPAGRAVGGDSLNGHPVPGCASNPVACELKA FVEATFQRQFVLTLSELKRLFNLHLAGLPPGHILFSGVSDRMLQDTVLAAGCKQILVPFP PQTAASPDEQKVFALWESGDMSDQHRQVLLEIFSKNYRVRRNLIQSRLTQECGEELSKQE VDKVLKDCCVSCGGMWYLKGTVQS >ENSMUSP00000102092.3 pep:known chromosome:GRCm38:7:120917771:120946249:1 gene:ENSMUSG00000030880.14 transcript:ENSMUST00000106483.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3e description:polymerase (RNA) III (DNA directed) polypeptide E [Source:MGI Symbol;Acc:MGI:1349452] MANEEDDPVIQEIDVYLAKSLAEKLYLFQYPVRPASMTYDDIPHLSAKIKPKQQKVELEM AIDTLNPNYCRSKGEQIALNVDGACADETSTYSSKLMDKQTFCSSQTTSNTARYAAALYR QGELHLTPLHGILQLRPSFSYLDKADAKHREREAANEAGDSSQDEAEEDVKQITVRFSRP ESEQARQRRVQSYEFLQKKHAEEPWVHLHYYGMRDSRSEHERQYLLCQGSSGVENTELVK SPSEYLMMLMPPSPEEEKDKPVAPSNVLSMAQLRTLPLADQIKVLMKNVKVMPFANLMSL LGPSVDSVAVLRGIQKVAMLVQGNWVVKSDILYPKDSSSPHSGMPAEVLCRGRDFVMWKF TQSRWVVRKEVAAVTKLCAEDVKDFLEHMAVVRINKGWEFLLPYDLEFIKKHPDVVQRQH MLWSGIQAKLEKVYNLVKETMPKKPDGQSAPVGLVSGEQRVQTAKTKAQQNHAFLERELQ RRKEQMRAATVLPSVQIKEEPLSEEEADGAELEAEEEEPMDTAPSTCLSTKLANGLPAGR AVGGDSLNGHPVPGCASNPVACELKAFVEATFQRQFVLTLSELKRLFNLHLAGLPPGHIL FSGVSDRMLQDTVLAAGCKQILVPFPPQTAASPDEQKVFALWESGDMSDQHRQVLLEIFS KNYRVRRNLIQSRLTQECGEELSKQEVDKVLKDCCVSCGGMWYLKGTVQS >ENSMUSP00000033173.7 pep:known chromosome:GRCm38:7:120917784:120947432:1 gene:ENSMUSG00000030880.14 transcript:ENSMUST00000033173.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3e description:polymerase (RNA) III (DNA directed) polypeptide E [Source:MGI Symbol;Acc:MGI:1349452] MANEEDDPVIQEIDVYLAKSLAEKLYLFQYPVRPASMTYDDIPHLSAKIKPKQQKVELEM AIDTLNPNYCRSKGEQIALNVDGACADETSTYSSKLMDKQTFCSSQTTSNTARYAAALYR QGELHLTPLHGILQLRPSFSYLDKADAKHREREAANEAGDSSQDEAEEDVKQITVRFSRP ESEQARQRRVQSYEFLQKKHAEEPWVHLHYYGMRDSRSEHERQYLLCQGSSGVENTELVK SPSEYLMMLMPPSPEEEKDKPVAPSNVLSMAQLRTLPLADQIKVLMKNVKVMPFANLMSL LGPSVDSVAVLRGIQKVAMLVQGNWVVKSDILYPKDSSSPHSGMPAEVLCRGRDFVMWKF TQSRWVVRKEVAAVTKLCAEDVKDFLEHMAVVRINKGWEFLLPYDLEFIKKHPDVVQRQH MLWSGIQAKLEKVYNLVKETMPKKPDGQSAPVGLVSGEQRVQTAKTKAQQNHAFLERELQ RRKEQMRAATVLPSVQIKEEPLSEEEADGAELEAEEEEPMDTAPSTCLSTKLANGLPAGR AVGGDSLNGHPVPGCASNPVACELKAFVEATFQRQFVLTLSELKRLFNLHLAGLPPGHIL FSGVSDRMLQDTVLAAGCKQILVPFPPQTAASPDEQKVFALWESGDMSDQHRQVLLEIFS KNYRVRRNLIQSRLTQECGEELSKQEVDKVLKDCCVSCGGMWYLKGTVQS >ENSMUSP00000009018.3 pep:known chromosome:GRCm38:8:114418086:114425846:1 gene:ENSMUSG00000008874.3 transcript:ENSMUST00000009018.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec3a description:C-type lectin domain family 3, member a [Source:MGI Symbol;Acc:MGI:2685642] MAKNGLVLCILVVSLLLDQTDGYPSRMKARKHSKRRVKAKDDDLKSQVEKLWREVNALKE MQALQTVCLRGTKVHKKCYLASEGLKHYHEANEDCISKGGTLVVPRNSDEINALRDYGKR SLPGVNDFWLGINDMVTEGKFLDVHGFAVSFLNWDRAQPSGGKRENCVLFSQSAQGKWSD EACRSSKRYICEFIIP >ENSMUSP00000079208.3 pep:known chromosome:GRCm38:5:98329304:98802019:1 gene:ENSMUSG00000057816.7 transcript:ENSMUST00000080333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700007G11Rik description:RIKEN cDNA 1700007G11 gene [Source:MGI Symbol;Acc:MGI:1916571] MDQDEGLTAVDNIVTQFNTYEDFLDSQITTVDLYYLEDESLARQLVELGYRGTGEIVKRE DFEARKAAINIARQAERTQKKTLTSAGKELHDDFLKALAMREEDNRAGKLSTVIFIRDRN PHGQEVSGYIDYAHRLKSEDFEVYFNGKRRLLPRTTDMSFYNWDSHIAVCNSSPNYQVIA DNPEGLLFKYKRDRKILNVDPKAHPGDNSTRIPIQTDLYAHVVLFDHVSRRKT >ENSMUSP00000142864.1 pep:known chromosome:GRCm38:5:98329370:98802047:1 gene:ENSMUSG00000057816.7 transcript:ENSMUST00000196339.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700007G11Rik description:RIKEN cDNA 1700007G11 gene [Source:MGI Symbol;Acc:MGI:1916571] XLTAVDNIVTQFNTYEDFLDSQITTVDLYYLEDESLARQLVELGYRGTGEIVKREDFEAR KAAINIARQAERTQKKTLTSAGKELHDDFLKALAMREEDNRAGKLSKKISVN >ENSMUSP00000021314.7 pep:known chromosome:GRCm38:11:103028346:103068912:1 gene:ENSMUSG00000020936.8 transcript:ENSMUST00000021314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmt1 description:N-myristoyltransferase 1 [Source:MGI Symbol;Acc:MGI:102579] MADESETAVKLPAPSLPLMMEGNGNGHEHCSDCENEEDNSHNRSGLSPANDTGAKKKKKK QKKKKEKGSDMESTQDQPVKMTSLPAERIQEIQKAIELFSVGQGPAKTMEEASKRSYQFW DTQPVPKLGEVVNTHGPVEPDKDNIRQEPYTLPQGFTWDALDLGDRGVLKELYTLLNENY VEDDDNMFRFDYSPEFLLWALRPPGWLPQWHCGVRVVSSRKLVGFISAIPANIHIYDTEK KMVEINFLCVHKKLRSKRVAPVLIREITRRVHLEGIFQAVYTAGVVLPKPVGTCRYWHRS LNPRKLIEVKFSHLSRNMTMQRTMKLYRLPETPKTAGLRPMEKKDIPVVHQLLSRYLKQF HLTPVMNQEEVEHWFYPQENIIDTFVVENANGEVTDFLSFYTLPSTIMNHPTHKSLKAAY SFYNVHTQTPLLDLMSDALVLAKMKGFDVFNALDLMENKTFLEKLKFGIGDGNLQYYLYN WKCPSMGAEKVGLVLQ >ENSMUSP00000116929.1 pep:known chromosome:GRCm38:9:22156846:22158358:1 gene:ENSMUSG00000010607.8 transcript:ENSMUST00000123680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigyl description:phosphatidylinositol glycan anchor biosynthesis, class Y-like [Source:MGI Symbol;Acc:MGI:1913518] MIRSLPTMTVLIPLVSLAGLLYSASVEEGFPEGCTSASSLCFYSLLLPVTVPVYVFFHLW TWMGLKLFRHN >ENSMUSP00000116967.1 pep:known chromosome:GRCm38:9:22156946:22158325:1 gene:ENSMUSG00000010607.8 transcript:ENSMUST00000148088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigyl description:phosphatidylinositol glycan anchor biosynthesis, class Y-like [Source:MGI Symbol;Acc:MGI:1913518] MIRSLPTMTVLIPLVSLAGLLYSASVEEGFPEGCTSASSLCFYSLLLPVTVPVYVFFHLW TWMGLKLFRHN >ENSMUSP00000081141.6 pep:known chromosome:GRCm38:4:149149991:149166707:-1 gene:ENSMUSG00000028961.15 transcript:ENSMUST00000084124.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgd description:phosphogluconate dehydrogenase [Source:MGI Symbol;Acc:MGI:97553] MAQADIALIGLAVMGQNLILNMNDHGFVVCAFNRTVSKVDDFLANEAKGTKVVGAQSLKD MVSKLKKPRRVILLVKAGQAVDDFIEKLVPLLDTGDIIIDGGNSEYRDTTRRCRDLKAKG ILFVGSGVSGGEEGARYGPSLMPGGNKEAWPHIKAIFQAIAAKVGTGEPCCDWVGDEGAG HFVKMVHNGIEYGDMQLICEAYHLMKDVLGMRHEEMAQAFEEWNKTELDSFLIEITANIL KYRDTDGKELLPKIRDSAGQKGTGKWTAISALEYGMPVTLIGEAVFARCLSSLKEERVQA SQKLKGPKVVQLEGSKKSFLEDIRKALYASKIISYAQGFMLLRQAATEFGWTLNYGGIAL MWRGGCIIRSVFLGKIKDAFERNPELQNLLLDDFFKSAVDNCQDSWRRVISTGVQAGIPM PCFTTALSFYDGYRHEMLPANLIQAQRDYFGAHTYELLTKPGEFIHTNWTGHGGSVSSSS YNA >ENSMUSP00000137203.1 pep:known chromosome:GRCm38:8:12395295:12400126:1 gene:ENSMUSG00000096014.1 transcript:ENSMUST00000180353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox1 description:SRY (sex determining region Y)-box 1 [Source:MGI Symbol;Acc:MGI:98357] MYSMMMETDLHSPGGAQAPTNLSGPAGAGGGGGGGGGGGGGGGTKANQDRVKRPMNAFMV WSRGQRRKMAQENPKMHNSEISKRLGAEWKVMSEAEKRPFIDEAKRLRALHMKEHPDYKY RPRRKTKTLLKKDKYSLAGGLLAAGAGGGGAAVAMGVGVGVGAAAVGQRLESPGGAAGGG YAHVNGWANGAYPGSVAAAAAAAAMMQEAQLAYGQHPGAGGAHPHAHPAHPHPHHPHAHP HNPQPMHRYDMGALQYSPISNSQGYMSASPSGYGGIPYGAAAAAAAAAGGAHQNSAVAAA AAAAAASSGALGALGSLVKSEPSGSPPAPAHSRAPCPGDLREMISMYLPAGEGGDPAAAA AAAAQSRLHSLPQHYQGAGAGVNGTVPLTHI >ENSMUSP00000021313.2 pep:known chromosome:GRCm38:11:102994047:103017168:-1 gene:ENSMUSG00000020935.8 transcript:ENSMUST00000021313.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcakd description:dephospho-CoA kinase domain containing [Source:MGI Symbol;Acc:MGI:1915337] MFLVGLTGGIASGKSSVIQVFQQLGCAVIDVDVIARHVVQPGYPAHRRIVEAFGTEVLLE NGDIDRKVLGDLIFNQPDRRQLLNSITHPEIRKEMMKETFKYFLRGYRYVILDIPLLFET KKLLKYMKHTVVVYCDRDTQLARLMKRNNLNREDAEARINAQLPLKDKARMANHVLDNSG EWSLTRRQAILLHAKLERSMEYLPLRLGFLTGLAGIASLLYLLTRYLLPSP >ENSMUSP00000118827.1 pep:known chromosome:GRCm38:11:102999644:103028304:-1 gene:ENSMUSG00000020935.8 transcript:ENSMUST00000155490.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcakd description:dephospho-CoA kinase domain containing [Source:MGI Symbol;Acc:MGI:1915337] MFLVGLTGGIASGKSSVIQVFQQLGCAVIDVDVIARHVVQPGYPAHRRIVEAFGTEVLLE NGDIDRKVLGDLIFNQPDRRQLLNSITHPEIRKEMMKETFKYFLR >ENSMUSP00000087394.5 pep:known chromosome:GRCm38:3:153973436:154271722:1 gene:ENSMUSG00000028360.9 transcript:ENSMUST00000089948.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc44a5 description:solute carrier family 44, member 5 [Source:MGI Symbol;Acc:MGI:3035141] MARKRKPPSSQGDPRRYDPDFQGPTAKRTCTDVLCCLIFLLFILGYVLLGLLAWAHGDPR KMAYPTDSQGHFCGQKGTPNENKTVLFYFNIFRCTSPSMMLRLQCSTTQICVSRCPERFL TYLDMQFLNKEDKNYWEYYRQFCKAKAKPVETLRDLLISGDCPLAVYPSRPFLQRCIPDL SALNGTWTPGSRMKFEDGSGQTRTMLEFREAANGISDLINARTIGLKLLEDYATSWKWIL IGLTVAMALSWTFLILLRFTAGFLFWFFIFGVLGIIGYGIWYCFLEYSSIQQRPQSTFWM YGFGIQRRVNMFFHLKETWFSMMIILSAIEIIIIIVLIFLRTRIQVAIILLQEGSKAISY LPSALIYPVLTFILLSICISYWAVTAVFLATSGVPIFKVMVPAGQCIYEDETCDPEIFPY TNIPKDCPGASCNFAFYGGRSMYHNYILTFQVYNLFAFLWLINFVIALGQCALAGAFASY YWAMKKPDDIPPYPLFTAFGRAVRYHTGSLAFGSLILASVQMFKVIVEYLDRRLKKAQNS AAQFLHCCLQCCFWCLEKMVKFLNRNAYIMIALYGKNFCESTRDAFYLLMRNILKVTVTD EVTYFVLLLGKVLVSGIVGVLAFLLFTERLQIIVDGPTTLNYYWVPFLTLVFGSYMIAHG FFSVYSMCVETIFICFLEDLERNEGSPSRPYFVTPALMNILLEQGKIKKQ >ENSMUSP00000053643.6 pep:known chromosome:GRCm38:6:47877204:47908485:1 gene:ENSMUSG00000025821.9 transcript:ENSMUST00000061890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp282 description:zinc finger protein 282 [Source:MGI Symbol;Acc:MGI:2141413] MQFVSTRPQPQQLGIQGLGLDSGSWSWAQALPPEEVCHQEPALRAEMAEGMPPMQAQEWD MDARRPMPFQFPPFPDRAPVFPDRMMREPQLPTAEISLWTVVAAIQAVERKVDAQASQLL NLEGRTGTAEKKLADCEKTAVEFGNHMESKWAVLGTLLQEYGLLQRRLENLENLLRNRNF WVLRLPPGSKGEAPKVPVTFVDIAVYFSEDEWKNLDEWQKELYSNLVKENYKTLMSLDTD GPVSKPDPPVQAEPREEPCVWEQHHPEEREIPVTADTGTETLVPAQDISSQVKREEALCV RGQRGLEDRAIPTESITDSPISAQDLLSRIKQEEQQCVWDQQDLAERDIPTDPNSESLIS AHDILSWIKQEEQPYPWGPRDSMEGELGLDSGPSDSLLLVKNPPPSSAQPQTQPHQQSLP ALAVPENPGGPGSRSLLEDGFPALPGERSTGGEAQPTGEGSAGGGGGGGSGGGGGTGAGS GNSTGAGAGSGCGSCCPGGLRRSLLAHGARSKPYSCLECGKTFGVRKSLIIHHRSHTKER PYECAECEKSFNCHSGLIRHQMTHRGERPYKCSECEKTYSRKEHLQNHQRLHTGERPFQC ALCGKSFIRKQNLLKHQRIHTGERPYTCGECGKSFRYKESLKDHLRVHNGPGLGAPRPLQ VPPERD >ENSMUSP00000135070.1 pep:known chromosome:GRCm38:6:66715902:66716930:-1 gene:ENSMUSG00000093764.1 transcript:ENSMUST00000177072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r36 description:vomeronasal 1 receptor 36 [Source:MGI Symbol;Acc:MGI:2159443] MFSLNNILNFQTGLGAIANIFLLFFYTMIILCHRSKPMDMISFQLTFIHIMMFLTGGHAW LKDFLGSLNIGNDFICKATAYINRVMRGLSICITCLLSVFQAVTISPNTSLLAKFKHKLK KYMIYSFFYFWSLNLSFSINHIIYTGAYINGSETNQLKVTKSCSLFPMNYIIRGLILTMT TLRDVFLVGVMLTMSTYMVIILFRHQRQCKHLHSINHLRASPEKRATQTILLLVVFFVVM YWVDFIISSSSVLLWMYDSVILTVQKFVMNAYPTITPLVQISSEKRIIIILKSMQKLWHQ IFKKV >ENSMUSP00000123291.1 pep:known chromosome:GRCm38:11:71019611:71025178:1 gene:ENSMUSG00000040599.13 transcript:ENSMUST00000133413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mis12 description:MIS12 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914389] MSVDPMAYEAQF >ENSMUSP00000127782.1 pep:known chromosome:GRCm38:11:71019611:71026066:1 gene:ENSMUSG00000040599.13 transcript:ENSMUST00000164220.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mis12 description:MIS12 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914389] MSVDPMAYEAQFFGFTPQTCLLRIYVAFQDHLFEVMQAVEQVILKKLEDIPNCEITPVQT RKCTEKFLCFMKGRFDNLFGKMEQLILQSILCIPPNILLPEDKCQETNPFSEEKLELLQQ EIKELQEKYKVELCTEQALLAELEEQKTVKAKLRETLTFFDELENIGRYQGTSNFRESLA SLVQSCRKLQSIRDNVEKESRRLETQ >ENSMUSP00000039500.5 pep:known chromosome:GRCm38:11:71019611:71027371:1 gene:ENSMUSG00000040599.13 transcript:ENSMUST00000048807.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mis12 description:MIS12 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914389] MSVDPMAYEAQFFGFTPQTCLLRIYVAFQDHLFEVMQAVEQVILKKLEDIPNCEITPVQT RKCTEKFLCFMKGRFDNLFGKMEQLILQSILCIPPNILLPEDKCQETNPFSEEKLELLQQ EIKELQEKYKVELCTEQALLAELEEQKTVKAKLRETLTFFDELENIGRYQGTSNFRESLA SLVQSCRKLQSIRDNVEKESRRLETQ >ENSMUSP00000116762.1 pep:known chromosome:GRCm38:11:71019859:71025167:1 gene:ENSMUSG00000040599.13 transcript:ENSMUST00000155236.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mis12 description:MIS12 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914389] MSVDPMAY >ENSMUSP00000115256.1 pep:known chromosome:GRCm38:11:71019862:71025158:1 gene:ENSMUSG00000040599.13 transcript:ENSMUST00000143762.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mis12 description:MIS12 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914389] MSVDP >ENSMUSP00000121057.1 pep:known chromosome:GRCm38:11:71019891:71025195:1 gene:ENSMUSG00000040599.13 transcript:ENSMUST00000136137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mis12 description:MIS12 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914389] MSVDPMAYEAQFFGFTP >ENSMUSP00000076691.1 pep:known chromosome:GRCm38:6:66731392:66732300:1 gene:ENSMUSG00000057612.1 transcript:ENSMUST00000077482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r37 description:vomeronasal 1 receptor 37 [Source:MGI Symbol;Acc:MGI:2159442] MFSLNNILNFQTGLGAIANIFLLFFYTMIILCHRSKPMDMISFQLTFIHIMMFLTGGHAW LKDFLGSLNIGNDFICKATAYINRVMRGLSICITCLLSVFQAVTISPNTSLLAKFKHKLK KYMIYSFFYFWSFNLSFSINRIIYTGAYTNVSETNQLKVTKSCSLFPMNYIIRGLILTMT TLRDVFLVGVMLTMSTYMVIILFRHQRQCKHLHSINHLRASPEKRATQTILLLVVFFVVM YWVDFIISSSSVLLWMYDSVILTVQKFVMNVYPTITPLVQISSDKRIIIILKSMQKLWHQ IF >ENSMUSP00000041260.4 pep:known chromosome:GRCm38:4:45799022:45804604:1 gene:ENSMUSG00000035561.5 transcript:ENSMUST00000044384.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1b1 description:aldehyde dehydrogenase 1 family, member B1 [Source:MGI Symbol;Acc:MGI:1919785] MLTARLLLPRLLCLQGRTTSYSTAAALPNPIPNPEICYNKLFINNEWHDAVSKKTFPTVN PTTGEVIGHVAEGDRADVDLAVKAAREAFRLGSPWRRMDASERGRLLNRLADLVERDRVY LASLETLDNGKPFQESYVLDLDEVIKVYRYFAGWADKWHGKTIPMDGEHFCFTRHEPVGV CGQIIPWNFPLVMQGWKLAPALATGNTVVMKVAEQTPLSALYLASLIKEAGFPPGVVNII TGYGPTAGAAIAQHMDVDKVAFTGSTEVGHLIQKAAGESNLKRVTLELGGKSPSIVLADA DMEHAVDQCHEALFFNMGQCCCAGSRTFVEESIYREFLERTVEKAKQRKVGNPFELDTQQ GPQVDKEQFERILGYIRLGQKEGAKLLCGGERLGERGFFIKPTVFGDVQDGMRIAKEEIF GPVQPLFKFKKIEEVIQRANNTRYGLAAAVFTRDLDKAIYFTQALQAGTVWVNTYNIVTC HTPFGGFKESGNGRELGEDGLRAYTEVKTVTIKVPEKNS >ENSMUSP00000134082.1 pep:known chromosome:GRCm38:4:45799121:45802858:1 gene:ENSMUSG00000035561.5 transcript:ENSMUST00000172750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh1b1 description:aldehyde dehydrogenase 1 family, member B1 [Source:MGI Symbol;Acc:MGI:1919785] MLTARLLLPRLLCLQGRTTSYSTAAALPNPIPNPEICYNKLFINNEWHDAVSKKTFPTVN PTTGEVIGHVAEGDRADVDLAVKAAREAFRLGSPWRRMDASERGRLLNRLADLVERDRVY LASLETLDNGKP >ENSMUSP00000033210.5 pep:known chromosome:GRCm38:7:120102353:120120986:1 gene:ENSMUSG00000030917.13 transcript:ENSMUST00000033210.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem159 description:transmembrane protein 159 [Source:MGI Symbol;Acc:MGI:1925752] MAKEEPPSVSRDLQELQRKLGLLLESFLNNSKVVAFMKSPVGRFLDRHPFVTLTVLMFVT MSAIPVGFFLLIVVLTSLGALMGAILLEGLVISVCGLSLLCVLCGLGFLSLALSGIAMMS YVVVSCLMSYWFSPSRPLTQQNANVDCQLAMKFTESERLIF >ENSMUSP00000114085.1 pep:known chromosome:GRCm38:7:120102490:120120992:1 gene:ENSMUSG00000030917.13 transcript:ENSMUST00000118737.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem159 description:transmembrane protein 159 [Source:MGI Symbol;Acc:MGI:1925752] MAKEEPPSVSRDLQELQRKLGLLLESFLNNSKVVAFMKSPVGRFLDRHPFVTLTVLMFVT MSAIPVGFFLLIVVLTSLGALMGAILLEGLVISVCGLSLLCVLCGLGFLSLALSGIAMMS YVVVSCLMSYWFSPSRPLTQQNANVDCQLAMKFTESERLIF >ENSMUSP00000143631.1 pep:known chromosome:GRCm38:5:135908409:135934554:-1 gene:ENSMUSG00000051391.9 transcript:ENSMUST00000198270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhag description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, gamma polypeptide [Source:MGI Symbol;Acc:MGI:108109] MVDREQLVQKARLAEQAERYDDMAAAMKNVTELNEPLSNEERNLLSVAYKNVVGARRSSW RVISSIEQKTSADGNEKKIEMVRAYREKIEKELEAVCQDVLSLLDNYLIKNCSETQYESK VFYLKMKGDYYRYLAEVATGEKRATVVESSEKAYSEAHEISKEHMQPTHPIRLGLALNYS VFYYEIQNAPEQACHLAKTAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDQQDD DGGEGNN >ENSMUSP00000051223.5 pep:known chromosome:GRCm38:5:135908409:135934599:-1 gene:ENSMUSG00000051391.9 transcript:ENSMUST00000055808.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ywhag description:tyrosine 3-monooxygenase/tryptophan 5-monooxygenase activation protein, gamma polypeptide [Source:MGI Symbol;Acc:MGI:108109] MVDREQLVQKARLAEQAERYDDMAAAMKNVTELNEPLSNEERNLLSVAYKNVVGARRSSW RVISSIEQKTSADGNEKKIEMVRAYREKIEKELEAVCQDVLSLLDNYLIKNCSETQYESK VFYLKMKGDYYRYLAEVATGEKRATVVESSEKAYSEAHEISKEHMQPTHPIRLGLALNYS VFYYEIQNAPEQACHLAKTAFDDAIAELDTLNEDSYKDSTLIMQLLRDNLTLWTSDQQDD DGGEGNN >ENSMUSP00000050999.7 pep:known chromosome:GRCm38:11:119355557:119381079:1 gene:ENSMUSG00000039908.14 transcript:ENSMUST00000050880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a11 description:solute carrier family 26, member 11 [Source:MGI Symbol;Acc:MGI:2444589] MAPDTCCCSATALRRRLPVLAWVPDYSLQWLRLDFIAGLSVGLTVIPQALAYAEVAGLPP QYGLYSAFMGCFVYFFLGTSRDVTLGPTAIMSLLVSFYTFREPAYAVLLAFLSGCIQLAM GLLHLGFLLDFISCPVIKGFTSAASITIGFGQIKNLLGLQKIPRQFFLQVYHTFLHIGET RVGDAVLGLASMLLLLVLKCMREHMPPPHPEMPLAVKFSRGLVWTVTTARNALVVSSAAL IAYAFEVTGSHPFVLTGKIAEGLPPVRIPPFSVTRDNKTISFSEMVQDMGAGLAVVPLMG LLESIAVAKSFASQNNYRIDANQELLAIGLTNVLGSLVSSYPVTGSFGRTAVNAQTGVCT PAGGLVTGALVLLSLNYLTSLFSYIPKSALAAVIITAVTPLFDVKIFRSLWRVQRLDLLP LCVTFLLSFWEIQYGILAGSLVSLLILLHSVARPKTQVSEGQIFVLQPASGLYFPAIDAL REAITNRALEASPPRSAVLECTHISSVDYTVIVGLGELLEDFQKKGVALAFVGLQVPVLR TLLAADLKGFRYFTTLEEAEKFLQQEPGTEPNSIHEDAVPEQRSSLLKSPSGP >ENSMUSP00000097878.1 pep:known chromosome:GRCm38:11:107547956:107672287:1 gene:ENSMUSG00000020721.16 transcript:ENSMUST00000100305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helz description:helicase with zinc finger domain [Source:MGI Symbol;Acc:MGI:1925705] MEDRRAERSCEQACASLQRQDYDMALQHCTDALLSLGQYSMADFTGPCPVEVERIKIESL LYRIASFLQLKNYGQADEDCRHVLGEGLAKGERAFRAVLCCMQLKGKLQLVSSILAKSLS GESLNGMVTKDLTRLKTLLTETETATSNVLSGCHVEDLDEGSCNGWHFRPPPRGITSSEE YTLCKRFLEQGICRYGAQCTSAHSQEELAEWQKRYASRLIKLKQQSENKQLSGSYMETLI EKWMSSLSPEKVLSECIEGVQVEHSPDLSVTVNTKKSHQTWTFALTCKPARMLYRVALLY DAHRPHFSIIAISAGDSTTQVSQEVPENCQEWIGGKMAQNGLDHYVYKVGIAFNTEIFGT FRQTIVFDFGLEPVLMQRVMIDAASTEDLEYLMHAKRQLVTTAKRWDSSSKTIVDFEPNE TTDLEKSLLIRYQIPLSADQLFTQSVLDKSLTKTNYQARLHDLLYIEEIAQYKEVSRFNL KVQLQILASFMLTGVSGGAKYAQNGQLFGRFKLTETLSEDTLAGRLVMTRVNAVYLLPVP KEKLVQSQGTKEKVYEATIEEKTKDYVFLRISRECCEELSLRPDCDIQVELQFQLNRLPL CEMHYALDRIKDNAVLFPDISMTPTIPWSPNRQWDEQLDPRLNAKQKEAVLAITTPLSIQ LPPVLIIGPYGTGKTFTLAQAAKHILQQQETRILICTHSNSAADLYIKDYLHPYVEAGNP QARPLRVYFRNRWVKTVHPVVHQYCLISSTQSTFQMPQKEDILKHRVVVVTLSTSQYLCQ LDLEPGFFTHVLLDEAAQAMECETIMPLALATKNTRIVLAGDHMQLSPFVYSEFARERNL HVSLLDRLYEHYPAEFPCRILLCENYRSHEAIINYTSELFYEGKLMASGKQPAHKDFYPL TFFTARGEDVQEKNSTAFYNNAEVFEVVERVEELRRKWPVAWGKLDDGSIGVVTPYADQV FRIRAELRKKRLSDVNVERVLNVQGKQFRVLFLSTVRTRHTCKHKQTPIKKKEQLLEDST EDLDYGFLSNYKLLNTAITRAQSLVAVVGDPVALCSIGRCRKFWERFIALCHENHSLHGI TFEQIKAQLEALELKKTYVLNPLAPEFIPRALRLQHSGNSSRQQQSPPKVKSLYHPQSDH FQSDGIVQPNPSVLIGNPIRAYTPPPPLGPHPNLGKSPSPVQRIDPHTGTSILYVPAVYG GNVVMSVPLPVPWTGYQGRFAVDPRIITHQAAMAYNMNLLHTHGRGSPIPYGLGHHPPVS LGQPQSQHAEKDQQEQNRNGKTDTNNPGPEINKIRTPEKKPTEPKQVDLESNPQNRSPES RPGVVYSNTKFPRKDHLNPRHINNLPLPAPHAQYAIPSRHFHPLPQLPRPPFPASQPHTL LNQQQNNLPEQPNQMAPQPNQVAPQPNQMTPQPNQVAPQPNQVVQQQSQAPPQAPQPAPQ LSPAFQAGPTNAFFNNAVAHRPQSPAAEAVGPEQPPPPGLPDGHSPLRAITQPGPILASP LNNFVDESSPGLPIEEALDGVHGSVALETLRQQQARLQQWSEHHAYLSQGGIPYSHHHHP HLPHLPHTPIGLHQPPVRAEWKVAGRADDETETTFSRYSSRPGPALITTCVWSASL >ENSMUSP00000117498.1 pep:known chromosome:GRCm38:11:107627321:107672287:1 gene:ENSMUSG00000020721.16 transcript:ENSMUST00000133862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helz description:helicase with zinc finger domain [Source:MGI Symbol;Acc:MGI:1925705] ILFICTIYFSRILICTHSNSAADLYIKDYLHPYVEAGNPQARPLRVYFRNRWVKTVHPVV HQYCLISSTQSTFQMPQKEDILKHRVVVVTLSTSQYLCQLDLEPGFFTHVLLDEAAQAME CETIMPLALATKNTRIVLAGDHMQLSPFVYSEFARERNLHVSLLDRLYEHYPAEFPCRIL LCENYRSHEAIINYTSELFYEGKLMASGKQPAHKDFYPLTFFTARGEDVQEKNSTAFYNN AEVFEVVERVEELRRKWPVAWGKLDDGSIGVVTPYADQVFRIRAELRKKRLSDVNVERVL NVQGKQFRVLFLSTVRTRHTCKHKQTPIKKKEQLLEDSTEDLDYGFLSNYKLLNTAITRA QSLVAVVGDPVALCSIGRCRKFWERFIALCHENHSLHGITFEQIKAQLEALELKKTYVLN PLAPEFIPRALRLQHSGNSSRQQQSPPKVKSLYHPQSDHFQSDGIVQPNPSVLIGNPIRA YTPPPPLGPHPNLGKSPSPVQRIDPHTGTSILYVPAVYGGNVVMSVPLPVPWTGYQGRFA VDPRIITHQAAMAYNMNLLHTHGRGSPIPYGLGHHPPVSLGQPQSQHAEKDQQEQNRNGK TDTNNPGPEINKIRTPEKKPTEPKQVDLESNPQNRSPESRPGVVYSNTKFPRKDHLNPRH INNLPLPAPHAQYAIPSRHFHPLPQLPRPPFPASQPHTLLNQQQNNLPEQPNQMAPQPNQ VAPQPNQMTPQPNQVAPQPNQVVQQQSQAPPQAPQPAPQLSPAFQAGPTNAFFNNAVAHR PQSPAAEAVGPEQPPPPGLPDGHSPLRAITQPGPILASPLNNFVDESSPGLPIEEALDGV HGSVALETLRQQQARLQQWSEHHAYLSQGGIPYSHHHHPHLPHLPHTPIGLHQPPVRAEW KVAGRADDETETTFSRYSSRPGPALITTCVWSASL >ENSMUSP00000102357.1 pep:known chromosome:GRCm38:11:107547968:107693826:1 gene:ENSMUSG00000020721.16 transcript:ENSMUST00000106746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helz description:helicase with zinc finger domain [Source:MGI Symbol;Acc:MGI:1925705] MEDRRAERSCEQACASLQRQDYDMALQHCTDALLSLGQYSMADFTGPCPVEVERIKIESL LYRIASFLQLKNYGQADEDCRHVLGEGLAKGERAFRAVLCCMQLKGKLQLVSSILAKSLS GESLNGMVTKDLTRLKTLLTETETATSNVLSGCHVEDLDEGSCNGWHFRPPPRGITSSEE YTLCKRFLEQGICRYGAQCTSAHSQEELAEWQKRYASRLIKLKQQSENKQLSGSYMETLI EKWMSSLSPEKVLSECIEGVQVEHSPDLSVTVNTKKSHQTWTFALTCKPARMLYRVALLY DAHRPHFSIIAISAGDSTTQVSQEVPENCQEWIGGKMAQNGLDHYVYKVGIAFNTEIFGT FRQTIVFDFGLEPVLMQRVMIDAASTEDLEYLMHAKRQLVTTAKRWDSSSKTIVDFEPNE TTDLEKSLLIRYQIPLSADQLFTQSVLDKSLTKTNYQARLHDLLYIEEIAQYKEVSRFNL KVQLQILASFMLTGVSGGAKYAQNGQLFGRFKLTETLSEDTLAGRLVMTRVNAVYLLPVP KEKLVQSQGTKEKVYEATIEEKTKDYVFLRISRECCEELSLRPDCDIQVELQFQLNRLPL CEMHYALDRIKDNAVLFPDISMTPTIPWSPNRQWDEQLDPRLNAKQKEAVLAITTPLSIQ LPPVLIIGPYGTGKTFTLAQAAKHILQQQETRILICTHSNSAADLYIKDYLHPYVEAGNP QARPLRVYFRNRWVKTVHPVVHQYCLISSTQSTFQMPQKEDILKHRVVVVTLSTSQYLCQ LDLEPGFFTHVLLDEAAQAMECETIMPLALATKNTRIVLAGDHMQLSPFVYSEFARERNL HVSLLDRLYEHYPAEFPCRILLCENYRSHEAIINYTSELFYEGKLMASGKQPAHKDFYPL TFFTARGEDVQEKNSTAFYNNAEVFEVVERVEELRRKWPVAWGKLDDGSIGVVTPYADQV FRIRAELRKKRLSDVNVERVLNVQGKQFRVLFLSTVRTRHTCKHKQTPIKKKEQLLEDST EDLDYGFLSNYKLLNTAITRAQSLVAVVGDPVALCSIGRCRKFWERFIALCHENHSLHGI TFEQIKAQLEALELKKTYVLNPLAPEFIPRALRLQHSGNSSRQQQSPPKVKSLYHPQSDH FQSDGIVQPNPSVLIGNPIRAYTPPPPLGPHPNLGKSPSPVQRIDPHTGTSILYVPAVYG GNVVMSVPLPVPWTGYQGRFAVDPRIITHQAAMAYNMNLLHTHGRGSPIPYGLGHHPPVS LGQPQSQHAEKDQQEQNRNGKTDTNNPGPEINKIRTPEKKPTEPKQVDLESNPQNRSPES RPGVVYSNTKFPRKDHLNPRHINNLPLPAPHAQYAIPSRHFHPLPQLPRPPFPASQPHTL LNQQQNNLPEQPNQMAPQPNQVAPQPNQMTPQPNQVAPQPNQVVQQQSQAPPQAPQPAPQ LSPAFQAGPTNAFFNNAVAHRPQSPAAEAVGPEQPPPPGLPDGHSPLRAITQPGPILASP LNNFVDESSPGLPIEEALDGVHGSVALETLRQQQARLQQWSEHHAYLSQGGIPYSHHHHP HLPHLPHTPIGLHQPPVRAEWKVAGRADDETETTFSRFQDLLRELSHRDQGDTGELAEMP PPQSRLLQYRQVQPRSPPAVPSPPSSTDHSSQFANFNDSSRDIEVANSPAFPQRLPPQLF GSPFSLPSEHLAPPPLKYLAPEGAWNFANLQQNHLIGPGFPYGLPPLPPRPPQNPFIHIQ NHQHAAGQEPFHPLSSRTVSASSLPSLEEYEPRGPGRPLYQRRISSSSAQPCVEEASAPQ DSLAQGKESQGHSNPPAFNFPAPESWANTTSSAPYQNIPCNGSSRTSQPRELIAPPKTVK PPEDQLKPESGEVSSSFNYSMLQHLGQFPPLMPNKQIAESANCSSQQSPAGSKPAMSYAS ALRAPPKPRPPPEQAKKGSDPLSLLQELSLGSSPGSNGFYSYFK >ENSMUSP00000074533.1 pep:known chromosome:GRCm38:11:107547960:107686943:1 gene:ENSMUSG00000020721.16 transcript:ENSMUST00000075012.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helz description:helicase with zinc finger domain [Source:MGI Symbol;Acc:MGI:1925705] MEDRRAERSCEQACASLQRQDYDMALQHCTDALLSLGQYSMADFTGPCPVEVERIKIESL LYRIASFLQLKNYGQADEDCRHVLGEGLAKGERAFRAVLCCMQLKGKLQLVSSILAKSLS GESLNGMVTKDLTRLKTLLTETETATSNVLSGCHVEDLDEGSCNGWHFRPPPRGITSSEE YTLCKRFLEQGICRYGAQCTSAHSQEELAEWQKRYASRLIKLKQQSENKQLSGSYMETLI EKWMSSLSPEKVLSECIEGVQVEHSPDLSVTVNTKKSHQTWTFALTCKPARMLYRVALLY DAHRPHFSIIAISAGDSTTQVSQEVPENCQEWIGGKMAQNGLDHYVYKVGIAFNTEIFGT FRQTIVFDFGLEPVLMQRVMIDAASTEDLEYLMHAKRQLVTTAKRWDSSSKTIVDFEPNE TTDLEKSLLIRYQIPLSADQLFTQSVLDKSLTKTNYQARLHDLLYIEEIAQYKEVSRFNL KVQLQILASFMLTGVSGGAKYAQNGQLFGRFKLTETLSEDTLAGRLVMTRVNAVYLLPVP KEKLVQSQGTKEKVYEATIEEKTKDYVFLRISRECCEELSLRPDCDIQVELQFQLNRLPL CEMHYALDRIKDNAVLFPDISMTPTIPWSPNRQWDEQLDPRLNAKQKEAVLAITTPLSIQ LPPVLIIGPYGTGKTFTLAQAAKHILQQQETSRILICTHSNSAADLYIKDYLHPYVEAGN PQARPLRVYFRNRWVKTVHPVVHQYCLISSTQSTFQMPQKEDILKHRVVVVTLSTSQYLC QLDLEPGFFTHVLLDEAAQAMECETIMPLALATKNTRIVLAGDHMQLSPFVYSEFARERN LHVSLLDRLYEHYPAEFPCRILLCENYRSHEAIINYTSELFYEGKLMASGKQPAHKDFYP LTFFTARGEDVQEKNSTAFYNNAEVFEVVERVEELRRKWPVAWGKLDDGSIGVVTPYADQ VFRIRAELRKKRLSDVNVERVLNVQGKQFRVLFLSTVRTRHTCKHKQTPIKKKEQLLEDS TEDLDYGFLSNYKLLNTAITRAQSLVAVVGDPVALCSIGRCRKFWERFIALCHENHSLHG ITFEQIKAQLEALELKKTYVLNPLAPEFIPRALRLQHSGNSSRQQQSPPKVKSLYHPQSD HFQSDGIVQPNPSVLIGNPIRAYTPPPPLGPHPNLGKSPSPVQRIDPHTGTSILYVPAVY GGNVVMSVPLPVPWTGYQGRFAVDPRIITHQAAMAYNMNLLHTHGRGSPIPYGLGHHPPV SLGQPQSQHAEKDQQEQNRNGKTDTNNPGPEINKIRTPEKKPTEPKQVDLESNPQNRSPE SRPGVVYSNTKFPRKDHLNPRHINNLPLPAPHAQYAIPSRHFHPLPQLPRPPFPASQPHT LLNQQQNNLPEQPNQMAPQPNQVAPQPNQMTPQPNQVAPQPNQVVQQQSQAPPQAPQPAP QLSPAFQAGPTNAFFNNAVAHRPQSPAAEAVGPEQPPPPGLPDGHSPLRAITQPGPILAS PLNNFVDESSPGLPIEEALDGVHGSVALETLRQQQARLQQWSEHHAYLSQGGIPYSHHHH PHLPHLPHTPIGLHQPPVRAEWKVAGRADDETETTFSRFQDLLRELSHRDQGDTGELAEM PPPQSRLLQYRQVQPRSPPAVPSPPSSTDHSSQFANFNDSSRDIEVANSPAFPQRLPPQL FGSPFSLPSEHLAPPPLKYLAPEGAWNFANLQQNHLIGPGFPYGLPPLPPRPPQNPFIHI QNHQHAAGQEPFHPLSSRTVSASSLPSLEEYEPRGPGRPLYQRRISSSSAQPCVEEASAP QDSLAQGKESQGHSNPPAFNFPAPESWANTTSSAPYQNIPCNGSSRTSQPRELIAPPKTV KPPEDQLKPESGEVSSSFNYSMLQHLGQFPPLMPNKQIAESANCSSQQSPAGSKPAMSYA SALRAPPKPRPPPEQAKKGSDPLSLLQELSLGSSPGSNGFYSYFK >ENSMUSP00000139117.1 pep:known chromosome:GRCm38:8:69132669:69184225:-1 gene:ENSMUSG00000036306.11 transcript:ENSMUST00000185176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzts1 description:leucine zipper, putative tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2684762] MGSVSSLISGHSFHSKHCRASQYKLRKSSHLKKLNRYSDGLLRFGFSQDSGRGKSSSKMG KSEDFFYIKVSQKARGSHRPDYTALSSGDIGGQTGVDFDPATPPKLMPFSNQLEMSSDKG AVRPTAFKPVLPRSGAILHSSPESTSHQLHPMPPDKPKEQELKPGLCSGALSDSGRNSMS SLPTHSTTSSYQLDPLVTPVGPTSRFGGSAHNITQGIILQDSNMMSLKALSFSDGGSKLA HPGKADKGASCVRSPLSTDECTIQELEQKLLQRETALQKLQRSFDEKEFASGQTFEERPR RTRDELECLEPKSKLKPPSQKSQRTQQVLQLQVLQLQQEKRQLRQELESLMKEQDLLETK LRSYEREKTNFAPALEETQWEVCQKSGEISLLKQQLKESQLEVNTKASEILSLKAQLKDT RGKLDGMELKTQDLESALRTKGLELEVCENELQRKKNEAELLREKVNLLEQELMELRAQA ALHPAPLGPPGVGLTFSEDIPALQRELDRLRAELKEERQGHDQMSSGFQHERLVWKEEKE KVIQYQRQLQQSYLAMYQRNQRLEKALQQLARGDGPGEPFEIDLEGADIPYEDIIATEI >ENSMUSP00000039397.3 pep:known chromosome:GRCm38:8:69135503:69140953:-1 gene:ENSMUSG00000036306.11 transcript:ENSMUST00000037049.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzts1 description:leucine zipper, putative tumor suppressor 1 [Source:MGI Symbol;Acc:MGI:2684762] MGSVSSLISGHSFHSKHCRASQYKLRKSSHLKKLNRYSDGLLRFGFSQDSGRGKSSSKMG KSEDFFYIKVSQKARGSHRPDYTALSSGDIGGQTGVDFDPATPPKLMPFSNQLEMSSDKG AVRPTAFKPVLPRSGAILHSSPESTSHQLHPMPPDKPKEQELKPGLCSGALSDSGRNSMS SLPTHSTTSSYQLDPLVTPVGPTSRFGGSAHNITQGIILQDSNMMSLKALSFSDGGSKLA HPGKADKGASCVRSPLSTDECTIQELEQKLLQRETALQKLQRSFDEKEFASGQTFEERPR RTRDELECLEPKSKLKPPSQKSQRTQQVLQLQVLQLQQEKRQLRQELESLMKEQDLLETK LRSYEREKTNFAPALEETQWEVCQKSGEISLLKQQLKESQLEVNTKASEILSLKAQLKDT RGKLDGMELKTQDLESALRTKGLELEVCENELQRKKNEAELLREKVNLLEQELMELRAQA ALHPAPLGPPGVGLTFSEDIPALQRELDRLRAELKEERQGHDQMSSGFQHERLVWKEEKE KVIQYQRQLQQSYLAMYQRNQRLEKALQQLARGDGPGEPFEIDLEGADIPYEDIIATEI >ENSMUSP00000093433.3 pep:known chromosome:GRCm38:6:85511121:85513589:-1 gene:ENSMUSG00000071341.4 transcript:ENSMUST00000095759.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr4 description:early growth response 4 [Source:MGI Symbol;Acc:MGI:99252] MLHLSDFSGSDALLSKPTEGCAHASPELPRLPARDAPSAAAYPGGDFLSWALSSCGAGGD LTDSCFLEGPAPTPPSGLSYSGSFFIQAVPEHPHDPEALFNLMSGILGLAPFPGPEAAAS RSPLDVPFPAGPDALLPDLYSPDLSSAAFPEAFWEAAPSAGAPSQCLFEPQLSPPDVKPG LRAPPASPALDAAASAFKGPYAPWELLSAGVPGNCGSQGSFQTTPEARFSAVGTKVEDLL SISCPAELPGPAARLYQAGAYDTFSLAPGDLGEGTEGLPALLTPPGGEGGSGGEGGEFLA APPAQLSPLGLRGAATADFSKPLVADLPGGSGVAAPSSPAASFPAAKARRKGRRGGKCSA RCFCPRPHVKAFACPVESCVRSFARSDELNRHLRIHTGHKPFQCRICLRNFSRSDHLTTH VRTHTGEKPFACDVCGRRFARSDEKKRHSKVHLKQKARAEERLKGLGFYSLGLSFAAL >ENSMUSP00000027952.5 pep:known chromosome:GRCm38:1:194619825:194816869:1 gene:ENSMUSG00000026640.12 transcript:ENSMUST00000027952.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxna2 description:plexin A2 [Source:MGI Symbol;Acc:MGI:107684] MEQRRFYLRAMQADNLSVVLLSVAWLLLARGTTGMPQYSTFHSENRDWTFNHLTVHRRTG AVYVGAINRVYKLTGNLTIQVAHKTGPEEDNKACYPPLIVQPCSEVLTLTNNVNKLLIID YSENRLLACGSLYQGVCKLLRLDDLFILVEPSHKKEHYLSSVNKTGTMYGVIVRSEGEDG KLFIGTAVDGKQDYFPTLSSRKLPRDPESSAMLDYELHSDFVSSLIKIPSDTLALVSHFD IFYIYGFASGGFVYFLTVQPETPDGMAINSAGDLFYTSRIVRLCKDDPKFHSYVSLPFGC TRAGVEYRLLQAAYLAKPGEALAQAFNISSDEDVLFAIFSKGQKQYHHPPDDSALCAFPI RAINLQIKERLQSCYHGEGNLELNWLLGKDVQCTKAPVPIDDNFCGLDINQPLGGSTPVE GLTLYTTSRDRLTSVASYVYNGYSVVFVGTKSGKLKKIRADGPPHGGVQYEMVSVFKDGS PILRDMAFSINQLYLYVMSERQVTRVPVESCEQYTTCGECLSSGDPHCGWCALHNMCSRR DKCQRAWEANRFAASISQCMSLEVHPNSISVSDHSRLLSLVVNDAPNLSEGIACAFGNLT EVEGQVSGSQVICISPGPKDVPVIPLDQDWFGLELQLRSKETGKIFVSTEFKFYNCSAHQ LCLSCVNSAFRCHWCKYRNLCTHDPTTCSFQEGRINVSEDCPQLVPTEEILIPVGEVKPI TLKARNLPQPQSGQRGYECVLSIQGAVHRVPALRFNSSSVQCQNSSYQYDGMDISNLAVD FAVVWNGNFIIDNPQDLKVHLYKCAAQRESCGLCLKADHKFECGWCSGERRCTLHQHCPS TSSPWLDWSSHNVKCSNPQITEILTVSGPPEGGTRVTIHGVNLGLDFSEIAHHVQVAGVP CTPIPGEYIIAEQIVCEMGHAVIGTTSGPVRLCIGECKPEFMTKSHQQYTFVNPSVLSLS PIRGPESGGTMVTITGHYLGAGSSVAVYLGNQTCEFYGRSMNEIVCVSPPSSNGLGPVPV SVSVDRARVDSSLQFEYIDDPRVQRIEPEWSITSGHTPLTITGFNLDVIQEPRVRVKFNG KESVNVCTVVNTTTLTCLAPSLTSDYRPGLDTVERPDEFGFLFNNVQSLLIYNDTKFIYY PNPTFELLSPTGILDQKPGSPIILKGKNLCPPASGGAKLNYTVMIGETPCTVTVSETQLL CEPPNLTGQHKVMVHVGGMVFSPGSVSVISDSLLTLPAIISIAAGGSLLLIIVIIVLIAY KRKSRENDLTLKRLQMQMDNLESRVALECKEAFAELQTDINELTSDLDRSGIPYLDYRTY AMRVLFPGIEDHPVLRELEVQGNGQQHVEKALKLFAQLINNKVFLLTFIRTLELQRSFSM RDRGNVASLIMTGLQGRLEYATDVLKQLLSDLIDKNLENKNHPKLLLRRTESVAEKMLTN WFAFLLHKFLKECAGEPLFMLYCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQIEYKTL ILNCVNPDNENSPEIPVKVLNCDTITQVKEKILDAVYKNVPYSQRPRAVDMDLEWRQGRI ARVVLQDEDITTKIEGDWKRLNTLMHYQVSDRSVVALVPKQTSSYNIPASASISRTSISR YDSSFRYTGSPDSLRSRVPMITPDLESGVKVWHLVKNHDHGDQKEGDRGSKMVSEIYLTR LLATKGTLQKFVDDLFETLFSTVHRGSALPLAIKYMFDFLDEQADRHSIHDTDVRHTWKS NCLPLRFWVNVIKNPQFVFDIHKGSITDACLSVVAQTFMDSCSTSEHRLGKDSPSNKLLY AKDIPSYKNWVERYYADIAKLPAISDQDMNAYLAEQSRLHATEFNMLSALNEIYSYVSKY SEELIGALEQDEQARRQRLAYKVEHLINAMSIES >ENSMUSP00000118087.1 pep:known chromosome:GRCm38:1:194764567:194786628:1 gene:ENSMUSG00000026640.12 transcript:ENSMUST00000135664.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plxna2 description:plexin A2 [Source:MGI Symbol;Acc:MGI:107684] XDLKVHLYKCAAQRESCGLCLKADHKFECGWCSGERRCTLHQHCPSTSSPWLDWSSHNVK CSNPQITEALGLQSLVSVCSQPG >ENSMUSP00000141871.1 pep:known chromosome:GRCm38:1:171632403:171653035:-1 gene:ENSMUSG00000038179.13 transcript:ENSMUST00000192195.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf7 description:SLAM family member 7 [Source:MGI Symbol;Acc:MGI:1922595] MARFSTYIIFTSVLCQLTVTAASGTLKKVAGALDGSVTFTLNITEIKVDYVVWTFNTFFL AMVKKDGVTSQSSNKERIVFPDGLYSMKLSQLKKNDSGAYRAEIYSTSSQASLIQEYVLH VYKHLSRPKVTIDRQSNKNGTCVINLTCSTDQDGENVTYSWKAVGQGDNQFHDGATLSIA WRSGEKDQALTCMARNPVSNSFSTPVFPQKLCEDAATDLTSLRGILYILCFSAVLILFAV LLTIFHTMWIKKGKGCEEDKKRVDRHQEMPDLCPHLEENADYDTIPYTEKRRPEEDAPNT FYSTVQIPKVVKSPSSLPAKPLVPRSLSFENVI >ENSMUSP00000141426.1 pep:known chromosome:GRCm38:1:171634474:171648939:-1 gene:ENSMUSG00000038179.13 transcript:ENSMUST00000192024.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf7 description:SLAM family member 7 [Source:MGI Symbol;Acc:MGI:1922595] MARFSTYIIFTSVLCQLTVTAASGTLKKVAGALDGSVTFTLNITEIKVDYVVWTFNTFFL AMVKKDGVTSQSSNKERIVFPDGLYSMKLSQLKKNDSGAYRAEIYSTSSQASLIQEYVLH VYKHLSRPKVTIDRQSNKNGTCVINLTCSTDQDGENVTYSWKAVGQGDNQFHDGATLSIA WRSGEKDQALTCMARNPVSNSFSTPVFPQKLCEDAATDLTSLRGILYILCFSAVLILFAV LLTIFHTMWIKKGKEKKTRRRCTKHILFHCADPQSGKESQLPACKATRAKVIKL >ENSMUSP00000141259.1 pep:known chromosome:GRCm38:1:171635718:171649007:-1 gene:ENSMUSG00000038179.13 transcript:ENSMUST00000194531.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf7 description:SLAM family member 7 [Source:MGI Symbol;Acc:MGI:1922595] MARFSTYIIFTSVLCQLTVTAASGTLKKVAGALDGSVTFTLNITEIKVDYVVWTFNTFFL AMVKKDGVTSQSSNKERIVFPDGLYSMKLSQLKKNDSGAYRAEIYSTSSQASLIQEYVLH VYKHLSRPKVTIDRQSNKNGTCVINLTCSTDQDGENVTYSWKAVGQGDNQFHDGATLSIA WRSGEKDQALTCMARNPVSNSFSTPVFPQKLCEDAATDLTSLRGILYILCFSAVLILFAV LLTIFHTMWIKKGKGCEEDKKRVDRHQEMPDLCPHLEENADYDTIPYTEKRRPEEDAPNT FYSTVQIPKVVRSCPAEHHLTCQPLSLDHARAQIS >ENSMUSP00000141601.1 pep:known chromosome:GRCm38:1:171636229:171648927:-1 gene:ENSMUSG00000038179.13 transcript:ENSMUST00000194791.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf7 description:SLAM family member 7 [Source:MGI Symbol;Acc:MGI:1922595] MARFSTYIIFTSVLCQLTVTAASGTLKKVAGALDGSVTFTLNITEIKVDYVVWTFNTFFL AMVKKDGVTSQSSNKERIVFPDGLYSMKLSQLKKNDSGAYRAEIYSTSSQASLIQEYVLH VYKHLSRPKVTIDRQSNKNGTCVINLTCSTDQDGENVTYSWKAVGQGDNQFHDGATLSIA WRSGEKDQALTCMARNPVSNSFSTPVFPQKLCEDAATDLTSLRGILYILCFSAVLILFAV LLTIFHTMWIKKGKEKKTRRRCTKHILFHCADPQSGKKLSS >ENSMUSP00000106907.3 pep:known chromosome:GRCm38:1:171634925:171649007:-1 gene:ENSMUSG00000038179.13 transcript:ENSMUST00000111276.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf7 description:SLAM family member 7 [Source:MGI Symbol;Acc:MGI:1922595] MARFSTYIIFTSVLCQLTVTAASGTLKKVAGALDGSVTFTLNITEIKVDYVVWTFNTFFL AMVKKDGVTSQSSNKERIVFPDGLYSMKLSQLKKNDSGAYRAEIYSTSSQASLIQEYVLH VYKHLSRPKVTIDRQSNKNGTCVINLTCSTDQDGENVTYSWKAVGQGDNQFHDGATLSIA WRSGEKDQALTCMARNPVSNSFSTPVFPQKLCEDAATDLTSLRGILYILCFSAVLILFAV LLTIFHTMWIKKGKGCEEDKKRVDRHQEMPDLCPHLEENADYDTIPYTEKRRPEEDAPNT FYSTVQIPKVVKSPSSLPAKPLVPRSLSFENVI >ENSMUSP00000000696.6 pep:known chromosome:GRCm38:4:134093536:134095082:-1 gene:ENSMUSG00000000682.7 transcript:ENSMUST00000000696.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd52 description:CD52 antigen [Source:MGI Symbol;Acc:MGI:1346088] MKSFLLFLTIILLVVIQIQTGSLGQATTAASGTNKNSTSTKKTPLKSGASSIIDAGACSF LFFANTLMCLFYLS >ENSMUSP00000082041.4 pep:known chromosome:GRCm38:12:110807798:110840930:-1 gene:ENSMUSG00000056458.16 transcript:ENSMUST00000084974.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mok description:MOK protein kinase [Source:MGI Symbol;Acc:MGI:1336881] MKRRRHPLSEKKIMLYMYQLCKSLDHMHRNGIFHRDVKPENILVKQDVLKLGDFGSCRSV YSKQPYTEYISTRWYRAPECLLTDGFYTYKMDLWSAGCVFYEIARAAETQTLAKHRRAFC PKFSMVPESSSHNWSFSQEGRKQKQSLRHEEGHARRQGPTSLMELPKLRLSGMTKLSSCS SPALRSVLGTGANGKVPVLRPLKCAAVNKKTDTQKDIKPHLKHYHLPTINRKGGEY >ENSMUSP00000068904.8 pep:known chromosome:GRCm38:12:110807798:110840939:-1 gene:ENSMUSG00000056458.16 transcript:ENSMUST00000070565.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mok description:MOK protein kinase [Source:MGI Symbol;Acc:MGI:1336881] MKNYKAIGKIGEGTFSEVMKMQSLRDGNYYACKQMKQHFESIEQVNSLREIQALRRLNPH PNILALHEVVFDRKSGSLALICELMDMNIYELIRGRRHPLSEKKIMLYMYQLCKSLDHMH RNGIFHRDVKPENILVKQDVLKLGDFGSCRSVYSKQPYTEYISTRWYRAPECLLTDGFYT YKMDLWSAGCVFYEIASLQPLFPGVNELDQISKIHDVIGTPCQKTLTKFKQSRAMSFDFP FKKGSGIPLLTANLSPQCLSLLHAMVAYDPDERIAAHQALQHPYFQVQRAAETQTLAKHR RAFCPKFSMVPESSSHNWSFSQEGRKQKQSLRHEEGHARRQGPTSLMELPKLRLSGMTKL SSCSSPALRSVLGTGANGKVPVLRPLKCAAVNKKTDTQKDIKPHLKHYHLPTINRKGGEY >ENSMUSP00000135791.1 pep:known chromosome:GRCm38:12:110814842:110840927:-1 gene:ENSMUSG00000056458.16 transcript:ENSMUST00000177224.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mok description:MOK protein kinase [Source:MGI Symbol;Acc:MGI:1336881] MKNYKAIGKIGEGTFSEVMKMQSLRDGNYYACKQMKQHFEREKTPIIREKDYALHVSAVQ IAGPHAQKRDLSQRREAGEYPSKGKTPVSKSFILIPKLR >ENSMUSP00000082419.6 pep:known chromosome:GRCm38:9:78081499:78108656:-1 gene:ENSMUSG00000001366.14 transcript:ENSMUST00000085311.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo9 description:f-box protein 9 [Source:MGI Symbol;Acc:MGI:1918788] MAEAEEDCHSDADRVGDEGNESPAERDLQAQLQMFRAQWMFELTPGVGSSHGETRPCRAG RSSMLKAAADTKGRQELAKEEKARELFLQAVEEEQNGALYEAIKFYRRAMQLVPDIEFKI TYTRSPDGDGVGSGYIEENEDASKMADLLSYFQQQLTLQESVLKLCQPELETSQTHISVL PMEVLMYIFRWVVSSDLDLRSLEQLSLVCRGFYICARDPEIWRLACLKVWGRSCMKLVPY ASWREMFLERPRVRFDGVYISKTTYIRQGEQSLDGFYRAWHQVEYYRYMRFFPDGHVMML TTPEEPPSIVPRLRTRNTRTDAILLGHYRLSQDADNQTKVFAVITKKKEEKPLDHKYRYF RRVPVQEADHSFHVGLQLCSSGHQRFNKLIWIHHSCHITYKATGETAVSAFEIDKMYTPL LFARVRSYTAFSERPL >ENSMUSP00000001402.7 pep:known chromosome:GRCm38:9:78081502:78109065:-1 gene:ENSMUSG00000001366.14 transcript:ENSMUST00000001402.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo9 description:f-box protein 9 [Source:MGI Symbol;Acc:MGI:1918788] MSAEAEEDCHSDADRVGDEGNESPAERDLQAQLQMFRAQWMFELTPGVGSSHGETRPCRA GRSSMLKAAADTKGRQELAKEEKARELFLQAVEEEQNGALYEAIKFYRRAMQLVPDIEFK ITYTRSPDGDGVGSGYIEENEDASKMADLLSYFQQQLTLQESVLKLCQPELETSQTHISV LPMEVLMYIFRWVVSSDLDLRSLEQLSLVCRGFYICARDPEIWRLACLKVWGRSCMKLVP YASWREMFLERPRVRFDGVYISKTTYIRQGEQSLDGFYRAWHQVEYYRYMRFFPDGHVMM LTTPEEPPSIVPRLRTRNTRTDAILLGHYRLSQDADNQTKVFAVITKKKEEKPLDHKYRY FRRVPVQEADHSFHVGLQLCSSGHQRFNKLIWIHHSCHITYKATGETAVSAFEIDKMYTP LLFARVRSYTAFSERPL >ENSMUSP00000125381.1 pep:known chromosome:GRCm38:9:78095513:78108604:-1 gene:ENSMUSG00000001366.14 transcript:ENSMUST00000159099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo9 description:f-box protein 9 [Source:MGI Symbol;Acc:MGI:1918788] MAEAEEDCHSDADRVGDEGNESPAERDLQAQLQMFRAQWMFELTPGVGSSHGETRPCRAG RSSMLKAAADTKGRQELAKEEKARELFLQAVEEEQNGALYEAIKFYRRAMQLVPDIEFKI TYTRSPDGDGVGSGYIEENEDASKMADLLSYFQQQLTLQESVLKLCQPELETSQTHISGV APWLLSLRNPWTSG >ENSMUSP00000123807.1 pep:known chromosome:GRCm38:9:78099803:78108587:-1 gene:ENSMUSG00000001366.14 transcript:ENSMUST00000162625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo9 description:f-box protein 9 [Source:MGI Symbol;Acc:MGI:1918788] MAQLQMFRAQWMFELTPGVGSSHGETRPCRAGRSSMLKAAADTKGRQELAKEEKARELFL QAVEEEQN >ENSMUSP00000058007.7 pep:known chromosome:GRCm38:2:37776249:37799103:1 gene:ENSMUSG00000035403.10 transcript:ENSMUST00000050372.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crb2 description:crumbs family member 2 [Source:MGI Symbol;Acc:MGI:2679260] MALVGPRIWGPRRDIYPLLLLLLLLLLLLLPWVPAGLVPPETPSVCASDPCAPGTKCQAT ESGGYTCEPSELGGCATQPCHHGALCVPQGPDPNSFRCYCVPGFQGPHCELDIDECASRP CQHGGTCQNLADHYECHCPLGYAGVTCEAEVDECSSAPCLHGGSCLDGVGSYRCVCAPGY AGANCQLDVDECQSQPCAHGGVCHDLVNGFRCDCADTGYEGARCEQEVLECASAPCAHNA SCLDGFRSFRCLCWPGFSGERCEVDEDECASGPCQNGGQCLQRSDPTLYGGVQAIFPGAF SFSHAAGFLCSCPLGFAGNDCSMDVDECASGPCLNGGSCQDLPNGFQCYCQDGYTGLTCQ EDMDECQSEPCLHGGTCSDTVAGYICQCPEAWGGHDCSVQLTGCQGHTCPLAATCIPTFK SGLHGYFCRCPPGTYGPFCGQNTTFSVVSGSSVWGLVPAAASLGLALRFRTTLLAGTLAT LKDTRDSLELVLVGAVLQATLSRHGTAVLILTLPDLALNDGHWHQVEVTLHLGTLELRLW HEGCPGQLCVASGPVATGPTASVASGPPGSYSIYLGGGVFAGCFQDVRVEGHLLLPEELK GTVLLGCERREPCQPLPCAHGGACVDLWTHFRCDCPRPYRGATCTDEVPAATFGLGGATS SASFLLHQLGPNLTVSFFLRTREPAGLLLQFANDSVASLTVFLSEGQIRAEGLGHPAVVL PGRWDDGLPHLVMLSFGPDQLQDLGQRLYVGGRFYPDDTQLWGGPFRGCLQDLQLNSIHL PFFSSPMENSSWPSELEAGQSSNLTQGCVSEDTCNPNPCFNGGTCHVTWNDFYCTCSENF TGPTCAQQRWCPRQPCLPPATCEEVPDGFVCVAEATFREGPPAVFTGHNVSSSLSGLTLA FRTRDSEAGLLRAVSAAGAHSNIWLAVRNGSLAGDVAGSVLPAPGPRVADGAWHRVRLAR EFPQAAASRWLLWLDGAATPVALHGLGGDLGFLQGPGAVPLLLAENFTGCLGRVALGDFP LPLAPPRSGTVSGAREHFVAWPGSPAVSLGCRGGPVCSPSPCLHGGACRDLFDAFACSCG PAWEGPRCEIRADPCRSTPCVRGQCHARPDGRFECRCPPGFSGPRCRLPVLPQGCNLNST CKDGAPCEGGPLGTNCSCQEGLAGLRCQSLDKPCEASPCLNGGTCRVASGIFECTCSAGF SGQFCEVVKTLPLPLPFPLLEVAVPAACACLLLLLLGLLSGILAARKRRQSEGTYSPSQQ EVAGARLEMDSVLKVPPEERLI >ENSMUSP00000144709.1 pep:known chromosome:GRCm38:2:37791171:37793523:1 gene:ENSMUSG00000035403.10 transcript:ENSMUST00000147600.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crb2 description:crumbs family member 2 [Source:MGI Symbol;Acc:MGI:2679260] XSFFLRTREPAGLLLQFANDSVASLTVFLSEGQIRAEGLGHPAVVLPGRWDDGLPHLVML SFGPDQLQDLGQRLYVGGRFYPDDTQLWGGPFRGCLQDLQLNSIHLPFFSSPMENSSWPS ELEAGQSSNLTQGCVSEDTCNPNPCFNGGTCHVTWNDFYCTCSENFTGPTCAQQRWCPRQ PCLPPATCEEVPDGFVF >ENSMUSP00000122303.1 pep:known chromosome:GRCm38:4:134065912:134072725:1 gene:ENSMUSG00000012123.15 transcript:ENSMUST00000137053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aim1l description:absent in melanoma 1-like [Source:MGI Symbol;Acc:MGI:1334463] MEETAGSPARAEARVVSATLTWRQRPPVQEESRHRFHKVSLVSGARMEAPQDVFEHSFRR EEVNGFVKKEEETVNCQGSGEEPGSTNFQ >ENSMUSP00000114099.1 pep:known chromosome:GRCm38:4:134068452:134092504:1 gene:ENSMUSG00000012123.15 transcript:ENSMUST00000121391.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aim1l description:absent in melanoma 1-like [Source:MGI Symbol;Acc:MGI:1334463] MEETAGSPARAEARVVSATLTWRQRPPVQEESRHRFHKVSLVSGARMEAPQDVFEHSFRR EEVNGFVKKEEETVNCQGSGEEPGSTNFQSHGPIFSKKYIPPPKEKRPTVRVQEAADWGD GGPQAPRTELPGMGSMARTELLVPLPGPREPSPHPHVGVASGGSRNREEWRVTRTVRTTT TTTVVGGHVDRRVSSSVSVGPSMSGETLPRGRNVTRTVRAVVVSPRAEGSPSRSQALEVL SNLMPAERIPPASRIPRLTMLTSRGSGPGGTVGTTLGQPLEISTTELKNSISALAPVGVP AVDSPAQNIDIPVAHPIRDQGGVSDARTEESSRLQGASSVLLLNQTSQSQVPASSSPKPQ TCAASLTQSPEQPVVPAHLEAQSTPHVLPAVKEKFTGLSTVPVPPTAKGEVTVPEPPPSP SSPRRKAAPSSQSPCAPASPKSKFVPDPENVPSSALTQKEVYPCPSVPAHSHIPKEVLQA HGAPKTSSSRPTTVVQNAEGGPSIKKEVGQYPQNSPSPCSVPCKETVESPTFPAPLPPKQ DEVVRDSPGNFLSSPTPKGSFQSPPFAPSSSEAKESLSLEGSPASKPMGAEVSTGSQTIP DSTEGKVPSEPSEEEDEAALTADLEIFLDTLRSMEPPEILRTHRLPRAPRSSYLAMYATL PAIEEDQLGPCVPGPGPQERPVLEEEEEEVEEEEEELENPYLSDDEKLRRRQEKAGPRPS LGLHPPTPAKVTCSPMEMMKKLIAGQGPEPQPSNRPTSRLGGSLLFGNLVPANKDAPALE PLGTKLSALPPHGAPGVKKVPGQLPLLCSGRPPPEKPAPIEPPEGWSPAPKTQGKLNTRP GKVILFSEPGCRGRGREVWGDIADASAWDPVASIRVIRGCWILYEQPEFRGQKLSLPEGD VELRALACAWSLQGFGSLRRAVQDYCTPRISLFSEEGLKGKPVTLTGDLKDSQGLERPLQ VASATVTAGLWLLYPKPFFEDTPYILEPGEYPTLEAWGTSGPSVGSLKPMRLGCPSVEKP GEPKAVVYEAPGFQGQSWEVSRDIYNLQQPEDSQSPQLTSVGSLRILGGCWVGYEKEGFR GHQYLLEEGEYADWSNWGGYDELLTSLRVIRTDFGDPAVVLFEDMDFQGHRVEVSSALPD VELAQHGPSTQAIHVLSGVWVAYERVGFSGEQFILEKGVYRNCDDWGSGNCALGSLQPVV QVGESDLHFVTKIQLFSGPNFLGDHISFEDDQASLPASFHPQSCRVHGGSWVLFEDKNFE ADQHIVSEGEFPTLTAMGCLASTVLGSLRKVPLHFSEPSLSLFGLECFEGKEIELTGEVR SLQAEGFNNHVLSVRVKGGVWVVCEHSDFRGRQWLVGSCEITNWLTYSGTQRVGSLYPIK QRRAYFRLWNAALGGFLSVPDHVEDMKAGRVVVSEPQAGGSCIWYYEDGLLKNQMAPTMS LQVIGPPSPGSKVVLWAESRLPRQTWSINELGHICSQMFEGQILDVKGGRGYDRDHVVLW EPTKDRLSQIWTVHVL >ENSMUSP00000123349.1 pep:known chromosome:GRCm38:4:134078919:134087502:1 gene:ENSMUSG00000012123.15 transcript:ENSMUST00000149956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aim1l description:absent in melanoma 1-like [Source:MGI Symbol;Acc:MGI:1334463] DSQGLERPLQVASATVTAGLWLLYPKPFFEDTPYILEPGEYPTLEAWGTSGPSVGSLKPM RLAVVYEAPGFQGQSWEVSRDIYNLQQPEDSQSPQLTSVGSLRILGGCWVGYEKEGFRGH QYLLEEGEYADWSNWGGYDELLTSLRVIRTDFGDPAVVLFEDMDFQGHRVEVSSALPDVE LAQHGPSTQAIHVLSGVWVAYERVGFSGEQFILEKGVYRNCDDWGSGNCALGSLQPVVQV GESDLHFVTKIQLFSGPNFLGDHISFEDDQASLPASFHPQSCRVHGGSWVLFE >ENSMUSP00000084573.5 pep:known chromosome:GRCm38:X:143802231:143827414:-1 gene:ENSMUSG00000067276.5 transcript:ENSMUST00000087316.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn6 description:calpain 6 [Source:MGI Symbol;Acc:MGI:1100850] MGPPLKLFKNQKYQELKQECMKDGRLFCDPTFLPENDSLFFNRLLPGKVVWKRPQDISDD PHLIVGNISNHQLIQGRLGNKAMISAFSCLAVQESHWTKAIPNHKDQEWDPRKPEKYAGI FHFRFWHFGEWTEVVIDDLLPTINGDLVFSFSTSMNEFWNALLEKAYAKLLGCYEALDGL TITDIIMDFTGTLAEIIDMQKGRYTDLVEEKYKLFGELYKTFTKGGLICCSIESPSQEEQ EVETDWGLLKGYTYTMTDIRKLRLGERLVEVFSTEKLYMVRLRNPLGRQEWSGPWSEISE EWQQLTVTDRKNLGLVMSDDGEFWMSLEDFCHNFHKLNVCRNVNNPVFGRKELESVVGCW TVDDDPLMNRSGGCYNNRDTFLQNPQYIFTVPEDGHKVIMSLQQKDLRTYRRMGRPDNYI IGFELFKVEMNRRFRLHHLYIQERAGTSTYIDTRTVFLSKYLKKGSYVLVPTMFQHGRTS EFLLRIFSEVPVQLRELTLDMPKMSCWNLARGYPKVVTQITVHSAEGLEKKYANETVNPY LIIKCGKEEVRSPVQKNTVHAIFDTQAIFYRRTTDIPIIIQVWNSRKFCDQFLGQVTLDA DPSDCRDLKSLYLRKKGGPTAKVKQGHISFKVISSDDLTEL >ENSMUSP00000032888.7 pep:known chromosome:GRCm38:7:118118891:118129662:-1 gene:ENSMUSG00000030654.9 transcript:ENSMUST00000032888.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6ip1 description:ADP-ribosylation factor-like 6 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1858943] MAEGDNRSSNLLAVETASLEEQLQGWGEVMLMADKVLRWERAWFPPAIMGVVSLLFLIIY YLDPSVLSGVSCFVMFLCLADYLVPILAPRIFGSNKWTTEQQQRFHEICSNLVKTRRRAV GWWKRLFSLKEEKPKMYFMTMIISLAAVAWVGQQVHNLLLTYLIVTFVLLLPGLNQHGII LKYIGMAKREINKLLKQKEKKNE >ENSMUSP00000144894.1 pep:known chromosome:GRCm38:7:118120300:118129576:-1 gene:ENSMUSG00000030654.9 transcript:ENSMUST00000203154.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arl6ip1 description:ADP-ribosylation factor-like 6 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1858943] MAEGDNRSSNLLDYLLSRSICAVWCFLLCYVFVPG >ENSMUSP00000146175.1 pep:known chromosome:GRCm38:7:118121200:118129574:-1 gene:ENSMUSG00000030654.9 transcript:ENSMUST00000206491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6ip1 description:ADP-ribosylation factor-like 6 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1858943] MAEGDNRSSNLLAVETASLEEQLQGWGEVMLMADKVLRWERAWFPPAIMGVVSLLFLTTE QQQRFHEICSNLVKTRRRAVGWWKRLFSLKEEKPKMYFMTMIISLAAVAWV >ENSMUSP00000145418.1 pep:known chromosome:GRCm38:7:118121227:118129560:-1 gene:ENSMUSG00000030654.9 transcript:ENSMUST00000204005.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arl6ip1 description:ADP-ribosylation factor-like 6 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1858943] MAEGDNRSSNLLNLLELAGWSSPQEAENGFCGKDSGGSWHLSGNWRGTHGTGCNFKNDLA RI >ENSMUSP00000030170.8 pep:known chromosome:GRCm38:8:71624417:71671757:-1 gene:ENSMUSG00000034799.16 transcript:ENSMUST00000030170.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13a description:unc-13 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:3051532] MSLLCVGVKKAKFDGAQEKFNTYVTLKVQNVKSTTIAVRGSQPSWEQDFMFEINRLDLGL TVEVWNKGLIWDTMVGTVWIPLRTIRQSNEEGPGEWLTLDSQAIMADSEICGTKDPTFHR ILLDAHFELPLDIPEEEARYWAKKLEQLNAMRDQDEYSFQDQQDKPLPVPSSQCCNWNYF GWGEQNDDPDSAVDDRDSDYRSETSNSIPPPYYTTSQPNASVHQYSVRPPPLGSRESYSD SMHSYEEFSEPRALSPTGSSRYASSGELSQGSSQLSEDFDPDEHSLQGSELDDERDRDSY HSCHSSVSYHKDSPRWDQDDEDLEDLEDLEDEELPEEEEELEEEGEEELEEEDLEEEEEV PDDLASYTQQEDTTVAEPKEFKRISFPTAAPQKDDKVSAVPTEAPEVAKGIPKAATPEEK AAAERAQEAEPPKSEESFRSREEEEGQEGQDAMSRAKANWLRAFNKVRMQLQEARGEGDM SKSLWFKGGPGGGLIIIDSMPDIRKRKPIPLVSDLAMSLVQSRKAGITSALASSTLNNEE LKNHVYKKTLQALIYPISCTTPHNFEVWTATTPTYCYECEGLLWGIARQGMRCTECGVKC HEKCQDLLNADCLQRAAEKSSKHGAEDRTQNIIMVLKDRMKIRERNKPEIFELIQEIFAV TKSAHTQQMKAVKQSVLDGTSKWSAKISITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKR TKTIYGNLNPVWEENFHFECHNSSDRIKVRVWDEDDDIKSRVKQRFKRESDDFLGQTIIE VRTLSGEMDVWYNLDKRTDKSAVSGAIRLHISVEIKGEEKVAPYHVQYTCLHENLFHFVT DVQNNGVVKIPDAKGDDAWKVYYDETAQEIVDEFAMRYGVESIYQAMTHFACLSSKYMCP GVPAVMSTLLANINAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSMY RNNFPASSPERLQDLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYI FNNCHELYGREYQTDPAKKGEVPPEEQGPSIKNLDFWSKLITLIVSIIEEDKNSYTPCLN QFPQELNVGKISAEVMWSLFAQDMKYAMEEHDKHRLCKSADYMNLHFKVKWLYNEYVAEL PTFKDRVPEYPAWFEPFVIQWLDENEEVSRDFLHGALERDKKDGFQQTSEHALFSCSVVD VFSQLNQSFEIIKKLECPDPQIVGHYMRRFAKTISNVLLQYADIVSKDFASYCSKEKEKV PCILMNNTQQLRVQLEKMFEAMGGKELDAEASGTLKELQVKLNNVLDELSHVFATSFQPH IEECVRQMGDILSQVKGTGNVPASACSSVAQDADNVLQPIMDLLDSNLTLFAKICEKTVL KRVLKELWKLVMNTMEKTIVLPPLTDQTMIGTLLRKHGKGLEKGRVKLPSHSDGTQMIFN AAKELGQLSKLKDHMVREEAKSLTPKQCAVVELALDTIKQYFHAGGVGLKKTFLEKSPDL QSLRYALSLYTQATDLLIKTFVQTQSAQGSGVEDPVGEVSVHVELFTHPGTGEQKVTVKV VAANDLKWQTSGIFRPFIEVNIVGPQLSDKKRKFATKSKNNSWAPKYNESFQFSLSADAG PECYELQVCVKDYCFAREDRTVGLAVLQLRELAQRGSAACWLPLGRRIHMDDTGLTVLRI LSQRSNDEVAKEFVKLKSDTRSAEEGGAAPAP >ENSMUSP00000135189.1 pep:known chromosome:GRCm38:8:71629054:71671736:-1 gene:ENSMUSG00000034799.16 transcript:ENSMUST00000177517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13a description:unc-13 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:3051532] MSLLCVGVKKAKFDGAQEKFNTYVTLKVQNVKSTTIAVRGSQPSWEQDFMFEINRLDLGL TVEVWNKGLIWDTMVGTVWIPLRTIRQSNEEGPGEWLTLDSQAIMADSEICGTKDPTFHR ILLDAHFELPLDIPEEEARYWAKKLEQLNAMRDQDEYSFQDQQDKPLPVPSSQCCNWNYF GWGEQNDDPDSAVDDRDSDYRSETSNSIPPPYYTTSQPNASVHQYSVRPPPLGSRESYSD SMHSYEEFSEPRALSPTGSSRYASSGELSQGSSQLSEDFDPDEHSLQGSELDDERDRDSY HSCHSSVSYHKDSPRWDQDDEDLEDLEDLEDEELPEEEEELEEEGEEELEEEDLEEEEEV PDDLASYTQQEDTTVAEPKEFKRISFPTAAPQKDDKVSAVPTEAPEVAKGIPKAATPEEK AAAERAQEAEPPKSEESFRSREEEEGQEGQDAMSRAKANWLRAFNKVRMQLQEARGEGDM SKSLWFKGGPGGGLIIIDSMPDIRKRKPIPLVSDLAMSLVQSRKAGITSALASSTLNNEE LKNHVYKKTLQALIYPISCTTPHNFEVWTATTPTYCYECEGLLWGIARQGMRCTECGVKC HEKCQDLLNADCLQRAAEKSSKHGAEDRTQNIIMVLKDRMKIRERNKPEIFELIQEIFAV TKSAHTQQMKAVKQSVLDGTSKWSAKISITVVCAQGLQAKDKTGSSDPYVTVQVGKTKKR TKTIYGNLNPVWEENFHFECHNSSDRIKVRVWDEDDDIKSRVKQRFKRESDDFLGQTIIE VRTLSGEMDVWYNLDKRTDKSAVSGAIRLHISVEIKGEEKVAPYHVQYTCLHENLFHFVT DVQNNGVVKIPDAKGDDAWKVYYDETAQEIVDEFAMRYGVESIYQAMTHFACLSSKYMCP GVPAVMSTLLANINAYYAHTTASTNVSASDRFAASNFGKERFVKLLDQLHNSLRIDLSMY RNNFPASSPERLQDLKSTVDLLTSITFFRMKVQELQSPPRASQVVKDCVKACLNSTYEYI FNNCHELYGREYQTDPAKKGEVPPEEQGPSIKNLDFWSKLITLIVSIIEEDKNSYTPCLN QFPQELNVGKISAEVMWSLFAQDMKYAMEEHDKHRLCKSADYMNLHFKVKWLYNEYVAEL PTFKDRVPEYPAWFEPFVIQWLDENEEVSRDFLHGALERDKKDGFQQTSEHALFSCSVVD VFSQLNQSFEIIKKLECPDPQIVGHYMRRFAKTISNVLLQYADIVSKDFASYCSKEKEKV PCILMNNTQQLRVQLEKMFEAMGGKELDAEASGTLKELQVKLNNVLDELSHVFATSFQPH IEECVRQMGDILSQVKGTGNVPASACSSVAQDADNVLQPIMDLLDSNLTLFAKICEKTVL KRVLKELWKLVMNTMEKTIVLPPLTDQTMIGTLLRKHGKGLEKGRVKLPSHSDGTQMIFN AAKELGQLSKLKDHMVREEAKSLTPKQCAVVELALDTIKQYFHAGGVGLKKTFLEKSPDL QSLRYALSLYTQATDLLIKTFVQTQSAQVHGGKGTRFTLSEDVCPEMGSGVEDPVGEVSV HVELFTHPGTGEQKVTVKVVAANDLKWQTSGIFRPFIEVNIVGPQLSDKKRKFATKSKNN SWAPKYNESFQFSLSADAGPECYELQVCVKDYCFAREDRTVGLAVLQLRELAQRGSAACW LPLGRRIHMDDTGLTVLRILSQRSNDEVAKEFVKLKSDTRSAEEGGAAPAP >ENSMUSP00000135528.1 pep:known chromosome:GRCm38:8:71634623:71641397:-1 gene:ENSMUSG00000034799.16 transcript:ENSMUST00000175909.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unc13a description:unc-13 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:3051532] XDNVLQPIMDLLDSKQF >ENSMUSP00000135578.1 pep:known chromosome:GRCm38:8:71641460:71645953:-1 gene:ENSMUSG00000034799.16 transcript:ENSMUST00000176127.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13a description:unc-13 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:3051532] XSAEVMWSLFAQDMKYAMEEHDKHRLCKSADYMNLHFKVKWLYNEYVAELPTFKDRVPEY PAWFEPFVIQWLDENEEVSRDFLHGALERDKKDGFQQTSEHALFSCSVVDVFSQLNQSFE IIKKLECPDPQIVGHYMRRFAKTISNVLLQYADIVSKDFASYCSKEKEKPCILMNNTQQL RVQLEKMFEAMGGKELDAEASGTLKELQVKLNNVLDELSHVFATSFQPHIEECVRQMGDI L >ENSMUSP00000135032.1 pep:known chromosome:GRCm38:8:71653607:71658495:-1 gene:ENSMUSG00000034799.16 transcript:ENSMUST00000176426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13a description:unc-13 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:3051532] XEEVPDDLASYTQQEDTTVAEPKEFKRISFPTAAPQKDDKVSAVPTEAPEVAKGIPKAAT PEEKAAAERAQEAEPPKSEESFRSREEEEGQEGQDAMSRAKANWLRAFNKARGEGDMSKS LWFKGGPGGGLIIIDSMPDIRKRKPIPLVSDLAMSLVQSRKAGITSALASSTLNNEELKN HVYKKTLQALIYPISCTTPHNFEVWTATTPTYCYECEGLLWGIARQGMRCTECGVKCHEK CQDLLNADCLQRAA >ENSMUSP00000027149.5 pep:known chromosome:GRCm38:1:67000517:67038865:-1 gene:ENSMUSG00000026000.16 transcript:ENSMUST00000027149.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lancl1 description:LanC (bacterial lantibiotic synthetase component C)-like 1 [Source:MGI Symbol;Acc:MGI:1336997] MAQRAFPNPYADYNKSLAENYFDSTGRLTPEFSHRLTNKIRELLQQMERGLKSADPRDGT GYTGWAGIAVLYLHLHNVFGDPAYLQMAHSYVKQSLNCLSRRSITFLCGDAGPLAVAAVL YHKMNSEKQAEECITRLIHLNKIDPHVPNEMLYGRIGYIFALLFVNKNFGEEKIPQSHIQ QICENILTSGENLSRKRNLAAKSPLMYEWYQEYYVGAAHGLAGIYYYLMQPSLQVNQGKL HSLVKPSVDFVCRLKFPSGNYPPCLDDTRDLLVHWCHGAPGVIYMLIQAYKVFKEERYLC DAQQCADVIWQYGLLKKGYGLCHGAAGNAYAFLALYNLTQDLKYLYRACKFAEWCLDYGE HGCRTADTPFSLFEGMAGTIYFLADLLVPTKAKFPAFEL >ENSMUSP00000109612.3 pep:known chromosome:GRCm38:1:67000517:67038872:-1 gene:ENSMUSG00000026000.16 transcript:ENSMUST00000113979.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lancl1 description:LanC (bacterial lantibiotic synthetase component C)-like 1 [Source:MGI Symbol;Acc:MGI:1336997] MAQRAFPNPYADYNKSLAENYFDSTGRLTPEFSHRLTNKIRELLQQMERGLKSADPRDGT GYTGWAGIAVLYLHLHNVFGDPAYLQMAHSYVKQSLNCLSRRSITFLCGDAGPLAVAAVL YHKMNSEKQAEECITRLIHLNKIDPHVPNEMLYGRIGYIFALLFVNKNFGEEKIPQSHIQ QICENILTSGENLSRKRNLAAKSPLMYEWYQEYYVGAAHGLAGIYYYLMQPSLQVNQGKL HSLVKPSVDFVCRLKFPSGNYPPCLDDTRDLLVHWCHGAPGVIYMLIQAYKVFKEERYLC DAQQCADVIWQYGLLKKGYGLCHGAAGNAYAFLALYNLTQDLKYLYRACKFAEWCLDYGE HGCRTADTPFSLFEGMAGTIYFLADLLVPTKAKFPAFEL >ENSMUSP00000113080.1 pep:known chromosome:GRCm38:1:67003375:67038834:-1 gene:ENSMUSG00000026000.16 transcript:ENSMUST00000119559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lancl1 description:LanC (bacterial lantibiotic synthetase component C)-like 1 [Source:MGI Symbol;Acc:MGI:1336997] MAQRAFPNPYADYNKSLAENYFDSTGRLTPEFSHRLTNKIRELLQQMERGLKSADPRDGT GYTGWAGIAVLYLHLHNVFGDPAYLQMAHSYVKQSLNCLSRRSITFLCGDAGPLAVAAVL YHKMNSEKQAEECITRLIHLNKIDPHVPNEMLYGRIGYIFALLFVNKNFGEEKIPQSHIQ QICENILTSGENLSRKRNLAAKSPLMYEWYQEYYVGAAHGLAGIYYYLMQPSLQVNQGKL HSLVKPSVDFVCRLKFPSGNYPPCLDDTRDLLVHWCHGAPGVIYMLIQAYKVFKEERYLC DAQQCADVIWQYGLLKKGYGLCHGAAGNAYAFLALYNLTQDLKYLYRACKFAEWCLDYGE HGCRTADTPFSLFEGMAGTIYFLADLLVPTKAKFPAFEL >ENSMUSP00000122752.1 pep:known chromosome:GRCm38:1:67009933:67038834:-1 gene:ENSMUSG00000026000.16 transcript:ENSMUST00000149996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lancl1 description:LanC (bacterial lantibiotic synthetase component C)-like 1 [Source:MGI Symbol;Acc:MGI:1336997] MAQRAFPNPYADYNKSLAENYFDSTGRLTPEFSHRLTNKIRELLQQMERGLKSADPRDGT GYTGWAGIAVLYLHLHNVFGDPAYLQMAHSYVKQSLNCLSRRSITFLCGDAGPLAVAAVL YHKMNSEKQAEECITRLIHLNKIDPHVPNEMLYGRIGYIFALLFVNKNFGEEK >ENSMUSP00000028098.4 pep:known chromosome:GRCm38:2:48902824:48949276:-1 gene:ENSMUSG00000026761.12 transcript:ENSMUST00000028098.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc4 description:origin recognition complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1347043] MSSRKTKSNAHAECLSQVQRILRERFCHHSPHSNLFGVQVQYKHLIELLKRTAIYGESNS VLIVGPRGSGKTTLLNHALKELMEIEVSENVIQVHLNGLLQTNEKIALKEITRQLNLDNV VEDKVFGSFAENLSFLLEALQKGDRTSSCPVIFILDEFDIFAHQKNQTLLYNLFDISQSA QTPVAVIGLTCRLDILELLEKRVKSRFSHRQIHLMNSFDFPQYLKIFKEQLSLPAEFPDK AFAERWNENVHCLSEDSTVLEVLQKHFSVNKNLQSLHMLLMLALNRVTVSHPFMTSADLM EAQHMCSLDSKANIVHGLSVLEICLIIAMKHLNDIYEEEPFNFQMVYNEFQKFIQRKAHS VYNFEKPVVMKAFEHLQQLELIKPVERTSVNSQREYQLVKLLLDNTQIMNALQKYSNCPT DVRQWATSSLSWL >ENSMUSP00000119274.1 pep:known chromosome:GRCm38:2:48917205:48950039:-1 gene:ENSMUSG00000026761.12 transcript:ENSMUST00000142851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc4 description:origin recognition complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1347043] MSSRKTKSNAHAECLSQVQRILRERFCHHSPHSNLFGVQVQYKHLIELLKRTAIYGESNS VLIVGPRGSGKTTLLNHALKELMEIEVSENVIQVHLNGLLQTNEKIALKEITRQLNLDNV VEDKVFGSFAENLSFLLEALQKGDRTSSCPVIFILDEFDIFAHQKNQTLLYNLFDISQSA QTPVAVIGLTCRLDILELLEKRVKSRFSHRQIHLMNSFDFPQYLKIFKEQLSLPAEFP >ENSMUSP00000088497.3 pep:known chromosome:GRCm38:2:48931958:48949234:-1 gene:ENSMUSG00000026761.12 transcript:ENSMUST00000090976.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc4 description:origin recognition complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1347043] MSSRKTKSNAHAECLSQVQRILRERFCHHSPHSNLFGVQVQYKHLIELLKRTAIYGESNS VLIVGPRGSGKTTLLNHALKELMEIEVSENVIQVHLNGLLQTNEKIALKEITRQLNLDNV VEDKVFGMLVCLEVSKYR >ENSMUSP00000121114.1 pep:known chromosome:GRCm38:2:48936773:48949241:-1 gene:ENSMUSG00000026761.12 transcript:ENSMUST00000149679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc4 description:origin recognition complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1347043] MSSRKTKSNAHAECLSQVQRILRERFCHHSPHSNLFGVQVQYKHLIELLKRTAIYGESNS VLIVGPRGSGKTT >ENSMUSP00000117732.1 pep:known chromosome:GRCm38:2:48936844:48950277:-1 gene:ENSMUSG00000026761.12 transcript:ENSMUST00000123271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc4 description:origin recognition complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1347043] MSSRKTKSNAHAECLSQVQRILRERFCHHSPHSNLFGVQVQYKHLIELL >ENSMUSP00000029812.7 pep:known chromosome:GRCm38:3:135584655:135691546:-1 gene:ENSMUSG00000028163.17 transcript:ENSMUST00000029812.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkb1 description:nuclear factor of kappa light polypeptide gene enhancer in B cells 1, p105 [Source:MGI Symbol;Acc:MGI:97312] MADDDPYGTGQMFHLNTALTHSIFNAELYSPEIPLSTDGPYLQILEQPKQRGFRFRYVCE GPSHGGLPGASSEKNKKSYPQVKICNYVGPAKVIVQLVTNGKNIHLHAHSLVGKHCEDGV CTVTAGPKDMVVGFANLGILHVTKKKVFETLEARMTEACIRGYNPGLLVHSDLAYLQAEG GGDRQLTDREKEIIRQAAVQQTKEMDLSVVRLMFTAFLPDSTGSFTRRLEPVVSDAIYDS KAPNASNLKIVRMDRTAGCVTGGEEIYLLCDKVQKDDIQIRFYEEEENGGVWEGFGDFSP TDVHRQFAIVFKTPKYKDVNITKPASVFVQLRRKSDLETSEPKPFLYYPEIKDKEEVQRK RQKLMPNFSDSFGGGSGAGAGGGGMFGSGGGGGSTGSPGPGYGYSNYGFPPYGGITFHPG VTKSNAGVTHGTINTKFKNGPKDCAKSDDEESLTLPEKETEGEGPSLPMACTKTEPIALA STMEDKEQDMGFQDNLFLEKALQLARRHANALFDYAVTGDVKMLLAVQRHLTAVQDENGD SVLHLAIIHLHAQLVRDLLEVTSGLISDDIINMRNDLYQTPLHLAVITKQEDVVEDLLRV GADLSLLDRWGNSVLHLAAKEGHDRILSILLKSRKAAPLIDHPNGEGLNAIHIAVMSNSL PCLLLLVAAGAEVNAQEQKSGRTALHLAVEYDNISLAGCLLLEGDAHVDSTTYDGTTPLH IAAGRGSTRLAALLKAAGADPLVENFEPLYDLDDSWEKAGEDEGVVPGTTPLDMAANWQV FDILNGKPYEPVFTSDDILPQGDMKQLTEDTRLQLCKLLEIPDPDKNWATLAQKLGLGIL NNAFRLSPAPSKTLMDNYEVSGGTIKELMEALQQMGYTEAIEVIQAAFRTPATTASSPVT TAQVHCLPLSSSSTRQHIDELRDSDSVCDSGVETSFRKLSFTESLTGDSPLLSLNKMPHG YGQEGPIEGKI >ENSMUSP00000114798.1 pep:known chromosome:GRCm38:3:135584657:135608270:-1 gene:ENSMUSG00000028163.17 transcript:ENSMUST00000132668.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkb1 description:nuclear factor of kappa light polypeptide gene enhancer in B cells 1, p105 [Source:MGI Symbol;Acc:MGI:97312] XQKLMPNFSDSFGGGSGAGAGGGGMFGSGGGGGSTGSPGPGYGYSNYGFPPYGGITFHPG VTKSNAGVTHGTINTKFKNGPKDCAKSDDEESLTLPEKETEGEGPSLPMACTKTEPIALA STMEDKEQDMGFQDNLFLEKALQLARRHANALFDYAVTGDVKMLLAVQRHLTAVQDENGD SVLHLAIIHLHAQLVRDLLEVTSGLISDDIINMRNDLYQTPLHLAVITKQEDVVEDLLRV GADLSLLDRWGNSVLHLAAKEGHDRILSILLKSRKAAPLIDHPNGEGLNAIHIAVMSNSL PCLLLLVAAGAEVNAQEQKSGRTALHLAVEYDNISLAGCLLLEGDAHVDSTTYDGTTPLH IAAGRGSTRLAALLKAAGADPLVENFEPLYDLDDSWEKAGEDEGVVPGTTPLDMAANWQV FDILNGKPYEPVFTSDDILPQGDMKQLTEDTRLQLCKLLEIPDPDKNWATLAQKLGLGIL NNAFRLSPAPSKTLMDNYEMNSGIVTASVTVVWRHPSANSALQSLLLETAHCYL >ENSMUSP00000139044.1 pep:known chromosome:GRCm38:3:135584745:135603796:-1 gene:ENSMUSG00000028163.17 transcript:ENSMUST00000184550.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nfkb1 description:nuclear factor of kappa light polypeptide gene enhancer in B cells 1, p105 [Source:MGI Symbol;Acc:MGI:97312] XFDYAVTGDVKMLLAVQRHLTAVQDENGDSVLHLAIIHLHAQLVRDLLEVTSGLISDDII NMRNDLYQTPLHLAVITKQEDVVEDLLRVGADLSLLDRWGNSVLHLAAKEGHDRILSILL KSRKAAPLIDHPNGEGLNAIHIAVMSNSLPCLLLLVAAGAEVNAQEQKSGRTALHLAVEY DNISLAGCLLLEGDAHVDSTTYDGTTPLHIAAGRGSTRLAALLKAAGADPLVENFEPLYD LDDSWEKAGEDEGVVPGTTPLDMAANWQGT >ENSMUSP00000143601.1 pep:known chromosome:GRCm38:3:135585281:135669339:-1 gene:ENSMUSG00000028163.17 transcript:ENSMUST00000196469.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nfkb1 description:nuclear factor of kappa light polypeptide gene enhancer in B cells 1, p105 [Source:MGI Symbol;Acc:MGI:97312] MADDDPYGTGQMFHLNTALTHSIFNAELYSPEIPLSTDGPYLQILEQPKQRGFRFRYVCE GPSHGGLPGASSEKNKKSYPQVKRPPCNRFATMWGLQRLSFSWSQMEKTSTCTPTAWWAS TVRTGYAP >ENSMUSP00000128345.2 pep:known chromosome:GRCm38:3:135585278:135667799:-1 gene:ENSMUSG00000028163.17 transcript:ENSMUST00000164430.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkb1 description:nuclear factor of kappa light polypeptide gene enhancer in B cells 1, p105 [Source:MGI Symbol;Acc:MGI:97312] MADDDPYGTGQMFHLNTALTHSIFNAELYSPEIPLSTDGPYLQILEQPKQRGFRFRYVCE GPSHGGLPGASSEKNKKSYPQVKICNYVGPAKVIVQLVTNGKNIHLHAHSLVGKHCEDGV CTVTAGPKDMVVGFANLGILHVTKKKVFETLEARMTEACIRGYNPGLLVHSDLAYLQAEG GGDRQLTDREKEIIRQAAVQQTKEMDLSVVRLMFTAFLPDSTGSFTRRLEPVVSDAIYDS KAPNASNLKIVRMDRTAGCVTGGEEIYLLCDKVQKDDIQIRFYEEEENGGVWEGFGDFSP TDVHRQFAIVFKTPKYKDVNITKPASVFVQLRRKSDLETSEPKPFLYYPEIKDKEEVQRK RQKLMPNFSDSFGGGSGAGAGGGGMFGSGGGGGSTGSPGPGYGYSNYGFPPYGGITFHPG VTKSNAGVTHGTINTKFKNGPKDCAKSDDEESLTLPEKETEGEGPSLPMACTKTEPIALA STMEDKEQDMGFQDNLFLEKALQLARRHANALFDYAVTGDVKMLLAVQRHLTAVQDENGD SVLHLAIIHLHAQLVRDLLEVTSGLISDDIINMRNDLYQTPLHLAVITKQEDVVEDLLRV GADLSLLDRWGNSVLHLAAKEGHDRILSILLKSRKAAPLIDHPNGEGLNAIHIAVMSNSL PCLLLLVAAGAEVNAQEQKSGRTALHLAVEYDNISLAGCLLLEGDAHVDSTTYDGTTPLH IAAGRGSTRLAALLKAAGADPLVENFEPLYDLDDSWEKAGEDEGVVPGTTPLDMAANWQV FDILNGKPYEPVFTSDDILPQGDMKQLTEDTRLQLCKLLEIPDPDKNWATLAQKLGLGIL NNAFRLSPAPSKTLMDNYEVSGGTIKELMEALQQMGYTEAIEVIQAAFRTPATTASSPVT TAQVHCLPLSSSSTRQHIDELRDSDSVCDSGVETSFRKLSFTESLTGDSPLLSLNKMPHG YGQEGPIEGKI >ENSMUSP00000107544.2 pep:known chromosome:GRCm38:1:150361305:150393080:-1 gene:ENSMUSG00000006010.14 transcript:ENSMUST00000111913.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC003331 description:cDNA sequence BC003331 [Source:MGI Symbol;Acc:MGI:2385108] MGRTYIVEETVGQYLSSINLQGKPFVSGLLIGQCSSQKDYVILATRTPPKEEQNDKVKQP RAKLDNLDEEWATEHASQVSRMLPGGLVVLGIFIITTLELADDFQNALRRLIFSMEKSMS RKRLWDVTEDEVSERVTLHICSSTKKISCRTYDVQDPKSSARPADWKYQSRVSASWLSLD CTVHVNIHIPLSATSVSYTLEKNTKSGLTRWAKQIENGVYLINGQVKGNDCDLLEGQKKS RGNTQATAHSFDVRVLTQLLLNSDHRSTATVQICSGSVNLRGNVKCRAYIHSNRPKVKDA VQAVKRDILNTVADRCEILFEDLLLNEIPEKKNYELPQRVFVPLPGSTVMLCDYKFGDES AEEIRDHFSEMLDHEIQIEDLEIAEEVNTACMTSSVNSEASLTNTSEEQPEQPKKTIGVK IQQNIGVIAALAVAVLAAGISFHYFSD >ENSMUSP00000095153.3 pep:known chromosome:GRCm38:1:150361313:150392762:-1 gene:ENSMUSG00000006010.14 transcript:ENSMUST00000097547.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC003331 description:cDNA sequence BC003331 [Source:MGI Symbol;Acc:MGI:2385108] MGRTYIVEETVGQYLSSINLQGKPFVSGLLIGQCSSQKDYVILATRTPPKEEQNDKVKQP RAKLDNLDEEWATEHASQVSRMLPGGLVVLGIFIITTLELADDFQNALRRLIFSMEKSMS RKRLWDVTEDEVSERVTLHICSSTKKISCRTYDVQDPKSSARPADWKYQSRVSASWLSLD CTVHVNIHIPLSATSVSYTLEKNTKKKSRGNTQATAHSFDVRVLTQLLLNSDHRSTATVQ ICSGSVNLRGNVKCRAYIHSNRPKVKDAVQAVKRDILNTVADRCEILFEDLLLNEIPEKK NYELPQRVFVPLPGSTVMLCDYKFGDESAEEIRDHFSEMLDHEIQIEDLEIAEEVNTACM TSSVNSEASLTNTSEEQPEQPKKTIGVKIQQNIGVIAALAVAVLAAGISFHYFSD >ENSMUSP00000006167.6 pep:known chromosome:GRCm38:1:150362521:150392719:-1 gene:ENSMUSG00000006010.14 transcript:ENSMUST00000006167.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC003331 description:cDNA sequence BC003331 [Source:MGI Symbol;Acc:MGI:2385108] MGRTYIVEETVGQYLSSINLQGKPFVSGLLIGQCSSQKDYVILATRTPPKEEQNDKVKQP RAKLDNLDEEWATEHASQVSRMLPGGLVVLGIFIITTLELADDFQNALRRLIFSMEKSMS RKRLWDVTEDEVSERVTLHICSSTKKISCRTYDVQDPKSSARPADWKYQSRVSASWLSLD CTVHVNIHIPLSATSVSYTLEKNTKSGLTRWAKQIENGVYLINGQVKGNDCDLLEGQLLN SDHRSTATVQICSGSVNLRGNVKCRAYIHSNRPKVKDAVQAVKRDILNTVADRCEILFED LLLNEIPEKKNYELPQRVFVPLPGSTVMLCDYKFGDESAEEIRDHFSEMLDHEIQIEDLE IAEEVNTACMTSSVNSEASLTNTSEEQPEQPKKTIGVKIQQNIGVIAALAVAVLAAGISF HYFSD >ENSMUSP00000092050.3 pep:known chromosome:GRCm38:1:150362534:150392743:-1 gene:ENSMUSG00000006010.14 transcript:ENSMUST00000094477.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC003331 description:cDNA sequence BC003331 [Source:MGI Symbol;Acc:MGI:2385108] MGRTYIVEETVGQYLSSINLQGKPFVSGLLIGQCSSQKDYVILATRTPPKEEQNDKVKQP RAKLDNLDEEWATEHASQVSRMLPGGLVVLGIFIITTLELADDFQNALRRLIFSMEKSMS RKRLWDVTEDEVSERVTLHICSSTKKISCRTYDVQDPKSSARPADWKYQSRVSASWLSLD CTVHVNIHIPLSATSVSYTLEKNTKSGLTRWAKQIENGVYLINGQVKGNDCDLLEGQKKS RGNTQATAHSFDVRVLTQLLLNSDHRSTATVQICSGSVNLRGNVKCRAYIHSNRPKVKDA VQAVKRDILNTVADRCEILFEDLLLNEIPEKKSMTINKL >ENSMUSP00000095152.2 pep:known chromosome:GRCm38:1:150361313:150393055:-1 gene:ENSMUSG00000006010.14 transcript:ENSMUST00000097546.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC003331 description:cDNA sequence BC003331 [Source:MGI Symbol;Acc:MGI:2385108] MGRTYIVEETVGQYLSSINLQGKPFVSGLLIGQCSSQKDYVILATRTPPKEEQNDKVKQP RAKLDNLDEEWATEHASQVSRMLPGGLVVLGIFIITTLELADDFQNALRRLIFSMEKSMS RKRLWDVTEDEVSERVTLHICSSTKKISCRTYDVQDPKSSARPADWKYQSRVSASWLSLD CTVHVNIHIPLSATSVSYTLEKNTKSGLTRWAKQIENGVYLINGQVKGNDCDLLEGQLLN SDHRSTATVQICSGSVNLRGNVKCRAYIHSNRPKVKDAVQAVKRDILNTVADRCEILFED LLLNEIPEKKNYELPQRVFVPLPGSTVMLCDYKFGDESAEEIRDHFSEMLDHEIQIEDLE IAEEVNTACMTSSVNSEASLTNTSEEQPEQPKKTIGVKIQQNIGVIAALAVAVLAAGISF HYFSD >ENSMUSP00000015499.7 pep:known chromosome:GRCm38:1:171682009:171705258:1 gene:ENSMUSG00000015355.13 transcript:ENSMUST00000015499.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd48 description:CD48 antigen [Source:MGI Symbol;Acc:MGI:88339] MCFIKQGWCLVLELLLLPLGTGFQGHSIPDINATTGSNVTLKIHKDPLGPYKRITWLHTK NQKILEYNYNSTKTIFESEFKGRVYLEENNGALHISNVRKEDKGTYYMRVLRETENELKI TLEVFARSSGVCWTATWLVVTTLIIHRILLT >ENSMUSP00000064241.5 pep:known chromosome:GRCm38:1:171682010:171705256:1 gene:ENSMUSG00000015355.13 transcript:ENSMUST00000068584.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd48 description:CD48 antigen [Source:MGI Symbol;Acc:MGI:88339] MCFIKQGWCLVLELLLLPLGTGFQGHSIPDINATTGSNVTLKIHKDPLGPYKRITWLHTK NQKILEYNYNSTKTIFESEFKGRVYLEENNGALHISNVRKEDKGTYYMRVLRETENELKI TLEVFDPVPKPSIEINKTEASTDSCHLRLSCEVKDQHVDYTWYESSGPFPKKSPGYVLDL IVTPQNKSTFYTCQVSNPVSSKNDTVYFTLPCDLARSSGVCWTATWLVVTTLIIHRILLT >ENSMUSP00000095174.3 pep:known chromosome:GRCm38:18:60593973:60624336:-1 gene:ENSMUSG00000043079.17 transcript:ENSMUST00000097566.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo description:synaptopodin [Source:MGI Symbol;Acc:MGI:1099446] MEGYSEEASLLRHLEKVASEEEEVPLVVYLKENAALLTANGLHLSQSRETQQSSPNPPDT EVPSPAADINQNPSSPNATLTTLASSSHHSQPTADINQNPPAAITPVPQNSSQAQCSPNG TLDSKPGTLCADDGQSPVPAEEVRSSILLIDKVSAPPSAASTFSREATPLSSSGPPAADL MSSSLLIDMQPSTLVAPAEQEVPGHVAVTTPTKVYSEVHLTLAKPASVVNRTARPFGIQS PGTSQIEQSPMMGRRQFGEKAWAPPASSMADRSPQPQRHIMSRSPMVERRLLGQRSPVLE RRPLGNFTPPPTYAETLSTAPVASRVRSPPSYSTLYPSSDPKPSHLKGQVAPANKTGILE ESMARRGSRKSMFTFVEKPKVTPNPDLLDLVQTADEKRRQRDHGEVGMEEEPFALGAEAS NFQQEPIARDRASPAAAEEAVPEWASCLKSPRIQAKPKPKPNQNLSEASGKGAELYARRQ SRMEKYVIESSGHAELARCPSPTMSLPSSWKYTTNAPGGFRVASLSPARTPPASLYHGYL PENGVLRPEPTKQQPYQMRPSLYALSPVKEPAKASSRATSSRTPSRTVSPRAASPAKPSS LDLVPNLPRAGLPPSPALPRPSRSSPGLYTAPVQDSLQPTAVSPTYSSDISPVSPSRAWS PRAKQAPRPSFSTRNAGIEAQDRPESLPTSPPWTPAASRPPSSLDGWVSPGPWEPGRGSS MSSPPPLPPPPPMSPSWSERSVSPLRSETEARPPSRQLQALLARNIINAARRKSASPRPA PAETLRPFSPPQGPPPPPARMRSPQPASPARNFRGAAFSPIPRSPLPIGPSSCASPRSPQ AAPSRPFPYRRSPTDSDVSLDSEDSGLKSPGILGYNICPRGWNGSLRLKRGSLPTEASCT T >ENSMUSP00000121756.1 pep:known chromosome:GRCm38:18:60593982:60624573:-1 gene:ENSMUSG00000043079.17 transcript:ENSMUST00000130044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo description:synaptopodin [Source:MGI Symbol;Acc:MGI:1099446] MEGYSEEASLLRHLEKVASEEEEVPLVVYLKENAALLTANGLHLSQSRETQQSSPNPPDT EVPSPAADINQNPSSPNATLTTLASSSHHSQPTADINQNPPAAITPVPQNSSQAQCSPNG TLDSKPGTLCADDGQSPVPAEEVRSSILLIDKVSAPPSAASTFSREATPLSSSGPPAADL MSSSLLIDMQPSTLVAPAEQEVPGHVAVTTPTKVYSEVHLTLAKPASVVNRTARPFGIQS PGTSQIEQSPMMGRRQFGEKAWAPPASSMADRSPQPQRHIMSRSPMVERRLLGQRSPVLE RRPLGNFTPPPTYAETLSTAPVASRVRSPPSYSTLYPSSDPKPSHLKGQVAPANKTGILE ESMARRGSRKSMFTFVEKPKVTPNPDLLDLVQTADEKRRQRDHGEVGMEEEPFALGAEAS NFQQEPIARDRASPAAAEEAVPEWASCLKSPRIQAKPKPKPNQNLSEASGKGAELYARRQ SRMEKYVIESSGHAELARCPSPTMSLPSSWKYTTNAPGGFRVASLSPARTPPASLYHGYL PENGVLRPEPTKQQPYQMRPSLYALSPVKEPAKASSRATSSRTPSRTVSPRAASPAKPSS LDLVPNLPRAGLPPSPALPRPSRSSPGLYTAPVQDSLQPTAVSPTYSSDISPVSPSRAWS PRAKQAPRPSFSTRNAGIEAQDRPESLPTSPPWTPAASRPPSSLDGWVSPGPWEPGRGSS MSSPPPLPPPPPMSPSWSERSVSPLRSETEARPPSRQLQALLARNIINAARRKSASPRPA PAETLRPFSPPQGPPPPPARMRSPQPASPARNFRGAAFSPIPRSPLPIGPSSCASPRSPQ AAPSRPFPYRRSPTDSDVSLDSEDSGLKSPGILGYNICPRGWNGSLRLKRGSLPTEASCT T >ENSMUSP00000115355.1 pep:known chromosome:GRCm38:18:60593990:60629853:-1 gene:ENSMUSG00000043079.17 transcript:ENSMUST00000130360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo description:synaptopodin [Source:MGI Symbol;Acc:MGI:1099446] MLGAHFPPPPLGASEGRAAPCTFQIPDGSYRCLALEAEESSSEDGLQGEVRLVDLEEEGT SQSRANHGTPPLSRAPAIIQPSSCHREARGGFQRSDRPSHDWDVVQARKVMTASGSSSPV PRVAQKPALGRSTSFTENDLKEAKARSQQIAAQLTTPPSSNSRGVQLFNRRRQRVNEFTL ESRGQRSPKLNQEALQTGRPLSPIGHAPGPSVKPTSPSKPGSPKHPSPQSPSRGVAGHIM EGYSEEASLLRHLEKVASEEEEVPLVVYLKENAALLTANGLHLSQSRETQQSSPNPPDTE VPSPAADINQNPSSPNATLTTLASSSHHSQPTADINQNPPAAITPVPQNSSQAQCSPNGT LDSKPGTLCADDGQSPVPAEEVRSSILLIDKVSAPPSAASTFSREATPLSSSGPPAADLM SSSLLIDMQPSTLVAPAEQEVPGHVAVTTPTKVYSEVHLTLAKPASVVNRTARPFGIQSP GTSQIEQSPMMGRRQFGEKAWAPPASSMADRSPQPQRHIMSRSPMVERRLLGQRSPVLER RPLGNFTPPPTYAETLSTAPVASRVRSPPSYSTLYPSSDPKPSHLKGQVAPANKTGILEE SMARRGSRKSMFTFVEKPKVTPNPDLLDLVQTADEKRRQRDHGEVGMEEEPFALGAEASN FQQEPIARDRASPAAAEEAVPEWASCLKSPRIQAKPKPKPNQNLSEASGKGAELYARRQS RMEKYVIESSGHAELARCPSPTMSLPSSWKYTTNAPGGFRVASLSPARTPPASLYHGYLP ENGVLRPEPTKQQPYQMRPSLYALSPVKEPAKASSRATSSRTPSRTVSPRAASPAKPSSL DLVPNLPRAGLPPSPALPRPSRSSPGLYTAPVQDSLQPTADRPESLPTSPPWTPAASRPP SSLDGWVSPGPWEPGRGSSMSSPPPLPPPPPMSPSWSERSVSPLRSETEARPPSRQLQAL LARNIINAARRKSASPRPAPAETLRPFSPPQGPPPPPARMRSPQPASPARNFRGAAFSPI PRSPLPIGPSSCASPRSPQAAPSRPFPYRRSPTDSDVSLDSEDSGLKSPGILGYNICPRG WNGSLRLKRGSLPTEASCTT >ENSMUSP00000117897.2 pep:known chromosome:GRCm38:18:60600206:60624236:-1 gene:ENSMUSG00000043079.17 transcript:ENSMUST00000155195.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo description:synaptopodin [Source:MGI Symbol;Acc:MGI:1099446] MEGYSEEASLLRHLEKVASEEEEVPLVVYLKENAALLTANGLHLSQSRETQQSSPNPPDT EVPSPAADINQNPSSPNATLTTLASSSHHSQPTADINQNPPAAITPVPQNSSQAQCSPNG TLDSKPGTLCADDGQSPVPAEEVRSSILLIDKVSAPPSAASTFSREATPLSSSGPPAADL MSSSLLIDMQPSTLVAPAEQEVPGHVAVTTPTKVYSEVHLTLAKPASVVNRTARPFGIQS PGTSQIEQSPMMGRRQFGEKAWAPPASSMADRSPQPQRHIMSRSPMVERRLLGQRSPVLE RRPLGNFTPPPTYAETLSTAPVASRVRSPPSYSTLYPSSDPKPSHLKGQVAPANKTGILE ESMARRGSRKSMFTFVEKPKVTPNPDLLDLVQTADEKRRQRDHGEVGMEEEPFALGAEAS NFQQEPIARDRASPAAAEEAVPEWASCLKSPRIQAKPKPKPNQNLSEASGKGAELYARRQ SRMEKYVIESSGHAELARCPSPTMSLPSSWKYTTNAPGGFRVASLSPARTPPASLYHGYL PENGVLRPEPTKQQPYQMRPSLYALSPVKEPAKASSRATSSRTPSRTVSPRAASPAKPSS LDLVPNLPRAGLPPSPALPRPSRSSPGLYTAPVQDSLQPTAVSPTYSSDISPVSPSRAWS PRAKQAPRPSFSTRNAGIEAQVWKPSFCFK >ENSMUSP00000110973.3 pep:known chromosome:GRCm38:18:60600207:60610105:-1 gene:ENSMUSG00000043079.17 transcript:ENSMUST00000115318.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo description:synaptopodin [Source:MGI Symbol;Acc:MGI:1099446] MEGYSEEASLLRHLEKVASEEEEVPLVVYLKENAALLTANGLHLSQSRETQQSSPNPPDT EVPSPAADINQNPSSPNATLTTLASSSHHSQPTADINQNPPAAITPVPQNSSQAQCSPNG TLDSKPGTLCADDGQSPVPAEEVRSSILLIDKVSAPPSAASTFSREATPLSSSGPPAADL MSSSLLIDMQPSTLVAPAEQEVPGHVAVTTPTKVYSEVHLTLAKPASVVNRTARPFGIQS PGTSQIEQSPMMGRRQFGEKAWAPPASSMADRSPQPQRHIMSRSPMVERRLLGQRSPVLE RRPLGNFTPPPTYAETLSTAPVASRVRSPPSYSTLYPSSDPKPSHLKGQVAPANKTGILE ESMARRGSRKSMFTFVEKPKVTPNPDLLDLVQTADEKRRQRDHGEVGMEEEPFALGAEAS NFQQEPIARDRASPAAAEEAVPEWASCLKSPRIQAKPKPKPNQNLSEASGKGAELYARRQ SRMEKYVIESSGHAELARCPSPTMSLPSSWKYTTNAPGGFRVASLSPARTPPASLYHGYL PENGVLRPEPTKQQPYQMRPSLYALSPVKEPAKASSRATSSRTPSRTVSPRAASPAKPSS LDLVPNLPRAGLPPSPALPRPSRSSPGLYTAPVQDSLQPTAVSPTYSSDISPVSPSRAWS PRAKQAPRPSFSTRNAGIEAQVWKPSFCFK >ENSMUSP00000125272.1 pep:known chromosome:GRCm38:18:60600209:60648319:-1 gene:ENSMUSG00000043079.17 transcript:ENSMUST00000143275.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo description:synaptopodin [Source:MGI Symbol;Acc:MGI:1099446] MLGAHFPPPPLGASEGRAAPCTFQIPDGSYRCLALEAEESSSEDGLQGEVRLVDLEEEGT SQSRANHGTPPLSRAPAIIQPSSCHREARGGFQRSDRPSHDWDVVQARKVMTASGSSSPV PRVAQKPALGRSTSFTENDLKEAKARSQQIAAQLTTPPSSNSRGVQLFNRRRQRVNEFTL ESRGQRSPKLNQEALQTGRPLSPIGHAPGPSVKPTSPSKPGSPKHPSPQSPSRGVAGHIM EGYSEEASLLRHLEKVASEEEEVPLVVYLKENAALLTANGLHLSQSRETQQSSPNPPDTE VPSPAADINQNPSSPNATLTTLASSSHHSQPTADINQNPPAAITPVPQNSSQAQCSPNGT LDSKPGTLCADDGQSPVPAEEVRSSILLIDKVSAPPSAASTFSREATPLSSSGPPAADLM SSSLLIDMQPSTLVAPAEQEVPGHVAVTTPTKVYSEVHLTLAKPASVVNRTARPFGIQSP GTSQIEQSPMMGRRQFGEKAWAPPASSMADRSPQPQRHIMSRSPMVERRLLGQRSPVLER RPLGNFTPPPTYAETLSTAPVASRVRSPPSYSTLYPSSDPKPSHLKGQVAPANKTGILEE SMARRGSRKSMFTFVEKPKVTPNPDLLDLVQTADEKRRQRDHGEVGMEEEPFALGAEASN FQQEPIARDRASPAAAEEAVPEWASCLKSPRIQAKPKPKPNQNLSEASGKGAELYARRQS RMEKYVIESSGHAELARCPSPTMSLPSSWKYTTNAPGGFRVASLSPARTPPASLYHGYLP ENGVLRPEPTKQQPYQMRPSLYALSPVKEPAKASSRATSSRTPSRTVSPRAASPAKPSSL DLVPNLPRAGLPPSPALPRPSRSSPGLYTAPVQDSLQPTAVSPTYSSDISPVSPSRAWSP RAKQAPRPSFSTRNAGIEAQVWKPSFCFK >ENSMUSP00000124122.1 pep:known chromosome:GRCm38:18:60604109:60623325:-1 gene:ENSMUSG00000043079.17 transcript:ENSMUST00000123288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synpo description:synaptopodin [Source:MGI Symbol;Acc:MGI:1099446] MEGYSEEASLLRHLE >ENSMUSP00000051215.6 pep:known chromosome:GRCm38:13:55005985:55021385:-1 gene:ENSMUSG00000025877.14 transcript:ENSMUST00000052949.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk3 description:hexokinase 3 [Source:MGI Symbol;Acc:MGI:2670962] MATIGPSGLHPGERASVCPHEGVPRPSGSLELECLQQFKVTRTQLQQIQASLLCSMEQAL KGQDSPAPSVRMLPTYVRSTPHGTEQGDFLVLELGATGASLRVLWVTLTGTKECRVEPRS REFVIPQEVILGAGQQLFDFAARCLSEFLDAYPVENQGLKLGFNFSFPCHQTGLDRSTLI SWTKGFRCSGVEGQDVVQLLRDAIQRQGTYRIDVVAMVNDTVGTMMGCELGTRPCEVGLI VDTGTNACYMEEARHVAALDEDRGRTCVSIEWGSFYDEDALGPVLTTFDSALDRESLTPG AQRFEKMIGGLYLGELVRLVLVHLTQHGVLFDGCASPALLSQGCILLDHVAEMEDTATGT ARVHTILQDLGLSPRASDAELVQYVCVAVCTRAAQLCAAALAAVLSRLQHSREQQTLQVA VATGGRVFERHPRFLRILKETVTLLAPNCDVSFIPSVDGGGRGVAMVTAVAARLAAHRRI LEETLAPFQLTLEQMTVVQAQMREAMIRGLQGEASSLRMLPTYVRATPDGSERGDFLALD LGGTNFRVLLVRVAEGSVQIINQVYSIPECRAQGSGQKLFDHIVDCIVDFQKRQGLSGQS LPLGFTFSFPCKQLGLDQGILLNWTKGFNASGCEGQDVVYLLREAIRRRQAVELNVVAIV NDTVGTMMSCGYDDPRCEMGLIVGTGTNACYMEELRNVASVPGDSGLMCINMEWGAFGDD GSLGTLSTRFDTSVDQASINPGKQRFEKMISGMYLGEIVRHILLHLTNLGVLFRGQKTQC LQARDIFKTKFLSEIESDSLALRQVRAILEDLGLTLTSDDALMVLEVCQAVSRRAAQLCG AGVAAVVEKIRENRGLQELTVSVGVDGTLYKLHPHFSKLVSATVRKLAPQCTVTFLQSED GSGKGAALVTAVACRLTQMAHV >ENSMUSP00000115227.1 pep:known chromosome:GRCm38:13:55005988:55021234:-1 gene:ENSMUSG00000025877.14 transcript:ENSMUST00000153665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk3 description:hexokinase 3 [Source:MGI Symbol;Acc:MGI:2670962] MEQALKGQDSPAPSVRMLPTYVRSTPHGTEQGDFLVLELGATGASLRVLWVTLTGTKECR VEPRSREFVIPQEVILGAGQQLFDFAARCLSEFLDAYPVENQGLKLGFNFSFPCHQTGLD RSTLISWTKGFRCSGVEGQDVVQLLRDAIQRQGTYRIDVVAMVNDTVGTMMGCELGTRPC EVGLIVDTGTNACYMEEARHVAALDEDRGRTCVSIEWGSFYDEDALGPVLTTFDSALDRE SLTPGAQRFEKMIGGLYLGELVRLVLVHLTQHGVLFDGCASPALLSQGCILLDHVAEMED TATGTARVHTILQDLGLSPRASDAELVQYVCVAVCTRAAQLCAAALAAVLSRLQHSREQQ TLQVAVATGGRVFERHPRFLRILKETVTLLAPNCDVSFIPSVDGGGRGVAMVTAVAARLA AHRRILEETLAPFQLTLEQMTVVQAQMREAMIRGLQGEASSLRMLPTYVRATPDGSERGD FLALDLGGTNFRVLLVRVAEGSVQIINQVYSIPECRAQGSGQKLFDHIVDCIVDFQKRQG LSGQSLPLGFTFSFPCKQLGLDQGILLNWTKGFNASGCEGQDVVYLLREAIRRRQAVELN VVAIVNDTVGTMMSCGYDDPRCEMGLIVGTGTNACYMEELRNVASVPGDSGLMCINMEWG AFGDDGSLGTLSTRFDTSVDQASINPGKQRFEKMISGMYLGEIVRHILLHLTNLGVLFRG QKTQCLQARDIFKTKFLSEIESDSLALRQVRAILEDLGLTLTSDDALMVLEVCQAVSRRA AQLCGAGVAAVVEKIRENRGLQELTVSVGVDGTLYKLHPHFSKLVSATVRKLAPQCTVTF LQSEDGSGKGAALVTAVACRLTQMAHV >ENSMUSP00000117254.1 pep:known chromosome:GRCm38:13:55005992:55021296:-1 gene:ENSMUSG00000025877.14 transcript:ENSMUST00000132309.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hk3 description:hexokinase 3 [Source:MGI Symbol;Acc:MGI:2670962] MATIGPSGLHPGERASVCPHEGVPRPSGSLELECLQQFKVTRTQLQQIQASLLCSMEQAL KGQDSPAPSVRMLPTYVRSTPHGTEQGDFLVLELGATGASLRVLWVTLTGTKECRVEPRS REFVIPQEVILGAGQQLFDFAARCLSEFLDAYPVENQEHPHFLDKRF >ENSMUSP00000123233.1 pep:known chromosome:GRCm38:13:55006067:55020904:-1 gene:ENSMUSG00000025877.14 transcript:ENSMUST00000126234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk3 description:hexokinase 3 [Source:MGI Symbol;Acc:MGI:2670962] MATIGPSGLHPGERASVCPHEGVPRPSGSLELECLQQFKVTRTQLQQIQASLLCSMEQAL KGQDSPAPSVRMLPTYVRSTPHGTEQGDFLVLELGATGASLRVLWVTLTGTKECRVEPRS REFVIPQEVILGAGQQLFDFAARCLSEFLDAYPVENQGLKLGFNFSFPCHQTGLDRSTLI SWTKGFRCSGVEGQDVVQLLRDAIQRQGTYRIDVVAMVNDTVGTMMGCELGTRPCEVGLI VDTGTNACYMEEARHVAALDEDRGRTCVSIEWGSFYDEDALGPVLTTFDSALDRESLTPG AQRFEKMIGGLYLGELVRLVLVHLTQHGVLFDGCASPALLSQGCILLDHVAEMEDTATGT ARVHTILQDLGLSPRASDAELVQYVCVAVCTRAAQLCAAALAAVLSRLQHSREQQTLQVA VATGGRVFERHPRFLRILKETVTLLAPNCDVSFIPSVDGGGRGVAMVTAVAARLAAHRRI LEETLAPFQLTLEQMTVVQAQMREAMIRGLQGEASSLRMLPTYVRATPDGSERGDFLALD LGGTNFRVLLVRVAEGSVQIINQVYSIPECRAQGSGQKLFDHIVDCIVDFQKRQGLSGQS LPLGFTFSFPCKQLGLDQGILLNWTKGFNASGCEGQDVVYLLREAIRRRQAVELNVVAIV NDTVGTMMSCGYDDPRCEMGLIVGTGTNACYMEELRNVASVPGDSGLMCINMEWGAFGDD GSLGTLSTRFDTSVDQASINPGKQRFEKMISGMYLGEIVRHILLHLTNLGVLFRGQKTQC LQARDIFKTKFLSEIESDSLALRQVRAILEDLGLTLTSDDALMVLEVCQAVSRRAAQLCG AGVAAVVEKIRENRGLQELTVSVGVDGTLYKLHPHFSKLVSATVRKLAPQCTVTFLQSED GSGKGAALVTAVACRLTQMAHV >ENSMUSP00000116717.1 pep:known chromosome:GRCm38:13:55006068:55020904:-1 gene:ENSMUSG00000025877.14 transcript:ENSMUST00000123097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk3 description:hexokinase 3 [Source:MGI Symbol;Acc:MGI:2670962] MATIGPSGLHPGERASVCPHEGVPRPSGSLELECLQQFKVTRTQLQQIQASLLCSMEQAL KGQDSPAPSVRMLPTYVRSTPHGTEQGDFLVLELGATGASLRVLWVTLTGTKECRVEPRS REFVIPQEVILGAGQQLFDFAARCLSEFLDAYPVENQGLKLGFNFSFPCHQTGLDRSTLI SWTKGFRCSGVEGQDVVQLLRDAIQRQGTYRIDVVAMVNDTVGTMMGCELGTRPCEVGLI VDTGTNACYMEEARHVAALDEDRGRTCVSIEWGSFYDEDALGPVLTTFDSALDRESLTPG AQRFEKMIGGLYLGELVRLVLVHLTQHGVLFDGCASPALLSQGCILLDHVAEMEDTATGT ARVHTILQDLGLSPRASDAELVQYVCVAVCTRAAQLCAAALAAVLSRLQHSREQQTLQVA VATGGRVFERHPRRILEETLAPFQLTLEQMTVVQAQMREAMIRGLQGEASSLRMLPTYVR ATPDGSERGDFLALDLGGTNFRVLLVRVAEGSVQIINQVYSIPECRAQGSGQKLFDHIVD CIVDFQKRQGLSGQSLPLGFTFSFPCKQLGLDQGILLNWTKGFNASGCEGQDVVYLLREA IRRRQAVELNVVAIVNDTVGTMMSCGYDDPRCEMGLIVGTGTNACYMEELRNVASVPGDS GLMCINMEWGAFGDDGSLGTLSTRFDTSVDQASINPGKQRFEKMISGMYLGEIVRHILLH LTNLGVLFRGQKTQCLQARDIFKTKFLSEIESDSLALRQVRAILEDLGLTLTSDDALMVL EVCQAVSRRAAQLCGAGVAAVVEKIRENRGLQELTVSVGVDGTLYKLHPHFSKLVSATVR KLAPQCTVTFLQSEDGSGKGAALVTAVACRLTQMAHV >ENSMUSP00000119357.1 pep:known chromosome:GRCm38:13:55015029:55021297:-1 gene:ENSMUSG00000025877.14 transcript:ENSMUST00000148221.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk3 description:hexokinase 3 [Source:MGI Symbol;Acc:MGI:2670962] MATIGPSGLHPGERASVCPHEGVPRPSGSLELECLQQFKVTRTQLQQIQASLLCSMEQAL KGQDSP >ENSMUSP00000121088.1 pep:known chromosome:GRCm38:13:55015079:55021322:-1 gene:ENSMUSG00000025877.14 transcript:ENSMUST00000149644.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hk3 description:hexokinase 3 [Source:MGI Symbol;Acc:MGI:2670962] MATIGPSGLHPGERASVCPHEGVPRPSGSLELPPSVPGPYAARQC >ENSMUSP00000123489.1 pep:known chromosome:GRCm38:13:55015767:55021217:-1 gene:ENSMUSG00000025877.14 transcript:ENSMUST00000135983.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hk3 description:hexokinase 3 [Source:MGI Symbol;Acc:MGI:2670962] MATIGPSGLHPGERASVCPHEGVPRPSGSLELPPSVPGPYAARQC >ENSMUSP00000099848.3 pep:known chromosome:GRCm38:2:37798991:38287375:-1 gene:ENSMUSG00000035392.17 transcript:ENSMUST00000102787.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd1a description:DENN/MADD domain containing 1A [Source:MGI Symbol;Acc:MGI:2442794] MGSRIKQNPETTFEVYVEVAYPRTGGTLSDPEVQRQFPEDYSDQEVLQTLTKFCFPFYVD SLTVSQVGQNFTFVLTDIDSKQRFGFCRLSSGAKSCFCILSYLPWFEVFYKLLNILADYT TKRQESQWNELLETLHRLPIPDPGVSVHLSVHSYFTVPDSRELPSIPENRNLTEYFVAVD VNNMLHLYASMLYERRILIICSKLSTLTACIHGSAAMLYPMYWQHVYIPVLPPHLLDYCC APMPYLIGIHLSLMEKVRNMALDDVVILNVDTNTLETPFDDLQSLPNDVISSLKNRLKKV STTTGDGVARAFLKAQAAFFGSYRNALKIEPEEPITFSEEAFVSHYRSGAMKQFLQNATQ LQLFKQFIDGRLDLLNSGEGFSDVFEEEINMGEYAGSDKLYHQWLSTVRKGSGAILNTVK TKANPAMKTVYKFAKDHAKMGIKEVKNRLKQKDITENGCVSSAEDPLPKTMPSPQAETQD PRLREDRRPITVHFGQVRPPRPHVVRRPKSNITVEGRRTSVSSPEQPQPYRTLKESDSAE GDETESPEQLVREPWGPTPAPPDRAASIDLLEDVFSSLDVEAPLQPLGQAKSLEDLRAPK DLREQPGSFDYQRLDLCRSERGLSMAAALKLAHPYTKLWSLGQDDMAIPSKPSITSPEKP SALLGTSPALPLRPQNQEGILSPSIKEETPIPTPGSITIPRPQGRKTPELGIVPPPPTAR PAKLQAAGGPLGDFSSEPLQMDRERQAALSPALLSGLLPRAVPQGPTELLQPPSPAPGAA GTGSDALLALLDPLNTAWSGSTIPSHPATPSAATPFIPQLSFPPTVTPTPFVQTPLNPFV PSVPVVPPSMPLSSTPARPFGTPPASLGPAYAPSILLSSSGFYAPHRSQPNLSALSMPNL FGQIPMGAHTSPLQPLGPPAVAPSRIRTLPLARSSARAAEAKQGLALRPGESPLLPPRPP QSLQPTPQPSVPTQARDPFEDLLRKTKQDVSPSPAPALAPASTSVEQLRRQWETFE >ENSMUSP00000115527.1 pep:known chromosome:GRCm38:2:37801072:37852440:-1 gene:ENSMUSG00000035392.17 transcript:ENSMUST00000136460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd1a description:DENN/MADD domain containing 1A [Source:MGI Symbol;Acc:MGI:2442794] AKMGIKEVKNRLKQKDITENGCVSSAEDPLPKTMPSPQAETQDPRLREDRRPITVHFGQV RPPRPHVVRRPKSNITVEGRRTSVSSPEHLVKPLRHYAVFLSEDSSDEECRREEAPSTGF TESLLFSAPFEWPQPYRTLKESDSAEGDETESPEQLVREPWGPTPAPPDRAASIDLLEDV FSSLDVEAPLQPLGQAKSLEDLRAPKDLREQPGSFDYQRLDLCRSERGLSMAAALKLAHP YTKLWSLGQDDMAIPSKPSITSPEKPSALLGTSPALPL >ENSMUSP00000120998.1 pep:known chromosome:GRCm38:2:37816916:38287390:-1 gene:ENSMUSG00000035392.17 transcript:ENSMUST00000140552.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd1a description:DENN/MADD domain containing 1A [Source:MGI Symbol;Acc:MGI:2442794] MGSRIKQNPETTFEVYVEVAYPRTGGTLSGSSTDFDQVLFSLLCGQPHS >ENSMUSP00000116723.1 pep:known chromosome:GRCm38:2:37936619:38287377:-1 gene:ENSMUSG00000035392.17 transcript:ENSMUST00000150896.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd1a description:DENN/MADD domain containing 1A [Source:MGI Symbol;Acc:MGI:2442794] MGSRIKQNPETTFEVYVEVAYPRTGGTLSDPEVQRQFPEDYSDQEVLQTLTKFCFPFYVD SLTVSQVGQNFTFVLTDIDSKQRFGFCRLSSGAKSCFCILREI >ENSMUSP00000119892.1 pep:known chromosome:GRCm38:2:37936664:38287188:-1 gene:ENSMUSG00000035392.17 transcript:ENSMUST00000130472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd1a description:DENN/MADD domain containing 1A [Source:MGI Symbol;Acc:MGI:2442794] MGSRIKQNPETTFEVYVEVAYPRTGGTLSDPEVQRQFPEDYSDQKVRNMALDDVVILNVD TNTLETPFDDLQSLPNDVISSLKNRLKKVSTTTGDGVARAFLKAQ >ENSMUSP00000116018.1 pep:known chromosome:GRCm38:2:38043346:38287358:-1 gene:ENSMUSG00000035392.17 transcript:ENSMUST00000143095.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd1a description:DENN/MADD domain containing 1A [Source:MGI Symbol;Acc:MGI:2442794] MGSRIKQNPETTFEVYVEVAYPRTGGTLSDPEVQRQFPEDYSDQPHS >ENSMUSP00000116396.1 pep:known chromosome:GRCm38:2:38126604:38287218:-1 gene:ENSMUSG00000035392.17 transcript:ENSMUST00000142813.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd1a description:DENN/MADD domain containing 1A [Source:MGI Symbol;Acc:MGI:2442794] MGSRIKQNPETTFEVYVEVAYPRTGGTLSGRRECVVLEVIPDLQNKDRLPWLAFLSSPNW ILRCRGNSQRTTVTRKFYRL >ENSMUSP00000013882.7 pep:known chromosome:GRCm38:6:106780201:106800065:-1 gene:ENSMUSG00000005362.14 transcript:ENSMUST00000013882.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crbn description:cereblon [Source:MGI Symbol;Acc:MGI:1913277] MAGEGDQQDAAHNMGNHLPLLPDSEDEDDEIEMEVEDQDSKEARKPNIINFDTSLPTSHT YLGADMEEFHGRTLHDDDSCQVIPVLPEVLMILIPGQTLPLQLSHPQEVSMVRNLIQKDR TFAVLAYSNVQEREAQFGTTAEIYAYREEQEFGIEVVKVKAIGRQRFKVLELRTQSDGIQ QAKVQILPECVLPSTMSAVQLESLNKCQVFPSKPISWEDQYSCKWWQKYQKRKFHCANLT SWPRWLYSLYDAETLMDRIKKQLREWDENLKDDSLPENPIDFSYRVAACLPIDDVLRIQL LKIGSAIQRLRCELDIMNKCTSLCCKQCQETEITTKNEIFSLSLCGPMAAYVNPHGYVHE TLTVYKASNLNLIGRPSTVHSWFPGYAWTIAQCKICASHIGWKFTATKKDMSPQKFWGLT RSALLPTIPETEDEISPDKVILCL >ENSMUSP00000108865.3 pep:known chromosome:GRCm38:6:106780201:106800074:-1 gene:ENSMUSG00000005362.14 transcript:ENSMUST00000113239.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crbn description:cereblon [Source:MGI Symbol;Acc:MGI:1913277] MAGEGDQQDAAHNMGNHLPLLPADSEDEDDEIEMEVEDQDSKEARKPNIINFDTSLPTSH TYLGADMEEFHGRTLHDDDSCQVIPVLPEVLMILIPGQTLPLQLSHPQEVSMVRNLIQKD RTFAVLAYSNVQEREAQFGTTAEIYAYREEQEFGIEVVKVKAIGRQRFKVLELRTQSDGI QQAKVQILPECVLPSTMSAVQLESLNKCQVFPSKPISWEDQYSCKWWQKYQKRKFHCANL TSWPRWLYSLYDAETLMDRIKKQLREWDENLKDDSLPENPIDFSYRVAACLPIDDVLRIQ LLKIGSAIQRLRCELDIMNKCTSLCCKQCQETEITTKNEIFSLSLCGPMAAYVNPHGYVH ETLTVYKASNLNLIGRPSTVHSWFPGYAWTIAQCKICASHIGWKFTATKKDMSPQKFWGL TRSALLPTIPETEDEISPDKVILCL >ENSMUSP00000144723.1 pep:known chromosome:GRCm38:6:106783470:106799033:-1 gene:ENSMUSG00000005362.14 transcript:ENSMUST00000151484.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crbn description:cereblon [Source:MGI Symbol;Acc:MGI:1913277] MSPTPAVIFMDSEDEDDEIEMEVEDQDSKEARKPNIINFDTSLPTSHTYLGADMEEFHGR TLHDDDSCQVIPVLPEVLMILIPGQTLPLQLSHPQEVSMVRNLIQKDRTFAVLAYSNVQE REAQFGTTAEIYAYREEQEFGIEVVKVKAIGRQRFKVLELRTQSDGIQQAKVQILPECVL PSTMSAVQLESLNKCQVFPSKPISWEDQYSCKWWQKYQKRKFHCANLTSWPRWLYSLYDA ETLMDRIKKQLRE >ENSMUSP00000135117.1 pep:known chromosome:GRCm38:6:66776144:66777179:-1 gene:ENSMUSG00000093632.1 transcript:ENSMUST00000176121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r38 description:vomeronasal 1 receptor 38 [Source:MGI Symbol;Acc:MGI:2159445] MFSLNNIFYFQAGLGTLANIFLLIFYTIIILCHRSKPMDLISCQLTFIHIIMVITGWDIF TTEMFDLLNIENDIICKATSYLNRAMRGLSISITCLLSVFQAVTISPNSALLAKFKHKLK KYMIYSFFYFWSFNLSFSINRIFYTGAYINVSETNQVKVTKYCSLFPMNNIIRGLISTII TLRDVFFVGVMLSTSTYMVIILFRHQRQCKHLHSDSHLRASPEKRATQTILLLVFFYVVM YWVDFIMSSRTVFLWMYDPVMLTVQKFVLNAYPTITPLIQISSDNRILMILKSMQKIWHQ IF >ENSMUSP00000123514.1 pep:known chromosome:GRCm38:3:104767406:104777547:-1 gene:ENSMUSG00000055865.8 transcript:ENSMUST00000139783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam19a3 description:family with sequence similarity 19, member A3 [Source:MGI Symbol;Acc:MGI:3046463] MERPTSNWSAGSWVLALCLAWLWTCPASASLQPPTSAVLVKQGTCEVIAAHRCCNRNRIE ERSQTVKCSCLSGQVAGTTRAKPSCVDASIVLQKWWCQMEPCLLGEECKVLPDLSGWSCS SGHKVKTTKVTR >ENSMUSP00000081044.4 pep:known chromosome:GRCm38:8:25025451:25038962:-1 gene:ENSMUSG00000037406.6 transcript:ENSMUST00000084031.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra4 description:HtrA serine peptidase 4 [Source:MGI Symbol;Acc:MGI:3036260] MSFQRLWAVRTQFLLLWLLLPAVPVPWAEARRSRVSLPCPDACDPTRCPTLPTCSAGLAP VPDRCGCCRVCAAAEGQECGGARGRPCAPRLRCGAPFSRDPSGGAWLGTCGCAEGAEDAV VCGSDGRTYPSLCALRKENRAARQRGALPAVPVQKGACEEAGTTRAGRLRRKYNFIAAVV EKVAPSVVHLQLFRRSPLTNQEIPSSSGSGFIVSEDGLIVTNAHVLTNQQKIQVELQSGA RYEATVKDIDHKLDLALIKIEPDTELPVLLLGRSSDLRAGEFVVALGSPFSLQNTVTAGI VSTTQRGGRELGLKNSDIDYIQTDAIINHGNSGGPLVNLDGDVIGINTLKVTAGISFAIP SDRIRQFLEDYHERQLKGKAPLQKKYLGLRMLPLTLNLLQEMKRQDPEFPDVSSGVFVYE VIQGSAAASSGLRDHDVIVSINGQPVTTTTDVIEAVKDNDFLSIIVLRGSQTLFLTVTPE IIN >ENSMUSP00000033721.6 pep:known chromosome:GRCm38:X:168795099:169304435:1 gene:ENSMUSG00000031355.16 transcript:ENSMUST00000033721.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap6 description:Rho GTPase activating protein 6 [Source:MGI Symbol;Acc:MGI:1196332] MSAQSLLHSVFSCSSPASGGTASAKGFSKRKLRQTRSLDPALIGGCGSEMGAEGGLRGST VSRLHSPQLLAEGLGSRLASSPRSQHLRATRFQTPRPLCSSFSTPSTPQEKSPSGSFHFD YEVPLSRSGLKKSMAWDLPSVLAGSGSASSRSPASILSSSGGGPNGIFSSPRRWLQQRKF QPPPNSRSHPYVVWRSEGDFTWNSMSGRSVRLRSVPIQSLSELERARLQEVAFYQLQQDC DLGCQITIPKDGQKRKKSLRKKLDSLGKEKNKDKEFIPQAFGMPLSQVIANDRAYKLKQD LQREEQKDASSDFVSSLLPFGNKKQNKELSSSNSSLSSTSETPNESTSPNTPEPAPRARR RGAMSVDSITDLDDNQSRLLEALQLSLPAEAQSKKEKARDKKLSLNPIYRQVPRLVDSCC QHLEKHGLQTVGIFRVGSSKKRVRQLREEFDRGVDVCLEEEHSVHDVAALLKEFLRDMPD PLLTRELYTAFINTLLLEPEEQLGTLQLLIYLLPPCNCDTLHRLLQFLSIVARHADDNVS KDGQEVTGNKMTSLNLATIFGPNLLHKQKSSDKEYSVQSSARAEESTAIIAVVQKMIENY EALFMVPPDLQNEVLISLLETDPDVVDYLLRRKASQSSSPDILQTEVSFSMGGRHSSTDS NKASSGDISPYDNNSPVLSERSLLAMQEDRARGGSEKLYKVPEQYTLVGHLSSPKSKSRE SSPGPRLGKEMSEEPFNIWGTWHSTLKSGSKDPGMTGSYGDIFESSSLRPRPCSLSQGNL SLNWPRCQGSPTGLDSGTQVIRRTQTAATVEQCSVHLPVSRVCSTPHIQDGSRGTRRPAA SSDPFLSLNSTEDLAEGKEDVAWLQSQARPVYQRPQESGKDDRRPPPPYPGSGKPATTSA QLPLEPPLWRLQRHEEGSETAVEGGQQASGEHQTRPKKLSSAYSLSASEQDKQNLGEASW LDWQRERWQIWELLSTDNPDALPETLV >ENSMUSP00000084239.4 pep:known chromosome:GRCm38:X:169036611:169254074:1 gene:ENSMUSG00000031355.16 transcript:ENSMUST00000087016.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap6 description:Rho GTPase activating protein 6 [Source:MGI Symbol;Acc:MGI:1196332] MGDPSYSEKPRLHYAGDFTWNSMSGRSVRLRSVPIQSLSELERARLQEVAFYQLQQDCDL GCQITIPKDGQKRKKSLRKKLDSLGKEKNKDKEFIPQAFGMPLSQVIANDRAYKLKQDLQ REEQKDASSDFVSSLLPFGNKKQNKELSSSNSSLSSTSETPNESTSPNTPEPAPRARRRG AMSVDSITDLDDNQSRLLEALQLSLPAEAQSKKEKARDKKLSLNPIYRQVPRLVDSCCQH LEKHGLQTVGIFRVGSSKKRVRQTQEMKHHSEAWKDGVGMKQLKENVWICPFCA >ENSMUSP00000107757.1 pep:known chromosome:GRCm38:X:169036611:169258987:1 gene:ENSMUSG00000031355.16 transcript:ENSMUST00000112129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap6 description:Rho GTPase activating protein 6 [Source:MGI Symbol;Acc:MGI:1196332] MGDPSYSEKPRLHYAGDFTWNSMSGRSVRLRSVPIQSLSELERARLQEVAFYQLQQDCDL GCQITIPKDGQKRKKSLRKKLDSLGKEKNKDKEFIPQAFGMPLSQVIANDRAYKLKQDLQ REEQKDASSDFVSSLLPFGNKKQNKELSSSNSSLSSTSETPNESTSPNTPEPAPRARRRG AMSVDSITDLDDNQSRLLEALQLSLPAEAQSKKEKARDKKLSLNPIYRQVPRLVDSCCQH LEKHGLQTVGIFRVGSSKKRVRQLREEFDRGVDVCLEEEHSVHDVAALLKEFLRDMPDPL LTRELYTAFINTLLLEPEEQLGTLQLLIYLLPPCNCDTLHRLLQFLSIVARHADDNVSKD GQEVCCYKESVFLWIVHPCFCYCSI >ENSMUSP00000134512.1 pep:known chromosome:GRCm38:X:169036611:169304435:1 gene:ENSMUSG00000031355.16 transcript:ENSMUST00000139146.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arhgap6 description:Rho GTPase activating protein 6 [Source:MGI Symbol;Acc:MGI:1196332] MGDPSYSEKPRLHYAGDFTWNSMSGRSVRLRSVPIQSLSELERARLQEVAFYQLQQDCDL GCQITIPKDGQKRKKSLRKKLDSLGKEKNKDKEFIPQAFGMPLSQVIANDRAYKLKQDLQ REEQKDASSDFVSSLLPFGNKKQNKELSSSNSSLSSTSETPNESTSPNTPEPAPRARRRG AMSVDSITDLDDNQSRLLEALQLSLPAEAQSKKEKARDKKLSLNPIYRQVPRLVDSCCQH LEKHGLQTVGIFRVGSSKKRVRQLREEFDRGVDVCLEEEHSVHDVAALLKEFLRDMPDPL LTRELYTAFINTLLLEPEEQLGTLQLLIYLLPPCNCDTLHRLLQFLSIVARHADDNVSKD GQEVTGNKMTSLNLATIFGPNLLHKQKSSDKEYSVQSSARAEESTAIIAVVQKMIENYEA LFMVPPDLQNEVLISLLETDPDVVDYLLRRKASQSSTSSVLPAAGQACSQSPASDFTP >ENSMUSP00000107759.2 pep:known chromosome:GRCm38:X:169036611:169304435:1 gene:ENSMUSG00000031355.16 transcript:ENSMUST00000112131.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap6 description:Rho GTPase activating protein 6 [Source:MGI Symbol;Acc:MGI:1196332] MGDPSYSEKPRLHYAGDFTWNSMSGRSVRLRSVPIQSLSELERARLQEVAFYQLQQDCDL GCQITIPKDGQKRKKSLRKKLDSLGKEKNKDKEFIPQAFGMPLSQVIANDRAYKLKQDLQ REEQKDASSDFVSSLLPFGNKKQNKELSSSNSSLSSTSETPNESTSPNTPEPAPRARRRG AMSVDSITDLDDNQSRLLEALQLSLPAEAQSKKEKARDKKLSLNPIYRQVPRLVDSCCQH LEKHGLQTVGIFRVGSSKKRVRQLREEFDRGVDVCLEEEHSVHDVAALLKEFLRDMPDPL LTRELYTAFINTLLLEPEEQLGTLQLLIYLLPPCNCDTLHRLLQFLSIVARHADDNVSKD GQEVTGNKMTSLNLATIFGPNLLHKQKSSDKEYSVQSSARAEESTAIIAVVQKMIENYEA LFMVPPDLQNEVLISLLETDPDVVDYLLRRKASQSSSPDILQTEVSFSMGGRHSSTDSNK ASSGDISPYDNNSPVLSERSLLAMQEDRARGGSEKLYKVPEQYTLVGHLSSPKSKSRESS PGPRLGKEMSEEPFNIWGTWHSTLKSGSKDPGMTGSYGDIFESSSLRPRPCSLSQGNLSL NWPRCQGSPTGLDSGTQVIRRTQTAATVEQCSVHLPVSRVCSTPHIQDGSRGTRRPAASS DPFLSLNSTEDLAEGKEDVAWLQSQARPVYQRPQESGKDDRRPPPPYPGSGKPATTSAQL PLEPPLWRLQRHEEGSETAVEGGQQASGEHQTRPKKLSSAYSLSASEQDKQNLGEASWLD WQRERWQIWELLSTDNPDALPETLV >ENSMUSP00000107755.1 pep:known chromosome:GRCm38:X:169113047:169304435:1 gene:ENSMUSG00000031355.16 transcript:ENSMUST00000112127.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap6 description:Rho GTPase activating protein 6 [Source:MGI Symbol;Acc:MGI:1196332] MYKIFGDFTWNSMSGRSVRLRSVPIQSLSELERARLQEVAFYQLQQDCDLGCQITIPKDG QKRKKSLRKKLDSLGKEKNKDKEFIPQAFGMPLSQVIANDRAYKLKQDLQREEQKDASSD FVSSLLPFGNKKQNKELSSSNSSLSSTSETPNESTSPNTPEPAPRARRRGAMSVDSITDL DDNQSRLLEALQLSLPAEAQSKKEKARDKKLSLNPIYRQVPRLVDSCCQHLEKHGLQTVG IFRVGSSKKRVRQLREEFDRGVDVCLEEEHSVHDVAALLKEFLRDMPDPLLTRELYTAFI NTLLLEPEEQLGTLQLLIYLLPPCNCDTLHRLLQFLSIVARHADDNVSKDGQEVTGNKMT SLNLATIFGPNLLHKQKSSDKEYSVQSSARAEESTAIIAVVQKMIENYEALFMVPPDLQN EVLISLLETDPDVVDYLLRRKASQSSSPDILQTEVSFSMGGRHSSTDSNKASSGDISPYD NNSPVLSERSLLAMQEDRARGGSEKLYKVPEQYTLVGHLSSPKSKSRESSPGPRLGKEMS EEPFNIWGTWHSTLKSGSKDPGMTGSYGDIFESSSLRPRPCSLSQGNLSLNWPRCQGSPT GLDSGTQVIRRTQTAATVEQCSVHLPVSRVCSTPHIQDGSRGTRRPAASSDPFLSLNSTE DLAEGKEDVAWLQSQARPVYQRPQESGKDDRRPPPPYPGSGKPATTSAQLPLEPPLWRLQ RHEEGSETAVEGGQQASGEHQTRPKKLSSAYSLSASEQDKQNLGEASWLDWQRERWQIWE LLSTDNPDALPETLV >ENSMUSP00000002298.6 pep:known chromosome:GRCm38:3:104781056:104786018:1 gene:ENSMUSG00000002228.7 transcript:ENSMUST00000002298.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1j description:protein phosphatase 1J [Source:MGI Symbol;Acc:MGI:1919137] MLNRVRSAVAHLVSSGGTSSQRSKSPDLPNATSAPPAAQETPKSSREKPGNQVGAPQKTA ETTVSFSRPTFLQLSPGGLRRADDHAGRAVQSPPDTGRRLPWSTGYAEVINAGKSRHNED QACCEVVYVESRRSRSVTGVSREPSHNQGFCFYYWGLFDGHAGGGAAEMASRLLHRHIRE QLKDLVEILKDPLPPPLCLPSTPGTPGAPSPSQLVSPQSCWSPQKEVTHDSLIVGAIENA FHLMDEQMARERRGHQVEGGCCALVVLYLLGKMYVANAGDSRAIIVRNGEIIPMSREFTP ETERQRLQLLGFLKPELLGSEFTHLEFPRRVQPKELGQRMLYRDQNMTGWAYKKIEVEDL RFPLVCGEGKKARVMATIGVTRGLGDHNLKVCSSTLSIKPFLSCFPEVRVYDLTQYEHCP DDVLVLGTDGLWDVTNDSEVAATVDRVLSSYEPNDPSRYTALAQALVLGARGIPRDRGWR LPNNKLGSGDDISVFVIPLGGPGSSYS >ENSMUSP00000048764.2 pep:known chromosome:GRCm38:2:32450457:32456953:1 gene:ENSMUSG00000039164.2 transcript:ENSMUST00000048431.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naif1 description:nuclear apoptosis inducing factor 1 [Source:MGI Symbol;Acc:MGI:1918504] MAVPAKKRKMNFSEREVEIIVEELELKKHLLVNHFNAGVPLAAKSAAWHGILRRVNAVAT CRRELPEVKKKWSDLKTEVRRKVAQVRAAVEGGEAPGPTEDDGAGGPGTGSGSGGSGTAI APVLLTPMQQRICNLLGEATIISLPSTTEIHPVALGSTATTAAATVTLTQIPTETTYHTL EEGVVEYCTAEAPPPLPTEAPVEMIAQPPDTSVKPQALKSRIALNSAKLIQEQRVTNLHI KEIAQHLEQQNGLLQMIRRSQEVQACAQERQAQAMEGTQAALSVLIQVLRPMIKDFRRYL QNNTPNPAPASAPGPVAQNGQPDSIIQ >ENSMUSP00000078566.4 pep:known chromosome:GRCm38:18:77185853:77255450:1 gene:ENSMUSG00000025425.17 transcript:ENSMUST00000079618.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St8sia5 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 5 [Source:MGI Symbol;Acc:MGI:109243] MRYADPSANRDLLGNRTLLFIFICAFALVTLLQQILYSKSYIKRYFEFYKEPLEFNSTRC LELRQEILEVKVLSMVKQSELFERWKSLQICKWAMDTAEASLFKSTLSRCCNAPSFLFTT QKNTPVETNLRYEVESSGLYHIDQEIFKMFPKEMPYYRSQFKKCAVVGNGGILKNSGCGK EINSADFVFRCNLPPISGIYTTDVGEKTDVVTVNPSIIIDRFHKLEKWRRPFFSVLQRYE NASVLLPAFYNVRNTLVSFRVKYMLDDFQSRQPVYFFHPQYLSSVSRYWLSLGVRARRIS TGLILVTAALELCEEVHLFGFWAFPMNPSGFFITHHYYDNVKPKPGFHAMPSEIFTFLRM HSRGILRVHTGTCNCC >ENSMUSP00000074764.6 pep:known chromosome:GRCm38:18:77185979:77255320:1 gene:ENSMUSG00000025425.17 transcript:ENSMUST00000075290.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St8sia5 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 5 [Source:MGI Symbol;Acc:MGI:109243] MRYADPSANRDLLGNRTLLFIFICAFALVTLLQQILYSKSYIKRGFQFGWQRGDQQANWT GLFNDSDSPTEQNITGSSSRYFEFYKEPLEFNSTRCLELRQEILEVKVLSMVKQSELFER WKSLQICKWAMDTAEASLFKSTLSRCCNAPSFLFTTQKNTPVETNLRYEVESSGLYHIDQ EIFKMFPKEMPYYRSQFKKCAVVGNGGILKNSGCGKEINSADFVFRCNLPPISGIYTTDV GEKTDVVTVNPSIIIDRFHKLEKWRRPFFSVLQRYENASVLLPAFYNVRNTLVSFRVKYM LDDFQSRQPVYFFHPQYLSSVSRYWLSLGVRARRISTGLILVTAALELCEEVHLFGFWAF PMNPSGFFITHHYYDNVKPKPGFHAMPSEIFTFLRMHSRGILRVHTGTCNCC >ENSMUSP00000034594.9 pep:known chromosome:GRCm38:9:45253837:45269149:-1 gene:ENSMUSG00000032089.16 transcript:ENSMUST00000034594.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il10ra description:interleukin 10 receptor, alpha [Source:MGI Symbol;Acc:MGI:96538] MLSRLLPFLVTISSLSLEFIAYGTELPSPSYVWFEARFFQHILHWKPIPNQSESTYYEVA LKQYGNSTWNDIHICRKAQALSCDLTTFTLDLYHRSYGYRARVRAVDNSQYSNWTTTETR FTVDEVILTVDSVTLKAMDGIIYGTIHPPRPTITPAGDEYEQVFKDLRVYKISIRKFSEL KNATKRVKQETFTLTVPIGVRKFCVKVLPRLESRINKAEWSEEQCLLITTEQYFTVTNLS ILVISMLLFCGILVCLVLQWYIRHPGKLPTVLVFKKPHDFFPANPLCPETPDAIHIVDLE VFPKVSLELRDSVLHGSTDSGFGSGKPSLQTEESQFLLPGSHPQIQGTLGKEESPGLQAT CGDNTDSGICLQEPGLHSSMGPAWKQQLGYTHQDQDDSDVNLVQNSPGQPKYTQDASALG HVCLLEPKAPEEKDQVMVTFQGYQKQTRWKAEAAGPAECLDEEIPLTDAFDPELGVHLQD DLAWPPPALAAGYLKQESQGMASAPPGTPSRQWNQLTEEWSLLGVVSCEDLSIESWRFAH KLDPLDCGAAPGGLLDSLGSNLVTLPLISSLQVEE >ENSMUSP00000135361.1 pep:known chromosome:GRCm38:9:45253840:45269149:-1 gene:ENSMUSG00000032089.16 transcript:ENSMUST00000176808.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il10ra description:interleukin 10 receptor, alpha [Source:MGI Symbol;Acc:MGI:96538] MLSRLLPFLVTISSLSLEFIAYGTETQPGMTSISVERLRHCPVISQRSPWICITEAMATG PESGQWTTVSTPTGPPLRLASQWMK >ENSMUSP00000135461.1 pep:known chromosome:GRCm38:9:45254527:45269146:-1 gene:ENSMUSG00000032089.16 transcript:ENSMUST00000176222.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il10ra description:interleukin 10 receptor, alpha [Source:MGI Symbol;Acc:MGI:96538] MLSRLLPFLVTISSLSLEFIAYELPSPSYVWFEARFFQHILHWKPIPNQSESTYYEVALK QYGNSTWNDIHICRKAQALSCDLTTFTLDLYHRSYGYRARVRAVDNSQYSNWTTTETRFT VDEVILTVDSVTLKAMDGIIYGTIHPPRPTITPAGDEYEQVFKDLRVYKISIRKFSELKN ATKRVKQETFTLTVPIGVRKFCVKVLPRLESRINKAEWSEEQCLLITTEQYFTVTNLSIL VISMLLFCGILVCLVLQWYIRHPGKLPTVLVFKKPHDFFPANPLCPETPDAIHIVDLEVF PKVSLELRDSVLHGSTDSGFGSGKPSLQTEESQFLLPGSHPQIQGTLGKEESPGLQATCG DNTDSGICLQEPGLHSSMGPAWKQQLGYTHQDQDDSDVNLVQNSPGQPKYTQDASALGHV CLLEPKAPEEKDQVMVTFQGYQKQTRWKAEAAGPAECLDEEIPLTDAFDPELGVHLQDDL AWPPPALAAGYLKQESQGMASAPPGTPSRQWNQLTEEWSLLGVVSCEDLSIESWRFAHKL DPLDCGAAPGGLLDSLGSNLVTLPLISSLQVEE >ENSMUSP00000018143.9 pep:known chromosome:GRCm38:2:167015193:167034947:1 gene:ENSMUSG00000017999.16 transcript:ENSMUST00000018143.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx27 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 27 [Source:MGI Symbol;Acc:MGI:2385884] MLAELGFIRTIGENDEVPVEPESDSGDEEEEGPIVLGRKQKALQKNRSADFNPDFVFTEK EGMYDGSWALADVMSQLKKKRAATTLDEKIEKVRKRRKAEDKEAKSGKVEEKEGQADSDL KGQENPGEDEAGSKDEDSETDYSSEDEEILTKADTLKVKEKKKKKKGQAAGGFFEDASEY DKSLSFQDMNLSRPLLKAITAMGFKQPTPIQKACIPVGLLGKDICACAATGTGKTAAFAL PVLERLIYKPRQAAVTRVLVLVPTRELGIQVHSVTKQLAQFCSITTCLAVGGLDVKSQEA ALRAAPDILIATPGRLIDHLHNCPSFHLSSIEVLILDEADRMLDEYFEEQMKEIIRMCSH HRQTMLFSATMTDEVKDLASVSLKNPVRIFVNSNTDVAPFLRQEFIRIRPNREGDREAIV AALLMRTFTDHVMLFTQTKKQAHRMHILLGLLGLQVGELHGNLSQTQRLEALRRFKDEQI DILVATDVAARGLDIEGVKTVINFTMPNTVKHYVHRVGRTARAGRAGRSVSLVGEEERKM LKEIVKAAKAPVKARILPQDVILKFRDKIEKLEKDVYAVLQLEAEEKEMQQSEAQIDTAQ RLLAKGKETADQEPERSWFQTKEERKKEKIAKALQEFDLALRGKKKRKKFMKDAKKKGEM TAEERSQFEILKAQMFAERLAKRNRRTKRARAMPEDEPTGPAKKQKQQQKSVFDEELTNT SKKALKQYRAGPSFEERKQSGLPRQRRGNFKSKSRYKRKK >ENSMUSP00000135815.1 pep:known chromosome:GRCm38:2:167015310:167024533:1 gene:ENSMUSG00000017999.16 transcript:ENSMUST00000176066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx27 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 27 [Source:MGI Symbol;Acc:MGI:2385884] MLAELGFIRTIGENDEVPVEPESDSGDEEEEGPIVLGRKQKALQKNRSADFNPDFVFTEK EGMYDGSWALADVMSQLKKKRAATTLDEKIEKVRKRRKAEDKEAKSGKVEEKEGQADSDL KGQENPGEDEAGSKDEDSETDYSSEDEEILTKADTLKVKEKKKKKKGQVSLGLRLLKGHA GLLALLSSFLSSDLLQAAGGFFEDASEYDKSLSFQDMNLSRPLLKAITAMGFKQPTPIQK ACIPVGLLGKDICACAATGTGKTAAFALPVLERLIYKPRQAAVTRVLVLVPTRELGIQVH SVTKQLAQFC >ENSMUSP00000135265.1 pep:known chromosome:GRCm38:2:167015310:167025813:1 gene:ENSMUSG00000017999.16 transcript:ENSMUST00000150571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx27 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 27 [Source:MGI Symbol;Acc:MGI:2385884] MLAELGFIRTIGENDEVPVEPESDSGDEEEEGPIVLGRKQKALQKNRSADFNPDFVFTEK EGMYDGSWALADVMSQLKKKRAATTLDEKIEKVRKRRKAEDKEAKSGKVEEKEGQADSDL KGQENPGEDEAGSKDEDSETDYSSEDEEILTKADTLKVKEKKKKKKGQAAGGFFEDASEY DKSLSFQDMNLSRPLLKAITAMGFKQPTPIQKACIPVGLLGKDICACAATGTGKTAAFAL PVLERLIYKPRQAAVTRVLVLVPTRELGIQVHSVTKQLAQFCSITTCLAVGE >ENSMUSP00000075377.5 pep:known chromosome:GRCm38:6:115774538:115805557:1 gene:ENSMUSG00000030319.8 transcript:ENSMUST00000075995.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cand2 description:cullin-associated and neddylation-dissociated 2 (putative) [Source:MGI Symbol;Acc:MGI:1914338] MSTGAFYISSLLEKMTSSDKDFRFMATSDLMSELQKDSIQLDEDSERKVVRTLLRLLEDR SGEVQNLAVKCLGPLVGKVKEYQVENIVDTLCANMRSDKEQLRDIAGIGLKTVLSELPPA ATGSGLAINVCRKITGQLTSAIAQQEDVAVQLEALDILSDMLSRLGAPLGTFHASLLHCL LPQLSSPRLAVRKRTVVALGHLAAACSTDLFVELADHLVDRLPGPRAPASPAAIRTLIQC LGSVGRQAGHRLGAHLDRLVPMVEEFCNLDDDELRESCLQAFEAFLRKCPKEMDPHVPNV TSLCLQYMKHDPNYDHDSDDEEQMETEDSEFSEQESEDEYSDDDDMSWKVRRAAAKCMAA LISSRPDLLPDFHCTLAPALIRRFKEREENVKADIFGAYIMLLRHTRPPKGWLEAVEEPT QTGRNLNMLRAQVPLVIKALQRQLKDRNVRTRQGCFNLFTELAGVLPGSLAEHMAVLVSG IVFSLADYSSSSTIRMDALAFLQGLLGTEPAEAFHPHLPTLLPPVMACVADPFYKVAAEA LLVLQELVRTLWPLDRPRLLDPEPYVGEMSTATLARLRATDLDQEVKERAISCVGHLVGH LGDRLGDDLEPTLMLLLDRLRNEITRLPAVKALTLVAMSPLRLDLQPILAEALPILASFL RKNQRALRLATLAALDALAQSQGLGLPPPAVRTVLTELPALVSENDMHVAQLAVDFLTTV TQTQPSSLVEVSGPVLGELLQLLHSPLLPAGVLAATEGFLQALVGTRPPCVEYSELISLL TAPVYNQVGDGGPGLHKQVFHSLARCVAALSAACPQEAAGTASRLVCDAKSPHSSTGVKV LAFLSLAEVGQVAGPGPQRELKTVLLEALGSPSEDVRAAAAYALGRVGAGNLPDFLPFLL AQIEAQPRRQYLLLHALREALGAAQPDNLKPYVEDVWALLFQRCESPEEGTRCVVAECIG KLVFVNPPYLLPRFRKQLAAGQPYTRSTVITAVKFLISDQPHSIDPLLKSFIAEFMESLQ DPDLNVRRATLTFFNSAVHNKPSLVRDLLDDILPLLYQETKIRRDLIREVEMGPFKHTVD DGLDVRKAAFECMYSLLESCLGQLDMCEFLNHVEDGLKDHYDIRMLTFIMLARLATLCPA PVLQRVDRLIEPLRATCTAKVKAGSVKQELEKQEELKRSAMRAVAALLTNPEVRKSPTVA DFSAQIRSNPELTTLFESIQKDTASGPSTDSMELS >ENSMUSP00000098192.2 pep:known chromosome:GRCm38:11:88999376:89013702:1 gene:ENSMUSG00000000275.16 transcript:ENSMUST00000100627.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim25 description:tripartite motif-containing 25 [Source:MGI Symbol;Acc:MGI:102749] MAELNPLAEELSCSVCLELFKEPVTTPCGHNFCMSCLDETWVVQGPPYRCPQCRKVYQVR PQLQKNTVMCAVVEQFLQAEQARTPVDDWTPPARFSASSAATQVACDHCLTEIAVKTCLV CMASFCQEHLRPHFDSPAFQDHPLQSPIRDLLRRKCTQHNRLRELFCPEHGECICHICLV EHKTCSPTTLSQASADLEYKLRNKLTIMHSHINGATKALEDVRSKQQCVQDSMKRKMEQL RQEYMEMKAVIDAAETSSLRKLKEEEKRVYGKFDTIYQVLVKKKSEMQKLKAEVELIMDK GDEFEFLEKAAKLQGESTKPVYIPKIDLDHDLIMGIYQGAADLKSELKHSIKKLQKKSEE HNGSGNKGDQTQSTFKPVQPSKKTIRK >ENSMUSP00000103528.3 pep:known chromosome:GRCm38:11:88999376:89020293:1 gene:ENSMUSG00000000275.16 transcript:ENSMUST00000107896.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim25 description:tripartite motif-containing 25 [Source:MGI Symbol;Acc:MGI:102749] MAELNPLAEELSCSVCLELFKEPVTTPCGHNFCMSCLDETWVVQGPPYRCPQCRKVYQVR PQLQKNTVMCAVVEQFLQAEQARTPVDDWTPPARFSASSAATQVACDHCLTEIAVKTCLV CMASFCQEHLRPHFDSPAFQDHPLQSPIRDLLRRKCTQHNRLRELFCPEHGECICHICLV EHKTCSPTTLSQASADLEYKLRNKLTIMHSHINGATKALEDVRSKQQCVQDSMKRKMEQL RQEYMEMKAVIDAAETSSLRKLKEEEKRVYGKFDTIYQVLVKKKSEMQKLKAEVELIMDK GDEFEFLEKAAKLQGESTKPVYIPKIDLDHDLIMGIYQGAADLKSELKHSIKKLQKKSEE HNGSGNKGDQTQSTFKPVQPSKKTIQEKKTKKTPVAPGPPSHFSPNKLPTFGAPGQSLDS KATSPDAAPKASAAQPDSVGVKAKVLENFLTKSRTELLEYFVKVIFDYNTAHNKVSLSNK YTTASVSDGLQHYRSHPQRFTYCSQVLGLHCYKNGIHYWEVELQKNNFCGVGICYGSMER QGPESRLGRNPNSWCVEWFNNKISAWHNNVEKTLPSTKATRVGVLLNCDHGFVIFFAVTE KVHLMYKFKVDFTEALYPAFWVFSAGTTLSICSK >ENSMUSP00000000284.6 pep:known chromosome:GRCm38:11:88999376:89020293:1 gene:ENSMUSG00000000275.16 transcript:ENSMUST00000000284.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim25 description:tripartite motif-containing 25 [Source:MGI Symbol;Acc:MGI:102749] MAELNPLAEELSCSVCLELFKEPVTTPCGHNFCMSCLDETWVVQGPPYRCPQCRKVYQVR PQLQKNTVMCAVVEQFLQAEQARTPVDDWTPPARFSASSAATQVACDHCLTEIAVKTCLV CMASFCQEHLRPHFDSPAFQDHPLQSPIRDLLRRKCTQHNRLRELFCPEHGECICHICLV EHKTCSPTTLSQASADLEYKLRNKLTIMHSHINGATKALEDVRSKQQCVQDSMKRKMEQL RQEYMEMKAVIDAAETSSLRKLKEEEKRVYGKFDTIYQVLVKKKSEMQKLKAEVELIMDK GDEFEFLEKAAKLQGESTKPVYIPKIDLDHDLIMGIYQGAADLKSELKHSIKKLQKKSEE HNGSGNKGDQTQSTFKPVQPSKKTIPVAPGPPSHFSPNKLPTFGAPGQSLDSKATSPDAA PKASAAQPDSVGVKAKVLENFLTKSRTELLEYFVKVIFDYNTAHNKVSLSNKYTTASVSD GLQHYRSHPQRFTYCSQVLGLHCYKNGIHYWEVELQKNNFCGVGICYGSMERQGPESRLG RNPNSWCVEWFNNKISAWHNNVEKTLPSTKATRVGVLLNCDHGFVIFFAVTEKVHLMYKF KVDFTEALYPAFWVFSAGTTLSICSK >ENSMUSP00000130984.1 pep:known chromosome:GRCm38:2:96318169:97631666:1 gene:ENSMUSG00000050587.14 transcript:ENSMUST00000135431.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc4c description:leucine rich repeat containing 4C [Source:MGI Symbol;Acc:MGI:2442636] MLNKMTLHPQQIMIGPRFNRALFDPLLVVLLALQLLVVAGLVRAQTCPSVCSCSNQFSKV ICVRKNLREVPDGISTNTRLLNLHENQIQIIKVNSFKHLRHLEILQLSRNHIRTIEIGAF NGLANLNTLELFDNRLTTIPNGAFVYLSKLKELWLRNNPIESIPSYAFNRIPSLRRLDLG ELKRLSYISEGAFEGLSNLRYLNLAMCNLREIPNLTPLIKLDELDLSGNHLSAIRPGSFQ GLMHLQKLWMIQSQIQVIERNAFDNLQSLVEINLAHNNLTLLPHDLFTPLHHLERIHLHH NPWNCNCDILWLSWWIRDMAPSNTACCARCNTPPNLKGRYIGELDQNYFTCYAPVIVEPP ADLNVTEGMAAELKCRASTSLTSVSWITPNGTVMTHGAYKVRIAVLSDGTLNFTNVTVQD TGMYTCMVSNSVGNTTASATLNVTAATTTPFSYFSTVTVETMEPSQDEARTTDNNVGPTP VIDWETTNVTTSLTPQSTRSTEKTFTIPVTDINSGIPGIDEVMKTTKIIIGCFVAITLMA AVMLVIFYKMRKQHHRQNHHAPTRTVEIINVDDEITGDTPMESHLPMPAIEHEHLNHYNS YKSPFNHTTTVNTINSIHSSVHEPLLIRMNSKDNVQETQI >ENSMUSP00000125218.2 pep:known chromosome:GRCm38:2:96318216:97630983:1 gene:ENSMUSG00000050587.14 transcript:ENSMUST00000162807.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc4c description:leucine rich repeat containing 4C [Source:MGI Symbol;Acc:MGI:2442636] MLNKMTLHPQQIMIGPRFNRALFDPLLVVLLALQLLVVAGLVRAQTCPSVCSCSNQFSKV ICVRKNLREVPDGISTNTRLLNLHENQIQIIKVNSFKHLRHLEILQLSRNHIRTIEIGAF NGLANLNTLELFDNRLTTIPNGAFVYLSKLKELWLRNNPIESIPSYAFNRIPSLRRLDLG ELKRLSYISEGAFEGLSNLRYLNLAMCNLREIPNLTPLIKLDELDLSGNHLSAIRPGSFQ GLMHLQKLWMIQSQIQVIERNAFDNLQSLVEINLAHNNLTLLPHDLFTPLHHLERIHLHH NPWNCNCDILWLSWWIRDMAPSNTACCARCNTPPNLKGRYIGELDQNYFTCYAPVIVEPP ADLNVTEGMAAELKCRASTSLTSVSWITPNGTVMTHGAYKVRIAVLSDGTLNFTNVTVQD TGMYTCMVSNSVGNTTASATLNVTAATTTPFSYFSTVTVETMEPSQDEARTTDNNVGPTP VIDWETTNVTTSLTPQSTRSTEKTFTIPVTDINSGIPGIDEVMKTTKIIIGCFVAITLMA AVMLVIFYKMRKQHHRQNHHAPTRTVEIINVDDEITGDTPMESHLPMPAIEHEHLNHYNS YKSPFNHTTTVNTINSIHSSVHEPLLIRMNSKDNVQETQI >ENSMUSP00000131795.1 pep:known chromosome:GRCm38:2:97467657:97631664:1 gene:ENSMUSG00000050587.14 transcript:ENSMUST00000059049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc4c description:leucine rich repeat containing 4C [Source:MGI Symbol;Acc:MGI:2442636] MLNKMTLHPQQIMIGPRFNRALFDPLLVVLLALQLLVVAGLVRAQTCPSVCSCSNQFSKV ICVRKNLREVPDGISTNTRLLNLHENQIQIIKVNSFKHLRHLEILQLSRNHIRTIEIGAF NGLANLNTLELFDNRLTTIPNGAFVYLSKLKELWLRNNPIESIPSYAFNRIPSLRRLDLG ELKRLSYISEGAFEGLSNLRYLNLAMCNLREIPNLTPLIKLDELDLSGNHLSAIRPGSFQ GLMHLQKLWMIQSQIQVIERNAFDNLQSLVEINLAHNNLTLLPHDLFTPLHHLERIHLHH NPWNCNCDILWLSWWIRDMAPSNTACCARCNTPPNLKGRYIGELDQNYFTCYAPVIVEPP ADLNVTEGMAAELKCRASTSLTSVSWITPNGTVMTHGAYKVRIAVLSDGTLNFTNVTVQD TGMYTCMVSNSVGNTTASATLNVTAATTTPFSYFSTVTVETMEPSQDEARTTDNNVGPTP VIDWETTNVTTSLTPQSTRSTEKTFTIPVTDINSGIPGIDEVMKTTKIIIGCFVAITLMA AVMLVIFYKMRKQHHRQNHHAPTRTVEIINVDDEITGDTPMESHLPMPAIEHEHLNHYNS YKSPFNHTTTVNTINSIHSSVHEPLLIRMNSKDNVQETQI >ENSMUSP00000128490.1 pep:known chromosome:GRCm38:2:97468089:97629063:1 gene:ENSMUSG00000050587.14 transcript:ENSMUST00000170144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc4c description:leucine rich repeat containing 4C [Source:MGI Symbol;Acc:MGI:2442636] MLNKMTLHPQQ >ENSMUSP00000060581.7 pep:known chromosome:GRCm38:2:32414487:32431119:-1 gene:ENSMUSG00000026819.15 transcript:ENSMUST00000052119.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a25 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 25 [Source:MGI Symbol;Acc:MGI:1915913] MLQTLWHFLSSFLPRAECQDSREGIDHGVRGTQAPARGDQMSTFLGKQNGRAEATEKRPT ILLVVGPAEQFPKKIVQAGDKDLDGQLDFEEFVHYLQDHEKKLRLVFKSLDKKNDGRIDA QEIMQSLRDLGVKISEQQAEKILKRIRTGHFWGPVTYMDKNGTMTIDWNEWRDYHLLHPV ENIPEIILYWKHSTIFDVGENLTVPDEFTVEERQTGMWWRHLVAGGGAGAVSRTCTAPLD RLKVLMQVHASRSNNMCIVGGFTQMIREGGAKSLWRGNGINVLKIAPESAIKFMAYEQMK RLVGSDQETLRIHERLVAGSLAGAIAQSSIYPMEVLKTRMALRKTGQYSGMLDCARRILA KEGVAAFYKGYIPNMLGIIPYAGIDLAVYETLKNTWLQRYAVNSADPGVFVLLACGTISS TCGQLASYPLALVRTRMQAQASIEGAPEVTMSSLFKQILRTEGAFGLYRGLAPNFMKVIP AVSISYVVYENLKITLGVQSR >ENSMUSP00000028160.8 pep:known chromosome:GRCm38:2:32414487:32451422:-1 gene:ENSMUSG00000026819.15 transcript:ENSMUST00000028160.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a25 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 25 [Source:MGI Symbol;Acc:MGI:1915913] MVSSVLCRCVASPPPDAAATASSSASSPASVGDPCGGAVCGGPDHQLRLWSLFQTLDVNR DGGLCVNDLAVGLRRLGLHRTEGELRKIVQAGDKDLDGQLDFEEFVHYLQDHEKKLRLVF KSLDKKNDGRIDAQEIMQSLRDLGVKISEQQAEKILKRIRTGHFWGPVTYMDKNGTMTID WNEWRDYHLLHPVENIPEIILYWKHSTIFDVGENLTVPDEFTVEERQTGMWWRHLVAGGG AGAVSRTCTAPLDRLKVLMQVHASRSNNMCIVGGFTQMIREGGAKSLWRGNGINVLKIAP ESAIKFMAYEQMKRLVGSDQETLRIHERLVAGSLAGAIAQSSIYPMEVLKTRMALRKTGQ YSGMLDCARRILAKEGVAAFYKGYIPNMLGIIPYAGIDLAVYETLKNTWLQRYAVNSADP GVFVLLACGTISSTCGQLASYPLALVRTRMQAQASIEGAPEVTMSSLFKQILRTEGAFGL YRGLAPNFMKVIPAVSISYVVYENLKITLGVQSR >ENSMUSP00000108936.2 pep:known chromosome:GRCm38:2:32414490:32451445:-1 gene:ENSMUSG00000026819.15 transcript:ENSMUST00000113310.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a25 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 25 [Source:MGI Symbol;Acc:MGI:1915913] MVSSVLCRCVASPPPDAAATASSSASSPASVGDPCGGAVCGGPDHQLRLWSLFQTLDVNR DGGLCVNDLAVGLRRLGLHRTEGELRKIVQAGDKDLDGQLDFEEFVHYLQDHEKKLRLVF KSLDKKNDGRIDAQEIMQSLRDLGVKISEQQAEKILKSMDKNGTMTIDWNEWRDYHLLHP VENIPEIILYWKHSTIFDVGENLTVPDEFTVEERQTGMWWRHLVAGGGAGAVSRTCTAPL DRLKVLMQVHASRSNNMCIVGGFTQMIREGGAKSLWRGNGINVLKIAPESAIKFMAYEQM KRLVGSDQETLRIHERLVAGSLAGAIAQSSIYPMEVLKTRMALRKTGQYSGMLDCARRIL AKEGVAAFYKGYIPNMLGIIPYAGIDLAVYETLKNTWLQRYAVNSADPGVFVLLACGTIS STCGQLASYPLALVRTRMQAQASIEGAPEVTMSSLFKQILRTEGAFGLYRGLAPNFMKVI PAVSISYVVYENLKITLGVQSR >ENSMUSP00000108933.1 pep:known chromosome:GRCm38:2:32414970:32430752:-1 gene:ENSMUSG00000026819.15 transcript:ENSMUST00000113308.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a25 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 25 [Source:MGI Symbol;Acc:MGI:1915913] MLQTLWHFLSSFLPRAECQDSREGIDHGVRGTQAPARGDQMSTFLGKQNGRAEATEKRPT ILLVVGPAEQFPKKIVQAGDKDLDGQLDFEEFVHYLQDHEKKLRLVFKSLDKKNDGRIDA QEIMQSLRDLGVKISEQQAEKILKSMDKNGTMTIDWNEWRDYHLLHPVENIPEIILYWKH STIFDVGENLTVPDEFTVEERQTGMWWRHLVAGGGAGAVSRTCTAPLDRLKVLMQVHASR SNNMCIVGGFTQMIREGGAKSLWRGNGINVLKIAPESAIKFMAYEQMKRLVGSDQETLRI HERLVAGSLAGAIAQSSIYPMEVLKTRMALRKTGQYSGMLDCARRILAKEGVAAFYKGYI PNMLGIIPYAGIDLAVYETLKNTWLQRYAVNSADPGVFVLLACGTISSTCGQLASYPLAL VRTRMQAQASIEGAPEVTMSSLFKQILRTEGAFGLYRGLAPNFMKVIPAVSISYVVYENL KITLGVQSR >ENSMUSP00000108932.2 pep:known chromosome:GRCm38:2:32415060:32424005:-1 gene:ENSMUSG00000026819.15 transcript:ENSMUST00000113307.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a25 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 25 [Source:MGI Symbol;Acc:MGI:1915913] MLCLCLYVPIAGAAQTEFQYFESKGLPAELKSIFKLSVFIPSQEFSTYRQWKQKIVQAGD KDLDGQLDFEEFVHYLQDHEKKLRLVFKSLDKKNDGRIDAQEIMQSLRDLGVKISEQQAE KILKSMDKNGTMTIDWNEWRDYHLLHPVENIPEIILYWKHSTIFDVGENLTVPDEFTVEE RQTGMWWRHLVAGGGAGAVSRTCTAPLDRLKVLMQVHASRSNNMCIVGGFTQMIREGGAK SLWRGNGINVLKIAPESAIKFMAYEQMKRLVGSDQETLRIHERLVAGSLAGAIAQSSIYP MEVLKTRMALRKTGQYSGMLDCARRILAKEGVAAFYKGYIPNMLGIIPYAGIDLAVYETL KNTWLQRYAVNSADPGVFVLLACGTISSTCGQLASYPLALVRTRMQAQASIEGAPEVTMS SLFKQILRTEGAFGLYRGLAPNFMKVIPAVSISYVVYENLKITLGVQSR >ENSMUSP00000115617.1 pep:known chromosome:GRCm38:2:32417418:32431105:-1 gene:ENSMUSG00000026819.15 transcript:ENSMUST00000136361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a25 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 25 [Source:MGI Symbol;Acc:MGI:1915913] MTGSPKLFPSPGKVYLDIIFALQKEQKRSQVFDLPPAEKIVQAGDKDLDGQLDFEEFVHY LQDHEKKLRLVFKSLDKKNDGRIDAQEIMQSLRDLGVKISEQQAEKILKSMDKNGTMTID WNEWRDYHLLHPVENIPEIILYWKHSTIFDVGENLTVPDEFTVEERQTGMWWRHLVAGGG AGAVSRTCTAPLDRLKVLMQVHASRSNNMCIVGGFTQMIREGGAKSLWRGNGINVLKIAP ESAIKFMAYEQMKRLVGSDQETL >ENSMUSP00000141486.1 pep:known chromosome:GRCm38:2:32419048:32421980:-1 gene:ENSMUSG00000026819.15 transcript:ENSMUST00000153886.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a25 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 25 [Source:MGI Symbol;Acc:MGI:1915913] MQSLRDLGVKISEQQAEKILKSMDKNGTMTIDWNEWRDYHLLHPVENIPEIILYWKHSTI FDVGENLTVPDEFTVEERQTGMWWRHLVAGGGAGAVSRTCTAPLDRLKVLMQ >ENSMUSP00000121932.1 pep:known chromosome:GRCm38:2:32419130:32431104:-1 gene:ENSMUSG00000026819.15 transcript:ENSMUST00000127961.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a25 description:solute carrier family 25 (mitochondrial carrier, phosphate carrier), member 25 [Source:MGI Symbol;Acc:MGI:1915913] XTGSPKLFPSPGKVYLDIIFALQKEQKRSQVFDLPPAEKIVQAGDKDLDGQLDFEEFVHY LQDHEKKLRLVFKSLDKKNDGRIDAQEIMQSLRDLGVKISEQQAEKILKRIRTGHFWGPV TYMDKNGTMTIDWNEWRDYHLLHPVENIPEIILYWKHSTIFDVGENLTVPDEFTVEERQT GMWW >ENSMUSP00000144411.1 pep:known chromosome:GRCm38:8:69427980:69453565:-1 gene:ENSMUSG00000107280.1 transcript:ENSMUST00000200846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9495 description:predicted gene 9495 [Source:MGI Symbol;Acc:MGI:3779905] MEEEINYGAQTMNIPRRRRWYTRLWHSCLDLRCMHPQKKKKYPLYLIGYDPIGPLQRAAS VGDLDTTEKLIHSSQHHVDESDRRKRTSLHYACAHNHPDVVTLLLENNSSINIRDDEGCT PLIKATQRDNVDCASVLLTHNADPNLIDFSGNTALHHAISRGNLRIVKMLLEHNVDIEAK TEYGLTPLQLATFEQKPEMVEFLAAKCAKSSVTPSWSPSPTVSPCPSSTSPLSSLGLHVC PNPGTSSLSEDRKSSSARRIKLSTGSSAQRTEVKHVRFNEEVLYFKEERPLSCEARPPGQ LKSILKNTVQYNTVNEKITRRTSLWCSKGEDVRYTSCIKVKCFSVKSSFL >ENSMUSP00000066546.6 pep:known chromosome:GRCm38:8:25039144:25102194:-1 gene:ENSMUSG00000031557.15 transcript:ENSMUST00000064883.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha2 description:pleckstrin homology domain-containing, family A (phosphoinositide binding specific) member 2 [Source:MGI Symbol;Acc:MGI:1928144] MPYVDRQNRICGFLDIEDNENSGKFLRRYFILDTQANCLLWYMDNPQNLAVGAGAVGSLQ LTYISKVSIATPKQKPKTPFCFVINALSQRYFLQANDQKDLKDWVEALNQASKITVPKAG TVPLATEVLKNLTAPPTLEKKPQVAYKTEIIGGVVVQTPISQNGGDGQEGCEPGTHAFLR RSQSYIPTSGCRPSTGPPLIKSGYCVKQGNVRKSWKRRFFALDDFTICYFKCEQDREPLR TIPLKDVLKTHECLVKSGDLLMRDNLFEIITTSRTFYVQADSPEDMHSWIEGIGAAVQAL KCHPREPSFSRSISLTRPGSSTLTSAPNSILSRRRPPAEEKRGLCKAPSVASSWQPWTPV PQAEEKPLSVEHAPEDSLFMPNPGESTATGVLASSRVRHRSEPQHPKEKPFVFNLDDENI RTSDV >ENSMUSP00000122564.1 pep:known chromosome:GRCm38:8:25040840:25101985:-1 gene:ENSMUSG00000031557.15 transcript:ENSMUST00000128715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha2 description:pleckstrin homology domain-containing, family A (phosphoinositide binding specific) member 2 [Source:MGI Symbol;Acc:MGI:1928144] MPYVDRQNRICGFLDIEDNENSGKFLRRYFILDTQANCLLWYMDNPQNLAVGAGAVGSLQ LTYISKVSIATPKQKPKTPFCFVINALSQRYFLQANDQKDLKDWVEALNQASKITVPKAG TVPLATEVLKNLTAPPTLEKKPQVAYKTEIIGGVVVQTPISQNGGDGQEGCEPGTHAFLR RSQSYIPTSGCRPSTGPPLIKSGYCVKQGNVRKSWKRRFFALDDFTICYFKCEQDREPLR TIPLKDVLKTHECLVKSGDLLMRDNLFEIITTSRTFYVQADSPEDMHSWIEGIGAAVQAL KCHPREPSFSRSISLTRPGSSTLTSAPNSILSRRRPPAEEKRGLCKAPSVASSWQPWTPV PQAEEKPLSVEHAPEDSLFMPNPGESTATGVLASSRVRHRSEPQHPKEKPFVFNLDDENI RTSDV >ENSMUSP00000096464.4 pep:known chromosome:GRCm38:8:25042944:25101771:-1 gene:ENSMUSG00000031557.15 transcript:ENSMUST00000098866.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha2 description:pleckstrin homology domain-containing, family A (phosphoinositide binding specific) member 2 [Source:MGI Symbol;Acc:MGI:1928144] MPYVDRQNRICGFLDIEDNENSGKFLRRYFILDTQANCLLWYMDNPQNLAVGAGAVGSLQ LTYISKVSIATPKQKPKTPFCFVINALSQRYFLQANDQKDLKDWVEALNQASKITVPKAG TVPLATEVLKNLTAPPTLEKKPQVAYKTEIIGGVVVQTPISQNGGDGQEGCEPGTHAFLR RSQSYIPTSGCRPSTGPPLIKSGYCVKQGNVRKSWKRRFFALDDFTICYFKCEQDREPLR TIPLKDVLKTHECLVKSGDLLMRDNLFEIITTSRTFYVQADSPEDMHSWIEGIGAAVQAL KCHPREPSFSRSISLTRPGSSTLTSAPNSILSRRRPP >ENSMUSP00000116764.1 pep:known chromosome:GRCm38:8:25062433:25091346:-1 gene:ENSMUSG00000031557.15 transcript:ENSMUST00000125466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha2 description:pleckstrin homology domain-containing, family A (phosphoinositide binding specific) member 2 [Source:MGI Symbol;Acc:MGI:1928144] MPYVDRQNRICGFLDIEDNENSGKFLRRYFILDTQANCLLWYMDNPQNLAVGAGAVGSLQ LTYISKVSIATPKQKPKTPFCFVINALSQRYFLQA >ENSMUSP00000108873.1 pep:known chromosome:GRCm38:6:106769120:106777681:1 gene:ENSMUSG00000013736.16 transcript:ENSMUST00000113247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnt1 description:tRNA nucleotidyl transferase, CCA-adding, 1 [Source:MGI Symbol;Acc:MGI:1917297] MQSVLYPWHRQVLRCSWSRLCLLKRYLFTMKLQSPEFQSLFTEGLKSLTELFAKENHELR IAGGAVRDLLNGVKPQDVDFATTATPTQMKEMFQSAGIRMINNKGEKHGTITARLHEENF EVTTLRIDVTTDGRHAEVEFTTDWQKDAERRDLTINSMFLGFDGTLFDYFNGYADLKNKK VRFVGHAKQRIQEDYLRILRYFRPGIVLGDLTTEK >ENSMUSP00000108875.1 pep:known chromosome:GRCm38:6:106769141:106781871:1 gene:ENSMUSG00000013736.16 transcript:ENSMUST00000113249.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnt1 description:tRNA nucleotidyl transferase, CCA-adding, 1 [Source:MGI Symbol;Acc:MGI:1917297] MQSVLYPWHRQVLRCSWSRLCLLKRYLFTMKLQSPEFQSLFTEGLKSLTELFAKENHELR IAGGAVRDLLNGVKPQDVDFATTATPTQMKEMFQSAGIRMINNKGEKHGTITARLHEENF EVTTLRIDVTTDGRHAEVEFTTDWQKDAERRDLTINSMFLGFDGTLFDYFNGYADLKNKK VRFVGHAKQRIQEDYLRILRYFRFYGRIVDRPGDHDHETLEAIAENAKGLAGISGERIWV ELKKILTGDHVNHLIHLIYDLGVAPHIGLPANANLEEFNKVSKNVEGFSPKPMTLLASLF KVQDDVTKLDLRLKISKEEKNLGLFIVKNRKDLIKATDSSEPLKPYQDFVIDSREPDATA RVCELLKYQGEHGLLKEMQQWSVPPFPVSGHDIRKVGISSGKEIGALLQQLREQWKKSGY RMEKDELLSYIKKT >ENSMUSP00000108874.1 pep:known chromosome:GRCm38:6:106769166:106781654:1 gene:ENSMUSG00000013736.16 transcript:ENSMUST00000113248.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnt1 description:tRNA nucleotidyl transferase, CCA-adding, 1 [Source:MGI Symbol;Acc:MGI:1917297] MQSVLYPWHRQVLRCSWSRLCLLKRYLFTMKLQSPEFQSLFTEGLKSLTELFAKENHELR IAGGAVRDLLNGVKPQDVDFATTATPTQMKEMFQSAGIRMINNKGEKHGTITARLHEENF EVTTLRIDVTTDGRHAEVEFTTDWQKDAERRDLTINSMFLGFDGTLFDYFNGYADLKNKK VRFVGHAKQRIQEDYLRILRYFRFYGRIVDRPGDHDHETLEAIAENAKGLAGISGERIWV ELKKILTGDHVNHLIHLIYDLGVAPHIGLPANANLEEFNKVSKNVEGFSPKPMTLLASLF KVQDDVTKLDLRLKISKEEKNLGLFIVKNRKDLIKATDSSEPLKPYQDFVIDSREPDATA RVCELLKYQGEHGLLKEMQQWSVPPFPVSGHDIRKVGISSGKEIGALLQQLREQWKKSGY RMEKDELLSYIKKT >ENSMUSP00000060900.9 pep:known chromosome:GRCm38:6:106769166:106782474:1 gene:ENSMUSG00000013736.16 transcript:ENSMUST00000057578.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnt1 description:tRNA nucleotidyl transferase, CCA-adding, 1 [Source:MGI Symbol;Acc:MGI:1917297] MQSVLYPWHRQVLRCSWSRLCLLKRYLFTMKLQSPEFQSLFTEGLKSLTELFAKENHELR IAGGAVRDLLNGVKPQDVDFATTATPTQMKEMFQSAGIRMINNKGEKHGTITARLHEENF EVTTLRIDVTTDGRHAEVEFTTDWQKDAERRDLTINSMFLGFDGTLFDYFNGYADLKNKK VRFVGHAKQRIQEDYLRILRYFRFYGRIVDRPGDHDHETLEAIAENAKGLAGISGERIWV ELKKILTGDHVNHLIHLIYDLGVAPHIGLPANANLEEFNKVSKNVEGFSPKPMTLLASLF KVQDDVTKLDLRLKISKEEKNLGLFIVKNRKDLIKATDSSEPLKPYQDFVIDSREPDATA RVCELLKYQGEHGLLKEMQQWSVPPFPVSGHDIRKVGISSGKEIGALLQQLREQWKKSGY RMEKDELLSYIKKT >ENSMUSP00000144943.1 pep:known chromosome:GRCm38:6:106769286:106773351:1 gene:ENSMUSG00000013736.16 transcript:ENSMUST00000205163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnt1 description:tRNA nucleotidyl transferase, CCA-adding, 1 [Source:MGI Symbol;Acc:MGI:1917297] MQSVLYPWHRQVLRCSWSRLCLLKRYLFTMKLQSPEFQSLFTEGLKSLTELFAKENHELR IAGGAVRDLLNG >ENSMUSP00000144850.1 pep:known chromosome:GRCm38:6:106769507:106774465:1 gene:ENSMUSG00000013736.16 transcript:ENSMUST00000204782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trnt1 description:tRNA nucleotidyl transferase, CCA-adding, 1 [Source:MGI Symbol;Acc:MGI:1917297] MQSVLYPWHRQVLRCSWSRLCLLKRYLFTMKLQSPEFQSLFTEGLKSLTELFAKENHELR IAGGAVRDLLNGVKPQDVDFATTATPTQMKEMFQSAGIRMINNKGEKHGTITARLHEENF EVTTLRIDVTTDGR >ENSMUSP00000080523.3 pep:known chromosome:GRCm38:6:115805505:115808747:-1 gene:ENSMUSG00000057841.5 transcript:ENSMUST00000081840.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl32 description:ribosomal protein L32 [Source:MGI Symbol;Acc:MGI:98038] MAALRPLVKPKIVKKRTKKFIRHQSDRYVKIKRNWRKPRGIDNRVRRRFKGQILMPNIGY GSNKKTKHMLPSGFRKFLVHNVKELEVLLMCNKSYCAEIAHNVSSKNRKAIVERAAQLAI RVTNPNARLRSEENE >ENSMUSP00000145350.1 pep:known chromosome:GRCm38:6:115805512:115808348:-1 gene:ENSMUSG00000057841.5 transcript:ENSMUST00000203816.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl32 description:ribosomal protein L32 [Source:MGI Symbol;Acc:MGI:98038] MAALRPLVKPKIVKKRTKKFIRHQSDRYVKIKRNWRKPRGIDNRVRRRFKGQILMPNIGY GSNKKTKHMLPSGFRKFLVHNVKELEVLLMCNKSYCAEIAHNVSSKNRKAIVERAAQLAI RVTNPNARLRSEENE >ENSMUSP00000071904.5 pep:known chromosome:GRCm38:6:85587531:85702753:1 gene:ENSMUSG00000063810.6 transcript:ENSMUST00000072018.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alms1 description:Alstrom syndrome 1 [Source:MGI Symbol;Acc:MGI:1934606] MEPEDLPWPDELEEEEEEEEEEGEEEEGKKEVENASAAATEEALTSEESGRLEEFEEAGP DLDFNYESQRQESSDEEEDELAKAWLQAHPDRPGSAFSLPPPTPPPPPPPLSPRLRYTPV EHLGKTEVVPLTCRVWQQSSYQDNSRAQFSNSSTMLLETGVRWGSEEDQRTESWHCLPQE RDSSQTLAMSQTEIGRVEGTEVPDLPSQEGGLPAQSQCPGKKPKLNVLCSPLLVIQDNFA APDLPLLTCLIQDQEEVEPDSLFQQSELEFAPLRGIPDKSEDSEWLARPSEVSEALIQAT SETSSDLANSCFSISQHPLTEGLQGKAESGVLTRCGDAKYSSLYENLGAQSERIAVLQRE VGCSNLGISQASPSSLPSFVPQEPTSEPEYHSSNLRMLRVSPDTLLTTHTHSAGSADQKI GAAVVSSAYSQEIKPGSFHQEELPDRHLNEEIRKVSPALRTAGQKPEMLPVQSSSYSKGM KSIFYQHPVSHGHQGKEPLSVSAVCGSAGNKAFHQLSTLSDSLLTEETWPVSVIPGLGNQ KTPLPSEFSLSYSHRGKNLPEDVVKVSTDSGSAHKKADILTASSRTYQHKMKPANIYHQE LPDSRVPIGTRKVAFESGPAGQKSGVSHPYGEMPSVFYQQGLPDRHSAKSPTKTFIPGPA DQKTDLSPVPPTSSSHAEKPVSPYQLTLPGSHLPEDVFKASSVCKSSDELSGITALTSAS YSYKGRPNSSYQQKFPDSHLNEEAQKILGTTGTVDQKTVTPTMSSSFLQKEKPSIFYQQT LPDGGLSEEDLQVSAVPWPADQNIAIPTVTSAAFSQREKPRIFYQQTLSVDRLPGEPLNV LGTSGPPDQNTGAPTVTPSSYFPGEESIIFYQAGFPGNTLSAMSFKVPRISGSTEQTNVT TGSSSSYSVGEKSIIFYHQALPDGRLPQEASPAPADLNTGEPPMYLASCSVGVKPIIFYQ QPMSDSQRTKGHKESDVPGPTDQKTGIATVHSTSQSYIGRRTVSYQKEFPDLSEKALKVL GDVGSTEQKTQIPVVSSALLHKEGPSAYQEDLPDLTEEPLQILGVSEEVSSSSYQRKLPD HIEVFLKSVGSGSADRKTGAQIVSSSREKSSGFHQQELPNTGGDAVDAFHPEPVVQEVRK VQTPGAPAGPSSSHFHKEKLSDYQKASPHRDLTESSLKASTVPGLSDQKKKPAVSSGFCL HKEKHEISASALLNCQTAELLTVTQRSCLHREDPAISTVIKPDDQKIPLPTTFHGSSDQK VKPVIFVQKQLRDRDQSEDIPKISTVSEPTVVNTVLPVLLPGSYSHREKSDSFYPQELPD GHLTEVDLKVSSGLGQADQISGLPTGIPGTYSHSEKHQLISEHVQELMDNLNSSESSCLS VDSMPLNSQIDDGVIICKPESLGFANAGCEEMQNIDRGSKTLKEIQTLLMEAENMALKRC NFSVPLVPFRDVNDVSFIRSKKVVCFKESSTTDVCTQRESFVEEVPHIEYVQKDIGTQTN LKYQRGVGNWEFISSATFRSPLQEAEGTARMAYDETFRQYKAARSVMRSEPEGCSTGIGN KMIIPMMTIIKSDSSSDVSDGCCSWDNNLPESLESVSDVFLNFFPYTSPKTSITDSREEE WLSESEDGYGSTDSLAAHVKYLLQCETSLNQAKQILKNAEEEEYRVRTQAWNLKFNLGRD RGYSISELNEDDRRKVEEIKAKLFGHGRATHMSEGLRSPQGIGCLPEAVCSRIIIESHEK GCFRTLTAEQPRPDSCHCAFRSVEPSDLIRGHRSPSSWRGRHINLSRSIEQSNPCFKVGS SFQLQSHPPFQKLLPDDIKISKGVGMPVHAYMDPQPSELVEPTCVPAKEMDFPSSSQILP PEPKKQFTTAITFSSHEHSECISDSSGCKVGVTADSQCSGPSLGVFKPHIPEEQISPRDL KQKTSFQSSLERHGSTPVTILADGSRQRQKLPVDFEHSHQKEKLLQRLGFKVSHSEPNVS TNVSNFKGVQFSGKDTIVSQDKLTSTVEVKEKNVTVTPDLPSCIFLEQPELFEESHTPHT DLQMRKYPSPSCPEIASRIFLEQPKLSEQSKAPHVDREIREDHSFFPKCQDYIVADPSPD FPDQQQCKPPDVVGHTRKQNSLLSEGQDYELEEVQHIPQSYFSNMVNVEAKVSDAISQSA PDHCTAASTPPSNRKALSCVRITLCPKTSSKLDSGTLGERFHSLDPASKTRINSEFNSDL RIISSRSLEPTSKLLTCKPVAQDQESLVFLGPKSPLDLQVAQSSLPDSKTIFQDLKTKPP QNSQIVTSRQTQVNISHLEGYSKPEGTPVSADGSQEQSKVSFTTSFGKLSSDAITQITTE SPEKTTFSSEIFIHADDRGQGILDPMAQKPSRFASSSSVQQIPASHGKDAQPVLLPYKPS GSSKMYYVPLLKRVPSYLDSKSDTTVESSHSGSNDAIAPDFPPQMLGTRDDDLSNTVNIK HKEGIYSKRAATKGKNPSQKGDAAAPVQMPITWDENVLDENQEEVISRGVVIKMAGPEEM SSLEKDLAGPSDITVQDRKTENLPDTKSIKQKEGSLEIESECHSAFENTAHSVFRSAKFY FHHPVHLPHEQDFCHESLGRSVFMQHSWKDFFHHHSGHSCLPPPGPSSDKLDKTKMDYTR IKSLSINLNLGEHEKIHTIKNQARDPKGKRQANEQKKDQKVTPELTTECPVSLNELWNRY QERQKQQNPSGACDTKELSLVERLDRLAKLLQNPITHSLRASESAQDDSRGGHRAREWTG RRQQKQKGKQHRKWSKSLERGQSTGDFRKSKVFSPHQGGKSSQFKIEQIKLDKYILRKEP GFNNVSNTSLDSRPSEESVSLTDSPNIFSSTDSPVDSDVLTPTDRDMPLNERSSSISTID TVRLIQAFGQDRLSLSPRRIKLYSTVTSQRRRYLEQPCKHNRKALNTACPQMTSEHSRRR HIQVANHMTSSDSVSSPGSLLSLDSALSNEETVRMVSKGVQAGNLEIVAGVKKYTQDVGV TFPTPSSSEARLEEDSDVTSSSEEKAKEKKFLSNYLQTKNLRKNKPNPCAGVSWFVPVES GQSGSKKENLPKIYRPVISWFEPVTKTKPWREPLREQNWQAQCMNSRGSLGGPGRDSGQV SLRPFVRATLQESLQLHRPDFISHSGERIKRLKLLVQERKLQSLFQSEREALFHSARPLP RRVLLAVQKNKPIGKKEMIQRTRRIYEQLPEVKKKREEEKRKSEYKSYWLRAQHYKMKVT NHLLGRKVPWD >ENSMUSP00000136074.1 pep:known chromosome:GRCm38:9:22188168:22202123:1 gene:ENSMUSG00000074472.9 transcript:ENSMUST00000178901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp872 description:zinc finger protein 872 [Source:MGI Symbol;Acc:MGI:3588272] MDSVAFEDVAVNFTHEEWALLDDSQKELYQDVMQETVDNLASIGENWQDQSIRDQCKTQG KYISAHVEQRPREWKSACREVFTQLPALKQQHILPGVKPCECGICGKVYSCRSSLKRHMQ CHSEHTQWESHGFRGKLYQCKDCGKAFSFQSSFRIHERTHTGEKPYMCKECGKAFIYHTT YQGHMRMHTGEKPYKCKECGKAFSHPSLFRNHERAHSGEKPYECKQCGKTFRYYQTFQLH ERTHTGEKPYQCKQCGKALSRSTSFRSHERIHTGEKPYKCRKCGKAFRFPSSFRKHERIH TDERPYDCKECGKAFISLPSFRRHVILHTGNGPYKCKECGKAFDCPSSFHIHERTHTGEK PHECQRCGKAFSCASSVRIHERTHTGEKPYECKQCGKAFSSSSSFRMHERIHTGEKPYEC RQCGKAFSFSSSFRMHERTHKGEKPYECKQCGKAFSSSSSFHMHG >ENSMUSP00000089089.4 pep:known chromosome:GRCm38:9:22197067:22200624:1 gene:ENSMUSG00000074472.9 transcript:ENSMUST00000091508.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp872 description:zinc finger protein 872 [Source:MGI Symbol;Acc:MGI:3588272] DSVAFEDVAVNFTHEEWALLDDSQKELYQDVMQETVDNLASIGENWQDQSIRDQCKTQGK YISAHVEQRPREWKSACREVFTQLPALKQQHILPGVKPCECGICGKVYSCRSSLKRHMQC HSEHTQWESHGFRGKLYQCKDCGKAFSFQSSFRIHERTHTGEKPYMCKECGKAFIYHTTY QGHMRMHTGEKPYKCKECGKAFSHPSLFRNHERAHSGEKPYECKQCGKTFRYYQTFQLHE RTHTGEKPYQCKQCGKALSRSTSFRSHERIHTGEKPYKCRKCGKAFRFPSSFRKHERIHT DERPYDCKECGKAFISLPSFRRHVILHTGNGPYKCKECGKAFDCPSSFHIHERTHTGEKP HECQRCGKAFSCASSVRIHERTHTGEKPYECKQCGKAFSSSSSFRMHERIHTGEKPYECR QCGKAFSFSSSFRMHERTHKGEKPYECKQCGKAFSSSSSFHMHG >ENSMUSP00000000642.4 pep:known chromosome:GRCm38:6:82725025:82774454:-1 gene:ENSMUSG00000000628.10 transcript:ENSMUST00000000642.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk2 description:hexokinase 2 [Source:MGI Symbol;Acc:MGI:1315197] MIASHMIACLFTELNQNQVQKVDQYLYHMRLSDETLLEISRRFRKEMEKGLGATTHPTAA VKMLPTFVRSTPDGTEHGEFLALDLGGTNFRVLRVRVTDNGLQRVEMENQIYAIPEDIMR GSGTQLFDHIAECLANFMDKLQIKEKKLPLGFTFSFPCHQTKLDESFLVSWTKGFKSSGV EGRDVVDLIRKAIQRRGDFDIDIVAVVNDTVGTMMTCGYDDQNCEIGLIVGTGSNACYME EMRHIDMVEGDEGRMCINMEWGAFGDDGTLNDIRTEFDREIDMGSLNPGKQLFEKMISGM YMGELVRLILVKMAKAELLFQGKLSPELLTTGSFETKDVSDIEDDKDGIQKAYQILVRLG LSPLQEDCVATHRICQIVSTRSASLCAATLAAVLWRIKENKGEERLRSTIGVDGSVYKKH PHFAKRLHKAVRRLVPDCDVRFLRSEDGSGKGAAMVTAVAYRLADQHRARQKTLESLKLS HEQLLEVKRRMKVEMEQGLSKETHEAAPVKMLPTYVCATPDGTEKGDFLALDLGGTNFRV LLVRVRNGKRRGVEMHNKIYSIPQEVMHGTGEELFDHIVQCIADFLEYMGMKGVSLPLGF TFSFPCQQNSLDQSILLKWTKGFKASGCEGEDVVTLLKEAIRRREEFDLDVVAVVNDTVG TMMTCGYEDPHCEVGLIVGTGSNACYMEEMRNVELVDGEEGRMCVNMEWGAFGDNGCLDD LRTVFDVAVDELSLNPGKQRFEKMISGMYLGEIVRNILIDFTKRGLLFRGRISERLKTRG IFETKFLSQIESDCLALLQVRAILRHLGLESTCDDSIIVKEVCTVVARRAAQLCGAGMAA VVDKIRENRGLDNLKVTVGVDGTLYKLHPHFAKVMHETVRDLAPKCDVSFLESEDGSGKG AALITAVACRIREAGQR >ENSMUSP00000125986.1 pep:known chromosome:GRCm38:6:82727310:82773363:-1 gene:ENSMUSG00000000628.10 transcript:ENSMUST00000170833.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk2 description:hexokinase 2 [Source:MGI Symbol;Acc:MGI:1315197] MRLSDETLLEISRRFRKEMEKGLGATTHPTAAVKMLPTFVRSTPDGTEHGEFLALDLGGT NFRVLRVRVTDNGLQRVEMENQIYAIPEDIMRGSGTQLFDHIAECLANFMDKLQIKEKKL PLGFTFSFPCHQTKLDESFLVSWTKGFKSSGVEGRDVVDLIRKAIQRRGDFDIDIVAVVN DTVGTMMTCGYDDQNCEIGLIVGTGSNACYMEEMRHIDMVEGDEGRMCINMEWGAFGDDG TLNDIRTEFDREIDMGSLNPGKQLFEKMISGMYMGELVRLILVKMAKAELLFQGKLSPEL LTTGSFETKDVSDIEDDKDGIQKAYQILVRLGLSPLQEDCVATHRICQIVSTRSASLCAA TLAAVLWRIKENKGEERLRSTIGVDGSVYKKHPHFAKRLHKAVRRLVPDCDVRFLRSEDG SGKGAAMVTAVAYRLADQHRARQKTLESLKLSHEQLLEVKRRMKVEMEQGLSKETHEAAP VKMLPTYVCATPDGTEKGDFLALDLGGTNFRVLLVRVRNGKRRGVEMHNKIYSIPQEVMH GTGEELFDHIVQCIADFLEYMGMKGVSLPLGFTFSFPCQQNSLDQSILLKWTKGFKASGC EGEDVVTLLKEAIRRREEFDLDVVAVVNDTVGTMMTCGYEDPHCEVGLIVGTGSNACYME EMRNVELVDGEEGRMCVNMEWGAFGDNGCLDDLRTVFDVAVDELSLNPGKQRFEKMISGM YLGEIVRNILIDFTKRGLLFRGRISERLKTRGIFETKFLSQIESDCLALLQVRAILRHLG LESTCDDSIIVKEVCTVVARRAAQLCGAGMAAVVDKIRENRGLDNLKVTVGVDGTLYKLH PHFAKVMHETVRDLAPKCDVSFLESEDGSGKGAALITAVACRIREAGQR >ENSMUSP00000128572.1 pep:known chromosome:GRCm38:6:82760117:82772988:-1 gene:ENSMUSG00000000628.10 transcript:ENSMUST00000169270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hk2 description:hexokinase 2 [Source:MGI Symbol;Acc:MGI:1315197] MRLSDETLLEISRRFRKEMEKGLGATTHPTAAVKMLPTFVRST >ENSMUSP00000022765.7 pep:known chromosome:GRCm38:14:52261759:52279545:-1 gene:ENSMUSG00000022159.16 transcript:ENSMUST00000022765.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab2b description:RAB2B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923588] MTYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVNIDGKQIKLQIW DTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLTSWLEDARQHSSSNMVIMLIGNK SDLESRRDVKREEGEAFAREHGLIFMETSAKTACNVEEAYINTAKEIYRKIQQGLFDVHN EANGIKIGPQQSITSSVGPCSPQQNVSDIGPDSGCC >ENSMUSP00000131145.1 pep:known chromosome:GRCm38:14:52261766:52279298:-1 gene:ENSMUSG00000022159.16 transcript:ENSMUST00000167116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab2b description:RAB2B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923588] MTYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVNIDGKQIKLQIW DTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLTSWLEDARQHSSSNMVIMLIGNK SDLESRRDVKREEGEAFAREHGLIFMETSAKTACNVEEAYINTAKEIYRKIQQGLFDVHN EANGIKIGPQQSITSSVGPCSPQQNVSDIGPDSGCC >ENSMUSP00000098197.4 pep:known chromosome:GRCm38:14:52261771:52279339:-1 gene:ENSMUSG00000022159.16 transcript:ENSMUST00000100631.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab2b description:RAB2B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923588] MTYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVNIDGKQIKLQIW DTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLTSWLEDARQHSSSNMVIMLIGNK SDLESRRDVKREEGEAFAREHGLIFMETSAKTACNVEEANGIKIGPQQSITSSVGPCSPQ QNVSDIGPDSGCC >ENSMUSP00000133787.1 pep:known chromosome:GRCm38:14:52263710:52279241:-1 gene:ENSMUSG00000022159.16 transcript:ENSMUST00000172488.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab2b description:RAB2B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923588] MTYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVNIDGKQIKLQIW DT >ENSMUSP00000134726.1 pep:known chromosome:GRCm38:14:52263710:52279241:-1 gene:ENSMUSG00000022159.16 transcript:ENSMUST00000174020.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab2b description:RAB2B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923588] MTYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVNIDGKQIKLQIW DTGSSWSPAGV >ENSMUSP00000134315.1 pep:known chromosome:GRCm38:14:52264750:52275489:-1 gene:ENSMUSG00000022159.16 transcript:ENSMUST00000172634.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab2b description:RAB2B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923588] XVNIDGKQIKLQIWDTTRNLQPPDLMVRGRPPALQLQHGYNADRE >ENSMUSP00000046788.7 pep:known chromosome:GRCm38:8:26021421:26119224:-1 gene:ENSMUSG00000037234.16 transcript:ENSMUST00000037182.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hook3 description:hook microtubule tethering protein 3 [Source:MGI Symbol;Acc:MGI:2443554] MFNVESVERVELCESLLTWIQTFNVDAPCQTAEDLTNGVVMSQVLQKIDPVYFDDNWLNR IKTEVGDNWRLKISNLKKILKGILDYNHEILGQQINDFTLPDVNLIGEHSDAAELGRMLQ LILGCAVNCEQKQEYIQAIMMMEESVQHVVMTAIQELMSKESPVSAGHDAYVDLDRQLKK TTEELNEALSAKEEIAQRCHELDMQVAALQEEKSSLLAENQILMERLNQSDSIEDPNSPA GRRHLQLQTQLEQLQEETFRLEAAKDDYRIRCEELEKEISELRQQNDELTTLADEAQSLK DEIDVLRHSSDKVSKLEGQVESYKKKLEDLGDLRRQVKLLEEKNTMYMQNTVSLEEELRK ANAARGQLETYKRQVVELQNRLSDESKKADKLDFEYKRLKEKVDGLQKEKDRLRTERDSL KETIEELRCVQAQEGQLTTQGLMPLGSQESSDSLAAEIVTPEIREKLIRLQHENKMLKLN QEDSDNEKIALLQSLLDDANLRKNELETENRLVNQRLLEVQSQVEELQKSLQDQGSKAED SVLLKKKLEEHLEKLHEANNELQKKRAIIEDLEPRFNNSSLRIEELQEALRKKEEEMKQM EERYKKYLEKAKSVIRTLDPKQNQGAAPEIQALKNQLQERDRLFHSLEKEYEKTKSQRDM EEKYIVSAWYNMGMTLHKKAAEDRLASTGSGQSFLARQRQATSTRRSYPGHVQPATAR >ENSMUSP00000115008.1 pep:known chromosome:GRCm38:8:26038080:26070275:-1 gene:ENSMUSG00000037234.16 transcript:ENSMUST00000147613.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hook3 description:hook microtubule tethering protein 3 [Source:MGI Symbol;Acc:MGI:2443554] XHSSDKVSKLEGQVESYKKKLEDLGDLRRQVKLLEEKNTMYMQNTVSLEEELRKANAARG QLETYKRQVVELQNRLSDESKKADKLDFEYKRLKEKVDGLQKEKDRLRTERDSLKETIEE LRCVQAQEGQLTTQGLMPLGSQESSDSLAAEIVTPEIREKLIRLQHENKMLKLNQEDSDN EKIALLQSLLDDANLRKNELETENREKLHEANNELQKKRAIIEDLEPRFNNSSLRIEELQ EALRKK >ENSMUSP00000108365.1 pep:known chromosome:GRCm38:2:48949508:49260558:1 gene:ENSMUSG00000036792.12 transcript:ENSMUST00000112745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd5 description:methyl-CpG binding domain protein 5 [Source:MGI Symbol;Acc:MGI:2138934] MNGGKECDGGDKEGGLAAIQVPVGWQRRVDHNGVLYISPSGSLLSCLDQVKTYLLTDGTC KCGLECPLILPKVFNFDPGAAVKQRTAEDVKADDDVTKLCIHKRKIIAVATLHQSMEAPH PSLVLTSPGGGTNATPVVPSRAATPRSVRNKSHEGITNSVMPECKNPFKLMTGSSNAMGR LYMQDLPGSQQQELHPVYPRQRLGSSEHGQKSPFRGSHGGLPSPASSGSQIYGDGSISPR TDPLGSPDVFTRNNPGFHGAPNSSPIHLNRTPLSPPSVMLHGSPVQSSCAMAGRTNIPLS PTLTTKSPVMKKPMCNFSTNMEIPRAMFHHKPPQGPPPPPPPSCALQKKPLTSEKDPLGI LDPIPSKPVNQNPIIINPTSFHSNVHSQVPVMNVSMPPAVVPLPSNLPLPTVKPGHMNHG SHVQRIQHSASTSLSPSPVTSPVHMMGTGIGRIEASPQRSRSSSTSSDHGNFMMPPVGPQ ATCSGIKVPPRSPRSTIGSPRPSMPSSPSTKSDGHHQYKDIPNPLIAGMSNVLNTPSSAA FPTAPAGNGSVKSQPGLLGMPLNQILNQHNAASFPASSLLSAAAKAQLANQNKLAGNNSS SSNNSGAVASSGNTEGHSTLNTMFPPTANMLLPTGEGQSGRAALRDKLMSQQKDSLRKRK QPPTTVLSLLRQSQMDSSAAPKPGPDLLRKHGQGSFPISSMSQLLQSMSCQSSHLSSNST PGCGGSNTALPCSANQLHFPDPNMNSTVLQNSLTQSIPLRGEAVHCHNANTNFVHSNSPV PNHHLAGLINQIQASGNCGMLSQSGMALGNSLHPNPPQSRISTSSTPVIPNSIVSSYNQT SSEAGMILLEKSTQRY >ENSMUSP00000108374.1 pep:known chromosome:GRCm38:2:48949523:49316972:1 gene:ENSMUSG00000036792.12 transcript:ENSMUST00000112754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd5 description:methyl-CpG binding domain protein 5 [Source:MGI Symbol;Acc:MGI:2138934] MNGGKECDGGDKEGGLAAIQVPVGWQRRVDHNGVLYISPSGSLLSCLDQVKTYLLTDGTC KCGLECPLILPKVFNFDPGAAVKQRTAEDVKADDDVTKLCIHKRKIIAVATLHQSMEAPH PSLVLTSPGGGTNATPVVPSRAATPRSVRNKSHEGITNSVMPECKNPFKLMTGSSNAMGR LYMQDLPGSQQQELHPVYPRQRLGSSEHGQKSPFRGSHGGLPSPASSGSQIYGDGSISPR TDPLGSPDVFTRNNPGFHGAPNSSPIHLNRTPLSPPSVMLHGSPVQSSCAMAGRTNIPLS PTLTTKSPVMKKPMCNFSTNMEIPRAMFHHKPPQGPPPPPPPSCALQKKPLTSEKDPLGI LDPIPSKPVNQNPIIINPTSFHSNVHSQVPVMNVSMPPAVVPLPSNLPLPTVKPGHMNHG SHVQRIQHSASTSLSPSPVTSPVHMMGTGIGRIEASPQRSRSSSTSSDHGNFMMPPVGPQ ATCSGIKVPPRSPRSTIGSPRPSMPSSPSTKSDGHHQYKDIPNPLIAGMSNVLNTPSSAA FPTAPAGNGSVKSQPGLLGMPLNQILNQHNAASFPASSLLSAAAKAQLANQNKLAGNNSS SSNNSGAVASSGNTEGHSTLNTMFPPTANMLLPTGEGQSGRAALRDKLMSQQKDSLRKRK QPPTTVLSLLRQSQMDSSAAPKPGPDLLRKHGQGSFPISSMSQLLQSMSCQSSHLSSNST PGCGGSNTALPCSANQLHFPDPNMNSTVLQNSLTQSIPLRGEAVHCHNANTNFVHSNSPV PNHHLAGLINQIQASGNCGMLSQSGMALGNSLHPNPPQSRISTSSTPVIPNSIVSSYNQT SSEAGGSSLPSSIAIAGSNHPAITKTTSVLQDGVIVTTAAGNPLQSQLPIGSDFPFVGQE HALHFPSNSTANNHLPHPLNPSLLSSLPISLPVNQQHLLNQNLLNILQPSAGEGDISSIN NSLNNHQLTHLQSLLNSNQMFPPNQQPQQHLLQGHQNLQAFQGQPTVPCPANNNPMACLF QNFQVRMQGDAALLNKRISTQPGLTTLPENPNLALPHFQDTPCELQPRIDLGQPMKDGLV MGGQGDASVDAIYKAVVDAASKGMQVVITTAVNSTTQISPIPALSAMSAFTASIGDPLNL SSAVSAVIHGRNMGGVDHDGRLRNARGARLPKNIDHGKNSSEGDGFECFKSASCHTSRKQ WDGEQSPRGERNRWKYEEFLDHPGHIHSSPCHERPNNVSTLPFLAGEQHPILLPPRNCQG DKILEENFRYNNYKRTMMSFKERLESTVERCTHINGNRPRQSRGFGELLGTAKQDLVLEG QSPGSSNSLESSLVKDYIHYNGDFNAKTINGCVPSPSDAKSISSEDDLRNPDSPSSHELI HYRPRTFNVGDLVWGQIKGLTSWPGKFIREDDVHNSCQQSPEEGKVEPEKLKTLTEGLEA YSRVRKRSRKSGKLNNHLEAAIHEAMSELDKMSGTVHQIPQGDRQMRPPKPKRRKISR >ENSMUSP00000036847.3 pep:known chromosome:GRCm38:2:49245969:49319690:1 gene:ENSMUSG00000036792.12 transcript:ENSMUST00000047413.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd5 description:methyl-CpG binding domain protein 5 [Source:MGI Symbol;Acc:MGI:2138934] MNGGKECDGGDKEGGLAAIQVPVGWQRRVDHNGVLYISPSGSLLSCLDQVKTYLLTDGTC KCGLECPLILPKVFNFDPGAAVKQRTAEDVKADDDVTKLCIHKRKIIAVATLHQSMEAPH PSLVLTSPGGGTNATPVVPSRAATPRSVRNKSHEGITNSVMPECKNPFKLMTGSSNAMGR LYMQDLPGSQQQELHPVYPRQRLGSSEHGQKSPFRGSHGGLPSPASSGSQIYGDGSISPR TDPLGSPDVFTRNNPGFHGAPNSSPIHLNRTPLSPPSVMLHGSPVQSSCAMAGRTNIPLS PTLTTKSPVMKKPMCNFSTNMEIPRAMFHHKPPQGPPPPPPPSCALQKKPLTSEKDPLGI LDPIPSKPVNQNPIIINPTSFHSNVHSQVPVMNVSMPPAVVPLPSNLPLPTVKPGHMNHG SHVQRIQHSASTSLSPSPVTSPVHMMGTGIGRIEASPQRSRSSSTSSDHGNFMMPPVGPQ ATCSGIKVPPRSPRSTIGSPRPSMPSSPSTKSDGHHQYKDIPNPLIAGMSNVLNTPSSAA FPTAPAGNGSVKSQPGLLGMPLNQILNQHNAASFPASSLLSAAAKAQLANQNKLAGNNSS SSNNSGAVASSGNTEGHSTLNTMFPPTANMLLPTGEGQSGRAALRDKLMSQQKDSLRKRK QPPTTVLSLLRQSQMDSSAAPKPGPDLLRKHGQGSFPISSMSQLLQSMSCQSSHLSSNST PGCGGSNTALPCSANQLHFPDPNMNSTVLQNSLTQSIPLRGEAVHCHNANTNFVHSNSPV PNHHLAGLINQIQASGNCGMLSQSGMALGNSLHPNPPQSRISTSSTPVIPNSIVSSYNQT SSEAGGSSLPSSIAIAGSNHPAITKTTSVLQDGVIVTTAAGNPLQSQLPIGSDFPFVGQE HALHFPSNSTANNHLPHPLNPSLLSSLPISLPVNQQHLLNQNLLNILQPSAGEGKSEINL HPLGFLNPNVNAALAFLSGDVDGQVLQPVHFQLLAALLQNQAQAAAMLPLPSFNLTISDL LQPQNTPLPLLTQMTAPPDRLPSNQSDNSRADTLLGSPLGNPLAGSDTTFNPLLLPAVTG ASGLMALNPQLLGGVLNSASANTAIHPEVSIATSSQATTTTTTTSSAVAALTVSTLGGTA VVSMAETLLNISNNAGNPPGPAKLNSNSMVPQLLNPLLGTGLLGDISSINNSLNNHQLTH LQSLLNSNQMFPPNQQPQQHLLQGHQNLQAFQGQPTVPCPANNNPMACLFQNFQVRMQGD AALLNKRISTQPGLTTLPENPNLALPHFQDTPCELQPRIDLGQPMKDGLVMGGQGDASVD AIYKAVVDAASKGMQVVITTAVNSTTQISPIPALSAMSAFTASIGDPLNLSSAVSAVIHG RNMGGVDHDGRLRNARGARLPKNIDHGKNSSEGDGFECFKSASCHTSRKQWDGEQSPRGE RNRWKYEEFLDHPGHIHSSPCHERPNNVSTLPFLAGEQHPILLPPRNCQGDKILEENFRY NNYKRTMMSFKERLESTVERCTHINGNRPRQSRGFGELLGTAKQDLVLEGQSPGSSNSLE SSLVKDYIHYNGDFNAKTINGCVPSPSDAKSISSEDDLRNPDSPSSHELIHYRPRTFNVG DLVWGQIKGLTSWPGKFIREDDVHNSCQQSPEEGKVEPEKLKTLTEGLEAYSRVRKRSRK SGKLNNHLEAAIHEAMSELDKMSGTVHQIPQGDRQMRPPKPKRRKISR >ENSMUSP00000143060.1 pep:known chromosome:GRCm38:2:49246170:49272079:1 gene:ENSMUSG00000036792.12 transcript:ENSMUST00000199257.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd5 description:methyl-CpG binding domain protein 5 [Source:MGI Symbol;Acc:MGI:2138934] XRRVDHNGVLYISPSGSLLSCLDQVKTYLLTDGTCKCGLECPLILPKVFNFDPGAAVKQR TAEDVKADDDVTKLCIHKRKIIAVATLHQSMEAPHPSLVLTSPGGGTSGSSLPSSIAIAG >ENSMUSP00000119317.1 pep:known chromosome:GRCm38:2:49256561:49316998:1 gene:ENSMUSG00000036792.12 transcript:ENSMUST00000122841.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd5 description:methyl-CpG binding domain protein 5 [Source:MGI Symbol;Acc:MGI:2138934] XNSSPIHLNRTPLSPPSVMLHGSPVQSSCAMAGRTNIPLSPTLTTKSPVMKKPMCNFSTN MEIPRAMFHHKPPQGPPPPPPPSCALQKKPLTSEKDPLGILDPIPSKPVNQNPIIINPTS FHSNVHSQVPVMNVSMPPAVVPLPSNLPLPTVKPGHMNHGSHVQRIQHSASTSLSPSPVT SPVHMMGTGIGRIEASPQRSRSSSTSSDHGNFMMPPVGPQATCSGIKVPPRSPRSTIGSP RPSMPSSPSTKSDGHHQYKDIPNPLIAGMSNVLNTPSSAAFPTAPAGNGSVKSQPGLLGM PLNQILNQHNAASFPASSLLSAAAKAQLANQNKLAGNNSSSSNNSGAVASSGNTEGHSTL NTMFPPTANMLLPTGEGQSGRAALRDKLMSQQKDSLRKRKQPPTTVLSLLRQSQMDSSAA PKPGPDLLRKHGQGSFPISSMSQLLQSMSCQSSHLSSNSTPGCGGSNTALPCSANQLHFP DPNMNSTVLQNSLTQSIPLRGEAVHCHNANTNFVHSNSPVPNHHLAGLINQIQASGNCGM LSQSGMALGNSLHPNPPQSRISTSSTPVIPNSIVSSYNQTSSEAGGSSLPSSIAIAGSNH PAITKTTSVLQDGVIVTTAAGNPLQSQLPIGSDFPFVGQEHALHFPSNSTANNHLPHPLN PSLLSSLPISLPVNQQHLLNQNLLNILQPSAGEGKSEINLHPLGFLNPNVNAALAFLSGD VDGQVLQPVHFQLLAALLQNQAQAAAMLPLPSFNLTISDLLQPQNTPLPLLTQMTAPPDR LPSNQSDNSRADTLLGSPLGNPLAGSDTTFNPLLLPAVTGASGLMALNPQLLGGVLNSAS ANTAIHPEVSIATSSQATTTTTTTSSAVAALTVSTLGGTAVVSMAETLLNISNNAGNPPG PAKLNSNSMVPQLLNPLLGTGLLGDISSINNSLNNHQLTHLQSLLNSNQMFPPNQQPQQH LLQGHQNLQAFQGQPTVPCPANNNPMACLFQNFQVEPEKLKTLTEGLEAYSRVRKRSRKS GKLNNHLEAAIHEAMSELDKMSGTVHQIPQGDRQMRPPKPKRRKISR >ENSMUSP00000137021.1 pep:known chromosome:GRCm38:2:49272184:49325405:1 gene:ENSMUSG00000036792.12 transcript:ENSMUST00000132717.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mbd5 description:methyl-CpG binding domain protein 5 [Source:MGI Symbol;Acc:MGI:2138934] DFPFVGQEHALHFPSNSTANNHLPHPLNPSLLSSLPISLPVNQQHLLNQNLLNILQPSAG EGKSEINLHPLGFLNPNVNAALAFLSGDVDGQVLQPVHFQLLAALLQNQAQAAAMLPLPS FNLTISDLLQPQNTPLPLLTQMTAPPDRLPSNQSDNSRADTLLGSPLGNPLAGSDTTFNP LLLPAVTGASGLMALNPQLLGGVLNSASANTAIHPEVSIATSSQATTTTTTTSSAVAALT VSTLGGTAVVSMAETLLNISNNAGNPPGPAKLNSNSMVPQLLNPLLGTGLLGDISSINNS LNNHQLTHLQSLLNSNQMFPPNQQPQQHLLQGHQNLQAFQGQPTVPCPANNNPMACLFQN FQVEPEKLKTLTEGLEAYSRVRKRSRKSGKLNNHLEAAIHEAMSELDKMSGTVHQIPQGD RQMRPPKPKRRKISR >ENSMUSP00000143148.1 pep:known chromosome:GRCm38:2:49279474:49316652:1 gene:ENSMUSG00000036792.12 transcript:ENSMUST00000196045.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mbd5 description:methyl-CpG binding domain protein 5 [Source:MGI Symbol;Acc:MGI:2138934] XPGSSNSLESSLVKDYIHYNGDFNAKTINGCVPSPSDAKSISSEDDLRNPDSPSSHELIH YRPRTFNVGDLVWGQIKGLTSWPGKFIREDDVHNSCQQSPEEGKVEPEKLKTLTEGLEAY SRVRKRSRKSGKLNNHLEAAIHEAMSELDKMSGTVH >ENSMUSP00000143413.1 pep:known chromosome:GRCm38:2:49279475:49316663:1 gene:ENSMUSG00000036792.12 transcript:ENSMUST00000197712.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mbd5 description:methyl-CpG binding domain protein 5 [Source:MGI Symbol;Acc:MGI:2138934] PGSSNSLESSLVKDYIHYNGDFNAKTINGCVPSPSDAKSISSEDDLRNPDSPSSHELIHY RPRTFNVGDLVWGQIKGLTSWPGKFIREDDVHNSCQQSPEEGKVYQSLSIVKY >ENSMUSP00000142821.1 pep:known chromosome:GRCm38:2:49279623:49316886:1 gene:ENSMUSG00000036792.12 transcript:ENSMUST00000196831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd5 description:methyl-CpG binding domain protein 5 [Source:MGI Symbol;Acc:MGI:2138934] XSPSSHELIHYRPRTFNVGDLVWGQIKGLTSWPGKFIREDDVHNSCQQSPEEGKVHQIPQ GDRQMRPPKPKRRKISR >ENSMUSP00000137809.1 pep:known chromosome:GRCm38:10:80321283:80329455:-1 gene:ENSMUSG00000020131.14 transcript:ENSMUST00000128653.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcsk4 description:proprotein convertase subtilisin/kexin type 4 [Source:MGI Symbol;Acc:MGI:97514] MRPSQTELWLGLTLTLALLAVRWASAQAPIYVSSWAVRVTKGYQEAERLARKFGFVNLGQ IFPDDQYFHLRHRGVAQQSLTPHWGHRLRLKKDPKVRWFEQQTLRRRVKRSLVVPTDPWF SKQWYMNKEIQQDLNILKAWNQGLTGRGVVISILDDGIEKDHPDLWANYDPLASYDFNDY DPDPQPRYTPNDENRHGTRCAGEVSATANNGFCGAGVAFNARIGGGLQAWCNQGPPRAGY TVHLGLGKRWPPLRQLQL >ENSMUSP00000020340.8 pep:known chromosome:GRCm38:10:80321283:80329498:-1 gene:ENSMUSG00000020131.14 transcript:ENSMUST00000020340.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk4 description:proprotein convertase subtilisin/kexin type 4 [Source:MGI Symbol;Acc:MGI:97514] MRPSQTELWLGLTLTLALLAVRWASAQAPIYVSSWAVRVTKGYQEAERLARKFGFVNLGQ IFPDDQYFHLRHRGVAQQSLTPHWGHRLRLKKDPKVRWFEQQTLRRRVKRSLVVPTDPWF SKQWYMNKEIQQDLNILKAWNQGLTGRGVVISILDDGIEKDHPDLWANYDPLASYDFNDY DPDPQPRYTPNDENRHGTRCAGEVSATANNGFCGAGVAFNARIGGVRMLDGAITDIVEAQ SLSLQPQHIHIYSASWGPEDDGRTVDGPGLLTQEAFRRGVTKGRQGLGTLFIWASGNGGL HYDNCNCDGYTNSIHTLSVGSTTRQGRVPWYSEACASTFTTTFSSGVVTDPQIVTTDLHH QCTDKHTGTSASAPLAAGMIALALEANPLLTWRDLQHLVVRASRPAQLQAEDWRINGVGR QVSHHYGYGLLDAGLLVDLARVWLPTKPQKKCAIRVVHTPTPILPRMLVPKNVTACSDGS RRRLIRSLEHVQVQLSLSYSRRGDLEIFLTSPMGTRSTLVAIRPLDISGQGYNNWIFMST HYWDEDPQGLWTLGLENKGYYFNTGTLYYYTLLLYGTAEDMTARPQAPQVTSRARACVQR DTEGLCQESHSPLSILAGLCLISSQQWWWLYSHPQQPVTEGQASCHPPVTPAAAA >ENSMUSP00000137719.1 pep:known chromosome:GRCm38:10:80322122:80329399:-1 gene:ENSMUSG00000020131.14 transcript:ENSMUST00000135071.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcsk4 description:proprotein convertase subtilisin/kexin type 4 [Source:MGI Symbol;Acc:MGI:97514] LLAVRWASAQAPIYVSSWAVRVTKGYQEAERLARKFGFVNLGQIFPDDQYFHLRHRGVAQ QSLTPHWGHRLRLKKDPKVRWFEQQTLRRRVKRSLVVPTDPWFSKQWYMNKEIQQDLNIL KAWNQGLTGRGVVISILDDGIEKDHPDLWANYDPLASYDFNDYDPDPQPRYTPNDENRRA HVGWSHH >ENSMUSP00000035043.5 pep:known chromosome:GRCm38:9:99478372:99568899:-1 gene:ENSMUSG00000032468.11 transcript:ENSMUST00000035043.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc8 description:armadillo repeat containing 8 [Source:MGI Symbol;Acc:MGI:1921375] MACLLETPIRMSVLSEVTASSRHYVDRLFDPDPQKVLQGVIDMKNAVIGNNKQKANLIVL GAVPRLLYLLQQETSSTELKTECAVVLGSLAMGTENNVKSLLDCHIIPALLQGLLSPDLK FIEACLRCLRTIFTSPVTPEELLYTDATVIPHLMALLSRSRYTQEYICQIFSHCCKGPDH QTILFNHGAVQNIAHLLTSPSYKVRMQALKCFSVLAFENPQVSMTLVNVLVDGELLPQIF VKMLQRDKPIEMQLTSAKCLTYMCRAGAIRTDDSCIVLKTLPCLVRMCSKERLLEERVEG AETLAYLIEPDVELQRIASITDHLIAMLADYFKYPSSVSAITDIKRLDHDLKHAHELRQA AFKLYASLGANDEDIRKKIIETETMMDRIVTGLSESSVKVRLAAVRCLHSLSRSVQQLRT SFQDHAVWKPLMKVLQNAPDEILVVASSMLCNLLLEFSPSKEPILESGAVELLCGLTQSE NPALRVNGIWALMNMAFQAEQKIKADILRSLSTEQLFRLLSDSDMNVLMKTLGLLRNLLS TRPHIDKIMSTHGKQIMQAVTLILEGEHSIEVKEQTLCILANIADGTTAKELIMTNDDIL QKIKYYMGHSHVKLQLAAMFCISNLIWNEEEGSQERQDKLRDMGIVDILHKLSQSADSNL CDKAKTALQQYLA >ENSMUSP00000139973.1 pep:known chromosome:GRCm38:9:99518804:99568649:-1 gene:ENSMUSG00000032468.11 transcript:ENSMUST00000185524.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc8 description:armadillo repeat containing 8 [Source:MGI Symbol;Acc:MGI:1921375] MACLLETPIRMSVLSEVTASSRHYVDRLFDPDPQKVLQGVIDMKNAVIGNNKQKANLIVL GAVPRLLYLLQQETSSTELKTECAVVLGSLAMGTENNVKSLLDCHIIPALLQGLLSPDLK FIEACLRCLRTIFTSPVTPEELLYTDATVIPHLMALLSRSRYTQEYICQIFSHCCKGPDH QTILFNHGAVQNIAHLLTSPSYKVRMQALKCFSVLAFENPQVSMTLVNVLVDGELLPQIF VKMLQRDKPIEMQLTSAKCLTYMCRAGAIRTDDSCIVLKTLPCLVRMCSKERLLEERVEG AETLAYLIEPDVELQRIASITDHLIAMLADYFKYPSSVSAITDIKRLDHDLKHAHELRQA AFKLYASLGANDEDIRKKVSLGEGRPPVLTASRQGVTST >ENSMUSP00000140426.1 pep:known chromosome:GRCm38:9:99518804:99568667:-1 gene:ENSMUSG00000032468.11 transcript:ENSMUST00000186049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc8 description:armadillo repeat containing 8 [Source:MGI Symbol;Acc:MGI:1921375] MKNAVIGNNKQKANLIVLGAVPRLLYLLQQETSSTELKTECAVVLGSLAMGTENNVKSLL DCHIIPALLQGLLSPDLKFIEACLRCLRTIFTSPVTPEELLYTDATVIPHLMALLSRSRY TQEYICQIFSHCCKGPDHQTILFNHGAVQNIAHLLTSPSYKVRMQALKCFSVLAFENPQV SMTLVNVLVDGELLPQIFVKMLQRDKPIEMQLTSAKCLTYMCRAGAIRTDDSCIVLKTLP CLVRMCSKERLLEERVEGAETLAYLIEPDVELQRIASITDHLIAMLADYFKYPSSVSAIT DIKRLDHDLKHAHELRQAAFKLYASLGANDEDIRKKVSLGEGRPPVLTASRQGVTST >ENSMUSP00000116625.1 pep:known chromosome:GRCm38:5:114809036:114823460:-1 gene:ENSMUSG00000092252.1 transcript:ENSMUST00000140374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20499 description:predicted gene 20499 [Source:MGI Symbol;Acc:MGI:5141964] MLRLGWMRLLPVLCSLLLGRAEAPSPGLPPEQSRSYEVLRRQSLVLMGTIFSILLVTVLL MAFCVYKPIRRR >ENSMUSP00000107786.3 pep:known chromosome:GRCm38:5:114808196:114813976:-1 gene:ENSMUSG00000072694.7 transcript:ENSMUST00000112160.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1500011B03Rik description:RIKEN cDNA 1500011B03 gene [Source:MGI Symbol;Acc:MGI:1913486] MLRSGWMRLLPMLCSLLLGRAEAPSPGVPPEQSQPYAVLRRQSLVLMGTIFSILLVTVLL MAFCVYKPIRRR >ENSMUSP00000055114.5 pep:known chromosome:GRCm38:5:114812793:114813945:-1 gene:ENSMUSG00000072694.7 transcript:ENSMUST00000061251.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1500011B03Rik description:RIKEN cDNA 1500011B03 gene [Source:MGI Symbol;Acc:MGI:1913486] MLRSGWMRLLPMLCSLLLGRAEAPSPGVPPEQSQPYAVLRRQSLDLSNYLKGNWSQELDR LHTELQIQILNLN >ENSMUSP00000123931.1 pep:known chromosome:GRCm38:1:195036826:195092249:-1 gene:ENSMUSG00000016493.13 transcript:ENSMUST00000162650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd46 description:CD46 antigen, complement regulatory protein [Source:MGI Symbol;Acc:MGI:1203290] MTAAPLMPDSTHPCRRRKSYTFFWCSLGVYAEALLFLLSHLSDACELPRPFEAMELKGTP KLFYAVGEKIEYKCKKGYLYLSPYLMIATCEPNHTWVPISDAGCIKVQCTMLQDPSFGKV YYIDGSFSWGARAKFTCMEGYYVVGMSVLHCVLKGDDEAYWNGYPPHCEKIYCLPPPKIK NGTHTLTDINVFKYHEAVSYSCDPTPGPDKFSLVGTSMIFCAGHNTWSNSPPECKVVKCP NPVLQNGRLISGAGEIFSYQSTVMFECLQGFYMEGSSMVICSANNSWEPSIPKCLKGPRP THPTKPPVYNYTGYPSPREGIFSQELDAWIIALIVITSIVGVFILCLIVLRCFEHRKKTN VSAAR >ENSMUSP00000123901.1 pep:known chromosome:GRCm38:1:195041900:195092225:-1 gene:ENSMUSG00000016493.13 transcript:ENSMUST00000159563.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd46 description:CD46 antigen, complement regulatory protein [Source:MGI Symbol;Acc:MGI:1203290] MTAAPLMPDSTHPCRRRKSYTFFWCSLGVYAEALLFLLSHLSDACELPRPFEAMELKGTP KLFYAVGEKIEYKCKKGYLYLSPYLMIATCEPNHTWVPISDAGCIKVQCTMLQDPSFGKV YYIDGSFSWGARAKFTCMEGYYVVGMSVLHCVLKGDDEAYWNGYPPHCEKIYCLPPPKIK NGTHTLTDINVFKYHEAVSYSCDPTPGPDKFSLVGTSMIFCAGHNTWSNSPPECKVVKCP NPVLQNGRLISGAGEIFSYQSTVMFECLQGFYMEGSSMVICSANNSWEPSIPKCLKVTFY >ENSMUSP00000038444.5 pep:known chromosome:GRCm38:7:141215860:141218658:1 gene:ENSMUSG00000038618.11 transcript:ENSMUST00000046890.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf7 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 7 [Source:MGI Symbol;Acc:MGI:1914235] MVLELVAMELKVWVDGIQRVVCGVSEQTTCQEVVIALAQAIGQTGRFVLVQRLREKERQL LPQECPVGAQATCGQFANDVQFVLRRTGPSLSGRPSSDNCPPPERCPVRASLPPKPSAIP GREPRKALTFNLRCPKLVPSPSIPEPAALVGPIPDGFADLQDLELRIQRNTEELGHEAFW EQELQREQAREREGQARLQALSAATAEHAARLEALDAQACALEAELRLAAEAPGPPSATA SAAERLRQDLATQERHSLEMQGTLALVSQALEAAEHALQAQAQELEELNRELRQCNLQQF IQQTGAALPPPPPQLDRTIPSTQDLLSPNRGELQGVPQSHILVSSLSPEVPPMRQSSWR >ENSMUSP00000118313.1 pep:known chromosome:GRCm38:7:141215978:141217478:1 gene:ENSMUSG00000038618.11 transcript:ENSMUST00000133763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf7 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 7 [Source:MGI Symbol;Acc:MGI:1914235] MVLELVAMELKVWVDGIQRVVCGVSEQTTCQEVVIALAQAIGQTGRFVLVQRLREKERQL LPQECPVGAQATCGQFANDVQFVLRRTGPSLSGRPSSDNCPPPERCPVRASLPPKPSAIP GREPRKALTFNLRCPKLVPSPSIPEPAALVGPIPDGFADLQDLELRIQRNTEELGHEAFW EQELQREQAREREGQARLQAL >ENSMUSP00000123128.1 pep:known chromosome:GRCm38:7:141216203:141217472:1 gene:ENSMUSG00000038618.11 transcript:ENSMUST00000153081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf7 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 7 [Source:MGI Symbol;Acc:MGI:1914235] MVLELVAMELKVWVDGIQRVVCGVSEQTTCQEVVIALAQAIGQTGRFVLVQRLREKERQL LPQECPVGAQATCGQFANDVQFVLRRTGPSLSGRPSSDNCPPPERCPVRASLPPKPSAIP GREPRKALTFNLRCPKLVPSPSIPEPAALVGPIPDGFADLQDLELRIQRNTEELGHEAFW EQELQREQAREREGQARLQ >ENSMUSP00000115948.1 pep:known chromosome:GRCm38:7:141216635:141217605:1 gene:ENSMUSG00000038618.11 transcript:ENSMUST00000141804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf7 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 7 [Source:MGI Symbol;Acc:MGI:1914235] MVLELVAMELKVWVDGIQRVVCGVSEQTTCQEVVIALAQAIGQTGRFVLVQRLREKERQL LPQECPVGAQATCGQFANDVQFVLRRTGPSLSGRPSSDNCPPPERCPVRASLPPKPSAIP GREPRKALTFNLRCPKLVPSPSIPEPAALVGPIPDGFADLQDLELRIQRNTEELGHEAFW EQELQREQAREREGQARLQALSAATAEHAARLEALDAQACALEAELRLAAEAPGPPSATA SAA >ENSMUSP00000118078.1 pep:known chromosome:GRCm38:7:141216700:141217713:1 gene:ENSMUSG00000038618.11 transcript:ENSMUST00000148975.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf7 description:Ras association (RalGDS/AF-6) domain family (N-terminal) member 7 [Source:MGI Symbol;Acc:MGI:1914235] MVLELVAMELKVWVDGIQRVVCGVSEQTTCQEVVIALAQAIATASAAERLRQDLATQERH SLEMQGTLALVSQALEAAEHALQ >ENSMUSP00000098411.4 pep:known chromosome:GRCm38:5:114821935:114823468:-1 gene:ENSMUSG00000092486.1 transcript:ENSMUST00000100850.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610524H06Rik description:RIKEN cDNA 2610524H06 gene [Source:MGI Symbol;Acc:MGI:2447819] MLRLGWMRLLPVLCSLLLGRAEAPSPGLPPEQSRSYEVLRRQSLDLSNYLKGNWSQELDR LHKELQIQIQKLNRTRVEPVTLGGFTSWLTSAFSYFKEWVGVILFGAAICCGLVFVLQLV YKFRTQQKRDKVIITQALP >ENSMUSP00000015460.4 pep:known chromosome:GRCm38:1:171767127:171801184:1 gene:ENSMUSG00000015316.11 transcript:ENSMUST00000015460.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf1 description:signaling lymphocytic activation molecule family member 1 [Source:MGI Symbol;Acc:MGI:1351314] MDPKGSLSWRILLFLSLAFELSYGTGGGVMDCPVILQKLGQDTWLPLTNEHQINKSVNKS VRILVTMATSPGSKSNKKIVSFDLSKGSYPDHLEDGYHFQSKNLSLKILGNRRESEGWYL VSVEENVSVQQFCKQLKLYEQVSPPEIKVLNKTQENENGTCSLLLACTVKKGDHVTYSWS DEAGTHLLSRANRSHLLHITLSNQHQDSIYNCTASNPVSSISRTFNLSSQACKQESSSES SPWMQYTLVPLGVVIIFILVFTAIIMMKRQGKSNHCQPPVEEKSLTIYAQVQKSGPQEKK LHDALTDQDPCTTIYVAATEPAPESVQEPNPTTVYASVTLPES >ENSMUSP00000065966.5 pep:known chromosome:GRCm38:X:169176114:169187200:-1 gene:ENSMUSG00000031354.16 transcript:ENSMUST00000066112.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amelx description:amelogenin, X-linked [Source:MGI Symbol;Acc:MGI:88005] MGTWILFACLLGAAFAMPLPPHPGSPGYINLSYEVLTPLKWYQSMIRQPYPSYGYEPMGG WLHHQIIPVLSQQHPPSHTLQPHHHLPVVPAQQPVAPQQPMMPVPGHHSMTPTQHHQPNI PPSAQQPFQQPFQPQAIPPQSHQPMQPQSPLHPMQPLAPQPPLPPLFSMQPLSPILPELP LEAWPATDKTKREEVAFSPMKWYQGMTRHPLNMESTTEK >ENSMUSP00000107746.1 pep:known chromosome:GRCm38:X:169180022:169187200:-1 gene:ENSMUSG00000031354.16 transcript:ENSMUST00000112118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amelx description:amelogenin, X-linked [Source:MGI Symbol;Acc:MGI:88005] MGTWILFACLLGAAFAMPLPPHPGSPGYINLSYEVLTPLKWYQSMIRQPYPSYGYEPMGG WLHHQIIPVLSQQHPPSHTLQPHHHLPVVPAQQPVAPQQPMMPVPGHHSMTPTQHHQPNI PPSAQQPFQQPFQPQAIPPQSHQPMQPQSPLHPMQPLAPQPPLPPLFSMQPLSPILPELP LEAWPATDKTKREEVD >ENSMUSP00000107748.1 pep:known chromosome:GRCm38:X:169180022:169187200:-1 gene:ENSMUSG00000031354.16 transcript:ENSMUST00000112120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amelx description:amelogenin, X-linked [Source:MGI Symbol;Acc:MGI:88005] MGTWILFACLLGAAFAMPLPPHPGSPGYINLSYEVLTPLKWYQSMIRQPHPPSHTLQPHH HLPVVPAQQPVAPQQPMMPVPGHHSMTPTQHHQPNIPPSAQQPFQQPFQPQAIPPQSHQP MQPQSPLHPMQPLAPQPPLPPLFSMQPLSPILPELPLEAWPATDKTKREEVD >ENSMUSP00000107747.1 pep:known chromosome:GRCm38:X:169180022:169187200:-1 gene:ENSMUSG00000031354.16 transcript:ENSMUST00000112119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amelx description:amelogenin, X-linked [Source:MGI Symbol;Acc:MGI:88005] MGTWILFACLLGAAFAMPLPPHPGSPGYINLSYEKSHSQAINTDRTALVLTPLKWYQSMI RQPYPSYGYEPMGGWLHHQIIPVLSQQHPPSHTLQPHHHLPVVPAQQPVAPQQPMMPVPG HHSMTPTQHHQPNIPPSAQQPFQQPFQPQAIPPQSHQPMQPQSPLHPMQPLAPQPPLPPL FSMQPLSPILPELPLEAWPATDKTKREEVD >ENSMUSP00000111346.1 pep:known chromosome:GRCm38:18:36684923:36695925:-1 gene:ENSMUSG00000044719.4 transcript:ENSMUST00000115682.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E230025N22Rik description:Riken cDNA E230025N22 gene [Source:MGI Symbol;Acc:MGI:3687212] MLASVGQGYNTTLLLQGQETEAPRFVPQVLQMLFEEALPLCSSGPVLCTLSLVQINRIGQ ARDLLSPCVEDLPVLDVAPLGLVVKDASEVEVSDARAASELYLKAAVGEGRDCPLLRVLA GAAAGEEVEGSLPWIISWLLEANSYRGVLLRLDPRGSSLSLLQNALLGASRKRMQVNDVK PTLWSAVEEMGARRATLKMLRLGLLGDTLTYSGLNQLGRALWELQVVKAWGPRSHTHKGT RDETVRLLEPQVKGEPLNYCKQGRHSAHLSEAGRRGFLGSGLRLKHPLGHCEEQAHQAPD VALQFSLAQARRQRLREEHQIRIQEELKHLEHWKEVATCEQIKGTVAEEACMGRESQRKE QAVLKLQVDTLQAERDVAEQDLVVLYDLYVQATRARTCHLLQVFQAWQRMWEEKAMATEH HYRSLLAGILQGSIDLALKNQQLQSQNQQLEQSADRASHAGVLPGETSDPEHSGATFLCP HS >ENSMUSP00000124866.1 pep:known chromosome:GRCm38:5:123103044:123132461:-1 gene:ENSMUSG00000029449.11 transcript:ENSMUST00000160479.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rhof description:ras homolog gene family, member f [Source:MGI Symbol;Acc:MGI:1345629] MDAPGAPAPAAAPSSARKELKIVIVGDGGCGKTSLLMVYCQGSFPEHYAPSVFEKYTASV TVGNKEVTLNLYDTAGQEDYDRLRPLSYQNTHLVLICYDVMNPTSYDNVLIKWFPEVTHF CRGIPTVLIGCKTDLRKDKEQLRKLRAAQLEPITYTQGLNACEQMRGALYLECSAKFREN VEDVFREAAKVALSALKKAQRQKKHRICLLL >ENSMUSP00000031401.5 pep:known chromosome:GRCm38:5:123118178:123132692:-1 gene:ENSMUSG00000029449.11 transcript:ENSMUST00000031401.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhof description:ras homolog gene family, member f [Source:MGI Symbol;Acc:MGI:1345629] MDAPGAPAPAAAPSSARKELKIVIVGDGGCGKTSLLMVYCQGSFPEHYAPSVFEKYTASV TVGNKEVTLNLYDTAGQEDYDRLRPLSYQNTHLVLICYDVMNPTSYDNVLIKWFPEVTHF CRGIPTVLIGCKTDLRKDKEQLRKLRAAQLEPITYTQGLNACEQMRGALYLECSAKFREN VEDVFREAAKVALSALKKAQRQKKHRICLLL >ENSMUSP00000140177.1 pep:known chromosome:GRCm38:5:123103044:123132396:-1 gene:ENSMUSG00000029449.11 transcript:ENSMUST00000186469.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhof description:ras homolog gene family, member f [Source:MGI Symbol;Acc:MGI:1345629] MDAPGAPAPAAAPSSARKELKIVIVGDGGCGKTSLLMVYCQGSFPEHYAPSVFEKYTASV TVGNKEVTLNLYDTAGQEDYDRLRPLSYQNTHLVLICYDVMNPTSYDNVLIKWFPEVTHF CRGIPTVLIGCKTDLRKDKEQLRKLRAAQLEPITYTQGLNACEQMRGALYLECSAKFREN VEDVFREAAKVALSALKKAQRQKKHRICLLL >ENSMUSP00000016638.2 pep:known chromosome:GRCm38:1:194938819:194961279:1 gene:ENSMUSG00000016494.9 transcript:ENSMUST00000016638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd34 description:CD34 antigen [Source:MGI Symbol;Acc:MGI:88329] MQVHRDTRAGLLLPWRWVALCLMSLLHLNNLTSATTETSTQGISPSVPTNESVEENITSS IPGSTSHYLIYQDSSKTTPAISETMVNFTVTSGIPSGSGTPHTFSQPQTSPTGILPTTSD SISTSEMTWKSSLPSINVSDYSPNNSSFEMTSPTEPYAYTSSSAPSAIKGEIKCSGIREV RLAQGICLELSEASSCEEFKKEKGEDLIQILCEKEEAEADAGASVCSLLLAQSEVRPECL LMVLANSTELPSKLQLMEKHQSDLRKLGIQSFNKQDIGSHQSYSRKTLIALVTSGVLLAI LGTTGYFLMNRRSWSPTGERLGEDPYYTENGGGQGYSSGPGASPETQGKANVTRGAQENG TGQATSRNGHSARQHVVADTEL >ENSMUSP00000106439.2 pep:known chromosome:GRCm38:1:194938875:194961279:1 gene:ENSMUSG00000016494.9 transcript:ENSMUST00000110815.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd34 description:CD34 antigen [Source:MGI Symbol;Acc:MGI:88329] MQVHRDTRAGLLLPWRWVALCLMSLLHLNNLTSATTETSTQGISPSVPTNESVEENITSS IPGSTSHYLIYQDSSKTTPAISETMVNFTVTSGIPSGSGTPHTFSQPQTSPTGILPTTSD SISTSEMTWKSSLPSINVSDYSPNNSSFEMTSPTEPYAYTSSSAPSAIKGEIKCSGIREV RLAQGICLELSEASSCEEFKKEKGEDLIQILCEKEEAEADAGASVCSLLLAQSEVRPECL LMVLANSTELPSKLQLMEKHQSDLRKLGIQSFNKQDIGSHQSYSRKTLIALVTSGVLLAI LGTTGYFLMNRRSWSPTGERLELEP >ENSMUSP00000141884.1 pep:known chromosome:GRCm38:1:194938995:194958550:1 gene:ENSMUSG00000016494.9 transcript:ENSMUST00000194036.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd34 description:CD34 antigen [Source:MGI Symbol;Acc:MGI:88329] XLLLPWRWVALCLMSLLHLNNLTSATTETSTQGISPSVPTNESVEENITSSIPGSTSHYL IYQDSSKTTPAISDFPNWHTAYYFRQYFHFRDDLEVQPAIYKCF >ENSMUSP00000068267.6 pep:known chromosome:GRCm38:6:137735078:137751932:1 gene:ENSMUSG00000030224.10 transcript:ENSMUST00000064910.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strap description:serine/threonine kinase receptor associated protein [Source:MGI Symbol;Acc:MGI:1329037] MAMRQTPLTCSGHTRPVVDLAFSGITPYGYFLISACKDGKPMLRQGDTGDWIGTFLGHKG AVWGATLNKDATKAATAAADFTAKVWDAVSGDELMTLAHKHIVKTVDFTQDSNYLLTGGQ DKLLRIYDLNKPEAEPKEISGHTSGIKKALWCSDDKQILSADDKTVRLWDHATMTEVKSL NFNMSVSSMEYIPEGEILVITYGRSIAFHSAVSLEPIKSFEAPATINSASLHPEKEFLVA GGEDFKLYKYDYNSGEELESYKGHFGPIHCVRFSPDGELYASGSEDGTLRLWQTVVGKTY GLWKCVLPEEDSGELAKPKIGFPETAEEELEEIASENSDSIYSSTPEVKA >ENSMUSP00000099482.4 pep:known chromosome:GRCm38:2:130667610:130681614:1 gene:ENSMUSG00000074797.11 transcript:ENSMUST00000103193.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpa description:inosine triphosphatase (nucleoside triphosphate pyrophosphatase) [Source:MGI Symbol;Acc:MGI:96622] MAASLVGKKIVFVTGNAKKLEEVIQILGDNFPCTLEAQKIDLPEYQGEPDEISIQKCREA ARQVQGPVLVEDTCLCFNALGGLPGPYIKWFLQKLKPEGLHQLLAGFEDKSAYALCTFAL STGDPSQPVLLFRGQTSGQIVMPRGSRDFGWDPCFQPDGYEQTYAEMPKSEKNTISHRFR ALHKLQEYFSVAAGAGDH >ENSMUSP00000030893.2 pep:known chromosome:GRCm38:4:153964449:153975126:-1 gene:ENSMUSG00000029027.8 transcript:ENSMUST00000030893.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dffb description:DNA fragmentation factor, beta subunit [Source:MGI Symbol;Acc:MGI:1196287] MCAVLRQPKCVKLRALHSACKFGVAARSCQELLRKGCVRFQLPMPGSRLCLYEDGTEVTD DCFPGLPNDAELLLLTAGETWHGYVSDITRFLSVFNEPHAGVIQAARQLLSDEQAPLRQK LLADLLHHVSQNITAETREQDPSWFEGLESRFRNKSGYLRYSCESRIRGYLREVSAYTSM VDEAAQEEYLRVLGSMCQKLKSVQYNGSYFDRGAEASSRLCTPEGWFSCQGPFDLESCLS KHSINPYGNRESRILFSTWNLDHIIEKKRTVVPTLAEAIQDGREVNWEYFYSLLFTAENL KLVHIACHKKTTHKLECDRSRIYRPQTGSRRKQPARKKRPARKR >ENSMUSP00000140248.1 pep:known chromosome:GRCm38:Y:60241202:60273250:1 gene:ENSMUSG00000095141.7 transcript:ENSMUST00000189333.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28891 description:predicted gene 28891 [Source:MGI Symbol;Acc:MGI:5579597] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKW METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000136562.1 pep:known chromosome:GRCm38:Y:60242635:60270517:1 gene:ENSMUSG00000095141.7 transcript:ENSMUST00000178751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28891 description:predicted gene 28891 [Source:MGI Symbol;Acc:MGI:5579597] MALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDV >ENSMUSP00000139491.1 pep:known chromosome:GRCm38:Y:53415953:53418291:-1 gene:ENSMUSG00000094729.2 transcript:ENSMUST00000187856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20747 description:predicted gene, 20747 [Source:MGI Symbol;Acc:MGI:5434103] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136937.1 pep:known chromosome:GRCm38:Y:53416092:53416775:-1 gene:ENSMUSG00000094729.2 transcript:ENSMUST00000179137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20747 description:predicted gene, 20747 [Source:MGI Symbol;Acc:MGI:5434103] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000068551.8 pep:known chromosome:GRCm38:5:123076275:123117749:1 gene:ENSMUSG00000054434.14 transcript:ENSMUST00000067505.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem120b description:transmembrane protein 120B [Source:MGI Symbol;Acc:MGI:3603158] MSGQLERCEREWHELEGEFQELQETHRIYKQKLEELTSLQTLCSTSISKQKRHLKDLKHT LQRYKRHSSHEEAALIQQMTANIKERQNVFFDMEAYLPKKNGLYLNLVLGNVSVTLLSNQ AKFAYKDEYEKFKLYLTIILLLGAVACRFVLHYRVTDEVFNFLLVWYYCTLTIRESILIS NGSRIKGWWVSHHYVSTFLSGVMLTWPNGLIYQKFRNQFLAFSIFQSCVQFLQYYYQRGC LYRLRALGERNHLDLTVEGFQSWMWRGLTFLLPFLFCGHFWQLYNAVTLFELSTHEECKE WQVFVLALTFLILFLGNFLTTLKVVHAKLHKNRNKTKQP >ENSMUSP00000124358.1 pep:known chromosome:GRCm38:5:123076325:123115127:1 gene:ENSMUSG00000054434.14 transcript:ENSMUST00000161059.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem120b description:transmembrane protein 120B [Source:MGI Symbol;Acc:MGI:3603158] MSGQLERCEREWHELEGEFQELQETHRIYKQKLEELTSLQTLCSTSISKQKRHLKDLKHT LQRYKRHSSHEEAALIQQMTANIKERQNVFFDMEAYLPKKNGSFLPGST >ENSMUSP00000107246.3 pep:known chromosome:GRCm38:5:123076326:123117009:1 gene:ENSMUSG00000054434.14 transcript:ENSMUST00000111619.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem120b description:transmembrane protein 120B [Source:MGI Symbol;Acc:MGI:3603158] MSGQLERCEREWHELEGEFQELQETHRIYKQKLEELTSLQTLCSTSISKQKRHLKDLKHT LQRLYLNLVLGNVSVTLLSNQAKFAYKDEYEKFKLYLTIILLLGAVACRFVLHYRVTDEV FNFLLVWYYCTLTIRESILISNGSRIKGWWVSHHYVSTFLSGVMLTWPNGLIYQKFRNQF LAFSIFQSCVQFLQYYYQRGCLYRLRALGERNHLDLTVEGFQSWMWRGLTFLLPFLFCGH FWQLYNAVTLFELSTHEECKEWQVFVLALTFLILFLGNFLTTLKVVHAKLHKNRNKTKQP >ENSMUSP00000124971.1 pep:known chromosome:GRCm38:5:123076341:123115145:1 gene:ENSMUSG00000054434.14 transcript:ENSMUST00000160344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem120b description:transmembrane protein 120B [Source:MGI Symbol;Acc:MGI:3603158] MSGQLERCEREWHELEGEFQELQETHRIYKQKLEELTSLQTLCSTSISKQKRHLKDLKHT LQRIKGWWVSHHYVSTFLSGVMLTWPNGLIYQKFRNQFLAFSIFQSCVQFLQYYYQRGCL >ENSMUSP00000014438.4 pep:known chromosome:GRCm38:18:36742332:36744557:-1 gene:ENSMUSG00000014294.4 transcript:ENSMUST00000014438.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa2 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 2 [Source:MGI Symbol;Acc:MGI:1343103] MAAAAASRAVGAKLGLREIRVHLCQRSPGSQGVRDFIVQRYVELKKAHPNLPILIRECSE VQPKLWARYAFGQEKTVSLNNLSADEVTRAMQNVLSGKA >ENSMUSP00000120881.1 pep:known chromosome:GRCm38:1:171839697:171890718:1 gene:ENSMUSG00000038147.13 transcript:ENSMUST00000155802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd84 description:CD84 antigen [Source:MGI Symbol;Acc:MGI:1336885] MAQRHLWIWFLCLQTWSEAAGKDADPVVMNGILGESVTFLLNIQEPKKIDNIAWTSQSSV AFIKPGVNKAEVTITQGTYKGRIEIIDQKYDLVIRDLRMEDAGTYKADINEENEETITKI YYLHIYRRLKTPKITQSLISSLNNTCNITLTCSVEKEEKDVTYSWSPFGEKSNVLQIVHS PMDQKLTYTCTAQNPVSNSSDSVTVQQPCTDTPSFHPRHAVLPGGLAVLFLLILIPMLAF LFRLYKRRRDRIVLEADDVSKKTVYAVVSRNAQPTESRIYDEIPQSKMLSCKKDPVTTIY SSVQLSEKMKETNMKDRSLPKALGNEIVV >ENSMUSP00000115674.1 pep:known chromosome:GRCm38:1:171840565:171855097:1 gene:ENSMUSG00000038147.13 transcript:ENSMUST00000135386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd84 description:CD84 antigen [Source:MGI Symbol;Acc:MGI:1336885] MAQRHLWIWFLCLQTWSEAAGKDADPVVMNGILGESVTFLLNIQEPKKIDNIAWTSQSSV AFIKPGVNKAEVTITQGTYKGRIEIIDQKYDLVIRDLRMEDAGTYKADINEENEETITKI YYLHIYRKLWQHGALDLLLI >ENSMUSP00000122951.1 pep:known chromosome:GRCm38:1:171840621:171889076:1 gene:ENSMUSG00000038147.13 transcript:ENSMUST00000136479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd84 description:CD84 antigen [Source:MGI Symbol;Acc:MGI:1336885] MAQRHLWIWFLCLQTWSEAAGKDADPVVMNGILGESVTFLLNIQEPKKIDNIAWTSQSSV AFIKPGVNKAEVTITQGTYKGRIEIIDQKYDLVIRDLRMEDAGTYKADINEENEETITKI YYLHIYRRLKTPKITQSLISSLNNTCNITLTCSVEKEEKDVTYSWSPFGEKSNVLQIVHS PMDQKLTYTCTAQNPVSNSSDSVTVQQPCTDTPSFHPRHAVLPGGLAVLFLLILIPMLAF LFRLYKRRRDRIVLEDDVSKKTVYAVVSRNAQPTESRIYDEIPQSKMLSCKKDPVTTIYS SVQLSEKMKETNMKDRSLPKALGNEIVV >ENSMUSP00000047024.6 pep:known chromosome:GRCm38:1:171840633:171886430:1 gene:ENSMUSG00000038147.13 transcript:ENSMUST00000042302.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd84 description:CD84 antigen [Source:MGI Symbol;Acc:MGI:1336885] MAQRHLWIWFLCLQTWSEAAGKDADPVVMNGILGESVTFLLNIQEPKKIDNIAWTSQSSV AFIKPGVNKAEVTITQGTYKGRIEIIDQKYDLVIRDLRMEDAGTYKADINEENEETITKI YYLHIYRRLKTPKITQSLISSLNNTCNITLTCSVEKEEKDVTYSWSPFGEKSNVLQIVHS PMDQKLTYTCTAQNPVSNSSDSVTVQQPCTDTPSFHPRHAVLPGGLAVLFLLILIPMLAF LFRLYKRRRDRIVLEADDVSKKTVYAVVSRNAQPTESRIYDEIPQSKMLSCKKDPVTTIY SSVQLSEKMKETNMKDRSLPKALGNEIVV >ENSMUSP00000052589.2 pep:known chromosome:GRCm38:2:152538714:152540098:-1 gene:ENSMUSG00000044249.3 transcript:ENSMUST00000060598.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb29 description:defensin beta 29 [Source:MGI Symbol;Acc:MGI:1922650] MPVTKSYFMTVVVVLILVDETTGGLFGFRSSKRQEPWIACELYQGLCRNACQKYEIQYLS CPKTRKCCLKYPRKITSF >ENSMUSP00000103999.1 pep:known chromosome:GRCm38:7:27356383:27394506:-1 gene:ENSMUSG00000011751.16 transcript:ENSMUST00000108362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn4 description:spectrin beta, non-erythrocytic 4 [Source:MGI Symbol;Acc:MGI:1890574] MLMARDGTREDSHKLHKRWLRHQAFMAELAQNKEWLEKIEREGQQLMQEKPELAASVRKK LGEIRQCWAELESTTQAKARQLFEASKADQLVQSFAELDKRLLHMESQLQDVDPGGDLAT VNSQLKKLQSMESQVEEWCREVGELQAQTAALPLEQASKELVGERQSAVGERLVRLLEPL QERRRLLLASKELHQVAHDLDDELAWVQERLPLAMQTERGTGLQAVQQHIKKNQGLRREI QAHGPRLEEVLERAGVLASLRSPEAEAVRRGQEQLQSAWTGLREAAERRQQTLDAAFQVE QYYFDVAEVEAWLGEQELLMMSEDKGKDEQSTLQLLKKHLQLEQGVENYEESIAQLSRQC RALLEMGHPDSEQISRRQSQVDRLYVALKELGEERRVSLEQQYWLYQLSRQVDELEHWIA EKEVVAGSPELGQDFEHVSVLQEKFSEFASETGTAGRERLAAVNQMVDELIECGHTAAAT MAEWKDGLNEAWAELLELMGTRAQLLAASRELHKFFSDARELQGQIEEKRRRLPRLTAPP EPRPSASSMQRTLRAFEHDLQLLVSQVRQLQEGAAQLRTVYAGEHAEAIASREQEVLQGW KELLAACEDARLHVSSTADALRFHSQARDLLSWMDGIAGQIGAADKPRDVSSVEVLMNYH QGLKTELEARVPELATCQELGRSLLLNKSAMADEIQAQLDKLGSRKEEVSEKWDRHWEWL QQMLEVHQFAQEAVVADAWLTAQEPLLQSRELGSSVDEVEQLIRRHEAFRKAAAAWEERF SSLRRLTTIEKLKAEQSKQPPTPLLGRKFFGDPTELAAKAAPLLRPGGYDRGLEPLARRA SDTLSAEVRTRVGYVRQELKPERLQPRIDRLPETSGKVEPAAPTAAALDTTDTPGTPAAT ELVRPRSERQELADRAEELPRRRRSERQESVDQPEETARRRRPERQESADHEGPHSLTLG RYEQMERRRERRERRIERQESSEQETPTRGELVKGKATLADIVEQLQEKEAGPGIPAGVP SLPQPRELPPGRLPNGLEPPERTPRPDRPRARDRPKPRRRPRPREGGEGGGSRRSRSAPA QGGSAPAPPPPPTHTVQHEGFLLRKRELDANRKSSNRSWVSLYCVLSKGELGFYKDSKGP ASGGTHGGEPLLSLHKATSEVASDYKKKKHVFKLQTQDGSEFLLQAKDEEEMNGWLEAVA NSVAEHAEIARWGQTLPTTSSTDEGNPKREGGERRASGRRK >ENSMUSP00000104001.1 pep:known chromosome:GRCm38:7:27356383:27396198:-1 gene:ENSMUSG00000011751.16 transcript:ENSMUST00000108364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn4 description:spectrin beta, non-erythrocytic 4 [Source:MGI Symbol;Acc:MGI:1890574] MLMARDGTREDSHKLHKRWLRHQAFMAELAQNKEWLEKIEREGQQLMQEKPELAASVRKK LGEIRQCWAELESTTQAKARQLFEASKADQLVQSFAELDKRLLHMESQLQDVDPGGDLAT VNSQLKKLQSMESQVEEWCREVGELQAQTAALPLEQASKELVGERQSAVGERLVRLLEPL QERRRLLLASKELHQVAHDLDDELAWVQERLPLAMQTERGTGLQAVQQHIKKNQGLRREI QAHGPRLEEVLERAGVLASLRSPEAEAVRRGQEQLQSAWTGLREAAERRQQTLDAAFQVE QYYFDVAEVEAWLGEQELLMMSEDKGKDEQSTLQLLKKHLQLEQGVENYEESIAQLSRQC RALLEMGHPDSEQISRRQSQVDRLYVALKELGEERRVSLEQQYWLYQLSRQVDELEHWIA EKEVVAGSPELGQDFEHVSVLQEKFSEFASETGTAGRERLAAVNQMVDELIECGHTAAAT MAEWKDGLNEAWAELLELMGTRAQLLAASRELHKFFSDARELQGQIEEKRRRLPRLTAPP EPRPSASSMQRTLRAFEHDLQLLVSQVRQLQEGAAQLRTVYAGEHAEAIASREQEVLQGW KELLAACEDARLHVSSTADALRFHSQARDLLSWMDGIAGQIGAADKPRDVSSVEVLMNYH QGLKTELEARVPELATCQELGRSLLLNKSAMADEIQAQLDKLGSRKEEVSEKWDRHWEWL QQMLEVHQFAQEAVVADAWLTAQEPLLQSRELGSSVDEVEQLIRRHEAFRKAAAAWEERF SSLRRLTTIEKLKAEQSKQPPTPLLGRKFFGDPTELAAKAAPLLRPGGYDRGLEPLARRA SDTLSAEVRTRVGYVRQELKPERLQPRIDRLPETSGKVEPAAPTAAALDTTDTPGTPAAT ELVRPRSERQELADRAEELPRRRRSERQESVDQPEETARRRRPERQESADHEGPHSLTLG RYEQMERRRERRERRIERQESSEQETPTRGELVKGKATLADIVEQLQEKEAGPGIPAGVP SLPQPRELPPGRLPNGLEPPERTPRPDRPRARDRPKPRRRPRPREGGEGGGSRRSRSAPA QGGSAPAPPPPPTHTVQHEGFLLRKRELDANRKSSNRSWVSLYCVLSKGELGFYKDSKGP ASGGTHGGEPLLSLHKATSEVASDYKKKKHVFKLQTQDGSEFLLQAKDEEEMNGWLEAVA NSVAEHAEIARWGQTLPTTSSTDEGNPKREGGERRASGRRK >ENSMUSP00000104000.1 pep:known chromosome:GRCm38:7:27356383:27396553:-1 gene:ENSMUSG00000011751.16 transcript:ENSMUST00000108363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn4 description:spectrin beta, non-erythrocytic 4 [Source:MGI Symbol;Acc:MGI:1890574] MLMARDGTREDSHKLHKRWLRHQAFMAELAQNKEWLEKIEREGQQLMQEKPELAASVRKK LGEIRQCWAELESTTQAKARQLFEASKADQLVQSFAELDKRLLHMESQLQDVDPGGDLAT VNSQLKKLQSMESQVEEWCREVGELQAQTAALPLEQASKELVGERQSAVGERLVRLLEPL QERRRLLLASKELHQVAHDLDDELAWVQERLPLAMQTERGTGLQAVQQHIKKNQGLRREI QAHGPRLEEVLERAGVLASLRSPEAEAVRRGQEQLQSAWTGLREAAERRQQTLDAAFQVE QYYFDVAEVEAWLGEQELLMMSEDKGKDEQSTLQLLKKHLQLEQGVENYEESIAQLSRQC RALLEMGHPDSEQISRRQSQVDRLYVALKELGEERRVSLEQQYWLYQLSRQVDELEHWIA EKEVVAGSPELGQDFEHVSVLQEKFSEFASETGTAGRERLAAVNQMVDELIECGHTAAAT MAEWKDGLNEAWAELLELMGTRAQLLAASRELHKFFSDARELQGQIEEKRRRLPRLTAPP EPRPSASSMQRTLRAFEHDLQLLVSQVRQLQEGAAQLRTVYAGEHAEAIASREQEVLQGW KELLAACEDARLHVSSTADALRFHSQARDLLSWMDGIAGQIGAADKPRDVSSVEVLMNYH QGLKTELEARVPELATCQELGRSLLLNKSAMADEIQAQLDKLGSRKEEVSEKWDRHWEWL QQMLEVHQFAQEAVVADAWLTAQEPLLQSRELGSSVDEVEQLIRRHEAFRKAAAAWEERF SSLRRLTTIEKLKAEQSKQPPTPLLGRKFFGDPTELAAKAAPLLRPGGYDRGLEPLARRA SDTLSAEVRTRVGYVRQELKPERLQPRIDRLPETSGKVEPAAPTAAALDTTDTPGTPAAT ELVRPRSERQELADRAEELPRRRRSERQESVDQPEETARRRRPERQESADHEGPHSLTLG RYEQMERRRERRERRIERQESSEQETPTRGELVKGKATLADIVEQLQEKEAGPGIPAGVP SLPQPRELPPGRLPNGLEPPERTPRPDRPRARDRPKPRRRPRPREGGEGGGSRRSRSAPA QGGSAPAPPPPPTHTVQHEGFLLRKRELDANRKSSNRSWVSLYCVLSKGELGFYKDSKGP ASGGTHGGEPLLSLHKATSEVASDYKKKKHVFKLQTQDGSEFLLQAKDEEEMNGWLEAVA NSVAEHAEIARWGQTLPTTSSTDEGNPKREGGERRASGRRK >ENSMUSP00000011895.7 pep:known chromosome:GRCm38:7:27356383:27446599:-1 gene:ENSMUSG00000011751.16 transcript:ENSMUST00000011895.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn4 description:spectrin beta, non-erythrocytic 4 [Source:MGI Symbol;Acc:MGI:1890574] MAQVPGEVDNMEGPAVSNNNNPSARWESPDRGWDREPPAAANAAASLFECSRIKALADER EAVQKKTFTKWVNSHLARVGCHIGDLYADLRDGFVLTRLLEVLSGEQLPRPTRGRMRIHS LENVDKALQFLKEQRVHLENVGSHDIVDGNHRLTLGLVWTIILRFQIQVIKIETEDNRET RSAKDALLLWCQMKTAGYPEVNIQNFTTSWRDGLAFNALIHRHRPDLVDLSKLTKSNANY NLQRAFRTAEQHLGLARLLDPEDVNMEAPDEKSIITYVVSFYHYFSKMKALAVEGKRIGK VLDQVLEVDKIIERYEELAAELLAWIHRTVDLISNQKFANSLSGVQQQLQAFTAYCTLEK PVKFQEKGNLEVLLFSIQSKLRAHNRRLFVPREGCGIWDIDKAWGELEKAEHEREAALRA ELIRQEKLELLAQRFDHKVAMRESWLNENQRLVSQDNFGYELPAVEAAMKKHEAIEADIA AYEERVQGVAELAQALAAEGYYDARRVAAQRDSVLRQWALLTGLVGARRTRLEQNLALQK VFQEMVYMVDWMEEMQTQLLSRECGQHLVEADDLLQKHGLLEGDIAAQSERVEALNAAAL RFSQLQGYQPCDPQVICNRVNHVHGCLSELQEQAARRRAELEASRSLWALLQELEEAESW ARDKERLLEATSGSGGAAGTAGGAHDLSSTARLLAQHKILQGELGGRRALLQQALRRGEE LAAAGGSVGPGAEPLHLAGLAERAASARRRWQRLEEAAARRERRLQEARALHQFGADLDG LLDWLRDAYRLAAAGDFGHDEASSRRLARQHRALTGEVEAHRGPVGGLRRQLATLGGASG AGPLVVALQVRVVEAEQLFAEVTEVAALRRQWLRDALAVYRMFGEVHACELWIGEKEQWL LAMRVPDSLDDVEVVQHRFESLDQEMNSLMGRVLDVNQTVQELVEGGHPSSDEVRSCQDH LNSRWNRIVELVEQRKEEMSAVLLVENHVLEVAEVRAQVREKRRAVESAPRAGGALQWRL SGLEAALQALEPRQAALLEEAALLAERFPAQATRLHQGAEELGAEWGALAGAAQACGEAV AAAGRLQRFLRDLDTFLDWLVRAQEAAGAVEGPLPRSLEEADGLLARHAALKEEVDQREE DYARIVAASEALLASEGAELGPGLALDEWLPHLEVGWHKLLGLWEERREALVQAHVYQLF LRDLCQALAVLRNQEVALSGAELPCTVESVEEAMKRHRDFLTTMELNQQKMQVAVQAAES LLRQGNAYGEQAQEAVARLLEKSQENQLRAQQWMQKLLDQLVLQHFLRDCHELDGWIHEK MLMARDGTREDSHKLHKRWLRHQAFMAELAQNKEWLEKIEREGQQLMQEKPELAASVRKK LGEIRQCWAELESTTQAKARQLFEASKADQLVQSFAELDKRLLHMESQLQDVDPGGDLAT VNSQLKKLQSMESQVEEWCREVGELQAQTAALPLEQASKELVGERQSAVGERLVRLLEPL QERRRLLLASKELHQVAHDLDDELAWVQERLPLAMQTERGTGLQAVQQHIKKNQGLRREI QAHGPRLEEVLERAGVLASLRSPEAEAVRRGQEQLQSAWTGLREAAERRQQTLDAAFQVE QYYFDVAEVEAWLGEQELLMMSEDKGKDEQSTLQLLKKHLQLEQGVENYEESIAQLSRQC RALLEMGHPDSEQISRRQSQVDRLYVALKELGEERRVSLEQQYWLYQLSRQVDELEHWIA EKEVVAGSPELGQDFEHVSVLQEKFSEFASETGTAGRERLAAVNQMVDELIECGHTAAAT MAEWKDGLNEAWAELLELMGTRAQLLAASRELHKFFSDARELQGQIEEKRRRLPRLTAPP EPRPSASSMQRTLRAFEHDLQLLVSQVRQLQEGAAQLRTVYAGEHAEAIASREQEVLQGW KELLAACEDARLHVSSTADALRFHSQARDLLSWMDGIAGQIGAADKPRDVSSVEVLMNYH QGLKTELEARVPELATCQELGRSLLLNKSAMADEIQAQLDKLGSRKEEVSEKWDRHWEWL QQMLEVHQFAQEAVVADAWLTAQEPLLQSRELGSSVDEVEQLIRRHEAFRKAAAAWEERF SSLRRLTTIEKLKAEQSKQPPTPLLGRKFFGDPTELAAKAAPLLRPGGYDRGLEPLARRA SDTLSAEVRTRVGYVRQELKPERLQPRIDRLPETSGKVEPAAPTAAALDTTDTPGTPAAT ELVRPRSERQELADRAEELPRRRRSERQESVDQPEETARRRRPERQESADHEGPHSLTLG RYEQMERRRERRERRIERQESSEQETPTRGELVKGKATLADIVEQLQEKEAGPGIPAGVP SLPQPRELPPGRLPNGLEPPERTPRPDRPRARDRPKPRRRPRPREGGEGGGSRRSRSAPA QGGSAPAPPPPPTHTVQHEGFLLRKRELDANRKSSNRSWVSLYCVLSKGELGFYKDSKGP ASGGTHGGEPLLSLHKATSEVASDYKKKKHVFKLQTQDGSEFLLQAKDEEEMNGWLEAVA NSVAEHAEIARWGQTLPTTSSTDEGNPKREGGERRASGRRK >ENSMUSP00000120738.1 pep:known chromosome:GRCm38:7:27434201:27447686:-1 gene:ENSMUSG00000011751.16 transcript:ENSMUST00000152572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn4 description:spectrin beta, non-erythrocytic 4 [Source:MGI Symbol;Acc:MGI:1890574] MVVGDTRFHSLCCNALPPPMAQVPGEVDNMEGPAVSNNNNPSARWESPDRGWDREPPAAA NAAASLFECSRIKALADEREAVQKKTFTKWVNSHLARVGCHIGDLYADLRDGFVLTRLLE VLSGEQLV >ENSMUSP00000132807.1 pep:known chromosome:GRCm38:7:27356387:27447686:-1 gene:ENSMUSG00000011751.16 transcript:ENSMUST00000172269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn4 description:spectrin beta, non-erythrocytic 4 [Source:MGI Symbol;Acc:MGI:1890574] MAQVPGEVDNMEGPAVSNNNNPSARWESPDRGWDREPPAAANAAASLFECSRIKALADER EAVQKKTFTKWVNSHLARVGCHIGDLYADLRDGFVLTRLLEVLSGEQLPRPTRGRMRIHS LENVDKALQFLKEQRVHLENVGSHDIVDGNHRLTLGLVWTIILRFQIQVIKIETEDNRET RSAKDALLLWCQMKTAGYPEVNIQNFTTSWRDGLAFNALIHRHRPDLVDLSKLTKSNANY NLQRAFRTAEQHLGLARLLDPEDVNMEAPDEKSIITYVVSFYHYFSKMKALAVEGKRIGK VLDQVLEVDKIIERYEELAAELLAWIHRTVDLISNQKFANSLSGVQQQLQAFTAYCTLEK PVKFQEKGNLEVLLFSIQSKLRAHNRRLFVPREGCGIWDIDKAWGELEKAEHEREAALRA ELIRQEKLELLAQRFDHKVAMRESWLNENQRLVSQDNFGYELPAVEAAMKKHEAIEADIA AYEERVQGVAELAQALAAEGYYDARRVAAQRDSVLRQWALLTGLVGARRTRLEQNLALQK VFQEMVYMVDWMEEMQTQLLSRECGQHLVEADDLLQKHGLLEGDIAAQSERVEALNAAAL RFSQPCDPQVICNRVNHVHGCLSELQEQAARRRAELEASRSLWALLQELEEAESWARDKE RLLEATSGSGGAAGTAGGAHDLSSTARLLAQHKILQGELGGRRALLQQALRRGEELAAAG GSVGPGAEPLHLAGLAERAASARRRWQRLEEAAARRERRLQEARALHQFGADLDGLLDWL RDAYRLAAAGDFGHDEASSRRLARQHRALTGEVEAHRGPVGGLRRQLATLGGASGAGPLV VALQVRVVEAEQLFAEVTEVAALRRQWLRDALAVYRMFGEVHACELWIGEKEQWLLAMRV PDSLDDVEVVQHRFESLDQEMNSLMGRVLDVNQTVQELVEGGHPSSDEVRSCQDHLNSRW NRIVELVEQRKEEMSAVLLVENHVLEVAEVRAQVREKRRAVESAPRAGGALQWRLSGLEA ALQALEPRQAALLEEAALLAERFPAQATRLHQGAEELGAEWGALAGAAQACGEAVAAAGR LQRFLRDLDTFLDWLVRAQEAAGAVEGPLPRSLEEADGLLARHAALKEEVDQREEDYARI VAASEALLASEGAELGPGLALDEWLPHLEVGWHKLLGLWEERREALVQAHVYQLFLRDLC QALAVLRNQEVALSGAELPCTVESVEEAMKRHRDFLTTMELNQQKMQVAVQAAESLLRQG NAYGEQAQEAVARLLEKSQENQLRAQQWMQKLLDQLVLQHFLRDCHELDGWIHEKMLMAR DGTREDSHKLHKRWLRHQAFMAELAQNKEWLEKIEREGQQLMQEKPELAASVRKKLGEIR QCWAELESTTQAKARQLFEASKADQLVQSFAELDKRLLHMESQLQDVDPGGDLATVNSQL KKLQSMESQVEEWCREVGELQAQTAALPLEQASKELVGERQSAVGERLVRLLEPLQERRR LLLASKELHQVAHDLDDELAWVQERLPLAMQTERGTGLQAVQQHIKKNQGLRREIQAHGP RLEEVLERAGVLASLRSPEAEAVRRGQEQLQSAWTGLREAAERRQQTLDAAFQVEQYYFD VAEVEAWLGEQELLMMSEDKGKDEQSTLQLLKKHLQLEQGVENYEESIAQLSRQCRALLE MGHPDSEQISRRQSQVDRLYVALKELGEERRVSLEQQYWLYQLSRQVDELEHWIAEKEVV AGSPELGQDFEHVSVLQEKFSEFASETGTAGRERLAAVNQMVDELIECGHTAAATMAEWK DGLNEAWAELLELMGTRAQLLAASRELHKFFSDARELQGQIEEKRRRLPRLTAPPEPRPS ASSMQRTLRAFEHDLQLLVSQVRQLQEGAAQLRTVYAGEHAEAIASREQEVLQGWKELLA ACEDARLHVSSTADALRFHSQARDLLSWMDGIAGQIGAADKPRDVSSVEVLMNYHQGLKT ELEARVPELATCQELGRSLLLNKSAMADEIQAQLDKLGSRKEEVSEKWDRHWEWLQQMLE VHQFAQEAVVADAWLTAQEPLLQSRELGSSVDEVEQLIRRHEAFRKAAAAWEERFSSLRR LTTIEKLKAEQSKQPPTPLLGRKFFGDPTELAAKAAPLLRPGGYDRGLEPLARRASDTLS AEVRTRVGYVRQELKPERLQPRIDRLPETSGKVEPAAPTAAALDTTDTPGTPAATELVRP RSERQELADRAEELPRRRRSERQESVDQPEETARRRRPERQESADHEGPHSLTLGRYEQM ERRRERRERRIERQESSEQETPTRGELVKGKATLADIVEQLQEKEAGPGIPAGPSLPQPR ELPPGRLPNGLEPPERTPRPDRPRARDRPKPRRRPRPREGGEGGGSRRSRSAPAQGGSAP APPPPPTHTVQHEGFLLRKRELDANRKSSNRSWVSLYCVLSKGELGFYKDSKGPASGGTH GGEPLLSLHKATSEVASDYKKKKHVFKLQTQDGSEFLLQAKDEEEMNGWLEAVANSVAEH AEIARWGQTLPTTSSTDEGNPKREGGERRASGRRK >ENSMUSP00000116141.2 pep:known chromosome:GRCm38:14:52294668:52305083:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000153539.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLARCTCHSRWGRKPSPEICRPGVD >ENSMUSP00000022767.9 pep:known chromosome:GRCm38:14:52294841:52305128:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000022767.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLDLRNPEAALSPTFRSDSPVPTAPTSSG PKPSTTSVAPELATDPELEKKLLHHLSDLALTLPTDAVSIRLAISTPDAPATQDGVESLL QKFAAQELIEVKRGLLQDDAHPTLVTYADHSKLSAMMGAVAEKKGLGEVAGTIAGQKRRA EQDLTTVTTFASSLASGLASSASEPAKEPAKKSRKHAASDVDLEIESLLNQQSTKEQQSK KVSQEILELLNTTTAKEQSIVEKFRSRGRAQVQEFCDYGTKEECMKASDADRPCRKLHFR RIINKHTDESLGDCSFLNTCFHMDTCKYVHYEIDACVDSESPGSKEHMPSQELALTQSVG GDSSADRLFPPQWICCDIRYLDVSILGKFAVVMADPPWDIHMELPYGTLTDDEMRRLNIP VLQDDGFLFLWVTGRAMELGRECLNLWGYERVDEIIWVKTNQLQRIIRTGRTGHWLNHGK EHCLVGVKGNPQGFNQGLDCDVIVAEVRSTSHKPDEIYGMIERLSPGTRKIELFGRPHNV QPNWITLGNQLDGIHLLDPDVVARFKQRYPDGIISKPKNL >ENSMUSP00000134732.1 pep:known chromosome:GRCm38:14:52294849:52300348:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000174351.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] VPTAPTSSGPKPSTTSVAPELATDPELEKKLLHHLSDLALTLPTDAVSIRLAISTPDAPA TQDGVESLLQKFAAQELIEVKRGLLQDDAHPTLVTYADHSKLSAMMGAVAEKKGLGEVAG TIAGQKRRAEQDLTTVTTFASSLASGLASSASEPAKEPAKKSRKHAASDVDLEIESLLNQ QSTKEQQSKKVSQEILELLNTTTAKEQSIVEKFRSRGRAQVQEFCDYGTKEECMKASDAD RPCRKLHFRRIINKHTDESLGDCSFLNTCFHMDTCKYVHYEIDACVDSESPGSKEHMPSQ ELALTQSVGGDSSADRLFPPQGHGIGQRMSEPLGL >ENSMUSP00000134578.1 pep:known chromosome:GRCm38:14:52294857:52296751:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000174360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] XIWVKTNQLQRIIRTGRTGHWLNHGKEHCLVRSTSHKPDEIYGMIERLSPGTRKIELFGR PHNVQPNWITLGNQLDGIHLLDPDVVARFKQRYPDGIISKPKNL >ENSMUSP00000133759.1 pep:known chromosome:GRCm38:14:52294857:52296752:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000173656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] XIWVKTNQLQRIIRTGRTGHWLNHGKEHCLVGVKGNPQGFNQGLDCDVIVAEDYSWKPTG WDTPTRPRCGCQV >ENSMUSP00000134577.1 pep:known chromosome:GRCm38:14:52295507:52305124:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000147768.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLDLRNPEAALSPTFRSDSPVPTAPTSSG PKPSTTSVAPELATDPELEKKLLHHLSDLALTLPTDAVSIRLAISTPDAPATQDGVESLL QKFAAQELIEVKRGLLQDDAHPTLVTYADHSKLSAMMGAVAEKKGLGEVAGTIAGQKRRA EQDLTTVTTFASSLASGLASSASEPAKEPAKKSRKHAASDVDLEIESLLNQQSTKEQQSK KTNYQ >ENSMUSP00000133999.1 pep:known chromosome:GRCm38:14:52297205:52305086:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000122962.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLGEI >ENSMUSP00000133506.1 pep:known chromosome:GRCm38:14:52297470:52304987:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000173896.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLDLRNPEAALSPTFRSDSPVPTAPTSSG PKPSTTSVAPELATDPELEKKLLHHLSDLALTLPTDAVSIRLAISTPDAPATQDGVESLL QKFAAQELIEHQNQLRSRLRSQGSTLPPMLIWR >ENSMUSP00000134018.1 pep:known chromosome:GRCm38:14:52298025:52304987:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000173138.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLDLRNPEAALSPTFRSDSPVPTAPTSSG PKPSTTSVAPELATDPELEKKLLHHLSDLALTLPTDAVSIRLAISTPDAPATQDGVESLL QKFAAQELIEHQNQLRSRLRSQGSTLPPMLIWR >ENSMUSP00000133864.1 pep:known chromosome:GRCm38:14:52298035:52305107:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000174853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLGEPDAPATQDGVESLLQKFAAQELIEV KRGLLQDDAHPTLVTYADHSKLSAMMGAVAEKKGLGEVAGTIAGQKRRAEQDLTTVTTFA SSLASGLASSASEPAKEPAKKSRKHAASDVDLEIESLLNQQSTKEQQSKKVSQEILELLN TTTAKEQSIVEKFRSRGRAQVQEFCDYGTKEECMKASDADRPCRKLHFRRIINKHTDESL GDCSFL >ENSMUSP00000133573.1 pep:known chromosome:GRCm38:14:52300356:52305099:-1 gene:ENSMUSG00000022160.17 transcript:ENSMUST00000145875.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl3 description:methyltransferase like 3 [Source:MGI Symbol;Acc:MGI:1927165] MSDTWSSIQAHKKQLDSLRERLQRRRKQDSGHLVHIY >ENSMUSP00000043857.7 pep:known chromosome:GRCm38:1:11414105:11975901:1 gene:ENSMUSG00000057715.13 transcript:ENSMUST00000048613.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830018L16Rik description:RIKEN cDNA A830018L16 gene [Source:MGI Symbol;Acc:MGI:2444149] MASRQQTRIQAYLEKNKIGPLFEELMTKLITETPDQPIPFLIDHLQSKQGNQGQLQRALS GSAALWAESESSEPKGTRRDFRSYDKPWQMNAKKPKKSKSDLAVSNISPPSPDSKSLPRS VDHLKWNWRTKPQSRDFDELNHILQESKKLGKALENLSRSIAISDELDKETLAFNSSLLR PRVIGEWIGRAENDADPLAAEMLQPPVPRSKNDSWESEDSSSSPAGSLKMEPKTKGLKQQ QQQHKKLLAAMLSQDSFESIHSPTPSVIEEDIDNEDDAMELLENLDDLRMEGVTTLVLSG SKFNQGRPTHPAEPQAKVTLNICSRLQGDNLMERTEDTLQILHTPDEIIPDSLDSLPGTE ETLMEEGEDFEKTSNLTEPGEASSGAHSLKSYMEEDESLKQLQVVHQPWLLPSDTESEGI EAEQDKRSADLLCVPCSSCPTLIYSGLSVKPAKEVASGP >ENSMUSP00000139635.1 pep:known chromosome:GRCm38:1:11414112:11933740:1 gene:ENSMUSG00000057715.13 transcript:ENSMUST00000141512.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:A830018L16Rik description:RIKEN cDNA A830018L16 gene [Source:MGI Symbol;Acc:MGI:2444149] MASRQQTRIQAYLEKNKIGPLFEELMTKLITETPDQPIPFLIDHLQSKQGNQGQLQRALS GSAALWAESESSEPKGTRRDFRSYDKPWQMNAKKPKKSKSDLAVSNISPPSPDSKSLPRS VDHLKWNWRTKPQSRDFDELNHILQESKKLGKALENLSRSIAISDELDKETLAFNSSLLR PRVIGEWIGRAENDADPLAAEMLQPPVPRSKNDSWESEDSSSSPAGR >ENSMUSP00000119143.1 pep:known chromosome:GRCm38:1:11414269:11601621:1 gene:ENSMUSG00000057715.13 transcript:ENSMUST00000135014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830018L16Rik description:RIKEN cDNA A830018L16 gene [Source:MGI Symbol;Acc:MGI:2444149] MASRQQTRIQAYLEKNKIGPLFEELMTKLITETPDQPIPFLIDHLQSKQGNQGQLQRALS GSAALWAESESSEPKGTRRDFRSYDKPWQMNAKKPKKSKSDLAVSNISPPSPDSKSLPRS VDHLKWNWRTKPQSRDFDELNHILQESKKLGKALENLSRSIAISDELDKETLAFNSSLLR PRVIGEWIGRAENDADPLAAEMLQPPVPRSKNDSWESEDSSSSPAGSLKMEPKTKGLKQQ QQQHKKLLAAMLSQDSFESIHSPTPSVIEEDIDNEDDAMELLGNFKN >ENSMUSP00000117421.1 pep:known chromosome:GRCm38:1:11414509:11975397:1 gene:ENSMUSG00000057715.13 transcript:ENSMUST00000137824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830018L16Rik description:RIKEN cDNA A830018L16 gene [Source:MGI Symbol;Acc:MGI:2444149] MASRQQTRIQAYLEKNKIGPLFEELMTKLITETPDQPIPFLIDHLQSKQGNQGQLQRALS GSAALWAESESSEPKGTRRDFRSYDKPWQMNAKKPKKSKSDLAVSNISPPSPDSKSLPRS VDHLKWNWRTKPQSRDFDELNHILQESKKLGKALENLSRSIAISDELDKETLAFNSSLLR PRVIGEWIGRAENDADPLAAEMLQPPVPRSKNDSWESEDSSSSPAGSLKMEPKTKGLKQQ QQQHKKLLAAMLSQDSFESIHSPTPSVIEEDIDNEDDAMELLENLDDLRMEGVTTLVLSG SKFNQGRPTHPAEPQAKVTLNICSRCARLQGDNLMERTEDTLQILHTPDEIIPDSLDSLP GTEETLMEEGEDFEKTSNLTEPGEASSGAHSLKSYMEEDESLKQLQVVHQPWLLPSDTES EGIEAEQDKRSADLLCVPCSSCPTLIYSGLSVKPAKEVASGP >ENSMUSP00000137287.2 pep:known chromosome:GRCm38:1:11414509:11975397:1 gene:ENSMUSG00000057715.13 transcript:ENSMUST00000179089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830018L16Rik description:RIKEN cDNA A830018L16 gene [Source:MGI Symbol;Acc:MGI:2444149] MASRQQTRIQAYLEKNKIGPLFEELMTKLITETPDQPIPFLIDHLQSKQGNQGQLQRALS GSAALWAESESSEPKGTRRDFRSYDKPWQMNAKKPKKSKSDLAVSNISPPSPDSKSLPRS VDHLKWNWRTKPQSRDFDELNHILQESKKLGKALENLSRSIAISDELDKETLAFNSSLLR PRVIGEWIGRAENDADPLAAEMLQPPVPRSKNDSWESEDSSSSPAGSLKMEPKTKGLKQQ QQQHKKLLAAMLSQDSFESIHSPTPSVIEEDIDNEDDAMELLENLDDLRMEGVTTLVLSG SKFNQGRPTHPAEPQAKVTLNICSRCARLQGDNLMERTEDTLQILHTPDEIIPDSLDSLP GTEETLMEEGEDFEKTSNLTEPDESLKQLQVVHQPWLLPSDTESEGIEAEQDKRSADLLC VPCSSCPTLIYSGLSVKPAKEVASGP >ENSMUSP00000121311.1 pep:known chromosome:GRCm38:1:11518671:11599993:1 gene:ENSMUSG00000057715.13 transcript:ENSMUST00000141339.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830018L16Rik description:RIKEN cDNA A830018L16 gene [Source:MGI Symbol;Acc:MGI:2444149] XSNISPPSPDSKSLPRSVDHLKWNWRTKPQSRDFDELNHILQESKKLGKALENLSRSIAI SDELDKETLAFNSSLLRPRVIGEWIGRAENDADPLAAEMLQPPVPRSKNDSWESEDSSSS PAGSLKMEPKTKGLKQQQQQHKKLLAAMLSQDSFESIHSPTPSVIEEDIDNEDDAMELLE QIFSV >ENSMUSP00000132334.2 pep:known chromosome:GRCm38:1:11414105:11601811:1 gene:ENSMUSG00000057715.13 transcript:ENSMUST00000171690.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830018L16Rik description:RIKEN cDNA A830018L16 gene [Source:MGI Symbol;Acc:MGI:2444149] MASRQQTRIQAYLEKNKIGPLFEELMTKLITETPDQPIPFLIDHLQSKQGNQGQLQRALS GSAALWAESESSEPKGTRRDFRSYDKPWQMNAKKPKKSKSDLAVSNISPPSPDSKSLPRS VDHLKWNWRTKPQSRDFDELNHILQESKKLGKALENLSRSIAISDELDKETLAFNSSLLR PRVIGEWIGRAENDADPLAAEMLQPPVPRSKNDSWESEDSSSSPAGSLKMEPKTKGLKQQ QQQHKKLLAAMLSQDSFESIHSPTPSVIEEDIDNEDDAMELLEQIFSV >ENSMUSP00000037027.3 pep:known chromosome:GRCm38:11:121116215:121118677:-1 gene:ENSMUSG00000039337.3 transcript:ENSMUST00000039146.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex19.2 description:testis expressed gene 19.2 [Source:MGI Symbol;Acc:MGI:1918206] MCPPVSVRHGAKGMSCLYGAWLYHLVHGEQMKICFACFKAAFLVVKNMLEMGDWEEGVWD AEPMELSEASSEPEEWPGLSGGEGQGHLPHGISVSAGSGAQGPQPVPTELGPQEAVPLDL GPEDAEWTQALPWRFDGLSPCSHWLIPPLSWWEIFNVSPSPGQPVLLELSPTWPMDPLEA EAWLVGLKFVFLLGGFDAICYMLSMTPCWAVRTRVQRWQVLLDPGDVRVAQLQNAPEQQD LHRWKLSVLESSELGMELVPADCSLQKGGFKVHSYLPWHNSTPESWSREPGERLLVVEVV SLRELPCFRSPSPDPHN >ENSMUSP00000133731.1 pep:known chromosome:GRCm38:X:169250193:169320372:-1 gene:ENSMUSG00000031352.10 transcript:ENSMUST00000154638.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hccs description:holocytochrome c synthetase [Source:MGI Symbol;Acc:MGI:106911] MGASASSPATAVNASNASDGQPASPPSGCPMHKGQRKGCPVTAATSDLTSESKAHTVPAH QDRAYDYVECPVTGARAKDKESLDPSNLVEVER >ENSMUSP00000033717.2 pep:known chromosome:GRCm38:X:169311530:169320280:-1 gene:ENSMUSG00000031352.10 transcript:ENSMUST00000033717.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hccs description:holocytochrome c synthetase [Source:MGI Symbol;Acc:MGI:106911] MGASASSPATAVNASNASDGQPASPPSGCPMHKGQRKGCPVTAATSDLTSESKAHTVPAH QDRAYDYVECPVTGARAKDKESLDPSNLMPPPNQTPSPDQPFTLSTSREESSIPRADSEK KWVYPSEQMFWNAMLRKGWKWKDDDISQKDMYNIIRIHNQNNEQAWKEILKWEALHAHEC PCGPSLVRFGGKAREYSPRARIRSWMGYELPFDRHDWIINRCGTEVRYVIDYYDGGEVNK EYQFTILDVRPAFDSFSAVWDRMKVAWWRWTS >ENSMUSP00000107743.1 pep:known chromosome:GRCm38:X:169311530:169320294:-1 gene:ENSMUSG00000031352.10 transcript:ENSMUST00000112115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hccs description:holocytochrome c synthetase [Source:MGI Symbol;Acc:MGI:106911] MGASASSPATAVNASNASDGQPASPPSGCPMHKGQRKGCPVTAATSDLTSESKAHTVPAH QDRAYDYVECPVTGARAKDKESLDPSNLMPPPNQTPSPDQPFTLSTSREESSIPRADSEK KWVYPSEQMFWNAMLRKGWKWKDDDISQKDMYNIIRIHNQNNEQAWKEILKWEALHAHEC PCGPSLVRFGGKAREYSPRARIRSWMGYELPFDRHDWIINRCGTEVRYVIDYYDGGEVNK EYQFTILDVRPAFDSFSAVWDRMKVAWWRWTS >ENSMUSP00000067305.3 pep:known chromosome:GRCm38:2:48814109:48903269:1 gene:ENSMUSG00000052155.5 transcript:ENSMUST00000063886.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr2a description:activin receptor IIA [Source:MGI Symbol;Acc:MGI:102806] MGAAAKLAFAVFLISCSSGAILGRSETQECLFFNANWERDRTNQTGVEPCYGDKDKRRHC FATWKNISGSIEIVKQGCWLDDINCYDRTDCIEKKDSPEVYFCCCEGNMCNEKFSYFPEM EVTQPTSNPVTPKPPYYNILLYSLVPLMLIAGIVICAFWVYRHHKMAYPPVLVPTQDPGP PPPSPLLGLKPLQLLEVKARGRFGCVWKAQLLNEYVAVKIFPIQDKQSWQNEYEVYSLPG MKHENILQFIGAEKRGTSVDVDLWLITAFHEKGSLSDFLKANVVSWNELCHIAETMARGL AYLHEDIPGLKDGHKPAISHRDIKSKNVLLKNNLTACIADFGLALKFEAGKSAGDTHGQV GTRRYMAPEVLEGAINFQRDAFLRIDMYAMGLVLWELASRCTAADGPVDEYMLPFEEEIG QHPSLEDMQEVVVHKKKRPVLRDYWQKHAGMAMLCETIEECWDHDAEARLSAGCVGERIT QMQRLTNIITTEDIVTVVTMVTNVDFPPKESSL >ENSMUSP00000054412.7 pep:known chromosome:GRCm38:18:36763673:36766198:-1 gene:ENSMUSG00000044595.10 transcript:ENSMUST00000061522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnd1 description:DND microRNA-mediated repression inhibitor 1 [Source:MGI Symbol;Acc:MGI:2447763] MQSKRECEQWCERVNPENKAALEAWVRETGIRLVQVNGQRKYGGPPPGWVGSPPPSGSEV YIGRLPQDVYEHQLIPLFQRVGRLYEFRLMMTFSGLNRGFAYARYSSRRGAQAAIATLHN HQLRPSCQLLVCRSTEKCELTVDGLPLSLNRRALLLALQPFGPCLQETLLLPSPGSAPSQ IALLKFSTHRAAAMAKKALVEGQSRLCGEQVAVEWLKPDLKQHFRQQLAGPSLRFLRPDV SQLTQTREKLGSQGARAALQLLCQRMKLGSPVFLTKCLGTGPAGWHRFWYQVVIPGHPVP FSGLIWVVLASDWQDGHEVAKDAVSAQLLEALSEPRTSLWSPGAEAGTMVKQ >ENSMUSP00000108107.2 pep:known chromosome:GRCm38:1:130388537:130423009:-1 gene:ENSMUSG00000026401.15 transcript:ENSMUST00000112488.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd55b description:CD55 molecule, decay accelerating factor for complement B [Source:MGI Symbol;Acc:MGI:104849] MIPAQAPGTWSSPPLLLVLSLSLVLLFQTVCGDCGPPPDIPNARPILGRHSKFAEQSKVA YSCNNGFKQVPDKSNIVVCLENGQWSSHETFCEKSCDTPERLSFASLKKEYFNMNFFPVG TIVEYECRPGFRKQPSLSGKSTCLEDLVWSPVAQFCKKKSCPNPKDLDNGHINIPTGILF GSEINFSCNPGYRLVGITSILCTIIGNTVDWDDEFPVCTEIFCPDPPKINNGIMRGESDS YKYSQVVIYSCDKGFILFGNSTIYCTVSKSDVGQWSSPPPQCIEESKVPIKKPVVNVPST GIPSTPQKPTTESVPNPGDQPTPQKPSTVKVPATQHEPDTTTRTSTDKGESNSGGDRYIY GFVAVIAMIDSLIIVKTLWTILSPNRRSDFQGKERKDVSK >ENSMUSP00000113352.1 pep:known chromosome:GRCm38:1:130388537:130423009:-1 gene:ENSMUSG00000026401.15 transcript:ENSMUST00000119432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd55b description:CD55 molecule, decay accelerating factor for complement B [Source:MGI Symbol;Acc:MGI:104849] MIPAQAPGTWSSPPLLLVLSLSLVLLFQTVCGDCGPPPDIPNARPILGRHSKFAEQSKVA YSCNNGFKQVPDKSNIVVCLENGQWSSHETFCEKSCDTPERLSFASLKKEYFNMNFFPVG TIVEYECRPGFRKQPSLSGKSTCLEDLVWSPVAQFCKKKSCPNPKDLDNGHINIPTGILF GSEINFSCNPGYRLVGITSILCTIIGNTVDWDDEFPVCTATQHEPDTTTRTSTDKGESNS GGDRYIYGFVAVIAMIDSLIIVKTLWTILSPNRRSDFQGKERKDVSK >ENSMUSP00000145919.1 pep:known chromosome:GRCm38:7:115470872:116031047:-1 gene:ENSMUSG00000051910.13 transcript:ENSMUST00000206034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox6 description:SRY (sex determining region Y)-box 6 [Source:MGI Symbol;Acc:MGI:98368] MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHPASHLPLHPIMHNKPHSEELPTLV STIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSREREIMNSVTFGTP ERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGT PESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQ QQQLLQQQHKINLLQQQIQQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKP GDNYPVQFIPSTMAAAAASGLSPLQLQLYAAQLASMQVSPGAKMPSTPQPPNSAGAVSPT GIKNEKRGTSPVTQVKDETTAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPNK SSIPSPIGGSLGRGSSLDILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQPHGV DGKLSSMNNMGLSNCRTEKERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKAM NGSAAKLQQYYCWPTGGATVAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQA FPDMHNSNISKILGSRWKSMSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVDG KKLRIGEYKQLMRSRRQEMRQFFTVGQQPQMPITTGTGVVYPGAITMATTTPSPQMTSDC SSTSASPEPSLPVIQSTYGMKMDGASLAGNDMINGEDEMEAYDDYEDDPKSDYSSENEAP EPVSAN >ENSMUSP00000126404.1 pep:known chromosome:GRCm38:7:115474456:115824710:-1 gene:ENSMUSG00000051910.13 transcript:ENSMUST00000169129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox6 description:SRY (sex determining region Y)-box 6 [Source:MGI Symbol;Acc:MGI:98368] MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHPASHLPLHPIMHNKPHSEELPTLV STIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSREREIMNSVTFGTP ERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGT PESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQ QQQLLQQQHKINLLQQQIQQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKP GDNYPVQFIPSTMAAAAASGLSPLQLQQLYAAQLASMQVSPGAKMPSTPQPPNSAGAVSP TGIKNEKRGTSPVTQVKDETTAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPN KSSIPSPIGGSLGRGSSLDILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQPHG VDGKLSSMNNMGLSNCRTEKERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKA MNGSAAKLQQYYCWPTGGATVAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQ AFPDMHNSNISKILGSRWKSMSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVD GKKLRIGEYKQLMRSRRQEMRQFFTVGQQPQMPITTGTGVVYPGAITMATTTPSPQMTSD CSSTSASPEPSLPVIQSTYGMKMDGASLAGNDMINGEDEMEAYDDYEDDPKSDYSSENEA PEPVSAN >ENSMUSP00000145931.1 pep:known chromosome:GRCm38:7:115476658:115846140:-1 gene:ENSMUSG00000051910.13 transcript:ENSMUST00000206369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox6 description:SRY (sex determining region Y)-box 6 [Source:MGI Symbol;Acc:MGI:98368] MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHPASHLPLHPIMHNKPHSEELPTLV STIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSREREIMNSVTFGTP ERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGT PESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQ QQQLLQQQHKINLLQQQIQQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKP GDNYPVQFIPSTMAAAAASGLSPLQLQKGHVSHPQINPRLKGISDRFGRNLDPSEHGGGH SYNHRQIEQLYAAQLASMQVSPGAKMPSTPQPPNSAGAVSPTGIKNEKRGTSPVTQVKDE TTAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPNKSSIPSPIGGSLGRGSSLD ILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQPHGVDGKLSSMNNMGLSNCRTE KERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKAMNGSAAKLQQYYCWPTGGA TVAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWK SMSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVDGKKLRIGEYKQLMRSRRQE MRQFFTVGQQPQMPITTGTGVVYPGAITMATTTPSPQMTSDCSSTSASPEPSLPVIQSTY GMKMDGASLAGNDMINGEDEMEAYDDYEDDPKSDYSSENEAPEPVSAN >ENSMUSP00000145732.1 pep:known chromosome:GRCm38:7:115476671:115995086:-1 gene:ENSMUSG00000051910.13 transcript:ENSMUST00000205405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox6 description:SRY (sex determining region Y)-box 6 [Source:MGI Symbol;Acc:MGI:98368] MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHPASHLPLHPIMHNKPHSEELPTLV STIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSREREIMNSVTFGTP ERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGT PESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQ QQQLLQQQHKINLLQQQIQQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKP GDNYPVQFIPSTMAAAAASGLSPLQLQKGHVSHPQINPRLKGISDRFGRNLDPSEHGGGH SYNHRQIEQLYAAQLASMQVSPGAKMPSTPQPPNSAGAVSPTGIKNEKRGTSPVTQVKDE TTAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPNKSSIPSPIGGSLGRGSSLD ILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQPHGVDGKLSSMNNMGLSNCRTE KERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKAMNGSAAKLQQYYCWPTGGA TVAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWK SMSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVDGKKLRIGEYKQLMRSRRQE MRQFFTVGQQPQMPITTGTGVVYPGAITMATTTPSPQMTSDCSSTSASPEPSLPVIQSTY GMKMDGASLAGNDMINGEDEMEAYDDYEDDPKSDYSSENEAPEPVSAN >ENSMUSP00000145561.1 pep:known chromosome:GRCm38:7:115580598:115662365:-1 gene:ENSMUSG00000051910.13 transcript:ENSMUST00000205479.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox6 description:SRY (sex determining region Y)-box 6 [Source:MGI Symbol;Acc:MGI:98368] XLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQQQQLLQQQHKINLLQQQIQVQGHM PPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKPGDNYPVQFIPSTMAAA >ENSMUSP00000145576.1 pep:known chromosome:GRCm38:7:115777120:115859852:-1 gene:ENSMUSG00000051910.13 transcript:ENSMUST00000206123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox6 description:SRY (sex determining region Y)-box 6 [Source:MGI Symbol;Acc:MGI:98368] MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHPASHLPLHPIMHNKPHSEELPTLV STIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSREREIMNSVTFGTP ERRKG >ENSMUSP00000072583.4 pep:known chromosome:GRCm38:7:115471344:115995086:-1 gene:ENSMUSG00000051910.13 transcript:ENSMUST00000072804.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox6 description:SRY (sex determining region Y)-box 6 [Source:MGI Symbol;Acc:MGI:98368] MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHPASHLPLHPIMHNKPHSEELPTLV STIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSREREIMNSVTFGTP ERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGT PESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQ QQQLLQQQHKINLLQQQIQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKPG DNYPVQFIPSTMAAAAASGLSPLQLQKGHVSHPQINPRLKGISDRFGRNLDPSEHGGGHS YNHRQIEQLYAAQLASMQVSPGAKMPSTPQPPNSAGAVSPTGIKNEKRGTSPVTQVKDET TAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPNKSSIPSPIGGSLGRGSSLDI LSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQPHGVDGKLSSMNNMGLSNCRTEK ERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKAMNGSAAKLQQYYCWPTGGAT VAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWKS MSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVDGKKLRIGEYKQLMRSRRQEM RQFFTVGQQPQMPITTGTGVVYPGAITMATTTPSPQMTSDCSSTSASPEPSLPVIQSTYG MKMDGASLAGNDMINGEDEMEAYDDYEDDPKSDYSSENEAPEPVSAN >ENSMUSP00000129512.1 pep:known chromosome:GRCm38:7:115471344:116038744:-1 gene:ENSMUSG00000051910.13 transcript:ENSMUST00000166877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox6 description:SRY (sex determining region Y)-box 6 [Source:MGI Symbol;Acc:MGI:98368] MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHPASHLPLHPIMHNKPHSEELPTLV STIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSREREIMNSVTFGTP ERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGT PESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQ QQQLLQQQHKINLLQQQIQQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKP GDNYPVQFIPSTMAAAAASGLSPLQLQQLYAAQLASMQVSPGAKMPSTPQPPNSAGAVSP TGIKNEKRGTSPVTQVKDETTAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPN KSSIPSPIGGSLGRGSSLDILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQPHG VDGKLSSMNNMGLSNCRTEKERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKA MNGSAAKLQQYYCWPTGGATVAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQ AFPDMHNSNISKILGSRWKSMSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVD GKKLRIGEYKQLMRSRRQEMRQFFTVGQQPQMPITTGTGVVYPGAITMATTTPSPQMTSD CSSTSASPEPSLPVIQSTYGMKMDGASLAGNDMINGEDEMEAYDDYEDDPKSDYSSENEA PEPVSAN >ENSMUSP00000102223.1 pep:known chromosome:GRCm38:7:115471344:116031047:-1 gene:ENSMUSG00000051910.13 transcript:ENSMUST00000106612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox6 description:SRY (sex determining region Y)-box 6 [Source:MGI Symbol;Acc:MGI:98368] MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHPASHLPLHPIMHNKPHSEELPTLV STIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSREREIMNSVTFGTP ERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGT PESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQ QQQLLQQQHKINLLQQQIQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKPG DNYPVQFIPSTMAAAAASGLSPLQLQLYAAQLASMQVSPGAKMPSTPQPPNSAGAVSPTG IKNEKRGTSPVTQVKDETTAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPNKS SIPSPIGGSLGRGSSLDILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQPHGVD GKLSSMNNMGLSNCRTEKERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKAMN GSAAKLQQYYCWPTGGATVAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQAF PDMHNSNISKILGSRWKSMSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVDGK KLRIGEYKQLMRSRRQEMRQFFTVGQQPQMPITTGTGVVYPGAITMATTTPSPQMTSDCS STSASPEPSLPVIQSTYGMKMDGASLAGNDMINGEDEMEAYDDYEDDPKSDYSSENEAPE PVSAN >ENSMUSP00000129027.1 pep:known chromosome:GRCm38:7:115476658:115846105:-1 gene:ENSMUSG00000051910.13 transcript:ENSMUST00000166207.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox6 description:SRY (sex determining region Y)-box 6 [Source:MGI Symbol;Acc:MGI:98368] MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHPASHLPLHPIMHNKPHSEELPTLV STIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSREREIMNSVTFGTP ERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGT PESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQ QQQLLQQQHKINLLQQQIQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKPG DNYPVQFIPSTMAAAAASGLSPLQLQKGHVSHPQINPRLKGISDRFGRNLDPSEHGGGHS YNHRQIEQLYAAQLASMQVSPGAKMPSTPQPPNSAGAVSPTGIKNEKRGTSPVTQVKDET TAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPNKSSIPSPIGGSLGRGSSLDI LSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQPHGVDGKLSSMNNMGLSNCRTEK ERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKAMNGSAAKLQQYYCWPTGGAT VAEARVYRDARGRASSEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWKS MSNQEKQPYYEEQARLSKIHLEKYPNYKYKPRPKRTCIVDGKKLRIGEYKQLMRSRRQEM RQFFTVGQQPQMPITTGTGVVYPGAITMATTTPSPQMTSDCSSTSASPEPSLPVIQSTYG MKMDGASLAGNDMINGEDEMEAYDDYEDDPKSDYSSENEAPEPVSAN >ENSMUSP00000065102.2 pep:known chromosome:GRCm38:2:152572744:152574944:1 gene:ENSMUSG00000056544.2 transcript:ENSMUST00000070722.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb21 description:defensin beta 21 [Source:MGI Symbol;Acc:MGI:2684967] MFYLPRAMRFLLLVLPVLALLPQVTPDYGAKKRCLKILGHCRRHCKDGEMDHGSCKYYRV CCVPDLNSYNQNYAITWTTEETSTSEYDLSSDFIGVLRAI >ENSMUSP00000106783.1 pep:known chromosome:GRCm38:5:135960211:135974531:-1 gene:ENSMUSG00000029699.13 transcript:ENSMUST00000111153.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssc4d description:scavenger receptor cysteine rich family, 4 domains [Source:MGI Symbol;Acc:MGI:1924709] MGPSERPSIGWTPKEAEMQIGPQPDGWSRGWKPGDRGAVPLPLSPALSFLLLFPLASALQ PTPLPFPELRLVGGPSRCRGRLEVMHSGSWGSVCDDDWDVVDANVVCRQLGCGLALPVPR PLAFGQGRGPIFLDNVECRGQEASLSECGSRGWGVHNCFHYEDVAVLCDEFLHTQPPTRK VLTSMAPATALQNGKGEGSVRLVGGASPCQGRVEILHGGVWGTVCDDDWGLQDAAVVCRQ LGCGVALAATTNAFFGYGTGHILLDNVHCEGGEPRLAACQSLGWGVHNCGHHEDAGVLCA VLSPPTSTALPPSVTKEDWARHTGPAATGVGASPSRDTVWLTTAARASGKKSGRLRLVGG PSPCRGRVEVLYAGGWGTVCDDDWDFADARVACREAGCGPALGATGLGHFGYGRGPVLLD NVGCTGTEARLSDCFHLGWGQHNCGHHEDAGALCAGPEELGLQVQQAGSETTRMPSPRPR DGHLRLASGTHRCEGRVELFLGQRWGTVCDDAWDLRAAIVLCRQLGCGQALAAPGEAHFG PGRGPILLDNVKCRGDESTLLLCSHIRWDVHNCDHSEDASVLCQPL >ENSMUSP00000106782.1 pep:known chromosome:GRCm38:5:135960223:135974497:-1 gene:ENSMUSG00000029699.13 transcript:ENSMUST00000111152.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssc4d description:scavenger receptor cysteine rich family, 4 domains [Source:MGI Symbol;Acc:MGI:1924709] MGPSERPSIGWTPKEAEMQIGPQPDGWSRGWKPGDRGAVPLPLSPALSFLLLFPLASALQ PTPLPFPELRLVGGPSRCRGRLEVMHSGSWGSVCDDDWDVVDANVVCRQLGCGLALPVPR PLAFGQGRGPIFLDNVECRGQEASLSECGSRGWGVHNCFHYEDVAVLCDEFLHTQPPTRK VLTSMAPATALQNGKGEGSVRLVGGASPCQGRVEILHGGVWGTVCDDDWGLQDAAVVCRQ LGCGVALAATTNAFFGYGTGHILLDNVHCEGGEPRLAACQSLGWGVHNCGHHEDAGVLCA VLSPPTSTALPPSVTKEDWARHTGPAATGVGASPSRDTVWLTTAARASGKKSGRLRLVGG PSPCRGRVEVLYAGGWGTVCDDDWDFADARVACREAGCGPALGATGLGHFGYGRGPVLLD NVGCTGTEARLSDCFHLGWGQHNCGHHEDAGALCAGPEELGLQVQQAGSETTRMPSPRPR DGHLRLASGTHRCEGRVELFLGQRWGTVCDDAWDLRAAIVLCRQLGCGQALAAPGEAHFG PGRGPILLDNVKCRGDESTLLLCSHIRWDVHNCDHSEDASVLCQPL >ENSMUSP00000050439.3 pep:known chromosome:GRCm38:5:135960224:135962327:-1 gene:ENSMUSG00000029699.13 transcript:ENSMUST00000054895.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssc4d description:scavenger receptor cysteine rich family, 4 domains [Source:MGI Symbol;Acc:MGI:1924709] MKTGPEELGLQVQQAGSETTRMPSPRPRDGHLRLASGTHRCEGRVELFLGQRWGTVCDDA WDLRAAIVLCRQLGCGQALAAPGEAHFGPGRGPILLDNVKCRGDESTLLLCSHIRWDVHN CDHSEDASVLCQPL >ENSMUSP00000106780.1 pep:known chromosome:GRCm38:5:135960822:135962271:-1 gene:ENSMUSG00000029699.13 transcript:ENSMUST00000111150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssc4d description:scavenger receptor cysteine rich family, 4 domains [Source:MGI Symbol;Acc:MGI:1924709] MKTGHLRLASGTHRCEGRVELFLGQRWGTVCDDAWDLRAAIVLCRQLGCGQALAAPGEAH FGPGRGPILLDNVKCRGDESTLLLCSHIRWDVHNCDHSEDASVLCQPL >ENSMUSP00000122958.1 pep:known chromosome:GRCm38:5:135963212:135965874:-1 gene:ENSMUSG00000029699.13 transcript:ENSMUST00000153823.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssc4d description:scavenger receptor cysteine rich family, 4 domains [Source:MGI Symbol;Acc:MGI:1924709] VRLVGGASPCQGRVEILHGGVWGTVCDDDWGLQDAAVVCRQLGCGVALAATTNAFFGYGT GHILLDNVHCEGGEPRLAACQSLGWGVHNCGHHEDAGVLCAVLSPPTSTALPPSVTKEDW ARHTGPAGSLVSAATGVGASPSRDTVWLTTAARASGKKSGRLRLVG >ENSMUSP00000117071.1 pep:known chromosome:GRCm38:5:135963422:135967920:-1 gene:ENSMUSG00000029699.13 transcript:ENSMUST00000154696.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssc4d description:scavenger receptor cysteine rich family, 4 domains [Source:MGI Symbol;Acc:MGI:1924709] XLGCGLALPVPRPLAFGQGRGPIFLDNVECRGQEASLSECGSRGWGVHNCFHYEDVAVLC DEFLHTQPPTRKVLTSMAPATALQNGKVLSPPTSTALPPSVTKEDWARHTGPAATG >ENSMUSP00000123008.1 pep:known chromosome:GRCm38:5:135966139:135974440:-1 gene:ENSMUSG00000029699.13 transcript:ENSMUST00000154181.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssc4d description:scavenger receptor cysteine rich family, 4 domains [Source:MGI Symbol;Acc:MGI:1924709] MGPSERPSIGWTPKEAEMQIGPQPDGWSRGWKPGDRGAVPLPLSPALSFLLLFPLASALQ PTPLPFPELRLVGGPSRCRGRLEVMHSGSWGSVCDDDWDVVDANVVCRQLGCGLALPVPR PLAFGQGRGPIFLDNVECRGQEASLSECGSRGWGVHNCFHYEDVAVLCDEFLHT >ENSMUSP00000089703.6 pep:known chromosome:GRCm38:18:12333819:12583013:1 gene:ENSMUSG00000024421.16 transcript:ENSMUST00000092070.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lama3 description:laminin, alpha 3 [Source:MGI Symbol;Acc:MGI:99909] MAVALGRAPRSLPLLLTLLLLLLLRMSPSWSVVGQDHPMSSRSLHPPYFNLAQAARIWAT ATCGERDPEVSRPRPELFCKLVGGPAAQGSGHTIQGQFCDYCNSEDSRKAHPASHAIDGS ERWWQSPPLSSGTQYNQVNLTLDLGQLFHVAYILIKFANSPRPDLWILERSVDFGSTYSP WQYFAHSRRDCVEQFGQEANMAITQDDQMLCVTEYSRIVPLENGEIVVSLINGRPGAKKF AFSDTLREFTKATNIRLRFLRTNTLLGHLISKAERDPTVTRRYYYSIKDISVGGRCVCNG HAEACSADNPEKQFRCECQHHTCGDTCNRCCAGYNQRRWQPAGQEQHNECEACNCHGHAV DCYYDPDVEHQQASLNSKGVYAGGGVCINCQHNTAGVNCEKCAKGYFRPHGVPVDALHGC IPCSCDPERADDCDQGSGHCHCKPNFSGDYCETCADGYYNFPFCLRIPVFPNYTPSPEDP VAGNIKGCDCNLEGVLPEICDDRGRCLCRPGVEGPQCDSCRSGSYSFPICQACQCSTIGS YPVPCDPGNGQCDCLPGITGRQCDRCLSGAYDFPYCQGSGSVCHPAGTLDSSLGYCQCKQ HVASPTCSVCKPLYWNLAKENPRGCSECQCHEAGTLSGIGECGQEDGDCSCKAHVTGDAC DTCEDGFFSLEKSNYFGCQGCQCDIGGALTTMCSGPSGVCQCREHVEGKQCQRPENNYYF PDLHHMKYEVEDGTGPNGRNLRFGFDPLVFPEFSWRGYAPMTSVQNEVRVRLSVRQSSLS LFRIVLRYISPGTEAISGRITLYSSQGDSDALQSRKITFPPSKEPAFVTVPGNGFAGPFS ITPGTWIACIQVEGVLLDYLVLLPRDYYEAFTLQVPVTEPCAHTGSPQDNCLLYQHLPLT AFSCTLACEARHFLLDGELRPLAMRQPTPTHPAMVDLSGREVELQLRLRVPQVGHYVVLL EYATEVEQLFVVDVNLKSSGSALAGQVNIYSCKYSIPCRSVVIDSLSRTAVHELLADADI QLKAHMAHFLLYHICIIPAEEFSTEYLRPQVHCIASYRQHANPSASCVSLAHETPPTASI LDATSRGLFSALPHEPSSPADGVTLKAPQSQVTLKGLIPHLGRHVFVIHFYQAEHPGFPT EVIVNGGRQWSGSFLASFCPHLLGCRDQVISDGQVEFDISEAEVAVTVKIPDGKSLTLVR VLVVPAENYDYQILHKTTVDKSSEFISSCGGDSFYIDPQAASGFCKNSARSLVAFYHNGA IPCECDPAGTAGHHCSPEGGQCPCRPNVIGRQCSRCATGYYGFPYCKPCNCGRRLCEEVT GKCLCPPHTVRPQCEVCEMNSFNFHPVAGCDVCNCSRKGTIEAAVSECDRDSGQCRCKPR VTGQQCDKCAPGFYQFPECVPCSCNRDGTEPSVCDPETGACMCKENVEGPQCQLCREGSF YLDPTNPKGCTKCFCFGVNTDCQSSHKQRAKFVDMMGWRLETADGVDVPVSFNPGSNSMV ADLQELPPSVHSASWVAPPSYLGDKVSSYGGYLTYHAKSFGLPGDMVLLGKQPDVQLTGQ HMSLIHKEPSDPRPDRLHHGRVQVIEGNFRHEGSSAPVSREELMTVLSRLERLHIRGLHF TETQRLTLGEVGLEEASDTGSGPRAHLVEMCACPPDYTGDSCQGCRPGYYWDNKSLPVGR CVPCNCNGHSNRCQDGSGICINCQHNTAGEHCERCQAGHYGNAIHGSCRVCPCPHTNSFA TGCAVDGGAVRCACKPGYTGTQCERCAPGYFGNPQKFGGSCQPCNCNSNGQLGPCDPLTG DCVNQEPKDGSPAEECDDCDSCVMTLLNDLASMGEELRLVKSKLQGLSVSTGALEQIRHM ETQAKDLRNQLLGFRSATSSHGSKMDDLEKELSHLNREFETLQEKAQVNSRKAQTLYNNI DQTIQSAKELDMKIKNIVQNVHILLKQMARPGGEGTDLPVGDWSRELAEAQRMMRDLRSR DFKKHLQEAEAEKMEAQLLLHRIRTWLESHQVENNGLLKNIRDSLNDYEDKLQDLRSILQ EAAAQAKQATGINHENEGVLGAIQRQMKEMDSLKNDFTKYLATADSSLLQTNNLLQQMDK SQKEYESLAAALNGARQELSDRVRELSRSGGKAPLVVEAEKHAQSLQELAKQLEEIKRNT SGDELVRCAVDAATAYENILNAIRAAEDAASKATSASKSAFQTVIKEDLPKRAKTLSSDS EELLNEAKMTQKRLQQVSPALNSLQQTLKTVSVQKDLLDANLTVARDDLHGIQRGDIDSV VIGAKSMVREANGITSEVLDGLNPIQTDLGRIKDSYESARREDFSKALVDANNSVKKLTR KLPDLFIKIESINQQLLPLGNISDNVDRIRELIQQARDAANKVAIPMRFNGKSGVEVRLP NDLEDLKGYTSLSLFLQRPDLRENGGTEDMFVMYLGNKDASKDYIGMAVVDGQLTCVYNL GDREAEVQIDQVLTESESQEAVMDRVKFQRIYQFAKLNYTKEATSTKPKAPGVYDMESAS SNTLLNLDPENAVFYVGGYPPGFELPRRLRFPPYKGCIELDDLNENVLSLYNFKTTFNLN TTEVEPCRRRKEESDKNYFEGTGYARIPTQPNAPFPNFMQTIQTTVDRGLLFFAENQDNF ISLNIEDGNLMVKYKLNSEPPKEKGIRDTINNGRDHMILISIGKSQKRMLINMNKHSIII EGEIFDFSTYYLGGIPIAIRERFNISTPAFQGCMKNLKKTSGVVRLNDTVGVTKKCSEDW KLVRTASFSRGGQMSFTNLDVPSLDRFQLSFGFQTFQPSGTLLNHQTRTSSLLVTLEDGH IALSTRDSSSPIFKSPGTYMDGLLHHVSVISDTSGLRLLIDDQVLRRNQRLASFSNAQQS LSMGGGYFEGCISNVFVQRMSQSPEVLDMASKSTKRDAFLGGCSLNKPPFLMLFKSPKGF NKARSFNVNQLLQDAPQAARSIEAWQDGKSCLPPLNTKATHRALQFGDSPTSHLLFKLPQ ELLKPRLQFSLDIQTTSSRGLVFHTGTRDSFVALYLSEGHVIFALGAGGKKLRLRSKERY HDGKWHSVVFGLSGRKVHLVVDGLRAQEGSLPGNSTISPREQVYLGLSPSRKSKSLPQHS FVGCLRNFQLDSKPLDSPSARSGVSPCLGGSLEKGIYFSQGGGHVVLANSVSLEPALTLT LSIRPRSLTGVLIHIASQSGEHLSVYMEAGKVTTSMNSEAGGTVTSITPKRSLCDGQWHS VTVSIKQHTLHLELDTDNSYTAGQLSFPPNSTRGSLHIGGVPDKLKMLTLPVWNSFFGCL KNIQVNHIPVPITEATDVQGSVSLNGCPDH >ENSMUSP00000140104.1 pep:known chromosome:GRCm38:18:12504199:12583013:1 gene:ENSMUSG00000024421.16 transcript:ENSMUST00000188815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lama3 description:laminin, alpha 3 [Source:MGI Symbol;Acc:MGI:99909] MLPAVRWSAWSTGWLWIFGAALGQCLGYGSEQQRVAFLQRPSQNHLQASYMELRPSQGCR PGYYWDNKSLPVGRCVPCNCNGHSNRCQDGSGICINCQHNTAGEHCERCQAGHYGNAIHG SCRVCPCPHTNSFATGCAVDGGAVRCACKPGYTGTQCERCAPGYFGNPQKFGGSCQPCNC NSNGQLGPCDPLTGDCVNQEPKDGSPAEECDDCDSCVMTLLNDLASMGEELRLVKSKLQG LSVSTGALEQIRHMETQAKDLRNQLLGFRSATSSHGSKMDDLEKELSHLNREFETLQEKA QVNSRKAQTLYNNIDQTIQSAKELDMKIKNIVQNVHILLKQMARPGGEGTDLPVGDWSRE LAEAQRMMRDLRSRDFKKHLQEAEAEKMEAQLLLHRIRTWLESHQVENNGLLKNIRDSLN DYEDKLQDLRSILQEAAAQAKQATGINHENEGVLGAIQRQMKEMDSLKNDFTKYLATADS SLLQTNNLLQQMDKSQKEYESLAAALNGARQELSDRVRELSRSGGKAPLVVEAEKHAQSL QELAKQLEEIKRNTSGDELVRCAVDAATAYENILNAIRAAEDAASKATSASKSAFQTVIK EDLPKRAKTLSSDSEELLNEAKMTQKRLQQVSPALNSLQQTLKTVSVQKDLLDANLTVAR DDLHGIQRGDIDSVVIGAKSMVREANGITSEVLDGLNPIQTDLGRIKDSYESARREDFSK ALVDANNSVKKLTRKLPDLFIKIESINQQLLPLGNISDNVDRIRELIQQARDAANKVAIP MRFNGKSGVEVRLPNDLEDLKGYTSLSLFLQRPDLRENGGTEDMFVMYLGNKDASKDYIG MAVVDGQLTCVYNLGDREAEVQIDQVLTESESQEAVMDRVKFQRIYQFAKLNYTKEATST KPKAPGVYDMESASSNTLLNLDPENAVFYVGGYPPGFELPRRLRFPPYKGCIELDDLNEN VLSLYNFKTTFNLNTTEVEPCRRRKEESDKNYFEGTGYARIPTQPNAPFPNFMQTIQTTV DRGLLFFAENQDNFISLNIEDGNLMVKYKLNSEPPKEKGIRDTINNGRDHMILISIGKSQ KRMLINMNKHSIIIEGEIFDFSTYYLGGIPIAIRERFNISTPAFQGCMKNLKKTSGVVRL NDTVGVTKKCSEDWKLVRTASFSRGGQMSFTNLDVPSLDRFQLSFGFQTFQPSGTLLNHQ TRTSSLLVTLEDGHIALSTRDSSSPIFKSPGTYMDGLLHHVSVISDTSGLRLLIDDQVLR RNQRLASFSNAQQSLSMGGGYFEGCISNVFVQRMSQSPEVLDMASKSTKRDAFLGGCSLN KPPFLMLFKSPKGFNKARSFNVNQLLQDAPQAARSIEAWQDGKSCLPPLNTKATHRALQF GDSPTSHLLFKLPQELLKPRLQFSLDIQTTSSRGLVFHTGTRDSFVALYLSEGHVIFALG AGGKKLRLRSKERYHDGKWHSVVFGLSGRKVHLVVDGLRAQEGSLPGNSTISPREQVYLG LSPSRKSKSLPQHSFVGCLRNFQLDSKPLDSPSARSGVSPCLGGSLEKGIYFSQGGGHVV LANSVSLEPALTLTLSIRPRSLTGVLIHIASQSGEHLSVYMEAGKVTTSMNSEAGGTVTS ITPKRSLCDGQWHSVTVSIKQHTLHLELDTDNSYTAGQLSFPPNSTRGSLHIGGVPDKLK MLTLPVWNSFFGCLKNIQVNHIPVPITEATDVQGSVSLNGCPDH >ENSMUSP00000003284.8 pep:known chromosome:GRCm38:7:44997648:45002848:1 gene:ENSMUSG00000003184.15 transcript:ENSMUST00000003284.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf3 description:interferon regulatory factor 3 [Source:MGI Symbol;Acc:MGI:1859179] METPKPRILPWLVSQLDLGQLEGVAWLDESRTRFRIPWKHGLRQDAQMADFGIFQAWAEA SGAYTPGKDKPDVSTWKRNFRSALNRKEVLRLAADNSKDPYDPHKVYEFVTPGARDFVHL GASPDTNGKSSLPHSQENLPKLFDGLILGPLKDEGSSDLAIVSDPSQQLPSPNVNNFLNP APQENPLKQLLAEEQWEFEVTAFYRGRQVFQQTLFCPGGLRLVGSTADMTLPWQPVTLPD PEGFLTDKLVKEYVGQVLKGLGNGLALWQAGQCLWAQRLGHSHAFWALGEELLPDSGRGP DGEVHKDKDGAVFDLRPFVADLIAFMEGSGHSPRYTLWFCMGEMWPQDQPWVKRLVMVKV VPTCLKELLEMAREGGASSLKTVDLHISNSQPISLTSDQYKAYLQDLVEDMDFQATGNI >ENSMUSP00000146773.1 pep:known chromosome:GRCm38:7:44997666:45002389:1 gene:ENSMUSG00000003184.15 transcript:ENSMUST00000209066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf3 description:interferon regulatory factor 3 [Source:MGI Symbol;Acc:MGI:1859179] METPKPRILPWLVSQLDLGQLEGVAWLDESRTRFRIPWKHGLRQDAQMADFGIFQAWAEA SGAYTPGKDKPDVSTWKRNFRSALNRKEVLRLAADNSKDPYDPHKVYEFVTPGARDFVHL GASPDTNGKSSLPHSQENLPKLFDGLILGPLKDEGSSDLAIVSDPSQQLPSPNVNNFLNP APQENPLKQLLAEEQWEFEVTAFYRGRQVFQQTLFCPGGLRLVGSTADMTLPWQPVTLPD PEGFLTDKLVKEYVGQVLKGLGNGLALWQAGQCLWAQRLGHSHAFWALGEELLPDSGRGP DGEVHKDKDGAVFDLRPFVADLIAFMEGSGHSPRYTLWFCMGEMWPQDQPWVKRLVMVKV VPTCLKELLEMAREGGASSLKTVDLHISNSQPISLTSDQYKAYLQDLVEDMDFQATGNI >ENSMUSP00000103465.1 pep:known chromosome:GRCm38:7:44997949:45002389:1 gene:ENSMUSG00000003184.15 transcript:ENSMUST00000107834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf3 description:interferon regulatory factor 3 [Source:MGI Symbol;Acc:MGI:1859179] METPKPRILPWLVSQLDLGQLEGVAWLDESRTRFRIPWKHGLRQDAQMADFGIFQAWAEA SGAYTPGKDKPDVSTWKRNFRSALNRKEVLRLAADNSKDPYDPHKVYEFVTPGARDFVHL GASPDTNGKSSLPHSQENLPKLFDGLILGPLKDEGSSDLAIVSDPSQQLPSPNVNNFLNP APQENPLKQLLAEEQWEFEVTAFYRGRQVFQQTLFCPGGLRLVGSTADMTLPWQPVTLPD PEGFLTDKLVKEYVGQVLKGLGNGLALWQAGQCLWAQRLGHSHAFWALGEELLPDSGRGP DGEVHKDKDGAVFDLRPFVADLIAFMEGSGHSPRYTLWFCMGEMWPQDQPWVKRLVMVKV VPTCLKELLEMAREGGASSLKTVDLHISNSQPISLTSDQYKAYLQDLVEDMDFQATGNI >ENSMUSP00000146383.1 pep:known chromosome:GRCm38:7:44998326:45000445:1 gene:ENSMUSG00000003184.15 transcript:ENSMUST00000207521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf3 description:interferon regulatory factor 3 [Source:MGI Symbol;Acc:MGI:1859179] METPKPRILPWLVSQLDLGQLEGVAWLDESRTRFRIPWKHGLRQDAQMADFGIFQAWAEA SGAYTPGKDKPDVSTWKRNFRSALNRKEVLRLAADNSKDPYDPHKVYEFVTPGARDFVHL GASPDTNGKSSLPHSQENLPKLFDGLILGPLKDEGSSDLA >ENSMUSP00000147187.1 pep:known chromosome:GRCm38:7:45000213:45002397:1 gene:ENSMUSG00000003184.15 transcript:ENSMUST00000207128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf3 description:interferon regulatory factor 3 [Source:MGI Symbol;Acc:MGI:1859179] PHSQENLPKLFDGLILGPLKDEGSSDLAIVSDPSQQLPSPNVNNFLNPAPQENPLKQLLA EEHLIAFMEGSGHSPRYTLWFCMGEMWPQDQPWVKRLVMVKVVPTCLKELLEMAREGGAS SLKTVDLHISNSQPISLTSDQYKAYLQDLVEDMDFQATGNI >ENSMUSP00000147175.1 pep:known chromosome:GRCm38:7:45000733:45002390:1 gene:ENSMUSG00000003184.15 transcript:ENSMUST00000207129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf3 description:interferon regulatory factor 3 [Source:MGI Symbol;Acc:MGI:1859179] XSTADMTLPWQPVTLPDPEGFLTDKLVKEYVGQVLKGLGNGLALWQAGQCLWAQRLGHSH AFWALGEELLPDSGRGPDGEVHKDKDGAVFDLRPFVAGCSYMS >ENSMUSP00000146729.1 pep:known chromosome:GRCm38:7:45000748:45002347:1 gene:ENSMUSG00000003184.15 transcript:ENSMUST00000208958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf3 description:interferon regulatory factor 3 [Source:MGI Symbol;Acc:MGI:1859179] XTLPWQPVTLPDPEGFLTDKLVKESDCLHGRKWTLPTLHSVVLHGGNVAPGPAMGQEACD GQGCSYMS >ENSMUSP00000139385.1 pep:known chromosome:GRCm38:6:115810896:115838412:-1 gene:ENSMUSG00000030321.15 transcript:ENSMUST00000124531.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Efcab12 description:EF-hand calcium binding domain 12 [Source:MGI Symbol;Acc:MGI:2681834] MRSILKKRPGEETVSCLPPNKCLAKVCNLRGGGYKQTRRVGCQKTHALRKPPPHPSNASQ WDAGLSSHLSKAHSQNVAETLAKHSGKQKKSSRLWEAQKAEERKSRVTFGLTITDAGSHH SFTSIEDDLDETTSKVPVFKPELVTAHCFRQLKQKDFRLPKSRRRIIIVPGTKDQVPARP TVPPEAPLPLMPSFRVLDTSDTQELPVDKKVWLNQRAKLRRQLETFGDVKTWLDNKPNIT SSEFRVLSMIRQEQKTPKHTLISIRRAKDRALRHVRLIAPQLRLPKPPVLSDMYAYLRSR KIKILEIFSKGERGENQRISREEFLMALKAVGVPLKNQELEDIIIYLGSLGKQNTITTEA LASTYKQWSLAQQKSTIPSAKEWFLCVTALSALELTL >ENSMUSP00000139144.1 pep:known chromosome:GRCm38:6:115810896:115838412:-1 gene:ENSMUSG00000030321.15 transcript:ENSMUST00000184428.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab12 description:EF-hand calcium binding domain 12 [Source:MGI Symbol;Acc:MGI:2681834] MRSILKKRPGEETVSCLPPNKCLAKVCNLRGGGYKQTRRVGCQKTHALRKPPPHPSNASQ WDAGLSSHLSKAHSQNVAETLAKHSGKQKKSSRLWEAQKAEERKSRVTFGLTITDAGSHH SFTSIEDDLDETTSKVPVFKPELVTAHCFRQLKQKDFRLPKSRRRIIIVPGTKDQVPARP TVPPEAPLPLMPSFRVLDTSDTQELPVDKKVWLNQRAKLRRQLETFGDVKTWLDNKPNIT SSEFRVLSMIRQEQKTPKHTLISIRRAKDRALRHVRLIAPQLRLPKPPVLSDMYAYLRSR KIKILEIFSKGERGENQRISREEFLMALKAVGVPLKNQELEDIIIYLGSLGKQNTITTEA LASTYKQWSLAQQKSTIPSAKEYYKLSAKRTPLKHSPKEQQATSSSQPRKMDLLKVPEVD TKMEGRPMSEEDMEDVGRRYRERRRQYKLSLPSIQYMENCRLVRSGTKEFDNHCLPTTIS GEMEELLNVTRRDNFLVYLQCWELCEAYGLPLTEEILMRALLYPGDKIITLKEEVRPIRQ PGGYYIDQRIVYSLAARRSPRRHGGKKRDKKTSKKMKKMHFDDFEELTGKLKLQRLSPSD THPNHFWPGHLLDKLRLYLPTVAKDQSLAIFSCVEHKPPAYPAIYHSKNYWPMKDFSYMT HAYYDANKVYSIN >ENSMUSP00000138850.1 pep:known chromosome:GRCm38:6:115810729:115838412:-1 gene:ENSMUSG00000030321.15 transcript:ENSMUST00000032468.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab12 description:EF-hand calcium binding domain 12 [Source:MGI Symbol;Acc:MGI:2681834] MRSILKKRPGEETVSCLPPNKCLAKVCNLRGGGYKQTRRVGCQKTHALRKPPPHPSNASQ WDAGLSSHLSKAHSQNVAETLAKHSGKQKKSSRLWEAQKAEERKSRVTFGLTITDAGSHH SFTSIEDDLDETTSKVPVFKPELVTAHCFRQLKQKDFRLPKSRRRIIIVPGTKDQVPARP TVPPEAPLPLMPSFRVLDTSDTQELPVDKKVWLNQRAKLRRQLETFGDVKTWLDNKPNIT SSEFRVLSMIRQEQKTPKHTLISIRRAKDRALRHVRLIAPQLRLPKPPVLSDMYAYLRSR KIKILEIFSKGERGENQRISREEFLMALKAVGVPLKNQELEDIIIYLGSLGKQNTITTEA LASTYKQWSLAQQKSTIPSAKEYYKLSAKRTPLKHSPKEQQATSSSQPRKMDLLKVPEVD TKMEGRPMSEEDMEDVGRRYRERRRQYKLSLPSIQYMENCRLVRSGTKEFDNHCLPTTIS GEMEELLNVTRRDNFLVYLQCWELCEAYGLPLTEEILMRALLYPGDKIITLKEEVRPIRQ PGGYYIDQRIVYSLAARRSPRRHGGKKRDKKTSKKMKKMHFDDFEELTGKLKLQRLSPSD THPNHFWPGHLLDKLRLYLPTVAKDQSLAIFSCVEHKPPAYPAIYHSKNYWPMKDFSYMT HAYYDANKVYSIN >ENSMUSP00000048592.8 pep:known chromosome:GRCm38:11:121146143:121148319:1 gene:ENSMUSG00000039329.8 transcript:ENSMUST00000039088.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex19.1 description:testis expressed gene 19.1 [Source:MGI Symbol;Acc:MGI:1920929] MCPPVSVRHGARGMSCLYEAWLYHLVHGEQTKICFACFKAAFLLNKLYLEMGDWQEEEEE EEEEDADLLEYLSESESESEQEPGPEQDAWRGLGSLYVPQSVSEGSGVLLPTPVWTQGIL FSIFVPTELFPQEAVPLDLGPEDAEWTQALPWRLDGLFPCSHQLIPPLTWWDIFDVMPSP GQPVLLELRCHWPLDQTVAQSWLQDQKFVLLLDSVQSRCHLLSMRVRWVVRTQVQHWQVL LDPGEMWVAHFRKEVGQHGLYHQSLNPWRLSILTASELGMELLPATCYLWNKGFWVGSFL PWHINMPETWSWEPGERLFITDATICGTDYHLAQSFLDSHPTPHPLLTLTP >ENSMUSP00000114548.1 pep:known chromosome:GRCm38:11:121146157:121146867:1 gene:ENSMUSG00000039329.8 transcript:ENSMUST00000155694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex19.1 description:testis expressed gene 19.1 [Source:MGI Symbol;Acc:MGI:1920929] MCPPVSVRHGARGMSCL >ENSMUSP00000026994.7 pep:known chromosome:GRCm38:13:54949411:55006018:1 gene:ENSMUSG00000025876.15 transcript:ENSMUST00000026994.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5a description:unc-5 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:894682] MAVRPGLWPALLGIVLTAWLRGSGAQQSATVANPVPGANPDLLPHFLVEPEDVYIVKNKP VLLVCKAVPATQIFFKCNGEWVRQVDHVIERSTDGSSGLPTMEVRINVSRQQVEKVFGLE EYWCQCVAWSSSGTTKSQKAYIRIAYLRKNFEQEPLAKEVSLEQGIVLPCRPPEGIPPAE VEWLRNEDLVDPSLDPNVYITREHSLVVRQARLADTANYTCVAKNIVARRRSASAAVIVY VNGGWSTWTEWSVCSASCGRGWQKRSRSCTNPAPLNGGAFCEGQNVQKTACATLCPVDGS WSPWSKWSACGLDCTHWRSRECSDPAPRNGGEECRGADLDTRNCTSDLCLHTSSGPEDVA LYIGLVAVAVCLILLLLVLVLIYCRKKEGLDSDVADSSILTSGFQPVSIKPSKADNPHLL TIQPDLSTTTTTYQGSLCPRQDGPSPKFQLSNGHLLSPLGSGRHTLHHSSPTSEAEDFVS RLSTQNYFRSLPRGTSNMAYGTFNFLGGRLMIPNTGISLLIPPDAIPRGKIYEIYLTLHK PEDVRLPLAGCQTLLSPIVSCGPPGVLLTRPVILAMDHCGEPSPDSWSLRLKKQSCEGSW EDVLHLGEESPSHLYYCQLEAGACYVFTEQLGRFALVGEALSVAATKRLRLLLFAPVACT SLEYNIRVYCLHDTHDALKEVVQLEKQLGGQLIQEPRVLHFKDSYHNLRLSIHDVPSSLW KSKLLVSYQEIPFYHIWNGTQQYLHCTFTLERVNASTSDLACKVWVWQVEGDGQSFNINF NITKDTRFAEMLALESEGGVPALVGPSAFKIPFLIRQKIITSLDPPCSRGADWRTLAQKL HLDSHLSFFASKPSPTAMILNLWEARHFPNGNLGQLAAAVAGLGQPDAGLFTVSEAEC >ENSMUSP00000105621.2 pep:known chromosome:GRCm38:13:54949411:55006018:1 gene:ENSMUSG00000025876.15 transcript:ENSMUST00000109994.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5a description:unc-5 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:894682] MAVRPGLWPALLGIVLTAWLRGSGAQQSATVANPVPGANPDLLPHFLVEPEDVYIVKNKP VLLVCKAVPATQIFFKCNGEWVRQVDHVIERSTDGSSGLPTMEVRINVSRQQVEKVFGLE EYWCQCVAWSSSGTTKSQKAYIRIAYLRKNFEQEPLAKEVSLEQGIVLPCRPPEGIPPAE VEWLRNEDLVDPSLDPNVYITREHSLVVRQARLADTANYTCVAKNIVARRRSASAAVIVY VDGSWSPWSKWSACGLDCTHWRSRECSDPAPRNGGEECRGADLDTRNCTSDLCLHTSSGP EDVALYIGLVAVAVCLILLLLVLVLIYCRKKEGLDSDVADSSILTSGFQPVSIKPSKADN PHLLTIQPDLSTTTTTYQGSLCPRQDGPSPKFQLSNGHLLSPLGSGRHTLHHSSPTSEAE DFVSRLSTQNYFRSLPRGTSNMAYGTFNFLGGRLMIPNTGISLLIPPDAIPRGKIYEIYL TLHKPEDVRLPLAGCQTLLSPIVSCGPPGVLLTRPVILAMDHCGEPSPDSWSLRLKKQSC EGSWEDVLHLGEESPSHLYYCQLEAGACYVFTEQLGRFALVGEALSVAATKRLRLLLFAP VACTSLEYNIRVYCLHDTHDALKEVVQLEKQLGGQLIQEPRVLHFKDSYHNLRLSIHDVP SSLWKSKLLVSYQEIPFYHIWNGTQQYLHCTFTLERVNASTSDLACKVWVWQVEGDGQSF NINFNITKDTRFAEMLALESEGGVPALVGPSAFKIPFLIRQKIITSLDPPCSRGADWRTL AQKLHLDSHLSFFASKPSPTAMILNLWEARHFPNGNLGQLAAAVAGLGQPDAGLFTVSEA EC >ENSMUSP00000116585.1 pep:known chromosome:GRCm38:13:54996539:54999785:1 gene:ENSMUSG00000025876.15 transcript:ENSMUST00000136852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5a description:unc-5 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:894682] IVARRRSASAAVIVYVDGSWSPWSKWSACGLDCTHWRSRECSDPAPRNGASSGPEDVALY IGLVAVAVCLILLLLVLVLIYCRKKEGLDSDVADSSILTSGFQPVSIKPSKADNPHLLTI QPDLSTTTTTYQGSLCPRQDGPSPKFQLSNGHLLSPLGSGRHTLHHS >ENSMUSP00000115531.1 pep:known chromosome:GRCm38:13:55003680:55005486:1 gene:ENSMUSG00000025876.15 transcript:ENSMUST00000137967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5a description:unc-5 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:894682] VSYQEIPFYHIWNGTQQYLHCTFTLERVNASTSDLACKVWVWQVEGDGQSFNINFNITKD TRFAEMLALESEGGVPALVGPSAFKIPFLIRQKIITSLDPPCSRGADWRTLAQKLHLDSP SVCVCVCV >ENSMUSP00000032469.6 pep:known chromosome:GRCm38:6:115840697:115853371:-1 gene:ENSMUSG00000030322.12 transcript:ENSMUST00000032469.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd4 description:methyl-CpG binding domain protein 4 [Source:MGI Symbol;Acc:MGI:1333850] MESPNLGDNRVRGESLVPDPPWDRCKEDIAVGLGGVGEDGKDLVISSERSSLLQEPTAST LSSTTATEGHKPVPCGWERVVKQRLSGKTAGKFDVYFISPQGLKFRSKRSLANYLLKNGE TFLKPEDFDFTVLPKGSINPGYKHQSLAALTSLQPNETDVSKQNLKTRSKWKTDVLPLPS GTSESPESSGLSNSNSACLLLREHRDIQDVDSEKRRKSKRKVTVLKGTASQKTKQKCRKS LLESTQRNRKRASVVQKVGADRELVPQESQLNRTLCPADACARETVGLAGEEKSPSPGLD LCFIQVTSGTTNKFHSTEAAGEANREQTFLESEEIRSKGDRKGEAHLHTGVLQDGSEMPS CSQAKKHFTSETFQEDSIPRTQVEKRKTSLYFSSKYNKEALSPPRRKSFKKWTPPRSPFN LVQEILFHDPWKLLIATIFLNRTSGKMAIPVLWEFLEKYPSAEVARAADWRDVSELLKPL GLYDLRAKTIIKFSDEYLTKQWRYPIELHGIGKYGNDSYRIFCVNEWKQVHPEDHKLNKY HDWLWENHEKLSLS >ENSMUSP00000144930.1 pep:known chromosome:GRCm38:6:115842344:115848966:-1 gene:ENSMUSG00000030322.12 transcript:ENSMUST00000203643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd4 description:methyl-CpG binding domain protein 4 [Source:MGI Symbol;Acc:MGI:1333850] GSEMPSCSQAKKHFTSETFQALSPPRRKSFKKWTPPRSPFNLVQEILFHDPWKLLIATIF LNRTSGKMAIPVLWEFLEKYPSAEVARAADWRDVSELLKPLGLYDLRAKTIIKFSDEYLT KQWRYPIELHGIGKYGNDSYRIFCVNEWKQVHPEDHKLNKYHDWLWENHEKLSLS >ENSMUSP00000125619.1 pep:known chromosome:GRCm38:6:115842344:115853365:-1 gene:ENSMUSG00000030322.12 transcript:ENSMUST00000147282.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mbd4 description:methyl-CpG binding domain protein 4 [Source:MGI Symbol;Acc:MGI:1333850] MSLCKEDIAVGLGGVGEDGKDLVISSERSSLLQEPTASTLSSTTATEGHKPVPCGWERVV KQRLSGKTAGKFDVYFISPQGLKFRSKRSLANYLLKNGETFLKPEDFDFTVLPKGSINPG YKHQSLAALTSLQPNETDVSKQNLKTRSKWKTDVLPLPSGTSESPESSGLSNSNSACLLL REHRDIQDVDSEKRRKSKRKVTVLKGTASQKTKQKCRKSLLESTQRNRKRASVVQKVGAD RELVPQESQLNRTLCPADACARETVGLAGEEKSPSPGLDLCFIQVTSGTTNKFHSTEAAG EANREQTFLESEEIRSKGDRKGEAHLHTGVLQDGSEMPSCSQAKKHFTSETFQGTQCMKI LLAVW >ENSMUSP00000145433.1 pep:known chromosome:GRCm38:6:115847692:115853288:-1 gene:ENSMUSG00000030322.12 transcript:ENSMUST00000122816.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd4 description:methyl-CpG binding domain protein 4 [Source:MGI Symbol;Acc:MGI:1333850] MESPNLGDNRVRGESLVPDPPWDRCKEDIAVGLGGVGEDGKDLVISSERSSLLQEPTAST LSSTTATEGHKPVPCGWERVVKQRLSGKTAGKFDVYFISPQGLKFRSKRSLANYLLKNGE TFLKPEDFDFTVLPKGSINPGYKHQSLAALTSLQPNETDVSKQNLKTRSKWKTDVLPLPS GTSESPESSGLSNSNSACLLLREHRDIQDVDSEKRRKSKRKVTVLKGTASQKTKQKCRKS LLESTQRNRKRASVVQKVGADRELVPQESQLNRTLCPADACARETVGLAGEEKSPSPGLD LCFIQVTSGTTNKFHSTEAAGEANREQTFLESEEIRSKGDRKGEAHLHTGVLQDGSEMPS CSQAKKHFTSETFQGTQCMKILLAVW >ENSMUSP00000104262.1 pep:known chromosome:GRCm38:11:69881567:69890331:-1 gene:ENSMUSG00000001588.12 transcript:ENSMUST00000108622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acap1 description:ArfGAP with coiled-coil, ankyrin repeat and PH domains 1 [Source:MGI Symbol;Acc:MGI:2388270] MEFVLRLVEAQATYFQQGHEELNRLAQYRKELGTQLHNLVLNSARQKRDMEQRHVLLKQK ELGGEEPEPSLKEGPSGLVMEGHLFKRASNAFKTWSRRWFTIQNNQLVYQKKYKDPVTVV VDDLRLCTVKLCPDSERRFCFEVVSTSKSCFLQADSERLLQLWVSAVQSSIASAFSQAHL ENSPRGPGQVSGYHAPGSAATLACGGAARGRESGGVGQVAAQVQSVDGNAQCCDCREPAP EWASINLGVTLCIQCSGIHRSLGVHFSKVRSLTLDSWEPELVKLMCELGNVIINQIYEAR VEAMAVKKPGPSCSRQEKEAWIHAKYVEKKFLTKLPEIRGRRGGRGPPRGHPPVPPKPPI RPHSGIVRSKSECPSDDMGSLHPGALLFQAAGHPPSLPTMADALAHGADVNWVNVGQGNA TPLIRATAANSLLACEFLLQNGANVNQADSAGRGPLHHATILGHTGLACLFLKRGADLGA RDTEGRDPLTIAMETTNADIVTLLRLAKMREAEAAQGQAGDETYLDIFRDFSLMASDDPE KLSRRSHDLHTL >ENSMUSP00000001631.6 pep:known chromosome:GRCm38:11:69881567:69895539:-1 gene:ENSMUSG00000001588.12 transcript:ENSMUST00000001631.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acap1 description:ArfGAP with coiled-coil, ankyrin repeat and PH domains 1 [Source:MGI Symbol;Acc:MGI:2388270] MTVKLDFEECLKDSPRFRASIELVETEVSELETRLEKLLKLGSCLLESGQHYLAAGRAFV VGICDLARLGPPEPMMAECLEKFTVSLNHKLDSHAELLDATQHTLQQQIQTLVKEGLRGF REARRDFWRGAENLEAALTHNAEVPRRRVQEAEEAGTALRTARAGYRSRALDYALQVNVI EDKRKFDIMEFVLRLVEAQATYFQQGHEELNRLAQYRKELGTQLHNLVLNSARQKRDMEQ RHVLLKQKELGGEEPEPSLKEGPSGLVMEGHLFKRASNAFKTWSRRWFTIQNNQLVYQKK YKDPVTVVVDDLRLCTVKLCPDSERRFCFEVVSTSKSCFLQADSERLLQLWVSAVQSSIA SAFSQAHLENSPRGPGQVSGYHAPGSAATLACGGAARGRESGGVGQVAAQVQSVDGNAQC CDCREPAPEWASINLGVTLCIQCSGIHRSLGVHFSKVRSLTLDSWEPELVKLMCELGNVI INQIYEARVEAMAVKKPGPSCSRQEKEAWIHAKYVEKKFLTKLPEIRGRRGGRGPPRGHP PVPPKPPIRPHSGIVRSKSECPSDDMGSLHPGALLFQAAGHPPSLPTMADALAHGADVNW VNVGQGNATPLIRATAANSLLACEFLLQNGANVNQADSAGRGPLHHATILGHTGLACLFL KRGADLGARDTEGRDPLTIAMETTNADIVTLLRLAKMREAEAAQGQAGDETYLDIFRDFS LMASDDPEKLSRRSHDLHTL >ENSMUSP00000059585.3 pep:known chromosome:GRCm38:2:152576086:152580312:-1 gene:ENSMUSG00000050645.3 transcript:ENSMUST00000053180.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb19 description:defensin beta 19 [Source:MGI Symbol;Acc:MGI:2385955] MRLALLLLAILVATELVVSGKNPILQCMGNRGFCRSSCKKSEQAYFYCRTFQMCCLQSYV RISLTGVDDNTNWSYEKHWPRIP >ENSMUSP00000102261.2 pep:known chromosome:GRCm38:4:108879063:108943324:1 gene:ENSMUSG00000003411.10 transcript:ENSMUST00000106650.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3b description:RAB3B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1917158] MASVTDGKTGIKDASDQNFDYMFKLLIIGNSSVGKTSFLFRYADDTFTPAFVSTVGIDFK VKTVYRHEKRVKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWATQI KTYSWDNAQVILVGNKCDMEEERVVPTEKGRLLAEQLGFDFFEASAKENISVRQAFERLV DAICDKMSDSMDTDPSVLGASKTTRLSDTPPLLQQNCSC >ENSMUSP00000102262.2 pep:known chromosome:GRCm38:4:108879200:108941185:1 gene:ENSMUSG00000003411.10 transcript:ENSMUST00000106651.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3b description:RAB3B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1917158] MASVTDGKTGIKDASDQNFDYMFKLLIIGNSSVGKTSFLFRYADDTFTPAFVSTVGIDFK VKTVYRHEKRVKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWATQI KTYSWDNAQVILVGNKCDMEEERVVPTEKGRLLAEQLGMYMAHMYAWFDFFEASAKENIS VRQAFERLVDAICDKMSDSMDTDPSVLGASKTTRLSDTPPLLQQNCSC >ENSMUSP00000003502.3 pep:known chromosome:GRCm38:4:108890290:108941188:1 gene:ENSMUSG00000003411.10 transcript:ENSMUST00000003502.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3b description:RAB3B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1917158] MASVTDGKTGIKDASDQNFDYMFKLLIIGNSSVGKTSFLFRYADDTFTPAFVSTVGIDFK VKTVYRHEKRVKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNAVQDWATQI KTYSWDNAQVILVGNKCDMEEERVVPTEKGRLLAEQLGFDFFEASAKENISVRQAFERLV DAICDKMSDSMDTDPSVLGASKTTRLSDTPPLLQQNCSC >ENSMUSP00000024774.7 pep:known chromosome:GRCm38:17:47385393:47392967:1 gene:ENSMUSG00000023979.14 transcript:ENSMUST00000024774.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guca1b description:guanylate cyclase activator 1B [Source:MGI Symbol;Acc:MGI:1194489] MGQQLSWEEAEAAGEMDVAELQEWYKKFVVECPSGTLFMHEFKRFFKVTGNEEASQYVES MFRAFDKNGDNTIDFLEYVAALNLVLRGSLEHKLKWTFKIYDKDRNGCIDRLELLDIVEA IYKLKKACRAELDLEHQGQLLTPEEVVDRIFLLVDENGDGQLSLTEFIEGARRDKWVMKM LQMDINPGCWITQQRRRSAMF >ENSMUSP00000126799.1 pep:known chromosome:GRCm38:17:47385404:47392093:1 gene:ENSMUSG00000023979.14 transcript:ENSMUST00000145462.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guca1b description:guanylate cyclase activator 1B [Source:MGI Symbol;Acc:MGI:1194489] MGQQLSWEEAEAAGEMDVAELQEWYKKFVVECPSGTLFMHEFKRFFKVTGNEEASQYVES MFRAFDKNGDNTIDFLEYVAALNLVLRGSLEHKLKWTFKIYDKDRNGCIDRLELLDIVEA IYKLKKACRAELDLEHQGQLLTPEEVVDRIFLLVDENGDGKRDAVCRETALSVRYASQKS PTPTTTSLLLIHMSVNRLEKDGMNSKGAKTQAHTFVRALPRLAGEGWASK >ENSMUSP00000096963.4 pep:known chromosome:GRCm38:2:130684113:130697519:-1 gene:ENSMUSG00000074796.10 transcript:ENSMUST00000099362.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a11 description:solute carrier family 4, sodium bicarbonate transporter-like, member 11 [Source:MGI Symbol;Acc:MGI:2138987] MSQNEHCQDSGEYFSAGTQGYFKNNMEDNLEVREDSLGDEVFDTVNSSIVSGESIRFFVN VNLEVQPSKSDLEAATGGCVLLHTSRKYLKLKNFEEEVRAHRDLDGFLAQASIILNETAT SLDDVLRTMLNRFALDPNHAEPDCDLDLLMAKLFTDAGAPMESKVHLLSDTIQGVTATVR GVQYEQSWLCIICTMKTLQKRHVCISRLVRPQNWGENSCEVRFVILVLAPPKMKSTKTAM EVARTFATMFSDITFRQKLLKTRTEEEFKEALVHQRQLLTMMMPRAAGHSMSSLHTHRHP QPPKCKDFFPFGKGIWMDIMRRFPVYPMDFTDGIIGKSKSVGKYVTTTLFLYFACLLPTI AFGSLNDENTNGAIDVQKTIAGQSIGGLLYALFSGQPLVILLTTAPLAIYTQVIRVICDD YNLDFNAFYAWTGLWNSFFLALYAFLNLSLLMNLFKRSTEEIIALFISITFVLDAVKGMV KIFGKYYYGHHYHTKRTSSLVSLLGIGRSPNSSLHTALNASLLASPVEMATTSSPGSTHS GQATAVLSLLIMLGTLWLGYTLYQFKKSPYLHPCVRETLSDCALPIAVLSFSLIGSYGFQ EIEMSKFRYNPSESLFEVAQIHSLSFKAIGSAMGLGFLLSLLFFIEQNLVAALVNAPENR LVKGTAYHWDLLLLAIINTGLSLFGLPWIHAAYPHSPLHVRALALVEERVENGHIYETIV DVKETRLTALGASVLVGLSLLLLPFPLQWIPKPVLYGLFLYIALTSLDGNQLFSRVALLL KEQTSYPPTHYIRRVPQRKIHYFTGLQILQLLLLCAFGMSSLPYMKMVFPLIMIAMIPIR YNLLPRIIEAKYLDVMDAEHRP >ENSMUSP00000117976.1 pep:known chromosome:GRCm38:2:130691549:130697469:-1 gene:ENSMUSG00000074796.10 transcript:ENSMUST00000127397.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a11 description:solute carrier family 4, sodium bicarbonate transporter-like, member 11 [Source:MGI Symbol;Acc:MGI:2138987] MSQNEHCQDSGEYFSAGTQGYFKNNMEDNLEVREDSLGDEVFDTVNSSIVSGESIRFFVN VNLEVQPSKSDLEAATGGCVLLHTSRKYLKLKNFEEEVRAHRDLDGFLAQASIILNETAT SLDDVLRTMLNRFALDPNHAEPDCDLDLLMAKLFTDAGAPMESK >ENSMUSP00000142309.1 pep:known chromosome:GRCm38:1:195097382:195131344:-1 gene:ENSMUSG00000016481.15 transcript:ENSMUST00000193094.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cr1l description:complement component (3b/4b) receptor 1-like [Source:MGI Symbol;Acc:MGI:88513] MEVSSRSSEPLDPVWLLVAFGRGGVKLEVLLLFLLPFTLGHCPAPSQLPSAKPINLTDES MFPIGTYLLYECLPGYIKRQFSITCKQDSTWTSAEDKCIRKQCKTPSDPENGLVHVHTGI QFGSRINYTCNQGYRLIGSSSAVCVITDQSVDWDTEAPICEWIPCEIPPGIPNGDFFSST REDFHYGMVVTYRCNTDARGKALFNLVGEPSLYCTSNDGEIGVWSGPPPQCIELNKCTPP PYVENAVMLSENRSLFSLRDIVEFRCHPGFIMKGASSVHCQSLNKWEPELPSCFKGVICR LPQEMSGFQKGLGMKKEYYYGENVTLECEDGYTLEGSSQSQCQSDGSWNPLLAKCVSRSI SGLIVGIFIGIIVFILVIIVFIWMILKYKKRNTTDEKYKEVGIHLNYKEDSCVRLQSLLT SQENSRTRF >ENSMUSP00000142069.1 pep:known chromosome:GRCm38:1:195098659:195131323:-1 gene:ENSMUSG00000016481.15 transcript:ENSMUST00000194111.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cr1l description:complement component (3b/4b) receptor 1-like [Source:MGI Symbol;Acc:MGI:88513] MGHCPAPSQLPSAKPINLTDESMFPIGTYLLYECLPGYIKRQFSITCKQDSTWTSAEDKC IRKQCKTPSDPENGLVHVHTGIQFGSRINYTCNQGYRLIGSSSAVCVITDQSVDWDTEAP ICEWIPCEIPPGIPNGDFFSSTREDFHYGMVVTYRCNTDARGKALFNLVGEPSLYCTSND GEIGVWSGPPPQCIELNKCTPPPYVENAVMLSENRSLFSLRDIVEFRCHPGFIMKGASSV HCQSLNKWEPELPSCFKGVICRLPQEMSGFQKGLGMKKEYYYGENVTLECEDGYTLEGSS QSQCQSDGSWNPLLAKCVSRSISGLIVGIFIGIIVFILVIIVFIWMILKYKKRTRF >ENSMUSP00000074902.6 pep:known chromosome:GRCm38:1:195103790:195131561:-1 gene:ENSMUSG00000016481.15 transcript:ENSMUST00000075451.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cr1l description:complement component (3b/4b) receptor 1-like [Source:MGI Symbol;Acc:MGI:88513] MEVSSRSSEPLDPVWLLVAFGRGGVKLEVLLLFLLPFTLGHCPAPSQLPSAKPINLTDES MFPIGTYLLYECLPGYIKRQFSITCKQDSTWTSAEDKCIRKQCKTPSDPENGLVHVHTGI QFGSRINYTCNQGYRLIGSSSAVCVITDQSVDWDTEAPICEWIPCEIPPGIPNGDFFSST REDFHYGMVVTYRCNTDARGKALFNLVGEPSLYCTSNDGEIGVWSGPPPQCIELNKCTPP PYVENAVMLSENRSLFSLRDIVEFRCHPGFIMKGASSVHCQSLNKWEPELPSCFKGVICR LPQEMSGFQKGLGMKKEYYYGENVTLECEDGYTLEGSSQSQCQSDGSWNPLLAKCVSRSI SGLIVGIFIGIIVFILVIIVFIWMILKYKKRNTTDEKYKEVGIHLNYKEDSCVRLQSLLT SQENSSTTSPARNSLTQEVS >ENSMUSP00000142104.1 pep:known chromosome:GRCm38:1:195103796:195117641:-1 gene:ENSMUSG00000016481.15 transcript:ENSMUST00000194062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cr1l description:complement component (3b/4b) receptor 1-like [Source:MGI Symbol;Acc:MGI:88513] YVENAVMLSENRSLFSLRDIVEFRCHPGFIMKGASSVHCQSLNKWEPELPSCFKGVICRL PQEMSGFQKGLGMKKEYYYGENVTLECEDGYTLEGSSQSQCQSDGSWNPLLAKCVSRSIS GLIVGIFIGIIVFILVIIVFIWMILKYKKRTTSPARNSLTQEVS >ENSMUSP00000141250.1 pep:known chromosome:GRCm38:1:195114782:195131586:-1 gene:ENSMUSG00000016481.15 transcript:ENSMUST00000191775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cr1l description:complement component (3b/4b) receptor 1-like [Source:MGI Symbol;Acc:MGI:88513] MFPIGTYLLYECLPGYIKRQFSITCKQDSTWTSAEDKCIRKQCKTPSDPENGLVHVHTGI QFGSRINYTCNQGYRLIGSSSAVCVITDQSVDWDTEAPICEWIPCEIPPGIPNGDFFSST REDFHYGMVVTYRCNTDARGKALFNLVGEPSLYCTSNDGEIGVWSGPPPQCIELNKCTPP PYVENAVMLSENRSLFSLRDIVEFRCHPGFIMKGASSVHCQSLNKWEPELPSCFKGVICR LPQEMSGFQKGLGMK >ENSMUSP00000141996.1 pep:known chromosome:GRCm38:1:195129194:195131330:-1 gene:ENSMUSG00000016481.15 transcript:ENSMUST00000193829.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cr1l description:complement component (3b/4b) receptor 1-like [Source:MGI Symbol;Acc:MGI:88513] MEVSSRSSEPLDPVWLLVAFGRGGVKLEVLLLFLLPFTLGELRGGLGKHGHTVHREPAVN RLCADSNAGLGCLSLPSPITASFCQTYKSN >ENSMUSP00000022766.6 pep:known chromosome:GRCm38:14:52279146:52296391:1 gene:ENSMUSG00000016831.11 transcript:ENSMUST00000022766.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tox4 description:TOX high mobility group box family member 4 [Source:MGI Symbol;Acc:MGI:1915389] MEFPGGNDNYLTITGPSHPFLSGAETFHTPSLGDEEFEIPPISLDSDPSLAVSDVVGHFD DLADPSSSQDGSFSAQYGVQTLDMPVGMTHGLMEQGGGLLSGGLTMDLDHSIGTQYSANP PVTIDVPMTDMTSGLMGHSQLTTIDQSELSSQLGLSLGGGTILPPAQSPEDRLSTTPSPT NSLHEDGVDDFRRQLPAQKTVVVETGKKQKAPKKRKKKDPNEPQKPVSAYALFFRDTQAA IKGQNPNATFGEVSKIVASMWDSLGEEQKQVYKRKTEAAKKEYLKALAAYKDNQECQATV ETVELDPVPQSQTPSPPPVTAADPASPAPASTESPALPPCIIVNSTLSSYVANQASSGPG GQPNITKLIITKQMLPSSITMSQGGMVTVIPATVVTSRGLQVGQTSTATIQPSQQAQIVT RSVLQAAAAAAASMQLPPPRLQPPPLQQMPQPPTQQQVTILQQPPPLQAMQQPPPQKVRI NLQQQPPPLQSKIVPPPTLKIQTTVVPPTVESSPEQPMNSSPEAHTVEATSPETICEMIA DVVPEVESPSQMDVELVSGSPVALSPQPRCVRSGCENPPVVSKDWDNEYCSNECVVKHCR DVFLAWVASRNPNSVVFVK >ENSMUSP00000048043.4 pep:known chromosome:GRCm38:7:80315590:80324424:-1 gene:ENSMUSG00000038930.11 transcript:ENSMUST00000047362.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rccd1 description:RCC1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2444156] MAEKRHGAWFGFGFCGFGQALGSGNSHHSVYSPEPLHASDDICQVSAGWSYTALVTRGGR VELSGSVSGAADGCRDVWASEELLVLLRNKGGSSTEVQAWVPGSALQGEPLWVQNLVSGA KGQGEDEPSRESRMGTLPLLPCARAYVTPEPPFCQPLAPELRVRQLELGAEHVLLLCAAG QVFSWGAGRHGQLGHGTLEAELEPRLLEALQGLRMAKVAAGGWHSVCLSETGDIYIWGWN ESGQLALPTRSGTENKAEREEATELNEDGLKEELAVADAGAPAHFIAIQPFPALLDLPLG SDAVMASCGSRHTAVVTRTGELYTWGWGKYGQLGHKDSTSLDRPCCVEYFVERQLEVRAV TCGPWNTYVYAMERDKS >ENSMUSP00000113273.1 pep:known chromosome:GRCm38:7:80316596:80324444:-1 gene:ENSMUSG00000038930.11 transcript:ENSMUST00000121882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rccd1 description:RCC1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2444156] MAEKRHGAWFGFGFCGFGQALGSGNSHHSVYSPEPLHASDDICQVSAGWSYTALVTRGGR VELSGSVSGAADGCRDVWASEELLVLLRNKGGSSTEVQAWVPGSALQGEPLWVQNLVSGA KGQGEDEPSRESRMGTLPLLPCARAYVTPEPPFCQPLAPELRVRQLELGAEHVLLLCAAG QVFSWGAGRHGQLGHGTLEAELEPRLLEALQGLRMAKVAAGGWHSVCLSETGDIYIWGWN ESGQLALPTRSGTENKAEREEATELNEDGLKEELAVADAGAPAHFIAIQPFPALLDLPLG SDAVMASCGSRHTAVVTRTGELYTWGWGKYGQLGHKDSTSLDRPCCVEYFVERQLEVRAV TCGPWNTYVYAMERDKS >ENSMUSP00000121884.1 pep:known chromosome:GRCm38:7:80320915:80324120:-1 gene:ENSMUSG00000038930.11 transcript:ENSMUST00000123189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rccd1 description:RCC1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2444156] MAEKRHGAWFGFGFCGFGQALGSGNSHHSVYSPEPLHA >ENSMUSP00000006838.8 pep:known chromosome:GRCm38:9:108507706:108515941:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000006838.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] MATPDSLALFTGLGLSENKARETLKNEALSTQLREAATQAHQILGSTIDKATGVLLYDLV SRLRDTRRRSFLVSYIANKKIHTGLQLSAALEYVRSHPQDPIDTKDFEQECGVGVVVTPE QIEEAVESTINKHQLQLLAERYRFNMGLLMGEARAALRWADGKMIKNEVDMQVLHLLGPK MEADLVKKPKVAKARLEETDRKTAKDVVEKGEVAGQTLSLMEQLRGEALKFHKPGENYKT PGYVITPYTMDLLKQHLEITGGQVRTRFPPEPNGILHIGHAKAINFNFGYAKANNGICFL RFDDTNPEKEEAKFFTAIYDMVTWLGYTPYKVTYASDYFDQLYAWAVELIHGGLAYVCHQ RVEELKGHNPLPSPWRDRPKEESLLLFEAMRKGKFAEGEATLRMKLVMEDGKMDPVAYRV KYTPHHRTGDKWCIYPTYDYTHCLCDSIEHITHSLCTKEFQARRSSYFWLCNALKVYCPV QWEYGRLNLHYAVVSKRKILQLVAAGAVRDWDDPRLFTLTALRRRGFPPEAINNFCARVG VTVAQTTMEPHLLEACVRDVLNDAAPRAMAVLEPLQVVITNFPAPKPLDIRVPNFPADET KGFHQVPFASTVFIERSDFKEESEPGYKRLASGQPVGLRHTGYVIELQNIVRGSSGCVER LEVTCRRADAGEKPKAFIHWVSQPLVCEIRLYECLFQHKNPEDPVEVPGGFLSDLNPASL QVVEGALVDCSVALAKPFDKFQFERLGYFSVDPDSHQGQIVFNRTVTLKEDPGKI >ENSMUSP00000146612.1 pep:known chromosome:GRCm38:9:108508056:108512916:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000207810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] MATPDSLALFTGLGLSENKARETLKNEALSTQLREAATQAHQILGSTIDKATGVLLYDLV SRLRDTRRRSFLVSYIANKKIHTGLQLSAALEYVRSHPQDPIDTKDFEQECGVGVVVTPE QIEEAVESTINKHQLQLLAERYRFNMGLLMGEARAALRWADGKMIKNEVDMQVLHLLGPK MEADLVKKPKVAKARLEETDRKTAKDVVEKGEVAGQTLSLMEQLRGEALKFHKPGENYKT PGYVITPYTMDLLKQHLEITGGQANNGICFLRFDDTNPEKEEAKFFTAIYDMVTWLGYTP YKVTYASDYFDQLYAWAVELIHGGLAYVCHQRVEELKGHNP >ENSMUSP00000147248.1 pep:known chromosome:GRCm38:9:108508059:108510301:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000207862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] MATPDSLALFTGLGLSENKARETLKNEAHQILGSTIDKATGVLLYDLVSRLRDTRRRSFL VSYIANKKIHTGLQLSAALEYVRSHPQDPIDTKDFEQECGVGVVVTPEQIEEAVESTINK HQLQLLAERYRFNMGLLMGEARAALRWADGKMIKNEVDMQVLHLLGPKMEADLVKKPKVA KAR >ENSMUSP00000146436.1 pep:known chromosome:GRCm38:9:108508059:108511174:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000208581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] MATPDSLALFTGLGLSENKARETLKNEALSTQLREAATQAHQILGSTIDKATGVLLYDLV SRLRDTRRRSFLVSYIANKKIHTGLQLSAALEYVRSHPQDPIDTKDFEQECGVGVVVTPE QIEEAVESTINKHQLQLLAERYRFNMGLLMGEARAALRWADGEVAGQTLSLME >ENSMUSP00000146356.1 pep:known chromosome:GRCm38:9:108508059:108511350:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000208162.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] MATPDSLALFTGLGLSENKARETLKNEALSTQLREAATQLLLNMFGVIPRIPLIPRTSSR SVASVWW >ENSMUSP00000147211.1 pep:known chromosome:GRCm38:9:108508059:108515941:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000208214.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] MATPDSLALFTGLGLSENKARETLKNEALSTQLREAATQAHQILGSTIDKATGVLLYDLV SRLRDTRRRSFLLLLNMFGVIPRIPLIPRTSSRSVASVWW >ENSMUSP00000122918.2 pep:known chromosome:GRCm38:9:108508060:108515941:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000134939.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] MATPDSLALFTGLGLSENKARETLKNEALSTQLREAATQAHQILGSTIDKATGVLLYDLV SRLRDTRRRSFLVSYIANKKIHTGLQLSAALEYVRSHPQDPIDTKDFEQECGVGVVVTPE QIEEAVESTINKHQLQLLAERYRFNMGLLMGEARAALRWADGKMIKNEVDMQVLHLLGPK MEADLVKKPKVAKARLEETDRKTAKDVVEKGENYKTPGYVITPYTMDLLKQHLEITGGQV RTRFPPEPNGILHIGHAKAINFNFGYAKANNGICFLRFDDTNPEKEEAKFFTAIYDMVTW LGYTPYKVTYASDYFDQLYAWAVELIHGGLAYVCHQRVEELKGHNPLPSPWRDRPKEESL LLFEAMRKGKFAEGEATLRMKLVMEDGKMDPVAYRVKYTPHHRTGDKWCIYPTYDYTHCL CDSIEHITHSLCTKEFQARRSSYFWLCNALKVYCPVQWEYGRLNLHYAVVSKRKILQLVA AGAVRDWDDPRLFTLTALRRRGFPPEAINNFCARVGVTVAQTTMEPHLLEACVRDVLNDA APRAMAVLEPLQVVITNFPAPKPLDIRVPNFPADETKGFHQVPFASTVFIERSDFKEESE PGYKRLASGQPVGLRHTGYVIELQNIVRGSSGCVERLEVTCRRADAGEKPKAFIHWVSQP LVCEIRLYECLFQHKNPEDPVEVPGGFLSDLNPASLQVVEGALVDCSVALAKPFDKFQFE RLGYFSVDPDSHQGQIVFNRTVTLKEDPGKI >ENSMUSP00000146941.1 pep:known chromosome:GRCm38:9:108508065:108512959:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000207947.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] MATPDSLALFTGLGLSENKARETLKNEALSTQLREAATQAHQILGSTIDKATGVLLYDLV SRLRDTRRRSFLVSYIANKKIHTGLQLSAALEYVRSHPQDPIDTKDFEQECGVGVVVTPE QIEEAVESTINKHQLQLLAERYRFNMGLLMGEARAALRWADGKMIKNEVDMQVLHLLGPK MEADLVKKPKVAKARLEETDRKTAKDVVEKGEVAGQTLSLMEQLRGEALKFHKPGTYAVP SRAQWNPAYWTRQSHQFQLWLCQGQQWYLFSAL >ENSMUSP00000146346.1 pep:known chromosome:GRCm38:9:108508093:108511357:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000207713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] XDSLALFTGLGLSENKARETLKNEALSTQLREAATQAHQILGSTIDKATGVLLYDLVSRL RDTRRRSFLVSYIANKKIHTGLQLSAALEYVRSHPQDPIDTKDFEQECGVGVVVTPEQIE EAVSPLLQVESTINKHQLQLLAERYRFNMGLLMGEARAALRWADGKMIKNEVDMQVLHLL GPKMEADLVKKPKVAKARLEETDRKTAKDVVEKGEVAGQTLSLMEQLRGEALKFHKPGEN YKTPGY >ENSMUSP00000147017.1 pep:known chromosome:GRCm38:9:108511139:108512968:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000208074.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] XEVAGQTLSLMEQLRGEALKFHKPGENYKTPGYVITPYTMDLLKQHLEITGGQVRTRFPP EPNGILHIGHAKAINFNFGYAKANNGICFLRFDDTNPEKEEAKFFTAIYDMVIHLTK >ENSMUSP00000121146.2 pep:known chromosome:GRCm38:9:108513109:108515160:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000141903.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] XMKLVMEDGKMDPVAYRVKYTPHHRTGDKWCIYPTYDYTHCLCDSIEHITHSLCTKEFQA RRSSYFWLCNALKVYCPVQWEYGRLNLHYAVVSKRKILQLVAAGAVRDWDDPRLFTLTAL RRRGFPPEAINNFCARASLQVVEGALVDCSVALA >ENSMUSP00000147195.1 pep:known chromosome:GRCm38:9:108513161:108514784:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000207734.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] XKYTPHHRTGDK >ENSMUSP00000142223.2 pep:known chromosome:GRCm38:9:108514065:108515936:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000194045.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] VGVTVAQTTMEPHLLEACVRDVLNDAAPRAMAVLEPLQVVITNFPAPKPLDIRVPNFPAD ETKGFHQVPFASTVFIERSDFKEESEPGYKRLASGQPVGLRHTGYVIELQNIVRGSSGCV ERLEVTCRRADAGEKPKAFIHWIPAQEPRRPC >ENSMUSP00000146888.1 pep:known chromosome:GRCm38:9:108514127:108515936:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000208506.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] XVLNDAAPRAMAVLEPLQVVITNFPAPKPLDIRVPNFPADETKGFHQVPFASTVFIERSD FKEESEPGYKRLASGQPVGLRHTGYVIELQNIVRGSSGCVERLEVTCRRADAGEKPKAFI HWVSQPLVCEIRLYECLFQHKNPEDPVEVPGGFLSDLNPW >ENSMUSP00000146920.1 pep:known chromosome:GRCm38:9:108514173:108515940:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000207790.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] LQVVITNFPAPKPLDIRVPNFPADETKGFHQVPFASTVFIERSDFKEESEPGYKRLASGQ PVGLRHTGYVIELQNIVRGSSGCVERLEVTCRRADAGEKPKAFIHWVSQPLIPAQEPRRP C >ENSMUSP00000146774.1 pep:known chromosome:GRCm38:9:108514191:108515941:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000208177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] NFPAPKPLDIRVPNFPADETKGFHQVPFASTVFIERSDFKEESEPGYKRLASGQPVGLRH TGYVIELQNIVRGSSGCVERLEVTCRRADAGEKPKAFIHWVSQPLVCEIRLYECLFQHKN PEDPVEVPGGFLSDLNPIVFNRTVTLKEDPGKI >ENSMUSP00000147212.1 pep:known chromosome:GRCm38:9:108514204:108515935:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000207180.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] XKPLDIRVPNFPADETKGFHQVPFASTVFIERSDFKEESEPGYKRLASGQPVGLRHTGYV IELQNIVRGSSGCVERLEVTCRRADAGEKPKAFIHWVSQPLVCEIRLYECLFQHKNPEDP VEVPGGFLSDLNPH >ENSMUSP00000146836.1 pep:known chromosome:GRCm38:9:108514545:108515936:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000208962.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] XSEPGYKRLASGQPVGLRHTGYVIELQNIVRGSSGCVERLEVTCRRADAGEKPKAFIHWV SQPLVCEIRLYECLRHYKW >ENSMUSP00000115967.1 pep:known chromosome:GRCm38:9:108509479:108515941:1 gene:ENSMUSG00000032604.15 transcript:ENSMUST00000123316.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qars description:glutaminyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1915851] MTEVLHLLGPKMEADLVKKPKVAKARLEETDRKTAKDVVEKGEVAGQTLSLMEQLRGEAL KFHKPGENYKTPGYVITPYTMDLLKQHLEITGGQVRTRFPPEPNGILHIGHAKAINFNFG YAKANNGICFLRFDDTNPEKEEAKFFTAIYDMVTWLGYTPYKVTYASDYFDQLYAWAVEL IHGGLAYVCHQRVEELKGHNPLPSPWRDRPKEESLLLFEAMRKGKFAEGEATLRMKLVME DGKMDPVAYRVKYTPHHRTGDKWCIYPTYDYTHCLCDSIEHITHSLCTKEFQARRSSYFW LCNALKVYCPVQWEYGRLNLHYAVVSKRKILQLVAAGAVRDWDDPRLFTLTALRRRGFPP EAINNFCARVGVTVAQTTMEPHLLEACVRDVLNDAAPRAMAVLEPLQVVITNFPAPKPLD IRVPNFPADETKGFHQVPFASTVFIERSDFKEESEPGYKRLASGQPVGLRHTGYVIELQN IVRGSSGCVERLEVTCRRADAGEKPKAFIHWVSQPLVCEIRLYECLFQHKNPEDPVEVPG GFLSDLNPASLQVVEGALVDCSVALAKPFDKFQFERLGYFSVDPDSHQGQIVFNRTVTLK EDPGKI >ENSMUSP00000140854.1 pep:known chromosome:GRCm38:1:91145101:91152725:1 gene:ENSMUSG00000070732.2 transcript:ENSMUST00000188818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm44 description:RNA binding motif protein 44 [Source:MGI Symbol;Acc:MGI:2685663] MFFSNGCNGVILAFPDGKEDSLATEERASDKENSIVDQRDLSELSFSENQDSNRGNIFSQ SSEFEDSNDYAFLNETYSIHYSESKLKDENLLHLYSGLHPEVHKRVEMIFDTLDNNSIGL GRSAEASGADCGDVQKSDVDEDSQQEYHSAELECISAHLAKTVSRSSLDVSELKTSS >ENSMUSP00000092286.1 pep:known chromosome:GRCm38:1:91145103:91170795:1 gene:ENSMUSG00000070732.2 transcript:ENSMUST00000094698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm44 description:RNA binding motif protein 44 [Source:MGI Symbol;Acc:MGI:2685663] MQATAALETDSDKNYPKNGGHFQNDKLYNPKKENMFFSNGCNGVILAFPDGKEDSLATEE RASDKENSIVDQRDLSELSFSENQDSNRGNIFSQSSEFEDSNDYAFLNETYSIHYSESKL KDENLLHLYSGLHPEVHKRVEMIFDTLDNNSIGLGRSAEASGADCGDVQKSDVDEDSQQE YHSAELECISAHLAKTVSRSSLDVSELKTSSYDFKCGGNFEDNHGKLESGPSPSLESLNG FAQECSLQVSTSQSSDMLQEYHEPKYEKCKEQEVDLTYHKAFDGILQRSSSPLNHQKVPE TQVYTKEVKSQTTESKDFYGNRIFQNKALQRPENATMFPQDRALETHLKANDAHQPSGPC ALDDSVISLCGSSQYKSLPEPGFFSPVIPRVAVTDYQAEVEGSCLHHVQGSATNKACSLM KEVCLTSVPDAAACIAAVQQTLHVSSRVNASSSIVSASSITETKMVRQSQAEEWQSDKRS VACNTAWSCGQQCRDAQRAAPGSDSGRPLSTGCLKPSGNSLNENSLELRKVFDTTDRQKH CNRAFQLCEEKAVPSRCCQKTTERAIKAEMHLLDVCYQMCHRHCHHIYKLVMESRAGLNR NLQTDSAKKELGAALLSVLEDLKLRYMNLKGKVHKGIPLEELPPLSVESKLLSAFSDFVS RLMKDEACSLSGANSELDNQSLPDVDVSPGLLKTLSQMSFIPDSSQPEQGKSPMSDVCKN GDTDIGFNCLKLNDKECKTVQEASEDWFDATERLIGADFSETQDSTAECEEWQPRNPLEL KNSELHGKGQGFLIHVGGLCPSVSEADLRSHFQKYQVSEISIYDSTNYRYASLAFAKNSN AKMAVKEMNGVKINGKSVTVRLVKIPGEYTPPPLSTTGNSTSMNHLEKNTNKDATSASSI CRLPRAKSRQLESEQDSEFPPLDQGVKKNCNQMKSGQLLPETPFQFIPPNTLNLRSFTKI MKRLAELHPDISRDHIIEALQEVRINHKGFLNGLSINTIVKMASSFLRNSALK >ENSMUSP00000127947.1 pep:known chromosome:GRCm38:2:167062934:167065861:1 gene:ENSMUSG00000074578.12 transcript:ENSMUST00000125674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfos1 description:zinc finger, NFX1-type containing 1, opposite strand RNA 1 [Source:MGI Symbol;Acc:MGI:1916199] MERELLRRALARESRGCRCWSDLDFRYFWNTEYQMCSCCPAPQGYAECKEELLFGWGVVV SCALLLEIINIGLSVEIKI >ENSMUSP00000041796.8 pep:known chromosome:GRCm38:5:138139702:138155744:-1 gene:ENSMUSG00000037007.17 transcript:ENSMUST00000049393.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp113 description:zinc finger protein 113 [Source:MGI Symbol;Acc:MGI:1929116] METQADHASQAPLPLLESALSSSKVPSFPDKDSLGDKMLAAALLKAKSQELVTFEDVAVY FIWREWKRLEPAQRDLYRDVMLENYGNVFSLDGDSKTGNDRVISEGMGSCEMILGRFQKD VSQGLKFEEAYEQEVSLQRQLGNSSVGRLNRKIQEFHQVRVEEKLTHIGERNKKYSEFGN SFTVNSKLISHQRLQMGDKPHKCDECSKSFNRTSDLIQHQRIHTGEKPYECSECGKAFSQ SAHLIQHQRIHTGEKPYECKDCGKTFSCSSALILHQRIHTGEKPYECNECGKTFSWSSTL THHQRIHTGEKPYACNECGKAFSRSSTLIHHQRIHTGEKPYECNECGKAFSQSSHLYQHQ RIHTGEKPYECMECGGKFTYSSGLIQHQRIHTGENPYECSECGKAFRYSSALVRHQRIHT GEKPLTVMGVKQKLSQSLC >ENSMUSP00000116846.1 pep:known chromosome:GRCm38:5:138150927:138155704:-1 gene:ENSMUSG00000037007.17 transcript:ENSMUST00000132318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp113 description:zinc finger protein 113 [Source:MGI Symbol;Acc:MGI:1929116] METQADHASQAPLPLLESALSSSKVPSFPDKDSLGDKMLAAALLKAKSQTTDLGALR >ENSMUSP00000127561.1 pep:known chromosome:GRCm38:5:138144667:138152899:-1 gene:ENSMUSG00000037007.17 transcript:ENSMUST00000165640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp113 description:zinc finger protein 113 [Source:MGI Symbol;Acc:MGI:1929116] METQADHASQAPLPLLESALSSSKVPSFPDKDSLGDKMLAAALLKAKSQELVTFEDVAVY FIWREWKRLEPAQRDLYRDVMLENYGNVFSLDGDSKTGNDRVISEGMGSCEMILGRFQKD VSQGLKFEEAYEQEVSLQRQLGNSSVGRLNRKIQEFHQVRVEEKLTHIGERNKKYSEFGN SFTVNSKLISHQRLQMGDKPHKCDECSKSFNRTSDLIQHQRIHTGEKPYECSECGKAFSQ SAHLIQHQRIHTGEKPYECKDCGKTFSCSSALILHQRIHTGEKPYECNECGKTFSWSSTL THHQRIHTGEKPYACNECGKAFSRSSTLIHHQRIHTGEKPYECNECGKAFSQSSHLYQHQ RIHTGEKPYECMECGGKFTYSSGLIQHQRIHTGENPYECSECGKAFRYSSALVRHQRIHT GEKPLTVMGVKQKLSQSLC >ENSMUSP00000098728.3 pep:known chromosome:GRCm38:12:88270640:88274500:-1 gene:ENSMUSG00000079029.2 transcript:ENSMUST00000101168.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5662 description:predicted gene 5662 [Source:MGI Symbol;Acc:MGI:3648257] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGRLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNKADIILKYNPDEARSLKAYGELPEHAKINEMDT FGAGDDDEIVFDDIGEDDEDIDDI >ENSMUSP00000042063.4 pep:known chromosome:GRCm38:11:63061654:63094964:1 gene:ENSMUSG00000042189.5 transcript:ENSMUST00000035732.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt3 description:tektin 3 [Source:MGI Symbol;Acc:MGI:1918312] MELLGSTLTATYAHPPPASASFLPAIGTITSSYKDRFPHRNLTHSLSLPWRPNTYYKTAY NYPTLAPYSSRSQRVCESTMLPFVSNRTTFFTRYTPDDWYRSNLVSFQESNSSRHNSERL RVDTSRLIQDKYQQIRKTQAHSTQNLGERVNDLAFWKSEITHELDEMIGETNALTDIKRR LERGLIETEGPLQVSRECLFHREKRMGIDLVHDEAEKELLAEVDTILCCQERMRQHLDKA NAQLASDRSAQHELEKDLSDKQAALRIDDKCQHLRNTSEGVSYFRGVERVDATVSVPETW AKFTDDNVLRSQSERAASAKLREETENLLIVTANEMWNQFNKVNLAFTNRIAETVDAKNK IHTHLTKTLQEIFQIEMTIESIKKAIKEKSAFLKVAQTRLDERTRRPNVELCRDMAQLRL VNEVYEVDETIQTLQQRLRDSEDTLQSLAHTKATLEHDLAVKANTLYIDQEKCMSMRNSY PSTLRLVGYC >ENSMUSP00000079722.5 pep:known chromosome:GRCm38:4:126164082:126202760:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000080919.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] MSKTNKSKSGSRSSRSRSASRSRSRSFSKSRSRSRSVSRSRKRRLSSRSRSRSYSPAHNR ERNHPRVYQNRDFRGHNRGYRRPYYFRGRNRGFYPWGQYNRGGYGNYRSNWQNYRQAYSP RRGRSRSRSPKRRSPSPRSRSHSRNSDKSSSDRSRRSSSSRSSSNHSRVESSKRKSTKEK KSSSKDSRPSQAAGDNQGDEAKEQTFSGGTSQDIKGSESSKPWPDATTYGAGSASRASVS DLSPRERSPALKSPLQSVVVRRRSPRPSPVPKPSPPLSNASQMGSSMSGGAGYQSGAHQG QFDHGSGSLSPSKKSPVGKSPPATGSAYGSSQKEESAASGGAAYSKRYLEEQKTENGKDK EQKQTNADKEKLKEKGGFSDADVKMKSDPFAPKTDSEKPFRGSQSPKRYKLRDDFEKKMA DFHKEELDEHDKDKSKGRKEPEFDDEPKFMSKVIAGASKNQEEEKSGKWESLHTGKEKQR KAEEMEDEPFTERSRKEERGGSKRSESGHRGFVPEKNFRVTAYKAVQEKSSSPPPRKTSE SRDKLGSKGDFSSGKSSFSITREAQVNVRMDSFDEDLARPSGLLAQERKLCRDLVHSNKK EQEFRSIFQHIQSAQSQRSPSELFAQHIVTIVHHVKEHHFGSSGMTLHERFTKYLKRGNE QEAAKNKKSPEIHRRIDISPSTFRKHGLTHEELKSPREPGYKAEGKYKDDPVDLRLDIER RKKHKERDLKRGKSRESVDSRDSSHSRERSTEKTEKTHKGSKKQKKHRRARDRSRSSSSS SQSSHSYKAEEYPEEAEEREESTSGFDKSRLGTKDFVGPNERGGRARGTFQFRARGRGWG RGNYSGNNNNNSNNDFQKRSREEEWDPEYTPKSKKYYLHDDREGEGSDKWMGRGRGRGAF PRGRGRFMFRKSSTSPKWAHDKFSGEEGEIEDDESGTENREEKDSLQPSAE >ENSMUSP00000129775.1 pep:known chromosome:GRCm38:4:126165541:126175447:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000163459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] XHHFGSSGMTLHERFTKYLKRGNEQEAAKNKKSPEIHRERSTEKTEKTHKGSKKQKKHRR ARDRSRSSSSSSQSSHSYKAEEYPEEAEEREESTSGFDKSRLGTKDFVGPNERGGRARGT FQFRARGRGWGRGNYSGNNNNNSNNDFQKRSREEEWDPEYTPKSKKYYLHDDREGEGSDK WMGRGRGRGAFPRGR >ENSMUSP00000129416.1 pep:known chromosome:GRCm38:4:126167048:126173527:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000163176.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] RIDISPSTFRKHGLTHEELKSPREPGYKDGHNSKNELQRVNFY >ENSMUSP00000101748.1 pep:known chromosome:GRCm38:4:126172843:126202589:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000106142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] MSKTNKSKSGSRSSRSRSASRSRSRSFSKSRSRSRSVSRSRKRRLSSRSRSRSYSPAHNR ERNHPRVYQNRDFRGHNRGYRRPYYFRGRNRGFYPWGQYNRGGYGNYRSNWQNYRQAYSP RRGRSRSRSPKRRSPSPRSRSHSRNSDKSSSDRSRRSSSSRSSSNHSRVESSKRKSTKEK KSSSKDSRPSQAAGDNQGDEAKEQTFSGGTSQDIKGSESSKPWPDATTYGAGSASRASVS DLSPRERSPALKSPLQSVVVRRRSPRPSPVPKPSPPLSNASQMGSSMSGGAGYQSGAHQG QFDHGSGSLSPSKKSPVGKSPPATGSAYGSSQKEESAASGGAAYSKRYLEEQKTENGKDK EQKQTNADKEKLKEKGGFSDADVKMKSDPFAPKTDSEKPFRGSQSPKRYKLRDDFEKKMA DFHKEELDEHDKDKSKGRKEPEFDDEPKFMSKVIAGASKNQEEEKSGKWESLHTGKEKQR KAEEMEDEPFTERSRKEERGGSKRSESGHRGFVPEKNFRVTAYKAVQEKSSSPPPRKTSE SRDKLGSKGDFSSGKSSFSITREAQVNVRMDSFDEDLARPSGLLAQERKLCRDLVHSNKK EQEFRSIFQHIQSAQSQRSPSELFAQHIVTIVHHVKEHHFGSSGMTLHERFTKYLKRGNE QEAAKNKKSPEIHRRIDISPSTFRKHGLTHEELKSPREPGYKVNCCFDQ >ENSMUSP00000126399.1 pep:known chromosome:GRCm38:4:126175366:126178526:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000163306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] KDKEQKQTNADKEKLKEKGGFSDADVKMKSDPFAPKTDSEKPFRGSQSPKRPSGLLAQER KLCRDLVHSNKKEQEFRSIFQHIQSAQSQRSPSELFAQHIVTIVHHVKEHHFGSSGMTLH ERFTKYLKRGNEQEAA >ENSMUSP00000131956.1 pep:known chromosome:GRCm38:4:126180563:126194391:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000123008.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] MSKTNKSKSGSRSSRSRSASRSRSRSFSKSRSRSRSVSRSRKRRLSKWKLNKWFKAKHD >ENSMUSP00000120140.1 pep:known chromosome:GRCm38:4:126180791:126201117:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000136157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] MSKTNKSKSGSRSSRSRSASRSRSRSFSKSRSRSRSVSRSRKRRLSSRSRSRS >ENSMUSP00000125856.1 pep:known chromosome:GRCm38:4:126180800:126202589:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000169403.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] MSKTNKSKSGSRSSRSRSASRSRSRSFSKSRSRSRSVSRSRKRRLSSRSRS >ENSMUSP00000120455.1 pep:known chromosome:GRCm38:4:126186484:126202647:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000130334.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] MSKTNKSKSGSRSSRSRSASRSRSRSFSK >ENSMUSP00000118414.1 pep:known chromosome:GRCm38:4:126186490:126202376:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000142125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] MSKTNKSKSGSRSSRSRSASRSRSRSF >ENSMUSP00000101747.2 pep:known chromosome:GRCm38:4:126186496:126202390:-1 gene:ENSMUSG00000043962.16 transcript:ENSMUST00000106141.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thrap3 description:thyroid hormone receptor associated protein 3 [Source:MGI Symbol;Acc:MGI:2442637] MSKTNKSKSGSRSSRSRSASRSRSR >ENSMUSP00000147216.1 pep:known chromosome:GRCm38:5:76484040:76516649:1 gene:ENSMUSG00000091204.8 transcript:ENSMUST00000191515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7271 description:predicted gene 7271 [Source:MGI Symbol;Acc:MGI:3647743] MSSLVKEDLEKKLFKPLAQNLCEFIEIEVSVQDRYFLCVSVTKTDEVKITMVKHYRVGLD EKYEVTKRWSLSDLRMIDGKEADTDNPFFDLHFKKVYSLEAYSCASKYSFARTVSRLNHV YLKKDLHMVNFDSTYINDDSIWSSNNKDCLVLMRICFYAFNLVCLSLCPLPL >ENSMUSP00000146625.1 pep:known chromosome:GRCm38:5:76484331:76516584:1 gene:ENSMUSG00000091204.8 transcript:ENSMUST00000172369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7271 description:predicted gene 7271 [Source:MGI Symbol;Acc:MGI:3647743] MSSLVKEDLEKKLFKPLAQNLCEFIEIEVSVQDRYFLCVSVTKTDEVKITMVKHYRVGLD EKYEVTKRWSLSDLRMIDGKEADTDNPFFDLHFKKVYSLEAYSCASKYSFARTVSRLNHV YLKKDLHMVNFDSTYINDDSIWSSNNKDCLVLMRICFYAFNLVCLSLCPLPL >ENSMUSP00000003290.4 pep:known chromosome:GRCm38:7:44991222:44997579:-1 gene:ENSMUSG00000003190.12 transcript:ENSMUST00000003290.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l12 description:BCL2-like 12 (proline rich) [Source:MGI Symbol;Acc:MGI:1922986] MAGSEELGLREDTLKVLTAFLKRGEVAGSPVPTPPRSPAQEETTDFLSRLRRCLPCPLGR GAPPTESSRPHFLPLRPCYGSEPGPASSEFYALVAQRLEQLVQEQLKSPPSSEFQGPPPT EKEALLRRLVALLEEEAEVINQKLASDPALHRKLARLSAGSFARLVELFSSRESSSSPNC SSPSLPCPGPPPPSPDPLARLALAMELSRRVAGLGGPLANLSVEHVHSFLPWVQAHGGWA GILASSPVDLNLPLD >ENSMUSP00000146542.1 pep:known chromosome:GRCm38:7:44992872:44997562:-1 gene:ENSMUSG00000003190.12 transcript:ENSMUST00000207755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l12 description:BCL2-like 12 (proline rich) [Source:MGI Symbol;Acc:MGI:1922986] MAGSEELGLREDTLKVLTAFLKRGEVAGSPVPTPPRSPAQEETTDFLSRLRRCLPCPLGR GAPPTESSRPHFLPLRPCYGSEPGPASSEFYALVAQRLEQLVQEQLKSPPSSAGI >ENSMUSP00000146945.1 pep:known chromosome:GRCm38:7:44994190:44997545:-1 gene:ENSMUSG00000003190.12 transcript:ENSMUST00000207443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l12 description:BCL2-like 12 (proline rich) [Source:MGI Symbol;Acc:MGI:1922986] MAGSEELGLREDTLKVLTAFLKRGEVAGSPVPTPPRSPAQEETTDFLSRLRRCLPCPLGR GAPPTESSRPHFLPLRPCYGSEPESVAASFCSGPASSEFYALVAQRLEQLVQEQLKSPPS SEFQGPPPTEKEALLRRLVALLEEEAEV >ENSMUSP00000146355.1 pep:known chromosome:GRCm38:7:44996543:44997253:-1 gene:ENSMUSG00000003190.12 transcript:ENSMUST00000207342.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l12 description:BCL2-like 12 (proline rich) [Source:MGI Symbol;Acc:MGI:1922986] MAGSEELGLREDTLKVLTAFLKRGEVAGSPVPTPPRSPAQEETTDFLSRLRRCLPCPLGR GAPPTESSRPHFLPLRPCYGSEPG >ENSMUSP00000129675.2 pep:known chromosome:GRCm38:7:80294450:80316259:1 gene:ENSMUSG00000038943.16 transcript:ENSMUST00000163812.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prc1 description:protein regulator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:1858961] MRRSEVLADESITCLQKALTHLREIWELIGIPEEQRLQRTEVVKKHIKDLLDRMIAEEES LRERLLKSISICQKELSTLCSELQVKPFQEEKDTTILQLEKDLRTQVELMRKQKKERKQE LKLLQEQEQELRDILCMPPCDVDSTSVPTLEELKLFRQRVATLRETKESRREEFVNIKKQ IILCMEELEHSPDTSFERDVVCEDESAFCLSLENIATLQKLLKQLEMKKSQNEAECEGLR TQIRELWDRLQIPEEEREPVEAIMTGSKTKIRNALKLEVDRLEELKMQNIKQVIEKIRVE LAQFWDQCFYSQEQRQAFAPYYSEDYTENLLHLHDAEIVRLRNYYDVHKELFQGVQKWEE SWKLFLEFERKASDPGRFTNRGGNLLKEEKERAKLQKTLPKLEEELKARIEQWEQEHSTA FVVNGQKFMEYVTEQWELHRLEKERAKQERQLKNKKQTEAEMLYGSTPRTPSKRPGQTPK KSGKVRKMNTTTMSSATPNSSIRPVFGGSVYRSPMSRLPPSGSKSVVTSLCSGKKTPRAA QLRANKENLDLNGSILSGGYPGSTPLQHNCSIKSVASTYSEFSRELSKASRSDATSRILN STNIQS >ENSMUSP00000043379.7 pep:known chromosome:GRCm38:7:80294451:80316259:1 gene:ENSMUSG00000038943.16 transcript:ENSMUST00000047558.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prc1 description:protein regulator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:1858961] MRRSEVLADESITCLQKALTHLREIWELIGIPEEQRLQRTEVVKKHIKDLLDRMIAEEES LRERLLKSISICQKELSTLCSELQVKPFQEEKDTTILQLEKDLRTQVELMRKQKKERKQE LKLLQEQEQELRDILCMPPCDVDSTSVPTLEELKLFRQRVATLRETKESRREEFVNIKKQ IILCMEELEHSPDTSFERDVVCEDESAFCLSLENIATLQKLLKQLEMKKSQNEAECEGLR TQIRELWDRLQIPEEEREPVEAIMTGSKTKIRNALKLEVDRLEELKMQNIKQVIEKIRVE LAQFWDQCFYSQEQRQAFAPYYSEDYTENLLHLHDAEIVRLRNYYDVHKELFQGVQKWEE SWKLFLEFERKASDPGRFTNRGGNLLKEEKERAKLQKTLPKLEEELKARIEQWEQEHSTA FVVNGQKFMEYVTEQWELHRLEKERAKQERQLKNKKQTEAEMLYGSTPRTPSKRPGQTPK KSGKMNTTTMSSATPNSSIRPVFGGSVYRSPMSRLPPSGSKSVVTSLCSGKKTPRAAQLR ANKENLDLNGSILSGGYPGSTPLQHNCSIKSVASTYSEFSRELSKASRSDATSRILNSTN IQS >ENSMUSP00000133295.1 pep:known chromosome:GRCm38:7:80294473:80315584:1 gene:ENSMUSG00000038943.16 transcript:ENSMUST00000174199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prc1 description:protein regulator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:1858961] MRRSEVLADESITCLQKALTHLREIWELIGIPEEQRLQRTEVVKKHIKEEKDTTILQLEK DLRTQVELMRKQKKERKQELKLLQEQEQELRDILCMPPCDVDSTSVPTLEELKLFRQRVA TLRETKESRREEFVNIKKQIILCMEELEHSPDTSFERDVVCEDESAFCLSLENIATLQKL LKQLEMKKSQNEAECEGLRTQIRELWDRLQIPEEEREPVEAIMTGSKTKIRNALKLEVDR LEELKMQNIKQVIEKIRVELAQFWDQCFYSQEQRQAFAPYYSEDYTENLLHLHDAEIVRL RNYYDVHKELFQGVQKWEESWKLFLEFERKASDPGRFTNRGGNLLKEEKERAKLQKTLPK LEEELKARIEQWEQEHSTAFVVNGQKFMEYVTEQWELHRLEKERAKQERQLKNKKQTEAE MLYGSTPRTPSKRPGQTPKKSGKVRKMNTTTMSSATPNSSIRPVFGGSVYRSPMSRLPPS GSKSVVTSLCSGKKTPRAAQLRANKENLDLNGSILSARTFKGFQI >ENSMUSP00000133910.1 pep:known chromosome:GRCm38:7:80294479:80316251:1 gene:ENSMUSG00000038943.16 transcript:ENSMUST00000173824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prc1 description:protein regulator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:1858961] MRRSEVLADESITCLQKALTHLREIWELIGIPEEQRLQRTEVVKKHIKDLLDRMIAEEES LRERLLKSISICQKELSTLCSELQVKPFQEEKDTTILQLEKDLRTQVELMRKQKKERKQE LKLLQEQEQELRDILCMPPCDVDSTSVPTLEELKLFRQRVATLRETKESRREEFVNIKKQ IILCMEELEHSPDTSFERDVVCEDESAFCLSLENIATLQKLLKQLEMKKSQNEAECEGLR TQIRELWDRLQIPEEEREPVEAIMTGSKTKIRNALKLEVDRLEELKMQNIKQVIEKIRVE LAQFWDQCFYSQEQRQAFAPYYSEDYTENLLHLHDAEIVRLRNYYDVHKELFQGVQKWEE SWKLFLEFERKASDPGRFTNRGGNLLKEEKERAKLQKTLPKLEEELKARIEQWEQEHSTA FVVNGQKFMEYVTEQWELHRLEKERAKQERQLKNKKQTEAEMLYGSTPRTPSKRPGQTPK KSGKVRKMNTTTMSSATPNSSIRPVFGGSVYRSPMSRLPPSGSKSVVTSLCSGKKTPRAA QLRANKENLDLNGSILSARTFKGFQI >ENSMUSP00000133387.1 pep:known chromosome:GRCm38:7:80294505:80316254:1 gene:ENSMUSG00000038943.16 transcript:ENSMUST00000174172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prc1 description:protein regulator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:1858961] MRRSEVLADESITCLQKALTHLREIWELIGIPEEQRLQRTEVVKKHIKDLLDRMIAEEES LRERLLKSISICQKELSTLCSELQVKPFQEEKDTTILQLEKDLRTQVELMRKQKKERKQE LKLLQEQEQELRDILCMPPCDVDSTSVPTLEELKLFRQRVATLRETKESRREEFVNIKKQ IILCMEELEHSPDTSFERDVVCEDESAFCLSLENIATLQKLLKQLEMKKSQNEAECEGLR TQIRELWDRLQIPEEEREPVEAIMTGSKTKIRNALKLEVDRLEELKMQNIKQVIEKIRVE LAQFWDQCFYSQEQRQAFAPYYSEDYTENLLHLHDAEIVRLRNYYDVHKELFQGVQKWEE SWKLFLEFERKASDPGRFTNRGGNLLKEEKERAKLQKTLPKLEEELKARIEQWEQEHSTA FVVNGQKFMEYVTEQWELHRLEKERAKQERQLKNKKQTEAEMLYGSTPRTPSKRPGQTPK KSGKVRKMNTTTMSSATPNSSIRPVFGGSVYRSPMSRLPPSGSKSVVTSLCSGKKTPRAA QLRANKENLDLNGSILSGGYPGSTPLQHNCSIKSVASTYSEFSKDPSLSDSSTVGLQREL SKASRSDATSRILNSTNIQS >ENSMUSP00000133817.1 pep:known chromosome:GRCm38:7:80304728:80310884:1 gene:ENSMUSG00000038943.16 transcript:ENSMUST00000173170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prc1 description:protein regulator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:1858961] XCMEELEHSPDTSFERDVVCEDESAFCLSLENIATLQKLLKQLEMKKSQNEAECEGLRTQ IRELWDRLQIPEEEREPVEAIMTGSKTKIRNALKLEVDRLEELKMQNIKQVIEKIRVELA QFWDQCFYSQEQRQAFAPYYSEDYTENLLHLHDAEIVRLRNYYDVHKELFQGVQKWEESW KLFLEFELEEELKARIEQWEQEHSTAFVVNGQKFMEYVTEQWELHRLEKERAKQE >ENSMUSP00000134262.1 pep:known chromosome:GRCm38:7:80309397:80316257:1 gene:ENSMUSG00000038943.16 transcript:ENSMUST00000174051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prc1 description:protein regulator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:1858961] XASDPGRFTNRGGNLLKEEKERAKLQKTLPKLEEELKARIEQWEQEHSTAFVVNGQKFME YVTEQWELHRLEKERAKQERQLKNKKQTEAEMLYGSTPRTPSKRPGQTPKKSGKVRKMNT TTMSSATPNSSIRPVFGGSVYRSPMSRLPPSGSKSVVTSLCSGKKTPRAAQLRANKENLD LNGSILSGGYPGSTPLQHNCSIKSVASTYSEFSDPSLSDSSTVGLQRELSKASRSDATSR ILNSTNIQS >ENSMUSP00000133618.1 pep:known chromosome:GRCm38:7:80310849:80313494:1 gene:ENSMUSG00000038943.16 transcript:ENSMUST00000172781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prc1 description:protein regulator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:1858961] LHRLEKERAKQERQLKNKKQTEAEMLYGSTPRTPSKRPGQTPKKSGKVRKMNTTTMSSAT PNSSIRPVFGGSVYRSPMSRLPPSGSKSVVTSLCSGKKTPRAAQLRANKENLDLNGSILS GGYPGSTPLQHNCSIKSVASTYSEFSVIHLF >ENSMUSP00000134282.1 pep:known chromosome:GRCm38:7:80311105:80315517:1 gene:ENSMUSG00000038943.16 transcript:ENSMUST00000174111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prc1 description:protein regulator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:1858961] XLYGSTPRTPSKRPGQTPKKSGKVRKMNTTTMSSATPNSSIRPVFGGSVYRSPMSRLPPS GSKSVVTSLCSGKKTPRAAQLRANKENLDLNGSILSEGPVPL >ENSMUSP00000134548.1 pep:known chromosome:GRCm38:7:80312308:80313697:1 gene:ENSMUSG00000038943.16 transcript:ENSMUST00000174599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prc1 description:protein regulator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:1858961] XPPSGSKSVVTSLCSGKKTPRAAQLRANKENLDLNGSILSEGPVPL >ENSMUSP00000049404.7 pep:known chromosome:GRCm38:2:167035793:167063015:-1 gene:ENSMUSG00000039501.14 transcript:ENSMUST00000048988.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znfx1 description:zinc finger, NFX1-type containing 1 [Source:MGI Symbol;Acc:MGI:2138982] MEDRRPHLEARPRNPPANHRGPMDGELPPRARNQTNNPAATNHAGRHLRASNHPAPFRQR EERFRAMGRNPHQGRRNQEGHTSDEARDQRQSQNDTRRRNDDQEGRSHRPPWSSDTFQQW HTPPQKPGEQPQQTKRLGYKFLESLLQKEPSEVAITLATSLGLKELLSHSSMKPSFLQLI CQVLRKACSSRIDRQSILHVLGILNNSKFLRVCLPAYVVGMITEPSPDIRNQYPEHISNI ISLLQDLVSVFPASSMQETSMLISLLPTSLNALRASGVDIEEETEKNLEKVQAIIKYLQE KRRQGSLRVDTYTLVQAEAEGEVESYRAMPIYPTYNEVHLDEKPFLRPNIISGKYESTAV YLDTHFRLLREDFVRPLREGILKLLQSFEDQCLRKRKFDDIRIYFDARIITPMCSASGIV YKVQFDTKPLKLVRWQNSKRLLYGSLVCMSKDNFETFLFATVSNREHEDLCQGIVQLCFN EQSQQLLADVQPSDSFLMVETTAYFEAYRHVLEGLQEVQEEDVPFQRNIVQCDSYVRNPR YLLMGGRYDFTPLMENPSAMRKSLRGAEALRHPRINVFDFGQWPSKEALKLDDSQMEALQ FALTKELAIIQGPPGTGKTYVGLKIVQALLTNKSVWQINTQTFPILVVCYTNHALDQFLE GIYGCQKTSIVRVGGRSNSEILKQFTLRELRNKREFRRTLPMHLRRAYMSIVTEMKESEQ KLQEGAQTLECTMHGVLREQHLEKYISAQHWESLMSGPVQDADWVCVQPSKHSMILEWLG LGVGSFTQSASPAGPENTAQAEGEEEEEGEEEGSLIEIAEEADLIQADRVIEEEEVVRPR RRKKEENGTDQELAKMLLAMRLDQEVPGTTAGPEQATEEWETQRGQKKKMKRRVKVELRK LNTMTKAEANGIQDVWQLDLSSRWQLYRLWLQMYQADTRRRILSYERQYRTWAERMAELR LQEDLHILKDAEVVGMTTTGAAKYRQILQQVEPRIVIVEEAAEVLEAHTIATLSKACQHL ILIGDHQQLRPSANVYDLAKNFNLEVSLFERLVKVNIPFVRLNYQHRMRPEIARLLTPHI YQDLENHPSVLKYEQIKGVSSNLFFVEHNFPEQEIQEGKSHQNQHEAHFVVELCQYLLCQ EYLPSQITILTTYTGQLFCLRKLMPVKTFAGIKVHVVDKYQGEENDIILLSLVRSNQEGK VGFLQIPNRICVALSRAKKGMYCIGNMQMLAKVPLWSRIIHTLRENNQIGPSLRLCCQNH PETHTLVSKASDFQKVPEGGCSRPCEFRLACGHVCTRACHPYDSSHKEFQCMKPCQKVLC QDGHRCPNVCFQECQPCQVKVPKIILKCGHKQMVPCSMSESEFCCQEPCSKILRCGHRCS HLCGEDCVRLCSERVTVELKCGHSQLVKCGNVEDIKYGLPVKCTTKCDTTLDCGHPCPGS CHSCFEGRFHERCQQPCKRLLICSHKCQEPCTGECPPCQRTCQNRCVHSQCKKKCGELCS PCVEPCVWRCQHYQCTKLCSEPCNRPPCYVPCTKLLACGHPCIGLCGEPCPKKCRVCQPD EVTQIFFGFEDEPDARFVQLEDCSHIFEVQALDRFMNEQKDDEVAIKLKVCPICQVPIRK NLRYGTSIKQRLEEIEIVKEKIQGSAGEISTSQEQLKALLKSKTLFHQLRPEEFLILQEK LAQKNLSVKDLGLVENSIRFYDHLANLEGSLEKVHCGEQQSVRTRLEQVHEWLAKKRLSF SSQELSDLQSEIQRLTYLVNLLMRCKMAEKVKGSIAEEVSSIRNILEKTSKFTQEDEQLV QKKMDALKTTLPCSGLGISDEERVQIVTAMGVPRGHWFKCPNGHIYVITECGGAMQRSTC PECQEVIGGENHTLERSNHLASEMDGAQHPAWSNTANNFMNFEEIHRMM >ENSMUSP00000072867.5 pep:known chromosome:GRCm38:2:167035799:167043495:-1 gene:ENSMUSG00000039501.14 transcript:ENSMUST00000067584.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znfx1 description:zinc finger, NFX1-type containing 1 [Source:MGI Symbol;Acc:MGI:2138982] MAAVIFTLASVCALLAQTQRGQKKKMKRRVKVELRKLNTMTKAEANGIQDVWQLDLSSRW QLYRLWLQMYQADTRRRILSYERQYRTWAERMAELRLQEDLHILKDAEVVGMTTTGAAKY RQILQQVEPRIVIVEEAAEVLEAHTIATLSKACQHLILIGDHQQLRPSANVYDLAKNFNL EVSLFERLVKVNIPFVRLNYQHRMRPEIARLLTPHIYQDLENHPSVLKYEQIKGVSSNLF FVEHNFPEQEIQEGKSHQNQHEAHFVVELCQYLLCQEYLPSQITILTTYTGQLFCLRKLM PVKTFAGIKVHVVDKYQGEENDIILLSLVRSNQEGKVGFLQIPNRICVALSRAKKGMYCI GNMQMLAKVPLWSRIIHTLRENNQIGPSLRLCCQNHPETHTLVSKASDFQKVPEGGCSRP CEFRLACGHVCTRACHPYDSSHKEFQCMKPCQKVLCQDGHRCPNVCFQECQPCQVKVPKI ILKCGHKQMVPCSMSESEFCCQEPCSKILRCGHRCSHLCGEDCVRLCSERVTVELKCGHS QLVKCGNVEDIKYGLPVKCTTKCDTTLDCGHPCPGSCHSCFEGRFHERCQQPCKRLLICS HKCQEPCTGECPPCQRTCQNRCVHSQCKKKCGELCSPCVEPCVWRCQHYQCTKLCSEPCN RPPCYVPCTKLLACGHPCIGLCGEPCPKKCRVCQPDEVTQIFFGFEDEPDARFVQLEDCS HIFEVQALDRFMNEQKDDEVAIKLKVCPICQVPIRKNLRYGTSIKQRLEEIEIVKEKIQG SAGEISTSQEQLKALLKSKTLFHQLRPEEFLILQEKLAQKNLSVKDLGLVENSIRFYDHL ANLEGSLEKVHCGEQQSVRTRLEQVHEWLAKKRLSFSSQELSDLQSEIQRLTYLVNLLMR CKMAEKVKGSIAEEVSSIRNILEKTSKFTQEDEQLVQKKMDALKTTLPCSGLGISDEERV QIVTAMGVPRGHWFKCPNGHIYVITECGGAMQRSTCPECQEVIGGENHTLERSNHLASEM DGAQHPAWSNTANNFMNFEEIHRMM >ENSMUSP00000121750.1 pep:known chromosome:GRCm38:2:167044032:167060425:-1 gene:ENSMUSG00000039501.14 transcript:ENSMUST00000155281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znfx1 description:zinc finger, NFX1-type containing 1 [Source:MGI Symbol;Acc:MGI:2138982] MEDRRPHLEARPRNPPANHRGPMDGELPPRARNQTNNPAATNHAGRHLRASNHPAPFRQR EERFRAMGRNPHQGRRNQEGHTSDEARDQRQSQNDTRRRNDDQEGRSHRPPWSSDTFQQW HTPPQKPGEQPQQTKRLGYKFLESLLQKEPSEVAITLATSLGLKELLSHSSMKPSFLQLI CQVLRKACSSRIDRQSILHVLGILNNSKFLRVCLPAYVVGMITEPSPDIRNQYPEHISNI ISLLQDLVSVFPASSMQETSMLISLLPTSLNALRASGVDIEEETEKNLEKVQAIIKYLQE KRRQGSLRVDTYTLVQAEAEGEVESYRAMPIYPTYNEVHLDEKPFLRPNIISGKYESTAV YLDTHFRLLREDFVRPLREGILKLLQSFEDQCLRKRKFDDIRIYFDARIITPMCSASGIV YKVQFDTKPLKLVRWQNSKRLLYGSLVCMSKDNFETFLFATVSNREHEDLCQGIVQLCFN EQSQQLLADVQPSDSFLMVETTAYFEAYRHVLEGLQEVQEEDVPFQRNIVQCDSYVRNPR YLLMGGRYDFTPLMENPSAMRKSLRGAEALRHPRINVFDFGQWPSKEALKLDDSQMEALQ FALTKELAIIQGPPGTGKTYVGLKIVQALLTNKSVWQINTQTFPILVVCYTNHALDQFLE GIYGCQKTSIVRVGGRSNSEILKQFTLRELRNKREFRRTLPMHLRRAYMSIVTEMKESEQ KLQEGAQTLECTMHGVLREQHLEKYISAQHWESLMSGPVQDADWVCVQPSKHSMILEWLG LGVGSFTQSASPAGPENTAQAEGEEEEEGEEEGSLIEIAEEADLIQADRVIEEEEVVRPR RRKKEENGTDQELAKMLLAMRLDQEVPGT >ENSMUSP00000121598.1 pep:known chromosome:GRCm38:2:167044117:167062716:-1 gene:ENSMUSG00000039501.14 transcript:ENSMUST00000128676.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znfx1 description:zinc finger, NFX1-type containing 1 [Source:MGI Symbol;Acc:MGI:2138982] MEDRRPHLEARPRNPPANHRGPMDGELPPRARNQTNNPAATNHAGRHLRASNHPAPFRQR EERFRAMGRNPHQGRRNQEGHTSDEARDQRQSQNDTRRRNDDQEGRSHRPPWSSDTFQQW HTPPQKPGEQPQQTKRLGYKFLESLLQKEPSEVAITLATSLGLKELLSHSSMKPSFLQLI CQVLRKACSSRIDRQSILHVLGILNNSKFLRVCLPAYVVGMITEPSPDIRNQYPEHISNI ISLLQDLVSVFPASSMQETSMLISLLPTSLNALRASGVDIEEETEKNLEKVQAIIKYLQE KRRQGSLRVDTYTLVQAEAEGEVESYRAMPIYPTYNEVHLDEKPFLRPNIISGKYESTAV YLDTHFRLLREDFVRPLREGILKLLQSFEDQCLRKRKFDDIRIYFDARIITPMCSASGIV YKVQFDTKPLKLVRWQNSKRLLYGSLVCMSKDNFETFLFATVSNREHEDLCQGIVQLCFN EQSQQLLADVQPSDSFLMVETTAYFEAYRHVLEGLQEVQEEDVPFQRNIVQCDSYVRNPR YLLMGGRYDFTPLMENPSAMRKSLRGAEALRHPRINVFDFGQWPSKEALKLDDSQMEALQ FALTKELAIIQGPPGTGKTYVGLKIVQALLTNKSVWQINTQTFPILVVCYTNHALDQFLE GIYGCQKTSIVRVGGRSNSEILKQFTLRELRNKREFRRTLPMHLRRAYMSIVTEMKESEQ KLQEGAQTLECTMHGVLREQHLEKYISAQHWESLMSGPVQDADWVCVQPSKHSMILEWLG LGVGSFTQSASPAGPENTAQAEGEEEEEGEEEGSLIEIAEEADLIQADRVIEEEEVVRPR >ENSMUSP00000117098.1 pep:known chromosome:GRCm38:5:125017153:125042812:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000125053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] XTSPQKPLDLKQLKQRAAAIPPIVTKVHEPPREDTVPPKPVPPVPPPTQHLQPEGDVSQQ SGGSPRGKSRSPVPPAEKEAEKPAFFPAFPTEGPKLPTEPPRWSSGLPFPIPPREVIKTS PHAADPSAFSYTPPGHPLPLGLHDSARPVLPRPPISNPPPLISSAKHPGVLERQLGAISQ QGMSVQLRVPHSEHAKAPMGPLTMGLPLAVDPKKLAPFSGVKQEQLSPRGQAGPPESLGV PTAQETSVLRGTALGSATSGSITKGLPSTRAADGPSYRGSITHGTPADVLYKGTISRIVG EDSPSRLDRAREDTLPKGHVIYEGKKGHVLSYEGGMSVSQCSKEDGRSSSGPPHETAAPK RTYDMMEGRVGRTVTSASIEGLMGRAIPEQHSPHLKEQHHIRGSITQGIPRSYVEAQEDY LRREAKLLKREGTPPPPPPPRDLTETYKPRPLDPLGPLKLKPTHEGVVATVKEAGRSIHE IPREELRRTPELPLAPRPLKEGSITQGTPLKYDSGAPSTGTKKHDVRSIIGSPGRPFPAL HPLDIMADARALERACYEESLKSRSGTSSGAGGSITRGAPVVVPELGKPRQSPLTYEDHG APFTSHLPRGSPVTTREPTPRLQEGSLLSSKASQDRKLTSTPREIAKSPHSTVPEHHPHP ISPYEHLLRGVTGVDLYRGHIPLAFDPTSIPRGIPLEAAAAAYYLPRHLAPSPTYPHLYP PYLIRGYPDTAALENRQTIINDYITSQQMHHNAASAMAQRADMLRGLSPRESSLALNYAA GPRGIIDLSQVPHLPVLVPPTPGTPATAIDRLAYLPTAPPPFSSRHSSSPLSPGGPTHLA KPTATSSSERERERERERDKSILTSTTTVEHAPIWRPGTEQSSGAGGSSRPASHTHQHSP ISPRTQDALQQRPSVLHNTSMKGVVTSVEPGTPTVLRSTSTSSPVRPAATFPPATHCPLG GTLEGVYPTLMEPVLLPKETSRVARPERPRVDAGHAFLTKPPAREPASSPSKSSEPRSLA PPSSSHTAIARTPAKNLAPHHASPDPPAPTSASDLHREKTQSKPFSIQELELRSLGYHSG AGYSPDGVEPISPVSSPSLTHDKGLSKPLEELEKSHLEGELRHKQPGPMKLSAEAAHLPH LRPLPESQPSSSPLLQTAPGIKGHQRVVTLAQHISEVITQDYTRHHPQQLSGPLPAPLYS FPGASCPVLDLRRPPSDLYLPPPDHGTPARGSPHSEGGKRSPEPSKTSVLGSSEDAIEPV SPPEGMTEPGHARSTAYPLLYRDGEQGEPRMGSKSPGNTSQPPAFFSKLTESNSAMVKSK KQEINKKLNTHNRNEPEYNIGQPGTEIFNMPAITGAGLMTCRSQAVQEHASTNMGLEAII RKALMGKYDQWEEPPPLGANAFNPLNASASLPAAAMPITTADGRSDHALTSPGGGGKAKV SGRPSSRKAKSPAPGLASGDRPPSVSSVHSEGDCNRRTPLTNRVWEDRPSSAGSTPFPYN PLIMRLQAGVMASPPPPGLAAGSGPLAGPHHAWDEEPKPLLCSQYETLSDSE >ENSMUSP00000107029.1 pep:known chromosome:GRCm38:5:125017153:125179219:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000111398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] MSGSTQPVAQTWRAAEPRYPPHGISYPVQIARSHTDVGLLEYQHHPRDYTSHLSPGSIIQ PQRRRPSLLSEFQPGSERSQELHLRPESRTFLPELGKPDIEFTESKRPRLELLPDTLLRP SPLLATGQPSGSEDLTKDRSLAGKLEPVSPPSPPHADPELELAPSRLSKEELIQNMDRVD REITMVEQQISKLKKKQQQLEEEAAKPPEPEKPVSPPPIESKHRSLVQIIYDENRKKAEA AHRILEGLGPQVELPLYNQPSDTRQYHENIKINQAMRKKLILYFKRRNHARKQWEQRFCQ RYDQLMEAWEKKVERIENNPRRRAKESKVREYYEKQFPEIRKQRELQERMQSRVGQRGSG LSMSAARSEHEVSEIIDGLSEQENLEKQMRQLAVIPPMLYDADQQRIKFINMNGLMDDPM KVYKDRQVTNMWSEQERDTFREKFMQHPKNFGLIASFLERKTVAECVLYYYLTKKNENYK SLVRRSYRRRGKSQQQQQQQQQQQQQQMARSSQEEKEEKEKEKEADKEEEKQDAENEKEE LSKEKTDDTSGEDNDEKEAVASKGRKTANSQGRRKGRITRSMANEANHEETATPQQSSEL ASMEMNESSRWTEEEMETAKKGLLEHGRNWSAIARMVGSKTVSQCKNFYFNYKKRQNLDE ILQQHKLKMEKERNARRKKKKTPAAASEETAFPPAAEDEEMEASGASANEEELAEEAEAS QASGNEVPRVGECSGPAAVNNSSDTESVPSPRSEATKDTGPKPTGTEALPAATQPPVPPP EEPAVAPAEPSPVPDASGPPSPEPSPSPAAPPATVDKDEQEAPAAPAPQTEDAKEQKSEA EEIDVGKPEEPEASEEPPESVKSDHKEETEEEPEDKAKGTEAIETVSEAPLKVEEAGSKA AVTKGSSSGATQDSDSSATCSADEVDEPEGGDKGRLLSPRPSLLTPAGDPRASTSPQKPL DLKQLKQRAAAIPPIVTKVHEPPREDTVPPKPVPPVPPPTQHLQPEGDVSQQSGGSPRGK SRSPVPPAEKEAEKPAFFPAFPTEGPKLPTEPPRWSSGLPFPIPPREVIKTSPHAADPSA FSYTPPGHPLPLGLHDSARPVLPRPPISNPPPLISSAKHPGVLERQLGAISQQGMSVQLR VPHSEHAKAPMGPLTMGLPLAVDPKKLGTALGSATSGSITKGLPSTRAADGPSYRGSITH GTPADVLYKGTISRIVGEDSPSRLDRAREDTLPKGHVIYEGKKGHVLSYEGGMSVSQCSK EDGRSSSGPPHETAAPKRTYDMMEGRVGRTVTSASIEGLMGRAIPEQHSPHLKEQHHIRG SITQGIPRSYVEAQEDYLRREAKLLKREGTPPPPPPPRDLTETYKPRPLDPLGPLKLKPT HEGVVATVKEAGRSIHEIPREELRRTPELPLAPRPLKEGSITQGTPLKYDSGAPSTGTKK HDVRSIIGSPGRPFPALHPLDIMADARALERACYEESLKSRSGTSSGAGGSITRGAPVVV PELGKPRQSPLTYEDHGAPFTSHLPRGSPVTTREPTPRLQEGSLLSSKASQDRKLTSTPR EIAKSPHSTVPEHHPHPISPYEHLLRGVTGVDLYRGHIPLAFDPTSIPRGIPLEAAAAAY YLPRHLAPSPTYPHLYPPYLIRGYPDTAALENRQTIINDYITSQQMHHNAASAMAQRADM LRGLSPRESSLALNYAAGPRGIIDLSQVPHLPVLVPPTPGTPATAIDRLAYLPTAPPPFS SRHSSSPLSPGGPTHLAKPTATSSSERERERERERDKSILTSTTTVEHAPIWRPGTEQSS GAGGSSRPASHTHQHSPISPRTQDALQQRPSVLHNTSMKGVVTSVEPGTPTVLRWARSTS TSSPVRPAATFPPATHCPLGGTLEGVYPTLMEPVLLPKETSRVARPERPRVDAGHAFLTK PPAREPASSPSKSSEPRSLAPPSSSHTAIARTPAKNLAPHHASPDPPAPTSASDLHREKT QSKPFSIQELELRSLGYHSGAGYSPDGVEPISPVSSPSLTHDKGLSKPLEELEKSHLEGE LRHKQPGPMKLSAEAAHLPHLRPLPESQPSSSPLLQTAPGIKGHQRVVTLAQHISEVITQ DYTRHHPQQLSGPLPAPLYSFPGASCPVLDLRRPPSDLYLPPPDHGTPARGSPHSEGGKR SPEPSKTSVLGSSEDAIEPVSPPEGMTEPGHARSTAYPLLYRDGEQGEPRMGSKSPGNTS QPPAFFSKLTESNSAMVKSKKQEINKKLNTHNRNEPEYNIGQPGTEIFNMPAITGAGLMT CRSQAVQEHASTNMGLEAIIRKALMGKYDQWEEPPPLGANAFNPLNASASLPAAAMPITT ADGRSDHALTSPGGGGKAKVSGRPSSRKAKSPAPGLASGDRPPSVSSVHSEGDCNRRTPL TNRVWEDRPSSAGSTPFPYNPLIMRLQAGVMASPPPPGLAAGSGPLAGPHHAWDEEPKPL LCSQYETLSDSE >ENSMUSP00000107024.1 pep:known chromosome:GRCm38:5:125017155:125179180:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000111393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] MDRVDREITMVEQQISKLKKKQQQLEEEAAKPPEPEKPVSPPPIESKHRSLVQIIYDENR KKAEAAHRILEGLGPQVELPLYNQPSDTRQYHENIKINQAMRKKLILYFKRRNHARKQWE QRFCQRYDQLMEAWEKKVERIENNPRRRAKESKVREYYEKQFPEIRKQRELQERMQSRVG QRGSGLSMSAARSEHEVSEIIDGLSEQENLEKQMRQLAVIPPMLYDADQQRIKFINMNGL MDDPMKVYKDRQVTNMWSEQERDTFREKFMQHPKNFGLIASFLERKTVAECVLYYYLTKK NENYKSLVRRSYRRRGKSQQQQQQQQQQQQQQMARSSQEEKEEKEKEKEADKEEEKQDAE NEKEELSKEKTDDTSGEDNDEKEAVASKGRKTANSQGRRKGRITRSMANEANHEETATPQ QSSELASMEMNESSRWTEEEMETAKKGLLEHGRNWSAIARMVGSKTVSQCKNFYFNYKKR QNLDEILQQHKLKMEKERNARRKKKKTPAAASEETAFPPAAEDEEMEASGASANEEELAE EAEASQASGNEVPRVGECSGPAAVNNSSDTESVPSPRSEATKDTGPKPTGTEALPAATQP PVPPPEEPAVAPAEPSPVPDASGPPSPEPSPSPAAPPATVDKDEQEAPAAPAPQTEDAKE QKSEAEEIDVGKPEEPEASEEPPESVKSDHKEETEEEPEDKAKGTEAIETVSEAPLKVEE AGSKAAVTKGSSSGATQDSDSSATCSADEVDEPEGGDKGRLLSPRPSLLTPAGDPRASTS PQKPLDLKQLKQRAAAIPPIVTKVHEPPREDTVPPKPVPPVPPPTQHLQPEGDVSQQSGG SPRGKSRSPVPPAEKEAEKPAFFPAFPTEGPKLPTEPPRWSSGLPFPIPPREVIKTSPHA ADPSAFSYTPPGHPLPLGLHDSARPVLPRPPISNPPPLISSAKHPGVLERQLGAISQQGM SVQLRVPHSEHAKAPMGPLTMGLPLAVDPKKLAPFSGVKQEQLSPRGQAGPPESLGVPTA QETSVLRGTALGSATSGSITKGLPSTRAADGPSYRGSITHGTPADVLYKGTISRIVGEDS PSRLDRAREDTLPKGHVIYEGKKGHVLSYEGGMSVSQCSKEDGRSSSGPPHETAAPKRTY DMMEGRVGRTVTSASIEGLMGRAIPEQHSPHLKEQHHIRGSITQGIPRSYVEAQEDYLRR EAKLLKREGTPPPPPPPRDLTETYKPRPLDPLGPLKLKPTHEGVVATVKEAGRSIHEIPR EELRRTPELPLAPRPLKEGSITQGTPLKYDSGAPSTGTKKHDVRSIIGSPGRPFPALHPL DIMADARALERACYEESLKSRSGTSSGAGGSITRGAPVVVPELGKPRQSPLTYEDHGAPF TSHLPRGSPVTTREPTPRLQEGSLLSSKASQDRKLTSTPREIAKSPHSTVPEHHPHPISP YEHLLRGVTGVDLYRGHIPLAFDPTSIPRGIPLEAAAAAYYLPRHLAPSPTYPHLYPPYL IRGYPDTAALENRQTIINDYITSQQMHHNAASAMAQRADMLRGLSPRESSLALNYAAGPR GIIDLSQVPHLPVLVPPTPGTPATAIDRLAYLPTAPPPFSSRHSSSPLSPGGPTHLAKPT ATSSSERERERERERDKSILTSTTTVEHAPIWRPGTEQSSGAGGSSRPASHTHQHSPISP RTQDALQQRPSVLHNTSMKGVVTSVEPGTPTVLRWARSTSTSSPVRPAATFPPATHCPLG GTLEGVYPTLMEPVLLPKETSRVARPERPRVDAGHAFLTKPPAREPASSPSKSSEPRSLA PPSSSHTAIARTPAKNLAPHHASPDPPAPTSASDLHREKTQSKPFSIQELELRSLGYHSG AGYSPDGVEPISPVSSPSLTHDKGLSKPLEELEKSHLEGELRHKQPGPMKLSAEAAHLPH LRPLPESQPSSSPLLQTAPGIKGHQRVVTLAQHISEVITQDYTRHHPQQLSGPLPAPLYS FPGASCPVLDLRRPPSDLYLPPPDHGTPARGSPHSEGGKRSPEPSKTSVLGSSEDAIEPV SPPEGMTEPGHARSTAYPLLYRDGEQGEPRMGSKSPGNTSQPPAFFSKLTESNSAMVKSK KQEINKKLNTHNRNEPEYNIGQPGTEIFNMPAITGAGLMTCRSQAVQEHASTNMGLEAII RKALMGKYDQWEEPPPLGANAFNPLNASASLPAAAMPITTADGRSDHALTSPGGGGKAKV SGRPSSRKAKSPAPGLASGDRPPSVSSVHSEGDCNRRTPLTNRVWEDRPSSAGSTPFPYN PLIMRLQAGVMASPPPPGLAAGSGPLAGPHHAWDEEPKPLLCSQYETLSDSE >ENSMUSP00000083250.4 pep:known chromosome:GRCm38:5:125017162:125179053:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000086083.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] MSGSTQPVAQTWRAAEPRYPPHGISYPVQIARSHTDVGLLEYQHHPRDYTSHLSPGSIIQ PQRRRPSLLSEFQPGSERSQELHLRPESRTFLPELGKPDIEFTESKRPRLELLPDTLLRP SPLLATGQPSGSEDLTKDRSLAGKLEPVSPPSPPHADPELELAPSRLSKEELIQNMDRVD REITMVEQQISKLKKKQQQLEEEAAKPPEPEKPVSPPPIESKHRSLVQIIYDENRKKAEA AHRILEGLGPQVELPLYNQPSDTRQYHENIKINQAMRKKLILYFKRRNHARKQWEQRFCQ RYDQLMEAWEKKVERIENNPRRRAKESKVREYYEKQFPEIRKQRELQERMQSRVGQRGSG LSMSAARSEHEVSEIIDGLSEQENLEKQMRQLAVIPPMLYDADQQRIKFINMNGLMDDPM KVYKDRQVTNMWSEQERDTFREKFMQHPKNFGLIASFLERKTVAECVLYYYLTKKNENYK SLVRRSYRRRGKSQQQQQQQQQQQQQQMARSSQEEKEEKEKEKEADKEEEKQDAENEKEE LSKEKTDDTSGEDNDEKEAVASKGRKTANSQGRRKGRITRSMANEANHEETATPQQSSEL ASMEMNESSRWTEEEMETAKKGLLEHGRNWSAIARMVGSKTVSQCKNFYFNYKKRQNLDE ILQQHKLKMEKERNARRKKKKTPAAASEETAFPPAAEDEEMEASGASANEEELAEEAEAS QASGNEVPRVGECSGPAAVNNSSDTESVPSPRSEATKDTGPKPTGTEALPAATQPPVPPP EEPAVAPAEPSPVPDASGPPSPEPSPSPAAPPATVDKDEQEAPAAPAPQTEDAKEQKSEA EEIDVGKPEEPEASEEPPESVKSDHKEETEEEPEDKAKGTEAIETVSEAPLKVEEAGSKA AVTKGSSSGATQDSDSSATCSADEVDEPEGGDKGRLLSPRPSLLTPAGDPRASTSPQKPL DLKQLKQRAAAIPPIVTKVHEPPREDTVPPKPVPPVPPPTQHLQPEGDVSQQSGGSPRGK SRSPVPPAEKEAEKPAFFPAFPTEGPKLPTEPPRWSSGLPFPIPPREVIKTSPHAADPSA FSYTPPGHPLPLGLHDSARPVLPRPPISNPPPLISSAKHPGVLERQLGAISQGMSVQLRV PHSEHAKAPMGPLTMGLPLAVDPKKLGTALGSATSGSITKGLPSTRAADGPSYRGSITHG TPADVLYKGTISRIVGEDSPSRLDRAREDTLPKGHVIYEGKKGHVLSYEGGMSVSQCSKE DGRSSSGPPHETAAPKRTYDMMEGRVGRTVTSASIEGLMGRAIPEQHSPHLKEQHHIRGS ITQGIPRSYVEAQEDYLRREAKLLKREGTPPPPPPPRDLTETYKPRPLDPLGPLKLKPTH EGVVATVKEAGRSIHEIPREELRRTPELPLAPRPLKEGSITQGTPLKYDSGAPSTGTKKH DVRSIIGSPGRPFPALHPLDIMADARALERACYEESLKSRSGTSSGAGGSITRGAPVVVP ELGKPRQSPLTYEDHGAPFTSHLPRGSPVTTREPTPRLQEGSLLSSKASQDRKLTSTPRE IAKSPHSTVPEHHPHPISPYEHLLRGVTGVDLYRGHIPLAFDPTSIPRGIPLEAAAAAYY LPRHLAPSPTYPHLYPPYLIRGYPDTAALENRQTIINDYITSQQMHHNAASAMAQRADML RGLSPRESSLALNYAAGPRGIIDLSQVPHLPVLVPPTPGTPATAIDRLAYLPTAPPPFSS RHSSSPLSPGGPTHLAKPTATSSSERERERERERDKSILTSTTTVEHAPIWRPGTEQSSG AGGSSRPASHTHQHSPISPRTQDALQQRPSVLHNTSMKGVVTSVEPGTPTVLRSTSTSSP VRPAATFPPATHCPLGGTLEGVYPTLMEPVLLPKETSRVARPERPRVDAGHAFLTKPPAR EPASSPSKSSEPRSLAPPSSSHTAIARTPAKNLAPHHASPDPPAPTSASDLHREKTQSKP FSIQELELRSLGYHSGAGYSPDGVEPISPVSSPSLTHDKGLSKPLEELEKSHLEGELRHK QPGPMKLSAEAAHLPHLRPLPESQPSSSPLLQTAPGIKGHQRVVTLAQHISEVITQDYTR HHPQQLSGPLPAPLYSFPGASCPVLDLRRPPSDLYLPPPDHGTPARGSPHSEGGKRSPEP SKTSVLGSSEDAIEPVSPPEGMTEPGHARSTAYPLLYRDGEQGEPRMGSKSPGNTSQPPA FFSKLTESNSAMVKSKKQEINKKLNTHNRNEPEYNIGQPGTEIFNMPAITGAGLMTCRSQ AVQEHASTNMGLEAIIRKALMGKYDQWEEPPPLGANAFNPLNASASLPAAAMPITTADGR SDHALTSPGGGGKAKVSGRPSSRKAKSPAPGLASGDRPPSVSSVHSEGDCNRRTPLTNRV WEDRPSSAGSTPFPYNPLIMRLQAGVMASPPPPGLAAGSGPLAGPHHAWDEEPKPLLCSQ YETLSDSE >ENSMUSP00000055954.7 pep:known chromosome:GRCm38:5:125017835:125124900:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000055256.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] MSGSTQPVAQTWRAAEPRYPPHGISYPVQIARSHTDVGLLEYQHHPRDYTSHLSPGSIIQ PQRRRPSLLSEFQPGSERSQELHLRPESRTFLPELGKPDIEFTESKRPRLELLPDTLLRP SPLLATGQPSGSEDLTKDRSLAGKLEPVSPPSPPHADPELELAPSRLSKEELIQNMDRVD REITMVEQQISKLKKKQQQLEEEAAKPPEPEKPVSPPPIESKHRSLVQIIYDENRKKAEA AHRILEGLGPQVELPLYNQPSDTRQYHENIKINQAMRKKLILYFKRRNHARKQWEQRFCQ RYDQLMEAWEKKVERIENNPRRRAKESKVREYYEKQFPEIRKQRELQERMQSRVGQRGSG LSMSAARSEHEVSEIIDGLSEQENLEKQMRQLAVIPPMLYDADQQRIKFINMNGLMDDPM KVYKDRQVTNMWSEQERDTFREKFMQHPKNFGLIASFLERKTVAECVLYYYLTKKNENYK SLVRRSYRRRGKSQQQQQQQQQQQQQQMARSSQEEKEEKEKEKEADKEEEKQDAENEKEE LSKEKTDDTSGEDNDEKEAVASKGRKTANSQGRRKGRITRSMANEANHEETATPQQSSEL ASMEMNESSRWTEEEMETAKKGLLEHGRNWSAIARMVGSKTVSQCKNFYFNYKKRQNLDE ILQQHKLKMEKERNARRKKKKTPAAASEETAFPPAAEDEEMEASGASANEEELAEEAEAS QASGNEVPRVGECSGPAAVNNSSDTESVPSPRSEATKDTGPKPTGTEALPAATQPPVPPP EEPAVAPAEPSPVPDASGPPSPEPSPSPAAPPATVDKDEQEAPAAPAPQTEDAKEQKSEA EEIDVGKPEEPEASEEPPESVKSDHKEETEEEPEDKAKGTEAIETVSEAPLKVEEAGSKA AVTKGSSSGATQDSDSSATCSADEVDEPEGGDKGRLLSPRPSLLTPAGDPRASTSPQKPL DLKQLKQRAAAIPPIQVTKVHEPPREDTVPPKPVPPVPPPTQHLQPEGDVSQQSGGSPRG KSRSPVPPAEKEAEKPAFFPAFPTEGPKLPTEPPRWSSGLPFPIPPREVIKTSPHAADPS AFSYTPPGHPLPLGLHDSARPVLPRPPISNPPPLISSAKHPGVLERQLGAISQQGMSVQL RVPHSEHAKAPMGPLTMGLPLAVDPKKLGTALGSATSGSITKGLPSTRAADGPSYRGSIT HGTPADVLYKGTISRIVGEDSPSRLDRAREDTLPKGHVIYEGKKGHVLSYEGGMSVSQCS KEDGRSSSGPPHETAAPKRTYDMMEGRVGRTVTSASIEGLMGRAIPEQHSPHLKEQHHIR GSITQGIPRSYVEAQEDYLRREAKLLKREGTPPPPPPPRDLTETYKPRPLDPLGPLKLKP THEGVVATVKEAGRSIHEIPREELRRTPELPLAPRPLKEGSITQGTPLKYDSGAPSTGTK KHDVRSIIGSPGRPFPALHPLDIMADARALERACYEESLKSRSGTSSGAGGSITRGAPVV VPELGKPRQSPLTYEDHGAPFTSHLPRGSPVTTREPTPRLQEGSLLSSKASQDRKLTSTP REIAKSPHSTVPEHHPHPISPYEHLLRGVTGVDLYRGHIPLAFDPTSIPRGIPLEAAAAA YYLPRHLAPSPTYPHLYPPYLIRGYPDTAALENRQTIINDYITSQQMHHNAASAMAQRAD MLRGLSPRESSLALNYAAGPRGIIDLSQVPHLPVLVPPTPGTPATAIDRLAYLPTAPPPF SSRHSSSPLSPGGPTHLAKPTATSSSERERERERERDKSILTSTTTVEHAPIWRPGTEQS SGAGGSSRPASHTHQHSPISPRTQDALQQRPSVLHNTSMKGVVTSVEPGTPTVLRWARST STSSPVRPAATFPPATHCPLGGTLEGVYPTLMEPVLLPKETSRVARPERPRVDAGHAFLT KPPAREPASSPSKSSEPRSLAPPSSSHTAIARTPAKNLAPHHASPDPPAPTSASDLHREK TQSKPFSIQELELRSLGYHSGAGYSPDGVEPISPVSSPSLTHDKGLSKPLEELEKSHLEG ELRHKQPGPMKLSAEAAHLPHLRPLPESQPSSSPLLQTAPGIKGHQRVVTLAQHISEVIT QDYTRHHPQQLSGPLPAPLYSFPGASCPVLDLRRPPSDLYLPPPDHGTPARGSPHSEGGK RSPEPSKTSVLGSSEDAIEPVSPPEGMTEPGHARSTAYPLLYRDGEQGEPRMGSKSPGNT SQPPAFFSKLTESNSAMVKSKKQEINKKLNTHNRNEPEYNIGQPGTEIFNMPAITGAGLM TCRSQAVQEHASTNMGLEAIIRKALMGKYDQWEEPPPLGANAFNPLNASASLPAAAMPIT TADGRSDHALTSPGGGGKAKVSGRPSSRKAKSPAPGLASGDRPPSVSSVHSEGDCNRRTP LTNRVWEDRPSSAGSTPFPYNPLIMRLQAGVMASPPPPGLAAGSGPLAGPHHAWDEEPKP LLCSQYETLSDSE >ENSMUSP00000107025.1 pep:known chromosome:GRCm38:5:125017835:125179202:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000111394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] MSGSTQPVAQTWRAAEPRYPPHGISYPVQIARSHTPLYNQPSDTRQYHENIKINQAMRKK LILYFKRRNHARKQWEQRFCQRYDQLMEAWEKKVERIENNPRRRAKESKVREYYEKQFPE IRKQRELQERMQSRVGQRGSGLSMSAARSEHEVSEIIDGLSEQENLEKQMRQLAVIPPML YDADQQRIKFINMNGLMDDPMKVYKDRQVTNMWSEQERDTFREKFMQHPKNFGLIASFLE RKTVAECVLYYYLTKKNENYKSLVRRSYRRRGKSQQQQQQQQQQQQQQMARSSQEEKEEK EKEKEADKEEEKQDAENEKEELSKEKTDDTSGEDNDEKEAVASKGRKTANSQGRRKGRIT RSMANEANHEETATPQQSSELASMEMNESSRWTEEEMETAKKGLLEHGRNWSAIARMVGS KTVSQCKNFYFNYKKRQNLDEILQQHKLKMEKERNARRKKKKTPAAASEETAFPPAAEDE EMEASGASANEEELAEEAEASQASGNEVPRVGECSGPAAVNNSSDTESVPSPRSEATKDT GPKPTGTEALPAATQPPVPPPEEPAVAPAEPSPVPDASGPPSPEPSPSPAAPPATVDKDE QEAPAAPAPQTEDAKEQKSEAEEIDVGKPEEPEASEEPPESVKSDHKEETEEEPEDKAKG TEAIETVSEAPLKVEEAGSKAAVTKGSSSGATQDSDSSATCSADEVDEPEGGDKGRLLSP RPSLLTPAGDPRASTSPQKPLDLKQLKQRAAAIPPIVTKVHEPPREDTVPPKPVPPVPPP TQHLQPEGDVSQQSGGSPRGKSRSPVPPAEKEAEKPAFFPAFPTEGPKLPTEPPRWSSGL PFPIPPREVIKTSPHAADPSAFSYTPPGHPLPLGLHDSARPVLPRPPISNPPPLISSAKH PGVLERQLGAISQQGMSVQLRVPHSEHAKAPMGPLTMGLPLAVDPKKLGTALGSATSGSI TKGLPSTRAADGPSYRGSITHGTPADVLYKGTISRIVGEDSPSRLDRAREDTLPKGHVIY EGKKGHVLSYEGGMSVSQCSKEDGRSSSGPPHETAAPKRTYDMMEGRVGRTVTSASIEGL MGRAIPEQHSPHLKEQHHIRGSITQGIPRSYVEAQEDYLRREAKLLKREGTPPPPPPPRD LTETYKPRPLDPLGPLKLKPTHEGVVATVKEAGRSIHEIPREELRRTPELPLAPRPLKEG SITQGTPLKYDSGAPSTGTKKHDVRSIIGSPGRPFPALHPLDIMADARALERACYEESLK SRSGTSSGAGGSITRGAPVVVPELGKPRQSPLTYEDHGAPFTSHLPRGSPVTTREPTPRL QEGSLLSSKASQDRKLTSTPREIAKSPHSTVPEHHPHPISPYEHLLRGVTGVDLYRGHIP LAFDPTSIPRGIPLEAAAAAYYLPRHLAPSPTYPHLYPPYLIRGYPDTAALENRQTIIND YITSQQMHHNAASAMAQRADMLRGLSPRESSLALNYAAGPRGIIDLSQVPHLPVLVPPTP GTPATAIDRLAYLPTAPPPFSSRHSSSPLSPGGPTHLAKPTATSSSERERERERERDKSI LTSTTTVEHAPIWRPGTEQSSGAGGSSRPASHTHQHSPISPRTQDALQQRPSVLHNTSMK GVVTSVEPGTPTVLRWARSTSTSSPVRPAATFPPATHCPLGGTLEGVYPTLMEPVLLPKE TSRVARPERPRVDAGHAFLTKPPAREPASSPSKSSEPRSLAPPSSSHTAIARTPAKNLAP HHASPDPPAPTSASDLHREKTQSKPFSIQELELRSLGYHSGAGYSPDGVEPISPVSSPSL THDKGLSKPLEELEKSHLEGELRHKQPGPMKLSAEAAHLPHLRPLPESQPSSSPLLQTAP GIKGHQRVVTLAQHISEVITQDYTRHHPQQLSGPLPAPLYSFPGASCPVLDLRRPPSDLY LPPPDHGTPARGSPHSEGGKRSPEPSKTSVLGSSEDAIEPVSPPEGMTEPGHARSTAYPL LYRDGEQGEPRMGSKSPGNTSQPPAFFSKLTESNSAMVKSKKQEINKKLNTHNRNEPEYN IGQPGTEIFNMPAITGAGLMTCRSQAVQEHASTNMGLEAIIRKALMGKYDQWEEPPPLGA NAFNPLNASASLPAAAMPITTADGRSDHALTSPGGGGKAKVSGRPSSRKAKSPAPGLASG DRPPSVSSVHSEGDCNRRTPLTNRVWEDRPSSAGSTPFPYNPLIMRLQAGVMASPPPPGL AAGSGPLAGPHHAWDEEPKPLLCSQYETLSDSE >ENSMUSP00000117813.2 pep:known chromosome:GRCm38:5:125017893:125025522:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000138890.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] LRPLPESQPSSSPLLQTAPGIKGHQRVVTLAQHISEVITQDYTRHHPQQLSGPLPAPLYS FPGASCPVLDLRRPPSDLYLPPPDHGTPARGSPHSEGGKRSPEPSKTSVLGSSEDAIEPV SPPEGMTEPGHARSTAYPLLYRDGEQGEPRMGSKSPGNTSQPPAFFSKLTESNSAMVKSK KQEINKKLNTHNRNEPEYNIGQPGTEIFNMPAITGAGGGGKAKVSGRPSSRKAKSPAPGL ASGDRPPSVSSVHSEGDCNRRTPLTNRVWEDRPSSAGSTPFPYNPLIMRLQAGVMASPPP PGLAAGSGPLAGPHHAWDEEPKPLLCSQYETLSDSE >ENSMUSP00000142725.1 pep:known chromosome:GRCm38:5:125018135:125025061:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000200297.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] XPTMAPQPGDPPTVKGAKGPQNPAKHRSWAAARMPLSLCPHQRA >ENSMUSP00000115776.1 pep:known chromosome:GRCm38:5:125025096:125026924:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000134819.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] XNLAPHHASPDPPAPTSASDLHREKTQSKPFSIQELELRSLGKTTLTAATFIDAIITRQI AHERGPREGGSLANDSPGDGYHSGAGYSPDGVEPISPVSSPSLTHDKGLSKPLEELEKSH LEGELRHKQPGPMKLSAEAAHLPHLRPLPESQPSSSPLLQTAPGIKGHQRVVTLAQHISE VITQDYTRHHPQQLSGPLPAPLYSFPGASCPVLDL >ENSMUSP00000121588.1 pep:known chromosome:GRCm38:5:125030800:125058400:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000134404.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] MFPENLAEGETQMRGCLLEHGRNWSAIARMVGSKTVSQCKNFYFNYKKRQNLDEILQQHK LKMPGPGLRHRASPAVTATVLSQEKERNARRKKKKTPAAASEETAFPPAAEDEEMEASGA SANEEELAEEAEASQASGNEVPRVGECSGPAAVNNSSDTESVPSPRSEATKDTGPKPTGT EALPAATQPPVPPPEEPAVAPAEPSPVPDASGPPSPEPSPSPAAPPATVDKDEQEAPAAP APQTEDAKEQKSEAEEIDVGKPEEPEASEEPPESVKSDHKEETEEEPEDKAKGTEAIETV SEAPLKVEEAGSKAAVTKGSSSGATQDSDSSATCSADEVDEPEGGDKGRLLSPRPSLLTP AGDPRASTSPQKPLDLKQLKQRAAAIPPIVTKVHEPPREDTVPPKPVPPVPPPTQHLQPE GDVSQQSGGSPRGKSRSPVPPAEKEAEKPAFFPAFPTEGPKLPTEPPRWSSGLPFPIPPR EVIKTSPHAADPSAFSYTPPGHPLPLGLHDSARPVLPRPPISNPPPLISSAKHPGVLERQ LGAISQGMSVQLRVPHSEHAKAPMGPLTMGLPLAVDPKKLAPFSGVKQEQLSPRGQAGPP ESLGVPTAQETSVLRGTALGSATSGSITKGLPSTRAADGPSYRGSITHGTPADVLYKGTI SRIVGEDSPSRLDRAREDTLPKGHVIYEGKKGHVLSYEGGMSVSQCSKEDGRSSSGPPHE TAAPKRTYDMMEGRVGRTVTSASIEGLMGRAIPEQHSPHLKEQHHIRGSITQGIPRSYVE AQEDYLRREAKLLKREGTPPPPPPPRDLTETYKPRPLDPLGPLKLKPTHEGVVATVKEAG RSIHEIPREELRRTPELPLAPRPLKEGSITQGTPLKYDSGAPSTGTKKHDVRSIIGSPGR PFPALHPLDIMADARALERACYEESLKSRSGTSSGAGGSITRGAPVVVPELGKPRQSPLT YEDHGAPFTSHLPRGSPVTTREPTPRLQEGSLLSSKASQDRKLTSTPREIAKSPHSTVPE HHPHP >ENSMUSP00000142862.1 pep:known chromosome:GRCm38:5:125050940:125058411:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000199561.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] MFPENLAEGETQMRGCLLEHGRNWSAIARMVGSKTVSQCKNFYFNYKKRQNLDEILQQHK LKMEKERNARRKKKKTPAAASEETAFPPAAEDEEMEASGASA >ENSMUSP00000107033.2 pep:known chromosome:GRCm38:5:125017153:125179214:-1 gene:ENSMUSG00000029478.16 transcript:ENSMUST00000111402.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncor2 description:nuclear receptor co-repressor 2 [Source:MGI Symbol;Acc:MGI:1337080] MSGSTQPVAQTWRAAEPRYPPHGISYPVQIARSHTDVGLLEYQHHPRDYTSHLSPGSIIQ PQRRRPSLLSEFQPGSERSQELHLRPESRTFLPELGKPDIEFTESKRPRLELLPDTLLRP SPLLATGQPSGSEDLTKDRSLAGKLEPVSPPSPPHADPELELAPSRLSKEELIQNMDRVD REITMVEQQISKLKKKQQQLEEEAAKPPEPEKPVSPPPIESKHRSLVQIIYDENRKKAEA AHRILEGLGPQVELPLYNQPSDTRQYHENIKINQAMRKKLILYFKRRNHARKQWEQRFCQ RYDQLMEAWEKKVERIENNPRRRAKESKVREYYEKQFPEIRKQRELQERMQSRVGQRGSG LSMSAARSEHEVSEIIDGLSEQENLEKQMRQLAVIPPMLYDADQQRIKFINMNGLMDDPM KVYKDRQVTNMWSEQERDTFREKFMQHPKNFGLIASFLERKTVAECVLYYYLTKKNENYK SLVRRSYRRRGKSQQQQQQQQQQQQQQMARSSQEEKEEKEKEKEADKEEEKQDAENEKEE LSKEKTDDTSGEDNDEKEAVASKGRKTANSQGRRKGRITRSMANEANHEETATPQQSSEL ASMEMNESSRWTEEEMETAKKGLLEHGRNWSAIARMVGSKTVSQCKNFYFNYKKRQNLDE ILQQHKLKMEKERNARRKKKKTPAAASEETAFPPAAEDEEMEASGASANEEELAEEAEAS QASGNEVPRVGECSGPAAVNNSSDTESVPSPRSEATKDTGPKPTGTEALPAATQPPVPPP EEPAVAPAEPSPVPDASGPPSPEPSPSPAAPPATVDKDEQEAPAAPAPQTEDAKEQKSEA EEIDVGKPEEPEASEEPPESVKSDHKEETEEEPEDKAKGTEAIETVSEAPLKVEEAGSKA AVTKGSSSGATQDSDSSATCSADEVDEPEGGDKGRLLSPRPSLLTPAGDPRASTSPQKPL DLKQLKQRAAAIPPIVTKVHEPPREDTVPPKPVPPVPPPTQHLQPEGDVSQQSGGSPRGK SRSPVPPAEKEAEKPAFFPAFPTEGPKLPTEPPRWSSGLPFPIPPREVIKTSPHAADPSA FSYTPPGHPLPLGLHDSARPVLPRPPISNPPPLISSAKHPGVLERQLGAISQQGMSVQLR VPHSEHAKAPMGPLTMGLPLAVDPKKLAPFSGVKQEQLSPRGQAGPPESLGVPTAQETSV LRGTALGSATSGSITKGLPSTRAADGPSYRGSITHGTPADVLYKGTISRIVGEDSPSRLD RAREDTLPKGHVIYEGKKGHVLSYEGGMSVSQCSKEDGRSSSGPPHETAAPKRTYDMMEG RVGRTVTSASIEGLMGRAIPEQHSPHLKEQHHIRGSITQGIPRSYVEAQEDYLRREAKLL KREGTPPPPPPPRDLTETYKPRPLDPLGPLKLKPTHEGVVATVKEAGRSIHEIPREELRR TPELPLAPRPLKEGSITQGTPLKYDSGAPSTGTKKHDVRSIIGSPGRPFPALHPLDIMAD ARALERACYEESLKSRSGTSSGAGGSITRGAPVVVPELGKPRQSPLTYEDHGAPFTSHLP RGSPVTTREPTPRLQEGSLLSSKASQDRKLTSTPREIAKSPHSTVPEHHPHPISPYEHLL RGVTGVDLYRGHIPLAFDPTSIPRGIPLEAAAAAYYLPRHLAPSPTYPHLYPPYLIRGYP DTAALENRQTIINDYITSQQMHHNAASAMAQRADMLRGLSPRESSLALNYAAGPRGIIDL SQVPHLPVLVPPTPGTPATAIDRLAYLPTAPPPFSSRHSSSPLSPGGPTHLAKPTATSSS ERERERERERDKSILTSTTTVEHAPIWRPGTEQSSGAGGSSRPASHTHQHSPISPRTQDA LQQRPSVLHNTSMKGVVTSVEPGTPTVLRWARSTSTSSPVRPAATFPPATHCPLGGTLEG VYPTLMEPVLLPKETSRVARPERPRVDAGHAFLTKPPAREPASSPSKSSEPRSLAPPSSS HTAIARTPAKNLAPHHASPDPPAPTSASDLHREKTQSKPFSIQELELRSLGYHSGAGYSP DGVEPISPVSSPSLTHDKGLSKPLEELEKSHLEGELRHKQPGPMKLSAEAAHLPHLRPLP ESQPSSSPLLQTAPGIKGHQRVVTLAQHISEVITQDYTRHHPQQLSGPLPAPLYSFPGAS CPVLDLRRPPSDLYLPPPDHGTPARGSPHSEGGKRSPEPSKTSVLGSSEDAIEPVSPPEG MTEPGHARSTAYPLLYRDGEQGEPRMGSKSPGNTSQPPAFFSKLTESNSAMVKSKKQEIN KKLNTHNRNEPEYNIGQPGTEIFNMPAITGAGLMTCRSQAVQEHASTNMGLEAIIRKALM GKYDQWEEPPPLGANAFNPLNASASLPAAAMPITTADGRSDHALTSPGGGGKAKVSGRPS SRKAKSPAPGLASGDRPPSVSSVHSEGDCNRRTPLTNRVWEDRPSSAGSTPFPYNPLIMR LQAGVMASPPPPGLAAGSGPLAGPHHAWDEEPKPLLCSQYETLSDSE >ENSMUSP00000057433.7 pep:known chromosome:GRCm38:7:19875742:19887965:-1 gene:ENSMUSG00000049848.7 transcript:ENSMUST00000052605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam19 description:carcinoembryonic antigen-related cell adhesion molecule 19 [Source:MGI Symbol;Acc:MGI:2443001] METWTPPGCFSKGLLFSALFLALELPQGSQAALHIEMIPEQPQKNQDLLLSLHGVPSTAQ DFIWYLGEEASGGTRLFSYIPGLPRPQRDGSAMGQRDIVGFPNGSMLLRNAQPSDSGTYQ VAATMNPAWTMKAKINVHVAGAREVSSLLPVHAGITVAIIIGSLAIGSLLVCGIAYVLVT RSRKGRSPRNPATEKPEVNLSPESGDSNIYEVMPSPVFLVSPISDMEQGSPPMSQPPPPP PASQEPEPEHQHYQDLLNPDPAPYCQLVPTS >ENSMUSP00000027650.6 pep:known chromosome:GRCm38:1:130439027:130462744:-1 gene:ENSMUSG00000026399.12 transcript:ENSMUST00000027650.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd55 description:CD55 molecule, decay accelerating factor for complement [Source:MGI Symbol;Acc:MGI:104850] MIRGRAPRTRPSPPPPLLPLLSLSLLLLSPTVRGDCGPPPDIPNARPILGRHSKFAEQSK VAYSCNNGFKQVPDKSNIVVCLENGQWSSHETFCEKSCVAPERLSFASLKKEYLNMNFFP VGTIVEYECRPGFRKQPPLPGKATCLEDLVWSPVAQFCKKKSCPNPKDLDNGHINIPTGI LFGSEINFSCNPGYRLVGVSSTFCSVTGNTVDWDDEFPVCTEIHCPEPPKINNGIMRGES DSYTYSQVVTYSCDKGFILVGNASIYCTVSKSDVGQWSSPPPRCIEKSKVPTKKPTINVP STGTPSTPQKPTTESVPNPGDQPTPQKPSTVKVSATQHVPVTKTTVRHPIRTSTDKGEPN TGGDRYIYGHTCLITLTVLHVMLSLIGYLT >ENSMUSP00000122294.1 pep:known chromosome:GRCm38:1:130446661:130452416:-1 gene:ENSMUSG00000026399.12 transcript:ENSMUST00000140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd55 description:CD55 molecule, decay accelerating factor for complement [Source:MGI Symbol;Acc:MGI:104850] XQWSSPPPRCIEKSKVPTKKPTINVPSTGTPSTPQKPTTESVPNPGDQPTPQKPSTVKVS ATQHVPVTKTTVRHPIRTSTDKGEPNTGGDRYIYGKFDSQGVRRNCHHCGKHSLHFWKRI LSYNCLRKTIKMQCFWAPKGRWVNPFNPLVLWTPLGVQYAPSYSQQCSCSQGVLGQLQVI WPISQVALSARCVSRSL >ENSMUSP00000042572.7 pep:known chromosome:GRCm38:11:94478904:94485348:-1 gene:ENSMUSG00000020867.13 transcript:ENSMUST00000041705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata20 description:spermatogenesis associated 20 [Source:MGI Symbol;Acc:MGI:2183449] MSHHSSPPPKHKGEHKGHGLPRGSERGSSSRDKDRSATTVSSSAPMPAGGKSSRTNCPPP APPKTVNRLINEKSPYLLQHAYNPVDWYPWGQEAFDKAKKENKPIFLSVGYSTCHWCHMM EEESFQNEEIGRLLNENFICVMVDREERPDVDKVYMTFVQATSSGGGWPMNVWLTPGLQP FVGGTYFPPEDGLTRVGFRTVLMRICDQWKLNKNTLLENSQRVTTALLARSEISVGDRQI PASAATMNSRCFQQLDEGYDEEYGGFAEAPKFPTPVILNFLFSYWLSHRLTQDGSRAQQM ALHTLKMMANGGIQDHVGQGFHRYSTDRQWHIPHFEKMLYDQAQLSVVYTQAFQISGDEF YADVAKGILQYVTRTLSHRSGGFYSAEDADSPPERGMKPQEGAYYVWTVKEVQQLLPEPV VGASEPLTSGQLLMKHYGLSEVGNINSSQDPNGELHGQNVLMVRYSLELTAARYGLEVEA VRALLNTGLEKLFQARKHRPKAHLDNKMLAAWNGLMVSGFAVTGAALGMEKLVAQATSGA KFLKRHMFDVSSGRLKRTCYAGTGGTVEQSNPPCWGFLEDYAFVVRGLLDLYEASQESSW LEWALRLQDTQDKLFWDPRGGGYFCSEAELGADLPLRLKDDQDGAEPSANSVSAHNLLRL HSFTGHKDWMDKCVCLLTAFSERMRRVPVALPEMVRTLSAQQQTLKQIVICGDPQAKDTK ALLQCVHSIYVPNKVLILADGDPSSFLSRQLPFLSSLRRVEDRATVYIFENQACSMPITD PCELRKLLHQ >ENSMUSP00000129832.2 pep:known chromosome:GRCm38:12:83954499:83984852:-1 gene:ENSMUSG00000090843.2 transcript:ENSMUST00000164935.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heatr4 description:HEAT repeat containing 4 [Source:MGI Symbol;Acc:MGI:4937307] MAKPKKKKGSSSHCLEPQPPRLGWGMVFLHSRPTDKAGCASVSRVPPVFHFSPRHCERLK TQYLKRAAANLSFSQDVGWQRGLPSMPFSQYDFKHIYNTENILPPPQTRTTDTKKPECTE ALYALTLLPETITHFTKTHTSNFLPEDFTYLAKCSKGSKKPNKPKPRDTEQKAFPGQSRH HNLKPETLELSASPDESLEERETWLPPGEKEARGWEAIVLEKLDKRTSRWIQSKRPPRPG QSPNKWQSFLRQQYDWSHIRDELTSSSDLELLKMLEAEETAEFMGESIPKPPKETKKPEL LLPVYFRLPGYSPQVHTAEAMSGNNMTAEVIQAEISNMRHMTKSRFRQVNRKAGEYAYAT DNTFEQEMYFDGVRIVHQIGGRKDQIVLENLNRYDKHLTKVFPETPEKWSFQPIPEMPYK LRKGVQRWTALPTEASLLQVGKEATSAKTRRQKKQVQPVEEDVPWELAILRRMLQEWKTS WGLIIEWYHETVENLLRGIQSMYDDIRVQAIITCATAALERPRIATTPENSEPIIVDLPE VLLPVLEDALSHRNPNVRMAAAVCQYCIQSHNPTARDIMQTALIKGNSVDSWAAAQCLAL EGIATYPVIKRILHQLFHKNNQATEEQACILLNHLRVNKTLIHTMLAVELNSRQWKDRIV ACRAFSQITGKVCIDMKQKIIQLMWNDWNKEVRQSAAKALGRMNLGKEIHDMIRVKLCQG NSQERIKALSLIRVLKLMTAKLLPSFLTCFSDEFTGIRQAACLAAGALQIRDRMVLERLM NLMHTDPYWKIKAFAIRALGQIGLVSPQLTNKLLWAIHYEDSPGVRLEACRSILALKLHG AQVRDTFLDVLLLEKHEAVLKEIHQTMKALNLEKEGSQEMIQEIKKKIQTLNQKDILTEK ILKMELAVKKLKETAKWVYSEPKADQKPLEFYSFLQKFKPSESKFRGETEGEPIP >ENSMUSP00000046045.6 pep:known chromosome:GRCm38:X:139480367:139554582:1 gene:ENSMUSG00000042498.16 transcript:ENSMUST00000046763.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D330045A20Rik description:RIKEN cDNA D330045A20 gene [Source:MGI Symbol;Acc:MGI:2147848] MSGESGQPQPGPSHAGLYLEHPERDQAGVPGGVIRRADSQRRRSWIQKVIEQITGSPRQC VTLSEVVPVTVLAVQRYLLEDEPRDTVPKPPLYCYDVTISDGVYQEKCYLDPSLNFLVYQ NILKVGIEMRISRVSCLYNEKRLGQGILCIDKVHCGESLDVISVETPFRNRAHEEKPERP LRGGKSHYLALWNNEDPYGDIWKTNKQPEEFNFNNIKIISLSHLELTWNSRKNFPALLVR VLHKSKLRYYGKPNKKMIEPYQTYLEVADSSGMVSVILWNALCPEWYKSLRVGLVLLLQD YTVKKSYPLRIQPDPADPQMKLISTMEICLNLRDPPTNIVIIPEKQLKSEWKLPKLINRF ITRSELDDMPEKSICDVIGLLSFVGRVQRSKKKENSEDFWSYRWIHITDGTSEQPFIVQL FSTSQPEVFENIYPMTYFVCTQLKVVRNNSQVPKLLYLTTTNESRALTTGHRGLPYTYDT KAKKIIQWIKTKTNLEAKNTVIGGYYPYPPVPETFSKYSRFIKAESLLTTISEVKKVIED LQYREQKRIAIQGIITAIKYIPYKHSAGSAPAPEAFWNASRPSTSQAAGKEDHCHERGSK RSQDDRPMGSQHFQYTSKVLSLCAKRKILQGPSANPVPVPQPHSSAQMKGSKHNTPSQES STAYTTGKSRRITNDRWESQLWQDKKFSLRDHLHYGHVDPESIPRKFILEHEKFLTQQFN SQPAKYIPPEGKPPKLDEFQSARSLGHFEVTILGLNHEIAIDVAFLPMYSPEDVQASQID TFLTCMNFSCVYPPAAPLSGRLPDPKAVAGDIVKAAADLDRVHIIGILDICNLGNNKVEV CLQKIYTPE >ENSMUSP00000108653.2 pep:known chromosome:GRCm38:X:139480371:139554582:1 gene:ENSMUSG00000042498.16 transcript:ENSMUST00000113030.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D330045A20Rik description:RIKEN cDNA D330045A20 gene [Source:MGI Symbol;Acc:MGI:2147848] MSGESGQPQPGPSHAGLYLEHPERDQAGVPGGVIRRADSQRRRSWIQKVIEQITGSPRQC VTLSEVVPVTVLAVQRYLLEDEPRDTVPKPPLYCYDVTISDGVYQEKCYLDPSLNFLVYQ NILKVGIEMRISRVSCLYNEKRLGQGILCIDKVHCGESLDVISVETPFRNRAHEEKPERP LRGGKSHYLALWNNEDPYGDIWKTNKQPEEFNFNNIKIISLSHLELTWNSRKNFPALLVR VLHKSKLRYYGKPNKKMIEPYQTYLEVADSSGMVSVILWNALCPEWYKSLRVGLVLLLQD YTVKKSYPLRIQPDPADPQMKLISTMEICLNLRDPPTNIVIIPEKQLKSEWKLPKLINRF ITRSELDDMPEKSICDVIGLLSFVGRVQRSKKKENSEDFWSYRWIHITDGTSEQPFIVQL FSTSQPEVFENIYPMTYFVCTQLKVVRNNSQVPKLLYLTTTNESRALTTGGHRGLPYTYD TKAKKIIQWIKTKTNLEAKNTVIGGYYPYPPVPETFSKYSRFIKAESLLTTISEVKKVIE DLQYREQKRIAIQGIITAIKYIPYKHSAGSAPAPEAFWNASRPSTSQAAGKEDHCHERGS KRSQDDRPMGSQHFQYTSKVLSLCAKRKILQGPSANPVPVPQPHSSAQMKGSKHNTPSQE SSTAYTTGKSRRITNDRWESQLWQDKKFSLRDHLHYGHVDPESIPRKFILEHEKFLTQQF NSQPAKYIPPEGKPPKLDEFQSARSLGHFEVTILGLNHEIAIDVAFLPMYSPEDVQASQI DTFLTCMNFSCVYPPAAPLSGRLPDPKAVAGDIVKAAADLDRVHIIGILDICNLGNNKVE VCLQKIYTPE >ENSMUSP00000122630.1 pep:known chromosome:GRCm38:7:27352649:27357419:-1 gene:ENSMUSG00000092367.1 transcript:ENSMUST00000126587.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20479 description:predicted gene 20479 [Source:MGI Symbol;Acc:MGI:5141944] XNSVAEHAEIARWGQTLPTTSSTDEGNPKREGGERRASGRRK >ENSMUSP00000087364.2 pep:known chromosome:GRCm38:6:66804212:66805443:-1 gene:ENSMUSG00000093755.1 transcript:ENSMUST00000089918.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r39 description:vomeronasal 1 receptor 39 [Source:MGI Symbol;Acc:MGI:3644802] MFSLNNVFYVQAVLGTLANIFLLFFYTIIILCHRSKPMDLISCQLTFIHIIMVITGWDIF TADMFDLLNIENDIICKATSYLNRAMRGLSISITCLLSVFQAVMISPNTSLLAKFKHKLK KYMIYSFFYFWSFNLLFIINRIFYTGAYTNVSDTNQVKVTKYCSLFPMNNIINGLASTIV TLRDVFLVGVMLSTSTYMVIILFRHQRQCKHLHSKSQLRASPEKRATQTILLLVVFFVVL YWVDFIMSFRTFHLWMYDPVMLTVQKFVLNAYPTITPLIQIISDNRIITMLKNMQKVCHQ ICKKV >ENSMUSP00000003857.6 pep:known chromosome:GRCm38:7:27342133:27356019:-1 gene:ENSMUSG00000089832.8 transcript:ENSMUST00000003857.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shkbp1 description:Sh3kbp1 binding protein 1 [Source:MGI Symbol;Acc:MGI:2385803] MAVATTAVEGVPSRGAPGEVIHLNVGGKRFSTSRQTLTWIPDSFFSSLLSGRISTLKDET GAIFIDRDPTVFAPILNFLRTKELDPRGVHGSSLLHEAQFYGLTPLVRRLQVREELDRSS CGNVLFNGYLPPPVFPVKRRNRHSLVGPQQIGGRPAPVRRSNTMPPNLGNAGLLGRMLDE RAPPSPSGQPEEPGMVRLVCGHHNWIAVAYTHFLVCYRLKEASGWQLAFSSPRLDWPIER LALAARVLGGAPGEHDKMVAAATGSEILLWALQAQGGGSEIGVFHLGVPVEALFFVGNQL IATSHTGRIGVWNAVTKHWQVQEVQPITSYDAAGSFLLLGCSNGSIYYVDVQKFPLRMKD NDLLVSELYRDPAEDGVTALSVYLTPKTSDSGNWIEIAYGTSSGVVRVIVQHPETVGSGP QLFQTFSVHRSPVTKIMLSEKHLISVCADNNHVRTWSVTRFRGMISTQPGSTPLASFKIL ALESVDGLGGCSAGNDIGPYGERDDQQVFIQKVVPNASQLFVRLSSTGQRVCSVRSVDGS PTTAFTVLECEGSRRLGSRPRRYLLTGQANGSLAMWDLTTAMDGLGQTPAGGLTEEELMD QLEQCELSPLASSRGSFPSPSPRTSLTSLHSASSNTSLYGPRGSPSPPQAEARRRGAGSF VDRCQELARGAPELRWPPTPAPRPSTSLGNPLTALKKTLNETSF >ENSMUSP00000016571.7 pep:known chromosome:GRCm38:X:37187588:37191163:-1 gene:ENSMUSG00000016427.7 transcript:ENSMUST00000016571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa1 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 1 [Source:MGI Symbol;Acc:MGI:1929511] MWFEILPGLAIMGVCLVIPGVSTAYIHKFTNGGKEKRVARVQYQWYLMERDRRISGVNRY YVSKGLENID >ENSMUSP00000081416.5 pep:known chromosome:GRCm38:4:103017872:103026737:-1 gene:ENSMUSG00000066090.5 transcript:ENSMUST00000084382.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insl5 description:insulin-like 5 [Source:MGI Symbol;Acc:MGI:1346085] MKGPTLALFLLLVLLAVVEVRSRQTVKLCGLDYVRTVIYICASSRWRRHLEGHFHSQQAE TRNYLQLLDRHEPSKKTLEHSLPKTDLSGQELVRDPQAPKEGLWELKKHSVVSRRDLQAL CCREGCSMKELSTLC >ENSMUSP00000102482.2 pep:known chromosome:GRCm38:4:103018114:103026842:-1 gene:ENSMUSG00000066090.5 transcript:ENSMUST00000106869.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insl5 description:insulin-like 5 [Source:MGI Symbol;Acc:MGI:1346085] MCLVNTVKIRMKGPTLALFLLLVLLAVVEVRSRQTVKLCGLDYVRTVIYICASSRWRRHL EGHFHSQQAETRNYLQLLDRHEPSKKTLEHSLPKTDLSGQELVRDPQAPKEGLWELKKHS VVSRRDLQALCCREGCSMKELSTLC >ENSMUSP00000090441.5 pep:known chromosome:GRCm38:11:95274259:95309602:-1 gene:ENSMUSG00000038909.16 transcript:ENSMUST00000092766.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat7 description:K(lysine) acetyltransferase 7 [Source:MGI Symbol;Acc:MGI:2182799] MAIGVVKRNAGSSSDGTEDSDFSTDLEHTDSSESDGTSRRSARVTRSSARLSQSSQDSSP VRNLPSFGTEEPAYSTRRVTRSQQQPTPVTPKKYPLRQTRSSGSETEQVVDFSDRETKNT ADHDESPPRTPTGNAPSSESDIDISSPNVSHDESIAKDMSLKDSGSDLSHRPKRRRFHES YNFNMKCPTPGCNSLGHLTGKHERHFSISGCPLYHNLSADECKVRAQSRDKQIEERMLSH RQDDNNRHATRHQAPTERQLRYKEKVAELRKKRNSGLSKEQKEKYMEHRQTYGNTREPLL ENLTSEYDLDLFRRAQARASEDLEKLRLQGQITEGSNMIKTIAFGRYELDTWYHSPYPEE YARLGRLYMCEFCLKYMKSQTILRRHMAKCVWKHPPGDEIYRKGSISVFEVDGKKNKIYC QNLCLLAKLFLDHKTLYYDVEPFLFYVMTEADNTGCHLIGYFSKEKNSFLNYNVSCILTM PQYMRQGYGKMLIDFSYLLSKVEEKVGSPERPLSDLGLISYRSYWKEVLLRYLHNFQGKE ISIKEISQETAVNPVDIVSTLQALQMLKYWKGKHLVLKRQDLIDEWIAKEAKRSNSNKTM DPSCLKWTPPKGT >ENSMUSP00000072416.5 pep:known chromosome:GRCm38:11:95274259:95309602:-1 gene:ENSMUSG00000038909.16 transcript:ENSMUST00000072621.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat7 description:K(lysine) acetyltransferase 7 [Source:MGI Symbol;Acc:MGI:2182799] MAIGVVKRNAGSSSDGTEDSDFSTDLEHTDSSESDGTSRRSARVTRSSARLSQSSQDSSP VRNLPSFGTEEPAYSTRRVTRSQQQPTPVTPKKYPLRQTRSSGSETEQVVDFSDRETKNT ADHDESPPRTPTGNAPSSESDIDISSPNVSHDESIAKDMSLKDSGSDLSHRPKRRRFHES YNFNMKCPTPGCNSLGHLTGKHERHFSISGCPLYHNLSADECKAPTERQLRYKEKVAELR KKRNSGLSKEQKEKYMEHRQTYGNTREPLLENLTSEYDLDLFRRAQARASEDLEKLRLQG QITEGSNMIKTIAFGRYELDTWYHSPYPEEYARLGRLYMCEFCLKYMKSQTILRRHMAKC VWKHPPGDEIYRKGSISVFEVDGKKNKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVMTE ADNTGCHLIGYFSKEKNSFLNYNVSCILTMPQYMRQGYGKMLIDFSYLLSKVEEKVGSPE RPLSDLGLISYRSYWKEVLLRYLHNFQGKEISIKEISQETAVNPVDIVSTLQALQMLKYW KGKHLVLKRQDLIDEWIAKEAKRSNSNKTMDPSCLKWTPPKGT >ENSMUSP00000099448.3 pep:known chromosome:GRCm38:11:95274259:95310201:-1 gene:ENSMUSG00000038909.16 transcript:ENSMUST00000103159.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat7 description:K(lysine) acetyltransferase 7 [Source:MGI Symbol;Acc:MGI:2182799] MPRRKRNAGSSSDGTEDSDFSTDLEHTDSSESDGTSRRSARVTRSSARLSQSSQETKNTA DHDESPPRTPTGNAPSSESDIDISSPNVSHDESIAKDMSLKDSGSDLSHRPKRRRFHESY NFNMKCPTPGCNSLGHLTGKHERHFSISGCPLYHNLSADECKAPTERQLRYKEKVAELRK KRNSGLSKEQKEKYMEHRQTYGNTREPLLENLTSEYDLDLFRRAQARASEDLEKLRLQGQ ITEGSNMIKTIAFGRYELDTWYHSPYPEEYARLGRLYMCEFCLKYMKSQTILRRHMAKCV WKHPPGDEIYRKGSISVFEVDGKKNKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVMTEA DNTGCHLIGYFSKEKNSFLNYNVSCILTMPQYMRQGYGKMLIDFSYLLSKVEEKVGSPER PLSDLGLISYRSYWKEVLLRYLHNFQGKEISIKEISQETAVNPVDIVSTLQALQMLKYWK GKHLVLKRQDLIDEWIAKEAKRSNSNKTMDPSCLKWTPPKGT >ENSMUSP00000103362.3 pep:known chromosome:GRCm38:11:95274259:95310246:-1 gene:ENSMUSG00000038909.16 transcript:ENSMUST00000107734.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat7 description:K(lysine) acetyltransferase 7 [Source:MGI Symbol;Acc:MGI:2182799] MPRRKRNAGSSSDGTEDSDFSTDLEHTDSSESDGTSRRSARVTRSSARLSQSSQDSSPVR NLPSFGTEEPAYSTRRVTRSQQQPTPVTPKKYPLRQTRSSGSETEQVVDFSDRETKNTAD HDESPPRTPTGNAPSSESDIDISSPNVSHDESIAKDMSLKDSGSDLSHRPKRRRFHESYN FNMKCPTPGCNSLGHLTGKHERHFSISGCPLYHNLSADECKVRAQSRDKQIEERMLSHRQ DDNNRHATRHQAPTERQLRYKEKVAELRKKRNSGLSKEQKEKYMEHRQTYGNTREPLLEN LTSEYDLDLFRRAQARASEDLEKLRLQGQITEGSNMIKTIAFGRYELDTWYHSPYPEEYA RLGRLYMCEFCLKYMKSQTILRRHMAKCVWKHPPGDEIYRKGSISVFEVDGKKNKIYCQN LCLLAKLFLDHKTLYYDVEPFLFYVMTEADNTGCHLIGYFSKEKNSFLNYNVSCILTMPQ YMRQGYGKMLIDFSYLLSKVEEKVGSPERPLSDLGLISYRSYWKEVLLRYLHNFQGKEIS IKEISQETAVNPVDIVSTLQALQMLKYWKGKHLVLKRQDLIDEWIAKEAKRSNSNKTMDP SCLKWTPPKGT >ENSMUSP00000103361.3 pep:known chromosome:GRCm38:11:95274259:95310246:-1 gene:ENSMUSG00000038909.16 transcript:ENSMUST00000107733.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat7 description:K(lysine) acetyltransferase 7 [Source:MGI Symbol;Acc:MGI:2182799] MPRRKRNAGSSSDGTEDSDFSTDLEHTDSSESDGTSRRSARVTRSSARLSQSSQDSSPVR NLPSFGTEEPAYSTRRVTRSQQQPTPVTPKKYPLRQTRSSGSETEQVVDFSDRETKNTAD HDESPPRTPTGNAPSSESDIDISSPNVSHDESIAKDMSLKDSGSDLSHRPKRRRFHESYN FNMKCPTPGCNSLGHLTGKHERHFSISGCPLYHNLSADECKAPTERQLRYKEKVAELRKK RNSGLSKEQKEKYMEHRQTYGNTREPLLENLTSEYDLDLFRRAQARASEDLEKLRLQGQI TEGSNMIKTIAFGRYELDTWYHSPYPEEYARLGRLYMCEFCLKYMKSQTILRRHMAKCVW KHPPGDEIYRKGSISVFEVDGKKNKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVMTEAD NTGCHLIGYFSKEKNSFLNYNVSCILTMPQYMRQGYGKMLIDFSYLLSKVEEKVGSPERP LSDLGLISYRSYWKEVLLRYLHNFQGKEISIKEISQETAVNPVDIVSTLQALQMLKYWKG KHLVLKRQDLIDEWIAKEAKRSNSNKTMDPSCLKWTPPKGT >ENSMUSP00000121772.1 pep:known chromosome:GRCm38:11:95275323:95281894:-1 gene:ENSMUSG00000038909.16 transcript:ENSMUST00000138671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat7 description:K(lysine) acetyltransferase 7 [Source:MGI Symbol;Acc:MGI:2182799] XIYRKGSISVFEVDGKKNKIYCQNLCLLAKLFLDHKTLYYDVEPFLFYVMTEADNTGCHL IGYFSKEKNSFLNYNVSCILTMPQYMRQGYGKMLIDFSYLLSKVEEKVGSPERPLSDLGL ISYRSYWKEVLLRYLHNFQGKEISIKGPDR >ENSMUSP00000131170.2 pep:known chromosome:GRCm38:3:97689824:97888707:-1 gene:ENSMUSG00000038170.15 transcript:ENSMUST00000168438.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4dip description:phosphodiesterase 4D interacting protein (myomegalin) [Source:MGI Symbol;Acc:MGI:1891434] MKRTDSGSICHHAPPPCWAHHAPRQSPRQPSSRERRPPERAGSWAVAAEEEEAASAAPWM RHYFGEDDGEMVPRTSSAAAFLSDTKDRGPPVQSQTWRSAERVPFGQAHSLRAFEKPPLV QTQALRDFEKHLNDLKKENFSLKLRIYFLEERMQQKYEVSREDVYKRNIELKVEVESLKR ELQDRKQHLDKTWADAEDLNSQNEAELRRQVEERQQETEHVYELLGNKIQLLQEEPRLAK NEATEMETLVEAEKRCNLELSERWTNAAKNREDAAGDQEKPDQYSEALAQRDRRIEELRQ SLAAQEGLVEQLSQEKRQLLHLLEEPASMEVQPVPKGLPTQQKPDLHETPTTQPPVSESH LAELQDKIQQTEATNKILQEKLNDLSCELKSAQESSQKQDTTIQSLKEMLKSRESETEEL YQVIEGQNDTMAKLREMLHQSQLGQLHSSEGIAPAQQQVALLDLQSALFCSQLEIQRLQR LVRQKERQLADGKRCVQLVEAAAQEREHQKEAAWKHNQELRKALQHLQGELHSKSQQLHV LEAEKYNEIRTQGQNIQHLSHSLSHKEQLIQELQELLQYRDNADKTLDTNEVFLEKLRQR IQDRAVALERVIDEKFSALEEKDKELRQLRLAVRDRDHDLERLRCVLSANEATMQSMESL LRARGLEVEQLTATCQNLQWLKEELETKFGHWQKEQESIIQQLQTSLHDRNKEVEDLSAT LLCKLGPGQSEVAEELCQRLQRKERMLQDLLSDRNKQAVEHEMEIQGLLQSMGTREQERQ AAAEKMVQAFMERNSELQALRQYLGGKELMTSSQTFISNQPAGVTSIGPHHGEQTDQGSM QMPSRDDSTSLTAREEASIPRSTLGDSDTVAGLEKELSNAKEELELMAKKERESQMELSA LQSMMAMQEEELQVQAADLESLTRNVQIKEDLIKDLQMQLVDPEDIPAMERLTQEVLLLR EKVASVEPQGQEVSGNKRQQLLLMLEGLVDERSRLNEALQAERQLYSSLVKFHAQPENSE RDGTLQVELEGAQVLRTRLEEVLGRSLERLSRLESLAAIGGATAGNETEDTSTEFTDSIE EEAAHTSHQQLIKVALEKSLATMETQNICLQPPSPVGEDSNRCLQEEMLHLRAEIHQHLE EKRKAEVELKELKAQIEEAGFSSVSHIRNTMLSLCLENAELKEQMGEAMSDGWEVEEDKE KGEVMLETVVAKGCLNENSLQAEFRKVQGKLKSAYNIINLLKEQLLLRSSEGNSKEMPEL LVRLAREVDRMNTGLPSLGKHQHQEQENTTTARPGSRPQSLPLGAALSVDGYQLENKSQA QDSGHQPEFSLPGSTKHLRSQLAQCRQRYQDLQEKLLISEATVFAQANQLEKYRAVFSES LVKQDSKQIQVDLQDLGYETCGRSENEAEREETTSPECEEHNNLRPVVLMEGLCSEQGYL DPVLVSPPAKKPLENKPGKQEEFRAHGTPDDSSLLRKDIRDLKAQLQNANKVIQNLRSRV RSLSATSDYSSSLERPRKLRAVATLEGASPHSVTDEDEGWLSDGTGAFYPPGLQAKKDLE SLIQRVSQLEAQLPKTGLEGKLAEELRCASWPGKYDSLIQDQARELSYLRQKIREGRGIC YLLTQHAKDTVKSFEDLLRSNDIDYYLGQSFREQLAQGGQLTERLTSKLSTKDHKSEKEE AGLEPLALRLSRELQEKEKVIEVLQAKLDTRSLSPPSSHAVSDSHRSASTTSFLSDDIEA CSDMDVASEYTHYDEKKPSPSHSGFHFHSIPKPASLSQTPMHSALPSFVPFSPSGPPLLG CCETPMVSLAEAQQELQMLQKQLGESVSIAPPASTSTLLSNQTEASSPHYINPAQPHTPT RSTIELGRILEPGYLGSSGQWDMMRPQKGSVSGELSSGSSMYQLNSKPTGADLLEEHLGE IRNLRQRLEESICVNDRLREQLQHRLSSTARENGSTSHFYSQGLESMPQLYNENRALREE NQSLQTRLSHASRGHSQEVDHLREALLSSRSQLQELEKELEQQKAERQQLLEDLQEKQDE IVQFREERLSLQENNSRLQHKLALLQQQCEEKQQLSLSLQSELQIYESLCENPKKALKAF SLDSCHQVPGELSCLVAEIRALRGQLEQSIEVNNRLRLQLEQQMDRGAGKASLGPIAVGQ SFPDKAEPANLHQGSAASPPVRDVGLNSPAMVLPNSSCSAPGSDHAIVTRTNNELSSDDS AAMKNPPKLEVDATDGPFANKHGRHVIGHVDDYDALQQQIGEGKLLIQKILSLMRSARSI PGQEAQDTEAPGNISAHELRSSAKALNHALEESTSLLNMFWRAALPNTHGPVLVGKEGQL MEKELLDLRAQVSQQEQILQNTAARLKRANQRKKSMEQFIVSHLTRTHDVLKKARTNLEM KSFRALTCTPAL >ENSMUSP00000088254.5 pep:known chromosome:GRCm38:3:97689865:97888707:-1 gene:ENSMUSG00000038170.15 transcript:ENSMUST00000090750.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4dip description:phosphodiesterase 4D interacting protein (myomegalin) [Source:MGI Symbol;Acc:MGI:1891434] MKRTDSGSICHHAPPPCWAHHAPRQSPRQPSSRERRPPERAGSWAVAAEEEEAASAAPWM RHYFGEDDGEMVPRTSSAAAFLSDTKDRGPPVQSQTWRSAERVPFGQAHSLRAFEKPPLV QTQALRDFEKHLNDLKKENFSLKLRIYFLEERMQQKYEVSREDVYKRNIELKVEVESLKR ELQDRKQHLDKTWADAEDLNSQNEAELRRQVEERQQETEHVYELLGNKIQLLQEEPRLAK NEATEMETLVEAEKRCNLELSERWTNAAKNREDAAGDQEKPDQYSEALAQRDRRIEELRQ SLAAQEGLVEQLSQEKRQLLHLLEEPASMEVQPVPKGLPTQQKPDLHETPTTQPPVSESH LAELQDKIQQTEATNKILQEKLNDLSCELKSAQESSQKQDTTIQSLKEMLKSRESETEEL YQVIEGQNDTMAKLREMLHQSQLGQLHSSEGIAPAQQQVALLDLQSALFCSQLEIQRLQR LVRQKERQLADGKRCVQLVEAAAQEREHQKEAAWKHNQELRKALQHLQGELHSKSQQLHV LEAEKYNEIRTQGQNIQHLSHSLSHKEQLIQELQELLQYRDNADKTLDTNEVFLEKLRQR IQDRAVALERVIDEKFSALEEKDKELRQLRLAVRDRDHDLERLRCVLSANEATMQSMESL LRARGLEVEQLTATCQNLQWLKEELETKFGHWQKEQESIIQQLQTSLHDRNKEVEDLSAT LLCKLGPGQSEVAEELCQRLQRKERMLQDLLSDRNKQAVEHEMEIQGLLQSMGTREQERQ AAAEKMVQAFMERNSELQALRQYLGGKELMTSSQTFISNQPAGVTSIGPHHGEQTDQGSM QMPSRDDSTSLTAREEASIPRSTLGDSDTVAGLEKELSNAKEELELMAKKERESQMELSA LQSMMAMQEEELQVQAADLESLTRNVQIKEDLIKDLQMQLVDPEDIPAMERLTQEVLLLR EKVASVEPQGQEVSGNKRQQLLLMLEGLVDERSRLNEALQAERQLYSSLVKFHAQPENSE RDGTLQVELEGAQVLRTRLEEVLGRSLERLSRLESLAAIGGATAGNETEDTSTEFTDSIE EEAAHTSHQQLIKVALEKSLATMETQNICLQPPSPVGEDSNRCLQEEMLHLRAEIHQHLE EKRKAEVELKELKAQIEEAGFSSVSHIRNTMLSLCLENAELKEQMGEAMSDGWEVEEDKE KGEVMLETVVAKGCLNENSLQAEFRKVQGKLKSAYNIINLLKEQLLLRSSEGNSKEMPEL LVRLAREVDRMNTGLPSLGKHQHQEQENTTTARPGSRPQSLPLGAALSVDGYQLENKSQA QDSGHQPEFSLPGSTKHLRSQLAQCRQRYQDLQEKLLISEATVFAQANQLEKYRAVFSES LVKQDSKQIQVDLQDLGYETCGRSENEAEREETTSPECEEHNNLRPVVLMEGLCSEQGYL DPVLVSPPAKKPLENKPGKQEEFRAHGTPDDSSLLRKDIRDLKAQLQNANKVIQNLRSRV RSLSATSDYSSSLERPRKLRAVATLEGASPHSVTDEDEGWLSDGTGAFYPPGLQAKKDLE SLIQRVSQLEAQLPKTGLEGKLAEELRCASWPGKYDSLIQDQARELSYLRQKIREGRGIC YLLTQHAKDTVKSFEDLLRSNDIDYYLGQSFREQLAQGGQLTERLTSKLSTKDHKSEKEE AGLEPLALRLSRELQEKEKVIEVLQAKLDTRSLSPPSSHAVSDSHRSASTTSFLSDDIEA CSDMDVASEYTHYDEKKPSPSHSDSIHLSSHSPVLSSNPSAASASQGLKGESSSSPISLP TPQNPPKEASQAHPGFHFHSIPKPASLSQTPMHSALPSFVPFSPSGPPLLGCCETPMVSL AEAQQELQMLQKQLGESVSIAPPASTSTLLSNQTEASSPHYINPAQPHTPTRSTIELGRI LEPGYLGSSGQWDMMRPQKGSVSGELSSGSSMYQLNSKPTGADLLEEHLGEIRNLRQRLE ESICVNDRLREQLQHRLSSTARENGSTSHFYSQGLESMPQLYNENRALREENQSLQTRLS HASRGHSQEVDHLREALLSSRSQLQELEKELEQQKAERQQLLEDLQEKQDEIVQFREERL SLQENNSRLQHKLALLQQQCEEKQQLSLSLQSELQIYESLCENPKKALKAFSLDSCHQVP GELSCLVAEIRALRGQLEQSIEVNNRLRLQLEQQMDRGAGKASLGPIAVGQSFPDKAEPA NLHQGSAASPPVRDVGLNSPAMVLPNSSCSAPGSDHAIVTRTNNELSSDDSAAMKNPPKL EVDATDGPFANKHGRHVIGHVDDYDALQQQIGEGKLLIQKILSLMRSARSIPGQEAQDTE APGNISAHELRSSAKALNHALEESTSLLNMFWRAALPNTHGPVLVGKEGQLMEKELLDLR AQVSQQEQILQNTAARLKRANQRKKSMEQFIVSHLTRTHDVLKKARTNLEMKSFRALTCT PAL >ENSMUSP00000040905.8 pep:known chromosome:GRCm38:3:97738854:97768009:-1 gene:ENSMUSG00000038170.15 transcript:ENSMUST00000045243.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4dip description:phosphodiesterase 4D interacting protein (myomegalin) [Source:MGI Symbol;Acc:MGI:1891434] MKEICRICARELCGNQRRWIFHTASKLNLQVLLSHVLGKDVSRDGKAEFACSKCAFMLDR IYRFDTVIARIEALSLERLQKLLLEKDRLKFCIASMYRKNNDDSGEENKAGSGTVDISGL PDMRYAALLQEDFAYSGFECWVENEDQINDSHSCHASEGPGNRPRRCRGCAALRVADSDY EAICKVPRKVARSISYAPSSRWSTSICTEEPALSEVGPPDLASTKVPPDGESMEEGTPGS SVESLDASVQASPPQQKDEETERSAKELVKCDYCSDEQAPQHLCNHKLELALSMIKGLDY KPIQSPRGSKLPIPVKSILPGAKPGHILTNGVSSSFLNRPLKPLYRTPVSYPWEISDGQE LWDDLCDEYLPIGFQPVPKGLPTQQKPDLHETPTTQPPVSESHLAELQDKIQQTEATNKI LQEKLNDLSCELKSAQESSQKQDTTIQSLKEMLKSRESETEELYQVIEGQNDTMAKLREM LHQSQLGQLHSSEGIAPAQQQVALLDLQSALFCSQLEIQRLQRLVRQKERQLADGKRCVQ LVEAAAQEREHQKEAAWKHNQELRKALQHLQGELHSKSQQLHVLEAEKYNEIRTQGQNIQ HLSHSLSHKEQLIQELQELLQYRDNADKTLDTNEVFLEKLRQRIQDRAVALERVIDEKFS ALEEKDKELRQLRLAVRDRDHDLERLRCVLSANEATMQSMESLLRARGLEVEQLTATCQN LQWLKEELETKFGHWQKEQESIIQQLQTSLHDRNKEVEDLSATLLCKLGPGQSEVAEELC QRLQRKERMLQDLLSDRNKQAVEHEMEIQGLLQSMGTREQERQAAAEKMVQAFMERNSEL QALRQYLGGKELMTSSQTFISNQPAGVTSIGPHHGEQTDQGSMQMPSRDDSTSLTAREEA SIPRSTLGDSDTVAGLEKELSNAKEELELMAKKERESQMELSALQSMMAMQEEELQVQAA DLESLTRNVQIKEDLIKDLQMQLVDPEDIPAMERLTQEVLLLREKVASVEPQGQEVSGNK RQQLLLMLEGLVDERSRLNEALQAERQLYSSLVKFHAQPENSERDGTLQVELEGAQVLRT RLEEVLGRSLERLSRLESLAAIGGGELESVQARHKHAF >ENSMUSP00000134832.1 pep:known chromosome:GRCm38:3:97740817:97767931:-1 gene:ENSMUSG00000038170.15 transcript:ENSMUST00000175751.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde4dip description:phosphodiesterase 4D interacting protein (myomegalin) [Source:MGI Symbol;Acc:MGI:1891434] MKEICRICARELCGNQRRWIFHTASKLNLQVLLSHVLGKDVSRDGKAEFACSKCAFMLDR IYRFDTVIARIEALSLERLQKLLLEKDRLKFCIASMYRKNNDDSGEENKAGSGTVDISGL PDMRYAALLQEDFAYSGFECWVENEDQINDSHSCHASEGPGNRPRRCRGCAALRVADSDY EAICKVPRKVARSISYAPSSRWSTSICTEEPALSEVGPPDLASTKVPPDGESMEEGTPGS SVESLDASVQASPPQQKDEETERSAKELVKCDYCSDEQAPQHLCNHKLELALSMIKGLDY KPIQSPRGSKLPIPVKSILPGAKPGHILTNGVSSSFLNRPLKPLYRTPVSYPWEISDGQE LWDDLCDEYLPIGFQPVPKGLPTQQKPDLHETPTTQPPVSESHLAELQDKIQQTEATNKI LQEKLNDLSCELKSAQESSQKQDTTIQSLKEMLKSRESETEELYQVIEGQNDTMAKLREM LHQSQLGQLHSSEGIAPAQQQVALLDLQSALFCSQLEIQRLQRLVRQKERQLADGKRCVQ LVEAAAQEREHQKEAAWKHNQVNH >ENSMUSP00000102653.3 pep:known chromosome:GRCm38:3:97746693:97868248:-1 gene:ENSMUSG00000038170.15 transcript:ENSMUST00000107038.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4dip description:phosphodiesterase 4D interacting protein (myomegalin) [Source:MGI Symbol;Acc:MGI:1891434] MEQTWARHYFGEDDGEMVPRTSSAAAFLSDTKDRGPPVQSQTWRSAERVPFGQAHSLRAF EKPPLVQTQALRDFEKHLNDLKKENFSLKLRIYFLEERMQQKYEVSREDVYKRNIELKVE VESLKRELQDRKQHLDKTWADAEDLNSQNEAELRRQVEERQQETEHVYELLGNKIQLLQE EPRLAKNEATEMETLVEAEKRCNLELSERWTNAAKNREDAAGDQEKPDQYSEALAQRDRR IEELRQSLAAQEGLVEQLSQEKRQLLHLLEEPASMEVQPVPKGLPTQQKPDLHETPTTQP PVSESHLAELQDKIQQTEATNKILQEKLNDLSCELKSAQESSQKQDTTIQSLKEMLKSRE SETEELYQVIEGQNDTMAKLREMLHQSQLGQLHSSEGIAPAQQQVALLDLQSALFCSQLE IQRLQRLVRQKERQLADGKRCVQLVEAAAQEREHQKEAAWKHNQELRKALQHLQGELHSK SQQLHVLEAEKYNEIRTQGQNIQHLSHSLSHKEQLIQELQELLQYRDNADKTLDTNEVFL EKLRQRIQDRAVALERVIDEKFSALEEKDKELRQLRLAVRDRDHDLERLRCVLSANEATM QSMESLLRARGLEVEQLTATCQNLQWLKEELETKFGHWQKEQESIIQQLQTSLHDRNKEV EDLSATLLCKLGPGQSEVAEELCQRLQRKERMLQDLLSDRNKQAVEHEMEIQGLLQSMGT REQERQAAAEKMVQAFMERNSELQALRQYLGGKELMTSSQTFISNQPAGVTSIGPHHGEQ TDQGSMQMPSRDDSTSLTAREEASIPRSTLGDSDTVAGLEKELSNAKEELELMA >ENSMUSP00000142941.1 pep:known chromosome:GRCm38:3:97796732:97824621:-1 gene:ENSMUSG00000038170.15 transcript:ENSMUST00000200232.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde4dip description:phosphodiesterase 4D interacting protein (myomegalin) [Source:MGI Symbol;Acc:MGI:1891434] MSNGYRTLSQHLNDLKKENFSLKLRIYFLEERMQQKYEVSREDVYKRNIELKVEVESLKR ELQDRKQHLDKT >ENSMUSP00000113981.1 pep:known chromosome:GRCm38:6:121183667:121196185:1 gene:ENSMUSG00000067825.11 transcript:ENSMUST00000118234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex26 description:peroxisomal biogenesis factor 26 [Source:MGI Symbol;Acc:MGI:1921293] MKSDASTSAAPLKGLVGPLRSSEPALALPAVSPAVHLLEEASDLLVVHLDFHAALETCER AWQSLAEEPVSGTIVEVKCSLCVVGIQALAEMDRWREALSWVLRYYQVPEKLPPKVLELW FVLPEVAVAADAASPALGLRGEPPPLAALQKGPPGCLDPLPLDSTV >ENSMUSP00000085921.3 pep:known chromosome:GRCm38:6:121183667:121196192:1 gene:ENSMUSG00000067825.11 transcript:ENSMUST00000088561.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex26 description:peroxisomal biogenesis factor 26 [Source:MGI Symbol;Acc:MGI:1921293] MKSDASTSAAPLKGLVGPLRSSEPALALPAVSPAVHLLEEASDLLVVHLDFHAALETCER AWQSLAEEPVSGTIVEVKCSLCVVGIQALAEMDRWREALSWVLRYYQVPEKLPPKVLELC ILLYSKMKEPGAVLDVASAWLQDPDNQGLPDYGSLARLHVFRLLLPSGRLSEAEELAVRS AAFSEEQRVEALQAIHLARQQHTQEHTQEHSDSQEPQKLRQEGSFSQKLLSLLMLLRRLW GSVVSHLLSQPFRKGLLAALILCLLILRFDPAAPSSLPFLYQLTQLFRRIQKATLSRLYP LALRD >ENSMUSP00000119048.1 pep:known chromosome:GRCm38:6:121183802:121187441:1 gene:ENSMUSG00000067825.11 transcript:ENSMUST00000137432.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex26 description:peroxisomal biogenesis factor 26 [Source:MGI Symbol;Acc:MGI:1921293] MKSDASTSAAPLKGLVGPLRSSEPALALPAVSPAVHLLEEASDLLVVHLDFHAALETCER AWQSLAEEPVSGTIVEVKCSLCVVGIQALAEMDRWREALSWVLRYYQVPEKLPPKVLELC ILLYSKMKEPGAVLDVASAWLQDPDNQGLPDYGSLARLHVFRLLLPSGRLSEAEELAVRS A >ENSMUSP00000113233.1 pep:known chromosome:GRCm38:6:121183889:121196185:1 gene:ENSMUSG00000067825.11 transcript:ENSMUST00000120066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex26 description:peroxisomal biogenesis factor 26 [Source:MGI Symbol;Acc:MGI:1921293] MKSDASTSAAPLKGLVGPLRSSEPALALPAVSPAVHLLEEASDLLVVHLDFHAALETCER AWQSLAEEPVSGTIVEVKCSLCVVGIQALAEMDRWREALSWVLRYYQVPEKLPPKVLELC ILLYSKMKEPGAVLDVASAWLQDPDNQGLPDYGSLARLHVFRLLLPSGRLSEAEELAVRS AAFSEEQRVEALQAIHLARQQHTQEHTQEHSDSQEPQKLRQEGSFSQKLLSLLMLLRRLW GSVVSHLLSQPFRKGLLAALILCLLILRFDPAPSSLPFLYQLTQLFRRIQKATLSRLYPL ALRD >ENSMUSP00000117444.1 pep:known chromosome:GRCm38:6:121183898:121198837:1 gene:ENSMUSG00000067825.11 transcript:ENSMUST00000125633.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pex26 description:peroxisomal biogenesis factor 26 [Source:MGI Symbol;Acc:MGI:1921293] MKSDASTSAAPLKGLVGPLRSSEPALALPAVSPAVHLLEEASDLLVVHLDFHAALETCER AWQSLAEEPVSGTIVEVKCSLCVVGIQALAEMDRWREALSWVLRYYQVPEKLPPKVLELC ILLYSKMKEPGAVLDVASAWLQDPDNQGLPDYGSLARLHVFRLLLPSGRLSEAEELAVRS AAFSEEQRVEALQAIHLARQQHTQEHTQEHSDSQEPQKLRQEGSFSQKLLSLLMLLRRLW GSVVSHLLSQPFRKGLLAALILCLLILRFDPAAPSSLPFLYQLTQLFRRIQKATLSRLYP LALRD >ENSMUSP00000141538.1 pep:known chromosome:GRCm38:1:195136811:195176716:-1 gene:ENSMUSG00000026616.14 transcript:ENSMUST00000195120.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cr2 description:complement receptor 2 [Source:MGI Symbol;Acc:MGI:88489] MGSLGSLWVFFTLITPGVLEISCDPPPEVKNARKPYYSLPIVPGTVLRYTCSPSYRLIGE KAIFCISENQVHATWDKAPPICESVNKTISCSDPIVPGGFMNKGSKAPFRHGDSVTFTCK ANFTMKGSKTVWCQANEMWGPTALPVCESDFPLECPSLPTIHNGHHTGQHVDQFVAGLSV TYSCEPGYLLTGKKTIKCLSSGDWDGVIPTCKEAQCEHPGKFPNGQVKEPLSLQVGTTVY FSCNEGYQLQGQPSSQCVIVEQKAIWTKKPVCKEILCPPPPPVRNGSHTGSFSENVPYGS TVTYTCDPSPEKGVSFTLIGEKTINCTTGSQKTGIWSGPAPYCVLSTSAVLCLQPKIKRG QILSILKDSYSYNDTVAFSCEPGFTLKGNRSIRCNAHGTWEPPVPVCEKGCQAPPKIING QKEDSYLLNFDPGTSIRYSCDPGYLLVGEDTIHCTPEGKWTPITPQCTVAECKPVGPHLF KRPQNQFIRTAVNSSCDEGFQLSESAYQLCQGTIPWFIEIRLCKEITCPPPPVIHNGTHT WSSSEDVPYGTVVTYMCYPGPEEGVKFKLIGEQTIHCTSDSRGRGSWSSPAPLCKLSLPA VQCTDVHVENGVKLTDNKAPYFYNDSVMFKCDDGYILSGSSQIRCKANNTWDPEKPLCKK EGCEPMRVHGLPDDSHIKLVKRTCQNGYQLTGYTYEKCQNAENGTWFKKIEVCTVILCQP PPKIANGGHTGMMAKHFLYGNEVSYECDEGFYLLGEKSLQCVNDSKGHGSWSGPPPQCLQ SSPLTHCPDPEVKHGYKLNKTHSAFSHNDIVHFVCNQGFIMNGSHLIRCHTNNTWLPGVP TCIRKASLGCQSPSTIPNGNHTGGSIARFPPGMSVMYSCYQGFLMAGEARLICTHEGTWS QPPPFCKEVNCSFPEDTNGIQKGFQPGKTYRFGATVTLECEDGYTLEGSPQSQCQDDSQW NPPLALCKYRRVNYSSYLWYFCGLSTYHFDECRLLYDIKTQRKQLLYKDKTQRRSSSFRN TRSIFY >ENSMUSP00000141706.1 pep:known chromosome:GRCm38:1:195136817:195159752:-1 gene:ENSMUSG00000026616.14 transcript:ENSMUST00000193356.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cr2 description:complement receptor 2 [Source:MGI Symbol;Acc:MGI:88489] YGSTVTYTCDPSPEKGVSFTLIGEKTINCTTGSQKTGIWSGPAPYCVLSTSAVLCLQPKI KRGQILSILKDSYSYNDTVAFSCEPGFTLKGNRSIRCNAHGTWEPPVPVCEKGCQAPPKI INGQKEDSYLLNFDPGTSIRYSCDPGYLLVGEDTIHCTPEGKWTPITPQCTVAECKPVGP HLFKRPQNQFIRTAVNSSCDEGFQLSESAYQLCQGTIPWFIEIRLCKEITCPPPPVIHNG THTWSSSEDVPYGTVVTYMCYPGPEEGVKFKLIGEQTIHCTSDSRGRGSWSSPAPLCKLS LPAVQCTDVHVENGVKLTDNKAPYFYNDSVMFKCDDGYILSGSSQIRCKANNTWDPEKPL CKKEGCEPMRVHGLPDDSHIKLVKRTCQNGYQLTGYTYEKCQNAENGTWFKKIEVCTVIL CQPPPKIANGGHTGMMAKHFLYGNEVSYECDEGFYLLGEKSLQCVNDSKGHGSWSGPPPQ CLQSSPLTHCPDPEVKHGYKLNKTHSAFSHNDIVHFVCNQGFIMNGSHLIRCHTNNTWLP GVPTCIRKASLGCQSPSTIPNGNHTGGSIARFPPGMSVMYSCYQGFLMAGEARLICTHEG TWSQPPPFCKEVNCSFPEDTNGIQKGFQPGKTYRFGATVTLECEDGYTLEGSPQSQCQDD SQWNPPLALCKYRSTIPLICGISVGSALIILMSVGFCMILKHRESNYYTKTRPKEGALHL ETREVYSIDPYNPAS >ENSMUSP00000142147.1 pep:known chromosome:GRCm38:1:195139669:195151590:-1 gene:ENSMUSG00000026616.14 transcript:ENSMUST00000193436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cr2 description:complement receptor 2 [Source:MGI Symbol;Acc:MGI:88489] XGSLQIPVNYSSYLWYFCGLSTYHFDECRLLYDIKTQRKQLLYKDKTQRRSSSFRNTRSI FY >ENSMUSP00000141276.1 pep:known chromosome:GRCm38:1:195139689:195176648:-1 gene:ENSMUSG00000026616.14 transcript:ENSMUST00000193801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cr2 description:complement receptor 2 [Source:MGI Symbol;Acc:MGI:88489] MGSLGSLWVFFTLITPGVLDQDVELSATSSAPYLLTCHQATLHDNNGLNL >ENSMUSP00000080938.3 pep:known chromosome:GRCm38:1:195136811:195176715:-1 gene:ENSMUSG00000026616.14 transcript:ENSMUST00000082321.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cr2 description:complement receptor 2 [Source:MGI Symbol;Acc:MGI:88489] MGSLGSLWVFFTLITPGVLEISCDPPPEVKNARKPYYSLPIVPGTVLRYTCSPSYRLIGE KAIFCISENQVHATWDKAPPICESVNKTISCSDPIVPGGFMNKGSKAPFRHGDSVTFTCK ANFTMKGSKTVWCQANEMWGPTALPVCESDFPLECPSLPTIHNGHHTGQHVDQFVAGLSV TYSCEPGYLLTGKKTIKCLSSGDWDGVIPTCKEAQCEHPGKFPNGQVKEPLSLQVGTTVY FSCNEGYQLQGQPSSQCVIVEQKAIWTKKPVCKEILCPPPPPVRNGSHTGSFSENVPYGS TVTYTCDPSPEKGVSFTLIGEKTINCTTGSQKTGIWSGPAPYCVLSTSAVLCLQPKIKRG QILSILKDSYSYNDTVAFSCEPGFTLKGNRSIRCNAHGTWEPPVPVCEKGCQAPPKIING QKEDSYLLNFDPGTSIRYSCDPGYLLVGEDTIHCTPEGKWTPITPQCTVAECKPVGPHLF KRPQNQFIRTAVNSSCDEGFQLSESAYQLCQGTIPWFIEIRLCKEITCPPPPVIHNGTHT WSSSEDVPYGTVVTYMCYPGPEEGVKFKLIGEQTIHCTSDSRGRGSWSSPAPLCKLSLPA VQCTDVHVENGVKLTDNKAPYFYNDSVMFKCDDGYILSGSSQIRCKANNTWDPEKPLCKK EGCEPMRVHGLPDDSHIKLVKRTCQNGYQLTGYTYEKCQNAENGTWFKKIEVCTVILCQP PPKIANGGHTGMMAKHFLYGNEVSYECDEGFYLLGEKSLQCVNDSKGHGSWSGPPPQCLQ SSPLTHCPDPEVKHGYKLNKTHSAFSHNDIVHFVCNQGFIMNGSHLIRCHTNNTWLPGVP TCIRKASLGCQSPSTIPNGNHTGGSIARFPPGMSVMYSCYQGFLMAGEARLICTHEGTWS QPPPFCKEVNCSFPEDTNGIQKGFQPGKTYRFGATVTLECEDGYTLEGSPQSQCQDDSQW NPPLALCKYRSTIPLICGISVGSALIILMSVGFCMILKHRESNYYTKTRPKEGALHLETR EVYSIDPYNPAS >ENSMUSP00000044261.8 pep:known chromosome:GRCm38:1:195165745:195171168:-1 gene:ENSMUSG00000026616.14 transcript:ENSMUST00000043104.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cr2 description:complement receptor 2 [Source:MGI Symbol;Acc:MGI:88489] QCKLLPKYSFAKPSIVSDKSEFAIGTTWEYKCRPGYFRKSFIITCLETSKWSDAQQFCKR KPCMNPQEPLHGSVHINTGIEFGSTITYSCNQGYRLIGDSSATCIVSDNTVMWDNDMPLC ESIPCESPPAISNGDFYSSSRDSFFYGMVVTYYCHTGKNREKLFDLVGEKSIYCTSKDNQ VGIWNSPPPQCIPRVKCPMPEIENGLVESGFKHSFFLNDTVIFKCKSGFTMKGSRIAWCQ PNSKWSPPLPTCFMGCLPPQNILHGDYNKKDEFFSVGQKVSYSCNPGYTLIGTNLVECTS LGTWSNTVPTCEVKSCDAIPNHLLHGRVFLPPNLQLGAEVSFVCDLG >ENSMUSP00000120759.1 pep:known chromosome:GRCm38:7:141228784:141262742:1 gene:ENSMUSG00000038611.16 transcript:ENSMUST00000155123.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phrf1 description:PHD and ring finger domains 1 [Source:MGI Symbol;Acc:MGI:2141847] MDDDNLDELVAHSPGPDGPPRVGSSELASDAEESSNGQSGDSEDDTGSEQDDDTDGEETE GLSEEEDPEDRSGSEDSEDGVEMATAAIETQGKLEASSVPNSDDDAESCPICLNAFRDQA VGTPETCAHYFCLDCIIEWSRNANSCPVDRTVFKCICIRAQFNGKILKKIPVENTKACEA EEEDPTFCEVCGRSDREDRLLLCDGCDAGCSSCE >ENSMUSP00000101648.2 pep:known chromosome:GRCm38:7:141228789:141262626:1 gene:ENSMUSG00000038611.16 transcript:ENSMUST00000106027.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phrf1 description:PHD and ring finger domains 1 [Source:MGI Symbol;Acc:MGI:2141847] MDDDNLDELVAHSPGPDGPPRVGSSELASDAEESSNGQSGDSEDDTGSEQDDDTDGEETE GLSEEEDPEDRSGSEDSEDGVEMATAAIETQGKLEASSVPNSDDDAESCPICLNAFRDQA VGTPETCAHYFCLDCIIEWSRNANSCPVDRTVFKCICIRAQFNGKILKKIPVENTKACEA EEEDPTFCEVCGRSDREDRLLLCDGCDAGYHMECLDPPLQEVPVDEWFCPECTVPGVDPT HDAAPVSDEEVSLLLADVVPTTSRLRPRVGRTRAIARTRQSERVRATVNRNRISSARRVQ HVPRYLMSSLLDETIEAVATGLSTAVYQRPLTPRVPAKRKRKAGRRKKVLGRKKTRSRSS VKSKSGSTRAKKRQHRVRKTKGRKLKNEVTARSRIARTLGLRRPVRGTSMPSVYKPVDPS LGLMRADIGAASLSLFGDPYELDPFDSNEEQSADPPSPLSAKRRVLSRSALQSHQPVARP VAMGLSRRQLPAVAPEPSVEEAPVPDLLGSILSGQSLLMMSSADVVIHRDGSLSAKRAAP VSLQRNSVTQSREESRLRDNPQPGALPSESASGGFVGDRQPNSGLSCGNRTALCCLPARI AQTPVRSDPSLTPRSGLSRTLSDENRPSRTHSSSPQLNGSNVRVSSASTKIVTHSSFPSK NTASGLPQRTGPRRPDFSKLPRIPKIHRDGNKSTQDQAPASGHIVELPSTCISRLTGREG PGQPGRGRVDSEPSSRGPQETGSHTSGSRPPAPSSHGSLAHLGPSRGKGIGSSFESFRIN IPGNTAHCSQLSSPGFCNTFRPVDSKVQRKETPFPLFSIKKPKQLKSEIYDPFDPTGSDS SPPSSSPESLGPGLLPSEITRTISINSPKAPAFQTVRCVTSYRVESIFGTEMEPEPQPPS EPVSGMLELLSKGSAEGTSDLEQEGLGEIEPTEIRGSTARTQRPPPPDPWDDEDEVSCTP FFGSEERTVTCVTVEEPGVLPSPDAPQITTHRIVEFRASSRSRSTSSSRSRKKTKKKKKK VAREHQRTRSSTRSGSRDRTSRSVSPVAEEHTRRHRAKTKSRRSSSDRASSQDRAKRRKD RDDRDREHRRGSWGHGRCRRKSRSRSGSPGSSSCERHESKRRKRRHSGSRSRGSSLERDR RHKHRERSRERMDKQESVTRSRERRRWRSRSPSLEHRPRRPPSREKRAHSPEKKGPVREV SPAPATQGESRQDGDHSAEPPVSEVSVLPEVVSVLPEVVVADLNPPEVPPVLAEPVAHVP EDLDYGESVEAGHVFEDFSNEAIFIQLDDMSSPPSPESTDSSPERDFPPNPILPPASLPQ DSTLPTIQREVLPIHSEDISKPVPQALAPSDQSLLKQDTVEITTTTPSTPAVVPMTKDSP VLSARGWEAVRPRDAVAQAPLLRSRTLVKRVTWNLQEAEHSTPAALDRDPRTPLQRPQRP QEGDWDAEDRALIGFQQAPFSELPPPIHVLQESGLPDADPSQPPGAPRAEGLPAAGTLHS AGGILAQVYSPNMPPPLAQPSSILPYALVSQPSVQLILQGTLPLAGCGTAQSLAPVPTMP ATVSELAVPTTNNSEERTATPKTAAEKTKKEEYMKKLHMQERAVEEVKLAIKPFYQKREV TKEEYKDILRKAVQKICHSKSGEINPVKVANLVKAYVDKYRHMRRHKKTEGGEEPPTQGA ET >ENSMUSP00000118425.1 pep:known chromosome:GRCm38:7:141234201:141247317:1 gene:ENSMUSG00000038611.16 transcript:ENSMUST00000134057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phrf1 description:PHD and ring finger domains 1 [Source:MGI Symbol;Acc:MGI:2141847] MATAAIETQGKLEASSVPNSDDDAESCPICLNAFRDQAVGTPETCAHYFCLDCIIEWSRN ANSCPVDRTVFKCICIRAQFNGKILKKIPVENTKACEAEEEDPTFCEVCGRSDREDRLLL CDGCDAGYHMECLDPPLQEVPVDEWFCPECTVPGVDPTHDAAPVSDEEVSLLLADVVPTT SRLRPRVGRTRA >ENSMUSP00000113195.1 pep:known chromosome:GRCm38:7:141243499:141262595:1 gene:ENSMUSG00000038611.16 transcript:ENSMUST00000122143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phrf1 description:PHD and ring finger domains 1 [Source:MGI Symbol;Acc:MGI:2141847] MFTDQYFCLQIPVENTKACEAEEEDPTFCEVCGRSDREDRLLLCDGCDAGYHMECLDPPL QEVPVDEWFCPECTVPGVDPTHDAAPVSDEEVSLLLADVVPTTSRLRPRVGRTRAIARTR QSERVRATVNRNRISSARRVQHVPRYLMSSLLDETIEAVATGLSTAVYQRPLTPRVPAKR KRKAGRRKKVLGRKKTRSRSSVKSKSGSTRAKKRQHRVRKTKGRKLKNEVTARSRIARTL GLRRPVRGTSMPSVYKPVDPSLGLMRADIGAASLSLFGDPYELDPFDSNEEQSADPPSPL SAKRRVLSRSALQSHQPVARPVAMGLSRRQLPAVAPEPSVEEAPVPDLLGSILSGQSLLM MSSADVVIHRDGSLSAKRAAPVSLQRNSVTQSREESRLRDNPQPGALPSESASGGFVGDR QPNSGLSCGNRTALCCLPARIAQTPVRSDPSLTPRSGLSRTLSDENRPSRTHSSSPQLNG SNVRVSSASTKIVTHSSFPSKNTASGLPQRTGPRRPDFSKLPRIPKIHRDGNKSTQDQAP ASGHIVELPSTCISRLTGREGPGQPGRGRVDSEPSSRGPQETGSHTSGSRPPAPSSHGSL AHLGPSRGKGIGSSFESFRINIPGNTAHCSQLSSPGFCNTFRPVDSKVQRKETPFPLFSI KKPKQLKSEIYDPFDPTGSDSSPPSSSPESLGPGLLPSEITRTISINSPKAPAFQTVRCV TSYRVESIFGTEMEPEPQPPSEPVSGMLELLSKGSAEGTSDLEQEGLGEIEPTEIRGSTA RTQRPPPPDPWDDEDEVSCTPFFGSEERTVTCVTVEEPGVLPSPDAPQITTHRIVEFRAS SRSRSTSSSRSRKKTKKKKKKVAREHQRTRSSTRSGSRDRTSRSVSPVAEEHTRRHRAKT KSRRSSSDRASSQDRAKRRKDRDDRDREHRRGSWGHGRCRRKSRSRSGSPGSSSCERHES KRRKRRHSGSRSRGSSLERDRRHKHRERSRERMDKQESVTRSRERRRWRSRSPSLEHRPR RPPSREKRAHSPEKKGPVREVSPAPATQGESRQDGDHSAEPPVSEVSVLPEVVSVLPEVV VADLNPPEVPPVLAEPVAHVPEDLDYGESVEAGHVFEDFSNEAIFIQLDDMSSPPSPEST DSSPERDFPPNPILPPASLPQDSTLPTIQREVLPIHSEDISKPVPQALAPSDQSLLKQDT VEITTTTPSTPAVVPMTKDSPVLSARGWEAVRPRDAVAQAPLLRSRTLVKRVTWNLQEAE HSTPAALDRDPRTPLQRPQRPQEGDWDAEDRALIGFQQAPFSELPPPIHVLQESGLPDAD PSQPPGAPRAEGLPAAGTLHSAGGILAQVYSPNMPPPLAQPSSILPYALVSQPSVQLILQ GTLPLAGCGTAQSLAPVPTMPATVSELAVPTTNNSEERTATPKTAAEKTKKEEYMKKLHM QERAVEEVKLAIKPFYQKREVTKEEYKDILRKAVQKICHSKSGEINPVKVANLVKAYVDK YRHMRRHKKTEGGEEPPTQGAET >ENSMUSP00000117393.1 pep:known chromosome:GRCm38:7:141259190:141262750:1 gene:ENSMUSG00000038611.16 transcript:ENSMUST00000142572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phrf1 description:PHD and ring finger domains 1 [Source:MGI Symbol;Acc:MGI:2141847] XLGEIEPTEIRGSTARTQRPPPPDPWDDEDEVSCTPFFGSEERTVTCVTVEEPGVLPSPD APQITTHRIVEFRASSRSRSTSSSRSRKKTKKKKKKVAREHQRTRSSTRSGSRDRTSRSV SPVAEEHTRRHRAKTKSRRSSSDRASSQDRAKRRKDRDDRDREHRRGSWGHGRCRRKSRS RSGSPGSSSCERHESKRRKRRHSGSRSRGSSLERDRRHKHRERSRERMDKQESVTRSRER RRWRSRSPSLEHRPRRPPSREKRAHSPEKKGPVREVSPAPATQGESRQDGDHSAEPPVSE VSVLPEVVSVLPEVVVADLNPPEVPPVLAEPVAHVPEDLDYGESVEAGHVFEDFSNEAIF IQLDDMSSPPSPESTDSSPERDFPPNPILPPASLPQDSTLPTIQREVLPIHSEDISKPVP QALAPSDQSLLKQDTVEITTTTPSTPAVVPMTKDSPVLSARGWEAVRPRDAVAQAPLLRS RTLVKRVTWNLQEAEHSTPAALDRDPRLTTRHPHSLSVGSSGTPLQRPQRPQEGDWDAED RALIGFQQAPFSELPPPIHVLQESGLPDADPSQPPGAPRAEGLPAAGTLHSAGGILAQVY SPNMPPPLAQPSSILPYALVSQPSVQLILQGTLPLAGCGTAQSLAPVPTMPATVSELAVP TTNNSEERTATPKTAAEKTKKEEYMKKLHMQERAVEEVKLAIKPFYQKREVTKEEYKDIL RKAVQKICHSKSGEINPVKVANLVKAYVDKYRHMRRHKKTEGGEEPPTQGAET >ENSMUSP00000123351.1 pep:known chromosome:GRCm38:7:141260397:141262747:1 gene:ENSMUSG00000038611.16 transcript:ENSMUST00000130687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phrf1 description:PHD and ring finger domains 1 [Source:MGI Symbol;Acc:MGI:2141847] XQREVLPIHSEDISKPVPQALAPSDQSLLKQDTVEITTTTPSTPAVVPMTKDSPVLSARG WEAVRPRDAVAQAPLLRSRTLVKRVTWNLQEAEHSTPAALDRDPRTPLQRPQRPQEGDWD AEDRALIGFQQAPFSELPPPIHVLQESGLPDADPSQVYSPNMPPPLAQPSSILPYALVSQ PSVQLILQGTLPLAGCGTAQSLAPVPTMPATVSELAVPTTNNSEERTATPKTAAEKTKKE EYMKKLHMQERAVEEVKLAIKPFYQKREVTKEEYKDILRKAVQKICHSKSGEINPVKVAN LVKAYVDKYRHMRRHKKTEGGEEPPTQGAET >ENSMUSP00000141448.1 pep:known chromosome:GRCm38:1:171917515:171953170:1 gene:ENSMUSG00000015314.10 transcript:ENSMUST00000195656.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf6 description:SLAM family member 6 [Source:MGI Symbol;Acc:MGI:1353620] MAVSRAPAPDSACQRMVWLFPLVFCLGSGSEVSQSSSDPQLMNGVLGESAVLPLKLPAGK IANIIIWNYEWEASQVTALVINLSNPESPQIMNTDVKKRLNITQSYSLQISNLTMADTGS YTAQITTKDSEVITFKYILRVFERLGNLETTNYTLLLENGTCQIHLACVLKNQSQTVSVE WQATGNISLGGPNVTIFWDPRNSGDQTYVCRAKNAVSNLSVSVSTQSLCKGVLTNPPWNA VWFMTTISIISAVILIFVCWSIHVWKRRGSLPLTSQHPESSQSTDGPGSPGNTVYAQVTR PMQEMKIPKPIKNDSMTIYSIVNHSREETVALTGYNQPITLKVNTLINYNS >ENSMUSP00000130610.1 pep:known chromosome:GRCm38:1:171917537:171943910:1 gene:ENSMUSG00000015314.10 transcript:ENSMUST00000171330.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf6 description:SLAM family member 6 [Source:MGI Symbol;Acc:MGI:1353620] MAVSRAPAPDSACQRMVWLFPLVFCLGSGSEVSQSSSDPQLMNGVLGESAVLPLKLPAGK IANIIIWNYEWEASQVTALVINLSNPESPQIMNTDVKKRLNITQSYSLQISNLTMADTGS YTAQITTKDSEVITFKYILRVFERLGNLETTNYTLLLENGTCQIHLACVLKNQSQTVSVE WQATGNISLGGPNVTIFWDPRNSGDQTYVCRAKNAVSNLSVSVSTQSLCKGVLTNPPWNA VWFMTTISIISAVILIFVCWSIHVWKRRGSLPLTSQHPESSQSTDGPGSPGNTVYAQVTR PMQEMKIPKPIKNDSMTIYSIVNHSREAEYS >ENSMUSP00000141944.1 pep:known chromosome:GRCm38:1:171917708:171948073:1 gene:ENSMUSG00000015314.10 transcript:ENSMUST00000194561.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf6 description:SLAM family member 6 [Source:MGI Symbol;Acc:MGI:1353620] MAVSRAPAPDSACQRMVWLFPLVFCLGSGSEVSQSSSDPQLMNGVLGESAVLPLKLPAGK IANIIIWNYEWEASQVTALVINLSNPESPQIMNTDVKKRLNITQSYSLQISNLTMADTGS YTAQITTKDSEVITFKYILRVFERLGNLETTNYTLLLENGTCQIHLACVLKNQSQTVSVE WQATGNISLGGPNVTIFWDPRNSGDQTYVCRAKNAVSNLSVSVSTQSLCKGVLTNPPWNA VWFMTTISIISAVILIFVCWSIHVWKRRGSLPLTSQHPESSQSTDGPGSPGNTVYAQVTR PMQETVALTGYNQPITLKVNTLINYNS >ENSMUSP00000142242.1 pep:known chromosome:GRCm38:1:171919492:171934139:1 gene:ENSMUSG00000015314.10 transcript:ENSMUST00000194182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf6 description:SLAM family member 6 [Source:MGI Symbol;Acc:MGI:1353620] MEKGEGRIRRDWGKTRPLGQVCRTKRCSLRRRRCLTHYKFSGSEVSQSSSDPQLM >ENSMUSP00000055823.7 pep:known chromosome:GRCm38:9:56089962:56128888:1 gene:ENSMUSG00000032322.14 transcript:ENSMUST00000059206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pstpip1 description:proline-serine-threonine phosphatase-interacting protein 1 [Source:MGI Symbol;Acc:MGI:1321396] MMAQLQFRDAFWCRDFTAHTGYEVLLQRLLDGRKMCKDVEELLRQRAQAEERYGKELVQI ARKAGGQTEMNSLRTSFDSLKQQTENVGSAHIQLALALREELRSLEEFRERQKEQRKKYE AIMDRVQKSKLSLYKKTMESKKAYDQKCRDADDAEQAFERVSANGHQKQVEKSQNKAKQC KESATEAERVYRQNIEQLERARTEWEQEHRTTCEAFQLQEFDRLTILRNALWVHCNQLSM QCVKDDELYEEVRLTLEGCDVEGDINGFIQSKSTGREPPAPVPYQNYYDREVTPLIGSPS VQPSCGVIKRFSGLLHGSPKTTPSAPAASTETLTPTPERNELVYASIEVQATQGNLNSSA QDYRALYDYTAQNSDELDISAGDILAVILEGEDGWWTVERNGQRGFVPGSYLEKL >ENSMUSP00000041909.4 pep:known chromosome:GRCm38:8:53586867:53639065:-1 gene:ENSMUSG00000039396.10 transcript:ENSMUST00000047768.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neil3 description:nei like 3 (E. coli) [Source:MGI Symbol;Acc:MGI:2384588] MVEGPGCTLNGEKIRARVLPGQAVTGVRGTALQSLLGPAMSPAASLADVATSAAPMNAKD SGWKLLRLFNGYVYSGVETLGKELFMYFGPRALRIHFGMKGSILINPREGENRAGASPAL AVQLTRDLICFYDSSVELRNSVESQQRVRVMEELDICSPKFSFSRAESEVKKQGDRMLCD VLLDQRVLPGVGNIIKNEALFDSGLHPAVKVCQLSDKQACHLVKMTRDFSILFYRCCKAG SAISKHCKVYKRPNCDQCHSKITVCRFGENSRMTYFCPHCQKENPQCVQVCQLPTRNTEI SWTPRGEDCFTDSVARKSEEQWSCVVCTLINRPSAKACDACLTTRPLDSVLKNRENSIAF NNLVKYPCNNFENTHTEVKINRKTAFGNTTLVLTDLSNKSSALARKKRANHTIDGESQMF LPTDIGFSDSQHPSKEGINYITQPSNKVNISPTVCAQSKLFSSAHKKFKPAHTSATELKS YNSGLSNSELQTNRTRGHHSKSDGSPLCKMHHRRCVLRVVRKDGENKGRQFYACSLPRGA QCGFFEWADLSFPFCRHGKRSIMKTVLKIGPNNGKNFFVCPLEKKKQCNFFQWAENGPGM EIVPGC >ENSMUSP00000121938.1 pep:known chromosome:GRCm38:8:53609508:53638678:-1 gene:ENSMUSG00000039396.10 transcript:ENSMUST00000135443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neil3 description:nei like 3 (E. coli) [Source:MGI Symbol;Acc:MGI:2384588] MNAKDSGWKLLRLFNGYVYSGVETLGKELFMYFGPRALRIHFGMKGSIL >ENSMUSP00000122287.1 pep:known chromosome:GRCm38:8:53605662:53612564:-1 gene:ENSMUSG00000039396.10 transcript:ENSMUST00000153267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neil3 description:nei like 3 (E. coli) [Source:MGI Symbol;Acc:MGI:2384588] MHPSSCSFEGIHFGMKGSILINPREGENRAGASPALAVQLTRDLICFYDSSVELRNSVES QQRVRVMEELDICSPKFSFSRAESEVKKQGDRMLCDVLLDQRVLPGVGNIIKNEALFDSG LHPAVKVCQLSDKQACHLVKMTRDFSILFYRCCKAGSAISKHCKVYKRPNCDQCHSKITV CRFGENSRMTYFCPHCQ >ENSMUSP00000115066.1 pep:known chromosome:GRCm38:8:53605636:53611483:-1 gene:ENSMUSG00000039396.10 transcript:ENSMUST00000126157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neil3 description:nei like 3 (E. coli) [Source:MGI Symbol;Acc:MGI:2384588] MFQSPGMNIPFHTRFLRVFVLHAYLSPLSKLQGLQAVALPNTWIHFGMKGSILINPREGE NRAGASPALAVQLTRDLICFYDSSVELRNSVESQQRVRVMEELDICSPKFSFSRAESEVK KQGDRMLCDVLLDQRVLPGVGNIIKNEALFDSGLHPAVKVCQLSDKQACHLVKMTRDFSI LFYRCCKAGSAISKHCKVYKRPNCDQCHSKITVCRFGENSRMTYFCPHCQKENPQCVQV >ENSMUSP00000010899.7 pep:known chromosome:GRCm38:7:143557230:143600090:-1 gene:ENSMUSG00000010755.17 transcript:ENSMUST00000010899.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cars description:cysteinyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1351477] MAGSSAEQAADYRSILSISDEAARVQALDQHLSTRSYIQGYSLSQADVDVFRQLSAPPAD SRLFHVARWFRHIEALLGGPQGRDEPCRLQASKGRRVQPQWSPPAGTEPCRLRLYNSLTR NKDVFIPQDGKKVTWYCCGPTVYDASHMGHARSYISFDILRRVLRDYFQYDVFYCMNITD IDDKIIRRARQNYLFEQYREQKPPATQLLKDVRDAMKPFSVKLSETTDPDKRQMLERIQN SVKLATEPLEQAVRSSLSGEEVDSKVQVLLEEAKDLLSDWLDSTGGSEVTDNSIFSKLPK FWEEEFHKDMEALNVLPPDVLTRVSEYVPEIVNFVQKIVDNGYGYASNGSVYFDTAKFAA SEKHSYGKLVPEAVGDQKALQEGEGDLSISADRLSEKRSPNDFALWKASKPGEPSWPCPW GKGRPGWHIECSAMAGTLLGASMDIHGGGFDLRFPHHDNELAQSEAYFENDCWVRYFLHT GHLTIAGCKMSKSLKNFITIKDALKKHSARQLRLAFLMHSWKDTLDYSSNTMESALQYEK FMNEFFLNVKDILRAPVDITGQFEKWEAEEVELNKNFYGKKTAVHEALCDNIDTRTVMEE MRALVSQCNLYMAARKAERRRPNRALLENIAMYLTHMLKIFGAIEEESPLGFPVGGPGTN LNLESTVMPYLQVLSEFREGVRKIAREKKVLEVLQLSDALRDDILPELGVRFEDHEGLPT VVKLVDRDTLLKEKEGKKRAEEEKRRKKEEAARKKQEQEAAKLAKMKIPPSEMFLSEVNK YSKFDENGLPTHDTEGKELSKGQAKKLKKLFEAQEKLYKEYLQMLQNGSLQ >ENSMUSP00000146835.1 pep:known chromosome:GRCm38:7:143557706:143565015:-1 gene:ENSMUSG00000010755.17 transcript:ENSMUST00000208575.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cars description:cysteinyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1351477] XGVRKIAREKKVLEVLQLSDALRDDILPELGVRFEDHEG >ENSMUSP00000101529.3 pep:known chromosome:GRCm38:7:143557707:143600056:-1 gene:ENSMUSG00000010755.17 transcript:ENSMUST00000105909.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cars description:cysteinyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1351477] MAGSSAEQGKGRRVQPQWSPPAGTEPCRLRLYNSLTRNKDVFIPQDGKKVTWYCCGPTVY DASHMGHARSYISFDILRRVLRDYFQYDVFYCMNITDIDDKIIRRARQNYLFEQYREQKP PATQLLKDVRDAMKPFSVKLSETTDPDKRQMLERIQNSVKLATEPLEQAVRSSLSGEEVD SKVQVLLEEAKDLLSDWLDSTGGSEVTDNSIFSKLPKFWEEEFHKDMEALNVLPPDVLTR VSEYVPEIVNFVQKIVDNGYGYASNGSVYFDTAKFAASEKHSYGKLVPEAVGDQKALQEG EGDLSISADRLSEKRSPNDFALWKASKPGEPSWPCPWGKGRPGWHIECSAMAGTLLGASM DIHGGGFDLRFPHHDNELAQSEAYFENDCWVRYFLHTGHLTIAGCKMSKSLKNFITIKDA LKKHSARQLRLAFLMHSWKDTLDYSSNTMESALQYEKFMNEFFLNVKDILRAPVDITGQF EKWEAEEVELNKNFYGKKTAVHEALCDNIDTRTVMEEMRALVSQCNLYMAARKAERRRPN RALLENIAMYLTHMLKIFGAIEEESPLGFPVGGPGTNLNLESTVMPYLQVLSEFREGVRK IAREKKVLEVLQLSDALRDDILPELGVRFEDHEGLPTVVKLVDRDTLLKEKEGKKRAEEE KRRKKEEAARKKQEQEAAKLAKMKIPPSEMFLSEVNKYSKFDENGLPTHDTEGKELSKGQ AKKLKKLFEAQEKLYKEYLQMLQNGSLQ >ENSMUSP00000146754.1 pep:known chromosome:GRCm38:7:143585748:143596752:-1 gene:ENSMUSG00000010755.17 transcript:ENSMUST00000154022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cars description:cysteinyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1351477] MGHARSYISFDILRRVLRDYFQYDVFYCMNITDIDDKIIRRARQNYLFEQYRE >ENSMUSP00000033582.4 pep:known chromosome:GRCm38:X:106015700:106022450:1 gene:ENSMUSG00000031231.4 transcript:ENSMUST00000033582.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox7b description:cytochrome c oxidase subunit VIIb [Source:MGI Symbol;Acc:MGI:1913392] MLPLAKNALSRLQVRSIQQVVARQSHQKRAPSFHDKYGNAILAGGAIFCVSTWTYTATQI GIEWNMSPVGRVTPKEWRDQ >ENSMUSP00000134017.1 pep:known chromosome:GRCm38:7:55768178:55772523:1 gene:ENSMUSG00000051504.18 transcript:ENSMUST00000172988.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Siglech description:sialic acid binding Ig-like lectin H [Source:MGI Symbol;Acc:MGI:2443256] MLLSRLLPLLWVLKWASGNCFILPREVIGGQMLPLGTGSMKGKIHTLTLWWLQTSHIN >ENSMUSP00000113665.1 pep:known chromosome:GRCm38:7:55768184:55773476:1 gene:ENSMUSG00000051504.18 transcript:ENSMUST00000121492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglech description:sialic acid binding Ig-like lectin H [Source:MGI Symbol;Acc:MGI:2443256] MLLSRLLPLLWVLKWASGNCFILPREVIGTYPSLTLELQSVTVQEGLCVLVPCHLIEYPM NRWSDAAFGYWFHEGENTHPDSLVATNKPYQLVQKEMQSRFHFSGDCSLDIRDAQKGDNG SYFFRLEKGGKTWNFCEERISVHVTDNSQNSTAHVSGRVGPGKPRPLADVVQVAMGEAAI KFLLLGICFFFLSKRSHTKRVERPATHMDQAHAVMD >ENSMUSP00000058875.8 pep:known chromosome:GRCm38:7:55768226:55778622:1 gene:ENSMUSG00000051504.18 transcript:ENSMUST00000060416.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglech description:sialic acid binding Ig-like lectin H [Source:MGI Symbol;Acc:MGI:2443256] MLLSRLLPLLWVLKWASGNCFILPREVIGTYPSLTLELQSVTVQEGLCVLVPCHLIEYPM NRWSDAAFGYWFHEGENTHPDSLVATNKPYQLVQKEMQSRFHFSGDCSLDIRDAQKGDNG SYFFRLEKGGKTWNFCEERISVHVTDLTHSPNISIPQTLELGRPTNVMCSVPWACERGTP PIFSWMSAALISLGPTTTLSSVLTLTPRLQDHGTNLTCQVTFPGAGVTVQKTIQLNVTYN SQNSTAHVSGRVGPGKPRPLADVVQVAMGEAAIKFLLLGICFFFLSKRSHTKRVERPATH MDQAHAVMD >ENSMUSP00000091920.6 pep:known chromosome:GRCm38:7:55768226:55778833:1 gene:ENSMUSG00000051504.18 transcript:ENSMUST00000094360.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglech description:sialic acid binding Ig-like lectin H [Source:MGI Symbol;Acc:MGI:2443256] MLLSRLLPLLWVLKWASGNCFILPREVIGTYPSLTLELQSVTVQEGLCVLVPCHLIEYPM NRWSDAAFGYWFHEGENTHPDSLVATNKPYQLVQKEMQSRFHFSGDCSLDIRDAQKGDNG SYFFRLEKGGKTWNFCEERISVHVTDLTHSPNISIPQTLELGRPTNVMCSVPWACERGTP PIFSWMSAALISLGPTTTLSSVLTLTPRLQDHGTNLTCQVTFPGAGVTVQKTIQLNVTWK PRPLADVVQVAMGEAAIKFLLLGICFFFLSKRSHTKRVERPATHMDQAHAVMD >ENSMUSP00000130632.2 pep:known chromosome:GRCm38:7:55768236:55777560:1 gene:ENSMUSG00000051504.18 transcript:ENSMUST00000165045.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglech description:sialic acid binding Ig-like lectin H [Source:MGI Symbol;Acc:MGI:2443256] MLLSRLLPLLWVLKWASGNCFILPREVIGTYPSLTLELQSVTVQEGLCVLVPCHLIEYPM NRWSDAAFGYWFHEGENTHPDSLVATNKPYQLVQKEMQSRFHFSGDCSLDIRDAQKGDNG SYFFRLEKGGKTWNFCEERISVHVTDLTHSPNISIPQTLELGRPTNVMCSVPWACERGTP PIFSWMSAALISLGPTTTLSSVLTLTPRLQDHGTNLTCQVTFPGAGVTVQKTIQLNVTYN SQNSTAHVSGRVGPGKPRPLADVVQVAMGEAAIKFLLLGICFFFLRDPIRREWRDQQHTW IRHTLSWISLSGCEDGWHYTRDPPLSSQESHG >ENSMUSP00000134721.1 pep:known chromosome:GRCm38:7:55768236:55777606:1 gene:ENSMUSG00000051504.18 transcript:ENSMUST00000173835.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglech description:sialic acid binding Ig-like lectin H [Source:MGI Symbol;Acc:MGI:2443256] MLLSRLLPLLWVLKWASGNCFILPREVIGTYPSLTLELQSVTVQEGLCVLVPCHLIEYPM NRWSDAAFGYWFHEGENTHPDSLVATNKPYQLVQKEMQSRFHFSGDCSLDIRDAQKGDNG SYFFRLEKGGKTWNFCEERISVHVTDNSQNSTAHVSGRVGPGKPRPLADVVQVAMGEAAI KFLLLGICFFFLSKRSHTKRVERPATHMDQAHAVMD >ENSMUSP00000122697.1 pep:known chromosome:GRCm38:7:55768261:55778925:1 gene:ENSMUSG00000051504.18 transcript:ENSMUST00000154933.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Siglech description:sialic acid binding Ig-like lectin H [Source:MGI Symbol;Acc:MGI:2443256] MLLSRLLPLLWVLKWASGNCFILPREVIDWKKEAKHGISVRKGSLCM >ENSMUSP00000130943.1 pep:known chromosome:GRCm38:7:55768184:55773476:1 gene:ENSMUSG00000051504.18 transcript:ENSMUST00000171077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglech description:sialic acid binding Ig-like lectin H [Source:MGI Symbol;Acc:MGI:2443256] MLLSRLLPLLWVLKWASGNCFILPREVIGTYPSLTLELQSVTVQEGLCVLVPCHLIEYPM NRWSDAAFGYWFHEGENTHPDSLVATNKPYQLVQKEMQSRFHFSGDCSLDIRDAQKGDNG SYFFRLEKGGKTWNFCEERISVHVTDLTHSPNISIPQTLELGRPTNVMCSVPWACERGTP PIFSWMSAALISLGPTTTLSSVLTLTPRLQDHGTNLTCQVTFPGAGVTVQKTIQLNVTYN SQNSTAHVSGRVGPGKPRPLADVVQVAMGEAAIKFLLLGICFFFLSKRSHTKRVERPATH MDQAHAVMD >ENSMUSP00000032233.7 pep:known chromosome:GRCm38:6:121210696:121226854:1 gene:ENSMUSG00000030137.8 transcript:ENSMUST00000032233.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba8 description:tubulin, alpha 8 [Source:MGI Symbol;Acc:MGI:1858225] MRECISVHVGQAGVQIGNACWELFCLEHGIQADGTFGTQASKINDDDSFTTFFSETGNGK HVPRAVMVDLEPTVVDEVRAGTYRQLFHPEQLITGKEDAANNYARGHYTVGKESIDLVLD RIRKLTDACSGLQGFLIFHSFGGGTGSGFTSLLMERLSLDYGKKSKLEFAIYPAPQVSTA VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITA SLRFDGALNVDLTEFQTNLVPYPRIHFPLVTYAPIISAEKAYHEQLSVAEITSSCFEPNS QMVKCDPRHGKYMACCMLYRGDVVPKDVNVAIAAIKTKRTIQFVDWCPTGFKVGINYQPP TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE AREDLAALEKDYEEVGTDSFEEENEGEEF >ENSMUSP00000099810.4 pep:known chromosome:GRCm38:3:95434388:95495567:1 gene:ENSMUSG00000015522.18 transcript:ENSMUST00000102749.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt description:aryl hydrocarbon receptor nuclear translocator [Source:MGI Symbol;Acc:MGI:88071] MAATTANPEMTSDVPSLGPTIASGNPGPGIQGGGAVVQRAIKRRSGLDFDDEVEVNTKFL RCDDDQMCNDKERFARSDDEQSSADKERLARENHSEIERRRRNKMTAYITELSDMVPTCS ALARKPDKLTILRMAVSHMKSLRGTGNTSTDGSYKPSFLTDQELKHLILEAADGFLFIVS CETGRVVYVSDSVTPVLNQPQSEWFGSTLYDQVHPDDVDKLREQLSTSENALTGRVLDLK TGTVKKEGQQSSMRMCMGSRRSFICRMRCGTSSVDPVSMNRLSFLRNRCRNGLGSVKEGE PHFVVVHCTGYIKAWPPAGVSLPDDDPEAGQGSKFCLVAIGRLQVTSSPNCTDMSNICQP TEFISRHNIEGIFTFVDHRCVATVGYQPQELLGKNIVEFCHPEDQQLLRDSFQQVVKLKG QVLSVMFRFRSKTREWLWMRTSSFTFQNPYSDEIEYIICTNTNVKNSSQEPRPTLSNTIP RSQLGPTANLSLEMGTGQLPSRQQQQQHTELDMVPGRDGLASYNHSQVSVQPVASAGSEH SKPLEKSEGLFAQDRDPRFPEIYPSITADQSKGISSSTVPATQQLFSQGSSFPPNPRPAE NFRNSGLTPPVTIVQPSSSAGQILAQISRHSNPAQGSAPTWTSSSRPGFAAQQVPTQATA KTRSSQFGVNNFQTSSSFSAMSLPGAPTASSGTAAYPALPNRGSNFPPETGQTTGQFQAR TAEGVGVWPQWQGQQPHHRSSSSEQHVQQTQAQAPSQPEVFQEMLSMLGDQSNTYNNEEF PDLTMFPPFSE >ENSMUSP00000102779.1 pep:known chromosome:GRCm38:3:95434404:95497237:1 gene:ENSMUSG00000015522.18 transcript:ENSMUST00000107161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt description:aryl hydrocarbon receptor nuclear translocator [Source:MGI Symbol;Acc:MGI:88071] MAATTANPEMTSDVPSLGPTIASGNPGPGIQGGGAVVQRAIKRRSGLDFDDEVEVNTKFL RCDDDQMCNDKERFARENHSEIERRRRNKMTAYITELSDMVPTCSALARKPDKLTILRMA VSHMKSLRGTGNTSTDGSYKPSFLTDQELKHLILEAADGFLFIVSCETGRVVYVSDSVTP VLNQPQSEWFGSTLYDQVHPDDVDKLREQLSTSENALTGRVLDLKTGTVKKEGQQSSMRM CMGSRRSFICRMRCGTSSVDPVSMNRLSFLRNRCRNGLGSVKEGEPHFVVVHCTGYIKAW PPAGVSLPDDDPEAGQGSKFCLVAIGRLQVTSSPNCTDMSNICQPTEFISRHNIEGIFTF VDHRCVATVGYQPQELLGKNIVEFCHPEDQQLLRDSFQQVVKLKGQVLSVMFRFRSKTRE WLWMRTSSFTFQNPYSDEIEYIICTNTNVKNSSQEPRPTLSNTIPRSQLGPTANLSLEMG TGQLPSRQQQQQHTELDMVPGRDGLASYNHSQVSVQPVASAGSEHSKPLEKSEGLFAQDR DPRFPEIYPSITADQSKGISSSTVPATQQLFSQGSSFPPNPRPAENFRNSGLTPPVTIVQ PSSSAGQILAQISRHSNPAQGSAPTWTSSSRPGFAAQVPTQATAKTRSSQFGVNNFQTSS SFSAMSLPGAPTASSAPETGQTTGQFQARTAEGVGVWPQWQGQQPHHRSSSSEQHVQQTQ AQAPSQPEVFQEMLSMLGDQSNTYNNEEFPDLTMFPPFSE >ENSMUSP00000102778.1 pep:known chromosome:GRCm38:3:95434428:95460868:1 gene:ENSMUSG00000015522.18 transcript:ENSMUST00000107160.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt description:aryl hydrocarbon receptor nuclear translocator [Source:MGI Symbol;Acc:MGI:88071] MAATTANPEMTSDVPSLGPTIASGNPGPGIQGGGAVVQRAIKRRSGLDFDDEVEVNTKFL RCDDDQMCNDKERFAR >ENSMUSP00000116688.1 pep:known chromosome:GRCm38:3:95476097:95487311:1 gene:ENSMUSG00000015522.18 transcript:ENSMUST00000136413.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt description:aryl hydrocarbon receptor nuclear translocator [Source:MGI Symbol;Acc:MGI:88071] KTGTVKKEGQQSSMRMCMGSRRSFICRMRCGTSSVDPVSMNRLSFLRNRCRNGLGSVKEG EPHFVVVHCTGYIKAWPPAGVSLPDDDPEAGQGSKFCLVAIGRLQELLGKNIVEFCHPED QQLLRD >ENSMUSP00000088313.5 pep:known chromosome:GRCm38:3:95434390:95497240:1 gene:ENSMUSG00000015522.18 transcript:ENSMUST00000090804.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt description:aryl hydrocarbon receptor nuclear translocator [Source:MGI Symbol;Acc:MGI:88071] MAATTANPEMTSDVPSLGPTIASGNPGPGIQGGGAVVQRAIKRRSGLDFDDEVEVNTKFL RCDDDQMCNDKERFARENHSEIERRRRNKMTAYITELSDMVPTCSALARKPDKLTILRMA VSHMKSLRGTGNTSTDGSYKPSFLTDQELKHLILEAADGFLFIVSCETGRVVYVSDSVTP VLNQPQSEWFGSTLYDQVHPDDVDKLREQLSTSENALTGRVLDLKTGTVKKEGQQSSMRM CMGSRRSFICRMRCGTSSVDPVSMNRLSFLRNRCRNGLGSVKEGEPHFVVVHCTGYIKAW PPAGVSLPDDDPEAGQGSKFCLVAIGRLQVTSSPNCTDMSNICQPTEFISRHNIEGIFTF VDHRCVATVGYQPQELLGKNIVEFCHPEDQQLLRDSFQQVVKLKGQVLSVMFRFRSKTRE WLWMRTSSFTFQNPYSDEIEYIICTNTNVKNSSQEPRPTLSNTIPRSQLGPTANLSLEMG TGQLPSRQQQQQHTELDMVPGRDGLASYNHSQVSVQPVASAGSEHSKPLEKSEGLFAQDR DPRFPEIYPSITADQSKGISSSTVPATQQLFSQGSSFPPNPRPAENFRNSGLTPPVTIVQ PSSSAGQILAQISRHSNPAQGSAPTWTSSSRPGFAAQQVPTQATAKTRSSQFGVNNFQTS SSFSAMSLPGAPTASSGTAAYPALPNRGSNFPPETGQTTGQFQARTAEGVGVWPQWQGQQ PHHRSSSSEQHVQQTQAQAPSQPEVFQEMLSMLGDQSNTYNNEEFPDLTMFPPFSE >ENSMUSP00000015666.10 pep:known chromosome:GRCm38:3:95434428:95495571:1 gene:ENSMUSG00000015522.18 transcript:ENSMUST00000015666.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arnt description:aryl hydrocarbon receptor nuclear translocator [Source:MGI Symbol;Acc:MGI:88071] MAATTANPEMTSDVPSLGPTIASGNPGPGIQGGGAVVQRAIKRRSGLDFDDEVEVNTKFL RCDDDQMCNDKERFASTLFWRRNKMTAYITELSDMVPTCSALARKPDKLTILRMAVSHMK SLRGTGNTSTDGSYKPSFLTDQELKHLILEAADGFLFIVSCETGRVVYVSDSVTPVLNQP QSEWFGSTLYDQVHPDDVDKLREQLSTSENALTGRVLDLKTGTVKKEGQQSSMRMCMGSR RSFICRMRCGTSSVDPVSMNRLSFLRNRCRNGLGSVKEGEPHFVVVHCTGYIKAWPPAGV SLPDDDPEAGQGSKFCLVAIGRLQVTSSPNCTDMSNICQPTEFISRHNIEGIFTFVDHRC VATVGYQPQELLGKNIVEFCHPEDQQLLRDSFQQVVKLKGQVLSVMFRFRSKTREWLWMR TSSFTFQNPYSDEIEYIICTNTNVKNSSQEPRPTLSNTIPRSQLGPTANLSLEMGTGQLP SRQQQQQHTELDMVPGRDGLASYNHSQVSVQPVASAGSEHSKPLEKSEGLFAQDRDPRFP EIYPSITADQSKGISSSTVPATQQLFSQGSSFPPNPRPAENFRNSGLTPPVTIVQPSSSA GQILAQISRHSNPAQGSAPTWTSSSRPGFAAQQVPTQATAKTRSSQFGVNNFQTSSSFSA MSLPGAPTASSGTAAYPALPNRGSNFPPETGQTTGQFQARTAEGVGVWPQWQGQQPHHRS SSSEQHVQQTQAQAPSQPEVFQEMLSMLGDQSNTYNNEEFPDLTMFPPFSE >ENSMUSP00000046557.6 pep:known chromosome:GRCm38:6:66896397:67021350:1 gene:ENSMUSG00000036402.13 transcript:ENSMUST00000043148.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng12 description:guanine nucleotide binding protein (G protein), gamma 12 [Source:MGI Symbol;Acc:MGI:1336171] MSSKTASTNSIAQARRTVQQLRLEASIERIKVSKASADLMSYCEEHARSDPLLMGIPTSE NPFKDKKTCIIL >ENSMUSP00000109866.1 pep:known chromosome:GRCm38:6:66896423:67017929:1 gene:ENSMUSG00000036402.13 transcript:ENSMUST00000114228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng12 description:guanine nucleotide binding protein (G protein), gamma 12 [Source:MGI Symbol;Acc:MGI:1336171] MSSKTASTNSIAQARRTVQQLRLEASIERIKVSKASADLMSYCEEHARSDPLLMGIPTSE NPFKDKKTCIIL >ENSMUSP00000109865.1 pep:known chromosome:GRCm38:6:66896431:67018273:1 gene:ENSMUSG00000036402.13 transcript:ENSMUST00000114227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng12 description:guanine nucleotide binding protein (G protein), gamma 12 [Source:MGI Symbol;Acc:MGI:1336171] MSSKTASTNSIAQARRTVQQLRLEASIERIKVSKASADLMSYCEEHARSDPLLMGIPTSE NPFKDKKTCIIL >ENSMUSP00000109864.1 pep:known chromosome:GRCm38:6:66896434:67018289:1 gene:ENSMUSG00000036402.13 transcript:ENSMUST00000114226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng12 description:guanine nucleotide binding protein (G protein), gamma 12 [Source:MGI Symbol;Acc:MGI:1336171] MSSKTASTNSIAQARRTVQQLRLEASIERIKVSKASADLMSYCEEHARSDPLLMGIPTSE NPFKDKKTCIIL >ENSMUSP00000145346.1 pep:known chromosome:GRCm38:6:66896437:67017901:1 gene:ENSMUSG00000036402.13 transcript:ENSMUST00000204511.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng12 description:guanine nucleotide binding protein (G protein), gamma 12 [Source:MGI Symbol;Acc:MGI:1336171] MSSKTASTNSIAQARRTVQQLRLEASIERIKAKMSSSDHLVKVSKASADLMSYCEEHARS DPLLMGIPTSENPFKDKKTCIIL >ENSMUSP00000109863.1 pep:known chromosome:GRCm38:6:66896440:67019086:1 gene:ENSMUSG00000036402.13 transcript:ENSMUST00000114225.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng12 description:guanine nucleotide binding protein (G protein), gamma 12 [Source:MGI Symbol;Acc:MGI:1336171] MSSKTASTNSIAQARRTVQQLRLEASIERIKVSKASADLMSYCEEHARSDPLLMGIPTSE NPFKDKKTCIIL >ENSMUSP00000109862.1 pep:known chromosome:GRCm38:6:66896456:67017848:1 gene:ENSMUSG00000036402.13 transcript:ENSMUST00000114224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng12 description:guanine nucleotide binding protein (G protein), gamma 12 [Source:MGI Symbol;Acc:MGI:1336171] MSSKTASTNSIAQARRTVQQLRLEASIERIKVSKASADLMSYCEEHARSDPLLMGIPTSE NPFKDKKTCIIL >ENSMUSP00000109860.1 pep:known chromosome:GRCm38:6:66896549:67018146:1 gene:ENSMUSG00000036402.13 transcript:ENSMUST00000114222.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng12 description:guanine nucleotide binding protein (G protein), gamma 12 [Source:MGI Symbol;Acc:MGI:1336171] MSSKTASTNSIAQARRTVQQLRLEASIERIKVSKASADLMSYCEEHARSDPLLMGIPTSE NPFKDKKTCIIL >ENSMUSP00000145234.1 pep:known chromosome:GRCm38:6:66896891:67017567:1 gene:ENSMUSG00000036402.13 transcript:ENSMUST00000204862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng12 description:guanine nucleotide binding protein (G protein), gamma 12 [Source:MGI Symbol;Acc:MGI:1336171] MSSKTASTNSIAQARRTVQQLRLEASIERIKVSKASADLMSYCEEHARSDPLLMGIPTSE NPFKD >ENSMUSP00000132181.1 pep:known chromosome:GRCm38:9:49081260:49117587:1 gene:ENSMUSG00000032268.13 transcript:ENSMUST00000165088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss5 description:transmembrane protease, serine 5 (spinesin) [Source:MGI Symbol;Acc:MGI:1933407] MSPTLDDQSPMEIRCTEEGAGPGIFRMELGDQRQSISQSQRWCCLQRGCVILGVLGLLAG AGIASWLLVLYLWPAASPSISGTLQEEEMTLNCPGVSREEELLPSLPKTVSFRINGEDLL LQVQVRARPDWLLVCHEGWSPALGMHICKSLGHIRLTQHKAVNLSDIKLNRSQEFAQLSA RPGGLVEESWKPSANCPSGRIVSLKCSECGARPLASRIVGGQAVASGRWPWQASVMLGSR HTCGASVLAPHWVVTAAHCMYSFRLSRLSSWRVHAGLVSHGAVRQHQGTMVEKIIPHPLY SAQNHDYDVALLQLRTPINFSDTVGAVCLPAKEQHFPWGSQCWVSGWGHTDPSHTHSSDT LQDTMVPLLSTYLCNSSCMYSGALTHRMLCAGYLDGRADACQGDSGGPLVCPSGDTWHLV GVVSWGRGCAEPNRPGVYAKVAEFLDWIHDTVQVR >ENSMUSP00000130069.1 pep:known chromosome:GRCm38:9:49102728:49117585:1 gene:ENSMUSG00000032268.13 transcript:ENSMUST00000166272.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmprss5 description:transmembrane protease, serine 5 (spinesin) [Source:MGI Symbol;Acc:MGI:1933407] MSPTLDDQSPMEIRCTEEGAGPGIFRMELGDQRQSISQSQRWCCLQRGCVILGVLGLLAG AGIASWLLVLYLWPAASPSISGTLQEEEMTLNCPGVSREEELLPSLPKTE >ENSMUSP00000064527.5 pep:known chromosome:GRCm38:9:49102779:49117585:1 gene:ENSMUSG00000032268.13 transcript:ENSMUST00000070390.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss5 description:transmembrane protease, serine 5 (spinesin) [Source:MGI Symbol;Acc:MGI:1933407] MEIRCTEEGAGPGIFRMELGDQRQSISQSQRWCCLQRGCVILGVLGLLAGAGIASWLLVL YLWPAASPSISGTLQEEEMTLNCPGVSREEELLPSLPKTVSFRINGEDLLLQVQVRARPD WLLVCHEGWSPALGMHICKSLGHIRLTQHKAVNLSDIKLNRSQEFAQLSARPGGLVEESW KPSANCPSGRIVSLKCSECGARPLASRIVGGQAVASGRWPWQASVMLGSRHTCGASVLAP HWVVTAAHCMYSFRLSRLSSWRVHAGLVSHGAVRQHQGTMVEKIIPHPLYSAQNHDYDVA LLQLRTPINFSDTVGAVCLPAKEQHFPWGSQCWVSGWGHTDPSHTHSSDTLQDTMVPLLS TYLCNSSCMYSGALTHRMLCAGYLDGRADACQGDSGGPLVCPSGDTWHLVGVVSWGRGCA EPNRPGVYAKVAEFLDWIHDTVQVR >ENSMUSP00000131650.1 pep:known chromosome:GRCm38:9:49102821:49116991:1 gene:ENSMUSG00000032268.13 transcript:ENSMUST00000167095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss5 description:transmembrane protease, serine 5 (spinesin) [Source:MGI Symbol;Acc:MGI:1933407] MSPTLDDQSPMEIRCTEEGAGPGIFRMELGDQRQSISFRINGEDLLLQVQVRARPDWLLV CHEGWSPALGMHICKSLGHIRLTQHKAVNLSDIKLNRSQEFAQLSARPGGLVEESWKPSA NCPSGRIVSLKCSECGARPLASRIVGGQAVASGRWPWQASVMLGSRHTCGASVLAPHWVV TAAHCMYSFRLSRLSSWRVHAGLVSHGAVRQHQGTMVEKIIPHPLYSAQNHDYDVALLQL RTPINFSDTVGAVCLPAKEQHFPWGSQCWVSGWGHTDPSHTHSSDTLQDTMVPLLSTYLC NSSCMYSGALTHRMLCAGYLDGRADACQGDSGGPLVCPSGDTWHLVGVVSWGRGCAEPNR PGVYAKVAEFLDWIHDTVQVR >ENSMUSP00000129482.1 pep:known chromosome:GRCm38:9:49106080:49117101:1 gene:ENSMUSG00000032268.13 transcript:ENSMUST00000170246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss5 description:transmembrane protease, serine 5 (spinesin) [Source:MGI Symbol;Acc:MGI:1933407] MEIRCTEEGAGPGIFRMELGDQRQSISQSQRWCCLQRGCVILGVLGLLAGAGIASWLLAA SPSISGTLQEEEMTLNCPGVSREEELLPSLPKTVSFRINGEDLLLQVQVRARPDWLLVCH EGWSPALGMHICKSLGHIRLTQHKAVNLSDIKLNRSQEFAQLSARPGGLVEESWKPSANC PSGRIVSLKCSECGARPLASRIVGGQAVASGRWPWQASVMLGSRHTCGASVLAPHWVVTA AHCMYSFRLSRLSSWRVHAGLVSHGAVRQHQGTMVEKIIPHPLYSAQNHDYDVALLQLRT PINFSDTVGAVCLPAKEQHFPWGSQCWVSGWGHTDPSHTHSSDTLQDTMVPLLSTYLCNS SCMYSGALTHRMLCAGYLDGRADACQGDSGGPLVCPSGDTWHLVGVVSWGRGCAEPNRPG VYAKVAEFLDWIHDTVQVR >ENSMUSP00000128662.1 pep:known chromosome:GRCm38:9:49109002:49117585:1 gene:ENSMUSG00000032268.13 transcript:ENSMUST00000170426.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmprss5 description:transmembrane protease, serine 5 (spinesin) [Source:MGI Symbol;Acc:MGI:1933407] XSFRINGEDLLLQVQVRARPDWLLVCHEGWSPALGMHICKSLGHIRLTQHKAVNLSDIKL NRSQEFAQLSARPGGLVEESWKPR >ENSMUSP00000045443.4 pep:known chromosome:GRCm38:1:130576713:130629621:-1 gene:ENSMUSG00000042554.10 transcript:ENSMUST00000039862.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zp3r description:zona pellucida 3 receptor [Source:MGI Symbol;Acc:MGI:104965] MITWSFIELWRTSHSTLFQMTLATVLMAPVLGDCGPPPLLPFASPTNQLYESTTFPSGTV LKYTCHHGFKRVNSSHLSCDENGSWVYSTFCARKRCKNPGELVNGKVEIPSDLLVGSIIE FSCSKGYLLIGSATSRCEVQGKGVDWSDSLPECVIATCEPPPPISNGKHSGRDDDLYTFG SVVIYNCDPTFTLLGNASIVCTVVNRTVGVWRPHPPACQKIVCHRPQILKGYLAPGFRQF YAYRDALEIRCKKGFILRGSSVIHCEANGEWFPSIPTCEPNGCTNIPDISYASWEGYKFP LRNFEVFEIGAKLKYQCKPGYRASLNDPQTVTCQENLTWSSTNGCERICCPTPDMEKIKI VSERRDFTGTCIYAYGDYVFYICNEGSYPMSTDGRSSCQADGKWDPAIPSCQADSGLQNR LALFTFPNISETNVTNKTYLFGHEENSTEHAMKGVCLKPMVINGNLSVERVIYAELENIT IQCDPGYTIVGSPNIICSNRTWYPEVPSCQMEVLEDCRIVSRGAQLLHCLSSPEDVHRAL KVYKLFLEIERLEHQKEKWIQLHRKPQSMKINRSFRLCN >ENSMUSP00000114401.1 pep:known chromosome:GRCm38:1:130576713:130629621:-1 gene:ENSMUSG00000042554.10 transcript:ENSMUST00000128128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zp3r description:zona pellucida 3 receptor [Source:MGI Symbol;Acc:MGI:104965] MYSPRASDALTKSSVLHRKGRMITWSFIELWRTSHSTLFQMTLATVLMAPVLGDCGPPPL LPFASPTNQLYESTTFPSGTVLKYTCHHGFKRVNSSHLSCDENGSWVYSTFCARKRCKNP GELVNGKVEIPSDLLVGSIIEFSCSKGYLLIGSATSRCEVQGKGVDWSDSLPECVKIVCH RPQILKGYLAPGFRQFYAYRDALEIRCKKGFILRGSSVIHCEANGEWFPSIPTCEPNGCT NIPDISYASWEGYKFPLRNFEVFEIGAKLKYQCKPGYRASLNDPQTVTCQENLTWSSTNG CERICCPTPDMEKIKIVSERRDFTGTCIYAYGDYVFYICNEGSYPMSTDGRSSCQADGKW DPAIPSCQADSGLQNRLALFTFPNISETNVTNKTYLFGHEENSTEHAMKGVCLKPMVING NLSVERVIYAELENITIQCDPGYTIVGSPNIICSNRTWYPEVPSCQMEVLEDCRIVSRGA QLLHCLSSPEDVHRALKVYKLFLEIERLEHQKEKWIQLHRKPQSMKINRSFRLCN >ENSMUSP00000118784.1 pep:known chromosome:GRCm38:1:130576716:130629612:-1 gene:ENSMUSG00000042554.10 transcript:ENSMUST00000142416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zp3r description:zona pellucida 3 receptor [Source:MGI Symbol;Acc:MGI:104965] MITWSFIELWRTSHSTLFQMTLATVLMAPVLGDCGPPPLLPFASPTNQLYESTTFPSGTV LKYTCHHGFKRVNSSHLSCDENGSWVYSTFCARKRCKNPGELVNGKVEIPSDLLVGSIIE FSCSKGYLLIGSATSRCEVQGKGVDWSDSLPECVKIVCHRPQILKGYLAPGFRQFYAYRD ALEIRCKKGFILRGSSVIHCEANGEWFPSIPTCEPNGCTNIPDISYASWEGYKFPLRNFE VFEIGAKLKYQCKPGYRASLNDPQTVTCQENLTWSSTNGCERICCPTPDMEKIKIVSERR DFTGTCIYAYGDYVFYICNEGSYPMSTDGRSSCQADGKWDPAIPSCQADSGLQNRLALFT FPNISETNVTNKTYLFGHEENSTEHAMKGVCLKPMVINGNLSVERVIYAELENITIQCDP GYTIVGSPNIICSNRTWYPEVPSCQMEVLEDCRIVSRGAQLLHCLSSPEDVHRALKVYKL FLEIERLEHQKEKWIQLHRKPQSMKINRSFRLCN >ENSMUSP00000121373.1 pep:known chromosome:GRCm38:9:110624789:110654161:-1 gene:ENSMUSG00000056724.14 transcript:ENSMUST00000133191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbeal2 description:neurobeachin-like 2 [Source:MGI Symbol;Acc:MGI:2448554] MAASERLYELWLLYYAQKDLGYLQQWLKAFVGVFEKTISLSSLEPRRPEEAGAEVPLLPL DALHALAEQLDQDDLDQALLLLKLFIILCRNLENVEAGWGQVLVPRVLALLTVLMAELKG SSQESHGTQLENVALHALLLCEGLFDPYQTWRRQLTGEVISSKEKSKYKFPPAALPCEFG AFFQENLQDAERLPPTLLLRLIHLFGAILAGGKANGQMAVSAGSVQGLLGVVRGWGRGPA QDPQQVPLALRALVGAVHVLHASRAPPRGPELRTLLEGYFHILNADWPTSPSSSPEEALV TLRVSMLDAIPMMLACEDRPVLQATFLSNNCFEHLIRLIQNSKLYLQARAPPEGDSDLAT WLLTEPDVQKVLDQDTDAIAVHVVRVLTCIMSGSPSAKEVFKERIGYQHLQEVLQSHGPP THRLLQELLNMAVEGDHSMHPPPPIRNEQPVLVLMQWLPALPTAELRLFLAQRLWWLCDS CPASRTTCVQAGLVGYLLETLNTGTALGARCQEQLLALLQALGRVSLRPLELRRLLRPPP GLDSESSGNESQKARHAGAVIRALSGMARHRGPARALRYFDLTPSMAGIMVPPVQRWPGA GFTFHAWLCLQSSEAVPTSAPSRPLQRKQLYSFFTSSGSGFEAFFTAAGTLVVAVCTRKE YVTVNLPEVSFADSAWHCVAIVHVPGRRPFSQNLVNVFKDGHLVKTVPFRFPSLSEPFSS CCIGSAGHRTTTTTTGLPASSVSTALAHTHPSLTRSQSVPASTGLGWGPGLGTPLQEGSV SSTLAGTQDTRWGSPTSLEGELGAVAIFHEALQPSALRVLCSLGPNEPAPFKPEGELHEF GTKLLLHYSPQACKNNICLDLSPGHGLDGRLTGHRVETWDVKDVVNCVGGMGVLLPLLER VAVQPQEAEAGPCETHDLVGPELTSGRNTQGLLLPLGKSSEDRMERNAVAAFLLMLRNFL QNHTVNQESLVQCQGPAIIGALLRKVPSSAMDMNVLMSAQLLMEQAAADGGGPLLYLLYQ HLLFNFHLWTLSDFAVRLGHIQYMSSMVREHRQKLRKKYGVQFLLDALRTHYSPQRERPL AADDLRTVQTSLLGLVREFLVRNFSVEDMQVVLNFLAATGDDGQVVGTLELLLTLLQGSP VQDPLAAFLLELGNLEVLLALLVRPKSTPLLTDRVCKILRRLQQNERLPERNRQRIRLHD CGLQGLVASLSEESISPQLCQGLYKLFVGTDCLNLSDLLAVVQLSLQADLSVRLDICRQL FYLIYGQPDVVRLLARQAGWQDVLTRLYVLEAATDSSPPRFLPELPISSELALSPPPTEL PADSSDVFLPSESPCPDQDAFYQALSPFSTPFDLGLERASIGSGNTAGGGSSNGTVTPAS QPGTPSPLDGPRPFPTAQGRHSSSLSNVLEDGSLLEPNISGDDTSNTSNPQQTPEEELCN LLTNVLFSVTWRGVEGSAEAAWRERGQVFSVLTQLGASATLVRPPDCIKRSLLEMMLESA LTDIKEAPPGGLANLSQQALWLLRLLQDFLCAEGHGNQELWSEKLFEGVCNLLDRLGAWP HLANSTADLREMAQIGLRLVLGYILLEDPQLHAQAYVKLHTLLQTAVPTRREEACYVLSK LEAALSRALTTSSSETEHASTAVAASERCSWLVPLVRTLLDRAYGPLGLQWGLPSLPPTN GSPTFFEDFQAFCATAEWRHFIDKQVQPTMSKFEMDTYAKSHDLMSGFWNACYDTLMSSG QRHQRDRIQSRRAFKELVLEPAQRRARVEGLRYASVLKQQAAQHSTALLHWGALWRQLSS PCGAWALRIPPAPHWKLSSAETYSRMRLKLVPNHHFDPHLEASALRDNLGEAPMTPTEET SLPLAVTKEAKISAPPEELPEEQLGEEDLAALESLMEEAAELDEKREKLVLSAECQLVTV VAVVPGLLEITTQHVYFYDGSTERVETEEGIGHDFRRPLAQLREVHLRRFNLRRSALELF FIDQSNYFLNFPHKVAASSASSPCQAPRPQLYPIPSHTQLRNQVYSLLLRLRPPTQGYLS SRSPLEMLRASGLTQKWVQREISNFEYLMQLNTIAGRTYNDLSQYPVFPWVLQDYVSPVL DLSNPAVFRDLSKPIGVVNPKHAQLVREKYESFEDPAGTIDKFHYGTHYSNAAGVMHYLI RVEPFTSLHVQLQSGRFDCSDRQFHSVAAAWQARLESPADVKELIPEFFYFPDFLENQNG FDLGCLQLTNEKVGDVVLPPWAGSPEDFIQKHRQALESEYVSTHLHEWIDLIFGYKQRGP AAEEALNVFYYCTYEGAVDLDHVADERERKALEGIISNFGQTPCQLLKEPHPPRLSAEEA ANRLARLDTNSPSIFQNLNQLKAFFAEVVSEAVPLVLALVPHRQSHSFITQSSSDMLVTV SASGLLGTHTWLPYDRNINNYFTFSKDPTMGSPKVQKLLSGPWVSDSGVSAQALAVAPDG KLLFSGGHWDGSLRVTSLPRGRLLNQLSRHLDIVTCLALDTCGIYLISGSRDTTCMVWRL LQQSGLSAGLAPKPVQVLYGHVAAVSCVAISTELDMAVSGSEDGTVIIHTVRRGQFVAAL RPPGATLPGPISHLALGAEGQIVVQSSACERPGAQVTYSLHLYSVNGRLRASVTLTEQPT ALTVAEDFVLLGTAQCSLHILHLNKLRPAVPPLPMKVPVHSVSVTKERSHVLVGLEDGKL IVVGAGQPSEVRSSQFARRLWRSSRRISQVSSGETEYNPGEAR >ENSMUSP00000143265.1 pep:known chromosome:GRCm38:9:110624872:110654142:-1 gene:ENSMUSG00000056724.14 transcript:ENSMUST00000196488.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbeal2 description:neurobeachin-like 2 [Source:MGI Symbol;Acc:MGI:2448554] MAASERLYELWLLYYAQKDLGYLQQWLKAFVGVFEKTISLSSLEPRRPEEAGAEVPLLPL DALHALAEQLDQDDLDQALLLLKLFIILCRNLENVEAGWGQVLVPRVLALLTVLMAELKG SSQESHGTQLENVALHALLLCEGLFDPYQTWRRQLTGEVISSKEKSKYKFPPAALPCEFG AFFQENLQDAERLPPTLLLRLIHLFGAILAGGKANGQMAVSAGSVQGLLGVVRGWGRGPA QDPQQVPLALRALVGAVHVLHASRAPPRGPELRTLLEGYFHILNADWPTSPSSSPEEALV TLRVSMLDAIPMMLACEDRPVLQATFLSNNCFEHLIRLIQNSKVLDQDTDAIAVHVVRVL TCIMSGSPSAKEVFKERIGYQHLQEVLQSHGPPTHRLLQELLNMAVEGDHSMHPPPPIRN EQPVLVLMQWLPALPTAELRLFLAQRLWWLCDSCPASRTTCVQAGLVGYLLETLNTGTAL GARCQEQLLALLQALGRVSLRPLELRRLLRPPPGLDSESSGNESQKARHAGAVIRALSGM ARHRGPARALRYFDLTPSMAGIMVPPVQRWPGAGFTFHAWLCLQSSEAVPTSAPSRPLQR KQLYSFFTSSGSGFEAFFTAAGTLVVAVCTRKEYVTVNLPEVSFADSAWHCVAIVHVPGR RPFSQNLVNVFKDGHLVKTVPFRFPSLSEPFSSCCIGSAGHRTTTTTTGLPASSVSTALA HTHPSLTRSQSVPASTGLGWGPGLGTPLQEGSVSSTLAGTQDTRWGSPTSLEGELGAVAI FHEALQPSALRVLCSLGPNEPAPFKPEGELHEFGTKLLLHYSPQACKNNICLDLSPGHGL DGRLTGHRVETWDVKDVVNCVGGMGVLLPLLERVAVQPQEAEAGPCETHDLVGPELTSGR NTQGLLLPLGKSSEDRMERNAVAAFLLMLRNFLQNHTVNQESLVQCQGPAIIGALLRKVP SSAMDMNVLMSAQLLMEQAAADGGGPLLYLLYQHLLFNFHLWTLSDFAVRLGHIQYMSSM VREHRQKLRKKYGVQFLLDALRTHYSPQRERPLAADDLRTVQTSLLGLVREFLVRNFSVE DMQVVLNFLAATGDDGQVVGTLELLLTLLQGSPVQDPLAAFLLELGNLEVLLALLVRPKS TPLLTDRVCKILRRLQQNERLPERNRQRIRLHDCGLQGLVASLSEESISPQLCQGLYKLF VGTDCLNLSDLLAVVQLSLQADLSVRLDICRQLFYLIYGQPDVVRLLARQAGWQDVLTRL YVLEAATDSSPPRFLPELPISSELALSPPPTELPADSSDVFLPSESPCPDQDAFYQALSP FSTPFDLGLERASIGSGNTAGGGSSNGTVTPASQPGTPSPLDGPRPFPTAQGRHSSSLSN VLEDGSLLEPNISGDDTSNTSNPQQTPEEELCNLLTNVLFSVTWRGVEGSAEAAWRERGQ VFSVLTQLGASATLVRPPDCIKRSLLEMMLESALTDIKEAPPGGLANLSQQALWLLRLLQ DFLCAEGHGNQELWSEKLFEGVCNLLDRLGAWPHLANSTADLREMAQIGLRLVLGYILLE DPQLHAQAYVKLHTLLQTAVPTRREEACYVLSKLEAALSRALTTSSSETEHASTAVAASE RCSWLVPLVRTLLDRAYGPLGLQWGLPSLPPTNGSPTFFEDFQAFCATAEWRHFIDKQVQ PTMSKFEMDTYAKSHDLMSGFWNACYDTLMSSGQRHQRDRIQSRRAFKELVLEPAQRRAR VEGLRYASVLKQQAAQHSTALLHWGALWRQLSSPCGAWALRIPPAPHWKLSSAETYSRMR LKLVPNHHFDPHLEASALRDNLGEAPMTPTEETSLPLAVTKEAKISAPPEELPEEQLGEE DLAALESLMEEAAELDEKREKLVLSAECQLVTVVAVVPGLLEITTQHVYFYDGSTERVET EEGIGHDFRRPLAQLREVHLRRFNLRRSALELFFIDQSNYFLNFPHKVAASSASSPCQAP RPQLYPIPSHTQLRNQVYSLLLRLRPPTQGYLSSRSPLEMLRASGLTQKWVQREISNFEY LMQLNTIAGRTYNDLSQYPVFPWVLQDYVSPVLDLSNPAVFRDLSKPIGVVNPKHAQLVR EKYESFEDPAGTIDKFHYGTHYSNAAGVMHYLIRVEPFTSLHVQLQSGRFDCSDRQFHSV AAAWQARLESPADVKELIPEFFYFPDFLENQNGFDLGCLQLTNEKVGDVVLPPWAGSPED FIQKHRQALESEYVSTHLHEWIDLIFGYKQRGPAAEEALNVFYYCTYEGAVDLDHVADER ERKALEGIISNFGQTPCQLLKEPHPPRLSAEEAANRLARLDTNSPSIFQNLNQLKAFFAE VVSEAVPLVLALVPHRQSHSFITQSSSDMLVTVSASGLLGTHTWLPYDRNINNYFTFSKD PTMGSPKVQKLLSGPWVSDSGVSAQALAVAPDGKLLFSGGHWDGSLRVTSLPRGRLLNQL SRHLDIVTCLALDTCGIYLISGSRDTTCMVWRLLQQSGLSAGLAPKPVQVLYGHVAAVSC VAISTELDMAVSGSEDGTVIIHTVRRGQFVAALRPPGATLPGPISHLALGAEGQIVVQSS ACERPGAQVTYSLHLYSVNGRLRASVTLTEQPTALTVAEDFVLLGTAQCSLHILHLNKLR PAVPPLPMKVPVHSVSVTKERSHVLVGLEDGKLIVVGAGQPSEVRSSQFARRLWRSSRRI SQVSSGETEYNPGEAR >ENSMUSP00000114660.1 pep:known chromosome:GRCm38:9:110625043:110632051:-1 gene:ENSMUSG00000056724.14 transcript:ENSMUST00000131017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbeal2 description:neurobeachin-like 2 [Source:MGI Symbol;Acc:MGI:2448554] XAVAASERCSWLVPLVRTLLDRAYGPLGLQWGLPSLPPTNGSPTFFEDFQAFCATAEWRH FIDKQVQPTMSKFEMDTYAKSHDLMSGFWNACYDTLMSSGQRHQRDRIQSRRAFKELVLE PAQRRARVEGLRYASVLKQQAAQHSTALLHWGALWRQLSSPCGAWALRIPPAPHWKLSSA ETYSRMRLKLVPNHHFDPHLEASALRDNLGEAPMTPTEETSLPLAVTKEAKISAPPEELP EEQLGEEDLAALESLMEEAAELDEKREKLVLSAECQLVTVVAVVPGLLEITTQHVYFYDG STERVETEEGIGHDFRRPLAQLREVHLRRFNLRRSALELFFIDQSNYFLNFPHKVAASSA SSPCQAPRPQLYPIPSHTQLRNQVYSLLLRLRPPTQGYLSSRSPLEMLRASGLTQKWVQR EISNFEYLMQLNTIAGRTYNDLSQYPVFPWVLQDYVSPVLDLSNPAVFRDLSKPIGVVNP KHAQLVREKYESFEDPAGTIDKFHYGTHYSNAAGVMHYLIRVEPFTSLHVQLQSGRFDCS DRQFHSVAAAWQARLESPADVKELIPEFFYFPDFLENQNGFDLGCLQLTNEKVGDVVLPP WAGSPEDFIQKHRQALESEYVSTHLHEWIDLIFGYKQRGPAAEEALNVFYYCTYEGAVDL DHVADERERKALEGIISNFGQTPCQLLKEPHPPRLSAEEAANRLARLDTNSPSIFQNLNQ LKAFFAEVTVSASGLLGTHTWLPYDRNINNYFTFSKDPTMGSPKVQKLLSGPWVSDSGVS AQALAVAPDGKLLFSGGHWDGSLRVTSLPRGRLLNQLSRHLDIVTCLALDTCGIYLISGS RDTTCMVWRLLQQSGLSAGLAPKPVQVLYGHVAAVSCVAISTELDMAVSGSEDGTVIIHT VRRGQFVAALRPPGATLPGPISHLALGAEGQIVVQSSACERPGAQVTYSLHLYSVNGRLR ASVTLTEQPTALTVAEDFVLLGTAQCSLHILHLNKLRPAVPPLPMKVPVHSVSVTKERSH VLVGLEDGKLIVVGAGQPSEVRSSQFARRLWRSSRRISQVSSGETEYNPGEAR >ENSMUSP00000118061.1 pep:known chromosome:GRCm38:9:110625043:110638617:-1 gene:ENSMUSG00000056724.14 transcript:ENSMUST00000130024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbeal2 description:neurobeachin-like 2 [Source:MGI Symbol;Acc:MGI:2448554] XPLELRRLLRPPPGLDSESSGNESQKARHAGAVIRALSGMARHRGPARALRYFDLTPSMA GIMVPPVQRWPGAGFTFHAWLCLQSSEAVPTSAPSRPLQRKQLYSFFTSSGSGFEAFFTA AGTLVVAVCTRKEYVTVNLPEVSFADSAWHCVAIVHVPGRRPFSQNLVNVFKDGHLVKTV PFRFPSLSEPFSSCCIGSAGHRTTTTTTGLPASSVSTALAHTHPSLTRSQSVPASTGLGW GPGLGTPLQEGSVSSTLAGTQDTRWGSPTSLEGELGAVAIFHEALQPSALRVLCSLGPNE PAPFKPEGELHEFGTKLLLHYSPQACKNNICLDLSPGHGLDGRLTGHRVETWDVKDVVNC VGGMGVLLPLLERVAVQPQEAEAGPCETHDLVGPELTSGRNTQGLLLPLGKSSEDRMERN AVAAFLLMLRNFLQNHTVNQESLVQCQGPAIIGALLRKVPSSAMDMNVLMSAQLLMEQAA ADGGGPLLYLLYQHLLFNFHLWTLSDFAVRLGHIQYMSSMVREHRQKLRKKYGVQFLLDA LRTHYSPQRERPLAADDLRTVQTSLLGLVREFLVRNFSVEDMQVVLNFLAATGDDGQILR RLQQNERLPERNRQRIRLHDCGLQGLVASLSEESISPQLCQGLYKLFVGTGSGNTAGGGS SNGTVTPASQPGTPSPLDGPRPFPTAQGRHSSSLSNVLEDGSLLEPNISGDDTSNTSNPQ QTPEEELCNLLTNVLFSVTWRGVEGSAEAAWRERGQVFSVLTQLGASATLVRPPDCIKRS LLEMMLESALTDIKEAPPGGLANLSQQALWLLRLLQDFLCAEGHGNQELWSEKLFEGVCN LLDRLGAWPHLANSTADLREMAQIGLRLVLGYILLEDPQLHAQAYVKLHTLLQTAVPTRR EEACYVLSKLEAALSRALTTSSSETEHASTAVAASERCSWLVPLVRTLLDRAYGPLGLQW GLPSLPPTNGSPTFFEDFQAFCATAEWRHFIDKQVQPTMSKFEMDTYAKSHDLMSGFWNA CYDTLMSSGQRHQRDRIQSRRAFKELVLEPAQRRARVEGLRYASVLKQQAAQHSTALLHW GALWRQLSSPCGAWALRIPPAPHWKLSSAETYSRMRLKLVPNHHFDPHLEASALRDNLGE APMTPTEETSLPLAVTKEAKISAPPEELPEEQLGEEDLAALESLMEEAAELDEKREKLVL SAECQLVTVVAVVPGLLEITTQHVYFYDGSTERVETEEGIGHDFRRPLAQLREVHLRRFN LRRSALELFFIDQSNYFLNFPHKVAASSASSPCQAPRPQLYPIPSHTQLRNQVYSLLLRL RPPTQGYLSSRSPLEMLRASGLTQKWVQREISNFEYLMQLNTIAGRTYNDLSQYPVFPWV LQDYVSPVLDLSNPAVFRDLSKPIGVVNPKHAQLVREKYESFEDPAGTIDKFHYGTHYSN AAGVMHYLIRVEPFTSLHVQLQSGRFDCSDRQFHSVAAAWQARLESPADVKELIPEFFYF PDFLENQNGFDLGCLQLTNEKVGDVVLPPWAGSPEDFIQKHRQALESEYVSTHLHEWIDL IFGYKQRGPAAEEALNVFYYCTYEGAVDLDHVADERERKALEGIISNFGQTPCQLLKEPH PPRLSAEEAANRLARLDTNSPSIFQNLNQLKAFFAEVVSEAVPLVLALVPHRQSHSFITQ SSSDMLVTVSASGLLGTHTWLPYDRNINNYFTFSKDPTMGSPKVQKLLSGPWVSDSGVSA QALAVAPDGKLLFSGGHWDGSLRVTSLPRGRLLNQLSRHLDIVTCLALDTCGIYLISGSR DTTCMVWRLLQQSGLSAGLAPKPVQVLYGHVAAVSCVAISTELDMAVSGSEDGTVIIHTV RRGQFVAALRPPGATLPGPISHLALGAEGQIVVQSSACERPGAQVTYSLHLYSVNGRLRA SVTLTEQPTALTVAEDFVLLGTAQCSLHILHLNKLRPAVPPLPMKVPVHSVSVTKERSHV LVGLEDGKLIVVGAGQPSEVRSSQFARRLWRSSRRISQVSSGETEYNPGEAR >ENSMUSP00000122014.1 pep:known chromosome:GRCm38:9:110629570:110634449:-1 gene:ENSMUSG00000056724.14 transcript:ENSMUST00000123996.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nbeal2 description:neurobeachin-like 2 [Source:MGI Symbol;Acc:MGI:2448554] XCLNLSDLLAVVQLSLQADLSVRLDICRQLFYLIYGQPDVVRLLARQAGWQDVLTRLYVL EAATDSSPPRFLPGPSSTLSHPTPSYGTRCTHCFCA >ENSMUSP00000119254.1 pep:known chromosome:GRCm38:9:110638318:110645368:-1 gene:ENSMUSG00000056724.14 transcript:ENSMUST00000149089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbeal2 description:neurobeachin-like 2 [Source:MGI Symbol;Acc:MGI:2448554] MEPTLGPGVQKDLGYLQQWLKAFVGVFEKTISLSSLEPRRPEEAGAEVPLLPLDALHALA EQLDQDDLDQALLLLKLFIILCRNLENVEAGWGQVLVPRVLALLTVLMAELKGSSQESHG TQLENVALHALLLCEGLFDPYQTWRRQLTGEVISSKEKSKYKFPPAALPCEFGAFFQENL QDAERLPPTLLLRLIHLFGAILAGGKANGQMAVSAGSVQGLLGVVRGWGRGPAQDPQQVP LALRALVGAVHVLHASRAPPRGPELRTLLEGYFHILNADWPTSPSSSPEEALVTLRVSML DAIPMMLACEDRPVLQATFLSNNCFEHLIRLIQNSKVLDQDTDAIAVHVVRVLTCIMSGS PSAKEVFKERIGYQHLQEVLQSHGPPTHRLLQELLNMAVEGDHSMHPPPPIRNEQPVLVL MQWLPALPTAELRLFLAQRLWWLCDSCPASRTTCVQAGLVGYLLETLNTGTALGARCQEQ LLALLQALGRVSLRPLELRRLLRPPPGLDSESSGNESQKARHAGAVIRALSGMARHRGPA RALRYFDLTPSMAGIMVPPVQRWPGAGFTFHAWLCLQSSEAVPTSAPSRPLQR >ENSMUSP00000128586.1 pep:known chromosome:GRCm38:9:110624789:110654161:-1 gene:ENSMUSG00000056724.14 transcript:ENSMUST00000167320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbeal2 description:neurobeachin-like 2 [Source:MGI Symbol;Acc:MGI:2448554] MAASERLYELWLLYYAQKDLGYLQQWLKAFVGVFEKTISLSSLEPRRPEEAGAEVPLLPL DALHALAEQLDQDDLDQALLLLKLFIILCRNLENVEAGWGQVLVPRVLALLTVLMAELKG SSQESHGTQLENVALHALLLCEGLFDPYQTWRRQLTGEVISSKEKSKYKFPPAALPCEFG AFFQENLQDAERLPPTLLLRLIHLFGAILAGGKANGQMAVSAGSVQGLLGVVRGWGRGPA QDPQQVPLALRALVGAVHVLHASRAPPRGPELRTLLEGYFHILNADWPTSPSSSPEEALV TLRVSMLDAIPMMLACEDRPVLQATFLSNNCFEHLIRLIQNSKLYLQARAPPEGDSDLAT WLLTEPDVQKVLDQDTDAIAVHVVRVLTCIMSGSPSAKEVFKERIGYQHLQEVLQSHGPP THRLLQELLNMAVEGDHSMHPPPPIRNEQPVLVLMQWLPALPTAELRLFLAQRLWWLCDS CPASRTTCVQAGLVGYLLETLNTGTALGARCQEQLLALLQALGRVSLRPLELRRLLRPPP GLDSESSGNESQKARHAGAVIRALSGMARHRGPARALRYFDLTPSMAGIMVPPVQRWPGA GFTFHAWLCLQSSEAVPTSAPSRPLQRKQLYSFFTSSGSGFEAFFTAAGTLVVAVCTRKE YVTVNLPEVSFADSAWHCVAIVHVPGRRPFSQNLVNVFKDGHLVKTVPFRFPSLSEPFSS CCIGSAGHRTTTTTTGLPASSVSTALAHTHPSLTRSQSVPASTGLGWGPGLGTPLQEGSV SSTLAGTQDTRWGSPTSLEGELGAVAIFHEALQPSALRVLCSLGPNEPAPFKPEGELHEF GTKLLLHYSPQACKNNICLDLSPGHGLDGRLTGHRVETWDVKDVVNCVGGMGVLLPLLER VAVQPQEAEAGPCETHDLVGPELTSGRNTQGLLLPLGKSSEDRMERNAVAAFLLMLRNFL QNHTVNQESLVQCQGPAIIGALLRKVPSSAMDMNVLMSAQLLMEQAAADGGGPLLYLLYQ HLLFNFHLWTLSDFAVRLGHIQYMSSMVREHRQKLRKKYGVQFLLDALRTHYSPQRERPL AADDLRTVQTSLLGLVREFLVRNFSVEDMQVVLNFLAATGDDGQVVGTLELLLTLLQGSP VQDPLAAFLLELGNLEVLLALLVRPKSTPLLTDRVCKILRRLQQNERLPERNRQRIRLHD CGLQGLVASLSEESISPQLCQGLYKLFVGTVPQVVSPDCLNLSDLLAVVQLSLQADLSVR LDICRQLFYLIYGQPDVVRLLARQAGWQDVLTRLYVLEAATDSSPPRFLPELPISSELAL SPPPTELPADSSDVFLPSESPCPDQDAFYQALSPFSTPFDLGLERASIGSGNTAGGGSSN GTVTPASQPGTPSPLDGPRPFPTAQGRHSSSLSNVLEDGSLLEPNISGDDTSNTSNPQQT PEEELCNLLTNVLFSVTWRGVEGSAEAAWRERGQVFSVLTQLGASATLVRPPDCIKRSLL EMMLESALTDIKEAPPGGLANLSQQALWLLRLLQDFLCAEGHGNQELWSEKLFEGVCNLL DRLGAWPHLANSTADLREMAQIGLRLVLGYILLEDPQLHAQAYVKLHTLLQTAVPTRREE ACYVLSKLEAALSRALTTSSSETEHASTAVAASERCSWLVPLVRTLLDRAYGPLGLQWGL PSLPPTNGSPTFFEDFQAFCATAEWRHFIDKQVQPTMSKFEMDTYAKSHDLMSGFWNACY DTLMSSGQRHQRDRIQSRRAFKELVLEPAQRRARVEGLRYASVLKQQAAQHSTALLHWGA LWRQLSSPCGAWALRIPPAPHWKLSSAETYSRMRLKLVPNHHFDPHLEASALRDNLGEAP MTPTEETSLPLAVTKEAKISAPPEELPEEQLGEEDLAALESLMEEAAELDEKREKLVLSA ECQLVTVVAVVPGLLEITTQHVYFYDGSTERVETEEGIGHDFRRPLAQLREVHLRRFNLR RSALELFFIDQSNYFLNFPHKVAASSASSPCQAPRPQLYPIPSHTQLRNQVYSLLLRLRP PTQGYLSSRSPLEMLRASGLTQKWVQREISNFEYLMQLNTIAGRTYNDLSQYPVFPWVLQ DYVSPVLDLSNPAVFRDLSKPIGVVNPKHAQLVREKYESFEDPAGTIDKFHYGTHYSNAA GVMHYLIRVEPFTSLHVQLQSGRFDCSDRQFHSVAAAWQARLESPADVKELIPEFFYFPD FLENQNGFDLGCLQLTNEKVGDVVLPPWAGSPEDFIQKHRQALESEYVSTHLHEWIDLIF GYKQRGPAAEEALNVFYYCTYEGAVDLDHVADERERKALEGIISNFGQTPCQLLKEPHPP RLSAEEAANRLARLDTNSPSIFQNLNQLKAFFAEVVSEAVPLVLALVPHRQSHSFITQSS SDMLVTVSASGLLGTHTWLPYDRNINNYFTFSKDPTMGSPKVQKLLSGPWVSDSGVSAQA LAVAPDGKLLFSGGHWDGSLRVTSLPRGRLLNQLSRHLDIVTCLALDTCGIYLISGSRDT TCMVWRLLQQSGLSAGLAPKPVQVLYGHVAAVSCVAISTELDMAVSGSEDGTVIIHTVRR GQFVAALRPPGATLPGPISHLALGAEGQIVVQSSACERPGAQVTYSLHLYSVNGRLRASV TLTEQPTALTVAEDFVLLGTAQCSLHILHLNKLRPAVPPLPMKVPVHSVSVTKERSHVLV GLEDGKLIVVGAGQPSEVRSSQFARRLWRSSRRISQVSSGETEYNPGEAR >ENSMUSP00000101644.1 pep:known chromosome:GRCm38:7:141262706:141266421:-1 gene:ENSMUSG00000025498.14 transcript:ENSMUST00000106023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf7 description:interferon regulatory factor 7 [Source:MGI Symbol;Acc:MGI:1859212] MAEVRGVQRVLFGDWLLGEVSSGQYEGLQWLNEARTVFRVPWKHFGRRDLDEEDAQIFKA WAVARGRWPPSGVNLPPPEAEAAERRERRGWKTNFRCALHSTGRFILRQDNSGDPVDPHK VYELSRELGSTVGPATENREEVSLSNALPTQVLQYSHILESESGADPVPPQAPGQEQDRV YEEPYAAWQVEAVPSPRPQQPALTERSLGFLDVTIMYKGRTVLQAVVGHPRCVFLYSPMA PAVRTSEPQPVIFPSPAELPDQKQLHYTETLLQHVSPGLQLELRGPSLWALRMGKCKVYW EVGSPMGTTGPSTPPQLLERNRHTPIFDFSTFFRELEEFRARRRQGSPHYTIYLGFGQDL SAGRPKEKTLILVKLEPWVCKAYLEGVQREGVSSLDSSSLGLCLSSTNSLYEDIEHFLMD LGQWP >ENSMUSP00000026571.4 pep:known chromosome:GRCm38:7:141263183:141266481:-1 gene:ENSMUSG00000025498.14 transcript:ENSMUST00000026571.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf7 description:interferon regulatory factor 7 [Source:MGI Symbol;Acc:MGI:1859212] MAEVRGVQRVLFGDWLLGEVSSGQYEGLQWLNEARTVFRVPWKHFGRRDLDEEDAQIFKA WAVARGRWPPSGVNLPPPEAEAAERRERRGWKTNFRCALHSTGRFILRQDNSGDPVDPHK VYELSRELGSTVGPATENREEVSLSNALPTQGVSPGSFLARENAGLQTPSPLLSSDAGDL LLQVLQYSHILESESGADPVPPQAPGQEQDRVYEEPYAAWQVEAVPSPRPQQPALTERSL GFLDVTIMYKGRTVLQAVVGHPRCVFLYSPMAPAVRTSEPQPVIFPSPAELPDQKQLHYT ETLLQHVSPGLQLELRGPSLWALRMGKCKVYWEVGSPMGTTGPSTPPQLLERNRHTPIFD FSTFFRELEEFRARRRQGSPHYTIYLGFGQDLSAGRPKEKTLILVKLEPWVCKAYLEGVQ REGVSSLDSSSLGLCLSSTNSLYEDIEHFLMDLGQWP >ENSMUSP00000095565.2 pep:known chromosome:GRCm38:7:141263184:141266423:-1 gene:ENSMUSG00000025498.14 transcript:ENSMUST00000097952.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf7 description:interferon regulatory factor 7 [Source:MGI Symbol;Acc:MGI:1859212] MAEVRGVQRVLFGDWLLGEVSSGQYEGLQWLNEARTVFRVPWKHFGRRDLDEEDAQIFKA WAVARGRWPPSGVNLPPPEAEAAERRERRGWKTNFRCALHSTGRFILRQDNSGDPVDPHK VYELSRELGSTVGPATENREEVSLSNALPTQGVSPGSFLARENAGLQTPSPLLSSDAGDL LLQVLQYSHILESESGADPVPPQAPERSLGFLDVTIMYKGRTVLQAVVGHPRCVFLYSPM APAVRTSEPQPVIFPSPAELPDQKQLHYTETLLQHVSPGLQLELRGPSLWALRMGKCKVY WEVGSPMGTTGPSTPPQLLERNRHTPIFDFSTFFRELEEFRARRRQGSPHYTIYLGFGQD LSAGRPKEKTLILVKLEPWVCKAYLEGVQREGVSSLDSSSLGLCLSSTNSLYEDIEHFLM DLGQWP >ENSMUSP00000121026.1 pep:known chromosome:GRCm38:7:141263432:141265292:-1 gene:ENSMUSG00000025498.14 transcript:ENSMUST00000123525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf7 description:interferon regulatory factor 7 [Source:MGI Symbol;Acc:MGI:1859212] XAWAVARGRWPPSGVNLPPPEAEAAERRERRGWKTNFRCALHSTGRFILRQDNSGDPVDP HKVYELSRELGSTERSLGFLDVTIMYKGRTVLQAVVGHPRCVFLYSPMAPAVRTSEPQPV IFPSPAELPDQKQLHYTETLLQHVSPGLQLELRGPSLWALRMGKCKVYWEVGSPMGTTGP STPPQLLERNRHTPIFDFSTFFRELEEFRARRRQGSPHYTIYLGFGQDLSAGRPKEKTLI LVKVEPGWAKG >ENSMUSP00000095427.1 pep:known chromosome:GRCm38:1:18236473:18237443:-1 gene:ENSMUSG00000073735.1 transcript:ENSMUST00000097818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb18 description:defensin beta 18 [Source:MGI Symbol;Acc:MGI:3648148] MQSTMKMFGIILMVIFSVSCGPSAPQMKTREVAERTHKCSLVRGTCKSECNSWEYKYNYC HTEPCCVVREYKRMEKLLSTPKYTT >ENSMUSP00000144171.1 pep:known chromosome:GRCm38:5:65605721:65698168:-1 gene:ENSMUSG00000029202.12 transcript:ENSMUST00000201948.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pds5a description:PDS5, regulator of cohesion maintenance, homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1918771] MDFTQPKPATALCGVVSADGKIAYPPGVKEITDKITTDEMIKRLKMVVKTFMDMDQDSED EKQQYLPLALHLASEFFLRNPNKDVRLLVACCLADIFRIYAPEAPYTSHDKLKDIFLFIT RQLKGLEDTKSPQFNRYFYLLENLAWVKSYNICFELEDCNEIFIQLFRTLFSVINNSHNT KVQMHMLDLMSSIIMEGDGVTQELLDSILINLIPAHKNLNKQSFDLAKVLLKRTVQTIEA CIANFFNQVLVLGRSSVSDLSEHVFDLIQELFAIDPQLLLSVMPQLEFKLKSNDGEERLA VVRLLAKLFGSKDSDLATQNRPLWQCFLGRFNDIHVPVRLESVKFASHCLMNHPDLAKDL TEYLKVRSHDPEEAIRHDVIVTIITAAKRDLALVNDQLLGFVRERTLDKRWRVRKEAMMG LAQLYKKYCLHGEAGKEAAEKVSWIKDKLLHIYYQNSIDDKLLVEKIFAQYLVPHNLETE ERMKCLYYLYASLDPNAVKALNEMWKCQNMLRSHVRELLDLHKQPTSEANCSAMFGKLMT IAKNLPDPGKAQDFVKKFNQVLGDDEKLRSQLELLISPTCSCKQADVCVREIARKLANPK QPTNPFLEMVKFLLERIAPVHIDSEAISALVKLMNKSIEGTADDEEEGVSPDSAIRSGLE LLKVLSFTHPTSFHSAETYESLLQCLRMEDDKVAEAAIQIFRNTGHKIETDLPQIRSTLI PILHQKAKRGTPHQAKQAVHCIHAIFSNKEVQLAQIFEPLSRSLNADVPEQLITPLVSLG HISMLAPDQFASPMKSVVANFIVKDLLMNDRSTGEKNGKLWSPDEEVSPEVLAKVQAIKL LVRWLLGMKNNQSKSANSTLRLLSAMLVSEGDLTEQKRISKSDMSRLRLAAGSAIMKLAQ EPCYHEIITPEQFQLCALVINDECYQVRQIFAQKLHKALVKLLLPLEYMAIFALCAKDPV KERRAHARQCLLKNISIRREYIKQNPMATEKLLSLLPEYVVPYMIHLLAHDPDFTRSQDV DQLRDIKECLWFMLEVLMTKNENNSHAFMKKMAENIKLTRDAQSPDESKTNEKLYTVCDV ALCVINSKSALCNADSPKDPVLPMKFFTQPEKDFCNDKSYISEETRVLLLTGKPKPTGVL GTVNKPLSATGRKPYVRSAGTETGSNINANSELSPSAGSRSREQSSEASETGVSENEENP VRIISVTPVKNIDTVKNKEINSDQSTQGNISSDRGKKRIVTAAGAENIQKPDEKVDESGP PAPSKPRRGRRPKSESQGNATKNDDLNKPVSKGRKRAAGSQESLEAGNAKAPKLQDGAKK AVPAERQIDLQR >ENSMUSP00000144463.1 pep:known chromosome:GRCm38:5:65607770:65620600:-1 gene:ENSMUSG00000029202.12 transcript:ENSMUST00000202648.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pds5a description:PDS5, regulator of cohesion maintenance, homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1918771] DKSYISEETRVLLLTGKPKPTGVLGTVNKPLSATGRKPYVRSAGTETGSNINANSELSPS AGSRSREQSSEASETGVSENEENPVRIISVTPVKNIDTVKNKEKTKAKQKQSPGSVKTWI PMSPNRKRSSLQIHTFCLEN >ENSMUSP00000031104.6 pep:known chromosome:GRCm38:5:65615260:65697856:-1 gene:ENSMUSG00000029202.12 transcript:ENSMUST00000031104.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pds5a description:PDS5, regulator of cohesion maintenance, homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1918771] MDFTQPKPATALCGVVSADGKIAYPPGVKEITDKITTDEMIKRLKMVVKTFMDMDQDSED EKQQYLPLALHLASEFFLRNPNKDVRLLVACCLADIFRIYAPEAPYTSHDKLKDIFLFIT RQLKGLEDTKSPQFNRYFYLLENLAWVKSYNICFELEDCNEIFIQLFRTLFSVINNSHNT KVQMHMLDLMSSIIMEGDGVTQELLDSILINLIPAHKNLNKQSFDLAKVLLKRTVQTIEA CIANFFNQVLVLGRSSVSDLSEHVFDLIQELFAIDPQLLLSVMPQLEFKLKSNDGEERLA VVRLLAKLFGSKDSDLATQNRPLWQCFLGRFNDIHVPVRLESVKFASHCLMNHPDLAKDL TEYLKVRSHDPEEAIRHDVIVTIITAAKRDLALVNDQLLGFVRERTLDKRWRVRKEAMMG LAQLYKKYCLHGEAGKEAAEKVSWIKDKLLHIYYQNSIDDKLLVEKIFAQYLVPHNLETE ERMKCLYYLYASLDPNAVKALNEMWKCQNMLRSHVRELLDLHKQPTSEANCSAMFGKLMT IAKNLPDPGKAQDFVKKFNQVLGDDEKLRSQLELLISPTCSCKQADVCVREIARKLANPK QPTNPFLEMVKFLLERIAPVHIDSEAISALVKLMNKSIEGTADDEEEGVSPDSAIRSGLE LLKVLSFTHPTSFHSAETYESLLQCLRMEDDKVAEAAIQIFRNTGHKIETDLPQIRSTLI PILHQKAKRGTPHQAKQAVHCIHAIFSNKEVQLAQIFEPLSRSLNADVPEQLITPLVSLG HISMLAPDQFASPMKSVVANFIVKDLLMNDRSTGEKNGKLWSPDEEVSPEVLAKVQAIKL LVRWLLGMKNNQSKSANSTLRLLSAMLVSEGDLTEQKRISKSDMSRLRLAAGSAIMKLAQ EPCYHEIITPEQFQLCALVINDECYQVRQIFAQKLHKALVKLLLPLEYMAIFALCAKDPV KERRAHARQCLLKNISIRREYIKQNPMATEKLLSLLPEYVVPYMIHLLAHDPDFTRSQDV DQLRDIKECLWFMLEVLMTKNENNSHAFMKKMAENIKLTRDAQSPDESKTNEKLYTVCDV ALCVINSKSALCNADSPKDPVLPMKFFTQPEKDFCNDKSYISEETRVLLLTGKPKPTGVL GTVNKPLSATGRKPYVRSAGTETGSNINANSELSPSAGSRSREQSSEASETGVSENEENP VRIISVTPVKNIDTVKNKEINSDQSTQGNISSDRGKKRIVTAAGAENIQKPDEKVDESGP PAPSKPRRGRRPKSESQGNATKNDDLNKPVSKGRKRAAGSQESLEAGNAKAPKLQDGAKK AVPAERQIDLQR >ENSMUSP00000021273.6 pep:known chromosome:GRCm38:11:69088490:69092384:1 gene:ENSMUSG00000020894.16 transcript:ENSMUST00000021273.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp2 description:vesicle-associated membrane protein 2 [Source:MGI Symbol;Acc:MGI:1313277] MSATAATVPPAAPAGEGGPPAPPPNLTSNRRLQQTQAQVDEVVDIMRVNVDKVLERDQKL SELDDRADALQAGASQFETSAAKLKRKYWWKNLKMMIILGVICAIILIIIIVYFST >ENSMUSP00000112611.1 pep:known chromosome:GRCm38:11:69088510:69090690:1 gene:ENSMUSG00000020894.16 transcript:ENSMUST00000117780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp2 description:vesicle-associated membrane protein 2 [Source:MGI Symbol;Acc:MGI:1313277] MSATAATVPPAAPAGEGGPPAPPPNLTSNRRLQQTQAQVDEVVDIMRVNVDKVLERDQKL SELDDRADALQAGASQFETSAAKLKRKYWWKNLKMMIILGVICAIILIIIIGEWGQRPGP LPWGGRGFPWGAWALKVTNLVFTLQPKHTLLLLAVLTHLEPKT >ENSMUSP00000138443.1 pep:known chromosome:GRCm38:4:42916681:42933831:1 gene:ENSMUSG00000036062.13 transcript:ENSMUST00000132173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf24 description:PHD finger protein 24 [Source:MGI Symbol;Acc:MGI:2140712] MGVLMSKRQTVEQVQKVSLAVSAFKDGLRDRPSIRRGGELPGSRRGTVEGSVQEVQEEKE AEASAPVVQE >ENSMUSP00000103609.1 pep:known chromosome:GRCm38:4:42916694:42944752:1 gene:ENSMUSG00000036062.13 transcript:ENSMUST00000107975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf24 description:PHD finger protein 24 [Source:MGI Symbol;Acc:MGI:2140712] MGVLMSKRQTVEQVQKVSLAVSAFKDGLRDRPSIRRGGELPGSRRGTVEGSVQEVQEEKE AEASAPVVQEESSINRAAWERLRDGRGVEPEEFDRTSRFTPPAFIRPTRKLDDDKPPDIC LEPREPVVNDEMCDVCEVWTAESLFPCRVCTRVFHDGCLRRMGYLQGDSAVEVTEMAHTE TGWSCYYCDNLNLLLTEEEMYSLTETFQRCKVIPDCSLTLEDFVRYRHQAAKRGESSRAL TDEQEEQAARQFAALDPEQRGHVEWSDFLSHESLLLLLQLRPQNSLLRLLTVKERERARA TFLARGRGSTISEAECHHARHSWFCKRLTEAPSCSVSISHVGPIADSSPAASSSKSQEKA LLPTEQESRYVDWPTFLRENVIYILAARPNSGAIHLKPPG >ENSMUSP00000103610.2 pep:known chromosome:GRCm38:4:42917251:42944752:1 gene:ENSMUSG00000036062.13 transcript:ENSMUST00000107976.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf24 description:PHD finger protein 24 [Source:MGI Symbol;Acc:MGI:2140712] MGVLMSKRQTVEQVQKEVQEEKEAEASAPVVQEESSINRAAWERLRDGRGVEPEEFDRTS RFTPPAFIRPTRKLDDDKPPDICLEPREPVVNDEMCDVCEVWTAESLFPCRVCTRVFHDG CLRRMGYLQGDSAVEVTEMAHTETGWSCYYCDNLNLLLTEEEMYSLTETFQRCKVIPDCS LTLEDFVRYRHQAAKRGESSRALTDEQEEQAARQFAALDPEQRGHVEWSDFLSHESLLLL LQLRPQNSLLRLLTVKERERARATFLARGRGSTISEAECHHARHSWFCKRLTEAPSCSVS ISHVGPIADSSPAASSSKSQEKALLPTEQESRYVDWPTFLRENVIYILAARPNSGAIHLK PPG >ENSMUSP00000071011.2 pep:known chromosome:GRCm38:4:42917270:42944752:1 gene:ENSMUSG00000036062.13 transcript:ENSMUST00000069184.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf24 description:PHD finger protein 24 [Source:MGI Symbol;Acc:MGI:2140712] MGVLMSKRQTVEQVQKEVQEEKEAEASAPVVQEESSINRAAWERLRDGRGVEPEEFDRTS RFTPPAFIRPTRKLDDDKPPDICLEPREPVVNDEMCDVCEVWTAESLFPCRVCTRVFHDG CLRRMGYLQGDSAVEVTEMAHTETGWSCYYCDNLNLLLTEEEMYSLTETFQRCKVIPDCS LTLEDFVRYRHQAAKRGESSRALTDEQEEQAARQFAALDPEQRGHVEWSDFLSHESLLLL LQLRPQNSLLRLLTVKERERARATFLARGRGSTISEAECHHARHSWFCKRLTEAPSCSVS ISHVGPIADSSPAASSSKSQEKALLPTEQESRYVDWPTFLRENVIYILAARPNSGAIHLK PPG >ENSMUSP00000138593.1 pep:known chromosome:GRCm38:4:42917334:42940357:1 gene:ENSMUSG00000036062.13 transcript:ENSMUST00000124380.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phf24 description:PHD finger protein 24 [Source:MGI Symbol;Acc:MGI:2140712] MGVLMSKRQTVEQVQKSLHQGFPRWLPAPHGLPPRGQCSGGD >ENSMUSP00000138130.1 pep:known chromosome:GRCm38:4:42922268:42933797:1 gene:ENSMUSG00000036062.13 transcript:ENSMUST00000139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf24 description:PHD finger protein 24 [Source:MGI Symbol;Acc:MGI:2140712] MGVLMSKRQTVEQVQKVSLAVSAFKDGLRDRPSIRRGGELPGSRRGTVEGSVQEVQEEK >ENSMUSP00000115816.1 pep:known chromosome:GRCm38:4:42933919:42939513:1 gene:ENSMUSG00000036062.13 transcript:ENSMUST00000138425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf24 description:PHD finger protein 24 [Source:MGI Symbol;Acc:MGI:2140712] XPPAFIRPTRKLDDDKPPDICLEPREPVVNDEMCDVCEVWTAESLFPCRVCTRVFHDGCL RRMGYLQGDSAVEDNLNLLLTEEEMYSLTETFQRCKVIPDCSLTLEDFVRYRHQAAKRGE SSRALTDEQEEQAARQFAALDPEQRGHVEWSDFLSHESLLLLLQLRPQNSLLRLLTVKER ERARATFLARGRGSTISEAECHHARHSWFCKRLTEAPSCSVSISHVGPIADSSPAASSSK SQEKALLPTEQESRYV >ENSMUSP00000138332.1 pep:known chromosome:GRCm38:4:42938254:42939833:1 gene:ENSMUSG00000036062.13 transcript:ENSMUST00000131234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf24 description:PHD finger protein 24 [Source:MGI Symbol;Acc:MGI:2140712] XEQEEQAARQFAALDPEQRGHVEWSDFLSHESLLLLLQLRPQNSLLRLLTVKERERARAT FLARGRGSTISEAECHHARHSWFCKRLTEAPSCSVRYVDWPTFLRENVIYILAARPNSGA IHLKPPG >ENSMUSP00000085811.1 pep:known chromosome:GRCm38:1:18250980:18265138:-1 gene:ENSMUSG00000067773.6 transcript:ENSMUST00000088463.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb41 description:defensin beta 41 [Source:MGI Symbol;Acc:MGI:1924923] MKFHLFFFILLFGATILTARSHIDIKNGIERCEKVRGMCKTVCDIDEYDYGYCIRWRNQC CI >ENSMUSP00000095426.3 pep:known chromosome:GRCm38:1:18260524:18265080:-1 gene:ENSMUSG00000067773.6 transcript:ENSMUST00000097817.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb41 description:defensin beta 41 [Source:MGI Symbol;Acc:MGI:1924923] MKFHLFFFILLFGATILTAKKSYPEYGSLDLRKECKMRRGHCKLQCSEKELRISFCIRPG THCCM >ENSMUSP00000018113.7 pep:known chromosome:GRCm38:2:167202907:167240604:-1 gene:ENSMUSG00000017969.13 transcript:ENSMUST00000018113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgis description:prostaglandin I2 (prostacyclin) synthase [Source:MGI Symbol;Acc:MGI:1097156] MSWAALLGLLAVLLLLLLLLSRRRARRPGEPPLDLGSIPWLGHALEFGRDAASFLTRMKE KHGDIFTVLVGGRYVTVLLDPHSYDTVVWELRTRLDFHPYAIFLMERIFDLQLPNFNPSE EKARMKPTLMHRDLQALTEAMYTNLRTVLLGDSTEAGSGWQETGLLEFSYNALLSAGYLT LYGVEASPRTHESQAQDRVHSADVFHTFRQLDLLLPKLARGSLSAGDKDHACSVKNRLWK LLSPARLASRADRSSWLESYLRHLEEMGVSEEMQARALVLQLWATQGNMGPTAFWLLLFL LKNPEALAAVRAELKHTVWQAEQPVSQMTTLPQKILDSMPVLDSVLNETLRLTAAPFITR EVMADLALPMADGREFSLRRGDRLLLFPFLSPQKDPEIYTEPEVFKYNRFLNPDGSEKKD FYKDGKRLKNYNMPWGAGHNQCLGKSYAINSIKQFVVLLLTHFDLELGSEDTEVPEFDLS RYGFGLMQPEEDVPIRYRARL >ENSMUSP00000085357.4 pep:known chromosome:GRCm38:2:167191805:167240589:-1 gene:ENSMUSG00000017969.13 transcript:ENSMUST00000088041.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgis description:prostaglandin I2 (prostacyclin) synthase [Source:MGI Symbol;Acc:MGI:1097156] MSWAALLGLLAVLLLLLLLLSRRRARRPGEPPLDLGSIPWLGHALEFGRDAASFLTRMKE KHGDIFTVLVGGRYVTVLLDPHSYDTVVWELRTRLDFHPYAIFLMERIFDLQLPNFNPSE EKARMKPTLMHRDLQALTEAMYTNLRTVLLGDSTEAGSGWQETGLLEFSYNALLSAGYLT LYGVEASPRTHESQAQDRVHSADVFHTFRQLDLLLPKLARGSLSAGDKDHACSVKNRLWK LLSPARLASRADRSSWLESYLRHLEEMGVSEEMQARALVLQLWATQGNMGPTAFWLLLFL LKNPEALAAVRAELKHTVWQAEQPVSQMTTLPQKILDSMPVLDSVLNETLRLTAAPFITR EVMADLALPMADGREFSLRRGDRLLLFPFLSPQKDPEIYTEPEVFKYNRFLNPDGSEKKD FYKDGKRLKNYNMPWGAGHNQCLGKSYAINSIKHGGIVFVVIVIIIVQRFKQEARPGQQG QVVFLLPHYLIWSPTSRCYPHSGQSSYIN >ENSMUSP00000142662.1 pep:known chromosome:GRCm38:5:98854415:98873760:1 gene:ENSMUSG00000029335.5 transcript:ENSMUST00000197143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp3 description:bone morphogenetic protein 3 [Source:MGI Symbol;Acc:MGI:88179] MAGARGLLCLWLGYFCLNLAQGQRPNLHLPGLRETEPSDRATGGSPSPDLRPHDKVSEHM LWLYDRYSGSSRVQATRTPGSQLPGPQPLRGGNTVRSFRAAAAGTPQTKGLHTFNLTSLT KSENILSATLYFYVGELVNISLSCPEPQGCSHHTQRQHIQIDLSAWILKSNQSQLLGHLS VDVVRPYRDSVSWLSKDITQLLRKAKQNEEFLIGFNITSRAHELPKRMLFFPEPYILVYA NDAAISEPESVVSSLQRHRDFTAGTGPRLDSHVREALSVERRKKRSTGILLPLQNNELPG AEYQYKEEGAWEERKPYKSLQTQPPEKSRNKKKQRKGSHQKGQTLQFDEQTLKKARRKQW VEPRNCARRYLKVDFADIGWSEWIISPKSFDAFYCSGACQFPMPKVAAAAAALHLVLISF LHGVEEYAKCMFSFRNLYSDLYS >ENSMUSP00000031278.4 pep:known chromosome:GRCm38:5:98854439:98884396:1 gene:ENSMUSG00000029335.5 transcript:ENSMUST00000031278.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp3 description:bone morphogenetic protein 3 [Source:MGI Symbol;Acc:MGI:88179] MAGARGLLCLWLGYFCLNLAQGQRPNLHLPGLRETEPSDRATGGSPSPDLRPHDKVSEHM LWLYDRYSGSSRVQATRTPGSQLPGPQPLRGGNTVRSFRAAAAGTPQTKGLHTFNLTSLT KSENILSATLYFYVGELVNISLSCPEPQGCSHHTQRQHIQIDLSAWILKSNQSQLLGHLS VDVVRPYRDSVSWLSKDITQLLRKAKQNEEFLIGFNITSRAHELPKRMLFFPEPYILVYA NDAAISEPESVVSSLQRHRDFTAGTGPRLDSHVREALSVERRKKRSTGILLPLQNNELPG AEYQYKEEGAWEERKPYKSLQTQPPEKSRNKKKQRKGSHQKGQTLQFDEQTLKKARRKQW VEPRNCARRYLKVDFADIGWSEWIISPKSFDAFYCSGACQFPMPKSLKPSNHATIQSIVR AVGVVSGIPEPCCVPEKMSSLSILFFDENKNVVLKVYPNMTVDSCACR >ENSMUSP00000142907.1 pep:known chromosome:GRCm38:5:98854451:98884396:1 gene:ENSMUSG00000029335.5 transcript:ENSMUST00000200388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp3 description:bone morphogenetic protein 3 [Source:MGI Symbol;Acc:MGI:88179] MAGARGLLCLWLGYFCLNLAQGQRPNLHLPGLRETEPSDRATGGSPSPDLRPHDKVSEHM LWLYDRYSGSSRVQATRTPGSQLPGPQPLRGGNTVRSFRAAAAGTPQTKGLHTFNLTSLT KSENILSATLYFYVGELVNISLSCPEPQGCSHHTQRQHIQIDLSAWILKSNQSQLLGHLS VDVVRPYRDSVSWLSKDITQLLRKAKQNEEFLIGFNITSRAHELPKRMLFFPEPYILVYA NDAAISEPESVVSSLQRHRDFTAGTGPRLDSHVREALSVERRKKRSTGILLPLQNNELPG AEYQYKEEGAWEERKPYKSLQTQPPEKSRNKKKQRKGSHQKGQTLQFDEQTLKKARRKQW VEPRNCARRYLKVDFADIGWSEWIISPKSFDAFYCSGACQFPMPKVAAAAAALHLVLISF LHGVEEYAKFFETIKSRHHPEHSASGGGRLRDSRALLCAGKDVLTQHLVL >ENSMUSP00000032198.9 pep:known chromosome:GRCm38:6:121245906:121270917:1 gene:ENSMUSG00000030107.10 transcript:ENSMUST00000032198.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp18 description:ubiquitin specific peptidase 18 [Source:MGI Symbol;Acc:MGI:1344364] MGKGFGLLRKPCQSVVAEPQQYSALEEERTMKRKRVLSRDLCSAWDSPHGLVGLHNIGQT CCLNSLLQVFMMNMDFRMILKRITVPRSAEERKRSVPFQLLLLLEKMQDSRQKAVLPTEL VQCLQKYNVPLFVQHDAAQLYLTIWNLTKDQITDTDLTERLQGLFTIWTQESLICVGCTA ESSRRSKLLTLSLPLFDKDAKPLKTLEDALRCFVQPKELASSDMCCESCGEKTPWKQVLK LTHLPQTLTIHLMRFSARNSRTEKICHSVNFPQSLDFSQVLPTEEDLGDTKEQSEIHYEL FAVIAHVGMADFGHYCAYIRNPVDGKWFCFNDSHVCWVTWKDVQCTYGNHRYRWRETAYL LVYTKTGS >ENSMUSP00000145285.1 pep:known chromosome:GRCm38:6:121268549:121269464:1 gene:ENSMUSG00000030107.10 transcript:ENSMUST00000204710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp18 description:ubiquitin specific peptidase 18 [Source:MGI Symbol;Acc:MGI:1344364] EIHYELFAVIAHVGMADFGHYCAYIRNPVDGKWFCFNDSHVCWVTWKDVQCTYGNHRYRW >ENSMUSP00000072352.5 pep:known chromosome:GRCm38:5:87808082:87824426:1 gene:ENSMUSG00000061388.11 transcript:ENSMUST00000072539.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s2b description:casein alpha s2-like B [Source:MGI Symbol;Acc:MGI:105312] MKFIILTCLLAVALAKQRMEQYISSEESMDNSQENFKQNMDVAFFPSQETVENIYIPQME SVEAPMKVSDIISQQQYNQKMMDMSVSAREKTVMTEESKNIQDYMNKMKRYSKITWPQFV KLLHQYQKTMTPWSYYPSTPSQV >ENSMUSP00000108904.1 pep:known chromosome:GRCm38:5:87808123:87824417:1 gene:ENSMUSG00000061388.11 transcript:ENSMUST00000113279.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s2b description:casein alpha s2-like B [Source:MGI Symbol;Acc:MGI:105312] MKFIILTCLLAVALAKQRMEQYISSEESMDNSQENFKQNMDVAFFPSQETVENIYIPQME SVEAPMKVSDIISQQQYNQKMMDMSKTVMTEESKNIQDYMNKMKRYSKITWPQFVKLLHQ YQKTMTPWSYYPSTPSQV >ENSMUSP00000098618.3 pep:known chromosome:GRCm38:5:87808124:87818403:1 gene:ENSMUSG00000061388.11 transcript:ENSMUST00000101057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s2b description:casein alpha s2-like B [Source:MGI Symbol;Acc:MGI:105312] MKFIILTCLLAVALAKQRMEQYISSEESMDNSQENFKQNMDVAFFPSQETVENIYIPQME SVEAPMKPRSCQLHVDIFNIF >ENSMUSP00000142449.1 pep:known chromosome:GRCm38:5:87808127:87824321:1 gene:ENSMUSG00000061388.11 transcript:ENSMUST00000197301.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn1s2b description:casein alpha s2-like B [Source:MGI Symbol;Acc:MGI:105312] MKFIILTCLLAVALAKQRMEQYISSEESMDNSQENFKQNMDVAFFPSQESVEAPMKVSDI ISQQQYNQKMMDMSVSAREKTVMTEESKNIQDYMNKMKRYSKITWPQFVKLLHQYQKTMT PWSYYPSTPSQV >ENSMUSP00000122142.1 pep:known chromosome:GRCm38:11:43433744:43438276:1 gene:ENSMUSG00000020409.10 transcript:ENSMUST00000126128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slu7 description:SLU7 splicing factor homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2385598] MSAAAVDPVSATPMTGSKEMSLEEPKKMTREDWRKKKELEEQRKLGNAPAEVDEEGKDIN PHIPQYISSVPWYIDPSKRPTLKHQRPQPEKQKQFSSSGEWYKRGVKE >ENSMUSP00000116609.1 pep:known chromosome:GRCm38:11:43433744:43445385:1 gene:ENSMUSG00000020409.10 transcript:ENSMUST00000151880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slu7 description:SLU7 splicing factor homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2385598] MSAAAVDPVSATPMTGSKEMSLEEPKKMTREDWRKKKELEEQRKLGNAPAEVDEEGKDIN PHIPQYISSVPWYIDPSKRPTLKHQRPQPEKQKQFSSSGEWYKRGVKENSITTKYRKGAC ENCGAMTHKRKDCFERPRRVGAKFTGTNIAPDEHVQPQLMFDYDGKRDRWNGYNPEEHMK IVEEYAKVDLAKRTLKAQKLQEELASGKLVEQANSPKHQWGEEEPNSQMEKDHNSEDEDE DKYADDIDMPGQNFDSKRRITVRNLRIREDIAKYLRNLDPNSAYYDPKTRAMRENPYANA GKNPDEVSYAGDNFVRYTGDTISMAQTQLFAWEAYDKGSEVHLQADPTKLELLYKSFKVK KEDFKEQQKESILEKYGGQEHLDAPPAELLLAQTEDYVEYSRHGTVIKGQERAVACSKYE EDVKINNHTHIWGSYWKEGRWGYKCCHSFFKYSYCTGEAGKESVNSEECIITGATAEESV KKPQALLELHQEKLKEE >ENSMUSP00000020681.3 pep:known chromosome:GRCm38:11:43433759:43447981:1 gene:ENSMUSG00000020409.10 transcript:ENSMUST00000020681.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slu7 description:SLU7 splicing factor homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2385598] MSAAAVDPVSATPMTGSKEMSLEEPKKMTREDWRKKKELEEQRKLGNAPAEVDEEGKDIN PHIPQYISSVPWYIDPSKRPTLKHQRPQPEKQKQFSSSGEWYKRGVKENSITTKYRKGAC ENCGAMTHKRKDCFERPRRVGAKFTGTNIAPDEHVQPQLMFDYDGKRDRWNGYNPEEHMK IVEEYAKVDLAKRTLKAQKLQEELASGKLVEQANSPKHQWGEEEPNSQMEKDHNSEDEDE DKYADDIDMPGQNFDSKRRITVRNLRIREDIAKYLRNLDPNSAYYDPKTRAMRENPYANA GKNPDEVSYAGDNFVRYTGDTISMAQTQLFAWEAYDKGSEVHLQADPTKLELLYKSFKVK KEDFKEQQKESILEKYGGQEHLDAPPAELLLAQTEDYVEYSRHGTVIKGQERAVACSKYE EDVKINNHTHIWGSYWKEGRWGYKCCHSFFKYSYCTGEAGKESVNSEECIITGATAEESV KKPQALLELHQEKLKEEKKKKKKKKKHRKSSSDSDDEERKQEKLKKALNAEEARLLHVKE IMQIDERKRPYNSIYETREPTEEEMEAYRMKRQRPDDPMASFLGQ >ENSMUSP00000137281.1 pep:known chromosome:GRCm38:11:43437343:43447981:1 gene:ENSMUSG00000020409.10 transcript:ENSMUST00000178622.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slu7 description:SLU7 splicing factor homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2385598] MSAAAVDPVSATPMTGSKEMSLEEPKKMTREDWRKKKELEEQRKLGNAPAEVDEEGKDIN PHIPQYISSVPWYIDPSKRPTLKHQRPQPEKQKQFSSSGEWYKRGVKENSITTKYRKGAC ENCGAMTHKRKDCFERPRRVGAKFTGTNIAPDEHVQPQLMFDYDGKRDRWNGYNPEEHMK IVEEYAKVDLAKRTLKAQKLQEELASGKLVEQANSPKHQWGEEEPNSQMEKDHNSEDEDE DKYADDIDMPGQNFDSKRRITVRNLRIREDIAKYLRNLDPNSAYYDPKTRAMRENPYANA GKNPDEVSYAGDNFVRYTGDTISMAQTQLFAWEAYDKGSEVHLQADPTKLELLYKSFKVK KEDFKEQQKESILEKYGGQEHLDAPPAELLLAQTEDYVEYSRHGTVIKGQERAVACSKYE EDVKINNHTHIWGSYWKEGRWGYKCCHSFFKYSYCTGEAGKESVNSEECIITGATAEESV KKPQALLELHQEKLKEEKKKKKKKKKHRKSSSDSDDEERKQEKLKKALNAEEARLLHVKE IMQIDERKRPYNSIYETREPTEEEMEAYRMKRQRPDDPMASFLGQ >ENSMUSP00000128679.2 pep:known chromosome:GRCm38:1:91179822:91206790:1 gene:ENSMUSG00000034353.14 transcript:ENSMUST00000165855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ramp1 description:receptor (calcitonin) activity modifying protein 1 [Source:MGI Symbol;Acc:MGI:1858418] MAPGLRGLPRCGLWLLLAHHLFMVTACRDPDYGTLIQELCLSRFKENMETIGKTLWCDWG KTIQDLHAHSRSVLGLPRCDLVLSRLPPNSHHRISLASASGDA >ENSMUSP00000139720.1 pep:known chromosome:GRCm38:1:91180042:91206790:1 gene:ENSMUSG00000034353.14 transcript:ENSMUST00000188475.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ramp1 description:receptor (calcitonin) activity modifying protein 1 [Source:MGI Symbol;Acc:MGI:1858418] MAPGLRGLPRCGLWLLLAHHLFMVTACRDPDYGTLIQELCLSRFKENMETIGKTLWCDWG KTIQVCRKQFRAAMAFQLGSASCTLNACPKGSACALPLCSGPATV >ENSMUSP00000095253.4 pep:known chromosome:GRCm38:1:91180046:91225196:1 gene:ENSMUSG00000034353.14 transcript:ENSMUST00000097648.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ramp1 description:receptor (calcitonin) activity modifying protein 1 [Source:MGI Symbol;Acc:MGI:1858418] MAPGLRGLPRCGLWLLLAHHLFMVTACRDPDYGTLIQELCLSRFKENMETIGKTLWCDWG KTIQSYGELTYCTKHVAHTIGCFWPNPEVDRFFIAVHHRYFSKCPISGRALRDPPNSILC PFIALPITVTLLMTALVVWRSKRTEGIV >ENSMUSP00000118563.1 pep:known chromosome:GRCm38:3:94933056:94944745:1 gene:ENSMUSG00000068874.13 transcript:ENSMUST00000140757.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Selenbp1 description:selenium binding protein 1 [Source:MGI Symbol;Acc:MGI:96825] MATKCTKCGPGYSTPLEAMKGPREEIVYLPCIYRNTGTEAPDYLATVDVDPKSPQYSQVI HRLPMPYLKDELHHSGWNTCSSCFGDSTKSRNKLILPGLISSRIYVVDVGSEPRAPKLHK ACH >ENSMUSP00000088349.5 pep:known chromosome:GRCm38:3:94933056:94944758:1 gene:ENSMUSG00000068874.13 transcript:ENSMUST00000090839.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selenbp1 description:selenium binding protein 1 [Source:MGI Symbol;Acc:MGI:96825] MATKCTKCGPGYSTPLEAMKGPREEIVYLPCIYRNTGTEAPDYLATVDVDPKSPQYSQVI HRLPMPYLKDELHHSGWNTCSSCFGDSTKSRNKLILPGLISSRIYVVDVGSEPRAPKLHK VIEASEIQAKCNVSSLHTSHCLASGEVMVSTLGDLQGNGKGSFVLLDGETFEVKGTWEKP GDAAPMGYDFWYQPRHNVMVSTEWAAPNVFKDGFNPAHVEAGLYGSRIFVWDWQRHEIIQ TLQMTDGLIPLEIRFLHDPSATQGFVGCALSSNIQRFYKNAEGTWSVEKVIQVPSKKVKG WMLPEMPGLITDILLSLDDRFLYFSNWLHGDIRQYDISNPQKPRLAGQIFLGGSIVRGGS VQVLEDQELTCQPEPLVVKGKRIPGGPQMIQLSLDGKRLYATTSLYSAWDKQFYPDLIRE GSMMLQIDVDTVNGGLKLNPNFLVDFGKEPLGPALAHELRYPGGDCSSDIWI >ENSMUSP00000120159.1 pep:known chromosome:GRCm38:3:94933120:94944745:1 gene:ENSMUSG00000068874.13 transcript:ENSMUST00000134202.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Selenbp1 description:selenium binding protein 1 [Source:MGI Symbol;Acc:MGI:96825] MATKCTKCGPGYSTPLEAMKGPREEIVYLPCIYRNTGTEAPDYLATVDVDPKSPQYSQVI HRLPMPYLKDELHHSGWNTCSSCFGDSTKSRNKLILPGLISSRIYVVDVGSEPRAPKLHK ACH >ENSMUSP00000147093.1 pep:known chromosome:GRCm38:2:167095969:167188818:-1 gene:ENSMUSG00000050556.9 transcript:ENSMUST00000207917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnb1 description:potassium voltage gated channel, Shab-related subfamily, member 1 [Source:MGI Symbol;Acc:MGI:96666] MPAGMTKHGSRSTSSLPPEPMEIVRSKACSRRVRLNVGGLAHEVLWRTLDRLPRTRLGKL RDCNTHDSLLQVCDDYSLEDNEYFFDRHPGAFTSILNFYRTGRLHMMEEMCALSFSQELD YWGIDEIYLESCCQARYHQKKEQMNEELKREAETLREREGEEFDNTCCAEKRKKLWDLLE KPNSSVAAKILAIISIMFIVLSTIALSLNTLPELQSLDEFGQSTDNPQLAHVEAVCIAWF TMEYLLRFLSSPKKWKFFKGPLNAIDLLAILPYYVTIFLTESNKSVLQFQNVRRVVQIFR IMRILRILKLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDEDDTK FKSIPASFWWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNFSEFY KEQKRQEKAIKRREALERAKRNGSIVSMNMKDAFARSIEMMDIVVEKNGEGVAKKDKVQD NHLSPNKWKWTKRALSETSSSKSFETKEQGSPEKARSSSSPQHLNVQQLQDMYSKMAKTQ SQPILNTKEMAPQSQPQEELEMGSMPSPVAPLPTRTEGVIDMRSMSSIDSFISCATDFPE ATRFSHSPLASLSGKSGGSTAPEVGWRGALGASGGRLMETNPIPEASRSGFFVESPRSSM KTHNPMKLRALKVNFLEGDPTPLLPALGLYHDPLRNRGGAAAAVAGLECASLLDKPVLSP ESSIYTTASARTPPRSPEKHTAIAFNFEAGVHQYIDTDTDDEGQLLYSVDSSPPKSLHGS TSPKFSLGARTEKNHFESSPLPTSPKFLRPNCVYASEGLPGKGPGAQEKCKLENHTSPDV HMLPGGGAHGSTRDQSI >ENSMUSP00000057981.7 pep:known chromosome:GRCm38:2:167103412:167190155:-1 gene:ENSMUSG00000050556.9 transcript:ENSMUST00000059826.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnb1 description:potassium voltage gated channel, Shab-related subfamily, member 1 [Source:MGI Symbol;Acc:MGI:96666] MPAGMTKHGSRSTSSLPPEPMEIVRSKACSRRVRLNVGGLAHEVLWRTLDRLPRTRLGKL RDCNTHDSLLQVCDDYSLEDNEYFFDRHPGAFTSILNFYRTGRLHMMEEMCALSFSQELD YWGIDEIYLESCCQARYHQKKEQMNEELKREAETLREREGEEFDNTCCAEKRKKLWDLLE KPNSSVAAKILAIISIMFIVLSTIALSLNTLPELQSLDEFGQSTDNPQLAHVEAVCIAWF TMEYLLRFLSSPKKWKFFKGPLNAIDLLAILPYYVTIFLTESNKSVLQFQNVRRVVQIFR IMRILRILKLARHSTGLQSLGFTLRRSYNELGLLILFLAMGIMIFSSLVFFAEKDEDDTK FKSIPASFWWATITMTTVGYGDIYPKTLLGKIVGGLCCIAGVLVIALPIPIIVNNFSEFY KEQKRQEKAIKRREALERAKRNGSIVSMNMKDAFARSIEMMDIVVEKNGEGVAKKDKVQD NHLSPNKWKWTKRALSETSSSKSFETKEQGSPEKARSSSSPQHLNVQQLQDMYSKMAKTQ SQPILNTKEMAPQSQPQEELEMGSMPSPVAPLPTRTEGVIDMRSMSSIDSFISCATDFPE ATRFSHSPLASLSGKSGGSTAPEVGWRGALGASGGRLMETNPIPEASRSGFFVESPRSSM KTHNPMKLRALKVNFLEGDPTPLLPALGLYHDPLRNRGGAAAAVAGLECASLLDKPVLSP ESSIYTTASARTPPRSPEKHTAIAFNFEAGVHQYIDTDTDDEGQLLYSVDSSPPKSLHGS TSPKFSLGARTEKNHFESSPLPTSPKFLRPNCVYASEGLPGKGPGAQEKCKLENHTSPDV HMLPGGGAHGSTRDQSI >ENSMUSP00000031621.9 pep:known chromosome:GRCm38:5:143987909:144014853:-1 gene:ENSMUSG00000029617.10 transcript:ENSMUST00000031621.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccz1 description:CCZ1 vacuolar protein trafficking and biogenesis associated [Source:MGI Symbol;Acc:MGI:2141070] MAAAAAGPGAWAAQEKQFPPALLSFFIYNPRFGPREGEEENKILFYHPNEVEKNEKIRNV GLCEAIVQFTRTFSPSKPAKSLHTQKNRQFFNEPEENFWMVMVVRNPIIEKQSKDGKAVV EYQEEELLDKVYSSVLQQCYSMYKLFNGTFLKAMEDGGVKLLKERLEKFFHRYLQTLHLQ SCDLLDIFGGISFFPLDKMTYLKIQSFINRMEESLSVVKYTAFLYNDQLIWSGLEQDDMR ILYKYLTTSLFPRHIEPELAGRDSPVRAEMPGNLQHYGRFLTGPLNLNDPEAKCRFPKIF VNTDDTYEELHLIVYKAMSAAVCFMIDASTPLTLDFCRRLDSIVGPQLTVLASDICEQFN INKRISGSEKEPQFKFIYFNHMNLAEKSTIHMRKTPSVSLTSVHPDLMKILGDINSDFTR ADEDEEIIVKAMSDYWVVGKKSDQRELYVILSQKNANLIEVNEEVKKLCATQFNNIFFLD >ENSMUSP00000121023.2 pep:known chromosome:GRCm38:1:60482292:60566779:-1 gene:ENSMUSG00000026014.15 transcript:ENSMUST00000140485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raph1 description:Ras association (RalGDS/AF-6) and pleckstrin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924550] MEQLSDEEIDHGAEEDSDKEDQDLDKMFGAWLGELDRLTQSLDSDKPKEPVKRSPLRQET NMANFSYRFSIYNLNEALNQGETVDLDALMADLCSIEQELSSIGSGNSKRQVTEPKVPQK LPAGRHTSKHGTLRGPSSSSNRTTKPSHANYSLDDITAQLEQASLSMDEAAQQSLVEDPK PLVTNQHRRTASAGTVSDAEARSISNSSRSSITSAASSMDSLDIDKVTRPQELDLTTHQG QPITEEEQAAKLKAEKIRVALEKIKEAQVKKLVIRVHMSDDSSKTMMVDERQTVRQVLDN LMDKSHCGYSLDWSLVETISELQMERIFEDHENLVENLLNWTRDSQNKLIFMERIEKYAL FKNPQNYLLGKKETAEMADRNKEVLLEECFCGSSVTVPEIEGVLWLKDDGKKSWKKRYFL LRASGIYYVPKGKAKVSRDLVCFLQLDHVNVYYGQDYRNKYKAPTDCCLALKHPQIQKKS QYIKYLCCDDARTLHQWVNGIRIAKYGKQLYTNYQEALKRTESAYDWTSLSSSSIKSGSS SSSIPESQSNHSNQSDSGVSDTLPTGHIRSQSTVSSIFSEAWKRGTQLEESSKARMESMN RSYTSLMPPLSPQTKIITPYTASQPSPPLPPPPPPPPPPPPPPPPPPPPLPSQSAPSSGS AATMFVKYSTITRLQNAAQHSGTLFKSPTPPAMQPLPLASQSKAQIVVPPNGVIPPPPPP PPPPTPGSAMAQLKPVPCAPSLPQFSPQPPPLKIHQVQHLPQTAPPTPPPAPPITATVPP QAPPKPLVTIPPSASTKTVSPVVAQAVPPTPAPPVPPAKKQPVFPVSHIPPTPPVQPTPH PPPTLPKQQSFGVKPPPSPLSPVPSVVKQIASQFPPPPTPPPVDSQPLKSLPASGTPQSP PAVKAKPKWQPSSIPVPSPDFPPPPPESSLVFPPPPPPAPAPAPPPPPPPPPPPPPVPPT ASPTPDKGGSPGKKTSKMSSPGGKKPPPTPQRNSSIKSGSCAEHPEPKRPSVDSLVSKFA PPAESGSPSKETPPPPAAPPKPGKLHLSGVNLPGIHQQGIVSAKASVLSGRGKDVVVEFP SPPSDSDFPPPPPEIELPLPPIEIPAVFSGNTSPKVAVVNPQPQLWSKTSVKKAPPPTRP KRNDSTRLTQADISEQPAMTTVVPQVPTSPKSSLSVQPGFLADLNRTLQRKSITRHGSLS SSRMSRAEPTATMDDMALPPPPPELLSDQQKAGFGGSHISGYATLRRGPPPAPPKRDQNT KLSRDW >ENSMUSP00000138682.2 pep:known chromosome:GRCm38:1:60483185:60499550:-1 gene:ENSMUSG00000026014.15 transcript:ENSMUST00000182085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raph1 description:Ras association (RalGDS/AF-6) and pleckstrin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924550] XSWKKRYFLLRASGIYYVPKGKAKVSRDLVCFLQLDHVNVYYGQDYRNKYKAPTDCCLAL KHPQIQKKSQYIKYLCCDDARTLHQWVNGIRIAKYGKQLYTNYQEALKRTESAYDWTSLS SSSIKSGSSSSSIPESQSNHSNQSDSGVSDTLPTGHIRSQSTVSSIFSEAWKRGTQLEES SKRTRVQFPAPSSGNSKPPITPAPKDPMSSSGLQGT >ENSMUSP00000087763.4 pep:known chromosome:GRCm38:1:60490676:60566769:-1 gene:ENSMUSG00000026014.15 transcript:ENSMUST00000090293.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raph1 description:Ras association (RalGDS/AF-6) and pleckstrin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924550] MEQLSDEEIDHGAEEDSDKEDQDLDKMFGAWLGELDRLTQSLDSDKPKEPVKRSPLRQET NMANFSYRFSIYNLNEALNQGETVDLDALMADLCSIEQELSSIGSGNSKRQVTEPKVPQK LPAGRHTSKHGTLRGPSSSSNRTTKPSHANYSLDDITAQLEQASLSMDEAAQQSLVEDPK PLVTNQHRRTASAGTVSDAEARSISNSSRSSITSAASSMDSLDIDKVTRPQELDLTTHQG QPITEHAISLSCPSKQAKHHSDFTEEQAELTPHSYLDRETSLLLRNIAGKPSHLLTKEEQ AAKLKAEKIRVALEKIKEAQVKKLVIRVHMSDDSSKTMMVDERQTVRQVLDNLMDKSHCG YSLDWSLVETISELQMERIFEDHENLVENLLNWTRDSQNKLIFMERIEKYALFKNPQNYL LGKKETAEMADRNKEVLLEECFCGSSVTVPEIEGVLWLKDDGKKSWKKRYFLLRASGIYY VPKGKAKVSRDLVCFLQLDHVNVYYGQDYRNKYKAPTDCCLALKHPQIQKKSQYIKYLCC DDARTLHQWVNGIRIAKYGKQLYTNYQEALKRTESAYDWTSLSSSSIKSGSSSSSIPESQ SNHSNQSDSGVSDTLPTGHIRSQSTVSSIFSEAWKRGTQLEESSK >ENSMUSP00000120638.1 pep:known chromosome:GRCm38:1:60525688:60567104:-1 gene:ENSMUSG00000026014.15 transcript:ENSMUST00000142258.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raph1 description:Ras association (RalGDS/AF-6) and pleckstrin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924550] MEQLSDEEIDHGAEEDSDKEDQDLDKMFGAWLGELDRLTQSLDSDKPKEPVKRSPLRQET NMANFSYRFSIYNLNEALNQGETVDLDALMADLCSIEQELSSIGSGNSKRQVTEPKVPQK LPAGRHTSKHGTLRGPSSSSNRTTKPSHANYSLDDITAQLEQASLSMDEAAQQSLVEDPK PLVTNQHRRTASAGTVSDAEARSISNSSRSSIT >ENSMUSP00000027168.5 pep:known chromosome:GRCm38:1:60483236:60566765:-1 gene:ENSMUSG00000026014.15 transcript:ENSMUST00000027168.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raph1 description:Ras association (RalGDS/AF-6) and pleckstrin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924550] MEQLSDEEIDHGAEEDSDKEDQDLDKMFGAWLGELDRLTQSLDSDKPKEPVKRSPLRQET NMANFSYRFSIYNLNEALNQGETVDLDALMADLCSIEQELSSIGSGNSKRQVTEPKVPQK LPAGRHTSKHGTLRGPSSSSNRTTKPSHANYSLDDITAQLEQASLSMDEAAQQSLVEDPK PLVTNQHRRTASAGTVSDAEARSISNSSRSSITSAASSMDSLDIDKVTRPQELDLTTHQG QPITEHAISLSCPSKQAKHHSDFTEEQAELTPHSYLDRETSLLLRNIAGKPSHLLTKEEQ AAKLKAEKIRVALEKIKEAQVKKLVIRVHMSDDSSKTMMVDERQTVRQVLDNLMDKSHCG YSLDWSLVETISELQMERIFEDHENLVENLLNWTRDSQNKLIFMERIEKYALFKNPQNYL LGKKETAEMADRNKEVLLEECFCGSSVTVPEIEGVLWLKDDGKKSWKKRYFLLRASGIYY VPKGKAKVSRDLVCFLQLDHVNVYYGQDYRNKYKAPTDCCLALKHPQIQKKSQYIKYLCC DDARTLHQWVNGIRIAKYGKQLYTNYQEALKRTESAYDWTSLSSSSIKSGSSSSSIPESQ SNHSNQSDSGVSDTLPTGHIRSQSTVSSIFSEAWKRGTQLEESSKRTRVQFPAPSSGNSK PPITPAPKDPMSSSGLQGT >ENSMUSP00000015892.7 pep:known chromosome:GRCm38:3:95830124:95855885:-1 gene:ENSMUSG00000015748.13 transcript:ENSMUST00000015892.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf3 description:PRP3 pre-mRNA processing factor 3 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1918017] MALSKRELDELKPWIEKTVKRVLGFSEPTVVTAALNCVGKGMDKKKAADHLKPFLDDSTL RFVDKLFEAVEEGRSSRHSKSSSDRSRKRELKEVFGDDSEISKESSGVKKRRIPRFEEVE EEPEVIPGPPSESPGMLTKLQIKQMMEAATRQIEERKKQLSFISPPAPQPKTPSSSQPER LPIGNTIQPSQAATFMNDAIEKARKAAELQARIQAQLALKPGLIGNANMVGLANLHAMGI APPKVELKDQTKPTPLILDEQGRTVDATGKEVELTHRMPTLKANIRAVKREQFKQQLKEK PSEDMESNTFFDPRVSIAPSQRQRRTFKFHDKGKFEKIAQRLRTKAQLEKLQAEISQAAR KTGIHTSTRLALIAPKKELKEGDIPEIEWWDSYIIPNGFDLTEENPKREDYFGITNLVEH PAQLNPPVDNDTPVTLGVYLTKKEQKKLRRQTRREAQKELQEKVRLGLTPPPEPKVRISN LMRVLGTEAVQDPTKVEAHVRAQMAKRQKAHEEANAARKLTAEQRKVKKIKKLKEDISQG VHISVYRVRNLSNPAKKFKIEANAGQLYLTGVVVLHKDVNVVVVEGGPKAQKKFKRLMLH RIKWDEQTSNTKGDDDEESDEEAVKKTNKCVLVWEGTAKDRSFGEMKFKQCPTENMAREH FKKHGAEHYWDLALSESVLESTD >ENSMUSP00000124444.1 pep:known chromosome:GRCm38:3:95830589:95835665:-1 gene:ENSMUSG00000015748.13 transcript:ENSMUST00000159901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf3 description:PRP3 pre-mRNA processing factor 3 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1918017] LRWQRDRKRMKRPTRPENLQQNRERSRKLKSLKKTFHRGCTYLCIDDEESDEEAVKKTNK CVLVWEGTAKDRSFGEMKFKQCPTENMAREHFKKHGAEHYWDLALSESVLESTD >ENSMUSP00000124950.1 pep:known chromosome:GRCm38:3:95830622:95855753:-1 gene:ENSMUSG00000015748.13 transcript:ENSMUST00000161476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf3 description:PRP3 pre-mRNA processing factor 3 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1918017] MALSKRELDELKPWIEKTVKRVLGFSEPTVVTAALNCVGKGMDKKKAADHLKPFLDDSTL RFVDKLFEAVEEGRSSRHSKSSSDRSRKRELKEVFGDDSEISKESSGVKKRRIPRFEEVE EEPEVIPGPPSESPGMLTKLQIKQMMEAATRQIEERKKQLSFISPPAPQPKTPSSSQPER LPIGNTIQPSQAATFMNDAIEKARKAAELQARIQAQLALKPGLIGNANMVGLANLHAMGI APPKVELKDQTKPTPLILDEQGRTVDATGKEVELTHRMPTLKANIRAVKREQFKQQLKEK PSEDMESNTFFDPRVSIAPSQRQRRTFKFHDKGKFEKIAQRLRTKAQLEKLQAEISQAAR KTGIHTSTRLALIAPKKELKEGDIPEIEWWDSYIIPNGFDLTEENPKREDYFGITNLVEH PAQLNPPVDNDTPVTLGVYLTKKEQKKLRRQTRREAQKELQEKVRLGLTPPPEPKVRISN LMRVLGTEAVQDPTKVEAHVRAQMAKRQKAHEEANAARKLTAEQRKVKKIKKLKEDISQG VHISVYRVRNLSNPAKKFKIEANAGQLYLTGVVVLHKDVNVVVVEGGPKAQKKFKRLMLH RIKWDEQTSNTKGDDDEESDEEAVKKTNKCVLVWEGTAKDRSFGEMKFKQCPTENMAREH FKKHGAEHYWDLALSESVLESTD >ENSMUSP00000124302.1 pep:known chromosome:GRCm38:3:95847443:95853632:-1 gene:ENSMUSG00000015748.13 transcript:ENSMUST00000160109.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prpf3 description:PRP3 pre-mRNA processing factor 3 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1918017] MALSKRELDELKPWIEKTVKRVLGFSEPTVVTAALNCVGKGMDKKKAADHLKPFLDDSTL RFVDKLFEAVEEGRSSRHSKSSSDRSRKRELKEFQEALAPG >ENSMUSP00000021649.7 pep:known chromosome:GRCm38:12:83987861:83993873:1 gene:ENSMUSG00000021226.7 transcript:ENSMUST00000021649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot2 description:acyl-CoA thioesterase 2 [Source:MGI Symbol;Acc:MGI:2159605] MVASSFAVLRASRLCQQDWKSWARLFVPPPLSTGGRTTWARTNATLSVEPEGRSCWDEPL SIAVRGLAPEQPVTLRSALRDEKGALFRAHARYRADAGGELNLARAPALGGSFSGLEPMG LLWAMEPERPLWRLIKRDVQTPFLVELEVLDGHEPDGGQRLAQAVHERHFLAPGVRRVPV REGRVRATLFLPPEPGPFPGIIDLFGVGGGLLEYRASLLAGKGFAVMALAYYNYDDLPKS IETMHMEYFEEAVNYLRSHPEVKGPGIGLLGISKGGELGLAMASFLKGITAAVVINGSVA AVGNTISYKDETIPPVSLLRNQVKMTKDGLLDVVEALQSPLVDKKSFIPVERSDTTFLFL VGQDDHNWKSEFYADEISKRLQAHGKEKPQIICYPAAGHYIEPPYFPLCSAGMHLLVGAN ITFGGEPRAHAVAQVDAWQQLQTFFHKQLGSKS >ENSMUSP00000024338.4 pep:known chromosome:GRCm38:4:155962318:155966629:1 gene:ENSMUSG00000023571.4 transcript:ENSMUST00000024338.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam132a description:family with sequence similarity 132, member A [Source:MGI Symbol;Acc:MGI:1914639] MWAWGWAAAALLWLQTAGAGARQELKKSRQLFARVDSPNITTSNREGFPGSVKPPEASGP ELSDAHMTWLNFVRRPDDGSSRKRCRGRDKKSRGLSGLPGPPGPPGPPGPPGSPGVGVTP EALLQEFQEILKEATELRFSGLPDTLLPQEPSQRLVVEAFYCRLKGPVLVDKKTLVELQG FQAPTTQGAFLRGSGLSLSLGRFTAPVSAIFQFSASLHVDHSELQGRGRLRTRDMVRVLI CIESLCHRHTSLEAVSGLESNSRVFTVQVQGLLHLQSGQYVSVFVDNSSGAVLTIQNTSS FSGMLLGT >ENSMUSP00000139879.1 pep:known chromosome:GRCm38:12:88722986:90332878:1 gene:ENSMUSG00000066392.11 transcript:ENSMUST00000190626.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrxn3 description:neurexin III [Source:MGI Symbol;Acc:MGI:1096389] MLGSDDFFYVGGSPSTADLPGSPVSNNFMGCLKEVVYKNNDIRLELSRLARIGDTKMKIY GEVVFKCENVATLDPINFETPEAYISLPKWNTKRMGSISFDFRTTEPNGLILFTHGKPQE RKDVRSQKNTKVDFFAVELLDGNLYLLLDMGSGTIKVKATQKKANDGEWYHVDIQRDGRS GTISVNSRRTPFTASGESEILDLEGDMYLGGLPENRAGLILPTELWTAMLNYGYVGCIRD LFIDGRSKNIRQLAEMQNAAGVKSSCSRMSAKQCDSYPCKNNAVCKDGWNRFICDCTGTG YWGRTCEREASILSYDGSMYMKVIMPMVMHTEAEDVSFRFMSQRAYGLLVATTSRDSADT LRLELDGGRVKLMVNLDCIRINCNSSKGPETLYAGQKLNDNEWHTVRVVRRGKSLKLTVD DDVAEGTMVGDHTRLEFHNIETGIMTEKRYISVVPSSFIGHLQSLMFNGLLYIDLCKNGD IDYCELKARFGLRNIIADPVTFKTKSSYLTLATLQAYTSMHLFFQFKTTSADGFILFNSG DGNDFIAVELVKGYIHYVFDLGNGPNVIKGNSDRPLNDNQWHNVVITRDSSNTHSLKVDT KVVTQVINGAKNLDLKGDLYMAGLAQGMYSNLPKLVASRDGFQGCLASVDLNGRLPDLIN DALHRSGQIERGCEVALTKADLQGPSTTCQEDSCANQGVCMQQWEGFTCDCSMTSYSGNQ CNDPGATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVKDGILVRIDSAPGLGDFL QLHIEQGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNGGNATLQVDNWPVNEHYP TGRQLTIFNTQAQIAIGGKDKGRLFQGQLSGLYYDGLKVLNMAAENNPNIKINGSVRLVG EVPSVSGTTQTTSMPPEMSTTVMETTTTMATTTTRKNRSTASIQPTSDDLVSSAECSSDD EDFVECEPSTGRSARSSNAARSLRAALTWTWRLTYTLTPLIFISCVVHS >ENSMUSP00000127407.1 pep:known chromosome:GRCm38:12:88723109:90332897:1 gene:ENSMUSG00000066392.11 transcript:ENSMUST00000167103.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrxn3 description:neurexin III [Source:MGI Symbol;Acc:MGI:1096389] MSFTLHSVFFTLKVSIFLGSLVGLCLGLEFMGLPNQWARYLRWDASTRSDLSFQFKTNVS TGLLLYLDDGGVCDFLCLSLVDGRVQLRFSMDCAETTVLSNKQVNDSSWHFLMVSRDRVR TGLVIDGEGQSGELRPQRPYMDVVSDLFLGGVPADIRPSALTLDGVQSMPGFKGLMLDLK YGNSEPRLLGSQSVQLEAEGPCGERPCENGGICFLLDGHPTCDCSTTGYGGTLCSEDVSQ GPGLSHLMMSEQAREENVATFRGSEYLCYDLSQNPIQSSSDEITLSFKTWQRNGLILHTG KSADYVNLALKDGAVSLVINLGSGAFEAIVEPVNGKFNDNAWHDVKVTRNLRQVTISVDG ILTTTGYTQEDYTMLGSDDFFYVGGSPSTADLPGSPVSNNFMGCLKEVVYKNNDIRLELS RLARIGDTKMKIYGEVVFKCENVATLDPINFETPEAYISLPKWNTKRMGSISFDFRTTEP NGLILFTHGKPQERKDVRSQKNTKVDFFAVELLDGNLYLLLDMGSGTIKVKATQKKANDG EWYHVDIQRDGRSGTISVNSRRTPFTASGESEILDLEGDMYLGGLPENRAGLILPTELWT AMLNYGYVGCIRDLFIDGRSKNIRQLAEMQNAAGVKSSCSRMSAKQCDSYPCKNNAVCKD GWNRFICDCTGTGYWGRTCEREASILSYDGSMYMKVIMPMVMHTEAEDVSFRFMSQRAYG LLVATTSRDSADTLRLELDGGRVKLMVNLGKGPETLYAGQKLNDNEWHTVRVVRRGKSLK LTVDDDVAEGTMVGDHTRLEFHNIETGIMTEKRYISVVPSSFIGHLQSLMFNGLLYIDLC KNGDIDYCELKARFGLRNIIADPVTFKTKSSYLTLATLQAYTSMHLFFQFKTTSADGFIL FNSGDGNDFIAVELVKGYIHYVFDLGNGPNVIKGNSDRPLNDNQWHNVVITRDSSNTHSL KVDTKVVTQVINGAKNLDLKGDLYMAGLAQGMYSNLPKLVASRDGFQGCLASVDLNGRLP DLINDALHRSGQIERGCEGPSTTCQEDSCANQGVCMQQWEGFTCDCSMTSYSGNQCNDPG ATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVKDGILVRIDSAPGLGDFLQLHIE QGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNGGNATLQVDNWPVNEHYPTGNTD NERLQMVKQKIPFKYNRPVEEWLQEKGRQLTIFNTQAQIAIGGKDKGRLFQGQLSGLYYD GLKVLNMAAENNPNIKINGSVRLVGEVPSVSGTTQTTSMPPEMSTTVMETTTTMATTTTR KNRSTASIQPTSDDLVSSAECSSDDEDFVECEPSTARSSNAARSLRAALTWTWRLTYTLT PLIFISCVVHS >ENSMUSP00000129678.1 pep:known chromosome:GRCm38:12:88794504:90334935:1 gene:ENSMUSG00000066392.11 transcript:ENSMUST00000163134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn3 description:neurexin III [Source:MGI Symbol;Acc:MGI:1096389] MSFTLHSVFFTLKVSIFLGSLVGLCLGLEFMGLPNQWARYLRWDASTRSDLSFQFKTNVS TGLLLYLDDGGVCDFLCLSLVDGRVQLRFSMDCAETTVLSNKQVNDSSWHFLMVSRDRVR TGLVIDGEGQSGELRPQRPYMDVVSDLFLGGVPADIRPSALTLDGVQSMPGFKGLMLDLK YGNSEPRLLGSQSVQLEAEGPCGERPCENGGICFLLDGHPTCDCSTTGYGGTLCSEDVSQ GPGLSHLMMSEQAREENVATFRGSEYLCYDLSQNPIQSSSDEITLSFKTWQRNGLILHTG KSADYVNLALKDGAVSLVINLGSGAFEAIVEPVNGKFNDNAWHDVKVTRNLRQVTISVDG ILTTTGYTQEDYTMLGSDDFFYVGGSPSTADLPGSPVSNNFMGCLKEVVYKNNDIRLELS RLARIGDTKMKIYGEVVFKCENVATLDPINFETPEAYISLPKWNTKRMGSISFDFRTTEP NGLILFTHGKPQERKDVRSQKNTKVDFFAVELLDGNLYLLLDMGSGTIKVKATQKKANDG EWYHVDIQRDGRSGTISVNSRRTPFTASGESEILDLEGDMYLGGLPENRAGLILPTELWT AMLNYGYVGCIRDLFIDGRSKNIRQLAEMQNAAGVKSSCSRMSAKQCDSYPCKNNAVCKD GWNRFICDCTGTGYWGRTCEREASILSYDGSMYMKVIMPMVMHTEAEDVSFRFMSQRAYG LLVATTSRDSADTLRLELDGGRVKLMVNLDCIRINCNSSKGPETLYAGQKLNDNEWHTVR VVRRGKSLKLTVDDDVAEGTMVGDHTRLEFHNIETGIMTEKRYISVVPSSFIGHLQSLMF NGLLYIDLCKNGDIDYCELKARFGLRNIIADPVTFKTKSSYLTLATLQAYTSMHLFFQFK TTSADGFILFNSGDGNDFIAVELVKGYIHYVFDLGNGPNVIKGNSDRPLNDNQWHNVVIT RDSSNTHSLKVDTKVVTQVINGAKNLDLKGDLYMAGLAQGMYSNLPKLVASRDGFQGCLA SVDLNGRLPDLINDALHRSGQIERGCEGPSTTCQEDSCANQGVCMQQWEGFTCDCSMTSY SGNQCNDPGATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVKDGILVRIDSAPGL GDFLQLHIEQGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNGGNATLQVDNWPVN EHYPTGNTDNERLQMVKQKIPFKYNRPVEEWLQEKGRQLTIFNTQAQIAIGGKDKGRLFQ GQLSGLYYDGLKVLNMAAENNPNIKINGSVRLVGEVPSVSGTTQTTSMPPEMSTTVMETT TTMATTTTRKNRSTASIQPTSDDLVSSAECSSDDEDFVECEPSTDKSLSTSIFEGGYKAH APKWESKDFRPNKVSETSRTTTTSLSPELIRFTASSSSGMVPKLPAGKMNNRDLKPQPDI VLLPLPTAYELDSTKLKSPLITSPMFRNVPTANPTEPGIRRVPGASEVIRESSSTTGMVV GIVAAAALCILILLYAMYKYRNRDEGSYQVDETRNYISNSAQSNGTLMKEKQASSKSGHK KQKNKDKEYYV >ENSMUSP00000050075.7 pep:known chromosome:GRCm38:12:88953399:90333060:1 gene:ENSMUSG00000066392.11 transcript:ENSMUST00000057634.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn3 description:neurexin III [Source:MGI Symbol;Acc:MGI:1096389] MLGSDDFFYVGGSPSTADLPGSPVSNNFMGCLKEVVYKNNDIRLELSRLARIGDTKMKIY GEVVFKCENVATLDPINFETPEAYISLPKWNTKRMGSISFDFRTTEPNGLILFTHGKPQE RKDVRSQKNTKVDFFAVELLDGNLYLLLDMGSGTIKVKATQKKANDGEWYHVDIQRDGRS GTISVNSRRTPFTASGESEILDLEGDMYLGGLPENRAGLILPTELWTAMLNYGYVGCIRD LFIDGRSKNIRQLAEMQNAAGVKSSCSRMSAKQCDSYPCKNNAVCKDGWNRFICDCTGTG YWGRTCEREASILSYDGSMYMKVIMPMVMHTEAEDVSFRFMSQRAYGLLVATTSRDSADT LRLELDGGRVKLMVNLDCIRINCNSSKGPETLYAGQKLNDNEWHTVRVVRRGKSLKLTVD DDVAEGTMVGDHTRLEFHNIETGIMTEKRYISVVPSSFIGHLQSLMFNGLLYIDLCKNGD IDYCELKARFGLRNIIADPVTFKTKSSYLTLATLQAYTSMHLFFQFKTTSADGFILFNSG DGNDFIAVELVKGYIHYVFDLGNGPNVIKGNSDRPLNDNQWHNVVITRDSSNTHSLKVDT KVVTQVINGAKNLDLKGDLYMAGLAQGMYSNLPKLVASRDGFQGCLASVDLNGRLPDLIN DALHRSGQIERGCEVALTKADLQGPSTTCQEDSCANQGVCMQQWEGFTCDCSMTSYSGNQ CNDPGATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVKDGILVRIDSAPGLGDFL QLHIEQGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNGGNATLQVDNWPVNEHYP TGNTDNERLQMVKQKIPFKYNRPVEEWLQEKGRQLTIFNTQAQIAIGGKDKGRLFQGQLS GLYYDGLKVLNMAAENNPNIKINGSVRLVGEVPSVSGTTQTTSMPPEMSTTVMETTTTMA TTTTRKNRSTASIQPTSDDLVSSAECSSDDEDFVECEPSTANPTEPGIRRVPGASEVIRE SSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDETRNYISNSAQSNGTLMKEK QASSKSGHKKQKNKDKEYYV >ENSMUSP00000105760.2 pep:known chromosome:GRCm38:12:89812483:90332899:1 gene:ENSMUSG00000066392.11 transcript:ENSMUST00000110133.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn3 description:neurexin III [Source:MGI Symbol;Acc:MGI:1096389] MHLRIHPRRSPPRRPAWTLGIWSLFWGCIVSSVWSSSNVASSSSSSPGSHSQQEHHFHGS KHHSVPISIYRSPVSLRGGHAGATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVK DGILVRIDSAPGLGDFLQLHIEQGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNG GNATLQVDNWPVNEHYPTGRQLTIFNTQAQIAIGGKDKGRLFQGQLSGLYYDGLKVLNMA AENNPNIKINGSVRLVGEVPSVSGTTQTTSMPPEMSTTVMETTTTMATTTTRKNRSTASI QPTSDDLVSSAECSSDDEDFVECEPSTGRSANPTEPGIRRVPGASEVIRESSSTTGMVVG IVAAAALCILILLYAMYKYRNRDEGSYQVDETRNYISNSAQSNGTLMKEKQASSKSGHKK QKNKDKEYYV >ENSMUSP00000105757.3 pep:known chromosome:GRCm38:12:89812483:90333443:1 gene:ENSMUSG00000066392.11 transcript:ENSMUST00000110130.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn3 description:neurexin III [Source:MGI Symbol;Acc:MGI:1096389] MHLRIHPRRSPPRRPAWTLGIWSLFWGCIVSSVWSSSNVASSSSSSPGSHSQQEHHFHGS KHHSVPISIYRSPVSLRGGHAGATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVK DGILVRIDSAPGLGDFLQLHIEQGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNG GNATLQVDNWPVNEHYPTGNTDNERLQMVKQKIPFKYNRPVEEWLQEKGRQLTIFNTQAQ IAIGGKDKGRLFQGQLSGLYYDGLKVLNMAAENNPNIKINGSVRLVGEVPSVSGTTQTTS MPPEMSTTVMETTTTMATTTTRKNRSTASIQPTSDDLVSSAECSSDDEDFVECEPSTGRS DKSLSTSIFEGGYKAHAPKWESKDFRPNKVSETSRTTTTSLSPELIRFTASSSSGMVPKL PAGKMNNRDLKPQPDIVLLPLPTAYELDSTKLKSPLITSPMFRNVPTANPTEPGIRRVPG ASEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDETRNYISNSAQSN GTLMKEKQASSKSGHKKQKNKDKEYYV >ENSMUSP00000127926.1 pep:known chromosome:GRCm38:12:89193106:90332410:1 gene:ENSMUSG00000066392.11 transcript:ENSMUST00000167887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn3 description:neurexin III [Source:MGI Symbol;Acc:MGI:1096389] MLGSDDFFYVGGSPSTADLPGSPVSNNFMGCLKEVVYKNNDIRLELSRLARIGDTKMKIY GEVVFKCENVATLDPINFETPEAYISLPKWNTKRMGSISFDFRTTEPNGLILFTHGKPQE RKDVRSQKNTKVDFFAVELLDGNLYLLLDMGSGTIKVKATQKKANDGEWYHVDIQRDGRS GTISVNSRRTPFTASGESEILDLEGDMYLGGLPENRAGLILPTELWTAMLNYGYVGCIRD LFIDGRSKNIRQLAEMQNAAGVKSSCSRMSAKQCDSYPCKNNAVCKDGWNRFICDCTGTG YWGRTCEREASILSYDGSMYMKVIMPMVMHTEAEDVSFRFMSQRAYGLLVATTSRDSADT LRLELDGGRVKLMVNLDCIRINCNSSKGPETLYAGQKLNDNEWHTVRVVRRGKSLKLTVD DDVAEGTMVGDHTRLEFHNIETGIMTEKRYISVVPSSFIGHLQSLMFNGLLYIDLCKNGD IDYCELKARFGLRNIIADPVTFKTKSSYLTLATLQAYTSMHLFFQFKTTSADGFILFNSG DGNDFIAVELVKGYIHYVFDLGNGPNVIKGNSDRPLNDNQWHNVVITRDSSNTHSLKVDT KVVTQVINGAKNLDLKGDLYMAGLAQGMYSNLPKLVASRDGFQGCLASVDLNGRLPDLIN DALHRSGQIERGCEVALTKADLQGPSTTCQEDSCANQGVCMQQWEGFTCDCSMTSYSGNQ CNDPGATYIFGKSGGLILYTWPANDRPSTRSDRLAVGFSTTVKDGILVRIDSAPGLGDFL QLHIEQGKIGVVFNIGTVDISIKEERTPVNDGKYHVVRFTRNGGNATLQVDNWPVNEHYP TGNTDNERLQMVKQKIPFKYNRPVEEWLQEKGRQLTIFNTQAQIAIGGKDKGRLFQGQLS GLYYDGLKVLNMAAENNPNIKINGSVRLVGEVPSVSGTTQTTSMPPEMSTTVMETTTTMA TTTTRKNRSTASIQPTSDDLVSSAECSSDDEDFVECEPSTANPTEPGIRRVPGASEVIRE SSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDETRNYISNSAQSNGTLMKEK QASSKSGHKKQKNKDKEYYV >ENSMUSP00000117455.1 pep:known chromosome:GRCm38:11:103070304:103078294:-1 gene:ENSMUSG00000020937.14 transcript:ENSMUST00000128650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcd3 description:phospholipase C, delta 3 [Source:MGI Symbol;Acc:MGI:107451] XPPWPVPSSLSRLMSSMRQDMGQPLAHYFISSSHNTYLTDSQIGGTSSTEAYIRAFAQGC RCVELDCWEGPGGEPVIYHGHTLTSKILFRDVIQAVRDHAFTSSPYPVILSLENHCGLEQ QAVMARHLRSILGDMLVTQALDSQNPEELPSPEQLKGRILVKGKKLPAARSEDGRILSDR EEEEEEEEEAEEALEAAEQRSRAKQISPELSALAVYCCATRLRTLDPSPGPPQSCTVGSL SERKARKFTREAGTSFVRHNTQQLTRVYPLGLRMNSANYNPQEMWNSGCQLVALNFQTPG YEMDLNTGRFLINGQCGYVLKPAYLRQLNTTFDPECPGPPRTTLAIQVLTAQQLPKLNAE KPSSIVDPLVRVEIHGVPEDCAQKETDYVLNNGFNPCWEQTLQFRLRAPELVLVRFVVED YDTTSPNDFVGQSTLPLSSLKQGYRHIHLLSKDGASLAPATLFVHIRIQNS >ENSMUSP00000099366.1 pep:known chromosome:GRCm38:11:103070304:103101658:-1 gene:ENSMUSG00000020937.14 transcript:ENSMUST00000103077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcd3 description:phospholipase C, delta 3 [Source:MGI Symbol;Acc:MGI:107451] MLCGGWKRSRRSPEESRVSAQVAAPLAFPPSPASSDSSTKRPGLRALKKMGLTEDEDVQA MLRGSRLLKIRSRTWHKERLYRLQEDGLSVWFQRRIPRAASKHIFFVQHIEAVREGHQSE GLRRFGGAFAPACCLTIAFKGRRKNLDLAAPTAEEAQRWVRGLAKLRARLDAMSQRERLD HWIHSYLHRADSDQDSKMSFKEIKSLLRMVNVDMNDMYAYRLFKECDHSNNERLEGAEIE AFLRRLLKRPELEEIFRRYSGEDRVLSASELLEFLEDQGEDGATLACAQQLIQTYELNET AKQHELMTLDGFMMYLLSPEGAALNVAHTCVFQDMGQPLAHYFISSSHNTYLTDSQIGGT SSTEAYIRAFAQGCRCVELDCWEGPGGEPVIYHGHTLTSKILFRDVIQAVRDHAFTSSPY PVILSLENHCGLEQQAVMARHLRSILGDMLVTQALDSQNPEELPSPEQLKGRILVKGKKL PAARSEDGRILSDREEEEEEEEEAEEALEAAEQRSRAKQISPELSALAVYCCATRLRTLD PSPGPPQSCTVGSLSERKARKFTREAGTSFVRHNTQQLTRVYPLGLRMNSANYNPQEMWN SGCQLVALNFQTPGYEMDLNTGRFLINGQCGYVLKPAYLRQLNTTFDPECPGPPRTTLAI QVLTAQQLPKLNAEKPSSIVDPLVRVEIHGVPEDCAQKETDYVLNNGFNPCWEQTLQFRL RAPELVLVRFVVEDYDTTSPNDFVGQSTLPLSSLKQGYRHIHLLSKDGASLAPATLFVHI RIQNS >ENSMUSP00000099779.3 pep:known chromosome:GRCm38:2:66184327:66256617:-1 gene:ENSMUSG00000034848.17 transcript:ENSMUST00000102718.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc21b description:tetratricopeptide repeat domain 21B [Source:MGI Symbol;Acc:MGI:1920918] MDSQGLKTLINYYCQERYYHHVLLVASEGMKKYSSDPVFRFYHAYGTLMEGKAQEALREF EAIKNKQDVSLCSLMALMYVHKMSPNPDREAILELDTKMKEQRKEAGRKALYHAGLFLWH IGRHDKAREYIDRMSKMPHDSNEGPILKAWLDITRGKEPYAKKALRYFEEGLQDGNDIFA LLGKVLCLEIRQNYSGALETVSQIIVNFPSFLPAFEKKMKLQLALQDWDQTVETAQRLLL QDNHNVEALRMLALYYLCREGDVEKAATKLENLGNALDVMEPQNAQLFYKITLAFSRTCG RNQLILQKVQSFLEKAFSLTPQQAEIATELGYQMILQGKVKEAWKWYRTAMTLNESNISA VTGLIRCQLIEGQLQDADQQLEFFSEFQQSMGKSAELMYLHAVLATKKNNRQDEVINLLN DVVNTHFSHLEDLPLGIQYFEKLNPDFLLEVVTEYLNLCPIQPAGPGQPLSPVLRRCSSV LETIIRSVPGLPQAVFLMAKVKYLSGDTEAAYNNLQHCLEHSPSYAEAHLLMAQVYLSQD KVKLCSQSLELCLSYNFNVREYPLYHLIKAQSQKKMGEVAEAIKTLHMAMNLPGMRRSRA SSKSKHRTEVDASHRLSIFLELVEVHRLNGEQHEAAKVLQDAIHEFSGTCEELRVTIANA DLALAQGDTDRALSMLRNVTTEQPYFIEAKEKMADIYLKHRKEKMLYITCYREIAERMPS PRSFLLLGDAYMNIQEPEEAIVAYEQALNQNPKDGTLARKIGKALVKTHNYSKAITYYEA ALKSGQQNCLCYDLAELLLRLKLYEKAEKVLQHSLAHEPVSELSALMVDGRSQVLLAKVY SKMERPSDAIAALQQARELQARILKRVQMEQPDAVPSQKHFAAEICAEIAKHSAAQRDYE KAITFYREALVHCETDSKIMLELAQLYLAQEDLDASLRHCALLLQRDQDNEPATMLMADL MFRKQDYEQAVYHLQQLLDRKPDNFMTLSRLIDLLRRCGKLEDVPRFFLMAEKHNSRTKL EPGFQYCKGLHFWYTGEPNDALRHFNKARKDSDWGQNALYNMIEICLNPDNETIGGEVFE NLNGDLGTSPEKQESVQLAVRTAEKLLKELKPQTVQGRLQLRIMENCCLMATKQKSSVEQ ALNTFTEIAASEKDHIPALLGMATAYMILKQTPKARNQLKRIAKMPWNPIEAEDLEKSWL LLADIYIQSAKYDMAEELLKRCLCHNRSCCKAYEYMGYIMEKEQAYTDAAFNYEMAWKHS NQTNPAVGYKLAFNYLKAKRYVDAIDVCHQVLEAHPTYPKIRKDILDKARASLRP >ENSMUSP00000131758.1 pep:known chromosome:GRCm38:2:66184643:66256592:-1 gene:ENSMUSG00000034848.17 transcript:ENSMUST00000125446.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc21b description:tetratricopeptide repeat domain 21B [Source:MGI Symbol;Acc:MGI:1920918] MDSQGLKTLINYYCQERYYHHVLLVASEGMKKYSSDPVFRFYHAYGTLMEGKAQEALREF EAIKNKQDVSLCSLMALMYVHKMSPNPDREAILELDTKMKEQRKEAGRKALYHAGLFLWH IGRHDKAREYIDRMSKMPHDSNEGPILKAWLDITRGKEPYAKKALRYFEEGLQDGNDIFA LLGKVLCLEIRQNYSGALETVSQIIVNFPSFLPAFEKKMKLQLALQDWDQTVETAQRLLL QDNHNVEALRMLALYYLCREGDVEKAATKLENLGNALDVMEPQNAQLFYKITLAFSRTCG RNQLILQKVQSFLEKAFSLTPQQAEIATELGYQMILQGKVKEAWKWYRTAMTLNESNISA VTGLIRCQLIEGQLQDADQQLEFFSEFQQSMGKSAELMYLHAVLATKKNNRQDEVINLLN DVVNTHFSHLEDLPLGIQYFEKLNPDFLLEVVTEYLNLCPIQPAGPGQPLSPVLRRCSSV LETIIRSVPGLPQAVFLMAKVKYLSGDTEAAYNNLQHCLEHSPSYAEAHLLMAQVYLSQD KVKLCSQSLELCLSYNFNVREYPLYHLIKAQSQKKMGEVAEAIKTLHMAMNLPGMRRSRA SSKSKHRTEVDASHRLSIFLELVEVHRLNGEQHEAAKVLQDAIHEFSGTCEELRVTIANA DLALAQGDTDRALSMLRNVTTEQPYFIEAKEKMADIYLKHRKEKMLYITCYREIAERMPS PRSFLLLGDAYMNIQEPEEAIVAYEQALNQNPKDGTLARKIGKALVKTHNYSKAITYYEA ALKSGQQNCLCYDLAELLLRLKLYEKAEKVLQHSLAHEPVSELSALMVDGRSQVLLAKVY SKMERPSDAIAALQQARELQARILKRVQMEQPDAVPSQKHFAAEICAEIAKHSAAQRDYE KAITFYREALVHCETDSKIMLELAQLYLAQEDLDASLRHCALLLQRDQDNEPATMLMADL MFRKQDYEQAVYHLQQLLDRKPDNFMTLSRLIDLLRRCGKLEDVPRFFLMAEKHNSRTKL EPGFQYCKGLHFWYTGEPNDALRHFNKARKDSDWGQNALYNMIEICLNPDNETIGGEVFE NLNGDLGTSPEKQESVQLAVRTAEKLLKELKPQTVQGRLQLRIMENCCLMATKQKSSVEQ ALNTFTEIAASEKDHIPALLGMATAYMILKQTPKARNQLKRIAKMPWNPIEAEDLEKSWL LLADIYIQSAKYDMAEELLKRCLCHNRSCCKAYEYMGYIMEKEQAYTDAAFNYEMAWKHS NQTNPAVGS >ENSMUSP00000102996.1 pep:known chromosome:GRCm38:3:90213695:90226385:1 gene:ENSMUSG00000027935.14 transcript:ENSMUST00000107373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab13 description:RAB13, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1927232] MGIILVYDITDEKSFENIQNWMKSIKENASAGVERLLLGNKCDMEAKRQVQREQAEKLAR EHRIRFFETSAKSSVNVDEAFSSLARDILLKTGGRRSGTNSKPSSTGLKTSDKKKNKCLL G >ENSMUSP00000070588.6 pep:known chromosome:GRCm38:3:90220787:90225969:1 gene:ENSMUSG00000027935.14 transcript:ENSMUST00000065418.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab13 description:RAB13, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1927232] MAKAYDHLFKLLLIGDSGVGKTCLIIRFAEDNFNSTYISTIGIDFKIRTVDIEGKRIKLQ VWDTAGQERFKTITTAYYRGAMGIILVYDITDEKSFENIQNWMKSIKENASAGVERLLLG NKCDMEAKRQVQREQAEKLAREHRIRFFETSAKSSVNVDEAFSSLARDILLKTGGRRSGT NSKPSSTGLKTSDKKKNKCLLG >ENSMUSP00000109634.1 pep:known chromosome:GRCm38:16:91729281:91870210:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000114001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] MAQFPTPFGGSLDVWAITVEERAKHDQQFLSLKPIAGFITGDQARNFFFQSGLPQPVLAQ IWALADMNNDGRMDQVEFSIAMKLIKLKLQGYQLPSTLPPVMKQQPVAISSAPAFAAVPP LANGAPPVIQPLPAFAHPAATLPKSSSFSRSGPGSQLNTKLQKAQSFDVASAPPAAEWAV PQSSRLKYRQLFNSHDKTMSGHLTGPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAE EFILAMHLIDVAMSGQPLPPVLPPEYIPPSFRRVRSGSGMSVISSSSVDQRLPEEPSSED EQQPEKKLPVTFEDKKRENFERGSVELEKRRQALLEQQRKEQERLAQLERAEQERKERER QEQERKRQLELEKQLEKQRELERQREEERRKEIERREAAKRELERQRQLEWERNRRQELL NQRNKEQEGTVVLKARRKTLEFELEALNDKKHQLEGKLQDIRCRLATQRQEIESTNKSRE LRIAEITHLQQQLQESQQMLGRLIPEKQILSDQLKQVQQNSLHRDSLLTLKRALEAKELA RQQLREQLDEVERETRSKLQEIDVFNNQLKELREIHSKQQLQKQRSLEAARLKQKEQERK SLELEKQKEDAQRRVQERDKQWLEHVQQEEQPRPRKPHEEDRLKREDSVRKKEAEERAKP EMQDKQSRLFHPHQEPAKLATQAPWSTTEKGPLTISAQESVKVVYYRALYPFESRSHDEI TIQPGDIVMVDESQTGEPGWLGGELKGKTGWFPANYAEKIPENEVPTPAKPVTDLTSAPA PKLALRETPAPLPVTSSEPSTTPNNWADFSSTWPSSSNEKPETDNWDTWAAQPSLTVPSA GQLRQRSAFTPATATGSSPSPVLGQGEKVEGLQAQALYPWRAKKDNHLNFNKSDVITVLE QQDMWWFGEVQGQKGWFPKSYVKLISGPVRKSTSIDTGPTESPASLKRVASPAAKPAIPG EEFIAMYTYESSEQGDLTFQQGDVIVVTKKDGDWWTGTVGDKSGVFPSNYVRLKDSEGSG TAGKTGSLGKKPEIAQVIASYAATGPEQLTLAPGQLILIRKKNPGGWWEGELQARGKKRQ IGWFPANYVKLLSPGTSKITPTELPKTAVQPAVCQVIGMYDYTAQNDDELAFSKGQIINV LNKEDPDWWKGEVSGQVGLFPSNYVKLTTDMDPSQQ >ENSMUSP00000109632.1 pep:known chromosome:GRCm38:16:91729353:91871651:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000113999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] MAQFPTPFGGSLDVWAITVEERAKHDQQFLSLKPIAGFITGDQARNFFFQSGLPQPVLAQ IWALADMNNDGRMDQVEFSIAMKLIKLKLQGYQLPSTLPPVMKQQPVAISSAPAFGIGGI ASMPPLTAVAPVPMGSIPVVGMSPPLVSSVPPAAVPPLANGAPPVIQPLPAFAHPAATLP KSSSFSRSGPGSQLNTKLQKAQSFDVASAPPAAEWAVPQSSRLKYRQLFNSHDKTMSGHL TGPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAEEFILAMHLIDVAMSGQPLPPVLP PEYIPPSFRRVRSGSGMSVISSSSVDQRLPEEPSSEDEQQPEKKLPVTFEDKKRENFERG SVELEKRRQALLEQQRKEQERLAQLERAEQERKERERQEQERKRQLELEKQLEKQRELER QREEERRKEIERREAAKRELERQRQLEWERNRRQELLNQRNKEQEGTVVLKARRKTLEFE LEALNDKKHQLEGKLQDIRCRLATQRQEIESTNKSRELRIAEITHLQQQLQESQQMLGRL IPEKQILSDQLKQVQQNSLHRDSLLTLKRALEAKELARQQLREQLDEVERETRSKLQEID VFNNQLKELREIHSKQQLQKQRSLEAARLKQKEQERKSLELEKQKEDAQRRVQERDKQWL EHVQQEEQPRPRKPHEEDRLKREDSVRKKEAEERAKPEMQDKQSRLFHPHQEPAKLATQA PWSTTEKGPLTISAQESVKVVYYRALYPFESRSHDEITIQPGDIVMVKGEWVDESQTGEP GWLGGELKGKTGWFPANYAEKIPENEVPTPAKPVTDLTSAPAPKLALRETPAPLPVTSSE PSTTPNNWADFSSTWPSSSNEKPETDNWDTWAAQPSLTVPSAGQLRQRSAFTPATATGSS PSPVLGQGEKVEGLQAQALYPWRAKKDNHLNFNKSDVITVLEQQDMWWFGEVQGQKGWFP KSYVKLISGPVRKSTSIDTGPTESPASLKRVASPAAKPAIPGEEFIAMYTYESSEQGDLT FQQGDVIVVTKKDGDWWTGTVGDKSGVFPSNYVRLKDSEGSGTAGKTGSLGKKPEIAQVI ASYAATGPEQLTLAPGQLILIRKKNPGGWWEGELQARGKKRQIGWFPANYVKLLSPGTSK ITPTELPKTAVQPAVCQVIGMYDYTAQNDDELAFSKGQIINVLNKEDPDWWKGEVSGQVG LFPSNYVKLTTDMDPSQQ >ENSMUSP00000066361.5 pep:known chromosome:GRCm38:16:91729353:91913988:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000064797.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] MAQFPTPFGGSLDVWAITVEERAKHDQQFLSLKPIAGFITGDQARNFFFQSGLPQPVLAQ IWALADMNNDGRMDQVEFSIAMKLIKLKLQGYQLPSTLPPVMKQQPVAISSAPAFGIGGI ASMPPLTAVAPVPMGSIPVVGMSPPLVSSVPPAAVPPLANGAPPVIQPLPAFAHPAATLP KSSSFSRSGPGSQLNTKLQKAQSFDVASAPPAAEWAVPQSSRLKYRQLFNSHDKTMSGHL TGPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAEEFILAMHLIDVAMSGQPLPPVLP PEYIPPSFRRVRSGSGMSVISSSSVDQRLPEEPSSEDEQQPEKKLPVTFEDKKRENFERG SVELEKRRQALLEQQRKEQERLAQLERAEQERKERERQEQERKRQLELEKQLEKQRELER QREEERRKEIERREAAKRELERQRQLEWERNRRQELLNQRNKEQEGTVVLKARRKTLEFE LEALNDKKHQLEGKLQDIRCRLATQRQEIESTNKSRELRIAEITHLQQQLQESQQMLGRL IPEKQILSDQLKQVQQNSLHRDSLLTLKRALEAKELARQQLREQLDEVERETRSKLQEID VFNNQLKELREIHSKQQLQKQRSLEAARLKQKEQERKSLELEKQKEDAQRRVQERDKQWL EHVQQEEQPRPRKPHEEDRLKREDSVRKKEAEERAKPEMQDKQSRLFHPHQEPAKLATQA PWSTTEKGPLTISAQESVKVVYYRALYPFESRSHDEITIQPGDIVMVKGEWVDESQTGEP GWLGGELKGKTGWFPANYAEKIPENEVPTPAKPVTDLTSAPAPKLALRETPAPLPVTSSE PSTTPNNWADFSSTWPSSSNEKPETDNWDTWAAQPSLTVPSAGQLRQRSAFTPATATGSS PSPVLGQGEKVEGLQAQALYPWRAKKDNHLNFNKSDVITVLEQQDMWWFGEVQGQKGWFP KSYVKLISGPVRKSTSIDTGPTESPASLKRVASPAAKPAIPGEEFIAMYTYESSEQGDLT FQQGDVIVVTKKDGDWWTGTVGDKSGVFPSNYVRLKDSEGSGTAGKTGSLGKKPEIAQVI ASYAATGPEQLTLAPGQLILIRKKNPGGWWEGELQARGKKRQIGWFPANYVKLLSPGTSK ITPTELPKTAVQPAVCQVIGMYDYTAQNDDELAFSKGQIINVLNKEDPDWWKGEVSGQVG LFPSNYVKLTTDMDPSQQWCSDLHLLDMLTPTERKRQGYIHELIVTEENYVNDLQLVTEI FQKPLTESELLTEKEVAMIFVNWKELIMCNIKLLKALRVRKKMSGEKMPVKMIGDILSAQ LPHMQPYIRFCSCQLNGAALIQQKTDEAPDFKEFVKRLAMDPRCKGMPLSSFILKPMQRV TRYPLIIKNILENTPENHPDHSHLKHALEKAEELCSQVNEGVREKENSDRLEWIQAHVQC EGLSEQLVFNSVTNCLGPRKFLHSGKLYKAKSNKELYGFLFNDFLLLTQITKPLGSSGTD KVFSPKSNLQYKMYKTPIFLNEVLVKLPTDPSGDEPIFHISHIDRVYTLRAESINERTAW VQKIKAASELYIETEKKKREKAYLVRSQRATGIGRLMVNVVEGIELKPCRSHGKSNPYCE VTMGSQCHITKTIQDTLNPKWNSNCQFFIRDLEQEVLCITVFERDQFSPDDFLGRTEIRV ADIKKDQGSKGPVTKCLLLHEVPTGEIVVRLDLQLFDEP >ENSMUSP00000109635.2 pep:known chromosome:GRCm38:16:91729353:91920597:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000114002.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] MAQFPTPFGGSLDVWAITVEERAKHDQQFLSLKPIAGFITGDQARNFFFQSGLPQPVLAQ IWALADMNNDGRMDQVEFSIAMKLIKLKLQGYQLPSTLPPVMKQQPVAISSAPAFGIGGI ASMPPLTAVAPVPMGSIPVVGMSPPLVSSVPPAAVPPLANGAPPVIQPLPAFAHPAATLP KSSSFSRSGPGSQLNTKLQKAQSFDVASAPPAAEWAVPQSSRLKYRQLFNSHDKTMSGHL TGPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAEEFILAMHLIDVAMSGQPLPPVLP PEYIPPSFRRVRSGSGMSVISSSSVDQRLPEEPSSEDEQQPEKKLPVTFEDKKRENFERG SVELEKRRQALLEQQRKEQERLAQLERAEQERKERERQEQERKRQLELEKQLEKQRELER QREEERRKEIERREAAKRELERQRQLEWERNRRQELLNQRNKEQEGTVVLKARRKTLEFE LEALNDKKHQLEGKLQDIRCRLATQRQEIESTNKSRELRIAEITHLQQQLQESQQMLGRL IPEKQILSDQLKQVQQNSLHRDSLLTLKRALEAKELARQQLREQLDEVERETRSKLQEID VFNNQLKELREIHSKQQLQKQRSLEAARLKQKEQERKSLELEKQKEDAQRRVQERDKQWL EHVQQEEQPRPRKPHEEDRLKREDSVRKKEAEERAKPEMQDKQSRLFHPHQEPAKLATQA PWSTTEKGPLTISAQESVKVVYYRALYPFESRSHDEITIQPGDIVMVDESQTGEPGWLGG ELKGKTGWFPANYAEKIPENEVPTPAKPVTDLTSAPAPKLALRETPAPLPVTSSEPSTTP NNWADFSSTWPSSSNEKPETDNWDTWAAQPSLTVPSAGQLRQRSAFTPATATGSSPSPVL GQGEKVEGLQAQALYPWRAKKDNHLNFNKSDVITVLEQQDMWWFGEVQGQKGWFPKSYVK LISGPVRKSTSIDTGPTESPASLKRVASPAAKPAIPGEEFIAMYTYESSEQGDLTFQQGD VIVVTKKDGDWWTGTVGDKSGVFPSNYVRLKDSEGSGTAGKTGSLGKKPEIAQVIASYAA TGPEQLTLAPGQLILIRKKNPGGWWEGELQARGKKRQIGWFPANYVKLLSPGTSKITPTE LPKTAVQPAVCQVIGMYDYTAQNDDELAFSKGQIINVLNKEDPDWWKGEVSGQVGLFPSN YVKLTTDMDPSQQWCSDLHLLDMLTPTERKRQGYIHELIVTEENYVNDLQLVTEIFQKPL TESELLTEKEVAMIFVNWKELIMCNIKLLKALRVRKKMSGEKMPVKMIGDILSAQLPHMQ PYIRFCSCQLNGAALIQQKTDEAPDFKEFVKRLAMDPRCKGMPLSSFILKPMQRVTRYPL IIKNILENTPENHPDHSHLKHALEKAEELCSQVNEGVREKENSDRLEWIQAHVQCEGLSE QLVFNSVTNCLGPRKFLHSGKLYKAKSNKELYGFLFNDFLLLTQITKPLGSSGTDKVFSP KSNLQYKMYKTPIFLNEVLVKLPTDPSGDEPIFHISHIDRVYTLRAESINERTAWVQKIK AASELYIETEKKKREKAYLVRSQRATGIGRLMVNVVEGIELKPCRSHGKSNPYCEVTMGS QCHITKTIQDTLNPKWNSNCQFFIRDLEQEVLCITVFERDQFSPDDFLGRTEIRVADIKK DQGSKGPVTKCLLLHEVPTGEIVVRLDLQLFDEP >ENSMUSP00000093598.3 pep:known chromosome:GRCm38:16:91729370:91832353:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000095909.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] MAQFPTPFGGSLDVWAITVEERAKHDQQFLSLKPIAGFITGDQARNFFFQSGLPQPVLAQ IWALADMNNDGRMDQVEFSIAMKLIKLKLQGYQLPSTLPPVMKQQPVAISSAPAFGIGGI ASMPPLTAVAPVPMGSIPVVGMSPPLVSSVPPAAVPPLANGAPPVIQPLPAFAHPAATLP KSSSFSRSGPGSQLNTKLQKAQSFDVASAPPAAEWAVPQSSRLKYRQLFNSHDKTMSGHL TGPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAEEFILAMHLIDVAMSGQPLPPVLP PEYIPPSFRRVRSGSGMSVISSSSVDQRLPEEPSSEDEQQPEKKLPVTFEDKKRENFERG SVELEKRRQALLEQQRKEQERLAQLERAEQERKERERQEQERKRQLELEKQLEKQRELER QREEERRKEIERREAAKRELERQRQLEWERNRRQELLNQRNKEQEGTVVLKARRKTLEFE LEALNDKKHQLEGKLQDIRCRLATQRQEIESTNKSRELRIAEITHLQQQLQESQQMLGRL IPEKQILSDQLKQVQQNSLHRDSLLTLKRALEAKELARQQLREQLDEVERETRSKLQEID VFNNQLKVTPGCWAASPLLGL >ENSMUSP00000056011.7 pep:known chromosome:GRCm38:16:91729371:91871655:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000056482.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] MAQFPTPFGGSLDVWAITVEERAKHDQQFLSLKPIAGFITGDQARNFFFQSGLPQPVLAQ IWALADMNNDGRMDQVEFSIAMKLIKLKLQGYQLPSTLPPVMKQQPVAISSAPAFGIGGI ASMPPLTAVAPVPMGSIPVVGMSPPLVSSVPPAAVPPLANGAPPVIQPLPAFAHPAATLP KSSSFSRSGPGSQLNTKLQKAQSFDVASAPPAAEWAVPQSSRLKYRQLFNSHDKTMSGHL TGPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAEEFILAMHLIDVAMSGQPLPPVLP PEYIPPSFRRVRSGSGMSVISSSSVDQRLPEEPSSEDEQQPEKKLPVTFEDKKRENFERG SVELEKRRQALLEQQRKEQERLAQLERAEQERKERERQEQERKRQLELEKQLEKQRELER QREEERRKEIERREAAKRELERQRQLEWERNRRQELLNQRNKEQEGTVVLKARRKTLEFE LEALNDKKHQLEGKLQDIRCRLATQRQEIESTNKSRELRIAEITHLQQQLQESQQMLGRL IPEKQILSDQLKQVQQNSLHRDSLLTLKRALEAKELARQQLREQLDEVERETRSKLQEID VFNNQLKELREIHSKQQLQKQRSLEAARLKQKEQERKSLELEKQKEDAQRRVQERDKQWL EHVQQEEQPRPRKPHEEDRLKREDSVRKKEAEERAKPEMQDKQSRLFHPHQEPAKLATQA PWSTTEKGPLTISAQESVKVVYYRALYPFESRSHDEITIQPGDIVMVDESQTGEPGWLGG ELKGKTGWFPANYAEKIPENEVPTPAKPVTDLTSAPAPKLALRETPAPLPVTSSEPSTTP NNWADFSSTWPSSSNEKPETDNWDTWAAQPSLTVPSAGQLRQRSAFTPATATGSSPSPVL GQGEKVEGLQAQALYPWRAKKDNHLNFNKSDVITVLEQQDMWWFGEVQGQKGWFPKSYVK LISGPVRKSTSIDTGPTESPASLKRVASPAAKPAIPGEEFIAMYTYESSEQGDLTFQQGD VIVVTKKDGDWWTGTVGDKSGVFPSNYVRLKDSEGSGTAGKTGSLGKKPEIAQVIASYAA TGPEQLTLAPGQLILIRKKNPGGWWEGELQARGKKRQIGWFPANYVKLLSPGTSKITPTE LPKTAVQPAVCQVIGMYDYTAQNDDELAFSKGQIINVLNKEDPDWWKGEVSGQVGLFPSN YVKLTTDMDPSQQ >ENSMUSP00000109629.1 pep:known chromosome:GRCm38:16:91729373:91871653:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000113996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] MAQFPTPFGGSLDVWAITVEERAKHDQQFLSLKPIAGFITGDQARNFFFQSGLPQPVLAQ IWALADMNNDGRMDQVEFSIAMKLIKLKLQGYQLPSTLPPVMKQQPVAISSAPAFGIGGI ASMPPLTAVAPVPMGSIPVVGMSPPLVSSVPPAAVPPLANGAPPVIQPLPAFAHPAATLP KSSSFSRSGPGSQLNTKLQKAQSFDVASAPPAAEWAVPQSSRLKYRQLFNSHDKTMSGHL TGPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAEEFILAMHLIDVAMSGQPLPPVLP PEYIPPSFRRVRSGSGMSVISSSSVDQRLPEEPSSEDEQQPEKKLPVTFEDKKRENFERG SVELEKRRQALLEQQRKEQERLAQLERAEQERKERERQEQERKRQLELEKQLEKQRELER QREEERRKEIERREAAKRELERQRQLEWERNRRQELLNQRNKEQEGTVVLKARRKTLEFE LEALNDKKHQLEGKLQDIRCRLATQRQEIESTNKSRELRIAEITHLQQQLQESQQMLGRL IPEKQILSDQLKQVQQNSLHRDSLLTLKRALEAKELARQQLREQLDEVERETRSKLQEID VFNNQLKELREIHSKQQLQKQRSLEAARLKQKEQERKSLELEKQKEDAQRRVQERDKQWL EHVQQEEQPRPRKPHEEDRLKREDSVRKKEAEERAKPEMQDKQSRLFHPHQEPAKLATQA PWSTTEKGPLTISAQESVKVVYYRALYPFESRSHDEITIQPGDIVMVDESQTGEPGWLGG ELKGKTGWFPANYAEKIPENEVPTPAKPVTDLTSAPAPKLALRETPAPLPVTSSEPSTTP NNWADFSSTWPSSSNEKPETDNWDTWAAQPSLTVPSAGQLRQRSAFTPATATGSSPSPVL GQGEKVEGLQAQALYPWRAKKDNHLNFNKSDVITVLEQQDMWWFGEVQGQKGWFPKSYVK LISGPVRKSTSIDTGPTESPASLKRVASPAAKPAIPGEEIAQVIASYAATGPEQLTLAPG QLILIRKKNPGGWWEGELQARGKKRQIGWFPANYVKLLSPGTSKITPTELPKTAVQPAVC QVIGMYDYTAQNDDELAFSKGQIINVLNKEDPDWWKGEVSGQVGLFPSNYVKLTTDMDPS QQ >ENSMUSP00000109626.1 pep:known chromosome:GRCm38:16:91729592:91870497:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000113993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] MAQFPTPFGGSLDVWAITVEERAKHDQQFLSLKPIAGFITGDQARNFFFQSGLPQPVLAQ IWALADMNNDGRMDQVEFSIAMKLIKLKLQGYQLPSTLPPVMKQQPVAISSAPAFGIGGI ASMPPLTAVAPVPMGSIPVVGMSPPLVSSVPPAAVPPLANGAPPVIQPLPAFAHPAATLP KSSSFSRSGPGSQLNTKLQKAQSFDVASAPPAAEWAVPQSSRLKYRQLFNSHDKTMSGHL TGPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAEEFILAMHLIDVAMSGQPLPPVLP PEYIPPSFRRVRSGSGMSVISSSSVDQRLPEEPSSEDEQQPEKKLPVTFEDKKRENFERG SVELEKRRQALLEQQRKEQERLAQLERAEQERKERERQEQERKRQLELEKQLEKQRELER QREEERRKEIERREAAKRELERQRQLEWERNRRQELLNQRNKEQEGTVVLKARRKTLEFE LEALNDKKHQLEGKLQDIRCRLATQRQEIESTNKSRELRIAEITHLQQQLQESQQMLGRL IPEKQILSDQLKQVQQNSLHRDSLLTLKRALEAKELARQQLREQLDEVERETRSKLQEID VFNNQLKELREIHSKQQLQKQRSLEAARLKQKEQERKSLELEKQKEDAQRRVQERDKQWL EHVQQEEQPRPRKPHEEDRLKREDSVRKKEAEERAKPEMQDKQSRLFHPHQEPAKLATQA PWSTTEKGPLTISAQESVKVVYYRALYPFESRSHDEITIQPGDIVMVKGEWVDESQTGEP GWLGGELKGKTGWFPANYAEKIPENEVPTPAKPVTDLTSAPAPKLALRETPAPLPVTSSE PSTTPNNWADFSSTWPSSSNEKPETDNWDTWAAQPSLTVPSAGQLRQRSAFTPATATGSS PSPVLGQGEKVEGLQAQALYPWRAKKDNHLNFNKSDVITVLEQQDMWWFGEVQGQKGWFP KSYVKLISGPVRKSTSIDTGPTESPASLKRVASPAAKPAIPGEEIAQVIASYAATGPEQL TLAPGQLILIRKKNPGGWWEGELQARGKKRQIGWFPANYVKLLSPGTSKITPTELPKTAV QPAVCQVIGMYDYTAQNDDELAFSKGQIINVLNKEDPDWWKGEVSGQVGLFPSNYVKLTT DMDPSQQ >ENSMUSP00000117018.1 pep:known chromosome:GRCm38:16:91782161:91818629:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000135057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] MAQFPTPFGGSLDVWAITVEERAKHDQQFLSLKPIAGFITGDQARNFFFQSGLPQPVLAQ IWALADMNNDGRMDQVEFSIAMKLIKLKLQGYQLPSTLPPVMKQQPVAISSAPAFATLPK SSSFSRSGPGSQLNTKLQKAQSFDVASAPPAAEWAVPQSSRLKYRQLFNSHDKTMSGHLT GPQARTILMQSSLPQAQLASIWNLSDIDQDGKLTAEEFILAMHLIDVAMSGQPLPPVLPP EYIPPSFRRVRSGSGMSVISSSSVDQRLPEEPSSEDEQQPEKKLPVTFEDKKRENFERGS VELEKRRQALLEQQRKEQERLAQLERAEQERKERERQEQERKRQLELEKQLEKQRELER >ENSMUSP00000117320.1 pep:known chromosome:GRCm38:16:91839788:91853260:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000153741.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] HPHQEPAKLATQAPWSTTEKGPLTISAQESVKVVYYRALYPFESRSHDEITIQPGDIVMG NGWMKARLESQDGLEES >ENSMUSP00000119654.1 pep:known chromosome:GRCm38:16:91842628:91853260:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000143023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] VKGEWVDESQTGEPGWLGGELKGKTGWFPANYAEKIPENEVPTPAKPVTDLTSAPAPKLA LRETPAPLPVTSSEPSTTPNNWADFSSTWPSSSNEKPETDNWDTWAAQPSLTVPSAGQLR QRSAFTPATATGSSPSPVLGQPCLLLRPFLSRGCPQPRSLLLLLDHVLCTQRATSGPLPV LCLWPGAPFCLPSSPNPSRPSSSASSPVKLSLASRGRGWPFLSGPAGKQKFCIVCFTPPN DTRGCFYLFLAHKVPH >ENSMUSP00000114718.1 pep:known chromosome:GRCm38:16:91853811:91863319:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000126374.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] XWWFGEVQGQKGWFPKSYVKLISGPVRKSTSIDTGPTESPASLKRVASPAAKPAIPGEGL WNCWENREFRKKT >ENSMUSP00000115985.1 pep:known chromosome:GRCm38:16:91887446:91909272:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000125052.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] XKRQGYIHELIVTEENYVNDLQLVTEIFQKPLTESELLTEKEVAMIFVNWKELIMCNIKL LKALRVRKKMSGEKMPVKMIGDILSAQLPHMQPYIRFCSCQLNGAALIQQKTDEAPDFKE FVKRLAMDPRCKGMPLSSFILKPMQRVTRYPLIIKNQLVFNSVTNCLGPRKFLHSGKLYK AKSNKELYGFLFNDFLLLTQITKPLGSSGTDKVFSPKSNLQYKMYKTPIFLNEVLVKLPT DPSGDEPIFHISHIDRVYTLRAESINERTAWVQKIKAASELYIETEKKKREKAYLVRSQR ATGIGRLMVNVVEGIELKPCRSHGKSNPYCEVTMGSQCHITKTIQD >ENSMUSP00000114145.1 pep:known chromosome:GRCm38:16:91899509:91909295:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000131739.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] XENTPENHPDHSHLKHALEKAEELCSQVNEGVREKENSDRLEWIQAHVQCEGLSEDCLGA ENQGGV >ENSMUSP00000115563.1 pep:known chromosome:GRCm38:16:91899511:91909272:1 gene:ENSMUSG00000022957.19 transcript:ENSMUST00000141625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn1 description:intersectin 1 (SH3 domain protein 1A) [Source:MGI Symbol;Acc:MGI:1338069] ENTPENHPDHSHLKHALEKAEELCSQVNEGVREKENSDRLEWIQAHVQCEGLSEPIFLNE VLVKLPTDPSGDEPIFHISHIDRVYTLRAESINERTAWVQKIKAASELYIETEKKKREKA YLVRSQRATGIGRLMVNVVEGIELKPCRSHGKSNPYCEVTMGSQCHITKTIQD >ENSMUSP00000021652.3 pep:known chromosome:GRCm38:12:84038379:84044723:1 gene:ENSMUSG00000052392.4 transcript:ENSMUST00000021652.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot4 description:acyl-CoA thioesterase 4 [Source:MGI Symbol;Acc:MGI:2159621] MAATLSVEPTGRSCWDEPLSIAVRGLAPEQPVTLRSVLRDEKGALFRAHARYRADSHGEL DLARVPALGGSFSGLEPMGLLWAMEPDRPFWRLIKRDVQTPFLVELEVLDGHEPDGGRRL ARTVHERHFMAPGVRRVPVREGRVRATLFLPPGQGPFPGIIDVYGVGGGLLEYRAGLVAG HGFATLALAFYDFEDLPKELNVIEVDYFEEAVRYMLRHPKVKGPDIGLLGLSLGADVCLI MASFLNNVSATVSINGSAFSGNRHIKYKQTMIPPLGHDLRRMKVAFSGILDIVDIRNDAV GGCENPSMIPIEKAKGPILFVAGQDDHCWRSELYTQIASDRLQAHGKERPQVLSYPGTGH YIEPPYFPMCPASLHKIVNEAVIWGGEVKAHSKAQIDAWKQILFFFGKHLGSTHSRASCR L >ENSMUSP00000120816.1 pep:known chromosome:GRCm38:12:110975353:110979040:-1 gene:ENSMUSG00000037904.14 transcript:ENSMUST00000128353.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd9 description:ankyrin repeat domain 9 [Source:MGI Symbol;Acc:MGI:1921501] MPWDTRPGRSANGGPEGPGAARLRVQKQCRKSSFAFYLAVRDQLPVWLLEDIRASEAFHC DERGRAAAYSPSEALLYALVHDHQAYAHYLLATFPRCALAPPSAGFRCCTAPGPHVALAV RYNRVGILRRILRTVQDFPVEERVRLLDRRGCSRVEGGGTSLHVACELARPECLFLLLGH GASPGLRDGSGFTPLELLLRQLNQDASSAPTKAEAASATVNAATANTTSSEEVCQRRLLL LDLLVLYTPGGVVGPARCELLGDQLRWQRLLGEDKFQWLAGLAPPSLFVRAMQVLVTTIS PGRFPEALDELPLPSFLQPLDLTGKG >ENSMUSP00000123239.1 pep:known chromosome:GRCm38:12:110976426:110978255:-1 gene:ENSMUSG00000037904.14 transcript:ENSMUST00000148765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd9 description:ankyrin repeat domain 9 [Source:MGI Symbol;Acc:MGI:1921501] MPWDTRPGRSANGGPEGPGAARLRVQKQCRKSSFAFYLAVRDQLPVWLLEDIRASEAFHC DERGRAAAYSPSEALLYALVHDHQAYAHYLLATFPRCALAPPSAGFRCCTAPGPHVALAV RYNRVGILRRILRTVQDFPVEERVRLLDRRGCSRVEGGGTSLHVACELARPECLFLLLGH GASPGLRDGSGFTPLELLLRQLNQDASSAPTKAEAASATVNAATANTTSSEEVCQRRLLL LDLLVLYTPGGVVGPARCELLGDQLRWQRLLGEDKFQWLAGLAPPSLFVRAMQVLVTTIS PGRFPEALDELPLPSFLQPLDLTGKG >ENSMUSP00000121279.1 pep:known chromosome:GRCm38:12:110976427:110978618:-1 gene:ENSMUSG00000037904.14 transcript:ENSMUST00000140788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd9 description:ankyrin repeat domain 9 [Source:MGI Symbol;Acc:MGI:1921501] MPWDTRPGRSANGGPEGPGAARLRVQKQCRKSSFAFYLAVRDQLPVWLLEDIRASEAFHC DERGRAAAYSPSEALLYALVHDHQAYAHYLLATFPRCALAPPSAGFRCCTAPGPHVALAV RYNRVGILRRILRTVQDFPVEERVRLLDRRGCSRVEGGGTSLHVACELARPECLFLLLGH GASPGLRDGSGFTPLELLLRQLNQDASSAPTKAEAASATVNAATANTTSSEEVCQRRLLL LDLLVLYTPGGVVGPARCELLGDQLRWQRLLGEDKFQWLAGLAPPSLFVRAMQVLVTTIS PGRFPEALDELPLPSFLQPLDLTGKG >ENSMUSP00000121536.1 pep:known chromosome:GRCm38:12:110976427:110978943:-1 gene:ENSMUSG00000037904.14 transcript:ENSMUST00000142012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd9 description:ankyrin repeat domain 9 [Source:MGI Symbol;Acc:MGI:1921501] MDGARSGPPQGQGRAAWLVWGGVTHALRLPEASMPWDTRPGRSANGGPEGPGAARLRVQK QCRKSSFAFYLAVRDQLPVWLLEDIRASEAFHCDERGRAAAYSPSEALLYALVHDHQAYA HYLLATFPRCALAPPSAGFRCCTAPGPHVALAVRYNRVGILRRILRTVQDFPVEERVRLL DRRGCSRVEGGGTSLHVACELARPECLFLLLGHGASPGLRDGSGFTPLELLLRQLNQDAS SAPTKAEAASATVNAATANTTSSEEVCQRRLLLLDLLVLYTPGGVVGPARCELLGDQLRW QRLLGEDKFQWLAGLAPPSLFVRAMQVLVTTISPGRFPEALDELPLPSFLQPLDLTGKG >ENSMUSP00000048823.6 pep:known chromosome:GRCm38:12:110976427:110979021:-1 gene:ENSMUSG00000037904.14 transcript:ENSMUST00000043459.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd9 description:ankyrin repeat domain 9 [Source:MGI Symbol;Acc:MGI:1921501] MPWDTRPGRSANGGPEGPGAARLRVQKQCRKSSFAFYLAVRDQLPVWLLEDIRASEAFHC DERGRAAAYSPSEALLYALVHDHQAYAHYLLATFPRCALAPPSAGFRCCTAPGPHVALAV RYNRVGILRRILRTVQDFPVEERVRLLDRRGCSRVEGGGTSLHVACELARPECLFLLLGH GASPGLRDGSGFTPLELLLRQLNQDASSAPTKAEAASATVNAATANTTSSEEVCQRRLLL LDLLVLYTPGGVVGPARCELLGDQLRWQRLLGEDKFQWLAGLAPPSLFVRAMQVLVTTIS PGRFPEALDELPLPSFLQPLDLTGKG >ENSMUSP00000119339.1 pep:known chromosome:GRCm38:12:110976429:110978995:-1 gene:ENSMUSG00000037904.14 transcript:ENSMUST00000135131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd9 description:ankyrin repeat domain 9 [Source:MGI Symbol;Acc:MGI:1921501] MPWDTRPGRSANGGPEGPGAARLRVQKQCRKSSFAFYLAVRDQLPVWLLEDIRASEAFHC DERGRAAAYSPSEALLYALVHDHQAYAHYLLATFPRCALAPPSAGFRCCTAPGPHVALAV RYNRVGILRRILRTVQDFPVEERVRLLDRRGCSRVEGGGTSLHVACELARPECLFLLLGH GASPGLRDGSGFTPLELLLRQLNQDASSAPTKAEAASATVNAATANTTSSEEVCQRRLLL LDLLVLYTPGGVVGPARCELLGDQLRWQRLLGEDKFQWLAGLAPPSLFVRAMQVLVTTIS PGRFPEALDELPLPSFLQPLDLTGKG >ENSMUSP00000036974.6 pep:known chromosome:GRCm38:4:45809468:45826923:-1 gene:ENSMUSG00000035551.6 transcript:ENSMUST00000044297.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbpl1 description:insulin-like growth factor binding protein-like 1 [Source:MGI Symbol;Acc:MGI:1933198] MPRLPLLLLLLPSLARGLGLRDAGRRHPECSPCQQDRCPAPSPCPAPWISARDECGCCAR CLGAEGASCGGPVGSRCGPGLVCASRASGTAPEGTGLCVCAQRGAVCGSDGRSYSSICAL RLRARHAPRAHHGHLHKARDGPCEFAPVVLMPPRDIHNVTGTQVFLSCEVKAVPTPVITW KKVKHSPEGTEGLEELPGDHVNIAVQVRGGPSDHETTSWILINPLRKEDEGVYHCHAANA IGEAQSHGTVTVLDLNRYKSLYSSVPGDLL >ENSMUSP00000116522.1 pep:known chromosome:GRCm38:1:172009850:172026711:-1 gene:ENSMUSG00000026556.15 transcript:ENSMUST00000153662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl2 description:vang-like 2 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2135272] MDTESQYSGYSYKSGHSRSSRKHRDRRDRHRSKSRDGSRGDKSVTIQAPGEPLLDNESTR GDERDDNWGETTTVVTGTSEHSISHDDLTRIAKDMEDSVPLDCSRHLGVAAGAILALLSF LTPLAFLLLP >ENSMUSP00000027837.6 pep:known chromosome:GRCm38:1:172000960:172027295:-1 gene:ENSMUSG00000026556.15 transcript:ENSMUST00000027837.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl2 description:vang-like 2 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2135272] MDTESQYSGYSYKSGHSRSSRKHRDRRDRHRSKSRDGSRGDKSVTIQAPGEPLLDNESTR GDERDDNWGETTTVVTGTSEHSISHDDLTRIAKDMEDSVPLDCSRHLGVAAGAILALLSF LTPLAFLLLPPLLWREELEPCGTACEGLFISVAFKLLILLLGSWALFFRRPKASLPRVFV LRALLMVLVFLLVISYWLFYGVRILDARERSYQGVVQFAVSLVDALLFVHYLAVVLLELR QLQPQFTLKVVRSTDGASRFYNVGHLSIQRVAVWILEKYYHDFPVYNPALLNLPKSVLAK KVSGFKVYSLGEENSTNNSTGQSRAVIAAAARRRDNSHNEYYYEEAEHERRVRKRRARLV VAVEEAFTHIKRLQEEEQKNPREVMDPREAAQAIFASMARAMQKYLRTTKQQPYHTMESI LQHLEFCITHDMTPKAFLERYLAAGPTIQYHKERWLAKQWTLVSEEPVTNGLKDGIVFLL KRQDFSLVVSTKKVPFFKLSEEFVDPKSHKFVMRLQSETSV >ENSMUSP00000106895.1 pep:known chromosome:GRCm38:1:172004429:172027295:-1 gene:ENSMUSG00000026556.15 transcript:ENSMUST00000111264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl2 description:vang-like 2 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2135272] MDTESQYSGYSYKSGHSRSSRKHRDRRDRHRSKSRDGSRGDKSVTIQAPGEPLLDNESTR GDERDDNWGETTTVVTGTSEHSISHDDLTRIAKDMEDSVPLDCSRHLGVAAGAILALLSF LTPLAFLLLPPLLWREELEPCGTACEGLFISVAFKLLILLLGSWALFFRRPKASLPRVFV LRALLMVLVFLLVISYWLFYGVRILDARERSYQGVVQFAVSLVDALLFVHYLAVVLLELR QLQPQFTLKVVRSTDGASRFYNVGHLRNLGRMSEGMRSPLVGAYLPPGFSIEEMSSRPSL GKVAGACIQRVAVWILEKYYHDFPVYNPALLNLPKSVLAKKVSGFKVYSLGEENSTNNST GQSRAVIAAAARRRDNSHNEYYYEEAEHERRVRKRRARLVVAVEEAFTHIKRLQEEEQKN PREVMDPREAAQAIFASMARAMQKYLRTTKQQPYHTMESILQHLEFCITHDMTPKAFLER YLAAGPTIQYHKERWLAKQWTLVSEEPVTNGLKDGIVFLLKRQDFSLVVSTKKVPFFKLS EEFVDPKSHKFVMRLQSETSV >ENSMUSP00000106894.2 pep:known chromosome:GRCm38:1:172000960:172028444:-1 gene:ENSMUSG00000026556.15 transcript:ENSMUST00000111263.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl2 description:vang-like 2 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2135272] MDTESQYSGYSYKSGHSRSSRKHRDRRDRHRSKSRDGSRGDKSVTIQAPGEPLLDNESTR GDERDDNWGETTTVVTGTSEHSISHDDLTRIAKDMEDSVPLDCSRHLGVAAGAILALLSF LTPLAFLLLPPLLWREELEPCGTACEGLFISVAFKLLILLLGSWALFFRRPKASLPRVFV LRALLMVLVFLLVISYWLFYGVRILDARERSYQGVVQFAVSLVDALLFVHYLAVVLLELR QLQPQFTLKVVRSTDGASRFYNVGHLSIQRVAVWILEKYYHDFPVYNPALLNLPKSVLAK KVSGFKVYSLGEENSTNNSTGQSRAVIAAAARRRDNSHNEYYYEEAEHERRVRKRRARLV VAVEEAFTHIKRLQEEEQKNPREVMDPREAAQAIFASMARAMQKYLRTTKQQPYHTMESI LQHLEFCITHDMTPKAFLERYLAAGPTIQYHKERWLAKQWTLVSEEPVTNGLKDGIVFLL KRQDFSLVVSTKKVPFFKLSEEFVDPKSHKFVMRLQSETSV >ENSMUSP00000117736.1 pep:known chromosome:GRCm38:1:172009933:172027268:-1 gene:ENSMUSG00000026556.15 transcript:ENSMUST00000138714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vangl2 description:vang-like 2 (van gogh, Drosophila) [Source:MGI Symbol;Acc:MGI:2135272] MDTESQYSGYSYKSGHSRSSRKHRDRRDRHRSKSRDGSRGDKSVTIQAPGEPLLDNESTR GDERDDNWGETTTVVTGTSEHSISHDDLTRIAKDMEDSVPLD >ENSMUSP00000066779.7 pep:known chromosome:GRCm38:6:121300227:121337733:1 gene:ENSMUSG00000030108.14 transcript:ENSMUST00000064580.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a13 description:solute carrier family 6 (neurotransmitter transporter, GABA), member 13 [Source:MGI Symbol;Acc:MGI:95629] MENRASGTTSNGETKPVCPAMEKVEEDGTLEREHWNNKMEFVLSVAGEIIGLGNVWRFPY LCYKNGGGAFFIPYLIFLFTCGIPVFFLETALGQYTNQGGITAWRRICPIFEGIGYASQM IVSLLNVYYIVVLAWALFYLFSSFTTDLPWGSCSHEWNTENCVEFQKANDSMNVTSENAT SPVIEFWERRVLKLSDGIQHLGSLRWELVLCLLLAWIICYFCIWKGVKSTGKVVYFTATF PYLMLVVLLIRGVTLPGAAQGIQFYLYPNITRLWDPQVWMDAGTQIFFSFAICLGCLTAL GSYNKYHNNCYRDCIALCILNSSTSFMAGFAIFSILGFMSQEQGVPISEVAESGPGLAFI AYPRAVVMLPFSPLWACCFFFMVVLLGLDSQFVCVESLVTALVDMYPRVFRKKNRREVLI LIVSVISFFIGLIMLTEGGMYVFQLFDYYAASGMCLLFVAIFESLCVAWVYGAGRFYDNI EDMIGYKPWPLIKYCWLFFTPAVCLATFLFSLIKYTPLTYNKKYTYPWWGDALGWLLALS SMICIPAWSIYKLRTLKGPLRERLRQLVCPAEDLPQKNQPEPTAPATPMTSLLRLTELES NC >ENSMUSP00000120689.1 pep:known chromosome:GRCm38:6:121300782:121324330:1 gene:ENSMUSG00000030108.14 transcript:ENSMUST00000142419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a13 description:solute carrier family 6 (neurotransmitter transporter, GABA), member 13 [Source:MGI Symbol;Acc:MGI:95629] MRPRRSGQSGGMENRASGTTSNGETKPVCPAMEKVEEDGTLEREHWNNKMEFVLSVAGEI IGLGNVWRFPYLCYKNGGGAFFIPYLIFLFTCGIPVFFLETALGQYTNQGGITAWRRICP IFEGIGYASQMIVSLLNVYYIVVLAWALFYLFSSFTTDLPWGSCSHEWNTENCVEFQKAN DSMNVTSENATS >ENSMUSP00000041373.6 pep:known chromosome:GRCm38:11:98325415:98329648:-1 gene:ENSMUSG00000038255.6 transcript:ENSMUST00000041685.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurod2 description:neurogenic differentiation 2 [Source:MGI Symbol;Acc:MGI:107755] MLTRLFSEPGLLSDVPKFASWGDGDDDEPRSDKGDAPPQPPPAPGSGAPGPARAAKPVSL RGGEEIPEPTLAEVKEEGELGGEEEEEEEEEEGLDEAEGERPKKRGPKKRKMTKARLERS KLRRQKANARERNRMHDLNAALDNLRKVVPCYSKTQKLSKIETLRLAKNYIWALSEILRS GKRPDLVSYVQTLCKGLSQPTTNLVAGCLQLNSRNFLTEQGADGAGRFHGSGGPFAMHPY PYPCSRLAGAQCQAAGGLGGGAAHALRTHGYCAAYETLYAAAGGGGASPDYNSSEYEGPL SPPLCLNGNFSLKQDSSPDHEKSYHYSMHYSALPGSRPTGHGLVFGSSAVRGGVHSENLL SYDMHLHHDRGPMYEELNAFFHN >ENSMUSP00000112678.1 pep:known chromosome:GRCm38:12:84052144:84059565:1 gene:ENSMUSG00000021228.14 transcript:ENSMUST00000120927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot3 description:acyl-CoA thioesterase 3 [Source:MGI Symbol;Acc:MGI:2159619] MAPTVILEPAGGCLCDQPVHIAVRGLAPEQPVTLRSVLRDEKGALFRAHARYRADSHGEL DLARTPALGGSFSGLEPMGLLWAMEPDRPFWRLIKRDVQTPFVVELEVLDGHEPDGGQRL ARAVHERHFMAPGVRRVPVREGRVRATLFLPPGTGPFPGIIDLFGIGSGLLEYRASLLAG KGFAVMALAYNNYEDLPKDMDIIHLEYFEEAVTYLLSHPQVTGSGVGVLGISKGGELGFA MASFLKNITAAVIINGSISNIGGNLQYKDETVPSVGINTKRVKRTKDGLKDIVDLLNNPL EGPDQKSLIPVERSDTAFLFLVGQDDHNWKSEFYAREASKRLQAHGKEKPQIICYPETGH HIEPPYFPLCKASLNSLVGGPVIWGGEPRAHAMAQVDAWQQLQTFFHNHLDGKKKTIPAK L >ENSMUSP00000021653.6 pep:known chromosome:GRCm38:12:84052151:84059565:1 gene:ENSMUSG00000021228.14 transcript:ENSMUST00000021653.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot3 description:acyl-CoA thioesterase 3 [Source:MGI Symbol;Acc:MGI:2159619] MHAFTTQNPNRMAPTVILEPAGGCLCDQPVHIAVRGLAPEQPVTLRSVLRDEKGALFRAH ARYRADSHGELDLARTPALGGSFSGLEPMGLLWAMEPDRPFWRLIKRDVQTPFVVELEVL DGHEPDGGQRLARAVHERHFMAPGVRRVPVREGRVRATLFLPPGTGPFPGIIDLFGIGSG LLEYRASLLAGKGFAVMALAYNNYEDLPKDMDIIHLEYFEEAVTYLLSHPQVTGSGVGVL GISKGGELGFAMASFLKNITAAVIINGSISNIGGNLQYKDETVPSVGINTKRVKRTKDGL KDIVDLLNNPLEGPDQKSLIPVERSDTAFLFLVGQDDHNWKSEFYAREASKRLQAHGKEK PQIICYPETGHHIEPPYFPLCKASLNSLVGGPVIWGGEPRAHAMAQVDAWQQLQTFFHNH LDGKKKTIPAKL >ENSMUSP00000046920.1 pep:known chromosome:GRCm38:11:121160271:121161973:1 gene:ENSMUSG00000039321.1 transcript:ENSMUST00000039044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uts2r description:urotensin 2 receptor [Source:MGI Symbol;Acc:MGI:2183450] MALSLESTSFPMLAVSRSTASELPGGFNVSHNSSWTGPTDPSSLQDLVATGVIGAVLSTM GVVGVVGNVYTLVVMCRFLRASASMYVYVVNLALADLLYLLSIPFIVATYVTKDWHFGDV GCRVLFSLDFLTMHASIFTLTIMSSERYAAVLRPLDTVQRSKGYRKLLALGTWLLALLLT LPMMLAIRLVRRGSKSLCLPAWGPRAHRTYLTLLFGTSIVGPGLVIGLLYIRLARAYWLS QQASFKQTRRLPNPRVLYLILGIVLLFWACFLPFWLWQLLAQYHQAMPLTPETARIINYL TACLTYGNSCINPFLYTLLTKNYREYLRGRQRSLGSSCRGPGSAGSFLSSRVHLQQDSGR SLSSNSQQATETLVLSPVPPNGAFV >ENSMUSP00000040762.8 pep:known chromosome:GRCm38:4:153975194:154008732:1 gene:ENSMUSG00000039523.16 transcript:ENSMUST00000047497.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep104 description:centrosomal protein 104 [Source:MGI Symbol;Acc:MGI:2687282] MPHKIGFVVVSSSGHEDGFSARELMIHAPTVSGWRSPKFCQFPQEIVLQMVERCRVRKLQ LLAHQYMISSKVEFYISESLPEYLVPYQAERFRRLGYVSLCDNEKTGCKARELKSVYVDA VGQFLKLIFHQNHANKYNIYNQVALVAINIIGDPADLGDESNITSREKLIDHYLGHSPHN PEDPALDGTFSGRSDYISPLDDLAFDMYQDPEVAQIIRRLDERKREAVKKERYDHAKKLK QAIADLQKVGERLGRYEVEKRCAVEKEDYDLAKEKKQQMARYRAQVYEQLELHGLLQGEP EMQRPFALPLQPLASPSSPQHWKAVSSLPRTEKLVAEDSFAGPVLQEKPSASSPQHSAVD PSPPAAGHAPRSHTEVLPYDERPLPVTRKQLGEASAEAEVKEADSDVRRRGVAGEPEPLT EKALREASAAIDTLGEALVAGAYSKMWSCREDALLALYKKLMEMPVGTQKEDLKNMLRAS VFLIRRAIKDIVASVFQASLKLLKMIITQYIPKHKLGKLDTTHCVERAFPLLLARAGDSS ARLRVMALNFIQEMALFKEVKSLQLIPSYLVQPLKANASVHLAMSQVDLLARLLRDLGTE SSGFTVDNVMKFAVSALEHRVYEVRETAVRIILDMYRQHPALTLEHLPPDDSATRRNLLY KAIFEGFAKIDGSVLPTEAEVRAQKRVATKEAEKQKKEEMKALQGQSGELRETQAGVQEK ESEAVKLRNQDPQGRKAVLPDTPEIPANHFLDNLCIFCGERNESFTEEGLDLHYWKHCLM LTRCDHCRQVVEISSLTEHLLTECDRRDGFGKCPRCSEAIPKEELPGHIKTKECSPAKPE KVANHCPLCHENFAPGEEAWKVHLMGPAGCTMNLRKTHVLYKATAPQQGKGPAAAKSSTS APKVGSKIPTPKGGLSKSSSRTYMRR >ENSMUSP00000139349.1 pep:known chromosome:GRCm38:4:153988467:154008732:1 gene:ENSMUSG00000039523.16 transcript:ENSMUST00000183790.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep104 description:centrosomal protein 104 [Source:MGI Symbol;Acc:MGI:2687282] XSAEAEVKEADSDVRRRGVAGEPEPLTEKALREASAAIDTLGEALVAGAYSKMWSCREDA LLALYKKLMEMPVGTQKEDLKNMLRASVFLIRRAIKDIVASVFQASLKLLKMIITQYIPK HKLGKLDTTHCVERAFPLLLARAGDSSARLRVMALNFIQEMALFKEVKSLQLIPSYLVQP LKANASVHLAMSQVDLLARLLRDLGTESSGFTVDNVMKFAVSALEHRVYEVRETAVRIIL DMYRQHPALTLEHLPPDDSATRRNLLYKAIFEGFAKIDGSVLPTEAEVRAQKRVATKEAE KQKKEEMKALQGQSGELRETQAGVQEKESEAVKLRNQDPQGRKAVLPDTPEIPANHFLDN LCIFCGERNESFTEEGLDLHYWKHCLMLTRCDHCRQVVEISSLTEHLLTECDRRDGFGKC PRCSEAIPKEELPGHIKTKECSPAKPEKVANHCPLCHENFAPGEEAWKVHLMGPAGCTMN LRKTHVLYKATAPQQGKGPAAAKSSTSAPKVGSKIPTPKGGLKS >ENSMUSP00000028100.6 pep:known chromosome:GRCm38:18:6435953:6490856:-1 gene:ENSMUSG00000024240.13 transcript:ENSMUST00000028100.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epc1 description:enhancer of polycomb homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1278322] MSKLSFRARALDASKPLPVFRCEDLPDLHEYASINRAVPQMPTGMEKEEESEHHLQRAIS AQQVYGEKRDNMVIPVPEAESNIAYYESIYPGEFRMPKQLIHIQPFSLDAEQPDYDLDSE DEVFVNKLKKKMDICPLQFEEMIDRLEKGSGQQPVSLQEAKLLLKEDDELIREVYEYWIK KRKTCRGSSLIPLVKQEKRDGSSTNDPYVAFRRRTEKMQTRKNRKNDEASYEKMLKLRRD LSRAVTILEMIKRREKSKRELLHLTLEIMEKRYNLGDYSGEIMSEVMAQRQPVKPTYAIP IIPITNSSQFKHQDATDSKEFKVNKQDKADLIRPKRKYEKKPKVLPPSAAAPQQQSPAAL PGFSAKDLNQYDFPSSDEEPLSQVLSGSSEAEEENDPDGPFAFRRKAGCQYYAPHLDQTG NWPWTSPKDGGLGDVRYRYCLTTLTVPQRCLGFARRRVGRGGRVVLDRAHSDYDSMFHHL DLDMLSSPQPSPVNQFANTSEPNTSDRSSSKDLSQILVDIKSCRWRHFRPRTPSLPDSDS GELSSRKLHRSISRAGAAQPGAHTCSTSTQNRSSSGSAHCAFTAEQYQQHQQQLALMQQQ QLAQTQQQQQANSSSSAAAQQGFVSKTLDSASAQFAASALMTSEQLLGFKVKDDVVLGLG VNGVLPASGVYKGLHLSSTTPTALVHTSPSTAGSTLLQPSNITQTSGSHSSLSHQVTAAS SATTQVLFGNNIRLTVPSSVPTVNSVTPINARHIPRTLSAVPPSALKLAAAANCQVSKVP SSSSVDSVPRENHESEKPALNNIADNTVAMEVT >ENSMUSP00000111536.2 pep:known chromosome:GRCm38:18:6435951:6516108:-1 gene:ENSMUSG00000024240.13 transcript:ENSMUST00000115870.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epc1 description:enhancer of polycomb homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1278322] MEHHLQRAISAQQVYGEKRDNMVIPVPEAESNIAYYESIYPGEFRMPKQLIHIQPFSLDA EQPDYDLDSEDEVFVNKLKKKMDICPLQFEEMIDRLEKGSGQQPVSLQEAKLLLKEDDEL IREVYEYWIKKRKTCRGSSLIPLVKQEKRDGSSTNDPYVAFRRRTEKMQTRKNRKNDEAS YEKMLKLRRDLSRAVTILEMIKRREKSKRELLHLTLEIMEKRYNLGDYSGEIMSEVMAQR QPVKPTYAIPIIPITNSSQFKHQDATDSKEFKVNKQDKADLIRPKRKYEKKPKVLPPSAA APQQQSPAALPGFSAKDLNQYDFPSSDEEPLSQVLSGSSEAEEENDPDGPFAFRRKAGCQ YYAPHLDQTGNWPWTSPKDGGLGDVRYRYCLTTLTVPQRCLGFARRRVGRGGRVVLDRAH SDYDSMFHHLDLDMLSSPQPSPVNQFANTSEPNTSDRSSSKDLSQILVDIKSCRWRHFRP RTPSLPDSDSGELSSRKLHRSISRAGAAQPGAHTCSTSTQNRSSSGSAHCAFTAEQYQQH QQQLALMQQQQLAQTQQQQQANSSSSAAAQQGFVSKTLDSASAQFAASALMTSEQLLGFK VKDDVVLGLGVNGVLPASGVYKGLHLSSTTPTALVHTSPSTAGSTLLQPSNITQTSGSHS SLSHQVTAASSATTQVLFGNNIRLTVPSSVPTVNSVTPINARHIPRTLSAVPPSALKLAA AANCQVSKVPSSSSVDSVPRENHESEKPALNNIADNTVAMEVT >ENSMUSP00000060599.5 pep:known chromosome:GRCm38:18:6489160:6490856:-1 gene:ENSMUSG00000024240.13 transcript:ENSMUST00000050542.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epc1 description:enhancer of polycomb homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1278322] MSKLSFRARALDASKPLPVFRCEDLPDLHEYASINRAVPQMPTGMEKEEESVQPLIQGAV C >ENSMUSP00000117601.1 pep:known chromosome:GRCm38:18:6439592:6441894:-1 gene:ENSMUSG00000024240.13 transcript:ENSMUST00000124926.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epc1 description:enhancer of polycomb homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1278322] MQQQQLAQTQQQQQANSSSSAAAQQGFVSKTLDSASAQFAASALMTSEQLLGFKVKDDVV LGLGVNGVLPASGVYKGLHLSSTTPTALVHTSPSTAGSTLLQPSNITQTSGSHSSLSHQV TAASSATTQVLFGNNIRLTVPSSVPTVNSVTPINARHIPRTLSAVPPSALKLAAAANCQV SKVPSSSSVDSVP >ENSMUSP00000027657.7 pep:known chromosome:GRCm38:1:130634773:130661632:-1 gene:ENSMUSG00000026405.14 transcript:ENSMUST00000027657.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C4bp description:complement component 4 binding protein [Source:MGI Symbol;Acc:MGI:88229] MCAKQQQTLLPTRAAHGRLHRNRDAVAWPFSTLCRVSGPTLFQMTFTAALWVAVFGKCGP PPAIPNALPASDVNRTDFESHTTLKYECLPGYGRGISRMMVYCKPSGEWEISVSCAKKHC RNPGYLDNGYVNGETITFGSQIEFSCQEGFILVGSSTSSCEVRGKGVAWSNPFPECVIVK CGPPPDISNGKHSGTEDFYPYNHGISYTCDPGFRLVGSPFIGCTVVNKTVPVWSSSPPTC EKIICSQPNILHGVIVSGYKATYTHRDSVRLACLNGTVLRGRHVIECQGNGNWSSLPTCE FDCDLPPAIVNGYYTSMVYSKITLVTYECDKGYRLVGKAIISCSFSKWKGTAPQCKALCQ KPEVGNGTLSDEKDQYVESENVTIQCDSGFAMLGSQSISCSESGTWYPEVPRCEQEASED LKPALTGNKTMQYVPNSHDVKMALEIYKLTLEVELLQLQIQKEKHTEAH >ENSMUSP00000130533.1 pep:known chromosome:GRCm38:1:130636814:130648419:-1 gene:ENSMUSG00000026405.14 transcript:ENSMUST00000171642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C4bp description:complement component 4 binding protein [Source:MGI Symbol;Acc:MGI:88229] XVAWSNPFPECVIVKCGPPPDISNGKHSGTEDFYPYNHGISYTCDPGFRLVGSPFIGCTV VNKTVPVWSSSPPTCEKIICSQPNILHGVIVSGYKATYTHRDSVRLACLNGTVLRGRHVI ECQDCDLPPAIVNGYYTSMVYSKITLVTYECDKGYRLVGKAIISCSFSKWKGTAPQCKAL CQKPEVGNGTLSDEKDQYV >ENSMUSP00000121185.2 pep:known chromosome:GRCm38:1:130643070:130661610:-1 gene:ENSMUSG00000026405.14 transcript:ENSMUST00000137276.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C4bp description:complement component 4 binding protein [Source:MGI Symbol;Acc:MGI:88229] MCAKQQQTLLPTRAAHGRLHRNRDAVAWPFSTLCRVSGPTLFQMTFTAALWVAVFGKCGP PPAIPNALPASDVNRTDFESHTTLKYECLPGYGRGISRMMVYCKPSGEWEISVSCAKKHC RNPGYLDNGYVNGETITFGSQIEFSCQEGFILVGSSTSSCEVRGKGVAWSNPFPECVIVK CGPPPDISNGKHSGTEDFYPYNHGISYTCDPGFRLVGSPFIGCTVVNKTVPVWSSSPPTC EKIICSQPNILHGVIV >ENSMUSP00000105460.2 pep:known chromosome:GRCm38:2:152593191:152596482:-1 gene:ENSMUSG00000062124.7 transcript:ENSMUST00000109834.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb45 description:defensin beta 45 [Source:MGI Symbol;Acc:MGI:3650541] MKTAVLTMALLLLSQVIPGTPERCWKSFGVCREECAKKESFYIFCWNGKLCCVKPKNVPL WSQNLD >ENSMUSP00000025511.3 pep:known chromosome:GRCm38:18:60774510:60778546:1 gene:ENSMUSG00000024608.10 transcript:ENSMUST00000025511.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps14 description:ribosomal protein S14 [Source:MGI Symbol;Acc:MGI:98107] MAPRKGKEKKEEQVISLGPQVAEGENVFGVCHIFASFNDTFVHVTDLSGKETICRVTGGM KVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNRTKTPGPGAQSALRALA RSGMKIGRIEDVTPIPSDSTRRKGGRRGRRL >ENSMUSP00000113081.1 pep:known chromosome:GRCm38:18:60774681:60778541:1 gene:ENSMUSG00000024608.10 transcript:ENSMUST00000118551.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps14 description:ribosomal protein S14 [Source:MGI Symbol;Acc:MGI:98107] MAPRKGKEKKEEQVISLGPQVAEGENVFGVCHIFASFNDTFVHVTDLSGKETICRVTGGM KVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNRTKTPGPGAQSALRALA RSGMKIGRIEDVTPIPSDSTRRKGGRRGRRL >ENSMUSP00000114478.1 pep:known chromosome:GRCm38:18:60775229:60777859:1 gene:ENSMUSG00000024608.10 transcript:ENSMUST00000127568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps14 description:ribosomal protein S14 [Source:MGI Symbol;Acc:MGI:98107] MAPRKGKEKKEEQVISLGPQVAEGENVFGVCHIFASFNDTFVHVTDLSGKETICRVTGGM KVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNRTKTPGPGAQSALRAL >ENSMUSP00000122985.1 pep:known chromosome:GRCm38:18:60775716:60778457:1 gene:ENSMUSG00000024608.10 transcript:ENSMUST00000137400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps14 description:ribosomal protein S14 [Source:MGI Symbol;Acc:MGI:98107] MAPRKGKEKKEEQVISLGPQVAEGENVFGVCHIFASFNDTFVHVTDLSGKETICRVTGGM KVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNRTKTPGPGAQSALRALA RSGMKIGRIEDVTPIPSD >ENSMUSP00000113504.1 pep:known chromosome:GRCm38:18:60776239:60778545:1 gene:ENSMUSG00000024608.10 transcript:ENSMUST00000122279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps14 description:ribosomal protein S14 [Source:MGI Symbol;Acc:MGI:98107] MAPRKGKEKKEEQVISLGPQVAEGENVFGVCHIFASFNDTFVHVTDLSGKETICRVTGGM KVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNRTKTPGPGAQSALRALA RSGMKIGRIEDVTPIPSDSTRRKGGRRGRRL >ENSMUSP00000057489.3 pep:known chromosome:GRCm38:1:172052292:172057573:-1 gene:ENSMUSG00000051251.3 transcript:ENSMUST00000059794.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhlh1 description:nescient helix loop helix 1 [Source:MGI Symbol;Acc:MGI:98481] MMLNSDTMELDLPPTHSETESGFSDCGGGPGPDGAGSGDPGVVQVRSSELGESGRKDLQH LSREERRRRRRATAKYRTAHATRERIRVEAFNLAFAELRKLLPTLPPDKKLSKIEILRLA ICYISYLNHVLDV >ENSMUSP00000045345.6 pep:known chromosome:GRCm38:17:47436615:47470144:1 gene:ENSMUSG00000034382.14 transcript:ENSMUST00000037701.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI661453 description:expressed sequence AI661453 [Source:MGI Symbol;Acc:MGI:2146908] MKKNQTMQGTFSKLFGKKHAHPSTTSLYATNPPWIFTHEAQEEGTRDFDGIYYGDNRFNT VSESGTATLKARPRVRPLLTFIPLNAQENHGLAVPTPSVPEDFADKEVGGTSTLVNGNLR LYSSVGDLRPACYDLDSSIPPPPPGPAPGPPQDISQPPGESPPPPPPSVPPPPPPPLLVG GRTRYYRSQLRHS >ENSMUSP00000120133.2 pep:known chromosome:GRCm38:17:47436805:47470638:1 gene:ENSMUSG00000034382.14 transcript:ENSMUST00000150819.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI661453 description:expressed sequence AI661453 [Source:MGI Symbol;Acc:MGI:2146908] MKKNQTMQGTFSKLFGKKHAHPSTTSLYATNPPWIFTHEAQEEGTRDFDGIYYGDNRFNT VSESGTATLKARPRVRPLLTFIPLNAQENHGLAVPTPSVPEDFADKEVGGTSTLVNGNLR LYSSVGDLRPACYDLDSSIPPPPPGPAPGPPQDISQPPGESPPPPPPSVPPPPPPPLLVE PPPPPSTAPPPPPPLDILSPPSTPTPPDFIPPAPPSAFLSPPPPSLPAPGPPAPVSLHTP GTHLFPPGAITKWKSEVALNGRHPEDPRTSPPKSPAELKRSPLGPSPEPHLTFPRSPKVP PPTPVRTSSIPVQEAPGASPEEEEATQKTSAPSPLPPSFNIRPASQIYPDRALEPEQPRE PRPETPGSPRLRQSEPQTNGQAGAPPPAPPLPPPAPPLPPPAPSLPPAAPPLPSTELAAP PSSGFMKTSKSNSPALKPKPKPPSVEDTASSEPVDWRDPRQMEKLRSELSAYLCGTRKED RSLSHRPGPTVALKDKENNKGTSLSEEAAPPSLPEKVHPCIPEKSPSSSSLPEREATSSL VLPPVDYIAQDTPAPSVRQIRSELEARFASSAEKEAKPSLASLPPKPRLEGGRTFENGTD NGRFHKPATKNPPQPSTTPLPTTILQPKVVPGPATSPKVTPGPATPFKPTPGQAILPKAT PGLTLPLKPTPEQTTSPKNTPGKATPLKDTPGQATTPKDTPGQDTPPKDTPGQAAVLKNA PELSTPSSQLMAEKDLASVRQREKPETQEDPVATQLSTNGTLSPPALPPKMSTSGEEAPF LYRPHRSQNSHSRGVAVVTPTRARGEAPDSGDVVDEKELQNHPAKSLTPGQPADQLLRHP VTGEVVERGSPMALLLAARQRAQKTRTGGTAIGRSSLPGSLRDHSNQPEASSDSIFYRGS RPNSFIVVPKVPSETEDSHLTSARPTGPSHWKPQQGPDTQGSEPTYRHGWTKAETPAPVA RERPAPSSLPQSRALPKSFSSPPSPSYKREEEEEEFSFDIIPPPPEFSNDPEPPAPGQQH QGRRGSPPRNNFSDLGQSWGPNPTPGFSRFRGTQYPESGGLDRFSGSGRSLIKKRLYVGE SHRNPGMPRGSTGRSLSSPNCFGPQPGGPEMRRVNSAGRAAPGGLHARRLSLEGARGATE VKFKAPGGGGGSSSKAGDYGFVPAKGSRSPHGNTHYGSPINTFTVRPGTRHPISYAYPGT HRKATS >ENSMUSP00000025078.2 pep:known chromosome:GRCm38:18:4331327:4352953:-1 gene:ENSMUSG00000024235.10 transcript:ENSMUST00000025078.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k8 description:mitogen-activated protein kinase kinase kinase 8 [Source:MGI Symbol;Acc:MGI:1346878] MEYMSTGSDEKEEIDLLIKHLNVSEVIDIMENLYASEEPGVYEPSLMTMYPDSNQNEERS ESLLRSGQEVPWLSSVRYGTVEDLLAFANHVSNMTKHFYGRRPQECGILLNMVISPQNGR YQIDSDVLLVPWKLTYRNIGSGFVPRGAFGKVYLAQDMKTKKRMACKLIPIDQFKPSDVE IQACFRHENIAELYGAVLWGDTVHLFMEAGEGGSVLEKLESCGPMREFEIIWVTKHILKG LDFLHSKKVIHHDIKPSNIVFMSTKAVLVDFGLSVKMTEDVYLPKDLRGTEIYMSPEVIL CRGHSTKADIYSLGATLIHMQTGTPPWVKRYPRSAYPSYLYIIHKQAPPLEDIAGDCSPG MRELIEAALERNPNHRPKAADLLKHEALNPPREDQPRCQSLDSALFERKRLLSRKELQLP ENIADSSCTGSTEESEVLRRQRSLYIDLGALAGYFNIVRGPPTLEYG >ENSMUSP00000133469.1 pep:known chromosome:GRCm38:18:4331340:4352957:-1 gene:ENSMUSG00000024235.10 transcript:ENSMUST00000173930.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map3k8 description:mitogen-activated protein kinase kinase kinase 8 [Source:MGI Symbol;Acc:MGI:1346878] MEYMSTGSDEKEEIDLLIKHLNVSEVIDIMENLYASEEPGVYEPSLMTMYPDSNQNEERS ESLLRSGQEVPWLSSVRYGTVEDLLAFANHVSNMTKHFYGRRPQECGILLNMVISPQNGR YQIDSDVLLVPWKLTYRNIGSGFVPRGAFGKVYLAQDMKTKKRMACKLLATLYSCLQKLF W >ENSMUSP00000064410.8 pep:known chromosome:GRCm38:6:72841114:72899979:-1 gene:ENSMUSG00000055239.10 transcript:ENSMUST00000068697.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcmf1 description:potassium channel modulatory factor 1 [Source:MGI Symbol;Acc:MGI:1921537] MSRHEGVSCDACLKGNFRGRRYKCLICYDYDLCASCYESGATTTRHTTDHPMQCILTRVD FDLYYGGEAFSVEQPQSFTCPYCGKMGYTETSLQEHVTSEHAETSTEVICPICAALPGGD PNHVTDDFAAHLTLEHRAPRDLDESSGVRHVRRMFHPGRGLGGPRARRSNMHFTSSSTGG LSSSQSSYSPSSREAMDPIAELLSQLSGVRRSAGGQLNSSGPSASQLQQLQMQLQLERQH AQAARQQLETARNASRRTNTSSVTTTITQATATANTANTENSPQALHNSQFLLTRLNDPK MSEAERQSMESERADRSLFVQELLLSTLVREESSSSDEDDRGEMADFGAMGCVDIMPLDV ALENLNLKESNKGNEPPPPPL >ENSMUSP00000144910.1 pep:known chromosome:GRCm38:6:72842569:72899292:-1 gene:ENSMUSG00000055239.10 transcript:ENSMUST00000204598.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcmf1 description:potassium channel modulatory factor 1 [Source:MGI Symbol;Acc:MGI:1921537] MQCILTRVDFDLYYGGEAFSVEQPQSFTCPYCGKMGYTETSLQEHVTSEHAETSTEVICP ICAALPGGDPNHVTDDFAAHLTLEHRAPRDLDESSGVRHVRRMFHPGRGLGGPRARRSNM HFTSSSTGGLSSSQSSYSPSSREAMDPIAELLSQLSGVRRSAGGQLNSSGPSASQLQQLQ MQLQLERQHAQAARQQLETARNASRRTNTSSVTTTITQATATANTANTENSPQALHNSQF LLTRLNDPKMSEAERQSMESERADRSLFVQELLLSTLVREESSSSDEDDRGEMADFGAMG CVDIMPLDVALENLNLKESNKGNEPPPPPL >ENSMUSP00000145559.1 pep:known chromosome:GRCm38:6:72842985:72899703:-1 gene:ENSMUSG00000055239.10 transcript:ENSMUST00000206378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcmf1 description:potassium channel modulatory factor 1 [Source:MGI Symbol;Acc:MGI:1921537] MSRHEGVSCDACLKGNFRGRRYKCLICYDYDLCASCYESGATTTRHTTDHPMQCILTRVD FDLYYGGEAFSVEQPQSFTCPYCGKMGYTETSLQEHVTSEHAETSTEVVE >ENSMUSP00000144907.1 pep:known chromosome:GRCm38:6:72849444:72899083:-1 gene:ENSMUSG00000055239.10 transcript:ENSMUST00000204708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcmf1 description:potassium channel modulatory factor 1 [Source:MGI Symbol;Acc:MGI:1921537] MFHPGRGLGGPRARRSNMHFTSSSTGGLSSSQSSYSPSSREAMDPIA >ENSMUSP00000059665.5 pep:known chromosome:GRCm38:2:152604327:152612729:1 gene:ENSMUSG00000044863.7 transcript:ENSMUST00000058086.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb36 description:defensin beta 36 [Source:MGI Symbol;Acc:MGI:2385956] MKLLLLTLAALLLVSQLTPGDAQKCWNLHGKCRHRCSRKESVYVYCTNGKMCCVKPKYQP KPKPWMF >ENSMUSP00000032774.8 pep:known chromosome:GRCm38:7:67647410:67726711:1 gene:ENSMUSG00000030555.16 transcript:ENSMUST00000032774.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc23 description:tetratricopeptide repeat domain 23 [Source:MGI Symbol;Acc:MGI:1914259] MQESQDTHMSSHLDEVVAAVSVTSKNRIPNKLLQTALFQPPREKLHLCEERAKSYSSSRE YKQAIQELVRCVALTRICYGDWHWKLAEAYVNLAQGYLQLKGLSLQAKQHAEKAKEILAN SIESPCHNKTDIFKCSLELFYTLGRALLSLQKFKDASENLIKAERLSKEMLQCGNIVKEE WIEIQSRIKLSFAQLYQGQKRSKEAFPFYQKALEYTEITKDEKSLECVQVLRELAGVEQA LGLYAAAISHFSRDRLPTPQPCPLGHKCCCPSPFLSPVLNVTWRPFYSSQVDEEEAHLII LSKDPSPEEAADSAHFIARAAAASGMHDHRDVAEKYFQESMTSIKDSEGAERAKFLSIQD EFCSFLQTTGQKERAAMILRESLEAKVGAFGDFSPEVAETYRALGRADLAQGNNSGAYAK LKKCVQIETFLYGSQDKKTLATQHTIDTLSKISEAAGKSRQSVKAKVAFCTSAPQYGMPG KGRHSVAD >ENSMUSP00000103095.1 pep:known chromosome:GRCm38:7:67647425:67726576:1 gene:ENSMUSG00000030555.16 transcript:ENSMUST00000107471.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc23 description:tetratricopeptide repeat domain 23 [Source:MGI Symbol;Acc:MGI:1914259] MQESQDTHMSSHLDEVVAAVSVTSKNRIPNKLLQTALFQPPREKLHLCEERAKSYSSSRE YKQAIQELVRCVALTRICYGDWHWKLAEAYVNLAQGYLQLKGLSLQAKQHAEKAKEILAN SIESPCHNKTDIFKCSLELFYTLGRALLSLQKFKDASENLIKAERLSKEMLQCGNIVKEE WIEIQSRIKLSFAQLYQGQKRSKEAFPFYQKALEYTEITKDEKSLECVQVLRELAGVEQA LGLYAAAISHFSRAHLIILSKDPSPEEAADSAHFIARAAAASGMHDHRDVAEKYFQESMT SIKDSEGAERAKFLSIQDEFCSFLQTTGQKERAAMILRESLEAKVGAFGDFSPEVAETYR ALGRADLAQGNNSGAYAKLKKCVQIETFLYGSQDKKTLATQHTIDTLSKISEAAGKSRQS VKAKVAFCTSAPQYGMPGKGRHSVAD >ENSMUSP00000103094.1 pep:known chromosome:GRCm38:7:67655447:67726573:1 gene:ENSMUSG00000030555.16 transcript:ENSMUST00000107470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc23 description:tetratricopeptide repeat domain 23 [Source:MGI Symbol;Acc:MGI:1914259] MQESQDTHMSSHLDEVVAAVSVTSKNRIPNKLLQTALFQPPREKLHLCEERAKSYSSSRE YKQAIQELVRCVALTRICYGDWHWKLAEAYVNLAQGYLQLKGLSLQAKQHAEKAKEILAN SIESPCHNKTDIFKCSLELFYTLGRALLSLQKFKDASENLIKAERLSKEMLQCGNIVKEE WIEIQSRIKLSFAQLYQGQKRSKEAFPFYQKALEYTEITKDEKSLECVQVLRELAGVEQA LGLYAAAISHFSRAHLIILSKDPSPEEAADSAHFIARAAAASGMHDHRDVAEKYFQESMT SIKDSEGAERAKFLSIQDEFCSFLQTTGQKERAAMILRESLEAKVGAFGDFSPEVAETYR ALGRADLAQGNNSGAYAKLKKCVQIETFLYGSQDKKTLATQHTIDTLSKISEAAGKSRQS VKAKVAFCTSAPQYGMPGKGRHSVAD >ENSMUSP00000146428.1 pep:known chromosome:GRCm38:7:67670052:67762912:1 gene:ENSMUSG00000030555.16 transcript:ENSMUST00000208764.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc23 description:tetratricopeptide repeat domain 23 [Source:MGI Symbol;Acc:MGI:1914259] XFKDASENLIKAERLSKEMLQCGNIVKEEWIEIQSRIKLSFAQLYQGQKRSKEAFPFYQK ALEYTEITKDEKSLECVQVLRELAGVEQALGLYAAAISHFSRAHLIILSKDPSPEEAADS AHFIARAAAASGMHDHRDVAEKYFQESMTSIKDSEGAERAKFLSIQDEFCSFLQTTGQKE RAAMILRESLEAKVGAFGDFSPEVAETYRALGRADLAQGNNSGAYAKLKKSHRDSSKATW RQTLTCRWLPPS >ENSMUSP00000080240.3 pep:known chromosome:GRCm38:4:134496697:134508813:1 gene:ENSMUSG00000037348.15 transcript:ENSMUST00000081525.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr7 description:progestin and adipoQ receptor family member VII [Source:MGI Symbol;Acc:MGI:1919154] MAMAVAQKFNHLLSSLWHVGQKPPQPEPVFTVDRAQVPPLFWKPYIYAGYRPLHQNWCFY FRTLFQRHNEAVNVWTHLLAALALLLRLIGLAASVDFREDPHALPLFFIVLASFTYLSFS AVAHLLQAKSEFWHYSFFFLDYVGVAVYQFGSALAHFYYAIEPSWHDKVQAIFLPTAAFL AWLSCAGSCYNKYSQKPGLLGRIFQEAPSALAYVLDISPVLHRIIVSPLPAEEDPALLYH KCQVVFFLLAAAFFSTVMPESWFPGSCHIFGQGHQVFHVFLVLCTLAQLEAVTLDYQARR GIYEPLHARWPHNFSGLFLLTVASSSLTALLLSQLVRRKLHQKTK >ENSMUSP00000120959.1 pep:known chromosome:GRCm38:4:134496975:134507215:1 gene:ENSMUSG00000037348.15 transcript:ENSMUST00000134506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr7 description:progestin and adipoQ receptor family member VII [Source:MGI Symbol;Acc:MGI:1919154] MAMAVAQKFNHLLSSLWHVGQKPPQPEPVFTVDRAQVPPLFWKPYIYAGYRPLHQNWCFY FRTLFQRHNEAVNVWTHLLAALALLLRLIGLAASVDFREDPHALPLFFIVLASFTYLSFS AVAHLLQ >ENSMUSP00000122936.1 pep:known chromosome:GRCm38:4:134496975:134507546:1 gene:ENSMUSG00000037348.15 transcript:ENSMUST00000136171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr7 description:progestin and adipoQ receptor family member VII [Source:MGI Symbol;Acc:MGI:1919154] MAMAVAQKFNHLLSSLWHVGQKPPQPEPVFTVDRAQVPPLFWKPYIYAGYRPLHQNWCFY FRTLFQRHNEAVNVWTHLLAALALLLRLIGLAASVDFREDPHALPLFFIVLASFTYLSFS AVAHLLQAKSEFWHYSFFFLDYVGVAVYQFGSALAHFYYAIEPSWHDKVQAIFLPTAAFL AWLSCAGSCYNKYSQKPGLLGRIFQEAPSALAYVLDISPVLHRIIVSPLPAEEDPALL >ENSMUSP00000116485.1 pep:known chromosome:GRCm38:4:134496981:134507275:1 gene:ENSMUSG00000037348.15 transcript:ENSMUST00000125330.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr7 description:progestin and adipoQ receptor family member VII [Source:MGI Symbol;Acc:MGI:1919154] MAMAVAQKFNHLLSSLWHVGQKPPQPEPVFTVDRAQVPPLFWKPYIYAGYRPLHQNWCFY FRTLFQRHNEAVNVWTHLLAALALLLRLIGLAASVDFREDPHALPLFFIVLASFTYLSFS AVAHLLQAKSEFWHYSFFFLDYVGVAV >ENSMUSP00000125932.1 pep:known chromosome:GRCm38:4:134497004:134510235:1 gene:ENSMUSG00000037348.15 transcript:ENSMUST00000095074.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr7 description:progestin and adipoQ receptor family member VII [Source:MGI Symbol;Acc:MGI:1919154] MAMAVAQKFNHLLSSLWHVGQKPPQPEPVFTVDRAQVPPLFWKPYIYAGYRPLHQNWCFY FRTLFQRHNEAVNVWTHLLAALALLLRLIGLAASVDFREDPHALPLFFIVLASFTYLSFS AVAHLLQAKSEFWHYSFFFLDYVGVAVYQFGSALAHFYYAIEPSWHDKVQAIFLPTAAFL AWLSCAGSCYNKYSQKPGLLGRIFQEAPSALAYVLDISPVLHRIIVSPLPAEEDPALLYH KCQVVFFLLAAAFFSTVMPESWFPGSCHIFGQGHQVFHVFLVLCTLAQLEAVTLDYQARR GIYEPLHARWPHNFSGLFLLTVASSSLTALLLSQLVRRKLHQKTK >ENSMUSP00000145405.1 pep:known chromosome:GRCm38:3:40744495:40757069:1 gene:ENSMUSG00000025757.12 transcript:ENSMUST00000203904.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa4l description:heat shock protein 4 like [Source:MGI Symbol;Acc:MGI:107422] MSVVGIDLGFLNCYIAVARSGGIETIANEYSDRCTPACISLGSRTRAIGNAAKSQIVTNV RNTIHGFKKLHGRSFDDPIVQTERIRLPYELQKMPNGSTGVKVRYLEEERPFAIEQVTGM LLAKL >ENSMUSP00000144787.1 pep:known chromosome:GRCm38:3:40745382:40781598:1 gene:ENSMUSG00000025757.12 transcript:ENSMUST00000203353.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa4l description:heat shock protein 4 like [Source:MGI Symbol;Acc:MGI:107422] MSVVGIDLGFLNCYIAVARSGGIETIANEYSDRCTPACISLGSRTRAIGNAAKSQIVTNV RNTIHGFKKLHGRSFDDPIVQTERIRLPYELQKMPNGSTGVKVRYLEEERPFAIEQVTGM LLAKLKETSENALKKPVADCVISIPSFFTDAERRSVMAAAQVAGLNCLRLMNETTAVALA YGIYKQDLPSLDEKPRNVVFIDMGHSAYQVSVCAFNKGKLKVLATTFDPYLGGRNFDEAL VDYFCDEFKTKYKINVKENSRALLRLYQECEKLKKLMSANASDLPLNIECFMNDLDVSSK MNRAQFEQLCASLLARVEPPLKSVMDQANLQREDINSIEIVGGATRIPAVKEQVTRFFLK DISTTLNADEAVARGCALQCAILSPAFKVREFSITDLVPYSVTLRWKTSFEEGTGECEVF SKNHPAPFSKVITFHKKEPFELEAFYTNLHEVPYPDPRIGNFTIQNVFPQSDGDSSKVKV KVRINIHGIFSVASASVIEKQNLEGDHNDAAMETEAPKSEGKEDVDKMQVDQEEGGHQKC HAEHTPEEEIDHTGAKAKAPPSDKQDRINQT >ENSMUSP00000145468.1 pep:known chromosome:GRCm38:3:40745430:40796103:1 gene:ENSMUSG00000025757.12 transcript:ENSMUST00000204702.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa4l description:heat shock protein 4 like [Source:MGI Symbol;Acc:MGI:107422] MSVVGIDLGFLNCYIAVARSGGIETIANEYSDRCTPACISLGSRTRAIGNAAKSQIVTNV RNTIHGFKKLHGRSFDDPIVQTERIRLPYELQKMPNGSTGVKVRYLEEERPFAIEQVTGM LLAKLKETSENALKKPVADCVISIPSFFTDAERRSVMAAAQVAGLNCLRLMNETTAVALA YGIYKQDLPSLDEKPRNVVFIDMGHSAYQVSVCAFNKGKLKVLATTFDPYLGGRNFDEAL VDYFCDEFKTKYKINVKENSRALLRLYQECEKLKKLMSANASDLPLNIECFMNDLDVSSK MNRAQFEQLCASLLARVEPPLKSVMDQANLQREDINSIEIVGGATRIPAVKEQVTRFFLK DISTTLNADEAVARGCALQCAILSPAFKVREFSITDLVPYSVTLRWKTSFEEGTGECEVF SKNHPAPFSKVITFHKKEPFELEAFYTNLHEVPYPDPRIGNFTIQNVFPQSDGDSSKVKV KVRINIHGIFSVASASVIEKQNLEGDHNDAAMETEAPKSEGKEDVDKMQVDQEEGGHQKC HAEHTPEEEIDHTGAKAKAPPSDKQDRINQTIKKGKIKSIDLPIQSSLYRQLTQDLLNSY IENEGKMIMQDKLEKERNDAKNAVEEYVYDFRDKLGTVYEKFITPEDMNKLSAMLEDTEN WLYEEGEDQPKQVYVDRLQELKKYGQPIQMKYVEHEERPKALNDLGKKIQLVLKVIEAHR NKDERYDHLDPAEMERVEKYISDSMNWLNSKMNAQNKLSLTQDPVVKVSEIVTKSKELDN FCNPIVYKPKPKVEAPEDKAKTGSEHNGPMDGQSGSETSPDPPKGSSQHTDSGEMEVD >ENSMUSP00000145243.1 pep:known chromosome:GRCm38:3:40745484:40760868:1 gene:ENSMUSG00000025757.12 transcript:ENSMUST00000203496.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa4l description:heat shock protein 4 like [Source:MGI Symbol;Acc:MGI:107422] MSVVGIDLGFLNCYIAVARSGGIETIANEYSDRCTPACISLGSRTRAIGNAAKSQVRYLE EERPFAIEQVTGMLLAKLKETSENALKKPVADCVISIPSFFTDAERRSVMAAAQVAGLNC LRLMNETTAVALAYGIYKQDLPSLDEKPRNVVFIDMGH >ENSMUSP00000103721.4 pep:known chromosome:GRCm38:3:40745868:40789584:1 gene:ENSMUSG00000025757.12 transcript:ENSMUST00000108086.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa4l description:heat shock protein 4 like [Source:MGI Symbol;Acc:MGI:107422] MGGPPRHGVLDREERACISLGSRTRAIGNAAKSQIVTNVRNTIHGFKKLHGRSFDDPIVQ TERIRLPYELQKMPNGSTGVKVRYLEEERPFAIEQVTGMLLAKLKETSENALKKPVADCV ISIPSFFTDAERRSVMAAAQVAGLNCLRLMNETTAVALAYGIYKQDLPSLDEKPRNVVFI DMGHSAYQVSVCAFNKGKLKVLATTFDPYLGGRNFDEALVDYFCDEFKTKYKINVKENSR ALLRLYQECEKLKKLMSANASDLPLNIECFMNDLDVSSKMNRAQFEQLCASLLARVEPPL KSVMDQANLQREDINSIEIVGGATRIPAVKEQVTRFFLKDISTTLNADEAVARGCALQCA ILSPAFKVREFSITDLVPYSVTLRWKTSFEEGTGECEVFSKNHPAPFSKVITFHKKEPFE LEAFYTNLHEVPYPDPRIGNFTIQNVFPQSDGDSSKVKVKVRINIHGIFSVASASVIEKQ NLEGDHNDAAMETEAPKSEGKEDVDKMQVDQEEGGHQKCHAEHTPEEEIDHTGAKAKAPP SDKQDRINQTIKKGKIKSIDLPIQSSLYRQLTQDLLNSYIENEGKMIMQDKLEKERNDAK NAVEEYVYDFRDKLGTVYEKFITPEDMNKLSAMLEDTENWLYEEGEDQPKQVYVDRLQEL KKYGQPIQMKYVEHEERPKALNDLGKKIQLVLKVIEAHRNKDERYDHLDPAEMERVEKYI SDSMNWLNSKMNAQNKLSLTQDPVVKVSEIVTKSKELDNFCNPIVYKPKPKVEAPEDKAK TGSEHNGPMDGQSGSETSPDPPKGSSQHTDSGEMEVD >ENSMUSP00000140263.1 pep:known chromosome:GRCm38:Y:61102247:61134259:1 gene:ENSMUSG00000100667.1 transcript:ENSMUST00000188244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700040F15Rik description:RIKEN cDNA 1700040F15 gene [Source:MGI Symbol;Acc:MGI:1920579] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKW METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000050873.8 pep:known chromosome:GRCm38:17:47412734:47437375:-1 gene:ENSMUSG00000047150.8 transcript:ENSMUST00000061885.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001C19Rik description:RIKEN cDNA 1700001C19 gene [Source:MGI Symbol;Acc:MGI:1922712] MKGDRERQADLSPREGPSVPRPVLLQTEPRSVFPGDTSRPWSPAALPARAPGDRWCGRRR RSPGNASYLDSDISEKSRSASEEEKSHALGACHCAENAFQDSQKSLVPSRGQKMTSGTKA KVPLTALSQKWKRDREQTLEAAYVPVVVDPRGQNPDTSIRFSFYNSQYSNSLNPFYTLQK PTCGYLYQRETDHTRKRFDVPPANLILWRT >ENSMUSP00000072887.6 pep:known chromosome:GRCm38:17:47413375:47421880:-1 gene:ENSMUSG00000047150.8 transcript:ENSMUST00000073143.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001C19Rik description:RIKEN cDNA 1700001C19 gene [Source:MGI Symbol;Acc:MGI:1922712] MLKDSQKSLVPSRGQKMTSGTKAKVPLTALSQKWKRDREQTLEAAYVPVVVDPRGQNPDT SIRFSFYNSQYSNSLNPFYTLQKPTCGYLYQRETDHTRKRFDVPPANLILWRT >ENSMUSP00000143504.1 pep:known chromosome:GRCm38:3:126597007:126846326:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000199300.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LESVNHCHLNGIVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL KGAILTTMLATRNFSAAKSLLKKPDGVKESTESSNTTIEDEDVKARKQEIIKVTEQLIEA INNGDFEAYTKICDPGLTAFEPEALGNLVEGMDFHRFYFENALSKSNKPIHTIILNPHVH LVGDDAACIAYIRLTQYMDGSGMPKTMQSEETRVWHRRDGKWQNVHFHRSGSPTVPIKPP CIPNGKENFSGGTSLWQNI >ENSMUSP00000114801.1 pep:known chromosome:GRCm38:3:126597010:126811877:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000134466.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LESVNHCHLNGIVHRDLKSLSLAKCAP >ENSMUSP00000130769.1 pep:known chromosome:GRCm38:3:126597020:126842710:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000145454.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LESVNHCHLNGIVHRDLKVFAC >ENSMUSP00000102008.2 pep:known chromosome:GRCm38:3:126597097:126843703:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000106400.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LESVNHCHLNGIVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL KGAILTTMLATRNFSAAKSLLKKPDGVKESTESSNTTIEDEDVKARKQEIIKVTEQLIEA INNGDFEAYTKICDPGLTAFEPEALGNLVEGMDFHRFYFENALSKSNKPIHTIILNPHVH LVGDDAACIAYIRLTQYMDGSGMPKTMQSEETRVWHRRDGKWQNVHFHRSGSPTVPIN >ENSMUSP00000143677.1 pep:known chromosome:GRCm38:3:126597206:126846295:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000200171.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LESVNHCHLNGIVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL KGAILTTMLATRNFSAAKSLLKKPDGVKINNKANVVTSPKENIPTPALEPQTTVIHNPDG NKESTESSNTTIEDEDVKARKQEIIKVTEQLIEAINNGDFEAYTKICDPGLTAFEPEALG NLVEGMDFHRFYFENALSKSNKPIHTIILNPHVHLVGDDAACIAYIRLTQYMDGSGMPKT MQSEETRVWHRRDGKWQNVHFHRSGSPTVPIKPPCIPNGKENFSGGTSLWQNI >ENSMUSP00000129999.1 pep:known chromosome:GRCm38:3:126597308:126843218:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000171289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL KGAILTTMLATRNFSAAKSLLKKPDGVKINNKANVVTSPKENIPTPALEPQTTVIHNPDG NKESTESSNTTIEDEDVKARKQEIIKVTEQLIEAINNGDFEAYTKICDPGLTAFEPEALG NLVEGMDFHRFYFENALSKSNKPIHTIILNPHVHLVGDDAACIAYIRLTQYMDGSGMPKT MQSEETRVWHRRDGKWQNVHFHRSGSPTVPIKPPCIPNGKENFSGGTSLWQNI >ENSMUSP00000102009.2 pep:known chromosome:GRCm38:3:126597414:126844327:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000106401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LESVNHCHLNGIVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL KGAILTTMLATRNFSAKSLLKKPDGVKKRKSSSSVQMMESTESSNTTIEDEDVKARKQEI IKVTEQLIEAINNGDFEAYTKICDPGLTAFEPEALGNLVEGMDFHRFYFENALSKSNKPI HTIILNPHVHLVGDDAACIAYIRLTQYMDGSGMPKTMQSEETRVWHRRDGKWQNVHFHRS GSPTVPIN >ENSMUSP00000066996.8 pep:known chromosome:GRCm38:3:126802940:126843174:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000066452.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] RKSSSSVQMMESTESSNTTIEDEDVKARKQEIIKVTEQLIEAINNGDFEAYTKICDPGLT AFEPEALGNLVEGMDFHRFYFENALSKSNKPIHTIILNPHVHLVGDDAACIAYIRLTQYM DGSGMPKTMQSEETRVWHRRDGKWQNVHFHRSGSPTVPIN >ENSMUSP00000130305.1 pep:known chromosome:GRCm38:3:126805862:126842893:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000170149.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] XNKANVVTSPKENIPTPALESTESSNTTIEDEDVKARKQEIIKVTEQLIEAINNGDFEAY TKICDPGLTAFEPEALGNLVEGMDFHRFYFENALSKSNKPIHTIILNPHVHLVGDDAACI AYIRLTQYMDGSGMPKTMQSEETRVWHRRDGKWQNVHFHRSGSPTVPIN >ENSMUSP00000133019.1 pep:known chromosome:GRCm38:3:126597005:126818800:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000163226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LESVNHCHLNGIVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL KGAILTTMLATRNFSAAKSLLKKPDGVKESTESSNTTIEDEDVKATVCRACRCMPQCLST S >ENSMUSP00000063359.7 pep:known chromosome:GRCm38:3:126596998:126844915:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000066466.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LESVNHCHLNGIVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL KGAILTTMLATRNFSAAKSLLKKPDGVKEPQTTVIHNPDGNKESTESSNTTIEDEDVKAR KQEIIKVTEQLIEAINNGDFEAYTKICDPGLTAFEPEALGNLVEGMDFHRFYFENALSKS NKPIHTIILNPHVHLVGDDAACIAYIRLTQYMDGSGMPKTMQSEETRVWHRRDGKWQNVH FHRSGSPTVPIN >ENSMUSP00000102007.2 pep:known chromosome:GRCm38:3:126596960:126844911:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000106399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL KGAILTTMLATRNFSAAKSLLKKPDGVKINNKANVVTSPKENIPTPALEPQTTVIHNPDG NKESTESSNTTIEDEDVKARKQEIIKVTEQLIEAINNGDFEAYTKICDPGLTAFEPEALG NLVEGMDFHRFYFENALSKSNKPIHTIILNPHVHLVGDDAACIAYIRLTQYMDGSGMPKT MQSEETRVWHRRDGKWQNVHFHRSGSPTVPIN >ENSMUSP00000102010.1 pep:known chromosome:GRCm38:3:126596951:126844913:1 gene:ENSMUSG00000053819.16 transcript:ENSMUST00000106402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2d description:calcium/calmodulin-dependent protein kinase II, delta [Source:MGI Symbol;Acc:MGI:1341265] MASTTTCTRFTDEYQLFEELGKGAFSVVRRCMKIPTGQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LESVNHCHLNGIVHRDLKPENLLLASKSKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKDPYGKPVDMWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDT VTPEAKDLINKMLTINPAKRITASEALKHPWICQRSTVASMMHRQETVDCLKKFNARRKL KGAILTTMLATRNFSAAKSLLKKPDGVKINNKANVVTSPKENIPTPALEPQTTVIHNPDG NKESTESSNTTIEDEDVKARKQEIIKVTEQLIEAINNGDFEAYTKICDPGLTAFEPEALG NLVEGMDFHRFYFENALSKSNKPIHTIILNPHVHLVGDDAACIAYIRLTQYMDGSGMPKT MQSEETRVWHRRDGKWQNVHFHRSGSPTVPIN >ENSMUSP00000031622.6 pep:known chromosome:GRCm38:5:144019804:144026599:-1 gene:ENSMUSG00000029618.13 transcript:ENSMUST00000031622.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocm description:oncomodulin [Source:MGI Symbol;Acc:MGI:97401] MSITDILSADDIAAALQECQDPDTFEPQKFFQTSGLSKMSASQLKDIFQFIDNDQSGYLD EDELKYFLQRFQSDARELTESETKSLMDAADNDGDGKIGADEFQEMVHS >ENSMUSP00000106330.1 pep:known chromosome:GRCm38:5:144024440:144026670:-1 gene:ENSMUSG00000029618.13 transcript:ENSMUST00000110702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocm description:oncomodulin [Source:MGI Symbol;Acc:MGI:97401] MSITDILSADDIAAALQECQDPDTFEPQKFFQTSGLSKMSASQLKDIFQFIDNDQSGYLD >ENSMUSP00000082848.3 pep:known chromosome:GRCm38:5:144020076:144025706:-1 gene:ENSMUSG00000029618.13 transcript:ENSMUST00000085704.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ocm description:oncomodulin [Source:MGI Symbol;Acc:MGI:97401] MSITDILSADDIAAALQECQDPDTFEPQKFFQTSGLSKMSASQLKDIFQFIDNDQSGYLD EDELKYFLQRFQSDARELTESETKSLMDAADNDGDGKIGADEFQEMVHS >ENSMUSP00000037699.7 pep:known chromosome:GRCm38:1:19103022:19166346:1 gene:ENSMUSG00000042596.7 transcript:ENSMUST00000037294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap2d description:transcription factor AP-2, delta [Source:MGI Symbol;Acc:MGI:2153466] MSTTFPGLVHDAEIRHDGSNSYRLMQLGCLESVANSTVAYSSSSPLTYSTTGTEFASPYF STNHQYTPLHHQSFHYEFQHSHPAVTPDAYSLNSLHHSQQYYQQIHHGEPTDFINLHNAR ALKSSCLDEQRRELGCLDAYRRHDLSLMSHGSQYGMHPDQRLLPGPSLGLAAAGADDLQG SVEAQCGIVLNGQGGVIRRGGTCVVNPTDLFCSVPGRLSLLSSTSKYKVTIAEVKRRLSP PECLNASLLGGILRRAKSKNGGRCLREKLDRLGLNLPAGRRKAANVTLLTSLVEGEALHL ARDFGYTCETEFPAKAVGEHLARQHMEQKEQTARKKMILATKQICKEFQDLLSQDRSPLG SSRPTPILDLDIQRHLTHFSLITHGFGTPAICAALSTFQTVLSEMLNYLEKHTTHKNGGA ADSGQGHANSEKAPLRKASEAAVKEGKTEKTD >ENSMUSP00000037096.8 pep:known chromosome:GRCm38:6:107529768:107570214:1 gene:ENSMUSG00000034648.9 transcript:ENSMUST00000049285.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrn1 description:leucine rich repeat protein 1, neuronal [Source:MGI Symbol;Acc:MGI:106038] MARLSTGKAACQVVLGLLITSLTESSILTSECPQLCVCEIRPWFTPQSTYREATTVDCND LRLTRIPGNLSSDTQVLLLQSNNIAKTVDELQQLFNLTELDFSQNNFTNIKEVGLANLTQ LTTLHLEENQISEMTDYCLQDLSNLQELYINHNQISTISANAFSGLKNLLRLHLNSNKLK VIDSRWFDSTPNLEILMIGENPVIGILDMNFRPLSNLRSLVLAGMYLTDVPGNALVGLDS LESLSFYDNKLIKVPQLALQKVPNLKFLDLNKNPIHKIQEGDFKNMLRLKELGINNMGEL VSVDRYALDNLPELTKLEATNNPKLSYIHRLAFRSVPALESLMLNNNALNAVYQKTVESL PNLREISIHSNPLRCDCVIHWINSNKTNIRFMEPLSMFCAMPPEYRGQQVKEVLIQDSSE QCLPMISHDTFPNHLNMDIGTTLFLDCRAMAEPEPEIYWVTPIGNKITVETLSDKYKLSS EGTLEIANIQIEDSGRYTCVAQNVQGADTRVATIKVNGTLLDGAQVLKIYVKQTESHSIL VSWKVNSNVMTSNLKWSSATMKIDNPHITYTARVPVDVHEYNLTHLQPSTDYEVCLTVSN IHQQTQKSCVNVTTKTAAFALDISDHETSTALAAVMGSMFAVISLASIAIYIAKRFKRKN YHHSLKKYMQKTSSIPLNELYPPLINLWEADSDKDKDGSADTKPTQVDTSRSYYMW >ENSMUSP00000093270.2 pep:known chromosome:GRCm38:12:76324891:76334153:1 gene:ENSMUSG00000021057.15 transcript:ENSMUST00000095610.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap5 description:A kinase (PRKA) anchor protein 5 [Source:MGI Symbol;Acc:MGI:2685104] MKECSVKMETSVSEIQVETKDEKGPVAASPQKERQERKTATLCFKRRKKANKTKPKAGSR TAEETKKHTPEAGGSGQRQPAGAWASIKGLVTHRKRSEPAKKQKPPEAEVQPEDGALPKK KAKSRLKFPCLRFSRGAKRSRHSKLTEDSGYVRVQGEADDLEIKAQTQPDDQAIQAGSTQ GLQEGVLVRDGKKSQESHISNSVTSGENVIAIELELENKSSAIQMGTPELEKETKVITEK PSVQTQRASLLESSAAGSPRSVTSAAPPSPATTHQHSLEEPSNGIRESAPSGKDDRRKTA AEEKKSGETALGQAEEAAVGQADKRALSQAGEATAGHPEEATVIQAESQAKEGKLSQAEE TTVAQAKETVLSQAKEGELSQAKKATVGQAEEATIDHTEKVTVDQAEETTVGQAEEATVG QAGEAILSQAKEATVVGQAEEATVDRAEEATVGQAEEATVGHTEKVTVDQAEEATVGQAE EATVGQAEEATVDWAEKPTVGQAEEATVGQAEEATVGHTEKVTVDQAEEATVGQAEEATV GHTEKVTVDHAEEATVGQAEEATVGQAEKVTVDHAEEATVGQAEEATVGQAEKVTVDHAE EATVGQAEEATVGQAEKVTVDQAEEPTVDQAEEAISSHAPDLKENGIDTEKPRSEESKRM EPIAIIITDTEISEFDVKKSKNVPKQFLISMENEQVGVFANDSDFEGRTSEQYETLLIET ASSLVKNAIELSVEQLVNEMVSEDNQINTLFQ >ENSMUSP00000134127.1 pep:known chromosome:GRCm38:12:76324905:76330054:1 gene:ENSMUSG00000021057.15 transcript:ENSMUST00000172992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap5 description:A kinase (PRKA) anchor protein 5 [Source:MGI Symbol;Acc:MGI:2685104] MKECSVKMETSVSEIQVETKDEKGPVAASPQKERQERKTATLCFKRRKKANKTKPKAGSR TAEETKKHTPEAGGSGQRQPAGAWASIKGLVTHRKRSEPAKKQKPPEAEVQPEDGALPKK KAKSRLKFPCLRFSRGAKRSRHSKLTEDSGYVRVQGEADDLEIKAQTQPDDQAIQAGSTQ GLQEGVLVRDGKKSQESHISNSVTSGENVIAIELELENKSSAIQMGTPELEKETKVITEK PSVQTQRASLLESSAAGSPRSVTSAAPPSPATTHQHSLEEPSNGIRESAPSGKDDRRKTA AEEKKSGETALGQAEEAAVGQADKRALSQAGEATAGHPEEATVIQAESQAKEGKLSQAEE TTVAQAKETVLSQAKEGELSQAKKATVGQAEEATIDHTEKVTVDQAEETTVGQAEEATVG QAGEAILSQAKEATVVGQAEEATVDRAEEATVGQAEEATVGHTEKVTVDQAEEATVGQAE EATVGQAEEATVDWAEKPTVGQAEEATVGQAEEATVGHTEKVTVDQAEEATVGQAEEATV GHTEKVTVDHAEEATVGQAEEATVGQAEKVTVDHAEEATVGQAEEATVGQAEKVTVDHAE EATVGQAEEATVGQAEKVTVDQAEEPTVDQAEEAISSHAPDLKENGIDTEKPRSEESKRM EPIAIIITDTEISEFDVKKSKNVPKQFLISMENEQVGVFANDSDFEGRTSEQYETLLIET ASSLVKNAIELSVEQLVNEMVSEDNQINTLFQ >ENSMUSP00000114495.2 pep:known chromosome:GRCm38:12:76324891:76334151:1 gene:ENSMUSG00000021057.15 transcript:ENSMUST00000154078.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap5 description:A kinase (PRKA) anchor protein 5 [Source:MGI Symbol;Acc:MGI:2685104] METSVSEIQVETKDEKGPVAASPQKERQERKTATLCFKRRKKANKTKPKAGSRTAEETKK HTPEAGGSGQRQPAGAWASIKGLVTHRKRSEPAKKQKPPEAEVQPEDGALPKKKAKSRLK FPCLRFSRGAKRSRHSKLTEDSGYVRVQGEADDLEIKAQTQPDDQAIQAGSTQGLQEGVL VRDGKKSQESHISNSVTSGENVIAIELELENKSSAIQMGTPELEKETKVITEKPSVQTQR ASLLESSAAGSPRSVTSAAPPSPATTHQHSLEEPSNGIRESAPSGKDDRRKTAAEEKKSG ETALGQAEEAAVGQADKRALSQAGEATAGHPEEATVIQAESQAKEGKLSQAEETTVAQAK ETVLSQAKEGELSQAKKATVGQAEEATIDHTEKVTVDQAEETTVGQAEEATVGQAGEAIL SQAKEATVVGQAEEATVDRAEEATVGQAEEATVGHTEKVTVDQAEEATVGQAEEATVGQA EEATVDWAEKPTVGQAEEATVGQAEEATVGHTEKVTVDQAEEATVGQAEEATVGHTEKVT VDHAEEATVGQAEEATVGQAEKVTVDHAEEATVGQAEEATVGQAEKVTVDHAEEATVGQA EEATVGQAEKVTVDQAEEPTVDQAEEAISSHAPDLKENGIDTEKPRSEESKRMEPIAIII TDTEISEFDVKKSKNVPKQFLISMENEQVGVFANDSDFEGRTSEQYETLLIETASSLVKN AIELSVEQLVNEMVSEDNQINTLFQ >ENSMUSP00000048238.7 pep:known chromosome:GRCm38:5:144100436:144188204:1 gene:ENSMUSG00000038970.7 transcript:ENSMUST00000041804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmtk2 description:lemur tyrosine kinase 2 [Source:MGI Symbol;Acc:MGI:3036247] MPGPPASPPPPMLLLLLLLTVGCARAAPLPQTGAGEVPVVEVPSLFVILSVCSLLILIVL IANCVSCCKDPEIDFKEFEDNFDDEIDFTPPAEDTPSIQSPAEVFTLSVPNISLPAPSQF QASVEGLKSQVARHSLNYIQEIGSGWFGKVLLGETYTGTSVARVIVKELKVSASPKEQDT FLKSGEPYYILQHPNVLQCVGQCVEAIPYLLVFEFCDLGDLKAYLHNEQEHVRGDSQTML LQRMACEIAAGLAAMHKLHFLHSDLALRNCYLTSDLNVKVGDYGIGFSRYKEDYIETDDK KVFPLRWTAPELVTSFQDRLLTADQTKYSNIWSLGVTLWELFNNAAQPYANLSDLDVLNQ VIRERDMKLPKPQLEQPYSDRWYEVLQFCWLPPDKRPAAEDVHRLLTYLRMQSQRDSEVD FEQQWTALKPDTNSRDASSSAAFPILDHFARDRLGREMEEVLTVTETSQGLSFEYVWEAA KHDHFDEQGRGHPDEALSYSSMFFPVEVFENSLSDPGPGKQDDSGQEVPVRAPGVVPVFD AHNLSVGSDYYIQLEEKSSSNLGLDPPALLTTEVDKLERAGAEEPRTEEDFFQSSAHPKE ASSTEDSRATSIPGSPFNLFSDLDKADDLPSHQKIFDLMELNGVQADFKPAILSSSLDDP KDTCQSDKEKPHKLLDQGPLCLSESLLHQDHFDPLSVQELSENFLFLQEKNLLKGSLTTK EQVSDLQTELKNAGFTSALLESPQRGSESSELEFLENTLDFPLSQGDTRGQNEGAGVRRH SGTSPQASPALLTEEGSPTAPTDPILKPEETKSFRDVRVPEDSICLELGPDPVTVGVEIP ATDAKTLDGGNRPPDVTCQSKEALSLTNRHPILVNDITAQGSVESCLPESRQDLQNEPFS EDPLSVSSLEKHSEAAETLNQLNSKAAPEDAALASALSSDSTSQDSLLEDSLSTPIPTSE QSVETPDSLDSVDVREALLESLGSHTPRKLLPPDKPADSGYETENLESPEWTLHPAPEGT ADSDAAAAGDSGHSSLPPNPVIVISDAGDGHRGAEGPPQSFTLGPQSSYRDSAYFSDNDS EPDKKPEEVPGTSANALVLVKGQSPPESVVPEESSDVREGCLEAPQDKPDQSRVSTLQNS CHSELQETLQPTPADASRESCPVNDEASSPLSLLNSEPSSCDDLDTQEDRPCTLASTGTN TNELLAYMSSTLDKSLPSHLESSKLKEPDIEGKYLGKLCVSGMLDLSEDGMDADEEDENS DDSDEDLRAFNLHSLSSESEDDTEHPVPIIVSNDDGRHLRSLLKPSAAEAIEQLPEDWKK EKKAVTFFDDVTVYLFDQETPTKELGHCGGEAHGPGPSSPAASSSSPYLGRCMNSESSTD EEGGGFEWDDDFSPDPFMSKTTSLLGSKPSLQTSKYFSPPPPARSAEQSWPHVSPCSRFS ISPANIASFSLTHLTDSDIEQGGSSEDGDKD >ENSMUSP00000121390.1 pep:known chromosome:GRCm38:11:94489599:94499974:-1 gene:ENSMUSG00000010080.15 transcript:ENSMUST00000127305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn3 description:epsin 3 [Source:MGI Symbol;Acc:MGI:1919139] MTTSALRRQVKNIVHNYSEAEIKVREATSNDPWGPPSSLMSEIADLTFNTVAFAEVMGMV WRRLNDSGKNWRHVYKALTLLDYLLKTGSERVAHQCRENLYTIQTLKDFQYIDRDGKDQG VNVREKVKQVMALLKDEERLRQERTHALKTKERMALEGMGIGSGQLGYSRRSRGSPSSYT SASSSPRYASDLEQARPQTSGEEELQLQLALAMSREEAERPVPPASHRDEDLQLQLALSL SRQEHEKGVRSWKGDDSPVANGAEPAGQRRQRDREPEREERKEEEKLKTSQSSILDLADI FAPAPALPSTHCSADPWDIPGLRPNTEPSGSSWGPSADPWSPVPSGNALSRSQPWDLLPT LSSSEPWGRTPVLPSGPPIADPWAPSSPTRKLPSTGADPWGASMETSDTSALGGASPFDP FAKPLESTEPKESRDSAQALPTGKSPSTVELDPFGDSSPSCKQNGMKEPEALDLGVLGEA LPQQPGKEARPCRTPESFLGPSASSLVNLDSLVKAPLAARTRNPFLTGLGVPSPTNPFGA GDQGRPTLNQMRTGSPALGLPPGGPVGAPVGSMTYSASLPLPLSSVPVGATLPASVSVFP QAGAFAPPPASLPQPLLPTSGPMGPLPPQAGTNPFL >ENSMUSP00000082318.5 pep:known chromosome:GRCm38:7:64153835:64269775:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000085222.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MGSMRKMSSSFKRGSIKSSTSGSQKGQKAWIEKTFCKRECIFVIPSTKDPNRCCCGQLTN QHIPPLPSGAPSTTGEDTKQADTQSGKWSVSKHTQSYPTDSYGILEFQGGGYSNKAMYIR VSYDTKPDSLLHLMVKDWQLELPKLLISVHGGLQSFEMQPKLKQVFGKGLIKAAMTTGAW IFTGGVSTGVVSHVGDALKDHSSKSRGRLCAIGIAPWGMVENKEDLIGKDVTRVYQTMSN PLSKLSVLNNSHTHFILADNGTLGKYGAEVKLRRQLEKHISLQKINTRLGQGVPVVGLVV EGGPNVVSIVLEYLKEDPPVPVVVCDGSGRASDILSFAHKYCDEGGVINESLRDQLLVTI QKTFNYSKSQSYQLFAIIMECMKKKELVTVFRMGSEGQQDVEMAILTALLKGTNASAPDQ LSLALAWNRVDIARSQIFVFGPHWPPLGSLAPPVDTKATEKEKKPPTATTKGRGKGKGKK KGKVKEEVEEETDPRKLELLNWVNALEQAMLDALVLDRVDFVKLLIENGVNMQHFLTIPR LEELYNTRLGPPNTLHLLVRDVKKSNLPPDYHISLIDIGLVLEYLMGGAYRCNYTRKSFR TLYNNLFGPKRPKALKLLGMEDDEPPAKGKKKKKKKKEEEIDIDVDDPAVSRFQYPFHEL MVWAVLMKRQKMAVFLWQRGEECMAKALVACKLYKAMAHESSESELVDDISQDLDNNSKD FGQLAVELLDQSYKHDEQVAMKLLTYELKNWSNSTCLKLAVAAKHRDFIAHTCSQMLLTD MWMGRLRMRKNPGLKVIMGILIPPTILFLEFRTYDDFSYQTSKENEDGKEKEEENVDANA DAGSRKGDEENEHKKQRSIPIGTKICEFYNAPIVKFWFYTISYLGYLLLFNYVILVRMDG WPSPQEWIVISYIVSLALEKIREILMSEPGKLSQKIKVWLQEYWNITDLVAISMFMVGAI LRLQSQPYMGYGRVIYCVDIILWYIRVLDIFGVNKYLGPYVMMIGKMMIDMLYFVVIMLV VLMSFGVARQAILHPEEKPSWKLARNIFYMPYWMIYGEVFADQIDLYAMEINPPCGENLY DEEGKRLPPCIPGAWLTPALMACYLLVANILLVNLLIAVFNNTFFEVKSISNQVWKFQRY QLIMTFHDRPVLPPPMIILSHIYIIIMRLSGRCRKKREGDQEERDRGLKLFLSDEELKKL HEFEEQCVQEHFREKEDEQQSSSDERIRVTSERVENMSMRLEEINERENFMKTSLQTVDL RLSQLEELSGRMVSALENLAGIDRSDLIQARSRASSECEATYLLRQSSINSADGYSLYRY HFNGEELLFEEPALSTSPGTAFRKKTYSFRVKDEDAKSHLDQPSNLHHTPGPSPPATPGR SRLALEGPLSTELRPGSDPGISAGEFDPRADFKSTEAAPSLNAAGVTGTQLTVESTDSHP LRESKLVRYYPGDPNTYKTMKSRSFVYTEGRKLVRGLSNWSAEYSSIMDQAWNATEWRCQ VQRITRSRSTDIPYIVSEAASQDELEDEHRGSLLDPQISRSALTVSDRPEKENLLSVKPH QTLGFPCLRSRSLHGRPRSAEPAPSKLDRAGHASSTSNLAVMSVVPEGQNTQQEKRSAET EC >ENSMUSP00000145708.1 pep:known chromosome:GRCm38:7:64153845:64226739:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000205348.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MGSMRKMSSSFKRGSIKSSTSGSQKGQKAWIEKTFCKRECIFVIPSTKDPNRCCCGQLTN QHIPPLPSGAPSTTGEDTKQADTQSGKWSVSKHTQSYPTDSYGILEFQGGGYSNKAMYIR VSYDTKPDSLLHLMVKDWQLELPKLLISVHGGLQSFEMQPKLKQVFGKGLIKAAMTTGAW IFTGGVSTGVVSHVGDALKDHSSKSRGRLCAIGIAPWGMVENKEDLIGKDVTRVYQTMSN PLSKLSVLNNSHTHFILADNGTLGKYGAEVKLRRQLEKHISLQKINTRLGQGVPVVGLVV EGGPNVVSIVLEYLKEDPPVPVVVCDGSGRASDILSFAHKYCDEGGVINESLRDQLLVTI QKTFNYSKSQSYQLFAIIMECMKKKELVTVFRMGSEGQQDVEMAILTALLKGTNASAPDQ LSLALAWNRVDIARSQIFVFGPHWPPPPRGEEKEKARRKAK >ENSMUSP00000146226.1 pep:known chromosome:GRCm38:7:64153845:64269132:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000206277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MGSMRKMSSSFKRGSIKSSTSGSQKGQKAWIEKTFCKRECIFVIPSTKDPNRCCCGQLTN QHIPPLPSGAPSTTGEDTKQADTQSGKWSVSKHTQSYPTDSYGILEFQGGGYSNKAMYIR VSYDTKPDSLLHLMVKDWQLELPKLLISVHGGLQSFEMQPKLKQVFGKGLIKAAMTTGAW IFTGGVSTGVVSHVGDALKDHSSKSRGRLCAIGIAPWGMVENKEDLIGKDVTRVYQTMSN PLSKLSVLNNSHTHFILADNGTLGKYGAEVKLRRQLEKHISLQKINTRLGQGVPVVGLVV EGGPNVVSIVLEYLKEDPPVPVVVCDGSGRASDILSFAHKYCDEGGVINESLRDQLLVTI QKTFNYSKSQSYQLFAIIMECMKKKELVTVFRMGSEGQQDVEMAILTALLKGTNASAPDQ LSLALAWNRVDIARSQIFVFGPHWPPLGSLAPPVDTKATEKEKKPPTATTKGRGKGKGKK KGKVKEEVEEETDPRKLELLNWVNALEQAMLDALVLDRVDFVKLLIENGVNMQHFLTIPR LEELYNTRLGPPNTLHLLVRDVKKSNLPPDYHISLIDIGLVLEYLMGGAYRCNYTRKSFR TLYNNLFGPKRPKALKLLGMEDDEPPAKGKKKKKKKKEEEIDIDVDDPAVSRFQYPFHEL MVWAVLMKRQKMAVFLWQRGEECMAKALVACKLYKAMAHESSESELVDDISQDLDNNSKD FGQLAVELLDQSYKHDEQVAMKLLTYELKNWSNSTCLKLAVAAKHRDFIAHTCSQMLLTD MWMGRLRMRKNPGLKVIMGILIPPTILFLEFRTYDDFSYQTSKENEDGKEKEEENVDANA DAGSRKGDEENEHKKQRSIPIGTKICEFYNAPIVKFWFYTISYLGYLLLFNYVILVRMDG WPSPQEWIVISYIVSLALEKIREILMSEPGKLSQKIKVWLQEYWNITDLVAISMFMVGAI LRLQSQPYMGYGRVIYCVDIILWYIRVLDIFGVNKYLGPYVMMIGKMMIDMLYFVVIMLV VLMSFGVARQAILHPEEKPSWKLARNIFYMPYWMIYGEVFADQIDRKTRIHIYAMEINPP CGENLYDEEGKRLPPCIPGAWLTPALMACYLLVANILLVNLLIAVFNNTFFEVKSISNQV WKFQRYQLIMTFHDRPVLPPPMIILSHIYIIIMRLSGRCRKKREGDQEERDRGLKLFLSD EELKKLHEFEEQCVQEHFREKEDEQQSSSDERIRVTSERVENMSMRLEEINERENFMKTS LQTVDLRLSQLEELSGRMVSALENLAGIDRSDLIQARSRASSECEATYLLRQSSINSADG YSLYRYHFNGEELLFEEPALSTSPGTAFRKKTYSFRVKDEDAKSHLDQPSNLHHTPGPSP PATPGRSRLALEGPLSTELRPGSDPGISAGEFDPRADFKSTEAAPSLNAAGVTGTQLTVE STDSHPLRESKLVRYYPGDPNTYKTMKSRSFVYTEGRKLVRGLSNWSAEYSSIMDQAWNA TEWRCQVQRITRSRSTDIPYIVSEAASQDELEDEHRGSLLDPQISRSALTVSDRPEKENL LSVKPHQTLGFPCLRSRSLHGRPRSAEPAPSKLDRAGHASSTSNLAVMSVVPEGQNTQQE KRSAETEC >ENSMUSP00000145593.1 pep:known chromosome:GRCm38:7:64153928:64269132:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000206314.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MGSMRKMSSSFKRGSIKSSTSGSQKGQKAWIEKTFCKRECIFVIPSTKDPNRCCCGQLTN QHIPPLPSGAPSTTGEDTKQADTQSGKWSVSKHTQSYPTDSYGILEFQGGGYSNKAMYIR VSYDTKPDSLLHLMVKDWQLELPKLLISVHGGLQSFEMQPKLKQVFGKGLIKAAMTTGAW IFTGGVSTAPCGENLYDEEGKRLPPCIPGAWLTPALMACYLLVANILLVNLLIAVFNNTF FEVKSISNQVWKFQRYQLIMTFHDRPVLPPPMIILSHIYIIIMRLSGRCRKKREGDQEER DRGLKLFLSDEELKKLHEFEEQCVQEHFREKEDEQQSSSDERIRVTSERVENMSMRLEEI NERENFMKTSLQTVDLRLSQLEELSGRMVSALENLAGIDRSDLIQARSRASSECEATYLL RQSSINSADGYSLYRYHFNGEELLFEEPALSTSPGTAFRKKTYSFRVKDEDAKSHLDQPS NLHHTPGPSPPATPGRSRLALEGPLSTELRPGSDPGISAGEFDPRADFKSTEAAPSLNAA GVTGTQLTVESTDSHPLRESKLVRYYPGDPNTYKTMKSRSFVYTEGRKLVRGLSNWSAEY SSIMDQAWNATEWRCQVQRITRSRSTDIPYIVSEAASQDELEDEHRGSLLDPQISRSALT VSDRPEKENLLSVKPHQTLGFPCLRSRSLHGRPRSAEPAPSKLDRAGHASSTSNLAVMSV VPEGQNTQQEKRSAETEC >ENSMUSP00000146140.1 pep:known chromosome:GRCm38:7:64185552:64269757:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000206263.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MYIRVSYDTKPDSLLHLMVKDWQLELPKLLISVHGGLQSFEMQPKLKQVFGKGLIKAAMT TGAWIFTGGVSTGVVSHVGDALKDHSSKSRGRLCAIGIAPWGMVENKEDLIGKDVTRVYQ TMSNPLSKLSVLNNSHTHFILADNGTLGKYGAEVKLRRQLEKHISLQKINTRLGQGVPVV GLVVEGGPNVVSIVLEYLKEDPPVPVVVCDGSGRASDILSFAHKYCDEGGVINESLRDQL LVTIQKTFNYSKSQSYQLFAIIMECMKKKELVTVFRMGSEGQQDVEMAILTALLKGTNAS APDQLSLALAWNRVDIARSQIFVFGPHWPPLGSLAPPVDTKATEKEKKPPTATTKGRGKG KGKKKGKVKEEVEEETDPRKLELLNWVNALEQAMLDALVLDRVDFVKLLIENGVNMQHFL TIPRLEELYNTRLGPPNTLHLLVRDVKKSNLPPDYHISLIDIGLVLEYLMGGAYRCNYTR KSFRTLYNNLFGPKRPKALKLLGMEDDEPPAKGKKKKKKKKEEEIDIDVDDPAVSRFQYP FHELMVWAVLMKRQKMAVFLWQRGEECMAKALVACKLYKAMAHESSESELVDDISQDLDN NSKDFGQLAVELLDQSYKHDEQVAMKLLTYELKNWSNSTCLKLAVAAKHRDFIAHTCSQM LLTDMWMGRLRMRKNPGLKVIMGILIPPTILFLEFRTYDDFSYQTSKENEDGKEKEEENV DANADAGSRKGDEENEHKKQRSIPIGTKICEFYNAPIVKFWFYTISYLGYLLLFNYVILV RMDGWPSPQEWIVISYIVSLALEKIREILMSEPGKLSQKIKVWLQEYWNITDLVAISMFM VGAILRLQSQPYMGYGRVIYCVDIILWYIRVLDIFGVNKYLGPYVMMIGKMMIDMLYFVV IMLVVLMSFGVARQAILHPEEKPSWKLARNIFYMPYWMIYGEVFADQIDLYAMEINPPCG ENLYDEEGKRLPPCIPGAWLTPALMACYLLVANILLVNLLIAVFNNTFFEVKSISNQVWK FQRYQLIMTFHDRPVLPPPMIILSHIYIIIMRLSGRCRKKREGDQEERDRGLKLFLSDEE LKKLHEFEEQCVQEHFREKEDEQQSSSDERIRVTSERVENMSMRLEEINERENFMKTSLQ TVDLRLSQLEELSGRMVSALENLAGIDRSDLIQARSRASSECEATYLLRQSSINSADGYS LYRYHFNGEELLFEEPALSTSPGTAFRKKTYSFRVKDEDAKSHLDQPSNLHHTPGPSPPA TPGRSRLALEGPLSTELRPGSDPGISAGEFDPRADFKSTEAAPSLNAAGVTGTQLTVEST DSHPLRESKLVRYYPGDPNTYKTMKSRSFVYTEGRKLVRGLSNWSAEYSSIMDQAWNATE WRCQVQRITRSRSTDIPYIVSEAASQDELEDEHRGSLLDPQISRSALTVSDRPEKENLLS VKPHQTLGFPCLRSRSLHGRPRSAEPAPSKLDRAGHASSTSNLAVMSVVPEGQNTQQEKR SAETEC >ENSMUSP00000146257.1 pep:known chromosome:GRCm38:7:64185553:64201969:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000206107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MYIRVSYDTKPDSLLHLMVKDWQLELPKL >ENSMUSP00000145776.1 pep:known chromosome:GRCm38:7:64185555:64218735:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000205731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MYIRVSYDTKPDSLLHLMVKDWQLELPKLLISVHGGLQSFEMQPKLKQVFGKGLIKAAMT TGAWIFTGGVSTGVVSHVGDALKDHSSKSRGRLCAIGIAPWGMVENKEDLIGKDVTRVYQ TMSNPLSKLSVLNNSHTHFILADNGTLGKYGAEVKLRRQLEKHISLQKINTRLGQGVPVV GLVVEGGPNVVSIVLEYLKEDPPVPVVVCDGSGRASDILSFAHKYCDEGGVINESLRDQL LVTIQKTFNYSKSQSYQLFAIIMECMKKKELVTVFRMGSEGQQDVEMAILTALLKGTNAS APDQLSLALAWNRVDIARSQIFVFGPHWPPLGSLAPPVDTKATEKEKKPPTATTKGRGKG KGKKKGKVKEEVEEETDPRKLELLNWVCAHVLVSLPVCLQSSGLAFPKFSGRDSLVTFAS SLPGWGTDGLMSILHGNSVFTSM >ENSMUSP00000146265.1 pep:known chromosome:GRCm38:7:64185584:64218735:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000206706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MVKDWQLELPKLLISVHGGLQSFEMQPKLKQVFGKGLIKAAMTTGAWIFTGGVSTGVVSH VGDALKDHSSKSRGRLCAIGIAPWGMVENKEDLIGKDVTRVYQTMSNPLSKLSVLNNSHT HFILADNGTLGKYGAEVKLRRQLEKHISLQKINTRLGQGVPVVGLVVEGGPNVVSIVLEY LKEDPPVPVVVCDGSGRASDILSFAHKYCDEGGVINESLRDQLLVTIQKTFNYSKSQSYQ LFAIIMECMKKKELVTVFRMGSEGQQDVEMAILTALLKGTNASAPDQLSLALAWNRVDIA RSQIFVFGPHWPPLGSLAPPVDTKATEKEKKPPTATTKGRGKGKGKKKGKVKEEVEEETD PRKLELLNWVCAHVLVSLPVCLQSSGLAFPKFSGRDSLVTFASSLPGWGTDGLMSILHGN SVFTSM >ENSMUSP00000145814.1 pep:known chromosome:GRCm38:7:64185601:64201942:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000205690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MYIRVSYDTKPDSLLHLMV >ENSMUSP00000145995.1 pep:known chromosome:GRCm38:7:64199262:64202085:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000206049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] REMVCQQTHPELPNRLLWDS >ENSMUSP00000146163.1 pep:known chromosome:GRCm38:7:64203062:64208329:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000205684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] XALCYRNCSLGHGGEQGRPDWKRWLGQGVPVVGLVVEGGPN >ENSMUSP00000146323.1 pep:known chromosome:GRCm38:7:64210909:64223760:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000205994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] QIFVFGPHWPVNALEQAMLDALVLDRVDFVKLLIENGVNMQHFLTIPRLEELYNTRLGPP NTLHLLVRDVKKSNLPPDYHISLIDIGLVLEYLMG >ENSMUSP00000146073.1 pep:known chromosome:GRCm38:7:64245024:64268020:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000206848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MACYLLVANILLVNLLIAVFNNTFFEVKSISNQVWKFQRYQLIMTFHDRPVLPPPMIILS HIYIIIMRLSGRCRKKREGDQEERDRGLKLFLSDEELKKLHEFEEQCVQEHFREKEDEQQ SSSDERIRVTSERVENMSMRLEEINERENFMKT >ENSMUSP00000134947.2 pep:known chromosome:GRCm38:7:64185459:64224836:1 gene:ENSMUSG00000030523.18 transcript:ENSMUST00000177102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm1 description:transient receptor potential cation channel, subfamily M, member 1 [Source:MGI Symbol;Acc:MGI:1330305] MYIRVSYDTKPDSLLHLMVKDWQLELPKLLISVHGGLQSFEMQPKLKQVFGKGLIKAAMT TGAWIFTGGVSTGVVSHVGDALKDHSSKSRGRLCAIGIAPWGMVENKEDLIGKDVTRVYQ TMSNPLSKLSVLNNSHTHFILADNGTLGKYGAEVKLRRQLEKHISLQKINTRLGQGVPVV GLVVEGGPNVVSIVLEYLKEDPPVPVVVCDGSGRASDILSFAHKYCDEGGVINESLRDQL LVTIQKTFNYSKSQSYQLFAIIMECMKKKELVTVFRMGSEGQQDVEMAILTALLKGTNAS APDQLSLALAWNRVDIARSQIFVFGPHWPPLGSLAPPVDTKATEKEKKPPTATTKGRGKG KGKKKGKVKEEVEEETDPRKLELLNWVNALEQAMLDALVLDRVDFVKLLIENGVNMQHFL TIPRLEELYNTRLGPPNTLHLLVRDVKKSNLPPDYHISLIDIGLVLEYLMGGAYRCNYTR KSFRTLYNNLFGPKRVELSRHTVSCASQSNMWFLDVLPQKPTCAECNSSPHLSQTDITPP LP >ENSMUSP00000005073.6 pep:known chromosome:GRCm38:5:135980099:135988624:1 gene:ENSMUSG00000004948.12 transcript:ENSMUST00000005073.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zp3 description:zona pellucida glycoprotein 3 [Source:MGI Symbol;Acc:MGI:99215] MASSYFLFLCLLLCGGPELCNSQTLWLLPGGTPTPVGSSSPVKVECLEAELVVTVSRDLF GTGKLVQPGDLTLGSEGCQPRVSVDTDVVRFNAQLHECSSRVQMTKDALVYSTFLLHDPR PVSGLSILRTNRVEVPIECRYPRQGNVSSHPIQPTWVPFRATVSSEEKLAFSLRLMEENW NTEKSAPTFHLGEVAHLQAEVQTGSHLPLQLFVDHCVATPSPLPDPNSSPYHFIVDFHGC LVDGLSESFSAFQVPRPRPETLQFTVDVFHFANSSRNTLYITCHLKVAPANQIPDKLNKA CSFNKTSQSWLPVEGDADICDCCSHGNCSNSSSSQFQIHGPRQWSKLVSRNRRHVTDEAD VTVGPLIFLGKANDQTVEGWTASAQTSVALGLGLATVAFLTLAAIVLAVTRKCHSSSYLV SLPQ >ENSMUSP00000120447.1 pep:known chromosome:GRCm38:5:135980168:135987292:1 gene:ENSMUSG00000004948.12 transcript:ENSMUST00000131563.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zp3 description:zona pellucida glycoprotein 3 [Source:MGI Symbol;Acc:MGI:99215] XLCGGPELCNSQTLWLLPGGTPTPVGSSSPVKVECLEAELVVTVSRDLFGTGKLVQPGDL TLGSEGCQPRVSVDTDVVRFNAQLHECSSRVQMTKDALVYSTFLLHDPRPVSGLSILRTN RVEVPIECRYPRELEY >ENSMUSP00000124552.1 pep:known chromosome:GRCm38:1:75168646:75170752:1 gene:ENSMUSG00000026197.12 transcript:ENSMUST00000162768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand2b description:zinc finger, AN1 type domain 2B [Source:MGI Symbol;Acc:MGI:1916068] MEFPDLGAHCSEPSCQRLDFLPLKCDACSGIFCADHVAYAQHHCGSAYQKDIQVPVCPLC NVPVPVARGEPPDRAVGEHIDRDCRSDPAQQKRKIFTNKCERSGCRQREMMKLTCDRCGR NFCIKHRHPLDHECSGEGHQTSRAGLAAISRAQGLASTSTAPSPSRTLPSSSSPSRATPQ LPTRTASPVIALQNGLSEDEALQRALELSLAEAKPQVL >ENSMUSP00000125086.1 pep:known chromosome:GRCm38:1:75168646:75171629:1 gene:ENSMUSG00000026197.12 transcript:ENSMUST00000160439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand2b description:zinc finger, AN1 type domain 2B [Source:MGI Symbol;Acc:MGI:1916068] MEFPDLGAHCSEPSCQRLDFLPLKCDACSGIFCADHVAYAQHHCGSAYQKDIQVPVCPLC NVPVPVARGEPPDRAVGEHIDRDCRSDPAQQKRKIFTNKCERSGCRQREMMKLTCDRCGR NFCIKHRHPLDHECSGEGHQTSRAGLAAISRAQGLASTSTAPSPSRTLPSSSSPSRATPQ LPTRTASPVIALQNGLSEDEALQRALELSLAEAKPQVLSSQEEDDLALAQALSASEAEYQ QQQAQSRSLKPSNCSLC >ENSMUSP00000027394.5 pep:known chromosome:GRCm38:1:75168655:75171625:1 gene:ENSMUSG00000026197.12 transcript:ENSMUST00000027394.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand2b description:zinc finger, AN1 type domain 2B [Source:MGI Symbol;Acc:MGI:1916068] MEFPDLGAHCSEPSCQRLDFLPLKCDACSGIFCADHVAYAQHHCGSAYQKDIQVPVCPLC NVPVPVARGEPPDRAVGEHIDRDCRSDPAQQKRKIFTNKCERSGCRQREMMKLTCDRCGR NFCIKHRHPLDHECSGEGHQTSRAGLAAISRAQGLASTSTAPSPSRTLPSSSSPSRATPQ LPTRTASPVIALQNGLSEDEALQRALELSLAEAKPQVLSSQEEDDLALAQALSASEAEYQ QQQAQSRSLKPSNCSLC >ENSMUSP00000139757.1 pep:known chromosome:GRCm38:1:75168928:75171402:1 gene:ENSMUSG00000026197.12 transcript:ENSMUST00000186227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand2b description:zinc finger, AN1 type domain 2B [Source:MGI Symbol;Acc:MGI:1916068] XGGAGRLGLGTADFLPLKCDACSGIFCADHVAYAQHHCGSAYQKDIQVPVCPLCNVPVPV ARGEPPDRAVGEHIDRDCRSDPAQQKRKIFTNKCERSGCRQREMMKLTCDRCGRNFCIKH RHPLDHECSGEGHQTSRAGLAAISRAQGLASTSTAPSPSRTLPSSSSPSRATPQLPTRTA SPVIALQNGLSEDEALQRALELSLAEAKPQVLSSQEEDDLALAQALSASEAEYQQQQGPQ GKEAQRSLDSSAKQE >ENSMUSP00000000187.5 pep:known chromosome:GRCm38:6:127015586:127028187:1 gene:ENSMUSG00000000183.6 transcript:ENSMUST00000000187.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf6 description:fibroblast growth factor 6 [Source:MGI Symbol;Acc:MGI:95520] MALGQRLFITMSRGAGRVQGTLQALVFLGVLVGMVVPSPAGARANGTLLDSRGWGTLLSR SRAGLAGEISGVNWESGYLVGIKRQRRLYCNVGIGFHLQVPPDGRISGTHEENPYSLLEI STVERGVVSLFGVKSALFIAMNSKGRLYTTPSFHDECKFRETLLPNNYNAYESDLYRGTY IALSKYGRVKRGSKVSPIMTVTHFLPRI >ENSMUSP00000048225.5 pep:known chromosome:GRCm38:6:108065045:108077122:1 gene:ENSMUSG00000034639.7 transcript:ENSMUST00000049246.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setmar description:SET domain without mariner transposase fusion [Source:MGI Symbol;Acc:MGI:1921979] MSAEGVEKLSLEIAASEEESVAPTEQQDVACGLENLPVSLWPLGAEPRPKPFQYTPDHVA GPGADIDPTQITFPGCACIETPCVPGTCSCLRHENNYDDNLCLRDVGSEGKYAKPVFECN VLCQCGMRCRNRVVQNGLHFLLQVFQTEKKGWGLRTLEFIPKGRFVCEYAGEVLGFSEVQ RRIHLQTSHDSNYIIAVREHIYSGQIMETFVDPTYIGNIGRFLNHSCEPNLLMIPVRIDS MVPKLALFAAKDILPGEELSYDYSGRFLNQVSSKDKEKIDCSPPRKPCYCGAQSCTTFLP YDSSLYMAP >ENSMUSP00000145263.1 pep:known chromosome:GRCm38:6:108065062:108077122:1 gene:ENSMUSG00000034639.7 transcript:ENSMUST00000138140.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Setmar description:SET domain without mariner transposase fusion [Source:MGI Symbol;Acc:MGI:1921979] MSAEGVEKLSLEIAASEEESVAPTEQQDVACGLENLPVSLWPLGAEPRPKPFQLLLKTPQ >ENSMUSP00000020794.5 pep:known chromosome:GRCm38:11:87109234:87124635:1 gene:ENSMUSG00000020492.11 transcript:ENSMUST00000020794.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ska2 description:spindle and kinetochore associated complex subunit 2 [Source:MGI Symbol;Acc:MGI:1913390] MEAEVDKLELMFQKADSDLDYLQYRLEYEVKTNHPHSAGEKNAVTVLKELSAIKSRYQAL CARFKAVSVEQKETKSCICATLNKTMTMIQELQKQTNLELTLLTEEEKAATEPLKSHMPD >ENSMUSP00000123639.2 pep:known chromosome:GRCm38:11:73199460:73224502:1 gene:ENSMUSG00000005951.13 transcript:ENSMUST00000131927.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Shpk description:sedoheptulokinase [Source:MGI Symbol;Acc:MGI:1921887] MASRPVTLGIDLGTTSVKAALLEAAPSLPSGFVVLASCARAARAETESAVAGPQGREQDV TRIIQALNECLDALPRQQLQRVRGIGVSGQMHGILFWKAGQATSLEVLLKISRSQPAAFL FGVCFWEGRSVLTAADSC >ENSMUSP00000006105.6 pep:known chromosome:GRCm38:11:73199460:73224511:1 gene:ENSMUSG00000005951.13 transcript:ENSMUST00000006105.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shpk description:sedoheptulokinase [Source:MGI Symbol;Acc:MGI:1921887] MASRPVTLGIDLGTTSVKAALLEAAPSLPSGFVVLASCARAARAETESAVAGPQGREQDV TRIIQALNECLDALPRQQLQRVRGIGVSGQMHGILFWKAGQGCEWMEGGPAFVFEPRAVS HLVTWQDGRCNSSFLASLPKPDSHLSVATGFGCATIFWLLKNSPEFLKSYDAAGTIQDYV VAMLCGLPRPLMSDQNAASWGYFNTQSQSWNLDTLEKAGFPIHLLPDIAEPGSMAGRTSH TWFEIPKGTQVGIALGDLQASVYSCMGQRTDAVLNISTSVQLAASMPVGFQPLQTPDPAA PVAFFPYFDRTYLGVAASLNGGNVLATFVHMLVQWMADLGLEVEESTVYSRMIQAAAQQK DTHLTITPTVLGERHLPDQLASVTRISSSDLSLGHVTRALCRGIVQNLHSMLPFQQLKEW GVARVVGSGSALSRNEVLKQEVQRAFPFPVCFGQDVDAAFGAALVMLQRDLSQKEP >ENSMUSP00000103526.1 pep:known chromosome:GRCm38:11:89035179:89066850:-1 gene:ENSMUSG00000000276.11 transcript:ENSMUST00000107894.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgke description:diacylglycerol kinase, epsilon [Source:MGI Symbol;Acc:MGI:1889276] MEGDQRSGPPAQSLLPDGHLVLWTLCSVLLPVFITLWCSLQRSRRQLHRRDIFRKSKHCW RDTDLFSHPTYCCVCAQHILQGAFCDCCGLRVDEGCLKKVDKRFPCKEIMLKNDKAADAM PHHWIRGNVPLCSYCVFCRQQCGSQPKLCDYRCIWCQKTVHDECMRGSLRSEKCDFGEFR NLIIPPSYLTSINQMRKDKNTNYEGLASKFGKQWTPLIILANSRSGTNMGEGLLGEFKIL LNPVQVFDVTKTPPIKALQLCTLLPYYSVRVLVCGGDGTVGWVLDAIDEMKIKGQEKYIP EVAVLPLGTGNDLSNTLGWGTGYAGEIPVAQVLRNVMEADGIKLDRWKVQVTNKGYYNLR KPKEFTMNNYFSVGPDALMALNFHAHREKAPSLFSSRILNKAVYLFYGTKDCLVQECKDL NKKIELELDGERVELPNLEGIIVLNIGYWGGGCRLWEGMGDETYPLARHDDGLLEIVGVY GSFHCAQIQVKLANPFRIGQAHTVRLTLKCSMMPMQVDGEPWAQGPCTVTITHKTHALML YFSGEQSDDDISSPSDHEDVKEAE >ENSMUSP00000116277.1 pep:known chromosome:GRCm38:11:89039220:89060748:-1 gene:ENSMUSG00000000276.11 transcript:ENSMUST00000152772.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgke description:diacylglycerol kinase, epsilon [Source:MGI Symbol;Acc:MGI:1889276] MEGDQRSGPPAQSLLPDGHLVLWTLCSVLLPVFITLWCSLQRSRRQLHRRDIFRKSKHCW RDTDLFSHPTYCCVCAQHILQGAFCDCCGLRVDEGCLKKVDKRFPCKEIMLKNDKAADAM PHHWIRGNVPLCSYCVFCRQQCGSQPKLCDYRCIWCQKTVHDECMRGSLRSEKCDFGEFR NLIIPPSYLTSINQMRKDKNTNYEGLASKFGKQWTPLIILANSRSGTNMGEGLLGEFKIL LNPVQVFDVTKTPPIKALQLCTLLPYYSVRVLVCGGDGTVGWVLDAIDEMKIKGQEKYIP EVAVLPLGTGNDLSNTLGWGTGYAGEIPVAQVLRNVMEADGIKLDRWKVQVTNKGYYNLR KPKEFTMNNYFSVGPDALMALNFHAHREKAPSLFSSRILNKVCWIK >ENSMUSP00000000285.2 pep:known chromosome:GRCm38:11:89037582:89060748:-1 gene:ENSMUSG00000000276.11 transcript:ENSMUST00000000285.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgke description:diacylglycerol kinase, epsilon [Source:MGI Symbol;Acc:MGI:1889276] MEGDQRSGPPAQSLLPDGHLVLWTLCSVLLPVFITLWCSLQRSRRQLHRRDIFRKSKHCW RDTDLFSHPTYCCVCAQHILQGAFCDCCGLRVDEGCLKKVDKRFPCKEIMLKNDKAADAM PHHWIRGNVPLCSYCVFCRQQCGSQPKLCDYRCIWCQKTVHDECMRGSLRSEKCDFGEFR NLIIPPSYLTSINQMRKDKNTNYEGLASKFGKQWTPLIILANSRSGTNMGEGLLGEFKIL LNPVQVFDVTKTPPIKALQLCTLLPYYSVRVLVCGGDGTVGWVLDAIDEMKIKGQEKYIP EVAVLPLGTGNDLSNTLGWGTGYAGEIPVAQVLRNVMEADGIKLDRWKVQVTNKGYYNLR KPKEFTMNNYFSVGPDALMALNFHAHREKAPSLFSSRILNKAVYLFYGTKDCLVQECKDL NKKIELELDGERVELPNLEGIIVLNIGYWGGGCRLWEGMGDETYPLARHDDGLLEIVGVY GSFHCAQIQVKLANPFRIGQAHTVRLTLKCSMMPMQVDGEPWAQGPCTVTITHKTHALML YFSGEQSDDDISSPSDHEDVKEAE >ENSMUSP00000000186.6 pep:known chromosome:GRCm38:6:127072902:127081408:1 gene:ENSMUSG00000000182.9 transcript:ENSMUST00000000186.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf23 description:fibroblast growth factor 23 [Source:MGI Symbol;Acc:MGI:1891427] MLGTCLRLLVGVLCTVCSLGTARAYPDTSPLLGSNWGSLTHLYTATARTSYHLQIHRDGH VDGTPHQTIYSALMITSEDAGSVVITGAMTRRFLCMDLHGNIFGSLHFSPENCKFRQWTL ENGYDVYLSQKHHYLVSLGRAKRIFQPGTNPPPFSQFLARRNEVPLLHFYTVRPRRHTRS AEDPPERDPLNVLKPRPRATPVPVSCSRELPSAEEGGPAASDPLGVLRRGRGDARGGAGG ADRCRPFPRFV >ENSMUSP00000073855.4 pep:known chromosome:GRCm38:7:67730160:67759693:-1 gene:ENSMUSG00000030554.16 transcript:ENSMUST00000074233.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synm description:synemin, intermediate filament protein [Source:MGI Symbol;Acc:MGI:2661187] MLSWRLQTGSEKAELQELNARLYDYVCRVRELERENLLLEEELRSRLSREDRWAEDQALY AEEARSLRQQLDELNWSTALAEGERDALRRELLELQREGVEAGTARSRLDAELGAQRREL EEALGARAALEALLGRLETERRDLDAAHERQVRDLRARAASLTMHFRARATSPAAPPPRL RDVHDSYALLVAESWRESVQLYEDEVRELEQALRRGQESRLQAEDEARLCAQEADALRNQ ALELEQLRARLEDELLRMREEYGMQAEERQRVIDSLEDEKEALTLAMADRLRDYQELLQV KTGLSLEVATYRALLEGESNPEILIWTENIENVPQEPRNTSYRYTNSVLQRKNEKNLFPR RKTPWAAVNHSSASYSNWPGHLDSQTTTAVGSAARRGLLTSRHSSSATTSGQQKPLEKTI SSRANLRPVTPTHGFLRNTDAQMKTLPHRSKVEGTGDTHARRATESVITRESYRGHQGHV AAGAVSSTPSNERTVILGKKLEAQATKEQERDRSGVIRIKPEEKMFDSKEKASEERNLRW EELTKLDRDARKRESRHLRDEAREKEALKERSVKEREVPISLEVSRGSRAEVSTIHLQSP GRKDVSHSGGREAETKETRFRLDTQDTASSLQSDSTTETIAESIVTTILKQFTQSPGAEE EATSFPDTKVTYVDRKEFPGDGKTKTEIVVESKLTDVVDVSDEAGLDYLLSKDVKEVGLK GKSTETMIGEMINLGLKGREGRAKVVNVEIVEEPMSYIGGGKIDFSTPFQVEEVDDVSPS PKGFVEEEDGEGETHMAFSMRPHQTKQPQGTIPHVEEVTEAGDSEGEQSYFVSTPDEYPG GHDREDDGSVYGQIHIEEESTIRYSWQDEIAQGTWRRKMRGDVGGEKPVKVLEVPALSLG GAIGSAHLKEEASGELRAEPTVIEKEIKIPHEFHTSIKGVFSSEPRHQLVEVIGQLEETL PERMKEELSALTRQSQGESGSVSVDVKKVQSAAGGSVTLMAEVNLSQTVDADQLDLEQLS RDEAGEIERAVESVVRESLAKRSSPVPRSPDREDGEEVPAGGILFKRWATRELYSPSGER DDAGQVSPSSDQRVTQGPVSATVEVTSPTGFVQSHVLEDVSQSVRHVKLGPTEMWRTEQV TFGGPTAQVVEVSGDFSEAVSSEGASRSVRHITLGPHQSQVSTEVIFRGSVPTWQETGDT EKPGPVVLSVGADISGSGRMPGSERSHTEKEIRFQGPVSGTAQVGGNFATEESVGSQTFV RSLQLGPKEGFREEIQFIAPIPDKVGWGEEDSEHTKVSLERATSIQRIDIVPQRYLASKQ MAPQTLEFRDSEDMVMVEGSAGTIQATHNFTSDREILQNKENTFQRVISGSPPDSVGDTG AEVTANVSRSFRHIQIGPTEEEPSEYFVTGRPVSKTFVLDGSVASPGLVGGADGGSTPCR IALGPKETSFTFQMDLSDTRAIRSWTRDTGSEVEAHGVSHRGGWRIAHSRDERVASTGSG ASPGDAHQAPGEKGTEQAGFDKTVQLQRMVDQRSVASDEKKVALLYLDNEEEEEEEGEGW F >ENSMUSP00000147089.1 pep:known chromosome:GRCm38:7:67730168:67759693:-1 gene:ENSMUSG00000030554.16 transcript:ENSMUST00000208231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synm description:synemin, intermediate filament protein [Source:MGI Symbol;Acc:MGI:2661187] MLSWRLQTGSEKAELQELNARLYDYVCRVRELERENLLLEEELRSRLSREDRWAEDQALY AEEARSLRQQLDELNWSTALAEGERDALRRELLELQREGVEAGTARSRLDAELGAQRREL EEALGARAALEALLGRLETERRDLDAAHERQVRDLRARAASLTMHFRARATSPAAPPPRL RDVHDSYALLVAESWRESVQLYEDEVRELEQALRRGQESRLQAEDEARLCAQEADALRNQ ALELEQLRARLEDELLRMREEYGMQAEERQRVIDSLEDEKEALTLAMADRLRDYQELLQV KTGLSLEVATYRALLEGESNPEILIWTENIENVPQDGSERHESDPQLDPRHRVGSGSSRR VSPWWLENCTQ >ENSMUSP00000050987.8 pep:known chromosome:GRCm38:7:67730168:67759742:-1 gene:ENSMUSG00000030554.16 transcript:ENSMUST00000051389.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synm description:synemin, intermediate filament protein [Source:MGI Symbol;Acc:MGI:2661187] MLSWRLQTGSEKAELQELNARLYDYVCRVRELERENLLLEEELRSRLSREDRWAEDQALY AEEARSLRQQLDELNWSTALAEGERDALRRELLELQREGVEAGTARSRLDAELGAQRREL EEALGARAALEALLGRLETERRDLDAAHERQVRDLRARAASLTMHFRARATSPAAPPPRL RDVHDSYALLVAESWRESVQLYEDEVRELEQALRRGQESRLQAEDEARLCAQEADALRNQ ALELEQLRARLEDELLRMREEYGMQAEERQRVIDSLEDEKEALTLAMADRLRDYQELLQV KTGLSLEVATYRALLEGESNPEILIWTENIENVPQEPRNTSYRYTNSVLQRKNEKNLFPR RKTPWAAVNHSSASYSNWPGHLDSQTTTAVGSAARRGLLTSRHSSSATTSGQQKPLEKTI SSRANLRPVTPTHGFLRNTDAQMKTLPHRSKVEGTGDTHARRATESVITRESYRGHQGHV AAGAVSSTPSNERTVILGKKLEAQATKEQERDRSGVIRIKPEEKMFDSKEKASEERNLRW EELTKLDRDARKRESRHLRDEAREKEALKERSVKEREVPISLEVSRGSRAEVSTIHLQSP GRKDVSHSGGREAETKETRFRLDTQDTASSLQSDSTTETIAESIVTTILKQFTQSPGAEE EATSFPDTKVTYVDRKEFPGDGKTKTEIVVESKLTDVVDVSDEAGLDYLLSKDVKEVGLK GKSTETMIGEMINLGLKGREGRAKVVNVEIVEEPMSYIGGGKIDFSTPFQVEEVDDVSPS PKGFVEEEDGEGETHMAFSMRPHQTKQPQGTIPHVEEVTEAGDSEGEQSYFVSTPDEYPG GHDREDDGSVYGQIHIEEESTIRYSWQDEIAQGTWRRKMRGDVGGEKPVKVLEVPALSLG GAIGSAHLKEEASGELRAEPTVIEKEIKIPHEFHTSIKGVFSSEPRHQLVEVIGQLEETL PERMKEELSALTRQSQGESGSVSVDVKKVQSAAGGSVTLMAEVNLSQTVDADQLDLEQLS RDEAGEIERAVESVVRESLAKRSSPVPRSPDREDGEEVPAGGILFKRWATRELYSPSGER DDAGQVSPSSDQRVTQGPVSATVEVTSPTGFVQSHVLEDVSQSVRHVKLGPTEMWRTEQV TFGGPTAQVVEMDLSDTRAIRSWTRDTGSEVEAHGVSHRGGWRIAHSRDERVASTGSGAS PGDAHQAPGEKGTEQAGFDKTVQLQRMVDQRSVASDEKKVALLYLDNEEEEEEEGEGWF >ENSMUSP00000147111.1 pep:known chromosome:GRCm38:7:67732588:67759684:-1 gene:ENSMUSG00000030554.16 transcript:ENSMUST00000208815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synm description:synemin, intermediate filament protein [Source:MGI Symbol;Acc:MGI:2661187] MLSWRLQTGSEKAELQELNARLYDYVCRVRELERENLLLEEELRSRLSREDRWAEDQALY AEEARSLRQQLDELNWSTALAEGERDALRRELLELQREGVEAGTARSRLDAELGAQRREL EEALGARAALEALLGRLETERRDLDAAHERQVRDLRARAASLTMHFRARATSPAAPPPRL RDVHDSYALLVAESWRESVQLYEDEVRELEQALRRGQESRLQAEDEARLCAQEADALRNQ ALELEQLRARLEDELLRMREEYGMQAEERQRVIDSLEDEKEALTLAMADRLRDYQELLQV KTGLSLEVATYRALLEGESNPEILIWTENIENVPQEPRNTSYRYTNSVLQRKNEKNLFPR RKTPWAAVNHSSASYSNWPGHLDSQTTTAVGSAARRGLLTSRHSSSATTSGQQKPLEKTI SSRANLRPVTPTHGFLRNTDAQMKTLPHRSKVEGTGDTHARRATESVITRESYRGHQGHV AAGAVSSTPSNERTVILGKKLEAQATKEQERDRSGVIRIKPEEKMFDSKEKASEERNLRW EELTKLDRDARKRESRHLRDEAREKEALKERSVKEREVPISLEVSRGSRAEVSTIHLQSP GRKDVSHSGGREAETKETRFRLDTQDTASSLQSDSTTETIAESIVTTILKQFTQSPGGGG GGRRVVLMNATILS >ENSMUSP00000146510.1 pep:known chromosome:GRCm38:7:67732750:67740594:-1 gene:ENSMUSG00000030554.16 transcript:ENSMUST00000207102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Synm description:synemin, intermediate filament protein [Source:MGI Symbol;Acc:MGI:2661187] MKTLPHRSKVEGTGDTHARRATESVITRESYRGHQGHVAAGAVSSTPSNERTVILGKKLE AQATKEQERDRSGVIRIKPEEKMFDSKEKASEERNLRWEELTKLDRDARKRESRHLRDEA REKEALKERSVKEREVPISLEVSRGSRAEVSTIHLQSPGRKDVSHSGGREAETKETRFRL DTQDTASSLQSDSTTETIAESIVTTILKQFTQSPGAEEEATSFPDTKVTYVDRKEFPGDG KTKTEIVVESKLTDVVDVSDEAGLDYLLSKDVKEVGLKGKSTETMIGEMINLGLKGREGR AKVVNVEIVEEPMSYIGGGKIDFSTPFQVEEVDDVSPSPKGFVEEEDGEGETHMAFSMRP HQTKQPQGTIPHVEEVTEAGDSEGEQSYFVSTPDEYPGGHDREDDGSVYGQIHIEEESTI RYSWQDEIAQGTWRRKMRGDVGGEKPVKVLEVPALSLGGAIGSAHLKEEASGELRAEPTV IEKEIKIPHEFHTSIKGVFSSEPRHQLVEVIGQLEETLPERMKEELSALTRQSQGESGSV SVDVKKVQSAAGGSVTLMAEVNLSQTVDADQLDLEQLSRDEAGEIERAVESVVRESLAKR SSPVPRSPDREDGEEVPAGGILFKRWATRELYSPSGERDDAGQVSPSSDQRVTQGPVSAT VEVTSPTGFVQSHVLEDVSQSVRHVKLGPTEMWRTEQVTFGGPTAQVVEMDLSDTRAIRS WTRDTGSEVEAHGVSHRGGWRIAHSRDERVASTGSGASPGDAHQAPGEKGTEQAGFDKTV QLQRMVDQRSVASDEKKVALLYLDNEEEEEEEGEGWF >ENSMUSP00000102471.1 pep:known chromosome:GRCm38:4:103114395:103165754:1 gene:ENSMUSG00000028522.16 transcript:ENSMUST00000106858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier1 description:mesoderm induction early response 1 homolog (Xenopus laevis [Source:MGI Symbol;Acc:MGI:1918398] MFMFNWFTDCLWILFLSNYKPSVESSSPGGSATSEDHEFDPSADMLVHDFDDERTLEEEE MMEGETNFSSEIEDLAREGDMPIHELLSLYGYDSTVRLPEEEEEEEEEEEGEDDEDADND DNSGCSGENKEENIKDSSGQEDETQSSNDDPSQSVTSQDAQEIIRPRRCKYFDTNSEIEE ESEEDEDYIPSEDWKKEIMVGSMFQAEIPVGVCRYKENEKVYENDDQLLWDPECLPEEKV VVFLKDASRRTGDEKGVEAIPEGSHIKDNEQALYELVKCSFDTEEALRRLRFNVKAAREE LSVWTEEECRNFEQGLKAYGKDFHLIQANKVRTRSVGECVAFYYMWKKSERYDFFAQQTR FGKKKYNLHPGVTDYMDRLLDESESAASSRAPSPPPTASNSSNSQSEKEDGAVSSRNQNG VSSNGPGEILNKEEVKVEGLHVNGPTGGNKKPLLTDMDTNGYEANNLTTDPKLAHMTARN ENDFDEKNERPAKRRRINSSGKESPGSSEFFQEAVSHGKFEEHENTND >ENSMUSP00000121587.1 pep:known chromosome:GRCm38:4:103114762:103118302:1 gene:ENSMUSG00000028522.16 transcript:ENSMUST00000143417.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier1 description:mesoderm induction early response 1 homolog (Xenopus laevis [Source:MGI Symbol;Acc:MGI:1918398] MFMFNWFTDCLWILFL >ENSMUSP00000123637.1 pep:known chromosome:GRCm38:4:103115272:103127297:1 gene:ENSMUSG00000028522.16 transcript:ENSMUST00000134533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier1 description:mesoderm induction early response 1 homolog (Xenopus laevis [Source:MGI Symbol;Acc:MGI:1918398] MFMFNWFTDCLWILFLSNYKPSVESSSP >ENSMUSP00000095558.3 pep:known chromosome:GRCm38:4:103115638:103165749:1 gene:ENSMUSG00000028522.16 transcript:ENSMUST00000097945.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier1 description:mesoderm induction early response 1 homolog (Xenopus laevis [Source:MGI Symbol;Acc:MGI:1918398] MCIRCLCLIGLQTVSGFFSCQITSHLLSLQVQGEYKCGLLCENTSLLIANVRRKVSGGSA TSEDHEFDPSADMLVHDFDDERTLEEEEMMEGETNFSSEIEDLAREGDMPIHELLSLYGY DSTVRLPEEEEEEEEEEEGEDDEDADNDDNSGCSGENKEENIKDSSGQEDETQSSNDDPS QSVTSQDAQEIIRPRRCKYFDTNSEIEEESEEDEDYIPSEDWKKEIMVGSMFQAEIPVGV CRYKENEKVYENDDQLLWDPECLPEEKVVVFLKDASRRTGDEKGVEAIPEGSHIKDNEQA LYELVKCSFDTEEALRRLRFNVKAAREELSVWTEEECRNFEQGLKAYGKDFHLIQANKVR TRSVGECVAFYYMWKKSERYDFFAQQTRFGKKKYNLHPGVTDYMDRLLDESESAASSRAP SPPPTASNSSNSQSEKEDGAVSSRNQNGVSSNGPGEILNKEEVKVEGLHVNGPTGGNKKP LLTDMDTNGYEANNLTTDPKLAHMTARNENDFDEKNERPAKRRRINSSGKESPGSSEFFQ EAVSHGKFEEHENTND >ENSMUSP00000102470.1 pep:known chromosome:GRCm38:4:103119286:103165752:1 gene:ENSMUSG00000028522.16 transcript:ENSMUST00000106857.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier1 description:mesoderm induction early response 1 homolog (Xenopus laevis [Source:MGI Symbol;Acc:MGI:1918398] MAEPSVESSSPGGSATSEDHEFDPSADMLVHDFDDERTLEEEEMMEGETNFSSEIEDLAR EGDMPIHELLSLYGYDSTVRLPEEEEEEEEEEEGEDDEDADNDDNSGCSGENKEENIKDS SGQEDETQSSNDDPSQSVTSQDAQEIIRPRRCKYFDTNSEIEEESEEDEDYIPSEDWKKE IMVGSMFQAEIPVGVCRYKENEKVYENDDQLLWDPECLPEEKVVVFLKDASRRTGDEKGV EAIPEGSHIKDNEQALYELVKCSFDTEEALRRLRFNVKAAREELSVWTEEECRNFEQGLK AYGKDFHLIQANKVRTRSVGECVAFYYMWKKSERYDFFAQQTRFGKKKYNLHPGVTDYMD RLLDESESAASSRAPSPPPTASNSSNSQSEKEDGAVSSRNQNGVSSNGPGEILNKEEVKV EGLHVNGPTGGNKKPLLTDMDTNGYEANNLTTDPKLAHMTARNENDFDEKNERPAKRRRI NSSGKESPGSSEFFQEAVSHGKFEEHENTND >ENSMUSP00000102468.1 pep:known chromosome:GRCm38:4:103143057:103162754:1 gene:ENSMUSG00000028522.16 transcript:ENSMUST00000106855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier1 description:mesoderm induction early response 1 homolog (Xenopus laevis [Source:MGI Symbol;Acc:MGI:1918398] MVGSMFQAEIPVGVCRYKENEKVYENDDQLLWDPECLPEEKVVVFLKDASRRTGDEKGVE AIPEGSHIKDNEQALYELVKCSFDTEEALRRLRFNVKAAREELSVWTEEECRNFEQGLKA YGKDFHLIQANKVRTRSVGECVAFYYMWKKSERYDFFAQQTRFGKKKYNLHPGVTDYMDR LLDESESAASSRAPSPPPTASNSSNSQSEKEDGAVSSRNQNGVSSNGPGEILNKEEVKVE GLHVNGPTGGNKKPLLTDMDTNGYEANNLTTDPKLAHMTARNENDFDEKNERPAKRRRIN SSGKESPGSSEFFQEAVSHGKFEEHENTND >ENSMUSP00000030247.4 pep:known chromosome:GRCm38:4:103115560:103165749:1 gene:ENSMUSG00000028522.16 transcript:ENSMUST00000030247.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mier1 description:mesoderm induction early response 1 homolog (Xenopus laevis [Source:MGI Symbol;Acc:MGI:1918398] MFMFNWFTDCLWILFLSNYKPSVESSSPGGSATSEDHEFDPSADMLVHDFDDERTLEEEE MMEGETNFSSEIEDLAREGDMPIHELLSLYGYDSTVRLPEEEEEEEEEEEGEDDEDADND DNSGCSGENKEENIKDSSGQEDETQSSNDDPSQSVTSQDAQEIIRPRRCKYFDTNSEIEE ESEEDEDYIPSEDWKKEIMVGSMFQAEIPVGVCRYKENEKVYENDDQLLWDPECLPEEKV VVFLKDASRRTGDEKGVEAIPEGSHIKDNEQALYELVKCSFDTEEALRRLRFNVKAAREE LSVWTEEECRNFEQGLKAYGKDFHLIQANKVRTRSVGECVAFYYMWKKSERYDFFAQQTR FGKKKYNLHPGVTDYMDRLLDESESAASSRAPSPPPTASNSSNSQSEKEDGAVSSRNQNG VSSNGPGEILNKEEVKVEGLHVNGPTGGNKKPLLTDMDTNGYEANNLTTDPKLAHMTARN ENDFDEKNERPAKRRRINSSGKESPGSSEFFQEAVSHGKFEEHENTND >ENSMUSP00000057384.4 pep:known chromosome:GRCm38:10:51685518:51730429:1 gene:ENSMUSG00000019900.17 transcript:ENSMUST00000050455.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx6 description:regulatory factor X, 6 [Source:MGI Symbol;Acc:MGI:2445208] MMYKTHCQCILDNAINGNFEEIQHFLLHFWQGMPDHLLPLLENPVIIDIFCVCDSILYKV LTDVLIPATMQEMPESLLADIRNFAKNWEQWVVSSLENLPEALIDKKIPILRRFVSSLKR QTSFLHLAQIARPALFDQHVVNAMVSDIEKVDLNSIGSQALLTISNSTDTESDIYSEHDS ITVFQELKDLLKKNATVEAFIEWLDTVVEQRVIKMSKQNGRSLKKRAQDFLLKWSFFGAR VMHNLTLNNASSFGSFHLIRMLLDEYILLAMETQFNNDKEQELQNLLDKYMKNSDASKAA FTASPSSCFLANRNKASSLASDTVKNESHVETSYVPLPSSQPGAIPPALHPFSTEDTDNM PLPGQIELSQSTGHLMTPPISPAIASRGSVINQGPMASRPPSVGTVLSAPTHCSTYAEPI YPTLSPANHDFYGTNSNYQTMFRTQSHPASSLYAHRAEHGRCMAWTEQQLSRDFFGGSCA GSPYNCRPPSSYGPSTHTQESHSMQVLNTGSFNFLSNAGAGSCQGSTLPSNSPNGYYGNN INYSEAHRLGSMVNQHVSVISSVRSLPPYSDIHDPLNILDDSSRKQNNSFYADTLSPVAC RTTVVASNLQTQIPSSSSQCMYGTSNQYPVQDSLDSNAASNREMVSSLPPINTVFMGTAA GDT >ENSMUSP00000116057.1 pep:known chromosome:GRCm38:10:51677756:51730429:1 gene:ENSMUSG00000019900.17 transcript:ENSMUST00000122922.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx6 description:regulatory factor X, 6 [Source:MGI Symbol;Acc:MGI:2445208] MAKVRELEEAFVQEQPSPQLPSEIAEECCAQLLGKGLLVYPEDSAYLLAETAAGARSSGE KGGDPGLQVGVKSEMQLNNGNFSSEEEDADTQESKTKAADPQLSQKKSITQMMKDKKKQT QLTLQWLEDNYIVCEGVCLPRCILYAHYLDFCRKEKLEPACAATFGKTIRQKFPLLTTRR LGTRGHSKYHYYGIGIKESSAYYHSVYSGKGLTRFSGSKLKNEGGFTRKYSLSSKTGTLL PEFPSAQHLVYQGCISKDKVDTLIMMYKTHCQCILDNAINGNFEEIQHFLLHFWQGMPDH LLPLLENPVIIDIFCVCDSILYKVLTDVLIPATMQEMPESLLADIRNFAKNWEQWVVSSL ENLPEALIDKKIPILRRFVSSLKRQTSFLHLAQIARPALFDQHVVNAMVSDIEKVDLNSI GSQALLTISNSTDTESDIYSEHDSITVFQELKDLLKKNATVEAFIEWLDTVVEQRVIKMS KQNGRSLKKRAQDFLLKWSFFGARVMHNLTLNNASSFGSFHLIRMLLDEYILLAMETQFN NDKEQELQNLLDKYMKNSDASKAAFTASPSSCFLANRNKASSLASDTVKNESHVETSYVP LPSSQPGAIPPALHPFSTEDTDNMPLPGQIELSQSTGHLMTPPISPAIASRGSVINQGPM ASRPPSVGTVLSAPTHCSTYAEPIYPTLSPANHDFYGTNSNYQTMFRTQSHPASSLYAHR AEHGRCMAWTEQQLSRDFFGGSCAGSPYNCRPPSSYGPSTHTQESHSMQVLNTGSFNFLS NAGAGSCQGSTLPSNSPNGYYGNNINYSEAHRLGSMVNQHVSVISSVRSLPPYSDIHDPL NILDDSSRKQNNSFYADTLSPVACRTTVVASNLQTQIPSSSSQCMYGTSNQYPVQDSLDS NAASNREMVSSLPPINTVFMGTAAGDT >ENSMUSP00000147097.1 pep:known chromosome:GRCm38:7:120126772:120145289:-1 gene:ENSMUSG00000030911.7 transcript:ENSMUST00000208874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zp2 description:zona pellucida glycoprotein 2 [Source:MGI Symbol;Acc:MGI:99214] MARWQRKASVSSPCGRSIYRFLSLLFTLVTSVNSVSLPQSENPAFPGTLICDKDEVRIEF SSRFDMEKWNPSVVDTLGSEILNCTYALDLERFVLKFPYETCTIKVVGGYQVNIRVGDTT TDVRYKDDMYHFFCPAIQAETHEISEIVVCRRDLISFSFPQLFSRLADENQNVSEMGWIV KIGNGTRAHILPLKDAIVQGFNLLIDSQKVTLHVPANATGIVHYVQESSYLYTVQLELLF STTGQKIVFSSHAICAPDLSVACNATHMTLTIPEFPGKLESVDFGQWSIPEDQWHANGID KEATNGLRLNFRKSLLKTKPSEKCPFYQFYLSSLKLTFYFQGNMLSTVIDPECHCESPVS IDELCAQDGFMDFEVYSHQTKPALNLDTLLVGNSSCQPIFKVQSVGLARFHIPLNGCGTR QKFEGDKVIYENEIHALWENPPSNIVFRNSEFRMTVRCYYIRDSMLLNAHVKGHPSPEAF VKPGPLVLVLQTYPDQSYQRPYRKDEYPLVRYLRQPIYMEVKVLSRNDPNIKLVLDDCWA TSSEDPASAPQWQIVMDGCEYELDNYRTTFHPAGSSAAHSGHYQRFDVKTFAFVSEARGL SSLIYFHCSALICNQVSLDSPLCSVTCPASLRSKREANKEDTMTVSLPGPILLLSDVSSS KDANEVCFPWCCGTFF >ENSMUSP00000033207.4 pep:known chromosome:GRCm38:7:120132340:120145290:-1 gene:ENSMUSG00000030911.7 transcript:ENSMUST00000033207.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zp2 description:zona pellucida glycoprotein 2 [Source:MGI Symbol;Acc:MGI:99214] MARWQRKASVSSPCGRSIYRFLSLLFTLVTSVNSVSLPQSENPAFPGTLICDKDEVRIEF SSRFDMEKWNPSVVDTLGSEILNCTYALDLERFVLKFPYETCTIKVVGGYQVNIRVGDTT TDVRYKDDMYHFFCPAIQAETHEISEIVVCRRDLISFSFPQLFSRLADENQNVSEMGWIV KIGNGTRAHILPLKDAIVQGFNLLIDSQKVTLHVPANATGIVHYVQESSYLYTVQLELLF STTGQKIVFSSHAICAPDLSVACNATHMTLTIPEFPGKLESVDFGQWSIPEDQWHANGID KEATNGLRLNFRKSLLKTKPSEKCPFYQFYLSSLKLTFYFQGNMLSTVIDPECHCESPVS IDELCAQDGFMDFEVYSHQTKPALNLDTLLVGNSSCQPIFKVQSVGLARFHIPLNGCGTR QKFEGDKVIYENEIHALWENPPSNIVFRNSEFRMTVRCYYIRDSMLLNAHVKGHPSPEAF VKPGPLVLVLQTYPDQSYQRPYRKDEYPLVRYLRQPIYMEVKVLSRNDPNIKLVLDDCWA TSSEDPASAPQWQIVMDGCEYELDNYRTTFHPAGSSAAHSGHYQRFDVKTFAFVSEARGL SSLIYFHCSALICNQVSLDSPLCSVTCPASLRSKREANKEDTMTVSLPGPILLLSDVSSS KGVDPSSSEITKDIIAKDIASKTLGAVAALVGSAVILGFICYLYKKRTIRFNH >ENSMUSP00000146926.1 pep:known chromosome:GRCm38:7:120132354:120145291:-1 gene:ENSMUSG00000030911.7 transcript:ENSMUST00000207726.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zp2 description:zona pellucida glycoprotein 2 [Source:MGI Symbol;Acc:MGI:99214] MARWQRKASVSSPCGRSIYRFLSLLFTLVTSVNSVSLPQSENPAFPGTLICDKDEVRIEF SSRFDMEKWNPSVVDTLGSEILNCTYALDLERFVLKFPYETCTIKVVGGYQVNIRVGDTT TDVRYKDDMYHFFCPAIQAETHEISEIVVCRRDLISFSFPQLFSRLADENQNVSEMGWIV KIGNGTRAHILPLKDAIVQGFNLLIDSQKVTLHVPANATGIVHYVQESSYLYTVQLELLF STTGQKIVFSSHAICAPDLSVACNATHMTLTIPEFPGKLESVDFGQWSIPEDQWHANGID KEATNGLRLNFRKSLLKTKPSEKCPFYQFYLSSLKLTFYFQGNMLSTVIDPECHCESPVS IDELCAQDGFMDFEVYSHQTKPALNLDTLLVGNSSCQPIFKVQSVGLARFHIPLNGCGTR QKVSSNLW >ENSMUSP00000042019.4 pep:known chromosome:GRCm38:12:84069325:84076019:1 gene:ENSMUSG00000042540.12 transcript:ENSMUST00000046422.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot5 description:acyl-CoA thioesterase 5 [Source:MGI Symbol;Acc:MGI:2384969] MVPTVSLEPTGHSCWDEPLSIAVRGLAPEQPVTLRTALRDEKGALFRAHARYRADSHGEL DLARTPALGGSFSGLEPMGLLWAMEPDRPFWRLIKRDVQTPFVVELEVLDGHEPDGGRLL ARAVHERHFMAPGVRRVPVREGRVRATLFLPPGTGPFPGIIDLFGVGGGLLEYRASLLAG KGFAVMALAYYKYDDLPKVIDILHLEYFEEAVTYLLSHPQVKGPGVGLLGISKGAELSLS MASFLKGITAAVVINGATVNVISTLYYKEESLPGLGMHLERIKVTKDGFKDIIDILNVPL EAPDQKSLIPLERSDTAFLFLVGQDDHNWKSEFYAREASKRLQAHGKEKPQIVCYPKTGH HIEPPYIPWSIAAPHSYFDKPILLGGEPRAHAMAQVDAWQRLQTFFHKHLSGDKRPSPAK L >ENSMUSP00000072322.4 pep:known chromosome:GRCm38:12:84069325:84076020:1 gene:ENSMUSG00000042540.12 transcript:ENSMUST00000072505.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot5 description:acyl-CoA thioesterase 5 [Source:MGI Symbol;Acc:MGI:2384969] MVPTVSLEPTGHSCWDEPLSIAVRGLAPEQPVTLRTALRDEKGALFRAHARYRADSHGEL DLARTPALGGSFSGLEPMGLLWAMEPDRPFWRLIKRDVQTPFVVELEVLDGHEPDGGRLL ARAVHERHFMAPGVRRVPVREGRVRATLFLPPGTGPFPGIIDLFGVGGGLLEYRASLLAG KGFAVMALAYYKYDDLPKVIDILHLEYFEEAVTYLLSHPQVKGPGVGLLGISKGAELSLS MASFLKGITAAVVINGATVNVISTLYYKEESLPGLGMHLERIKVRTTTTGRASSMPERPP NACRRTGRRSPRLSATPKQDTTLNPLTSLGP >ENSMUSP00000054303.5 pep:known chromosome:GRCm38:1:91250311:91285740:1 gene:ENSMUSG00000034343.14 transcript:ENSMUST00000059743.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2f description:ubiquitin-conjugating enzyme E2F (putative) [Source:MGI Symbol;Acc:MGI:1915171] MLTLASKLKRDDGLKGSRTSASTSDSTRRVSVRDKLLVKEVAELEANLPCTCKVHFPDPN KLHCFQLTVSPDEGYYQGGKFQFETEVPDAYNMVPPKVKCLTKIWHPNITETGEICLSLL REHSIDGTGWAPTRTLKDVVWGLNSLFTDLLNFDDPLNIEAAEHHLRDKEDFRDKVDEYI KRYAR >ENSMUSP00000126173.1 pep:known chromosome:GRCm38:1:91250337:91290337:1 gene:ENSMUSG00000034343.14 transcript:ENSMUST00000171165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2f description:ubiquitin-conjugating enzyme E2F (putative) [Source:MGI Symbol;Acc:MGI:1915171] MLTLASKLKRDDGLKGSRTSASTSDSTRRVSVRDKLLVKEVAELEANLPCTCKVHFPDPN KLHCFQLTVSPDEGYYQGGKFQFETEVPDAYNMVPPKVKCLTKIWHPNITETGEICLSLL REHSIDGTGWAPTRTLKDVVWGLNSLFTDLLNFDDPLNIEAAEHHLRDKEDFRDKVDEYI KRYAR >ENSMUSP00000140743.1 pep:known chromosome:GRCm38:1:91250365:91285773:1 gene:ENSMUSG00000034343.14 transcript:ENSMUST00000191368.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2f description:ubiquitin-conjugating enzyme E2F (putative) [Source:MGI Symbol;Acc:MGI:1915171] MLTLASKLKRDDGLKGSRTSASTSDSTRRVSVRDKLLVKEVAELEANLPCTCKVHFPDPN KLHCFQLTVSPDEGYYQGGKFQFETEVPDAYNMVPPKVKCLTKIWHPNITETGEICLSLL REHSIDGTGWAPTRTLKDVVWGLNSLFTDLLNFDDPLNIEAAEHHLRDKEDFRDKVDEYI KRYAR >ENSMUSP00000131330.1 pep:known chromosome:GRCm38:1:91250566:91285927:1 gene:ENSMUSG00000034343.14 transcript:ENSMUST00000171112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2f description:ubiquitin-conjugating enzyme E2F (putative) [Source:MGI Symbol;Acc:MGI:1915171] MLTLASKLKRDDGLKGSRTSASTSDSTRRVSVRDKLLVKEVAELEANLPCTCKVHFPDPN KLHCFQLTVSPDEGYYQGGKFQFETEVPDAYNMVPPKVKCLTKIWHPNITETGEICLSLL REHSIDGTGWAPTRTLKDVVWGLNSLFTDLLNFDDPLNIEAAEHHLRDKEDFRDKVDEYI KRYAR >ENSMUSP00000140316.1 pep:known chromosome:GRCm38:1:91250656:91265237:1 gene:ENSMUSG00000034343.14 transcript:ENSMUST00000191533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2f description:ubiquitin-conjugating enzyme E2F (putative) [Source:MGI Symbol;Acc:MGI:1915171] MLTLASKLKRDDGLKGSRTSASTSDSTRRVSVRDKLLVKEVAELEANLPCTCKVHF >ENSMUSP00000136915.1 pep:known chromosome:GRCm38:1:91250319:91286025:1 gene:ENSMUSG00000034343.14 transcript:ENSMUST00000178627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2f description:ubiquitin-conjugating enzyme E2F (putative) [Source:MGI Symbol;Acc:MGI:1915171] MLTLASKLKRDDGLKGSRTSASTSDSTRRVSVRDKLLVKEVAELEANLPCTCKVHFPDPN KLHCFQLTVSPDEGYYQGGKFQFETEVPDAYNMVPPKVKCLTKIWHPNITETGEICLSLL REHSIDGTGWAPTRTLKDVVWGLNSLFTDLLNFDDPLNIEAAEHHLRDKEDFRDKVDEYI KRYAR >ENSMUSP00000076706.4 pep:known chromosome:GRCm38:4:117215193:117251917:-1 gene:ENSMUSG00000060268.11 transcript:ENSMUST00000077500.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1661 description:predicted gene 1661 [Source:MGI Symbol;Acc:MGI:2686507] MTSVKEQAAISRLLSFLQEWDNAGKGLRNQILTTFIKTNEGKTGPELELEFSQGASLFLI RVTTWLRIIYMTGLELEKILRSIGIFLSAVSSNRYLLEFLEIGGVLTLLEILGVEKINEE DKKESIKLLQIIANTGRKYKELICESYGVRAIAEFLAKSKSEETQEEVQVLLETLVHGNP KYQNQVYKGLIALLPCESPKAQRLALQTLKTAQSIVGATHPSIVDRVLTVLSTVHLEVQY EAIELIKDLIHYDVRLPLLKGLVALLMPPVKETSKLQAKIFSGRTPPWDCPLEVGKASWL TVPHFFRLFDSPDHNPVTRVPAAGCSCQDHWVGLQQEWVIAAS >ENSMUSP00000128613.1 pep:known chromosome:GRCm38:4:117213333:117251917:-1 gene:ENSMUSG00000060268.11 transcript:ENSMUST00000165128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1661 description:predicted gene 1661 [Source:MGI Symbol;Acc:MGI:2686507] MTSVKEQAAISRLLSFLQEWDNAGKGLRNQILTTFIKTNEGKTGPELELEFSQGASLFLI RVTTWLRIIYMTGLELEKILRSIGIFLSAVSSNRYLLEFLEIGGVLTLLEILGVEKINEE DKKESIKLLQIIANTGRKYKELICESYGVRAIAEFLAKSKSEETQEEVQVLLETLVHGNP KYQNQVYKGLIALLPCESPKAQRLALQTLKTAQSIVGATHPSIVDRVLTVLSTVHLEVQY EAIELIKDLIHYDVRLPLLKGLVALLMPPVKETSKLQAKIFSDSSILQTTTQLPVFLQQA AAAKTIGILARNDLNLAVELLNLRVVHGLMSAMGNTDHSNSQRLASLTLEYFVQMFPLVE EQVRKSMGDELYQLFLNDAEILYTKIDSIQADILAANKVNATTDSCECIDNSSISFSTGS NDMNQRGYTNDFEKLHSKSKE >ENSMUSP00000118179.1 pep:known chromosome:GRCm38:1:172082529:172122325:1 gene:ENSMUSG00000026553.17 transcript:ENSMUST00000135192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Copa description:coatomer protein complex subunit alpha [Source:MGI Symbol;Acc:MGI:1334462] MLTKFETKSARVKGLSFHPKRPWILTSLHNGVIQLWDYRMCTLIDKFDEHDGPVRGIDFH KQQPLFVSGGDDYKIKVWNYKLRRCLFTLLGHLDYIRTTFFHHEYPWILSASDDQTIRVW NWQSRTCVCVLTGHNHYVMCAQFHPSEDLVVSASLDQTVRVWDISGLRKKNLSPGAVESD VRGITGVDLFGTTDAVVKHVLEGHDRGVNWAAFHPTMPLIVSGADDRQVKIWRMNESKAW EVDTCRGHYNNVSCAVFHPRQELILSNSEDKSIRVWDMSKRTGVQTFRRDHDRFWVLAAH PNLNLFAAGHDGGMIVFKLERERPAYAVHGNMLHYVKDRFLRQLDFNSSKDVAVMQLRSG SKFPVFNMSYNPAENAVLLCTRASNLENSTYDLYTIPKDADSQNPDAPEGKRSSGLTAVW VARNRFAVLDRMHSLLIKNLKNEITKKIQVPNCDEIFYAGTGNLLLRDADSITLFDVQQK RTLASVKISKVKYVIWSADMSHVALLAKHAIVICNRKLDALCNIHENIRVKSGAWDESGV FIYTTSNHIKYAVTTGDHGIIRTLDLPIYVTRVKGNNVYCLDRECRPRVLTIDPTEFKFK LALINRKYDEVLHMVRNAKLVGQSIIAYLQKKGYPEVALHFVKDEKTRFSLALECGNIEI ALEAAKALDDKNCWEKLGEVALLQGNHQIVEMCYQRTKNFDKLSFLYLITGNLEKLRKMM KIAEIRKDMSGHYQNALYLGDVSERVRILKNCGQKSLAYLSAATHGLDEEAESLKETFDP EKETIPDIDPNAKLLQPPAPIMPLDTNWPLLTVSKGFFEGSIASKGKGGALAADIDIDTV GTEGWGEDAELQLDEDGFVEAPEGLGEDVLGKGQEEGGGWDVEEDLELPPELDVPSGVSG SAEDGFFVPPTKGTSPTQIWCNNSQLPVDHILAGSFETAMRLLHDQVGVIQFGPYKQLFL QTYARGRTTYQALPCLPSMYSYPNRNWKDAGLKNGVPAVGLKLNDLIQRLQLCYQLTTVG KFEEAVEKFRSILLSVPLLVVDNKQEIAEAQQLITICREYIVGLCMEIERKKLPKETLDQ QKRICEMAAYFTHSNLQPVHMILVLRTALNLFFKLKNFKTAATFARRLLELGPKPEVAQQ TRKILSACEKNPTDACQLNYDMHNPFDICAASYRPIYRGKPVEKCPLSGACYSPEFKGQI CRVTTVTEIGKDVIGLRISPLQFR >ENSMUSP00000118899.1 pep:known chromosome:GRCm38:1:172082805:172110744:1 gene:ENSMUSG00000026553.17 transcript:ENSMUST00000124289.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Copa description:coatomer protein complex subunit alpha [Source:MGI Symbol;Acc:MGI:1334462] MLTKFETKSARVKGLSFHPKRPWILTSLHNGVIQLWDYRMCTLIDKFDEHDGLELQTSAL PVHIARALGLYPYNVFPS >ENSMUSP00000027833.5 pep:known chromosome:GRCm38:1:172082832:172122330:1 gene:ENSMUSG00000026553.17 transcript:ENSMUST00000027833.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Copa description:coatomer protein complex subunit alpha [Source:MGI Symbol;Acc:MGI:1334462] MLTKFETKSARVKGLSFHPKRPWILTSLHNGVIQLWDYRMCTLIDKFDEHDGPVRGIDFH KQQPLFVSGGDDYKIKVWNYKLRRCLFTLLGHLDYIRTTFFHHEYPWILSASDDQTIRVW NWQSRTCVCVLTGHNHYVMCAQFHPSEDLVVSASLDQTVRVWDISGLRKKNLSPGAVESD VRGITGVDLFGTTDAVVKHVLEGHDRGVNWAAFHPTMPLIVSGADDRQVKIWRMNESKAW EVDTCRGHYNNVSCAVFHPRQELILSNSEDKSIRVWDMSKRTGVQTFRRDHDRFWVLAAH PNLNLFAAGHDGGMIVFKLERERPAYAVHGNMLHYVKDRFLRQLDFNSSKDVAVMQLRSG SKFPVFNMSYNPAENAVLLCTRASNLENSTYDLYTIPKDADSQNPDAPEGKRSSGLTAVW VARNRFAVLDRMHSLLIKNLKNEITKKIQVPNCDEIFYAGTGNLLLRDADSITLFDVQQK RTLASVKISKVKYVIWSADMSHVALLAKHEHSCPLPLTAIVICNRKLDALCNIHENIRVK SGAWDESGVFIYTTSNHIKYAVTTGDHGIIRTLDLPIYVTRVKGNNVYCLDRECRPRVLT IDPTEFKFKLALINRKYDEVLHMVRNAKLVGQSIIAYLQKKGYPEVALHFVKDEKTRFSL ALECGNIEIALEAAKALDDKNCWEKLGEVALLQGNHQIVEMCYQRTKNFDKLSFLYLITG NLEKLRKMMKIAEIRKDMSGHYQNALYLGDVSERVRILKNCGQKSLAYLSAATHGLDEEA ESLKETFDPEKETIPDIDPNAKLLQPPAPIMPLDTNWPLLTVSKGFFEGSIASKGKGGAL AADIDIDTVGTEGWGEDAELQLDEDGFVEAPEGLGEDVLGKGQEEGGGWDVEEDLELPPE LDVPSGVSGSAEDGFFVPPTKGTSPTQIWCNNSQLPVDHILAGSFETAMRLLHDQVGVIQ FGPYKQLFLQTYARGRTTYQALPCLPSMYSYPNRNWKDAGLKNGVPAVGLKLNDLIQRLQ LCYQLTTVGKFEEAVEKFRSILLSVPLLVVDNKQEIAEAQQLITICREYIVGLCMEIERK KLPKETLDQQKRICEMAAYFTHSNLQPVHMILVLRTALNLFFKLKNFKTAATFARRLLEL GPKPEVAQQTRKILSACEKNPTDACQLNYDMHNPFDICAASYRPIYRGKPVEKCPLSGAC YSPEFKGQICRVTTVTEIGKDVIGLRISPLQFR >ENSMUSP00000123214.1 pep:known chromosome:GRCm38:1:172099736:172106163:1 gene:ENSMUSG00000026553.17 transcript:ENSMUST00000152403.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Copa description:coatomer protein complex subunit alpha [Source:MGI Symbol;Acc:MGI:1334462] XDRQVKIWRMNESKAWEVDTCRGHYNNVSCAVFHPRQELILSNSEDKSIRVWDMSKRTGV QTFRRDHDRFWVLAAHPNLNLFAAGHDGGMIVFKLERERPAYAVHGNMLHYVKDRFLRQL DFNSSKDVAVMQLRRFRGVYEEN >ENSMUSP00000113800.1 pep:known chromosome:GRCm38:2:140229855:140389704:-1 gene:ENSMUSG00000074764.11 transcript:ENSMUST00000122367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sel1l2 description:sel-1 suppressor of lin-12-like 2 (C. elegans) [Source:MGI Symbol;Acc:MGI:2684964] MNPLALLVEILIIIEVTTKNTEAERYNRKQKEVNVTTQVSVSKVKQFLLYLLEQGNANKI TNKRENPLEKKKHQHKLKIKGIQNKNLLKRNQNYFKNPAKKSITDEGDELFKMGNKILQE SKSQKQKTEAYTLFTRAANMGNLKAMEKMADAWLFGSFGMQNITAAIQLYESLAKEGSYK AQNALGFLSSYGIGMEYDQAKALIYYTFGSAGGSMMSQMILGYRYLSGINVLQNCEVALN HYKKVADYIADKLEKSEGIPVEKVRLTERPENLSSNSEILDWDIYQYYKFLAERGDVQIQ VSLGQLHLIGRKGLDQDYSKALYYFLKAAKAGSANAMAFIGKMYFEGNAAAPQNNATAFK YFSMAASKGNAIGLHGLGLLYFHGKGVPVNYGEALKYFQKAAEKGWPNAQFQLGFMYYSG SGVWKDYKLAFKYFYLASQSGQPLAIYYLAEMYATGTGVLRSCRTAVELYKGVCELGHWA EKFLTAYFAYKDGDIDSSLIQYALLAEMGYEVAQSNSAFILESKKAKILGKEKLYPMALL LWNRAAIQGNAFARVKIGDYHYYGYGTKKDYETAATHYSIAADKHHSAQAMFNLAYMYEH GLGIAKDIHLARRLYDMAAQTSPDAHIPVFFALMKLETMHLLHDILFFNFTMKWKWLKLD STVGPYWDLLVIGLIVAMLIFLLRNRHR >ENSMUSP00000112724.1 pep:known chromosome:GRCm38:2:140293853:140389706:-1 gene:ENSMUSG00000074764.11 transcript:ENSMUST00000120133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sel1l2 description:sel-1 suppressor of lin-12-like 2 (C. elegans) [Source:MGI Symbol;Acc:MGI:2684964] MNPLALLVEILIIIEVTTKNTEAERYNRKQKEVNVTTQVSVSKVKQFLLYLLEQGNANKI TNKRENPLEKKKHQHKLKIKGIQNKNLLKRNQNY >ENSMUSP00000123947.1 pep:known chromosome:GRCm38:6:85706727:85713205:-1 gene:ENSMUSG00000089694.2 transcript:ENSMUST00000160534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4477 description:predicted gene 4477 [Source:MGI Symbol;Acc:MGI:3782661] MAPYHIRKYQDSDHRSVVDLFRRGMEEHIPATFRHMLLLPRTLLLLLGVPLTLFLASGSW LLVLLSILTLFLSLWFLAKYTWEKHVMNCLHTDMADITRTYMSSHSSCFWVAESRGQTVG MVAARPVKDPLLQKKQLQLLHLSVSLQHRREGLGKAMVRTVLQFAQMQGFSEVVLSTSML QYAALALYQGMGFQKTGETFYTYLSRLRKSPMINLKYSLTSPWEGDL >ENSMUSP00000136338.1 pep:known chromosome:GRCm38:6:85707173:85707858:-1 gene:ENSMUSG00000089694.2 transcript:ENSMUST00000179613.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4477 description:predicted gene 4477 [Source:MGI Symbol;Acc:MGI:3782661] MAPYHIRKYQDSDHRSVVDLFRRGMEEHIPATFRHMLLLPRTLLLLLGVPLTLFLASGSW LLVLLSILTLFLSLWFLAKYTWEKHVMNCLHTDMADITRTYMSSHSSCFWVAESRGQTVG MVAARPVKDPLLQKKQLQLLHLSVSLQHRREGLGKAMVRTVLQFAQMQGFSEVVLSTSML QYAALALYQGMGFQKTGETFYTYLSRLRKSPMINLKYSLTSPWEGDL >ENSMUSP00000106981.2 pep:known chromosome:GRCm38:2:91257329:91264679:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000111349.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] MAPEEDAGGEVLGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADW ARKWRGAVEKGPQYGTLEKAWHAFFTAAERLSELHLEVREKLHGPDSERVRTWQRGAFHR PVLGGFRESRAAEDGFRKAQKPWLKRLKEVEASKKSYHTARKDEKTAQTRESHAKADSSM SQEQLRKLQERVGRCTKEAEKMKTQYEQTLAELNRYTPRYMEDMEQAFESCQAAERQRLL FFKDVLLTLHQHLDLSSSDKFHELHRDLQQSIEAASDEEDLRWWRSTHGPGMAMNWPQFE EWSLDTQRAISRKEKGGRSPDEVTLTSIVPTRDGTAPPPQSPSSPGSGQDEDWSDEESPR KVATGVRVRALYDYAGQEADELSFRAGEELLKMSEEDEQGWCQGQLQSGRIGLYPANYVE CVGA >ENSMUSP00000054391.4 pep:known chromosome:GRCm38:2:91256162:91264679:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000059566.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] MAPEEDAGGEVLGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADW ARKWRGAVEKGPQYGTLEKAWHAFFTAAERLSELHLEVREKLHGPDSERVRTWQRGAFHR PVLGGFRESRAAEDGFRKAQKPWLKRLKEVEASKKSYHTARKDEKTAQTRESHAKADSSM SQEQLRKLQERVGRCTKEAEKMKTQYEQTLAELNRYTPRYMEDMEQAFESCQAAERQRLL FFKDVLLTLHQHLDLSSSDKFHELHRDLQQSIEAASDEEDLRWWRSTHGPGMAMNWPQFE EWSLDTQRAISRKEKGGRSPDEVTLTSIVPTRDGTAPPPQSPSSPGSGQDEDWSDEESPR KVATGVRVRALYDYAGQEADELSFRAGEELLKMSEEDEQGWCQGQLQSGRIGLYPANYVE CVGA >ENSMUSP00000121297.1 pep:known chromosome:GRCm38:2:91256813:91261246:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000144394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] MAPEEDAGGEVLGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADW ARKWRGAVEKGPQYGTLEKAWHAFFTAAERLSELH >ENSMUSP00000028694.5 pep:known chromosome:GRCm38:2:91256813:91264678:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000028694.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] MAPEEDAGGEVLGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADW ARKWRGAVEKGPQYGTLEKAWHAFFTAAERLSELHLEVREKLHGPDSERVRTWQRGAFHR PVLGGFRESRAAEDGFRKAQKPWLKRLKEVEASKKSYHTARKDEKTAQTRESHAKADSSM SQEQLRKLQERVGRCTKEAEKMKTQYEQTLAELNRYTPRYMEDMEQAFESCQAAERQRLL FFKDVLLTLHQHLDLSSSDKFHELHRDLQQSIEAASDEEDLRWWRSTHGPGMAMNWPQFE EWSLDTQRAISRKEKGGRSPDEVTLTSIVPTRDGTAPPPQSPSSPGSGQDEDWSDEESPR KVATGVRVRALYDYAGQEADELSFRAGEELLKMSEEDEQGWCQGQLQSGRIGLYPANYVE CVGA >ENSMUSP00000122779.1 pep:known chromosome:GRCm38:2:91256838:91261335:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000156919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] MAPEEDAGGEVLGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADW ARKWRGAVEKGPQYGTLEKAWHAFFTAAERLSELHLEVREKLHGPDSERVRTWQRGAFHR PVLGG >ENSMUSP00000117214.1 pep:known chromosome:GRCm38:2:91257335:91261301:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000131711.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] MAPEEDAGGEVLGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADW ARKWRGAVEKGPQYGTLEKAWHAFFTAAERLSELHLEVREKLHGPDSERVRTWQ >ENSMUSP00000115046.1 pep:known chromosome:GRCm38:2:91255954:91261569:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000134699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] MAPEEDAGGEVLGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADW ARKWRGAVEKGPQYGTLEKAWHAFFTAAERLSELHLEVREKLHGPDSERVRTWQRGAFHR PVLGGFRESRAAEDGFRKAQKPWLKRLKEVEASKKSYHTARKDEKTAQT >ENSMUSP00000118915.1 pep:known chromosome:GRCm38:2:91263106:91264359:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000128684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] XDEEDLRWWRSTHGPGMAMNWPQFEEWSLDTQRAISRKEKGGRSPDEVTLTSIVPTRDGT APPPQSPSSPGSGQDEDWSDEESPRKVATGVRVRALYDYAGQEADELSFRAGMLGPFMVL PTSAPVLLARAGL >ENSMUSP00000122769.1 pep:known chromosome:GRCm38:2:91256144:91260541:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000154959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] MAPEEDAGGEVLGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADW ARKW >ENSMUSP00000117230.1 pep:known chromosome:GRCm38:2:91259829:91261598:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000138470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] MAPEEDAGGEVLGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADW ARKWRGAVEKGPQYGTLEKAWHAFFTAAERLSELHLEVREKLHGPDSERVRTWQRGAFHR PVLGGFRESRAAEDGFRKAQKPWLKRLKEVEASKKSYHTARKDEKTAQTRESHAKADS >ENSMUSP00000129175.1 pep:known chromosome:GRCm38:2:91256813:91264679:1 gene:ENSMUSG00000027257.13 transcript:ENSMUST00000168916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin3 description:protein kinase C and casein kinase substrate in neurons 3 [Source:MGI Symbol;Acc:MGI:1891410] MAPEEDAGGEVLGGSFWEAGNYRRTVQRVEDGHRLCGDLVSCFQERARIEKAYAQQLADW ARKWRGAVEKGPQYGTLEKAWHAFFTAAERLSELHLEVREKLHGPDSERVRTWQRGAFHR PVLGGFRESRAAEDGFRKAQKPWLKRLKEVEASKKSYHTARKDEKTAQTRESHAKADSSM SQEQLRKLQERVGRCTKEAEKMKTQYEQTLAELNRYTPRYMEDMEQAFESCQAAERQRLL FFKDVLLTLHQHLDLSSSDKFHELHRDLQQSIEAASDEEDLRWWRSTHGPGMAMNWPQFE EWSLDTQRAISRKEKGGRSPDEVTLTSIVPTRDGTAPPPQSPSSPGSGQDEDWSDEESPR KVATGVRVRALYDYAGQEADELSFRAGEELLKMSEEDEQGWCQGQLQSGRIGLYPANYVE CVGA >ENSMUSP00000026169.6 pep:known chromosome:GRCm38:11:121177591:121204711:-1 gene:ENSMUSG00000025169.6 transcript:ENSMUST00000026169.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfod3 description:2-oxoglutarate and iron-dependent oxygenase domain containing 3 [Source:MGI Symbol;Acc:MGI:1913429] MAPQRRGPPRIPEGSSAAERRRATSTKKDRLPREAQRTWLRIVAFGVGLALVTCLLWSSV GIDDDVAEVVARRGEVLEGRFIEVPCSEDYDGHRRFEGCTPRKCGRGVTDIVITREEAEQ IRRIAEKGLSLGGSDGGASILDLHSGALSVGKHFVNLYRYFGDKIQNIFSEEDFQLYRDI RQKVQLTIAEAFGISASLLYLTKPTFFSRINSTEARTAHDEYWHAHVDKVTYGSFDYTSL LYLSDYLEDFGGGRFVFMEEGSNKTVEPRAGRVSFFTSGSENLHRVEKVLWGTRYAITIA FTCNPDHGIEDPVLT >ENSMUSP00000019638.8 pep:known chromosome:GRCm38:5:138161071:138164646:1 gene:ENSMUSG00000019494.14 transcript:ENSMUST00000019638.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops6 description:COP9 signalosome subunit 6 [Source:MGI Symbol;Acc:MGI:1349439] MAAAAAAGANGSGGSSGMEVDAAVPSVMASGVTGSVSVALHPLVILNISDHWIRMRSQEG RPMQVIGALIGKQEGRNIEVMNSFELLSHTVEEKIIIDKEYYYTKEEQFKQVFKELEFLG WYTTGGPPDPSDIHVHKQVCEIIESPLFLKLNPMTKHTDLPVSVFESVIDIINGEATMLF AELTYTLATEEAERIGVDHVARMTATGSGENSTVAEHLIAQHSAIKMLHSRVKLILEYVK ASEAGEVPFNHEILREAYALCHCLPVLSTDKFKTDFYDQCNDVGLMAYLGTITKTCNTMN QFVNKFNVLYDRQGIGRRMRGLFF >ENSMUSP00000106576.1 pep:known chromosome:GRCm38:5:138161100:138163978:1 gene:ENSMUSG00000019494.14 transcript:ENSMUST00000110951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops6 description:COP9 signalosome subunit 6 [Source:MGI Symbol;Acc:MGI:1349439] MASGVTGSVSVALHPLVILNISDHWIRMRSQEGRPMQVIGALIGKQEGRNIEVMNSFELL SHTVEEKIIIDKEYYYTKEEQFKQVFKELEFLGWYTTGGPPDPSDIHVHKQVCEIIESPL FLKLNPMTKHTDLPVSVFESVIDIINGEATMLFAELTYTLATEEAERIGVDHVARMTATG SGENSTVAEHLIAQHSAIKMLHSRVKLILEYVKASEAGEVPFNHEILREAYALCHCLPVL STDKFKTDFYDQCNDVGLMAYLGTITKTCNTMNQFVNKFNVLYDRQGIGRRMRGLFF >ENSMUSP00000121554.1 pep:known chromosome:GRCm38:5:138162777:138163919:1 gene:ENSMUSG00000019494.14 transcript:ENSMUST00000132639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops6 description:COP9 signalosome subunit 6 [Source:MGI Symbol;Acc:MGI:1349439] GEATMLFAELTYTLATEEAERIGVDHVARMTATGSGENSTVAEHLIAQHSAIKMLHSRVK LILEYVKASEAGEVPFNHEILREAYALCHCLPVLSTDKFKTDFYDVSVDTFDGEVGLTPP HR >ENSMUSP00000061523.5 pep:known chromosome:GRCm38:4:117251951:117268580:1 gene:ENSMUSG00000048772.15 transcript:ENSMUST00000062824.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem53 description:transmembrane protein 53 [Source:MGI Symbol;Acc:MGI:1916027] MASAELDYSIEIPDQPCWSQKNRQGGKEAGKQQPVVILLGWGGCRDKNLAKYSAIYHKRG CIVIRYTAPWHMVFFSESLGIPSLRVIAQKLLELLFDYEIEREPLLFHVFSNAGVMLYRY VLELLQTHQRFRHLHVVGTIFDSGPGDSNLIGALRALATILERRPAVLRLLLLAAFALVV ILFHFLLAPFTALFHTHFYDRLQDSGSCWPELYLYSRADKVVSARDVERMVEARLAHQVM VRGVDFVSSAHVSHLRDYPTYYTSLCVDFMHNCVQC >ENSMUSP00000102042.1 pep:known chromosome:GRCm38:4:117252042:117268582:1 gene:ENSMUSG00000048772.15 transcript:ENSMUST00000106434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem53 description:transmembrane protein 53 [Source:MGI Symbol;Acc:MGI:1916027] MASAELDYSIEIPDQPCWSQSMEGDWEENRQGGKEAGKQQPVVILLGWGGCRDKNLAKYS AIYHKRGCIVIRYTAPWHMVFFSESLGIPSLRVIAQKLLELLFDYEIEREPLLFHVFSNA GVMLYRYVLELLQTHQRFRHLHVVGTIFDSGPGDSNLIGALRALATILERRPAVLRLLLL AAFALVVILFHFLLAPFTALFHTHFYDRLQDSGSCWPELYLYSRADKVVSARDVERMVEA RLAHQVMVRGVDFVSSAHVSHLRDYPTYYTSLCVDFMHNCVQC >ENSMUSP00000102041.1 pep:known chromosome:GRCm38:4:117252340:117268580:1 gene:ENSMUSG00000048772.15 transcript:ENSMUST00000106433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem53 description:transmembrane protein 53 [Source:MGI Symbol;Acc:MGI:1916027] MNPDGKNRQGGKEAGKQQPVVILLGWGGCRDKNLAKYSAIYHKRGCIVIRYTAPWHMVFF SESLGIPSLRVIAQKLLELLFDYEIEREPLLFHVFSNAGVMLYRYVLELLQTHQRFRHLH VVGTIFDSGPGDSNLIGALRALATILERRPAVLRLLLLAAFALVVILFHFLLAPFTALFH THFYDRLQDSGSCWPELYLYSRADKVVSARDVERMVEARLAHQVMVRGVDFVSSAHVSHL RDYPTYYTSLCVDFMHNCVQC >ENSMUSP00000121458.1 pep:known chromosome:GRCm38:4:117252040:117268514:1 gene:ENSMUSG00000048772.15 transcript:ENSMUST00000125943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem53 description:transmembrane protein 53 [Source:MGI Symbol;Acc:MGI:1916027] MNPDGKNRQGGKEAGKQQPVVILLGWGGCRDKNLAKYSAIYHKRGCIVIRYTAPWHMVFF SESLGIPSLRVIAQKLLELLFDYEIEREPLLFHVFSNAGVMLYRYVLELLQTHQRFRHLH VVGTIFDSGPGDSNLIGALRALATILERRPAVLRLLLLAAFALVVILFHFLLAPFTALFH THFYDRLQDSGSCWPELYLYSRADKVVSARDVERMVEARLAHQVMVRGVDFVSSAHVSHL RDYPTYYTSLCV >ENSMUSP00000093431.4 pep:known chromosome:GRCm38:6:85760649:85765744:-1 gene:ENSMUSG00000051262.9 transcript:ENSMUST00000050780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cml3 description:camello-like 3 [Source:MGI Symbol;Acc:MGI:2136449] MAPYHIRKYQGSDHRSVVDLFRRGMEEHIPATFRHMLLLPRTLLLLLGVPLTLFLASGSW LLVLLSILTLFLSLWFLAKYTWEKHVMNCLHTDMADITRTYLSSHSSCFWVAESRGQTVG MVAARPVKDPLLQKKQLQLLHLSVSLQHRREGLGKAMVRTVLQFAQMQGFSEVVLSTSML QYAALALYQGMGFQKTGETFYTYLSRLRKSPMINLKYSLTSPWEGDL >ENSMUSP00000131413.1 pep:known chromosome:GRCm38:6:85760679:85762480:-1 gene:ENSMUSG00000051262.9 transcript:ENSMUST00000168531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cml3 description:camello-like 3 [Source:MGI Symbol;Acc:MGI:2136449] MAPYHIRKYQGSDHRSVVDLFRRGMEEHIPATFRHMLLLPRTLLLLLGVPLTLFLASGSW LLVLLSILTLFLSLWFLAKYTWEKHVMNCLHTDMADITRTYLSSHSSCFWVAESRGQTVG MVAARPVKDPLLQKKQLQLLHLSVSLQHRREGLGKAMVRTVLQFAQMQGFSEVVLSTSML QYAALALYQGMGFQKTGETFYTYLSRLRKSPMINLKYSLTSPWEGDL >ENSMUSP00000136688.1 pep:known chromosome:GRCm38:19:21581202:21652728:-1 gene:ENSMUSG00000035171.9 transcript:ENSMUST00000177577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110059E24Rik description:RIKEN cDNA 1110059E24 gene [Source:MGI Symbol;Acc:MGI:1913456] MSSQKGNVTRSRPQKHQNTFTFKNDKFDKSVQTKVFCHDNEKNN >ENSMUSP00000048395.3 pep:known chromosome:GRCm38:19:21597312:21652976:-1 gene:ENSMUSG00000035171.9 transcript:ENSMUST00000038830.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110059E24Rik description:RIKEN cDNA 1110059E24 gene [Source:MGI Symbol;Acc:MGI:1913456] MSSQKGNVTRSRPQKHQNTFTFKNDKFDKSVQTKKINAKLHDGVCQRCKEVLEWRVKYSK YKPLSKPKKCVKCLQKTVKDSYHIMCRPCACELEVCAKCGKKEEIVIPFNKEPDAPENTE NEGSGHRRRCGRKEDSDEDLDAESDSDGEDGDTQA >ENSMUSP00000136384.1 pep:known chromosome:GRCm38:19:21597701:21652800:-1 gene:ENSMUSG00000035171.9 transcript:ENSMUST00000179553.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1110059E24Rik description:RIKEN cDNA 1110059E24 gene [Source:MGI Symbol;Acc:MGI:1913456] MSSQKGNVTRSRPQKHQNTFTFKNDKFDKSVQTKC >ENSMUSP00000136940.1 pep:known chromosome:GRCm38:19:21598170:21630768:-1 gene:ENSMUSG00000035171.9 transcript:ENSMUST00000178012.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1110059E24Rik description:RIKEN cDNA 1110059E24 gene [Source:MGI Symbol;Acc:MGI:1913456] XMNLTVQKDKQSPGGTVTLSSSTVKSDGEKACVL >ENSMUSP00000136927.1 pep:known chromosome:GRCm38:19:21598325:21652917:-1 gene:ENSMUSG00000035171.9 transcript:ENSMUST00000179768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110059E24Rik description:RIKEN cDNA 1110059E24 gene [Source:MGI Symbol;Acc:MGI:1913456] MSSQKGNVTRSRPQKHQNTFTFKNDKFDKSVQTKKINAKLHDGVCQRCKEVLEWRVKYSK YKPLSKPKKCVKCLQKTVKDSYHIMCRPCACELEVCAKCGKKEEIVIP >ENSMUSP00000137564.1 pep:known chromosome:GRCm38:19:21598551:21652917:-1 gene:ENSMUSG00000035171.9 transcript:ENSMUST00000178523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110059E24Rik description:RIKEN cDNA 1110059E24 gene [Source:MGI Symbol;Acc:MGI:1913456] MSSQKGNVTRSRPQKHQNTFTFKNDKFDKSVQTKC >ENSMUSP00000135998.1 pep:known chromosome:GRCm38:19:21598826:21652610:-1 gene:ENSMUSG00000035171.9 transcript:ENSMUST00000180304.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1110059E24Rik description:RIKEN cDNA 1110059E24 gene [Source:MGI Symbol;Acc:MGI:1913456] XKMGWRCPLASKMNLTVQKDKQSPGGTVTLSSSTVKSDGEKACVL >ENSMUSP00000001927.5 pep:known chromosome:GRCm38:17:75005568:75391767:1 gene:ENSMUSG00000001870.15 transcript:ENSMUST00000001927.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp1 description:latent transforming growth factor beta binding protein 1 [Source:MGI Symbol;Acc:MGI:109151] MAGAWLRWGLLLWAGLLAWSAHGRVRRITYVVRPGPGLPAGALPLAGPPRTFNVALDARY SRSSTAASSRALAGPPAERTRRTSQPGGAALPGLRSPLPPEPARPGGPSRQLHSKAGAQT AVTRFAKHGRQVVRSQVQQDAQSAGGSRLQVQQKQQLQGINVCGGQCCHGWSKPPGSQRC TKPSCVPPCQNGGMCLRPQLCVCKPGSKGKACEITAAQDTMPPAFGGQNPGSSWAPLEQA AKHTSTKKADTLPRVSPVAQMTLTLKPKPSMGLSQQIHPQVAPLSSQNVMIRHGQTQEYL LKPKYFPAPKVVSAEQSTEGSFSLRYGQEQGTAPFQVSNHTGRIKVVFTPSICKVTCTKG NCQNSCQKGNTTTLISENGHAADTLTATNFRVVICHLPCMNGGQCSSRDKCQCPPNFTGK LCQIPVLGASMPKLYQHAQQQGKALGSHVIHSTHTLPLTMTSQQGVKVKFPPNIVNIHVK HPPEASVQIHQVSRIDSPGGQKVKEAQPGQSQVSYQGLPVQKTQTVHSTYSHQQLIPHVY PVAAKTQLGRCFQETIGSQCGKALPGLSKQEDCCGTVGTSWGFNKCQKCPKKQSYHGYTQ MMECLQGYKRVNNTFCQDINECQLQGVCPNGECLNTMGSYRCSCKMGFGPDPTFSSCVPD PPVISEEKGPCYRLVSPGRHCMHPLSVHLTKQICCCSVGKAWGPHCEKCPLPGTAAFKEI CPGGMGYTVSGVHRRRPIHQHIGKEAVYVKPKNTQPVAKSTHPPPLPAKEEPVEALTSSW EHGPRGAEPEVVTAPPEKEIPSLDQEKTRLEPGQPQLSPGVSTIHLHPQFPVVVEKTSPP VPVEVAPEASTSSASQVIAPTQVTEINECTVNPDICGAGHCINLPVRYTCICYEGYKFSE QLRKCVDIDECAQVRHLCSQGRCENTEGSFLCVCPAGFMASEEGTNCIDVDECLRPDMCR DGRCINTAGAFRCEYCDSGYRMSRRGYCEDIDECLKPSTCPEEQCVNTPGSYQCVPCTEG FRGWNGQCLDVDECLQPKVCTNGSCTNLEGSYMCSCHRGYSPTPDHRHCQDIDECQQGNL CMNGQCRNTDGSFRCTCGQGYQLSAAKDQCEDIDECEHHHLCSHGQCRNTEGSFQCVCNQ GYRASVLGDHCEDINECLEDSSVCQGGDCINTAGSYDCTCPDGFQLNDNKGCQDINECAQ PGLCGSHGECLNTQGSFHCVCEQGFSISADGRTCEDIDECVNNTVCDSHGFCDNTAGSFR CLCYQGFQAPQDGQGCVDVNECELLSGVCGEAFCENVEGSFLCVCADENQEYSPMTGQCR SRVTEDSGVDRQPREEKKECYYNLNDASLCDNVLAPNVTKQECCCTSGAGWGDNCEIFPC PVQGTAEFTEMCPRGKGLVPAGESSYDTGGENYKDADECLLFGEEICKNGYCLNTQPGYE CYCKQGTYYDPVKLQCFDMDECQDPNSCIDGQCVNTEGSYNCFCTHPMVLDASEKRCVQP TESNEQIEETDVYQDLCWEHLSEEYVCSRPLVGKQTTYTECCCLYGEAWGMQCALCPMKD SDDYAQLCNIPVTGRRRPYGRDALVDFSEQYGPETDPYFIQDRFLNSFEELQAEECGILN GCENGRCVRVQEGYTCDCFDGYHLDMAKMTCVDVNECSELNNRMSLCKNAKCINTEGSYK CLCLPGYIPSDKPNYCTPLNSALNLDKESDLE >ENSMUSP00000108135.1 pep:known chromosome:GRCm38:17:75178810:75391336:1 gene:ENSMUSG00000001870.15 transcript:ENSMUST00000112516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp1 description:latent transforming growth factor beta binding protein 1 [Source:MGI Symbol;Acc:MGI:109151] MDTKLMCLLFFLCLPLLLVSNHTGRIKVVFTPSICKVTCTKGNCQNSCQKGNTTTLISEN GHAADTLTATNFRVVICHLPCMNGGQCSSRDKCQCPPNFTGKLCQIPVLGASMPKLYQHA QQQGKALGSHVIHSTHTLPLTMTSQQGVKVKFPPNIVNIHVKHPPEASVQIHQVSRIDSP GGQKVKEAQPGQSQVSYQGLPVQKTQTVHSTYSHQQLIPHVYPVAAKTQLGRCFQETIGS QCGKALPGLSKQEDCCGTVGTSWGFNKCQKCPKKQSYHGYTQMMECLQGYKRVNNTFCQD INECQLQGVCPNGECLNTMGSYRCSCKMGFGPDPTFSSCVPDPPVISEEKGPCYRLVSPG RHCMHPLSVHLTKQICCCSVGKAWGPHCEKCPLPGTAAFKEICPGGMGYTVSGVHRRRPI HQHIGKEAVYVKPKNTQPVAKSTHPPPLPAKEEPVEALTSSWEHGPRGAEPEVVTAPPEK EIPSLDQEKTRLEPGQPQLSPGVSTIHLHPQFPVVVEKTSPPVPVEVAPEASTSSASQVI APTQVTEINECTVNPDICGAGHCINLPVRYTCICYEGYKFSEQLRKCVDIDECAQVRHLC SQGRCENTEGSFLCVCPAGFMASEEGTNCIDVDECLRPDMCRDGRCINTAGAFRCEYCDS GYRMSRRGYCEDIDECLKPSTCPEEQCVNTPGSYQCVPCTEGFRGWNGQCLDVDECLQPK VCTNGSCTNLEGSYMCSCHRGYSPTPDHRHCQDIDECQQGNLCMNGQCRNTDGSFRCTCG QGYQLSAAKDQCEDIDECEHHHLCSHGQCRNTEGSFQCVCNQGYRASVLGDHCEDINECL EDSSVCQGGDCINTAGSYDCTCPDGFQLNDNKGCQDINECAQPGLCGSHGECLNTQGSFH CVCEQGFSISADGRTCEDIDECVNNTVCDSHGFCDNTAGSFRCLCYQGFQAPQDGQGCVD VNECELLSGVCGEAFCENVEGSFLCVCADENQEYSPMTGQCRSRVTEDSGVDRQPREEKK ECYYNLNDASLCDNVLAPNVTKQECCCTSGAGWGDNCEIFPCPVQGTAEFTEMCPRGKGL VPAGESSYDTGGENYKDADECLLFGEEICKNGYCLNTQPGYECYCKQGTYYDPVKLQCFD MDECQDPNSCIDGQCVNTEGSYNCFCTHPMVLDASEKRCVQPTESNEQIEETDVYQDLCW EHLSEEYVCSRPLVGKQTTYTECCCLYGEAWGMQCALCPMKDSDDYAQLCNIPVTGRRRP YGRDALVDFSEQYGPETDPYFIQDRFLNSFEELQAEECGILNGCENGRCVRVQEGYTCDC FDGYHLDMAKMTCVDVNECSELNNRMSLCKNAKCINTEGSYKCLCLPGYIPSDKPNYCTP LNSALNLDKESDLE >ENSMUSP00000116890.1 pep:known chromosome:GRCm38:17:75178810:75348933:1 gene:ENSMUSG00000001870.15 transcript:ENSMUST00000135447.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp1 description:latent transforming growth factor beta binding protein 1 [Source:MGI Symbol;Acc:MGI:109151] MDTKLMCLLFFLCLPLLLVSNHTGRIKVVFTPSICKVTCTKGNCQNSCQKGNTTTLISEN GHAADTLTATNFRVVICHLPCMNGGQCSSRDKCQCPPNFTGKLCQIPVLGASMPKLYQHA QQQGKALGSHVIHSTHTLPLTMTSQQGVKVKFPPNIVNIHVKHPPEASVQIHQVSRIDSP GGQKVKEAQPGQSQVSYQGLPVQKTQTVHSTYSHQQLIPHVYPVAAKTQLGRCFQETIGS QCGKALPGLSKQEDCCGTVGTSWGFNKCQKCPKKQSYHGYTQMMECLQGYKRVNNTFCQD INECQLQGVCPNGECLNTMGSYRCSCKMGFGPDPTFSSCVPDPPVISEEKGPCYRLVSPG RHCMHPLSVHLTKQICCCSVGKAWGPHCEKCPLPGTAAFKEICPGGMGYTVSGVHRRRPI HQHIGKEAVYVKPKNTQPVAKSTHPPPLPAKEEPVEALTSSWEHGPRGAEPEVVTAPPEK EIPSLDQEKTRLEPGQPQLSPGVSTIHLHPQFPVVVEKTSPPVPVEVAPEASTSSASQVI APTQVTEINECTVNPDICGAGHCINLPVRYTCICYEGYKFSEQLRKCVDIDECAQVRHLC SQGRCENTEGSFLCVCPAGFMASEEGTNCIDVDECLRPDMCRDGRCINTAGAFRCEYCDS GYRMSRRGYCEDIDECLKPSTCPEEQCVNTPGSYQCVPCTEGFRGWNGQCLDVDECLQPK VCTNGSCTNLEGSYMCSCHRGYSPTPDHRHCQDIDECQQGNLCMNGQCRNTDGSFRCTCG QGYQLSAAKDQCEDIDECEHHHLCSHGQCRNTEGSFQCVCNQGYRASVLGDHCEDINECL EDSSVCQGGDCINTAGSYDCTCPDGFQLNDNKGCQDINECAQPGLCGSHGECLNTQGSFH CVCEQGFSISADGRTCEDIDECVNNTVCDSHGFCDNTAGSFRCLCYQGFQAPQDGQGCVG EFWFLFCLPPPHLIVFHMPFP >ENSMUSP00000108133.1 pep:known chromosome:GRCm38:17:75178911:75391326:1 gene:ENSMUSG00000001870.15 transcript:ENSMUST00000112514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp1 description:latent transforming growth factor beta binding protein 1 [Source:MGI Symbol;Acc:MGI:109151] MDTKLMCLLFFLCLPLLLVSNHTGRIKVVFTPSICKVTCTKGNCQNSCQKGNTTTLISEN GHAADTLTATNFRVVICHLPCMNGGQCSSRDKCQCPPNFTGKLCQIPVLGASMPKLYQHA QQQGKALGSHVIHSTHTLPLTMTSQQGVKVKFPPNIVNIHVKHPPEASVQIHQVSRIDSP GGQKVKEAQPGQSQVSYQGLPVQKTQTVHSTYSHQQLIPHVYPVAAKTQLGRCFQETIGS QCGKALPGLSKQEDCCGTVGTSWGFNKCQKCPKKQSYHGYTQMMECLQGYKRVNNTFCQD INECQLQGVCPNGECLNTMGSYRCSCKMGFGPDPTFSSCVPDPPVISEEKGPCYRLVSPG RHCMHPLSVHLTKQICCCSVGKAWGPHCEKCPLPGTAKEEPVEALTSSWEHGPRGAEPEV VTAPPEKEIPSLDQEKTRLEPGQPQLSPGVSTIHLHPQFPVVVEKTSPPVPVEVAPEAST SSASQVIAPTQVTEINECTVNPDICGAGHCINLPVRYTCICYEGYKFSEQLRKCVDIDEC AQVRHLCSQGRCENTEGSFLCVCPAGFMASEEGTNCIDVDECLRPDMCRDGRCINTAGAF RCEYCDSGYRMSRRGYCEDIDECLKPSTCPEEQCVNTPGSYQCVPCTEGFRGWNGQCLDV DECLQPKVCTNGSCTNLEGSYMCSCHRGYSPTPDHRHCQDIDECQQGNLCMNGQCRNTDG SFRCTCGQGYQLSAAKDQCEDIDECEHHHLCSHGQCRNTEGSFQCVCNQGYRASVLGDHC EDINECLEDSSVCQGGDCINTAGSYDCTCPDGFQLNDNKGCQDINECAQPGLCGSHGECL NTQGSFHCVCEQGFSISADGRTCEDIDECVNNTVCDSHGFCDNTAGSFRCLCYQGFQAPQ DGQGCVDVNECELLSGVCGEAFCENVEGSFLCVCADENQEYSPMTGQCRSRVTEDSGVDR QPREEKKECYYNLNDASLCDNVLAPNVTKQECCCTSGAGWGDNCEIFPCPVQGTAEFTEM CPRGKGLVPAGESSYDTGGENYKDADECLLFGEEICKNGYCLNTQPGYECYCKQGTYYDP VKLQCFDMDECQDPNSCIDGQCVNTEGSYNCFCTHPMVLDASEKRCVQPTESNEQIEETD VYQDLCWEHLSEEYVCSRPLVGKQTTYTECCCLYGEAWGMQCALCPMKDSDDYAQLCNIP VTGRRRPYGRDALVDFSEQYGPETDPYFIQDRFLNSFEELQAEECGILNGCENGRCVRVQ EGYTCDCFDGYHLDMAKMTCVDVNECSELNNRMSLCKNAKCINTEGSYKCLCLPGYIPSD KPNYCTPLNSALNLDKESDLE >ENSMUSP00000122762.1 pep:known chromosome:GRCm38:6:58831465:58843728:1 gene:ENSMUSG00000029804.16 transcript:ENSMUST00000141600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc3 description:hect domain and RLD 3 [Source:MGI Symbol;Acc:MGI:1921248] MLCWGYWSLGQPGISSNLQGIVAEPQVCRFVS >ENSMUSP00000119115.1 pep:known chromosome:GRCm38:6:58831503:58843714:1 gene:ENSMUSG00000029804.16 transcript:ENSMUST00000122981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc3 description:hect domain and RLD 3 [Source:MGI Symbol;Acc:MGI:1921248] MLCWGYWSLGQPGISSNLQGIVAEPQV >ENSMUSP00000145273.1 pep:known chromosome:GRCm38:6:58831524:58854874:1 gene:ENSMUSG00000029804.16 transcript:ENSMUST00000203714.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc3 description:hect domain and RLD 3 [Source:MGI Symbol;Acc:MGI:1921248] MLCWGYWSLGQPGISSNLQGIVAEPQVCRFVSDRSIKEVACGGNHSVFLLEDGEVYTCGV NTKGQLGHEREGNKPE >ENSMUSP00000122401.1 pep:known chromosome:GRCm38:6:58831748:58854878:1 gene:ENSMUSG00000029804.16 transcript:ENSMUST00000126292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc3 description:hect domain and RLD 3 [Source:MGI Symbol;Acc:MGI:1921248] MLCWGYWSLGQPGISSNLQGIVAEPQVCRFVSDRSIKEVACGGNHSVFLLEDGEVYTCGV NTKGQLGHEREGNKPEQ >ENSMUSP00000031823.5 pep:known chromosome:GRCm38:6:58831861:58904081:1 gene:ENSMUSG00000029804.16 transcript:ENSMUST00000031823.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc3 description:hect domain and RLD 3 [Source:MGI Symbol;Acc:MGI:1921248] MLCWGYWSLGQPGISSNLQGIVAEPQVCRFVSDRSIKEVACGGNHSVFLLEDGEVYTCGV NTKGQLGHEREGNKPEQIGALADQHIIHVACGESHSLALSDRGQLFSWGAGSDGQLGLMT TEDSVAVPRLIQKLNQQTILQVSCGNWHCLALAADGQFFTWGKNSHGQLGLGKEFPSQTS PQRVRSLEGIPLAQVAAGGAHSFALSLSGAVFGWGMNNAGQLGLSDEKDRESPCHVKLLR TQKVVYISCGEEHTAVLTKSGGVFTFGAGSCGQLGHDSVNDEVNPRRVLELMGSEVTQIA CGRQHTLALVPSSGLIYAFGCGAKGQLGTGHTCNVKCPSPVKGHWAAHSSQLSARADRFK YRVVKQIFSGGDQTFVLCSTYENSSPAVDFRTVNQTHYTNLINDETIAVWRQKLTEHNNA NTVNGVVQILSSAACWNGSFLEKKIDEHFKTSPKIPGIDLNSTRILFEKLMHSQHSMILE QILNSFESCLIPQLSSSPPDVEAMRIYLILPEFPLLQDSKYYITLTIPLAMAILRLETNP SKVLDNWWSQACPKYFMKLVTLYKGAVLYLLRGRKTFLIPVLFNNYMTATLKLLEKLYKV NLKVKHVEYDKFYIPEISSLVDIQEDYLMWFLHQSGMKARPSIMQDAVTLCSYPFIFDAQ AKTKMLQTDAELQMQVAVNGANLQNVFMLLTLEPLLARSPFLVLHVRRNHLVGDALRELS IHSDIDLKKPLKVIFDGEEGVDAGGVTKEFFLLLLKELLNPIYGMFTYYQDSNLLWFSDT CFVEHNWFHLIGITCGLAIYNSTVVDLHFPLALYKKLLNVKPSLEDLKELSPTEGRSLQE LLDYPGEDIEETFCLNFTVCRESYGVIEQKKLIPGGDRVAVCKDNRQAQLRHQAFTVSLE GLQLPVSHTVIVY >ENSMUSP00000040025.8 pep:known chromosome:GRCm38:6:58833700:58920398:1 gene:ENSMUSG00000029804.16 transcript:ENSMUST00000041401.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc3 description:hect domain and RLD 3 [Source:MGI Symbol;Acc:MGI:1921248] MLCWGYWSLGQPGISSNLQGIVAEPQVCRFVSDRSIKEVACGGNHSVFLLEDGEVYTCGV NTKGQLGHEREGNKPEQIGALADQHIIHVACGESHSLALSDRGQLFSWGAGSDGQLGLMT TEDSVAVPRLIQKLNQQTILQVSCGNWHCLALAADGQFFTWGKNSHGQLGLGKEFPSQTS PQRVRSLEGIPLAQVAAGGAHSFALSLSGAVFGWGMNNAGQLGLSDEKDRESPCHVKLLR TQKVVYISCGEEHTAVLTKSGGVFTFGAGSCGQLGHDSVNDEVNPRRVLELMGSEVTQIA CGRQHTLALVPSSGLIYAFGCGAKGQLGTGHTCNVKCPSPVKGHWAAHSSQLSARADRFK YRVVKQIFSGGDQTFVLCSTYENSSPAVDFRTVNQTHYTNLINDETIAVWRQKLTEHNNA NTVNGVVQILSSAACWNGSFLEKKIDEHFKTSPKIPGIDLNSTRILFEKLMHSQHSMILE QILNSFESCLIPQLSSSPPDVEAMRIYLILPEFPLLQDSKYYITLTIPLAMAILRLETNP SKVLDNWWSQACPKYFMKLVTLYKGAVLYLLRGRKTFLIPVLFNNYMTATLKLLEKLYKV NLKVKHVEYDKFYIPEISSLVDIQEDYLMWFLHQSGMKARPSIMQDAVTLCSYPFIFDAQ AKTKMLQTDAELQMQVAVNGANLQNVFMLLTLEPLLARSPFLVLHVRRNHLVGDALRELS IHSDIDLKKPLKVIFDGEEGVDAGGVTKEFFLLLLKELLNPIYGMFTYYQDSNLLWFSDT CFVEHNWFHLIGITCGLAIYNSTVVDLHFPLALYKKLLNVKPSLEDLKELSPTEGRSLQE LLDYPGEDIEETFCLNFTVCRESYGVIEQKKLIPGGDRVAVCKDNRQEFVDAYVNYIFQI SVHEWYTAFSSGFLKVCGGKVLELFQPAELRAMMVGNSNYDWEELEETAVYRGDYSSTHP TVKLFWETFHEFPLEKKKKFLLFLTGSDRIPIYGMASLQIIIQSTATGEEYLPVAHTCYN LLDLPKYSSKEIMKARLTQALDNYEGFSLA >ENSMUSP00000145319.1 pep:known chromosome:GRCm38:6:58890095:58902551:1 gene:ENSMUSG00000029804.16 transcript:ENSMUST00000204629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc3 description:hect domain and RLD 3 [Source:MGI Symbol;Acc:MGI:1921248] LIGITCGLAIYNSTVVDLHFPLALYKKLLNVKPSLEDLKELSPTEGRSLQELLDYPGEDI EETFCLNFTVCRESYGVIEQKKLIPGGDRVAVCKDNR >ENSMUSP00000101721.1 pep:known chromosome:GRCm38:11:121222588:121229305:-1 gene:ENSMUSG00000039294.14 transcript:ENSMUST00000106115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC017643 description:cDNA sequence BC017643 [Source:MGI Symbol;Acc:MGI:2384959] MYMQVETRTSTRLHLKRAPGIRSWSLLVGILSTGLAAAYYSGDSLGWKLFYVTGCLFVAV QNLEDWEEAIFNKNTGKVILKTFSLYKKLLTLLRAGHDQVVVLLKDIQDVNVEEEKVRYF GKGYMVVLRFATGFSHPLTQSAVMGRRSDVEAIAKLITSFLELHRLESPSERSQSSDSEP DGPGGQS >ENSMUSP00000042277.7 pep:known chromosome:GRCm38:11:121222588:121229309:-1 gene:ENSMUSG00000039294.14 transcript:ENSMUST00000038709.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC017643 description:cDNA sequence BC017643 [Source:MGI Symbol;Acc:MGI:2384959] MYMQVETRTSTRLHLKRAPGIRSWSLLVGILSTGLAAAYYSGDSLGWKLFYVTGCLFVAV QNLEDWEEAIFNKNTGKVILKTFSLYKKLLTLLRAGHDQVVVLLKDIQDVNVEEEKVRYF GKGYMVVLRFATGFSHPLTQSAVMGRRSDVEAIAKLITSFLELHRLESPSERSQSSDSEP DGPGGQS >ENSMUSP00000120541.1 pep:known chromosome:GRCm38:11:121224226:121229095:-1 gene:ENSMUSG00000039294.14 transcript:ENSMUST00000137299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC017643 description:cDNA sequence BC017643 [Source:MGI Symbol;Acc:MGI:2384959] MYMQVETRTSTRLHLKRAPGIRSWSLLVGILSTGLAAAYYSGDSLGWKLFYVTGCLFVAV QNLEDWEEAIFNKNTGKVILKTFSLYKKLLTLLRAGHDQVVVLLKDIQDVNVEEEKVRYF GKGYMVVLRFATGFSHPLTQSAVMGRRSDV >ENSMUSP00000127260.1 pep:known chromosome:GRCm38:11:121224570:121229235:-1 gene:ENSMUSG00000039294.14 transcript:ENSMUST00000169393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC017643 description:cDNA sequence BC017643 [Source:MGI Symbol;Acc:MGI:2384959] MYMQVETRTSTRLHLKRAPGIRSWSLLVGILSTGLAAAYYSGGGHFQQEHWEGHLKNIQP LQEAADSSQSGPRSSGGSVERHPGCECGGGKGPLLWEGLHGGAPVCNRLLPPSHSE >ENSMUSP00000117829.1 pep:known chromosome:GRCm38:11:121224597:121229322:-1 gene:ENSMUSG00000039294.14 transcript:ENSMUST00000147490.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC017643 description:cDNA sequence BC017643 [Source:MGI Symbol;Acc:MGI:2384959] MYMQVETRTSTRLHLKRAPGIRSWSLLVGILSTGLAAAYYSGDSLGWKLFYVTGCLFVAV QNLEDWEEAIFNKNTGKVILKTFSLYKKLLTLLRAGHDQVVVLLKDIQDVNVEEEKVRYF GKGYMVVLRFAT >ENSMUSP00000107421.1 pep:known chromosome:GRCm38:14:47240944:47276832:-1 gene:ENSMUSG00000037572.16 transcript:ENSMUST00000111791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdhd1 description:WD repeat and HMG-box DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:2443514] MPATQKPMRYGHTEGHTEVCFDDSGSYIVTCGSDGDVRMWEDLDDDDPKSVNVGEKAFSC ALKNGKLVTAVSNNTVQVYTFPEGVPDGILTRFTTNANHVVFNGAGNKIAAGSSDFLVKV VDVMDNSQQQTFRGHDAPVLSLSFDPKDIFLASASCDGTVRVWNISDQTCAVSWPVLQKS NDVVNAKSICRLAWQPKAGKLLAVPVEKSVKLYRRETWSNPFDLSDSSISQTLNIVTWSP CGQYLAAGAINGLIVVWNVETKDCMERVKHEKGYAICGLAWHPTCSRICYTDVEGNLGVL ENVCDLSGKVSSNKVSSRVEKDYNDLFDGDDTSSAGDFLNDNAVEIPSFSKGIINEDDDN DDIMLAADHDLGDDENSVDVTMLKADLSHKEEGDDDQARSIHNLPLIRPQRPFYDGPMPT PRQKPFQSSSTPLHLSHRFMVWNSVGIIRCYNDDQDSAIDVEFHDTSIHHATHLLNAFNY TMGTLSHEAILLACESADELASKLHCLHFSSWDSSKEWMVDMPQNEDIEAICLGLGWAAA ATTALLLRLFTIGGVQKEVFCLPGPVVSMAGHGEQLCIVYHRGTGFDGDQCLGVQLLELG RKKNQVLHGDPLPLTRKSYLTWLGFSAEGTPCYVDSEGCVRMLNRGLGNTWTPVCNIREH CKGKSDHYWVVGIHENPQQLRCIPCKGSRFPPTLPRPAVAILSFKLPYCQTSTEKGQMEE QFWHSVLFHNYLDYLAKNGYDYEESIKNQAVKEQQELLMKMLALSCKLEREFRCVELADL MTQNAVHLAIKYASRSRKLILAQKLSELAAEKAAELAETQSEEEKEEDFREKLNAGYSHT TTEWSRPRVRSQVEDAEDREDTVSEEKPESHNHGQNLFQSANSSDTPALKSGAVFSSSQG WVNPFKVVVSSKEPAVSANSTRSANILDSMNKSSRKSTSLNRMENNEKSPVIKPLTPKPR SKQASAASYFQKRTPQADKTEEVKENPKSSSSDAPAVCLQNSENQRPKTGFQMWLEENRS QILSDNPDISDETDIIKEGMIRFRVLSAEERKAWTNKAKGETASDGAEAKKRKRVVSEIC ETENQEETVKENLDLSKKQKALNLPANQKLSAFAFKQE >ENSMUSP00000107420.1 pep:known chromosome:GRCm38:14:47257679:47276832:-1 gene:ENSMUSG00000037572.16 transcript:ENSMUST00000111790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdhd1 description:WD repeat and HMG-box DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:2443514] MPATQKPMRYGHTEGHTEVCFDDSGSYIVTCGSDGDVRMWEDLDDDDPKSVNVGEKAFSC ALKNGKLVTAVSNNTVQVYTFPEGVPDGILTRFTTNANHVVFNGAGNKIAAGSSDFLVKV VDVMDNSQQQTFRGHDAPVLSLSFDPKDIFLASASCDGTVRVWNISDQTCAVSWPVLQKS NDVVNAKSICRLAWQPKAGKLLAVPVEKSVKLYRRETWSNPFDLSDSSISQTLNIVTWSP CGQYLAAGAINGLIVVWNVETKDCMERVKHEKGYAICGLAWHPTCSRICYTDVEGNLGVL ENVCDLSGKVSSNKVSSRVEKDYNDLFDGDDTSSAGDFLNDNAVEIPSFSKGIINEDDDN DDIMLAADHDLGDDENSVDVTMLKADLSHKEEGDDDQARSIHNLPLIRPQRPFYDGPMPT PRQKPFQSSSTPLHLSHRFMVWNSVGIIRCYNDDQDSAIDVEFHDTSIHHATHLLNAFNY TMGTLSHEAILLACESADELASKLHCLHFSSWDSSKEWMVDMPQNEDIEAICLGLGWAAA ATTALLLRLFTIGGVQKEVFCLPGPVVSMAGHGEQLCIVYHRGTGFDGDQCLGVQLLELG RKKNQVLHGDPLPLTRKSYLTWLGFSAEGKIIFFLYIKDIF >ENSMUSP00000107422.1 pep:known chromosome:GRCm38:14:47240944:47276790:-1 gene:ENSMUSG00000037572.16 transcript:ENSMUST00000111792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdhd1 description:WD repeat and HMG-box DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:2443514] MPATQKPMRYGHTEGHTEVCFDDSGSYIVTCGSDGDVRMWEDLDDDDPKSVNVGEKAFSC ALKNGKLVTAVSNNTVQVYTFPEGVPDGILTRFTTNANHVVFNGAGNKIAAGSSDFLVKV VDVMDNSQQQTFRGHDAPVLSLSFDPKDIFLASASCDGTVRVWNISDQTCAVSWPVLQKS NDVVNAKSICRLAWQPKAGKLLAVPVEKSVKLYRRETWSNPFDLSDSSISQTLNIVTWSP CGQYLAAGAINGLIVVWNVETKDCMERVKHEKGYAICGLAWHPTCSRICYTDVEGNLGVL ENVCDLSGKVSSNKGIINEDDDNDDIMLAADHDLGDDENSVDVTMLKADLSHKEEGDDDQ ARSIHNLPLIRPQRPFYDGPMPTPRQKPFQSSSTPLHLSHRFMVWNSVGIIRCYNDDQDS AIDVEFHDTSIHHATHLLNAFNYTMGTLSHEAILLACESADELASKLHCLHFSSWDSSKE WMVDMPQNEDIEAICLGLGWAAAATTALLLRLFTIGGVQKEVFCLPGPVVSMAGHGEQLC IVYHRGTGFDGDQCLGVQLLELGRKKNQVLHGDPLPLTRKSYLTWLGFSAEGTPCYVDSE GCVRMLNRGLGNTWTPVCNIREHCKGKSDHYWVVGIHENPQQLRCIPCKGSRFPPTLPRP AVAILSFKLPYCQTSTEKGQMEEQFWHSVLFHNYLDYLAKNGYDYEESIKNQAVKEQQEL LMKMLALSCKLEREFRCVELADLMTQNAVHLAIKYASRSRKLILAQKLSELAAEKAAELA ETQSEEEKEEDFREKLNAGYSHTTTEWSRPRVRSQVEDAEDREDTVSEEKPESHNHGQNL FQSANSSDTPALKSGAVFSSSQGWVNPFKVVVSSKEPAVSANSTRSANILDSMNKSSRKS TSLNRMENNEKSPVIKPLTPKPRSKQASAASYFQKRTPQADKTEEVKENPKSSSSDAPAV CLQNSENQRPKTGFQMWLEENRSQILSDNPDISDETDIIKEGMIRFRVLSAEERKAWTNK AKGETASDGAEAKKRKRVVSEICETENQEETVKENLDLSKKQKALNLPANQKLSAFAFKQ E >ENSMUSP00000141182.1 pep:known chromosome:GRCm38:14:47240945:47276857:-1 gene:ENSMUSG00000037572.16 transcript:ENSMUST00000187531.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdhd1 description:WD repeat and HMG-box DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:2443514] MPATQKPMRYGHTEGHTEVCFDDSGSYIVTCGSDGDVRMWEDLDDDDPKSVNVGEKAFSC ALKNGKLVTAVSNNTVQVYTFPEGVPDGILTRFTTNANHVVFNGAGNKIAAGSSDFLVKV VDVMDNSQQQTFRGHDAPVLSLSFDPKDIFLASASCDGTVRVWNISDQTCAVSWPVLQKS NDVVNAKSICRLAWQPKAGKLLAVPVEKSVKLYRRETWSNPFDLSDSSISQTLNIVTWSP CGQYLAAGAINGLIVVWNVETKDCMERVKHEKGYAICGLAWHPTCSRICYTDVEGNLGVL ENVCDLSGKVSSNKVSSRVEKDYNDLFDGDDTSSAGDFLNDNAVEIPSFSKGIINEDDDN DDIMLAADHDLGDDENSVDVTMLKADLSHKEEGDDDQARSIHNLPLIRPQRPFYDGPMPT PRQKPFQSSSTPLHLSHRFMVWNSVGIIRCYNDDQDSAIDVEFHDTSIHHATHLLNAFNY TMGTLSHEAILLACESADELASKLHCLHFSSWDSSKEWMVDMPQNEDIEAICLGLGWAAA ATTALLLRLFTIGGVQKEVFCLPGPVVSMAGHGEQLCIVYHRGTGFDGDQCLGVQLLELG RKKNQVLHGDPLPLTRKSYLTWLGFSAEGTPCYVDSEGCVRMLNRGLGNTWTPVCNIREH CKGKSDHYWVVGIHENPQQLRCIPCKGSRFPPTLPRPAVAILSFKLPYCQTSTEKGQMEE QFWHSVLFHNYLDYLAKNGYDYEESIKNQAVKEQQELLMKMLALSCKLEREFRCVELADL MTQNAVHLAIKYASRSRKLILAQKLSELAAEKAAELAETQSEEEKEEDFREKLNAGYSHT TTEWSRPRVRSQVEDAEDREDTVSEEKPESHNHGQNLFQSANSSDTPALKSGAVFSSSQG WVNPFKVVVSSKEPAVSANSTRSANILDSMNKSSRKSTSLNRMENNEKSPVIKPLTPKPR SKQASAASYFQKRTPQADKTEEVKENPKSSSSDAPAVCLQNSENQRPKTGFQMWLEENRS QILSDNPDISDETDIIKEGMIRFRVLSAEERKAWTNKAKGETASDGAEAKKRKRVVSEIC ETENQEETVKENLDLSKKQKALNLPANQKLSAFAFKQ >ENSMUSP00000108650.1 pep:known chromosome:GRCm38:X:139563316:139673138:1 gene:ENSMUSG00000031438.11 transcript:ENSMUST00000113027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf128 description:ring finger protein 128 [Source:MGI Symbol;Acc:MGI:1914139] MNRKTKHSFLQLLVFFTFLLQSTASFSMNAYVTVTYFNESSNYTKTERCECGVYGLVSLV ANAMGVVGIPKNGNYQACDYNTEFTNTAKPWIALIERGNCTFSEKIQTASRSNANAVVIY NSPETGNQTIQMANFGAGDIVAIMIGNLKGTKILQSIQRGIQVTMVIEVGKKHGPWVNHY SIFFVSVSFFIITAATVGYFIFYSARRLRNARAQSRKQRQLKADAKKAIGKLQLRTLKQG DKEIGPDGDSCAVCIELYKPNDLVRILTCNHIFHKTCVDPWLLEHRTCPMCKCDILKALG IEVDVEDGSVSLQVPVSNEASNTASPHEEDSRSETASSGYASVQGADEPPLEEHAQSANE NLQLVNHEANSVAVDVVPHVDNPTFEEDETPDQEAAVREIKS >ENSMUSP00000108649.1 pep:known chromosome:GRCm38:X:139610620:139673145:1 gene:ENSMUSG00000031438.11 transcript:ENSMUST00000113026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf128 description:ring finger protein 128 [Source:MGI Symbol;Acc:MGI:1914139] MGPPPGIGVYCRGGCGAARLLAWCFLLALSPHAPGSRGAEAVWTAYLNVSWRVPHTGVNR TVWELSEEGVYGQDSPLEPVSGVLVPPDGPGALNACNPHTNFTVPTVWGSTVQVSWLALI QRGGGCTFADKIHLASERGASGAVIFNFPGTRNEVIPMSHPGAGDIVAIMIGNLKGTKIL QSIQRGIQVTMVIEVGKKHGPWVNHYSIFFVSVSFFIITAATVGYFIFYSARRLRNARAQ SRKQRQLKADAKKAIGKLQLRTLKQGDKEIGPDGDSCAVCIELYKPNDLVRILTCNHIFH KTCVDPWLLEHRTCPMCKCDILKALGIEVDVEDGSVSLQVPVSNEASNTASPHEEDSRSE TASSGYASVQGADEPPLEEHAQSANENLQLVNHEANSVAVDVVPHVDNPTFEEDETPDQE AAVREIKS >ENSMUSP00000048643.8 pep:known chromosome:GRCm38:6:127085116:127109550:-1 gene:ENSMUSG00000038028.9 transcript:ENSMUST00000039913.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tigar description:Trp53 induced glycolysis repulatory phosphatase [Source:MGI Symbol;Acc:MGI:2442752] MPRFALTVIRHGETRLNKEKIIQGQGVDAPLSETGFRQAAAAGQFLSNVQFTHAFSSDLT RTKQTIHGILEKSRFCKDMAVKYDSRLRERMYGVAEGKPLSELRAMAKAAGEECPMFTPP GGETVEQVKMRGKDFFDFICQLILGKAGQRESVLPGAPGSGLESSLAEVFPVGKHGSLGA NPKGGTLGLAASILVVSHGAYMRSLFGYFLSDLRCSLPGARDKLELSSITPNTGISVFII DCEEARQPSIQCVCMNLQEHLNGVTEKQH >ENSMUSP00000144435.1 pep:known chromosome:GRCm38:6:127087881:127109557:-1 gene:ENSMUSG00000038028.9 transcript:ENSMUST00000200988.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tigar description:Trp53 induced glycolysis repulatory phosphatase [Source:MGI Symbol;Acc:MGI:2442752] MPRFALTVIRQTRRRCAPFGDWVSASSGRRAVSEQCAVYPRLLQRSHED >ENSMUSP00000077760.6 pep:known chromosome:GRCm38:11:98348404:98357796:1 gene:ENSMUSG00000061718.12 transcript:ENSMUST00000078694.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r1b description:protein phosphatase 1, regulatory (inhibitor) subunit 1B [Source:MGI Symbol;Acc:MGI:94860] MDPKDRKKIQFSVPAPPSQLDPRQVEMIRRRRPTPAMLFRVSEHSSPEEEASPHQRTSGE GHHPKSKRPNPCAYTPPSLKAVQHLQTISNLSENQASEEEDELGELRELGYPQEDDEEDE DEEEDEEEDSQAEVLKGSRGTVGQKPTCGRGLEGPWERPPPLDEPQRDGNSEDQVEGRAT LSEPGEEPQHPSPP >ENSMUSP00000121147.1 pep:known chromosome:GRCm38:11:98349996:98357794:1 gene:ENSMUSG00000061718.12 transcript:ENSMUST00000150762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r1b description:protein phosphatase 1, regulatory (inhibitor) subunit 1B [Source:MGI Symbol;Acc:MGI:94860] MLFRVSEHSSPEEEASPHQRTSGEGHHPKSKRPNPCAYTPPSLKAVQHLQTISNLSENQA SEEEDELGELRELGYPQEDDEEDEDEEEDEEEDSQAEVLKGSRGTVGQKPTCGRGLEGPW ERPPPLDEPQRDGNSEDQVEGRATLSEPGEEPQHPSPP >ENSMUSP00000123528.1 pep:known chromosome:GRCm38:11:98349996:98357794:1 gene:ENSMUSG00000061718.12 transcript:ENSMUST00000137634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r1b description:protein phosphatase 1, regulatory (inhibitor) subunit 1B [Source:MGI Symbol;Acc:MGI:94860] MLFRVSEHSSPEEEASPHQRTSGEGHHPKSKRPNPCAYTPPSLKAVQHLQTISNLSENQA SEEEDELGELRELGYPQEDDEEDEDEEEDEEEDSQAEVLKGSRGTVGQKPTCGRGLEGPW ERPPPLDEPQRDGNSEDQVEGRATLSEPGEEPQHPSPP >ENSMUSP00000048016.7 pep:known chromosome:GRCm38:5:138178617:138187226:-1 gene:ENSMUSG00000036980.13 transcript:ENSMUST00000048698.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6 description:TAF6 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:109129] MAEEKKLKLSNTVLPSESMKVVAESMGIAQIQEETCQLLTDEVSYRIKEIAQDALKFMHM GKRQKLTTSDIDYALKLKNVEPLYGFHAQEFIPFRFASGGGRELYFYEEKEVDLSDIINT PLPRVPLDVCLKAHWLSIEGCQPAIPENPPPAPKEQQKAEATEPLKSAKPGQEEDGPLKG KGQGAAAADGKGKEKKAPPLLEGAPFRLKPRSIHELSVEQQLYYKEITEACVGSCEAKRA EALQSIATDPGLYQMLPRFSTFISEGVRVNVVQNNLALLIYLMRMVKALMDNPTLYLEKY VHELIPAVMTCIVSRQLCLRPDVDNHWALRDFAARLVAQICKHFSTTTNNIQSRITKTFT KSWVDEKTPWTTRYGSIAGLAELGHDVIKTLILPRLQQEGERIRSVLDGPVLSNIDRIGA DHVQSLLLKHCAPVLAKLRPPPDNQDAYRGEFGSLGPLLCSHVVKARAQAALQAQQVNRT TLTITQPRPTLTLSQAPQPGPRTPGLLKVPGSIALPVQTLVSARAAAPPQPSPPPTKFIV MSSSSSASSTQQVLSLSTSAPGSGSTTTSPVTTTVPSVQPIVKLVSTATTAPPSTAPAGS GSVQKYIVVSLPPTGEGKGGPPSHPSPVPPSSSSPSPLGGSTLCGGKQEAGDSPPPAPGT PKANGSQPTGPGSPQPAL >ENSMUSP00000106561.1 pep:known chromosome:GRCm38:5:138178617:138187451:-1 gene:ENSMUSG00000036980.13 transcript:ENSMUST00000110936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6 description:TAF6 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:109129] MAEEKKLKLSNTVLPSESMKVVAESMGIAQIQEETCQLLTDEVSYRIKEIAQDALKFMHM GKRQKLTTSDIDYALKLKNVEPLYGFHAQEFIPFRFASGGGRELYFYEEKEVDLSDIINT PLPRVPLDVCLKAHWLSIEGCQPAIPENPPPAPKEQQKAEATEPLKSAKPGQEEDGPLKG KGQGAAAADGKGKEKKAPPLLEGAPFRLKPRSIHELSVEQQLYYKEITEACVGSCEAKRA EALQSIATDPGLYQMLPRFSTFISEGVRVNVVQNNLALLIYLMRMVKALMDNPTLYLEKY VHELIPAVMTCIVSRQLCLRPDVDNHWALRDFAARLVAQICKHFSTTTNNIQSRITKTFT KSWVDEKTPWTTRYGSIAGLAELGHDVIKTLILPRLQQEGERIRSVLDGPVLSNIDRIGA DHVQSLLLKHCAPVLAKLRPPPDNQDAYRGEFGSLGPLLCSHVVKARAQAALQAQQVNRT TLTITQPRPTLTLSQAPQPGPRTPGLLKVPGSIALPVQTLVSARAAAPPQPSPPPTKFIV MSSSSSASSTQQVLSLSTSAPGSGSTTTSPVTTTVPSVQPIVKLVSTATTAPPSTAPAGS GSVQKYIVVSLPPTGEGKGGPPSHPSPVPPSSSSPSPLGGSTLCGGKQEAGDSPPPAPGT PKANGSQPTGPGSPQPAL >ENSMUSP00000106562.1 pep:known chromosome:GRCm38:5:138178620:138187205:-1 gene:ENSMUSG00000036980.13 transcript:ENSMUST00000110937.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6 description:TAF6 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:109129] MAEEKKLKLSNTVLPSESMKVVAESMGIAQIQEETCQLLTDEVSYRIKEIAQDALKFMHM GKRQKLTTSDIDYALKLKNVEPLYGFHAQEFIPFRFASGGGRELYFYEEKEVDLSDIINT PLPRVPLDVCLKAHWLSIEGCQPAIPENPPPAPKEQQKAEATEPLKSAKPGQEEDGPLKG KGQGAAAADGKGKEKKAPPLLEGAPFRLKPRSIHELSVEQQLYYKEITEACVGSCEAKRA EALQSIATDPGLYQMLPRFSTFISEGVRVNVVQNNLALLIYLMRMVKALMDNPTLYLEKY VHELIPAVMTCIVSRQLCLRPDVDNHWALRDFAARLVAQICKHFSTTTNNIQSRITKTFT KSWVDEKTPWTTRYGSIAGLAELGHDVIKTLILPRLQQEGERIRSVLDGPVLSNIDRIGA DHVQSLLLKHCAPVLAKLRPPPDNQDAYRGEFGSLGPLLCSHVVKARAQAALQAQQVNRT TLTITQPRPTLTLSQAPQPGPRTPGLLKVPGSIALPVQTLVSARAAAPPQPSPPPTKFIV MSSSSSASSTQQGKAKEALLLILLQSPLHLLHHPHLGEVPSVGGSRKLGTAPLQLQGLQR LMARSLLDLALPSLLCDVSHVLTSSLVQIPTHSNNL >ENSMUSP00000138335.1 pep:known chromosome:GRCm38:5:138178628:138187221:-1 gene:ENSMUSG00000036980.13 transcript:ENSMUST00000153117.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Taf6 description:TAF6 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:109129] MAEEKKLKLSNTVLPSESMKVVAESMGIAQIQEETCQLLTDEVSYRIKEIAQDALKFMHM GKRQKLTTSDIDYALKLKNVEPLYGFHAQEFIPFRFASGGGRELYFYEEKELIG >ENSMUSP00000122534.1 pep:known chromosome:GRCm38:5:138182675:138187226:-1 gene:ENSMUSG00000036980.13 transcript:ENSMUST00000123415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6 description:TAF6 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:109129] MAEEKKLKLSNTVLPSESMKVVAESMGIAQIQEETCQLLTDEVSYRIKEIAQDALKFMHM GKRQKLTTSDIDYALKLKNVEPLYGFHAQEFIPFRFASGGGRELYFYEEKEVDLSDIINT PLPRVPLDVCLKAHWLSIEGCQPAIPENPPPAPKEQQKAEATEPLKSAKPGQEEDGPLKG KGQGAAAADGKGKEKKAPPLLEGAPFRLKPRSIH >ENSMUSP00000116512.1 pep:known chromosome:GRCm38:5:138183917:138187215:-1 gene:ENSMUSG00000036980.13 transcript:ENSMUST00000139276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf6 description:TAF6 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:109129] MAEEKKLKLSNTVLPSESMKVVAESMGIAQIQEETCQLLTDEVSYRIKEIAQDAL >ENSMUSP00000042569.5 pep:known chromosome:GRCm38:X:37191245:37192467:1 gene:ENSMUSG00000036537.6 transcript:ENSMUST00000046433.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf113a1 description:ring finger protein 113A1 [Source:MGI Symbol;Acc:MGI:1917192] MAEQLSPGNSTRQVCTFLFKKPGRKGAAGRRKRRLCDAGSGDSCSSSDEGSSVVRPEKKQ ATHNPMIQKTRGSAKQKATYGGLSSEDENEPEGLGVVYKSTRSAKPVGPEDMGATAVYEL DTEKDRDAQSIFERSQKIQEELRGKEDDKIYRGINNYQKYVKPKDTSMGNASSGMVRKGP IRAPEHLRATVRWDYQPDICKDYKETGFCGFGDSCKFLHDRSDYKHGWQIERELDEGRYG VYEDENYEVGSDDEEIPFKCFICRQTFQNPVVTKCRHYFCERCALQHFRTTSRCYVCDQQ TNGVFNPAKELIAKLGKHRAEAEGGVSDSLEDIEESPVSII >ENSMUSP00000032191.9 pep:known chromosome:GRCm38:6:108107028:108185582:-1 gene:ENSMUSG00000030101.15 transcript:ENSMUST00000032191.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumf1 description:sulfatase modifying factor 1 [Source:MGI Symbol;Acc:MGI:1889844] MAAPAREPALRCCIRLARVFLLLVLACEVAGSDEAEAREGAASLAGSCGCGTPQRAGAHG SSAAAQRYSREANAPGLTSGPRPLALTKMVPIPAGVFTMGTDDPQIRQDGEAPARRVTVD GFYMDAYEVSNADFEKFVNSTGYLTEAEKFGDSFVFEGMLSEQVKTHIHQAVAAAPWWLP VKGANWRHPEGPDSSILHRSNHPVLHVSWNDAVAYCTWAGKRLPTEAEWEYSCRGGLQNR LFPWGNKLQPKGQHYANIWQGKFPVSNTGEDGFQGTAPVDAFPPNGYGLYNIVGNVWEWT SDWWTVHHSVEETFNPKGPTSGKDRVKKGGSYMCHKSYCYRYRCAARSQNTPDSSASNLG FRCAADHLPTAD >ENSMUSP00000127537.1 pep:known chromosome:GRCm38:6:108108476:108185556:-1 gene:ENSMUSG00000030101.15 transcript:ENSMUST00000167338.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumf1 description:sulfatase modifying factor 1 [Source:MGI Symbol;Acc:MGI:1889844] MAAPAREPALRCCIRLARVFLLLVLACEVAGSDEAEAREGAASLAGSCGCGTPQRAGAHG SSAAAQRYSREANAPGLTSGPRPLALTKMVPIPAGVFTMGTDDPQIRQDGEAPARRVTVD GFYMDAYEVSNADFEKFVNSTGYLTEVAAAPWWLPVKGANWRHPEGPDSSILHRSNHPVL HVSWNDAVAYCTWAGKRLPTEAEWEYSCRGGLQNRLFPWGNKLQPKGQHYANIWQGKFPV SNTGEDGFQGTAPVDAFPPNGYGLYNIVGNVWEWTSDWWTVHHSVEETFNPKGPTSGKDR VKKGGSYMCHKSYCYRYRCAARSQNTPDSSASNLGFRCAADHLPTAD >ENSMUSP00000132321.1 pep:known chromosome:GRCm38:6:108108476:108185556:-1 gene:ENSMUSG00000030101.15 transcript:ENSMUST00000172188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumf1 description:sulfatase modifying factor 1 [Source:MGI Symbol;Acc:MGI:1889844] MAAPAREPALRCCIRLARVFLLLVLACEVAGSDEAEAREGAASLAGSCGCGTPQRAGAHG SSAAAQRYSREANAPGLTSGPRPLALTKMVPIPAGVFTMGTDDPQIRQDGEAPARRVTVD GFYMDAYEVSNADFEKFVNSTGYLTEVDAFPPNGYGLYNIVGNVWEWTSDWWTVHHSVEE TFNPKGPTSGKDRVKKGGSYMCHKSYCYRYRCAARSQNTPDSSASNLGFRCAADHLPTAD >ENSMUSP00000130061.1 pep:known chromosome:GRCm38:6:108118203:108144695:-1 gene:ENSMUSG00000030101.15 transcript:ENSMUST00000169446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumf1 description:sulfatase modifying factor 1 [Source:MGI Symbol;Acc:MGI:1889844] FPPNGYGLYNIVGNVWEWTSDWWTVHHSVEETFNPKGPTSGKDRVKKGGSYMCHKVSPTL SSCSCGSQTHCNILPQMRKSGPGDRKRRNGCELRPVEQISCCLLHCRETSSCWPVKQVGP SASSMLKSRTTPLISNVCLFLSSLLRFKLKL >ENSMUSP00000136527.1 pep:known chromosome:GRCm38:8:54520433:54529998:-1 gene:ENSMUSG00000054408.9 transcript:ENSMUST00000067476.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spcs3 description:signal peptidase complex subunit 3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923937] MNTVLSRANSLFAFSLSVMAALTFGCFITTAFKDRSVPVRLHVSRIMLKNVEDFTGPRER SDLGFITFDITADLENIFDWNVKQLFLYLSAEYSTKNNALNQVVLWDKIVLRGDNPKLLL KDMKTKYFFFDDGNGLKGNRNVTLTLSWNVVPNAGILPLVTGSGHVSVPFPDTYEITKSY >ENSMUSP00000055318.4 pep:known chromosome:GRCm38:1:130717327:130724358:1 gene:ENSMUSG00000046404.5 transcript:ENSMUST00000049813.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yod1 description:YOD1 OTU deubiquitinating enzyme 1 homologue (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2442596] MFGGAKGGHFGVPPAGYSGAVPQSEAGTKAGPAGGRPADTMWRVRCKAKGGTHLLQGLSS RTRLRELQGQIAAITGIAPGSQRILVGYPPECLDLSDRDITLGDLPIQSGDMLIVEEDQT RPKASPAFSKYGAPSYVREALPVLTRTAVPADNSCLFTSVYYVVEGGVLNPACAPEMRRL IAQIVASDPVLYSEAILGKTNEDYCDWIRRDDTWGGAIEISILSKFYQCEICVVDTQTVR IDRFGEDAGYTKRVLLIYDGIHYDPLQRNFPDPDTPPLTIFSSNDDIVLVQALELADEAR RKRQFTDVNRFTLRCMICQKGLTGQAEARDHARETGHTNFGEV >ENSMUSP00000140786.1 pep:known chromosome:GRCm38:1:130670209:130715799:-1 gene:ENSMUSG00000101904.1 transcript:ENSMUST00000188520.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm29427 description:predicted gene 29427 [Source:MGI Symbol;Acc:MGI:5580133] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILEVKVSSPDY PERNRENVMEDFLKRIECYKVTYQPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKI VYYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAHALKKFLEEQEIQDLK VWTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYSEIEQRYPEEFALRDQEKYLY RYPGGEVAKWKQLL >ENSMUSP00000051838.8 pep:known chromosome:GRCm38:5:122284365:122324281:1 gene:ENSMUSG00000038582.15 transcript:ENSMUST00000053426.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pptc7 description:PTC7 protein phosphatase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2444593] MFSVLSYGRLVARAVLGGLSQTDPRAGGGGGGGGGSSGDYGLVTAGCGFGKDFRKGLLKK GACYGDDACFVARHRSADVLGVADGVGGWRDYGVDPSQFSGTLMRTCERLVKEGRFVPSN PVGILTTSYCELLQNKVPLLGSSTACIVVLDRSSHRLHTANLGDSGFLVVRGGEVVHRSD EQQHYFNTPFQLSIAPPEAEGVVLSDSPDAADSTSFDVQLGDIILTATDGLFDNMPDYMI LQELKKLKNSNYESIQRTARSIAEQAHELAYDPNYMSPFAQFACDNGLNVRGGKPDDITV LLSIVAEYTD >ENSMUSP00000113194.1 pep:known chromosome:GRCm38:5:122295772:122322340:1 gene:ENSMUSG00000038582.15 transcript:ENSMUST00000119015.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pptc7 description:PTC7 protein phosphatase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2444593] MRTCERLVKEGRFVPSNPVGILTTSYCELLQNKVPLLGSSTACIVVLDRSSHRLHTANLG DSGFLVVRGGEVVHRSDEQQHYFNTPFQLSIAPPEAEGVVLSDSPDAADSTSFDVQLGDI ILTATDGLFDNMPDYMILQELKKLKNSNYESIQRTARSIAEQAHELAYDPNYMSPFAQFA CDNGLNVRGGKPDDITVLLSIVAEYTD >ENSMUSP00000102182.1 pep:known chromosome:GRCm38:4:111919398:111939803:1 gene:ENSMUSG00000078599.9 transcript:ENSMUST00000106572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint8 description:selection and upkeep of intraepithelial T cells 8 [Source:MGI Symbol;Acc:MGI:3651523] MMKPEFSHFFGFCVYFLFLQVMASSEKLRVTTPTRHLLARVGGQAELSCQVIPPHSVMHM EVRWFRSGHSQPVYLYRGGHKMSEEAAPEYANRTEFVKEAIGEGKVSLRIYNINILDDGP YQCSFNDSGFIDVAIMNLNVTAVGLETEIHVQAPDADGVMVECNTGGWFPRPQMEWRDSK GATLPHSLKSYSQDEARFFHMKMTLLLTNMSHGSIICCISNPVTGEEKQTSIILANELFN QDYLWVGIFPFSVLSLILFGVLPFINSFFRSQGCASGCLSKCLPVVTSWPVQIVHFLVCS GVLFAVYLPHRYRGKWNQ >ENSMUSP00000133268.1 pep:known chromosome:GRCm38:4:111919555:111950358:1 gene:ENSMUSG00000078599.9 transcript:ENSMUST00000165046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint8 description:selection and upkeep of intraepithelial T cells 8 [Source:MGI Symbol;Acc:MGI:3651523] MMKPEFSHFFGFCVYFLFLQVMASSEKLRVTTPTRHLLARVGGQAELSCQVIPPHSVMHM EVRWFRSGHSQPVYLYRGGHKMSEEAAPEYANRTEFVKEAIGEGKVSLRIYNINILDDGP YQCSFNDSGFIDVAIMNLNVTAVGLETEIHVQAPDADGVMVECNTGGWFPRPQMEWRDSK GATLPHSLKSYSQDEARFFHMKMTLLLTNMSHGSIICCISNPVTGEEKQTSIILANELFN QDYLWVGIFPFSVLSLILFGVLPFINSFFRSQGCASGCLSKCLPVVTSWPVQIVHFLVCS GVLFAVYLPHRYRVSLSDPQFPLYNNWITELLIVILFLTICFVLPITVLLLIKLSPTCLA KWEKNKDDIMDSQLGLGKAREASTLYEEQSRKSWEQEK >ENSMUSP00000129747.1 pep:known chromosome:GRCm38:1:130689182:130714575:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000171479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILEVKVSSPDY PERNRENVMEDFLKRIECYKVTYQPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKI VYYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAHALKKFLEEQEIQDLK VWTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYSEIEQRYPEEFALRDQEKYLY RYPGGESYQDLVQRLEPVIMELERQGNILVISHQAVMRCLLAYFLDKGADELPYLRCPLH IIFKLTPVAYGCKVETITLNVDAVDTHRDKPTEVENLLAEHRHPSMASLTLLS >ENSMUSP00000140551.1 pep:known chromosome:GRCm38:1:130689183:130715814:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000185233.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILEVKVSSPDY PERNRENVMEDFLKRIECYKVTYQPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKI VYYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAHALKKFLEEQEIQDLK VWTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYSEIEQRYPEEFALRDQEKYLY RYPGGESYQDLVQRLEPVIMELERQGNILVISHQAVMRCLLAYFLDKGADELPYLRCPLH IIFKLTPVAYGCKVETITLNVDAVDTHRDKPTHNFPKSQTPVRMRRNSFTPLSSSNTIRR PRNYSVGSRPLKPLSPLRALDMQEGADQPKTQVSIPVV >ENSMUSP00000140355.1 pep:known chromosome:GRCm38:1:130690278:130729253:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000189534.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILEVKVSSPDY PERNRENVMEDFLKRIECYKVTYQPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKI VYYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAHALKKFLEEQEIQDLK VWTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYSEIEQRYPEEFALRDQEKYLY RYPGGESYQDLVQRLEPVIMELERQGNILVISHQAVMRCLLAYFLDKGADELPYLRCPLH IIFKLTPVAYGCKVETITLNVDAVDTHRDKPTEVENLLAEHRHPSMASLTLLS >ENSMUSP00000140984.1 pep:known chromosome:GRCm38:1:130690773:130714575:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000189167.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILEVKVSSPDY PERNRENVMEDFLKRIECYKVTYQPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKI VYYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAHALKKFLEEQEIQDLK VWTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYSEIEQRYPEEFALRDQEKYLY RYPGGEVAKWKQLL >ENSMUSP00000140612.1 pep:known chromosome:GRCm38:1:130691015:130714576:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000187089.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILEVKVSSPDY PERNRENVMEDFLKRIECYKVTYQPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKI VYYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAHALKKFLEEQEIQDLK VWTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYSEIEQRYPEEFALRDQEKYLY RYPGGESYQDLVQRLEPVIMELERQGNILVISHQAVMRCLLAYFLDKGADELPYLRCPLH IIFKLTPVAYGCKVETITLNVDAVDTHRDKPTHNFPKSQTPVRMRRNSFTPLSSSNTIRR PRNYSVGSRPLKPLSPLRALDMQEGADQPKTQEVENLLAEHRHPSMASLTLLS >ENSMUSP00000140698.1 pep:known chromosome:GRCm38:1:130691015:130714576:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000191347.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILEVKVSSPDY PERNRENVMEDFLKRIECYKVTYQPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKI VYYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAHALKKFLEEQEIQDLK VWTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYSEIEQRYPEEFALRDQEKYLY RYPGGESYQDLVQRLEPVIMELERQGNILVISHQAVMRCLLAYFLDKGADELPYLRCPLH IIFKLTPVAYGCKVETITLNVDAVDTHRDKPTHNFPKSQTPVRMRRNSFTPLSSSNTIRR PRNYSVGSRPLKPLSPLRALDMQEGADQPKTQVQQGSAQATEHPQKALEFANGHREVENL LAEHRHPSMASLTLLS >ENSMUSP00000127587.2 pep:known chromosome:GRCm38:1:130696671:130715778:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000169659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILEVKVSSPDY PERNRENVMEDFLKRIECYKVTYQPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKI VYYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAHALKKFLEEQEIQDLK VWTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYSEIEQRYPEEFALRDQEKYLY RYPGGESYQDLVQRLEPVIMELERQGNILVISHQAVMRCLLAYFLDKGADELPYLRCPLH IIFKLTPVAYGCKVETITLNVDAVDTHRDKPTHNFPKSQTPVRMRRNSFTPLSSSNTIRR PRNYSVGSRPLKPLSPLRALDMQEGADQPKTQVSIPVV >ENSMUSP00000133073.2 pep:known chromosome:GRCm38:1:130697697:130714575:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000050406.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILEVKVSSPDY PERNRENVMEDFLKRIECYKVTYQPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKI VYYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAHALKKFLEEQEIQDLK VWTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYSEIEQRYPEEFALRDQEKYLY RYPGGESYQDLVQRLEPVIMELERQGNILVISHQAVMRCLLAYFLDKGAGCKVETITLNV DAVDTHRDKPTHNFPKSQTPVRMRRNSFTPLSSSNTIRRPRNYSVGSRPLKPLSPLRALD MQEGADQPKTQVSIPVV >ENSMUSP00000140068.1 pep:known chromosome:GRCm38:1:130697697:130714575:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000186867.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCCEFCMGFLYDQLPNTHCYDWLASPG >ENSMUSP00000140995.1 pep:known chromosome:GRCm38:1:130697697:130714575:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000191301.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILGSFFHKGDK CRPEIPGQQSSGLHPE >ENSMUSP00000140799.1 pep:known chromosome:GRCm38:1:130707580:130717238:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000186777.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCCEFCMGFLYDQLPNTHCYDWLASPG >ENSMUSP00000066426.6 pep:known chromosome:GRCm38:1:130689183:130715734:-1 gene:ENSMUSG00000026409.14 transcript:ENSMUST00000066863.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb2 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 2 [Source:MGI Symbol;Acc:MGI:107815] MSENSTFSPEDCNSSYKPHASNLRRAGKTCSWASYMTNSPTLIVMIGLPARGKTYVSKKL TRYLNWIGVPTKVFNLGVYRREAVKSYQSYDFFRHDNEEAMKIRKQCALVALEDVKAYFT EESGQIAVFDATNTTRERRDMILNFAKQNAFKVFFVESVCDDPDVIAANILEVKVSSPDY PERNRENVMEDFLKRIECYKVTYQPLDPDNYDKDLSFIKVINVGQRFLVNRVQDYIQSKI VYYLMNIHVHPRTIYLCRHGESEFNLLGKIGGDSGLSVRGKQFAHALKKFLEEQEIQDLK VWTSQLKRTIQTAESLGVTYEQWKILNEIDAGVCEEMTYSEIEQRYPEEFALRDQEKYLY RYPGGESYQDLVQRLEPVIMELERQGNILVISHQAVMRCLLAYFLDKGADELPYLRCPLH IIFKLTPVAYGCKVETITLNVDAVDTHRDKPTHNFPKSQTPVRMRRNSFTPLSSSNTIRR PRNYSVGSRPLKPLSPLRALDMQEGADQPKTQVSIPVV >ENSMUSP00000003550.4 pep:known chromosome:GRCm38:1:172066013:172082795:-1 gene:ENSMUSG00000003458.12 transcript:ENSMUST00000003550.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncstn description:nicastrin [Source:MGI Symbol;Acc:MGI:1891700] MATTRGGSGPDPGSRGLLLLSFSVVLAGLCGGNSVERKIYIPLNKTAPCVRLLNATHQIG CQSSISGDTGVIHVVEKEEDLKWVLTDGPNPPYMVLLEGKLFTRDVMEKLKGTTSRIAGL AVTLAKPNSTSSFSPSVQCPNDGFGIYSNSYGPEFAHCKKTLWNELGNGLAYEDFSFPIF LLEDENETKVIKQCYQDHNLGQNGSAPSFPLCAMQLFSHMHAVISTATCMRRSFIQSTFS INPEIVCDPLSDYNVWSMLKPINTSVGLEPDVRVVVAATRLDSRSFFWNVAPGAESAVAS FVTQLAAAEALHKAPDVTTLSRNVMFVFFQGETFDYIGSSRMVYDMENGKFPVRLENIDS FVELGQVALRTSLDLWMHTDPMSQKNESVKNQVEDLLATLEKSGAGVPEVVLRRLAQSQA LPPSSLQRFLRARNISGVVLADHSGSFHNRYYQSIYDTAENINVTYPEWQSPEEDLNFVT DTAKALANVATVLARALYELAGGTNFSSSIQADPQTVTRLLYGFLVRANNSWFQSILKHD LRSYLDDRPLQHYIAVSSPTNTTYVVQYALANLTGKATNLTREQCQDPSKVPNESKDLYE YSWVQGPWNSNRTERLPQCVRSTVRLARALSPAFELSQWSSTEYSTWAESRWKDIQARIF LIASKELEFITLIVGFSTLVFSLIVTYCINAKADVLFVAPREPGAVSY >ENSMUSP00000119128.1 pep:known chromosome:GRCm38:1:172066013:172082795:-1 gene:ENSMUSG00000003458.12 transcript:ENSMUST00000140643.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ncstn description:nicastrin [Source:MGI Symbol;Acc:MGI:1891700] MATTRGGSGPDPGSRGLLLLSFSVVLAGLCGGNSVERKIYIPLNKTAPCVRLLNATHQIG CQ >ENSMUSP00000120663.1 pep:known chromosome:GRCm38:1:172066013:172082795:-1 gene:ENSMUSG00000003458.12 transcript:ENSMUST00000146137.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ncstn description:nicastrin [Source:MGI Symbol;Acc:MGI:1891700] MATTRGGSGPDPGSRGLLLLSFSVVLAGLCGGNSVERKIYIPLNKTAPCVRLLNATHQIG CQ >ENSMUSP00000082034.7 pep:known chromosome:GRCm38:12:111039351:111115901:1 gene:ENSMUSG00000037896.17 transcript:ENSMUST00000084968.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor1 description:REST corepressor 1 [Source:MGI Symbol;Acc:MGI:106340] MPAMVEKGPEVSGKRRGRNTAASAASAAASAASAAASAAASAGTASASAAAAASAAAAPN NGQNKSLAAAAPNGNSGSNSWEEGSSGSSSDEEHGGGGMRVGPQYQAAVPDFDPAKLARR SQERDNLGMLVWSPNQSLSEAKLDEYIAIAKEKHGYNMEQALGMLFWHKHNIEKSLADLP NFTPFPDEWTVEDKVLFEQAFSFHGKTFHRIQQMLPDKSIASLVKFYYSWKKTRTKTSVM DRHARKQKREREESEDELEETNGSNPVDIEIDPNKESKKEVPPTETVPQVKKEKHSTQAK NRAKRKPPKGMFLSQEDVEAVSANATAATTVLRQLDMELVSIKRQIQNIKQTNSALKEKL DGGIEPYRLPEVIQKCNARWTTEEQLLAVQAIRKYGRDFQAISDVIGNKSVVQVKNFFVN YRRRFNIDEVLQEWEAEHGKDETNGPANQKPVKSPESSIKIPEEEDEAASVLDVRYASAS >ENSMUSP00000112089.3 pep:known chromosome:GRCm38:12:111039798:111113384:1 gene:ENSMUSG00000037896.17 transcript:ENSMUST00000116388.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor1 description:REST corepressor 1 [Source:MGI Symbol;Acc:MGI:106340] MRVGPQYQAAVPDFDPAKLARRSQERDNLGMLVWSPNQSLSEAKLDEYIAIAKEKHGYNM EQALGMLFWHKHNIEKSLADLPNFTPFPDEWTVEDKVLFEQAFSFHGKTFHRIQQMLPDK SIASLVKFYYSWKKTRTKTSVMDRHARKQKREREESEDELEETNGSNPVDIEIDPNKESK KEVPPTETVPQVKKEKHSTQAKNRAKRKPPKGMFLSQEDVEAVSANATAATTVLRQLDME LVSIKRQIQNIKQTNSALKEKLDGGIEPYRLPEVIQKCNARWTTEEQLLAVQAIRKYGRD FQAISDVIGNKSVVQVKNFFVNYRRRFNIDEVLQEWEAEHGKDETNGPANQKPVKSPESS IKIPEEEDEAASVLDVRYASAS >ENSMUSP00000062780.3 pep:known chromosome:GRCm38:6:58905233:58907076:-1 gene:ENSMUSG00000055430.4 transcript:ENSMUST00000059539.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l5 description:nucleosome assembly protein 1-like 5 [Source:MGI Symbol;Acc:MGI:1923555] MADPEKQGPAESRAEDEVMEGAQGGEDAATGDSAAAPAAEEPQAPAENAPKPKKDFMESL PNSVKCRVLALKKLQKRCDKIEAKFDKEFQALEKKYNDIYKPLLAKIQELTGEMEGCAWT LEGEDDEDDEEEDDEEEEEEEEAAAGATGGPNFAKK >ENSMUSP00000145365.1 pep:known chromosome:GRCm38:6:58905804:58906673:-1 gene:ENSMUSG00000055430.4 transcript:ENSMUST00000205101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l5 description:nucleosome assembly protein 1-like 5 [Source:MGI Symbol;Acc:MGI:1923555] IYKPLLAKIQELTGEMEGCAWTLEGEDDEDDEEEDDEEEEEEEEAAAGATGGPNFAKK >ENSMUSP00000088056.3 pep:known chromosome:GRCm38:6:22875502:23052916:1 gene:ENSMUSG00000068748.7 transcript:ENSMUST00000090568.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprz1 description:protein tyrosine phosphatase, receptor type Z, polypeptide 1 [Source:MGI Symbol;Acc:MGI:97816] MRILQSFLACVQLLCLCRLDWAYGYYRQQRKLVEEIGWSYTGALNQKNWGKKYPICNSPK QSPINIDEDLTQVNVNLKKLKFQGWEKASLENTFIHNTGKTVEINLTNDYYLSGGLSEKV FKASKITFHWGKCNVSSEGSEHSLEGQKFPLEMQVYCFDADRFSSFEEAVKGKGRLRALS ILFEVGVEENLDYKAIIDGTESVSRFGKQAALDPFVLQNLLPNSTDKYYIYNGSLTSPPC TDTVEWIVFKDTVSISESQLAVFCEVLTMQQSGYVMLMDYLQNNFREQQYKFSRQVFSSY TGKEEIHEVVCSSEPENVQADPENYTSLLVTWERPRVVYDAMIEKFAVLYQPLAGNDQAK HEFLTDGYQDLGAILNNLLPNMSYVLQIVAVCSNGLYGKYSDQLIVDMPTEDAELDLFPE LIGTEEIIKEEEYGKDNEEDTGLNPGRDSVTNQIRKKEPQVSTTTHYNHMGTKYNEAKTN RSPTRGSEFSGKSDVPNTSPNSTSQHVAEFETERGISLPSQTGTNLPPHNVEGTSASLNS GSKTLFIFPQMNLSGTAESLNTVPITEYKEVSADVSEEENFLTDFKLDTGADDSSGSSPS TSTVPFSSDNLSHGYITSSDMPEAITYDVLKPGSTRNAPEDSAPSGSEESLKDPSLEGSV WFPGSTDLTTQSETGSGRESFLQVNSTDIQIDESRETTESFSPDATVSQDPSVTDMGMPH YSTFAYLPTEVTPQAFTPSSRPLDLAPTINILHSQTTQPVYNGETPLQPSYSSEVFPLAT PLLLDNQTLNTTPAASSSDSALHATPVSPSVGVSFESILSSYDDAPLLPFSSASFSSEMF RHLHTVSQTLPQVTSAAERDELSLHASLLVARGDLLLEPSLVQYSDVASHQATTRAASDT LGFGSESAVFYKTSMVSQIESPRSDVVMHAYSSGPEPSYTVEGSHHVPTVSYSSAMPLHG SVDVSDQGSLLINPSHISMPESSFITPTASLLQPPPALSGDGEWSGASSDSELLLPDADG LRTLNISSPVSVAEFTYTTSVFADGIKPLSKSEMMYGNETELKMSSFSDMAYPSKSTVVP KMSDVVHKWSESLKETSVSISSMKSVFPESLVYPTTKGFEQGVSHVPEIIFPVQPTHTAS QASGDTWLKPGLSANSEAAFSDTASREVVHPSTQPLLYEAATPFNTEALLQPSFQASDVD TLLKTALPSVPSDPILAGTPQVEQSSSSVSHPMASESGSSESMLHFTSVPILDISPSKVH STPLQGLTVPHSSKKFSEQGLLKSKSPQQVLPSLFSNDEFFQSAHLDVSQAYPPKGRHAF VTPVLSIDEPQNTLINKLVYSEDIFSSTEISITDKVLTGLPTLASDVLSSTDHSVPLGSG PISLTMVSPNRDDSVTTAKLLLPSTATSKLTQSARSDADLVGGGEDGDDYDDDDYDDIDR GRFPVNKCMSCLPYRESREKVMNDSDTQESSLVDQSDPISPLLFENTEEENGGTGVTRVD KSPPPSMLPQNHNDGKEDSDIQMGSAVLPHTPGSKAWAVLTSDEESGSGQGTSDSLNDNE TSTDFSFPDVNEKDTDGVLETDDTGIAPGSPRSSTPSVTSGHSGVSNSSEAEASNSSHES RIGLAEGLESEKKAVIPLVIVSALTFICLVVLVGILIYWRKCFQTAHFYLEDNTSPRVIS TPPTPIFPISDDIGAIPIKHFPKHVADLHASNGFTEEFETLKEFYQEVQSCTADLGITAD SSNHPDNKHKNRYVNIVAYDHSRVKLTQLAEKDGKLTDYINANYVDGYNRPKAYIAAQGP LKSTAEDFWRMIWEHNVEVIVMITNLVEKGRRKCDQYWPTDGSEEYGSFLVNQKSVQVLA YYTVRNFTLRNTKLKKGSQKGRSSGRLVTQYHYTQWPDMGVPEYSLPVLAFVRKAAQAKR HAVGPVVVHCSAGVGRTGTYIVLDSMLQQIQHEGTVNIFGFLKHIRSQRNYLVQTEEQYV FIHDTLVEAILSKETEVPDSHIHSYVNTLLIPGPTGKTKLEKQFQLLSQSNILQSDYSTA LKQCNREKNRTSSIIPVERSRVGISSLSGEGTDYINASYIMGYYQSNEFIITQHPLLHTI KDFWRMIWDHNAQLVVMIPDGQNMAEDEFVYWPNKDEPINCESFKVTLMSEEHKCLSNEE KLIVQDFILEATQDDYVLEVRHFQCPKWPNPDSPISKTFELISIIKEEAANRDGPMIVHD EHGGVTAGTFCALTTLMHQLEKENAMDVYQVAKMINLMRPGVFTDIEQYQFLYKVVLSLV STRQEENPSTSLDSNGAALPDGNIAESLESLV >ENSMUSP00000144605.1 pep:known chromosome:GRCm38:6:22875722:23004678:1 gene:ENSMUSG00000068748.7 transcript:ENSMUST00000202579.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprz1 description:protein tyrosine phosphatase, receptor type Z, polypeptide 1 [Source:MGI Symbol;Acc:MGI:97816] MRILQSFLACVQLLCLCRLDWAYGYYRQQRKLVEEIGWSYTGALNQKNWGKKYPICNSPK QSPINIDEDLTQVNVNLKKLKFQGWEKASLENTFIHNTGKTVEINLTNDYYLSGGLSEKV FKASKITFHWGKCNVSSEGSEHSLEGQKFPLEMQVYCFDADRFSSFEEAVKGKGRLRALS ILFEVGVEENLDYKAIIDGTESVSRFGKQAALDPFVLQNLLPNSTDKYYIYNGSLTSPPC TDTVEWIVFKDTVSISESQLAVFCEVLTMQQSGYVMLMDYLQNNFREQQYKFSRQVFSSY TGKEEIHEVVCSSEPENVQADPENYTSLLVTWERPRVVYDAMIEKFAVLYQPLAGNDQAK HEFLTDGYQDLGAILNNLLPNMSYVLQIVAVCSNGLYGKYSDQLIVDMPTEDAELDLFPE LIGTEEIIKEEEYGKDNEEDTGLNPGRDSVTNQIRKKEPQVSTTTHYNHMGTKYNEAKTN RSPTRGSEFSGKSDVPNTSPNSTSQHVAEFETERGISLPSQTGTNLPPHNVEGTSASLNS GSKTLFIFPQMNLSGTAESLNTVPITEYKEVSADVSEEENFLTDFKLDTGADDSSGSSPS TSTVPFSSDNLSHGYITSSDMPEAITYDVLKPGSTRNAPEDSAPSGSEESLKDPSLEGSV WFPGSTDLTTQSETGSGRESFLQVNSTDIQIDESRETTESFSPDATVSQDPSVTDMGMPH YSTFAYLPTEVTPQAFTPSSRPLDLAPTINILHSQTTQPVYNGETPLQPSYSSEVFPLAT PLLLDNQTLNTTPAASSSDSALHATPVSPSVGVSFESILSSYDDAPLLPFSSASFSSEMF RHLHTVSQTLPQVTSAAERDELSLHASLLVARGDLLLEPSLVQYSDVASHQATTRAASDT LGFGSESAVFYKTSMVSQIESPRSDVVMHAYSSGPEPSYTVEGSHHVPTVSYSSAMPLHG SVDVSDQGSLLINPSHISMPESSFITPTASLLQPPPALSGDGEWSGASSDSELLLPDADG LRTLNISSPVSVAEFTYTTSVFADGIKPLSKSEMMYGNETELKMSSFSDMAYPSKSTVVP KMSDVVHKWSESLKETSVSISSMKSVFPESLVYPTTKGFEQGVSHVPEIIFPVQPTHTAS QASGDTWLKPGLSANSEAAFSDTASREVVHPSTQPLLYEAATPFNTEALLQPSFQASDVD TLLKTALPSVPSDPILAGTPQVEQSSSSVSHPMASESGSSESMLHFTSVPILDISPSKVH STPLQGLTVPHSSKKFSEQGLLKSKSPQQVLPSLFSNDEFFQSAHLDVSQAYPPKGRHAF VTPVLSIDEPQNTLINKLVYSEDIFSSTEISITDKVLTGLPTLASDVLSSTDHSVPLGSG PISLTMVSPNRDDSVTTAKLLLPSTATSKLTQSARSDADLVGGGEDGDDYDDDDYDDIDR GRFPVNKCMSCLPYRESREKVMNDSDTQESSLVDQSDPISPLLFENTEEENGGTGVTRVD KSPPPSMLPQNHNDGKEDSDIQMGSAVLPHTPGSKAWAVLTSDEESGSGQGTSDSLNDNE TSTDFSFPDVNEKDTDGVLETDDTGIAPGSPRSSTPSVTSGHSGVSNSSEAG >ENSMUSP00000143902.1 pep:known chromosome:GRCm38:6:22875777:23052617:1 gene:ENSMUSG00000068748.7 transcript:ENSMUST00000202102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprz1 description:protein tyrosine phosphatase, receptor type Z, polypeptide 1 [Source:MGI Symbol;Acc:MGI:97816] MRILQSFLACVQLLCLCRLDWAYGYYRQQRKLVEEIGWSYTGALNQKNWGKKYPICNSPK QSPINIDEDLTQVNVNLKKLKFQGWEKASLENTFIHNTGKTVEINLTNDYYLSGGLSEKV FKASKITFHWGKCNVSSEGSEHSLEGQKFPLEMQVYCFDADRFSSFEEAVKGKGRLRALS ILFEVGVEENLDYKAIIDGTESVSRFGKQAALDPFVLQNLLPNSTDKYYIYNGSLTSPPC TDTVEWIVFKDTVSISESQLAVFCEVLTMQQSGYVMLMDYLQNNFREQQYKFSRQVFSSY TGKEEIHEVVCSSEPENVQADPENYTSLLVTWERPRVVYDAMIEKFAVLYQPLAGNDQAK HEFLTDGYQDLGAILNNLLPNMSYVLQIVAVCSNGLYGKYSDQLIVDMPTEDAELDLFPE LIGTEEIIKEEEYGKDNEEDTGLNPGRDSVTNQIRKKEPQVSTTTHYNHMGTKYNEAKTN RSPTRGSEFSGKSDVPNTSPNSTSQHVAEFETERGISLPSQTGTNLPPHNVEGTSASLNS GSKTLFIFPQMNLSGTAESLNTVPITEYKEVSADVSEEENFLTDFKLDTGADDSSGSSPS TSTVPFSSDNLSHGYITSSDMPEAITYDVLKPGSTRNAPEDSAPSGSEESLKDPSLEGSV WFPGSTDLTTQSETGSGRESFLQVNSTDIQIDESRETTESFSPDATVSQDPSVTDMGMPH YSTFAYLPTEVTPQAFTPSSRPLDLAPTINILHSQTTQPVYNEASNSSHESRIGLAEGLE SEKKAVIPLVIVSALTFICLVVLVGILIYWRKCFQTAHFYLEDNTSPRVISTPPTPIFPI SDDIGAIPIKHFPKHVADLHASNGFTEEFETLKEFYQEVQSCTADLGITADSSNHPDNKH KNRYVNIVAYDHSRVKLTQLAEKDGKLTDYINANYVDGYNRPKAYIAAQGPLKSTAEDFW RMIWEHNVEVIVMITNLVEKGRRKCDQYWPTDGSEEYGSFLVNQKSVQVLAYYTVRNFTL RNTKLKKGSQKGRSSGRLVTQYHYTQWPDMGVPEYSLPVLAFVRKAAQAKRHAVGPVVVH CSAGVGRTGTYIVLDSMLQQIQHEGTVNIFGFLKHIRSQRNYLVQTEEQYVFIHDTLVEA ILSKETEVPDSHIHSYVNTLLIPGPTGKTKLEKQFQLLSQSNILQSDYSTALKQCNREKN RTSSIIPVERSRVGISSLSGEGTDYINASYIMGYYQSNEFIITQHPLLHTIKDFWRMIWD HNAQLVVMIPDGQNMAEDEFVYWPNKDEPINCESFKVTLMSEEHKCLSNEEKLIVQDFIL EATQDDYVLEVRHFQCPKWPNPDSPISKTFELISIIKEEAANRDGPMIVHDEHGGVTAGT FCALTTLMHQLEKENAMDVYQVAKMINLMRPGVFTDIEQYQFLYKVVLSLVSTRQEENPS TSLDSNGAALPDGNIAESLESLV >ENSMUSP00000139985.1 pep:known chromosome:GRCm38:9:56201126:56244065:-1 gene:ENSMUSG00000074305.9 transcript:ENSMUST00000188142.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peak1 description:pseudopodium-enriched atypical kinase 1 [Source:MGI Symbol;Acc:MGI:2442366] MSLNGELCDRVTHEVAGELSPRDPRTPAGKQDGTSVTPTLPPPDLEREEEKDDTLDPTDV SPCSATYSNLGQSRAAMIPPKHPRHPKGAVDDAIAFGEKTDQEGLNASQPTPPPLPKKMI RANTEPISKDLQKAMESSLCVMANPTYDIDPNWDASSAGSSISYELKGLDVESYESLERP LHKERPVPSAANSISSLATLSVKDRFSNSMESLSSRRGLSYRQTRSIQKPQRQALYRGLD NREEVVGKLRSLHTDALKRLAVKCEDLFMAGQKDQLRFGVDSWSDFRLTSDKPCCEAGDA VYYTASYAKDPLSNYAVKICKSKAKESQQYYHSLAVRQSLPVHFNIQQDCGHFLAEVPSR LLPWEDPDAPEKAEDGTEDSEEEGKAETLGGNPEPCSETEPSQKENQRVTNRKQRSHVVV ITREVPHLTVADFVRDSLAHHGNSPDLYERQVCLLLLQLCSGLEHLKPYHVTHCDLRLEN LLLVQHQPGGAAQGPSPADPCPTLACPTRLIVSNFSQAKQKSHLVDPQILRDQSRLAPEI ITATQYKKCDEFQTGILIYEMLHLPNPFDENPELKEKEYTRTDLPRIPLRSPYSWGLQQL ASCLLNPNPSERILISDAKGILQCLLWGPREDLFQIFTTSATLAQKNALLQNWLDIKRTL LMIKFAEKSLDREGGISLEDWLCAQYLAFATTDSLSYIVKILQYR >ENSMUSP00000109901.2 pep:known chromosome:GRCm38:9:56205129:56418067:-1 gene:ENSMUSG00000074305.9 transcript:ENSMUST00000061552.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peak1 description:pseudopodium-enriched atypical kinase 1 [Source:MGI Symbol;Acc:MGI:2442366] MSACNTFTEHVWKPGECKNCFKPKSLHQLPPDSEKTPITHGSGKTNANHSNNHRVRSTGN FRPPVAKKPTIAVKPTMMVADGQSVCGELSIQEHCENKPVILGWNQNKTSLSQKPLNNNS EGDAEGFGSDPQQCANNDSAQKISNNNNGLTEVLKEIAGLEATPPVRGNETNARETFLGR INDCYKRSLERKIPPSCMTGSMKDSQGKHVILSGSAEVISNEGGRFCYPEFSSGEESEED VLFSNMEEEHESWDESDEELLAMEIRMRGQPRFANFRANTLSPVRFFVSKKWNTIPLRNK SLQRICAVDYDDSYDEILNGYEENSGVSYGQGSVQSTISSDCTSPGSSFTEESRSETASS LSQKVCNGGISPGNPGNSKDIAETESNFESPPGNNEEKDESLTSKSSVKVPETHKAVLAL RLQEKDGKIAVHTEKPESKASTDIAGQAVTISLVPVEEQTKPYRVVNLEQPLCKPYTVVD VSAAMASEHLGRPKIKGSSSTPNSPVTSPALTPGQINAHLKKSSAIRYQEVWTSSTSPRQ KIPKIELSTGGPGPNVPPRKNCHKSAPTSPTATNISSKTIPVKSPNLSEIKFNSYNNAGM PPFPIIIHDEPSYARSSKNAIKVPIVINPNAYDNLAIYKSFLGTSGELSVKEKTTSVISH TYEEIETESKVSDSTPSKLTDCPQAKGFSNSTERKRGSVAQKVQEFNNCLNRGQSSPQRS YSSTHSSPAKIQRPTQEPAGKTEGAQGSQVPGSSSNSTREKASAVLCQIVASIQPPQTPP EAPQSSPKACSVEELYAVPPDADTTKSIPKNPPVRPKSLFTSQSSGEGEAHQTTESPTAK IQKDPSTKPVTSPPSKLVTSAQSEPPPPFPPPRSTSSPYHASNLLQRHFTNWTKPTSPTR STEAESILHSEGSRRAADAKPKRWISFKSFFRRRKTDEEEEKEKEREKGKLVGLDGTVIH MLPPPPVQRHHWFTEAKGEASEKPAIVFMYRCDPDQGHLSVDQSKAGAEKGRAEEVLLRN SEEKKSSYLPSQIPDKACSRVTHEVAGELSPRDPRTPAGKQDGTSVTPTLPPPDLEREEE KDDTLDPTDVSPCSATYSNLGQSRAAMIPPKHPRHPKGAVDDAIAFGEKTDQEGLNASQP TPPPLPKKMIRANTEPISKDLQKAMESSLCVMANPTYDIDPNWDASSAGSSISYELKGLD VESYESLERPLHKERPVPSAANSISSLATLSVKDRFSNSMESLSSRRGLSYRQTRSIQKP QRQALYRGLDNREEVVGKLRSLHTDALKRLAVKCEDLFMAGQKDQLRFGVDSWSDFRLTS DKPCCEAGDAVYYTASYAKDPLSNYAVKICKSKAKESQQYYHSLAVRQSLPVHFNIQQDC GHFLAEVPSRLLPWEDPDAPEKAEDGTEDSEEEGKAETLGGNPEPCSETEPSQKENQRVT NRKQRSHVVVITREVPHLTVADFVRDSLAHHGNSPDLYERQVCLLLLQLCSGLEHLKPYH VTHCDLRLENLLLVQHQPGGAAQGPSPADPCPTLACPTRLIVSNFSQAKQKSHLVDPQIL RDQSRLAPEIITATQYKKCDEFQTGILIYEMLHLPNPFDENPELKEKEYTRTDLPRIPLR SPYSWGLQQLASCLLNPNPSERILISDAKGILQCLLWGPREDLFQIFTTSATLAQKNALL QNWLDIKRTLLMIKFAEKSLDREGGISLEDWLCAQYLAFATTDSLSYIVKILQYR >ENSMUSP00000140080.1 pep:known chromosome:GRCm38:9:56260557:56418042:-1 gene:ENSMUSG00000074305.9 transcript:ENSMUST00000186735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peak1 description:pseudopodium-enriched atypical kinase 1 [Source:MGI Symbol;Acc:MGI:2442366] MSACNTFTEHVWKPGECKNCFKPKSLHQL >ENSMUSP00000113868.2 pep:known chromosome:GRCm38:5:122323223:122354232:-1 gene:ENSMUSG00000038569.13 transcript:ENSMUST00000117263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad9b description:RAD9 homolog B [Source:MGI Symbol;Acc:MGI:2385231] MFFQHYQWSPFATMSDTDLPLNLNCKLAIKSVLPIFRCLNYLERSVEKCTVVARADKCRV VIQFFGKHGIKRTHNVYFQDSQPLKIIFEKSLCANILMIKPRLLTEAIALLTSNQEEVTF SVTPGNFCLKSLSGELLDLTSSVYSEMSFGPEEFDFFQVGLDTEITFCFKELKGILTFSE VMHAPLAIYFDFPGKPVVLSVEDMLLEANFILATLVDYPSRTSSPQLLPLSQARRSHPIQ SSAPEDKSRVSQTPESISRAAPKRLFPKDSPDSSSAAETKRASAGQDDIFEVPESVVSDM EEERSPSHLRKFSCMFFGAVSCEQQEYAGHPLDSLAVASDSEEDPSAALVPVRSAVGGRK HAVKSV >ENSMUSP00000120843.1 pep:known chromosome:GRCm38:5:122325506:122351294:-1 gene:ENSMUSG00000038569.13 transcript:ENSMUST00000149600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad9b description:RAD9 homolog B [Source:MGI Symbol;Acc:MGI:2385231] XCRVVIQFFGKHGIKRTHNVYFQDSQPLKIIFEKSLCANILMIKPRLLTEAIALLTSNQE EVTFSVTPGNFCLKSLSGELLVFLHVLWSCIL >ENSMUSP00000036177.6 pep:known chromosome:GRCm38:5:122325508:122354233:-1 gene:ENSMUSG00000038569.13 transcript:ENSMUST00000049009.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad9b description:RAD9 homolog B [Source:MGI Symbol;Acc:MGI:2385231] MLKCGMTGGQVKVFGKAVQTLSRVSDELWLDPSEKGLALRSVNSCHSTYGYVLFSSMFFQ HYQWSPFATMSDTDLPLNLNCKLAIKSVLPIFRCLNYLERSVEKCTVVARADKCRVVIQF FGKHGIKRTHNVYFQDSQPLKIIFEKSLCANILMIKPRLLTEAIALLTSNQEEVTFSVTP GNFCLKSLSGELLDLTSSVYSEMSFGPEEFDFFQVGLDTEITFCFKELKGILTFSEVMHA PLAIYFDFPGKPVVLSVEDMLLEANFILATLVDYPSRTSSPQLLPLSQARRSHPIQSSAP EDKSRVSQTPESISRAAPKRLFPKDSPDSSSAAETKRASAGQDDIFEVPESVVSDMEEER SPSHLRKFSCMFFGAVSCEQQEYAGHPLDSLAVASDSEEDVSG >ENSMUSP00000117334.1 pep:known chromosome:GRCm38:5:122351272:122352785:-1 gene:ENSMUSG00000038569.13 transcript:ENSMUST00000144268.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad9b description:RAD9 homolog B [Source:MGI Symbol;Acc:MGI:2385231] MFFQHYQWSPFATMSDTDLPLNLNCKLAIKSVLPIFRCLNYLERSVEKCTVVARADKCRV VIQF >ENSMUSP00000101723.1 pep:known chromosome:GRCm38:11:121204435:121222647:1 gene:ENSMUSG00000039307.16 transcript:ENSMUST00000106117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexdc description:hexosaminidase (glycosyl hydrolase family 20, catalytic domain) containing [Source:MGI Symbol;Acc:MGI:3605542] MSSPTPFKMRLVHLDLKGAPPKVSYLSEVFPLFHALGANGLLIEYEDMFPYEGHLRLLRA KHAYSPSEVTEILRLARLSELEVIPLVQTFGHMEFVLKHAAFAHLREVALFPNTLNPHEA ESLALVQAMIDQILELHRDVRWLHIGCDEVYYLGEGETSKQWLQQEQNSHAKLCLSHMQA VASHVLTQHPGVTPLVWDDMLRDIPQEQLKASGVPQLVEPVLWDYGADLDVHGKVFLIGK YQECGFQRLWAASAFKGATGASQALPPVEHHIRNHELWLQVAGSGPKDALQGIILTGWQR YDHFSVLCELLPVGIPSLAACLQSLVHGGFAEDVRLRAERFLGVSSLEIADTVSEGAGSF PGSDIHALVTQISLHLRSSVDTLLERNRYVTGWFSPYHRRRKLVHPVMIQHIQPEALSLL SRWNNLVQQLEVALQPVFYPDTIEEWLEENVLPSLQRLQDLLQDLGEAAARQPPPTSPGW DTGQNP >ENSMUSP00000122008.1 pep:known chromosome:GRCm38:11:121206638:121214935:1 gene:ENSMUSG00000039307.16 transcript:ENSMUST00000124768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexdc description:hexosaminidase (glycosyl hydrolase family 20, catalytic domain) containing [Source:MGI Symbol;Acc:MGI:3605542] MSSPTPFKMRLVHLDLKGAPPKVSYLSEVFPLFHALGANGLLIEYEDMFPYEGHLRLLRA KHAYSPSEVTEILRLARLSELEVIPLVQTFGHMEFVLKHAAFAHLREVALFPNTLNPHEA ESLALVQAMIDQILELHRDVRWLHIGCDEVSD >ENSMUSP00000123073.1 pep:known chromosome:GRCm38:11:121207703:121222647:1 gene:ENSMUSG00000039307.16 transcript:ENSMUST00000151495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexdc description:hexosaminidase (glycosyl hydrolase family 20, catalytic domain) containing [Source:MGI Symbol;Acc:MGI:3605542] XTPFKMRLVHLDLKGAPPKVSYLSEVFPLFHALGANGLLIEYEDMFPYEGHLRLLRAKHA YSPSEVTEILRLARLSELEVIPLVQTFGHMEFVLKHAAFAHLREVALFPNTLNPHEAESL ALVQAMIDQILELHRDVRWLHIGCDEVYYLGEGETSKQWLQQEQNSHAKLCLSHMQAVAS HVLTQHPGVTPLVWDDMLRDIPQEQLKASGVPQLVEPVLWDYGADLDVHGKVFLIGKYQE CGFQRLWAASAFKGATGASQALPPVEHHIRNHELWLQVAGSGPKDALQGIILTGWQRYDH FSVLCELLPVGIPSLAACLQSLVHGALDYSASEPGHLYMDCVVRPGAPADCAATTFHMFT GKQPPGLAGAPQDAVPFAHSTQRSGVLSEHFCLWTVSGGFAEDVRLRAERFLGVSSLEIA DTVSEGAGSFPGSDIHALVTQISLHLRSSVDTLLERNRYVTGWFSPYHRRRKLVHPVMIQ HIQPEALSLLSRWNNLVQQLEVALQPVFYPDTIEEWLEENVLPSLQRLQDLLQDLGEAAA RQPPPTSPGWDTGQNP >ENSMUSP00000116406.1 pep:known chromosome:GRCm38:11:121212050:121221025:1 gene:ENSMUSG00000039307.16 transcript:ENSMUST00000124761.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexdc description:hexosaminidase (glycosyl hydrolase family 20, catalytic domain) containing [Source:MGI Symbol;Acc:MGI:3605542] XLFHALGANGLLIEYEDMFPYEGHLRLLRAKHAYSPSEVTEILRLARLSELEVIPLVQTF GHMEFVLKHAAFAHLREVALFPNTLNPHEAESLALVQAMIDQILELHRDVRWLHIGCDEV YYLGEGETSKQWLQQEQNSHAKLCLSHMQAVASHVLTQHPGVTPLVWDDMLRDIPQEQLK ASGVPQLVEPVLWDYGADLDVHGKVFLIGKYQECGFQRLWAASAFKGATGASQALPPVEH HIRNHELWLQVAGSGPKDALQGIILTGWQRYDHFSVLCELLPVGIPSLAACLQSLVHGAL DYSASEPGHLYMDCVVRPGAPADCAATTFHMFTGKQPPGLAGAPQDAVPFA >ENSMUSP00000048479.8 pep:known chromosome:GRCm38:11:121204433:121222655:1 gene:ENSMUSG00000039307.16 transcript:ENSMUST00000038831.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexdc description:hexosaminidase (glycosyl hydrolase family 20, catalytic domain) containing [Source:MGI Symbol;Acc:MGI:3605542] MSSPTPFKMRLVHLDLKGAPPKVSYLSEVFPLFHALGANGLLIEYEDMFPYEGHLRLLRA KHAYSPSEVTEILRLARLSELEVIPLVQTFGHMEFVLKHAAFAHLREVALFPNTLNPHEA ESLALVQAMIDQILELHRDVRWLHIGCDEVYYLGEGETSKQWLQQEQNSHAKLCLSHMQA VASHVLTQHPGVTPLVWDDMLRDIPQEQLKASGVPQLVEPVLWDYGADLDVHGKVFLIGK YQECGFQRLWAASAFKGATGASQALPPVEHHIRNHELWLQVAGSGPKDALQGIILTGWQR YDHFSVLCELLPVGIPSLAACLQSLVHGALDYSASEPGHLYMDCVVRPGAPADCAATTFH MFTGKQPPGLAGAPQDAVPFAHSTQRSGVLSEHFCLWTVSGGFAEDVRLRAERFLGVSSL EIADTVSEGAGSFPGSDIHALVTQISLHLRSSVDTLLERNRYVTGWFSPYHRRRKLVHPV MIQHIQPEALSLLSRWNNLVQQLEVALQPVFYPDTIEEWLEENVLPSLQRLQDLLQDLGE AAARQPPPTSPGWDTGQNP >ENSMUSP00000112018.3 pep:known chromosome:GRCm38:4:103038069:103114224:-1 gene:ENSMUSG00000035126.19 transcript:ENSMUST00000116316.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr78 description:WD repeat domain 78 [Source:MGI Symbol;Acc:MGI:2385328] MHSSPTSTRKQASFAASASAQPRKSISFINPSKSSAGKGYAASNPNKLAVSRTMGFLTDM KPAEKLNVPSAKTVQVLDSKGVDVTPRPLYHPDPHAASAKPNKLLTSQEGSLGSDYISSY SLYQNTLNPSMLGQYTRSVLGSSSVSKSSISTTESMSEDLEDSSYKRDRLASFTDVRVLR STAEAAISKEELEKTIEIILTETETLRFFDLPTVMFSTESEEAEKIIEKNKKYETLCRNR LGNDLYVERMMQTFNGAPKNKEVQCEKIILEEKGVVATTWDLYDSYNIPETLLAAKRSGY SSKGSLPAKDRDPKIQDSESSSLMDIENVILAKVQEDEEDNSEAILKSDKLHQDLFYMER VLMENVFQPKLAAYRQLPVYKEHEPEEPEETLQVENLKVAEDEPKKEDEEEVEMELELEI ATEQSTIPANLERLWSFSCDLTKGLNVSSLSWNKANPDLLAVGYGNFGFREQKKGMACCW SIKNPMWPERIYQSSYGVTSVDFSNSSPNLLAVGYHNGTVAIYNVQSSHNIPVLDSSESP QKHLGPVWQVQWIEQDRGTTGDDKREILVSISADGRISKWIIRKGLDCHGHEHLSGWYRR RPYSQVFLFIQ >ENSMUSP00000102481.3 pep:known chromosome:GRCm38:4:103038069:103114555:-1 gene:ENSMUSG00000035126.19 transcript:ENSMUST00000106868.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr78 description:WD repeat domain 78 [Source:MGI Symbol;Acc:MGI:2385328] MHSSPTSTRKQASFAASASAQPRKSISFINPSKSSAGKGYAASNPNKLAVSRTMGFLTDM KPAEKLNVPSAKTVQVLDSKGVDVTPRPLYHPDPHAASAKPNKLLTSQEGSLGSDYISSY SLYQNTLNPSMLGQYTRSVLGSSSVSKSSISTTESMSEDLEDSSYKRDRLASFTDVRVLR STAEAAISKEELEKTIEIILTETETLRFFDLPTVMFSTESEEAEKIIEKNKKYETLCRNR LGNDLYVERMMQTFNGAPKNKEVQCEKIILEEKGVVATTWDLYDSYNIPETLLAAKRSGY SSKGSLPAKDRDPKIQDSESSSLMDIENVILAKVQEDEEDNSEAILKSDKLHQDLFYMER VLMENVFQPKLAAYRQLPVYKEHEPEEPEETLQVENLKVAEDEPKKEDEEEVEMELELEI ATEQSTIPANLERLWSFSCDLTKGLNVSSLSWNKANPDLLAVGYGNFGFREQKKGMACCW SIKNPMWPERIYQSSYGVTSVDFSNSSPNLLAVGYHNGTVAIYNVQSSHNIPVLDSSESP QKHLGPVWQVQWIEQDRGTTGDDKREILVSISADGRISKWIIRKGLDCHDLMRLKRTTAT GGKKGGEKEKKGEALISRQAPGMCFAFHPKDTNIYLAGTEEGLIHKCSCSYNEQYLETYR GHKGPVYKVTWNPFCPDVFLSCSADWGVMIWHQDTVKPFLSFYPTTYVVYDVSWSPKSAY IFAAANENRVEIWDLQISTLDPLIVNVANPGIKFTTVLFAKETDCLLVGDSDGQVAVYEL RNMPTASDTSRGDVINILLGPKTNHTG >ENSMUSP00000119752.1 pep:known chromosome:GRCm38:4:103081937:103087356:-1 gene:ENSMUSG00000035126.19 transcript:ENSMUST00000148673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr78 description:WD repeat domain 78 [Source:MGI Symbol;Acc:MGI:2385328] XKIILEEKGVVATTWDLYDSYNIPETLLAAKRSGYSSKGSLPAKDRDPKIQGKYPGQKPD CIPSLQGVLPGLLTFPSTEHKY >ENSMUSP00000042272.8 pep:known chromosome:GRCm38:4:103038065:103114299:-1 gene:ENSMUSG00000035126.19 transcript:ENSMUST00000036557.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr78 description:WD repeat domain 78 [Source:MGI Symbol;Acc:MGI:2385328] MDIENVILAKVQEDEEDNSEAILKSDKLHQDLFYMERVLMENVFQPKLAAYRQLPVYKEH EPEEPEETLQVENLKVAEDEPKKEDEEEVEMELELEIATEQSTIPANLERLWSFSCDLTK GLNVSSLSWNKANPWPERIYQSSYGVTSVDFSNSSPNLLAVGYHNGTVAIYNVQSSHNIP VLDSSESPQKHLGPVWQVQWIEQDRGTTGDDKREILVSISADGRISKWIIRKGLDCHDLM RLKRTTATGGKKGGEKEKKGEALISRQAPGMCFAFHPKDTNIYLAGTEEGLIHKCSCSYN EQYLETYRGHKFGPSDRECC >ENSMUSP00000037588.8 pep:known chromosome:GRCm38:4:103038065:103114299:-1 gene:ENSMUSG00000035126.19 transcript:ENSMUST00000036451.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr78 description:WD repeat domain 78 [Source:MGI Symbol;Acc:MGI:2385328] MHSSPTSTRKQASFAASASAQPRKSISFINPSKSSAGKGYAASNPNKLAVSRTMGFLTDM KPAEKLNVPSAKTVQVLDSKGVDVTPRPLYHPDPHAASAKPNKLLTSQEGSLGSDYISSY SLYQNTLNPSMLGQYTRSVLGSSSVSKSSISTTESMSEDLEDSSYKRDRLASFTDVRVLR STAEAAISKEELEKTIEIILTETETLRFFDLPTVMFSTESEEAEKIIEKNKKYETLCRNR LGNDLYVERMMQTFNGAPKNKEVQCEKIILEEKGVVATTWDLYDSYNIPETLLAAKRSGY SSKGSLPAKDRDPKIQDSESSSLMDIENVILAKVQEDEEDNSEAILKSDKLHQDLFYMER VLMENVFQPKLAAYRQLPVYKEHEPEEPEETLQVENLKVAEDEPKKEDEEEVEMELELEI ATEQSTIPANLERLWSFSCDLTKGLNVSSLSWNKANPDLLAVGYGNFGFREQKKGMACCW SIKNPMWPERIYQSSYGVTSVDFSNSSPNLLAVGYHNGTVAIYNVQSSHNIPVLDSSESP QKHLGPVWQVQWIEQDRGTTGDDKREILVSISADGRISKWIIRKGLDCHGHEHLSGWYRR RPYSQVFLFIQ >ENSMUSP00000088727.5 pep:known chromosome:GRCm38:Y:1298961:1459782:-1 gene:ENSMUSG00000069044.6 transcript:ENSMUST00000091188.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp9y description:ubiquitin specific peptidase 9, Y chromosome [Source:MGI Symbol;Acc:MGI:1313274] MTITTRGSPVGENESQGQTSDGQPQPSFQQNQISSSDSSNETSPTTPPYEQGQGDAPPQH EEEDPSFPHTDLAKLEDMINRSRWVVPVLPKGELEVLLEASIDLTKKGLDVKSEACQRFF RDVLTVSFSKILMDEAVSGWKFEIHRCIINNTHRLVELCVAKLSQDWFPFLELLAIALNP HCKFHVYNGARPCESVSSSVQFPEDELFACSPDLHSPKGWLVDLINTFGTLNGFQILHDR FTSGSALNVQTIAALIKPFGQCYEFLTQHTLRKYFIPVIEVVPQILQKLTNEELKKETKT EVKNDTISMIIKFLKNLASRIPGQEETVKNLETFRLKMILRLLQISSFNGKMNALNEINK VLSSVSYYTHGHGNSEEEWLTVERMTEWIQQNNILSIVLQDSLHQPQYVEKIEKILRFVI KEKALTLQDLNNIWAAQAGKHEAIVKNVHDLLAKLAWNFSPEQLDHLFDCFKASWTNASK KQREKLLELIRRLAEDDKDGVMAHKVLNLLWNLAHSDDVPVDIMDLALSAHIKILDYSCS QDRDTQKIQWIDCFIEEFRTNNKWVIPALKQIKEICSLFGEAPQNLSQTHQSPRVFYRHD LISQLQHNHALVTLVAENLAAYMNSIRLYARDHEDYDPQTVRLGSRYSHVQEVQERLNFL RFLLKDGQLWLCVSQAKQIWNCLAENAVYFSDREACFMWYSKLMGDEPDLHPDINKEFFE SNVLQLDPSLLTENGMKCFERFFKTVNCREGKLMIKRKIYMMDDLDLIGLDYLWKVVIQS NDDISSRAIDLLKEIYTSLGPKLQANQVVIHEDFIQSCFDRLKASYDTLCVLDSEKDNIF SCARQEAIRMVRILTVLREYISEYDSDYHEERMILPMSRAFRGKHLSFTVRFPNQGKEVE DLDILSHTNATIGSVRRCILNRMNVNVAHTKIELFIGGELVASEDDRKLVEQLNLKDKSL ITAKFIQINSNMPSSPDSSSDSSAGPPGNHSHNNYRDVSNPEMEKCLPGVIMSLQPRYIS FLWQVADLGSMLTVPTLRDGARILMKLMPPDSTTLEQLRALCSDHVNLGERRLGQSLHSL FFGSSASQVLYLTEVVYTLLMPAGAPLADISSDFQYHFLKSGGLPLVLSMLIQNNFLPNT DVETRRDAYFSALKIAKLLLTIVGYGHVQAIAEACQPVADGTDPKTPINQVTHDQAVVLQ NALQSIPNPSSECMLRNVSVHLAQQISGLASRYIPDICVIRAIQKIIWAAGCGSLELVFS PNEDITETYKMTTSTRSNLEVKDEQVCCEALEVMTLCFALIPTAMDSLNKEKAWQSFVID LLLYCPSKTVRQLAQEQFFLICTRCCMGHRPLLFFITLLFTILGGAANEKGKHSDVYFTL LRRLLTYAYNSNIQVPNVDVLLNDEIDWLKRVRDYIKNTGETNVEDPILEGHLGVTKELL SFQSPEKKYHIGCKTGGANLVKELIDYFIFPASKAYLQYMRSGELPIKQAIPVCGSPATI NAGFELLVALAFGCVRNLKQIVNCLTELFYIGTPVTTCEAVGEWEYLPPVGPRPPKGFVG LKNAGATCYMNSVIQQLYMIPSIRNSILAIDSIWSDTDDDIFKGEKQDSENNVDPRDDVF RYPHQFEDKPTLSKVEDRKEYNIAVLKHLQITFGHLAASQLQYYVPKGFWQQFRLWGEPV NLREQHDALEFFNSLVDSLDEAFKALGYPTVLSKVLGGSFADQKICQGCPHRYECEESFT TLNVDIRNHQNLLDSLEQYVKGDLLEGANAYHCEKCDKKVDTVKRLLIKKLPSVLTIQLK RFDYDWERECAIKFNDYFEFPRELDMEPYTVAGATKLEGDSVNPQTQLIKQNEQSESVIP GSTKYRLVGVLVHSGQANGGHYYSYIIQRNGKDSKRSHWFKFDDGDVTECKMDDDEEMKN QCFGGEYMGEVFDHMMKRMSYRRQKRWWNAYILFYERMDITDEDDEIITYISELTFTRPH QIMSPAIERSVWKQNVQFLHNQMQFSLEYFQFIKKLLTCNAVYLSPAPGQDHLLPEAEDI TMISIQLASRFLFTTGFHTKKIIRGPANDWYDALCILLRHSKNVRFWFVHNVLFNVSNRF SEYLLECPSAEIRGTFAKLIVFIAHFSLQDGSSPSPFTSPFANPGPYSQIYDNLSLSDHL LKAVLSLLRREVSEHGRHLQQYFNLFIMYASLGLAEKTQLLKLNVPATFMLVSLDEGPGP PVKYQYAELSKLHSVVSQLIRCCSVSSRMQSSINGNPPLPNPFGDPNLSQPIMPIQQNVA DILFMRTTYMKKVIEDCSNSEDTVKLLLFCCWENPQFSCSVLSELLWQVAHSHAYELQPY LDLLLQIILFEDSWQAHRIHNALKGIPNDQDGLFDTIQHSKNHHQKRAYQCIKWMVTLFN SCPVAYQILQGNGDLKNKWTWAMEWLGDELERKPYSGNPQYTYSNWSPPVQSNETANGYF LEKSHSAKMKLTKACDLYPEEDPDDQDALDEHVSHAPQDRTFYLYSHRSHYQQNYVPEQP FSGPASHHLNNPQKNDKPQETHESNEEISSCLIKDQ >ENSMUSP00000031018.7 pep:known chromosome:GRCm38:5:31624439:31697627:-1 gene:ENSMUSG00000029136.9 transcript:ENSMUST00000031018.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbks description:ribokinase [Source:MGI Symbol;Acc:MGI:1918586] MAACGEPGRPWQEEEAAAVVVVGSCMTDLVSLTSRLPKTGETIHGHEFFIGFGGKGANQC VQAARLGAKAAIVCKVGNDSFGNDYIENLKQNHISTEFTYQTRDAATGTASIIVNNEGQN IIVIVAGANLFLNSEDLKKAASVISRAKVMICQLEISPAASLEALTMARRSGVKTLFNPA PAMADLDPQFYTLSSIFCCNESEAEILTGHAVSDPTTAGKAAMILLERGCQVVVITLGAS GCVILSQAEPVPKHIPTEAVKAVDTTGAGDSFVGALAFYLAYYPNLSLEEMLKRSNFIAA VSVQATGTQSSYPYKKDLPLALF >ENSMUSP00000135853.1 pep:known chromosome:GRCm38:12:76347782:76357140:-1 gene:ENSMUSG00000056459.14 transcript:ENSMUST00000176102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb25 description:zinc finger and BTB domain containing 25 [Source:MGI Symbol;Acc:MGI:99197] MDTASHSLVLLQQLNMQREFGFLCDCTVAIGDVYFKAHRAVLAAFSNYFKMIFIHQTSEC IKIQPADIQPDIFSYLLHIMYTGKGPKQIVDHSRLEEGIRFLHADYLSHIATEMNQVFSP ETVQTSNLYGIQISTTQKTAVKQGLEIKETPPSSSGNRAAVPGDHPQLQLSLAIGLDDGS GAEQQRTHPAAQAVEEHQKPPVSIKQERCDPESVISQSHSSSSSEVTGPSFTENSIKVHL CHYCGERFESRSNLRQHLHTHVSRSLPFGVPASILESNDLGEVHPLSDSSEALECRRLSS FIVKENEQQQPSPEHSSRGTSEPLQISQVSLISKDTEPVELNCNFSFSRKRKISCTICGH KFLRKSQLLEHMYTHKGKSYRYNRCQRFGNSLAQRFQQYCDSWPDVPLKSSRLSQEHLDL PCALESELTQAGVDTILVE >ENSMUSP00000135817.1 pep:known chromosome:GRCm38:12:76348944:76368999:-1 gene:ENSMUSG00000056459.14 transcript:ENSMUST00000176509.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb25 description:zinc finger and BTB domain containing 25 [Source:MGI Symbol;Acc:MGI:99197] MDTASHSLVLLQQLNMQREFGFLCDCTVAIGDVYFKAHRAVLAAFSNYFKMIFIHQTRKR AKTDCGS >ENSMUSP00000134748.1 pep:known chromosome:GRCm38:12:76348945:76369602:-1 gene:ENSMUSG00000056459.14 transcript:ENSMUST00000176278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb25 description:zinc finger and BTB domain containing 25 [Source:MGI Symbol;Acc:MGI:99197] MDTASHSLVLLQQLNMQREFGFLCDCTVAIGDVYFKAHRAVLAAFSNYFKMIFIHQTSEC IKIQPADIQPDIFSYLLHIMYTGKGPKQIVDHSRLEEGIRFLHADYLSHIATEMNQVFSP ETVQTSNLYGIQISTTQKTAVKQGLEIKETPPSSSGNRAAVPGDHPQLQLSLAIGLDDGS GAEQQRTHPAAQAVEEHQKPPVSIKQERCDPESVISQSHSSSSSEVTGPSFTENSIKVHL CHYCGERFESRSNLRQHLHTHVSRSLPFGVPASILESNDLGEVHPLSDSSEALECRRLSS FIVKENEQQQPSPEHSSRGTSEPLQISQVSLISKDTEPVELNCNFSFSRKRKISCTICGH KFLRKSQLLEHMYTHKGKSYRYNRCQRFGNSLAQRFQQYCDSWPDVPLKSSRLSQEHLDL PCALESELTQAGVDTILVE >ENSMUSP00000135458.1 pep:known chromosome:GRCm38:12:76350026:76369393:-1 gene:ENSMUSG00000056459.14 transcript:ENSMUST00000176187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb25 description:zinc finger and BTB domain containing 25 [Source:MGI Symbol;Acc:MGI:99197] MDTASHSLVLLQQLNMQREFGFLCDCTVAIGDVYFKAHRAVLAAFSNYFKMIFIHQTSEC IKIQPADIQPDIFSYLLHIMYTGKGPKQIVDHSRLEEGIRFLHADYLSHIATEMNQVFSP ETVQTSNLYGIQISTTQKTA >ENSMUSP00000135434.1 pep:known chromosome:GRCm38:12:76350143:76369464:-1 gene:ENSMUSG00000056459.14 transcript:ENSMUST00000176967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb25 description:zinc finger and BTB domain containing 25 [Source:MGI Symbol;Acc:MGI:99197] MDTASHSLVLLQQLNMQREFGFLCDCTVAIGDVYFKAHRAVLAAFSNYFKMIFIHQTSEC IKIQPADIQPDIFSYLLHIMYTGKGPKQIVDHSRLEEGIRF >ENSMUSP00000128471.1 pep:known chromosome:GRCm38:12:76348900:76369464:-1 gene:ENSMUSG00000056459.14 transcript:ENSMUST00000167011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb25 description:zinc finger and BTB domain containing 25 [Source:MGI Symbol;Acc:MGI:99197] MDTASHSLVLLQQLNMQREFGFLCDCTVAIGDVYFKAHRAVLAAFSNYFKMIFIHQTSEC IKIQPADIQPDIFSYLLHIMYTGKGPKQIVDHSRLEEGIRFLHADYLSHIATEMNQVFSP ETVQTSNLYGIQISTTQKTAVKQGLEIKETPPSSSGNRAAVPGDHPQLQLSLAIGLDDGS GAEQQRTHPAAQAVEEHQKPPVSIKQERCDPESVISQSHSSSSSEVTGPSFTENSIKVHL CHYCGERFESRSNLRQHLHTHVSRSLPFGVPASILESNDLGEVHPLSDSSEALECRRLSS FIVKENEQQQPSPEHSSRGTSEPLQISQVSLISKDTEPVELNCNFSFSRKRKISCTICGH KFLRKSQLLEHMYTHKGKSYRYNRCQRFGNSLAQRFQQYCDSWPDVPLKSSRLSQEHLDL PCALESELTQAGVDTILVE >ENSMUSP00000106772.2 pep:known chromosome:GRCm38:5:135994800:136032872:1 gene:ENSMUSG00000004947.15 transcript:ENSMUST00000111142.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx2 description:deltex 2, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:1921448] MAMAPSSSLPQVYPSHVVVAVWEWQDGLGIWHPYSATVCSFIEQHFVRQRGQHFGLGSLA HSIPLGQADPSLAPYIIDLPSWTQFRQNTGTMRSVRRHLFSQNSAPGQGIVWEWLGDDGS WVAYEARICDYLEQQVARGIQVVDLAPLGYNYTVNYATLTQTNKTSSFCRSVRRQVGPVY PVTSDIAVPRQMGLICFCQQCLHGSGTGPVSGRYRHSMTNLPAYPAPQAPHRTTTVSGAH QAFAPYNKPSLSGARSAPRLNTTNPWAAAPPVAGNQSLFHSSLSHLGPQLLPSGPSTSSG ASASFPSGPSSSSPGSAPTTVPVQMPKASRVQQALAGMTSVLSAIGLPVCLSRAPRPTGP PASRPASKSHSSVKRLRKMSVKEGAPKPEPEQVIRKYTEELKVAPEEDCIICMEKLAVAS GYSDMTDSKALGPMVVGRLTKCSHAFHLLCLLAMYCNGNKDGSLQCPSCKTIYGEKTGTQ PWGKMEVFRFQMSLPGHEDCGTILIVYNIPHGIQGPEHPSPGKPFTARGFPRQCYLPDSP QGRKVLELLKVAWKRRLIFTVGTSSTTGETDTVVWNEIHHKTEMDRNVTGHGYPDPNYLQ NVLAELAAQGVTEDCLEQQ >ENSMUSP00000106775.3 pep:known chromosome:GRCm38:5:135994811:136032864:1 gene:ENSMUSG00000004947.15 transcript:ENSMUST00000111145.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx2 description:deltex 2, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:1921448] MAMAPSSSLPQVYPSHVVVAVWEWQDGLGIWHPYSATVCSFIEQHFVRQRGQHFGLGSLA HSIPLGQADPSLAPYIIDLPSWTQFRQNTGTMRSVRRHLFSQNSAPGQGIVWEWLGDDGS WVAYEARICDYLEQQVARGIQVVDLAPLGYNYTVNYATLTQTNKTSSFCRSVRRQVGPVY PVTSDIAVPRQMGLICFCQQCLHGSGTGPVSGRYRHSMTNLPAYPAPQAPHRTTTVSGAH QAFAPYNKPSLSGARSAPRLNTTNPWAAAPPVAGNQSLFHSSLSHLGPQLLPSGPSTSSG ASASFPSGPSSSSPGSAPTTVPVQMPKASRVQQALAEGAPKPEPEQVIRKYTEELKVAPE EDCIICMEKLAVASGYSDMTDSKALGPMVVGRLTKCSHAFHLLCLLAMYCNGNKDGSLQC PSCKTIYGEKTGTQPWGKMEVFRFQMSLPGHEDCGTILIVYNIPHGIQGPEHPSPGKPFT ARGFPRQCYLPDSPQGRKVLELLKVAWKRRLIFTVGTSSTTGETDTVVWNEIHHKTEMDR NVTGHGYPDPNYLQNVLAELAAQGVTEDCLEQQ >ENSMUSP00000106774.1 pep:known chromosome:GRCm38:5:135994844:136032871:1 gene:ENSMUSG00000004947.15 transcript:ENSMUST00000111144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx2 description:deltex 2, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:1921448] MAMAPSSSLPQVYPSHVVVAVWEWQDGLGIWHPYSATVCSFIEQHFVRQRGQHFGLGSLA HSIPLGQADPSLAPYIIDLPSWTQFRQNTGTMRSVRRHLFSQNSAPGQGIVWEWLGDDGS WVAYEARICDYLEQQVARGIQVVDLAPLGYNYTVNYATLTQTNKTSSFCRSVRRQVGPVY PVTSDIAVPRQMGLICFCQQCLHGSGTGPVSGRYRHSMTNLPAYPAPQAPHRTTTVSGAH QAFAPYNKPSLSGARSAPRLNTTNPWAAAPPVAGNQSLFHSSLSHLGPQLLPSGPSTSSG ASASFPSGPSSSSPGSAPTTVPVQMPKASRVQQALAEGAPKPEPEQVIRKYTEELKVAPE EDCIICMEKLAVASGYSDMTDSKALGPMVVGRLTKCSHAFHLLCLLAMYCNGNKDGSLQC PSCKTIYGEKTGTQPWGKMEVFRFQMSLPGHEDCGTILIVYNIPHGIQGPEHPSPGKPFT ARGFPRQCYLPDSPQGRKVLELLKVAWKRRLIFTVGTSSTTGETDTVVWNEIHHKTEMDR NVTGHGYPDPNYLQNVLAELAAQGVTEDCLEQQ >ENSMUSP00000142472.1 pep:known chromosome:GRCm38:5:135994847:136012196:1 gene:ENSMUSG00000004947.15 transcript:ENSMUST00000199239.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx2 description:deltex 2, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:1921448] MAMAPSSSLPQVYPSHVVVAVWEWQDGLGIWHPYSATVCSFIEQHFVRQRGQHFGLGSLA HSIPLGQADPSLAPYIIDLPSWTQFRQNTGTMRSVRRHLFSQNSAPGQGIVWEWLGDDGS WVAYEARICDYLEQQVARGIQVVDLAPLGYN >ENSMUSP00000115122.1 pep:known chromosome:GRCm38:5:135994858:136032866:1 gene:ENSMUSG00000004947.15 transcript:ENSMUST00000125827.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dtx2 description:deltex 2, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:1921448] MAMAPSSSLPQVYPSHVVVAVWEWQDGLGIWHPYSATVCSFIEQHFVRQRGQHFGLGSLA HSIPLGQADPSLAPYIIDLPSWTQFRQNTGTMRSVRRHLFSQNSAPGQGIVWEWLGDDGS WVAYEARICDYLEQQVARGIQVVDLAPLGYNYTVNYATLTQTNKTSSFCRSVRRQVGPVY PVTSDIAVPRQMGLICFCQQCLHGSGTGPVSGRYRHSMTNLPAYPAPQAPHRTTTVSGAH QAFAPYNKPSLSGARSAPRLNTTNPWAAAPPVAGNQSLFHSSLSHLGPQLLPSGPSTSSG ASASFPSGPSSSSPGSAPTTVPVQMPKASRVQQALAGEDRRVYWLLVLYSGYRELDN >ENSMUSP00000005072.3 pep:known chromosome:GRCm38:5:135994877:136032864:1 gene:ENSMUSG00000004947.15 transcript:ENSMUST00000005072.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx2 description:deltex 2, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:1921448] MAMAPSSSLPQVYPSHVVVAVWEWQDGLGIWHPYSATVCSFIEQHFVRQRGQHFGLGSLA HSIPLGQADPSLAPYIIDLPSWTQFRQNTGTMRSVRRHLFSQNSAPGQGIVWEWLGDDGS WVAYEARICDYLEQQVARGIQVVDLAPLGYNYTVNYATLTQTNKTSSFCRSVRRQVGPVY PVTSDIAVPRQMGLICFCQQCLHGSGTGPVSGRYRHSMTNLPAYPAPQAPHRTTTVSGAH QAFAPYNKPSLSGARSAPRLNTTNPWAAAPPVAGNQSLFHSSLSHLGPQLLPSGPSTSSG ASASFPSGPSSSSPGSAPTTVPVQMPKASRVQQALAGMTSVLSAIGLPVCLSRAPRPTGP PASRPASKSHSSVKRLRKMSVKGAPKPEPEQVIRKYTEELKVAPEEDCIICMEKLAVASG YSDMTDSKALGPMVVGRLTKCSHAFHLLCLLAMYCNGNKDGSLQCPSCKTIYGEKTGTQP WGKMEVFRFQMSLPGHEDCGTILIVYNIPHGIQGPEHPSPGKPFTARGFPRQCYLPDSPQ GRKVLELLKVAWKRRLIFTVGTSSTTGETDTVVWNEIHHKTEMDRNVTGHGYPDPNYLQN VLAELAAQGVTEDCLEQQ >ENSMUSP00000119285.1 pep:known chromosome:GRCm38:5:135994882:136010112:1 gene:ENSMUSG00000004947.15 transcript:ENSMUST00000130345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx2 description:deltex 2, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:1921448] MAMAPSSS >ENSMUSP00000019662.4 pep:known chromosome:GRCm38:5:138172002:138180429:1 gene:ENSMUSG00000019518.10 transcript:ENSMUST00000019662.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap4m1 description:adaptor-related protein complex AP-4, mu 1 [Source:MGI Symbol;Acc:MGI:1337063] MISQFFILSSKGDPLIYKDFRGDSGGRDVAELFYRKLTGLPGGESPVVMYHGDRHFIHIR HSGLYLVATTLENVSPFSLLELLSRLATLLGDYCGSLNEGTISRNVALVYELLDEVLDYG YVQTTSTEMLRNFIQTEAVVSKPFSLFDLSSVGLFGAETQQNKVAPSSAASRPVLSSRSD QSQKNEVFLDVVERLSVLIASNGSLLKVDVQGEIRLKSFLPSGSEICIGLTEEFCVGKSE LRGYGPGIRVDEVSFHSSVNLDEFESHRILRLQPPQGELTVMRYQLSDDLPSPLPFRLFP SVQWDQGSGRLQVYLKLRCDLPPKSQALNIHLHLPLPRGVISLSQELSSPDQKAELGEGA LHWDLPRVQGGSQLSGLFQMDVPGLQGLPNHGPSPLGLGPASLSFELPRHTCSGLQVRFL RLSFSACGNANPHKWVRHLSHSNAYVIRI >ENSMUSP00000121338.1 pep:known chromosome:GRCm38:5:138172005:138175013:1 gene:ENSMUSG00000019518.10 transcript:ENSMUST00000151318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap4m1 description:adaptor-related protein complex AP-4, mu 1 [Source:MGI Symbol;Acc:MGI:1337063] MISQFFILSSKGDPLIYKDFRGDSGGRDVAELFYRKLTGLPGGESPVVMVTTVVGVQGLR TVEYHGDRHFIHIRHSGLYLVATTLENVSPFSLLELLSRLATLLGDYCGSLNEGTISRNV ALVYELLDEVLDYGYVQTTSTEMLRNFIQTEAVVSKPFSLFDLSSVGLFGAETQQNKVAP SSAASRPVLSS >ENSMUSP00000123770.1 pep:known chromosome:GRCm38:5:138172068:138178681:1 gene:ENSMUSG00000019518.10 transcript:ENSMUST00000143241.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ap4m1 description:adaptor-related protein complex AP-4, mu 1 [Source:MGI Symbol;Acc:MGI:1337063] MISQFFILSSKGDPLIYKDFRGDSGGRDVAELFYRKLTGLPGGESPVVMYHGDRHFIHIR HSGLYLVATTLENVSPFSLLELLSRIMAMCRLHPQKC >ENSMUSP00000104844.2 pep:known chromosome:GRCm38:2:167298444:167349183:-1 gene:ENSMUSG00000017929.13 transcript:ENSMUST00000109221.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt5 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 5 [Source:MGI Symbol;Acc:MGI:1927169] MRARRGLLRLPRRSLLAALFFFSLSSSLLYFVYVAPGIVNTYLFMVQAQGILLRDNVRTI GAQVYEQVVRSAYAKRNSSLNDSDYPLDLNHSEAFPPTTTFLPEDFTYFANHPCPERLPS MKGPIDINMSEIAMDDIHELFSRDPAIKLGGHWKPADCVPRWKVAILIPFRNRHEHLPVL LRHLLPMLQRQRLQFAFYVIEQVGTQPFNRAMLFNVGFQEAMKDLDWDCLIFHDVDHIPE SDRNYYGCGQMPRHFATKLDKYMYLLPYTEFFGGVSGLTVEQFRKINGFPNAFWGWGGED DDLWNRVQNAGYSVSRPEGDTGKYKSIPHHHRGEVQFLGRYALLRKSKERQGLDGLNNLN YSANVTYDALYKNITVNLTPELAQVTEY >ENSMUSP00000118229.1 pep:known chromosome:GRCm38:2:167301200:167305698:-1 gene:ENSMUSG00000017929.13 transcript:ENSMUST00000149698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt5 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 5 [Source:MGI Symbol;Acc:MGI:1927169] XRHFATKLDKYMYLVQNAGYSVSRPEGDTGKYKSIPHHHRGEVQFLGRYALLRKSKERQG LDGLNNLNYSANVTYDALYKNITVNLTPELAQVTEY >ENSMUSP00000112517.1 pep:known chromosome:GRCm38:12:111166370:111267153:1 gene:ENSMUSG00000021277.16 transcript:ENSMUST00000117269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3 description:TNF receptor-associated factor 3 [Source:MGI Symbol;Acc:MGI:108041] MESSKKMDAAGTLQPNPPLKLQPDRGAGSVLVPEQGGYKEKFVKTVEDKYKCEKCRLVLC NPKQTECGHRFCESCMAALLSSSSPKCTACQESIIKDKVFKDNCCKREILALQVYCRNEG RGCAEQLTLGHLLVHLKNECQFEELPCLRADCKEKVLRKDLRDHVEKACKYREATCSHCK SQVPMIKLQKHEDTDCPCVVVSCPHKCSVQTLLRSEGTNQQIKAHEASSAVQHVNLLKEW SNSLEKKVSLLQNESVEKNKSIQSLHNQICSFEIEIERQKEMLRNNESKILHLQRVIDSQ AEKLKELDKEIRPFRQNWEEADSMKSSVESLQNRVTELESVDKSAGQAARNTGLLESQLS RHDQMLSVHDIRLADMDLRFQVLETASYNGVLIWKIRDYKRRKQEAVMGKTLSLYSQPFY TGYFGYKMCARVYLNGDGMGKGTHLSLFFVIMRGEYDALLPWPFKQKVTLMLMDQGSSRR HLGDAFKPDPNSSSFKKPTGEMNIASGCPVFVAQTVLENGTYIKDDTIFIKVIVDTSDLP DP >ENSMUSP00000021706.4 pep:known chromosome:GRCm38:12:111166463:111267148:1 gene:ENSMUSG00000021277.16 transcript:ENSMUST00000021706.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3 description:TNF receptor-associated factor 3 [Source:MGI Symbol;Acc:MGI:108041] MESSKKMDAAGTLQPNPPLKLQPDRGAGSVLVPEQGGYKEKFVKTVEDKYKCEKCRLVLC NPKQTECGHRFCESCMAALLSSSSPKCTACQESIIKDKVFKDNCCKREILALQVYCRNEG RGCAEQLTLGHLLVHLKNECQFEELPCLRADCKEKVLRKDLRDHVEKACKYREATCSHCK SQVPMIKLQKHEDTDCPCVVVSCPHKCSVQTLLRSELSAHLSECVNAPSTCSFKRYGCVF QGTNQQIKAHEASSAVQHVNLLKEWSNSLEKKVSLLQNESVEKNKSIQSLHNQICSFEIE IERQKEMLRNNESKILHLQRVIDSQAEKLKELDKEIRPFRQNWEEADSMKSSVESLQNRV TELESVDKSAGQAARNTGLLESQLSRHDQMLSVHDIRLADMDLRFQVLETASYNGVLIWK IRDYKRRKQEAVMGKTLSLYSQPFYTGYFGYKMCARVYLNGDGMGKGTHLSLFFVIMRGE YDALLPWPFKQKVTLMLMDQGSSRRHLGDAFKPDPNSSSFKKPTGEMNIASGCPVFVAQT VLENGTYIKDDTIFIKVIVDTSDLPDP >ENSMUSP00000119010.1 pep:known chromosome:GRCm38:12:111166486:111239077:1 gene:ENSMUSG00000021277.16 transcript:ENSMUST00000139162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3 description:TNF receptor-associated factor 3 [Source:MGI Symbol;Acc:MGI:108041] MESSKKMDAAGTLQPNPPLKLQPDRGAGSVLVPEQGGYKEKFVKTVEDKYKCEKCRLVLC NPKQTECGHRFCESCMAALLSSSSPKCTACQESIIKD >ENSMUSP00000058361.6 pep:known chromosome:GRCm38:12:111166548:111267144:1 gene:ENSMUSG00000021277.16 transcript:ENSMUST00000060274.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3 description:TNF receptor-associated factor 3 [Source:MGI Symbol;Acc:MGI:108041] MESSKKMDAAGTLQPNPPLKLQPDRGAGSVLVPEQGGYKEKFVKTVEDKYKCEKCRLVLC NPKQTECGHRFCESCMAALLSSSSPKCTACQESIIKDKVFKDNCCKREILALQVYCRNEG RGCAEQLTLGHLLVHLKNECQFEELPCLRADCKEKVLRKDLRDHVEKACKYREATCSHCK SQVPMIKLQKHEDTDCPCVVVSCPHKCSVQTLLRSEGTNQQIKAHEASSAVQHVNLLKEW SNSLEKKVSLLQNESVEKNKSIQSLHNQICSFEIEIERQKEMLRNNESKILHLQRVIDSQ AEKLKELDKEIRPFRQNWEEADSMKSSVESLQNRVTELESVDKSAGQAARNTGLLESQLS RHDQMLSVHDIRLADMDLRFQVLETASYNGVLIWKIRDYKRRKQEAVMGKTLSLYSQPFY TGYFGYKMCARVYLNGDGMGKGTHLSLFFVIMRGEYDALLPWPFKQKVTLMLMDQGSSRR HLGDAFKPDPNSSSFKKPTGEMNIASGCPVFVAQTVLENGTYIKDDTIFIKVIVDTSDLP DP >ENSMUSP00000115063.3 pep:known chromosome:GRCm38:11:119393100:119487418:1 gene:ENSMUSG00000070327.14 transcript:ENSMUST00000131035.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf213 description:ring finger protein 213 [Source:MGI Symbol;Acc:MGI:1289196] MECPQCGHVSSEKAPKFCSECGQKLPSAATVQGDLKNDNTLVVSSTPEGKTEQGAVLREE EVLLSSTDPGKELEKPEESDSNASWTTQMSKKEKRRRKRQGTISSSEAPSSGLWSLDMPP SPGSHNSALPQNQAQQGGAASQPGHPLDTENMPMEDGFVHTEGSGSPLQGQAAERTDAQS NLAPSDLAEVKDLNTSKPSVDKGLPLDGGPALSAFKGHPKMTDASQKAPLPESKGETSGQ EKKVPPIDAAASPVKTAGKETGEDVRKPKPSPVSPVASKHGDQEAELKGKLATPVRKSNE GGNTQPEDQRKPGEGRNFAAAVKTQQAAAPQQAAAPEPTSAFNPRDTVTVYFHAIVSRHF GFNPEEHKVYVRGGEGLGQKGWTDACEMYCTQDLHDLGSLVEGKMDIPRQSLDKPIPYKY VIHRGGSSKDTVEYEFIYEQAQKKGEHVNRCLRVVSTSLGNGDWHQYDDIICMRSTGFFQ QAKNRILDSTRKELLKGKKQAAVVMLDRIFSVLQPWSDINLQSFMTQFLQFYSVVREPMI HDGRARKWTSLQYEEKEVWTNLWEHVKKQMAPFLEGKSGESLPADCPVRSKLTLGLSILF MVEAAEFTVPKKDLDSLCYLLIPSAGSPEALHSDLSPVLRIRQRWRIYLTNLCLRCIDER CDRWLGILPLLHTCMQKSPPKKNSKSQPEDTWAGLEGISFSEFRDKAPTSQPLQFMQSKM ALLRVDEYLFRSWLSVVPLESLSSYLENSIDYLSDVPVRVLDCLQGISYRLPGLRKISNQ NMKKDVENVFKMLMHLVDIYQHRIFGENLLQIYLTECLTLHETVCNITANHQFFEIPALS AELICKLLELSPPGHTDEGLPEKSYEDLVTSTLQEALATTRNWLRSLFKSRMLSISSAYV RLTYSEEMAVWRRLVEIGFPEKHGWKGSLLGDMEGRLKQEPPRLQISFFCSSQCRDGGLH DSVSRSFEKCVIEAVSSACQSQTSVLEGLSCQDLQKFGTLLSAVITKSWPVHNGEPVFDV DEIFKYLLKWPDVRQLFELCGTNEKIIDNITEEGRQLMATAESVFQKVAGELENGTIVVG QLELILEHQSQFLDIWNLNRRRLPSQEKACDVRSLLKRRRDDLLFLKQEKRYVESLLRQL GRVKHLVQVDFGNIEIIHSQDLSNKKLNEAVIKLPNSSSYKRETHYCLSPDIREMASKLD SLKDSHIFQDFWQETAESLNTLDKDPRELKVSLPEVLEYLYNPCYDNFYTLYENLKSGKI TFAEVDAIFKDFVDKYDELKNDLKFMCTMNPQDQKGWISERVGQIKEYHTLHQAVSSAKV ILQVRRALGVTGDFSVLNPLLNFADSFEDFGNEKLDQISPQFIKAKQLLQDISEPRQRCL EELARQTELVAWLHKALEDINELKVFVDLASISAGENDIDVDRVACFHDAVQGYASLLYK MDERTNFSDFMNHLQELWRALDNDQHLPDKLKDSARNLEWLKTVKESHGSVELSSLSLAT AINSRGVYVIEAPKDGQKISPDTVLRLLLPDGHGYPEALRTYSTEELKELLNKLMLMSGK KDHNSNTEVEKFSEVFSNMQRLVHVFIKLHCAGNMLFRTWTAKVYCCPDGGIFMNFGLEL LSQLTEKGDVIQLLGALCRQMEDFLDNWKTVVAQKRAEHFYLNFYTAEQLVYLSSELRKP RPSEAALMMLSFIKGKCTVQDLVQATSACESKADRYCLREVMKKLPQQLLSEPSLMGKLQ VIMMQSLVYMSAFLPHCLDLDALGRCLAHLATMGGTPVERPLPKGLQAGQPNLILCGHSE VLPAALAIYMQAPRQPLPTFDEVLLCTPATTIEEVELLLRRCLTSGSQGHKVYSLLFADQ LSYEVGCQAEEFFQSLCTRAHREDYQLVILCDAAREHCYIPSTFSQYKVPLVPQAPLPNI QAYLQSHYQVPKRLLSAATVFRDGLCVGIVTSERAGVGKSLYVNTLHTKLKAKLRDETVP LKIIRLTEPHLDENQVLSALLPFLKEKYQKMPVIFHIDISTSVQTGIPIFLFKLLILQYL MDINGKIWRRSPGHLYLVEIPQGLSVQPKRSSKLNARAPLFKFLDLFPKVTCRPPKEVID MELTPERSHTDPAMDPVEFCSEAFQRPYQYLKRFHQQQNLDTFQYEKGSVEGSPEECLQH FLIYCGLINPSWSELRNFAWFLNCQLKDCEASIFCKSAFTGDTLRGFKNFVVTFMILMAR DFATPTLHTSDQSPGRQSVTIGEVVEEDLAPFSLRKRWESEPHPYVFFNGDHMTMTFIGF HLETNNNGYVDAINPSNGKVIKKDVMTKELFDGLRLQRVPFNIDFDNLPRYEKLERLCLA LGIEWPIDPDETYELTTDNMLKILAIEMRFRCGIPVIIMGETGCGKTRLIKFLSDLKRGS VEAETMKLVKVHGGTTPSMIYSKVKEAERTAFSNKAQHKLDTILFFDEANTTEAVSCIKE ILCDRTVDGEHLHEDSGLHIIAACNPYRKHSQEMILRLESAGLGYRVSAEETADRLGSIP LRQLVYRVHALPPSLIPLVWDFGQLNDSAEKLYIQQIVQRLVDSVSVNPSETCVIADVLS ASQMFMRKRENECGFVSLRDVERCVKVFRWFHDHSDMLLKELDKFLHESSDSTHTFERDP VLWSLVMAIGVCYHASLEEKASYRTAIARCFPKPYNSSRAILDEVTHVQDLFLRGAPIRT NIARNLALKENVFMMVICIELKIPLFLVGKPGSSKSLAKIIVADAMQGQAAFSELFRCLK QVHLVSFQCSPHSTPQGIISTFKQCARFQQGKDLGQYVSVVVLDEVGLAEDSPKMPLKTL HPLLEDGCIEDDPAPYKKVGFVGISNWALDPAKMNRGIFVSRGSPNEKELIESAEGICSS DRLVQDKIRGYFAPFAKAYETVCQKQDKEFFGLRDYYSLIKMVFAKAKASKRGLSPQDIT HAVLRNFSGKDNIQALSIFTASLPEARYKEEVSTVELIKQNIYPGPQASSRGLDGAESRY LLVLTRNYVALQILQQTFFEGQQPEIIFGSSFPQDQEYTQICRNINRVKICMETGKMVVL LNLQNLYESLYDALNQYYVYLGGQKYVDLGLGTHRVKCRVHTAFRLIVIEEKDVVYKQFP VPLINRLEKHYLDMNTVLQPWQKSIVQELQQWAHEFADVKADQFIARHKYSPADVFIGYH SDACASVVLQAVERQGCRDLTEELYRKVSEEARSILLDCATPDAVVRLSGSSLGSFTAKQ LSQEYYYAQQHNSFVDFLQAHLRMTHHECRAVFTEITTFSRLLTGNDCDVLASELRGLAS KPVVLSLQQYDTEYSFLKDVRSWLTNPGKRKVLVIQADFDDGTRSAQLVASAKYTAINEI NKTQGTKDFVFVYFVTKLSRMGSGTSYVGFHGGLWRSVHIDDLRRSTIMASDVTKLQNVT ISQLFKPEDKPEQEEMEIETSQSKELAEEQMEVEDSEEMKKASDPRSCDCSQFLDTTRLV QSCVQGAVGMLRDQNESCARNMRRVTILLDLLNEDNTRNASFLRESKMRLHVLLNKQEEN QVRSLKEWVTREAANQDALQEAGTFRHTLWKRVQDVVTPILASMIAHIDRDGNLELLAQP DSPAWVQDLWMFIYSDIKFLNISLVLNNTRSNSEMSFILVQSHMNLLKDAYNAVPFSWRI RDYLEELWVQAQYITDTEGLSKKFVEIFQKTPLGVFLAQFPVAQQQKLLQSYLKDFLLLT MKVSSREELMFLQMALWSCLRELQEASGTPDETYKFPLSLPWVHLAFQHFRTRLQNFSRI LTIHPQVLSSLSQAAEKHSLAGCEMTLDAFAAMACAEMLKGDLLKPSPKAWLQLVKNLST PLELVCSEGYLCDSGSMTRSVIQEVRALWNRIFSIALFVEHVLLGTESHIPELSPLVTTY VSLLDKCLEEDSNLKTCRPFVAVMTTLCDCKDKASKKFSRFGIQPCFICHGDAQDPVCLP CDHVYCLRCIQTWLIPGQMMCPYCLTDLPDKFSPTVSQDHRKAIEKHAQFRHMCNSFFVD LVSTMCFKDNTPPEKSVIDTLLSLLFVQKELLRDASQKHREHTKSLSPFDDVVDQTPVIR SVLLKLLLKYSFHEVKDYIQNYLTQLEKKAFLTEDKTELYLLFISCLEDSVHQKTSAGCR NLEQVLREEGHFLRTYSPGLQGQEPVRIASVEYLQEVARVRLCLDLAADFLSELQEGSEL AEDKRRFLKHVEEFCTRVNNDWHRVYLVRKLSSQRGMEFVQSFSKQGHPCQWVFPRKVIA QQVRNRKDHVSLMDRYLVHGNEYKAVRDATAKAVLECKTLDIGNALMACRSPKPQQTAYL LLALYTEVAALYRSPNGSLHPEAKQLEAVNKFIKESKILSDPNIRCFARSLVDNTLPLLK IRSANSILKGTVTEMAVHVATILLCGHNQILKPLRNLAFYPVNMANAFLPTMPEDLLVHA RTWRGLENVTWYTCPRGHPCSVGECGRPMQESTCLDCGLPVGGLNHTPHEGFSAIRNNED RTQTGHVLGSPQSSGVAEVSDRGQSPVVFILTRLLTHLAMLVGATHNPQALTVIIKPWVQ DPQGFLQQHIQRDLEQLTKMLGRSADETIHVVHLILSSLLRVQSHGVLNFNAELSTKGCR NNWEKHFETLLLRELKHLDKNLPAINALISQDERISSNPVTKIIYGDPATFLPHLPQKSI IHCSKIWSCRRKITVEYLQHIVEQKNGKETVPVLWHFLQKEAELRLVKFLPEILALQRDL VKQFQNVSRVEYSSIRGFIHSHSSDGLRKLLHDRITIFLSTWNALRRSLETNGEIKLPKD YCCSDLDLDAEFEVILPRRQGLGLCGTALVSYLISLHNNMVYTVQKFSNEDNSYSVDISE VADLHVISYEVERDLNPLILSNCQYQVQQGGETSQEFDLEKIQRQISSRFLQGKPRLTLK GIPTLVYRRDWNYEHLFMDIKNKMAQSSLPNLAISTISGQLQSYSDACEALSIIEITLGF LSTAGGDPGMDLNVYIEEVLRMCDQTAQVLKAFSRCQLRHIIALWQFLSAHKSEQRLRLN KELFREIDVQYKEELSTQHQRLLGTFLNEAGLDAFLLELHEMIVLKLKGPRAANSFNPNW SLKDTLVSYMETKDSDILSEVESQFPEEILMSSCISVWKIAATRKWDRQSR >ENSMUSP00000091429.5 pep:known chromosome:GRCm38:11:119393100:119487415:1 gene:ENSMUSG00000070327.14 transcript:ENSMUST00000093902.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf213 description:ring finger protein 213 [Source:MGI Symbol;Acc:MGI:1289196] MECPQCGHVSSEKAPKFCSECGQKLPSAATVQGDLKNDNTLVVSSTPEGKTEQGAVLREE EVLLSSTDPGKELEKPEESDSNASWTTQMSKKEKRRRKRQGTISSSEAPSSGLWSLDMPP SPGSHNSALPQNQAQQGGAASQPGHPLDTENMPMEDGFVHTEGSGSPLQGQAAERTDAQS NLAPSDLAEVKDLNTSKPSVDKGLPLDGGPALSAFKGHPKMTDASQKAPLPESKGETSGQ EKKVPPIDAAASPVKTAGKETGEDVRKPKPSPVSPVASKHGDQEAELKGKLATPVRKSNE GGNTQPEDQRKPGEGRNFAAAVKTQQAAAPQQAAAPEPTSAFNPRDTVTVYFHAIVSRHF GFNPEEHKVYVRGGEGLGQKGWTDACEMYCTQDLHDLGSLVEGKMDIPRQSLDKPIPYKY VIHRGGSSKDTVEYEFIYEQAQKKGEHVNRCLRVVSTSLGNGDWHQYDDIICMRSTGFFQ QAKNRILDSTRKELLKGKKQAAVVMLDRIFSVLQPWSDINLQSFMTQFLQFYSVVREPMI HDGRARKWTSLQYEEKEVWTNLWEHVKKQMAPFLEGKSGESLPADCPVRSKLTLGLSILF MVEAAEFTVPKKDLDSLCYLLIPSAGSPEALHSDLSPVLRIRQRWRIYLTNLCLRCIDER CDRWLGILPLLHTCMQKSPPKKNSKSQPEDTWAGLEGISFSEFRDKAPTRSQPLQFMQSK MALLRVDEYLFRSWLSVVPLESLSSYLENSIDYLSDVPVRVLDCLQGISYRLPGLRKISN QNMKKDVENVFKMLMHLVDIYQHRIFGENLLQIYLTECLTLHETVCNITANHQFFEIPAL SAELICKLLELSPPGHTDEGLPEKSYEDLVTSTLQEALATTRNWLRSLFKSRMLSISSAY VRLTYSEEMAVWRRLVEIGFPEKHGWKGSLLGDMEGRLKQEPPRLQISFFCSSQCRDGGL HDSVSRSFEKCVIEAVSSACQSQTSVLEGLSCQDLQKFGTLLSAVITKSWPVHNGEPVFD VDEIFKYLLKWPDVRQLFELCGTNEKIIDNITEEGRQLMATAESVFQKVAGELENGTIVV GQLELILEHQSQFLDIWNLNRRRLPSQEKACDVRSLLKRRRDDLLFLKQEKRYVESLLRQ LGRVKHLVQVDFGNIEIIHSQDLSNKKLNEAVIKLPNSSSYKRETHYCLSPDIREMASKL DSLKDSHIFQDFWQETAESLNTLDKDPRELKVSLPEVLEYLYNPCYDNFYTLYENLKSGK ITFAEVDAIFKDFVDKYDELKNDLKFMCTMNPQDQKGWISERVGQIKEYHTLHQAVSSAK VILQVRRALGVTGDFSVLNPLLNFADSFEDFGNEKLDQISPQFIKAKQLLQDISEPRQRC LEELARQTELVAWLHKALEDINELKVFVDLASISAGENDIDVDRVACFHDAVQGYASLLY KMDERTNFSDFMNHLQELWRALDNDQHLPDKLKDSARNLEWLKTVKESHGSVELSSLSLA TAINSRGVYVIEAPKDGQKISPDTVLRLLLPDGHGYPEALRTYSTEELKELLNKLMLMSG KKDHNSNTEVEKFSEVFSNMQRLVHVFIKLHCAGNMLFRTWTAKVYCCPDGGIFMNFGLE LLSQLTEKGDVIQLLGALCRQMEDFLDNWKTVVAQKRAEHFYLNFYTAEQLVYLSSELRK PRPSEAALMMLSFIKGKCTVQDLVQATSACESKADRYCLREVMKKLPQQLLSEPSLMGKL QVIMMQSLVYMSAFLPHCLDLDALGRCLAHLATMGGTPVERPLPKGLQAGQPNLILCGHS EVLPAALAIYMQAPRQPLPTFDEVLLCTPATTIEEVELLLRRCLTSGSQGHKVYSLLFAD QLSYEVGCQAEEFFQSLCTRAHREDYQLVILCDAAREHCYIPSTFSQYKVPLVPQAPLPN IQAYLQSHYQVPKRLLSAATVFRDGLCVGIVTSERAGVGKSLYVNTLHTKLKAKLRDETV PLKIIRLTEPHLDENQVLSALLPFLKEKYQKMPVIFHIDISTSVQTGIPIFLFKLLILQY LMDINGKIWRRSPGHLYLVEIPQGLSVQPKRSSKLNARAPLFKFLDLFPKVTCRPPKEVI DMELTPERSHTDPAMDPVEFCSEAFQRPYQYLKRFHQQQNLDTFQYEKGSVEGSPEECLQ HFLIYCGLINPSWSELRNFAWFLNCQLKDCEASIFCKSAFTGDTLRGFKNFVVTFMILMA RDFATPTLHTSDQSPGRQSVTIGEVVEEDLAPFSLRKRWESEPHPYVFFNGDHMTMTFIG FHLETNNNGYVDAINPSNGKVIKKDVMTKELFDGLRLQRVPFNIDFDNLPRYEKLERLCL ALGIEWPIDPDETYELTTDNMLKILAIEMRFRCGIPVIIMGETGCGKTRLIKFLSDLKRG SVEAETMKLVKVHGGTTPSMIYSKVKEAERTAFSNKAQHKLDTILFFDEANTTEAVSCIK EILCDRTVDGEHLHEDSGLHIIAACNPYRKHSQEMILRLESAGLGYRVSAEETADRLGSI PLRQLVYRVHALPPSLIPLVWDFGQLNDSAEKLYIQQIVQRLVDSVSVNPSETCVIADVL SASQMFMRKRENECGFVSLRDVERCVKVFRWFHDHSDMLLKELDKFLHESSDSTHTFERD PVLWSLVMAIGVCYHASLEEKASYRTAIARCFPKPYNSSRAILDEVTHVQDLFLRGAPIR TNIARNLALKENVFMMVICIELKIPLFLVGKPGSSKSLAKIIVADAMQGQAAFSELFRCL KQVHLVSFQCSPHSTPQGIISTFKQCARFQQGKDLGQYVSVVVLDEVGLAEDSPKMPLKT LHPLLEDGCIEDDPAPYKKVGFVGISNWALDPAKMNRGIFVSRGSPNEKELIESAEGICS SDRLVQDKIRGYFAPFAKAYETVCQKQDKEFFGLRDYYSLIKMVFAKAKASKRGLSPQDI THAVLRNFSGKDNIQALSIFTASLPEARYKEEVSTVELIKQNIYPGPQASSRGLDGAESR YLLVLTRNYVALQILQQTFFEGQQPEIIFGSSFPQDQEYTQICRNINRVKICMETGKMVV LLNLQNLYESLYDALNQYYVYLGGQKYVDLGLGTHRVKCRVHTAFRLIVIEEKDVVYKQF PVPLINRLEKHYLDMNTVLQPWQKSIVQELQQWAHEFADVKADQFIARHKYSPADVFIGY HSDACASVVLQAVERQGCRDLTEELYRKVSEEARSILLDCATPDAVVRLSGSSLGSFTAK QLSQEYYYAQQHNSFVDFLQAHLRMTHHECRAVFTEITTFSRLLTGNDCDVLASELRGLA SKPVVLSLQQYDTEYSFLKDVRSWLTNPGKRKVLVIQADFDDGTRSAQLVASAKYTAINE INKTQGTKDFVFVYFVTKLSRMGSGTSYVGFHGGLWRSVHIDDLRRSTIMASDVTKLQNV TISQLFKPEDKPEQEEMEIETSQSKELAEEQMEVEDSEEMKKASDPRSCDCSQFLDTTRL VQSCVQGAVGMLRDQNESCARNMRRVTILLDLLNEDNTRNASFLRESKMRLHVLLNKQEE NQVRSLKEWVTREAANQDALQEAGTFRHTLWKRVQDVVTPILASMIAHIDRDGNLELLAQ PDSPAWVQDLWMFIYSDIKFLNISLVLNNTRSNSEMSFILVQSHMNLLKDAYNAVPFSWR IRDYLEELWVQAQYITDTEGLSKKFVEIFQKTPLGVFLAQFPVAQQQKLLQSYLKDFLLL TMKVSSREELMFLQMALWSCLRELQEASGTPDETYKFPLSLPWVHLAFQHFRTRLQNFSR ILTIHPQVLSSLSQAAEKHSLAGCEMTLDAFAAMACAEMLKGDLLKPSPKAWLQLVKNLS TPLELVCSEGYLCDSGSMTRSVIQEVRALWNRIFSIALFVEHVLLGTESHIPELSPLVTT YVSLLDKCLEEDSNLKTCRPFVAVMTTLCDCKDKASKKFSRFGIQPCFICHGDAQDPVCL PCDHVYCLRCIQTWLIPGQMMCPYCLTDLPDKFSPTVSQDHRKAIEKHAQFRHMCNSFFV DLVSTMCFKDNTPPEKSVIDTLLSLLFVQKELLRDASQKHREHTKSLSPFDDVVDQTPVI RSVLLKLLLKYSFHEVKDYIQNYLTQLEKKAFLTEDKTELYLLFISCLEDSVHQKTSAGC RNLEQVLREEGHFLRTYSPGLQGQEPVRIASVEYLQEVARVRLCLDLAADFLSELQEGSE LAEDKRRFLKHVEEFCTRVNNDWHRVYLVRKLSSQRGMEFVQSFSKQGHPCQWVFPRKVI AQQVRNRKDHVSLMDRYLVHGNEYKAVRDATAKAVLECKTLDIGNALMACRSPKPQQTAY LLLALYTEVAALYRSPNGSLHPEAKQLEAVNKFIKESKILSDPNIRCFARSLVDNTLPLL KIRSANSILKGTVTEMAVHVATILLCGHNQILKPLRNLAFYPVNMANAFLPTMPEDLLVH ARTWRGLENVTWYTCPRGHPCSVGECGRPMQESTCLDCGLPVGGLNHTPHEGFSAIRNNE DRTQTGHVLGSPQSSGVAEVSDRGQSPVVFILTRLLTHLAMLVGATHNPQALTVIIKPWV QDPQGFLQQHIQRDLEQLTKMLGRSADETIHVVHLILSSLLRVQSHGVLNFNAELSTKGC RNNWEKHFETLLLRELKHLDKNLPAINALISQDERISSNPVTKIIYGDPATFLPHLPQKS IIHCSKIWSCRRKITVEYLQHIVEQKNGKETVPVLWHFLQKEAELRLVKFLPEILALQRD LVKQFQNVSRVEYSSIRGFIHSHSSDGLRKLLHDRITIFLSTWNALRRSLETNGEIKLPK DYCCSDLDLDAEFEVILPRRQGLGLCGTALVSYLISLHNNMVYTVQKFSNEDNSYSVDIS EVADLHVISYEVERDLNPLILSNCQYQVQQGGETSQEFDLEKIQRQISSRFLQGKPRLTL KGIPTLVYRRDWNYEHLFMDIKNKMAQSSLPNLAISTISGQLQSYSDACEALSIIEITLG FLSTAGGDPGMDLNVYIEEVLRMCDQTAQVLKAFSRCQLRHIIALWQFLSAHKSEQRLRL NKELFREIDVQYKEELSTQHQRLLGTFLNEAGLDAFLLELHEMIVLKLKGPRAANSFNPN WSLKDTLVSYMETKDSDILSEVESQFPEEILMSSCISVWKIAATRKWDRQSR >ENSMUSP00000130027.1 pep:known chromosome:GRCm38:11:119478057:119487417:1 gene:ENSMUSG00000070327.14 transcript:ENSMUST00000172235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf213 description:ring finger protein 213 [Source:MGI Symbol;Acc:MGI:1289196] MVYTVQKFSNEDNSYSVDISEVADLHVISYEVERDLNPLILSNCQYQVQQGGETSQEFDL EKIQRQISSRFLQGKPRLTLKGIPTLVYRRDWNYEHLFMDIKNKMAQSSLPNLAISTISG QLQSYSDACEALSIIEITLGFLSTAGGDPGMDLNVYIEEVLRMCDQTAQVLKAFSRCQLR HIIALWQFLSAHKSEQRLRLNKELFREIDVQYKEELSTQHQRLLGTFLNEAGLDAFLLEL HEMIVLKLKGPRAANSFNPNWSLKDTLVSYMETKDSDILSEVESQFPEEILMSSCISVWK IAATRKWDRQSR >ENSMUSP00000056131.3 pep:known chromosome:GRCm38:12:84100654:84111349:1 gene:ENSMUSG00000043487.3 transcript:ENSMUST00000056822.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot6 description:acyl-CoA thioesterase 6 [Source:MGI Symbol;Acc:MGI:1921287] MAATLSVEPAGRSCWDEPLSIAVRGLAPEQPVTLRSVLRDEKGMLFRAHARYRADSHGEL DLARTPALGGSFSGLEPMGLLWAMEPDRPFWRLIKRDVQIPFVVELEVLDGHEPDGGQRL ARAVHERHFMAPGVRRVPVREGRVRATLFLPPGKGQFPGIIDLYGSIGGLCEHRASLLAG HGFAVLALAYFQFEDLPENLSDVRLEYFEEALALMLRHPQVKGPNIGLIGVSKGADLCLS MAAFLKDNITATVLINACVANTLVPLYYKDLFVPELGCDQTKNKSGLMDLRDMWNNPLEE PNHQSLIPLEKAQGPFLFLVGMDDHNWKSDVYARIACERLQAHGKDRPQIIYYPETGHCI EPPYFPPPIATVHFVLGEAVFNGGKPRAQSRAQLDAWQRIQTFFQKYLNGEKPARHSKL >ENSMUSP00000144205.1 pep:known chromosome:GRCm38:5:31697684:32084962:1 gene:ENSMUSG00000052139.18 transcript:ENSMUST00000201352.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bre description:brain and reproductive organ-expressed protein [Source:MGI Symbol;Acc:MGI:1333875] MSPEIALNRISPMLSPFISSVVRNGKVGLDATNCLRITDLKSGCTSLTPGPNCDRFKLHI PYAGETLKWDIIFNAQYPELPPDFIFGEDAEFLPDPSALHNLASWNPSNPECLLLVVKEL VQQYHQFQCGRLRESSRLMFEYQTLLEEPQYGENMEIYAGKKNNWTGEFSARFLLKLPVD FSNIPTYLLKDVNEDPGEDVALLSVSFEDTEATQVYPKLYLSPRIEHALGGSSALHIPAF PGGGCLIDYVPQVCHLLTNKVQYVIQGYHKRREYIAAFLSHFGTGVVEYDAEGFTKLTLL LMWKDFCFLVHIDLPLFFPRDQPTLTFQSVYHFTNSGQLYSQAQKNYPYSPRWDGNEMAK RAKAYFKTFVPQFQEAAFANGKL >ENSMUSP00000144497.1 pep:known chromosome:GRCm38:5:31697750:31731028:1 gene:ENSMUSG00000052139.18 transcript:ENSMUST00000202815.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bre description:brain and reproductive organ-expressed protein [Source:MGI Symbol;Acc:MGI:1333875] MSPEIALNRISPMLSPFISSVVRNGKVGLDATNCLRITDLKSGCTSLTPGPNCDRFKLHI PYA >ENSMUSP00000110160.4 pep:known chromosome:GRCm38:5:31698030:32084847:1 gene:ENSMUSG00000052139.18 transcript:ENSMUST00000114515.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bre description:brain and reproductive organ-expressed protein [Source:MGI Symbol;Acc:MGI:1333875] MSPEIALNRISPMLSPFISSVVRNGKVGLDATNCLRITDLKSGCTSLTPGPNCDRFKLHI PYAGETLKWDIIFNAQYPELPPDFIFGEDAEFLPDPSALHNLASWNPSNPECLLLVVKEL VQQYHQFQCGRLRESSRLMFEYQTLLEEPQYGENMEIYAGKKNNWTGEFSARFLLKLPVD FSNIPTYLLKDVNEDPGEDVALLSVSFEDTEATQVYPKLYLSPRIEHALGGSSALHIPAF PGGGCLIDYVPQVCHLLTNKVQYVIQGYHKRREYIAAFLSHFGTGVVEYDAEGFTKLTLL LMWKDFCFLVHIDLPLFFPRDQPTLTFQSVYHFTNSGQLYSQAQKNYPYSPRWDGNEMAK RAKRGSNRDGRNPVLPDNRHPLQIA >ENSMUSP00000069133.4 pep:known chromosome:GRCm38:5:31698050:32084739:1 gene:ENSMUSG00000052139.18 transcript:ENSMUST00000063813.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bre description:brain and reproductive organ-expressed protein [Source:MGI Symbol;Acc:MGI:1333875] MSPEIALNRISPMLSPFISSVVRNGKIHEKGPSQKLSFKSCSYHLPMCACNEWYGVPDLE KASYLWRKKENHLPLEKGQNCTSLTPGPNCDRFKLHIPYAGETLKWDIIFNAQYPELPPD FIFGEDAEFLPDPSALHNLASWNPSNPECLLLVVKELVQQYHQFQCGRLRESSRLMFEYQ TLLEEPQYGENMEIYAGKKNNWTGEFSARFLLKLPVDFSNIPTYLLKDVNEDPGEDVALL SVSFEDTEATQVYPKLYLSPRIEHALGGSSALHIPAFPGGGCLIDYVPQVCHLLTNKVQY VIQGYHKRREYIAAFLSHFGTGVVEYDAEGFTKLTLLLMWKDFCFLVHIDLPLFFPRDQP TLTFQSVYHFTNSGQLYSQAQKNYPYSPRWDGNEMAKRAKAYFKTFVPQFQEAAFANGKL >ENSMUSP00000071462.5 pep:known chromosome:GRCm38:5:31698050:32084739:1 gene:ENSMUSG00000052139.18 transcript:ENSMUST00000071531.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bre description:brain and reproductive organ-expressed protein [Source:MGI Symbol;Acc:MGI:1333875] MCACNEWYGVPDLEKASYLWRKKENHLPLEKGQNCTSLTPGPNCDRFKLHIPYAGETLKW DIIFNAQYPELPPDFIFGEDAEFLPDPSALHNLASWNPSNPECLLLVVKELVQQYHQFQC GRLRESSRLMFEYQTLLEEPQYGENMEIYAGKKNNWTGEFSARFLLKLPVDFSNIPTYLL KDVNEDPGEDVALLSVSFEDTEATQVYPKLYLSPRIEHALGGSSALHIPAFPGGGCLIDY VPQVCHLLTNKVQYVIQGYHKRREYIAAFLSHFGTGVVEYDAEGFTKLTLLLMWKDFCFL VHIDLPLFFPRDQPTLTFQSVYHFTNSGQLYSQAQKNYPYSPRWDGNEMAKRAKAYFKTF VPQFQEAAFANGKL >ENSMUSP00000128351.1 pep:known chromosome:GRCm38:5:31698050:32084739:1 gene:ENSMUSG00000052139.18 transcript:ENSMUST00000131995.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bre description:brain and reproductive organ-expressed protein [Source:MGI Symbol;Acc:MGI:1333875] MFEYQTLLEEPQYGENMEIYAGKKNNWTGEFSARFLLKLPVDFSNIPTYLLKDVNEDPGE DVALLSVSFEDTEATQVYPKLYLSPRIEHALGGSSALHIPAFPGGGCLIDYVPQVCHLLT NKVQYVIQGYHKRREYIAAFLSHFGTGVVEYDAEGFTKLTLLLMWKDFCFLVHIDLPLFF PRDQPTLTFQSVYHFTNSGQLYSQAQKNYPYSPRWDGNEMAKRAKAYFKTFVPQFQEAAF ANGKL >ENSMUSP00000110152.3 pep:known chromosome:GRCm38:5:31698050:32084739:1 gene:ENSMUSG00000052139.18 transcript:ENSMUST00000114507.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bre description:brain and reproductive organ-expressed protein [Source:MGI Symbol;Acc:MGI:1333875] MCACRDIIFNAQYPELPPDFIFGEDAEFLPDPSALHNLASWNPSNPECLLLVVKELVQQY HQFQCGRLRESSRLMFEYQTLLEEPQYGENMEIYAGKKNNWTGEFSARFLLKLPVDFSNI PTYLLKDVNEDPGEDVALLSVSFEDTEATQVYPKLYLSPRIEHALGGSSALHIPAFPGGG CLIDYVPQVCHLLTNKVQYVIQGYHKRREYIAAFLSHFGTGVVEYDAEGFTKLTLLLMWK DFCFLVHIDLPLFFPRDQPTLTFQSVYHFTNSGQLYSQAQKNYPYSPRWDGNEMAKRAKA YFKTFVPQFQEAAFANGKL >ENSMUSP00000144322.1 pep:known chromosome:GRCm38:5:31698051:31820562:1 gene:ENSMUSG00000052139.18 transcript:ENSMUST00000202293.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bre description:brain and reproductive organ-expressed protein [Source:MGI Symbol;Acc:MGI:1333875] MSPEIALNRISPMLSPFISSVVRNGKVGLDATNCLRITDLKSG >ENSMUSP00000143964.1 pep:known chromosome:GRCm38:5:31701845:32057671:1 gene:ENSMUSG00000052139.18 transcript:ENSMUST00000200705.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bre description:brain and reproductive organ-expressed protein [Source:MGI Symbol;Acc:MGI:1333875] MSPEIALNRISPMLSPFISSVVRNGKVGLDATNCLRITDLKSGCTSLTPGPNCDRFKLHI PYAGETLKWDIIFNAQYPELPPDFIFGEDAEFLPDPSALHNLASWNPSNPECLLLVVKEL VQQYHQFQCGRLRESSRLMFEYQTLLEEPQYGENMEIYAGKKNNWDVNEDPGEDVALLSV SFEDTEATQVYPKLYLSPRIEQYSM >ENSMUSP00000034597.7 pep:known chromosome:GRCm38:9:45319100:45347581:1 gene:ENSMUSG00000037129.7 transcript:ENSMUST00000034597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss13 description:transmembrane protease, serine 13 [Source:MGI Symbol;Acc:MGI:2682935] MDRGSHRNSSPARTPPQASPARTSPARAPPQASPARTPPQASPTRTPPQASPARAPPPQA SPARASPARASLARAPPSRSSSGRSSSARSASTTSSPTRVYLVRATPVGAVPIRASPARS APATRATRESPGLSFPKFSWQETQRQLPLIGCVILLISLVISLILLFYFWRGHTGIKYKE PLESCPIHAVRCDGVVDCKMKSDELGCVRFDWDKSLLKVYSGSSGEWLPVCSSSWNDTDS KRTCQQLGFDSAYRTTEVAHRDITSSFLLSEYNTTIQESLYRSQCPSRRYVSLQCSHCGL RAMTGRIVGGALTSESKWPWQVSLHFGTTHICGGTLIDAQWVLTAAHCFFVTREKLLEGW KVYAGTSNLHQLPEAASISQIIINGNYTDEQDDYDIALIRLSKPLTLSAHIHPACLPMHG QTFGLNETCWITGFGKTKETDEKTSPFLREVQVNLIDFKKCNDYLVYDSYLTPRMMCAGD LRGGRDSCQGDSGGPLVCEQNNRWYLAGVTSWGTGCGQKNKPGVYTKVTEVLPWIYRKME SEVRFRKS >ENSMUSP00000027144.7 pep:known chromosome:GRCm38:1:67123026:67231259:1 gene:ENSMUSG00000025991.8 transcript:ENSMUST00000027144.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cps1 description:carbamoyl-phosphate synthetase 1 [Source:MGI Symbol;Acc:MGI:891996] MTRILTACKVVKTLKSGFGFANVTTKRQWDFSRPGIRLLSVKAKTAHIVLEDGTKMKGYS FGHPSSVAGEVVFNTGLGGYPEALTDPAYKGQILTMANPIIGNGGAPDTTARDELGLNKY MESDGIKVAGLLVLNYSNDYNHWLATKSLGQWLQEEKVPAIYGVDTRMLTKIIRDKGTML GKIEFEGQSVDFVDPNKQNLIAEVSTKDVKVFGKGNPTKVVAVDCGIKNNVIRLLVKRGA EVHLVPWNHDFTQMEYDGLLIAGGPGNPALAQPLIQNVKKILESDRKEPLFGISTGNIIT GLAAGAKSYKMSMANRGQNQPVLNITNRQAFITAQNHGYALDNTLPAGWKPLFVNVNDQT NEGIMHESKPFFAVQFHPEVSPGPTDTEYLFDSFFSLIKKGKGTTITSVLPKPALVASRV EVSKVLILGSGGLSIGQAGEFDYSGSQAVKAMKEENVKTVLMNPNIASVQTNEVGLKQAD AVYFLPITPQFVTEVIKAERPDGLILGMGGQTALNCGVELFKRGVLKEYGVKVLGTSVES IMATEDRQLFSDKLNEINEKIAPSFAVESMEDALKAADTIGYPVMIRSAYALGGLGSGIC PNKETLIDLGTKAFAMTNQILVERSVTGWKEIEYEVVRDADDNCVTVCNMENVDAMGVHT GDSVVVAPAQTLSNAEFQMLRRTSVNVVRHLGIVGECNIQFALHPTSMEYCIIEVNARLS RSSALASKATGYPLAFIAAKIALGIPLPEIKNVVSGKTSACFEPSLDYMVTKIPRWDLDR FHGTSSRIGSSMKSVGEVMAIGRTFEESFQKALRMCHPSVDGFTPRLPMNKEWPANLDLK KELSEPSSTRIYAIAKALENNMSLDEIVRLTSIDKWFLYKMRDILNMDKTLKGLNSDSVT EETLRKAKEIGFSDKQISKCLGLTEAQTRELRLKKNIHPWVKQIDTLAAEYPSVTNYLYV TYNGQEHDIKFDEHGIMVLGCGPYHIGSSVEFDWCAVSSIRTLRQLGKKTVVVNCNPETV STDFDECDKLYFEELSLERILDIYHQEACNGCIISVGGQIPNNLAVPLYKNGVKIMGTSP LQIDRAEDRSIFSAVLDELKVAQAPWKAVNTLNEALEFANSVGYPCLLRPSYVLSGSAMN VVFSEDEMKRFLEEATRVSQEHPVVLTKFVEGAREVEMDAVGKEGRVISHAISEHVEDAG VHSGDATLMLPTQTISQGAIEKVKDATRKIAKAFAISGPFNVQFLVKGNDVLVIECNLRA SRSFPFVSKTLGVDFIDVATKVMIGESIDEKRLPTLEQPIIPSDYVAIKAPMFSWPRLRD ADPILRCEMASTGEVACFGEGIHTAFLKAMLSTGFKIPQKGILIGIQQSFRPRFLGVAEQ LHNEGFKLFATEATSDWLNANNVPATPVAWPSQEGQNPSLSSIRKLIRDGSIDLVINLPN NNTKFVHDNYVIRRTAVDSGIALLTNFQVTKLFAEAVQKSRTVDSKSLFHYRQYSAGKAA >ENSMUSP00000106875.1 pep:known chromosome:GRCm38:5:134357656:134456256:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000111244.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSW DRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIK SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPDEDDANR LGEKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTY DIHRLEKILKAREHVRMVIINQLQPFAEVCNDPKVPEEDDSNKLGKKVILREQVKELFNE KYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRM VIINQLQPFGDVCNNAKVPAKDNIPKRKRKRVSEGNSVSSSSSSSSSSSNPESVASTNQI SLVQWPVYMVDYSGLNVQLPGPLDY >ENSMUSP00000129392.2 pep:known chromosome:GRCm38:5:134357656:134456716:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000171794.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSW DRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIK SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPDEDDANR LGEKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTY DIHRLEKILKAREHVRMVIINQLQPFAEVCNDPKVPEEDDSNKLGKKVILREQVKELFNE KYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRM VIINQLQPFGDVCNNAKVPAKDNIPKRKRKRVSEGNSVSSSSSSSSSSSNPESVASTNQI SLVQWPVYMVDYSGLNVQLPGPLDY >ENSMUSP00000072904.5 pep:known chromosome:GRCm38:5:134357661:134456688:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000073161.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSW DRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIK SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPETKILTT GHEAGKTTRPRRLQQDTWQPDEDDANRLGEKVILREQVKELFNEKYGEALGLNRPVLVPY KLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFAEVCNDP KVPEEDDSNKLGKKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPD DIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFGDVCNNAKVPAKDNIPKRKRKRVS EGNSVSSSSSSSSSSSNPESVASTNQISLVQWPVYMVDYSGLNVQLPGPLDY >ENSMUSP00000144203.1 pep:known chromosome:GRCm38:5:134357663:134363276:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000202104.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] PFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFAEVCNDPKVPEEDDSNKLGKKVILRE QVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKIL KAREHVRMVIINQLQPFGDVCNNAKVPAVASVHGGLFRTKRAASGPP >ENSMUSP00000143897.1 pep:known chromosome:GRCm38:5:134357679:134456576:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000202280.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSW DRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIK SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPDEDDANR LGEKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTY DIHRLEKILKAREHVRMVIINQLQPFAEVCNDPKVPAKDNIPKRKRKRVSEGNSVSSSSS SSSSSSNPESVASTNQISLVQWPVYMVDYSGLNVQLPGPLDY >ENSMUSP00000143848.1 pep:known chromosome:GRCm38:5:134357679:134456576:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000200944.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSW DRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIK SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPETKILTT GHEAGKTTRPRRLQQDTWQPDEDDANRLGEKVILREQVKELFNEKYGEALGLNRPVLVPY KLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFGDVCNNA KVPAKDNIPKRKRKRVSEGNSVSSSSSSSSSSSNPESVASTNQISLVQWPVYMVDYSGLN VQLPGPLDY >ENSMUSP00000098219.3 pep:known chromosome:GRCm38:5:134357679:134456584:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000100654.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSW DRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYDEDDANRLGEKVILREQV KELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKA REHVRMVIINQLQPFAEVCNDPKVPEEDDSNKLGKKVILREQVKELFNEKYGEALGLNRP VLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFGD VCNNAKVPAKDNIPKRKRKRVSEGNSVSSSSSSSSSSSNPESVASTNQISLVQWPVYMVD YSGLNVQLPGPLDY >ENSMUSP00000106876.2 pep:known chromosome:GRCm38:5:134357697:134456589:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000111245.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQENYNT RLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIKSNPGSVIIEGLPPGIPFRK PCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPDEDDANRLGEKVILREQVKELFNEKY GEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVI INQLQPFAEVCNDPKVPEEDDSNKLGKKVILREQVKELFNEKYGEALGLNRPVLVPYKLI RDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFGDVCNNAKVP AKDNIPKRKRKRVSEGNSVSSSSSSSSSSSNPESVASTNQISLVQWPVYMVDYSGLNVQL PGPLDY >ENSMUSP00000144604.1 pep:known chromosome:GRCm38:5:134357786:134377024:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000202829.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPGHEAGKT TRPRRLQQDTWQPDEDDANRLGEKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSP DAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFAEVCNDPKVPEEDD SNKLGKKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNP NTYDIHRLEKILKAREHVRMVIINQLQPFGDVCNNAKVPAKDNIPKRKRKRVSEGNSVSS SSSSSSSSSNPESVASTNQISLVQWPVYMVDYSGLNVQLPGPLDY >ENSMUSP00000073752.5 pep:known chromosome:GRCm38:5:134358573:134456576:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000074114.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSW DRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIK SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPETKILTT GHEAGKTTRPRRLQQDTWQPDEDDANRLGEKVILREQVKELFNEKYGEALGLNRPVLVPY KLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFGDVCNNA KVPAKDNIPKRKRKRVSEGNSVSSSSSSSSSSSNPESVASTNQISLVVKSRGSELHPNSV WPLPLPRAGPSTAPGTGRHWALRGTQPTTEGQAHPLVLPTR >ENSMUSP00000143809.1 pep:known chromosome:GRCm38:5:134358573:134456589:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000202554.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQENYNT RLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIKSNPGSVIIEGLPPGIPFRK PCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPDEDDANRLGEKVILREQVKELFNEKY GEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVI INQLQPFAEVCNDPKVPEEDDSNKLGKKVILREQVKELFNEKYGEALGLNRPVLVPYKLI RDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFGDVCNNAKVP AKDNIPKRKRKRVSEGNSVSSSSSSSSSSSNPESVASTNQISLVVKSRGSELHPNSVWPL PLPRAGPSTAPGTGRHWALRGTQPTTEGQAHPLVLPTR >ENSMUSP00000098217.3 pep:known chromosome:GRCm38:5:134358635:134456692:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000100652.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSW DRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIK SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPETKILTT GHEAGKTTRPRRLQQDTWQPDEDDANRLGEKVILREQVKELFNEKYGEALGLNRPVLVPY KLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFAEVCNDP KVPEEDDSNKLGKKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPD DIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFGDVCNNAKVPAKDNIPKRKRKRVS EGNSVSSSSSSSSSSSNPESVASTNQISLVVKSRGSELHPNSVWPLPLPRAGPSTAPGTG RHWALRGTQPTTEGQAHPLVLPTR >ENSMUSP00000098215.3 pep:known chromosome:GRCm38:5:134358654:134456586:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000100650.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSW DRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIK SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPDEDDANR LGEKVILREQVKELFNEKYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTY DIHRLEKILKAREHVRMVIINQLQPFAEVCNDPKVPEEDDSNKLGKKVILREQVKELFNE KYGEALGLNRPVLVPYKLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRM VIINQLQPFGDVCNNAKVPAKDNIPKRKRKRVSEGNSVSSSSSSSSSSSNPESVASTNQI SLVVKSRGSELHPNSVWPLPLPRAGPSTAPGTGRHWALRGTQPTTEGQAHPLVLPTR >ENSMUSP00000143948.1 pep:known chromosome:GRCm38:5:134360238:134385071:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000202321.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] RDPAPTEAGGDAVQHEICQSYWYLRAGQGALLQVPDAPGGAVRTGTA >ENSMUSP00000144420.1 pep:known chromosome:GRCm38:5:134363334:134388461:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000202165.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] SGYGMEMPADKGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVP YSKFLMHPEELFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEP VLDTQENYNTRLSRIDIANTLREQVQDLFNKKYDEDDANRLGEKVILREQVKELFNEKYG EALGLNRPVLVPY >ENSMUSP00000144003.1 pep:known chromosome:GRCm38:5:134363954:134388482:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000201495.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] XGICPQRIPVMGWKCRLTKAPVKNRGQKRGRPKRALVT >ENSMUSP00000144447.1 pep:known chromosome:GRCm38:5:134382583:134395796:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000201441.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] XALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIHFIIK RMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDCGPGT SGEIAMLRPIKIEPEELDIIQVTVSDKGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFN TKYAKAIGTSEPVKVPYSKFLMHPEELFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQ FVIKRPELLTDGVKEPVLDTQE >ENSMUSP00000144521.1 pep:known chromosome:GRCm38:5:134382583:134395796:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000200798.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] XALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIHFIIK REQVYQRPHEAGAS >ENSMUSP00000144502.1 pep:known chromosome:GRCm38:5:134382795:134395796:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000201526.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] XALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIHFIIK RSFTYL >ENSMUSP00000144222.1 pep:known chromosome:GRCm38:5:134383779:134395796:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000201447.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] XALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIHFIIK RMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDCGPGT SGEIAMLRPIKIEPEELDIIQVTVSAKAIGTSEPVKVPYSKFLMHPEELFVLGLPEGISL RRPNCFGIAKLRK >ENSMUSP00000144373.1 pep:known chromosome:GRCm38:5:134390842:134415256:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000201704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] XNTEVACVAVHNESVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRC EGGGRSLPRSSLEQCSDVYLLQKMVEEVFDVLYRQTPAGPAGPLIQNVHASKRILFSIVH DKSEKWDPFIKEMEDINTLRECVQILFNSRYAEALGLDHMVPVPYRKIACDPEAVEIVGI PDKIPFKRPCTYGVPKLKRILEERHSIHFIIKRMFDERIFTGNKFTKDPMKLEPASPPED TSTEVCRDSML >ENSMUSP00000144391.1 pep:known chromosome:GRCm38:5:134411077:134455951:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000201647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAG >ENSMUSP00000132882.2 pep:known chromosome:GRCm38:5:134357669:134456716:-1 gene:ENSMUSG00000023079.14 transcript:ENSMUST00000167084.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2ird1 description:general transcription factor II I repeat domain-containing 1 [Source:MGI Symbol;Acc:MGI:1861942] MALLGKHCDIPTNGCGSERWNSTFARKDELINSLVSALDSMCSALSKLNTEVACVAVHNE SVFVMGTEKGRVFLNTRKELQSDFLRFCRGPLWNDPEAGHPKKVQRCEGGGRSLPRSSLE QCSDVYLLQKMVEEVFDVLYSEAMGRATVVPLPYERLLREPGLLAVQGLPEGLAFRRPAE YDPKALMAILEHSHRIRFKLRRPPDDGGQDTKALVEMNGISLLPKGSRDCGLHGQASKVA PQDLTPTATPSSMANFLYSTSMPNHTIRELKQEVPTCPLTPSDLGMGWPVPEPHVPSTQD FSDCCGQTPAGPAGPLIQNVHASKRILFSIVHDKSEKWDPFIKEMEDINTLRECVQILFN SRYAEALGLDHMVPVPYRKIACDPEAVEIVGIPDKIPFKRPCTYGVPKLKRILEERHSIH FIIKRMFDERIFTGNKFTKDPMKLEPASPPEDTSTEVCRDSMLDLAGTAWSDMSSVSEDC GPGTSGEIAMLRPIKIEPEELDIIQVTVSDPSPTSEEMTDSLPGHLPSEDSGYGMEMPAD KGPSEEPWSEERPAEESPGDVIRPLRKQVEMLFNTKYAKAIGTSEPVKVPYSKFLMHPEE LFVLGLPEGISLRRPNCFGIAKLRKILEASNSIQFVIKRPELLTDGVKEPVLDTQERDSW DRLVDETPKRQGLQENYNTRLSRIDIANTLREQVQDLFNKKYGEALGIKYPVQVPYKRIK SNPGSVIIEGLPPGIPFRKPCTFGSQNLERILSVADKIKFTVTRPFQGLIPKPETKILTT GHEAGKTTRPRRLQQDTWQPDEDDANRLGEKVILREQVKELFNEKYGEALGLNRPVLVPY KLIRDSPDAVEVKGLPDDIPFRNPNTYDIHRLEKILKAREHVRMVIINQLQPFGDVCNNA KVPAKDNIPKRKRKRVSEGNSVSSSSSSSSSSSNPESVASTNQISLVQWPVYMVDYSGLN VQLPGPLDY >ENSMUSP00000021405.7 pep:known chromosome:GRCm38:16:20717665:20722267:1 gene:ENSMUSG00000021018.7 transcript:ENSMUST00000021405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2h description:polymerase (RNA) II (DNA directed) polypeptide H [Source:MGI Symbol;Acc:MGI:2384309] MAGILFEDIFDVKDIDPEGKKFDRVSRLHCESESFKMDLILDVNIQIYPVDLGDKFRLVI ASTLYEDGTLDDGEYNPTDDRPSRADQFEYVMYGKVYRIEGDETSTEAATRLSAYVSYGG LLMRLQGDANNLHGFEVDSRVYLLMKKLAF >ENSMUSP00000124963.1 pep:known chromosome:GRCm38:5:98929773:99037351:-1 gene:ENSMUSG00000029334.14 transcript:ENSMUST00000161490.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkg2 description:protein kinase, cGMP-dependent, type II [Source:MGI Symbol;Acc:MGI:108173] MGNGSVKPKHAKHPDGHSGNLSNEALRSKVLELERELRRKDAELQEREYHLKELREQLAK QTVAIAELTEELQSKCIQLNKLQDVIHVQGGSPLQASPDKVPLDVHRKTSGLVSLHSRRG AKAGVSAEPTTRTYDLNKPPEFSFEKARVRKDSSEKKLITDALNKNQFLKRLDPQQIKDM VECMYGRNYQQGSYVIKQGEPGNHIFVLAEGRLEVFQGEKLLSSIPMWTTFGELAILYNC TRTASVKAITNVKTWALDREVFQNIMRRTAQARDEEYRNFLRSVSLLKNLPEDKLTKIID CLEVEYYDKGDYIIREGEEGSTFFILAKGKVKVTQSTEGHDQPQLIKTLQKGEYFGEKAL ISDDVRSANIIAEENDVACLVIDRETFNQTVGTFDELQKYLEGYVATLNRDDEKRHAKRS MSSWKLSKALSLEMIQLKEKVARFSSTSPFQNLEIIATLGVGGFGRVELVKVKNENVAFA MKCIRKKHIVDTKQQEHVYSEKRILEELCSPFIVKLYRTFKDNKYVYMLLEACLGGELWS ILRDRGSFDEPTSKFCVACVTEAFDYLHRLGIIYRDLKPENLILDADGYLKLVDFGFAKK IGSGQKTWTFCGTPEYVAPEVILNKGHDFSVDFWSLGILVYELLTGNPPFSGIDQMMTYN LILKGIEKMDFPRKITRRPEDLIRRLCRQNPTERLGNLKNGINDIKKHRWLNGFNWEGLK ARSLPSPLRRELSGPIDHSYFDKYPPEKGVPPDEMSGWDKDF >ENSMUSP00000142743.1 pep:known chromosome:GRCm38:5:98931268:98943832:-1 gene:ENSMUSG00000029334.14 transcript:ENSMUST00000162619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkg2 description:protein kinase, cGMP-dependent, type II [Source:MGI Symbol;Acc:MGI:108173] MDFPRKITRRPEDLIRRLCRQNPTERLGNLKNGINDIKKHRWLNGFNWEGLKARSLPSPL RRELSGPIDHSYFDKYPPEKGVPPDEMSGWDKDF >ENSMUSP00000143708.1 pep:known chromosome:GRCm38:5:98931268:98943834:-1 gene:ENSMUSG00000029334.14 transcript:ENSMUST00000162147.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkg2 description:protein kinase, cGMP-dependent, type II [Source:MGI Symbol;Acc:MGI:108173] MMTYNLILKGIEKMDFPRKITRRPEDLIRRLCRQNPTERLGNLKNGINDIKKHRWLNGFN WEGLKARSLPSPLRRELSGPIDHSYFDKYPPEKGVPPDEMSGWDKDF >ENSMUSP00000031277.6 pep:known chromosome:GRCm38:5:98931720:99037035:-1 gene:ENSMUSG00000029334.14 transcript:ENSMUST00000031277.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkg2 description:protein kinase, cGMP-dependent, type II [Source:MGI Symbol;Acc:MGI:108173] MGNGSVKPKHAKHPDGHSGNLSNEALRSKVLELERELRRKDAELQEREYHLKELREQLAK QTVAIAELTEELQSKCIQLNKLQDVIHVQGGSPLQASPDKVPLDVHRKTSGLVSLHSRRG AKAGVSAEPTTRTYDLNKPPEFSFEKARVRKDSSEKKLITDALNKNQFLKRLDPQQIKDM VECMYGRNYQQGSYVIKQGEPGNHIFVLAEGRLEVFQGEKLLSSIPMWTTFGELAILYNC TRTASVKAITNVKTWALDREVFQNIMRRTAQARDEEYRNFLRSVSLLKNLPEDKLTKIID CLEVEYYDKGDYIIREGEEGSTFFILAKGKVKVTQSTEGHDQPQLIKTLQKGEYFGEKAL ISDDVRSANIIAEENDVACLVIDRETFNQTVGTFDELQKYLEGYVATLNRDDEKRHAKRS MSSWKLSKALSLEMIQLKEKVKVKNENVAFAMKCIRKKHIVDTKQQEHVYSEKRILEELC SPFIVKLYRTFKDNKYVYMLLEACLGGELWSILRDRGSFDEPTSKFCVACVTEAFDYLHR LGIIYRDLKPENLILDADGYLKLVDFGFAKKIGSGQKTWTFCGTPEYVAPEVILNKGHDF SVDFWSLGILVYELLTGNPPFSGIDQMMTYNLILKGIEKMDFPRKITRRPEDLIRRLCRQ NPTERLGNLKNGINDIKKHRWLNGFNWEGLKARSLPSPLRRELSGPIDHSYFDKYPPEKG VPPDEMSGWDKDF >ENSMUSP00000000505.9 pep:known chromosome:GRCm38:5:138164583:138172422:-1 gene:ENSMUSG00000029730.16 transcript:ENSMUST00000000505.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm7 description:minichromosome maintenance deficient 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1298398] MALKDYAIEKEKVKKFLQEFYYENELGKKQFKYGTQLVHLAHREQVALYVDLDDIAEDDP ELVDSICENAKRYSRLFGDVVQELLPEYKEKEVVNKDVLDVYIEHRLMMEQRSRDPGAVR NPQNQYPSELMRRFELYFRGPSSSKPRVIREVRADSVGKLLTVRGIVTRVSEVKPRMVVA TYTCDQCGAETYQPIQSPTFMPLIMCPSQECQTNRSGGRLYLQTRGSKFVKFQEMKIQEH SDQVPVGNIPRSITVVLEGENTRIAQPGDHVSVTGIFLPVLRTGFQQMAQGLLSETYLEA HWIVKMTKSDDDVSGAGELSSEELKQIAEEDFYEKLAASIAPEIYGHEDVKKALLLLLVG GVDQSPQGMKIRGNIHICLMGDPGVAKSQLLSYIDRLAPRSQYTTGRGSSGVGLTAAVLR DSVSGELTLEGGALVLADQGVCCIDEFDKMAEADRTAIHEVMEQQTISIAKAGILTTLNA RCSILAAANPAYGRYNPRRSLEQNVQLPAALLSRFDLLWLIQDRPDRDNDLRLAQHITYV HQHSRQPPAQFEPLDMKLMRRYIAMCHERQPTVPESLADYITAAYVEMRREARASKDATY TSARTLLAILRLSTALARLRMVDIVEKEDVNEAIRLMEMSKDSLLGEKGQTARTQRPADV IFATIRELVSRGRSVHFSEAEQRCISRGFTPAQFQAALDEYEELNVWQVNTSRTRITFV >ENSMUSP00000116131.1 pep:known chromosome:GRCm38:5:138164600:138171818:-1 gene:ENSMUSG00000029730.16 transcript:ENSMUST00000148879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm7 description:minichromosome maintenance deficient 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1298398] MALKDYAIEKEKVKKFLQEFYYENELGKKQFKYGTQLVHLAHREQVALYVDLDDIAEDDP ELVDSICENAKRYSRLFGDVVQELLPEYKEKEVVNKDVLDVYIEHRLMMEQRSRDPGAVR NPQNQYPSELMRRFELYFRGPSSSKPRVIREVRADSVGKLLTVRGIVTRVSEVKPRMVVA TYTCDQCGAETYQPIQSPTFMPLIMCPSQECQTNRSGGRLYLQTRGSKFVKFQEMKIQEH SDQVPVGNIPRSITVVLEGENTRIAQPGDHVSVTGIFLPVLRTGFQQMAQGLLSETYLEA HWIVKMTKSDDDVSGAGELSSEELKQIADVIFATIRELVSRGRSVHFSEAEQRCISRGFT PAQFQAALDEYEELNVWQVNTSRTRITFV >ENSMUSP00000121566.1 pep:known chromosome:GRCm38:5:138168456:138171266:-1 gene:ENSMUSG00000029730.16 transcript:ENSMUST00000153867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm7 description:minichromosome maintenance deficient 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1298398] MMEQRSRDPGAVRNPQNQYPSELMRRFELYFRGPSSSKPRVIREVRADSVGKLLTVRGIV TRVSEVKPRMVVATYTCDQCGAETYQPIQSPTFMPLIMCPSQECQTNRSGGRLYLQTRGS KFVKFQEMKIQEHSDQVPVGNI >ENSMUSP00000121446.1 pep:known chromosome:GRCm38:5:138168878:138171017:-1 gene:ENSMUSG00000029730.16 transcript:ENSMUST00000139983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm7 description:minichromosome maintenance deficient 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1298398] MMEQRSRDPGAVRNPQNQYPSELMRRFELYFRGPSSSKPRVIREVRADSVGKLLTVRGIV TRVSEVKPRMVVATYTCDQCGAETYQPIQSPTFMPLIMCPSQECQTNRSG >ENSMUSP00000120243.1 pep:known chromosome:GRCm38:5:138168879:138171815:-1 gene:ENSMUSG00000029730.16 transcript:ENSMUST00000155902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm7 description:minichromosome maintenance deficient 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1298398] MMEQRSRDPGAVRNPQNQYPSELMRRFELYFRGPSSSKPRVIREVRADSVGKLLTVRGIV TRVSEVKPRMVVATYTCDQCGAETYQPIQSPTFMPLIMCPSQECQTNRSG >ENSMUSP00000121344.1 pep:known chromosome:GRCm38:5:138169209:138170661:-1 gene:ENSMUSG00000029730.16 transcript:ENSMUST00000148094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm7 description:minichromosome maintenance deficient 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1298398] MMEQRSRDPGAVRNPQNQYPSELMRRFELYFRGPSSSKPRVIREVRADSV >ENSMUSP00000116169.1 pep:known chromosome:GRCm38:5:138169411:138171241:-1 gene:ENSMUSG00000029730.16 transcript:ENSMUST00000147920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm7 description:minichromosome maintenance deficient 7 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1298398] MMEQRS >ENSMUSP00000018361.3 pep:known chromosome:GRCm38:11:63131512:63159547:1 gene:ENSMUSG00000018217.12 transcript:ENSMUST00000018361.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmp22 description:peripheral myelin protein 22 [Source:MGI Symbol;Acc:MGI:97631] MLLLLLGILFLHIAVLVLLFVSTIVSQWLVGNGHTTDLWQNCTTSALGAVQHCYSSSVSE WLQSVQATMILSVIFSVLALFLFFCQLFTLTKGGRFYITGFFQILAGLCVMSAAAIYTVR HSEWHVNTDYSYGFAYILAWVAFPLALLSGIIYVILRKRE >ENSMUSP00000104342.1 pep:known chromosome:GRCm38:11:63128982:63159546:1 gene:ENSMUSG00000018217.12 transcript:ENSMUST00000108702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmp22 description:peripheral myelin protein 22 [Source:MGI Symbol;Acc:MGI:97631] MLLLLLGILFLHIAVLVLLFVSTIVSQWLVGNGHTTDLWQNCTTSALGAVQHCYSSSVSE WLQSVQATMILSVIFSVLALFLFFCQLFTLTKGGRFYITGFFQILAGLCVMSAAAIYTVR HSEWHVNTDYSYGFAYILAWVAFPLALLSGIIYVILRKRE >ENSMUSP00000104341.1 pep:known chromosome:GRCm38:11:63132569:63158484:1 gene:ENSMUSG00000018217.12 transcript:ENSMUST00000108701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmp22 description:peripheral myelin protein 22 [Source:MGI Symbol;Acc:MGI:97631] MLLLLLGILFLHIAVLVLLFVSTIVSQWLVGNGHTTDLWQNCTTSALGAVQHCYSSSVSE WLQSVQATMILSVIFSVLALFLFFCQLFTLTKGGRFYITGFFQILAGLCVMSAAAIYTVR HSEWHVNTDYSYGFAYILAWVAFPLALLSGIIYVILRKRE >ENSMUSP00000104340.1 pep:known chromosome:GRCm38:11:63133068:63158566:1 gene:ENSMUSG00000018217.12 transcript:ENSMUST00000108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmp22 description:peripheral myelin protein 22 [Source:MGI Symbol;Acc:MGI:97631] MLLLLLGILFLHIAVLVLLFVSTIVSQWLVGNGHTTDLWQNCTTSALGAVQHCYSSSVSE WLQSVQATMILSVIFSVLALFLFFCQLFTLTKGGRFYITGFFQILAGLCVMSAAAIYTVR HSEWHVNTDYSYGFAYILAWVAFPLALLSGIIYVILRKRE >ENSMUSP00000088528.1 pep:known chromosome:GRCm38:2:36376778:36377698:1 gene:ENSMUSG00000068950.1 transcript:ENSMUST00000091006.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr338 description:olfactory receptor 338 [Source:MGI Symbol;Acc:MGI:3030172] MDNESTVSEFILLGLPIRAEDQAVYSALFLVLYLTTVLGNLLIILLIRLDSHLHTPMYFF LSHLAFTDISFSSVTAPKMLVNMLTHSQSISYAGCISQEYFFTVFAGIDSFLLTSMAYDR YVAICHPLHYITIMNQNLCVLLVVVSWALSSANCLVHTLLLACLSHFRNNTIPHYFCDLS TLLKLSSSDTTINQLVILVLGNVVISLPFICILVSYGRIGVTIMKAPSIKGICKALSTCG SHLCVVSLYFGSIIGLYCVPSSNNINENNAIVSVMYTMVTPMLNPFIYSLRNRDIKRALK NILSRK >ENSMUSP00000113354.1 pep:known chromosome:GRCm38:12:111159850:111184491:-1 gene:ENSMUSG00000083193.1 transcript:ENSMUST00000121608.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930595D18Rik description:RIKEN cDNA 4930595D18 gene [Source:MGI Symbol;Acc:MGI:1925312] MSLVLQELELLAGVSQQAQVWELSSEWIHTANWNNNDTLSNYVTRSQLWKLRGRGAADRH RFPP >ENSMUSP00000114318.1 pep:known chromosome:GRCm38:16:20693282:20699283:1 gene:ENSMUSG00000050821.13 transcript:ENSMUST00000149543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam131a description:family with sequence similarity 131, member A [Source:MGI Symbol;Acc:MGI:1925658] MLPKSRRALTIQEIAALARSSLHGISQVV >ENSMUSP00000113719.1 pep:known chromosome:GRCm38:16:20693283:20703044:1 gene:ENSMUSG00000050821.13 transcript:ENSMUST00000118919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam131a description:family with sequence similarity 131, member A [Source:MGI Symbol;Acc:MGI:1925658] MLPKSRRALTIQEIAALARSSLHGISQVVKDHVTKPTAMAQGRVAHLIEWKGWSKPSDSP AALESAFSSYSDLSEGEQEARFAAGVAEQFAIAEAKLRAWSSVDGDDSTDDSYDEDFTGG IDTDMAGPLGSHLQDLFTGRRFSRPVRQGSVEPESDCSQTVSPDTLCSSLCSLEDGLLGS PARMTSQLLGEELLLARLPPSRESAFRSLGPLEAQDSLYNSPLSESCLSPAEEEPDSCKD CQLLCPLPTGSWERQQQVSDVASSGVVSLDEDEVDQ >ENSMUSP00000060194.6 pep:known chromosome:GRCm38:16:20694908:20703048:1 gene:ENSMUSG00000050821.13 transcript:ENSMUST00000056518.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam131a description:family with sequence similarity 131, member A [Source:MGI Symbol;Acc:MGI:1925658] MPMISVLGKMFLWQREGPGGRWTCQTSRRVASDPAWAVEWIELPRGLSLSSLGSARTLRG WSRSPRPSSVDSQDLPEVNVGDTVAMLPKSRRALTIQEIAALARSSLHGISQVVKDHVTK PTAMAQGRVAHLIEWKGWSKPSDSPAALESAFSSYSDLSEGEQEARFAAGVAEQFAIAEA KLRAWSSVDGDDSTDDSYDEDFTGGIDTDMAGPLGSHLQDLFTGRRFSRPVRQGSVEPES DCSQTVSPDTLCSSLCSLEDGLLGSPARMTSQLLGEELLLARLPPSRESAFRSLGPLEAQ DSLYNSPLSESCLSPAEEEPDSCKDCQLLCPLPTGSWERQQQVSDVASSGVVSLDEDEVD Q >ENSMUSP00000120596.1 pep:known chromosome:GRCm38:16:20696175:20701659:1 gene:ENSMUSG00000050821.13 transcript:ENSMUST00000128273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam131a description:family with sequence similarity 131, member A [Source:MGI Symbol;Acc:MGI:1925658] MLPKSRRALTIQEIAALARSSLHGISQVVKDHVTKPTAMAQGRVAHLIEWKGWSKPSDSP AALESAFSSYSDLSEGEQEARFAAGVAEQFAIAEAKLRAWSSVDGDDSTDDSYDEDFTGG IDTDMAGPLGSHLQDLFTGRRFSRPVRQGSVEPESDCSQTVSPDTLCSSLCSLEDGLLGS PARMTSQLLGEELLLARLPPSR >ENSMUSP00000104378.1 pep:known chromosome:GRCm38:8:129067134:129183731:1 gene:ENSMUSG00000056018.14 transcript:ENSMUST00000108745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc7b description:coiled-coil domain containing 7B [Source:MGI Symbol;Acc:MGI:1922703] MANRVTMMMKIFENQTAMLHKALNDQHTIESKYKQMETNYENLILKKNLLEGEIQRLRDP ERVKSATKLERTKKPGKSEKKKDKDLERNISPNREFKSFEELHQIQETERNKTQLDNVLP QKSEMFKEERTKTKRGQSQNKSKVKIEDSKDSLPKKSDTQLGEQRKDQISSDQSKRSVSE RAK >ENSMUSP00000119034.1 pep:known chromosome:GRCm38:8:129067140:129167009:1 gene:ENSMUSG00000056018.14 transcript:ENSMUST00000140887.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc7b description:coiled-coil domain containing 7B [Source:MGI Symbol;Acc:MGI:1922703] MKRAKHPSNISVKLTSVPELPYNKGLLNSSPKPKEKHNAKSTPDKIEPMVIRSPPTGESI VRYALPIPSTMMKDLVSDAEMVRRIATNMKMLVTNLEETYGVCYDDEEKEAEKSEAEGFS VGDDVSSFLLCCSQFAAQLEEAVKEEYNLRRATAYRLIPSSSLLNLSEPALGFNAVSA >ENSMUSP00000118197.2 pep:known chromosome:GRCm38:8:129067205:129183673:1 gene:ENSMUSG00000056018.14 transcript:ENSMUST00000148234.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc7b description:coiled-coil domain containing 7B [Source:MGI Symbol;Acc:MGI:1922703] MKRAKHPSNISVKLTSVPELPYNKGLLNSSPKPKEKHNAKSTPDKIEPMVIRSPPTGESI VRYALPIPSTMMKDLVSDAEMVRRIATNMKMLVTNLEETYGVCYDDEEKEAEKSEAEGFS VGDDVSSFLLCCSQFAAQLEEAVKEEYNLRRATAYRLIPSSSLLNLSEPALGFNAVSA >ENSMUSP00000026912.6 pep:known chromosome:GRCm38:8:129067223:129183730:1 gene:ENSMUSG00000056018.14 transcript:ENSMUST00000026912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc7b description:coiled-coil domain containing 7B [Source:MGI Symbol;Acc:MGI:1922703] MKRAKHPSNISVKLTSVPELPYNKGLLNSSPKPKEKHNAKSTPDKIEPMVIRSPPTGESI VRYALPIPSTMMKDLVSDAEMVRRIATNMKMILESLFKWFQQQVNQIEEIGKDSLQKDRP SDVKFVKKNITQIARLMHKIEHIRGRLRERNLSTQSKKMDKEIPPELIKSYGLVEKQIEE FITSHSALESQTETESQPGTPSSMANRVTMMMKIFENQTAMLHKALNDQHTIESKYKQME TNYENLILKKNLLEGEIQRLRDPERVKSATKLERTKKPGKSEKKKDKDLERNISPNREFK SFEELHQIQETERNKTQLDNVLPQKSEMFKEERTKTKRGQSQNKSKVKIEDSKDSLPKKS DTQLGEQRKDQISSDQSKRAK >ENSMUSP00000136047.1 pep:known chromosome:GRCm38:3:154306294:154330659:-1 gene:ENSMUSG00000096225.7 transcript:ENSMUST00000177846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx8 description:LIM homeobox protein 8 [Source:MGI Symbol;Acc:MGI:1096343] MYWKSDQMFVCKLEGKEVPELAVPREKCPGLMSEECGRPAALAAGRTRKGAGEEGLVNPE GAGDEDSCSSSAPLSPSSSPQSMASGSVCPPGKCVCSSCGLEIVDKYLLKVNDLCWHVRC LSCSVCRTSLGRHTSCYIKDKDIFCKLDYFRRYGTRCSRCGRHIHSTDWVRRAKGNVYHL ACFACFSCKRQLSTGEEFALVEEKVLCRVHFDCMLDNLKREVENGNGISVEGALLTEQDV NHPKPAKRARTSFTADQLQVMQAQFAQDNNPDAQTLQKLAERTGLSRRVIQVWFQNCRAR HKKHVSPNHSSSAPVTAVPSSRLSPPMLEEMAYSAYVPQDGTMLTALHSYMDAHQQLLDS SPCYPIQ >ENSMUSP00000145485.1 pep:known chromosome:GRCm38:3:154306296:154330059:-1 gene:ENSMUSG00000096225.7 transcript:ENSMUST00000205251.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx8 description:LIM homeobox protein 8 [Source:MGI Symbol;Acc:MGI:1096343] MSEECGRPAALAAGRTRKGAGEEGLVNPEGAGDEDSCSSSAPLSPSSSPQSMASGSVCPP GKCVCSSCGLEIVDKYLLKVNDLCWHVRCLSCSVCRTSLGRHTSCYIKDKDIFCKLDYFR RYGTRCSRCGRHIHSTDWVRRAKGNVYHLACFACFSCKRQLSTGEEFALVEEKVLCRVHF DCMLDNLKREVENGNGISVEGALLTEQDVNHPKPAKRARTSFTADQLQVMQAQFAQDNNP DAQTLQKLAERTGLSRRVIQVWFQNCRARHKKHVSPNHSSSAPVTAVPSSRLSPPMLEEM AYSAYVPQDGTMLTALHSYMDGSSPTTPGLQPLLPHPMTQLPISHT >ENSMUSP00000144708.1 pep:known chromosome:GRCm38:3:154306978:154328166:-1 gene:ENSMUSG00000096225.7 transcript:ENSMUST00000204171.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lhx8 description:LIM homeobox protein 8 [Source:MGI Symbol;Acc:MGI:1096343] VNPEGAGDEDSCSSSAPLSPSSSPQSMASGSVCPPGKCVCSSCGLEIVDKYLLKCLQNVP GKAHELLH >ENSMUSP00000145516.1 pep:known chromosome:GRCm38:3:154322257:154328634:-1 gene:ENSMUSG00000096225.7 transcript:ENSMUST00000204403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx8 description:LIM homeobox protein 8 [Source:MGI Symbol;Acc:MGI:1096343] MSEECGRPAALAAGRTRKGAGEEGLVNPEGAGDEDSCSSSAPLSPSSSPQSMASGSVCPP GKCVCSSCGLEIVDKYLLKVNDLCWHVRCLSCSVCRTSLGRHTSCYIKDKDIFCKLDYFR RYGTRCSRCGRHIHSTDWVRRAKGNVYHLACFACFSCKRQLSTGEEFALVEEKVLCRVHF DCMLDNLK >ENSMUSP00000054499.6 pep:known chromosome:GRCm38:1:71585524:71653175:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000055226.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] MLRGPGPGRLLLLAVLCLGTSVRCTEAGKSKRQAQQIVQPQSPVAVSQSKPGCFDNGKHY QINQQWERTYLGNALVCTCYGGSRGFNCESKPEPEETCFDKYTGNTYKVGDTYERPKDSM IWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDKWRRPHETGGYMLECLCLGNGKGEWTC KPIAEKCFDHAAGTSYVVGETWEKPYQGWMMVDCTCLGEGNGRITCTSRNRCNDQDTRTS YRIGDTWSKKDNRGNLLQCVCTGNGRGEWKCERHALQSASAGSGSFTDVRTAIYQPQTHP QPAPYGHCVTDSGVVYSVGMQWLKSQGNKQMLCTCLGNGVSCQETAVTQTYGGNSNGEPC VLPFTYNGRTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFCTDHAVLVQTRGGNSNGALC HFPFLYNNRNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAHEEICTTNEGVMYRI GDQWDKQHDLGHMMRCTCVGNGRGEWACIPYSQLRDQCIVDDITYNVNDTFHKRHEEGHM LNCTCFGQGRGRWKCDPIDQCQDSETRTFYQIGDSWEKFVHGVRYQCYCYGRGIGEWHCQ PLQTYPGTTGPVQVIITETPSQPNSHPIQWNAPEPSHITKYILRWRPKTSTGRWKEATIP GHLNSYTIKGLTPGVIYEGQLISIQQYGHREVTRFDFTTSASTPVTSNTVTGETAPYSPV VATSESVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVNIPDLL PGRKYIVNVYQISEEGKQSLILSTSQTTAPDAPPDPTVDQVDDTSIVVRWSRPQAPITGY RIVYSPSVEGSSTELNLPETANSVTLSDLQPGVQYNITIYAVEENQESTPVFIQQETTGT PRSDNVPPPTDLQFVELTDVKVTIMWTPPDSVVSGYRVEVLPVSLPGEHGQRLPVNRNTF AEITGLSPGVTYLFKVFAVHQGRESNPLTAQQTTKLDAPTNLQFVNETDRTVLVTWTPPR ARIAGYRLTAGLTRGGQPKQYNVGPLASKYPLRNLQPGSEYTVTLVAVKGNQQSPKATGV FTTLQPLRSIPPYNTEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSDSGSIVVS GLTPGVEYTYTIQVLRDGQERDAPIVNRVVTPLSPPTNLHLEANPDTGVLTVSWERSTTP DITGYRITTTPTNGQQGTSLEEVVHADQSSCTFENLNPGLEYNVSVYTVKDDKESAPISD TVVPEVPQLTDLSFVDITDSSIGLRWTPLNSSTIIGYRITVVAAGEGIPIFEDFVDSSVG YYTVTGLEPGIDYDISVITLINGGESAPTTLTQQTAVPPPTDLRFTNIGPDTMRVTWAPP PSIELTNLLVRYSPVKNEEDVAELSISPSDNAVVLTNLLPGTEYLVSVSSVYEQHESIPL RGRQKTGLDSPTGFDSSDITANSFTVHWVAPRAPITGYIIRHHAEHSVGRPRQDRVPPSR NSITLTNLNPGTEYVVSIIAVNGREESPPLIGQQATVSDIPRDLEVIASTPTSLLISWEP PAVSVRYYRITYGETGGNSPVQEFTVPGSKSTATINNIKPGADYTITLYAVTGRGDSPAS SKPVSINYKTEIDKPSQMQVTDVQDNSISVRWLPSTSPVTGYRVTTTPKNGLGPSKTKTA SPDQTEMTIEGLQPTVEYVVSVYAQNRNGESQPLVQTAVTNIDRPKGLAFTDVDVDSIKI AWESPQGQVSRYRVTYSSPEDGIRELFPAPDGEDDTAELQGLRPGSEYTVSVVALHDDME SQPLIGIQSTAIPAPTNLKFSQVTPTSFTAQWIAPSVQLTGYRVRVNPKEKTGPMKEINL SPDSSSVIVSGLMVATKYEVSVYALKDTLTSRPAQGVITTLENVSPPRRARVTDATETTI TISWRTKTETITGFQVDAIPANGQTPVQRSISPDVRSYTITGLQPGTDYKIHLYTLNDNA RSSPVIIDASTAIDAPSNLRFLTTTPNSLLVSWQAPRARITGYIIKYEKPGSPPREVVPR PRPGVTEATITGLEPGTEYTIYVIALKNNQKSEPLIGRKKTDELPQLVTLPHPNLHGPEI LDVPSTVQKTPFITNPGYDTENGIQLPGTTHQQPSVGQQMIFEEHGFRRTTPPTAATPVR LRPRPYLPNVDEEVQIGHVPRGDVDYHLYPHVPGLNPNASTGQEALSQTTISWTPFQESS EYIISCQPVGTDEEPLQFQVPGTSTSATLTGLTRGVTYNIIVEALQNQRRHKVREEVVTV GNAVSEGLNQPTDDSCFDPYTVSHYAIGEEWERLSDAGFKLTCQCLGFGSGHFRCDSSKW CHDNGVNYKIGEKWDRQGENGQRMSCTCLGNGKGEFKCDPHEATCYDDGKTYHVGEQWQK EYLGAICSCTCFGGQRGWRCDNCRRPGAAEPSPDGTTGHTYNQYTQRYNQRTNTNVNCPI ECFMPLDVQADRDDSRE >ENSMUSP00000141123.1 pep:known chromosome:GRCm38:1:71585524:71653200:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000186129.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] MLRGPGPGRLLLLAVLCLGTSVRCTEAGKSKRQAQQIVQPQSPVAVSQSKPGCFDNGKHY QINQQWERTYLGNALVCTCYGGSRGFNCESKPEPEETCFDKYTGNTYKVGDTYERPKDSM IWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDKWRRPHETGGYMLECLCLGNGKGEWTC KPIAEKCFDHAAGTSYVVGETWEKPYQGWMMVDCTCLGEGNGRITCTSRNRCNDQDTRTS YRIGDTWSKKDNRGNLLQCVCTGNGRGEWKCERHALQSASAGSGSFTDVRTAIYQPQTHP QPAPYGHCVTDSGVVYSVGMQWLKSQGNKQMLCTCLGNGVSCQETAVTQTYGGNSNGEPC VLPFTYNGRTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFCTDHAVLVQTRGGNSNGALC HFPFLYNNRNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAHEEICTTNEGVMYRI GDQWDKQHDLGHMMRCTCVGNGRGEWACIPYSQLRDQCIVDDITYNVNDTFHKRHEEGHM LNCTCFGQGRGRWKCDPIDQCQDSETRTFYQIGDSWEKFVHGVRYQCYCYGRGIGEWHCQ PLQTYPGTTGPVQVIITETPSQPNSHPIQWNAPEPSHITKYILRWRPKTSTGRWKEATIP GHLNSYTIKGLTPGVIYEGQLISIQQYGHREVTRFDFTTSASTPVTSNTVTGETAPYSPV VATSESVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVNIPDLL PGRKYIVNVYQISEEGKQSLILSTSQTTAPDAPPDPTVDQVDDTSIVVRWSRPQAPITGY RIVYSPSVEGSSTELNLPETANSVTLSDLQPGVQYNITIYAVEENQESTPVFIQQETTGT PRSDNVPPPTDLQFVELTDVKVTIMWTPPDSVVSGYRVEVLPVSLPGEHGQRLPVNRNTF AEITGLSPGVTYLFKVFAVHQGRESNPLTAQQTTKLDAPTNLQFVNETDRTVLVTWTPPR ARIAGYRLTAGLTRGGQPKQYNVGPLASKYPLRNLQPGSEYTVTLVAVKGNQQSPKATGV FTTLQPLRSIPPYNTEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSDSGSIVVS GLTPGVEYTYTIQVLRDGQERDAPIVNRVVTPLSPPTNLHLEANPDTGVLTVSWERSTTP DITGYRITTTPTNGQQGTSLEEVVHADQSSCTFENLNPGLEYNVSVYTVKDDKESAPISD TVVPAVPPPTDLRFTNIGPDTMRVTWAPPPSIELTNLLVRYSPVKNEEDVAELSISPSDN AVVLTNLLPGTEYLVSVSSVYEQHESIPLRGRQKTGLDSPTGFDSSDITANSFTVHWVAP RAPITGYIIRHHAEHSVGRPRQDRVPPSRNSITLTNLNPGTEYVVSIIAVNGREESPPLI GQQATVSDIPRDLEVIASTPTSLLISWEPPAVSVRYYRITYGETGGNSPVQEFTVPGSKS TATINNIKPGADYTITLYAVTGRGDSPASSKPVSINYKTEIDKPSQMQVTDVQDNSISVR WLPSTSPVTGYRVTTTPKNGLGPSKTKTASPDQTEMTIEGLQPTVEYVVSVYAQNRNGES QPLVQTAVTTIPAPTNLKFSQVTPTSFTAQWIAPSVQLTGYRVRVNPKEKTGPMKEINLS PDSSSVIVSGLMVATKYEVSVYALKDTLTSRPAQGVITTLENVSPPRRARVTDATETTIT ISWRTKTETITGFQVDAIPANGQTPVQRSISPDVRSYTITGLQPGTDYKIHLYTLNDNAR SSPVIIDASTAIDAPSNLRFLTTTPNSLLVSWQAPRARITGYIIKYEKPGSPPREVVPRP RPGVTEATITGLEPGTEYTIYVIALKNNQKSEPLIGRKKTDELPQLVTLPHPNLHGPEIL DVPSTVQKTPFITNPGYDTENGIQLPGTTHQQPSVGQQMIFEEHGFRRTTPPTAATPVRL RPRPYLPNVDEEVQIGHVPRGDVDYHLYPHVPGLNPNASTGQEALSQTTISWTPFQESSE YIISCQPVGTDEEPLQFQVPGTSTSATLTGLTRGVTYNIIVEALQNQRRHKVREEVVTVG NAVSEGLNQPTDDSCFDPYTVSHYAIGEEWERLSDAGFKLTCQCLGFGSGHFRCDSSKWC HDNGVNYKIGEKWDRQGENGQRMSCTCLGNGKGEFKCDPHEATCYDDGKTYHVGEQWQKE YLGAICSCTCFGGQRGWRCDNCRRPGAAEPSPDGTTGHTYNQYTQRYNQRTNTNVNCPIE CFMPLDVQADRDDSRE >ENSMUSP00000140955.1 pep:known chromosome:GRCm38:1:71590097:71600368:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000187627.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] VDAIPANGQTPVQRSISPDVRSYTITGLQPGTDYKIHLYTLNDNARSSPVIIDASTAIDA PSNLRFLTTTPNSLLVSWQAPRARITGYIIKYEKPGSPPREVVPRPRPGVTEATITGLEP GTEYTIYVIALKNNQKSEPLIGRKKTDELPQLVTLPHPNLHGPEILDVPSTVQKTPFITN PGTGSSLSDNHLLDAVPGEF >ENSMUSP00000141193.1 pep:known chromosome:GRCm38:1:71598360:71602376:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000186985.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] XTGPMKEINLSPDSSSVIVSGLMVATKYEVSVYALKDTLTSRPAQGVITTLEMYFLKWMY LHNEDSF >ENSMUSP00000140153.1 pep:known chromosome:GRCm38:1:71629457:71641288:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000186613.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] XCHFPFLYNNRNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAQFPPHPVECPGAF THHQVHSQMET >ENSMUSP00000140315.1 pep:known chromosome:GRCm38:1:71642825:71653161:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000186940.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] MLRGPGPGRLLLLAVLCLGTSVRCTEAGKSKRQAQQIVQPQSPVAVSQSKPGCFDNGKHY QINQQWERTYLGNALVCTCYGGSRGFNCESKPEPEETCFDKYTGNTYKVGDTYERPKDSM IWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDKWRRPHETGGYMLECLCLGNGKGEWTC KPIAEKCFDHAAGTSYVVGETWEKPYQGWMMVDCTCLGEGNGRITCTSRNRCNDQDTRTS YRIGDTWSKKDNRGNLLQCVCTGNGRGEWKCERHALQSASAGSGSFTDVRTAIYQPQTHP QPAPYGHCVTDSGVVYSVGMQWLKSQGNKQMLCTCLGNGVSCQETGRHHLPTDARGPTFS VCSILAVRKKKCKTINPEKFIIL >ENSMUSP00000140372.1 pep:known chromosome:GRCm38:1:71645643:71653172:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000186736.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] MLRGPGPGRLLLLAVLCLGTSVRCTEAGKSKRQAQQIVQPQSPVAVSQSKHRCHEGGQSY KIGDKWRRPHETGGYMLECLCLGNGKGEWTCKPIAEKCFDHAAGTSYVVGETWEKPYQGW MMVDCTCLGEGNGRITCTSRNRCNDQDTRTSYRIGDTWSK >ENSMUSP00000140816.1 pep:known chromosome:GRCm38:1:71585524:71653172:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000190780.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] MLRGPGPGRLLLLAVLCLGTSVRCTEAGKSKRQAQQIVQPQSPVAVSQSKPGCFDNGKHY QINQQWERTYLGNALVCTCYGGSRGFNCESKPEPEETCFDKYTGNTYKVGDTYERPKDSM IWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDKWRRPHETGGYMLECLCLGNGKGEWTC KPIAEKCFDHAAGTSYVVGETWEKPYQGWMMVDCTCLGEGNGRITCTSRNRCNDQDTRTS YRIGDTWSKKDNRGNLLQCVCTGNGRGEWKCERHALQSASAGSGSFTDVRTAIYQPQTHP QPAPYGHCVTDSGVVYSVGMQWLKSQGNKQMLCTCLGNGVSCQETAVTQTYGGNSNGEPC VLPFTYNGRTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFCTDHAVLVQTRGGNSNGALC HFPFLYNNRNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAHEEICTTNEGVMYRI GDQWDKQHDLGHMMRCTCVGNGRGEWACIPYSQLRDQCIVDDITYNVNDTFHKRHEEGHM LNCTCFGQGRGRWKCDPIDQCQDSETRTFYQIGDSWEKFVHGVRYQCYCYGRGIGEWHCQ PLQTYPGTTGPVQVIITETPSQPNSHPIQWNAPEPSHITKYILRWRPKTSTGRWKEATIP GHLNSYTIKGLTPGVIYEGQLISIQQYGHREVTRFDFTTSASTPVTSNTVTGETAPYSPV VATSESVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVNIPDLL PGRKYIVNVYQISEEGKQSLILSTSQTTAPDAPPDPTVDQVDDTSIVVRWSRPQAPITGY RIVYSPSVEGSSTELNLPETANSVTLSDLQPGVQYNITIYAVEENQESTPVFIQQETTGT PRSDNVPPPTDLQFVELTDVKVTIMWTPPDSVVSGYRVEVLPVSLPGEHGQRLPVNRNTF AEITGLSPGVTYLFKVFAVHQGRESNPLTAQQTTKLDAPTNLQFVNETDRTVLVTWTPPR ARIAGYRLTAGLTRGGQPKQYNVGPLASKYPLRNLQPGSEYTVTLVAVKGNQQSPKATGV FTTLQPLRSIPPYNTEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSDSGSIVVS GLTPGVEYTYTIQVLRDGQERDAPIVNRVVTPLSPPTNLHLEANPDTGVLTVSWERSTTP DITGYRITTTPTNGQQGTSLEEVVHADQSSCTFENLNPGLEYNVSVYTVKDDKESAPISD TVVPAVPPPTDLRFTNIGPDTMRVTWAPPPSIELTNLLVRYSPVKNEEDVAELSISPSDN AVVLTNLLPGTEYLVSVSSVYEQHESIPLRGRQKTGLDSPTGFDSSDITANSFTVHWVAP RAPITGYIIRHHAEHSVGRPRQDRVPPSRNSITLTNLNPGTEYVVSIIAVNGREESPPLI GQQATVSDIPRDLEVIASTPTSLLISWEPPAVSVRYYRITYGETGGNSPVQEFTVPGSKS TATINNIKPGADYTITLYAVTGRGDSPASSKPVSINYKTEIDKPSQMQVTDVQDNSISVR WLPSTSPVTGYRVTTTPKNGLGPSKTKTASPDQTEMTIEGLQPTVEYVVSVYAQNRNGES QPLVQTAVTTIPAPTNLKFSQVTPTSFTAQWIAPSVQLTGYRVRVNPKEKTGPMKEINLS PDSSSVIVSGLMVATKYEVSVYALKDTLTSRPAQGVITTLENVSPPRRARVTDATETTIT ISWRTKTETITGFQVDAIPANGQTPVQRSISPDVRSYTITGLQPGTDYKIHLYTLNDNAR SSPVIIDASTAIDAPSNLRFLTTTPNSLLVSWQAPRARITGYIIKYEKPGSPPREVVPRP RPGVTEATITGLEPGTEYTIYVIALKNNQKSEPLIGRKKTVQKTPFITNPGYDTENGIQL PGTTHQQPSVGQQMIFEEHGFRRTTPPTAATPVRLRPRPYLPNVDEEVQIGHVPRGDVDY HLYPHVPGLNPNASTGQEALSQTTISWTPFQESSEYIISCQPVGTDEEPLQFQVPGTSTS ATLTGLTRGVTYNIIVEALQNQRRHKVREEVVTVGNAVSEGLNQPTDDSCFDPYTVSHYA IGEEWERLSDAGFKLTCQCLGFGSGHFRCDSSKWCHDNGVNYKIGEKWDRQGENGQRMSC TCLGNGKGEFKCDPHEATCYDDGKTYHVGEQWQKEYLGAICSCTCFGGQRGWRCDNCRRP GAAEPSPDGTTGHTYNQYTQRYNQRTNTNVNCPIECFMPLDVQADRDDSRE >ENSMUSP00000140975.1 pep:known chromosome:GRCm38:1:71585523:71653172:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000187938.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] MLRGPGPGRLLLLAVLCLGTSVRCTEAGKSKRQAQQIVQPQSPVAVSQSKPGCFDNGKHY QINQQWERTYLGNALVCTCYGGSRGFNCESKPEPEETCFDKYTGNTYKVGDTYERPKDSM IWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDKWRRPHETGGYMLECLCLGNGKGEWTC KPIAEKCFDHAAGTSYVVGETWEKPYQGWMMVDCTCLGEGNGRITCTSRNRCNDQDTRTS YRIGDTWSKKDNRGNLLQCVCTGNGRGEWKCERHALQSASAGSGSFTDVRTAIYQPQTHP QPAPYGHCVTDSGVVYSVGMQWLKSQGNKQMLCTCLGNGVSCQETAVTQTYGGNSNGEPC VLPFTYNGRTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFCTDHAVLVQTRGGNSNGALC HFPFLYNNRNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAHEEICTTNEGVMYRI GDQWDKQHDLGHMMRCTCVGNGRGEWACIPYSQLRDQCIVDDITYNVNDTFHKRHEEGHM LNCTCFGQGRGRWKCDPIDQCQDSETRTFYQIGDSWEKFVHGVRYQCYCYGRGIGEWHCQ PLQTYPGTTGPVQVIITETPSQPNSHPIQWNAPEPSHITKYILRWRPKTSTGRWKEATIP GHLNSYTIKGLTPGVIYEGQLISIQQYGHREVTRFDFTTSASTPVTSNTVTGETAPYSPV VATSESVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVNIPDLL PGRKYIVNVYQISEEGKQSLILSTSQTTAPDAPPDPTVDQVDDTSIVVRWSRPQAPITGY RIVYSPSVEGSSTELNLPETANSVTLSDLQPGVQYNITIYAVEENQESTPVFIQQETTGT PRSDNVPPPTDLQFVELTDVKVTIMWTPPDSVVSGYRVEVLPVSLPGEHGQRLPVNRNTF AEITGLSPGVTYLFKVFAVHQGRESNPLTAQQTTKLDAPTNLQFVNETDRTVLVTWTPPR ARIAGYRLTAGLTRGGQPKQYNVGPLASKYPLRNLQPGSEYTVTLVAVKGNQQSPKATGV FTTLQPLRSIPPYNTEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSDSGSIVVS GLTPGVEYTYTIQVLRDGQERDAPIVNRVVTPLSPPTNLHLEANPDTGVLTVSWERSTTP DITGYRITTTPTNGQQGTSLEEVVHADQSSCTFENLNPGLEYNVSVYTVKDDKESAPISD TVVPAVPPPTDLRFTNIGPDTMRVTWAPPPSIELTNLLVRYSPVKNEEDVAELSISPSDN AVVLTNLLPGTEYLVSVSSVYEQHESIPLRGRQKTGLDSPTGFDSSDITANSFTVHWVAP RAPITGYIIRHHAEHSVGRPRQDRVPPSRNSITLTNLNPGTEYVVSIIAVNGREESPPLI GQQATVSDIPRDLEVIASTPTSLLISWEPPAVSVRYYRITYGETGGNSPVQEFTVPGSKS TATINNIKPGADYTITLYAVTGRGDSPASSKPVSINYKTEIDKPSQMQVTDVQDNSISVR WLPSTSPVTGYRVTTTPKNGLGPSKTKTASPDQTEMTIEGLQPTVEYVVSVYAQNRNGES QPLVQTAVTNIDRPKGLAFTDVDVDSIKIAWESPQGQVSRYRVTYSSPEDGIRELFPAPD GEDDTAELQGLRPGSEYTVSVVALHDDMESQPLIGIQSTAIPAPTNLKFSQVTPTSFTAQ WIAPSVQLTGYRVRVNPKEKTGPMKEINLSPDSSSVIVSGLMVATKYEVSVYALKDTLTS RPAQGVITTLENVSPPRRARVTDATETTITISWRTKTETITGFQVDAIPANGQTPVQRSI SPDVRSYTITGLQPGTDYKIHLYTLNDNARSSPVIIDASTAIDAPSNLRFLTTTPNSLLV SWQAPRARITGYIIKYEKPGSPPREVVPRPRPGVTEATITGLEPGTEYTIYVIALKNNQK SEPLIGRKKTVQKTPFITNPGYDTENGIQLPGTTHQQPSVGQQMIFEEHGFRRTTPPTAA TPVRLRPRPYLPNVDEEVQIGHVPRGDVDYHLYPHVPGLNPNASTGQEALSQTTISWTPF QESSEYIISCQPVGTDEEPLQFQVPGTSTSATLTGLTRGVTYNIIVEALQNQRRHKVREE VVTVGNAVSEGLNQPTDDSCFDPYTVSHYAIGEEWERLSDAGFKLTCQCLGFGSGHFRCD SSKWCHDNGVNYKIGEKWDRQGENGQRMSCTCLGNGKGEFKCDPHEATCYDDGKTYHVGE QWQKEYLGAICSCTCFGGQRGWRCDNCRRPGAAEPSPDGTTGHTYNQYTQRYNQRTNTNV NCPIECFMPLDVQADRDDSRE >ENSMUSP00000139702.1 pep:known chromosome:GRCm38:1:71585523:71653172:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000189821.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] MLRGPGPGRLLLLAVLCLGTSVRCTEAGKSKRQAQQIVQPQSPVAVSQSKPGCFDNGKHY QINQQWERTYLGNALVCTCYGGSRGFNCESKPEPEETCFDKYTGNTYKVGDTYERPKDSM IWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDKWRRPHETGGYMLECLCLGNGKGEWTC KPIAEKCFDHAAGTSYVVGETWEKPYQGWMMVDCTCLGEGNGRITCTSRNRCNDQDTRTS YRIGDTWSKKDNRGNLLQCVCTGNGRGEWKCERHALQSASAGSGSFTDVRTAIYQPQTHP QPAPYGHCVTDSGVVYSVGMQWLKSQGNKQMLCTCLGNGVSCQETAVTQTYGGNSNGEPC VLPFTYNGRTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFCTDHAVLVQTRGGNSNGALC HFPFLYNNRNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAHEEICTTNEGVMYRI GDQWDKQHDLGHMMRCTCVGNGRGEWACIPYSQLRDQCIVDDITYNVNDTFHKRHEEGHM LNCTCFGQGRGRWKCDPIDQCQDSETRTFYQIGDSWEKFVHGVRYQCYCYGRGIGEWHCQ PLQTYPGTTGPVQVIITETPSQPNSHPIQWNAPEPSHITKYILRWRPKTSTGRWKEATIP GHLNSYTIKGLTPGVIYEGQLISIQQYGHREVTRFDFTTSASTPVTSNTVTGETAPYSPV VATSESVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVNIPDLL PGRKYIVNVYQISEEGKQSLILSTSQTTAPDAPPDPTVDQVDDTSIVVRWSRPQAPITGY RIVYSPSVEGSSTELNLPETANSVTLSDLQPGVQYNITIYAVEENQESTPVFIQQETTGT PRSDNVPPPTDLQFVELTDVKVTIMWTPPDSVVSGYRVEVLPVSLPGEHGQRLPVNRNTF AEITGLSPGVTYLFKVFAVHQGRESNPLTAQQTTKLDAPTNLQFVNETDRTVLVTWTPPR ARIAGYRLTAGLTRGGQPKQYNVGPLASKYPLRNLQPGSEYTVTLVAVKGNQQSPKATGV FTTLQPLRSIPPYNTEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSDSGSIVVS GLTPGVEYTYTIQVLRDGQERDAPIVNRVVTPLSPPTNLHLEANPDTGVLTVSWERSTTP DITGYRITTTPTNGQQGTSLEEVVHADQSSCTFENLNPGLEYNVSVYTVKDDKESAPISD TVVPAVPPPTDLRFTNIGPDTMRVTWAPPPSIELTNLLVRYSPVKNEEDVAELSISPSDN AVVLTNLLPGTEYLVSVSSVYEQHESIPLRGRQKTGLDSPTGFDSSDITANSFTVHWVAP RAPITGYIIRHHAEHSVGRPRQDRVPPSRNSITLTNLNPGTEYVVSIIAVNGREESPPLI GQQATVSDIPRDLEVIASTPTSLLISWEPPAVSVRYYRITYGETGGNSPVQEFTVPGSKS TATINNIKPGADYTITLYAVTGRGDSPASSKPVSINYKTEIDKPSQMQVTDVQDNSISVR WLPSTSPVTGYRVTTTPKNGLGPSKTKTASPDQTEMTIEGLQPTVEYVVSVYAQNRNGES QPLVQTAVTTIPAPTNLKFSQVTPTSFTAQWIAPSVQLTGYRVRVNPKEKTGPMKEINLS PDSSSVIVSGLMVATKYEVSVYALKDTLTSRPAQGVITTLENVSPPRRARVTDATETTIT ISWRTKTETITGFQVDAIPANGQTPVQRSISPDVRSYTITGLQPGTDYKIHLYTLNDNAR SSPVIIDASTAIDAPSNLRFLTTTPNSLLVSWQAPRARITGYIIKYEKPGSPPREVVPRP RPGVTEATITGLEPGTEYTIYVIALKNNQKSEPLIGRKKTGQEALSQTTISWTPFQESSE YIISCQPVGTDEEPLQFQVPGTSTSATLTGLTRGVTYNIIVEALQNQRRHKVREEVVTVG NAVSEGLNQPTDDSCFDPYTVSHYAIGEEWERLSDAGFKLTCQCLGFGSGHFRCDSSKWC HDNGVNYKIGEKWDRQGENGQRMSCTCLGNGKGEFKCDPHEATCYDDGKTYHVGEQWQKE YLGAICSCTCFGGQRGWRCDNCRRPGAAEPSPDGTTGHTYNQYTQRYNQRTNTNVNCPIE CFMPLDVQADRDDSRE >ENSMUSP00000140907.1 pep:known chromosome:GRCm38:1:71585523:71653172:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000188674.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] MLRGPGPGRLLLLAVLCLGTSVRCTEAGKSKRQAQQIVQPQSPVAVSQSKPGCFDNGKHY QINQQWERTYLGNALVCTCYGGSRGFNCESKPEPEETCFDKYTGNTYKVGDTYERPKDSM IWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDKWRRPHETGGYMLECLCLGNGKGEWTC KPIAEKCFDHAAGTSYVVGETWEKPYQGWMMVDCTCLGEGNGRITCTSRNRCNDQDTRTS YRIGDTWSKKDNRGNLLQCVCTGNGRGEWKCERHALQSASAGSGSFTDVRTAIYQPQTHP QPAPYGHCVTDSGVVYSVGMQWLKSQGNKQMLCTCLGNGVSCQETAVTQTYGGNSNGEPC VLPFTYNGRTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFCTDHAVLVQTRGGNSNGALC HFPFLYNNRNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAHEEICTTNEGVMYRI GDQWDKQHDLGHMMRCTCVGNGRGEWACIPYSQLRDQCIVDDITYNVNDTFHKRHEEGHM LNCTCFGQGRGRWKCDPIDQCQDSETRTFYQIGDSWEKFVHGVRYQCYCYGRGIGEWHCQ PLQTYPGTTGPVQVIITETPSQPNSHPIQWNAPEPSHITKYILRWRPKTSTGRWKEATIP GHLNSYTIKGLTPGVIYEGQLISIQQYGHREVTRFDFTTSASTPVTSNTVTGETAPYSPV VATSESVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVNIPDLL PGRKYIVNVYQISEEGKQSLILSTSQTTAPDAPPDPTVDQVDDTSIVVRWSRPQAPITGY RIVYSPSVEGSSTELNLPETANSVTLSDLQPGVQYNITIYAVEENQESTPVFIQQETTGT PRSDNVPPPTDLQFVELTDVKVTIMWTPPDSVVSGYRVEVLPVSLPGEHGQRLPVNRNTF AEITGLSPGVTYLFKVFAVHQGRESNPLTAQQTTKLDAPTNLQFVNETDRTVLVTWTPPR ARIAGYRLTAGLTRGGQPKQYNVGPLASKYPLRNLQPGSEYTVTLVAVKGNQQSPKATGV FTTLQPLRSIPPYNTEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSDSGSIVVS GLTPGVEYTYTIQVLRDGQERDAPIVNRVVTPLSPPTNLHLEANPDTGVLTVSWERSTTP DITGYRITTTPTNGQQGTSLEEVVHADQSSCTFENLNPGLEYNVSVYTVKDDKESAPISD TVVPAVPPPTDLRFTNIGPDTMRVTWAPPPSIELTNLLVRYSPVKNEEDVAELSISPSDN AVVLTNLLPGTEYLVSVSSVYEQHESIPLRGRQKTGLDSPTGFDSSDITANSFTVHWVAP RAPITGYIIRHHAEHSVGRPRQDRVPPSRNSITLTNLNPGTEYVVSIIAVNGREESPPLI GQQATVSDIPRDLEVIASTPTSLLISWEPPAVSVRYYRITYGETGGNSPVQEFTVPGSKS TATINNIKPGADYTITLYAVTGRGDSPASSKPVSINYKTEIDKPSQMQVTDVQDNSISVR WLPSTSPVTGYRVTTTPKNGLGPSKTKTASPDQTEMTIEGLQPTVEYVVSVYAQNRNGES QPLVQTAVTNIDRPKGLAFTDVDVDSIKIAWESPQGQVSRYRVTYSSPEDGIRELFPAPD GEDDTAELQGLRPGSEYTVSVVALHDDMESQPLIGIQSTAIPAPTNLKFSQVTPTSFTAQ WIAPSVQLTGYRVRVNPKEKTGPMKEINLSPDSSSVIVSGLMVATKYEVSVYALKDTLTS RPAQGVITTLENVSPPRRARVTDATETTITISWRTKTETITGFQVDAIPANGQTPVQRSI SPDVRSYTITGLQPGTDYKIHLYTLNDNARSSPVIIDASTAIDAPSNLRFLTTTPNSLLV SWQAPRARITGYIIKYEKPGSPPREVVPRPRPGVTEATITGLEPGTEYTIYVIALKNNQK SEPLIGRKKTGQEALSQTTISWTPFQESSEYIISCQPVGTDEEPLQFQVPGTSTSATLTG LTRGVTYNIIVEALQNQRRHKVREEVVTVGNAVSEGLNQPTDDSCFDPYTVSHYAIGEEW ERLSDAGFKLTCQCLGFGSGHFRCDSSKWCHDNGVNYKIGEKWDRQGENGQRMSCTCLGN GKGEFKCDPHEATCYDDGKTYHVGEQWQKEYLGAICSCTCFGGQRGWRCDNCRRPGAAEP SPDGTTGHTYNQYTQRYNQRTNTNVNCPIECFMPLDVQADRDDSRE >ENSMUSP00000140471.1 pep:known chromosome:GRCm38:1:71585520:71653172:-1 gene:ENSMUSG00000026193.15 transcript:ENSMUST00000188894.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn1 description:fibronectin 1 [Source:MGI Symbol;Acc:MGI:95566] MLRGPGPGRLLLLAVLCLGTSVRCTEAGKSKRQAQQIVQPQSPVAVSQSKPGCFDNGKHY QINQQWERTYLGNALVCTCYGGSRGFNCESKPEPEETCFDKYTGNTYKVGDTYERPKDSM IWDCTCIGAGRGRISCTIANRCHEGGQSYKIGDKWRRPHETGGYMLECLCLGNGKGEWTC KPIAEKCFDHAAGTSYVVGETWEKPYQGWMMVDCTCLGEGNGRITCTSRNRCNDQDTRTS YRIGDTWSKKDNRGNLLQCVCTGNGRGEWKCERHALQSASAGSGSFTDVRTAIYQPQTHP QPAPYGHCVTDSGVVYSVGMQWLKSQGNKQMLCTCLGNGVSCQETAVTQTYGGNSNGEPC VLPFTYNGRTFYSCTTEGRQDGHLWCSTTSNYEQDQKYSFCTDHAVLVQTRGGNSNGALC HFPFLYNNRNYTDCTSEGRRDNMKWCGTTQNYDADQKFGFCPMAAHEEICTTNEGVMYRI GDQWDKQHDLGHMMRCTCVGNGRGEWACIPYSQLRDQCIVDDITYNVNDTFHKRHEEGHM LNCTCFGQGRGRWKCDPIDQCQDSETRTFYQIGDSWEKFVHGVRYQCYCYGRGIGEWHCQ PLQTYPGTTGPVQVIITETPSQPNSHPIQWNAPEPSHITKYILRWRPKTSTGRWKEATIP GHLNSYTIKGLTPGVIYEGQLISIQQYGHREVTRFDFTTSASTPVTSNTVTGETAPYSPV VATSESVTEITASSFVVSWVSASDTVSGFRVEYELSEEGDEPQYLDLPSTATSVNIPDLL PGRKYIVNVYQISEEGKQSLILSTSQTTAPDAPPDPTVDQVDDTSIVVRWSRPQAPITGY RIVYSPSVEGSSTELNLPETANSVTLSDLQPGVQYNITIYAVEENQESTPVFIQQETTGT PRSDNVPPPTDLQFVELTDVKVTIMWTPPDSVVSGYRVEVLPVSLPGEHGQRLPVNRNTF AEITGLSPGVTYLFKVFAVHQGRESNPLTAQQTTKLDAPTNLQFVNETDRTVLVTWTPPR ARIAGYRLTAGLTRGGQPKQYNVGPLASKYPLRNLQPGSEYTVTLVAVKGNQQSPKATGV FTTLQPLRSIPPYNTEVTETTIVITWTPAPRIGFKLGVRPSQGGEAPREVTSDSGSIVVS GLTPGVEYTYTIQVLRDGQERDAPIVNRVVTPLSPPTNLHLEANPDTGVLTVSWERSTTP DITGYRITTTPTNGQQGTSLEEVVHADQSSCTFENLNPGLEYNVSVYTVKDDKESAPISD TVVPAVPPPTDLRFTNIGPDTMRVTWAPPPSIELTNLLVRYSPVKNEEDVAELSISPSDN AVVLTNLLPGTEYLVSVSSVYEQHESIPLRGRQKTGLDSPTGFDSSDITANSFTVHWVAP RAPITGYIIRHHAEHSVGRPRQDRVPPSRNSITLTNLNPGTEYVVSIIAVNGREESPPLI GQQATVSDIPRDLEVIASTPTSLLISWEPPAVSVRYYRITYGETGGNSPVQEFTVPGSKS TATINNIKPGADYTITLYAVTGRGDSPASSKPVSINYKTEIDKPSQMQVTDVQDNSISVR WLPSTSPVTGYRVTTTPKNGLGPSKTKTASPDQTEMTIEGLQPTVEYVVSVYAQNRNGES QPLVQTAVTNIDRPKGLAFTDVDVDSIKIAWESPQGQVSRYRVTYSSPEDGIRELFPAPD GEDDTAELQGLRPGSEYTVSVVALHDDMESQPLIGIQSTAIPAPTNLKFSQVTPTSFTAQ WIAPSVQLTGYRVRVNPKEKTGPMKEINLSPDSSSVIVSGLMVATKYEVSVYALKDTLTS RPAQGVITTLENVSPPRRARVTDATETTITISWRTKTETITGFQVDAIPANGQTPVQRSI SPDVRSYTITGLQPGTDYKIHLYTLNDNARSSPVIIDASTAIDAPSNLRFLTTTPNSLLV SWQAPRARITGYIIKYEKPGSPPREVVPRPRPGVTEATITGLEPGTEYTIYVIALKNNQK SEPLIGRKKTDELPQLVTLPHPNLHGPEILDVPSTVQKTPFITNPGYDTENGIQLPGTTH QQPSVGQQMIFEEHGFRRTTPPTAATPVRLRPRPYLPNVDEEVQIGHVPRGDVDYHLYPH VPGLNPNASTGQEALSQTTISWTPFQESSEYIISCQPVGTDEEPLQFQVPGTSTSATLTG LTRGVTYNIIVEALQNQRRHKVREEVVTVGNAVSEGLNQPTDDSCFDPYTVSHYAIGEEW ERLSDAGFKLTCQCLGFGSGHFRCDSSKWCHDNGVNYKIGEKWDRQGENGQRMSCTCLGN GKGEFKCDPHEATCYDDGKTYHVGEQWQKEYLGAICSCTCFGGQRGWRCDNCRRPGAAEP SPDGTTGHTYNQYTQRYNQRTNTNVNCPIECFMPLDVQADRDDSRE >ENSMUSP00000145264.1 pep:known chromosome:GRCm38:6:137754556:137837457:1 gene:ENSMUSG00000030225.11 transcript:ENSMUST00000203216.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dera description:deoxyribose-phosphate aldolase (putative) [Source:MGI Symbol;Acc:MGI:1913762] MAAHCRGTELGSDFIKTSTGKETVNATFPVAIVMLRAIRDFFWKTGNKVGFKPAGGIRTA KESLAWLSLVKEELGDEWLTPDLFRIGASSLLSDIERQIYHHVTGRYAAYHDLPMS >ENSMUSP00000084959.6 pep:known chromosome:GRCm38:6:137754558:137837894:1 gene:ENSMUSG00000030225.11 transcript:ENSMUST00000087675.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dera description:deoxyribose-phosphate aldolase (putative) [Source:MGI Symbol;Acc:MGI:1913762] MAAHCRGTELDLSWISKVQVNHAAVLRRAQQIQARRSVKKEWQAAWLLKAVTFIDLTTLS GDDTFSNVQRLCYKAKYPIRADLLKALNMDDKGITTAAVCVYPARVCDAVKALKAAGCSI PVASVATGFPAGQTHLKTRLEEIRLAVEDGATEIDVVINRTLVLTGQWEALYDEVTQFRK ACGEAHLKTILATGELGSLTNVYKASLVAMMAGSDFIKTSTGKETVNATFPVAIVMLRAI RDFFWKTGNKVGFKPAGGIRTAKESLAWLSLVKEELGDEWLTPDLFRIGASSLLSDIERQ IYHHVTGRYAAYHDLPMS >ENSMUSP00000144935.1 pep:known chromosome:GRCm38:6:137754576:137857340:1 gene:ENSMUSG00000030225.11 transcript:ENSMUST00000203693.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dera description:deoxyribose-phosphate aldolase (putative) [Source:MGI Symbol;Acc:MGI:1913762] MAAHCRGTELDLSWISKVQVNHAAVLRRAQQIQARRSVKKEWQAAWLLKAVTFIDLTTLS GDDTFSNVQRLCYKAKYPIRADLLKALNMDDKGITTAAVCVYPARVCDAVKALKAAGCSI PVASVATGFPAGQTHLKTRLEEIRLAVEDGATEIDVVINRTLVLTGQWEALYDEVTQFRK ACGEAHLKTILATGELGSLTNVYKASLVAMMAGSDFIKTSTGKETVNATFPVAIVMLRAI RDFFWKTGNKRSPIITIILSCEAYGKWILSNLGMPSRHPFYYSNANCLSI >ENSMUSP00000145106.1 pep:known chromosome:GRCm38:6:137754615:137837436:1 gene:ENSMUSG00000030225.11 transcript:ENSMUST00000204356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dera description:deoxyribose-phosphate aldolase (putative) [Source:MGI Symbol;Acc:MGI:1913762] XHCRGTELDLSWISKVQVNHAAVLRRAQQIQARRSVKKEWQESLAWLSLVKEELGDEWLT PDLFRIGASSLLSDIERQIYHHVTGRYAAYHDLPMS >ENSMUSP00000144841.1 pep:known chromosome:GRCm38:6:137780823:137837483:1 gene:ENSMUSG00000030225.11 transcript:ENSMUST00000204779.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dera description:deoxyribose-phosphate aldolase (putative) [Source:MGI Symbol;Acc:MGI:1913762] XVATGFPAGQTHLKTRLEEIRLAVEDGATEIDVVINRTLVLTGQWEGSDFIKTSTGKETV NATFPVAIVMLRAIRDFFWKTGNKVGFKPAGGIRTAKESLAWLSLVKEELGDEWLTPDLF RIGASSLLSDIERQIYHHVTGRYAAYHDLPMS >ENSMUSP00000144819.1 pep:known chromosome:GRCm38:6:137830289:137856814:1 gene:ENSMUSG00000030225.11 transcript:ENSMUST00000203507.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dera description:deoxyribose-phosphate aldolase (putative) [Source:MGI Symbol;Acc:MGI:1913762] XKTGNKVGFKPAGGIRTAKESLAWLSLVKEELGDEWLTPDLFRIGASSLLSDIERQIYHH VTGRYAAYHDLPMS >ENSMUSP00000145030.1 pep:known chromosome:GRCm38:6:137836852:137843701:1 gene:ENSMUSG00000030225.11 transcript:ENSMUST00000204723.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dera description:deoxyribose-phosphate aldolase (putative) [Source:MGI Symbol;Acc:MGI:1913762] XQFSALRHRETVNLIPLWAFKASVGAENKHFRRL >ENSMUSP00000032074.3 pep:known chromosome:GRCm38:6:85817218:85820972:-1 gene:ENSMUSG00000079494.2 transcript:ENSMUST00000032074.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cml5 description:camello-like 5 [Source:MGI Symbol;Acc:MGI:1916299] MAPYQIRQYQERDYKLVVGLFSRGMMEHIPAAFRYTLLLPQTLLFLFVMPLTIVLVFGSW LLAVICIFFLLLLLRLLAGQPFKDYVAQCLQTDMADITRSYLNAHGSFWVAESGGLVVGT VGGLPVKDPPLGRKQMQLFHLSVSSQHRGQGIAKALVRTVFQFARDQGYSDVVLETSVIQ QSAITLYEAMGFQRTGKYSEISIIKWLITFSIIHFTYSFPSTQKHEL >ENSMUSP00000133846.1 pep:known chromosome:GRCm38:6:85808024:85820954:-1 gene:ENSMUSG00000079495.2 transcript:ENSMUST00000174143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11128 description:predicted gene 11128 [Source:MGI Symbol;Acc:MGI:3779382] MAPYHIRKYQDSDHRSVVDLFRRGMEEHIPATFRHMLLLPRTLLLLLGVPLTLFLASGSW LLVLLSILTLFLSLWFLAKYTWEKHVMNCLHTDMADITRTYLSSHSSCFWVAESRGQTVG MVAARPVKDPLLQKKQLQLLHLSVSLQHRREGLGKAMVRTVLQFAQMQGFSEVVLSTSML QYAALALYQGMGFQKTGETFYTYLSRLRKSPMINLKYSLTSREGDL >ENSMUSP00000084938.4 pep:known chromosome:GRCm38:6:85808485:85809863:-1 gene:ENSMUSG00000079495.2 transcript:ENSMUST00000087656.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11128 description:predicted gene 11128 [Source:MGI Symbol;Acc:MGI:3779382] MAPYHIRKYQDSDHRSVVDLFRRGMEEHIPATFRHMLLLPRTLLLLLGVPLTLFLASGSW LLVLLSILTLFLSLWFLAKYTWEKHVMNCLHTDMADITRTYLSSHSSCFWVAESRGQTVG MVAARPVKDPLLQKKQLQLLHLSVSLQHRREGLGKAMVRTVLQFAQMQGFSEVVLSTSML QYAALALYQGMGFQKTGETFYTYLSRLRKSPMINLKYSLTSREGDL >ENSMUSP00000109684.1 pep:known chromosome:GRCm38:6:72957347:72958488:-1 gene:ENSMUSG00000079523.8 transcript:ENSMUST00000114050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb10 description:thymosin, beta 10 [Source:MGI Symbol;Acc:MGI:109146] MADKPDMGEIASFDKAKLKKTETQEKNTLPTKETIEQEKRSEIS >ENSMUSP00000109683.1 pep:known chromosome:GRCm38:6:72957353:72958302:-1 gene:ENSMUSG00000079523.8 transcript:ENSMUST00000114049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb10 description:thymosin, beta 10 [Source:MGI Symbol;Acc:MGI:109146] MADKPDMGEIASFDKAKLKKTETQEKNTLPTKETIEQEKRSEIS >ENSMUSP00000109682.1 pep:known chromosome:GRCm38:6:72957361:72958748:-1 gene:ENSMUSG00000079523.8 transcript:ENSMUST00000114048.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb10 description:thymosin, beta 10 [Source:MGI Symbol;Acc:MGI:109146] MADKPDMGEIASFDKAKLKKTETQEKNTLPTKETIEQEKRSEIS >ENSMUSP00000145075.1 pep:known chromosome:GRCm38:6:72957655:72958399:-1 gene:ENSMUSG00000079523.8 transcript:ENSMUST00000144337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmsb10 description:thymosin, beta 10 [Source:MGI Symbol;Acc:MGI:109146] MADKPDMGEIASFDKAKLKKTETQEKNTLPTKESKCAPGSHPSAPPFPAVPARHPHPHPA TIFPPRI >ENSMUSP00000007207.8 pep:known chromosome:GRCm38:16:20702964:20716117:-1 gene:ENSMUSG00000022843.16 transcript:ENSMUST00000007207.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn2 description:chloride channel, voltage-sensitive 2 [Source:MGI Symbol;Acc:MGI:105061] MAAATAAAAAAAAAGEGMEPRALQYEQTLMYGRYTQELGAFAKEEAARIRLGGPEPWKGS PSARATPELLEYGQSRCARCRICSVRCHKFLVSRVGEDWIFLVLLGLLMALVSWAMDYAI AVCLQAQQWMSRGLNTNILLQYLAWVTYPVVLITFSAGFTQILAPQAVGSGIPEMKTILR GVVLKEYLTLKTFVAKVIGLTCALGSGMPLGKEGPFVHIASMCAALLSKFLSLFGGIYEH ESRNTEMLAAACAVGVGCCFAAPIGGVLFSIEVTSTFFAVRNYWRGFFAATFSAFIFRVL AVWNRDEETITALFKTRFRLDFPFDLQELPAFAVIGIASGFGGALFVYLNRKIVQVMRKQ KTINRFLMRKRLLFPALVTLLISTLTFPPGFGQFMAGQLSQKETLVTLFDNRTWVRQGLV EDLELPSTSQAWSPPRANVFLTLVIFILMKFWMSALATTIPVPCGAFMPVFVIGAAFGRL VGESMAAWFPDGIHTDSSTYRIVPGGYAVVGAAALAGAVTHTVSTAVIVFELTGQIAHIL PVMIAVILANAVAQSLQPSLYDSIIRIKKLPYLPELGWGRHQQYRVRVEDIMVRDVPHVA LSCTFRDLRLALHRTKGRMLALVESPESMILLGSIERSQVVALLGAQLSPARRRQHMQKL RKAQLSPPSDQESPPSSETSIRFQVNTEDSGFSGAHGQTHKPLKPALKRGPSNSTSLQEG TTGNMESAGIALRSLFCGSPPLEATSELEKSESCDKRKLKRVRISLASDSDPEAEMSPEE ILEWEEQQLDEPVNFSDCKIDPAPFQLVERTSLHKTHTIFSLLGVDHAYVTSIGRLIGIV TLKELRKAIEGSVTAQGVKVRPPLASFRDSATSSSDTETTEVHALWGPRSRHGLPREGTP SDSDDKCQ >ENSMUSP00000112759.1 pep:known chromosome:GRCm38:16:20703223:20716111:-1 gene:ENSMUSG00000022843.16 transcript:ENSMUST00000120099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn2 description:chloride channel, voltage-sensitive 2 [Source:MGI Symbol;Acc:MGI:105061] MAAATAAAAAAAAAGEGMEPRALQYEQTLMYGRYTQELGAFAKEEAARIRLGGPEPWKGS PSARATPELLEYGQSRCARCRICSVRCHKFLVSRVGEDWIFLVLLGLLMALVSWAMDYAI AVCLQAQQWMSRGLNTNILLQYLAWVTYPVVLITFSAGFTQILAPQAVGSGIPEMKTILR GVVLKEYLTLKTFVAKVIGLTCALGSGMPLGKEGPFVHIASMCAALLSKFLSLFGGIYEH ESRNTEMLAAACAVGVGCCFAAPIGGVLFSIEVTSTFFAVRNYWRGFFAATFSAFIFRVL AVWNRDEETITALFKTRFRLDFPFDLQELPAFAVIGIASGFGGALFVYLNRKIVQVMRKQ KTINRFLMRKRLLFPALVTLLISTLTFPPGFGQFMAGQLSQKETLVTLFDNRTWVRQGLV EDLELPSTSQAWSPPRANVFLTLVIFILMKFWMSALATTIPVPCGAFMPVFVIDGIHTDS STYRIVPGGYAVVGAAALAGAVTHTVSTAVIVFELTGQIAHILPVMIAVILANAVAQSLQ PSLYDSIIRIKKLPYLPELGWGRHQQYRVRVEDIMVRDVPHVALSCTFRDLRLALHRTKG RMLALVESPESMILLGSIERSQVVALLGAQLSPARRRQHMQKLRKAQLSPPSDQESPPSS ETSIRFQVNTEDSGFSGAHGQTHKPLKPALKRGPSNSTSLQEGTTGNMESAGIALRSLFC GSPPLEATSELEKSESCDKRKLKRVRISLASDSDPEAEMSPEEILEWEEQQLDEPVNFSD CKIDPAPFQLVERTSLHKTHTIFSLLGVDHAYVTSIGRLIGIVTLKELRKAIEGSVTAQG VKVRPPLASFRDSATSSSDTETTEVHALWGPRSRHGLPREGTPSDSDDKCQ >ENSMUSP00000122921.1 pep:known chromosome:GRCm38:16:20705538:20717746:-1 gene:ENSMUSG00000022843.16 transcript:ENSMUST00000131522.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcn2 description:chloride channel, voltage-sensitive 2 [Source:MGI Symbol;Acc:MGI:105061] MAAATAAAAAAAAAGEGMEPRALQYEQTLMYGRYTQELGAFAKEEAARIRLGGPEPWKGS PSARATPELLEYGQSRCARCRICSVRCHKFLVSRVGEDWIFLVLLGLLMALVSWAMDYAI AVCLQAQQWMSRGLNTNILLQYLAWVTYPVVLITFSAGFTQILAPQAVGSGIPEMKTILR GVVLKEYLTLKTFVAKVIGLTCALGSGMPLGKEGPFVHIASMCAALLSKFLSLFGGIYEH ESRNTEMLAAACAVGVGCCFAAPIGGVLFSIEVTSTFFAVRNYWRGFFAATFSAFIFRVL AVWNRDEETITALFKTRFRLDFPFDLQELPAFAVIGIASGFGGALFVYLNRKIVQVMRKQ KTINRFLMRKRLLFPALVTLLISTLTFPPGFGQFMAGQLSQKETLVTLFDNRTWVRQGLV EDLELPSTSQAWSPPRANVFLTLVIFILMKFWMSALATTIPVPCGAFMPVFVIVFPYYLF LFLKPSLKERHLGGWWAKAWPPGSQMGFTQIAAPTELYLEAMLWSGRLHSQEQ >ENSMUSP00000032961.3 pep:known chromosome:GRCm38:7:126623249:126625676:-1 gene:ENSMUSG00000030717.9 transcript:ENSMUST00000032961.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nupr1 description:nuclear protein transcription regulator 1 [Source:MGI Symbol;Acc:MGI:1891834] MATLPPTANPSQQPLNLEDEDGILDEYDQYSLAHPCVVGGGRKGRTKREAAANTNRPSPG GHERKLLTKFQNSERKKAWR >ENSMUSP00000139489.1 pep:known chromosome:GRCm38:7:126623278:126625344:-1 gene:ENSMUSG00000030717.9 transcript:ENSMUST00000191192.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nupr1 description:nuclear protein transcription regulator 1 [Source:MGI Symbol;Acc:MGI:1891834] SLAHPCVARIECFRREDVTAPLWPFICLS >ENSMUSP00000145914.1 pep:known chromosome:GRCm38:7:126623442:126625475:-1 gene:ENSMUSG00000030717.9 transcript:ENSMUST00000187609.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nupr1 description:nuclear protein transcription regulator 1 [Source:MGI Symbol;Acc:MGI:1891834] MATLPPTANPSQQPLNLEDEDGILDEYDQYSLAHPCVAPRTHK >ENSMUSP00000000188.8 pep:known chromosome:GRCm38:6:127125162:127151060:-1 gene:ENSMUSG00000000184.12 transcript:ENSMUST00000000188.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd2 description:cyclin D2 [Source:MGI Symbol;Acc:MGI:88314] MELLCCEVDPVRRAVPDRNLLEDRVLQNLLTIEERYLPQCSYFKCVQKDIQPYMRRMVAT WMLEVCEEQKCEEEVFPLAMNYLDRFLAGVPTPKTHLQLLGAVCMFLASKLKETIPLTAE KLCIYTDNSVKPQELLEWELVVLGKLKWNLAAVTPHDFIEHILRKLPQQKEKLSLIRKHA QTFIALCATDFKFAMYPPSMIATGSVGAAICGLQQDDEVNTLTCDALTELLAKITHTDVD CLKACQEQIEALLLNSLQQFRQEQHNAGSKSVEDPDQATTPTDVRDVDL >ENSMUSP00000144006.1 pep:known chromosome:GRCm38:6:127146023:127152193:-1 gene:ENSMUSG00000000184.12 transcript:ENSMUST00000201902.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd2 description:cyclin D2 [Source:MGI Symbol;Acc:MGI:88314] MELLCCEVDPVRRAVPDRNLLEDRVLQNLLTIEERYLPQCSYFKCVQKDIQPYMRRMVAT WMLEVCEEQKCEEEVFPLAMNYLDRFLAGVPTPKTHLQLLGAVCMFLASKLKETIPLTAE KLCIYTDNSVKPQELLEWELVVLGKLKWNLAAVTPHDFIEHILRKLPQQKEKLSLIRKHA QTFIALCAT >ENSMUSP00000144095.1 pep:known chromosome:GRCm38:6:127148283:127151033:-1 gene:ENSMUSG00000000184.12 transcript:ENSMUST00000201066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd2 description:cyclin D2 [Source:MGI Symbol;Acc:MGI:88314] MELLCCEVDPVRRAVPDRNLLEDRVLQNLLTIEERYLPQCSYFKCVQKDIQPYMRRMVAT WMLEVCEEQKCEEEVFPLAMNYLDRFLAGVPTPKTHLQLLGAVCMFLASKLKETIPLTAE KLCIYTDNSVKPQELLVMPPSLLLTLPFPITLRPPH >ENSMUSP00000144245.1 pep:known chromosome:GRCm38:6:127148300:127151007:-1 gene:ENSMUSG00000000184.12 transcript:ENSMUST00000201637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd2 description:cyclin D2 [Source:MGI Symbol;Acc:MGI:88314] MELLCCEVDPVRRAVPDRNLLEDRVLQNLLTIEERYLPQCSYFKCVQKDIQPYMRRMVAT WMLEVCEEQKCEEEVFPLAMNYLDRFLAGVPTPKTHLQLLGAVCMFLASKLKETIPLTAE KLCIYTDNSVKPQELLVMPPSLLLTLPFPITLRPPH >ENSMUSP00000125275.1 pep:known chromosome:GRCm38:6:4504814:4516419:1 gene:ENSMUSG00000029661.16 transcript:ENSMUST00000141483.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col1a2 description:collagen, type I, alpha 2 [Source:MGI Symbol;Acc:MGI:88468] MLSFVDTRTLLLLAVTSCLATCQYLQSGSVRKGPTGDRGPRGQRGPAGPRGRDGVDGPMG PPGPPGSPGPPGSPAPPGLTGNFAAQYSDKGVSSGPGPMGLMGPRGPPGAVGAPGPQGFQ GPAGEPGEPGQTGPAGPRGPAGSPGKAGEDGHPGKPGRPGERGVVGPQGARGFPGTP >ENSMUSP00000031668.8 pep:known chromosome:GRCm38:6:4505493:4541544:1 gene:ENSMUSG00000029661.16 transcript:ENSMUST00000031668.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col1a2 description:collagen, type I, alpha 2 [Source:MGI Symbol;Acc:MGI:88468] MLSFVDTRTLLLLAVTSCLATCQYLQSGSVRKGPTGDRGPRGQRGPAGPRGRDGVDGPMG PPGPPGSPGPPGSPAPPGLTGNFAAQYSDKGVSSGPGPMGLMGPRGPPGAVGAPGPQGFQ GPAGEPGEPGQTGPAGPRGPAGSPGKAGEDGHPGKPGRPGERGVVGPQGARGFPGTPGLP GFKGVKGHSGMDGLKGQPGAQGVKGEPGAPGENGTPGQAGARGLPGERGRVGAPGPAGAR GSDGSVGPVGPAGPIGSAGPPGFPGAPGPKGELGPVGNPGPAGPAGPRGEVGLPGLSGPV GPPGNPGTNGLTGAKGATGLPGVAGAPGLPGPRGIPGPAGAAGATGARGLVGEPGPAGSK GESGNKGEPGSVGAQGPPGPSGEEGKRGSPGEAGSAGPAGPPGLRGSPGSRGLPGADGRA GVMGPPGNRGSTGPAGIRGPNGDAGRPGEPGLMGPRGLPGSPGNVGPSGKEGPVGLPGID GRPGPIGPAGPRGEAGNIGFPGPKGPSGDPGKPGERGHPGLAGARGAPGPDGNNGAQGPP GPQGVQGGKGEQGPAGPPGFQGLPGPSGTTGEVGKPGERGLPGEFGLPGPAGPRGERGTP GESGAAGPSGPIGSRGPSGAPGPDGNKGEAGAVGAPGSAGASGPGGLPGERGAAGIPGGK GEKGETGLRGDTGNTGRDGARGIPGAVGAPGPAGASGDRGEAGAAGPSGPAGPRGSPGER GEVGPAGPNGFAGPAGAAGQPGAKGEKGTKGPKGENGIVGPTGSVGAAGPSGPNGPPGPV GSRGDGGPPGMTGFPGAAGRTGPPGPSGIAGPPGPPGAAGKEGIRGPRGDQGPVGRTGET GASGPPGFVGEKGPSGEPGTAGAPGTAGPQGLLGAPGILGLPGSRGERGLPGIAGALGEP GPLGISGPPGARGPPGAVGSPGVNGAPGEAGRDGNPGSDGPPGRDGQPGHKGERGYPGSI GPTGAAGAPGPHGSVGPAGKHGNRGEPGPAGSVGPVGAVGPRGPSGPQGIRGDKGEPGDK GHRGLPGLKGYSGLQGLPGLAGLHGDQGAPGPVGPAGPRGPAGPSGPVGKDGRSGQPGPV GPAGVRGSQGSQGPAGPPGPPGPPGPPGVSGGGYDFGFEGDFYRADQPRSQPSLRPKDYE VDATLKSLNNQIETLLTPEGSRKNPARTCRDLRLSHPEWNSDYYWIDPNQGCTMDAIKVY CDFSTGETCIQAQPVNTPAKNSYSRAQANKHVWLGETINGGSQFEYNVEGVSSKEMATQL AFMRLLANRASQNITYHCKNSIAYLDEETGSLNKAVLLQGSNDVELVAEGNSRFTYSVLV DGCSKKTNEWGKTIIEYKTNKPSRLPFLDIAPLDIGGADQEFRVEVGPVCFK >ENSMUSP00000122691.1 pep:known chromosome:GRCm38:17:71684805:71729669:1 gene:ENSMUSG00000045761.15 transcript:ENSMUST00000153445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam179a description:family with sequence similarity 179, member A [Source:MGI Symbol;Acc:MGI:2443498] MDTRDDVTLAKVPAPVAVYCGSVPRTSVGLRAPPPGGIDSSLLAHDEASLQPVSSVLPSS EKPSQLSREHEDQSSLMLNAPLKGWQARNGYPRGLGVLPLGHHLGAAIPSLESEASSVAR DTIQIKDKLKKRRLSEGMAASSQASLDPVGGPRGVPLRSTIPRTTSQRLLRVPRPMPPIQ SIPTTPEANSAKEKDLDPPGGRQDLQDPGASAQEVQISRQYLHCADEKMHKSLGGLVIPP IPKARMPTGTSSCRPGSLPSPLCPSQDVLMGPKAPHTRLTCENGPLEKTPKSPASKPLVP VVKAKSAEAPETSLASSQSTFTLTAFSSHAKETRSLENEEDQKESSTKVQVTISKSAQEK MRLKQMKEMELLRRAKEPEWERELVSQGLGTRRTSAKEGLLPLRGSGALSEPAGMSSPRR NNMGALQRKRANRASLPSIPVSKQEPGFARHASANSLPAVLTLGSPEWEEEEEEMDLRAL RELRPFSNPELGLTDALQCLNSNDWQMKEKGLVNIQRLAACHSEVLGTRLHDVSLAVTAE VTNLRSKVSRLAISTLGDLFRVLKKNMDQEAEEIVRCLLQKMGNTSEFIQRAANRALGAM VENVTPARALVALTSAGVYHRNPLVRKCTAKHLSAVLEQIGAEKLLSGSRDNTDMLVHNL VRLAQDSNQDTRFYGRKMVNILMANAKFDAFLKQSLPSHDLRKVMAAIKQRGIQDNHELQ SAKGRKVSKSLVVCENGLPSHEGVETSEQLRELTRLLEAKEFQARMEGVGKLLEYCKAKP ELVAANLVQVFDVFTPRLHDSNKKVNQWALESLAQMLPILKESIHPMLLSLIIAAADNLN SKNSGISTAASTVLDAMMGSLDHLCLLQAFAGRVRFLTGPAVLDITDRLSVLVASVYPRK PQAVERHILPVLWYFLNKMSGNGVLPGRGGNVRTAVCRLARSLQEQMGSRLQDFAASQPQ QVLKALQGLLASESLGANDKVIGGRMAPDIQMTGTTCPQQLD >ENSMUSP00000114359.1 pep:known chromosome:GRCm38:17:71686701:71729543:1 gene:ENSMUSG00000045761.15 transcript:ENSMUST00000144479.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam179a description:family with sequence similarity 179, member A [Source:MGI Symbol;Acc:MGI:2443498] LPLSSQASFAAKVPAPVAVYCGSVPRTSVGLRAPPPGGIDSSLLAHDEASLQPVSSVLPS SEKPSQLSREHEDQSSLMLNAPLKGWQARNGYPRGLGVLPLGHHLGAAIPSLESEASSVA RDTIQIKDKLKKRRLSEGMAASSQASLDPVGGPRGVPLRSTIPRTTSQRLLRVPRPMPPI QSIPTTPEANSAKEKDLDPPGGRQDLQDPGASAQEVQISRQYLHCADEKMHKSLGGLVIP PIPKARMPTGTSSCRPGSLPSPLCPSQDVLMGPKAPHTRLTCENGPLEKTPKSPASKPLV PVVKAKSAEAPETSLASSQSTFTLTAFSSHAKETRSLENEEDQKESSTKVQVTISKSAQE KMRLKQMKEMELLRRAKEPEWERELVSQGLGTRRTSAKEGLLPLRGSGALSEPAGMSSPR RNNMGALQRKRANRASLPSIPVSKQEPGFARHASANSLPAVLTLGSPEWEEEEEEMDLRA LRELRPFSNPELGLTDALQCLNSNDWQMKEKGLVNIQRLAACHSEVLGTRLHDVSLAVTA EVTNLRSKVSRLAISTLGDLFRVLKKNMDQEAEEIVRCLLQKMGNTSEFIQRAANRALGA MVENVTPARALVALTSAGVYHRNPLVRKCTAKHLSAVLEQIGAEKLLSGSRDNTDMLVHN LVRLAQDSNQDTRFYGRKMVNILMANAKFDAFLKQSLPSHDLRKVMAAIKQRGIQDNHEL QSAKGRKVSKSLVVCENGLPSHEGLGSNGPRLMGLRSSSVRGSVETSEQLRELTRLLEAK EFQARMEGVGKLLEYCKAKPELVAANLVQVFDVFTPRLHDSNKKVNQWALESLAQMLPIL KESIHPMLLSLIIAAADNLNSKNSGISTAASTVLDAMMGSLDHLCLLQAFAGRVRFLTGP AVLDITDRLSVLVASVYPRKPQAVERHILPVLWYFLNKMSGNGVLPGRGGNVRTAVCRLA RSLQEQMGSRLQDFAASQPQQVLKALQGLLASESLGANDKVIGGRMAPDIQMTGTTCPQQ LD >ENSMUSP00000094886.3 pep:known chromosome:GRCm38:17:71673261:71729669:1 gene:ENSMUSG00000045761.15 transcript:ENSMUST00000097284.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam179a description:family with sequence similarity 179, member A [Source:MGI Symbol;Acc:MGI:2443498] MDTRDDVTLAKVPAPVAVYCGSVPRTSVGLRAPPPGGIDSSLLAHDEASLQPVSSVLPSS EKPSQLSREHEDQSSLMLNAPLKGWQARNGYPRGLGVLPLGHHLGAAIPSLESEASSVAR DTIQIKDKLKKRRLSEGMAASSQASLDPVGGPRGVPLRSTIPRTTSQRLLRVPRPMPPIQ SIPTTPEANSAKEKDLDPPGGRQDLQDPGASAQEVQISRQYLHCADEKMHKSLGGLVIPP IPKARMPTGTSSCRPGSLPSPLCPSQDVLMGPKAPHTRLTCENGPLEKTPKSPASKPLVP VVKAKSAEAPETSLASSQSTFTLTAFSSHAKETRSLENEEDQKESSTKVQVTISKSAQEK MRLKQMKEMELLRRAKEPEWERELVSQGLGTRRTSAKEGLLPLRGSGALSEPAGMSSPRR NNMGALQRKRANRASLPSIPVSKQEPGFARHASANSLPAVLTLGSPEWEEEEEEMDLRAL RELRPFSNPELGLTDALQCLNSNDWQMKEKGLVNIQRLAACHSEVLGTRLHDVSLAVTAE VTNLRSKVSRLAISTLGDLFRVLKKNMDQEAEEIVRCLLQKMGNTSEFIQRAANRALGAM VENVTPARALVALTSAGVYHRNPLVRKCTAKHLSAVLEQIGAEKLLSGSRDNTDMLVHNL VRLAQDSNQDTRFYGRKMVNILMANAKFDAFLKQSLPSHDLRKVMAAIKQRGIQDNHELQ SAKGRKVSKSLVVCENGLPSHEGVETSEQLRELTRLLEAKEFQARMEGVGKLLEYCKAKP ELVAANLVQVFDVFTPRLHDSNKKVNQWALESLAQMLPILKESIHPMLLSLIIAAADNLN SKNSGISTAASTVLDAMMGSLDHLCLLQAFAGRVRFLTGPAVLDITDRLSVLVASVYPRK PQAVERHILPVLWYFLNKMSGNGVLPGRGGNVRTAVCRLARSLQEQMGSRLQDFAASQPQ QVLKALQGLLASESLGANDKVIGGRMAPDIQMTGTTCPQQLD >ENSMUSP00000112170.1 pep:known chromosome:GRCm38:14:56668248:56697430:1 gene:ENSMUSG00000079184.10 transcript:ENSMUST00000116468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mphosph8 description:M-phase phosphoprotein 8 [Source:MGI Symbol;Acc:MGI:1922589] MAAAAEEGMSAAALVMSVPDSIGRSPESEGVGAGDEEKDAATKGTVAVGDSEEDGEDVFE VERILDMKCEGGKNLYKVRWKGYTSEDDTWEPEVHLEDCKEVLLEFRKKLAENKAKAVRK DIQRLSLNNDIFEADSDSDQQSDTKEDISPRKKKKKIKCKEETSPEDLRKKRTKMGKLKD KFKTELESTSEIIGFDVKTKKRIWEVKEELKDSKKPKKDEIKETKELKKANKRAEVRDLK IKIREDVKENRKTKKERYIESPLESESPNDSLILEDDSEDFISDNREENQNVRSVRDKTA QETVQEGIFEKHLDDLISIEEDAGTRVRRKKTKPRKFEEPKEIKKLESTNAFLERRAIPK KQRNQDKGISNLELNKLPSPVFAQTLKSSRLSGEEKSLKSPDLAEEEKEKKNEPKGKYQK RYDLDKEEKARKEPKVLKSFKEIRNAFDLFKKTTEEKNDVLENNSKREEISLDSKIMNDN KTKDKCSLKEKRNTRDETDTWAYIAAEGDQEVSDSVCQTDETSDGRQPVLSLGMDLQLEW MKLEDFQKHLDGEDEPFITTNRIPNNLLRDAVKNGDYIAVKVALNSNEEYNLDQEDSTGM TLVMLAAAGGQDDLLRLLITKGAKVNGRQKNGTTALIHAAEKNFLTTVAILLEAGAFVNV QQSNGETALMKACKRGNSDIVRLVIECGADCNILSKHQNSALYFAKQCNNVLVYELLKSH LETLSRVAEETIRDYFESRLALLEPVFPIACHRLCEGPDFSTDFNYMPPQNMPEGSGVLL FIFHANFLGKDVIARLCGPCSVQAVVLNDKFQLPVFLDSHFVYSFSPVAGPNKLFIRLTE APFAKVKLLIGAYRVQLQ >ENSMUSP00000044185.6 pep:known chromosome:GRCm38:2:167421712:167477000:1 gene:ENSMUSG00000039463.14 transcript:ENSMUST00000047815.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a8 description:solute carrier family 9 (sodium/hydrogen exchanger), member 8 [Source:MGI Symbol;Acc:MGI:1924281] MAEEEFSNTTHETFNFTLHTTLGVTTKLVLPTPAKPILPVQTGEQAQQEEQSSGMTIFFS LLVLAICIILVHLLIRYRLHFLPESVAVVSLGILMGAVIKVIEFKKLANWKEEEMFRPNM FFLLLLPPIIFESGYSLHKGNFFQNIGSITLFAVFGTAISAFVVGGGIYFLGQADVISKL NMTDSFAFGSLISAVDPVATIAIFNALHVDPVLNMLVFGESILNDAVSIVLTNTAEGLTR KHMSDVSGWQTFSQALGYFLKMFFGSAALGTLTGLISALVLKHIDLRKTPSLEFGMMIIF AYLPYGLAEGISLSGIMAILFSGIVMSHYTHHNLSPVTQILMQQTLRTVAFLCETCVFAF LGLSIFSFPHKFEISFVIWCIVLVLFGRAVNIFPLSYLLNFFRDHKITPKMMFIMWFSGL RGAIPYALSLHLGLEPMEKRQLIGTTTIVIVLFTILLLGGSTMPLIRLVDIEDARARRRS KKDVNLSKTEKMGNAIESEHLSELTEEEYEAHYIRQQDLKGFMWLDAKYLNPFFTRRLTQ EDLHHGRIQMKSLTNKWYEEVRQGPSGSEDDEQELF >ENSMUSP00000104841.1 pep:known chromosome:GRCm38:2:167421721:167474636:1 gene:ENSMUSG00000039463.14 transcript:ENSMUST00000109218.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a8 description:solute carrier family 9 (sodium/hydrogen exchanger), member 8 [Source:MGI Symbol;Acc:MGI:1924281] MAEEEFSNTTHETFNFTLHTTLGVTTKLVLPTPAKPILPVQTGEQAQQEEQSSGMTIFFS LLVLGILMGAVIKVIEFKKLANWKEEEMFRPNMFFLLLLPPIIFESGYSLHKGNFFQNIG SITLFAVFGTAISAFVVGGGIYFLGQADVISKLNMTDSFAFGSLISAVDPVATIAIFNAL HVDPVLNMLVFGESILNDAVSIVLTNTAEGLTRKHMSDVSGWQTFSQALGYFLKMFFGSA ALGTLTGLISALIDLRKTPSLEFGMMIIFAYLPYGLAEGISLSGIMAILFSGIVMSHYTH HNLSPVTQILMQQTLRTVAFLCETCVFAFLGLSIFSFPHKFEISFVIWCIVLVLFGRAVN IFPLSYLLNFFRDHKITPKMMFIMWFSGLRGAIPYALSLHLGLEPMEKRQLIGTTTIVIV LFTILLLGGSTMPLIRLVDIEDARARRRSKKDVNLSKTEKMGNAIESEHLSELTEEEYEA HYIRQQDLKGFMWLDAKYLNPFFTRRLTQEDLHHGRIQMKSLTNKWYEEVRQGPSGSEDD EQELF >ENSMUSP00000073536.3 pep:known chromosome:GRCm38:2:167421721:167476998:1 gene:ENSMUSG00000039463.14 transcript:ENSMUST00000073873.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a8 description:solute carrier family 9 (sodium/hydrogen exchanger), member 8 [Source:MGI Symbol;Acc:MGI:1924281] MAEEEFSNTTHETFNFTLHTTLGVTTKLVLPTPAKPILPVQTGEQAQQEEQSSGMTIFFS LLVLGILMGAVIKVIEFKKLANWKEEEMFRPNMFFLLLLPPIIFESGYSLHKGNFFQNIG SITLFAVFGTAISAFVVGGGIYFLGQADVISKLNMTDSFAFGSLISAVDPVATIAIFNAL HVDPVLNMLVFGESILNDAVSIVLTNTAEGLTRKHMSDVSGWQTFSQALGYFLKMFFGSA ALGTLTGLISALVLKHIDLRKTPSLEFGMMIIFAYLPYGLAEGISLSGIMAILFSGIVMS HYTHHNLSPVTQILMQQTLRTVAFLCETCVFAFLGLSIFSFPHKFEISFVIWCIVLVLFG RAVNIFPLSYLLNFFRDHKITPKMMFIMWFSGLRGAIPYALSLHLGLEPMEKRQLIGTTT IVIVLFTILLLGGSTMPLIRLVDIEDARARRRSKKDVNLSKTEKMGNAIESEHLSELTEE EYEAHYIRQQDLKGFMWLDAKYLNPFFTRRLTQEDLHHGRIQMKSLTNKWYEEVRQGPSG SEDDEQELF >ENSMUSP00000032073.6 pep:known chromosome:GRCm38:6:85830388:85832082:-1 gene:ENSMUSG00000030004.6 transcript:ENSMUST00000032073.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat8 description:N-acetyltransferase 8 (GCN5-related, putative) [Source:MGI Symbol;Acc:MGI:1915646] MASFRIRQFQERDYKQVVDVFSRGMEEHIPTAFRHLLTLPRTLLLLAVVPLAIVLVSGSW FLAVVCIFFLFLFLWFLASKPWKNYVSKCLHTDMADITKSYLSVRGSGFWVAESGGQVVG TVAARPVKDPPLGRKQLQLFRLSVSSQHRGQGIAKALTRTVLQFARDQGYSDVVLVTGLL QQGAVTLYYSMGFQKTGESFVDILTWLVDVSLIHFIYPLPSAQKYEL >ENSMUSP00000029546.8 pep:known chromosome:GRCm38:3:90231597:90235838:1 gene:ENSMUSG00000027937.15 transcript:ENSMUST00000029546.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jtb description:jumping translocation breakpoint [Source:MGI Symbol;Acc:MGI:1346082] MLAGAGRRGLPRAGHLCWLLCAFTLKLCEAEAPVREEKLSVSTSTSPCWLAEEFVVTEEC TPCSNFQIKTTPECGSTGYVEKITCSSSKRNEFKSCRSALLEQHLFWKFEGVVVAVALVF ACLVIVRQRQLDRKALEKVRKQIESI >ENSMUSP00000113763.1 pep:known chromosome:GRCm38:3:90231612:90235544:1 gene:ENSMUSG00000027937.15 transcript:ENSMUST00000119304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jtb description:jumping translocation breakpoint [Source:MGI Symbol;Acc:MGI:1346082] MLAGAGRRGLPRAGHLCWLLCAFTLKLCEAEAPVREEKLSVSTSTSPCWLAEEFVVTEEC TPCSNFQIKTTPECGSTGYVEKITCSSSKRNEFKR >ENSMUSP00000057521.3 pep:known chromosome:GRCm38:4:155989466:155992649:-1 gene:ENSMUSG00000050796.4 transcript:ENSMUST00000052185.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3galt6 description:UDP-Gal:betaGal beta 1,3-galactosyltransferase, polypeptide 6 [Source:MGI Symbol;Acc:MGI:2152819] MKVFRRAWRHRVALGLGGLAFCGTTLLYLARCASEGETPSASGAARPRAKAFLAVLVASA PRAVERRTAVRSTWLAPERRGGPEDVWARFAVGTGGLGSEERRALELEQAQHGDLLLLPA LRDAYENLTAKVLAMLTWLDERVDFEFVLKADDDSFARLDAILVDLRAREPARRRRLYWG FFSGRGRVKPGGRWREAAWQLCDYYLPYALGGGYVLSADLVHYLRLSREYLRAWHSEDVS LGTWLAPVDVQREHDPRFDTEYKSRGCNNQYLVTHKQSPEDMLEKQQMLLHEGRLCKHEV QLRLSYVYDWSAPPSQCCQRKEGVP >ENSMUSP00000096809.1 pep:known chromosome:GRCm38:2:152622356:152623053:-1 gene:ENSMUSG00000074678.1 transcript:ENSMUST00000099203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defb25 description:defensin beta 25 [Source:MGI Symbol;Acc:MGI:3651158] MAKWILLIVALLVLSHVPPGSTEFKRCWNGQGACRTFCTRQETFMHLCPDASLCCLSYSF KPSRPSRVGDV >ENSMUSP00000133124.1 pep:known chromosome:GRCm38:4:154011731:154021513:1 gene:ENSMUSG00000029028.14 transcript:ENSMUST00000169622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc47 description:leucine rich repeat containing 47 [Source:MGI Symbol;Acc:MGI:1920196] MVISGSRRKCGAALRKRRTGGAMAAAAMAVSEAWPELELAERERRRELLLTGPGLEERVK AAGGRLPPRLFTLPLLHYLEVSGCGSLRAPGPGLAQGLPQLHSLVLRRNALGPGLSPELG PLPALRVLDLSGNALETLPPGEGLGPAEPPGLPQLQSLNLSGNRLRELPADLARCAPRLQ SLNLTGNRLDAFPPELFRPGALPLLSELAAADNCLRELSPDIAHLASLKTLDLSNNQLTE IPAELADCPKLKEINFRGNRLRDKRLEKMVGGCQTKSILEYLRAGGRGGRSKGRQEASEK EDRKKRRERKQHRESGEGEEEVADSARLMLKVLHVSENPTPLTVRVSPEVKDVRPYIVGA IVRGMDLQPGNALRRFLNSQTKLHDDLCEKRTAATIATHDLQAVRGPLLYAARPPEDLKI VPLGRKEAKAKELVRQLQLEAEEQRKQKKRQSVSGLHRYLHLLDGKENYPCLVDAEGDVI SFPPITNSEKTKIKKTTCNLFLEVTSATSLQLCKDIMDSLILRMAELSKSTSENKEEDML SGTEADAGCGLSDPNLTLSSGKDGQCPLVVEQVRVVDLEGSLKVVYPSKTDLITLPPHVT VVR >ENSMUSP00000131382.1 pep:known chromosome:GRCm38:4:154018953:154020891:1 gene:ENSMUSG00000029028.14 transcript:ENSMUST00000167947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc47 description:leucine rich repeat containing 47 [Source:MGI Symbol;Acc:MGI:1920196] XENYPCLVDAEGDVISFPPITNSEKTKIKKTTCNLFLEVTSATSLQLCKDIMDSLILRMA ELSKSTSENKEEDMLSGTEADAGCGLSDPNLTLSSGKDGQCPLVVEQVRVVDLEGSLKVT VVM >ENSMUSP00000126367.1 pep:known chromosome:GRCm38:4:154019639:154020667:1 gene:ENSMUSG00000029028.14 transcript:ENSMUST00000163672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc47 description:leucine rich repeat containing 47 [Source:MGI Symbol;Acc:MGI:1920196] XELSKSTSENKEEDMLSGTEADAGCGLSDPNLTLSSGKDGQCPLVVEQVRVVDLEGSLKV VYPSKTDLITLPPHVTVVR >ENSMUSP00000030894.8 pep:known chromosome:GRCm38:4:154011803:154021512:1 gene:ENSMUSG00000029028.14 transcript:ENSMUST00000030894.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc47 description:leucine rich repeat containing 47 [Source:MGI Symbol;Acc:MGI:1920196] MAAAAMAVSEAWPELELAERERRRELLLTGPGLEERVKAAGGRLPPRLFTLPLLHYLEVS GCGSLRAPGPGLAQGLPQLHSLVLRRNALGPGLSPELGPLPALRVLDLSGNALETLPPGE GLGPAEPPGLPQLQSLNLSGNRLRELPADLARCAPRLQSLNLTGNRLDAFPPELFRPGAL PLLSELAAADNCLRELSPDIAHLASLKTLDLSNNQLTEIPAELADCPKLKEINFRGNRLR DKRLEKMVGGCQTKSILEYLRAGGRGGRSKGRQEASEKEDRKKRRERKQHRESGEGEEEV ADSARLMLKVLHVSENPTPLTVRVSPEVKDVRPYIVGAIVRGMDLQPGNALRRFLNSQTK LHDDLCEKRTAATIATHDLQAVRGPLLYAARPPEDLKIVPLGRKEAKAKELVRQLQLEAE EQRKQKKRQSVSGLHRYLHLLDGKENYPCLVDAEGDVISFPPITNSEKTKIKKTTCNLFL EVTSATSLQLCKDIMDSLILRMAELSKSTSENKEEDMLSGTEADAGCGLSDPNLTLSSGK DGQCPLVVEQVRVVDLEGSLKVVYPSKTDLITLPPHVTVVR >ENSMUSP00000048063.5 pep:known chromosome:GRCm38:11:87760541:87785928:1 gene:ENSMUSG00000034156.16 transcript:ENSMUST00000039627.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bzrap1 description:benzodiazepine receptor associated protein 1 [Source:MGI Symbol;Acc:MGI:2450877] MEQLTTLPRLGDLGAMEPWALPAWQHWTQGQGCKPGDASPSIAGTPTALQVKGLRFEESS KPEGAHSPGPVGNTDPEATETGLPKLGQQAESPGYSCSGLEEEEAQAYKAKFNIGFGDRP NLELLRALGELQQRCTILKEENQMLRKSSFPETEEKVRRLKRKNAELAVIAKRLEERAQK LQETNMRVVSAPVPRPGSSLELCRKALARQRARDLSETASALLAKDKQIAALQRECRELQ ARLSLVGKEGPQWLHMRDFDRLLRESQREVLRLQRQIALRNQREPLRPARSPGPTAPSRV GAPAPGAPGEAVLQDDVESPQVVLREPEKQQRVQQLESELCKKRKKCESLEQEARKKQRR CEELELQLRAAQNENARLVEENSRLSGRATEKEQVEWENSELKGQLLGVTQERDSALLKS QGLQSKLESLEQVLKHMREVAQRRQQLEVEHEQARLSLQEKQEEVRRLQQAQAEAKREHE GAVQLLESTLDSMQARVRELEGQCRSQTERFSLLAQELQAFRLHPGPLDLLTSALGCSAL GDHPPPHCCCSIPQPCQGSGPKDLDLPPGSPGRCTPKSSEPALTTLTGIPRRTAKKAESL SNSSRSESIHNSPKSCPTPEVDTASEVEELEVDSVSLLPAAPESHSGGARIQVFLARYSY NPFEGPNENPEAELPLTAGEYIYIYGNMDEDGFFEGELMDGRRGLVPSNFVERVSDDDLL STLPRELADSSHSSGPELSFLSGGGGGCSSGGQSSGGRSQPRPEEEAAGDELSLSPPPEG LGEPLAVPYPRHITVLKQLAHSVVLAWELPPERVDLRGFHIFVNGELRQALGPGVPPKAV LENMDLRTGPLHVSVQALTSKGSSDPLRCCLAVGAGAGVVPSQLRIHRLTATSAEIAWVP GNSNLAHAIYLNGEECPPARPSTYWATFCNLRPGTLYQARVEAQIPSQGPWEPGWERPEQ RAATLQFTTLPAGLPDAPLDVQAEPGPSPGILMISWLPVTIDAAGTSNGVRVTGYAIYAD GQKIMEVASPTAGSVLVEVSQLQLLQACHEVTVRTMSPHGESSDSIPAPVAPALASACQP ARMSCLSPRPSPEVRTPLASVSPGLGDTSFPLRHPVPHGTQDFSASLSIEMSKGPQEEPP VPCSQEEAGAAVRSISEEKRAIEPTLGQEGPEPVAPSLAKQEVECTSGDAGPVPCSTQGE LTQKKPSIEACHGGDLDSGLKLRSEKEDMSELGVHLVNSLVDHSRNSDLSDIQEEEEEEE EEEEELGSRPCSSQKQVAGNSIRENGAKPQPDPFCETDSDEEILEQILELPLQRLCSKKL FSIPEEEEEEEEEEGLEKPGPSRTSQDPSQPELALLGPGCDSSQPQGPGLCPLSPELSGV REHLEDVLGVVGGNGRRRGGGSPEKLPNRKRPQDPREHCSRLLGNGGPQASARPVPPRER GSLPVIEGTRVGQEPGGRGRPGLSRRCPRGPAPESSLVSCLSPKCLEISIEYDSEDEQEA GSGGVSINSSCYPTDGEAWGTAAVGRPRGPPKVNPGPNAYLRLPAWEKGEPERRGRSAIG RTKEPPSRATETGESRGQDNSGRRGPQRRGARVPRSGTTELAPPRSPQEAPPHQDLPVRV FVALFDYDPVSMSPNPDAGEEELPFKEGQLLKVFGDKDADGFYRGESGGRTGYIPCNMVA EVAVDSPAGRQQLLQRGFLPPNVLTEASGNGPSVYSSAHTPGPPPKPRRSKKVELEGPTQ LCPGPPKLIHSAAQKTSRPMVAAFDYNPRENSPNMDVEAELPFRAGDVITVFGNMDDDGF YYGELNGQRGLVPSNFLEGPGPESGSLESGTSQAESQRTRRRRVQC >ENSMUSP00000098209.3 pep:known chromosome:GRCm38:11:87760587:87785928:1 gene:ENSMUSG00000034156.16 transcript:ENSMUST00000100644.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bzrap1 description:benzodiazepine receptor associated protein 1 [Source:MGI Symbol;Acc:MGI:2450877] MEQLTTLPRLGDLGAMEPWALPAWQHWTQGQGCKPGDASPSIAGTPTALQVKGLRFEESS KPEGAHSPGPVGNTDPEATETGLPKLGQQAESPGYSCSGLEEEEAQAYKAKFNIGFGDRP NLELLRALGELQQRCTILKEENQMLRKSSFPETEEKVRRLKRKNAELAVIAKRLEERAQK LQETNMRVEGPQWLHMRDFDRLLRESQREVLRLQRQIALRNQREPLRPARSPGPTAPSRV GAPAPGAPGEAVLQDDVESPQVVLREPEKQQRVQQLESELCKKRKKCESLEQEARKKQRR CEELELQLRAAQNENARLVEENSRLSGRATEKEQVEWENSELKGQLLGVTQERDSALLKS QGLQSKLESLEQVLKHMREVAQRRQQLEVEHEQARLSLQEKQEEVRRLQQAQAEAKREHE GAVQLLESTLDSMQARVRELEGQCRSQTERFSLLAQELQAFRLHPGPLDLLTSALGCSAL GDHPPPHCCCSIPQPCQGSGPKDLDLPPGSPGRCTPKSSEPALTTLTGIPRRTAKKAESL SNSSRSESIHNSPKSCPTPEVDTASEVEELEVDSVSLLPAAPESHSGGARIQVFLARYSY NPFEGPNENPEAELPLTAGEYIYIYGNMDEDGFFEGELMDGRRGLVPSNFVERVSDDDLL STLPRELADSSHSSGPELSFLSGGGGGCSSGGQSSGGRSQPRPEEEAAGDELSLSPPPEG LGEPLAVPYPRHITVLKQLAHSVVLAWELPPERVDLRGFHIFVNGELRQALGPGVPPKAV LENMDLRTGPLHVSVQALTSKGSSDPLRCCLAVGAGAGVVPSQLRIHRLTATSAEIAWVP GNSNLAHAIYLNGEECPPARPSTYWATFCNLRPGTLYQARVEAQIPSQGPWEPGWERPEQ RAATLQFTTLPAGLPDAPLDVQAEPGPSPGILMISWLPVTIDAAGTSNGVRVTGYAIYAD GQKIMEVASPTAGSVLVEVSQLQLLQACHEVTVRTMSPHGESSDSIPAPVAPALASACQP ARMSCLSPRPSPEVRTPLASVSPGLGDTSFPLRHPVPHGTQDFSASLSIEMSKGPQEEPP VPCSQEEAGAAVRSISEEKRAIEPTLGQEGPEPVAPSLAKQEVECTSGDAGPVPCSTQGE LTQKKPSIEACHGGDLDSGLKLRSEKEDMSELGVHLVNSLVDHSRNSDLSDIQEEEEEEE EEEEELGSRPCSSQKQVAGNSIRENGAKPQPDPFCETDSDEEILEQILELPLQRLCSKKL FSIPEEEEEEEEEEGLEKPGPSRTSQDPSQPELALLGPGCDSSQPQGPGLCPLSPELSGV REHLEDVLGVVGGNGRRRGGGSPEKLPNRKRPQDPREHCSRLLGNGGPQASARPVPPRER GSLPVIEGTRVGQEPGGRGRPGLSRRCPRGPAPESSLVSCLSPKCLEISIEYDSEDEQEA GSGGVSINSSCYPTDGEAWGTAAVGRPRGPPKVNPGPNAYLRLPAWEKGEPERRGRSAIG RTKEPPSRATETGESRGQDNSGRRGPQRRGARVPRSGTTELAPPRSPQEAPPHQDLPVRV FVALFDYDPVSMSPNPDAGEEELPFKEGQLLKVFGDKDADGFYRGESGGRTGYIPCNMVA EVAVDSPAGRQQLLQRGFLPPNVLTEASGNGPSVYSSAHTPGPPPKPRRSKKVELEGPTQ LCPGPPKLIHSAAQKTSRPMVAAFDYNPRENSPNMDVEAELPFRAGDVITVFGNMDDDGF YYGELNGQRGLVPSNFLEGPGPESGSLESGTSQAESQRTRRRRVQC >ENSMUSP00000122665.1 pep:known chromosome:GRCm38:11:87776131:87779537:1 gene:ENSMUSG00000034156.16 transcript:ENSMUST00000144502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bzrap1 description:benzodiazepine receptor associated protein 1 [Source:MGI Symbol;Acc:MGI:2450877] XPASQPGCPVSHHDQAQSLSIEMSKGPQEEPPVPCSQEEAGAAVRSISEEKRAIEPTLGQ EGPEPVAPSLAKQEVECTSGDAGPVPCSTQGELTQKKPSIEACHGGDLDSGLKLRSEKED MSELGVHLVNSLVDHSRNSDLSDIQEEEEEEEEEEEELGSRPCSSQKQVAGNSIRENGAK ATETGESRGQDNSGRRGPQRRGARVPRSGTTELAPPRSPQEAPPHQDLPVRVFVALFDYD PVSMSPNPDAG >ENSMUSP00000118819.1 pep:known chromosome:GRCm38:11:87776538:87785928:1 gene:ENSMUSG00000034156.16 transcript:ENSMUST00000142329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bzrap1 description:benzodiazepine receptor associated protein 1 [Source:MGI Symbol;Acc:MGI:2450877] XECTSGDAGPVPCSTQGELTQKKPSIEACHGGDLDSGLKLRSEKEDMSELGVHLVNSLVD HSRNSDLSDIQEEEEEEEEEEEELGSRPCSSQKQVAGNSIRENGAKATETGESRGQDNSG RRGPQRRGARVPRSGTTELAPPRSPQEAPPHQDLPVRVFVALFDYDPVSMSPNPDAGEEE LPFKEGQLLKVFGDKDADGFYRGESGGRTGYIPCNMVAEVAVDSPAGRQQLLQRGFLPPN VLTEASGNGPSVYSSAHTPGPPPKPRRSKKVELEGPTQLCPGPPKLIHSAAQKTSRPMVA AFDYNPRENSPNMDVEAELPFRAGDVITVFGNMDDDGFYYGELNGQRGLVPSNFLEGPGP ESGSLESGTSQAESQRTRRRRVQC >ENSMUSP00000117356.1 pep:known chromosome:GRCm38:11:87780294:87784741:1 gene:ENSMUSG00000034156.16 transcript:ENSMUST00000133645.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bzrap1 description:benzodiazepine receptor associated protein 1 [Source:MGI Symbol;Acc:MGI:2450877] XVDSPAGRQQLLQRGFLPPNVLTEASGNGPSVYSSAHTPGPPPKPRRSKKVELEGPTQLC PGEKPSLGKCLGRGPPKLIHSAAQKTSRPMVAAFDYNPRENSPNMDVEAELPFRAGDVIT VFGNMDDDGFYYGELNGQRGLVPSNFLEGPGPESGSLESGTSQAESQDP >ENSMUSP00000125172.1 pep:known chromosome:GRCm38:16:91684398:91926982:-1 gene:ENSMUSG00000022956.10 transcript:ENSMUST00000159295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5o description:ATP synthase, H+ transporting, mitochondrial F1 complex, O subunit [Source:MGI Symbol;Acc:MGI:106341] QLLKDPKVSLAVLNPYIKRTVKVKSLNDITKREKFSPLTANLMNLLAENGRLGNTQGIIS AFSTIMSVHRGEVPCTVTTASSQRVSLSESDTWSSDGTELPVDWSIKTRLLFTSSQPFSW ADHLKAQEEAQGLVQHCRATEVTLPQSIQDPKLSTALRCAFQQALVYWLHPAFSWLP >ENSMUSP00000023677.3 pep:known chromosome:GRCm38:16:91925214:91931687:-1 gene:ENSMUSG00000022956.10 transcript:ENSMUST00000023677.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5o description:ATP synthase, H+ transporting, mitochondrial F1 complex, O subunit [Source:MGI Symbol;Acc:MGI:106341] MAAPAASGLSRQVRSFSTSVVRPFAKLVRPPVQVYGIEGRYATALYSAASKEKKLDQVEK ELLRVGQLLKDPKVSLAVLNPYIKRTVKVKSLNDITKREKFSPLTANLMNLLAENGRLGN TQGIISAFSTIMSVHRGEVPCTVTTASPLDDAVLSELKTVLKSFLSPNQILKLEIKTDPS IMGGMIVRIGEKYVDMSAKSKIQKLSKAMREML >ENSMUSP00000118216.1 pep:known chromosome:GRCm38:16:91925221:91931546:-1 gene:ENSMUSG00000022956.10 transcript:ENSMUST00000155452.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp5o description:ATP synthase, H+ transporting, mitochondrial F1 complex, O subunit [Source:MGI Symbol;Acc:MGI:106341] PAASGLSRQVRSFSTSVVRPFAKLVRPPVQVYGIEGRYATALYSAASKEKKLDQVEKELL RVGIYLLKMVA >ENSMUSP00000010189.1 pep:known chromosome:GRCm38:9:107533945:107538673:1 gene:ENSMUSG00000010045.2 transcript:ENSMUST00000010189.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem115 description:transmembrane protein 115 [Source:MGI Symbol;Acc:MGI:1930765] MQRALPGARQHLGAILASASVVVKALCAVVLFLYLLSFAVDTGCLAVTPGYLFPPNFWIW TLATHGLMEQHVWDVAISLATVVVAGRLLEPLWGALELLIFFSVVNVSVGLLGALAYLLT YMASFNLVYLFTIRIHGALGFLGGVLVALKQTMGDCVVLRVPQVRVSVVPMLLLALLLLL RLATLLQSPALASYGFGLLSSWVYLRFYQRHSRGRGDMADHFAFATFFPEILQPVVGLLA NLVHGLLVKVKICQKTVKRYDVGAPSSITISLPGTDPQDAERRRQLALKALNERLKRVED QSAWPSMDDDEEEAGAKTDSPLPLEEASTPPGKVTVPESSLITLETAPLL >ENSMUSP00000091477.3 pep:known chromosome:GRCm38:11:94501347:94521742:-1 gene:ENSMUSG00000039110.14 transcript:ENSMUST00000093945.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycbpap description:MYCBP associated protein [Source:MGI Symbol;Acc:MGI:2388726] MMKKITERQSPLKLLEKKRAKAPEQPTPPIQEEPEPVSNVLQGDDILALAIKKEDLRKQH VPQFEETGEKPVVTQKFIIRKLKPKDSSKRVYHLVAHPATPDAATKPLDYSGPHDSFLSS GQILPHQILGSLQDFKRIAVARGNTQLAKLIHIQPCLMTLISAKEEPKPKPPKEEERPSP WAPPPQHNFLKNWRRHIALRKKQQEALSKHLKKPASELLMHTGESYRKIQEEREVIDRAL PTQHDGKATSWFWSPLEYLGDEMTGLLMTKKKTQRGLVEPITHIRKPLSIQVETGLPAQK DAWYRYTWDRSLFLIYRRKELQSIMAELDFSQQDIDGLEVVGHGKPFSSVTVEEHLPPEK IQKSSSEDTVFLDSLTNLSDMVPMPILGPSLLFCGKPACWVRGSNPEDKKNIGIGVRLTF ETLEGERTSSELTVVNNGTVAIWYNWRRRPHQDFFQDLKQNKTQRFYFNNREGVILPGET KHFTFFFKSLNAGIFRESWEFGTHPTLLGGAVLQVTLHAISLTQDIFMDERKLLETKLAA HEAITIAQSVLQDLLRGISTPERTPSPVDAYLTEEDLFNYRNPRLHYQHQVVQNLHQLWQ QYRKAKATQKETPSLRTPVPLLLVEKASGSISPRNLVSEYSQLSPHQEMDTARKTRDFFL SLKSSIGKKSVARKSIMEELLVEEGPDRETTQRPWALKSISPPKWNLCLEDFRQAVMTFP EELQREDALIQLNKAAMELCQEQKPLQSDLLYQMCLQLWRDVIDSLVSQSLWLRNLLGLP EKETVYLDLPDEQGQKSPPVTESKVTSGKAGKEDRRGGAQEKKQLGTKDKDDKRGSKTPG KEDRPNSKKLKPKDDKKVVKSASRDRLLSEDPPPDSTAPSQEPIDPLVMEKYTQRLHAEV YALLDNLVTDVMVLADELSSTKNVEESLRFCS >ENSMUSP00000047579.8 pep:known chromosome:GRCm38:11:94501347:94507355:-1 gene:ENSMUSG00000039110.14 transcript:ENSMUST00000040692.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mycbpap description:MYCBP associated protein [Source:MGI Symbol;Acc:MGI:2388726] MTSLTTLHHKQLPGECETKLAAHEAITIAQSVLQDLLRGISTPERTPSPVDAYLTEEDLF NYRNPRLHYQHQVVQNLHQLWQQYRKAKATQKETPSLRTPVPLLLVEKASGSISPRNLVS EYSQLSPHQEMDTARKTRDFFLSLKSSIGKKSVARKSIMEELLVEEGPDRETTQRPWALK SISPPKWNLCLEDFRQAVMTFPEELQREDALIQLNKAAMELCQEQKPLQSDLLYQMCLQL WRDVIDSLVSQSLWLRNLLGLPEKETVYLDLPDEQGQKSPPVTESKVTSGKAGKEDRRGG AQEKKQLGTKDKDDKRGSKTPGKEDRPNSKKLKPKDDKKVVKSASRDRLLSEDPPPDSTA PSQEPIDPLVMEKYTQRLHAEVYALLDNLVTDVMVLADELSSTKNVEESLRFCS >ENSMUSP00000072458.7 pep:known chromosome:GRCm38:17:90033631:91088907:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000072671.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MGTALVQRGGCCLLCLSLLLLGCWAELGSGLEFPGAEGQWTRFPKWNACCESEMSFQLKT RSARGLVLYFDDEGFCDFLELILTRGGRLQLSFSIFCAEPATLLADTPVNDGAWHSVRIR RQFRNTTLYIDRAEAKWVEVKSKRRDMTVFSGLFVGGLPPELRAAALKLTLASVREREPF KGWIRDVRVNSSQALPVDGGEVKLDDEPPNSGGGSPCEAGEEGEGGVCLNGGVCSVVDDQ AVCDCSRTGFRGKDCSQEDNNVEGLAHLMMGDQGKSKGKEEYIATFKGSEYFCYDLSQNP IQSSSDEITLSFKTLQRNGLMLHTGKSADYVNLALKNGAVSLVINLGSGAFEALVEPVNG KFNDNAWHDVKVTRNLRQVTISVDGILTTTGYTQEDYTMLGSDDFFYVGGSPSTADLPGS PVSNNFMGCLKEVVYKNNDVRLELSRLAKQGDPKMKIHGVVAFKCENVATLDPITFETPE SFISLPKWNAKKTGSISFDFRTTEPNGLILFSHGKPRHQKDAKHPQMIKVDFFAIEMLDG HLYLLLDMGSGTIKIKALQKKVNDGEWYHVDFQRDGRSGTISVNTLRTPYTAPGESEILD LDDELYLGGLPENKAGLVFPTEVWTALLNYGYVGCIRDLFIDGQSKDIRQMAEIQSTAGV KPSCSKETAKPCLSNPCKNNGMCRDGWNRYVCDCSGTGYLGRSCEREATVLSYDGSMFMK IQLPVVMHTEAEDVSLRFRSQRAYGILMATTSRDSADTLRLELDAGRVKLTVNLDCIRIN CNSSKGPETLFAGYNLNDNEWHTVRVVRRGKSLKLTVDDQQAMTGQMAGDHTRLEFHNIE TGIITERRYLSSVPSNFIGHLQSLTFNGMAYIDLCKNGDIDYCELNARFGFRNIIADPVT FKTKSSYVALATLQAYTSMHLFFQFKTTSLDGLILYNSGDGNDFIVVELVKGYLHYVFDL GNGANLIKGSSNKPLNDNQWHNVMISRDTSNLHTVKIDTKITTQITAGARNLDLKSDLYI GGVAKETYKSLPKLVHAKEGFQGCLASVDLNGRLPDLISDALFCNGQIERGCEGPSTTCQ EDSCSNQGVCLQQWDGFSCDCSMTSFSGPLCNDPGTTYIFSKGGGQITYKWPPNDRPSTR ADRLAIGFSTVQKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAIEESNAIIN DGKYHVVRFTRSGGNATLQVDSWPVIERYPAGNNDNERLAIARQRIPYRLGRVVDEWLLD KGRQLTIFNSQATIIIGGKEQGQPFQGQLSGLYYNGLKVLNMAAENDANIAIVGNVRLVG EVPSSMTTESTATAMQSEMSTSIMETTTTLATSTARRGKPPTKEPISQTTDDILVASAEC PSDDEDIDPCEPSSANPTRVGGREPYPGSAEVIRESSSTTGMVVGIVAAAALCILILLYA MYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQPSSAKSANKNKKNKDKEYYV >ENSMUSP00000125407.3 pep:known chromosome:GRCm38:17:90033644:91093071:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000160844.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MGTALVQRGGCCLLCLSLLLLGCWAELGSGLEFPGAEGQWTRFPKWNACCESEMSFQLKT RSARGLVLYFDDEGFCDFLELILTRGGRLQLSFSIFCAEPATLLADTPVNDGAWHSVRIR RQFRNTTLYIDRAEAKWVEVKSKRRDMTVFSGLFVGGLPPELRAAALKLTLASVREREPF KGWIRDVRVNSSQALPVDGGEVKLDDEPPNSGGGSPCEAGEEGEGGVCLNGGVCSVVDDQ AVCDCSRTGFRGKDCSQEDNNVEGLAHLMMGDQGKSKGKEEYIATFKGSEYFCYDLSQNP IQSSSDEITLSFKTLQRNGLMLHTGKSADYVNLALKNGAVSLVINLGSGAFEALVEPVNG KFNDNAWHDVKVTRNLRQHSGIGHAMVTISVDGILTTTGYTQEDYTMLGSDDFFYVGGSP STADLPGSPVSNNFMGCLKEVVYKNNDVRLELSRLAKQGDPKMKIHGVVAFKCENVATLD PITFETPESFISLPKWNAKKTGSISFDFRTTEPNGLILFSHGKPRHQKDAKHPQMIKVDF FAIEMLDGHLYLLLDMGSGTIKIKALQKKVNDGEWYHVDFQRDGRSGTISVNTLRTPYTA PGESEILDLDDELYLGGLPENKAGLVFPTEVWTALLNYGYVGCIRDLFIDGQSKDIRQMA EIQSTAGVKPSCSKETAKPCLSNPCKNNGMCRDGWNRYVCDCSGTGYLGRSCEREATVLS YDGSMFMKIQLPVVMHTEAEDVSLRFRSQRAYGILMATTSRDSADTLRLELDAGRVKLTV NLDCIRINCNSSKGPETLFAGYNLNDNEWHTVRVVRRGKSLKLTVDDQQAMTGQMAGDHT RLEFHNIETGIITERRYLSSVPSNFIGHLQSLTFNGMAYIDLCKNGDIDYCELNARFGFR NIIADPVTFKTKSSYVALATLQAYTSMHLFFQFKTTSLDGLILYNSGDGNDFIVVELVKG YLHYVFDLGNGANLIKGSSNKPLNDNQWHNVMISRDTSNLHTVKIDTKITTQITAGARNL DLKSDLYIGGVAKETYKSLPKLVHAKEGFQGCLASVDLNGRLPDLISDALFCNGQIERGC EGPSTTCQEDSCSNQGVCLQQWDGFSCDCSMTSFSGPLCNDPGTTYIFSKGGGQITYKWP PNDRPSTRADRLAIGFSTVQKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAI EESNAIINDGKYHVVRFTRSGGNATLQVDSWPVIERYPAGNNDNERLAIARQRIPYRLGR VVDEWLLDKGRQLTIFNSQATIIIGGKEQGQPFQGQLSGLYYNGLKVLNMAAENDANIAI VGNVRLVGEVPSSMTTESTATAMQSEMSTSIMETTTTLATSTARRGKPPTKEPISQTTDD ILVASAECPSDDEDIDPCEPSSGGLANPTRVGGREPYPGSAEVIRESSSTTGMVVGIVAA AALCILILLYAMYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQPSSAKSANKNKKN KDKEYYV >ENSMUSP00000142815.1 pep:known chromosome:GRCm38:17:90035416:90088396:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000197268.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MDMRWHCENSQTTDDILVASAECPSDDEDIDPCEPSSANPTRVGGREPYPGSAEVIRESS STTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQP SSAKSANKNKKNKDKEYYV >ENSMUSP00000133491.1 pep:known chromosome:GRCm38:17:90035418:91088915:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000174331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MGTALVQRGGCCLLCLSLLLLGCWAELGSGLEFPGAEGQWTRFPKWNACCESEMSFQLKT RSARGLVLYFDDEGFCDFLELILTRGGRLQLSFSIFCAEPATLLADTPVNDGAWHSVRIR RQFRNTTLYIDRAEAKWVEVKSKRRDMTVFSGLFVGGLPPELRAAALKLTLASVREREPF KGWIRDVRVNSSQALPVDGGEVKLDDEPPNSGGGSPCEAGEEGEGGVCLNGGVCSVVDDQ AVCDCSRTGFRGKDCSQEDNNVEGLAHLMMGDQGKSKGKEEYIATFKGSEYFCYDLSQNP IQSSSDEITLSFKTLQRNGLMLHTGKSADYVNLALKNGAVSLVINLGSGAFEALVEPVNG KFNDNAWHDVKVTRNLRQHSGIGHAMVTISVDGILTTTGYTQEDYTMLGSDDFFYVGGSP STADLPGSPVSNNFMGCLKEVVYKNNDVRLELSRLAKQGDPKMKIHGVVAFKCENVATLD PITFETPESFISLPKWNAKKTGSISFDFRTTEPNGLILFSHGKPRHQKDAKHPQMIKVDF FAIEMLDGHLYLLLDMGSGTIKIKALQKKVNDGEWYHVDFQRDGRSGTISVNTLRTPYTA PGESEILDLDDELYLGGLPENKAGLVFPTEVWTALLNYGYVGCIRDLFIDGQSKDIRQMA EIQSTAGVKPSCSKETAKPCLSNPCKNNGMCRDGWNRYVCDCSGTGYLGRSCEREATVLS YDGSMFMKIQLPVVMHTEAEDVSLRFRSQRAYGILMATTSRDSADTLRLELDAGRVKLTV NLDCIRINCNSSKGPETLFAGYNLNDNEWHTVRVVRRGKSLKLTVDDQQAMTGQMAGDHT RLEFHNIETGIITERRYLSSVPSNFIGHLQSLTFNGMAYIDLCKNGDIDYCELNARFGFR NIIADPVTFKTKSSYVALATLQAYTSMHLFFQFKTTSLDGLILYNSGDGNDFIVVELVKG YLHYVFDLGNGANLIKGSSNKPLNDNQWHNVMISRDTSNLHTVKIDTKITTQITAGARNL DLKSDLYIGGVAKETYKSLPKLVHAKEGFQGCLASVDLNGRLPDLISDALFCNGQIERGC EGPSTTCQEDSCSNQGVCLQQWDGFSCDCSMTSFSGPLCNDPGTTYIFSKGGGQITYKWP PNDRPSTRADRLAIGFSTVQKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAI EESNAIINDGKYHVVRFTRSGGNATLQVDSWPVIERYPAGRQLTIFNSQATIIIGGKEQG QPFQGQLSGLYYNGLKVLNMAAENDANIAIVGNVRLVGEVPSSMTTESTATAMQSEMSTS IMETTTTLATSTARRGKPPTKEPISQTTDDILVASAECPSDDEDIDPCEPSSGGLANPTR VGGREPYPGSAEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYHVDESRN YISNSAQSNGAVVKEKQPSSAKSANKNKKNKDKEYYV >ENSMUSP00000125561.2 pep:known chromosome:GRCm38:17:90035800:90455872:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000159778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MYQRMLRCGADLGSPGGGSGGGAGGRLALIWIVPLTLSGLLGVAWGASSLGAHHIHHFHG SSKHHSVPIAIYRSPASLRGGHAGTTYIFSKGGGQITYKWPPNDRPSTRADRLAIGFSTV QKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAIEESNAIINDGKYHVVRFTR SGGNATLQVDSWPVIERYPAGRQLTIFNSQATIIIGGKEQGQPFQGQLSGLYYNGLKVLN MAAENDANIAIVGNVRLVGEVPSSMTTESTATAMQSEMSTSIMETTTTLATSTARRGKPP TKEPISQTTDDILVASAECPSDDEDIDPCEPSSGGLANPTRVGGREPYPGSAEVIRESSS TTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQPS SAKSANKNKKNKDKEYYV >ENSMUSP00000133389.2 pep:known chromosome:GRCm38:17:90036270:90088400:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000173917.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MDMRWHCENSQTTDDILVASAECPSDDEDIDPCEPSSGGLANPTRVGGREPYPGSAEVIR ESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKE KQPSSAKSANKNKKNKDKEYYV >ENSMUSP00000133724.2 pep:known chromosome:GRCm38:17:90036297:90455886:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000174337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MYQRMLRCGADLGSPGGGSGGGAGGRLALIWIVPLTLSGLLGVAWGASSLGAHHIHHFHG SSKHHSVPIAIYRSPASLRGGHAGTTYIFSKGGGQITYKWPPNDRPSTRADRLAIGFSTV QKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAIEESNAIINDGKYHVVRFTR SGGNATLQVDSWPVIERYPAGNNDNERLAIARQRIPYRLGRVVDEWLLDKGRQLTIFNSQ ATIIIGGKEQGQPFQGQLSGLYYNGLKVLNMAAENDANIAIVGNVRLVGEVPSSMTTEST ATAMQSEMSTSIMETTTTLATSTARRGKPPTKEPISQTTDDILVASAECPSDDEDIDPCE PSSGGLANPTRVGGREPYPGSAEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRD EGSYHVDESRNYISNSAQSNGAVVKEKQPSSAKSANKNKKNKDKEYYV >ENSMUSP00000124116.3 pep:known chromosome:GRCm38:17:90036669:91088726:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000161402.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MGTALVQRGGCCLLCLSLLLLGCWAELGSGLEFPGAEGQWTRFPKWNACCESEMSFQLKT RSARGLVLYFDDEGFCDFLELILTRGGRLQLSFSIFCAEPATLLADTPVNDGAWHSVRIR RQFRNTTLYIDRAEAKWVEVKSKRRDMTVFSGLFVGGLPPELRAAALKLTLASVREREPF KGWIRDVRVNSSQALPVDGGEVKLDDEPPNSGGGSPCEAGEEGEGGVCLNGGVCSVVDDQ AVCDCSRTGFRGKDCSQEDNNVEGLAHLMMGDQGKSKGKEEYIATFKGSEYFCYDLSQNP IQSSSDEITLSFKTLQRNGLMLHTGKSADYVNLALKNGAVSLVINLGSGAFEALVEPVNG KFNDNAWHDVKVTRNLRQHSGIGHAMVNKLHCSVTISVDGILTTTGYTQEDYTMLGSDDF FYVGGSPSTADLPGSPVSNNFMGCLKEVVYKNNDVRLELSRLAKQGDPKMKIHGVVAFKC ENVATLDPITFETPESFISLPKWNAKKTGSISFDFRTTEPNGLILFSHGKPRHQKDAKHP QMIKVDFFAIEMLDGHLYLLLDMGSGTIKIKALQKKVNDGEWYHVDFQRDGRSGTISVNT LRTPYTAPGESEILDLDDELYLGGLPENKAGLVFPTEVWTALLNYGYVGCIRDLFIDGQS KDIRQMAEIQSTAGVKPSCSKETAKPCLSNPCKNNGMCRDGWNRYVCDCSGTGYLGRSCE REATVLSYDGSMFMKIQLPVVMHTEAEDVSLRFRSQRAYGILMATTSRDSADTLRLELDA GRVKLTVNLDCIRINCNSSKGPETLFAGYNLNDNEWHTVRVVRRGKSLKLTVDDQQAMTG QMAGDHTRLEFHNIETGIITERRYLSSVPSNFIGHLQSLTFNGMAYIDLCKNGDIDYCEL NARFGFRNIIADPVTFKTKSSYVALATLQAYTSMHLFFQFKTTSLDGLILYNSGDGNDFI VVELVKGYLHYVFDLGNGANLIKGSSNKPLNDNQWHNVMISRDTSNLHTVKIDTKITTQI TAGARNLDLKSDLYIGGVAKETYKSLPKLVHAKEGFQGCLASVDLNGRLPDLISDALFCN GQIERGCEGPSTTCQEDSCSNQGVCLQQWDGFSCDCSMTSFSGPLCNDPGTTYIFSKGGG QITYKWPPNDRPSTRADRLAIGFSTVQKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNV GTDDIAIEESNAIINDGKYHVVRFTRSGGNATLQVDSWPVIERYPAGNNDNERLAIARQR IPYRLGRVVDEWLLDKGRQLTIFNSQATIIIGGKEQGQPFQGQLSGLYYNGLKVLNMAAE NDANIAIVGNVRLVGEVPSSMTTESTATAMQSEMSTSIMETTTTLATSTARRGKPPTKEP ISQTTDDILVASAECPSDDEDIDPCEPSSGGLANPTRVGGREPYPGSAEVIRESSSTTGM VVGIVAAAALCILILLYAMYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQPSSAKS ANKNKKNKDKEYYV >ENSMUSP00000146701.1 pep:known chromosome:GRCm38:17:90036853:90088140:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000173222.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MVVGIVAAAALCILILLYAMYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQPSSAK SANKNKKNKDKEYYV >ENSMUSP00000057294.8 pep:known chromosome:GRCm38:17:90036880:91088726:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000054059.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MGTALVQRGGCCLLCLSLLLLGCWAELGSGLEFPGAEGQWTRFPKWNACCESEMSFQLKT RSARGLVLYFDDEGFCDFLELILTRGGRLQLSFSIFCAEPATLLADTPVNDGAWHSVRIR RQFRNTTLYIDRAEAKWVEVKSKRRDMTVFSGLFVGGLPPELRAAALKLTLASVREREPF KGWIRDVRVNSSQALPVDGGEVKLDDEPPNSGGGSPCEAGEEGEGGVCLNGGVCSVVDDQ AVCDCSRTGFRGKDCSQEDNNVEGLAHLMMGDQGKSKGKEEYIATFKGSEYFCYDLSQNP IQSSSDEITLSFKTLQRNGLMLHTGKSADYVNLALKNGAVSLVINLGSGAFEALVEPVNG KFNDNAWHDVKVTRNLRQVTISVDGILTTTGYTQEDYTMLGSDDFFYVGGSPSTADLPGS PVSNNFMGCLKEVVYKNNDVRLELSRLAKQGDPKMKIHGVVAFKCENVATLDPITFETPE SFISLPKWNAKKTGSISFDFRTTEPNGLILFSHGKPRHQKDAKHPQMIKVDFFAIEMLDG HLYLLLDMGSGTIKIKALQKKVNDGEWYHVDFQRDGRSGTISVNTLRTPYTAPGESEILD LDDELYLGGLPENKAGLVFPTEVWTALLNYGYVGCIRDLFIDGQSKDIRQMAEIQSTAGV KPSCSKETAKPCLSNPCKNNGMCRDGWNRYVCDCSGTGYLGRSCEREATVLSYDGSMFMK IQLPVVMHTEAEDVSLRFRSQRAYGILMATTSRDSADTLRLELDAGRVKLTVNLDCIRIN CNSSKGPETLFAGYNLNDNEWHTVRVVRRGKSLKLTVDDQQAMTGQMAGDHTRLEFHNIE TGIITERRYLSSVPSNFIGHLQSLTFNGMAYIDLCKNGDIDYCELNARFGFRNIIADPVT FKTKSSYVALATLQAYTSMHLFFQFKTTSLDGLILYNSGDGNDFIVVELVKGYLHYVFDL GNGANLIKGSSNKPLNDNQWHNVMISRDTSNLHTVKIDTKITTQITAGARNLDLKSDLYI GGVAKETYKSLPKLVHAKEGFQGCLASVDLNGRLPDLISDALFCNGQIERGCEGPSTTCQ EDSCSNQGVCLQQWDGFSCDCSMTSFSGPLCNDPGTTYIFSKGGGQITYKWPPNDRPSTR ADRLAIGFSTVQKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAIEESNAIIN DGKYHVVRFTRSGGNATLQVDSWPVIERYPAGNNDNERLAIARQRIPYRLGRVVDEWLLD KGRQLTIFNSQATIIIGGKEQGQPFQGQLSGLYYNGLKVLNMAAENDANIAIVGNVRLVG EVPSSMTTESTATAMQSEMSTSIMETTTTLATSTARRGKPPTKEPISQTTDDILVASAEC PSDDEDIDPCEPSSGGLANPTRVGGREPYPGSAEVIRESSSTTGMVVGIVAAAALCILIL LYAMYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQPSSAKSANKNKKNKDKEYYV >ENSMUSP00000134402.2 pep:known chromosome:GRCm38:17:90036896:90455950:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000172466.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MYQRMLRCGADLGSPGGGSGGGAGGRLALIWIVPLTLSGLLGVAWGASSLGAHHIHHFHG SSKHHSVPIAIYRSPASLRGGHAGTTYIFSKGGGQITYKWPPNDRPSTRADRLAIGFSTV QKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAIEESNAIINDGKYHVVRFTR SGGNATLQVDSWPVIERYPAGNNDNERLAIARQRIPYRLGRVVDEWLLDKGRQLTIFNSQ ATIIIGGKEQGQPFQGQLSGLYYNGLKVLNMAAENDANIAIVGNVRLVGEVPSSMTTEST ATAMQSEMSTSIMETTTTLATSTARRGKPPTKEPISQTTDDILVASAECPSDDEDIDPCE PSSANPTRVGGREPYPGSAEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGS YHVDESRNYISNSAQSNGAVVKEKQPSSAKSANKNKKNKDKEYYV >ENSMUSP00000124561.2 pep:known chromosome:GRCm38:17:90036896:91092733:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000160800.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] MGTALVQRGGCCLLCLSLLLLGCWAELGSGLEFPGAEGQWTRFPKWNACCESEMSFQLKT RSARGLVLYFDDEGFCDFLELILTRGGRLQLSFSIFCAEPATLLADTPVNDGAWHSVRIR RQFRNTTLYIDRAEAKWVEVKSKRRDMTVFSGLFVGGLPPELRAAALKLTLASVREREPF KGWIRDVRVNSSQALPVDGGEVKLDDEPPNSGGGSPCEAGEEGEGGVCLNGGVCSVVDDQ AVCDCSRTGFRGKDCSQEDNNVEGLAHLMMGDQGKEEYIATFKGSEYFCYDLSQNPIQSS SDEITLSFKTLQRNGLMLHTGKSADYVNLALKNGAVSLVINLGSGAFEALVEPVNGKFND NAWHDVKVTRNLRQVTISVDGILTTTGYTQEDYTMLGSDDFFYVGGSPSTADLPGSPVSN NFMGCLKEVVYKNNDVRLELSRLAKQGDPKMKIHGVVAFKCENVATLDPITFETPESFIS LPKWNAKKTGSISFDFRTTEPNGLILFSHGKPRHQKDAKHPQMIKVDFFAIEMLDGHLYL LLDMGSGTIKIKALQKKVNDGEWYHVDFQRDGRSGTISVNTLRTPYTAPGESEILDLDDE LYLGGLPENKAGLVFPTEVWTALLNYGYVGCIRDLFIDGQSKDIRQMAEIQSTAGVKPSC SKETAKPCLSNPCKNNGMCRDGWNRYVCDCSGTGYLGRSCEREATVLSYDGSMFMKIQLP VVMHTEAEDVSLRFRSQRAYGILMATTSRDSADTLRLELDAGRVKLTVNLDCIRINCNSS KGPETLFAGYNLNDNEWHTVRVVRRGKSLKLTVDDQQAMTGQMAGDHTRLEFHNIETGII TERRYLSSVPSNFIGHLQSLTFNGMAYIDLCKNGDIDYCELNARFGFRNIIADPVTFKTK SSYVALATLQAYTSMHLFFQFKTTSLDGLILYNSGDGNDFIVVELVKGYLHYVFDLGNGA NLIKGSSNKPLNDNQWHNVMISRDTSNLHTVKIDTKITTQITAGARNLDLKSDLYIGGVA KETYKSLPKLVHAKEGFQGCLASVDLNGRLPDLISDALFCNGQIERGCEGPSTTCQEDSC SNQGVCLQQWDGFSCDCSMTSFSGPLCNDPGTTYIFSKGGGQITYKWPPNDRPSTRADRL AIGFSTVQKEAVLVRVDSSSGLGDYLELHIHQGKIGVKFNVGTDDIAIEESNAIINDGKY HVVRFTRSGGNATLQVDSWPVIERYPAGNNDNERLAIARQRIPYRLGRVVDEWLLDKGRQ LTIFNSQATIIIGGKEQGQPFQGQLSGLYYNGLKVLNMAAENDANIAIVGNVRLVGEVPS SMTTESTATAMQSEMSTSIMETTTTLATSTARRGKPPTKEPISQTTDDILVASAECPSDD EDIDPCEPSSGGLANPTRVGGREPYPGSAEVIRESSSTTGMVVGIVAAAALCILILLYAM YKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQPSSAKSANKNKKNKDKEYYV >ENSMUSP00000142621.1 pep:known chromosome:GRCm38:17:90037324:90208422:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000197104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] INDGKYHVVRFTRSGGNATLQVDSWPVIERYPAGRQLTIFNSQATIIIGGKEQGQPFQGQ LSGLYYNGLKVLNMAAENDANIAIVGNVRLVGEVPSSMTTESTATAMQSEMSTSIMETTT TLATSTARRGKPPTKEPISQTTDDILVASAECPSDDEDIDPCEPSSANPTRVGGREPYPG SAEVIR >ENSMUSP00000142891.1 pep:known chromosome:GRCm38:17:90362553:90588693:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000196559.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] XARNLDLKSDLYIGGVAKETYKSLPKLVHAKEGFQGCLASVDLNGRLPDLISDALFCNGQ IERGCEGPSTTCQEDSCSNQGVCLQQWDGFSCDCSMTSFSGPLCNDPGTTYIFSKGGGQI TYKWPPNDRPSTRADRLAIGFSTVQKEAVLVRVDSSSGLGDYLELHIVSNFQ >ENSMUSP00000135241.1 pep:known chromosome:GRCm38:17:90362794:90588842:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000176118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] DLGNGANLIKGSSNKPLNDNQWHNVMISRDTSNLHTVKIDTKITTQITAGARNLDLKSDL YIGGVAKETYKSLPKLVHAKEGFQGCLASVDLNGRLPDLISDALFCNGQIERGCEVALMK ADLQGPSTTCQEDSCSNQGVCLQQWDGFSCDCSMTSFSGPLCNDPGTTYIFSKGGGQITY KWPPNDRPSTRADRLAIGFSTVQKEAVLVRVDSS >ENSMUSP00000135301.2 pep:known chromosome:GRCm38:17:90589916:90630224:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000177342.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] GESEILDLDDELYLGGLPENKAGLVFPTEVWTALLNYGYVGCIRDLFIDGQSKDIRQMAE IQSTAGVKPSCSKETAKPCLSNPCKNNGMCRDGWNRYVCDCSGTGYLGRSCEREATVLSY DGSMFMKIQLPVVMHTEAEDVSLRFRSQRAYGILMATTSRDSADTLRLELDAGRVKLTVN LGKGPETLFAGYNLNDNEWHTVRVVRRGKSLKLTVDDQQAMTGQMAGDHTRLEFHNIETG IITERRYLSSVPSNFIGHLQSLTFNGMAYIDLCKNGDIDYCELNARFGFRNIIADPVTFK TKSSYVALATLQAYTSMHLFFQFKTTS >ENSMUSP00000142650.1 pep:known chromosome:GRCm38:17:90590194:90700774:-1 gene:ENSMUSG00000024109.18 transcript:ENSMUST00000197224.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn1 description:neurexin I [Source:MGI Symbol;Acc:MGI:1096391] XSNNFMGCLKEVVYKNNDVRLELSRLAKQGDPKMKIHGVVAFKCENVATLDPITFETPES FISLPKWNAKKTGSISFDFRTTEPNGLILFSHGKPRHQKDAKHPQMIKVDFFAIEMLDGH LYLLLDMGSGTIKIKALQKKVNDGEWYHVDFQRDGRSGQMAGDHTRLEF >ENSMUSP00000101492.2 pep:known chromosome:GRCm38:4:134510999:134523927:1 gene:ENSMUSG00000078521.2 transcript:ENSMUST00000105866.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aunip description:aurora kinase A and ninein interacting protein [Source:MGI Symbol;Acc:MGI:1917135] MRHRGPEEEACGVWLDAAALKRRKMQTHLIKLGTKMLPLLPGERKPNDPFTQRRGTRQTS IASFVTLQSGMASGGNQKNIFSLKENQTNKECKRTQLDCLDDGLLSPLVTSAPADIQEAG HPRSPQISGCQGLEMSSLTMMSLTQPDVLMGTGESKGPLDSSFSQYLERSCLLDQREAKR KGEGLRESKTDCPGMGSHIRPPGSKCHQPLDKAEMGKRGPTKENRQAPVHLQTYRSGSCS RKKTLLVTESPCPLSLFPWDSERSDRDSWSQLFTEDSQGQQVIAHNTKMPFRDVTNARNQ GSGQFPDSPQAQGQDGPAQLHLQSYLLFTQDSEGNRVIRH >ENSMUSP00000000369.3 pep:known chromosome:GRCm38:2:152626951:152635194:1 gene:ENSMUSG00000000359.3 transcript:ENSMUST00000000369.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rem1 description:rad and gem related GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:1097696] MTLNTQQEAKTTLRRRASTPLPLSSRGHQPGRLCTAPSAPSQHPRLGQSVSLNPPVRKPS PAQDGWSSESSDSEGSWEALYRVVLLGDPGVGKTSLASLFAEKQDRDPHEQLGGVYERTL SVDGEDTTLVVMDTWEAEKLDESWCQESCLQAGSAYVIVYSIADRSSFESASELRIQLRR THQANHVPIILVGNKADLARCREVSVEEGRACAVVFDCKFIETSATLQHNVTELFEGVVR QLRLRRQDNAAPETPSPRRRASLGQRARRFLARLTARSARRRALKARSKSCHNLAVL >ENSMUSP00000119532.1 pep:known chromosome:GRCm38:2:152626953:152628303:1 gene:ENSMUSG00000000359.3 transcript:ENSMUST00000150913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rem1 description:rad and gem related GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:1097696] MTLNTQQEAKTTLRRRASTPLPLSSRGHQPGRLCTAPSAPSQHPRLGQSVSLNPPVRKPS PAQDGWSSE >ENSMUSP00000138710.1 pep:known chromosome:GRCm38:4:154020470:154025616:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000182191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRYSSNRPAAPTDLTSFVQAQPVQV PTAPLSR >ENSMUSP00000138692.1 pep:known chromosome:GRCm38:4:154020588:154025912:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000182151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRISQKLCSGKLGIAMKVLGGVALF WIIFILGYITGYYVHKCK >ENSMUSP00000138733.1 pep:known chromosome:GRCm38:4:154020588:154025966:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000143047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRISQKLCSGKLGIAMKVLGGVALF WIIFILGYITGYYVHKCK >ENSMUSP00000138324.1 pep:known chromosome:GRCm38:4:154021456:154026230:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000125533.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRISQKLCSGKLGIAMKVLGGVALF WIIFILGYITGYYVHKCK >ENSMUSP00000138560.1 pep:known chromosome:GRCm38:4:154021461:154026152:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000126119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRISQKLCSGKLGIAMKVLGGVALF WIIFILGYITGYYVHKCK >ENSMUSP00000138267.1 pep:known chromosome:GRCm38:4:154023310:154025616:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000146543.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRYSSNRPAAPTDLTSFVQAQPVQV PTAPLSR >ENSMUSP00000138675.1 pep:known chromosome:GRCm38:4:154023310:154025867:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000130175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRISQKLCSGKLGIAMKVLGGVALF WIIFILGYITGYYVHKCK >ENSMUSP00000138471.1 pep:known chromosome:GRCm38:4:154023310:154025936:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000132541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRISQKLCSGKLGIAMKVLGGVALF WIIFILGYITGYYVHKCK >ENSMUSP00000138448.1 pep:known chromosome:GRCm38:4:154023311:154024355:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000145527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRISQKLCSGKLGIAMKVLGGVALF WIIFILGYITGYYVHKCK >ENSMUSP00000138605.1 pep:known chromosome:GRCm38:4:154023311:154026150:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000146054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRISQKLCSGKLGIAMKVLGGVALF WIIFILGYITGYYVHKCK >ENSMUSP00000138777.1 pep:known chromosome:GRCm38:4:154023581:154026037:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000131325.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEASCYRRYSSNRPAAPTDLTSFVQAQPVQV PTAPLSR >ENSMUSP00000138306.1 pep:known chromosome:GRCm38:4:154023699:154025663:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000146426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTAMSSSEEAS >ENSMUSP00000138127.1 pep:known chromosome:GRCm38:4:154023724:154024323:-1 gene:ENSMUSG00000078350.11 transcript:ENSMUST00000139569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim1 description:small integral membrane protein 1 [Source:MGI Symbol;Acc:MGI:1916109] MQSQESGVHYSRWDSSSRDEVSMTA >ENSMUSP00000070991.5 pep:known chromosome:GRCm38:9:99575799:99584501:1 gene:ENSMUSG00000032469.12 transcript:ENSMUST00000066650.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbr1 description:debranching RNA lariats 1 [Source:MGI Symbol;Acc:MGI:1931520] MRVAVAGCCHGELDKIYETLALAERRGSGPVDLLLCCGDFQAVRNEADLRCMAVPPKYRH MQTFYRYYSGEKKAPVLTIFIGGNHEASNHLQELPYGGWVAPNIYYLGLAGVVKYRGVRI GGISGIFKSHDYRKGHFECPPYNSSTIRSIYHVRNIEVYKLKQLKQPVHIFLSHDWPRNI YHYGNKKQLLKTKSFFRQEVENSTLGSPAASELLEHLQPAYWFSAHLHVKFAALMQHQAT DKDQAGKETKFLALDKCLPHRDFLQVLEIEHDPSAPEYLEYDVEWLTVLRATDDLINVTG GLWNMPEDNGLHTRWDYSATEETMKEVMEKLNHDPKVPCNFTMTAACYDPSKPQTQVKLV HRINPQTTEFCAQLGITDINVMIQKAREEEHHQCGEYEQQGDPGTEESEEDRSEYNTDTS ALSSINPDEIMLDEEEEEEEEEEEAVSAHSDMNTPSVEPASDQASDLSTSFSDIRNLPSS MFVSSDDASRSPASGEGKCGETVESGDEKDLAKFPLKRLSDEHEPEQRKKIKRRNQAIYA AVDDGDASAE >ENSMUSP00000115074.1 pep:known chromosome:GRCm38:9:99575828:99582441:1 gene:ENSMUSG00000032469.12 transcript:ENSMUST00000148987.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbr1 description:debranching RNA lariats 1 [Source:MGI Symbol;Acc:MGI:1931520] MRVAVAGCCHGELDKIYETLALAERRGSGPVDLLLCCGDFQAVRNEADLRCMAVPPKYRH MQTFYRYYSGEKKAPVLTIFIGGNHEASNHLQELPYGGWVAPNIYYLGLAGVVKYRGVRI GGISGIFKSHDYRKGHFECPPYNSSTIRSIYHVRNIEVYKLKQATDKDQAGKETKFLALD KCLPHRDFLQVLEIEHDPSAPEYLEYDVEWLTVLRATDDLINVTGGLWNMP >ENSMUSP00000115978.1 pep:known chromosome:GRCm38:9:99576080:99582049:1 gene:ENSMUSG00000032469.12 transcript:ENSMUST00000156035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbr1 description:debranching RNA lariats 1 [Source:MGI Symbol;Acc:MGI:1931520] XMAVPPKYRHMQTFYRYYSGEKKAPVLTIFIGGNHEASNHLQELPYGGWVAPNIYYLGLA GVVKYRGVRIGGISGIFKSHDYRKGHFECPPYNSSTIRSIYHVRNIEVYKLKQKWKTAPW GAPLPRSCWSTYSLRTGSPRTCMSSSQP >ENSMUSP00000119924.1 pep:known chromosome:GRCm38:9:99576108:99582058:1 gene:ENSMUSG00000032469.12 transcript:ENSMUST00000138002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbr1 description:debranching RNA lariats 1 [Source:MGI Symbol;Acc:MGI:1931520] XMQTFYRYYSGEKKAPVLTIFIGGNHEASNHLQELPYGGWVAPNIYYLGHFECPPYNSST IRSIYHVRNIEVYKLKQLKQPVHIFLSHDWPRNIYHYGNKKQLLKTKSFFRQEVENSTLG SPAASELLEHLQPAYWFSAHLHVKFAALMQHQATDKDQAGKETK >ENSMUSP00000115203.1 pep:known chromosome:GRCm38:9:99580202:99583838:1 gene:ENSMUSG00000032469.12 transcript:ENSMUST00000139796.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dbr1 description:debranching RNA lariats 1 [Source:MGI Symbol;Acc:MGI:1931520] NKKQLLKTKSFFRQEVENSTLGSPAASELLEHLQPAYWFSAHLHVKFAALMQHQATDKDQ AGKETKFLALDKCLPHRDFLQGH >ENSMUSP00000114670.1 pep:known chromosome:GRCm38:9:99580297:99582689:1 gene:ENSMUSG00000032469.12 transcript:ENSMUST00000136884.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbr1 description:debranching RNA lariats 1 [Source:MGI Symbol;Acc:MGI:1931520] XLQPAYWFSAHLHVKFAALMQHQATDKDQAGKETKFLALDKCLPHRDFLQVLEIEHDPSA PEYLEYDVEWLTVLRATDDLINVTGGLWNMPEDNGLHTRCVCSLRACLLPCMCSVVSHLC CVLIPEEFACLQNGGRSKVAMVLGSEHLASF >ENSMUSP00000102062.1 pep:known chromosome:GRCm38:11:116021912:116027962:-1 gene:ENSMUSG00000016559.14 transcript:ENSMUST00000106454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H3f3b description:H3 histone, family 3B [Source:MGI Symbol;Acc:MGI:1101768] MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000016703.7 pep:known chromosome:GRCm38:11:116021961:116024504:-1 gene:ENSMUSG00000016559.14 transcript:ENSMUST00000016703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H3f3b description:H3 histone, family 3B [Source:MGI Symbol;Acc:MGI:1101768] MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTE LLIRKLPFQRLVREIAQDFKTDLRFQSAAIGALQEASEAYLVGLFEDTNLCAIHAKRVTI MPKDIQLARRIRGERA >ENSMUSP00000124680.1 pep:known chromosome:GRCm38:1:59256906:59352993:1 gene:ENSMUSG00000026023.16 transcript:ENSMUST00000160662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk15 description:cyclin-dependent kinase 15 [Source:MGI Symbol;Acc:MGI:3583944] MGQELCAKRLQPGCSCYHRSEGGEAHSCQRSQPGSTEPAVFEVLLTEASSSTASFHPRGL EAASAQKLKSKRPRSNSDSFQEENLRQGLPWKKSLPFGAASSYLNLEKLGEGSYAKVYKG ISRINGQLVALKVISMNAEEGVPFTAIREASLLKGLKHANIVLLHDIVHTKETLTFVFEY MHTDLAQYMSQHPGGLHPHNVRLFMFQLLRGLAYIHHQRVLHRDLKPQNLLLSHLGELKL ADFGLARAKSIPSQTYSSEVVTLWYRPPDALLGATEYSSELDIWGAGCIFIEMFQGQPLF PGVSNILEQLEKIWEVLGVPTEDTWPGVSKLPNYNPEWFPPPKPQSLQIVWDRLGGVPEA EDLASQMLKGFPRDRVSAQEALVHDYFSVLPSQLYQLPDEESLFAVSGVKLKPEMCDLSA SYRKRHHLVGVNKCW >ENSMUSP00000109886.2 pep:known chromosome:GRCm38:1:59256907:59352369:1 gene:ENSMUSG00000026023.16 transcript:ENSMUST00000114248.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk15 description:cyclin-dependent kinase 15 [Source:MGI Symbol;Acc:MGI:3583944] MGQELCAKRLQPGCSCYHRSEGGEAHSCQRSQPGSTEPAVFELTEASSSTASFHPRGLEA ASAQKLKSKRPRSNSDSFQEENLRQGLPWKKSLPFGAASSYLNLEKLGEGSYAKVYKGIS RINGQLVALKVISMNAEEGVPFTAIREASLLKGLKHANIVLLHDIVHTKETLTFVFEYMH TDLAQYMSQHPGGLHPHNVRLFMFQLLRGLAYIHHQRVLHRDLKPQNLLLSHLGELKLAD FGLARAKSIPSQTYSSEVVTLWYRPPDALLGATEYSSELDIWGAGCIFIEMFQGQPLFPG VSNILEQLEKIWEVLGVPTEDTWPGVSKLPNYNPEWFPPPKPQSLQIVWDRLGGVPEAED LASQMLKGFPRDRVSAQEALVHDYFSVLPSQLYQLPDEESLFAVSGVKLKPEMCDLSASY RKRHHLVGVNKCW >ENSMUSP00000112453.1 pep:known chromosome:GRCm38:16:91647506:91679207:1 gene:ENSMUSG00000022961.17 transcript:ENSMUST00000117633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Son description:Son DNA binding protein [Source:MGI Symbol;Acc:MGI:98353] MAADIEQVFRSFVVSKFREIQQELSSGRSEGQLNGETNPPIEGNQAGDTAASARSLPNEE IVQKIEEVLSGVLDTELRYKPDLKEASRKSRCVSVQTDPTDEVPTKKSKKHKKHKNKKKK KKKEKEKKYKRQPEESESKLKSHHDGNLESDSFLKFDSEPSAAALEHPVRAFGLSEASET ALVLEPPVVSMEVQESHVLETLKPATKAAELSVVSTSVISEQSEQPMPGMLEPSMTKILD SFTAAPVPMSTAALKSPEPVVTMSVEYQKSVLKSLETMPPETSKTTLVELPIAKVVEPSE TLTIVSETPTEVHPEPSPSTMDFPESSTTDVQRLPEQPVEAPSEIADSSMTRPQESLELP KTTAVELQESTVASALELPGPPATSILELQGPPVTPVPELPGPSATPVPELSGPLSTPVP ELPGPPATVVPELPGPSVTPVPQLSQELPGPPAPSMGLEPPQEVPEPPVMAQELSGVPAV SAAIELTGQPAVTVAMELTEQPVTTTEFEQPVAMTTVEHPGHPEVTTATGLLGQPEAAMV LELPGQPVATTALELSGQPSVTGVPELSGLPSATRALELSGQSVATGALELPGQLMATGA LEFSGQSGAAGALELLGQPLATGVLELPGQPGAPELPGQPVATVALEISVQSVVTTSELS TMTVSQSLEVPSTTALESYNTVAQELPTTLVGETSVTVGVDPLMAQESHMLASNTMETHM LASNTMDSQMLASNTMDSQMLASNTMDSQMLASSTMDSQMLASSTMDSQMLATSTMDSQM LATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQM LATSSMDSQMLATSSMDSQMLASGAMDSQMLASGTMDAQMLASGTMDAQMLASSTQDSAM MGSKSPDPYRLAQDPYRLAQDPYRLGHDPYRLGHDAYRLGQDPYRLGHDPYRLTPDPYRV SPRPYRIAPRSYRIAPRPYRLAPRPLMLASRRSMMMSYAAERSMMSSYERSMMSYERSMM SPMAERSMMSAYERSMMSAYERSMMSPMAERSMMSAYERSMMSAYERSMMSPMADRSMMS MGADRSMMSSYSAADRSMMSSYSAADRSMMSSYTDRSMMSMAADSYTDSYTDSYTEAYMV PPLPPEEPPTMPPLPPEEPPMTPPLPPEEPPEGPALSTEQSALTADNTWSTEVTLSTGES LSQPEPPVSQSEISEPMAVPANYSMSESETSMLASEAVMTVPEPAREPESSVTSAPVESA VVAEHEMVPERPMTYMVSETTMSVEPAVLTSEASVISETSETYDSMRPSGHAISEVTMSL LEPAVTISQPAENSLELPSMTVPAPSTMTTTESPVVAVTEIPPVAVPEPPIMAVPELPTM AVVKTPAVAVPEPLVAAPEPPTMATPELCSLSVSEPPVAVSELPALADPEHAITAVSGVS SLEPSVPILEPAVSVLQPVMIVSEPSVPVQEPTVAVSEPAVIVSEHTQITSPEMAVESSP VIVDSSVMSSQIMKGMNLLGGDENLGPEVGMQETLLHPGEEPRDGGHLKSDLYENEYDRN ADLTVNSHLIVKDAEHNTVCATTVGPVGEASEEKILPISETKEITELATCAAVSEADIGR SLSSQLALELDTVGTSKGFEFVTASALISESKYDVEVSVTTQDTEHDMVISTSPSGGSEA DIEGPLPAKDIHLDLPSTNFVCKDVEDSLPIKESAQAVAVALSPKESSEDTEVPLPNKEI VPESGYSASIDEINEADLVRPLLPKDMERLTSLRAGIEGPLLASEVERDKSAASPVVISI PERASESSSEEKDDYEIFVKVKDTHEKSKKNKNRDKGEKEKKRDSSLRSRSKRSKSSEHK SRKRTSESRSRARKRSSKSKSHRSQTRSRSRSRRRRRSSRSRSKSRGRRSVSKEKRKRSP KHRSKSRERKRKRSSSRDNRKAARARSRTPSRRSRSHTPSRRRRSRSVGRRRSFSISPSR RSRTPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRRRSRSAVRRRSFSISPVRLRRSRT PLRRRFSRSPIRRKRSRSSERGRSPKRLTDLDKAQLLEIAKANAAAMCAKAGVPLPPNLK PAPPPTIEEKVAKKSGGATIEELTEKCKQIAQSKEDDDVIVNKPHVSDEEEEEPPFYHHP FKLSEPKPIFFNLNIAAAKPTPPKSQVTLTKEFPVSSGSQHRKKEADSVYGEWVPVEKNG EESKDDDNVFSSSLPSEPVDISTAMSERALAQKRLSENAFDLEAMSMLNRAQERIDAWAQ LNSIPGQFTGSTGVQVLTQEQLANTGAQAWIKKDQFLRAAPVTGGMGAVLMRKMGWREGE GLGKNKEGNKEPILVDFKTDRKGLVAVGERAQKRSGNFSAAMKDLSGKHPVSALMEICNK RRWQPPEFLLVHDSGPDHRKHFLFRVLINGSAYQPSFASPNKKHAKATAATVVLQAMGLV PKDLMANATCFRSASRR >ENSMUSP00000113129.1 pep:known chromosome:GRCm38:16:91647879:91665708:1 gene:ENSMUSG00000022961.17 transcript:ENSMUST00000119368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Son description:Son DNA binding protein [Source:MGI Symbol;Acc:MGI:98353] MAADIEQVFRSFVVSKFREIQQELSSGRSEGQLNGETNPPIEGNQAGDTAASARSLPNEE IVQKIEEVLSGVLDTELRYKPDLKEASRKSRCVSVQTDPTDEVPTKKSKKHKKHKNKKKK KKKEKEKKYKRQPEESESKLKSHHDGNLESDSFLKFDSEPSAAALEHPVRAFGLSEASET ALVLEPPVVSMEVQESHVLETLKPATKAAELSVVSTSVISEQSEQPMPGMLEPSMTKILD SFTAAPVPMSTAALKSPEPVVTMSVEYQKSVLKSLETMPPETSKTTLVELPIAKVVEPSE TLTIVSETPTEVHPEPSPSTMDFPESSTTDVQRLPEQPVEAPSEIADSSMTRPQESLELP KTTAVELQESTVASALELPGPPATSILELQGPPVTPVPELPGPSATPVPELSGPLSTPVP ELPGPPATVVPELPGPSVTPVPQLSQELPGPPAPSMGLEPPQEVPEPPVMAQELSGVPAV SAAIELTGQPAVTVAMELTEQPVTTTEFEQPVAMTTVEHPGHPEVTTATGLLGQPEAAMV LELPGQPVATTALELSGQPSVTGVPELSGLPSATRALELSGQSVATGALELPGQLMATGA LEFSGQSGAAGALELLGQPLATGVLELPGQPGAPELPGQPVATVALEISVQSVVTTSELS TMTVSQSLEVPSTTALESYNTVAQELPTTLVGETSVTVGVDPLMAQESHMLASNTMETHM LASNTMDSQMLASNTMDSQMLASNTMDSQMLASSTMDSQMLASSTMDSQMLATSTMDSQM LATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQM LATSSMDSQMLATSSMDSQMLASGAMDSQMLASGTMDAQMLASGTMDAQMLASSTQDSAM MGSKSPDPYRLAQDPYRLAQDPYRLGHDPYRLGHDAYRLGQDPYRLGHDPYRLTPDPYRV SPRPYRIAPRSYRIAPRPYRLAPRPLMLASRRSMMMSYAAERSMMSSYERSMMSYERSMM SPMAERSMMSAYERSMMSAYERSMMSPMAERSMMSAYERSMMSAYERSMMSPMADRSMMS MGADRSMMSSYSAADRSMMSSYSAADRSMMSSYTDRSMMSMAADSYTDSYTDSYTEAYMV PPLPPEEPPTMPPLPPEEPPMTPPLPPEEPPEGPALSTEQSALTADNTWSTEVTLSTGES LSQPEPPVSQSEISEPMAVPANYSMSESETSMLASEAVMTVPEPAREPESSVTSAPVESA VVAEHEMVPERPMTYMVSETTMSVEPAVLTSEASVISETSETYDSMRPSGHAISEVTMSL LEPAVTISQPAENSLELPSMTVPAPSTMTTTESPVVAVTEIPPVAVPEPPIMAVPELPTM AVVKTPAVAVPEPLVAAPEPPTMATPELCSLSVSEPPVAVSELPALADPEHAITAVSGVS SLEPSVPILEPAVSVLQPVMIVSEPSVPVQEPTVAVSEPAVIVSEHTQITSPEMAVESSP VIVDSSVMSSQIMKGMNLLGGDENLGPEVGMQETLLHPGEEPRDGGHLKSDLYENEYDRN ADLTVNSHLIVKDAEHNTVCATTVGPVGEASEEKILPISETKEITELATCAAVSEADIGR SLSSQLALELDTVGTSKGFEFVTASALISESKYDVEVSVTTQDTEHDMVISTSPSGGSEA DIEGPLPAKDIHLDLPSTNFVCKDVEDSLPIKESAQAVAVALSPKESSEDTEVPLPNKEI VPESGYSASIDEINEADLVRPLLPKDMERLTSLRAGIEGPLLASEVERDKSAASPVVISI PERASESSSEEKDDYEIFVKVKDTHEKSKKNKNRDKGEKEKKRDSSLRSRSKRSKSSEHK SRKRTSESRSRARKRSSKSKSHRSQTRSRSRSRRRRRSSRSRSKSRGRRSVSKEKRKRSP KHRSKSRERKRKRSSSRDNRKAARARSRTPSRRSRSHTPSRRRRSRSVGRRRSFSISPSR RSRTPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRRRSRSAVRRRSFSISPVRLRRSRT PLRRRFSRSPIRRKRSRSSERGRSPKRLTDLDKAQLLEIAKANAAAMCAKAGVPLPPNLK PAPPPTIEEKVAKKSGGATIEELTEKCKQIAQSKEDDDVIVNKPHVSDEEEEEPPFYHHP FKLSEPKPIFFNLNIAAAKPTPPKSQVTLTKEFPVSSGSQHRKKEADSVYGEWVPVEKNG EESKDDDNVFSSSLPSEGRVKRQGRVKRQMKQPAASHLTVTRCNSLCGTKPQSEKHRIAE KSVITSLPNIGPSMHLWEGSPRYNYLASRFASRLYSSRFWW >ENSMUSP00000122320.1 pep:known chromosome:GRCm38:16:91647879:91679195:1 gene:ENSMUSG00000022961.17 transcript:ENSMUST00000140312.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Son description:Son DNA binding protein [Source:MGI Symbol;Acc:MGI:98353] MAADIEQVFRSFVVSKFREIQQELSSGRSEGQLNGETNPPIEGNQAGDTAASARSLPNEE IVQKIEEVLSGVLDTELRYKPDLKEASRKSRCVSVQTDPTDEVPTKKSKKHKKHKNKKKK KKKEKEKKYKRQPEESESKLKSHHDGNLESDSFLKFDSEPSAAALEHPVRAFGLSEASET ALVLEPPVVSMEVQESHVLETLKPATKAAELSVVSTSVISEQSEQPMPGMLEPSMTKILD SFTAAPVPMSTAALKSPEPVVTMSVEYQKSVLKSLETMPPETSKTTLVELPIAKVVEPSE TLTIVSETPTEVHPEPSPSTMDFPESSTTDVQRLPEQPVEAPSEIADSSMTRPQESLELP KTTAVELQESTVASALELPGPPATSILELQGPPVTPVPELPGPSATPVPELSGPLSTPVP ELPGPPATVVPELPGPSVTPVPQLSQELPGPPAPSMGLEPPQEVPEPPVMAQELSGVPAV SAAIELTGQPAVTVAMELTEQPVTTTEFEQPVAMTTVEHPGHPEVTTATGLLGQPEAAMV LELPGQPVATTALELSGQPSVTGVPELSGLPSATRALELSGQSVATGALELPGQLMATGA LEFSGQSGAAGALELLGQPLATGVLELPGQPGAPELPGQPVATVALEISVQSVVTTSELS TMTVSQSLEVPSTTALESYNTVAQELPTTLVGETSVTVGVDPLMAQESHMLASNTMETHM LASNTMDSQMLASNTMDSQMLASNTMDSQMLASSTMDSQMLASSTMDSQMLATSTMDSQM LATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQM LATSSMDSQMLATSSMDSQMLASGAMDSQMLASGTMDAQMLASGTMDAQMLASSTQDSAM MGSKSPDPYRLAQDPYRLAQDPYRLGHDPYRLGHDAYRLGQDPYRLGHDPYRLTPDPYRV SPRPYRIAPRSYRIAPRPYRLAPRPLMLASRRSMMMSYAAERSMMSSYERSMMSYERSMM SPMAERSMMSAYERSMMSAYERSMMSPMAERSMMSAYERSMMSAYERSMMSPMADRSMMS MGADRSMMSSYSAADRSMMSSYSAADRSMMSSYTDRSMMSMAADSYTDSYTDSYTEAYMV PPLPPEEPPTMPPLPPEEPPMTPPLPPEEPPEGPALSTEQSALTADNTWSTEVTLSTGES LSQPEPPVSQSEISEPMAVPANYSMSESETSMLASEAVMTVPEPAREPESSVTSAPVESA VVAEHEMVPERPMTYMVSETTMSVEPAVLTSEASVISETSETYDSMRPSGHAISEVTMSL LEPAVTISQPAENSLELPSMTVPAPSTMTTTESPVVAVTEIPPVAVPEPPIMAVPELPTM AVVKTPAVAVPEPLVAAPEPPTMATPELCSLSVSEPPVAVSELPALADPEHAITAVSGVS SLEPSVPILEPAVSVLQPVMIVSEPSVPVQEPTVAVSEPAVIVSEHTQITSPEMAVESSP VIVDSSVMSSQIMKGMNLLGGDENLGPEVGMQETLLHPGEEPRDGGHLKSDLYENEYDRN ADLTVNSHLIVKDAEHNTVCATTVGPVGEASEEKILPISETKEITELATCAAVSEADIGR SLSSQLALELDTVGTSKGFEFVTASALISESKYDVEVSVTTQDTEHDMVISTSPSGGSEA DIEGPLPAKDIHLDLPSTNFVCKDVEDSLPIKESAQAVAVALSPKESSEDTEVPLPNKEI VPESGYSASIDEINEADLVRPLLPKDMERLTSLRAGIEGPLLASEVERDKSAASPVVISI PERASESSSEEKDDYEIFVKVKDTHEKSKKNKNRDKGEKEKKRDSSLRSRSKRSKSSEHK SRKRTSESRSRARKRSSKSKSHRSQTRSRSRSRRRRRSSRSRSKSRGRRSVSKEKRKRSP KHRSKSRERKRKRSSSRDNRKAARARSRTPSRRSRSHTPSRRRRSRSVGRRRSFSISPSR RSRTPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRRRSRSAVRRRSFSISPVRLRRSRT PLRRRFSRSPIRRKRSRSSERGRSPKRLTDLDKAQLLEIAKANAAAMCAKAGVPLPPNLK PAPPPTIEEKVAKKSGGATIEELTEKCKQIAQSKEDDDVIVNKPHVSDEEEEEPPFYHHP FKLSEPKPIFFNLNIAAAKPTPPKSQVTLTKEFPVSSGSQHRKKEADSVYGEWVPVEKNG EESKDDDNVFSSSLPSEPVDISTAMSERALAQKRLSENAFDLEAMSMLNRAQERIDAWAQ LNSIPGQFTGSTGVQVLTQEQLANTGAQAWIKKGQILVAVFLPRSVPAVLFTTLLLPRPR ISS >ENSMUSP00000109671.2 pep:known chromosome:GRCm38:16:91647879:91679195:1 gene:ENSMUSG00000022961.17 transcript:ENSMUST00000114037.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Son description:Son DNA binding protein [Source:MGI Symbol;Acc:MGI:98353] MAADIEQVFRSFVVSKFREIQQELSSGRSEGQLNGETNPPIEGNQAGDTAASARSLPNEE IVQKIEEVLSGVLDTELRYKPDLKEASRKSRCVSVQTDPTDEVPTKKSKKHKKHKNKKKK KKKEKEKKYKRQPEESESKLKSHHDGNLESDSFLKFDSEPSAAALEHPVRAFGLSEASET ALVLEPPVVSMEVQESHVLETLKPATKAAELSVVSTSVISEQSEQPMPGMLEPSMTKILD SFTAAPVPMSTAALKSPEPVVTMSVEYQKSVLKSLETMPPETSKTTLVELPIAKVVEPSE TLTIVSETPTEVHPEPSPSTMDFPESSTTDVQRLPEQPVEAPSEIADSSMTRPQESLELP KTTAVELQESTVASALELPGPPATSILELQGPPVTPVPELPGPSATPVPELSGPLSTPVP ELPGPPATVVPELPGPSVTPVPQLSQELPGPPAPSMGLEPPQEVPEPPVMAQELSGVPAV SAAIELTGQPAVTVAMELTEQPVTTTEFEQPVAMTTVEHPGHPEVTTATGLLGQPEAAMV LELPGQPVATTALELSGQPSVTGVPELSGLPSATRALELSGQSVATGALELPGQLMATGA LEFSGQSGAAGALELLGQPLATGVLELPGQPGAPELPGQPVATVALEISVQSVVTTSELS TMTVSQSLEVPSTTALESYNTVAQELPTTLVGETSVTVGVDPLMAQESHMLASNTMETHM LASNTMDSQMLASNTMDSQMLASNTMDSQMLASSTMDSQMLASSTMDSQMLATSTMDSQM LATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQM LATSSMDSQMLATSSMDSQMLASGAMDSQMLASGTMDAQMLASGTMDAQMLASSTQDSAM MGSKSPDPYRLAQDPYRLAQDPYRLGHDPYRLGHDAYRLGQDPYRLGHDPYRLTPDPYRV SPRPYRIAPRSYRIAPRPYRLAPRPLMLASRRSMMMSYAAERSMMSSYERSMMSYERSMM SPMAERSMMSAYERSMMSAYERSMMSPMAERSMMSAYERSMMSAYERSMMSPMADRSMMS MGADRSMMSSYSAADRSMMSSYSAADRSMMSSYTDRSMMSMAADSYTDSYTDSYTEAYMV PPLPPEEPPTMPPLPPEEPPMTPPLPPEEPPEGPALSTEQSALTADNTWSTEVTLSTGES LSQPEPPVSQSEISEPMAVPANYSMSESETSMLASEAVMTVPEPAREPESSVTSAPVESA VVAEHEMVPERPMTYMVSETTMSVEPAVLTSEASVISETSETYDSMRPSGHAISEVTMSL LEPAVTISQPAENSLELPSMTVPAPSTMTTTESPVVAVTEIPPVAVPEPPIMAVPELPTM AVVKTPAVAVPEPLVAAPEPPTMATPELCSLSVSEPPVAVSELPALADPEHAITAVSGVS SLEPSVPILEPAVSVLQPVMIVSEPSVPVQEPTVAVSEPAVIVSEHTQITSPEMAVESSP VIVDSSVMSSQIMKGMNLLGGDENLGPEVGMQETLLHPGEEPRDGGHLKSDLYENEYDRN ADLTVNSHLIVKDAEHNTVCATTVGPVGEASEEKILPISETKEITELATCAAVSEADIGR SLSSQLALELDTVGTSKGFEFVTASALISESKYDVEVSVTTQDTEHDMVISTSPSGGSEA DIEGPLPAKDIHLDLPSTNFVCKDVEDSLPIKESAQAVAVALSPKESSEDTEVPLPNKEI VPESGYSASIDEINEADLVRPLLPKDMERLTSLRAGIEGPLLASEVERDKSAASPVVISI PERASESSSEEKDDYEIFVKVKDTHEKSKKNKNRDKGEKEKKRDSSLRSRSKRSKSSEHK SRKRTSESRSRARKRSSKSKSHRSQTRSRSRSRRRRRSSRSRSKSRGRRSVSKEKRKRSP KHRSKSRERKRKRSSSRDNRKAARARSRTPSRRSRSHTPSRRRRSRSVGRRRSFSISPSR RSRTPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRRRSRSAVRRRSFSISPVRLRRSRT PLRRRFSRSPIRRKRSRSSERGRSPKRLTDLDKAQLLEIAKANAAAMCAKAGVPLPPNLK PAPPPTIEEKVAKKSGGATIEELTEKCKQIAQSKEDDDVIVNKPHVSDEEEEEPPFYHHP FKLSEPKPIFFNLNIAAAKPTPPKSQVTLTKEFPVSSGSQHRKKEADSVYGEWVPVEKNG EESKDDDNVFSSSLPSEPVDISTAMSERALAQKRLSENAFDLEAMSMLNRAQERIDAWAQ LNSIPGQFTGSTGVQVLTQEQLANTGAQAWIKKDQFLRAAPVTGGMGAVLMRKMGWREGE GLGKNKEGNKEPILVDFKTDRKGLVAVGERAQKRSGNFSAAMKDLSGKHPVSALMEICNK RRWQPPEFLLVHDSGPDHRKHFLFRVLRNGSPYQPNCMFFLNRY >ENSMUSP00000109670.2 pep:known chromosome:GRCm38:16:91647880:91664033:1 gene:ENSMUSG00000022961.17 transcript:ENSMUST00000114036.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Son description:Son DNA binding protein [Source:MGI Symbol;Acc:MGI:98353] MAADIEQVFRSFVVSKFREIQQELSSGRSEGQLNGETNPPIEGNQAGDTAASARSLPNEE IVQKIEEVLSGVLDTELRYKPDLKEASRKSRCVSVQTDPTDEVPTKKSKKHKKHKNKKKK KKKEKEKKYKRQPEESESKLKSHHDGNLESDSFLKFDSEPSAAALEHPVRAFGLSEASET ALVLEPPVVSMEVQESHVLETLKPATKAAELSVVSTSVISEQSEQPMPGMLEPSMTKILD SFTAAPVPMSTAALKSPEPVVTMSVEYQKSVLKSLETMPPETSKTTLVELPIAKVVEPSE TLTIVSETPTEVHPEPSPSTMDFPESSTTDVQRLPEQPVEAPSEIADSSMTRPQESLELP KTTAVELQESTVASALELPGPPATSILELQGPPVTPVPELPGPSATPVPELSGPLSTPVP ELPGPPATVVPELPGPSVTPVPQLSQELPGPPAPSMGLEPPQEVPEPPVMAQELSGVPAV SAAIELTGQPAVTVAMELTEQPVTTTEFEQPVAMTTVEHPGHPEVTTATGLLGQPEAAMV LELPGQPVATTALELSGQPSVTGVPELSGLPSATRALELSGQSVATGALELPGQLMATGA LEFSGQSGAAGALELLGQPLATGVLELPGQPGAPELPGQPVATVALEISVQSVVTTSELS TMTVSQSLEVPSTTALESYNTVAQELPTTLVGETSVTVGVDPLMAQESHMLASNTMETHM LASNTMDSQMLASNTMDSQMLASNTMDSQMLASSTMDSQMLASSTMDSQMLATSTMDSQM LATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQMLATSSMDSQM LATSSMDSQMLATSSMDSQMLASGAMDSQMLASGTMDAQMLASGTMDAQMLASSTQDSAM MGSKSPDPYRLAQDPYRLAQDPYRLGHDPYRLGHDAYRLGQDPYRLGHDPYRLTPDPYRV SPRPYRIAPRSYRIAPRPYRLAPRPLMLASRRSMMMSYAAERSMMSSYERSMMSYERSMM SPMAERSMMSAYERSMMSAYERSMMSPMAERSMMSAYERSMMSAYERSMMSPMADRSMMS MGADRSMMSSYSAADRSMMSSYSAADRSMMSSYTDRSMMSMAADSYTDSYTDSYTEAYMV PPLPPEEPPTMPPLPPEEPPMTPPLPPEEPPEGPALSTEQSALTADNTWSTEVTLSTGES LSQPEPPVSQSEISEPMAVPANYSMSESETSMLASEAVMTVPEPAREPESSVTSAPVESA VVAEHEMVPERPMTYMVSETTMSVEPAVLTSEASVISETSETYDSMRPSGHAISEVTMSL LEPAVTISQPAENSLELPSMTVPAPSTMTTTESPVVAVTEIPPVAVPEPPIMAVPELPTM AVVKTPAVAVPEPLVAAPEPPTMATPELCSLSVSEPPVAVSELPALADPEHAITAVSGVS SLEPSVPILEPAVSVLQPVMIVSEPSVPVQEPTVAVSEPAVIVSEHTQITSPEMAVESSP VIVDSSVMSSQIMKGMNLLGGDENLGPEVGMQETLLHPGEEPRDGGHLKSDLYENEYDRN ADLTVNSHLIVKDAEHNTVCATTVGPVGEASEEKILPISETKEITELATCAAVSEADIGR SLSSQLALELDTVGTSKGFEFVTASALISESKYDVEVSVTTQDTEHDMVISTSPSGGSEA DIEGPLPAKDIHLDLPSTNFVCKDVEDSLPIKESAQAVAVALSPKESSEDTEVPLPNKEI VPESGYSASIDEINEADLVRPLLPKDMERLTSLRAGIEGPLLASEVERDKSAASPVVISI PERASESSSEEKDDYEIFVKVKDTHEKSKKNKNRDKGEKEKKRDSSLRSRSKRSKSSEHK SRKRTSESRSRARKRSSKSKSHRSQTRSRSRSRRRRRSSRSRSKSRGRRSVSKEKRKRSP KHRSKSRERKRKRSSSRDNRKAARARSRTPSRRSRSHTPSRRRRSRSVGRRRSFSISPSR RSRTPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRRRSRSAVRRRSFSISPVRLRRSRT PLRRRFSRSPIRRKRSRSSERGRSPKRLTDLDKAQLLEIAKANAAAMCAKAGVPLPPNLK PAPPPTIEEKVAKKSGGATIEELTEF >ENSMUSP00000113615.1 pep:known chromosome:GRCm38:16:91647885:91679221:1 gene:ENSMUSG00000022961.17 transcript:ENSMUST00000122302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Son description:Son DNA binding protein [Source:MGI Symbol;Acc:MGI:98353] MAADIEQVFRSFVVSKFREIQQELSSGRSEGQLNGETNPPIEGNQAGDTAASARSLPNEE IVQKIEEVLSGVLDTELRYKPDKAQLLEIAKANAAAMCAKAGVPLPPNLKPAPPPTIEEK VAKKSGGATIEELTEKCKQIAQSKEDDDVIVNKPHVSDEEEEEPPFYHHPFKLSEPKPIF FNLNIAAAKPTPPKSQVTLTKEFPVSSGSQHRKKEADSVYGEWVPVEKNGEESKDDDNVF SSSLPSEPVDISTAMSERALAQKRLSENAFDLEAMSMLNRAQERIDAWAQLNSIPGQFTG STGVQVLTQEQLANTGAQAWIKKDQFLRAAPVTGGMGAVLMRKMGWREGEGLGKNKEGNK EPILVDFKTDRKGLVAVGERAQKRSGNFSAAMKDLSGKHPVSALMEICNKRRWQPPEFLL VHDSGPDHRKHFLFRVLRNGSPYQPNCMFFLNRY >ENSMUSP00000122544.1 pep:known chromosome:GRCm38:16:91659943:91679192:1 gene:ENSMUSG00000022961.17 transcript:ENSMUST00000147891.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Son description:Son DNA binding protein [Source:MGI Symbol;Acc:MGI:98353] XKSRKRTSESRSRARKRSSKSKSHRSQTRSRSRSRRRRRSSRSRSKSRGRRSVSKEKRKR SPKHRSKSRERKRKRSSSRDNRKAARARSRTPSRRSRSHTPSRRRRSRSVGRRRSFSISP SRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRSRTPSRRRRSRSAVRRRSFSISPVRLRRS RTPLRRRFSRSPIRRKRSRSSERGRSPKRLTDLDKAQLLEIAKANAAAMCAKAGVPLPPN LKPAPPPTIEEKVAKKSGGATIEELTEKCKQIAQSKEDDDVIVNKPHVSDEEEEEPPFYH HPFKLSEPKPIFFNLNIAAAKPTPPKSQVTLTKEFPVSSGSQHRKKEADSVYGEWVPVEK NGEESKDDDNVFSSSLPSEPVDISTAMSERALAQKRLSENAFDLEAMSMLNRAQERIDAW AQLNSIPGQFTGSTGVQVLTQEQLANTGAQAWIKKVQTVNKYKAKLFLCWFCFFIIIH >ENSMUSP00000075300.4 pep:known chromosome:GRCm38:10:51756173:51757194:-1 gene:ENSMUSG00000062224.5 transcript:ENSMUST00000075909.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933411G06Rik description:RIKEN cDNA 4933411G06 gene [Source:MGI Symbol;Acc:MGI:1918340] MFDQEAKPSSGVLEDEKKDVIKVKVIGEDRSEIHFRLKMTTRLKKLKDSYSRRLDLSVNS LRFLFEGQKIADDHTAEELGMEEEDVIEVHQEQTGGGVSLDSLGRSDRNLSGKIGNH >ENSMUSP00000107504.2 pep:known chromosome:GRCm38:6:137983586:138043411:-1 gene:ENSMUSG00000044378.12 transcript:ENSMUST00000111873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a5 description:solute carrier family 15, member 5 [Source:MGI Symbol;Acc:MGI:3607714] XQILYRTCLLQIPSGYYLQTMNSNRNWGGFSLPIALMNAISLLPLLILPPFMDYFSNCLL PSKRDGPFLSACMIAGNICAASSVAMAGFLEIYRKLAREQSPSGKLFSVSSMACVCLVPQ YVLLGVSEVLVNPAVSMVTHRVIPGASMAFLTLSHGSACFAGAMLIKLLYLISEGNWFPN TLDKGNLENFFFVLASLMLLNILGLWRASWSASVEIVVKKLFSSLRSL >ENSMUSP00000129239.1 pep:known chromosome:GRCm38:6:137983590:138079916:-1 gene:ENSMUSG00000044378.12 transcript:ENSMUST00000171804.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a5 description:solute carrier family 15, member 5 [Source:MGI Symbol;Acc:MGI:3607714] MPITDFIINDEKTPLVLHGGPEQWKTVGPYGCFRVGICLLLVELCERFTFFEVVCNMIPF CTGRLGSYNHQAAMLNLGFIGTSVLTPVFMGWLADEYFGRNKLMYIALSLHFLGTALLSM LAFPAENFYRGAYPVFNNTSVEEQAGLFHVALLTLCLGTGGIRAVVCPPDMCGSQERESK KPMPFCNWASWSANLNAAVVFLGISSIQPLGSGALGILLPSLSVFTALVTLYLKHCDLIY RPENRCSLLTIARAFVRALKTRCLPYCHFGRDGSSWLDHAMEKQGGHHSELQEEDTRNIS ALLPLFSFQILYRTCLLQIPSGYYLQTMNSNRNWGGFSLPIALMNAISLLPLLILPPFMD YFSNCLLPSKRDGPFLSACMIAGNICAASSVAMAGFLEIYRKLAREQSPSGKLFSVSSMA CVCLVPQYVLLGVSEVLVNPAVSMVTHRVIPGASMAFLTLSHGSACFAGAMLIKLLYLIS EGNWFPNTLDKGNLENFFFVLASLMLLNILGLWRASWRYCNLNHFNAQRIRGNRCEETLL LTEKSLKFYGSTQGASSSIDLWETAL >ENSMUSP00000145205.1 pep:known chromosome:GRCm38:6:138017385:138036903:-1 gene:ENSMUSG00000044378.12 transcript:ENSMUST00000150278.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a5 description:solute carrier family 15, member 5 [Source:MGI Symbol;Acc:MGI:3607714] XCMIAGNICAASSVAMAGFLEIYRKLAREQSPSGKLFSVSSMACVCLVPQYVLLGVSEVL VNPAGKGTR >ENSMUSP00000145169.1 pep:known chromosome:GRCm38:6:138033282:138043481:-1 gene:ENSMUSG00000044378.12 transcript:ENSMUST00000141280.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a5 description:solute carrier family 15, member 5 [Source:MGI Symbol;Acc:MGI:3607714] GGHHSELQEEDTRNISALLPLFSFQILYRTCLLQIPSGYYLQTMNSNRNWGGFSLPIALM NAISLLPLLILPPFMDYFSNCLLPSKRDGPFLSACMRTVTFNPSAWLRQ >ENSMUSP00000101981.1 pep:known chromosome:GRCm38:7:126672865:126676432:-1 gene:ENSMUSG00000030711.15 transcript:ENSMUST00000106373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1a1 description:sulfotransferase family 1A, phenol-preferring, member 1 [Source:MGI Symbol;Acc:MGI:102896] MEPLRKPLVPVKGIPLIKYFAETMEQLQNFTAWPDDVLISTYPKSGTTWMSEIMDMIYQG GKLDKCGRAPVYARIPFLEFSCPGVPPGLETLKETPAPRIIKTHLPLSLLPQSLLDQKIK VIYVARNAKDVVVSYYNFYKMAKLHPDPGTWESFLENFMDGKVSYGSWYQHVKEWWELRR THPVLYLFYEDMKENPKREIKKILEFLGRSLPEETVDLIVHHTSFKKMKENPMANYTTIP TEVMDHTISPFMRKGTIGDWKNTFTVAQSEHFDAHYAKIMTGCDFTFRCQI >ENSMUSP00000101979.1 pep:known chromosome:GRCm38:7:126672870:126676357:-1 gene:ENSMUSG00000030711.15 transcript:ENSMUST00000106371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1a1 description:sulfotransferase family 1A, phenol-preferring, member 1 [Source:MGI Symbol;Acc:MGI:102896] MEPLRKPLVPVKGIPLIKYFAETMEQLQNFTAWPDDVLISTYPKSGTTWMSEIMDMIYQG GKLDKCGRAPVYARIPFLEFSCPGVPPGLETLKETPAPRIIKTHLPLSLLPQSLLDQKIK VIYVARNAKDVVVSYYNFYKMAKLHPDPGTWESFLENFMDGKVSYGSWYQHVKEWWELRR THPVLYLFYEDMKENPKREIKKILEFLGRSLPEETVDLIVHHTSFKKMKENPMANYTTIP TEVMDHTISPFMRKGG >ENSMUSP00000121514.1 pep:known chromosome:GRCm38:7:126674268:126676375:-1 gene:ENSMUSG00000030711.15 transcript:ENSMUST00000155419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1a1 description:sulfotransferase family 1A, phenol-preferring, member 1 [Source:MGI Symbol;Acc:MGI:102896] MEPLRKPLVPVKGIPLIKYFAETMEQLQNFTAWPDDVLISTYPKSGTTWMSEIMDMIYQG GKLDKCGRAPVYARIPFLEFSCPGVPPGLETLKETPAPRIIKTHLPLSLLPQSLLDQKIK GDRKAGEESRTGDRLPLQVIYVARNAKDVVVSYYNFYKMAKLHPDPGTWESFLENFMDGK G >ENSMUSP00000101980.3 pep:known chromosome:GRCm38:7:126672871:126676357:-1 gene:ENSMUSG00000030711.15 transcript:ENSMUST00000106372.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1a1 description:sulfotransferase family 1A, phenol-preferring, member 1 [Source:MGI Symbol;Acc:MGI:102896] MAQNPSNMEPLRKPLVPVKGIPLIKYFAETMEQLQNFTAWPDDVLISTYPKSGTTWMSEI MDMIYQGGKLDKCGRAPVYARIPFLEFSCPGVPPGLETLKETPAPRIIKTHLPLSLLPQS LLDQKIKVIYVARNAKDVVVSYYNFYKMAKLHPDPGTWESFLENFMDGKVSYGSWYQHVK EWWELRRTHPVLYLFYEDMKENPKREIKKILEFLGRSLPEETVDLIVHHTSFKKMKENPM ANYTTIPTEVMDHTISPFMRKGG >ENSMUSP00000100995.1 pep:known chromosome:GRCm38:10:80329953:80336441:1 gene:ENSMUSG00000035504.16 transcript:ENSMUST00000105358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep6 description:receptor accessory protein 6 [Source:MGI Symbol;Acc:MGI:1917585] MRPGRRGRPHLLCPPCPPIGQSRGAGAEPGSGAESTGPGAGPGGGRVVKVRSRRRRCARL RACFSGLPRARRCHGRSAPALRTFSGTEERGHRSARGARSKDRCREAVSRRGSPRPSRPV SSVRLRGLSTVQCHRICIPRICFSQSYREPKQGRRHCVANLLGGVRPVRSGRILQRSTPV LVPFLLRGQVRLPVILHDARTLERGITTIPSRHKTTLSKAPHGSRQRREPAKRKSIGPSS WDNPGRTSGLGSGPGSRHPSINIGTPSRSGTGPQVKSDPTPEPQVRRSAGSLCADSPAND KERTADNVQASDSSSDSQTKTPLQPQPQPQPQPQPQPQPQPQPQPQRRTSSPSKTVGSPS RATNGQSPSPVSPGPASVSRGQLATVSASGSQIPSKSRPHRSRGSLTPRAAQRARLPSGT LGSAQLPMRSGGASQPAGRTLGHNRTTGRHTGTNHQTPQVSATGRSAAAATASAAAPAAP AAPAAAAPAATTAPTSTQRVSVSAQDSPRAQSPSTSPDPNQAGSKAPVEPGDAGSKNKQG QKTQVAGTSAPSEALVPCHSDSSLDYLSESTTEITCKWPQYSHQLRCPRHCWLLPHLAY >ENSMUSP00000100994.1 pep:known chromosome:GRCm38:10:80329953:80336441:1 gene:ENSMUSG00000035504.16 transcript:ENSMUST00000105357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep6 description:receptor accessory protein 6 [Source:MGI Symbol;Acc:MGI:1917585] MRPGRRGRPHLLCPPCPPIGQSRGAGAEPGSGAESTGPGAGPGGGRVVKVRSRRRRCARL RACFSGLPRARRCHGRSAPALRTFSGTEERGHRSARGARSKDRCREAVSRRGSPRPSRPV SSVRLRGLSTVQCHRICIPRICFSQSYREPKQGRRHCVANLLGGVRPVRSGRILQRSTPV LVPFLLRGQVRLPVILHDARTLERGITTIPSRHKTTLSKAPHGSRQRREPAKRKSIGPSS WDNPGRQVKSDPTPEPQVRRSAGSLCADSPANDKERTADNVQASDSSSDSQTKTPLQPQP QPQPQPQPQPQPQPQPQPQRRTSSPSKTVGSPSRATNGQSPSPVSPGPASVSRGQLATVS ASGSQIPSKSRPHRSRGSLTPRAAQRARLPSGTLGSAQLPMRSGGASQPAGRTLGHNRTT GRHTGTNHQTPQVSATGRSAAAATASAAAPAAPAAPAAAAPAATTAPTSTQRVSVSAQDS PRAQSPSTSPDPNQAGSKAPVEPGDAGSKNKQGQKTQVAGTSAPSEALVPCHSDSSLDYL SESTTEITCKWPQYSHQLRCPRHCWLLPHLAY >ENSMUSP00000100991.1 pep:known chromosome:GRCm38:10:80329953:80336441:1 gene:ENSMUSG00000035504.16 transcript:ENSMUST00000105354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep6 description:receptor accessory protein 6 [Source:MGI Symbol;Acc:MGI:1917585] MDGLRQRFERFLEQKNVATEALGALEARTGVEKRYLAAGALALLGLYLLFGYGASLLCNV IGFVYPAYASVKAIESPSKEDDTVWLTYWVVYALFGLVEFFSDLLLFWFPFYYAGKCAFL LFCMTPGPWNGALLLYHRVIRPLFLKHHMALDSAASQLSGRALDLAAGITRDVLQALARG RALVTPASTSEPPAALELDPK >ENSMUSP00000100992.1 pep:known chromosome:GRCm38:10:80329953:80336441:1 gene:ENSMUSG00000035504.16 transcript:ENSMUST00000105355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep6 description:receptor accessory protein 6 [Source:MGI Symbol;Acc:MGI:1917585] MDGLRQRFERFLEQKNVATEALGALEARTGVEKRYLAAGALALLGLYLLFGYGASLLCNV IGFVYPAYASVKAIESPSKEDDTVWLTYWVVYALFGLVEFFSDLLLFWFPFYYAGKCAFL LFCMTPGPWNGALLLYHRVIRPLFLKHHMALDSAASQLSGRALDLAAGITRDAK >ENSMUSP00000043722.6 pep:known chromosome:GRCm38:10:80330202:80335582:1 gene:ENSMUSG00000035504.16 transcript:ENSMUST00000040081.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep6 description:receptor accessory protein 6 [Source:MGI Symbol;Acc:MGI:1917585] MDGLRQRFERFLEQKNVATEALGALEARTGVEKRYLAAGALALLGLYLLFGYGASLLCNV IGFVYPAYASVKAIESPSKEDDTVWLTYWVVYALFGLVEFFSDLLLFWFPFYYAGKPSKV RPHS >ENSMUSP00000140840.1 pep:known chromosome:GRCm38:10:80330145:80336440:1 gene:ENSMUSG00000035504.16 transcript:ENSMUST00000186864.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep6 description:receptor accessory protein 6 [Source:MGI Symbol;Acc:MGI:1917585] MDGLRQRFERFLEQKNVATEALGALEARTGVEKRYLAAGALALLGLYLLFGYGASLLCNV IGFVYPAYASVKAIESPSKEDDTVWLTYWVVYALFGLVEFFSDLLLFWFPFYYAGKCAFL LFCMTPGPWNGALLLYHRVIRPLFLKHHMALDSAASQLSGRALDLAAGITRDVLQALARG RALVTPASTSEPPAALELDPK >ENSMUSP00000056378.4 pep:known chromosome:GRCm38:9:49436963:49486225:-1 gene:ENSMUSG00000040219.4 transcript:ENSMUST00000055096.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc12 description:tetratricopeptide repeat domain 12 [Source:MGI Symbol;Acc:MGI:2444588] MADDQERDLQRFLENVDEITSLIQEMNSDDPFIQQKAVLDSEKKLLLMEREQEEDGCRTT LNKTMISPPQTPENADEMSPDAFLASVEKDAKERAKRRRENRVLADALKEKGNEAFVRGD YETAIFFYSEGLGKLKDMKVLYTNRAQAFIKLGDYQKALVDCDWALKCDENCTKAYFHMG KAHVALKNYSKAKECYQKIEEINPKLKAQVKEHLNQVTLREKADLQEKEAQESLDSGKNT AVTTKNLLETLSKPGQTPLFYAGGIEILTEMMADCTERTLFRTYGGFSTISDHEVIRRCL FLTGKDAVEEVLCVSVLKLWQEVCVDNEENQRLLVTHPDMARLLPSFMTSRILVIQQQSL DLLLQLTQTENGRNQVIKHLDMTQLCEALLSFLAFSDKKANTAIGILTDLALEERFQVWF QTNLPDVLPALTGALNREPKITSPSALCQCIALLGNISAEPTARKHMIAHEEFGNACLDL LVKCEEDMDLFREITYTLLGLIMNLCLEITFLSEMWVVKMSRKCLSLLNSKDGGILTRAA GVLSRTLSSSQTIVEEALTAGVVKKMIKFLRMGGQTASRYAIKILAICTNSCHAAREEVV RLDKKFDLLMLLLASEDEILAGNAALCLGNCMEVPSAAPSLLKTDIVRVLLKLAGSDSKE TGVQLNAGIALGKLCTAEPRFAAQLRELHGIQVLNSTMKHLNDS >ENSMUSP00000071383.1 pep:known chromosome:GRCm38:2:36421400:36422329:1 gene:ENSMUSG00000094464.1 transcript:ENSMUST00000071437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr339 description:olfactory receptor 339 [Source:MGI Symbol;Acc:MGI:3030173] MRMDNDSALSEFILLGLPIRAEDQALYSVLILAMYLTTVLGNLLIILLIRLDSHLHTPMY FFLSHLAFTDISFSSVTAPKMLVNMLTHSKSIPYTGCVSQVYFFTVFASIDSFLLTSMAY DRYVAICHPLHYNIIMNLRLCVLLVVISWALSLTNALAHTLLLARLSHFRNNTIPHYFCD LSTLLKLSSSDTTINELVIFVLGNVVITLPFICILVSYGYIGVTILKTPSIKGIHKALST CGSHLCVVSLYYGAIIGLYFVPSSNNTNDKDVIVAVMYTVVIPMLNPFIYSLRNRDMKRT LRNILSRTK >ENSMUSP00000044009.5 pep:known chromosome:GRCm38:14:34819825:35583379:1 gene:ENSMUSG00000041078.6 transcript:ENSMUST00000043349.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grid1 description:glutamate receptor, ionotropic, delta 1 [Source:MGI Symbol;Acc:MGI:95812] MEALTLWLLPWICQCVTVRADSIIHIGAIFEENAAKDDRVFQLAVSDLSLNDDILQSEKI TYSIKVIEANNPFQAVQEACDLMTQGILALVTSTGCASANALQSLTDAMHIPHLFVQRNP GGSPRTACHLNPSPDGEAYTLASRPPVRLNDVMLRLVTELRWQKFVMFYDSEYDIRGLQS FLDQASRLGLDVSLQKVDKNISHVFTSLFTTMKTEELNRYRDTLRRAILLLSPQGAHSFI NEAVETNLASKDSHWVFVNEEISDPEILDLVHSALGRMTVVRQIFPSAKDNQKCMRNNHR ISSLLCDPQEGYLQMLQISNLYLYDSVLMLANAFHRKLEDRKWHSMASLNCIRKSTKPWN GGRSMLDTIKKGHITGLTGVMEFREDSSNPYVQFEILGTTYSETFGKDMRKLATWDSEKG LNGSLQERPMGSRLQGLTLKVVTVLEEPFVMVAENILGQPKRYKGFSIDVLDALAKALGF KYEIYQAPDGRYGHQLHNTSWNGMIGELISKRADLAISAITITPERESVVDFSKRYMDYS VGILIKKPEEKISIFSLFAPFDFAVWACIAAAIPVVGVLIFVLNRIQAVRSQSATQPRPS ASATLHSAIWIVYGAFVQQGGESSVNSVAMRIVMGSWWLFTLIVCSSYTANLAAFLTVSR MDNPIRTFQDLSKQLEMSYGTVRDSAVYEYFRAKGTNPLEQDSTFAELWRTISKNGGADN CVSNPSEGIRKAKKGNYAFLWDVAVVEYAALTDDDCSVTVIGNSISSKGYGIALQHGSPY RDLFSQRILELQDTGDLDVLKQKWWPHTGRCDLTSHSSTQTEGKSLKLHSFAGVFCILAI GLLLACLVAALELWWNSNRCHQETPKEDKEVNLEQVHRRINSLMDEDIAHKQISPASIEL SALEMGGLAPSQALEPTREYQNTQLSVSTFLPEQSSHGTSRTLSSGPSSNLPLPLSSSAT MPSIQCKHRSPNGGLFRQSPVKTPIPMSFQPVPGGVLPEALDTSHGTSI >ENSMUSP00000014022.8 pep:known chromosome:GRCm38:8:26119368:26143871:1 gene:ENSMUSG00000013878.17 transcript:ENSMUST00000014022.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf170 description:ring finger protein 170 [Source:MGI Symbol;Acc:MGI:1924983] MQRYWRFQDNKIQDICFGVLGESWIQRPVMARYYSEGQSLQQDDSFIEGVSDQVLVAVVV SLALTATLLYALLRNVQQNIHPENQELVRVLREQFQTEQDVPAPARQQFYTEMYCPICLH QASFPVETNCGHLFCGSCIIAYWRYGSWLGAISCPICRQTVTLLLTVFGEDDQSQDVIRL RQDVNDYNRRFSGQPRSIMERIMDLPTLLRHAFREVFSVGGLFWMFRIRIMLCLMGAFFY LISPLDFVPEALFGILGFLDDFFVIFLLLIYISIMYREVITQRLTR >ENSMUSP00000115588.1 pep:known chromosome:GRCm38:8:26119380:26141325:1 gene:ENSMUSG00000013878.17 transcript:ENSMUST00000124757.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf170 description:ring finger protein 170 [Source:MGI Symbol;Acc:MGI:1924983] MQRYWRFQDNKIQDICFGVLGESWIQRPVMARYYSEGQSLQQDDSFIEGVSDQVLVAVVV SLALTATLLYALLRNVQQNIHPENQELVRVLREQFQTEQDVPAPARQQFYTEMYCPICLH QASFPVETNCGHLFCGNSPPNSIW >ENSMUSP00000106208.2 pep:known chromosome:GRCm38:8:26119566:26142648:1 gene:ENSMUSG00000013878.17 transcript:ENSMUST00000110579.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf170 description:ring finger protein 170 [Source:MGI Symbol;Acc:MGI:1924983] MQRYWRFQDNKIQDICFGVLGESWIQRPVMARYYSEGQSLQQDDSFIEGVSDQVLVAVVV SLALTATLLYALLRNVQQNIHPENQELVRVLREQFQTEQDVPAPARQQFYTEMYCPICLH QASFPVETNCGHLFCENCGF >ENSMUSP00000115959.1 pep:known chromosome:GRCm38:8:26123877:26141078:1 gene:ENSMUSG00000013878.17 transcript:ENSMUST00000124867.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf170 description:ring finger protein 170 [Source:MGI Symbol;Acc:MGI:1924983] XQSLQQDDSFIEGVSDQVLVAVVVSLALTATLLYALLRNVQQNIHPENQELVRVLREQFQ TEQDVPAPARQQFYTEMYCPICLHQASFPVETNCGHLFCGMNETYIQKLFSFS >ENSMUSP00000106204.1 pep:known chromosome:GRCm38:8:26119476:26129546:1 gene:ENSMUSG00000013878.17 transcript:ENSMUST00000110575.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf170 description:ring finger protein 170 [Source:MGI Symbol;Acc:MGI:1924983] MQRYWRFQDNKIQDICFGVLGESWIQRPVMARYYSEGQSLQQDDSFIEGVSDQVLVAVVV SLALTATLLYALLRNVQQNIHPENQELVRVLREQFQTEQDVPAPARQQFYTEMYCPICLH QASFPVETNCGHLFCGEQIMSDLVRVTSLRPLWIGSGLDCSFSFYHIYVLRRVDCFTSPA LVATQIIAYCLVYSILRSEDIAQLVECLAIMQKPWA >ENSMUSP00000118689.1 pep:known chromosome:GRCm38:8:26119383:26141124:1 gene:ENSMUSG00000013878.17 transcript:ENSMUST00000153528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf170 description:ring finger protein 170 [Source:MGI Symbol;Acc:MGI:1924983] MQRYWRFQDNKIQDICFGVLGESWIQRNVQQNIHPENQELVRVLREQFQTEQDVPAPARQ QFYTEMYCPICLHQASFPVETNCGHLFCGSCIIAYWRYGSWLGAISCPICRQTVTLLLTV FGEDDQSQDVIRLRQDVNDYNRRFSGQPRSIMERIMDLPTLLRHAFREVFSVGGLFWMFR IRIMLCLMGAFFYLISPLDFVPEALFGILGFLDDFFVIFLLLIYISIMYREVITQRLTR >ENSMUSP00000106202.1 pep:known chromosome:GRCm38:8:26120480:26126239:1 gene:ENSMUSG00000013878.17 transcript:ENSMUST00000110573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf170 description:ring finger protein 170 [Source:MGI Symbol;Acc:MGI:1924983] MQRYWRFQDNKIQDICFGVLGESWIQRPVMARYYSEGQSLQQDDSFIEGVSDQVLVAVVV SLALTATLLYALLRNVQQNIHPENQELVRVLREQFQTEQVC >ENSMUSP00000119906.1 pep:known chromosome:GRCm38:8:26119664:26129155:1 gene:ENSMUSG00000013878.17 transcript:ENSMUST00000140819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf170 description:ring finger protein 170 [Source:MGI Symbol;Acc:MGI:1924983] MQRYWRFQDNKIQDICFGVLGESWIQRPVMARYYSEGQSLQQDDSFIEGVSDQVLVAVVV SLALTATLLYALLRNVQQNIHPENQELVRVLREQFQTEQDVPAPARQQFYTEMYCPICLH QASFPVETNCGHLFC >ENSMUSP00000115452.1 pep:known chromosome:GRCm38:8:26119388:26129155:1 gene:ENSMUSG00000013878.17 transcript:ENSMUST00000131138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf170 description:ring finger protein 170 [Source:MGI Symbol;Acc:MGI:1924983] MQRYWRFQDNKIQDICFGVLGESWIQRPVMARYYSEGQSLQQDDSFIEGVSDQVLVAVVV SLALTATLLYALLRNVQQNIHPENQELVRVLREQFQTEQDVPAPARQQFYTEMYCPICLH QASFPVETNCGHLFC >ENSMUSP00000032956.8 pep:known chromosome:GRCm38:7:126649309:126672925:1 gene:ENSMUSG00000030714.14 transcript:ENSMUST00000032956.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgf29 description:SAGA complex associated factor 29 [Source:MGI Symbol;Acc:MGI:1922815] MALVSADSRIAELLTELHQLIKQTQEERSRSEHNLVNIQKTHERMQTENKISPYYRTKLR GLYTTAKTDAEAECNILRKALDKIAEIKSLLEERRIAAKIAGLYNDSEPPRKTMRRGVLM TLLQQSAMTLPLWIGKPGDKPPPLCGAIPASGDYVAKPGDKVAARVKAVEGDEQWILAEV VSYSHATNKYEVDDIDEEGKERHTLSRRRIIPLPQWKANPETDPEALFQKEQLVLALYPQ TTCFYRALIHTPPQRPQDDYSVLFEDTSYADGYSPPLNVAQRYVVACKEPKKK >ENSMUSP00000145654.1 pep:known chromosome:GRCm38:7:126649312:126664950:1 gene:ENSMUSG00000030714.14 transcript:ENSMUST00000126570.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgf29 description:SAGA complex associated factor 29 [Source:MGI Symbol;Acc:MGI:1922815] MQTENKISPYYRTKLRGL >ENSMUSP00000146122.1 pep:known chromosome:GRCm38:7:126649402:126672239:1 gene:ENSMUSG00000030714.14 transcript:ENSMUST00000205507.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgf29 description:SAGA complex associated factor 29 [Source:MGI Symbol;Acc:MGI:1922815] MALVSADSRIAELLTELHQLIKQTQEERSRSEHNLVNIQKTHERMQTENKISPYYRTKLR GLYTTAKTDAEAECNILRKALDKIAEIKSLLEERRIAAKIAGLYNDSEPPRKTMRRGVLM TLLQQSAMTLPLWIGKPGPHPSVEPFQPQGTMWPNLETRWLLG >ENSMUSP00000145562.1 pep:known chromosome:GRCm38:7:126661072:126672318:1 gene:ENSMUSG00000030714.14 transcript:ENSMUST00000206359.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgf29 description:SAGA complex associated factor 29 [Source:MGI Symbol;Acc:MGI:1922815] MALVSADSRIAELLTELHQLIKQTQEERSRSEHNLVNIQKTHERMQTENKISPYYRTKLR GLYTTAKTDAEAECNILRKALDKIAEIKSLLEERRIAAKIAGLYNDSEPPRKTMRRGVLM TLLQQSAMTLPLWIGKPGDKGLCGQTWRQGGC >ENSMUSP00000145680.1 pep:known chromosome:GRCm38:7:126671577:126672392:1 gene:ENSMUSG00000030714.14 transcript:ENSMUST00000129786.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgf29 description:SAGA complex associated factor 29 [Source:MGI Symbol;Acc:MGI:1922815] XRKTMRRGVLMTLLQQSAMTLPLWIGKPGDKPPPLCGAIPASGDYVAKPGDKVAARVKAV EGDEQWILAEVVSYSHATNKYEVDDIDEEGKERHTLSRRRIIPLPQWKANPETDPEALFQ KEQLVLALYPQTTCFYRALIHTPPQRVRSHHGRSLMISGP >ENSMUSP00000099160.1 pep:known chromosome:GRCm38:X:37209348:37211041:-1 gene:ENSMUSG00000073243.2 transcript:ENSMUST00000101636.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9 description:predicted gene 9 [Source:MGI Symbol;Acc:MGI:2684855] MDHPRKFEHQDISYQSLGIDVSSERAKEVMPPMINVEGGNDGGDQFWPKSDLGRATGEDS SFVGTGAPGAKNEGKHKGYGDQDQPTLPAETRTQHLQDDADISMICRAFSQLKLEDLDHV FQCTQFPNIFVRNEVGMPTSVEPEAESVGHSEPEEELLKQSF >ENSMUSP00000072632.1 pep:known chromosome:GRCm38:2:36452587:36453525:1 gene:ENSMUSG00000094266.1 transcript:ENSMUST00000072854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr340 description:olfactory receptor 340 [Source:MGI Symbol;Acc:MGI:3030174] MRRDNESTVSEFILLGLPIRAEDQGLYSALFLAMYLTTVLGNLLIILLIRLDSHLHTPMY FFLSHLAFTDISFSSVASPKMVINMLTHSQSISYAGCVSQVYFFSFFADLESFLLTSMAY DRYVAICHPLHYSQIMSENLCVLLIVVSWTLSTANSLVHTLLLVQLSYFRNNTIPHYFCD LSTLLKLSSSDTTINELVILVLGNMVITLPFICILVSYGHIGVTIMKIPSIKGICKALST CGSHLCVVSLYYGAIIGLYFVPSSNNTSDKDAIVAMMYTMVIPMLNPFIYSLRNRDMKGA LRNILSGRLWSQ >ENSMUSP00000041955.3 pep:known chromosome:GRCm38:12:76370266:76396950:1 gene:ENSMUSG00000033454.6 transcript:ENSMUST00000042779.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb1 description:zinc finger and BTB domain containing 1 [Source:MGI Symbol;Acc:MGI:2442326] MAKPSHSSYVLQQLNNQREWGFLCDCCIAIDDIYFQAHKAVLAACSSYFRMFFMNHQHST AQLNLSNMKISAECFDLILQFMYLGKIMTAPSSFEQFKVAMNYLQLYNVPDCLEDIQDAD CSSSKCSSSASSRQSSKMIFGVRMYEDTVARNGNEANRWCAEPSSTVNTPHHREPEEESL QLANFPEPLFDVCKKSSVSKLSTPKERVSRRFGRSFTCDSCGFGFSCEKLLDEHVLTCTN RHSYQNTTRAYHRIVDIRDGKDSNIKAELAEKDSSKTFSAQPDKYREDANQAPDDSASTT GSRKSTVEAGIAGEEKSRATETKRIIIKMEPEDIPADDMKDFNIIKVTEKDCNESTDNDE LEDEPEEPFYRYYVEEDVGIKKSGRKTLKPRMSISVDERGGLENMRPPNNTSPIQEDAEN ASCELCGLTITEEDLSSHYLAKHIENICACGKCGQILVKGRQLQEHAQRCGEPQDLTMNG LGNADEKMDMEENPDEQSEIRDMFVEMLDDFRDNHYQINSIQKKQLFKHSACPFRCPNCG QRFETENLVVEHMSSCLDQDMFKGAIMEENERDHRRKHFCNLCGKGFYQRCHLREHYTVH TKEKQFVCQTCGKQFLRERQLRLHNDMHKGMARYVCSICDQGNFRKHDHVRHMISHLSGG ETICQVCFQIFPNNEQLEQHMDVHLYTCGICGAKFNLRKDMRSHYNAKHLKRT >ENSMUSP00000052078.8 pep:known chromosome:GRCm38:1:104768529:104995481:1 gene:ENSMUSG00000050840.8 transcript:ENSMUST00000062528.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh20 description:cadherin 20 [Source:MGI Symbol;Acc:MGI:1346069] MWTTGRMSNAKSWLGLGTSLYFWALMDLTATVLSSTPMPEVELETLFSGRSQSHQRSKRS WVWNQFFVLEEYTGTDPLYVGKLHSDMDRGDGSIKYILSGEGAGIVFTIDDTTGDIHAIQ RLDREERAQYTLRAQALDRRTGRPMEPESEFIIKIQDINDNEPKFLDGPYIATVPEMSPV GTSVIQVTATDADDPTYGNSARVVYSILQGQPYFSVDSKTGVIRTALMNMDREAKEYYEV IIQAKDMGGQLGGLAGTTTVNITLSDVNDNPPRFPQKHYQMSVLESAPISSTVGRVFAKD LDEGINAEMKYTIVDGDGADAFDINTDQNFQVGIITVKKPLSFESKKSYTLKVEGSNPHL EMRFLNLGPFQDTTTVHISVEDVDEPPVFEPGFYFVEVPEDVTIGTTIQIISAKDPDVTN NSIRYSIDRGSDPGRFFYVDITTGALMTARPLDREEFSWHNITVLAMEMNNPSQVGSVAV TIKVLDVNDNAPEFPRFYEAFICENAKAGQLIQTVSAVDQDDPHNGQHFYYSLAPEAANN PNFTVRDNQDNTARILTRRSGFRQQEQSVFYLPILIADSGQPVLSSTGTLTIQVCSCNDD GHVMSCSPEAYLLPVSLSRGALIAILACIFVLLVLVLLILSMRRHRKQPYIIDDDENIHE NIVRYDDEGGGEEDTEAFDIAAMWNPREAQAGAAPKTRQDMLPEIESLSRYVPQTCAVSS TVHSYVLAKLYEADMDLWAPPFDSLQTYMFEGDGSVAGSLSSLQSATSDSEQSFDFLTDW GPRFRKLAELYGASEGPAPLW >ENSMUSP00000109884.2 pep:known chromosome:GRCm38:1:59482424:59486955:1 gene:ENSMUSG00000041075.8 transcript:ENSMUST00000114246.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd7 description:frizzled class receptor 7 [Source:MGI Symbol;Acc:MGI:108570] MRGPGTAASHSPLGLCALVLALLGALPTDTRAQPYHGEKGISVPDHGFCQPISIPLCTDI AYNQTILPNLLGHTNQEDAGLEVHQFYPLVKVQCSPELRFFLCSMYAPVCTVLDQAIPPC RSLCERARQGCEALMNKFGFQWPERLRCENFPVHGAGEICVGQNTSDGSGGAGGSPTAYP TAPYLPDPPFTAMSPSDGRGRLSFPFSCPRQLKVPPYLGYRFLGERDCGAPCEPGRANGL MYFKEEERRFARLWVGVWSVLCCASTLFTVLTYLVDMRRFSYPERPIIFLSGCYFMVAVA HVAGFLLEDRAVCVERFSDDGYRTVAQGTKKEGCTILFMVLYFFGMASSIWWVILSLTWF LAAGMKWGHEAIEANSQYFHLAAWAVPAVKTITILAMGQVDGDLLSGVCYVGLSSVDALR GFVLAPLFVYLFIGTSFLLAGFVSLFRIRTIMKHDGTKTEKLEKLMVRIGVFSVLYTVPA TIVLACYFYEQAFREHWERTWLLQTCKSYAVPCPPGHFSPMSPDFTVFMIKYLMTMIVGI TTGFWIWSGKTLQSWRRFYHRLSHSSKGETAV >ENSMUSP00000097731.1 pep:known chromosome:GRCm38:2:36479187:36480128:-1 gene:ENSMUSG00000075387.1 transcript:ENSMUST00000100154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr341 description:olfactory receptor 341 [Source:MGI Symbol;Acc:MGI:3030175] MRRDNESTVSEFILLGLPIQPEDQGLYSALFLAMYLTTVLGNLLIILLIRLDSHLHTPMY FFLSHLAFTDVSFSSVTAPKMLMNMLTHSQSISYAGCVSQVYFFSTFTDLDSFLLTSMAY DRYVAICHPLHYTTIMSQNLCVLLVVMSWVLSSANALVHTLLLARLSHFRNNTIPHYFCE PSALLSLSSSDTTINEMVILPLGTLVITLPFICILVSYGRIGVTILRTPSIKGICKALST CGSHLSVVCLYYGAIIGLYLVPSSNNTNDKDVIVAVIYSLVTPMVNPFIYSLRNRDIKGA LRNILNRRLCPQW >ENSMUSP00000102655.3 pep:known chromosome:GRCm38:11:103101682:103112197:1 gene:ENSMUSG00000056938.16 transcript:ENSMUST00000107040.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd4 description:acyl-Coenzyme A binding domain containing 4 [Source:MGI Symbol;Acc:MGI:1914381] MGTEKEEPDCQKQFQAAVSVIQNLPKNGMPGTGKMSREEAMSAYISEMKLVAQKVIDTVP LGEVAEDMFGYFEPLYQVIPDMPRPPETFLRRATGWKEPLVQREDQAAPEPSCVPKEPVP PSPESRPPRDLDLEVFCDSVEQLEPELVRLPVLSPVAAEPELCHLPPGIWDSSAQQVWAE QKEAAGRELTTRSSPESTGEKEGLGGGLIGPQELDTWLVGTVRAMQESMKDVHRRLQSLE SKPQPLKQRSPRTRPWPLGLSVPTLLFFILWPFVVQWLFRQFRTQKR >ENSMUSP00000090220.6 pep:known chromosome:GRCm38:11:103101682:103112200:1 gene:ENSMUSG00000056938.16 transcript:ENSMUST00000092559.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acbd4 description:acyl-Coenzyme A binding domain containing 4 [Source:MGI Symbol;Acc:MGI:1914381] MGTEKEEPDCQKQFQAAVSVIQNLPKNGSYRPSYEEMLRFYSYYKQATMGPCLVPRPGFW DPIGRYKWDAWNRQDEQGGSHVCLHL >ENSMUSP00000115521.1 pep:known chromosome:GRCm38:11:103101686:103105392:1 gene:ENSMUSG00000056938.16 transcript:ENSMUST00000140372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd4 description:acyl-Coenzyme A binding domain containing 4 [Source:MGI Symbol;Acc:MGI:1914381] MGTEKEEPDCQKQFQAAVSVIQNLPKNGMPGTGKMSREEAMSAYISEMKLVAQKVIDTVP LGEVAEDMFGYFEPLYQVIPDMPRPPETFLRRATGWKEPLVQREDQAAPEPSCVPKEPVP PSPESRPPRDLDLEVFCDSVEQLEPELVRLPVLSPVAAEPELCHLPPGIWDSS >ENSMUSP00000120031.1 pep:known chromosome:GRCm38:11:103101712:103104174:1 gene:ENSMUSG00000056938.16 transcript:ENSMUST00000134884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd4 description:acyl-Coenzyme A binding domain containing 4 [Source:MGI Symbol;Acc:MGI:1914381] MGTEKEEPDCQKQFQAAVSVIQNLPKNGMPGTGKMSREEAMSAYISEMKLVAQKV >ENSMUSP00000116755.1 pep:known chromosome:GRCm38:11:103103021:103105466:1 gene:ENSMUSG00000056938.16 transcript:ENSMUST00000129870.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acbd4 description:acyl-Coenzyme A binding domain containing 4 [Source:MGI Symbol;Acc:MGI:1914381] MGTEKEEPDCQKQFQAAVSVIQNLPKNGSYRPSYEEMLRFYSYYKQATMGPCLVPRPGFW DPIGRYKWDAWNRQDEQGGSHVCLHL >ENSMUSP00000118886.1 pep:known chromosome:GRCm38:11:103103076:103104846:1 gene:ENSMUSG00000056938.16 transcript:ENSMUST00000152971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd4 description:acyl-Coenzyme A binding domain containing 4 [Source:MGI Symbol;Acc:MGI:1914381] MGTEKEEPDCQKQFQAAVSVIQNLPKNGMPGTGKMSREEAMSAYISEMKLVAQKVIDTVP LGEVAEDMFGYFEPLYQVIPDMPRPPETFLRRATGWKEPLVQREDQAAP >ENSMUSP00000024492.8 pep:known chromosome:GRCm38:11:103101688:103112199:1 gene:ENSMUSG00000056938.16 transcript:ENSMUST00000024492.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd4 description:acyl-Coenzyme A binding domain containing 4 [Source:MGI Symbol;Acc:MGI:1914381] MGTEKEEPDCQKQFQAAVSVIQNLPKNGSYRPSYEEMLRFYSYYKQATMGPCLVPRPGFW DPIGRYKWDAWNRKMSREEAMSAYISEMKLVAQKVIDTVPLGEVAEDMFGYFEPLYQVIP DMPRPPETFLRRATGWKEPLVQREDQAAPEPSCVPKEPVPPSPESRPPRDLDLEVFCDSV EQLEPELVRLPVLSPVAAEPELCHLPPGIWDSSAQQVWAEQKEAAGRELTTRSSPESTGE KEGLGGGLIGPQELDTWLVGTVRAMQESMKDVHRRLQSLESKPQPLKQRSPRTRPWPLGL SVPTLLFFILWPFVVQWLFRQFRTQKR >ENSMUSP00000039205.7 pep:known chromosome:GRCm38:7:19903578:19921160:-1 gene:ENSMUSG00000040511.14 transcript:ENSMUST00000043517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvr description:poliovirus receptor [Source:MGI Symbol;Acc:MGI:107741] MAQLARATRSPLSWLLLLFCYALRKAGGDIRVLVPYNSTGVLGGSTTLHCSLTSNENVTI TQITWMKKDSGGSHALVAVFHPKKGPNIKEPERVKFLAAQQDLRNASLAISNLSVEDEGI YECQIATFPRGSRSTNAWLKVQARPKNTAEALEPSPTLILQDVAKCISANGHPPGRISWP SNVNGSHREMKEPGSQPGTTTVTSYLSMVPSRQADGKNITCTVEHESLQELDQLLVTLSQ PYPPENVSISGYDGNWYVGLTNLTLTCEAHSKPAPDMAGYNWSTNTGDFPNSVKRQGNML LISTVEDGLNNTVIVCEVTNALGSGQGQVHIIVKEKPENMQQNTRLHLGYIFLIVFVLAV VIIIAALYTIRRCRHGRALQSNPSERENVQYSSVNGDCRLNMEPNSTR >ENSMUSP00000027059.4 pep:known chromosome:GRCm38:1:19208914:19238576:1 gene:ENSMUSG00000025927.13 transcript:ENSMUST00000027059.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap2b description:transcription factor AP-2 beta [Source:MGI Symbol;Acc:MGI:104672] MHSPPRDQAAIMLWKLVENVKYEDIYEDRHDGVPSHSSRLSQLGSVSQGPYSSAPPLSHT PSSDFQPPYFPPPYQPLPYHQSQDPYSHVNDPYSLNPLHQPQQHPWGQRQRQEVGSEAGS LLPQPRAALPQLSGLDPRRDYHSVRRPDVLLHSAHHGLDAGMGDSLSLHGLGHPGMEDVQ SVEDANNSGMNLLDQSVIKKVPVPPKSVTSLMMNKDGFLGGMSVNTGEVFCSVPGRLSLL SSTSKYKVTVGEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLRERLEKIGLNLPAGRR KAANVTLLTSLVEGEAVHLARDFGYICETEFPAKAVSEYLNRQHTDPSDLHSRKNMLLAT KQLCKEFTDLLAQDRTPIGNSRPSPILEPGIQSCLTHFSLITHGFGAPAICAALTALQNY LTEALKGMDKMFLNNTTNRHTSGEGPGSKTGDKEEKHRK >ENSMUSP00000140213.1 pep:known chromosome:GRCm38:1:19208960:19238083:1 gene:ENSMUSG00000025927.13 transcript:ENSMUST00000187754.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap2b description:transcription factor AP-2 beta [Source:MGI Symbol;Acc:MGI:104672] MHSPPRDQAAIMLWKLVENVKYEDIYEDRHDGVPSHSSRLSQLGSVSQGPYSSAPPLSHT PSSDFQPPYFPPPYQPLPYHQSQDPYSHVNDPYSLNPLHQPQQHPWGQRQRQEVGSEAGS LLPQPRAALPQLSGLDPRRDYHSVRRPDVLLHSAHHGLDAGMGDSLSLHGLGHPGMEDVQ SVEDANNSGMNLLDQSVIKKVPVPPKSVTSLMMNKDGFLGGMSVNTGEVFCSVPGRLSLL SSTSKYKVTVGEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLRERLEKIGLNLPAGRR KAANVTLLTSLVEGEAVHLARDFGYICETEFPAKAVSEYLNRQHTDPSDLHSRKNMLLAT KQLCKEFTDLLAQDRTPIGNSRPSPILEPGIQSCLTHFSLITHGFGAPAICAALTALQNY LTEALKGMDKMFLNNTTNRHTSGEGPGQRAPRV >ENSMUSP00000064488.4 pep:known chromosome:GRCm38:1:19212054:19234624:1 gene:ENSMUSG00000025927.13 transcript:ENSMUST00000064976.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap2b description:transcription factor AP-2 beta [Source:MGI Symbol;Acc:MGI:104672] MLVHTYSSMDRHDGVPSHSSRLSQLGSVSQGPYSSAPPLSHTPSSDFQPPYFPPPYQPLP YHQSQDPYSHVNDPYSLNPLHQPQQHPWGQRQRQEVGSEAGSLLPQPRAALPQLSGLDPR RDYHSVRRPDVLLHSAHHGLDAGMGDSLSLHGLGHPGMEDVQSVEDANNSGMNLLDQSVI KKVPVPPKSVTSLMMNKDGFLGGMSVNTGEVFCSVPGRLSLLSSTSKYKVTVGEVQRRLS PPECLNASLLGGVLRRAKSKNGGRSLRERLEKIGLNLPAGRRKAANVTLLTSLVEGEAVH LARDFGYICETEFPAKAVSEYLNRQHTDPSDLHSRKNMLLATKQLCKEFTDLLAQDRTPI GNSRPSPILEPGIQSCLTHFSLITHGFGAPAICAALTALQNYLTEALKGMDKMFLNNTTN RHTSGEGPGSKTGDKEEKHRK >ENSMUSP00000073818.2 pep:known chromosome:GRCm38:2:36527414:36528352:1 gene:ENSMUSG00000061305.3 transcript:ENSMUST00000074192.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr342 description:olfactory receptor 342 [Source:MGI Symbol;Acc:MGI:3030176] MKRDNESTVSEFILLGLPIRAEDQGLYSALFLAMYLTTMLGNLLIILLIRLDSHLHTPMY FFLSHLAFTDISFSSVTAPKMLMNMLTHSQSISYAGCVSQMYFYIVFADLENFLLTSMAY DRYVAICHPLHYTTIMSQSLCLFLVVVSWALSSGNALVHTLLLAKLSHFRNNTVPHYFCD LSAMLKLSSSDTTINELLILTLGTMVTIPPFICILVSYVRIGVTILRTPSIKGICKALST CGSHLCVVSLYYGAIIALYFVPSSNNTNDKDVIVALMYTVVTPMLNPFIYSLRNRDMKGA LRNVLSRRLCSQ >ENSMUSP00000018311.4 pep:known chromosome:GRCm38:11:98358368:98381099:1 gene:ENSMUSG00000018167.10 transcript:ENSMUST00000018311.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard3 description:START domain containing 3 [Source:MGI Symbol;Acc:MGI:1929618] MSKRPGDLACDLERSLPALASLGTSLSHSQSLSSHFIPPPLEKRRAISDVRRTFCLFVTF DLLFISLLWIIELNTNTGIRKNLEQEVIHYSFQSSFFDIFVLAFFRFSGLLLGYAVLRLQ HWWVIAVTTLVSSAFLIVKVILSELLSKGAFGYLLPIVSFVLAWLETWFLDFKVLPQEAE EERWYLAAQAAVARGPLLFSGALSEGQFYSPPESFAGSDNESDEEVTGKKSFSAQEREYI RQGKEATAVVDQILAQEENWKFERSNEYGDTVYTIEVPFHGKTFILKTFLPCPAELVYQE VILQPERMVLWNKTVTACQILQRVEDNTLVSYDVSSGAAGGVVSPRDFVNVRRIERRRDR YLSSGIATTHCSKPPTHKYVRGENGPGGFIVLKSANNPRVCTFVWILNTDLKGRLPRYLI HQSLGATMFEFAFHLRQRVGELGARA >ENSMUSP00000046992.8 pep:known chromosome:GRCm38:2:130706200:130840134:-1 gene:ENSMUSG00000027309.18 transcript:ENSMUST00000044766.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402H24Rik description:RIKEN cDNA 4930402H24 gene [Source:MGI Symbol;Acc:MGI:1923029] MDSFDPQQLGLSPARFAGTFGSGAASVSCSRLRQVQSVLTQSSKSQPDGILCILGIDSRY NEGCRELANYLLFGLYSQNATDFEKTGFSEEILDDVILLIKSDSVHLYCNPVNYRYLLPY VAHWRNLHFHCMTENEYEDEEAAEEFKISSFVDMVRDCSRIGIPYSSQGHLQIFDMFVVE KWPIVQAFALEGIGGDGFFTMKYELQDVSLSLWNVYSRMDPASLENMLSEDLAVFEHQWT SFFANFDTEIPFLLELSESQAGEPFRSYFGHGMLSSHITENSPHRQPFVLFGNHSTRDNL SAGSFNFPSEGHLVRNTGPAGSFAKHMVAQCVSPKGPLACSRTYFFGATHVPYLGDNEKL PRTTEQIRLLSQIYAAVIEAVLAGIACYAKTCSLAKAKEVAEHTLESGLVFTELVPFKAD LRSKVTFHIHAVNNQGRIVPLNNEDSLSFVKTARMTVYDIPDLLGGGGGGCLGSVVFSES FLTSRILVKEKDGTITPETSYIILTAAIPRFCSWLVEDSEIKLSEKTLQATKGDDCCLGT LLTGGKGAYLYSNSPQSGPEEGSAYFFSGGLLFSHRHHGSIVIAKEHVDAFSFYDGDSTS VVAALLIHFRSSILPHLPVHFHGSSNFLMLALFPKSKIYQAFYSEVFSPWQQQDNSGLSL KVIQEDGLSAEQKRLHSNAQKLFSALSPPAQDWSSPKLLSGKLPELDRFLQHFAIGSIGQ EPVMRAHLVGLLQQPEMSPAHEVESDKVVISIVTGLPGCHASKLCAFLITLHKEYGRWMV YRQIMDSSECFHAAHFQKYLSSALEAQQNRSARQSAYIRKKTRLLVVLQGYTDVIDVVQA LQTHPDPNVRSYFTIGAVTVCVEPLSCYMEHRFLFPKCLDQCSQGVVSNVVFTSHTAEQK HPLLVQLQTLIRASNPTAAFILAENGIVTRNEDIELILSENSFSSPQMLRSRYLLFPGWY EGKFDAGSVFPLMVQICVWFDCPLEKTRFVSRCRAIQSSIKPSPFSGNIYHILGKVKFSD SEKTMEVCHNTLTNSLTIVPVLEGPTPPPNSRSSPQDNGQPECYLVFIGCSLKEDSLKDW LRQSAKQRPQRKALKTRGMLTQQEIKNIHVKRHLDPLPAGYFYNGTQFVNFFGDKTDFHP LMDQFMNDYVEEANREIERYNRELEQQEYRDLFEQKPKP >ENSMUSP00000120811.1 pep:known chromosome:GRCm38:2:130712304:130730909:-1 gene:ENSMUSG00000027309.18 transcript:ENSMUST00000138758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402H24Rik description:RIKEN cDNA 4930402H24 gene [Source:MGI Symbol;Acc:MGI:1923029] XDVIDVVQALQTHPDPNVRSYFTIGAVTVCVEPLSCYMEHRFLFPKCLDQCSQGVVSNVV FTSHTAEQKHPLLVQLQTLIRASNPTAAFILAENGIVTRYEGKFDAGSVFPLMVQICVWF DCPLEKTRFVSRCRAIQSSIKPSPFSGNIYHILGKVKFSDSEKTMEVCHNTLTNSLTIVP VLEGPTPPPNSRSSPQDNGQPECYLVFIGCSL >ENSMUSP00000117915.1 pep:known chromosome:GRCm38:2:130710836:130719929:-1 gene:ENSMUSG00000027309.18 transcript:ENSMUST00000139684.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930402H24Rik description:RIKEN cDNA 4930402H24 gene [Source:MGI Symbol;Acc:MGI:1923029] XHPLLVQLQTLIRASNPTAAFILAENGIVTRNEDIELILSENSFSSPQMLRSRYLLFPGC NSVFHQAQSLLWKHLPHPWQSEIFRF >ENSMUSP00000105872.1 pep:known chromosome:GRCm38:2:130805676:130840145:-1 gene:ENSMUSG00000027309.18 transcript:ENSMUST00000110243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402H24Rik description:RIKEN cDNA 4930402H24 gene [Source:MGI Symbol;Acc:MGI:1923029] MDSFDPQQLGLSPARFAGTFGSGAASVSCSRLRQVQSVLTQSSKSQPDGILCILGIDSRY NEGCRELANYLLFGLYSQNATDFEKTGFSEEILDDVILLIKSDSVHLYCNPVNYRYLLPY VAHWRNLHFHCMTENEYEDEEAAEEFKISSFVDMVRDCSRIGIPYSSQGHLQIFDMFVVE KWPIVQAFALEGIGGDGFFTMKYELQDVSLSLWNVYSRMDPASLENMLSEVRSQSVLNIT MFSYLGNYPPKGFNWKTRKAHLSLL >ENSMUSP00000113481.1 pep:known chromosome:GRCm38:2:130709130:130839687:-1 gene:ENSMUSG00000027309.18 transcript:ENSMUST00000119422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402H24Rik description:RIKEN cDNA 4930402H24 gene [Source:MGI Symbol;Acc:MGI:1923029] MTENEYEDEEAAEEFKISSFVDMVRDCSRIGIPYSSQGHLQIFDMFVVEKWPIVQAFALE GIGGDGFFTMKYELQDVSLSLWNVYSRMDPASLENMLSEDLAVFEHQWTSFFANFDTEIP FLLELSESQAGEPFRSYFGHGMLSSHITENSPHRQPFVLFGNHSTRDNLSAGSFNFPSEG HLVRNTGPAGSFAKHMVAQCVSPKGPLACSRTYFFGATHVPYLGDNEKLPRTTEQIRLLS QIYAAVIEAVLAGIACYAKTCSLAKAKEVAEHTLESGLVFTELVPFKADLRSKVTFHIHA VNNQGRIVPLNNEDSLSFVKTARMTVYDIPDLLGGGGGGCLGSVVFSESFLTSRILVKEK DGTITPETSYIILTAAIPRFCSWLVEDSEIKLSEKTLQATKGDDCCLGTLLTGGKGAYLY SNSPQSGPEEGSAYFFSGGLLFSHRHHGSIVIAKEHVDAFSFYDGDSTSVVAALLIHFRS SILPHLPVHFHGSSNFLMLALFPKSKIYQAFYSEVFSPWQQQDNSGLSLKVIQEDGLSAE QKRLHSNAQKLFSALSPPAQDWSSPKLLSGKLPELDRFLQHFAIGSIGQEPVMRAHLVGL LQQPEMSPAHEVESDKVVISIVTGLPGCHASKLCAFLITLHKEYGRWMVYRQIMDSSECF HAAHFQKYLSSALEAQQNRSARQSAYIRKKTRLLVVLQGYTDVIDVVQALQTHPDPNVRS YFTIGAVTVCVEPLSCYMEHRFLFPKCLDQCSQGVVSNVVFTSHTAEQKHPLLVQLQTLI RASNPTAAFILAENGIVTRNEDIELILSENSFSSPQMLRSRYLLFPGWYEGKFDAGSVFP LMVQICVWFDCPLEKTRFVSRCRAIQSSIKPSPFSGNIYHILGKVKFSDSEKTMEVCHNT LTNSLTIVPVLEGPTPPPNSRSSPQDNGQPECYLVFIGCSLKEDSLKDWLRQSAKQRPQR KALKTRGMLTQQEIKNIHVKRHLDPLPAGYFYNGTQFVNFFGDKTDFHPLMDQFMNDYVE EANREIERYNRELEQQEYRDLFEQKPKP >ENSMUSP00000118946.1 pep:known chromosome:GRCm38:2:130739011:130770773:-1 gene:ENSMUSG00000027309.18 transcript:ENSMUST00000145851.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402H24Rik description:RIKEN cDNA 4930402H24 gene [Source:MGI Symbol;Acc:MGI:1923029] XDIPDLLGGGGGGCLGSVVFSESFLTSRILVKEKDGTITPETSYIILTAAIPRFCSWLVE DSEIKLSEKTLQATKGDDCCLGTLLTGGKGAYLYSNSPQSGPEEGSAYFFSGGLLFSHRH HGSIVIAKEHVDAFSFYDGDSTSVVAALLIHFRSSILPHLPVHFHGSSNFLMLALFPKSK IYQAFYSEVFSPWQQQDNSGLSLKVIQEDGLSAEQKRLFLQHFAIGSIGQEPVMRAHLVG LLQQPEM >ENSMUSP00000120742.1 pep:known chromosome:GRCm38:2:130810897:130840134:-1 gene:ENSMUSG00000027309.18 transcript:ENSMUST00000138990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402H24Rik description:RIKEN cDNA 4930402H24 gene [Source:MGI Symbol;Acc:MGI:1923029] MTENEYEDEEAAEEFKISSFVDMVRDCSRIGIPYSSQGHLQIFDMFVV >ENSMUSP00000119346.1 pep:known chromosome:GRCm38:2:130812866:130906406:-1 gene:ENSMUSG00000027309.18 transcript:ENSMUST00000146975.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402H24Rik description:RIKEN cDNA 4930402H24 gene [Source:MGI Symbol;Acc:MGI:1923029] MYEDEEAAEEFKISSFVDMVRDCSRI >ENSMUSP00000112540.1 pep:known chromosome:GRCm38:2:130810862:130840137:-1 gene:ENSMUSG00000027309.18 transcript:ENSMUST00000120316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930402H24Rik description:RIKEN cDNA 4930402H24 gene [Source:MGI Symbol;Acc:MGI:1923029] MTENEYEDEEAAEEFKISSFVDMVRDCSRIGIPYSSQGHLQIFDMFVVEKWPIVQAFAL >ENSMUSP00000031024.7 pep:known chromosome:GRCm38:5:31613939:31622644:1 gene:ENSMUSG00000106918.3 transcript:ENSMUST00000031024.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl33 description:mitochondrial ribosomal protein L33 [Source:MGI Symbol;Acc:MGI:2137225] MLLSAVSFAKSKSKTILVKLVSQAGTGFSFNHKRSRLREKLSLLHYDPIVNKKVLFVEQK KIRSL >ENSMUSP00000144669.1 pep:known chromosome:GRCm38:5:31613985:31664384:1 gene:ENSMUSG00000106918.3 transcript:ENSMUST00000201910.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl33 description:mitochondrial ribosomal protein L33 [Source:MGI Symbol;Acc:MGI:2137225] MLLSAVSFAKSKSKTILVKLVSQAGTGFSFNHKRSRLREKLSLLHYDPIGNTSSNYQTRV KNLSALEIFNSCLRHHRARTSHARPYLNSLGWKLGMENYSCFGEFII >ENSMUSP00000074919.1 pep:known chromosome:GRCm38:2:36568600:36569529:1 gene:ENSMUSG00000096822.1 transcript:ENSMUST00000075474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr344 description:olfactory receptor 344 [Source:MGI Symbol;Acc:MGI:3030178] MRMDNKSTVSEFILLGLPIRPENQVIYSSLILTMYLTTVLGNLLIILLIRLDPHLHTPMY FFLSHLALTDISFSSVTVPKMLVNMLTHSQSISYDGCVSQVYFFIVFGSIDSFLLTSMAY DRYVAFCHPLHYTIIMNLSLCVLLVGMFWVLSSANALVQTLLLARLSHFRNNTIPYYFCD LSTLLKLSSSDTTINDLIILVLGNAVITLPFICILVSYGYIGVTILKTPSIKGIRKALST CGSHLCVVSLYYGSIIGLYCVPSSNNTSEKNAIVAVMYTVVTPMLNPFIYSLRNQDMKGA LRNILSRTQ >ENSMUSP00000100534.2 pep:known chromosome:GRCm38:2:152687035:152687951:1 gene:ENSMUSG00000042814.7 transcript:ENSMUST00000062148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcts2 description:malignant T cell amplified sequence 2 [Source:MGI Symbol;Acc:MGI:1913655] MFKKFDEKESVSNCIQLKTSVIKGIKSQLTEQFPGIEPWLNQIMPKKDPVKIVRCHEHME ILTVNGELLFFRQRKGPFYPTLRLLHKYPFILPHQQVDKGAIKFVLSGANIMCPGLTSPG AKLYTAAVDTIVAVMAEGKEHALCVGVMKMAAADIEKINKGIGIENIHYLNDGLWHMKTY K >ENSMUSP00000104837.1 pep:known chromosome:GRCm38:2:167492645:167516166:1 gene:ENSMUSG00000006418.17 transcript:ENSMUST00000109214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf114 description:ring finger protein 114 [Source:MGI Symbol;Acc:MGI:1933159] MAAAQPESRDGAAQSAKPASETDPLSRFTCPVCLEVFEKPVQVPCGHVFCSACLQECLKP KKPVCGVCRSALAPGVRAVELERQIESIETSCHGCRKNFILSKIRAHVTSCSKYQNYIME GVKATTKDASLQPRNIPNRYTFPCPYCPEKNFDQEGLVEHCKLTHSTDTKSVVCPICASM PWGDPSYRSANFMEHIQRRHRFSYDTFVDYDVDEDDMINQVLQRSIIDQ >ENSMUSP00000077197.6 pep:known chromosome:GRCm38:2:167503089:167516173:1 gene:ENSMUSG00000006418.17 transcript:ENSMUST00000078050.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf114 description:ring finger protein 114 [Source:MGI Symbol;Acc:MGI:1933159] MAAAQPESRDGAAQSAKPASETDPLSRFTCPVCLEVFEKPVQVPCGHVFCSACLQECLKP KKPVCGVCRSALAPGVRAVELERQIESIETSCHGCRKNFILSKIRAHVTSCSKYQNYIME GVKATTKDASLQPRNIPNRYTFPCPYCPEKNFDQEGLVEHCKLTHSTDTKSVVCPICASM PWGDPSYRSANFMEHIQRRHRFSYDTFVDYDVDEDDMINQVLQRSIIDQ >ENSMUSP00000138430.1 pep:known chromosome:GRCm38:2:167503446:167516164:1 gene:ENSMUSG00000006418.17 transcript:ENSMUST00000127939.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf114 description:ring finger protein 114 [Source:MGI Symbol;Acc:MGI:1933159] MAAAQPESRDGAAQSAKPASETDPLSRFTCPVCLEVFEKPVQVPCGHVSSYLRSGPM >ENSMUSP00000101204.1 pep:known chromosome:GRCm38:4:155992872:156010210:1 gene:ENSMUSG00000029076.14 transcript:ENSMUST00000105579.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdf4 description:stromal cell derived factor 4 [Source:MGI Symbol;Acc:MGI:108079] MVWLVAMTPRQSSLCGLAAHGLWFLGLVLLMDATARPANHSSTRERAANREENEIMPPDH LNGVKLEMDGHLNKDFHQEVFLGKDMDGFDEDSEPRRSRRKLMVIFSKVDVNTDRRISAK EMQHWIMEKTAEHFQEAVKENKLHFRAVDPDGDGHVSWDEYKVKFLASKGHNEREIAEAI KNHEELKVDEETQEVLGNLRDRWYQADNPPADLLLTEDEFLSFLHPEHSRGMLKFMVKEI FRDLDQDGDKQLSLPEFISLPVGTVENQQGQDIDDNWVKDRKKEFEELIDSNHDGIVTME ELENYMDPMNEYNALNEAKQMIAIADENQNHHLEPEEILKYSEFFTGSKLMDYARNVHEE F >ENSMUSP00000053175.6 pep:known chromosome:GRCm38:4:155992911:156013610:1 gene:ENSMUSG00000029076.14 transcript:ENSMUST00000050078.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdf4 description:stromal cell derived factor 4 [Source:MGI Symbol;Acc:MGI:108079] MVWLVAMTPRQSSLCGLAAHGLWFLGLVLLMDATARPANHSSTRERAANREENEIMPPDH LNGVKLEMDGHLNKDFHQEVFLGKDMDGFDEDSEPRRSRRKLMVIFSKVDVNTDRRISAK EMQHWIMEKTAEHFQEAVKENKLHFRAVDPDGDGHVSWDEYKVKFLASKGHNEREIAEAI KNHEELKVDEETQEVLGNLRDRWYQADNPPADLLLTEDEFLSFLHPEHSRGMLKFMVKEI FRDLDQDGDKQLSLPEFISLPVGTVENQQGQDIDDNWVKDRKKEFEELIDSNHDGIVTME ELENYMDPMNEYNALNEAKQMIAIADENQNHHLEPEEILKYSEFFTGSKLMDYARNVHEE F >ENSMUSP00000095340.4 pep:known chromosome:GRCm38:4:155993170:156002699:1 gene:ENSMUSG00000029076.14 transcript:ENSMUST00000097734.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdf4 description:stromal cell derived factor 4 [Source:MGI Symbol;Acc:MGI:108079] MVWLVAMTPRQSSLCGLAAHGLWFLGLVLLMDATARPANHSSTRERAANREENEIMPPDH LNGVKLEMDGHLNKDFHQEVFLGKDMDGFDEDSEPRRSRRKLMVIFSKVDVNTDRRISAK EMQHWIMEKTAEHFQEAVKENKLHFRAVDPDGDGHVSWDEYKVKFLASKGHNEREIAEAI KNHEELKVDEEIALHFLLLTGGPLSCSSGETSICLSRL >ENSMUSP00000101203.1 pep:known chromosome:GRCm38:4:155993377:156010318:1 gene:ENSMUSG00000029076.14 transcript:ENSMUST00000105578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdf4 description:stromal cell derived factor 4 [Source:MGI Symbol;Acc:MGI:108079] MVWLVAMTPRQSSLCGLAAHGLWFLGLVLLMDATARPANHSSTRERAANREENEIMPPDH LNGVKLEMDGHLNKDFHQEVFLGKDMDGFDEDSEPRRSRRKLMVIFSKVDVNTDRRISAK EMQHWIMEKTAEHFQEAVKENKLHFRAVDPDGDGHVSWDEYKVKFLASKGHNEREIAEAI KNHEELKVDEETQEVLGNLRDRWYQADNPPADLLLTEDEFLSFLHPEHSRGMLKFMVKEI FRDLDQDGDKQLSLPEFISLPVGTVENQQGQDIDDNWVKDRKKEFEELIDSNHDGIVTME ELENYMDPMNEYNALNEAKQMIAIADENQNHHLEPEEILKYSEFFTGSKLMDYARNVHEE F >ENSMUSP00000086460.2 pep:known chromosome:GRCm38:2:152669488:152705036:1 gene:ENSMUSG00000019188.16 transcript:ENSMUST00000089059.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H13 description:histocompatibility 13 [Source:MGI Symbol;Acc:MGI:95886] MDSAVSDPHNGSAEAGTPANGTTRPPSTPEGIALAYGSLLLMALLPIFFGALRSVRCARG KSSSDMPETITSRDAARFPIIASCTLLGLYLFFKIFSQEYINLLLSMYFFVLGILALSHT ISPFMNKFFPANFPNRQYQLLFTQGSGENKEEIINYEFDTKDLVCLGLSSVVGVWYLLRK HWIANNLFGLAFSLNGVELLHLNNVSTGCILLGGLFIYDIFWVFGTNVMVTVAKSFEAPI KLVFPQDLLEKGLEADNFAMLGLGDIVIPGIFIALLLRFDISLKKNTHTYFYTSFAAYIF GLGLTIFIMHIFKHAQPALLYLVPACIGFPVLVALAKGEVAEMFSYESSAVILPHTPRLT HFPTVSGSPASLADSMQQKLAGPRRRRPQNPSAM >ENSMUSP00000078236.3 pep:known chromosome:GRCm38:2:152669534:152704128:1 gene:ENSMUSG00000019188.16 transcript:ENSMUST00000079247.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H13 description:histocompatibility 13 [Source:MGI Symbol;Acc:MGI:95886] MDSAVSDPHNGSAEAGTPANGTTRPPSTPEGIALAYGSLLLMALLPIFFGALRSVRCARG KSSSDMPETITSRDAARFPIIASCTLLGLYLFFKIFSQEYINLLLSMYFFVLGILALSHT ISPFMNKFFPANFPNRQYQLLFTQGSGENKEEIINYEFDTKDLVCLGLSSVVGVWYLLRK VFGTNVMVTVAKSFEAPIKLVFPQDLLEKGLEADNFAMLGLGDIVIPGIFIALLLRFDIS LKKNTHTYFYTSFAAYIFGLGLTIFIMHIFKHAQPALLYLVPACIGFPVLVALAKGEVAE MFSYESSAVILPHTPRLTHFPTVSGSPASLADSMQQKLAGPRRRRPQ >ENSMUSP00000105450.1 pep:known chromosome:GRCm38:2:152669488:152686701:1 gene:ENSMUSG00000019188.16 transcript:ENSMUST00000109825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H13 description:histocompatibility 13 [Source:MGI Symbol;Acc:MGI:95886] MDSAVSDPHNGSAEAGTPANGTTRPPSTPEGIALAYGSLLLMALLPIFFGALRSVRCARG KSSSDMPETITSRDAARFPIIASCTLLGLYLFFKIFSQEYINLLLSMYFFVLGILALSHT ISPFMNKFFPANFPNRQYQLLFTQGSGENKEGQCCPCPLGCVFPSCLSPVLGFPKKRALK TKPNLYGPGSYPAWSPPSNGNLNDPVVSEHGLFLEVCYPICKME >ENSMUSP00000120068.1 pep:known chromosome:GRCm38:2:152669461:152708670:1 gene:ENSMUSG00000019188.16 transcript:ENSMUST00000125366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H13 description:histocompatibility 13 [Source:MGI Symbol;Acc:MGI:95886] MDSAVSDPHNGSAEAGTPANGTTRPPSTPEGIALAYGSLLLMALLPIFFGALRSVRCARG KSSSDMPETITSRDAARFPIIASCTLLGLYLFFKIFSQEYINLLLSMYFFVLGILALSHT ISPFMNKFFPANFPNRQYQLLFTQGSGENKEEIINYEFDTKDLVCLGLSSVVGVWYLLRK HWIANNLFGLAFSLNGVELLHLNNVSTGCILLGGLFIYDIFWVFGTNVMVTVAKSFEAPI KLVFPQDLLEKGLEADNFAMLGLGDIVIPGIFIALLLRFDISLKKNTHTYFYTSFAAYIF GLGLTIFIMHIFKHAQPALLYLVPACIGFPVLVALAKGEVAEMFSYEESNPKDPAAETES KEESTEASASKRLEKKEK >ENSMUSP00000028678.8 pep:known chromosome:GRCm38:2:91674619:91710519:-1 gene:ENSMUSG00000027244.14 transcript:ENSMUST00000028678.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg13 description:autophagy related 13 [Source:MGI Symbol;Acc:MGI:1196429] METELSSQDRKDLDKFIKFFALKTVQVIVQARLGEKICTRSSSSPTGSDWFNLAIKDIPE VTHEAKKALSGQLPAVGRSMCVEISLKTSEGDSMELEIWCLEMNEKCDKEIKVSYTVYNR LSLLLKSLLAITRVTPAYRLSRKQGHEYVILYRIYFGEVQLNGLGEGFQTVRVGTVGTPV GTLTLSCAYRINLAFMSTRQFERTPPIMGIIIDHFVDRPYPSSSPMHPCNYRTAEDAGVA YPSVEDSQEVCTTSFSTSPPSQLSSSRLSYQPAVLGLGSADLAYPVVFTAGLNTTHAHQL MVPGKEGGVTLAPSHPTHGAQADPERLVMHMPSDGTHCAATPSSSEDTETVSNSSEGRAS PHDILETIFVRKVGAFVNKPINQVTVTSLDIPFAMFAPKNLELEDADPMVNPPESPETTS PLHGSLHSDGSSGGSGGSTHDDFVMIDFKPAFSKDDILPMDLGTFYREFQNPPQLSSLSI DFGAQSMAEDLDSLPEKLAVHEKNVREFDAFVETLQ >ENSMUSP00000076081.5 pep:known chromosome:GRCm38:2:91674618:91710576:-1 gene:ENSMUSG00000027244.14 transcript:ENSMUST00000076803.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg13 description:autophagy related 13 [Source:MGI Symbol;Acc:MGI:1196429] METELSSQDRKDLDKFIKFFALKTVQVIVQARLGEKICTRSSSSPTGSDWFNLAIKDIPE VTHEAKKALSGQLPAVGRSMCVEISLKTSEGDSMELEIWCLEMNEKCDKEIKVSYTVYNR LSLLLKSLLAITRVTPAYRLSRKQGHEYVILYRIYFGEVQLNGLGEGFQTVRVGTVGTPV GTLTLSCAYRINLAFMSTRQFERTPPIMGIIIDHFVDRPYPSSSPMHPCNYRTAEDAGVA YPSVEDSQEVCTTSFSTSPPSQLMVPGKEGGVTLAPSHPTHGAQADPERLVMHMPSDGTH CAATPSSSEDTETVSNSSEGRASPHDILETIFVRKVGAFVNKPINQVTVTSLDIPFAMFA PKNLELEDADPMVNPPESPETTSPLHGSLHSDGSSGGSGGSTHDDFVMIDFKPAFSKDDI LPMDLGTFYREFQNPPQLSSLSIDFGAQSMAEDLDSLPEKLAVHEKNVREFDAFVETLQ >ENSMUSP00000044587.7 pep:known chromosome:GRCm38:6:85865422:85869158:-1 gene:ENSMUSG00000033634.7 transcript:ENSMUST00000045008.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cml2 description:camello-like 2 [Source:MGI Symbol;Acc:MGI:2136446] MAAYHIRQYQEKDHKRVLELFSSGMKELIPAAIRQMLTLPHSLLLLPGVPVTIVLMSASW LLATLYSFLFLLCLWLIFWISCRNYVAKSLQADLADITKSYLNAHGSFWVAESGDQVVGM VGAQPVKDPPLGKKQMQLFRLSVSSQHRGQGIAKALVRTVLQFARDQGYSDVVLETGSVQ HSAQALYQAMGFQKTGQYFVSISKKLMGLSILQFSYSLPFASGPGYSGKYLKKGPIPC >ENSMUSP00000114053.1 pep:known chromosome:GRCm38:9:45766946:45828691:-1 gene:ENSMUSG00000043987.17 transcript:ENSMUST00000117194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep164 description:centrosomal protein 164 [Source:MGI Symbol;Acc:MGI:2384878] MARRPILLGDQLVLEEDSDETYVPSEQEILDFARVIGIDPIKEPELMWLAREGIEAPLPK GWKPCQNITGDLYYFNFDTGQSIWDHPCDEHYRKLVIQERERWSAPGAIKKKDKKKKKEK KNKKDKETSKSPLVLGSPLALVQAPLWGLAPLRGLGDAPPSALRGSQSVSLGSSADSGHL GEPTLPPQGLKAAACAKGLLASVHEGKNALSLLTLGEETNEEDEEESDNQSVRSSSELLK NLHLDLGALGGNFEYEESPRTSQPDKKDVSLDSDADRPPTPGKLFSQGADSSVASANGSK SQGRGASPWNPQKENENSDPKASSSQMAPELDPGGDQPSRASKKQQAEDPVQAGKEGECR RESAAKEPKEASALENTSDVSEESEIHGHLKDARHSGSEASGPKSFLGLDLGFRSRISEH LLDGDTLSPVLGGGHWEAQGLDQEEQDDSKSSIAEPQSKHTQGSEREHLQSSLHSQATEE GPLQTLEGQPEWKEAEGPGKDSVASPAPLSLLQSLLKAQLQKATAEEKEKEEETKIREEE SRRLVCLRAQVQSRTEAFENQIRTEQQAALQRLREEAETLQKAERASLEQKSRRALEQLR EQLEAEERSAQAALRAEKEAEKEAALLQLREQLEGERKEAVAGLEKKHSAELEQLCSSLE AKHQEVISSLQKKIEGAQQKEEAQLQESLGWAEQRAHQKVHQVTEYEQELSSLLRDKRQE VEREHERKMDKMKEEHWQEMADARERYEAEERKQRADLLGHLTGELERLRRAHERELESM RQEQDQQLEDLRRRHRDHERKLQDLEVELSSRTKDVKARLAQLNVQEENIRKEKQLLLDA QRQAALEREEATATHQHLEEAKKEHTHLLETKQQLRRTIDDLRVRRVELESQVDLLQAQS QRLQKHLSSLEAEVQRKQDVLKEMAAEMNASPHPEPGLHIEDLRKSLDTNKNQEVSSSLS LSKEEIDLSMESVRQFLSAEGVAVRNAKEFLVRQTRSMRRRQTALKAAQQHWRHELASAQ EVDEDLPGTEVLGNMRKNLNEETRHLDEMKSAMRKGHDLLKKKEEKLIQLESSLQEEVSD EDTLKGSSIKKVTFDLSDMDDLSSESLESSPVLHITPTPTSADPNKIHYLSSSLQRISSE LNGVLNVLGSLNSQPPPQGLGSQPPPPLFTSSLRSSKNVLDPAYSSQAKLSSLSSITPMS TQWAWDPGQGTKLTSSSSSQTVDDFLLEKWRKYFPSGIPLLSGSPPPPENKLGYVSVSEQ LHFLQRSHPRVPRTDGVSIQSLIDSNRKWLEHFRNDPKVQLFSSAPKATTTSNLSNLLQL GLDENNRLNVFHY >ENSMUSP00000117344.1 pep:known chromosome:GRCm38:9:45778410:45802667:-1 gene:ENSMUSG00000043987.17 transcript:ENSMUST00000132430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep164 description:centrosomal protein 164 [Source:MGI Symbol;Acc:MGI:2384878] XDEEESDNQSVRSSSELLKNLHLDLGALGGNFEYEESPRTSQPDKKDVSLDSDADRPPTP GKLFSQGADSSVASANGSKSQGRGASPWNPQKENENSDPKASSSQMAPELDPGGDQPSRA SKKQQAEDPVQAGKEGECRRESAAKEPKEASALENTSDVSEESEIHGHLKDARHSGSEAS GPKSFLGLDLGFRSRISEHLLDGDTLSPVLGGGHWEAQGLDQEEQDDSKSSIAEPQSKHT QGSEREHLQSSLHSQATEEGPLQTLEGQPEWKEAEGPGKDSVASPAPLSLLQRQTAEPAA PQKLFSEAILKGMELEEDQRLLLEFQKEKPQQLEERLWREEEEKEEGEEEEKEDEEEEGE EEEEEEEKEEEEEEEEEEEVCQLYQQKEKSLSLLKAQLQKATAEEKEKEEETKIREEESR RLVCLRAQVQSRTEAFENQIRTEQQAALQRLREEAETLQKAERASLEQKSRRALEQLREQ LEAEERSAQAALRAEKEAEKEAALLQLREQLEGERKEAVAGLEKKHSAELEQLCSSLEAK HQEVISSLQKKIEGAQQKEEAQLQESLGWAEQRAHQKVHQVTEYEQELSSLLRDKRQEVE REHERKMDKMKEEHWQEMADARERYEA >ENSMUSP00000076122.1 pep:known chromosome:GRCm38:2:36640041:36640976:1 gene:ENSMUSG00000059251.1 transcript:ENSMUST00000076850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr345 description:olfactory receptor 345 [Source:MGI Symbol;Acc:MGI:3030179] MRRDNESTVSEFILLGLPIRAEEQGMYFALFLAMYLTTVLGNLLIILLIRLDSHLHIPMY FFLSHLAFTDISFSSVTAPKMLVNMLTHSQSISYTGCVSQVYFFAIFADLDSFLLTSMAY DRYVAICHPLHYSQTMSQTLCVLLVLVSWALSIANALVRTLLLAHLSHFRDNTIPHYFCD LSDWLKLSSSDTTINELVILVLGNVVITLPFICILVSYGHIGVTILKTPSIKGICKALST CGSHLCVVSLYYGAIIGLYFVPSSNNTNDKDAIVAVMYTVVTPMLNPFIYSLRNRDMKGA LRNILGRRLCS >ENSMUSP00000135663.1 pep:known chromosome:GRCm38:17:57204007:57222827:-1 gene:ENSMUSG00000024164.15 transcript:ENSMUST00000177425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C3 description:complement component 3 [Source:MGI Symbol;Acc:MGI:88227] SVWVDVKDSCIGTLVVKGDPRDNHLAPGQQTTLRIEGNQGARVGLVAVDKGVFVLNKKNK LTQSKIWDVVEKAEECQDQKYQKQCEELGAFTESMVVYGCPN >ENSMUSP00000135560.1 pep:known chromosome:GRCm38:17:57206217:57228003:-1 gene:ENSMUSG00000024164.15 transcript:ENSMUST00000177046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C3 description:complement component 3 [Source:MGI Symbol;Acc:MGI:88227] XLLLLLASSPLALGIPMYSIITPNVLRLESEETIQNEAFSLTAKGKGRGTLSVVAVYHAK LKSKVTCKKFDLRVSIRPAPETAKKPEEAKNTMFLEICTKYLGDVDATMSILDISMMTGF APDTKDLELLASGVDRYISKYEMNKAFSNKNTLIIYLEKISHTEEDCLTFKVHQYFNVGL IQPGS >ENSMUSP00000024988.8 pep:known chromosome:GRCm38:17:57203970:57228136:-1 gene:ENSMUSG00000024164.15 transcript:ENSMUST00000024988.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C3 description:complement component 3 [Source:MGI Symbol;Acc:MGI:88227] MGPASGSQLLVLLLLLASSPLALGIPMYSIITPNVLRLESEETIVLEAHDAQGDIPVTVT VQDFLKRQVLTSEKTVLTGASGHLRSVSIKIPASKEFNSDKEGHKYVTVVANFGETVVEK AVMVSFQSGYLFIQTDKTIYTPGSTVLYRIFTVDNNLLPVGKTVVILIETPDGIPVKRDI LSSNNQHGILPLSWNIPELVNMGQWKIRAFYEHAPKQIFSAEFEVKEYVLPSFEVRVEPT ETFYYIDDPNGLEVSIIAKFLYGKNVDGTAFVIFGVQDGDKKISLAHSLTRVVIEDGVGD AVLTRKVLMEGVRPSNADALVGKSLYVSVTVILHSGSDMVEAERSGIPIVTSPYQIHFTK TPKFFKPAMPFDLMVFVTNPDGSPASKVLVVTQGSNAKALTQDDGVAKLSINTPNSRQPL TITVRTKKDTLPESRQATKTMEAHPYSTMHNSNNYLHLSVSRMELKPGDNLNVNFHLRTD PGHEAKIRYYTYLVMNKGKLLKAGRQVREPGQDLVVLSLPITPEFIPSFRLVAYYTLIGA SGQREVVADSVWVDVKDSCIGTLVVKGDPRDNHLAPGQQTTLRIEGNQGARVGLVAVDKG VFVLNKKNKLTQSKIWDVVEKADIGCTPGSGKNYAGVFMDAGLAFKTSQGLQTEQRADLE CTKPAARRRRSVQLMERRMDKAGQYTDKGLRKCCEDGMRDIPMRYSCQRRARLITQGENC IKAFIDCCNHITKLREQHRRDHVLGLARSELEEDIIPEEDIISRSHFPQSWLWTIEELKE PEKNGISTKVMNIFLKDSITTWEILAVSLSDKKGICVADPYEIRVMQDFFIDLRLPYSVV RNEQVEIRAVLFNYREQEELKVRVELLHNPAFCSMATAKNRYFQTIKIPPKSSVAVPYVI VPLKIGQQEVEVKAAVFNHFISDGVKKTLKVVPEGMRINKTVAIHTLDPEKLGQGGVQKV DVPAADLSDQVPDTDSETRIILQGSPVVQMAEDAVDGERLKHLIVTPAGCGEQNMIGMTP TVIAVHYLDQTEQWEKFGIEKRQEALELIKKGYTQQLAFKQPSSAYAAFNNRPPSTWLTA YVVKVFSLAANLIAIDSHVLCGAVKWLILEKQKPDGVFQEDGPVIHQEMIGGFRNAKEAD VSLTAFVLIALQEARDICEGQVNSLPGSINKAGEYIEASYMNLQRPYTVAIAGYALALMN KLEEPYLGKFLNTAKDRNRWEEPDQQLYNVEATSYALLALLLLKDFDSVPPVVRWLNEQR YYGGGYGSTQATFMVFQALAQYQTDVPDHKDLNMDVSFHLPSRSSATTFRLLWENGNLLR SEETKQNEAFSLTAKGKGRGTLSVVAVYHAKLKSKVTCKKFDLRVSIRPAPETAKKPEEA KNTMFLEICTKYLGDVDATMSILDISMMTGFAPDTKDLELLASGVDRYISKYEMNKAFSN KNTLIIYLEKISHTEEDCLTFKVHQYFNVGLIQPGSVKVYSYYNLEESCTRFYHPEKDDG MLSKLCHSEMCRCAEENCFMQQSQEKINLNVRLDKACEPGVDYVYKTELTNIELLDDFDE YTMTIQQVIKSGSDEVQAGQQRKFISHIKCRNALKLQKGKKYLMWGLSSDLWGEKPNTSY IIGKDTWVEHWPEAEECQDQKYQKQCEELGAFTESMVVYGCPN >ENSMUSP00000057095.9 pep:known chromosome:GRCm38:2:167481133:167492887:-1 gene:ENSMUSG00000047030.15 transcript:ENSMUST00000057627.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata2 description:spermatogenesis associated 2 [Source:MGI Symbol;Acc:MGI:2146885] MDTKYKDDLFRKYVQFHEGKVDTTPGNQQPGSDEYLRVAAATLLSLHKVDPLYRFRLIQF YEVVESSLRSLSSSSLSALHCAFSMLETMAINLFLFPWKKEFRSIKTYTGPFVYYVKSTL LEKDIRAILRFMGYEPELGTVYKLKELVESLQVKMVSFELFLAKVECEQMLGIHSQVKDK GYSELDVVAERKGSTEDARGCSDALRRRAESREHLTTSMARVALQKSASERAAKDYYKPR VTKPSRSVDAYDSYWESRKPPSKASLSLRKEPLAMDVGEDLKDEIIRPSPSLLAMSSSPH GSPDDLSSISSINGLGLLRSTYFSTQDDVDLYTDSEPRATYRRQDALRPDVWLVKNDTHP IYHKRSPPTKESALSKCQNCGLSCSSSLCQRCDSVLVCPSASKPSAFPSKASVHDSLAHG APMREKYVGHQTQGLDRLAPVHSKPKPSTTATSRCGFCNRAGATNTCTQCSKVSCDACLG AYHYDPCCRKSELHKFLPNSQLNYKSAPFSQLVYR >ENSMUSP00000104834.2 pep:known chromosome:GRCm38:2:167481433:167492845:-1 gene:ENSMUSG00000047030.15 transcript:ENSMUST00000109211.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata2 description:spermatogenesis associated 2 [Source:MGI Symbol;Acc:MGI:2146885] MDTKYKDDLFRKYVQFHEGKVDTTPGNQQPGSDEYLRVAAATLLSLHKVDPLYRFRLIQF YEVVESSLRSLSSSSLSALHCAFSMLETMAINLFLFPWKKEFRSIKTYTGPFVYYVKSTL LEKDIRAILRFMGYEPELGTVYKLKELVESLQVKMVSFELFLAKVECEQMLGIHSQVKDK GYSELDVVAERKGSTEDARGCSDALRRRAESREHLTTSMARVALQKSASERAAKDYYKPR VTKPSRSVDAYDSYWESRKPPSKASLSLRKEPLAMDVGEDLKDEIIRPSPSLLAMSSSPH GSPDDLSSISSINGLGLLRSTYFSTQDDVDLYTDSEPRATYRRQDALRPDVWLVKNDTHP IYHKRSPPTKESALSKCQNCGLSCSSSLCQRCDSVLVCPSASPLLGVSHYRRSL >ENSMUSP00000121386.1 pep:known chromosome:GRCm38:16:91677268:91684435:-1 gene:ENSMUSG00000022960.12 transcript:ENSMUST00000133942.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Donson description:downstream neighbor of SON [Source:MGI Symbol;Acc:MGI:1890621] LVYWLHPAFSWLPLFPRIGADRKMAAKTSPWSADETLQHALMSDWSVSFTSLYNLLKTKL CPYFYVCSYQFTVLFRAAGLAGSSVITALISPTTRGLREAMRNEGIEFSLPLLEEIGHKK KVRDPSLESEEEQAVSDEDEEESFSWLEEIGVQDQIKKPDVISIKLRKEKHEVQMDHRPE SVVLVKGLNTFKLLNFLINCKSLVATSGAQAGLPPTLLSPIAFRGASMQMLKARSSNVKT QALSGYRDKFSLDITGPVMPHALHSMSMLLRSSQRGSFSAGLYAHEPTAVFNVGLSLDKE LDRKVAREDLANCGLHPKTLEQLSQRPVLGKSSLRSVEMSDYILSWRS >ENSMUSP00000023682.4 pep:known chromosome:GRCm38:16:91679265:91688765:-1 gene:ENSMUSG00000022960.12 transcript:ENSMUST00000023682.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Donson description:downstream neighbor of SON [Source:MGI Symbol;Acc:MGI:1890621] MAVSVPGYSPSFKRPPETVRLRRKRSRDHGAAVPASLPEPAPRRAALAAGLPLRPFPTAG GRGGAAATIARRNPFARLDNRPRVSDEASEEPLRGPQGASGPLLDSNEENNLLWEDTSSH ERTGTELSQSQRVSLSESDTWSSDGTELPVDWSIKTRLLFTSSQPFSWADHLKAQEEAQG LVQHCRATEVTLPQSIQDPKLSTALRCAFQQALVYWLHPAFSWLPLFPRIGADRKMAAKT SPWSADETLQHALMSDWSVSFTSLYNLLKTKLCPYFYVCSYQFTVLFRAAGLAGSSVITA LISPTTRGLREAMRNEGIEFSLPLLEEIGHKKKVRDPSLESEEEQAVSDEDEEESFSWLE EIGVQDQIKKPDVISIKLRKEKHEVQMDHRPESVVLVKGLNTFKLLNFLINCKSLVATSG AQAGLPPTLLSPIAFRGASMQMLKARSSNVKTQALSGYRDKFSLDITGPVMPHALHSMSM LLRSSQRGSFSAGLYAHEPTAVFNVGLSLDKELDRKVAREDLANCGLHPKTLEQLSQRPV LGKSSLRSVEMSDYILSWRS >ENSMUSP00000113220.1 pep:known chromosome:GRCm38:16:91679265:91688765:-1 gene:ENSMUSG00000022960.12 transcript:ENSMUST00000117159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Donson description:downstream neighbor of SON [Source:MGI Symbol;Acc:MGI:1890621] MAVSVPGYSPSFKRPPETVRLRRKRSRDHGAAVPASLPEPAPRRAALAAGLPLRPFPTAG GRGGAAATIARRNPFARLDNRPRVSDEASEEPLRGPQGASGPLLDSNEENNLLWEDTSSH ERTGTELSQSQRVSLSESDTWSSDGTELPVDWSIKTRLLFTSSQPFSWADHLKAQEEAQG LVQHCRATEVTLPQSIQDPKLSTALRCAFQQALVYWLHPAFSWLPLFPRIGADRKMAAKT SPWSADETLQHALMSDWSVSFTSLYNLLKTKLCPYFYVCSYQFTVLFRAAGLAGSSVITA LISPTTRGLREAMRNEGIEFSLPLLEEIGHKKKVRDPSLESEEEQAVSDEDEEESFSWLE EIGVQDQIKKPDVISIKLRKEKHEVQMDHRPESVVLVKGLNTFKLLNFLINCKSLVATSG AQAGLPPTLLSPIAFRGASMQMLKDCMHTSQLQFSMLACLWIKNWTEKLLVRTLLIVGCI LKPWSSSVRGQCWGSHPCGVWK >ENSMUSP00000120957.1 pep:known chromosome:GRCm38:16:91679265:91688765:-1 gene:ENSMUSG00000022960.12 transcript:ENSMUST00000139324.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Donson description:downstream neighbor of SON [Source:MGI Symbol;Acc:MGI:1890621] MAVSVPGYSPSFKRPPETVRLRRKRSRDHGAAVPASLPEPAPRRAALAAGLPLRPFPTAG GRGGAAATIARRNPFARLDNRPRVSDEASEEPLRGPQGASGPLLDSNEENNLLWEDTSSH ERTGTELSQSQRVSLSESDTWSSDGTELPVDWSIKTRLLFTSSQPFSWADHLKAQEEAQG LVQHCRATEVTLPQSIQVCEFHISV >ENSMUSP00000109665.1 pep:known chromosome:GRCm38:16:91679265:91688765:-1 gene:ENSMUSG00000022960.12 transcript:ENSMUST00000114031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Donson description:downstream neighbor of SON [Source:MGI Symbol;Acc:MGI:1890621] MAVSVPGYSPSFKRPPETVRLRRKRSRDHGAAVPASLPEPAPRRAALAAGLPLRPFPTAG GRGGAAATIARRNPFARLDNRPRVSDEASEEPLRGPQGASGPLLDSNEENNLLWEDTSSH ERTGTELSQSQRVSLSESDTWSSDGTELPVDWSIKTRLLFTSSQPFSWADHLKAQEEAQG LVQHCRATEVTLPQSIQDPKLSTALRCAFQQALVYWLHPAFSWLPLFPRIGADRKMAAKT SPWSADETLQHALMSDWSVSFTSLYNLLKTKLCPYFYVCSYQFTVLFRAAGLAGSSVITA LISPTTRGLREAMRNEGIEFSLPLLEEIGHKKKVRDPSLESEEEQAVSDEDEEESFSWLE EIGVQDQIKKPDVISIKLRKEKHEVQMDHRPESVVLVKGLNTFKLLNFLINCKSLVATSG AQAGLPPTLLSPIAFRGASMQMLKKVAREDLANCGLHPKTLEQLSQRPVLGKSSLRSVEM SDYILSWRS >ENSMUSP00000122506.1 pep:known chromosome:GRCm38:16:91679522:91687875:-1 gene:ENSMUSG00000022960.12 transcript:ENSMUST00000145833.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Donson description:downstream neighbor of SON [Source:MGI Symbol;Acc:MGI:1890621] LLDSNEENNLLWEDTSSHERTGTELSQSQRVSLSESDTWSSDGTELPVDWSIKTRLLFTS SQPFSWADHLKAQEEAQGLVQHCRATEVTLPQSIQDPKLSTALRCAFQQALVYWLHPAFS WLPLFPRIGADRKMAAKTSPWSADETLQHALMSDWHGAVM >ENSMUSP00000122778.1 pep:known chromosome:GRCm38:16:91679522:91687875:-1 gene:ENSMUSG00000022960.12 transcript:ENSMUST00000136699.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Donson description:downstream neighbor of SON [Source:MGI Symbol;Acc:MGI:1890621] LLDSNEENNLLWEDTSSHERTGTELSQSQRVSLSESDTWSSDGTELPVDWSIKTRLLFTS SQPFSWADHLKAQEEAQGLVQHCRATEVTLPQSIQDPKLSTALRCAFQQALVYWLHPAFS WLPLFPRIGADRKMAAKTSPWSADETLQHALMSDWY >ENSMUSP00000123648.1 pep:known chromosome:GRCm38:16:91679522:91688717:-1 gene:ENSMUSG00000022960.12 transcript:ENSMUST00000144461.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Donson description:downstream neighbor of SON [Source:MGI Symbol;Acc:MGI:1890621] MAVSVPGYSPSFKRPPETVRLRRKRSRDHGAAVPASLPEPAPRRAALAAGLPLRPFPTAG GRGGAAATIARRNPFARLDNRPRVSDEASEEPLRGPQGASGPLLDSNEENNLLWEDTSSH ERTGTELSQII >ENSMUSP00000121591.1 pep:known chromosome:GRCm38:16:91679828:91688703:-1 gene:ENSMUSG00000022960.12 transcript:ENSMUST00000138560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Donson description:downstream neighbor of SON [Source:MGI Symbol;Acc:MGI:1890621] SVPGYSPSFKRPPETVRLRRKRSRDHGAAVPASLPEPAPRRAALAAGLPLRPFPTAGGRG GAAATIARRNPFARLDNRPRVSDEASEEPLRGPQGASGPSQRVSLSESDTWSSDGTELPV DWSIKTRLLFTSSQPFSWADHLKAQEEAQGLVQHCRATEVTLPQSIQDPKLSTALRCAFQ QALVYWLHPAFSWLPLFPRIGADRKMAAKTSPWSADETLQHALMSDWSVSFTSLYNLLKT KLCPYFYVCSYQFTVLFRAAGLAGSSVITALISPTTRGLREAMRNEGIEFSLPLLEEIGH KKKVRDPSLESEEEQAVSDEDEEESFSWLEEIGVQDQIKKPDVISIKLRKEKHEVQMDHR PESVVLVKGLNTFKLLNFLINCKSLVATSGAQAGLPPTLLSPIAFRGASMQMLKARSSNV KTQALSGYRDKFSLDITGPVMPHALHSMSMLLRSSQRGSFSAGLYAHEPTAVFNVGLSLD KELDRKVAREDLANCGLHPKTLEQLSQRPVLGKSSLRSVEMSDYILSWRS >ENSMUSP00000124071.1 pep:known chromosome:GRCm38:6:85899051:85902533:-1 gene:ENSMUSG00000068299.11 transcript:ENSMUST00000159755.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019G17Rik description:RIKEN cDNA 1700019G17 gene [Source:MGI Symbol;Acc:MGI:1922791] MAFYHIRQYQEKDHKKVLELFSRGMKEHVPAAFHHMLTLPQTLLLLPGVPVTIVLVSGSW LLATVCSFLFLLCLRLLIWLSWRNYVATSLQADLADITKSYLNAHGSFWVAESGDQGADR GSGDSGERSSLMSPRQ >ENSMUSP00000093430.3 pep:known chromosome:GRCm38:6:85899051:85904884:-1 gene:ENSMUSG00000068299.11 transcript:ENSMUST00000095757.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019G17Rik description:RIKEN cDNA 1700019G17 gene [Source:MGI Symbol;Acc:MGI:1922791] MAFYHIRQYQEKDHKKVLELFSRGMKEHVPAAFHHMLTLPQTLLLLPGVPVTIVLVSGSW LLATVCSFLFLLCLRLLIWLSWRNYVATSLQADLADITKSYLNAHGSFWVAESGDQVVGI VGARPVKDPPLGKKQMQLFRLSVSSQHRGQGIAKALVRTVLWFARDQDYSDVVLETSCVQ YSAVALYQAMGFRKTDQYFVSIATRLMGLSILHFTYSLPFAWGSGM >ENSMUSP00000133523.1 pep:known chromosome:GRCm38:11:22990519:23003780:1 gene:ENSMUSG00000007739.10 transcript:ENSMUST00000173867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct4 description:chaperonin containing Tcp1, subunit 4 (delta) [Source:MGI Symbol;Acc:MGI:104689] MPENVASRSGAPTAGPGSRGKSAYQDRDKPAQIRFSNISAAKAVADAIRTSLGPKGMDKM IQDGKGDVTITNDGATILKQMQVLHPAARMLVELSKAQDIEAGDGTTSVVIIAGSLLDSC TKLLQKGIHPTIISESFQKALEKGLEILTDMSRPVQLSDRETLLNSATTSLNSKVVSQYS SLLSPMSVNAVMKVIDPATATSVDLRDIKIVKKLGGTIDDCELVEGLVLTQKVANSGITR VEKAKIGLIQFCLSAPKTDMDNQIVVSDYAQMDRVLREERAYILNLVKQIKKTGCNVLLI QKSILRDALSDLALHFLNKMKIMVVKDVEREDIEFICKTIGTKPVAHIDQFTADMLGSAE LAEEVSLNGSGKLFKITGCTSPGKTVTIVVRGSNKLVIEEAERSIHDALCVIRCLVKKRA LIAGGGAPEIELALRLTEYSRTLSGMESYCVRAFADAMEVIPSTLAENAGLNPISTVTEL RNRHAQGEKTTGINVRKGGISNILEEMVVQPLLVSVSALTLATETVRSILKIDDVVNTR >ENSMUSP00000133677.1 pep:known chromosome:GRCm38:11:22990626:22994389:1 gene:ENSMUSG00000007739.10 transcript:ENSMUST00000173853.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cct4 description:chaperonin containing Tcp1, subunit 4 (delta) [Source:MGI Symbol;Acc:MGI:104689] MPENVASRSGAPTAGPGSRGKSAYQDRDKPAQIRFSNISAAKGITRSPARLRRNGPASL >ENSMUSP00000134248.1 pep:known chromosome:GRCm38:11:22990650:22997096:1 gene:ENSMUSG00000007739.10 transcript:ENSMUST00000174047.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cct4 description:chaperonin containing Tcp1, subunit 4 (delta) [Source:MGI Symbol;Acc:MGI:104689] MPENVASRSGAPTAGPGSRGKSAYQDRDKPAQIRFSNISAAKAVADAIRTSLGPKGMDKM IQDGKGDVTITNDGATILKQMQVLHPAARMVYIQPSFPSHSRKLWKRVLKSLLTCLDLCN >ENSMUSP00000020562.4 pep:known chromosome:GRCm38:11:22990650:23003151:1 gene:ENSMUSG00000007739.10 transcript:ENSMUST00000020562.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct4 description:chaperonin containing Tcp1, subunit 4 (delta) [Source:MGI Symbol;Acc:MGI:104689] MPENVASRSGAPTAGPGSRGKSAYQDRDKPAQIRFSNISAAKAVADAIRTSLGPKGMDKM LVELSKAQDIEAGDGTTSVVIIAGSLLDSCTKLLQKGIHPTIISESFQKALEKGLEILTD MSRPVQLSDRETLLNSATTSLNSKVVSQYSSLLSPMSVNAVMKVIDPATATSVDLRDIKI VKKLGGTIDDCELVEGLVLTQKVANSGITRVEKAKIGLIQFCLSAPKTDMDNQIVVSDYA QMDRVLREERAYILNLVKQIKKTGCNVLLIQKSILRDALSDLALHFLNKMKIMVVKDVER EDIEFICKTIGTKPVAHIDQFTADMLGSAELAEEVSLNGSGKLFKITGCTSPGKTVTIVV RGSNKLVIEEAERSIHDALCVIRCLVKKRALIAGGGAPEIELALRLTEYSRTLSGMESYC VRAFADAMEVIPSTLAENAGLNPISTVTELRNRHAQGEKTTGINVRKGGISNILEEMVVQ PLLVSVSALTLATETVRSILKIDDVVNTR >ENSMUSP00000133667.1 pep:known chromosome:GRCm38:11:22990652:22997149:1 gene:ENSMUSG00000007739.10 transcript:ENSMUST00000174659.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cct4 description:chaperonin containing Tcp1, subunit 4 (delta) [Source:MGI Symbol;Acc:MGI:104689] MPENVASRSGAPTAGPGSRGKSAYQDRDKPAQIRFSNISAAKAGGIV >ENSMUSP00000077897.1 pep:known chromosome:GRCm38:2:36688004:36688933:1 gene:ENSMUSG00000094764.1 transcript:ENSMUST00000078854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr346 description:olfactory receptor 346 [Source:MGI Symbol;Acc:MGI:3030180] MRMDNESTVSEFILLGLPIRAKDQAVYSALILAMYLTTVLGNLLIILLIRLDPHLHTPMY FFLSHLALTDISFSSVTVPRMLVNMLTQSQSISYTGCISQVYFFIVFGSIDSFLLPSMAY DRYVAICHPLHYTLIMNLNLCVLLVVVSWALSLVNALVHTLLLARLSHFRNNIIPHYFCD LSALLKLSSSDTSINELVILVLGNVVITLPFICILVSYGYIGVTILKTPSTKGIRKALST CGSHLCVVSLYYGSVIGLYCVPSSNNTNDKDAIVAMMYTVVTPMLNPFIYSLRNRDMKRA LRNILSRKK >ENSMUSP00000131051.1 pep:known chromosome:GRCm38:8:12654253:12657634:-1 gene:ENSMUSG00000000759.14 transcript:ENSMUST00000165321.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tubgcp3 description:tubulin, gamma complex associated protein 3 [Source:MGI Symbol;Acc:MGI:2183752] XAQALPRDAHSTPYYYARPQTLPLNYQDRSTQAQSSGSLGSSGISSIGMCGLSGPTPVQP FLPGLIKLQEWVTACDSSWGLVWRGL >ENSMUSP00000130106.1 pep:known chromosome:GRCm38:8:12654288:12671959:-1 gene:ENSMUSG00000000759.14 transcript:ENSMUST00000172056.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tubgcp3 description:tubulin, gamma complex associated protein 3 [Source:MGI Symbol;Acc:MGI:2183752] XEADVAQQFQFAVRVIGSNFAPTVERDEFLVAEKIKKELIRQRREADAALFSELHRKLHS QTVSSSSRSG >ENSMUSP00000000776.8 pep:known chromosome:GRCm38:8:12614277:12672248:-1 gene:ENSMUSG00000000759.14 transcript:ENSMUST00000000776.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp3 description:tubulin, gamma complex associated protein 3 [Source:MGI Symbol;Acc:MGI:2183752] MATPDQKSPNVLLQNLCCRILGRSEADVAQQFQFAVRVIGSNFAPTVERDEFLVAEKIKK ELIRQRREADAALFSELHRKLHSQGVLKNKWSILYLLLNLSEDPRKQASKVTSYASLFAQ ALPRDAHSTPYYYARPQTLPLNYQDRSTQAQSSGSLGSSGISSIGMCGLSGPTPVQPFLP GQSHQAPGVGDGLRQQLGPRLAWTLTGNQPSSQTPTSKGFPNALSRNLTRSRREGDPGGT LEVTEAALVRDILYVFQGIDGKNIKMSSTENCYKVEAKANLNKSLRDTAVRLAELGWLHN KIRKYADQRSLDRSFGLVGQSFCAALHQELKEYYRLLSVLHSQLQLEDDQGVNLGLESSL TLRRLLVWTYDPKIRLKTLAALVDHCQGRKGGELASAVHAYTKTGDPYMKSLVQHILSLV SHPVLSFLYRWIYDGELEDTYHEFFVASDPTVKTDRLWHDKYTLRKSMIPSFITMDQSRK VLLIGKSINFLHQVCHDQTPTTKMIAVTKSAESPRDAADLFTDLENAFQGKIDAAYFETS KYLLDVLNKKYSLLEHMQAMRRYLLLGQGDFIRHLMDLLKPELVRPATTLYQHNLTGILE TAVRATNAQFDSPEILKRLDVRLLEVSPGDTGWDVFSLDYHVDGPIATVFTRECMSHYLR VFNFLWRAKRMEYILTDIRKGHMCNAKLLRNMPEFSGVLHQCHILASEMVHFIHQMQYYI TFEVLECSWDELWNRVQQAQDLDHIIAAHEAFLNTITSRCLLDSNSRVLLNQLRAVFDQI IELQNAQDVMYRAALEELQRRLQFEEKKKQREIEGQWGVTAAEEEEENKRIREFQDSIPK MCSQLRILTHFYQGVVQQFLVLLTTSSDESLQFLSFRLDFNEHYKAREPRLRVSLGSRGR RSSHT >ENSMUSP00000127741.1 pep:known chromosome:GRCm38:8:12614277:12672099:-1 gene:ENSMUSG00000000759.14 transcript:ENSMUST00000164774.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tubgcp3 description:tubulin, gamma complex associated protein 3 [Source:MGI Symbol;Acc:MGI:2183752] MATPDQKSPNVLLQNLCCRILGRSEADVAQQFQFAVRVIGSNFAPTVERDEFLVAEKIKK ELIRQRREADAALFSELHRKLHSQGVLKNKWSILYLLLNLSEDPRKQASKVTSYASLFAQ ALPRDAHSTPYYYARPQTLPLNYQDRSTQAQSSGSLGSSGISSIGMCGLSGPTPVQPFLP GQSHQAPGVGDGLRQQLGPRLAWTLTGNQPSSQTPTSKGFPNALSRNLTRSRREGDPGGT LEVTEAALVRDILYVFQGIDGKNIKMSSTENCYKVEAKANLNKSLRDTAVRLAELGWLHN KIRKYADQRSLDRSFGLVGQSFCAALHQELKEYYRLLSVLHSQDEKGVSWPLLFMPIQRQ GTPT >ENSMUSP00000143426.1 pep:known chromosome:GRCm38:5:121371725:121378823:-1 gene:ENSMUSG00000042726.14 transcript:ENSMUST00000156158.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trafd1 description:TRAF type zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1923551] EDYCGARTELCGSCGRNVLVKELKTHPEVCGRVEEEKRTEAAIPPEAYDEPWSQDRIWIA SQLLRQIEALDPPMRLPGRPLQAFEADPFYSRTTSQRSMAAQFPVQNNLFEEQERQERNR SRQSPKDSAENNAHLDFMLALSLQNEGQATSMVEQGFWESVPEADPARAGPTSLGDIKGA ADEILLPCEFCEELYPEELLIDHQVCGTRQRQTGS >ENSMUSP00000047475.7 pep:known chromosome:GRCm38:5:121371725:121385632:-1 gene:ENSMUSG00000042726.14 transcript:ENSMUST00000042312.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trafd1 description:TRAF type zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1923551] MAEFRDDQASRLCDNCKKEIPVFNFTIHEIHCQRNIGVCPVCKEPFPKSDMDIHMAAEHC QVTCKCNKKLEKRQLKQHAETECPLRLAVCQHCDLELSVVKLKEHEDYCGARTELCGSCG RNVLVKELKTHPEVCGRVEEEKRTEAAIPPEAYDEPWSQDRIWIASQLLRQIEALDPPMR LPGRPLQAFEADPFYSRTTSQRSMAAQFPVQNNLFEEQERQERNRSRQSPKDSAENNAHL DFMLALSLQNEGQATSMVEQGFWESVPEADPARAGPTSLGDIKGAADEILLPCEFCEELY PEELLIDHQTSCNPSHALRSLNTGSSSIRGVEDPGTIFQNFLQQATSNQFDTLMGLSSSA AVEDSIIIPCEFCGVQLEEEVLFYHQDQCDQRPATANHRAVEGIPAQDSQPENTSAELSR RRVKHQGDLSSGYMDDVKPESVKGPTYSMSPNRTMNNVASCNRLLNLPSGPRSDCQRSPP GVLKLNNSDSQDIRGQMRGSQNGPIASGHAPVIHSIQNLYPENFAPSFPHGSPGRYGAGG RSEGGRSSRVSPAAAGYHSRAAKAKPPKQQGAGDAEEEEE >ENSMUSP00000113910.1 pep:known chromosome:GRCm38:5:121371730:121385571:-1 gene:ENSMUSG00000042726.14 transcript:ENSMUST00000120784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trafd1 description:TRAF type zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1923551] MAEFRDDQASRLCDNCKKEIPVFNFTIHEIHCQRNIGVCPVCKEPFPKSDMDIHMAAEHC QVTCKCNKKLEKRQLKQHAETECPLRLAVCQHCDLELSVVKLKEHEDYCGARTELCGSCG RNVLVKELKTHPEVCGRVEEEKRTEAAIPPEAYDEPWSQDRIWIASQLLRQIEALDPPMR LPGRPLQAFEADPFYSRTTSQRSMAAQFPVQNNLFEEQERQERNRSRQSPKDSAENNAHL DFMLALSLQNEGQATSMVEQGFWESVPEADPARAGPTSLGDIKGAADEILLPCEFCEELY PEELLIDHQTSCNPSHALRSLNTGSSSIRGVEDPGTIFQNFLQQATSNQFDTLMGLSSSA AVEDSIIIPCEFCGVQLEEEVLFYHQDQCDQRPATANHRAVEGIPAQDSQPENTSAELSR RRVKHQGDLSSGYMDDVKPESVKGPTYSMSPNRTMNNVASCNRLLNLPSGPRSDCQRSPP GVLKLNNSDSQDIRGQMRGSQNGPIASGHAPVIHSIQNLYPENFAPSFPHGSPGSGRSEG GRSSRVSPAAAGYHSRAAKAKPPKQQGAGDAEEEEE >ENSMUSP00000144108.1 pep:known chromosome:GRCm38:5:121371732:121373474:-1 gene:ENSMUSG00000042726.14 transcript:ENSMUST00000202064.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trafd1 description:TRAF type zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1923551] XDLSSGYMDDVKPESVKGPTYSMSPNRTMNNVASCNRLLNLPSGPSGRSEGGRSSRVSPA AAGYHSRAAKAKPPKQQGAGDAEEEEE >ENSMUSP00000115159.1 pep:known chromosome:GRCm38:5:121378631:121385409:-1 gene:ENSMUSG00000042726.14 transcript:ENSMUST00000152265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trafd1 description:TRAF type zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1923551] MAEFRDDQASRLCDNCKKEIPVFNFTIHEIHCQRNIGVCPVCKEPFPKSDMDIHMAAEHC QVTCKCNKKLEKRQLKQHAETECPLRLAVCQHCDLELSVVKLKEHEDYCGARTELCGSCG RNVLVKELKTHPEVCGRVEEEKRTEAAIPPEAYDEPWSQDRIWIASQLL >ENSMUSP00000118351.1 pep:known chromosome:GRCm38:5:121378877:121385577:-1 gene:ENSMUSG00000042726.14 transcript:ENSMUST00000155379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trafd1 description:TRAF type zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1923551] MAEFRDDQASRLCDNCKKEIPVFNFTIHEIHCQRNIGVCPVCKEPFPKSDMDIHMAAEHC QVTCKCNKKLEKRQLKQHAETECPLRL >ENSMUSP00000117239.1 pep:known chromosome:GRCm38:5:121379597:121385629:-1 gene:ENSMUSG00000042726.14 transcript:ENSMUST00000146185.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trafd1 description:TRAF type zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1923551] MAEFRDDQASRLCDNCKKEIPVFNFTIHEIHCQRNIGVCPVCKE >ENSMUSP00000050581.7 pep:known chromosome:GRCm38:2:167538195:167542814:1 gene:ENSMUSG00000042821.7 transcript:ENSMUST00000052631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snai1 description:snail family zinc finger 1 [Source:MGI Symbol;Acc:MGI:98330] MPRSFLVRKPSDPRRKPNYSELQDACVEFTFQQPYDQAHLLAAIPPPEVLNPAASLPTLI WDSLLVPQVRPVAWATLPLRESPKAVELTSLSDEDSGKSSQPPSPPSPAPSSFSSTSASS LEAEAFIAFPGLGQLPKQLARLSVAKDPQSRKIFNCKYCNKEYLSLGALKMHIRSHTLPC VCTTCGKAFSRPWLLQGHVRTHTGEKPFSCSHCNRAFADRSNLRAHLQTHSDVKRYQCQA CARTFSRMSLLHKHQESGCSGGPR >ENSMUSP00000105684.2 pep:known chromosome:GRCm38:2:140658198:140671469:-1 gene:ENSMUSG00000051379.12 transcript:ENSMUST00000110057.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flrt3 description:fibronectin leucine rich transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:1918686] MISPAWSLFLIGTKIGLFFQVAPLSVVAKSCPSVCRCDAGFIYCNDRSLTSIPVGIPEDA TTLYLQNNQINNVGIPSDLKNLLKVQRIYLYHNSLDEFPTNLPKYVKELHLQENNIRTIT YDSLSKIPYLEELHLDDNSVSAVSIEEGAFRDSNYLRLLFLSRNHLSTIPGGLPRTIEEL RLDDNRISTISSPSLHGLTSLKRLVLDGNLLNNHGLGDKVFFNLVNLTELSLVRNSLTAA PVNLPGTSLRKLYLQDNHINRVPPNAFSYLRQLYRLDMSNNNLSNLPQGIFDDLDNITQL ILRNNPWYCGCKMKWVRDWLQSLPVKVNVRGLMCQAPEKVRGMAIKDLSAELFDCKDSGI VSTIQITTAIPNTAYPAQGQWPAPVTKQPDIKNPKLIKDQRTTGSPSRKTILITVKSVTP DTIHISWRLALPMTALRLSWLKLGHSPAFGSITETIVTGERSEYLVTALEPESPYRVCMV PMETSNLYLFDETPVCIETQTAPLRMYNPTTTLNREQEKEPYKNPNLPLAAIIGGAVALV SIALLALVCWYVHRNGSLFSRNCAYSKGRRRKDDYAEAGTKKDNSILEIRETSFQMLPIS NEPISKEEFVIHTIFPPNGMNLYKNNLSESSSNRSYRDSGIPDSDHSHS >ENSMUSP00000053399.3 pep:known chromosome:GRCm38:2:140659325:140671400:-1 gene:ENSMUSG00000051379.12 transcript:ENSMUST00000056760.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flrt3 description:fibronectin leucine rich transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:1918686] MISPAWSLFLIGTKIGLFFQVAPLSVVAKSCPSVCRCDAGFIYCNDRSLTSIPVGIPEDA TTLYLQNNQINNVGIPSDLKNLLKVQRIYLYHNSLDEFPTNLPKYVKELHLQENNIRTIT YDSLSKIPYLEELHLDDNSVSAVSIEEGAFRDSNYLRLLFLSRNHLSTIPGGLPRTIEEL RLDDNRISTISSPSLHGLTSLKRLVLDGNLLNNHGLGDKVFFNLVNLTELSLVRNSLTAA PVNLPGTSLRKLYLQDNHINRVPPNAFSYLRQLYRLDMSNNNLSNLPQGIFDDLDNITQL ILRNNPWYCGCKMKWVRDWLQSLPVKVNVRGLMCQAPEKVRGMAIKDLSAELFDCKDSGI VSTIQITTAIPNTAYPAQGQWPAPVTKQPDIKNPKLIKDQRTTGSPSRKTILITVKSVTP DTIHISWRLALPMTALRLSWLKLGHSPAFGSITETIVTGERSEYLVTALEPESPYRVCMV PMETSNLYLFDETPVCIETQTAPLRMYNPTTTLNREQEKEPYKNPNLPLAAIIGGAVALV SIALLALVCWYVHRNGSLFSRNCAYSKGRRRKDDYAEAGTKKDNSILEIRETSFQMLPIS NEPISKEEFVIHTIFPPNGMNLYKNNLSESSSNRSYRDSGIPDSDHSHS >ENSMUSP00000108311.2 pep:known chromosome:GRCm38:X:150983141:151017322:-1 gene:ENSMUSG00000025266.11 transcript:ENSMUST00000112691.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnl3l description:guanine nucleotide binding protein-like 3 (nucleolar)-like [Source:MGI Symbol;Acc:MGI:2448557] MMKIRHKNKKPGKGSKGCKKPARQNGKKVTSRPSSAPQIVHGNDHASREAELKKKRVEEM REKQQVAREQERQRHRTMESYCQDVLKRQQEFEQKEEVLQELNMFPQLDDEATRKAYYKE FRKVVEYSDVILEVLDARDPLGCRCFQMEETVLRAEGNKKLVLVLNKIDLVPKEIVEKWL EYLLNELPTVAFKASTQHHQVKNLTRCKVPVDQASESLLKSRACFGAENLMRVLGNYCRL GEVRGHIRVGVVGLPNVGKSSLINSLKRSRACSVGAVPGVTKFMQEVYLDKFIRLLDAPG IVPGPNSEVGTILRNCIHVQKLADPVTPVETILQRCNLEEISSYYGVSGFQTTEHFLTAV AHRLGKKKKGGVYSQEQAAKAVLADWVSGKISFYTLPPPTHTLPTHLSAEIVKEMTEVFD IEDTEHANEDTMECLAVGESDELLGDMDPQEMEVRWLHSPLVKIADAIENRSTVYKIGNL TGYCTKPNRNQMGWPKRNVDHHCPQNNRVVEVSSVDRRPMLQRILETDPLQQGQALASAL KNKKKLQKRSDKIATKLSDSMMSMLDLSGNSDDCAGD >ENSMUSP00000026297.5 pep:known chromosome:GRCm38:X:150983141:151017322:-1 gene:ENSMUSG00000025266.11 transcript:ENSMUST00000026297.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnl3l description:guanine nucleotide binding protein-like 3 (nucleolar)-like [Source:MGI Symbol;Acc:MGI:2448557] MMKIRHKNKKPGKGSKGCKKPARQNGKKVTSRPSSAPQIVHGNDHASREAELKKKRVEEM REKQQVAREQERQRHRTMESYCQDVLKRQQEFEQKEEVLQELNMFPQLDDEATRKAYYKE FRKVVEYSDVILEVLDARDPLGCRCFQMEETVLRAEGNKKLVLVLNKIDLVPKEIVEKWL EYLLNELPTVAFKASTQHHQVKNLTRCKVPVDQASESLLKSRACFGAENLMRVLGNYCRL GEVRGHIRVGVVGLPNVGKSSLINSLKRSRACSVGAVPGVTKFMQEVYLDKFIRLLDAPG IVPGPNSEVGTILRNCIHVQKLADPVTPVETILQRCNLEEISSYYGVSGFQTTEHFLTAV AHRLGKKKKGGVYSQEQAAKAVLADWVSGKISFYTLPPPTHTLPTHLSAEIVKEMTEVFD IEDTEHANEDTMECLAVGESDELLGDMDPQEMEVRWLHSPLVKIADAIENRSTVYKIGNL TGYCTKPNRNQMGWPKRNVDHHCPQNNRVVEVSSVDRRPMLQRILETDPLQQGQALASAL KNKKKLQKRSDKIATKLSDSMMSMLDLSGNSDDCAGD >ENSMUSP00000138737.1 pep:known chromosome:GRCm38:X:150997274:151017322:-1 gene:ENSMUSG00000025266.11 transcript:ENSMUST00000154393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnl3l description:guanine nucleotide binding protein-like 3 (nucleolar)-like [Source:MGI Symbol;Acc:MGI:2448557] MREKQQVAREQERQRHRTMESYCQDVLKRQQEFEQKEEVLQELNMFPQLDDEATRKAYYK EFRKVVEYSDVILEVLDARDPLGCRCFQMEETVLRAEGNKKLVLVLNKIDLVPKEIVEKW LEYLLNELPTVAFKASTQHHQVKNLTRCKVPVDQASESLLKSRA >ENSMUSP00000138542.1 pep:known chromosome:GRCm38:X:151002209:151017322:-1 gene:ENSMUSG00000025266.11 transcript:ENSMUST00000124022.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gnl3l description:guanine nucleotide binding protein-like 3 (nucleolar)-like [Source:MGI Symbol;Acc:MGI:2448557] MMKIRHNVKRHHICQEEFLFEPVSHVVQAGLEVTI >ENSMUSP00000117281.1 pep:known chromosome:GRCm38:X:151002253:151017322:-1 gene:ENSMUSG00000025266.11 transcript:ENSMUST00000156233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnl3l description:guanine nucleotide binding protein-like 3 (nucleolar)-like [Source:MGI Symbol;Acc:MGI:2448557] MMKIRHKNKKPGKGSKGCKKPARQNGKKVTSRPSSAPQIVHGNDHASREAELKKKRVEEM REKQQVAR >ENSMUSP00000132711.2 pep:known chromosome:GRCm38:4:141010418:141015814:1 gene:ENSMUSG00000060572.17 transcript:ENSMUST00000166376.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap2 description:microfibrillar-associated protein 2 [Source:MGI Symbol;Acc:MGI:99559] MAMRAACLFLLFMPGLLAQGQYDLDPLPPFPDHVQYNHYGDQIDNADYYDYQEVSPRTPE EQFQSQQQVQQEVIPAPTPEPAAAGDLETEPTEPGPLDCREEQYPCTRLYSIHKPCKQCL NEVCFYSLRRVYVVNKEICVRTVCAHEELLRADLCRDKFSKCGVMAVSGLCQSVAASCAR SCGGC >ENSMUSP00000071868.8 pep:known chromosome:GRCm38:4:141010644:141015984:1 gene:ENSMUSG00000060572.17 transcript:ENSMUST00000071977.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap2 description:microfibrillar-associated protein 2 [Source:MGI Symbol;Acc:MGI:99559] MAMRAACLFLLFMPGLLAQGQYDLDPLPPFPDHVQYNHYGDQIDNADYYDYQEVSPRTPE EQFQSQQQVQQEVIPAPTPEPAAAGDLETEPTEPGPLDCREEQYPCTRLYSIHKPCKQCL NEVCFYSLRRVYVVNKEICVRTVCAHEELLRADLCRDKFSKCGVMAVSGLCQSVAASCAR SCGGC >ENSMUSP00000045629.7 pep:known chromosome:GRCm38:9:99612502:99622367:1 gene:ENSMUSG00000037953.7 transcript:ENSMUST00000042553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A4gnt description:alpha-1,4-N-acetylglucosaminyltransferase [Source:MGI Symbol;Acc:MGI:2143261] MLKEIYLSLSLVLVFACGLLYQLTMRSQCFFACLPPFSFPQGLDGLLRSGRSIMFIETSE RVEPPPMVSCAVESAAKIYPEQPIIFFMKGLRDSVQLTSNTSYPAFSLLSAINNVFFVPL DMERLFKDTPLFSWYTKVNSSTEKHWLHVSSDAARLAIIWKYGGIYMDTDVISLQPIPEE NFLAAQGSRHSSNGVFGFLPHHPFLWACMENFVEHYDSTIWGNQGPQLMTRMLRVWCRLK DFHGLGDLKCLNISFLHPQRFYPIPYPQWKRYYQVWDKEPSFNESYALHLWNYMNKEGKT VVRGSKTLVENLYQKHCPKTYRVLIQGAEGTVSKKPGTGSR >ENSMUSP00000068758.6 pep:known chromosome:GRCm38:6:73017606:73221631:-1 gene:ENSMUSG00000052861.13 transcript:ENSMUST00000064948.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah6 description:dynein, axonemal, heavy chain 6 [Source:MGI Symbol;Acc:MGI:107744] MAFQGTEDEIDLKSIEHQAEENPILARLNKIKAKGILNYVSLKENEPEPPTLVFRHTTKA QERTRKRQQPVKLEPLPVLKVYQDHKQPEYIYEQNRQKLMSSGILKPSPSTADRASIISL EAQEAVKRKQVHRPSADVFSPSPTKLPRTSIGRRGLFGMRSSTYPTYTFHDREEVIKANI RDPLQIIKIVHENEHLGFLYMISAVPKSSIEYDTYNLKVVSYENINKNDYYTISKDAVTH VYNDDIEYIEIERWEQEYLYHRELTKIPIFALFRKWKAFSVWRKNVRSKKITGCRKALRK NLFIVNPYLRPALLKINEMCYLLSFMGLCYIEKFHTYTLQEFKAAQIVRLQEVTERLEVF RCAAKDVVRKSCRFALRAAGFIPDDCEVETYEDYFKANIASLVDGPFDLPTYGESEKMTY TEQASKRHHCMRLTCFIRLNDYLIQNTLHVLTVNTASSLLNFFSDKLKRTPSADVIQKWI TEEKPEVTEKKGAPLMEKQEEDESLVPMFLTELILTVQSLLFEPSLEDFLDGISGAINHF ENTVLSVPNLVPDSYFDAFTSPFINKKVEEKTCGPGPNLSAVFEDDRNFLTIIMQIKETI HAAFDSARLYAATFEKFQLFFKENESLDLEALKQEEPDVQFFSSQLEKYHKQHKDSVALR PTRNVGLLLIDTKQLKEKLIPSPLRCLEVLNSMLPCLSKKKVDAIISEAQDAEYKLEFMP TTTIEYVNSLVFLDEIQERIETLEEEANVVVQMYKLIEQYQVPTPPEDFAVFATMKPSIT AVRNAIDKSVGDRETSIKQFCLHLGRDLEDLNNEVNEVKLLAQDPQILDISADQEKIKTM LSDLQSVLDDLQKRAFQYKSYQKNFKVEVSKFDALEEVSAELRLKQLLWDSLSEWDNLQQ EWLKSKFDCLDPEVLNSQVTKYAKFVTQLEKGLPPNSVVPQLKQKVEKMKEKLPVIIDLR NPTLKARHWAAIEQTVDALLVDTEIPLTLERLSELHVFNFAQEIQDISGQASGEAALEII LKKVEDSWKTTEFVILPHRDSKDVFILGGTDDIQVLLDDSTINIATIASSRYVGPLKSRV DDWQKQLSLFNQTLEEWLNCQRNWLYLESIFNAPDIQRQLPAEAKMFLQVDKSWKEIMRK VNRLPNALRAATQPGLLETFQNNNALLDQIQKCLEAYLESKRVIFPRFYFLSNDELLEIL AQTRNPQAVQPHLRKCFDSISKLEFALMPPTEGKIPGMDTEPEKVFTNDILAMLSPEGER VGLGKGLKARGNVEEWLGKVEEAMFTSLRRLCKAAIADYQGKPRTEWVIAGHPSQVILTI SQIMWCRDLTESLECEGDNVDALEDFEQVNFERLNALAAIVRGNLPKLHRNIITALITID VHARDIVSELVQAKVDSADSFDWQRQLRYYWDMDLDNCVARMALSQYTYAYEYLGACPRL VITPLTDRCYLCLMGALQLDLGGAPAGPAGTGKTETTKDLAKALAIQCVVFNCSDGLDYK MMGRFFSGLAQSGAWCCFDEFNRIDIEVLSVIAQQLITIRNAKAAKLSRFMFEGREIKLV MTCAAFITMNPGYAGRTELPDNLKALFRPMAMMVPNYALIAEVILYSEGFESSKILARKM TQMYKLCSEQLSQQDHYDFGMRAVKSVLVMAGSLKRENPDLSEDVVLIRALRDSNLPKFL TDDALLFSGIISDLFPGVQIPEHDYGILQSTIVEVMINQNLQPELCMVKKVIQLYETMLV RHGVMLVGPTGGGKTTVYQVLAETLGNLEKLNTDNPFYQPVKTYVLNPKSITMGELYGEV NNVTLEWKDGLMALSVRAAVNDTSEDHKWIISDGPVDALWIENMNTVLDDNKMLCLANSE RIKLTPQIHMLFEVQDLRVASPATVSRCGMVFVDPEELKWMPYVKTWMRTMSKKLNDEVQ EYLLNLFNRYVDDGLHFVNKKCTQAIPQVDISKVTTLCCLLESLLLSKDGNILSMEQMKL NTVLCQTFVFCYLWSLGGNLTENYWDSFDTFIRTQFDDNPDARLPSSGDLWSIHIDFDTK RLDPWERIIPTFKYSRDVPFFEMLVPTTDTVRYGYLMEKLLAVRHSVLFTGTTGVGKSVI AKGLLNRIQESAGYVPVYLNFSAQTSSSRTQEIIESKLERKRKNILGAPGNKQVVIFVDD LNMPRLDRYGSQPPIELLRQYQDFGGFYDRNKLFWKEIQDVTIVSACAPPGGGRNPVTPR FIRHFSMLCLPMPSEHSLKQIFQAILNGFLADFPEAVKQTSSNIVEAAVEIYNRMSVDLL PTPAKSHYVFNLRDLSKCVQGILQCDPGTIREELQIFRLFCHECQRVFHDRLINNEDKHY FHLILTEMANKHFGIAIDLEYFLSKPIIFGDFIKFGAEKSDRIYDDLPDMEKIENVLQDY LDDYNLTNPKEVKLVFFQDAIEHVSRIARMIRQERGNALLVGVGGTGKQSLTRLAAHICG YKCMQIELSRGYNYDNFHEDLRKLYKMAGVEDKDMVFLFTDTQIVVEEFLEDINNILNSG EVPNLFEKDELEHVLAATRPKAKEVGISEGNRDEVFQYFISRVRQKLHIVLCMSPVGEAF RSRCRMFPSLVNCCTIDWFVQWPREALLSVSKSFFSSVDTGNDDLREKLSLMCVNVHLSV SHMAERYYNELRRRYYTTPTSYLELINLYLTMLTEKRKQLVSARDRVKNGLTKLLETNVL VDKMKLDLSALEPVLLQKSQDVEALMEKLVVDQESADQVRNVVQEDEAIAKVKAEETQAI ADDAQRDLEEALPALEAANKALDSLDKADISEIRVFTKPPDLVMTVMEAISILLNAKPDW PTAKQLLGDSNFLRRLLEYDKENIKPQILLKLQKYINNPDFVPEKVEKVSKACKSMCMWV RAMDLYSRVVKEVEPKRQKLRAAQAELDATMATLKEKQALLKQVEDQIKTLQDKYEKGVN EKESLAKNMALTKARLIRAGKLTAALGDEQVRWEESIEKFQEELANIVGNVFIAAACVAY YGAFTAQYRQLLIEWWIESCLALEIPIDPSFSLINILGDPYEIRQWNTDGLPRDLISTEN GILVTQGRRWPLMIDPQDQANRWIRNKESKSGLKVIKLTDTNFLRILENSIRLGLPVLLE ELREVLDPALEPILLKQTFMSGGRLLIHLGDSDIDYDKSFRFYMTSKMPNPHYLPEVCIK VTIINFTVTKSGLEDQLLSDVVRLEKPELEEQRIQLIVRINSDKNQLKSIEDKILKLLFT SEGNILDNEELIDTLQDSKITSGAIKTRLKEAESTELMINIARERYRPVATQGSVMYFVI ASLSEIDPMYQYSLKYFKQLFNTTIETSEKSDNLHERLKILLQQTLLTAYTNVSRGLFEQ HKLIYSFMLCVDIMREHEQLTEAEWNFFLRGSAGMEKERPPKPEAPWLPIQMWFSCCDLE ESFPIFEGLTKHILLRPISIKLGSFETYINPPVWEGYPKQRHEEEKDHVWGLDFSSFHKL ILVKCCKEEKVVFALTDFVIENLGKQFIETPPVDLATLYQDMSNSTPLVFILSTGSDPMG AFQRFARESGYAERVQSISLGQGQGPIAEKMIKDAMKTGNWVFLQNCHLAVSWMLAMEEL IKTFTDPNQTIKDTFRLFLSSMPCSTFPVTVLQNSVKVTNEPPKGLRANIRRAFTEMTPS FFEENILGMKWRKLIFGICFFHAIIQERKKFGPLGWNICYEFNDSDRECALLNLNLYCHE GKIPWDALIYITGEITYGGRVTDTWDQRCLRTVLKRFFSPETLDDDYTYSDSGIYFAPLA DSLQDFKEYIEDLPLIDDPEIFGMHENANLVFQYKETNTLITTILEVQPRSSSGGQGKSN DEIVQELVASIQTRVPESLQMEGASESLFVKDPQGRLNSLTTVLGQEVDRFNNLLKLIHI SLETLNKAIAGLVVMSEEMEKVYQSFLNNQVPSLWSNTAYPSLKPLGSWVKDLILRTEFV DLWLKRGQPKSFWISGFFFPQGFLTGTLQNHARKYNLPIDELSFKYNMIPVYRDQAAVIE SAKDIQFGTELPMDKELPSPEDGVLVHGMFMDASRWDDKDMVIEDALPGQMNPMLPVVHF EPKQNYEPVHTLYHSPLYKTGARAGTLSTTGHSTNFVVTVLLPSKRISDYWISKGSALLC QLSE >ENSMUSP00000109674.1 pep:known chromosome:GRCm38:6:73017609:73221651:-1 gene:ENSMUSG00000052861.13 transcript:ENSMUST00000114040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah6 description:dynein, axonemal, heavy chain 6 [Source:MGI Symbol;Acc:MGI:107744] MAFQGTEDEIDLKSIEHQAEENPILARLNKIKAKGILNYVSLKENEPEPPTLVFRHTTKA QERTRKRQQPVKLEPLPVLKVYQDHKQPEYIYEQNRQKLMSSGILKPSPSTADRASIISL EAQEAVKRKQVHRPSADVFSPSPTKLPRTSIGRRGLFGMRSSTYPTYTFHDREEVIKANI RDPLQIIKIVHENEHLGFLYMISAVPKSSIEYDTYNLKVVSYENINKNDYYTISKDAVTH VYNDDIEYIEIERWEQEYLYHRELTKIPIFALFRKWKAFSVWRKNVRSKKITGCRKALRK NLFIVNPYLRPALLKINEMCYLLSFMGLCYIEKFHTYTLQEFKAAQIVRLQEVTERLEVF RCAAKDVVRKSCRFALRAAGFIPDDCEVETYEDYFKANIASLVDGPFDLPTYGESEKMTY TEQASKRHHCMRLTCFIRLNDYLIQNTLHVLTVNTASSLLNFFSDKLKRTPSADVIQKWI TEEKPEVTEKKGAPLMEKQEEDESLVPMFLTELILTVQSLLFEPSLEDFLDGISGAINHF ENTVLSVPNLVPDSYFDAFTSPFINKKVEEKTCGPGPNLSAVFEDDRNFLTIIMQIKETI HAAFDSARLYAATFEKFQLFFKENESLDLEALKQEEPDVQFFSSQLEKYHKQHKDSVALR PTRNVGLLLIDTKQLKEKLIPSPLRCLEVLNSMLPCLSKKKVDAIISEAQDAEYKLEFMP TTTIEYVNSLVFLDEIQERIETLEEEANVVVQMYKLIEQYQVPTPPEDFAVFATMKPSIT AVRNAIDKSVGDRETSIKQFCLHLGRDLEDLNNEVNEVKLLAQDPQILDISADQEKIKTM LSDLQSVLDDLQKRAFQYKSYQKNFKVEVSKFDALEEVSAELRLKQLLWDSLSEWDNLQQ EWLKSKFDCLDPEVLNSQVTKYAKFVTQLEKGLPPNSVVPQLKQKVEKMKEKLPVIIDLR NPTLKARHWAAIEQTVDALLVDTEIPLTLERLSELHVFNFAQEIQDISGQASGEAALEII LKKVEDSWKTTEFVILPHRDSKDVFILGGTDDIQVLLDDSTINIATIASSRYVGPLKSRV DDWQKQLSLFNQTLEEWLNCQRNWLYLESIFNAPDIQRQLPAEAKMFLQVDKSWKEIMRK VNRLPNALRAATQPGLLETFQNNNALLDQIQKCLEAYLESKRVIFPRFYFLSNDELLEIL AQTRNPQAVQPHLRKCFDSISKLEFALMPPTEGKIPGMDTEPEKVFTNDILAMLSPEGER VGLGKGLKARGNVEEWLGKVEEAMFTSLRRLCKAAIADYQGKPRTEWVIAGHPSQVILTI SQIMWCRDLTESLECEGDNVDALEDFEQVNFERLNALAAIVRGNLPKLHRNIITALITID VHARDIVSELVQAKDRCYLCLMGALQLDLGGAPAGPAGTGKTETTKDLAKALAIQCVVFN CSDGLDYKMMGRFFSGLAQSGAWCCFDEFNRIDIEVLSVIAQQLITIRNAKAAKLSRFMF EGREIKLVMTCAAFITMNPGYAGRTELPDNLKALFRPMAMMVPNYALIAEVILYSEGFES SKILARKMTQMYKLCSEQLSQQDHYDFGMRAVKSVLVMAGSLKRENPDLSEDVVLIRALR DSNLPKFLTDDALLFSGIISDLFPGVQIPEHDYGILQSTIVEVMINQNLQPELCMVKKVI QLYETMLVRHGVMLVGPTGGGKTTVYQVLAETLGNLEKLNTDNPFYQPVKTYVLNPKSIT MGELYGEVNNVTLEWKDGLMALSVRAAVNDTSEDHKWIISDGPVDALWIENMNTVLDDNK MLCLANSERIKLTPQIHMLFEVQDLRVASPATVSRCGMVFVDPEELKWMPYVKTWMRTMS KKLNDEVQEYLLNLFNRYVDDGLHFVNKKCTQAIPQVDISKVTTLCCLLESLLLSKDGNI LSMEQMKLNTVLCQTFVFCYLWSLGGNLTENYWDSFDTFIRTQFDDNPDARLPSSGDLWS IHIDFDTKRLDPWERIIPTFKYSRDVPFFEMLVPTTDTVRYGYLMEKLLAVRHSVLFTGT TGVGKSVIAKGLLNRIQESAGYVPVYLNFSAQTSSSRTQEIIESKLERKRKNILGAPGNK QVVIFVDDLNMPRLDRYGSQPPIELLRQYQDFGGFYDRNKLFWKEIQDVTIVSACAPPGG GRNPVTPRFIRHFSMLCLPMPSEHSLKQIFQAILNGFLADFPEAVKQTSSNIVEAAVEIY NRMSVDLLPTPAKSHYVFNLRDLSKCVQGILQCDPGTIREELQIFRLFCHECQRVFHDRL INNEDKHYFHLILTEMANKHFGIAIDLEYFLSKPIIFGDFIKFGAEKSDRIYDDLPDMEK IENVLQDYLDDYNLTNPKEVKLVFFQDAIEHVSRIARMIRQERGNALLVGVGGTGKQSLT RLAAHICGYKCMQIELSRGYNYDNFHEDLRKLYKMAGVEDKDMVFLFTDTQIVVEEFLED INNILNSGEVPNLFEKDELEHVLAATRPKAKEVGISEGNRDEVFQYFISRVRQKLHIVLC MSPVGEAFRSRCRMFPSLVNCCTIDWFVQWPREALLSVSKSFFSSVDTGNDDLREKLSLM CVNVHLSVSHMAERYYNELRRRYYTTPTSYLELINLYLTMLTEKRKQLVSARDRVKNGLT KLLETNVLVDKMKLDLSALEPVLLQKSQDVEALMEKLVVDQESADQVRNVVQEDEAIAKV KAEETQAIADDAQRDLEEALPALEAANKALDSLDKADISEIRVFTKPPDLVMTVMEAISI LLNAKPDWPTAKQLLGDSNFLRRLLEYDKENIKPQILLKLQKYINNPDFVPEKVEKVSKA CKSMCMWVRAMDLYSRVVKEVEPKRQKLRAAQAELDATMATLKEKQALLKQVEDQIKTLQ DKYEKGVNEKESLAKNMALTKARLIRAGKLTAALGDEQVRWEESIEKFQEELANIVGNVF IAAACVAYYGAFTAQYRQLLIEWWIESCLALEIPIDPSFSLINILGDPYEIRQWNTDGLP RDLISTENGILVTQGRRWPLMIDPQDQANRWIRNKESKSGLKVIKLTDTNFLRILENSIR LGLPVLLEELREVLDPALEPILLKQTFMSGGRLLIHLGDSDIDYDKSFRFYMTSKMPNPH YLPEVCIKVTIINFTVTKSGLEDQLLSDVVRLEKPELEEQRIQLIVRINSDKNQLKSIED KILKLLFTSEGNILDNEELIDTLQDSKITSGAIKTRLKEAESTELMINIARERYRPVATQ GSVMYFVIASLSEIDPMYQYSLKYFKQLFNTTIETSEKSDNLHERLKILLQQTLLTAYTN VSRGLFEQHKLIYSFMLCVDIMREHEQLTEAEWNFFLRGSAGMEKERPPKPEAPWLPIQM WFSCCDLEESFPIFEGLTKHILLRPISIKLGSFETYINPPVWEGYPKQRHEEEKDHVWGL DFSSFHKLILVKCCKEEKVVFALTDFVIENLGKQFIETPPVDLATLYQDMSNSTPLVFIL STGSDPMGAFQRFARESGYAERVQSISLGQGQGPIAEKMIKDAMKTGNWVFLQNCHLAVS WMLAMEELIKTFTDPNQTIKDTFRLFLSSMPCSTFPVTVLQNSVKVTNEPPKGLRANIRR AFTEMTPSFFEENILGMKWRKLIFGICFFHAIIQERKKFGPLGWNICYEFNDSDRECALL NLNLYCHEGKIPWDALIYITGEITYGGRVTDTWDQRCLRTVLKRFFSPETLDDDYTYSDS GIYFAPLADSLQDFKEYIEDLPLIDDPEIFGMHENANLVFQYKETNTLITTILEVQPRSS SGGQGKSNDEIVQELVASIQTRVPESLQMEGASESLFVKDPQGRLNSLTTVLGQEVDRFN NLLKLIHISLETLNKAIAGLVVMSEEMEKVYQSFLNNQVPSLWSNTAYPSLKPLGSWVKD LILRTEFVDLWLKRGQPKSFWISGFFFPQGFLTGTLQNHARKYNLPIDELSFKYNMIPVY RDQAAVIESAKDIQFGTELPMDKELPSPEDGVLVHGMFMDASRWDDKDMVIEDALPGQMN PMLPVVHFEPKQNYEPVHTLYHSPLYKTGARAGTLSTTGHSTNFVVTVLLPSKRISDYWI SKGSALLCQLSE >ENSMUSP00000144791.1 pep:known chromosome:GRCm38:6:73017742:73212663:-1 gene:ENSMUSG00000052861.13 transcript:ENSMUST00000204053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah6 description:dynein, axonemal, heavy chain 6 [Source:MGI Symbol;Acc:MGI:107744] MAFQGTEDEIDLKSIEHQAEENPILARLNKIKAKGILNYVSLKENEPEPPTLVFRHTTKA QERTRKRQQPVKLEPLPVLKVYQDHKQPEYIYEQNRQKLMSSGILKPSPSTADRASIISL EAQEAVKRKQVHRPSADVFSPSPTKLPRTSIGRRGLFGMRSSTYPTYTFHDREEVIKANI RDPLQIIKIVHENEHLGFLYMISAVPKSSIEYDTYNLKVVSYENINKNDYYTISKDAVTH VYNDDIEYIEIERWEQEYLYHRELTKIPIFALFRKWKAFSVWRKNVRSKKITGCRKALRK NLFIVNPYLRPALLKINEMCYLLSFMGLCYIEKFHTYTLQEFKAAQIVRLQEVTERLEVF RCAAKDVVRKSCRFALRAAGFIPDDCEVETYEDYFKANIASLVDGPFDLPTYGESEKMTY TEQASKRHHCMRLTCFIRLNDYLIQNTLHVLTVNTASSLLNFFSDKLKRTPSADVIQKWI TEEKPEVTEKKGAPLMEKQEEDESLVPMFLTELILTVQSLLFEPSLEDFLDGISGAINHF ENTVLSVPNLVPDSYFDAFTSPFINKKVEEKTCGPGPNLSAVFEDDRNFLTIIMQIKETI HAAFDSARLYAATFEKFQLFFKENESLDLEALKQEEPDVQFFSSQLEKYHKQHKDSVALR PTRNVGLLLIDTKQLKEKLIPSPLRCLEVLNSMLPCLSKKKVDAIISEAQDAEYKLEFMP TTTIEYVNSLVFLDEIQERIETLEEEANVVVQMYKLIEQYQVPTPPEDFAVFATMKPSIT AVRNAIDKSVGDRETSIKQFCLHLGRDLEDLNNEVNEVKLLAQDPQILDISADQEKIKTM LSDLQSVLDDLQKRAFQYKSYQKNFKVEVSKFDALEEVSAELRLKQLLWDSLSEWDNLQQ EWLKSKFDCLDPEVLNSQVTKYAKFVTQLEKGLPPNSVVPQLKQKVEKMKEKLPVIIDLR NPTLKARHWAAIEQTVDALLVDTEIPLTLERLSELHVFNFAQEIQDISGQASGEAALEII LKKVEDSWKTTEFVILPHRDSKDVFILGGTDDIQVLLDDSTINIATIASSRYVGPLKSRV DDWQKQLSLFNQTLEEWLNCQRNWLYLESIFNAPDIQRQLPAEAKMFLQVDKSWKEIMRK VNRLPNALRAATQPGLLETFQNNNALLDQIQKCLEAYLESKRVIFPRFYFLSNDELLEIL AQTRNPQAVQPHLRKCFDSISKLEFALMPPTEGKIPGMDTEPEKVFTNDILAMLSPEGER VGLGKGLKARGNVEEWLGKVEEAMFTSLRRLCKAAIADYQGKPRTEWVIAGHPSQVILTI SQIMWCRDLTESLECEGDNVDALEDFEQVNFERLNALAAIVRGNLPKLHRNIITALITID VHARDIVSELVQAKVDSADSFDWQRQLRYYWDMDLDNCVARMALSQYTYAYEYLGACPRL VITPLTDRCYLCLMGALQLDLGGAPAGPAGTGKTETTKDLAKALAIQCVVFNCSDGLDYK MMGRFFSGLAQSGAWCCFDEFNRIDIEVLSVIAQQLITIRNAKAAKLSRFMFEGREIKLV MTCAAFITMNPGYAGRTELPDNLKALFRPMAMMVPNYALIAEVILYSEGFESSKILARKM TQMYKLCSEQLSQQDHYDFGMRAVKSVLVMAGSLKRENPDLSEDVVLIRALRDSNLPKFL TDDALLFSGIISDLFPGVQIPEHDYGILQSTIVEVMINQNLQPELCMVKKVIQLYETMLV RHGVMLVGPTGGGKTTVYQVLAETLGNLEKLNTDNPFYQPVKTYVLNPKSITMGELYGEV NNVTLEWKDGLMALSVRAAVNDTSEDHKWIISDGPVDALWIENMNTVLDDNKMLCLANSE RIKLTPQIHMLFEVQDLRVASPATVSRCGMVFVDPEELKWMPYVKTWMRTMSKKLNDEVQ EYLLNLFNRYVDDGLHFVNKKCTQAIPQVDISKVTTLCCLLESLLLSKDGNILSMEQMKL NTVLCQTFVFCYLWSLGGNLTENYWDSFDTFIRTQFDDNPDARLPSSGDLWSIHIDFDTK RLDPWERIIPTFKYSRDVPFFEMLVPTTDTVRYGYLMEKLLAVRHSVLFTGTTGVGKSVI AKGLLNRIQESAGYVPVYLNFSAQTSSSRTQEIIESKLERKRKNILGAPGNKQVVIFVDD LNMPRLDRYGSQPPIELLRQYQDFGGFYDRNKLFWKEIQDVTIVSACAPPGGGRNPVTPR FIRHFSMLCLPMPSEHSLKQIFQAILNGFLADFPEAVKQTSSNIVEAAVEIYNRMSVDLL PTPAKSHYVFNLRDLSKCVQGILQCDPGTIREELQIFRLFCHECQRVFHDRLINNEDKHY FHLILTEMANKHFGIAIDLEYFLSKPIIFGDFIKFGAEKSDRIYDDLPDMEKIENVLQDY LDDYNLTNPKEVKLVFFQDAIEHVSRIARMIRQERGNALLVGVGGTGKQSLTRLAAHICG YKCMQIELSRGYNYDNFHEDLRKLYKMAGVEDKDMVFLFTDTQIVVEEFLEDINNILNSG EVPNLFEKDELEHVLAATRPKAKEVGISEGNRDEVFQYFISRVRQKLHIVLCMSPVGEAF RSRCRMFPSLVNCCTIDWFVQWPREALLSVSKSFFSSVDTGNDDLREKLSLMCVNVHLSV SHMAERYYNELRRRYYTTPTSYLELINLYLTMLTEKRKQLVSARDRVKNGLTKLLETNVL VDKMKLDLSALEPVLLQKSQDVEALMEKLVVDQESADQVRNVVQEDEAIAKVKAEETQAI ADDAQRDLEEALPALEAANKALDSLDKADISEIRVFTKPPDLVMTVMEAISILLNAKPDW PTAKQLLGDSNFLRRLLEYDKENIKPQILLKLQKYINNPDFVPEKVEKVSKACKSMCMWV RAMDLYSRVVKEVEPKRQKLRAAQAELDATMATLKEKQALLKQVEDQIKTLQDKYEKGVN EKESLAKNMALTKARLIRAGKLTAALGDEQVRWEESIEKFQEELANIVGNVFIAAACVAY YGAFTAQYRQLLIEWWIESCLALEIPIDPSFSLINILGDPYEIRQWNTDGLPRDLISTEN GILVTQGRRWPLMIDPQDQANRWIRNKESKSGLKVIKLTDTNFLRILENSIRLGLPVLLE ELREVLDPALEPILLKQTFMSGGRLLIHLGDSDIDYDKSFRFYMTSKMPNPHYLPEVCIK VTIINFTVTKSGLEDQLLSDVVRLEKPELEEQRIQLIVRINSDKNQLKSIEDKILKLLFT SEGNILDNEELIDTLQDSKITSGAIKTRLKEAESTELMINIARERYRPVATQGSVMYFVI ASLSEIDPMYQYSLKYFKQLFNTTIETSEKSDNLHERLKILLQQTLLTAYTNVSRGLFEQ HKLIYSFMLCVDIMREHEQLTEAEWNFFLRGSAGMEKERPPKPEAPWLPIQMWFSCCDLE ESFPIFEGLTKHILLRPISIKLGSFETYINPPVWEGYPKQRHEEEKDHVWGLDFSSFHKL ILVKCCKEEKVVFALTDFVIENLGKQFIETPPVDLATLYQDMSNSTPLVFILSTGSDPMG AFQRFARESGYAERVQSISLGQGQGPIAEKMIKDAMKTGNWVFLQNCHLAVSWMLAMEEL IKTFTDPNQTIKDTFRLFLSSMPCSTFPVTVLQNSVKVTNEPPKGLRANIRRAFTEMTPS FFEENILGMKWRKLIFGICFFHAIIQERKKFGPLGWNICYEFNDSDRECALLNLNLYCHE GKIPWDALIYITGEITYGGRVTDTWDQRCLRTVLKRFFSPETLDDDYTYSDSGIYFAPLA DSLQDFKEYIEDLPLIDDPEIFGMHENANLVFQYKETNTLITTILEVQPRSSSGGQGKSN DEIVQELVASIQTRVPESLQMEGASESLFVKDPQGRLNSLTTVLGQEVDRFNNLLKLIHI SLETLNKAIAGLVVMSEEMEKVYQSFLNNQVPSLWSNTAYPSLKPLGSWVKDLILRTEFV DLWLKRGQPKSFWISGFFFPQGFLTGTLQNHARKYNLPIDELSFKYNMIPVYRDQAAVIE SAKDIQFGTELPMDKELPSPEDGVLVHGMFMDASRWDDKDMVIEDALPGQMNPMLPVVHF EPKQNYEPVHTLYHSPLYKTGARAGTLSTTGHSTNFVVTVLLPSKRISDYWISKGSALLC QLSE >ENSMUSP00000027532.6 pep:known chromosome:GRCm38:1:91298338:91321075:1 gene:ENSMUSG00000026307.12 transcript:ENSMUST00000027532.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scly description:selenocysteine lyase [Source:MGI Symbol;Acc:MGI:1355310] MDAARNGALGSVESLPDRKVYMDYNATTPLEPEVIQAVTEAMKEAWGNPSSSYVSGRKAK DIINAARASLAKMIGGKPQDIIFTSGGTESNNLVIHSMVRCFHEQQTLKGNMVDQHSPEE GTRPHFITCTVEHDSIRLPLEHLVENQMAEVTFVPVSKVNGQAEVEDILAAVRPTTCLVT IMLANNETGVIMPVSEISRRIKALNQIRAASGLPRVLVHTDAAQALGKRRVDVEDLGVDF LTIVGHKFYGPRIGALYVRGVGKLTPLYPMLFGGGQEWNFRPGTENTPMIAGLGKAADLV SENCETYEAHMRDIRDYLEERLEAEFGKRIHLNSRFPGVERLPNTCNFSIQGSQLQGYTV LAQCRTLLASVGASCHSNHEDRPSPVLLSCGIPVDVARNAVRLSVGRGTTRADVDLIVQD LKQAVAQLEGRL >ENSMUSP00000119979.1 pep:known chromosome:GRCm38:1:91298368:91308743:1 gene:ENSMUSG00000026307.12 transcript:ENSMUST00000142488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scly description:selenocysteine lyase [Source:MGI Symbol;Acc:MGI:1355310] MDAARNGALGSVESLPDRKEQTWDTGKACGNGNSSPRVVRKVYMDYNATTPLEPEVIQAV TEAMKEAWGNPSSSYVSGRKAKDIINAARASLAKMIGGKPQDIIFTSGGTESNNLVIHSM VRCFHEQQTLKGNMVDQHSPEEGTRPHFITCTVEHDSIRLPLEHLVENQMAEVTFVPVSK VNGQAEVEDILAAVRPTTCLVTIMLANNETGVIMPVSEISRRIKALNQIRAASGLPRVLV HTDAA >ENSMUSP00000137796.1 pep:known chromosome:GRCm38:1:91298369:91309851:1 gene:ENSMUSG00000026307.12 transcript:ENSMUST00000154045.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scly description:selenocysteine lyase [Source:MGI Symbol;Acc:MGI:1355310] MDAARNGALGSVESLPDRKVYMDYNATTPLEPEVIQAVTEAMKEAWGNPSSSYVSGRPRT L >ENSMUSP00000116382.1 pep:known chromosome:GRCm38:1:91298404:91308709:1 gene:ENSMUSG00000026307.12 transcript:ENSMUST00000124832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scly description:selenocysteine lyase [Source:MGI Symbol;Acc:MGI:1355310] XARNGALGSVESLPDRKVYMDYNATTPLEPEVIQAVTEAMKEAWGNPSSSYVSGRKAKDI INAARASLAKMIGGKPQDIIFTSGGTESNNLVIHSMVRCFHEQQTLKGNMVDQHSPEEGT RPHFITCTVEHDSIRLPLEHLVENQMAVYMFCISEVTFVPVSKVNGQAEVEDILAAVRPT TCLVTIMLANNETGVIMPVSEISRRIKALNQIRAASG >ENSMUSP00000114759.1 pep:known chromosome:GRCm38:1:91298407:91308815:1 gene:ENSMUSG00000026307.12 transcript:ENSMUST00000147523.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scly description:selenocysteine lyase [Source:MGI Symbol;Acc:MGI:1355310] AKWRAGQCGEPTRQAILSSRKVYMDYNATTPLEPEVIQAVTEAMKEAWGNPSSSYVSGRK AKDIINAARASLAKMIGGKPQDIIFTSGGTESNNLVIHSMVRCFHEQQTLKGNMVDQHSP EEGTRPHFITCTVEHDSIRLPLEHLVENQMAEVTFVPVSKVNGQAEVEDILAAVRPTTCL VTIMLANNETGVIMPVSEISRRIKALNQIRAASGLPRVLVHTDAAQALGKRRVDVEDLGV DFLTIVGHK >ENSMUSP00000116824.1 pep:known chromosome:GRCm38:1:91299932:91302869:1 gene:ENSMUSG00000026307.12 transcript:ENSMUST00000145843.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scly description:selenocysteine lyase [Source:MGI Symbol;Acc:MGI:1355310] MDYNATTPLEPEVIQAVTEAMKEAWGNPSSSYVSGRKAKDIINAARASLAKMIGGKPQDI IFTSGGTE >ENSMUSP00000122449.1 pep:known chromosome:GRCm38:1:91302797:91309872:1 gene:ENSMUSG00000026307.12 transcript:ENSMUST00000137074.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scly description:selenocysteine lyase [Source:MGI Symbol;Acc:MGI:1355310] SSSEPGQDDRWKAPGHHLHFWGHRGLQQKVWPRLKSNNLVIHSMVRCFHEQQTLKGNMVD QHSPEEGTRPHFITCTVEHDSIRLPLEHLVENQMAEVTFVPVSKVNGQAEVEDILAAVRP TTCLVTIMLANNETGVIMPVSEISRRIKALNQIRAASGLPRVLVHTDAAQALGKRRVDVE DLGVDFLTIVGHKFYGPRIGALYVRGVGKLTPLYPMLF >ENSMUSP00000078718.3 pep:known chromosome:GRCm38:18:6934966:7004780:-1 gene:ENSMUSG00000061013.5 transcript:ENSMUST00000079788.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mkx description:mohawk homeobox [Source:MGI Symbol;Acc:MGI:2687286] MNTIVFNKLGGAVLFEDRGTPDRERGSRTFSGFLDNPHTGPEVGIPDGPPLKDNLSLRHR RTGARQNGGKVRHKRQALQDMARPLKQWLYKHRDNPYPTKTEKILLALGSQMTLVQVSNW FANARRRLKNTVRQPDLSWALRIKLYNKYVQGNAERLSVSSDGDSCSEDGENPPRNHMNE EGYSTPAHHTVIKGESSAIKAGGRPESRAAEDYVSPPKYKSSLLNRYLNDSLRHVMATST AMMGKTRRRNHSGSFSSNEFEEELVSPSSSETEGTFVYRTDTPDIGSTKGDSAANRRGPS KDDTYWKEINAAMALTNLAQGKDEVQGTTTSCIIQKSSHIAEVKTVKLPLVQRF >ENSMUSP00000077174.5 pep:known chromosome:GRCm38:2:140395435:142384388:1 gene:ENSMUSG00000068205.14 transcript:ENSMUST00000078027.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macrod2 description:MACRO domain containing 2 [Source:MGI Symbol;Acc:MGI:1920149] MYPSNKKKKVWREEKERLLKMTLEERRKEYIRDYVSLSTILSWKEEMKSKGQNDEENTQE APQMKKSLSEKVSLYRGDITLLEVDAIVNAANASLLGGGGVDGCIHRAAGPCLLAECRNL NGCETGHAKITCGYDLPAKYVIHTVGPIARGHINGSHKEDLANCYQSSLKLVKENNLRSV AFPCISTGIYGFPNEPAAVIALGTIKEWLAKNHQEVDRIIFCVFLEVDFKIYKKKMNEFF PVDDNNEGTDADMKEDSEGPEPKGLSPPHKKSKAKKPESSKDSSEDESGPEEKQTAEEME GQSQEAGGLRFLLRNLLGLIHRDGVNTTPVPSPASEDKAEVHKDEDSAKDDNTVKDSDMT NHSVCDQELPNGQENDSAKSEGKTEAESPSSSMETEDLSPNQEDAAIVEQPEVIPLIDDQ EAQEGGEAQGKDAPAVFAESQGSSEAENTSGPDVDMNSQVDGVNEPTESLQEDLQ >ENSMUSP00000041780.7 pep:known chromosome:GRCm38:2:140395435:140422954:1 gene:ENSMUSG00000068205.14 transcript:ENSMUST00000043836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macrod2 description:MACRO domain containing 2 [Source:MGI Symbol;Acc:MGI:1920149] MMDASLMVGEMVENVMGESTCRSLPETVMERKSYSLGNRKRGPLSDLVFQSILNQERLIE DSLRRVCFEEEKQTKKGGRESELPEGKQTRRVGDTCSFVACLTNTDLPGVNIEN >ENSMUSP00000105691.1 pep:known chromosome:GRCm38:2:140395356:142392966:1 gene:ENSMUSG00000068205.14 transcript:ENSMUST00000110064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macrod2 description:MACRO domain containing 2 [Source:MGI Symbol;Acc:MGI:1920149] MYPSNKKKKVWREEKERLLKMTLEERRKEYIRDYVSLSTILSWKEEMKSKGQNDEENTQE APQMKKSLSEKVSLYRGDITLLEVDAIVNAANASLLGGGGVDGCIHRAAGPCLLAECRNL NGCETGHAKITCGYDLPAKYVIHTVGPIARGHINGSHKEDLANCYQSSLKLVKENNLRSV AFPCISTGIYGFPNEPAAVIALGTIKEWLAKNHQEVDRIIFCVFLEVDFKIYKKKMNEFF PVDDNNEGTDADMKEDSEGPEPKGLSPPHKKSKAKKPESSKDSSEDESGPEEKQTAEEME GQSQEAGGLRFLLRNLLGLIHRDGVNTTPVPSPASEDKAEVHKDEDSAKDDNTVKDSDMT NHSVCDQELPNGQENDSAKSEGKTEAESPSSSMETEDLSPNQEDAAIVEQPEVIPLIDDQ EAQEGGEAQGKDAPAVFAESQGSSEAENTSGPDVDMNSQVDGVNEPTESLQEDLQ >ENSMUSP00000105689.1 pep:known chromosome:GRCm38:2:140395430:140422951:1 gene:ENSMUSG00000068205.14 transcript:ENSMUST00000110062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macrod2 description:MACRO domain containing 2 [Source:MGI Symbol;Acc:MGI:1920149] MYPSNKKKKVWREEKERLLKMTLEERRKEYIRDYVSLSTILSWKEEMKSKGQNDGCIPNG WRNGGECHGREHLQILA >ENSMUSP00000105688.1 pep:known chromosome:GRCm38:2:140395595:140420495:1 gene:ENSMUSG00000068205.14 transcript:ENSMUST00000110061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macrod2 description:MACRO domain containing 2 [Source:MGI Symbol;Acc:MGI:1920149] MYPSNKKKKVWREEKERLLKMTLEERRKEYIRDYVSLSTILSWKEEMKSKGQNDGCIPNG WRNGGECHGREHLQILA >ENSMUSP00000105694.1 pep:known chromosome:GRCm38:2:140395309:142392966:1 gene:ENSMUSG00000068205.14 transcript:ENSMUST00000110067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macrod2 description:MACRO domain containing 2 [Source:MGI Symbol;Acc:MGI:1920149] MYPSNKKKKVWREEKERLLKMTLEERRKEYIRDYVSLSTILSWKEEMKSKGQNDEENTQE APQMKKSLSEKVSLYRGDITLLEVDAIVNAANASLLGGGGVDGCIHRAAGPCLLAECRNL NGCETGHAKITCGYDLPAKYVIHTVGPIARGHINGSHKEDLANCYQSSLKLVKENNLRSV AFPCISTGIYGFPNEPAAVIALGTIKEWLAKNHQEVDRIIFCVFLEVDFKIYKKKMNEFF PVDDNNEGTDADMKEDSDESGPEEKQTAEEMEGQSQEADGVNTTPVPSPASEDKAEVHKD EDSAKDDNTVKDSDMTNHSVCDQELPNGQENDSAKSEGKTEAESPSSSMETEDLSPNQED AAIVEQPEVIPLIDDQEAQEGGEAQGKDAPAVFAESQGSSEAENTSGPDGPQDEAKEQRT EAK >ENSMUSP00000105690.1 pep:known chromosome:GRCm38:2:140395356:140706455:1 gene:ENSMUSG00000068205.14 transcript:ENSMUST00000110063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macrod2 description:MACRO domain containing 2 [Source:MGI Symbol;Acc:MGI:1920149] MYPSNKKKKVWREEKERLLKMTLEERRKEYIRDYVSLSTILSWKEEMKSKGQNDEENTQE APQMKKSLSEKVSLYRGDITLLEVDAIVNAVLGPQSVQVLLLEQHPPLQGACEKSAEHCS RLQTS >ENSMUSP00000121013.1 pep:known chromosome:GRCm38:2:142176607:142234375:1 gene:ENSMUSG00000068205.14 transcript:ENSMUST00000156619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macrod2 description:MACRO domain containing 2 [Source:MGI Symbol;Acc:MGI:1920149] XFCVFLEVDFKIYKKKMNEFFPVDDNNEGTDADMKEDSEGPEPKGLSPPHKKSKAKKPES SKDSSEGRSPKMSKL >ENSMUSP00000054822.4 pep:known chromosome:GRCm38:4:111972927:111983106:1 gene:ENSMUSG00000049214.13 transcript:ENSMUST00000055014.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint7 description:selection and upkeep of intraepithelial T cells 7 [Source:MGI Symbol;Acc:MGI:3041190] MMKPEFFCFSGFCVYFLFLQVVVSSEKLRVTTPTRHLLARVGGQAELSCQVIPPHSVMHM EVRWFRSGHSQPVYLYRGGHKMSEEAAPEYANRTEFVKEAIGEGKVSLRIHNINILDDGP YQCSFNGSGFIDAAIMNLNVTAVGLETEIHVQAPDADGVMVECNSGGWFPRPQMEWRDSK GATLPHSLKSYSQDEARFFYMKMTLLLTNMSHGSIICCIFNPVTGEEKQTSIILASEYCH LAWLLIGKQRQK >ENSMUSP00000102178.1 pep:known chromosome:GRCm38:4:111972923:111985037:1 gene:ENSMUSG00000049214.13 transcript:ENSMUST00000106568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint7 description:selection and upkeep of intraepithelial T cells 7 [Source:MGI Symbol;Acc:MGI:3041190] MMKPEFFCFSGFCVYFLFLQVVVSSEKLRVTTPTRHLLARVGGQAELSCQVIPPHSVMHM EVRWFRSGHSQPVYLYRGGHKMSEEAAPEYANRTEFVKEAIGEGKVSLRIHNINILDDGP YQCSFNGSGFIDAAIMNLNVTAVGLETEIHVQAPDADGVMVECNSGGWFPRPQMEWRDSK GATLPHSLKSYSQDEARFFYMKMTLLLTNMSHGSIICCIFNPVTGEEKQTSIILANELFN RDRIWMESLASIVWIMLSVYILYIICFYWRTGCASGCLSKCFCVVTSWPVQIVHLLFCTG TFFAIYLPHRSRGK >ENSMUSP00000127347.1 pep:known chromosome:GRCm38:4:111972927:111988223:1 gene:ENSMUSG00000049214.13 transcript:ENSMUST00000163281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint7 description:selection and upkeep of intraepithelial T cells 7 [Source:MGI Symbol;Acc:MGI:3041190] MMKPEFFCFSGFCVYFLFLQVVVSSEKLRVTTPTRHLLARVGGQAELSCQVIPPHSVMHM EVRWFRSGHSQPVYLYRGGHKMSEEAAPEYANRTEFVKEAIGEGKVSLRIHNINILDDGP YQCSFNGSGFIDAAIMNLNVTAVGLETEIHVQAPDADGVMVECNSGGWFPRPQMEWRDSK GATLPHSLKSYSQDEARFFYMKMTLLLTNMSHGSIICCIFNPVTGEEKQTSIILANELFN RDRIWMESLASIVWIMLSVYILYIICFYWRTGCASGCLSKCFCVVTSWPVQIVHLLFCTG TFFAIYLPHRSRVSLSDPQFPLYNNWITELLFVILFLTICFALPIILLFIQFQFTSLTKW EKNKDGIMDQPRLGKAHETSSLYRKKTGKSWEQEK >ENSMUSP00000075289.2 pep:known chromosome:GRCm38:1:172126755:172135283:1 gene:ENSMUSG00000003464.13 transcript:ENSMUST00000075895.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex19 description:peroxisomal biogenesis factor 19 [Source:MGI Symbol;Acc:MGI:1334458] MAAAEEGCGVGVEDDRELEELLESALDDFDKAKPSPEHAPTISAPDASGPQKRAPGDTAK DALFASQEKFFQELFDSELASQATAEFEKAMKELAEEEPHLVEQFQKLSEAAGRVGSDAS SQQEFTSCLKETLSGLAKNATELQNSGMSEEELMKAMEGLGMDEGDGEASILPIMQSIMQ NLLSKDVLYPSLKEITEKYPEWLQSHQDSTPPEQFEKYQQQHSVMVKICEQFEAETPTDS EATQRARFEAMLDLMQQLQALGHPPKELAGEMPPGLNFDLDALNLSGPPGANGEQCLIM >ENSMUSP00000106883.3 pep:known chromosome:GRCm38:1:172126762:172136493:1 gene:ENSMUSG00000003464.13 transcript:ENSMUST00000111252.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex19 description:peroxisomal biogenesis factor 19 [Source:MGI Symbol;Acc:MGI:1334458] MAAAEEGCGVGVEDDRELEELLESSDASSQQEFTSCLKETLSGLAKNATELQNSGMSEEE LMKAMEGLGMDEGDGEASILPIMQSIMQNLLSKDVLYPSLKEITEKYPEWLQSHQDSTPP EQFEKYQQQHSVMVKICEQFEAETPTDSEATQRARFEAMLDLMQQLQALGHPPKELAGEM PPGLNFDLDALNLSGPPGANGEQCLIM >ENSMUSP00000050103.5 pep:known chromosome:GRCm38:1:105276914:105356670:-1 gene:ENSMUSG00000047496.6 transcript:ENSMUST00000058688.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf152 description:ring finger protein 152 [Source:MGI Symbol;Acc:MGI:2443787] METLSQDSLLECQICFNYYSPRRRPKLLDCKHTCCSVCLQQMRTSQKDVRCPWCRGITKL PPGFSVSQLPDDPEVLAVIAIPHTSEHTPVFIKLPSNGCYMLPLPISKERTLLPGDMGCR LLPGSQQKSLTVVTIPAEQQPLQGGAPPEAVEEEPDRRGVVKSSTWSGVCTVILVACVLV FLLGIVLHNMSCISKRFTVISCG >ENSMUSP00000128632.1 pep:known chromosome:GRCm38:1:105276917:105356710:-1 gene:ENSMUSG00000047496.6 transcript:ENSMUST00000172299.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf152 description:ring finger protein 152 [Source:MGI Symbol;Acc:MGI:2443787] METLSQDSLLECQICFNYYSPRRRPKLLDCKHTCCSVCLQQMRTSQKDVRCPWCRGITKL PPGFSVSQLPDDPEVLAVIAIPHTSEHTPVFIKLPSNGCYMLPLPISKERTLLPGDMGCR LLPGSQQKSLTVVTIPAEQQPLQGGAPPEAVEEEPDRRGVVKSSTWSGVCTVILVACVLV FLLGIVLHNMSCISKRFTVISCG >ENSMUSP00000128648.1 pep:known chromosome:GRCm38:12:111406809:111412090:1 gene:ENSMUSG00000087075.2 transcript:ENSMUST00000150384.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A230065H16Rik description:RIKEN cDNA A230065H16 gene [Source:MGI Symbol;Acc:MGI:2685744] MSTPQPAAPESPTEGPRGPAGKAAVGVREKGPWLCQRLPSIVVEPTEAGAVESGELRWPP EGTQRGTPQIQAAAAPSPSPPGKEADDDVIQGASSGHQAFPAPVTDAGVAGSTL >ENSMUSP00000107364.2 pep:known chromosome:GRCm38:14:48446382:48515135:1 gene:ENSMUSG00000036339.17 transcript:ENSMUST00000111735.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem260 description:transmembrane protein 260 [Source:MGI Symbol;Acc:MGI:2443219] MGLHGDGGSPAAGAGPWRSGALRGSVAVFASVAAVFTLTLPRSLPGGDSGELITAAHELG VAHPPGYPLFTLLASLTITLFPFGSVAYRVNLLCGLFGAVAASLLFYTVFRLSGSHAGGI LAAGVFSFSRLTWQWSIAAEVFSLNNLFVGLLMALTVRFEEATAAKERSKIAAIGAFSCG LSLCNQHTIVLYILCIIPWILFRLLKEKELTLSLLLRLTLAFSAGLLPYVYLPVSSYLSR ARWTWGDQTTLRGFLTHFFREEYGTFSLAKSEVGSSVSTVLLSQVINMKTELSFNIQALA VWANICLARKDRRKSSVVWLFTGMLCLYSLFFAWRANLDISKPLFMGVVERFWLQSNAVV AVLAGLGLATLVSETNRVLHCTGIRNLEWLSAALFVAYQVYSNYSICDQRTNNVIDQFAR NLLDSMPQDAIILLRGDLPGNALRYLHYCEGLRPDVSLVDQEMMTYEWYLPKMARHLPGV HFPGDRWNPVEGVLPSGMVTFNLYHFLEMNKQKETFVCIGIHEGDPTWKKDYSLWPWGSC DKLVPSKIVFNPEEWIERTRAIYNWTEAYERFGPSSWESVANEEMWQARMKTPFFIFSLA ESAAVPADVKAQLYTHAYKLYKEIVYLQEEHPVNWHKNYAIACERMLRLPGTGIDPEVLL SEAIRHFHLYTQKAQNDPQRADIIAALKHLRRELQSLRNIKKV >ENSMUSP00000116155.1 pep:known chromosome:GRCm38:14:48446393:48512135:1 gene:ENSMUSG00000036339.17 transcript:ENSMUST00000153765.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem260 description:transmembrane protein 260 [Source:MGI Symbol;Acc:MGI:2443219] MGLHGDGGSPAAGAGPWRSGALRGSVAVFASVAAVFTLTLPRSLPGGDSGELITAAHELG VAHPPGYPLFTLLASLTITLFPFGSVAYRVNLLCGLFGAVAASLLFYTVFR >ENSMUSP00000118376.1 pep:known chromosome:GRCm38:14:48446128:48512790:1 gene:ENSMUSG00000036339.17 transcript:ENSMUST00000124720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem260 description:transmembrane protein 260 [Source:MGI Symbol;Acc:MGI:2443219] MALTVRFEEATAAKERSKIAAIGAFSCGLSLCNQHTIVLYILCIIPWILFRLLKEKELTL SLLLRLTLAFSAGLLPYVYLPVSSYLSRARWTWGDQTTLRGFLTHFFREEYGTFSLAKSE VGSSVSTVLLSQVINMKTELSFNIQALAVWANICLARKDRRKSSVVWLFTGMLCLYSLFF AWRANLDISKPLFMGVVERFWLQSNAVVAVLAGLGLATLVSETNRVLHCTGIRNLEWLSA ALFVAYQVYSNYSICDQRTNNVIDQFARNLLDSMPQDAIILLRGDLPGNALRYLHYCEGL RPDVSLVDQEMMTYEWYLPKMARHLPGVHFPGDRWNPVEGVLPSGMVTFNLYHFLEMNKQ KETFVCIGIHEGDPTWKKDYSLWPWGSCDKLVPSKIVFNPEEWIERTRAIYNWTEAYERF GPSSWESVANEEMWQARMKTPFFIFSLAESAAVPADVKAQLYTHAYKLYKEIVYLQEEHP VNWHKNYAIACERMLRLPGTGIDPEVLLSEAIRHFHLYTQKAQNDPQRADIIAALKHLRR ELQSLRNIKKV >ENSMUSP00000110865.1 pep:known chromosome:GRCm38:X:37213804:37222258:-1 gene:ENSMUSG00000071773.4 transcript:ENSMUST00000115210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox1 description:reproductive homeobox 1 [Source:MGI Symbol;Acc:MGI:3580237] MVVTRGKGHRSHRAALAFGCHTNKIRETFLWLKNLGKLTYTDSTDTQAASCSDGFRNMEH QSIYHLLRIIPEDEENANGVMALLAGEGRNEGESGPGQPGSGVAAAASAFSRQDGPAAGA AGFMVPCTREGHDWENAQQLQQPVFWSMRDARVAQPGPPPKGKCGLSNRFSRWQLQQLEL LFQRTQYISAQDRKRLAVCLCVCEAKVQNWFQRRRAEYRKYHNS >ENSMUSP00000121784.1 pep:known chromosome:GRCm38:5:76561374:76566964:1 gene:ENSMUSG00000036435.13 transcript:ENSMUST00000134521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc1 description:exocyst complex component 1 [Source:MGI Symbol;Acc:MGI:2445020] KVDSFNSLYMLVKMSHHVWTAQNVDPASFLSTTLGNVLVTVKRNFDKCISNQIRQMEEVK ISKKSKVGILPFVAEFEEFAGLAESIFKNAERRGDLDKAYTKLIRGVFINGFLPFSALIS VEK >ENSMUSP00000046719.6 pep:known chromosome:GRCm38:5:76529358:76570287:1 gene:ENSMUSG00000036435.13 transcript:ENSMUST00000049469.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc1 description:exocyst complex component 1 [Source:MGI Symbol;Acc:MGI:2445020] MTAIKHALQRDIFTPNDERLLSIVNVCKAGKKKKNCFLCATVTTERPVQVKVVKVKKSDK GDFYKRQIAWALRDLAVVDAKDAIKENPEFDLHFEKVYKWVASSTAEKNAFISCIWKLNQ RYLRKKIDFVNVSSQLLEESVPSGENQSVAGGDEEAVDEYQELNAREEQDIEIMMEGCEC AISNAEAFAEKLSRELQVLDGANIQSIMASEKQVNTLMQLLDEALTEVDQIELKLSSYEE MLQSVKEQMDQISESNHLIHLSNTNNVKLLSEIEFLVNHMDLAKGHIKALQEGDLVSSRG IEACTNAADALLQCMNVALRPGHDMLLAVKQQQQRFSDLREHFARRLASHLNNVFVQQGH DQSSTLAQHSVELTLPNHHPFHRDLLRYAKLMEWLKSTDYGKYEGLTKNYMDYLSRLYER EIKDFFEVAKMKMTGTSKESKKFGLHGSSGKLTGSTSSLNKLSVQSSGSRRSQSSSLLDM GNMSASDLDVADRTKFDKIFEQVLSELEPLCLAEQDFISKFFKLQQHQNMSASMTEAEDL DGGSLLRQHSSGTLLPVSSEKDMIRQMMIKIFRCIEPELNNLIALGDKVDSFNSLYMLVK MSHHVWTAQNVDPASFLSTTLGNVLVTVKRNFDKCISNQIRQMEEVKISKKSKVGILPFV AEFEEFAGLAESIFKNAERRGDLDKAYTKLIRGVFINVEKVANESQKTPRDVVMMENFHH IFATLSRLKISCLEAEKKEAKQKYTDHLQSYVIYSLGQPLEKLNHFFEGVEARVAQGIRE EEVSYQLAFNKQELRKVIKEYPGKEVKKGLDNLYKKVDKHLCEEENLLQVVWHSMQDEFI RQYKHFEGLIARCYPGSGVTMEFTIQDILDYCSSIAQSH >ENSMUSP00000109121.1 pep:known chromosome:GRCm38:5:76529323:76570285:1 gene:ENSMUSG00000036435.13 transcript:ENSMUST00000113493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc1 description:exocyst complex component 1 [Source:MGI Symbol;Acc:MGI:2445020] MTAIKHALQRDIFTPNDERLLSIVNVCKAGKKKKNCFLCATVTTERPVQVKVVKVKKSDK GDFYKRQIAWALRDLAVVDAKDAIKENPEFDLHFEKVYKWVASSTAEKNAFISCIWKLNQ RYLRKKIDFVNVSSQLLEELPKVTEESVPSGENQSVAGGDEEAVDEYQELNAREEQDIEI MMEGCECAISNAEAFAEKLSRELQVLDGANIQSIMASEKQVNTLMQLLDEALTEVDQIEL KLSSYEEMLQSVKEQMDQISESNHLIHLSNTNNVKLLSEIEFLVNHMDLAKGHIKALQEG DLVSSRGIEACTNAADALLQCMNVALRPGHDMLLAVKQQQQRFSDLREHFARRLASHLNN VFVQQGHDQSSTLAQHSVELTLPNHHPFHRDLLRYAKLMEWLKSTDYGKYEGLTKNYMDY LSRLYEREIKDFFEVAKMKMTGTSKESKKFATLPRKESAVKQETESLHGSSGKLTGSTSS LNKLSVQSSGSRRSQSSSLLDMGNMSASDLDVADRTKFDKIFEQVLSELEPLCLAEQDFI SKFFKLQQHQNMSASMTEAEDLDGGSLLRQHSSGTLLPVSSEKDMIRQMMIKIFRCIEPE LNNLIALGDKVDSFNSLYMLVKMSHHVWTAQNVDPASFLSTTLGNVLVTVKRNFDKCISN QIRQMEEVKISKKSKVGILPFVAEFEEFAGLAESIFKNAERRGDLDKAYTKLIRGVFINV EKVANESQKTPRDVVMMENFHHIFATLSRLKISCLEAEKKEAKQKYTDHLQSYVIYSLGQ PLEKLNHFFEGVEARVAQGIREEEVSYQLAFNKQELRKVIKEYPGKEVKKGLDNLYKKVD KHLCEEENLLQVVWHSMQDEFIRQYKHFEGLIARCYPGSGVTMEFTIQDILDYCSSIAQS H >ENSMUSP00000084373.4 pep:known chromosome:GRCm38:5:76529311:76570294:1 gene:ENSMUSG00000036435.13 transcript:ENSMUST00000087133.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc1 description:exocyst complex component 1 [Source:MGI Symbol;Acc:MGI:2445020] MTAIKHALQRDIFTPNDERLLSIVNVCKAGKKKKNCFLCATVTTERPVQVKVVKVKKSDK GDFYKRQIAWALRDLAVVDAKDAIKENPEFDLHFEKVYKWVASSTAEKNAFISCIWKLNQ RYLRKKIDFVNVSSQLLEESVPSGENQSVAGGDEEAVDEYQELNAREEQDIEIMMEGCEC AISNAEAFAEKLSRELQVLDGANIQSIMASEKQVNTLMQLLDEALTEVDQIELKLSSYEE MLQSVKEQMDQISESNHLIHLSNTNNVKLLSEIEFLVNHMDLAKGHIKALQEGDLVSSRG IEACTNAADALLQCMNVALRPGHDMLLAVKQQQQRFSDLREHFARRLASHLNNVFVQQGH DQSSTLAQHSVELTLPNHHPFHRDLLRYAKLMEWLKSTDYGKYEGLTKNYMDYLSRLYER EIKDFFEVAKMKMTGTSKESKKFATLPRKESAVKQETESLHGSSGKLTGSTSSLNKLSVQ SSGSRRSQSSSLLDMGNMSASDLDVADRTKFDKIFEQVLSELEPLCLAEQDFISKFFKLQ QHQNMSASMTEAEDLDGGSLLRQHSSGTLLPVSSEKDMIRQMMIKIFRCIEPELNNLIAL GDKVDSFNSLYMLVKMSHHVWTAQNVDPASFLSTTLGNVLVTVKRNFDKCISNQIRQMEE VKISKKSKVGILPFVAEFEEFAGLAESIFKNAERRGDLDKAYTKLIRGVFINVEKVANES QKTPRDVVMMENFHHIFATLSRLKISCLEAEKKEAKQKYTDHLQSYVIYSLGQPLEKLNH FFEGVEARVAQGIREEEVSYQLAFNKQELRKVIKEYPGKEVKKGLDNLYKKVDKHLCEEE NLLQVVWHSMQDEFIRQYKHFEGLIARCYPGSGVTMEFTIQDILDYCSSIAQSH >ENSMUSP00000109656.2 pep:known chromosome:GRCm38:16:91694338:91728701:-1 gene:ENSMUSG00000058240.13 transcript:ENSMUST00000114023.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryzl1 description:crystallin, zeta (quinone reductase)-like 1 [Source:MGI Symbol;Acc:MGI:1913859] MKGLYFQQSSTNEEVTFVFQEKENVPVTEDNFVRVQVKACALSHINTKLLAEMKMEKDFF PVGREVSGIVLEVGRKVTFFQPDDEVVGILPLDSEDPGLCEVIRVHEHYLVHKPEKVSWT EAAGVIRDGVRACTALYYLSQLSPGKSVLIMDGASAFGTIAIQLAHHRGAKVISTAHSLE DKQHLERLRPSIARVIDVSNGKVHVAESCLEETGGLGVDIVIDAGGLEADV >ENSMUSP00000115686.1 pep:known chromosome:GRCm38:16:91692187:91717469:-1 gene:ENSMUSG00000058240.13 transcript:ENSMUST00000124282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryzl1 description:crystallin, zeta (quinone reductase)-like 1 [Source:MGI Symbol;Acc:MGI:1913859] MKGLYFQQSSTNEEVTFVFQEKENVPVTEDNFVRVQVKACALSHINTKLLAEMKMEKDFF PVGREVSGIVLEVGRKVTFFQPDDEVVGILPLDSEDPGLCEVIRVHEHYLVHKPEKVSWT EAAGVIRDGVRACTALYYLSQLSPGKSVLIMDGASAFGTIAIQLAHHRGAKVISTAHSLE DKQHLERLRPSIARVIDVSNGKVHVAESCLEETGGLGVDIVIDAGVRLYSKDDEPAVKLH LPHKHDIITLLGVGGHWVTTEENLQLDPPDSHCLFLKGATVAFLNDEVWNLSNAQQGKYL >ENSMUSP00000116833.1 pep:known chromosome:GRCm38:16:91692161:91708873:-1 gene:ENSMUSG00000058240.13 transcript:ENSMUST00000144472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryzl1 description:crystallin, zeta (quinone reductase)-like 1 [Source:MGI Symbol;Acc:MGI:1913859] XGRKVTFFQPDDEVVGILPLDSEDPGLCEVIRVHEHYLVHKPEKVSWTEAAGVIRDGVRA CTALYYLSQLSPGKSVLIMDGASAFGTIAIQLAHHRGAKVISTAHSLEDKQHLERLRPSI ARVIDVSNGKVHVAESCLEETGGLGVDIVIDAGVRLYSKDDEPAVKLHLPHKHDIITLLG VGGHWVTTEENLQVRGYCWVPSGAGSKRQSHNHTTGSNPF >ENSMUSP00000112734.1 pep:known chromosome:GRCm38:16:91689606:91728623:-1 gene:ENSMUSG00000058240.13 transcript:ENSMUST00000122254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryzl1 description:crystallin, zeta (quinone reductase)-like 1 [Source:MGI Symbol;Acc:MGI:1913859] MKGLYFQQSSTNEEVTFVFQEKENVPVTEDNFVRVQVKACALSHINTKLLAEMKMEKDFF PVGREVSGIVLEVHKPEKVSWTEAAGVIRDGVRACTALYYLSQLSPGKSVLIMDGASAFG TIAIQLAHHRGAKVISTAHSLEDKQHLERLRPSIGLCSMSPSHCMRQRFPWKLFRKIKKE KSKSFNFNSLP >ENSMUSP00000073171.6 pep:known chromosome:GRCm38:16:91689322:91728975:-1 gene:ENSMUSG00000058240.13 transcript:ENSMUST00000073466.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryzl1 description:crystallin, zeta (quinone reductase)-like 1 [Source:MGI Symbol;Acc:MGI:1913859] MKGLYFQQSSTNEEVTFVFQEKENVPVTEDNFVRVQVKACALSHINTKLLAEMKMEKDFF PVGREVSGIVLEVGRKVTFFQPDDEVVGILPLDSEDPGLCEVIRVHEHYLVHKPEKVSWT EAAGVIRDGVRACTALYYLSQLSPGKSVLIMDGASAFGTIAIQLAHHRGAKVISTAHSLE DKQHLERLRPSIARVIDVSNGKVHVAESCLEETGGLGVDIVIDAGVRLYSKDDEPAVKLH LPHKHDIITLLGVGGHWVTTEENLQLDPPDSHCLFLKGATVAFLNDEVWNLSNAQQGKYL CILKDVMEKLSAGVFRPLLDEPIPLYEAKVSMEVVQKNQERKKQVVQF >ENSMUSP00000113227.1 pep:known chromosome:GRCm38:16:91689342:91728802:-1 gene:ENSMUSG00000058240.13 transcript:ENSMUST00000117644.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryzl1 description:crystallin, zeta (quinone reductase)-like 1 [Source:MGI Symbol;Acc:MGI:1913859] MKGLYFQQSSTNEEVTFVFQEKENVPVTEDNFVRVQVKACALSHINTKLLAEMKMEKDFF PVGREVSGIVLEGILPLDSEDPGLCEVIRVHEHYLVHKPEKVSWTEAAGVIRDGVRACTA LYYLSQLSPGKSVLIMDGASAFGTIAIQLAHHRGAKVISTAHSLEDKQHLERLRPSIARV IDVSNGKVHVAESCLEETGGLGVDIVIDAGVRLYSKDDEPAVKLHLPHKHDIITLLGVGG HWVTTEENLQLDPPDSHCLFLKGATVAFLNDEVWNLSNAQQGKYLCILKDVMEKLSAGVF RPLLDEPIPLYEAKVSMEVVQKNQERKKQVVQF >ENSMUSP00000142243.1 pep:known chromosome:GRCm38:9:107540042:107542127:-1 gene:ENSMUSG00000037190.12 transcript:ENSMUST00000194967.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyb561d2 description:cytochrome b-561 domain containing 2 [Source:MGI Symbol;Acc:MGI:1929280] MALSVETESHIYRALRTASGAAAHLVALGFTIFVAVLARPGSIP >ENSMUSP00000044093.3 pep:known chromosome:GRCm38:9:107539007:107541865:-1 gene:ENSMUSG00000037190.12 transcript:ENSMUST00000041459.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561d2 description:cytochrome b-561 domain containing 2 [Source:MGI Symbol;Acc:MGI:1929280] MALSVETESHIYRALRTASGAAAHLVALGFTIFVAVLARPGSSLFSWHPVLMSLAFSFLM TEALLMFSPESSLLRSLSRKVRARCHWVLQLLALLCALLGLGLVILHKEQLGKAHLTTRH GQAGLLAVLWAGLQCSGGMGLLYPKLLPRWPLAKLKLYHATSGLVGYLLGSASLLLGMFS LWFTATVTGGAWYLAVLCPILTSLVIMNQVSNAYLYRKRIQP >ENSMUSP00000141723.1 pep:known chromosome:GRCm38:9:107539575:107542193:-1 gene:ENSMUSG00000037190.12 transcript:ENSMUST00000195235.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561d2 description:cytochrome b-561 domain containing 2 [Source:MGI Symbol;Acc:MGI:1929280] MALSVETESHIYRALRTASGAAAHLVALGFTIFVAVLARPGSSLFSWHPVLMSLAFSFLM TEALLMFSPESSLLRSLSRKVRARCHWVLQLLALLCALLGLGLVILHKEQLGKAHLTTRH GQAGLLAVLWAGLQCSGGMGLLYPKLLPRWPLAKLKLYHATSGLVGYLLGSASLLLGMFS LWFTATVTGGAWYLAVLCPILTSLVIMNQVSNAYLYRKRIQP >ENSMUSP00000141535.1 pep:known chromosome:GRCm38:9:107539581:107541525:-1 gene:ENSMUSG00000037190.12 transcript:ENSMUST00000194344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561d2 description:cytochrome b-561 domain containing 2 [Source:MGI Symbol;Acc:MGI:1929280] XVAVLARPGSSLFSWHPVLMSLAFSFLMTEALLMFSPESSLLRSLSRKVRARCHWELGTC WTLTTDRVWGHFRD >ENSMUSP00000119828.1 pep:known chromosome:GRCm38:X:56787725:56789255:1 gene:ENSMUSG00000023092.16 transcript:ENSMUST00000151033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl1 description:four and a half LIM domains 1 [Source:MGI Symbol;Acc:MGI:1298387] MSEKFDCHYCRDPLQGKKYVQKDGRHCCLKCFDKFCANTCVDCRKPISADAKEVHYKNRY WHDNCFRCAKCLHPLASETFVSKDGKILC >ENSMUSP00000116725.1 pep:known chromosome:GRCm38:X:56786527:56790666:1 gene:ENSMUSG00000023092.16 transcript:ENSMUST00000144600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl1 description:four and a half LIM domains 1 [Source:MGI Symbol;Acc:MGI:1298387] MSEKFDCHYCRDPLQGKKYVQKDGRHCCLKCFDKFCANTCVDCRKPISADAKEVHYKNRY WHDNCFRCAKCLHPLASETFVSKDGKILCNKCATREDSPRCKGCFKAIVAGDQNVEYKGT VWHKDCFTCSNCKQVIGTGSFFPKGEDFYCVTCHETKFAKHCVKCNKAITSGGITYQDQP WHAECFVCVTCSKKLAGQRFTAVEDQYYCVDCYKNFVAKKCAGCKNPITGRLKSP >ENSMUSP00000115700.1 pep:known chromosome:GRCm38:X:56779819:56789205:1 gene:ENSMUSG00000023092.16 transcript:ENSMUST00000155882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl1 description:four and a half LIM domains 1 [Source:MGI Symbol;Acc:MGI:1298387] MSEKFDCHYCRDPLQGKKYVQKDGRHCCLKCFDKFCANTCVDCRKPISADAKEVHYKNRY WHDNCFRCAKCL >ENSMUSP00000110416.3 pep:known chromosome:GRCm38:X:56779730:56792588:1 gene:ENSMUSG00000023092.16 transcript:ENSMUST00000114768.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl1 description:four and a half LIM domains 1 [Source:MGI Symbol;Acc:MGI:1298387] MASQRHSGPSSYKVGTMSEKFDCHYCRDPLQGKKYVQKDGRHCCLKCFDKFCANTCVDCR KPISADAKEVHYKNRYWHDNCFRCAKCLHPLASETFVSKDGKILCNKCATREDSPRCKGC FKAIVAGDQNVEYKGTVWHKDCFTCSNCKQVIGTGSFFPKGEDFYCVTCHETKFAKHCVK CNKGLVKAPVWWPMKDNPGTTTASTAKNAP >ENSMUSP00000110420.2 pep:known chromosome:GRCm38:X:56779723:56793340:1 gene:ENSMUSG00000023092.16 transcript:ENSMUST00000114772.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl1 description:four and a half LIM domains 1 [Source:MGI Symbol;Acc:MGI:1298387] MASQRHSGPSSYKVGTMSEKFDCHYCRDPLQGKKYVQKDGRHCCLKCFDKFCANTCVDCR KPISADAKEVHYKNRYWHDNCFRCAKCLHPLASETFVSKDGKILCNKCATREDSPRCKGC FKAIVAGDQNVEYKGTVWHKDCFTCSNCKQVIGTGSFFPKGEDFYCVTCHETKFAKHCVK CNKAITSGGITYQDQPWHAECFVCVTCSKKLAGQRFTAVEDQYYCVDCYKNFVAKKCAGC KNPITGFGKGSSVVAYEGQSWHDYCFHCKKCSVNLANKRFVFHNEQVYCPDCAKKL >ENSMUSP00000110421.3 pep:known chromosome:GRCm38:X:56779437:56793340:1 gene:ENSMUSG00000023092.16 transcript:ENSMUST00000114773.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl1 description:four and a half LIM domains 1 [Source:MGI Symbol;Acc:MGI:1298387] MTFYVAFLALKLIWMLSSPTGPSSYKVGTMSEKFDCHYCRDPLQGKKYVQKDGRHCCLKC FDKFCANTCVDCRKPISADAKEVHYKNRYWHDNCFRCAKCLHPLASETFVSKDGKILCNK CATREDSPRCKGCFKAIVAGDQNVEYKGTVWHKDCFTCSNCKQVIGTGSFFPKGEDFYCV TCHETKFAKHCVKCNKAITSGGITYQDQPWHAECFVCVTCSKKLAGQRFTAVEDQYYCVD CYKNFVAKKCAGCKNPITGFGKGSSVVAYEGQSWHDYCFHCKKCSVNLANKRFVFHNEQV YCPDCAKKL >ENSMUSP00000112295.1 pep:known chromosome:GRCm38:X:56732129:56792235:1 gene:ENSMUSG00000023092.16 transcript:ENSMUST00000116596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl1 description:four and a half LIM domains 1 [Source:MGI Symbol;Acc:MGI:1298387] MSEKFDCHYCRDPLQGKKYVQKDGRHCCLKCFDKFCANTCVDCRKPISADAKEVHYKNRY WHDNCFRCAKCLHPLASETFVSKDGKILCNKCATREDSPRCKGCFKAIVAGDQNVEYKGT VWHKDCFTCSNCKQVIGTGSFFPKGEDFYCVTCHETKFAKHCVKCNKAITSGGITYQDQP WHAECFVCVTCSKKLAGQRFTAVEDQYYCVDCYKNFVAKKCAGCKNPITGFGKGSSVVAY EGQSWHDYCFHCKKCSVNLANKRFVFHNEQVYCPDCAKKL >ENSMUSP00000110417.2 pep:known chromosome:GRCm38:X:56731865:56793338:1 gene:ENSMUSG00000023092.16 transcript:ENSMUST00000114769.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl1 description:four and a half LIM domains 1 [Source:MGI Symbol;Acc:MGI:1298387] MSEKFDCHYCRDPLQGKKYVQKDGRHCCLKCFDKFCANTCVDCRKPISADAKEVHYKNRY WHDNCFRCAKCLHPLASETFVSKDGKILCNKCATREDSPRCKGCFKAIVAGDQNVEYKGT VWHKDCFTCSNCKQVIGTGSFFPKGEDFYCVTCHETKFAKHCVKCNKAITSGGITYQDQP WHAECFVCVTCSKKLAGQRFTAVEDQYYCVDCYKNFVAKKCAGCKNPITGKRTVSRVSHP VSKARKSPVCHGKRLPLTLFPSANLRGRHPGGERTCPSWVVVLYRKNRSLAAPRGPGLVK APVWWPMKDNPGTTTASTAKNAP >ENSMUSP00000023854.3 pep:known chromosome:GRCm38:X:56731787:56793346:1 gene:ENSMUSG00000023092.16 transcript:ENSMUST00000023854.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl1 description:four and a half LIM domains 1 [Source:MGI Symbol;Acc:MGI:1298387] MSEKFDCHYCRDPLQGKKYVQKDGRHCCLKCFDKFCANTCVDCRKPISADAKEVHYKNRY WHDNCFRCAKCLHPLASETFVSKDGKILCNKCATREDSPRCKGCFKAIVAGDQNVEYKGT VWHKDCFTCSNCKQVIGTGSFFPKGEDFYCVTCHETKFAKHCVKCNKAITSGGITYQDQP WHAECFVCVTCSKKLAGQRFTAVEDQYYCVDCYKNFVAKKCAGCKNPITGFGKGSSVVAY EGQSWHDYCFHCKKCSVNLANKRFVFHNEQVYCPDCAKKL >ENSMUSP00000055493.7 pep:known chromosome:GRCm38:5:144190286:144194441:1 gene:ENSMUSG00000052271.7 transcript:ENSMUST00000060747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlha15 description:basic helix-loop-helix family, member a15 [Source:MGI Symbol;Acc:MGI:891976] MKTKNRPPRRRTPMQDTEATPGEQTPDRPQSGSGGSELTKGLRSRTARASGGRGEVSRRR QGSGGRRENSVQRRLESNERERQRMHKLNNAFQALREVIPHVRADKKLSKIETLTLAKNY IKSLTATILTMSSSRLPGLEAPGPAPGPKLYQHYHHQQQQQQQQQQVAGAMLGVTEDQPQ GHLQRYSTQIHSFREGS >ENSMUSP00000109885.1 pep:known chromosome:GRCm38:9:56619105:56647660:-1 gene:ENSMUSG00000049556.4 transcript:ENSMUST00000114247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lingo1 description:leucine rich repeat and Ig domain containing 1 [Source:MGI Symbol;Acc:MGI:1915522] MLAGGMRSMPSPLLACWQPILLLVLGSVLSGSATGCPPRCECSAQDRAVLCHRKRFVAVP EGIPTETRLLDLGKNRIKTLNQDEFASFPHLEELELNENIVSAVEPGAFNNLFNLRTLGL RSNRLKLIPLGVFTGLSNLTKLDISENKIVILLDYMFQDLYNLKSLEVGDNDLVYISHRA FSGLNSLEQLTLEKCNLTSIPTEALSHLHGLIVLRLRHLNINAIRDYSFKRLYRLKVLEI SHWPYLDTMTPNCLYGLNLTSLSITHCNLTAVPYLAVRHLVYLRFLNLSYNPIGTIEGSM LHELLRLQEIQLVGGQLAVVEPYAFRGLNYLRVLNVSGNQLTTLEESAFHSVGNLETLIL DSNPLACDCRLLWVFRRRWRLNFNRQQPTCATPEFVQGKEFKDFPDVLLPNYFTCRRAHI RDRKAQQVFVDEGHTVQFVCRADGDPPPAILWLSPRKHLVSAKSNGRLTVFPDGTLEVRY AQVQDNGTYLCIAANAGGNDSMPAHLHVRSYSPDWPHQPNKTFAFISNQPGEGEANSTRA TVPFPFDIKTLIIATTMGFISFLGVVLFCLVLLFLWSRGKGNTKHNIEIEYVPRKSDAGI SSADAPRKFNMKMI >ENSMUSP00000109894.1 pep:known chromosome:GRCm38:9:56619088:56635628:-1 gene:ENSMUSG00000049556.4 transcript:ENSMUST00000114256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lingo1 description:leucine rich repeat and Ig domain containing 1 [Source:MGI Symbol;Acc:MGI:1915522] MQVSERMLAGGMRSMPSPLLACWQPILLLVLGSVLSGSATGCPPRCECSAQDRAVLCHRK RFVAVPEGIPTETRLLDLGKNRIKTLNQDEFASFPHLEELELNENIVSAVEPGAFNNLFN LRTLGLRSNRLKLIPLGVFTGLSNLTKLDISENKIVILLDYMFQDLYNLKSLEVGDNDLV YISHRAFSGLNSLEQLTLEKCNLTSIPTEALSHLHGLIVLRLRHLNINAIRDYSFKRLYR LKVLEISHWPYLDTMTPNCLYGLNLTSLSITHCNLTAVPYLAVRHLVYLRFLNLSYNPIG TIEGSMLHELLRLQEIQLVGGQLAVVEPYAFRGLNYLRVLNVSGNQLTTLEESAFHSVGN LETLILDSNPLACDCRLLWVFRRRWRLNFNRQQPTCATPEFVQGKEFKDFPDVLLPNYFT CRRAHIRDRKAQQVFVDEGHTVQFVCRADGDPPPAILWLSPRKHLVSAKSNGRLTVFPDG TLEVRYAQVQDNGTYLCIAANAGGNDSMPAHLHVRSYSPDWPHQPNKTFAFISNQPGEGE ANSTRATVPFPFDIKTLIIATTMGFISFLGVVLFCLVLLFLWSRGKGNTKHNIEIEYVPR KSDAGISSADAPRKFNMKMI >ENSMUSP00000059050.1 pep:known chromosome:GRCm38:9:56618475:56685253:-1 gene:ENSMUSG00000049556.4 transcript:ENSMUST00000053568.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lingo1 description:leucine rich repeat and Ig domain containing 1 [Source:MGI Symbol;Acc:MGI:1915522] MLAGGMRSMPSPLLACWQPILLLVLGSVLSGSATGCPPRCECSAQDRAVLCHRKRFVAVP EGIPTETRLLDLGKNRIKTLNQDEFASFPHLEELELNENIVSAVEPGAFNNLFNLRTLGL RSNRLKLIPLGVFTGLSNLTKLDISENKIVILLDYMFQDLYNLKSLEVGDNDLVYISHRA FSGLNSLEQLTLEKCNLTSIPTEALSHLHGLIVLRLRHLNINAIRDYSFKRLYRLKVLEI SHWPYLDTMTPNCLYGLNLTSLSITHCNLTAVPYLAVRHLVYLRFLNLSYNPIGTIEGSM LHELLRLQEIQLVGGQLAVVEPYAFRGLNYLRVLNVSGNQLTTLEESAFHSVGNLETLIL DSNPLACDCRLLWVFRRRWRLNFNRQQPTCATPEFVQGKEFKDFPDVLLPNYFTCRRAHI RDRKAQQVFVDEGHTVQFVCRADGDPPPAILWLSPRKHLVSAKSNGRLTVFPDGTLEVRY AQVQDNGTYLCIAANAGGNDSMPAHLHVRSYSPDWPHQPNKTFAFISNQPGEGEANSTRA TVPFPFDIKTLIIATTMGFISFLGVVLFCLVLLFLWSRGKGNTKHNIEIEYVPRKSDAGI SSADAPRKFNMKMI >ENSMUSP00000062443.6 pep:known chromosome:GRCm38:7:112368308:112413104:1 gene:ENSMUSG00000030771.15 transcript:ENSMUST00000051308.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micalcl description:MICAL C-terminal like [Source:MGI Symbol;Acc:MGI:1918127] MNQRAPSPPKEPPPPPSLSSSSSLPSSFSSASVPGHTADDSSSPQVPAYNLHSPQISRGD VSPTPIYLRRARAQGIVKEIPLYLPHSPMLESTEDCLVEPGRESLRSPEEISSSEGCQEA RALGNTRSIQHPILGKDQYLPNQNLALGAAGNPGDPREESRMGQPGGPELSKERKLGLKK LVLTEEQKNKLLDWSDCTQEHKTGEQLSQESAENIRGGSLKPTCSSTLSQAVKEKLLSQK KALGGMRTPAVKAPQEREVPPPKSPLKLIANAILRSLLHNSEAGKKTSPKPESKTLPRGQ PHARSFSLRKLGSSKDGDQQSPGRHMAKKASAFFSLASPTSKVAQASDLSLPNSILRSRS LPSRPSKMFFSTTPHSKVEDVPTLLEKVSLQDATHSPKTGASHISSLGLKDKSFESFLQE CKQRKDIGDFFNSPKEEGPPGNRVPSLEKLVQPVGSTSMGQVAHPSSTGQDAHPVAPVTE ATSSPTSSSAEEEADSQLSLRIKEKILRRRRKLEKQSAKQEELKRLHKAQAIQRQLEEVE ERQRTLAIQGVKLEKVLRGEADSGTQDEAQLLQEWFKLVLEKNKLMRYESELLIMAQELE LEDHQSRLEQKLRQKMLKDEGQKDENDLKEEQEIFEEMMQVIEQRNKLVDSLEEQRVKER TQDQHFENFVLSRGCQLSRT >ENSMUSP00000115040.1 pep:known chromosome:GRCm38:7:112381149:112413102:1 gene:ENSMUSG00000030771.15 transcript:ENSMUST00000153697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micalcl description:MICAL C-terminal like [Source:MGI Symbol;Acc:MGI:1918127] XISSSEGCQEARALGNTRSIQHPILGKDQYLPNQNLALGAAGNPGDPREESRMGQPGGPE LSKERKLGLKKLVLTEEQKNKLLDWSDCTQEHKTGEQLSQESAENIRGGSLKPTCSSTLS QAVKEKLLSQKKALGGMRTPAVKAPQEREVPPPKSPLKLIANAILRSLLHNSEAGKKTSP KPESKTLPRGQPHARSFSLRKLGSSKDGDQQSPGRHMAKKASAFFSLASPTSKVAQASDL SLPNSILRSRSLPSRPSKMFFSTTPHSKVEDVPTLLEKVSLQDATHSPKTGASHISSLGL KDKSFESFLQECKQRKDIGDFFNSPKEEGPPGNRVPSLEKLVQPVGSTSMGQVAHPSSTG QDAHPVAPVTEATSSPTSSSAEEEADSQLSLRIKEKILRRRRKLEKQSAKQEELKRLHKA QAIQRQLEEVEERQRTLAIQGVKLEKVLRGEAADSGTQDEAQLLQEWFKLVLEKNKLMRY ESELLIMAQELELEDHQSRLEQKLRQKMLKDEGQKDENDLKEEQEIFEEMMQVIEQRNKL VDSLEEQRVKERTQDQHFENFVLSRGCQLSRT >ENSMUSP00000033033.4 pep:known chromosome:GRCm38:7:112374345:112395355:1 gene:ENSMUSG00000030771.15 transcript:ENSMUST00000033033.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micalcl description:MICAL C-terminal like [Source:MGI Symbol;Acc:MGI:1918127] MARRPDSRRQAAVAARARSWELTSPIANTKQKVNGKKALQRAHSLQSPTPSKYQNWRRRF QSNSTPMNQRAPSPPKEPPPPPSLSSSSSLPSSFSSASVPGHTADDSSSPQVPAYNLHSP QISRGDVSPTPIYLRRARAQGIVKEIPLYLPHSPMLESTEDCLVEPGRESLRSPEEISSS EGCQEARALGNTRSIQHPILGKDQYLPNQNLALGAAGNPGDPREESRMGQPGGPELSKER KLGLKKLVLTEEQKNKLLDWSDCTQEHKTGEQLSQESAENIRGGSLKPTCSSTLSQAVKE KLLSQKKALGGMRTPAVKAPQEREVPPPKSPLKLIANAILRSLLHNSEAGKKTSPKPESK TLPRGQPHARSFSLRKLGSSKDGDQQSPGRHMAKKASAFFSLASPTSKVAQASDLSLPNS ILRSRSLPSRPSKMFFSTTPHSKVEDVPTLLEKVSLQDATHSPKTGASHISSLGLKDKSF ESFLQECKQRKDIGDFFNSPKEEGPPGNRVPSLEKLVQPVGSTSMGQVAHPSSTGQDAHP VAPVTEATSSPTSSSAEEEADSQLSLRIKEKILRRRRKLEKQSAKQEELKRLHKAQVCIL QSEASAWPWPSS >ENSMUSP00000102256.1 pep:known chromosome:GRCm38:7:112374868:112413102:1 gene:ENSMUSG00000030771.15 transcript:ENSMUST00000106645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micalcl description:MICAL C-terminal like [Source:MGI Symbol;Acc:MGI:1918127] MNQRAPSPPKEPPPPPSLSSSSSLPSSFSSASVPGHTADDSSSPQVPAYNLHSPQISRGD VSPTPIYLRRARAQGIVKEIPLYLPHSPMLESTEDCLVEPGRESLRSPEEISSSEGCQEA RALGNTRSIQHPILGKDQYLPNQNLALGAAGNPGDPREESRMGQPGGPELSKERKLGLKK LVLTEEQKNKLLDWSDCTQEHKTGEQLSQESAENIRGGSLKPTCSSTLSQAVKEKLLSQK KALGGMRTPAVKAPQEREVPPPKSPLKLIANAILRSLLHNSEAGKKTSPKPESKTLPRGQ PHARSFSLRKLGSSKDGDQQSPGRHMAKKASAFFSLASPTSKVAQASDLSLPNSILRSRS LPSRPSKMFFSTTPHSKVEDVPTLLEKVSLQDATHSPKTGASHISSLGLKDKSFESFLQE CKQRKDIGDFFNSPKEEGPPGNRVPSLEKLVQPVGSTSMGQVAHPSSTGQDAHPVAPVTE ATSSPTSSSAEEEADSQLSLRIKEKILRRRRKLEKQSAKQEELKRLHKAQAIQRQLEEVE ERQRTLAIQGVKLEKVLRGEADSGTQDEAQLLQEWFKLVLEKNKLMRYESELLIMAQELE LEDHQSRLEQKLRQKMLKDEGQKDENDLKEEQEIFEEMMQVIEQRNKLVDSLEEQRVKER TQDQHFENFVLSRGCQLSRT >ENSMUSP00000025294.7 pep:known chromosome:GRCm38:18:12643344:12737049:1 gene:ENSMUSG00000024424.14 transcript:ENSMUST00000025294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39c description:tetratricopeptide repeat domain 39C [Source:MGI Symbol;Acc:MGI:1919997] MAGSEEQWPRRREDGDPDAAAAPLQDAELALAGINMLLNNGFRESDQLFKQYRNHSPLMS FGASFVSFLNAMMTFEEEKMQLACDDLKTTEKLCESEEAGVIETIKNKIKKNVDARKSTP SMVDRLQRQIIIADCQVYLAVLSFVKQELSAYIKGGWILRKAWKIYSKCYVDINALQELY QKKLTEEPLASDAANDNHVVAEGVTEESLSRLKGAVSFGYGLFHLCISMVPPNLLKIINL LGFPGDRLQGLSSLTYASESKDMKAPLATLALLWYHTVVRPFFALDGSDNKGGLDEAKAI LLRKESAYPNSSLFMFFKGRIQRLECQINSALTSFHTALELAVDQREIQHVCLYEIGWCS MIELNFKDAFDSFERLKNESRWSQCYYAYLTAVCQGATGDVDGAQLVLKEVQKLFKRKNN QIEQFSVKKAERFRKQTPTRALCVLASIEVLYLWKALPNCSFPNLQRMSQACHEVDDSSV VGLKHLLLGAIHKCLGNSQDALQFFQRAARDELCRQSNSYVPPYACYELGCLLLDSAETV GRGRTLLLQAKEDFSGYDFENRLHVRIHAALASLRELVPQ >ENSMUSP00000133127.1 pep:known chromosome:GRCm38:18:12599926:12737050:1 gene:ENSMUSG00000024424.14 transcript:ENSMUST00000169401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc39c description:tetratricopeptide repeat domain 39C [Source:MGI Symbol;Acc:MGI:1919997] MSFGASFVSFLNAMMTFEEEKMQLACDDLKTTEKLCESEEAGVIETIKNKIKKNVDARKS TPSMVDRLQRQIIIADCQVYLAVLSFVKQELSAYIKGGWILRKAWKIYSKCYVDINALQE LYQKKLTEEPLASDAANDNHVVAEGVTEESLSRLKGAVSFGYGLFHLCISMVPPNLLKII NLLGFPGDRLQGLSSLTYASESKDMKAPLATLALLWYHTVVRPFFALDGSDNKGGLDEAK AILLRKESAYPNSSLFMFFKGRIQRLECQINSALTSFHTALELAVDQREIQHVCLYEIGW CSMIELNFKDAFDSFERLKNESRWSQCYYAYLTAVCQGATGDVDGAQLVLKEVQKLFKRK NNQIEQFSVKKAERFRKQTPTRALCVLASIEVLYLWKALPNCSFPNLQRMSQACHEVDDS SVVGLKHLLLGAIHKCLGNSQDALQFFQRAARDELCRQSNSYVPPYACYELGCLLLDSAE TVGRGRTLLLQAKEDFSGYDFENRLHVRIHAALASLRELVPQ >ENSMUSP00000117259.1 pep:known chromosome:GRCm38:6:85915798:85927940:1 gene:ENSMUSG00000054226.13 transcript:ENSMUST00000149026.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprkb description:Tp53rk binding protein [Source:MGI Symbol;Acc:MGI:1917036] MQLSQQLDLFPECRVTLLLFKDVKNAGDLRKKAMEGSIDGSLINPNVIVDPFQILVAANK AVHLHRLGKMKTRTLSTEIIFNLSPNNNISEALKKFGISETNTSVLIVYIEDGSKQVPQE HLVSQVEGQQVP >ENSMUSP00000144588.1 pep:known chromosome:GRCm38:6:85915757:85927891:1 gene:ENSMUSG00000054226.13 transcript:ENSMUST00000201939.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprkb description:Tp53rk binding protein [Source:MGI Symbol;Acc:MGI:1917036] MQLSQQLDLFPECRVTLLLFKDVKNAGDLRKKAMEGSIDGSLINPNVILVAANKAVHLHR LGKMKTRTLSTEIIFNLSPNNNISEALKKFGISETNTSVLIVYIEDGSKQ >ENSMUSP00000063927.7 pep:known chromosome:GRCm38:6:85915747:85930282:1 gene:ENSMUSG00000054226.13 transcript:ENSMUST00000067137.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprkb description:Tp53rk binding protein [Source:MGI Symbol;Acc:MGI:1917036] MQLSQQLDLFPECRVTLLLFKDVKNAGDLRKKAMEGSIDGSLINPNVIVDPFQILVAANK AVHLHRLGKMKTRTLSTEIIFNLSPNNNISEALKKFGISETNTSVLIVYIEDGSKQVPQE HLVSQVEGQQVPLESLPEITRLSEVKKIYKLSSQEERIGTLLDAIICRMSTKDVL >ENSMUSP00000109381.1 pep:known chromosome:GRCm38:6:85915755:85929025:1 gene:ENSMUSG00000054226.13 transcript:ENSMUST00000113752.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprkb description:Tp53rk binding protein [Source:MGI Symbol;Acc:MGI:1917036] MQLSQQLDLFPECRVTLLLFKDVKNAGDLRKKAMEGSIDGSLINPNVIVDPFQILVAANK AVHLHRLGKMKTRTLSTEIIFNLSPNNNISEALKKFGISETNTSVLIVYIEDGSKQVPQE HLVSQVEGQQVPLESLPEITRLSEVKKIYKLSSQEERIGTLLDAIICRMSTKDVL >ENSMUSP00000086998.3 pep:known chromosome:GRCm38:6:85915747:85928520:1 gene:ENSMUSG00000054226.13 transcript:ENSMUST00000089570.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprkb description:Tp53rk binding protein [Source:MGI Symbol;Acc:MGI:1917036] MQLSQQLDLFPECRVTLLLFKDVKNAGDLRKKAMEGSIDGSLINPNVIVDPFQILVAANK AVHLHRLGKMKTRTLSTEIIFNLSPNNNISEALKKFGISETNTSVLIVYIEDGSKQVPQE HLVSQVEGQQVPLESLPEITRLSEVKKVCPAHFEEFSR >ENSMUSP00000109382.1 pep:known chromosome:GRCm38:6:85915738:85930284:1 gene:ENSMUSG00000054226.13 transcript:ENSMUST00000113753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprkb description:Tp53rk binding protein [Source:MGI Symbol;Acc:MGI:1917036] MQLSQQLDLFPECRVTLLLFKDVKNAGDLRKKAMEGSIDGSLINPNVIVDPFQILVAANK AVHLHRLGKMKTRTLSTEIIFNLSPNNNISEALKKFGISETNTSVLIVYIEDGSKQVPQE HLVSQVEGQQVPLESLPEITRLSEVKKIYKLSSQEERIGTLLDAIICRMSTKDVL >ENSMUSP00000144660.1 pep:known chromosome:GRCm38:6:85915738:85928095:1 gene:ENSMUSG00000054226.13 transcript:ENSMUST00000202803.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprkb description:Tp53rk binding protein [Source:MGI Symbol;Acc:MGI:1917036] MQLSQQLDLFPECRVTLLLFKDVKNAGDLRKKAMEGSIDGSLINPNVIVDPFQILVAANK AVHLHRLGKMKTRTLSTEIIFNLSPNNNISEALKKFGISETNTSVLIVYIEDGSKQVPQE HLVSQVEGQQVPLESLPEITRLSEVKKVCPAHFEEFSR >ENSMUSP00000109380.1 pep:known chromosome:GRCm38:6:85915719:85927608:1 gene:ENSMUSG00000054226.13 transcript:ENSMUST00000113751.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprkb description:Tp53rk binding protein [Source:MGI Symbol;Acc:MGI:1917036] MQLSQQLDLFPECRVTLLLFKDVKNAGDLRKKAMEGSIDGSLINPNVIVDPFQILVAANK AVHLHRLGKMKTRTLSTEIIFNLSPNNNVMC >ENSMUSP00000144160.1 pep:known chromosome:GRCm38:6:85911877:85928933:1 gene:ENSMUSG00000054226.13 transcript:ENSMUST00000200680.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprkb description:Tp53rk binding protein [Source:MGI Symbol;Acc:MGI:1917036] MQLSQQLDLFPECRVTLLLFKDVKNAGDLRKKAMEGSIDGSLINPNVIVDPFQILVAANK AVHLHRLGKMKTRTLSTEIIFNLSPNNNISEALKKFGISETNTSVLIVYIEDGSKQVPQE HLVSQVEGQQVPLESLPEITRLSEVKKIYKLSSQEERIGTLLDAIICRMSTKDVL >ENSMUSP00000118416.2 pep:known chromosome:GRCm38:2:167607850:167661496:-1 gene:ENSMUSG00000089739.2 transcript:ENSMUST00000125544.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20431 description:predicted gene 20431 [Source:MGI Symbol;Acc:MGI:5141896] MAGAEDAPGRQPELDEDETAEGRRWGAQHAGARELAALYSPGKRFQEWCSVILCFSLIAH NLVHLLLLARWEHTPLVILGVVAGALVADFLSGLVHWGADTWGSVDLPIVGKAFIRPFRE HHIDPTAITRHDFIETNGDNCLVTLLPLLNMAYKFRTQSPETLEQLYPWECFVFCLTIFG TFTNQIHKWSHTYLGLPYWVTVLQDWHVILPRKHHRIHHVAPHETYFCITTGVKVPRNFR LLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGPPRTIYENRIYSLKIECGPKYP EAPPSVRFVTRVNMSGVSSSNGVVDPRATAVLAKWQNSHSIKVILQELRRLMMSKENMKL PQPPEGQCYSN >ENSMUSP00000028728.5 pep:known chromosome:GRCm38:2:120860269:120970715:-1 gene:ENSMUSG00000027272.5 transcript:ENSMUST00000028728.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr1 description:ubiquitin protein ligase E3 component n-recognin 1 [Source:MGI Symbol;Acc:MGI:1277977] MADEEMDGAERMDVSPEPPLAPQRPASWWDQQVDFYTAFLHHLAQLVPEIYFAEMDPDLE KQEESVQMSILTPLEWYLFGEDPDICLEKLKHSGAFQLCGKVFKSGETTYSCRDCAIDPT CVLCMDCFQSSVHKNHRYKMHTSTGGGFCDCGDTEAWKTGPFCVDHEPGRAGTTKESLHC PLNEEVIAQARRIFPSVIKYIVEMTIWEEEKELPPELQIREKNERYYCVLFNDEHHSYDH VIYSLQRALDCELAEAQLHTTAIDKEGRRAVKAGVYATCQEAKEDIKSHSENVSQHPLHV EVLHSVVMAHQKFALRLGSWMNKIMSYSSDFRQIFCQACLVEEPGSENPCLISRLMLWDA KLYKGARKILHELIFSSFFMEMEYKKLFAMEFVKYYKQLQKEYISDDHERSISITALSVQ MLTVPTLARHLIEEQNVISVITETLLEVLPEYLDRNNKFNFQGYSQDKLGRVYAVICDLK YILISKPVIWTERLRAQFLEGFRSFLKILTCMQGMEEIRRQVGQHIEVDPDWEAAIAIQM QLKNILLMFQEWCACDEDLLLVAYKECHKAVMRCSTNFMSSTKTVVQLCGHSLETKSYKV SEDLVSIHLPLSRTLAGLHVRLSRLGAISRLHEFVPFDGFQVEVLVEYPLRCLVLVAQVV AEMWRRNGLSLISQVFYYQDVKCREEMYDKDIIMLQIGASIMDPNKFLLLVLQRYELTDA FNKTISTKDQDLIKQYNTLIEEMLQVLIYIVGERYVPGVGNVTREEVIMREITHLLCIEP MPHSAIARNLPENENNETGLENVINKVATFKKPGVSGHGVYELKDESLKDFNMYFYHYSK TQHSKAEHMQKKRRKQENKDEALPPPPPPEFCPAFSKVVNLLSCDVMMYILRTIFERAVD MESNLWTEGMLQMAFHILALGLLEEKQQLQKAPEEEVAFDFYHKASRLGSSAMNAQNIQM LLEKLKGIPQLESQKDMITWILQMFDTVKRLREKSCLVVATTSGLECVKSEEITHDKEKA ERKRKAEAARLHRQKIMAQMSALQKNFIETHKLMYDNTSEVTGKEDSIMEEESTSAVSEA SRIALGPKRGPAVTEKEVLTCILCQEEQEVKLENNAMVLSACVQKSTALTQHRGKPVDHL GETLDPLFMDPDLAHGTYTGSCGHVMHAVCWQKYFEAVQLSSQQRIHVDLFDLESGEYLC PLCKSLCNTVIPIIPLQPQKINSENAEALAQLLTLARWIQTVLARISGYNIKHAKGEAPA VPVLFNQGMGDSTFEFHSILSFGVQSSVKYSNSIKEMVILFATTIYRIGLKVPPDELDPR VPMMTWSTCAFTIQAIENLLGDEGKPLFGALQNRQHNGLKALMQFAVAQRTTCPQVLIHK HLARLLSVILPNLQSENTPGLLSVDLFHVLVGAVLAFPSLYWDDTVDLQPSPLSSSYNHL YLFHLITMAHMLQILLTTDTDLSSGPPLAEGEEDSEEARCASAFFVEVSQHTDGLAGCGA PGWYLWLSLRNGITPYLRCAALLFHYLLGVAPPEELFANSAEGEFSALCSYLSLPTNLFL LFQEYWDTIRPLLQRWCGDPALLKSLKQKSAVVRYPRKRNSLIELPEDYSCLLNQASHFR CPRSADDERKHPVLCLFCGAILCSQNICCQEIVNGEEVGACVFHALHCGAGVCIFLKIRE CRVVLVEGKARGCAYPAPYLDEYGETDPGLKRGNPLHLSRERYRKLHLVWQQHCIIEEIA RSQETNQMLFGFNWQLL >ENSMUSP00000116578.1 pep:known chromosome:GRCm38:2:167610308:167631979:-1 gene:ENSMUSG00000078923.10 transcript:ENSMUST00000140216.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2v1 description:ubiquitin-conjugating enzyme E2 variant 1 [Source:MGI Symbol;Acc:MGI:1913839] MSPLQPLKMSTIEGTSLLCVQDCRMSDSGPWLPGRVKVPRNFRLLEELEEGQKGVGDGTV SWGLEDDEDMTLTRWTGMIIGPPRTIYENRIYSLKIECGPKYPEAPPSVRFVTRVNMSGV SSSNG >ENSMUSP00000114764.1 pep:known chromosome:GRCm38:2:167608766:167631987:-1 gene:ENSMUSG00000078923.10 transcript:ENSMUST00000151365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2v1 description:ubiquitin-conjugating enzyme E2 variant 1 [Source:MGI Symbol;Acc:MGI:1913839] MSPLQPLKMSTIEGTSLLCVQDCRMSDSGPWLPGVKVPRNFRLLEELEEGQKGVGDGTVS WGLEDDEDMTLTRWTGMIIGPPRTIYENRIYSLKIECGPKYPEAPPSVRFVTRVNMSGVS SSNGVVDPRATAVLAKWQNSHSIKVILQELRRLMMSKENMKLPQPPEGQCYSN >ENSMUSP00000053109.6 pep:known chromosome:GRCm38:2:167607844:167631972:-1 gene:ENSMUSG00000078923.10 transcript:ENSMUST00000060645.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2v1 description:ubiquitin-conjugating enzyme E2 variant 1 [Source:MGI Symbol;Acc:MGI:1913839] MAATTGSGVKVPRNFRLLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGPPRVDP RATAVLAKWQNSHSIKVILQELRRLMMSKENMKLPQPPEGQCYSN >ENSMUSP00000104830.3 pep:known chromosome:GRCm38:2:167607638:167632095:-1 gene:ENSMUSG00000078923.10 transcript:ENSMUST00000109207.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2v1 description:ubiquitin-conjugating enzyme E2 variant 1 [Source:MGI Symbol;Acc:MGI:1913839] MAATTGSGVKVPRNFRLLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGPPRTIY ENRIYSLKIECGPKYPEAPPSVRFVTRVNMSGVSSSNGVVDPRATAVLAKWQNSHSIKVI LQELRRLMMSKENMKLPQPPEGQCYSN >ENSMUSP00000050273.4 pep:known chromosome:GRCm38:10:52022528:52028343:1 gene:ENSMUSG00000049641.12 transcript:ENSMUST00000058347.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgll2 description:vestigial like 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2447460] MSCLDVMYQVYGPPQPYFAAAYTPYHQKLAYYSKMQEAQECASPGSSASGSSSFSNPTPA SVKEEEGSPEKERPPEAEYINSRCVLFTYFQGDISSVVDEHFSRALSHPSSYTPSCTSSK AHRSSGPWREGTFPMSQRSFPASFWNSAYQAPVPAPLGSPLAAAHSELPFATDPYSPATL HGHLHQGAADWHHAHPHHAHPHHPYALGGALGAQASAYPRPAVHEVYAPHFDPRYGPLLM PAATGRPGRLAPASAPAPGSPPCELAAKGEPAGSAWAAPGGPFVSPTGDVAQSLGLSVDS GKRRRECSLPSAPPALYPTLG >ENSMUSP00000124091.1 pep:known chromosome:GRCm38:10:52022502:52028471:1 gene:ENSMUSG00000049641.12 transcript:ENSMUST00000163017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgll2 description:vestigial like 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2447460] MSCLDVMYQVYGPPQPYFAAAYTPYHQKLAYYSKMQEAQECASPGSSASGSSSFSNPTPA SVKEEEGSPEKERPPEAEYINSRCVLFTYFQGDISSVVDEHFSRALSHPSSYTPSCTSSK AHRSSGPWRAEGTFPMSQRSFPASFWNSAYQAPVPAPLGSPLAAAHSELPFATDPYSPAT LHGHLHQGAADWHHAHPHHAHPHHPYALGGALGAQASAYPRPAVHEVYAPHFDPRYGPLL MPAATGRPGRLAPASAPAPGSPPCELAAKGEPAGSAWAAPGGPFVSPTGDVAQSLGLSVD SGKRRRECSLPSAPPALYPTLG >ENSMUSP00000137905.1 pep:known chromosome:GRCm38:10:62429255:62449683:-1 gene:ENSMUSG00000020079.15 transcript:ENSMUST00000162023.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Supv3l1 description:suppressor of var1, 3-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2441711] XSLPRCTLLWARLPAGRGAGPRAAPCSALRALVGSFPGASGRVPCLAASSSASGGSKAPN TSLFVPLTVKPQGPSADGDVGAELTRPLDKNEVKKILDKFYKRQEIQKLSADYGLDARLF HQAFISFRNYIMQSHSLDVDIHIVLNDICFSAAHVDDLFPFFLRHAKQIFPVLECKDDLR KISDLRIPPNWYPEARARQRKIIFHSGPTNSGKTYHAIQRYLSATSGVYCGPLKLLAHEI FEKSNAAVSTLQGCAV >ENSMUSP00000020273.9 pep:known chromosome:GRCm38:10:62429209:62449738:-1 gene:ENSMUSG00000020079.15 transcript:ENSMUST00000020273.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supv3l1 description:suppressor of var1, 3-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2441711] MSLPRCTLLWARLPAGRGAGPRAAPCSALRALVGSFPGASGRVPCLAASSSASGGSKAPN TSLFVPLTVKPQGPSADGDVGAELTRPLDKNEVKKILDKFYKRQEIQKLSADYGLDARLF HQAFISFRNYIMQSHSLDVDIHIVLNDICFSAAHVDDLFPFFLRHAKQIFPVLECKDDLR KISDLRIPPNWYPEARARQRKIIFHSGPTNSGKTYHAIQRYLSATSGVYCGPLKLLAHEI FEKSNAAGVPCDLVTGEERLTVEPEGKQATHVSCTVEMCNVATPYEVAVIDEIQMIRDPA RGWAWTRALLGLCAEEVHLCGESAAINLVSELLYTTGEEVEVQKYERLTPISVLDHALES LDNLQPGDCIVCFSKNDIYSVSRQIEIRGLESAVIYGSLPPGTKLAQARKFNDPNDPCKI LVATDAIGMGLNLSIRRIIFYSLIKPSINEKGEKELEPITTSQALQIAGRAGRFSSHFKE GQVTTMHRDDLALLKDILNRPVDPIQAAGLHPTAEQIEMFAYHLPETTLSNLIDIFVDFA QVDGQYFVCNMDDFKFSAELIQHIPLSLRVRYVFCTAPINKKQPFVCSSLLQFARQYSRN EPLTFAWLRRYIKWPLLPPKNIKDLMDLEAVHDVFDLYLWLSYRFIDMFPDSSLVRSLQK ELDAIIQEGVHNITKLIKISESRKLLNLESLPSGDQSRLSGASKSPARRTRGTKSAGNKA TEPLSPSDKELPLASRLVQQGLLTADMLRQLQKEWLTQQPEHSREKVGTRRKKKDPDSD >ENSMUSP00000130715.1 pep:known chromosome:GRCm38:6:121356918:121365372:1 gene:ENSMUSG00000030109.15 transcript:ENSMUST00000165456.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a12 description:solute carrier family 6 (neurotransmitter transporter, betaine/GABA), member 12 [Source:MGI Symbol;Acc:MGI:95628] VVYFTATFPYLMLIILLIRGVTLPGAYQGIVFYLKPDLLRLKDPQGLHRPLLPEQCYQLR GWVCCLLHLGLHVSGAGNTHF >ENSMUSP00000127779.1 pep:known chromosome:GRCm38:6:121356918:121365372:1 gene:ENSMUSG00000030109.15 transcript:ENSMUST00000163771.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a12 description:solute carrier family 6 (neurotransmitter transporter, betaine/GABA), member 12 [Source:MGI Symbol;Acc:MGI:95628] VVYFTATFPYLMLIILLIRGVTLPGAYQGIVFYLKPDLLRLKDPQVWMDAGTQIFFSFAI CQGCLTALGSYNKYHNNCYRDSIALCFLNSATSFVAGFVVFSILGFMSQEQGIPISEVAE SGRDVYLPAL >ENSMUSP00000128217.1 pep:known chromosome:GRCm38:6:121347419:121355326:1 gene:ENSMUSG00000030109.15 transcript:ENSMUST00000166390.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a12 description:solute carrier family 6 (neurotransmitter transporter, betaine/GABA), member 12 [Source:MGI Symbol;Acc:MGI:95628] MDRKVAVHEDGYPVVSWVPEEGEMMDQKGKDQELSSSPTSSSSSAVASQCSSWRWHWASI AARGV >ENSMUSP00000126708.1 pep:known chromosome:GRCm38:6:121347419:121365372:1 gene:ENSMUSG00000030109.15 transcript:ENSMUST00000171008.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a12 description:solute carrier family 6 (neurotransmitter transporter, betaine/GABA), member 12 [Source:MGI Symbol;Acc:MGI:95628] MDRKVAVHEDGYPVVSWVPEEGEMMDQKGKDQVKDRGQWTNKMEFVLSVAGEIIGLGNVW RFPYLCYKNGGGAFFIPYFIFFFSCGIPVFFLEVALGQYSSQGSVTAWRKICPLLQGIGM ASVVIESYLNIYYIIILAWALFYLFSSFTWELPWTTCTNSWNTEHCVDFLNHSSARGVSS SENFTSPVMEFWERRVLGITSGIHDLGSLRWELALCLLLAWIICYFCIWKGVKSTGKVVY FTATFPYLMLIILLIRGVTLPGAYQGIVFYLKPDLLRLKDPQVWMDAGTQIFFSFAICQG CLTALGSYNKYHNNCYRDSIALCFLNSATSFVAGFVVFSILGFMSQEQGIPISEVAESGP GLAFIAFPKAVTMMPLSQLWSCLFFIMLLFLGLDSQFVCMECLVTASMDMFPQQLRKSGR RELLILAISVLCYLMGLLLVTEGGMYIFQLFDYYASSGICLLFLSLFEVICIGWVYGADR FYDNVEDMIGYRPWPLVKISWLFLTPGLCLRLRRLITPDPSLPQPGRRPPQDGSSAQNCS SSPAKQELIAWEKETHL >ENSMUSP00000032200.9 pep:known chromosome:GRCm38:6:121346618:121365775:1 gene:ENSMUSG00000030109.15 transcript:ENSMUST00000032200.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a12 description:solute carrier family 6 (neurotransmitter transporter, betaine/GABA), member 12 [Source:MGI Symbol;Acc:MGI:95628] MSSRAWEERASLSSMDRKVAVHEDGYPVVSWVPEEGEMMDQKGKDQVKDRGQWTNKMEFV LSVAGEIIGLGNVWRFPYLCYKNGGGAFFIPYFIFFFSCGIPVFFLEVALGQYSSQGSVT AWRKICPLLQGIGMASVVIESYLNIYYIIILAWALFYLFSSFTWELPWTTCTNSWNTEHC VDFLNHSSARGVSSSENFTSPVMEFWERRVLGITSGIHDLGSLRWELALCLLLAWIICYF CIWKGVKSTGKVVYFTATFPYLMLIILLIRGVTLPGAYQGIVFYLKPDLLRLKDPQVWMD AGTQIFFSFAICQGCLTALGSYNKYHNNCYRDSIALCFLNSATSFVAGFVVFSILGFMSQ EQGIPISEVAESGPGLAFIAFPKAVTMMPLSQLWSCLFFIMLLFLGLDSQFVCMECLVTA SMDMFPQQLRKSGRRELLILAISVLCYLMGLLLVTEGGMYIFQLFDYYASSGICLLFLSL FEVICIGWVYGADRFYDNVEDMIGYRPWPLVKISWLFLTPGLCLATFFFSLSKYTPLKYN NVYMYPSWGYSIGWLLAFSSMACVPLFIIITFLKTQGSFKKRLRRLITPDPSLPQPGRRP PQDGSSAQNCSSSPAKQELIAWEKETHL >ENSMUSP00000129389.1 pep:known chromosome:GRCm38:6:121343399:121347607:1 gene:ENSMUSG00000030109.15 transcript:ENSMUST00000168295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a12 description:solute carrier family 6 (neurotransmitter transporter, betaine/GABA), member 12 [Source:MGI Symbol;Acc:MGI:95628] MDRKVAVHEDGYPVVSWVPEEGEMMDQKGKDQVKDRGQWTNKMEFVLSVAGEIIGLGNVW RFP >ENSMUSP00000126937.1 pep:known chromosome:GRCm38:6:121343076:121365372:1 gene:ENSMUSG00000030109.15 transcript:ENSMUST00000166457.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a12 description:solute carrier family 6 (neurotransmitter transporter, betaine/GABA), member 12 [Source:MGI Symbol;Acc:MGI:95628] MDRKVAVHEDGYPVVSWVPEEGEMMDQKGKDQVKDRGQWTNKMEFVLSVAGEIIGLGNVW RFPYLCYKNGGGAFFIPYFIFFFSCGIPVFFLEVALGQYSSQGSVTAWRKICPLLQGIGM ASVVIESYLNIYYIIILAWALFYLFSSFTWELPWTTCTNSWNTEHCVDFLNHSSARGVSS SENFTSPVMEFWERRVLGITSGIHDLGSLRWELALCLLLAWIICYFCIWKGVKSTGKVVY FTATFPYLMLIILLIRGVTLPGAYQGIVFYLKPDLLRLKDPQVWMDAGTQIFFSFAICQG CLTALGSYNKYHNNCYRDSIALCFLNSATSFVAGFVVFSILGFMSQEQGIPISEVAESGP GLAFIAFPKAVTMMPLSQLWSCLFFIMLLFLGLDSQFVCMECLVTASMDMFPQQLRKSGR RELLILAISVLCYLMGLLLVTEGGMYIFQLFDYYASSGICLLFLSLFEVICIGWVYGADR FYDNVEDMIGYRPWPLVKISWLFLTPGLCLATFFFSLSKYTPLKYNNVYMYPSWGYSIGW LLAFSSMACVPLFIIITFLKTQGSFKKRLRRLITPDPSLPQPGRRPPQDGSSAQNCSSSP AKQELIAWEKETHL >ENSMUSP00000096394.3 pep:known chromosome:GRCm38:8:44950208:45052257:1 gene:ENSMUSG00000070047.12 transcript:ENSMUST00000098796.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fat1 description:FAT atypical cadherin 1 [Source:MGI Symbol;Acc:MGI:109168] MGRHLTLLLLLLLFLQQFGDSDGSQRLEPTPPIQFTHFQYNVTVHENSAAKTYVGHPRKM GIYILDPSWEIRYKIVSGDSENLFKAEEYVLGDFCFLRIRTKGGNTAILNREVRDHYTLI VKAVEKATDAEARAKVRVQVLDTNDLRPLFSPTSYSVSLPENTAIRTSIARVSATDADIG TNGEFYYSFKDRTDVFAIHPTSGVVVLTGRLDFLETQLYELEILAADRGMKLYGSSGVSS LAKLTVHVEQANECAPIITAVTLSPSELDKDPTYAIITVEDCDQGANGEIASLSIVAGDL LQQFKTVRSFPGSKAFKVKAVGAVDWDSHPYGYNLTLQAKDKGTPPQFSPVKVVHIISPQ FRAGPVKFEMDVYRAEISEFAPPHTPVVLVKAIPSYSHLRYVFKSAPGKPKFGLNHNTGL ISILEPIRRQHTSHFELEVTTSDKRASARVVVKVLGTNSNPPEFTQTSYKASIDENAPIG AAVTRVSAMDPDEGENGYVTYSIANLNHVPFVIDHFTGTVSTSENLDYELMPRVYTLRIR ASDWGLPYRREVEVLATITLNNLNDNTPLFERINCEGTIPRDLGVGEQITTVSAIDADEL QLVRYQIEAGNELDLFGLNPSSGVLSLKHSLTDGLGAKVSFHSLRITATDGENFATPLYI NLTVAASRKPVNLQCEETGVAKMLAEKLLQANKLHSQGDVEDIFFDSYSVNTHTPQFGVT LPTGIEVKENLPVGANILFMNATDLDSGFNGKLVYAISGGNDDSCFTIDMETGVLKVLSP LDREVMDKYTLNITVYDLGIPQRAAWRLLDVTVLDANDNAPEFLQESYFVEVSEDKEVNS EIIQVEATDKDLGPSGHVTYAILTDTEKFSIDSMTGVVKIIQPLDREVQPVHYLKIEARD QATEEPRLFSTVLLKVSLDDVNDNPPRFIPPNYSVKVREDLPEGTIIMWLEAYDPDVGQS SQVRYSLLDHGEGHFDVDKLSGAVRIVQQLDFEKKQLYNLTVRAKDKGKPVSLSSTCYVE VEVVDVNENLHTPVFSSFVEKGVVKEDVPTGSSVMTVSAHDEDTGRDGEIRYSIRDGSGI GVFRIDEETGVIETSDRLDRESTSHYWLTVYATDQGVVPLSSFIEVYIEVEDVNDNAPQT SEPVYYPEIMENSPKDVSVVQIEAFDPDSSSNDKLTYRITSGNPQGFFSIHPKTGLITTT SRKLDREQQDEHILEVTVTDNGVPPRSTIARVIVKILDENDNRPQFLQKFYKIRLPEREK ADGDRSASKREPLYRVIAADKDEGPNAELSYSIEEGNEHGRFSIEPKTGVVSSKKFSAAG EYDILSIKAVDNGRPQKSSTTRLHIEWISKPKPSSEPISFEESVFSFTVMESDPVAHMIG VISVEPPGMPLWFDIIGGNYDSHFDVDKGTGTIIVAKPLDAEQKSSYNLTVEATDGTTTI LTQVLIKVIDTNDHRPQFSTSKYEVAVPEDTEPEVEILQISAVDRDEKNKLIYTLQSSID PASLKKFRLDPATGALYTAEKLDHEAIHQHVLTVMVRDQDVPVKRNFARIVVNVSDKNDH APWFTSPSYDGRVYESAAVGSVVLQVTALDKDKGRNAEVLYSIESGNIGNSFTIDPILGS IKTARELDRSHQVDYDLMVKATDKGDPPMSEMTSVRIAVTVADNASPKFTSKEYSAEISE AIRIGSFVGMVSAHSQSSVMYEIRDGNMGDAFNINPHSGSIITQRALDFETLPMYSLTVQ GTNMAGLSTNTTVVVHVRDENDNPPVFTQAEYSGFISESASVNSVVLTDRNVPLVIRATD ADRESNALLVYQIVEPSVHNYFAIDPTTGAIRTVLSLDYEETHAFHFTVQVHDMGTPRLF AEYAANVTVHVIDINDCPPVFSKSLYEVSLLLPTYRGVNVITVNATDADSKAFSQVMYSI TEGNIGEKFSMDHKTGTIAIQNTTQLRSRYELTVRASDGRFTSMASVKINVKESRESPLK FTQDAYSAVVKENSTEARTLAVITAIGNPLNEPLFYRILNPDRRFKISHTSGVLSTTGIP FDREQQETFDVVVEVTKEHEPSAVAHVVVKVTVEDQNDNAPVFVNLPYYAVVKVDAEVGH VIRYVTAIDRDSGRNGDIHYYLKEHHDHFQIGPSGDISLKKQFEHDTLNKEYLVTVVAKD GGSPAFSAEVLVPITVMNKAMPVFEKAFYSAEIPENIQMHSPVVHIQANSPEGLKVFYSI TDGDPFSQFTINFNTGVVNVIAPLDFESHPAYKLSVRATDSLTGAHAEVFVDIIVEDIND NPPVFVQPSYSTTLSEASVIGTPVLQVRATDSDSEPNRGISYQLIGNHSKSHDHFHIDSN TGLISLVRALDYEQSQQHRIFVRAVDGGMPALSSDVVVTVAVTDLNDNPPLFEQQVYEAR ISEHAAHGHFVMCVRACDADSSDLDKLEYSILSGNDHKSFIIDRETGIITLSNLRRHTLK PFYSLNVSVSDGVFRSSARVNVTVMGGNLHSPVFHQNEYEVELAENAPLHTLVVQVKASD RDSGIYSHVTYHIVNDFAKDRFYVNDRGQIFTLEKLDRETPAEKVISIRLMAKDAGGKVA FCTVNVILTDDNDNAPQFRSTKYEVNIGSSAAKGTSVVKVFASDADEGSNADVTYAIEAD SESVKENLEINKLTGLITTKESLIGLENEFFTFFVRAVDSGSPPRESVVPVYIKILPPEV QLPRFSEPFYTYTISEDTPIGTEIDLIRVEHGGAVLYILVKGNTPESNRDEFFVIDRQNG RLKLEKSLDHETTKWYQFSILARCTLDDYEVVASIDVSIQVKDANDNSPVLESSPYEAFI VENLPGGSRVIQIRASDLDSGANGQVMYSLDQSQDADIIESFAINMETGWITTLKELDHE ERASYQIKVVASDHGEKVQLSSTAIVGVTVTDVNDSPPRFTAEIYKGTVSEDDPPGGVIA ILSTTDADTEEINRQVSYFITGGDALGQFAVENVQSDWRVYVKKPLDREQKDSYLLTVTA TDGTFSSKARVEVKVLDANDNSPVCEKTSYSDTIPEDALPGKLVMQVSATDADIRSNAEI TYTLFGSGAEKFKLNPDTGELRTLALLDREEQAVYNLLVKATDGGGRSCQAAIVLTLEDV NDNAPEFTAEPYTITVFENTEPGTPLTRVQATDADTGLNRKISYSLVESADGQFSINERS GIIQLEKHLDRELQAVYTLTLKAVDQGLPRRLTATGTVVVSVLDINDNPPVFEYREYGAS VSEDIVIGTEVLQVYAASRDIEANAEITYAIISGNEHGKFSIDSKTGAIFIIESLDYESS HEYYLTVEATDGGTPSLSDVATVNINVTDINDNSPVFSQDTYTTVVSEDAALEQPVITIM ADDADGPSNSHIHYSIIEGNQGSPFTIDPVRGEVKVTKPLDRETISGYTLTVQAADNGNP PRVNTTTVNIDVSDVNDNAPLFSRDNYSVIIQENKPVGFSVLKLVVTDKDSSHNGPPFFF TIVSGNDENAFEVNQHGVLLTAATIKRKVKDHYLLHVKVADSGKPQLSSMTHIDIRVIEE SIHPPAILPLEIFITAFGEEYSGGVIGKIHATDQDVYDTLMYSLDPHMDGLFSVSSTGGK LIAHRKLDIGQYLLNVSVTDGKFTTVADITVHIQQVTQEMLNHTVAIRFANLTPEEFVGD YWRNFQRALRNILGVRKNDIQIVSLQPSEPHSHLDVLLFVERSGGTHVSTKQLLHKINSS VTDVEEIIGVRILEVFQKLCAGLDCPWKFCDEKVSVDENVMSTHSTARLSFVTPRHHRTA VCLCKDGTCPPVHHGCEDNPCPAGSECVADPREEKYSCVCPGGGFGKCPGSSSITFTGNS FVKYRLLENENRLEMKLSMRLRTYSSHAVVMYARGTDYSILEIHTGRLQYKFDCGSGPGI VSVQSIQVNDGQWHAVSLEVEGNYAKLVLDEVHTASGTAPGALKTLNLDNYVFFGGHLRQ QGTKHGRGAQVASGFRGCMDSIYLNGQELPLNNKPRAYAHIEEWVDLSHGCLLTATEDCS SSPCQNGGVCNPSPTGGYYCKCSALYVGTFCEVSVNPCSSNPCLYGGTCMVDNGGFVCQC RGLYTGQRCQLSPYCKDDPCKNGGTCFDSLDGAVCQCDSGFRGERCQSDIDECAGNPCRN GALCENTHGSYHCNCSQEYRGKHCEDASPNHYVSTPWNIGLAEGIGIIVFIAGIVLLVMV FVLCRKMISRKKKRQAEPEDKRLGPTTAFLQRPYFDSKLNKNIYSDIPPQVPVRPISYTP SIPSDSRNNLDRNSFEGSAIPEHPEFSTFNPESMHGHRKAVAVCSVAPNLPPPPPSNSPS DSDSIQKPSWDFDYDAKVVDLDPCLSKKPLEEKPSQPYSARESLSEVQSLSSFQSESCDD NGYHWDTSDWMPSVPLPDIQEFPNYEAIDEHTPLYSADPNAIDTDYYPGGYDIESDFPPP PEDFPAPDELPPLPPEFSDQFESIHPPRDMPAAGSLGSSSRSRQRFNLNQYLPNFYPADM SEPQKQGAGENSPCREPYTPYPPGYQRNFEAPTIENMPMSVYASTASCSDVSACCEVESE VMMSDYESGDDGHFEEVTIPPLDSQQHTEV >ENSMUSP00000139921.1 pep:known chromosome:GRCm38:8:45044533:45050831:1 gene:ENSMUSG00000070047.12 transcript:ENSMUST00000186342.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fat1 description:FAT atypical cadherin 1 [Source:MGI Symbol;Acc:MGI:109168] SWDFDYDAKVVDLDPCLSKKPLEEKPSQPYSARESLSEVQSLSSFQSESCDDNAPIWIRA F >ENSMUSP00000140205.1 pep:known chromosome:GRCm38:8:45044533:45050831:1 gene:ENSMUSG00000070047.12 transcript:ENSMUST00000191558.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fat1 description:FAT atypical cadherin 1 [Source:MGI Symbol;Acc:MGI:109168] SWDFDYDAKVVDLDPCLSKKPLEEKPSQPYSARESLSEVQSLSSFQSESCDDNASIVTVI HLVNAVVDTVNKEESLAAPDLSKPRGYHWDTSDWMPSVPLPD >ENSMUSP00000140596.1 pep:known chromosome:GRCm38:8:44935447:45052257:1 gene:ENSMUSG00000070047.12 transcript:ENSMUST00000191428.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fat1 description:FAT atypical cadherin 1 [Source:MGI Symbol;Acc:MGI:109168] MGRHLTLLLLLLLFLQQFGDSDGSQRLEPTPPIQFTHFQYNVTVHENSAAKTYVGHPRKM GIYILDPSWEIRYKIVSGDSENLFKAEEYVLGDFCFLRIRTKGGNTAILNREVRDHYTLI VKAVEKATDAEARAKVRVQVLDTNDLRPLFSPTSYSVSLPENTAIRTSIARVSATDADIG TNGEFYYSFKDRTDVFAIHPTSGVVVLTGRLDFLETQLYELEILAADRGMKLYGSSGVSS LAKLTVHVEQANECAPIITAVTLSPSELDKDPTYAIITVEDCDQGANGEIASLSIVAGDL LQQFKTVRSFPGSKAFKVKAVGAVDWDSHPYGYNLTLQAKDKGTPPQFSPVKVVHIISPQ FRAGPVKFEMDVYRAEISEFAPPHTPVVLVKAIPSYSHLRYVFKSAPGKPKFGLNHNTGL ISILEPIRRQHTSHFELEVTTSDKRASARVVVKVLGTNSNPPEFTQTSYKASIDENAPIG AAVTRVSAMDPDEGENGYVTYSIANLNHVPFVIDHFTGTVSTSENLDYELMPRVYTLRIR ASDWGLPYRREVEVLATITLNNLNDNTPLFERINCEGTIPRDLGVGEQITTVSAIDADEL QLVRYQIEAGNELDLFGLNPSSGVLSLKHSLTDGLGAKVSFHSLRITATDGENFATPLYI NLTVAASRKPVNLQCEETGVAKMLAEKLLQANKLHSQGDVEDIFFDSYSVNTHTPQFGVT LPTGIEVKENLPVGANILFMNATDLDSGFNGKLVYAISGGNDDSCFTIDMETGVLKVLSP LDREVMDKYTLNITVYDLGIPQRAAWRLLDVTVLDANDNAPEFLQESYFVEVSEDKEVNS EIIQVEATDKDLGPSGHVTYAILTDTEKFSIDSMTGVVKIIQPLDREVQPVHYLKIEARD QATEEPRLFSTVLLKVSLDDVNDNPPRFIPPNYSVKVREDLPEGTIIMWLEAYDPDVGQS SQVRYSLLDHGEGHFDVDKLSGAVRIVQQLDFEKKQLYNLTVRAKDKGKPVSLSSTCYVE VEVVDVNENLHTPVFSSFVEKGVVKEDVPTGSSVMTVSAHDEDTGRDGEIRYSIRDGSGI GVFRIDEETGVIETSDRLDRESTSHYWLTVYATDQGVVPLSSFIEVYIEVEDVNDNAPQT SEPVYYPEIMENSPKDVSVVQIEAFDPDSSSNDKLTYRITSGNPQGFFSIHPKTGLITTT SRKLDREQQDEHILEVTVTDNGVPPRSTIARVIVKILDENDNRPQFLQKFYKIRLPEREK ADGDRSASKREPLYRVIAADKDEGPNAELSYSIEEGNEHGRFSIEPKTGVVSSKKFSAAG EYDILSIKAVDNGRPQKSSTTRLHIEWISKPKPSSEPISFEESVFSFTVMESDPVAHMIG VISVEPPGMPLWFDIIGGNYDSHFDVDKGTGTIIVAKPLDAEQKSSYNLTVEATDGTTTI LTQVLIKVIDTNDHRPQFSTSKYEVAVPEDTEPEVEILQISAVDRDEKNKLIYTLQSSID PASLKKFRLDPATGALYTAEKLDHEAIHQHVLTVMVRDQDVPVKRNFARIVVNVSDKNDH APWFTSPSYDGRVYESAAVGSVVLQVTALDKDKGRNAEVLYSIESGNIGNSFTIDPILGS IKTARELDRSHQVDYDLMVKATDKGDPPMSEMTSVRIAVTVADNASPKFTSKEYSAEISE AIRIGSFVGMVSAHSQSSVMYEIRDGNMGDAFNINPHSGSIITQRALDFETLPMYSLTVQ GTNMAGLSTNTTVVVHVRDENDNPPVFTQAEYSGFISESASVNSVVLTDRNVPLVIRATD ADRESNALLVYQIVEPSVHNYFAIDPTTGAIRTVLSLDYEETHAFHFTVQVHDMGTPRLF AEYAANVTVHVIDINDCPPVFSKSLYEVSLLLPTYRGVNVITVNATDADSKAFSQVMYSI TEGNIGEKFSMDHKTGTIAIQNTTQLRSRYELTVRASDGRFTSMASVKINVKESRESPLK FTQDAYSAVVKENSTEARTLAVITAIGNPLNEPLFYRILNPDRRFKISHTSGVLSTTGIP FDREQQETFDVVVEVTKEHEPSAVAHVVVKVTVEDQNDNAPVFVNLPYYAVVKVDAEVGH VIRYVTAIDRDSGRNGDIHYYLKEHHDHFQIGPSGDISLKKQFEHDTLNKEYLVTVVAKD GGSPAFSAEVLVPITVMNKAMPVFEKAFYSAEIPENIQMHSPVVHIQANSPEGLKVFYSI TDGDPFSQFTINFNTGVVNVIAPLDFESHPAYKLSVRATDSLTGAHAEVFVDIIVEDIND NPPVFVQPSYSTTLSEASVIGTPVLQVRATDSDSEPNRGISYQLIGNHSKSHDHFHIDSN TGLISLVRALDYEQSQQHRIFVRAVDGGMPALSSDVVVTVAVTDLNDNPPLFEQQVYEAR ISEHAAHGHFVMCVRACDADSSDLDKLEYSILSGNDHKSFIIDRETGIITLSNLRRHTLK PFYSLNVSVSDGVFRSSARVNVTVMGGNLHSPVFHQNEYEVELAENAPLHTLVVQVKASD RDSGIYSHVTYHIVNDFAKDRFYVNDRGQIFTLEKLDRETPAEKVISIRLMAKDAGGKVA FCTVNVILTDDNDNAPQFRSTKYEVNIGSSAAKGTSVVKVFASDADEGSNADVTYAIEAD SESVKENLEINKLTGLITTKESLIGLENEFFTFFVRAVDSGSPPRESVVPVYIKILPPEV QLPRFSEPFYTYTISEDTPIGTEIDLIRVEHGGAVLYILVKGNTPESNRDEFFVIDRQNG RLKLEKSLDHETTKWYQFSILARCTLDDYEVVASIDVSIQVKDANDNSPVLESSPYEAFI VENLPGGSRVIQIRASDLDSGANGQVMYSLDQSQDADIIESFAINMETGWITTLKELDHE ERASYQIKVVASDHGEKVQLSSTAIVGVTVTDVNDSPPRFTAEIYKGTVSEDDPPGGVIA ILSTTDADTEEINRQVSYFITGGDALGQFAVENVQSDWRVYVKKPLDREQKDSYLLTVTA TDGTFSSKARVEVKVLDANDNSPVCEKTSYSDTIPEDALPGKLVMQVSATDADIRSNAEI TYTLFGSGAEKFKLNPDTGELRTLALLDREEQAVYNLLVKATDGGGRSCQAAIVLTLEDV NDNAPEFTAEPYTITVFENTEPGTPLTRVQATDADTGLNRKISYSLVESADGQFSINERS GIIQLEKHLDRELQAVYTLTLKAVDQGLPRRLTATGTVVVSVLDINDNPPVFEYREYGAS VSEDIVIGTEVLQVYAASRDIEANAEITYAIISGNEHGKFSIDSKTGAIFIIESLDYESS HEYYLTVEATDGGTPSLSDVATVNINVTDINDNSPVFSQDTYTTVVSEDAALEQPVITIM ADDADGPSNSHIHYSIIEGNQGSPFTIDPVRGEVKVTKPLDRETISGYTLTVQAADNGNP PRVNTTTVNIDVSDVNDNAPLFSRDNYSVIIQENKPVGFSVLKLVVTDKDSSHNGPPFFF TIVSGNDENAFEVNQHGVLLTAATIKRKVKDHYLLHVKVADSGKPQLSSMTHIDIRVIEE SIHPPAILPLEIFITAFGEEYSGGVIGKIHATDQDVYDTLMYSLDPHMDGLFSVSSTGGK LIAHRKLDIGQYLLNVSVTDGKFTTVADITVHIQQVTQEMLNHTVAIRFANLTPEEFVGD YWRNFQRALRNILGVRKNDIQIVSLQPSEPHSHLDVLLFVERSGGTHVSTKQLLHKINSS VTDVEEIIGVRILEVFQKLCAGLDCPWKFCDEKVSVDENVMSTHSTARLSFVTPRHHRTA VCLCKDGTCPPVHHGCEDNPCPAGSECVADPREEKYSCVCPGGGFGKCPGSSSITFTGNS FVKYRLLENENRLEMKLSMRLRTYSSHAVVMYARGTDYSILEIHTGRLQYKFDCGSGPGI VSVQSIQVNDGQWHAVSLEVEGNYAKLVLDEVHTASGTAPGALKTLNLDNYVFFGGHLRQ QGTKHGRGAQVASGFRGCMDSIYLNGQELPLNNKPRAYAHIEEWVDLSHGCLLTATEDCS SSPCQNGGVCNPSPTGGYYCKCSALYVGTFCEVSVNPCSSNPCLYGGTCMVDNGGFVCQC RGLYTGQRCQLSPYCKDDPCKNGGTCFDSLDGAVCQCDSGFRGERCQSDIDECAGNPCRN GALCENTHGSYHCNCSQEYRGKHCEDASPNHYVSTPWNIGLAEGIGIIVFIAGIVLLVMV FVLCRKMISRKKKRQAEPEDKRLGPTTAFLQRPYFDSKLNKNIYSDIPPQVPVRPISYTP SIPSDSRNNLDRNSFEGSAIPEHPEFSTFNPESMHGHRKAVAVCSVAPNLPPPPPSNSPS DSDSIQKPSWDFDYDAKVVDLDPCLSKKPLEEKPSQPYSARESLSEVQSLSSFQSESCDD NGYHWDTSDWMPSVPLPDIQEFPNYEAIDEHTPLYSADPNAIDTDYYPGGYDIESDFPPP PEDFPAPDELPPLPPEFSDQFESIHPPRDMPAAGSLGSSSRSRQRFNLNQYLPNFYPADM SEPQKQGAGENSPCREPYTPYPPGYQRNFEAPTIENMPMSVYASTASCSDVSACCEVESE VMMSDYESGDDGHFEEVTIPPLDSQQHTEV >ENSMUSP00000140765.1 pep:known chromosome:GRCm38:8:45033355:45052245:1 gene:ENSMUSG00000070047.12 transcript:ENSMUST00000189017.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fat1 description:FAT atypical cadherin 1 [Source:MGI Symbol;Acc:MGI:109168] XESLDYESSHEYYLTVEATDGGTPSLSDVATVNINVTDINDNSPVFSQDTYTTVVSEDAA LEQPVITIMADDADGPSNSHIHYSIIEGNQGSPFTIDPVRGEVKVTKPLDRETISGYTLT VQAADNGNPPRVNTTTVNIDVSDVNDNAPLFSRDNYSVIIQENKPVGFSVLKLVVTDKDS SHNGPPFFFTIVSGNDENAFEVNQHGVLLTAATIKRKVKDHYLLHVKVADSGKPQLSSMT HIDIRVIEESIHPPAILPLEIFITAFGEEYSGGVIGKIHATDQDVYDTLMYSLDPHMDGL FSVSSTGGKLIAHRKLDIGQYLLNVSVTDGKFTTVADITVHIQQVTQEMLNHTVAIRFAN LTPEEFVGDYWRNFQRALRNILGVRKNDIQIVSLQPSEPHSHLDVLLFVERSGGTHVSTK QLLHKINSSVTDVEEIIGVRILEVFQKLCAGLDCPWKFCDEKVSVDENVMSTHSTARLSF VTPRHHRTAVCLCKDGTCPPVHHGCEDNPCPAGSECVADPREEKYSCVCPGGGFGKCPGS SSITFTGNSFVKYRLLENENRLEMKLSMRLRTYSSHAVVMYARGTDYSILEIHTGRLQYK FDCGSGPGIVSVQSIQVNDGQWHAVSLEVEGNYAKLVLDEVHTASGTAPGALKTLNLDNY VFFGGHLRQQGTKHGRGAQVASGFRGCMDSIYLNGQELPLNNKPRAYAHIEEWVDLSHGC LLTATEDCSSSPCQNGGVCNPSPTGGYYCKCSALYVGTFCEVSVNPCSSNPCLYGGTCMV DNGGFVCQCRGLYTGQRCQLSPYCKDDPCKNGGTCFDSLDGAVCQCDSGFRGERCQSDID ECAGNPCRNGALCENTHGSYHCNCSQEYRGKHCEDASPNHYVSTPWNIGLAEGIGIIVFI AGIVLLVMVFVLCRKMISRKKKRQAEPEDKRLGPTTAFLQRPYFDSKLNKNIYSDIPPQV PVRPISYTPSIPSDSRNNLDRNSFEGSAIPEHPEFSTFNPESMHGHRKAVAVCSVAPNLP PPPPSNSPSDSDSIQKPSWDFDYDAKVVDLDPCLSKKPLEEKPSQPYSARESLSEVQSLS SFQSESCDDNESLAAPDLSKPRGYHWDTSDWMPSVPLPDIQEFPNYEAIDEHTPLYSADP NAIDTDYYPGGYDIESDFPPPPEDFPAPDELPPLPPEFSDQFESIHPPRDMPAAGSLGSS SRSRQRFNLNQYLPNFYPADMSEPQKQGAGENSPCREPYTPYPPGYQRNFEAPTIENMPM SVYASTASCSDVSACCEVESEVMMSDYESGDDGHFEEVTIPPLDSQQHTEV >ENSMUSP00000114222.1 pep:known chromosome:GRCm38:6:138142854:138150796:1 gene:ENSMUSG00000008540.11 transcript:ENSMUST00000125810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst1 description:microsomal glutathione S-transferase 1 [Source:MGI Symbol;Acc:MGI:1913850] MAGTRPSGARDVHWKQAAVVLCCLLEVSISHLKTETKIGSMADLRQLMDNEVLMAFTSYA TIILTKMMFMSSATAFQRITNKVFANPEDCAG >ENSMUSP00000112923.1 pep:known chromosome:GRCm38:6:138141586:138156612:1 gene:ENSMUSG00000008540.11 transcript:ENSMUST00000118091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst1 description:microsomal glutathione S-transferase 1 [Source:MGI Symbol;Acc:MGI:1913850] MTGLQRQAGGVSSLHCTTWPYLYPTQASFLQSRRKWFLCRSLSSQAVFSQLVGIPLLSPD RHPGHLKTETKIGSMADLRQLMDNEVLMAFTSYATIILTKMMFMSSATAFQRITNKVFAN PEDCAGFGKGENAKKFVRTDEKVERVRRAHLNDLENIVPFLGIGLLYSLSGPDLSTALMH FRIFVGARIYHTIAYLTPLPQPNRGLAFFVGYGVTLSMAYRLLRSRLYL >ENSMUSP00000113257.1 pep:known chromosome:GRCm38:6:138140599:138156384:1 gene:ENSMUSG00000008540.11 transcript:ENSMUST00000120302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst1 description:microsomal glutathione S-transferase 1 [Source:MGI Symbol;Acc:MGI:1913850] MADLRQLMDNEVLMAFTSYATIILTKMMFMSSATAFQRITNKVFANPEDCAGFGKGENAK KFVRTDEKVERVRRAHLNDLENIVPFLGIGLLYSLSGPDLSTALMHFRIFVGARIYHTIA YLTPLPQPNRGLAFFVGYGVTLSMAYRLLRSRLYL >ENSMUSP00000113859.1 pep:known chromosome:GRCm38:6:138141370:138156559:1 gene:ENSMUSG00000008540.11 transcript:ENSMUST00000120230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst1 description:microsomal glutathione S-transferase 1 [Source:MGI Symbol;Acc:MGI:1913850] MADLRQLMDNEVLMAFTSYATIILTKMMFMSSATAFQRITNKVFANPEDCAGFGKGENAK KFVRTDEKVERVRRAHLNDLENIVPFLGIGLLYSLSGPDLSTALMHFRIFVGARIYHTIA YLTPLPQPNRGLAFFVGYGVTLSMAYRLLRSRLYL >ENSMUSP00000145306.1 pep:known chromosome:GRCm38:6:138140571:138156742:1 gene:ENSMUSG00000008540.11 transcript:ENSMUST00000140932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst1 description:microsomal glutathione S-transferase 1 [Source:MGI Symbol;Acc:MGI:1913850] MHFRIFVGARIYHTIAYLTPLPQPNRGLAFFVGYGVTLSMAYRLLRSRLYL >ENSMUSP00000112646.1 pep:known chromosome:GRCm38:6:138140528:138156605:1 gene:ENSMUSG00000008540.11 transcript:ENSMUST00000120939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst1 description:microsomal glutathione S-transferase 1 [Source:MGI Symbol;Acc:MGI:1913850] MADLRQLMDNEVLMAFTSYATIILTKMMFMSSATAFQRITNKVFANPEDCAGFGKGENAK KFVRTDEKVERVRRLSSSFFLHQFKTCCYFHNQMAGPDCGYT >ENSMUSP00000144912.1 pep:known chromosome:GRCm38:6:138140554:138156392:1 gene:ENSMUSG00000008540.11 transcript:ENSMUST00000204628.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst1 description:microsomal glutathione S-transferase 1 [Source:MGI Symbol;Acc:MGI:1913850] MADLRQLMDNEVLMAFTSYATIILTKMMFMSSATAFQRITNKSPPE >ENSMUSP00000008684.4 pep:known chromosome:GRCm38:6:138140316:138156755:1 gene:ENSMUSG00000008540.11 transcript:ENSMUST00000008684.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgst1 description:microsomal glutathione S-transferase 1 [Source:MGI Symbol;Acc:MGI:1913850] MADLRQLMDNEVLMAFTSYATIILTKMMFMSSATAFQRITNKVFANPEDCAGFGKGENAK KFVRTDEKVERVRRAHLNDLENIVPFLGIGLLYSLSGPDLSTALMHFRIFVGARIYHTIA YLTPLPQPNRGLAFFVGYGVTLSMAYRLLRSRLYL >ENSMUSP00000111151.2 pep:known chromosome:GRCm38:1:8363475:8803943:-1 gene:ENSMUSG00000025909.16 transcript:ENSMUST00000115488.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sntg1 description:syntrophin, gamma 1 [Source:MGI Symbol;Acc:MGI:1918346] MDFRTTCEETKTGVCLLQDGNQEPFKVRLHLARDLLMLQEQDVLCVSGEPFYSGERTVTI RRQTVGGFGLSIKGGAEHNIPVVISKISKEQRAELSGLLFIGDAILQINGINVRKCRHEE VVQVLRNAGEEVTLTVSFLKRAPAFLKLPVNEDCACAPSDQSSGTSSPLCDSGLHLNYHP NNTDTLSCSSWPTSPGLRWEKRWCDLRLIPLLHARFSQYVPGTDLSRQNAFQVVAVDGVC SGILQCLSAEDCMDWLQAIASNISNLTKHNIKKINRNFPVNQQIVYMGWCEAREQESLQD RVYTPVFLALRGSCLYRFLSPPVTTWDWTRAEKTFSVCEIMCKVLKDSDLLDRRKHCFTM QSECGEDLYFSVELESDLAQWERAFQTATFLEVERIQCKTYACVLESHLMGLTIDFSTGF ICFDAATKAVLWRYKFSQLKGSSDDGKSKIKFLFQNPDTKQIEAKELEFSNLFAVLHCIH SFFAAKVACLDPLFLGNQAATTAAVSSASTSKAKHLA >ENSMUSP00000123632.1 pep:known chromosome:GRCm38:1:8675973:9299282:-1 gene:ENSMUSG00000025909.16 transcript:ENSMUST00000144593.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sntg1 description:syntrophin, gamma 1 [Source:MGI Symbol;Acc:MGI:1918346] MDFRTTCEETKTGVCLLQDGNQEPFKVRLHLARDLLMLQEQDVLCVSGKNGDHQKTDSRR IRIKHKGRCRT >ENSMUSP00000117397.1 pep:known chromosome:GRCm38:1:8362678:9299878:-1 gene:ENSMUSG00000025909.16 transcript:ENSMUST00000140302.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sntg1 description:syntrophin, gamma 1 [Source:MGI Symbol;Acc:MGI:1918346] MDFRTTCEETKTGVCLLQDGNQEPFKVRLHLARDLLMLQEQDVLCVSGEPFYSGERTVTI RRQTVGGFGLSIKGGAEHNIPVVISKISKEQRAELSGLLFIGDAILQINGINVRKCRHEE VVQVLRNAGEEVTLTVSFLKRAPAFLKLPVNEDCACRTLSKLLLWMESAVEFFSASLLKT AWIGSKQ >ENSMUSP00000118101.2 pep:known chromosome:GRCm38:1:8361475:9299238:-1 gene:ENSMUSG00000025909.16 transcript:ENSMUST00000140295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sntg1 description:syntrophin, gamma 1 [Source:MGI Symbol;Acc:MGI:1918346] MDFRTTCEETKTGVCLLQDGNQEPFKVRLHLARDLLMLQEQDVLCVSGEPFYSGERTVTI RRQTVGGFGLSIKGGAEHNIPVVISKISKEQRAELSGLLFIGDAILQINGINVRKCRHEE VVQVLRNAGEEVTLTVSFLKRAPAFLKLPVNEDCACAPSDQSSGTSSPLCDSGLHLNYHP NNTDTLSCSSWPTSPGLRWEKRWCDLRLIPLLHARFSQYVPGTDLSRQNAFQVVAVDGVC SGILQCLSAEDCMDWLQAIASNISNLTKHNIKKINRNFPVNQQIVYMGWCEAREQESLQD RVYTPVFLALRGSCLYRFLSPPVTTWDWTRAEKTFSVCEIMCKVLKDSDLLDRRKHCFTM QSECGEDLYFSVELESDLAQWERAFQTATFLEVERIQCKTYACVLESHLMGLTIDFSTGF ICFDAATKAVLWRYKFSQLKGSSDDGKSKIKFLFQNPDTKQIEAKELEFSNLFAVLHCIH SFFAAKVACLDPLFLGNQAATTAAVSSASTSKAKHLA >ENSMUSP00000122134.1 pep:known chromosome:GRCm38:1:8361475:9298573:-1 gene:ENSMUSG00000025909.16 transcript:ENSMUST00000132064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sntg1 description:syntrophin, gamma 1 [Source:MGI Symbol;Acc:MGI:1918346] MDFRTTCEETKTGVCLLQDGNQEPFKVRLHLARDLLMLQEQDVLCVSGEPFYSGERTVTI RRQTVGGFGLSIKGGAEHNIPVVISKISKEQRELSGLLFIGDAILQINGINVRKCRHEEV VQVLRNAGEEVTLTVSFLKRAPAFLKLPVNEDCACAPSDQSSGTSSPLCDSGLHLNYHPN NTDTLSCSSWPTSPGLRWEKRWCDLRLIPLLHARFSQYVPGTDLSRQNAFQVVAVDGVCS GILQCLSAEDCMDWLQAIASNISNLTKHNIKKINRNFPVNQQIVYMGWCEAREQESLQDR VYTPVFLALRGSCLYRFLSPPVTTWDWTRAEKTFSVCEIMCKVLKDSDLLDRRKHCFTMQ SECGEDLYFSVELESDLAQWERAFQTATFLEVERIQCKTYACVLESHLMGLTIDFSTGFI CFDAATKAVLWRYKFSQLKGSSDDGKSKIKFLFQNPDTKQIEAKELEFSNLFAVLHCIHS FFAAKVACLDPLFLGNQAATTAAVSSASTSKAKHLA >ENSMUSP00000141839.1 pep:known chromosome:GRCm38:1:8361475:9298864:-1 gene:ENSMUSG00000025909.16 transcript:ENSMUST00000191683.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sntg1 description:syntrophin, gamma 1 [Source:MGI Symbol;Acc:MGI:1918346] MDFRTTCEETKTGVCLLQDGNQEPFKVRLHLARDLLMLQEQDVLCVSGEPFYSGERTVTI RRQTVGGFGLSIKGGAEHNIPVVISKISKEQRELSGLLFIGDAILQINGINVRKCRHEEV VQVLRNAGEEVTLTVSFLKRAPAFLKLPVNEDCACAPSDQSSGTSSPLCDSGLHLNYHPN NTDTLSCSSWPTSPGLRWEKRWCDLRLIPLLHARFSQYVPGTDLSRQNAFQVVAVDGVCS GILQCLSAEDCMDWLQAIASNISNLTKHNIKKINRNFPVNQQIVYMGWCEAREQESLQDR VYTPVFLALRGSCLYRFLSPPVTTWDWTRAEKTFSVCEIMCKVLKDSDLLDRRKHCFTMQ SECGEDLYFSVELESDLAQWERAFQTATFLEVERIQCKTYACVLESHLMGLTIDFSTGFI CFDAATKAVLWRYKFSQLKGSSDDGKSKIKFLFQNPDTKQIEAKELEFSNLFAVLHCIHS FFAAKVACLDPLFLGNQAATTAAVSSASTSKAKHLA >ENSMUSP00000069850.3 pep:known chromosome:GRCm38:2:167688915:167690418:1 gene:ENSMUSG00000056501.3 transcript:ENSMUST00000070642.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cebpb description:CCAAT/enhancer binding protein (C/EBP), beta [Source:MGI Symbol;Acc:MGI:88373] MHRLLAWDAACLPPPPAAFRPMEVANFYYEPDCLAYGAKAARAAPRAPAAEPAIGEHERA IDFSPYLEPLAPAADFAAPAPAHHDFLSDLFADDYGAKPSKKPADYGYVSLGRAGAKAAP PACFPPPPPAALKAEPGFEPADCKRADDAPAMAAGFPFALRAYLGYQATPSGSSGSLSTS SSSSPPGTPSPADAKAAPAACFAGPPAAPAKAKAKKTVDKLSDEYKMRRERNNIAVRKSR DKAKMRNLETQHKVLELTAENERLQKKVEQLSRELSTLRNLFKQLPEPLLASAGHC >ENSMUSP00000006587.6 pep:known chromosome:GRCm38:2:167642608:167661556:-1 gene:ENSMUSG00000090213.1 transcript:ENSMUST00000006587.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem189 description:transmembrane protein 189 [Source:MGI Symbol;Acc:MGI:2142624] MAGAEDAPGRQPELDEDETAEGRRWGAQHAGARELAALYSPGKRFQEWCSVILCFSLIAH NLVHLLLLARWEHTPLVILGVVAGALVADFLSGLVHWGADTWGSVDLPIVGKAFIRPFRE HHIDPTAITRHDFIETNGDNCLVTLLPLLNMAYKFRTQSPETLEQLYPWECFVFCLTIFG TFTNQIHKWSHTYLGLPYWVTVLQDWHVILPRKHHRIHHVAPHETYFCITTGWLNYPLEV IGFWRRLEDLIQGLTGEKPRADDMKWAQKIK >ENSMUSP00000034827.8 pep:known chromosome:GRCm38:9:56937475:56938398:1 gene:ENSMUSG00000032288.9 transcript:ENSMUST00000034827.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Imp3 description:IMP3, U3 small nucleolar ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1916119] MVRKLKFHEQKLLKQVDFLNWEVTDHNLHELRVLRRYRLQRREEYTRYNQLSRAVRELAR RLRDLPERDPFRVRASAALLDKLYAMGLVPTRGSLELCDSVSASSFCRRRLPTLLLKLRM AQHLQAAVAFVEQGHVRVGPDVVTDPAFLVTRSMEDFVTWVDSSKIKRHVLEYNEERDDF DLDA >ENSMUSP00000108545.2 pep:known chromosome:GRCm38:6:115853635:115926330:1 gene:ENSMUSG00000030323.13 transcript:ENSMUST00000112923.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift122 description:intraflagellar transport 122 [Source:MGI Symbol;Acc:MGI:1932386] MRAVLTWRDKAEQCIYDLAFKPDGTQLILAAGNRLLVYDTSDGTLLQPLKGHKDTVYCVA YAKDGKRFASGSADKSIIIWTSKLEGILKYTHNDSIQCVSYNPVTHQLASCSSSDFGLWS PEQKSVSKHKSSSKITCCSWTNDGQYLALGMANGIISIRNKNGEEKVKIERPGGSLSPIW SICWNPSSRWETIWMSRENEDAEDVIVNKYVQEIPSILKSTVYNSQGSEAEEEEQEEEDD SPRDGNSEEHNDILAVADWGQKLSFYQLSGKQIGKDRPLNFDPCCISYFTKGEYILVGGS DKQVSLFTKDGVRLGTVGEQNSWVWTCRVKPDSNYVVVGCQDGTISFYQLIFSTVHGLYK DRYAYRDSMTDVIVQHLITEQKVRIKCRELVKKIAIYKNRLAIQLPEKILIYELYSEDST DMHYRVKEKIVKKFECNLLVVCADHIILCQEKRLQCLSFSGVKEREWQMESLIRYIKVIG GPAGREGLLVGLKNGQILKIFVDNLFAIVLLKQATAVRCLDMSASRNKLAVVDENDTCLV YDIHTKELLFQEPNANSVAWNTQCEDMLCFSGGGYLNIKASTFPVHQQKLQGFVVGYNGS KIFCLHVFSMSAVEVPQSAPMYQYLDRKMFKEAYQIACLGVTDADWRELAMEALEGLEFE TARKAFTRVQDLRYLELISSIEERKKRGETNNDLFLADVFSYQGKFHEAAKLYKRSGHEN LALDMYTDLCMFEYAKDFLGSGDPKETKMLITKQADWARNINEPKAAVEMYISAGEHAKA IEISGSHGWVDMLIDIARKLDKAEREPLLMCACYFKKLDSPGYAAETYLKIGDLKSLVQL YVDTKRWDEAFALGEKHPEFKDDVYVPYAQWLAENDRFEEAQKAFHKAGRQGEAVRVLEQ LTHNAVVESRFNDAAYYYWMLSMQCLDMAQDPAQKDAMLDKFHHFQHLAELYHGYQTIHR YTEEPFSFDLPETLFNISKFLLHSLTKATPLGISKVNTLFTLAKQSKALGAYKLARHAYD KLRGLQIPARIQKSIELGTLTIRSKPFHDSEELVPLCYRCSTNNPLLNNLGNVCINCRQP FIFSASSYEVLHLVEFYLEEGITDEEAVALIDLEAPRHKREGKWRETSSNNSQTLKLDET MDSIGEDDPFTAKLSFEQGSSEFVPVVVNRSVLRSMSRRDVLIKRWPPPLQWQYFRSLLP DASITMCPSCFQMFHSEDYELLVLQHACCPYCRRRIDDTGP >ENSMUSP00000138535.1 pep:known chromosome:GRCm38:6:115853580:115926693:1 gene:ENSMUSG00000030323.13 transcript:ENSMUST00000141305.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ift122 description:intraflagellar transport 122 [Source:MGI Symbol;Acc:MGI:1932386] MRAVLTWRDKAEQCIYDLAFKPDGTQLILAAGNRLLVYDTSDGTLLQPLKGHKDTVYCVA YAKDGKRFASGSADKSIIIWTSKLEGILKYTHNDSIQCVSYNPVTHQLASCSSSDFGLWS PEQKSVSKHKSSSKITCCRCKWQSELSLCQEYAGAMKPQPGCCLTVSTLCVLLPTSHALR WLCVQLDKRWSVPGSGHGQWHHQYTEQEW >ENSMUSP00000045468.6 pep:known chromosome:GRCm38:6:115853530:115926690:1 gene:ENSMUSG00000030323.13 transcript:ENSMUST00000038234.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift122 description:intraflagellar transport 122 [Source:MGI Symbol;Acc:MGI:1932386] MRAVLTWRDKAEQCIYDLAFKPDGTQLILAAGNRLLVYDTSDGTLLQPLKGHKDTVYCVA YAKDGKRFASGSADKSIIIWTSKLEGILKYTHNDSIQCVSYNPVTHQLASCSSSDFGLWS PEQKSVSKHKSSSKITCCSWTNDGQYLALGMANGIISIRNKNGEEKVKIERPGGSLSPIW SICWNPSREEHNDILAVADWGQKLSFYQLSGKQIGKDRPLNFDPCCISYFTKGEYILVGG SDKQVSLFTKDGVRLGTVGEQNSWVWTCRVKPDSNYVVVGCQDGTISFYQLIFSTVHGLY KDRYAYRDSMTDVIVQHLITEQKVRIKCRELVKKIAIYKNRLAIQLPEKILIYELYSEDS TDMHYRVKEKIVKKFECNLLVVCADHIILCQEKRLQCLSFSGVKEREWQMESLIRYIKVI GGPAGREGLLVGLKNGQILKIFVDNLFAIVLLKQATAVRCLDMSASRNKLAVVDENDTCL VYDIHTKELLFQEPNANSVAWNTQCEDMLCFSGGGYLNIKASTFPVHQQKLQGFVVGYNG SKIFCLHVFSMSAVEVPQSAPMYQYLDRKMFKEAYQIACLGVTDADWRELAMEALEGLEF ETARKAFTRVQDLRYLELISSIEERKKRGETNNDLFLADVFSYQGKFHEAAKLYKRSGHE NLALDMYTDLCMFEYAKDFLGSGDPKETKMLITKQADWARNINEPKAAVEMYISAGEHAK AIEISGSHGWVDMLIDIARKLDKAEREPLLMCACYFKKLDSPGYAAETYLKIGDLKSLVQ LYVDTKRWDEAFALGEKHPEFKDDVYVPYAQWLAENDRFEEAQKAFHKAGRQGEAVRVLE QLTHNAVVESRFNDAAYYYWMLSMQCLDMAQADPAQKDAMLDKFHHFQHLAELYHGYQTI HRYTEEPFSFDLPETLFNISKFLLHSLTKATPLGISKVNTLFTLAKQSKALGAYKLARHA YDKLRGLQIPARIQKSIELGTLTIRSKPFHDSEELVPLCYRCSTNNPLLNNLGNVCINCR QPFIFSASSYEVLHLVEFYLEEGITDEEAVALIDLEAPRHKREGKWRETSSNNSQTLKLD ETMDSIGEDDPFTAKLSFEQGSSEFVPVVVNRSVLRSMSRRDVLIKRWPPPLQWQYFRSL LPDASITMCPSCFQMFHSEDYELLVLQHACCPYCRRRIDDTGP >ENSMUSP00000108547.1 pep:known chromosome:GRCm38:6:115853470:115926699:1 gene:ENSMUSG00000030323.13 transcript:ENSMUST00000112925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift122 description:intraflagellar transport 122 [Source:MGI Symbol;Acc:MGI:1932386] MRAVLTWRDKAEQCIYDLAFKPDGTQLILAAGNRLLVYDTSDGTLLQPLKGHKDTVYCVA YAKDGKRFASGSADKSIIIWTSKLEGILKYTHNDSIQCVSYNPVTHQLASCSSSDFGLWS PEQKSVSKHKSSSKITCCSWTNDGQYLALGMANGIISIRNKNGEEKVKIERPGGSLSPIW SICWNPSREEHNDILAVADWGQKLSFYQLSGKQIGKDRPLNFDPCCISYFTKGEYILVGG SDKQVSLFTKDGVRLGTVGEQNSWVWTCRVKPDSNYVVVGCQDGTISFYQLIFSTVHGLY KDRYAYRDSMTDVIVQHLITEQKVRIKCRELVKKIAIYKNRLAIQLPEKILIYELYSEDS TDMHYRVKEKIVKKFECNLLVVCADHIILCQEKRLQCLSFSGVKEREWQMESLIRYIKVI GGPAGREGLLVGLKNGQILKIFVDNLFAIVLLKQATAVRCLDMSASRNKLAVVDENDTCL VYDIHTKELLFQEPNANSVAWNTQCEDMLCFSGGGYLNIKASTFPVHQQKLQGFVVGYNG SKIFCLHVFSMSAVEVPQSAPMYQYLDRKMFKEAYQIACLGVTDADWRELAMEALEGLEF ETARKAFTRVQDLRYLELISSIEERKKRGETNNDLFLADVFSYQGKFHEAAKLYKRSGHE NLALDMYTDLCMFEYAKDFLGSGDPKETKMLITKQADWARNINEPKAAVEMYISAGEHAK AIEISGSHGWVDMLIDIARKLDKAEREPLLMCACYFKKLDSPGYAAETYLKIGDLKSLVQ LYVDTKRWDEAFALGEKHPEFKDDVYVPYAQWLAENDRFEEAQKAFHKAGRQGEAVRVLE QLTHNAVVESRFNDAAYYYWMLSMQCLDMAQDPAQKDAMLDKFHHFQHLAELYHGYQTIH RYTEEPFSFDLPETLFNISKFLLHSLTKATPLGISKVNTLFTLAKQSKALGAYKLARHAY DKLRGLQIPARIQKSIELGTLTIRSKPFHDSEELVPLCYRCSTNNPLLNNLGNVCINCRQ PFIFSASSYEVLHLVEFYLEEGITDEEAVALIDLEAPRHKREGKWRETSSNNSQTLKLDE TMDSIGEDDPFTAKLSFEQGSSEFVPVVVNRSVLRSMSRRDVLIKRWPPPLQWQYFRSLL PDASITMCPSCFQMFHSEDYELLVLQHACCPYCRRRIDDTGP >ENSMUSP00000137587.1 pep:known chromosome:GRCm38:17:26941452:26970541:1 gene:ENSMUSG00000067629.11 transcript:ENSMUST00000177932.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngap1 description:synaptic Ras GTPase activating protein 1 homolog (rat) [Source:MGI Symbol;Acc:MGI:3039785] MSRSRASIHRGSIPAMSYAPFRDVRGPPMHRTQYVHSPYDRPGWNPRFCIISGNQLLMLD EDEIHPLLIRDRRSESSRNKLLRRTVSVPVEGRPHGEHEYHLGRSRRKSVPGGKQYSMEA APAAPFRPSQGFLSRRLKSSIKRTKSQPKLDRTSSFRQILPRFRSADHDRARLMQSFKES HSHESLLSPSSAAEALELNLDEDSIIKPVHSSILGQEFCFEVTTSSGTKCFACRSAAERD KWIENLQRAVKPNKDNSRRVDNVLKLWIIEARELPPKKRYYCELCLDDMLYARTTSKPRS ASGDTVFWGEHFEFNNLPAVRALRLHLYRDSDKKRKKDKAGYVGLVTVPVATLAGRHFTE QWYPVTLPTGSGGSGGMGSGGGGGSGGGSGGKGKGGCPAVRLKARYQTMSILPMELYKEF AEYVTNHYRMLCAVLEPALNVKGKEEVASALVHILQSTGKAKDFLSDMAMSEVDRFMERE HLIFRENTLATKAIEEYMRLIGQKYLKDAIGEFIRALYESEENCEVDPIKCTASSLAEHQ ANLRMCCELALCKVVNSHCVFPRELKEVFASWRLRCAERGREDIADRLISASLFLRFLCP AIMSPSLFGLMQEYPDEQTSRTLTLIAKVIQNLANFSKFTSKEDFLGFMNEFLELEWGSM QQFLYEISNLDTLTNSSSFEGYIDLGRELSTLHALLWEVLPQLSKEALLKLGPLPRLLND ISTALRNPNIQRQPSRQSERTRSQPMVLRGPSAEMQGYMMRDLNSSIDLQSFMARGLNSS MDMARLPSPTKEKPPPPPPGGGKDLFYVSRPPLARSSPAYCTSSSDITEPEQKMLSVNKS VSMLDLQGDGPGGRLNSSSVSNLAAVGDLLHSSQASLTAALGLRPAPAGRLSQGSGSSIT AAGMRLSQMGVTTDGVPAQQLRIPLSFQNPLFHMAADGPGPPAGHGGSSGHGPPSSHHHH HHHHHHRGGEPPGDTFAPFHGYSKSEDLSSGVPKPPAASILHSHSYSDEFGPSGTDFTRR QLSLQDSLQHMLSPPQITIGPQRPAPSGPGGGSGGGSGGGQPPPLQRGKSQQLTVSAAQK PRPSSGNLLQSPEPSYGPARPRQQSLSKEGSIGGSGGSGGGGGGGLKPSITKQHSQTPST LNPTMPASERTVAWVSNMPHLSADIESAHIEREEYKLKEYSKSMDESRLDRVKEYEEEIH SLKERLHMSNRKLEEYERRLLSQEEQTSKILMQYQARLEQSEKRLRQQQVEKDSQIKSII GRLMLVEEELRRDHPAMAEPLPEPKKRLLDAQELGRGSFPPWVQQTRV >ENSMUSP00000144414.1 pep:known chromosome:GRCm38:17:26963026:26970587:1 gene:ENSMUSG00000067629.11 transcript:ENSMUST00000202208.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syngap1 description:synaptic Ras GTPase activating protein 1 homolog (rat) [Source:MGI Symbol;Acc:MGI:3039785] XREEYKLKEYSKSMDESRLDREYEEEIHSLKERLHMSNRKLEEYERRLLSQEEQTSKILM QYQARLEQSEKRLRQQQVEKDSQIKSIIGRLMLVEEELRRDHPAMAEPLPEPKKRLLDAQ LLIR >ENSMUSP00000144509.1 pep:known chromosome:GRCm38:17:26963012:26970591:1 gene:ENSMUSG00000067629.11 transcript:ENSMUST00000202049.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syngap1 description:synaptic Ras GTPase activating protein 1 homolog (rat) [Source:MGI Symbol;Acc:MGI:3039785] XSAHIEREEYKLKEYSKSMDESRLDRVKEYEEEIHSLKERLHMSNRKLEEYERRLLSQEE QTSKILMQYQARLEQSEKRLRQQQVEKDSQIKSIIGSPSLQADAGGGGAASGPPRHG >ENSMUSP00000144410.1 pep:known chromosome:GRCm38:17:26962306:26970562:1 gene:ENSMUSG00000067629.11 transcript:ENSMUST00000201186.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syngap1 description:synaptic Ras GTPase activating protein 1 homolog (rat) [Source:MGI Symbol;Acc:MGI:3039785] XSQQLTVSAAQKPRPSSGNLLQSPEPSYGPARPRQQSLSKEGSIGGSGGSGGGGGGGLKP SITKQHSQTPSTLNPTMPASERTVAWVSNMPHLSADIESAHIEREEYKLKEYSKSMDESR LDRVKEYEEEIHSLKERLHMSNRKLEEYERRLLSQEEQTSKILMQYQARLEQSEKRLRQQ QVEKDSQIKSIIGSPSLQADAGGGGAASGPPRHG >ENSMUSP00000144403.1 pep:known chromosome:GRCm38:17:26957826:26960111:1 gene:ENSMUSG00000067629.11 transcript:ENSMUST00000202939.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syngap1 description:synaptic Ras GTPase activating protein 1 homolog (rat) [Source:MGI Symbol;Acc:MGI:3039785] IEEYMRLIGQKYLKDAIGEFIRALYESEENCEVDPIKCTASSLAEHQANLRMCCELALCK VVNSH >ENSMUSP00000080038.4 pep:known chromosome:GRCm38:17:26952138:26970933:1 gene:ENSMUSG00000067629.11 transcript:ENSMUST00000081285.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngap1 description:synaptic Ras GTPase activating protein 1 homolog (rat) [Source:MGI Symbol;Acc:MGI:3039785] MGLRPPTPTPSGGSGSGSLPPPSHRQPLRRRCSSCCFPGEYHLGRSRRKSVPGGKQYSME AAPAAPFRPSQGFLSRRLKSSIKRTKSQPKLDRTSSFRQILPRFRSADHDRARLMQSFKE SHSHESLLSPSSAAEALELNLDEDSIIKPVHSSILGQEFCFEVTTSSGTKCFACRSAAER DKWIENLQRAVKPNKDNSRRVDNVLKLWIIEARELPPKKRYYCELCLDDMLYARTTSKPR SASGDTVFWGEHFEFNNLPAVRALRLHLYRDSDKKRKKDKAGYVGLVTVPVATLAGRHFT EQWYPVTLPTGSGGSGGMGSGGGGGSGGGSGGKGKGGCPAVRLKARYQTMSILPMELYKE FAEYVTNHYRMLCAVLEPALNVKGKEEVASALVHILQSTGKAKDFLSDMAMSEVDRFMER EHLIFRENTLATKAIEEYMRLIGQKYLKDAIGEFIRALYESEENCEVDPIKCTASSLAEH QANLRMCCELALCKVVNSHCVFPRELKEVFASWRLRCAERGREDIADRLISASLFLRFLC PAIMSPSLFGLMQEYPDEQTSRTLTLIAKVIQNLANFSKFTSKEDFLGFMNEFLELEWGS MQQFLYEISNLDTLTNSSSFEGYIDLGRELSTLHALLWEVLPQLSKEALLKLGPLPRLLN DISTALRNPNIQRQPSRQSERTRSQPMVLRGPSAEMQGYMMRDLNSSIDLQSFMARGLNS SMDMARLPSPTKEKPPPPPPGGGKDLFYVSRPPLARSSPAYCTSSSDITEPEQKMLSVNK SVSMLDLQGDGPGGRLNSSSVSNLAAVGDLLHSSQASLTAALGLRPAPAGRLSQGSGSSI TAAGMRLSQMGVTTDGVPAQQLRIPLSFQNPLFHMAADGPGPPAGHGGSSGHGPPSSHHH HHHHHHHRGGEPPGDTFAPFHGYSKSEDLSSGVPKPPAASILHSHSYSDEFGPSGTDFTR RQLSLQDSLQHMLSPPQITIGPQRPAPSGPGGGSGGGSGGGQPPPLQRGKSQQLTVSAAQ KPRPSSGNLLQSPEPSYGPARPRQQSLSKEGSIGGSGGSGGGGGGGLKPSITKQHSQTPS TLNPTMPASERTVAWVSNMPHLSADIESAHIEREEYKLKEYSKSMDESRLDRVKEYEEEI HSLKERLHMSNRKLEEYERRLLSQEEQTSKILMQYQARLEQSEKRLRQQQVEKDSQIKSI IGRLMLVEEELRRDHPAMAEPLPEPKKRLLDAQERQLPPLGPTNPRVTLAPPWNGLAPPA PPPPPRLQITENGEFRNTADH >ENSMUSP00000144666.2 pep:known chromosome:GRCm38:17:26941453:26972434:1 gene:ENSMUSG00000067629.11 transcript:ENSMUST00000201349.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngap1 description:synaptic Ras GTPase activating protein 1 homolog (rat) [Source:MGI Symbol;Acc:MGI:3039785] XSRSRASIHRGSIPAMSYAPFRDVRGPPMHRTQYVHSPYDRPGWNPRFCIISGNQLLMLD EDEIHPLLIRDRRSESSRNKLLRRTVSVPVEGRPHGEHEYHLGRSRRKSVPGGKQYSMEA APAAPFRPSQGFLSRRLKSSIKRTKSQPKLDRTSSFRQILPRFRSADHDRARLMQSFKES HSHESLLSPSSAAEALELNLDEDSIIKPVHSSILGQEFCFEVTTSSGTKCFACRSAAERD KWIENLQRAVKPNKDNSRRVDNVLKLWIIEARELPPKKRYYCELCLDDMLYARTTSKPRS ASGDTVFWGEHFEFNNLPAVRALRLHLYRDSDKKRKKDKAGYVGLVTVPVATLAGRHFTE QWYPVTLPTGSGGSGGMGSGGGGGSGGGSGGKGKGGCPAVRLKARYQTMSILPMELYKEF AEYVTNHYRMLCAVLEPALNVKGKEEVASALVHILQSTGKAKDFLSDMAMSEVDRFMERE HLIFRENTLATKAIEEYMRLIGQKYLKDAIGEFIRALYESEENCEVDPIKCTASSLAEHQ ANLRMCCELALCKVVNSHCVFPRELKEVFASWRLRCAERGREDIADRLISASLFLRFLCP AIMSPSLFGLMQEYPDEQTSRTLTLIAKVIQNLANFSKFTSKEDFLGFMNEFLELEWGSM QQFLYEISNLDTLTNSSSFEGYIDLGRELSTLHALLWEVLPQLSKEALLKLGPLPRLLND ISTALRNPNIQRQPSRQSERTRSQPMVLRGPSAEMQGYMMRDLNSSIDLQSFMARGLNSS MDMARLPSPTKEKPPPPPPGGGKDLFYVSRPPLARSSPAYCTSSSDITEPEQKMLSVNKS VSMLDLQGDGPGGRLNSSSVSNLAAVGDLLHSSQASLTAALGLRPAPAGRLSQGSGSSIT AAGMRLSQMGVTTDGVPAQQLRIPLSFQNPLFHMAADGPGPPAGHGGSSGHGPPSSHHHH HHHHHHRGGEPPGDTFAPFHGYSKSEDLSSGVPKPPAASILHSHSYSDEFGPSGTDFTRR QLSLQDSLQHMLSPPQITIGPQRPAPSGPGGGSGGGSGGGQPPPLQRGKSQQLTVSAAQK PRPSSGNLLQSPEPSYGPARPRQQSLSKEGSIGGSGGSGGGGGGGLKPSITKQHSQTPST LNPTMPASERTVAWVSNMPHLSADIESAHIEREEYKLKEYSKSMDESRLDRVKEYEEEIH SLKERLHMSNRKLEEYERRLLSQEEQTSKILMQYQARLEQSEKRLRQQQVEKDSQIKSII GSPSLQADAGGGGAASGPPRHG >ENSMUSP00000141245.2 pep:known chromosome:GRCm38:17:26941499:26971121:1 gene:ENSMUSG00000067629.11 transcript:ENSMUST00000193200.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Syngap1 description:synaptic Ras GTPase activating protein 1 homolog (rat) [Source:MGI Symbol;Acc:MGI:3039785] XSYAPFRDVRGPPMHRTQYVHSPYDRPGWNPRFCIISGNQLLMLDEDEIHPLLIRDRRSE SSRNKLLRRTVSVPVEGRPHGEHEYHLGRSRRKSVPGGKQYSMEAAPAAPFRPSQGFLSR RLKSSIKRTKSQPKLDRTSSFRQILPRFRSADHDRARLMQSFKESHSHESLLSPSSAAEA LELNLDEDSIIKPVHSSILGQEFCFEVTTSSGTKCFACRSAAERDKWIENLQRAVKPNKD NSRRVDNVLKLWIIEARELPPKKRYYCELCLDDMLYARTTSKPRSASGDTVFWGEHFEFN NLPAVRALRLHLYRDSDKKRKKDKAGYVGLVTVPVATLAGRHFTEQWYPVTLPTGSGGSG GMGSGGGGGSGGGSGGKGKGGCPAVRLKARYQTMSILPMELYKEFAEYVTNHYRMLCAVL EPALNVKGKEEVASALVHILQSTGKAKDFLSDMAMSEVDRFMEREHLIFRENTLATKAIE EYMRLIGQKYLKDAIGEFIRALYESEENCEVDPIKCTASSLAEHQANLRMCCELALCKVV NSHCVFPRELKEVFASWRLRCAERGREDIADRLISASLFLRFLCPAIMSPSLFGLMQEYP DEQTSRTLTLIAKVIQNLANFSKFTSKEDFLGFMNEFLELEWGSMQQFLYEISNLDTLTN SSSFEGYIDLGRELSTLHALLWEVLPQLSKEALLKLGPLPRLLNDISTALRNPNIQRQPS RQSERTRSQPMVLRGPSAEMQGYMMRDLNSSIDLQSFMARGLNSSMDMARLPSPTKEKPP PPPPGGGKDLFYVSRPPLARSSPAYCTSSSDITEPEQKMLSVNKSVSMLDLQGDGPGGRL NSSSVSNLAAVGDLLHSSQASLTAALGLRPAPAGRLSQGSGSSITAAGMRLSQMGVTTDG VPAQQLRIPLSFQNPLFHMAADGPGPPAGHGGSSGHGPPSSHHHHHHHHHHRGGEPPGDT FAPFHGYSKSEDLSSGVPKPPAASILHSHSYSDEFGPSGTDFTRRQLSLQDSLQHMLSPP QITIGPQRPAPSGPGGGSGGGSGGGQPPPLQRGKSQQLTVSAAQKPRPSSGNLLQSPEPS YGPARPRQQSLSKEGSIGGSGGSGGGGGGGLKPSITKQHSQTPSTLNPTMPASERTVAWV SNMPHLSADIESAHIEREEYKLKEYSKSMDESRLDRVKEYEEEIHSLKERLHMSNRKLEE YERRLLSQEEQTSKILMQYQARLEQSEKRLRQQQVEKDSQIKSIIGRLMLVEEELRRDHP AMAEPLPEPKKRLLDAQLLIR >ENSMUSP00000141686.1 pep:known chromosome:GRCm38:17:26941253:26972434:1 gene:ENSMUSG00000067629.11 transcript:ENSMUST00000194598.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngap1 description:synaptic Ras GTPase activating protein 1 homolog (rat) [Source:MGI Symbol;Acc:MGI:3039785] MSRSRASIHRGSIPAMSYAPFRDVRGPPMHRTQYVHSPYDRPGWNPRFCIISGNQLLMLD EDEIHPLLIRDRRSESSRNKLLRRTVSVPVEGRPHGEHEYHLGRSRRKSVPGGKQYSMEA APAAPFRPSQGFLSRRLKSSIKRTKSQPKLDRTSSFRQILPRFRSADHDRARLMQSFKES HSHESLLSPSSAAEALELNLDEDSIIKPVHSSILGQEFCFEVTTSSGTKCFACRSAAERD KWIENLQRAVKPNKDNSRRVDNVLKLWIIEARELPPKKRYYCELCLDDMLYARTTSKPRS ASGDTVFWGEHFEFNNLPAVRALRLHLYRDSDKKRKKDKAGYVGLVTVPVATLAGRHFTE QWYPVTLPTGSGGSGGMGSGGGGGSGGGSGGKGKGGCPAVRLKARYQTMSILPMELYKEF AEYVTNHYRMLCAVLEPALNVKGKEEVASALVHILQSTGKAKDFLSDMAMSEVDRFMERE HLIFRENTLATKAIEEYMRLIGQKYLKDAIGEFIRALYESEENCEVDPIKCTASSLAEHQ ANLRMCCELALCKVVNSHCVFPRELKEVFASWRLRCAERGREDIADRLISASLFLRFLCP AIMSPSLFGLMQEYPDEQTSRTLTLIAKVIQNLANFSKFTSKEDFLGFMNEFLELEWGSM QQFLYEISNLDTLTNSSSFEGYIDLGRELSTLHALLWEVLPQLSKEALLKLGPLPRLLND ISTALRNPNIQRQPSRQSERTRSQPMVLRGPSAEMQGYMMRDLNSSIDLQSFMARGLNSS MDMARLPSPTKEKPPPPPPGGGKDLFYVSRPPLARSSPAYCTSSSDITEPEQKMLSVNKS VSMLDLQGDGPGGRLNSSSVSNLAAVGDLLHSSQASLTAALGLRPAPAGRLSQGSGSSIT AAGMRLSQMGVTTDGVPAQQLRIPLSFQNPLFHMAADGPGPPAGHGGSSGHGPPSSHHHH HHHHHHRGGEPPGDTFAPFHGYSKSEDLSSGVPKPPAASILHSHSYSDEFGPSGTDFTRR QLSLQDSLQHMLSPPQITIGPQRPAPSGPGGGSGGGSGGGQPPPLQRGKSQQLTVSAAQK PRPSSGNLLQSPEPSYGPARPRQQSLSKEGSIGGSGGSGGGGGGGLKPSITKQHSQTPST LNPTMPASERTVAWVSNMPHLSADIESAHIEREEYKLKEYSKSMDESRLDRVKEYEEEIH SLKERLHMSNRKLEEYERRLLSQEEQTSKILMQYQARLEQSEKRLRQQQVEKDSQIKSII GRLMLVEEELRRDHPAMAEPLPEPKKRLLDAQERQLPPLGPTNPRVTLAPPWNGLAPPAP PPPPRLQITENGEFRNTADH >ENSMUSP00000144248.1 pep:known chromosome:GRCm38:17:26941442:26970581:1 gene:ENSMUSG00000067629.11 transcript:ENSMUST00000201702.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngap1 description:synaptic Ras GTPase activating protein 1 homolog (rat) [Source:MGI Symbol;Acc:MGI:3039785] MSRSRASIHRGSIPAMSYAPFRDVRGPPMHRTQYVHSPYDRPGWNPRFCIISGNQLLMLD EDEIHPLLIRDRRSESSRNKLLRRTVSVPVEGRPHGEHEYHLGRSRRKSVPGGKQYSMEA APAAPFRPSQGFLSRRLKSSIKRTKSQPKLDRTSSFRQILPRFRSADHDRARLMQSFKES HSHESLLSPSSAAEALELNLDEDSIIKPVHSSILGQEFCFEVTTSSGTKCFACRSAAERD KWIENLQRAVKPNKDNSRRVDNVLKLWIIEARELPPKKRYYCELCLDDMLYARTTSKPRS ASGDTVFWGEHFEFNNLPAVRALRLHLYRDSDKKRKKDKAGYVGLVTVPVATLAGRHFTE QWYPVTLPTGSGGSGGMGSGGGGGSGGGSGGKGKGGCPAVRLKARYQTMSILPMELYKEF AEYVTNHYRMLCAVLEPALNVKGKEEVASALVHILQSTGKAKDFLSDMAMSEVDRFMERE HLIFRENTLATKAIEEYMRLIGQKYLKDAIGEFIRALYESEENCEVDPIKCTASSLAEHQ ANLRMCCELALCKVVNSHCVFPRELKEVFASWRLRCAERGREDIADRLISASLFLRFLCP AIMSPSLFGLMQEYPDEQTSRTLTLIAKVIQNLANFSKFTSKEDFLGFMNEFLELEWGSM QQFLYEISNLDTLTNSSSFEGYIDLGRELSTLHALLWEVLPQLSKEALLKLGPLPRLLND ISTALRNPNIQRQPSRQSERTRSQPMVLRGPSAEMQGYMMRDLNSSMDMARLPSPTKEKP PPPPPGGGKDLFYVSRPPLARSSPAYCTSSSDITEPEQKMLSVNKSVSMLDLQGDGPGGR LNSSSVSNLAAVGDLLHSSQASLTAALGLRPAPAGRLSQGSGSSITAAGMRLSQMGVTTD GVPAQQLRIPLSFQNPLFHMAADGPGPPAGHGGSSGHGPPSSHHHHHHHHHHRGGEPPGD TFAPFHGYSKSEDLSSGVPKPPAASILHSHSYSDEFGPSGTDFTRRQLSLQDSLQHMLSP PQITIGPQRPAPSGPGGGSGGGSGGGQPPPLQRGKSQQLTVSAAQKPRPSSGNLLQSPEP SYGPARPRQQSLSKEGSIGGSGGSGGGGGGGLKPSITKQHSQTPSTLNPTMPASERTVAW VSNMPHLSADIESAHIEREEYKLKEYSKSMDESRLDREYEEEIHSLKERLHMSNRKLEEY ERRLLSQEEQTSKILMQYQARLEQSEKRLRQQQVEKDSQIKSIIGRLMLVEEELRRDHPA MAEPLPEPKKRLLDAQERQLPPLGPTNPRVTLAPPWNGLAPPAP >ENSMUSP00000139591.1 pep:known chromosome:GRCm38:Y:2106015:2150346:-1 gene:ENSMUSG00000000103.12 transcript:ENSMUST00000187148.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfy2 description:zinc finger protein 2, Y-linked [Source:MGI Symbol;Acc:MGI:99213] MDEDEIELTPEEEKSLFDGIGADAVHMDSDQISVEVQETVFLSNSDVTVHNFVPDDPDSV IIQDVIENVLIEDVHCSHILEETDISDNVIIPEQVLDLDTAEEVSLAQFLIPDILTSSIT STSLTMPEHVLMSEAIHVSNVGHFEQVIHDSLVEREIITDPLTADISDILVADWASEAVL DSSGMPLEQQDDARINCEDYLMMSLDEPSKTDHEGSSEVTMNAESETDSSKLDEASPEVI KVCILKADSEVDDVGETIQAVESETDNGNEAEVTDQRTSIHVPRVNIYMLASDSQKEEED TKVIVGDEDAGGTAADTPEHEQQMDVSEIKAAFLPIAWTAAYDNNSDEIEVQNATASAML HHDESGGLDRVPKQKSKKKKRPESKQYQSAIFVAPDGQTLRVYPCMFCGKKFKTKRFLKR HIKNHPEYLANKKYHCTECDYSTNKKISLHNHMESHKLTIKTEKTTECDDCRKNLSHAGT MHTEKGVNKTCKCKFCDYETAEQTLLNHHLLVVHRKKFPHICGECGKGFRHPSALKKHIR VHTGEKPYECQYCEYKSADSSNLKTHIKSKHSKEIPLKCGICLLTFSDTKEAQQHAVLHQ ESRTHQCSHCNHKSSNSSDLKRHIISVHTKAYPHKCDMCSKGFHRPSELKKHVATHKSKK MHQCRHCDFKSPDPFLLSHHILSAHTKNVPFKCKRCKKEFQQQCELQTHMKTHSSRKVYQ CEYCEYSTKDASGFKRHVISIHTKDYPHRCDFCKKGFRRPSEKNQHIMRHHKEVGLA >ENSMUSP00000111557.1 pep:known chromosome:GRCm38:Y:2106175:2170409:-1 gene:ENSMUSG00000000103.12 transcript:ENSMUST00000115891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfy2 description:zinc finger protein 2, Y-linked [Source:MGI Symbol;Acc:MGI:99213] MDEDEIELTPEEEKSLFDGIGADAVHMDSDQISVEVQETVFLSNSDVTVHNFVPDDPDSV IIQDVIENVLIEDVHCSHILEETDISDNVIIPEQVLDLDTAEEVSLAQFLIPDILTSSIT STSLTMPEHVLMSEAIHVSNVGHFEQVIHDSLVEREIITDPLTADISDILVADWASEAVL DSSGMPLEQQDDARINCEDYLMMSLDEPSKTDHEGSSEVTMNAESETDSSKLDEASPEVI KVCILKADSEVDDVGETIQAVESETDNGNEAEVTDQRTSIHVPRVNIYMLASDSQKEEED TKVIVGDEDAGGTAADTPEHEQQMDVSEIKAAFLPIAWTAAYDNNSDEIEVQNATASAML HHDESGGLDRVPKQKSKKKKRPESKQYQSAIFVAPDGQTLRVYPCMFCGKKFKTKRFLKR HIKNHPEYLANKKYHCTECDYSTNKKISLHNHMESHKLTIKTEKTTECDDCRKNLSHAGT MHTEKGVNKTCKCKFCDYETAEQTLLNHHLLVVHRKKFPHICGECGKGFRHPSALKKHIR VHTGEKPYECQYCEYKSADSSNLKTHIKSKHSKEIPLKCGICLLTFSDTKEAQQHAVLHQ ESRTHQCSHCNHKSSNSSDLKRHIISVHTKAYPHKCDMCSKGFHRPSELKKHVATHKSKK MHQCRHCDFKSPDPFLLSHHILSAHTKNVPFKCKRCKKEFQQQCELQTHMKTHSSRKVYQ CEYCEYSTKDASGFKRHVISIHTKDYPHRCDFCKKGFRRPSEKNQHIMRHHKEVGLA >ENSMUSP00000034261.7 pep:known chromosome:GRCm38:8:71689214:71690575:1 gene:ENSMUSG00000079019.2 transcript:ENSMUST00000034261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insl3 description:insulin-like 3 [Source:MGI Symbol;Acc:MGI:108427] MRAPLLLMLLALGSALRSPQPPEARAKLCGHHLVRTLVRVCGGPRWSPEATQPVETRDRE LLQWLEQRHLLHALVADVDPALDPQLPLQASQRQRRSAATNAVHRCCLTGCTQQDLLGLC PH >ENSMUSP00000067200.4 pep:known chromosome:GRCm38:9:56950878:56983206:1 gene:ENSMUSG00000055334.4 transcript:ENSMUST00000068856.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snupn description:snurportin 1 [Source:MGI Symbol;Acc:MGI:1913319] MEELSQALASSFSVSQELNSTAAPHPRLCQYKSKYSSLEQSERRRQLLELQKSKRLDYVN HARRLAEDDWTGMESGEEENKKDEEEMDIDPSKKLPKRYANQLMLSEWLIDVPSDLGQEW IVVVCPVGKRALIVASRGSTSAYTKSGYCVNRFSSLLPGGNRRNSTTAKDYTILDCIYSE VNQTYYVLDVMCWRGHPFYDCQTDFRFYWMHSKLPEEEGLGEKTKINPFKFVGLKNFPCT PESLCEVLSMDFPFEVDGLLFYHKQTHYSPGSTPLVGWLRPYMVSDILGVAVPAGPLTTK PEYAGHQLQQIIEHKRSQEDTKEKLTHKASENGHYELEHLSTPKLRNPPHSSESLMDN >ENSMUSP00000121131.1 pep:known chromosome:GRCm38:12:84135666:84137105:1 gene:ENSMUSG00000042523.12 transcript:ENSMUST00000140812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnal1 description:dynein, axonemal, light chain 1 [Source:MGI Symbol;Acc:MGI:1921462] MSNNLVKDWAEFLKLAELPCLEDLVFVGNPLEEKHSAEGNWIDEATKRVPKLKKLDGECD GGGEGGFCWWGWRSSYQSRQA >ENSMUSP00000118584.1 pep:known chromosome:GRCm38:12:84114390:84140795:1 gene:ENSMUSG00000042523.12 transcript:ENSMUST00000156138.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnal1 description:dynein, axonemal, light chain 1 [Source:MGI Symbol;Acc:MGI:1921462] MAKATTIKEALSRWEEKTGQKPSDAKEIKLYAQIPPIEKMDASLSTLGNCELKMNNSSSS QIMREAFPVYKLH >ENSMUSP00000123497.1 pep:known chromosome:GRCm38:12:84114391:84133984:1 gene:ENSMUSG00000042523.12 transcript:ENSMUST00000136159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnal1 description:dynein, axonemal, light chain 1 [Source:MGI Symbol;Acc:MGI:1921462] MAKATTIKEALSRWEEKTGQKPSDAKEIKLYAQIPPIEKMDASLSTLGNCEKLSLSTNCI EKIANLNGLKNLRILSLGRNNIKNLNGLFAETREGWRSRAMKL >ENSMUSP00000037076.2 pep:known chromosome:GRCm38:12:84114371:84138457:1 gene:ENSMUSG00000042523.12 transcript:ENSMUST00000046340.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnal1 description:dynein, axonemal, light chain 1 [Source:MGI Symbol;Acc:MGI:1921462] MDASLSTLGNCEKLSLSTNCIEKIANLNGLKNLRILSLGRNNIKNLNGLEAVGETLEELW ISYNFIEKLKGIHVMKKLKILYMSNNLVKDWAEFLKLAELPCLEDLVFVGNPLEEKHSAE GNWIDEATKRVPKLKKLDGTPVIKEDEEEES >ENSMUSP00000121038.1 pep:known chromosome:GRCm38:12:84114366:84143517:1 gene:ENSMUSG00000042523.12 transcript:ENSMUST00000123491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnal1 description:dynein, axonemal, light chain 1 [Source:MGI Symbol;Acc:MGI:1921462] MAKATTIKEALSRWEEKTGQKPSDAKEIKLYAQIPPIEKMDASLSTLGNCEKLSLSTNCI EKIANLNGLKNLRILSLGRNNIKNLNGLEAVGETLEELWISYNFIEKLKGIHVMKKLKIL YMSNNLVKDWAEFLKLAELPCLEDLVFVGNPLEEKHSAEGNWIDEATKRVPKLKKLDGTP VIKEDEEEES >ENSMUSP00000105640.3 pep:known chromosome:GRCm38:8:71676296:71688556:1 gene:ENSMUSG00000031805.17 transcript:ENSMUST00000110013.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jak3 description:Janus kinase 3 [Source:MGI Symbol;Acc:MGI:99928] MAPPSEETPLIPQRSCSLSSSEAGALHVLLPPRGPGPPQRLSFSFGDYLAEDLCVRAAKA CGILPVYHSLFALATEDFSCWFPPSHIFCIEDVDTQVLVYRLRFYFPDWFGLETCHRFGL RKDLTSAILDLHVLEHLFAQHRSDLVSGRLPVGLSMKEQGEFLSLAVLDLAQMAREQAQR PGELLKTVSYKACLPPSLRDVIQGQNFVTRRRIRRTVVLALRRVVACQADRYALMAKYIL DLERLHPAATTETFRVGLPGAQEEPGLLRVAGDNGISWSSGDQELFQTFCDFPEIVDVSI KQAPRVGPAGEHRLVTVTRMDGHILEAEFPGLPEALSFVALVDGYFRLICDSRHYFCKEV APPRLLEEEAELCHGPITLDFAIHKLKAAGSLPGTYILRRSPQDYDSFLLTACVQTPLGP DYKGCLIRQDPSGAFSLVGLSQPHRSLRELLAACWNSGLRVDGAALNLTSCCAPRPKEKS NLIVVRRGCTPAPAPGCSPSCCALTQLSFHTIPTDSLEWHENLGHGSFTKIFRGRRREVV DGETHDSEVLLKVMDSRHRNCMESFLEAASLMSQVSYPHLVLLHGVCMAGDSIMVQEFVY LGAIDMYLRKRGHLVSASWKLQVTKQLAYALNYLEDKGLPHGNVSARKVLLAREGGDGNP PFIKLSDPGVSPTVLSLEMLTDRIPWVAPECLQEAQTLGLEADKWGFGATTWEVFSGGPA HITSLEPAKKLKFYEDQGQLPALKWTELAGLITQCMAYDPGRRPSFRAILRDLNGLITSD YELLSDPTPGIPSPRDELCGGAQLYACQDPAIFEERHLKYISLLGKGNFGSVELCRYDPL GDNTGPLVAVKQLQHSGPDQQRDFQREIQILKALHSDFIVKYRGVSYGPGRQSLRLVMEY LPSGCLRDFLQRHRARLHTDRLLLFAWQICKGMEYLGARRCVHRDLAARNILVESEAHVK IADFGLAKLLPLGKDYYVVREPGQSPIFWYAPESLSDNIFSRQSDVWSFGVVLYELFTYC DKSCSPSAEFLSMMGPEREGPPLCRLLELLAEGRRLPPPPTCPTEVQELMQLCWAPSPHD RPAFGTLSPQLDALWRGRPG >ENSMUSP00000060073.7 pep:known chromosome:GRCm38:8:71676296:71690575:1 gene:ENSMUSG00000031805.17 transcript:ENSMUST00000051995.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jak3 description:Janus kinase 3 [Source:MGI Symbol;Acc:MGI:99928] MAPPSEETPLIPQRSCSLSSSEAGALHVLLPPRGPGPPQRLSFSFGDYLAEDLCVRAAKA CGILPVYHSLFALATEDFSCWFPPSHIFCIEDVDTQVLVYRLRFYFPDWFGLETCHRFGL RKDLTSAILDLHVLEHLFAQHRSDLVSGRLPVGLSMKEQGEFLSLAVLDLAQMAREQAQR PGELLKTVSYKACLPPSLRDVIQGQNFVTRRRIRRTVVLALRRVVACQADRYALMAKYIL DLERLHPAATTETFRVGLPGAQEEPGLLRVAGDNGISWSSGDQELFQTFCDFPEIVDVSI KQAPRVGPAGEHRLVTVTRMDGHILEAEFPGLPEALSFVALVDGYFRLICDSRHYFCKEV APPRLLEEEAELCHGPITLDFAIHKLKAAGSLPGTYILRRSPQDYDSFLLTACVQTPLGP DYKGCLIRQDPSGAFSLVGLSQPHRSLRELLAACWNSGLRVDGAALNLTSCCAPRPKEKS NLIVVRRGCTPAPAPGCSPSCCALTQLSFHTIPTDSLEWHENLGHGSFTKIFRGRRREVV DGETHDSEVLLKVMDSRHRNCMESFLEAASLMSQVSYPHLVLLHGVCMAGDSIMVQEFVY LGAIDMYLRKRGHLVSASWKLQVTKQLAYALNYLEDKGLPHGNVSARKVLLAREGGDGNP PFIKLSDPGVSPTVLSLEMLTDRIPWVAPECLQEAQTLGLEADKWGFGATTWEVFSGGPA HITSLEPAKKLKFYEDQGQLPALKWTELAGLITQCMAYDPGRRPSFRAILRDLNGLITSD YELLSDPTPGIPSPRDELCGGAQLYACQDPAIFEERHLKYISLLGKGNFGSVELCRYDPL GDNTGPLVAVKQLQHSGPDQQRDFQREIQILKALHSDFIVKYRGVSYGPGRQSLRLVMEY LPSGCLRDFLQRHRARLHTDRLLLFAWQICKGMEYLGARRCVHRDLAARNILVESEAHVK IADFGLAKLLPLGKDYYVVREPGQSPIFWYAPESLSDNIFSRQSDVWSFGVVLYELFTYC DKSCSPSAEFLSMMGPEREGPPLCRLLELLAEGRRLPPPPTCPTEVQELMQLCWAPSPHD RPAFGTLSPQLDALWRGRPG >ENSMUSP00000105639.1 pep:known chromosome:GRCm38:8:71677551:71688558:1 gene:ENSMUSG00000031805.17 transcript:ENSMUST00000110012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jak3 description:Janus kinase 3 [Source:MGI Symbol;Acc:MGI:99928] MAPPSEETPLIPQRSCSLSSSEAGALHVLLPPRGPGPPQRLSFSFGDYLAEDLCVRAAKA CGILPVYHSLFALATEDFSCWFPPSHIFCIEDVDTQVLVYRLRFYFPDWFGLETCHRFGL RKDLTSAILDLHVLEHLFAQHRSDLVSGRLPVGLSMKEQGEFLSLAVLDLAQMAREQAQR PGELLKTVSYKACLPPSLRDVIQGQNFVTRRRIRRTVVLALRRVVACQADRYALMAKYIL DLERLHPAATTETFRVGLPGAQEEPGLLRVAGDNGISWSSGDQELFQTFCDFPEIVDVSI KQAPRVGPAGEHRLVTVTRMDGHILEAEFPGLPEALSFVALVDGYFRLICDSRHYFCKEV APPRLLEEEAELCHGPITLDFAIHKLKAAGSLPGTYILRRSPQDYDSFLLTACVQTPLGP DYKGCLIRQDPSGAFSLVGLSQPHRSLRELLAACWNSGLRVDGAALNLTSCCAPRPKEKS NLIVVRRGCTPAPAPGCSPSCCALTQLSFHTIPTDSLEWHENLGHGSFTKIFRGRRREVV DGETHDSEVLLKVMDSRHRNCMESFLEAASLMSQVSYPHLVLLHGVCMAGDSIMVQEFVY LGAIDMYLRKRGHLVSASWKLQVTKQLAYALNYLEDKGLPHGNVSARKVLLAREGGDGNP PFIKLSDPGVSPTVLSLEMLTDRIPWVAPECLQEAQTLGLEADKWGFGATTWEVFSGGPA HITSLEPAKKLKFYEDQGQLPALKWTELAGLITQCMAYDPGRRPSFRAILRDLNGLITSD YELLSDPTPGIPSPRDELCGGAQLYACQDPAIFEERHLKYISLLGKGNFGSVELCRYDPL GDNTGPLVAVKQLQHSGPDQQRDFQREIQILKALHSDFIVKYRGVSYGPGRQSLRLVMEY LPSGCLRDFLQRHRARLHTDRLLLFAWQICKGMEYLGARRCVHRDLAARNILVESEAHVK IADFGLAKLLPLGKDYYVVREPGQSPIFWYAPESLSDNIFSRQSDVWSFGVVLYELFTYC DKSCSPSAEFLSMMGPEREGPPLCRLLELLAEGRRLPPPPTCPTEVQELMQLCWAPSPHD RPAFGTLSPQLDALWRGRPG >ENSMUSP00000117306.1 pep:known chromosome:GRCm38:7:141333314:141336813:1 gene:ENSMUSG00000025505.16 transcript:ENSMUST00000128906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem80 description:transmembrane protein 80 [Source:MGI Symbol;Acc:MGI:1918698] MLFHLSGLYSALYFLATLLMIVYKSTKGNLMEAEVPLAASLAFTAVGGLLSVHFLLWQTL VLWMDSVLSTVLLVLHGLEAGLQVVVIADFIR >ENSMUSP00000118223.1 pep:known chromosome:GRCm38:7:141333381:141336067:1 gene:ENSMUSG00000025505.16 transcript:ENSMUST00000132061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem80 description:transmembrane protein 80 [Source:MGI Symbol;Acc:MGI:1918698] MLFHLSGLYSALYFLATLLMIVYKSQVFSYPCNCLALDLVLLLLMGILKVAQLYLGTKGN LMEAEVPLAASLAFTAVGGLLSVHFLLWQTLVLWMDSVLSTVLLVLHGLEAGLQVVVIAD FIR >ENSMUSP00000116695.1 pep:known chromosome:GRCm38:7:141328130:141336811:1 gene:ENSMUSG00000025505.16 transcript:ENSMUST00000133012.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem80 description:transmembrane protein 80 [Source:MGI Symbol;Acc:MGI:1918698] MLFHLSGLYSALYFLATLLMIVYKSQVFSYPCNCLALDLVLLLLMGILKVAQLYLGTKGN LMEAEVPLAASLAFTAVGGLLSVHFLLWQTLVLWMDSVLSTVLLVLHGLEAGLQVVVIAD FIR >ENSMUSP00000026578.7 pep:known chromosome:GRCm38:7:141328130:141337156:1 gene:ENSMUSG00000025505.16 transcript:ENSMUST00000026578.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem80 description:transmembrane protein 80 [Source:MGI Symbol;Acc:MGI:1918698] MLFHLSGLYSALYFLATLLMIVYKSQVFSYPCNCLALDLVLLLLMGILKVAQLYLGTKGN LMEAEVPLAASLAFTAVGGLLSVHFLLWQTLVLWMDSVLSTVLLVLHGLEAGLQVVVIAD FIR >ENSMUSP00000117633.1 pep:known chromosome:GRCm38:7:141327888:141337156:1 gene:ENSMUSG00000025505.16 transcript:ENSMUST00000145184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem80 description:transmembrane protein 80 [Source:MGI Symbol;Acc:MGI:1918698] MLFHLSGLYSALYFLATLLMIVYKSTKGNLMEAEVPLAASLAFTAVGGLLSVHFLLWQTL VLWMDSVLSTVLLVLHGLEAGLQVVVIADFIR >ENSMUSP00000123330.1 pep:known chromosome:GRCm38:7:141327888:141336217:1 gene:ENSMUSG00000025505.16 transcript:ENSMUST00000126510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem80 description:transmembrane protein 80 [Source:MGI Symbol;Acc:MGI:1918698] MLFHLSGLYSALYFLATLLMIVYKSQVFSYPCNCLALDLVLLLLMGILKVAQLYLGTKGN LMEAEVPLAASLAFTAVGGLLSVHFLLWQTLVLWMDSVLSTVLLVLHGLEAGLQVVVIAD FIR >ENSMUSP00000118523.1 pep:known chromosome:GRCm38:5:121828568:121836264:-1 gene:ENSMUSG00000042594.16 transcript:ENSMUST00000137682.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b3 description:SH2B adaptor protein 3 [Source:MGI Symbol;Acc:MGI:893598] MNEPTVQPSRTSSAPASPASPRGWSDFCEQHAAAAARELARQYWLFARAHPQPPRADLVS LQFAELFQRHFCREVRESLAGPPGHDYRATAPPRPALPKARSSEDLGPRPACALQHLRRG LRQLFRRRSAGELPGATSDTNDIDTTAASRPGPARKLLPWG >ENSMUSP00000142666.1 pep:known chromosome:GRCm38:5:121819107:121837646:-1 gene:ENSMUSG00000042594.16 transcript:ENSMUST00000197892.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b3 description:SH2B adaptor protein 3 [Source:MGI Symbol;Acc:MGI:893598] MNEPTVQPSRTSSAPASPASPRGWSDFCEQHAAAAARELARQYWLFARAHPQPPRADLVS LQFAELFQRHFCREVRESLAGPPGHDYRATAPPRPALPKARSSEDLGPRPACALQHLRRG LRQLFRRRSAGELPGATSDTNDIDTTAASRPGPARKLLPWGLREPPTEALKEVVLRYSLA DEAAMDSGARWQRGRLVLRSPGPGHSHFLQLFDPPKSSKPKLQEACSSIREVRPCTRLEM PDNLYTFVLK >ENSMUSP00000041611.7 pep:known chromosome:GRCm38:5:121817216:121836850:-1 gene:ENSMUSG00000042594.16 transcript:ENSMUST00000040308.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b3 description:SH2B adaptor protein 3 [Source:MGI Symbol;Acc:MGI:893598] MNEPTVQPSRTSSAPASPASPRGWSDFCEQHAAAAARELARQYWLFARAHPQPPRADLVS LQFAELFQRHFCREVRESLAGPPGHDYRATAPPRPALPKARSSEDLGPRPACALQHLRRG LRQLFRRRSAGELPGATSDTNDIDTTAASRPGPARKLLPWGLREPPTEALKEVVLRYSLA DEAAMDSGARWQRGRLVLRSPGPGHSHFLQLFDPPKSSKPKLQEACSSIREVRPCTRLEM PDNLYTFVLKVQDQTDIIFEVGDEQQLNSWLAELRASTGLGLEHPDTELPLSLAAEPGPA RSPRGSTDSLDQGASPGVLLDPACQKTDHFLSCYPWFHGPISRVRAAQLVQLQGPDAHGV FLVRQSESRRGEYVLTFNLQGRAKHLRLVLTERGQCRVQHLHFPSVVDMLRHFQRSPIPL ECGAACDVRLSGYVVVLSQAPGSSNTVLFPFSLPHWDSELGHPHLSSVGCPPSHGAEALP GQVTPPEQIFHLVPSPEELANSLRQLELESVSSARDSDYDMDSSSRGHLRAIDNQYTPLS QLCREADV >ENSMUSP00000113808.1 pep:known chromosome:GRCm38:5:121817290:121836817:-1 gene:ENSMUSG00000042594.16 transcript:ENSMUST00000118580.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b3 description:SH2B adaptor protein 3 [Source:MGI Symbol;Acc:MGI:893598] MNEPTVQPSRTSSAPASPASPRGWSDFCEQHAAAAARELARQYWLFARAHPQPPRADLVS LQFAELFQRHFCREVRESLAGPPGHDYRATAPPRPALPKARSSEDLGPRPACALQHLRRG LRQLFRRRSAGELPGATSDTNDIDTTAASRPGPARKLLPWGLREPPTEALKEVVLRYSLA DEAAMDSGARWQRGRLVLRSPGPGHSHFLQLFDPPKSSKPKLQEACSSIREVRPCTRLEM PDNLYTFVLKVQDQTDIIFEVGDEQQLNSWLAELRASTGLGLEHPDTELPLSLAAEPGPA RSPRGSTDSLDQACQKTDHFLSCYPWFHGPISRVRAAQLVQLQGPDAHGVFLVRQSESRR GEYVLTFNLQGRAKHLRLVLTERGQCRVQHLHFPSVVDMLRHFQRSPIPLECGAACDVRL SGYVVVLSQAPGSSNTVLFPFSLPHWDSELGHPHLSSVGCPPSHGAEALPGQVTPPEQIF HLVPSPEELANSLRQLELESVSSARDSDYDMDSSSRGHLRAIDNQYTPLSQLCREADV >ENSMUSP00000113926.1 pep:known chromosome:GRCm38:5:121817010:121836104:-1 gene:ENSMUSG00000042594.16 transcript:ENSMUST00000122426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b3 description:SH2B adaptor protein 3 [Source:MGI Symbol;Acc:MGI:893598] MNEPTVQPSRTSSAPASPASPRGWSDFCEQHAAAAARELARQYWLFARAHPQPPRADLVS LQFAELFQRHFCREVRESLAGPPGHDYRATAPPRPALPKARSSEDLGPRPACALQHLRRG LRQLFRRRSAGELPGATSDTNDIDTTAASRPGPARKLLPWGLREPPTEALKEVVLRYSLA DEAAMDSGARWQRGRLVLRSPGPGHSHFLQLFDPPKSSKPKLQEACSSIREVRPCTRLEM PDNLYTFVLKVQDQTDIIFEVGDEQQLNSWLAELRASTGLGLEHPDTELPLSLAAEPGPA RSPRGSTDSLDQGASPGVLLDPACQKTDHFLSCYPWFHGPISRVRAAQLVQLQGPDAHGV FLVRQSESRRGEYVLTFNLQGRAKHLRLVLTERGQCRVQHLHFPSVVDMLRHFQRSPIPL ECGAACDVRLSGYVVVLSQAPGSSNTVLFPFSLPHWDSELGHPHLSSVGCPPSHGAEALP GQVTPPEQIFHLVPSPEELANSLRQLELESVSSARDSDYDMDSSSRGHLRAIDNQYTPLS QLCREADV >ENSMUSP00000083490.1 pep:known chromosome:GRCm38:5:121815648:121836859:-1 gene:ENSMUSG00000042594.16 transcript:ENSMUST00000086310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b3 description:SH2B adaptor protein 3 [Source:MGI Symbol;Acc:MGI:893598] MNEPTVQPSRTSSAPASPASPRGWSDFCEQHAAAAARELARQYWLFARAHPQPPRADLVS LQFAELFQRHFCREVRESLAGPPGHDYRATAPPRPALPKARSSEDLGPRPACALQHLRRG LRQLFRRRSAGELPGATSDTNDIDTTAASRPGPARKLLPWGLREPPTEALKEVVLRYSLA DEAAMDSGARWQRGRLVLRSPGPGHSHFLQLFDPPKSSKPKLQEACSSIREVRPCTRLEM PDNLYTFVLKVQDQTDIIFEVGDEQQLNSWLAELRASTGLGLEHPDTELPLSLAAEPGPA RSPRGSTDSLDQGASPGVLLDPACQKTDHFLSCYPWFHGPISRVRAAQLVQLQGPDAHGV FLVRQSESRRGEYVLTFNLQGRAKHLRLVLTERGQCRVQHLHFPSVVDMLRHFQRSPIPL ECGAACDVRLSGYVVVLSQAPGSSNTVLFPFSLPHWDSELGHPHLSSVGCPPSHGAEALP GQVTPPEQIFHLVPSPEELANSLRQLELESVSSARDSDYDMDSSSRGHLRAIDNQYTPLS QLCREADV >ENSMUSP00000143505.1 pep:known chromosome:GRCm38:5:121816312:121828741:-1 gene:ENSMUSG00000042594.16 transcript:ENSMUST00000198161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b3 description:SH2B adaptor protein 3 [Source:MGI Symbol;Acc:MGI:893598] XRTWARGPPVPCSTCAAACASSSAAARQGSCPGLPVTPMTSTPPQPAGRARPASCYPGAC ESRPLRRSRRSYCAIAWRTRQQWTAAHAGSGVAWCFGLQIRL >ENSMUSP00000119086.1 pep:known chromosome:GRCm38:5:121815488:121836825:-1 gene:ENSMUSG00000042594.16 transcript:ENSMUST00000136960.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh2b3 description:SH2B adaptor protein 3 [Source:MGI Symbol;Acc:MGI:893598] MNEPTVQPSRTSSAPASPASPRGWSDFCEQHAAAAARELARQYWLFARAHPQPPRADLVS LQFAELFQRHFCREVRESLAGPPGHDYRATAPPRPALPKARSSEDLGPRPACALQHLRRG LRQLFRRRSAGELPGATSDTNDIDTTAASRPGPARKLLPWGLREPPTEALKEVVLRYSLA DEAAMDSGARWQRGRLVLRSPGPGHSHFLQLFDPPKSSKPKLQEACSSIREVRPCTRLEM PDNLYTFVLKVQDQTDIIFEVGDEQQLNSWLAELRASTGLGLEHPDTELPLSLAAEPGPA RSPRGSTDSLDQGMCQVLGLWVSLDMWPPCGSPPPSFRQVLHLGCCWTQPARKQITSYPA TPGSTAPSPG >ENSMUSP00000037754.5 pep:known chromosome:GRCm38:2:35084046:35100685:-1 gene:ENSMUSG00000035875.14 transcript:ENSMUST00000045776.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI182371 description:expressed sequence AI182371 [Source:MGI Symbol;Acc:MGI:2138853] MVLIPSQAMGFWGTLLFLIFLEQSWGQEQTYIISTPIVFRVGAPENVTVQAHGHTEAFDT TVSVKSYPDENVRYSFSTVNLSPENKFQNTAILTIQAKQLSEGQNSFSNSYLEVVSKHFA KLEIVPIIYDNDSLFVQTDKSVYTPQQPVKVRVYSVNDDLEPATRETVLTFIDPEGSQVD TIEGNNLTGIASFPDFEIPSNPKHGRWTVKAKYREDASKTGTTYFEVKEYDKTYRISIMP TIDLQPEVEKQEAHGMCLHQPTECLRQKINEQASTYKHPMIKKCCYDGARYNIHETCVQR AARVKIGPICVKAFTLCCNMAHQILENSTFKHIHLSSHSNHQAEHGDPQWRSQGKD >ENSMUSP00000144276.1 pep:known chromosome:GRCm38:2:35086101:35100684:-1 gene:ENSMUSG00000035875.14 transcript:ENSMUST00000134940.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:AI182371 description:expressed sequence AI182371 [Source:MGI Symbol;Acc:MGI:2138853] MVLIPSQAMGFWGTLLFLIFLEQSWGQEQTFRPNSYLKDKTHFQIRI >ENSMUSP00000143208.1 pep:known chromosome:GRCm38:9:110709894:110711587:1 gene:ENSMUSG00000019659.8 transcript:ENSMUST00000200296.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc12 description:coiled-coil domain containing 12 [Source:MGI Symbol;Acc:MGI:1919904] XEEKVKEQLEAAKPEPVIEEVDLANLAPRKPDWDLKRDVAKKLEKLEKRTQRAIAELIRK CRLPRGLGPALRTVASAQPFPLVCSPSR >ENSMUSP00000142985.1 pep:known chromosome:GRCm38:9:110656538:110711255:1 gene:ENSMUSG00000019659.8 transcript:ENSMUST00000196347.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc12 description:coiled-coil domain containing 12 [Source:MGI Symbol;Acc:MGI:1919904] MAAAPAGVGRLEEEALRRKERLKALREKTGRKASVVAVAVSLGIADLWDPADSSGLGLRL RNYVPEDEDLKRRRVPQAKPVAVEEKVKEQLEAAKPEPVIEEVDLANLAPRKPDWDLKRD VAKKLEKLEKRTQRAIAELIRE >ENSMUSP00000019803.4 pep:known chromosome:GRCm38:9:110656503:110711606:1 gene:ENSMUSG00000019659.8 transcript:ENSMUST00000019803.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc12 description:coiled-coil domain containing 12 [Source:MGI Symbol;Acc:MGI:1919904] MAAAPAGVGRLEEEALRRKERLKALREKTGRKDREDGEPQTKQLREEGEEVGKHRGLRLR NYVPEDEDLKRRRVPQAKPVAVEEKVKEQLEAAKPEPVIEEVDLANLAPRKPDWDLKRDV AKKLEKLEKRTQRAIAELIRERLKGQEDSLASAVDATTGQEACDSD >ENSMUSP00000131632.1 pep:known chromosome:GRCm38:10:74957477:75032586:-1 gene:ENSMUSG00000009070.14 transcript:ENSMUST00000166088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph14 description:radial spoke head homolog 14 (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1918486] MGQALWLADTRRLHALSSFYRGSFSKPFGRCEKMAHARISMYMPPDIDPTKAAIAYGCRA LSKLNEELQSRDLLTRQKALVALCDLMHDPEYVYEAINIGCLESLKTLLQDDDNLVRIKT TEVLYIMATHYVGRVGFLKHDIIQALSLLLSDHQTLCRENLHQAYKHLAQLPKGAQGIVQ SGLIPSLVRKLQKEEDHIQEIILDTLALCLQEDATEALESQAVPCLKEKLLSQNSEIRSK AARALIAISIPLDGKNQVWKNKVIPILVTLLSDTDEEVKANAAGALMHATVTTEGKYAAL DANAIEPLLELLSTNPKTKLCLNATKALTMLAEAPEGRKLLLSHVPIFRYLLAHKNEAIQ RAAEVAIKVIEWKP >ENSMUSP00000136715.1 pep:known chromosome:GRCm38:10:74957477:75032553:-1 gene:ENSMUSG00000009070.14 transcript:ENSMUST00000179546.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph14 description:radial spoke head homolog 14 (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1918486] MAHARISMYMPPDIDPTKAAIAYGCRALSKLNEELQSRDLLTRQKALVALCDLMHDPEYV YEAINIGCLESLKTLLQDDDNLVRIKTTEVLYIMATHYVGRVGFLKHDIIQALSLLLSDH QTLCRENLHQAYKHLAQLPKGAQGIVQSGLIPSLVRKLQKEEDHIQEIILDTLALCLQED ATEALESQAVPCLKEKLLSQNSEIRSKAARALIAISIPLDGKNQVWKNKVIPILVTLLSD TDEEVKANAAGALMHATVTTEGKYAALDANAIEPLLELLSTNPKTKLCLNATKALTMLAE APEGRKLLLSHVPIFRYLLAHKNEAIQRAAEVAIKVIEWKP >ENSMUSP00000123760.1 pep:known chromosome:GRCm38:10:74961671:75032562:-1 gene:ENSMUSG00000009070.14 transcript:ENSMUST00000160072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph14 description:radial spoke head homolog 14 (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1918486] MAHARISMYMPPDIDPTKAAIAYGCRALSKLNEELQSRDLLTRQKALVALCDLMHDPEYV YEAINIGCLESLKTLLQDDDNLVRIKTTEVLYIMATHYVGRVGFLKHDIIQALSLLLSDH QTLCRENLHQAYKHLAQLPKGAQGIVQSGLIPSLVRKLQKE >ENSMUSP00000125289.1 pep:known chromosome:GRCm38:10:74959672:75032553:-1 gene:ENSMUSG00000009070.14 transcript:ENSMUST00000160450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph14 description:radial spoke head homolog 14 (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1918486] MAHARISMYMPPDIDPTKAAIAYGCRALSKLNEELQSRDLLTRQKALVALCDLMHDPEYV YEAINIGCLESLKTLLQDDDNLVRIKTTEVLYIMATHYVGRVGFLKHDIIQALSLLLSDH QTLCRENLHQAYKHLAQLPKGAQGIVQSGLIPSLVRKLQKEEDHIQEIILDTLALCLQED ATEALESQAVPCLKEKLLSQNSEIRSKAARALIAISIPLDGKNQVW >ENSMUSP00000125669.1 pep:known chromosome:GRCm38:10:75022304:75032528:-1 gene:ENSMUSG00000009070.14 transcript:ENSMUST00000159994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph14 description:radial spoke head homolog 14 (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1918486] MAHARISMYMPPDIDPTKAAIAYGCRALSKLNEELQSRDLLTRQKALVALCDLMHDPEYV YEAINIGCLESLKTLLQDDDNLVRIKTTEVLYIMATHYVGRVGFLKHDIIQALSLLLSDH QTLCRENLHQAYKHLAQLPKGILRLQILATAFAF >ENSMUSP00000009214.3 pep:known chromosome:GRCm38:10:74957477:75032565:-1 gene:ENSMUSG00000009070.14 transcript:ENSMUST00000009214.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph14 description:radial spoke head homolog 14 (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1918486] MAHARISMYMPPDIDPTKAAIAYGCRALSKLNEELQSRDLLTRQKALVALCDLMHDPEYV YEAINIGCLESLKTLLQDDDNLVRIKTTEVLYIMATHYVGRVGFLKHDIIQALSLLLSDH QTLCRENLHQAYKHLAQLPKGAQGIVQSGLIPSLVRKLQKEEDHIQEIILDTLALCLQED ATEALESQAVPCLKEKLLSQNSEIRSKAARALIAISIPLDGKNQVWKNKVIPILVTLLSD TDEEVKANAAGALMHATVTTEGKYAALDANAIEPLLELLSTNPKTKLCLNATKALTMLAE APEGRKLLLSHVPIFRYLLAHKNEAIQRAAEVAIKVIEWKP >ENSMUSP00000143845.1 pep:known chromosome:GRCm38:5:150451130:150497753:1 gene:ENSMUSG00000056602.11 transcript:ENSMUST00000200863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fry description:FRY microtubule binding protein [Source:MGI Symbol;Acc:MGI:2443895] XAVTRSASSTSSGSNSNVLVPVSWKRPQYSQKRTKEKLVHVLSLCGQEVGLSKNPSVIFS SCGDLDLPEHQTSLVSSEDGPREQENMDDTNSEQQFRVFRDFDFLDVELEDGEGESMDNF NWGVRRRSLDSLDKCDMQILEERQLSRSTPSLNKMSHEDSDESSEEDLTASQILEHSDLI MNLSPSEEANPMELLTSACDSAPADPHSFNTRMANFEASLPDINNLQISEGSKAEAVPEE EDTTVHEDDLSSSINELPAAFECSDSFSLDMTEAEEKGNRGLDQYTLASFGEGDRGVSPP PSPFFSAILAAFQPAACDDAEEAWRSHINQLMCDSDGSCAVYTFHVFSSLFKNIQKRFCF LTCDAASYLGDNLRGIGSKFVSSSQMLTSCSECPTLFVDAETLLSCGLLDKLKFSVLELQ EYLDTYNNRKEATLSWLANCKATFAGGSRDGVITCQPGDSEEKQLELCQRLYKLHFQLLL LYQSYCKLIGQVHEVSSVPELLNMSRELSDLKRNLKEATAAIATDPLYIEGAWSEPTFTS TEAAIQSMLECLKNNELGKALRQIKECRSLWPNDIFGSSSDDEVQTLLNIYFRHQTLGQT GTYALVGSNHSLTEICTKLMELNMEIRDMIRRAQNYRVLTAFLPDSSVSGTSL >ENSMUSP00000144657.1 pep:known chromosome:GRCm38:5:150436930:150497753:1 gene:ENSMUSG00000056602.11 transcript:ENSMUST00000202566.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fry description:FRY microtubule binding protein [Source:MGI Symbol;Acc:MGI:2443895] IQACTQQGLSSKTRSNSSLKESLTDPSHVSHPTNLLATIFWVTVALMESDFEFEYLMALR LLNRLLAHMPLEKAENREKLEKLQAQLKWADFPGLQQLLLKGFTSLTTTDLTLQLFSLLT SVSKVPMVDSSQAIGFPLNVLCLLPQLIQHFENPNQFCKDIAERIAQVCLEEKNPKLSNL AHVMTLYKTHSYTRDCATWVNVVCRYLHEAYADITLNMVTYLAELLEKGLPSMQQPLLQV IYSLLSYMDLSVVPVKQFNMEVLKTIEKYVQSIHWREALNILKLVVSRSASLVLPSYQHS DLSKIELHRVWTSASKELPGKTLDFHFDISETPIIGRRYDELQNSSGRDGKPRAMAVTRS ASSTSSGSNSNVLVPVSWKRPQYSQKRTKEKLVHVLSLCGQEVGLSKNPSVIFSSCGDLD LPEHQTSLVSSEDGPREQENMDDTNSEQQFRVFRDFDFLDVELEDGEELQGESMDNFNWG VRRRSLDSLDKCDMQILEERQLSRSTPSLNKMSHEDSDESSEEDLTASQILEHSDLIMNL SPSEEANPMELLTSACDSAPADPHSFNTRMANFEASLPDINNLQISEGSKAEAVPEEEDT TVHEDDLSSSINELPAAFECSDSFSLDMTEAEEKGNRGLDQYTLASFGEGDRGVSPPPSP FFSAILAAFQPAACDDAEEAWRSHINQLMCDSDGSCAVYTFHVFSSLFKNIQKRFCFLTC DAASYLGDNLRGIGSKFVSSSQMLTSCSECPTLFVDAETLLSCGLLDKLKFSVLELQEYL DTYNNRKEATLSWLANCKATFAGGSRDGVITCQPGDSEEKQMESLAQLELCQRLYKLHFQ LLLLYQSYCKLIGQVHEVSSVPELLNMSRELSDLKRNLKEATAAIATDPLYIEGAWSEPT FTSTEAAIQSMLECLKNNELGKALRQIKECRSLWPNDIFGSSSDDEVQTLLNIYFRHQTL GQTGTYALVGSNHSLTEICTKLMELNMEIRDMIRRAQNYRVLTAFLPDSSVSGTSL >ENSMUSP00000084454.5 pep:known chromosome:GRCm38:5:150259767:150497753:1 gene:ENSMUSG00000056602.11 transcript:ENSMUST00000087204.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fry description:FRY microtubule binding protein [Source:MGI Symbol;Acc:MGI:2443895] MASQQDSGFFEISIKYLLKSWSNASPVGNGYIKPPVPPASGTHREKGPPAMLPINVDPDS KPGEYVLKSLFVNFTTQAERKIRIIMAEPLEKPLTKSLQRGEDPQFDQVISSMSSLSEYC LPSILRTLFDWYKRQNGIEDESHEYRPRTSNKSKSDEQQRDYLMERRDLAIDFIFSLVLI EVLKQIPLHPVIDSLIHDIINLAFKHFKYKEGYLGPNTGNMHIVADLYAEVIGVLAQAKF PAVKKKFMAELKELRHKEQSPYVVQSIISLIMGMKFFRIKMYPVEDFEASLQFMQECAHY FLEVKDKDIKHALAGLFVEILVPVAAAVKNEVNVPCLRNFVESLYDTTLELSSRKKHSLA LYPLVTCLLCVSQKQLFLNRWHVFLNNCLSNLKNKDPKMARVALESLYRLLWVYMIRIKC ESNTATQSRLITITTTLFPKGSRGVVPRDMPLNIFVKIIQFIAQERLDFAMKEIIFDFLC VGKPAKAFSLNPERMNIGLRAFLVIADSLQQKDGEPPMPVTGAVLPSGNTLRVKKTYLSK TLTEEEAKMIGMSLYYSQVRKAVGNILRHLDKEVGRCMMLTNVQMLNKEPEDMITGERKP KIDLFRTCVAAIPRLLPDGMSKLELIDLLARLSIHMDDELRHIAQNSLQGLLVDFSDWRE DVLFGFTNFLLREVNDMHHTLLDSSLKLLLQLLTQWKLVIQTQGRAYEQANKIRNSELIP NGSSHRMQSERGPHCSVLHAVEGFALVLLCSFQVATRKLSVLILKEIRALFLALGQPEDD DRPMIDVMDQLSSSILESFIHVAVSDSATLPPTHNVDLQWLVEWNAVLVNSHYDVKSPSH VWIFAQSVKDPWVLCLFSFLRQENLPKHCPTALSYAWPYAFTRLQSVMPLVDPNSPVNAK KTSTASSGDNYVTLWRNYLILCFGVAKPSIMSPGHLRASTPEIMATTPDGTVSYDNKAIG TPSVGVLLKQLVPLMRLESIEITESLVLGFGRTNSLVFRELVEELHPLMKEALERRPENK KRRERRDLLRLQLLRIFELLADAGVISDSTNGALERDTLALGALFLEYVDLTRMLLEAEN DKEVEILKDIRAHFSAMVANLIQCVPVHHRRFLFPQQSLRHHLFILFSQWAGPFSIMFTP LDRYSDRNHQITRYQYCALKAMSAVLCCGPVFDNVGLSPDGYLYKWLDNILACQDLRVHQ LGCEVVMLLLELNPDQINLFNWAIDRCYTGSYQLASGCFKAIATVCGNRNYPFDIVTLLN LVLFKASDTNREIYEVSMQLMQILEAKLFVHSKKVAEQRPGSILYGTHGPLPPLYSVSLA LLSCELARMYPELTLPLFSEVSQRFPTTHPNGRQIMLTYLLPWLHNIELVDSRLLLPGSS PSSPEDEVKDREGEVTASHGLKGNGWGSPEATSLVLNNLMYMTAKYGDEVPGAEMENAWN ALANNEKWSNNLRVTLQFLISLCGVSSDTILLPYIKKVATYLCRNNTIQTMEELLFELQQ TEPVNPIVQHCDNPPFYRFTASSKASAAASGTTSSSNTVVAGQDSFPDPEESKILKESDD RFSNVIRAHTRLESRYSNSSGGSYDEDKNDPISPYTGWLLSITEAKQPQPLPMPCSGGCW APLVDYLPETITPRGPLHRCNIAVIFMTEMVVDHSVREDWALHLPLLLHAVFLGLDHYRP EVFEHSKKLLLHLLIALSCNSNFHAIASVLLQTREMGEAKTLTMQPAYQPEYLYTGGFDF LREDQSSPVPDSGLNSSSTSSSISLGGSSGNLPQMTQEVEDVEAATETDEKASKLIEFLT TRAFGPLWCHEDITPKNQNSKSAEQLSNFLRHVVSVFKDSRSGFHLEQHLSEVALQTALA SSSRHYAGRSFQIFRALKQPLSAHALSDLLSRLVEVIGEHGDEIQGYVMEALLTLEAAVD NLSDCLKNSDLFTVLSRSSSPDLSSSSKLTASRKSTGQLNVNPGTPGSGGGGGGSGNTTT AERSRHQRSFSVPKKFGVVDRSSDPPRSATLDRIQACTQQGLSSKTRSNSSLKESLTDPS HVSHPTNLLATIFWVTVALMESDFEFEYLMALRLLNRLLAHMPLEKAENREKLEKLQAQL KWADFPGLQQLLLKGFTSLTTTDLTLQLFSLLTSVSKVPMVDSSQAIGFPLNVLCLLPQL IQHFENPNQFCKDIAERIAQVCLEEKNPKLSNLAHVMTLYKTHSYTRDCATWVNVVCRYL HEAYADITLNMVTYLAELLEKGLPSMQQPLLQVIYSLLSYMDLSVVPVKQFNMEVLKTIE KYVQSIHWREALNILKLVVSRSASLVLPSYQHSDLSKIELHRVWTSASKELPGKTLDFHF DISETPIIGRRYDELQNSSGRDGKPRAMAVTRSASSTSSGSNSNVLVPVSWKRPQYSQKR TKEKLVHVLSLCGQEVGLSKNPSVIFSSCGDLDLPEHQTSLVSSEDGPREQENMDDTNSE QQFRVFRDFDFLDVELEDGEGESMDNFNWGVRRRSLDSLDKCDMQILEERQLSRSTPSLN KMSHEDSDESSEEDLTASQILEHSDLIMNLSPSEEANPMELLTSACDSAPADPHSFNTRM ANFEASLPDINNLQISEGSKAEAVPEEEDTTVHEDDLSSSINELPAAFECSDSFSLDMTE AEEKGNRGLDQYTLASFGEGDRGVSPPPSPFFSAILAAFQPAACDDAEEAWRSHINQLMC DSDGSCAVYTFHVFSSLFKNIQKRFCFLTCDAASYLGDNLRGIGSKFVSSSQMLTSCSEC PTLFVDAETLLSCGLLDKLKFSVLELQEYLDTYNNRKEATLSWLANCKATFAGGSRDGVI TCQPGDSEEKQLELCQRLYKLHFQLLLLYQSYCKLIGQVHEVSSVPELLNMSRELSDLKR NLKEATAAIATDPLYIEGAWSEPTFTSTEAAIQSMLECLKNNELGKALRQIKECRSLWPN DIFGSSSDDEVQTLLNIYFRHQTLGQTGTYALVGSNHSLTEICTKLMELNMEIRDMIRRA QNYRVLTAFLPDSSVSGTSL >ENSMUSP00000144317.1 pep:known chromosome:GRCm38:5:150196466:150340420:1 gene:ENSMUSG00000056602.11 transcript:ENSMUST00000202600.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fry description:FRY microtubule binding protein [Source:MGI Symbol;Acc:MGI:2443895] MAVDDSNLYSRTKNKLNSSQNEFKSLRRSSLPLELPIGNHVKGKLQRNIKNLEPFHLRRP KKRPSIFPASPVGNGYIKPPVPPASGTHREKGPPAMLPINVDPDSKPGEYVLKSLFVNFT TQAERKIRIIMAEPLEKPLTKSLQRGEDPQFDQVISSMSSLSEYCLPSILRTLFD >ENSMUSP00000144277.1 pep:known chromosome:GRCm38:5:150118667:150345924:1 gene:ENSMUSG00000056602.11 transcript:ENSMUST00000202530.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fry description:FRY microtubule binding protein [Source:MGI Symbol;Acc:MGI:2443895] MLPINVDPDSKPGEYVLKSLFVNFTTQAERKIRIIMAEPLEKPLTKSLQRGEDPQFDQVI SSMSSLSEYCLPSILRTLFDWYKRQNGIEDESHEYRPRTSNKSKSDEQQRDYLMERRDLA IDFIFSLVLIEVLKQIPLHPVIDSLIHDIINLAFKHFKY >ENSMUSP00000144674.1 pep:known chromosome:GRCm38:5:150118645:150340445:1 gene:ENSMUSG00000056602.11 transcript:ENSMUST00000200960.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fry description:FRY microtubule binding protein [Source:MGI Symbol;Acc:MGI:2443895] MLPINVDPDSKPGEYVLKSLFVNFTTQAERKIRIIMAEPLEKPLTKSLQRGEDPQFDQVI SSMSSLSEYCLPSILRTLFDWYKRQNGIE >ENSMUSP00000086294.3 pep:known chromosome:GRCm38:1:91322075:91348306:1 gene:ENSMUSG00000049515.12 transcript:ENSMUST00000088904.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espnl description:espin-like [Source:MGI Symbol;Acc:MGI:2685402] MEAQQALVASKDGDMATLERLFEAGALRPDITDDLGAGLVHHATRAGHLDCVKFLVQRAK LPGNQQAHNGATPVHDAAATGNLAELCWLVRDAGCGLQDQDASGVSPLHLAARFGHPALV EWLLREGHAATLETLEGALPLHHAAVSGDLTCLKLLTAAHSSGVNQRTCSGASPLYLACQ EGHLHLAQFLVKDCGADVRLRALDGMSSLHAAAAHGHYSLVVWLVTFTDIGLTARDNEGA TALHFAARGGHTPILDRLLLMGAPIMRDSWGGTPLHDAAENGHMECCQTLLSHHVDPFLR DEDGYTAIDLAEYHGHQDCAQFLREMSRPVRVLMTPPPPPFPPPPLLAAKLSLEEERRGD SGLKSPSSATLSPVWPAQPVPREPMACTAPLRVTTPDALQGPEVEARDSRAGLATLQLDG LPAGDLDMLVPTQDERGRPIPEWKRQVMVRKLQARLGADHPPEDQDQSQRQDSGPTAAEQ ATWRYSQTHQAILGPFGELLTEDDLVYLEKQINDLQLRRRCQEYESELGRLAAQLQALLP EPLVSITVNSHFLPRAPGLEDEEAPVLATELEASEEPGKAEPRGQPLPFWCSHIGRLVRS MSLLLKGMNGLAQGEEKPPSPVPQDLGKETIAGPSRSEAQREIQECGVSVRTLRGNFEFA PDLPCALNSGPCELGVRPGQCLRGCWSAPPQPRGDAMGGEPGPGDTEEASDSGISCEEAP SEAGAGPGLDLASLRKERIVMLFLGHWKKSAYTPALRTAACRTLEAQRARSRGPEAAGSP RPSSPQPSDGPRLGHLWQQRGIITHLLGTWKAIMAHVPARQLRRLSRRERGPLSPEQFLP HVDGAPVPYNSLSLDLFMLGYFQLLECDLPAEERKMRHLLCFEVFEHLGAHGWEAVRAFH KAVTDEVAAGRRAWTDGFEDIKARFFGSSQGPPWDVEPGRKLGLTPLGSLPHASLPGSGP EPAVPPRLGSDSQGSSFNSGDICGYIDRSFAFWKEKEAEMFNFGE >ENSMUSP00000135828.1 pep:known chromosome:GRCm38:1:91322133:91345937:1 gene:ENSMUSG00000049515.12 transcript:ENSMUST00000176156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espnl description:espin-like [Source:MGI Symbol;Acc:MGI:2685402] MEAQQALVASKDGDMATLERLFEAGALRPDITDDLGAGLVHHATRAGHLDCVKFLVQRAK LPGNQQAHNGATPVHDAAATGNLAELCWLVRDAGCGLQDQDASGVSPLHLAARFGHPALV EWLLREGHAATLETLEGALPLHHAAVSGDLTCLKLLTAAHSSGVNQRTCSGASPLYLACQ EGHLHLAQFLVKDCGADVRLRALDGMSSLHAAAAHGHYSLVVWLVTFTDIGLTARDNEGA TALHFAARGGHTPILDRLLLMGAPIMRDSWGGTPLHDAAENGHMEVRVLMTPPPPPFPPP PLLAAKLSLEEERRGDSGLKSPSSATLSPVWPAQPVPREPMACTAPLRVTTPDALQGPEV EARDSRAGLATLQLDGLPAGDLDMLVPTQDERGRPIPEWKRQVMVRKLQARLGADHPPED QDQSQRQDSGPTAAEQATWRYSQTHQAILGPFGELLTEDDLVYLEKQINDLQLRRRCQEY ESELGRLAAQLQALLPEPLVSITVNSHFLPRAPGLEDEEAPVLATELEASEEPGKAEPRG QPLPFWCSHIGRLVRSMSLLLKGMNGLAQGEEKPPSPVPQDLGKETIAGPSRSEAQREIQ ECGVSVRTLRGNFEFAPDLPCALNSGPCELGVRPGQCLRGCWSAPPQPRGDAMGGEPGPG DTEEASDSGISCEEAPSEAGAGPGLDLASLRKERIVMLFLGHWKKSAYTPALRTAACRTL EAQRARSRGPEAAGSPRPSSPQPSDGPRLGHLWQQRGIITHLLGTWKAIMAHVPARQLRR LSRRERGPLSPEQFLPHVDGAPVPYNSLSLDLFMLGYFQLLECDLPAEERKMRHLLCFEV FEHLGAHGWEAVRAFHKAVTDEVAAGRRAWTDGFEDIKARFFGSSQGPPWDVEPGRKLGL TPLGSLPHASLPGSGPEPAVPPRLGSDSQGSSFNSGDICGYIDRSFAFWKEKEAEMFNFG E >ENSMUSP00000138884.1 pep:known chromosome:GRCm38:X:139713681:139714481:-1 gene:ENSMUSG00000098559.1 transcript:ENSMUST00000183728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15013 description:predicted gene 15013 [Source:MGI Symbol;Acc:MGI:3644991] MARGPKKHLKRVAAPKHWMLDKLTGVFAPSPSTGPHKLRECLPPIIFLRNRLKYALTGDE VKKICMQRFIKIDGKIRTGFIYPAGFMDVISIDKTGENFRLIYDTKGRFAVHRITPEEAK YKLCKVRKIFVGTKGIPHLVTHDARTTRYPDPLIKVNVTIQIDLETGKVTDFIKFDTGNL CMVTGGANLGRIGVITNRERHPGSFDVVHVKDANGKSFATRLSNIFVIGKGNKSWISLPR GKGIRLTIAEERQEACGQTEQWVKCL >ENSMUSP00000141746.1 pep:known chromosome:GRCm38:9:107542241:107544634:1 gene:ENSMUSG00000010057.8 transcript:ENSMUST00000195370.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nprl2 description:nitrogen permease regulator-like 2 [Source:MGI Symbol;Acc:MGI:1914482] MGSSCRIECIFFSEFHPTLGPKITYQVPEDFISRELFDTVQVYIITKPELQNKLITVTAM EKKLIGCPVCIEHKKYSRNALLFNLGFVCDAQAKTCALEPIVKKLAGYLTTLELESSFVS NEESKQKLVPIMTILLEELNASGRCTLPIGDRAAARPSCCAGV >ENSMUSP00000010201.3 pep:known chromosome:GRCm38:9:107542226:107545706:1 gene:ENSMUSG00000010057.8 transcript:ENSMUST00000010201.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nprl2 description:nitrogen permease regulator-like 2 [Source:MGI Symbol;Acc:MGI:1914482] MGSSCRIECIFFSEFHPTLGPKITYQVPEDFISRELFDTVQVYIITKPELQNKLITVTAM EKKLIGCPVCIEHKKYSRNALLFNLGFVCDAQAKTCALEPIVKKLAGYLTTLELESSFVS NEESKQKLVPIMTILLEELNASGRCTLPIDESNTIHLKVIEQRPDPPVAQEYDVPVFTKD KEDFFSSQWDLTTQQILPYIDGFRHVQKISAEADVELNLVRIAIQNLLYYGVVTLVSILQ YSNVYCPTPKVQDLVDDKSLQEACLSYVTKQGHKRASLRDVFQLYCSLSPGTTVRDLIGR HPQQLQHVDERKLIQFGLMKNLIRRLQKYPVRVSREERSHPARLYTGCHSYDEICCKTGM SYHELDERLENDPNIIICWK >ENSMUSP00000136992.1 pep:known chromosome:GRCm38:Y:33513850:33541736:-1 gene:ENSMUSG00000095011.7 transcript:ENSMUST00000179884.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20838 description:predicted gene, 20838 [Source:MGI Symbol;Acc:MGI:5434194] MALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDV >ENSMUSP00000140626.1 pep:known chromosome:GRCm38:Y:33511116:33543164:-1 gene:ENSMUSG00000095011.7 transcript:ENSMUST00000187712.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20838 description:predicted gene, 20838 [Source:MGI Symbol;Acc:MGI:5434194] MRKMALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKW METYVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000094036.3 pep:known chromosome:GRCm38:X:139684996:139753405:1 gene:ENSMUSG00000042473.8 transcript:ENSMUST00000096313.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d8b description:TBC1 domain family, member 8B [Source:MGI Symbol;Acc:MGI:1918101] MWLKPEEVLLKNALKLWLMERSNEYFVLQRRRGYGEEGGGGLTGLLVGTLDSVLDSTAKV APFRILHQTPDSQVYLSIACGANREEITKHWDWLEQNIMKTLSVFDSNEDITNFVQGKIR GLIAEEGKQSFAKEDDPEKFREALLKFEKSFGLPEQEKLVTYYSCSYWRGRVPCQGWLYL STNFLSFYSFLLGSEIKLIISWDAISKLEKTSTVILTESIHVCSQGENHYFSMFLHINET YLLMEQLANYAIKRLFDKETFDNDPVLDDPLQITKRGLEYRAHSEQFKAFFRLPKEETLK EVHECFLWVPFSHFSSHGKMCISENYICFASQDGNLCSVIIPLREVLAIDKTDDSNRSVI ISIKGKTAFRFSELKDFEQLVAKLRLKCRAASTQDDVSTEVAVSSDSTGPSENFEEQPLT CPKECSKTVNTEALMTVFHPQNLENLDSKMLKEKMKEQSWNILFSECGRGVSMFRTKKTR DLVVRGIPETLRGELWMLFSGAVNDMATNPGYYAEVVEQSLGTSNLATEEIERDLRRSLP EHPAFQSDTGISALRRVLTAYAYRNPKIGYCQAMNILTSVLLLYAKEEEAFWLLVAVCER MLPDYFNRRIIGALVDQAVFEELIRDHLPQLTDHMTDMTFFSSVSLSWFLTLFISVLPIE SAVNVVDCFFYDGIKAILQLGLAILDYNLDKLLTCKDDAEAVTALNRFFDNVINKDSPLP SNVQQGSNISNEKSDHTKVDITDLIKESNEKYGSIRYEDIHSMRCRNRLYVIQTLEETTK QNVLRVVSQDVKMSLQELDELYVIFKKELFISCYWYLSCPGLKHHDPSLPYLEQYQIDCQ QFRVLYHLLSPWAHSANRDSLALWTFRLLDENSDCLINFKEFSSAIDIMYNGSFTDKLKL LFKLHIPPAYTEVMSKTSSKGDELSTEELLYFSQLQVSKPADEKETESGRNSPEKGKGKI DIQAYLSQWQDELLKKEETIKDLPRMNQSQFIQFSKTLYNLFHEDPEEESLYQAIAIVTN LLLRMEEVGRKLHSPASSASTARDSGPSEGNAESSVKKDLPSPREEHQWSFAFEQILASL LNEPALVRFFERPLDLKAKLENAKSSQLRSRTKM >ENSMUSP00000124639.1 pep:known chromosome:GRCm38:10:74990841:75016898:1 gene:ENSMUSG00000040009.5 transcript:ENSMUST00000159991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnaz description:guanine nucleotide binding protein, alpha z subunit [Source:MGI Symbol;Acc:MGI:95780] MGCRQSSEEKEAARRSRRIDRHLRSESQRQRREIKLLLLGTSNSGKSTIVKQMKIIHSGG FNLDACKEYKPLIIYNAIDSLTRIIRALAALKIDFHNPDRAYDAVQLFALTGPAESKGEI TPELLGVMRRLWADPGAQACFGRSSEYHLEDNAAYYLNDLERIAAPDYIPTVEDILRSRD MTTGIVENKFTFKELTFKMVDVGGQRSERKKWIHCFEGVTAIIFCVELSGYDLKLYEDNQ TSRMAESLRLFDSICNNNWFINTSLILFLNKKDLLAEKIRRIPLSVCFPEYKGQNTYEEA AVYIQRQFEDLNRNKETKEIYSHFTCATDTSNIQFVFDAVTDVIIQNNLKYIGLC >ENSMUSP00000036087.4 pep:known chromosome:GRCm38:10:74967177:75016907:1 gene:ENSMUSG00000040009.5 transcript:ENSMUST00000037813.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnaz description:guanine nucleotide binding protein, alpha z subunit [Source:MGI Symbol;Acc:MGI:95780] MGCRQSSEEKEAARRSRRIDRHLRSESQRQRREIKLLLLGTSNSGKSTIVKQMKIIHSGG FNLDACKEYKPLIIYNAIDSLTRIIRALAALKIDFHNPDRAYDAVQLFALTGPAESKGEI TPELLGVMRRLWADPGAQACFGRSSEYHLEDNAAYYLNDLERIAAPDYIPTVEDILRSRD MTTGIVENKFTFKELTFKMVDVGGQRSERKKWIHCFEGVTAIIFCVELSGYDLKLYEDNQ TSRMAESLRLFDSICNNNWFINTSLILFLNKKDLLAEKIRRIPLSVCFPEYKGQNTYEEA AVYIQRQFEDLNRNKETKEIYSHFTCATDTSNIQFVFDAVTDVIIQNNLKYIGLC >ENSMUSP00000124488.2 pep:known chromosome:GRCm38:6:85910154:85915687:-1 gene:ENSMUSG00000057103.10 transcript:ENSMUST00000161198.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cml1 description:camello-like 1 [Source:MGI Symbol;Acc:MGI:1913366] MPRFETQKSPMVPYHIRQYQDSDHKRVVDVFTKGMEEYIPSTFRHMLMLPRTLLLLLGVP LALVLVSGSWILAVICIFFLLLLLRLLARQPWKEYVAKCLQTDMVDITKSYLNVHGACFW VAESGGQVVGIVAAQPVKDPPLGRKQLQLFRLSVSSQHRGQGIAKALTRTVLQFARDQSY SDVVLETSALQQGAVTLYLGMGFKKAGQYFMSIFWRLAGICTIQLKYSFPSA >ENSMUSP00000133475.1 pep:known chromosome:GRCm38:6:85910630:85915604:-1 gene:ENSMUSG00000057103.10 transcript:ENSMUST00000174369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cml1 description:camello-like 1 [Source:MGI Symbol;Acc:MGI:1913366] MPRFETQKSPMVPYHIRQYQDSDHKRVVDVFTKGMEEYIPSTFRHMLMLPRTLLLLLGVP LALVLVSGSWILAVICIFFLLLLLRLLARQPWKEYVAKCLQTDMVDITKSYLNVHG >ENSMUSP00000060783.2 pep:known chromosome:GRCm38:12:84146131:84148489:-1 gene:ENSMUSG00000054383.2 transcript:ENSMUST00000061425.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnma1 description:paraneoplastic antigen MA1 [Source:MGI Symbol;Acc:MGI:2180564] MAMTLLEDWCRGMDVNSQRALLVWGIPVNCDETEIEETLQAAMPQVSYRVLGRMFWREEN AKAALLELTGAVDYSLIPREMPGKGGLWKVVFKPPTSDAVFLERLHLFLAREGWTVQDVA RVLGFQNPAPAPGPEMPAEMLNYILDNVIQPLVESIWYKKLTLFSGKDIPGPGEETFDSW LEHSNEIIEEWQVSDIEKRRRLMESLRGPAADVIRILKTNNAAITTAECLKALEQVFGSV ESSRDAQVRFLNTYQNPGEKLSSYVIRLEPLLQKVVDKGVIDKDNVNQARLEQVIAGANH SGALRRQLWLAGAEEGPAPNLFQLLVQIREEEAKKEEEEAEAALLQLGLEGHF >ENSMUSP00000137547.1 pep:known chromosome:GRCm38:11:69899211:69900939:-1 gene:ENSMUSG00000018570.17 transcript:ENSMUST00000128046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810408A11Rik description:RIKEN cDNA 2810408A11 gene [Source:MGI Symbol;Acc:MGI:1917669] MNILATYHPADKDYGFMKADEPRTPYHRLQDTDEDPSAESSLKVTPQSVAERFATMDNFL PKVLQYGDNKNSKDTDN >ENSMUSP00000136835.1 pep:known chromosome:GRCm38:11:69897466:69900957:-1 gene:ENSMUSG00000018570.17 transcript:ENSMUST00000129234.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2810408A11Rik description:RIKEN cDNA 2810408A11 gene [Source:MGI Symbol;Acc:MGI:1917669] MEKPESLAPVSGLSAESPGGVSRAVPGSARGMQTDTGLPPGVALLRGPGSLLHSGNPVVR SPGPIQPSEGAVTLNSGPAPQLQEVASLGSSTSPGTGTGATKASTPGPEEAKVYSSESST HSGTSFTERPRSILKNSSSILIKKPPGSENLYEGI >ENSMUSP00000135926.1 pep:known chromosome:GRCm38:11:69897363:69900975:-1 gene:ENSMUSG00000018570.17 transcript:ENSMUST00000144431.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2810408A11Rik description:RIKEN cDNA 2810408A11 gene [Source:MGI Symbol;Acc:MGI:1917669] MEKPESLAPVSGLSAESPGGVSRAVPGSARGMQTDTGLPPGVALLRGPGSLLHSGNPVVR SPGPIQPSEGAVTLNSGPAPQLQEVASLGSSTSPGTGTGATKASTPGPEEAKVYSSESST HSGTSFTERPRSILKNSSSILIKKPPGSENLYEGI >ENSMUSP00000099640.3 pep:known chromosome:GRCm38:11:69897363:69900957:-1 gene:ENSMUSG00000018570.17 transcript:ENSMUST00000102580.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810408A11Rik description:RIKEN cDNA 2810408A11 gene [Source:MGI Symbol;Acc:MGI:1917669] MEKPESLAPVSGLSAESPGGVSRAVPGSARGMQTDTGLPPGVALLRGPGSLLHSGNPVVR SPGPIQPSEGAVTLNSGPAPQLQEVASLGSSTSPGTGTGATKASTPGPEEAKVYSSESST HSGTSFTERPRSILKNSSSILIKKPPGSEKKSQRWDEMNILATYHPADKDYGFMKADEPR TPYHRLQDTDEDPSAESSLKVTPQSVAERFATMDNFLPKVLQYGDNKNSKDTDNFAKTYS SDFDKHRKIHYSEGKFLKSPKNLPTEEESIGASASISSSNQAVATDLKPRPVEKGWAGRL ATGVKNDTVLMTDSHVLSTNDSATYRNQFPSASDSSMGQLANLQRKEYYSKGRYLRSGSR PELGEDIEDEEQDSPSGLTWVTENPKGTPVNGSQVTPNCWAKGPRCRSPGSSEKEHGSNQ NPPSWNGRRREPGPR >ENSMUSP00000018714.6 pep:known chromosome:GRCm38:11:69897363:69900938:-1 gene:ENSMUSG00000018570.17 transcript:ENSMUST00000018714.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810408A11Rik description:RIKEN cDNA 2810408A11 gene [Source:MGI Symbol;Acc:MGI:1917669] MEKPESLAPVSGLSAESPGGVSRAVPGSARGMQTDTGLPPGVALLRGPGSLLHSGNPVVR SPGPIQPSEGAVTLNSGPAPQLQEVASLGSSTSPGTGTGATKASTPGPEEAKVYSSESST HSGTSFTERPRSILKNSSSILIKKPPGSEKKSQRWDEMNILATYHPADKDYGFMKADEPR TPYHRLQDTDEDPSAESSLKVTPQSVAERFATMDNFLPKVLQYGDNKNSKDTDNFAKTYS SDFDKHRKIHYSEGKFLKSPKNLPTEEESIGASASISSSNQAVATDLKPRPVEKGWAGRL ATGVKNDTVLMTDSHVLSTNDSATYRNQFPSASDSSMGQLANLQRKEYYSKGRYLRSGSR PELGEDIEDEEQDSPSGLTWVTENPKGTPDESLRLQWTQKKERRPWKM >ENSMUSP00000104261.2 pep:known chromosome:GRCm38:11:69897358:69900886:-1 gene:ENSMUSG00000018570.17 transcript:ENSMUST00000108621.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810408A11Rik description:RIKEN cDNA 2810408A11 gene [Source:MGI Symbol;Acc:MGI:1917669] MEKPESLAPVSGLSAESPGGVSRAVPGSARGMQTDTGLPPGVALLRGPGSLLHSGNPVVR SPGPIQPSEGAVTLNSGPAPQLQEVASLGSSTSPGTGTGATKASTPGPEEAKVYSSESST HSGTSFTERPRSILKNSSSILIKKPPGSEKKSQRWDEMNILATYHPADKDYGFMKADEPR TPYHRLQDTDEDPSAESSLKVTPQSVAERFATMDNFLPKVLQYGDNKNSKDTDNFAKTYS SDFDKHRKIHYSEGKFLKSPKNLPTEEESIGASASISSSNQAVATDLKPRPVEKGWAGRL ATGVKNDTVLMTDSHVLSTNDSATYRNQFPSASDSSMGQLANLQRKEYYSKGRYLRSGSR PELGEDIEDEEQDNVSLGQGKGVTCTAQGGRGVKWMKACGSSGLRKKNVDLGKCSQRGVG >ENSMUSP00000098529.2 pep:known chromosome:GRCm38:11:69897352:69900894:-1 gene:ENSMUSG00000018570.17 transcript:ENSMUST00000100969.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810408A11Rik description:RIKEN cDNA 2810408A11 gene [Source:MGI Symbol;Acc:MGI:1917669] MEKPESLAPVSGLSAESPGGVSRAVPGSARGMQTDTGLPPGVALLRGPGSLLHSGNPVVR SPGPIQPSEGAVTLNSGPAPQLQEVASLGSSTSPGTGTGATKASTPGPEEAKVYSSESST HSGTSFTERPRSILKNSSSILIKKPPGSEKKSQRWDEMNILATYHPADKDYGFMKADEPR TPYHRLQDTDEDPSAESSLKVTPQSVAERSSSTETTKTQRTQTTLPRHVCETWDSSDFDK HRKIHYSEGKFLKSPKNLPTEEESIGASASISSSNQAVATDLKPRPVEKGWAGRLATGVK NDTVLMTDSHVLSTNDSATYRNQFPSASDSSMGQLANLQRKEYYSKGRYLRSGSRPELGE DIEDEEQDSPSGLTWVTENPKGTPDESLRLQWTQKKERRPWKM >ENSMUSP00000102992.1 pep:known chromosome:GRCm38:3:90237570:90243143:-1 gene:ENSMUSG00000027938.11 transcript:ENSMUST00000107369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb3l4 description:cAMP responsive element binding protein 3-like 4 [Source:MGI Symbol;Acc:MGI:1916603] MELGCPELLEPPEDIFSTGSFLELGFNGPASKVPVTRGLQKSEPDDFLNLFIDPNMIHCS ETSPGRDSGVSEDPGSPAQQASSSPALYEVVYDSGTLQGTQREAGPTFGLISIQIDQWTP ALMVPDACTVSGLPSDSHRHILPRVSTRAPAPPAAMPSCQHHLFLTDEEKQLLAQEGITL PSHLPLTKAEERILKKIRRKIRNKQSAQDSRRRKKEYLDGLESRVAACSEQNQKLQRKVQ ELERQNIFLMEQVRQLQKLTAQTSSRAAQTSTCVLILLFSLALIILPSFSPFQGQSEARP EDYQLHGVISRNILTHENVTENLESPVLKSKLEELPEAPTTNGSTKTHLKMRVKARPPGQ IRGMVHTDEM >ENSMUSP00000029547.3 pep:known chromosome:GRCm38:3:90237500:90243512:-1 gene:ENSMUSG00000027938.11 transcript:ENSMUST00000029547.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb3l4 description:cAMP responsive element binding protein 3-like 4 [Source:MGI Symbol;Acc:MGI:1916603] MELGCPELLEPPEDIFSTGSFLELGFNGPASKVPVTRGLQKSEPDDFLNLFIDPNMIHCS ETSPGRDSGVSEDPGSPAQQASSSPALYEVVYDSGTLQGTQREAGPTFGLISIQIDQWTP ALMVPDACTVSGLPSDSHRHILPRVSTRAPAPPAAMPSCQHHLFLTDEEKQLLAQEGITL PSHLPLTKAEERILKKIRRKIRNKQSAQDSRRRKKEYLDGLESRVAACSEQNQKLQRKVQ ELERQNIFLMEQVRQLQKLTAQTSSRAAQTSTCVLILLFSLALIILPSFSPFQGQSEARP EDYQLHGVISRNILTHENVTENLESPVLKSKLEELPEAPTTNGSTKTHLKMRVKARPPGQ IRGMVHTDEM >ENSMUSP00000141751.1 pep:known chromosome:GRCm38:1:172187381:172197005:1 gene:ENSMUSG00000026554.15 transcript:ENSMUST00000191891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf8 description:DDB1 and CUL4 associated factor 8 [Source:MGI Symbol;Acc:MGI:91860] XIYLFNSSHSDGAQYIKRYKGHRNNATVKGVNFYGPKSEFVVSGSDCGHIFLWEKSSCQI IQFMEGDKGGVVNCLEPHPHLPVLATSGLDHDVKIWAPTAEASTELTGLKEVIKKNKRER DEDSLHHTDLFDSHMLWFLMHHLRQRRHHRRSF >ENSMUSP00000141842.1 pep:known chromosome:GRCm38:1:172174058:172192571:1 gene:ENSMUSG00000026554.15 transcript:ENSMUST00000195345.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcaf8 description:DDB1 and CUL4 associated factor 8 [Source:MGI Symbol;Acc:MGI:91860] XQHKGASHKLALEPDSPCTFLSAGEDAVVFTIDLRQDRPASKLVVTKEKEKKVGLYTIYV NPANTHQFAVGGRDQYVRIYDQRKIDENENNGVLKKFCPHHLSSWPVTMMKTFTFSTLLT VMGPSILRDTKAIEIMLQ >ENSMUSP00000073778.5 pep:known chromosome:GRCm38:1:172148178:172196389:1 gene:ENSMUSG00000026554.15 transcript:ENSMUST00000074144.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf8 description:DDB1 and CUL4 associated factor 8 [Source:MGI Symbol;Acc:MGI:91860] MSNKRPNTTDGRTDLANGSLSSSPEEMSGAEEGRETSSGIEVEASDLSLSLTGDDGGPNR TSTESRGTDTESSGEEKDSDSMEDTGHYSINDESRGHGHSDEEDEEQPRHRGQRKRASRD QDSSDDERALEDWVSSETTALPRPRWQALPALRERELGSSARFVYEACGARVFVQRFRLQ HGLEGHTGCVNTLHFNQRGTWLASGSDDLKVVVWDWVRRQPVLDFESGHKSNVFQAKFLP NSGDSTLAMCARDGQVRVAELSATQCCKNTKRVAQHKGASHKLALEPDSPCTFLSAGEDA VVFTIDLRQDRPASKLVVTKEKEKKVGLYTIYVNPANTHQFAVGGRDQYVRIYDQRKIDE NENNGVLKKFCPHHLVNSESKANITCLVYSHDGTELLASYNDEDIYLFNSSHSDGAQYIK RYKGHRNNATVKGVNFYGPKSEFVVSGSDCGHIFLWEKSSCQIIQFMEGDKGGVVNCLEP HPHLPVLATSGLDHDVKIWAPTAEASTELTGLKEVIKKNKRERDEDSLHHTDLFDSHMLW FLMHHLRQRRHHRRWREPGVGATDADSDESPSSSDTSDEEEGPDRVQCMPS >ENSMUSP00000141732.1 pep:known chromosome:GRCm38:1:172148142:172195466:1 gene:ENSMUSG00000026554.15 transcript:ENSMUST00000192704.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf8 description:DDB1 and CUL4 associated factor 8 [Source:MGI Symbol;Acc:MGI:91860] MSNKRPNTTDGRTDLANGSLSSSPEEMSGAEEGRETSSGIEVEASDLSLSLTGDDGGPNR TSTESRGTDTESSGEEKDSDSMEDTGHYSINDESRGHGHSDEEDEEQPRHRGQRKRASRD QDSSDDERALEDWVSSETTALPRPRWQALPALRERELGSSARFVYEACGARVFVQRFRLQ HGLEGHTGCVNTLHFNQRGTWLASGSDDLKVVVWDWVRRQPVLDFESGHKSNVFQAKFLP NSGDSTLAMCARDGQVRVAELSATQCCKNTKRVAQHKGASHKLALEPDSPCTFLSAGEDA VVFTIDLRQDRPASKLVVTKEKEKKVGLYTIYVNPANTHQFAVGGRDQYVRIYDQRKIDE NENNGVLKKFCPHHLVNSESKANITCLVYSHDGTELLASYNDEDIYLFNSSHSDGAQYIK RYKGHRNNATVKGVNFYGPKSEFVVSGSDCGHIFLWEKSSCQIIQFMEGDKGGVVNCLEP HPHLPVLATSGLDHDVKIWAPTAEASTELTGLKEVIKKNKRERDEDSLHHTDLFDSHMLW FLMHHLRQRRHHRRWREPGVGATDADSDESPSSSDTSDEEEGPDRVQCMPS >ENSMUSP00000141731.1 pep:known chromosome:GRCm38:1:172148118:172195507:1 gene:ENSMUSG00000026554.15 transcript:ENSMUST00000191689.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf8 description:DDB1 and CUL4 associated factor 8 [Source:MGI Symbol;Acc:MGI:91860] MSNKRPNTTDGRTDLANGSLSSSPEEMSGAEEGRETSSGIEVEASDLSLSLTGDDGGPNR TSTESRGTDTESSGEEKDSDSMEDTGHYSINDESRGHGHSDEEDEEQPRHRGQRKRASRD QDSSDDERALEDWVSSETTALPRPRWQALPALRERELGSSARFVYEACGARVFVQRFRLQ HGLEGHTGCVNTLHFNQRGTWLASGSDDLKVVVWDWVRRQPVLDFESGHKSNVFQAKFLP NSGDSTLAMCARDGQVRVAELSATQCCKNTKRVAQHKGASHKLALEPDSPCTFLSAGEDA VVFTIDLRQDRPASKLVVTKEKEKKVGLYTIYVNPANTHQFAVGGRDQYVRIYDQRKIDE NENNGVLKKFCPHHLVNSESKANITCLVYSHDGTELLASYNDEDIYLFNSSHSDGAQYIK RYKGHRNNATVKGVNFYGPKSEFVVSGSDCGHIFLWEKSSCQIIQFMEGDKGGVVNCLEP HPHLPVLATSGLDHDVKIWAPTAEASTELTGLKEVIKKNKRERDEDSLHHTDLFDSHMLW FLMHHLRQRRHHRRWREPGVGATDADSDESPSSSDTSDEEEGPDRVQCMPS >ENSMUSP00000141836.1 pep:known chromosome:GRCm38:1:172148084:172194794:1 gene:ENSMUSG00000026554.15 transcript:ENSMUST00000193638.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcaf8 description:DDB1 and CUL4 associated factor 8 [Source:MGI Symbol;Acc:MGI:91860] MSNKRPNTTDGRTDLANGSLSSSPEEMSGAEEGRETSSGIEVEASDLSLSLTGDDGGPNR TSTESRGTDTESSGEEKDSDSMEDTGHYSINDESRGHGHSDEEDEEQPRHRGQRKRASRD QDSSDDERALEDWVSSETTALPRPRWQALPALRERELGSSARFVYEACGARVFVQRFRLQ HGLEGHTGCVNTLHFNQRGTWLASGSDDLKVVVWDWVRRQPVLDFESGHKSNVFQAKFLP NSGDSTLAMCARDGQVRVAELSATQCCKNTKRVAQHKGASHKLALEPDSPCTFLSAGEDA VVFTIDLRQDRPASKLVVTKEKEKKVGLYTIYVNPANTHQFAVGGRDQYVRIYDQRKIDE NENNGVLKKFCPHHLSSWPVTMMKTFTFSTLLTVMGPSILRDTKAIEIMLQ >ENSMUSP00000118766.2 pep:known chromosome:GRCm38:7:34353483:34389479:-1 gene:ENSMUSG00000066568.12 transcript:ENSMUST00000155256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm14a description:LSM14 homolog A (SCD6, S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914320] MSGGTPYIGSKISLISKAEIRYEGILYTIDTENSTVALAKVRSFGTEDRPTDRPIPPRDE VFEYIIFRGSDIKDLTVCEPPKPQCSLPQDPAIVQSSLGSSSSSFQSVGSYGPFGRMPAY SQFSPSTLVGQQFGAVGVAGNSLTSFGTEASNSGTLSQSNAVGSAFTQDTRSVKPQLAQA EVHKVPRPENEQLRNDKRQVVPGVPSAPRRGRGGHRGGRGRFGIRRDGPMKFEKDFDFES ANAQFNKEEIDREFHNKLKLKEDKLEKQEKPVNGEDKGDSGVDTQNS >ENSMUSP00000119461.2 pep:known chromosome:GRCm38:7:34353814:34389080:-1 gene:ENSMUSG00000066568.12 transcript:ENSMUST00000133046.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm14a description:LSM14 homolog A (SCD6, S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914320] MQPKVRSFGTEDRPTDRPIPPRDEVFEYIIFRGSDIKDLTVCEPPKPQCSLPQDPAIVQS SLGSSSSSFQSVGSYGPFGRMPAYSQFSPSTLVGQQFGAVGVAGNSLTSFGTEASNSGTL SQSNAVGSAFTQDTRSVKPQLAQAEVHKVPRPENEQLRNDKRQVVPGVPSAPRRGR >ENSMUSP00000145883.1 pep:known chromosome:GRCm38:7:34345938:34371140:-1 gene:ENSMUSG00000066568.12 transcript:ENSMUST00000205519.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lsm14a description:LSM14 homolog A (SCD6, S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914320] XYGPFGRMPAYSQFSPSTLVGQQFGAVGVAGNSLTSFGTEASNSGTLSQSNAVGSAFTQD TRSVKPQLAQGRSSPQLDPLRKSPTMEQAVQTASAHLPAPAPVGRRSPVPARPLPPTSQK AIDNQEHRRAEVHKVPRPENEQLRNDKRPWLPWWQRAW >ENSMUSP00000145972.1 pep:known chromosome:GRCm38:7:34345715:34393315:-1 gene:ENSMUSG00000066568.12 transcript:ENSMUST00000206388.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lsm14a description:LSM14 homolog A (SCD6, S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914320] MQLLGAGNNLIHCAPFDPSVQKTDQQIVQYRLEMKSLNILYSAGVILKTSLFVSHPNHNV LCLRTRLLFSLH >ENSMUSP00000145807.1 pep:known chromosome:GRCm38:7:34344663:34353495:-1 gene:ENSMUSG00000066568.12 transcript:ENSMUST00000206830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm14a description:LSM14 homolog A (SCD6, S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914320] XQNSEGNADEEDPLGPNCYYDKTKSFFDNISCDDNRYWVLERRPTWAEERRLNAETFGIP LRPNRGRGGYRGRGGLGFRGGRGRGSGRGGTFTAPRGFRAGFRGARGGREFADFEYRKDN KVAA >ENSMUSP00000082723.5 pep:known chromosome:GRCm38:7:34344646:34389687:-1 gene:ENSMUSG00000066568.12 transcript:ENSMUST00000085585.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm14a description:LSM14 homolog A (SCD6, S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914320] MSGGTPYIGSKISLISKAEIRYEGILYTIDTENSTVALAKVRSFGTEDRPTDRPIPPRDE VFEYIIFRGSDIKDLTVCEPPKPQCSLPQDPAIVQSSLGSSSSSFQSVGSYGPFGRMPAY SQFSPSTLVGQQFGAVGVAGNSLTSFGTEASNSGTLSQSNAVGSAFTQDTRSVKPQLAQG RSSPQLDPLRKSPTMEQAVQTASAHLPAPAPVGRRSPVPARPLPPTSQKAIDNQEHRRAE VHKVPRPENEQLRNDKRQVVPGVPSAPRRGRGGHRGGRGRFGIRRDGPMKFEKDFDFESA NAQFNKEEIDREFHNKLKLKEDKLEKQEKPVNGEDKGDSGVDTQNSEGNADEEDPLGPNC YYDKTKSFFDNISCDDNRERRPTWAEERRLNAETFGIPLRPNRGRGGYRGRGGLGFRGGR GRGSGRGGTFTAPRGFRAGFRGARGGREFADFEYRKTTAFGP >ENSMUSP00000066815.5 pep:known chromosome:GRCm38:X:134971375:135009209:-1 gene:ENSMUSG00000052676.16 transcript:ENSMUST00000064659.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat1 description:zinc finger, matrin type 1 [Source:MGI Symbol;Acc:MGI:2442284] MAAAGRGDSSFKVDTCPCLREDPTCDGQEQPAYFTDNFCKPCGVVLQHESERISHFESEI HAQNVKFFFQMHGEQSEVPGRKVNMHAGNSQVCSSGEVNRNNFTDLHNMSFDSLAAAPSH YVGKSHSPTQNQSLEEHDQVSPSTCSPKMDEPNTTPAPPPFLKSVIVKPPPAYRMRTYVC HICSITFTSLHMFRSHMQGTEHQIKESHVINQVKNSKKMQESCQAECGDDIKMKKSRELE PKGHFREMEDNYMEAQAHEYREMVDSRPRHKMLEQTLPLENFWAHPGPYNDSRALEEQLP HNLPAESKTYDSFQDELEDYIKGQKARGLDPNTSFRRMSESYRYRDQRYRERVDSEHRQR PCEERFSFEAPQTYQQEYSASPVEGQSPHWLPSHSKRRNDDFQNEFDDYNKVQESRESEP KTSFRRMDSSFETHNYEEMVDRRSSHTMFEEGLPCETFQTYTDPYSSAQAVENTLPHCLP AYENQPSLDAESHYQLTTEEFSEMPASLSLSQQEDNPSSYNVDYDIYKHLPSNDNASAHE TSHRRRRQKRKRHLEEGKERPEKEQSKHKRKRSYQDKDLDKDKLIKQSKREEDKAGVSSE KTKHRRKKRKHETSSEKEERKHKKEKKKSVEERTEEEILWDESILGF >ENSMUSP00000108810.2 pep:known chromosome:GRCm38:X:134971372:135009185:-1 gene:ENSMUSG00000052676.16 transcript:ENSMUST00000113185.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat1 description:zinc finger, matrin type 1 [Source:MGI Symbol;Acc:MGI:2442284] MAAAGRGDSSFKVDTCPCLREDPTCDGQEQPAYFTDNFCKPCGVVLQHESERISHFEVCS SGEVNRNNFTDLHNMSFDSLAAAPSHYVGKSHSPTQNQSLEEHDQVSPSTCSPKMDEPNT TPAPPPFLKSVIVKPPPAYRMRTYVCHICSITFTSLHMFRSHMQGTEHQIKESHVINQVK NSKKMQESCQAECGDDIKMKKSRELEPKGHFREMEDNYMEAQAHEYREMVDSRPRHKMLE QTLPLENFWAHPGPYNDSRALEEQLPHNLPAESKTYDSFQDELEDYIKGQKARGLDPNTS FRRMSESYRYRDQRYRERVDSEHRQRPCEERFSFEAPQTYQQEYSASPVEGQSPHWLPSH SKRRNDDFQNEFDDYNKVQESRESEPKTSFRRMDSSFETHNYEEMVDRRSSHTMFEEGLP CETFQTYTDPYSSAQAVENTLPHCLPAYENQPSLDAESHYQLTTEEFSEMPASLSLSQQE DNPSSYNVDYDIYKHLPSNDNASAHETSHRRRRQKRKRHLEEGKERPEKEQSKHKRKRSY QDKDLDKDKLIKQSKREEDKAGVSSEKTKHRRKKRKHETSSEKEERKHKKEKKKSVEERT EEEILWDESILGF >ENSMUSP00000121160.1 pep:known chromosome:GRCm38:11:89075009:89092965:1 gene:ENSMUSG00000072553.10 transcript:ENSMUST00000127186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm525 description:predicted gene 525 [Source:MGI Symbol;Acc:MGI:2685371] EKQAKQLLRSRRQDRPNKPGFPDEPMREYMHHLLALEHRAEEQFLEHWLNPHCKPHCDRN IVHPV >ENSMUSP00000098184.4 pep:known chromosome:GRCm38:11:89073841:89093040:1 gene:ENSMUSG00000072553.10 transcript:ENSMUST00000100619.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm525 description:predicted gene 525 [Source:MGI Symbol;Acc:MGI:2685371] MEKLFVLVFALALLAFSSDASPILTEKQAKQLLRSRRQDRPNKPGFPDEPMREYMHHLLA LEHRAEEQFLEHWLNPHCKPHCDRNIVHPV >ENSMUSP00000106885.1 pep:known chromosome:GRCm38:2:93642388:93681339:1 gene:ENSMUSG00000040310.12 transcript:ENSMUST00000111254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alx4 description:aristaless-like homeobox 4 [Source:MGI Symbol;Acc:MGI:108359] MNAETCVSYCESPAAAMDAYYSPVSQSREGSSPFRGFPGGDKFGTTFLSAGAKGQGFGDA KSRARYGAGQQDLAAPLESSSGARGSFNKFQPQPPTPQPPPAPPAPPAHLYLQRGACKTP PDGSLKLQEGSGGHNAALQVPCYAKESNLGEPELPPDSEPVGMDNSYLSVKETGAKGPQD RASAEIPSPLEKTDSESNKGKKRRNRTTFTSYQLEELEKVFQKTHYPDVYAREQLAMRTD LTEARVQVWFQNRRAKWRKRERFGQMQQVRTHFSTAYELPLLTRAENYAQVCLLAHFVPS DPRPEMMQGRRRTRLTEARCVLVCP >ENSMUSP00000047962.3 pep:known chromosome:GRCm38:2:93642384:93681339:1 gene:ENSMUSG00000040310.12 transcript:ENSMUST00000042078.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alx4 description:aristaless-like homeobox 4 [Source:MGI Symbol;Acc:MGI:108359] MNAETCVSYCESPAAAMDAYYSPVSQSREGSSPFRGFPGGDKFGTTFLSAGAKGQGFGDA KSRARYGAGQQDLAAPLESSSGARGSFNKFQPQPPTPQPPPAPPAPPAHLYLQRGACKTP PDGSLKLQEGSGGHNAALQVPCYAKESNLGEPELPPDSEPVGMDNSYLSVKETGAKGPQD RASAEIPSPLEKTDSESNKGKKRRNRTTFTSYQLEELEKVFQKTHYPDVYAREQLAMRTD LTEARVQVWFQNRRAKWRKRERFGQMQQVRTHFSTAYELPLLTRAENYAQIQNPSWIGNN GAASPVPACVVPCDPVPACMSPHAHPPGSGASSVSDFLSVSGAGSHVGQTHMGSLFGAAG ISPGLNGYEMNGEPDRKTSSIAALRMKAKEHSAAISWAT >ENSMUSP00000137112.1 pep:known chromosome:GRCm38:Y:77694232:77718842:1 gene:ENSMUSG00000094647.7 transcript:ENSMUST00000179073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21650 description:predicted gene, 21650 [Source:MGI Symbol;Acc:MGI:5435005] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKCSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKY >ENSMUSP00000141092.1 pep:known chromosome:GRCm38:Y:77692808:77719038:1 gene:ENSMUSG00000094647.7 transcript:ENSMUST00000190551.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21650 description:predicted gene, 21650 [Source:MGI Symbol;Acc:MGI:5435005] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGVEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKCSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKY >ENSMUSP00000124514.1 pep:known chromosome:GRCm38:6:138416415:138426816:-1 gene:ENSMUSG00000030226.12 transcript:ENSMUST00000162932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo3 description:LIM domain only 3 [Source:MGI Symbol;Acc:MGI:102810] MLSVQPDTKPKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANL ILCRRDYL >ENSMUSP00000124105.1 pep:known chromosome:GRCm38:6:138377208:138422999:-1 gene:ENSMUSG00000030226.12 transcript:ENSMUST00000162185.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo3 description:LIM domain only 3 [Source:MGI Symbol;Acc:MGI:102810] MLSVQPDTKPKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANL ILCRRDYLRLFGVTGNCAACSKLIPAFEMVMRAKDNVYHLDCFACQLC >ENSMUSP00000145048.1 pep:known chromosome:GRCm38:6:138365902:138427162:-1 gene:ENSMUSG00000030226.12 transcript:ENSMUST00000203435.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo3 description:LIM domain only 3 [Source:MGI Symbol;Acc:MGI:102810] MLSVQPDTKPKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANL ILCRRDYLRLFGVTGNCAACSKLIPAFEMVMRAKDNVYHLDCFACQLCNQRFCVGDKFFL KNNMILCQTDYEEGLMKEGYAPQVR >ENSMUSP00000124862.1 pep:known chromosome:GRCm38:6:138365595:138422917:-1 gene:ENSMUSG00000030226.12 transcript:ENSMUST00000161450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo3 description:LIM domain only 3 [Source:MGI Symbol;Acc:MGI:102810] MLSVQPDTKPKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANL ILCRRDYLRLFGVTGNCAACSKLIPAFEMVMRAKDNVYHLDCFACQLCNQRFCVGDKFFL KNNMILCQTDYEEGLMKEGYAPQVR >ENSMUSP00000125589.1 pep:known chromosome:GRCm38:6:138365591:138422974:-1 gene:ENSMUSG00000030226.12 transcript:ENSMUST00000163024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo3 description:LIM domain only 3 [Source:MGI Symbol;Acc:MGI:102810] MLSVQPDTKPKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANL ILCRRDYLRLFGVTGNCAACSKLIPAFEMVMRAKDNVYHLDCFACQLCNQRFCVGDKFFL KNNMILCQTDYEEGLMKEGYAPQVR >ENSMUSP00000124722.1 pep:known chromosome:GRCm38:6:138364962:138424615:-1 gene:ENSMUSG00000030226.12 transcript:ENSMUST00000160050.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lmo3 description:LIM domain only 3 [Source:MGI Symbol;Acc:MGI:102810] MLSVQPDTKPKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEAVWCNGKLRCL >ENSMUSP00000124762.1 pep:known chromosome:GRCm38:6:138364196:138421452:-1 gene:ENSMUSG00000030226.12 transcript:ENSMUST00000163065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo3 description:LIM domain only 3 [Source:MGI Symbol;Acc:MGI:102810] MQKQEKSFGIQMLSVQPDTKPKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGE VGSTLYTKANLILCRRDYLRLFGVTGNCAACSKLIPAFEMVMRAKDNVYHLDCFACQLCN QRFCVGDKFFLKNNMILCQTDYEEGLMKEGYAPQVR >ENSMUSP00000125335.1 pep:known chromosome:GRCm38:6:138362918:138581968:-1 gene:ENSMUSG00000030226.12 transcript:ENSMUST00000162772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmo3 description:LIM domain only 3 [Source:MGI Symbol;Acc:MGI:102810] MLSVQPDTKPKGCAGCNRKIKDRYLLKALDKYWHEDCLKCACCDCRLGEVGSTLYTKANL ILCRRDYLRLFGVTGNCAACSKLIPAFEMVMRAKDNVYHLDCFACQLCNQRFCVGDKFFL KNNMILCQTDYEEGLMKEGYAPQVR >ENSMUSP00000103411.1 pep:known chromosome:GRCm38:4:45848816:45887008:1 gene:ENSMUSG00000028327.14 transcript:ENSMUST00000107782.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6l description:STRA6-like [Source:MGI Symbol;Acc:MGI:1921402] MFLFSEGYQPLTVPQWAQAFVLFIGGMEVGLSYFPFFACLSSEFQLVSSILGFSYSLTWF VVTVLQISQCPHGQFLGRFETLVFYWPSLLCLGFLLGRFLHMFLKALPVHLGLEPQTEEK SMLEAHQAKHVKQLLSKPRPQEGEKSWFQTRVYEWDPCFQFPSRMVGTLLLAFICLYLFI VIEFCVFLHVRDKLDMFEDKLESYLTHMNETGTLTPIILQVKELISVTKGVWVVTILPAA LTCVTYLFHILACYRKHMKRLWAGDKHFLPQKFHSPSSAASVVAIARYSGWQIAYILWGY LIIHVVQSLCGVMLMYGLVLPIIHHRGLEMLQGFGLGVLTLSIVVGLIILQVWIAGTFFL QPKLGTSDKQKPLALNNRRAFHNFNYFLFFYNVLLGLGACLSRLLISCLLGTWLIARIDR TIMQSGYEGADMGFGAWIGMLFVDHYHTNPVLVSFCHILITSHKDRKLQKTVKYWCLNQS AGPRFSARARTRWFLLQTLINNPRLVMLRKSKSGHSSGEFTQILLTCSDC >ENSMUSP00000030011.5 pep:known chromosome:GRCm38:4:45848947:45887008:1 gene:ENSMUSG00000028327.14 transcript:ENSMUST00000030011.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6l description:STRA6-like [Source:MGI Symbol;Acc:MGI:1921402] MLAASTRTRQINITCDNPVDREVFLHYSLIPSLCIILVLSFLQRREHRRQRDDTSYLLGN HFGIIVPLDFVGTFSNRWSYGAAFGATANKVMFLFSEGYQPLTVPQWAQAFVLFIGGMEV GLSYFPFFACLSSEFQLVSSILGFSYSLTWFVVTVLQISQCPHGQFLGRFETLVFYWPSL LCLGFLLGRFLHMFLKALPVHLGLEPQTEEKSMLEAHQAKHVKQLLSKPRPQEGEKSWFQ TRVYEWDPCFQFPSRMVGTLLLAFICLYLFIVIEFCVFLHVRDKLDMFEDKLESYLTHMN ETGTLTPIILQVKELISVTKGVWVVTILPAALTCVTYLFHILACYRKHMKRLWAGDKHFL PQKFHSPSSAASVVAIARYSGWQIAYILWGYLIIHVVQSLCGVMLMYGLVLPIIHHRGLE MLQGFGLGVLTLSIVVGLIILQVWIAGTFFLQPKLGTSDKQKPLALNNRRAFHNFNYFLF FYNVLLGLGACLSRLLISCLLGTWLIARIDRTIMQSGYEGADMGFGAWIGMLFVDHYHTN PVLVSFCHILITSHKDRKLQKTVKYWCLNQSAGPRFSARARTRWFLLQTLINNPRLVMLR KSKSGHSSGEFTQILLTCSDC >ENSMUSP00000103412.1 pep:known chromosome:GRCm38:4:45848664:45887008:1 gene:ENSMUSG00000028327.14 transcript:ENSMUST00000107783.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stra6l description:STRA6-like [Source:MGI Symbol;Acc:MGI:1921402] MLAASTRTRQINITCDNPVDREVFLHYSLIPSLCIILVLSFLQRREHRRQRDDTSYLLGN HFGIIVPLDFVGTFSNRWSYGAAFGATANKVMFLFSEGYQPLTVPQWAQAFVLFIGGMEV GLSYFPFFACLSSEFQLVSSILGFSYSLTWFVVTVLQISQCPHGQFLGRFETLVFYWPSL LCLGFLLGRFLHMFLKALPVHLGLEPQTEEKSMLEAHQAKHVKQLLSKPRPQEGEKSWFQ TRVYEWDPCFQFPSRMVGTLLLAFICLYLFIVIEFCVFLHVRDKLDMFEDKLESYLTHMN ETGTLTPIILQVKELISVTKGVWVVTILPAALTCVTYLFHILACYRKHMKRLWAGDKHFL PQKFHSPSSAASVVAIARYSGWQIAYILWGYLIIHVVQSLCGVMLMYGLVLPIIHHRGLE MLQGFGLGVLTLSIVVGLIILQVWIAGTFFLQPKLGTSDKQKPLALNNRRAFHNFNYFLF FYNVLLGLGACLSRLLISCLLGTWLIARIDRTIMQSGYEGADMGFGAWIGMLFVDHYHTN PVLVSFCHILITSHKDRKLQKTVKYWCLNQSAGPRFSARARTRWFLLQTLINNPRLVMLR KSKSGHSSGEFTQILLTCSDC >ENSMUSP00000056715.7 pep:known chromosome:GRCm38:5:121711609:121814950:1 gene:ENSMUSG00000042605.15 transcript:ENSMUST00000051950.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2 description:ataxin 2 [Source:MGI Symbol;Acc:MGI:1277223] MRSSTAAAQRPAAGDPEPRRPAGWAARRSLPRTARRGGRGGAVAYPSAGPPPRGPGAPPR GPRSPPCASDCFGSNGHGASRPGSRRLLGVCGPPRPFVVVLLPLAPAATPARACPPGVRA SPPRSGVSSSARPAPGCPRPACEPVYGPLTMSLKPQPQPPAPATGRKPGGGLLSSPGAAP ASAAVTSASVVPAPAAPVASSSAAAGGGRPGLGRGRNSSKGLPQPTISFDGIYANVRMVH ILTSVVGSKCEVQVKNGGIYEGVFKTYSPKCDLVLDAAHEKSTESSSGPKREEIMESVLF KCSDFVVVQFKDTDSSYARRDAFTDSALSAKVNGEHKEKDLEPWDAGELTASEELELEND VSNGWDPNDMFRYNEENYGVVSTYDSSLSSYTVPLERDNSEEFLKREARANQLAEEIESS AQYKARVALENDDRSEEEKYTAVQRNCSDREGHGPNTRDNKYIPPGQRNREVLSWGSGRQ SSPRMGQPGPGSMPSRAASHTSDFNPNAGSDQRVVNGGVPWPSPCPSPSSRPPSRYQSGP NSLPPRAATPTRPPSRPPSRPSRPPSHPSAHGSPAPVSTMPKRMSSEGPPRMSPKAQRHP RNHRVSAGRGSMSSGLEFVSHNPPSEAAAPPVARTSPAGGTWSSVVSGVPRLSPKTHRPR SPRQSSIGNSPSGPVLASPQAGIIPAEAVSMPVPAASPTPASPASNRALTPSIEAKDSRL QDQRQNSPAGSKENVKASETSPSFSKADNKGMSPVVSEHRKQIDDLKKFKNDFRLQPSST SESMDQLLSKNREGEKSRDLIKDKTEASAKDSFIDSSSSSSNCTSGSSKTNSPSISPSML SNAEHKRGPEVTSQGVQTSSPACKQEKDDREEKKDTTEQVRKSTLNPNAKEFNPRSFSQP KPSTTPTSPRPQAQPSPSMVGHQQPAPVYTQPVCFAPNMMYPVPVSPGVQPLYPIPMTPM PVNQAKTYRAGKVPNMPQQRQDQHHQSTMMHPASAAGPPIVATPPAYSTQYVAYSPQQFP NQPLVQHVPHYQSQHPHVYSPVIQGNARMMAPPAHAQPGLVSSSAAQFGAHEQTHAMYAC PKLPYNKETSPSFYFAISTGSLAQQYAHPNAALHPHTPHPQPSATPTGQQQSQHGGSHPA PSPVQHHQHQAAQALHLASPQQQSAIYHAGLAPTPPSMTPASNTQSPQSSFPAAQQTVFT IHPSHVQPAYTTPPHMAHVPQAHVQSGMVPSHPTAHAPMMLMTTQPPGGPQAALAQSALQ PIPVSTTAHFPYMTHPSVQAHHQQQL >ENSMUSP00000124070.1 pep:known chromosome:GRCm38:5:121749196:121814949:1 gene:ENSMUSG00000042605.15 transcript:ENSMUST00000161064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2 description:ataxin 2 [Source:MGI Symbol;Acc:MGI:1277223] FKDTDSSYARRDAFTDSALSAKVNGEHKEKDLEPWDAGELTASEELELENDVSNGWDPND MFRYNEENYGVVSTYDSSLSSYTVPLERDNSEEFLKREARANQLAEEIESSAQYKARVAL ENDDRSEEEKYTAVQRNCSDREGHGPNTRDNKYIPPGQRNREVLSWGSGRQSSPRMGQPG PGSMPSRAASHTSDFNPNAGSDQRVVNGGVPWPSPCPSPSSRPPSRYQSGPNSLPPRAAT PTRPPSRPPSRPSRPPSHPSAHGSPAPVSTMPKRMSSEGPPRMSPKAQRHPRNHRVSAGR GSMSSGLEFVSHNPPSEAAAPPVARTSPAGGTWSSVVSGVPRLSPKTHRPRSPRQSSIGN SPSGPVLASPQAGIIPAEAVSMPVPAASPTPASPASNRALTPSIEAKDSRLQDQRQNSPA GSKENVKASETSPSFSKADNKGMSPVVSEHRKQIDDLKKFKNDFRLQPSSTSESMDQLLS KNREGEKSRDLIKDKTEASAKDSFIDSSSSSSNCTSGSSKTNSPSISPSMLSNAEHKRGP EVTSQGVQTSSPACKQEKDDREEKKDTTEQVRKSTLNPNAKEFNPRSFSQPKPSTTPTSP RPQAQPSPSMVGHQQPAPVYTQPVCFAPNMMYPVPVSPGVQPLYPIPMTPMPVNQAKTYR AGKVPNMPQQRQDQHHQSTMMHPASAAGPPIVATPPAYSTQYVAYSPQQFPNQPLVQHVP HYQSQHPHVYSPVIQGNARMMAPPAHAQPGLVSSSAAQFGAHEQTHAMYVSTGSLAQQYA HPNAALHPHTPHPQPSATPTGQQQSQHGGSHPAPSPVQHHQHQAAQALHLASPQQQSAIY HAGLAPTPPSMTPASNTQSPQSSFPAAQQTVFTIHPSHVQPAYTTPPHMAHVPQYKPTTN SSCKAALEEPKGQIPSSLLLLLPTGSTEN >ENSMUSP00000125647.1 pep:known chromosome:GRCm38:5:121777929:121795011:1 gene:ENSMUSG00000042605.15 transcript:ENSMUST00000160821.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2 description:ataxin 2 [Source:MGI Symbol;Acc:MGI:1277223] XSEEFLKREARANQLAEEIESSAQYKARVALENDDRSEEEKYTAVQRNCSDREGHGPNTR DNKYIPPGQRNREVLSWGSGRQSSPRMGQPGPGSMPSRAASHTSDFNPNAGSDQRVVNGG PPRMSPKAQRHPRNHRVSAGRGSMSSGLEFVSHNPPSEAAAPPVARTSPAGGTWSSVVSG VPRLSPKTHRPRSPRQSSIGNSPSGPVLASPQAGIIPAEAVSMPVPAASPTPASPASNRA LTPSIEAKDSRLQDQRQNSPAGSKENVKASETSPSFSKADNKGMSPVVSEHRKQIDDLKK FKNDFRLQPSSTSESMDQLLSKNREGEKSR >ENSMUSP00000123784.2 pep:known chromosome:GRCm38:5:121783907:121814720:1 gene:ENSMUSG00000042605.15 transcript:ENSMUST00000162327.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2 description:ataxin 2 [Source:MGI Symbol;Acc:MGI:1277223] VSAGRGSMSSGLEFVSHNPPSEAAAPPVARTSPAGGTWSSVVSGVPRLSPKTHRPRSPRQ SSIGNSPSGPVLASPQAGIIPAEAVSMPVPAASPTPASPASNRALTPSIEAKDSRLQDQR QNSPAGSKENVKASETSPSFSKADNKGMSPVVSEHRKQIDDLKKFKNDFRLQPSSTSESM DQLLSKNREGEKSRDLIKDKTEASAKDSFIDSSSSSSNCTSGSSKTNSPSISPSMLSNAE HKRGPEVTSQGVQTSSPACKQEKDDREEKKDTTEQVRKSTLNPNAKEFNPRSFSQPKPST TPTSPRPQAQPSPSMVGHQQPAPVYTQPVCFAPNMMYPVPVSPGVQPLYPIPMTPMPVNQ AKTYRAVPNMPQQRQDQHHQSTMMHPASAAGPPIVATPPAYSTQYVAYSPQQFPNQPLVQ HVPHYQSQHPHVYSPVIQGNARMMAPPAHAQPGLVSSSAAQFGAHEQTHAMYVSTGSLAQ QYAHPNAALHPHTPHPQPSATPTGQQQSQHGGSHPAPSPVQHHQHQAAQALHLASPQQQS AIYHAGLAPTPPSMTPASNTQSPQSSFPAAQQTVFTIHPSHVQPAYTTPPHMAHVPQAHV QSGMVPSHPTAHAPMMLMTTQPPGGPQAALAQSALQPIPVSTTAHFPYMTHPSVQAHHQQ QL >ENSMUSP00000123833.2 pep:known chromosome:GRCm38:5:121802230:121814631:1 gene:ENSMUSG00000042605.15 transcript:ENSMUST00000161159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2 description:ataxin 2 [Source:MGI Symbol;Acc:MGI:1277223] PLVQHVPHYQSQHPHVYSPVIQGNARMMAPPAHAQPGLVSSSAAQFGAHEQTHAMYACPK LPYNKETSPSFYFAISTGSLAQQYAHPNAALHPHTPHPQPSATPTGQQQSQHGGSHPAPS PVQHHQHQAAQALHLASPQQQSAIYHAGLAPTPPSMTPASNTQSPQSSFPAAQQTVFTIH PSHVQPAYTTPPHMAHVPQYKPTTNSSCKAALEEPKGQIPSSLLLLLPTGSTEN >ENSMUSP00000124092.1 pep:known chromosome:GRCm38:5:121806245:121813455:1 gene:ENSMUSG00000042605.15 transcript:ENSMUST00000160462.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atxn2 description:ataxin 2 [Source:MGI Symbol;Acc:MGI:1277223] KETSPSFYFAISTGSLAQQYAHPNAALHPHTPHPQPSATPTGQQQSQHGGSHPAPSPVQH QHHLP >ENSMUSP00000124059.1 pep:known chromosome:GRCm38:5:121811460:121816493:1 gene:ENSMUSG00000042605.15 transcript:ENSMUST00000160220.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atxn2 description:ataxin 2 [Source:MGI Symbol;Acc:MGI:1277223] LAPTPPSMTPASNTQSPQSSFPAAQQTVFTIHPSHVQPAYTTPPHMAHVPQFWLKVSRKS QDCDSLSGGLAVGFSRRQAGQRWAAFKSPHLQAGAD >ENSMUSP00000142984.1 pep:known chromosome:GRCm38:5:121811507:121814898:1 gene:ENSMUSG00000042605.15 transcript:ENSMUST00000199864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn2 description:ataxin 2 [Source:MGI Symbol;Acc:MGI:1277223] XPQSSFPAAQQTVFTIHPSHVQPAYTTPPHMAHVPQGPASTQLCLLKLEVIYFLMALESH EHIS >ENSMUSP00000057339.5 pep:known chromosome:GRCm38:11:103116231:103119725:1 gene:ENSMUSG00000048878.6 transcript:ENSMUST00000053063.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexim1 description:hexamethylene bis-acetamide inducible 1 [Source:MGI Symbol;Acc:MGI:2385923] MAEPLLTEHQHQPQTSNCTGAAVVHEEHTSERPPSAEERVPKEDSRWQSRASLQSGSRPG QEGEGGLKHQLPPLQTNACPELSSLEKGEKGQNGEDLSTGGASPSAEGEPMSESLVQPGH DSEATKQEAPAAGGEEPWGQQQRQLGKKKHRRRPSKKKRHWKPYYKLTWEEKKKFDEKQS LRASRVRAEMFAKGQPVAPYNTTQFLMDDHDQEEPDLKTGLYPKRAAAKSDDTSDEDFVE EAGEEDGGSDGMGGDGSEFLQRDFSETYERYHAESLQNMSKQELIKEYLELEKCLSRKED ENNRLRLESKRLGGVDARVRELELELDRLRAENLQLLTENELHRQQERAPLSKFGD >ENSMUSP00000099820.1 pep:known chromosome:GRCm38:2:52691664:52742200:-1 gene:ENSMUSG00000055371.17 transcript:ENSMUST00000102759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stam2 description:signal transducing adaptor molecule (SH3 domain and ITAM motif) 2 [Source:MGI Symbol;Acc:MGI:1929100] MPLFTANPFEQDVEKATNEYNTTEDWSLIMDICDRVGSTPSGAKDCLKAIMKRVNHKVPH VALQALTLLGACVANCGKIFHLEVCSRDFATEVRSVIKNKAHPKVCEKLKSLMVEWSEEF QKDPQFSLISATIKSMKEEGVTFPSAGSQTVAAAAKNGTSLNKNKEDEDIAKAIELSLQE QKQQYTETKALYPPAESQLNNKAARRVRALYDFEAVEDNELTFKHGELITVLDDSDANWW QGENHRGTGLFPSNFVTTDLSTEVETATVDKLNVIDDDVEEIKKSEPEPVYIDEGKMDRA LQILQSIDPKESKPDSQDLLDLEDVCQQMGPMIDEKLEEIDRKHSELSELNVKVLEALDL YNKLVNEAPVYSVYSKLHPAHYPPAAAGVPVQTYPVQSHGGNYLGHGIHQVSVAQNYNLG PDPMGSLRSLPPNMNSVTAHTVQPPYLSTGQDTVSNPSYMNQSSRLQAAAGTAAYTQPVG MSTDVSSFQNTASGLPQLAGFPVAVPAPVAAQPQASYHQQPLL >ENSMUSP00000121898.1 pep:known chromosome:GRCm38:2:52699502:52742281:-1 gene:ENSMUSG00000055371.17 transcript:ENSMUST00000127316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stam2 description:signal transducing adaptor molecule (SH3 domain and ITAM motif) 2 [Source:MGI Symbol;Acc:MGI:1929100] MPLFTANPFEQDVEKATNEYNTTEDWSLIMDICDRVGSTPSGAKDCLKAIMKRVNHKVPH VALQALTAHPKVCEKLKSLMVEWSEEFQKDPQFSLISATIKSMKEEGVTFPSAGSQTVAA AAKNGTSLNKNKEDEDIAKAIELSLQEQKQQYTETKALYPPAESQLNNKAARRVRALYDF EAVEDNELTFKHGELITVLDDSDANWWQGENHRGTGLFPSNFVTTDLSTEVETATVDKLN VIDDDVEEIKKSEPEPVYIDEGKMDRALQILQSIDPKESKPDSQDLLDLEDVCQQMGPMI DEKLEEIDRKHSELSELNVKVLEALDLYNKLVNEAPVYSVYSKLHPAHYPPAAAGVPVQT YPVQSHGGNYLGHGIHQVSVAQNYNLGPDPMGSLRSLPPNMNSVTAHTVQPPYLR >ENSMUSP00000119203.1 pep:known chromosome:GRCm38:2:52709679:52742190:-1 gene:ENSMUSG00000055371.17 transcript:ENSMUST00000155516.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stam2 description:signal transducing adaptor molecule (SH3 domain and ITAM motif) 2 [Source:MGI Symbol;Acc:MGI:1929100] MPLFTANPFEQDVVSHVSEKRSPTLLHPCYIPATSHSATSFLGCLLSLTLTSA >ENSMUSP00000114620.1 pep:known chromosome:GRCm38:2:52719714:52742156:-1 gene:ENSMUSG00000055371.17 transcript:ENSMUST00000138290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stam2 description:signal transducing adaptor molecule (SH3 domain and ITAM motif) 2 [Source:MGI Symbol;Acc:MGI:1929100] MDICDRVGSTPSGAKDCLKAIMKRVNHKVPHVALQALTLLGACVANCGKIFHLEVCSRDF ATEVR >ENSMUSP00000138956.1 pep:known chromosome:GRCm38:2:93661028:93822549:-1 gene:ENSMUSG00000027198.16 transcript:ENSMUST00000184931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ext2 description:exostoses (multiple) 2 [Source:MGI Symbol;Acc:MGI:108050] MCASVKSNIRGPALIPRMKTKHRIYYVTLFSIVLLGLIATGMFQFWPHSIESSSDGGVEK RSIREVPVVRLPTDSPIPERGDLSCRMHTCFDVYRCGFNPKNKIKVYIYPLKKYVDDAGV PVSSAISREYNELLTAISDSDYYTDDINRACLFVPSIDVLNQNPLRIKETAQALAQLSRW DRGTNHLLFNMLPGAPPDYNTALDVPRDRALLAGGGFSTWTYRQGYDVSIPVFSPLSAEM ALPEKAPGPRRYFLLSSQMAIHPEYREELEALQAKHQESVLVLDKCTNLSEGVLSVRKRC HQHQVFDYPQVLQEATFCTVLRGARLGQAVLSDVLQAGCVPVVIADSYILPFSEVLDWKR ASVVVPEEKMSDVYSILQNIPQRQIEEMQRQARWFWEAYFQSIKAIALATLQIINDRIYP YAAISYEEWNDPPAVKWASVSNPLFLPLIPPQSQGFTAIVLTYDRVESLFRVITEVSKVP SLSKLLVVWNNQNKNPPEESLWPKIRVPLKVVRTAENKLSNRFFPYDEIETEAVLAIDDD IIMLTSDELQFGYEAASFASCFLHDELHHHRPKAMRPTVKGIHAID >ENSMUSP00000028623.6 pep:known chromosome:GRCm38:2:93695631:93822568:-1 gene:ENSMUSG00000027198.16 transcript:ENSMUST00000028623.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ext2 description:exostoses (multiple) 2 [Source:MGI Symbol;Acc:MGI:108050] MCASVKSNIRGPALIPRMKTKHRIYYVTLFSIVLLGLIATGMFQFWPHSIESSSDGGVEK RSIREVPVVRLPTDSPIPERGDLSCRMHTCFDVYRCGFNPKNKIKVYIYPLKKYVDDAGV PVSSAISREYNELLTAISDSDYYTDDINRACLFVPSIDVLNQNPLRIKETAQALAQLSRW DRGTNHLLFNMLPGAPPDYNTALDVPRDRALLAGGGFSTWTYRQGYDVSIPVFSPLSAEM ALPEKAPGPRRYFLLSSQMAIHPEYREELEALQAKHQESVLVLDKCTNLSEGVLSVRKRC HQHQVFDYPQVLQEATFCTVLRGARLGQAVLSDVLQAGCVPVVIADSYILPFSEVLDWKR ASVVVPEEKMSDVYSILQNIPQRQIEEMQRQARWFWEAYFQSIKAIALATLQIINDRIYP YAAISYEEWNDPPAVKWASVSNPLFLPLIPPQSQGFTAIVLTYDRVESLFRVITEVSKVP SLSKLLVVWNNQNKNPPEESLWPKIRVPLKVVRTAENKLSNRFFPYDEIETEAVLAIDDD IIMLTSDELQFGYEVWREFPDRLVGYPGRLHLWDHEMNKWKYESEWTNEVSMVLTGAAFY HKYFNYLYTYKMPGDIKNWVDTHMNCEDIAMNFLVANVTGKAVIKVTPRKKFKCPECTAI DGLSLDQTHMVERSECINKFASVFGTMPLKVVEHRADPVLYKDDFPEKLKSFPNIGSL >ENSMUSP00000120291.1 pep:known chromosome:GRCm38:2:93696127:93822545:-1 gene:ENSMUSG00000027198.16 transcript:ENSMUST00000125407.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ext2 description:exostoses (multiple) 2 [Source:MGI Symbol;Acc:MGI:108050] MCASVKSNIRGPALIPRMKTKHRIYYVTLFSIVLLGLIATGMFQFWPHSIESSSDGGVEK RSIREVPVVRLPTDSPIPERGDLSCRMHTCFDVYRCGFNPKNKIKVYIYPLKKYVDDAGV PVSSAISREYNELLTAISDSDYYTDDINRACLFVPSIDVLNQNPLRIKETAQALAQLSRW DRGTNHLLFNMLPGAPPDYNTALDVPRDRALLAGGGFSTWTYRQGYDVSIPVFSPLSAEM ALPEKAPGPRRYFLLSSQMAIHPEYREELEALQAKHQESVLVLDKCTNLSEGVLSVRKRC HQHQVFDYPQVLQEATFCTVLRGARLGQAVLSDVLQAGCVPVVIADSYILPFSEVLDWKR ASVVVPEEKMSDVYSILQNIPQRQIEEMQRQLFHEASRDRRLVSCGP >ENSMUSP00000123492.1 pep:known chromosome:GRCm38:2:93702956:93707318:-1 gene:ENSMUSG00000027198.16 transcript:ENSMUST00000132429.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ext2 description:exostoses (multiple) 2 [Source:MGI Symbol;Acc:MGI:108050] XHEMNKWKYESEWTNEVSMVLTGAAFYHKYFNYLYTYKMPGDIKNWVDTHMNCEDIAMNF LVANVTGKAVIKVTPRKKFKCPECTAIDGLSLDQTHMVER >ENSMUSP00000119281.1 pep:known chromosome:GRCm38:2:93703539:93704509:-1 gene:ENSMUSG00000027198.16 transcript:ENSMUST00000144780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ext2 description:exostoses (multiple) 2 [Source:MGI Symbol;Acc:MGI:108050] NVTGKAVIKHALSCGSFLWM >ENSMUSP00000122144.1 pep:known chromosome:GRCm38:2:93806128:93822565:-1 gene:ENSMUSG00000027198.16 transcript:ENSMUST00000145838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ext2 description:exostoses (multiple) 2 [Source:MGI Symbol;Acc:MGI:108050] MCASVKSNIRGPALIPRMKTKHRIYYVTLFSIVLLGLIATGMFQFWPHSIESSSDGGVEK RSIREVPVVRLPTDSPIPERGDLSCRMHTCFDVYRCGFNPKNKIKVYIYPLKKYVDDAGV PVSSAISREYNELLTAISDSDYYTDDINRACLFVPSIDVLNQNPLRIKETAQALAQLSRW DRGTNHLLFNMLPGAPPDYNTALDVPRDRALLA >ENSMUSP00000106879.1 pep:known chromosome:GRCm38:2:93813568:93822548:-1 gene:ENSMUSG00000027198.16 transcript:ENSMUST00000111248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ext2 description:exostoses (multiple) 2 [Source:MGI Symbol;Acc:MGI:108050] MCASVKSNIRGPALIPRMKTKHRIYYVTLFSIVLLGLIATGMFQFWPHSIESSSDGGVEK RSIREVPVVRLPTDSPIPERGDLSCRMHTCFDVYRCGFNPKNKIKVYIYPLKKYVDDAGV PV >ENSMUSP00000021116.5 pep:known chromosome:GRCm38:11:116030322:116061214:1 gene:ENSMUSG00000020770.13 transcript:ENSMUST00000021116.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unk description:unkempt homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2442456] MSKGPGPGGSAASSAPPAATAQVLQAQPEKPQHYTYLKEFRTEQCPLFVQHKCTQHRPYT CFHWHFVNQRRRRSIRRRDGTFNYSPDVYCTKYDEATGLCPEGDECPFLHRTTGDTERRY HLRYYKTGICIHETDSKGNCTKNGLHCAFAHGPHDLRSPVYDIRELQAMEALQNGQTTVE GSIEGQSAGAASHAMIEKILSEEPRWQETAYVLGNYKTEPCKKPPRLCRQGYACPYYHNS KDRRRSPRKHKYRSSPCPNVKHGDEWGDPGKCENGDACQYCHTRTEQQFHPEIYKSTKCN DMQQAGSCPRGPFCAFAHIEPPPLSDDVQPSSAVSSPTQPGPVLYMPSAAGDSVPVSPSS PHAPDLSALLCRNSGLGSPSHLCSSPPGPSRKASNLEGLVFPGESSLAPGSYKKAPGFER EDQVGAEYLKNFKCQAKLKPHSLEPRSQEQPLLQPKQDVLGILPVGSPLTSSISSSITSS LAATPPSPAGTNSTPGMNANALPFYPTSDTVESVIESALDDLDLNEFGVAALEKTFDNSA VPHPSSVTIGGSLLQSSAPVNIPGSLGSSASFHSASPSPPVSLSSHFLQQPQGHLSQSEN TFLGTSASHGSLGLNGMNSSIWEHFASGSFSPGTSPAFLSGPGAAELARLRQELDEANGT IKQWEESWKQAKQACDAWKKEAEEAGERASAAGAECELAREQRDALELRVKKLQEELERL HTVPEAQTLPAAPDLEALSLSTLYSIQKQLRVHLEQVDKAVFHMQSVKCLKCQEQTRAVL PCQHAVLCELCAEGSECPVCQPSRAHALQS >ENSMUSP00000102060.1 pep:known chromosome:GRCm38:11:116030322:116061214:1 gene:ENSMUSG00000020770.13 transcript:ENSMUST00000106452.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unk description:unkempt homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2442456] MSKGPGPGGSAASSAPPAATAQVLQAQPEKPQHYTYLKEFRTEQCPLFVQHKCTQHRPYT CFHWHFVNQRRRRSIRRRDGTFNYSPDVYCTKYDEATGLCPEGDECPFLHRTTGDTERRY HLRYYKTGICIHETDSKGNCTKNGLHCAFAHGPHDLRSPVYDIRELQAMEALQNGQTTVE GSIEGQSAGAASHAMIEKILSEEPRWQETAYVLGNYKTEPCKKPPRLCRQGYACPYYHNS KDRRRSPRKHKYRSSPCPNVKHGDEWGDPGKCENGDACQYCHTRTEQQFHPEIYKSTKCN DMQQAGSCPRGPFCAFAHIEPPPLSDDVQPSSAVSSPTQPGPVLYMPSAAGDSVPLLCRN SGLGSPSHLCSSPPGPSRKASNLEGLVFPGESSLAPGSYKKAPGFEREDQVGAEYLKNFK CQAKLKPHSLEPRSQEQPLLQPKQDVLGILPVGSPLTSSISSSITSSLAATPPSPAGTNS TPGMNANALPFYPTSDTVESVIESALDDLDLNEFGVAALEKTFDNSAVPHPSSVTIGGSL LQSSAPVNIPGSLGSSASFHSASPSPPVSLSSHFLQQPQGHLSQSENTFLGTSASHGSLG LNGMNSSIWEHFASGSFSPGTSPAFLSGPGAAELARLRQELDEANGTIKQWEESWKQAKQ ACDAWKKEAEEAGERASAAGAECELAREQRDALELRVKKLQEELERLHTVPEAQTLPAAP DLEALSLSTLYSIQKQLRVHLEQVDKAVFHMQSVKCLKCQEQTRAVLPCQHAVLCELCAE GSECPVCQPSRAHALQS >ENSMUSP00000062312.6 pep:known chromosome:GRCm38:5:136038496:136046487:1 gene:ENSMUSG00000042985.7 transcript:ENSMUST00000062606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upk3b description:uroplakin 3B [Source:MGI Symbol;Acc:MGI:2140882] MVRTRWQPHPPPPLLLLVLVWLPQSLSLDLIAYVPQITAWDLEGKITATTFSLEQPRCVF DEHVSTKDTIWLVVAFSNASRDFQNPQTAAKIPTFPQLLTDGHYMTLPLSLDQLPCEDLT GGSGGVPVLRVGNDFGCYQRPYCNAPLPSQGPYSVKFLVMDAAGPPKAETKWSNPIYLHQ GKNPNSIDTWPGRRSGCMIVITSILSALAGLLLLAFLAASTTRFSSLWWPEEAPEQLRIG SFMGKRYMTHHIPPSEAATLPVGCEPGLDPLPSLSP >ENSMUSP00000061427.3 pep:known chromosome:GRCm38:11:89300638:89302332:-1 gene:ENSMUSG00000048616.4 transcript:ENSMUST00000061728.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nog description:noggin [Source:MGI Symbol;Acc:MGI:104327] MERCPSLGVTLYALVVVLGLRAAPAGGQHYLHIRPAPSDNLPLVDLIEHPDPIFDPKEKD LNETLLRSLLGGHYDPGFMATSPPEDRPGGGGGPAGGAEDLAELDQLLRQRPSGAMPSEI KGLEFSEGLAQGKKQRLSKKLRRKLQMWLWSQTFCPVLYAWNDLGSRFWPRYVKVGSCFS KRSCSVPEGMVCKPSKSVHLTVLRWRCQRRGGQRCGWIPIQYPIISECKCSC >ENSMUSP00000058840.6 pep:known chromosome:GRCm38:X:106027322:106124926:1 gene:ENSMUSG00000033792.12 transcript:ENSMUST00000055941.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp7a description:ATPase, Cu++ transporting, alpha polypeptide [Source:MGI Symbol;Acc:MGI:99400] MEPSVDANSITITVEGMTCISCVRTIEQQIGKVNGVHHIKVSLEEKSATIIYDPKLQTPK TLQEAIDDMGFDALLHNANPLPVLTNTVFLTVTAPLTLPWDHIQSTLLKTKGVTGVKISP QQRSAVVTIIPSVVSASQIVELVPDLSLDMGTQEKKSGACEEHSTPQAGEVMLKMKVEGM TCHSCTSTIEGKVGKLQGVQRIKVSLDNQEATIVFQPHLITAEEIKKQIEAVGFPAFIKK QPKYLKLGAIDVERLKNTPVKSSEGSQQKSPSYPSDSTTMFTIEGMHCKSCVSNIESALS TLQYVSSIVVSLENRSAIVKYNASLVTPEMLRKAIEAISPGQYRVSIASEVESTASSPSS SSLQKMPLNIVSQPLTQEAVININGMTCNSCVQSIEGVISKKPGVKSIHVSLANSTGTIE FDPLLTSPETLREAIEDMGFDAALPADMKEPLVVIAQPSLETPLLPSSNELENVMTSVQN KCYIQVSGMTCASCVANIERNLRREEGIYSVLVALMAGKAEVRYNPAVIQPRVIAEFIRE LGFGAMVMENAGEGNGILELVVRGMTCASCVHKIESTLTKHKGIFYCSVALATNKAHIKY DPEIIGPRDIIHTIGSLGFEASLVKKDRSANHLDHKREIKQWRGSFLVSLFFCIPVMGLM VYMMVMDHHLATLHHNQNMSNEEMINMHSAMFLERQILPGLSIMNLLSLLLCLPVQFCGG WYFYIQAYKALKHKTANMDVLIVLATTIAFAYSLVILLVAMFERAKVNPITFFDTPPMLF VFIALGRWLEHIAKGKTSEALAKLISLQATEATIVTLNSENLLLSEEQVDVELVQRGDII KVVPGGKFPVDGRVIEGHSMVDESLITGEAMPVAKKPGSTVIAGSINQNGSLLIRATHVG ADTTLSQIVKLVEEAQTSKAPIQQFADKLSGYFVPFIVLVSIVTLLVWIIIGFQNFEIVE TYFPGYNRSISRTETIIRFAFQASITVLCIACPCSLGLATPTAVMVGTGVGAQNGILIKG GEPLEMAHKVKVVVFDKTGTITHGTPVVNQVKVLVESNKISRNKILAIVGTAESNSEHPL GAAVTKYCKKELDTETLGTCTDFQVVPGCGISCKVTNIEGLLHKSNLKIEENNIKNASLV QIDAINEQSSTSSSMIIDAHLSNAVNTQQYKVLIGNREWMIRNGLVISNDVDESMIEHER RGRTAVLVTIDDELCGLIAIADTVKPEAELAVHILKSMGLEVVLMTGDNSKTARSIASQV GITKVFAEVLPSHKVAKVKQLQEEGKRVAMVGDGINDSPALAMANVGIAIGTGTDVAIEA ADVVLIRNDLLDVVASIDLSRKTVKRIRINFVFALIYNLVGIPIAAGVFLPIGLVLQPWM GSAAMAASSVSVVLSSLFLKLYRKPTYDNYELHPRSHTGQRSPSEISVHVGIDDTSRNSP RLGLLDRIVNYSRASINSLLSDKRSLNSVVTSEPDKHSLLVGDFREDDDTTL >ENSMUSP00000109186.1 pep:known chromosome:GRCm38:X:106027276:106124917:1 gene:ENSMUSG00000033792.12 transcript:ENSMUST00000113557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp7a description:ATPase, Cu++ transporting, alpha polypeptide [Source:MGI Symbol;Acc:MGI:99400] MEPSVDANSITITVEGMTCISCVRTIEQQIGKVNGVHHIKVSLEEKSATIIYDPKLQTPK TLQEAIDDMGFDALLHNANPLPVLTNTVFLTVTAPLTLPWDHIQSTLLKTKGVTGVKISP QQRSAVVTIIPSVVSASQIVELVPDLSLDMGTQEKKSGACEEHSTPQAGEVMLKMKVEGM TCHSCTSTIEGKVGKLQGVQRIKVSLDNQEATIVFQPHLITAEEIKKQIEAVGFPAFIKK QPKYLKLGAIDVERLKNTPVKSSEGSQQKSPSYPSDSTTMFTIEGMHCKSCVSNIESALS TLQYVSSIVVSLENRSAIVKYNASLVTPEMLRKAIEAISPGQYRVSIASEVESTASSPSS SSLQKMPLNIVSQPLTQEAVININGMTCNSCVQSIEGVISKKPGVKSIHVSLANSTGTIE FDPLLTSPETLREAIEDMGFDAALPDMKEPLVVIAQPSLETPLLPSSNELENVMTSVQNK CYIQVSGMTCASCVANIERNLRREEGIYSVLVALMAGKAEVRYNPAVIQPRVIAEFIREL GFGAMVMENAGEGNGILELVVRGMTCASCVHKIESTLTKHKGIFYCSVALATNKAHIKYD PEIIGPRDIIHTIGSLGFEASLVKKDRSANHLDHKREIKQWRGSFLVSLFFCIPVMGLMV YMMVMDHHLATLHHNQNMSNEEMINMHSAMFLERQILPGLSIMNLLSLLLCLPVQFCGGW YFYIQAYKALKHKTANMDVLIVLATTIAFAYSLVILLVAMFERAKVNPITFFDTPPMLFV FIALGRWLEHIAKGKTSEALAKLISLQATEATIVTLNSENLLLSEEQVDVELVQRGDIIK VVPGGKFPVDGRVIEGHSMVDESLITGEAMPVAKKPGSTVIAGSINQNGSLLIRATHVGA DTTLSQIVKLVEEAQTSKAPIQQFADKLSGYFVPFIVLVSIVTLLVWIIIGFQNFEIVET YFPGYNRSISRTETIIRFAFQASITVLCIACPCSLGLATPTAVMVGTGVGAQNGILIKGG EPLEMAHKVKVVVFDKTGTITHGTPVVNQVKVLVESNKISRNKILAIVGTAESNSEHPLG AAVTKYCKKELDTETLGTCTDFQVVPGCGISCKVTNIEGLLHKSNLKIEENNIKNASLVQ IDAINEQSSTSSSMIIDAHLSNAVNTQQYKVLIGNREWMIRNGLVISNDVDESMIEHERR GRTAVLVTIDDELCGLIAIADTVKPEAELAVHILKSMGLEVVLMTGDNSKTARSIASQVG ITKVFAEVLPSHKVAKVKQLQEEGKRVAMVGDGINDSPALAMANVGIAIGTGTDVAIEAA DVVLIRNDLLDVVASIDLSRKTVKRIRINFVFALIYNLVGIPIAAGVFLPIGLVLQPWMG SAAMAASSVSVVLSSLFLKLYRKPTYDNYELHPRSHTGQRSPSEISVHVGIDDTSRNSPR LGLLDRIVNYSRASINSLLSDKRSLNSVVTSEPDKHSLLVGDFREDDDTTL >ENSMUSP00000013842.5 pep:known chromosome:GRCm38:1:172196728:172206804:-1 gene:ENSMUSG00000013698.12 transcript:ENSMUST00000013842.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pea15a description:phosphoprotein enriched in astrocytes 15A [Source:MGI Symbol;Acc:MGI:104799] MAEYGTLLQDLTNNITLEDLEQLKSACKEDIPSEKSEEITTGSAWFSFLESHNKLDKDNL SYIEHIFEISRRPDLLTMVVDYRTRVLKISEEEELDTKLTRIPSAKKYKDIIRQPSEEEI IKLAPPPKKA >ENSMUSP00000106878.1 pep:known chromosome:GRCm38:1:172196728:172206804:-1 gene:ENSMUSG00000013698.12 transcript:ENSMUST00000111247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pea15a description:phosphoprotein enriched in astrocytes 15A [Source:MGI Symbol;Acc:MGI:104799] MAEYGTLLQDLTNNITLEDLEQLKSACKEDIPSEKNNLSYIEHIFEISRRPDLLTMVVDY RTRVLKISEEEELDTKLTRIPSAKKYKDIIRQPSEEEIIKLAPPPKKA >ENSMUSP00000117735.1 pep:known chromosome:GRCm38:1:172199161:172206697:-1 gene:ENSMUSG00000013698.12 transcript:ENSMUST00000155109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pea15a description:phosphoprotein enriched in astrocytes 15A [Source:MGI Symbol;Acc:MGI:104799] MAEYGTLLQDLTNNITLEDLEQLKSACKEDIPSEKSEEITTGSAWFSFLESHNKLDKDNL SYIEHIFEISRRPDLLTMVVDYRTRVLKISEE >ENSMUSP00000079860.4 pep:known chromosome:GRCm38:X:126812462:126815868:-1 gene:ENSMUSG00000058670.5 transcript:ENSMUST00000081074.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932411N23Rik description:RIKEN cDNA 4932411N23 gene [Source:MGI Symbol;Acc:MGI:3045322] MSSVLLSEDSDRNLMLCLQNEANEMENPTEPLNKRPCLSSEVDSTSYMSALEFPLQEMEQ SFGLVTTTATEVAEEEFGGENMMQFEVLKDDKQYEVFPLPNKEESVVSQAWFTSKEAKDA LTHQGQKWKQGMWSKEETAILMNNIERYMKDHGVENPAEIIFKMAKGKRKDFYRSVSLGL NRPLFSVYRRVVRMYDDRNHVGKYSPEEIEKLKELWQKHGNDWITIGAAMGRSPSSVKDR CRLMKDTCNTGKWTEEEEQLLGDVVHELTCTEVDEKVTHGVCWATVAQRVGTRSAKQCRA KWLNYLNWKQTGGIEWTRKDEVTLIQRLVELDVSDESEIRWDELAKGWESVRSPQWLRNK WWIIKRQITNHKDFAFPVLVRCLQQEYESQNASLRFWENKSGSEVPDSNPDIIFQQVPFG VTSIENNNASICTDPMTGLQISFQLIPRPTTELPDAVVDSETITLPTGPQ >ENSMUSP00000034609.4 pep:known chromosome:GRCm38:9:44673233:44686305:1 gene:ENSMUSG00000032098.13 transcript:ENSMUST00000034609.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Treh description:trehalase (brush-border membrane glycoprotein) [Source:MGI Symbol;Acc:MGI:1926230] MTWELHLLLLLGLGLRSQEALPPPCESQIYCHGELLHQVQMAQLYQDDKQFVDMSLATSP DEVLQKFSELATVHNHSIPKEQLQEFVQSHFQPVGQELQSWTPEDWKDSPQFLQKISDAN LRVWAEELHKIWKKLGKKMKAEVLSYPERSSLIYSKHPFIVPGGRFVEFYYWDSYWVMEG LLLSEMASTVKGMLQNFLDLVKTYGHIPNGGRIYYLQRSQPPLLTLMMDRYVAHTKDVAF LQENIGTLASELDFWTVNRTVSVVSGGQSYVLNRYYVPYGGPRPESYRKDAELANSVPEG DRETLWAELKAGAESGWDFSSRWLVGGPDPDLLSSIRTSKMVPADLNAFLCQAEELMSNF YSRLGNDTEATKYRNLRAQRLAAMEAVLWDEQKGAWFDYDLEKGKKNLEFYPSNLSPLWA GCFSDPSVADKALKYLEDSKILTYQYGIPTSLRNTGQQWDFPNAWAPLQDLVIRGLAKSA SPRTQEVAFQLAQNWIKTNFKVYSQKSAMFEKYDISNGGHPGGGGEYEVQEGFGWTNGLA LMLLDRYGDQLTSGTQLASLGPHCLVAALLLSLLLQ >ENSMUSP00000120671.1 pep:known chromosome:GRCm38:9:44673235:44686304:1 gene:ENSMUSG00000032098.13 transcript:ENSMUST00000139389.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Treh description:trehalase (brush-border membrane glycoprotein) [Source:MGI Symbol;Acc:MGI:1926230] MTWELHLLLLLGLGLRSQEALPPPCESQIYCHGELLHQVQMAQLYQDDKQFVDMSLATSP DEVLQKFSELATVHNHSIPKEQLQEFVQSHFQPVGQELQSWTPEDWKDSPQFLQKISDAN LRVWAEELHKIWKKLGKKGLVLGDGRPASF >ENSMUSP00000071206.5 pep:known chromosome:GRCm38:9:44673249:44686305:1 gene:ENSMUSG00000032098.13 transcript:ENSMUST00000071219.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Treh description:trehalase (brush-border membrane glycoprotein) [Source:MGI Symbol;Acc:MGI:1926230] MTWELHLLLLLGLGLRSQEALPPPCERSTAMESSCTKFRWPSSTKMTSSLWICHWPHLQM KSCRSSVSWPQSTTTASPRNSFRNLSRVTSSPWGRSCSPGPLRTGRTALSSCRRSRMLIC VSGRRSYTRSGKSWERRDSYWVMEGLLLSEMASTVKGMLQNFLDLVKTYGHIPNGGRIYY LQRSQPPLLTLMMDRYVAHTKDVAFLQENIGTLASELDFWTVNRTVSVVSGGQSYVLNRY YVPYGGPRPESYRKDAELANSVPEGDRETLWAELKAGAESGWDFSSRWLVGGPDPDLLSS IRTSKMVPADLNAFLCQAEELMSNFYSRLGNDTEATKYRNLRAQRLAAMEAVLWDEQKGA WFDYDLEKGKKNLEFYPSNLSPLWAGCFSDPSVADKALKYLEDSKILTYQYGIPTSLRNT GQQWDFPNAWAPLQDLVIRGLAKSASPRTQEVAFQLAQNWIKTNFKVYSQKSAMFEKYDI SNGGHPGGGGEYEVQEGFGWTNGLALMLLDRYGDQLTSGTQLASLGPHCLVAALLLSLLL Q >ENSMUSP00000123144.1 pep:known chromosome:GRCm38:9:44683296:44686016:1 gene:ENSMUSG00000032098.13 transcript:ENSMUST00000150822.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Treh description:trehalase (brush-border membrane glycoprotein) [Source:MGI Symbol;Acc:MGI:1926230] VNRTVSVVSGGQSYVLNRYYVPYGGPRPESYRKDAELANSVPEGDRETLWAELKAGAESG WDFSSRWLVGGPDPDLLSSIRTSKMVPADLNAFLCQAEELMSNFYSRLGQQDLDLPIWNP NLSS >ENSMUSP00000027533.8 pep:known chromosome:GRCm38:1:91351016:91362416:1 gene:ENSMUSG00000026308.8 transcript:ENSMUST00000027533.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl30 description:kelch-like 30 [Source:MGI Symbol;Acc:MGI:1918038] MVRNLDDLDFHLPSHAQDMLEGLQRLRSLPKLADVTLLVGDQELPCHRSLLALNSPYFHA MFAGDFTESFLARVELRDVEPAMVGQLVDFVYTGRLTITQANVEALTRSASRLNFPTVQK VCGRYLQQQLDATNCLGICEFGEQQGLLGVAAKAWAFLRENFEAVAQEDEFLQLARDRLA TCLASDLLQVQPEQSRLEALLRWVRHDPQDRAAHLPELLSLVHLDAVPRPCVQQLLATEP LIQESEACQEALSQGHSEELPGLPQKMQEVLVVVGGRALEEDEDGGEEPSHHTGNFAFYN TKARQWMALPDFPDYHKWGFSLAALNSDVYVTGGSRGTKTDTWSTTQAWCFPLKEAIWKP VAPMLKARTNHASTALNGEIYAIGGTALDAVEVERYDPYTDSWAPVGPAPKYVSNFSAAG CQGRLYLVGSSACKYNMLALQCYSPVTDAWSVIASPFLPKYLSSPRCAALNGALYLIGDN TKKVYVYDPGANLWQKVQSQHSLHENGALVPLGDLLYVTGGRWQGMDGDYHVEMEAYDTV RDAWARHGSLPRLWLYHGASTIFLDVSKWTQPFIPTAPQEH >ENSMUSP00000108808.1 pep:known chromosome:GRCm38:X:135167624:135177632:-1 gene:ENSMUSG00000079432.1 transcript:ENSMUST00000113183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm15023 description:predicted gene 15023 [Source:MGI Symbol;Acc:MGI:3805552] MWFIMMDTKDPPTLLDLATQSLLSNEHAAIQALEEIPRELFVPLFTAAFTGRHKNILTAI VKAWPFACLHIGALSVQEPERELLKALIESLQFLPALNSATRSPKLRILDLRQDAGCKTV CPEISTNSTICFRSCAHSEHSIFKIEGQESLSSSKSEAQPSRLPMELIVDISLDGTLRER NFFALLQNKVEQSLGSLHLCCRDLQINNLCECRHALSHLDLKCVDHLAVDESPLTEVTKL LSHTIQLDSLSLSKIACRSLNGRTFRNFITQLSRMNHLKELSLSSFCLTDHLENVLRVLS ADLEFLYLPFCGLSYSDFKFLSECSQVSHLKLLNVNNNPIYWEDCEPFYYLLQNVSSTLQ HLKICYCFLTDSTISVLIPALTRCSQLRVLHFYSNQITMGMLMRILELLTPLKELKHVIY PIPVHCYGRWHFQGSLDRQKVEDVQRQLNLMLQEAERSDMNWITYSD >ENSMUSP00000021065.5 pep:known chromosome:GRCm38:11:107703218:107716522:-1 gene:ENSMUSG00000020722.5 transcript:ENSMUST00000021065.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng1 description:calcium channel, voltage-dependent, gamma subunit 1 [Source:MGI Symbol;Acc:MGI:1206582] MSQTKTAKVRVTLFFILVGGVLAMVAVVTDHWAVLSPHLEHHNETCEAAHFGLWRICTAR VAVHNKDKSCEHVTPSGEKNCSYFRHFNPGESSEIFEFTTQKEYSISAAAIAIFSLGFII VGSICAFLSFGNKRDYLLRPASMFYAFAGLCLIVSVEVMRQSVKRMIDSEDTVWIEHYYS WSFACACAAFILLFLGGLFLLLFSLPRMPQNPWESCMDAEPEH >ENSMUSP00000023818.3 pep:known chromosome:GRCm38:15:102706779:102722178:-1 gene:ENSMUSG00000023055.9 transcript:ENSMUST00000023818.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcoco1 description:calcium binding and coiled coil domain 1 [Source:MGI Symbol;Acc:MGI:1914738] MEESSLSRAPSRGGVNFLNVARTYIPNTKVECHYTLPPGTMPSASDWIGIFKVEAACVRD YHTFVWSSVPESTTDGSPTHASVQFQASYLPKPGAQLYQFRYVNRQGRVCGQSPPFQFRE PRPMDELVTLEEADGGSDILLVVPKATVLQNQLDESQQERNDLMQLKLQLEDQVTELRSR VQELEAALATARQEHSELTEQYKGLSRSHGELSEERDILSQQQGDHVARILELEDDIQTM SDKVLMKEVELDRVRDTVKALTREQEKLLRQLKEFQADKEQSEAELQTVREENCCLNTEL EEAKSRQEEQGAQVQRLKDKLAHMKDTLGQAQQKVAELEPLKEQLRGVQELAASSQQKAA LLGEELASAAGARDRTIAELHRSRLEVAEVNGRLAELSLHMKEEKCQWSKERTGLLQSME AEKDKILKLSAEILRLEKTVQEERTQSHVFKTELAREKDSSLVQLSESKRELTELRSALR VLQKEKEQLQTEKQELLEYMRKLEARLEKVADEKWTEDAATEDEEATAGLSCPASLTDSE DESPEDMRLPSYGLCESGNTSSSPPGPREPSSLVVINQPAPIAPQFSGPGEASSSDSEAE DEKSVLMAAVQSGGEEASLLLPELGSAFYDVASAFTVSSLSEASPGVPANPPWKECPICK ERFPAESDKDALEGHMDGHFFFSTQDPFTFE >ENSMUSP00000128307.1 pep:known chromosome:GRCm38:15:102706972:102709902:-1 gene:ENSMUSG00000023055.9 transcript:ENSMUST00000168112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcoco1 description:calcium binding and coiled coil domain 1 [Source:MGI Symbol;Acc:MGI:1914738] XEKEQLQTEKQELLEYMRKLEARLEKVADEKWTEDAATEDEEATAGLSCPASLTDSEDES PEDMRLPSYGLCESGNTSSSPPGPREPSSLVVINQPAPIAPQFSGPGEASSSDSEAEDEK SVLMAAVQSGGEEASLLLPELGSAFYDVASFIFRGAQIVLLPLSPAPSPSPGTSAFTVSS LSEASPGVPANPPWKECPICKERFPAESDKDALEGHMDGHFFFSTQDPFTFE >ENSMUSP00000130170.1 pep:known chromosome:GRCm38:15:102707585:102722131:-1 gene:ENSMUSG00000023055.9 transcript:ENSMUST00000171838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calcoco1 description:calcium binding and coiled coil domain 1 [Source:MGI Symbol;Acc:MGI:1914738] MEESSLSRAPSRGGVNFLNVARTYIPNTKVECHYTLPPGTMPSASDWIGIFKVEAACVRD YHTFVWSSVPESTTDGSPTHASVQFQASYLPKPGAQLYQFRYVNRQGRVCGQSPPFQFRE PRPMDELVTLEEADGGSDILLVVPKATVLQNQLDESQQERNDLMQLKLQLEDQVTELRSR VQELEAALATARQEHSELTEQYKGLSRSHGELSEERDILSQQQGDHVARILELEDDIQTM SDKVLMKEVELDRVRDTVKALTREQEKLLRQLKEFQADKEQSEAELQTVREENCCLNTEL EEAKSRQEEQGAQVQRLKDKLAHMKDTLGQAQQKVAELEPLKEQLRGVQELAASSQQKAA LLGEELASAAGARDRTIAELHRSRLEVAEVNGRLAELSLHMKEEKCQWSKERTGLLQSME AEKDKILKLSAEILRLEKTVQEERTQSHVFKTELAREKDSSLVQLSESKRELTELRSALR VLQKEKEQLQTEKQELLEYMRKLEARLEKVADEKWTEDAATEDEEATAGLSCPASLTDSE DESPEDMRLPSYGLCESGNTSSSPPGPREPSSLVVINQPAPIAPQFSGPGEASSSDSEAE DEKSVLMAAVQSGGEEASLLLPELGSAFYDVAR >ENSMUSP00000029476.2 pep:known chromosome:GRCm38:3:97901190:97923276:1 gene:ENSMUSG00000027879.9 transcript:ENSMUST00000029476.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec22b description:SEC22 homolog B, vesicle trafficking protein [Source:MGI Symbol;Acc:MGI:1338759] MVLLTMIARVADGLPLAASMQEDEQSGRDLQQYQSQAKQLFRKLNEQSPTRCTLEAGAMT FHYIIEQGVCYLVLCEAAFPKKLAFAYLEDLHSEFDEQHGKKVPTVSRPYSFIEFDTFIQ KTKKLYIDSRARRNLGSINTELQDVQRIMVANIEEVLQRGEALSALDSKANNLSSLSKKY RQDAKYLNMRSTYAKLAAVAVFFIMLIVYVRFWWL >ENSMUSP00000117579.1 pep:known chromosome:GRCm38:3:97901216:97921851:1 gene:ENSMUSG00000027879.9 transcript:ENSMUST00000130778.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sec22b description:SEC22 homolog B, vesicle trafficking protein [Source:MGI Symbol;Acc:MGI:1338759] MVLLTMIARVADGLPLAASMQEDEQAGTFNSIRVRLSNSFES >ENSMUSP00000142976.1 pep:known chromosome:GRCm38:3:97901225:97907664:1 gene:ENSMUSG00000027879.9 transcript:ENSMUST00000130620.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec22b description:SEC22 homolog B, vesicle trafficking protein [Source:MGI Symbol;Acc:MGI:1338759] MVLLTMIARVADGLPLAASMQEDEQSGRDLQQYQSQAKQLFRKLNEQSPTRCTLEAGAMT FQ >ENSMUSP00000113502.1 pep:known chromosome:GRCm38:3:97901227:97915211:1 gene:ENSMUSG00000027879.9 transcript:ENSMUST00000122288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec22b description:SEC22 homolog B, vesicle trafficking protein [Source:MGI Symbol;Acc:MGI:1338759] MVLLTMIARVADGLPLAASMQEDEQSGRDLQQYQSQAKQLFRKLNEQSPTRCTLEAGAMT FHYIIEQGVCYLVLCEAAFPKKLAFAYLEDLHSEFDEQHGKKVPTVSRPYSFIEFDTFIQ KTKKLYIDSRARRNLGSINTELQDVQRIMVANIEEVLQRGEALSGI >ENSMUSP00000134705.1 pep:known chromosome:GRCm38:11:43474276:43491505:1 gene:ENSMUSG00000046491.10 transcript:ENSMUST00000173002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf2 description:C1q and tumor necrosis factor related protein 2 [Source:MGI Symbol;Acc:MGI:1916433] MGKLCLGPASLAAAGRTEHHRSSDVGWPWMWLGAQKVTTMISWVLLACALPCAADPMLGA FARRDFQKGGPQLVCSLPGPQGPPGPPGAPGSSGVVGRMGFPGKDGQDGQDGDRGDSGEE GPPGRTGNRGKQGPKGKAGAIGRAGPRGPKGVSGTPGKHGTPGKKGPKGKKGEPGLPGPC SCGSSRAKSAFSVAVTKSYPRERLPIKFDKILMNEGGHYNASSGKFVCSVPGIYYFTYDI TLANKHLAIGLVHNGQYRIRTFDANTGNHDVASGSTILALKEGDEVWLQIFYSEQNGLFY DPYWTDSLFTGFLIYADQGDPNEV >ENSMUSP00000051652.3 pep:known chromosome:GRCm38:11:43474306:43491525:1 gene:ENSMUSG00000046491.10 transcript:ENSMUST00000057679.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf2 description:C1q and tumor necrosis factor related protein 2 [Source:MGI Symbol;Acc:MGI:1916433] MTIFKKVTTMISWVLLACALPCAADPMLGAFARRDFQKGGPQLVCSLPGPQGPPGPPGAP GSSGVVGRMGFPGKDGQDGQDGDRGDSGEEGPPGRTGNRGKQGPKGKAGAIGRAGPRGPK GVSGTPGKHGTPGKKGPKGKKGEPGLPGPCSCGSSRAKSAFSVAVTKSYPRERLPIKFDK ILMNEGGHYNASSGKFVCSVPGIYYFTYDITLANKHLAIGLVHNGQYRIRTFDANTGNHD VASGSTILALKEGDEVWLQIFYSEQNGLFYDPYWTDSLFTGFLIYADQGDPNEV >ENSMUSP00000133616.1 pep:known chromosome:GRCm38:11:43480829:43490864:1 gene:ENSMUSG00000046491.10 transcript:ENSMUST00000151912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1qtnf2 description:C1q and tumor necrosis factor related protein 2 [Source:MGI Symbol;Acc:MGI:1916433] MTIFKKVTTMISWVLLACALPCAADPMLGAFARRDFQKGGPQLVCSLPGPQGPPGPPGAP GSSGVVGRMGFPGKDGQDGQDGDRGDSGEEGPPGRTGNRGKQGPKGKAGAIGRAGPRGPK GVSGTPGKHGTPGKKGP >ENSMUSP00000099609.3 pep:known chromosome:GRCm38:4:134525550:134535268:-1 gene:ENSMUSG00000046671.18 transcript:ENSMUST00000102550.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfr1l description:mitochondrial fission regulator 1-like [Source:MGI Symbol;Acc:MGI:1924074] MEANVTIPIWQNKPHGAARSVVRRIGTNLPLKPCPRASFETLPNISDLCLKDVPPVPTLA DIAWIAADEEETYARVRSDTRPLRHTWKPSPLIVMQRNASVPNLRGSEERLLALKKPALP ALSRTTELQDELSHLRSQIAKIVAADAASASLTPDFFSSGSSNVSSPLPCFGSSLHSTTS FVISDITEETEVEVPELPTVPLLCSASPECCKPEHKTTCSSSEEDDCISLSKASSFADMM GILKDFHRIKQSQDLSRSLLKEEDPAVLISEVLRRKFALKEEDISRKGN >ENSMUSP00000123326.1 pep:known chromosome:GRCm38:4:134525564:134535298:-1 gene:ENSMUSG00000046671.18 transcript:ENSMUST00000131613.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtfr1l description:mitochondrial fission regulator 1-like [Source:MGI Symbol;Acc:MGI:1924074] MEANVTIPIWQNKPHGAARSVVRRIGTNLPLKPCPRASFETLPNISDLCLKDVPPVPTLA DIAWIAADEEETYARVRSDTRPLRHTWKPSPLIVMQRNASVPNLRGSEERLLALKKPALP ALSRTTELQDELSHLRSQIAKIVAADAVTSPRRRRWRSLSSQPSPYFVLPALSVANQNTK LPAAHLKRMTASLCPRPAALQT >ENSMUSP00000117943.1 pep:known chromosome:GRCm38:4:134529142:134535096:-1 gene:ENSMUSG00000046671.18 transcript:ENSMUST00000154769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfr1l description:mitochondrial fission regulator 1-like [Source:MGI Symbol;Acc:MGI:1924074] MEANVTIPIWQNKPHGAARSVVRRIGTNLPLKPCPRASFETLPNISDLCLKDVPPVPTLA DIAWIAADEEETYARVRSDTRPLRHTWKPSPLIVMQRNASVPNLRGSEERLLALKKPALP ALSRTTELQDELSHLRSQIAKIVAADAASASLTPDFFSSGSSNVSSPLPCFGSSLHSTTS FVISDITEETEVEVPELPTVPLLCSASPECCKPEHKTTCSSSEEDDCISLSKASSFA >ENSMUSP00000120200.1 pep:known chromosome:GRCm38:4:134529177:134535387:-1 gene:ENSMUSG00000046671.18 transcript:ENSMUST00000146808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfr1l description:mitochondrial fission regulator 1-like [Source:MGI Symbol;Acc:MGI:1924074] MEANVTIPIWQNKPHGAARSVVRRIGTNLPLKPCPRASFETLPNISDLCLKDVPPVPTLA DIAWIAADEEETYARVRSDTRPLRHTWKPSPLIVMQRNASVPNLRGSEERLLALKKPALP ALSRTTELQDELSHLRSQIAKIVAADAASASLTPDFFSSGSSNVSSPLPCFGSSLHSTTS FVISDITEETEVEVPELPTVPLLCSASPECCKPEHKTTCSSSEED >ENSMUSP00000111983.3 pep:known chromosome:GRCm38:4:134525557:134535387:-1 gene:ENSMUSG00000046671.18 transcript:ENSMUST00000116279.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfr1l description:mitochondrial fission regulator 1-like [Source:MGI Symbol;Acc:MGI:1924074] MEANVTIPIWQNKPHGAARSVVRRIGTNLPLKPCPRASFETLPNISDLCLKDVPPVPTLA DIAWIAADEEETYARVRSDTRPLRHTWKPSPLIVMQRNASVPNLRGSEERLLALKKPALP ALSRTTELQDELSHLRSQIAKIVAADAASASLTPDFFSSGSSNVSSPLPCFGSSLHSTTS FVISDITEETEVEVPELPTVPLLCSASPECCKPEHKTTCSSSEEDDCISLSKASSFADMM GILKDFHRIKQSQDLSRSLLKEEDPAVLISEVLRRKFALKEEDISRKGN >ENSMUSP00000139713.1 pep:known chromosome:GRCm38:Y:77960873:77963204:-1 gene:ENSMUSG00000096898.2 transcript:ENSMUST00000190987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20867 description:predicted gene, 20867 [Source:MGI Symbol;Acc:MGI:5434223] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNHHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136459.1 pep:known chromosome:GRCm38:Y:77961007:77961690:-1 gene:ENSMUSG00000096898.2 transcript:ENSMUST00000178900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20867 description:predicted gene, 20867 [Source:MGI Symbol;Acc:MGI:5434223] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNHHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000094056.1 pep:known chromosome:GRCm38:X:127039972:127063986:1 gene:ENSMUSG00000062791.4 transcript:ENSMUST00000096332.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm382 description:predicted gene 382 [Source:MGI Symbol;Acc:MGI:2685228] MNSKRNSASQQNKSAQKENHYKNPNDVVRMSRNDKEVNQHLEQGNFSGNPEETAESSNSN IFKAGEISNLTRIFHVLLEEEKYLTQFGEGTLAKFYAHIMENTGVNLESSFVKDQGLYVT VFGNPEAVTNAENEIFKWCQKQYLPLTLISKQEHCFFLNQTGNKSQDLEQEGQINTQITS PHNQNNSINSVDVNQTKMKSSDGSLSTSARSNKGDIQILEMEKSFNPLTVKPYLQASDIF QETEEHVPFELSSAKETDLDINEGQLHVDQIGCLKNDMIKKTSTITEGMMMTFQDSGTRS LKRHFLEEILDTSEDSTGQSQSESISDYMTQHSDLDSSGELFNEADDNDDDYIASSIFAP SWLHRFIIGTKGEEISEITECVPKVQIHFTAKDKITLKGPIDDVNYAQEKFDIIVKDLMS KMEYTEINSDSKFYKYLTGNNGEILNRITEKNQVSITMFPENESNYSIRIEGESLGVHQA KKELLDLANNLEEEYSQDIIIKHQFHHILIGQKGERVREICKKFPDVILNFPHPAEKSDI VQLIGPRYESEKCAQYLENMLTDIKENNYSISVPIIKKLHKRIIGKGVSNIRKISEATNT KITFPPESCNSEEFIITGYPENCEIARNWILSLQQELADTAEEEIIIPANLYKHLTNPKE CLLNSIIEECGKIHLHFPKGKSNLNKIIIMGTIENVEKAKTKLLKLSEEEQAKNYSETLH IKSKYHQFLLNKNGGNISKICDETGTCVFFPNPTNKDQETITITGTEESVKEVQKQLDDL VKDFENEVDDSILINRRFHHYFVMRRGQLLKEMAEDYGGVVITFSYSGRQNTKVTIRGAK PCVEAAKKHIKEIFEPLGSQITTRYVLPHSFQPFIMGPISSRIQQIARDYKVEIKFPDIE KPALNMDLGTHEKGKEKWKRTAKEIAPNSPRKGDTIFISGQVENCKAATEALASIIPVTT EVHVPLHLQPYIIGHKGSGLRKLVKEYEVHMQVSQPGKNSDIISIMGLSANVEQAKIKLQ KRVKSLQMEVEDRTLRNFKLMFNLDPKYQAKITGHKGLLITQICTEHDVTIHFPKKGTHD MQEQITITGYKENTLAARDAIMRLLHKIEKTISKEITLNQQVRGNVIGVRGKTINKIMDQ YQVDIRLPPKGLYNPNITVTGLADNVEKAIEHILNLEKYYLSAAINHGSQQEQPKSMSLC NIAMTPSKSFVRKYVPCYAKTTTKLPDVDNCEHFPRLKQRTSSKTHPSKL >ENSMUSP00000098617.1 pep:known chromosome:GRCm38:5:87825686:87846387:1 gene:ENSMUSG00000002240.12 transcript:ENSMUST00000101056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr27 description:proline rich 27 [Source:MGI Symbol;Acc:MGI:1921029] MKLLLWACIMCVAFAKRRRYPFIHKKSPSSTEEDYFGNRYPLNPSLNIPFGLWNDNLPPF LLPPFNTQQGNTITKFPGSSELEKGLSLYPWIATPSKLRYVYQSLNYPADAPLNGPPVAP LPPPKHFPPRPYPFVIPPKISVISPVRPEPVAVPAMPAEGEGLVPEFPVDKPILGLPQAV KLGTPVPPSGPKPLAPEPAPSPFGAPEPAPVQFGAPEPAPHQLEAAVPDASRLMAPEPAV PLSVGAQSLAESPAGLSPENKPTSGEPAATQSLPALPAAGLAVEAKLPAAESAAGRPPPA ELMASQSVVGKLITAEPTEAKPQVLEPVEAKSASQEAQPFFYQVPMNKPKEI >ENSMUSP00000002310.6 pep:known chromosome:GRCm38:5:87825686:87846387:1 gene:ENSMUSG00000002240.12 transcript:ENSMUST00000002310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr27 description:proline rich 27 [Source:MGI Symbol;Acc:MGI:1921029] MKLLLWACIMCVAFAKRKSPSSTEEDYFGNRYPLNPSLNIPFGLWNDNLPPFLLPPFNTQ QGNTITKFPGSSELEKGLSLYPWIATPSKLRYVYQSLNYPADAPLNGPPVAPLPPPKHFP PRPYPFVIPPKISVISPVRPEPVAVPAMPAEGEGLVPEFPVDKPILGLPQAVKLGTPVPP SGPKPLAPEPAPSPFGAPEPAPVQFGAPEPAPHQLEAAVPDASRLMAPEPAVPLSVGAQS LAESPAGLSPENKPTSGEPAATQSLPALPAAGLAVEAKLPAAESAAGRPPPAELMASQSV VGKLITAEPTEAKPQVLEPVEAKSASQEAQPFFYQVPMNKPKEI >ENSMUSP00000145724.1 pep:known chromosome:GRCm38:9:65908924:66041906:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000205379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] MDHSNREKDDRQRTTKTMAQRNTHCSRPSGTSTSSGVLMVGPNFRVGKKIGCGNFGELRL GKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQVYYFGPCGKYNAMVLEL LGPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRDVKPENFLIGRQGNKKE HVIHIIDFGLAKEYVDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHM FMYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEALCENFPEEMATYLRYVRRLDFFE KPDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRP SQQQPLRNQVVSSTNGELNVDDPTGAHSNAPITAHAEVEVVEEAKCCCFFKRKRKKTAQR HK >ENSMUSP00000145887.1 pep:known chromosome:GRCm38:9:65908977:66045009:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000206048.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] MDHSNREKDDRQRTTKTMAQRNTHCSRPSGTSTSSGVLMVGPNFRVGKKIGCGNFGELRL GKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQVYYFGPCGKYNAMVLEL LGPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRDVKPENFLIGRQGNKKE HVIHIIDFGLAKEYVDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHM FMYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEALCENFPEEMATYLRYVRRLDFFE KPDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRP SQQQPLRNQTTSSERRGEWEIQPSRQTNTSYLTSHLAADRHGGSVQVVSSTNGELNVDDP TGAHSNAPITAHAEVEVVEEAKYVIFHNPWHWSKKKHSCEK >ENSMUSP00000034949.3 pep:known chromosome:GRCm38:9:65908986:66045015:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000034949.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] MDHSNREKDDRQRTTKTMAQRNTHCSRPSGTSTSSGVLMVGPNFRVGKKIGCGNFGELRL GKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQVYYFGPCGKYNAMVLEL LGPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRDVKPENFLIGRQGNKKE HVIHIIDFGLAKEYVDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHM FMYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEALCENFPEEMATYLRYVRRLDFFE KPDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRP SQQQPLRNQTTSSERRGEWEIQPSRQTNTSYLTSHLAADRHGGSVQVVSSTNGELNVDDP TGAHSNAPITAHAEVEVVEEAKCCCFFKRKRKKTAQRHK >ENSMUSP00000146845.1 pep:known chromosome:GRCm38:9:65909014:66002088:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000207167.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] MDHSNREKDDRQRTTKTMAQRNTHCSRPSGTSTSSGVLMVGPNFRVGKKIGCGNFGELRL GTNKITCSTTSFRVQVL >ENSMUSP00000119407.1 pep:known chromosome:GRCm38:9:65909020:65958666:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000154589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] MDHSNREKDDRQRTTKTMAQRNTHCSRPSGTSTSSGVLMVGP >ENSMUSP00000145947.1 pep:known chromosome:GRCm38:9:65909088:66040440:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000206594.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] MDHSNREKDDRQRTTKTMAQRNTHCSRPSGTSTSSGVLMVGPNFRVGKKIGCGNFGELRL GKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQVYYFGPCGKYNAMVLEL LGPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRDVKPENFLIGRQGNKKE HVIHIIDFGLAKEYVDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHM FMYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEALCENFPEEMATYLRYVRRLDFFE KPDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRP SQQQPLRNQTTSSERRGEWEIQPSRQTNTSYLTSHLAADRHGGSVQVVSSTNGELNVDDP TGAHSNAPITAHAEVEVVEEAKCCCFFKRKRKKTAQRHK >ENSMUSP00000113160.1 pep:known chromosome:GRCm38:9:65909439:66043075:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000117849.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] MDHSNREKDDRQRTTKTMAQRNTHCSRPSGTSTSSGVLMVGPNFRVGKKIGCGNFGELRL GKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQVYYFGPCGKYNAMVLEL LGPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRDVKPENFLIGRQGNKKE HVIHIIDFGLAKEYVDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHM FMYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEALCENFPEEMATYLRYVRRLDFFE KPDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRP SQQQPLRNQVVSSTNGELNVDDPTGAHSNAPITAHAEVEVVEEANCLKMLNLWCCCFFKR KRKKTAQRHK >ENSMUSP00000145938.1 pep:known chromosome:GRCm38:9:65924235:66030876:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000130798.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] MDHSNREKDDRQRTTKTMAQRNTHCSRPSGTSTSSGVLMVGPNFRVGKKIGCGNFGELRL GKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQVYYFGPCGKYNAMVLEL LGPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRDVKPENFLIGRQGNKKE HVIHIIDFGLAKEYVDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHM FMYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEALCENFPEEMATYLRYVRRLDFFE KPDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRP SQQQPLRNQPRSLTAEWFVLGPFSHSPAPT >ENSMUSP00000146047.1 pep:known chromosome:GRCm38:9:65958355:66045005:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000206528.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] MDHSNREKDDRQRTTKTMAQRNTHCSRPSGTSTSSGVLMVGPNFRVGKKIGCGNFGELRL GKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLGSAGEGLPQVYYFGPCGKYNAMVLEL LGPSLEDLFDLCDRTFTLKTVLMIAIQLLSRMEYVHSKNLIYRDVKPENFLIGRQGNKKE HVIHIIDFGLAKEYVDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHM FMYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEALCENFPEEMATYLRYVRRLDFFE KPDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRP SQQQPLRNQVVSSTNGELNVDDPTGAHSNAPITAHAEVEVVEEAKYVIFHNPWHWSKKKH SCEK >ENSMUSP00000146513.1 pep:known chromosome:GRCm38:9:65958416:66007796:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000208011.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] MDHSNREKDDRQRTTKTMAQRNTHCSRPSGTSTSSGVLMVGPNFRVGKKIGCGNFGELRL GKNLYTNEYVAIKLVKASHRFITLDHVGSTMPWCWNSLALAWKTCLTSVTERLL >ENSMUSP00000146026.1 pep:known chromosome:GRCm38:9:66007740:66032320:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000205884.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] XQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLKERYQKIGDTKRNTPIEALCENFPEEM ATYLRYVRRLDFFEKPDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGA SAITRESHTHRDRPSQQQPLRNQPRSLTAEWFVLGPFSHSPAPT >ENSMUSP00000146283.1 pep:known chromosome:GRCm38:9:66010087:66039729:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000206969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] QKIGDTKRNTPIEALCENFPEEMATYLRYVRRLDFFEKPDYEYLRTLFTDLFERKGYTFD YAYDWVGRPIPTPVGSVHVDSGASAITRESHTHRDRPSQQQPLRNQTTSSERRGEWEIQP SRQTNTSYLTSHLAADRHGGSVQVVSSTNGELNVDDPTGAHSNAPITAHAEVEVVEEANC LKMLNLWCCCFFKRKRKKTAQRHK >ENSMUSP00000145744.1 pep:known chromosome:GRCm38:9:66010430:66030876:1 gene:ENSMUSG00000032384.16 transcript:ENSMUST00000136773.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g1 description:casein kinase 1, gamma 1 [Source:MGI Symbol;Acc:MGI:2660884] ATYLRYVRRLDFFEKPDYEYLRTLFTDLFERKGYTFDYAYDWVGRPIPTPVGSVHVDSGA SAITRESHTHRDRPSQQQPLRNQTTSSERRGEWEIQPSRQTNTSYLTSHLAADRHGGSVQ PRSLTAEWFVLGPFSHSPAPT >ENSMUSP00000058111.6 pep:known chromosome:GRCm38:11:43528784:43586997:1 gene:ENSMUSG00000044707.7 transcript:ENSMUST00000050574.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnjl description:cyclin J-like [Source:MGI Symbol;Acc:MGI:2685723] MDEPWWEGRVASDVHCTLREKELKLPTFRAHSPLLKSRRFFVDILTLLSRHCHLCPSARH LAIYLLDHFMDQYNITTSKQLYTVAVSCLLLASKFEDREDRVPKLEQINNTRILSSQNFS LTKKELLTTELLLLEAFSWDLCLPTPAHFLDYYLLASISQKDHHCHAWPTTCLRKTKECL KEYAHYFLEVTLQDHIFYKFQPSVVAAACVGASRICLQLSPYWTRDLQRVSSYSLEHLST CIEILLVAYDNVLKDAVAVKSQTLAMVPGSSSAPAQVLFQPPTYPTLSQPPPTTLAQFQS PAQDLCLAYRDSLQAHRSGGLLSGDTGPSLHTPYPTLQPLDMCPVPVPASLSMQMAIAAE PRHCLTASYGSSYFSGSHMFPAGCFDS >ENSMUSP00000142530.1 pep:known chromosome:GRCm38:9:110741861:110769147:1 gene:ENSMUSG00000059741.13 transcript:ENSMUST00000200011.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl3 description:myosin, light polypeptide 3 [Source:MGI Symbol;Acc:MGI:97268] MAPKKPEPKKDDAKAAAPKAAPAPAAAPAAAPAAAPEPERPKEAEFDASKIKIEFTPEQI EEFKEAFLLFDRTPKGEMKITYGQCGDVLRALGQNPTQAEVLRVLGKPKQEELNSKMMDF ETFLPMLQHISKNKDTGTYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGERLTEDEVEK LMAG >ENSMUSP00000078715.7 pep:known chromosome:GRCm38:9:110763646:110769798:1 gene:ENSMUSG00000059741.13 transcript:ENSMUST00000079784.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl3 description:myosin, light polypeptide 3 [Source:MGI Symbol;Acc:MGI:97268] MAPKKPEPKKDDAKAAAPKAAPAPAAAPAAAPAAAPEPERPKEAEFDASKIKIEFTPEQI EEFKEAFLLFDRTPKGEMKITYGQCGDVLRALGQNPTQAEVLRVLGKPKQEELNSKMMDF ETFLPMLQHISKNKDTGTYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGERLTEDEVEK LMAGQEDSNGCINYEAFVKHIMAS >ENSMUSP00000142424.1 pep:known chromosome:GRCm38:9:110765861:110769620:1 gene:ENSMUSG00000059741.13 transcript:ENSMUST00000124267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl3 description:myosin, light polypeptide 3 [Source:MGI Symbol;Acc:MGI:97268] MKITYGQCGDVLRALGQNPTQAEVLRVLGKPKQEELNSKMMDFETFLPMLQHISKNKDTG TYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGERLTEDEVEKLMAGQEDSNGCINYEAF VKHIMAS >ENSMUSP00000142791.1 pep:known chromosome:GRCm38:9:110766156:110769671:1 gene:ENSMUSG00000059741.13 transcript:ENSMUST00000136695.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl3 description:myosin, light polypeptide 3 [Source:MGI Symbol;Acc:MGI:97268] MKITYGQCGDVLRALGQNPTQAEVLRVLGKPKQEELNSKMMDFETFLPMLQHISKNKDTG TYEDFVEGLRVFDKEGNGTVMGAELRHVLATLGERLTEDEVEKLMAGQEDSNGCINYEAF VKHIMAS >ENSMUSP00000122661.1 pep:known chromosome:GRCm38:9:44686304:44728100:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000147495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] MDPLNRSQLGPGCKTQAVVQKGPLDLIETGQGLKVQTDKPHLVSLGSGRLSTAITLLPLE EGRTVIGSAARDISLQGPGLAPEHCYIENLRGTLTLYPCGNACTIDGLPVRQPTRLTQGC MLCLGQSTFLRFNHPAEAKWMKSMIPAGVRAPGPTYNPGSAESESLVNGNHTAQPATRAP SACASHSSLVSSIEKDLQEIMDSLVLEEPGAAGKKPAATSPLSPMANGGRYLLSPPTSPG AMSVGSSYENTSPAFSPLSSPASSGSCASHSPSGQEPGPSVPPLVPARSSSYHLALQPPQ SRPSGSRSSDSPRLGRKGGHERPPSPGLRGLLTDSPAATVLAEARRTTESPRLGGQLPVV AISLSEYPSSGARSQPASIPGSPKFQSPVPAPRNKIGTLQDRPPSPFREPPGTERVLTSS PSRQLVGRTFSDGLAATRTLQPPESPRLGRRGLDSMRELPPLSPSLSRRALSPLPARTAP DPKLSREVAESPRPRRWAAHGTSPEDFSLTLGARGRRTRSPSPTLGESLAPRKGSFSGRL SPAYSLGSLTGASPRQSPRAQRKLSSGDLRVPIPRERKNSITEISDNEDELLEYHRRQRQ ERLREQEMERLERQRLETILNLCAEYSRADGGPETGELPSIGEATAALALAGRRPSRGLA GAIVVSGRCGEESGGASQRLWESMERSDEENLKEECSSTESTQQEHEDAPGAKHQGEVLA VEEERAQVLGRVEQLKIRVKELEQQLQEAAREAEMERALLQGEREAERASLQKEQRAVDQ LQEKLVALETGIQKDRDKEADALETETKLFEDLEFQQLERESRVEEERELAGQGLLRSKA ELLRSVSKRKERLAVLDSQAGQIRAQAVQESERLAREKNAALQLLQKEKEKLNVLERRYH SLTGGRPFPKTTSTLKEMEKLLLPAVDLEQWYQELMSGLGTGLAAASPRSSPPPLPAKAS RQLQVYRSKMDSDAASPLPRTRSGPLPSSSGSSSSSSQLSVATLGRSPSPKSALLAQNGT SSLPRNLAATLQDIETKRQLALQQKGHQVIEEQRRRLAELKQKAAAEAQCQWDALHGAGP FSAGPSGFPALMHHSILHHLPAGRERGEEGEHAYDTLSLESSDSMETSISTGGNSACSPD NMSSASGLDMGKIEEMEKMLKEAHAEKSRLMESREREMELRRQALEEERRRREQVERRLQ SESARRQQLVEKEVKLREKQFSQARPLTRYLPNRKEDFDLKTHIESSGHGVDTCLHVVLS SKVCRGYLIKMGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVIYFQAIEEVYYDHL RSAAKKRFFHFTMVTKSPNPALTFCVKTHDRLYYMVAPSAEAMRIWMDVIVTGAEGYTQF MN >ENSMUSP00000114773.1 pep:known chromosome:GRCm38:9:44686308:44713240:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000144251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] MERSDEENLKEECSSTESTQQEHEDAPGAKHQGEVLAVEEERAQVLGRVEQLKIRVKELE QQLQEAAREAEMERALLQGEREAERASLQKEQRAVDQLQEKLVALETGIQKDRDKERAEL AAGRRHLEARQALYAELQTQLDNCPESVREQLQEQLRREADALETETKLFEDLEFQQLER ESRVEEERELAGQGLLRSKAELLRSVSKRKERLAVLDSQAGQIRAQAVQESERLAREKNA ALQLLQKEKEKLNVLERRYHSLTGGRPFPKTTSTLKEVYRSKMDSDAASPLPRTRSGPLP SSSGSSSSSSQLSVATLGRSPSPKSALLAQNGTSSLPRNLAATLQDIETKRQLALQQKGH QVIEEQRRRLAELKQKAAAEAQCQWDALHGAGPFSAGPSGFPALMHHSILHHLPAGRERG EEGEHAYDTLSLESSDSMETSISTGGNSACSPDNMSSASGLDMGKIEEMEKMLKEAHAEK SRLMESREREMELRRQALEEERRRREQVERRLQSESARRQQLVEKEVKLREKQFSQARPL TRYLPNRKEDFDLKTHIESSGHGVDTCLHVVLSSKVCRGYLIKMGGKIKSWKKRWFVFDR LKRTLSYYVDKHETKLKGVIYFQAIEEVYYDHLRSAAKSPNPALTFCVKTHDRLYYMVAP SAEAMRIWMDVIVTGAEGYTQFMN >ENSMUSP00000120092.1 pep:known chromosome:GRCm38:9:44686308:44713265:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000156918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] MERSDEENLKEECSSTESTQQEHEDAPGAKHQGEVLAVEEERAQVLGRVEQLKIRVKELE QQLQEAAREAEMERALLQGEREAERASLQKEQRAVDQLQEKLVALETGIQKDRDKEADAL ETETKLFEDLEFQQLERESRVEEERELAGQGLLRSKAELLRSVSKRKERLAVLDSQAGQI RAQAVQESERLAREKNAALQLLQKEKEKLNVLERRYHSLTGGRPFPKTTSTLKEVYRSKM DSDAASPLPRTRSGPLPSSSGSSSSSSQLSVATLGRSPSPKSALLAQNGTSSLPRNLAAT LQDIETKRQLALQQKGHQVIEEQRRRLAELKQKAAAEAQCQWDALHGAGPFSAGPSGFPA LMHHSILHHLPAGRERGEEGEHAYDTLSLESSDSMETSISTGGNSACSPDNMSSASGLDM GKIEEMEKMLKEAHAEKSRLMESREREMELRRQALEEERRRREQVERRLQSESARRQQLV EKEVKLREKQFSQARPLTRYLPNRKEDFDLKTHIESSGHGVDTCLHVVLSSKVCRGYLIK MGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVIYFQAIEEVYYDHLRSAAKSPNPA LTFCVKTHDRLYYMVAPSAEAMRIWMDVIVTGAEGYTQFMN >ENSMUSP00000119966.1 pep:known chromosome:GRCm38:9:44686308:44715623:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000128326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] XLTLGARGRRTRSPSPTLGESLAPRKGSFSGRLSPAYSLGSLTGASPRQSPRAQRKLSSG DLRVPIPRERKNSITEISDNEDELLEYHRRQRQERLREQEMERLERQRLETILNLCAEYS RADGGPETGELPSIGEATAALALAGRRPSRGLAGAIVVSGRCGEESGGASQRLWESMERS DEENLKEECSSTESTQQEHEDAPGAKHQGEVLAVEEERAQVLGRVEQLKIRVKELEQQLQ EAAREAEMERALLQGEREAERASLQKEQRAVDQLQEKLVALETGIQKDRDKEADALETET KLFEDLEFQQLERESRVEEERELAGQGLLRSKAELLRSVSKRKERLAVLDSQAGQIRAQA VQESERLAREKNAALQLLQKEKEKLNVLERRYHSLTGGRPFPKTTSTLKEVYRSKMDSDA ASPLPRTRSGPLPSSSGSSSSSSQLSVATLGRSPSPKSALLAQNGTSSLPRNLAATLQDI ETKRQLALQQKVELPPAEPLPPEDPAGHQVIEEQRRRLAELKQKAAAEAQCQWDALHGAG PFSAGPSGFPALMHHSILHHLPAGRERGEEGEHAYDTLSLESSDSMETSISTGGNSACSP DNMSSASGLDMGKIEEMEKMLKEAHAEKSRLMESREREMELRRQALEEERRRREQVERRL QSESARRQQLVEKEVKLREKQFSQARPLTRYLPNRKEDFDLKTHIESSGHGVDTCLHVVL SSKVCRGYLIKMGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVIYFQAIEEVYYDH LRSAAKSPNPALTFCVKTHDRLYYMVAPSAEAMRIWMDVIVTGAEGYTQFMN >ENSMUSP00000120208.1 pep:known chromosome:GRCm38:9:44686308:44728079:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000138356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] MDPLNRSQLGPGCKTQAVVQKGPLDLIETGQGLKVQTDKPHLVSLGSGRLSTAITLLPLE EGRTVIGSAARDISLQGPGLAPEHCYIENLRGTLTLYPCGNACTIDGLPVRQPTRLTQGC MLCLGQSTFLRFNHPAEAKWMKSMIPAGVRAPGPTYNPGSAESESLVNGNHTAQPATRAP SACASHSSLVSSIEKDLQEIMDSLVLEEPGAAGKKPAATSPLSPMANGGRYLLSPPTSPG AMSVGSSYENTSPAFSPLSSPASSGSCASHSPSGQEPGPSVPPLVPARSSSYHLALQPPQ SRPSGSRSSDSPRLGRKGGHERPPSPGLRGLLTDSPAATVLAEARRTTESPRLGGQLPVV AISLSEYPSSGARSQPASIPGSPKFQSPVPAPRNKIGTLQDRPPSPFREPPGTERVLTSS PSRQLVGRTFSDGLAATRTLQPPESPRLGRRGLDSMRELPPLSPSLSRRALSPLPARTAP DPKLSREVAESPRPRRWAAHGTSPEDFSLTLGARGRRTRSPSPTLGESLAPRKGSFSGRL SPAYSLGSLTGASPRQSPRAQRKLSSGDLRVPIPRERKNSITEISDNEDELLEYHRRQRQ ERLREQEMERLERQRLETILNLCAEYSRADGGPETGELPSIGEATAALALAGRRPSRGLA GAIVVSGRCGEESGGASQRLWESMERSDEENLKEECSSTESTQQEHEDAPGAKHQGEVLA VEEERAQVLGRVEQLKIRVKELEQQLQEAAREAEMERALLQGEREAERASLQKEQRAVDQ LQEKLVALETGIQKDRDKEADALETETKLFEDLEFQQLERESRVEEERELAGQGLLRSKA ELLRSVSKRKERLAVLDSQAGQIRAQAVQESERLAREKNAALQLLQKEKEKLNVLERRYH SLTGGRPFPKTTSTLKEYVTLEQLRVVWGTPPMPPSPSPGLPSWASASQDLAPITCLPPM LPSSFASITRSSKMEKLLLPAVDLEQWYQELMSGLGTGLAAASPRSSPPPLPAKASRQLQ VYRSKMDSDAASPLPRTRSGPLPSSSGSSSSSSQLSVATLGRSPSPKSALLAQNGTSSLP RNLAATLQDIETKRQLALQQKGHQVIEEQRRRLAELKQKAAAEAQCQWDALHGAGPFSAG PSGFPALMHHSILHHLPAGRERGEEGEHAYDTLSLESSDSMETSISTGGNSACSPDNMSS ASGLDMGKIEEMEKMLKEAHAEKSRLMESRVRLTGARRQQVEREMELRRQALEEERRRRE QVERRLQSESARRQQLVEKEVKLREKQFSQARPLTRYLPNRKEDFDLKTHIESSGHGVDT CLHVVLSSKVCRGYLIKMGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVIYFQAIE EVYYDHLRSAAKKRFFHFTMVTKSPNPALTFCVKTHDRLYYMVAPSAEAMRIWMDVIVTG AEGYTQFMN >ENSMUSP00000034611.8 pep:known chromosome:GRCm38:9:44686308:44735198:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000034611.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] MDPLNRSQLGPGCKTQAVVQKGPLDLIETGQGLKVQTDKPHLVSLGSGRLSTAITLLPLE EGRTVIGSAARDISLQGPGLAPEHCYIENLRGTLTLYPCGNACTIDGLPVRQPTRLTQGC MLCLGQSTFLRFNHPAEAKWMKSMIPAGVRAPGPTYNPGSAESESLVNGNHTAQPATRAP SACASHSSLVSSIEKDLQEIMDSLVLEEPGAAGKKPAATSPLSPMANGGRYLLSPPTSPG AMSVGSSYENTSPAFSPLSSPASSGSCASHSPSGQEPGPSVPPLVPARSSSYHLALQPPQ SRPSGSRSSDSPRLGRKGGHERPPSPGLRGLLTDSPAATVLAEARRTTESPRLGGQLPVV AISLSEYPSSGARSQPASIPGSPKFQSPVPAPRNKIGTLQDRPPSPFREPPGTERVLTSS PSRQLVGRTFSDGLAATRTLQPPESPRLGRRGLDSMRELPPLSPSLSRRALSPLPARTAP DPKLSREVAESPRPRRWAAHGTSPEDFSLTLGARGRRTRSPSPTLGESLAPRKGSFSGRL SPAYSLGSLTGASPRQSPRAQRKLSSGDLRVPIPRERKNSITEISDNEDELLEYHRRQRQ ERLREQEMERLERQRLETILNLCAEYSRADGGPETGELPSIGEATAALALAGRRPSRGLA GAIVVSGRCGEESGGASQRLWESMERSDEENLKEECSSTESTQQEHEDAPGAKHQGEVLA VEEERAQVLGRVEQLKIRVKELEQQLQEAAREAEMERALLQGEREAERASLQKEQRAVDQ LQEKLVALETGIQKDRDKEADALETETKLFEDLEFQQLERESRVEEERELAGQGLLRSKA ELLRSVSKRKERLAVLDSQAGQIRAQAVQESERLAREKNAALQLLQKEKEKLNVLERRYH SLTGGRPFPKTTSTLKEMEKLLLPAVDLEQWYQELMSGLGTGLAAASPRSSPPPLPAKAS RQLQVYRSKMDSDAASPLPRTRSGPLPSSSGSSSSSSQLSVATLGRSPSPKSALLAQNGT SSLPRNLAATLQDIETKRQLALQQKGHQVIEEQRRRLAELKQKAAAEAQCQWDALHGAGP FSAGPSGFPALMHHSILHHLPAGRERGEEGEHAYDTLSLESSDSMETSISTGGNSACSPD NMSSASGLDMGKIEEMEKMLKEAHAEKSRLMESREREMELRRQALEEERRRREQVERRLQ SESARRQQLVEKEVKLREKQFSQARPLTRYLPNRKEDFDLKTHIESSGHGVDTCLHVVLS SKVCRGYLIKMGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVIYFQAIEEVYYDHL RSAAKSPNPALTFCVKTHDRLYYMVAPSAEAMRIWMDVIVTGAEGYTQFMN >ENSMUSP00000120023.1 pep:known chromosome:GRCm38:9:44686310:44701733:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000135436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] MPPSPSPGLPSWASASQDLAPITCLPPMLPSSFASITRSSKMEKLLLPAVDLEQWYQELM SGLGTGLAAASPRSSPPPLPAKASRQLQVYRSKMDSDAASPLPRTRSGPLPSSSGSSSSS SQLSVATLGRSPSPKSALLAQNGTSSLPRNLAATLQDIETKRQLALQQKGHQVIEEQRRR LAELKQKAAAEAQCQWDALHGAGPFSAGPSGFPALMHHSILHHLPAGRERGEEGEHAYDT LSLESSDSMETSISTGGNSACSPDNMSSASGLDMGKIEEMEKMLKEAHAEKSRLMESRER EMELRRQALEEERRRREQVERRLQSESARRQQLVEKEVKLREKQFSQARPLTRYLPNRKE DFDLKTHIESSGHGVDTCLHVVLSSKVCRGYLIKMGGKIKSWKKRWFVFDRLKRTLSYYV DKHETKLKGVIYFQAIEEVYYDHLRSAAKSPNPALTFCVKTHDRLYYMVAPSAEAMRIWM DVIVTGAEGYTQFMN >ENSMUSP00000121809.1 pep:known chromosome:GRCm38:9:44686310:44716380:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000148344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] XPLSSPASSGSCASHSPSGQEPGPSVPPLVPARSSSYHLALQPPQSRPSGSRSSDSPRLG RKGGHERPPSPGLRGLLTDSPAATVLAEARRTTESPRLGGQLPVVAISLSEYPSSGARSQ PASIPGSPKFQSPVPAPRNKIGTLQDRPPSPFREPPGTERVLTSSPSRQLVGRTFSDGLA ATRTLQPPESPRLGRRGLDSMRELPPLSPSLSRRALSPLPARTAPDPKLSREVAESPRPR RWAAHGTSPEDFSLTLGARGRRTRSPSPTLGESLAPRKGSFSGRLSPAYSLGSLTGASPR QSPRAQRKLSSGDLRVPIPRERKNSITEISDNEDELLEYHRRQRQERLREQEMERLERQR LETILNLCAEYSRADGGPETGELPSIGEATAALALAGRRPSRGLAGAIVVSGRCGEESGG ASQRLWESMERSDEENLKEECSSTESTQQEHEDAPGAKHQGEVLAVEEERAQVLGRVEQL KIRVKELEQQLQEAAREAEMERALLQGEREAERASLQKEQRAVDQLQEKLVALETGIQKD RDKEADALETETKLFEDLEFQQLERESRVEEERELAGQGLLRSKAELLRSVSKRKERLAV LDSQAGQIRAQAVQESERLAREKNAALQLLQKEKEKLNVLERRYHSLTGGRPFPKTTSTL KEMEKLLLPAVDLEQWYQELMSGLGTGLAAASPRSSPPPLPAKASRQLQVYRSKMDSDAA SPLPRTRSGPLPSSSGSSSSSSQLSVATLGRSPSPKSALLAQNGTSSLPRNLAATLQDIE TKRQLALQQKVELPPAEPLPPEDPAGHQVIEEQRRRLAELKQKAAAEAQCQWDALHGAGP FSAGPSGFPALMHHSILHHLPAGRERGEEGEHAYDTLSLESSDSMETSISTGGNSACSPD NMSSASGLDMGKIEEMEKMLKEAHAEKSRLMESREREMELRRQALEEERRRREQVERRLQ SESARRQQLVEKEVKLREKQFSQARPLTRYLPNRKEDFDLKTHIESSGHGVDTCLHVVLS SKVCRGYLIKMGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVIYFQAIEEVYYDHL RSAAKSPNPALTFCVKTHDRLYYMVAPSAEAMRIWMDVIVTGAEGYTQFMN >ENSMUSP00000117395.1 pep:known chromosome:GRCm38:9:44686310:44728100:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000134465.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] MDPLNRSQLGPGCKTQAVVQKGPLDLIETGQGLKVQTDKPHLVSLGSGRLSTAITLLPLE EGRTVIGSAARDISLQGPGLAPEHCYIENLRGTLTLYPCGNACTIDGLPVRQPTRLTQGC MLCLGQSTFLRFNHPAEAKWMKSMIPAGVRAPGPTYNPGSAESESLVNGNHTAQPATRAP SACASHSSLVSSIEKDLQEIMDSLVLEEPGAAGKKPAATSPLSPMANGGRYLLSPPTSPG AMSVGSSYENTSPAFSPLSSPASSGSCASHSPSGQEPGPSVPPLVPARSSSYHLALQPPQ SRPSGSRSSDSPRLGRKGGHERPPSPGLRGLLTDSPAATVLAEARRTTESPRLGGQLPVV AISLSEYPSSGARSQPASIPGSPKFQSPVPAPRNKIGTLQDRPPSPFREPPGTERVLTSS PSRQLVGRTFSDGLAATRTLQPPESPRLGRRGLDSMRELPPLSPSLSRRALSPLPARTAP DPKLSREVAESPRPRRWAAHGTSPEDFSLTLGARGRRTRSPSPTLGESLAPRKGSFSGRL SPAYSLGSLTGASPRQSPRAQRKLSSGDLRVPIPRERKNSITEISDNEDELLEYHRRQRQ ERLREQEMERLERQRLETILNLCAEYSRADGGPETGELPSIGEATAALALAGRRPSRGLA GAIVVSGRCGEESGGASQRLWESMERSDEENLKEECSSTESTQQEHEDAPGAKHQGEVLA VEEERAQVLGRVEQLKIRVKELEQQLQEAAREAEMERALLQGEREAERASLQKEQRAVDQ LQEKLVALETGIQKDRDKEADALETETKLFEDLEFQQLERESRVEEERELAGQGLLRSKA ELLRSVSKRKERLAVLDSQAGQIRAQAVQESERLAREKNAALQLLQKEKEKLNVLERRYH SLTGGRPFPKTTSTLKEVYRSKMDSDAASPLPRTRSGPLPSSSGSSSSSSQLSVATLGRS PSPKSALLAQNGTSSLPRNLAATLQDIETKRQLALQQKGHQVIEEQRRRLAELKQKAAAE AQCQWDALHGAGPFSAGPSGFPALMHHSILHHLPAGRERGEEGEHAYDTLSLESSDSMET SISTGGNSACSPDNMSSASGLDMGKIEEMEKMLKEAHAEKSRLMESREREMELRRQALEE ERRRREQVERRLQSESARRQQLVEKEVKLREKQFSQARPLTRYLPNRKEDFDLKTHIESS GHGVDTCLHVVLSSKVCRGYLIKMGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVI YFQAIEEVYYDHLRSAAKSPNPALTFCVKTHDRLYYMVAPSAEAMRIWMDVIVTGAEGYT QFMN >ENSMUSP00000122374.1 pep:known chromosome:GRCm38:9:44697734:44704108:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000123310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] ERLAREKNAALQLLQKEKEKLNVLERRYHSLTGGRPFPKTTSTLKEVYRSKMDSDAASPL PRTRSGPLPSSSGSSSSSSQLSVATLGRSPSPKSALLAQNGTSSLPRNLAATLQDIETKR QLALQQKGHQVIEEQRRRLAELKQKAAAEAQCQWDALHGAGPFSAGPSGFPALMHHSILH HLPAGRERGEEGEHAYDTLSLESSDSMETSISTGGNSACSPDNMSSASGLDMGKIEEMEK MLKEAHAEKSRLMESRVRLTGA >ENSMUSP00000116987.1 pep:known chromosome:GRCm38:9:44700962:44710946:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000154723.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] GIQKDRDKERAELAAGRRHLEARQALYAELQTQLDNCPESVREQLQEQLRREADALETET KLFEDLEFQQLERESRVEEERELAGQGLLRSKAELLRSVSKRKERLAVLDSQAGQIRAQA VQESERLAREKNAALQLLQKEKEKLNVLERRYHSLTGGRPFPKTTSTLKEMEKLLLPAVD LEQWYQELMSGLGTGLAAASPRSSPPPLPAKA >ENSMUSP00000122071.1 pep:known chromosome:GRCm38:9:44703573:44708427:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000123123.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] XVREQLQEQLRRVSSTSPLPGPRGPPP >ENSMUSP00000114257.1 pep:known chromosome:GRCm38:9:44716124:44721424:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000123406.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] MLCLGQSTFLRFNHPAEAKWMKSMIPAGVRAPGPTYNPGSAESESLVNGNHTAQPATRAP SACASHSSLVSSIEKDLQEIMDSLVLEEPGAAGKKPAATSPLSPMANGGRYLLSPPTSPG AMSVGSSYENTSPAFSPLSSPASSGSCASHSPSGQEPGPSVPPLVPARSSSYHLALQPPQ SRPSGSRSSDSPRLGRKGGHERPPSPGLRGLLTDSPAATVL >ENSMUSP00000114533.1 pep:known chromosome:GRCm38:9:44716294:44721387:-1 gene:ENSMUSG00000048537.15 transcript:ENSMUST00000148929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb1 description:pleckstrin homology-like domain, family B, member 1 [Source:MGI Symbol;Acc:MGI:2143230] MLCLGQSTFLRFNHPAEAKWMKSMIPAGVRAPGPTYNPGSAESESLVNGNHTAQPATRAP SACASHSSLVSSIEKDLQEIMDSLVLEEPGAAGKKPAATSPLSPMANGGRYLLSPPTSPG AMSVGSSYENTSPAFSPLSSPASSGSCASHSPSGQEPGPSVPPL >ENSMUSP00000069872.7 pep:known chromosome:GRCm38:8:45069137:45088356:1 gene:ENSMUSG00000054764.8 transcript:ENSMUST00000067984.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtnr1a description:melatonin receptor 1A [Source:MGI Symbol;Acc:MGI:102967] MKGNVSELLNATQQAPGGGEGGRPRPSWLASTLAFILIFTIVVDILGNLLVILSVYRNKK LRNSGNIFVVSLAVADLVVAVYPYPLVLTSILNNGWNLGYLHCQVSAFLMGLSVIGSIFN ITGIAMNRYCYICHSLKYDKIYSNKNSLCYVFLIWMLTLIAIMPNLQTGTLQYDPRIYSC TFTQSVSSAYTIAVVVFHFIVPMIIVIFCYLRIWVLVLQVRRRVKPDNKPKLKPQDFRNF VTMFVVFVLFAICWAPLNLIGLIVASDPATMVPRIPEWLFVASYYLAYFNSCLNAIIYGL LNQNFRKEYKKIIVSLCTAKMFFVESSNEEADKIKCKPSPLIPNNNLIKVDSV >ENSMUSP00000115764.1 pep:known chromosome:GRCm38:8:45069374:45087356:1 gene:ENSMUSG00000054764.8 transcript:ENSMUST00000130141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtnr1a description:melatonin receptor 1A [Source:MGI Symbol;Acc:MGI:102967] XTATRSSGTQKTSNLLWILLRFFFPVCSFTVPQNPLYPSGNIFVVSLAVADLVVAVYPYP LVLTSILNNGWNLGYLHCQVSAFLMGLSVIGS >ENSMUSP00000101851.2 pep:known chromosome:GRCm38:11:119491347:119511423:1 gene:ENSMUSG00000039850.16 transcript:ENSMUST00000106244.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Endov description:endonuclease V [Source:MGI Symbol;Acc:MGI:2444688] MAHTAAERPPEETLSLWKGEQARLKARVVDRDTEAWQRDPSFSGLQKVGGVDVSFVKGDS VRACASLVVLSYPELKVVYEDSRMVGLKAPYVSGFLAFREVPFLVELVQRLQEKEPDLMP QVVLVDGNGVLHQRGFGVACHLGVLTELPCIGVAKKLLQVDGLENNALHKEKIVLLQAGG DTFPLIGSSGTVLGMALRSHDHSTKPLYVSVGHRISLEVAVRLTHHCCRFRIPEPIRQAD IRSREYIRRTLGQLGVAPAQRKDRSQKEQRPNACPQGGPGALADQGRPPECDGRDSSSDR KAPEPGFQEQKDQQLEGTGHQEDSDLWPPSPAWVQSPP >ENSMUSP00000128455.1 pep:known chromosome:GRCm38:11:119491374:119507691:1 gene:ENSMUSG00000039850.16 transcript:ENSMUST00000153204.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Endov description:endonuclease V [Source:MGI Symbol;Acc:MGI:2444688] MVGLKAPYVSGFLAFREVPFLVELVQRLQEKEPDLMPQASGWPATLVSLQSCHASGWPRS SCRWMDWRTMLCTRRRLCSCRPEETHFL >ENSMUSP00000131108.1 pep:known chromosome:GRCm38:11:119491375:119509579:1 gene:ENSMUSG00000039850.16 transcript:ENSMUST00000143817.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Endov description:endonuclease V [Source:MGI Symbol;Acc:MGI:2444688] MAHTAAERPPEETLSLWKGEQARLKARVVDRDTEAWQRDPSFSGLQKVGGVDVSFVKGDS VRACASLRSLSWWSWYSGCKRRNQISCPRSFLWMETGCFTNEASGWPATLVSLQSCHASG WPRSSCRWMDWRTMLCTRRRLCSCRPEETHFL >ENSMUSP00000119599.2 pep:known chromosome:GRCm38:11:119491389:119507751:1 gene:ENSMUSG00000039850.16 transcript:ENSMUST00000129327.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Endov description:endonuclease V [Source:MGI Symbol;Acc:MGI:2444688] MVGLKAPYVSGFLAFREVPFLVELVQRLQEKEPDLMPQVVLVDGNGVLHQRGFGVACHLG VLTELPCIGVAKKLLQVDGLENNALHKEKIVLLQAGGDTFPLIGSSGTVLGMALRSHDHS TKPLYVSVGHRISLEVAVRLTHHCCRFRIPEPIRQADIRSREYIRRTLGQLGVAPAQRKD RSQKEQRPNACPQGGPGALADQGRPPECDGRDSSSDRKAPEPGFQEQKDQQLEGTGHQED SDLWPPSPAWVQSPP >ENSMUSP00000118226.2 pep:known chromosome:GRCm38:11:119491426:119507379:1 gene:ENSMUSG00000039850.16 transcript:ENSMUST00000140323.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Endov description:endonuclease V [Source:MGI Symbol;Acc:MGI:2444688] XPPEETLSLWKGEQARLKARVVDRDTEAWQRDPSFSGLQKVGGVDVSFVKGDSVRACASL VVLSYPELKVVLVDGNGVLHQRGFGVACHLGVLTELPCIGVAKKLLQVDGLENNALHKEK IVLLQAGGDTFPLIGSSGTVLGMALRSHDHSTKPLYVSVGHRISLEVAVRLTHHCCRFRI PEPIRQADIRSREYIRRTLGQLGVAPAQRKDRSQKEQRPNACPQGGPGALADQGRPPECD GRDSSSDRKAPEPGFQEQKDQQLEGTGHQED >ENSMUSP00000132873.1 pep:known chromosome:GRCm38:11:119491551:119505121:1 gene:ENSMUSG00000039850.16 transcript:ENSMUST00000154370.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Endov description:endonuclease V [Source:MGI Symbol;Acc:MGI:2444688] MVGLKAPYVSGFLAFREVPFLVELVQRLQEKEPDLMPQASGWPATLVSLQSCHASGWPRS SCRWMDWRTMLCTRRRLCSCRPEETHFL >ENSMUSP00000132755.1 pep:known chromosome:GRCm38:11:119491630:119511437:1 gene:ENSMUSG00000039850.16 transcript:ENSMUST00000106245.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Endov description:endonuclease V [Source:MGI Symbol;Acc:MGI:2444688] MVGLKAPYVSGFLAFREVPFLVELVQRLQEKEPDLMPQVVLVDGNGVLHQRGFGVACHLG VLTELPCIGVAKKLLQVDGLENNALHKEKIVLLQAGGDTFPLIGSSGTVLGMALRSHDHS TKPLYVSVGHRISLEVAVRLTHHCCRFRIPEPIRQADIRSREYIRRTLGQLGVAPAQRKD RSQKEQRPNACPQGGPGALADQGRPPECDGRDSSSDRKAPEPGFQEQKDQQLEGTGHQED SDLWPPSPAWVQSPP >ENSMUSP00000068849.8 pep:known chromosome:GRCm38:11:119499511:119505962:1 gene:ENSMUSG00000039850.16 transcript:ENSMUST00000064513.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Endov description:endonuclease V [Source:MGI Symbol;Acc:MGI:2444688] MVGLKAPYVSGFLAFREVPFLVELVQRLQEKEPDLMPQVVLVDGNGVLHQRGFGVACHLG VLTELPCIGVAKKLLQVDGLENNALHKEKIVLLQAGGDTFPLIGSSGTVLGMALRSHDHS TKPLYVSVGHRISLEVAVRLTHHCCRFRIPEPIRQADIRSREYIRRTLGQLGVAPAQRKD SLLLIEPRTTSPRMILPTVC >ENSMUSP00000029053.7 pep:known chromosome:GRCm38:2:167932327:167979385:1 gene:ENSMUSG00000027540.13 transcript:ENSMUST00000029053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn1 description:protein tyrosine phosphatase, non-receptor type 1 [Source:MGI Symbol;Acc:MGI:97805] MEMEKEFEEIDKAGNWAAIYQDIRHEASDFPCKVAKLPKNKNRNRYRDVSPFDHSRIKLH QEDNDYINASLIKMEEAQRSYILTQGPLPNTCGHFWEMVWEQKSRGVVMLNRIMEKGSLK CAQYWPQQEEKEMVFDDTGLKLTLISEDVKSYYTVRQLELENLTTKETREILHFHYTTWP DFGVPESPASFLNFLFKVRESGSLSLEHGPIVVHCSAGIGRSGTFCLADTCLLLMDKRKD PSSVDIKKVLLEMRRFRMGLIQTADQLRFSYLAVIEGAKFIMGDSSVQDQWKELSREDLD LPPEHVPPPPRPPKRTLEPHNGKCKELFSSHQWVSEETCGDEDSLAREEGRAQSSAMHSV SSMSPDTEVRRRMVGGGLQSAQASVPTEEELSSTEEEHKAHWPSHWKPFLVNVCMATLLA TGAYLCYRVCFH >ENSMUSP00000143807.1 pep:known chromosome:GRCm38:5:65763521:65830108:1 gene:ENSMUSG00000037795.14 transcript:ENSMUST00000201489.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp2 description:NEDD4 binding protein 2 [Source:MGI Symbol;Acc:MGI:2684414] MDCLLELSATDAKGAESSAQTSGDRDSKPGAAGNSVMETCHPEGEGEDSKLDSFLDMQLT EDLDSLIQNAFEKLNSPPDDQVYPFSPLQDANSFNDPSTFMNSDSSGMTSLLSVQSTSSN SETLKSSASLPGSNPPTSHSVLNAPESCAKLALEGGYSEGSPLSSSGNPTNGSVRGCGNF SQRQKDLSESECPSAQHSQALADLGNSDPQAPSTHPLHNSGSDLPGTDGDQKSASAPDVF VPSEGFNFKPHKHPELPPKGKDMNYCPVLTPLPLLLPPPPPPPIWNPMIPAFDLFQGNHG FVAPVVTTAAHWRPVNYTFPPPIISHNSPTKVWRGGEGASAYQVQEAPASQPVRKKATSF VGLVLVLLRGLPGSGKSFLARTLQEDNPGGVILSTDDYFYINGQYQFDVKYLGEAHEWNQ NRAKEAFEKKVSPVIIDNTNLQAWEMKPYVALSQKHKYKVLFREPDTWWKFKPKELARRN IHGVSKEKISRMLEHYQRFVSVPIIMSSSDPEKTERIELCAYASDDSPRDSEAISSAKED SVSSASPKHSELTEEKTLEVATQAVLSVRDPQLPCPGLNRGRKELGDVSHGGHNPFFQEA PSTYFPNPESKGQATDRSEEEQEMVSEKEHCEADAWRPAGGASSDGRCADDNQEACGSAG AAALPGETPSPPEMLEERTAGKKKTIGKQKGKSSLEKIPKQELSNFVGDWPVDKTISQRT KRNRKTEKGLSVQSDKKGNLPQSHKVLDARVSVNTDPVQPQGSSHGNDDLSEVPSSYHYD PYRSTEQSSLSAVSDWPSSASLAPREHRPRMPKADLSEPSVEFGTNDNLGEIPLYPAHEA YWGTSPEELKTLSSSLRSSEMLPSQTAPEHHPTSLNPLAHSQHALPLTFPSSAAALPGVV GPRSLTEFPVGVSGIVSGVDTGTCTQTEPQDFALLWKIEKNKINVSDSVRVLTGRLDGFK PKDFTLNRKVNVQETIPYRVMHDKSTFVEESELTSADESENLNILCKLFGSFSLEALKDL YERCNKDIIWATSLLLDSETKLCEDTAVESSPKSYSESQVGPFSMGLDLKEIISHRGTSE GSNSSVSEFSPGIGIRNTSARSAGNPGKGTSEQEGRRAMNPENPELITRVFPNAAVKVKS NNETLPDRQAELPGAYTFKQPLSLTPRSYIPKDVSEIEKNLVMTETRDNMHSFLNLSDII NSATSTSNPELNEDVYLTGSLEVKKNENLPKDYVKFANMEEFINEDKQEMEKNLMPGSGW SAGVSEEGKAEVLTPTPVTATSLTIDCLELALPPELAFQLNELFGPVGIDSGSLTVEDCV VHIDLNLAKVIHEKWRESVMERQRQEEVSRGKRTQDPLLAGHTGLDNFEQKSSQKTGKRL LKTLAAPETLDHWNTQTKKVSLREIMSEEIALQEKHDLKRETLMFEKDCATKLKEKQLFK IFPAINQNFLVDIFKDHSYSLENTVQFLNCVLEGDPVKTVVAQECVHQNENNTYTAQKSK EKKAKKPKEAEDSPGDPSFQDFEYPEYDDYRAEAFLHQQKRMECYSKAKEAYRMGKKNVA TFYAQQGSLHEQKMKEANHLAAVEIFEKVNASLLPQNVLDLHGLHVDEAIEHLTAVLQQK TEEFKQSGGKPYLSVITGRGNHSQGGVARIKPAVIKYLTSHSFRFSEIKPGCLKVMLK >ENSMUSP00000144278.1 pep:known chromosome:GRCm38:5:65764120:65817284:1 gene:ENSMUSG00000037795.14 transcript:ENSMUST00000201615.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp2 description:NEDD4 binding protein 2 [Source:MGI Symbol;Acc:MGI:2684414] MDCLLELSATDAKGAESSAQTSGDRDSKPGAAGNSVMETCHPEGEGEDSKLDSFLDMQLT EDLDSLIQNAFEKLNSPPDDQVYPFSPLQDANSFNDPSTFMNSDSSGMTSLLSVQSTSSN SETLKSSASLPGSNPPTSHSVLNAPESCAKLALEGGYSEGSPLSSSGNPTNGSVRGCGNF SQRQKDLSESECPSAQHSQALADLGNSDPQAPSTHPLHNSGSDLPGTDGDQKSASAPDVF VPSEGFNFKPHKHPELPPKGKDMNYCPVLTPLPLLLPPPPPPPIWNPMIPAFDLFQGNHG FVAPVVTTAAHWRPVNYTFPPPIISHNSPTKVWRGGEGASAYQVQEAPASQPVRKKATSF VGLVLVLLRGLPGSGKSFLARTLQEDNPGGVILSTDDYFYINGQYQFDVKYLGEAHEWNQ NRAKEAFEKKVSPVIIDNTNLQAWEMKPYVALSQKHKYKVLFREPDTWWKFKPKELARRN IHGVSKEKISRMLEHYQRFVSVPIIMSSSDPEKTERIELCAYASDDSPRDSEAISSAKED SVSSASPKHSELTEEKTLEVATQAVLSVRDPQLPCPGLNRGRKELGDVSHGGHNPFFQEA PSTYFPNPESKGQATDRSEEEQEMVSEKEHCEADAWRPAGGASSDGRCADDNQEACGSAG AAALPGETPSPPEMLEERTAGKKKTIGKQKGKSSLEKIPKQELSNFVGDWPVDKTISQRT KRNRKTEKGLSVQSDKKGNLPQSHKVLDARVSVNTDPVQPQGSSHGNDDLSEVPSSYHYD PYRSTEQSSLSAVSDWPSSASLAPREHRPRMPKADLSEPSVEFGTNDNLGEIPLYPAHEA YWGTSPEELKTLSSSLRSSEMLPSQTAPEHHPTSLNPLAHSQHALPLTFPSSAAALPGVV GPRSLTEFPVGVSGIVSGVDTGTCTQTEPQDFALLWKIEKNKINVSDSVRVLTGRLDGFK PKDFTLNRKVNVQETIPYRVMHDKSTFVEESELTSADESENLNILCKLFGSFSLEALKDL YERCNKDIIWATSLLLDSETKLCEDTAVESSPKSYSESQVGPFSMGLDLKEIISHRGTSE GSNSSVSEFSPGIGIRNTSARSAGNPGKGTSEQEGRRAMNPENPELITRVFPNAAVKVKS NNETLPDRQAELPGAYTFKQPLSLTPRSYIPKDVSEIEKNLVMTETRDNMHSFLNLSDII NSATSTSNPELNEDVYLTGSLEVKKNENLPKDYVKFANMEEFINEDKQEMEKNLMPGSGW SAGVSEEGKAEVLTPTPVTATSLTIDCLELALPPELAFQLNELFGPVGIDSGSLTVEDCV VHIDLNLAKVIHEKWRESVMERQRQEEVSRGKRTQDPLLAGHTGLDNFEQKSSQKTGKRL LKTLAAPETLDHWNTQTKKVSLREIMSEEIALQEKHDLKRETLMFEKDCATKLKEKQLFK IFPAINQNFLVDIFKDHSYSLENTVQFLNCVLEGDPVKTVVAQECVHQNENNTYTAQKS >ENSMUSP00000084519.3 pep:known chromosome:GRCm38:5:65764120:65826650:1 gene:ENSMUSG00000037795.14 transcript:ENSMUST00000087264.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp2 description:NEDD4 binding protein 2 [Source:MGI Symbol;Acc:MGI:2684414] MDCLLELSATDAKGAESSAQTSGDRDSKPGAAGNSVMETCHPEGEGEDSKLDSFLDMQLT EDLDSLIQNAFEKLNSPPDDQVYPFSPLQDANSFNDPSTFMNSDSSGMTSLLSVQSTSSN SETLKSSASLPGSNPPTSHSVLNAPESCAKLALEGGYSEGSPLSSSGNPTNGSVRGCGNF SQRQKDLSESECPSAQHSQALADLGNSDPQAPSTHPLHNSGSDLPGTDGDQKSASAPDVF VPSEGFNFKPHKHPELPPKGKDMNYCPVLTPLPLLLPPPPPPPIWNPMIPAFDLFQGNHG FVAPVVTTAAHWRPVNYTFPPPIISHNSPTKVWRGGEGASAYQVQEAPASQPVRKKATSF VGLVLVLLRGLPGSGKSFLARTLQEDNPGGVILSTDDYFYINGQYQFDVKYLGEAHEWNQ NRAKEAFEKKVSPVIIDNTNLQAWEMKPYVALSQKHKYKVLFREPDTWWKFKPKELARRN IHGVSKEKISRMLEHYQRFVSVPIIMSSSDPEKTERIELCAYASDDSPRDSEAISSAKED SVSSASPKHSELTEEKTLEVATQAVLSVRDPQLPCPGLNRGRKELGDVSHGGHNPFFQEA PSTYFPNPESKGQATDRSEEEQEMVSEKEHCEADAWRPAGGASSDGRCADDNQEACGSAG AAALPGETPSPPEMLEERTAGKKKTIGKQKGKSSLEKIPKQELSNFVGDWPVDKTISQRT KRNRKTEKGLSVQSDKKGNLPQSHKVLDARVSVNTDPVQPQGSSHGNDDLSEVPSSYHYD PYRSTEQSSLSAVSDWPSSASLAPREHRPRMPKADLSEPSVEFGTNDNLGEIPLYPAHEA YWGTSPEELKTLSSSLRSSEMLPSQTAPEHHPTSLNPLAHSQHALPLTFPSSAAALPGVV GPRSLTEFPVGVSGIVSGVDTGTCTQTEPQDFALLWKIEKNKINVSDSVRVLTGRLDGFK PKDFTLNRKVNVQETIPYRVMHDKSTFVEESELTSADESENLNILCKLFGSFSLEALKDL YERCNKDIIWATSLLLDSETKLCEDTAVESSPKSYSESQVGPFSMGLDLKEIISHRGTSE GSNSSVSEFSPGIGIRNTSARSAGNPGKGTSEQEGRRAMNPENPELITRVFPNAAVKVKS NNETLPDRQAELPGAYTFKQPLSLTPRSYIPKDVSEIEKNLVMTETRDNMHSFLNLSDII NSATSTSNPELNEDVYLTGSLEVKKNENLPKDYVKFANMEEFINEDKQEMEKNLMPGSGW SAGVSEEGKAEVLTPTPVTATSLTIDCLELALPPELAFQLNELFGPVGIDSGSLTVEDCV VHIDLNLAKVIHEKWRESVMERQRQEEVSRGKRTQDPLLAGHTGLDNFEQKSSQKTGKRL LKTLAAPETLDHWNTQTKKVSLREIMSEEIALQEKHDLKRETLMFEKDCATKLKEKQLFK IFPAINQNFLVDIFKDHSYSLENTVQFLNCVLEGDPVKTVVAQECVHQNENNTYTAQKSK EKKAKKPKEAEDSPGDPSFQDFEYPEYDDYRAEAFLHQQKRMECYSKAKEAYRMGKKNVA TFYAQQGSLHEQKMKEANHLAAVEIFEKVNASLLPQNVLDLHGLHVDEAIEHLTAVLQQK TEEFKQSGGKPYLSVITGRGNHSQGGVARIKPAVIKYLTSHSFRFSEIKPGCLKVMLK >ENSMUSP00000033783.1 pep:known chromosome:GRCm38:X:135208687:135210687:-1 gene:ENSMUSG00000031409.1 transcript:ENSMUST00000033783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceal6 description:transcription elongation factor A (SII)-like 6 [Source:MGI Symbol;Acc:MGI:1923939] MEEVRGENEGKLEKEGKPEDEVEPEDEEKSDEEEKPDKKAKPAPRQGKPEEEAKPDEQGQ DEGKPEKQGKSDGEGKRQGESKPDSQAKSASEARAAEKRPAEDYVPRKAKRKTDRGTDDS PKNSQEDLQDRHVSSEEMMRECTDMTRAREELRKRQKMGGFHWVPRDAQDALVPRGQRGV RGVRGGGGRSQRGLHDIPYL >ENSMUSP00000099585.2 pep:known chromosome:GRCm38:11:73234292:73261239:1 gene:ENSMUSG00000005952.15 transcript:ENSMUST00000102526.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv1 description:transient receptor potential cation channel, subfamily V, member 1 [Source:MGI Symbol;Acc:MGI:1341787] MEKWASLDSDESEPPAQENSCPDPPDRDPNSKPPPAKPHIFATRSRTRLFGKGDSEEASP MDCPYEEGGLASCPIITVSSVVTLQRSVDGPTCLRQTSQDSVSTGVETPPRLYDRRSIFD AVAQSNCQELESLLSFLQKSKKRLTDSEFKDPETGKTCLLKAMLNLHNGQNDTIALLLDI ARKTDSLKQFVNASYTDSYYKGQTALHIAIERRNMALVTLLVENGADVQAAANGDFFKKT KGRPGFYFGELPLSLAACTNQLAIVKFLLQNSWQPADISARDSVGNTVLHALVEVADNTA DNTKFVTNMYNEILILGAKLHPTLKLEELTNKKGLTPLALAASSGKIGVLAYILQREIHE PECRHLSRKFTEWAYGPVHSSLYDLSCIDTCEKNSVLEVIAYSSSETPNRHDMLLVEPLN RLLQDKWDRFVKRIFYFNFFVYCLYMIIFTTAAYYRPVEGLPPYKLNNTVGDYFRVTGEI LSVSGGVYFFFRGIQYFLQRRPSLKSLFVDSYSEILFFVQSLFMLVSVVLYFSHRKEYVA SMVFSLAMGWTNMLYYTRGFQQMGIYAVMIEKMILRDLCRFMFVYLVFLFGFSTAVVTLI EDGKNNSLPVESPPHKCRGSACRPGNSYNSLYSTCLELFKFTIGMGDLEFTENYDFKAVF IILLLAYVILTYILLLNMLIALMGETVNKIAQESKNIWKLQRAITILDTEKSFLKCMRKA FRSGKLLQVGFTPDGKDDFRWCFRVDEVNWTTWNTNVGIINEDPGNCEGVKRTLSFSLRS GRVSGRNWKNFALVPLLRDASTRDRHSTQPEEVQLKHYTGSLKPEDAEVFKDSMAPGEK >ENSMUSP00000006106.7 pep:known chromosome:GRCm38:11:73238259:73260507:1 gene:ENSMUSG00000005952.15 transcript:ENSMUST00000006106.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv1 description:transient receptor potential cation channel, subfamily V, member 1 [Source:MGI Symbol;Acc:MGI:1341787] MEKWASLDSDESEPPAQENSCPDPPDRDPNSKPPPAKPHIFATRSRTRLFGKGDSEEASP MDCPYEEGGLASCPIITVSSVVTLQRSVDGPTCLRQTSQDSVSTGVETPPRLYDRRSIFD AVAQSNCQELESLLSFLQKSKKRLTDSEFKDPETGKTCLLKAMLNLHNGQNDTIALLLDI ARKTDSLKQFVNASYTDSYYKGQTALHIAIERRNMALVTLLVENGADVQAAANGDFFKKT KGRPGFYFGELPLSLAACTNQLAIVKFLLQNSWQPADISARDSVGNTVLHALVEVADNTA DNTKFVTNMYNEILILGAKLHPTLKLEELTNKKGLTPLALAASSGKIGNRHDMLLVEPLN RLLQDKWDRFVKRIFYFNFFVYCLYMIIFTTAAYYRPVEGLPPYKLNNTVGDYFRVTGEI LSVSGGVYFFFRGIQYFLQRRPSLKSLFVDSYSEILFFVQSLFMLVSVVLYFSHRKEYVA SMVFSLAMGWTNMLYYTRGFQQMGIYAVMIEKMILRDLCRFMFVYLVFLFGFSTAVVTLI EDGKNNSLPVESPPHKCRGSACRPGNSYNSLYSTCLELFKFTIGMGDLEFTENYDFKAVF IILLLAYVILTYILLLNMLIALMGETVNKIAQESKNIWKLQRAITILDTEKSFLKCMRKA FRSGKLLQVGFTPDGKDDFRWCFRVDEVNWTTWNTNVGIINEDPGNCEGVKRTLSFSLRS GRVSGRNWKNFALVPLLRDASTRDRHSTQPEEVQLKHYTGSLKPEDAEVFKDSMAPGEK >ENSMUSP00000104110.1 pep:known chromosome:GRCm38:11:73240046:73261242:1 gene:ENSMUSG00000005952.15 transcript:ENSMUST00000108470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv1 description:transient receptor potential cation channel, subfamily V, member 1 [Source:MGI Symbol;Acc:MGI:1341787] MYNEILILGAKLHPTLKLEELTNKKGLTPLALAASSGKIGNRHDMLLVEPLNRLLQDKWD RFVKRIFYFNFFVYCLYMIIFTTAAYYRPVEGLPPYKLNNTVGDYFRVTGEILSVSGGVY FFFRGIQYFLQRRPSLKSLFVDSYSEILFFVQSLFMLVSVVLYFSHRKEYVASMVFSLAM GWTNMLYYTRGFQQMGIYAVMIEKMILRDLCRFMFVYLVFLFGFSTAVVTLIEDGKNNSL PVESPPHKCRGSACRPGNSYNSLYSTCLELFKFTIGMGDLEFTENYDFKAVFIILLLAYV ILTYILLLNMLIALMGETVNKIAQESKNIWKLQRAITILDTEKSFLKCMRKAFRSGKLLQ VGFTPDGKDDFRWCFRVDEVNWTTWNTNVGIINEDPGNCEGVKRTLSFSLRSGRVSGRNW KNFALVPLLRDASTRDRHSTQPEEVQLKHYTGSLKPEDAEVFKDSMAPGEK >ENSMUSP00000116400.1 pep:known chromosome:GRCm38:11:73240310:73254884:1 gene:ENSMUSG00000005952.15 transcript:ENSMUST00000138853.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv1 description:transient receptor potential cation channel, subfamily V, member 1 [Source:MGI Symbol;Acc:MGI:1341787] MYNEILILGAKLHPTLKLEELTNKKGLTPLALAASSGKIGVLAYILQREIHEPECRHLSR KFTEWAYGPVHSSLYDLSCIDTCEKNSVLEVIAYSSSETPNRHDMLLVEPLNRLLQDKWD RFVKRIFYFNFFVYCLYMIIFTTAAYYRPVEGLPPYKLNNTVGDYFRVTGEILSVSGGVY FFFRGIQYFLQRRPSLKSLFVDSYSEILFFVQSLFMLVSVVLYFSHRKEYVASMVFSLAM GWTNMLYYTRGFQQMGIYAVMIEKMILRDLCRFMFVYLVFLFGFSTAVVTLIEDGKNNSL PVESPPHKCRGSACRPGNSYNSLYSTCLELFKFTIGMGDLEFTENYDFKAVFIILLLAYV ILTYILLLNMLIALMGETVNKIAQESKNIWKLQRAITILDTEKSFLKCMRKAFRSGKLLQ VGFTPDGKDDFRWCFRVDEVNWTTWNTNVGIINEDPGNCEGVKRTLSFSLRSGR >ENSMUSP00000117231.1 pep:known chromosome:GRCm38:18:36783008:36792562:1 gene:ENSMUSG00000019143.15 transcript:ENSMUST00000152954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hars2 description:histidyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1918041] MPHLGPLRRRAWAALLGQLLRPPSTVCTRGCHSQVAKAVLTSEQLKSHQEKPNFVIKVPK GTRDLSPQQMVVREKILDKIISCFKRHGAKGLDTPAFELKEMLTEKYEDNFGLMYDLKDQ GGELLSLRYDLTVPFARYLAMNKLKKMKRYQVGKVWRRESPAIAQGRYREFCQCDFDIAG EFDPMIPDAECLRIMCEILSGLQLGDFLIKVNDRRVVDGIFAVCGVPESKLRTICSSMDK LDKMSWEGVRHEMVAKKGLAPEVADRIGDFVQYHGGISLVEDLFKDPRLSQSQLALQGLG DLKLLFEYLRLFGIADKISLDLSLARGLDYYTGVIYEAVLLESPAQAGKETLSVGSVAAG GRYDNLVAQFDPKGHHVPCVGLSIGVERIFYLVEQKMKMSGEKVRTTETQVFVATPQKNF LQERLKIIAELWDAGIKAEMLYKNNPKLLTQLHYCEKADIPLMVIIGEQERNEGVIKLRS VASREEVTINRESLVAEIQKRLSES >ENSMUSP00000019287.8 pep:known chromosome:GRCm38:18:36783231:36791694:1 gene:ENSMUSG00000019143.15 transcript:ENSMUST00000019287.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hars2 description:histidyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1918041] MPHLGPLRRRAWAALLGQLLRPPSTVCTRGCHSQVAKAVLTSEQLKSHQEKPNFVIKVPK GTRDLSPQQMVVREKILDKIISCFKRHGAKGLDTPAFELKEMLTEKYEDNFGLMYDLKDQ GGELLSLRYDLTVPFARYLAMNKLKKMKRYQVGKVWRRESPAIAQGRYREFCQCDFDIAG EFDPMIPDAECLRIMCEILSGLQLGDFLIKVNDRRVVDGIFAVCGVPESKLRTICSSMDK LDKMSWEGVRHEMVAKKGLAPEVADRIGDFVQYHGGISLVEDLFKDPRLSQSQLALQGLG DLKLLFEYLRLFGIADKMSGEKVRTTETQVFVATPQKNFLQERLKIIAELWDAGIKAEML YKNNPKLLTQLHYCEKADIPLMVIIGEQERNEGVIKLRSVASREEVTINRESLVAEIQKR LSES >ENSMUSP00000037361.3 pep:known chromosome:GRCm38:11:94539798:94549199:-1 gene:ENSMUSG00000039096.10 transcript:ENSMUST00000040487.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsad1 description:radical S-adenosyl methionine domain containing 1 [Source:MGI Symbol;Acc:MGI:3039628] MVPSGVRTGRWVAAARAAQRRPRVDSLGQPPSPESASTRAALYVHWPYCEKRCSYCNFNK YIPRGVEEGTVRNCLVTEARTLLRLSGVQRVESVFFGGGTPSLASPHTVAAVLEAVAQEV YLPADSEVTLEANPTSAPGPRLAAFGAAGVNRLSIGLQSLDDAELQLLGRTHSASDALRT LAEARLLFPGRVSVDLMLGLPAQKVEPWLQQLQKLLYHCDDHLSLYQLTLERGTSLFAQV QQGTLPAPDPDLAAEMYQEGRTVLRDAGFRQYEVSNFARNGALSTHNWTYWQCGQYLGIG PGAHGRFVPQGTGGHTREARIQTLEPDNWMKEVTLFGHGTRKCVRLGKLELLEEVLAMGL RTDVGVTHQHWQQFEPQLTLWDVFGASKEVEELLAQGLLLLDYRGLRCSWEGLAVLDSLL LTLLPQLQEAWQHRPSSPVSGG >ENSMUSP00000125625.1 pep:known chromosome:GRCm38:4:112006269:112019406:1 gene:ENSMUSG00000089773.7 transcript:ENSMUST00000162885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint1 description:selection and upkeep of intraepithelial T cells 1 [Source:MGI Symbol;Acc:MGI:3649627] MGSTGLCFYGHCIVMFLLQMVTASSEPFIVNGLEGPVLASLGGNLELSCQLSPPQQAQHM EIRWFRNLYTEPVHLYRDGKDMFGEIISKYVERTELLKDGIGEGKVTLRIFNVTVDDDGS YHCVFKDGDFYEEHITEVKITGGNGSFRGFYESTFFNSSGSER >ENSMUSP00000124545.1 pep:known chromosome:GRCm38:4:112006269:112025640:1 gene:ENSMUSG00000089773.7 transcript:ENSMUST00000117379.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint1 description:selection and upkeep of intraepithelial T cells 1 [Source:MGI Symbol;Acc:MGI:3649627] MGSTGLCFYGHCIVMFLLQMVTASSEPFIVNGLEGPVLASLGGNLELSCQLSPPQQAQHM EIRWFRNLYTEPVHLYRDGKDMFGEIISKYVERTELLKDGIGEGKVTLRIFNVTVDDDGS YHCVFKDGDFYEEHITEVKITAINLQVQIHVHPPNTKGVIVECHSGGWFPRPLMQWRDRR GEVIPAASKSHSQGRDKLFNMKISLLISESFFQKVICCLQNPLTGQEERTSVILSVVCYI MSIKVRMPRGSRGGN >ENSMUSP00000125313.1 pep:known chromosome:GRCm38:4:112006269:112025640:1 gene:ENSMUSG00000089773.7 transcript:ENSMUST00000161389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint1 description:selection and upkeep of intraepithelial T cells 1 [Source:MGI Symbol;Acc:MGI:3649627] MGSTGLCFYGHCIVMFLLQMVTASSEPFIVNGLEGPVLASLGGNLELSCQLSPPQQAQHM EIRWFRNLYTEPVHLYRDGKDMFGEIISKYVERTELLKDGIGEGKVTLRIFNVTVDDDGS YHCVFKDGDFYEEHITEVKITAINLQVQIHVHPPNTKGVIVECHSGGWFPRPLMQWRDRR GEVIPAASKSHSQGRDKLFNMKISLLISESFFQKVICCLQNPLTGQEERTSVILSDAFFS WNRIWKMILGIILSMMVVSIFVFSCLLHHEHKGTYATWEQGRELVLHKP >ENSMUSP00000124737.1 pep:known chromosome:GRCm38:4:112006269:112029538:1 gene:ENSMUSG00000089773.7 transcript:ENSMUST00000162158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint1 description:selection and upkeep of intraepithelial T cells 1 [Source:MGI Symbol;Acc:MGI:3649627] MGSTGLCFYGHCIVMFLLQMVTASSEPFIVNGLEGPVLASLGGNLELSCQLSPPQQAQHM EIRWFRNLYTEPVHLYRDGKDMFGEIISKYVERTELLKDGIGEGKVTLRIFNVTVDDDGS YHCVFKDGDFYEEHITEVKITAINLQVQIHVHPPNTKGVIVECHSGGWFPRPLMQWRDRR GEVIPAASKSHSQGRDKLFNMKISLLISESFFQKVICCLQNPLTGQEERTSVILSDAFFS WNRIWKMILGIILSMMVVSIFVFSCLLHHEHKVCKWKWDAPWIKGLLIMTSSMVTVVLVM VYLHMKQRVPVSDVHFELDTLWVEDISVILCSLMVPATMLVSYTYFRLKDWCQHNHAQRV FTSN >ENSMUSP00000082844.6 pep:known chromosome:GRCm38:5:144194442:144223615:-1 gene:ENSMUSG00000066621.12 transcript:ENSMUST00000085701.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tecpr1 description:tectonin beta-propeller repeat containing 1 [Source:MGI Symbol;Acc:MGI:1917631] MPTSVLWAVDLFGRVYTLSTAGQYWELCKDVQLEFKRVSAATQCCWGIAGDNQVYLYVCS SDVPIRHREEAYENQRWNPMGGFCEKLLPSDRWPWSDVSGLQHRPLDGVALPSPHWEWES DWYVDENFGGEPTEKGGWTYAMDFPATYTRDKKWNSCVRRRKWIRYRRYKSRDSWAKIPS KDDPKELPDPFNDLSVGGWEITEEPVGRLSVWAVSLQGKVWYREDVSHPNPEGSSWSLVE TPGEVVQISCGPHDLIWATLWEGQALVREGVCRNNPKGSYWSMVEPPGSENGIMHVSAGV SVVWAITKDRKVWFRRGVNSHNPCGTSWIEMVGEMTMVNVGLNDQVWGISCEDRAVYFRQ GVTPSELSGKTWKAIVVGRESDRSHSGSSSSLLSAGCFFGDEVRGSGTESAPSDTDASLE VERQGPEQPLPKEALDNSTNLKGSLSKGHETSGNTDHSTENACLTEGKEKAPETSRSDEC RGPASTPAELPWTNIDLKEPKKVSNQPAAGFPETAGLSSLGLFPMGMEEPYGADDHPLWA WVSGGACAVEAGSTLKWFTIQSGLSPSVQTLSLSITPAQTAAWRKQIFQQLTERTKRELE SFRHYEQAVEQSVWVKTGALQWWCDWKPHKWVDVRVALEQFTGHDGARDSILFIYYVVHE EKKYLHVFLNEVTVLVPVLNEAKHSFALYTPERTRQRWPVRLAAATEQDMNDWLALLSLS CCESRKVHGRPSPQAIWSVTCKGDIFVSEPSPDLEARERLLPCDQMFWRQMGGHLRIIEA NSRGVVWGIGYDHTAWVYTGGYGGGCFQGLASSTSNIYTQSDVKSVYIYENQRWNPVTGY TSRGLPTDRFMWSDVTGLQECTKAGTKPPSLQWTWVSDWYVDFSVPGGTDQEGWQYASDF PASYHGYKTMKDFVRRRCWARKCKLVTSGPWLEVAPITLSDVSIIPESAHADGRGHNVAL WAVSDKGDVLCRLGVSELNPAGSSWLHVGTDQPFASVSIGACYQVWAVARDGSAFYRGSV SPSQPAGDCWYHIPSPPKQKLTQVSVGQTSVYALDENGNLWYRAGITPSYPQGSSWEHVS NNVRKVSVGPLDQVWVIANKVQGSHGLSRGTVCRRMGVQPREPKGQGWDYGIGGGWDHIS VRANATRVPRNMSRDREARGPGPVCC >ENSMUSP00000039186.5 pep:known chromosome:GRCm38:14:35809488:35811978:-1 gene:ENSMUSG00000041068.6 transcript:ENSMUST00000043266.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930596D02Rik description:RIKEN cDNA 4930596D02 gene [Source:MGI Symbol;Acc:MGI:3588288] MFLCCLRTTRGSGHRKNNREGRGGVWRRRVHSSLQHLWPFARKKTNHKDQGENVSAPEDP REHCTQSHVSADTLKKLVNHLVPSLQSGDPFFIPAFLSTYRRFATTLQVLNLLFKRYEYF RPNSEEDEQVKNTLCSFLNTWMDKNTEDFCQTSDLLPLNYLKTYLSMNMPDSDLNVRVTR LLTQLQKEQANVSQAKDEEDSDLESNTSSYPELEGY >ENSMUSP00000143298.1 pep:known chromosome:GRCm38:9:110722085:110747122:-1 gene:ENSMUSG00000032492.14 transcript:ENSMUST00000198865.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pth1r description:parathyroid hormone 1 receptor [Source:MGI Symbol;Acc:MGI:97801] MGTARIAPSLALLLCCPVLSSAYALVDADDVFTKEEQIFLLHRAQAQCDKLLKEVLHTAA NIMESDKGWTPASTSGKPRKEKAPGKFYPESKENKDVPTGSRRRGRPCLPEWDNIVCWPL GAPGEVVAVPCPDYIYDFNHKGHAYRRCDRNGSWEVVPGHNRTWANYSECLKFMTNETRE REVFDRLGMIYTVGYSMSLASLTVAVLILAYFRRLHCTRNYIHMHMFLSFMLRAASIFVK DAVLYSGFTLDEAERLTEEELHIIAQVPPPPAAAAVGYAGCRVAVTFFLYFLATNYYWIL VEGLYLHSLIFMAFFSEKKYLWGFTIFGWGLPAVFVAVWVGVRATLANTGCWDLSSGHKK WIIQVPILASVVLNFILFINIIRVLATKLRETNAGRCDTRQQYRKLLRSTLVLVPLFGVH YTVFMALPYTEVSGTLWQIQMHYEMLFNSFQGFFVAIIYCFCNGEVQAEIRKSWSRWTLA LDFKRKARSGSSSYSYGPMVSHTSVTNVGPRAGLSLPLSPRLLPATTNGHSQLPGHAKPG APAIENETIPVTMTVPKDDGFLNGSCSGLDEEASGSARPPPLLQEEWETVM >ENSMUSP00000006005.7 pep:known chromosome:GRCm38:9:110722142:110742719:-1 gene:ENSMUSG00000032492.14 transcript:ENSMUST00000006005.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pth1r description:parathyroid hormone 1 receptor [Source:MGI Symbol;Acc:MGI:97801] MGTARIAPSLALLLCCPVLSSAYALVDADDVFTKEEQIFLLHRAQAQCDKLLKEVLHTAA NIMESDKGWTPASTSGKPRKEKAPGKFYPESKENKDVPTGSRRRGRPCLPEWDNIVCWPL GAPGEVVAVPCPDYIYDFNHKGHAYRRCDRNGSWEVVPGHNRTWANYSECLKFMTNETRE REVFDRLGMIYTVGYSMSLASLTVAVLILAYFRRLHCTRNYIHMHMFLSFMLRAASIFVK DAVLYSGFTLDEAERLTEEELHIIAQVPPPPAAAAVGYAGCRVAVTFFLYFLATNYYWIL VEGLYLHSLIFMAFFSEKKYLWGFTIFGWGLPAVFVAVWVGVRATLANTGCWDLSSGHKK WIIQVPILASVVLNFILFINIIRVLATKLRETNAGRCDTRQQYRKLLRSTLVLVPLFGVH YTVFMALPYTEVSGTLWQIQMHYEMLFNSFQGFFVAIIYCFCNGEVQAEIRKSWSRWTLA LDFKRKARSGSSSYSYGPMVSHTSVTNVGPRAGLSLPLSPRLLPATTNGHSQLPGHAKPG APAIENETIPVTMTVPKDDGFLNGSCSGLDEEASGSARPPPLLQEEWETVM >ENSMUSP00000142672.1 pep:known chromosome:GRCm38:9:110727437:110747056:-1 gene:ENSMUSG00000032492.14 transcript:ENSMUST00000199862.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pth1r description:parathyroid hormone 1 receptor [Source:MGI Symbol;Acc:MGI:97801] MRRLRPGYRESPSGQDTQLVDADDVFTKEEQIFLLHRAQAQCDKLLKEVLHTAANIMESD KGWTPASTSGKPRKEKAPGKFYPESKENKDVPTGSRRRGRPCLPEWDNIVCWPLGAPGEV VAVPCPDYIYDFNHKGHAYRRCDRNGSWEVVPGHNRTWANYSECLKFMTNETREREVFDR LGMIYTVGYSMSLASLTV >ENSMUSP00000143470.1 pep:known chromosome:GRCm38:9:110727458:110743648:-1 gene:ENSMUSG00000032492.14 transcript:ENSMUST00000196057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pth1r description:parathyroid hormone 1 receptor [Source:MGI Symbol;Acc:MGI:97801] MGTARIAPSLALLLCCPVLSSAYALVDADDVFTKEEQIFLLHRAQAQCDKLLKEVLHTAA NIMESDKGWTPASTSGKPRKEKAPGKFYPESKENKDVPTGSRRRGRPCLPEWDNIVCWPL GAPGEVVAVPCPDYIYDFNHKGHAYRRCDRNGSWEVVPGHNRTWANYSECLKFMTNETRE REVFDRLGMIYTVGYSM >ENSMUSP00000142957.1 pep:known chromosome:GRCm38:9:110731582:110747145:-1 gene:ENSMUSG00000032492.14 transcript:ENSMUST00000199791.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pth1r description:parathyroid hormone 1 receptor [Source:MGI Symbol;Acc:MGI:97801] MRWFQMPEVSPLLKTWLGVLGLETCGWSSPTPCFCGRRRCLYQRGTDFPAAPCP >ENSMUSP00000132064.1 pep:known chromosome:GRCm38:9:110722088:110743686:-1 gene:ENSMUSG00000032492.14 transcript:ENSMUST00000166716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pth1r description:parathyroid hormone 1 receptor [Source:MGI Symbol;Acc:MGI:97801] MGTARIAPSLALLLCCPVLSSAYALVDADDVFTKEEQIFLLHRAQAQCDKLLKEVLHTAA NIMESDKGWTPASTSGKPRKEKAPGKFYPESKENKDVPTGSRRRGRPCLPEWDNIVCWPL GAPGEVVAVPCPDYIYDFNHKGHAYRRCDRNGSWEVVPGHNRTWANYSECLKFMTNETRE REVFDRLGMIYTVGYSMSLASLTVAVLILAYFRRLHCTRNYIHMHMFLSFMLRAASIFVK DAVLYSGFTLDEAERLTEEELHIIAQVPPPPAAAAVGYAGCRVAVTFFLYFLATNYYWIL VEGLYLHSLIFMAFFSEKKYLWGFTIFGWGLPAVFVAVWVGVRATLANTGCWDLSSGHKK WIIQVPILASVVLNFILFINIIRVLATKLRETNAGRCDTRQQYRKLLRSTLVLVPLFGVH YTVFMALPYTEVSGTLWQIQMHYEMLFNSFQGFFVAIIYCFCNGEVQAEIRKSWSRWTLA LDFKRKARSGSSSYSYGPMVSHTSVTNVGPRAGLSLPLSPRLLPATTNGHSQLPGHAKPG APAIENETIPVTMTVPKDDGFLNGSCSGLDEEASGSARPPPLLQEEWETVM >ENSMUSP00000096957.2 pep:known chromosome:GRCm38:13:80883410:80896029:1 gene:ENSMUSG00000074794.9 transcript:ENSMUST00000099356.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrdc3 description:arrestin domain containing 3 [Source:MGI Symbol;Acc:MGI:2145242] MVLGKVKSLTISFDCLNDSNVPVYSSGDTVSGRVNLEVTGEIRVKSLKIHARGHAKVRWT ESRNAGSNTAYTQNYTEEVEYFNHKDILIGHERDDDNSEEGFHTIHSGRHEYAFSFELPQ TPLATSFEGRHGSVRYWVKAELHRPWLLPVKLKKEFTVFEHIDINTPSLLSPQAGTKEKT LCCWFCTSGPISLSAKIERKGYTPGESIQIFAEIENCSSRMVVPKAAIYQTQAFYAKGKM KEVKQLVANLRGESLSSGKTETWNGKLLKIPPVSPSILDCSIIRVEYSLMVYVDIPGAMD LLLSLPLVIGTIPLHPFGSRTSSVSSQCSMSMNWLALALPERPEAPPSYAEVVTEEQRRN NLAPVGACDDFERALQGPLFAYIQEFRFLPPPLYSEIDPNPDQSSEDRPSCPSR >ENSMUSP00000124418.1 pep:known chromosome:GRCm38:13:80883410:80896035:1 gene:ENSMUSG00000074794.9 transcript:ENSMUST00000159690.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arrdc3 description:arrestin domain containing 3 [Source:MGI Symbol;Acc:MGI:2145242] MVLGKVKSLTISFDCLNDSNVPVYSSGDTVSGRVNLEVTGEIRVKSLKIHARGHAKVRWT ESRNAGSNTAYTQNYTEEVEYFNHKDILIGHERDDDNSEEGFHTIHSGRHEYAFSFELPQ TPLATSFEGRHGSVRYWVKAELHRPWLLPVKLKKEFTVFEHIDINTPSLLSPQAGTKEKT LCCWFCTSGPISLSAKIERKGYTPGESIQIFAEIENCSSRMVVPKAAIYQTQAFYAKGKM KEVKQLVANLRGESLSSGKTETWNGKLLKIPPVSPSILDCSIIRVEYSLMVYVDIPGAMD LLLSLPLVIGTIPLHPFGSRTSSVSSQCSMSMNWLALALPERPEEHVVRIACIFLLQHHP AMQRL >ENSMUSP00000125455.1 pep:known chromosome:GRCm38:13:80886095:80894320:1 gene:ENSMUSG00000074794.9 transcript:ENSMUST00000161441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrdc3 description:arrestin domain containing 3 [Source:MGI Symbol;Acc:MGI:2145242] MVVPKAAIYQTQAFYAKGKMKEVKQLVANLRGESLSSGKTETWNGKLLKIPPVSPSILDC SIIRVEYSLMVYVDIPGAMDLLLSLPLVIGTIPLHPFGSRTSSVSSQCSMSMNWLALALP ERPEAPPSYAEVVTEEQRRNNLAPVGACDDFERALQGPLFAYIQEFRFLPPPLYSEIDPN PDQSSEDRPSCPSR >ENSMUSP00000033201.5 pep:known chromosome:GRCm38:7:120173858:120185586:1 gene:ENSMUSG00000030909.6 transcript:ENSMUST00000033201.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks4b description:ankyrin repeat and sterile alpha motif domain containing 4B [Source:MGI Symbol;Acc:MGI:1919324] MSTRYHQAASDSYLELLKEATKRDLNLSDEDGMTPTLLAAYHGNLEALEIICSRGGDPDK CDIWGNTPLHYAASNGHTHCISFLVNFGANIFALDNDLKSPLDAAASREQKECVALLDKA ATVQNTMNPKRVTRLKEQALKNARKQMKECERLQERHQNKMARTYSKEDSGTISSSHSTL SRSFLSTTSAGRFGSLSKGIKDTFKIKSKKNKDTTEQLEKDGRSGQRPVMEVFREEEEDS FPKDFKEKLHFSVEEDDDVQHESILNRPGLGSIVFSRNRVLDFEDISDSKRELGFKMPSE LFQRQGAAGTVEEEEEEEEEEEEEKREANGTAGDLPWDEEEVEWEEDAVDATPLEVFLQS QHLEEFLPIFMREQIDLEALLLCSDEDLQNIHMQLGPRKKVLSAIDKRKQVLQQPGQLVD TSL >ENSMUSP00000136756.1 pep:known chromosome:GRCm38:14:36094917:36096857:1 gene:ENSMUSG00000096405.2 transcript:ENSMUST00000177985.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930474N05Rik description:RIKEN cDNA 4930474N05 gene [Source:MGI Symbol;Acc:MGI:3586330] MFSWCLRTTRGLGLKKENREGHGSVWRHRVHSCLQRLWPFSRKGKTVTKGKQDQNHTDQD LREPRRESPISAEMVVKLVNNLVPSLQEGDHFFVSIFLSTYRSFVTPLQVLGLLFMRYPY FHPHSVEHRQVRSSLCNFLHTWMDKNPEDFCDPSDMLPLTYLKAYLSVHMPHSELFIRVD RLLNELWEEQDKDSHAKNEEDSDLGRHTSSDPELKRCK >ENSMUSP00000139748.1 pep:known chromosome:GRCm38:14:36094967:36100114:1 gene:ENSMUSG00000096405.2 transcript:ENSMUST00000186722.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930474N05Rik description:RIKEN cDNA 4930474N05 gene [Source:MGI Symbol;Acc:MGI:3586330] MFSWCLRTTRGLGLKKENREGHGSVWRHRVHSCLQRLWPFSRKGKTVTKGSEGAT >ENSMUSP00000120365.1 pep:known chromosome:GRCm38:4:107367784:107416346:1 gene:ENSMUSG00000028614.14 transcript:ENSMUST00000139560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndc1 description:NDC1 transmembrane nucleoporin [Source:MGI Symbol;Acc:MGI:1920037] MATAASGPCAGGSPRDILWRVLGWRIVTSIVWSVVLLPVCITAFIVLSSINLFHPIQWLS DSCNDFYSSQVIFHLLLLAVVIIIISIFNVEFYTVVPSISGSRLALIARILHPQQLTHSF IHAAMGMAVAWCAAIMTKGQYSSLVVPCTGTESLDSPAAQTCLNEYHLFFLLSGAFMGYS YSLLYFINNMNYLPFPIIQQYKFLRFRRSLLLLVKHSCVESLFMVRNFCIVYYFFGHIPK AWISTALDLHTDEQAHRPLDTIGGLLNVSLLYHVWLCGVFLLVTWYSSWILFKIYATEAH VFPVQPPFAEASDECLPKVLNSNPPRIVKYLALQDLMLLSQYSPSRRQEVFSLSQPGGHP HNWTAISRECLNLLNDMTQKLVLYQEAAATNGRMYSSYSVEPKKLSSAEETAFQTPKPSQ TPSVPPLVKTSLFSPKLSTPNVSSPFGTPFGSSVVNRMAGILDVNPFSGSPQSPQLIRRG PRLWTHTSDQQVSAISNPSPCASVTAEGKTVRQPSVIYSWIQNKREQIKNFLSKRVLIMY FFSKHPEASIQAVFSDAQMHIWALEGLSHLVAASFTEDRFGVVQTTLPAILHTLLTLQEA VDKYFKLPHASSKPPRASGSLVDTSYKTLRFAFRASLKTAIYRITTTFGEHLNAVQASAE HQKRLQQFLEFKE >ENSMUSP00000136239.1 pep:known chromosome:GRCm38:4:107367880:107414333:1 gene:ENSMUSG00000028614.14 transcript:ENSMUST00000030357.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndc1 description:NDC1 transmembrane nucleoporin [Source:MGI Symbol;Acc:MGI:1920037] MATAASGPCAGGSPRDILWRILAMTSIVPKSSFTSCCWPWSL >ENSMUSP00000137180.1 pep:known chromosome:GRCm38:4:107367915:107416323:1 gene:ENSMUSG00000028614.14 transcript:ENSMUST00000149366.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndc1 description:NDC1 transmembrane nucleoporin [Source:MGI Symbol;Acc:MGI:1920037] MATAASGPCAGGSPRDILWRVLGWRIVTSIVWSVVLLPVCITAFIVLSSINLFHPIQWLS DSCNDFYSSQVIFHLLLLAVVIIIISIFNVEFYTVVPSISGSRLALIARILHPQQLTHSF IHAAMGMAVAWCAAIMTKGQYSSLVVPCTGTESLDSPAAQTCLNEYHLFFLLSGAFMGYS YSLLYFINNMNYLPFPIIQQYKFLRFRRSLLLLVKHSCVESLFMVRNFCIVYYFFGHIPK AWISTALDLHTDEQAHRPLDTIGGLLNVSLLYHVWLCGVFLLVTWYSSWILFKIYATEAH VFPVQPPFAEASDECLPKVLNSNPPRIVKYLALQDLMLLSQYSPSRRQEVFSLSQPGGHP HNWTAISRECLNLLNDMTQKLVLYQEAAATNGRMYSSYSVEPKKLSSAEETAFQTPKPSQ TPSVPPLVKTSLFSPKLSTPNVSSPFGTPFGSSVVNRMAGILDVNPFSGSPQSPQLIRRG PRLWTHTSDQQVSAISNPSPCASVTAEAPRGLHSGCFFRCPNAYLGFRRSVSLSSSVIYR R >ENSMUSP00000136850.1 pep:known chromosome:GRCm38:4:107368052:107411150:1 gene:ENSMUSG00000028614.14 transcript:ENSMUST00000125342.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndc1 description:NDC1 transmembrane nucleoporin [Source:MGI Symbol;Acc:MGI:1920037] MATAASGPCAGGSPRDILWRILAMTSIVPKSSFTSCCWPWSL >ENSMUSP00000134241.1 pep:known chromosome:GRCm38:1:39193731:39287615:1 gene:ENSMUSG00000026077.15 transcript:ENSMUST00000173050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npas2 description:neuronal PAS domain protein 2 [Source:MGI Symbol;Acc:MGI:109232] MDEDEKDRAKRASRNKSEKKRRDQFNVLIKELSSMLPGNTRKMDKTTVLEKVIGFLQKHN >ENSMUSP00000054719.7 pep:known chromosome:GRCm38:1:39194212:39363236:1 gene:ENSMUSG00000026077.15 transcript:ENSMUST00000056815.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npas2 description:neuronal PAS domain protein 2 [Source:MGI Symbol;Acc:MGI:109232] MDEDEKDRAKRASRNKSEKKRRDQFNVLIKELSSMLPGNTRKMDKTTVLEKVIGFLQKHN EVSAQTEICDIQQDWKPSFLSNEEFTQLMLEALDGFVIVVTTDGSIIYVSDSITPLLGHL PADVMDQNLLNFLPEQEHSEVYKILSSHMLVTDSPSPEFLKSDNDLEFYCHLLRGSLNPK EFPTYEYIKFVGNFRSYNNVPSPSCNGFDNTLSRPCHVPLGKDVCFIATVRLATPQFLKE MCVADEPLEEFTSRHSLEWKFLFLDHRAPPIIGYLPFEVLGTSGYDYYHIDDLELLARCH QHLMQFGKGKSCCYRFLTKGQQWIWLQTHYYITYHQWNSKPEFIVCTHSVVSYADVRVER RQELALEDPPTEAMHPSAVKEKDSSLEPPQPFNALDMGASGLPSSPSPSASSRSSHKSSH TAMSEPTSTPTKLMAENSTTALPRPATLPQELPVQGLSQAATMPTALHSSASCDLTKQLL LQSLPQTGLQSPPAPVTQFSAQFSMFQTIKDQLEQRTRILQANIRWQQEELHKIQEQLCL VQDSNVQMFLQQPAVSLSFSSTQRPAAQQQLQQRPAAPSQPQLVVNTPLQGQITSTQVTN QHLLRESNVISAQGPKPMRSSQLLPASGRSLSSLPSQFSSTASVLPPGLSLTTIAPTPQD DSQCQPSPDFGHDRQLRLLLSQPIQPMMPGSCDARQPSEVSRTGRQVKYAQSQVMFPSPD SHPTNSSASTPVLLMGQAVLHPSFPASRPSPLQPAQAQQQPPPYLQAPTSLHSEQPDSLL LSTFSQQPGTLGYAATQSTPPQPPRPSRRVSRLSES >ENSMUSP00000139638.1 pep:known chromosome:GRCm38:1:105518426:105663662:-1 gene:ENSMUSG00000056536.14 transcript:ENSMUST00000186485.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pign description:phosphatidylinositol glycan anchor biosynthesis, class N [Source:MGI Symbol;Acc:MGI:1351629] MLLFFALGLLIHFVFFASIFDIYFTSPLVHGMTPQFTPLPPPAKRLVLFVADGLRADTLY ELDEDGNSRAPFIRNVIMHEGSWGVSHTRVPTESRPGHVALIAGFYEDVSAVAKGWKENP VEFDSLFNESKYTWSWGSPDILPMFAKGASGDHVYTYSYDAQREDFGAHDATKLDTWVFD KVKDFFDAARNNQSLFTKVNEEKVVFFLHLLGIDTNGHAHRPSSREYKDNIKKVDDGVKE IVSIFKHFYGDDGKTAFIFTSDHGMTDWGSHGAGHPSETLTPFVTWGAGIKFPQNVSAQQ YDDEFLKEWRLENWKRRDVNQADIAPLMASLIGVPFPLNSVGILPVGYLNNTGLFKAESM FTNAVQILEQFKVKMTQKKEATLPFLFTPFKLLSDSQQLDILRKARSYIKQEKFDEVVSL CEELIDLALRGLSYYHTYDRLFLGINVAVGFVGWMSYTSLLIIKSHSNIPKGTRKEGKKP HCLLLYSFIATGVLVACFLMIQACPWTYYVYCLLPVPIWYAVLREHEVIQDLVESLLTFP RSHFVAYLLVFTLGIEVLVLSFFYRYMLTAGLIVFAGWPFLTQLWTRAKITFLSWAFFSL LLAVFPLMPVVGRKPNLSLVMGAGFLVLLLSLAVVTTLGKRNIKLVKGELLVLLLQMLST VLSMYVVYSTHHSLLKKEGLPLMNQIVSWATLASSLVAPLLSSTALSQRLASILLSLMST YLLLSTGYEALFPLVLSCLMFVWIQVEQETLQQPGVSCKQKLTSIQFTCDTDIAQFRQLC PDDIRRAFFLVFFLLTAFFGTGNIASINSFDLASVYCFLTVFSPFMMGALMMWKILIPFV LVMCAFEAVQITTQLSSKGLFLVVLIISDIMALHFFFLVKDSGSWLDIGTSISHYVIVMS MTIFLVFLNGLAQLLTTKKLQLCGKPKSHLM >ENSMUSP00000140020.1 pep:known chromosome:GRCm38:1:105552630:105663643:-1 gene:ENSMUSG00000056536.14 transcript:ENSMUST00000187537.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pign description:phosphatidylinositol glycan anchor biosynthesis, class N [Source:MGI Symbol;Acc:MGI:1351629] MLLFFALGLLIHFVFFASIFDIYFTSPLVHGMTPQFTPLPPPAKRLVLFVADGLRADTLY ELDEDGNSRAPFIRNVIMHEGSWGVSHTRVPTESRPGHVALIAGFYEDVSAVAKGWKENP VEFDSLFNESKYTWSWGSPDILPMFAKGASGDHVYTYSYDAQREDFGAHDATKLDTWVFD KVKDFFDAARNNQSLFTKVNEEKVVFFLHLLGIDTNGHAHRPSSREYKDNIKKVDDGVKE IVSIFKHFYGDDGKTAFIFTSDHGMTDWGSHGAGHPSETLTPFVTWGAGIKFPQNVSAQQ YDDEFLKEWRLENWKRRDVNQADIAPLMASLIGVPFPLNSVGILPVGYLNNTGLFKAESM FTNAVQILEQFKVKMTQKKEATLPFLFTPFKLLSDSQQLDILRKARSYIKQEKFDEVVSL CEELIDLALRGLSYYHTYDRLFLGINVAVGFVGWMSYTSLLIIKSHSNIPKGTRKEGKKP HCLLLYSFIATGVLVACFLMIQACPWTYYVYCLLPVPIWYAVLREHEVIQDLVESLLTFP RSHFVAYLLVFTLGIEVLVLSFFYRYMLTAGLIVFAGWPFLTQLWTRAKITFLSWAFFSL LLAVFPLMPVVGRKPNLSLVMGAGFLVLLLSLAVVTTLGKRNIKLVKGELLVLLLQMLST VLSMYVVYSTHHSLLKKEGLPLMNQIVSWATLASSLVAPLLSSTALSQRLASILLSLMST YLLLSTGYEALFPLVLSCLMFVWIQVEQETLQQPGVSCKQKLTSIQFTCDTDIAQFRQLC PDDIRRAFFLILLIFPVFLRPFGYKRIRILLAQENYHRSLKAAWEL >ENSMUSP00000140844.1 pep:known chromosome:GRCm38:1:105556806:105663662:-1 gene:ENSMUSG00000056536.14 transcript:ENSMUST00000190811.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pign description:phosphatidylinositol glycan anchor biosynthesis, class N [Source:MGI Symbol;Acc:MGI:1351629] MLLFFALGLLIHFVFFASIFDIYFTSPLVHGMTPQFTPLPPPAKRLVLFVADGLRADTLY ELDEDGNSRAPFIRNVIMHEGSWGVSHTRVPTESRPGHVALIAGFYEDVSAVAKGWKENP VEFDSLFNESKYTWSWGSPDILPMFAKGASGDHVYTYSYDAQREDFGAHDATKLDTWVFD KVKDFFDAARNNQSLFTKVNEEKVVFFLHLLGIDTNGHAHRPSSREYKDNIKKVDDGVKE IVSIFKHFYGDDGKTAFIFTSDHGMTDWGSHGAGHPSETLTPFVTWGAGIKFPQNVSAQQ YDDEFLKEWRLENWKRRDVNQADIAPLMASLIGVPFPLNSVGILPVGYLNNTGLFKAESM FTNAVQILEQFKVKMTQKKEATLPFLFTPFKLLSDSQQLDILRKARSYIKQEKFDEVVSL CEELIDLALRGLSYYHTYDRLFLGINVAVGFVGWMSYTSLLIIKSHSNIPKGTRKEGKKP HCLLLYSFIATGVLVACFLMIQACPWTYYVYCLLPVPIWYAVLREHEVIQDLVESLLTFP RSHFVAYLLVFTLGIEVLVLSFFYRYMLTAGLIVFAGWPFLTQLWTRAKITFLSWAFFSL LLAVFPLMPVVGRKPNLSLVMGAGFLVLLLSLAVVTTLGKRNIKLVKGELLVLLLQMLST VLSMYVVYSTHHSLLKKEGLPLMNQIVSWATLASSLVAPLLSSTALSQRLASILLSLMST YLLLSTGYEALFPLVLSCLMFVWIQVEQETLQQPGVSCKQKLTSIQFTCDTDIAQFRQLC PDDIRRAFFLFFCPRRNY >ENSMUSP00000139490.1 pep:known chromosome:GRCm38:1:105628328:105638261:-1 gene:ENSMUSG00000056536.14 transcript:ENSMUST00000186195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pign description:phosphatidylinositol glycan anchor biosynthesis, class N [Source:MGI Symbol;Acc:MGI:1351629] XGYLNNTGLFKAESMFTNAVQILEQFKVKMTQKKEATLPFLFTPFKFIYFMYVSTLLLSS GTPEEGIGFHYRWL >ENSMUSP00000069969.8 pep:known chromosome:GRCm38:1:105518422:105659008:-1 gene:ENSMUSG00000056536.14 transcript:ENSMUST00000070699.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pign description:phosphatidylinositol glycan anchor biosynthesis, class N [Source:MGI Symbol;Acc:MGI:1351629] MLLFFALGLLIHFVFFASIFDIYFTSPLVHGMTPQFTPLPPPAKRLVLFVADGLRADTLY ELDEDGNSRAPFIRNVIMHEGSWGVSHTRVPTESRPGHVALIAGFYEDVSAVAKGWKENP VEFDSLFNESKYTWSWGSPDILPMFAKGASGDHVYTYSYDAQREDFGAHDATKLDTWVFD KVKDFFDAARNNQSLFTKVNEEKVVFFLHLLGIDTNGHAHRPSSREYKDNIKKVDDGVKE IVSIFKHFYGDDGKTAFIFTSDHGMTDWGSHGAGHPSETLTPFVTWGAGIKFPQNVSAQQ YDDEFLKEWRLENWKRRDVNQADIAPLMASLIGVPFPLNSVGILPVGYLNNTGLFKAESM FTNAVQILEQFKVKMTQKKEATLPFLFTPFKLLSDSQQLDILRKARSYIKQEKFDEVVSL CEELIDLALRGLSYYHTYDRLFLGINVAVGFVGWMSYTSLLIIKSHSNIPKGTRKEGKKP HCLLLYSFIATGVLVACFLMIQACPWTYYVYCLLPVPIWYAVLREHEVIQDLVESLLTFP RSHFVAYLLVFTLGIEVLVLSFFYRYMLTAGLIVFAGWPFLTQLWTRAKITFLSWAFFSL LLAVFPLMPVVGRKPNLSLVMGAGFLVLLLSLAVVTTLGKRNIKLVKGELLVLLLQMLST VLSMYVVYSTHHSLLKKEGLPLMNQIVSWATLASSLVAPLLSSTALSQRLASILLSLMST YLLLSTGYEALFPLVLSCLMFVWIQVEQETLQQPGVSCKQKLTSIQFTCDTDIAQFRQLC PDDIRRAFFLVFFLLTAFFGTGNIASINSFDLASVYCFLTVFSPFMMGALMMWKILIPFV LVMCAFEAVQITTQLSSKGLFLVVLIISDIMALHFFFLVKDSGSWLDIGTSISHYVIVMS MTIFLVFLNGLAQLLTTKKLQLCGKPKSHLM >ENSMUSP00000003554.4 pep:known chromosome:GRCm38:1:172209894:172219868:-1 gene:ENSMUSG00000007122.11 transcript:ENSMUST00000003554.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casq1 description:calsequestrin 1 [Source:MGI Symbol;Acc:MGI:1309468] MRATDRMGARAVSELRLALLFVLVLGTPRLGVQGEDGLDFPEYDGVDRVINVNAKNYKNV FKKYEVLALLYHEPPEDDKASQRQFEMEELILELAAQVLEDKGVGFGLVDSEKDAAVAKK LGLTEEDSVYVFKGDEVIEYDGEFSADTLVEFLLDVLEDPVELIEGERELQAFENIEDEI KLIGYFKSKDSEHYKAYEDAAEEFHPYIPFFATFDSKVAKKLTLKLNEIDFYEAFMEEPM TIPDKPNSEEEIVSFVEEHRRSTLRKLKPESMYETWEDDLDGIHIVAFAEEADPDGYEFL ETLKAVAQDNTENPDLSIIWIDPDDFPLLVPYWEKTFDIDLSAPQIGVVNVTDADSIWME MDNEEDLPSADELEDWLEDVLEGEINTEDDDDDDDDDDDDDDDDD >ENSMUSP00000129647.1 pep:known chromosome:GRCm38:1:172215140:172219715:-1 gene:ENSMUSG00000007122.11 transcript:ENSMUST00000170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casq1 description:calsequestrin 1 [Source:MGI Symbol;Acc:MGI:1309468] MRATDRMGARAVSELRLALLFVLVLGTPRLGVQGEDGLDFPEYDGVDRVINVNAKNYKNV FKKYEVLALLYHEPPEDDKASQRQFEMEELILEVLEDPVELIEGERELQAFENIEDEIKL IGYFKSKDSEHYKAYEDAAEEFHPYIPFFATFDSKV >ENSMUSP00000092019.4 pep:known chromosome:GRCm38:2:152736251:152737410:1 gene:ENSMUSG00000042745.9 transcript:ENSMUST00000038368.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Id1 description:inhibitor of DNA binding 1 [Source:MGI Symbol;Acc:MGI:96396] MKVASGSAAAAAGPSCSLKAGRTAGEVVLGLSEQSVAISRCAGTRLPALLDEQQVNVLLY DMNGCYSRLKELVPTLPQNRKVSKVEILQHVIDYIRDLQLELNSESEVGTTGGRGLPVRA PLSTLNGEISALAAEAACVPADDRILCR >ENSMUSP00000105449.1 pep:known chromosome:GRCm38:2:152736251:152737410:1 gene:ENSMUSG00000042745.9 transcript:ENSMUST00000109824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Id1 description:inhibitor of DNA binding 1 [Source:MGI Symbol;Acc:MGI:96396] MKVASGSAAAAAGPSCSLKAGRTAGEVVLGLSEQSVAISRCAGTRLPALLDEQQVNVLLY DMNGCYSRLKELVPTLPQNRKVSKVEILQHVIDYIRDLQLELNSESEVGTTGGRGLPVRA PLSTLNGEISALAAEVRSEAEYYIILQWETEATGGGCPPSLLFRRIAI >ENSMUSP00000074255.4 pep:known chromosome:GRCm38:4:134102583:134126776:1 gene:ENSMUSG00000012126.16 transcript:ENSMUST00000074690.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn11 description:UBX domain protein 11 [Source:MGI Symbol;Acc:MGI:1914836] MSSPLASLSKTRKVPLESESVNPGRRGIRVYGNEDEVDMWNDGQDSEEKISLPSCYGGIG APVSRQGPHDSELMASMTRKLQELEQQLQAQNDEMLSKERKILDLEDLVQTLQQHQNNAA LQRQEELETQCIQLQRQIGEMERFLSDYGLQWVGEPMDQENSEEKTVSENDERDWMKAKK FWKPGDSFVPPEVDFDKLMASLQDLSELVEGEAQVTPVPGGARFRTLEPIPLKVYRNGIM MFDGPFRPFYDPSTQRCLRDILDGFFPSELQRLYPDGVPFKVSDLRNQIYPEDGLGQFPG EGRVVGRQKMRKVTDRVEETSGSRMTAEQFLNRLPKCIIRQGEVIDIRGPIRDTLQNCCP MPARIQEIIVETPALASERQRSQESPDMPMPLLSMLRIKSENGEQAFLLMMWPEDTIGDV RKLLAQARDMDSAAFEILSTFPPTVYQDDTVTLQAAGLVPNATLLLRTRRALLSNPISRP GSLP >ENSMUSP00000064030.2 pep:known chromosome:GRCm38:4:134102605:134127593:1 gene:ENSMUSG00000012126.16 transcript:ENSMUST00000070246.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn11 description:UBX domain protein 11 [Source:MGI Symbol;Acc:MGI:1914836] MSSPLASLSKTRKVPLESESVNPGRRGIRVYGNEDEVDMWNDGQDSEEKISLPSCYGGIG APVSRQGDSFVPPEVDFDKLMASLQDLSELVEGEAQVTPVPGGARFRTLEPIPLKVYRNG IMMFDGPFRPFYDPSTQRCLRDILDGFFPSELQRLYPDGVPFKVSDLRNQIYPEDGLGQF PGEGRVVGRQKMRKVTDRVEETSGSRMTAEQFLNRLPKCIIRQGEVIDIRGPIRDTLQNC CPMPARIQEIIVETPALASERQRSQESPDMPMPLLSMLRIKSENGEQAFLLMMWPEDTIG DVRKLLAQARDMDSAAFEILSTFPPTVYQDDTVTLQAAGLVPNATLLLRTRRALLSNPIS RPGSLP >ENSMUSP00000117081.1 pep:known chromosome:GRCm38:4:134102618:134116126:1 gene:ENSMUSG00000012126.16 transcript:ENSMUST00000156750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn11 description:UBX domain protein 11 [Source:MGI Symbol;Acc:MGI:1914836] MSSPLASLSKTRKVPLESESVNPGRGIRVYGNEDEVDMWNDGQDSEEKISLPSCYGGIGA PVSRQGPHDSELMASMTRKLQELEQQLQAQNDEMLSKERKILDLEDLVQTLQQHQNNAAL QRQEELETQCIQLQRQIGEMERFLSDYGLQWVGEPMDQENSEEKTVSENDERD >ENSMUSP00000122892.1 pep:known chromosome:GRCm38:4:134124051:134126500:1 gene:ENSMUSG00000012126.16 transcript:ENSMUST00000151113.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn11 description:UBX domain protein 11 [Source:MGI Symbol;Acc:MGI:1914836] CRRGSWDRSEEATRLPQAEQLTVPSAGILKVSDLRNQIYPEDGLGQFPGEGRVVGRQKMR KVTDRVEETSGSRMTAEQFLNRLPKCIIRQGEVIDIRGPIRDTLQNCCPMPARIQEIIVE TPALASERQRSQESPDMPMPLLSMLRIKSENGEQAFLLMMWPEDTIGDVRKLLA >ENSMUSP00000032192.6 pep:known chromosome:GRCm38:6:108213096:108551109:1 gene:ENSMUSG00000030102.10 transcript:ENSMUST00000032192.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr1 description:inositol 1,4,5-trisphosphate receptor 1 [Source:MGI Symbol;Acc:MGI:96623] MSDKMSSFLHIGDICSLYAEGSTNGFISTLGLVDDRCVVQPEAGDLNNPPKKFRDCLFKL CPMNRYSAQKQFWKAAKPGANSTTDAVLLNKLHHAADLEKKQNETENRKLLGTVIQYGNV IQLLHLKSNKYLTVNKRLPALLEKNAMRVTLDEAGNEGSWFYIQPFYKLRSIGDSVVIGD KVVLNPVNAGQPLHASSHQLVDNPGCNEVNSVNCNTSWKIVLFMKWSDNKDDILKGGDVV RLFHAEQEKFLTCDEHRKKQHVFLRTTGRQSATSATSSKALWEVEVVQHDPCRGGAGYWN SLFRFKHLATGHYLAAEVDPDFEEECLEFQPSVDPDQDASRSRLRNAQEKMVYSLVSVPE GNDISSIFELDPTTLRGGDSLVPRNSYVRLRHLCTNTWVHSTNIPIDKEEEKPVMLKIGT SPLKEDKEAFAIVPVSPAEVRDLDFANDASKVLGSIAGKLEKGTITQNERRSVTKLLEDL VYFVTGGTNSGQDVLEVVFSKPNRERQKLMREQNILKQIFKLLQAPFTDCGDGPMLRLEE LGDQRHAPFRHICRLCYRVLRHSQQDYRKNQEYIAKQFGFMQKQIGYDVLAEDTITALLH NNRKLLEKHITAAEIDTFVSLVRKNREPRFLDYLSDLCVSMNKSIPVTQELICKAVLNPT NADILIETKLVLSRFEFEGVSTGENALEAGEDEEEVWLFWRDSNKEIRSKSVRELAQDAK EGQKEDRDILSYYRYQLNLFARMCLDRQYLAINEISGQLDVDLILRCMSDENLPYDLRAS FCRLMLHMHVDRDPQEQVTPVKYARLWSEIPSEIAIDDYDSSGTSKDEIKERFAQTMEFV EEYLRDVVCQRFPFSDKEKNKLTFEVVNLARNLIYFGFYNFSDLLRLTKILLAILDCVHV TTIFPISKMTKGEENKGSNVMRSIHGVGELMTQVVLRGGGFLPMTPMAAAPEGNVKQAEP EKEDIMVMDTKLKIIEILQFILNVRLDYRISCLLCIFKREFDESNSQSSETSSGNSSQEG PSNVPGALDFEHIEEQAEGIFGGSEENTPLDLDDHGGRTFLRVLLHLTMHDYPPLVSGAL QLLFRHFSQRQEVLQAFKQVQLLVTSQDVDNYKQIKQDLDQLRSIVEKSELWVYKGQGPD EPMDGASGENEHKKTEEGTSKPLKHESTSSYNYRVVKEILIRLSKLCVQESASVRKSRKQ QQRLLRNMGAHAVVLELLQIPYEKAEDTKMQEIMRLAHEFLQNFCAGNQQNQALLHKHIN LFLNPGILEAVTMQHIFMNNFQLCSEINERVVQHFVHCIETHGRNVQYIKFLQTIVKAEG KFIKKCQDMVMAELVNSGEDVLVFYNDRASFQTLIQMMRSERDRMDENSPLMYHIHLVEL LAVCTEGKNVYTEIKCNSLLPLDDIVRVVTHEDCIPEVKIAYINFLNHCYVDTEVEMKEI YTSNHMWKLFENFLVDICRACNNTSDRKHADSILEKYVTEIVMSIVTTFFSSPFSDQSTT LQTRQPVFVQLLQGVFRVYHCNWLMPSQKASVESCIRVLSDVAKSRAIAIPVDLDSQVNN LFLKSHNIVQKTALNWRLSARNAARRDSVLAASRDYRNIIERLQDIVSALEDRLRPLVQA ELSVLVDVLHRPELLFPENTDARRKCESGGFICKLIKHTKQLLEENEEKLCIKVLQTLRE MMTKDRGYGEKQISIDESENAELPQAPEAENSTEQELEPSPPLRQLEDHKRGEALRQILV NRYYGNIRPSGRRESLTSFGNGPLSPGGPSKPGGGGGGPGSSSTSRGEMSLAEVQCHLDK EGASNLVIDLIMNASSDRVFHESILLAIALLEGGNTTIQHSFFCRLTEDKKSEKFFKVFY DRMKVAQQEIKATVTVNTSDLGNKKKDDEVDRDAPSRKKAKEPTTQITEEVRDQLLEASA ATRKAFTTFRREADPDDHYQSGEGTQATTDKAKDDLEMSAVITIMQPILRFLQLLCENHN RDLQNFLRCQNNKTNYNLVCETLQFLDCICGSTTGGLGLLGLYINEKNVALINQTLESLT EYCQGPCHENQNCIATHESNGIDIITALILNDINPLGKKRMDLVLELKNNASKLLLAIME SRHDSENAERILYNMRPKELVEVIKKAYMQGEVEFEDGENGEDGAASPRNVGHNIYILAH QLARHNKELQTMLKPGGQVDGDEALEFYAKHTAQIEIVRLDRTMEQIVFPVPSICEFLTK ESKLRIYYTTERDEQGSKINDFFLRSEDLFNEMNWQKKLRAQPVLYWCARNMSFWSSISF NLAVLMNLLVAFFYPFKGVRGGTLEPHWSGLLWTAMLISLAIVIALPKPHGIRALIASTI LRLIFSVGLQPTLFLLGAFNVCNKIIFLMSFVGNCGTFTRGYRAMVLDVEFLYHLLYLLI CAMGLFVHEFFYSLLLFDLVYREETLLNVIKSVTRNGRSIILTAVLALILVYLFSIVGYL FFKDDFILEVDRLPNETAVPETGESLANDFLYSDVCRVETGENCTSPAPKEELLPAEETE QDKEHTCETLLMCIVTVLSHGLRSGGGVGDVLRKPSKEEPLFAARVIYDLLFFFMVIIIV LNLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKFDNKTVTFEEHIKEEHNMWHY LCFIVLVKVKDSTEYTGPESYVAEMIRERNLDWFPRMRAMSLVSSDSEGEQNELRNLQEK LESTMKLVTNLSGQLSELKDQMTEQRKQKQRIGLLGHPPHMNVNPQQPA >ENSMUSP00000144880.1 pep:known chromosome:GRCm38:6:108213202:108551109:1 gene:ENSMUSG00000030102.10 transcript:ENSMUST00000203615.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr1 description:inositol 1,4,5-trisphosphate receptor 1 [Source:MGI Symbol;Acc:MGI:96623] MSDKMSSFLHIGDICSLYAEGSTNGFISTLGLVDDRCVVQPEAGDLNNPPKKFRDCLFKL CPMNRYSAQKQFWKAAKPGANSTTDAVLLNKLHHAADLEKKQNETENRKLLGTVIQYGNV IQLLHLKSNKYLTVNKRLPALLEKNAMRVTLDEAGNEGSWFYIQPFYKLRSIGDSVVIGD KVVLNPVNAGQPLHASSHQLVDNPGCNEVNSVNCNTSWKIVLFMKWSDNKDDILKGGDVV RLFHAEQEKFLTCDEHRKKQHVFLRTTGRQSATSATSSKALWEVEVVQHDPCRGGAGYWN SLFRFKHLATGHYLAAEVDPDFEEECLEFQPSVDPDQDASRSRLRNAQEKMVYSLVSVPE GNDISSIFELDPTTLRGGDSLVPRNSYVRLRHLCTNTWVHSTNIPIDKEEEKPVMLKIGT SPLKEDKEAFAIVPVSPAEVRDLDFANDASKVLGSIAGKLEKGTITQNERRSVTKLLEDL VYFVTGGTNSGQDVLEVVFSKPNRERQKLMREQNILKQIFKLLQAPFTDCGDGPMLRLEE LGDQRHAPFRHICRLCYRVLRHSQQDYRKNQEYIAKQFGFMQKQIGYDVLAEDTITALLH NNRKLLEKHITAAEIDTFVSLVRKNREPRFLDYLSDLCVSMNKSIPVTQELICKAVLNPT NADILIETKLVLSRFEFEGVSTGENALEAGEDEEEVWLFWRDSNKEIRSKSVRELAQDAK EGQKEDRDILSYYRYQLNLFARMCLDRQYLAINEISGQLDVDLILRCMSDENLPYDLRAS FCRLMLHMHVDRDPQEQVTPVKYARLWSEIPSEIAIDDYDSSGTSKDEIKERFAQTMEFV EEYLRDVVCQRFPFSDKEKNKLTFEVVNLARNLIYFGFYNFSDLLRLTKILLAILDCVHV TTIFPISKMTKGEENKGSNVMRSIHGVGELMTQVVLRGGGFLPMTPMAAAPEGNVKQAEP EKEDIMVMDTKLKIIEILQFILNVRLDYRISCLLCIFKREFDESNSQSSETSSGNSSQEG PSNVPGALDFEHIEEQAEGIFGGSEENTPLDLDDHGGRTFLRVLLHLTMHDYPPLVSGAL QLLFRHFSQRQEVLQAFKQVQLLVTSQDVDNYKQIKQDLDQLRSIVEKSELWVYKGQGPD EPMDGASGENEHKKTEEGTSKPLKHESTSSYNYRVVKEILIRLSKLCVQESASVRKSRKQ QQRLLRNMGAHAVVLELLQIPYEKAEDTKMQEIMRLAHEFLQNFCAGNQQNQALLHKHIN LFLNPGILEAVTMQHIFMNNFQLCSEINERVVQHFVHCIETHGRNVQYIKFLQTIVKAEG KFIKKCQDMVMAELVNSGEDVLVFYNDRASFQTLIQMMRSERDRMDENSPLMYHIHLVEL LAVCTEGKNVYTEIKCNSLLPLDDIVRVVTHEDCIPEVKIAYINFLNHCYVDTEVEMKEI YTSNHMWKLFENFLVDICRACNNTSDRKHADSILEKYVTEIVMSIVTTFFSSPFSDQSTT LQTRQPVFVQLLQGVFRVYHCNWLMPSQKASVESCIRVLSDVAKSRAIAIPVDLDSQVNN LFLKSHNIVQKTALNWRLSARNAARRDSVLAASRDYRNIIERLQDIVSALEDRLRPLVQA ELSVLVDVLHRPELLFPENTDARRKCESGGFICKLIKHTKQLLEENEEKLCIKVLQTLRE MMTKDRGYGEKQISIDESENAELPQAPEAENSTEELEPSPPLRQLEDHKRGEALRQILVN RYYGNIRPSGRRESLTSFGNGPLSPGGPSKPGGGGGGPGSSSTSRGEMSLAEVQCHLDKE GASNLVIDLIMNASSDRVFHESILLAIALLEGGNTTIQHSFFCRLTEDKKSEKFFKVFYD RMKVAQQEIKATVTVNTSDLGNKKKDDEVDRDAPSRKKAKEPTTQITEEVRDQLLEASAA TRKAFTTFRREADPDDHYQSGEGTQATTDKAKDDLEMSAVITIMQPILRFLQLLCENHNR DLQNFLRCQNNKTNYNLVCETLQFLDCICGSTTGGLGLLGLYINEKNVALINQTLESLTE YCQGPCHENQNCIATHESNGIDIITALILNDINPLGKKRMDLVLELKNNASKLLLAIMES RHDSENAERILYNMRPKELVEVIKKAYMQGEVEFEDGENGEDGAASPRNVGHNIYILAHQ LARHNKELQTMLKPGGQVDGDEALEFYAKHTAQIEIVRLDRTMEQIVFPVPSICEFLTKE SKLRIYYTTERDEQGSKINDFFLRSEDLFNEMNWQKKLRAQPVLYWCARNMSFWSSISFN LAVLMNLLVAFFYPFKGVRGGTLEPHWSGLLWTAMLISLAIVIALPKPHGIRALIASTIL RLIFSVGLQPTLFLLGAFNVCNKIIFLMSFVGNCGTFTRGYRAMVLDVEFLYHLLYLLIC AMGLFVHEFFYSLLLFDLVYREETLLNVIKSVTRNGRSIILTAVLALILVYLFSIVGYLF FKDDFILEVDRLPNETAVPETGESLANDFLYSDVCRVETGENCTSPAPKEELLPAEETEQ DKEHTCETLLMCIVTVLSHGLRSGGGVGDVLRKPSKEEPLFAARVIYDLLFFFMVIIIVL NLIFGVIIDTFADLRSEKQKKEEILKTTCFICGLERDKFDNKTVTFEEHIKEEHNMWHYL CFIVLVKVKDSTEYTGPESYVAEMIRERNLDWFPRMRAMSLVSSDSEGEQNELRNLQEKL ESTMKLVTNLSGQLSELKDQMTEQRKQKQRIGLLGHPPHMNVNPQQPA >ENSMUSP00000145526.1 pep:known chromosome:GRCm38:6:108356254:108377803:1 gene:ENSMUSG00000030102.10 transcript:ENSMUST00000203936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr1 description:inositol 1,4,5-trisphosphate receptor 1 [Source:MGI Symbol;Acc:MGI:96623] SDNKDDILKGGDVVRLFHAEQEKFLTCDEHRKKQHVFLRTTGRQSATSATSSKALWEVEV VQHDPCRGGAGYWNSLFRFKHLATGHYLAAEVDPDQDASRSRLRNAQEKMVYSLVSVPEG NDISSIFELDPTTLRGGDSLVPRNSYVRLRHLCTNTWVHSTNIPIDKEEEKPVMLKIGTS PLKEDKEAFAIVPVSPAEVRDLDFANDASKVLGSIA >ENSMUSP00000145177.1 pep:known chromosome:GRCm38:6:108416804:108440687:1 gene:ENSMUSG00000030102.10 transcript:ENSMUST00000203262.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr1 description:inositol 1,4,5-trisphosphate receptor 1 [Source:MGI Symbol;Acc:MGI:96623] FQDIVSALEDRLRPLVQAELSVLVDVLHRPELLFPENTDARRKCESGGFICKLIKHTKQL LEENEEKLCIKVLQTLREMMTKDRGYGEKLPQAPEAENSTEELEPSPPLRQLEDHKRGEA LRQILVNRYYGNIRPSGRRESLTSFGNGPLSPGGPSKPGGGGGGPGSSSTSRGEMSLAEV QCHLDKEGASNLVIDLIMNASSDRVFHESILLAIALLEGGNTTIQ >ENSMUSP00000145522.1 pep:known chromosome:GRCm38:6:108416871:108473640:1 gene:ENSMUSG00000030102.10 transcript:ENSMUST00000203638.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr1 description:inositol 1,4,5-trisphosphate receptor 1 [Source:MGI Symbol;Acc:MGI:96623] XVDVLHRPELLFPENTDARRKCESGGFICKLIKHTKQLLEENEEKLCIKVLQTLREMMTK DRGYGEKGEALRQILVNRYYGNIRPSGRRESLTSFGNGPLSPGGPSKPGGGGGGPGSSST SRGEMSLAEVQCHLDKEGASNLVIDLIMNASSDRVFHESILLAIALLEGGNTTIQHSFFC RLTEDKKSEKFFKVFYDRMKVAQQEIKATVTVNTSDLGNKKKDDEVDRDAPSRKKAKEPT TQITEEVRDQLLEASAATRKAFTTFRREADPDDHYQSGEGTQATTDKAKDDLEMSAVITI MQPILRFLQLLCE >ENSMUSP00000145339.1 pep:known chromosome:GRCm38:6:108417877:108440642:1 gene:ENSMUSG00000030102.10 transcript:ENSMUST00000203687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpr1 description:inositol 1,4,5-trisphosphate receptor 1 [Source:MGI Symbol;Acc:MGI:96623] XLLFRLIKHTKQLLEENEEKLCIKVLQTLREMMTKDRGYGEKQELEPSPPLRQLEDHKRG EALRQILVNRYYGNIRPSGRRESLTSFGNGPLSPGGPSKPGGGGGGPGSSSTSRGEMSLA EVQCHLDKEGASNLVIDLIMNASSDRVFHESI >ENSMUSP00000027687.7 pep:known chromosome:GRCm38:1:134962565:134974162:1 gene:ENSMUSG00000026429.8 transcript:ENSMUST00000027687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2t description:ubiquitin-conjugating enzyme E2T (putative) [Source:MGI Symbol;Acc:MGI:1914446] MQRASRLKKELHMLAIEPPPGITCWQEKDQVADLRAQILGGANTPYEKGVFTLEVIIPER YPFEPPQVRFLTPIYHPNIDSSGRICLDILKLPPKGAWRPSLNIATVLTSIQLLMAEPNP DDPLMADISSEFKYNKIAFLKKAKQWTEAHARQKQKADEEELGTSSEVGDSEESHSTQKR KARPLGGMEKKFSPDVQRVYPGPS >ENSMUSP00000139929.1 pep:known chromosome:GRCm38:1:134971279:134974159:1 gene:ENSMUSG00000026429.8 transcript:ENSMUST00000188177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2t description:ubiquitin-conjugating enzyme E2T (putative) [Source:MGI Symbol;Acc:MGI:1914446] XLTPIYHPNIDSSGRICLDILKLPPKADEEELGTSSEVGDSEESHSTQKRKARPLGGMEK KFSPDVQRVYPGPS >ENSMUSP00000050818.4 pep:known chromosome:GRCm38:6:138657089:138658544:-1 gene:ENSMUSG00000046717.5 transcript:ENSMUST00000054786.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igbp1b description:immunoglobulin (CD79A) binding protein 1b [Source:MGI Symbol;Acc:MGI:1354380] MASFMEEMQKPKLRELLETGIQLLEEVEAATQPTGSKPIQEKVREALKLLEKASDMLSQL DLFSRNEDWEEIASADLKYLMLPALKGALTLKLVGSSKRLGLLQDAREHFMNFLTQTHSY HVADFQLPWAQSSSTEGNPAATSDAQEQNLVAMASQRQTKIQRYKQKKAVEQRLSSLKSA VESGQADDERVREYYLLQLRRWISISLDEIENIEQEIEILRERDSLGETSASRSSPQERP PLKPFVLTRSVAQAQVFGAGYPSLATMTVNDWYEQRQKNEVSPTLQEAEKQAPPSETFTV SEKEEPDLEQKEDEDENALHRMQEWDDWKDTHPRGYGNRQNMG >ENSMUSP00000029448.6 pep:known chromosome:GRCm38:3:102818499:102936100:-1 gene:ENSMUSG00000027855.13 transcript:ENSMUST00000029448.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycp1 description:synaptonemal complex protein 1 [Source:MGI Symbol;Acc:MGI:105931] MEKQKPFTLFVPPRLSSSQVSAVKPQTAGGDSNYFKTANKCTEGDFGVPFTMSSRENIDK DPAFQKLSILPMLEQVANSGSCHYQEGVNDSDFENSEPMSRLYSKLYKEAEKIKKWKVSI ESELKQKENKLQENRKIIEAQRKAIQELQFENEKVSLKLEEEIQENKDLIKENNATIHWC NLLKETCARSAEKTNKYEYEREETRQVYVDLNSNIEKMILAFEELRVQAENARLEMHFKL KEDHEKIQHLEEEYQKEVNNKENQVSELLIQSAEKENKMKDLTFLLEESRDKANQLEEKT KLQDENLKELSEKKDHLTSELEDIKMSMQRSMSTQKALEEDLQIATKTISQLTEVKEAQM EELNKAKTTHSFVVTELKATTCTLEELLRTEQQRLEKNEDQLKLITVELQKKSNELEEMT KFKNNKEVELEELKNILAEDQKLLDEKKQVEKLAEELQEKEQELTFLLETREKEVHDLQE QVTVTKTSEQHYLKQVEEMKTELEKEKLKNTELTASCDMLLLENKKFVQEASDMALELKK HQEDIINCKKQEERLLKQIENLEEKEMHLRDELESVRKEFIQQGDEVKCKLDKSEENARS IECEVLKKEKQMKILESKCNNLKKQVENKSKNIEELHQENKTLKKKSSAEIKQLNAYEIK VSKLELELESTKQRFEEMTNNYQKEIENKKISEGKLLGEVEKAKATVDEAVKLQKEIDLR CQHKIAEMVALMEKHKHQYDKIVEERDSELGLYKNREQEQSSAKIALETELSNIRNELVS LKKQLEIEKEEKEKLKMAKENTAILKDKKDKKIQASLLESPEATSWKFDSKTTPSQNISR LSSSMDSGKSKDNRDNLRASAKSILPTTVTKEYTVKTPTKKSIYQRENKYIPTGGSNKKR KTAFEFDVNSDSSETADLLSLVSEEDVSNRLYDNNPPDSHLLVKTPKQTPLSLSTPASFM KFGSLKKMREDRWTTIAKIDRKRRLKEAEKLFS >ENSMUSP00000143651.1 pep:known chromosome:GRCm38:3:102818741:102936100:-1 gene:ENSMUSG00000027855.13 transcript:ENSMUST00000196988.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycp1 description:synaptonemal complex protein 1 [Source:MGI Symbol;Acc:MGI:105931] MEKQKPFTLFVPPRLSSSQVSAVKPQTAGGDSNYFKTANKCTEGDFGVPFTMSSRENIDK DPAFQKLSILPMLEQVANSGSCHYQEGVNDSDFENSEPMSRLYSKLYKEAEKIKKWKVSI ESELKQKENKLQENRKIIEAQRKAIQELQFENEKVSLKLEEEIQENKDLIKENNATIHWC NLLKETCARSAEKTNKYEYEREETRQVYVDLNSNIEKMILAFEELRVQAENARLEMHFKL KEDHEKIQHLEEEYQKEVNNKENQVSELLIQSAEKENKMKDLTFLLEESRDKANQLEEKT KLQDENLKELSEKKDHLTSELEDIKMSMQRSMSTQKALEEDLQIATKTISQLTEVKEAQM EELNKAKTTHSFVVTELKATTCTLEELLRTEQQRLEKNEDQLKLITVELQKKSNELEEMT KFKNNKEVELEELKNILAEDQKLLDEKKQVEKLAEELQEKEQELTFLLETREKEVHDLQE QVTVTKTSEQHYLKQVEEMKTELEKEKLKNTELTASCDMLLLENKKFVQEASDMALELKK HQEDIINCKKQEERLLKQIENLEEKEMHLRDELESVRKEFIQQGDEVKCKLDKSEENARS IECEVLKKEKQMKILESKCNNLKKQVENKSKNIEELHQENKTLKKKSSAEIKQLNAYEIK VSKLELELESTKQRFEEMTNNYQKEIENKKISEGKLLGEVEKAKATVDEAVKLQKEIDLR CQHKIAEMVALMEKHKHQYDKIVEERDSELGLYKNREQEQSSAKIALETELSNIRNELVS LKKQLEIEKEEKEKLKMAKENTAILKDKKDKKIQASLLESPEATSWKFDSKTTPSQNISR LSSSMDSGKSKDNRDNLRASAKSILPTTVTKEYTVKTPTKKSIYQRENKYIPTGGSNKKR KTAFEFDVNSDSSETADLLSLVSEEDVSNRLYDNNPPDSHLLVKTPKQTPLSLSTPASFM KFGSLKKMREDRWTTIAKIDRKRRLKEAEKLFS >ENSMUSP00000143493.1 pep:known chromosome:GRCm38:3:102923008:102936090:-1 gene:ENSMUSG00000027855.13 transcript:ENSMUST00000199930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycp1 description:synaptonemal complex protein 1 [Source:MGI Symbol;Acc:MGI:105931] MEKQKPFTLFVPPRLSSSQVSAVKPQTAGGDSNYFKTANKCTEGDFGVPFTMSSRENIDK DPAFQKLSILPMLEQVANSGSCHYQEGVNDSDFEFENEKVSLKLEEEIQENKDLIKENNA TIHWCNLLKETCARSAEKTNKYEYEREETRQVYVDLNSNIEKMILAFEELRVQAENARLE MH >ENSMUSP00000125288.1 pep:known chromosome:GRCm38:12:101645443:101718523:-1 gene:ENSMUSG00000021187.14 transcript:ENSMUST00000162735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tc2n description:tandem C2 domains, nuclear [Source:MGI Symbol;Acc:MGI:1921663] MAAEFIKSCCRGCLYGETEKQKFSVDRDFKASASSSQNTIGIPPLTSVLVKPQVGCNEDY LLSKLPCDGKEVQFVVPRFKLSYIQPRTQGIPSHLDELEGSARASFGDQKTELCSSFYHG PSYDVYNPCYMYQHFSPDLSRRFLPHCETKQLYGSVCDLRTSKLPGSSGLSKSMLDLTTS SQRFIQRHDSFSSVPSSSSSRKNSQGSNRSLDTITLSGDERDLGRLNVKLFYNSSAEQIW ITVLQCRDISWPSSYGDTPTISIKGILTLSKPVHFKSSAKEGSNAIEFMETFVFAIKLQN LQAVRLAFKIQTQTPKKKTIGECSLSLRTLSTQEMEYSLEIIAPSKISVCQAELELGTCF QAVNSRIQLQILEAQYLPSSSTPLTLSFFVKVGMFSSGELIYKKKTRLLKASSGRVKWGE TMIFPLIQTEKEIVFLIKLYSRSSVRRKHFVGQLWISEDSNNIEAVNQWKETITNPEKVV IKWHKLNPS >ENSMUSP00000105674.2 pep:known chromosome:GRCm38:12:101646565:101711905:-1 gene:ENSMUSG00000021187.14 transcript:ENSMUST00000110047.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tc2n description:tandem C2 domains, nuclear [Source:MGI Symbol;Acc:MGI:1921663] MAAEFIKSCCRGCLYGETEKQKFSVDRDFKASASSSQNTIGIPPLTSVLVKPQVGCNEDY LLSKLPCDGKEVQFVVPRFKLSYIQPRTQGIPSHLDELEGSARASFGDQKTELCSSFYHG PSYDVYNPCYMYQHFSPDLSRRFLPHCETKQLYGSVCDLRTSKLPGSSGLSKSMLDLTTS SQRFIQRHDSFSSVPSSSSSRKNSQGSNRSLDTITLSGDERDLGRLNVKLFYNSSAEQIW ITVLQCRDISWPSSYGDTPTISIKGILTLSKPVHFKSSAKEGSNAIEFMETFVFAIKLQN LQAVRLAFKIQTQTPKKKTIGECSLSLRTLSTQEMEYSLEIIAPSKISVCQAELELGTCF QAVNSRIQLQILEAQYLPSSSTPLTLSFFVKVGMFSSGELIYKKKTRLLKASSGRVKWGE TMIFPLIQTEKEIVFLIKLYSRSSVRRKHFVGQLWISEDSNNIEAVNQWKETITNPEKVV IKWHKLNPS >ENSMUSP00000124287.1 pep:known chromosome:GRCm38:12:101649080:101711573:-1 gene:ENSMUSG00000021187.14 transcript:ENSMUST00000160830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tc2n description:tandem C2 domains, nuclear [Source:MGI Symbol;Acc:MGI:1921663] MAAEFIKSCCRGCLYGETEKQKFSVDRDFKASASSSQNTIGIPPLTSVLVKPQVGCNEDY LLSKLPCDGKEVQFVVPRFKLSYIQPRTQGIPSHLDELEGSARASFGDQKTELCSSFYHG PSYDVYNPCYMYQHFSPDLSRRFLPHCETKQLYGSVCDLRTSKLPGSSGLSKSMLDLTTS SQRFIQRHDSFSSVPSSSSSRKNSQGSNRSLDTITLSGDERDLGRLNVKLFYNSSAEQIW ITVLQCRDISWPSSYGDTPTISIKGILTLSKPVHFKSSAKEGSNAIEFMETFVFAIKLQN LQAVRLAFKIQTQTPKKKTIGECSLSLRTLSTQEMEYSLEIIAPSKISVCQAELELGTCF QAVNSRIQLQILEAQYLPSSSTPLTLSFFVKVGMFSSGELIYKKKTRLLKASSGRVKWGE TMIFPLIQTEKEIVFLIKLYSRSSVRRKHFVGQLWISEDSNNIEAVNQWKETITNPEKVV IKWHKLNPS >ENSMUSP00000125099.1 pep:known chromosome:GRCm38:12:101649033:101709536:-1 gene:ENSMUSG00000021187.14 transcript:ENSMUST00000160715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tc2n description:tandem C2 domains, nuclear [Source:MGI Symbol;Acc:MGI:1921663] MAAEFIKSCCRGCLYGETEKQKFSVDRDFKASASSSQNTIGIPPLTSVLVKPQVGCNEDY LLSKLPCDGKEVQFVVPRFKLSYIQPRTQGIPSHLDELEGSARASFGDQKTELCSSFYHG PSYDVYNPCYMYQHFSPDLSRRFLPHCETKQLYGSVCDLRTSKLPGSSGLSKSMLDLTTS SQRFIQRHDSFSSVPSSSSSRKNSQGSNRSLDTITLSGDERDLGRLNVKLFYNSSAEQIW ITVLQCRDISWPSSYGDTPTISIKGILTLSKPVHFKSSAKEGSNVCQAELELGTCFQAVN SRIQLQILEAQYLPSSSTPLTLSFFVKVGMFSSGELIYKKKTRLLKASSGRVKWGETMIF PLIQTEKEIVFLIKLYSRSSVRRKHFVGQLWISEDSNNIEAVNQWKETITNPEKVVIKWH KLNPS >ENSMUSP00000010188.7 pep:known chromosome:GRCm38:9:107547298:107551319:1 gene:ENSMUSG00000010044.12 transcript:ENSMUST00000010188.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmynd10 description:zinc finger, MYND domain containing 10 [Source:MGI Symbol;Acc:MGI:2387863] MGDLELLLPGEAEVLVRGLRSFQLREMGSEGWNKQHESLEKLNMQAILDATISQAEPIQE LLINHGKIPTLVEELIAVEMWKQKVFPVLCRLEDFKPQNTFPIYMVVHHEASIINLLETV FFHKEVCESADDKVLDLVDYCHRKLILLVARKGGGDLSEEEQFQDSTPMQELQKQAEMME FEISLKALSVLRYITDCVDSLSLSTLNRMLRTHNLPCLLVELLEHSPWSRRVGGKLQHFE SGRWQTVAPSEQQKLNKLDGQVWIALYNLLLSPEARARYCLTSFAKGQLLKLQAFLTDTL LDQLPNLADLKGFLAHLSLAETQPPKKDLVLEQIPEIWDRLERENKGKWQAIAKHQLQHV FSLSEKDLRQQAQRWAETYRLDVLEAVAPERPRCGYCNAEASKRCSRCQNVWYCCRECQV KHWEKHGKTCVLAAQGDRAK >ENSMUSP00000141420.1 pep:known chromosome:GRCm38:9:107547454:107551319:1 gene:ENSMUSG00000010044.12 transcript:ENSMUST00000193303.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zmynd10 description:zinc finger, MYND domain containing 10 [Source:MGI Symbol;Acc:MGI:2387863] MGDLELLLPGEAEVLVRGLRSFQLREMGSEGYTMRPPSSIS >ENSMUSP00000031707.7 pep:known chromosome:GRCm38:6:23072173:23132986:-1 gene:ENSMUSG00000029695.13 transcript:ENSMUST00000031707.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aass description:aminoadipate-semialdehyde synthase [Source:MGI Symbol;Acc:MGI:1353573] MLRAQRPRLARLRACLSRGLHHKPVMALRREDVNAWERRAPLAPKHIKGITKLGYKVLIQ PSNRRAIHDKEYVRAGGILQEDITEACLILGVKRPPEEKLMSKKTYAFFSHTIKAQEANM NLLDEVLKQEIRLIDYEKMVDHRGSRIVAFGQWAGVAGMINILHGMGLRLLALGHHTPFM HLGMAHNYRNSSQAVQAVRDAGYEISLGLMPKSIGPLTFVFTGTGNVSKGAQEVFNELPC EYVEPHELREVSKTGDLRKVYGTVLSRHHHLVRKTDGVYDPVEYEKYPERYTSRFNTDIA PYTTCLINGIYWEQNTPRLLTRQDAQSLLVPVKSSVVPVEGCPELPHKLVAICDISADTG GSIDFMTECTTIERPFCMYDADQQIIHDSVEGSGILMCSIDNLPAQLPIEATEYFGDMLY PYVEEMLLSDASQPLESQNFSPVVRDAVITSNGLLTDKYKYIQKLRESRERIQFLSMSTK KKVLVLGSGYVSGPVLEYLSRDNNIEITLGSDMTNQMQQLSKKYNINPVSLTVGKQEAKL QSLVESQDLVISLLPYVLHPVVAKACIESRVNMVTASYITPAMKELEKSVDDAGITVIGE LGLDPGLDHMLAMETIDTAKELGATVESYVSYCGGLPAPEHSDNPLRYKFSWSPVGVLMN IMQPASYLLNGKVVNVTGGVSFLNSVTPMDYFPGLNLEGYPNRDSIKYAEIYGISSAHTL LRGTLRYKGYSKALNGFVKLGLINREAYPALRPEANPLTWKQLLCDLVGISRSSPCEKLK EVVFTKLGGDNTQLEAAEWLGLLGDEQVPQAESIVDAFSKHLVSKLSYGPEEKDMIVMRD SFGIRHPSGHLENKTIDLVVYGDFNGFSAMAKTVGLPTAMAAKMLLDGEIEAKGLMGPFT KEIYGPILERIKAEGIVFNTQSTIKL >ENSMUSP00000115079.1 pep:known chromosome:GRCm38:6:23092283:23127612:-1 gene:ENSMUSG00000029695.13 transcript:ENSMUST00000149864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aass description:aminoadipate-semialdehyde synthase [Source:MGI Symbol;Acc:MGI:1353573] RCCYRASSVATEQSVLRLCNSGWDSGDCQRHFKFLTNPICYPMHHNLWKARTSPQWCETE RIQFLSMSTKKKVLVLGSGYVSGPVLEYLSRDNNIEITLGSDMTNQMQQLSKKYNINPVS LTVGKQEAKLQSLVESQDLVISLLPYVLHPVVAKACIESRVNMVTASYITPAMKELEKSV DDAGITVIGELGLDPGLDHMLAMETIDTA >ENSMUSP00000016034.2 pep:known chromosome:GRCm38:10:93523338:93540033:-1 gene:ENSMUSG00000015890.2 transcript:ENSMUST00000016034.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amdhd1 description:amidohydrolase domain containing 1 [Source:MGI Symbol;Acc:MGI:1919011] MTSSHRLLLENAQQVVLVCARGERFLTGSALRSLAVLEGASVVVGTDGLIKAVGPAAVIQ KQFSGETFEERIDCSGKCVLPGLVDAHTHPVWAGERVHEFAMKLAGATYMDIHQAGGGIN FTVEHTRQASEEELFCSFQQRLQCMMRAGTTLVECKSGYGLNLETELKMLRVIERARREL HLSLSATYCGAHSVPKGKTAVEAADDIISHHLPRLKELSRNGDLHVDNIDVFCEKGVFDL DTTRRILEGGKKMGLQINFHGDELHPMKAAELGAELGAQAISHLEEVSDEGIAAMAAARC SAVLLPTTAYMLRLKQPRARKMLDEGVIVALGSDFNPNAYCFSMPMVMHLACVNMRMSMP EALAAATINAAYALGKSHTHGSLEVGKQGDAIIINASRWEHLIYQFGGHHELIDYVITKG KVIYKK >ENSMUSP00000010020.5 pep:known chromosome:GRCm38:2:152754173:152765037:1 gene:ENSMUSG00000009876.13 transcript:ENSMUST00000010020.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox4i2 description:cytochrome c oxidase subunit IV isoform 2 [Source:MGI Symbol;Acc:MGI:2135755] MFSRAARSLVMRTGLRTRGTGTHSPGDAAGSQRRMTPYVDCYAQRSYPMPDEPFCTELSE EQRALKEKEKGSWTQLSQAEKVALYRLQFHETFAEMNHRSNEWKTVMGCVFFFIGFTALV IWWQRVYVFPKKVVTLTEERKAQQLQRLLDMKSNPIQGLAAHWDYEKKEWKK >ENSMUSP00000105446.1 pep:known chromosome:GRCm38:2:152755094:152765034:1 gene:ENSMUSG00000009876.13 transcript:ENSMUST00000109821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox4i2 description:cytochrome c oxidase subunit IV isoform 2 [Source:MGI Symbol;Acc:MGI:2135755] MFSRAARSLVMRTGLRTRGTGTHSPGDAAGSQRRMTPYVDCYAQRSYPMPDEPFCTELSE EQRALKEKEKGSWTQLSQAEKVALYRLQFHETFAEMNHRSNEWKTVMGCVFFFIGFTALV IWWQRVYVFPKKVVTLTEERKAQQLQRLLDMKSNPIQGLAAHWDYEKKEWKK >ENSMUSP00000106874.1 pep:known chromosome:GRCm38:1:172223513:172258414:-1 gene:ENSMUSG00000007107.6 transcript:ENSMUST00000111243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1a4 description:ATPase, Na+/K+ transporting, alpha 4 polypeptide [Source:MGI Symbol;Acc:MGI:1351335] MEPGKEKEVEAPGELNQKPRPSTRSSTTNRQPKMKRRKKDLEELKKEVVMDDHKLTLDEL SAKYSVDLTKGLSILEAQDILFQNGPNVLTPPPTTPEWVKFCRQLFGGFSLLLWTGACLC FLAYGIHVNYYKENANKDNLYLGIVLSAVVIITGCFSYYQEAKSSKIMESFKNMVPQQAL VIRDGEKMQINVRDVVLGDLVEVKGGDQIPADIRVISAQGCKVDNSSLTGESEPQSRCPD CTHENPLETRNIIFFSTNCVEGTARGIVIATGDYTVMGRIASLTSGLQMGKTPIATEIEH FIHLITAVAVFLGVSFFWLSIILGYTWLDAVIFLIGIIVANVPEGLLATVTVCLTLTAKR MARKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHLWFDKTVYEADTSEEQTTGK TFPKSSDTWFYLARIAGLCNRADFKPHQESVPIAKRATTGDASESALLKFIEQSYNPVSE MRQKNPKVAEIPFNSTNKYQMSIHLLEDNSEAHVLLMKGAPERIFDFCSSFLLNGQEYPM DEEMKMDFQNAYIELGGLGERVLGFCFLNLPSNFSKGFQFNTDELNFPMENLCFAGLISM IDPPRTAVPDAVSKCRSAGIKVIMVTGDHPITAKAIAKSVGIISEGNDTAEDIAARLNIP ISQVNNKSVKAIVVHGSELKDMESQQLDDILKSYKEIVFARTSPQQKLIIVEGCQRLGAI VAVTGDGVNDSPALKKADIGIAMGITGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDN LKKSIAYTLTSNIPEITPFLLFIILSIPLPLGTITILCIDLGTDMVPAISLAYESPESDI MKRLPRNPKTDNLVNNRLIGMAYGQIGMIQALAGFFTYFVILAENGFKPLDLLGIRLYWD DTQLNDLEDSYGQQWTYEQRKVVEFTCQTAFFISIVIVQWADLIICKTRRNSLFKQGMKN KILIFGLLEETVLAAFLSYVPGMDVSLRMYPLKINWWFCALPYSVLIFVYDEIRKLIIRR RPGGWLEKETYY >ENSMUSP00000113904.1 pep:known chromosome:GRCm38:X:101376378:101385629:1 gene:ENSMUSG00000047797.14 transcript:ENSMUST00000119080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb1 description:gap junction protein, beta 1 [Source:MGI Symbol;Acc:MGI:95719] MNWTGLYTLLSGVNRHSTAIGRVWLSVIFIFRIMVLVVAAESVWGDEKSSFICNTLQPGC NSVCYDHFFPISHVRLWSLQLILVSTPALLVAMHVAHQQHIEKKMLRLEGHGDPLHLEEV KRHKVHISGTLWWTYVISVVFRLLFEAVFMYVFYLLYPGYAMVRLVKCEAFPCPNTVDCF VSRPTEKTVFTVFMLAASGICIILNVAEVVYLIIRACARRAQRRSNPPSRKGSGFGHRLS PEYKQNEINKLLSEQDGSLKDILRRSPGTGAGLAEKSDRCSAC >ENSMUSP00000062723.7 pep:known chromosome:GRCm38:X:101377273:101385629:1 gene:ENSMUSG00000047797.14 transcript:ENSMUST00000052130.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb1 description:gap junction protein, beta 1 [Source:MGI Symbol;Acc:MGI:95719] MNWTGLYTLLSGVNRHSTAIGRVWLSVIFIFRIMVLVVAAESVWGDEKSSFICNTLQPGC NSVCYDHFFPISHVRLWSLQLILVSTPALLVAMHVAHQQHIEKKMLRLEGHGDPLHLEEV KRHKVHISGTLWWTYVISVVFRLLFEAVFMYVFYLLYPGYAMVRLVKCEAFPCPNTVDCF VSRPTEKTVFTVFMLAASGICIILNVAEVVYLIIRACARRAQRRSNPPSRKGSGFGHRLS PEYKQNEINKLLSEQDGSLKDILRRSPGTGAGLAEKSDRCSAC >ENSMUSP00000113516.1 pep:known chromosome:GRCm38:X:101383736:101385629:1 gene:ENSMUSG00000047797.14 transcript:ENSMUST00000119190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb1 description:gap junction protein, beta 1 [Source:MGI Symbol;Acc:MGI:95719] MNWTGLYTLLSGVNRHSTAIGRVWLSVIFIFRIMVLVVAAESVWGDEKSSFICNTLQPGC NSVCYDHFFPISHVRLWSLQLILVSTPALLVAMHVAHQQHIEKKMLRLEGHGDPLHLEEV KRHKVHISGTLWWTYVISVVFRLLFEAVFMYVFYLLYPGYAMVRLVKCEAFPCPNTVDCF VSRPTEKTVFTVFMLAASGICIILNVAEVVYLIIRACARRAQRRSNPPSRKGSGFGHRLS PEYKQNEINKLLSEQDGSLKDILRRSPGTGAGLAEKSDRCSAC >ENSMUSP00000032891.8 pep:known chromosome:GRCm38:7:118131308:118243637:-1 gene:ENSMUSG00000030655.15 transcript:ENSMUST00000032891.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg1 description:SMG1 homolog, phosphatidylinositol 3-kinase-related kinase (C. elegans) [Source:MGI Symbol;Acc:MGI:1919742] MSRRAPGSRLSSGGGGTKYPRSWNDWQPRTDSASADPDTLKYSSSRDRGVSSSYGLQPSN SAVVSRQRHDDTRGHADIQNDEKGGYSVNGGSGENTYGRKSLGQELRINNVTSPEFTSVQ HGSRALATKDMRKSQERSMSYSDESRLSNLLRRITREDDRDRRLATVKQLKEFIQQPENK LVLVKQLDNILAAVHDVLNESSKLLQELRQEGACCLGLLCASLSYEAEKIFKWIFSKFSS SAKDEVKLLYLCATYRALETVGEKKAFSSVMQLVMTSLQSILENVDTPELLCKCVKCILL VARCYPHIFSTNFRDTVDILVGWHIDHTQKPSLTQQVSGWLQSLEPFWVADLAFSTTLLG QFLEDMEAYAEDLSHVASGESVDEDVPPPSVSLPKLAALLRVFSTVVRSIGERFSPIRGP PITEAYVTDVLYRVMRCVTAANQVFFSEAVLTAANECVGVLLGSLDPSMTIHCDMVITYG LDQLENCQTCGTDYIISVLNLLTLIVEQINTKLPSSFVEKLFIPSSKLLFLRYHKEKEVV AVAHAVYQAVLSLKNIPVLETAYKLILGEMTCALNNLLHSLQLPDACSEIKHEAFQNHVF NIDNANFVVIFDLSALTTIGNAKNSLIGMWALSPTVFALLSKNLMIVHSDLAVHFPAIQY AVLYTLYSHCTRHDHFISSSLSSSSPSLFDGAVISTVTTATKKHFSIILNLLGILLKKDN LNQDTRKLLMTWALEVAVLMKKSETYAPLFSLPSFHKFSKGLLANTLVEDVNICLQACSS LHALSSSLPDDLLQRCVDVCRVQLVHSGTRIRQAFGKLLKSIPLDVVLSNNNHTEIQEIS LALRSHMSKAPSNTFHPQDFSDVISFILYGNSHRTGKDNWLERLFYSCQRLDKRDQSTIP RNLLKTDAVLWQWAIWEAAQFTVLSKLRTPLGRAQDTFQTIEGIIRSLAAHTLNPDQDVS QWTTADNDEGHGSNQLRLVLLLQYLENLEKLMYNAYEGCANALTSPPKVIRTFFYTNRQT CQDWLTRIRLSIMRVGLLAGQPAVTVRHGFDLLTEMKTNSLTQGSELEVTIMMVVEALCE LHCPEAIQGIAVWSSSAVGKNLLWINSVAQQAEGRFEKASVEYQEHLCAMTGVDCCISSF DKSVLTLANAGRNSASPKHSLNGESRKTVLSKSIDSSPEVISYLGNKACECYISIADWAA VQEWQNAVHDLKKNSSSTSLNLKADFNYIKSLSSFESGEFVECTEQLELLPGENINLLAG GSKEKIDMKKLLPNMLSPDPRELQKSIEVQLLRSSVFLATALNHMEQDQKWQSLTENVVK YLKQTSRIAIGPLRLSTLTVSQSLPVLSTLQLYCSSALENTVSNRLSTEDCLIPLFSDAL RSCKQHDVRPWMQALRYTMYQNQLLEKIKEQTVPIRSHLMELGLTAAKFARKRGNVSLAT RLLAQCSEVQLGKTTTAQDLVQHFKKLSTQGQVDEKWGPELDIEKTKLLYTAGQSTHAME MLSSCAISFCKSAKAEYAVAKSILTLAKWVQAEWKEISGQLRQVYRAQQQQNLSGLSTLS RNILALIELPSANTVGEEHPRIESESTVHIGVGEPDFILGQLYHLSSVQAPEVAKSWAAL ASWAYRWGRKVVDNASQGEGVRLLPREKSEVQNLLPDTITEEEKERIYGILGQAVCRPAG IQDEDITLQITESEDNEDDDMVDVIWRQLISSCPWLSELDENATEGVIKVWRKVVDRIFS LYKLSCSAYFTFLKLNAGQVLLDEDDPRLHLSHRAEQSTDDVIVMATLRLLRLLVKHAGE LRQYLEHGLETTPTAPWRGIIPQLFSRLNHPEVYVRQSICNLLCRVAQDSPHLILYPAIV GTISLSSESQASGNKYSSAIPTLLGNIQGEELLVSECEGGSPPASQDSNKDEPKSGLNED QAMMQDCYSKIVDKLSSANPTMVLQVQMLVAELRRVTVLWDELWLGVLLQQHMYVLRRIQ QLEDEVKRVQNNNTLRKEEKIAIMREKHTALMKPIVFALEHVRSITAAPAETPHEKWFQD NYGDAIDNALEKLKTPSNPAKPGSSWIPFKEIMLSLQQRAQKRASYILRLDEISPWLAAM TNTEIALPGEVSARDTVTIHSVGGTITILPTKTKPKKLLFLGSDGKSYPYLFKGLEDLHL DERIMQFLSIVNTMFATINRQETPRFHARHYSVTPLGTRSGLIQWVDGATPLFGLYKRWQ QREAALQAQKAQDSYQTPQNPSIVPRPSELYYSKIGPALKTVGLSLDVSRRDWPLHVMKA VLEELMEATPPNLLAKELWSSCTTPDEWWRVTQSYARSTAVMSMVGYIIGLGDRHLDNVL IDMTTGEVVHIDYNVCFEKGKSLRVPEKVPFRMTQNIETALGVTGVEGVFRLSCEQVLHI MRRGRETLLTLLEAFVYDPLVDWTAGGEAGFAGAVYGGGGQQAESKQSKREMEREITRSL FSSRVAEIKVNWFKNRDEMLVVLPKLDSSLDEYLSLQEQLTDVEKLQGKLLEEIEFLEGA EGVDHPSHTLQHRYSEHTQLQTQQRAVQEAIQVKLNEFEQWITHYQAAFNNLEATQLASL LQEISTQMDLGPPSYVPATAFLQNAGQAHLISQCEQLEGEVGALLQQRRSVLRGCLEQLH HYATVALQYPKAIFQKHRIEQWKAWMEELICNTTVERCQELYRKYEMQYAPQPPPTVCQF ITATEMTLQRYAADINSRLIRQVERLKQEAVTVPVCEDQLKEIERCIKVFLHENGEEGSL SLASVIISALCTLTRRNLMMEGAASSAGEQLVDLTSRDGAWFLEELCSMSGNVTCLVQLL KQCHLVPQDLDIPNPVEASEAVHLANGVYTSLQELNSNFRQIIFPEALRCLMKGECTLES MLHELDSLIEQTTDGVPLQTLVESLQAYLRNTAMGLEEETHAHYIDVARMLHAQYGELIQ PRNGSVDETPKMSAGQMLLVAFDGMFAQVETAFGLLVEKLNKMEIPVAWRKIDIIREARS TQVNFFDDDNHRQVLEEIFFLKRLQTIKEFFRLCGTFSKTLSGSSSLEDQNTVNGPVQIV NVKTLFRNSCFSEDQMAKPIKAFTADFVRQLLIGLPNQALGLTLCSFISALGVDIIAQVE AKDFGAESKVSVDDLCKKAVEHNIQVGKFSQLVMNRATVLASSYDTAWKKHDLVRRLETS ISSCKTSLQRVQLHIAMFQWQHEDLLISRPQAMSVTPPRSAILTSMKKKLHALSQIETSI GTVQEKLAALEASIEQRLKWAGGANPALAPVLQDFEATIAERRNLVLKESQRANQVTFLC SNIIHFESLRTRTAEALSLDAALFELIKRCQQMCSFASQFNSSVSELELRLLQRVDTTLE HPIGSSEWLLSAHKQLTQDMSTQRAVQTEKEQQIETVCETIQSLVDSVKTVLTGHNRQLG DVKHLLKAMAKDEEAALADAEDIPYESSVRQFLAEYKSWQDNIQTVLFTLVQAMGQVRSQ EHVEMLQEITPTLKELKTQSQSIYNNLVSFASPLVTDAANECSSPTSSATYQPSFAAAVR SNTGQKTQPDVMSQNAKKLIQKNLATSADTPPSTIPGTGKSIACSPKKAVRDPKTGKAVQ ERNSYAVSVWKRVKAKLEGRDVDPNRRMSVAEQVDYVIKEATNLDNLAQLYEGWTAWV >ENSMUSP00000137592.2 pep:known chromosome:GRCm38:7:118134047:118212970:-1 gene:ENSMUSG00000030655.15 transcript:ENSMUST00000179331.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smg1 description:SMG1 homolog, phosphatidylinositol 3-kinase-related kinase (C. elegans) [Source:MGI Symbol;Acc:MGI:1919742] XNLQIQLWCLGKGTMIPEAMLTYRMTKRSDRCRTLMSLDCRIFFGGSPGKMTETEDWLL >ENSMUSP00000136406.1 pep:known chromosome:GRCm38:7:118140785:118141799:-1 gene:ENSMUSG00000030655.15 transcript:ENSMUST00000178025.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg1 description:SMG1 homolog, phosphatidylinositol 3-kinase-related kinase (C. elegans) [Source:MGI Symbol;Acc:MGI:1919742] XPVLQDFEATIAERRNLVLKESQRANQVTFLCSNIIHFESLRTRTAEALSLDAALFELIK RCQQMCSFASQFNSSVSELELRLLQRVVSPMGETNR >ENSMUSP00000137172.1 pep:known chromosome:GRCm38:7:118200696:118243670:-1 gene:ENSMUSG00000030655.15 transcript:ENSMUST00000179047.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg1 description:SMG1 homolog, phosphatidylinositol 3-kinase-related kinase (C. elegans) [Source:MGI Symbol;Acc:MGI:1919742] MRFLRTDSASADPDTLKYSSSRDRGVSSSYGLQPSNSAVVSRQRHDDTRGHADIQNDEKG GYSVNGGSGENTYGRKSLGQELRINNVTSPEFTSVQHGSRALATKDMRKSQERSMSYSDE SRLSNLLRRITREDDRDRRLATVKQLKEFIQQPENKLVLVKQLDNILAAVHDVLNESSKL LQELRQEGACCLGLLCASLSYEAEKIFKWIFSKFSSSAKDEVKLLYLCATYRALETVGEK KAFSSVMQLVMTSLQSILENVDTPELLCKCVKCILLVARCYPHIFSTNFRDTVDILVGWH IDHTQKPSLTQQVSGWLQSLEPFWVADLAFSTTLL >ENSMUSP00000026150.8 pep:known chromosome:GRCm38:19:41766588:41802047:-1 gene:ENSMUSG00000025154.14 transcript:ENSMUST00000026150.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap19 description:Rho GTPase activating protein 19 [Source:MGI Symbol;Acc:MGI:1918335] MAAEAPSGGEAPVCDSGRSDAICNFVICNDSPLRGQPIIFNPDFFVEKLRHEKPEVFTEL VVSNITRLIDLPGTELAQLMGEVDLKLPGGAGPAAGFFRSLMSLKRKEKGVVFGSPLTEE GIAQIYQLIEYLHKNLRVEGLFRVPGNSVRQQLLRDALNNGTDIDLDSGEFHSNDVATLL KMFLGELPEPLLTHKHFHVHLKIADLMQFDDKGNKTNIPDKERQIEALQLLFLILPPANR NLLKLLLDLLYQTAKKQDKNKMSAHNLALMFAPHVLWPKNVTANDLQENIIKLNTGMAFM IKHSQKLFKAPAYIRECARLYYLGSRTQMSKDDLDLTTSCHNMSFQLARCQRQNRVDPSS QQEETQQHTEEALRELFQHVHNWPDSAKKKQLLRQFHKQSLTQTPGREPSTPRVQKRARS RSFSGLIKRKVLGSQMTSEKKNSSPAPESVAMGELKKASKENMNLFFSGSPAGTVTPTRL KWSEAKREGRKGFF >ENSMUSP00000134829.1 pep:known chromosome:GRCm38:19:41769800:41781336:-1 gene:ENSMUSG00000025154.14 transcript:ENSMUST00000176266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap19 description:Rho GTPase activating protein 19 [Source:MGI Symbol;Acc:MGI:1918335] XTTSCHNMSFQLARCQRQNRVDPSSQQEETQQHTEEALRELFQHVHNWPDSAKKKQLLRQ FHKQSLTQTPGREPSTPRVQKRARSRSFSGLIKRKVLGSQMTSEKKNSSPAPESVAMGEL KKASKENMNLDSFEGSLYYFCPLQ >ENSMUSP00000135293.1 pep:known chromosome:GRCm38:19:41769994:41802047:-1 gene:ENSMUSG00000025154.14 transcript:ENSMUST00000177495.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap19 description:Rho GTPase activating protein 19 [Source:MGI Symbol;Acc:MGI:1918335] MAAEAPSGGEAPVCDSGRSDAICNFVICNDSPLRGQPIIFNPDFFVEKLRHEKPEVFTEL VVSNITRLIDLPGTELAQLMGEVDLKLPGGAGPAAGFFRSLMSLKRKEKGVVFGSPLTEE GIAQIYQLIEYLHKNLRVEGLFRVPGNSVRQQLLRDALNNGTDIDLDSGEFHSNDVATLL KMFLGELPEPLLTHKHFHVHLKIADLMQFDDKGNKTNIPDKERQIEALQLLFLILPPANR NLLKLLLDLLYQTAKKQDKNKMSAHNLALMFAPHVLWPKNVTANDLQENIIKLNTGMAFM IKHSQKLFKAPAYIRECARLYYLGSRTQMSKLARCQRQNRVDPSSQQEETQQHTEEALRE LFQHVHNWPDSAKKKQLLRQFHKQSLTQTPGREPSTPRVQKRARSRSFSGLIKRKVLGSQ MTSEKKNSSPAPESVAMGELKKASKENMNLFFSGSPAGTVTPTRLKWSEAKREGRKGFF >ENSMUSP00000129586.1 pep:known chromosome:GRCm38:19:41766591:41802084:-1 gene:ENSMUSG00000025154.14 transcript:ENSMUST00000163265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap19 description:Rho GTPase activating protein 19 [Source:MGI Symbol;Acc:MGI:1918335] MAAEAPSGGEAPVCDSGRSDAICNFVICNDSPLRGQPIIFNPDFFVEKLRHEKPEVFTEL VVSNITRLIDLPGTELAQLMGEVDLKLPGGAGPAAGFFRSLMSLKRKEKGVVFGSPLTEE GIAQIYQLIEYLHKNLRVEGLFRVPGNSVRQQLLRDALNNGTDIDLDSGEFHSNDVATLL KMFLGELPEPLLTHKHFHVHLKIADLMQFDDKGNKTNIPDKERQIEALQLLFLILPPANR NLLKLLLDLLYQTAKKQDKNKMSAHNLALMFAPHVLWPKNVTANDLQENIIKLNTGMAFM IKHSQKLFKAPAYIRECARLYYLGSRTQMSKDDLDLTTSCHNMSFQLARCQRQNRVDPSS QQEETQQHTEEALRELFQHVHNWPDSAKKKQLLRQFHKQSLTQTPGREPSTPRVQKRARS RSFSGLIKRKVLGSQMTSEKKNSSPAPESVAMGELKKASKENMNLDSFEGSLYYFCPLQ >ENSMUSP00000091647.3 pep:known chromosome:GRCm38:11:63885792:63922290:-1 gene:ENSMUSG00000070407.5 transcript:ENSMUST00000094103.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st3b1 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 3B1 [Source:MGI Symbol;Acc:MGI:1333853] MGQRLSGGRSCLDVPGRFLPQPPPPPPPVRRKLALLFAMLCIWLYMFLYSCAGSCTAAPG LLLLGSGSRATHAQPALVTAPNETSPKMPFRAPPANSLAAGKDKTVGAGSQEEQSPEAPD SPSPISSFFSGAGSKQLPQAIIIGVKKGGTRALLEFLRVHPDVRAVGAEPHFFDRSYHKG LAWYRDLMPRTLKGQITMEKTPSYFVTREAPARISAMSKDTKLIVVVRDPVTRAISDYTQ TLSKRPDIPSFESLTFRNRSAGLIDTSWSAIQIGLYAKHLEPWLRHFPLGQMLFVSGERL VSDPAGELRRVQDFLGLKRIITDKHFYFNQTKGFPCLKKAEGSGKPHCLGKTKGRAHPTI AREVLRQLRDFYRPFNRKFYQMTGRDFGWD >ENSMUSP00000059542.3 pep:known chromosome:GRCm38:X:127394293:127395898:1 gene:ENSMUSG00000049815.3 transcript:ENSMUST00000051530.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921511C20Rik description:RIKEN cDNA 4921511C20 gene [Source:MGI Symbol;Acc:MGI:2685229] MKDLDHVVEDSILINRKFHHYFVMRRDQLIREMTEVYAGMIIHFTYEGKQSIRVTMKGEK ACVEAAKKHIQHIFEPLGSHVTTQYVIPQKFYTFFMGPLCSKVQQIARDFKVQLAFPDKE KPTTYIHPVIQEAEKEKWGKSTKEIVFSNQRKNKTILISGQIENCKAAMEALEYLIPFTA EVQVPSHLHPYIIGPKGSGIKKIMSEFDVHTQVSQTGSNSDIISIMGLAAKVKQAKVKLE KQINALQTEIEDRALRNFKLMFTLDAKYHSTITGHKGLFIAQICREHDVTIHFPKKANRE IQDQITITGYKKNTLAAKDAIMRMLHKIEKTVSKEIPINPQVRDSIFGFGGKFIHKMNEQ FHVDIRLPPKGSWNTNVSVVGLPDNVQEAIDHIFDLEKYYLSALINYDSQLESLKNTCLY KITMESPNNSVKNDGPHSAKSYPNSSNDDSEDFPKLKQQTPSKTHPWRP >ENSMUSP00000115679.1 pep:known chromosome:GRCm38:12:90998492:91384409:-1 gene:ENSMUSG00000061533.15 transcript:ENSMUST00000141429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep128 description:centrosomal protein 128 [Source:MGI Symbol;Acc:MGI:1922466] MAESSSDSDHVRYRDGLSRWATRSFQRGVGSHSTVDVTAKVNTITNTLQDTTRNLRHVDQ MLGRYREYSTGQAGAVGQLKEDLEQSINQLRSQRLRRSSGGRSVSVTSLSASDLDGGAVT ENLRFAPTSPLKDYDLQGIKRNRFRTGVRFVSETDDMVQLHTFHQSLRDLSSEQVRLGDD LNRELFRRSRSDAETKRVLEELTGKLNEVQKPDVVSDRVERRLQEIEREMRLERELVERR HDQLGLVSLKLQEALKKQEAKADENEDVIKRKLRQSETEKSQLEQELEISRRLLSQSESN RETLLHQVEELRTQLIKAEGDQRGLQHQVPCISKQPLSHQDDQGDDRRFRRGVEREKLSL EKQMADLRVQLNFNSMASELEEVKRCMERKDQEKATLAAQIENLTRDLENREKQQLQMLD QLTEIQNHFETCEANRKRTDLQLSELSQHAEEATKQAEHYLSEFQRSETLREEAEKRRED LKAKAQESIRQWKLKHKKLERSMEKQAETLVQLTEKNNQFIKERDELKSQLCAALQQIEN LRKELNDVLSKRALQEEELHCKEKKLNDIESHQAELELEVKNSLDTIHRLENELKRQSKS QSQIKAEKIHLEEEITELKKSQSQDKVKLLEMQESIKDLSAIRADLANKLAEEEKAKKAV FRDLSELTAQVKSKEEETATAITQLKLERDVHQRELEDLSSSLESVKLKHEQNIQELMKH FKKEKSEAESHIRMLKAESLEDKNMAKAHLGQLEKLKSQCEKLTEELTHTENENKKLKLK YQSLKEELDKKEKYISTEEEHLRRMEESRLHLKDQLLCLETEQESILGVIGKEIDEACKT FSRDSLEKLKVLTSGPQLHYDPHRWLAESKTKLQWLCEELKERESRERSMRQQLAACRQE LRELTEHKESELLCLFEHIERQEQLLEEFHQEKRGLLEETQRKDEEVETLQDRVNALQMS TRVALDHLESVPEKLSLLEDFKDFRGASSLSEKTDGRYSKYSLHGDSVQQRRDDTKPRIK SFRDDRPLSAGSHAHGLDHSSSCQDHSRFLSSPQFSHSLPVFTKRTIATDPASIEGDTTS LPANGTSPQSKKEEHEIKKYKK >ENSMUSP00000122627.1 pep:known chromosome:GRCm38:12:90998492:91266933:-1 gene:ENSMUSG00000061533.15 transcript:ENSMUST00000143415.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep128 description:centrosomal protein 128 [Source:MGI Symbol;Acc:MGI:1922466] TKQAEHYLSEFQRSETLREEAEKRREDLKAKAQESIRQWKLKHKKLERSMEKQAETLVQL TEKNNQFIKERDELKSQLCAALQQIENLRKELNDVLSKRALQEEELHCKEKKLNDIESHQ AELELEVKNSLDTIHRLENELKRQSKSQSQIKAEKIHLEEEITELKKSQSQDKVKLLEMQ ESIKDLSAIRADLANKLAEEEKAKKAVFRDLSELTAQVKSKEEETATAITQLKLERDVHQ RELEDLSSSLESVKLKHEQNIQELMKHFKKEKSEAESHIRMLKAESLEDKNMAKAHLGQL EKLKSQCEKLTEELTHTENENKKLKLKYQSLKEELDKKEKYISTEEEHLRRMEESRLHLK DQLLCLETEQESILGVIGKEIDEACKTFSRDSLEKLKVLTSGPQLHYDPHRWLAESKTKL QWLCEELKERESRERSMRQQLAACRQELRELTEHKESELLCLFEHIERQEQLLEEFHQEK RGLLEETQRKDEEVETLQDRVNALQMSTRVALDHLESVPEKLSLLEDFKDFRGASSLSEK TDGRYSKYSLHGDSVQQRRDDTKPRIKSFRVST >ENSMUSP00000119394.1 pep:known chromosome:GRCm38:12:91213697:91260048:-1 gene:ENSMUSG00000061533.15 transcript:ENSMUST00000140674.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep128 description:centrosomal protein 128 [Source:MGI Symbol;Acc:MGI:1922466] ELMKHFKKEKSEAESHIRMLKEKYISTEEEHLRRMEESRLHLKDQLLCLETEQESILGVI GKEIDEACKTFSRDSLEKLKVLTSGPQLHYDPHRWLAESKTKLQWLCEELKERESRERSM >ENSMUSP00000120847.1 pep:known chromosome:GRCm38:6:121372933:121473623:-1 gene:ENSMUSG00000040797.16 transcript:ENSMUST00000129815.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Iqsec3 description:IQ motif and Sec7 domain 3 [Source:MGI Symbol;Acc:MGI:2677208] MESLLENPVRAVLYLKELTAIVQNQQSLIHTQRQRIDELERRLDELSAENRSLWEHQQLL QAQPPPGLVPPPPSAPLPAPAVTAPAAAAAQEPLQDHGQLIPASPEPPLQHHGQLLAQPQ PAPSSRVQTPQSPHQHPVAPGAIADKEKERPSSCCAAAGALLQHASPAALGKGVLSRRPE NETVLHQFCCPAADTEQKPACSDLASQRLKC >ENSMUSP00000116317.1 pep:known chromosome:GRCm38:6:121372933:121473665:-1 gene:ENSMUSG00000040797.16 transcript:ENSMUST00000152103.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Iqsec3 description:IQ motif and Sec7 domain 3 [Source:MGI Symbol;Acc:MGI:2677208] MESLLENPVRAVLYLKELTAIVQNQQSLIHTQRQRIDELERRLDELSAENRSLWEHQQLL QAQPPPGLVPPPPSAPLPAPAVTAPAAAAAQEPLQDHGQLIPASPEPPLQHHGQLLAQPQ PAPSSRVQTPQSPHQHPVAPGAIADKEKERPSSCCAAAGALLQHASPAALGKGVLSRRPE NETVLHQFCCPAADTEQKPACSDLASQRLKC >ENSMUSP00000038653.5 pep:known chromosome:GRCm38:6:121372933:121473678:-1 gene:ENSMUSG00000040797.16 transcript:ENSMUST00000046373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqsec3 description:IQ motif and Sec7 domain 3 [Source:MGI Symbol;Acc:MGI:2677208] MESLLENPVRAVLYLKELTAIVQNQQSLIHTQRQRIDELERRLDELSAENRSLWEHQQLL QAQPPPGLVPPPPSAPLPAPAVTAPAAAAAQEPLQDHGQLIPASPEPPLQHHGQLLAQPQ PAPSSRVQTPQSPHQHPVAPGAIADKEKERPSSCCAAAGALLQHASPAALGKGVLSRRPE NETVLHQFCCPAADTEQKPACSDLASQSDGSCAQAGGGMEDSVVAAVAAGRPSAHAPKAQ APELQQEEERPGAVGSPRAGPLRAASPGRQQPALATALCSHTPAASEYELSLDLKNKQIE MLEHKYGGHLVSRRAACTIQTAFRQYQLSKNFEKIRNSLLESRLPRRISLRKVRAPTAES LVAEKALLEGCGLLGLPLGRSPSLPPTFAGSLTELEDSFTEQVQSLAKSIDDALSTWSLK TMCSLQESGAYQLHQALHPSAGQPGLETEAAAREPESGPGSGDEAGGLPQGHSGTLMMAF RDVTVQIANQNISVSSSTALSVANCLGAQTAQATAEPAAAQAEQEDTADQEVSEVPASEQ MDPPSEDSEAAESRAQSAQEPAVAQAVVEEAVATEAEEEEEGAKQAGKGAEAEGGDNSEQ LSSSSASTKSAKSSSEASAAASKEALQAVILSLPRYHCENPASCRSPTLSTDTLRKRLYR IGLNLFNINPDKGIQFLISRGFIPDTPIGVAHFLLQRKGLSRQMIGEFLGNSKKQFNRDV LDCVVDEMDFSNMELDEALRKFQAHIRVQGEAQKVERLIEAFSQRYCMCNPEVVQQFHNP DTIFILAFAIILLNTDMYSPNIKPDRKMMLEDFIRNLRGVDDGADIPRELVVGIYERIQQ KELKSNEDHVTYVTKVEKSIVGMKTVLSMPHRRLVCCSRLFEVTDVNKLQKQAAHQREVF LFNDLLVILKLCPKKKSSFTYTFCKAVGLLGMRFHLFENEYYSHGITLATPLSGSEKKQV LHFCALGSDEMQKFVEDLKESIAEVTELEQIRIEWELEKQQGTKTLSVRSAGAQGDPQSK QGSPTAKREAMAGEKAAESSGEVSIHNRLQTSQHSPKLGVERGAPAPSPPTSPPPLPPDP QPSPLREQPPPLPLPPPTPPGTLVQCQQIVKVIVLDKPCLARMEPLLSQALSCYASSSSD SCGSTPLRGPGSPVKVIHQPPLPPPPPPYNHPHQFCPPGSMLLRRRYSSGSRSLV >ENSMUSP00000145523.1 pep:known chromosome:GRCm38:6:121404827:121473678:-1 gene:ENSMUSG00000040797.16 transcript:ENSMUST00000151397.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqsec3 description:IQ motif and Sec7 domain 3 [Source:MGI Symbol;Acc:MGI:2677208] MESLLENPVRAVLYLKELTAIVQNQQSLIHTQRQRIDELERRLDELSAENRSLWEHQQLL QAQPPPGLVPPPPSAPLPAPAVTAPAAAAAQEPLQDHGQLIPASPEPPLQHHGQLLAQPQ PAPSSRVQTPQSPHQHPVAPGAIADKEKERPSSCCAAAGALLQHASPAALGKGVLSRRPE NETVLHQFCCPAADTEQKPACSDLASQSDGSCAQAGGGMEDSVVAAVAAGRPSAHAPKAQ APELQQEEERPGAVGSPRAGPLRAASPGRQQPALATALCSHTPAASEYELSLDLKNKQIE MLEHKYGGHLVSRRAACTIQTAFRQYQLSKNFEKIRNSLLESRLPRRISLRKVRAPTAES LVAEKALLEGCGLLGLPLGRSPSLPPTFAGSLTELEDSFTEQVQSLAKSIDDALSTWSLK TMCSLQESGAYQLHQALHPSAGQPGLETEAAAREPESGPGSGDEAGGLPQGHSGTLMMAF RDVTVQIANQNISVSSSTALSVANCLGAQTAQATAEPAAAQAEQEDTADQEVSEVPASEQ MDPPSEDSEAAESRAQSAQEPAVAQAVVEEAVATEAEEEEEGAKQAGKGAEAEGGDNSEQ LSSSSASTKSAKSSSEASAAASKEALQAVILSLPRYHCENPASCRSPTLSTDTLRKRLYR IGLNLFNINPDKGIQFLISRGFIPDTPIGVAHFLLQRKGLSRQMIGEFLGNSKKQFNRDV LE >ENSMUSP00000107810.1 pep:known chromosome:GRCm38:6:127446840:127494240:1 gene:ENSMUSG00000037997.12 transcript:ENSMUST00000112191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp11 description:poly (ADP-ribose) polymerase family, member 11 [Source:MGI Symbol;Acc:MGI:2141505] MKQMNLVTGKQRLIKRAPFSISAFSYICENEAIPMPTHWENVNPDVPYQLVSLQNQTHEY NEVASLFGKTMDRNRIKRIQRIQNLDLWEFFCRKKAQLKKKRGVPQINEQMLFHGTSSEF VEAICIHNFDWRINGVHGAVFGKGTYFARDAAYSSRFCKDDIKHGNTFQIHGVSLQQRHL FRTYKSMFLARVLIGDYINGDSKYMRPPSKDGSYVNLYDSCVDDTWNPKIFVVFDANQIY PEYLIDFH >ENSMUSP00000107814.1 pep:known chromosome:GRCm38:6:127449263:127478133:1 gene:ENSMUSG00000037997.12 transcript:ENSMUST00000112195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp11 description:poly (ADP-ribose) polymerase family, member 11 [Source:MGI Symbol;Acc:MGI:2141505] MFHKTEEFFPKKTDSDVDDMDTSDTQWGWFYLAECGKWHMFQPDTNIQCSVSSEDIEKSF KTNPCGSISFTTSKFSYKIDFAEMKQMNLVTGKQRLIKRAPFSISAFSYICENEAIPMPT HWENVNPDVPYQLVSLQNQTHEYNEVASLFGKTMDRNRIKRIQRIQNLDLWEFFCR >ENSMUSP00000144598.1 pep:known chromosome:GRCm38:6:127453648:127478084:1 gene:ENSMUSG00000037997.12 transcript:ENSMUST00000144115.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parp11 description:poly (ADP-ribose) polymerase family, member 11 [Source:MGI Symbol;Acc:MGI:2141505] MFHKTEEFFPKKTDSDVDDMDTSDTQWGWFYLAECGKWHMFQK >ENSMUSP00000107812.1 pep:known chromosome:GRCm38:6:127453675:127479055:1 gene:ENSMUSG00000037997.12 transcript:ENSMUST00000112193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp11 description:poly (ADP-ribose) polymerase family, member 11 [Source:MGI Symbol;Acc:MGI:2141505] MFHKTEEFFPKKTDSDVDDMDTSDTQWGWFYLAECGKWHMFQPDTNIQCSVSSEDIEKSF KTNPCGSISFTTSKFSYKIDFAEMKQMNLVTGKQRLIKRAPFSISAFSYICENEAIPMPT HWENVNPDVPYQLVSLQNQTHEYNEVASLFGKTMDRNRIKRIQRIQNLDLWEFFCR >ENSMUSP00000036127.5 pep:known chromosome:GRCm38:6:127453723:127494261:1 gene:ENSMUSG00000037997.12 transcript:ENSMUST00000039680.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp11 description:poly (ADP-ribose) polymerase family, member 11 [Source:MGI Symbol;Acc:MGI:2141505] MFHKTEEFFPKKTDSDVDDMDTSDTQWGWFYLAECGKWHMFQPDTNIQCSVSSEDIEKSF KTNPCGSISFTTSKFSYKIDFAEMKQMNLVTGKQRLIKRAPFSISAFSYICENEAIPMPT HWENVNPDVPYQLVSLQNQTHEYNEVASLFGKTMDRNRIKRIQRIQNLDLWEFFCRKKAQ LKKKRGVPQINEQMLFHGTSSEFVEAICIHNFDWRINGVHGAVFGKGTYFARDAAYSSRF CKDDIKHGNTFQIHGVSLQQRHLFRTYKSMFLARVLIGDYINGDSKYMRPPSKDGSYVNL YDSCVDDTWNPKIFVVFDANQIYPEYLIDFH >ENSMUSP00000030651.8 pep:known chromosome:GRCm38:4:134127406:134128789:-1 gene:ENSMUSG00000028843.8 transcript:ENSMUST00000030651.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgrl3 description:SH3 domain binding glutamic acid-rich protein-like 3 [Source:MGI Symbol;Acc:MGI:1920973] MSGLRVYSTSVTGSREIKSQQSEVTRILDGKRIQYQLVDISQDNALRDEMRTLAGNPKAT PPQIVNGNHYCGDYELFVEAVEQDTLQEFLKLA >ENSMUSP00000101505.1 pep:known chromosome:GRCm38:4:134127413:134128739:-1 gene:ENSMUSG00000028843.8 transcript:ENSMUST00000105879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgrl3 description:SH3 domain binding glutamic acid-rich protein-like 3 [Source:MGI Symbol;Acc:MGI:1920973] MSGLRVYSTSVTGSREDYELFVEAVEQDTLQEFLKLA >ENSMUSP00000033939.6 pep:known chromosome:GRCm38:8:22659212:22706578:-1 gene:ENSMUSG00000031537.15 transcript:ENSMUST00000033939.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkb description:inhibitor of kappaB kinase beta [Source:MGI Symbol;Acc:MGI:1338071] MSWSPSLPTQTCGAWEMKERLGTGGFGNVIRWHNQATGEQIAIKQCRQELSPKNRDRWCL EIQIMRRLNHPNVVAARDVPEGMQNLAPNDLPLLAMEYCQGGDLRRYLNQFENCCGLREG AVLTLLSDIASALRYLHENRIIHRDLKPENIVLQQGEKRLIHKIIDLGYAKELDQGSLCT SFVGTLQYLAPELLEQQKYTVTVDYWSFGTLAFECITGFRPFLPNWQPVQWHSKVRQKSE VDIVVSEDLNGAVKFSSSLPFPNNLNSVLAERLEKWLQLMLMWHPRQRGTDPQYGPNGCF RALDDILNLKLVHVLNMVTGTVHTYPVTEDESLQSLKTRIQEDTGILETDQELLQEAGLV LLPDKPATQCISDSKTNEGLTLDMDLVFLFDNSKINYETQITPRPQPESVSCILQEPKRN LSFFQLRKVWGQVWHSIQTLKEDCNRLQQGQRAAMMSLLRNNSCLSKMKNAMASTAQQLK AKLDFFKTSIQIDLEKYKEQTEFGITSDKLLLAWREMEQAVEQCGRENDVKHLVERMMAL QTDIVDLQRSPMGRKQGGTLDDLEEQARELYRRLREKPRDQRTEGDSQEMVRLLLQAIQS FEKKVRVIYTQLSKTVVCKQKALELLPKVEEVVSLMNEDERTVVRLQEKRQKELWNLLKI ACSKVRGPVSGSPDSMNVSRLSHPGQLMSQPSSACDSLPESDKKSEELVAEAHALCSRLE SALQDTVKEQDRSFTTLDWSWLQMEDEERCSLEQACD >ENSMUSP00000138378.1 pep:known chromosome:GRCm38:8:22659213:22706534:-1 gene:ENSMUSG00000031537.15 transcript:ENSMUST00000135326.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ikbkb description:inhibitor of kappaB kinase beta [Source:MGI Symbol;Acc:MGI:1338071] MSWSPSLPTQTCGAWEMKERLGTGGFGNVIRWHNQATGEQIAIKQCRQELSPKNRDRWCL EIQIMRRLNHPNVVAARDVPEGMQNLAPNDLPLLAMEYCQGGDLRRYLNQFENCCGLREG AVLTLLSDIASALRYLHENRIIHRDLKPENIVLQQGEKRLIHKIIDLGYAKELDQGSLCT SFVGTLQYLAPELLEQQKYTVTVDYWSFGTLAFECITGFRPFLPNWQPVQWHSKVRQKSE VDIVVSEDLNGAVKFSSSLPFPNNLNSVLAERLEKWLQLMLMWHPRQRGTDPQYGPNGCF RALDDILNLKLVHVLNMVTGTVHTYPVTEDESLQSLKTRIQEDTGILETDQELLQEAGLV LLPDKPATQCISDSKTNEGLTLDMDLVFLFDNSKINYETQITPRPQPESVSCIRAQAEPL LLPAEESVGPSLAQHPDAEGRL >ENSMUSP00000138156.1 pep:known chromosome:GRCm38:8:22659213:22706540:-1 gene:ENSMUSG00000031537.15 transcript:ENSMUST00000125314.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ikbkb description:inhibitor of kappaB kinase beta [Source:MGI Symbol;Acc:MGI:1338071] MSWSPSLPTQTCGAWEMKERLGTGGFGNVIRWHNQATGEQIAIKQCRQELSPKNRDRWCL EIQIMRRLNHPNVVAARDVPEGMQNLAPNDLPLLAMEYCQGGDLRRYLNQFENCCGLREG AVLTLLSDIASALRYLHENRIIHRDLKPENIVLQQGEKRLIHKIIDLGYAKELDQGSLCT SFVGTLQYLAPELLEQQKYTVTVDYWSFGTLAFECITGFRPFLPNWQPVQWHSKVRQKSE VDIVVSEDLNGAVKFSSSLPFPNNLNSVLAERLEKWLQLMLMWHPRQRGTDPQYGPNGCF RALDDILNLKLVHVLNMVTGTVHTYPVTEDESLQSLKTRIQEDTGILETDQELLQEAGLV LLPDKPATQCISDSKTNEGLTLDMDLVFLFDNSKINYETQITPRPQPESVSCIRAQAEPL LLPAEESVGPSLAQHPDAEGRL >ENSMUSP00000064235.3 pep:known chromosome:GRCm38:8:22659768:22706589:-1 gene:ENSMUSG00000031537.15 transcript:ENSMUST00000063401.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkb description:inhibitor of kappaB kinase beta [Source:MGI Symbol;Acc:MGI:1338071] MSWSPSLPTQTCGAWEMKERLGTGGFGNVIRWHNQATGEQIAIKQCRQELSPKNRDRWCL EIQIMRRLNHPNVVAARDVPEGMQNLAPNDLPLLAMEYCQGGDLRRYLNQFENCCGLREG AVLTLLSDIASALRYLHENRIIHRDLKPENIVLQQGEKRLIHKIIDLGYAKELDQGSLCT SFVGTLQYLAPELLEQQKYTVTVDYWSFGTLAFECITGFRPFLPNWQPVQWHSKVRQKSE VDIVVSEDLNGAVKFSSSLPFPNNLNSVLAERLEKWLQLMLMWHPRQRGTDPQYGPNGCF RALDDILNLKLVHVLNMVTGTVHTYPVTEDESLQSLKTRIQEDTGILETDQELLQEAGLV LLPDKPATQCISDSKTNEGLTLDMDLVFLFDNSKINYETQITPRPQPESVSCILQEPKRN LSFFQLRKVWGQVWHSIQTLKEDCNRLQQGQRAAMMSLLRNNSCLSKMKNAMASTAQQLK AKLDFFKTSIQIDLEKYKEQTEFGITSDKLLLAWREMEQAVEQCGRENDVKHLVERMMAL QTDIVDLQRSPMGRKQGGTLDDLEEQARELYRRLREKPRDQRTEGDSQEMVRLLLQAIQS FEKKVRVIYTQLSKTVVCKQKALELLPKVEEVVSLMNEDERTVVRLQEKRQKELWNLLKI ACSKVRGPVSGSPDSMNVSRLSHPGQLMSQPSSACDSLPESDKKSEELVAEAHALCSRLE SALQDTVKEQDRSFTVTA >ENSMUSP00000120049.1 pep:known chromosome:GRCm38:8:22660108:22661482:-1 gene:ENSMUSG00000031537.15 transcript:ENSMUST00000132279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkb description:inhibitor of kappaB kinase beta [Source:MGI Symbol;Acc:MGI:1338071] VAEAHALCSRLESALQDTVKEQDRSFTAQSSRNFS >ENSMUSP00000120916.1 pep:known chromosome:GRCm38:8:22682757:22694065:-1 gene:ENSMUSG00000031537.15 transcript:ENSMUST00000131767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkb description:inhibitor of kappaB kinase beta [Source:MGI Symbol;Acc:MGI:1338071] XFSCVVTKNAWLFFAFVRRLNHPNVVAARDVPEGMQNLAPNDLPLLAMEYCQGGDLRRYL NQFENCCGLREGAVLTLLSDIASALRYLHENRIIHRDLKP >ENSMUSP00000021066.3 pep:known chromosome:GRCm38:11:107732357:107794557:-1 gene:ENSMUSG00000020723.3 transcript:ENSMUST00000021066.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng4 description:calcium channel, voltage-dependent, gamma subunit 4 [Source:MGI Symbol;Acc:MGI:1859167] MVRCDRGLQMLLTTAGAFAAFSLMAIAIGTDYWLYSSAHICNGTNLTMDDGPPPRRARGD LTHSGLWRVCCIEGIYRGHCFRINHFPEDNDYDHDSSEYLLRIVRASSVFPILSTILLLL GGLCIGAGRIYSRKNNIVLSAGILFVAAGLSNIIGIIVYISSNTGDPSDKRDEDKKNHYN YGWSFYFGALSFIVAETVGVLAVNIYIEKNKELRFKTKREFLKASSSSPYARMPSYRYRR RRSRSSSRSTEASPSRDASPVGLKITGAIPMGELSMYTLSREPLKVTTAASYSPDQDAGF LQMHDFFQQDLKEGFHVSMLNRRTTPV >ENSMUSP00000099073.1 pep:known chromosome:GRCm38:6:36714929:36810220:-1 gene:ENSMUSG00000029838.11 transcript:ENSMUST00000101534.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptn description:pleiotrophin [Source:MGI Symbol;Acc:MGI:97804] MSSQQYQQQRRKFAAAFLALIFILAAVDTAEAGKKEKPEKKVKKSDCGEWQWSVCVPTSG DCGLGTREGTRTGAECKQTMKTQRCKIPCNWKKQFGAECKYQFQAWGECDLNTALKTRTG SLKRALHNADCQKTVTISKPCGKLTKPKPQAESKKKKKEGKKQEKMLD >ENSMUSP00000144184.1 pep:known chromosome:GRCm38:6:36739459:36810179:-1 gene:ENSMUSG00000029838.11 transcript:ENSMUST00000201321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptn description:pleiotrophin [Source:MGI Symbol;Acc:MGI:97804] MSSQQYQQQRRKFAAAFLALIFILAAVDTAEAGKKEKPEKKVKKSDCGEWQWSVCVPTSG DCGLGTREGTRTGAECKQTMKTQRCKIPCNWKKQFGAECKYQFQAWGECDLNTALKTRTG SLKRALHNADCQKTVTISKPCGKLTKPKPQGKKLSF >ENSMUSP00000099304.3 pep:known chromosome:GRCm38:11:121237253:121255856:1 gene:ENSMUSG00000000056.7 transcript:ENSMUST00000103015.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Narf description:nuclear prelamin A recognition factor [Source:MGI Symbol;Acc:MGI:1914858] MKCEHCTRKECSKKSKTDDQENVSSDGAQPSDGASPAKESEEKGEFHKLADAKIFLSDCL ACDSCVTVEEGVQLSQQSAKDFLHVLNLNKRCDTSKHRVLVVSVCPQSLPYFAAKFNLSV TDASRRLCGFLKSLGVHYVFDTTIAADFSILESQKEFVRRYHQHSEEQRELPMLTSACPG WVRYAERVLGRPIIPYLCTAKSPQQVMGSLVKDYFARQQNLSPEKIFHVVVAPCYDKKLE ALREGLSTTLNGARGTDCVLTSGEIAQIMEQSDLSVKDIAVDTLFGDMKEVAVQRHDGVS SDGHLAHVFRHAAKELFGEHVEEITYRALRNKDFHEVTLEKNGEVLLRFAAAYGFRNIQN MIQKLKKGKLPYHFVEVLACPRGCLNGRGQAQTEDGHTDRALLQQMEGIYSGIPVRPPES STHVQELYQEWLEGTESPKVQEVLHTSYQSLEPCTDGLDIKW >ENSMUSP00000062601.5 pep:known chromosome:GRCm38:X:127721182:127736554:-1 gene:ENSMUSG00000043569.7 transcript:ENSMUST00000052500.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34c4 description:claudin 34C4 [Source:MGI Symbol;Acc:MGI:1921184] MVFFNKSANYQIRGFALATIAWIMCSTSMGLPEWRIWYFEEPMVSSPNMAFIGMWRASIC NHVDNSSHKSVCQHYSYHDALVPLDIRLAQHLLLVANIIGLIGTVCAVFALQQLYTEKLE TNNDDNPFLLSAVLNAVASTFIFLAVMCNFYSVPGKEGLSFLLSLQMPVFPYAQRAGSAM GVASISALLFLLSALSFISYSPSMEKGKIPLV >ENSMUSP00000076012.6 pep:known chromosome:GRCm38:3:90248172:90253612:1 gene:ENSMUSG00000052310.9 transcript:ENSMUST00000015467.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a1 description:solute carrier family 39 (zinc transporter), member 1 [Source:MGI Symbol;Acc:MGI:1353474] MGPWGEPELLVWRPEAVASEPSVPVGLEVKLGALVLLLLLTLICSLVPVCVLRRSGANHE ASASGQKALSLVSCFAGGVFLATCLLDLLPDYLAAIDEALEALHVTLQFPLQEFILAMGF FLVLVMEQITLAYKEQTSPPHPEETRALLGTVNGGPQHWHDGPGIPQAGGTPAAPSALRA CVLVFSLALHSVFEGLAVGLQRDRARAMELCLALLLHKGILAVSLSLRLLQSHLRVQVVA GCGILFSCMTPLGIGLGAALAESAGPLHQLAQSVLEGMAAGTFLYITFLEILPQELATSE QRILKVILLLAGFALLTGLLFVQI >ENSMUSP00000008582.3 pep:known chromosome:GRCm38:12:81558584:81568474:-1 gene:ENSMUSG00000008438.3 transcript:ENSMUST00000008582.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam21 description:a disintegrin and metallopeptidase domain 21 [Source:MGI Symbol;Acc:MGI:1861229] MECFIMLGADARTLMRVTLLLLWLKALPSLIDLSQTGSTQYLSSPEVVIPLKVTSRARGA KNSEWLSYSLVFGGRRHVVHMRVKKLLVSTHIPVLTYTEEHTPLSDYPFVPSDCYYHGYV EGALESLVAFSACNGGLQGVLQMNGFSYEIEPIKHSSTFEHLVYTLNNNKTQFPPMLCSL TEKRLLYQPFGVEEAKKSAMKQNYGKLWPHMWFLELAVVVDYGFFTNAQQNLSKVRGDVV LVVNMVDSMYKPLDTYVTLVGIEIWNRGNVLPMENIHQVLEDFSHWKQISLSQVHHDAAH IFIRSSLISVLGIAYIAGICRPPLDCGVENFQGDAWSLFANTVAHELGHTFGMKHDEESC SCGKSGCVMSTFRVPAERFTNCSYSDFMKTTLNQGTCLYNHPRPGAGFLVKRCGNGMVES EEECDCGSVQECEQDPCCFLNCTLRPAAACSFGLCCKDCKFMLLGELCRPKINECDLPEW CNGTSHQCPEDGYVQDGVPCGAGAYCYQKQCNNHDQQCREIFGKGARSASHNCYKEINLQ GNRFGHCGTDGTVFLKCRMSDVFCGKVHCENVEDIHHPQAPYVLQNIYANGITCWSTGHC LGMGVPDVGEVKDGTTCGVGKICLHKKCVSLSVLSNACLPETCNRKGVCNNKHHCHCDYG WSPPFCLHRGYGGSIDSGPTSQKRRVIITVLSITVPVLSILICLLIAGLYRIYCKIPSGP KETKASSPG >ENSMUSP00000098778.3 pep:known chromosome:GRCm38:X:139779549:139782353:-1 gene:ENSMUSG00000072945.3 transcript:ENSMUST00000101217.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripply1 description:ripply1 homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:3614797] MDPAASPAAAPPAAPAAAPAADPAADPAAALPGQALAQAPALAQINGQEGARNERAAYLW RPWLSSINDQPRQARSLVDWADNRATAAEAAKTDSDFHHPVRLYWPKSHSFDYLYSAGEI LLNNFPVQATINLYEDSDSADNEEDKEEEEEEEEEEDDEEEEEDEDKDVNENEPEVCMGV SEATTHKATAHSPDPHSACPN >ENSMUSP00000096672.2 pep:known chromosome:GRCm38:2:167980166:168010618:-1 gene:ENSMUSG00000074577.9 transcript:ENSMUST00000099073.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam65c description:family with sequence similarity 65, member C [Source:MGI Symbol;Acc:MGI:1916803] MSVRLRFLSQGDAGAVGTVGRSASFAGFSSAQSRRLSKSINRNSVRSRLPAKSSKAYRTL RKGSLCLDPRPQQVKKIFDALKRGLREHLCEQQAELDYLCGRHTDTQRGSRLAFYYDLDK QLRLVERHIRKVEFHISKVDELYEAYCIQWRLRDGASNMQRAFSNSTQSRASRESLQELG RSLQECLEDMCLIEGTLEGHLGEFQVKMKGLVGYARLCPGDQYEVLMRLGRQRWRLKGRI EPDDSQTWDEEERVFVPTVHENLEIKVTELRGLSSMVVGAVTCDVADFFMARPQLVVVDI TELGTIKLQLELLWNPLDSECRLVSPSPTGRFSMGSRKGSLYTWTPPSTPSFRDKYYLSL LQQPVQQSLLLGGPKATSILGYLSDSELQGPRLRSRSQELLEMDSFSSEDPRDTETSTSA STSDVGFLPVPVGSAACTEEETREGPPPLGLLPGLAHPARGVLVERPGWRDLGGERLALL QDAPIHSPMVPRSRKGQEDGDVGDGVEGPVQEVLDLLRSADPAQPQLRELEYQVLGLRER LKPRGVQPEPVSAQSLMDCILESFAFLNADLASDELSLFGGSQAPERDSPPPPRPSLKVS PSELTAGAPELDTLLTVHLQVCKALLQKLASPNLSRMVEDCLLEEAVQQRQVLEVLSDLD LEQVSKARSVEEIIPQASHRKGGLALWQGCTQPGGVLACPASTLLSQLKKTFLHRVRGKY PGQLEIVCRRLLEQVVGCGGLLVPAGLQEEQVVTWFQFHSYLQRQSISDLEKHLAQLTKE VTLIEELSCAGPAKALRKLHGKCLSQLQPLPQTLQAWALLQLDGPPRLCRAARTRLASAA RNKRFREKALLYYTNALNDSDAKVQQAACVALQQLGGIESCEQIVSLCQSDLEAVRVAAR EATLSFGEKGRLAFEKMDKLHSEQEAFCQEADVEITIF >ENSMUSP00000027165.2 pep:known chromosome:GRCm38:1:60746358:60773359:1 gene:ENSMUSG00000026012.2 transcript:ENSMUST00000027165.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd28 description:CD28 antigen [Source:MGI Symbol;Acc:MGI:88327] MTLRLLFLALNFFSVQVTENKILVKQSPLLVVDSNEVSLSCRYSYNLLAKEFRASLYKGV NSDVEVCVGNGNFTYQPQFRSNAEFNCDGDFDNETVTFRLWNLHVNHTDIYFCKIEFMYP PPYLDNERSNGTIIHIKEKHLCHTQSSPKLFWALVVVAGVLFCYGLLVTVALCVIWTNSR RNRLLQSDYMNMTPRRPGLTRKPYQPYAPARDFAAYRP >ENSMUSP00000066990.4 pep:known chromosome:GRCm38:3:95862634:95871519:-1 gene:ENSMUSG00000054312.6 transcript:ENSMUST00000067298.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps21 description:mitochondrial ribosomal protein S21 [Source:MGI Symbol;Acc:MGI:1913542] MAKHLKFIARTVMVQEGNVEGAYRTLNRILTTDGLTEVISRRRYYEKPCRRRQRESYETC RRIYNMEMARKINFLMRKNRADPWLGC >ENSMUSP00000117821.1 pep:known chromosome:GRCm38:3:95862884:95871367:-1 gene:ENSMUSG00000054312.6 transcript:ENSMUST00000142437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps21 description:mitochondrial ribosomal protein S21 [Source:MGI Symbol;Acc:MGI:1913542] MAKHLKFIARTVMVQEGNVEGAYRTLNRILTTDGLTEVISRRRY >ENSMUSP00000130403.1 pep:known chromosome:GRCm38:3:95862654:95870619:-1 gene:ENSMUSG00000054312.6 transcript:ENSMUST00000072587.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps21 description:mitochondrial ribosomal protein S21 [Source:MGI Symbol;Acc:MGI:1913542] MAKHLKFIARTVMVQEGNVEGAYRTLNRILTTDGLTEVISRRRYYEKPCRRRQRESYETC RRIYNMEMARKINFLMRKNRADPWLGC >ENSMUSP00000117898.2 pep:known chromosome:GRCm38:5:87885029:87894174:1 gene:ENSMUSG00000009580.15 transcript:ENSMUST00000129757.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odam description:odontogenic, ameloblast asssociated [Source:MGI Symbol;Acc:MGI:1916842] MKIIILLGLIGASSSAPLISQRLLSASNSHELLLNLNNGQLLPLQFQGAFNSWIPPFPGF LQQQQAQVSGRPQFTLSTLESFAGLFPNQIPLSRQVGLAQGGQAGQPDLSQQQTPPQTQQ SASPMSYVVPVKVPQDQTQMFQYYPVYMLLPWEQPQTVTSSPQHTGQQLFEEQIPFYNQF GFAPPQAEPGVPGGQQHLAFDSFVGTAPETPGMPVEGSLLYPQKEPISFKHDNAGVFMPT TSPKPSTDNFFTSGIDPTIAPEQKVKTDSLREP >ENSMUSP00000108899.2 pep:known chromosome:GRCm38:5:87885695:87892745:1 gene:ENSMUSG00000009580.15 transcript:ENSMUST00000113274.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odam description:odontogenic, ameloblast asssociated [Source:MGI Symbol;Acc:MGI:1916842] MKIIILLGLIGASSSAPLISQRLLSASNSHELLLNLNNGQLLPLQFQGAFNSWIPPFPGF LQQQQAQVSGRPQFTLSTLESFAGLFPNQIPLSRQVGLAQGGQAGQPDLSQQQTPPQTQQ SASPMSYVVPVKVPQDQTQMFQYYPVYMLLPWEQPQTVTSSPQHTGQQLFEEQIPFYNQF GFAPPQAEPGVPGGQQHLAFDSFVGTAPETPGMPVEGSLLYPQKEPISFKHDNAGVFMPT TSPKPSTDNFFTSGIDPTIAPEQKVKTDSLREP >ENSMUSP00000052619.6 pep:known chromosome:GRCm38:2:168081004:168101203:1 gene:ENSMUSG00000044641.7 transcript:ENSMUST00000052125.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard6b description:par-6 family cell polarity regulator beta [Source:MGI Symbol;Acc:MGI:2135605] MNRGHRHGASSGCLGTMEVKSKFGAEFRRFSLERSKPGKFEEFYGLLQHVHKIPNVDVLV GYADIHGDLLPINNDDNYHKAVSTANPLLRIFIQKKEEADYSAFGTDTLIRKKNMLSNVL RPDNHRKKPHIVISMPQDFRPVSSIIDVDILPETHRRVRLYKYGTEKPLGFYIRDGSSVR VTPHGLEKVPGIFISRLVPGGLAQSTGLLAVNDEVLEVNGIEVSGKSLDQVTDMMIANSR NLIITVRPANQRNNVVRNSRTSGSSSQSTDNSLLGFPQQVEASFEPEDQDSDEDDIIIED SGEPQQIPKATPAQSLESLTQIELSFESGQNGFSPPQDTSLVPVPGSLDTELESRAPDQK LLEEDGTIITL >ENSMUSP00000131303.1 pep:known chromosome:GRCm38:6:139492973:139501976:-1 gene:ENSMUSG00000092164.2 transcript:ENSMUST00000170650.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rergl description:RERG/RAS-like [Source:MGI Symbol;Acc:MGI:3642998] MGEVKLAVLGGKGTGKSALTVRFLTKRFIGEYASNFESVYNKHLCLEGKPLNLEIYDPCS QPQKAKCSLTSELHWADGFLIVYDISNRPSFAFAQALIYRIREPPTTHCKRVVEPAVVLV GNKQDLCHMREVGWEEGQKLAIDFRCQFCELSAAEQSLEVEVMFLRLIKDILMIFKHKEK RRPSGSKSMAKLINNVFGKRRKSV >ENSMUSP00000091840.5 pep:known chromosome:GRCm38:15:82041319:82047598:-1 gene:ENSMUSG00000063480.7 transcript:ENSMUST00000080622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhp2l1 description:NHP2 non-histone chromosome protein 2-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:893586] MTEADVNPKAYPLADAHLTKKLLDLVQQSCNYKQLRKGANEATKTLNRGISEFIVMAADA EPLEIILHLPLLCEDKNVPYVFVRSKQALGRACGVSRPVIACSVTIKEGSQLKQQIQSIQ QSIERLLV >ENSMUSP00000129275.1 pep:known chromosome:GRCm38:15:82043050:82047579:-1 gene:ENSMUSG00000063480.7 transcript:ENSMUST00000166578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhp2l1 description:NHP2 non-histone chromosome protein 2-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:893586] MTEADVNPKAYPLADAHLTKKLLDLVQQSCNYKQLRKGANEGKTSTG >ENSMUSP00000116809.1 pep:known chromosome:GRCm38:2:32535333:32536190:1 gene:ENSMUSG00000039157.12 transcript:ENSMUST00000133512.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam102a description:family with sequence similarity 102, member A [Source:MGI Symbol;Acc:MGI:2138935] MAFLMKKKKFKFQTTFTLEELTAVPFVNGVLFCKV >ENSMUSP00000044731.5 pep:known chromosome:GRCm38:2:32535359:32569756:1 gene:ENSMUSG00000039157.12 transcript:ENSMUST00000048375.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam102a description:family with sequence similarity 102, member A [Source:MGI Symbol;Acc:MGI:2138935] MAFLMKKKKFKFQTTFTLEELTAVPFVNGVLFCKVRLLDGGDFVSLSSREEVQENCVRWR KRFTFVCKMSANPATGLLDPCIFRVSVRKELKGGKAYSKLGFTDLNLAEFAGSGSTVRCC LLEGYDTKNTRQDNSILKVTIGMFLLSGDPCFKTPPSTAKSISIPGQDSSLQLTCKGGGT SSGGSSSTNSLTGSRPPKTRPTILGSGLPEEPDQSLSSPEEVFHSGHSRNSSYASQQSKL SGYSTEHSRSSSLSDLTHRRNTSTSSSASGGLSMAVEGPEGMEREHRPSEKPPRPPEKPP RPPRPLHLSDRSFRRKKDSVESHPTWVDDTRIDADDIVEKIMQSQDFTDGSNTEDSNLRL FVSRDGSTTLSGIQLGNRVSSGVYEPVVIESH >ENSMUSP00000118624.1 pep:known chromosome:GRCm38:2:32535733:32558101:1 gene:ENSMUSG00000039157.12 transcript:ENSMUST00000133366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam102a description:family with sequence similarity 102, member A [Source:MGI Symbol;Acc:MGI:2138935] MAFLMKKKKFKFQTTFTLEELTAVPFVNGVLFCKVRLLDGGDFVSLSSREEVQENCVRWR KRFTFVCKMSANPA >ENSMUSP00000030439.8 pep:known chromosome:GRCm38:4:117271463:117497052:-1 gene:ENSMUSG00000028677.18 transcript:ENSMUST00000030439.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf220 description:ring finger protein 220 [Source:MGI Symbol;Acc:MGI:1913993] MDLHRAAFKMENSSYLPNPLASPALMVLASTAEASRDASIPCQQPRPFGVPVSVDKDVHI PFTNGSYTFASMYHRQGGVPGTFANRDFPPSLLHLHPQFAPPNLDCTPISMLNHSGVGAF RPFASTEDRESYQSAFTPAKRLKNCHDTESPHLRFSDADGKEYDFGTQLPSSSPGSLKVD DTGKKIFAVSGLISDRETSSSPEDRNDRCKKKAVALFDSQAPLCPICQVLLRPSELQEHM EQELEQLAQLPASKNSLLKDAMAPGTPKSLLLSASIKREGDSPTASPHSSATEDLHHSDR YQTFLRVRANRQTRLNARIGKMKRRKQDEGQREGSCMAEDDAVDIEHADSNRFEEYEWCG QKRIRATTLLEGGFRGSGFVMCSGKENPDSDADLDVDGDDTLEYGKPQYTEADVIPCTGE EPGEAKEREALRGAVLNGGPPSTRITPEFSKWASDEMPSTSNGEGSKQEAMQKTCKNSDI EKITEESAVTTFEALKARVRELERQLSRGDRYKCLICMDSYSMPLTSIQCWHVHCEECWL RTLGAKKLCPQCNTITAPGDLRRIYL >ENSMUSP00000099751.2 pep:known chromosome:GRCm38:4:117271464:117288772:-1 gene:ENSMUSG00000028677.18 transcript:ENSMUST00000102690.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf220 description:ring finger protein 220 [Source:MGI Symbol;Acc:MGI:1913993] MKRRKQDEGQREGSCMAEDDAVDIEHADSNRFEEYEWCGQKRIRATTLLEGGFRGSGFVM CSGKENPDSDADLDVDGDDTLEYGKPQYTEADVIPCTGEEPGEAKEREALRGAVLNGGPP STRITPEFSKWASDEMPSTSNGEGSKQEAMQKTCKNSDIEKITEESAVTTFEALKARVRE LERQLSRGDRYKCLICMDSYSMPLTSIQCWHVHCEECWLRTLGAKKLCPQCNTITAPGDL RRIYL >ENSMUSP00000092449.2 pep:known chromosome:GRCm38:4:117271927:117375457:-1 gene:ENSMUSG00000028677.18 transcript:ENSMUST00000094853.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf220 description:ring finger protein 220 [Source:MGI Symbol;Acc:MGI:1913993] MEQELEQLAQLPASKNSLLKDAMAPGTPKSLLLSASIKREGDSPTASPHSSATEDLHHSD RYQTFLRVRANRQTRLNARIGKMKRRKQDEGQVCPLCSRPLAGSEQEMSRHVEHCLAKRE GSCMAEDDAVDIEHADSNRFEEYEWCGQKRIRATTLLEGGFRGSGFVMCSGKENPDSDAD LDVDGDDTLEYGKPQYTEADVIPCTGEEPGEAKEREALRGAVLNGGPPSTRITPEFSKWA SDEMPSTSNGEGSKQEAMQKTCKNSDIEKITEESAVTTFEALKARVRELERQLSRGDRYK CLICMDSYSMPLTSIQCWHVHCEECWLRTLGAKKLCPQCNTITAPGDLRRIYL >ENSMUSP00000114642.1 pep:known chromosome:GRCm38:4:117277427:117289830:-1 gene:ENSMUSG00000028677.18 transcript:ENSMUST00000128122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf220 description:ring finger protein 220 [Source:MGI Symbol;Acc:MGI:1913993] MKRRKQDEGQVCPLCSRPLAGSEQEMSRHVEHCLAKREGSCMAEDDAVDIEHADSNRFEE YEWCGQKRIRATTLLEGGFRGSGFVMCSGKENPDSDADLDVDGDDTLEYGKPQYTEADVI PCTGEEPGEAKEREALRGAVLNGGPPSTRITPEFSKWASDEMPSTSNG >ENSMUSP00000035444.7 pep:known chromosome:GRCm38:1:134983301:135105276:-1 gene:ENSMUSG00000042793.13 transcript:ENSMUST00000044828.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgr6 description:leucine-rich repeat-containing G protein-coupled receptor 6 [Source:MGI Symbol;Acc:MGI:2441805] MHSPPGLLALWLCAVLCASARAGSDPQPGPGRPACPAPCHCQEDGIMLSADCSELGLSVV PADLDPLTAYLDLSMNNLTELQPGLFHHLRFLEELRLSGNHLSHIPGQAFSGLHSLKILM LQSNQLRGIPAEALWELPSLQSLRLDANLISLVPERSFEGLSSLRHLWLDDNALTEIPVR ALNNLPALQAMTLALNHIRHIPDYAFQNLTSLVVLHLHNNRIQHVGTHSFEGLHNLETLD LNYNELQEFPLAIRTLGRLQELGFHNNNIKAIPEKAFMGSPLLQTIHFYDNPIQFVGRSA FQYLSKLHTLSLNGATDIQEFPDLKGTTSLEILTLTRAGIRLLPPGVCQQLPRLRILELS HNQIEELPSLHRCQKLEEIGLRHNRIKEIGADTFSQLGSLQALDLSWNAIRAIHPEAFST LRSLVKLDLTDNQLTTLPLAGLGGLMHLKLKGNLALSQAFSKDSFPKLRILEVPYAYQCC AYGICASFFKTSGQWQAEDFHPEEEEAPKRPLGLLAGQAENHYDLDLDELQMGTEDSKPH PSVQCSPVPGPFKPCEHLFESWGIRLAVWAIVLLSVLCNGLVLLTVFASGPSPLSPVKLV VGAMAGANALSGISCGLLASVDALTYGQFAEYGARWESGLGCQATGFLAVLGSEASVLLL TLAAVQCSISVTCVRAYGKAPSPGSVRAGALGCLALAGLAAALPLASVGEYGASPLCLPY APPEGRPAALGFAVALVMMNSLCFLVVAGAYIKLYCDLPRGDFEAVWDCAMVRHVAWLIF ADGLLYCPVAFLSFASMLGLFPVTPEAVKSVLLVVLPLPACLNPLLYLLFNPHFRDDLRR LWPSPRSPGPLAYAAAGELEKSSCDSTQALVAFSDVDLILEASEAGQPPGLETYGFPSVT LISRHQPGATRLEGNHFVESDGTKFGNPQPPMKGELLLKAEGATLAGCGSSVGGALWPSG SLFASHL >ENSMUSP00000122334.1 pep:known chromosome:GRCm38:1:134986355:135017779:-1 gene:ENSMUSG00000042793.13 transcript:ENSMUST00000137968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgr6 description:leucine-rich repeat-containing G protein-coupled receptor 6 [Source:MGI Symbol;Acc:MGI:2441805] MGSPLLQTIHFYDNPIQFVGRSAFQYLSKLHTLSLNGATDIQEFPDLKGTTSLEILTLTR AGIRLLPPGVCQQLPRLRILELSHNQIEELPSLHRCQKLEEIGLRHNRIKEIGADTFSQL GSLQALDLSWNAIRAIHPEAFSTLRSLVKLDLTDNQLTTLPLAGLGGLMHLKLKGNLALS QAFSKDSFPKLRILEVPYAYQCCAYGICASFFKTSGQWQAEDFHPEEEEAPKRPLGLLAG QAENHYDLDLDELQMGTEDSKPHPSVQCSPVPGPFKPCEHLFESWGIRLAVWAIVLLSVL CNGLVLLTVFASGPSPLSPVKLVVGAMAGANALSGISCGLLASVDALTYGQFAEYGARWE SGLGCQATGFLAVLGSEASVLLLTLAAVQCSISVTCVRAYGKAPSPGSVRAGALGCLALA GLAAALPLASVGEYGASPLCLPYAPPEGRPAALGFAVALVMMNSLCFLVVAGAYIKLYCD LPRGDFEAVWDCAMVRHVAWLIFADGLLYCPVAFLSFASMLGLFPVTPEAVKSVLLVVLP LPACLNPLLYLLFNPHFRDDLRRLWPSPRSPGPLAYAAAGELEKSSCDSTQALVAFSDVD LILEASEAGQPPGLETYGFPSVTLISRHQPGATRLEGNHFVESDGTKFGNPQPPMKGELL LKAEGATLAGCGSSVGGALWPSGSLFASHL >ENSMUSP00000044034.6 pep:known chromosome:GRCm38:6:67035096:67037406:-1 gene:ENSMUSG00000036390.8 transcript:ENSMUST00000043098.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gadd45a description:growth arrest and DNA-damage-inducible 45 alpha [Source:MGI Symbol;Acc:MGI:107799] MTLEEFSAAEQKTERMDTVGDALEEVLSKARSQRTITVGVYEAAKLLNVDPDNVVLCLLA ADEDDDRDVALQIHFTLIRAFCCENDINILRVSNPGRLAELLLLENDAGPAESGGAAQTP DLHCVLVTNPHSSQWKDPALSQLICFCRESRYMDQWVPVINLPER >ENSMUSP00000145432.1 pep:known chromosome:GRCm38:6:67035459:67037364:-1 gene:ENSMUSG00000036390.8 transcript:ENSMUST00000204369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gadd45a description:growth arrest and DNA-damage-inducible 45 alpha [Source:MGI Symbol;Acc:MGI:107799] MTLEEFSAAEQKTERDPDNVVLCLLAADEDDDRDVALQIHFTLIRAFCCENDINILRVSN PGRLAELLLLENDAGPAESGGAAQTPDLHCVLVTNPHSSQWKDPALSQLICFCRESRYMD QWVPVINLPER >ENSMUSP00000145136.1 pep:known chromosome:GRCm38:6:67035466:67037251:-1 gene:ENSMUSG00000036390.8 transcript:ENSMUST00000204282.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gadd45a description:growth arrest and DNA-damage-inducible 45 alpha [Source:MGI Symbol;Acc:MGI:107799] MTLEEFSAAEQKTERMDTVGDALEEVLSKARSQRTITVGVYEAAKLLNVTHIHHNGRILP >ENSMUSP00000054219.3 pep:known chromosome:GRCm38:X:139800828:139811386:1 gene:ENSMUSG00000047230.6 transcript:ENSMUST00000054889.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn2 description:claudin 2 [Source:MGI Symbol;Acc:MGI:1276110] MASLGVQLVGYILGLLGLLGTSIAMLLPNWRTSSYVGASIVTAVGFSKGLWMECATHSTG ITQCDIYSTLLGLPADIQAAQAMMVTSSAMSSLACIISVVGMRCTVFCQDSRAKDRVAVV GGVFFILGGILGFIPVAWNLHGILRDFYSPLVPDSMKFEIGEALYLGIISALFSLVAGVI LCFSCSPQGNRTNYYDGYQAQPLATRSSPRSAQQPKAKSEFNSYSLTGYV >ENSMUSP00000134644.1 pep:known chromosome:GRCm38:X:139802787:139808713:1 gene:ENSMUSG00000047230.6 transcript:ENSMUST00000172779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn2 description:claudin 2 [Source:MGI Symbol;Acc:MGI:1276110] MA >ENSMUSP00000121152.1 pep:known chromosome:GRCm38:X:139806081:139809101:1 gene:ENSMUSG00000047230.6 transcript:ENSMUST00000135224.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn2 description:claudin 2 [Source:MGI Symbol;Acc:MGI:1276110] MASLGVQLVGYILGLLGLLGTSIAMLLPNWRTSSYVGASIVTAVGFSKGLWMECATHSTG ITQCDIYSTLLGLPADIQAAQAMMVTSSAMSSLACIISVVGMRCTVFCQDSRAKDRVAVV GGVFFILGGIL >ENSMUSP00000146662.1 pep:known chromosome:GRCm38:7:143607659:143628722:-1 gene:ENSMUSG00000045362.8 transcript:ENSMUST00000208124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf26 description:tumor necrosis factor receptor superfamily, member 26 [Source:MGI Symbol;Acc:MGI:2651928] MDTVCDKFDSEPGQSGSQCFCFSKPLGIVVIIAAFIIIIGAVIILILKIICYCKRGENIQ LSSTML >ENSMUSP00000054938.7 pep:known chromosome:GRCm38:7:143607663:143627953:-1 gene:ENSMUSG00000045362.8 transcript:ENSMUST00000055723.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf26 description:tumor necrosis factor receptor superfamily, member 26 [Source:MGI Symbol;Acc:MGI:2651928] MTRLRLLLLLGLLLRVAVCSVNTITLCKIGEFKHENLCCLQCSAGTYLRNPCQENHNKSE CAPCDSEHFIDHKNRESECFPCSVCRDDQEEVAKCSRTADRVCQCKQGTYCDSENCLERC HTCSSCPDGRVVRKCNATMDTVCDKFDSEPGQSGSQCFCFSKPLGIVVIIAAFIIIIGAV IILILKIICYCKRGENIQLSSTML >ENSMUSP00000133840.2 pep:known chromosome:GRCm38:5:47983138:48307733:1 gene:ENSMUSG00000031558.15 transcript:ENSMUST00000173107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slit2 description:slit homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315205] MSGIGWQTLSLSLGLVLSILNKVAPQACPAQCSCSGSTVDCHGLALRSVPRNIPRNTERL DLNGNNITRITKIDFAGLRHLRVLQLMENRISTIERGAFQDLKELERLRLNRNNLQLFPE LLFLGTAKLYRLDLSENQIQAIPRKAFRGAVDIKNLQLDYNQISCIEDGAFRALRDLEVL TLNNNNITRLSVASFNHMPKLRTFRLHSNNLYCDCHLAWLSDWLRQRPRVGLYTQCMGPS HLRGHNVAEVQKREFVCSGHQSFMAPSCSVLHCPAACTCSNNIVDCRGKGLTEIPTNLPE TITEIRLEQNSIRVIPPGAFSPYKKLRRLDLSNNQISELAPDAFQGLRSLNSLVLYGNKI TELPKSLFEGLFSLQLLLLNANKINCLRVDAFQDLHNLNLLSLYDNKLQTVAKGTFSALR AIQTMHLAQNPFICDCHLKWLADYLHTNPIETSGARCTSPRRLANKRIGQIKSKKFRCSG TEDYRSKLSGDCFADLACPEKCRCEGTTVDCSNQRLNKIPDHIPQYTAELRLNNNEFTVL EATGIFKKLPQLRKINFSNNKITDIEEGAFEGASGVNEILLTSNRLENVQHKMFKGLESL KTLMLRSNRISCVGNDSFIGLGSVRLLSLYDNQITTVAPGAFDSLHSLSTLNLLANPFNC NCHLAWLGEWLRRKRIVTGNPRCQKPYFLKEIPIQDVAIQDFTCDDGNDDNSCSPLSRCP SECTCLDTVVRCSNKGLKVLPKGIPKDVTELYLDGNQFTLVPKELSNYKHLTLIDLSNNR ISTLSNQSFSNMTQLLTLILSYNRLRCIPPRTFDGLKSLRLLSLHGNDISVVPEGAFNDL SALSHLAIGANPLYCDCNMQWLSDWVKSEYKEPGIARCAGPGEMADKLLLTTPSKKFTCQ GPVDITIQAKCNPCLSNPCKNDGTCNNDPVDFYRCTCPYGFKGQDCDVPIHACISNPCKH GGTCHLKEGENAGFWCTCADGFEGENCEVNIDDCEDNDCENNSTCVDGINNYTCLCPPEY TGELCEEKLDFCAQDLNPCQHDSKCILTPKGFKCDCTPGYIGEHCDIDFDDCQDNKCKNG AHCTDAVNGYTCVCPEGYSGLFCEFSPPMVLPRTSPCDNFDCQNGAQCIIRINEPICQCL PGYLGEKCEKLVSVNFVNKESYLQIPSAKVRPQTNITLQIATDEDSGILLYKGDKDHIAV ELYRGRVRASYDTGSHPASAIYSVETINDGNFHIVELLTLDSSLSLSVDGGSPKVITNLS KQSTLNFDSPLYVGGMPGKNNVASLRQAPGQNGTSFHGCIRNLYINSELQDFRKMPMQTG ILPGCEPCHKKVCAHGMCQPSSQSGFTCECEEGWMGPLCDQRTNDPCLGNKCVHGTCLPI NAFSYSCKCLEGHGGVLCDEEEDLFNPCQMIKCKHGKCRLSGVGQPYCECNSGFTGDSCD REISCRGERIRDYYQKQQGYAACQTTKKVSRLECRGGCAGGQCCGPLRSKRRKYSFECTD GSSFVDEVEKVVKCGCARCAS >ENSMUSP00000033967.8 pep:known chromosome:GRCm38:5:47983154:48304396:1 gene:ENSMUSG00000031558.15 transcript:ENSMUST00000033967.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slit2 description:slit homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315205] MSGIGWQTLSLSLGLVLSILNKVAPQACPAQCSCSGSTVDCHGLALRSVPRNIPRNTERL DLNGNNITRITKIDFAGLRHLRVLQLMENRISTIERGAFQDLKELERLRLNRNNLQLFPE LLFLGTAKLYRLDLSENQIQAIPRKAFRGAVDIKNLQLDYNQISCIEDGAFRALRDLEVL TLNNNNITRLSVASFNHMPKLRTFRLHSNNLYCDCHLAWLSDWLRQRPRVGLYTQCMGPS HLRGHNVAEVQKREFVCSGHQSFMAPSCSVLHCPAACTCSNNIVDCRGKGLTEIPTNLPE TITEIRLEQNSIRVIPPGAFSPYKKLRRLDLSNNQISELAPDAFQGLRSLNSLVLYGNKI TELPKSLFEGLFSLQLLLLNANKINCLRVDAFQDLHNLNLLSLYDNKLQTVAKGTFSALR AIQTMHLAQNPFICDCHLKWLADYLHTNPIETSGARCTSPRRLANKRIGQIKSKKFRCSG TEDYRSKLSGDCFADLACPEKCRCEGTTVDCSNQRLNKIPDHIPQYTAELRLNNNEFTVL EATGIFKKLPQLRKINFSNNKITDIEEGAFEGASGVNEILLTSNRLENVQHKMFKGLESL KTLMLRSNRISCVGNDSFIGLGSVRLLSLYDNQITTVAPGAFDSLHSLSTLNLLANPFNC NCHLAWLGEWLRRKRIVTGNPRCQKPYFLKEIPIQDVAIQDFTCDDGNDDNSCSPLSRCP SECTCLDTVVRCSNKGLKVLPKGIPKDVTELYLDGNQFTLVPKELSNYKHLTLIDLSNNR ISTLSNQSFSNMTQLLTLILSYNRLRCIPPRTFDGLKSLRLLSLHGNDISVVPEGAFNDL SALSHFGYPTG >ENSMUSP00000133912.1 pep:known chromosome:GRCm38:5:47983154:48305511:1 gene:ENSMUSG00000031558.15 transcript:ENSMUST00000174313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slit2 description:slit homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315205] MSGIGWQTLSLSLGLVLSILNKVAPQACPAQCSCSGSTVDCHGLALRSVPRNIPRNTERL DLNGNNITRITKIDFAGLRHLRVLQLMENRISTIERGAFQDLKELERLRLNRNNLQLFPE LLFLGTAKLYRLDLSENQIQAIPRKAFRGAVDIKNLQLDYNQISCIEDGAFRALRDLEVL TLNNNNITRLSVASFNHMPKLRTFRLHSNNLYCDCHLAWLSDWLRQRPRVGLYTQCMGPS HLRGHNVAEVQKREFVCSDEEEGHQSFMAPSCSVLHCPAACTCSNNIVDCRGKGLTEIPT NLPETITEIRLEQNSIRVIPPGAFSPYKKLRRLDLSNNQISELAPDAFQGLRSLNSLVLY GNKITELPKSLFEGLFSLQLLLLNANKINCLRVDAFQDLHNLNLLSLYDNKLQTVAKGTF SALRAIQTMHLAQNPFICDCHLKWLADYLHTNPIETSGARCTSPRRLANKRIGQIKSKKF RCSGTEDYRSKLSGDCFADLACPEKCRCEGTTVDCSNQRLNKIPDHIPQYTAELRLNNNE FTVLEATGIFKKLPQLRKINFSNNKITDIEEGAFEGASGVNEILLTSNRLENVQHKMFKG LESLKTLMLRSNRISCVGNDSFIGLGSVRLLSLYDNQITTVAPGAFDSLHSLSTLNLLAN PFNCNCHLAWLGEWLRRKRIVTGNPRCQKPYFLKEIPIQDVAIQDFTCDDGNDDNSCSPL SRCPSECTCLDTVVRCSNKGLKVLPKGIPKDVTELYLDGNQFTLVPKELSNYKHLTLIDL SNNRISTLSNQSFSNMTQLLTLILSYNRLRCIPPRTFDGLKSLRLLSLHGNDISVVPEGA FNDLSALSHLAIGANPLYCDCNMQWLSDWVKSEYKEPGIARCAGPGEMADKLLLTTPSKK FTCQGPVDITIQAKCNPCLSNPCKNDGTCNNDPVDFYRCTCPYGFKGQDCDVPIHACISN PCKHGGTCHLKEGENAGFWCTCADGFEGENCEVNIDDCEDNDCENNSTCVDGINNYTCLC PPEYTGELCEEKLDFCAQDLNPCQHDSKCILTPKGFKCDCTPGYIGEHCDIDFDDCQDNK CKNGAHCTDAVNGYTCVCPEGYSGLFCEFSPPMVLPRTSPCDNFDCQNGAQCIIRINEPI CQCLPGYLGEKCEKLVSVNFVNKESYLQIPSAKVRPQTNITLQIATDEDSGILLYKGDKD HIAVELYRGRVRASYDTGSHPASAIYSVETINDGNFHIVELLTLDSSLSLSVDGGSPKVI TNLSKQSTLNFDSPLYVGGMPGKNNVASLRQAPGQNGTSFHGCIRNLYINSELQDFRKMP MQTGILPGCEPCHKKVCAHGMCQPSSQSGFTCECEEGWMGPLCDQRTNDPCLGNKCVHGT CLPINAFSYSCKCLEGHGGVLCDEEEDLFNPCQMIKCKHGKCRLSGVGQPYCECNSGFTG DSCDREISCRGERIRDYYQKQQGYAACQTTKKVSRLECRGGCAGGQCCGPLRSKRRKYSF ECTDGSSFVDEVEKVVKCGCARCAS >ENSMUSP00000134263.1 pep:known chromosome:GRCm38:5:47983154:48305511:1 gene:ENSMUSG00000031558.15 transcript:ENSMUST00000174421.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slit2 description:slit homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315205] MSGIGWQTLSLSLGLVLSILNKVAPQACPAQCSCSGSTVDCHGLALRSVPRNIPRNTERL DLNGNNITRITKIDFAGLRHLRVLQLMENRISTIERGAFQDLKELERLRLNRNNLQLFPE LLFLGTAKLYRLDLSENQIQAIPRKAFRGAVDIKNLQLDYNQISCIEDGAFRALRDLEVL TLNNNNITRLSVASFNHMPKLRTFRLHSNNLYCDCHLAWLSDWLRQRPRVGLYTQCMGPS HLRGHNVAEVQKREFVCSDEEEGHQSFMAPSCSVLHCPAACTCSNNIVDCRGKGLTEIPT NLPETITEIRLEQNSIRVIPPGAFSPYKKLRRLDLSNNQISELAPDAFQGLRSLNSLVLY GNKITELPKSLFEGLFSLQLLLLNANKINCLRVDAFQDLHNLNLLSLYDNKLQTVAKGTF SALRAIQTMHLAQNPFICDCHLKWLADYLHTNPIETSGARCTSPRRLANKRIGQIKSKKF RCSAKEQYFIPGTEDYRSKLSGDCFADLACPEKCRCEGTTVDCSNQRLNKIPDHIPQYTA ELRLNNNEFTVLEATGIFKKLPQLRKINFSNNKITDIEEGAFEGASGVNEILLTSNRLEN VQHKMFKGLESLKTLMLRSNRISCVGNDSFIGLGSVRLLSLYDNQITTVAPGAFDSLHSL STLNLLANPFNCNCHLAWLGEWLRRKRIVTGNPRCQKPYFLKEIPIQDVAIQDFTCDDGN DDNSCSPLSRCPSECTCLDTVVRCSNKGLKVLPKGIPKDVTELYLDGNQFTLVPKELSNY KHLTLIDLSNNRISTLSNQSFSNMTQLLTLILSYNRLRCIPPRTFDGLKSLRLLSLHGND ISVVPEGAFNDLSALSHLAIGANPLYCDCNMQWLSDWVKSEYKEPGIARCAGPGEMADKL LLTTPSKKFTCQGPVDITIQAKCNPCLSNPCKNDGTCNNDPVDFYRCTCPYGFKGQDCDV PIHACISNPCKHGGTCHLKEGENAGFWCTCADGFEGENCEVNIDDCEDNDCENNSTCVDG INNYTCLCPPEYTAANLNEVEKGELCEEKLDFCAQDLNPCQHDSKCILTPKGFKCDCTPG YIGEHCDIDFDDCQDNKCKNGAHCTDAVNGYTCVCPEGYSGLFCEFSPPMVLPRTSPCDN FDCQNGAQCIIRINEPICQCLPGYLGEKCEKLVSVNFVNKESYLQIPSAKVRPQTNITLQ IATDEDSGILLYKGDKDHIAVELYRGRVRASYDTGSHPASAIYSVETINDGNFHIVELLT LDSSLSLSVDGGSPKVITNLSKQSTLNFDSPLYVGGMPGKNNVASLRQAPGQNGTSFHGC IRNLYINSELQDFRKMPMQTGILPGCEPCHKKVCAHGMCQPSSQSGFTCECEEGWMGPLC DQRTNDPCLGNKCVHGTCLPINAFSYSCKCLEGHGGVLCDEEEDLFNPCQMIKCKHGKCR LSGVGQPYCECNSGFTGDSCDREISCRGERIRDYYQKQQGYAACQTTKKVSRLECRGGCA GGQCCGPLRSKRRKYSFECTDGSSFVDEVEKVVKCGCARCAS >ENSMUSP00000127615.3 pep:known chromosome:GRCm38:5:47983154:48305511:1 gene:ENSMUSG00000031558.15 transcript:ENSMUST00000170109.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slit2 description:slit homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315205] MSGIGWQTLSLSLGLVLSILNKVAPQACPAQCSCSGSTVDCHGLALRSVPRNIPRNTERL DLNGNNITRITKIDFAGLRHLRVLQLMENRISTIERGAFQDLKELERLRLNRNNLQLFPE LLFLGTAKLYRLDLSENQIQAIPRKAFRGAVDIKNLQLDYNQISCIEDGAFRALRDLEVL TLNNNNITRLSVASFNHMPKLRTFRLHSNNLYCDCHLAWLSDWLRQRPRVGLYTQCMGPS HLRGHNVAEVQKREFVCSDEEEGHQSFMAPSCSVLHCPAACTCSNNIVDCRGKGLTEIPT NLPETITEIRLEQNSIRVIPPGAFSPYKKLRRLDLSNNQISELAPDAFQGLRSLNSLVLY GNKITELPKSLFEGLFSLQLLLLNANKINCLRVDAFQDLHNLNLLSLYDNKLQTVAKGTF SALRAIQTMHLAQNPFICDCHLKWLADYLHTNPIETSGARCTSPRRLANKRIGQIKSKKF RCSAKEQYFIPGTEDYRSKLSGDCFADLACPEKCRCEGTTVDCSNQRLNKIPDHIPQYTA ELRLNNNEFTVLEATGIFKKLPQLRKINFSNNKITDIEEGAFEGASGVNEILLTSNRLEN VQHKMFKGLESLKTLMLRSNRISCVGNDSFIGLGSVRLLSLYDNQITTVAPGAFDSLHSL STLNLLANPFNCNCHLAWLGEWLRRKRIVTGNPRCQKPYFLKEIPIQDVAIQDFTCDDGN DDNSCSPLSRCPSECTCLDTVVRCSNKGLKVLPKGIPKDVTELYLDGNQFTLVPKELSNY KHLTLIDLSNNRISTLSNQSFSNMTQLLTLILSYNRLRCIPPRTFDGLKSLRLLSLHGND ISVVPEGAFNDLSALSHLAIGANPLYCDCNMQWLSDWVKSEYKEPGIARCAGPGEMADKL LLTTPSKKFTCQGPVDITIQAKCNPCLSNPCKNDGTCNNDPVDFYRCTCPYGFKGQDCDV PIHACISNPCKHGGTCHLKEGENAGFWCTCADGFEGENCEVNIDDCEDNDCENNSTCVDG INNYTCLCPPEYTGELCEEKLDFCAQDLNPCQHDSKCILTPKGFKCDCTPGYIGEHCDID FDDCQDNKCKNGAHCTDAVNGYTCVCPEGYSGLFCEFSPPMVLPRTSPCDNFDCQNGAQC IIRINEPICQCLPGYLGEKCEKLVSVNFVNKESYLQIPSAKVRPQTNITLQIATDEDSGI LLYKGDKDHIAVELYRGRVRASYDTGSHPASAIYSVETINDGNFHIVELLTLDSSLSLSV DGGSPKVITNLSKQSTLNFDSPLYVGGMPGKNNVASLRQAPGQNGTSFHGCIRNLYINSE LQDFRKMPMQTGILPGCEPCHKKVCAHGMCQPSSQSGFTCECEEGWMGPLCDQRTNDPCL GNKCVHGTCLPINAFSYSCKCLEGHGGVLCDEEEDLFNPCQMIKCKHGKCRLSGVGQPYC ECNSGFTGDSCDREISCRGERIRDYYQKQQGYAACQTTKKVSRLECRGGCAGGQCCGPLR SKRRKYSFECTDGSSFVDEVEKVVKCGCARCAS >ENSMUSP00000134359.1 pep:known chromosome:GRCm38:5:47987842:48191005:1 gene:ENSMUSG00000031558.15 transcript:ENSMUST00000174658.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slit2 description:slit homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315205] XLNGNNITRITKIDFAGLRHLRVLQLMENRISTIERGAFQDLKELERLRLNRNNLQLFPE LLFLGTAKLYRLDLSENQIQAIPRKAFRGAVDIKNLHQNCDQPNNCDEATGLQPDQLH >ENSMUSP00000134655.2 pep:known chromosome:GRCm38:5:48238501:48259597:1 gene:ENSMUSG00000031558.15 transcript:ENSMUST00000172493.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slit2 description:slit homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315205] TELYLDGNQFTLVPKELSNYKHLTLIDLSNNRISTLSNQSFSNMTQLLTLILSYNRLRCI PPRTFDGLKSLRLLSLHGNDISVVPEGAFNDLSALSHLAIGANPLYCDCNMQWLSDWVKS EYKEPGIARCAGPGEMADKLLLTTPSKKFTCQELPGPCGFLIPGPVDITIQAKCNPCLSN PCKNDGTCNNDPVDFYRCTCPYGFKGQDCDVPIHACISNPCKHGGTCHLKEGENAGFWCT CADGFEGENCEVNID >ENSMUSP00000133382.1 pep:known chromosome:GRCm38:5:48281778:48302512:1 gene:ENSMUSG00000031558.15 transcript:ENSMUST00000173686.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slit2 description:slit homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1315205] DNKCKNGAHCTDAVNGYTCVCPEGYSGLFCEFSPPMVLPRTSPCDNFDCQNGAQCIIRIN EPICQCLPGYLGEKCEKLVSVNFVNKESYLQIPSAKVRPQTNITLQIATDEDSGILLYKG DKDHIAVELYRGRVRASYDTGSHPASAIYR >ENSMUSP00000125703.1 pep:known chromosome:GRCm38:8:95676980:95709144:1 gene:ENSMUSG00000036564.16 transcript:ENSMUST00000160964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg4 description:N-myc downstream regulated gene 4 [Source:MGI Symbol;Acc:MGI:2384590] MAGLQELRFPEEKPLLRGQDATEMDNPDAFLSIVDTDWKEHDIETPYGLLHVVIRGSPKG NRPAILTYHDVGLNHKLCFNTFFNFEDMQEITKHFVVCHVDAPGQQVGASQFPQGYQFPS MEQLAAMLPSVVQHFGFKYVIGIGVGAGAYVLAKFALIFPDLVEGLVLMNIDPNGKGWID WAATKLSGLTSTLPDTVLSHLFSQEELVNNTELVQSYRQQISNVV >ENSMUSP00000036226.7 pep:known chromosome:GRCm38:8:95678085:95714956:1 gene:ENSMUSG00000036564.16 transcript:ENSMUST00000041318.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg4 description:N-myc downstream regulated gene 4 [Source:MGI Symbol;Acc:MGI:2384590] MKVLGHRLQLLTGLLLHDVTMAGLQELRFPEEKPLLRGQDATEMDNPDAFLSIVDTDWKE HDIETPYGLLHVVIRGSPKGNRPAILTYHDVGLNHKLCFNTFFNFEDMQEITKHFVVCHV DAPGQQVGASQFPQGYQFPSMEQLAAMLPSVVQHFGFKYVIGIGVGAGAYVLAKFALIFP DLVEGLVLMNIDPNGKGWIDWAATKLSGLTSTLPDTVLSHLFSQEELVNNTELVQSYRQQ ISNVVNQANLQLFWNMYNSRRDLDINRPGTVPNAKTLRCPVMLVVGDNAPAEEGVVECNS KLDPTTTTFLKMADSGGLPQVTQPGKLTEAFKYFLQGMGYIAHLKDRRLSGGAVPSASMT RLARSRTASLTSASSVDGSRPQPCAHSDSSEGMGQVNHTMEVSC >ENSMUSP00000131203.1 pep:known chromosome:GRCm38:8:95678245:95714952:1 gene:ENSMUSG00000036564.16 transcript:ENSMUST00000166358.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndrg4 description:N-myc downstream regulated gene 4 [Source:MGI Symbol;Acc:MGI:2384590] MKVLGHRLQLLTGLLLHDVTMAGLQELRFPEEKPLLRGQDATEMDNPDAFLSIVDTDWKC PVPSVSHRNMTSRRLMDFCMW >ENSMUSP00000072883.7 pep:known chromosome:GRCm38:8:95703037:95715119:1 gene:ENSMUSG00000036564.16 transcript:ENSMUST00000073139.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg4 description:N-myc downstream regulated gene 4 [Source:MGI Symbol;Acc:MGI:2384590] MPECWDGEHDIETPYGLLHVVIRGSPKGNRPAILTYHDVGLNHKLCFNTFFNFEDMQEIT KHFVVCHVDAPGQQVGASQFPQGYQFPSMEQLAAMLPSVVQHFGFKYVIGIGVGAGAYVL AKFALIFPDLVEGLVLMNIDPNGKGWIDWAATKLSGLTSTLPDTVLSHLFSQEELVNNTE LVQSYRQQISNVVNQANLQLFWNMYNSRRDLDINRPGTVPNAKTLRCPVMLVVGDNAPAE EGVVECNSKLDPTTTTFLKMADSGGLPQVTQPGKLTEAFKYFLQGMGYIAHLKDRRLSGG AVPSASMTRLARSRTASLTSASSVDGSRPQPCAHSDSSEGMGQVNHTMEVSC >ENSMUSP00000079495.7 pep:known chromosome:GRCm38:8:95703063:95714956:1 gene:ENSMUSG00000036564.16 transcript:ENSMUST00000080666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg4 description:N-myc downstream regulated gene 4 [Source:MGI Symbol;Acc:MGI:2384590] MPECWDGEHDIETPYGLLHVVIRGSPKGNRPAILTYHDVGLNHKLCFNTFFNFEDMQEIT KHFVVCHVDAPGQQVGASQFPQGYQFPSMEQLAAMLPSVVQHFGFKYVIGIGVGAGAYVL AKFALIFPDLVEGLVLMNIDPNGKGWIDWAATKLSGLTSTLPDTVLSHLFSQEELVNNTE LVQSYRQQISNVVNQANLQLFWNMYNSRRDLDINRPGTVPNAKTLRCPVMLVVGDNAPAE EGVVECNSKLDPTTTTFLKMADSGGLPQVTQPGKLTEAFKYFLQGMGYMPSASMTRLARS RTASLTSASSVDGSRPQPCAHSDSSEGMGQVNHTMEVSC >ENSMUSP00000010198.3 pep:known chromosome:GRCm38:9:107563255:107566112:1 gene:ENSMUSG00000010054.4 transcript:ENSMUST00000010198.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tusc2 description:tumor suppressor candidate 2 [Source:MGI Symbol;Acc:MGI:1931086] MGASGSKARGLWPFASTPGGGGPEAAGSEQSLVRSRARAVPPFVFTRRGSMFYDEDGDLA HEFYEETIVTKNGQKRAKLRRVHKNLIPQGIVKLDPPRIHVDFPVILYEV >ENSMUSP00000141635.1 pep:known chromosome:GRCm38:9:107563370:107564996:1 gene:ENSMUSG00000010054.4 transcript:ENSMUST00000193418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tusc2 description:tumor suppressor candidate 2 [Source:MGI Symbol;Acc:MGI:1931086] MGASGSKARGLWPFASTPGGGGPEAAGSEQSLVRSRARAVPPFVFTRRGSMFYDEDGDLA HEFYEETIVTKNGQKRAKLRRGIVKLDPPRIHVDFPVILYEV >ENSMUSP00000142207.1 pep:known chromosome:GRCm38:9:107567945:107570394:1 gene:ENSMUSG00000010047.12 transcript:ENSMUST00000192887.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal2 description:hyaluronoglucosaminidase 2 [Source:MGI Symbol;Acc:MGI:1196334] MRAGLGPIITLALVLEVAWAGELKPTAPPIFTGRPFVVAWNVPTQECAPRHKVPLDLRAF DVKATPNEGFFNQNITTFYYDR >ENSMUSP00000141280.1 pep:known chromosome:GRCm38:9:107567945:107572779:1 gene:ENSMUSG00000010047.12 transcript:ENSMUST00000195752.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal2 description:hyaluronoglucosaminidase 2 [Source:MGI Symbol;Acc:MGI:1196334] MRAGLGPIITLALVLEVAWAGELKPTAPPIFTGRPFVVAWNVPTQECAPRHKVPLDLRAF DVKATPNEGFFNQNITTFYYDRLGLYPRFDAAGTSVHGGVPQNGSLCAHLPMLKESVERY IQTQEPGGLAVIDWEEWRPVWVRNWQEKDVYRQSSRQLVASRHPDWPSDRVMKQAQYEFE FAARQFMLNTLRYVKAVRPQHLWGFYLFPDCYNHDYVQNWESYTGRCPDVEVARNDQLAW LWAESTALFPSVYLDETLASSVHSRNFVSFRVREALRVAHTHHANHALPVYVFTRPTYTR GLTGLSQVDLISTIGESAALGSAGVIFWGDSEDASSMETCQYLKNYLTQLLVPYIVNVSW ATQYCSWTQCHGHGRCVRRNPSANTFLHLNASSFRLVPGHTPSEPQLRPEGQLSEADLNY LQKHFRCQCYLGWGGEQCQRNYKGAAGNASRAWAGSHLTSLLGLVAVALTWTL >ENSMUSP00000142141.1 pep:known chromosome:GRCm38:9:107569141:107571963:1 gene:ENSMUSG00000010047.12 transcript:ENSMUST00000193747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal2 description:hyaluronoglucosaminidase 2 [Source:MGI Symbol;Acc:MGI:1196334] MRAGLGPIITLALVLEVAWAGELKPTAPPIFTGRPFVVAWNVPTQECAPRHKVPLDLRAF DVKATPNEGFFNQNITTFYYDRLGLYPRFDAAGTSVHGGVPQNGSLCAHLPMLKESVERY IQTQEPGGLAVIDWEEWRPVWVRNWQEKDVYRQSSRQLVASRHPDWPSDRVMKQAQYEFE FAARQFMLNTLRYVKAVRPQHLWGFYLFPDCYNHDYVQNWESYTGRCPDVEVARNDQLAW LWAESTALFPSVYLDETLASSVHSRNFVSFRVREALRVAHTHHANHALPVYVFTRPTYTR GLTGLSQVDLISTIGESAALGSAGVIFWGDSEDASSMVRETHPAFQG >ENSMUSP00000142019.1 pep:known chromosome:GRCm38:9:107569395:107570612:1 gene:ENSMUSG00000010047.12 transcript:ENSMUST00000194794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal2 description:hyaluronoglucosaminidase 2 [Source:MGI Symbol;Acc:MGI:1196334] MRAGLGPIITLALVLEVAWAGELKPTAPPIFTGRPFVVAWNVPTQECAPRHKVPLDLRAF DVKATPNEGFFNQNITTFYYDRLGLYPRFDAAGTSVHGGVPQNGSLCAHLPMLKESVERY IQTQEPGGLAVIDWEEWRPVWVRNWQEKDVYRQS >ENSMUSP00000141820.1 pep:known chromosome:GRCm38:9:107569805:107572772:1 gene:ENSMUSG00000010047.12 transcript:ENSMUST00000195681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal2 description:hyaluronoglucosaminidase 2 [Source:MGI Symbol;Acc:MGI:1196334] MRAGLGPIITLALVLEVAWAGELKPTAPPIFTGRPFVVAWNVPTQECAPRHKVPLDLRAF DVKATPNEGFFNQNITTFYYDRLGLYPRFDAAGTSVHGGVPQNGSLCAHLPMLKESVERY IQTQEPGGLAVIDWEEWRPVWVRNWQEKDVYRQSSRQLVASRHPDWPSDRVMKQAQYEFE FAARQFMLNTLRYVKAVRPQHLWGFYLFPDCYNHDYVQNWESYTGRCPDVEVARNDQLAW LWAESTALFPSVYLDETLASSVHSRNFVSFRVREALRVAHTHHANHALPVYVFTRPTYTR GLTGLSQVRVLPSSGSHCMLVLSGTRSTVPYLF >ENSMUSP00000010191.7 pep:known chromosome:GRCm38:9:107569117:107572776:1 gene:ENSMUSG00000010047.12 transcript:ENSMUST00000010191.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal2 description:hyaluronoglucosaminidase 2 [Source:MGI Symbol;Acc:MGI:1196334] MRAGLGPIITLALVLEVAWAGELKPTAPPIFTGRPFVVAWNVPTQECAPRHKVPLDLRAF DVKATPNEGFFNQNITTFYYDRLGLYPRFDAAGTSVHGGVPQNGSLCAHLPMLKESVERY IQTQEPGGLAVIDWEEWRPVWVRNWQEKDVYRQSSRQLVASRHPDWPSDRVMKQAQYEFE FAARQFMLNTLRYVKAVRPQHLWGFYLFPDCYNHDYVQNWESYTGRCPDVEVARNDQLAW LWAESTALFPSVYLDETLASSVHSRNFVSFRVREALRVAHTHHANHALPVYVFTRPTYTR GLTGLSQVDLISTIGESAALGSAGVIFWGDSEDASSMETCQYLKNYLTQLLVPYIVNVSW ATQYCSWTQCHGHGRCVRRNPSANTFLHLNASSFRLVPGHTPSEPQLRPEGQLSEADLNY LQKHFRCQCYLGWGGEQCQRNYKGAAGNASRAWAGSHLTSLLGLVAVALTWTL >ENSMUSP00000020672.4 pep:known chromosome:GRCm38:11:43596049:43601540:-1 gene:ENSMUSG00000020405.4 transcript:ENSMUST00000020672.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp6 description:fatty acid binding protein 6, ileal (gastrotropin) [Source:MGI Symbol;Acc:MGI:96565] MAFSGKYEFESEKNYDEFMKRLGLPGDVIERGRNFKIITEVQQDGQDFTWSQSYSGGNIM SNKFTIGKECEMQTMGGKKFKATVKMEGGKVVAEFPNYHQTSEVVGDKLVEISTIGDVTY ERVSKRLA >ENSMUSP00000007803.5 pep:known chromosome:GRCm38:2:152780668:152831728:-1 gene:ENSMUSG00000007659.18 transcript:ENSMUST00000007803.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l1 description:BCL2-like 1 [Source:MGI Symbol;Acc:MGI:88139] MSQSNRELVVDFLSYKLSQKGYSWSQFSDVEENRTEAPEETEAERETPSAINGNPSWHLA DSPAVNGATGHSSSLDAREVIPMAAVKQALREAGDEFELRYRRAFSDLTSQLHITPGTAY QSFEQVVNELFRDGVNWGRIVAFFSFGGALCVESVDKEMQVLVSRIASWMATYLNDHLEP WIQENGGWDTFVDLYGNNAAAESRKGQERFNRWFLTGMTVAGVVLLGSLFSRK >ENSMUSP00000134614.1 pep:known chromosome:GRCm38:2:152828127:152830641:-1 gene:ENSMUSG00000007659.18 transcript:ENSMUST00000134902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l1 description:BCL2-like 1 [Source:MGI Symbol;Acc:MGI:88139] MSQSNRELVVDFLSYKLSQKGYSWSQFSDVEENRTEAPEETEAERETPSAINGNPSWHLA DSPAVNGATGHSSSLDAREVIPMAAVKQALREAGDEFELRYRRAFSDLTSQLHITPGTAY QSFEQVVNELFRDGVNWGRIVAFFSFGGALCVESVDKEMQVLVSRIASWMATYLNDHLEP WIQENGGWVRTTPLVCPPLACVSLLCEHP >ENSMUSP00000134596.1 pep:known chromosome:GRCm38:2:152828362:152830310:-1 gene:ENSMUSG00000007659.18 transcript:ENSMUST00000140436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l1 description:BCL2-like 1 [Source:MGI Symbol;Acc:MGI:88139] MSQSNRELVVDFLSYKLSQKGYSWSQFSDVEENRTEAPEETEAERETPSAINGNPSWHLA DSPAVNGATGHSSSLDAREVIPMAAVKQALREAGDEFELRYRRAFSDLTSQLHITPGTAY QSFEQVVNELFRDGVNWGRIVAFFSFGGALCVESVDKEMQVLVSRIASWMATYLNDHLEP WIQENGGWVRTTPLVCPPLACVSLLCEHP >ENSMUSP00000117716.1 pep:known chromosome:GRCm38:2:152829427:152831112:-1 gene:ENSMUSG00000007659.18 transcript:ENSMUST00000128172.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l1 description:BCL2-like 1 [Source:MGI Symbol;Acc:MGI:88139] MSQSNRELVVDFLSYKLSQKGYSWSQFSDVEENRTEAPEETEAERETPSAINGNPSWHLA DSPAVNGATGHSSSLDAREVIPMAAVKQALREAGDEFELRYRRAFSDLTSQLHITPGTAY QSFEQVVNELFRDGVNWGRIVAFFSFGGALCVESVDKEMQVLVSRIASWMATYLNDHLEP WIQENGGW >ENSMUSP00000120024.1 pep:known chromosome:GRCm38:2:152829529:152830652:-1 gene:ENSMUSG00000007659.18 transcript:ENSMUST00000134357.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l1 description:BCL2-like 1 [Source:MGI Symbol;Acc:MGI:88139] MSQSNRELVVDFLSYKLSQKGYSWSQFSDVEENRTEAPEETEAERETPSAINGNPSWHLA DSPAVNGATGHSSSLDAREVIPMAAVKQALREAGDEFELRYRRAFSDLTSQLHITPGTAY QSFEQVVNELFRDGVNWGRIVAFFSFGGALCVES >ENSMUSP00000114911.1 pep:known chromosome:GRCm38:2:152829701:152830618:-1 gene:ENSMUSG00000007659.18 transcript:ENSMUST00000146380.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l1 description:BCL2-like 1 [Source:MGI Symbol;Acc:MGI:88139] MSQSNRELVVDFLSYKLSQKGYSWSQFSDVEENRTEAPEETEAERETPSAINGNPSWHLA DSPAVNGATGHSSSLDAREVIPMAAVKQALREAGDE >ENSMUSP00000115611.1 pep:known chromosome:GRCm38:2:152829757:152831710:-1 gene:ENSMUSG00000007659.18 transcript:ENSMUST00000156688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l1 description:BCL2-like 1 [Source:MGI Symbol;Acc:MGI:88139] MSQSNRELVVDFLSYKLSQKGYSWSQFSDVEENRTEAPEETEAERETPSAINGNPSWHLA DSPAVNGATGHSSSLDAR >ENSMUSP00000105445.3 pep:known chromosome:GRCm38:2:152780672:152830666:-1 gene:ENSMUSG00000007659.18 transcript:ENSMUST00000109820.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l1 description:BCL2-like 1 [Source:MGI Symbol;Acc:MGI:88139] MSQSNRELVVDFLSYKLSQKGYSWSQFSDVEENRTEAPEETEAERETPSAINGNPSWHLA DSPAVNGATGHSSSLDAREVIPMAAVKQALREAGDEFELRYRRAFSDLTSQLHITPGTAY QSFEQVVNELFRDGVNWGRIVAFFSFGGALCVESVDKEMQVLVSRIASWMATYLNDHLEP WIQENGGWDTFVDLYGNNAAAESRKGQERFNRWFLTGMTVAGVVLLGSLFSRK >ENSMUSP00000075018.6 pep:known chromosome:GRCm38:7:143634808:143649661:-1 gene:ENSMUSG00000010751.15 transcript:ENSMUST00000075588.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf22 description:tumor necrosis factor receptor superfamily, member 22 [Source:MGI Symbol;Acc:MGI:1930270] MFGFFCSLVSSLSRWFLWRRLLLLLLLLLLNLPLQVKFAMLELHSFKCPAGEYWSKDVCC KNCSAGTFVKAPCEIPHTQGQCEKCHPGTFTEKDNYLDACILCSTCDKDQEMVADCSATS DRKCQCRTGLYYYDPKFPESCRPCTKCPQGIPVLQECNSTANTVCSSSVSNPRNRLFLLL SPLSVLIVSVVVFRIIRR >ENSMUSP00000119297.1 pep:known chromosome:GRCm38:7:143636725:143649661:-1 gene:ENSMUSG00000010751.15 transcript:ENSMUST00000146692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf22 description:tumor necrosis factor receptor superfamily, member 22 [Source:MGI Symbol;Acc:MGI:1930270] MFGFFCSLVSSLSRWFLWRRLLLLLLLLLLNLPLQVKFAMLELHSFKCPAGEYWSKDVCC KNCSAGTFVKAPCEIPHTQGQCEKCHPGTFTEKDNYLDACILCSTCDKDQEMVADCSATS DRKCQCRTGLYYYDPKFPESCRPCTKCPQGIPVLQECNSTANTVCSSSVSRRSASVAWPI >ENSMUSP00000081432.3 pep:known chromosome:GRCm38:7:143639258:143649652:-1 gene:ENSMUSG00000010751.15 transcript:ENSMUST00000084396.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf22 description:tumor necrosis factor receptor superfamily, member 22 [Source:MGI Symbol;Acc:MGI:1930270] MFGFFCSLVSSLSRWFLWRRLLLLLLLLLLNLPLQVKFAMLELHSFKCPAGEYWSKDVCC KNCSAGTFVKAPCEIPHTQGQCEKCHPGTFTEKDNYLDACILCSTCDKDQEMVADCSATS DRKCQCRTGLYYYDPKFPESCRPCTKCPQGIPVLQECNSTANTVCSSSVSRRSASVAWPI >ENSMUSP00000126384.1 pep:known chromosome:GRCm38:7:143634806:143636585:-1 gene:ENSMUSG00000010751.15 transcript:ENSMUST00000171066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf22 description:tumor necrosis factor receptor superfamily, member 22 [Source:MGI Symbol;Acc:MGI:1930270] MVLQFVCKKKWPDVRLFTDSWAVANGLAGWSGTWKDHNWKIGEKDIWGRSMWIDLSKWAK DVKIFVSHVNAHQKVTSAEEEFNNQVDTMTPSVDSQPLSPAIPVIAQWAHEQSGHGGRDG GYAWAQQHGLPLTKSDLATAAADCQICQQPKPTLSPRYGIIPRGDQPATWWQVDYIGPLP SWKGQRFVLTGVDTLG >ENSMUSP00000042431.7 pep:known chromosome:GRCm38:7:143665809:143685872:-1 gene:ENSMUSG00000037613.16 transcript:ENSMUST00000035742.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnfrsf23 description:tumor necrosis factor receptor superfamily, member 23 [Source:MGI Symbol;Acc:MGI:1930269] MVTFSHVSSLSHWFLLLLLLNLFLPVIFAMPESYSFNCPDGEYQSNDVCCKTCPSGTFVK APCKIPHTQGQCEKCHPGTFTGKDNGLHDCELCSTCDKGDSGVCVHM >ENSMUSP00000116742.1 pep:known chromosome:GRCm38:7:143667614:143685872:-1 gene:ENSMUSG00000037613.16 transcript:ENSMUST00000152703.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf23 description:tumor necrosis factor receptor superfamily, member 23 [Source:MGI Symbol;Acc:MGI:1930269] MVTFSHVSSLSHWFLLLLLLNLFLPVIFAMPESYSFNCPDGEYQSNDVCCKTCPSGTFVK APCKIPHTQGQCEKCHPGTFTGKDNGLHDCELCSTCDKDQNMVADCSATSDRKCECQIGL YYYDPKFPESCRPCTKCPQGIPVLQECNSTANTVCSSSVSNPRNWLFLLMLIVFCI >ENSMUSP00000146956.1 pep:known chromosome:GRCm38:7:143668433:143685871:-1 gene:ENSMUSG00000037613.16 transcript:ENSMUST00000208017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf23 description:tumor necrosis factor receptor superfamily, member 23 [Source:MGI Symbol;Acc:MGI:1930269] MVTFSHVSSLSHWFLLLLLLNLFLPVIFAMPESYSFNCPDGEYQSNDVCCKTCPSGTFVK APCKIPHTQGQCEKCHPGTFTGKDNGLHDCELCSTCDKGVPKESLSSRNATPQLTLCAVH LFQIPETGCSY >ENSMUSP00000091301.2 pep:known chromosome:GRCm38:9:107551555:107562267:1 gene:ENSMUSG00000010067.13 transcript:ENSMUST00000093786.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf1 description:Ras association (RalGDS/AF-6) domain family member 1 [Source:MGI Symbol;Acc:MGI:1928386] MSAEPELIELRELAPSGRIGPGRTRLERANALRIAPGTTRNPSQQHVPGRGHRFQPAGPT THTWCDLCGDFIWGVVRKGLQCAHCKFTCHYRCRALVCLDCCGPRDLGWDSALERDTNVD EAVERETPDLSQAETEQKIKDYNGQINSNLFMSLNKDGSYTGFIKVQLKLVRPVSVPSSK KPPSLQDARRGTGRSTAVKRRTSFYLPKDAIKHLHVLSRTRAREVIEALLRKFMVVDDPR KFALFERTERHGQVYLRKLSDDEQPLKLRLLAGPSEKALSFVLKENDSGEVNWDAFSMPE LHNFLRILQREEEEHLRQILQKYSRCRQKIQEALHACPLG >ENSMUSP00000113252.1 pep:known chromosome:GRCm38:9:107551567:107562263:1 gene:ENSMUSG00000010067.13 transcript:ENSMUST00000122225.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf1 description:Ras association (RalGDS/AF-6) domain family member 1 [Source:MGI Symbol;Acc:MGI:1928386] MSAEPELIELRELAPSGRIGPGRTRLERANALRIAPGTTRNPSQQHVPGRGHRFQPAGPT THTWCDLCGDFIWGVVRKGLQCAPLSADCKFTCHYRCRALVCLDCCGPRDLGWDSALERD TNVDEAVERETPDLSQAETEQKIKDYNGQINSNLFMSLNKDGSYTGFIKVQLKLVRPVSV PSSKKPPSLQDARRGTGRSTAVKRRTSFYLPKDAIKHLHVLSRTRAREVIEALLRKFMVV DDPRKFALFERTERHGQVYLRKLSDDEQPLKLRLLAGPSEKALSFVLKENDSGEVNWDAF SMPELHNFLRILQREEEEHLRQILQKYSRCRQKIQEALHACPLG >ENSMUSP00000117722.1 pep:known chromosome:GRCm38:9:107551590:107562263:1 gene:ENSMUSG00000010067.13 transcript:ENSMUST00000156198.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rassf1 description:Ras association (RalGDS/AF-6) domain family member 1 [Source:MGI Symbol;Acc:MGI:1928386] MSAEPELIELRELAPSGRIGPGRTRLERANALRIAPGTTRNPSQQHVPGRGHRFQPAGPT THTWCDLCGDFIWGVVRKGLQCAR >ENSMUSP00000010211.4 pep:known chromosome:GRCm38:9:107554583:107562263:1 gene:ENSMUSG00000010067.13 transcript:ENSMUST00000010211.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf1 description:Ras association (RalGDS/AF-6) domain family member 1 [Source:MGI Symbol;Acc:MGI:1928386] MGEAETPSFEMTWSSTTSSGYCSQEDSDSELEQYFTARTSLVRRPRRDQDEAVERETPDL SQAETEQKIKDYNGQINSNLFMSLNKDGSYTGFIKVQLKLVRPVSVPSSKKPPSLQDARR GTGRSTAVKRRTSFYLPKDAIKHLHVLSRTRAREVIEALLRKFMVVDDPRKFALFERTER HGQVYLRKLSDDEQPLKLRLLAGPSEKALSFVLKENDSGEVNWDAFSMPELHNFLRILQR EEEEHLRQILQKYSRCRQKIQEALHACPLG >ENSMUSP00000134280.1 pep:known chromosome:GRCm38:1:172261641:172319841:1 gene:ENSMUSG00000038034.15 transcript:ENSMUST00000139528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf8 description:immunoglobulin superfamily, member 8 [Source:MGI Symbol;Acc:MGI:2154090] MYRPEAPATSLGIVSTKDSQFSYAVFGPRVASGDLQVQRLKGDSVVLKIARLQAQDSGFY ECYTPSTDTQYLGNYSAKVELRVLPDELQVSAAPPGPRGRQAATSPSRLTVHEGQELALG CLAQTKTKKHTHLSVSFGRAIPEAPVGRATLQEVVGLHSDMAVEAGAPYAERLASGELRL SKEGTDRYRMVVGGAQAGDSGTYHCTAAEWIQDPDGSWVQVAEKRAVLAHVDVQTLSSQL AVTVGPGERRIGPGEPLELLCNVSGALPPPGRHAAYSVGWEMAPAGAPGPGRLVAQLDTE GIGSLGPGYEDRHIAMEKVASRTYRLRLEAARPADAGTYRCLAKAYVRGSGTRLREAASA RSRPLPVHVREEGVVLEAVAWLAGGTVYRGETASLLCNISVRGGPPGLRLAASWWVERPE EGELSTGPAQLVGGVGQDGVAELGVRPGGGPVSVELVGPRSHRLRLHGLGPEDEGIYHCA PSAWVQHADYSWYQAGSARSGPVTVYPYTHAVDTLFVPLLVGTGVALVTGASVLATITCC FMKRMRKR >ENSMUSP00000083076.3 pep:known chromosome:GRCm38:1:172311771:172319841:1 gene:ENSMUSG00000038034.15 transcript:ENSMUST00000085912.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf8 description:immunoglobulin superfamily, member 8 [Source:MGI Symbol;Acc:MGI:2154090] MGVPSPTPLSSLLLLLLILGTRCYARQVHVPRGPLYRVAGTAVSISCNVSDYEGPAQQDF EWFMYRPEAPATSLGIVSTKDSQFSYAVFGPRVASGDLQVQRLKGDSVVLKIARLQAQDS GFYECYTPSTDTQYLGNYSAKVELRVLPDELQVSAAPPGPRGRQAATSPSRLTVHEGQEL ALGCLAQTKTKKHTHLSVSFGRAIPEAPVGRATLQEVVGLHSDMAVEAGAPYAERLASGE LRLSKEGTDRYRMVVGGAQAGDSGTYHCTAAEWIQDPDGSWVQVAEKRAVLAHVDVQTLS SQLAVTVGPGERRIGPGEPLELLCNVSGALPPPGRHAAYSVGWEMAPAGAPGPGRLVAQL DTEGIGSLGPGYEDRHIAMEKVASRTYRLRLEAARPADAGTYRCLAKAYVRGSGTRLREA ASARSRPLPVHVREEGVVLEAVAWLAGGTVYRGETASLLCNISVRGGPPGLRLAASWWVE RPEEGELSTGPAQLVGGVGQDGVAELGVRPGGGPVSVELVGPRSHRLRLHGLGPEDEGIY HCAPSAWVQHADYSWYQAGSARSGPVTVYPYTHAVDTLFVPLLVGTGVALVTGASVLATI TCCFMKRMRKR >ENSMUSP00000122611.1 pep:known chromosome:GRCm38:1:172311938:172317365:1 gene:ENSMUSG00000038034.15 transcript:ENSMUST00000128508.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf8 description:immunoglobulin superfamily, member 8 [Source:MGI Symbol;Acc:MGI:2154090] MGVPSPTPLSSLLLLLLILGTRCYARQVHVPRGPLYRVAGTAVSISCNVSDYEGPAQQDF EWFMYRPEAPATSLGIVSTKDSQFSYAVFGPRVASGDLQVQRLKGDSVVLKIARLQAQDS GFYECYTPSTDTQYLGNYSAKVELRVLPD >ENSMUSP00000041232.9 pep:known chromosome:GRCm38:1:172312367:172319841:1 gene:ENSMUSG00000038034.15 transcript:ENSMUST00000039506.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf8 description:immunoglobulin superfamily, member 8 [Source:MGI Symbol;Acc:MGI:2154090] MGVPSPTPLSSLLLLLLILGTRCYARQVHVPRGPLYRVAGTAVSISCNVSDYEGPAQQDF EWFMYRPEAPATSLGIVSTKDSQFSYAVFGPRVASGDLQVQRLKGDSVVLKIARLQAQDS GFYECYTPSTDTQYLGNYSAKVELRVLPDELQVSAAPPGPRGRQAATSPSRLTVHEGQEL ALGCLAQTKTKKHTHLSVSFGRAIPEAPVGRATLQEVVGLHSDMAVEAGAPYAERLASGE LRLSKEGTDRYRMVVGGAQAGDSGTYHCTAAEWIQDPDGSWVQVAEKRAVLAHVDVQTLS SQLAVTVGPGERRIGPGEPLELLCNVSGALPPPGRHAAYSVGWEMAPAGAPGPGRLVAQL DTEGIGSLGPGYEDRHIAMEKVASRTYRLRLEAARPADAGTYRCLAKAYVRGSGTRLREA ASARSRPLPVHVREEGVVLEAVAWLAGGTVYRGETASLLCNISVRGGPPGLRLAASWWVE RPEEGELSTGPAQLVGGVGQDGVAELGVRPGGGPVSVELVGPRSHRLRLHGLGPEDEGIY HCAPSAWVQHADYSWYQAGSARSGPVTVYPYTHAVDTLFVPLLVGTGVALVTGASVLATI TCCFMKRMRKR >ENSMUSP00000141588.1 pep:known chromosome:GRCm38:1:172318310:172319835:1 gene:ENSMUSG00000038034.15 transcript:ENSMUST00000194505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf8 description:immunoglobulin superfamily, member 8 [Source:MGI Symbol;Acc:MGI:2154090] XEAARPADAGTYRCLAKAYVRGSGTRLREAASARSRPLPVHVREEGVVLEAVAWLAGGTV YRGETASLLCNISVRGGPPGLRLAASWWVERPEEGELSTGPAQLVGGVGQDGVAELGVRP GGGPVSVELVGPRSHRLRLHGLGPEDEGIYHCAPSAWVQHADYSWYQAGSARSGPVTVYP YTHGVALVTGASVLATITCCFMKRMRKR >ENSMUSP00000141313.1 pep:known chromosome:GRCm38:1:172318760:172319720:1 gene:ENSMUSG00000038034.15 transcript:ENSMUST00000195659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf8 description:immunoglobulin superfamily, member 8 [Source:MGI Symbol;Acc:MGI:2154090] ELGVRPGGGPVSVELVGPRSHRLRLHGLGPEDEGIYHCAPSAWVQHADYSWYQAGSARSG PVTVYPYTHAVDTLFVPLLVGTGVALVTGASVLATITCCFMKRMRKR >ENSMUSP00000135639.1 pep:known chromosome:GRCm38:18:60813755:60848929:-1 gene:ENSMUSG00000024613.16 transcript:ENSMUST00000175934.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcof1 description:Treacher Collins Franceschetti syndrome 1, homolog [Source:MGI Symbol;Acc:MGI:892003] MAEARKRRELLPLIYHHLLQAGYVRAAREVKEQSGQKSFLTQPVTLLDIYTHWQQTSELG QKQKAEDDETLQAKKSRVSDPVSSSESSDQEKEEEAATERAKATPRPTPVNSATAALPSK VKEKGKTKTANKTVNSVSHPGSGKTVVHLLSGKSPKKSAEPLANTVLASETEEEGNAQAL GPTAKSGTVSAGQGSSSSEDSSISSDETDVEVKSPAKPAQAKASAAPAKDPPARTAPGPT KLGNVAPTPAKPARAAAAAAAAAVAAAAAAAAEESESSEEDSDSEDEAPAGLPSQVKASG KGPHVRADSVSAKGISGKGPILATPGKTGPAATQAKAERPEKDSETSSEDDSDSEDEMPV TVNTPQARTSGKSPRARGTSAPAKESSQKGAPAVTPGKARPVAAQAGKPEAKSSEESESD SGETPAAATLTTSPAKVKPLGKSSQVRPVSTVTPGSSGKGANLPCPGKVGSAALRVQMVK KEDVSESSSAELDSDGPGSPAKAKASLALPQKVRPVATQVKTDRGKGHSGSSEESSDSEE EAAPAASAAQAKPALEKQMKASSRKGTPASATGASTSSHCKAGAVTSSASLSSPALAKGT QRSDVDSSSESESEGAAPSTPRVQGKSGGKGLQGKAALGQGVAPVHTQKTGPSVKAMAQE DSESLEEDSSSEEEDETPAQATPLGRLPQAKANPPPTKTPPASASGKAVAAPTKGKPPVP NSTVSARGQRSVPAAGKAGAPATQAQKGPVAGTGEDSESSSKEESDSEEETPAQIKPVGK TSQVRAASAPAKESPKKGAHPGTPGKTGSSATQAQPGKTEDSDSSSEESDSDTEMPSAQD AISQPARGKASGPASPEKSIEGSSESSDEDLPSGQAIKSPPVSVNRNSSPAVPAPTPEGV QAVNTTKKASGTTAQSSSSESEDGDEDLIPATQPSTYALRTSVTTPAALSRAASQPSKSE QSSRMPKGKKAKAAASAQTSSAVETLPMMPPQSAPIQPKATNKLGKSKLPEKQQLAPGYP KAPRSSEDSSDTSSEDEEDAKRPQMPKSAHRLDPDPSQKETVVEETPTESSEDEMVAPSQ SLLSGYMTPGLTVANSQASKATPRPDSNSLASSAPATKDNPDGKQKSKSQHAADTALPKT GRKEASSGSTPQKPKKLKKSTSSSPAPTQTLPNSITQRLLEQAWPLSEAQVQASVVKVLT ELLEQERLKATEAIKESGKKSQKRKLSGDLEAGAPKNKKKKEQPVPRASAVSPEKAPMTS KAKSKLDKGSAGGKGKGSPGPQGAKEKPDGELLGIKLESGEQSDPKSKSKKKKSLKKKKD KEKKEKKKGKKSLAKDSASPIQKKKKKKKKSAEPAV >ENSMUSP00000135476.1 pep:known chromosome:GRCm38:18:60813755:60848971:-1 gene:ENSMUSG00000024613.16 transcript:ENSMUST00000176630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcof1 description:Treacher Collins Franceschetti syndrome 1, homolog [Source:MGI Symbol;Acc:MGI:892003] MAEARKRRELLPLIYHHLLQAGYVRAAREVKEQSGQKSFLTQPVTLLDIYTHWQQTSELG QKQKAEDDETLQAKKSRVSDPVSSSESSDQEKEEEAATERAKATPRPTPVNSATAALPSK VKEKGKTKTANKTVNSVSHPGSGKTVVHLLSGKSPKKSAEPLANTVLASETEEEGNAQAL GPTAKSGTVSAGQGSSSSEDSSISSDETDVEVKSPAKPAQAKASAAPAKDPPARTAPGPT KLGNVAPTPAKPARAAAAAAAAAVAAAAAAAAEESESSEEDSDSEDEAPAGLPSQVKASG KGPHVRADSVSAKGISGKGPILATPGKTGPAATQAKAERPEKDSETSSEDDSDSEDEMPV TVNTPQARTSGKSPRARGTSAPAKESSQKGAPAVTPGKARPVAAQAGKPEAKSSEESESD SGETPAAATLTTSPAKVKPLGKSSQVRPVSTVTPGSSGKGANLPCPGKVGSAALRVQMVK KEDVSESSSAELDSDGPGSPAKAKASLALPQKVRPVATQVKTDRGKGHSGSSEESSDSEE EAAPAASAAQAKPALEKQMKASSRKGTPASATGASTSSHCKAGAVTSSASLSSPALAKGT QRSDVDSSSESESEGAAPSTPRVQGKSGGKGLQGKAALGQGVAPVHTQKTGPSVKAMAQE DSESLEEDSSSEEEDETPAQATPLGRLPQAKANPPPTKTPPASASGKAVAAPTKGKPPVP NSTVSARGQRSVPAAGKAGAPATQAQKGPVAGTGEDSESSSKEESDSEEETPAQIKPVGK TSQVRAASAPAKESPKKGAHPGTPGKTGSSATQAQPGKTEDSDSSSEESDSDTEMPSAQA IKSPPVSVNRNSSPAVPAPTPEGVQAVNTTKKASGTTAQSSSSESEDGDEDLIPATQPST YALRTSVTTPAALSRAASQPSKSEQSSRMPKGKKAKAAASAQTSSAVETLPMMPPQSAPI QPKATNKLGKSKLPEKQQLAPGYPKAPRSSEDSSDTSSEDEEDAKRPQMPKSAHRLDPDP SQKETVVEETPTESSEDEMVAPSQSLLSGYMTPGLTVANSQASKATPRPDSNSLASSAPA TKDNPDGKQKSKSQHAADTALPKTGRKEASSGSTPQKPKKLKKSTSSSPAPTQTLPNSIT QRLLEQAWPLSEAQVQASVVKVLTELLEQERLKATEAIKESGKKSQKRKLSGDLEAGAPK NKKKKEQPVPRASAVSPEKAPMTSKAKSKLDKGSAGGKGKGSPGPQGAKEKPDGELLGIK LESGEQSDPKSKSKKKKSLKKKKDKEKKEKKKGKKSLAKDSASPIQKKKKKKKKSAEPAV >ENSMUSP00000130454.2 pep:known chromosome:GRCm38:18:60815997:60848959:-1 gene:ENSMUSG00000024613.16 transcript:ENSMUST00000163446.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tcof1 description:Treacher Collins Franceschetti syndrome 1, homolog [Source:MGI Symbol;Acc:MGI:892003] MAEARKRRELLPLIYHHLLQAGYVRAAREVKEQSGQKSFLTQPVTLLDIYTHWQQTSELG QKQKAEDDETLQAKKSRVSDPVSSSESSDQEKEEEAATERAKATPRPTPVNSATAALPSK VKEKGKTKTANKTVNSVSHPGSGKTVVHLLSGKSPKKSAEPLANTVLASETEEEGNAQAL GPTAKSGTVSAGQGSSSSEDSSISSDETDVEVKSPAKPAQAKASAAPAKDPPARTAPGPT KLGNVAPTPAKPARAAAAAAAAAVAAAAAAAAEESESSEEDSDSEDEAPAGLPSQVKASG KGPHVRADSVSAKGISGKGPILATPGKTGPAATQAKAERPEKDSETSSEDDSDSEDEMPV TVNTPQARTSGKSPRARGTSAPAKESSQKGAPAVTPGKARPVAAQAGKPEAKSSEESESD SGETPAAATLTTSPAKVKPLGKSSQVRPVSTVTPGSSGKGANLPCPGKVGSAALRVQMVK KEDVSESSSAELDSDGPGSPAKAKASLALPQKVRPVATQVKTDRGKGHSGSSEESSDSEE EAAPAASAAQAKPALEKQMKASSRKGTPASATGASTSSHCKAGAVTSSASLSSPALAKGT QRSDVDSSSESESEGAAPSTPRVQGKSGGKGLQGKAALGQGVAPVHTQKTGPSVKAMAQE DSESLEEDSSSEEEDETPAQATPLGRLPQAKANPPPTKTPPASASGKAVAAPTKGKPPVP NSTVSARGQRSVPAAGKAGAPATQAQKGPVAGTGEDSESSSKEESDSEEETPAQIKPVGK TSQVRAASAPAKESPKKGAHPGTPGKTGSSATQAQPGKTEDSDSSSEESDSDTEMPSAQD AISQPARGKASGPASPEKSIEGSSESSDEDLPSGQAIKSPPVSVNRNSSPAVPAPTPEGV QAVNTTKKASGTTAQSSSSESEDGDEDLIPATQPSTYALRTSVTTPAALSRAASQPSKSE QSSRMPKGKKAKAAASAQVLSDQQCRGNTPHDASPERTHPAQSHQQAREIQAP >ENSMUSP00000134755.1 pep:known chromosome:GRCm38:18:60818030:60848918:-1 gene:ENSMUSG00000024613.16 transcript:ENSMUST00000177172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcof1 description:Treacher Collins Franceschetti syndrome 1, homolog [Source:MGI Symbol;Acc:MGI:892003] MAEARKRRELLPLIYHHLLQAGYVRAAREVKEQSGQKSFLTQPVTLLDIYTHWQQTSELG QKQKAEDDETLQAKKSRVSDPVSSSESSDQEKEEEAATERAKATPRPTPVNSATAALPSK VKEKGKTKTANKTVNSVSHPGSGKTVVHLLSGKSPKKSAEPLANTVLASETEEEGNAQAL GPTAKSGTVSAGQGSSSSEDSSISSDETDVEVKSPAKPAQAKASAAPAKDPPARTAPGPT KLGNVAPTPAKPARAAAAAAAAAVAAAAAAAAEESESSEEDSDSEDEAPAGLPSQVKASG KGPHVRADSVSAKGISGKGPILATPGKTGPAATQAKAERPEKDSETSSEDDSDSEDEMPV TVNTPQARTSGKSPRARGTSAPAKESSQKGAPAVTPGKARPVAAQAGKPEAKSSEESESD SGETPAAATLTTSPAKVKPLGKSSQVRPVSTVTPGSSGKGANLPCPGKVGSAALRVQMVK KEDVSESSSAELDSDGPGSPAKAKPALEKQMKASSRKGTPASATGASTSSHCKAGAVTSS ASLSSPALAKGTQRSDVDSSSESESEGAAPSTPRVQGKSGGKGLQGKAALGQGVAPVHTQ KTGPSVKAMAQEDSESLEEDSSSEEEDETPAQATPLGRLPQAKANPPPTKTPPASASGKA VAAPTKGKPPVPNSTVSARGQRSVPAAGKAGAPATQAQKGPVAGTGEDSESSSKEESDSE EETPAQIKPVGKTSQVRAASAPAKESPKKGAHPGTPGKTGSSATQAQPGKTEDSDSSSEE SDSDTEMPSAQAIKSPPVSVNRNSSPAVPAPTPEGVQAVNTTKKASGTTAQSSSSESEDG DEDLIPATQPSTYALRTSVTTPAALSRAASQPSKSEQSSRMPKGKKAKAAASAQTSSAVE TLPMMPPQSAPIQPKATNKLGKSKLPEKQQLAPGYPKAPRSSEDSSDTSSEDEEDAKRPQ MPKSAHRLDPDPSQKETVVEETPTESSEDEMVAPSQSLLSGYMTPGLTVANSQASKATPR PDSNSLASSAPATKDNPDGKQKSKSQHAADTALPKT >ENSMUSP00000135295.1 pep:known chromosome:GRCm38:18:60838683:60848446:-1 gene:ENSMUSG00000024613.16 transcript:ENSMUST00000177343.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcof1 description:Treacher Collins Franceschetti syndrome 1, homolog [Source:MGI Symbol;Acc:MGI:892003] MQGVQAGPWLSSVMTRLEPVKSFLTQPVTLLDIYTHWQQTSELGQKQKAEDDETLQAKKS RVSDPVSSSESSDQEKEEEAATERAKATPRPTPVNSATAALPSKVKEKGKTKTANKTVNS VSHPGSGKTVVHLLSGKSPKKSAEPLANTVLASETEEEGNAQALGPTA >ENSMUSP00000122591.1 pep:known chromosome:GRCm38:11:103132429:103138498:1 gene:ENSMUSG00000043372.12 transcript:ENSMUST00000150275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexim2 description:hexamethylene bis-acetamide inducible 2 [Source:MGI Symbol;Acc:MGI:1918309] MATVNHTNCNTASPAALEEAKTSGGLRSPQIAHEPHDFGGSQLLPSGQEIQSEDEGTVPA GDGSSCNIRGSRTQSPGGCSVEAVLARKKHRRRPSKRKRHWRPYLELSWAEKQQRDERQS QRASR >ENSMUSP00000102652.1 pep:known chromosome:GRCm38:11:103133314:103139191:1 gene:ENSMUSG00000043372.12 transcript:ENSMUST00000107037.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexim2 description:hexamethylene bis-acetamide inducible 2 [Source:MGI Symbol;Acc:MGI:1918309] MATVNHTNCNTASPAALEEAKTSGGLRSPQIAHEPHDFGGSQLLPSGQEIQSEDEGTVPA GDGSSCNIRGSRTQSPGGCSVEAVLARKKHRRRPSKRKRHWRPYLELSWAEKQQRDERQS QRASRVREEMFAKGQPLAPYNTTQFLMNDRDLEEPNLDVLHGPSHSGSGGENEAGDSDGQ GRAHGEFQQRDFSEAYERYHTESLQGRSKQELVRDYLDLERRLSQAEQETRRLRQLQGCS SRQPCQQVEELAAEVERLRTENQRLRQENEMWNREGGYCDQEKPASEGTPWPKVEAPFQT HTGQLGHREAGDR >ENSMUSP00000116991.1 pep:known chromosome:GRCm38:11:103133339:103138646:1 gene:ENSMUSG00000043372.12 transcript:ENSMUST00000124928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexim2 description:hexamethylene bis-acetamide inducible 2 [Source:MGI Symbol;Acc:MGI:1918309] MATVNHTNCNTASPAALEEAKTSGGLRSPQIAHEPHDFGGSQLLPSGQEIQSEDEGTVPA GDGSSCNIRGSRTQSPGGCSVEAVLARKKHRRRPSKRKRHWRPYLELSWAEKQQRDERQS QRASRVREEMFAKGQPLAPYNTTQFLMNDRDLEEPNLDVLHGPSHSGSGGENEA >ENSMUSP00000053678.4 pep:known chromosome:GRCm38:11:103133345:103139876:1 gene:ENSMUSG00000043372.12 transcript:ENSMUST00000062530.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexim2 description:hexamethylene bis-acetamide inducible 2 [Source:MGI Symbol;Acc:MGI:1918309] MATVNHTNCNTASPAALEEAKTSGGLRSPQIAHEPHDFGGSQLLPSGQEIQSEDEGTVPA GDGSSCNIRGSRTQSPGGCSVEAVLARKKHRRRPSKRKRHWRPYLELSWAEKQQRDERQS QRASRVREEMFAKGQPLAPYNTTQFLMNDRDLEEPNLDVLHGPSHSGSGGENEAGDSDGQ GRAHGEFQQRDFSEAYERYHTESLQGRSKQELVRDYLDLERRLSQAEQETRRLRQLQGCS SRQPCQQVEELAAEVERLRTENQRLRQENEMWNREGGYCDQEKPASEGTPWPKVEAPFQT HTGQLGHREAGDR >ENSMUSP00000114405.1 pep:known chromosome:GRCm38:11:103133865:103138279:1 gene:ENSMUSG00000043372.12 transcript:ENSMUST00000130341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexim2 description:hexamethylene bis-acetamide inducible 2 [Source:MGI Symbol;Acc:MGI:1918309] MATVNHTNCNTASPAALEEAKTSGGLRSPQIAHEPHDFGGSQLLPSGQEIQS >ENSMUSP00000107190.1 pep:known chromosome:GRCm38:5:123577795:123684322:-1 gene:ENSMUSG00000049550.17 transcript:ENSMUST00000111564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip1 description:CAP-GLY domain containing linker protein 1 [Source:MGI Symbol;Acc:MGI:1928401] MSMLKPSGLKAPTKILKPGSTALKTPAAAAAPVEKTIPSEKASGPPSSETQEEFVDDFRV GERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCEPLKGIFTR PSKLTRKVQAEDEANGLQAAPGRTASPLSTAAATMVSSSPATPSNIPHKPSQSTAKEPSA TPQISNLTKTASESISNLSEAGSVKKGERELKVGDRVLVGGTKAGVVRFLGETDFAKGEW CGVELDEPLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKAAAVRRVMA ATPASLKRSPSASSLSSMSSVASSVSSKPSRTGLLTETSSRYARKISGTTALQEALKEKQ QHIEQLLAERDLERAEVAKATSHVGEIEQELALARDGHDQHVLELEAKMDQLRTMVEAAD REKVELLNQLEEEKRKVEDLQFRVEEESITKGDLEVATVSEKSRIMELEKDLALRAQEVA ELRRRLESSKPPGDVDMSLSLLQEISALQEKLEAIHTDHQGEMTSLKEHFGAREEAFQKE IKALHTATEKLSKENESLRSKLDHANKENSDVIALWKSKLETAIASHQQAMEELKVSFSK GIGTDSAEFAELKTQIERLRLDYQHEIESLQSKQDSERSAHAKEMETMQAKLMKIIKEKE DSLEAVKARLDSAEDQHLVEMEDTLNKLQEAEIKANSITKELQEKELVLTGLQDSLNQVN QVKETLEKELQTLKEKFASTSEEAVSAQTRMQDTVNKLHQKEEQFNVLSSELEKLRENLT DMEAKFKEKDDREDQLVKAKEKLENDIAEIMKMSGDNSSQLTKMNDELRLKERSVEELQL KLTKANENASFLQKSIGEVTLKAEQSQQQAARKHEEEKKELEEKLLELEKKMETSYNQCQ DLKAKYEKASSETKTKHEEILQNLQKMLADTEDKLKAAQEANRDLMQDMEELKTQADKAK AAQTAEDAMQIMEQMTKEKTETLASLEDTKQTNARLQNELDTLKENNLKTVEELNKSKEL LSVENQKMEEFKKEIETLKQAAAQKSQQLSALQEENVKLAEELGRTRDEVTSHQKLEEER SVLNNQLLEMKKRESEFRKDADEEKASLQKSISLTSALLTEKDAELEKLRNEVTVLRGEN ATAKSLHSVVQTLESDKVKLELKVKNLELQLKENKRQLSSSSGNTDAQAEEDERAQESQI DFLNSVIVDLQRKNQDLKMKVEMMSEAALNGNGEDLNSYDSDDQEKQSKKKPRLFCDICD CFDLHDTEDCPTQAQMSEDPPHSTHHGSRSEERPYCEICEMFGHWATNCNDDETF >ENSMUSP00000107192.2 pep:known chromosome:GRCm38:5:123577795:123684618:-1 gene:ENSMUSG00000049550.17 transcript:ENSMUST00000111566.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip1 description:CAP-GLY domain containing linker protein 1 [Source:MGI Symbol;Acc:MGI:1928401] MSMLKPSGLKAPTKILKPGSTALKTPAAAAAPVEKTIPSEKASGPPSSETQEEFVDDFRV GERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCEPLKGIFTR PSKLTRKVQAEDEANGLQAAPGRTASPLSTAAATMVSSSPATPSNIPHKPSQSTAKEPSA TPQISNLTKTASESISNLSEAGSVKKGERELKVGDRVLVGGTKAGVVRFLGETDFAKGEW CGVELDEPLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKAAAVRRVMA ATPASLKRSPSASSLSSMSSVASSVSSKPSRTGLLTETSSRYARKISGTTALQEALKEKQ QHIEQLLAERDLERAEVAKATSHVGEIEQELALARDGHDQHVLELEAKMDQLRTMVEAAD REKVELLNQLEEEKRKVEDLQFRVEEESITKGDLEVATVSEKSRIMELEKDLALRAQEVA ELRRRLESSKPPGDVDMSLSLLQEISALQEKLEAIHTDHQGEMTSLKEHFGAREEAFQKE IKALHTATEKLSKENESLRSKLDHANKENSDVIALWKSKLETAIASHQQAMEELKVSFSK GIGTDSAEFAELKTQIERLRLDYQHEIESLQSKQDSERSAHAKEMETMQAKLMKIIKEKE DSLEAVKARLDSAEDQHLVEMEDTLNKLQEAEIKVKELEVLQAKYTEQSEVIGNFTSQLS AVKEKLLDLDALRKANSEGKLELETLRQQLEGAEKQIKNLETERNAESSKANSITKELQE KELVLTGLQDSLNQVNQVKETLEKELQTLKEKFASTSEEAVSAQTRMQDTVNKLHQKEEQ FNVLSSELEKLRENLTDMEAKFKEKDDREDQLVKAKEKLENDIAEIMKMSGDNSSQLTKM NDELRLKERSVEELQLKLTKANENASFLQKSIGEVTLKAEQSQQQAARKHEEEKKELEEK LLELEKKMETSYNQCQDLKAKYEKASSETKTKHEEILQNLQKMLADTEDKLKAAQEANRD LMQDMEELKTQADKAKAAQTAEDAMQIMEQMTKEKTETLASLEDTKQTNARLQNELDTLK ENNLKTVEELNKSKELLSVENQKMEEFKKEIETLKQAAAQKSQQLSALQEENVKLAEELG RTRDEVTSHQKLEEERSVLNNQLLEMKKRESEFRKDADEEKASLQKSISLTSALLTEKDA ELEKLRNEVTVLRGENATAKSLHSVVQTLESDKVKLELKVKNLELQLKENKRQLSSSSGN TDAQAEEDERAQESQIDFLNSVIVDLQRKNQDLKMKVEMMSEAALNGNGEDLNSYDSDDQ EKQSKKKPRLFCDICDCFDLHDTEDCPTQAQMSEDPPHSTHHGSRSEERPYCEICEMFGH WATNCNDDETF >ENSMUSP00000121425.1 pep:known chromosome:GRCm38:5:123577807:123647877:-1 gene:ENSMUSG00000049550.17 transcript:ENSMUST00000137363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip1 description:CAP-GLY domain containing linker protein 1 [Source:MGI Symbol;Acc:MGI:1928401] XLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKAAAVRRVMAATPASLK RSPSASSLSSMSSVASSVSSKPSRTGLLTETSSRYARKISGTTALQEALKEKQQHIEQLL AERDLERAEVAKATSHVGEIEQELALARDGHDQHVLELEAKMDQLRTMVEAADREKVELL NQLEEEKRKVEDLQFRVEEESITKGDLETQTKLEHARTKELEQSLLFEKTKADKLQRELE DTRVATVSEKSRIMELEKDLALRAQEVAELRRRLESSKPPGDVDMSLSLLQEISALQEKL EAIHTDHQGEMTSLKEHFGAREEAFQKEIKALHTATEKLSKENESLRSKLDHANKENSDV IALWKSKLETAIASHQQAMEELKVSFSKGIGTDSAEFAELKTQIERLRLDYQHEIESLQS KQDSERSAHAKEMETMQAKLMKIIKEKEDSLEAVKARLDSAEDQHLVEMEDTLNKLQEAE IKKEKFASTSEEAVSAQTRMQDTVNKLHQKEEQFNVLSSELEKLRENLTDMEAKFKEKDD REDQLVKAKEKLENDIAEIMKMSGDNSSQLTKMNDELRLKERSVEELQLKLTKANENASF LQKSIGEVTLKAEQSQQQAARKHEEEKKELEEKLLELEKKMETSYNQCQDLKAKYEKASS ETKTKHEEILQNLQKMLADTEDKLKAAQEANRDLMQDMEELKTQADKAKAAQTAEDAMQI MEQMTKEKTETLASLEDTKQTNARLQNELDTLKENNLKTVEELNKSKELLSVENQKMEEF KKEIETLKQAAAQKSQQLSALQEENVKLAEELGRTRDEVTSHQKLEEERSVLNNQLLEMK KRESEFRKDADEEKASLQKSISLTSALLTEKDAELEKLRNEVTVLRGENATAKSLHSVVQ TLESDKVKLELKVKNLELQLKENKRQLSSSSGNTDAQAEEDERAQESQQMIDFLNSVIVD LQRKNQDLKMKVEMMSEAALNGNGEDLNSYDSDDQEKQSKKKPRLFCDICDCFDLHDTED CPTQAQMSEDPPHSTHHGSRSEERPYCEICEMFGHWATNCNDDETF >ENSMUSP00000107186.1 pep:known chromosome:GRCm38:5:123577807:123684275:-1 gene:ENSMUSG00000049550.17 transcript:ENSMUST00000111561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip1 description:CAP-GLY domain containing linker protein 1 [Source:MGI Symbol;Acc:MGI:1928401] MSMLKPSGLKAPTKILKPGSTALKTPAAAAAPVEKTIPSEKASGPPSSETQEEFVDDFRV GERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCEPLKGIFTR PSKLTRKVQAEDEANGLQAAPGRTASPLSTAAATMVSSSPATPSNIPHKPSQSTAKEPSA TPQISNLTKTASESISNLSEAGSVKKGERELKVGDRVLVGGTKAGVVRFLGETDFAKGEW CGVELDEPLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKAAAVRRVMA ATPASLKRSPSASSLSSMSSVASSVSSKPSRTGLLTETSSRYARKISGTTALQEALKEKQ QHIEQLLAERDLERAEVAKATSHVGEIEQELALARDGHDQHVLELEAKMDQLRTMVEAAD REKVELLNQLEEEKRKVEDLQFRVEEESITKGDLETQTKLEHARTKELEQSLLFEKTKAD KLQRELEDTRVATVSEKSRIMELEKDLALRAQEVAELRRRLESSKPPGDVDMSLSLLQEI SALQEKLEAIHTDHQGEMTSLKEHFGAREEAFQKEIKALHTATEKLSKENESLRSKLDHA NKENSDVIALWKSKLETAIASHQQAMEELKVSFSKGIGTDSAEFAELKTQIERLRLDYQH EIESLQSKQDSERSAHAKEMETMQAKLMKIIKEKEDSLEAVKARLDSAEDQHLVEMEDTL NKLQEAEIKVKELEVLQAKYTEQSEVIGNFTSQLSAVKEKLLDLDALRKANSEGKLELET LRQQLEGAEKQIKNLETERNAESSKANSITKELQEKELVLTGLQDSLNQVNQVKETLEKE LQTLKEKFASTSEEAVSAQTRMQDTVNKLHQKEEQFNVLSSELEKLRENLTDMEAKFKEK DDREDQLVKAKEKLENDIAEIMKMSGDNSSQLTKMNDELRLKERSVEELQLKLTKANENA SFLQKSIGEVTLKAEQSQQQAARKHEEEKKELEEKLLELEKKMETSYNQCQDLKAKYEKA SSETKTKHEEILQNLQKMLADTEDKLKAAQEANRDLMQDMEELKTQADKAKAAQTAEDAM QIMEQMTKEKTETLASLEDTKQTNARLQNELDTLKENNLKTVEELNKSKELLSVENQKME EFKKEIETLKQAAAQKSQQLSALQEENVKLAEELGRTRDEVTSHQKLEEERSVLNNQLLE MKKRESEFRKDADEEKASLQKSISLTSALLTEKDAELEKLRNEVTVLRGENATAKSLHSV VQTLESDKVKLELKVKNLELQLKENKRQLSSSSGNTDAQAEEDERAQESQIDFLNSVIVD LQRKNQDLKMKVEMMSEAALNGNGEDLNSYDSDDQEKQSKKKPRLFCDICDCFDLHDTED CPTQAQMSEDPPHSTHHGSRSEERPYCEICEMFGHWATNCNDDETF >ENSMUSP00000068241.5 pep:known chromosome:GRCm38:5:123578833:123684325:-1 gene:ENSMUSG00000049550.17 transcript:ENSMUST00000063905.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip1 description:CAP-GLY domain containing linker protein 1 [Source:MGI Symbol;Acc:MGI:1928401] MSMLKPSGLKAPTKILKPGSTALKTPAAAAAPVEKTIPSEKASGPPSSETQEEFVDDFRV GERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCEPLKGIFTR PSKLTRKVQAEDEANGLQAAPGRTASPLSTAAATMVSSSPATPSNIPHKPSQSTAKEPSA TPQISNLTKTASESISNLSEAGSVKKGERELKVGDRVLVGGTKAGVVRFLGETDFAKGEW CGVELDEPLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKAAAVRRVMA ATPASLKRSPSASSLSSMSSVASSVSSKPSRTGLLTETSSRYARKISGTTALQEALKEKQ QHIEQLLAERDLERAEVAKATSHVGEIEQELALARDGHDQHVLELEAKMDQLRTMVEAAD REKVELLNQLEEEKRKVEDLQFRVEEESITKGDLETQTKLEHARTKELEQSLLFEKTKAD KLQRELEDTRVATVSEKSRIMELEKDLALRAQEVAELRRRLESSKPPGDVDMSLSLLQEI SALQEKLEAIHTDHQGEMTSLKEHFGAREEAFQKEIKALHTATEKLSKENESLRSKLDHA NKENSDVIALWKSKLETAIASHQQAMEELKVSFSKGIGTDSAEFAELKTQIERLRLDYQH EIESLQSKQDSERSAHAKEMETMQAKLMKIIKEKEDSLEAVKARLDSAEDQHLVEMEDTL NKLQEAEIKKEKFASTSEEAVSAQTRMQDTVNKLHQKEEQFNVLSSELEKLRENLTDMEA KFKEKDDREDQLVKAKEKLENDIAEIMKMSGDNSSQLTKMNDELRLKERSVEELQLKLTK ANENASFLQKSIGEVTLKAEQSQQQAARKHEEEKKELEEKLLELEKKMETSYNQCQDLKA KYEKASSETKTKHEEILQNLQKMLADTEDKLKAAQEANRDLMQDMEELKTQADKAKAAQT AEDAMQIMEQMTKEKTETLASLEDTKQTNARLQNELDTLKENNLKTVEELNKSKELLSVE NQKMEEFKKEIETLKQAAAQKSQQLSALQEENVKLAEELGRTRDEVTSHQKLEEERSVLN NQLLEMKKSLPSNTLRESEFRKDADEEKASLQKSISLTSALLTEKDAELEKLRNEVTVLR GENATAKSLHSVVQTLESDKVKLELKVKNLELQLKENKRQLSSSSGNTDAQAEEDERAQE SQQMIDFLNSVIVDLQRKNQDLKMKVEMMSEAALNGNGEDLNSYDSDDQEKQSKKKPRLF CDICDCFDLHDTEDCPTQAQMSEDPPHSTHHGSRSEERPYCEICEMFGHWATNCNDDETF >ENSMUSP00000031382.7 pep:known chromosome:GRCm38:5:123579244:123656018:-1 gene:ENSMUSG00000049550.17 transcript:ENSMUST00000031382.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip1 description:CAP-GLY domain containing linker protein 1 [Source:MGI Symbol;Acc:MGI:1928401] MSMLKPSGLKAPTKILKPGSTALKTPAAAAAPVEKTIPSEKASGPPSSETQEEFVDDFRV GERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCEPLKGIFTR PSKLTRKVQAEDEANGLQAAPGRTASPLSTAAATMVSSSPATPSNIPHKPSQSTAKEPSA TPQISNLTKTASESISNLSEAGSVKKGERELKVGDRVLVGGTKAGVVRFLGETDFAKGEW CGVELDEPLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKAAAVRRVMA ATPASLKRSPSASSLSSMSSVASSVSSKPSRTGLLTETSSRYARKISGTTALQEALKEKQ QHIEQLLAERDLERAEVAKATSHVGEIEQELALARDGHDQHVLELEAKMDQLRTMVEAAD REKVELLNQLEEEKRKVEDLQFRVEEESITKGDLEQKSPISEDPENTQTKLEHARTKELE QSLLFEKTKADKLQRELEDTRVATVSEKSRIMELEKDLALRAQEVAELRRRLESSKPPGD VDMSLSLLQEISALQEKLEAIHTDHQGEMTSLKEHFGAREEAFQKEIKALHTATEKLSKE NESLRSKLDHANKENSDVIALWKSKLETAIASHQQAMEELKVSFSKGIGTDSAEFAELKT QIERLRLDYQHEIESLQSKQDSERSAHAKEMETMQAKLMKIIKEKEDSLEAVKARLDSAE DQHLVEMEDTLNKLQEAEIKVKELEVLQAKYTEQSEVIGNFTSQLSAVKEKLLDLDALRK ANSEGKLELETLRQQLEGAEKQIKNLETERNAESSKANSITKELQEKELVLTGLQDSLNQ VNQVKETLEKELQTLKEKFASTSEEAVSAQTRMQDTVNKLHQKEEQFNVLSSELEKLREN LTDMEAKFKEKDDREDQLVKAKEKLENDIAEIMKMSGDNSSQLTKMNDELRLKERSVEEL QLKLTKANENASFLQKSIGEVTLKAEQSQQQAARKHEEEKKELEEKLLELEKKMETSYNQ CQDLKAKYEKASSETKTKHEEILQNLQKMLADTEDKLKAAQEANRDLMQDMEELKTQADK AKAAQTAEDAMQIMEQMTKEKTETLASLEDTKQTNARLQNELDTLKENNLKTVEELNKSK ELLSVENQKMEEFKKEIETLKQAAAQKSQQLSALQEENVKLAEELGRTRDEVTSHQKLEE ERSVLNNQLLEMKKRESEFRKDADEEKASLQKSISLTSALLTEKDAELEKLRNEVTVLRG ENATAKSLHSVVQTLESDKVKLELKVKNLELQLKENKRQLSSSSGNTDAQAEEDERAQES QIDFLNSVIVDLQRKNQDLKMKVEMMSEAALNGNGEDLNSYDSDDQEKQSKKKPRLFCDI CDCFDLHDTEDCPTQAQMSEDPPHSTHHGSRSEERPYCEICEMFGHWATNCNDDETF >ENSMUSP00000122064.1 pep:known chromosome:GRCm38:5:123579451:123617822:-1 gene:ENSMUSG00000049550.17 transcript:ENSMUST00000154672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip1 description:CAP-GLY domain containing linker protein 1 [Source:MGI Symbol;Acc:MGI:1928401] XSVEELQLKLTKANENASFLQKSIGEVTLKAEQSQQQAARKHEEEKKELEEKLLELEKKM ETSYNQCQDLKAKYEKASSETKTKHEEILQNLQKMLADTEDKLKAAQEANRDLMQDMEEL KTQADKAKSLTYLLTSAKKEIEVMSEELRGLKSEKQLYAQEANALKLEKGSLLSKLIEVE TKITLLQEDQQKLWSVNETLHLEKERVSEEKQVAEKRYQQEHRDRESLVAEREKLLKEIN AAQEELLKMHMENDSLEASKVSMQVLIEELRFCKDKLMAMSEKARAEKEHLEGQVKKLTA ENLVLVKDKDDVIQKLQSAYEELVKDQKALVQEIEDLTTEKKSAAEKQMSLDNTCLTLKA ERENLLQTNRDLQFEKDTLRQGQEKLSASLEATLQVKQLLSTEAETLRTQLDCASKALRK AELDMRQLQTSNSSLTKLLEEIKTCRAITDSECIQLLHEKESLAASERTLLAEKEELLSE NRIITEKLSKQSEEVARLEMGLNEKITYLTSEKEVACQKVAKLKKQQDSLLKEKSALELQ NGDLLADRESSIKTIGDLRRKYDQEATNRRIVMQEKMKLLGNIDALKKELQERKKENQEL TSSKCDLSLLLKEAQDAKKNLEKEHTSMIQAKDNLNAELKTCCCEKNMLLRDGLNLQEEC QKLNEEIREIQQTLILEKEARAKESETSLYENNKLHGRVVLLEEEIQRLRVCSEQLQTEN FTLTQEKTNSEQKVEEIIKEKELLSAETAQLAANIETLKSDFAALSKSKLELQELHSCLT KILDDLRLNHEVALTERAEVLQDNKNLLAEKREMMLRNEEALKEKEKLEESYFILQKEIS QLAQTNSHISANLLESQSENRTLRKDKSKLTLKIRELETLHSFTAAQTAEDAMQIMEQMT KEKTETLASLEDTKQTNARLQNELDTLKENNLKTVEELNKSKELLSVENQKMEEFKKEIE TLKQAAAQKSQQLSALQEENVKLAEELGRTRDEVTSHQKLEEERSVLNNQLLEMKKRESE FRKDADEEKASLQKSISLTSALLTEKDAELEKLRNEVTVLRGENATAKSLHSVVQTLESD KVKLELKVKNLELQLKENKRQLSSSSGNTDAQAEEDERAQESQIDFLNSVIVDLQRKNQD LKMKVEMMSEAALNGNGEDLNSYDSDDQEKQSKKKPRLFCDI >ENSMUSP00000119641.1 pep:known chromosome:GRCm38:5:123617657:123655908:-1 gene:ENSMUSG00000049550.17 transcript:ENSMUST00000144121.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clip1 description:CAP-GLY domain containing linker protein 1 [Source:MGI Symbol;Acc:MGI:1928401] XTPAAAAAPVEKTIPSEKASGPPSSETQEEFVDDFRVGERVWVNGNKPGFIQFLGETQFA PGQWAGIVLDEPIGKNDGSVAGVRYFQCEPLKGIFTRPSKLTRKVQAEDEANGLQAAPGR TASPLSTAAATMVSSSPATPSNIPHKPSQSTAKEPSATPQISNLTKTASWWH >ENSMUSP00000115965.1 pep:known chromosome:GRCm38:5:123617706:123666690:-1 gene:ENSMUSG00000049550.17 transcript:ENSMUST00000149410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip1 description:CAP-GLY domain containing linker protein 1 [Source:MGI Symbol;Acc:MGI:1928401] MSMLKPSGLKAPTKILKPGSTALKTPAAAAAPVEKTIPSEKASGPPSSETQEEFVDDFRV GERVWVNGNKPGFIQFLGETQFAPGQWAGIVLDEPIGKNDGSVAGVRYFQCEPLKGIFTR PSKLTRKVQAEDEANGLQAAPGRTASPLSTAAATMVSSSPATPSNIPHKPSQSTAKEPSA TPQISNLTKTASESISNLSEAGSVKKGERELKVGDRVLVGGTKAGVVRFLGETDFAKGEW CGVELDEPLGKNDGAVAGTRYFQCQPKYGLFAPVHKVTKIGFPSTTPAKAKAAAVRRVMA ATPASLKRSPSASSLSSMSSVASSVSSKPSRTGLHVLELEAKMDQLRTMVEAADREKVEL LNQLEEEKRKVEDLQFRVEEESITKGDLETQTKLEHARTKELEQSLLFEKTKADKLQREL EDTRVATVSEKSRIMELEKDLALRAQEVAELRRRLESSKPPGDVDMSLSLLQEISALQEK LEAIHTDHQGEMTSLKEHFGAREEAFQKEIKALHTATEKLSKENESLRSKLDHANKENSD VIALWKSKLETAIASHQQAMEELKVSFSKGIGTDSAEFAELKTQIERLRLDYQHEIESLQ SKQDSERSAHAKEMETMQAKLMKIIKEKEDSLEAVKARLDSAEDQHLVEMEDTLNKLQEA EIKANSITKELQEKELVLTGLQDSLNQVNQVKETLEKELQTLKEKFASTSEEAVSAQTRM QDTVNKLHQKEEQFNVLSSELEKLRENLTDMEAKFKEKDDREDQLVKAKEKLENDIAEIM KMSGDNSSQLTKMNDELRLKERSVEELQLKLTKANENASFLQKSIGEVTLKAEQSQQQAA >ENSMUSP00000049057.6 pep:known chromosome:GRCm38:11:87127077:87220683:1 gene:ENSMUSG00000018548.15 transcript:ENSMUST00000041282.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim37 description:tripartite motif-containing 37 [Source:MGI Symbol;Acc:MGI:2153072] MDEQSVESIAEVFRCFICMEKLRDARLCPHCSKLCCFSCIRRWLTEQRAQCPHCRAPLQL RELVNCRWAEEVTQQLDTLQLCSLTKHEENEKDKCENHHEKLSVFCWTCKKCICHQCALW GGMHGGHTFKPLAEIYEQHVTKVNEEVAKLRRRLMELISLVQEVERNVEAVRNAKDERVR EIRNAVEMMIARLDTQLKNKLITLMGQKTSLTQETELLESLLQEVEHQLRSCSKSELISK SSEILMMFQQVHRKPMASFVTTPVPPDFTSELVPSYDSATFVLENFSTLRQRADPVYSPP LQVSGLCWRLKVYPDGNGVVRGYYLSVFLELSAGLPETSKYEYRVEMVHQSCNDPTKNII REFASDFEVGECWGYNRFFRLDLLANEGYLNRQNDTVILRFQVRSPTFFQKCRDQHWYIT QLEAAQTGYIQQINNLKERLTIELSRTQKSRDLSPPDNHLSPQNDDSPETRTKKAGSCSD MLLEGGPTCASVRETKEDEDEEEKIQNEDYHHELSDGDLDLDLVGEDEVNHLDGSSSSAS STATSNTEENDIDEETMSGENDVEYNSMELEEGELMEDAAAAGPPGSSHSYVGASSRMSR RTHLCSAATSSLLDIDPLILIHLLDLKDRSSMENLWGLQPRPSASLLQPTASYSRKDKDQ RKQQAMWRVPSDLKMLKRLKTQMAEVRCMKTDVKTTLSDIKGSSVASTDMQTNLFCADQA ALTTCGPENSGRLQDLGMELLAKSSVAGCYIRNPTNKKNSPKSARAIAGSLSLRRAVDSG ENSRSKGDCQVLAEGSSGSSQSGSRHSSPRALTHGIIGDLLPKSEDRQCKALDSDAVVVA VFNGLPTVEKRRKMVTLGTNAKGGRLEGMQMADLESHSEAGEVQPTLPEGASAAPEEGMS SDSDIECDTENEEQEEHTSMGAFNDPFLAQPPDEDSHSSFPDGEQIDPENLHFNPDEGGG R >ENSMUSP00000119269.1 pep:known chromosome:GRCm38:11:87127305:87149317:1 gene:ENSMUSG00000018548.15 transcript:ENSMUST00000139532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim37 description:tripartite motif-containing 37 [Source:MGI Symbol;Acc:MGI:2153072] MSRVWRALLRFSDVSFVWRNCGMLDCVLIAPSSVVSAVLGAPLQLRELVNCRWAEEVTQQ LDTLQLCSLTKHEENEKDKCENHHEKLSVFCWTCKKCICHQCALWGGMHGGHTFKPLAEI YEQHVTKVNEEVAKLRRRLMELISLVQEVERNVEAVRNAKDERVREIRNAVEMMIARLDT QLKNKLITLMGQKTSLT >ENSMUSP00000118260.1 pep:known chromosome:GRCm38:11:87190074:87218258:1 gene:ENSMUSG00000018548.15 transcript:ENSMUST00000154138.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim37 description:tripartite motif-containing 37 [Source:MGI Symbol;Acc:MGI:2153072] XSGENDVEYNSMELEEGELMEDAAAAGPPGSSHSYVGASSRMSRRTHLCSAATSSLLDID PLILIHLLDLKDRSSMENLWGLQPRPSASLLQPTASYSRKDKDQRKQQAMWRVPSDLKML KRLKTQMAEVRCMKTDVKTTLSDIKGSSVASTDMQTNLFCADQAALTTCGPENSGRLQDL GMELLAKSSVAGCYIRNRSSGSSQSGSRHSSPRALTHGIIGDLLPKSEDRQCKALDSDAV VVAVFNGLPTVEKRRKMVTLGTNAKGGRLEGMQMADLESHSEAGEVQPTLPEGASAAPEE GMSSDSDIECDTENEEQEEHTSMGAFNDPFLAQPPDEDS >ENSMUSP00000134461.1 pep:known chromosome:GRCm38:5:123142193:123167410:1 gene:ENSMUSG00000038384.16 transcript:ENSMUST00000174836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd1b description:SET domain containing 1B [Source:MGI Symbol;Acc:MGI:2652820] MENSHPHHHHQQPPPQPGPSGERRNHHWRSYKLMIDPALKKGHHKLYRYDGQHFSLAMSS NRPVEIVEDPRVVGIWTKNKELELSVPKFKIDEFYVGPVPPKQVTFAKLNDNVRENFLRD MCKKYGEVEEVEILYNPKTKKHLGIAKVVFATVRGAKEAVQHLHSTSVMGNIIHVELDTK GETRMRFYELLVTGRYTPQTLPVGELDAISPIVSETLQLSDALKRLKDGSLSAGCGSGSS SVTPNSGGTPFSQDTAYSSCRLDTPNSYGQGTPITPRLGTPFSQDSSYSSRQPTPSYLFS QDPTATFKARRHESKFTDAYNRRHEHHYVHNSAVAGATAPFRGSSDLSFGTVGSSGTPFK AQSQDATTFAHTPPPAQTATASGFKSAFSPYQTPAPPFPPPPEEPTATAAFGSRDSGEFR RAPAPPPLPPAEPPAKEKPGTPPGPPPPDSNSMELGGRPTFGWSPEPCDSPGTPTLESSP AGPEKPHDSLDSRIEMLLKEQRTKLPFLREQDSDTEIQMEGSPISSSSSQLSPLSHFGTN SQPGFRGPSPPSSRPSSTGLEDISPTPLPDSDEDEDLGLGLGPRPPPEPGPPDPMGLLGQ TAEVDLDLAGDRTPTSERMDEGQQSSGEDMEISDDEMPSAPITSADCPKPMVVTPGAGAV AAPNVLAPNLPLPPPPGFPPLPPPPPPPPPQPGFPMPPPLPPPPPPPPPAHPAVTVPPPP LPAPPGVPPPPILPPLPPFPPGLFPVMQVDMSHVLGGQWGGMPMSFQMQTQMLSRLMTGQ GACPYPPFMAAAAAAASAGLQFVNLPPYRSPFSLSNSGPGRGQHWPPLPKFDPSVPPPGY IPRQEDPHKATVDGVLLVVLKELKAIMKRDLNRKMVEVVAFRAFDEWWDKKERMAKASLT PVKSGEHKDEDRPKPKDRIASCLLESWGKGEGLGYEGLGLGIGLRGAIRLPSFKVKRKEP PDTASSGDQKRLRPSTSVDEEDEESERERDRDIADAPCELTKRDPKSVGVRRRPGRPLEL DSGGEEDEKESLSASSSSSASSSSGSSTTSPSSSASDKEEEDRESTEEEEEEEEEEAEEE EEEGPRSRISSPSSSSSSDKDDEDDNEADSDGQIDSDIDDQGAPLSEASEKDNGDSEEED EEEMTVPGVEEEEEEEEEEEKETAMAAATVVAMAEESMPPAGGQDFEQDRAEVPLGPRGP MRESLGTEEEVDIEAEDEVPEMQAPELEEPPLPMGARKLEGSPEPPEEPGPNTQGDMLLS PELPARETEEAQLPSPPEHGPESDLDMEPEPPPMLSLPLQPPLPPPRLLRPPSPPPEPET PEPPKPPVPLEPPPEDHPPRTPGLCGSLAKSQSTETVPATPGGEPPLSGSSSGLSLSSPQ VPGSPFSYPSPSPGLSSGGLPRTPGRDFSFTPTFPEPSGPLLLPVCPLPTGRRDERTGPL ASPVLLETGLPLPLPLPLPLPLALPVPVLRAQPRPPPQLPPLLPATLAPCPTPIKRKPGR PRRSPPSMLSLDGPLVRPPPGPALGRDLLLLPGQPPAPIFPSAHDPRAVTLDFRNTGIPA PPPPLPPQPPPPPPPPPVESTKLPFKELDNQWPSEAIPPGPRRDEVTEEYVDLAKVRGPW RRPPKKRHEDLVAPSASPEPSPPQPLFRPRSEFEEMTILYDIWNGGIDEEDIRFLCVTYE RLLQQDNGMDWLNDTLWVYHPSTSLSSAKKKKREDGIREHVTGCARSEGFYTIDKKDKLR YLNSSRASTDEPPMDTQGMSIPAQPHASTRAGSERRSEQRRLLSSFTGSCDSDLLKFNQL KFRKKKLKFCKSHIHDWGLFAMEPIAADEMVIEYVGQNIRQVIADMREKRYEDEGIGSSY MFRVDHDTIIDATKCGNFARFINHSCNPNCYAKVITVESQKKIVIYSKQHINVNEEITYD YKFPIEDVKIPCLCGSENCRGTLN >ENSMUSP00000133933.1 pep:known chromosome:GRCm38:5:123142193:123168629:1 gene:ENSMUSG00000038384.16 transcript:ENSMUST00000163030.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd1b description:SET domain containing 1B [Source:MGI Symbol;Acc:MGI:2652820] MENSHPHHHHQQPPPQPGPSGERRNHHWRSYKLMIDPALKKGHHKLYRYDGQHFSLAMSS NRPVEIVEDPRVVGIWTKNKELELSVPKFKIDEFYVGPVPPKQVTFAKLNDNVRENFLRD MCKKYGEVEEVEILYNPKTKKHLGIAKVVFATVRGAKEAVQHLHSTSVMGNIIHVELDTK GETRMRFYELLVTGRYTPQTLPVGELDAISPIVSETLQLSDALKRLKDGSLSAGCGSGSS SVTPNSGGTPFSQDTAYSSCRLDTPNSYGQGTPITPRLGTPFSQDSSYSSRQPTPSYLFS QDPTATFKARRHESKFTDAYNRRHEHHYVHNSAVAGATAPFRGSSDLSFGTVGSSGTPFK AQSQDATTFAHTPPPAQTATASGFKSAFSPYQTPAPPFPPPPEEPTATAAFGSRDSGEFR RAPAPPPLPPAEPPAKEKPGTPPGPPPPDSNSMELGGRPTFGWSPEPCDSPGTPTLESSP AGPEKPHDSLDSRIEMLLKEQRTKLPFLREQDSDTEIQMEGSPISSSSSQLSPLSHFGTN SQPGFRGPSPPSSRPSSTGLEDISPTPLPDSDEDEDLGLGLGPRPPPEPGPPDPMGLLGQ TAEVDLDLAGDRTPTSERMDEGQQSSGEDMEISDDEMPSAPITSADCPKPMVVTPGAGAV AAPNVLAPNLPLPPPPGFPPLPPPPPPPPPQPGFPMPPPLPPPPPPPPPAHPAVTVPPPP LPAPPGVPPPPILPPLPPFPPGLFPVMQVDMSHVLGGQWGGMPMSFQMQTQMLSRLMTGQ GACPYPPFMAAAAAAASAGLQFVNLPPYRSPFSLSNSGPGRGQHWPPLPKFDPSVPPPGY IPRQEDPHKATVDGVLLVVLKELKAIMKRDLNRKMVEVVAFRAFDEWWDKKERMAKASLT PVKSGEHKDEDRPKPKDRIASCLLESWGKGEGLGYEGLGLGIGLRGAIRLPSFKVKRKEP PDTASSGDQKRLRPSTSVDEEDEESERERDRDIADAPCELTKRDPKSVGVRRRPGRPLEL DSGGEEDEKESLSASSSSSASSSSGSSTTSPSSSASDKEEEDRESTEEEEEEEEEEAEEE EEEGPRSRISSPSSSSSSDKDDEDDNEADSDGQIDSDIDDQGAPLSEASEKDNGDSEEEE TESITTSKAPAESSSSSSESSGSSEFESSSESESSSSSSEDEEEMTVPGVEEEEEEEEEE EKETAMAAATVVAMAEESMPPAGGQDFEQDRAEVPLGPRGPMRESLGTEEEVDIEAEDEV PEMQAPELEEPPLPMGARKLEGSPEPPEEPGPNTQGDMLLSPELPARETEEAQLPSPPEH GPESDLDMEPEPPPMLSLPLQPPLPPPRLLRPPSPPPEPETPEPPKPPVPLEPPPEDHPP RTPGLCGSLAKSQSTETVPATPGGEPPLSGSSSGLSLSSPQVPGSPFSYPSPSPGLSSGG LPRTPGRDFSFTPTFPEPSGPLLLPVCPLPTGRRDERTGPLASPVLLETGLPLPLPLPLP LPLALPVPVLRAQPRPPPQLPPLLPATLAPCPTPIKRKPGRPRRSPPSMLSLDGPLVRPP PGPALGRDLLLLPGQPPAPIFPSAHDPRAVTLDFRNTGIPAPPPPLPPQPPPPPPPPPVE STKLPFKELDNQWPSEAIPPGPRRDEVTEEYVDLAKVRGPWRRPPKKRHEDLVAPSASPE PSPPQPLFRPRSEFEEMTILYDIWNGGIDEEDIRFLCVTYERLLQQDNGMDWLNDTLWVY HPSTSLSSAKKKKREDGIREHVTGCARSEGFYTIDKKDKLRYLNSSRASTDEPPMDTQGM SIPAQPHASTRAGSERRSEQRRLLSSFTGSCDSDLLKFNQLKFRKKKLKFCKSHIHDWGL FAMEPIAADEMVIEYVGQNIRQVIADMREKRYEDEGIGSSYMFRVDHDTIIDATKCGNFA RFINHSCNPNCYAKVITVESQKKIVIYSKQHINVNEEITYDYKFPIEDVKIPCLCGSENC RGTLN >ENSMUSP00000134353.1 pep:known chromosome:GRCm38:5:123163381:123164107:1 gene:ENSMUSG00000038384.16 transcript:ENSMUST00000162839.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd1b description:SET domain containing 1B [Source:MGI Symbol;Acc:MGI:2652820] KKLKFCKSHIHDWGLFAMEPIAADEMVIEYVGQNIRQVIADMREKRYEDEGIGSSYMFRV DHDTIIDATKCGNFARFINHSCNVSAQ >ENSMUSP00000134686.1 pep:known chromosome:GRCm38:5:123142957:123167410:1 gene:ENSMUSG00000038384.16 transcript:ENSMUST00000056053.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd1b description:SET domain containing 1B [Source:MGI Symbol;Acc:MGI:2652820] MENSHPHHHHQQPPPQPGPSGERRNHHWRSYKLMIDPALKKGHHKLYRYDGQHFSLAMSS NRPVEIVEDPRVVGIWTKNKELELSVPKFKIDEFYVGPVPPKQVTFAKLNDNVRENFLRD MCKKYGEVEEVEILYNPKTKKHLGIAKVVFATVRGAKEAVQHLHSTSVMGNIIHVELDTK GETRMRFYELLVTGRYTPQTLPVGELDAISPIVSETLQLSDALKRLKDGSLSAGCGSGSS SVTPNSGGTPFSQDTAYSSCRLDTPNSYGQGTPITPRLGTPFSQDSSYSSRQPTPSYLFS QDPTATFKARRHESKFTDAYNRRHEHHYVHNSAVAGATAPFRGSSDLSFGTVGSSGTPFK AQSQDATTFAHTPPPAQTATASGFKSAFSPYQTPAPPFPPPPEEPTATAAFGSRDSGEFR RAPAPPPLPPAEPPAKEKPGTPPGPPPPDSNSMELGGRPTFGWSPEPCDSPGTPTLESSP AGPEKPHDSLDSRIEMLLKEQRTKLPFLREQDSDTEIQMEGSPISSSSSQLSPLSHFGTN SQPGFRGPSPPSSRPSSTGLEDISPTPLPDSDEDEDLGLGLGPRPPPEPGPPDPMGLLGQ TAEVDLDLAGDRTPTSERMDEGQQSSGEDMEISDDEMPSAPITSADCPKPMVVTPGAGAV AAPNVLAPNLPLPPPPGFPPLPPPPPPPPPQPGFPMPPPLPPPPPPPPPAHPAVTVPPPP LPAPPGVPPPPILPPLPPFPPGLFPVMQVDMSHVLGGQWGGMPMSFQMQTQMLSRLMTGQ GACPYPPFMAAAAAAASAGLQFVNLPPYRSPFSLSNSGPGRGQHWPPLPKFDPSVPPPGY IPRQEDPHKATVDGVLLVVLKELKAIMKRDLNRKMVEVVAFRAFDEWWDKKERMAKASLT PVKSGEHKDEDRPKPKDRIASCLLESWGKGEGLGYEGLGLGIGLRGAIRLPSFKVKRKEP PDTASSGDQKRLRPSTSVDEEDEESERERDRDIADAPCELTKRDPKSVGVRRRPGRPLEL DSGGEEDEKESLSASSSSSASSSSGSSTTSPSSSASDKEEEDRESTEEEEEEEEEEAEEE EEEGPRSRISSPSSSSSSDKDDEDDNEADSDGQIDSDIDDQGAPLSEASEKDNGDSEEEE TESITTSKAPAESSSSSSESSGSSEFESSSESESSSSSSEDEEEMTVPGVEEEEEEEEEE EKETAMAAATVVAMAEESMPPAGGQDFEQDRAEVPLGPRGPMRESLGTEEEVDIEAEDEV PEMQAPELEEPPLPMGARKLEGSPEPPEEPGPNTQGDMLLSPELPARETEEAQLPSPPEH GPESDLDMEPEPPPMLSLPLQPPLPPPRLLRPPSPPPEPETPEPPKPPVPLEPPPEDHPP RTPGLCGSLAKSQSTETVPATPGGEPPLSGSSSGLSLSSPQVPGSPFSYPSPSPGLSSGG LPRTPGRDFSFTPTFPEPSGPLLLPVCPLPTGRRDERTGPLASPVLLETGLPLPLPLPLP LPLALPVPVLRAQPRPPPQLPPLLPATLAPCPTPIKRKPGRPRRSPPSMLSLDGPLVRPP PGPALGRDLLLLPGQPPAPIFPSAHDPRAVTLDFRNTGIPAPPPPLPPQPPPPPPPPPVE STKLPFKELDNQWPSEAIPPGPRRDEVTEEYVDLAKVRGPWRRPPKKRHEDLVAPSASPE PSPPQPLFRPRSEFEEMTILYDIWNGGIDEEDIRFLCVTYERLLQQDNGMDWLNDTLWVY HPSTSLSSAKKKKREDGIREHVTGCARSEGFYTIDKKDKLRYLNSSRASTDEPPMDTQGM SIPAQPHASTRAGSERRSEQRRLLSSFTGSCDSDLLKFNQLKFRKKKLKFCKSHIHDWGL FAMEPIAADEMVIEYVGQNIRQVIADMREKRYEDEGIGSSYMFRVDHDTIIDATKCGNFA RFINHSCNPNCYAKVITVESQKKIVIYSKQHINVNEEITYDYKFPIEDVKIPCLCGSENC RGTLN >ENSMUSP00000143930.1 pep:known chromosome:GRCm38:6:127561338:127630033:1 gene:ENSMUSG00000061414.7 transcript:ENSMUST00000201303.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cracr2a description:calcium release activated channel regulator 2A [Source:MGI Symbol;Acc:MGI:2685919] MATPSGREDSSSQTPGHGKQGSGACVEQLDHPEKLEVEMPDQSAMWKKAQEFFQTCDSEG KGFIARTDMQRLHQELPLSLEELEDVFDALDADGNGFLTPEEFTTGFSHFFFSQNIQGEE EADQQVAQLQEEKVYQSRGEEDVGDMDHDEEAQFQMLMDRLGAQKVLEDESDVRQLWLQL RKDEPHLLSNFEDLLTTIFAQLQEAHEQKNELECALRKKIAAYDEEIQHLYEEMEQQIKS EREQFLLKDTERFQARSRELEKKLSAKEQELERLNQKQRKVGYCGDIVGPQLFQLSLPLP HALHHSSMDF >ENSMUSP00000071494.4 pep:known chromosome:GRCm38:6:127577975:127629938:1 gene:ENSMUSG00000061414.7 transcript:ENSMUST00000071563.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cracr2a description:calcium release activated channel regulator 2A [Source:MGI Symbol;Acc:MGI:2685919] MATPSGREDSSSQTPGHGKQGSGACVEQLDHPEKLEVEMPDQSAMWKKAQEFFQTCDSEG KGFIARTDMQRLHQELPLSLEELEDVFDALDADGNGFLTPEEFTTGFSHFFFSQNIQGEE EADQQVAQLQEEKVYQSRGEEDVGDMDHDEEAQFQMLMDRLGAQKVLEDESDVRQLWLQL RKDEPHLLSNFEDLLTTIFAQLQEAHEQKNELECALRKKIAAYDEEIQHLYEEMEQQIKS EREQFLLKDTERFQARSRELEKKLSAKEQELERLNQKQRKVGYCGDIVGPQLFQLSLPLP HALHHSSMDF >ENSMUSP00000125156.1 pep:known chromosome:GRCm38:12:81573557:81595006:-1 gene:ENSMUSG00000002679.14 transcript:ENSMUST00000161211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med6 description:mediator complex subunit 6 [Source:MGI Symbol;Acc:MGI:1917042] MAAVDIRDNLLGISWVDSSWIPILNSGSVLDYFSERSNPFYDRTCNNEVVKMQRLTLEHL NQMVGIEYILLHAQEPILFIIRKQQRQSPAQVIPLADYYIIAGVIYQAPDLGSVINSRVL TAVHGIQSAFDEAMSYCRYHPSKGYWWHFKDHEEQEKVKPKAKRKEEPSSIFQRQRVDAL LIDLRQKFPPRFVQQKSGEKPVPVDQAKKEAEPLPETVKSEEKESTKNIQQTVSTKGPPE KRMRLQ >ENSMUSP00000124361.1 pep:known chromosome:GRCm38:12:81573560:81594963:-1 gene:ENSMUSG00000002679.14 transcript:ENSMUST00000161598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med6 description:mediator complex subunit 6 [Source:MGI Symbol;Acc:MGI:1917042] MAAVDIRDNLLGISWVDSSWIPILNSGSVLDYFSERSNPFYDRTCNNEVVKMQRLTLEHL NQMVGIEYILLHAQEPILFIIRKQQRQSPAQVIPLADYYIIAGVIYQAPDLGSVINSRVL TAVHGIQSAFDEAMSYCRYHPSKGYWWHFKDHEEQAKVWRKACPSGSGKKRGRAFARNCK I >ENSMUSP00000125103.1 pep:known chromosome:GRCm38:12:81579603:81593949:-1 gene:ENSMUSG00000002679.14 transcript:ENSMUST00000161902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med6 description:mediator complex subunit 6 [Source:MGI Symbol;Acc:MGI:1917042] MQRLTLEHLNQMVGIEYILLHAQEPILFIIRKQQRQSPAQVIPLADYYIIAGVIYQAPDL GSVINSRVLTAVHGIQSAFDEAMSYCRYHPSKGYWWHFKDHEEQEKVKPKAKRKEEPSSI FQRQRVDALLID >ENSMUSP00000002756.7 pep:known chromosome:GRCm38:12:81573565:81594958:-1 gene:ENSMUSG00000002679.14 transcript:ENSMUST00000002756.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med6 description:mediator complex subunit 6 [Source:MGI Symbol;Acc:MGI:1917042] MQRLTLEHLNQMVGIEYILLHAQEPILFIIRKQQRQSPAQVIPLADYYIIAGVIYQAPDL GSVINSRVLTAVHGIQSAFDEAMSYCRYHPSKGYWWHFKDHEEQEKVKPKAKRKEEPSSI FQRQRVDALLIDLRQKFPPRFVQQKSGEKPVPVDQAKKEAEPLPETVKSEEKESTKNIQQ TVSTKGPPEKRMRLQ >ENSMUSP00000041088.6 pep:known chromosome:GRCm38:9:110798166:110803754:1 gene:ENSMUSG00000044664.7 transcript:ENSMUST00000035715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss42 description:protease, serine 42 [Source:MGI Symbol;Acc:MGI:2665280] MASGGGSLGLIVFLLLLQPKPCEAWAAASVLSTSGFPSGFSEAPRDNPPPPTRVRMSKAT TRSPFMNFSLVCGQPFMKIMGGVDAEEGKWPWQVSVRVRHMHVCGGSLINSQWVLTAAHC IYSRIQYNVKVGDRSVYRQNTSLVIPIKTIFVHPKFSTTIVVKNDIALLKLQHPVNFTTN IYPVCIPSESFPVKAGTKCWVTGWGKLVPGAPDVPTEILQEVDQNVILYEECNEMLKKAT SSSVDLVKRGMVCGYKERGKDACQGDSGGPMSCEFENKWVQVGVVSWGISCGRKGYPGVY TDVAFYSKWLIAVVNQADCLHPVVFLVLLLCSLTS >ENSMUSP00000070676.2 pep:known chromosome:GRCm38:4:112072639:112167396:1 gene:ENSMUSG00000055960.12 transcript:ENSMUST00000069769.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint4 description:selection and upkeep of intraepithelial T cells 4 [Source:MGI Symbol;Acc:MGI:2444425] MGATEVLTSYCVVLCLLQMVALSSGHFTVIGSQRPILATLGGNVELNCQLSPPQQAQHME IRWFRNRYTQPVHLYRNGKNLHGETMSKYVERTELLTDAFNEGKVILRILNVTVDDGGAY HCVFKDGEFYEEHITEVKVTATSSDIQILMHTPNIKGVMLECHSGGWFPQPHMEWRNSKG EVIQATSKFHSQDKNKLFNMSMVLFIEASSHRNVICYFQNLVTHQEQSINIVLSGELFSW KIVWIMILSTISFVMIDFCMTYCVQQQLIHEESLSTVDNDQCESDQSEGTCYKRNYPWII IAVVPIISVFAIIGVMLFLHLEQRVTILEQHFELDTLWLEDISVILCVVIVSNINLIPLI YFRLHEHVPRFKDRSPILNKAVVFLHFIYFSIVCGTILLVHLQLRNKVSISDSLFSLYNS WLTDISMILGFLLSIFIVTTIAKSSLFNKKWCIGLCIHMKEAEATGGPCEGEEL >ENSMUSP00000102174.1 pep:known chromosome:GRCm38:4:112081470:112168076:1 gene:ENSMUSG00000055960.12 transcript:ENSMUST00000106564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint4 description:selection and upkeep of intraepithelial T cells 4 [Source:MGI Symbol;Acc:MGI:2444425] MGATEVLTSYCVVLCLLQMVALSSGHFTVIGSQRPILATLGGNVELNCQLSPPQQAQHME IRWFRNRYTQPVHLYRNGKNLHGETMSKYVERTELLTDAFNEGKVILRILNVTVDDGGAY HCVFKDGEFYEEHITEVKVTATSSDIQILMHTPNIKGVMLECHSGGWFPQPHMEWRNSKG EVIQATSKFHSQDKNKLFNMSMVLFIEASSHRNVICYFQNLVTHQEQSINIVLSGELFSW KIVWIMILSTISFVMIDFCMTYCVQQQLIHEESLSTVDNDQCESDQSEGTCYKRNYPWII IAVVPIISVFAIIGVMLFLHLEQRVTILEQHFELDTLWLEDISVILCVVIVSNINLIPLI YFRLHEHVPRFKDRSPILNKAVVFLHFIYFSIVCGTILLVHLQLRNKVSISDSLFSLYNS WLTDISMILGFLLSIFIVTTIAKSSLFNKKWCIGLCIHMKEAEATGGPCEGEEL >ENSMUSP00000102175.1 pep:known chromosome:GRCm38:4:112072016:112167386:1 gene:ENSMUSG00000055960.12 transcript:ENSMUST00000106565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint4 description:selection and upkeep of intraepithelial T cells 4 [Source:MGI Symbol;Acc:MGI:2444425] MGATEVLTSYCVVLCLLQMVALSSGHFTVIGSQRPILATLGGNVELNCQLSPPQQAQHME IRWFRNRYTQPVHLYRNGKNLHGETMSKYVERTELLTDAFNEGKVILRILNVTVDDGGAY HCVFKDGEFYEEHITEVKVTATSSDIQILMHTPNIKGVMLECHSGGWFPQPHMEWRNSKG EVIQATSKFHSQDKNKLFNMSMVLFIEASSHRNVICYFQNLVTHQEQSINIVLSGELFSW KIVWIMILSTISFVMIDFCMTYCVQQQLIHEESLSTVDNDQCESDQSEGTCYKRNYPWII IAVVPIISVFAIIGVMLFLHLEQRVTILEQHFELDTLWLEDISVILCVVIVSNINLIPLI YFRLHEHVPRFKDRSPILNKAVVFLHFIYFSIVCGTILLVHLQLRNKVSISDSLFSLYNS WLTDISMILGFLLSIFIVTTIAKSSLFNKKWCIGLCIHMKEAEATGGPCEGEEL >ENSMUSP00000102176.1 pep:known chromosome:GRCm38:4:112081470:112168076:1 gene:ENSMUSG00000055960.12 transcript:ENSMUST00000106566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint4 description:selection and upkeep of intraepithelial T cells 4 [Source:MGI Symbol;Acc:MGI:2444425] MGSIGSGVMGATEVLTSYCVVLCLLQMVALSSGHFTVIGSQRPILATLGGNVELNCQLSP PQQAQHMEIRWFRNRYTQPVHLYRNGKNLHGETMSKYVERTELLTDAFNEGKVILRILNV TVDDGGAYHCVFKDGEFYEEHITEVKVTATSSDIQILMHTPNIKGVMLECHSGGWFPQPH MEWRNSKGEVIQATSKFHSQDKNKLFNMSMVLFIEASSHRNVICYFQNLVTHQEQSINIV LSGELFSWKIVWIMILSTISFVMIDFCMTYCVQQQLIHEESLSTVDNDQCESDQSEGTCY KRNYPWIIIAVVPIISVFAIIGVMLFLHLEQRVTILEQHFELDTLWLEDISVILCVVIVS NINLIPLIYFRLHEHVPRFKDRSPILNKAVVFLHFIYFSIVCGTILLVHLQLRNKVSISD SLFSLYNSWLTDISMILGFLLSIFIVTTIAKSSLFNKKWCIGLCIHMKEAEATGGPCEGE EL >ENSMUSP00000095948.2 pep:known chromosome:GRCm38:9:110813994:110817999:1 gene:ENSMUSG00000032493.8 transcript:ENSMUST00000098345.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss44 description:protease, serine 44 [Source:MGI Symbol;Acc:MGI:1920586] MAFQGCDCFGLLVWLLLLQTRLGKARMVPGTPSLSPLPSENGLDDSGVNPQERPLTGMPE TSLPRKPGDSTRPLDSMAFTPGQSFSTMSLSRQPFPTWVPPTSACGHRTARIVGGRPAPA RKWPWQVSLQVHKQHICGGSLISKWWVITAAHCVYGHLDYAVFMGDADLWSKRPVRIPVQ DIIVHQDFSMMRTVVHDIALVLLAFPVNYSVNIQPVCIPEKSFLVQPGTLCWVTGWGKVL EQGRSSRILQEIELNIIRHEKCNQILKDIMGNIFTLVQEGGVCGYNEKGGDACQGDSGGP LVCEFNKTWVQVGIVSWGLGCGRIGYPGVYTEVSYYRDWIIKELSRASCWKLSGFLVLSV CLVLHLAIVVAL >ENSMUSP00000117039.1 pep:known chromosome:GRCm38:9:110814027:110824523:1 gene:ENSMUSG00000032493.8 transcript:ENSMUST00000141089.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prss44 description:protease, serine 44 [Source:MGI Symbol;Acc:MGI:1920586] MAFQGCDCFGLLVWLLLLQTRLGKARMVPGTPSLSPLPSENGLDDSGVNPQERPLTGMPE TSLPRKPGDSTRPLDSMAFTPGQSFSTMSLSRQPFPTWVPPTSACGHRTARIVGGRPAPA RKWPWQVSLQVHKQHICGGSLISK >ENSMUSP00000142633.1 pep:known chromosome:GRCm38:9:110814451:110816529:1 gene:ENSMUSG00000032493.8 transcript:ENSMUST00000198815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss44 description:protease, serine 44 [Source:MGI Symbol;Acc:MGI:1920586] MGDADLWSKRPVRIPVQDIIVHQDFSMMRTVVHDIALVLLAFPVNYSVNIQPVCIPEKSF LVQPGTLCWVTGWGKVLEQGRSSRILQEIELNIIRHEKCNQILKDIMGNIFTLVQEG >ENSMUSP00000119784.1 pep:known chromosome:GRCm38:4:45890852:45950767:1 gene:ENSMUSG00000035539.13 transcript:ENSMUST00000149903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc180 description:coiled-coil domain containing 180 [Source:MGI Symbol;Acc:MGI:2685871] XRRGIGPAVKMSPVGKVSQVQNGKVYQQIFQAQVQLVQSLAATRKQAAERSETQKNVKTP MMKKVPLPEGETMTPRQRKWVRSLPNDWVTENPVLYREKEEARKEKERLTDDMIASQEVQ GLMDNIVPQLTERVSNLQEQEEFKKRKYLSALASFQEELEQIGVEMEPLILEKGDLLLRK ISKSDEDVDMLFKKVEGEPDLESHTIETLLELWEKVAERFMQQKQEIKELDEELLALEVS RADKLKEVLKRYVGIIEKTSYILQPDVYRLIDKEAMAMNQALLGNRRAIAQLLVNLTEST LQQELDNRHRWQGLVDTWKALKKEALIQSFSEFMASEEIQEPPEVKKKLEEMQKNQEMLQ SVRLDHLCTLCDLLPPNYNKTQLTEWYDSLTSLNKQLDTYHMDCMSLVHFLYEKIWQQCL SHVQECKQQLLNWKAFSEAEAESLVNPTFFLMVGEFQSKVEKQLELLDNSFEDLARETEW QSSDLFRYFQEAVKLWEGHQSVLMTQELELEKRIEQHRQKHNQENQNQETLLDKLLDQLR QQSQEESLKAHMEKARTFLKNMKSRYECFHLLLTKEVMEYPGLVLKELNCYSFTLSRHFF IREIFEQNLSGEVIFKFRQPETHEKLFIQKIRKMKKKQRKRKGEESIGQDLGSEKPTESM KEEGSGESEPSTAGEALNQENKSPQSDRVSEPRDGPSQEAEETLGVRETSSETSQDRENL KVQEEKEEIEEEEEEEEEEEEEEIKEERVEEEEKEEEEEEEEEIKEDEEEEDEYENEDVL EYYQETYFMGQAESREESDEIPYEEMEFFTTTSGNTYFAFLSVEDEQQIHSRPHSHHNLL NSFCTKYIEQVSIPQKLILKVKKGLFSEGGNFSPEEVETLCHRLEKEATRIEFVESLIMI HMEKMETEYLDQANDVINKFESKFHNLSSDLIFVEKIQRLMTNLQVNIKCQEAKSNSQAE GLNSSLEQLQQKIQTCQDHRGDKDIVTTDDLLAFVRSWKEKLSQRIKYLNCSLDMVSITQ EVFTDTILADTEVESDMHMSMEALEEDVKVGLVTPESFAQPTRMGRPMIEDPAVDVVRRI LQISQAKSTCPCDKDRSQTALKRRYRAEKALKKALASASVTSAGSMTRHPKYIRVDKKYQ VLGEKPTSQAEDFKGIILNLLWESNEHLMVVVEDFYRKEKRPVTRPECMYESFDQCADHI SRKILEYHRQADEYHNSCLLELRAQVRRFEELLPQVCWLVTENYKEQHWGKFCASLKEVQ EQFEQQQKQLELEKDENARKLHPNLGHPTYISQMESLQMAEELRQQELNRMIHENQEKME EFAKKYARFFISNLASFTERFLMQLDEVITIDDIQVPRSEPPKQKVSILIRRKLAMLSLE EESEKPLIERGSRKWPGIKPNEITIQNKILTRRTPSITTNKTTLGHLAAIEARDAVYLKY LALFDKELKGIQDHFRKLLLESQRWKESWKRSLSTIQTLYSTCSPLQLK >ENSMUSP00000122332.1 pep:known chromosome:GRCm38:4:45914369:45921936:1 gene:ENSMUSG00000035539.13 transcript:ENSMUST00000151024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc180 description:coiled-coil domain containing 180 [Source:MGI Symbol;Acc:MGI:2685871] XTHEKLFIQKIRKMKKKQRKRKGEESIGQDLGSEKPTESMKEEGSGESEPSTAGEALNQE NKSPQSDRVSEPRDGPSQEAEETLGVRETSSETSQDRENLKVQEEKEEIEEEEEEEEEEE EEEIKEERVEEEEKEEEEEEEEEIKEDEEEEDEYENEDVLEYYQETYFMGQAESREESDE IPYEEMEFFTTTSGNTYFAFLSVEDEQQIHSRPHSHHNLLNSFCTKYIEQVSIPQKLILK VKKGLRAGFFEHLEKWFDQCVLNARIIVASKVDELDSELELRLHLHKPRLEQVEKDIHNV RAAELLLHQERLDSHCAGVIETLKKERLMFCQFQEEQAVRSRNFRRKIYDMEHIFLNATR SQKLVSLTKTLHRELL >ENSMUSP00000136714.1 pep:known chromosome:GRCm38:4:45890303:45950774:1 gene:ENSMUSG00000035539.13 transcript:ENSMUST00000178561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc180 description:coiled-coil domain containing 180 [Source:MGI Symbol;Acc:MGI:2685871] MREPPGLERRGIGPAVKMSPVGKVSQVQNGKVYQQIFQAQVQLVQSLAATRKQAAERSET QKNVKTPMMKKVPLPEGETMTPRQRKWVRSLPNDWVTENPVLYREKEEARKEKERLTDDM IASQEVQGLMDNIVPQLTERVSNLQEQEEFKKRKYLSALASFQEELEQIGVEMEPLILEK GDLLLRKISKSDEDVDMLFKKVEGEPDLESHTIETLLELWEKVAERFMQQKQEIKELDEE LLALEVSRADKLKEVLKRYVGIIEKTSYILQPDVYRLIDKEAMAMNQALLGNRRAIAQLL VNLTESTLQQELDNRHRWQGLVDTWKALKKEALIQSFSEFMASEEIQEPPEVKKKLEEMQ KNQEMLQSVRLDHLCTLCDLLPPNYNKTQLTEWYDSLTSLNKQLDTYHMDCMSLVHFLYE KIWQQCLSHVQECKQQLLNWKAFSEAEAESLVNPTFFLMVGEFQSKVEKQLELLDNSFED LARETEWQSSDLFRYFQEAVKLWEGHQSVLMTQELELEKRIEQHRQKHNQENQNQETLLD KLLDQLRQQSQEESLKAHMEKARTFLKNMKSRYECFHLLLTKEVMEYPGLVLKELNCYSF TLSRHFFIREIFEQNLSGEVIFKFRQPETHEKLFIQKIRKMKKKQRKRKGEESIGQDLGS EKPTESMKEEGSGESEPSTAGEALNQENKSPQSDRVSEPRDGPSQEAEETLGVRETSSET SQDRENLKVQEEKEEIEEEEEEEEEEEEEEIKEERVEEEEKEEEEEEEEEIKEDEEEEDE YENEDVLEYYQETYFMGQAESREESDEIPYEEMEFFTTTSGNTYFAFLSVEDEQQIHSRP HSHHNLLNSFCTKYIEQVSIPQKLILKVKKGLRAGFFEHLEKWFDQCVLNARIIVASKVD ELDSELELRLHLHKPRLEQVEKDIHNVRAAELLLHQERLDSHCAGVIETLKKERLMFCQF QEEQAVRSRNFRRKIYDMEHIFLNATRSQKLVSLTKTLHRELLSYVDVIQVSLRSFRQYL EESLGKLRYTNIDFVKHCRLFSEGGNFSPEEVETLCHRLEKEATRIEFVESLIMIHMEKM ETEYLDQANDVINKFESKFHNLSSDLIFVEKIQRLMTNLQVNIKCQEAKSNSQAEGLNSS LEQLQQKIQTCQDHRGDKDIVTTDDLLAFVRSWKEKLSQRIKYLNCSLDMVSITQEVFTD TILADTEVESDMHMSMEALEEDVKVGLVTPESFAQPTRMGRPMIEDPAVDVVRRILQISQ AKSTCPCDKDRSQTALKRRYRAEKALKKALASASVTSAGSMTRHPKYIRVDKKYQVLGEK PTSQAEDFKGIILNLLWESNEHLMVVVEDFYRKEKRPVTRPECMYESFDQCADHISRKIL EYHRQADEYHNSCLLELRAQVRRFEELLPQVCWLVTENYKEQHWGKFCASLKEVQEQFEQ QQKQLELEKDENARKLHPNLGHPTYISQMESLQMAEELRQQELNRMIHENQEKMEEFAKK YARFFISNLASFTERFLMQLDEVITIDDIQVPRSEPPKQKVSILIRRKLAMLSLEEESEK PLIERGSRKWPGIKPNEITIQNKILTRRTPSITTNKTTLGHLAAIEARDAVYLKYLALFD KELKGIQDHFRKLLLESQRWKESWKRSLSTIQTLYSTCSPLQLK >ENSMUSP00000083077.4 pep:known chromosome:GRCm38:1:172271709:172298064:-1 gene:ENSMUSG00000007097.14 transcript:ENSMUST00000085913.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1a2 description:ATPase, Na+/K+ transporting, alpha 2 polypeptide [Source:MGI Symbol;Acc:MGI:88106] MGRGAGREYSPAATTAENGGGKKKQKEKELDELKKEVAMDDHKLSLDELGRKYQVDLSKG LTNQRAQDILARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGALLCFLAYGILAAME DEPSNDNLYLGIVLAAVVIVTGCFSYYQEAKSSKIMDSFKNMVPQQALVIREGEKMQINA EEVVVGDLVEVKGGDRVPADLRIISSHGCKVDNSSLTGESEPQTRSPEFTHENPLETRNI CFFSTNCVEGTARGIVIATGDRTVMGRIATLASGLEVGQTPIAMEIEHFIQLITGVAVFL GVSFFVLSLILGYSWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLE AVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGATFDKRSPTWTALS RIAGLCNRAVFKAGQENISVSKRDTAGDASESALLKCIELSCGSVRKMRDRNPKVAEIPF NSTNKYQLSIHEREDSPQSHVLVMKGAPERILDRCSTILVQGKEIPLDKEMQDAFQNAYM ELGGLGERVLGFCQLNLPSGKFPRGFKFDTDELNFPTEKLCFVGLMSMIDPPRAAVPDAV GKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNPREAKAC VVHGSDLKDMTSEQLDEILRDHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSP ALKKADIGIAMGISGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSN IPEITPFLLFIIANIPLPLGTVTILCIDLGTDMVPAISLAYEAAESDIMKRQPRNSQTDK LVNERLISMAYGQIGMIQALGGFFTYFVILAENGFLPSRLLGIRLDWDDRTTNDLEDSYG QEWTYEQRKVVEFTCHTAFFASIVVVQWADLIICKTRRNSVFQQGMKNKILIFGLLEETA LAAFLSYCPGMGVALRMYPLKVTWWFCAFPYSLLIFIYDEVRKLILRRYPGGWVEKETYY >ENSMUSP00000095072.2 pep:known chromosome:GRCm38:1:172278083:172298064:-1 gene:ENSMUSG00000007097.14 transcript:ENSMUST00000097464.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp1a2 description:ATPase, Na+/K+ transporting, alpha 2 polypeptide [Source:MGI Symbol;Acc:MGI:88106] MGRGAGREYSPAATTAENGGGKKKQKEKELDELKKEVAMDDHKLSLDELGRKYQVDLSKG LTNQRAQDILARDGPNALTPPPTTPEWVKFCRQLFGGFSILLWIGALLCFLAYGILAAME DEPSNDNLYLGIVLAAVVIVTGCFSYYQEAKSSKIMDSFKNMVPQQALVIREGEKMQINA EEVVVGDLVEVKGGDRVPADLRIISSHGCKVDNSSLTGESEPQTRSPEFTHENPLETRNI CFFSTNCVEGTARGIVIATGDRTVMGRIATLASGLEVGQTPIAMEIEHFIQLITGVAVFL GVSFFVLSLILGYSWLEAVIFLIGIIVANVPEGLLATVTVCLTLTAKRMARKNCLVKNLE AVETLGSTSTICSDKTGTLTQNRMTVAHMWFDNQIHEADTTEDQSGATFDKRSPTWTALS RIAGLCNRAVFKAGQENISVSKRDTAGDASESALLKCIELSCGSVRKMRDRNPKVAEIPF NSTNKYQLSIHEREDSPQSHVLVMKGAPERILDRCSTILVQGKEIPLDKEMQDAFQNAYM ELGGLGERVLGFCQLNLPSGKFPRGFKFDTDELNFPTEKLCFVGLMSMIDPPRAAVPDAV GKCRSAGIKVIMVTGDHPITAKAIAKGVGIISEGNETVEDIAARLNIPVSQVNPREAKAC VVHGSDLKDMTSEQLDEILRDHTEIVFARTSPQQKLIIVEGCQRQGAIVAVTGDGVNDSP ALKKADIGIAMGISGSDVSKQAADMILLDDNFASIVTGVEEGRLIFDNLKKSIAYTLTSN IPEITPFLLFIIANIPLPLGTVTILCIDLGTDMVPAISLAYEAAESDIMKRQPRNSQTDK LVNERLISMAYGQIGMIQALGGFFTYFVILAENGFLPSRLLGIRLDWDDRTTNDLEDSYG QEWTYEQRKVVEFTCHTAFFASIVVVQWADLIICKTRRNSVFQQGMK >ENSMUSP00000022507.5 pep:known chromosome:GRCm38:14:56722441:56778316:-1 gene:ENSMUSG00000021938.11 transcript:ENSMUST00000022507.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pspc1 description:paraspeckle protein 1 [Source:MGI Symbol;Acc:MGI:1913895] MMLRGNLKQVRIEKNPARLRALESAAGESEPVAAAAMALTLAGEQAPPPAPSEEHPDEEL GFTIDIKSFLKPGEKTYTQRCRLFVGNLPTDITEEDFKRLFERYGEPSEVFINRDRGFGF IRLESRTLAEIAKAELDGTILKSRPLRIRFATHGAALTVKNLSPVVSNELLEQAFSQFGP VEKAVVVVDDRGRATGKGFVEFAAKPPARKALERCGDGAFLLTTTPRPVIVEPMEQFDDE DGLPEKLMQKTQQYHKEREQPPRFAQPGTFEFEYASRWKALDEMEKQQREQVDRNIREAK EKLEAEMEAARHEHQLMLMRQDLMRRQEELRRLEELRNQELQKRKQIQLRHEEEHRRREE EMIRHREQEELRRQQEGGFKPNYMENREQEMRMGDMGPRGAINMGDAFSPAPAGTQGPPP MMGMNMNNRGTIPGPPMGPGPAMGPEGAANMGTPMIPDNGAVHNDRFPQGPPSQMGSPMG NRTGSETPQAPMSGVGPVSGGPGGFGRGSQGGNFEGPNKRRRY >ENSMUSP00000133038.1 pep:known chromosome:GRCm38:14:56723986:56778316:-1 gene:ENSMUSG00000021938.11 transcript:ENSMUST00000163924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pspc1 description:paraspeckle protein 1 [Source:MGI Symbol;Acc:MGI:1913895] MMLRGNLKQVRIEKNPARLRALESAAGESEPVAAAAMALTLAGEQAPPPAPSEEHPDEEL GFTIDIKSFLKPGEKTYTQRCRLFVGNLPTDITEEDFKRLFERYGEPSEVFINRDRGFGF IRLESRTLAEIAKAELDGTILKSRPLRIRFATHGAALTVKNLSPVVSNELLEQAFSQFGP VEKAVVVVDDRGRATGKGFVEFAAKPPARKALERCGDGAFLLTTTPRPVIVEPMEQFDDE DGLPEKLMQKTQQYHKEREQPPRFAQPGTFEFEYASRWKALDEMEKQQREQVDRNIREAK EKLEAEMEAARHEHQLMLMRQDLMRRQEELRRLEELRNQELQKRKQIQLRHEEEHRRREE EMIRHREQEELRRQQEGGFKPNYMENREQEMRMGDMGPRGAINMGDAFSPAPAGTQGPPP MMGMNMNNRGTIPGPPMGPGPAMGPEGAANMGTPMIPDNGAVVMYH >ENSMUSP00000125780.1 pep:known chromosome:GRCm38:14:56748858:56777927:-1 gene:ENSMUSG00000021938.11 transcript:ENSMUST00000168575.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pspc1 description:paraspeckle protein 1 [Source:MGI Symbol;Acc:MGI:1913895] XQRCRLFVGNLPTDITEEDFKRLFERYGEPSEVFINRDRGFGFIRLNTSSSHCRTHGAV >ENSMUSP00000032627.3 pep:known chromosome:GRCm38:7:55794154:55831677:1 gene:ENSMUSG00000033790.11 transcript:ENSMUST00000032627.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp5 description:tubulin, gamma complex associated protein 5 [Source:MGI Symbol;Acc:MGI:2178836] MARPKSSGSRMDRQLEHDVCELVRQVTGLQDEADPNFQLALDFVWSNFRFHRFLDVNSHK VEKTIEGIYEKFTIHSDLNKAASWKRLTKEFLNASLPSTEKIKTDAHYSILSLLLCLSDS PSNSNYVETPREKEVEKKDDFDWGKYLMEGEEIGLGPNIDTPNWSEDSDDEDAQQPLSRE DSGIQVDRTPLEEQDHNRKGGPQVCWEDEPDSRSWLEQHVVHQYWTTRRFRIPHSAHLHS NLAAVWDQHLYSSDPLYVPDDRVVVTETQVIRETLWLLSGVKKMFIFQLIDGKVTVRNNI IVTHLTHSCLRSVLEQIAAYGQVVFRLQEFIDEVMGHSSESLPPGNGPIPKKQPDAPFRT YQAFMWALYKYFINFKEELTDIEKCVISSDTTITLAIVVNKLAPRLAQLKVLDKVFSTGV AEVPPDTRNVVRASHLLNTLYKAILEYDNVGEASEQTVSLLFSLWVETVRPYLQTVDEWI VHGHLWDGAREFIIQRNKNVPVNHRDFWYATYTLYSVSEKTENEDKVSDSASASSGSDQG PSSRQHTMVSFLKPVLKQIIMAGKSMQLLKNLNCAEGPACQAAARDAERKSLYTLFLESI QLRLQHGEDSAPHIVNEDQTTKENLIKMQSIAERHLELDDIHDPLLAINFARLYLEQSDF HEKFAGGDICVDRSSESVTCQTFELTLRSCLYPHIDKQYLHCCGNLMQTLKRDFRLVEYL QAMRNFFLMEGGDTMYDFYTSIFDKIREKETWQNVSFLNVQLQEAVGQRYPEDSLRLSIS FENVDTTKKKLPVHILDGLTLSYKVPWPVDIVISVECQKIYNQVFLLLLQIKWAKYSLDV LLFGELGNAAERSQAKEDIPRDQDTPSQFGPPKESLRQQIHRMFLLRVKLMHFVNSLHNY IMTRILHSTGLEFQHQVEEAKDLDQLIKIHYRYLSTIHDRCLLREKVSFVKEAIMKVLNL ALMFAEGWQAGLGAWQMESIEKMESDFKNCHMFLVTILNKAVCRGSFPHLESLALSLMAG MEQS >ENSMUSP00000146033.1 pep:known chromosome:GRCm38:7:55794168:55831446:1 gene:ENSMUSG00000033790.11 transcript:ENSMUST00000206191.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tubgcp5 description:tubulin, gamma complex associated protein 5 [Source:MGI Symbol;Acc:MGI:2178836] MARPKSSGSRMDRQLEHDVCELVRQVTGLQDEADPNFQLALDFVWSNFRFHRFLDVNSHK VEKTIEGIYEKFTIHSDLNKAASWKRLTKEFLNASLPSTEKIKTDAHYSILSLLLCLSDS PSNSNYVETPREKEVEKKDDFDWGKYLMEGEEIGLGPNIDTPNWSEDSDDEDAQQPLSRE DSGIQMSQIAGAGWNSM >ENSMUSP00000146111.1 pep:known chromosome:GRCm38:7:55794191:55831446:1 gene:ENSMUSG00000033790.11 transcript:ENSMUST00000205796.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp5 description:tubulin, gamma complex associated protein 5 [Source:MGI Symbol;Acc:MGI:2178836] MARPKSSGSRMDRQLEHDVCELVRQVTGLQDEADPNFQLALDFVWSNFRFHRFLDVNSHK VEKTIEGIYEKFTIHSDLNKAASWKRLTKEFLNASLPSTEKIKTDAHYSILSLLLCLSDS PSNSNYVETPREKEVEKKDDFDWGKYLMEGEEIGLGPNIDTPNWSEDSDDEDAQQPLSRE DSGIQVDRTPLEEQDHNRKGGPQVCWEDEPDSRSWLEQHVVHQYWTTRRFRIPHSAHLHS NLAAVWDQHLYSSDPLYVPDDRVVVTETQVIRETLWLLSGVKKMFIFQLIDGKVTVRNNI IVTHLTHSCLRSVLEQIAAYGQVVFRLQEFIDEVMGHSSESLPPGNGPIPKKQPDAPFRT YQAFMWALYKYFINFKEELTDIEKCVISSDTTITLAIVVNKLAPRLAQLKVLDKVFSTGV AEVPPDTRNVVRASHLLNTLYKAILEYDNVGEASEQTVSLLFSLWVETVRPYLQTVDEWI VHGHLWDGAREFIIQRNKNVPVNHRDFWYATYTLYSVSEKTENEDKVSDSASASSGSDQG PSSRQHTMVSFLKPVLKQIIMAGKSMQLLKNLNCAEGPACQAAARDAERKSLYTLFLESI QLRLQHGEDSAPHIVNEDQTTKENLIKMQSIAERHLELDDIHDPLLAINFARLVEYLQAM RNFFLMEGGDTMYDFYTSIFDKIREKETWQNVSFLNVQLQEAVGQRYPEDSLRLSISFEN VDTTKKKLPVHILDGLTLSYKVPWPVDIVISVECQKIYNQVFLLLLQIKWAKYSLDVLLF GELGNAAERSQAKEDIPRDQDTPSQFGPPKESLRQQIHRMFLLRVKLMHFVNSLHNYIMT RILHSTGLEFQHQVEEAKDLDQLIKIHYRYLSTIHDRCLLREKVSFVKEAIMKVLNLALM FAEGWQAGLGAWQMESIEKMESDFKNCHMFLVTILNKAVCRGSFPHLESLALSLMAGMEQ S >ENSMUSP00000146178.1 pep:known chromosome:GRCm38:7:55794225:55798912:1 gene:ENSMUSG00000033790.11 transcript:ENSMUST00000206454.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp5 description:tubulin, gamma complex associated protein 5 [Source:MGI Symbol;Acc:MGI:2178836] MDRQLEHDVCELVRQVTGLQDEADPNFQLALDFVWSNFRIYEKFTIHSDLNKAASWKRLT KEFLNASLPSTEKIKTDAHYSILSLLLCLSDSPSNSNYVETP >ENSMUSP00000145677.1 pep:known chromosome:GRCm38:7:55826281:55831237:1 gene:ENSMUSG00000033790.11 transcript:ENSMUST00000206133.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tubgcp5 description:tubulin, gamma complex associated protein 5 [Source:MGI Symbol;Acc:MGI:2178836] MFAEGWQAGLGAWQPAWSTERVSGQPGLHRETFVLRGERKNGIYREDGI >ENSMUSP00000076752.5 pep:known chromosome:GRCm38:9:110826690:110831839:1 gene:ENSMUSG00000058398.6 transcript:ENSMUST00000077549.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss43 description:protease, serine 43 [Source:MGI Symbol;Acc:MGI:2684822] MGGFCGADRGGFLALLVWLQLLQPLFSGTYKPREDSGVMHRPQRPRRPRSDPEAPAQQSR LKSLSISHPSGVPVSVDRTEIPGSGSPSGTTTKITLENRRSSLGGPFFTDTCGHRITEVD PGSLSAGRKWPWQVSLQSQNEHVCGGSLISHRWVLTAAHCIYEQEEYMVMLGDDMLHSES ESVTLVPVQDIIFPSNFDIQTMRNDIALALLYFPVNYSSLIQPVCLPEEPFRVKNGTVCW VTGWGQQNEIDAGFASILLQEVQQRILLQKHCNTLFQRQLGTSKNLVIKGMICGLQDSGQ SLCWGDSGNPLVCESDNTWTQVGIMSWGINCNGVPVLSVYTDIAEYNEWVSYVLSQASRM DPMGVLVLYLSLVFPLALLVAL >ENSMUSP00000081396.6 pep:known chromosome:GRCm38:4:109061145:109118022:-1 gene:ENSMUSG00000028559.17 transcript:ENSMUST00000084366.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl9 description:oxysterol binding protein-like 9 [Source:MGI Symbol;Acc:MGI:1923784] MALLLAACGGLDSGFIPSVQDFDKKLTEADAYLQILIEQLKLFDDKLQNCKDDEQRKKVE TLKDTTNSMVESIKHCIVLLQIAKDQSNAEQHADGIISTINPVDAIYQPSPLEPVISTMP SQTALPPEPAQLCKSEQRPSSLPVGPVLATLGHHQTPTPNSTGSGNSPPSSSLTPPSHVN LSPNTVPEFSYSSSEDEFYDADEFHQSGSSPKRLIDSSGSASVLTHSSSGNSLKRPDTTE SLNSSMSNGTSDADLFDSHDDRDDDGEAGSVEEHKSVIMHLLSQVRLGMDLTKVVLPTFI LERRSLLEMYADFFAHPDLFVSISDQKDPRDRMVQVVKWYLSAFHAGRRGSVAKKPYNPI LGEIFQCHWTLPNDTEENAELVSEGPVPWVSKNSVTFVAEQVSHHPPISAFYAECFNKKI QFNAHIWTKSKFLGMSIGVHNIGQGCVSCLEYDEHYILTFPNGYGRSILTVPWVELGGEC NINCSKTGYSANIVFHTKPFYGGKKHRITAEIFSPNDKKSFCSIEGEWNGIMYAKYATGE NTVFVDTKKLPIIKKKVRKLEDQNEYESRSLWKDVTFNLKIRDIDAATEAKHRLEERQRA EARERKEKEIQWETRLFHEDGECWVYDEPLLKRLGAVKH >ENSMUSP00000141991.1 pep:known chromosome:GRCm38:4:109061615:109202234:-1 gene:ENSMUSG00000028559.17 transcript:ENSMUST00000194478.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl9 description:oxysterol binding protein-like 9 [Source:MGI Symbol;Acc:MGI:1923784] MASIVEGPLSKWTNVMKGWQYRWFVLDYNAGLLSYYTSKDKMMRGSRRGCVRLRGAVIGI DDEDDSTFTITVDQKTFHFQARDADEREKWIHALEETILRHTLQLQISTTLAFFQSSGIS PVLEFSKIIGLDSGFIPSVQDFDKKLTEADAYLQILIEQLKLFDDKLQNCKDDEQRKKVE TLKDTTNSMVESIKHCIVLLQIAKSTINPVDAIYQPSPLEPVISTMPSQTALPPEPAQLC KSEQRPSSLPVGPVLATLGHHQTPTPNSTGSGNSPPSSSLTPPSHVNLSPNTVPEFSYSS SEDEFYDADEFHQSGSSPKRLIDSSGSASVLTHSSSGNSLKRPDTTESLNSSMSNGTSDA DLFDSHDDRDDDGEAGSVEEHKSVIMHLLSQVRLGMDLTKVVLPTFILERRSLLEMYADF FAHPDLFVSISDQKDPRDRMVQVVKWYLSAFHAGRRGSVAKKPYNPILGEIFQCHWTLPN DTEENAELVSEGPVPWVSKNSVTFVAEQVSHHPPISAFYAECFNKKIQFNAHIWTKSKFL GMSIGVHNIGQGCVSCLEYDEHYILTFPNGYGRSILTVPWVELGGECNINCSKTGYSANI VFHTKPFYGGKKHRITAEIFSPNDKKSFCSIEGEWNGIMYAKYATGENTVFVDTKKLPII KKKVRKLEDQNEYESRSLWKDVTFNLKIRDIDAATEAKHRLEERQRAEARERKEKEIQWE TRLFHEDGECWVYDEPLLKRLGAVKH >ENSMUSP00000124112.1 pep:known chromosome:GRCm38:4:109061650:109118036:-1 gene:ENSMUSG00000028559.17 transcript:ENSMUST00000160271.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl9 description:oxysterol binding protein-like 9 [Source:MGI Symbol;Acc:MGI:1923784] MALLLAACGGLDSGFIPSVQDFDKKLTEADAYLQILIEQLKLFDDKLQNCKDDEQRKKVE TLKDTTNSMVESIKHCIVLLQIAKSTINPVDAIYQPSPLEPVISTMPSQTALPPEPAQLC KSEQRPSSLPVGPVLATLGHHQTPTPNSTGSGNSPPSSSLTPPSHVNLSPNTVPEFSYSS SEDEFYDADEFHQSGSSPKRLIDSSGSASVLTHSSSGNSLKRPDTTESLNSSMSNGTSDA DLFDSHDDRDDDGEAGSVEEHKSVIMHLLSQVRLGMDLTKVVLPTFILERRSLLEMYADF FAHPDLFVSISDQKDPRDRMVQVVKWYLSAFHAGRRGSVAKKPYNPILGEIFQCHWTLPN DTEENAELVSEGPVPWVSKNSVTFVAEQVSHHPPISAFYAECFNKKIQFNAHIWTKSKFL GMSIGVHNIGQGCVSCLEYDEHYILTFPNGYGRSILTVPWVELGGECNINCSKTGYSANI VFHTKPFYGGKKHRITAEIFSPNDKKSFCSIEGEWNGIMYAKYATGENTVFVDTKKLPII KKKVRKLEDQNEYESRSLWKDVTFNLKIRDIDAATEAKHRLEERQRAEARERKEKEIQWE TRLFHEDGECWVYDEPLLKRLGAVKH >ENSMUSP00000124742.1 pep:known chromosome:GRCm38:4:109061655:109202217:-1 gene:ENSMUSG00000028559.17 transcript:ENSMUST00000160774.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl9 description:oxysterol binding protein-like 9 [Source:MGI Symbol;Acc:MGI:1923784] MASIVEGPLSKWTNVMKGWQYRWFVLDYNAGLLSYYTGAVIGIDDEDDSTFTITVDQKTF HFQARDADEREKWIHALEETILRHTLQLQGLDSGFIPSVQDFDKKLTEADAYLQILIEQL KLFDDKLQNCKDDEQRKKVETLKDTTNSMVESIKHCIVLLQIAKDQSNAEQHADGIISTI NPVDAIYQPSPLEPVISTMPSQTALPPEPAQLCKSEQRPSSLPVGPVLATLGHHQTPTPN STGSGNSPPSSSLTPPSHVNLSPNTVPEFSYSSSEDEFYDADEFHQSGSSPKRLIDSSGS ASVLTHSSSGNSLKRPDTTESLNSSMSNGTSDADLFDSHDDRDDDGEAGSVEEHKSVIMH LLSQVRLGMDLTKVVLPTFILERRSLLEMYADFFAHPDLFVSISDQKDPRDRMVQVVKWY LSAFHAGRRGSVAKKPYNPILGEIFQCHWTLPNDTEENAELVSEGPVPWVSKNSVTFVAE QVSHHPPISAFYAECFNKKIQFNAHIWTKSKFLGMSIGVHNIGQGCVSCLEYDEHYILTF PNGYGRSILTVPWVELGGECNINCSKTGYSANIVFHTKPFYGGKKHRITAEIFSPNDKKS FCSIEGEWNGIMYAKYATGENTVFVDTKKLPIIKKKVRKLEDQNEYESRSLWKDVTFNLK IRDIDAATEAKHRLEERQRAEARERKEKEIQWETRLFHEDGECWVYDEPLLKRLGAVKH >ENSMUSP00000123856.1 pep:known chromosome:GRCm38:4:109061655:109202234:-1 gene:ENSMUSG00000028559.17 transcript:ENSMUST00000159545.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Osbpl9 description:oxysterol binding protein-like 9 [Source:MGI Symbol;Acc:MGI:1923784] MASIVEGPLSKWTNVMKGWQYRWFVLDYNAGLLSYYTSKDKMMRGSRRGCVRLRLEMQTS ERSGSMP >ENSMUSP00000030288.7 pep:known chromosome:GRCm38:4:109061655:109202272:-1 gene:ENSMUSG00000028559.17 transcript:ENSMUST00000030288.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl9 description:oxysterol binding protein-like 9 [Source:MGI Symbol;Acc:MGI:1923784] MASIVEGPLSKWTNVMKGWQYRWFVLDYNAGLLSYYTSKDKMMRGSRRGCVRLRGAVIGI DDEDDSTFTITVDQKTFHFQARDADEREKWIHALEETILRHTLQLQGLDSGFIPSVQDFD KKLTEADAYLQILIEQLKLFDDKLQNCKDDEQRKKVETLKDTTNSMVESIKHCIVLLQIA KDQSNAEQHADGIISTINPVDAIYQPSPLEPVISTMPSQTALPPEPAQLCKSEQRPSSLP VGPVLATLGHHQTPTPNSTGSGNSPPSSSLTPPSHVNLSPNTVPEFSYSSSEDEFYDADE FHQSGSSPKRLIDSSGSASVLTHSSSGNSLKRPDTTESLNSSMSNGTSDADLFDSHDDRD DDGEAGSVEEHKSVIMHLLSQVRLGMDLTKVVLPTFILERRSLLEMYADFFAHPDLFVSI SDQKDPRDRMVQVVKWYLSAFHAGRRGSVAKKPYNPILGEIFQCHWTLPNDTEENAELVS EGPVPWVSKNSVTFVAEQVSHHPPISAFYAECFNKKIQFNAHIWTKSKFLGMSIGVHNIG QGCVSCLEYDEHYILTFPNGYGRSILTVPWVELGGECNINCSKTGYSANIVFHTKPFYGG KKHRITAEIFSPNDKKSFCSIEGEWNGIMYAKYATGENTVFVDTKKLPIIKKKVRKLEDQ NEYESRSLWKDVTFNLKIRDIDAATEAKHRLEERQRAEARERKEKEIQWETRLFHEDGEC WVYDEPLLKRLGAVKH >ENSMUSP00000124370.2 pep:known chromosome:GRCm38:4:109062079:109202272:-1 gene:ENSMUSG00000028559.17 transcript:ENSMUST00000162787.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl9 description:oxysterol binding protein-like 9 [Source:MGI Symbol;Acc:MGI:1923784] MASIVEGPLSKWTNVMKGWQYRWFVLDYNAGLLSYYTSKDKMMRGSRRGCVRLRGAVIGI DDEDDSTFTITVDQKTFHFQARDADEREKWIHALEETILRHTLQLQGLDSGFIPSVQDFD KKLTEADAYLQILIEQLKLFDDKLQNCKDDEQRKKVETLKDTTNSMVESIKHCIVLLQIA KSTINPVDAIYQPSPLEPVISTMPSQTALPPEPAQLCKSEQRPSSLPVGPVLATLGHHQT PTPNSTGSGNSPPSSSLTPPSHVNLSPNTVPEFSYSSSEDEFYDADEFHQSGSSPKRLID SSGSASVLTHSSSGNSLKRPDTTESLNSSMSNGTSDADLFDSHDDRDDDGEAGSVEEHKS VIMHLLSQVRLGMDLTKVVLPTFILERRSLLEMYADFFAHPDLFVSISDQKDPRDRMVQV VKWYLSAFHAGRRGSVAKKPYNPILGEIFQCHWTLPNDTEENAELVSEGPVPWVSKNSVT FVAEQVSHHPPISAFYAECFNKKIQFNAHIWTKSKFLGMSIGVHNIGQGCVSCLEYDEHY ILTFPNGYGRSILTVPWVELGGECNINCSKTGYSANIVFHTKPFYGGKKHRITAEIFSPN DKKSFCSIEGEWNGIMYAKYATGENTVFVDTKKLPIIKKKVRKLEDQNEYESRSLWKDVT FNLKIRDIDAATEAKHRLEERQRAEARERKEKEIQWETRLFHEDGECWVYDEPLLKRLGA VKH >ENSMUSP00000125714.1 pep:known chromosome:GRCm38:4:109062087:109156610:-1 gene:ENSMUSG00000028559.17 transcript:ENSMUST00000161363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl9 description:oxysterol binding protein-like 9 [Source:MGI Symbol;Acc:MGI:1923784] MGCCCCCWLVLTEARDADEREKWIHALEETILRHTLQLQGLDSGFIPSVQDFDKKLTEAD AYLQILIEQLKLFDDKLQNCKDDEQRKKVETLKDTTNSMVESIKHCIVLLQIAKSTINPV DAIYQPSPLEPVISTMPSQTALPPEPAQLCKSEQRPSSLPVGPVLATLGHHQTPTPNSTG SGNSPPSSSLTPPSHVNLSPNTVPEFSYSSSEDEFYDADEFHQSGSSPKRLIDSSGSASV LTHSSSGNSLKRPDTTESLNSSMSNGTSDADLFDSHDDRDDDGEAGSVEEHKSVIMHLLS QVRLGMDLTKVVLPTFILERRSLLEMYADFFAHPDLFVSISDQKDPRDRMVQVVKWYLSA FHAGRRGSVAKKPYNPILGEIFQCHWTLPNDTEENAELVSEGPVPWVSKNSVTFVAEQVS HHPPISAFYAECFNKKIQFNAHIWTKSKFLGMSIGVHNIGQGCVSCLEYDEHYILTFPNG YGRSILTVPWVELGGECNINCSKTGYSANIVFHTKPFYGGKKHRITAEIFSPNDKKSFCS IEGEWNGIMYAKYATGENTVFVDTKKLPIIKKKVRKLEDQNEYESRSLWKDVTFNLKIRD IDAATEAKHRLEERQRAEARERKEKEIQWETRLFHEDGECWVYDEPLLKRLGAVKH >ENSMUSP00000101719.1 pep:known chromosome:GRCm38:11:121259990:121309896:1 gene:ENSMUSG00000039275.9 transcript:ENSMUST00000106113.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxk2 description:forkhead box K2 [Source:MGI Symbol;Acc:MGI:1916087] MAAAAALSGAGAPPAGGGAGGGGSPPGGWAVARLEGREFEYLMKKRSVTIGRNSSQGSVD VSMGHSSFISRRHLEIFTPPGGGHSAAAPEPAQPRPDAGGDFYLRCLGKNGVFVDGVFQR RGAPPLQLPRVCTFRFPSTNIKITFTALSSEKREKQEAPESPVKPVQPHISPLTINIPDT MAHLISPLPSPTGTISAANSCPSSPRGAGSSGYKVGRVMPSDLSLMADNSQPENEKEASG GDSPKDDSKPPYSYAQLIVQAITMAPDKQLTLNGIYTHITKNYPYYRTADKGWQNSIRHN LSLNRYFIKVPRSQEEPGKGSFWRIDPASESKLVEQAFRKRRPRGVPCFRTPLGPLSSRS APASPNHAGVLSAHSSGAQTPESLSREGSPAPLEPEPGASQPKLAVIQEARFAQSAPGSP LSSQPVLITVQRQLPPAIKPVTYTVATPVTTPTSQPPVVQTVHVVHQIPAVSVTSVAGLA PANTYTVAGQAVVTQAAVLAPPNPEPQENGDHREVRVKVEPVPAISPATLGAASRIIQTS QGTPVQTVTIVQQAPLGQHQLPIKTVTQNGAHVVPMPTAVHSQVNNAAASPLHMLATHAS ASASLPTKRQNGDQAEQPELKRVKAEDGESIVIALSVDAPPAAVREKAIQN >ENSMUSP00000033198.5 pep:known chromosome:GRCm38:7:120186380:120202111:-1 gene:ENSMUSG00000030905.5 transcript:ENSMUST00000033198.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crym description:crystallin, mu [Source:MGI Symbol;Acc:MGI:102675] MKRAPAFLSAEEVQDHLRSSSLLIPPLEAALANFSKGPDGGVMQPVRTVVPVAKHRGFLG VMPAYSAAEDALTTKLVTFYEGHSNTAVPSHQASVLLFDPSNGSLLAVMDGNVITAKRTA AVSAIATKLLKPPGSDVLCILGAGVQAYSHYEIFTEQFSFKEVRMWNRTRENAEKFASTV QGDVRVCSSVQEAVTGADVIITVTMATEPILFGEWVKPGAHINAVGASRPDWRELDDELM RQAVLYVDSREAALKESGDVLLSGADIFAELGEVISGAKPAHCEKTTVFKSLGMAVEDLV AAKLVYDSWSSGK >ENSMUSP00000140752.1 pep:known chromosome:GRCm38:1:91373861:91398700:-1 gene:ENSMUSG00000026309.14 transcript:ENSMUST00000187049.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ilkap description:integrin-linked kinase-associated serine/threonine phosphatase 2C [Source:MGI Symbol;Acc:MGI:1914694] XLFGDLPEPERAPRPSAGKEAQGRPVLFEDLPPASSTDSGSGGPLLFDDLPPAASGNSGS QVVKTEGKGAKRKAPEEEKNGGEELVEKKPLR >ENSMUSP00000140905.1 pep:known chromosome:GRCm38:1:91373865:91384626:-1 gene:ENSMUSG00000026309.14 transcript:ENSMUST00000190747.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilkap description:integrin-linked kinase-associated serine/threonine phosphatase 2C [Source:MGI Symbol;Acc:MGI:1914694] RCLLDTFKHTDEEFLKQASSQKPAWKDGSTATCVLAVDNILYIANLGDSRAILCRYNEES QKHAALSLSKEHNPTQYEERMRIQKAGGNVRDGRVLGVLEVSRSIGDGQYKRCGVTSVPD IRRCQLTPNDRFILLACDGLFKVFTPEEAVNFILSCLESLPLMVFGEVSWKEVMLLGSAL HC >ENSMUSP00000027534.6 pep:known chromosome:GRCm38:1:91375831:91398783:-1 gene:ENSMUSG00000026309.14 transcript:ENSMUST00000027534.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilkap description:integrin-linked kinase-associated serine/threonine phosphatase 2C [Source:MGI Symbol;Acc:MGI:1914694] MDLFGDLPEPERAPRPSAGKEAQGRPVLFEDLPPASSTDSGSGGPLLFDDLPPAASGNSG SLATSGSQVVKTEGKGAKRKAPEEEKNGGEELVEKKVCKASSVIFGLKGYVAERKGEREE MQDAHVILNDITQECNPPSSLITRVSYFAVFDGHGGIRASKFAAQNLHQNLIRKFPKGDI ISVEKTVKRCLLDTFKHTDEEFLKQASSQKPAWKDGSTATCVLAVDNILYIANLGDSRAI LCRYNEESQKHAALSLSKEHNPTQYEERMRIQKAGGNVRDGRVLGVLEVSRSIGDGQYKR CGVTSVPDIRRCQLTPNDRFILLACDGLFKVFTPEEAVNFILSCLEDDKIQTREGKPAVD ARYEAACNRLANKAVQRGSADNVTVMVVRIGH >ENSMUSP00000139962.1 pep:known chromosome:GRCm38:1:91375959:91384573:-1 gene:ENSMUSG00000026309.14 transcript:ENSMUST00000187231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilkap description:integrin-linked kinase-associated serine/threonine phosphatase 2C [Source:MGI Symbol;Acc:MGI:1914694] XSSQKPAWKDGSTATCVLAVDNILYIANLGDSRAILCRYNEESQKHAALSLSKEHNPTQY EERMRIQKAGGNVRDGRVLGVLEVSRSIGDGQYKRCGVTSVPDIRRCQLTPNDRFILLAC DGLFKVFTPEEAVNFILSCLEVRHLRGCATCLSCFVSIAPQPLCQE >ENSMUSP00000139834.1 pep:known chromosome:GRCm38:1:91376054:91398793:-1 gene:ENSMUSG00000026309.14 transcript:ENSMUST00000187306.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilkap description:integrin-linked kinase-associated serine/threonine phosphatase 2C [Source:MGI Symbol;Acc:MGI:1914694] MDLFGDLPEPERAPRPSAGKEAQGRPVLFEDLPPASSTDSGSGGPLLFDDLPPAASGNSG SFCWLATGFSRSLPQKKL >ENSMUSP00000140182.1 pep:known chromosome:GRCm38:1:91376055:91398801:-1 gene:ENSMUSG00000026309.14 transcript:ENSMUST00000187678.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ilkap description:integrin-linked kinase-associated serine/threonine phosphatase 2C [Source:MGI Symbol;Acc:MGI:1914694] MDLFGDLPEPERAPRPSAGKEAQGRPVLFEDLPPASSTDSV >ENSMUSP00000140074.1 pep:known chromosome:GRCm38:1:91384625:91398785:-1 gene:ENSMUSG00000026309.14 transcript:ENSMUST00000186986.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ilkap description:integrin-linked kinase-associated serine/threonine phosphatase 2C [Source:MGI Symbol;Acc:MGI:1914694] MDLFGDLPEPERAPRPSAGKEAQGRPVLFEDLPPASSTDSGSGGPLLFDDLPPAASGNSG SLATSGSQVVKTEGKGAKRKAPEEEKNGGEELVEKKPLR >ENSMUSP00000140048.1 pep:known chromosome:GRCm38:1:91385344:91398778:-1 gene:ENSMUSG00000026309.14 transcript:ENSMUST00000190519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilkap description:integrin-linked kinase-associated serine/threonine phosphatase 2C [Source:MGI Symbol;Acc:MGI:1914694] MDLFGDLPEPERAPRPSAGKEAQGRPVLFEDLPPASSTDSGSGGPLLFDDLPPAASGNSG SQVVKTEGKGAKRKAPEEEKNGGEELVEKKVCKASSVIFGLKGYVAERKGEREEMQDAHV ILNDITQECNPPSSLIT >ENSMUSP00000140736.1 pep:known chromosome:GRCm38:8:129216354:129234046:-1 gene:ENSMUSG00000071302.10 transcript:ENSMUST00000190157.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610044O15Rik8 description:RIKEN cDNA 2610044O15 gene [Source:MGI Symbol;Acc:MGI:1919389] MDAVTYDDVHMNFTEEEWDLLDSSQKRLYEEVMLETYQNLTDIGYNWQDHHIEEHCQSSR RHERHERSHIGEKPYERNQCGDYSYMIG >ENSMUSP00000139392.1 pep:known chromosome:GRCm38:8:129217543:129234013:-1 gene:ENSMUSG00000071302.10 transcript:ENSMUST00000191043.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610044O15Rik8 description:RIKEN cDNA 2610044O15 gene [Source:MGI Symbol;Acc:MGI:1919389] MDAVTYDDVHMNFTEEEWDLLDSSQKRLYEEVMLETYQNLTDIGYNWQDHHIEEHCQSSR RHERGLQLHDWMTLRRDFELWNFNIFETGIDDGDF >ENSMUSP00000140555.1 pep:known chromosome:GRCm38:8:129217635:129234368:-1 gene:ENSMUSG00000071302.10 transcript:ENSMUST00000188757.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610044O15Rik8 description:RIKEN cDNA 2610044O15 gene [Source:MGI Symbol;Acc:MGI:1919389] MDAVTYDDVHMNFTEEEWDLLDSSQKRLYEEVMLETYQNLTDIGYNWQDHHIEEHCQSSR RHERHERSHIGEKPYERNQCGDYSYMIG >ENSMUSP00000140654.1 pep:known chromosome:GRCm38:8:129218117:129234018:-1 gene:ENSMUSG00000071302.10 transcript:ENSMUST00000186619.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610044O15Rik8 description:RIKEN cDNA 2610044O15 gene [Source:MGI Symbol;Acc:MGI:1919389] MDAVTYDDVHMNFTEEEWDLLDSSQKRLYEEVMLETYQNLTDIGYNWQDHHIEEHCQSSR RHERHERSHIGEKPYERNQCGKAFERYNHLQIHKRTHTRQKPYECNQCGKVLSCHRSLQY HKRTHTGEKTCECNQCGKAFIRPTQLQTHKRTHSGEKPYECNQCGKAFAVQYNFQKHKRI HTGEKPYQCNQCDKAFSQNCSLQYHKRTHTGEKPYECNQCGKAFAFQSSLQYHKRKHTGE KPYQCNQCGKAFAHHSSLQYHKIKHTGEKPCECTQCGKAFARPNELQNHKRTHTGEKPHE CNQCGKAFAVQYNLQKHKRIHTGEKPYQCNQCDKAFSQKSNLQYHKRTHTGEKPYECNQC GKAFTAHCSLQIHKRMHTGEKPYQCNQCGKTFVHHTSLQYHKRIHFRKKP >ENSMUSP00000140304.1 pep:known chromosome:GRCm38:8:129220095:129234406:-1 gene:ENSMUSG00000071302.10 transcript:ENSMUST00000189965.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610044O15Rik8 description:RIKEN cDNA 2610044O15 gene [Source:MGI Symbol;Acc:MGI:1919389] MDAVTYDDVHMNFTEEEWDLLDSSQKRLYEEVMLETYQNLTDIGYNWQDHHIEEHCQSSR RHERHERSHIGEKPYERNQCGKA >ENSMUSP00000078254.6 pep:known chromosome:GRCm38:8:129217724:129221950:-1 gene:ENSMUSG00000071302.10 transcript:ENSMUST00000079272.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610044O15Rik8 description:RIKEN cDNA 2610044O15 gene [Source:MGI Symbol;Acc:MGI:1919389] DAVTYDDVHMNFTEEEWDLLDSSQKRLYEEVMLETYQNLTDIGYNWQDHHIEEHCQSSRR HERHERSHIGEKPYERNQCGDYSYMIG >ENSMUSP00000111523.2 pep:known chromosome:GRCm38:18:12741324:12755146:1 gene:ENSMUSG00000024430.13 transcript:ENSMUST00000115857.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabyr description:calcium-binding tyrosine-(Y)-phosphorylation regulated (fibrousheathin 2) [Source:MGI Symbol;Acc:MGI:1918382] MISSKPRLVVPYGLKTLLEGVSRAILKTNPTNITQFAAVYFKELIVFREGNSSLDIKDLI KQFHQMKVEKWAEGVTVEKKECIKEPIKPPPVPCKPTHMEKSTDTEEDNVAGPLFSNKTT QFPSVHAEVQSEETSEGARGPSDKPTTPKTDYTPPSSPPPAPVSAEYAYVPADPAQFAAQ MLGNVPSTYSEVLMVDVATSTPAVPQDVLSAEFAEEVVLSAPLVCSGETVEVQVVSKTSA QVVVGPVSEAEPPKASSAPLQGEQEPPAHEAPDTQVTSASRISSIYNDVPVNEGVVYVEE IPGYIVIPFTDHDQVACVKEIEQSPPGSPKAVEPKTKISIESLKTVQVEENSQHKSSVHV EAEATVLLSNTALDGQPEVPAEPLDAEGFFKVASENSLHLETEIVIINPDDPGQEESGGN AAPHSSGDPFPPAPGGLTEPEMQPDGEAAPEQV >ENSMUSP00000079277.4 pep:known chromosome:GRCm38:18:12741347:12755140:1 gene:ENSMUSG00000024430.13 transcript:ENSMUST00000080415.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cabyr description:calcium-binding tyrosine-(Y)-phosphorylation regulated (fibrousheathin 2) [Source:MGI Symbol;Acc:MGI:1918382] MISSKPRLVVPYGLKTLLEGVSRAILKTNPTNITQFAAVYFKELIVFREGNSSLDIKDLI KQFHQMKVEKWAEGVTVEKKECIKEPIKPPPVPCKPTHMEKSTDTEEDNVAGPLFSNKTT QFPSVHAEVQSEETSEGARGPSDKPTTPKTDYTPPSSPPPAPVSAEYAYVPADPAQFAAQ MLGNVPSTYSEVLMVDVATSTPAVPQDVLSAEFAEEVVLSAPLVCSGETVEVQVVSKTSA QVVVGPVSEAEPPKASSAPLQGEQEPPAHEAPDTQVTSASRISSIYNDVPVNEGVVYVEE IPGYIVIPFTDHDQVACVKEIEQSPPGSPKAVEPKTKISIESLKTVQVEENSQHKSSVHV EAEATVLLSNTALDGQPEVPAEPLDAEGFFKVASENSLHLETEIVIINPDDPGQEESGGN AAPHSSGDPFPPAPGGLTEPEMQPDGEAAPEQV >ENSMUSP00000113131.1 pep:known chromosome:GRCm38:18:12741349:12755140:1 gene:ENSMUSG00000024430.13 transcript:ENSMUST00000121018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabyr description:calcium-binding tyrosine-(Y)-phosphorylation regulated (fibrousheathin 2) [Source:MGI Symbol;Acc:MGI:1918382] MISSKPRLVVPYGLKTLLEGVSRAILKTNPTNITQFAAVYFKELIVFREGNSSLDIKDLI KQFHQMKVEKWAEGVTVEKKECIKEPIKPPPVPCKPTHMEKSTDTEEDNVAGPLFSNKTT QFPSVHAEVQSEETSEGARGPSDKPTTPKTDYTPPSSPPPAPVSAEYAYVPADPAQFAAQ MLALATSEAGQPPPYSNMWTLYCLTDMNQQSRPSPPPAPGPFPQATLYLPNPKEPQFLQN PPKVTSPTYVMMDDSKKTNAPPFILVGSNVQEAQDWNPLPGHAVVSQAEALKRYAAVQVP IAVPADQTFQRPAPNPQNASPPTSGQDGPRPKSPVFLSVAFPVEDVAKKSSGSGDKRTPF GSYGIAGEITVTTAHVRRAEP >ENSMUSP00000118330.1 pep:known chromosome:GRCm38:18:12741355:12755142:1 gene:ENSMUSG00000024430.13 transcript:ENSMUST00000150758.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cabyr description:calcium-binding tyrosine-(Y)-phosphorylation regulated (fibrousheathin 2) [Source:MGI Symbol;Acc:MGI:1918382] MISSKPRLVVPYGLKTLLEGVSRAILKTNPTNITQFAAVYFKELIVFREGNSSLDIKDLI KQFHQMKVEKWAEGVTVEKKECIKEPIKPPPVPCKPTHMEKSTDTEEDNVAGPLFSNKTT QFPSVHAEVQSEETSEGARGPSDKPTTPKTDYTPPSSPPPAPVSAEYAYVPADPAQFAAQ MLGNVPSTYSEVLMVDVATSTPAVPQDVLSAEFAEEVVLSAPLVCSGETVEVQVVSKTSA QVVVGPVSEAEPPKASSAPLQGEQEPPAHEAPDTQVTSASRISSIYNDVPVNEGVVYVEE IPGYIVIPFTDHDQVACVKEIEQSPPGSPKAVEPKTKISIESLKTVQVEENSQHKSSVHV EAEATVLLSNTALDGQPEVPAEPLDAEGFFKVASENSLHLETEIVIINPDDPGQEESGGN AAPHSSGDPFPPAPGGLTEPEMQPDGEAAPEQV >ENSMUSP00000113760.1 pep:known chromosome:GRCm38:18:12741355:12755142:1 gene:ENSMUSG00000024430.13 transcript:ENSMUST00000119108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabyr description:calcium-binding tyrosine-(Y)-phosphorylation regulated (fibrousheathin 2) [Source:MGI Symbol;Acc:MGI:1918382] MISSKPRLVVPYGLKTLLEGVSRAILKTNPTNITQFAAVYFKELIVFREGNSSLDIKDLI KQFHQMKVEKWAEGVTVEKKECIKEPIKPPPVPCKPTHMEKSTDTEEDNVAGPLFSNKTT QFPSVHAEVQSEETSEGARGPSDKPTTPKTDYTPPSSPPPAPVSAEYAYVPADPAQFAAQ MLEDVAKKSSGSGDKRTPFGSYGIAGEITVTTAHVRRAEP >ENSMUSP00000140894.1 pep:known chromosome:GRCm38:18:12741355:12755142:1 gene:ENSMUSG00000024430.13 transcript:ENSMUST00000191078.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabyr description:calcium-binding tyrosine-(Y)-phosphorylation regulated (fibrousheathin 2) [Source:MGI Symbol;Acc:MGI:1918382] MISSKPRLVVPYGLKTLLEGVSRAILKTNPTNITQFAAVYFKELIVFREGNSSLDIKDLI KQFHQMKVEKWAEGVTVEKKECIKEPIKPPPVPCKPTHMEKSTDTEEDNVAGPLFSNKTT QFPSVHAEVQSEETSEGARGPSDKPTTPKTDYTPPSSPPPAPVSAEYAYVPADPAQFAAQ MLGNVPSTYSEVLMVDVATSTPAVPQDVLSAEFAEEVVLSAPLVCSGETVEVQVVSKTSA QVVVGPVSEAEPPKASSAPLQGEQEPPAHEAPDTQVTSASRISSIYNDVPVNEGVVYVEE IPGYIVIPFTDHDQVACVKEIEQSPPGSPKAVEPKTKISIESLKTVQVEENSQHKSSVHV EAEATVLLSNTALDGQPEVPAEPLDAEGFFKVASENSLHLETEIVIINPDDPGQEESGGN AAPHSSGDPFPPAPGGLTEPEMQPDGEAAPEQV >ENSMUSP00000140870.1 pep:known chromosome:GRCm38:18:12741355:12755142:1 gene:ENSMUSG00000024430.13 transcript:ENSMUST00000186263.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabyr description:calcium-binding tyrosine-(Y)-phosphorylation regulated (fibrousheathin 2) [Source:MGI Symbol;Acc:MGI:1918382] MISSKPRLVVPYGLKTLLEGVSRAILKTNPTNITQFAAVYFKELIVFREGNSSLDIKDLI KQFHQMKVEKWAEGVTVEKKECIKEPIKPPPVPCKPTHMEKSTDTEEDNVAGPLFSNKTT QFPSVHAEVQSEETSEGARGPSDKPTTPKTDYTPPSSPPPAPVSAEYAYVPADPAQFAAQ MLGNVPSTYSEVLMVDVATSTPAVPQDVLSAEFAEEVVLSAPLVCSGETVEVQVVSKTSA QVVVGPVSEAEPPKASSAPLQGEQEPPAHEAPDTQVTSASRISSIYNDVPVNEGVVYVEE IPGYIVIPFTDHDQVACVKEIEQSPPGSPKAVEPKTKISIESLKTVQVEENSQHKSSVHV EAEATVLLSNTALDGQPEVPAEPLDAEGFFKVASENSLHLETEIVIINPDDPGQEESGGN AAPHSSGDPFPPAPGGLTEPEMQPDGEAAPEQV >ENSMUSP00000032500.8 pep:known chromosome:GRCm38:6:127689011:127769472:-1 gene:ENSMUSG00000030350.8 transcript:ENSMUST00000032500.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt8 description:protein arginine N-methyltransferase 8 [Source:MGI Symbol;Acc:MGI:3043083] MGMKHSSRCLLLRRKMAENAVESTEVSSAPPQPPQPVIPAKPVQCVHHVSTQPSCPGRGK MSKLLNPEEMTSRDYYFDSYAHFGIHEEMLKDEVRTLTYRNSMYHNKHVFKDKVVLDVGS GTGILSMFAAKAGAKKVFGIECSSISDYSEKIIKANHLDNVITIFKGKVEEVELPVEKVD IIISEWMGYCLFYESMLNTVIFARDKWLKPGGLMFPDRAALYVVAIEDRQYKDFKIHWWE NVYGFDMTCIRDVAMKEPLVDIVDPKQVVTNACLIKEVDIYTVKTEELSFTSAFCLQIQR NDYVHALVTYFNIEFTKCHKKMGFSTAPDAPYTHWKQTVFYLEDYLTVRRGEEIYGTISM KPNAKNVRDLDFTVDLDFKGQLCETSVSNDYKMR >ENSMUSP00000116647.1 pep:known chromosome:GRCm38:9:99629496:99637796:1 gene:ENSMUSG00000037784.14 transcript:ENSMUST00000131095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzip1l description:DAZ interacting protein 1-like [Source:MGI Symbol;Acc:MGI:1919757] MQYPAATAEGLSGPLSGAYTLPAFKFQPRRESIDWRRISAVDVDRVARELDVATLQENIA GVTFCNLDGEVCNHCRQPVDPVLLKVLRLAQLIIEYLLHCQDCLSASVAQLEARLQASLG QQQRG >ENSMUSP00000077475.5 pep:known chromosome:GRCm38:9:99629542:99669256:1 gene:ENSMUSG00000037784.14 transcript:ENSMUST00000078367.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzip1l description:DAZ interacting protein 1-like [Source:MGI Symbol;Acc:MGI:1919757] MQYPAATAEGLSGPLSGAYTLPAFKFQPRRESIDWRRISAVDVDRVARELDVATLQENIA GVTFCNLDGEVCNHCRQPVDPVLLKVLRLAQLIIEYLLHCQDCLSASVAQLEARLQASLG QQQRGQQELGRQADELKGVREESRRRRKMISTLQQLLLQTSAHSYHTCHLCDKTFMNATF LRGHIQRRHAGMADVGKQKQEQPLGEVLEELRAKLKWTQGELEAQREAERQRQVQELEMA RQREMEAKKKFDEWKEKERSKLYGEIDKLKQLFWDEFKTVANQNSTLEEKLKALQSYSMT ESHLGSLRDEESEERLKHAQEVQALQEKMEVQKTEWKRKMKALHEERAAERRQLQEENER LHVSLSQDQKKAAAQSQRHINALRAQLQEQARLIESQEETIQTLSLRKVEEVQEMPKAVA TEEDSSEEELEASLEERQEQRKVLAALRKNPTWLKQFRPILEDTLEEKLEGLGIKRDTKG ISAQTVRRLEPLLRTQREQIARSFREFPSLREKLNKEVSSRVKQRWESTTQPDGQPPVKS QRVTLATREVRPKTRTLTVALPSKPAEPSTPTLQGHSSHGPGLTQVSTPIPRPRVHGPSS TPVSPGSGLSSTPPFSSEEEPEGDVVQRVSLQPPKVLPRSAAKPEDNWGWSDSETSEESA QPPGKGSGGLASSGTLVQSIVKNLEKQLETPAKKPSGGVNMFLRPNAALQRASTPARKSQ LSEDESDVEISSLEDLSQDLGQKGKPKPLSHSKLPEKFDVSPWSSGSRPRIPGW >ENSMUSP00000108506.2 pep:known chromosome:GRCm38:9:99629578:99669256:1 gene:ENSMUSG00000037784.14 transcript:ENSMUST00000112885.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzip1l description:DAZ interacting protein 1-like [Source:MGI Symbol;Acc:MGI:1919757] MQYPAATAEGLSGPLSGAYTLPAFKFQPRRESIDWRRISAVDVDRVARELDVATLQENIA GVTFCNLDGEVCNHCRQPVDPVLLKVLRLAQLIIEYLLHCQDCLSASVAQLEARLQASLG QQQRGQQELGRQADELKGVREESRRRRKMISTLQQLLLQTSAHSYHTCHLCDKTFMNATF LRGHIQRRHAGMADVGKQKQEQPLGEVLEELRAKLKWTQGELEAQREAERQRQVQELEMA RQREMEAKKKFDEWKEKERSKLYGEIDKLKQLFWDEFKTVANQNSTLEEKLKALQSYSMT ESHLGSLRDEESEERLKHAQEVQALQEKMEVQKTEWKRKMKALHEERAAERRQLQEENER LHVSLSQDQKKAAAQSQRHINALRAQLQEQARLIESQEETIQTLSLRKVEEVQEMPKAVA TEEDSSEEELEASLEERQEQRKVLAALRKNPTWLKQFRPILEDTLEEKLEGLGIKRDTKG ISAQTVRRLEPLLRTQREQIARSFREFPSLREKLNKEVSSRVKQRWESTTQPDGQPPVKS QRVTLATREVRPKTRTLTVALPSKPAEPSTPTLQGHSSHGPGLTQVSTPIPRPRVHGPSS TPVSPGSGLSSTPPFSSEEEPEGDVVQRVSLQPPKVLPRSAAKPEDNWGWSDSETSEESA QPPGKGSGGLASSGTLVQSIVKNLEKQLETPAKKPSGGVNMFLRPNAALQRASTPARKSQ LSEDESDVEISSLEDLSQDLGQKGKPKPLSHSKLPEKFDVSPWSSGSRPRIPGW >ENSMUSP00000108507.2 pep:known chromosome:GRCm38:9:99629843:99669256:1 gene:ENSMUSG00000037784.14 transcript:ENSMUST00000112886.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzip1l description:DAZ interacting protein 1-like [Source:MGI Symbol;Acc:MGI:1919757] MQYPAATAEGLSGPLSGAYTLPAFKFQPRRESIDWRRISAVDVDRVARELDVATLQENIA GVTFCNLDGEVCNHCRQPVDPVLLKVLRLAQLIIEYLLHCQDCLSASVAQLEARLQASLG QQQRGQQELGRQADELKGVREESRRRRKMISTLQQLLLQTSAHSYHTCHLCDKTFMNATF LRGHIQRRHAGMADVGKQKQEQPLGEVLEELRAKLKWTQGELEAQREAERQRQVQELEMA RQREMEAKKKFDEWKEKERSKLYGEIDKLKQLFWDEFKTVANQNSTLEEKLKALQSYSMT ESHLGSLRDEESEERLKHAQEVQALQEKMEVQKTEWKRKMKALHEERAAERRQLQEENER LHVSLSQDQKKAAAQSQRHINALRAQLQEQARLIESQEETIQTLSLRKVEEVQEMPKAVA TEEDSSEEELEASLEERQEQRKVLAALRKNPTWLKQFRPILEDTLEEKLEGLGIKRDTKG ISAQTVRRLEPLLRTQREQIARSFREFPSLREKLNKEVSSRVKQRWESTTQPDGQPPVKS QRVTLATREVRPKTRTLTVALPSKPAEPSTPTLQGHSSHGPGLTQVSTPIPRPRVHGPSS TPVSPGSGLSTPPFSSEEEPEGDVVQRVSLQPPKVLPRSAAKPEDNWGWSDSETSEESAQ PPGKGSGGLASSGTLVQSIVKNLEKQLETPAKKPSGGVNMFLRPNAALQRASTPARKSQL SEDESDVEISSLEDLSQDLGQKGKPKPLSHSKLPEKFDVSPWSSGSRPRIPGW >ENSMUSP00000108505.1 pep:known chromosome:GRCm38:9:99634861:99669163:1 gene:ENSMUSG00000037784.14 transcript:ENSMUST00000112884.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzip1l description:DAZ interacting protein 1-like [Source:MGI Symbol;Acc:MGI:1919757] MQYPAATAEGLSGPLSGAYTLPAFKFQPRRESIDWRRISAVDVDRVARELDVATLQENIA GVTFCNLDGEVCNHCRQPVDPVLLKVLRLAQLIIEYLLHCQDCLSASVAQLEARLQASLG QQQRGQQELGRQADELKGVREESRRRRKMISTLQQLLLQTSAHSYHTCHLCDKTFMNATF LRGHIQRRHAGMADVGKQKQEQPLGEVLEELRAKLKWTQGELEAQREAERQRQVQELEMA RQREMEAKKKFDEWKEKERSKLYGEIDKLKQLFWDEFKTVANQNSTLEEKLKALQSYSMT ESHLGSLRDEESEERLKHAQEVQALQEKMEVQKTEWKRKMKALHEERAAERRQLQEENER LHVSLSQDQKKAAAQSQRHINALRAQLQEQARLIESQEETIQTLSLRKVEEVQEMPKAVA TEEDSSEEELEASLEERQEQRKVLAALRKNPTWLKQFRPILEDTLEEKLEGLGIKRDTKG ISAQTVRRLEPLLRTQREQIARSFREFPSLREKLNKEVSSRVKQRWESTTQPDGQPPVKS QRVTLATREVRPKTRTLTVALPSKPAEPSTPTLQGHSSHGPGLTQVSTPIPRPRVHGPSS TPVSPGSGLSTPPFSSEEEPEGDVVQRVSLQPPKVLPRSAAKPEDNWGWSDSETSEESAQ PPGKGSGGLASSGTLVQSIVKNLEKQLETPAKKPSGGVNMFLRPNAALQRASTPARKSQL SEDESDVEISSLEDLSQDLGQKGKPKPLSHSKLPEKFDVSPWSSGSRPRIPGW >ENSMUSP00000106323.1 pep:known chromosome:GRCm38:5:144244437:144264395:1 gene:ENSMUSG00000047843.16 transcript:ENSMUST00000110695.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bri3 description:brain protein I3 [Source:MGI Symbol;Acc:MGI:1933174] MSATACLLLLDHKGALPGPTSGSLWPLLGVLRGLAMASTVQEAGIPTSHPRVYNIHSRTV TRYPANSIVVVGGCPVCRVGVLEYCFTCLGIFLAIVLFPFGFLCCFALRKRRCPNCGAVF T >ENSMUSP00000122016.1 pep:known chromosome:GRCm38:5:144255225:144446757:1 gene:ENSMUSG00000047843.16 transcript:ENSMUST00000155491.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bri3 description:brain protein I3 [Source:MGI Symbol;Acc:MGI:1933174] MDHKPLLQERPPAYNLEAGQGDYACGPHGYGAIPTAPPPPPYPYLVTGIPTSHPRVYNIH SRTVTRYPANSIVVVGGCPVCRGWRDESAVKEHWLLFLRS >ENSMUSP00000057263.6 pep:known chromosome:GRCm38:5:144255234:144264574:1 gene:ENSMUSG00000047843.16 transcript:ENSMUST00000056578.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bri3 description:brain protein I3 [Source:MGI Symbol;Acc:MGI:1933174] MDHKPLLQERPPAYNLEAGQGDYACGPHGYGAIPTAPPPPPYPYLVTGIPTSHPRVYNIH SRTVTRYPANSIVVVGGCPVCRVGVLEYCFTCLGIFLAIVLFPFGFLCCFALRKRRCPNC GAVFT >ENSMUSP00000011391.7 pep:known chromosome:GRCm38:9:110834588:110841310:1 gene:ENSMUSG00000047257.13 transcript:ENSMUST00000011391.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss45 description:protease, serine 45 [Source:MGI Symbol;Acc:MGI:3605764] MATSLRGLDAGPGSLRRWILICFAALLLLPPRPNLGYNENYTEPVCGTPWWPDNLEESHH WPWEASLQIEDKHVCGGALIDRSWVVSAAHCIQGNKEYSVMLGSSTLHPNGSSWTLKIPV GDIIIHPKYWGRNFIRSDIALLCLETPVTFNKYVQPICLPEHNFNFKVGTKCWVTGWGQV KQHSSAQLTPAPELWEAEVFIIDNKNCDSIFHKKTLYPQVVPLIRKNMICTTNYGEDLCY GDPGGPLACEIDGRWILAGVFSWEKACATVPNLSVYTRITKYTIWIKDQVSHGAQLGPCR TSWLLLLPWLLQLPVSL >ENSMUSP00000120471.1 pep:known chromosome:GRCm38:9:110834588:110841313:1 gene:ENSMUSG00000047257.13 transcript:ENSMUST00000146794.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss45 description:protease, serine 45 [Source:MGI Symbol;Acc:MGI:3605764] MATSLRGLDAGPGSLRRWILICFAALLLLPPRPNLVCGTPWWPDNLEESHHWPWEASLQI EDKHVCGGALIDRSWVVSAAHCIQGNKEYSVMLGSSTLHPNGSSWTLKIPVGDIIIHPKY WGRNFIRSDIALLCLETPVTFNKYVQPICLPEHNFNFKVGTKCWVTGWGQVKQHSSAQLT PAPELWEAEVFIIDNKNCDSIFHKKTLYPQVVPLIRKNMICTTNYGEDLCYGDPGGPLAC EIDGRWILAGVFSWEKACATVPNLSVYTRITKYTIWIKDQVSHGAQLGPCRTSWLLLLPW LLQLPVSL >ENSMUSP00000093097.3 pep:known chromosome:GRCm38:10:80340841:80348412:-1 gene:ENSMUSG00000043822.18 transcript:ENSMUST00000095446.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl5 description:ADAMTS-like 5 [Source:MGI Symbol;Acc:MGI:1913798] MAIHPDPALHCLLVTLCSLKPRLFPLLPFLLWTLLSCDLRGAAQGPGEWTPWGSWSRCSS SCGRGVSVRRRQCVRLPGEELCWGDSHEYRVCQLPDCPPGTTPFRDLQCSLYNGHPVLDT QKTYQWVPFHGAPNVCDLNCLAEGHAFYHSFGRVLDGTPCTPGTQGLCVAGRCLSAGCDG ILGSGTLEDRCGLCGGANDSCLFVQRVFRDAGAFAGFWNVTLIPEGARHIRVAQRSHNHL ALVTRGGHYVLNGDGVLSPPGTYEAAGTRVVYTRSAGPEETLQATGPTSQELLLQVLLRE PNPGVHFEFWLPRERYGPFQAQVQALGWPLRQPQPREVEPQPAETPVVPEAIPARVASLP PDPCGPCPDSRGRAHRLLHYCGSDFVFQARVLGRHRQAQETRYEVRVLLIYKSRTPLRTR EYVWAPGHCPCPPLAPHREYLLAARRLVSPDGTQDRLLLPHAGYARLWSPAEDSRVRLAA RRCPV >ENSMUSP00000100989.1 pep:known chromosome:GRCm38:10:80342739:80348412:-1 gene:ENSMUSG00000043822.18 transcript:ENSMUST00000105352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamtsl5 description:ADAMTS-like 5 [Source:MGI Symbol;Acc:MGI:1913798] MAIHPDPALHCLLVTLCSLKPRLFPLLPFLLWTLLSCDLRGAAQGPGEWTPWGSWSRCSS SCGRGVSVRRRQCVRLPGEELCWGDSHEYRVCQLPDCPPGTTPFRDLQCSLYNGHPVLDT QKTYQWVPFHGAPNVCDLNCLAEGHAFYHSFGRVLDGTPCTPGTQGLCVAGRCLSAGCDG ILGSGTLEDRCGLCGGANDSCLFVQRVFRDAGDCPILTHHQRLRNTVERGVPLTSLAPGS QGSC >ENSMUSP00000026670.4 pep:known chromosome:GRCm38:11:119538719:119547753:-1 gene:ENSMUSG00000025582.4 transcript:ENSMUST00000026670.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nptx1 description:neuronal pentraxin 1 [Source:MGI Symbol;Acc:MGI:107811] MLAGRAARTCALLALCLLGSGAQDFGPTRFICTSVPVDADMCAASVAAGGAEELRSNVLQ LRETVLQQKETILSQKETIRELTTKLGRCESQSTLDSGPGEARSGGGRKQPGSGKNTMGD LSRTPAAETLSQLGQTLQSLKTRLENLEQYSRLNSSSQTNSLKDLLQSKIDDLERQVLSR VNTLEEGKGGPKNDTEERAKIESALTSLHQRISELEKGQKDNRPGDKFQLTFPLRTNYMY AKVKKSLPEMYAFTVCMWLKSSAAPGVGTPFSYAVPGQANELVLIEWGNNPMEILINDKV AKLPFVINDGKWHHICVTWTTRDGVWEAYQDGTQGGNGENLAPYHPIKPQGVLVLGQEQD TLGGGFDATQAFVGELAHFNIWDRKLTPGEVYNLATCSSKALSGNVIAWAESQIEIFGGA TKWTFEACRQIN >ENSMUSP00000089860.5 pep:known chromosome:GRCm38:10:93540632:93584327:1 gene:ENSMUSG00000036168.15 transcript:ENSMUST00000092215.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc38 description:coiled-coil domain containing 38 [Source:MGI Symbol;Acc:MGI:2444738] MASQMHTSISSGDEGKDAVLKKERPYKIFFKDLFVFKENEIAARKKERMKNHSMKIYQKT TFSSRMKSRSHLGQLAFFADAGSSSYERLGLDPTLILRLTEGADRKRTVHEFINDQRDRF LLEYTVSTKKKTIKRFERLIAIKENQLKKAEKKLQDDALSFEEFLRENDQRSVDALKMAA QETINKLQMTSELKKASMEVQSIKSDIAKTEFLLKEYMKYGFFLLKLSPKQWQIQQSQKR AQSSKSNHILPKILEKFSINTAKGDNSIDSDKMSVSEEWSSRRGSQGGRHGKHTLGQDSR KSSGFTRPESMASEDSLEYFLEDELVEFDLLPEIYFKEPEELLQVFTELEEQNLTLVQYS QDVDENLEDVNKREKFIQDKINNNISFLLEHKHMLRMNCEREEEKAAELELRSRLFSFGE FNSDAQEKLIDSLSKKINQVYRVCIGDAEVGSLNAVQKLVKVESRLVELSDLIESIPREH VEAIERLKQKERRQKLREEKMKEKQRHQEERLKAALERAVAQPKKKLGRRLIYRSKPQSA DKQELLLVSDTRSKSQDEEYFFS >ENSMUSP00000001667.6 pep:known chromosome:GRCm38:5:87925579:87932665:1 gene:ENSMUSG00000001622.15 transcript:ENSMUST00000001667.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn3 description:casein kappa [Source:MGI Symbol;Acc:MGI:107461] MMRNFIVVVNILALTLPFLAAEIQNPDSNCRGEKNDIVYDEQRVLYTPVRSVLNFNQYEP NYYHYRPSLPATASPYMYYPLVVRLLLLRSPAPISKWQSMPNFPQSAGVPYAIPNPSFLA MPTNENQDNTAIPTIDPITPIVSTPVPTMESIVNTVANPEASTVSINTPETTTVPVSSTA A >ENSMUSP00000108892.1 pep:known chromosome:GRCm38:5:87925607:87930817:1 gene:ENSMUSG00000001622.15 transcript:ENSMUST00000113267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn3 description:casein kappa [Source:MGI Symbol;Acc:MGI:107461] MMRNFIVVVNILALTLPFLAAEIQNPDSNCRGEKNDIVYDEQRVLYTPVRSVLNFNQYEP NYYHYRPSLPATASPYMYYPLVVRLLLLRSPAPISKWQSMPNFPQSAGVPYAIPNPSFLA MPTNENQDNTAIPTIDPITPIVSTPVPTMESIVNTVANPEASTVSINTPETTTVPVSSTA A >ENSMUSP00000108896.2 pep:known chromosome:GRCm38:5:87925635:87932265:1 gene:ENSMUSG00000001622.15 transcript:ENSMUST00000113271.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csn3 description:casein kappa [Source:MGI Symbol;Acc:MGI:107461] MMRNFIVVVNILALTLPFLAAEIQNPDSNCRGEKNDIVYDEQRVLYTPVRSVLNFNQYEP NYYHYRPSLPATASPYMYYPLVVRLLLLRSPAPISKWQSMPNFPQSAGVPYAIPNPSFLA MPTNENQDNTAIPTIDPITPIVSTPVPTMESIVNTVANPEASTVSINTPETTTVPVSSTA A >ENSMUSP00000053129.7 pep:known chromosome:GRCm38:5:144264526:144358112:-1 gene:ENSMUSG00000038859.7 transcript:ENSMUST00000055190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap2l1 description:BAI1-associated protein 2-like 1 [Source:MGI Symbol;Acc:MGI:1914148] MSRGPEEVNRLTENTYRNVMEQFNPGLRNLINLGKNYEKAVNAMILAGKAYYDGVAKIGE IATGSPVSTELGHVLIEISSTHKKLNETLDENFKKFHKDIIHELEKKTELDVKYMNATLK RYQAEHRNKLDSLEKSQAELKKIRRKSQGGRNALKYEHKEIEYVETVTSRQSEIQKFIAD GCKEALLEEKRRFCFLVDKHCSFASHIHYYHMQSAELLNSKLPRWQETCCDATKVPEKIM NMIEEIKTPISTPVSGTPQPSPMIERSKMIGKDYDTLSKYSPKMPPAPSVKAYTSPLIDM FNNPATAAQSSEKTNNSTANTGEDPSLQRSVSVATGLNMMKKQKVKTIFPHTAGNNKTLL SFAQGDVLTLLIPEEKDGWLYGEHDTTKARGWFPSSYTKLLEENEAMSVPTPSPAPVRSI STVDLTEKSSVVIPPPDYLECLSMGATSDKKAGAPKVPSASTFRAPVSRPDATSTSPSDA NGTAKPPFLSGENPFATVKLRPTVTNDRSAPIIR >ENSMUSP00000116528.1 pep:known chromosome:GRCm38:5:72914304:72978829:1 gene:ENSMUSG00000036087.18 transcript:ENSMUST00000144843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slain2 description:SLAIN motif family, member 2 [Source:MGI Symbol;Acc:MGI:1923241] MEDVNSNVNADQEVRKLQELVKKLEKQNEQLRSRSGAVQGAGLLGPGSPARVGVSTPSSG AASPRGFPLGLGAKASGGAGSGPRRTSSEDLRDATSLLAAGEGGLLDEVEPLRPDELERL SGWEEEEESWLYSSPKKKLTPMQKSVSPLVWCRQVLDYPSPDVECAKKSLIHKLDQTMSA LKRQNLYNNPFNSVSYSNSYSPNASSPYSSGFNSPSSTPVRPPIVKQLILPGNSGNFKSS SDRNPPLSPQSSIDSELSASELDEDSIGSNYKLNDVTDVQILARMQEESLRQEYAASTSR RSSGSSCNSTRRGTFSDQELDAQSLDDEDDSLQHAVHPALNRFSPSPRNSPRPSPKQSPR NSPRSRSPARGIEYSRASPQPMISRLQQPRLSLQGHPTDLQTSNVKNEEKLRRSLPNLSR TSSTQVDSVKSSRSDSNFQVPNGGIPRMQPQASAIPSPGKFRSPAAPSPLALRQPVKAFS NHGSGSGSQETTQFTQTTSSPGPPVVQNSAPANPSSNINSATLTRPAGTTAMRSGLPRPS APSAGGIPVPRSKLVQPVRRSLPAPKSYGSMKDDSWKDGCY >ENSMUSP00000115871.1 pep:known chromosome:GRCm38:5:72914369:72978811:1 gene:ENSMUSG00000036087.18 transcript:ENSMUST00000143829.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slain2 description:SLAIN motif family, member 2 [Source:MGI Symbol;Acc:MGI:1923241] MEDVNSNVNADQEVRKLQELVKKLEKQNEQLRSRSGAVQGAGLLGPGSPARVGVSTPSSG AASPRGFPLGLGAKASGGAGSGPRRTSSEDLRDATSLLAAGEGGLLDEVEPLRPDELERL SGWEEEEESWLYSSPKKKLTPMQKSVSPLVWCRQVLDYPSPDVECAKKSLIHKLDQTMSA LKRQNLYNNPFNSVSYSNSYSPNASSPYSSGFNSPSSTPVRPPIVKQLILPGNSGNFKSS SDRNPPLSPQSSIDSELSASELDEDSIGSNYKLNDVTDVQILARMQEESLRQEYAASTSR RSSGSSCNSTRRGTFSDQELDAQSLDDEDDSLQHAVHPALNRFSPSPRNSPRPSPKQSPR NSPRSRSPARGIEYSRASPQPMISRLQQPRLSLQGHPTDLQTSNVKNEEKLRRSLPNLSR TSSTQVDSVKSSRSDSNFQVPNGGIPRMQPQASATSQRLKSLPRTSLKAKQLLPTSSTKR VPSPGKFRSPAAPSPLALRQPVKAFSNHGSGSGSQETTQFTQTTSSPGPPVVQNSAPANP SSNINSATLTRPAGTTAMRSGLPRPSAPSAGGIPVPRSKLVQPVRRSLPAPKSYGSMKDD SWKDGCY >ENSMUSP00000144204.1 pep:known chromosome:GRCm38:5:72914668:72957414:1 gene:ENSMUSG00000036087.18 transcript:ENSMUST00000200785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slain2 description:SLAIN motif family, member 2 [Source:MGI Symbol;Acc:MGI:1923241] MQKSVSPLVWCRQVLDYPSPDVECAKKSLIHKLDQTMSALKRQNLYNNPFNSVSYSNSYS PNASSPYSSGFNSPSSTPVRPPIVKQLILPGNSGNFKSSSDRNPPLSPQSSIDSELSASE LDEDSIGSNYKLNDVTDVQILARMQEESLRQEYAASTSRRSSGSSCNSTRRGTFSDQELD AQSLDDED >ENSMUSP00000084073.5 pep:known chromosome:GRCm38:1:91366430:91374217:1 gene:ENSMUSG00000047443.14 transcript:ENSMUST00000086861.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam132b description:family with sequence similarity 132, member B [Source:MGI Symbol;Acc:MGI:3606476] MASTRRPVGARTLLACASLLAAMGLGVPESAEPVGTHARPQPPGAELPAPPANSPPEPTI AHAHSVDPRDAWMLFVKQSDKGINSKRRSKARRLKLGLPGPPGPPGPQGPPGPFIPSEVL LKEFQLLLKGAVRQRESHLEHCTRDLTTPASGSPSRVPAAQELDSQDPGALLALLAATLA QGPRAPRVEAAFHCRLRRDVQVDRRALHELGIYYLPEVEGAFHRGPGLNLTSGQYTAPVA GFYALAATLHVALTEQPRKGPTRPRDRLRLLICIQSLCQHNASLETVMGLENSSELFTIS VNGVLYLQAGHYTSVFLDNASGSSLTVRSGSHFSAILLGL >ENSMUSP00000140509.1 pep:known chromosome:GRCm38:1:91370694:91374092:1 gene:ENSMUSG00000047443.14 transcript:ENSMUST00000190998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam132b description:family with sequence similarity 132, member B [Source:MGI Symbol;Acc:MGI:3606476] XSLCQHNASLETVMGLENSSELFTISVNGVLYLQAGHYTSVFLDNASGSSLTLTPG >ENSMUSP00000138486.1 pep:known chromosome:GRCm38:17:47505051:47598070:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000182129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQKEIKPHMRKML AYWMLEVCEEQRCEEDVFPLAMNYLDRYLSCVPTRKAQLQLLGTVCLLLASKLRETTPLT IEKLCIYTDQAVAPWQLREWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPSDRQALVKK HAQTFLALCATDYTFAMYPPSMIATGSIGAAVLG >ENSMUSP00000138658.1 pep:known chromosome:GRCm38:17:47505079:47597465:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000183210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MSCSERENERPPSKLALKCSGSYGPARPSRRERMMAVEWEVLVLGKLKWDLA >ENSMUSP00000138220.1 pep:known chromosome:GRCm38:17:47505119:47599683:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000183044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQKEIKPHMRKML AYWMLEVCEEQRCEEDVFPLAMNYLDRYLSCVPTRKAQLQLLGTVCLLLASKLRETTPLT IEKLCIYTDQAVAPWQLREWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPSDRQALVKK HAQTFLALCATDYTFAMYPPSMIATGSIGAAVLGLGACSMSADELTELLAGITGTEVDCL RACQEQIEAALRESLREAAQTAPSPVPKAPRGSSSQGPSQTSTPTDVTAIHL >ENSMUSP00000040488.9 pep:known chromosome:GRCm38:17:47505128:47599691:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000037333.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQKEIKPHMRKML AYWMLEVCEEQRCEEDVFPLAMNYLDRYLSCVPTRKAQLQLLGTVCLLLASKLRETTPLT IEKLCIYTDQAVAPWQLREWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPSDRQALVKK HAQTFLALCATDYTFAMYPPSMIATGSIGAAVLGLGACSMSADELTELLAGITGTEVDCL RACQEQIEAALRESLREAAQTAPSPVPKAPRGSSSQGPSQTSTPTDVTAIHL >ENSMUSP00000138640.1 pep:known chromosome:GRCm38:17:47505149:47599689:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000183177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQKEIKPHMRKML AYWMLEVCEEQRCEEDVFPLAMNYLDRYLSCVPTRKAQLQLLGTVCLLLASKLRETTPLT IEKLCIYTDQAVAPWQLREWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPSDRQALVKK HAQTFLALCATDYTFAMYPPSMIATGSIGAAVLGLGACSMSADELTELLAGITGTEVDCL RACQEQIEAALRESLREAAQTAPSPVPKAPRGSSSQGPSQTSTPTDVTAIHL >ENSMUSP00000138715.1 pep:known chromosome:GRCm38:17:47505156:47598627:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000182848.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQKEIKPHMRKML AYWMLEVCEEQRCEEDVFPLAMNYLDRYLSCVPTRKAQLQLLGTVCLLLASKLRETTPLT IEKLCIYTDQAVAPWQLREWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPSDRQALVKK HAQTFLALCATDYTFAMYPPSMIATGSIGAAVLGLGACSMSADELTELLAGITGTEVDCL RAC >ENSMUSP00000138273.1 pep:known chromosome:GRCm38:17:47505231:47593784:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000182935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRAS >ENSMUSP00000138180.1 pep:known chromosome:GRCm38:17:47505241:47598649:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000182506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQKEIKPHMRKML AYWMLEVCEEQRCEEDVFPLAMNYLDRYLSCVPTRKAQLQLLGTVCLLLASKLRETTPLT IEKLCIYTDQAVAPWQLREWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPSDRQALVKK HAQTFLALCATDYTFAMYPPSMIATGSIGAAVLGLGACSMSADELTELLAGITGTEVDCL RACQEQIEAAL >ENSMUSP00000138091.1 pep:known chromosome:GRCm38:17:47593470:47599689:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000182209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQKEIKPHMRKML AYWMLEVCEEQRCEEDVFPLAMNYLDRYLSCVPTRKAQLQLLGTVCLLLASKLRETTPLT IEKLCIYTDQAVAPWQLREWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPSDRQALVKK HAQTFLALCATDYTFAMYPPSMIATGSIGAAVLGLGACSMSADELTELLAGITGTEVDCL RACQEQIEAALRESLREAAQTAPSPVPKAPRGSSSQGPSQTSTPTDVTAIHL >ENSMUSP00000138711.1 pep:known chromosome:GRCm38:17:47593529:47597579:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000182874.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQKEIKPHMRKML AYWMLEEWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPSDRQALVKKHAQTFLALCAT >ENSMUSP00000138169.1 pep:known chromosome:GRCm38:17:47594313:47597495:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000183158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MRVCEEQRCEEDVFPLAMNYLDRYLSCVPTRKAQLQLLGTVCLLLASKLRETTPLTIEKL CIYTDQAVAPWQLREWEVLVLGKLKWDLAAVIAHDFLAL >ENSMUSP00000138334.1 pep:known chromosome:GRCm38:17:47594756:47598863:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000182846.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] XEDVFPLAMNYLDRYLSCVPTRKAQLQLLGTVCLLLASKLRETTPLTIEKLCIYTDQAVA PWQLREWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPSDRQALVKKHAQTFLALCATDV TAIHL >ENSMUSP00000138458.1 pep:known chromosome:GRCm38:17:47596068:47599094:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000182539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MYPPSMIATGSIGAAVLGLGACSMSADELTELLAGITGTEVDCLRACQEQIEAALRESLR EAAQTAPSPVPKAPRGSSSQGPSQTSTPTDVTAIHL >ENSMUSP00000138528.1 pep:known chromosome:GRCm38:17:47596324:47598712:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000183256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MYPPSMIATGSIGAAVLGLGACSMSADELTELLAGITGTEVDCLRACQEQIEAALRESLR EAAQTAPSPVPKAPRG >ENSMUSP00000138745.1 pep:known chromosome:GRCm38:17:47597164:47598105:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000183206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MYPPSMIATGSIGAAVLGLGACSMSADELT >ENSMUSP00000126141.1 pep:known chromosome:GRCm38:17:47505051:47599683:1 gene:ENSMUSG00000034165.16 transcript:ENSMUST00000171031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd3 description:cyclin D3 [Source:MGI Symbol;Acc:MGI:88315] MELLCCEGTRHAPRAGPDPRLLGDQRVLQSLLRLEERYVPRASYFQCVQKEIKPHMRKML AYWMLEVCEEQRCEEDVFPLAMNYLDRYLSCVPTRKAQLQLLGTVCLLLASKLRETTPLT IEKLCIYTDQAVAPWQLREWEVLVLGKLKWDLAAVIAHDFLALILHRLSLPSDRQALVKK HAQTFLALCATDYTFAMYPPSMIATGSIGAAVLGLGACSMSADELTELLAGITGTEVDCL RACQEQIEAALRESLREAAQTAPSPVPKAPRGSSSQGPSQTSTPTDVTAIHL >ENSMUSP00000047844.8 pep:known chromosome:GRCm38:11:94565047:94569127:1 gene:ENSMUSG00000039084.8 transcript:ENSMUST00000040418.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chad description:chondroadherin [Source:MGI Symbol;Acc:MGI:1096866] MARALLFSLVFLAILLPALAACPQNCHCHGDLQHVICDKVGLQKIPKVSETTKLLNLQRN NFPVLAANSFRTMPNLVSLHLQHCNIREVAAGAFRGLKQLIYLYLSHNDIRVLRAGAFDD LTELTYLYLDHNKVSELPRGLLSPLVNLFILQLNNNKIRELRAGAFQGAKDLRWLYLSEN ALSSLQPGSLDDVENLAKFHLDKNQLSSYPSAALSKLRVVEELKLSHNPLKSIPDNAFQS FGRYLETLWLDNTNLEKFSDAAFSGVTTLKHVHLDNNRLNQLPSSFPFDNLETLTLTNNP WKCTCQLRGLRRWLEAKASRPDATCSSPAKFKGQRIRDTDALRSCKSPTKRSKKAGRH >ENSMUSP00000139916.1 pep:known chromosome:GRCm38:5:87969517:88008277:1 gene:ENSMUSG00000100704.1 transcript:ENSMUST00000187738.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28434 description:predicted gene 28434 [Source:MGI Symbol;Acc:MGI:5579140] MKILILTVITLNFVIFFPGAFQENEASDSICCHLEPKCLLIKAEKR >ENSMUSP00000099453.3 pep:known chromosome:GRCm38:11:94557102:94601871:-1 gene:ENSMUSG00000076435.3 transcript:ENSMUST00000103164.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsf2 description:acyl-CoA synthetase family member 2 [Source:MGI Symbol;Acc:MGI:2388287] MAVYHGMLRFGRLCIASLGARGPRTLLSRPRPNSKLQSVRALSSGMVNCTNPLPIGGLSY IQGHTDSHLVNTTVGECLDATAQRFPDREALVILHENIRLNFAQLKEEVDKAASGLLSIG LRKGDRLGMWGPNSYAWVLIQLATAQAGIILVSVNPAYQSSELEYVLRKVGCKGIVFPKQ FKTQQYYDILKQVCPELEKAQPGALKSERLPDLTTVISVDAPLPGTLLLDDIVAAGGKEQ NLAQLRYNQRFLSCYDPINIQFTSGTTGNPKGATLSHHNIVNNSMLIGQRLKMPTKTAEE LRLVLPSPLYHCLGSVGGTMVSMMHGATLLLSSPSFNGKKALEAISREKGTLLYGTPTMF VDILNQPDFSSYDFTSIRGGVIAGSPAPPELIRAIINKMNMKELVVVYGTTENSPVTFMN FPEDTLEQKAGSVGRIMPHTEAQIVNVETGELTNLNVPGELYIRGYCVMQGYWGEPQKTF ETVGQDKWYRTGDIALMDEQGFCKIVGRSKDMIIRGGENIYPAELEDFFLKHPQVQEAQV VGVKDERMGEEICACIRLKSGETTTAEEIKAFCKGKISHFKIPRYIVFVEGYPLTISGKI QKFKLREQMEQHLKL >ENSMUSP00000020203.6 pep:known chromosome:GRCm38:10:93583029:93589706:-1 gene:ENSMUSG00000020018.6 transcript:ENSMUST00000020203.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpf description:small nuclear ribonucleoprotein polypeptide F [Source:MGI Symbol;Acc:MGI:1917128] MSLPLNPKPFLNGLTGKPVMVKLKWGMEYKGYLVSVDGYMNMQLANTEEYIDGALSGHLG EVLIRCNNVLYIRGVEEEEEDGEMRE >ENSMUSP00000008051.3 pep:known chromosome:GRCm38:5:87979439:87981544:1 gene:ENSMUSG00000007907.4 transcript:ENSMUST00000008051.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabs1 description:calcium binding protein, spermatid specific 1 [Source:MGI Symbol;Acc:MGI:1918227] MAEDGSPKIYSRPPRDNSKTPTEADIFFGADNTIPKSETTITSEGDHVTSVNDCTPDGDF STTVNKLTPTKEKLKLEDDIEGCLKLTTLPEKEITTPTETPNSKPKGSITENFIPVKIGN TSSPVGTVSLIDFSSNTAKEDIFLTTIDTGEKEVVPTTEFSGTLEDSAADVEDASGFPDE STETDVPSSATSDAPDDGAVQVTDSFSPEAGVPPSTEKEVTTIPDITNIAEENVTEIKLI VSEDRPKTVTKLSDSEEEKFITVFELTNSAEKAKDNVEDPLNDEESTDGANDWMEKETAS EAESHAVLLTAVESRYDFIVTASETDNVMEESHVNTTDLPENETTESVTNVTEELPSVTS IVDTLKDKEDLSTTNSGLFKLLKEEPDDLMM >ENSMUSP00000084887.5 pep:known chromosome:GRCm38:17:33555719:33607762:1 gene:ENSMUSG00000024300.16 transcript:ENSMUST00000087605.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1f description:myosin IF [Source:MGI Symbol;Acc:MGI:107711] MGSKERFHWQSHNVKQSGVDDMVLLPQITEDAIVSNLRKRFMDDYIFTYIGSVLISVNPF KQMPYFTDREIDLYQGAAQYENPPHIYALTDNMYRNMLIDCENQCVIISGESGAGKTVAA KYIMGYISKVSGGGDKVQHVKDIILQSNPLLEAFGNAKTVRNNNSSRFGKYFEIQFSRGG EPDGGKISNFLLEKSRVVMQNENERNFHIYYQLLEGASQEQQQNLGLMTPDYYYYLNQSD TYKVEGTDDRSDFSETLSAMQVIGIPTSVQQLVLQLVAGILHLGNISFCEEGNYARVESV DLLAFPAYLLGIDSGRLQEKLTSRKMDSKWGGRSESIDVTLNVEQAAYTRDALAKGLYAR LFDFLVEAINRAMQKPQEEYSIGVLDIYGFEIFQKNGFEQFCINFVNEKLQQIFIELTLK AEQEEYVQEGIRWTPIEYFNNKIVCDLIENKLSPPGIMSVLDDVCATMHATGGGADQTLL QKLQAAVGTHEHFNSWSAGFVIHHYAGKVSYDVSGFCERNRDVLFSDLIELMQSSDQDFL RMLFPEKLNIDKKGRPSTAGSKIKKQANDLVSTLKKCTPHYIRCIKPNETKRPRDWEESR VKHQVEYLGLRENIRVRRAGFAYRRQFSKFLQRYAILTPETWPRWRGDERQGVQHLLRAV NMEPDQYQMGSTKVFVKNPESLFLLEEMRERKFDGFARTIQKAWRRHVAVRKYEEMREEA SNILLNKKERRRNSINRNFVGDYLGLEERPELRQFLAKRERVDFADSVTKYDRRFKPIKR DLILTPKCVYVIGREKVKRGPEKGLVREVLKKKLDIQALRGVSLSTRQDDFFILQEEAAD SFLESIFKTEFVSLLCKRFEEAARRPLPLTFSDLLQFRVKKEGWGGGSTRNVTFSRGTSD LAVLKAGGRALTISIGDGLPKSTKPTRKGLAQGRPRRSAQAPTRAAPGPPRGLNRNGVPP SSQVRSLPLEITSGRSSQRPPRGPPSSTLGASRRPRARPPSEHSTEFLNVPDQGVAGMQR KRSIGQRPVPGVGRPKPQPRTHGPRCRALYQYIGQDVDELSFNVNEVIEILIEDSSGWWK GRLHGQEGLFPGNYVEKI >ENSMUSP00000134715.1 pep:known chromosome:GRCm38:17:33555745:33607758:1 gene:ENSMUSG00000024300.16 transcript:ENSMUST00000173372.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myo1f description:myosin IF [Source:MGI Symbol;Acc:MGI:107711] MGSKERFHWQSHNVKQSGVDDMVLLPQITEDAIVSNLRKRFMDDYIFTYIGSVLISVNPF KQMPYFTDREIDLYQGAAQYENPPHIYALTDNMYRNMLIDCENQCVIISGESGAGKTVAA KYIMGYISKVSGGGDKVQHVKDIILQSNPLLEAFGNAKTVRNNNSSRFGKYFEIQFSRGG EPDGGKISNFLLEKSRVVMQNENERNFHIYYQLLEGASQEQQQNLGLMTPDYYYYLNQSD TYKVEGTDDRSDFSETLSAMQVIGIPTSVQQLVLQLVAGILHLGNISFCEEGNYARVESV DLLAFPAYLLGIDSGRLQEKLTSRKMDSKWGGRSESIDVTLNVEQAAYTRDALAKGLYAR LFDFLVEAINRAMQKPQEEYSIGVLDIYGFEIFQKNGFEQFCINFVNEKLQQIFIELTLK AEQEEYVQEGIRWTPIEYFNNKIVCDLIENKLSPPGIMSVLDDVCATMHATGGGADQTLL QKLQAAVGTHEHFNSWSAGFVIHHYAGKVSYDVSGFCERNRDVLFSDLIELMQSSDQDFL RMLFPEKLNIDKKGRPSTAGSKIKKQANDLVSTLKKCTPHYIRCIKPNETKRPRDWEESR VKHQVEYLGLRENIRVRRAGFAYRRQFSKFLQRYAILTPETWPRWRGDERQGVQHLLRAV NMEPDQYQMGSTKVFVKNPESLFLLEEMRERKFDGFARTIQKAWRRHVAVRKYEEMREEA SNILLNKKERRRNSINRNFVGDYLGLEERPELRQFLAKRERVDFADSVTKYDRRFKVRPG LSNGT >ENSMUSP00000134600.1 pep:known chromosome:GRCm38:17:33555765:33578149:1 gene:ENSMUSG00000024300.16 transcript:ENSMUST00000174695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1f description:myosin IF [Source:MGI Symbol;Acc:MGI:107711] MGSKERFHWQSHNVKQSGVDDMVLLPQITEDAIVSNLRKRFMDDYIFAQYENPPHIYALT DNMYRNMLIDCENQCVIISGESGAGKTVAAKYIMGYIS >ENSMUSP00000007601.3 pep:known chromosome:GRCm38:5:87969459:87972870:1 gene:ENSMUSG00000007457.3 transcript:ENSMUST00000007601.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310003L06Rik description:RIKEN cDNA 2310003L06 gene [Source:MGI Symbol;Acc:MGI:1921498] MKILILTVITLNFVIFFPYNGSQEIYSQSNQQFLANPPLCQQTASNPSSTRKTEENSPIE DSNRYPAPSDGIAKITGSPLERNHLVMLQADLRNFPLSDNDVQHSLFTDQNSIGFAYAKG TVDNPKSNTSPSSEIIQDMPAVSRFAPNSQIRQRRIRMPLSRENGISSPVSVTYYQYEFE PADTPHFDSDSEITQSLELFPSTGRTKALFASDPATYSQNSLPTALADESAIVTSKEQSF LGTGTTTNEESEQEDVFFTDSTSPIEESTLIPRKYFRSLINKDMPSKEKSVLYTEVESEA EEDAVFQETEDIPSSHNLQYYTLQYSSLENDGFPDSDTTNSLSQFTVVPSTKITVNDRDL IIPEEEHFDALYVINPTNPKKKIYFKSMATRSKINSVDESAGTKDNVEPEGNTAVPTSSN SMHQIERIGYSRPLNIYYHTISKPIPDAKKDPYVARNTIKDKQLSSTMYSGNIIDLRDGY QNPIDVTAEKNDRLT >ENSMUSP00000139852.1 pep:known chromosome:GRCm38:5:88002549:88008531:1 gene:ENSMUSG00000029280.13 transcript:ENSMUST00000189633.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smr3a description:submaxillary gland androgen regulated protein 3A [Source:MGI Symbol;Acc:MGI:102763] MKPLNLVLGLCILVGCFLSCECHRGPRRHDPRGPFPPPPPPHAFLLPDLLPQYKQLQCQL PVSP >ENSMUSP00000031211.4 pep:known chromosome:GRCm38:5:88002553:88008534:1 gene:ENSMUSG00000029280.13 transcript:ENSMUST00000031211.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smr3a description:submaxillary gland androgen regulated protein 3A [Source:MGI Symbol;Acc:MGI:102763] MKPLNLVLGLCILVGCFLSCECHRGPRRHDPRGPFPPPPPPHGPGIGRPHPPPFGPGIGR PPPPPFGPGIGRPPPPPPCPPVPPHPRPPSNPSPPPTPSIPPTGPPTTVQATTMPAASIS ITTPTARDSTDIFWRLWELINSLLQQE >ENSMUSP00000109881.1 pep:known chromosome:GRCm38:1:59516264:59634509:1 gene:ENSMUSG00000047361.15 transcript:ENSMUST00000114243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm973 description:predicted gene 973 [Source:MGI Symbol;Acc:MGI:2685819] MKAVAREPDATSRPLQQRRNTSTEARSAGDYLNWKSPEDYILVSKAQNGDSASQHSWNLF LPKTFSTRKGALILYSEGLAVSAWTPRDRKRGPRKKQDLGLHTLRDLKEAILAYGRRKRK QDSAWRPYLYFRSKPESQTQRQIQPGYSAKRYLRGFLRTWPPDIMHRLQCAGHIKDSVLL QDSQLGIPKNLRPQQDLSGVPPKYHLLPVIPSFRIQQRSSYAQGLDEWEIDTYMDQGSVA QNHSNPDTHLMSVRRQLWQEDELQAEDTLRESHLRVHASEQSHNGKSQQASREVLGRASF SYFQLPTDKSLFSFYCGAFPDKQRNMKPHKARGGCLSQEPPVERCLFPPLASAVGSEQNT LGETKKKKALRTLKLPPVSEEPPGVLKPRRSRFKDSEPPKELFIIPMEIHFHAAQPPKEK ASRRGARHPESEPEEATPLWWPPMKHLYLERPRGITVHLPVDSSQDTPSPQEDGDILAQS VNQPLGLLPPMKGKKSSEIQRDMDRTRTSGFSSPTGCPNERAPPAGPEDSRDLTLKHFLL SPDGENVCLTLPRLMGTEVLPLGQANAGAGASLHGNLYETSSRLAQAAESQERGSELDSH EEPGGPLRGNAKDSQDPELRNKTLACLSTSLAEYNQPSEADTLKSMDGDYNVHHLHRGPL KREPAFPKKLASETPRKKKKRRSKLLNQKTGVGINHGKDLVDKAKRKKRTKTHQAKALKK EREERGLGQAEAAGGKPKHSKIKKKSELTPKKEKLGRKMKRTHKERNMEMAAGLSKSDIT NSKEAGGTSHQGLLRSHSAAGQLSLELDALESQVAIDGRLSSIQATDVASDMECEEERSH EDPSKALQDKKQQEKASRDRIRIEKAEMRWLKVEQRRREQEELTWLHKEQLEKAEKMKEE LELEQQRRTEENRLRKQRLEEERQQQEEAEKKRRLQLQAARERARQQQEELRRKLQEIQR KKQQEAAERAEAEKQRQKELEMQLAEEQKRLMEMAEEERLEYQQQKLAAEEKARQEAEER RKQEEEAAKLALEEATKLAQEQIRYLDRGTTAVRACSEA >ENSMUSP00000141102.1 pep:known chromosome:GRCm38:1:59524294:59526971:1 gene:ENSMUSG00000047361.15 transcript:ENSMUST00000186434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm973 description:predicted gene 973 [Source:MGI Symbol;Acc:MGI:2685819] MFTLSLLSRGHGKLAQNKQKLEVYFEPEDYLNWKSPEDYILVSKAQNGDSASQHSWNLFL PKTFSTRKGALILYSEGLAVSAWTPRDRKRGPRKKQDLGLHTLRDLKEAILAYGR >ENSMUSP00000140781.1 pep:known chromosome:GRCm38:1:59630323:59636417:1 gene:ENSMUSG00000047361.15 transcript:ENSMUST00000190490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm973 description:predicted gene 973 [Source:MGI Symbol;Acc:MGI:2685819] XKALQDKKQQEKASRDRIRIEKAEMRWLKVEQRRREQEELTWLHKEQLEKAEKMKEELEL EQQRRTEENRLRKQRLEEERQQQEEAEKKRRLQLQAARERARQQQEELRRKLQEIQRKKQ QEAAERAEAEKQRQKELEMQLAEEQKRLMEMAEEERLEYQQQKLAAEEKARQEAEERRKQ EEEAAKLALEEATKLAQEQIRQKAALDKHLHFHQELSKEASGLQWTQNISRPWVYSYFQS LQIPKP >ENSMUSP00000139070.1 pep:known chromosome:GRCm38:2:168180986:168230364:-1 gene:ENSMUSG00000093752.1 transcript:ENSMUST00000138667.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20716 description:predicted gene 20716 [Source:MGI Symbol;Acc:MGI:5313163] MASTGASRSLAASPRPPQGRSSRQDKYSVLLPTYNERENLPLIVWLLVKSFSESAINYEI IIIDDGSPDGTREVAEQLAEIYGPDRILLRPREKKLGLGTAYIHGIKHATGNYVIIMDAD LSHHPKFIPEFIRKQKEGNFDIVSGTRYKGNGGVYGWDLKRKIISRGANFITQILLRPGA SDLTGSFRLYRKEVLQKLIEKCVSKGYVFQMEMIVRARQMNYTIGEVPISFVDRVYGESK LGGNEIVSFLKGLLTLFATT >ENSMUSP00000144862.1 pep:known chromosome:GRCm38:6:121635376:121638388:1 gene:ENSMUSG00000030111.9 transcript:ENSMUST00000204850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A2m description:alpha-2-macroglobulin [Source:MGI Symbol;Acc:MGI:2449119] MVMVPSLLHAGTPEKGCLLFNHLNETVTVKVSMES >ENSMUSP00000032203.7 pep:known chromosome:GRCm38:6:121636228:121679227:1 gene:ENSMUSG00000030111.9 transcript:ENSMUST00000032203.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A2m description:alpha-2-macroglobulin [Source:MGI Symbol;Acc:MGI:2449119] MGKRWLPSLALLPLPPPLLLLLLLLLPTNASAPQKPIYMVMVPSLLHAGTPEKGCLLFNH LNETVTVKVSMESVRGNQSLFTDLVVDKDLFHCASFIVPQSSSNEVMFLTVQVKGPTHEF RRRSTVLIKTKESLVFAQTDKPIYKPGQMVRFRVVSLDENFHPLNELIPLLYIQDSKKNR IAQWQNFRLEGGLKQLSFPLSSEPTQGSYKVVIRTESGRTVEHPFSVKEFVLPKFEVKVA VPETITILEEEMNVSVCGIYTYGKPVPGHVTVNICRKYSNPSSCFGEESLAFCEKFSQQL DGRGCFSQLVKTKSFQLKRQEYEMQLDVNAKIQEEGTGVEETGKGLTKITRTITKLSFVN VDTHFRQGIPFVGQVLLVDGRGTPIPYEMIFIGADEANQNINTTTDKNGLARFSINTDDI MGTSLTVRAKYKDSNVCYGFRWLTEENVEAWHTANAVFSPSRSFVHLESLPYKLRCEQTL AVQAHYILNDEAVLERKELVFYYLMMAKGGIVRAGTHVLPVTQGHKKGHFSILISMETDL APVARLVLYTILPNGEVVGDTVKYEIEKCLANKVDLVFHPNIGLPATRAFLSVMASPQSL CGLRAVDQSVLLTKPEAELSASLVYDLLPVKDLTGFPKGVNQQEEDTNGCLKQNDTYING ILYSPVQNTNEEDMYGFLKDMGLKVFTNLNIRKPKVCERLGVNKIPAAYHLVSQGHMDAF LESSESPTETTRSYFPETWIWDLVIVDSTGVAEMEVTVPDTITEWKAGAFCLSNDTGLGL SPVIDFQAFQPFFVDLTMPYSVIRGEAFTLKATVLNYLQTCIRVGVQLEASPDFLATPEE KEQKSHCICMNERHTMSWAVIPKSLGNVNFTVSAEALDSKELCRNEVPVVPERGKKDTII KSLLVEPEGLENEVTFNSLLCPTGAEVSEQISLKLPSDVVEESARASVTVLGDILGSAMQ NTQDLLKMPYGCGEQNMVLFAPNIYVLDYLNETEQLTQEIKTKAITYLNTGYQRQLNYKH RDGSYSTFGDKPGRSHANTWLTAFVLKSFAQARRYIFIDESHITQALTWLSQQQKDNGCF RSSGSLLNNAMKGGVEDEVTLSAYITIALLEMSLPVTHPVVRNALFCLDTAWKSARRGAS GNHVYTKALLAYAFALAGNQDTKKEILKSLDEEAVKEDNSVHWTRAQKPRVPADLWYQPQ APSAEVEMTAYVLLAYLTTELVPTREDLTAAMLIVKWLTKQQNSHGGFSSTQDTVVALHA LSKYGAATFTRAKKAAHVTIQSSGAFYTKFQVNNDNQLLLQRVTLPTVPGDYTAKVAGEG CVYLQTSLKYSVLPREKEFPFALVVQTLPGTCEDLKAHTTFQISLNISYIGSRSDSNMAI ADVKMVSGFIPLKPTVKMLERSVHVSRTEVSNNHVLIYLDKVSNQMLTLFFMVQQDIPVR DLKPAIVKVYDYYEKDEFAVAKYSAPCSAGYGNA >ENSMUSP00000031709.5 pep:known chromosome:GRCm38:6:23245044:23248362:-1 gene:ENSMUSG00000029697.6 transcript:ENSMUST00000031709.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fezf1 description:Fez family zinc finger 1 [Source:MGI Symbol;Acc:MGI:1920441] MDSSCLNATTKMLATAPARGNVMSTSKPLAFSIERIMARTPEPKALPVPHFLQGAVPKGD PKHSLHLNSSIPCMIPFVPVAYDTNSKAGVNGSEPRKASLEVPAPPAVAPSAPAFSCSDL LNCALSLKGDLARDALPLQQYKLVRPRVVNHSSFHAMGALCYLNRGDGPCHPAASVNIHP VASYFLSSPLHPQPKTYLAERNKLVVPAVEKLPSGVAFKDLSQAQLQHYMKESAQLLSEK IAFKTSDFSRGSPNAKPKVFTCEVCGKVFNAHYNLTRHMPVHTGARPFVCKVCGKGFRQA STLCRHKIIHTQEKPHKCNQCGKAFNRSSTLNTHTRIHAGYKPFVCEFCGKGFHQKGNYK NHKLTHSGEKQFKCNICNKAFHQVYNLTFHMHTHNDKKPFTCPTCGKGFCRNFDLKKHVR KLHDSSLGLTRTPTGEPSSDPPPQLQQPPPAPLPPLQPTLPPPGPLPSGLHQGHQ >ENSMUSP00000026577.6 pep:known chromosome:GRCm38:7:141338880:141363020:1 gene:ENSMUSG00000025504.12 transcript:ENSMUST00000026577.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l2 description:EPS8-like 2 [Source:MGI Symbol;Acc:MGI:2138828] MSQSASMSCCPGAANGSLGRSDGVPRMSAKDLFEQRKKYSNSNVIMHETSQYHVQHLATF IMDKSEAIASVDDAIRKLVQLSSKEKVWAQEVLLQVNDKSLRLLDVESQEELENFPLPTV QHSQTVLNQLRYPSVLLLVCQDSDQNKPDIHFFHCDEVEAELVQEDIESALADYRLGKKM RPQTLKGHQEKIRQRQSILPPPQSPAPIPFQRQPGDSPQAKNRVGLPLPVPFSEPGYRRR ESQDEEPRAVLAQRIEKETQILNCTLDDIEWFVARLQKAAEAFKQLNQRKKGKKKNKKGP AEGVLTLRARPPSEGEFVDCFQKTKLAINLLAKLQKHIQNPSAAELVHFLFGPLDLIINT CGSPDIARSVSSPLLSTDAVSFLRGHLVPKEMTLWESLGETWMRPRSEWPREPQVPLYVP KFRSGWEPPLDVLQEAPWEVEGLASVPSDQLTPKNRLSVRHSPKHSLSSESQAPEDIAPP GSSPHANRGYQPTPAMTKYVKILYDFTARNANELSVLKDEVLEVLEDGRQWWKLRNRSGQ AGYVPCNILAEARQEDVGAPLEQSGQKYWGPASPTHKLPPIFAGNKEELIHHMDEVNDEL MKKISHIKTQPQRNFRVERSQPVHLPLTFESGPDEVRAWLEAKAFSARIVENLGILTGPQ LFSLNKEELKKVCGEEGSRVYSQLTVQKAFLEKQQSGSELEKLMSKIRRAEDSYTSQHTS PESEGAPHL >ENSMUSP00000120726.1 pep:known chromosome:GRCm38:7:141339006:141342990:1 gene:ENSMUSG00000025504.12 transcript:ENSMUST00000143633.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps8l2 description:EPS8-like 2 [Source:MGI Symbol;Acc:MGI:2138828] MSQSASMSCCPGAANGSLGRSDGVPRMSAKDLFEQRKKYSNSNVIMHETSQYHVQ >ENSMUSP00000118776.1 pep:known chromosome:GRCm38:2:168209048:168230379:-1 gene:ENSMUSG00000078919.10 transcript:ENSMUST00000154111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpm1 description:dolichol-phosphate (beta-D) mannosyltransferase 1 [Source:MGI Symbol;Acc:MGI:1330239] MASTGASRSLAASPRPPQGRSSRQDKYSVLLPTYNERENLPLIVWLLVKSFSESAINYEI IIIDDGSPDGTREVAEQLAEIYGPDRILLRPREKKLGLGTAYIHGIKHATGNYVIIMDAD LSHHPKFIPEFIRKQKEGNFDIVSGTRYKGNGGVYGWDLKRKIISRGANFITQILLRPGA SDLTGSFRLYRKEVLQKLIEKCVSKGYVFQMEMIVRARQMNYTIGEVPISFVDRVYGESK LGGNEIVSFLKGLLTLFATT >ENSMUSP00000104816.1 pep:known chromosome:GRCm38:2:168210281:168230591:-1 gene:ENSMUSG00000078919.10 transcript:ENSMUST00000109193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpm1 description:dolichol-phosphate (beta-D) mannosyltransferase 1 [Source:MGI Symbol;Acc:MGI:1330239] MTAINYEIIIIDDGSPDGTREVAEQLAEIYGPDRILLRPREKKLGLGTAYIHGIKHATGN YVIIMDADLSHHPKFIPEFIRKQKEGNFDIVSGTRYKGNGGVYGWDLKRKIISRGANFIT QILLRPGASDLTGSFRLYRKEVLQKLIEKCVSKGYVFQMEMIVRARQMNYTIGEVPISFV DRVYGESKLGGNEIVSFLKGLLTLFATT >ENSMUSP00000096671.4 pep:known chromosome:GRCm38:2:168210542:168230328:-1 gene:ENSMUSG00000078919.10 transcript:ENSMUST00000099072.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dpm1 description:dolichol-phosphate (beta-D) mannosyltransferase 1 [Source:MGI Symbol;Acc:MGI:1330239] XLAASPRPPQGRSSRQDKYSVLLPTYNERENLPLIVWLLVKSFSESAINYEIIIIDDGSP DGTREVAEQLAEIYGPDRILLRPREKKLGLGTAYIHGIKHATGNYVIIMDADLSHHETKG G >ENSMUSP00000140668.1 pep:known chromosome:GRCm38:Y:61646746:61672861:1 gene:ENSMUSG00000100726.1 transcript:ENSMUST00000189455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21497 description:predicted gene, 21497 [Source:MGI Symbol;Acc:MGI:5434852] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSILDKSEVNNPAIGKDENTSPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000030952.5 pep:known chromosome:GRCm38:4:156013843:156016612:1 gene:ENSMUSG00000029075.6 transcript:ENSMUST00000030952.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf4 description:tumor necrosis factor receptor superfamily, member 4 [Source:MGI Symbol;Acc:MGI:104512] MYVWVQQPTALLLLGLTLGVTARRLNCVKHTYPSGHKCCRECQPGHGMVSRCDHTRDTLC HPCETGFYNEAVNYDTCKQCTQCNHRSGSELKQNCTPTQDTVCRCRPGTQPRQDSGYKLG VDCVPCPPGHFSPGNNQACKPWTNCTLSGKQTRHPASDSLDAVCEDRSLLATLLWETQRP TFRPTTVQSTTVWPRTSELPSPPTLVTPEGPAFAVLLGLGLGLLAPLTVLLALYLLRKAW RLPNTPKPCWGNSFRTPIQEEHTDAHFTLAKI >ENSMUSP00000088935.2 pep:known chromosome:GRCm38:1:59639434:59670834:-1 gene:ENSMUSG00000026021.15 transcript:ENSMUST00000091374.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo1 description:small ubiquitin-like modifier 1 [Source:MGI Symbol;Acc:MGI:1197010] MSDQEAKPSTEDLGDKKEGEYIKLKVIGQDSSEIHFKVKMTTHLKKLKESYCQRQGVPMN SLRFLFEGQRIADNHTPKELGMEEEDVIEVYQEQTGGHSTV >ENSMUSP00000140729.1 pep:known chromosome:GRCm38:1:59639944:59670739:-1 gene:ENSMUSG00000026021.15 transcript:ENSMUST00000185265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo1 description:small ubiquitin-like modifier 1 [Source:MGI Symbol;Acc:MGI:1197010] MSDQEAKPSTEDLGDKKEGEYIKLKVIGQGVPMNSLRFLFEGQRIADNHTPKELGMEEED VIEVYQEQTGGHSTV >ENSMUSP00000080641.6 pep:known chromosome:GRCm38:11:59948206:59962205:1 gene:ENSMUSG00000061650.6 transcript:ENSMUST00000081980.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med9 description:mediator complex subunit 9 [Source:MGI Symbol;Acc:MGI:2183151] MASSGVAGGRQAEDTLQPPPELLPESKPPPPPQPLPVAALPPPAAPRPQSPAGAKEENYS FLPLVHNVIKCMDKDSPDLHQDLNALKTKFQELRKLIGTMPGIHVSPEQQQQQLHSLREQ VRTKNELLQKYKSLCMFEIPKD >ENSMUSP00000140123.1 pep:known chromosome:GRCm38:6:139587221:139622487:1 gene:ENSMUSG00000030228.15 transcript:ENSMUST00000188066.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2g description:phosphatidylinositol 3-kinase, C2 domain containing, gamma polypeptide [Source:MGI Symbol;Acc:MGI:1203730] MAYSWQTEPNRTEPQEDGSDTQQFHHTNQHLSSSQVRLGFDQLVEEINNKTPLSESEKEE DTYFVPDAPNLGSKWPSIYETHPRYFSEFTSQSPDSSQLRFGKLSAIGFNPAVLPTHQLI HEGASWRNPSGKYHGIEYPRFDALPPSSTGQGECNPQGQSGTKHHNYCGEHEGNLPHHHS SYSIDSIPNREKRRSGDVNL >ENSMUSP00000141141.1 pep:known chromosome:GRCm38:6:139587237:139635967:1 gene:ENSMUSG00000030228.15 transcript:ENSMUST00000190962.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2g description:phosphatidylinositol 3-kinase, C2 domain containing, gamma polypeptide [Source:MGI Symbol;Acc:MGI:1203730] MAYSWQTEPNRTEPQEDGSDTQQFHHTNQHLSSSQVRLGFDQLVEEINNKTPLSESEKEE DTYFVPDAPNLGSKWPSIYETHPRYFSEFTSQSPDSSQLRFGKLSAIGFNPAVLPTHQLI HEGASWRNPSGKYHGIEYPRFDALPPSSTGQGECNPQGQSGTKHHNYCGEHEGNLPHHHS SYSIDSIPNREKRRSGDVNLVEPSLEFSKDSFLPRTSENVSVESTEPIGCPIEIVEVPQG SNKNLASFCNKVKKIRESYHASDINSNSGKIWAITTAYPSRLFADTKFRVKISIDNSAQL LLLMPHANYLVKDLIAEILLLCANEPLSPKEYLLSVCGSEEFLQIINYPELLPPTSLDDN HQEIKQQMLERKWRGLLFLTPYSLLARVQVNADVVEGSVKT >ENSMUSP00000141025.1 pep:known chromosome:GRCm38:6:139599480:139636074:1 gene:ENSMUSG00000030228.15 transcript:ENSMUST00000187618.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2g description:phosphatidylinositol 3-kinase, C2 domain containing, gamma polypeptide [Source:MGI Symbol;Acc:MGI:1203730] MAYSWQTEPNRTEPQEDGSDTQQFHHTNQHLSSSQVRLGFDQLVEEINNKTPLSESEKEE DTYFVPDAPNLGSKWPSIYETHPRYFSEFTSQSPDSSQLRFGKLSAIGFNPAVLPTHQLI HEGASWRNPSGKYHGIEYPRFDALPPSSTGQGECNPQGQSGTKHHNYCGEHEGNLPHHHS SYSIDSIPNREKRRSGDVNLVEPSLEFSKDSFLPRTSENVSVESTEPIGCPIEIVEVPQG SNKNLASFCNKVKKIRESYHASDINSNSGKIWAITTAYPSRLFADTKFRVKISIDNSAQL LLLMPHANYLVKDLIAEILLLCANEPLSPKEYLLSVCGSEEFLQIINYPELLPPTSLDDN HQEIKQQMLERKWRGLLFLTPYSLLARVQVNADVVEGSVKT >ENSMUSP00000140368.1 pep:known chromosome:GRCm38:6:139614071:139657231:1 gene:ENSMUSG00000030228.15 transcript:ENSMUST00000185968.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2g description:phosphatidylinositol 3-kinase, C2 domain containing, gamma polypeptide [Source:MGI Symbol;Acc:MGI:1203730] MAYSWQTEPNRTEPQEDGSDTQQFHHTNQHLSSSQVRLGFDQLVEEINNKTPLSESEKEE DTYFVPDAPNLGSKWPSIYETHPRYFSEFTSQSPDSSQLRFGKLSAIGFNPAVLPTHQLI HEGASWRNPSGKYHGIEYPRFDALPPSSTGQGECNPQGQSGTKHHNYCGEHEGNLPHHHS SYSIDSIPNREKRRSGDVNLVEPSLEFSKDSFLPRTSENVSVESTEPIGCPIEIVEVPQG SNKNLASFCNKVKKIRESYHASDINSNSGKIWAITTAYPSRLFADTKFRVKISIDNSAQL LLLMPHANYLVKDLIAEILLLCANEPLSPKEYLLSVCGSEEFLQMDHSLGGHKIFQKNKS VIQLHLQKNRDTPGKLSRKSEDDHSPFHLNQLLEFTHIWKIS >ENSMUSP00000107499.4 pep:known chromosome:GRCm38:6:139715861:139969284:1 gene:ENSMUSG00000030228.15 transcript:ENSMUST00000111868.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2g description:phosphatidylinositol 3-kinase, C2 domain containing, gamma polypeptide [Source:MGI Symbol;Acc:MGI:1203730] NFHQNSETSKKGFIERVTAELSRSIYQLIDVYCSTFCTDFQPVHTPGGVSRVHAGLQSHL SFTVCSLHNVPETWAHSYKAFSFSCWLTYAGKKLCQVKSCRPLPVTKSFSLLVNWNEIIN FPLEIKSLPRESMLIIKLFGIDSATHSTNLLAWTCLPLFPRQESVLGSRLFSVTLQSEPP IEMIAPGVWDGSQPSPLTLQIDFPDAGWEYLKPESEENRTDHEEPPRECLKHIAKLSQKK SPLLLSEEKRRYLWFYRLYCNNENSSLPLVLGSAPGWDEETVSEMHAILRRWTFSHPWEA LGLLTSRFPDQDIREVAVQQLDTLLTDELLDCLPQLVQAVKFEWNLESPLVELLLRRSLQ SIRVAHRLYWLLRDAQGEAYFKSWYQELLAALQFCAGEALNEELSKEQKLVKLLGDIGEK VKSASDPQRKDVLKKEIGSLEEFFKDIKTCHLPLNPALCIKGIDRDACSYFTSNASPLKI TFINANPMGKNISVIFKAGDDLRQDMLALQIIQVMDNAWLQEGLDMQMITYGCLSTGRAQ GFIEMVPDAVTLAKIHLHSGLIGPLKENTIKKWFSQHNHLKEDYEKALRNFFYSCAGWCV VTFILGVCDRHNDNIMLTKSGHMFHIDFGKFLGHAQTFGGIKRDRAPFIFTSEMEYFITE GGKNTQHFQDFVELCCRAYNIVRKHSQLILSLLEMMLHAGLPELRGIEDLKYVHNNLRPQ DTDLEATSHFTKKIKESLECFPVKLNNLIHTLAQMPALSLAKPAPQTLLQESCILNKTRT IQRVTILGFSKTHSNLYLMEVTCSDNRRSLTKKSFEQFYRLHSQMQKQFSSLALPEFPHW WHLPFTDSDHKRIRDLSHYVEQVLRGSYEVANSDCVLSFFLSEHIQPTLEDSPFVDPGEN SLDKSPKVQLLMTYEDSRLTILVKHLKNIHLPDGSVPSAHVEIYLLPHPSEVRRKKTKCV PKCTDPTYNEIVVYDEVLGLQGHVLMLIVKSKTVFVGAVNIQLCSVPLNEEKWYPLGNSI I >ENSMUSP00000032353.8 pep:known chromosome:GRCm38:6:139621888:139635740:1 gene:ENSMUSG00000030228.15 transcript:ENSMUST00000032353.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2g description:phosphatidylinositol 3-kinase, C2 domain containing, gamma polypeptide [Source:MGI Symbol;Acc:MGI:1203730] MAYSWQTEPNRTEPQEDGSDTQQFHHTNQHLSSSQVRLGFDQLVEEINNKTPLSESEKEE DTYFVPDAPNLGSKWPSIYETHPRYFSEFTSQSPDSSQLRFGKLSAIGFNPAVLPTHQLI HEGASWRNPSGKYHGIEYPRFDALPPSSTGQGECNPQGQSGTKHHNYCGEHEGNLPHHHS SYSIDSIPNREKRRSGDVNLVEPSLEFSKDSFLPRTSENVSVESTEPIGCPIEIVEVPQG SNKNLASFCNKVKKIRESYHASDINSNSGKIWAITTAYPSRLFADTKFRVKISIDNSAQL LLLMPHANYLVKDLIAEILLLCANEPLSPKEYLLSVCGSEEFLQIINYPELLPPTSLDDN HQEIKQQMLERKWRGLLFLTPYSLLARVQVNADVVEGSVKT >ENSMUSP00000084939.6 pep:known chromosome:GRCm38:6:139843648:139969284:1 gene:ENSMUSG00000030228.15 transcript:ENSMUST00000087657.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2g description:phosphatidylinositol 3-kinase, C2 domain containing, gamma polypeptide [Source:MGI Symbol;Acc:MGI:1203730] MRLLRDAQGEAYFKSWYQELLAALQFCAGEALNEELSKEQKLVKLLGDIGEKVKSASDPQ RKDVLKKEIGSLEEFFKDIKTCHLPLNPALCIKGIDRDACSYFTSNASPLKITFINANPM GKNISVIFKAGDDLRQDMLALQIIQVMDNAWLQEGLDMQMITYGCLSTGRAQGFIEMVPD AVTLAKIHLHSGLIGPLKENTIKKWFSQHNHLKEDYEKALRNFFYSCAGWCVVTFILGVC DRHNDNIMLTKSGHMFHIDFGKFLGHAQTFGGIKRDRAPFIFTSEMEYFITEGGKNTQHF QDFVELCCRAYNIVRKHSQLILSLLEMMLHAGLPELRGIEDLKYVHNNLRPQDTDLEATS HFTKKIKESLECFPVKLNNLIHTLAQMPALSLAKPAPQTLLQESCILNKTRTIQRVTILG FSKTHSNLYLMEVTCSDNRRSLTKKSFEQFYRLHSQMQKQFSSLALPEFPHWWHLPFTDS DHKRIRDLSHYVEQVLRGSYEVANSDCVLSFFLSEHIQPTLEDSPFVDPGENSLDKSPKV QLLMTYEDSRLTILVKHLKNIHLPDGSVPSAHVEIYLLPHPSEVRRKKTKCVPKCTDPTY NEIVVYDEVLGLQGHVLMLIVKSKTVFVGAVNIQLCSVPLNEEKWYPLGNSII >ENSMUSP00000123995.1 pep:known chromosome:GRCm38:9:45863425:45906869:-1 gene:ENSMUSG00000042790.15 transcript:ENSMUST00000161203.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf214 description:ring finger protein 214 [Source:MGI Symbol;Acc:MGI:2444451] MAASEVAGLGAGTPSPSESSALCASKSDESLPDGLSPKDSAQKQKNLSPPSTDFKTADSE VNTDQDIEKNLDKMMTERTLLKERYQEVLDKQRQVESQLQVQLKQLQQRREEEMKNHQEI LKAIQDVTIKREETKKKIEKEKKEFLQKEQDLKAEIEKLCEKGRREVWEMELDRLKNQDG EINRNIMEETERAWKAEILSLESRKELLVLKLEEAEKEAELHLTYLKSTPPTLETVRSKQ EWETRLNGVRIMKKNVRDQFNSHIQLVRNGAKLSSLPQIPTPTLPPPPSEADFMLQVFQP SPSLTPRMPFSIGQVTMPMVMPSADPRSLSFPILNPALSQSSQPSPPLPGSHGRNSPGLG SLVSPHGPHMPPAASIPPPPGLGGIKASSETPRPQPVDKLEKILEKLLTRFPQCNKAQMT NILQQIKTARTTMAGLTMEELIQLVAARLAEHERVASSTQPLGRIRALHPAPLAQISPPM FLPSAQVSYPGRSSHAPPTCKLCLMCQKLVQPSELHPMACTHALHKECIKFWAQTNTNDT CPFCPTLK >ENSMUSP00000124296.1 pep:known chromosome:GRCm38:9:45863691:45906407:-1 gene:ENSMUSG00000042790.15 transcript:ENSMUST00000161187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf214 description:ring finger protein 214 [Source:MGI Symbol;Acc:MGI:2444451] MAASEVAGLGAGTPSPSESSALCASKSDESLPDGLSPKDSAQKQKNLSPPSTDFKTADSE VNTDQDIEKNLDKMMTERTLLKERYQEVLDKQRQVESQLQVQLKQLQQRREEEMKNHQEI LKAIQDVTIKREETKKKIEKEKKEFLQKEQDLKAEIEKLCEKGRREVWEMELDRLKNQDG EINRNIMEETERAWKAEILSLESRKELLVLKLEEAEKEAELHLTYLKSTPPTLETVRSKQ EWETRLNGVRIMKKNVRDQFNSHIQLVRNGAKLSSLPQIPTPTLPPPPSEADFMLQVFQP SPSLTPRMPFSIGQVTMPMVMPSADPRSLSFPILNPALSQSSQPSPPLPGSHGRNSPGLG SLVSPHGPHMPPAASIPPPPGLGGIKASSETPRPQPVDKLEKILEKLLTRFPQCNKAQMT NILQQIKTARTTMAGLTMEELIQLVAARLAEHERVASSTQAPPTCKLCLMCQKLVQPSEL HPMACTHALHKECIKFWAQTNTNDTCPFCPTLK >ENSMUSP00000060941.5 pep:known chromosome:GRCm38:9:45863691:45906877:-1 gene:ENSMUSG00000042790.15 transcript:ENSMUST00000058720.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf214 description:ring finger protein 214 [Source:MGI Symbol;Acc:MGI:2444451] MAASEVAGLGAGTPSPSESSALCASKSDESLPDGLSPKDSAQKQKNLSPPSVSSQMITKE SNRNAHLEHPEQNPGSSVGDTSAAHEEVVGENLVATALCLSGNGSQSDLKDLTNPAGEEG DTSLRESLHPVTRSLKAGCHSKQLASGNCSEEKCPAASVLKEGSRDAGLDLLPVVPPANG VEGVRVDQDDDQDSSSLKLSQNIAVQTDFKTADSEVNTDQDIEKNLDKMMTERTLLKERY QEVLDKQRQVESQLQVQLKQLQQRREEEMKNHQEILKAIQDVTIKREETKKKIEKEKKEF LQKEQDLKAEIEKLCEKGRREVWEMELDRLKNQDGEINRNIMEETERAWKAEILSLESRK ELLVLKLEEAEKEAELHLTYLKSTPPTLETVRSKQEWETRLNGVRIMKKNVRDQFNSHIQ LVRNGAKLSSLPQIPTPTLPPPPSEADFMLQVFQPSPSLTPRMPFSIGQVTMPMVMPSAD PRSLSFPILNPALSQSSQPSPPLPGSHGRNSPGLGSLVSPHGPHMPPAASIPPPPGLGGI KASSETPRPQPVDKLEKILEKLLTRFPQCNKAQMTNILQQIKTARTTMAGLTMEELIQLV AARLAEHERVASSTQAPPTCKLCLMCQKLVQPSELHPMACTHALHKECIKFWAQTNTNDT CPFCPTLK >ENSMUSP00000125351.1 pep:known chromosome:GRCm38:9:45864299:45906861:-1 gene:ENSMUSG00000042790.15 transcript:ENSMUST00000162699.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf214 description:ring finger protein 214 [Source:MGI Symbol;Acc:MGI:2444451] MAASEVAGLGAGTPSPSESSALCASKSDESLPDGLR >ENSMUSP00000123754.1 pep:known chromosome:GRCm38:9:45864834:45906894:-1 gene:ENSMUSG00000042790.15 transcript:ENSMUST00000160699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf214 description:ring finger protein 214 [Source:MGI Symbol;Acc:MGI:2444451] MAASEVAGLGAGTPSPSESSALCASKSDESLPDGLSPKDSAQKQKNLSPPSVSSQMITKE SNRNAHLEHPEQNPGSSVGDTSAAHEEVVGENLVATALCLSGNGSQSDLKDLTNPAGEEG DTSLRESLHPVTRSLKAGCHSKQLASGNCSEEKCPAASVLKEGSRDAGLDLLPVVPPANG VEGVRVDQDDDQDSSSLKLSQNIAVQTDFKTADSEVNTDQDIEKNLDKMMTERTLLKERY QEVLDKQRQVESQLQVQLKQLQQRREEEMKNHQEILKAIQDVTIKREETKKKIEKEKKEF LQKEQDLKAEIEKLCEKGRREVWEMELDRLKNQDGEINRNIMEETERAWKAEILSLESRK ELLVLKLEEAEKEAELHLTYLKSTPPTLETVRSKQEWETRLNGVRIMKKNVRDQFNSHIQ LVRNGAKLSSLPQIPTPTLPPPPSEADFMLQVFQPSPSLTPRMPFSIGQVTMPMVMPSAD PRSLSFPILNPALSQSSQPSPPLPGSHGRNSPGLGSLVSPHGPHMPPAASIPPPPGLGGI KASSETPRPQPVDKLEKILEKLLTRFPQCNKAQMTNILQQIKTARTTMAGLTMEELIQLV AARLAEHERVASSTQAPPTCKLCLMCQKLVQPSELHPMACTHALHKECIKFWAQTNTNDT CPFCPTLK >ENSMUSP00000125420.1 pep:known chromosome:GRCm38:9:45890804:45906387:-1 gene:ENSMUSG00000042790.15 transcript:ENSMUST00000160811.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf214 description:ring finger protein 214 [Source:MGI Symbol;Acc:MGI:2444451] MAASEVAGLGAGTPSPSESSALCASKSDESLPDGLRLTLKQQIRK >ENSMUSP00000108097.3 pep:known chromosome:GRCm38:5:110339812:110342955:-1 gene:ENSMUSG00000029503.16 transcript:ENSMUST00000112478.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx2 description:purinergic receptor P2X, ligand-gated ion channel, 2 [Source:MGI Symbol;Acc:MGI:2665170] MAAAQPRLPAGAAMVRRLARGCWSAFWDYETPKVIVVRNRRLGFVHRMVQLLILLYFVWY VFIVQKSYQDSETGPESSIITKVKGITMSEHKVWDVEEYVKPPEGGSVVSIITRIEVTPS QTLGTCPESMRVHSSTCHLDDDCVAGQLDMQGNGIRTGRCVPYYHGDSKTCEVSAWCPVE DGTSENHFLGKMAPNFTILIKNSIHYPKFKFSKGNIASQKSDYLKHCTFDQDSDPYCPIF RLGFIVEQAGENFTELAHKGGVIGVIINWNCDLDLSESECNPKYSFRRLDPKYDPASSGY NFRFAKYYKINGTTTTRTLIKAYGIRIDVIVHGQAGKFSLIPTIINLATALTSIGVGSFL CDWILLTFMNKNKLYSHKKFDKVVDTLDQHMGQRPPVPEPSQQDSTSTDPKGLAQL >ENSMUSP00000143554.1 pep:known chromosome:GRCm38:5:110339812:110342955:-1 gene:ENSMUSG00000029503.16 transcript:ENSMUST00000200037.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx2 description:purinergic receptor P2X, ligand-gated ion channel, 2 [Source:MGI Symbol;Acc:MGI:2665170] MAAAQPRLPAGAAMVRRLARGCWSAFWDYETPKVIVVRNRRLGFVHRMVQLLILLYFVWY VFIVQKSYQDSETGPESSIITKVKGITMSEHKVWDVEEYVKPPEGGSVVSIITRIEVTPS QTLGTCPESMRVHSSTCHLDDDCVAGQLDMQGNGIRTGRCVPYYHGDSKTCEVSAWCPVE DGTSENHFLGKMAPNFTILIKNSIHYPKFKFSKGNIASQKSDYLKHCTFDQDSDPYCPIF RLGFIVEQAGENFTELAHKGGVIGVIINWNCDLDLSESECNPKYSFRRLDPKYDPASSGY NFRFAKYYKINGTTTTRTLIKAYGIRIDVIVHGQAGKFSLIPTIINLATALTSIGVGSFL CDWILLTFMNKNKLYSHKKFDKDSTSTDPKGLAQL >ENSMUSP00000143047.1 pep:known chromosome:GRCm38:5:110339812:110343212:-1 gene:ENSMUSG00000029503.16 transcript:ENSMUST00000195985.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx2 description:purinergic receptor P2X, ligand-gated ion channel, 2 [Source:MGI Symbol;Acc:MGI:2665170] MAAAQPRLPAGAAMVRRLARGCWSAFWDYETPKVIVVRNRRLGFVHRMVQLLILLYFVWY VFIVQKSYQDSETGPESSIITKVKGITMSEHKVWDVEEYVKPPEGGSVVSIITRIEVTPS QTLGTCPESMRVHSSTCHLDDDCVAGQLDMQGNGIRTGRCVPYYHGDSKTCEVSAWCPVE DGTSENHFLGKMAPNFTILIKNSIHYPKFKFSKGNIASQKSDYLKHCTFDQDSDPYCPIF RLGFIVEQAGENFTELAHKGGVIGVIINWNCDLDLSESECNPKYSFRRLDPKYDPASSGY NFRFAKYYKINGTTTTRTLIKAYGIRIDVIVHGQAGKFSLIPTIINLATALTSIGVGSFL CDWILLTFMNKNKLYSHKKFDKVRTPRHPSSRWPVTLALVLGQIPPPPSHYSQDQPPSLP SGEGPALGEGAELPLAVQPPRSCSSSALTEQVVDTLDQHMGQRPPVPEPSQQDSTSTDPK GLAQL >ENSMUSP00000054233.10 pep:known chromosome:GRCm38:5:110339813:110342976:-1 gene:ENSMUSG00000029503.16 transcript:ENSMUST00000058016.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx2 description:purinergic receptor P2X, ligand-gated ion channel, 2 [Source:MGI Symbol;Acc:MGI:2665170] MAAAQPRLPAGAAMVRRLARGCWSAFWDYETPKVIVVRNRRLGFVHRMVQLLILLYFVWV ASGAGTALSHRYVFIVQKSYQDSETGPESSIITKVKGITMSEHKVWDVEEYVKPPEGGSV VSIITRIEVTPSQTLGTCPESMRVHSSTCHLDDDCVAGQLDMQGNGIRTGRCVPYYHGDS KTCEVSAWCPVEDGTSENHFLGKMAPNFTILIKNSIHYPKFKFSKGNIASQKSDYLKHCT FDQDSDPYCPIFRLGFIVEQAGENFTELAHKGGVIGVIINWNCDLDLSESECNPKYSFRR LDPKYDPASSGYNFRFAKYYKINGTTTTRTLIKAYGIRIDVIVHGQAGKFSLIPTIINLA TALTSIGVGSFLCDWILLTFMNKNKLYSHKKFDKVRTPRHPSSRWPVTLALVLGQIPPPP SHYSQDQPPSLPSGEGPALGEGAELPLAVQPPRSCSSSALTEQVVDTLDQHMGQRPPVPE PSQQDSTSTDPKGLAQL >ENSMUSP00000142567.1 pep:known chromosome:GRCm38:5:110339970:110343149:-1 gene:ENSMUSG00000029503.16 transcript:ENSMUST00000200214.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx2 description:purinergic receptor P2X, ligand-gated ion channel, 2 [Source:MGI Symbol;Acc:MGI:2665170] MSEHKVWDVEEYVKPPEGGSVVSIITRIEVTPSQTLGTCPESMRVHSSTCHLDDDCVAGQ LDMQGNGIRTGRCVPYYHGDSKTCEVSAWCPVEDGTSENHFLGKMAPNFTILIKNSIHYP KFKFSKGNIASQKSDYLKHCTFDQDSDPYCPIFRLGFIVEQAGENFTELAHKGGVIGVII NWNCDLDLSESECNPKYSFRRLDPKYDPASSGYNFRFAKYYKINGTTTTRTLIKAYGIRI DVIVHGQAGKFSLIPTIINLATALTSIGVGSFLCDWILLTFMNKNKLYSHKKFDKVRTPR HPSSRWPVTLALVLGQIPPPPSHYSQDQPPSLPSGEGPALGEGAELPLAVQPPRSCSSSA LTEQVVDTLDQHMGQRPPVPEPSQQDSTSTDPKGLAQL >ENSMUSP00000051959.7 pep:known chromosome:GRCm38:11:59963181:59964944:-1 gene:ENSMUSG00000049892.7 transcript:ENSMUST00000062405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasd1 description:RAS, dexamethasone-induced 1 [Source:MGI Symbol;Acc:MGI:1270848] MKLAAMIKKMCPSDSELSIPAKNCYRMVILGSSKVGKTAIVSRFLTGRFEDAYTPTIEDF HRKFYSIRGEVYQLDILDTSGNHPFPAMRRLSILTGDVFILVFSLDNRDSFEEVQRLKQQ ILDTKSCLKNKTKENVDVPLVICGNKGDRDFYREVEQREIEQLVGDDPQRCAYFEISAKK NSSLDQMFRALFAMAKLPSEMSPDLHRKVSVQYCDVLHKKALRNKKLLRAGSGGGGDHGD AFGILAPFARRPSVHSDLMYIREKTSVGSQAKDKERCVIS >ENSMUSP00000001700.6 pep:known chromosome:GRCm38:15:102921103:102928814:1 gene:ENSMUSG00000001655.6 transcript:ENSMUST00000001700.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc13 description:homeobox C13 [Source:MGI Symbol;Acc:MGI:99560] MTTSLLLHPRWPESLMYVYEDSAAESGSGGGGGGGGAGGAGGGCSGASPGKAPSMDGLGG SCPASHCRDLLPHPVLARPPAPLGAPQGAVYTDIPAPEAARQCAPPPAPPTSSSATLGYG YPFGGSYYGCRLSHNVNLQQKPCAYHPGDKYPEPSGALPGDDLSSRAKEFAFYPSFASSY QAMPGYLDVSVVPGISGHPEPRHDALIPVEGYQHWALSNGWDSQVYCSKEQSQSAHLWKS PFPDVVPLQPEVSSYRRGRKKRVPYTKVQLKELEKEYAASKFITKEKRRRISATTNLSER QVTIWFQNRRVKEKKVVSKSKAPHLHST >ENSMUSP00000047888.2 pep:known chromosome:GRCm38:11:107874671:107915055:-1 gene:ENSMUSG00000040373.12 transcript:ENSMUST00000039071.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng5 description:calcium channel, voltage-dependent, gamma subunit 5 [Source:MGI Symbol;Acc:MGI:2157946] MSACGRKALTLLSSVFAVCGLGLLGIAVSTDYWLYLEEGIILPQNQSTEVKMSLHSGLWR VCFLAGEERGRCFTIEYVMPMNSQMTSESTVNVLKMIRSATPFPLVSLFFMFIGFILSNI GHIRPHRTILAFVSGIFFILSGLSLVVGLVLYISSINDEMLNRTKDAETYFNYKYGWSFA FAAISFLLTESAGVMSVYLFMKRYTAEDMYRPHPGFYRPRLSNCSDYSGQFLHPDAWIRG RSPSDISSDASLQMNSNYPALLKCPDYDQMSSSPC >ENSMUSP00000102353.1 pep:known chromosome:GRCm38:11:107874605:107911362:-1 gene:ENSMUSG00000040373.12 transcript:ENSMUST00000106742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng5 description:calcium channel, voltage-dependent, gamma subunit 5 [Source:MGI Symbol;Acc:MGI:2157946] MSACGRKALTLLSSVFAVCGLGLLGIAVSTDYWLYLEEGIILPQNQSTEVKMSLHSGLWR VCFLAGEERGRCFTIEYVMPMNSQMTSESTVNVLKMIRSATPFPLVSLFFMFIGFILSNI GHIRPHRTILAFVSGIFFILSGLSLVVGLVLYISSINDEMLNRTKDAETYFNYKYGWSFA FAAISFLLTESAGVMSVYLFMKRYTAEDMYRPHPGFYRPRLSNCSDYSGQFLHPDAWIRG RSPSDISSDASLQMNSNYPALLKCPDYDQMSSSPC >ENSMUSP00000031276.8 pep:known chromosome:GRCm38:5:99217426:99252927:-1 gene:ENSMUSG00000029333.14 transcript:ENSMUST00000031276.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgef1b description:RasGEF domain family, member 1B [Source:MGI Symbol;Acc:MGI:2443755] MPQTPPFSAMFDSSGYNRNLYQSAEDSCGGLYYHDNNLLSGSLEALIQHLVPNVDYYPDR TYIFTFLLSSRLFMHPYELMAKVCHLCVEHQRLSEGDGDKNQMRKIAPKILQLLTEWTET FPYDFRDERMMRNLKDLAHRMASGEEQTYRKNVQQMMQCLIRKLAALSQYEEVLAKLSST ATDRLTVLKTKPQSIQRDIMTVCSDPYTLAQQLTHIELERLNYIGPEEFVQAFVQKDPLD NDKSCYSERKKTRNLEAYVEWFNRLSYLVATEICMPVKKKHRARMIEYFIDVARECFNIG NFNSLMAIISGMNMSPVSRLKKTWAKVKTAKFDILEHQMDPSSNFYNYRTALRGAAQRSL TAHSSREKIVIPFFSLLIKDIYFLNEGCVNRLPNGHVNFEKFWELAKQVSEFMTWKQVEC PFERDRKVLQYLLSVPVFSEDALYLASYESEGPENNIEKDRWKSLRSSLLGRV >ENSMUSP00000129652.1 pep:known chromosome:GRCm38:5:99217426:99252927:-1 gene:ENSMUSG00000029333.14 transcript:ENSMUST00000168092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgef1b description:RasGEF domain family, member 1B [Source:MGI Symbol;Acc:MGI:2443755] MPQTPPFSAMFDSSGYNRNLYQSAEDSCGGLYYHDNNLLSGSLEALIQHLVPNVDYYPDR TYIFTFLLSSRLFMHPYELMAKVCHLCVEHQRLSEGDGDKNQMRKIAPKILQLLTEWTET FPYDFRDERMMRNLKDLAHRMASGEETYRKNVQQMMQCLIRKLAALSQYEEVLAKLSSTA TDRLTVLKTKPQSIQRDIMTVCSDPYTLAQQLTHIELERLNYIGPEEFVQAFVQKDPLDN DKSCYSERKKTRNLEAYVEWFNRLSYLVATEICMPVKKKHRARMIEYFIDVARECFNIGN FNSLMAIISGMNMSPVSRLKKTWAKVKTAKFDILEHQMDPSSNFYNYRTALRGAAQRSLT AHSSREKIVIPFFSLLIKDIYFLNEGCVNRLPNGHVNFEKFWELAKQVSEFMTWKQVECP FERDRKVLQYLLSVPVFSEDALYLASYESEGPENNIEKDRWKSLRSSLLGRV >ENSMUSP00000128947.1 pep:known chromosome:GRCm38:5:99218774:99243240:-1 gene:ENSMUSG00000029333.14 transcript:ENSMUST00000166484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgef1b description:RasGEF domain family, member 1B [Source:MGI Symbol;Acc:MGI:2443755] MPQTPPFSAMFDSSGYNRNLYQSAEDSCGGLYYHDNNLLSGSLEALIQHLVPNVDYYPDN QMRKIAPKILQLLTEWTETFPYDFRDERMMRNLKDLAHRMASGEETYRKNVQQMMQCLIR KLAALSQYEEVLAKLSSTATDRLTVLKTKPQSIQRDIMTVCSDPYTLAQQLTHIELERLN YIGPEEFVQAFVQKDPLDNDKSCYSERKKTRNLEAYVEWFNRLSYLVATEICMPVKKKHR ARMIEYFIDVARECFNIGNFNSLMAIISGMNMSPVSRLKKTWAKVKTAKFDILEHQMDPS SNFYNYRTALRGAAQRSLTAHSSREKIVIPFFSLLIKDIYFLNEGCVNRLPNGHVNFEKF WELAKQVSEFMTWKQVECPFERDRKVLQYLLSVPVFSEDALYLASYESEGPENNIEKDRW KSLRSSLLGRV >ENSMUSP00000106767.1 pep:known chromosome:GRCm38:5:136057191:136064324:1 gene:ENSMUSG00000006143.12 transcript:ENSMUST00000111137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upk3bl description:uroplakin 3B-like [Source:MGI Symbol;Acc:MGI:1916915] MLSPPEPIASASEPVQPVLGEEGGPQSSSLREQPQAAQPRRTEQLGLHSRGPGRKDSSWK LSPAMGPHGKQSVLRMPLLLLLTCVQSGTGLESINYAPQLLGATLEGRLTQSTFTLEQPL GQFKNVNLSDPDPIWLVVAHSNAAQNFTAPRKVEDRHAPANFDRNGYYLTLRANRVHYKG GQPDSQLRVLRVGNDNNCSLESQGCNSPLPGAGPYRVKFLAMSAEGPVAETLWSEEIYLQ QAQTFREAPGSQGKGTVVIIAFLSILLAILLVVFLVLVISACSLSTSGSSPEEQVRMRHY HTHHMGSLRAERSS >ENSMUSP00000123311.2 pep:known chromosome:GRCm38:5:136057267:136064319:1 gene:ENSMUSG00000006143.12 transcript:ENSMUST00000156530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upk3bl description:uroplakin 3B-like [Source:MGI Symbol;Acc:MGI:1916915] XGEEGGPQSSSLREQPQAAQPRRTEQLGLHSRGPGRKDSSWKLSPAMGPHGKQSVLRMPL LLLLTCVQSGTGLESINYAPQLLGATLEGRLTQSTFTLEQPLGQFKNVNLSDPDPIWLVV AHSNAAQNFTAPRKVEDRHAPANFDRNGYYLTLRANRVHYKGGQPDSQLRVLRVGNDNNC SLESQGCNSPLPGAGPYRVKFLAMSAEGPVAETLWSEEIYLQQAQTFREAPGSQGKGTVV IIAFLSILLAILLVVFLVLVISACLSTSGSSPEEQVRMRHYHTHHMGSLRAERSS >ENSMUSP00000122206.1 pep:known chromosome:GRCm38:5:136060121:136064312:1 gene:ENSMUSG00000006143.12 transcript:ENSMUST00000122979.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Upk3bl description:uroplakin 3B-like [Source:MGI Symbol;Acc:MGI:1916915] DRNGYYLTLRANRVHYKGGQPDSQLRVLRVGNDNNCSLESQGCNSPLPGAGPYRVKFLAM SAEGPVAETLWSEEIYLQQAQTFREAPGSQGKGTVVIIAFLSILLAILLVVFLVLVISA >ENSMUSP00000006303.4 pep:known chromosome:GRCm38:5:136057267:136064326:1 gene:ENSMUSG00000006143.12 transcript:ENSMUST00000006303.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upk3bl description:uroplakin 3B-like [Source:MGI Symbol;Acc:MGI:1916915] MGPHGKQSVLRMPLLLLLTCVQSGTGLESINYAPQLLGATLEGRLTQSTFTLEQPLGQFK NVNLSDPDPIWLVVAHSNAAQNFTAPRKVEDRHAPANFDRNGYYLTLRANRVHYKGGQPD SQLRVLRVGNDNNCSLESQGCNSPLPGAGPYRVKFLAMSAEGPVAETLWSEEIYLQQAQT FREAPGSQGKGTVVIIAFLSILLAILLVVFLVLVISACSLSTSGSSPEEQVRMRHYHTHH MGSLRAERSS >ENSMUSP00000056809.4 pep:known chromosome:GRCm38:2:168180986:168206922:-1 gene:ENSMUSG00000051149.15 transcript:ENSMUST00000057793.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adnp description:activity-dependent neuroprotective protein [Source:MGI Symbol;Acc:MGI:1338758] MFQLPVNNLGSLRKARKTVKKILSDIGLEYCKEHIEDFKQFEPNDFYLKNTTWEDVGLWD PSLTKNQDYRTKPFCCSACPFSSKFFSAYKSHFRNVHSEDFENRILLNCPYCTFNADKKT LETHIKIFHAPNSSAPSSSLSTFKDKNKNDGLKPKQADNVEQAVYYCKKCTYRDPLYEIV RKHIYREHFQHVAAPYIAKAGEKSLNGAVSLGTNAREECNIHCKRCLFMPKSYEALVQHV IEDHERIGYQVTAMIGHTNVVVPRAKPLMLIAPKPQDKKGMGLPPRISSLASGNVRSLPS QQMVNRLSIPKPNLNSTGVNMMSNVHLQQNNYGVKSVGQSYGVGQSVRLGLGGNAPVSIP QQSQSVKQLLPSGNGRSFGLGAEQRPPAAARYSLQTANTSLPPGQVKSPSVSQSQASRVL GQSSSKPPPAATGPPPSNHCATQKWKICTICNELFPENVYSVHFEKEHKAEKVPAVANYI MKIHNFTSKCLYCNRYLPTDTLLNHMLIHGLSCPYCRSTFNDVEKMAAHMRMVHIDEEMG PKTDSTLSFDLTLQQGSHTNIHLLVTTYNLRDAPAESVAYHAQNNAPVPPKPQPKVQEKA DVPVKSSPQAAVPYKKDVGKTLCPLCFSILKGPISDALAHHLRERHQVIQTVHPVEKKLT YKCIHCLGVYTSNMTASTITLHLVHCRGVGKTQNGQDKTNAPSRLNQSPGLAPVKRTYEQ MEFPLLKKRKLEEDADSPSCFEEKPEEPVVLALDPKGHEDDSYEARKSFLTKYFNKQPYP TRREIEKLAASLWLWKSDIASHFSNKRKKCVRDCEKYKPGVLLGFNMKELNKVKHEMDFD AEWLFENHDEKDSRVNASKTVDKKHNLGKEDDSFSDSFEHLEEESNGSGSPFDPVFEVEP KIPSDNLEEPVPKVIPEGALESEKLDQKEEEEEEEEEDGSKYETIHLTEEPAKLMHDASD SEVDQDDVVEWKDGASPSESGPGSQQISDFEDNTCEMKPGTWSDESSQSEDARSSKPAAK KKATVQDDTEQLKWKNSSYGKVEGFWSKDQSQWENASENAERLPNPQIEWQNSTIDSEDG EQFDSMTDGVADPMHGSLTGVKLSSQQA >ENSMUSP00000085316.5 pep:known chromosome:GRCm38:2:168180986:168207112:-1 gene:ENSMUSG00000051149.15 transcript:ENSMUST00000088001.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adnp description:activity-dependent neuroprotective protein [Source:MGI Symbol;Acc:MGI:1338758] MFQLPVNNLGSLRKARKTVKKILSDIGLEYCKEHIEDFKQFEPNDFYLKNTTWEDVGLWD PSLTKNQDYRTKPFCCSACPFSSKFFSAYKSHFRNVHSEDFENRILLNCPYCTFNADKKT LETHIKIFHAPNSSAPSSSLSTFKDKNKNDGLKPKQADNVEQAVYYCKKCTYRDPLYEIV RKHIYREHFQHVAAPYIAKAGEKSLNGAVSLGTNAREECNIHCKRCLFMPKSYEALVQHV IEDHERIGYQVTAMIGHTNVVVPRAKPLMLIAPKPQDKKGMGLPPRISSLASGNVRSLPS QQMVNRLSIPKPNLNSTGVNMMSNVHLQQNNYGVKSVGQSYGVGQSVRLGLGGNAPVSIP QQSQSVKQLLPSGNGRSFGLGAEQRPPAAARYSLQTANTSLPPGQVKSPSVSQSQASRVL GQSSSKPPPAATGPPPSNHCATQKWKICTICNELFPENVYSVHFEKEHKAEKVPAVANYI MKIHNFTSKCLYCNRYLPTDTLLNHMLIHGLSCPYCRSTFNDVEKMAAHMRMVHIDEEMG PKTDSTLSFDLTLQQGSHTNIHLLVTTYNLRDAPAESVAYHAQNNAPVPPKPQPKVQEKA DVPVKSSPQAAVPYKKDVGKTLCPLCFSILKGPISDALAHHLRERHQVIQTVHPVEKKLT YKCIHCLGVYTSNMTASTITLHLVHCRGVGKTQNGQDKTNAPSRLNQSPGLAPVKRTYEQ MEFPLLKKRKLEEDADSPSCFEEKPEEPVVLALDPKGHEDDSYEARKSFLTKYFNKQPYP TRREIEKLAASLWLWKSDIASHFSNKRKKCVRDCEKYKPGVLLGFNMKELNKVKHEMDFD AEWLFENHDEKDSRVNASKTVDKKHNLGKEDDSFSDSFEHLEEESNGSGSPFDPVFEVEP KIPSDNLEEPVPKVIPEGALESEKLDQKEEEEEEEEEDGSKYETIHLTEEPAKLMHDASD SEVDQDDVVEWKDGASPSESGPGSQQISDFEDNTCEMKPGTWSDESSQSEDARSSKPAAK KKATVQDDTEQLKWKNSSYGKVEGFWSKDQSQWENASENAERLPNPQIEWQNSTIDSEDG EQFDSMTDGVADPMHGSLTGVKLSSQQA >ENSMUSP00000025329.6 pep:known chromosome:GRCm38:17:36860691:36867187:-1 gene:ENSMUSG00000050747.14 transcript:ENSMUST00000025329.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim15 description:tripartite motif-containing 15 [Source:MGI Symbol;Acc:MGI:1916347] MPSTRSLRGLHQVACPVCTRPLQDAVTTACGHTVCLLCLPRTPMGAQLLCPLCQGAEEEK IQAAVAPVSLGPLSETYCEEHGEKIYFFCKTDAELLCVFCREGPAHQAHTVGFLDEAIQP YRDRLRSRLEALRMERDKIEDRKCQEDQKLQEVLMQVESKKHQVEAAFERLKRELVDQRC LLLNRLEELERQICKEREEYISKVSQEVDRLSTQVEELEEKCQQPASELLQDARLNQSRY ELKTFVSPEAISSDLVKKIRNLHRKILSLPKMMRTFSENLMQHLETDSGS >ENSMUSP00000133953.1 pep:known chromosome:GRCm38:17:36860693:36867210:-1 gene:ENSMUSG00000050747.14 transcript:ENSMUST00000174195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim15 description:tripartite motif-containing 15 [Source:MGI Symbol;Acc:MGI:1916347] MPSTRSLRGLHQVACPVCTRPLQDAVTTACGHTVCLLCLPRTPMGAQLLCPLCQGAEEEK IQAAVAPVSLGPLSETYCEEHGEKIYFFCKTDAELLCVFCREGPAHQAHTVGFLDEAIQP YRDRLRSRLEALRMERDKIEDRKCQEDQKLQEVLMQVESKKHQVEAAFERLKRELVDQRC LLLNRLEELERQICKEREEYISKVSQEVDRLSTQVEELEEKCQQPASELLQDARLNQSRY ELKTFVSPEAISSDLVKKIRNLHRKILSLPKMMRTFSENLMQHLETDSETEA >ENSMUSP00000133638.1 pep:known chromosome:GRCm38:17:36861318:36866746:-1 gene:ENSMUSG00000050747.14 transcript:ENSMUST00000173639.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trim15 description:tripartite motif-containing 15 [Source:MGI Symbol;Acc:MGI:1916347] XPYRDRLRSRLEALRMERDKIEDRKCQEDQKLQEVLMQVESKKHQVEAAFERLKRELVDQ RCLLLNRLEELERQICKEREEYISKVSQEVDRLSTQVEELEEKCQQPASELLQVRDSTTL PPSATAEGLQEAGAGR >ENSMUSP00000096670.3 pep:known chromosome:GRCm38:2:168230622:168232594:1 gene:ENSMUSG00000074576.4 transcript:ENSMUST00000099071.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocs3 description:molybdenum cofactor synthesis 3 [Source:MGI Symbol;Acc:MGI:1916622] MAAPEDVAALQAEITRREEELASLKRRLAAALTAEPEPERPLRVPPPPLAPRAALSRDEI LRYSRQLLLPELGVRGQLRLAAAAVLVVGCGGLGCPLAQYLAAAGVGRLGLVDHDVVETS NLARQVLHGEAQAGESKARSAAAALRRLNSAVECVAYPRALAEDWALDLVRGYDVVADCC DNVPTRYLVNDACVLAGRPLVSASALRFEGQMTVYHHDGGPCYRCVFPRPPPPETVTNCA DGGVLGAVPGVLGCAQALEVLKIAAGLGSSYSGSMLLFDGLGGHFRRIRLRRRRPDCVVC GQQPTVTRLQDYEAFCGSSATDKCRALKLLCPEERISVTDYKRLLDSGAPHVLLDVRPQV EVDICRLPHSLHIPLSQLERRDADSLKLLGAALRKGKQESQEGVALPVYVICKLGNDSQK AVKVLQSLTAVPELDSLTVQDIVGGLMAWAAKIDGTFPQY >ENSMUSP00000104903.1 pep:known chromosome:GRCm38:11:43684145:43707191:1 gene:ENSMUSG00000044950.7 transcript:ENSMUST00000109280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pwwp2a description:PWWP domain containing 2A [Source:MGI Symbol;Acc:MGI:1918052] MYREEPTSIMNAIKLRPRQVLCDKCKNSVVAEKKEIRKGSSDSSRYEDKKRRNDSVATVN KKLKTDHKVDGKNQNESQRRNTVVRVSSIAHSRGRVVKVSAQANTSKAQLNTKKVLQSKN MDHAKAREVLKIAKEKAQKKQSETSTSKTAHAKVHFTRRYQSPSSGSLPPRVRLKPQRYR NEENDSSLKTGLEKIRSGKLAPKPQSRCTSTRSAGEAPSEKPSPSEGPEESAGEVQDTSR VRVPGEQEELRMLGKKGSKSSISVYLTLNQETSDSSSASVCSIDSMDDLKSSNSECSSSE SFVFPPGCMHAPSASSTSTSFSSKEENSLRNSLKMKIFSKNVSKCITPDGRTICVGDIVW AKIYGFPWWPARILTITVSRKDNGLLARQEARISWFASPTTSSLALSQLSPFLENFQLRF NKKRKGLYRRAITEAAKAAKQLTPEVRALLTQFET >ENSMUSP00000091852.4 pep:known chromosome:GRCm38:11:43682058:43720411:1 gene:ENSMUSG00000044950.7 transcript:ENSMUST00000094294.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pwwp2a description:PWWP domain containing 2A [Source:MGI Symbol;Acc:MGI:1918052] MAAVAAEAAATAASPGEGGAGEAEPELEPIPGSEAGTPLPVTATEAAVPDGEADGRQSAP QADEQPLPPPPPPPPPGELADSSEAEEAKPPEPAAVPVSPPEQPPAAPEQPEDAPRPPPA PALVPPAGGDSAVSHLIPGSEVRVTLDHIIEDALVVSFRLGEKLFSGVLMDLSKRFGPHG IPVTVFPKREYKDKPDAMQLQSTTFQEGIEVKQEVNGAVPDDLSPVPPPERLWASKPPPL FHEGAPYPPPLFIRDTYNQSIPQPPPRKIKRPKRKMYREEPTSIMNAIKLRPRQVLCDKC KNSVVAEKKEIRKGSSDSSRYEDKKRRNDSVATVNKKLKTDHKVDGKNQNESQRRNTVVR VSSIAHSRGRVVKVSAQANTSKAQLNTKKVLQSKNMDHAKAREVLKIAKEKAQKKQSETS TSKTAHAKVHFTRRYQSPSSGSLPPRVRLKPQRYRNEENDSSLKTGLEKIRSGKLAPKPQ SRCTSTRSAGLNKWQLLHQTVTSPAAPLQCLTDHCGFRLGALKLTVKRAAQRH >ENSMUSP00000054154.5 pep:known chromosome:GRCm38:11:43681998:43707191:1 gene:ENSMUSG00000044950.7 transcript:ENSMUST00000061070.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pwwp2a description:PWWP domain containing 2A [Source:MGI Symbol;Acc:MGI:1918052] MAAVAAEAAATAASPGEGGAGEAEPELEPIPGSEAGTPLPVTATEAAVPDGEADGRQSAP QADEQPLPPPPPPPPPGELADSSEAEEAKPPEPAAVPVSPPEQPPAAPEQPEDAPRPPPA PALVPPAGGDSAVSHLIPGSEVRVTLDHIIEDALVVSFRLGEKLFSGVLMDLSKRFGPHG IPVTVFPKREYKDKPDAMQLQSTTFQEGIEVKQEVNGAVPDDLSPVPPPERLWASKPPPL FHEGAPYPPPLFIRDTYNQSIPQPPPRKIKRPKRKMYREEPTSIMNAIKLRPRQVLCDKC KNSVVAEKKEIRKGSSDSSRYEDKKRRNDSVATVNKKLKTDHKVDGKNQNESQRRNTVVR VSSIAHSRGRVVKVSAQANTSKAQLNTKKVLQSKNMDHAKAREVLKIAKEKAQKKQSETS TSKTAHAKVHFTRRYQSPSSGSLPPRVRLKPQRYRNEENDSSLKTGLEKIRSGKLAPKPQ SRCTSTRSAGEAPSEKPSPSEGPEESAGEVQDTSRVRVPGEQEELRMLGKKGSKSSISVY LTLNQETSDSSSASVCSIDSMDDLKSSNSECSSSESFVFPPGCMHAPSASSTSTSFSSKE ENSLRNSLKMKIFSKNVSKCITPDGRTICVGDIVWAKIYGFPWWPARILTITVSRKDNGL LARQEARISWFASPTTSSLALSQLSPFLENFQLRFNKKRKGLYRRAITEAAKAAKQLTPE VRALLTQFET >ENSMUSP00000117710.2 pep:known chromosome:GRCm38:8:54629055:54718679:-1 gene:ENSMUSG00000039375.16 transcript:ENSMUST00000144711.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr17 description:WD repeat domain 17 [Source:MGI Symbol;Acc:MGI:1924662] MAWMTYVSHWFEQDDWYEGLQRATMSQVRQVGLLAAGCQPWNKDVCAANGDRFAYCATLA IYIYQLDHRYNEFKLHAIMSEHKKTITAISWCPHNPDLFASSSTDNLVIIWNVAEQKVIA KLDNIKETPACLGWCWNTHDAVAFVSQKGPLLIWTISGPDSGVSVHKEAHSFASDICIFR WHTQKKGKVAFGHIDGSISIFQPDEEDPVTALEWDPLSTDYLLVANLHFGIRLLDSESLY CITTFNLPSAAVSVQCLAWVPSAPGMFITGDSQVGVLRIWNVSRTTPIDNFKLKKTGFHC FHVVNSPPKKKFSVQSPNKNHYISSTSEAVPPPNLTQNQAFSLPPGHVVCCFLDGGVGLY DMGAKKWDFLRELGHVETIFDCKFKPDDPNVLATASFDGTIKVWDINTLTAVYTSPGNEG VIFALSWAPGDLNCIAGATSRNGAFIWDIQKGKIIQRFNEHGKNGIFYIAWSHKDSKRIA TCSGDGFCIIRTVDGKLLHKYKHPAAVFGCDWSQNNKDMIATGCEDKNIRVFYVATSSNQ PLKVFSGHTARVFHVKWSPLREGILCSGSDDGSVRIWDYTQDACVSTLNGHTAPVRGLMW NTEIPYLLISGSWDYTIKVWDTRGGVCLDTVYDHGADVYGLTCHPSRPFTMASCSRDSTV RLWSLIPLITPLQINILADRSWDEVIGNTDNAIRQDCPPLLCGKVSRDIKQEVEKLTCNP RAKKLRLFSECLSPPGGSDNLWNLVSVIKGQDDSLLPQNYCKGMMHLKHLIKFRTSEAQE LTTIKMSKFGGGVGAPTKEKKLKEAAEIHLRLGQIQRYCELMVELGEWDKALSVAPGVSV KYWRKLMQRRADQLIQEDKDDVIPYCIATGDVKKLVNFFVSRGQLKEALLVAQAACEGNM QNAHVSTPKGASNSDDIHKEDFNELLHKVINELAEWYFQDGQAVLAACCHLAVDDIELAM AYLIRGNELELAVSVGTVLGEPAAPAMHYALQLLATKCMMIPMCFPSVVYRNLAADLLLM TPGSEVHLVKLCAFYPGCIEEINDLHKKCNLPPAEECTQLAETARADGNVFETIKYYLLS QEPEKALPIGIDFVKGCISNSNWTLDTVYPVLDLMSYIRTEKLLLHTCTEARNELLILCG YIGALLAIRRQYQSIVPSLYEYTSQLLKRRVVSVPLKIEHLSEELDAWRACTQSTKQSSE DSPYTPPSDSQRTVYETLLKRLKEEPLKGITGLDYVTGSNLPSHSDIHISCLTGLKIQGP VYFLEDGKSTISLNDALMWAKVNPFSPLGTGIRLNPF >ENSMUSP00000115550.2 pep:known chromosome:GRCm38:8:54629233:54724388:-1 gene:ENSMUSG00000039375.16 transcript:ENSMUST00000127511.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr17 description:WD repeat domain 17 [Source:MGI Symbol;Acc:MGI:1924662] MAWMTYVSHWFEQDDWYEGLQRATMSQVRQVGLLAAGCQPWNKDVCAANGDRFAYCATLA IYIYQLDHRYNEFKLHAIMSEHKKTITAISWCPHNPDLFASSSTDNLVIIWNVAEQKVIA KLDNIKETPACLGWCWNTHDAVAFVSQKGPLLIWTISGPDSGVSVHKEAHSFASDICIFR WHTQKKGKVAFGHIDGSISIFQPGSKNQKHVLRPDSLEGTDEEDPVTALEWDPLSTDYLL VANLHFGIRLLDSESLYCITTFNLPSAAVSVQCLAWVPSAPGMFITGDSQVGVLRIWNVS RTTPIDNFKLKKTGFHCFHVVNSPPKKKFSVQSPNKNHYISSTSEAVPPPNLTQNQAFSL PPGHVVCCFLDGGVGLYDMGAKKWDFLRELGHVETIFDCKFKPDDPNVLATASFDGTIKV WDINTLTAVYTSPGNEGVIFALSWAPGDLNCIAGATSRNGAFIWDIQKGKIIQRFNEHGK NGIFYIAWSHKDSKRIATCSGDGFCIIRTVDGKLLHKYKHPAAVFGCDWSQNNKDMIATG CEDKNIRVFYVATSSNQPLKVFSGHTARVFHVKWSPLREGILCSGSDDGSVRIWDYTQDA CVSTLNGHTAPVRGLMWNTEIPYLLISGSWDYTIKVWDTRGGVCLDTVYDHGADVYGLTC HPSRPFTMASCSRDSTVRLWSLIPLITPLQINILADRSWDEVIGNTDNAIRQDCPPLLCG KVSRDIKQEVEKLTCNPRAKKLRLFSECLSPPGGSDNLWNLVSVIKGQDDSLLPQNYCKG MMHLKHLIKFRTSEAQELTTIKMSKFGGGVGAPTKEKKLKEAAEIHLRLGQIQRYCELMV ELGEWDKALSVAPGVSVKYWRKLMQRRADQLIQEDKDDVIPYCIATGDVKKLVNFFVSRG QLKEALLVAQAACEGNMQNAHVSTPKGASNSDDIHKEDFNELLHKVINELAEWYFQDGQA VLAACCHLAVDDIELAMAYLIRGNELELAVSVGTVLGEPAAPAMHYALQLLATKCMMIPM CFPSVVYSFPLCYTNRNLAADLLLMTPGSEVHLVKLCAFYPGCIEEINDLHKKCNLPPAE ECTQLAETARADGNVFETIKYYLLSQEPEKALPIGIDFVKGCISNSNWTLDTVYPVLDLM SYIRTEKLLLHTCTEARNELLILCGYIGALLAIRRQYQSIVPSLYEYTSQLLKRRVVSVP LKIEHLSEELDAWRACTQSTKQSSEDSPYTPPSDSQRTVYETLLKRLKEEPLKGITGLDY VTGSNLPSHSDIHISCLTGLKIQGPVYFLEDGKSTISLNDALMWAKVNPFSPLGTGIRLN PF >ENSMUSP00000135805.1 pep:known chromosome:GRCm38:8:54629247:54724504:-1 gene:ENSMUSG00000039375.16 transcript:ENSMUST00000175915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr17 description:WD repeat domain 17 [Source:MGI Symbol;Acc:MGI:1924662] MSQVRQVGLLAAGCQPWNKDVCAANGDRFAYCATLAIYIYQLDHRYNEFKLHAIMSEHKK TITAISWCPHNPDLFASSSTDNLVIIWNVAEQKVIAKLDNIKETPACLGWCWNTHDAVAF VSQKGPLLIWTISGPDSGVSVHKEAHSFASDICIFRWHTQKKGKVAFGHIDGSISIFQPG SKNQKHVLRPDSLEGTDEEDPVTALEWDPLSTDYLLVANLHFGIRLLDSESLYCITTFNL PSAAVSVQCLAWVPSAPGMFITGDSQVGVLRIWNVSRTTPIDNFKLKKTGFHCFHVVNSP PKKKFSVQSPNKNHYISSTSEAVPPPNLTQNQAFSLPPGHVVCCFLDGGVGLYDMGAKKW DFLRELGHVETIFDCKFKPDDPNVLATASFDGTIKVWDINTLTAVYTSPGNEGVIFALSW APGDLNCIAGATSRNGAFIWDIQKGKIIQRFNEHGKNGIFYIAWSHKDSKRIATCSGDGF CIIRTVDGKLLHKYKHPAAVFGCDWSQNNKDMIATGCEDKNIRVFYVATSSNQPLKVFSG HTARVFHVKWSPLREGILCSGSDDGSVRIWDYTQDACVSTLNGHTAPVRGLMWNTEIPYL LISGSWDYTIKVWDTRGGVCLDTVYDHGADVYGLTCHPSRPFTMASCSRDSTVRLWSLIP LITPLQINILADRSWDEVIGNTDNAIRQDCPPLLCGKVSRDIKQEVEKLTCNPRAKKLRL FSECLSPPGGSDNLWNLVSVIKGQDDSLLPQNYCKGMMHLKHLIKFRTSEAQELTTIKMS KFGGGVGAPTKEKKLKEAAEIHLRLGQIQRYCELMVELGEWDKALSVAPGVSVKYWRKLM QRRADQLIQEDKDDVIPYCIATGDVKKLVNFFVSRGQLKEALLVAQAACEGNMQNAHVST PKGASNSDDIHKEDFNELLHKVINELAEWYFQDGQAVLAACCHLAVDDIELAMAYLIRGN ELELAVSVGTVLGEPAAPAMHYALQLLATKCMMIPMWNLAADLLLMTPGSEVHLVKLCAF YPGCIEEINDLHKKCNLPPAEECTQLAETARADGNVFETIKYYLLSQEPEKALPIGIDFV KGCISNSNWTLDTVYPVLDLMSYIRTEKLLLHTCTEARNELLILCGYIGALLAIRRQYQS IVPSLYEYTSQLLKRRVVSVPLKIEHLSEELDAWRACTQSTKQSSEDSPYTPPSDSQRTV YETLLKRLKEEPLKGITGLDYVTGSNLPSHSDIHISCLTGLKIQGPVYFLEDGKSTISLN DALMWAKVNPFSPLGTGIRLNPF >ENSMUSP00000122326.1 pep:known chromosome:GRCm38:8:54629622:54724368:-1 gene:ENSMUSG00000039375.16 transcript:ENSMUST00000150488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr17 description:WD repeat domain 17 [Source:MGI Symbol;Acc:MGI:1924662] MSQVRQVGLLAAGCQPWNKDVCAANGDRFAYCATLAIYIYQLDHRYNEFKLHAIMSEHKK TITAISWCPHNPDLFASSSTDNLVIIWNVAEQKVIAKLDNIKETPACLGWCWNTHDAVAF VSQKGPLLIWTISGPDSGVSVHKEAHSFASDICIFRWHTQKKGKVAFGHIDGSISIFQPG SKNQKHVLRPDSLEGTDEEDPVTALEWDPLSTDYLLVANLHFGIRLLDSESLYCITTFNL PSAAVSVQCLAWVPSAPGMFITGDSQVGVLRIWNVSRTTPIDNFKLKKTGFHCFHVVNSP PKKKFSVQSPNKNHYISSTSEAVPPPNLTQNQAFSLPPGHVVCCFLDGGVGLYDMGAKKW DFLRELGHVETIFDCKFKPDDPNVLATASFDGTIKVWDINTLTAVYTSPGNEGVIFALSW APGDLNCIAGATSRNGAFIWDIQKGKIIQRFNEHGKNGIFYIAWSHKDSKRIATCSGDGF CIIRTVDGKLLHKYKHPAAVFGCDWSQNNKDMIATGCEDKNIRVFYVATSSNQPLKVFSG HTARVFHVKWSPLREGILCSGSDDGSVRIWDYTQDACVSTLNGHTAPVRGLMWNTEIPYL LISGSWDYTIKVWDTRGGVCLDTVYDHGADVYGLTCHPSRPFTMASCSRDSTVRLWSLIP LITPLQINILADRSWDEVIGNTDNAIRQDCPPLLCGKVSRDIKQEVEKLTCNPRAKKLRL FSECLSPPGGSDNLWNLVSVIKGQDDSLLPQNYCKGMMHLKHLIKFRTSEAQELTTIKMS KFGGGVGAPTKEKKLKEAAEIHLRLGQIQRYCELMVELGEWDKALSVAPGVSVKYWRKLM QRRADQLIQEDKDDVIPYCIATGDVKKLVNFFVSRGQLKEALLVAQAACEGNMQNAHVST PKGASNSDDIHKEDFNELLHKVINELAEWYFQDGQAVLAACCHLAVDDIELAMAYLIRGN ELELAVSVGTVLGEPAAPAMHYALQLLATKCMMIPMCFPSVVYRNLAADLLLMTPGSEVH LVKLCAFYPGCIEEINDLHKKCNLPPAEECTQLAETARADGNVFETIKYYLLSQEPEKAL PIGIDFVKGCISNSNWTLDTVYPVLDLMSYIRTEKLLLHTCTEARNELLILCGYIGALLA IRRQYQSIVPSLYEYTSQLLKRRVVSVPLKIEHLSEELDAWRACTQSTKQSSEDSPYTPP SDSQRTVYETLLKRLKEEPLKGITGLDYVTGSNLPSHSDIHISCLTGLKIQGPVYFLEDG KSTISLNDALMWAKVNPFSPLGTGIRLNPF >ENSMUSP00000134950.1 pep:known chromosome:GRCm38:8:54665055:54690049:-1 gene:ENSMUSG00000039375.16 transcript:ENSMUST00000144482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr17 description:WD repeat domain 17 [Source:MGI Symbol;Acc:MGI:1924662] VAFGHIDGSISIFQPVSVQSPNKNHYISSTSEAVPPPNLTQNQAFSLPPGHVVCCFLDGG VGLYDMGAKKWDFLRELGHVETIFDCKFKPDDPNVLATASFDGTIKVWDINTLTAVYTSP GNEGVIFALSWAPGDLNCIAGATSRNGAFIWDIQKGKIIQRFNEHGKNGIFYIAWSHKDS KRIATCSGDGFCIIRTVDGKLLHKYKHPAAVFGCDWSQNNKDMIATGCEDKNIRVFYVAT SSNQPL >ENSMUSP00000134935.1 pep:known chromosome:GRCm38:8:54687340:54724348:-1 gene:ENSMUSG00000039375.16 transcript:ENSMUST00000129132.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr17 description:WD repeat domain 17 [Source:MGI Symbol;Acc:MGI:1924662] MSQVRQVGLLAAGCQPWNKDVCAANGDRFAYCATLAIYIYQLDHRYNEFKLHAIMSEHKK TITAISWCPHNPDLFASSSTDNLVIIWNVAEQKVIAKLDNIKETPACLGWCWNTHDAVAF VSQKGPLLIWTISGPDSGVSVHKEAHSFASDICIFRWHTQKKGKVAFGHIDGSISIFQPG SKNQKHVLRPDSLEGTDEEDPVTALEWDPLSTDYLLVANLHFGIRLLDSESLYCITTFNL PSAAVSVQCLAWVPSAPGMFITGGKCVHIPESFKYGNFP >ENSMUSP00000134978.1 pep:known chromosome:GRCm38:8:54693231:54887150:-1 gene:ENSMUSG00000039375.16 transcript:ENSMUST00000176866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr17 description:WD repeat domain 17 [Source:MGI Symbol;Acc:MGI:1924662] MKEEEATMSQVRQVGLLAAGCQPWNKDVCAANGDRFAYCATLAIYIYQLDH >ENSMUSP00000135523.1 pep:known chromosome:GRCm38:8:54696269:54706981:-1 gene:ENSMUSG00000039375.16 transcript:ENSMUST00000148408.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr17 description:WD repeat domain 17 [Source:MGI Symbol;Acc:MGI:1924662] MAWMTYVSHWFEQDDWYEGLQRATMSQVRQVGLLAAGCQPWNKDVCAANGDRFAYCATLA IYIYQ >ENSMUSP00000051003.2 pep:known chromosome:GRCm38:15:102936757:102938609:1 gene:ENSMUSG00000050328.2 transcript:ENSMUST00000055562.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc12 description:homeobox C12 [Source:MGI Symbol;Acc:MGI:96194] MGEHNLLNPGFVGPLVNIHTGDTFYFPNFRASGAQLPGLPSLSYPRRDNVCSLPWPSAEP CNGYPQPYLGSPVSLNPPFGRTCELARVEDSKGYYREPCAEGGGGGLKREERGREPGAGP GAALLQLEPSGPPALGFKYDYTASGGGGDGSTGPPHDPPSCQSLESDSSSSLLNEGNKSA SAGDPGSLVSPLNPGGGLSASGAPWYPIHSRSRKKRKPYSKLQLAELEGEFLVNEFITRQ RRRELSDRLNLSDQQVKIWFQNRRMKKKRLLLREQALSFF >ENSMUSP00000032501.4 pep:known chromosome:GRCm38:6:127887589:127953977:1 gene:ENSMUSG00000030351.5 transcript:ENSMUST00000032501.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan11 description:tetraspanin 11 [Source:MGI Symbol;Acc:MGI:1915748] MAHCKTEQDDWLLAHLKYLLFIFNFFFWVGGAAVMAVGIWTLVEKSGYLSILASSTFAAS AYILIFVGGLVMTTGFLGFGAIIREQKSCLSTYFCLLLVIFLVELVAGVLAHVYYQRLSD ELKWHLNSTLTEHYGQPRAAEITASVDRLQQDFKCCGSNSSADWQHSAYILSQEALGRQV PDSCCKTVVARCGQRAHPSNIYKVEGGCMAKLEQFVADHLLLMGAVGIGVACLQICGMVL TCCLHRRLQQQFY >ENSMUSP00000102749.1 pep:known chromosome:GRCm38:3:95883954:95892005:-1 gene:ENSMUSG00000038543.13 transcript:ENSMUST00000090476.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC028528 description:cDNA sequence BC028528 [Source:MGI Symbol;Acc:MGI:2385885] MDVLFIALLVAPLILGQEYDHEEQLEEGDYYQVAYYYYTVTPNYDDFSVNFTVDYSVFES EDRLNRLNKEVTTTEAVETTASSYSLHTELMDPQNPVTTKPVTTEPVTTEPVTTEPQSPN QNDAMSTLQSPVSCFLLWTLLQGGVHFM >ENSMUSP00000046810.6 pep:known chromosome:GRCm38:3:95883958:95891955:-1 gene:ENSMUSG00000038543.13 transcript:ENSMUST00000036360.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC028528 description:cDNA sequence BC028528 [Source:MGI Symbol;Acc:MGI:2385885] MDVLFIALLVAPLILGQEYDHEEQLEEGDYYQVAYYYYTVTPNYDDFSVNFTVDYSVFES EDRLNRLNKEVTTTEAVETTASSYSLHTELMDPQNPVTTKPVTTEPVTTEPVTTEPSPNQ NDAMSTLQSPVSCFLLWTLLQGGVHFM >ENSMUSP00000127666.1 pep:known chromosome:GRCm38:3:95884973:95891930:-1 gene:ENSMUSG00000038543.13 transcript:ENSMUST00000171519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC028528 description:cDNA sequence BC028528 [Source:MGI Symbol;Acc:MGI:2385885] MDVLFIALLVAPLILGQEYDHEEQLEEGDYYQVAYYYYTVTPNYDDFSVNFTVDYSVFES EDRLNRLNKEVTTTEAVETTASSYSLHTELMDPQNPVTTKPVTTEPVTTEPVTTEPQSPN QNDAMSTLQSPVSCFLLWTLLQGGVHFM >ENSMUSP00000104815.1 pep:known chromosome:GRCm38:2:168260117:168281132:-1 gene:ENSMUSG00000074575.4 transcript:ENSMUST00000109191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcng1 description:potassium voltage-gated channel, subfamily G, member 1 [Source:MGI Symbol;Acc:MGI:3616086] MTLLPGDNSHYDYSALSCASDTSFHPAFFPQRQAIKGVFYRRAQRLRPQDDLHQSCSLGD RRRQIIINVGGIKYSLPWTTLDEFPLTRLGQLKACTNFDDILSVCDDYDVTCNEFFFDRN PGAFGTILTFLRAGKLRLLREMCALSFQEELLYWGIAEDHLDGCCKRRYLQKIEEFAEMM EREEEEEPLDSEDQESEGPSASEGRLSRCMRRLRDMVERPHSGLPGKVFACLSVLFVTVT AVNLSVSTLPSLREEEEQGQCSQMCHNVFIVESVCVGWFSLEFLLRFIQAPSKFAFLRSP LTLIDLVAILPYYVTLLVDGAASSRRKPSTGNSYLDKVGLVLRVLRALRILYVMRLARHS LGLQTLGLTARRCTREFGLLLLFLCVAIALFAPLLYVIENEMADSPEFTSIPACYWWAVI TMTTVGYGDMVPRSTPGQVVALSSILSGILLMAFPVTSIFHTFSRSYLELKQEQERVLIR RAQYLIKTKSQLSGMSQDSDILFGSASSDTRDNN >ENSMUSP00000120939.1 pep:known chromosome:GRCm38:2:168269099:168281736:-1 gene:ENSMUSG00000074575.4 transcript:ENSMUST00000131749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcng1 description:potassium voltage-gated channel, subfamily G, member 1 [Source:MGI Symbol;Acc:MGI:3616086] MTLLPGDNSHYDYSALSCASDTSFHPAFFPQRQAIKGVFYRRAQRLRP >ENSMUSP00000096668.2 pep:known chromosome:GRCm38:2:168261698:168269331:-1 gene:ENSMUSG00000074575.4 transcript:ENSMUST00000099069.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcng1 description:potassium voltage-gated channel, subfamily G, member 1 [Source:MGI Symbol;Acc:MGI:3616086] MTLLPGDNSHYDYSALSCASDTSFHPAFFPQRQAIKGVFYRRAQRLRPQDDLHQSCSLGD RRRQIIINVGGIKYSLPWTTLDEFPLTRLGQLKACTNFDDILSVCDDYDVTCNEFFFDRN PGAFGTILTFLRAGKLRLLREMCALSFQEELLYWGIAEDHLDGCCKRRYLQKIEEFAEMM EREEEEEPLDSEDQESEGPSASEGRLSRCMRRLRDMVERPHSGLPGKVFACLSVLFVTVT AVNLSVSTLPSLREEEEQGQCSQMCHNVFIVESVCVGWFSLEFLLRFIQAPSKFAFLRSP LTLIDLVAILPYYVTLLVDGAASSRRKPSTGNSYLDKVGLVLRVLRALRILYVMRLARHS LGLQTLGLTARRCTREFGLLLLFLCVAIALFAPLLYVIENEMADSPEFTSIPACYWWAVI TMTTVGYGDMVPRSTPGQVVALSSILSGILLMAFPVTSIFHTFSRSYLELKQEQERVLIR RAQYLIKTKSQLSGMSQDSDILFGSASSDTRDNN >ENSMUSP00000125325.1 pep:known chromosome:GRCm38:9:88548020:88571061:1 gene:ENSMUSG00000032425.15 transcript:ENSMUST00000162827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp949 description:zinc finger protein 949 [Source:MGI Symbol;Acc:MGI:1918890] MNEYVELVSFEDVTVKFTWEEWQNLNDAQKMLYRSVMLETYNSLLSLGQCIPKPELIFKL EQGEEPWTAEEPANKTLPVFCSVDGIIETNQKSRERHLWQVTASGHNRETEQARGPEDTF YLYSMNISNFVVNNRNCSGVKPEELNGYLNRCHPLETHELCIVERYNDCCVAGKSVRCPE QLGQCSKIETGQQDFEYIRERKDTKEGKLLKKITPSKRLQVGLTSCKHSECEPLSVQSDV MANVGKATFSKKYNLTKHQATNSGRKSCKCLESKKTFPSELDIIEHQRTHNQKKDHVCIQ CEKPFSTKSSLTIHQRIHTGEKPYGCSECNKTFRQKSALIVHERTHTGVKPFECCECGKA FQHKWYLKTHQRTHTGEKPYACIECGKAFLKKSYLKMHQGTHKSDKPYECEKCGKTFHNR SYFNMHHRTHTGEKPYACSECGKAFYQKSDLRRHQRIHNSEKLHECKECGKAFQNKSYLK THQKVHTGEKPYECKECGKAFQNKSYLNKHQIIHTGEKPYECNKCGKTFQWKLVLSKHHR THTGEKPYECIQCGKMFGYKSSLIVHELIHSGEKPYECNVCRKTFSQKSNLSRHQRTHRH GEL >ENSMUSP00000124521.1 pep:known chromosome:GRCm38:9:88548044:88567286:1 gene:ENSMUSG00000032425.15 transcript:ENSMUST00000160652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp949 description:zinc finger protein 949 [Source:MGI Symbol;Acc:MGI:1918890] MNEYVELVSFEDVTVKFTWEEWQNLNDAQKMLYRSVMLETYNSLLSLG >ENSMUSP00000125222.1 pep:known chromosome:GRCm38:9:88548100:88554539:1 gene:ENSMUSG00000032425.15 transcript:ENSMUST00000161232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp949 description:zinc finger protein 949 [Source:MGI Symbol;Acc:MGI:1918890] MNE >ENSMUSP00000125017.1 pep:known chromosome:GRCm38:9:88548183:88571061:1 gene:ENSMUSG00000032425.15 transcript:ENSMUST00000161458.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp949 description:zinc finger protein 949 [Source:MGI Symbol;Acc:MGI:1918890] MNEYVELVSFEDVTVKFTWEEWQNLNDAQKMLYRSVMLETYNSLLSLGQCIPKPELIFKL EQGEEPWTAEEPANKTLPVFCSVDGIIETNQKSRERHLWQVTASGHNRETEQARGPEDTF YLYSMNISNFVVNNRNCSGVKPEELNGYLNRCHPLETHELCIVERYNDCCVAGKSVRCPE QLGQCSKIETGQQDFEYIRERKDTKEGKLLKKITPSKRLQVGLTSCKHSECEPLSVQSDV MANVGKATFSKKYNLTKHQATNSGRKSCKCLESKKTFPSELDIIEHQRTHNQKKDHVCIQ CEKPFSTKSSLTIHQRIHTGEKPYGCSECNKTFRQKSALIVHERTHTGVKPFECCECGKA FQHKWYLKTHQRTHTGEKPYACIECGKAFLKKSYLKMHQGTHKSDKPYECEKCGKTFHNR SYFNMHHRTHTGEKPYACSECGKAFYQKSDLRRHQRIHNSEKLHECKECGKAFQNKSYLK THQKVHTGEKPYECKECGKAFQNKSYLNKHQIIHTGEKPYECNKCGKTFQWKLVLSKHHR THTGEKPYECIQCGKMFGYKSSLIVHELIHSGEKPYECNVCRKTFSQKSNLSRHQRTHRH GEL >ENSMUSP00000099462.3 pep:known chromosome:GRCm38:4:156026164:156028895:1 gene:ENSMUSG00000041954.18 transcript:ENSMUST00000103173.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf18 description:tumor necrosis factor receptor superfamily, member 18 [Source:MGI Symbol;Acc:MGI:894675] MGAWAMLYGVSMLCVLDLGQPSVVEEPGCGPGKVQNGSGNNTRCCSLYAPGKEDCPKERC ICVTPEYHCGDPQCKICKHYPCQPGQRVESQGDIVFGFRCVACAMGTFSAGRDGHCRLWT NCSQFGFLTMFPGNKTHNAVCIPEPLPTEQYGHLTVIFLVMAACIFFLTTVQLGLHIWQL RRQHMCPRETQPFAEVQLSAEDACSFQFPEEERGEQTEEKCHLGGRWP >ENSMUSP00000040035.7 pep:known chromosome:GRCm38:4:156026342:156028891:1 gene:ENSMUSG00000041954.18 transcript:ENSMUST00000040274.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf18 description:tumor necrosis factor receptor superfamily, member 18 [Source:MGI Symbol;Acc:MGI:894675] MGAWAMLYGVSMLCVLDLGQPSVVEEPGCGPGKVQNGSGNNTRCCSLYAPGKEDCPKERC ICVTPEYHCGDPQCKICKHYPCQPGQRVESQGDIVFGFRCVACAMGTFSAGRDGHCRLWT KDPAIRGGAVVS >ENSMUSP00000113277.1 pep:known chromosome:GRCm38:4:156026342:156028891:1 gene:ENSMUSG00000041954.18 transcript:ENSMUST00000122001.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf18 description:tumor necrosis factor receptor superfamily, member 18 [Source:MGI Symbol;Acc:MGI:894675] MGAWAMLYGVSMLCVLDLGQPSVVEEPGCGPGKVQNGSGNNTRCCSLYAPGKEDCPKERC ICVTPEYHCGDPQCKICKHYPCQPGQRVESQGDIVFGFRCVACAMGTFSAGRDGHCRLWT NCSQFGFLTMFPGNKTHNAVCIPEPLPTEQYGHLTVIFLVMAACIFFLTTVQLGLHIWQL RRQHMCPRGQLCPREGENVSQAPHLPHAVLLQRPSHSRRCSCQLRMLAASSSLRRNAGSR QKKSVIWGVGGHEAWSSSVPQARRYKTCPAIPLVRAGAMFCTLPWAWPCSPQQWRKWVYE SGELRLGPMAAFLI >ENSMUSP00000128877.1 pep:known chromosome:GRCm38:3:59729790:59752333:1 gene:ENSMUSG00000090527.2 transcript:ENSMUST00000168156.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5538 description:predicted gene 5538 [Source:MGI Symbol;Acc:MGI:3779495] MGYKILCFGLFCILFAYYIYIPMPENIEERWKIQFLDAGVKMLSFMGKMFEIMGLMKYED VLSILVKVQLTKPVSDENITVIDTDFSDIPVRLYLPKRKSEKQRPAVIFIHGGAFTLGSC KMLPYDYLNRWTANKLDAVVVGIDYRLAPQYPFPAALEDCVLVIKYFLQDTILKKYGVDP TRICISGDSCGGTLATTAIQLLQNNPEHKDKIKAQALLYPGLQFIDTLMPSHRINEHGPF LSREIAIKLAYLYVTEDTALVQAMQRNEHMPKGSRHLFKFVNWSDFLPEKYKKNYVYTEP TLGKLNVFYPALLDSRLSPLLVNDSQLQSLPLTYILTCEHDILRDDGLIYSTRLRNVGVQ VTHDHIEDGIHGAILLITVPCNLHLAVRIRDKYISWLEKNL >ENSMUSP00000112713.1 pep:known chromosome:GRCm38:1:68032186:69107756:-1 gene:ENSMUSG00000062209.15 transcript:ENSMUST00000119142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb4 description:erb-b2 receptor tyrosine kinase 4 [Source:MGI Symbol;Acc:MGI:104771] MKLATGLWVWGSLLMAAGTVQPSASQSVCAGTENKLSSLSDLEQQYRALRKYYENCEVVM GNLEITSIEHNRDLSFLRSIREVTGYVLVALNQFRYLPLENLRIIRGTKLYEDRYALAIF LNYRKDGNFGLQELGLKNLTEILNGGVYVDQNKFLCYADTIHWQDIVRNPWPSNMTLVST NGSSGCGRCHKSCTGRCWGPTENHCQTLTRTVCAEQCDGRCYGPYVSDCCHRECAGGCSG PKDTDCFACMNFNDSGACVTQCPQTFVYNPTTFQLEHNFNAKYTYGAFCVKKCPHNFVVD SSSCVRACPSSKMEVEENGIKMCKPCTDICPKACDGIGTGSLMSAQTVDSSNIDKFINCT KINGNLIFLVTGIHGDPYNAIDAIDPEKLNVFRTVREITGFLNIQSWPPNMTDFSVFSNL VTIGGRVLYSGLSLLILKQQGITSLQFQSLKEISAGNIYITDNSNLCYYHTINWTTLFST INQRIVIRDNRRAENCTAEGMVCNHLCSNDGCWGPGPDQCLSCRRFSRGKICIESCNLYD GEFREFENGSICVECDSQCEKMEDGLLTCHGPGPDNCTKCSHFKDGPNCVEKCPDGLQGA NSFIFKYADQDRECHPCHPNCTQGCNGPTSHDCIYYPWTGHSTLPQHARTPLIAAGVIGG LFILVIMALTFAVYVRRKSIKKKRALRRFLETELVEPLTPSGTAPNQAQLRILKETELKR VKVLGSGAFGTVYKGIWVPEGETVKIPVAIKILNETTGPKANVEFMDEALIMASMDHPHL VRLLGVCLSPTIQLVTQLMPHGCLLDYVHEHKDNIGSQLLLNWCVQIAKGMMYLEERRLV HRDLAARNVLVKSPNHVKITDFGLARLLEGDEKEYNADGGKMPIKWMALECIHYRKFTHQ SDVWSYGVTIWELMTFGGKPYDGIPTREIPDLLEKGERLPQPPICTIDVYMVMVKCWMID ADSRPKFKELAAEFSRMARDPQRYLVIQGDDRMKLPSPNDSKFFQNLLDEEDLEDMMDAE EYLVPQAFNIPPPIYTSRTRIDSNRSEIGHSPPPAYTPMSGNQFVYQDGGFATQQGMPMP YRATTSTIPEAPVAQGATAEMFDDSCCNGTLRKPVAPHVQEDSSTQRYSADPTVFAPERN PRGELDEEGYMTPMHDKPKQEYLNPVEENPFVSRRKNGDLQALDNPEYHSASSGPPKAED EYVNEPLYLNTFANALGSAEYMKNSVLSVPEKAKKAFDNPDYWNHSLPPRSTLQHPDYLQ EYSTKYFYKQNGRIRPIVAENPEYLSEFSLKPGTMLPPPPYRHRNTVV >ENSMUSP00000114123.1 pep:known chromosome:GRCm38:1:68032186:69108059:-1 gene:ENSMUSG00000062209.15 transcript:ENSMUST00000121473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb4 description:erb-b2 receptor tyrosine kinase 4 [Source:MGI Symbol;Acc:MGI:104771] MKLATGLWVWGSLLMAAGTVQPSASQSVCAGTENKLSSLSDLEQQYRALRKYYENCEVVM GNLEITSIEHNRDLSFLRSIREVTGYVLVALNQFRYLPLENLRIIRGTKLYEDRYALAIF LNYRKDGNFGLQELGLKNLTEILNGGVYVDQNKFLCYADTIHWQDIVRNPWPSNMTLVST NGSSGCGRCHKSCTGRCWGPTENHCQTLTRTVCAEQCDGRCYGPYVSDCCHRECAGGCSG PKDTDCFACMNFNDSGACVTQCPQTFVYNPTTFQLEHNFNAKYTYGAFCVKKCPHNFVVD SSSCVRACPSSKMEVEENGIKMCKPCTDICPKACDGIGTGSLMSAQTVDSSNIDKFINCT KINGNLIFLVTGIHGDPYNAIDAIDPEKLNVFRTVREITGFLNIQSWPPNMTDFSVFSNL VTIGGRVLYSGLSLLILKQQGITSLQFQSLKEISAGNIYITDNSNLCYYHTINWTTLFST INQRIVIRDNRRAENCTAEGMVCNHLCSNDGCWGPGPDQCLSCRRFSRGKICIESCNLYD GEFREFENGSICVECDSQCEKMEDGLLTCHGPGPDNCTKCSHFKDGPNCVEKCPDGLQGA NSFIFKYADQDRECHPCHPNCTQGCNGPTSHDCIYYPWTGHSTLPQHARTPLIAAGVIGG LFILVIMALTFAVYVRRKSIKKKRALRRFLETELVEPLTPSGTAPNQAQLRILKETELKR VKVLGSGAFGTVYKGIWVPEGETVKIPVAIKILNETTGPKANVEFMDEALIMASMDHPHL VRLLGVCLSPTIQLVTQLMPHGCLLDYVHEHKDNIGSQLLLNWCVQIAKGMMYLEERRLV HRDLAARNVLVKSPNHVKITDFGLARLLEGDEKEYNADGGKMPIKWMALECIHYRKFTHQ SDVWSYGVTIWELMTFGGKPYDGIPTREIPDLLEKGERLPQPPICTIDVYMVMVKCWMID ADSRPKFKELAAEFSRMARDPQRYLVIQGDDRMKLPSPNDSKFFQNLLDEEDLEDMMDAE EYLVPQAFNIPPPIYTSRTRIDSNRNQFVYQDGGFATQQGMPMPYRATTSTIPEAPVAQG ATAEMFDDSCCNGTLRKPVAPHVQEDSSTQRYSADPTVFAPERNPRGELDEEGYMTPMHD KPKQEYLNPVEENPFVSRRKNGDLQALDNPEYHSASSGPPKAEDEYVNEPLYLNTFANAL GSAEYMKNSVLSVPEKAKKAFDNPDYWNHSLPPRSTLQHPDYLQEYSTKYFYKQNGRIRP IVAENPEYLSEFSLKPGTMLPPPPYRHRNTVV >ENSMUSP00000115373.1 pep:known chromosome:GRCm38:1:68250655:69107756:-1 gene:ENSMUSG00000062209.15 transcript:ENSMUST00000153432.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb4 description:erb-b2 receptor tyrosine kinase 4 [Source:MGI Symbol;Acc:MGI:104771] MKLATGLWVWGSLLMAAGTVQPSASQSVCAGTENKLSSLSDLEQQYRALRKYYENCEVVM GNLEITSIEHNRDLSFLRSIREVTGYVLVALNQFRYLPLENLRIIRGTKLYEDRYALAIF LNYRKDGNFGLQELGLKNLTEILNGGVYVDQNKFLCYADTIHWQDIVRNPWPSNMTLVST NGSSGCGRCHKSCTGRCWGPTENHCQTLTRTVCAEQCDGRCYGPYVSDCCHRECAGGCSG PKDTDCFACMNFNDSGACVTQCPQTFVYNPTTFQLEHNFNAKYTYGAFCVKKCPHNFVVD SSSCVRACPSSKMEVEENGIKMCKPCTDICPKACDGIGTGSLMSAQTVDSSNIDKFINCT KINGNLIFLVTGIHGDPYNAIDAIDPEKLNVFRTVREITGFLNIQSWPPNMTDFSVFSNL VTIGGRVLYSGLSLLILKQQGITSLQFQSLKEISAGNIYITDNSNLCYYHTINWTTLFST INQRIVIRDNRRAENCTAEGMVCNHLCSNDGCWGPGPDQCLSCRRFSRGKICIESCNLYD GEFREFENGSICVECDSQCEKMEDGLLTCHGPGPDNCTKCSHFKDGPNCVEKCPDGLQGA NSFIFKYADQDRECHPCHPNCTQGCIGSSIEDCIGLTDRTPLIAAGVIGGLFILVIMALT FAVYVRRKSIKKKRALRRFLETELVEPLTPSGTAPNQAQLRILKETELKRVKVLGSGAFG TVYKGIWVPEGE >ENSMUSP00000017597.4 pep:known chromosome:GRCm38:11:77880615:77894096:-1 gene:ENSMUSG00000017453.4 transcript:ENSMUST00000017597.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pipox description:pipecolic acid oxidase [Source:MGI Symbol;Acc:MGI:1197006] MAAQTDFWDAIVIGAGIQGCFTAYHLAKHSKSVLLLEQFFLPHSRGSSHGQSRIIRKAYP EDFYTMMMKECYQTWAQLEREAGTQLHRQTELLLLGTKENPGLKTIQATLSRQGIDHEYL SSVDLKQRFPNIRFTRGEVGLLDKTGGVLYADKALRALQHIICQLGGTVCDGEKVVEIRP GLPVTVKTTLKSYQANSLVITAGPWTNRLLHPLGIELPLQTLRINVCYWREKVPGSYGVS QAFPCILGLDLAPHHIYGLPASEYPGLMKICYHHGDNVDPEERDCPKTFSDIQDVQILCH FVRDHLPGLRAEPDIMERCMYTNTPDEHFILDCHPKYDNIVIGAGFSGHGFKLAPVVGKI LYELSMKLPPSYDLAPFRMSRFSTLSKAHL >ENSMUSP00000124943.1 pep:known chromosome:GRCm38:3:95878503:95882205:-1 gene:ENSMUSG00000038550.10 transcript:ENSMUST00000159739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciart description:circadian associated repressor of transcription [Source:MGI Symbol;Acc:MGI:2684975] MDSPSSVSSYSSSSLSPSFSTSSVNSDFSFPSDNEREGKGTHELRPDTVGQRGGSRPSPG PIRCRHRPRVSSNQHTAPHLEQQGSEVKRSRDGEQETSLNTQGCTTEGDLLFAQKCKELQ GFIRPLTDLLNGLKMGRFDRGLSSFQQSVAMDRIQRIVGVLQKPQMGERYLGTLLQVEGM LKTWFPHIAAQKSSSGGSRHQISKHFPSHHGDPGAASPAPLLEKMGQTQLGHLVLKPKQP WHLTGWPAMNLTWIHSTPICNPPLSSQGSASGHSPIGTGASIGVILVLQKGGQPFTHSAP GTPVPPTPLSPVVPGDLKKLPGEEPRCHSLPVTLPSDWSCILCPPVLPTTDREMTKGHPE PQMTSHPPVAPDPQP >ENSMUSP00000049308.3 pep:known chromosome:GRCm38:3:95878505:95882231:-1 gene:ENSMUSG00000038550.10 transcript:ENSMUST00000036418.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciart description:circadian associated repressor of transcription [Source:MGI Symbol;Acc:MGI:2684975] MDSPSSVSSYSSSSLSPSFSTSSVNSDFSFPSDNEREGKGTHELRPDTVGQRGGSRPSPG PIRCRHRPRVSSNQHTAPHLEQQGSEVKRSRDGEQETSLNTQGCTTEGDLLFAQKCKELQ GFIRPLTDLLNGLKMGRFDRGLSSFQQSVAMDRIQRIVGVLQKPQMGERYLGTLLQVEGM LKTWFPHIAAQKSSSGGSRHQISKHFPSHHGDPGAASPAPLLEKMGQTQLGHLVLKPKQP WHLTGWPAMNLTWIHSTPICNPPLSSQGSASGHSPIGTGASIGVILVLQKGGQPFTHSAP GTPVPPTPLSPVVPGDLKKLPGEEPRCHSLPVTLPSDWSCILCPPVLPTTDREMTKGHPE PQMTSHPPVAPDPQP >ENSMUSP00000135072.1 pep:known chromosome:GRCm38:3:95878804:95882251:-1 gene:ENSMUSG00000038550.10 transcript:ENSMUST00000161866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciart description:circadian associated repressor of transcription [Source:MGI Symbol;Acc:MGI:2684975] MGRFDRGLSSFQQSVAMDRIQRIVGVLQKPQMGERYLGTLLQVEGMLKTWFPHIAAQKSS SGGSRHQISKHFPSHHGDPGAASPAPLLEKMGQTQLGHLVLKPKQPWHLTGWPAMNLTWI HSTPICNPPLSSQGSASGHSPIGTGASIGVILVLQKGGQPFTHSAPGTPVPPTPLSPVVP GDLKK >ENSMUSP00000124831.1 pep:known chromosome:GRCm38:3:95879510:95881246:-1 gene:ENSMUSG00000038550.10 transcript:ENSMUST00000161867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciart description:circadian associated repressor of transcription [Source:MGI Symbol;Acc:MGI:2684975] EQETSLNTQGCTTEGDLLFAQKCKELQGFIRPLTDLLNGLKMGRFDRGLSSFQQSVAMDR IQRIVGVLQKPQMGERYLGTLLQVEGMLKTWFPHIAAQKSSSGGSRHQISKGFSV >ENSMUSP00000135522.1 pep:known chromosome:GRCm38:3:95880452:95882203:-1 gene:ENSMUSG00000038550.10 transcript:ENSMUST00000159863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciart description:circadian associated repressor of transcription [Source:MGI Symbol;Acc:MGI:2684975] MGRFDRGLSSFQQSVAMDRIQRIVGVLQKPQMGERYLGTLLQVEGMLKTWFPHIAA >ENSMUSP00000124125.1 pep:known chromosome:GRCm38:3:95880673:95882074:-1 gene:ENSMUSG00000038550.10 transcript:ENSMUST00000161994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciart description:circadian associated repressor of transcription [Source:MGI Symbol;Acc:MGI:2684975] MDSPSSVSSYSSSSLSPSFSTSSVNSDFSFPSDNEREGKGTHELRPDTVGQRGGSRPSPG PIRCRHRPRVSSNQHTAPHLEQQGSEVKRSRDGEQETSLNTQGCTTEGDLLFAQKCKELQ GFIRPLTDLLNGLKMGRFDRGLSSFQQSVAMDRI >ENSMUSP00000114116.1 pep:known chromosome:GRCm38:5:150507055:150518164:-1 gene:ENSMUSG00000056586.8 transcript:ENSMUST00000118769.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zar1l description:zygote arrest 1-like [Source:MGI Symbol;Acc:MGI:3690051] MERLFCVPCGYGTTDPLTYPGPWRHCQQQNWPQNMGAPIFLARLRVPANVSQSCMNPYNR AQLQAVSTQMDPNLSLWLRSVHTTEVGVQVSLRVDKSVQCSQGSQTLHSSSLSDRTSSRK PTEAWEVGRRALIRRPQDGEDEESQEELTGPTEASQLLLPTWSRDREEQFPRLKELGEEY AHSPQDRKGKQFLELKYGYFHCKDCKRRWESAYVWCISGTNKVYFKQLCNKCQKSFNPYR VEEIQCQTCLRVCCSCSPKKRHIDVRRPHRQELCGHCKDKKFSCSVFFSLK >ENSMUSP00000060110.2 pep:known chromosome:GRCm38:1:172320501:172329318:-1 gene:ENSMUSG00000038026.12 transcript:ENSMUST00000062387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj9 description:potassium inwardly-rectifying channel, subfamily J, member 9 [Source:MGI Symbol;Acc:MGI:108007] MAQENAAFSPGSEEPPRRRGRQRYVEKDGRCNVQQGNVRETYRYLTDLFTTLVDLQWRLS LLFFVLAYALTWLFFGAIWWLIAYGRGDLEHLEDTAWTPCVNNLNGFVAAFLFSIETETT IGYGHRVITDQCPEGIVLLLLQAILGSMVNAFMVGCMFVKISQPNKRAATLVFSSHAVVS LRDGRLCLMFRVGDLRSSHIVEASIRAKLIRSRQTLEGEFIPLHQTDLSVGFDTGDDRLF LVSPLVISHEIDAASPFWEASRRALERDDFEIVVILEGMVEATGMTCQARSSYLVDEVLW GHRFTSVLTLEDGFYEVDYASFHETFEVPTPSCSARELAEAAARLDAHLYWSIPSRLDEK VEEEGAGEGAGAGDGADKEHNGCLPPPESESKV >ENSMUSP00000141633.1 pep:known chromosome:GRCm38:1:172322087:172329282:-1 gene:ENSMUSG00000038026.12 transcript:ENSMUST00000194204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj9 description:potassium inwardly-rectifying channel, subfamily J, member 9 [Source:MGI Symbol;Acc:MGI:108007] MAQENAAFSPGSEEPPRRRGRQRYVEKDGRCNVQQGNVRETYRYLTDLFTTLVDLQWRLS LLFFVLAYALTWLFFGAIWWLIAYGRGDLEHLEDTAWTPCVNNLNGFVAAFLFSIETETT IGYGHRVITDQCPEGIVLLLLQAILGSMVNAFMVGCMFVKISQPNKRAATLVFSSHAVVS LRDGRLCLMFRVGDLRSSHIVEASIRAKLIRSRQTLEGEFIPLHQTDLSVGFDTGDDRLF LVSPLVISHEIDAASPFWEASRRALERDDFEIVVILEGMVEATGMTCQARSSYLVDEVLW GHRFTSVLTLEDGFYEVDYASFHETFEVPTPSCSARELAEAAARLDAHLYWSIPSRLDEK VEEEGAGEGAGAGDGADKEHNGCLPPPESESKV >ENSMUSP00000102881.2 pep:known chromosome:GRCm38:3:94954075:94959496:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000107260.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYAYRWIRNHLEEHMDTCLPKQSVYDAYRKYC ESLACCRPLSTANFGKIIREIFPDIKARRLGGRGQSKYCYSGIRRKTLVSMPPLPGLDLK GSESPEMGPEVSPAPRDELVEAACALTCDWAERILKRSFSSIVQVARYLLQQHLISARSA HAHVLKAGGLAEEDERAPRERSLCKSKNVVESLEGGGPKKPERPAQPPKEQEARAGTDLP GRAERKKSVIDSSVPAASKPQVNALVARLPVLLPRAPRSLITPISGTLKVATLPLPTRVG GPQTAVPIINMILPPVPTLSGAGPGPGPGLGPRFGPGPGLGPGPGPGLGAGLGPGLGPGL GAGPGPGLGAGLGAGLGLGPGRVPPRAPILPRGAENREVGISSDPRPHDKGIKRTAEVPL SEASGQDPPVKEMKHETQDTTVSEAKRKRGRPRKKPGGSGERNATPEKSAAIVNSPRSPR LLWETWGSKRENNFIGRPEGPGPGGEAERETVLVQGQQDGAVSKGERSLSSQEAKEAEDK IPPVTSKVSVIKGRIQKEALQLVKGEADAATQGNKGLKGRVLQSSLTPEHKDPKATPP >ENSMUSP00000119704.1 pep:known chromosome:GRCm38:3:94954108:94956529:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000142311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYAYRWIRNHLEEHMDTCLPKQSVYDAYRKYC ESLACCRPLST >ENSMUSP00000117963.1 pep:known chromosome:GRCm38:3:94954108:94961561:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000137088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYAYRWIRNHLEEHMDTCLPKQSVYDAYRKYC ESLACCRPLSTANFGKIIREIFPDIKARRLGGRGQSKYCYSGIRRKTLVSMPPLPGLDLK GSESPEMGPEVSPAPRDELVEAACALTCDWAERILKRSFSSIVQVARYLLQQHLISARSA HAHVLKAGGLAEEDERAPRERSLCKSKNVVESLEGGGPKKPERPAQPPKEQEARAGTDLP GRAERKKSVIDSSVPAASKPQVNALVARLPVLLPRAPRSLITPISGTLKVATLPLPTRVG GPQTAVPIINMILPPVPTLSGAGPGPGPGLGPRFGPGPGLGPGPGPGLGAGLGPGLGPGL GAGPGPGLGAGLGAGLGLGPGRVPPRAPILPRGAENREVGISSDPRPHDKGIKRTAEVPL SEASGQDPPVKEMKHETQDTTVSEAKRKRGRPRKKPGGSGERNATPEKSAAIVNSPRSPR LLWETWGSKRENNFIGRPEGPGPGGEAERETVLVQGQQDGAVSKGERSLSSQEAKEAEDK IPPVTSKVSVIKGRIQKEALQLVKGEADAATQGNKGLKGRVLQSSLTPEHKDPKATPP >ENSMUSP00000121157.1 pep:known chromosome:GRCm38:3:94954109:94956313:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000152869.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYA >ENSMUSP00000114908.1 pep:known chromosome:GRCm38:3:94954135:94961349:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000144132.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRARPCRTK >ENSMUSP00000118014.1 pep:known chromosome:GRCm38:3:94954160:94957148:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000140331.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRARPCRTK >ENSMUSP00000102875.1 pep:known chromosome:GRCm38:3:94954170:94961349:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000107254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYAYRWIRNHLEEHMDTCLPKQSVYDAYRKYC ESLACCRPLSTANFGKIIREIFPDIKARRLGGRGQSKYCYSGIRRKTLVSMPPLPGLDLK GSESPEMGPEVSPAPRDELVEAACALTCDWAERILKRSFSSIVQVARYLLQQHLISARSA HAHVLKAGGLAEEDERAPRERSLCKSKNVVESLEGGGPKKPERPAQVRPLVPRAWGLNGP SWQ >ENSMUSP00000116675.1 pep:known chromosome:GRCm38:3:94954195:94956391:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000145472.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRARPCRTK >ENSMUSP00000102874.1 pep:known chromosome:GRCm38:3:94954226:94961349:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000107253.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYAYRWIRNHLEEHMDTCLPKQSVYDAYRKYC ESLACCRPLSTANFGKIIREIFPDIKARRLGGRGQSKYCYSGIRRKTLVSMPPLPGLDLK GSESPEMGPEVSPAPRDELVEAACALTCDWAERILKRSFSSIVQVARYLLQQHLISARSA HAHVLKAGGLAEEDERAPRERSLCKSKNVVESLEGGGPKKPERPAQVRPLVPRAWGLNGP SWQ >ENSMUSP00000118586.1 pep:known chromosome:GRCm38:3:94954384:94956795:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000147237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYAYRWIRNHLEEHMDTCLPKQSVYDAYRKYC ESLACCRPLSTANFGKIIREIFPDIKARRLGGRGQSKYCYSGIRRKTLVSMPPLPGLDLK GS >ENSMUSP00000117999.1 pep:known chromosome:GRCm38:3:94954478:94956327:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000132393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYAYRWI >ENSMUSP00000118099.1 pep:known chromosome:GRCm38:3:94954527:94956358:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000145031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYAYRWIRNHLEEHMDT >ENSMUSP00000102876.1 pep:known chromosome:GRCm38:3:94954763:94959606:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000107255.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYAYRWIRNHLEEHMDTCLPKQSVYDAYRKYC ESLACCRPLSTANFGKIIREIFPDIKARRLGGRGQSKYCYSGIRRKTLVSMPPLPGLDLK GSESPEMGPEVSPAPRDELVEAACALTCDWAERILKRSFSSIVQVARYLLQQHLISARSA HAHVLKAGGLAEEDERAPRERSLCKSKNVVESLEGGGPKKPERPAQPPKEQEARAGTDLP GRAERKKSVIDSSVPAASKPQVNALVARLPVLLPRAPRSLITPISGTLKVATLPLPTRVG GPQTAVPIINMILPPVPTLSGAGPGPGPGLGPRFGPGPGLGPGPGPGLGAGLGPGLGPGL GAGPGPGLGAGLGAGLGLGPGRVPPRAPILPRGAENREVGISSDPRPHDKGIKRTAEVPL SEASGQDPPVKEMKHETQDTTVSEAKRKRGRPRKKPGGSGERNATPEKSAAIVNSPRSPR LLWETWGSKRENNFIGRPEGPGPGGEAERETVLVQGQQDGAVSKGERSLSSQEAKEAEDK IPPVTSKVSVIKGRIQKEALQLVKGEADAATQGNKGLKGRVLQSSLTPEHKDPKATPP >ENSMUSP00000029772.2 pep:known chromosome:GRCm38:3:94955015:94961561:1 gene:ENSMUSG00000005774.12 transcript:ENSMUST00000029772.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx5 description:regulatory factor X, 5 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:1858421] MAEDKPDAKSPKTGARPQGGADAGEPTTLLQRLRGTISKAVQNKVEGILQEVQKFSDNDK LYLYLQLPSGPSVGEKSSEPSLLSNEEYMYAYRWIRNHLEEHMDTCLPKQSVYDAYRKYC ESLACCRPLSTANFGKIIREIFPDIKARRLGGRGQSKYCYSGIRRKTLVSMPPLPGLDLK GSESPEMGPEVSPAPRDELVEAACALTCDWAERILKRSFSSIVQVARYLLQQHLISARSA HAHVLKAGGLAEEDERAPRERSLCKSKNVVESLEGGGPKKPERPAQPPKEQEARAGTDLP GRAERKKSVIDSSVPAASKPQVNALVARLPVLLPRAPRSLITPISGTLKVATLPLPTRVG GPQTAVPIINMILPPVPTLSGAGPGPGPGLGPRFGPGPGLGPGPGPGLGAGLGPGLGPGL GAGPGPGLGAGLGAGLGLGPGRVPPRAPILPRGAENREVGISSDPRPHDKGIKRTAEVPL SEASGQDPPVKEMKHETQDTTVSEAKRKRGRPRKKPGGSGERNATPEKSAAIVNSPRSPR LLWETWGSKRENNFIGRPEGPGPGGEAERETVLVQGQQDGAVSKGERSLSSQEAKEAEDK IPPVTSKVSVIKGRIQKEALQLVKGEADAATQGNKGLKGRVLQSSLTPEHKDPKATPP >ENSMUSP00000077249.4 pep:known chromosome:GRCm38:9:45838580:45862484:1 gene:ENSMUSG00000032086.12 transcript:ENSMUST00000078111.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bace1 description:beta-site APP cleaving enzyme 1 [Source:MGI Symbol;Acc:MGI:1346542] MAPALHWLLLWVGSGMLPAQGTHLGIRLPLRSGLAGPPLGLRLPRETDEESEEPGRRGSF VEMVDNLRGKSGQGYYVEMTVGSPPQTLNILVDTGSSNFAVGAAPHPFLHRYYQRQLSST YRDLRKGVYVPYTQGKWEGELGTDLVSIPHGPNVTVRANIAAITESDKFFINGSNWEGIL GLAYAEIARPDDSLEPFFDSLVKQTHIPNIFSLQLCGAGFPLNQTEALASVGGSMIIGGI DHSLYTGSLWYTPIRREWYYEVIIVRVEINGQDLKMDCKETEKFPDGFWLGEQLVCWQAG TTPWNIFPVISLYLMGEVTNQSFRITILPQQYLRPVEDVATSQDDCYKFAVSQSSTGTVM GAVIMEGFYVVFDRARKRIGFAVSACHVHDEFRTAAVEGPFVTADMEDCGYNIPQTDEST LMTIAYVMAAICALFMLPLCLMVCQWRCLRCLRHQHDDFADDISLLK >ENSMUSP00000034591.4 pep:known chromosome:GRCm38:9:45838580:45864399:1 gene:ENSMUSG00000032086.12 transcript:ENSMUST00000034591.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bace1 description:beta-site APP cleaving enzyme 1 [Source:MGI Symbol;Acc:MGI:1346542] MAPALHWLLLWVGSGMLPAQGTHLGIRLPLRSGLAGPPLGLRLPRETDEESEEPGRRGSF VEMVDNLRGKSGQGYYVEMTVGSPPQTLNILVDTGSSNFAVGAAPHPFLHRYYQRQLSST YRDLRKGVYVPYTQGKWEGELGTDLVSIPHGPNVTVRANIAAITESDKFFINGSNWEGIL GLAYAEIARPDDSLEPFFDSLVKQTHIPNIFSLQLCGAGFPLNQTEALASVGGSMIIGGI DHSLYTGSLWYTPIRREWYYEVIIVRVEINGQDLKMDCKEYNYDKSIVDSGTTNLRLPKK VFEAAVKSIKAASSTEKFPDGFWLGEQLVCWQAGTTPWNIFPVISLYLMGEVTNQSFRIT ILPQQYLRPVEDVATSQDDCYKFAVSQSSTGTVMGAVIMEGFYVVFDRARKRIGFAVSAC HVHDEFRTAAVEGPFVTADMEDCGYNIPQTDESTLMTIAYVMAAICALFMLPLCLMVCQW RCLRCLRHQHDDFADDISLLK >ENSMUSP00000124773.1 pep:known chromosome:GRCm38:9:45839240:45857173:1 gene:ENSMUSG00000032086.12 transcript:ENSMUST00000159499.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bace1 description:beta-site APP cleaving enzyme 1 [Source:MGI Symbol;Acc:MGI:1346542] XGQGYYVEMTVGSPPQTLNILVDTGSSNFAVGAAPHPFLHRYYQRQLSSTYRDLRKARRL FGALL >ENSMUSP00000124960.1 pep:known chromosome:GRCm38:9:45854627:45860362:1 gene:ENSMUSG00000032086.12 transcript:ENSMUST00000162587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bace1 description:beta-site APP cleaving enzyme 1 [Source:MGI Symbol;Acc:MGI:1346542] XSSTYRDLRKGVYVPYTQGKWEGELGTDLVSIPHGPNVTVRANIAAITESDKFFINGSNW EGILGLAYAEIARLCGAGFPLNQTEALASVGGSMIIGGIDHSLYTGSLWYTPIRREWYYE VIIVRVEINGQDLKMDCKEYNYDKSIVDSGTTNLRLPKKVFEAAVKSIKAASSTEKFPDG FWLGEQLVCWQAGTTPWNIFPVISLYLMGEVTNQSFRITILPQQYLRPVEDVATSQDDCY KFAVSQSSTGTVMGAVIMEGFYVVFDRARKRIGFAVSACHVHDEFRTAAVEGPFVTADME DCGYNIPQTDESTLMTIAYVMAAICALFMLPLCLMVCQWRCLRCLRHQHDDFADDISLLK >ENSMUSP00000039642.6 pep:known chromosome:GRCm38:4:154026639:154042677:-1 gene:ENSMUSG00000039492.7 transcript:ENSMUST00000047207.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc27 description:coiled-coil domain containing 27 [Source:MGI Symbol;Acc:MGI:2685881] MKQENLTQECCSTSPKISKGLMVLQSIASRGCDTQDSEKKPQSTQQSLKKSSQAAAGYFY DKEDQIRKLSKHNGFLSEMEDMRKAFLMRPGCPQFSTRTTSMSHVGSAIMVDLPRTCSGV WKLTEDHPLGRLGSASSVDGRVFPFSKSACELNYPRKRSEPSDPSPTGSPTVVKKSQRTR TPWYISVIHEKDHSLLLMGEELQRFSEMESQMQKKDQEILTLQKEKEALKKQLKNLLRGK GTETSSASIKMDRSFETPLKLGRMSVLKTIYKEEDELQHWMQMQEEYSMAESSKELHVEP GSAIEEKSSEGPPEEAAAAKLSRPSQSKTETLLEVGPEEEEEEEEEEVEGDEAKGTEEGE ILVNEEEASWELREDEECHPKRSYSMTESFEEELMAQLEEYERMLMDFQRELEFTRSRYS LATGTITSLQRQTDFQESQLRKVTTENELLEKELRERKQQIQDMTDKFSNLREEKKHQEI MGLIEKENLVLRQQVADLKMDLISSERTIKELNTQTKELEDQVNTDKDHLRRWKDLHDDL QTRNEIIQQTEQQTRVVLEATQARYEKLRNKIIQAVFSVSGNKNLSMELSDSYILESLQR IISERSDFYSQLKQKGVKVPPLQQSDVSLPSKIKKMASK >ENSMUSP00000099753.3 pep:known chromosome:GRCm38:11:59970614:60037005:-1 gene:ENSMUSG00000000301.16 transcript:ENSMUST00000102692.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pemt description:phosphatidylethanolamine N-methyltransferase [Source:MGI Symbol;Acc:MGI:104535] MSWLLGYMDPTEPSFVAAVITIVFNPLFWNVVARWEQRTRKLSRAFGSPHLACYSLGICI LLLNILRSHCFTQAMMSQPKMEGLDNHTTYFLGLAFLGWGFVFVLSSFYALGFTGTFLGD YFGILKESRVTTFPFSVLDNPMYWGSTANYLGWALMHASPTGLLLTVLVAIVYVVALLYE EPFTAEIYRQKATRLHKRS >ENSMUSP00000000310.7 pep:known chromosome:GRCm38:11:59970614:60046477:-1 gene:ENSMUSG00000000301.16 transcript:ENSMUST00000000310.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pemt description:phosphatidylethanolamine N-methyltransferase [Source:MGI Symbol;Acc:MGI:104535] MSWLLGYMDPTEPSFVAAVITIVFNPLFWNVVARWEQRTRKLSRAFGSPHLACYSLGICI LLLNILRSHCFTQAMMSQPKMEGLDNHTTYFLGLAFLGWGFVFVLSSFYALGFTGTFLGD YFGILKESRVTTFPFSVLDNPMYWGSTANYLGWALMHASPTGLLLTVLVAIVYVVALLYE EPFTAEIYRQKATRLHKRS >ENSMUSP00000099754.2 pep:known chromosome:GRCm38:11:59970614:60046481:-1 gene:ENSMUSG00000000301.16 transcript:ENSMUST00000102693.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pemt description:phosphatidylethanolamine N-methyltransferase [Source:MGI Symbol;Acc:MGI:104535] MEENTSPTTALISSSVAGHDCCGGFGNIDFRQADLFVMSWLLGYMDPTEPSFVAAVITIV FNPLFWNVVARWEQRTRKLSRAFGSPHLACYSLGICILLLNILRSHCFTQAMMSQPKMEG LDNHTTYFLGLAFLGWGFVFVLSSFYALGFTGTFLGDYFGILKESRVTTFPFSVLDNPMY WGSTANYLGWALMHASPTGLLLTVLVAIVYVVALLYEEPFTAEIYRQKATRLHKRS >ENSMUSP00000116314.1 pep:known chromosome:GRCm38:11:59970844:60040169:-1 gene:ENSMUSG00000000301.16 transcript:ENSMUST00000147422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pemt description:phosphatidylethanolamine N-methyltransferase [Source:MGI Symbol;Acc:MGI:104535] MSWLLGYMDPTEPSFVAAVITIVFNPLFWNVVARWEQRTRKLSRAFGSPHLACYSLGICI LLLNILRSHWHASPTGLLLTVLVAIVYVVALLYEEPFTAEIYRQK >ENSMUSP00000120364.1 pep:known chromosome:GRCm38:11:59974154:60046489:-1 gene:ENSMUSG00000000301.16 transcript:ENSMUST00000148512.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pemt description:phosphatidylethanolamine N-methyltransferase [Source:MGI Symbol;Acc:MGI:104535] MEENTSPTTALISSSVAGHDCCGGFGNIDFRQVARWEQRTRKLSRAFGSPHLACYSLGIC ILLLNILRSHCFTQAMMSQPKMEGLDNHTTYFLGLAFLGWGFVFVLSSFYALGFTGTFLG DYFGILKE >ENSMUSP00000040138.8 pep:known chromosome:GRCm38:11:63962627:64079466:-1 gene:ENSMUSG00000042148.8 transcript:ENSMUST00000049091.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox10 description:cytochrome c oxidase assembly protein 10 [Source:MGI Symbol;Acc:MGI:1917633] MAASPHTISSRLLTGSVGGCIWYLERRAIQGLPHRVTRLFRNVSNQWVTLQHLSFLKRMY VTQLHRGLSQRVKPKPEPPASPFLEHTSSGQARADEDELPSFPAPSRPLSRKPNEELVEL EATSIVDHSLDTAKEKKEERQWKEMKLHTDDLPGILARLSKIKLTALVVSTTSAGFALAP GPFDWSCFLLTSLGTGLASCAANSINQFFEVPFDSNMNRTKNRPLVRGQISPLLAVSFAT CCAVPGVALLTWGVNPLTGALGVFNIFLYTCCYTPLKRVSITNTWVGAVVGAIPPVMGWT AATGSLDAGALLLGGILYSWQFPHFNALSWGLREDYSRGGYCMMSVTHPALCRRVALRHC LALIALSTAAPVLDITTWVFPVISLPINLYISYLGFRFYVDADRRSSRKLFFCSLWHLPL LLLLMLTCKQRPGQEGDKGEAPS >ENSMUSP00000054356.3 pep:known chromosome:GRCm38:1:172341210:172374085:1 gene:ENSMUSG00000044708.5 transcript:ENSMUST00000056136.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj10 description:potassium inwardly-rectifying channel, subfamily J, member 10 [Source:MGI Symbol;Acc:MGI:1194504] MTSVAKVYYSQTTQTESRPLVAPGIRRRRVLTKDGRSNVRMEHIADKRFLYLKDLWTTFI DMQWRYKLLLFSATFAGTWFLFGVVWYLVAVAHGDLLELGPPANHTPCVVQVHTLTGAFL FSLESQTTIGYGFRYISEECPLAIVLLIAQLVLTTILEIFITGTFLAKIARPKKRAETIR FSQHAVVASHNGKPCLMIRVANMRKSLLIGCQVTGKLLQTHQTKEGENIRLNQVNVTFQV DTASDSPFLILPLTFYHVVDETSPLKDLPLRSGEGDFELVLILSGTVESTSATCQVRTSY LPEEILWGYEFTPAISLSASGKYIADFSLFDQVVKVASPSGLRDSTVRYGDPEKLKLEES LREQAEKEGSALSVRISNV >ENSMUSP00000021458.6 pep:known chromosome:GRCm38:12:76580488:76710547:-1 gene:ENSMUSG00000021061.15 transcript:ENSMUST00000021458.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptb description:spectrin beta, erythrocytic [Source:MGI Symbol;Acc:MGI:98387] MTSATEFENVGNQPPFSRINARWDAPDDELDNDNSSARLFERSRIKALADEREVVQKKTF TKWVNSHLARVSCRISDLYKDLRDGRMLIKLLEVLSGEMLPRPTKGKMRIHCLENVDKAL QFLKEQRVHLENMGSHDIVDGNHRLVLGLIWTIILRFQIQDIVVQTQEGRETRSAKDALL LWCQMKTAGYPHVNVTNFTSSWKDGLAFNALIHKHRPDLIDFDKLKDSNARHNLEHAFDV AERQLGIIPLLDPEDVFTENPDEKSIITYVVAFYHYFSKMKVLAVEGKRVGKVIDHAIET EKMIEKYSGLASDLLTWIEQTISVLNSRKFANSLSGVQQQLQAFSTYRTVEKPPKFQEKG NLEVLLFTIQSRMRANNQKVYTPHDGKLVSDINRAWESLEEAEYQRELALRSELIRQEKL EQLARRFDRKAAMRETWLNENQRLVTQDNFGYDLAAVEAAKKKHEAIETDTAAYEERVKA LEDLAQELEKENYHDQKRIIARKDNILRLWSYLQELLRSRRQRLEATLALQKLFQDMLHS IDWMDEIKAHILSAEFGKHLLEVEDLLQKHKLMEADIAIQGDKVKAITAATLQFAEGKGY QPCDPQVIQDRVSHLEQCFSELSNMAAGRKAQLEQSKRLWKFFWEMDEAESWIKEKEQIY SSLDYGKDLTSVLILQRKHKAFEDELRGLDAHLKQIFQEADDMVAQKQFGHPQIETRVKE VSAQWDHLKELAAFRKKDLQDAENFFQFQGDADDLKAWLQDAHRLLSGEDVGQDEGATRA LGKKHKEFLEELEESRGVMEHLEHQAQGFPEEFRDSPDVTNRLQALRKLYQQVLTQAELR GHKLQEALDLYTVFGESDACELWMTEKGKWLDQMDIPNTLEDLEVVQHRFDILDQEMKTL MAQIDGVNLAANNLVESGHPRSGEVKQYQDRLNKRWQAFQAVVSEQREAVDSALRVNNYC VDCEETSKWIMDKTKVVESTKDLGQDLAGVIAIQRKLSGLERDVLAIRDRVSALERESQY LMESHPEQKEDIGQRQADVEKLWKGLQDALQGQELSLGEASKLQAFLQDLDDFKAWLSMA QKAVASEDMPESLPEAEQLLQQHAAIKEEIDAHRDDYHRVKASGEKVIEGQTDPDYQLLG QRLEGLDTDWDALRRMWESRGNTLTQCLGFQEFQKDAKQAEAILSNQEYTLAHLEPPDSL AAAEAGIRKFEDFLVSMENNRDKILSPVDSGNKLVAEGNLYSNKIMEKVQLIEDRHKKNN EKAQEATVLLKDNLELQNFLQNCKELTLWINDKLLTSPDVSYDEARNLHNKWMKHQAFMA ELASHQGWLENIDAEGRQLMAEKPQFKDVVSERLEALHKLWEELQSTAKAKAEQLSAARS SDLRLQTHADLNKWIGAMEDQLRSDDLGKDLTTVNRMLAKLKRVEEQVNLRKEELEELFA DAPSLGAEAGDTDMSIEKRFLDLLEPLGRRKKQLELSKAKLQISRDLEDETLWVEERLPL AQSADYGTNLQTVQLFMKKNQTLQNEILGHAPRVEDVLRRGQELVKAAEIDCQDIEERLG HLQSSWDTLREAAAGRLQRLRDAHEAQQYYLDAGEAEAWISEQELYVFSDEPPKDEEGAI VMLKRHLRQQRTVEEYGRNIKQLAGRAQSLLSAGHPEGEQIIRLQGQVDKQYAGLKDMAE ERRRRLENMYHLFQLKREADDLEQWITEKEMVASSQEMGQDFDHVTMLRDKFRDFARETG AIGQERVDNVNTIIERLIDAGHSEAATIAEWKDGLNDMWADLLELIDTRMQLLAASYDLH RYFYTGTEILGLIDEKHRELPEDVGLDASTAESFHRVHTAFERELHLLGVQVQQFQDVAT RLQTAYAGEKADAIQSKEQEVSAAWQALLDACAGRRAQLVDTADKFRFFSMVRDLLSWME SIIRQIETQERPRDVSSVELLLKYHQGIKAEINTRAKNFSTCLELGESLLQRQHQASDEI REKLQQVISRRQEMNDKWEARSDRLHMLLEVCQFSRDASVAEAWLIAQEPYLASRDFGHT VDSVEKLIKRHEAFEKSTASWAERFAALEKPTTLELKERQTPERPTEEPGPQEEEGETAG EAPQVHHAATERTSPGEERGPWPQDLQPPPLPGHHKDEQEKSVGDERPATEPLFKVLDTP LSEGDEPTTLPAQRDLGHTVQMEGYLGRKHDLEGPNKKASNRSWNNLYCVLRNSQLTFYK DAKNLALGVPYHGEEPLALRHAICEIAVNYKKKKHVFKLRLSNGSEWLFHGKDEEEMLMW LQSMSTAINESQSIRVKAQSLPLPSLAGPDASVGKKEKEKRFSFFPKKK >ENSMUSP00000129782.1 pep:known chromosome:GRCm38:12:76596130:76710023:-1 gene:ENSMUSG00000021061.15 transcript:ENSMUST00000166101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptb description:spectrin beta, erythrocytic [Source:MGI Symbol;Acc:MGI:98387] MTSATEFENVGNQPPFSRINARWDAPDDELDNDNSSARLFERSRIKALADEREVVQKKTF TKWVNSHLARVSCRISDLYKDLRDGRMLIKLLEVLSGEMLPRPTKGKMRIHCLENVDKAL QFLKEQRVHLENMGSHDIVDGNHRLVLGLIWTIILRFQIQDIVVQTQEGRETRSAKDALL LWCQMKTAGYPHVNVTNFTSSWKDGLAFNALIHKHRPDLIDFDKLKDSNARHNLEHAFDV AERQLGIIPLLDPEDVFTENPDEKSIITYVVAFYHYFSKMKVLAVEGKRVGKVIDHAIET EKMIEKYSGLASDLLTWIEQTISVLNSRKFANSLSGVQQQLQAFSTYRTVEKPPKFQEKG NLEVLLFTIQSRMRANNQKVYTPHDGKLVSDINRAWESLEEAEYQRELALRSELIRQEKL EQLARRFDRKAAMRETWLNENQRLVTQDNFGYDLAAVEAAKKKHEAIETDTAAYEERVKA LEDLAQELEKENYHDQKRIIARKDNILRLWSYLQELLRSRRQRLEATLALQKLFQDMLHS IDWMDEIKAHILSAEFGKHLLEVEDLLQKHKLMEADIAIQGDKVKAITAATLQFAEGKGY QPCDPQVIQDRVSHLEQCFSELSNMAAGRKAQLEQSKRLWKFFWEMDEAESWIKEKEQIY SSLDYGKDLTSVLILQRKHKAFEDELRGLDAHLKQIFQEADDMVAQKQFGHPQIETRVKE VSAQWDHLKELAAFRKKDLQDAENFFQFQGDADDLKAWLQDAHRLLSGEDVGQDEGATRA LGKKHKEFLEELEESRGVMEHLEHQAQGFPEEFRDSPDVTNRLQALRKLYQQVLTQAELR GHKLQEALDLYTVFGESDACELWMTEKGKWLDQMDIPNTLEDLEVVQHRFDILDQEMKTL MAQIDGVNLAANNLVESGHPRSGEVKQYQDRLNKRWQAFQAVVSEQREAVDSALRVNNYC VDCEETSKWIMDKTKVVESTKDLGQDLAGVIAIQRKLSGLERDVLAIRDRVSALERESQY LMESHPEQKEDIGQRQADVEKLWKGLQDALQGQELSLGEASKLQAFLQDLDDFKAWLSMA QKAVASEDMPESLPEAEQLLQQHAAIKEEIDAHRDDYHRVKASGEKVIEGQTDPDYQLLG QRLEGLDTDWDALRRMWESRGNTLTQCLGFQEFQKDAKQAEAILSNQEYTLAHLEPPDSL AAAEAGIRKFEDFLVSMENNRDKILSPVDSGNKLVAEGNLYSNKIMEKVQLIEDRHKKNN EKAQEATVLLKDNLELQNFLQNCKELTLWINDKLLTSPDVSYDEARNLHNKWMKHQAFMA ELASHQGWLENIDAEGRQLMAEKPQFKDVVSERLEALHKLWEELQSTAKAKAEQLSAARS SDLRLQTHADLNKWIGAMEDQLRSDDLGKDLTTVNRMLAKLKALEEQVNLRKEELEELFA DAPSLGAEAGDTDMSIEKRFLDLLEPLGRRKKQLELSKAKLQISRDLEDETLWVEERLPL AQSADYGTNLQTVQLFMKKNQTLQNEILGHAPRVEDVLRRGQELVKAAEIDCQDIEERLG HLQSSWDTLREAAAGRLQRLRDAHEAQQYYLDAGEAEAWISEQELYVFSDEPPKDEEGAI VMLKRHLRQQRTVEEYGRNIKQLAGRAQSLLSAGHPEGEQIIRLQGQVDKQYAGLKDMAE ERRRRLENMYHLFQLKREADDLEQWITEKEMVASSQEMGQDFDHVTMLRDKFRDFARETG AIGQERVDNVNTIIERLIDAGHSEAATIAEWKDGLNDMWADLLELIDTRMQLLAASYDLH RYFYTGTEILGLIDEKHRELPEDVGLDASTAESFHRVHTAFERELHLLGVQVQQFQDVAT RLQTAYAGEKADAIQSKEQEVSAAWQALLDACAGRRAQLVDTADKFRFFSMVRDLLSWME SIIRQIETQERPRDVSSVELLLKYHQGIKAEINTRAKNFSTCLELGESLLQRQHQASDEI REKLQQVISRRQEMNDKWEARSDRLHMLLEVCQFSRDASVAEAWLIAQEPYLASRDFGHT VDSVEKLIKRHEAFEKSTASWAERFAALEKPTTLELKERQTPERPTEEPGPQEEEGETAG EAPQVHHAATERTSPVSFMSRLSSSWESLLPEPAHPF >ENSMUSP00000137307.1 pep:known chromosome:GRCm38:3:59861051:59877313:1 gene:ENSMUSG00000095522.2 transcript:ENSMUST00000179799.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8298 description:predicted gene 8298 [Source:MGI Symbol;Acc:MGI:3643798] MRYKTLCFGFFFIFLAYHTYIPIPENIEEPWKVRVIDAGMKISALMGTLLENMGLMKFEE FFAILMEAQNTKPVSDENITVIDTDFSDIPVRLYLPKRKSEKQRPAVIFIHGGAYILGSF KMLPYDSVNRWTANKLDAVVMAPDYRLAPQHLFPAALEDCIFVIKFFLQDKVLAKYGVDP TRICISGDSSGGTLAATVTQLLQDDPEYKNKIKAQTLLYPALQALDTLMPSHREYKHGPF LTRKVAIRLTCLYLSEDKELPKTILRNAHVPQESRHLFKFVNWSDFLPEKYKKNHVYTEP VLGNLNASHPGLVDSRASPLLVNDSQLQKLPLTYILTCEHDILRDDGLIYVTRLRKVGVP IIHDHVEDGIHGAISFSTAPFYLKLGLRLIDKYIIWLKENL >ENSMUSP00000102058.1 pep:known chromosome:GRCm38:11:116062095:116077750:-1 gene:ENSMUSG00000057948.12 transcript:ENSMUST00000106450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13d description:unc-13 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:1917700] MATHLSHPQRRPLLRQAIKIRRRRVRDLQDPPPQATQEVQVQSHHFSPEERDLLYEEALY TVLHRLGQPEPNHVKEASELLSYLQEAFQVQPEEHQQMLRRVRELEKPVFCLKATVKQAK GILGKDVSGFSDPYCLLGIEQKVGVAEGSPVSRRRQKAVVKHTIPEEETHRTQVKSQTLN PVWDETFILEFEDIANASFHLDMWDLDTVESVRQKLGELTDLHGLRRIFKEARKDKGQDD FLGNVVLRLQDLRCREDQWFPLEPCTETYPDRGQCHLQFQFIHKRRATAASRSQPSYTVH FHLLQQLVSHEVTQHQAGSTSWDASLSPQAVTILFLHATQKDLSDFHQSMAQWLAYSRLY QSLEFPSSCLLHPITSIEYQWIQGRLKAEQREELATSFTSLLAYGLSLIRKFRSVFPLSV SDSPSRLQSLLRVLVQMCKMKAFGELCPDSAPLSQLVSEALRMGTVEWFHLMQQHHQPMV QGILEAGKALLNLVQDVMGDLYQCRRTWNKIFHNVLKIDLFSMAFLELQWLVAKRVQDHT VAAGNLVSPDIGESLFQLYVSLKELCQLGPVPSDSREVLALDGFHRWFQPAIPSWLQKTY SVALERVQRAVQMDTLVPLGELTKHSTSAVDLSTCFAQISHTARQLDWPDPEEAFMITVK FVEDTCRLALVYCSLIKARARELSAVQKDQSQAADMLCVVVNNMEQLRLIIDKLPTQLAW EALEQRVGAVLEEGQLQNTLHAQLQGALAGLGHEIRTGVRTLAEQLEVGIATHIQKLIGV KESVLPEDAILPLMKFLEVKLCYMNTNLVQENFSSLLTLLWTHTLTVLVEVASSQRSSSL ASGRLKVALQNLEVCFHAEGCGLPPEALHTDTFQALQNDLELQAASSRELIQKYFCSRIQ QQAETTSERLGAVTVKVSYRASEQRLRVELLSASSLLPLDSNGSSDPFVQLTLEPRHEFP EVAPRETQKHKKELHPLFDETFEFLVPAEPCQKAWACLLLTVLDHDRLGADDLEGEAFLP LCRVPGLTDCAEPGEAPQMRLPLTYPAPNGDPILRLLESRKGDREAQAFVKLRRQRAKQA SQHAP >ENSMUSP00000133679.1 pep:known chromosome:GRCm38:11:116062554:116077624:-1 gene:ENSMUSG00000057948.12 transcript:ENSMUST00000173345.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unc13d description:unc-13 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:1917700] MATHLSHPQRRPLLRQAIKIRRRRVRDLQDPPPQATQEVQVQSHHFSPEERDLLYEEALY TVLHRLGQPEPNHVKEASELLSYLQEAFQVQPEEHQQMLRRVRELEKPVFCLKATVKQAK GILGKDVSGFSDPYCLLGIEQKVGVAEGSPVSRRRQKAVVKHTIPEEETHRTQVKSQTLN PVWDETFILEFEDIANASFHLDMWDLDTVESVRQKLGELTDLHGLRRIFKEARKDKGQDD FLGNVVLRLQDLRCREDQWFPLEPCTETYPDRGQCHLQFQFIHKRRATAASRSQPSYTVH FHLLQQLVSHEVTQHQAGSTSWDASLSPQAVTILFLHATQKDLSDFHQSMAQWLAYSRLY QSLEFPSSCLLHPITSIEYQWIQGRLKAEQREELATSFTSLLAYGLSLIRKFRSVFPLSV SDSPSRLQSLLRVLVQMCKMKAFGELCPDSAPLSQLVSEALRMGTVEWFHLMQQHHQPMV QGILEAGKALLNLVQDVMGDLYQCRRTWNKIFHNVLKIDLFSMAFLELQWLVAKRVQDHT VAAGNLVSPDIGESLFQLYVSLKELCQLGPVPSDSREVLALDGFHRWFQPAIPSWLQKTY SVALERVQRAVQMDTLVPLGELTKHSTSAVDLSTCFAQISHTARQLDWPDPEEAFMITVK FVEDTCRLALVYCSLIKARARELSAVQKDQSQAADMLCVVVNNMEQLRLIIDKLPTQLAW EALEQRVGAVLEEGQLQNTLHAQLQGALAGLGHEIRTGVRTLAEQLEVGIATHIQKLIGV KESVLPEDAILPLMKFLEVKLCYMNTNLVQENFSRYPAAPDLPSAAMSPRLTTF >ENSMUSP00000134260.1 pep:known chromosome:GRCm38:11:116062638:116077590:-1 gene:ENSMUSG00000057948.12 transcript:ENSMUST00000174822.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13d description:unc-13 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:1917700] MATHLSHPQRRPLLRQAIKIRRRRVRDLQDPPPQATQEVQVQSHHFSPEERDLLYEEALY TVLHRLGQPEPNHVKEASELLSYLQEAFQVQPEEHQQMLRRVRELEKPVFCLKATVKQAK GILGKDVSGFSDPYCLLGIEQKVGVAEGSPVSRRRQKAVVKHTIPEEETHRTQVKSQTLN PVWDETFILEFEDIANASFHLDMWDLDTVESVRQKLGELTDLHGLRRIFKEARKDKGQDD FLGNVVLRLQDLRCREDQWFPLEPCTETYPDRGQCHLQFQFIHKRRATAASRSQPSYTVH FHLLQQLVSHEVTQHQAGSTSWDASLSPQAVTILFLHATQKDLSDFHQSMAQWLAYSRLY QSLEFPSSCLLHPITSIEYQWIQGRLKAEQREELATSFTSLLAYGLSLIRKFRSVFPLSV SDSPSRLQSLLRVLVQMCKMKAFGELCPDSAPLSQLVSEALRMGTVEWFHLMQQHHQPMG ILEAGKALLNLVQDVMGDLYQCRRTWNKIFHNVLKIDLFSMAFLELQWLVAKRVQDHTVA AGNLVSPDIGESLFQLYVSLKELCQLGPVPSDSREVLALDGFHRWFQPAIPSWLQKTYSV ALERVQRAVQMDTLVPLGELTKHSTSAVDLSTCFAQISHTARQLDWPDPEEAFMITVKFV EDTCRLALVYCSLIKARARELSAVQKDQSQAADMLCVVVNNMEQLRLIIDKLPTQLAWEA LEQRVGAVLEEGQLQNTLHAQLQGALAGLGHEIRTGVRTLAEQLEVGIATHIQKLIGVKE SVLPEDAILPLMKFLEVKLCYMNTNLVQENFSSLLTLLWTHTLTVLVEVASSQRSSSLAS GRLKVALQNLEVCFHAEGCGLPPEALHTDTFQALQNDLELQAASSRELIQKYFCSRIQQQ AETTSERLGAVTVKVSYRASEQRLRVELLSASSLLPLDSNGSSDPFVQLTLEPRHEFPEV APRETQKHKKELHPLFDETFEFLVPAEPCQKAWACLLLTVLDHDRLGADDLEGEAFLPLC RVPGLTDCAEPGEAPQMRLPLTYPAPNGDPILRLLESRKGDREAQAFVKLRRQRAKQASQ HAP >ENSMUSP00000115327.1 pep:known chromosome:GRCm38:11:116076108:116077051:-1 gene:ENSMUSG00000057948.12 transcript:ENSMUST00000153408.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13d description:unc-13 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:1917700] MDLRHGGPGHGQDGPGVSPSESLMLEQVQVQSHHFSPEERDLLYEEALYTVLHRLGQPEP NHVKEASELLSYLQEAFQVQPEEHQQMLRRVRELEKPVFCLKATVKQAKGILGKDVS >ENSMUSP00000118266.1 pep:known chromosome:GRCm38:11:116076471:116077941:-1 gene:ENSMUSG00000057948.12 transcript:ENSMUST00000156545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13d description:unc-13 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:1917700] MATHLSHPQRRPLLRQAIKIRRRRVRDLQDPPPQATQEVQVQSHHFSPEERDLLYEEALY TVLHRLGQPEPNHVKE >ENSMUSP00000074549.2 pep:known chromosome:GRCm38:11:116062096:116077961:-1 gene:ENSMUSG00000057948.12 transcript:ENSMUST00000075036.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13d description:unc-13 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:1917700] MATHLSHPQRRPLLRQAIKIRRRRVRDLQDPPPQATQEVQVQSHHFSPEERDLLYEEALY TVLHRLGQPEPNHVKEASELLSYLQEAFQVQPEEHQQMLRRVRELEKPVFCLKATVKQAK GILGKDVSGFSDPYCLLGIEQKVGVAEGSPVSRRRQKAVVKHTIPEEETHRTQVKSQTLN PVWDETFILEFEDIANASFHLDMWDLDTVESVRQKLGELTDLHGLRRIFKEARKDKGQDD FLGNVVLRLQDLRCREDQWFPLEPCTETYPDRGQCHLQFQFIHKRRATAASRSQPSYTVH FHLLQQLVSHEVTQHQAGSTSWDASLSPQAVTILFLHATQKDLSDFHQSMAQWLAYSRLY QSLEFPSSCLLHPITSIEYQWIQGRLKAEQREELATSFTSLLAYGLSLIRKFRSVFPLSV SDSPSRLQSLLRVLVQMCKMKAFGELCPDSAPLSQLVSEALRMGTVEWFHLMQQHHQPMG ILEAGKALLNLVQDVMGDLYQCRRTWNKIFHNVLKIDLFSMAFLELQWLVAKRVQDHTVA AGNLVSPDIGESLFQLYVSLKELCQLGPVPSDSREVLALDGFHRWFQPAIPSWLQKTYSV ALERVQRAVQMDTLVPLGELTKHSTSAVDLSTCFAQISHTARQLDWPDPEEAFMITVKFV EDTCRLALVYCSLIKARARELSAVQKDQSQAADMLCVVVNNMEQLRLIIDKLPTQLAWEA LEQRVGAVLEEGQLQNTLHAQLQGALAGLGHEIRTGVRTLAEQLEVGIATHIQKLIGVKE SVLPEDAILPLMKFLEVKLCYMNTNLVQENFSSLLTLLWTHTLTVLVEVASSQRSSSLAS GRLKVALQNLEVCFHAEGCGLPPEALHTDTFQALQNDLELQAASSRELIQKYFCSRIQQQ AETTSERLGAVTVKVSYRASEQRLRVELLSASSLLPLDSNGSSDPFVQLTLEPRHEFPEV APRETQKHKKELHPLFDETFEFLVPAEPCQKAWACLLLTVLDHDRLGADDLEGEAFLPLC RVPGLTDCAEPGEAPQMRLPLTYPAPNGDPILRLLESRKGDREAQAFVKLRRQRAKQASQ HAP >ENSMUSP00000102059.1 pep:known chromosome:GRCm38:11:116062096:116077961:-1 gene:ENSMUSG00000057948.12 transcript:ENSMUST00000106451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc13d description:unc-13 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:1917700] MATHLSHPQRRPLLRQAIKIRRRRVRDLQDPPPQATQEVQVQSHHFSPEERDLLYEEALY TVLHRLGQPEPNHVKEASELLSYLQEAFQVQPEEHQQMLRRVRELEKPVFCLKATVKQAK GILGKDVSGFSDPYCLLGIEQKVGVAEGSPVSRRRQKAVVKHTIPEEETHRTQVKSQTLN PVWDETFILEFEDIANASFHLDMWDLDTVESVRQKLGELTDLHGLRRIFKEARKDKGQDD FLGNVVLRLQDLRCREDQWFPLEPCTETYPDRGQCHLQFQFIHKRRATAASRSQPSYTVH FHLLQQLVSHEVTQHQAGSTSWDASLSPQAVTILFLHATQKDLSDFHQSMAQWLAYSRLY QSLEFPSSCLLHPITSIEYQWIQGRLKAEQREELATSFTSLLAYGLSLIRKFRSVFPLSV SDSPSRLQSLLRVLVQMCKMKAFGELCPDSAPLSQLVSEALRMGTVEWFHLMQQHHQPMV QGILEAGKALLNLVQDVMGDLYQCRRTWNKIFHNVLKIDLFSMAFLELQWLVAKRVQDHT VAAGNLVSPDIGESLFQLYVSLKELCQLGPVPSDSREVLALDGFHRWFQPAIPSWLQKTY SVALERVQRAVQMDTLVPLGELTKHSTSAVDLSTCFAQISHTARQLDWPDPEEAFMITVK FVEDTCRLALVYCSLIKARARELSAVQKDQSQAADMLCVVVNNMEQLRLIIDKLPTQLAW EALEQRVGAVLEEGQLQNTLHAQLQGALAGLGHEIRTGVRTLAEQLEVGIATHIQKLIGV KESVLPEDAILPLMKFLEVKLCYMNTNLVQENFSSLLTLLWTHTLTVLVEVASSQRSSSL ASGRLKVALQNLEVCFHAEGCGLPPEALHTDTFQALQNDLELQAASSRELIQKYFCSRIQ QQAETTSERLGAVTVKVSYRASEQRLRVELLSASSLLPLDSNGSSDPFVQLTLEPRHEFP EVAPRETQKHKKELHPLFDETFEFLVPAEPCQKAWACLLLTVLDHDRLGADDLEGEAFLP LCRVPGLTDCAEPGEAPQMRLPLTYPAPNGDPILRLLESRKGDREAQAFVKLRRQRAKQA SQHAP >ENSMUSP00000127527.2 pep:known chromosome:GRCm38:9:88580891:88588819:1 gene:ENSMUSG00000090693.3 transcript:ENSMUST00000164661.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim43a description:tripartite motif-containing 43A [Source:MGI Symbol;Acc:MGI:3645218] MESDNLQDPQEETLTCSICQSIFMNPVYLRCGHKFCEACLLLSQEDIKFPAYCPMCMQPF NQEYINDISLKKQVSIVRKKRLMKYLNSKEHKCVTHKAKKMIFCDKSKILLCHLCSDSQE HSGHTHCSIDVAVQEKMEELLKHMDSLWRRLKIQQNYVEIERRTTLWWLSVKLREEVIKR VYGKQCPPLCEERDQHIECLRHQSNTTLEELRKSEATIVHERNQLIEVYRELMTMSQRPY QELLVQDLDDLFRRSKLAAKLDMPQGMIPRLHAHSIPGLTARLNSFRVKISFKHSIMFGY TSVRPFDIRLLHESTSLDSAETHRVSWGKKSFSRGKYYWEVDLKDHEQWTVGVRKDPWLR GRSYAATPTDLFLLECLRKEDHYILITRIGGEHYIEKPVGQVGVFLDCEGGYVSFVDVAK SSLILSYSPGTFHCAVRPFFSAVYT >ENSMUSP00000105441.1 pep:known chromosome:GRCm38:2:152847978:152894654:1 gene:ENSMUSG00000027469.16 transcript:ENSMUST00000109816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpx2 description:TPX2, microtubule-associated protein homolog (Xenopus laevis) [Source:MGI Symbol;Acc:MGI:1919369] MSQVPTTYSFDAPTDFINFSSLDAEEDTENIDSWFDEKANLENKFLRQRGIGEPFQGKNS LRKAKLQQGFVTPLKAVDNTYHKETEKENLQKQSIPSNDCSSLDAKRAVSGNTPVQPQRR SIRLSAQKDLEQKEKNHVASVEMKAKRCVAPATDCPPQKRMKVSHKKKLEEEEEGSAPAT SRKNERETLEKAKGKHTVPGVPPAREKVLKSTEEQEIEKRLRMQQEVVELRRKNEEFKKL ALAGPGQPVKKSTSQVTKTVDFHFLTDERIKQHPKNQEEYKEVNFMSELRKHSSTPARGT RGCTIIKPFNLSKGKKRTFDEAASTYVPIAQQVEAFHKRTPNRYHLRNKKDESLLPSKSV NKIARDPQTPILQTKYRTRAVTCKSTAEQEAEELEKLQQYKFKARELDPRIFESGPILPK RAPVKPPTQPVGFDLEIEKRIHERESKKKTEDEQFEFHSRPCPTKILEDVVGVPEKKVIP ATVPKSPVFALKNRIRVPIKDEEEEKPVVIKAQPVPHYGVPYKPHIAEARNVEVCPFSFD TRDKERQLQKEKKIKEMQKGEVPKFKALPVPHFDTINLPEKKVKNVTQAEPFSLETDKRG AYKAEMWKHQLEEEQKQQKDAACFKARPNTVIFQEPFVPKKEKKSLAENPSGSLVQEPFQ LATERRAKERQELEKKMAEVEAWKLQQLEEVRQQEEEQQKEELARLRKELVHKANPIRKY AAVEVKSSELPLTVPVSPKFSTRFQ >ENSMUSP00000028969.8 pep:known chromosome:GRCm38:2:152847995:152894654:1 gene:ENSMUSG00000027469.16 transcript:ENSMUST00000028969.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpx2 description:TPX2, microtubule-associated protein homolog (Xenopus laevis) [Source:MGI Symbol;Acc:MGI:1919369] MSQVPTTYSFDAPTDFINFSSLDAEEDTENIDSWFDEKANLENKFLRQRGIGEPFQGKNS LRKAKLQQGFVTPLKAVDNTYHKETEKENLQKQSIPSNDCSSLDAKRAVSGNTPVQPQRR SIRLSAQKDLEQKEKNHVASVEMKAKRCVAPATDCPPQKRMKVSHKKKLEEEEEGSAPAT SRKNERETLEKAKGKHTVPGVPPAREKVLKSTEEQEIEKRLRMQQEVVELRRKNEEFKKL ALAGPGQPVKKSTSQVTKTVDFHFLTDERIKQHPKNQEEYKEVNFMSELRKHSSTPARGT RGCTIIKPFNLSKGKKRTFDEAASTYVPIAQQVEAFHKRTPNRYHLRNKKDESLLPSKSV NKIARDPQTPILQTKYRTRAVTCKSTAEQEAEELEKLQQYKFKARELDPRIFESGPILPK RAPVKPPTQPVGFDLEIEKRIHERESKKKTEDEQFEFHSRPCPTKILEDVVGVPEKKVIP ATVPKSPVFALKNRIRVPIKDEEEEKPVVIKAQPVPHYGVPYKPHIAEARNVEVCPFSFD TRDKERQLQKEKKIKEMQKGEVPKFKALPVPHFDTINLPEKKVKNVTQAEPFSLETDKRG AYKAEMWKHQLEEEQKQQKDAACFKARPNTVIFQEPFVPKKEKKSLAENPSGSLVQEPFQ LATERRAKERQELEKKMAEVEAWKLQQLEEVRQQEEEQQKEELARLRKELVHKANPIRKY AAVEVKSSELPLTVPVSPKFSTRFQ >ENSMUSP00000128888.1 pep:known chromosome:GRCm38:2:152847964:152895321:1 gene:ENSMUSG00000027469.16 transcript:ENSMUST00000164120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpx2 description:TPX2, microtubule-associated protein homolog (Xenopus laevis) [Source:MGI Symbol;Acc:MGI:1919369] MSQVPTTYSFDAPTDFINFSSLDAEEDTENIDSWFDEKANLENKFLRQRGIGEPFQGKNS LRKAKLQQGFVTPLKAVDNTYHKETEKENLQKQSIPSNDCSSLDAKRAVSGNTPVQPQRR SIRLSAQKDLEQKEKNHVASVEMKAKRCVAPATDCPPQKRMKVSHKKKLEEEEEGSAPAT SRKNERETLEKAKGKHTVPGVPPAREKVLKSTEEQEIEKRLRMQQEVVELRRKNEEFKKL ALAGPGQPVKKSTSQVTKTVDFHFLTDERIKQHPKNQEEYKEVNFMSELRKHSSTPARGT RGCTIIKPFNLSKGKKRTFDEAASTYVPIAQQVEAFHKRTPNRYHLRNKKDESLLPSKSV NKIARDPQTPILQTKYRTRAVTCKSTAEQEAEELEKLQQYKFKARELDPRIFESGPILPK RAPVKPPTQPVGFDLEIEKRIHERESKKKTEDEQFEFHSRPCPTKILEDVVGVPEKKVIP ATVPKSPVFALKNRIRVPIKDEEEEKPVVIKAQPVPHYGVPYKPHIAEARNVEVCPFSFD TRDKERQLQKEKKIKEMQKGEVPKFKALPVPHFDTINLPEKKVKNVTQAEPFSLETDKRG AYKAEMWKHQLEEEQKQQKDAACFKARPNTVIFQEPFVPKKEKKSLAENPSGSLVQEPFQ LATERRAKERQELEKKMAEVEAWKLQQLEEVRQQEEEQQKEELARLRKELVHKANPIRKY AAVEVKSSELPLTVPVSPKFSTRFQ >ENSMUSP00000136457.1 pep:known chromosome:GRCm38:2:152847964:152895321:1 gene:ENSMUSG00000027469.16 transcript:ENSMUST00000178997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpx2 description:TPX2, microtubule-associated protein homolog (Xenopus laevis) [Source:MGI Symbol;Acc:MGI:1919369] MSQVPTTYSFDAPTDFINFSSLDAEEDTENIDSWFDEKANLENKFLRQRGIGEPFQGKNS LRKAKLQQGFVTPLKAVDNTYHKETEKENLQKQSIPSNDCSSLDAKRAVSGNTPVQPQRR SIRLSAQKDLEQKEKNHVASVEMKAKRCVAPATDCPPQKRMKVSHKKKLEEEEEGSAPAT SRKNERETLEKAKGKHTVPGVPPAREKVLKSTEEQEIEKRLRMQQEVVELRRKNEEFKKL ALAGPGQPVKKSTSQVTKTVDFHFLTDERIKQHPKNQEEYKEVNFMSELRKHSSTPARGT RGCTIIKPFNLSKGKKRTFDEAASTYVPIAQQVEAFHKRTPNRYHLRNKKDESLLPSKSV NKIARDPQTPILQTKYRTRAVTCKSTAEQEAEELEKLQQYKFKARELDPRIFESGPILPK RAPVKPPTQPVGFDLEIEKRIHERESKKKTEDEQFEFHSRPCPTKILEDVVGVPEKKVIP ATVPKSPVFALKNRIRVPIKDEEEEKPVVIKAQPVPHYGVPYKPHIAEARNVEVCPFSFD TRDKERQLQKEKKIKEMQKGEVPKFKALPVPHFDTINLPEKKVKNVTQAEPFSLETDKRG AYKAEMWKHQLEEEQKQQKDAACFKARPNTVIFQEPFVPKKEKKSLAENPSGSLVQEPFQ LATERRAKERQELEKKMAEVEAWKLQQLEEVRQQEEEQQKEELARLRKELVHKANPIRKY AAVEVKSSELPLTVPVSPKFSTRFQ >ENSMUSP00000029850.8 pep:known chromosome:GRCm38:3:154596711:154623182:1 gene:ENSMUSG00000028199.18 transcript:ENSMUST00000029850.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryz description:crystallin, zeta [Source:MGI Symbol;Acc:MGI:88527] MATGQKLMRAIRVFEFGGPEVLKLQSDVVVPVPQSHQVLIKVHACGVNPVETYIRSGAYS RKPALPYTPGSDVAGIIESVGDKVSAFKKGDRVFCYSTVSGGYAEFALAADDTIYPLPET LNFRQGAALGIPYFTACRALFHSARARAGESVLVHGASGGVGLATCQIARAHGLKVLGTA GSEEGKKLVLQNGAHEVFNHKEANYIDKIKMSVGDKDKGVDVIIEMLANENLSNDLKLLS HGGRVVVVGCRGPIEINPRDTMAKETSIIGVSLSSSTKEEFQQFAGLLQAGIEKGWVKPV IGSEYPLEKAAQAHEDIIHGSGKTGKMILLL >ENSMUSP00000139387.1 pep:known chromosome:GRCm38:3:154596711:154623182:1 gene:ENSMUSG00000028199.18 transcript:ENSMUST00000184537.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cryz description:crystallin, zeta [Source:MGI Symbol;Acc:MGI:88527] MATGQKLMRAIRVFEFGGPEVLKLQSDVVVPVPQSHQVLIKVHACGVNPVETYIRSGAYS RKPALPYTPGSDVAGIIESVGDKVSAFKKGDRVFCYSTVSGGYAEFALAADDTIYPLPET LNFRQGAALGIPYFTACRALFHSARARAGESVLVHGASGGVGLATCQIARAHGLKVLGTA GSEEGKKLVLQNGAHEVFNHKEANYIDKIKDRHLVVQILLPCL >ENSMUSP00000142101.1 pep:known chromosome:GRCm38:3:154597144:154622167:1 gene:ENSMUSG00000028199.18 transcript:ENSMUST00000194876.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryz description:crystallin, zeta [Source:MGI Symbol;Acc:MGI:88527] MATGQKLMRAIRVFEFGGPEVLKLQSDVVVPVPQSHQVLIKVHACGVNPVETYIRSGAYS RKPALPYTPGSDVAGIIESVGDKVSAFKKGDRVFCYSTVSGGYAEFALAADDTIYPLPET LNFRQGAALGIPYFTACRALFHSARARAGESVLVHGASGGVGLATCQIARAHGLKVLGTA GSEEGKKLVLQNGAHEVFNHKEANYIDKIKMSVGDKDKGVDVIIEMLANENLSNDLKLLS HGGRVVVVGCRGPIEINPRDTMAKETSIIGVSLSSSTKEEFQQFAGLLQAGIEKGWVKPV IG >ENSMUSP00000122619.1 pep:known chromosome:GRCm38:3:154597197:154611556:1 gene:ENSMUSG00000028199.18 transcript:ENSMUST00000155385.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryz description:crystallin, zeta [Source:MGI Symbol;Acc:MGI:88527] MATGQKLMRAIRVFEFGGPEVLKLQSDVVVPVPQSHQVLIKVHACGVNPVETYIRSGAYS RKPALPYTPGSDVAGIIESVGDKVSAFKKGDRVFCYSTVSGGYAEFALAADDTIYPLPET LNFRQGAALGIPYFTAC >ENSMUSP00000143311.1 pep:known chromosome:GRCm38:3:154597222:154618598:1 gene:ENSMUSG00000028199.18 transcript:ENSMUST00000135723.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cryz description:crystallin, zeta [Source:MGI Symbol;Acc:MGI:88527] MATGQKLMRAIRVFEFGGPEVLKLQSDVVVPVPQSHQVDTIIAVADQSRGTVSYSY >ENSMUSP00000115146.1 pep:known chromosome:GRCm38:3:154597352:154611438:1 gene:ENSMUSG00000028199.18 transcript:ENSMUST00000140644.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryz description:crystallin, zeta [Source:MGI Symbol;Acc:MGI:88527] MATGQKLMRAIRVFEFGGPEVLKLQSDVVVPVPQSHQVLIKVHACGVNPVETYIRSGAYS RKPALPYTPGSDVAGIIESVGDKVSAFKKGDRVFCYST >ENSMUSP00000121269.1 pep:known chromosome:GRCm38:3:154597402:154613850:1 gene:ENSMUSG00000028199.18 transcript:ENSMUST00000144764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryz description:crystallin, zeta [Source:MGI Symbol;Acc:MGI:88527] MATGQKLMRAIRVFEFGGPEVLKLQSDVVVPVPQSHQVLIKVHACGVNPVETYIRSGAYS RKPALPYTPGSDVAGIIESVGDKVSAFKKGDRVFCYSTVSGGYAEFALAADDTIYPLPET LNFRQGAALGIPYFTACRALFHSARARAGESV >ENSMUSP00000118449.1 pep:known chromosome:GRCm38:3:154597438:154618498:1 gene:ENSMUSG00000028199.18 transcript:ENSMUST00000155232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryz description:crystallin, zeta [Source:MGI Symbol;Acc:MGI:88527] MATGQKLMRAIRVFEFGGPEVLKLQSDVVVPVPQSHQVLIKVHACGVNPVETYIRSGAYS RKPALPYTPGSDVAGIIESVGDKVSAFKKGDRVFCYSTVSGGYAEFALAADDTIYPLPET LNFRQGAALGIPYFTACRALFHSARARAGESVLVHGASGGVGLATCQIARAHG >ENSMUSP00000141246.1 pep:known chromosome:GRCm38:3:154606447:154622170:1 gene:ENSMUSG00000028199.18 transcript:ENSMUST00000195103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryz description:crystallin, zeta [Source:MGI Symbol;Acc:MGI:88527] XRKPALPYTPGSDVAGIIESVGDKVSAFKVGLATCQIARAHGLKVLGTAGSEEGKKLVLQ NGAHEVFNHKEANYIDKIKMSVGDKDKGVDVIIEMLANENLSNDLKLLSHGGRVVVVGCR GPIEINPRDTMAKETSIIGVSLSSSTKEEFQQFAGLLQAGIEKGWVKPVIGS >ENSMUSP00000142105.1 pep:known chromosome:GRCm38:3:154596711:154623180:1 gene:ENSMUSG00000028199.18 transcript:ENSMUST00000192462.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryz description:crystallin, zeta [Source:MGI Symbol;Acc:MGI:88527] MATGQKLMRAIRVFEFGGPEVLKLQSDVVVPVPQSHQVLIKVHACGVNPVETYIRSGAYS RKPALPYTPGSDVAGIIESVGDKVSAFKKGDRVFCYSTVSGGYAEFALAADDTIYPLPET LNFRQGAALGIPYFTACRALFHSARARAGESVLVHGASGGVGLATCQIARAHGLKVLGTA GSEEGKKLVLQNGAHEVFNHKEANYIDKIKMSVGDKDKGVDVIIEMLANENLSNDLKLLS HGGRVVVVGCRGPIEINPRDTMAKETSIIGVSLSSSTKEEFQQFAGLLQAGIEKGWVKPV IGSEYPLEKAAQAHEDIIHGSGKTGKMILLL >ENSMUSP00000104901.1 pep:known chromosome:GRCm38:11:43729512:43748008:-1 gene:ENSMUSG00000041278.10 transcript:ENSMUST00000109278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc1 description:tetratricopeptide repeat domain 1 [Source:MGI Symbol;Acc:MGI:1914077] MEEKSEDCKVPEDLFNGLKVADPQEGESASPMVSDPKGQHCQSKLPKAAEAHPQDDHVEE ECFHDCSASFEEEQPGAHVAGSKASDDSSSELDEEYLIELEKNMPEEEKQKRREESAKLK EEGNERFKRGDYMEAESSYSQALQMCPACFQKDRSVLFSNRAAARMKQDKKETAITDCSK AIQLNPTYIRAILRRAELYEKTDKLDEALEDYKSVLEKDPSVHQAREACMRLPKQIEERN ERLKEEMLGK >ENSMUSP00000040779.2 pep:known chromosome:GRCm38:11:43730009:43747982:-1 gene:ENSMUSG00000041278.10 transcript:ENSMUST00000048578.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc1 description:tetratricopeptide repeat domain 1 [Source:MGI Symbol;Acc:MGI:1914077] MEEKSEDCKVPEDLFNGLKVADPQEGESASPMVSDPKGQHCQSKLPKAAEAHPQDDHVEE ECFHDCSASFEEEQPGAHVAGSKASDDSSSELDEEYLIELEKNMPEEEKQKRREESAKLK EEGNERFKRGDYMEAESSYSQALQMCPACFQKDRSVLFSNRAAARMKQDKKETAITDCSK AIQLNPTYIRAILRRAELYEKTDKLDEALEDYKSVLEKDPSVHQAREACMRLPKQIEERN ERLKEEMLGKLKDLGNLVLRPFGLSTENFQIKQDSSTGSYSINFVQNPNNNR >ENSMUSP00000032471.6 pep:known chromosome:GRCm38:6:115931748:115940036:1 gene:ENSMUSG00000030324.8 transcript:ENSMUST00000032471.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rho description:rhodopsin [Source:MGI Symbol;Acc:MGI:97914] MNGTEGPNFYVPFSNVTGVVRSPFEQPQYYLAEPWQFSMLAAYMFLLIVLGFPINFLTLY VTVQHKKLRTPLNYILLNLAVADLFMVFGGFTTTLYTSLHGYFVFGPTGCNLEGFFATLG GEIALWSLVVLAIERYVVVCKPMSNFRFGENHAIMGVVFTWIMALACAAPPLVGWSRYIP EGMQCSCGIDYYTLKPEVNNESFVIYMFVVHFTIPMIVIFFCYGQLVFTVKEAAAQQQES ATTQKAEKEVTRMVIIMVIFFLICWLPYASVAFYIFTHQGSNFGPIFMTLPAFFAKSSSI YNPVIYIMLNKQFRNCMLTTLCCGKNPLGDDDASATASKTETSQVAPA >ENSMUSP00000144768.1 pep:known chromosome:GRCm38:6:115932556:115936707:1 gene:ENSMUSG00000030324.8 transcript:ENSMUST00000204711.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rho description:rhodopsin [Source:MGI Symbol;Acc:MGI:97914] MSNFRFGENHAIMGVVFTWIMALACAAPPLVGWSRYIPEGMQCSCGIDYYTLKPEVNNES FVIYMFVVHFTIPMIVIFFCYGQLVFTVKEAAAQQQESATTQKAEKEVTRMVIIMVIFFL ICWLPYASVAFYIFTHQGSNFGPIFMTLPAFFAKSSSIYNPVIYIMLNKQFRNCMLTTLC CGKNPLGDDDASATASKTETSQV >ENSMUSP00000144952.1 pep:known chromosome:GRCm38:6:115934341:115936952:1 gene:ENSMUSG00000030324.8 transcript:ENSMUST00000203877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rho description:rhodopsin [Source:MGI Symbol;Acc:MGI:97914] MRQEKVGTVVWTPRQAMRYIPEGMQCSCGIDYYTLKPEVNNESFVIYMFVVHFTIPMIVI FFCYGQLVFTVKEAAAQQQESATTQKAEKEVTRMVIIMVIFFLICWLPYASVAFYIFTHQ GSNFGPIFMTLPAFFAKSSSIYNPVIYIMLNKQFRNCMLTTLCCGKNPLGDDDASATASK TETSQVAPA >ENSMUSP00000145464.1 pep:known chromosome:GRCm38:6:115934372:115937072:1 gene:ENSMUSG00000030324.8 transcript:ENSMUST00000204493.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rho description:rhodopsin [Source:MGI Symbol;Acc:MGI:97914] MRQEKVGTVVWTPRQAMSWSSQSRRRLPSSRSQPPLRRQRRKSPAWLSSWSSSS >ENSMUSP00000026576.4 pep:known chromosome:GRCm38:7:141392199:141402968:1 gene:ENSMUSG00000025503.4 transcript:ENSMUST00000026576.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taldo1 description:transaldolase 1 [Source:MGI Symbol;Acc:MGI:1274789] MSGSPVKRQRMESALDQLKQFTTVVADTGDFNAIDEYKPQDATTNPSLILAAAQMPAYQE LVEEAIAYGKKLGGPQEEQIKNAIDKLFVLFGAEILKKIPGRVSTEVDARLSFDKDAMVA RARRLIELYKEAGVGKDRILIKLSSTWEGIQAGKELEEQHGIHCNMTLLFSFAQAVACAE AGVTLISPFVGRILDWHVANTDKKSYEPQEDPGVKSVTKIYNYYKKFGYKTIVMGASFRN TGEIKALAGCDFLTISPKLLGELLKDNSKLAPALSVKAAQTSDSEKIHLDEKAFRWLHNE DQMAVEKLSDGIRKFAADAIKLERMLTERMFSAENGK >ENSMUSP00000042551.7 pep:known chromosome:GRCm38:2:142617474:142901531:-1 gene:ENSMUSG00000038844.8 transcript:ENSMUST00000043589.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif16b description:kinesin family member 16B [Source:MGI Symbol;Acc:MGI:1098240] MASVKVAVRVRPMNRREKDLEAKFIIQMEKSKTTITNLKIPEGGTGDSGRERTKTFTYDF SFYSADTKSPDYVSQEMVFKTLGTDVVKSAFEGYNACVFAYGQTGSGKSYTMMGNSGDSG LIPRICEALFSRINETTRWDEASFRTEVSYLEIYNERVRDLLRRKSSKTFNLRVREHPKE GPYVEDLSKHLVQNYSDVEELMDAGNINRTTAATGMNDVSSRSHAIFTIKFTQAKFDAEM PCETVSKIHLVDLAGSERADATGATGVRLKEGGNINKSLVTLGNVISALADLSQDAANPL VKKKQVFVPYRDSVLTWLLKDSLGGNSKTIMIATISPADVNYGETLSTLRYANRAKNIIN KPTINEDANVKLIRELRAEIARLKTLLAQGNQIALLDSPTALSMEEKLHQNEARVQELTK EWTNKWNETQNILKEQTLALRKEGIGVVLDSELPHLIGIDDDLLSTGIILYHLKEGQTYV GREDASTEQDIVLHGLDLESEHCVFENAGGTVTLIPLRGSQCSVNGVQIVDATQLNQGAV ILLGRTNMFRFNHPKEAAKLREKRKSGLLSSFSLSMTDLSKSCENLSAVMLYNPGLEFER QQREELEKLESKRKLIEEMEEKQKSDKAELERMQQEVETRRKETEIVQRQIRKQEESLKR RSFHIENKLKDLLAEKERFEEERLREQQGLEQQRRQEEESLFRIREELRKLQELNSHEQA EKVQIFQELDRLHQEQNAQSAKLRLEKRRLEEEEKEQVQRVAHLEEQLRKRQDTAPLLCP GEAQRAQEEKRELESIREALLQAKEMRAGGDHTCRDELERAQQYFLEFKRRQLVKLASLE KDLVQQKDLLSKEVQEEKVALEHVKCDAGGDPSFLATDDGNILGGPPDLDKIKTAETRLQ SREHQLQDLLQNHLPALLEEKQRVLDALDSGVLGLDTTLCQVEKEVGEKEEQIAQYQANA SQLQQLRATFEFTANVARQEEKVRRKEKEILESQEKQQREALEQAVAKLEQRRSALQRCS TLDLEIQEQRQKLGSLHTSEWSGWQASLETDGEALEMDPARLEHEIHQLKQKICEVDGVQ RPHHGILEGQAVLSSLPPSGGNSHLAPLMDARISAYIEEEVQRRLHDLHRAIGDANHTPA DVMKSNEELHNGTTQRKLKYERMYSRSLGTNRDDLKDPIKISIPRYVLCGQGKDEHFEFE VKISVLDETWTVFRRYSRFREMHKTLKLKYAELAALEFPPKKLFGNKDERVVAERRTHLE KYLREFFSVMLQSETSPLHINKVGLTLSKHTICEFSPFFKKGVFDYSSHGTG >ENSMUSP00000047032.2 pep:known chromosome:GRCm38:3:59925214:59937949:1 gene:ENSMUSG00000036951.2 transcript:ENSMUST00000049476.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130079G13Rik description:RIKEN cDNA C130079G13 gene [Source:MGI Symbol;Acc:MGI:3028051] MSAYDDLNRWTANKLDAVVVGIDYRLAPKYPFPAALEDCVYTIKFFLQKNILAKYRVDPT RICIMGDSSGGTLAATVTQLLQNDPNFKDKIKAQALMYPGLQSLDTLLPSHQEYQHGPIL TREMIIKLACLYVTEDKVLLQAALRNEHMPTESRHLFKFVNWSDFLPEKYKKNYVYTEPI LGKLNASYPVLVDSRLSPLLFNDTQLQSLPLTYIVTCEHDLLRDDSLIYISRLRNVGVKV THEHIEKGIHGALSFTRAPVFLNLGLKIRDKYINWLEENL >ENSMUSP00000068328.4 pep:known chromosome:GRCm38:4:109000655:109061777:1 gene:ENSMUSG00000053510.12 transcript:ENSMUST00000065977.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrd1 description:nardilysin, N-arginine dibasic convertase, NRD convertase 1 [Source:MGI Symbol;Acc:MGI:1201386] MLRRVAVAAVCVTGRKLRCEAGRELTALGRIEARGLCEESSKPFPTLTMPGRNKAKSTCS CPDLQPNGQDLGESGRLARLGADESEEEGRSFSNVGDPEIIKSPSDPKQYRYIKLQNGLQ ALLISDLSNVEGKTGNATDEEEEEEEEEEEEDDDDDDDDDDDDEDSGAEIQDDDEEGFDD EEEFDDDDDDEHDDDDLENEENELEELEERVEARKKTTEKQSAAALCVGVGSFADPDDLP GLAHFLEHMVFMGSLKYPDENGFDAFLKKHGGSDNASTDCERTVFQFDVQRKYFKEALDR WAQFFIHPLMIRDAIDREVEAVDSEYQLARPSDANRKEMLFGSLARPGHPMGKFFWGNAE TLKHEPKKNNIDTHARLREFWMRYYSAHYMTLVVQSKETLDTLEKWVTEIFSQIPNNGLP KPNFSHLTDPFDTPAFNKLYRVVPIRKIHALTITWALPPQQQHYRVKPLHYISWLVGHEG KGSILSYLRKKCWALALFGGNGETGFEQNSTYSVFSISITLTDEGYEHFYEVAHTVFQYL KMLQKLGPEKRVFEEIQKIEDNEFHYQEQTDPVEYVENMCENMQLYPRQDFLTGDQLLFE YKPEVIAEALNQLVPQKANLVLLSGANEGRCDLKEKWFGTQYSIEDIENSWTELWKSNFD LNPDLHLPAENKYIATDFTLKAFDCPETEYPAKIVNTAQGCLWYKKDNKFKIPKAYIRFH LISPLIQKSAANVVLFDIFVNILTHNLAEPAYEADVAQLEYKLVAGEHGLIIRVKGFNHK LPLLFQLIIDYLTEFSSTPAVFTMITEQLKKTYFNILIKPETLAKDVRLLILEYSRWSMI DKYQALMDGLSLDSLLNFVKDFKSQLFVEGLVQGNVTSTESMDFLKYVVDKLNFAPLERE MPVQFQVVELPSGHHLCKVRALNKGDANSEVTVYYQSGTRSLREYTLMELLVMHMEEPCF DFLRTKQTLGYHVYPTCRNTSGILGFSVTVGTQATKYNSETVDKKIEEFLSSFEEKIENL TEDAFNTQVTALIKLKECEDTHLGEEVDRNWNEVVTQQYLFDRLAHEIEALKSFSKSDLV SWFKAHRGPGSKMLSVHVVGYGKYELEEDGAPFGEDSNSREGMQLTYLPPSPVLAESTTP ITDIRAFTATLSLFPYHKIVK >ENSMUSP00000099797.2 pep:known chromosome:GRCm38:4:109000655:109061777:1 gene:ENSMUSG00000053510.12 transcript:ENSMUST00000102736.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrd1 description:nardilysin, N-arginine dibasic convertase, NRD convertase 1 [Source:MGI Symbol;Acc:MGI:1201386] MLRRVAVAAVCVTGRKLRCEAGRELTALGRIEARGLCEESSKPFPTLTMPGRNKAKSTCS CPDLQPNGQDLGESGRLARLGADESEEEGRSFSNVGDPEIIKSPSDPKQYRYIKLQNGLQ ALLISDLSNVEGKTGNATDEEEEEEEEEEEEDDDDDDDDDDDDEDSGAEIQDDDEEGFDD EEEFDDDDDDEHDDDDLENEENELEELEERVEARKKTTEKQSAAALCVGVGSFADPDDLP GLAHFLEHMVFMGSLKYPDENGFDAFLKKHGGSDNASTDCERTVFQFDVQRKYFKEALDR WAQFFIHPLMIRDAIDREVEAVDSEYQLARPSDANRKEMLFGSLARPGHPMGKFFWGNAE TLKHEPKKNNIDTHARLREFWMRYYSAHYMTLVVQSKVVPIRKIHALTITWALPPQQQHY RVKPLHYISWLVGHEGKGSILSYLRKKCWALALFGGNGETGFEQNSTYSVFSISITLTDE GYEHFYEVAHTVFQYLKMLQKLGPEKRVFEEIQKIEDNEFHYQEQTDPVEYVENMCENMQ LYPRQDFLTGDQLLFEYKPEVIAEALNQLVPQKANLVLLSGANEGRCDLKEKWFGTQYSI EDIENSWTELWKSNFDLNPDLHLPAENKYIATDFTLKAFDCPETEYPAKIVNTAQGCLWY KKDNKFKIPKAYIRFHLISPLIQKSAANVVLFDIFVNILTHNLAEPAYEADVAQLEYKLV AGEHGLIIRVKGFNHKLPLLFQLIIDYLTEFSSTPAVFTMITEQLKKTYFNILIKPETLA KDVRLLILEYSRWSMIDKYQALMDGLSLDSLLNFVKDFKSQLFVEGLVQGNVTSTESMDF LKYVVDKLNFAPLEREMPVQFQVVELPSGHHLCKVRALNKGDANSEVTVYYQSGTRSLRE YTLMELLVMHMEEPCFDFLRTKQTLGYHVYPTCRNTSGILGFSVTVGTQATKYNSETVDK KIEEFLSSFEEKIENLTEDAFNTQVTALIKLKECEDTHLGEEVDRNWNEVVTQQYLFDRL AHEIEALKSFSKSDLVSWFKAHRGPGSKMLSVHVVGYGKYELEEDGAPFGEDSNSREGMQ LTYLPPSPVLAESTTPITDIRAFTATLSLFPYHKIVK >ENSMUSP00000102255.2 pep:known chromosome:GRCm38:4:109000770:109061161:1 gene:ENSMUSG00000053510.12 transcript:ENSMUST00000106644.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrd1 description:nardilysin, N-arginine dibasic convertase, NRD convertase 1 [Source:MGI Symbol;Acc:MGI:1201386] MLRRVAVAAVCVTGRKLRCEAGRELTALGRIEARGLCEESSKPFPTLTMPGRNKAKSTCS CPDLQPNGQDLGESGRLARLGADESEEEGRSFSNVGDPEIIKSPSDPKQYRYIKLQNGLQ ALLISDLSNVEGKTGNATDEEEEEEEEEEEEDDDDDDDDDDDDEDSGAEIQDDDEEGFDD EEEFDDDDDDEHDDDDLENEENELEELEERVEARKKTTEKQQSQNLFLLWSKLTDRLWFK SSYSKMSSTLLVETRNLYGVVGAESRSAPVEHLAGWQVEEQQGETDTVLSAAALCVGVGS FADPDDLPGLAHFLEHMVFMGSLKYPDENGFDAFLKKHGGSDNASTDCERTVFQFDVQRK YFKEALDRWAQFFIHPLMIRDAIDREVEAVDSEYQLARPSDANRKEMLFGSLARPGHPMG KFFWGNAETLKHEPKKNNIDTHARLREFWMRYYSAHYMTLVVQSKETLDTLEKWVTEIFS QIPNNGLPKPNFSHLTDPFDTPAFNKLYRVVPIRKIHALTITWALPPQQQHYRVKPLHYI SWLVGHEGKGSILSYLRKKCWALALFGGNGETGFEQNSTYSVFSISITLTDEGYEHFYEV AHTVFQYLKMLQKLGPEKRVFEEIQKIEDNEFHYQEQTDPVEYVENMCENMQLYPRQDFL TGDQLLFEYKPEVIAEALNQLVPQKANLVLLSGANEGRCDLKEKWFGTQYSIEDIENSWT ELWKSNFDLNPDLHLPAENKYIATDFTLKAFDCPETEYPAKIVNTAQGCLWYKKDNKFKI PKAYIRFHLISPLIQKSAANVVLFDIFVNILTHNLAEPAYEADVAQLEYKLVAGEHGLII RVKGFNHKLPLLFQLIIDYLTEFSSTPAVFTMITEQLKKTYFNILIKPETLAKDVRLLIL EYSRWSMIDKYQALMDGLSLDSLLNFVKDFKSQLFVEGLVQGNVTSTESMDFLKYVVDKL NFAPLEREMPVQFQVVELPSGHHLCKVRALNKGDANSEVTVYYQSGTRSLREYTLMELLV MHMEEPCFDFLRTKQTLGYHVYPTCRNTSGILGFSVTVGTQATKYNSETVDKKIEEFLSS FEEKIENLTEDAFNTQVTALIKLKECEDTHLGEEVDRNWNEVVTQQYLFDRLAHEIEALK SFSKSDLVSWFKAHRGPGSKMLSVHVVGYGKYELEEDGAPFGEDSNSREGMQLTYLPPSP VLAESTTPITDIRAFTATLSLFPYHKIVK >ENSMUSP00000122808.1 pep:known chromosome:GRCm38:4:109016775:109044666:1 gene:ENSMUSG00000053510.12 transcript:ENSMUST00000125645.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrd1 description:nardilysin, N-arginine dibasic convertase, NRD convertase 1 [Source:MGI Symbol;Acc:MGI:1201386] MVFMGSLKYPDENGFDAFLKKHGGSDNASTDCERTVFQFDVQRKYFKEALDRWAQFFIHP LMIRDAIDREVEAVDSEYQLARPSDANRKEMLFGSLARPGHPMGKFFWGNAETLKHEPKK NNIDTHARLREFWMRYYSAHYMTLVVQSKETLDTLEKWVTEIFSQIPNNGLPKPNFSHLT DPFDTPAFNKLYRVVPIRKIHALTITWALPPQQQHYRVKPLHYISWLVGHEGKGSILSYL RKKCWALALFGGNGETGFEQNSTYSVFSISITLTDEGYEHFYEVAHTVFQYLKMLQKLGP EKRVFEEIQKIEDNEFHYQEQTDPVEYVENMCENMQLYPRQDFLTGDQLLFEYKPEVIAE ALNQLVPQKANLVLLSGANEGRCDLKEKWFGTQYS >ENSMUSP00000134057.1 pep:known chromosome:GRCm38:14:56792929:56811464:-1 gene:ENSMUSG00000040123.16 transcript:ENSMUST00000173954.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym5 description:zinc finger, MYM-type 5 [Source:MGI Symbol;Acc:MGI:3041170] MEAHLADMESSGGPTSSLAGTSRNTHVEDDDVVFIESVQPPICAPAIPNERNFVFASSKH ENPPGTDSTISPSWRDLTSQKGNLCETIVIDDEGDTDTNGGEEKNPTDFIEWGPNGNKSS TKNVDFPIASLSRSKTKTAVGPFNPGRIDVTDAFQNGRFAVHHNPDSWISQSASFPRNQK QQGVDSLSPVASLPKQIFQPSNQQPTKPVKVTCANCKKPLQKGQTAYQRKGSAHLFCSTT CLSSFSHKRTRKTRNVMCKKDSPVRTTTIVPPVESSKSLQGFYNASLSPYENCQSLRKEV FTKSRCIICNKLGEVRHEISVNSITHKLCSNNCFNEYRLTNGLIMNCCEQCSKYMPKSTG HSILITGQQKRFCCQNCADEYKEIMEAKSKLLLLQNRKRNAIREENEKRLRESSGTLSGN TGDIPEKKEKSSEIIKVAADCSLDTSSEEQNVNLPCSVAVISDTFKEQLGDKNSEELDMS ILPSLDPGSWPRILNMKQREFLVKNNPPQIRNFNFPKDSAGKKFSETYYTRILPNGEKGT RPWLLYSASKDSVFCLYCRLFGEGKNQLRNENGCKDWHHLSHLLSKHDESEMHINNSVKY SKLKSDLENKTNEATEGGEDCVQLLYT >ENSMUSP00000106916.2 pep:known chromosome:GRCm38:14:56790588:56811716:-1 gene:ENSMUSG00000040123.16 transcript:ENSMUST00000111285.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym5 description:zinc finger, MYM-type 5 [Source:MGI Symbol;Acc:MGI:3041170] MEAHLADMESSGGPTSSLAGTSRNTHVEDDDVVFIESVQPPICAPAIPNERNFVFASSKH ENPPGTDSTISPSWRDLTSQKGNLCETIVIDDEGDTDTNGGEEKNPTDFIEWGPNGNKSS TKNVDFPIASLSRSKTKTAVGPFNPGRIDVTDAFQNGRFAVHHNPDSWISQSASFPRNQK QQGVDSLSPVASLPKQIFQPSNQQPTKPVKVTCANCKKPLQKGQTAYQRKGSAHLFCSTT CLSSFSHKRTRKTRNVMCKKDSPVRTTTIVPPVESSKSLQGFYNASLSPYENCQSLRKEV FTKSRCIICNKLGEVRHEISVNSITHKLCSNNCFNEYRLTNGLIMNCCEQCSKYMPKSTG HSILITGQQKRFCCQNCADEYKEIMEAKSKLLLLQNRKRNAIREENEKRLRESSGTLSGN TGDIPEKKEKSSEIIKVAADCSLDTSSEEQNVNLPCSVAVISDTFKEQLGDKNSEELDMS ILPSLDPGSWPRILNMKQREFLVKNNPPQIRNFNFPKDSAGKKFSETYYTRILPNGEKGT RPWLLYSASKDSVFCLYCRLFGEGKNQLRNENGCKDWHHLSHLLSKHDESEMHINNSVKY SKLKSDLENKTNEATEGGEDCVQLLYT >ENSMUSP00000043625.9 pep:known chromosome:GRCm38:14:56790585:56811716:-1 gene:ENSMUSG00000040123.16 transcript:ENSMUST00000039812.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym5 description:zinc finger, MYM-type 5 [Source:MGI Symbol;Acc:MGI:3041170] MEAHLADMESSGGPTSSLAGTSRNTHVEDDDVVFIESVQPPICAPAIPNERNFVFASSKH ENPPGTDSTISPSWRDLTSQKGNLCETIVIDDEGDTDTNGGEEKNPTDFIEWGPNGNKSS TKNVDFPIASLSRSKTKTAVGPFNPGRIDVTDAFQNGRFAVHHNPDSWISQSASFPRNQK QQGVDSLSPVASLPKQIFQPSNQQPTKPVKVTCANCKKPLQKGQTAYQRKGSAHLFCSTT CLSSFSHKRTRKTRNVMCKKDSPVRTTTIVPPVESSKSLQGFYNASLSPYENCQSLRKEV FTKSRCIICNKLGEVRHEISVNSITHKLCSNNCFNEYRLTNGLIMNCCEQCSKYMPKSTG HSILITGQQKRFCCQNCADEYKEIMEAKSKLLLLQNRKRNAIREENEKRLRESSGTLSGN TGDIPEKKEKSSEIIKVAADCSLDTSSEEQNVNLPCSVAVISDTFKEQLGDKNSEELDMS ILPSLDPGSWPRILNMKQREFLVKNNPPQIRNFNFPKDSAGKKFSETYYTRILPNGEKGT RPWLLYSASKDSVFCLYCRLFGEGKNQLRNENGCKDWHHLSHLLSKHDESEMHINNSVKY SKLKSDLENKTNEATEGGEDCVQLLYT >ENSMUSP00000004587.9 pep:known chromosome:GRCm38:7:44302687:44306902:-1 gene:ENSMUSG00000004473.10 transcript:ENSMUST00000004587.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec11a description:C-type lectin domain family 11, member a [Source:MGI Symbol;Acc:MGI:1298219] MQAAWLLGALVVPQLLSFGHGARGPGREWEGGWGGALEEERERESQMLKNLQEALGLPTG VGNEDNLAENPEDKEVWETTETQGEEEEEEITTAPSSSPNPFPSPSPTPEDTVTYILGRL ASLDAGLHQLHVRLHVLDTRVVELTQGLRQLRDAASDTRDSVQALKEVQDRAEQEHGRLE GCLKGLRLGHKCFLLSRDFETQAAAQARCKARGGSLAQPADRQQMDALSRYLRAALAPYN WPVWLGVHDRRSEGLYLFENGQRVSFFAWHRAFSLESGAQPSAATHPLSPDQPNGGVLEN CVAQASDDGSWWDHDCERRLYFVCEFPF >ENSMUSP00000136163.1 pep:known chromosome:GRCm38:1:75192160:75199387:1 gene:ENSMUSG00000026199.17 transcript:ENSMUST00000152233.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankzf1 description:ankyrin repeat and zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1098746] MAKPAGDAAVGSRSGELFLPSVSSSATSPAPSAASAPASVSLLSLNGEAPLIRGLSLVSQ APGEALAWAPRTSCPGENTSSGGKVSPYSLEISEKLFCSACDQIFQNHQEQREHYKLDWH RFNLKQRLKNKPLLSASDFEQQSSTGDLSSISGSDDTDSSSEEDLLPLDEGRAESEKPNR PPGFYPHRVLFKNAQGQFLYAYRCVLGPHQIPPEKAELLLQNLQNGGPRYYVVLMAAAGH FAGAIFQGREVVAHKTFHRYTVRAKRGTAQGLQDAHGRASRSAGANLRRYNEAMLYKDVR NLLAGPIWSKALGEAETVLFRAPRSGRSLFFGGQGAPLQRDDPRLWDIPLTTRRPTFGEL QRVLHKLTTLQVYDEDPREMVRFHSPETHWKPVREERKKDTEKEKTKVPSDANKPLGQDE EPLKQGSESQEEDGSEVELELVELTLGTLDLREFEVLPKRRRRRKKKERSQEQQCGAHGP LPQQPQDEPFSQPTQEVETPLDTLVYEAKAPGQPELWDTLLAACRAGEVEVLKLQLATGL VDPGVKSLLNAPLGSGGFTLLHAAAAAGRGLVVRLLLEAGADPTVQDSRARPPYTVAADK STRNEFRRFMEKNLDAYDYNKARVPGPLTQEMEARQATRKKEQKAARRQREQQQRKQREQ EEQEQEEQRRFAALSDREKRALAAERRLAAQLGAPSPPVPDSAVASAGRCWSCGVSLQGL IPFHYLDFSFCSTRCLRDHRSQAGRPSS >ENSMUSP00000135971.1 pep:known chromosome:GRCm38:1:75192160:75199387:1 gene:ENSMUSG00000026199.17 transcript:ENSMUST00000145459.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankzf1 description:ankyrin repeat and zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1098746] MAKPAGDAAVGSRSGELFLPSVSSSATSPAPSAASAPASVSLLSLNGEAPLIRGLSLVSQ APGEALAWAPRTSCPGENTSSGGKVSPYSLEISEKLFCSACDQIFQNHQEQREHYKLDWH RFNLKQRLKNKPLLSASDFEQQSSTGDLSSISGSDDTDSSSEEDLLPLDEGRAESEKPNR PPGFYPHRVLFKNAQGQFLYAYRCVLGPHQHVECLLMCHLDSPRKGRIATTKPTEWRSQI LRGAHGCGWTFCWCHFSRKRSGGTQNLSPLHCAGQAGHSPGASGCPW >ENSMUSP00000137179.1 pep:known chromosome:GRCm38:1:75192192:75195534:1 gene:ENSMUSG00000026199.17 transcript:ENSMUST00000127625.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankzf1 description:ankyrin repeat and zinc finger domain containing 1 [Source:MGI Symbol;Acc:MGI:1098746] MAKPAGDAAVGSRSGELFLPSVSSSATSPAPSAASAPASVSLLSLNGEAPLIRGLSLVSQ APGEALAWAPRTSCPGENTSSGGKVSPYSLEISEKLFCSACDQIFQNHQEQREHYKLDWH RFNLKQRLKNKPLLSASDFEQQSSTGDLSSISGSDDTDSSSEEDLLPLDEGRAESEKPNR PPGFYPHRVLFKNAQGQFLYAYRCVLGPHQASNSPDCVLTPNFVY >ENSMUSP00000042464.5 pep:known chromosome:GRCm38:8:26158212:26162940:1 gene:ENSMUSG00000037214.11 transcript:ENSMUST00000036807.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap1 description:THAP domain containing, apoptosis associated protein 1 [Source:MGI Symbol;Acc:MGI:1921004] MVQSCSAYGCKNRYDKDKPVSFHKFPLTRPSLCKQWEAAVKRKNFKPTKYSSICSEHFTP DCFKRECNNKLLKENAVPTIFLYIEPHEKKEDLESQEQLPSPSPPASQVDAAIGLLMPPL QTPDNLSVFCDHNYTVEDTMHQRKRILQLEQQVEKLRKKLKTAQQRCRRQERQLEKLKEV VHFQREKDDASERGYVILPNDYFEIVEVPA >ENSMUSP00000121153.1 pep:known chromosome:GRCm38:8:26158233:26162775:1 gene:ENSMUSG00000037214.11 transcript:ENSMUST00000130231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap1 description:THAP domain containing, apoptosis associated protein 1 [Source:MGI Symbol;Acc:MGI:1921004] MFPLTRPSLCKQWEAAVKRKNFKPTKYSSICSEHFTPDCFKRECNNKLLKENAVPTIFLY IEPHEKKEDLESQEQLPSPSPPASQVDAAIGLLMPPLQTPDNLSVFCDHNYTVEDTMHQR KRILQLEQQVEKLRKKLKTAQQRCRRQERQLEKLKEVVHFQREKDDASERGYVILPNDYF >ENSMUSP00000145989.1 pep:known chromosome:GRCm38:7:116039397:116101991:1 gene:ENSMUSG00000030663.12 transcript:ENSMUST00000205427.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110004F10Rik description:RIKEN cDNA 1110004F10 gene [Source:MGI Symbol;Acc:MGI:1929274] MGAGKKEHTGRLVIGDHKSTS >ENSMUSP00000146007.1 pep:known chromosome:GRCm38:7:116039712:116103316:1 gene:ENSMUSG00000030663.12 transcript:ENSMUST00000205450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110004F10Rik description:RIKEN cDNA 1110004F10 gene [Source:MGI Symbol;Acc:MGI:1929274] MGAGKKEHTGRLVIGDHKSTSHFRTGEEDKKINEELESQYQQSMDSKLSGRYRRHCGLGF >ENSMUSP00000032899.5 pep:known chromosome:GRCm38:7:116093301:116105210:1 gene:ENSMUSG00000030663.12 transcript:ENSMUST00000032899.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110004F10Rik description:RIKEN cDNA 1110004F10 gene [Source:MGI Symbol;Acc:MGI:1929274] MSAARESHPHGVKRSASPDDDLGSSNWEAADLGNEERKQKFLRLMGAGKKEHTGRLVIGD HKSTSHFRTGEEDKKINEELESQYQQSMDSKLSGRYRRHCGLGFSEVEDHDGEGDVAGDD DEDDSPDAESPDDSDSDSESEKEESAEELHAAEHPDDTEDPKSKKDAKSNYKMMFVKSSG S >ENSMUSP00000102219.1 pep:known chromosome:GRCm38:7:116093336:116104831:1 gene:ENSMUSG00000030663.12 transcript:ENSMUST00000106608.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110004F10Rik description:RIKEN cDNA 1110004F10 gene [Source:MGI Symbol;Acc:MGI:1929274] MGAGKKEHTGRLVIGDHKSTSHFRTGEEDKKINEELESQYQQSMDSKLSGRYRRHCGLGF SEVEDHDGEGDVAGDDDEDDSPDAESPDDSDSDSESEKEESAEELHAAEHPDDTEDPKSK KDAKSNYKMMFVKSSGS >ENSMUSP00000102218.1 pep:known chromosome:GRCm38:7:116093341:116105207:1 gene:ENSMUSG00000030663.12 transcript:ENSMUST00000106607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110004F10Rik description:RIKEN cDNA 1110004F10 gene [Source:MGI Symbol;Acc:MGI:1929274] MDSKLSGRYRRHCGLGFSEVEDHDGEGDVAGDDDEDDSPDAESPDDSDSDSESEKEESAE ELHAAEHPDDTEDPKSKKDAKSNYKMMFVKSSGS >ENSMUSP00000116035.1 pep:known chromosome:GRCm38:7:116093366:116105210:1 gene:ENSMUSG00000030663.12 transcript:ENSMUST00000151254.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1110004F10Rik description:RIKEN cDNA 1110004F10 gene [Source:MGI Symbol;Acc:MGI:1929274] MSAARESHPHGVKRSASPDDDLGSSNWEAADLGNEERKQKFLRLMGAGKKEHTGRLVIGD HKSTSHFRTVQWTRRGRRQEN >ENSMUSP00000070200.2 pep:known chromosome:GRCm38:11:43774606:43901210:-1 gene:ENSMUSG00000050541.14 transcript:ENSMUST00000067258.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra1b description:adrenergic receptor, alpha 1b [Source:MGI Symbol;Acc:MGI:104774] MNPDLDTGHNTSAPAHWGELKDANFTGPNQTSSNSTLPQLDVTRAISVGLVLGAFILFAI VGNILVILSVACNRHLRTPTNYFIVNLAIADLLLSFTVLPFSATLEVLGYWVLGRIFCDI WAAVDVLCCTASILSLCAISIDRYIGVRYSLQYPTLVTRRKAILALLSVWVLSTVISIGP LLGWKEPAPNDDKECGVTEEPFYALFSSLGSFYIPLAVILVMYCRVYIVAKRTTKNLEAG VMKEMSNSKELTLRIHSKNFHEDTLSSTKAKGHNPRSSIAVKLFKFSREKKAAKTLGIVV GMFILCWLPFFIALPLGSLFSTLKPPDAVFKVVFWLGYFNSCLNPIIYPCSSKEFKRAFM RILGCQCRGGRRRRRRRRLGGCAYTYRPWTRGGSLERSQSRKDSLDDSGSCMSGSQRTLP SASPSPGYLGRGTQPPVELCAFPEWKPGALLSLPEPPGRRGRLDSGPLFTFKLLGEPESP GTEGDASNGGCDTTTDLANGQPGFKSNMPLAPGHF >ENSMUSP00000123435.1 pep:known chromosome:GRCm38:11:43776023:43901210:-1 gene:ENSMUSG00000050541.14 transcript:ENSMUST00000139906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra1b description:adrenergic receptor, alpha 1b [Source:MGI Symbol;Acc:MGI:104774] MNPDLDTGHNTSAPAHWGELKDANFTGPNQTSSNSTLPQLDVTRAISVGLVLGAFILFAI VGNILVILSVACNRHLRTPTNYFIVNLAIADLLLSFTVLPFSATLEVLGYWVLGRIFCDI WAAVDVLCCTASILSLCAISIDRYIGVRYSLQYPTLVTRRKAILALLSVWVLSTVISIGP LLGWKEPAPNDDKECGVTEEPFYALFSSLGSFYIPLAVILVMYCRVYIVAKRTTKNLEAG VMKEMSNSKELTLRIHSKNFHEDTLSSTKAKGHNPRSSIAVKLFKFSREKKAAKTLGIVV GMFILCWLPFFIALPLGSLFSTLKPPDAVFKVVFWLGYFNSCLNPIIYPCSSKEFKRAFM RILGCQCRGGRRRRRRRRLGGCAYTYRPWTRGGSLERSQSRKDSLDDSGSCMSGSQRTLP SASPSPGYLGRGTQPPVELCAFPEWKPGALLSLPEPPGRRGR >ENSMUSP00000129200.1 pep:known chromosome:GRCm38:11:43774606:43836332:-1 gene:ENSMUSG00000050541.14 transcript:ENSMUST00000167574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra1b description:adrenergic receptor, alpha 1b [Source:MGI Symbol;Acc:MGI:104774] MNPDLDTGHNTSAPAHWGELKDANFTGPNQTSSNSTLPQLDVTRAISVGLVLGAFILFAI VGNILVILSVACNRHLRTPTNYFIVNLAIADLLLSFTVLPFSATLEVLGYWVLGRIFCDI WAAVDVLCCTASILSLCAISIDRYIGVRYSLQYPTLVTRRKAILALLSVWVLSTVISIGP LLGWKEPAPNDDKECGVTEEPFYALFSSLGSFYIPLAVILVMYCRVYIVAKRTTKNLEAG VMKEMSNSKELTLRIHSKNFHEDTLSSTKAKGHNPRSSIAVKLFKFSREKKAAKTLGIVV GMFILCWLPFFIALPLGSLFSTLKPPDAVFKVVFWLGYFNSCLNPIIYPCSSKEFKRAFM RILGCQCRGGRRRRRRRRLGGCAYTYRPWTRGGSLERSQSRKDSLDDSGSCMSGSQRTLP SASPSPGYLGRGTQPPVELCAFPEWKPGALLSLPEPPGRRGRLDSGPLFTFKLLGEPESP GTEGDASNGGCDTTTDLANGQPGFKSNMPLAPGHF >ENSMUSP00000074198.3 pep:known chromosome:GRCm38:2:168476410:168590260:-1 gene:ENSMUSG00000027544.16 transcript:ENSMUST00000074618.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc2 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 2 [Source:MGI Symbol;Acc:MGI:102463] MDVPEPQPDPDGGDGPGHEPGGSPQDELDFSILFDYDYLNPIEEEPIAHKAISSPSGLAY PDDVLDYGLKPCNPLASLSGEPPGRFGEPDSIGFQNFLSPVKPAGASGPSPRIEITPSHE LMQAGGALRGRDAGLSPEQPALALAGVAASPRFTLPVPGYEGYREPLCLSPASSGSSASF ISDTFSPYTSPCVSPNNAGPDDLCPQFQNIPAHYSPRTSPIMSPRTSLAEDSCLGRHSPV PRPASRSSSPGAKRRHSCAEALVAPLPAASPQRSRSPSPQPSPHVALQDDSIPAGYPPTA GSAVLMDALNTLATDSPCGIPSKIWKTSPDPTPVSTAPSKAGLARHIYPTVEFLGPCEQE ERRNSAPESILLVPPTWPKQLVPAIPICSIPVTASLPPLEWPLSNQSGSYELRIEVQPKP HHRAHYETEGSRGAVKAPTGGHPVVQLHGYMENKPLGLQIFIGTADERILKPHAFYQVHR ITGKTVTTTSYEKIVGNTKVLEIPLEPKNNMRATIDCAGILKLRNADIELRKGETDIGRK NTRVRLVFRVHVPEPSGRIVSLQAASNPIECSQRSAHELPMVERQDMDSCLVYGGQQMIL TGQNFTAESKVVFMEKTTDGQQIWEMEATVDKDKSQPNMLFVEIPEYRNKHIRVPVKVNF YVINGKRKRSQPQHFTYHPVPAIKTEPSDEYEPSLICSPAHGGLGSQPYYPQHPMLAESP SCLVATMAPCQQFRSGLSSPDARYQQQSPAAALYQRSKSLSPGLLGYQQPSLLAAPLGLA DAHRSVLVHAGSQGQGQGSTLPHTSSASQQASPVIHYSPTNQQLRGGGHQEFQHIMYCEN FGPSSARPGPPPINQGQRLSPGAYPTVIQQQTAPSQRAAKNGPSDQKEALPTGVTVKQEQ NLDQTYLDDVNEIIRKEFSGPPSRNQT >ENSMUSP00000104812.1 pep:known chromosome:GRCm38:2:168476410:168590365:-1 gene:ENSMUSG00000027544.16 transcript:ENSMUST00000109184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc2 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 2 [Source:MGI Symbol;Acc:MGI:102463] MDVPEPQPDPDGGDGPGHEPGGSPQDELDFSILFDYDYLNPIEEEPIAHKAISSPSGLAY PDDVLDYGLKPCNPLASLSGEPPGRFGEPDSIGFQNFLSPVKPAGASGPSPRIEITPSHE LMQAGGALRGRDAGLSPEQPALALAGVAASPRFTLPVPGYEGYREPLCLSPASSGSSASF ISDTFSPYTSPCVSPNNAGPDDLCPQFQNIPAHYSPRTSPIMSPRTSLAEDSCLGRHSPV PRPASRSSSPGAKRRHSCAEALVAPLPAASPQRSRSPSPQPSPHVALQDDSIPAGYPPTA GSAVLMDALNTLATDSPCGIPSKIWKTSPDPTPVSTAPSKAGLARHIYPTVEFLGPCEQE ERRNSAPESILLVPPTWPKQLVPAIPICSIPVTASLPPLEWPLSNQSGSYELRIEVQPKP HHRAHYETEGSRGAVKAPTGGHPVVQLHGYMENKPLGLQIFIGTADERILKPHAFYQVHR ITGKTVTTTSYEKIVGNTKVLEIPLEPKNNMRATIDCAGILKLRNADIELRKGETDIGRK NTRVRLVFRVHVPEPSGRIVSLQAASNPIECSQRSAHELPMVERQDMDSCLVYGGQQMIL TGQNFTAESKVVFMEKTTDGQQIWEMEATVDKDKSQPNMLFVEIPEYRNKHIRVPVKVNF YVINGKRKRSQPQHFTYHPVPAIKTEPSDEYEPSLICSPAHGGLGSQPYYPQHPMLAESP SCLVATMAPCQQFRSGLSSPDARYQQQSPAAALYQRSKSLSPGLLGYQQPSLLAAPLGLA DAHRSVLVHAGSQGQGQGSTLPHTSSASQQASPVIHYSPTNQQLRGGGHQEFQHIMYCEN FGPSSARPGPPPINQGQRLSPGAYPTVIQQQTAPSQRAAKNGPSDQKEALPTGVTVKQEQ NLDQTYLDDELIDTHLSWIQNIL >ENSMUSP00000029057.5 pep:known chromosome:GRCm38:2:168519098:168590191:-1 gene:ENSMUSG00000027544.16 transcript:ENSMUST00000029057.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc2 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 2 [Source:MGI Symbol;Acc:MGI:102463] MDVPEPQPDPDGGDGPGHEPGGSPQDELDFSILFDYDYLNPIEEEPIAHKAISSPSGLAY PDDVLDYGLKPCNPLASLSGEPPGRFGEPDSIGFQNFLSPVKPAGASGPSPRIEITPSHE LMQAGGALRGRDAGLSPEQPALALAGVAASPRFTLPVPGYEGYREPLCLSPASSGSSASF ISDTFSPYTSPCVSPNNAGPDDLCPQFQNIPAHYSPRTSPIMSPRTSLAEDSCLGRHSPV PRPASRSSSPGAKRRHSCAEALVAPLPAASPQRSRSPSPQPSPHVALQDDSIPAGYPPTA GSAVLMDALNTLATDSPCGIPSKIWKTSPDPTPVSTAPSKAGLARHIYPTVEFLGPCEQE ERRNSAPESILLVPPTWPKQLVPAIPICSIPVTASLPPLEWPLSNQSGSYELRIEVQPKP HHRAHYETEGSRGAVKAPTGGHPVVQLHGYMENKPLGLQIFIGTADERILKPHAFYQVHR ITGKTVTTTSYEKIVGNTKVLEIPLEPKNNMRATIDCAGILKLRNADIELRKGETDIGRK NTRVRLVFRVHVPEPSGRIVSLQAASNPIECSQRSAHELPMVERQDMDSCLVYGGQQMIL TGQNFTAESKVVFMEKTTGPAGTCETRPLPISLISADRLSPWLSRLQRNPPGSVFRCSVL LPAPGSSLVLLAL >ENSMUSP00000118329.1 pep:known chromosome:GRCm38:2:168519098:168601657:-1 gene:ENSMUSG00000027544.16 transcript:ENSMUST00000137451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc2 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 2 [Source:MGI Symbol;Acc:MGI:102463] MQRPALRRPGPRQPLRTMGSADREEPIAHKAISSPSGLAYPDDVLDYGLKPCNPLASLSG EPPGRFGEPDSIGFQNFLSPVKPAGASGPSPRIEITPSHELMQAGGALRGRDAGLSPEQP ALALAGVAASPRFTLPVPGYEGYREPLCLSPASSGSSASFISDTFSPYTSPCVSPNNAGP DDLCPQFQNIPAHYSPRTSPIMSPRTSLAEDSCLGRHSPVPRPASRSSSPGAKRRHSCAE ALVAPLPAASPQRSRSPSPQPSPHVALQDDSIPAGYPPTAGSAVLMDALNTLATDSPCGI PSKIWKTSPDPTPVSTAPSKAGLARHIYPTVEFLGPCEQEERRNSAPESILLVPPTWPKQ LVPAIPICSIPVTASLPPLEWPLSNQSGSYELRIEVQPKPHHRAHYETEGSRGAVKAPTG GHPVVQLHGYMENKPLGLQIFIGTADERILKPHAFYQVHRITGKTVTTTSYEKIVGNTKV LEIPLEPKNNMRATIDCAGILKLRNADIELRKGETDIGRKNTRVRLVFRVHVPEPSGRIV SLQAASNPIECSQRSAHELPMVERQDMDSCLVYGGQQMILTGQNFTAESKVVFMEKTTGP AGTCETRPLPISLISADRLSPWLSRLQRNPPGSVFRCSVLLPAPGSSLVLLAL >ENSMUSP00000130875.1 pep:known chromosome:GRCm38:2:168518203:168601655:-1 gene:ENSMUSG00000027544.16 transcript:ENSMUST00000171689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc2 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 2 [Source:MGI Symbol;Acc:MGI:102463] MSPRTSLAEDSCLGRHSPVPRPASRSSSPGAKRRHSCAEALVAPLPAASPQRSRSPSPQP SPHVALQDDSIPAGYPPTAGSAVLMDALNTLATDSPCGIPSKIWKTSPDPTPVSTAPSKA GLARHIYPTVEFLGPCEQEERRNSAPESILLVPPTWPKQLVPAIPICSIPVTASLPPLEW PLSNQSGSYELRIEVQPKPHHRAHYETEGSRGAVKAPTGGHPVVQLHGYMENKPLGLQIF IGTADERILKPHAFYQVHRITGKTVTTTSYEKIVGNTKVLEIPLEPKNNMRATIDCAGIL KLRNADIELRKGETDIGRKNTRVRLVFRVHVPEPSGRIVSLQAASNPIECSQRSAHELPM VERQDMDSCLVYGGQQMILTGQNFTAESKVVFMEKTTGPAGTCETRPLPISLISADRLSP WLSRLQRNPPGSVFRCSVLLPAPGSSLVLLAL >ENSMUSP00000135787.1 pep:known chromosome:GRCm38:9:110844506:110856522:1 gene:ENSMUSG00000049719.14 transcript:ENSMUST00000176403.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss46 description:protease, serine 46 [Source:MGI Symbol;Acc:MGI:1921556] MACGSVDPHGLLSSPLASARLNSLPYMEGPWIWSCGQTNITCKVVNGKAVEVGKWPWQVS ILFLGMYICSGSLIHHHWILTAAHCLQRSKNPAKYTVKVGVQTLPDNSTSELLVTRIVIH ENFINRMSDDIAILKLKYPVTWSPLVQPICLPSFNLKPSIGTMCWVVGWGLEKAEGHPKT PYSVQGLAVRIVNNEICNHRYQFLLLKNQKKFIGNDMLCTSSEWGLDTCQDTSGSSLVCQ MNKTWVQMGVVSWNFDCGRRQFPSVYTSTSHFTQWIKRQIGDLKFTSMAVPSFLSPFILT GYILLVSLGSLWLL >ENSMUSP00000112855.2 pep:known chromosome:GRCm38:9:110849538:110856516:1 gene:ENSMUSG00000049719.14 transcript:ENSMUST00000119427.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss46 description:protease, serine 46 [Source:MGI Symbol;Acc:MGI:1921556] MMCWWSAMAHGMRATLCIPLSTLPSGPWIWSCGQTNITCKVVNGKAVEVGKWPWQVSILF LGMYICSGSLIHHHWILTAAHCLQRSKNPAKYTVKVGVQTLPDNSTSELLVTRIVIHENF INRMSDDIAILKLKYPVTWSPLVQPICLPSFNLKPSIGTMCWVVGWGLEKAEGHPKTPYS VQGLAVRIVNNEICNHRYQFLLLKNQKKFIGNDMLCTSSEWGLDTCQDTSGSSLVCQMNK TWVQMGVVSWNFDCGRRQFPSVYTSTSHFTQWIKRQIGDLKFTSMAVPSFLSPFILTGYI LLVSLGSLWLL >ENSMUSP00000035048.5 pep:known chromosome:GRCm38:9:99689461:99710063:-1 gene:ENSMUSG00000032473.13 transcript:ENSMUST00000035048.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn18 description:claudin 18 [Source:MGI Symbol;Acc:MGI:1929209] MATTTCQVVGLLLSLLGLAGCIAATGMDMWSTQDLYDNPVTAVFQYEGLWRSCVQQSSGF TECRPYFTILGLPAMLQAVRALMIVGIVLGVIGILVSIFALKCIRIGSMDDSAKAKMTLT SGILFIISGICAIIGVSVFANMLVTNFWMSTANMYSGMGGMGGMVQTVQTRYTFGAALFV GWVAGGLTLIGGVMMCIACRGLTPDDSNFKAVSYHASGQNVAYRPGGFKASTGFGSNTRN KKIYDGGARTEDDEQSHPTKYDYV >ENSMUSP00000108503.2 pep:known chromosome:GRCm38:9:99691820:99717267:-1 gene:ENSMUSG00000032473.13 transcript:ENSMUST00000112882.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn18 description:claudin 18 [Source:MGI Symbol;Acc:MGI:1929209] MSVTACQGLGFVVSLIGFAGIIAATCMDQWSTQDLYNNPVTAVFNYQGLWRSCVRESSGF TECRGYFTLLGLPAMLQAVRALMIVGIVLGVIGILVSIFALKCIRIGSMDDSAKAKMTLT SGILFIISGICAIIGVSVFANMLVTNFWMSTANMYSGMGGMGGMVQTVQTRYTFGAALFV GWVAGGLTLIGGVMMCIACRGLTPDDSNFKAVSYHASGQNVAYRPGGFKASTGFGSNTRN KKIYDGGARTEDDEQSHPTKYDYV >ENSMUSP00000115782.1 pep:known chromosome:GRCm38:9:99692182:99710009:-1 gene:ENSMUSG00000032473.13 transcript:ENSMUST00000136429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn18 description:claudin 18 [Source:MGI Symbol;Acc:MGI:1929209] MATTTCQVVGLLLSLLGLAGCIAATGMDMWSTQDLYDNPVTAVFQYEGLWRSCVQQSSGF TECRPYFTILGLPAMLQAVRALMIVGIVLGVIGILVSIFALKCIRIGSMDDSAKAKMTLT SGILFIISGICAIIGVSVFANMLVTNFWMSTANMYSGMGGMGGMVQTVQTRYTFGAALFV GWVAGGLTLIGGVMMCIACRGLTPDDSK >ENSMUSP00000117382.1 pep:known chromosome:GRCm38:9:99692722:99717267:-1 gene:ENSMUSG00000032473.13 transcript:ENSMUST00000131922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn18 description:claudin 18 [Source:MGI Symbol;Acc:MGI:1929209] MSVTACQGLGFVVSLIGFAGIIAATCMDQWSTQDLYNNPVTAVFNYQGLWRSCVRESSGF TECRGYFTLLGLPAMLQAVRALMIVGIVLGVIGILVSIFALKCIRIGSMDDSAKAKMTLT SGILFIISGICAIIGVSVFANMLVTNFWMSTANMYSGMGGMGGMVQTVQTRYTFGAALFV GWVAGGLTLIGGVMMCIACRGLTPDDSK >ENSMUSP00000049967.5 pep:known chromosome:GRCm38:1:39371492:39478755:-1 gene:ENSMUSG00000003134.10 transcript:ENSMUST00000054462.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d8 description:TBC1 domain family, member 8 [Source:MGI Symbol;Acc:MGI:1927225] MWLKPEEVLLKNALKLWVTQKSSCYFVLQRRRGHGEGGGRLTGRLVGALDAVLDSSARVA PFRILLQVPGSQVYSPIACGATLEEINRHWDWLEQNLLHTLSVFDNKDDIASFVKGKVKA LIAEETSSRLAEQEEEPEKFREALVKFEARFNFPEAEKLVTYYSCCCWKGRVPRQGWLYL SINHLCFYSFFLGKELKLVIPWVDIQKLERTSNVFLTDTIRITTQNKERDFSTFLNLDEV FKIMEQLADVTLRRLLDNEVFDLDPDLQEPSQITKRDLEARAQNEFFRAFFRLPREEKLH AVADCSLWTPFSRCHTAGRIFSSDSYICFASREDGCCNVVLPLREVVSIEKMEDTSLLPN PIIVSIRSKMAFQFIELKDRENLVEGLLLRLKQVHANHPVHYETSPSDDDMASPVFYSAS ICTDKFGDLEMVASQSSEEREEKRPLPHPEPLTAVFQQSGSQSPDSRLSREQIKISLWND HFVEYGRTVCMFRTEKIRKLVAMGIPESLRGRLWLLFSDAVTDLASHPGYYGNLVEQSLG RCCLVTEEIERDLHRSLPEHPAFQNETGIAALRRVLTAYAHRNPKIGYCQSMNILTSVLL LYAKEEEAFWLLVAVCERMLPDYFNHRVIGAQVDQSVFEELIKEQLPELAEHMSDLSALA SISLSWFLTLFLSIMPLESAVHVVDCFFYDGIKAIFQLGLAVLEANAEELCSSKDDGQAL MVLSRFLDHIKNEDSPGPPIGSHHAFFSDDQEPYPVTDIADLIRDSYEKFGNQSVEQIEH LRCKHRIRVLQGHEDTTKQNVLRVVIPEVSILPEDLEELYDLFKRAHMMSCYWEHHRPMA LRHDPSRPYAEQYRIDARQFAHLFQLVSPWTCGVHTEILAERLFRLLDDNMDQLIEFKAF TSCLDIMYNGEMNEKIKLLYRLHIPPALTENDRDSQSPLKNPLLSTSRPLVLGKPNGDTI DYQKQLKQMIKDLAKEKDKMEKELPKMSQREFIQFCKTLYSMFHEDPEENDLYQAIATVT TLLLQIGEVGQRGSSSGSCSQECEEPQASAPPEQDSVFAEAGKSPQAFPETEGDWTVSLE HILASLLTEQSLVNFFEKPLNIKSKLENAKLNQYSLKVLEMSHPPQAELKLNDL >ENSMUSP00000141257.1 pep:known chromosome:GRCm38:1:39371867:39372433:-1 gene:ENSMUSG00000003134.10 transcript:ENSMUST00000192099.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d8 description:TBC1 domain family, member 8 [Source:MGI Symbol;Acc:MGI:1927225] XNAKLNQYSLKVLEMSHPPQAELKLNDL >ENSMUSP00000142143.1 pep:known chromosome:GRCm38:1:39372296:39478661:-1 gene:ENSMUSG00000003134.10 transcript:ENSMUST00000192531.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d8 description:TBC1 domain family, member 8 [Source:MGI Symbol;Acc:MGI:1927225] MWLKPEEVLLKNALKLWVTQKSSCYFVLQRRRGHGEGGGRLTGRLVGALDAVLDSSARVA PFRILLQVPGSQVYSPIACGSDRRGDQQQTGRAGGGAREVPRSSGEVRGQVQLPRGGEAG HVLLVLLLEGQGAPPGLAVPQHQPPLLLFLLPGQGA >ENSMUSP00000141750.1 pep:known chromosome:GRCm38:1:39373316:39478700:-1 gene:ENSMUSG00000003134.10 transcript:ENSMUST00000193823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d8 description:TBC1 domain family, member 8 [Source:MGI Symbol;Acc:MGI:1927225] MWLKPEEVLLKNALKLWVTQKSSCYFVLQRRRGHGEGGGRLTGRLVGALDAVLDSSARVA PFRILLQVPGSQVYSPIACGATLEEINRHWDWLEQNLLHTLSVFDNKDDIASFVKGKVKA LIAEETSSRLAEQEEEPEKFREALVKFEARFNFPEAEKLVTYYSCCCWKGRVPRQGWLYL SINHLCFYSFFLGKELKLVIPWVDIQKLERTSNVFLTDTIRITTQNKERDFSTFLNLDEV FKIMEQLADVTLRRLLDNEVFDLDPDLQEPSQITKRDLEARAQNEFFRAFFRLPREEKLH AVADCSLWTPFSRCHTAGRIFSSDSYICFASREDGCCNVVLPLREVVSIEKMEDTSLLPN PIIVSIRSKMAFQFIELKDRENLVEGLLLRLKQVHANHPVHYETSPSDDDMASPVFYSAS ICTDKFGDLEMVASQSSEEREEKRPLPHPEPLTAVFQQSGSQSPDSRLSREQIKISLWND HFVEYGRTVCMFRTEKIRKLVAMGIPESLRGRLWLLFSDAVTDLASHPGYYGNLVEQSLG RCCLVTEEIERDLHRSLPEHPAFQNETGIAALRRVLTAYAHRNPKIGYCQSMNILTSVLL LYAKEEEAFWLLVAVCERMLPDYFNHRVIGAQVDQSVFEELIKEQLPELAEHMSDLSALA SISLSWFLTLFLSIMPLESAVHVVDCFFYDGIKAIFQLGLAVLEANAEELCSSKDDGQAL MVLSRFLDHIKNEDSPGPPIGSHHAFFSDDQEPYPVTDIADLIRDSYEKFGNQSVEQIEH LRCKHRIRVLQGHEDTTKQNVLRVVIPEVSILPEDLEELYDLFKRAHMMSCYWEHHRPMA LRHDPSRPYAEQYRIDARQFAHLFQLVSPWTCGVHTEILAERLFRLLDDNMDQLIEFKAF TSCLDIMYNGEMNEKIKLLYRLHIPPALTENDRDSQSPLKNPLLSTSRPLVLGKPNGDTI DYQKQLKQMIKDLAKEKDKMEKELPKMSQVWHLDFPQHCCVPVTSL >ENSMUSP00000139641.1 pep:known chromosome:GRCm38:1:75180860:75191926:-1 gene:ENSMUSG00000033124.15 transcript:ENSMUST00000189702.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg9a description:autophagy related 9A [Source:MGI Symbol;Acc:MGI:2138446] MAQFDTEYQRLEASYSDSPPGEEDLLVHVAEGSKSPWHHIENLDLFFSRVYNLHQKNGFT CMLIGEMFELMQFLFVVAFTTFLVSCVDYDILFANKMVNHSLHPTEPVKVTLPDAFLPAQ VCSARIQENGSLITILVIAGVFWIHRLIKFIYNICCYWEIHSFYLHALRIPMSALPYCTW QEVQARIVQTQKEHQICIHKRELTELDIYHRILRFQNYMVALVNKSLLPLRFRLPGLGEV VFFTRGLKYNFELILFWGPGSLFLNEWSLKAEYKRGGQRLELAQRLSNRILWIGIANFLL CPLILIWQILYAFFSYAEVLKREPGALGARCWSLYGRCYLRHFNELEHELQSRLNRGYKP ASKYMNCFLSPLLTLLAKNGAFFAGSILAVLIALTIYDEDVLAVEHVLTTVTLLGVTVTV CRSFIPDQHMVFCPEQLLRVILAHIHYMPDHWQGNAHRSQTRDEFAQLFQYKAVFILEEL LSPIVTPLILIFCLRPRALEIIDFFRNFTVEVVGVGDTCSFAQMDVRQHGHPQWLSGGQT EASVYQQAEDGKTELSLMHFAITNPGWQPPRESTAFLGFLKEQVQRDGAAAGLAQGGLLP ENALFTSIQSLQSESEPLSLIANVVAGSSCRGPSLSRDLQGSRHRADVASALRSFSPLQP GAAPQGRVPSTMTGSGVDARTASSGSSVWEGQLQSLVLSEYASTEMSLHALYMHQLHKQQ TQAEPERHVWHRRESDESGESAPEEGGEGARAPQPIPRSASYPCATPRPGAPETTALHGG FQRRYGGITDPGTVPRGPSHFSRLPLGGWAEDGQPASRHPEPVPEEGSEDELPPQVHKV >ENSMUSP00000139608.1 pep:known chromosome:GRCm38:1:75180865:75190382:-1 gene:ENSMUSG00000033124.15 transcript:ENSMUST00000189820.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg9a description:autophagy related 9A [Source:MGI Symbol;Acc:MGI:2138446] XQRLEASYSDSPPGEEDLLVHVAEGSKSPWHHIENLDLFFSRVYNLHQKNGFTCMLIGEM FELMQFLFVVAFTTFLVSCVDYDILFANKMVNHSLHPTEPVKVTLPDAFLPAQVCSARIQ ENGSLITILVIAGVFWIHRLIKFIYNICCYWEIHSFYLHALRIPMSALPYCTWQEVQARI VQTQKEHQICIHKRELTELDIYHRILRFQNYMVALVNKSLLPLRFRLPGLGEVVFFTRGL KYNFELILFWGPGSLFLNEWSLKAEYKRGGQRLELAQRLSNRILWIGIANFLLCPLILIW QILYAFFSYAEVLKREPGALGARCWSLYGRCYLRHFNELEHELQSRLNRGYKPASKYMNC FLSPLLTLLAKNGAFFAGSILAVLIALTIYDEDVLAVEHVLTTVTLLGVTVTVCRSFIPD QHMVFCPEQLLRVILAHIHYMPDHWQGNAHRSQTRDEFAQLFQYKAVFILEELLSPIVTP LILIFCLRPRALEIIDFFRNFTVEVVGVGDTCSFAQMDVRQHGHPQWLSGGQTEASVYQQ AEDGKTELSLMHFAITNPGWQPPRESTAFLGFLKEQVQRDGAAAGLAQGGLLPENALFTS IQSLQSESEPLSLIANVVAGSSCRGPSLSRDLQGSRHRADVASALRSFSPLQPGAAPQGR VPSTMTGSGVDARTASSGSSVWEGQLQSLVLSEYASTEMSLHALYMHQLHKQQTQAEPER HVWHRRESDESGESAPEEGGEGARAPQPIPRSASYPCATPRPGAPETTALHGGFQRRYGG ITDPGTVPRGPSHFSRLPLGGWAEDGQPASRHPEPVPEEGSEDELPPQVHKVKAVRPRTT ARSGDFQ >ENSMUSP00000140012.1 pep:known chromosome:GRCm38:1:75180865:75191923:-1 gene:ENSMUSG00000033124.15 transcript:ENSMUST00000189665.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atg9a description:autophagy related 9A [Source:MGI Symbol;Acc:MGI:2138446] MAQFDTEYQRLEASYSDSPPGEEDLLVHVAEGSKSPWHHIENLDLFFSRVYNLHQKNGFT CMLIGEMFELMQFLFVVAFTTFLVSCVDYDILFANKMVNHSLHPTEPVKVTLPDAFLPAQ VCSARIQENGSLITILVIAGVFWIHRLIKFIYNICCYWEIHSFYLHALRIPMGFSV >ENSMUSP00000139731.1 pep:known chromosome:GRCm38:1:75180865:75192196:-1 gene:ENSMUSG00000033124.15 transcript:ENSMUST00000188347.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg9a description:autophagy related 9A [Source:MGI Symbol;Acc:MGI:2138446] MAQFDTEYQRLEASYSDSPPGEEDLLVHVAEGSKSPWHHIENLDLFFSRVYNLHQKNGFT CMLIGEMFELMQFLFVVAFTTFLVSCVDYDILFANKMVNHSLHPTEPVKVTLPDAFLPAQ VCSARIQENGSLITILVIAGVFWIHRLIKFIYNICCYWEIHSFYLHALRIPMSALPYCTW QEVQARIVQTQKEHQICIHKRELTELDIYHRILRFQNYMVALVNKSLLPLRFRLPGLGEV VFFTRGLKYNFELILFWGPGSLFLNEWSLKAEYKRGGQRLELAQRLSNRILWIGIANFLL CPLILIWQILYAFFSYAEVLKREPGALGARCWSLYGRCYLRHFNELEHELQSRLNRGYKP ASKYMNCFLSPLLTLLAKNGAFFAGSILAVLIALTIYDEDVLAVEHVLTTVTLLGVTVTV CRSFIPDQHMVFCPEQLLRVILAHIHYMPDHWQGNAHRSQTRDEFAQLFQYKAVFILEEL LSPIVTPLILIFCLRPRALEIIDFFRNFTVEVVGVGDTCSFAQMDVRQHGHPQWLSGGQT EASVYQQAEDGKTELSLMHFAITNPGWQPPRESTAFLGFLKEQVQRDGAAAGLAQGGLLP ENALFTSIQSLQSESEPLSLIANVVAGSSCRGPSLSRDLQGSRHRADVASALRSFSPLQP GAAPQGRVPSTMTGSGVDARTASSGSSVWEGQLQSLVLSEYASTEMSLHALYMHQLHKQQ TQAEPERHVWHRRESDESGESAPEEGGEGARAPQPIPRSASYPCATPRPGAPETTALHGG FQRRYGGITDPGTVPRGPSHFSRLPLGGWAEDGQPASRHPEPVPEEGSEDELPPQVHKV >ENSMUSP00000139828.1 pep:known chromosome:GRCm38:1:75182990:75186047:-1 gene:ENSMUSG00000033124.15 transcript:ENSMUST00000187785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg9a description:autophagy related 9A [Source:MGI Symbol;Acc:MGI:2138446] XLAHIHYMPDHWQGNAHRSQTRDEFAQLFQYKAVFILEELLSPIVTPLILIFCLRPRALE IIDFFRNFTVEVVGVGDTCSFAQMDVRQHGHPQWLSGGQTEASVYQQAEDGKTELSLMHF AITNPGWQPPRESTAFLGFLKEQVQRDGAAAGLAQGGLLPENALFTSIQSLQSESEPLSL IANVVAGSSCRGPSLSRDLQGSRHRADVASALRSFSPLQPGAAPQGRVPSTMTGSGSTSS RPRLSPSGMCGTAGRVMRVERVPLKRGERVPGPPNPSP >ENSMUSP00000140616.1 pep:known chromosome:GRCm38:1:75190117:75191714:-1 gene:ENSMUSG00000033124.15 transcript:ENSMUST00000186744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg9a description:autophagy related 9A [Source:MGI Symbol;Acc:MGI:2138446] MAQFDTEYQRLEASYSDSPPGEEDLLVHVAEGSKSPWHHIENLDLFF >ENSMUSP00000047449.8 pep:known chromosome:GRCm38:1:75180866:75191923:-1 gene:ENSMUSG00000033124.15 transcript:ENSMUST00000040689.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg9a description:autophagy related 9A [Source:MGI Symbol;Acc:MGI:2138446] MAQFDTEYQRLEASYSDSPPGEEDLLVHVAEGSKSPWHHIENLDLFFSRVYNLHQKNGFT CMLIGEMFELMQFLFVVAFTTFLVSCVDYDILFANKMVNHSLHPTEPVKVTLPDAFLPAQ VCSARIQENGSLITILVIAGVFWIHRLIKFIYNICCYWEIHSFYLHALRIPMSALPYCTW QEVQARIVQTQKEHQICIHKRELTELDIYHRILRFQNYMVALVNKSLLPLRFRLPGLGEV VFFTRGLKYNFELILFWGPGSLFLNEWSLKAEYKRGGQRLELAQRLSNRILWIGIANFLL CPLILIWQILYAFFSYAEVLKREPGALGARCWSLYGRCYLRHFNELEHELQSRLNRGYKP ASKYMNCFLSPLLTLLAKNGAFFAGSILAVLIALTIYDEDVLAVEHVLTTVTLLGVTVTV CRSFIPDQHMVFCPEQLLRVILAHIHYMPDHWQGNAHRSQTRDEFAQLFQYKAVFILEEL LSPIVTPLILIFCLRPRALEIIDFFRNFTVEVVGVGDTCSFAQMDVRQHGHPQWLSGGQT EASVYQQAEDGKTELSLMHFAITNPGWQPPRESTAFLGFLKEQVQRDGAAAGLAQGGLLP ENALFTSIQSLQSESEPLSLIANVVAGSSCRGPSLSRDLQGSRHRADVASALRSFSPLQP GAAPQGRVPSTMTGSGVDARTASSGSSVWEGQLQSLVLSEYASTEMSLHALYMHQLHKQQ TQAEPERHVWHRRESDESGESAPEEGGEGARAPQPIPRSASYPCATPRPGAPETTALHGG FQRRYGGITDPGTVPRGPSHFSRLPLGGWAEDGQPASRHPEPVPEEGSEDELPPQVHKV >ENSMUSP00000059668.1 pep:known chromosome:GRCm38:9:110857967:110864629:1 gene:ENSMUSG00000048752.7 transcript:ENSMUST00000051097.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss50 description:protease, serine 50 [Source:MGI Symbol;Acc:MGI:2447303] MEPWCGAEVRGQGPQGPRVPGASRSRSRALLLLLLLLLLLLPRRPAGERIRPRRPPRHAH PRPPLTRWRPSTGYLAAGASPGTLSTTVPTGPGVSCGSRGICPSGRLRLPRQAQTNQTTT APPNSQTMAPLKTVGTLGMMDTTGSVLKTVHSSNLPFCGSSHEPDPTLRDPEAMTRRWPW MVSVQANGSHICAGILIASQWVLTVAHCLSQNHVNYIVRAGSPWINQTAGTSSDVPVHRV IINHGYQPRRYWSWVGRAHDIGLLKLKWGLKYSKYVWPICLPGLDYVVEDSSLCTVTGWG YPRANGIWPQFQSLQEKEVSILNSKKCDHFYHKFSRISSLVRIINPQMICASDNNREEFC YEITGEPLVCSSDGTWYLVGMMSWGPGCKKSEAPPIFLQVSYYRPWIWDRLSGEPLALPA PSRTLLLAFLLLLILLGTL >ENSMUSP00000143092.1 pep:known chromosome:GRCm38:9:110861366:110864628:1 gene:ENSMUSG00000048752.7 transcript:ENSMUST00000196027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss50 description:protease, serine 50 [Source:MGI Symbol;Acc:MGI:2447303] XAGILIASQWVLTVAHCLSQNHVNYIVRAGSPWINQTAGTSSDVPVHRVIINHGYQPRRY WSWVGRAHDIGLLKLKWGLKYSKYVWPICLPGLDYVVEDSSLCTVTGWGYPRANGDNWRA PGLLFRWHMVPGGNDELGPRLQEERGPTHLSAGLLLQALDLGPAQWGAPGPSSPIQDLAP GFPSAPHPSGHTVTLPCLSFLPLLLSAAVGVALSLPEAGRS >ENSMUSP00000046296.5 pep:known chromosome:GRCm38:11:103171107:103198901:1 gene:ENSMUSG00000055805.14 transcript:ENSMUST00000042286.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl1 description:formin-like 1 [Source:MGI Symbol;Acc:MGI:1888994] MGNAAGSAEQPAGPTASPPKQPAVPKQPMPAAGELEERFTRVLNCMNLPPDKVQLLSQYD NEKKWELICDQERFQVKNPPAAYIQKLKSYLDTGGVSRKVASDWMSNLGFKRRVQESTQV LRELETSLRTNHIGWVQEFLNEENRGLDVLLEYLAFAQCSVAYDMESTDSVASGAEKSKP LDQSVEDLSKAPPSSVPKSRLTIKLTPAHSRKALRNSRIVSQKDDVHVCIMCLRAIMNYQ SGFSLVMNHPACVNEIALSLNNKSPRTKALVLELLAAVCLVRGGHDIILAAFDNFKEVCG EQHRFEKLMEYFRHEDSNIDFMVACMQFINIVVHSVENMNFRVFLQYEFTHLGLDLYLER LRLTESDKLQVQIQAYLDNVFDVGTLLEETETKNAVLEHMEELQEQVATLTERLRDTEND SMAKIAELEKQLSQARKELETLRERFSESTPMGTSRRIPEPEKVPVPTVVRPSALELKVE ELEEKGLIRILRGPGDVVSIEILPGAAATPSGDDAQAPRVSTDSPSTAESIPEAASPPPP PPPPPPPLPNLQSQQEAPPSAPPLAPPLPGCAEPPPAPPLPGDLPPPPPPPPLGTDGPVP PPPPPPPGGPPDILGGQGPDIGPGVKAKKPIQTKFRMPLLNWVALKPSQITGTVFTELND EKVLQELDMNDFEEHFKTKSQGPCLDISALKGKASQKAPTKTILIEANRAKNLAITLRKG NLGADRICQAIETYDLQTLSLDFLELLTRFLPTDYERSLIARFEKEQRPMEELSEEDRFM LRFSRIQRLPERMNTLTFLGNFPDTAQLLMPQLNAIIAASMSIKSSDKLRQILEIVLAFG NYMNSSKRGAAYGFRLQSLDALLEMKSTDRKQTLLHYLVKVIAEKYPQLTGFHSDLHFLD KAGSVSLDSVLGDVRSLQRGLELTQREFVRQDDCLVLKEFLRANSPTMDKLLADSKTAQE AYESVVEYFGENPKTTSPSMFFSLFSRFTKAYKKAEQEVEQWKKEAAADTSGREEPPTPK SPPKARRQQMDLISELKRKQQKEPLIYESDRDGAIEDIITDLRNQPYIRADTGRRSARRR PPGPPLPVTTDLAL >ENSMUSP00000102642.2 pep:known chromosome:GRCm38:11:103171313:103198895:1 gene:ENSMUSG00000055805.14 transcript:ENSMUST00000107027.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl1 description:formin-like 1 [Source:MGI Symbol;Acc:MGI:1888994] MGNAAGSAEQPAGPTASPPKQPAVPKQPMPAAGELEERFTRVLNCMNLPPDKVQLLSQYD NEKKWELICDQERFQVKNPPAAYIQKLKSYLDTGGVSRKVASDWMSNLGFKRRVQESTQV LRELETSLRTNHIGWVQEFLNEENRGLDVLLEYLAFAQCSVAYDMESTDSVASGAEKSKP LDQSVEDLSKAPPSSVPKSRLTIKLTPAHSRKALRNSRIVSQKDDVHVCIMCLRAIMNYQ SGFSLVMNHPACVNEIALSLNNKSPRTKALVLELLAAVCLVRGGHDIILAAFDNFKEVCG EQHRFEKLMEYFRHEDSNIDFMVACMQFINIVVHSVENMNFRVFLQYEFTHLGLDLYLER LRLTESDKLQVQIQAYLDNVFDVGTLLEETETKNAVLEHMEELQEQVATLTERLRDTEND SMAKIAELEKQLSQARKELETLRERFSESTPMGTSRRIPEPEKVPVPTVVRPSALELKVE ELEEKGLIRILRGPGDVVSIEILPGAAATPSGDDAQAPRVSTDSPSTAESIPEAASPPPP PPPPPPPLPNLQSQQEAPPSAPPLAPPLPGCAEPPPAPPLPGDLPPPPPPPPLGTDGPVP PPPPPPPGGPPDILGGQGPDIGPGVKAKKPIQTKFRMPLLNWVALKPSQITGTVFTELND EKVLQELDMNDFEEHFKTKSQGPCLDISALKGKASQKAPTKTILIEANRAKNLAITLRKG NLGADRICQAIETYDLQTLSLDFLELLTRFLPTDYERSLIARFEKEQRPMEELSEEDRFM LRFSRIQRLPERMNTLTFLGNFPDTAQLLMPQLNAIIAASMSIKSSDKLRQILEIVLAFG NYMNSSKRGAAYGFRLQSLDALLEMKSTDRKQTLLHYLVKVIAEKYPQLTGFHSDLHFLD KAGSVSLDSVLGDVRSLQRGLELTQREFVRQDDCLVLKEFLRANSPTMDKLLADSKTAQE AYESVVEYFGENPKTTSPSMFFSLFSRFTKAYKKAEQEVEQWKKEAAADTSGREEPPTPK SPPKARRQQMDLISELKRKQQKEPLIYESDRDGAIEDIITVLKTVPFTARTGKRTSRLLC EASLGEEMTL >ENSMUSP00000133299.1 pep:known chromosome:GRCm38:11:103196801:103197876:1 gene:ENSMUSG00000055805.14 transcript:ENSMUST00000129726.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl1 description:formin-like 1 [Source:MGI Symbol;Acc:MGI:1888994] NSPTMDKLLADSKTAQEAYESVVEYFGENPKTTSPSMFFSLFSRFTKAYKKAEQEVEQWK KEAAADTSGREEPPTPKSPPKARRQQMDLISELKRKQQKEPLIYESDRDGAIEDIITGES QATVPSPVSIMSFSSSISPHAEGSAASSHL >ENSMUSP00000103406.2 pep:known chromosome:GRCm38:4:45965334:46034761:1 gene:ENSMUSG00000035517.17 transcript:ENSMUST00000107777.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd7 description:tudor domain containing 7 [Source:MGI Symbol;Acc:MGI:2140279] MRSQQSTTQRRVLSRTGPSWHPDPGLSASRTAKMLEADLVSKMLRAVLQSHKNGIVLPRL QGEYRSLTGDWIPFKQLGYPTLEAYLRSVPAVVRIEASRSGEIVCYAVACTETARIAQLV ARQRTSKRKIGRQINCQMRVKKAMPFFLEGKPKATLRQPGFASDYSISRKPNSALLRDRG SALGVKADVDMPPYPDTPVQRHASMSANSRFSPKSSLPASFQTHISRACPTEVNDNLNQT VEKPNITPPASYTNKMDEVQNRIKEILDKHNNGIWISKLPHFYKEFYKEDLNQGVLQQFE HWPHICTVEKPCGGGQDSLLYPARREQPLKSDQDPEKELPPPPPAPKQEVPSQGSPAVMP DVKEKVAELLGKYSSGLWASALPKAFEDMYKVKFPEDALKNLASLSDVCTINYISGNTQK AILYAKLPLPTDKILKDEGQAQGDFDIKSMIEQEYLQIEKNMAESADEFLEDITVPPLVI PTEASPSVLVVELSNTNDVVIRYVGKDYSAAQELMEDEMKEFYSKNPRVTPIQTVHVGQL LAVNAEEDAWLRAQIISTDENKIKVCYVDYGFCENIEKSKAYRLNPRFCSLSFQATKCKL AGLEVLNDDPDLVKAVESLTCGKIFAVEILDKSDVPLVVLYDTSGEDDININATCLKAIC DRSLQVHLQVDAMYTNVKVTNICSDGTLYCQVPCKGLNKLNDLLHKTEDYFHCKHMTSEY FISLPFCGKICLFHCKGKWLRVEITNVHSSRALDVQFLDSGNSTSVKVSELREIPPRFLQ EMLAIPPQAIKCCLADLPQSIGMWTPDAVLWLRDSVLNCSDCSIKVTKMDETKGVAYVYL FTPNNFPDPHRSINRQITNADLWKHQKDVFLSAVSTAASSPGNRNGGTPAPGSPAESLRK SHPEVIKKSVLDHTSSFSLEELPPPVHLSRSGEHMDVYVPVACHPGHFVIQPWQEIHKLE VLMEEMILYYSVSEERHIAVERDQVYAAKVENKWYRVLLKGILTNGLVSVYELDYGKHEL VNIRKVQPLVDVFRKLPFQAVTAQLAGVKCSQWSEEASMVFRNHVEKKALVALVQTVVEH TNPWDRKVVLYLVDTSLPDTDTWIHDFMSQYLLELSKVN >ENSMUSP00000099993.1 pep:known chromosome:GRCm38:4:45972233:46034761:1 gene:ENSMUSG00000035517.17 transcript:ENSMUST00000102929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd7 description:tudor domain containing 7 [Source:MGI Symbol;Acc:MGI:2140279] MLEADLVSKMLRAVLQSHKNGIVLPRLQGEYRSLTGDWIPFKQLGYPTLEAYLRSVPAVV RIEASRSGEIVCYAVACTETARIAQLVARQRTSKRKIGRQINCQMRVKKAMPFFLEGKPK ATLRQPGFASDYSISRKPNSALLRDRGSALGVKADVDMPPYPDTPVQRHASMSANSRFSP KSSLPASFQTHISRACPTEVNDNLNQTVEKPNITPPASYTNKMDEVQNRIKEILDKHNNG IWISKLPHFYKEFYKEDLNQGVLQQFEHWPHICTVEKPCGGGQDSLLYPARREQPLKSDQ DPEKELPPPPPAPKQEVPSQGSPAVMPDVKEKVAELLGKYSSGLWASALPKAFEDMYKVK FPEDALKNLASLSDVCTINYISGNTQKAILYAKLPLPTDKILKDEGQAQGDFDIKSMIEQ EYLQIEKNMAESADEFLEDITVPPLVIPTEASPSVLVVELSNTNDVVIRYVGKDYSAAQE LMEDEMKEFYSKNPRVTPIQTVHVGQLLAVNAEEDAWLRAQIISTDENKIKVCYVDYGFC ENIEKSKAYRLNPRFCSLSFQATKCKLAGLEVLNDDPDLVKAVESLTCGKIFAVEILDKS DVPLVVLYDTSGEDDININATCLKAICDRSLQVHLQVDAMYTNVKVTNICSDGTLYCQVP CKGLNKLNDLLHKTEDYFHCKHMTSEYFISLPFCGKICLFHCKGKWLRVEITNVHSSRAL DVQFLDSGNSTSVKVSELREIPPRFLQEMLAIPPQAIKCCLADLPQSIGMWTPDAVLWLR DSVLNCSDCSIKVTKMDETKGVAYVYLFTPNNFPDPHRSINRQITNADLWKHQKDVFLSA VSTAASSPGNRNGGTPAPGSPAESLRKSHPEVIKKSVLDHTSSFSLEELPPPVHLSRSGE HMDVYVPVACHPGHFVIQPWQEIHKLEVLMEEMILYYSVSEERHIAVERDQVYAAKVENK WYRVLLKGILTNGLVSVYELDYGKHELVNIRKVQPLVDVFRKLPFQAVTAQLAGVKCSQW SEEASMVFRNHVEKKALVALVQTVVEHTNPWDRKVVLYLVDTSLPDTDTWIHDFMSQYLL ELSKVN >ENSMUSP00000028970.7 pep:known chromosome:GRCm38:2:152911352:152923068:1 gene:ENSMUSG00000027470.9 transcript:ENSMUST00000028970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylk2 description:myosin, light polypeptide kinase 2, skeletal muscle [Source:MGI Symbol;Acc:MGI:2139434] MTTENGAVELGSQSLSTEQTPKAAAGDGPSASEKEPSAPATEKDLSPPNAKKDPGAPDPK NNPDPPSLKKDPAKAPGPEKKGDPVPASASSQGPSGEGDGGGGPAEGSEGPPAALPLPTA TAEASIQKLDPTQAPSGNQGSGEAKAGKKAAECREAGRRGSPAFLHSPSCPAIISCSEKT LAVKPLSETTDLVFTGVSVTPDPQDPGPVKAGGTNALAEKKKEEAEKASGQAGQAKVQGD TPQRIGFQAVPSERVEVGQALCLTAREEDCFQILDDCPPPPAPFPHRIVELRTGNVNSEF SMNSKEALGGGKFGAVCTCTERATGLKLAAKVIKKQTPKDKEMVLLEIEVMNQLNHRNLI QLYAAIETSHEIILFMEYIEGGELFERIVDEDYHLTEVDTMVFVRQICDGILFMHKMRVL HLDLKPENILCVNTTGHLVKIIDFGLARRYNPNEKLKVNFGTPEFLSPEVVNYDQISDKT DMWSLGVITYMLLSGLSPFLGDDDTETLNNVLSANWYFDEETFEAVSDEAKDFVSNLLTK DQSARMSAEQCLAHPWLNNLAEKAKRCNRRLKSQILLKKYLMKRRWKKNFIAVSAANRFK KISSSGALMALGV >ENSMUSP00000107796.1 pep:known chromosome:GRCm38:6:127961396:128143563:-1 gene:ENSMUSG00000030352.14 transcript:ENSMUST00000112173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan9 description:tetraspanin 9 [Source:MGI Symbol;Acc:MGI:1924558] MARGCLCCLKYTMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLVIAI GTIVMVTGFLGCLGAIKENKCLLLSFFIVLLIILLAELILIILFFVYMDKVNENAKQDLK EGLLLYNTENNVGLKNAWNIIQAEMRCCGVTDYTDWYPVLGENTVPDRCCMENSQGCGRN STTPLWRTGCYEKVKLWFDDNKHVLGTVGMCILIMQILGMAFSMTLFQHIHRTGKKYDA >ENSMUSP00000107794.1 pep:known chromosome:GRCm38:6:127962802:128136709:-1 gene:ENSMUSG00000030352.14 transcript:ENSMUST00000112171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan9 description:tetraspanin 9 [Source:MGI Symbol;Acc:MGI:1924558] MARGCLCCLKYTMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLVIAI GTIVMVTGFLGCLGAIKENKCLLLSFFIVLLIILLAELILIILFFVYMDKVNENAKQDLK EGLLLYNTENNVGLKNAWNIIQAEMRCCGVTDYTDWYPVLGENTVPDRCCMENSQGCGRN STTPLWRTGCYEKVKLWFDDNKHVLGTVGMCILIMQILGMAFSMTLFQHIHRTGKKYDA >ENSMUSP00000032503.5 pep:known chromosome:GRCm38:6:127963907:128143560:-1 gene:ENSMUSG00000030352.14 transcript:ENSMUST00000032503.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan9 description:tetraspanin 9 [Source:MGI Symbol;Acc:MGI:1924558] MARGCLCCLKYTMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLVIAI GTIVMVTGFLGCLGAIKENKCLLLSFFIVLLIILLAELILIILFFVYMDKVNENAKQDLK EGLLLYNTENNVGLKNAWNIIQAEMRCCGVTDYTDWYPVLGENTVPDRCCMENSQGCGRN STTPLWRTGCYEKVKLWFDDNKHVLGTVGMCILIMQILGMAFSMTLFQHIHRTGKKYDA >ENSMUSP00000115922.1 pep:known chromosome:GRCm38:6:127965747:128034592:-1 gene:ENSMUSG00000030352.14 transcript:ENSMUST00000123786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan9 description:tetraspanin 9 [Source:MGI Symbol;Acc:MGI:1924558] MARGCLCCLKYTMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLVIAI GTIVMVTGFLGCLGAIKENKCLLLSFFIVLLIILLAELILIILFFVYMDKVNENAKQDLK EGLLLYNTENNVGLKNAWNIIQAEMRCCGVTDYTDWYPVLGENTVPDRCCME >ENSMUSP00000143827.1 pep:known chromosome:GRCm38:6:127965762:128035005:-1 gene:ENSMUSG00000030352.14 transcript:ENSMUST00000202372.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan9 description:tetraspanin 9 [Source:MGI Symbol;Acc:MGI:1924558] MARGCLCCLKYTMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLVIAI GTIVMVTGFLGCLGAIKENKCLLLSFFIVLLIILLAELILIILFFVYMDKVNENAKQDLK EGLLLYNTENNVGLKNAWNIIQAEMRCCGVTDYTDWYPVLGENTVPD >ENSMUSP00000114763.1 pep:known chromosome:GRCm38:6:127966431:128143560:-1 gene:ENSMUSG00000030352.14 transcript:ENSMUST00000154375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan9 description:tetraspanin 9 [Source:MGI Symbol;Acc:MGI:1924558] MARGCLCCLKYTMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLVIAI GTIVMVTGFLGCLGAIKENKCLLLSFFIVLLIILLAELILIILFFVYMDKVNENAKQDLK EGLLLYNT >ENSMUSP00000116142.1 pep:known chromosome:GRCm38:6:127966437:128140746:-1 gene:ENSMUSG00000030352.14 transcript:ENSMUST00000146268.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan9 description:tetraspanin 9 [Source:MGI Symbol;Acc:MGI:1924558] MARGCLCCLKYTMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLVIAI GTIVMVTGFLGCLGAIKENKCLLLSFFIVLLIILLAELILIILFFVYMDKVNENAKQDLK EGLLL >ENSMUSP00000144650.1 pep:known chromosome:GRCm38:6:127967081:128143553:-1 gene:ENSMUSG00000030352.14 transcript:ENSMUST00000145940.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan9 description:tetraspanin 9 [Source:MGI Symbol;Acc:MGI:1924558] MVTGFLGCLGAIKENKCLLLS >ENSMUSP00000115324.1 pep:known chromosome:GRCm38:6:127967166:128124316:-1 gene:ENSMUSG00000030352.14 transcript:ENSMUST00000127105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan9 description:tetraspanin 9 [Source:MGI Symbol;Acc:MGI:1924558] MARGCLCCLKYTMFLFNLIFWLCGCGLLGVGIWLSVSQGNFATFSPSFPSLSAANLV >ENSMUSP00000005671.8 pep:known chromosome:GRCm38:7:67952827:68233668:1 gene:ENSMUSG00000005533.10 transcript:ENSMUST00000005671.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf1r description:insulin-like growth factor I receptor [Source:MGI Symbol;Acc:MGI:96433] MKSGSGGGSPTSLWGLVFLSAALSLWPTSGEICGPGIDIRNDYQQLKRLENCTVIEGFLH ILLISKAEDYRSYRFPKLTVITEYLLLFRVAGLESLGDLFPNLTVIRGWKLFYNYALVIF EMTNLKDIGLYNLRNITRGAIRIEKNADLCYLSTIDWSLILDAVSNNYIVGNKPPKECGD LCPGTLEEKPMCEKTTINNEYNYRCWTTNRCQKMCPSVCGKRACTENNECCHPECLGSCH TPDDNTTCVACRHYYYKGVCVPACPPGTYRFEGWRCVDRDFCANIPNAESSDSDGFVIHD DECMQECPSGFIRNSTQSMYCIPCEGPCPKVCGDEEKKTKTIDSVTSAQMLQGCTILKGN LLINIRRGNNIASELENFMGLIEVVTGYVKIRHSHALVSLSFLKNLRLILGEEQLEGNYS FYVLDNQNLQQLWDWNHRNLTVRSGKMYFAFNPKLCVSEIYRMEEVTGTKGRQSKGDINT RNNGERASCESDVLRFTSTTTWKNRIIITWHRYRPPDYRDLISFTVYYKEAPFKNVTEYD GQDACGSNSWNMVDVDLPPNKEGEPGILLHGLKPWTQYAVYVKAVTLTMVENDHIRGAKS EILYIRTNASVPSIPLDVLSASNSSSQLIVKWNPPTLPNGNLSYYIVRWQRQPQDGYLYR HNYCSKDKIPIRKYADGTIDVEEVTENPKTEVCGGDKGPCCACPKTEAEKQAEKEEAEYR KVFENFLHNSIFVPRPERRRRDVMQVANTTMSSRSRNTTVADTYNITDPEEFETEYPFFE SRVDNKERTVISNLRPFTLYRIDIHSCNHEAEKLGCSASNFVFARTMPAEGADDIPGPVT WEPRPENSIFLKWPEPENPNGLILMYEIKYGSQVEDQRECVSRQEYRKYGGAKLNRLNPG NYTARIQATSLSGNGSWTDPVFFYVPAKTTYENFMHLIIALPVAILLIVGGLVIMLYVFH RKRNNSRLGNGVLYASVNPEYFSAADVYVPDEWEVAREKITMNRELGQGSFGMVYEGVAK GVVKDEPETRVAIKTVNEAASMRERIEFLNEASVMKEFNCHHVVRLLGVVSQGQPTLVIM ELMTRGDLKSYLRSLRPEVEQNNLVLIPPSLSKMIQMAGEIADGMAYLNANKFVHRDLAA RNCMVAEDFTVKIGDFGMTRDIYETDYYRKGGKGLLPVRWMSPESLKDGVFTTHSDVWSF GVVLWEIATLAEQPYQGLSNEQVLRFVMEGGLLDKPDNCPDMLFELMRMCWQYNPKMRPS FLEIIGSIKDEMEPSFQEVSFYYSEENKPPEPEELEMEPENMESVPLDPSASSASLPLPE RHSGHKAENGPGPGVLVLRASFDERQPYAHMNGGRANERALPLPQSSTC >ENSMUSP00000144214.1 pep:known chromosome:GRCm38:2:66270778:66317946:-1 gene:ENSMUSG00000064329.13 transcript:ENSMUST00000200839.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scn1a description:sodium channel, voltage-gated, type I, alpha [Source:MGI Symbol;Acc:MGI:98246] XIDESDYMSFINNPSLTVTVPIAVGESDFENLNTEDFSSESDLEESKEKLNESSSSSEGS TVDIGAPAEEQPVIEPEETLEPEACFTEGCVQRFKCCQISVEEGRGKQWWNLRRTCFRIV EHNWFETFIVFMILLSSGALAFEDIYIDQRKTIKTMLEYADKVFTYIFILEMLLKWVAYG YQTYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFEGMRD NLAPTWMGWSGGSSPQPFIMGGCECPVRSNSIHHECASGLPYILANFQHHGRKFVCWQIL PLC >ENSMUSP00000107985.1 pep:known chromosome:GRCm38:2:66270781:66410064:-1 gene:ENSMUSG00000064329.13 transcript:ENSMUST00000112366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn1a description:sodium channel, voltage-gated, type I, alpha [Source:MGI Symbol;Acc:MGI:98246] MEQTVLVPPGPDSFNFFTRESLAAIERRIAEEKAKNPKPDKKDDDENGPKPNSDLEAGKN LPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAIFRFSATSALYILTPFNPLRKI AIKILVHSLFSMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKIIARGFCL EDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGAL IQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVQWPPTNASLEEHSIEKNITMDY NGTLVNETVFEFDWKSYIQDSRYHYFLEGVLDALLCGNSSDAGQCPEGYMCVKAGRNPNY GYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILA VVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEAAQQAAATTASEHSREPSAAGRLS DSSSEASKLSSKSAKERRNRRKKRKQKEQSGGEEKDDDEFHKSESEDSIRRKGFRFSIEG NRLTYEKRYSSPHQSLLSIRGSLFSPRRNSRTSLFSFRGRAKDVGSENDFADDEHSTFED NESRRDSLFVPRRHGERRNSNLSQTSRSSRMLAVFPANGKMHSTVDCNGVVSLVGGPSVP TSPVGQLLPEVIIDKPATDDNGTTTETEMRKRRSSSFHVSMDFLEDPSQRQRAMSIASIL TNTVEELEESRQKCPPCWYKFSNIFLIWDCSPYWLKVKHIVNLVVMDPFVDLAITICIVL NTLFMAMEHYPMTEHFNHVLTVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIV TLSLVELGLANVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAII VFIFAVVGMQLFGKSYKDCVCKIATDCKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCM EVAGQAMCLTVFMMVMVIGNLVVLNLFLALLLSSFSADNLAATDDDNEMNNLQIAVDRMH KGIAYVKRKIYEFIQQSFVKKQKILDEIKPLDDLNNRKDNCISNHTTEIGKDLDCLKDVN GTTSGIGTGSSVEKYIIDESDYMSFINNPSLTVTVPIAVGESDFENLNTEDFSSESDLEE SKEKLNESSSSSEGSTVDIGAPAEEQPVIEPEETLEPEACFTEGCVQRFKCCQISVEEGR GKQWWNLRRTCFRIVEHNWFETFIVFMILLSSGALAFEDIYIDQRKTIKTMLEYADKVFT YIFILEMLLKWVAYGYQTYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRA LRPLRALSRFEGMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCVNTT TGDIFEISEVNNHSDCLKLIERNETARWKNVKVNFDNVGFGYLSLLQVATFKGWMDIMYA AVDSRNVELQPKYEESLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFM TEEQKKYYNAMKKLGSKKPQKPIPRPGNKFQGMVFDFVTRQVFDISIMILICLNMVTMMV ETDDQSDYVTSILSRINLVFIVLFTGECVLKLISLRHYYFTIGWNIFDFVVVILSIVGMF LAELIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLV MFIYAIFGMSNFAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSKPPD CDPNKVNPGSSVKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPL SEDDFEMFYEVWEKFDPDATQFMEFEKLSQFAAALEPPLNLPQPNKLQLIAMDLPMVSGD RIHCLDILFAFTKRVLGESGEMDALRIQMEERFMASNPSKVSYQPITTTLKRKQEEVSAV IIQRAYRRHLLKRTVKQASFTYNKNKLKGGANLLVKEDMLIDRINENSITEKTDLTMSTA ACPPSYDRVTKPIVEKHEQEGKDEKAKGK >ENSMUSP00000076697.5 pep:known chromosome:GRCm38:2:66270784:66351195:-1 gene:ENSMUSG00000064329.13 transcript:ENSMUST00000077489.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn1a description:sodium channel, voltage-gated, type I, alpha [Source:MGI Symbol;Acc:MGI:98246] MEQTVLVPPGPDSFNFFTRESLAAIERRIAEEKAKNPKPDKKDDDENGPKPNSDLEAGKN LPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAIFRFSATSALYILTPFNPLRKI AIKILVHSLFSMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKIIARGFCL EDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGAL IQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVQWPPTNASLEEHSIEKNITMDY NGTLVNETVFEFDWKSYIQDSRYHYFLEGVLDALLCGNSSDAGQCPEGYMCVKAGRNPNY GYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILA VVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEAAQQAAATTASEHSREPSAAGRLS DSSSEASKLSSKSAKERRNRRKKRKQKEQSGGEEKDDDEFHKSESEDSIRRKGFRFSIEG NRLTYEKRYSSPHQSLLSIRGSLFSPRRNSRTSLFSFRGRAKDVGSENDFADDEHSTFED NESRRDSLFVPRRHGERRNSNLSQTSRSSRMLAVFPANGKMHSTVDCNGVVSLVGGPSVP TSPVGQLLPEGTTTETEMRKRRSSSFHVSMDFLEDPSQRQRAMSIASILTNTVEELEESR QKCPPCWYKFSNIFLIWDCSPYWLKVKHIVNLVVMDPFVDLAITICIVLNTLFMAMEHYP MTEHFNHVLTVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVTLSLVELGLAN VEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQL FGKSYKDCVCKIATDCKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLTV FMMVMVIGNLVVLNLFLALLLSSFSADNLAATDDDNEMNNLQIAVDRMHKGIAYVKRKIY EFIQQSFVKKQKILDEIKPLDDLNNRKDNCISNHTTEIGKDLDCLKDVNGTTSGIGTGSS VEKYIIDESDYMSFINNPSLTVTVPIAVGESDFENLNTEDFSSESDLEESKEKLNESSSS SEGSTVDIGAPAEEQPVIEPEETLEPEACFTEGCVQRFKCCQISVEEGRGKQWWNLRRTC FRIVEHNWFETFIVFMILLSSGALAFEDIYIDQRKTIKTMLEYADKVFTYIFILEMLLKW VAYGYQTYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFE GMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCVNTTTGDIFEISEVN NHSDCLKLIERNETARWKNVKVNFDNVGFGYLSLLQVATFKGWMDIMYAAVDSRNVELQP KYEESLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAM KKLGSKKPQKPIPRPGNKFQGMVFDFVTRQVFDISIMILICLNMVTMMVETDDQSDYVTS ILSRINLVFIVLFTGECVLKLISLRHYYFTIGWNIFDFVVVILSIVGMFLAELIEKYFVS PTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSN FAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSKPPDCDPNKVNPGSS VKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFYEV WEKFDPDATQFMEFEKLSQFAAALEPPLNLPQPNKLQLIAMDLPMVSGDRIHCLDILFAF TKRVLGESGEMDALRIQMEERFMASNPSKVSYQPITTTLKRKQEEVSAVIIQRAYRRHLL KRTVKQASFTYNKNKLKGGANLLVKEDMLIDRINENSITEKTDLTMSTAACPPSYDRVTK PIVEKHEQEGKDEKAKGK >ENSMUSP00000092558.3 pep:known chromosome:GRCm38:2:66272885:66351177:-1 gene:ENSMUSG00000064329.13 transcript:ENSMUST00000094951.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn1a description:sodium channel, voltage-gated, type I, alpha [Source:MGI Symbol;Acc:MGI:98246] MEQTVLVPPGPDSFNFFTRESLAAIERRIAEEKAKNPKPDKKDDDENGPKPNSDLEAGKN LPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAIFRFSATSALYILTPFNPLRKI AIKILVHSLFSMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKIIARGFCL EDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGAL IQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVQWPPTNASLEEHSIEKNITMDY NGTLVNETVFEFDWKSYIQDSRYHYFLEGVLDALLCGNSSDAGQCPEGYMCVKAGRNPNY GYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILA VVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEAAQQAAATTASEHSREPSAAGRLS DSSSEASKLSSKSAKERRNRRKKRKQKEQSGGEEKDDDEFHKSESEDSIRRKGFRFSIEG NRLTYEKRYSSPHQSLLSIRGSLFSPRRNSRTSLFSFRGRAKDVGSENDFADDEHSTFED NESRRDSLFVPRRHGERRNSNLSQTSRSSRMLAVFPANGKMHSTVDCNGVVSLGTTTETE MRKRRSSSFHVSMDFLEDPSQRQRAMSIASILTNTVEELEESRQKCPPCWYKFSNIFLIW DCSPYWLKVKHIVNLVVMDPFVDLAITICIVLNTLFMAMEHYPMTEHFNHVLTVGNLVFT GIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVTLSLVELGLANVEGLSVLRSFRLLRVFK LAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKDCVCKIATDCK LPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLTVFMMVMVIGNLVVLNLFL ALLLSSFSADNLAATDDDNEMNNLQIAVDRMHKGIAYVKRKIYEFIQQSFVKKQKILDEI KPLDDLNNRKDNCISNHTTEIGKDLDCLKDVNGTTSGIGTGSSVEKYIIDESDYMSFINN PSLTVTVPIAVGESDFENLNTEDFSSESDLEESKEKLNESSSSSEGSTVDIGAPAEEQPV IEPEETLEPEACFTEGCVQRFKCCQISVEEGRGKQWWNLRRTCFRIVEHNWFETFIVFMI LLSSGALAFEDIYIDQRKTIKTMLEYADKVFTYIFILEMLLKWVAYGYQTYFTNAWCWLD FLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALLGAIPSIM NVLLVCLIFWLIFSIMGVNLFAGKFYHCVNTTTGDIFEISEVNNHSDCLKLIERNETARW KNVKVNFDNVGFGYLSLLQVATFKGWMDIMYAAVDSRNVELQPKYEESLYMYLYFVIFII FGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPGN KFQGMVFDFVTRQVFDISIMILICLNMVTMMVETDDQSDYVTSILSRINLVFIVLFTGEC VLKLISLRHYYFTIGWNIFDFVVVILSIVGMFLAELIEKYFVSPTLFRVIRLARIGRILR LIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKREVGIDDMFNFE TFGNSMICLFQITTSAGWDGLLAPILNSKPPDCDPNKVNPGSSVKGDCGNPSVGIFFFVS YIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFYEVWEKFDPDATQFMEFEKL SQFAAALEPPLNLPQPNKLQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDALRIQ MEERFMASNPSKVSYQPITTTLKRKQEEVSAVIIQRAYRRHLLKRTVKQASFTYNKNKLK GGANLLVKEDMLIDRINENSITEKTDLTMSTAACPPSYDRVTKPIVEKHEQEGKDEKAKG K >ENSMUSP00000144633.1 pep:known chromosome:GRCm38:2:66272892:66318139:-1 gene:ENSMUSG00000064329.13 transcript:ENSMUST00000156865.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn1a description:sodium channel, voltage-gated, type I, alpha [Source:MGI Symbol;Acc:MGI:98246] EFIQQSFVKKQKILDEIKPLDDLNNRKDNCISNHTTEIGKDLDCLKDVNGTTSGIGTGSS VEKYIIDESDYMSFINNPSLTVTVPIAVGESDFENLNTEDFSSESDLEESKEKLNESSSS SEGSTVDIGAPAEEQPVIEPEETLEPEACFTEGCVQRFKCCQISVEEGRGKQWWNLRRTC FRIVEHNWFETFIVFMILLSSGALAFEDIYIDQRKTIKTMLEYADKVFTYIFILEMLLKW VAYGYQTYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFE GMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCVNTTTGDIFEISEVN NHSDCLKLIERNETARWKNVKVNFDNVGFGYLSLLQVATFKGWMDIMYAAVDSRNVELQP KYEESLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAM KKLGSKKPQKPIPRPGGCFLRS >ENSMUSP00000116881.2 pep:known chromosome:GRCm38:2:66326064:66440840:-1 gene:ENSMUSG00000064329.13 transcript:ENSMUST00000138910.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn1a description:sodium channel, voltage-gated, type I, alpha [Source:MGI Symbol;Acc:MGI:98246] MEQTVLVPPGPDSFNFFTRESLAAIERRIAEEKAKNPKPDKKDDDENGPKPNSDLEAGKN LPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAIFRFSATSALYILTPFNPLRKI AIKILVHSLFSMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKIIARGFCL EDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGAL IQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVQWPPTNASLEEHSIEKNITMDY NGTLVNETVFEFDWKSYIQDSRYHYFLEGVLDALLCGNSSDAGQCPEGYMCVKAGRNPNY GYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILA VVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEAAQQAAATTASEHSREPSAAGRLS DSSSEASKLSSKSAKERRNR >ENSMUSP00000107990.2 pep:known chromosome:GRCm38:2:66270782:66440837:-1 gene:ENSMUSG00000064329.13 transcript:ENSMUST00000112371.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn1a description:sodium channel, voltage-gated, type I, alpha [Source:MGI Symbol;Acc:MGI:98246] MEQTVLVPPGPDSFNFFTRESLAAIERRIAEEKAKNPKPDKKDDDENGPKPNSDLEAGKN LPFIYGDIPPEMVSEPLEDLDPYYINKKTFIVLNKGKAIFRFSATSALYILTPFNPLRKI AIKILVHSLFSMLIMCTILTNCVFMTMSNPPDWTKNVEYTFTGIYTFESLIKIIARGFCL EDFTFLRDPWNWLDFTVITFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGAL IQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVQWPPTNASLEEHSIEKNITMDY NGTLVNETVFEFDWKSYIQDSRYHYFLEGVLDALLCGNSSDAGQCPEGYMCVKAGRNPNY GYTSFDTFSWAFLSLFRLMTQDFWENLYQLTLRAAGKTYMIFFVLVIFLGSFYLINLILA VVAMAYEEQNQATLEEAEQKEAEFQQMLEQLKKQQEAAQQAAATTASEHSREPSAAGRLS DSSSEASKLSSKSAKERRNRRKKRKQKEQSGGEEKDDDEFHKSESEDSIRRKGFRFSIEG NRLTYEKRYSSPHQSLLSIRGSLFSPRRNSRTSLFSFRGRAKDVGSENDFADDEHSTFED NESRRDSLFVPRRHGERRNSNLSQTSRSSRMLAVFPANGKMHSTVDCNGVVSLVGGPSVP TSPVGQLLPEGTTTETEMRKRRSSSFHVSMDFLEDPSQRQRAMSIASILTNTVEELEESR QKCPPCWYKFSNIFLIWDCSPYWLKVKHIVNLVVMDPFVDLAITICIVLNTLFMAMEHYP MTEHFNHVLTVGNLVFTGIFTAEMFLKIIAMDPYYYFQEGWNIFDGFIVTLSLVELGLAN VEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQL FGKSYKDCVCKIATDCKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLTV FMMVMVIGNLVVLNLFLALLLSSFSADNLAATDDDNEMNNLQIAVDRMHKGIAYVKRKIY EFIQQSFVKKQKILDEIKPLDDLNNRKDNCISNHTTEIGKDLDCLKDVNGTTSGIGTGSS VEKYIIDESDYMSFINNPSLTVTVPIAVGESDFENLNTEDFSSESDLEESKEKLNESSSS SEGSTVDIGAPAEEQPVIEPEETLEPEACFTEGCVQRFKCCQISVEEGRGKQWWNLRRTC FRIVEHNWFETFIVFMILLSSGALAFEDIYIDQRKTIKTMLEYADKVFTYIFILEMLLKW VAYGYQTYFTNAWCWLDFLIVDVSLVSLTANALGYSELGAIKSLRTLRALRPLRALSRFE GMRVVVNALLGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFYHCVNTTTGDIFEISEVN NHSDCLKLIERNETARWKNVKVNFDNVGFGYLSLLQVATFKGWMDIMYAAVDSRNVELQP KYEESLYMYLYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAM KKLGSKKPQKPIPRPGNKFQGMVFDFVTRQVFDISIMILICLNMVTMMVETDDQSDYVTS ILSRINLVFIVLFTGECVLKLISLRHYYFTIGWNIFDFVVVILSIVGMFLAELIEKYFVS PTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSN FAYVKREVGIDDMFNFETFGNSMICLFQITTSAGWDGLLAPILNSKPPDCDPNKVNPGSS VKGDCGNPSVGIFFFVSYIIISFLVVVNMYIAVILENFSVATEESAEPLSEDDFEMFYEV WEKFDPDATQFMEFEKLSQFAAALEPPLNLPQPNKLQLIAMDLPMVSGDRIHCLDILFAF TKRVLGESGEMDALRIQMEERFMASNPSKVSYQPITTTLKRKQEEVSAVIIQRAYRRHLL KRTVKQASFTYNKNKLKGGANLLVKEDMLIDRINENSITEKTDLTMSTAACPPSYDRVTK PIVEKHEQEGKDEKAKGK >ENSMUSP00000145242.1 pep:known chromosome:GRCm38:6:121732932:121789084:1 gene:ENSMUSG00000108022.1 transcript:ENSMUST00000204124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7298 description:predicted gene 7298 [Source:MGI Symbol;Acc:MGI:3648717] MGKSRWAQLCLFSVLLAFLTSASSLNGYSKYMVLVPSQLYTETPEKICLHLYHLNETVTI TASLVSQWGRRNLFNELVVDKDLFQCVSFIIPRFSSSDEEQFLYVDIKGPTHNFSKKEAV LVRNKESVVFVQTDKPVYKPGQSVKFRVVSMDKNLRPLNELFPLAYIEDPKKNRIMQWKD IKTENGLKQISFSLAAEPIQGPYKIVLQKQSGVKEKHSFNVMEFVLPRFDVALKVPNAIS VNDEVLSVTACAKYTYGKPVPGYVEISVCHETEAGCKEVNSQLDNNGCSTQEVNITELQP KKRHHRVQLFHVNATVTEEGTGLEFSRSGTTKIERTTNKLIFLKADSHFRHGIPFFVKVL LVDIKGDPIPNEKVFIKAQELGYTSATTTDQQGLAKFCIDTDGFSGSSLHIKVKHKEEDS YSHFYCMEERYASADHVAYAVSSLSKSYIYLDTETSSILPCNQIHTVQAHFILKWDFGVL KELVFYYLVMAQGSIIQTGNHTHQVEPGEAPVKGNFALEIPVEFSMVPLAKMLIYTILPD GEVIADSVNFEIEKCLRNKVDLSFSSSQSLPASQTRLQVTASPQSLCGLRAVDQSVLLLK PEAELSPSWIYNLPGMQHNKFISSSHLPEDQEDCILCGSCVAEKHTNSVPHGREKDVYRY VEDMGLMAFTNLKIKHPTFCFDYDMVPILAPRVEFDLAFSHENTGSLHTVLSKRPEETPR KDPPSNDPFTETIRKYFPETWVWDIVTVNSTGVAEVEMTVPDTITEWKAGALCLSNDTGL GLSSVAPLQVFQDFFVEVSFPYSVVRGEAFMLKATVMNYLPTSMQMSVQLQDSPDFTAVP VGDYQDSYCLSAKERYTSSWLVTPKSLGNVNFSVSAEAQQSPEPCGSEVATVPETGRKDT VVKFLIVEPEGIKIEHTFSSLLCASDAEISEKLSLVLPPTVVKDSARAHFSVMGDVLSPA IKNTQNLLHMPYGCGEQNMVLFAPNIYVLKYLNETHQLTQTIKTKALGFLRAGYQRELNY KHKDGSYSAFGDQNGEREGNTWLTAFVLKSFAQARAFIFIDESHITHSLTWLSQQQKDNG CFQSSGSLFNNAMKGGVDDEMTLSAYITMALLESSLPATHPVVSKALSCLELSWKTIEQE RNASFVYTKALMAYAFALVGNQDKRDEILKSLDEEAIKENNSIHWKRPQKPRKSEHHLYK PQASSAEVEMNAYVVLARLTAQPAPSPEDLTLSMSTIMWLTEQQNSNGGFSSTQDTVVAL DALSKYKAVTFSRSQKTTLVTIQSSGSFSQKFQVENSNRLLLQQVALPDIPGDYTMSVSG EGCVYAQTTLRYNIHLEKQPSAFALRVQTVPLTCNDPKGHNSFQISLEISYTGSRPASNM VIADVKMLSGFIPLKPTVKKLESLAPVRRTEVSSNNVLLYLDQVNSHTLAFSFIIQQDFL VRNLQPAMVKVYDYYETDEVAFAEYSNPCRTDKQNI >ENSMUSP00000083722.6 pep:known chromosome:GRCm38:1:39367842:39371911:1 gene:ENSMUSG00000073702.11 transcript:ENSMUST00000086535.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl31 description:ribosomal protein L31 [Source:MGI Symbol;Acc:MGI:2149632] MAPAKKGGEKKKGRSAINEVVTREYTINIHKRIHGVGFKKRAPRALKEIRKFAMKEMGTP DVRIDTRLNKAVWAKGIRNVPYRIRVRLSRKRNEDEDSPNKLYTLVTYVPVTTFKNLQTV NVDEN >ENSMUSP00000141808.1 pep:known chromosome:GRCm38:1:39367878:39371366:1 gene:ENSMUSG00000073702.11 transcript:ENSMUST00000194746.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl31 description:ribosomal protein L31 [Source:MGI Symbol;Acc:MGI:2149632] MAPAKKGGEKKKGRSAINEVVTREYTINIHKRIHGVGFKKRAPRALKEIRKFAMKEMGTP DVRIDTRLNKAVWAKGIRNVPYRIRVRLSRKRNEDEDSPNKLYTLVTYVPVTTFKSKYPS VPQELLYVR >ENSMUSP00000136354.1 pep:known chromosome:GRCm38:1:39367929:39371364:1 gene:ENSMUSG00000073702.11 transcript:ENSMUST00000178079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl31 description:ribosomal protein L31 [Source:MGI Symbol;Acc:MGI:2149632] MAPAKKGGEKKKGRSAINEVVTREYTINIHKRIHGVGFKKRAPRALKEIRKFAMKEMGTP DVRIDTRLNKAVWAKGIRNVPYRIRVRLSRKRNEDEDSPNKLYTLVTYVPVTTFKNLQTV NVDEN >ENSMUSP00000137631.1 pep:known chromosome:GRCm38:1:39367929:39371365:1 gene:ENSMUSG00000073702.11 transcript:ENSMUST00000179954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl31 description:ribosomal protein L31 [Source:MGI Symbol;Acc:MGI:2149632] MAPAKKGGEKKKGRSAINEVVTREYTINIHKRIHGVGFKKRAPRALKEIRKFAMKEMGTP DVRIDTRLNKAVWAKGIRNVPYRIRVRLSRKRNEDEDSPNKLYTLVTYVPVTTFKNLQTV NVDEN >ENSMUSP00000142039.1 pep:known chromosome:GRCm38:1:39368149:39371366:1 gene:ENSMUSG00000073702.11 transcript:ENSMUST00000195123.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl31 description:ribosomal protein L31 [Source:MGI Symbol;Acc:MGI:2149632] MAPAKKGGEKKKGRSAINEVVTREYTINIHKRIHGVGFKKRAPRALKEIRKFAMKEMGTP DVRIDTRLNKAVWAKGIRIPQTSSTRW >ENSMUSP00000057828.1 pep:known chromosome:GRCm38:3:154576431:154597095:-1 gene:ENSMUSG00000047583.9 transcript:ENSMUST00000052774.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyw3 description:tRNA-yW synthesizing protein 3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2445040] MDRSAEFGRWKAQSLSKADLSRKGSVDEDAVEVVELLNSREEFFTTSSCAGRILLLDGST EGSGVQKQHCCWLLVTHKPCARDDVMAALKGATSEAVLKFEPFILHVQCRTLQDAQTLHS VAIDSGFRNSGITVGKRGKTMLAVRGTHGLEVPLTHKGKLMVTEEYIEFLLTIANQKMEE NKRRIGRFYNYLQHALKRETISNSHSKIKERNNPLCTHKNRRSQGKAQGPSTTEDNGREL EDGDGLEISAALFLGDD >ENSMUSP00000114437.1 pep:known chromosome:GRCm38:3:154587555:154597104:-1 gene:ENSMUSG00000047583.9 transcript:ENSMUST00000122976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyw3 description:tRNA-yW synthesizing protein 3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2445040] MAAPMEKKAHSRAESRPQLARSLMDRSAEFGRWKAQSLSKADLSRKGSVDEDAVEVVELL NSREEFFTTSSCAGRILLLDGSTEGSGVQKQHCCWLLVTHKPCARDDVMAALKGATSEAV LKFEPFILHVQCRTLQDAQTLAVRGTHGLEVPLTHKGKL >ENSMUSP00000131461.1 pep:known chromosome:GRCm38:3:154576520:154597098:-1 gene:ENSMUSG00000047583.9 transcript:ENSMUST00000170461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyw3 description:tRNA-yW synthesizing protein 3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2445040] MDRSAEFGRWKAQSLSKADLSRKGSVDEDAVEVVELLNSREEFFTTSSCAGRILLLDGST EGSGVQKQHCCWLLVTHKPCARDDVMAALKGATSEAVLKFEPFILHVQCRTLQDAQTLHS VAIDSGFRNSGITVGKRGKTMLVL >ENSMUSP00000026173.6 pep:known chromosome:GRCm38:11:121327224:121354445:-1 gene:ENSMUSG00000025173.13 transcript:ENSMUST00000026173.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45b description:WD repeat domain 45B [Source:MGI Symbol;Acc:MGI:1914090] MNLLPCNPHGNGLLYAGFNQDHGCFACGMENGFRVYNTDPLKEKEKQEFLEGGVGHVEML FRCNYLALVGGGKKPKYPPNKVMIWDDLKKKTVIEIEFSTEVKAVKLRRDRIVVVLDSMI KVFTFTHNPHQLHVFETCYNPKGLCVLCPNSNNSLLAFPGTHTGHVQLVDLASTEKPPVD IPAHEGVLSCIALNLQGTRIATASEKGTLIRIFDTSSGHLIQELRRGSQAANIYCINFNQ DASLICVSSDHGTVHIFAAEDPKRNKQSSLASASFLPKYFSSKWSFSKFQVPSGSPCICA FGTEPNAVIAICADGSYYKFLFSPKGECVRDVCAQFLEMTDDKL >ENSMUSP00000101716.3 pep:known chromosome:GRCm38:11:121331093:121354341:-1 gene:ENSMUSG00000025173.13 transcript:ENSMUST00000106110.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45b description:WD repeat domain 45B [Source:MGI Symbol;Acc:MGI:1914090] MNLLPCNPHGNGLLYAGFNQDHGCFACGMENGFRVYNTDPLKEKEKQEFLEGGVGHVEML FRCNYLALVGGGKKPKYPPNKVMIWDDLKKKTVIEIEFSTEVKAVKLRRDRIVVVLDSMI KVFTFTHNPHQLHVFETCYNPKGLCVLCPNSNNSLLAFPGTHTGHVQLVDLASTEKPPVD IPAHEGVLSCIALNLQGTRIATASEKSLRRPHRVYLVL >ENSMUSP00000119591.1 pep:known chromosome:GRCm38:11:121335384:121354393:-1 gene:ENSMUSG00000025173.13 transcript:ENSMUST00000136797.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr45b description:WD repeat domain 45B [Source:MGI Symbol;Acc:MGI:1914090] MNLLPCNPHGNGLLYAGFNQDHGCFACGMENGFRVYNTDPLKEKEKQVMIWDDLKKKTVI EIEFSTEVKAVKLRRDRIVVVLDSMIKVFTFTHNPHQLHVFETCYNPKGLCVLCPNSNNS LLAFPGTHTGHVQLVDLASTEKPPVDIPAHEGVLSCIALNLQGTRIATASEK >ENSMUSP00000087304.5 pep:known chromosome:GRCm38:6:58932090:59024549:-1 gene:ENSMUSG00000037709.13 transcript:ENSMUST00000089860.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam13a description:family with sequence similarity 13, member A [Source:MGI Symbol;Acc:MGI:1889842] MACEIMPLRSSQEDERPLSPFYLSAHVSQVSNVSTTGELLERTIRSAVEEHLFDVSNAGD QSSEDSEPGPSSASSIPTRQRGHQFKKQDDVWHGCDKELINKENIPSGFSGCAECILNSQ EAERFQDDICDYVGERSKPKRQKSSSRLAKLSDNHDGALSMESLSSMQSQETLEPEAAEP LSSESKEIERGGRDTQHCENPTMKIQEHPSLSDTKQQRNQDGEDQQESFVPDMPQLDLTA LCDEKTWEEPIPSWQPENADSDEARLSPQAGRLIHQFLDEDSDPMLSPRFYAYGQSRQYL DDTEVPPSPPNSHSFMRRRSSSLGSYDDEQEDLTPVQLTRRIQTLKKKIRKFEDRFEEER KYRPSHSDKAANPEVLKWTNDLAKFRKQLKESKLKISEEDLTPRTRQRSNTLPKSFGSQL EKEDEKKQELLDKAIRPSVEATLEGILRKLQEKRVESSRPEDIKDMTKDQIANEKVALQK ALLYYESIHGRPVTKTERQIMKPLYDRYRLVKQILSRASTVPIIGSPSSKRRSPSLQPII EGETASFFKEIKEQEEGSEDDSSTKPDFAVTLKTDCSAHCFLDQLEDDADGFISPMDDKM PSKCSQDSGLSNLHSASIPELLEYLQEMREEKKMIRKKLHDFEDNFFRQNGRNVQKEDRT PMAEEYNEYKHIKAKLRLLEVLISKRDSDSKSM >ENSMUSP00000134135.1 pep:known chromosome:GRCm38:6:58935585:59024340:-1 gene:ENSMUSG00000037709.13 transcript:ENSMUST00000173193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam13a description:family with sequence similarity 13, member A [Source:MGI Symbol;Acc:MGI:1889842] MACEIMPLRSSQEDERPLSPFYLSAHVSQVSNVSTTGELLERTIRSAVEEHLFDVSNAGD QSSEDSEPGPSSASSIPTRQRGHQFKKQDDVWHGCDKELINKENIPSGFSGCAECILNSQ EAERFQDDICDYVGERSKPKRQKSSSRLAKLSDNHDGALSMESLSSMQSQETLEPEAAEP LSSESKEIERGGRDTQHCENPTMKIQEHPSLSDTKQQRNQDGEDQQESFVPDMPQLDLTA LCDEKTWEEPIPSWQPENADSDEARLSPQAGRLIHQFLDEDSDPMLSPRFYAYGQSRQYL DDTEVPPSPPNSHSFMRRRSSSLGSYDDEQEDLTPVQLTRRIQTLKKKIRKFEDRFEEER KYRPSHSDKAANPEVLKWTNDLAKFRKQLKESKLKISEEDLTPRTRQRSNTLPKSFGSQL EKEDEKKQELLDKAIRPSVEATLEGILRKLQEKRVESSRPEDIKDMTKDQIANEKVALQK ALLYYESIHGRPVTKTERQIMKPLYDRYRLVKQILSRASTVPIIEQEEGSEDDSSTKPDF AVTLKTDCSAHCFLDQLEDDADGFISPMDDKMPSKCSQDSGLSNLHSASIPELLEYLQEM REEKKMIRKKLHDFEDNFFRQNGRNVQKEDRTPMAEEYNEYKHIKAKLRLLEVLISKRDS DSKSM >ENSMUSP00000027396.8 pep:known chromosome:GRCm38:1:75171717:75180392:-1 gene:ENSMUSG00000026198.15 transcript:ENSMUST00000027396.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb6 description:ATP-binding cassette, sub-family B (MDR/TAP), member 6 [Source:MGI Symbol;Acc:MGI:1921354] MVTVGNYCETEGPAGPAWTQNGLSPCFFFTLVPSTLLTLGVLALVLVLPRRRREVPAGPE ELSWAAGPRVAPYVLQLFLATLQMALPLAGLAGRVGTARGVRLPGYLLLASVLESLASVC GLWLLVVERSQARQSLAMGVWMKFRHSLGLLLLWTVTFAAENLALVSWNSPQWWWARADL GQQVQFGLWVLRYVTSGGLFILGLWAPGLRPQSYTLHVHEEDQDVGGNQGRSTDRRSTWR DLGRKLRLLSSYLWPRGSPSLQLIVLICLGLMGLERALNVLVPIFYRDIVNLLTAKAPWS SLAWTVTTYVFLKFLQGGGTGSTGFVSNLRTFLWIRVQQFTSRGVELRLFSHLHELSLRW HLGRRTGEVLRIVDRGTSSVTGLLSYLVFSIIPTLADIIIGIIYFSMFFNAWFGLIVFLC MSLYLILTIVVTEWRAKFRRDMNTQENATRARAVDSLLNFETVKYYGAEGYEVDRYREAI LKFQGLEWKSTASLVVLNQTQNLVIGLGLLAGSLLCAYFVSEQKLQVGDFVLFGTYITQL YMPLNWFGTYYRMIQTNFIDMENMFDLLKEETEVKDVPGAGPLRFHKGRIEFENVHFSYA DGQETLQDVSFTVMPGQTVALVGPSGAGKSTILRLLFRFYDISSGCIRIDGQDISQVTQI SLRSHIGVVPQDTVLFNDTIANNIRYGRVTAGDSEVEAAAQAAGIHDAILSFPEGYETQV GERGLKLSGGEKQRVAIARTILKAPDIILLDEATSALDTSNERAIQASLAKVCTNRTTIV IAHRLSTVVNADQILVIKDGCIIERGRHEALLSRGGVYAEMWQLQQQGQETVPEESKPQD TA >ENSMUSP00000124630.1 pep:known chromosome:GRCm38:1:75171758:75172869:-1 gene:ENSMUSG00000026198.15 transcript:ENSMUST00000161215.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb6 description:ATP-binding cassette, sub-family B (MDR/TAP), member 6 [Source:MGI Symbol;Acc:MGI:1921354] VTAGDSEVEAAAQAAGIHDAILSFPEVCTNRTTIVIAHRLSTVVNADQILVIKDGCIIER GRHEALLSRGGVYAEMWQLQQQGQETVPEESKPQDTA >ENSMUSP00000134905.1 pep:known chromosome:GRCm38:10:52045721:52194956:-1 gene:ENSMUSG00000019893.11 transcript:ENSMUST00000177378.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ros1 description:Ros1 proto-oncogene [Source:MGI Symbol;Acc:MGI:97999] MKNICWLTLKLVKFVVLGCIIWISVAQSTVLSSCLTSCVTNLGRQLDSGTRYNLSEACIH GCQFWNSVDQETCALKCNDTYATICERESCEVGCSNAEGSYEEEVLESTELPTAPFASSI GSHGVTLRWNPANISGVKYIIQWKYAQLPGSWTFTETVSKLSYTVEPLHPFTEYIFRVVW IFTAQLHLYSPPSPSYRTHPYGVPETAPLILNMESWSPDTVEVSWAPPHFPGGPILGYNL RLISKNQKLDSGTQRTSFQFYSTLPNTTYRFSIAAVNEVGEGPEAESTVTTPSPSVQEEE QWLFLSRKTSLRKRSLKYLVDEAHCLWSDAIHHNITGISVYAQQQVVYFSEGTVIWMKGA ANMSDVSDLRIFYQGSGLVSSISIDWLYQRMYFIMDKLVYVCELKNCSNLEEITPFSLIA PQKVVVDSYNGDTKAVRIVESGTLKDFAVKPQSKRIIYFNDTMQLFMSTFLDGSAFHRVL PWVPLVTVKSFACENNDFLITDGKAIFQQDSLSFNEFIVGCDLSHIEEFGFGNLVIFGSS VQSYPLPGHPQEVSVLFGSREALIQWTPPALAIGASPSAWQNWTYEVKVYSQDILEITQV FSNISGTMLNVPELQSSTKYTVSVRASSPKGPGPWSAPSVGTTLVPATEPPFIMAVKEDG LWSKPLCSFGPGEFLSSDVGNVSDMDWYNNSLYYSDTKGNVYVRPLNGMDISENYHIPSI VGAGALAFEWLGHFLYWAGKTYVIQRQSVLTGHTDIVTHVKLLVNDMAVDSVGGYLYWTT LYSVESTRLNGESSLVLQAQPWLSGKKVIALTLDLSDGLLYWLVQDNQCIHLYTAVLRGW SGGDATITEFAAWSTSEISQNALMYYSGRLFWINGFRIITAQEIGQRTSVSVSEPAKFNQ FTIIQTSLKPLPGNFSSTPKVIPDPVQESSFRIEGHTSSFQILWNEPPAVDWGIVFYSVE FSTHSKFLIIEQQSLPIFTVEGLEPYTLFNLSVTPYTYWGKGQKTSLSFRAPESVPSAPE NPRIFILSSGRYTKKNEVVVEFRWNKPKHENGVLTKFEIFYHISKQSGTNRSTEDWMSAS VIPPVMSFQLEAVSPEYTVAFQVRVFTSKGPGPFSDIVMSKTSEIKPCPYLISLLGNKIV FLDMDQNQVLWTFSLEGDVSTVGYTTDDEMGYFAQGDTLFLLNLRNHSSSKLFQDALVSD IRVIAVDWIARHLYFALKASQNGTQIFNVDLEHKVKSPREVKTCKAHTTIISFSIYPLLS RLYWTEVSDLGHQMFYCNISNHTSQHVLQPKASNQHGRSQCSCNVTESELSGAMTVDTSD PDRPWIYFTKRQEIWAMDLEGCQCWKVIMVPTIPGKRIISLTVDGEFIYWIMKTKDDAQI YQAKKGSGAILSQVKASRSKHILAYSSALQPFPDKAYLSLASDMVEATILYATNTSLTLK LPPVKTNLTWHGITHPTSTYLIYYMEANRANSSDRRHKMLESQENVARIEGLQPFSMYMI QIAVKNYYSEPLEHLPLGKEIQGQTKSGVPGAVCHINATVLSDTSLHVFWTESHKPNGPK ESVRYQLVMSYLAPIPETPLRQGEFPSAKLSLLITKLSGGQLYVMKVLACHPEEMWCTES HPVSVNMFDTPEKPSALVPENTSLQLDWKARSNVNLTGFWFELQKWKYNEFYHVKASCSQ GPVYVCNITDLQPYTSYNIRVVVVYTTGENSSSIPESFKTKAGVPSKPGIPKLLEGSKNS IQWEKAEDNGSRLMYYTLEVRKGISNDSQNQSSRWKVVFNGSCSSICTWRSKNLKGTFQF RAVAANEIGLGEYSEISEDITLVEDGVWITETSFILTIIVGIFLVATVPLTFVCH >ENSMUSP00000020045.3 pep:known chromosome:GRCm38:10:52045926:52195244:-1 gene:ENSMUSG00000019893.11 transcript:ENSMUST00000020045.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ros1 description:Ros1 proto-oncogene [Source:MGI Symbol;Acc:MGI:97999] MKNICWLTLKLVKFVVLGCIIWISVAQSTVLSSCLTSCVTNLGRQLDSGTRYNLSEACIH GCQFWNSVDQETCALKCNDTYATICERESCEVGCSNAEGSYEEEVLESTELPTAPFASSI GSHGVTLRWNPANISGVKYIIQWKYAQLPGSWTFTETVSKLSYTVEPLHPFTEYIFRVVW IFTAQLHLYSPPSPSYRTHPYGVPETAPLILNMESWSPDTVEVSWAPPHFPGGPILGYNL RLISKNQKLDSGTQRTSFQFYSTLPNTTYRFSIAAVNEVGEGPEAESTVTTPSPSVQEEE QWLFLSRKTSLRKRSLKYLVDEAHCLWSDAIHHNITGISVYAQQQVVYFSEGTVIWMKGA ANMSDVSDLRIFYQGSGLVSSISIDWLYQRMYFIMDKLVYVCELKNCSNLEEITPFSLIA PQKVVVDSYNGYLFYLLRDGIYRVNLPLPSGRDTKAVRIVESGTLKDFAVKPQSKRIIYF NDTMQLFMSTFLDGSAFHRVLPWVPLVTVKSFACENNDFLITDGKAIFQQDSLSFNEFIV GCDLSHIEEFGFGNLVIFGSSVQSYPLPGHPQEVSVLFGSREALIQWTPPALAIGASPSA WQNWTYEVKVYSQDILEITQVFSNISGTMLNVPELQSSTKYTVSVRASSPKGPGPWSAPS VGTTLVPATEPPFIMAVKEDGLWSKPLCSFGPGEFLSSDVGNVSDMDWYNNSLYYSDTKG NVYVRPLNGMDISENYHIPSIVGAGALAFEWLGHFLYWAGKTYVIQRQSVLTGHTDIVTH VKLLVNDMAVDSVGGYLYWTTLYSVESTRLNGESSLVLQAQPWLSGKKVIALTLDLSDGL LYWLVQDNQCIHLYTAVLRGWSGGDATITEFAAWSTSEISQNALMYYSGRLFWINGFRII TAQEIGQRTSVSVSEPAKFNQFTIIQTSLKPLPGNFSSTPKVIPDPVQESSFRIEGHTSS FQILWNEPPAVDWGIVFYSVEFSTHSKFLIIEQQSLPIFTVEGLEPYTLFNLSVTPYTYW GKGQKTSLSFRAPESVPSAPENPRIFILSSGRYTKKNEVVVEFRWNKPKHENGVLTKFEI FYHISKQSGTNRSTEDWMSASVIPPVMSFQLEAVSPEYTVAFQVRVFTSKGPGPFSDIVM SKTSEIKPCPYLISLLGNKIVFLDMDQNQVLWTFSLEGDVSTVGYTTDDEMGYFAQGDTL FLLNLRNHSSSKLFQDALVSDIRVIAVDWIARHLYFALKASQNGTQIFNVDLEHKVKSPR EVKTCKAHTTIISFSIYPLLSRLYWTEVSDLGHQMFYCNISNHTSQHVLQPKASNQHGRS QCSCNVTESELSGAMTVDTSDPDRPWIYFTKRQEIWAMDLEGCQCWKVIMVPTIPGKRII SLTVDGEFIYWIMKTKDDAQIYQAKKGSGAILSQVKASRSKHILAYSSALQPFPDKAYLS LASDMVEATILYATNTSLTLKLPPVKTNLTWHGITHPTSTYLIYYMEANRANSSDRRHKM LESQENVARIEGLQPFSMYMIQIAVKNYYSEPLEHLPLGKEIQGQTKSGVPGAVCHINAT VLSDTSLHVFWTESHKPNGPKESVRYQLVMSYLAPIPETPLRQGEFPSAKLSLLITKLSG GQLYVMKVLACHPEEMWCTESHPVSVNMFDTPEKPSALVPENTSLQLDWKARSNVNLTGF WFELQKWKYNEFYHVKASCSQGPVYVCNITDLQPYTSYNIRVVVVYTTGENSSSIPESFK TKAGVPSKPGIPKLLEGSKNSIQWEKAEDNGSRLMYYTLEVRKGISNDSQNQSSRWKVVF NGSCSSICTWRSKNLKGTFQFRAVAANEIGLGEYSEISEDITLVEDGVWITETSFILTII VGIFLVATVPLTFVWHRSLKSHKASKEGLSVLNDNDKELAELRGLAAGVGLANACYAVHT VPTQEEIENLPAFPREKLSLRLLLGSGAFGEVYEGTAIDILGVGSGEIKVAVKTLKKGST DQEKIEFLKEAHLMSKFNHPNILKQLGVCLLGEPQYIILELMEGGDLLSYLRKARGTTFH GPSLTLLDLVELCVDISKGCVYLEQMHFIHRDLAARNCLVSVKDYTSPRVVKIGDFGLAR EIYKNDYYRKRGEGLLPVRWMAPENLMDGIFTSQSDVWSFGILVWEILTLGHQPYPAHSN LDVLNYVQAGGRLEPPRNCPDDLWNLMSQCWAQEPDQRPTFHNIQNQLQLFRNVFLNNVS HCGEAAPTGGVINKGFEGEDDEMVTLNSDDTMPVALMETKNQEGLNYMVLATKCSQGEGS YEGPLGPKELGSCDLKKDKKQPQADKDFCQEPQVAYGSPGLSEGLNYACLAHSEHGDVSE >ENSMUSP00000112873.2 pep:known chromosome:GRCm38:10:52046016:52194956:-1 gene:ENSMUSG00000019893.11 transcript:ENSMUST00000117992.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ros1 description:Ros1 proto-oncogene [Source:MGI Symbol;Acc:MGI:97999] MKNICWLTLKLVKFVVLGCIIWISVAQSTVLSSCLTSCVTNLGRQLDSGTRYNLSEACIH GCQFWNSVDQETCALKCNDTYATICERESCEVGCSNAEGSYEEEVLESTELPTAPFASSI GSHGVTLRWNPANISGVKYIIQWKYAQLPGSWTFTETVSKLSYTVEPLHPFTEYIFRVVW IFTAQLHLYSPPSPSYRTHPYGVPETAPLILNMESWSPDTVEVSWAPPHFPGGPILGYNL RLISKNQKLDSGTQRTSFQFYSTLPNTTYRFSIAAVNEVGEGPEAESTVTTPSPSVQEEE QWLFLSRKTSLRKRSLKYLVDEAHCLWSDAIHHNITGISVYAQQQVVYFSEGTVIWMKGA ANMSDVSDLRIFYQGSGLVSSISIDWLYQRMYFIMDKLVYVCELKNCSNLEEITPFSLIA PQKVVVDSYNGDTKAVRIVESGTLKDFAVKPQSKRIIYFNDTMQLFMSTFLDGSAFHRVL PWVPLVTVKSFACENNDFLITDGKAIFQQDSLSFNEFIVGCDLSHIEEFGFGNLVIFGSS VQSYPLPGHPQEVSVLFGSREALIQWTPPALAIGASPSAWQNWTYEVKVYSQDILEITQV FSNISGTMLNVPELQSSTKYTVSVRASSPKGPGPWSAPSVGTTLVPATEPPFIMAVKEDG LWSKPLCSFGPGEFLSSDVGNVSDMDWYNNSLYYSDTKGNVYVRPLNGMDISENYHIPSI VGAGALAFEWLGHFLYWAGKTYVIQRQSVLTGHTDIVTHVKLLVNDMAVDSVGGYLYWTT LYSVESTRLNGESSLVLQAQPWLSGKKVIALTLDLSDGLLYWLVQDNQCIHLYTAVLRGW SGGDATITEFAAWSTSEISQNALMYYSGRLFWINGFRIITAQEIGQRTSVSVSEPAKFNQ FTIIQTSLKPLPGNFSSTPKVIPDPVQESSFRIEGHTSSFQILWNEPPAVDWGIVFYSVE FSTHSKFLIIEQQSLPIFTVEGLEPYTLFNLSVTPYTYWGKGQKTSLSFRAPESVPSAPE NPRIFILSSGRYTKKNEVVVEFRWNKPKHENGVLTKFEIFYHISKQSGTNRSTEDWMSAS VIPPVMSFQLEAVSPEYTVAFQVRVFTSKGPGPFSDIVMSKTSEIKPCPYLISLLGNKIV FLDMDQNQVLWTFSLEGDVSTVGYTTDDEMGYFAQGDTLFLLNLRNHSSSKLFQDALVSD IRVIAVDWIARHLYFALKASQNGTQIFNVDLEHKVKSPREVKTCKAHTTIISFSIYPLLS RLYWTEVSDLGHQMFYCNISNHTSQHVLQPKASNQHGRSQCSCNVTESELSGAMTVDTSD PDRPWIYFTKRQEIWAMDLEGCQCWKVIMVPTIPGKRIISLTVDGEFIYWIMKTKDDAQI YQAKKGSGAILSQVKASRSKHILAYSSALQPFPDKAYLSLASDMVEATILYATNTSLTLK LPPVKTNLTWHGITHPTSTYLIYYMEANRANSSDRRHKMLESQENVARIEGLQPFSMYMI QIAVKNYYSEPLEHLPLGKEIQGQTKSGVPGAVCHINATVLSDTSLHVFWTESHKPNGPK ESVRYQLVMSYLAPIPETPLRQGEFPSAKLSLLITKLSGGQLYVMKVLACHPEEMWCTES HPVSVNMFDTPEKPSALVPENTSLQLDWKARSNVNLTGFWFELQKWKYNEFYHVKASCSQ GPVYVCNITDLQPYTSYNIRVVVVYTTGENSSSIPESFKTKAGVPSKPGIPKLLEGSKNS IQWEKAEDNGSRLMYYTLEVRKGISNDSQNQSSRWKVVFNGSCSSICTWRSKNLKGTFQF RAVAANEIGLGEYSEISEDITLVEDGVWITETSFILTIIVGIFLVATVPLTFVWHRSLKS HKASKEGLSVLNDNDKELAELRGLAAGVGLANACYAVHTVPTQEEIENLPAFPREKLSLR LLLGSGAFGEVYEGTAIDILGVGSGEIKVAVKTLKKGSTDQEKIEFLKEAHLMSKFNHPN ILKQLGVCLLGEPQYIILELMEGGDLLSYLRKARGTTFHGPSLTLLDLVELCVDISKGCV YLEQMHFIHRDLAARNCLVSVKDYTSPRVVKIGDFGLAREIYKNDYYRKRGEGLLPVRWM APENLMDGIFTSQSDVWSFGILVWEILTLGHQPYPAHSNLDVLNYVQAGGRLEPPRNCPD DLWNLMSQCWAQEPDQRPTFHNIQNQLQLFRNVFLNNVSHCGEAAPTGGVINKGFEGEDD EMVTLNSDDTMPVALMETKNQEGLNYMVLATKCSQGEGSYEGPLGPKELGSCDLKKDKKQ PQADKDFCQEPQVAYGSPGLSEGLNYACLAHSEHGDVSE >ENSMUSP00000135235.1 pep:known chromosome:GRCm38:10:52046016:52194956:-1 gene:ENSMUSG00000019893.11 transcript:ENSMUST00000175892.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ros1 description:Ros1 proto-oncogene [Source:MGI Symbol;Acc:MGI:97999] MKNICWLTLKLVKFVVLGCIIWISVAQSTVLSSCLTSCVTNLGRQLDSGTRYNLSEACIH GCQFWNSVDQETCALKCRESCEVGCSNAEGSYEEEVLESTELPTAPFASSIGSHGVTLRW NPANISGVKYIIQWKYAQLPGSWTFTETVSKLSYTVEPLHPFTEYIFRVVWIFTAQLHLY SPPSPSYRTHPYGVPETAPLILNMESWSPDTVEVSWAPPHFPGGPILGYNLRLISKNQKL DSGTQRTSFQFYSTLPNTTYRFSIAAVNEVGEGPEAESTVTTPSPSVQEEEQWLFLSRKT SLRKRSLKYLVDEAHCLWSDAIHHNITGISVYAQQQVVYFSEGTVIWMKGAANMSDVSDL RIFYQGSGLVSSISIDWLYQRMYFIMDKLVYVCELKNCSNLEEITPFSLIAPQKVVVDSY NGYLFYLLRDGIYRVNLPLPSGRDTKAVRIVESGTLKDFAVKPQSKRIIYFNDTMQLFMS TFLDGSAFHRVLPWVPLVTVKSFACENNDFLITDGKVLPPGRTGLMKSKFIPRTSLKSLK FSRT >ENSMUSP00000052838.2 pep:known chromosome:GRCm38:1:172376546:172384099:1 gene:ENSMUSG00000050229.3 transcript:ENSMUST00000052455.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigm description:phosphatidylinositol glycan anchor biosynthesis, class M [Source:MGI Symbol;Acc:MGI:1914806] MSYPMHWGEWILNFRVPPAGVFGVAFLARVALVFYGVFQDRTLLVRYTDIDYHVFTDAAR FVTEGRSPYLRATYRYTPLLSWLLTPNVYLSELFGKFLFISCDLLTAFLLYRLLLLKGLG RRQACGYCVFWLLNPLPMAVSSRGNADSIVASLVLSTLYFIEKRLIACAAVFYGFAVHMK MYPVTYILPIALHLRPERDDDERLRQARFSFQARLYDFLRRLCSWAVLLFVAVAGLTFVA LSFGFYYKYGWEFLEHTYFYHLTRRDIRHNFSPYFYMLYLTAESKWSFTLGIAAFLPQFI LLSAASFAYYRDLVFCCFLHTSIFVTFNKVCTSQYFLWYLCLLPLVMPLVRMPWKRAVVL LLFWFIGQALWLAPAYVLEFQGKNTFLFIWLAGLFFLLINCSILIQIISHYKEDRLTERI KYD >ENSMUSP00000062392.4 pep:known chromosome:GRCm38:11:107933387:108343928:-1 gene:ENSMUSG00000050965.14 transcript:ENSMUST00000059595.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkca description:protein kinase C, alpha [Source:MGI Symbol;Acc:MGI:97595] MADVYPANDSTASQDVANRFARKGALRQKNVHEVKDHKFIARFFKQPTFCSHCTDFIWGF GKQGFQCQVCCFVVHKRCHEFVTFSCPGADKGPDTDDPRSKHKFKIHTYGSPTFCDHCGS LLYGLIHQGMKCDTCDMNVHKQCVINVPSLCGMDHTEKRGRIYLKAEVTDEKLHVTVRDA KNLIPMDPNGLSDPYVKLKLIPDPKNESKQKTKTIRSTLNPQWNESFTFKLKPSDKDRRL SVEIWDWDRTTRNDFMGSLSFGVSELMKMPASGWYKLLNQEEGEYYNVPIPEGDEEGNME LRQKFEKAKLGPAGNKVISPSEDRKQPSNNLDRVKLTDFNFLMVLGKGSFGKVMLADRKG TEELYAIKILKKDVVIQDDDVECTMVEKRVLALLDKPPFLTQLHSCFQTVDRLYFVMEYV NGGDLMYHIQQVGKFKEPQAVFYAAEISIGLFFLHKRGIIYRDLKLDNVMLDSEGHIKIA DFGMCKEHMMDGVTTRTFCGTPDYIAPEIIAYQPYGKSVDWWAYGVLLYEMLAGQPPFDG EDEDELFQSIMEHNVSYPKSLSKEAVSICKGLMTKHPAKRLGCGPEGERDVREHAFFRRI DWEKLENREIQPPFKPKVCGKGAENFDKFFTRGQPVLTPPDQLVIANIDQSDFEGFSYVN PQFVHPILQSAV >ENSMUSP00000097875.3 pep:known chromosome:GRCm38:11:107938177:107979639:-1 gene:ENSMUSG00000050965.14 transcript:ENSMUST00000100302.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkca description:protein kinase C, alpha [Source:MGI Symbol;Acc:MGI:97595] MKRQVQMQSQLWKAREGFYAAEISIGLFFLHKRGIIYRDLKLDNVMLDSEGHIKIADFGM CKEHMMDGVTTRTFCGTPDYIAPEIIAYQPYGKSVDWWAYGVLLYEMLAGQPPFDGEDED ELFQSIMEHNVSYPKSLSKEAVSICKGLMTKHPAKRLGCGPEGERDVREHAFFRRIDWEK LENREIQPPFKPKVCGKGAENFDKFFTRGQPVLTPPDQLVIANIDQSDFEGFSYVNPQFV HPILQSAV >ENSMUSP00000039110.4 pep:known chromosome:GRCm38:6:67266624:67297736:1 gene:ENSMUSG00000036371.6 transcript:ENSMUST00000042990.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serbp1 description:serpine1 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1914120] MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQ TNSNAAGKQLRKESQKDRKNPLPPSVGVADKKEETQPPVALKKEGIRRVGRRPDQQLQGD GKLIDRRAERRPPRERRFEKPLEEKGEGGEFSVDRPIIERPIRGRGGLGRGRGGRGRGMG RGDGFDSRGKREFDRHSGSDRSSFSHYSGLKHEDKRGGSGSHNWGTVKDELTESPKYIQK QISYNCSDLDQSNVTEETPEGEEHPVADTENKENEVEEVKEEGPKEMTLDEWKAIQNKDR AKVEFNIRKPNEGADGQWKKGFVLHKSKSEEAHAEDSVMDHHFRKPANDITSQLEINFGD LGRPGRGGRGGRGGRGRGGRPNRGSRTDKSSASAPDVDDPEAFPALA >ENSMUSP00000144713.1 pep:known chromosome:GRCm38:6:67266983:67284306:1 gene:ENSMUSG00000036371.6 transcript:ENSMUST00000203436.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serbp1 description:serpine1 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1914120] MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQ TNSNAAGKQLRKESQKDRKNPLPPSVGVADKKEETQPPVALKKEGIRRVGRRPDQQLQGD GKLIDRRAERRPPRERRFEKPLEEKGEGGEFSVDRPIIERPIRGRGGLGRGRGGRGRGMG RGDGFDSRGKREFDRHSGSDRSGLKHEDKRGGSGSHNWGTVKDELTESPKYIQKQISYNC SDLDQSNVTEETPEGEEHPVADTENKENEVEEVKEEGPKEMTLDEWKAIQNKDRAKVEFN IRKPNEGADGQWKKGFVLHKSKSEEAHAEDSVMDHHFRKPANDITSQLEINFGDLGRPGR GGRGGRGGRGRGGRPNRGSRTDKSSASAPDVDDPEAFPALA >ENSMUSP00000144933.1 pep:known chromosome:GRCm38:6:67267005:67284066:1 gene:ENSMUSG00000036371.6 transcript:ENSMUST00000205106.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serbp1 description:serpine1 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1914120] MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQ TNSNAAGKQLRKESQKDRKNPLPPSVGVADKKEETQPPVALKKEGIRRVGRRPDQQLQGD GKLIDRRAERRPPRERRFEKPLEEKGEGGEFSVDRPIIERPIRGRGGLGRGRGGRGRGMG RGDGFDSRGKREFDRHSGSDRSDLDQSNVTEETPEGEEHPVADTENKENEVEEVKEEGPK EMTLDEWKAIQNKDRAKVEFNIRKPNEGADGQWKKGFVLHKSKSEEAHAEDSVMDHHFRK PANDITSQLEINFGDLGRPGRGGRGGRGGRGRGGRPNRGSRTDKSSASAPDVDDPEAFPA LA >ENSMUSP00000145472.1 pep:known chromosome:GRCm38:6:67267010:67284047:1 gene:ENSMUSG00000036371.6 transcript:ENSMUST00000204293.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serbp1 description:serpine1 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1914120] MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQ TNSNAAGKQLRKESQKDRKNPLPPSVGVADKKEETQPPVALKKEGIRRVGRRPDQQLQGD GKLIDRRAERRPPRERRFEKPLEEKGEGGEFSVDRPIIERPIRGRGGLGRGRGGRGRGMG RGDGFDSRGKREFDRHSGSDRSGLKHEDKRGGSGSHNWGTVKDELTDLDQSNVTEETPEG EEHPVADTENKENEVEEVKEEGPKEMTLDEWKAIQNKDRAKVEFNIRKPNEGADGQWKKG FVLHKSKSEEAHAEDSVMDHHFRKPANDITSQLEINFGDLGRPGRGGRGGRGGRGRGGRP NRGSRTDKSSASAPDVDDPEAFPALA >ENSMUSP00000144772.1 pep:known chromosome:GRCm38:6:67267011:67284047:1 gene:ENSMUSG00000036371.6 transcript:ENSMUST00000205091.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serbp1 description:serpine1 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1914120] MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQ TNSNAAGKQLRKESQKDRKNPLPPSVGVADKKEETQPPVALKKEGIRRVGRRPDQQLQGD GKLIDRRAERRPPRERRFEKPLEEKVA >ENSMUSP00000144913.1 pep:known chromosome:GRCm38:6:67267011:67284311:1 gene:ENSMUSG00000036371.6 transcript:ENSMUST00000203077.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serbp1 description:serpine1 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1914120] MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQ TNSNAAGKQLRKESQKDRKNPLPPSVGVADKKEETQPPVALKKEGIRRVGRRPDQQLQGD GKLIDRRAERRPPRERRFEKPLEEKGEGGEFSVDRPIIERPIRGRGGLGRGRGGRGRGMG RGDGFDSRGKREFDRHSGSDRSSFSHYSGLKHEDKRGGSGSHNWGTVKDELTDLDQSNVT EETPEGEEHPVADTENKENEVEEVKEEGPKEMTLDEWKAIQNKDRAKVEFNIRKPNEGAD GQWKKGFVLHKSKSEEAHAEDSVMDHHFRKPANDITSQLEINFGDLGRPGRGGRGGRGGR GRGGRPNRGSRTDKSSASAPDVDDPEAFPALA >ENSMUSP00000144754.1 pep:known chromosome:GRCm38:6:67267038:67277781:1 gene:ENSMUSG00000036371.6 transcript:ENSMUST00000203233.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serbp1 description:serpine1 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1914120] MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQ TNSNAAGKQLRKESQKDRKNPLPPSVGVADKKEETQPPVALKKEGIRRVGRRPDQQLQGD GKLIDRRAERRPPRERRFEKPLEEKGEGVIWINQM >ENSMUSP00000145517.1 pep:known chromosome:GRCm38:6:67267071:67284014:1 gene:ENSMUSG00000036371.6 transcript:ENSMUST00000204294.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serbp1 description:serpine1 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1914120] MPGHLQEGFGCVVTNRFDQLFDDESDPFEVLKAAENKKKEAGGGGVGGPGAKSAAQAAAQ TNSNAAGKQLRKESQKDRKNPLPPSVGVADKKEETQPPVALKKEGIRRVGRRPDQQLQGD GKLIDRRAERRPPRERRFEKPLEEKGEGGEFSVDRPIIERPIRGRGRPGRGGRGGRGGRG RGGRPNRGSRTDKSSASAPDVDDPEAFPALA >ENSMUSP00000144941.1 pep:known chromosome:GRCm38:6:67267257:67272855:1 gene:ENSMUSG00000036371.6 transcript:ENSMUST00000204034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serbp1 description:serpine1 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1914120] XAQAAAQTNSNAAGKQLRKESQKDRKNPLPPSVGVADKKEETQPPVALKKEGIRRVGRRP DQQLQGDGKLIDRRAERRPPRERRFEKPLEEKGEGGEFSVDRPIIERPIRGRGGLGRGRG GRGRGMGRGDGFDSRGKREFDRHSGSDRSGLKHEDKRGGSGSHNWGTVKDELTLRVTQIH SETNIL >ENSMUSP00000145142.1 pep:known chromosome:GRCm38:6:67267330:67281803:1 gene:ENSMUSG00000036371.6 transcript:ENSMUST00000204135.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serbp1 description:serpine1 mRNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1914120] XKNPLPPSVGVADKKEETQPPVALKKEGIRRVGRRPDQQLQGDGKLIDRRAERRPPRERR FEKPLEEKVIWINQM >ENSMUSP00000099913.4 pep:known chromosome:GRCm38:2:30982279:31023586:1 gene:ENSMUSG00000026854.16 transcript:ENSMUST00000102849.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp20 description:ubiquitin specific peptidase 20 [Source:MGI Symbol;Acc:MGI:1921520] MGDARDLCPHLDCIGEVTKEDLLLKSKGTCQSCGVAGPNLWACLQVTCPYVGCGESFADH SSIHAQVKKHNLTVNLTTFRVWCYACEREVFLEQRLAVHLASSSARLSEQDSPPPSHPLK AVPIAVADEGESESEDDDLKPRGLTGMKNLGNSCYMNAALQALSNCPPLTQFFLECGGLV RTDKKPALCKSYQKLISEVWHKKRPSYVVPTSLSHGIKLVNPMFRGYAQQDTQEFLRCLM DQLHEELKEPMVAAVAALTDARDSDSSDTDERRDGDRSPSEDEFLSCDSSSDRGEGDGQG RGGGSSKAEMELLISDEAGRAISEKERMKDRKFSWGQQRTNSEQVDEDADVDTAMASLDE QSREAQPPSPRSTSPCQTPEPDNEAHIRSSSRPCSPVHHHHEGHSKLSSSPPRASPVRMG PSYVLKKAQVPSTGGRRRKEQSYRSVISDVFNGSVLSLVQCLTCDRVSTTVETFQDLSLP IPGKEDLAKLHSAIYQNVPAKPGACGDSYSSQGWLAFIVEYIRRFVVSCTPSWFWGPVVT LEDCLAAFFAADELKGDNMYSCERCKKLRNGVKYCKVLCLPEILCVHLKRFRHEVMYSFK VSSHVSFPLEGLDLRPFLAKECTSQVTTYDLLSVICHHGTAGSGHYIAYCQNVINGQWYE FDDQYVTEVHETVVQNVEAYVLFYRKSSEEAMRERQQVVSLAAMREPSLLRFYVSREWLN KFNTFAEPGPITNHTFLCSHGGIPPNKYHYIDDLVVILPQSVWEHLYSRFGGGPAVNHLY VCSICQVEIEALAKRRRVEIDTFIKLNKAFQAEESPAVIYCISMHWFREWEAFVKGKDSE PPGPIDNSRIAQVKGSGHIQLKQGADCGQISEETWTYLSSLYGGGPEIAIRQSVAQLPDP ESLHGEQKIEAETRAL >ENSMUSP00000060167.4 pep:known chromosome:GRCm38:2:30982363:31007592:1 gene:ENSMUSG00000026854.16 transcript:ENSMUST00000061544.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp20 description:ubiquitin specific peptidase 20 [Source:MGI Symbol;Acc:MGI:1921520] MGDARDLCPHLDCIGEVTKEDLLLKSKGTCQSCGVAGPNLWACLQVTCPYVGCGESFADH SSIHAQVKKHNLTVNLTTFRVWCYACEREVFLEQRLAVHLASSSARLSEQDSPPPSHPLK AVPIAVADEGESESEDDDLKPRGLTGMKNLGNSCYMNAALQALSNCPPLTQFFLECGGLV RTDKKPALCKSYQKLISEVWHKKRPSPCLS >ENSMUSP00000116696.1 pep:known chromosome:GRCm38:2:30982397:31002949:1 gene:ENSMUSG00000026854.16 transcript:ENSMUST00000138161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp20 description:ubiquitin specific peptidase 20 [Source:MGI Symbol;Acc:MGI:1921520] MGDARDLCPHLDCIGEVTKEDLLLKSKGTCQSCGVAGPNLWACLQVTCPYVGCGESFADH SSIHAQVKKHNLTVNLT >ENSMUSP00000115347.1 pep:known chromosome:GRCm38:2:30982429:31000078:1 gene:ENSMUSG00000026854.16 transcript:ENSMUST00000142232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp20 description:ubiquitin specific peptidase 20 [Source:MGI Symbol;Acc:MGI:1921520] MQLGLSFKKRGYRGVREVTRTSSSAAGRYLAQDFTAEPLGSWSLSQENAHIGLLLCVQLS QGRLARMGDARDLCPHLDCIGEVTKEDLLLKSKGTCQSCG >ENSMUSP00000121699.1 pep:known chromosome:GRCm38:2:30995655:31002618:1 gene:ENSMUSG00000026854.16 transcript:ENSMUST00000125601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp20 description:ubiquitin specific peptidase 20 [Source:MGI Symbol;Acc:MGI:1921520] MGDARDLCPHLDCIGEVTKEDLLLKSKGTCQSCGVAGPNLWACLQVTCPYVGCGESFADH SSIHAQ >ENSMUSP00000115613.1 pep:known chromosome:GRCm38:2:30995756:31002949:1 gene:ENSMUSG00000026854.16 transcript:ENSMUST00000128295.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp20 description:ubiquitin specific peptidase 20 [Source:MGI Symbol;Acc:MGI:1921520] MGDARDLCPHLDCIGEVTKEDLLLKSKGTCQSCGVAGPNLWACLQVTCPYVGCGESFADH SSIHAQVKKHNLTVNLT >ENSMUSP00000119197.1 pep:known chromosome:GRCm38:2:30998840:31006422:1 gene:ENSMUSG00000026854.16 transcript:ENSMUST00000136588.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp20 description:ubiquitin specific peptidase 20 [Source:MGI Symbol;Acc:MGI:1921520] XKEDLLLKSKVTCPYVGCGESFADHSSIHAQVKKHNLTVNLTTFRVWCYACEREVFLEQR LAVHLASSSARLSEQDSPPPSHPLKAVPIAVADEGESESEDDDLKPRGLTGMKNLGNSCY MNAALQALSNCPPLTQFFLECGGL >ENSMUSP00000127388.1 pep:known chromosome:GRCm38:2:30996042:31023582:1 gene:ENSMUSG00000026854.16 transcript:ENSMUST00000170476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp20 description:ubiquitin specific peptidase 20 [Source:MGI Symbol;Acc:MGI:1921520] MGDARDLCPHLDCIGEVTKEDLLLKSKGTCQSCGVAGPNLWACLQVTCPYVGCGESFADH SSIHAQVKKHNLTVNLTTFRVWCYACEREVFLEQRLAVHLASSSARLSEQDSPPPSHPLK AVPIAVADEGESESEDDDLKPRGLTGMKNLGNSCYMNAALQALSNCPPLTQFFLECGGLV RTDKKPALCKSYQKLISEVWHKKRPSYVVPTSLSHGIKLVNPMFRGYAQQDTQEFLRCLM DQLHEELKEPMVAAVAALTDARDSDSSDTDERRDGDRSPSEDEFLSCDSSSDRGEGDGQG RGGGSSKAEMELLISDEAGRAISEKERMKDRKFSWGQQRTNSEQVDEDADVDTAMASLDE QSREAQPPSPRSTSPCQTPEPDNEAHIRSSSRPCSPVHHHHEGHSKLSSSPPRASPVRMG PSYVLKKAQVPSTGGRRRKEQSYRSVISDVFNGSVLSLVQCLTCDRVSTTVETFQDLSLP IPGKEDLAKLHSAIYQNVPAKPGACGDSYSSQGWLAFIVEYIRRFVVSCTPSWFWGPVVT LEDCLAAFFAADELKGDNMYSCERCKKLRNGVKYCKVLCLPEILCVHLKRFRHEVMYSFK VSSHVSFPLEGLDLRPFLAKECTSQVTTYDLLSVICHHGTAGSGHYIAYCQNVINGQWYE FDDQYVTEVHETVVQNVEAYVLFYRKSSEEAMRERQQVVSLAAMREPSLLRFYVSREWLN KFNTFAEPGPITNHTFLCSHGGIPPNKYHYIDDLVVILPQSVWEHLYSRFGGGPAVNHLY VCSICQVEIEALAKRRRVEIDTFIKLNKAFQAEESPAVIYCISMHWFREWEAFVKGKDSE PPGPIDNSRIAQVKGSGHIQLKQGADCGQISEETWTYLSSLYGGGPEIAIRQSVAQLPDP ESLHGEQKIEAETRAL >ENSMUSP00000027830.4 pep:known chromosome:GRCm38:1:172475358:172478575:1 gene:ENSMUSG00000026548.4 transcript:ENSMUST00000027830.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf9 description:SLAM family member 9 [Source:MGI Symbol;Acc:MGI:1923692] MGALLWSLLLLLQEAKGFSGDDEDPEEVVGVLQESINLSLEIPSNEEIKHIDWLFQNNIA IVKPGKKGQPAVIMAVDPRYRGRVSISESSYSLHISNLTWEDSGLYNAQVNLKTSESHIT KSYHLRVYRRLSKPHITVNSNISEEGVCNISLTCSIERAGMDVTYIWLSSQDSTNTSHEG SVLSTSWRPGDKAPSYTCRVSNPISNISSRRISVGSFCADPGYLEKPSMLCLLVKSLFLL LLLAILTVGLCLFRAQKSYETPRVRKLKRNRIKLRKKGKSGPTPV >ENSMUSP00000136285.1 pep:known chromosome:GRCm38:1:75198243:75210778:-1 gene:ENSMUSG00000026200.13 transcript:ENSMUST00000155716.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glb1l description:galactosidase, beta 1-like [Source:MGI Symbol;Acc:MGI:1921827] MPPDLPSLLLRLVVLLLLSQAEARSFVVDREHDRFLLDGVPFRYVSGSLHYFRVPPVLWA DRLLKMQLSGLNAVQFYVPWNYHEPEPGIYNFNGSRDLIAFLNEAAKVNLLVILRPGPYI CAEWEMGGLPSWLLRNPNIHLRTSDPAFLEAVDSWFKVLLPKIYPFLYHNGGNIISIQVE NEYGSYKACDFKYMRHLAGLFRALLGDKILLFTTDGPHGLRCGSLQGLYTTIDFGPADNV TRIFSLLREYEPHGPLVNSEYYTGWLDYWGQNHSTRSSPAVAQGLEKMLKLGASVNMYMF HGGTNFGYWNGADEKGRFLPITTSYDYDAPISEAGDPTPKLFAIRNVISKFQEIPLGPLP PPSPKMKFGPLTMSLDGNLLSFLDFLCPQGPIHSVLPLTFEAVKLDHGFMLYRTYLTSPV LEPTPFWVPNNGIHDRAYVMVDGVLKGVLERSLKQELYLTGTVGTRLDILLENMGRLSFG SNHSDFKGLLEAPLLGQTILTEWMMFPLKVDKLVKWWFPLQLMKRALPQASSVPAFYSAK FPVFGLLGDTFLYLPGWTKGQVWINGFNLGRYWTMRGPQQTLYVPRLLLFGRSINKITLL ELENVPHNPQVQFLDKPILNSTLHWGYNFLLSETQGSFEPMELSGH >ENSMUSP00000109253.1 pep:known chromosome:GRCm38:1:75199347:75210778:-1 gene:ENSMUSG00000026200.13 transcript:ENSMUST00000113623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1l description:galactosidase, beta 1-like [Source:MGI Symbol;Acc:MGI:1921827] MPPDLPSLLLRLVVLLLLSQAEARSFVVDREHDRFLLDGVPFRYVSGSLHYFRVPPVLWA DRLLKMQLSGLNAVQFYVPWNYHEPEPGIYNFNGSRDLIAFLNEAAKVNLLVILRPGPYI CAEWEMGGLPSWLLRNPNIHLRTSDPAFLEAVDSWFKVLLPKIYPFLYHNGGNIISIQVE NEYGSYKACDFKYMRHLAGLFRALLGDKILLFTTDGPHGLRCGSLQGLYTTIDFGPADNV TRIFSLLREYEPHGPLVNSEYYTGWLDYWGQNHSTRSSPAVAQGLEKMLKLGASVNMYMF HGGTNFGYWNGADEKGRFLPITTSYDYDAPISEAGDPTPKLFAIRNVISKFQEIPLGPLP PPSPKMKFGPLTMSLDGNLLSFLDFLCPQGPIHSVLPLTFEAVKLDHGFMLYRTYLTSPV LEPTPFWVPNNGIHDRAYVMVDGVLKGVLERSLKQELYLTGTVGTRLDILLENMGRLSFG SNHSDFKGLLEAPLLGQTILTEWMMFPLKVDKLVKWWFPLQLMKRALPQASSVPAFYSAK FPVFGLLGDTFLYLPGWTKGQVWINGFNLGRYWTMRGPQQTLYVPRLLLFGRSINKITLL ELENVPHNPQVQFLDKPILNSTLHWGYNFLLSETQGSFEPMELSGH >ENSMUSP00000139732.1 pep:known chromosome:GRCm38:1:75199491:75202890:-1 gene:ENSMUSG00000026200.13 transcript:ENSMUST00000189663.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glb1l description:galactosidase, beta 1-like [Source:MGI Symbol;Acc:MGI:1921827] XPFLYHNGGNIISIQLTM >ENSMUSP00000139780.1 pep:known chromosome:GRCm38:1:75199491:75209423:-1 gene:ENSMUSG00000026200.13 transcript:ENSMUST00000186173.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1l description:galactosidase, beta 1-like [Source:MGI Symbol;Acc:MGI:1921827] XSLLLRLVVLLLLSQAEARSFVVDREHDRFLLDGVPFRYVSGSLHYFRVPPVLWADRLLK MQLSGLNAVQFYVPWNYHEPEPGIYNFNGSRDLIAFLNEAAKVNLLVILRPGPYICAEWE MGGLPSWLLRNPNIHLRTSDPADNVTRIFSLLREYEPHGPLVNSEYYTGWLDYWGQNHST RSSPAVAQGLEKMLKLGASVNMYMFHGGTNFGYWNGADEKGRFLPITTSYDYDAPISEAG DPTPKLFAIRNVISKFQEIPLGPLPPPSPKMKFGPLTMSLDGNLLSFLDFLCPQGPIHSV LPLTFEAVKLDHGFMLYRTYLTSPVLEPTPFWVPNNGIHDRAYVMVDGVLKGVLERSLKQ ELYLTGTVGTRLDILLENMGRLSFGSNHSDFKGLLEAPLLGQTILTEWMMFPLKVDKLVK WWFPLQLMKRALPQASSVPAFYSAKFPVFGLLGDTFLYLPGWTKGQVWINGFNLGRYWTM RGPQQTLYVPRLLLFGRSINKITLLELENVPHNPQVQFLDKPILNSTLHWGYNFLLSETQ GSFEPMELSGH >ENSMUSP00000140820.1 pep:known chromosome:GRCm38:1:75202719:75210813:-1 gene:ENSMUSG00000026200.13 transcript:ENSMUST00000185448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1l description:galactosidase, beta 1-like [Source:MGI Symbol;Acc:MGI:1921827] MPPDLPSLLLRLVVLLLLSQAEARSFVVDREHDRFLLDGVPFRYVSGSLHYFRVPPVLWA DRLLKMQLSGLNAVQFYVPWNYHEPEPGIYNFNGSRDLIAFLNEAAKVNLLVILRPGPYI CAEWEMGGLPSWLLRNPNIHLRTSDPAFLEAVDSWFKVLLPKIYPFLYHNGGNIISIQVE NEYGSYKA >ENSMUSP00000119499.1 pep:known chromosome:GRCm38:9:107580818:107586832:1 gene:ENSMUSG00000036091.8 transcript:ENSMUST00000148440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal3 description:hyaluronoglucosaminidase 3 [Source:MGI Symbol;Acc:MGI:1330288] MIMHLGLMMVVGLTLCLMHGQALLQVPEHPFSVVWNVPSARCKAHFGVHLPLDALGIVAN HGQHFHGQNISIFYKNQFGLYPYFGPRGTAHNGGIPQAVSLDHHLARAAHQILHSLGSSF AGLAVLDWEEWYPLWAGNWGPHRQVYLAASWVWTQQMFPGLDPQEQLHKAHTSFEQAARA LMEYTLQLGRTLRPSGLWGFYRYPACGNGWHKMASNYTGHCHAAITTRNTQLRWLWAASS ALFPSIYLPPRLPLAYRQAFVRHRLEEAFRVALLEHSHPLPVLAYSRLTHRSSGRFLSLD DLMQTIGVSAALGTAGVVLWGDLSFSSSEEKCWRLHDYLVGTLGPYVINVTKAAMACSHQ RCHGHGRCARKDPGQMEAFLHLQPDDSLGAWNSFRCHCYSGWAGPTCLEPKP >ENSMUSP00000042667.2 pep:known chromosome:GRCm38:9:107581296:107587359:1 gene:ENSMUSG00000036091.8 transcript:ENSMUST00000040059.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal3 description:hyaluronoglucosaminidase 3 [Source:MGI Symbol;Acc:MGI:1330288] MIMHLGLMMVVGLTLCLMHGQALLQVPEHPFSVVWNVPSARCKAHFGVHLPLDALGIVAN HGQHFHGQNISIFYKNQFGLYPYFGPRGTAHNGGIPQAVSLDHHLARAAHQILHSLGSSF AGLAVLDWEEWYPLWAGNWGPHRQVYLAASWVWTQQMFPGLDPQEQLHKAHTSFEQAARA LMEYTLQLGRTLRPSGLWGFYRYPACGNGWHKMASNYTGHCHAAITTRNTQLRWLWAASS ALFPSIYLPPRLPLAYRQAFVRHRLEEAFRVALLEHSHPLPVLAYSRLTHRSSGRFLSLD DLMQTIGVSAALGTAGVVLWGDLSFSSSEEKCWRLHDYLVGTLGPYVINVTKAAMACSHQ RCHGHGRCARKDPGQMEAFLHLQPDDSLGAWNSFRCHCYSGWAGPTCLEPKP >ENSMUSP00000117845.1 pep:known chromosome:GRCm38:9:107584752:107586661:1 gene:ENSMUSG00000036091.8 transcript:ENSMUST00000149487.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal3 description:hyaluronoglucosaminidase 3 [Source:MGI Symbol;Acc:MGI:1330288] MIMHLGLMMVVGLTLCLMHGQALLQVPEHPFSVVWNVPSARCKAHFGVHLPLDALGIVAN HGQHFHGQNISIFYKNQFGLYPYFGPRGTAHNGGIPQAVSLDHHLARAAHQILHSLGSSF AGLAVLDWEEWYPLWAGNWGPHRQVYLAASWVWTQQMFPGLDPQEQLHKAHTSFEQAARA LMEYTLQLGRTLRPSGLWGFYRYPACGNGWHKMASNYTGHCHAAITTRNTQLRWLWAASS ALFPSIYLPPRLPLAYRQAFVRHRLEEAFRVALLEHSHPLPVLAYSRLTHRSSGRFLSLE KCWRLHDYLVGTLGPYVINVTKAAMACS >ENSMUSP00000097576.3 pep:known chromosome:GRCm38:2:75935849:75938585:-1 gene:ENSMUSG00000075273.4 transcript:ENSMUST00000099996.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc30b description:tetratricopeptide repeat domain 30B [Source:MGI Symbol;Acc:MGI:1919671] MAGLSSSQIPDGEFTAVVYRLIRDSRYSEAVQLLSAELQRSSRSRAGLSLLAYCYYRLQE FELAAECYEQLSQMHPELEQYRLYQAQALYKACLYPEATRVAFLLDNPAYQTRVLRLQAA IKYSEGDLPGARSLVEQLLSGEGVEDSGGESDYDGQINLGCLLYKEGHYEAACSKFLAAL QASGYQPDLSYNLALAYYSSRQYAPALKHIADIIERGIRQHPELGVGMTTEGIDVRSVGN TIVLHQTALVEAFNLKAAIEYQLRNYEAAQEALTDMPPRAEEELDPVTLHNQALMNMDAK PTEGFEKLQFLLQQNPFPPETFGNLLLLYCKYEYFDLAADVLAENAHLTYKFLTPYLYDF LDAMITCQTAPEEAFIKLDGLAGMLTEQLRKLTIQVQDSRHSRDDESAKKAVNEYDETLE KYIPVLMAQAKIYWNFENYPMVEKIFRKSVEFCNDHDVWKLNVAHVLFMQENKYKEAIGF YEPIVKKNYDNILSVSAIVLANLCVSYIMTSQNEEAEELMRKIEKEEEQLSYGDPDKKIY HLCIVNLVIGTLYCAKGNYDFGISRVIKSLEPYHKKLGTDTWYYAKRCFLSLLENMSKHT IMLRDSVIQECVQFLEHCELYGRNIPAVIEQPLEEERMHTGKNTVTYESRKLRALIYEII GWNV >ENSMUSP00000114797.2 pep:known chromosome:GRCm38:2:38339281:38369199:1 gene:ENSMUSG00000000247.11 transcript:ENSMUST00000143783.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx2 description:LIM homeobox protein 2 [Source:MGI Symbol;Acc:MGI:96785] MPSISSDRAALCAGCGGKISDRYYLLAVDKQWHMRCLKCCECKLNLESELTCFSKDGSIY CKEDYYRRFSVQRCARCHLGISASEMVMRARDLVYHLNCFTCTTCNKMLTTGDHFGMKDS LVYCRLHFEALLQGEYPAHFNHADVAAAAAAAAAAKSAGLGSAGANPLGLPYYNGVGTVQ KGRPRKRKSPGPGADLAAYNAALSCNENDAEHLDRDQPYPSSQKTKRMRTSFKHHQLRTM KSYFAINHNPDAKDLKQLAQKTGLTKRVLQVWFQNARAKFRRNLLRQENTGVDKTSDATL QTGTPSGPASELSNASLSPSSTPTTLTDLTSPTLPTVTSVLTSVPGNLEGHEPHSPSQTT LTNLF >ENSMUSP00000115179.1 pep:known chromosome:GRCm38:2:38341092:38354825:1 gene:ENSMUSG00000000247.11 transcript:ENSMUST00000133661.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx2 description:LIM homeobox protein 2 [Source:MGI Symbol;Acc:MGI:96785] MPSISSDRAALCAGCGGKISDRYYLLAVDKQWHMRCLKCCECKLNLESELTCFSKDGSIY CKEDYYRRFSVQRCARCHLGISASEMVMRARDLVYHLNCFTCTTCNKMLTTGDHFGMKDS LVYCRLHFEALLQGEYPAHFNHADVAAAAAAAAAAKSAGLGSAGANPLGLPYYNGVGTVQ KGRPRKRKSPGP >ENSMUSP00000000253.5 pep:known chromosome:GRCm38:2:38350759:38369733:1 gene:ENSMUSG00000000247.11 transcript:ENSMUST00000000253.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx2 description:LIM homeobox protein 2 [Source:MGI Symbol;Acc:MGI:96785] MLFHSLSGPEVHGVIDEMDRRAKSEAPAISSAIDRGDTETTMPSISSDRAALCAGCGGKI SDRYYLLAVDKQWHMRCLKCCECKLNLESELTCFSKDGSIYCKEDYYRRFSVQRCARCHL GISASEMVMRARDLVYHLNCFTCTTCNKMLTTGDHFGMKDSLVYCRLHFEALLQGEYPAH FNHADVAAAAAAAAAAKSAGLGSAGANPLGLPYYNGVGTVQKGRPRKRKSPGPGADLAAY NAALSCNENDAEHLDRDQPYPSSQKTKRMRTSFKHHQLRTMKSYFAINHNPDAKDLKQLA QKTGLTKRVLQVWFQNARAKFRRNLLRQENTGVDKTSDATLQTGTPSGPASELSNASLSP SSTPTTLTDLTSPTLPTVTSVLTSVPGNLEGHEPHSPSQTTLTNLF >ENSMUSP00000135402.1 pep:known chromosome:GRCm38:2:38351768:38354631:1 gene:ENSMUSG00000000247.11 transcript:ENSMUST00000176229.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lhx2 description:LIM homeobox protein 2 [Source:MGI Symbol;Acc:MGI:96785] MLFHSLSGPEVHGVIDEMDRRAKSEAPAISSAIDRGDTETVGARLWSWG >ENSMUSP00000121462.3 pep:known chromosome:GRCm38:2:38352532:38354573:1 gene:ENSMUSG00000000247.11 transcript:ENSMUST00000155964.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx2 description:LIM homeobox protein 2 [Source:MGI Symbol;Acc:MGI:96785] MPSISSDRAALCAGCGGKISDRYYLLAVDKQWHMRCLKCCECKLNLESELTCFSKDGSIY CKEDYYRRFSVQRCARCHLGISASEMVMRARDLVYHLNCFTCTTCNKM >ENSMUSP00000113690.1 pep:known chromosome:GRCm38:14:48657679:48665246:-1 gene:ENSMUSG00000021848.15 transcript:ENSMUST00000118578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otx2 description:orthodenticle homolog 2 [Source:MGI Symbol;Acc:MGI:97451] MMSYLKQPPYAVNGLSLTTSGMDLLHPSVGYPATPRKQRRERTTFTRAQLDVLEALFAKT RYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQQQQQQQNGGQNKVRPAKKKSSPARE VSSESGTSGQFSPPSSTSVPTIASSSAPVSIWSPASISPLSDPLSTSSSCMQRSYPMTYT QASGYSQGYAGSTSYFGGMDCGSYLTPMHHQLPGPGATLSPMGTNAVTSHLNQSPASLST QGYGASSLGFNSTTDCLDYKDQTASWKLNFNADCLDYKDQTSSWKFQVL >ENSMUSP00000113930.1 pep:known chromosome:GRCm38:14:48658171:48662872:-1 gene:ENSMUSG00000021848.15 transcript:ENSMUST00000122009.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otx2 description:orthodenticle homolog 2 [Source:MGI Symbol;Acc:MGI:97451] MMSYLKQPPYAVNGLSLTTSGMDLLHPSVGYPATPRKQRRERTTFTRAQLDVLEALFAKT RYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQQQQQQQNGGQNKVRPAKKKSSPARE VSSESGTSGQFSPPSSTSVPTIASSSAPVSIWSPASISPLSDPLSTSSSCMQRSYPMTYT QASGYSQGYAGSTSYFGGMDCGSYLTPMHHQLPGPGATLSPMGTNAVTSHLNQSPASLST QGYGASSLGFNSTTDCLDYKDQTASWKLNFNADCLDYKDQTSSWKFQVL >ENSMUSP00000112532.1 pep:known chromosome:GRCm38:14:48658171:48667513:-1 gene:ENSMUSG00000021848.15 transcript:ENSMUST00000119070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otx2 description:orthodenticle homolog 2 [Source:MGI Symbol;Acc:MGI:97451] MMSYLKQPPYAVNGLSLTTSGMDLLHPSVGYPGPWASCPAATPRKQRRERTTFTRAQLDV LEALFAKTRYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQQQQQQQNGGQNKVRPAK KKSSPAREVSSESGTSGQFSPPSSTSVPTIASSSAPVSIWSPASISPLSDPLSTSSSCMQ RSYPMTYTQASGYSQGYAGSTSYFGGMDCGSYLTPMHHQLPGPGATLSPMGTNAVTSHLN QSPASLSTQGYGASSLGFNSTTDCLDYKDQTASWKLNFNADCLDYKDQTSSWKFQVL >ENSMUSP00000123046.1 pep:known chromosome:GRCm38:14:48658916:48661932:-1 gene:ENSMUSG00000021848.15 transcript:ENSMUST00000135279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otx2 description:orthodenticle homolog 2 [Source:MGI Symbol;Acc:MGI:97451] MREEVALKINLPESRVQVWFKNRRAKCRQQQQQQQNGGQNKVRPAKKKSSPAREVSSESG TSGQFSPPSSTSVPTIASSSAPVSIWSPASISPLSDPLSTSSSCMQRSYPMTYTQASGYS QGYAGSTSYFGGMDCGSYLTPMHHQLPGPGATLS >ENSMUSP00000123454.1 pep:known chromosome:GRCm38:14:48658953:48667644:-1 gene:ENSMUSG00000021848.15 transcript:ENSMUST00000152018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otx2 description:orthodenticle homolog 2 [Source:MGI Symbol;Acc:MGI:97451] MMSYLKQPPYAVNGLSLTTSGMDLLHPSVGYPATPRKQRRERTTFTRAQLDVLEALFAKT RYPDIFMREEVALKINLPESRVQVWFKNRRAKCRQQQQQQQNGGQNKVRPAKKKSSPARE VSSESGTSGQFSPPSSTSVPTIASSSAPVSIWSPASISPLSDPLSTSSSCMQRSYPMTYT QASGYSQGYAGSTSYFGGMDCGSYLTP >ENSMUSP00000116630.1 pep:known chromosome:GRCm38:14:48659305:48667508:-1 gene:ENSMUSG00000021848.15 transcript:ENSMUST00000144465.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otx2 description:orthodenticle homolog 2 [Source:MGI Symbol;Acc:MGI:97451] MMSYLKQPPYAVNGLSLTTSGMDLLHPSVGYPGPWASCPAATPRKQRRERTTFTRAQLDV LEALFAKTRYPDIFMREEVALKINLPESRVQVWFKNRR >ENSMUSP00000122200.1 pep:known chromosome:GRCm38:14:48661358:48667508:-1 gene:ENSMUSG00000021848.15 transcript:ENSMUST00000133479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otx2 description:orthodenticle homolog 2 [Source:MGI Symbol;Acc:MGI:97451] MMSYLKQPPYAVNGLSLTTSGMDLLHPSVGYPATPRKQRRERTTFTRAQLDVLEALFAKT RYP >ENSMUSP00000113586.1 pep:known chromosome:GRCm38:14:48661408:48665521:-1 gene:ENSMUSG00000021848.15 transcript:ENSMUST00000119739.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otx2 description:orthodenticle homolog 2 [Source:MGI Symbol;Acc:MGI:97451] MMSYLKQPPYAVNGLSLTTSGMDLLHPSVGYPATPRKQRRERTTFT >ENSMUSP00000146987.1 pep:known chromosome:GRCm38:11:89391608:89526647:-1 gene:ENSMUSG00000047773.13 transcript:ENSMUST00000207815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankfn1 description:ankyrin-repeat and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:2686021] XNYCSKMTQQMQNLHLSQSKKHSAPSSPNAAKRLYRNLSEKLKGSHSSFDEAYFRTRTDR LSLRKTSVNFQGNEAMFEAVEQQDLDAVQLLLYQYTPEELDLNTPNSEGLTPLDIAIMTN NVPIARILLRTGARESPHFVSLESRAMHLNTLVQEAQDRVSELSAQVENEGFTLDNTEKE KQLKAWEWRYRLYRRMKTGFEHARAPEVPANACLMVSSSTSLTVSFQEPLSVNAAVVTRY KVEWSMSKDFSPLAGEIIMDNLQTLRCTITGLTTGQQYFVQVSAYNMKGWGPAQTTTPVC ASPSNWKDYDDREPRHKGQSEVLESLLQQVRALHQHYSCRESSKLQTTGRKQSVSRSLKH LFHSSNKFVKTLKRGLYIATIFYYKDNMLVTNEDQIPIVEIDDSHTSSITQDFLWFMKLS CMWEDIRWLRQSVPISMSSSTVLQTRQKMLAATAQLQNLLGTHNLGRLYYEPIKDRHGNI LIVTIREVEMLYSFFNGKWMQISKLQSQRKSLSTPEEPTALDILLITIQDILSYHKRSHQ RLSPGLYLGYLKLCSSVDQIKVLVTQKLPNILCHVKIRENHNISKEEWEWIQKLSGSESM ESVDHNADCPMQLFFYELQMAVAALLKQINIPRHQARNFRLYTQEVLEIGHNVSFLLLLP ASDDVCTAPGQNNPYTPHSGFLNLPLQMFELVHFCSYREKFISLYCRLSAVVELDSLNTQ QSLREAISDSEVAAAKQRHQQVLDFIQQIDEVWREMRWIMDALQYARYKQPVSGLPITKL IDSSSEQNLKKISSTSSHIDCLPSTSPSPEMHRRKAVSESQPCSDEEGCSEVFLPTDSDY DSSDALSPRDLDLVYLSSHDIAQQALSGLSGSAPDVLQVHDMKASMGPGQDPQGPGQGPD TDHSCVEFLHSLTLTGLAPKNHAKMVAGTRPPLGFLGKRKPGKHQHYGGFSRHHRWLRMH SETQSLSLSEGVYTQHLSQACGLAEDPGEAEGPGPVVDGPRGLPLAHAASLPEERRSCLQ DPRRPLQRVYVEPYSDTLAGQDPKLWTSLSPTPAGRSSLPSSTSSEMSPDPTSPVSEILS SML >ENSMUSP00000121290.2 pep:known chromosome:GRCm38:11:89420987:89696845:-1 gene:ENSMUSG00000047773.13 transcript:ENSMUST00000128717.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankfn1 description:ankyrin-repeat and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:2686021] METSPNRRLPFKDRHFTCSKIIGRRFACFAQRLSYRRKQSQCDLLNESTGHLPATCSSAA SKSLSWNYCSKMTQQMQNLHLSQSKKHSAPSSPNAAKRLYRNLSEKLKGSHSSFDEAYFR TRTDRLSLRKTSVNFQGNEAMFEAVEQQDLDAVQLLLYQYTPEELDLNTPNSEGLTPLDI AIMTNNVPIARILLRTGARESPHFVSLESRAMHLNTLVQEAQDRVSELSAQVENEGFTLD NTEKEKQLKAWEWRYRLYRRMKTGFEHARAPEVPANACLMVSSSTSLTVSFQEPLSVNAA VVTRYKVEWSMSKDFSPLAGEIIMDNLQTLRCTITGLTTGQQYFVQVSAYNMKGWGPAQT TTPVCASPSNWKDYDDREPRHKGQSEVLESLLQQVRALHQHYSCRESSKLQTTGRKQSVS RSLKHLFHSSNKFVKTLKRGLYIATIFYYKDNMLVTNEDQIPIVEIDDSHTSSITQDFLW FMKLSCMWEDIRWLRQSVPISMSSSTVLQTRQKMLAATAQLQNLLGTHNLGRLYYEPIKD RHGNILIVTIREVEMLYSFFNGKWMQISKLQSQRKSLSTPEEPTALDILLITIQDILSYH KRSHQRLSPGLYLGYLKLCSSVDQIKVLVTQKLPNILCHVKIRENHNISKEEWEWIQKLS GSESMESVDHNADCPMQLFFYELQMAVAALLKQINIPRHQARNFRLYTQEVLEIGHNVSF LLLLPASDDVCTAPGQNNPYTPHSGFLNLPLQMFELGIVACFT >ENSMUSP00000146500.1 pep:known chromosome:GRCm38:11:89526446:89696886:-1 gene:ENSMUSG00000047773.13 transcript:ENSMUST00000207350.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ankfn1 description:ankyrin-repeat and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:2686021] METSPNRVGKQRLRRFSSHDFHLQTSGLHSTGRKIGCPSKTDILLAAK >ENSMUSP00000049776.1 pep:known chromosome:GRCm38:11:89390223:89418948:-1 gene:ENSMUSG00000047773.13 transcript:ENSMUST00000050983.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankfn1 description:ankyrin-repeat and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:2686021] MPLSGPLHTAAVHFCSYREKFISLYCRLSAVVELDSLNTQQSLREAISDSEVAAAKQRHQ QVLDFIQQIDEVWREMRWIMDALQYARYKQPVSGLPITKLIDSSSEQNLKKISSTSSHID CLPSTSPSPEMHRRKAVSESQPCSDEEGCSEVFLPTDSDYDSSDALSPRDLDLVYLSSHD IAQQALSGLSGSAPDVLQVHDMKASMGPGQDPQGPGQGPDTDHSCVEFLHSLTLTGLAPK NHAKMVAGTRPPLGFLGKRKPGKHQHYGGFSRHHRWLRMHSETQSLSLSEGVYTQHLSQA CGLAEDPGEAEGPGPVVDGPRGLPLAHAASLPEERRSCLQDPRRPLQRVYVEPYSDTLAG QDPKLWTSLSPTPAGRSSLPSSTSSEMSPDPTSPVSEILSSML >ENSMUSP00000132133.1 pep:known chromosome:GRCm38:11:89421086:89538556:-1 gene:ENSMUSG00000047773.13 transcript:ENSMUST00000169201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankfn1 description:ankyrin-repeat and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:2686021] RIGRRFACFAQRLSYRRKQSQCDLLNESTGHLPATCSSAASKSLSWNYCSKMTQQMQNLH LSQSKKHSAPSSPNAAKRLYRNLSEKLKGSHSSFDEAYFRTRTDRLSLRKTSVNFQGNEA MFEAVEQQDLDAVQLLLYQYTPEELDLNTPNSEGLTPLDIAIMTNNVPIARILLRTGARE SPHFVSLESRAMHLNTLVQEAQDRVSELSAQVENEGFTLDNTEKEKQLKAWEWRYRLYRR MKTGFEHARAPEVPANACLMVSSSTSLTVSFQEPLSVNAAVVTRYKVEWSMSKDFSPLAG EIIMDNLQTLRCTITGLTTGQQYFVQVSAYNMKGWGPAQTTTPVCASPSNWKDYDDREPR HKGQSEVLESLLQQVRALHQHYSCRESSKLQTTGRKQSVSRSLKHLFHSSNKFVKTLKRG LYIATIFYYKDNMLVTNEDQIPIVEIDDSHTSSITQDFLWFMKLSCMWEDIRWLRQSVPI SMSSSTVLQTRQKMLAATAQLQNLLGTHNLGRLYYEPIKDRHGNILIVTIREVEMLYSFF NGKWMQISKLQSQRKSLSTPEEPTALDILLITIQDILSYHKRSHQRLSPGLYLGYLKLCS SVDQIKVLVTQKLPNILCHVKIRENHNISKEEWEWIQKLSGSESMESVDHNADCPMQLFF YELQMAVAALLKQINIPRHQARNFRLYTQEVLEIGHNVSFLLLLPASDDVCTAPGQNNPY TPHSGFLNLPLQMFELGIVACFT >ENSMUSP00000108006.2 pep:known chromosome:GRCm38:9:107576927:107581710:1 gene:ENSMUSG00000010051.15 transcript:ENSMUST00000112387.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal1 description:hyaluronoglucosaminidase 1 [Source:MGI Symbol;Acc:MGI:96298] MLGLTQHAQKVWRMKPFSPEVSPGSSPATAGHLLRISTLFLTLLELAQVCRGSVVSNRPF ITVWNGDTHWCLTEYGVDVDVSVFDVVANKEQSFQGSNMTIFYREELGTYPYYTPTGEPV FGGLPQNASLVTHLAHTFQDIKAAMPEPDFSGLAVIDWEAWRPRWAFNWDSKDIYRQRSM ELVQAEHPDWPETLVEAAAKNQFQEAAEAWMAGTLQLGQVLRPRGLWGYYGFPDCYNNDF LSLNYTGQCPVFVRDQNDQLGWLWNQSYALYPSIYLPAALMGTEKSQMYVRHRVQEALRV AIVSRDPHVPVMPYVQIFYEMTDYLLPLEELEHSLGESAAQGVAGAVLWLSSDKTSTKES CQAIKAYMDSTLGPFIVNVTSAALLCSEALCSGHGRCVRHPSYPEALLTLNPASFSIELT HDGRPPSLKGTLSLKDRAQMAMKFRCRCYRGWRGGSST >ENSMUSP00000122601.2 pep:known chromosome:GRCm38:9:107576938:107579562:1 gene:ENSMUSG00000010051.15 transcript:ENSMUST00000123005.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal1 description:hyaluronoglucosaminidase 1 [Source:MGI Symbol;Acc:MGI:96298] MGTEKSQMYVRHRVQEALRVAIVSRDPHVPVMPYVQIFYEMTDYLLPLEELEHSLGESAA QGVAGAVLWLSSDKTSTKESCQAIKAYMDSTLGPFIVNVTSAALLCSEALCSGHGRCVRH PSYPEALLTLNPASFSIELTHDGRPPSLKGTLSLKDRAQMAMKFRCRCYRGWRGKWCDKR GM >ENSMUSP00000010195.7 pep:known chromosome:GRCm38:9:107576958:107580978:1 gene:ENSMUSG00000010051.15 transcript:ENSMUST00000010195.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal1 description:hyaluronoglucosaminidase 1 [Source:MGI Symbol;Acc:MGI:96298] MLGLTQHAQKVWRMKPFSPEVSPGSSPATAGHLLRISTLFLTLLELAQVCRGSVVSNRPF ITVWNGDTHWCLTEYGVDVDVSVFDVVANKEQSFQGSNMTIFYREELGTYPYYTPTGEPV FGGLPQNASLVTHLAHTFQDIKAAMPEPDFSGLAVIDWEAWRPRWAFNWDSKDIYRQRSM ELVQAEHPDWPETLVEAAAKNQFQEAAEAWMAGTLQLGQVLRPRGLWGYYGFPDCYNNDF LSLNYTGQCPVFVRDQNDQLGWLWNQSYALYPSIYLPAALMGTEKSQMYVRHRVQEALRV AIVSRDPHVPVMPYVQIFYEMTDYLLPLEELEHSLGESAAQGVAGAVLWLSSDKTSTKES CQAIKAYMDSTLGPFIVNVTSAALLCSEALCSGHGRCVRHPSYPEALLTLNPASFSIELT HDGRPPSLKGTLSLKDRAQMAMKFRCRCYRGWRGKWCDKRGM >ENSMUSP00000120599.1 pep:known chromosome:GRCm38:9:107576990:107579418:1 gene:ENSMUSG00000010051.15 transcript:ENSMUST00000144392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal1 description:hyaluronoglucosaminidase 1 [Source:MGI Symbol;Acc:MGI:96298] MLGLTQHAQKVWRMKPFSPEVSPGSSPATAGHLLRISTLFLTLLELAQVCRGSVVSNRPF ITVWNGDTHWCLTEYGVDVDVSVFDVVANKEQSFQGSNMTIFYREELGTYPYYTPTGEPV FGGLPQNASLVTHLAHTFQDIKAAMPEPDFSGLAVIDWEAWRPRWAFNWDSKDIYRQRSM ELVQAEHPDWPETLVEAAAKNQFQEAAEAWMAGTLQLGQVLRPRGLWGYYGFPDCYNNDF LSLNYTGQCPVFVRDQNDQLGWLWNQSYALYPSIYLPAALMGTEKSQMYVRHRVQEALRV AIVSRDPHVPVMPYVQIFYEMTDYLLPLESCQAIKAYMDSTLGPFIVNVTSAALLCSEAL CSGHGRCVRHPSYPEALLTLNPASFSIELTHDGRPPSLKGTLSLKDRAQMAMKFRCRCYR GWRGKWCDKRGM >ENSMUSP00000099616.3 pep:known chromosome:GRCm38:11:70614883:70619194:-1 gene:ENSMUSG00000014609.16 transcript:ENSMUST00000102556.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrne description:cholinergic receptor, nicotinic, epsilon polypeptide [Source:MGI Symbol;Acc:MGI:87894] MAGALLGALLLLTLFGRSQGKNEELSLYHHLFDNYDPECRPVRRPEDTVTITLKVTLTNL ISLNEKEETLTTSVWIGIDWHDYRLNYSKDDFAGVGILRVPSEHVWLPEIVLENNIDGQF GVAYDSNVLVYEGGYVSWLPPAIYRSTCAVEVTYFPFDWQNCSLIFRSQTYNAEEVEFIF AVDDDGNTINKIDIDTAAFTENGEWAIDYCPGMIRRYEGGSTEGPGETDVIYTLIIRRKP LFYVINIIVPCVLISGLVLLAYFLPAQAGGQKCTVSINVLLAQTVFLFLIAQKIPETSLS VPLLGRYLIFVMVVATLIVMNCVIVLNVSLRTPTTHATSPRLRQILLELLPRLLGSSPPP EDPRTASPARRASSVGILLRAEELILKKPRSELVFEGQRHRHGTWTAALCQNLGAAAPEI RCCVDAVNFVAESTRDQEATGEELSDWVRMGKALDNVCFWAALVLFSVGSTLIFLGGYFN QVPDLPYPPCIQP >ENSMUSP00000014753.8 pep:known chromosome:GRCm38:11:70614883:70619216:-1 gene:ENSMUSG00000014609.16 transcript:ENSMUST00000014753.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrne description:cholinergic receptor, nicotinic, epsilon polypeptide [Source:MGI Symbol;Acc:MGI:87894] MAGALLGALLLLTLFGRSQGKNEELSLYHHLFDNYDPECRPVRRPEDTVTITLKVTLTNL ISLNEKEETLTTSVWIGIDWHDYRLNYSKDDFAGVGILRVPSEHVWLPEIVLENNIDGQF GVAYDSNVLVYEGGYVSWLPPAIYRSTCAVEVTYFPFDWQNCSLIFRSQTYNAEEVEFIF AVDDDGNTINKIDIDTAAFTENGEWAIDYCPGMIRRYEGGSTEGPGETDVIYTLIIRRKP LFYVINIIVPCVLISGLVLLAYFLPAQAGGQKCTVSINVLLAQTVFLFLIAQKIPETSLS VPLLGRYLIFVMVVATLIVMNCVIVLNVSLRTPTTHATSPRLRQILLELLPRLLGSSPPP EDPRTASPARRASSVGILLRAEELILKKPRSELVFEGQRHRHGTWTAAALCQNLGAAAPE IRCCVDAVNFVAESTRDQEATGEELSDWVRMGKALDNVCFWAALVLFSVGSTLIFLGGYF NQVPDLPYPPCIQP >ENSMUSP00000000049.5 pep:known chromosome:GRCm38:11:108395293:108414396:1 gene:ENSMUSG00000000049.11 transcript:ENSMUST00000000049.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoh description:apolipoprotein H [Source:MGI Symbol;Acc:MGI:88058] MVSPVLALFSAFLCHVAIAGRICPKPDDLPFATVVPLKTSYDPGEQIVYSCKPGYVSRGG MRRFTCPLTGMWPINTLRCVPRVCPFAGILENGIVRYTSFEYPKNISFACNPGFFLNGTS SSKCTEEGKWSPDIPACARITCPPPPVPKFALLKDYRPSAGNNSLYQDTVVFKCLPHFAM IGNDTVMCTEQGNWTRLPECLEVKCPFPPRPENGYVNYPAKPVLLYKDKATFGCHETYKL DGPEEAECTKTGTWSFLPTCRESCKLPVKKATVLYQGMRVKIQEQFKNGMMHGDKIHFYC KNKEKKCSYTVEAHCRDGTIEIPSCFKEHSSLAFWKTDASELTPC >ENSMUSP00000115516.1 pep:known chromosome:GRCm38:11:108343354:108395905:1 gene:ENSMUSG00000000049.11 transcript:ENSMUST00000133383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoh description:apolipoprotein H [Source:MGI Symbol;Acc:MGI:88058] MVSPVLALFSAFLCHVAIAGRICPKPDDLPFATVVPLKTSYDPGEQIVYSC >ENSMUSP00000123486.1 pep:known chromosome:GRCm38:11:108381164:108395850:1 gene:ENSMUSG00000000049.11 transcript:ENSMUST00000146050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoh description:apolipoprotein H [Source:MGI Symbol;Acc:MGI:88058] MVSPVLALFSAFLCHVAIAGRICPKPDDLPFAT >ENSMUSP00000114214.1 pep:known chromosome:GRCm38:11:108381169:108407339:1 gene:ENSMUSG00000000049.11 transcript:ENSMUST00000152958.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoh description:apolipoprotein H [Source:MGI Symbol;Acc:MGI:88058] MVSPVLALFSAFLCHVAIAGRICPKPDDLPFATVVPLKTSYDPGEQIVYSCKPGYVSRGG MRRFTCPLTGMWPINTLRCVPRVCPFAGILENGIVRYTSFEYPKNISFACNPGFFLNGTS SSKCTEEGKWSPDIPACARITCPPPPVPKFALLK >ENSMUSP00000139004.1 pep:known chromosome:GRCm38:9:107578887:107583519:1 gene:ENSMUSG00000079334.8 transcript:ENSMUST00000149638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat6 description:N-acetyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888902] MSACADLINDQWPRSRASRLHSLGQSSDAFPLCLMLLSPQPTPGAAPVVVGHARLSRVLD QPHSLLVETVVVARPLRGRGFGRRLMEGLEAFARARGFRRLHLTT >ENSMUSP00000138933.1 pep:known chromosome:GRCm38:9:107578887:107583519:1 gene:ENSMUSG00000079334.8 transcript:ENSMUST00000139274.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat6 description:N-acetyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888902] MSACADLINDQWPRSRASRLHSLGQSSDAFPLCLMLLSPQPTPGAAPVVVGHARLSRVLD QPHSLLVETVVVARPLRGRGFGRRLMEGLEAFARARGFRRLHLTT >ENSMUSP00000114490.1 pep:known chromosome:GRCm38:9:107578887:107583519:1 gene:ENSMUSG00000079334.8 transcript:ENSMUST00000130053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat6 description:N-acetyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888902] MELILSTSPAKLTLDPARQPELTLRFNLSKLTLDPARQPELSLSPRLAELTLDPTCHPEM SLSPGPAELTLDPQHQAKELPVPKLPELILEPVHCRPELMSACADLINDQWPRSRASRLH SLGQSSDAFPLCLMLLSPQPTPGAAPVVVGHARLSRVLDQPHSLLVETVVVARPLRGRGF GRRLMEGLEAFARARGFRRLHLTT >ENSMUSP00000122807.1 pep:known chromosome:GRCm38:9:107578887:107583519:1 gene:ENSMUSG00000079334.8 transcript:ENSMUST00000122985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat6 description:N-acetyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888902] MELILSTSPAKLTLDPARQPELTLRFNLSKLTLDPARQPELSLSPRLAELTLDPTCHPEM SLSPGPAELTLDPQHQAKELPVPKLPELILEPVHCRPELMSACADLINDQWPRSRASRLH SLGQSSDAFPLCLMLLSPQPTPGAAPVVVGHARLSRVLDQPHSLLVETVVVARPLRGRGF GRRLMEGLEAFARARGFRRLHLTT >ENSMUSP00000116378.1 pep:known chromosome:GRCm38:9:107578887:107583519:1 gene:ENSMUSG00000079334.8 transcript:ENSMUST00000127380.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat6 description:N-acetyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888902] MELILSTSPAKLTLDPARQPELTLRFNLSKLTLDPARQPELSLSPRLAELTLDPTCHPEM SLSPGPAELTLDPQHQAKELPVPKLPELILEPVHCRPELMSACADLINDQWPRSRASRLH SLGQSSDAFPLCLMLLSPQPTPGAAPVVVGHARLSRVLDQPHSLLVETVVVARPLRGRGF GRRLMEGLEAFARARGFRRLHLTT >ENSMUSP00000122321.1 pep:known chromosome:GRCm38:9:107578887:107583519:1 gene:ENSMUSG00000079334.8 transcript:ENSMUST00000139581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat6 description:N-acetyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888902] MELILSTSPAKLTLDPARQPELTLRFNLSKLTLDPARQPELSLSPRLAELTLDPTCHPEM SLSPGPAELTLDPQHQAKELPVPKLPELILEPVHCRPELMSACADLINDQWPRSRASRLH SLGQSSDAFPLCLMLLSPQPTPGAAPVVVGHARLSRVLDQPHSLLVETVVVARPLRGRGF GRRLMEGLEAFARARGFRRLHLTT >ENSMUSP00000091300.4 pep:known chromosome:GRCm38:9:107580117:107584050:1 gene:ENSMUSG00000079334.8 transcript:ENSMUST00000093785.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat6 description:N-acetyltransferase 6 [Source:MGI Symbol;Acc:MGI:1888902] MELILSTSPAKLTLDPARQPELTLRFNLSKLTLDPARQPELSLSPRLAELTLDPTCHPEM SLSPGPAELTLDPQHQAKELPVPKLPELILEPVHCRPELMSACADLINDQWPRSRASRLH SLGQSSDAFPLCLMLLSPQPTPGAAPVVVGHARLSRVLDQPHSLLVETVVVARPLRGRGF GRRLMEGLEAFARARGFRRLHLTTHDQLYFYAHLGYQLGEPVQGLAFTNRRLSTTVLRAF SKPPCPQPPCKEPILAAQAVPRSSKGPPLPPPPPLPQSLTASPPPSPEPLPQSPLETCYR DLKGCPIFWMEKDI >ENSMUSP00000137259.1 pep:known chromosome:GRCm38:11:70615848:70616875:1 gene:ENSMUSG00000087279.10 transcript:ENSMUST00000180052.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930544D05Rik description:RIKEN cDNA 4930544D05 gene [Source:MGI Symbol;Acc:MGI:2148639] MKGIPSNLDTLLWVYHFHSSTEVALQPPLLSSLELAVAAAHEYLVQRFRELKSQDPLESD KSPTQKATLGLVLREAAASIMSFGATLLEISALWLQQEVQRLDGGNDCPGPAPDTGDPGR ALARVALAAGQGIRQAGTAAGASARYLIQGAWLYLCGRGLHGSTSSLPQTLCQLGLGVPG DPRDSGNLKVSPSSSEENGGTDNPSLSQSNPVSK >ENSMUSP00000135933.1 pep:known chromosome:GRCm38:11:70615897:70616890:1 gene:ENSMUSG00000087279.10 transcript:ENSMUST00000135865.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4930544D05Rik description:RIKEN cDNA 4930544D05 gene [Source:MGI Symbol;Acc:MGI:2148639] MKGIPSNLDTLLWVYHFHSSTEVALQPPLLSSLELAVAAAHEYLVQRFRELKSQDPLESD KSPTQKATLGLVLREAAASIMSFGATLLEPICPNVDLGPVAAAGGAATGRRQRLPRPSPR HWGSW >ENSMUSP00000136077.1 pep:known chromosome:GRCm38:11:70615910:70616877:1 gene:ENSMUSG00000087279.10 transcript:ENSMUST00000144960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930544D05Rik description:RIKEN cDNA 4930544D05 gene [Source:MGI Symbol;Acc:MGI:2148639] MKGIPSNLDTLLWVYHFHSSTEVALQPPLLSSLELAVAAAHEYLVQRFRELKSQDPLESD KSPTQKATLGLVLREAAASIMSFGATLLEISALWLQQEVQRLDGGNDCPGPAPDTGDPGR ALARVALAAGQGIRQAGTAAGASARYLIQGAWLYLCGRERLGKPQGVAQQQ >ENSMUSP00000102254.1 pep:known chromosome:GRCm38:7:112427505:112589629:1 gene:ENSMUSG00000030770.14 transcript:ENSMUST00000106643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parva description:parvin, alpha [Source:MGI Symbol;Acc:MGI:1931144] MATSPQKSPLVPKSPTPKSPPSRKKDDSFLGKLGGTLARRKKAKEVSEFQEEGMNAINLP LSPISFELDPEDTLLEENEVRTMVDPNSRNDPKLQELMKVLIDWINDVLVGERIIVKDLA EDLYDGQVLQKLFEKLESEKLNVAEVTQSEIAQKQKLQTVLEKINETLKLPPRSIKWNVD SVHAKNLVAILHLLVALSQYFRAPIRLPDHVSIQVVVVQKREGILQSRQIQEEITGNTEA LSGRHERDAFDTLFDHAPDKLNVVKKTLITFVNKHLNKLNLEVTELETQFADGVYLVLLM GLLEGYFVPLHSFFLTPDSFEQKVLNVSFAFELMQDGGLEKPKPRPEDIVNCDLKSTLRV LYNLFTKYRNVE >ENSMUSP00000033030.7 pep:known chromosome:GRCm38:7:112427706:112591692:1 gene:ENSMUSG00000030770.14 transcript:ENSMUST00000033030.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parva description:parvin, alpha [Source:MGI Symbol;Acc:MGI:1931144] MATSPQKSPLVPKSPTPKSPPSRKKDDSFLGKLGGTLARRKKAKEVSEFQEEGMNAINLP LSPISFELDPEDTLLEENEVRTMVDPNSRNDPKLQELMKVLIDWINDVLVGERIIVKDLA EDLYDGQVLQKLFEKLESEKLNVAEVTQSEIAQKQKLQTVLEKINETLKLPPRSIKWNVD SVHAKNLVAILHLLVALSQYFRAPIRLPDHVSIQVVVVQKREGILQSRQIQEEITGNTEA LSGRHERDAFDTLFDHAPDKLNVVKKTLITFVNKHLNKLNLEVTELETQFADGVYLVLLM GLLEGYFVPLHSFFLTPDSFEQKVLNVSFAFELMQDGGLEKPKPRPEDIVNCDLKSTLRV LYNLFTKYRNVE >ENSMUSP00000118587.1 pep:known chromosome:GRCm38:7:112427905:112552929:1 gene:ENSMUSG00000030770.14 transcript:ENSMUST00000139720.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parva description:parvin, alpha [Source:MGI Symbol;Acc:MGI:1931144] MATSPQKSPLVPKSPTPKSPPSRKKDDSFLGKLGGTLARRKKAKEGGGYISSQRWELGFT TRHAMG >ENSMUSP00000102251.1 pep:known chromosome:GRCm38:7:112519701:112588970:1 gene:ENSMUSG00000030770.14 transcript:ENSMUST00000106640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parva description:parvin, alpha [Source:MGI Symbol;Acc:MGI:1931144] MGCGALCPKVSEFQEEGMNAINLPLSPISFELDPEDTLLEENEVRTMVDPNSRNDPKLQE LMKVLIDWINDVLVGERIIVKDLAEDLYDGQVLQKLFEKLESEKLNVAEVTQSEIAQKQK LQTVLEKINETLKLPPRSIKWNVDSVHAKNLVAILHLLVALSQYFRAPIRLPDHVSIQVV VVQKREGILQSRQIQEEITGNTEALSGRHERDAFDTLFDHAPDKLNVVKKTLITFVNKHL NKLNLEVTELETQFADGVYLVLLMGLLEGYFVPLHSFFLTPDSFEQKVLNVSFAFELMQD GGLEKPKPRPEDIVNCDLKSTLRVLYNLFTKYRNVE >ENSMUSP00000086582.4 pep:known chromosome:GRCm38:15:82069997:82126659:1 gene:ENSMUSG00000068117.9 transcript:ENSMUST00000089178.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mei1 description:meiotic double-stranded break formation protein 1 [Source:MGI Symbol;Acc:MGI:3028590] MTAADHPAFGRDEEAALLLERTHHRHDPRWLLPVSPHVCMACALELLPEPGVSLVRKKHV VFCFQDALVRHTSLVAQLVAQDQRVCIHFVRVLFGLLNSVEDGSMADLCIEVLVQLTTQP NMEQTIRCLMNECHRELCNLRSMGGSLATTTLLGKLVDTIPGLADELVMEHGNLMEHLLR GLVYPNEGVQASICYLYGKLYSSPTAAEMLSGHFREKLCALFLSTLDSAQTKDLQINCLG LLRQLLKYDLFVSLIMNKSVPVEGAESVERPSRETSLPLVLKKFLLSRDEILQVASSHCI TAVLVHSPAKHAVAFIHADIPEFLFEHLSSSSEILVWSSYNCLILLAEEPLFFSKCHTVY GIEAVVRSLQGSQQMTNTELHTQGLLLFKEILTRQPEEIRLFTSSALCRDASRALQEAVS SPVLAVAAEALRAISAFLRKDHQSSLPVQYRALRALLEAMLSRCMEFSQTPLNRRSLGHA CSRNSEKATLRKGSFLLSTLEGFRNACRLAVEFQGEPSAQENPFTAPSAEKEDTLEAFSE YLLSACDSQCIPMVMRYSEEATHPKLMEVFLSILHSLFVIIPHMKVKFSRKLADSSFIRL TLELKARFCSGQSHSSLNQVCSSFLYYMCLNLLSAPEKTEPLSQEELSAVSEFLQHGLPH ISSRTPESLAFLSDRQYVEAATRQRQYCILLLFYLAHIHDDRFVPEAELFVAVQSFLLSL QDQGECPPPVVCKASMYLLAVCGDKDSALAEAVISAIRKFLEGIPDLRGVYTHHPLLLRF FLAYPGLMSRFGHRVLELWFSWEESGYENLDDDSSPGRTVFPANLAALFRVLQSTPSILL ILLDLVYSSPVDTARKVLIVLRVFLWENEDVKVGGLIRGHFLLILQRLLVEYGASTSGAH SCASGNLPLLLNLLSLVQMRNESEQELDSMAMKLLHQVSMLCGKCSPAHVDILQPSFNFL YWSLHQTTPSSQKRAAAVLLSSTALLELLEKMLALTWTETGSSPRTPLLSSAWLLTASFS AQQHNGNLQVHRTLSVELNQVLKALSFPKKMSALLSAAILRFLRTALQQSFSSALVVLVP SGDQPLSTPEDAVLAPLGKSQVLALLIGLQNLLVQKDPLLSQACIGCLEALLDYLHARSP DIALHVASQPWNRFLLFTLLDAGENSFLRPEILRLMTLFVQYRSSCVLSREEVGLILQGA ALVDLSALSNDTLQALHGFLLQVQSMGLLNDQHMTQTLQSSLEGLCSRTFPAQPLFQDML CLGGVSVSQAHIRG >ENSMUSP00000140479.1 pep:known chromosome:GRCm38:15:82082464:82126809:1 gene:ENSMUSG00000068117.9 transcript:ENSMUST00000189540.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mei1 description:meiotic double-stranded break formation protein 1 [Source:MGI Symbol;Acc:MGI:3028590] MTNTELHTQGLLLFKEILTRQPEEIRLFTSSALCRDASRALQEAVSSPVLAVAAEALRAI SAFLRKDHQSSLPVQYRALRALLEAMLSRCMEFSQTPLNRRSLGHACSRNSEKATLRKGS FLLSTLEGFRNACRLAVEFQGEPSAQENPFTAPSAEKEDTLEAFSEYLLSACDSQCIPMV MRYSEEATHPKLMEVFLSILHSLFVIIPHMKVKFSRKLADSSFIRLTLELKARFCSGQSH SSLNQVCSSFLYYMCLNLLSAPEKTEPLSQEELSAVSEFLQHGLPHISSRTPESLAFLSD RQYVEAATRQRQYCILLLFYLAHIHDDRFVPEAELFVAVQSFLLSLQDQGECPPPVVCKA SMYLLAVCGDKDSALAEAVISAIRKFLEGIPDLRGVYTHHPLLLRFFLAYPGLMSRFGHR VLELWFSWEESGYENLDDDSSPGRTVFPANLAALFRVLQSTPSILLILLDLVYSSPVDTA RKVLIVLRVFLWENEDVKVGGLIRGHFLLILQRLLVEYGASTSGGNLPLLLNLLSLVQMR NESEQELDSMAMKLLHQVSMLCGKCSPAHVDILQPSFNFLYWSLHQTTPSSQKRAAAVLL SSTALLELLEKMLALTWTETGSSPRTPLLSSAWLLTASFSAQQHNGNLQVHRTLSVELNQ VLKALSFPKKMSALLSAAILRFLRTALQQSFSSALVVLVPSGDQPLSTPEDAVLAPLGKS QVLALLIGLQNLLVQKDPLLSQACIGCLEALLDYLHARSPDIALHVASQPWNRFLLFTLL DAGENSFLRPEILRLMTLFVQYRSSCVLSREEVGLILQGAALVDLSALSNDTLQALHGFL LQVQSMGLLNDQHMTQTLQSSLEGLCSRTFPAQPLFQDMLCLGGVSVSQAHIRG >ENSMUSP00000139689.1 pep:known chromosome:GRCm38:15:82082476:82126811:1 gene:ENSMUSG00000068117.9 transcript:ENSMUST00000188048.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mei1 description:meiotic double-stranded break formation protein 1 [Source:MGI Symbol;Acc:MGI:3028590] MTNTELHTQGLLLFKEILTRQPEEIRLFTSSALCRDASRALQEAVSSPVLAVAAEALRAI SAFLRKDHQSSLPVQYRALRALLEAMLSRCMEFSQTPLNRRSLGHACSRNSEKATLRKGS FLLSTLEGFRNACRLAVEFQGEPSAQENPFTAPSAEKEDTLEAFSEYLLSACDSQCIPMV MRYSEEATHPKLMEVFLSILHSLFVIIPHMKVKFSRKLADSSFIRLTLELKARFCSGQSH SSLNQVCSSFLYYMCLNLLSAPEKTEPLSQEELSAVSEFLQHGLPHISSRTPESLAFLSD RQYVEAATRQRQYCILLLFYLAHIHDDRFVPEAELFVAVQSFLLSLQDQGECPPPVVCKA SMYLLAVCGDKDSALAEAVISAIRKFLEGIPDLRGVYTHHPLLLRFFLAYPGLMSRFGHR VLELWFSWEESGYENLDDDSSPGRTVFPANLAALFRVLQSTPSILLILLDLVYSSPVDTA RKVLIVLRVFLWENEDVKVGGLIRGHFLLILQRLLVEYGASTSGGNLPLLLNLLSLVQMR NESEQELDSMAMKLLHQVSMLCGKCSPAHVDILQPSFNFLYWSLHQTTPSSQKRAAAVLL SSTALLELLEKMLALTWTETGSSPRTPLLSSAWLLTASFSAQQHNGNLQVHRTLSVELNQ VLKALSFPKKMSALLSAAILRFLRTALQQSFSSALVVLVPSGDQPLSTPEDAVLAPLGKS QVLALLIGLQNLLVQKDPLLSQACIGCLEALLDYLHARSPDIALHVASQPWNRFLLFTLL DAGENSFLRPEILRLMTLFVQYRSSCVLSREEVGLILQGAALVDLSALSNDTLQALHGFL LQVQSMGLLNDQHMTQTLQSSLEGLCSRTFPAQPLFQDMLCLGGVSVSQAHIRG >ENSMUSP00000140309.1 pep:known chromosome:GRCm38:15:82083163:82126736:1 gene:ENSMUSG00000068117.9 transcript:ENSMUST00000186125.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mei1 description:meiotic double-stranded break formation protein 1 [Source:MGI Symbol;Acc:MGI:3028590] MTNTELHTQGLLLFKEILTRQPEEIRLFTSSALCRDASRALQEAVSSPVLAVAAEALRAI SAFLRKDHQSSLPVQYRALRALLEAMLSRCMEFSQTPLNRRSLGHACSRNSEKATLRKGS FLLSTLEGFRNACRLAVEFQGEPSAQENPFTAPSAEKEDTLEAFSEYLLSACDSQCIPMV MRYSEEATHPKLMEVFLSILHSLFVIIPHMKVKFSRKLADSSFIRLTLELKARFCSGQRT LCSV >ENSMUSP00000101630.1 pep:known chromosome:GRCm38:7:141408184:141414136:-1 gene:ENSMUSG00000051007.14 transcript:ENSMUST00000106008.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pddc1 description:Parkinson disease 7 domain containing 1 [Source:MGI Symbol;Acc:MGI:2387178] MASERLPSRPACLLVASGASEGVSAQSFVHCFTLASAAFNLQVATPGGKAIDFVDVTESN ARWVQDFRLKAYASPAKLESIDGARYHALLIPSCPGALTDLASSGSLARILQHFRSESKP ICAIGHGVAALCCATNEDRSWVFQGYSLTGPSVYELIRAPGFARLPLIVEDFVKDSGAGF SASEPDAVHVVLDRHLVTGQNANSTVPAVQNLLFLCGSRK >ENSMUSP00000098566.2 pep:known chromosome:GRCm38:11:69095217:69106458:1 gene:ENSMUSG00000020893.17 transcript:ENSMUST00000101004.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Per1 description:period circadian clock 1 [Source:MGI Symbol;Acc:MGI:1098283] MSGPLEGADGGGDPRPGEPFCPGGVPSPGAPQHRPCPGPSLADDTDANSNGSSGNESNGP ESRGASQRSSHSSSSGNGKDSALLETTESSKSTNSQSPSPPSSSIAYSLLSASSEQDNPS TSGCSSEQSARARTQKELMTALRELKLRLPPERRGKGRSGTLATLQYALACVKQVQANQE YYQQWSLEEGEPCAMDMSTYTLEELEHITSEYTLRNQDTFSVAVSFLTGRIVYISEQAGV LLRCKRDVFRGARFSELLAPQDVGVFYGSTTPSRLPTWGTGTSAGSGLKDFTQEKSVFCR IRGGPDRDPGPRYQPFRLTPYVTKIRVSDGAPAQPCCLLIAERIHSGYEAPRIPPDKRIF TTRHTPSCLFQDVDERAAPLLGYLPQDLLGAPVLLFLHPEDRPLMLAIHKKILQLAGQPF DHSPIRFCARNGEYVTMDTSWAGFVHPWSRKVAFVLGRHKVRTAPLNEDVFTPPAPSPAP SLDSDIQELSEQIHRLLLQPVHSSSPTGLCGVGPLMSPGPLHSPGSSSDSNGGDAEGPGP PAPVTFQQICKDVHLVKHQGQQLFIESRAKPPPRPRLLATGTFKAKVLPCQSPNPELEVA PVPDQASLALAPEEPERKETSGCSYQQINCLDSILRYLESCNIPSTTKRKCASSSSYTAS SASDDDKQRAGPVPVGAKKDPSSAMLSGEGATPRKEPVVGGTLSPLALANKAESVVSVTS QCSFSSTIVHVGDKKPPESDIIMMEDLPGLAPGPAPSPAPSPTVAPDPTPDAYRPVGLTK AVLSLHTQKEEQAFLNRFRDLGRLRGLDTSSVAPSAPGERGSHLGLP >ENSMUSP00000021271.7 pep:known chromosome:GRCm38:11:69098948:69109960:1 gene:ENSMUSG00000020893.17 transcript:ENSMUST00000021271.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Per1 description:period circadian clock 1 [Source:MGI Symbol;Acc:MGI:1098283] MSGPLEGADGGGDPRPGEPFCPGGVPSPGAPQHRPCPGPSLADDTDANSNGSSGNESNGP ESRGASQRSSHSSSSGNGKDSALLETTESSKSTNSQSPSPPSSSIAYSLLSASSEQDNPS TSGCSSEQSARARTQKELMTALRELKLRLPPERRGKGRSGTLATLQYALACVKQVQANQE YYQQWSLEEGEPCAMDMSTYTLEELEHITSEYTLRNQDTFSVAVSFLTGRIVYISEQAGV LLRCKRDVFRGARFSELLAPQDVGVFYGSTTPSRLPTWGTGTSAGSGLKDFTQEKSVFCR IRGGPDRDPGPRYQPFRLTPYVTKIRVSDGAPAQPCCLLIAERIHSGYEAPRIPPDKRIF TTRHTPSCLFQDVDERAAPLLGYLPQDLLGAPVLLFLHPEDRPLMLAIHKKILQLAGQPF DHSPIRFCARNGEYVTMDTSWAGFVHPWSRKVAFVLGRHKVRTAPLNEDVFTPPAPSPAP SLDSDIQELSEQIHRLLLQPVHSSSPTGLCGVGPLMSPGPLHSPGSSSDSNGGDAEGPGP PAPVTFQQICKDVHLVKHQGQQLFIESRAKPPPRPRLLATGTFKAKVLPCQSPNPELEVA PVPDQASLALAPEEPERKETSGCSYQQINCLDSILRYLESCNIPSTTKRKCASSSSYTAS SASDDDKQRAGPVPVGAKKDPSSAMLSGEGATPRKEPVVGGTLSPLALANKAESVVSVTS QCSFSSTIVHVGDKKPPESDIIMMEDLPGLAPGPAPSPAPSPTVAPDPTPDAYRPVGLTK AVLSLHTQKEEQAFLNRFRDLGRLRGLDTSSVAPSAPGCHHGPIPPGRRHHCRSKAKRSR HHHHQTPRPETPCYVSHPSPVPSSGPWPPPPATTPFPAMVQPYPLPVFSPRGGPQPLPPA PTSVSPATFPSPLVTPMVALVLPNYLFPTPPSYPYGVSQAPVEGPPTPASHSPSPSLPPP PLSPPHRPDSPLFNSRCSSPLQLNLLQLEESPRTEGGAAAGGPGSSAGPLPPSEETAEPE ARLVEVTESSNQDALSGSSDLLELLLQEDSRSGTGSAASGSLGSGLGSGSGSGSHEGGST SASITRSSQSSHTSKYFGSIDSSEAEAGAARARTEPGDQVIKCVLQDPIWLLMANADQRV MMTYQVPSRDAASVLKQDRERLRAMQKQQPRFSEDQRRELGAVHSWVRKGQLPRALDVTA CVDCGSSVQDPGHSDDPLFSELDGLGLEPMEEGGGEGGGCGVGGGGGDGGEEAQTQIGAK GSSSQDSAMEEEEQGGGSSSPALPAEENSTS >ENSMUSP00000122164.1 pep:known chromosome:GRCm38:11:69100152:69101048:1 gene:ENSMUSG00000020893.17 transcript:ENSMUST00000132462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Per1 description:period circadian clock 1 [Source:MGI Symbol;Acc:MGI:1098283] MSGPLEGADGGGDPRPGEPFCPGGVPSPGAPQHRPCPGPSLADDTDANSNGSSGNESNGP ESRGASQRSSHSSSSGNGKDSALLETTESSKSTNSQSPSPPSSSIA >ENSMUSP00000121713.1 pep:known chromosome:GRCm38:11:69100509:69106296:1 gene:ENSMUSG00000020893.17 transcript:ENSMUST00000142392.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Per1 description:period circadian clock 1 [Source:MGI Symbol;Acc:MGI:1098283] MSGPLEGADGGGDPRPGEPFCPGGVPSPGAPQHRPCPGPSLADDTDANSNGSSGNESNGP ESRGASQRSSHSSSSGNGKDSALLETTESSKSTNSQSPSPPSSSIAYSLLSASSEQDNPS TSGCSSEQSARARTQKELMTALRELKLRLPPERRGKGRSGTLATLQYALACVKQVQANQE YYQQWSLEEGEPCAMDMSTYTLEELEHITSEYTLRNQDTFSVAVSFLTGRIVYISEQAGV LLRCKRDVFRGARFSELLAPQDVGVFYGSTTPSRLPTWGTGTSAGSGLKDFTQEKSVFCR IRGGPDRDPGPRYQPFRLTPYVTKIRVSDGAPAQPCCLLIAERIHSGYEAPRIPPDKRIF TTRHTPSCLFQDVDERAAPLLGYLPQDLLGAPVLLFLHPEDRPLMLAIHKKILQLAGQPF DHSPIRFCARNGEYVTMDTSWAGFVHPWSRKVAFVLGRHKVRTAPLNEDVFTPPAPSPAP SLDSDIQELSEQIHRLLLQPVHSSSPTGLCGVGPLMSPGPLHSPGSSSDSNGGDAEGPGP PAPLQVHSKPKSFPASPQTPNWRWPQFLTKPR >ENSMUSP00000099665.2 pep:known chromosome:GRCm38:11:69100522:69109960:1 gene:ENSMUSG00000020893.17 transcript:ENSMUST00000102605.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Per1 description:period circadian clock 1 [Source:MGI Symbol;Acc:MGI:1098283] MSGPLEGADGGGDPRPGEPFCPGGVPSPGAPQHRPCPGPSLADDTDANSNGSSGNESNGP ESRGASQRSSHSSSSGNGKDSALLETTESSKSTNSQSPSPPSSSIAYSLLSASSEQDNPS TSGCSSEQSARARTQKELMTALRELKLRLPPERRGKGRSGTLATLQYALACVKQVQANQE YYQQWSLEEGEPCAMDMSTYTLEELEHITSEYTLRNQAGVLLRCKRDVFRGARFSELLAP QDVGVFYGSTTPSRLPTWGTGTSAGSGLKDFTQEKSVFCRIRGGPDRDPGPRYQPFRLTP YVTKIRVSDGAPAQPCCLLIAERIHSGYEAPRIPPDKRIFTTRHTPSCLFQDVDERAAPL LGYLPQDLLGAPVLLFLHPEDRPLMLAIHKKILQLAGQPFDHSPIRFCARNGEYVTMDTS WAGFVHPWSRKVAFVLGRHKVRTAPLNEDVFTPPAPSPAPSLDSDIQELSEQIHRLLLQP VHSSSPTGLCGVGPLMSPGPLHSPGSSSDSNGGDAEGPGPPAPVTFQQICKDVHLVKHQG QQLFIESRAKPPPRPRLLATGTFKAKVLPCQSPNPELEVAPVPDQASLALAPEEPERKET SGCSYQQINCLDSILRYLESCNIPSTTKRKCASSSSYTASSASDDDKQRAGPVPVGAKKD PSSAMLSGEGATPRKEPVVGGTLSPLALANKAESVVSVTSQCSFSSTIVHVGDKKPPESD IIMMEDLPGLAPGPAPSPAPSPTVAPDPTPDAYRPVGLTKAVLSLHTQKEEQAFLNRFRD LGRLRGLDTSSVAPSAPGCHHGPIPPGRRHHCRSKAKRSRHHHHQTPRPETPCYVSHPSP VPSSGPWPPPPATTPFPAMVQPYPLPVFSPRGGPQPLPPAPTSVSPATFPSPLVTPMVAL VLPNYLFPTPPSYPYGVSQAPVEGPPTPASHSPSPSLPPPPLSPPHRPDSPLFNSRCSSP LQLNLLQLEESPRTEGGAAAGGPGSSAGPLPPSEETAEPEARLVEVTESSNQDALSGSSD LLELLLQEDSRSGTGSAASGSLGSGLGSGSGSGSHEGGSTSASITRSSQSSHTSKYFGSI DSSEAEAGAARARTEPGDQVIKCVLQDPIWLLMANADQRVMMTYQVPSRDAASVLKQDRE RLRAMQKQQPRFSEDQRRELGAVHSWVRKGQLPRALDVTACVDCGSSVQDPGHSDDPLFS ELDGLGLEPMEEGGGEGGGCGVGGGGGDGGEEAQTQIGAKGSSSQDSAMEEEEQGGGSSS PALPAEENSTS >ENSMUSP00000132635.1 pep:known chromosome:GRCm38:11:69100158:69109948:1 gene:ENSMUSG00000020893.17 transcript:ENSMUST00000166748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Per1 description:period circadian clock 1 [Source:MGI Symbol;Acc:MGI:1098283] MSGPLEGADGGGDPRPGEPFCPGGVPSPGAPQHRPCPGPSLADDTDANSNGSSGNESNGP ESRGASQRSSHSSSSGNGKDSALLETTESSKSTNSQSPSPPSSSIAYSLLSASSEQDNPS TSGCSSEQSARARTQKELMTALRELKLRLPPERRGKGRSGTLATLQYALACVKQVQANQE YYQQWSLEEGEPCAMDMSTYTLEELEHITSEYTLRNQDTFSVAVSFLTGRIVYISEQAGV LLRCKRDVFRGARFSELLAPQDVGVFYGSTTPSRLPTWGTGTSAGSGLKDFTQEKSVFCR IRGGPDRDPGPRYQPFRLTPYVTKIRVSDGAPAQPCCLLIAERIHSGYEAPRIPPDKRIF TTRHTPSCLFQDVDERAAPLLGYLPQDLLGAPVLLFLHPEDRPLMLAIHKKILQLAGQPF DHSPIRFCARNGEYVTMDTSWAGFVHPWSRKVAFVLGRHKVRTAPLNEDVFTPPAPSPAP SLDSDIQELSEQIHRLLLQPVHSSSPTGLCGVGPLMSPGPLHSPGSSSDSNGGDAEGPGP PAPVTFQQICKDVHLVKHQGQQLFIESRAKPPPRPRLLATGTFKAKVLPCQSPNPELEVA PVPDQASLALAPEEPERKETSGCSYQQINCLDSILRYLESCNIPSTTKRKCASSSSYTAS SASDDDKQRAGPVPVGAKKDPSSAMLSGEGATPRKEPVVGGTLSPLALANKAESVVSVTS QCSFSSTIVHVGDKKPPESDIIMMEDLPGLAPGPAPSPAPSPTVAPDPTPDAYRPVGLTK AVLSLHTQKEEQAFLNRFRDLGRLRGLDTSSVAPSAPGCHHGPIPPGRRHHCRSKAKRSR HHHHQTPRPETPCYVSHPSPVPSSGPWPPPPATTPFPAMVQPYPLPVFSPRGGPQPLPPA PTSVSPATFPSPLVTPMVALVLPNYLFPTPPSYPYGVSQAPVEGPPTPASHSPSPSLPPP PLSPPHRPDSPLFNSRCSSPLQLNLLQLEESPRTEGGAAAGGPGSSAGPLPPSEETAEPE ARLVEVTESSNQDALSGSSDLLELLLQEDSRSGTGSAASGSLGSGLGSGSGSGSHEGGST SASITRSSQSSHTSKYFGSIDSSEAEAGAARARTEPGDQVIKCVLQDPIWLLMANADQRV MMTYQVPSRDAASVLKQDRERLRAMQKQQPRFSEDQRRELGAVHSWVRKGQLPRALDVTA CVDCGSSVQDPGHSDDPLFSELDGLGLEPMEEGGGEGGGCGVGGGGGDGGEEAQTQIGAK GSSSQDSAMEEEEQGGGSSSPALPAEENSTS >ENSMUSP00000140794.1 pep:known chromosome:GRCm38:Y:62190866:62217137:1 gene:ENSMUSG00000094821.7 transcript:ENSMUST00000186938.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21518 description:predicted gene, 21518 [Source:MGI Symbol;Acc:MGI:5434873] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKCSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKY >ENSMUSP00000136187.1 pep:known chromosome:GRCm38:Y:62192289:62216942:1 gene:ENSMUSG00000094821.7 transcript:ENSMUST00000178115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21518 description:predicted gene, 21518 [Source:MGI Symbol;Acc:MGI:5434873] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEDDIYKTLHIKRKWMETYVKESFKCSNQKLERFCKTNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEV LMNLGTKY >ENSMUSP00000113267.1 pep:known chromosome:GRCm38:6:67291318:67339694:-1 gene:ENSMUSG00000018341.12 transcript:ENSMUST00000117441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il12rb2 description:interleukin 12 receptor, beta 2 [Source:MGI Symbol;Acc:MGI:1270861] MEPVGILDIWYMKQDIDYDRQQISLFWKSLNPSEARGKILHYQVTLQEVTKKTTLQNTTR HTSWTRVIPRTGAWTASVSAANSKGASAPTHINIVDLCGTGLLAPHQVSAKSENMDNILV TWQPPKKADSAVREYIVEWRALQPGSITKFPPHWLRIPPDNMSALISENIKPYICYEIRV HALSESQGGCSSIRGDSKHKAPVSGPHITAITEKKERLFISWTHIPFPEQRGCILHYRIY WKERDSTAQPELCEIQYRRSQNSHPISSLQPRVTYVLWMTAVTAAGESPQGNEREFCPQG KANWKAFVISSICIAIITVGTFSIRYFRQKAFTLLSTLKPQWYSRTIPDPANSTWVKKYP ILEEKIQLPTDNLLMAWPTPEEPEPLIIHEVLYHMIPVVRQPYYFKRGQGFQGYSTSKQD AMYIANPQATGTLTAETRQLVNLYKVLESRDPDSKLANLTSPLTVTPVNYLPSHEGYLPS NIEDLSPHEADPTDSFDLEHQHISLSIFASSSLRPLIFGGERLTLDRLKMGYDSLMSNEA >ENSMUSP00000010605.3 pep:known chromosome:GRCm38:6:67292018:67376188:-1 gene:ENSMUSG00000018341.12 transcript:ENSMUST00000018485.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il12rb2 description:interleukin 12 receptor, beta 2 [Source:MGI Symbol;Acc:MGI:1270861] MAQTVRECSLALLFLFMWLLIKANIDVCKLGTVTVQPAPVIPLGSAANISCSLNPKQGCS HYPSSNELILLKFVNDVLVENLHGKKVHDHTGHSSTFQVTNLSLGMTLFVCKLNCSNSQK KPPVPVCGVEISVGVAPEPPQNISCVQEGENGTVACSWNSGKVTYLKTNYTLQLSGPNNL TCQKQCFSDNRQNCNRLDLGINLSPDLAESRFIVRVTAINDLGNSSSLPHTFTFLDIVIP LPPWDIRINFLNASGSRGTLQWEDEGQVVLNQLRYQPLNSTSWNMVNATNAKGKYDLRDL RPFTEYEFQISSKLHLSGGSWSNWSESLRTRTPEEEPVGILDIWYMKQDIDYDRQQISLF WKSLNPSEARGKILHYQVTLQEVTKKTTLQNTTRHTSWTRVIPRTGAWTASVSAANSKGA SAPTHINIVDLCGTGLLAPHQVSAKSENMDNILVTWQPPKKADSAVREYIVEWRALQPGS ITKFPPHWLRIPPDNMSALISENIKPYICYEIRVHALSESQGGCSSIRGDSKHKAPVSGP HITAITEKKERLFISWTHIPFPEQRGCILHYRIYWKERDSTAQPELCEIQYRRSQNSHPI SSLQPRVTYVLWMTAVTAAGESPQGNEREFCPQGKANWKAFVISSICIAIITVGTFSIRY FRQKAFTLLSTLKPQWYSRTIPDPANSTWVKKYPILEEKIQLPTDNLLMAWPTPEEPEPL IIHEVLYHMIPVVRQPYYFKRGQGFQGYSTSKQDAMYIANPQATGTLTAETRQLVNLYKV LESRDPDSKLANLTSPLTVTPVNYLPSHEGYLPSNIEDLSPHEADPTDSFDLEHQHISLS IFASSSLRPLIFGGERLTLDRLKMGYDSLMSNEA >ENSMUSP00000141133.1 pep:known chromosome:GRCm38:1:135132700:135144034:1 gene:ENSMUSG00000031506.11 transcript:ENSMUST00000187985.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn7 description:protein tyrosine phosphatase, non-receptor type 7 [Source:MGI Symbol;Acc:MGI:2156893] MVQACEGRSRAQLPTLSLGADMTQPPPTKAPAKKHVRLQERRGSSVALMLDVQSLGTVEP ICSVNTPREVTLHFLRTAGHPLTRWTLQHQPPSPKQLEEEFLKIPSNFVNPEDLDIPGHA SKDRYKTILPNPQSRVCLGRAQSQEDSDYINANYIRGYDGKEKVYIATQGPMPNTVADFW EMVWQEDVSLIVMLTQLREGKEKCVHYWPTEEEAYGPFQIRIQDMKEHPEYTVRQLTIQH QQECRSVKHILFSAWPDHQTPESAGPLLRLVAEVETPETAANSGPIVVHCSAGIGRTGCF IATRIGCQQLKARGEVDILGIVCQLRLDRGGMIQTAEQYQFLHHTLALYAAQLPPEPNP >ENSMUSP00000045803.4 pep:known chromosome:GRCm38:1:135132725:135145317:1 gene:ENSMUSG00000031506.11 transcript:ENSMUST00000049449.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn7 description:protein tyrosine phosphatase, non-receptor type 7 [Source:MGI Symbol;Acc:MGI:2156893] MVQACEGRSRAQLPTLSLGADMTQPPPTKAPAKKHVRLQERRGSSVALMLDVQSLGTVEP ICSVNTPREVTLHFLRTAGHPLTRWTLQHQPPSPKQLEEEFLKIPSNFVNPEDLDIPGHA SKDRYKTILPNPQSRVCLGRAQSQEDSDYINANYIRGYDGKEKVYIATQGPMPNTVADFW EMVWQEDVSLIVMLTQLREGKEKCVHYWPTEEEAYGPFQIRIQDMKEHPEYTVRQLTIQH QQECRSVKHILFSAWPDHQTPESAGPLLRLVAEVETPETAANSGPIVVHCSAGIGRTGCF IATRIGCQQLKARGEVDILGIVCQLRLDRGGMIQTAEQYQFLHHTLALYAAQLPPEPNP >ENSMUSP00000129474.1 pep:known chromosome:GRCm38:1:135133309:135144920:1 gene:ENSMUSG00000031506.11 transcript:ENSMUST00000167080.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn7 description:protein tyrosine phosphatase, non-receptor type 7 [Source:MGI Symbol;Acc:MGI:2156893] MVQACEGRSRAQLPTLSLGADMTQPPPTKAPAKKHVRLQERRGSSVALMLDVQSLGTVEP ICSVNTPREVTLHFLRTAGHPLTRWTLQHQPPSPKQLEEEFLKIPSNFVNPEDLDIPGHA SKDRYKTILPNPQSRVCLGRAQSQEDSDYINANYIRGYDGKEKVYIATQGPMPNTVADFW EMVWQEDVSLIVMLTQLREGKEKCVHYWPTEEEAYGPFQIRIQDMKEHPEYTVRQLTIQH QQECRSVKHILFSAWPDHQTPESAGPLLRLVAEVETPETAANSGPIVVHCSAGIGRTGCF IATRIGCQQLKARGEVDILGIVCQLRLDRGGMIQTAEQYQFLHHTLALYAAQLPPEPNP >ENSMUSP00000042662.5 pep:known chromosome:GRCm38:4:112232245:112300468:1 gene:ENSMUSG00000070868.11 transcript:ENSMUST00000038455.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint3 description:selection and upkeep of intraepithelial T cells 3 [Source:MGI Symbol;Acc:MGI:3045331] MGSIQIIFAAYCVVLCVLQMLVLSSEQFTITGLERPVLAPLGGILELSCQLSPPQNAQQM EIRWFRNRYTEPVYLYRNGKDLHGETISKYVERTELLKHDIGKGKVTLRVFKVTVDDDGS YHCVFKDGIFYEEHITEVKVTATSSDIKIIMHPPNIKGVMLECHSRGWFPQPHMEWRDSN GQVIPATSKSQSQDENKLFNMTMNLFADVGLHQIVTCYIQNLLTHQEESISIVLTVPISD QHFELDTLYLEDISVILCVVIVFNLKLNLLTYYRLERKYDGCTPGCKACFYILKIIIIIL PFVFTFGCYNAIFLKYHQLQKKVSIPDPLYYFYTSWLVNMEMLGVFLVFFPTFINLIEFS QFIKTVPKPIWLCQENMREDDAIRHR >ENSMUSP00000131300.1 pep:known chromosome:GRCm38:4:112232245:112300468:1 gene:ENSMUSG00000070868.11 transcript:ENSMUST00000170945.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint3 description:selection and upkeep of intraepithelial T cells 3 [Source:MGI Symbol;Acc:MGI:3045331] MGSIQIIFAAYCVVLCVLQMLVLSSEQFTITGLERPVLAPLGGILELSCQLSPPQNAQQM EIRWFRNRYTEPVYLYRNGKDLHGETISKYVERTELLKHDIGKGKVTLRVFKVTVDDDGS YHCVFKDGIFYEEHITEVKVTATSSDIKIIMHPPNIKGVMLECHSRGWFPQPHMEWRDSN GQVIPATSKSQSQDENKLFNMTMNLFADVGLHQIVTCYIQNLLTHQEESISIVLTGDLFS WKIDWILILSIIACVMIPYSMTSYLQQHLIHGSCSQRSHHWRKNAMVCMSSVIAIIGSML ILHLKQRVPISDQHFELDTLYLEDISVILCVVIVFNLKLNLLTYYRLERKYDGCTPGCKA CFYILKIIIIILPFVFTFGCYNAIFLKYHQLQKKVSIPDPLYYFYTSWLVNMEMLGVFLV FFPTFINLIEFSQFIKTVPKPIWLCQENMREDDAIRHR >ENSMUSP00000120292.1 pep:known chromosome:GRCm38:4:126232167:126240111:-1 gene:ENSMUSG00000028849.17 transcript:ENSMUST00000125981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7d1 description:MAP7 domain containing 1 [Source:MGI Symbol;Acc:MGI:2384297] XSVKKTWAEIRQQRWSWAGALHHSSPGRKTNRSLQLSAWESSIVDRLMTPTLSFLARSRS AVTLPRNGRDQGRGSGPGRRPTRARAGASLAPGPHPDRTHPSAAVPVCPRSASASPLTPC SAPRSAHRCTPSGERPERRKPGAGGSPALARRRLEATPVQKKEKKDKERENEKEKSALAR ERNLKKRQSLPASIRPRLSTGSELSPKSKARPSSPSTTWHRPASPCPSPGPGHALPPKPP SPRGTTASPKGRVRRKEEAKESPSPSGPEDKNHRKSRAAEEKEPAAPASPAPSPVPSPTP AQPQKEQSSTQIPAETAVPAVPAAPTAPPTAAPSVTPSKPMAGTTDREEATRLLAEKRRQ AREQREREEQERKLQAERDKRMREEQLAREAEARAEREAEARRREEQEAREKAQAEQEEQ ERLQKQKEEAEARSREEAERQRQEREKHFQKEEQERQERRKRLEEIMKRTRKSEAAETKK QDAKETAANNSGPDPVKAVETRPSGLQKDSMQKEELAPQEPQWSLPSKEMPGSLVNGLQP LPAHQENGFSPKGTAGDKSLGRTAEGLLPFAEAEAFLKKAVVQPPQVTEVL >ENSMUSP00000113250.1 pep:known chromosome:GRCm38:4:126232167:126256277:-1 gene:ENSMUSG00000028849.17 transcript:ENSMUST00000122129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7d1 description:MAP7 domain containing 1 [Source:MGI Symbol;Acc:MGI:2384297] MESGPRVEPGPGAPAAVLARIPQEPRPSPEGDPSPPPPPTPMSALVPDTPPDTPPALKTA TNPKQLPLEPGNPTGQISPQPAPPQEECPSSEAKSRGPTPTATGPREAKPSRRSSQPSPT TVPASDSPPAKQDVKKAGERHKLAKERREERAKYLAAKKAVWLEKEEKAKALREKQLQER RRRLEEQRLKAEQRRAALEERQRQKLEKNKERYEAAIQRSVKKTWAEIRQQRWSWAGALH HSSPGRKTSGSRCSVSAVNLPKHVDSIINKRLSKSSATLWNSPSRNRSLQLSAWESSIVD RLMTPTLSFLARSRSAVTLPRNGRDQAVPVCPRSASASPLTPCSAPRSAHRCTPSGERPE RRKPGAGGSPALARRRLEATPVQKKEKKDKERENEKEKSALARERNLKKRQSLPASIRPR LSTGSELSPKSKARPSSPSTTWHRPASPCPSPGPGHALPPKPPSPRGTTASPKGRVRRKE EAKESPSPSGPEDKNHRKSRAAEEKEPAAPASPAPSPVPSPTPAQPQKEQSSTQIPAETA VPAVPAAPTAPPTAAPSVTPSKPMAGTTDREEATRLLAEKRRQAREQREREEQERKLQAE RDKRMREEQLAREAEARAEREAEARRREEQEAREKAQAEQEEQERLQKQKEEAEARSREE AERQRQEREKHFQKEEQERQERRKRLEEIMKRTRKSEAAETKKQDAKETAANNSGPDPVK AVETRPSGLQKDSMQKEELAPQEPQWSLPSKEMPGSLVNGLQPLPAHQENGFSPKGTAGD KSLGRTAEGLLPFAEAEAFLKKAVVQPPQVTEVL >ENSMUSP00000054338.8 pep:known chromosome:GRCm38:4:126232167:126256283:-1 gene:ENSMUSG00000028849.17 transcript:ENSMUST00000061143.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7d1 description:MAP7 domain containing 1 [Source:MGI Symbol;Acc:MGI:2384297] MESGPRVEPGPGAPAAVLARIPQEPRPSPEGDPSPPPPPTPMSALVPDTPPDTPPALKTA TNPKQLPLEPGNPTGQISPQPAPPQEECPSSEAKSRGPTPTATGPREAKPSRRSSQPSPT TVPASDSPPAKQDVKKAGERHKLAKERREERAKYLAAKKAVWLEKEEKAKALREKQLQER RRRLEEQRLKAEQRRAALEERQRQKLEKNKERYEAAIQRSVKKTWAEIRQQRWSWAGALH HSSPGRKTSGSRCSVSAVNLPKHVDSIINKRLSKSSATLWNSPSRNRSLQLSAWESSIVD RLMTPTLSFLARSRSAVTLPRNGRDQGRGSGPGRRPTRARAGASLAPGPHPDRTHPSAAV PVCPRSASASPLTPCSAPRSAHRCTPSGERPERRKPGAGGSPALARRRLEATPVQKKEKK DKERENEKEKSALARERNLKKRQSLPASIRPRLSTGSELSPKSKARPSSPSTTWHRPASP CPSPGPGHALPPKPPSPRGTTASPKGRVRRKEEAKESPSPSGPEDKNHRKSRAAEEKEPA APASPAPSPVPSPTPAQPQKEQSSTQIPAETAVPAVPAAPTAPPTAAPSVTPSKPMAGTT DREEATRLLAEKRRQAREQREREEQERKLQAERDKRMREEQLAREAEARAEREAEARRRE EQEAREKAQAEQEEQERLQKQKEEAEARSREEAERQRQEREKHFQKEEQERQERRKRLEE IMKRTRKSEAAETKKQDAKETAANNSGPDPVKAVETRPSGLQKDSMQKEELAPQEPQWSL PSKEMPGSLVNGLQPLPAHQENGFSPKGTAGDKSLGRTAEGLLPFAEAEAFLKKAVVQPP QVTEVL >ENSMUSP00000101738.2 pep:known chromosome:GRCm38:4:126232167:126256343:-1 gene:ENSMUSG00000028849.17 transcript:ENSMUST00000106132.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7d1 description:MAP7 domain containing 1 [Source:MGI Symbol;Acc:MGI:2384297] MESGPRVEPGPGAPAAVLARIPQEPRPSPEGDPSPPPPPTPMSALVPDTPPDTPPALKTA TNPKQLPLEPGNPTGQISPQPAPPQEECPSSEAKSRGPTPTATGPREAKPSRRSSQPSPT TVPASDSPPAKQDVKKAGERHKLAKERREERAKYLAAKKAVWLEKEEKAKALREKQLQER RRRLEEQRLKAEQRRAALEERQRQKLEKNKERYEAAIQRSVKKTWAEIRQQRWSWAGALH HSSPGHRSLQLSAWESSIVDRLMTPTLSFLARSRSAVTLPRNGRDQAVPVCPRSASASPL TPCSAPRSAHRCTPSGERPERRKPGAGGSPALARRRLEATPVQKKEKKDKERENEKEKSA LARERNLKKRQSLPASIRPRLSTGSELSPKSKARPSSPSTTWHRPASPCPSPGPGHALPP KPPSPRGTTASPKGRVRRKEEAKESPSPSGPEDKNHRKSRAAEEKEPAAPASPAPSPVPS PTPAQPQKEQSSTQIPAETAVPAVPAAPTAPPTAAPSVTPSKPMAGTTDREEATRLLAEK RRQAREQREREEQERKLQAERDKRMREEQLAREAEARAEREAEARRREEQEAREKAQAEQ EEQERLQKQKEEAEARSREEAERQRQEREKHFQKEEQERQERRKRLEEIMKRTRKSEAAE TKKQDAKETAANNSGPDPVKAVETRPSGLQKDSMQKEELAPQEPQWSLPSKEMPGSLVNG LQPLPAHQENGFSPKGTAGDKSLGRTAEGLLPFAEAEAFLKKAVVQPPQVTEVL >ENSMUSP00000008021.2 pep:known chromosome:GRCm38:11:98383811:98384953:1 gene:ENSMUSG00000007877.2 transcript:ENSMUST00000008021.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcap description:titin-cap [Source:MGI Symbol;Acc:MGI:1330233] MATSELSCQVSEENQERREAFWAEWKDLTLSTRPEEGCSLHEEDTQRHETYHRQGQCQAV VQRSPWLVMRLGILGRGLQEYQLPYQRVLPLPIFTPTKVGASKEEREETPIQLRELLALE TALGGQCVERQDVAEITKQLPPVVPVSKPGPLRRTLSRSMSQEAQRG >ENSMUSP00000081989.2 pep:known chromosome:GRCm38:9:110880174:110900524:1 gene:ENSMUSG00000044037.15 transcript:ENSMUST00000084926.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2cl description:ALS2 C-terminal like [Source:MGI Symbol;Acc:MGI:2447532] MSSSEEADLLRLEEVFSTTLARTISLILQPLLLADPEPSDPCGKECLRLLQQLHESAQRL WYVTEQSLLSLRQRLYHPPSKGLEAVLLLSNADHVLQAHMEYIKSYTDCVVAQAFQKVSK KRSEFWRSQRKALRQLLSSGNSEGSVGTTMCQALRQPLSQHVQKYLLLLLSLRDTLDESH PAQELVMHAITLFGNLQSFMGQALDQAVATQALWHSLSSRLRDVLCSPAHRLLQDSQDIP VVVTPLRAERVLLFDDSLVLLQGHNTHTFDLKLVWVKPGQDKCVLHILTPEEEISFCTRD PQGQVVWQWKVTQAVCQALCGKKDFPVLGSGRETSVPPECRCVAYTFRREGRLCQATYDG EWCRAKPHGKGTLKWPDGRNHVGTFYQGLEHGFGICLVPQASEDKFDCYKCHWREGRMCE YGICEYGTDEVYKGYFQAGLRHGFGILESAPQAPQPFRYTGHWERGQRSGYGIEEDRDRG ERYIGMWQADQRHGPGVVVTQAGVCYQGTFQGDKMAGPGILLCEDDSLYEGTFTRDLTLL GKGKVTFPNGFTLDGSFSSGTDKGLYTQGVLDTAALPPDPSSTRKRQLGLGTFPVESRWQ GVYSPFRDFLRLGCPGEQQEALLGFHTQSSRELRKSQECLCCERSHPEDCVGSMEDTLKE LLQHRKPKALQQYLRKALSNSRHPLGQLLRTLMLTFQATYSGIGANKHLQEMAQEEVKQH ARELWAAYRGLLKVALQRQGQTLEEENMETRDLQVHGLLLPLILPSFYSELFTLYLLLHE REDGLYSRGITNLSLFPDTKLLEFLDVQKHLWPLKDLKLTSNQRYSLVRDKCFLTATECL QKIITTVHPREKLEVLEKTYGEIEATVSRVLGCKYKLPMDDLLPLLIYVVSRARIQHLGA EIHLIRDMMDPVHTGGLHDFLLTALESCYEHIQKEDMRLHHLPGHWDARELW >ENSMUSP00000123304.1 pep:known chromosome:GRCm38:9:110880206:110900530:1 gene:ENSMUSG00000044037.15 transcript:ENSMUST00000130386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2cl description:ALS2 C-terminal like [Source:MGI Symbol;Acc:MGI:2447532] MSSSEEADLLRLEEVFSTTLARTISLILQPLLLADPEPSDPCGKECLRLLQQLHESAQRL WYVTEQSLLSLRQRLYHPPSKGLEAVLLLSNADHVLQAHMEYIKSYTDCVVAQAFQKVSK KRSEFWRSQRKALRQLLSSGNSEGSVGTTMCQALRQPLSQHVQKYLLLLLSLRDTLDESH PAQELVMHAITLFGNLQSFMGQALDQAVATQALWHSLSSRLRDVLCSPAHRLLQDSQDIP VVVTPLRAERVLLFDDSLVLLQGHNTHTFDLKLVWVKPGQDKCVLHILTPEEEISFCTRD PQGQVVWQWKVTQAVCQALCGKKDFPVLGSGRETSVPPECRCVAYTFRREGRLCQATYDG EWCRAKPHGKGTLKWPDGRNHVGTFYQGLEHGFGICLVPQASEDKFDCYKCHWREGRMCE YGICEYGTDEVYKGYFQAGLRHGFGILESAPQAPQPFRYTGHWERGQRSGYGIEEDRDRG ERYIGMWQADQRHGPGVVVTQAGVCYQGTFQGDKMAGPGILLCEDDSLYEGTFTRDLTLL GKGKVTFPNGFTLDGSFSSGTDKGLYTQGVLDTAALPPDPSSTRKRQLGLGTFPVESRWQ GVYSPFRDFLRLGCPGEQQEALLGFHTQSSRELRKSQECLCCERSHPEDCVGSMEDTLKE LLQHRKPKALQQYLRKALSNSRHPLGQLLRTLMLTFQATYSGIGANKHLQEMAQEEVKQH ARELWAAYRGLLKVALQRQGQTLEEENMETRDLQVHGLLLPLILPSFYSELFTLYLLLHE REDGLYSRGITNLSLFPDTKLLEFLDVQKHLWPLKDLKLTSNQRYSLVRDKCFLTATECL QKIITTVHPREKLEVLEKTYGEIEATVSRVLGCKYKLPMDDLLPLLIYVVSRARIQHLGA EIHLIRDMMDPVHTGGLHDFLLTALESCYEHIQKEDMRLHHLPGHWDARELW >ENSMUSP00000142853.1 pep:known chromosome:GRCm38:9:110880233:110884880:1 gene:ENSMUSG00000044037.15 transcript:ENSMUST00000123389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2cl description:ALS2 C-terminal like [Source:MGI Symbol;Acc:MGI:2447532] MSSSEEADLLRLEEVFSTTLARTISLILQPLLLADPEPS >ENSMUSP00000115718.1 pep:known chromosome:GRCm38:9:110880337:110900527:1 gene:ENSMUSG00000044037.15 transcript:ENSMUST00000155014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Als2cl description:ALS2 C-terminal like [Source:MGI Symbol;Acc:MGI:2447532] MSSSEEADLLRLEEVFSTTLARTISLILQPLLLADPEPSDPCGKECLRLLQQLHESAQRL WYVTEQSLLSLRQRLYHPPSKGLEAVLLLSNADHVLQAHMEYIKSYTDCVVAQAFQKVSK KRSEFWRSQRKALRQLLSSGNSEGSVGTTMCQALRQPLSQHVQKYLLLLLSLRDTLDESH PAQELVMHAITLFGNLQSFMGQALDQAVATQALWHSLSSRLRDVLCSPAHRLLQDSQDIP VVVTPLRAERVLLFDDSLVLLQGHNTHTFDLKLVWVKPGQDKCVLHILTPEEEISFCTRD PQGQVVWQWKVTQAVCQALCGKKDFPVLGSGRETSVPPECRCVAYTFRREGRLCQATYDG EWCRAKPHGKGTLKWPDGRNHVGTFYQGLEHGFGICLVPQASEDKFDCYKCHWREGRMCE YGICEYGTDEVYKGYFQAGLRHGFGILESAPQAPQPFRYTGHWERGQRSGYGIEEDRDRG ERYIGMWQADQRHGPGVVVTQAGVCYQGTFQGDKMAGPGILLCEDDSLYEGTFTRDLTLL GKGKVTFPNGFTLDGSFSSGTDKGLYTQGVLDTAALPPDPSSTRKRQLGLGTFPVESRWQ GVYSPFRDFLRLGCPGEQQEALLGFHTQSSRELRKSQECLCCERSHPEDCVGSMEDTLKE LLQHRKPKALQQYLRKALSNSRHPLGQLLRTLMLTFQATYSGIGANKHLQEMAQEEVKQH ARELWAAYRGLLKVALQRQGQTLEEENMETRDLQVHGLLLPLILPSFYSELFTLYLLLHE REDGLYSRGITNLSLFPDTKLLEFLDVQKHLWPLKDLKLTSNQRYSLVRDKCFLTATECL QKIITTVHPREKLEVLEKTYGEIEATVSRVLGCKYKLPMDDLLPLLIYVVSRARIQHLGA EIHLIRDMMDPVHTGGLHDFLLTALESCYEHIQKEDMRLHHLPGHWDARELW >ENSMUSP00000085794.5 pep:known chromosome:GRCm38:1:20057779:20618064:-1 gene:ENSMUSG00000043760.16 transcript:ENSMUST00000088448.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkhd1 description:polycystic kidney and hepatic disease 1 [Source:MGI Symbol;Acc:MGI:2155808] MMLAWLVSLLSMEVLLLAKPYSSFQFEPAEGSLAGGTWITVVFDGLDRSILYPNNGSQLQ IDLVSVAIPTLRIPCDVSPAFVDLPVVTCQTRSLPSEADAGPYSLEMRSGEQVLGTPCPG SLDSCTFKFSKDQTPVLYQVYPASGVPGEVVSVYGRVITTWLETFDPDVDYIESPLILEA REDKWLTPCSLINRQTGSCFPIQEEHGLGNVQCRVEGDYIGSQNVSFSVFNKGRSMVHKE AWLISAKQELFLYQTYPEILSVFPKVGSLGGRTDIIITGDFFDPSARVTIAGIPCDIRYV SPRKIECTTRAPGNEARLTAPQAGNRGLRFEVGDATKDVELTEATPGYRWQIVPNASSPS GFWSKEGRPFRARLSGFFVAPQTNNYTFWIQADSQASLCFSSSEEPRTKVEVASVGVGTA DWFDSWEQIGNEGSWHQKTTKLELQGGAKYYLEAEQHGIAPSRGMRIGVQIHNTWLNPDV VNTYLLEKHQIRARAQRLPEIQVLHVSGKGNFFLTWGNVSSQPVPANATAQQIQTTIEEL LVVKCNLAPFSAHVLLRLGFEQGLEGSRSDGVRTSSTEPFCGRFSLGQLGHLILIPEAAD KGYQLDRYPYLCLAYRGHMNKTLDMTVSFLFGFQTIMKNITCDWSLTDPHPESWQFTCIN LWDTCLCHSEDIQSSLANTPLLAHRIDIRPVVPEAGLLYVDEIILADTNVTVSQADSGRA CPGGNVVESVSVVGVPPVYSISSWLAGCGSELPLITACSVSTEGTGDGSELIEVTAQRLQ RTSPPLGGHFFLYLSDTVIPDVPVRMSARQLHKLLQDSADESTSGYLNAGDFTVTEDLNS CYEHVWTLSWTTQTGDLPNFIRVSDQNLTGVNPTVTARVVYDGGVFLGPIFGDMLATANQ QTQVAVQVNDIPAYCSGSCSFQYQQESTPSVDHVWYSLGSDVNLLVHFTGTGFPRDTQFL QVTVNKTSCEVLFSNETNVACELALLPVGVHQIFMLVIPSGLAVHASGEDLLLHVEPRLD AVEPSTAAEIGGRWVTLRGSSLEGVSLVLFGTQSCVIDAIRSNSQQIQCKVPPRGKDGYT VNVTVISGDHSTVLARAFTYVSSLNPVIVSLSRNRSSIAGGEILFLGMSLLVNYTDLDVQ IHVQDTSAQVLSQTAWGLEVVLPPLVPGIHVISAFINGVSIRSQGVDLYIQYLTEVFSVE PCSGSLLGGTLLSLLGTGLGRDPALIRVLVDNHPCDIVNLTEVNIWCETPPAVLPPRADV LTVLASVEIWAGNTSFFHGPSLVGKGFTFTYEAAATPVVTAMWGEFRNNSVRFYVEGSNI SDSVILLGSLKCELEVQFFGDSMNLSGCFFPLHSLEAGVYTLQVRHKRMGFANMSVVPQK FELSPQIIAIFPTHGSKCGGTVLTVKGMAFSSRKRSVHVDISGPFACMILSLEDHTVLCQ TRFVGDQFSEASLALNITVLVNGLTSKCKGNCTLFIEEAATPIVDALTISISGSLTMVLM RGRRLATTADEPIAFVDDQLPCHTTFFNTSHVACQIRDLAPGFHYLSAVHTSAGYACLNS VSRNFFIVPQVLDYFPKDFSIHGGSLLTIKGTALRGWKATVVYVGRQACLTVNFSSDFIQ CIVPAGNGSAALEIDVNGVLYHIGLVDYSSIFTPELLSVSRSQDILTFTVARISGAANVD IFIGTSPCLGVAGNRTVLQCMVPLLPAGEYLVTGYDHSRGWASSTLILVLRATVTSVTKN YGCLGGRLLHVLGAGFSPGNISAAVCGAPCQVLANATVSAFSCLVLPLHVSLAFLCDLRH AEDSCKVRSSTYLRCDLTVSMGTERLPGSWPYVYLCEESSLCLFEPDHWTESVFPSFSGL FLSPKVERDEVLIYNSSCNITMETEAEMECEMPNQPITAKITEIQKSWGQNTQGNFSFQF CRRWSRPHSWFPQRVPHDGDSVTVETGHLLLLDANTSFLNSLHIKGGKLIFMDPGPIELR AHSILITDGGELHIGSEEKPFQGKARIKIYGSVHSTPFFPYGVKFLAVRNGTLSLHGSVP EVTVTYLQAAAHAGDKVLTLGEAVDWKPGDEAVITSGMTVAGAEATEVVVVETVHNADLH LRNPLRYSYDFRENWVAGENPILKPTVALLSRNIIIQGNFTLERVKLLNSCQEANTAKGN LKHCLYSKSEKMLGARDLGARVIIQSFPEEPSFVKLKGVQFRDLGQAFHKHLSSLALVGA MRGSYIQSCSVWNSFSRGLSMHRTWGLKVDSNVFYKIVGHALLLGSYLDGRFSTSETVTG RKNGWWEQGSTIRNNVIISVSAAEGLSGSEMLAPAGIYTFSPTNVMEGNRVCAAGYGYVF HLVTSQTLQAPLLSFNWNTAHSCTRYGLLVYPKFQPPWNNDTGFTLFQNFMVWGSAGGAQ IFRSNNLHLKNFQVYACRDFGIDILESDANTLITDSFLLGHFTHKGSLCMSAGIKTPQRW ELTISNTTFVNFDGNCVAIRTCSGCFQGQGGYTVKTRQLKFVNSSNLVAFPFPHAAVLED LDGSLSGKNGSHVLASMETLSDTCLTNASFSQIVPGSVCGEAVLFHRMSIALANSLDVPK NLTITDISNKTITVNYVEDTLSNYYGWMALLLDQETYSLQFESPWMNRSLQYSATFDSFA PGNYLLIMHRDLPPYPDILLRCGSQVGHSLPFHPLPSQDRACDWFFNRQLRQLTYLVSGE GQVKVFLQLKPGVPPSVSASTSVPESASRWSLPETWQDVEKGWGGYNHTIPGPGDDVLIL PNKTVLVDTDLPVLRCLYVMGTLEFPVDRSNVLSVACLLIAGGELKVGTLENPLEKDQRL LIFLRASEEVVCDYFEGIHVDPGTIGVYGKLRLHSAYPKKSWVHLGADIAPGNERIIVHN AVDWQPHDTIVLSSSSYEAHEAEVLTVKEVKGHHIRIYERLKHRHIGSTHTMEDGQQVHL AAEVGLLTRNIRIQPDSSCRGRLLVGSFRKSSGEDFSGVLQLLNVEIQNMGLPLYSSIEF TGVSAGSWVISSTVHQSCSVGIHASSSHGVILTDNVVFGTNGHGIDVEGQNYSLTNNLVI LTMQSANSSPWVAGIKVNYAEDIILHGNVVAGSERLGFHVGGHGCSSEVLWSDNVVHSSL HGLHLYKKHESNNCTGVSGFMAFKNFDYGAMVQTENSVDIQNITLVDNTVGLLAITYVSS ALLSSVSTVQITLRNSVIVATSSSFDCIHDRKAPQSANWTSTDRAPSNPRGGRIGILWPV SASEPNAWPQEPWHKVRSRHSVPGIMKLQDVTFSSFVKSCYSNDLDVCILPNEYSTGVMY PITAERTRMLGIKDKNKFYFPVLQSSKDLVGTICPTLVCEYPRKYLFTDLDGRTLGLPPP VSVFPRTEEEWTGSFLNTGIFREEQKCTFRAMNQGFFCKQTEHAVLILDNVDATWTIPKS HPLVSVTNGFVDTFSIVKDSDLCPPTSSLSTFYSILPTRQMTKVCFPEQTPPFLRFLLLG NQRASKLILAVFYNEIQSPHVFLDKSFIPPTPLESAFSLLAEPSGANYFDIMNNLLYVVL QGEEPVEIHSSVSIHLALTVTFSVLEKGWERAMLESLSDFFQIDPNQIRLTLEMPGNKET LEAIANSERKRKRNCPSVTCGGPSIRYGQRRPLMAEMTSLKITPATTLETFSKVIVIEVG DLPNIRNSEPIQSLPSNRLQRLVNQVITAQQTGALENVLGMTVGALLVTQSKGVTGYRNA SSLITGNLIYTRPSELSILVQPSDGEVGIELPVQPRLVFLDEKNERVESLGLPSEPWIIS VSLEGASESVLKGCTLAETRDGYVTFSRLAVLISGSNWHLFFTVISPPGTNFTARSRTFV VLPVASKERSTIILALSLCSVASWVALSCLVCCWFKKSKTRKIKPEDISESQAKEQKKNT HNSSKPRGLQAKTAKENTLMGEDMRMKVMQGMQSQFPQHSMDGVSKRKVSRLAVTEERTT TPAPKIPRITCVPGSLAQQLTLQEPGNWQEAQQQLLRYQLAGRNQLLLLRPDLRQERKQG QEPSQLDKGSDCTGLSQEKATCIPTETFSLHTAPPETIQ >ENSMUSP00000035549.7 pep:known chromosome:GRCm38:11:98386450:98388181:1 gene:ENSMUSG00000038216.7 transcript:ENSMUST00000041301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnmt description:phenylethanolamine-N-methyltransferase [Source:MGI Symbol;Acc:MGI:97724] MNGGSDLKHATGSGSDPKHAAEMDPDSDAGQVAVALAYQRFEPRAYLRNNYAPPRGDLSN PDGVGPWKLRCMAQVFATGEVSGRVLIDIGSGPTIYQLLSACAHFEDITMTDFLEVNRQE LGLWLREEPGAFDWSVYSQHACLIEDKGESWQEKERQLRARVKRVLPIDVHKPQPLGTPS LVPLPADALVSAFCLEAVSPDLTSFQRALHHITTLLRPGGHLLLIGALEESWYLAGEARL SVVPVSEEEVREALVLGGYEVRELRTYIMPAHLCTGVDDVKGIFFAWAQKMEVQV >ENSMUSP00000119399.1 pep:known chromosome:GRCm38:10:75037066:75054748:1 gene:ENSMUSG00000020175.13 transcript:ENSMUST00000147802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab36 description:RAB36, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1924127] MRSSWTPLGPPVSQDRIITSFPKWYTPDACLQLKEHFHSRVSTACQHRNTGTAGLRLSKV VVVGDLYVGKTSLIHRLCKNVFDHDYKATIGVDFEIERFEIAGIPYSLQIWDTAGQEKFK CIASAYYRGAQVIITAFDLTDVQTLEHTRQWLEDVLRENEAGSCFIFLVGTKKDLLSGAA CEQAEAEAVHLANEMQAEYWSVSAKTGENVKAFFSRVAALAFEQSVLQDLEKRPSTQSQV GDGDGDLIRIEVPKTQENKRPPGLGCC >ENSMUSP00000020391.5 pep:known chromosome:GRCm38:10:75037084:75054103:1 gene:ENSMUSG00000020175.13 transcript:ENSMUST00000020391.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab36 description:RAB36, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1924127] MRSSWTPLGPPVSQDRIITSFPKWYTPDACLQLKEHFHSRVSTACQHRNTGTAGLRLSKV VVVGDLYVGKTSLIHRLCKNVFDHDYKATIGVDFEIERFEIAGIPYSLQIWDTAGQEKFK CIASAYYRGAQVIITAFDLTDVQTLEHTRQWLEDVLRENEAGSCFIFLVGTKKDLLSGAA CEQAEAEAVHLANEMQAEYWSVSAKTGENVKAFFSRVAALAFEQSVLQDLEKRPSTQSQV GDGDGDLIRIEVPKTQENKRPPGLGCC >ENSMUSP00000121693.1 pep:known chromosome:GRCm38:10:75037836:75054061:1 gene:ENSMUSG00000020175.13 transcript:ENSMUST00000146440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab36 description:RAB36, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1924127] MRSSWTPLGPPVSQDRIITSFPKWYTPDACLQLKEHFHSRVSTACQHRNTGTAGLRLSKV VVVGDLYVGKTSLIHRLCKNVFDHDYKATIGVDFEIERFEIAGIPYSLQIWDTAGQEKFK CIASAYYRGAQVIITAFDLTDVQTLEHTRQWLEDVLRENEAGSCFIFLVGTKKDLLSGAA CEQAEAEAVHLANEMQAEYWSVSAKTGENVKAFFSRVAALAFEQSVLQDLEKRPSTQSQV GDGDGDLIRIEVPKTQENKRPPGLGCC >ENSMUSP00000118718.1 pep:known chromosome:GRCm38:10:75037322:75050695:1 gene:ENSMUSG00000020175.13 transcript:ENSMUST00000139384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab36 description:RAB36, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1924127] MRSSWTPLGPPVSQDRIITSFPKWYTPDACLQLKEHFHSRVSTACQHRNTGTAGLRLSKV VVVGDLYVGKTSLIHSWDTAGQEKFKCIASAYYRGAQVIITAFDLTDVQTLEHTRQWLED VLRENEAGSCFIFL >ENSMUSP00000096087.2 pep:known chromosome:GRCm38:9:88723285:88731914:-1 gene:ENSMUSG00000099974.1 transcript:ENSMUST00000098486.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2a1d description:B cell leukemia/lymphoma 2 related protein A1d [Source:MGI Symbol;Acc:MGI:1278325] MSEYEFMYIHSLAEHYLQYVLQVPAFESAPSQACRVLQRVAFSVQKEVEKNLKSYLDDFH VESIDTARIIFNQVMEKEFEDGIINWGRIVTIFAFGGVLLKKLPQEQIALDVGAYKQVSS FVAEFIMNNTGEWIRRNGGWEDGFIKKFEPKSGWLTFLQMTGQIWEMLFLLK >ENSMUSP00000028781.8 pep:known chromosome:GRCm38:2:130906495:131030333:1 gene:ENSMUSG00000027312.14 transcript:ENSMUST00000028781.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrn description:attractin [Source:MGI Symbol;Acc:MGI:1341628] MVAVAAAAATEARLRGSTTATAAPAGRKGRQHRPCTATGAWRPGPRARLCLPRVLSRALP PPPLLPLLFSLLLLPLPREAEAAAVAAAVSGSAAAEAKECDRPCVNGGRCNPGTGQCVCP TGWVGEQCQHCGGRFRLTGSSGFVTDGPGNYKYKTKCTWLIEGQPNRIMRLRFNHFATEC SWDHLYVYDGDSIYAPLIAAFSGLIVPERDGNETAPEVTVTSGYALLHFFSDAAYNLTGF NITYNFDMCPNNCSGRGECKSSNSSSAVECECSENWKGESCDIPHCTDNCGFPHRGICNA SDTRGCSCFPHWQGPGCSIPVPANQSFWTREEYSDLKLPRASHKAVVNGNIMWVVGGYMF NHSDYSMVLAYDLTSREWLPLNHSVNSVVVRYGHSLALHKDKIYMYGGKIDSTGNVTNEL RVFHIHNESWVLLTPKAKDQYAVVGHSAHIVTLASGRVVMLVIFGHCPLYGYISVVQEYD LEKNTWSILHTQGALVQGGYGHSSVYDDRTKALYVHGGYKAFSANKYRLADDLYRYDVDT QMWTILKDSRFFRYLHTAVIVSGTMLVFGGNTHNDTSMSHGAKCFSSDFMAYDIACDRWS VLPRPELHHDVNRFGHSAVLYNSTMYVFGGFNSLLLSDVLVFTSEQCDAHRSEAACVAAG PGIRCLWDTQSSRCTSWELATEEQAEKLKSECFSKRTLDHDRCDQHTDCYSCTANTNDCH WCNDHCVPVNHSCTEGQISIAKYESCPKDNPMYYCNKKTSCRSCALDQNCQWEPRNQECI ALPENICGNGWHLVGNSCLKITTAKENYDNAKLSCRNHNAFLASLTSQKKVEFVLKQLRL MQSSQSMSKLTLTPWVGLRKINVSYWCWEDMSPFTNSLLQWMPSEPSDAGFCGILSEPST RGLKAATCINPLNGSVCERPANHSAKQCRTPCALRTACGECTSSSSECMWCSNMKQCVDS NAYVASFPFGQCMEWYTMSSCPPENCSGYCTCSHCLEQPGCGWCTDPSNTGKGKCIEGSY KGPVKMPSQASAGNVYPQPLLNSSMCLEDSRYNWSFIHCPACQCNGHSKCINQSICEKCE DLTTGKHCETCISGFYGDPTNGGKCQPCKCNGHASLCNTNTGKCFCTTKGVKGDECQLCE VENRYQGNPLKGTCYYTLLIDYQFTFSLSQEDDRYYTAINFVATPDEQNRDLDMFINASK NFNLNITWATSFPAGTQTGEEVPVVSKTNIKEYKDSFSNEKFDFRNHPNITFFVYVSNFT WPIKIQIAFSQHSNFMDLVQFFVTFFSCFLSLLLVAAVVWKIKQSCWASRRREQLLREMQ QMASRPFASVNVALETDEEPPDLIGGSIKTVPKPIALEPCFGNKAAVLSVFVRLPRGLGG IPPPGQSGLAVASALVDISQQMPIVYKEKSGAVRNRKQQPPAQPGTCI >ENSMUSP00000126567.1 pep:known chromosome:GRCm38:Y:2599099:2599434:1 gene:ENSMUSG00000094881.2 transcript:ENSMUST00000163651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6026 description:predicted gene 6026 [Source:MGI Symbol;Acc:MGI:3779546] MARKSQRRRRWKITHSQRAELQFPVSRVDRFLGEGIYSRRLSSSALVFLVGVLEYLTPNI LGLAGEVTHTSGMKRIAPEHVCQVVQNKEQLHQLFKQGGTSVFEPPEPEDN >ENSMUSP00000142275.1 pep:known chromosome:GRCm38:9:49502136:49798925:-1 gene:ENSMUSG00000039542.15 transcript:ENSMUST00000193547.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncam1 description:neural cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97281] MLRTKDLIWTLFFLGTAVSLQVDIVPSQGEISVGESKFFLCQVAGDAKDKDISWFSPNGE KLSPNQQRISVVWNDDDSSTLTIYNANIDDAGIYKCVVTAEDGTQSEATVNVKIFQKLMF KNAPTPQEFKEGEDAVIVCDVVSSLPPTIIWKHKGRDVILKKDVRFIVLSNNYLQIRGIK KTDEGTYRCEGRILARGEINFKDIQVIVNVPPTVQARQSIVNATANLGQSVTLVCDADGF PEPTMSWTKDGEPIENEEEDDEKHIFSDDSSELTIRNVDKNDEAEYVCIAENKAGEQDAS IHLKVFAKPKITYVENQTAMELEEQVTLTCEASGDPIPSITWRTSTRNISSEEKTLDGHM VVRSHARVSSLTLKSIQYTDAGEYICTASNTIGQDSQSMYLEVQYAPKLQGPVAVYTWEG NQVNITCEVFAYPSATISWFRDGQLLPSSNYSNIKIYNTPSASYLEVTPDSENDFGNYNC TAVNRIGQESLEFILVQADTPSSPSIDRVEPYSSTAQVQFDEPEATGGVPILKYKAEWKS LGEESWHFKWYDAKEANMEGIVTIMGLKPETRYSVRLAALNGKGLGEISAATEFKTQPVR EPSAPKLEGQMGEDGNSIKVNLIKQDDGGSPIRHYLVKYRALASEWKPEIRLPSGSDHVM LKSLDWNAEYEVYVVAENQQGKSKAAHFVFRTSAQPTAIPANGSPTAGLSTGAIVGILIV IFVLLLVVMDITCYFLNKCGLLMCIAVNLCGKAGPGAKGKDMEEGKAAFSKDESKEPIVE VRTEEERTPNHDGGKHTEPNETTPLTEPEKGPVETKSEPPESEAKPAPTEVKTVPNDATQ TKENESKA >ENSMUSP00000142331.1 pep:known chromosome:GRCm38:9:49502148:49568549:-1 gene:ENSMUSG00000039542.15 transcript:ENSMUST00000194252.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncam1 description:neural cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97281] XAGDAKDKDISWFSPNGEKLSPNQQRISVVWNDDDSSTLTIYNANIDDAGIYKCVVTAED GTQSEATVNVKIFQKLMFKNAPTPQEFKEGEDAVIVCDVVSSLPPTIIWKHKGRDVILKK DVRFIVLSNNYLQIRGIKKTDEGTYRCEGRILARGEINFKDIQVIVNVPPTVQARQSIVN ATANLGQSVTLVCDADGFPEPTMSWTKDGEPIENEEEDDEKHIFSDDSSELTIRNVDKND EAEYVCIAENKAGEQDASIHLKVFAKPKITYVENQTAMELEEQVTLTCEASGDPIPSITW RTSTRNISSEEKASWTRPEKQETLDGHMVVRSHARVSSLTLKSIQYTDAGEYICTASNTI GQDSQSMYLEVQYAPKLQGPVAVYTWEGNQVNITCEVFAYPSATISWFRDGQLLPSSNYS NIKIYNTPSASYLEVTPDSENDFGNYNCTAVNRIGQESLEFILVQADTPSSPSIDRVEPY SSTAQVQFDEPEATGGVPILKYKAEWKSLGEESWHFKWYDAKEANMEGIVTIMGLKPETR YSVRLAALNGKGLGEISAATEFKTQPVHSPPPQGEPSAPKLEGQMGEDGNSIKVNLIKQD DGGSPIRHYLVKYRALASEWKPEIRLPSGSDHVMLKSLDWNAEYEVYVVAENQQGKSKAA HFVFRTSAQPTAIPANGSPTAGLSTGAIVGILIVIFVLLLVVMDITCYFLNKCGLLMCIA VNLCGKAGPGAKGKDMEEGKAAFSKDESKEPIVEVRTEEERTPNHDGGKHTEPNETTPLT EPELPADTTATVEDMLPSVTTVTTNSDTITETFATAQNSPTSETTTLTSSIAPPATTVPD SNSVPAGQATPSKGVTASSSSPASAPKVAPLVDLSDTPTSAPSASNLSSTVLANQGAVLS PSTPASAGETSKAPPASKASPAPTPTPAGAASPLAAVAAPATDAPQAKQEAPSTKGPDPE PTQPGTVKNPPEAATAPASPKSKAATTNPSQGEDLKMDEGNFKTPDIDLAKDVFAALGSP APATGASGQASELAPSTADSAVPPAPAKTEKGPVETKSEPPESEAKPAPTEVKTVPNDAT QTKENESKA >ENSMUSP00000130668.3 pep:known chromosome:GRCm38:9:49505048:49798872:-1 gene:ENSMUSG00000039542.15 transcript:ENSMUST00000166811.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncam1 description:neural cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97281] MLRTKDLIWTLFFLGTAVSLQVDIVPSQGEISVGESKFFLCQVAGDAKDKDISWFSPNGE KLSPNQQRISVVWNDDDSSTLTIYNANIDDAGIYKCVVTAEDGTQSEATVNVKIFQKLMF KNAPTPQEFKEGEDAVIVCDVVSSLPPTIIWKHKGRDVILKKDVRFIVLSNNYLQIRGIK KTDEGTYRCEGRILARGEINFKDIQVIVNVPPTVQARQSIVNATANLGQSVTLVCDADGF PEPTMSWTKDGEPIENEEEDDEKHIFSDDSSELTIRNVDKNDEAEYVCIAENKAGEQDAS IHLKVFAKPKITYVENQTAMELEEQVTLTCEASGDPIPSITWRTSTRNISSEEKTLDGHM VVRSHARVSSLTLKSIQYTDAGEYICTASNTIGQDSQSMYLEVQYAPKLQGPVAVYTWEG NQVNITCEVFAYPSATISWFRDGQLLPSSNYSNIKIYNTPSASYLEVTPDSENDFGNYNC TAVNRIGQESLEFILVQADTPSSPSIDRVEPYSSTAQVQFDEPEATGGVPILKYKAEWKS LGEESWHFKWYDAKEANMEGIVTIMGLKPETRYSVRLAALNGKGLGEISAATEFKTQPVR EPSAPKLEGQMGEDGNSIKVNLIKQDDGGSPIRHYLVKYRAKLASEWKPEIRLPSGSDHV MLKSLDWNAEYEVYVVAENQQGKSKAAHFVFRTSAQPTAIPANGSPTAGLSTGAIVGILI VIFVLLLVVMDITCYFLNKCGLLMCIAVNLCGKAGPGAKGKDMEEGKAAFSKDESKEPIV EVRTEEERTPNHDGGKHTEPNETTPLTEPEKGPVETKSEPPESEAKPAPTEVKTVPNDAT QTKENESKA >ENSMUSP00000110120.2 pep:known chromosome:GRCm38:9:49517278:49798890:-1 gene:ENSMUSG00000039542.15 transcript:ENSMUST00000114476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncam1 description:neural cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97281] MLRTKDLIWTLFFLGTAVSLQVDIVPSQGEISVGESKFFLCQVAGDAKDKDISWFSPNGE KLSPNQQRISVVWNDDDSSTLTIYNANIDDAGIYKCVVTAEDGTQSEATVNVKIFQKLMF KNAPTPQEFKEGEDAVIVCDVVSSLPPTIIWKHKGRDVILKKDVRFIVLSNNYLQIRGIK KTDEGTYRCEGRILARGEINFKDIQVIVNVPPTVQARQSIVNATANLGQSVTLVCDADGF PEPTMSWTKDGEPIENEEEDDEKHIFSDDSSELTIRNVDKNDEAEYVCIAENKAGEQDAS IHLKVFAKPKITYVENQTAMELEEQVTLTCEASGDPIPSITWRTSTRNISSEEKTLDGHM VVRSHARVSSLTLKSIQYTDAGEYICTASNTIGQDSQSMYLEVQYAPKLQGPVAVYTWEG NQVNITCEVFAYPSATISWFRDGQLLPSSNYSNIKIYNTPSASYLEVTPDSENDFGNYNC TAVNRIGQESLEFILVQADTPSSPSIDRVEPYSSTAQVQFDEPEATGGVPILKYKAEWKS LGEESWHFKWYDAKEANMEGIVTIMGLKPETRYSVRLAALNGKGLGEISAATEFKTQPVR EPSAPKLEGQMGEDGNSIKVNLIKQDDGGSPIRHYLVKYRALASEWKPEIRLPSGSDHVM LKSLDWNAEYEVYVVAENQQGKSKAAHFVFRTSAQPTAIPATLGGSSTSYTLVSLLFSAV TLLLL >ENSMUSP00000141730.1 pep:known chromosome:GRCm38:9:49523626:49543030:-1 gene:ENSMUSG00000039542.15 transcript:ENSMUST00000194844.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncam1 description:neural cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97281] XFKTQPVHSPPPLAPANSSTLVPLSPRATTWPLPVLPTTDLSREPSAPK >ENSMUSP00000141700.1 pep:known chromosome:GRCm38:9:49531276:49798758:-1 gene:ENSMUSG00000039542.15 transcript:ENSMUST00000192584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncam1 description:neural cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:97281] MLRTKDLIWTLFFLGTAVSLQVDIVPSQGEISVGESKFFLCQVAGDAKDKDISWFSPNGE KLSPNQQRISVVWNDDDSSTLTIYNANIDDAGIYKCVVTAEDGTQSEATVNVKIFQKLMF KNAPTPQEFKEGEDAVIVCDVVSSLPPTIIWKHKGRDVILKKDVRFIVLSNNYLQIRGIK KTDEGTYRCEGRILARGEINFKDIQVIVNVPPTVQARQSIVNATANLGQSVTLVCDADGF PEPTMSWTKDGEPIENEEEDDEKHIFSDDSSELTIRNVDKNDEAEYVCIAENKAGEQDAS IHLKVFAKPKITYVENQTAMELEEQVTLTCEASGDPIPSITWRTSTRNISSEEKTLDGHM VVRSHARVSSLTLKSIQYTDAGEYICTASNTIGQDSQSMYLEVQYAPKLQGPVAVYTWEG NQVNITCEVFAYPSATISWFRDGQLLPSSNYSNIKIYNTPSASYLEVTPDSENDFGNYNC TAVNRIGQESLEFILVQADTPSSPSIDRVEPYSSTAQVQFDEPEATGGVPILKYKAEWKS LGEESWHFKWYDAKEANMEGIVTIMGLKPETRYSVRLAALNGKGLGEISAATEFKTQPVR KSSLF >ENSMUSP00000104804.2 pep:known chromosome:GRCm38:2:168634438:168734339:-1 gene:ENSMUSG00000027546.15 transcript:ENSMUST00000109175.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp9a description:ATPase, class II, type 9A [Source:MGI Symbol;Acc:MGI:1330826] MCECQPRCCEWLRCCGGGEPRPRTVWLGHPEKRDQRYPRNVINNQKYNFFTFLPGVLFSQ FRYFFNFYFLLLACSQFVPEMRLGALYTYWVPLGFVLAVTIIREAVEEIRCYVRDKEMNS QVYSRLTSRGTVKVKSSNIQVGDLILVEKNQRVPADMIFLRTSEKNGSCFLRTDQLDGET DWKLRLPVACTQRLPTAADLLQIRSYVYAEEPNIDIHNFLGTFTREDSDPPISESLSIEN TLWAGTVIASGTVVGVVLYTGRELRSVMNTSDPRSKIGLFDLEVNCLTKILFGALVVVSL VMVALQHFAGRWYLQIIRFLLLFSNIIPISLRVNLDMGKIVYSWVIRRDSKIPGTVVRSS TIPEQLGRISYLLTDKTGTLTQNEMVFKRLHLGTVAYGLDSMDEVQSHIFSIYTQQSQDP PAQKGPTVTTKVRRTMSSRVHEAVKAIALCHNVTPVYESNGVTDQAEAEKQFEDSCRVYQ ASSPDEVALVQWTESVGLTLVGRDQSSMQLRTPGDQVLNLTILQVFPFTYESKRMGIIVR DESTGEITFYMKGADVVMAGIVQYNDWLEEECGNMAREGLRVLVVAKKSLTEEQYQDFEA RYVQAKLSVHDRSLKVATVIESLEMEMELLCLTGVEDQLQADVRPTLETLRNAGIKVWML TGDKLETATCTAKNAHLVTRNQDIHVFRLVTNRGEAHLELNAFRRKHDCALVISGDSLEV CLKYYEYEFMELACQCPAVVCCRCAPTQKAQIVRLLQERTGKLTCAVGDGGNDVSMIQES DCGVGVEGKEGKQASLAADFSITQFKHLGRLLMVHGRNSYKRSAALSQFVIHRSLCISTM QAVFSSVFYFASVPLYQGFLIIGYSTIYTMFPVFSLVLDKDVKSEVAMLYPELYKDLLKG RPLSYKTFLIWVLISIYQGSTIMYGALLLFESEFVHIVAISFTSLILTELLMVALTIQTW HWLMTVAELLSLACYIASLVFLHEFIDVYFIATLSFLWKVSVITLVSCLPLYVLKYLRRR FSPPSYSKLTS >ENSMUSP00000104805.1 pep:known chromosome:GRCm38:2:168634438:168741962:-1 gene:ENSMUSG00000027546.15 transcript:ENSMUST00000109176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp9a description:ATPase, class II, type 9A [Source:MGI Symbol;Acc:MGI:1330826] MVRPCPSVGPRGRLRAWPGARDLAPALRARPARCRRLLPLPRGGAEAAGSAGGAAGGDMT DSIPLQPVRHKKRVDSRPRAGCCEWLRCCGGGEPRPRTVWLGHPEKRDQRYPRNVINNQK YNFFTFLPGVLFSQFRYFFNFYFLLLACSQFVPEMRLGALYTYWVPLGFVLAVTIIREAV EEIRCYVRDKEMNSQVYSRLTSRGTVKVKSSNIQVGDLILVEKNQRVPADMIFLRTSEKN GSCFLRTDQLDGETDWKLRLPVACTQRLPTAADLLQIRSYVYAEEPNIDIHNFLGTFTRE DSDPPISESLSIENTLWAGTVIASGTVVGVVLYTGRELRSVMNTSDPRSKIGLFDLEVNC LTKILFGALVVVSLVMVALQHFAGRWYLQIIRFLLLFSNIIPISLRVNLDMGKIVYSWVI RRDSKIPGTVVRSSTIPEQLGRISYLLTDKTGTLTQNEMVFKRLHLGTVAYGLDSMDEVQ SHIFSIYTQQSQDPPAQKGPTVTTKVRRTMSSRVHEAVKAIALCHNVTPVYESNGVTDQA EAEKQFEDSCRVYQASSPDEVALVQWTESVGLTLVGRDQSSMQLRTPGDQVLNLTILQVF PFTYESKRMGIIVRDESTGEITFYMKGADVVMAGIVQYNDWLEEECGNMAREGLRVLVVA KKSLTEEQYQDFEARYVQAKLSVHDRSLKVATVIESLEMEMELLCLTGVEDQLQADVRPT LETLRNAGIKVWMLTGDKLETATCTAKNAHLVTRNQDIHVFRLVTNRGEAHLELNAFRRK HDCALVISGDSLEVCLKYYEYEFMELACQCPAVVCCRCAPTQKAQIVRLLQERTGKLTCA VGDGGNDVSMIQESDCGVGVEGKEGKQASLAADFSITQFKHLGRLLMVHGRNSYKRSAAL SQFVIHRSLCISTMQAVFSSVFYFASVPLYQGFLIIGYSTIYTMFPVFSLVLDKDVKSEV AMLYPELYKDLLKGRPLSYKTFLIWVLISIYQGSTIMYGALLLFESEFVHIVAISFTSLI LTELLMVALTIQTWHWLMTVAELLSLACYIASLVFLHEFIDVYFIATLSFLWKVSVITLV SCLPLYVLKYLRRRFSPPSYSKLTS >ENSMUSP00000104806.1 pep:known chromosome:GRCm38:2:168634438:168742133:-1 gene:ENSMUSG00000027546.15 transcript:ENSMUST00000109177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp9a description:ATPase, class II, type 9A [Source:MGI Symbol;Acc:MGI:1330826] MPGVGCCEWLRCCGGGEPRPRTVWLGHPEKRDQRYPRNVINNQKYNFFTFLPGVLFSQFR YFFNFYFLLLACSQFVPEMRLGALYTYWVPLGFVLAVTIIREAVEEIRCYVRDKEMNSQV YSRLTSRGTVKVKSSNIQVGDLILVEKNQRVPADMIFLRTSEKNGSCFLRTDQLDGETDW KLRLPVACTQRLPTAADLLQIRSYVYAEEPNIDIHNFLGTFTREDSDPPISESLSIENTL WAGTVIASGTVVGVVLYTGRELRSVMNTSDPRSKIGLFDLEVNCLTKILFGALVVVSLVM VALQHFAGRWYLQIIRFLLLFSNIIPISLRVNLDMGKIVYSWVIRRDSKIPGTVVRSSTI PEQLGRISYLLTDKTGTLTQNEMVFKRLHLGTVAYGLDSMDEVQSHIFSIYTQQSQDPPA QKGPTVTTKVRRTMSSRVHEAVKAIALCHNVTPVYESNGVTDQAEAEKQFEDSCRVYQAS SPDEVALVQWTESVGLTLVGRDQSSMQLRTPGDQVLNLTILQVFPFTYESKRMGIIVRDE STGEITFYMKGADVVMAGIVQYNDWLEEECGNMAREGLRVLVVAKKSLTEEQYQDFEARY VQAKLSVHDRSLKVATVIESLEMEMELLCLTGVEDQLQADVRPTLETLRNAGIKVWMLTG DKLETATCTAKNAHLVTRNQDIHVFRLVTNRGEAHLELNAFRRKHDCALVISGDSLEVCL KYYEYEFMELACQCPAVVCCRCAPTQKAQIVRLLQERTGKLTCAVGDGGNDVSMIQESDC GVGVEGKEGKQASLAADFSITQFKHLGRLLMVHGRNSYKRSAALSQFVIHRSLCISTMQA VFSSVFYFASVPLYQGFLIIGYSTIYTMFPVFSLVLDKDVKSEVAMLYPELYKDLLKGRP LSYKTFLIWVLISIYQGSTIMYGALLLFESEFVHIVAISFTSLILTELLMVALTIQTWHW LMTVAELLSLACYIASLVFLHEFIDVYFIATLSFLWKVSVITLVSCLPLYVLKYLRRRFS PPSYSKLTS >ENSMUSP00000121364.1 pep:known chromosome:GRCm38:2:168690985:168742375:-1 gene:ENSMUSG00000027546.15 transcript:ENSMUST00000151610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp9a description:ATPase, class II, type 9A [Source:MGI Symbol;Acc:MGI:1330826] MRLGALYTYWVPLGFVLAVTIIREAVEEIRCYVRDKEMNSQVYSRLTSRGTVKVKSSNIQ VG >ENSMUSP00000119732.1 pep:known chromosome:GRCm38:2:168690993:168742409:-1 gene:ENSMUSG00000027546.15 transcript:ENSMUST00000156397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp9a description:ATPase, class II, type 9A [Source:MGI Symbol;Acc:MGI:1330826] MQLERGVGLMPQGVWGSENLPTFSPQPRPETRGTPARGAGCSRGGRLCARVAAAWRCCEW LRCCGGGEPRPRTVWLGHPEKRDQRYPRNVINNQKYNFFTFLPGVLFSQFRYFFNFYFLL LACSQFVPEMRLGALYTYWVPLGFVLAVTIIREAVEEIRCYVRDKEMNSQVYSRLTSRGT VKVKSSNIQ >ENSMUSP00000114868.1 pep:known chromosome:GRCm38:2:168705160:168712913:-1 gene:ENSMUSG00000027546.15 transcript:ENSMUST00000123156.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp9a description:ATPase, class II, type 9A [Source:MGI Symbol;Acc:MGI:1330826] MGCCEWLRCCGGGEPRPRTVWLGHPEKRDQRYPRNVINNQKYNFFTFLPGVLFSQFRYFF NFYFLLLACSQFVPEMRLGALYTYWVPLGFVLAVTIIREAVEEIRCYVRDKE >ENSMUSP00000119315.1 pep:known chromosome:GRCm38:2:168705227:168712962:-1 gene:ENSMUSG00000027546.15 transcript:ENSMUST00000156555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp9a description:ATPase, class II, type 9A [Source:MGI Symbol;Acc:MGI:1330826] MCCEWLRCCGGGEPRPRTVWLGHPEKRDQRYPRNVINNQKYNFFTFLPGVLFSQFRYFFN FYFLLLACSQFVPEMRLGALYTYWVPLG >ENSMUSP00000029060.4 pep:known chromosome:GRCm38:2:168634438:168741800:-1 gene:ENSMUSG00000027546.15 transcript:ENSMUST00000029060.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp9a description:ATPase, class II, type 9A [Source:MGI Symbol;Acc:MGI:1330826] MTDSIPLQPVRHKKRVDSRPRAGCCEWLRCCGGGEPRPRTVWLGHPEKRDQRYPRNVINN QKYNFFTFLPGVLFSQFRYFFNFYFLLLACSQFVPEMRLGALYTYWVPLGFVLAVTIIRE AVEEIRCYVRDKEMNSQVYSRLTSRGTVKVKSSNIQVGDLILVEKNQRVPADMIFLRTSE KNGSCFLRTDQLDGETDWKLRLPVACTQRLPTAADLLQIRSYVYAEEPNIDIHNFLGTFT REDSDPPISESLSIENTLWAGTVIASGTVVGVVLYTGRELRSVMNTSDPRSKIGLFDLEV NCLTKILFGALVVVSLVMVALQHFAGRWYLQIIRFLLLFSNIIPISLRVNLDMGKIVYSW VIRRDSKIPGTVVRSSTIPEQLGRISYLLTDKTGTLTQNEMVFKRLHLGTVAYGLDSMDE VQSHIFSIYTQQSQDPPAQKGPTVTTKVRRTMSSRVHEAVKAIALCHNVTPVYESNGVTD QAEAEKQFEDSCRVYQASSPDEVALVQWTESVGLTLVGRDQSSMQLRTPGDQVLNLTILQ VFPFTYESKRMGIIVRDESTGEITFYMKGADVVMAGIVQYNDWLEEECGNMAREGLRVLV VAKKSLTEEQYQDFEARYVQAKLSVHDRSLKVATVIESLEMEMELLCLTGVEDQLQADVR PTLETLRNAGIKVWMLTGDKLETATCTAKNAHLVTRNQDIHVFRLVTNRGEAHLELNAFR RKHDCALVISGDSLEVCLKYYEYEFMELACQCPAVVCCRCAPTQKAQIVRLLQERTGKLT CAVGDGGNDVSMIQESDCGVGVEGKEGKQASLAADFSITQFKHLGRLLMVHGRNSYKRSA ALSQFVIHRSLCISTMQAVFSSVFYFASVPLYQGFLIIGYSTIYTMFPVFSLVLDKDVKS EVAMLYPELYKDLLKGRPLSYKTFLIWVLISIYQGSTIMYGALLLFESEFVHIVAISFTS LILTELLMVALTIQTWHWLMTVAELLSLACYIASLVFLHEFIDVYFIATLSFLWKVSVIT LVSCLPLYVLKYLRRRFSPPSYSKLTS >ENSMUSP00000136793.1 pep:known chromosome:GRCm38:2:168634463:168741964:-1 gene:ENSMUSG00000027546.15 transcript:ENSMUST00000178504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp9a description:ATPase, class II, type 9A [Source:MGI Symbol;Acc:MGI:1330826] MTDSIPLQPVRHKKRVDSRPRAGCCEWLRCCGGGEPRPRTVWLGHPEKRDQRYPRNVINN QKYNFFTFLPGVLFSQFRYFFNFYFLLLACSQFVPEMRLGALYTYWVPLGFVLAVTIIRE AVEEIRCYVRDKEMNSQVYSRLTSRGTVKVKSSNIQVGDLILVEKNQRVPADMIFLRTSE KNGSCFLRTDQLDGETDWKLRLPVACTQRLPTAADLLQIRSYVYAEEPNIDIHNFLGTFT REDSDPPISESLSIENTLWAGTVIASGTVVGVVLYTGRELRSVMNTSDPRSKIGLFDLEV NCLTKILFGALVVVSLVMVALQHFAGRWYLQIIRFLLLFSNIIPISLRVNLDMGKIVYSW VIRRDSKIPGTVVRSSTIPEQLGRISYLLTDKTGTLTQNEMVFKRLHLGTVAYGLDSMDE VQSHIFSIYTQQSQDPPAQKGPTVTTKVRRTMSSRVHEAVKAIALCHNVTPVYESNGVTD QAEAEKQFEDSCRVYQASSPDEVALVQWTESVGLTLVGRDQSSMQLRTPGDQVLNLTILQ VFPFTYESKRMGIIVRDESTGEITFYMKGADVVMAGIVQYNDWLEEECGNMAREGLRVLV VAKKSLTEEQYQDFEARYVQAKLSVHDRSLKVATVIESLEMEMELLCLTGVEDQLQADVR PTLETLRNAGIKVWMLTGDKLETATCTAKNAHLVTRNQDIHVFRLVTNRGEAHLELNAFR RKHDCALVISGDSLEVCLKYYEYEFMELACQCPAVVCCRCAPTQKAQIVRLLQERTGKLT CAVGDGGNDVSMIQESDCGVGVEGKEGKQASLAADFSITQFKHLGRLLMVHGRNSYKRSA ALSQFVIHRSLCISTMQAVFSSVFYFASVPLYQGFLIIGYSTIYTMFPVFSLVLDKDVKS EVAMLYPELYKDLLKGRPLSYKTFLIWVLISIYQGSTIMYGALLLFESEFVHIVAISFTS LILTELLMVALTIQTWHWLMTVAELLSLACYIASLVFLHEFIDVYFIATLSFLWKVSVIT LVSCLPLYVLKYLRRRFSPPSYSKLTS >ENSMUSP00000136277.1 pep:known chromosome:GRCm38:8:27085583:27123435:1 gene:ENSMUSG00000031486.14 transcript:ENSMUST00000178514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgra2 description:adhesion G protein-coupled receptor A2 [Source:MGI Symbol;Acc:MGI:1925810] MGAGGRRMPVPPARLLLLPLLPCLLLLAPGTRGAPGCPVPIRGCKCSGERPKGLSGGAHN PARRRVVCGGGDLPEPPDPGLLPNGTITLLLSNNKITGLRNGSFLGLSLLEKLDLRSNVI STVQPGAFLGLGELKRLDLSNNRIGCLTSETFQGLPRLLRLNISGNIYSSLQPGVFDELP ALKIVDFGTEFLTCDCRLRWLLPWARNHSLQLSERTLCAYPSALHAHALSSLQESQLRCE GALELHTHYLIPSLRQVVFQGDRLPFQCSASYLGNDTRIHWYHNGAPMESDEQAGIVLAE NLIHDCTFITSELTLSHIGVWASGEWECSVSTVQGNTSKKVEIVVLETSASYCPAERVTN NRGDFRWPRTLAGITAYQSCLQYPFTSVPLSGGAPGTRASRRCDRAGRWEPGDYSHCLYT NDITRVLYTFVLMPINASNALTLAHQLRVYTAEAASFSDMMDVVYVAQMIQKFLGYVDQI KELVEVMVDMASNLMLVDEHLLWLAQREDKACSGIVGALERIGGAALSPHAQHISVELNA FPREAGGSGAGLHPVVYPCTALLLLCLFSTIITYILNHSSIHVSRKGWHMLLNLCFHMAM TSAVFVGGVTLTNYQMVCQAVGITLHYSSLSSLLWMGVKARVLHKELSWRAPPLEEGEAA PPGPRPMLRFYLIAGGIPLIICGITAAVNIHNYRDHSPYCWLVWRPSLGAFYIPVALILP ITWIYFLCAGLHLRSHVAQNPKQGNRISLEPGEELRGSTRLRSSGVLLNDSGSLLATVSA GVGTPAPPEDGDGVYSPGVQLGALMTTHFLYLAMWACGALAVSQRWLPRVVCSCLYGVAA SALGLFVFTHHCARRRDVRASWRACCPPASPSASHVPARALPTATEDGSPVLGEGPASLK SSPSGSSGRAPPPPCKLTNLQVAQSQVCEASVAARGDGEPEPTGSRGSLAPRHHNNLHHG RRVHKSRAKGHRAGETGGKSRLKALRAGTSPGAPELLSSESGSLHNSPSDSYPGSSRNSP GDGLPLEGEPMLTPSEGSDTSAAPIAETGRPGQRRSASRDNLKGSGSALERESKRRSYPL NTTSLNGAPKGGKYEDASVTGAEAIAGGSMKTGLWKSETTV >ENSMUSP00000033876.7 pep:known chromosome:GRCm38:8:27085598:27123436:1 gene:ENSMUSG00000031486.14 transcript:ENSMUST00000033876.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgra2 description:adhesion G protein-coupled receptor A2 [Source:MGI Symbol;Acc:MGI:1925810] MGAGGRRMPVPPARLLLLPLLPCLLLLAPGTRGAPGCPVPIRGCKCSGERPKGLSGGAHN PARRRVVCGGGDLPEPPDPGLLPNGTITLLLSNNKITGLRNGSFLGLSLLEKLDLRSNVI STVQPGAFLGLGELKRLDLSNNRIGCLTSETFQGLPRLLRLNISGNIYSSLQPGVFDELP ALKIVDFGTEFLTCDCRLRWLLPWARNHSLQLSERTLCAYPSALHAHALSSLQESQLRCE GALELHTHYLIPSLRQVVFQGDRLPFQCSASYLGNDTRIHWYHNGAPMESDEQAGIVLAE NLIHDCTFITSELTLSHIGVWASGEWECSVSTVQGNTSKKVEIVVLETSASYCPAERVTN NRGDFRWPRTLAGITAYQSCLQYPFTSVPLSGGAPGTRASRRCDRAGRWEPGDYSHCLYT NDITRVLYTFVLMPINASNALTLAHQLRVYTAEAASFSDMMDVVYVAQMIQKFLGYVDQI KELVEVMVDMASNLMLVDEHLLWLAQREDKACSGIVGALERIGGAALSPHAQHISVNSRN VALEAYLIKPHSYVGLTCTAFQRREVGVSGAQPSSVGQDAPVEPEPLADQQLRFRCTTGR PNISLSSFHIKNSVALASIQLPPSLFSTLPAALAPPVPPDCTLQLLVFRNGRLFRSHGNN TSRPGAAGPGKRRGVATPVIFAGTSGCGVGNLTEPVAVSLRHWAEGADPMAAWWNQDGPG GWSSEGCRLRYSQPNVSSLYCQHLGNVAVLMELNAFPREAGGSGAGLHPVVYPCTALLLL CLFSTIITYILNHSSIHVSRKGWHMLLNLCFHMAMTSAVFVGGVTLTNYQMVCQAVGITL HYSSLSSLLWMGVKARVLHKELSWRAPPLEEGEAAPPGPRPMLRFYLIAGGIPLIICGIT AAVNIHNYRDHSPYCWLVWRPSLGAFYIPVALILPITWIYFLCAGLHLRSHVAQNPKQGN RISLEPGEELRGSTRLRSSGVLLNDSGSLLATVSAGVGTPAPPEDGDGVYSPGVQLGALM TTHFLYLAMWACGALAVSQRWLPRVVCSCLYGVAASALGLFVFTHHCARRRDVRASWRAC CPPASPSASHVPARALPTATEDGSPVLGEGPASLKSSPSGSSGRAPPPPCKLTNLQVAQS QVCEASVAARGDGEPEPTGSRGSLAPRHHNNLHHGRRVHKSRAKGHRAGETGGKSRLKAL RAGTSPGAPELLSSESGSLHNSPSDSYPGSSRNSPGDGLPLEGEPMLTPSEGSDTSAAPI AETGRPGQRRSASRDNLKGSGSALERESKRRSYPLNTTSLNGAPKGGKYEDASVTGAEAI AGGSMKTGLWKSETTV >ENSMUSP00000137181.1 pep:known chromosome:GRCm38:8:27114133:27118834:1 gene:ENSMUSG00000031486.14 transcript:ENSMUST00000179207.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adgra2 description:adhesion G protein-coupled receptor A2 [Source:MGI Symbol;Acc:MGI:1925810] XTAEAASFSDMMDVVYVAQMIQKFLGYVDQIKELVEVMVDMASNLMLVDEHLLWLAQRED KACSGIVGALERIGGAALSPHAQHISVNSRNVALEAYLIKPHSYVGLTCTAFQRREVGVS GAQPSSVGQDAPVEPEPLADQQLRFRCTTGRPNISLSSFHIKPRQQHFPSWSSWAWQEAW CGHPSHICRNQWLWCGKLDGARGCVTEALG >ENSMUSP00000137457.1 pep:known chromosome:GRCm38:8:27117369:27121623:1 gene:ENSMUSG00000031486.14 transcript:ENSMUST00000179351.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgra2 description:adhesion G protein-coupled receptor A2 [Source:MGI Symbol;Acc:MGI:1925810] WAEGADPMAAWWNQDGPGGWSSEGCRLRYSQPNVSSLYCQHLGNVAVLMELNAFPREAGG SGAGLHPVVYPCTALLLLCLFSTIITYILNHSSAWSSPHAPVLLDCWRDPPHNLRHHRCG QHPQLPGPQSLLLAGVASKPWCLLHTGGVDSAYHLDLLLVCRPSLTEPCGPESKAG >ENSMUSP00000027164.2 pep:known chromosome:GRCm38:1:60909025:60915832:1 gene:ENSMUSG00000026011.13 transcript:ENSMUST00000027164.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctla4 description:cytotoxic T-lymphocyte-associated protein 4 [Source:MGI Symbol;Acc:MGI:88556] MACLGLRRYKAQLQLPSRTWPFVALLTLLFIPVFSEAIQVTQPSVVLASSHGVASFPCEY SPSHNTDEVRVTVLRQTNDQMTEVCATTFTEKNTVGFLDYPFCSGTFNESRVNLTIQGLR AVDTGLYLCKVELMYPPPYFVGMGNGTQIYVIDPEPCPDSDFLLWILVAVSLGLFFYSFL VTAVSLSKMLKKRSPLTTGVYVKMPPTEPECEKQFQPYFIPIN >ENSMUSP00000095327.3 pep:known chromosome:GRCm38:1:60909171:60914769:1 gene:ENSMUSG00000026011.13 transcript:ENSMUST00000097720.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctla4 description:cytotoxic T-lymphocyte-associated protein 4 [Source:MGI Symbol;Acc:MGI:88556] MACLGLRRYKAQLQLPSRTWPFVALLTLLFIPVFSEAIQVTQPSVVLASSHGVASFPCEY SPSHNTDEVRVTVLRQTNDQMTEVCATTFTEKNTVGFLDYPFCSGTFNESRVNLTIQGLR AVDTGLYLCKVELMYPPPYFVGMGNGTQIYVIAKEKKSSYNRGLCENAPNRARM >ENSMUSP00000108734.3 pep:known chromosome:GRCm38:9:88688602:88719813:-1 gene:ENSMUSG00000079427.10 transcript:ENSMUST00000113110.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfsl description:5, 10-methenyltetrahydrofolate synthetase-like [Source:MGI Symbol;Acc:MGI:3780550] MAAVTVNSAKRGLRAELKQRLRALSAEERLRQSLLLTQKVIAHNQYQNSKRISIFLSMQD EVETEVIIKDIFKQGKICFIPQYQFQSNHMDMVRLTSPEEIALLPKTSWNIHQPGEGDVR EEALSTGGLDLIFLPGLGFDKDGNRLGRGKGYYDTYLKRCVQHQEVKPYTMALAFKEQIC PQIPVDEHDMKVDEVLYEDSPAS >ENSMUSP00000061278.4 pep:known chromosome:GRCm38:11:87226906:87359023:-1 gene:ENSMUSG00000046442.4 transcript:ENSMUST00000055438.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1e description:protein phosphatase 1E (PP2C domain containing) [Source:MGI Symbol;Acc:MGI:2444096] MAGCIPEEKTYRRFLELFLGEFRGPCGGGEPEPEPESEPEPEPEAELVAAEAAEASGEEP GEDAATVEATEEGEQDQDPEPEDEAVEEETATEGEEEEEEEAAAPGHSAVPPPPQPQLPP LPPLPRPLSERITREEVEGESLDLCLQQLYKYNCPSFLAAALARATSDEVLQSDLSAHCI PKETDGTEGTVEIETVKLARSVFSKLHEICCSWVKDFPLRRRPQIYYETSIHAIKNMRRK MEDKHVCIPDFNMLFNLEDQEEQAYFAVFDGHGGVDAAIYASVHLHVNLVRQEMFPHDPA EALCRAFRVTDERFVQKAARESLRCGTTGVVTFIRGNMLHVAWVGDSQVMLVRKGQAVEL MKPHKPDREDEKQRIEALGGCVVWFGAWRVNGSLSVSRAIGDAEHKPYICGDADSASTVL DGTEDYLILACDGFYDTVNPDEAVKVVSDHLKENNGDSSMVAHKLVASARDAGSSDNITV IVVFLRDMNKAVNVSEESEWTENSFQGGQEDGGDDKETHGECKRPWPQHQCSAPADLGYE GRVDSFTDRTSLSPGPQINVLEDPDYLDLTQIEASKPHSTQFLPPVEMIGPGAPKKDLNE LIMEERSVKSSLPERSGAGEPRVSFNLGSTGQQICRMENLSPVSSGLENEQFKSRGKTAS RLYHLRHHYSKRQRGFRFNPKFYSFLSAREPSHKIGISLSSLTRSGKRNKMLRSSLPWRE NSWEGYSGNVKIRKRNDIPCPDFPWSYKI >ENSMUSP00000099806.3 pep:known chromosome:GRCm38:12:111442469:111455018:1 gene:ENSMUSG00000021281.15 transcript:ENSMUST00000102745.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip2 description:tumor necrosis factor, alpha-induced protein 2 [Source:MGI Symbol;Acc:MGI:104960] MLKMVTFFQGFPGQQSVPGTLNFAVSPQKPRSTSEAESETSMSEASSEDLMPSPEAPDGE EESAKKKEKKSKGLANMFSVFTKGKKKKKDQPRLSDLEVQPKPRPELDGPLPTVEELKEA LEHGRLEVAWQVLALERQLEAAAAAGGMSNEELVWRQSKVEALYVLLCDQVLGVLRRPLE AAPERLSQALAVVSQEELEDRRASGGPLAAALEATRPRRWLQRWRGVVAEVAAERLDAQP ATAPEGRSEAESRFLHMGRTMKEDLEVVVERLKPLFPDEFNVVRTYAESYHYHFASHLCA LAQFELCERDTYLLLLWVQNLYPNDILNSPKLAQELQGVGLGSLLPPKQIRLLEAMFLSN EVTSVKQLMARALELESQRWTQDVAPQSLDGHCHSELAIDILQIISQGQTKAENITSDVG MQIKQLLLVELAALLRSYQRAFDEFLEKSKLLRNYRVNIMANINNCLFFWTSVEQKWQIS HDSLNRLLEPLKDLKAHGFDTLLQSLFLDLKPLFKKFTQTRWANPVETLEEIITTVSSSL PEFSELQDCFREELMETVHLHLVKEYIIRLCKRRLVLKTAEQQQQLARHILANADAIQGF CTENGSTATWLHRALPMIAEIIRLQDSSAIKIEVATYATWYPDFSKGHLNAILAIKGNLP SSEVRSIRNILDINTGVQEPPRPLFSLIKVT >ENSMUSP00000105415.1 pep:known chromosome:GRCm38:12:111442658:111454678:1 gene:ENSMUSG00000021281.15 transcript:ENSMUST00000109792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip2 description:tumor necrosis factor, alpha-induced protein 2 [Source:MGI Symbol;Acc:MGI:104960] MLKMVTFFQGFPGQQSVPGTLNFAVSPQKPRSTSEAESETSMSEASSEDLMPSPEAPDGE EESAKKKEKKSKGLANMFSVFTKGKKKKKDQPRLSDLEVQPKPRPELDGPLPTVEELKEA LEHGRLEVAWQVLALERQLEAAAAAGGMSNEELVWRQSKVEALYVLLCDQVLGVLRRPLE AAPERLSQALAVVSQEELEDRRASGGPLAAALEATRPRRWLQRWRGVVAEVAAERLDAQP ATAPEGRSEAESRFLHMGRTMKEDLEVVVERLKPLFPDEFNVVRTYAESYHYHFASHLCA LAQFELCERDTYLLLLWVQNLYPNDILNSPKLAQELQGVGLGSLLPPKQIRLLEAMFLSN EVTSVKQLMARALELESQRWTQDVAPQSLDGHCHSELAIDILQAQPRAGCYAMLTHCSLQ IISQGQTKAENITSDVGMQIKQLLLVELAALLRSYQRAFDEFLEKSKLLRNYRVNIMANI NNCLFFWTSVEQKWQISHDSLNRLLEPLKDLKAHGFDTLLQSLFLDLKPLFKKFTQTRWA NPVETLEEIITTVSSSLPEFSELQDCFREELMETVHLHLVKEYIIRLCKRRLVLKTAEQQ QQLARHILANADAIQGFCTENGSTATWLHRALPMIAEIIRLQDSSAIKIEVATYATWYPD FSKGHLNAILAIKGNLPSSEVRSIRNILDINTGVQEPPRPLFSLIKVT >ENSMUSP00000133635.1 pep:known chromosome:GRCm38:12:111442662:111448587:1 gene:ENSMUSG00000021281.15 transcript:ENSMUST00000172783.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnfaip2 description:tumor necrosis factor, alpha-induced protein 2 [Source:MGI Symbol;Acc:MGI:104960] MLKMVTFFQGFPGQQSVPGTLNFAVSPQKPRSTSEAESETSMSEASSEDLMPSPEAPDGE EESAKKKEKKSKGLANMFSVFTKGKKKKKDQPRLSDLEVQPKPRPELDGPLPTVIFSTAR SWHRSFKVSGLGASCPQSRSDCWRPCSCPMR >ENSMUSP00000133274.1 pep:known chromosome:GRCm38:12:111444324:111445511:1 gene:ENSMUSG00000021281.15 transcript:ENSMUST00000129467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip2 description:tumor necrosis factor, alpha-induced protein 2 [Source:MGI Symbol;Acc:MGI:104960] MLKMVTFFQGFPGQQSVPGTLNFAVSPQKPRSTSEAESETSMSEASSEDLMPSPEAPDGE EESAKKKEKKSKGLANMFSVFTKGKKKKKDQPRLSDLEVQPKPRPELDGPLPTVE >ENSMUSP00000133317.1 pep:known chromosome:GRCm38:12:111444998:111453825:1 gene:ENSMUSG00000021281.15 transcript:ENSMUST00000174298.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnfaip2 description:tumor necrosis factor, alpha-induced protein 2 [Source:MGI Symbol;Acc:MGI:104960] GTLNFAVSPQKPRSTSEAESETSMSEASSEDLMPSPEAPDGEEESAKKKEKKSKGLANMF SVFTKGKKKKKDQPRLSDLEVQPKPRPELDGPLPTDQREAAHGPSFRAGVSALDPGCGSP EPGWPLSQ >ENSMUSP00000133512.1 pep:known chromosome:GRCm38:12:111446084:111453825:1 gene:ENSMUSG00000021281.15 transcript:ENSMUST00000174692.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnfaip2 description:tumor necrosis factor, alpha-induced protein 2 [Source:MGI Symbol;Acc:MGI:104960] XCERDTYLLLLWVQNLYPKPA >ENSMUSP00000133807.1 pep:known chromosome:GRCm38:12:111449873:111453759:1 gene:ENSMUSG00000021281.15 transcript:ENSMUST00000173581.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnfaip2 description:tumor necrosis factor, alpha-induced protein 2 [Source:MGI Symbol;Acc:MGI:104960] XFLDLKTSCLSPVPAAV >ENSMUSP00000106120.2 pep:known chromosome:GRCm38:2:125152505:125230002:1 gene:ENSMUSG00000027202.12 transcript:ENSMUST00000110494.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a1 description:solute carrier family 12, member 1 [Source:MGI Symbol;Acc:MGI:103150] MSVSIPSNSVPSSASRFQVHVINEGHGSAAAVGDSADPPHYEETSFGDEAQNRLRISFRP GNQECYDNFLQTGETAKTDTTFHAYDSHTNTYYLQTMDAVPKIEYYRNTGSVSGPKVNRP SLLEIHEQLAKNVTVAPGSADRVANGDGMPGDEQAENKEEDMTGVVKFGWVKGVLVRCML NIWGVMLFIRLSWIVGEAGIGLGVIIIGLAVTVTAITGLSTSAIATNGYVRGGGAYYLIS RSLGPEFGGSIGLIFAFANAVAVAMYVVGFAETVVDLLKESDSMMVDPTNDIRIIGSITV VILLGISVAGMEWEAKAQVILLVILLIAIANFFIGTVIPSNNEKKSRGFFNYQASIFAEN FGPSFTKGEGFFSVFAIFFPAATGILAGANISGDLEDPQDAIPRGTMLAIFITTVAYIGV AICVAACVVRDATGSMNDTIVSGMNCNGSAACGLGYDFSRCQHEPCQYGLMNNFQVMSMV SGFGPLITAGIFSATLSSALASLVSAPKVFQALCKDNIFKGLQFFAKGYGKNNEPLRGYF LTFVIAMAFILIAELNVIAPIISNFFLASYALINFSCFHASYAKSPGWRPAYGIYNMWVS LFGAILCCAVMFVINWWAAVITYVIELFLYIYVTYKKPDVNWGSSTQALSYVSALDNALE LTTVEDHVKNFRPQCIVLTGGPMTRPALLDITHAFTKNSGLCICCEVFVGPRKLCVKEMN SGMAKKQAWLIKNKIKAFYAAVAADCFRDGVRSLLQASGLGRMKPNTLVIGYKKNWRKAP LSELENYVGIIHDAFDFEIGVVIVRISQGFDISPVLQVQDELEKLEQERLALEAAIKDNE CEEGKGGIRGLFKKAGKLNITKPAPKKDGNISSIQSMHVGEFNQKLVEASAQFKKKQGKG TIDVWWLFDDGGLTLLIPYILTLRKKWKDCKLRIYVGGKINRIEEEKISMASLLSKFRIK FADIHIIGDINIKPNKESWKVFEEMIEPYRLHESHKDLTTAEKLKRESPWKITDAELEAV KEKSYRQVRLNELLQEHSRAANLIVLSLPVARKGSISDLLYMAWLEILTKNLPPVLLVRG NHKNVLTFYS >ENSMUSP00000028630.2 pep:known chromosome:GRCm38:2:125152600:125230002:1 gene:ENSMUSG00000027202.12 transcript:ENSMUST00000028630.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a1 description:solute carrier family 12, member 1 [Source:MGI Symbol;Acc:MGI:103150] MSVSIPSNSVPSSASRFQVHVINEGHGSAAAVGDSADPPHYEETSFGDEAQNRLRISFRP GNQECYDNFLQTGETAKTDTTFHAYDSHTNTYYLQTMDAVPKIEYYRNTGSVSGPKVNRP SLLEIHEQLAKNVTVAPGSADRVANGDGMPGDEQAENKEEDMTGVVKFGWVKGVLVRCML NIWGVMLFIRLSWIVGEAGIGLGVLIILLSTMVTSITGLSTSAIATNGFVRGGGAYYLIS RSLGPEFGGSIGLIFAFANAVAVAMYVVGFAETVVDLLKESDSMMVDPTNDIRIIGSITV VILLGISVAGMEWEAKAQVILLVILLIAIANFFIGTVIPSNNEKKSRGFFNYQASIFAEN FGPSFTKGEGFFSVFAIFFPAATGILAGANISGDLEDPQDAIPRGTMLAIFITTVAYIGV AICVAACVVRDATGSMNDTIVSGMNCNGSAACGLGYDFSRCQHEPCQYGLMNNFQVMSMV SGFGPLITAGIFSATLSSALASLVSAPKVFQALCKDNIFKGLQFFAKGYGKNNEPLRGYF LTFVIAMAFILIAELNVIAPIISNFFLASYALINFSCFHASYAKSPGWRPAYGIYNMWVS LFGAILCCAVMFVINWWAAVITYVIELFLYIYVTYKKPDVNWGSSTQALSYVSALDNALE LTTVEDHVKNFRPQCIVLTGGPMTRPALLDITHAFTKNSGLCICCEVFVGPRKLCVKEMN SGMAKKQAWLIKNKIKAFYAAVAADCFRDGVRSLLQASGLGRMKPNTLVIGYKKNWRKAP LSELENYVGIIHDAFDFEIGVVIVRISQGFDISPVLQVQDELEKLEQERLALEAAIKDNE CEEGKGGIRGLFKKAGKLNITKPAPKKDGNISSIQSMHVGEFNQKLVEASAQFKKKQGKG TIDVWWLFDDGGLTLLIPYILTLRKKWKDCKLRIYVGGKINRIEEEKISMASLLSKFRIK FADIHIIGDINIKPNKESWKVFEEMIEPYRLHESHKDLTTAEKLKRESPWKITDAELEAV KEKSYRQVRLNELLQEHSRAANLIVLSLPVARKGSISDLLYMAWLEILTKNLPPVLLVRG NHKNVLTFYS >ENSMUSP00000106121.2 pep:known chromosome:GRCm38:2:125152600:125229999:1 gene:ENSMUSG00000027202.12 transcript:ENSMUST00000110495.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a1 description:solute carrier family 12, member 1 [Source:MGI Symbol;Acc:MGI:103150] MSVSIPSNSVPSSASRFQVHVINEGHGSAAAVGDSADPPHYEETSFGDEAQNRLRISFRP GNQECYDNFLQTGETAKTDTTFHAYDSHTNTYYLQTMDAVPKIEYYRNTGSVSGPKVNRP SLLEIHEQLAKNVTVAPGSADRVANGDGMPGDEQAENKEEDMTGVVKFGWVKGVLVRCML NIWGVMLFIRLSWIVGEAGIGLGVIIIGLSVVVTTLTGISMSAICTNGVVRGGGAYYLIS RSLGPEFGGSIGLIFAFANAVAVAMYVVGFAETVVDLLKESDSMMVDPTNDIRIIGSITV VILLGISVAGMEWEAKAQVILLVILLIAIANFFIGTVIPSNNEKKSRGFFNYQASIFAEN FGPSFTKGEGFFSVFAIFFPAATGILAGANISGDLEDPQDAIPRGTMLAIFITTVAYIGV AICVAACVVRDATGSMNDTIVSGMNCNGSAACGLGYDFSRCQHEPCQYGLMNNFQVMSMV SGFGPLITAGIFSATLSSALASLVSAPKVFQALCKDNIFKGLQFFAKGYGKNNEPLRGYF LTFVIAMAFILIAELNVIAPIISNFFLASYALINFSCFHASYAKSPGWRPAYGIYNMWVS LFGAILCCAVMFVINWWAAVITYVIELFLYIYVTYKKPDVNWGSSTQALSYVSALDNALE LTTVEDHVKNFRPQCIVLTGGPMTRPALLDITHAFTKNSGLCICCEVFVGPRKLCVKEMN SGMAKKQAWLIKNKIKAFYAAVAADCFRDGVRSLLQASGLGRMKPNTLVIGYKKNWRKAP LSELENYVGIIHDAFDFEIGVVIVRISQGFDISPVLQVQDELEKLEQERLALEAAIKDNE CEEGKGGIRGLFKKAGKLNITKPAPKKDGNISSIQSMHVGEFNQKLVEASAQFKKKQGKG TIDVWWLFDDGGLTLLIPYILTLRKKWKDCKLRIYVGGKINRIEEEKISMASLLSKFRIK FADIHIIGDINIKPNKESWKVFEEMIEPYRLHESHKDLTTAEKLKRESPWKITDAELEAV KEKSYRQVRLNELLQEHSRAANLIVLSLPVARKGSISDLLYMAWLEILTKNLPPVLLVRG NHKNVLTFYS >ENSMUSP00000125277.1 pep:known chromosome:GRCm38:9:57130690:57134155:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000161393.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] MAAAPFLKHWRTTFERVEKFVSPIYFTDCNLRGRLFGDSCSVTLSSFLTPERLPYEKAVQ QNFSPAQVGDSFGPTWMVDLLVSGRTGHP >ENSMUSP00000125478.1 pep:known chromosome:GRCm38:9:57130690:57142722:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000160147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] MAAAPFLKHWRTTFERVEKFVSPIYFTDCNLRGRLFGDSCSVTLSSFLTPERLPYEKAVQ QNFSPAQVGDSFGPTWWTCWFRVELVIPEVWVGQEVHLCWESDGESLVWRDGEPVQGLTK EGEKTSYVLSERLRASDPRSLTLYVEVACNGLLGAGKGSMIAAPDPEKMFQLSQAKLAVF HRDVHSLLVDLELLLGVAKGLGEDSQRSFQALHTANQMVNICDPAQPETYPAAKALASKF FGQHGGESQHTIHAMGHCHIDTAWLWPFKETVRKCARSWSTAVTLMEQNTDFIFACSQAQ QLEWVKSQYPGLHARLQEFACRGQFVPVGGTWVEMDGNLPSGEAMVRQFLQGQNFFLQEF GKMCSEFWLPDTFGYSAQLPQIMQGCGIKRFLTQKLSWNLVNSFPHHTFFWEGLDGSRVL VHFPPGDSYGMQGSVEEVLKTVTNNRDKGRTNHSGFLFGFGDGGGGPTQTMLDRLKRLSN TDGLPRVQLSSPGQLFTALERDSGQLCTWVGELFLELHNGTYTTHAQLKKGNRECEQILH DVEVLSSLALARSAQFLYPAAQLQHLWRLLLLNQFHDVVTGSCIQLVAEDAMNYYEDIRS HGNPLLSAAAAALCAGEPGPKGLLIINTLPWKRTEVLALPKPCGAHSLALVTVPSIGYAP APTPTSLQPLLPQQPVFVMQETDGSVTLDNGIIRVRLDPTGCLTSLVLVASGREAIAEGA LGNQFVLFDDVPLYWDAWDVMDYHLETRKPVLGQAGTLAVGTEGGLRGSAWFLLQISPNS RLSQEVVLDVGCPYVRFHTEVHWHEAHKFLKVEFPARIRSPQATYEIQFGHLQRPTHNNT SWDWARYEVWAHRWIDLSECDFGLALLNNCKYGASVRGNVLSLSLLRAPKAPDATADMGR HEFTYALMPHKGSFQEAGVIHAAYNLNFPLLALPAPGPAPDTTWSAFSVSSPAVVLETIK QAERCHQHRTLVLRLYEAHGSHVDCWLHTSLPVQEATLCDLLEQRDPTGHLSLQDNRLKL TFSPFQVRSLLLVLQSPPN >ENSMUSP00000125186.1 pep:known chromosome:GRCm38:9:57130768:57136796:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000162915.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] MAAAPFLKHWRTTFERVEKFVSPIYFTDCNLRGRLFGDSCSVTLSSFLTPERLPYEKAVQ QNFSPAQMVDLLVSGRTGHP >ENSMUSP00000124124.1 pep:known chromosome:GRCm38:9:57130768:57140710:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000161663.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] MAAAPFLKHWRTTFERVEKFVSPIYFTDCNLRGRLFGDSCSVTLSSFLTPERLPYEKAVQ QNFSPAQVGDSFGPTWWTCWFRVELVIPEVWVGQEVHLCWESDGESLVWRDGEPVQGLTK EGEKTSYVLSERLRASDPRSLTLYVEVACNGLLGAGKGSMIAAPDPEKMFQLSQAKLAVF HRDVHSLLVDLELLLGVAKGLGEDSQRSFQALHTANQMVNICDPAQPETYPAAKALASKF FGQHGGESQHTIHAMGHCHIDTGVSRCTSKCVFFSQLLAVLIQMQLRFLTMEQGLMKLDL RPRAWLWPFKETVRKCARSWSTAVTLMEQNTDFIFACSQAQQLEWVKSQYPGLHARLQEF ACRGQFVPVGGTWVEMDGNLPSGEAMVRQFLQGQNFFLQEFGKMCSEFWLPDTFGYSAQL PQIMQGCGIKRFLTQKLSWNLVNSFPHHTFFWEGLDGSRVLVHFPPGDSYGMQGSVEEVL KTVTNNRDKGRTNHSGFLFGFGDGGGGPTQTMLDRLKRLSNTDGLPRVQLSSPGQLFTAL ERDSGQLCTWVGELFLELHNGTYTTHAQLKKGNRECEQILHDVEVLSSLALARSAQFLYP AAQLQHLWRLLLLNQFHDVVTGSCIQLVAEDAMNYYEDIRSHGNPLLSAAAAALCAGEPG PKGLLIINTLPWKRTEVLALPKPCGAHSLALVTVPSIGYAPAPTPTSLQPLLPQQPVFVM QETDGSVTLDNGIIRVRLDPTGCLTSLVLVASGREAIAEGALGNQFVLFDDVPLYWDAWD VMDYHLETRKPVLGQAGTLAVGTEGGLRGSAWFLLQISPNSRLSQEVVLDVGCPYVRFHT EVHWHEAHKFLKVEFPARIRSPQATY >ENSMUSP00000034836.9 pep:known chromosome:GRCm38:9:57130770:57142203:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000034836.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] MAAAPFLKHWRTTFERVEKFVSPIYFTDCNLRGRLFGDSCSVTLSSFLTPERLPYEKAVQ QNFSPAQVGDSFGPTWWTCWFRVELVIPEVWVGQEVHLCWESDGESLVWRDGEPVQGLTK EGEKTSYVLSERLRASDPRSLTLYVEVACNGLLGAGKGSMIAAPDPEKMFQLSQAKLAVF HRDVHSLLVDLELLLGVAKGLGEDSQRSFQALHTANQMVNICDPAQPETYPAAKALASKF FGQHGGESQHTIHAMGHCHIDTAWLWPFKETVRKCARSWSTAVTLMEQNTDFIFACSQAQ QLEWVKSQYPGLHARLQEFACRGQFVPVGGTWVEMDGNLPSGEAMVRQFLQGQNFFLQEF GKMCSEFWLPDTFGYSAQLPQIMQGCGIKRFLTQKLSWNLVNSFPHHTFFWEGLDGSRVL VHFPPGDSYGMQGSVEEVLKTVTNNRDKGRTNHSGFLFGFGDGGGGPTQTMLDRLKRLSN TDGLPRVQLSSPGQLFTALERDSGQLCTWVGELFLELHNGTYTTHAQKGNRECEQILHDV EVLSSLALARSAQFLYPAAQLQHLWRLLLLNQFHDVVTGSCIQLVAEDAMNYYEDIRSHG NPLLSAAAAALCAGEPGPKGLLIINTLPWKRTEVLALPKPCGAHSLALVTVPSIGYAPAP TPTSLQPLLPQQPVFVMQETDGSVTLDNGIIRVRLDPTGCLTSLVLVASGREAIAEGALG NQFVLFDDVPLYWDAWDVMDYHLETRKPVLGQAGTLAVGTEGGLRGSAWFLLQISPNSRL SQEVVLDVGCPYVRFHTEVHWHEAHKFLKVEFPARIRSPQATYEIQFGHLQRPTHNNTSW DWARYEVWAHRWIDLSECDFGLALLNNCKYGASVRGNVLSLSLLRAPKAPDATADMGRHE FTYALMPHKGSFQEAGVIHAAYNLNFPLLALPAPGPAPDTTWSAFSVSSPAVVLETIKQA ERCHQHRTLVLRLYEAHGSHVDCWLHTSLPVQEATLCDLLEQRDPTGHLSLQDNRLKLTF SPFQVRSLLLVLQSPPN >ENSMUSP00000123840.1 pep:known chromosome:GRCm38:9:57130786:57137803:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000160584.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] MAAAPFLKHWRTTFERVEKFVSPIYFTDCNLRGRLFGDSCSVTLSSFLTPERLPYEKAVQ QNFSPAQVGDSFGPTWWTCWFRVELVIPEVWVGQEVHLCWESDGESLVWRDGEPVQGLTK EGEKTSYVLSERLRASDPRSLTLYVEVACNGLLGAGKGSMIAAPDPEKMFQLSQAKLAVF HRDVHSLLVDLELLLGVAKPGFGPSRRL >ENSMUSP00000125549.1 pep:known chromosome:GRCm38:9:57130786:57139398:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000159130.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] MAAAPFLKHWRTTFERVEKFVSPIYFTDCNLRGRLFGDSCSVTLSSFLTPERLPYEKAVQ QNFSPAQVGDSFGPTW >ENSMUSP00000124020.1 pep:known chromosome:GRCm38:9:57130786:57142204:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000161182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] MAAAPFLKHWRTTFERVEKFVSPIYFTDCNLRGRLFGDSCSVTLSSFLTPERLPYEKAVQ QNFSPAQVGDSFGPTWWTCWFRVELVIPEVWVGQEVHLCWESDGESLVWRDGEPVQGLTK EGEKTSYVLSERLRASDPRSLTLYVEVACNGLLGAGKGSMIAAPDPEKMFQLSQAKLAVF HRDVHSLLVDLELLLGVAKAQQLEWVKSQYPGLHARLQEFACRGQFVPVGGTWVEMDGNL PSGEAMVRQFLQGQNFFLQEFGKMCSEFWLPDTFGYSAQLPQIMQGCGIKRFLTQKLSWN LVNSFPHHTFFWEGLDGSRVLVHFPPGDSYGMQGSVEEVLKTVTNNRDKGRTNHSGFLFG FGDGGGGPTQTMLDRLKRLSNTDGLPRVQLSSPGQLFTALERDSGQLCTWVGELFLELHN GTYTTHAQLKKGNRECEQILHDVEVLSSLALARSAQFLYPAAQLQHLWRLLLLNQFHDVV TGSCIQLVAEDAMNYYEDIRSHGNPLLSAAAAALCAGEPGPKGLLIINTLPWKRTEVLAL PKPCGAHSLALVTVPSIGYAPAPTPTSLQPLLPQQPVFVMQETDGSVTLDNGIIRVRLDP TGCLTSLVLVASGREAIAEGALGNQFVLFDDVPLYWDAWDVMDYHLETRKPVLGQAGTLA VGTEGGLRGSAWFLLQISPNSRLSQEVVLDVGCPYVRFHTEVHWHEAHKFLKVEFPARIR SPQATYEIQFGHLQRPTHNNTSWDWARYEVWAHRWIDLSECDFGLALLNNCKYGASVRGN VLSLSLLRAPKAPDATADMGRHEFTYALMPHKGSFQEAGVIHAAYNLNFPLLALPAPGPA PDTTWSAFSVSSPAVVLETIKQAERCHQHRTLVLRLYEAHGSHVDCWLHTSLPVQEATLC DLLEQRDPTGHLSLQDNRLKLTFSPFQVRSLLLVLQSPPN >ENSMUSP00000124378.1 pep:known chromosome:GRCm38:9:57135592:57137003:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000161338.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] QHTIHAMGHCHIDTASSLLY >ENSMUSP00000124005.1 pep:known chromosome:GRCm38:9:57138043:57140728:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000160426.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] XATLMGCPGCSYLLLASSSRHWRETQDSCAHGLENSSWNCTMAPTLRMPRLLLLNQFHDV VTGSCIQLVAEDAMNYYEDIRSHGNPLLSAAAAALCAGEPGPKGLLIINTLPWKRTEVLA LPKPCGAHSLACVCDAGD >ENSMUSP00000124304.1 pep:known chromosome:GRCm38:9:57138426:57140411:1 gene:ENSMUSG00000032295.15 transcript:ENSMUST00000159101.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man2c1 description:mannosidase, alpha, class 2C, member 1 [Source:MGI Symbol;Acc:MGI:1920994] XTALERDSGQLCTWVGELFLELHNGTYTTHAQLKKGNRECEQILHDVEVLSSLALARSAQ FLYPAAQLQHLWRLLLLNQFHDVVTGSCIQLVAEDAMNYYEDIRSHGNPLLSAAAAALCA GEPGPKGLLIINTLPWKRTEVLALPKPCGAHSLALVTVPSIGYAPAPTPTSLQPLLPQQP VFVMQEGSHC >ENSMUSP00000033811.7 pep:known chromosome:GRCm38:X:139821632:139871654:-1 gene:ENSMUSG00000031434.15 transcript:ENSMUST00000033811.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc4 description:microrchidia 4 [Source:MGI Symbol;Acc:MGI:1922996] MLLYRGAPAGPGTPGGGLARAGSVPQAFRIRLSTMSPRYLQSNSSSHTRPFSAIAELLDN AVDPDVSARTVFIDVEEVKKKPCLTFTDDGCGMTPHKLHRMLSFGFTDKVIKKSQRPIGV FGNGFKSGSMRLGKDALVFTKNGNTLAVGLLSQTYLECIQAQAVIVPIVPFSQQNKKMIV TEDSLPSLEAILNYSIFNCEKDLLSQFDAIPGKKGTRVLIWNIRRNKDGKSELDFDTDQY DILVSDFDAEEKEIGGVTSELPETEYSLRAFCSILYMKPRMKIFLRQKKVTTQMIAKSLA NVEYDIYKPTSTNKQVRITFGFSCKYHNQFGVMMYHNNRLIKAFEKAGCQLKPTCGEGVG VIGVIECNFLKPAYNKQDFEYTKEYRLTINALARKLNAYWKEKISQENFEPLPTSRRIPD QTWVQCDECLKWRRLPGMVDPSTLPARWFCYYNPHPKFKRCSVPEEQERIDEDLHRSKAK QQVEAAEKKQKPMESDKYQVFSNPPKTPPLQDMAELNDKTIGYEQINSPSLLPSVREESR SPPRLKSLDSSAFQISRKYKLILGEEPVEKRRKIQTEMPLSPIDYSMSGFYRRVEAATAY PEGENSPDKCSSERSTPPHLIPEYPESNKHTEENREAPALCPGSQDQDQGFLLPEELEDQ MPKLVAEESNRSSENIDKDMNKGPFVAVVGVAKGVADSGAPIQLVPFNREEFVGKRKRAE SWKRANPYSSAAPAATAGKGKDCQDSRSRNMPKIKTPKESEELKRTTEKLERVLAERNLF QQKVEELEQEKNHWHSEYKKAQHELVTYSTQETEGIYWSKKHMGYRQAEFQILKAELERT KEEKQELKEKLKETESHLEVLQKAQVSFRNPEGDDLERLITRV >ENSMUSP00000132730.1 pep:known chromosome:GRCm38:X:139821704:139854991:-1 gene:ENSMUSG00000031434.15 transcript:ENSMUST00000136415.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc4 description:microrchidia 4 [Source:MGI Symbol;Acc:MGI:1922996] XNKDGKSELDFDTDQYDILVSDFDAEEKEIGGVTSELPETEYSLRAFCSILYMKPRMKIF LRQKKVTTQMIAKSLANVEYDIYKPTSTNKQVRITFGFSCKYHNQFGVMMYHNNRLIKAF EKAGCQLKPTCGEGVGVIGVIECNFLKPAYNKQDFEYTKEYRLTINALARKLNAYWKEKI SQENFEPLPTSRRIPDQTWVQCDECLKWRRLPGMVDPSTLPARWFCYYNPHPKFKRCSVP EEQERIDEDLHRSKAKQQVEAAEKKQKPMESDKYQVFSNPPKTPPLQDMAELNDKTIGYE QINSPSLLPSVREESRSPPRLKSLDSSAFQISRKYKLILGEEPVEKRRKIQTEMPLSPID YSMSGFYRRVEAATAYPEGENSPDKCSSERSTPPHLIPEYPESNKHTEENREAPALCPGS QDQDQGFLLPEELEDQMPKLVAEESNRSSENIDKDMNKGPFVAVVGVAKGVADSGAPIQL VPFNREEFVGKRKRAESWKRANPYSSAAPAATAGKGKDCQDSRSRNMPKIKTPKESEELK RTTEKLERVLAERNLFQQKVEELEQEKNHWHSEYKKAQHELVTYSTQETEGIYWSKKHMG YRQAEFQILKAELERTKEEKQELKEKLKETESHLEVLQKAQGFGQAHSATCPRQLSPYFC PPSLGAS >ENSMUSP00000084663.5 pep:known chromosome:GRCm38:X:139821904:139871677:-1 gene:ENSMUSG00000031434.15 transcript:ENSMUST00000087401.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc4 description:microrchidia 4 [Source:MGI Symbol;Acc:MGI:1922996] MLLYRGAPAGPGTPGGGLARAGSVPQAFRIRLSTMSPRYLQSNSSSHTRPFSAIAELLDN AVDPDVSARTVFIDVEEVKKKPCLTFTDDGCGMTPHKLHRMLSFGFTDKVIKKSQRPIGV FGNGFKSGSMRLGKDALVFTKNGNTLAVGLLSQTYLECIQAQAVIVPIVPFSQQNKKMIV TEDSLPSLEAILNYSIFNCEKDLLSQFDAIPGKKGTRVLIWNIRRNKDGKSELDFDTDQY DILVSDFDAEEKEIGGVTSELPETEYSLRAFCSILYMKPRMKIFLRQKKVTTQMIAKSLA NVEYDIYKPTSTNKQVRITFGFSCKYHNQFGVMMYHNNRLIKAFEKAGCQLKPTCGEGVG VIGVIECNFLKPAYNKQDFEYTKEYRLTINALARKLNAYWKEKISQENFEPLPTSRRIPD QTWVQCDECLKWRRLPGMVDPSTLPARWFCYYNPHPKFKRCSVPEEQERIDEDLHRSKAK QQVEAAEKKQKPMESDKYQVFSNPPKTPPLQDMAELNDKTIGYEQINSPSLLPSVREESR SPPRLKSLDSSAFQISRKYKLILGEEPVEKRRKIQTEMPLSPIDYSMSGFYRRVEAATAY PEGENSPDKCSSERSTPPHLIPEYPESNKHTEENREAPALCPGSQDQDQGFLLPEELEDQ MPKLVAEESNRSSENIDKDMNKGPFVAVVGVAKGVADSGAPIQLVPFNREEFVGKRKRAE SWKRANPYSSAAPAATAGKGKDCQDSRSRNMPKIKTPKESEELKRTTEKLERVLAERNLF QQKVEELEQEKNHWHSEYKKAQHELVTYSTQETEGIYWSKKHMGYRQAEFQILKAELERT KEEKQELKEKLKETESHLEVLQKAQVSFRNPEGDDLERALARLTRLRVHVSYLLTSVLPH LELREIGYDSEQVDGILYTVLEANHILD >ENSMUSP00000132245.1 pep:known chromosome:GRCm38:X:139836479:139854938:-1 gene:ENSMUSG00000031434.15 transcript:ENSMUST00000167664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc4 description:microrchidia 4 [Source:MGI Symbol;Acc:MGI:1922996] XVSDFDAEEKEIGGVTSELPETEYSLRAFCSILYMKPRMKIFLRQKKNKQVRITFGFSCK YHNQFGVMMYHNNRLIKAFEKAGCQLKPTCGEGVGVIGVIECNFLKPAYNKQDFEYTKEY RLTINALARKLNAYWKEKISQENFEPLPTSRRIPDQTWVQCDECLKWRRLPGMVDPSTLP ARWFCYYNPHPKFKRCSVPEEQERIDEDLHRSKAKQQL >ENSMUSP00000126813.1 pep:known chromosome:GRCm38:X:139858796:139871373:-1 gene:ENSMUSG00000031434.15 transcript:ENSMUST00000171896.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Morc4 description:microrchidia 4 [Source:MGI Symbol;Acc:MGI:1922996] MLLYRGAPAGPGTPGGGLARAGSVPQAFRIRLSTIML >ENSMUSP00000126149.1 pep:known chromosome:GRCm38:X:139858910:139871199:-1 gene:ENSMUSG00000031434.15 transcript:ENSMUST00000165274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc4 description:microrchidia 4 [Source:MGI Symbol;Acc:MGI:1922996] MSPRYLQSNSSSHTRPFSAIAELLDNAVDPDVSARTVFIDVEEVKKKPCLTFTDDGCGMT PHKLHRMLSFGFTDKVIKKSQRPIGVFGNGFKSGSMRLGKDALV >ENSMUSP00000032194.4 pep:known chromosome:GRCm38:6:108660629:108666925:1 gene:ENSMUSG00000030103.11 transcript:ENSMUST00000032194.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlhe40 description:basic helix-loop-helix family, member e40 [Source:MGI Symbol;Acc:MGI:1097714] MERIPSAQPPPTCLPKAPGLEHGDLSGMDFAHMYQVYKSRRGIKRSEDSKETYKLPHRLI EKKRRDRINECIAQLKDLLPEHLKLTTLGHLEKAVVLELTLKHVKALTNLIDQQQQKIIA LQSGLQAGDLSGRNLEAGQEMFCSGFQTCAREVLQYLAKHENTRDLKSSQLVTHLHRVVS ELLQGGASRKPLDSAPKAVDLKEKPSFLAKGSEGPGKNCVPVIQRTFAPSGGEQSGSDTD TDSGYGGELEKGDLRSEQPYFKSDHGRRFAVGERVSTIKQESEEPPTKKSRMQLSEEEGH FAGSDLMGSPFLGPHPHQPPFCLPFYLIPPSATAYLPMLEKCWYPTSVPVLYPGLNTSAA ALSSFMNPDKIPTPLLLPQRLPSPLAHSSLDSSALLQALKQIPPLNLETKD >ENSMUSP00000132157.1 pep:known chromosome:GRCm38:6:108660874:108662699:1 gene:ENSMUSG00000030103.11 transcript:ENSMUST00000163617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhlhe40 description:basic helix-loop-helix family, member e40 [Source:MGI Symbol;Acc:MGI:1097714] MERIPSAQPPPTCLPKAPGLEHGDLSGMDFAHMYQVYKSRRGIKRSEDSKETYKLPHRLI EKKRRDRINECIAQLKDLLPEHLKLTTLGHLEKAVVLELTLKHVKALTNLIDQQQQKIIA LQSGLQAGESPA >ENSMUSP00000129444.1 pep:known chromosome:GRCm38:3:60006743:60025420:1 gene:ENSMUSG00000091376.1 transcript:ENSMUST00000169794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aadacl2 description:arylacetamide deacetylase-like 2 [Source:MGI Symbol;Acc:MGI:3646333] MGFKALCFGLLCVSFLSCIYTPVPDNIEETWKVMALDTVAKTCSLMALCLENLGVMRYEE FISMIINLDYTQPLSDEHITVTDTAFVDIPVRLYLPKRKSEAPRRAVIYFHGGGFCFGSF KQRAFDFLNRWTANKLDAVVVGIDYRLAPQHHFPAQFEDGITAVKFFLQDKILTKYGVDP TRIAVSGDSSGGTLAAAVTQQVQIDPEVKHKLKLQALLYPGLQVIDTHLPSHRENEHGIV LTRDIAIKLVSLFFTKDEALPQAMRKNQHMPPESRHLFRFVNWSTLLPDKFRKDHVYTEP VLGRSAFSLPALMDHRALPLLASDDHLQHLPQTYILTCQHDVLRDDGIMYVSRLQKVGVQ VFHDHVEDGIHGALSYMTSPLHLDLGLRIKDMYVSWLDNNL >ENSMUSP00000060148.4 pep:known chromosome:GRCm38:9:110865711:110880113:-1 gene:ENSMUSG00000049555.10 transcript:ENSMUST00000050958.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmie description:transmembrane inner ear [Source:MGI Symbol;Acc:MGI:2159400] MAGRQHGSGRLWALGGAALGACLAGVATQLVEPSTAPPKPKPPPLTKETVVFWDMRLWHV VGIFSLFVLSIIITLCCVFNCRVPRTRKEIEARYLQRKAAKMYTDKLETVPPLNELTEIP GEDKKKKKKDSVDTVAIKVEEDEKNEAKKKGEK >ENSMUSP00000084062.1 pep:known chromosome:GRCm38:1:91411483:91413222:-1 gene:ENSMUSG00000067071.8 transcript:ENSMUST00000086851.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hes6 description:hairy and enhancer of split 6 [Source:MGI Symbol;Acc:MGI:1859852] MAPSQAPSRDRAGQEDEDRWEARGDRKARKPLVEKKRRARINESLQELRLLLAGTEVQAK LENAEVLELTVRRVQGALRGRAREREQLQAEASERFAAGYIQCMHEVHTFVSTCQAIDAT VSAELLNHLLESMPLREGSSFQDLLGDSLAGLPGGSGRSSWPPGGSPESPLSSPPGPGDD LCSDLEEIPEAELNRVPAEGPDLVSTSLGSLTAARRAQSVWRPW >ENSMUSP00000071687.6 pep:known chromosome:GRCm38:5:144545902:144557478:1 gene:ENSMUSG00000059991.7 transcript:ENSMUST00000071782.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nptx2 description:neuronal pentraxin 2 [Source:MGI Symbol;Acc:MGI:1858209] MLALLTVGVALAVAAGRAQDSPIPGSRFVCTALPPEAARAGCPLPAMPMQGGALSPEEEL RAAVLQLRETVVQQKETLGAQREAIRELTGKLARCEGLAGGKARGTGKDTMGDLPRDPGH VVEQLSRSLQTLKDRLESLELQLRTNVSNAGLPSDFREVLQRRLGELERQLLRKVAELED EKSLLHNETSAHRQKTESTLNALLQRVTELERGNSAFKSPDAFKVSLPLRTNYLYGKIKK TLPELYAFTICLWLRSSASPGIGTPFSYAVPGQANEIVLIEWGNNPIELLINDKVAQLPL FVSDGKWHHICITWTTRDGMWEAFQDGEKLGTGENLAPWHPIKPGGVLILGQEQDTVGGR FDATQAFVGELSQFNIWDRVLRAQEIINIANCSTNMPGNIIPWVDNNVDVFGGASKWPVE TCEERLLDL >ENSMUSP00000144676.1 pep:known chromosome:GRCm38:5:150522632:150539748:1 gene:ENSMUSG00000041147.10 transcript:ENSMUST00000202003.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brca2 description:breast cancer 2, early onset [Source:MGI Symbol;Acc:MGI:109337] MPVEYKRRPTFWEIFKARCSTADLGPISLNWFEELSSEAPPYNSEPPEESEYKPHGYEPQ LFKTPQRNPPYHQFASTPIMFKERSQTLPLDQSPFRELGKVVASSKHKTHSKKKTKVDPV VDVASPPLKSCLSESPLTLRCTQAVLQREKPVVSGSLFYTPKLKEGQTPKPISESLGVEV DPDMSWTSSLATPPTLSSTVLIARDEEARSSVTPADSPATLKSCFSNHNESPQKNDRSVP SVIDSENKNQQEAFSQGLGKMLGDSSGKRNSFKDCLRKPIPNILEDGETAVDTSEEDSFS LCFPKRRTRNLQKMRMGKTRKKIFSETRTDELSEEARRQTDDKNSFVFEMELRESDPLDP GVTSQKPFYSQNEEICNEAVQCSDSRWSQSNLSGLNETQTGKITLPHISSHSQNISEDFI DMKKEGTGSITSEKSLPHISSLPEPEKMFSEETVVDKEHEGQHFESLEDSIAGKQMVSRT SQAACLSPSIRKSIFKMREPLDETLGTVFSDSMTNSTFTEEHEASACGLGILTACSQRED SICPSSVDTGSWPTTLTDTSATVKNAGLISTLKNKKRKFIYSVSDDASLQGKKLQTHRQL ELTNLSAQLEASAFEVPLTFTNVNSGIPDSSDKKRCLPNDPEEPSLTNSFGTATSKEISY IHALISQDLNDKEAIVIEEKPQPYTAREADFLLCLPERTCENDQKSPKVSNGKEKVLVSA CLPSAVQLSSISFESQENPLGDHNGTSTLKLTPSSKLPLSKADMVSREKMCKMPEKLQCE SCKVNIELSKNILEVNEICILSENSKTPGLLPPGENIIEVASSMKSQFNQNAKIVIQKDQ KGSPFISEVAVNMNSEELFPDSGNNFAFQVTNKCNKPDLGSSVELQEEDLSHTQGPSLKN SPMAVDEDVDDAHAAQVLITKDSDSLAVVHDYTEKSRNNIEQHQKGTEDKDFKSNSSLNM KSDGNSDCSDKWSEFLDPVLNHNFGGSFRTAS >ENSMUSP00000144150.1 pep:known chromosome:GRCm38:5:150522644:150569747:1 gene:ENSMUSG00000041147.10 transcript:ENSMUST00000202313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brca2 description:breast cancer 2, early onset [Source:MGI Symbol;Acc:MGI:109337] MPVEYKRRPTFWEIFKARCSTADLGPISLNWFEELSSEAPPYNSEPPEESEYKPHGYEPQ LFKTPQRNPPYHQFASTPIMFKERSQTLPLDQSPFRELGKVVASSKHKTHSKKKTKVDPV VDVASPPLKSCLSESPLTLRCTQAVLQREKPVVSGSLFYTPKLKEGQTPKPISESLGVEV DPDMSWTSSLATPPTLSSTVLIARDEEARSSVTPADSPATLKSCFSNHNESPQKNDRSVP SVIDSENKNQQEAFSQGLGKMLGDSSGKRNSFKDCLRKPIPNILEDGETAVDTSEEDSFS LCFPKRRTRNLQKMRMGKTRKKIFSETRTDELSEEARRQTDDKNSFVFEMELRESDPLDP GVTSQKPFYSQNEEICNEAVQCSDSRWSQSNLSGLNETQTGKITLPHISSHSQNISEDFI DMKKEGTGSITSEKSLPHISSLPEPEKMFSEETVVDKEHEGQHFESLEDSIAGKQMVSRT SQAACLSPSIRKSIFKMREPLDETLGTVFSDSMTNSTFTEEHEASACGLGILTACSQRED SICPSSVDTGSWPTTLTDTSATVKNAGLISTLKNKKRKFIYSVSDDASLQGKKLQTHRQL ELTNLSAQLEASAFEVPLTFTNVNSGIPDSSDKKRCLPNDPEEPSLTNSFGTATSKEISY IHALISQDLNDKEAIVIEEKPQPYTAREADFLLCLPERTCENDQKSPKVSNGKEKVLVSA CLPSAVQLSSISFESQENPLGDHNGTSTLKLTPSSKLPLSKADMVSREKMCKMPEKLQCE SCKVNIELSKNILEVNEICILSENSKTPGLLPPGENIIEVASSMKSQFNQNAKIVIQKDQ KGSPFISEVAVNMNSEELFPDSGNNFAFQVTNKCNKPDLGSSVELQEEDLSHTQGPSLKN SPMAVDEDVDDAHAAQVLITKDSDSLAVVHDYTEKSRNNIEQHQKGTEDKDFKSNSSLNM KSDGNSDCSDKWSEFLDPVLNHNFGGSFRTASNKEIKLSEHNVKKSKMFFKDIEEQYPTR LACIDIVNTLPLANQKKLSEPHIFDLKSVTTVSTQSHNQSSVSHEDTDTAPQMLSSKQDF HSNNLTTSQKAEITELSTILEESGSQFEFTQFRKPSHIAQNTSEVPGNQMVVLSTASKEW KDTDLHLPVDPSVGQTDHSKQFEGSAGVKQSFPHLLEDTCNKNTSCFLPNINEMEFGGFC SALGTKLSVSNEALRKAMKLFSDIENSEEPSAKVGPRGFSSSAHHDSVASVFKIKKQNTE KSFDEKSSKCQVTLQNNIEMTTCIFVGRNPEKYIKNTKHEDSYTSSQRNNLENSDGSMSS TSGPVYIHKGDSDLPADQGSKCPESCTQYAREENTQIKENISDLTCLEIMKAEETCMKSS DKKQLPSDKMEQNIKEFNISFQTASGKNTRVSKESLNKSVNIFNRETDELTVISDSLNSK ILHGINKDKMHTSCHKKAISIKKVFEDHFPIVTVSQLPAQQHPEYEIESTKEPTLLSFHT ASGKKVKIMQESLDKVKNLFDETQYVRKTASFSQGSKPLKDSKKELTLAYEKIEVTASKC EEMQNFVSKETEMLPQQNYHMYRQTENLKTSNGTSSKVQENIENNVEKNPRICCICQSSY PVTEDSALAYYTEDSRKTCVRESSLSKGRKWLREQGDKLGTRNTIKIECVKEHTEDFAGN ASYEHSLVIIRTEIDTNHVSENQVSTLLSDPNVCHSYLSQSSFCHCDDMHNDSGYFLKNK IDSDVPPDMKNAEGNTISPRVSATKERNLHPQTINEYCVQKLETNTSPHANKDVAIDPSL LDSRNCKVGSLVFITAHSQETERTKEIVTDNCYKIVEQNRQSKPDTCQTSCHKVLDDSKD FICPSSSGDVCINSRKDSFCPHNEQILQHNQSMSGLKKAATPPVGLETWDTSKSIREPPQ AAHPSRTYGIFSTASGKAIQVSDASLEKARQVFSEMDGDAKQLSSMVSLEGNEKPHHSVK RENSVVHSTQGVLSLPKPLPGNVNSSVFSGFSTAGGKLVTVSESALHKVKGMLEEFDLIR TEHTLQHSPIPEDVSKILPQPCAEIRTPEYPVNSKLQKTYNDKSSLPSNYKESGSSGNTQ SIEVSLQLSQMERNQDTQLVLGTKVSHSKANLLGKEQTLPQNIKVKTDEMKTFSDVPVKT NVGEYYSKESENYFETEAVESAKAFMEDDELTDSEQTHAKCSLFTCPQNETLFNSRTRKR GGVTVDAVGQPPIKRSLLNEFDRIIESKGKSLTPSKSTPDGTVKDRSLFTHHMSLEPVTC GPFCSSKERQGAQRPHLTSPAQELLSKGHPWRHSALEKSPSSPIVSILPAHDVSATRTER TRHSGKSTKVFVPPFKMKSQFHGDEHFNSKNVNLEGKNQKSTDGDREDGNDSHVRQFNKD LMSSLQSARDLQDMRIKNKERRHLRLQPGSLYLTKSSTLPRISLQAAVGDRAPSACSPKQ LYIYGVSKECINVNSKNAEYFQFDIQDHFGKEDLCAGKGFQLADGGWLIPSNDGKAGKEE FYRALCDTPGVDPKLISSIWVANHYRWIVWKLAAMEFAFPKEFANRCLNPERVLLQLKYR YDVEIDNSRRSALKKILERDDTAAKTLVLCISDIISPSTKVSETSGGKTSGEDANKVDTI ELTDGWYAVRAQLDPPLMALVKSGKLTVGQKIITQGAELVGSPDACAPLEAPDSLRLKIS ANSTRPARWHSRLGFFRDPRPFPLPLSSLFSDGGNVGCVDIIVQRVYPLQWVEKTVSGLY IFRSEREEEKEALRFAEAQQKKLEALFTKVHTEFKDHEEDTTQRCVLSRTLTRQQVHALQ DGAELYAAVQYASDPDHLEACFSEEQLRALNNYRQMLNDKKQARIQSEFRKALESAEKEE GLSRDVTTVWKLRVTSYKKKEKSALLSIWRPSSDLSSLLTEGKRYRIYHLAVSKSKSKFE RPSIQLTATKRTQYQQLPVSSETLLQVYQPRESLHFSRLSDPAFQPPCSEVDVVGVVVSV VKPIGLAPLVYLSDECLNLLVVKFGIDLNEDIKPRVLIAASNLQCQPESTSGVPTLFAGH FSIFSASPKEAYFQEKVNNLKHAIENIDTFYKEAEKKLIHVLEGDSPKWSTPNKDPTREP HAASTCCASDLLGSGGQFLRISPTGQQSYQSPLSHCTLKGKSMPLAHSAQMAAKSWSGEN EIDDPKTCRKRRALDFLSRLPLPSPVSPICTFVSPAAQKAFQPPRSCGTKYATPIKKEPS SPRRRTPFQKTSGVSLPDCDSVADEELALLSTQALTPDSVGGNEQAFPGDSTRNPQPAQR PDQQVGPRSRKESLRDCRGDSSEKLAVES >ENSMUSP00000038576.7 pep:known chromosome:GRCm38:5:150522630:150569746:1 gene:ENSMUSG00000041147.10 transcript:ENSMUST00000044620.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brca2 description:breast cancer 2, early onset [Source:MGI Symbol;Acc:MGI:109337] MPVEYKRRPTFWEIFKARCSTADLGPISLNWFEELSSEAPPYNSEPPEESEYKPHGYEPQ LFKTPQRNPPYHQFASTPIMFKERSQTLPLDQSPFRELGKVVASSKHKTHSKKKTKVDPV VDVASPPLKSCLSESPLTLRCTQAVLQREKPVVSGSLFYTPKLKEGQTPKPISESLGVEV DPDMSWTSSLATPPTLSSTVLIARDEEARSSVTPADSPATLKSCFSNHNESPQKNDRSVP SVIDSENKNQQEAFSQGLGKMLGDSSGKRNSFKDCLRKPIPNILEDGETAVDTSEEDSFS LCFPKRRTRNLQKMRMGKTRKKIFSETRTDELSEEARRQTDDKNSFVFEMELRESDPLDP GVTSQKPFYSQNEEICNEAVQCSDSRWSQSNLSGLNETQTGKITLPHISSHSQNISEDFI DMKKEGTGSITSEKSLPHISSLPEPEKMFSEETVVDKEHEGQHFESLEDSIAGKQMVSRT SQAACLSPSIRKSIFKMREPLDETLGTVFSDSMTNSTFTEEHEASACGLGILTACSQRED SICPSSVDTGSWPTTLTDTSATVKNAGLISTLKNKKRKFIYSVSDDASLQGKKLQTHRQL ELTNLSAQLEASAFEVPLTFTNVNSGIPDSSDKKRCLPNDPEEPSLTNSFGTATSKEISY IHALISQDLNDKEAIVIEEKPQPYTAREADFLLCLPERTCENDQKSPKVSNGKEKVLVSA CLPSAVQLSSISFESQENPLGDHNGTSTLKLTPSSKLPLSKADMVSREKMCKMPEKLQCE SCKVNIELSKNILEVNEICILSENSKTPGLLPPGENIIEVASSMKSQFNQNAKIVIQKDQ KGSPFISEVAVNMNSEELFPDSGNNFAFQVTNKCNKPDLGSSVELQEEDLSHTQGPSLKN SPMAVDEDVDDAHAAQVLITKDSDSLAVVHDYTEKSRNNIEQHQKGTEDKDFKSNSSLNM KSDGNSDCSDKWSEFLDPVLNHNFGGSFRTASNKEIKLSEHNVKKSKMFFKDIEEQYPTR LACIDIVNTLPLANQKKLSEPHIFDLKSVTTVSTQSHNQSSVSHEDTDTAPQMLSSKQDF HSNNLTTSQKAEITELSTILEESGSQFEFTQFRKPSHIAQNTSEVPGNQMVVLSTASKEW KDTDLHLPVDPSVGQTDHSKQFEGSAGVKQSFPHLLEDTCNKNTSCFLPNINEMEFGGFC SALGTKLSVSNEALRKAMKLFSDIENSEEPSAKVGPRGFSSSAHHDSVASVFKIKKQNTE KSFDEKSSKCQVTLQNNIEMTTCIFVGRNPEKYIKNTKHEDSYTSSQRNNLENSDGSMSS TSGPVYIHKGDSDLPADQGSKCPESCTQYAREENTQIKENISDLTCLEIMKAEETCMKSS DKKQLPSDKMEQNIKEFNISFQTASGKNTRVSKESLNKSVNIFNRETDELTVISDSLNSK ILHGINKDKMHTSCHKKAISIKKVFEDHFPIVTVSQLPAQQHPEYEIESTKEPTLLSFHT ASGKKVKIMQESLDKVKNLFDETQYVRKTASFSQGSKPLKDSKKELTLAYEKIEVTASKC EEMQNFVSKETEMLPQQNYHMYRQTENLKTSNGTSSKVQENIENNVEKNPRICCICQSSY PVTEDSALAYYTEDSRKTCVRESSLSKGRKWLREQGDKLGTRNTIKIECVKEHTEDFAGN ASYEHSLVIIRTEIDTNHVSENQVSTLLSDPNVCHSYLSQSSFCHCDDMHNDSGYFLKNK IDSDVPPDMKNAEGNTISPRVSATKERNLHPQTINEYCVQKLETNTSPHANKDVAIDPSL LDSRNCKVGSLVFITAHSQETERTKEIVTDNCYKIVEQNRQSKPDTCQTSCHKVLDDSKD FICPSSSGDVCINSRKDSFCPHNEQILQHNQSMSGLKKAATPPVGLETWDTSKSIREPPQ AAHPSRTYGIFSTASGKAIQVSDASLEKARQVFSEMDGDAKQLSSMVSLEGNEKPHHSVK RENSVVHSTQGVLSLPKPLPGNVNSSVFSGFSTAGGKLVTVSESALHKVKGMLEEFDLIR TEHTLQHSPIPEDVSKILPQPCAEIRTPEYPVNSKLQKTYNDKSSLPSNYKESGSSGNTQ SIEVSLQLSQMERNQDTQLVLGTKVSHSKANLLGKEQTLPQNIKVKTDEMKTFSDVPVKT NVGEYYSKESENYFETEAVESAKAFMEDDELTDSEQTHAKCSLFTCPQNETLFNSRTRKR GGVTVDAVGQPPIKRSLLNEFDRIIESKGKSLTPSKSTPDGTVKDRSLFTHHMSLEPVTC GPFCSSKERQGAQRPHLTSPAQELLSKGHPWRHSALEKSPSSPIVSILPAHDVSATRTER TRHSGKSTKVFVPPFKMKSQFHGDEHFNSKNVNLEGKNQKSTDGDREDGNDSHVRQFNKD LMSSLQSARDLQDMRIKNKERRHLRLQPGSLYLTKSSTLPRISLQAAVGDRAPSACSPKQ LYIYGVSKECINVNSKNAEYFQFDIQDHFGKEDLCAGKGFQLADGGWLIPSNDGKAGKEE FYRALCDTPGVDPKLISSIWVANHYRWIVWKLAAMEFAFPKEFANRCLNPERVLLQLKYR YDVEIDNSRRSALKKILERDDTAAKTLVLCISDIISPSTKVSETSGGKTSGEDANKVDTI ELTDGWYAVRAQLDPPLMALVKSGKLTVGQKIITQGAELVGSPDACAPLEAPDSLRLKIS ANSTRPARWHSRLGFFRDPRPFPLPLSSLFSDGGNVGCVDIIVQRVYPLQWVEKTVSGLY IFRSEREEEKEALRFAEAQQKKLEALFTKVHTEFKDHEEDTTQRCVLSRTLTRQQVHALQ DGAELYAAVQYASDPDHLEACFSEEQLRALNNYRQMLNDKKQARIQSEFRKALESAEKEE GLSRDVTTVWKLRVTSYKKKEKSALLSIWRPSSDLSSLLTEGKRYRIYHLAVSKSKSKFE RPSIQLTATKRTQYQQLPVSSETLLQVYQPRESLHFSRLSDPAFQPPCSEVDVVGVVVSV VKPIGLAPLVYLSDECLNLLVVKFGIDLNEDIKPRVLIAASNLQCQPESTSGVPTLFAGH FSIFSASPKEAYFQEKVNNLKHAIENIDTFYKEAEKKLIHVLEGDSPKWSTPNKDPTREP HAASTCCASDLLGSGGQFLRISPTGQQSYQSPLSHCTLKGKSMPLAHSAQMAAKSWSGEN EIDDPKTCRKRRALDFLSRLPLPSPVSPICTFVSPAAQKAFQPPRSCGTKYATPIKKEPS SPRRRTPFQKTSGVSLPDCDSVADEELALLSTQALTPDSVGGNEQAFPGDSTRNPQPAQR PDQQVGPRSRKESLRDCRGDSSEKLAVES >ENSMUSP00000001701.3 pep:known chromosome:GRCm38:15:102954427:102957708:1 gene:ENSMUSG00000001656.3 transcript:ENSMUST00000001701.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc11 description:homeobox C11 [Source:MGI Symbol;Acc:MGI:96193] MFNSVNLGNFCSPSRKERGADFGERGSCTSNLYLPSCTYYVPEFSTVSSFLPQAPSRQIS YPYSAQVPPVREVSYGLEPSGKWHHRNSYSSCYAAADELMHRECLPPSTVTEILMKNEGS YGGHHHPSAPHAAPAGFYSSVNKNSVLPQAFDRFFDNAYCGGGDAPAEPPCSGKGEAKGE PEAPPASGLASRAEAGAEAEAEEENTNPSSSGSSHSATKEPAKGAAPNAPRTRKKRCPYS KFQIRELEREFFFNVYINKEKRLQLSRMLNLTDRQVKIWFQNRRMKEKKLSRDRLQYFSG NPLL >ENSMUSP00000053755.2 pep:known chromosome:GRCm38:11:73267388:73300363:1 gene:ENSMUSG00000043029.2 transcript:ENSMUST00000049676.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpv3 description:transient receptor potential cation channel, subfamily V, member 3 [Source:MGI Symbol;Acc:MGI:2181407] MNAHSKEMAPLMGKRTTAPGGNPVVLTEKRPADLTPTKKSAHFFLEIEGFEPNPTVTKTS PPIFSKPMDSNIRQCLSGNCDDMDSPQSPQDDVTETPSNPNSPSANLAKEEQRQKKKRLK KRIFAAVSEGCVEELRELLQDLQDLCRRRRGLDVPDFLMHKLTASDTGKTCLMKALLNIN PNTKEIVRILLAFAEENDILDRFINAEYTEEAYEGQTALNIAIERRQGDITAVLIAAGAD VNAHAKGVFFNPKYQHEGFYFGETPLALAACTNQPEIVQLLMENEQTDITSQDSRGNNIL HALVTVAEDFKTQNDFVKRMYDMILLRSGNWELETMRNNDGLTPLQLAAKMGKAEILKYI LSREIKEKPLRSLSRKFTDWAYGPVSSSLYDLTNVDTTTDNSVLEIIVYNTNIDNRHEML TLEPLHTLLHTKWKKFAKYMFFLSFCFYFFYNITLTLVSYYRPREDEDLPHPLALTHKMS WLQLLGRMFVLIWATCISVKEGIAIFLLRPSDLQSILSDAWFHFVFFVQAVLVILSVFLY LFAYKEYLACLVLAMALGWANMLYYTRGFQSMGMYSVMIQKVILHDVLKFLFVYILFLLG FGVALASLIEKCSKDKKDCSSYGSFSDAVLELFKLTIGLGDLNIQQNSTYPILFLFLLIT YVILTFVLLLNMLIALMGETVENVSKESERIWRLQRARTILEFEKMLPEWLRSRFRMGEL CKVADEDFRLCLRINEVKWTEWKTHVSFLNEDPGPIRRTADLNKIQDSSRSNSKTTLYAF DELDEFPETSV >ENSMUSP00000051282.7 pep:known chromosome:GRCm38:2:32575718:32583782:1 gene:ENSMUSG00000046854.16 transcript:ENSMUST00000055304.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip5kl1 description:phosphatidylinositol-4-phosphate 5-kinase-like 1 [Source:MGI Symbol;Acc:MGI:2448520] MATPSLRSHEIPAHSQEAGNKSISSGSRRGLLWHLRARQSRVGLFEVGPGHELHRMTRMM QEGLWAATQVSKNNPPTGPTTQKDYLEVMTQVHEEGFELGTLAGPAFARLRKSIGLTEED YQATLGPGDPYLQFFSTSKSKASFFLTHDQRFFVKTQRRHEVHVLLAHLPRYVEHLQQYP HSLLARLLGVYSLRVAQGKKKYFIIMQCIFYPTSRISERYDIKGCNISRWVDPAPEGSPL VLVLKDLNFQEKTMRLGAQRSWFLRQMELDTAFLREVNVLDYSLLVAIQFLHEDEKGIHH SVFSTFKSIQGVSKSKGTGDQNCRMLPDLPNALHILDGPDQRYFLGLVDMTTVYGFRKRL EHVWKMVRYPGQSVSTVSPAHYARRLCRWAEVHTE >ENSMUSP00000097763.2 pep:known chromosome:GRCm38:2:32575843:32583771:1 gene:ENSMUSG00000046854.16 transcript:ENSMUST00000100188.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pip5kl1 description:phosphatidylinositol-4-phosphate 5-kinase-like 1 [Source:MGI Symbol;Acc:MGI:2448520] MATPSLRSHEVGADQRGDKKGKGESLAITLARGLNTPPPRLCSGKIKTRPTEIPAHSQEA GNKSISSGSRRGLLWHLRARQSRVGLFEVGPGHELHRMTRMMQEGLWAATQVSKNNPPTG PTTQKDYLEVMTQVHEEGFELGTLAGPAFARLRKSIGLTEEDYQATLGPGDPYLQFFSTS KSKASFFLTHDQRFFVKTQRRHEVHVLLAHLPRYVEHLQQYPHSLLARLLGVYSLRVAQG KKKYFIIMQCIFYPTSRISERYDIKGCNISRWVDPAPEGSPLVLVLKDLNFQEKTMRLGA QRSWFLRQMELDTAFLREVNVLDYSLLVAIQFLHEDEKGIHHSVFSTFKRTVTPAMLAMA LTETTH >ENSMUSP00000096806.2 pep:known chromosome:GRCm38:2:152931898:152933208:-1 gene:ENSMUSG00000074676.2 transcript:ENSMUST00000099200.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxs1 description:forkhead box S1 [Source:MGI Symbol;Acc:MGI:95546] MQKQPSPESLAPSAEPTKPPYSYIALIAMAIQSSPGQRATLSGIYRYIMGRFAFYRHNRP GWQNSIRHNLSLNECFVKVPRDDRKPGKGSYWTLDPDCHDMFQHGSFLRRRRRFTKRTGA QGTKGPVKIDHRPHRATSPDPGAPKTTTGRLCPFPQEVPNPKGLSFEGLMGSLPANMSST TSDVRPQLPTGPKEMCSAKSGGPRELSEATSPSPCPAFGFSSAFSDAESLGKAPTPGVAP ESVGSSYQCRMQTLNFCMGTDPGLEHLLVSSVPTPGSSTPSASHRAPLPLPADSKEPWVA GSFPVQGGSGYPLGLPPCLYRTPGMFFFE >ENSMUSP00000026907.4 pep:known chromosome:GRCm38:8:45269671:45308466:-1 gene:ENSMUSG00000079057.3 transcript:ENSMUST00000026907.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cyp4v3 description:cytochrome P450, family 4, subfamily v, polypeptide 3 [Source:MGI Symbol;Acc:MGI:2142763] XPERFFPENSQGRHPYAYVPFSAGPRNCIGQKFAVMEEKTILACILRQFWVESNQKREEL GLAGDLILRPNNGIWIKLKRRHEDDP >ENSMUSP00000092966.4 pep:known chromosome:GRCm38:8:45304946:45333216:-1 gene:ENSMUSG00000079057.3 transcript:ENSMUST00000095328.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4v3 description:cytochrome P450, family 4, subfamily v, polypeptide 3 [Source:MGI Symbol;Acc:MGI:2142763] MLWLWLGLSGQKLLLWGAASAVSLAGATILISIFPMLVSYARKWQQMRSIPSVARAYPLV GHALYMKPNNAEFFQQLIYYTEEFRHLPIIKLWIGPVPLVALYKAENVEVILTSSKQIDK SFLYKFLQPWLGLGLLTSTGSKWRTRRKMLTPTFHFTILENFLDVMNEQANILVNKLEKH VNQEAFNCFFYITLCALDIICETAMGKNIGAQSNNDSEYVRTVYRMSDMIYRRMKMPWLW FDLWYLVFKEGRDHKRGLKCLHTFTNNVIAERVKERKAEEDWTGAGRGPIPSKNKRKAFL DLLLSVTDEEGNRLSQEDIREEVDTFMFEGHDTTAAAINWSLYLLGTNPEVQRKVDQELD EVFGRSHRPVTLEDLKKLKYLDCVIKETLRVFPSVPLFARSLSEDCEVGGYKVTKGTEAI IIPYALHRDPRYFPDPEEFRPERFFPENSQGRHPYAYVPFSAGPRNCIGQKFAVMEEKTI LACILRQFWVESNQKREELGLAGDLILRPNNGIWIKLKRRHEDDP >ENSMUSP00000088337.5 pep:known chromosome:GRCm38:11:98388677:98400490:-1 gene:ENSMUSG00000038208.13 transcript:ENSMUST00000090827.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap3 description:post-GPI attachment to proteins 3 [Source:MGI Symbol;Acc:MGI:2444461] MAKRTAPLLLLTLAVGLAGGSQGDREPVYRDCVLRCEERNCSGDALKHFRSRQPIYMSLA GWTCRDDCKYECMWFTVGLYLQEGHRVPQFHGKWPFSRFLFIQEPASAVASLLNGLASLV MLCRYRASVPASSPMYHTCMAFAWVSLNAWFWSTVFHTRDTDLTEKMDYFCASAVILHSV YLCCVRTVGLQHPSVASAFGALLLLLLTGHISYLSLVHFDYGYNMMANVAIGLVNLAWWL VWCLRNRQRLPHTRRCMVVVVLLQGLSLLELLDFPPLFWVLDAHAIWHISTIPVHTLFFR FLEDDSLYLLKESGAMFKLD >ENSMUSP00000119668.1 pep:known chromosome:GRCm38:11:98389624:98400435:-1 gene:ENSMUSG00000038208.13 transcript:ENSMUST00000128897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgap3 description:post-GPI attachment to proteins 3 [Source:MGI Symbol;Acc:MGI:2444461] MAKRTAPLLLLTLAVGLAGGSQGDREPVYRDCVLRCEERNCSGDALKHFRSRQPIYMSLA GWTCRDDCKYECMWFTVGLYLQEGHRVPQFHGKVSLNAWFWSTVFHTRDTDLTEKMDYFC ASAVILHSVYLCCVRTVGLQHPSVASAFGALLLLLLTGHISYLSLVHFDYGYNMMANVAI GLVNLAWWLVWCLRNRQRLPHTRRCMVVVVLLQGLSLLELLDFPPLFWVLDAHAIWHIST IPVHTLFFRFLEDDSLYLLKESGAMFKLD >ENSMUSP00000136451.1 pep:known chromosome:GRCm38:Y:62462504:62464845:-1 gene:ENSMUSG00000093950.2 transcript:ENSMUST00000179949.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20823 description:predicted gene, 20823 [Source:MGI Symbol;Acc:MGI:5434179] MTSLKKKSRRKPSSQALGNIVGCRISHGWKQGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPPKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYILVSNIT >ENSMUSP00000046172.6 pep:known chromosome:GRCm38:1:130731976:130744622:1 gene:ENSMUSG00000042510.7 transcript:ENSMUST00000039323.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA986860 description:expressed sequence AA986860 [Source:MGI Symbol;Acc:MGI:2138143] MPKRELWPAGLCSEPVTHIGSCGDMMSTTSTRSGSSDSSYDFLSAEEKECLLFLEKTIGS LEAEADSGLSTDESEPATSPRSFRALPTATQQAPQGKPEATDIQQVPVPKRVAQPSCPPE SHSLGLRAGSYSLPRNLHLGRSQNLRESATQANSPVSEASEVFLEEPEKGQTSQGAKAKT IQPPAPSQKGTLDLSTVLIPPPEAFQDIRPKESGEESPPKKPGEQTHTPQVHSLERSPHS QKKVEMSSETVSHKATEKGWTEGLQQPQQPPAQSSQPTKAEELSLPSGVKPSIQQTPLTA SKARKLPPNIVLKSSRSSFHSHPQNWLSNHTEATDSGPVSSLQEQRKARREALEKLGLPQ DQDDPSLLVNKHTSTLKVREAQPQTPSQARAPARPASPALVSGTASAAGKVSPKKAVAPM DSLSKGWIPTQETPPGKVAEAKSMPIPIPKTLKENSSRTQPKPDPRLTLQESSIPGLRQM NFKSNTLERSGVGLSSYLSAAEKKDPSCQTSTSLGKSPFLDKVSPSAFRNSRPRPASLGM GKDFAGIQGGKLVGLEQDQCSQQPSFKGQSYDKLPRPPCISVKISPKGIPDGHRREALKK LGLLKE >ENSMUSP00000059019.7 pep:known chromosome:GRCm38:14:123659971:123975618:1 gene:ENSMUSG00000032925.16 transcript:ENSMUST00000049681.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgbl1 description:integrin, beta-like 1 [Source:MGI Symbol;Acc:MGI:2443439] MHPPGFRNFLLLVSSLLFIGLSAAPQSFLPSLRSLSGAPCRLSRAESERRCRAPGQPPGS ALCHDRGRCECGVCICHVTEPGTYFGPLCECHEWICETYDGKTCAGHGNCDCGKCKCDVG WSGEACQYPTKCDLTKKISNQMCKNSQDVICSNAGTCHCGRCKCDNSDGHGLIYGKFCEC DDRECIDDETEEVCGGHGKCYCGNCYCEAGWHGDKCEFQCDITPWESKRRCTSPDGKVCS NRGTCVCGECSCHDVDPTGDWGDIHGDTCECDERDCRAVYDRYSDDFCSGHGQCNCGRCD CRAGWYGKKCEHPRNCPLSAEESTKKCQGSSDLPCSGRGRCECGRCTCYPPGDSRVYGKT CECDDRRCEDLDGVVCGGHGMCSCGRCVCEKGWFGKLCQHLRKCNMTEEQSRSLCESADG TLCSGKGSCHCGKCICSGEEWYISGEFCDCDDRDCDKHDGLICTGNGICSCGNCECWDGW NGNACEIWLGTEYP >ENSMUSP00000121659.1 pep:known chromosome:GRCm38:14:123660125:123975056:1 gene:ENSMUSG00000032925.16 transcript:ENSMUST00000142161.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itgbl1 description:integrin, beta-like 1 [Source:MGI Symbol;Acc:MGI:2443439] MHPPGFRNFLLLVSSLLFIGLSAAPQSFLPSLRSLSGAPCRLSRAESERRCRAPGQPPGS ALCHDRGRCECGVCICHVTEPGTYFGPLCECHEWICETYDGKTCAGHGNCDCGKCKCDVG WSGEACQYPTKCDLTKKISNQMCKNSQDVICSNAGTCHCGRCKCDNSDGHGLIYGKFCEC DDRECIDDETEEVCGGQGKGHIGCLICIII >ENSMUSP00000115455.1 pep:known chromosome:GRCm38:14:123661424:123975618:1 gene:ENSMUSG00000032925.16 transcript:ENSMUST00000132026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgbl1 description:integrin, beta-like 1 [Source:MGI Symbol;Acc:MGI:2443439] VTEPGTYFGPLCECHEWICETYDGKTCAGHGNCDCGKCKCDVGWSGEACQYPTKCDLTKK ISNQMCKNSQDVICSNAGTCHCGRCKCDNSDGHGLIYGKFCECDDRECIDDETEEVCGGT CVCGECSCHDVDPTGDWGDIHGDTCECDERDCRAVYDRYSDDFCSGHGQCNCGRCDCRAG WYGKKCEHPRNCPLSAEESTKKCQGSSDLPCSGRGRCECGRCTCYPPGDSRVYGKTCECD DRRCEDLDGVVCGGHGMCSCGRCVCEKGWFGKLCQHLRKCNMTEEQSRSLCESADGTLCS GKGSCHCGKCICSGEEWYISGEFCDCDDRDCDKHDGLICTGNGICSCGNCECWDGWNGNA CEIWLGTEYP >ENSMUSP00000057928.9 pep:known chromosome:GRCm38:17:36869574:36877833:1 gene:ENSMUSG00000073400.6 transcript:ENSMUST00000060524.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim10 description:tripartite motif-containing 10 [Source:MGI Symbol;Acc:MGI:1338757] MASAPSVTSLADEVNCPICQGTLREPVTIDCGHNFCRGCLTRYCEIPGPESEESLSCPLC KEPFRPGSFRPNWQLANVVENIERLQLASTRGLEVEDACPEHGEKIYFFCEEDEAQLCVV CRETGQHGAHTVRFLEDAAGPYREQIQKCLVCLRKEREEIQETQSRENKRIQVLLTQVAT KRQQVISQFAHLSQFLQQQQTALLAQLEGLDGDILKQQEEFDSLATGEICRFSTLIEELE EKNKRTARGLLTDIRSTLIRCETRKCRKPEAISPELGQRIRDFPQQAIPLRQEMKTFLEK LCFELDYEPAHISLDPQTSHPKLLLSEDHRRARFSYKWQNSPDTPQRFDRVTCVLAQCGF TGGRHTWMVNVDLAHGGSCTVGVVREDVRRKGELRLRPEEGIWAVRLAWGFVSALGSFPT RLALEEQPRKVQVSLDYEVGWITFVNAVTQEHIYTFTASFTQKIFPLFGLWGRGSSFSLS CQEGAVSLL >ENSMUSP00000112174.2 pep:known chromosome:GRCm38:8:45269442:45294859:-1 gene:ENSMUSG00000031640.9 transcript:ENSMUST00000116473.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klkb1 description:kallikrein B, plasma 1 [Source:MGI Symbol;Acc:MGI:102849] MILFNRVGYFVSLFATVSCGCMTQLYKNTFFRGGDLAAIYTPDAQYCQKMCTFHPRCLLF SFLAVTPPKETNKRFGCFMKESITGTLPRIHRTGAISGHSLKQCGHQISACHRDIYKGLD MRGSNFNISKTDNIEECQKLCTNNFHCQFFTYATSAFYRPEYRKKCLLKHSASGTPTSIK SADNLVSGFSLKSCALSEIGCPMDIFQHSAFADLNVSQVITPDAFVCRTICTFHPNCLFF TFYTNEWETESQRNVCFLKTSKSGRPSPPIPQENAISGYSLLTCRKTRPEPCHSKIYSGV DFEGEELNVTFVQGADVCQETCTKTIRCQFFIYSLLPQDCKEEGCKCSLRLSTDGSPTRI TYGMQGSSGYSLRLCKLVDSPDCTTKINARIVGGTNASLGEWPWQVSLQVKLVSQTHLCG GSIIGRQWVLTAAHCFDGIPYPDVWRIYGGILSLSEITKETPSSRIKELIIHQEYKVSEG NYDIALIKLQTPLNYTEFQKPICLPSKADTNTIYTNCWVTGWGYTKEQGETQNILQKATI PLVPNEECQKKYRDYVINKQMICAGYKEGGTDACKGDSGGPLVCKHSGRWQLVGITSWGE GCARKDQPGVYTKVSEYMDWILEKTQSSDVRALETSSA >ENSMUSP00000054343.7 pep:known chromosome:GRCm38:14:57098600:57104702:-1 gene:ENSMUSG00000046352.7 transcript:ENSMUST00000055698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb2 description:gap junction protein, beta 2 [Source:MGI Symbol;Acc:MGI:95720] MDWGTLQSILGGVNKHSTSIGKIWLTVLFIFRIMILVVAAKEVWGDEQADFVCNTLQPGC KNVCYDHHFPISHIRLWALQLIMVSTPALLVAMHVAYRRHEKKRKFMKGEIKNEFKDIEE IKTQKVRIEGSLWWTYTTSIFFRVIFEAVFMYVFYIMYNGFFMQRLVKCNAWPCPNTVDC FISRPTEKTVFTVFMISVSGICILLNITELCYLFVRYCSGKSKRPV >ENSMUSP00000123090.1 pep:known chromosome:GRCm38:2:152940997:152951456:-1 gene:ENSMUSG00000042662.16 transcript:ENSMUST00000123121.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp15 description:dual specificity phosphatase-like 15 [Source:MGI Symbol;Acc:MGI:1934928] MGNGMTKVLPGLYLGNFIDAKDPDQLGRNKITHIISIHESPQPLLQDITYLRISVSDTPE VPIKKHFKECVHFIHSCRLNGGNCLVHCFAGISRSTTIVIAYVMTVTGLGWQEVLEAIKA SRPIANPNPGFRQQLEEFGWANSQKLRRQLEERFGEIPFRDEEDLRALLPLCRRCRQGSA TSAASATTASSAAEGTLQRLVPRSPRDSHQPLPLLARVKQTFFCLPRCLSRKGGK >ENSMUSP00000045815.5 pep:known chromosome:GRCm38:2:152944933:152951582:-1 gene:ENSMUSG00000042662.16 transcript:ENSMUST00000037715.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp15 description:dual specificity phosphatase-like 15 [Source:MGI Symbol;Acc:MGI:1934928] MGNGMTKVLPGLYLGNFIDAKDPDQLGRNKITHIISIHESPQPLLQDITYLRISVSDTPE VPIKKHFKECVHFIHSCRLNGGNCLVHWPLKHECRARSLSLLQCS >ENSMUSP00000105436.3 pep:known chromosome:GRCm38:2:152944977:152951698:-1 gene:ENSMUSG00000042662.16 transcript:ENSMUST00000109811.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp15 description:dual specificity phosphatase-like 15 [Source:MGI Symbol;Acc:MGI:1934928] MGNGMTKMPKTRISWAGIRSHISSLSTNHPSLCCRISPTFESQCLILLRYPSKSTSKNAS TLSTPAASTGVTALCTGL >ENSMUSP00000061100.7 pep:known chromosome:GRCm38:5:144735915:144761649:-1 gene:ENSMUSG00000043388.8 transcript:ENSMUST00000061446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem130 description:transmembrane protein 130 [Source:MGI Symbol;Acc:MGI:3607706] MAKATDVLVFWFACLLLLGPARVAAGLYNLSLTADGPATVGTEVTISASLEVKDNGSLPL PADTHLYRFHWIHTPLTLTAKNEKNLTSTIHVVGGVPGDFPISVWVTAVDCWVCQPLARS TLLLPIKESLVGNIVVTQNTSLSWPNSYITKRSLRLSFLLHDPSDFFKSASFFYRWDFGD GTLLITDNPVVYYNYSSPGTFTVKVRVVAEWEQIKPDTTKGTIQKTGDFSASLDLRESLQ GIQILGPTLLQTFQKLTMNLNFFGSPPLHVCWGLKPQCLPLEDRECHAVLVTRTSFNLTH VFQDPGDYCFIFRAENAISKAHQYHRIQVWPSSFQPFVFAFPCATLITVLLVFLMYMTVR SAATQKDIVESPGATGLKCCCQVCCGSLFLDSPSEYLEIVRENHGLLPPLYKPVKTYNV >ENSMUSP00000105923.1 pep:known chromosome:GRCm38:12:84149278:84194015:-1 gene:ENSMUSG00000042507.14 transcript:ENSMUST00000110294.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmsan1 description:ELM2 and Myb/SANT-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2685106] MNLQAQSKAQSKRKRCPFGDQEPAAKEQPPPLQSPPQSLRAKEEQYGAHEGPTGVASTTQ PVELSPPNNLALLNSVVYGSERTTAAMLSQQAQVSSVKWPSSVMAPGRGLERGGGGGISD SGWQQQPGQPPPHSTWNHLPLYGGPKGSPHPGVGVPPYYNHPEALKGNKPGGPQLDHYGN AVQPMVPQKVQLEVGRPQAPLNSFHAAKKPPNQTLPLQPFQLAFGHQVNRQVFRQGPQPS NPTASFPPQKQQQQQQPAALPQMQLFENYYPMHQLPSQQHQDFGLAPGGPLGQTHLAHRS MAPYPFSHNPDMNPELRKALLQDPASQPVLPQPQMAFPRRSRRLSKEGILPSNSLDGAGT QPGQEPASNLFLHHWSLPQPPPGTLGQPHSEALGFPLELRESQMLADGDRLAPNGREREP PAMGNEEVMRAGGLGDCGQMIRSGVIQSTKRRRRVSQEANLLTLAQKAVELASMQDANGS EEKRKSVLATTSRCGVEFSEPALAAKRAREESGMVPLIIPVSVPVRTVGPTEVAQVGGAD EDGTGLEQYPTEHKPSVIVTRRRSTRVPGTDAAAQAEDLNVKLEGEPSMRKPKQRPRPEP LIIPTKAGTFIAPPVYSNITPYQSHLRSPVRLADHPSERSFEPPPYTPPPILSPVREGSG LYFNAIISTSNIPAPPPITPKSAHRTLLRSNSSEVTPPVLSVMGEATPVSIEPRINVGTR FQAEIPMMRDRALAAFDPHKADLVWQPWEHLESSWEKQRQVDDLLTAACSSIFPGAGTNQ ELALHYLHESRGDILEALNKLLLRKPLRPHNHPLATYHYTGSDQWKTAERKLFNKGIAIY KKDFFLVQKLIQTKTVAQCVEFYYTYKKQVKIGRNGTLTFGDLDIGDEKSGQEEVEVDVK TSQKFPRVPPPRRESPSEERLEPKREVTEPRKEGEEEVPDTQEKGEQEEGRERCRRAAAV KATQTLQANEAANDVLILRSHEPNAPGSAGIQTSEKPREGPGKSRRALPFTEKKKKAEAF NKTQNQENTFPCKKCGRVFYKVKSRSAHMKSHAEQEKKAAALRLKEKEAAAAAAHQQALR EESGEGEKG >ENSMUSP00000048120.6 pep:known chromosome:GRCm38:12:84149176:84218881:-1 gene:ENSMUSG00000042507.14 transcript:ENSMUST00000046266.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmsan1 description:ELM2 and Myb/SANT-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2685106] MNLQAQSKAQSKRKRCPFGDQEPAAKEQPPPLQSPPQSLRAKEEQYGAHEGPTGVASTTQ PVELSPPNNLALLNSVVYGSERTTAAMLSQQAQVSSVKWPSSVMAPGRGLERGGGGGISD SGWQQQPGQPPPHSTWNHLPLYGGPKGSPHPGVGVPPYYNHPEALKGNKPGGPQLDHYGN AVQPMVPQKVQLEVGRPQAPLNSFHAAKKPPNQTLPLQPFQLAFGHQVNRQVFRQGPQPS NPTASFPPQKQQQQQQPAALPQMQLFENYYPMHQLPSQQHQDFGLAPGGPLGQTHLAHRS MAPYPFSHNPDMNPELRKALLQDPASQPVLPQPQMAFPRRSRRLSKEGILPSNSLDGAGT QPGQEPASNLFLHHWSLPQPPPGTLGQPHSEALGFPLELRESQMLADGDRLAPNGREREP PAMGNEEVMRAGGLGDCGQMIRSGVIQSTKRRRRVSQEANLLTLAQKAVELASMQDANGS EEKRKSVLATTSRCGVEFSEPALAAKRAREESGMVPLIIPVSVPVRTVGPTEVAQVGGAD EDGTGLEQYPTEHKPSVIVTRRRSTRVPGTDAAAQAEDLNVKLEGEPSMRKPKQRPRPEP LIIPTKAGTFIAPPVYSNITPYQSHLRSPVRLADHPSERSFEPPPYTPPPILSPVREGSG LYFNAIISTSNIPAPPPITPKSAHRTLLRSNSSEVTPPVLSVMGEATPVSIEPRINVGTR FQAEIPMMRDRALAAFDPHKADLVWQPWEHLESSWEKQRQVDDLLTAACSSIFPGAGTNQ ELALHYLHESRGDILEALNKLLLRKPLRPHNHPLATYHYTGSDQWKTAERKLFNKGIAIY KKDFFLVQKLIQTKTVAQCVEFYYTYKKQVKIGRNGTLTFGDLDIGDEKSGQEEVEVDVK TSQKFPRVPPPRRESPSEERLEPKREVTEPRKEGEEEVPDTQEKGEQEEGRERCRRAAAV KATQTLQANEAANDVLILRSHEPNAPGSAGIQTSEKPREGPGKSRRALPFTEKKKKAEAF NKTQNQENTFPCKKCGRVFYKVKSRSAHMKSHAEQEKKAAALRLKEKEAAAAAAHQQALR EESGEGEKG >ENSMUSP00000064310.7 pep:known chromosome:GRCm38:2:120977017:121007852:1 gene:ENSMUSG00000054484.14 transcript:ENSMUST00000067582.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem62 description:transmembrane protein 62 [Source:MGI Symbol;Acc:MGI:2139461] MARMLAVRVVAGLAAAAVAALLLEHYGLAGPTTPLPKPRGSQRPHPAPGSEANNIFWGLQ ISDIHLSRFQDPGRALALEKFCSETIDIIQPALVLATGDLTDAKTKEHLGSRQHEVEWQT YQRILKKTRVMEKTKWLDIKGNHDAYNIPSLESIANYYRKYSAVRKDGAFHHIHSTPFGN YSFISVDATQRPGPKRPYNFFGILDEKQMEELVEFSKKSSQSNQTIWFGHFTTSTIMSPS PGIRTVMGSATAYLCGHLHTLGGLMPVLHTRHFTGTLELEVGDWKDNRRYRIFAFDHDLF SFADVTFDKWPVVLITNPKSLLYSCAKHEPLERLFHSTHIRVLAFSLSPITSVTVKIDGG DIGQASHLSGPIFILKWNPRNYSNGTHTIEVFVQDSAGRSRTAHHIFSAQEDAHLTFDPL ASFILLTDHYIVARVLFVLIVLIQLTTLITFRYLAYPELKEPLGFANMTTFSLHILSKIN ISYYSVLLLTLYTVLGPWFVGEIIDGKLGCCFSFGIFVDGHFLQGGLTFINGIIQLVFFN IPLMAYVCWSLLHRCFGHSFRSHLHQGKYWKIIPVYLLILLLYIWQVYSCYFLHVTYGSL AFTFSPLRTWLTLLTPVLIYRVWTLNSTELGIFMVQLKSHLSS >ENSMUSP00000118808.1 pep:known chromosome:GRCm38:2:120977017:121007852:1 gene:ENSMUSG00000054484.14 transcript:ENSMUST00000139428.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem62 description:transmembrane protein 62 [Source:MGI Symbol;Acc:MGI:2139461] MARMLAVRVVAGLAAAAVAALLLEHYGLAGPTTPLPKPRGSQRPHPAPGSEANNIFWGLQ ISDIHLSRFQDPGRALALEKFCSETIDIIQPALVLATGDLTDAKTKEHLGSRQHEVEWQT YQRILKKTRVMEKTKWLDIKGNHDAYNIPSLESIANYYRKYSAVRKDGAFHHIHSTPFGN YSFISVDATQRPGPKRPYNFFGILDEKQMEELVEFSKKSSQSNQTIWFGHFTTSTIMSPS PGIRTVMGSATAYLCGHLHTLGGLMPVLHTRHFTGTLELEVGDWKDNRRILLEEVGLLTT YFLPKRMLISHLILWHHLSSLLTTTLWPGSCLC >ENSMUSP00000106314.2 pep:known chromosome:GRCm38:2:120977533:121007644:1 gene:ENSMUSG00000054484.14 transcript:ENSMUST00000110686.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem62 description:transmembrane protein 62 [Source:MGI Symbol;Acc:MGI:2139461] MEKTKWLDIKGNHDAYNIPSLESIANYYRKYSAVRKDGAFHHIHSTPFGNYSFISVDATQ RPGPKRPYNFFGILDEKQMEELVEFSKKSSQSNQTIWFGHFTTSTIMSPSPGIRTVMGSA TAYLCGHLHTLGGLMPVLHTRHFTGTLELEVGDWKDNRRYRIFAFDHDLFSFADVTFDKW PVVLITNPKSLLYSCAKHEPLERLFHSTHIRVLAFSLSPITSVTVKIDGGDIGQASHLSG PIFILKWNPRNYSNGTHTIEVFVQDSAGRSRTAHHIFSAQEDAHLTFDPLASFILLTDHY IVARVLFVLIVLIQLTTLITFRYLAYPELKEPLGFANMTTFSLHILSKINISYYSVLLLT LYTVLGPWFVGEIIDGKLGCCFSFGIFVDGHFLQGGLTFINGIIQLVFFNIPLMAYVCWS LLHRCFGHSFRSHLHQGKYWKIIPVYLLILLLYIWQVYSCYFLHVTYGSLAFTFSPLRTW LTLLTPVLIYRVWTLNSTELGIFMVQLKSHLSS >ENSMUSP00000124665.1 pep:known chromosome:GRCm38:2:32570858:32573563:1 gene:ENSMUSG00000026810.12 transcript:ENSMUST00000140592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpm2 description:dolichol-phosphate (beta-D) mannosyltransferase 2 [Source:MGI Symbol;Acc:MGI:1330238] MATGTDQAVGFGLVAVSLIIFTYYTTWVILLPFIDSQHVIHKYFLPRAYAVLLPLAAGLL LLLFVGKSPDLGQGVWKAACFHMLAPAVHLLRLSLSPMLPGAFLFLKGHGQKLL >ENSMUSP00000028151.6 pep:known chromosome:GRCm38:2:32570858:32573579:1 gene:ENSMUSG00000026810.12 transcript:ENSMUST00000028151.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpm2 description:dolichol-phosphate (beta-D) mannosyltransferase 2 [Source:MGI Symbol;Acc:MGI:1330238] MATGTDQAVGFGLVAVSLIIFTYYTTWVILLPFIDSQHVIHKYFLPRAYAVLLPLAAGLL LLLFVGLFITYVMLKSQKITKKAQ >ENSMUSP00000124944.1 pep:known chromosome:GRCm38:14:57112506:57115883:-1 gene:ENSMUSG00000089840.1 transcript:ENSMUST00000159455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4491 description:predicted gene 4491 [Source:MGI Symbol;Acc:MGI:3782676] MFSLISSGTTKGQEANSSPGENQVSPFALCLHRKLVQTSTWCGYLNERSPSSQSLLPERG QGESSGLVVPPSNRDSLPYTKGSFSSRGHHDAVPGSIHPTWKMCTTSTPEEQVHIAKLFC LWPPS >ENSMUSP00000067770.7 pep:known chromosome:GRCm38:2:91275068:91444622:-1 gene:ENSMUSG00000040591.18 transcript:ENSMUST00000064652.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110051M20Rik description:RIKEN cDNA 1110051M20 gene [Source:MGI Symbol;Acc:MGI:1915079] MLSPERLALPDYEYLAQRHVLTYMEDAVCQLLENREDISQYGIARFFTDYFNSVCQGTHI LFREFSFIQATPHNRASFLRAFWRCFRTVGKNGDLLTMREYHCLLQLLCPDFPLELTQKA ARIVLMDDAMDCLMSFSDFLFAFQIQFYYSEFLESVAAIYQDLLSGKNPNTVIVPTSSSG QHRQRPALGDAGMLDGVEASLFYQRLENLCDRHKYSCPPPALVKEILSNVQRLTFYGFLV ALSKHHGINQALGALPDKGDLMHDPAMDEELERLVVRSRLYRTPQQQRGKEPGAFGFEGR DKLEAPETVPSALAPTPNPYRWEPRE >ENSMUSP00000099654.4 pep:known chromosome:GRCm38:2:91277830:91444642:-1 gene:ENSMUSG00000040591.18 transcript:ENSMUST00000102594.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110051M20Rik description:RIKEN cDNA 1110051M20 gene [Source:MGI Symbol;Acc:MGI:1915079] MLSPERLALPDYEYLAQRHVLTYMEDAVCQLLENREDISQYGIARFFTDYFNSVCQGTHI LFREFSFIQATPHNRASFLRAFWRCFRTVGKNGDLLTMREYHCLLQLLCPDFPLELTQKA ARIVLMDDAMDCLMSFSDFLFAFQIQFYYSEFLESVAAIYQDLLSGKNPNTVIVPTSSSG QHRQRPALGDAGMLDGVEASLFYQRLENLCDRHKYSCPPPALVKEILSNVQRLTFYGFLV ALSKHHGINQALGALPDKGDLMHDPAMDEELERLLVQVPGLVNSITATSEASCLPSRTPP RVGSPWKPLHRSRKLDAESDGSTEETDESET >ENSMUSP00000092431.2 pep:known chromosome:GRCm38:2:91278244:91444680:-1 gene:ENSMUSG00000040591.18 transcript:ENSMUST00000094835.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110051M20Rik description:RIKEN cDNA 1110051M20 gene [Source:MGI Symbol;Acc:MGI:1915079] MREYHCLLQLLCPDFPLELTQKAARIVLMDDAMDCLMSFSDFLFAFQIQFYYSEFLESVA AIYQDLLSGKNPNTVIVPTSSSGQHRQRPALGDAGMLDGVEASLFYQRLENLCDRHKYSC PPPALVKEILSNVQRLTFYGFLVALSKHHGINQALGALPDKGDLMHDPAMDEELERLLVQ VPGLVNSITATSEASCLPSRTPPRVGSPWKPLHRSRKLDAESDGSTEETDESET >ENSMUSP00000097575.3 pep:known chromosome:GRCm38:2:75975740:75978170:-1 gene:ENSMUSG00000075272.4 transcript:ENSMUST00000099995.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc30a2 description:tetratricopeptide repeat domain 30A2 [Source:MGI Symbol;Acc:MGI:3700200] MAGLSNSQIPDGEFTAVVYRLIRDSRYSEAVQLLSAELQRSSRSRAGLSLLAYCYYRLQE FELAAECYEQLSQMHPELEQYRLYQAQALYKACLYPEATRVAFLLDNPTFYSRVLRLQAA IKYSEGDLPGARSLVEQLLSGEAGEDSGGENDPDGLVNMGCLLYKEGHYEAACSKFFAAL QASGYQPDVSYNLALACYSNRHYAPALKHIANIIERGIRQHPELGVGMTTEGIDVRSVGN TVVLHQTALVEAFNLKAAIEYQLRNYEAAQEALTDMPPRAEEELDPVTLHNQALMNMDAK PTEGFEKLQFLLQQNPFPPETFGNLLLLYCKYEYFDLAADVLAENAHLTYKFLTPYLYDF LDAMITCQTAPEEAFIKLDGLAGMLTEQLRRLTKQVQEARHNRDDEVVIKAVNEYDETLE KYIPVLMAQAKIYWNLENYPMVEKIFRKSVEFCNDHDVWKLNVAHVLFMQENKYKEAIGF YEPIVKKNYDNILSVSAIVLANLCVSYIMTSQNEEAEELMRKIEKEEEQLSYGDPDKKIY HLCIVNLVIGTLYCAKGNYDFGISRVIKSLEPYHKKLGTDTWYYAKRCFLSLLENMSKHT IMLRDSVIQECVQFLEHCEIFGRNIPAVIEQPLEEERMHIGKNTVTYESRQLKALIYEII GWNM >ENSMUSP00000052670.2 pep:known chromosome:GRCm38:4:112385969:112433985:-1 gene:ENSMUSG00000049972.4 transcript:ENSMUST00000058605.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint9 description:selection and upkeep of intraepithelial T cells 9 [Source:MGI Symbol;Acc:MGI:3045341] MESSASCLPGFFMSFLLLQNTVLTQAMRSDIKINIQVPDTEGLLLECTSGSLIPPAEMTW RDSNGNIIPHSTAFNSQDRDGLLYLKSSILLKNRAKGPITCSIYNVTTNQEKKRSIVLPD ALFKSENMSLMSNKFSCPSIYLITIIFLNFLRGILVFCCLRRKPVCFRNLMSTVMEALYS KMGVCCLLIWECLLLVLYIAFLPIYVSFRSRAFLLDDTYPLYTNWLWNICIILTVIMVLF PGLILCLLWTLNCYGQVSSLPPTSMELSTKDSEQNSSKSDDSQENYDVNCKILETCESKI FSQHQESCEDDTASTLFIS >ENSMUSP00000020864.8 pep:known chromosome:GRCm38:11:89982665:90002894:-1 gene:ENSMUSG00000020553.8 transcript:ENSMUST00000020864.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pctp description:phosphatidylcholine transfer protein [Source:MGI Symbol;Acc:MGI:107375] MAGAACCFSDEQFREACAELQKPALTGADWQLLVEASGITIYRLLDQPSGLYEYKVFGVL EGCSPALLADVYMDLDYRKQWDQYVKELYEKESDEQMVAYWEVKYPFPLSNRDYVYTRQR RDLDVDGRKIYVVLAQSISAPQFPEKSGVIRVKQYKQSLAIESDGKKGSRVFMYYFDNPG GQIPSWLINWAAKNGVPNFLKDMVKACQNYHKKT >ENSMUSP00000146215.1 pep:known chromosome:GRCm38:7:97724006:97738289:-1 gene:ENSMUSG00000042797.9 transcript:ENSMUST00000206389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp11 description:aquaporin 11 [Source:MGI Symbol;Acc:MGI:1913583] MSALLGLRPEVQDTCISLGLMLLFVLFVGLARVIARQQLHRPVVHAFVLEFLATFQLCCC THELQVLSEQDSAHPTWTLTLIYFFSLVHGLTLVGTASNPCGVMMQMILGGMSPEMGAVR LLAQLVSALCSRYCISALWSLSLTKYHYDERILACRNPIHTDMSKAIIIEAICSFIFHSA LLHFQEVRTKLRIHLLAALITFLAYAGGSLTGALFNPALALSLHFPCFDELFYKFFVVYW LAPSVGVLMMILMFSFFLPWLHNNQMTNKKE >ENSMUSP00000082054.7 pep:known chromosome:GRCm38:7:97726379:97737938:-1 gene:ENSMUSG00000042797.9 transcript:ENSMUST00000084986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp11 description:aquaporin 11 [Source:MGI Symbol;Acc:MGI:1913583] XLGLMLLFVLFVGLARVIARQQLHRPVVHAFVLEFLATFQLCCCTHELQVLSEQDSAHPT WTLTLIYFFSLVHGLTLVGTASNPCGVMMQMILGGMSPEMGAVRLLAQLVSALCSRYCIS ALWSLSLTKYHYDERILACRNPIHTDMSKAIIIEAICSFIFHSALLHFQEVRTKLRIHLL AALITFLAYAGVLMMILMFSFFLPWLHNNQMTNKKE >ENSMUSP00000044400.8 pep:known chromosome:GRCm38:10:80356459:80365489:1 gene:ENSMUSG00000035486.14 transcript:ENSMUST00000039836.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk5 description:polo-like kinase 5 [Source:MGI Symbol;Acc:MGI:3026984] MEPRSRRRRSRQLVATFLRDPGSGRVYRRGKLIGKGAFSRCYKLTDMSTSAVFALKVVPR GGAGRLRLRGKVEREIALHSRLRHRNIVAFHAHFADRDHVYMVLEYCSRQVPLQSLAHVL KVRRTLTEPEVRYYLRGLVSGLRYLHQQRIVHRDLKPSNFFLNKNMEVKIGDLGLAARVG PAGRCHRVLCGTPNFQAPEVVSRNGHSCKSDIWALGCIMYTVLTGTPPFAAAPLSEMYQN IRDGHYLEPTHLSPSARSLIARLLAPDPAERPSLDHLLQDDFFSQGFTPERLPPHSCHSP PVFAFPPPLGRLFRKVGQLLLTQCRPPCPFTSKEASGPGEEGTEPDHMEAGNEERDPLCT EGRIHLLTLGTPRTGLAGPKGSLALQLEVATRKLFLCLDAGPMAGQDPPGEQRPVLWAPK WVDYSLKYGFGYQLSDGGSGVLFRDGSHMALRPQGGHVSYQPDQGTLWTFTLRDVPSPLR AKLAVLRLFACYMQRRLREEGTVPMPATPASPDISLLSFIADSQAMVMLFSNGTVQVSLK TSQTQLVLSGEDEDLLLTLQEPGGPAVGVSYTLDVLRSHGFTLAVHHHLRHGLHLLQSV >ENSMUSP00000100988.1 pep:known chromosome:GRCm38:10:80356459:80365489:1 gene:ENSMUSG00000035486.14 transcript:ENSMUST00000105351.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk5 description:polo-like kinase 5 [Source:MGI Symbol;Acc:MGI:3026984] MEPRSRRRRSRQLVATFLRDPGSGRVYRRGKLIGKGAFSRCYKLTDMSTSAVFALKVVPR GGAGRLRLRGKVEREIALHSRLRHRNIVAFHAHFADRDHVYMVLEYCSRQSLAHVLKVRR TLTEPEVRYYLRGLVSGLRYLHQQRIVHRDLKPSNFFLNKNMEVKIGDLGLAARVGPAGR CHRVLCGTPNFQAPEVVSRNGHSCKSDIWALGCIMYTVLTGTPPFAAAPLSEMYQNIRDG HYLEPTHLSPSARSLIARLLAPDPAERPSLDHLLQDDFFSQGFTPERLPPHSCHSPPVFA FPPPLGRLFRKVGQLLLTQCRPPCPFTSKEASGPGEEGTEPDHMEAGNEERDPLCTEGRI HLLTLGTPRTGLAGPKGSLALQLEVATRKLFLCLDAGPMAGQDPPGEQRPVLWAPKWVDY SLKYGFGYQLSDGGSGVLFRDGSHMALRPQGGHVSYQPDQGTLWTFTLRDVPSPLRAKLA VLRLFACYMQRRLREEGTVPMPATPASPDISLLSFIADSQAMVMLFSNGTVQVSLKTSQT QLVLSGEDEDLLLTLQEPGGPAVGVSYTLDVLRSHGFTLAVHHHLRHGLHLLQSV >ENSMUSP00000108096.2 pep:known chromosome:GRCm38:1:130800902:130814708:1 gene:ENSMUSG00000026415.11 transcript:ENSMUST00000112477.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcamr description:Fc receptor, IgA, IgM, high affinity [Source:MGI Symbol;Acc:MGI:1927803] MDQGAPAKPSEQKHLTCQDTQFPGPAFRVELPSYWSKLRMHSQSAEPWTPDHSLQLLTSL PLASCLWLQVPSLRTRWEILLLTLCLLHGSSMTPPHRRSHSRWLQAGSPQFRTHLYNVEA HTAPTPLCCWKNSLSGTNALRGPRLVTGNTGGAVTIHCHYAPSSVNRHQRKYWCRLGSPL WICHTVVSTNQYTHPDYRGRAALTDIPQSGLFVVRLLRLSLGDVGLYRCGIGDRNDMLFF SVNLTVSAGPSNTTYAAAPASGEPTTASPGAASSAGNGWTSGITQILEGSGSEWDRTVPT TGTSKTTSSANGRQTLRTARTMVPGTGSREEGSIRAAVPTPEGPSPKSRSMSSTTQGVWL WSTRNSVTPSVTTSEGRRQGTTPETDGPRDETDVRVSPEAPRKTTGTTRPSALISEHVTW ETLQDKTEVSKQQMLHSLEELSPAPSAQTLNATCLEVASEEGRSIDGSLENTTEESSPPT PSQLSVAGPVWVSVKGPSMKSALMEGESHTRILTPVSTVLALLLIAALILLKRSLGRQRT SQKKERVPRITLIQMTHFLPDKLPDEGKNFQQSNLLPPQASLTVLENDPRP >ENSMUSP00000027670.3 pep:known chromosome:GRCm38:1:130800902:130814740:1 gene:ENSMUSG00000026415.11 transcript:ENSMUST00000027670.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcamr description:Fc receptor, IgA, IgM, high affinity [Source:MGI Symbol;Acc:MGI:1927803] MDQGAPAKPSEQKVPSLRTRWEILLLTLCLLHGSSMTPPHRRSHSRWLQAGSPQFRTHLY NVEAHTAPTPLCCWKNSLSGTNALRGPRLVTGNTGGAVTIHCHYAPSSVNRHQRKYWCRL GSPLWICHTVVSTNQYTHPDYRGRAALTDIPQSGLFVVRLLRLSLGDVGLYRCGIGDRND MLFFSVNLTVSAGPSNTTYAAAPASGEPTTASPGAASSAGNGWTSGITQILEGSGSEWDR TVPTTGTSKTTSSANGRQTLRTARTMVPGTGSREEGSIRAAVPTPEGPSPKSRSMSSTTQ GVWLWSTRNSVTPSVTTSEGRRQGTTPETDGPRDETDVRVSPEAPRKTTGTTRPSALISE HVTWETLQDKTEVSKQQMLHSLEELSPAPSAQTLNATCLEVASEEGRSIDGSLENTTEES SPPTPSQLSVAGPVWVSVKGPSMKSALMEGESHTRILTPVSTVLALLLIAALILLKRSLG RQRTSQKKERVPRITLIQMTHFLPDKLPDEGKNFQQSNLLPPQASLTVLENDPRP >ENSMUSP00000097574.3 pep:known chromosome:GRCm38:2:75978247:75981967:-1 gene:ENSMUSG00000075271.4 transcript:ENSMUST00000099994.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc30a1 description:tetratricopeptide repeat domain 30A1 [Source:MGI Symbol;Acc:MGI:1926052] MAWQSSSKVPDGEFTAVVYRLIRDSRYSEAVQLLSAELQRSSRSRAGLSLLAYCYYRLQE FELAAECYEQLSQMHPELEQYRLYQAQALYKACLYPEATRVTFLLDNPAYQTRVLRLQAA IKYSEGDLPGARSLVEQLLSGEAGEDSGGENDPDGLVNMGCLLYKEGHYEAACSKFLAAL QASGYQPDLSYNLALAYYSSRQYAPALKHIADIIERGIRQHPELGVGMTTEGIDVRSVGN TVVLHQTALIEAFNLKAAIEYQLRNFEVAQETLTDMPPRAEEELDPVTLHNQALMNMDAK PTEGFEKLQFLLQQNPFPPETFGNLLLLYCKYEYFDLAADVLAENAHLTYKFLTPYLYDF LDAMITCQTAPEEAFIKLDGLAGMLTEQLRRLTKQVQEARHNRDDEIIKKAMNEYDETLE KYIPVLMAQAKIYWNLENYPMVEKIFRKSVEFCNDHDVWKLNVAHVLFMQENKYKEAIGF YEPIVKKNYDNILSVSAIVLANLCVSYIMTSQNEEAEELMRKIEKEEEQLSYGDPDKKIY HLCIVNLVIGTLYCAKGNYDFGISRVIKSLEPYHKKLGTDTWYYAKRCFLSLLENMSKHM IVLCDGVVQECVQFLEYCELYGRNIPAVLEQPLEEERIHTGKNTVTYESRLLKALIYEVI GWNM >ENSMUSP00000078741.6 pep:known chromosome:GRCm38:3:98013538:98150361:1 gene:ENSMUSG00000027878.11 transcript:ENSMUST00000079812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Notch2 description:notch 2 [Source:MGI Symbol;Acc:MGI:97364] MPALRPAALRALLWLWLCGAGPAHALQCRGGQEPCVNEGTCVTYHNGTGFCRCPEGFLGE YCQHRDPCEKNRCQNGGTCVPQGMLGKATCRCAPGFTGEDCQYSTSHPCFVSRPCQNGGT CHMLSRDTYECTCQVGFTGKQCQWTDACLSHPCENGSTCTSVASQFSCKCPAGLTGQKCE ADINECDIPGRCQHGGTCLNLPGSYRCQCPQGFTGQHCDSPYVPCAPSPCVNGGTCRQTG DFTFECNCLPGFEGSTCERNIDDCPNHKCQNGGVCVDGVNTYNCRCPPQWTGQFCTEDVD ECLLQPNACQNGGTCTNRNGGYGCVCVNGWSGDDCSENIDDCAYASCTPGSTCIDRVASF SCLCPEGKAGLLCHLDDACISNPCHKGALCDTNPLNGQYICTCPQGYKGADCTEDVDECA MANSNPCEHAGKCVNTDGAFHCECLKGYAGPRCEMDINECHSDPCQNDATCLDKIGGFTC LCMPGFKGVHCELEVNECQSNPCVNNGQCVDKVNRFQCLCPPGFTGPVCQIDIDDCSSTP CLNGAKCIDHPNGYECQCATGFTGILCDENIDNCDPDPCHHGQCQDGIDSYTCICNPGYM GAICSDQIDECYSSPCLNDGRCIDLVNGYQCNCQPGTSGLNCEINFDDCASNPCMHGVCV DGINRYSCVCSPGFTGQRCNIDIDECASNPCRKGATCINDVNGFRCICPEGPHHPSCYSQ VNECLSNPCIHGNCTGGLSGYKCLCDAGWVGVNCEVDKNECLSNPCQNGGTCNNLVNGYR CTCKKGFKGYNCQVNIDECASNPCLNQGTCFDDVSGYTCHCMLPYTGKNCQTVLAPCSPN PCENAAVCKEAPNFESFSCLCAPGWQGKRCTVDVDECISKPCMNNGVCHNTQGSYVCECP PGFSGMDCEEDINDCLANPCQNGGSCVDHVNTFSCQCHPGFIGDKCQTDMNECLSEPCKN GGTCSDYVNSYTCTCPAGFHGVHCENNIDECTESSCFNGGTCVDGINSFSCLCPVGFTGP FCLHDINECSSNPCLNAGTCVDGLGTYRCICPLGYTGKNCQTLVNLCSRSPCKNKGTCVQ EKARPHCLCPPGWDGAYCDVLNVSCKAAALQKGVPVEHLCQHSGICINAGNTHHCQCPLG YTGSYCEEQLDECASNPCQHGATCNDFIGGYRCECVPGYQGVNCEYEVDECQNQPCQNGG TCIDLVNHFKCSCPPGTRGLLCEENIDECAGGPHCLNGGQCVDRIGGYTCRCLPGFAGER CEGDINECLSNPCSSEGSLDCVQLKNNYNCICRSAFTGRHCETFLDVCPQKPCLNGGTCA VASNMPDGFICRCPPGFSGARCQSSCGQVKCRRGEQCIHTDSGPRCFCLNPKDCESGCAS NPCQHGGTCYPQRQPPHYSCRCPPSFGGSHCELYTAPTSTPPATCQSQYCADKARDGICD EACNSHACQWDGGDCSLTMEDPWANCTSTLRCWEYINNQCDEQCNTAECLFDNFECQRNS KTCKYDKYCADHFKDNHCDQGCNSEECGWDGLDCASDQPENLAEGTLIIVVLLPPEQLLQ DSRSFLRALGTLLHTNLRIKQDSQGALMVYPYFGEKSAAMKKQKMTRRSLPEEQEQEQEV IGSKIFLEIDNRQCVQDSDQCFKNTDAAAALLASHAIQGTLSYPLVSVFSELESPRNAQL LYLLAVAVVIILFFILLGVIMAKRKRKHGFLWLPEGFTLRRDSSNHKRREPVGQDAVGLK NLSVQVSEANLIGSGTSEHWVDDEGPQPKKAKAEDEALLSEDDPIDRRPWTQQHLEAADI RHTPSLALTPPQAEQEVDVLDVNVRGPDGCTPLMLASLRGGSSDLSDEDEDAEDSSANII TDLVYQGASLQAQTDRTGEMALHLAARYSRADAAKRLLDAGADANAQDNMGRCPLHAAVA ADAQGVFQILIRNRVTDLDARMNDGTTPLILAARLAVEGMVAELINCQADVNAVDDHGKS ALHWAAAVNNVEATLLLLKNGANRDMQDNKEETPLFLAAREGSYEAAKILLDHFANRDIT DHMDRLPRDVARDRMHHDIVRLLDEYNVTPSPPGTVLTSALSPVLCGPNRSFLSLKHTPM GKKARRPNTKSTMPTSLPNLAKEAKDAKGSRRKKCLNEKVQLSESSVTLSPVDSLESPHT YVSDATSSPMITSPGILQASPTPLLAAAAPAAPVHTQHALSFSNLHDMQPLAPGASTVLP SVSQLLSHHHIAPPGSSSAGSLGRLHPVPVPADWMNRVEMNETQYSEMFGMVLAPAEGAH PGIAAPQSRPPEGKHMSTQREPLPPIVTFQLIPKGSIAQAAGAPQTQSSCPPAVAGPLPS MYQIPEMPRLPSVAFPPTMMPQQEGQVAQTIVPTYHPFPASVGKYPTPPSQHSYASSNAA ERTPSHGGHLQGEHPYLTPSPESPDQWSSSSPHSASDWSDVTTSPTPGGGGGGQRGPGTH MSEPPHSNMQVYA >ENSMUSP00000118646.1 pep:known chromosome:GRCm38:2:119047119:119069271:1 gene:ENSMUSG00000027326.13 transcript:ENSMUST00000152380.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc5 description:cancer susceptibility candidate 5 [Source:MGI Symbol;Acc:MGI:1923714] MDGVYSEANEENDNTQRPVRRQHSSILKPPRSPLQDLKCGNQTNQEPNPPRKRKSSRRVS FADTIKVFQTESHMKTERNSEISGMNTLLCAPIQTQMQQKEFSITDCNHERKHANDQTVI FSDENQMDLTASHTVMITKGLSDCTKNENSTKIDTTSFLENLKHHAANSRIKKDLACSTV SLSQNIFSEKINSDNFIKRLKTGKHISSSTELDKENAEIPVYSKDSNSASSTYQMHASLG VDENSSNRTRIFREQDDGMNLTQCHTACIKTWIPPSTEAKIGEFKGDKTIYGNECMELTT NYTIQVLSSENNLSERETQTQNGMNVTTVDGATAPAPEKKTALKDKLNAAFQGSFPNPEN KIHIIKCHPIESETHTVTQISSQSASTLAVTSKSICSSPAIEGYKTIFHSSSNDAMELTK CLSAMEEEKKLLKADDKYSKICTNPDAGPLREKTIYLEEDSMDITKSHTVAIDNKIFKHD QENI >ENSMUSP00000120905.1 pep:known chromosome:GRCm38:2:119047147:119065972:1 gene:ENSMUSG00000027326.13 transcript:ENSMUST00000153300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc5 description:cancer susceptibility candidate 5 [Source:MGI Symbol;Acc:MGI:1923714] MDGVYSEANEENDNTQRPVRRQHSSILKPPRSPLQDLKCGNQTNQEPNPPRKRKSSRRVS FADTIKVFQTESHMKTERNSEISGMNTLLCA >ENSMUSP00000028799.5 pep:known chromosome:GRCm38:2:119047150:119072919:1 gene:ENSMUSG00000027326.13 transcript:ENSMUST00000028799.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc5 description:cancer susceptibility candidate 5 [Source:MGI Symbol;Acc:MGI:1923714] MDGVYSEANEENDNTQRPVRRQHSSILKPPRSPLQDLKCGNQTNQEPNPPRKRKSSRRVS FADTIKVFQTESHMKTERNSEISGMNTLLCAPIQTQMQQKEFSITDCNHERKHANDQTVI FSDENQMDLTASHTVMITKGLSDCTKNENSTKIDTTSFLENLKHHAANSRIKKDLACSTV SLSQNIFSEKINSDNFIKRLKTGKHISSSTELDKENAEIPVYSKDSNSASSTYQMHASLG VDENSSNRTRIFREQDDGMNLTQCHTACIKTWIPPSTEAKIGEFKGDKTIYGNECMELTT NYTIQVLSSENNLSERETQTQNGMNVTTVDGATAPAPEKKTALKDKLNAAFQGSFPNPEN KIHIIKCHPIESETHTVTQISSQSASTLAVTSKSICSSPAIEGYKTIFHSSSNDAMELTK CLSAMEEEKKLLKADDKYSKICTNPDAGPLREKTIYLEEDSMDITKSHTVAIDNKIFKHD QENIKKEIIAIPIFEKEMVLRNLMPMSKDEKRDVNYISVPQVSKESLQRSQTNTLSVSLT DKKMEFLADEDMDLTKSHTTKLSQVIPTTFDLASKNVTKSYSHSKSPLNEWESLDKQVVL GQHSKLPLPQRKDRDDPDCSHHKIMYSEELQTMDLTKSHTIVIGFGPSEVQEHSKINLEH KNSQLTAESIQTAVNVPAANSRVVTTNDMDMLKDRSTHKPELLKEKQNIKIYGRKSIGRL KIDKTILFSEGNEGDMDITKSCTVKINHRSLLDKHDSHLVSLAGTSKTILHARGQVEMEI NRSHTTPLECKIISPSDITPGDLDKTMMSIDDHEELDMTKSHTVFIDYQAEAKGVLPDRL DFQLSKKESLQKPKVTSLAEEIYISKNSESNHLPAKGSQLTILEEGSNSGLGEETNDAQK PGFLNELLSGKTQRRKSLSLKNKSITFPENDKSYREIPQSSAVEINNETRLEDRKGFSFV PLAGTSKPVLSAYGPEDMEISIGQTTASEYKTVPPEEITTIPMDKTVMFVDNFGDLDVTR SHTVFIDCQAKEKVLDEYTNLGIQKTKTLSGSEGDTHIQEITKNPAAQHKHHMTTVIPSS TVVSDQSSMKIKFHKADRDEEVKGKEVEANMLKQTKPESCLLNITDGKNVDFTSSYTADV CRSSDKYSSLPNISSSDNSGGNTMSLCDKNKEKAYNCQVPNEFTYAAILPSTYHMDSKKL SVFPPCPSKEVTQTESAIALLKDEDPVEEPLGEMATFNSKHVSLNLAKDQTEAFVDVSVA SQPHLSAQQSPSTQKGQDVARRDEGILAKAGKKALPFLLENVAASTWENESKIPTNVEHF AVTYEKELSISIQTDKCNTNVQSPSNSALTTQVIQTHANAEGALDFLVPSTVSCFSSTKP SLSNLNRKTEEVLDFQTVNLLPPAEQLLEEGSQAHSMSIVQATEIYRLGSRNDRDEESKT FCNEAETTSVPLKTAVKDKTRRCSLGIFLPKLPSKRSCSITGVDDLEQILADAADLTQLE TQPVCSKDPGIGSVAAKLNLSPSQFINEENLPVYPGEILSSDSVSLDIEESVLIDTSQRE SLPSENKTENCRAQKRTRVEENDVTNEKKIRTHDSAQDQEVSCLEPKNVLEF >ENSMUSP00000028802.2 pep:known chromosome:GRCm38:2:119057281:119105501:1 gene:ENSMUSG00000027326.13 transcript:ENSMUST00000028802.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc5 description:cancer susceptibility candidate 5 [Source:MGI Symbol;Acc:MGI:1923714] MDGVYSEANEENDNTQRPVRRQHSSILKPPRSPLQDLKCGNQTNQEPNPPRKRKSSRRVS FADTIKVFQTESHMKTERNSEISGMNTLLCAPIQTQMQQKEFSITDCNHERKHANDQTVI FSDENQMDLTASHTVMITKGLSDCTKNENSTKIDTTSFLENLKHHAANSRIKKDLACSTV SLSQNIFSEKINSDNFIKRLKTGKHISSSTELDKENAEIPVYSKDSNSASSTYQMHASLG VDENSSNRTRIFREQDDGMNLTQCHTACIKTWIPPSTEAKIGEFKGDKTIYGNECMELTT NYTIQVLSSENNLSERETQTQNGMNVTTVDGATAPAPEKKTALKDKLNAAFQGSFPNPEN KIHIIKCHPIESETHTVTQISSQSASTLAVTSKSICSSPAIEGYKTIFHSSSNDAMELTK CLSAMEEEKKLLKADDKYSKICTNPDAGPLREKTIYLEEDSMDITKSHTVAIDNKIFKHD QENIKKEIIAIPIFEKEMVLRNLMPMSKDEKRDVNYISVPQVSKESLQRSQTNTLSVSLT DKKMEFLADEDMDLTKSHTTKLSQVIPTTFDLASKNVTKSYSHSKSPLNEWESLDKQVVL GQHSKLPLPQRKDRDDPDCSHHKIMYSEELQTMDLTKSHTIVIGFGPSEVQEHSKINLEH KNSQLTAESIQTAVNVPAANSRVVTTNDMDMLKDRSTHKPELLKEKQNIKIYGRKSIGRL KIDKTILFSEGNEGDMDITKSCTVKINHRSLLDKHDSHLVSLAGTSKTILHARGQVEMEI NRSHTTPLECKIISPSDITPGDLDKTMMSIDDHEELDMTKSHTVFIDYQAEAKGVLPDRL DFQLSKKESLQKPKVTSLAEEIYISKNSESNHLPAKGSQLTILEEGSNSGLGEETNDAQK PGFLNELLSGKTQRRKSLSLKNKSITFPENDKSYREIPQSSAVEINNETRLEDRKGFSFV PLAGTSKPVLSAYGPEDMEISIGQTTASEYKTVPPEEITTIPMDKTVMFVDNFGDLDVTR SHTVFIDCQAKEKVLDEYTNLGIQKTKTLSGSEGDTHIQEITKNPAAQHKHHMTTVIPSS TVVSDQSSMKIKFHKADRDEEVKGKEVEANMLKQTKPESCLLNITDGKNVDFTSSYTADV CRSSDKYSSLPNISSSDNSGGNTMSLCDKNKEKAYNCQVPNEFTYAAILPSTYHMDSKKL SVFPPCPSKEVTQTESAIALLKDEDPVEEPLGEMATFNSKHVSLNLAKDQTEAFVDVSVA SQPHLSAQQSPSTQKGQDVARRDEGILAKAGKKALPFLLENVAASTWENESKIPTNVEHF AVTYEKELSISIQTDKCNTNVQSPSNSALTTQVIQTHANAEGALDFLVPSTVSCFSSTKP SLSNLNRKTEEVLDFQTVNLLPPAEQLLEEGSQAHSMSIVQATEIYRLGSRNDRDEESKT FCNEAETTSVPLKTAVKDKTRRCSLGIFLPKLPSKRSCSITGVDDLEQILADAADLTQLE TQPVCSKDPGIGSVAAKLNLSPSQFINEENLPVYPGEILSSDSVSLDIEESVLIDTSQRE SLPSENKTENCRAQKRTRVEENDVTNEKKIRTHDSAQDQEIFDNHMEEDINKNVNSVLLK SLSRTPSSCSSSLDSIKSDGLSLDVSTQRNSQMESQFLGDTISEESLKEKLKDGQITIKE FFILLQVHILIQKPRQSTLPAKFTINTLPTTEDLMLRQYVYGPRIQIYKEDCELLRQKID ELKISALNQNKLLADVNRNLWEKVKDYSDEELKNYGIYLNKIKSRYTKMTKVFNHQGKVA LYNKLVHSAENEKNKLQIKINEMDTILKKINNCLAEVETETKNLENEEKNDAMEEWDSEM RDAEKELEQLKTEEEELQRKFLEVETQKTQTLAQIEFIKEQTTKTEELLDQLSLSEWDVI EWSDDQAVFTFVYDSIELIITFGEPLVGLPFLDKACRKINALSFQSLLDEDKAPPSSLLV HKLIFQYIEEQESWKKKCTAQHQVPQMLQELSLVVNHCRLLGEEIEFLKRWGPNYSLMHI NVNNTELRLLFSSCAAFAKFEITLSPSAHYPLVPLPFTIHNHIGKTGHDEIAAIISKVPL EENYLKNVVKQIYQDLLKD >ENSMUSP00000097140.2 pep:known chromosome:GRCm38:2:119047119:119104121:1 gene:ENSMUSG00000027326.13 transcript:ENSMUST00000099542.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc5 description:cancer susceptibility candidate 5 [Source:MGI Symbol;Acc:MGI:1923714] MDGVYSEANEENDNTQRPVRRQHSSILKPPRSPLQDLKCGNQTNQEPNPPRKRKSSRRVS FADTIKVFQTESHMKTERNSEISGMNTLLCAPIQTQMQQKEFSITDCNHERKHANDQTVI FSDENQMDLTASHTVMITKGLSDCTKNENSTKIDTTSFLENLKHHAANSRIKKDLACSTV SLSQNIFSEKINSDNFIKRLKTGKHISSSTELDKENAEIPVYSKDSNSASSTYQMHASLG VDENSSNRTRIFREQDDGMNLTQCHTACIKTWIPPSTEAKIGEFKGDKTIYGNECMELTT NYTIQVLSSENNLSERETQTQNGMNVTTVDGATAPAPEKKTALKDKLNAAFQGSFPNPEN KIHIIKCHPIESETHTVTQISSQSASTLAVTSKSICSSPAIEGYKTIFHSSSNDAMELTK CLSAMEEEKKLLKADDKYSKICTNPDAGPLREKTIYLEEDSMDITKSHTVAIDNKIFKHD QENIKKEIIAIPIFEKEMVLRNLMPMSKDEKRDVNYISVPQVSKESLQRSQTNTLSVSLT DKKMEFLADEDMDLTKSHTTKLSQVIPTTFDLASKNVTKSYSHSKSPLNEWESLDKQVVL GQHSKLPLPQRKDRDDPDCSHHKIMYSEELQTMDLTKSHTIVIGFGPSEVQEHSKINLEH KNSQLTAESIQTAVNVPAANSRVVTTNDMDMLKDRSTHKPELLKEKQNIKIYGRKSIGRL KIDKTILFSEGNEGDMDITKSCTVKINHRSLLDKHDSHLVSLAGTSKTILHARGQVEMEI NRSHTTPLECKIISPSDITPGDLDKTMMSIDDHEELDMTKSHTVFIDYQAEAKGVLPDRL DFQLSKKESLQKPKVTSLAEEIYISKNSESNHLPAKGSQLTILEEGSNSGLGEETNDAQK PGFLNELLSGKTQRRKSLSLKNKSITFPENDKSYREIPQSSAVEINNETRLEDRKGFSFV PLAGTSKPVLSAYGPEDMEISIGQTTASEYKTVPPEEITTIPMDKTVMFVDNFGDLDVTR SHTVFIDCQAKEKVLDEYTNLGIQKTKTLSGSEGDTHIQEITKNPAAQHKHHMTTVIPSS TVVSDQSSMKIKFHKADRDEEVKGKEVEANMLKQTKPESCLLNITDGKNVDFTSSYTADV CRSSDKYSSLPNISSSDNSGGNTMSLCDKNKEKAYNCQVPNEFTYAAILPSTYHMDSKKL SVFPPCPSKEVTQTESAIALLKDEDPVEEPLGEMATFNSKHVSLNLAKDQTEAFVDVSVA SQPHLSAQQSPSTQKGQDVARRDEGILAKAGKKALPFLLENVAASTWENESKIPTNVEHF AVTYEKELSISIQTDKCNTNVQSPSNSALTTQVIQTHANAEGALDFLVPSTVSCFSSTKP SLSNLNRKTEEVLDFQTVNLLPPAEQLLEEGSQAHSMSIVQATEIYRLGSRNDRDEESKT FCNEAETTSVPLKTAVKDKTRRCSLGIFLPKLPSKRSCSITGVDDLEQILADAADLTQLE TQPVCSKDPGIGSVAAKLNLSPSQFINEENLPVYPGEILSSDSVSLDIEESVLIDTSQRE SLPSENKTENCRAQKRTRVEENDVTNEKKIRTHDSAQDQEIFDNHMEEDINKNVNSVLLK SLSRTPSSCSSSLDSIKSDGLSLDVSTQRNSQMESQFLGDTISEESLKEKLKDGQITIKE FFILLQVHILIQKPRQSTLPAKFTINTLPTTEDLMLRQYVYGPRIQIYKEDCELLRQKID ELKISALNQNKLLADVNRNLWEKVKDYSDEELKNYGIYLNKIKSRYTKMTKVFNHQGKVA LYNKLVHSAENEKNKLQIKINEMDTILKKINNCLAEVETETKNLENEEKNDAMEEWDSEM RDAEKELEQLKTEEEELQRKFLEVETQKTQTLAQIEFIKEQTTKTEELLDQLSLSEWDVI EWSDDQAVFTFVYDSIELIITFGEPLVGLPFLDKACRKINALSFQSLLDEDKAPPSSLLV HKLIFQYIEEQESWKKKCTAQHQVPQMLQELSLVVNHCRLLGEEIEFLKRWGPNYSLMHI NVNNTELRLLFSSCAAFAKFEITLSPSAHYPLVPLPFTIHNHIGKTGHDEIAAIISKVPL EENYLKNVVKQIYQDLLKD >ENSMUSP00000032356.6 pep:known chromosome:GRCm38:6:139989673:140041457:-1 gene:ENSMUSG00000030230.15 transcript:ENSMUST00000032356.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcz1 description:phospholipase C, zeta 1 [Source:MGI Symbol;Acc:MGI:2150308] MESQLHELAEARWFLSKVQDDFRGGKINVEITHKLLEKLDFPCHFAHVKHIFKENDRQNQ GRITIEEFRAIYRCIVHREEITEIFNTYTENRKILSENSLIEFLTQEQYEMEIDHSDSVE IINKYEPIEEVKGERQMSIEGFARYMFSSECLLFKENCKTVYQDMNHPLSDYFISSSHNT YLISDQILGPSDIWGYVSALVKGCRCLEIDCWDGSQNEPIVYHGYTFTSKLLFKTVVQAI NKYAFVTSDYPVVLSLENHCSPGQQEVMASILQSTFGDFLLSDMLEEFPDTLPSPEALKF KILVKNRKVGTLSETHERIGTDKSGQVLEWKEVIYEDGDEDSGMDPETWDVFLSRIKEER EADPSTLSGIAGVKKRKRKMKIAMALSDLVIYTKAEKFRNFQYSRVYQQFNETNSIGESR ARKLSKLRVHEFIFHTAAFITRVYPKMMRADSSNFNPQEFWNVGCQMVALNFQTPGLPMD LQNGKFLDNGGSGYILKPDILRDTTLGFNPNEPEYDDHPVTLTIRIISGIQLPVSSSSNT PDIVVIIEVYGVPNDHVKQQTRVVKNNAFSPKWNETFTFLIQVPELALIRFVVETQQGLL SGNELLGQYTLPVLCMNKGYRRVPLFSKSGANLEPSSLFIYVWYFRE >ENSMUSP00000121908.1 pep:known chromosome:GRCm38:6:139989722:140041431:-1 gene:ENSMUSG00000030230.15 transcript:ENSMUST00000137148.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plcz1 description:phospholipase C, zeta 1 [Source:MGI Symbol;Acc:MGI:2150308] MESQLHELAEARWFLSKVQDDFRGGKINVEITHKLLEKLDFPCHFAHVKHIFKCDPTSHF F >ENSMUSP00000119121.1 pep:known chromosome:GRCm38:6:139989724:140041412:-1 gene:ENSMUSG00000030230.15 transcript:ENSMUST00000129986.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plcz1 description:phospholipase C, zeta 1 [Source:MGI Symbol;Acc:MGI:2150308] MESQLHELAEARWFLSKVQDDFRGGKINVEITHKLLEKLDFPCHFAHVKHIFK >ENSMUSP00000117043.1 pep:known chromosome:GRCm38:6:140020251:140041412:-1 gene:ENSMUSG00000030230.15 transcript:ENSMUST00000149931.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plcz1 description:phospholipase C, zeta 1 [Source:MGI Symbol;Acc:MGI:2150308] MESQLHELAEARWFLSKVQDDFRGGKINVEITHKLLEKLDFPCHFAHVKHIFK >ENSMUSP00000118182.1 pep:known chromosome:GRCm38:7:126689468:126695784:-1 gene:ENSMUSG00000059772.12 transcript:ENSMUST00000144897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slx1b description:SLX1 structure-specific endonuclease subunit homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915220] MDHAARPGRFFGVYLLYCQNPRHRGRVYVGFTVNPARRVRQHNAGRKKGGAWRTSGRGPW DMVLIIHGFPSAVAALRFEWAWQHPQASRRLTHVGPRLRSEAAFAFHLRVLAHMLRVPPW VRLPLTLRWLRPDFRHELCPAPPAHMPIAFGPPPPQPLVPKRPAVSEADSERQLDLGTKA RCSLCARLLQDEEGPLCCPHPGCPLRAHIICLAEEFLQEEPGQLLPLEGHCPSCKKSLLW GNLVGQCHADTEEEEDLELEEEHWTDLLET >ENSMUSP00000117927.1 pep:known chromosome:GRCm38:7:126691118:126695724:-1 gene:ENSMUSG00000059772.12 transcript:ENSMUST00000142337.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slx1b description:SLX1 structure-specific endonuclease subunit homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915220] MDHAARPGRFFGVYLLYCQNPRHRGRVYVGFTVNPARRVRQHNAGRKKGGAWRTSGRGPC LNGPGNILKLHAA >ENSMUSP00000078920.6 pep:known chromosome:GRCm38:2:91264974:91276931:1 gene:ENSMUSG00000027255.14 transcript:ENSMUST00000080008.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgap2 description:ADP-ribosylation factor GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:1924288] MAASPSKTEIQTIFKRLRAIPTNKACFDCGAKSPSWASITYGVFLCIDCSGVHRSLGVHL SFIRSTELDSNWSWLQLRCMQVGGNANATAFFRQHGCMANDANTKYTSRAAQMYREKIRQ LGSAALTRHGTDLWIDSMNSAPSHSPEKKDSDFFTEHTQAPAWDTAATDPSGTQQPALPS ESSSLAQPEQGPNTDLLGTSPQASLELKSSIIGKKKPAAAKKGLGAKKGLGAQKVSNQSF TEIERQAQVAEKLREQQAADAKKQAEESMVASMRLAYQELQIDRKKEEKKLQNLEGKKRE QAERLGMGLVSRSSISHSVLSEMQMIEQETPLSAKSSRSQLDLFDDVGTFASGPPKYKDN PFSLGETFGSRWDSDAAWGMDRVEEKEPEVTISSIRPISERTASRREVETRSSGLESSEA RQKFAGAKAISSDMFFGREVDSEYEARSRLQQLSGSSAISSSDLFGNMDGAHGGGTVSLG NVLPTADIAQFKQGVKSVAGKMAVLANGVMNSLQDRYGSY >ENSMUSP00000028691.6 pep:known chromosome:GRCm38:2:91265293:91276931:1 gene:ENSMUSG00000027255.14 transcript:ENSMUST00000028691.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgap2 description:ADP-ribosylation factor GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:1924288] MAASPSKTEIQTIFKRLRAIPTNKACFDCGAKSPSWASITYGVFLCIDCSGVHRSLGVHL SFIRSTELDSNWSWLQLRCMQVGGNANATAFFRQHGCMANDANTKYTSRAAQMYREKIRQ LGSAALTRHGTDLWIDSMNSAPSHSPEKKDSDFFTEHTQAPAWDTAATDPSGTQQPALPS ESSSLAQPEQGPNTDLLGTSPQASLESMYLSAKGPSCTRELKSSIIGKKKPAAAKKGLGA KKGLGAQKVSNQSFTEIERQAQVAEKLREQQAADAKKQAEESMVASMRLAYQELQIDRKK EEKKLQNLEGKKREQAERLGMGLVSRSSISHSVLSEMQMIEQETPLSAKSSRSQLDLFDD VGTFASGPPKYKDNPFSLGETFGSRWDSDAAWGMDRVEEKEPEVTISSIRPISERTASRR EVETRSSGLESSEARQKFAGAKAISSDMFFGREVDSEYEARSRLQQLSGSSAISSSDLFG NMDGAHGGGTVSLGNVLPTADIAQFKQGVKSVAGKMAVLANGVMNSLQDRYGSY >ENSMUSP00000114873.1 pep:known chromosome:GRCm38:7:126695436:126697058:1 gene:ENSMUSG00000047721.8 transcript:ENSMUST00000130498.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bola2 description:bolA-like 2 (E. coli) [Source:MGI Symbol;Acc:MGI:1913412] MELSADYLREKLRQDLEAEHVEVEDTTLNRCATSFRVLVVSAKFEGKPLLQRHRLVNECL AEELPHIHAFEQKTLTPEQWTRQRRE >ENSMUSP00000101977.1 pep:known chromosome:GRCm38:7:126695973:126696697:1 gene:ENSMUSG00000047721.8 transcript:ENSMUST00000106369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bola2 description:bolA-like 2 (E. coli) [Source:MGI Symbol;Acc:MGI:1913412] MELSADYLREKLRQDLEAEHVEVEDTTLNRCATSFRVLVVSAKFEGKPLLQRHR >ENSMUSP00000035630.8 pep:known chromosome:GRCm38:14:57123303:57133611:-1 gene:ENSMUSG00000040055.8 transcript:ENSMUST00000039380.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb6 description:gap junction protein, beta 6 [Source:MGI Symbol;Acc:MGI:107588] MDWGTLHTVIGGVNKHSTSIGKVWITVIFIFRVMILVVAAQEVWGDEQEDFVCNTLQPGC KNVCYDHFFPVSHIRLWALQLIFVSTPALLVAMHVAYYRHETARKFIRGEKRNEFKDLED IKRQKVRIEGSLWWTYTSSIFFRIIFEAAFMYVFYFLYNGYHLPWVLKCGIDPCPNLVDC FISRPTEKTVFTVFMISASVICMLLNVAELCYLLLKLCFRRSKRTQAQRNHPNHALKESK QNEMNELISDSGQNAITSFPS >ENSMUSP00000124927.1 pep:known chromosome:GRCm38:14:57124282:57133281:-1 gene:ENSMUSG00000040055.8 transcript:ENSMUST00000160703.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjb6 description:gap junction protein, beta 6 [Source:MGI Symbol;Acc:MGI:107588] MDWGTLHTVIGGVNKHSTSIGKVWITVIFIFRVMILVVAAQEVWGDEQEDFVCNTLQPGC KNVCYDHFFPVSHIRLWALQLIFVSTPALLVAMHVAYYRHETARKFIRGEKRNEFKDLED IKRQKVRIEGSLWWTYTSSIFFRIIFEAAFMYVFYFLYNGYHLPWVLKCGIDP >ENSMUSP00000039334.8 pep:known chromosome:GRCm38:X:129749805:130461197:1 gene:ENSMUSG00000034480.18 transcript:ENSMUST00000037854.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diaph2 description:diaphanous related formin 2 [Source:MGI Symbol;Acc:MGI:1858500] MEELGAAASGAGGGGGGGEEHGGGRSNKRGAGNRAANEEETRNKPKLNIQIKTLADDVRD RITSFRKSATKREKPVIQHSIDYQTAVVEIPPALIVHDDRSLILSEKEVLDLFEKMMEDM NLNEEKKAPLRKKDFSIKREMVVQYISATSKSGGLKNSKHEFTLSSQEYVHELRSGISDE KLLNCLESLRVSLTSHPVSWVNNFGYEGLGVLLDVLEKLLDKKQQENIDKKNQYKVIQCL KAFMNNKFGLQRILGDERSLLLLARAIDPKQQNMMTEIVKILSAICIVGEENILDKLLGG ITAAAELNNRERFSPIVEGLENNEALHLQVACMQFINALVTSPYDLDFRIHLRNEFLRCG LKAMLPTLKEIENEGLDIQLRVFEENKEDDLSELSHRLNDIRAEMDDINEVYHLLYNMLK DTAAEPYLLSILQHFLLIRNDYYIRPQYYKIIEECVSQIVLHCSGMDPDFKYRQRIDFDF THLLDACVNKAKVEENEQKAMEFSKKFDEEFTARQEAQAELQKRDEKIKELETEIQQLRG QGVPSAIPGPPPPPPLPGAGPCPPPPPPPPPPPPLPGVVPPPPPPLPGMPGIPPPPPPPL SGVPPPPPPPGGVFPLLSGPIELPYGMKQKKLYKPDIPMKRINWSKIEPKELSENCVWLK LKEEKYENADLFAKLALTFPSQMKGQRNTEAAEENRSGPPKKKVKELRILDTKTAQNLSI FLGSYRMPYEEIKNIILEVNEEMLSEALIQNLVKYLPDQNALRELAQLKSEYDDLCEPEQ FGVVMSTVKMLRPRLTSILFKLTFEEHVNNIKPSIIAVTLACEELKKSESFKRLLELILL VGNYMNSGSRNAQSLGFKINFLCKIKDTKSADQKSTLLHFLAEICDEKYRDILKFPDELE HVESAGKVSAQILKSNLVAMEQSILHLEKNIKNFPPAESHHDKFVEKMMSFTQNAREQYD KLSTMHSNMLKLYESLGEYFIFDPNTVNMEEFFGDLNTFRTLFLEALKENHKRKEMEEKS RRAKLAKEKAEQEKLERQKKKKQLIDINKEGDETGVMDNLLEALQSGAAFRDRRKRIPRN PDNRRPPLERSRSRHNGAMSSK >ENSMUSP00000108946.2 pep:known chromosome:GRCm38:X:129749976:130465834:1 gene:ENSMUSG00000034480.18 transcript:ENSMUST00000113320.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diaph2 description:diaphanous related formin 2 [Source:MGI Symbol;Acc:MGI:1858500] MEELGAAASGAGGGGGGGEEHGGGRSNKRGAGNRAANEEETRNKPKLRDRITSFRKSATK REKPVIQHSIDYQTAVVEIPPALIVHDDRSLILSEKEVLDLFEKMMEDMNLNEEKKAPLR KKDFSIKREMVVQYISATSKSIVGSKVLGGLKNSKHEFTLSSQEYVHELRSGISDEKLLN CLESLRVSLTSHPVSWVNNFGYEGLGVLLDVLEKLLDKKQQENIDKKNQYKVIQCLKAFM NNKFGLQRILGDERSLLLLARAIDPKQQNMMTEIVKILSAICIVGEENILDKLLGGITAA AELNNRERFSPIVEGLENNEALHLQVACMQFINALVTSPYDLDFRIHLRNEFLRCGLKAM LPTLKEIENEGLDIQLRVFEENKEDDLSELSHRLNDIRAEMDDINEVYHLLYNMLKDTAA EPYLLSILQHFLLIRNDYYIRPQYYKIIEECVSQIVLHCSGMDPDFKYRQRIDFDFTHLL DACVNKAKVEENEQKAMEFSKKFDEEFTARQEAQAELQKRDEKIKELETEIQQLRGQGVP SAIPGPPPPPPLPGAGPCPPPPPPPPPPPPLPGVVPPPPPPLPGMPGIPPPPPPPLSGVP PPPPPPGGVFPLLSGPIELPYGMKQKKLYKPDIPMKRINWSKIEPKELSENCVWLKLKEE KYENADLFAKLALTFPSQMKGQRNTEAAEENRSGPPKKKVKELRILDTKTAQNLSIFLGS YRMPYEEIKNIILEVNEEMLSEALIQNLVKYLPDQNALRELAQLKSEYDDLCEPEQFGVV MSTVKMLRPRLTSILFKLTFEEHVNNIKPSIIAVTLACEELKKSESFKRLLELILLVGNY MNSGSRNAQSLGFKINFLCKIKDTKSADQKSTLLHFLAEICDEKYRDILKFPDELEHVES AGKVSAQILKSNLVAMEQSILHLEKNIKNFPPAESHHDKFVEKMMSFTQNAREQYDKLST MHSNMLKLYESLGEYFIFDPNTVNMEEFFGDLNTFRTLFLEALKENHKRKEMEEKSRRAK LAKEKAEQEKLERQKKKKQLIDINKEGDETGVMDNLLEALQSGAAFRDRRKRIPRNPDNR RPPLERSRSRHNGAMSSK >ENSMUSP00000131879.2 pep:known chromosome:GRCm38:X:129749742:130465831:1 gene:ENSMUSG00000034480.18 transcript:ENSMUST00000167619.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diaph2 description:diaphanous related formin 2 [Source:MGI Symbol;Acc:MGI:1858500] MEELGAAASGAGGGGGGGEEHGGGRSNKRGAGNRAANEEETRNKPKLNIQIKTLADDVRD RITSFRKSATKREKPVIQHSIDYQTAVVEIPPALIVHDDRSLILSEKEVLDLFEKMMEDM NLNEEKKAPLRKKDFSIKREMVVQYISATSKSGGLKNSKHEFTLSSQEYVHELRSGISDE KLLNCLESLRVSLTSHPVSWVNNFGYEGLGVLLDVLEKLLDKKQQENIDKKNQYKVIQCL KAFMNNKFGLQRILGDERSLLLLARAIDPKQQNMMTEIVKILSAICIVGEENILDKLLGG ITAAAELNNRERFSPIVEGLENNEALHLQVACMQFINALVTSPYDLDFRIHLRNEFLRCG LKAMLPTLKEIENEGLDIQLRVFEENKEDDLSELSHRLNDIRAEMDDINEVYHLLYNMLK DTAAEPYLLSILQHFLLIRNDYYIRPQYYKIIEECVSQIVLHCSGMDPDFKYRQRIDFDF THLLDACVNKAKVEENEQKAMEFSKKFDEEFTARQEAQAELQKRDEKIKELETEIQQLRG QGVPSAIPGPPPPPPLPGAGPCPPPPPPPPPPPPLPGVVPPPPPPLPGMPGIPPPPPPPL SGVPPPPPPPGGVFPLLSGPIELPYGMKQKKLYKPDIPMKRINWSKIEPKELSENCVWLK LKEEKYENADLFAKLALTFPSQMKGQRNTEAAEENRSGPPKKKVKELRILDTKTAQNLSI FLGSYRMPYEEIKNIILEVNEEMLSEALIQNLVKYLPDQNALRELAQLKSEYDDLCEPEQ FGVVMSTVKMLRPRLTSILFKLTFEEHVNNIKPSIIAVTLACEELKKSESFKRLLELILL VGNYMNSGSRNAQSLGFKINFLCKIKDTKSADQKSTLLHFLAEICDEKYRDILKFPDELE HVESAGKVSAQILKSNLVAMEQSILHLEKNIKNFPPAESHHDKFVEKMMIFTQNAREQYD KLSTMHSNMLKLYESLGEYFIFDPNTVNMEEFFGDLNTFRTLFLEALKENHKRKEMEEKS RRAKLAKEKAEQEKLERQKKKKQLIDINKEGDETGVMDNLLEALQSGAAFRDRRKRIPRN PDNRRPPLERSRSRHNGAMSSK >ENSMUSP00000112761.1 pep:known chromosome:GRCm38:X:135302307:135312652:1 gene:ENSMUSG00000067360.12 transcript:ENSMUST00000118821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel3 description:preferentially expressed antigen in melanoma-like 3 [Source:MGI Symbol;Acc:MGI:1890657] MMDTKDPPTLLDLATQSLLSNEHAAIQALEEIPRELFVPLFTAAFTGRHKNILTAIVKAW PFACLHIGALSVQEPERELLKALIESLQFLPALNSATRSPKLRILDLRQDAGCKTVCPEI STNSTICFRSCAHSEHSIFKIEGQESLSSSKSEAQPSRLPMELIVDISLDGTLRERNFFA LLQNKVEQSLGSLHLCCRDLQINNLCECRHALSHLDLKCVDHLAVDESPLTEVTKLLSHT IQLDSLSLSKIACRSLNGRTFRNFITQLSHMNHLKELSLSSFCLTDHLETVLRVLSTDLE FLYLPFCGLSYSDFKFLSECSQVSHLKLLNVNNNPIYWEDCEPFYYLLQNVSSTLQHLKI CYCFLTDSTISVLIPALTRCSQLRVLHFYSNQITMGMLMRILELLTPLKELKHVIYPIPV HCYGRWHFQGSLDRQKVEDVQRQLNLMLQEAERSDMNWITYSD >ENSMUSP00000108801.2 pep:known chromosome:GRCm38:X:135302316:135312636:1 gene:ENSMUSG00000067360.12 transcript:ENSMUST00000113176.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel3 description:preferentially expressed antigen in melanoma-like 3 [Source:MGI Symbol;Acc:MGI:1890657] MDTKDPPTLLDLATQSLLSNEHAAIQALEEIPRELFVPLFTAAFTGRHKNILTAIVKAWP FACLHIGALSVQEPERELLKALIESLQFLPALNSATRSPKLRILDLRQDAGCKTVCPEIS TNSTICFRSCAHSEHSIFKIEGQESLSSSKSEAQPSRLPMELIVDISLDGTLRERNFFAL LQNKVEQSLGSLHLCCRDLQINNLCECRHALSHLDLKCVDHLAVDESPLTEVTKLLSHTI QLDSLSLSKIACRSLNGRTFRNFITQLSHMNHLKELSLSSFCLTDHLETVLRVLSTDLEF LYLPFCGLSYSDFKFLSECSQVSHLKLLNVNNNPIYWEDCEPFYYLLQNVSSTLQHLKIC YCFLTDSTISVLIPALTRCSQLRVLHFYSNQITMGMLMRILELLTPLKELKHVIYPIPVH CYGRWHFQGSLDRQKVEDVQRQLNLMLQEAERSDMNWITYSD >ENSMUSP00000038562.4 pep:known chromosome:GRCm38:6:140041557:140042778:1 gene:ENSMUSG00000041791.6 transcript:ENSMUST00000043797.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capza3 description:capping protein (actin filament) muscle Z-line, alpha 3 [Source:MGI Symbol;Acc:MGI:106221] MSLSVLSRKEKEKVIHRLLVQAPPGEFVNAFDDLCLLIRDEKLMHHQGECAGHQHCQKYC VPLCIDGNPVLLSHHNVMGDFRFFDYQSKLSFRFDLLQNQLRDIQSHGIIRNETEYLRSV VMCALKLYVNDHYPNGNCNVLRKTVKSKEFLIACIEDHSYDNGECWNGLWKSKWIFQVNP FLTQVTGRIFVQAHFFRCVNLHIEVSKDLKESLEVVNQAQLALSFARLVEEQENKFQAAV IEELQELSNEALRKILRRDLPVTRTLIDWQRILSDLNLVMYPKLGYVIYSRSVLCNWII >ENSMUSP00000032228.8 pep:known chromosome:GRCm38:6:121838541:121889057:1 gene:ENSMUSG00000059908.9 transcript:ENSMUST00000032228.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mug1 description:murinoglobulin 1 [Source:MGI Symbol;Acc:MGI:99837] MWKSRRAQLCLFSVLLAFLHSASLLNGDSKYMVLVPSQLYTETPEKICLHLYQLNETVTV TASLVSQSGRKNLFDELVLDKDLFQCVSFIIPRLSSSDEEDFLYVDIKGPTHEFSKRKAV LVKNKESVVFVQTDKPVYKPGQSVKFRVVSMDKMLRPLNELLPLAYIEDPKKNRIMQWRD IKTENGLKQMSFSLAAEPIQGPYKIVVHKESGEKEEHSFTVMEFVLPRFNVDLKVPNAMS VNDEVLSVTACGKYTYGKPVPGHVKINVCRETETGCREVNSQLDNNGCSTQEVNITELQS KKRNYEVQLFHVNATVTEEGTGLEFSRSGTTKIERITNKLIFLKADSHFRHGIPFFVKVR LVDIKGDPIPNEKVFIKAQELSYTSATTTDQHGLAEFSIDTTCISGSSLHIKVNHKEEDS CSYFYCMEERHASAKHVAYAVYSLSKSYIYLDTETSSILPCNQIHTVQAHFILKGDLGVL KELIFYYLVMAQGSIIQTGNHTHQVEPGEAPVKGKFALEIPVEFSMVPMAKMLIYTILPD GEVIADSVNFEIEKCLRNKVDLRFSTSQSLPASQTRLQVTASPQSLCGLRAVDQSVLLLK PESELSPSWIYNLPGMQQNKFVPSSRLSEDQEDCILYSSWLAEKHTNLVPHGTEKDVYRY VEDMGLTAFTNLMIKLPIICFDYGMVPISAPRVEFDLAFTPEISWSLRTTLSKRPEEPPR KDPSSNDPLTETIRKYFPETWVWDIVTVNSTGLAEVEMTVPDTITEWKAGALCLSNDTGL GLSSVVPLQAFKPFFVEVSLPYSVVRGEAFMLKATVMNYLPTSMQMSVQLEASPDFTAVP VGDDQDSYCLSANGRHTSSWLVTPKSLGNVNFSVSAEAQQSSEPCGSEVATVPETGRKDT VVKVLIVEPEGIKQEHTFSSLFCASDAEISEKMSLVLPPTVVKDSARAHFSVMGDILSSA IRNTQNLLHMPYGCGEQNMVLFAPNIYVLKYLNETQQLTQKIKTKALGFLRAGYQRELNY KHKDGSYSAFGDQNGEREGNTWLTAFVLKSFAQARAFIFIDESHITHAFTWLSQKQKDNG CFRSSGSLFNNAMKGGVDDEMTLSAYITMALLESSLPATHPVVSKALSCLESSWKTIEQE RNASFVYTKALMAYAFALAGNQNKRDEILKSLDEEAIKENNSIHWKRPQKSRKSEHHLYK PQASSAEVEMNAYVVLARLTAQPAPSPEDLTLSMSTIMWLTKQQNSNGGFSSTQDTVVAL DALSKYGAVTFSRSQKTTLVTIQSTGSFSQKFQVENSNRLLLQQVALPDIPGDYTISVSG EGCVYAQTMLRYNMHLEKQLSAFAIWVQTVPLTCNNPKGHNSFQISLEISYTGSRPASNM VIADVKMLSGFIPLKPTVKKLERLEHVSRTEVSNNNVLIYLDQVTNQTLAFSFIIQQDIP VRNLQPAIVKVYDYYETDEMAFAEYSSPCSTDKQNV >ENSMUSP00000101972.1 pep:known chromosome:GRCm38:7:126699773:126704220:-1 gene:ENSMUSG00000030707.15 transcript:ENSMUST00000106364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1a description:coronin, actin binding protein 1A [Source:MGI Symbol;Acc:MGI:1345961] MSRQVVRSSKFRHVFGQPAKADQCYEDVRVSQTTWDSGFCAVNPKFMALICEASGGGAFL VLPLGKTGRVDKNVPLVCGHTAPVLDIAWCPHNDNVIASGSEDCTVMVWEIPDGGLVLPL REPVITLEGHTKRVGIVAWHPTAQNVLLSAGCDNVILVWDVGTGAAVLTLGPDVHPDTIY SVDWSRDGALICTSCRDKRVRVIEPRKGTVVAEKDRPHEGTRPVHAVFVSEGKILTTGFS RMSERQVALWDTKHLEEPLSLQELDTSSGVLLPFFDPDTNIVYLCGKGDSSIRYFEITSE APFLHYLSMFSSKESQRGMGYMPKRGLEVNKCEIARFYKLHERKCEPIAMTVPRKSDLFQ EDLYPPTAGPDPALTAEEWLGGRDAGPLLISLKDGYVPPKSRELRVNRGLDSARRRATPE PSGTPSSDTVSRLEEDVRNLNAIVQKLQERLDRLEETVQAK >ENSMUSP00000032949.7 pep:known chromosome:GRCm38:7:126699773:126704816:-1 gene:ENSMUSG00000030707.15 transcript:ENSMUST00000032949.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1a description:coronin, actin binding protein 1A [Source:MGI Symbol;Acc:MGI:1345961] MSRQVVRSSKFRHVFGQPAKADQCYEDVRVSQTTWDSGFCAVNPKFMALICEASGGGAFL VLPLGKTGRVDKNVPLVCGHTAPVLDIAWCPHNDNVIASGSEDCTVMVWEIPDGGLVLPL REPVITLEGHTKRVGIVAWHPTAQNVLLSAGCDNVILVWDVGTGAAVLTLGPDVHPDTIY SVDWSRDGALICTSCRDKRVRVIEPRKGTVVAEKDRPHEGTRPVHAVFVSEGKILTTGFS RMSERQVALWDTKHLEEPLSLQELDTSSGVLLPFFDPDTNIVYLCGKGDSSIRYFEITSE APFLHYLSMFSSKESQRGMGYMPKRGLEVNKCEIARFYKLHERKCEPIAMTVPRKSDLFQ EDLYPPTAGPDPALTAEEWLGGRDAGPLLISLKDGYVPPKSRELRVNRGLDSARRRATPE PSGTPSSDTVSRLEEDVRNLNAIVQKLQERLDRLEETVQAK >ENSMUSP00000134123.1 pep:known chromosome:GRCm38:7:126699881:126703173:-1 gene:ENSMUSG00000030707.15 transcript:ENSMUST00000173108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1a description:coronin, actin binding protein 1A [Source:MGI Symbol;Acc:MGI:1345961] MSRQVVRSSKFRHVFGQPAKADQCYEDVRVSQTTWDSGFCAVNPKFMALICEASGGGAFL VLPLGKTGRVDKNVPLVCGHTAPVLDIAWCPHNDNVIASGSEDCTVMVWEIPDGGLVLPL REPVITLEGHTKRVGIVAWHPTAQNVLLSAGCDNVILVWDVGTGAAVLTLGPDVHPDTIY SVDWSRDGALICTSCRDKRVRVIEPRKGTVVAEKDRPHEGTRPVHAVFVSEGKILTTGFS RMSERQVALWDTKHLEEPLSLQELDTSSGVLLPFFDPDTNIVYLCGKGDSSIRYFEITSE APFLHYLSMFSSKESQRGMGYMPKRGLEVNKCEIARFYKLHERKCEPIAMTVPRKDTVSR LEEDVRNLNAIVQKLQERLDRLEETVQAK >ENSMUSP00000146177.1 pep:known chromosome:GRCm38:7:126700992:126703174:-1 gene:ENSMUSG00000030707.15 transcript:ENSMUST00000205515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1a description:coronin, actin binding protein 1A [Source:MGI Symbol;Acc:MGI:1345961] MSRQVVRSSKFRHVFGQPAKADQCYEDVRVSQTTWDSGFCAVNPKFMALICEASGGGAFL VLPLGKVWEIPDGGLVLPLREPVITLEGHTKRVGIVAWHPTAQNVLLSAGCDNVILVWDV GTGAAVLTLGPDVHPDTIYSVDWSRDGALICTSCRDKRVRVIEPRKGTVVAEKDRPHEGT RPVHAVFVSEGKILTTGFSRMSERQVALWDTKHLEEPLSLQELDTSSGVLLPFFDPDTNI VYLCGK >ENSMUSP00000117931.1 pep:known chromosome:GRCm38:7:126701347:126704754:-1 gene:ENSMUSG00000030707.15 transcript:ENSMUST00000131415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1a description:coronin, actin binding protein 1A [Source:MGI Symbol;Acc:MGI:1345961] MSRQVVRSSKFRHVFGQPAKADQCYEDVRVSQTTWDSGFCAVNPKFMALICEASGGGAFL VLPLGKTGRVDKNVPLVCGHTAPVLDIAWCPHNDNVIASGSEDCTVMVWEIPDGGLVLPL REPVITLEGHTKRVGIVAWHPTAQNVLLSAGCDNVILVWDVGTGAAVLTLGPDVHPDTIY SVDWSRDGALICTSCRDKRVRVIEPRKGTVVAEKDRPHEGTRPVHAVFVSEGK >ENSMUSP00000115960.1 pep:known chromosome:GRCm38:7:126701891:126704547:-1 gene:ENSMUSG00000030707.15 transcript:ENSMUST00000135087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1a description:coronin, actin binding protein 1A [Source:MGI Symbol;Acc:MGI:1345961] MSRQVVRSSKFRHVFGQPAKADQCYEDVRVSQTTWDSGFCAVNPKFMALICEASGGGAFL VLPLGKTGRVDKNVPLVCGHTAPVLDIAWCPHNDNVIASGSEDCTVMVWEIPDGGLV >ENSMUSP00000133555.1 pep:known chromosome:GRCm38:7:126701902:126707787:-1 gene:ENSMUSG00000030707.15 transcript:ENSMUST00000173116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1a description:coronin, actin binding protein 1A [Source:MGI Symbol;Acc:MGI:1345961] MSRQVVRSSKFRHVFGQPAKADQCYEDVRVSQTTWDSGFCAVNPKFMALICEASGGGAFL VLPLGKTGRVDKNVPLVCGHTAPVLDIAWCPHNDNVIASGSEDCTVMVWEIPD >ENSMUSP00000060026.6 pep:known chromosome:GRCm38:4:134537892:134552166:-1 gene:ENSMUSG00000050989.9 transcript:ENSMUST00000060435.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sepn1 description:selenoprotein N, 1 [Source:MGI Symbol;Acc:MGI:2151208] MGQARPAARRPHSPDPGAQPAPPRRRARALALLGALLAAAAAVAAARACALLADAQAAAR QESALKVLGTDGLFLFSSLDTDQDMYISPEEFKPIAEKLTGSVPVANYEEEELPHDPSEE TLTIEARFQPLLMETMTKSKDGFLGVSRLALSGLRNWTTAASPSAAFAARHFRPFLPPPG QELGQPWWIIPGELSVFTGYLSNNRFYPPPPKGKEVIIHRLLSMFHPRPFVKTRFAPQGT VACLTAISDSYYTVMFRIHAEFQLSEPPDFPFWFSPGQFTGHIILSKDATHIRDFRLFVP NHRSLNVDMEWLYGASETSNMEVDIGYVPQMELEAVGPSVPSVILDEDGNMIDSRLPSGE PLQFVFEEIKWHQELSWEEAARRLEVAMYPFKKVNYLPFTEAFDRARAEKKLVHSILLWG ALDDQSCUGSGRTLRETVLESPPILTLLNESFISTWSLVKELEDLQTQQENPLHRQLAGL HLEKYSFPVEMMICLPNGTVVHHINANYFLDITSMKPEDMENNNVFSFSSSFEDPSTATY MQFLREGLRRGLPLLQP >ENSMUSP00000139621.1 pep:known chromosome:GRCm38:Y:78212993:78239189:1 gene:ENSMUSG00000099792.1 transcript:ENSMUST00000185575.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29564 description:predicted gene 29564 [Source:MGI Symbol;Acc:MGI:5580270] MRRMSLKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEGDED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000123401.1 pep:known chromosome:GRCm38:1:172481788:172489593:1 gene:ENSMUSG00000037995.15 transcript:ENSMUST00000127052.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf9 description:immunoglobulin superfamily, member 9 [Source:MGI Symbol;Acc:MGI:2135283] MIWCLRLTVLSLIISQGADGRRKPEVVSVVGRAGESAVLGCDLLPPAGHPPLHVIEWLRF GFLLPIFIQFGLYSPRIDPDYVGRVRLQTGASLQIEGLRVEDQGWYECR >ENSMUSP00000116948.1 pep:known chromosome:GRCm38:1:172482199:172491641:1 gene:ENSMUSG00000037995.15 transcript:ENSMUST00000135267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf9 description:immunoglobulin superfamily, member 9 [Source:MGI Symbol;Acc:MGI:2135283] MIWCLRLTVLSLIISQGADGRVRLQTGASLQIEGLRVEDQGWYECRVLFLDQHSPEQDFA NGSWVHLTVNSPPQFQETPPLVLEVKELEAVTLRCVARGSPQPYVTWKFRGQDLGKGQGQ VQNGTLWIRRVERGSAGDYTCQASSSEGSITHATQLLVLGPPVIVVPP >ENSMUSP00000058275.6 pep:known chromosome:GRCm38:1:172482215:172498877:1 gene:ENSMUSG00000037995.15 transcript:ENSMUST00000052629.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf9 description:immunoglobulin superfamily, member 9 [Source:MGI Symbol;Acc:MGI:2135283] MIWCLRLTVLSLIISQGADGRRKPEVVSVVGRAGESAVLGCDLLPPAGHPPLHVIEWLRF GFLLPIFIQFGLYSPRIDPDYVGRVRLQTGASLQIEGLRVEDQGWYECRVLFLDQHSPEQ DFANGSWVHLTVNSPPQFQETPPLVLEVKELEAVTLRCVARGSPQPYVTWKFRGQDLGKG QGQVQVQNGTLWIRRVERGSAGDYTCQASSSEGSITHATQLLVLGPPVIVVPPSNSTVNS SQDVSLACRAEAYPANLTYSWFQDGVNVFHISRLQSRVRILVDGSLWLQATQPDDAGHYT CVPSNGFLHPPSASAYLTVLYPAQVTVMPPETPLPTGMRGVIRCPVRANPPLLFVTWTKD GQALQLDKFPGWSLGPEGSLIIALGNENALGEYSCTPYNSLGTAGPSPVTQVLLKAPPAF IDQPKEEYFQEVGRELLIPCSARGDPPPIVSWAKVGRGLQGQAQVDSNNSLVLRPLTKEA QGRWECSASNAVARVTTSTNVYVLGTSPHVVTNVSVVPLPKGANVSWEPGFDGGYLQRFS VWYTPLAKRPDRAHHDWVSLAVPIGATHLLVPGLQAHAQYQFSVLAQNKLGSGPFSEIVL SIPEGLPTTPAAPGLPPTEIPPPLSPPRGLVAVRTPRGVLLHWDPPELIPGRLDGYILEG RQGSQGWEILDQGVAGTEIQLLVPGLIKDVLYEFRLVAFADSYVSDPSNVANISTSGLEV YPSRTQLPGLLPQPVLAGVVGGVCFLGVAVLVSILAACLMNRRRAARRHRKRLRQDPPLI FSPRGKSGSHSAPGSGSPDSVTKFKLQGSPVPSLRQSLLWGEPARPPSPHPDSPLGRGPL PLEPICRGPDGRFVMGPTVAPSQEKLCLERSEPRTSAKRLAQSFDCSSSSPSGVPQPLCI TDISPVGQPLAAVPSPLPGPGPLLQYLSLPFFREMNVDGDWPPLEEPTPAPPPDFMDSQP CPTSSFLPPPDSPPANLRAVLPGTLMGVGVSSEPPYTALADWTLRERVLPGLLSAAPRGS LTSQSSGRGSASFLRPPSTAPSAGGSYLSPAPGDTSSWASGPERWPRREHVVTVSKRRNT SVDENYEWDSEFPGDMELLETWHPGLASSRTHPELEPELGVKTPEESCLLNPTHAAGPEA RCAALREEFLAFRRRRDATRARLPAYQQSISYPEQATLL >ENSMUSP00000117854.1 pep:known chromosome:GRCm38:1:172482231:172498877:1 gene:ENSMUSG00000037995.15 transcript:ENSMUST00000127482.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Igsf9 description:immunoglobulin superfamily, member 9 [Source:MGI Symbol;Acc:MGI:2135283] MIWCLRLTVLSLIISQGADGRRKPEVVSVVGRAGESAVLGCDLLPPAGHPPLHVIEWLRF GFLLPIFIQFGLYSPRIDPDYVGRVRLQTGASLQIEGLRVEDQGWYECRVLFLDQHSPEQ DFANGSWVHLTVNSPPQFQETPPLVLEVKELEAVTLRCVARGSPQPYVTWKFRGQDLGKG QGQVQVQNGTLWIRRVERGSAGDYTCQASSSEGSITHATQLLVLGPPVIVVPPSNSTVNS SQDVSLACRAEAYPANLTYSWFQDGVNVFHISRLQSRVRILVDGSLWLQATQPDDAGHYT CVPSNGFLHPPSASAYLTVLYPAQVTVMPPETPLPTGMRGVIRCPVRANPPLLFVTWTKD GQALQLDKFPGWSLGPEGSLIIALGNENALGEYSCTPYNSLGTAGPSPVTQVLLKAPPAF IDQPKEEYFQEVGRELLIPCSARGDPPPIVSWAKVGRGLQGQAQVDSNNSLVLRPLTKEA QGRWECSASNAVARVTTSTNVYVLEPSVLTEPTMTGYLWLCLSGLHTS >ENSMUSP00000106866.2 pep:known chromosome:GRCm38:1:172482314:172498878:1 gene:ENSMUSG00000037995.15 transcript:ENSMUST00000111235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf9 description:immunoglobulin superfamily, member 9 [Source:MGI Symbol;Acc:MGI:2135283] MIWCLRLTVLSLIISQGADGRRKPEVVSVVGRAGESAVLGCDLLPPAGHPPLHVIEWLRF GFLLPIFIQFGLYSPRIDPDYVGRVRLQTGASLQIEGLRVEDQGWYECRVLFLDQHSPEQ DFANGSWVHLTVNSPPQFQETPPLVLEVKELEAVTLRCVARGSPQPYVTWKFRGQDLGKG QGQVQVQNGTLWIRRVERGSAGDYTCQASSSEGSITHATQLLVLGPPVIVVPPSNSTVNS SQDVSLACRAEAYPANLTYSWFQDGVNVFHISRLQSRVRILVDGSLWLQATQPDDAGHYT CVPSNGFLHPPSASAYLTVLYPAQVTVMPPETPLPTGMRGVIRCPVRANPPLLFVTWTKD GQALQLDKFPGWSLGPEGSLIIALGNENALGEYSCTPYNSLGTAGPSPVTQVLLKAPPAF IDQPKEEYFQEVGRELLIPCSARGDPPPIVSWAKVGRGLQGQAQVDSNNSLVLRPLTKEA QGRWECSASNAVARVTTSTNVYVLGTSPHVVTNVSVVPLPKGANVSWEPGFDGGYLQRFS VWYTPLAKRPDRAHHDWVSLAVPIGATHLLVPGLQAHAQYQFSVLAQNKLGSGPFSEIVL SIPEGLPTTPAAPGLPPTEIPPPLSPPRGLVAVRTPRGVLLHWDPPELIPGRLDGYILEG RQGSQGWEILDQGVAGTEIQLLVPGLIKDVLYEFRLVAFADSYVSDPSNVANISTSGLEV YPSRTQLPGLLPQPVLAGVVGGVCFLGVAVLVSILAACLMNRRRAARRHRKRLRQDPPLI FSPRGKSGSHSAPGSGSPDSVTKFKLQGSPVPSLRQSLLWGEPARPPSPHPDSPLGRGPL PLEPICRGPDGRFVMGPTVAPSQEKLCLERSEPRTSAKRLAQSFDCSSSSPSGVPQPLCI TDISPVGQPLAAVPSPLPGPGPLLQYLSLPFFREMNVDGDWPPLEEPTPAPPPDFMDSQP CPTSSFLPPPDSPPANLRAVLPGTLMGVGVSSEPPYTALADWTLRERVLPGLLSAAPRGS LTSQSSGRGSASFLRPPSTAPSAGGSYLSPAPGDTSSWASGPERWPRREHVVTVSKRRNT SVDENYEWDSEFPGDMELLETWHPGLASSRTHPELEPELGVKTPEESCLLNPTHAAGPEA RCAALREEFLAFRRRRDATRARLPAYQQSISYPEQATLL >ENSMUSP00000142072.1 pep:known chromosome:GRCm38:1:172491964:172494861:1 gene:ENSMUSG00000037995.15 transcript:ENSMUST00000193620.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf9 description:immunoglobulin superfamily, member 9 [Source:MGI Symbol;Acc:MGI:2135283] XGFLHPPSASAYLTVLYPAQVTVMPPETPLPTGMRGVIRCPVRANPPLLFVTWTKDGQAL QLDKFPGWSLGPEGSLIIALGNENALGEYSCTPYNSLGTAGPSPVTQVLLKAPPAFIDQP KEEYFQEVGRELLIPCSARGDPPPIVSWAKEAQGRWECSASNAVARVTTSTNVYVLGTSP HVVTNVSVVPLPKGANVSWEPGFDGGYLQRFSV >ENSMUSP00000102865.2 pep:known chromosome:GRCm38:4:82290173:82505770:-1 gene:ENSMUSG00000008575.17 transcript:ENSMUST00000107245.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfib description:nuclear factor I/B [Source:MGI Symbol;Acc:MGI:103188] MYSPICLTQDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDEL LSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFLA YYVQEQDSGQSGSPSHSDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFPI GEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPNSPAAGSR TWHERDQDMSSPTTMKKPEKPLFSSTSPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTPP PPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQPNSSGQ VVGKVPGHFTPVLAPSPHPSAVRPVTLTMTDTKPITTSTEAYTASGTSQANRYVGLSPRD PSFLHQQQSWYLG >ENSMUSP00000102869.1 pep:known chromosome:GRCm38:4:82296048:82505707:-1 gene:ENSMUSG00000008575.17 transcript:ENSMUST00000107248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfib description:nuclear factor I/B [Source:MGI Symbol;Acc:MGI:103188] MYSPICLTQDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDEL LSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFLA YYVQEQDSGQSGSPSHSDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFPI GEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPNSPAAGSR TWHERDQDMSSPTTMKKPEKPLFSSTSPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTPP PPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQPNSSGQ VVGKVPGHFTPVLAPSPHPSAVRPVTLTMTDTKPITTSTEGEAASPTATTYTASGTSQAN RYVGLSPRDPSFLHQQQLRICDWTMNQNGRHLYPSTSEDTLGITWQSPGTWASLVPFQVS NRTPILPANVQNYGLNIIGEPFLQAETSN >ENSMUSP00000102868.1 pep:known chromosome:GRCm38:4:82296048:82505707:-1 gene:ENSMUSG00000008575.17 transcript:ENSMUST00000107247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfib description:nuclear factor I/B [Source:MGI Symbol;Acc:MGI:103188] MYSPICLTQDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDEL LSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFLA YYVQEQDSGQSGSPSHSDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFPI GEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPNSPAAGSR TWHERDQDMSSPTTMKKPEKPLFSSTSPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTPP PPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQPNSSGQ VVGKVPGHFTPVLAPSPHPSAVRPVTLTMTDTKPITTSTEAYTASGTSQANRYVGLSPRD PSFLHQQQLRICDWTMNQNGRHLYPSTSEDTLGITWQSPGTWASLVPFQVSNRTPILPAN VQNYGLNIIGEPFLQAETSN >ENSMUSP00000102866.1 pep:known chromosome:GRCm38:4:82296081:82505770:-1 gene:ENSMUSG00000008575.17 transcript:ENSMUST00000107246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfib description:nuclear factor I/B [Source:MGI Symbol;Acc:MGI:103188] MYSPICLTQDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDEL LSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDCL RQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFLA YYVQEQDSGQSGSPSHSDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFPI GEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPNSPAAGSR TWHERDQDMSSPTTMKKPEKPLFSSTSPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTPP PPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQLRICDW TMNQNGRHLYPSTSEDTLGITWQSPGTWASLVPFQVSNRTPILPANVQNYGLNIIGEPFL QAETSN >ENSMUSP00000123169.1 pep:known chromosome:GRCm38:4:82498321:82705750:-1 gene:ENSMUSG00000008575.17 transcript:ENSMUST00000155821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfib description:nuclear factor I/B [Source:MGI Symbol;Acc:MGI:103188] MAGKTSDSSIKWQLCYDMSARTWWMDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKH EKRMSKDEERAVKDELLSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCV LSNPDQKGKIRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNP >ENSMUSP00000052863.8 pep:known chromosome:GRCm38:4:82290173:82505308:-1 gene:ENSMUSG00000008575.17 transcript:ENSMUST00000050872.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfib description:nuclear factor I/B [Source:MGI Symbol;Acc:MGI:103188] MMYSPICLTQDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDE LLSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDC LRQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFL AYYVQEQDSGQSGSPSHSDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFP IGEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPNSPAAGS RTWHERDQDMSSPTTMKKPEKPLFSSTSPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTP PPPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQPNSSG QVVGKVPGHFTPVLAPSPHPSAVRPVTLTMTDTKPITTSTEGEAASPTATTYTASGTSQA NRYVGLSPRDPSFLHQQQLRICDWTMNQNGRHLYPSTSEDTLGITWQSPGTWASLVPFQV SNRTPILPANVQNYGLNIIGEPFLQAETSN >ENSMUSP00000067629.2 pep:known chromosome:GRCm38:4:82290176:82505308:-1 gene:ENSMUSG00000008575.17 transcript:ENSMUST00000064770.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfib description:nuclear factor I/B [Source:MGI Symbol;Acc:MGI:103188] MMYSPICLTQDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDE LLSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDC LRQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFL AYYVQEQDSGQSGSPSHSDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFP IGEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPNSPAAGS RTWHERDQDMSSPTTMKKPEKPLFSSTSPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTP PPPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQSWYLG >ENSMUSP00000020496.7 pep:known chromosome:GRCm38:10:77290726:77418265:-1 gene:ENSMUSG00000020262.15 transcript:ENSMUST00000020496.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adarb1 description:adenosine deaminase, RNA-specific, B1 [Source:MGI Symbol;Acc:MGI:891999] MDIEDEENMSSSSTDIKENRNLDNMPPKDSSTPGPGEGIPLSNGGGGSTSRKRPLEEGSN GHSKYRLKKRRKTPGPVLPKNALMQLNEIKPGLQYMLLSQTGPVHAPLFVMSVEVNGQVF EGSGPTKKKAKLHAAEKALRSFVQFPNASEAHLAMGRTLSVNTDFTSDQADFPDTLFNGF ETPDKSEPPFYVGSNGDDSFSSSGDVSLSASPVPASLTQPPLPIPPPFPPPSGKNPVMIL NELRPGLKYDFLSESGESHAKSFVMSVVVDGQFFEGSGRNKKLAKARAAQSALATVFNLH LDQTPSRQPVLSEGLQLHLPQVLADAVSRLVLGKFSDLTDNFSSPHARRKVLSGVVMTTG TDVKDAKVISVSTGTKCINGEYMSDRGLALNDCHAEIISRRSLLRFLYAQLELYLNNKED QKKSIFQKSERGGFRLKDTVQFHLYISTSPCGDARIFSPHEPVLEEPADRHPNRKARGQL RTKIESGEGTIPVRSNASIQTWDGVLQGERLLTMSCSDKIARWNVVGIQGSLLSIFVEPI YFSSIILGSLYHGDHLSRAMYQRISNIEDLPPLYTLNKPLLSGISNAEARQPGKAPNFSV NWTVGDATIEVINATTGKDELGRPSRLCKHALYCRWMRVHGKVPPHLLRTKITKPTTYHE SKLAAREYQAAKARLFTAFIKAGLGAWVEKPTEQDQFSFTP >ENSMUSP00000095976.2 pep:known chromosome:GRCm38:10:77290726:77418270:-1 gene:ENSMUSG00000020262.15 transcript:ENSMUST00000098374.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adarb1 description:adenosine deaminase, RNA-specific, B1 [Source:MGI Symbol;Acc:MGI:891999] MDIEDEENMSSSSTDIKENRNLDNMPPKDSSTPGPGEGIPLSNGGGGSTSRKRPLEEGSN GHSKYRLKKRRKTPGPVLPKNALMQLNEIKPGLQYMLLSQTGPVHAPLFVMSVEVNGQVF EGSGPTKKKAKLHAAEKALRSFVQFPNASEAHLAMGRTLSVNTDFTSDQADFPDTLFNGF ETPDKSEPPFYVGSNGDDSFSSSGDVSLSASPVPASLTQPPLPIPPPFPPPSGKNPVMIL NELRPGLKYDFLSESGESHAKSFVMSVVVDGQFFEGSGRNKKLAKARAAQSALATVFNLH LDQTPSRQPVLSEGLQLHLPQVLADAVSRLVLGKFSDLTDNFSSPHARRKVLSGVVMTTG TDVKDAKVISVSTGTKCINGEYMSDRGLALNDCHAEIISRRSLLRFLYAQLELYLNNKED QKKSIFQKSERGGFRLKDTVQFHLYISTSPCGDARIFSPHEPVLEGMTPDSHQLTEPADR HPNRKARGQLRTKIESGEGTIPVRSNASIQTWDGVLQGERLLTMSCSDKIARWNVVGIQG SLLSIFVEPIYFSSIILGSLYHGDHLSRAMYQRISNIEDLPPLYTLNKPLLSGISNAEAR QPGKAPNFSVNWTVGDATIEVINATTGKDELGRPSRLCKHALYCRWMRVHGKVPPHLLRT KITKPTTYHESKLAAREYQAAKARLFTAFIKAGLGAWVEKPTEQDQFSFTP >ENSMUSP00000101044.2 pep:known chromosome:GRCm38:10:77290727:77418227:-1 gene:ENSMUSG00000020262.15 transcript:ENSMUST00000105404.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adarb1 description:adenosine deaminase, RNA-specific, B1 [Source:MGI Symbol;Acc:MGI:891999] MDIEDEENMRSCNEGVVSYSFWAPQVPAALILKKTAIWTTCPPRTAAHLGLARVFRSPTG VVVAPAGSGPWRRAAMVTPSTA >ENSMUSP00000122102.1 pep:known chromosome:GRCm38:10:77290727:77418270:-1 gene:ENSMUSG00000020262.15 transcript:ENSMUST00000144547.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adarb1 description:adenosine deaminase, RNA-specific, B1 [Source:MGI Symbol;Acc:MGI:891999] MDIEDEENMRSCNEGVVSYSFWAPQVPAALILKKTAIWTTCPPRTAAHLGLARVFRSPTG VVVAPAGSGPWRRAAMVTPSTA >ENSMUSP00000101046.1 pep:known chromosome:GRCm38:10:77290728:77418270:-1 gene:ENSMUSG00000020262.15 transcript:ENSMUST00000105406.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adarb1 description:adenosine deaminase, RNA-specific, B1 [Source:MGI Symbol;Acc:MGI:891999] MDIEDEENMSSSSTDIKENRNLDNMPPKDSSTPGPGEGIPLSNGGGGSTSRKRPLEEGSN GHSKYRLKKRRKTPGPVLPKNALMQLNEIKPGLQYMLLSQTGPVHAPLFVMSVEVNGQVF EGSGPTKKKAKLHAAEKALRSFVQFPNASEAHLAMGRTLSVNTDFTSDQADFPDTLFNGF ETPDKSEPPFYVGSNGDDSFSSSGDVSLSASPVPASLTQPPLPIPPPFPPPSGKNPVMIL NELRPGLKYDFLSESGESHAKSFVMSVVVDGQFFEGSGRNKKLAKARAAQSALATVFNLH LDQTPSRQPVLSEGLQLHLPQVLADAVSRLVLGKFSDLTDNFSSPHARRKVLSGVVMTTG TDVKDAKVISVSTGTKCINGEYMSDRGLALNDCHAEIISRRSLLRFLYAQLELYLNNKED QKKSIFQKSERGGFRLKDTVQFHLYISTSPCGDARIFSPHEPVLEGMTPDSHQLTEPADR HPNRKARGQLRTKIESGEGTIPVRSNASIQTWDGVLQGERLLTMSCSDKIARWNVVGIQG SLLSIFVEPIYFSSIILGSLYHGDHLSRAMYQRISNIEDLPPLYTLNKPLLSGISNAEAR QPGKAPNFSVNWTVGDATIEVINATTGKDELGRPSRLCKHALYCRWMRVHGKVPPHLLRT KITKPTTYHESKLAAREYQAAKARLFTAFIKAGLGAWVEKPTEQDQFSFTP >ENSMUSP00000114608.1 pep:known chromosome:GRCm38:10:77290727:77418227:-1 gene:ENSMUSG00000020262.15 transcript:ENSMUST00000126073.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adarb1 description:adenosine deaminase, RNA-specific, B1 [Source:MGI Symbol;Acc:MGI:891999] MDIEDEENMTTYLEFSSLIIIMGPSFLFQKHASRFQQH >ENSMUSP00000101713.2 pep:known chromosome:GRCm38:11:121356125:121388251:-1 gene:ENSMUSG00000025170.13 transcript:ENSMUST00000106107.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab40b description:Rab40B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2183451] MMSSLGSPVRAYDFLLKFLLVGDSDVGKGEILASLQDGAAESPYGHPAGIDHKTTTILLD GRRVKLQLWDTSGQGRFCTIFRSYSRGAQGVVLVYDIANRWSFDGINRWIKEIDEHAPGV PKILVGNRLHLAFKRQVPTEQAQAYAERLGVTFFEVSPLCNFNITESFTELARIVLLRHG MDRLWRPSKVLSLQELCCRAVVSCTPGHLVDKLPLPVALRSHLKSFSMASGLNTRMMHGR SYSLTANSSHKRNSFRKVRTIRPPQSPPRNCARNSCKIS >ENSMUSP00000114418.1 pep:known chromosome:GRCm38:4:154056253:154097173:-1 gene:ENSMUSG00000029026.16 transcript:ENSMUST00000133533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp73 description:transformation related protein 73 [Source:MGI Symbol;Acc:MGI:1336991] MLYVGDPMRHLATAQFNLLSSAMDQMGSRAAPASPYTPEHAASAPTHSPYAQPSSTFDTM SPAPVIPSNTDYPGPHHFEVTFQQSSTAKSATWTYSPLLKKLYCQIAKTCPIQIKVSTPP PPGTAIRAMPVYKKAEHVTDIVKRCPNHELGRDFNEGQSAPASHLIRVEGNNLAQYVDDP VTGRQSVVVPYEPPQVGTEFTTILYNFMCNSSCVGGMNRRPILVIITLETRDGQVLGRRS FEGRICACPGRDRKADEDHYREQQALNESTTKNGAASKRAFKQSPPAIPALGTNVKKRRH GDEDMFYMHVRGRENFEILMKVKESLELMELVPQPLVDSYRQQQQQQLLQRPSHLQPPSY GPVLSPMNKVHGGVNKLPSVNQLVGQPPPHSSAAGPNLGPMGSGMLNSHGHSMPANGEMN GGHSSQTMVSGSHCTPPPPYHADPSLVSFLTGLGCPNCIECFTSQGLQSIYHLQNLTIED LGALKVPDQYRMTIWRGLQDLKQSHDCGQQLLRSSSNAATISIGGSGELQRQRVMEAVHF RVRHTITIPNRGGAGAVTGPDEWADFGFDLPDCKSRKQPIKEEFTETESH >ENSMUSP00000101269.2 pep:known chromosome:GRCm38:4:154056253:154140208:-1 gene:ENSMUSG00000029026.16 transcript:ENSMUST00000105644.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp73 description:transformation related protein 73 [Source:MGI Symbol;Acc:MGI:1336991] MSGSVGEMAQTSSSSSSTFEHLWSSLEPDSTYFDLPQPSQGTSEASGSEESNMDVFHLQG MAQFNLLSSAMDQMGSRAAPASPYTPEHAASAPTHSPYAQPSSTFDTMSPAPVIPSNTDY PGPHHFEVTFQQSSTAKSATWTYSPLLKKLYCQIAKTCPIQIKVSTPPPPGTAIRAMPVY KKAEHVTDIVKRCPNHELGRDFNEGQSAPASHLIRVEGNNLAQYVDDPVTGRQSVVVPYE PPQVGTEFTTILYNFMCNSSCVGGMNRRPILVIITLETRDGQVLGRRSFEGRICACPGRD RKADEDHYREQQALNESTTKNGAASKRAFKQSPPAIPALGTNVKKRRHGDEDMFYMHVRG RENFEILMKVKESLELMELVPQPLVDSYRQQQQQQLLQRPSHLQPPSYGPVLSPMNKVHG GVNKLPSVNQLVGQPPPHSSAAGPNLGPMGSGMLNSHGHSMPANGEMNGGHSSQTMVSGS HCTPPPPYHADPSLVSFLTGLGCPNCIECFTSQGLQSIYHLQNLTIEDLGALKVPDQYRM TIWRGLQDLKQSHDCGQQLLRSSSNAATISIGGSGELQRQRVMEAVHFRVRHTITIPNRG GAGAVTGPDEWADFGFDLPDCKSRKQPIKEEFTETESH >ENSMUSP00000095368.4 pep:known chromosome:GRCm38:4:154058512:154097173:-1 gene:ENSMUSG00000029026.16 transcript:ENSMUST00000097762.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp73 description:transformation related protein 73 [Source:MGI Symbol;Acc:MGI:1336991] MLYVGDPMRHLATAQFNLLSSAMDQMGSRAAPASPYTPEHAASAPTHSPYAQPSSTFDTM SPAPVIPSNTDYPGPHHFEVTFQQSSTAKSATWTYSPLLKKLYCQIAKTCPIQIKVSTPP PPGTAIRAMPVYKKAEHVTDIVKRCPNHELGRDFNEGQSAPASHLIRVEGNNLAQYVDDP VTGRQSVVVPYEPPQVGTEFTTILYNFMCNSSCVGGMNRRPILVIITLETRDGQVLGRRS FEGRICACPGRDRKADEDHYREQQALNESTTKNGAASKRAFKQSPPAIPALGTNVKKRRH GDEDMFYMHVRGRENFEILMKVKESLELMELVPQPLVDSYRQQQQQQLLQRPFLTGLGCP NCIECFTSQGLQSIYHLQNLTIEDLGALKVPDQYRMTIWRGLQDLKQSHDCGQQLLRSSS NAATISIGGSGELQRQRVMEAVHFRVRHTITIPNRGGAGAVTGPDEWADFGFDLPDCKSR KQPIKEEFTETESH >ENSMUSP00000101268.1 pep:known chromosome:GRCm38:4:154058989:154097167:-1 gene:ENSMUSG00000029026.16 transcript:ENSMUST00000105643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp73 description:transformation related protein 73 [Source:MGI Symbol;Acc:MGI:1336991] MLYVGDPMRHLATAQFNLLSSAMDQMGSRAAPASPYTPEHAASAPTHSPYAQPSSTFDTM SPAPVIPSNTDYPGPHHFEVTFQQSSTAKSATWTYSPLLKKLYCQIAKTCPIQIKVSTPP PPGTAIRAMPVYKKAEHVTDIVKRCPNHELGRDFNEGQSAPASHLIRVEGNNLAQYVDDP VTGRQSVVVPYEPPQVGTEFTTILYNFMCNSSCVGGMNRRPILVIITLETRDGQVLGRRS FEGRICACPGRDRKADEDHYREQQALNESTTKNGAASKRAFKQSPPAIPALGTNVKKRRH GDEDMFYMHVRGRENFEILMKVKESLELMELVPQPLVDSYRQQQQQQLLQRPSHLQPPSY GPVLSPMNKVHGGVNKLPSVNQLVGQPPPHSSAAGPNLGPMGSGMLNSHGHSMPANGEMN GGHSSQTMVSGSHCTPPPPYHADPSLVRTLGL >ENSMUSP00000134196.1 pep:known chromosome:GRCm38:4:154058989:154097167:-1 gene:ENSMUSG00000029026.16 transcript:ENSMUST00000097763.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trp73 description:transformation related protein 73 [Source:MGI Symbol;Acc:MGI:1336991] MLYVGDPMRHLATAQFNLLSSAMDQMGSRAAPASPYTPEHAASAPTHSPYAQPSSTFDTM SPAPVIPSNTDYPGPHHFEVTFQQSSTAKSATWTYSPLLKKLYCQIAKTCPIQIKVSTPP PPGTAIRAMPVYKKAEHVTDIVKRCPNHELGRDFNEGQSAPASHLIRVEGNNLAQYVDDP VTGRQSVVVPYEPPQVGTEFTTILYNFMCNSSCVGGMNRRPILVIITLETRDGQVLGRRS FEGRICACPGRDRKADEDHYREQQALNESTTKNGAASKRAFKQSPPAIPALGTNVKKRRH GDEDMFYMHVRGRENFEILMKVKESLELMELVPQPLVDSYRQQQQQQLLQRPLRDAQQPR PQHAGQW >ENSMUSP00000135281.1 pep:known chromosome:GRCm38:4:154064445:154085322:-1 gene:ENSMUSG00000029026.16 transcript:ENSMUST00000155642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp73 description:transformation related protein 73 [Source:MGI Symbol;Acc:MGI:1336991] MDQMGSRAAPASPYTPEHAASAPTHSPYAQPSSTFDTMSPAPVIPSNTDYPGPHHFEVTF QQSSTAKSATWTYSPLLKKLYCQIAKTCPIQIKVSTPPPPGTAIRAMPVYKKAEHVTDIV KRCPNHELGRDFNEGQSAPASHLIRVEGNNLAQYVDDPVTGRQSVVVPYEPPQVGTEFTT ILYNFMCNSSCVGGMNRRPILVIITLETRDGQV >ENSMUSP00000114736.1 pep:known chromosome:GRCm38:4:154067698:154116605:-1 gene:ENSMUSG00000029026.16 transcript:ENSMUST00000139634.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp73 description:transformation related protein 73 [Source:MGI Symbol;Acc:MGI:1336991] MSSPRQLDAAATALPLQATTGPPAPLPKSMDPPRAQAQPRMSGSVGEMAQTSSSSSSTFE HLWSSLEPDSTYFDLPQPSQGTSEASGSEESNMDVFHLQGMAQFNLLSSAMDQMGSRAAP ASPYTPEHAASAPTHSPYAQPSSTFDTMSPAPVIPSNTDYPGPHHFEVTFQQSSTAKSAT WTYSPLLKKLYCQIAKTCPIQIKV >ENSMUSP00000045832.7 pep:known chromosome:GRCm38:11:101582242:101591788:1 gene:ENSMUSG00000034947.13 transcript:ENSMUST00000039581.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem106a description:transmembrane protein 106A [Source:MGI Symbol;Acc:MGI:1922056] MGKAVSQLTSRKDEDKPILPDNPAMASQAANYFSTGSSKPAHSCMPYEKAASSSFVTCPT CQGNGEIPQEQEKQLVALIPYGDQRLKPRRTKLFVFLSVAICLLIFSLTIFFLYPRPIAV RPVGLNSSTVTFEDAHVQLNTTNVLNIFNSNFYPITVTQLTAEVLHQASVVGQVTSSLRL HIGPLASEQMPYEVASRILDENTYKICTWPKIRVHHILLNIQGSLTCSFLSHPQQLPFES FEYVDCRENMSLPHLELPRPA >ENSMUSP00000097971.2 pep:known chromosome:GRCm38:11:101582242:101591788:1 gene:ENSMUSG00000034947.13 transcript:ENSMUST00000100403.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem106a description:transmembrane protein 106A [Source:MGI Symbol;Acc:MGI:1922056] MGKAVSQLTSRKDEDKPILPDNPAMASQAANYFSTGSSKPAHSCMPYEKAASSSFVTCPT CQGNGEIPQEQEKQLVALIPYGDQRLKPRRTKLFVFLSVAICLLIFSLTIFFLYPRPIAV RPVGLNSSTVTFEDAHVQLNTTNVLNIFNSNFYPITVTQLTAEVLHQASVVGQVTSSLRL HIGPLASEQMPYEVASRILDENTYKICTWPKIRVHHILLNIQGSLTCSFLSHPQQLPFES FEYVDCRENMSLPHLELPRPA >ENSMUSP00000102812.1 pep:known chromosome:GRCm38:11:101582257:101588940:1 gene:ENSMUSG00000034947.13 transcript:ENSMUST00000107194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem106a description:transmembrane protein 106A [Source:MGI Symbol;Acc:MGI:1922056] MGKAVSQLTSRKDEDKPILPDNPAMASQAANYFSTGSSKPAHSCMPYEKAASSSFVTCPT CQGNGEIPQEQEKQLVALIPYGDQRLKPRRTKLFVFLSVAICLLIFSLTIFFLYPRPIAV RPVGLNSSTVTFEDAHVQLNTTNVLNIFNSNFYPITVTQLTAEVLHQASVV >ENSMUSP00000122218.1 pep:known chromosome:GRCm38:11:101582300:101588895:1 gene:ENSMUSG00000034947.13 transcript:ENSMUST00000128614.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem106a description:transmembrane protein 106A [Source:MGI Symbol;Acc:MGI:1922056] MGKAVSQLTSRKDEDKPILPDNPAMASQAANYFSTGSSKPAHSCMPYEKAASSSFVTCPT CQGNGEIPQEQEKQLVALIPYGDQRLKPRRTKLFVFLSVAICLLIFSLTIFFLYPRPIAV RPVGLNSSTVTFEDAHVQLNTTNVLNIFNSNFYPIT >ENSMUSP00000142590.1 pep:known chromosome:GRCm38:3:98160630:98162071:1 gene:ENSMUSG00000043468.4 transcript:ENSMUST00000198363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam30 description:a disintegrin and metallopeptidase domain 30 [Source:MGI Symbol;Acc:MGI:1918328] MGGLRGVLNIDSRYYQIEPLRASSSFEHVVYLVTEDNFNNETCGVVDEETGGQTAEEEEM ARISSFHQSYKHQRYLELVMVFDLDRFVFARGNMSRMVDDAILLASIADTYFQDVSLKIQ LKALEVWTYYNRIRLYYPSLSEVLGQFVHYKRNSMHHRLPADWFHLYIARRYIDANAWSW GRACEEFHAGSASSFLNVNILGPATWTAHELGHCVGMIHDEEFCQCRGRKSCIMGTGRTG FSNCSYHQYFLHASYKMSYCLSDFPKLHIVERCGNKIV >ENSMUSP00000060505.3 pep:known chromosome:GRCm38:3:98160660:98164169:1 gene:ENSMUSG00000043468.4 transcript:ENSMUST00000050342.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam30 description:a disintegrin and metallopeptidase domain 30 [Source:MGI Symbol;Acc:MGI:1918328] MRSVWAFLPQHRWLFLTMLFHEAVGEEDLLFDPDWGFDSYEITIPKVISFKKGAQGVDSS LSYLLQIEGKARVVHLRPKKLLLPRHLPVFSFTQEGSLIEDYPHIPDQCNYVGSVEGSQE SESTLSTCMGGLRGVLNIDSRYYQIEPLRASSSFEHVVYLVTEDNFNNETCGVVDEETGG QTAEEEEMARISSFHQSYKHQRYLELVMVFDLDRFVFARGNMSRMVDDAILLASIADTYF QDVSLKIQLKALEVWTYYNRIRLYYPSLSEVLGQFVHYKRNSMHHRLPADWFHLYIARRY IDANAWSWGRACEEFHAGSASSFLNVNILGPATWTAHELGHCVGMIHDEEFCQCRGRKSC IMGTGRTGFSNCSYHQYFLHASYKMSYCLSDFPKLHIVERCGNKIVENKEECDCGSKEDC KKDLCCGPDCKWKEGVNCSSGLCCHKCNFLPSGYVCRKEVNECDLEEYCDGTSGVCPDDS YKQDGTPCRNGGFCFRKGCRSRYLQCQSIFGRKAKEAPHQCYEAVNMLGDQSGNCGIVNA TRYTRCHRGNTICGRLQCINVKALSKFPDHTIILSTYLRGDNLICWGTSYHGTMIPHGVP DIGDISDGTSCGRNRVCLNRTCVDYTNLKFDCLPDKCNSRGVCNNRKNCHCSYGWEPPFC VEVGYGGSLDSGPPGPRIEVLSTTSALYMLRTILFLVSMIVVCLGQLIRNLFRNRRRNLQ QKNPAETQGKKR >ENSMUSP00000029545.8 pep:known chromosome:GRCm38:3:90254163:90264125:1 gene:ENSMUSG00000027936.18 transcript:ENSMUST00000029545.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crtc2 description:CREB regulated transcription coactivator 2 [Source:MGI Symbol;Acc:MGI:1921593] MATSGANGPGSATASASNPRKFSEKIALQKQRQAEETAAFEEVMMDIGSTRLQAQKLRLA YTRSSHYGGSLPNVNQIGCGLAEFQSPLHSPLDSSRSTRHHGLVERVQRDARRMVSPLRR YPRHIDSSPYSPAYLSPPPESGWRRMMPWGNLPAEKGQLFRLPSALNRTSSDSALHTSVM NPNPQDTYPGPTPPSVLPSRRGGGFLDGEMDAKVPAIEENVVDDKHLLKPWDAKKLSSSS SRPRSCEVPGINIFPSPDQPANVPVLPPAMNTGGSLPDLTNLHFPPPLPTPLDPEETVYP SLSGGNSTTNLTHTMTHLGISGGLGLGPSYDVPGLHSPLSHPSLQSSLSNPNLQASLSSP QPQLQGSHSHPSLPASSLAHHALPTTSLGHPSLSAPALSSSSSSSSTSSPVLSAPPYPAS TPGASPRHRRVPLSPLSLPAGPADARRSQQQLPKQFSPTMSPTLSSITQGVPLDTSKLPT DQRLPPYPYSPPSLVIPTHPPTPKSLQQLPSQACLVQPSGGQPPGRQPHYGALYPPGSSG HGQQPYHRPINDFSLGNLEQFNMESPSTSLVLDPPAFSEGPGFLGSEGSMSGPQDPHVLN HQNLTHCSRHGSGPNIILTGDSSPGFSKEIAAALAGVPGFEVSASGLELGLGLEDELRME PLGLEGLTMLSDPCALLPDPAVEDSFRSDRLQ >ENSMUSP00000139194.1 pep:known chromosome:GRCm38:3:90257295:90264120:1 gene:ENSMUSG00000027936.18 transcript:ENSMUST00000184882.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crtc2 description:CREB regulated transcription coactivator 2 [Source:MGI Symbol;Acc:MGI:1921593] SPLHSPLDSSRSTRHHGLVERVQRDARRMVSPLRRYPRHIDSSPYSPAYLSPPPESGWRR MMPWGNLPAEKGQLFRLPSALNRTSSDSALHTSVMNPNPQDTYPGPTPPSVLPSRRGGGF LDGEMDAKVPAIEENVVDDKHLLKPWDAKKLSSSSSRPRSCEVPGINIFPSPDQPANVPV LPPAMNTGGSLPDLTNLHFPPPLPTPLDPEETVYPSLSGGNSTTNLTHTMTHLGISGGLG LGPSYDVPGLHSPLSHPSLQSSLSNPNLQASLSSPQPQLQGSHSHPSLPASSLAHHALPT TSLGHPSLSAPALSSSSSSSSTSSPVLSAPPYPASTPGASPRHRRVPLSPLSLPAGPADA RRSQQQLPKQFSPTMSPTLSSITQGVPLDTSKLPTDQRLPPYPYSPPSLVIPTHPPTPKS LQQLPSQACLVQPSGGQPPGRQPHYGALYPPGSSGHGQQPYHRPINDFSLGNLAL >ENSMUSP00000090464.3 pep:known chromosome:GRCm38:11:90030348:90036508:1 gene:ENSMUSG00000069763.3 transcript:ENSMUST00000092788.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem100 description:transmembrane protein 100 [Source:MGI Symbol;Acc:MGI:1915138] MTEESTKENLGAPKSPTPVTMEKNPKREVVVTTGPLVSEVQLMAATGGAELSCYRCIIPF AVVVFITGIVVTAVAYSFNSHGSIISIFGLVLLSSGLFLLASSALCWKVRQRNKKVKRRE SQTALVVNQRCLFA >ENSMUSP00000129062.1 pep:known chromosome:GRCm38:9:57072040:57089496:1 gene:ENSMUSG00000042557.14 transcript:ENSMUST00000169879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sin3a description:transcriptional regulator, SIN3A (yeast) [Source:MGI Symbol;Acc:MGI:107157] MKRRLDDQESPVYAAQQRRIPGSTEAFSHQHRVLAPAPPVYEAVSETMQSATGIQYSVAP NYQVSAVPQSSGSHGPAIAAVHSSHHHPTAVQPHGGQVVQSHA >ENSMUSP00000130641.1 pep:known chromosome:GRCm38:9:57075338:57128366:1 gene:ENSMUSG00000042557.14 transcript:ENSMUST00000167715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sin3a description:transcriptional regulator, SIN3A (yeast) [Source:MGI Symbol;Acc:MGI:107157] MKRRLDDQESPVYAAQQRRIPGSTEAFSHQHRVLAPAPPVYEAVSETMQSATGIQYSVAP NYQVSAVPQSSGSHGPAIAAVHSSHHHPTAVQPHGGQVVQSHAHPAPPVAPVQGQQQFQR LKVEDALSYLDQVKLQFGSQPQVYNDFLDIMKEFKSQSIDTPGVISRVSQLFKGHPDLIM GFNTFLPPGYKIEVQTNDMVNVTTPGQVHQIPTHGIQPQPQPPPQHPSQPSSQSAPTPAQ PAPQPTAAKVSKPSQLQAHTPASQQTPPLPPYASPRSPPVQPHTPVTISLGTAPSLQNNQ PVEFNHAINYVNKIKNRFQGQPDIYKAFLEILHTYQKEQRNAKEAGGNYTPALTEQEVYA QVARLFKNQEDLLSEFGQFLPDANSSVLLSKTTAEKVDSVRNDHGGTVKKPQLNNKPQRP SQNGCQIRRHSGTGATPPVKKKPKLMSLKESSMADASKHGVGTESLFFDKVRKALRSAEA YENFLRCLVIFNQEVISRAELVQLVSPFLGKFPELFNWFKNFLGYKESVHLESFPKERAT EGIAMEIDYASCKRLGSSYRALPKSYQQPKCTGRTPLCKEVLNDTWVSFPSWSEDSTFVS SKKTQYEEHIYRCEDERFELDVVLETNLATIRVLEAIQKKLSRLSAEEQAKFRLDNTLGG TSEVIHRKALQRIYADKAADIIDGLRKNPSIAVPIVLKRLKMKEEEWREAQRGFNKVWRE QNEKYYLKSLDHQGINFKQNDTKVLRSKSLLNEIESIYDERQEQATEENAGVPVGPHLSL AYEDKQILEDAAALIIHHVKRQTGIQKEDKYKIKQIMHHFIPDLLFAQRGDLSDVEEEEE EEMDVDEATGAPKKHNGVGGSPPKSKLLFSNTAAQKLRGMDEVYNLFYVNNNWYIFMRLH QILCLRLLRICSQAERQIEEENREREWEREVLGIKRDKSDSPAIQLRLKEPMDVDVEDYY PAFLDMVRSLLDGNIDSSQYEDSLREMFTIHAYIAFTMDKLIQSIVRQLQHIVSDEVCVQ VTDLYLAENNNGATGGQLNSQTSRSLLESAYQRKAEQLMSDENCFKLMFIQSQGQVQLTV ELLDTEEENSDDPVEAERWSDYVERYMSSDTTSPELREHLAQKPVFLPRNLRRIRKCQRG REQQEKEGKEGNSKKTMENVESLDKLECRFKLNSYKMVYVIKSEDYMYRRTALLRAHQSH ERVSKRLHQRFQAWVDKWTKEHVPREMAAETSKWLMGEGLEGLVPCTTTCDTETLHFVSI NKYRVKYGTVFKAP >ENSMUSP00000126601.1 pep:known chromosome:GRCm38:9:57076376:57128364:1 gene:ENSMUSG00000042557.14 transcript:ENSMUST00000168678.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sin3a description:transcriptional regulator, SIN3A (yeast) [Source:MGI Symbol;Acc:MGI:107157] MKRRLDDQESPVYAAQQRRIPGSTEAFSHQHRVLAPAPPVYEAVSETMQSATGIQYSVAP NYQVSAVPQSSGSHGPAIAAVHSSHHHPTAVQPHGGQVVQSHAHPAPPVAPVQGQQQFQR LKVEDALSYLDQVKLQFGSQPQVYNDFLDIMKEFKSQSIDTPGVISRVSQLFKGHPDLIM GFNTFLPPGYKIEVQTNDMVNVTTPGQVHQIPTHGIQPQPQPPPQHPSQPSSQSAPTPAQ PAPQPTAAKVSKPSQLQAHTPASQQTPPLPPYASPRSPPVQPHTPVTISLGTAPSLQNNQ PVEFNHAINYVNKIKNRFQGQPDIYKAFLEILHTYQKEQRNAKEAGGNYTPALTEQEVYA QVARLFKNQEDLLSEFGQFLPDANSSVLLSKTTAEKVDSVRNDHGGTVKKPQLNNKPQRP SQNGCQIRRHSGTGATPPVKKKPKLMSLKESSMADASKHGVGTESLFFDKVRKALRSAEA YENFLRCLVIFNQEVISRAELVQLVSPFLGKFPELFNWFKNFLGYKESVHLESFPKERAT EGIAMEIDYASCKRLGSSYRALPKSYQQPKCTGRTPLCKEVLNDTWVSFPSWSEDSTFVS SKKTQYEEHIYRCEDERFELDVVLETNLATIRVLEAIQKKLSRLSAEEQAKFRLDNTLGG TSEVIHRKALQRIYADKAADIIDGLRKNPSIAVPIVLKRLKMKEEEWREAQRGFNKVWRE QNEKYYLKSLDHQGINFKQNDTKVLRSKSLLNEIESIYDERQEQATEENAGVPVGPHLSL AYEDKQILEDAAALIIHHVKRQTGIQKEDKYKIKQIMHHFIPDLLFAQRGDLSDVEEEEE EEMDVDEATGAPKKHNGVGGSPPKSKLLFSNTAAQKLRGMDEVYNLFYVNNNWYIFMRLH QILCLRLLRICSQAERQIEEENREREWEREVLGIKRDKSDSPAIQLRLKEPMDVDVEDYY PAFLDMVRSLLDGNIDSSQYEDSLREMFTIHAYIAFTMDKLIQSIVRQLQHIVSDEVCVQ VTDLYLAENNNGATGGQLNSQTSRSLLESAYQRKAEQLMSDENCFKLMFIQSQGQVQLTV ELLDTEEENSDDPVEAERWSDYVERYMSSDTTSPELREHLAQKPVFLPRNLRRIRKCQRG REQQEKEGKEGNSKKTMENVESLDKLECRFKLNSYKMVYVIKSEDYMYRRTALLRAHQSH ERVSKRLHQRFQAWVDKWTKEHVPREMAAETSKWLMGEGLEGLVPCTTTCDTETLHFVSI NKYRVKYGTVFKAP >ENSMUSP00000130221.1 pep:known chromosome:GRCm38:9:57076399:57128366:1 gene:ENSMUSG00000042557.14 transcript:ENSMUST00000168177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sin3a description:transcriptional regulator, SIN3A (yeast) [Source:MGI Symbol;Acc:MGI:107157] MKRRLDDQESPVYAAQQRRIPGSTEAFSHQHRVLAPAPPVYEAVSETMQSATGIQYSVAP NYQVSAVPQSSGSHGPAIAAVHSSHHHPTAVQPHGGQVVQSHAHPAPPVAPVQGQQQFQR LKVEDALSYLDQVKLQFGSQPQVYNDFLDIMKEFKSQSIDTPGVISRVSQLFKGHPDLIM GFNTFLPPGYKIEVQTNDMVNVTTPGQVHQIPTHGIQPQPQPPPQHPSQPSSQSAPTPAQ PAPQPTAAKVSKPSQLQAHTPASQQTPPLPPYASPRSPPVQPHTPVTISLGTAPSLQNNQ PVEFNHAINYVNKIKNRFQGQPDIYKAFLEILHTYQKEQRNAKEAGGNYTPALTEQEVYA QVARLFKNQEDLLSEFGQFLPDANSSVLLSKTTAEKVDSVRNDHGGTVKKPQLNNKPQRP SQNGCQIRRHSGTGATPPVKKKPKLMSLKESSMADASKHGVGTESLFFDKVRKALRSAEA YENFLRCLVIFNQEVISRAELVQLVSPFLGKFPELFNWFKNFLGYKESVHLESFPKERAT EGIAMEIDYASCKRLGSSYRALPKSYQQPKCTGRTPLCKEVLNDTWVSFPSWSEDSTFVS SKKTQYEEHIYRCEDERFELDVVLETNLATIRVLEAIQKKLSRLSAEEQAKFRLDNTLGG TSEVIHRKALQRIYADKAADIIDGLRKNPSIAVPIVLKRLKMKEEEWREAQRGFNKVWRE QNEKYYLKSLDHQGINFKQNDTKVLRSKSLLNEIESIYDERQEQATEENAGVPVGPHLSL AYEDKQILEDAAALIIHHVKRQTGIQKEDKYKIKQIMHHFIPDLLFAQRGDLSDVEEEEE EEMDVDEATGAPKKHNGVGGSPPKSKLLFSNTAAQKLRGMDEVYNLFYVNNNWYIFMRLH QILCLRLLRICSQAERQIEEENREREWEREVLGIKRDKSDSPAIQLRLKEPMDVDVEDYY PAFLDMVRSLLDGNIDSSQYEDSLREMFTIHAYIAFTMDKLIQSIVRQLQHIVSDEVCVQ VTDLYLAENNNGATGGQLNSQTSRSLLESAYQRKAEQLMSDENCFKLMFIQSQGQVQLTV ELLDTEEENSDDPVEAEVWTRWSDYVERYMSSDTTSPELREHLAQKPVFLPRNLRRIRKC QRGREQQEKEGKEGNSKKTMENVESLDKLECRFKLNSYKMVYVIKSEDYMYRRTALLRAH QSHERVSKRLHQRFQAWVDKWTKEHVPREMAAETSKWLMGEGLEGLVPCTTTCDTETLHF VSINKYRVKYGTVFKAP >ENSMUSP00000126718.1 pep:known chromosome:GRCm38:9:57076628:57095403:1 gene:ENSMUSG00000042557.14 transcript:ENSMUST00000163400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sin3a description:transcriptional regulator, SIN3A (yeast) [Source:MGI Symbol;Acc:MGI:107157] MKRRLDDQESPVYAAQQRRIPGSTEAFSHQHRVLAPAPPVYEAVSETMQSATGIQYSVAP NYQVEDALSYLDQVKLQFGSQPQVYNDFLDIMKEFKSQSIDTPGVISRVSQLFKGHPDLI MGFNTFLPPGYKI >ENSMUSP00000128956.1 pep:known chromosome:GRCm38:9:57081158:57128366:1 gene:ENSMUSG00000042557.14 transcript:ENSMUST00000168502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sin3a description:transcriptional regulator, SIN3A (yeast) [Source:MGI Symbol;Acc:MGI:107157] MKRRLDDQESPVYAAQQRRIPGSTEAFSHQHRVLAPAPPVYEAVSETMQSATGIQYSVAP NYQVSAVPQSSGSHGPAIAAVHSSHHHPTAVQPHGGQVVQSHAHPAPPVAPVQGQQQFQR LKVEDALSYLDQVKLQFGSQPQVYNDFLDIMKEFKSQSIDTPGVISRVSQLFKGHPDLIM GFNTFLPPGYKIEVQTNDMVNVTTPGQVHQIPTHGIQPQPQPPPQHPSQPSSQSAPTPAQ PAPQPTAAKVSKPSQLQAHTPASQQTPPLPPYASPRSPPVQPHTPVTISLGTAPSLQNNQ PVEFNHAINYVNKIKNRFQGQPDIYKAFLEILHTYQKEQRNAKEAGGNYTPALTEQEVYA QVARLFKNQEDLLSEFGQFLPDANSSVLLSKTTAEKVDSVRNDHGGTVKKPQLNNKPQRP SQNGCQIRRHSGTGATPPVKKKPKLMSLKESSMADASKHGVGTESLFFDKVRKALRSAEA YENFLRCLVIFNQEVISRAELVQLVSPFLGKFPELFNWFKNFLGYKESVHLESFPKERAT EGIAMEIDYASCKRLGSSYRALPKSYQQPKCTGRTPLCKEVLNDTWVSFPSWSEDSTFVS SKKTQYEEHIYRCEDERFELDVVLETNLATIRVLEAIQKKLSRLSAEEQAKFRLDNTLGG TSEVIHRKALQRIYADKAADIIDGLRKNPSIAVPIVLKRLKMKEEEWREAQRGFNKVWRE QNEKYYLKSLDHQGINFKQNDTKVLRSKSLLNEIESIYDERQEQATEENAGVPVGPHLSL AYEDKQILEDAAALIIHHVKRQTGIQKEDKYKIKQIMHHFIPDLLFAQRGDLSDVEEEEE EEMDVDEATGAPKKHNGVGGSPPKSKLLFSNTAAQKLRGMDEVYNLFYVNNNWYIFMRLH QILCLRLLRICSQAERQIEEENREREWEREVLGIKRDKSDSPAIQLRLKEPMDVDVEDYY PAFLDMVRSLLDGNIDSSQYEDSLREMFTIHAYIAFTMDKLIQSIVRQLQHIVSDEVCVQ VTDLYLAENNNGATGGQLNSQTSRSLLESAYQRKAEQLMSDENCFKLMFIQSQGQVQLTV ELLDTEEENSDDPVEAEVWTRWSDYVERYMSSDTTSPELREHLAQKPVFLPRNLRRIRKC QRGREQQEKEGKEGNSKKTMENVESLDKLECRFKLNSYKMVYVIKSEDYMYRRTALLRAH QSHERVSKRLHQRFQAWVDKWTKEHVPREMAAETSKWLMGEGLEGLVPCTTTCDTETLHF VSINKYRVKYGTVFKAP >ENSMUSP00000045044.5 pep:known chromosome:GRCm38:9:57086581:57128366:1 gene:ENSMUSG00000042557.14 transcript:ENSMUST00000049169.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sin3a description:transcriptional regulator, SIN3A (yeast) [Source:MGI Symbol;Acc:MGI:107157] MKRRLDDQESPVYAAQQRRIPGSTEAFSHQHRVLAPAPPVYEAVSETMQSATGIQYSVAP NYQVSAVPQSSGSHGPAIAAVHSSHHHPTAVQPHGGQVVQSHAHPAPPVAPVQGQQQFQR LKVEDALSYLDQVKLQFGSQPQVYNDFLDIMKEFKSQSIDTPGVISRVSQLFKGHPDLIM GFNTFLPPGYKIEVQTNDMVNVTTPGQVHQIPTHGIQPQPQPPPQHPSQPSSQSAPTPAQ PAPQPTAAKVSKPSQLQAHTPASQQTPPLPPYASPRSPPVQPHTPVTISLGTAPSLQNNQ PVEFNHAINYVNKIKNRFQGQPDIYKAFLEILHTYQKEQRNAKEAGGNYTPALTEQEVYA QVARLFKNQEDLLSEFGQFLPDANSSVLLSKTTAEKVDSVRNDHGGTVKKPQLNNKPQRP SQNGCQIRRHSGTGATPPVKKKPKLMSLKESSMADASKHGVGTESLFFDKVRKALRSAEA YENFLRCLVIFNQEVISRAELVQLVSPFLGKFPELFNWFKNFLGYKESVHLESFPKERAT EGIAMEIDYASCKRLGSSYRALPKSYQQPKCTGRTPLCKEVLNDTWVSFPSWSEDSTFVS SKKTQYEEHIYRCEDERFELDVVLETNLATIRVLEAIQKKLSRLSAEEQAKFRLDNTLGG TSEVIHRKALQRIYADKAADIIDGLRKNPSIAVPIVLKRLKMKEEEWREAQRGFNKVWRE QNEKYYLKSLDHQGINFKQNDTKVLRSKSLLNEIESIYDERQEQATEENAGVPVGPHLSL AYEDKQILEDAAALIIHHVKRQTGIQKEDKYKIKQIMHHFIPDLLFAQRGDLSDVEEEEE EEMDVDEATGAPKKHNGVGGSPPKSKLLFSNTAAQKLRGMDEVYNLFYVNNNWYIFMRLH QILCLRLLRICSQAERQIEEENREREWEREVLGIKRDKSDSPAIQLRLKEPMDVDVEDYY PAFLDMVRSLLDGNIDSSQYEDSLREMFTIHAYIAFTMDKLIQSIVRQLQHIVSDEVCVQ VTDLYLAENNNGATGGQLNSQTSRSLLESAYQRKAEQLMSDENCFKLMFIQSQGQVQLTV ELLDTEEENSDDPVEAERWSDYVERYMSSDTTSPELREHLAQKPVFLPRNLRRIRKCQRG REQQEKEGKEGNSKKTMENVESLDKLECRFKLNSYKMVYVIKSEDYMYRRTALLRAHQSH ERVSKRLHQRFQAWVDKWTKEHVPREMAAETSKWLMGEGLEGLVPCTTTCDTETLHFVSI NKYRVKYGTVFKAP >ENSMUSP00000038061.7 pep:known chromosome:GRCm38:11:121421401:121431288:1 gene:ENSMUSG00000039253.7 transcript:ENSMUST00000038096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn3krp description:fructosamine 3 kinase related protein [Source:MGI Symbol;Acc:MGI:2679256] METLLKRELGCSSVKATGHSGGGCISQGQSYDTDKGRVFVKVNSKAEARRMFEGEMASLT AILKTGTVKVPKPIKVVDAPGGGSMLVMEHLDMRYLSSHATKLGTQLADLHLENKRLGER LLKEAGTVGKGGEQAERQYVDQFGFDVVTCCGYLPQVNDWQKNWVEFYARQRIQPQMDMV EKKSGDREALELWSALQLKIPDLFRDLEIVPALLHGDLWGGNVAEDSSGPIIFDPASFYG HSEYELAIAGMFGGFSSSFYSAYHSKIPKTPGFEKRLQLYQLFHYLNHWNHFGSGYRGSS LNIMRNLSK >ENSMUSP00000027675.7 pep:known chromosome:GRCm38:1:130826684:130852249:1 gene:ENSMUSG00000026417.13 transcript:ENSMUST00000027675.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigr description:polymeric immunoglobulin receptor [Source:MGI Symbol;Acc:MGI:103080] MRLYLFTLLVTVFSGVSTKSPIFGPQEVSSIEGDSVSITCYYPDTSVNRHTRKYWCRQGA SGMCTTLISSNGYLSKEYSGRANLINFPENNTFVINIEQLTQDDTGSYKCGLGTSNRGLS FDVSLEVSQVPELPSDTHVYTKDIGRNVTIECPFKRENAPSKKSLCKKTNQSCELVIDST EKVNPSYIGRAKLFMKGTDLTVFYVNISHLTHNDAGLYICQAGEGPSADKKNVDLQVLAP EPELLYKDLRSSVTFECDLGREVANEAKYLCRMNKETCDVIINTLGKRDPDFEGRILITP KDDNGRFSVLITGLRKEDAGHYQCGAHSSGLPQEGWPIQTWQLFVNEESTIPNRRSVVKG VTGGSVAIACPYNPKESSSLKYWCRWEGDGNGHCPVLVGTQAQVQEEYEGRLALFDQPGN GTYTVILNQLTTEDAGFYWCLTNGDSRWRTTIELQVAEATREPNLEVTPQNATAVLGETF TVSCHYPCKFYSQEKYWCKWSNKGCHILPSHDEGARQSSVSCDQSSQLVSMTLNPVSKED EGWYWCGVKQGQTYGETTAIYIAVEERTRGSSHVNPTDANARAKVALEEEVVDSSISEKE NKAIPNPGPFANEREIQNVGDQAQENRASGDAGSADGQSRSSSSKVLFSTLVPLGLVLAV GAIAVWVARVRHRKNVDRMSISSYRTDISMADFKNSRDLGGNDNMGASPDTQQTVIEGKD EIVTTTECTAEPEESKKAKRSSKEEADMAYSAFLLQSSTIAAQVHDGPQEA >ENSMUSP00000121686.1 pep:known chromosome:GRCm38:1:130826888:130844680:1 gene:ENSMUSG00000026417.13 transcript:ENSMUST00000133792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigr description:polymeric immunoglobulin receptor [Source:MGI Symbol;Acc:MGI:103080] MRLYLFTLLVTVFSGVSTKSPIFGPQEVSSIEGDSVSITCYYPDTSVNRHTRKYWCRQGA SGMCTTLISSNGYLSKEYSGRANLINFPENNTFVINIEQLTQDDTGSYKCGLGTSNRGLS FDVSLEVSQVPELPSDTHVYTKDIGRNVTIECPFKRENAPSKKSLCKKTNQSCELVIDST EKVNPSYIGRAKLFMKGTDLTVFYVNISHLT >ENSMUSP00000114334.1 pep:known chromosome:GRCm38:1:130829010:130844648:1 gene:ENSMUSG00000026417.13 transcript:ENSMUST00000137782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigr description:polymeric immunoglobulin receptor [Source:MGI Symbol;Acc:MGI:103080] MRLYLFTLLVTVFSGVSTKSPIFGPQEVSSIEGDSVSITCYYPDTSVNRHTRKYWCRQGA SGMCTTLISSNGYLSKEYSGRANLINFPENNTFVINIEQLTQDDTGSYKCGLGTSNRGLS FDVSLEVSQVPELPSDTHVYTKDIGRNVTIECPFKRENAPSKKSLCKKTNQSCELVIDST EKVNPSYIGRAKLFMKGTDLT >ENSMUSP00000108798.1 pep:known chromosome:GRCm38:X:135373284:135383226:1 gene:ENSMUSG00000094004.7 transcript:ENSMUST00000113173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5128 description:predicted gene 5128 [Source:MGI Symbol;Acc:MGI:3647038] MMDTKDPPTLLDLATQSLLSNEHAAIQALEEIPRELFVPLFTAAFTGRHKNILTAIVKAW PFACLHIGALSVQEPERELLKALIESLQFLPALNSATRSPKLRILDLRQDAGCKTVCPDI STNSTICFRSCAHSEHSIFKIEGQESLSSSKSEAQPSRLPMELIVDISLDGTLRERNFFA LLQNKVEQSLGSLHLCCRDLQINNLCECRHALSHLDLKCVDHLAVDESPLTEVTKLLSHT IQLDSLSLSKIACRSLNGRTFRNFITQLSRMNHLKELSLSSFCLTDHLETVLRVLSADLE FLYLPFCGLSYSDFKFLSECSQVSHLKLLNVNNNPIYWEDCEPFYYLLQNVSSTLQHLKI CYCFLTDSTISVLIPALTRCSQLRVLHFYSNQITMGMLMRILELLTPLKELKHVIYPIPV HCYGRWHFQGSLDRQKVEDVQRQLNLMLQEAERSDMNWITYSD >ENSMUSP00000137160.1 pep:known chromosome:GRCm38:X:135373284:135383393:1 gene:ENSMUSG00000094004.7 transcript:ENSMUST00000180198.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5128 description:predicted gene 5128 [Source:MGI Symbol;Acc:MGI:3647038] MMDTKDPPTLLDLATQSLLSNEHAAIQALEEIPRELFVPLFTAAFTGRHKNILTAIVKAW PFACLHIGALSVQEPERELLKALIESLQFLPALNSATRSPKLRILDLRQDAGCKTVCPDI STNSTICFRSCAHSEHSIFKIEGQESLSSSKSEAQPSRLPMELIVDISLDGTLRERNFFA LLQNKVEQSLGSLHLCCRDLQINNLCECRHALSHLDLKCVDHLAVDESPLTEVTKLLSHT IQLDSLSLSKIACRSLNGRTFRNFITQLSRMNHLKELSLSSFCLTDHLETVLRVLSADLE FLYLPFCGLSYSDFKFLSECSQVSHLKLLNVNNNPIYWEDCEPFYYLLQNVSSTLQHLKI CYCFLTDSTISVLIPALTRCSQLRVLHFYSNQITMGMLMRILELLTPLKELKHVIYPIPV HCYGRWHFQGSLDRQKVEDVQRQLNLMLQEAERSDMNWITYSD >ENSMUSP00000108303.2 pep:known chromosome:GRCm38:X:151087094:151096543:-1 gene:ENSMUSG00000025264.12 transcript:ENSMUST00000112683.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsr2 description:TSR2 20S rRNA accumulation [Source:MGI Symbol;Acc:MGI:1916749] MMAGAAEDVRVLFGAAVRAALEAWPALQIAVENGFGGVHSQEKAEWLGGAVEDYFIANAD LELEEIEDFLGELMTTEFDTVVEDGSLPQVSQQLQTMFHHFQKGDGAALQEMTSQINQKK CKVTATPLMTAKETDVAEDDVDSVEEMEVTATNDGATTDEVCPQPQPSDPDTQTIKEEDI VEDGWTIVRRKK >ENSMUSP00000131835.1 pep:known chromosome:GRCm38:X:151089481:151096543:-1 gene:ENSMUSG00000025264.12 transcript:ENSMUST00000166010.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsr2 description:TSR2 20S rRNA accumulation [Source:MGI Symbol;Acc:MGI:1916749] MMAGAAEDVRVLFGAAVRAALEAWPALQLTWSWKR >ENSMUSP00000026295.3 pep:known chromosome:GRCm38:X:151087095:151096543:-1 gene:ENSMUSG00000025264.12 transcript:ENSMUST00000026295.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsr2 description:TSR2 20S rRNA accumulation [Source:MGI Symbol;Acc:MGI:1916749] MMAGAAEDVRVLFGAAVRAALEAWPALQIAVENGFGGVHSQEKAEWLGGAVEDYFIANAD LELEEIEDFLGELMTTEFDTVVEDGSLPQVSQQLQTMFHHFQKGDGAALQEMTSQINQKK CKVTATPLMTAKETDVAEDDVDSVEEMEVKEVTATNDGATTDEVCPQPQPSDPDTQTIKE EDIVEDGWTIVRRKK >ENSMUSP00000051049.6 pep:known chromosome:GRCm38:12:111709488:111713257:-1 gene:ENSMUSG00000049792.6 transcript:ENSMUST00000054636.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag5 description:BCL2-associated athanogene 5 [Source:MGI Symbol;Acc:MGI:1917619] MDMGNQHPSISRLQEIQREVKAIEPQVVGFSGLSDDKNYKRLERILTKQLFEIDSVDTEG KGDIQQARKRAAQETERLLKELEQNANHPHRIEIQNIFKEAQALVKDKIVPFYSGGNCVT DEFEEGIQDIILRLTHVKTGGKVSLRKARYRTLTKICAVQEVIEDCMKKQPSLPLSEDVH PSVAKINSVMCEVNKARGTLIALLMGVDSSETCRHLSCVLSGLIADLDALDVCGRTEIRN YRREVVEDINKLLKYLDLEEEADSTHAFDLGQNHSIIKIENVLKRMREIKNELLQAQSPP ELYLRAKTELQGLIGQLDEVSLEKNPCIREARRRAVIEVQILITYLDLKEALEKRKLFPC EEHPPHKAVWEILGNLSEILGEVLSFGGNRTDKNYIRLEELLTKQLLALDAVDPQGEEKC KAARKQAVKLAQNILSYLDMKSDEWEY >ENSMUSP00000125183.1 pep:known chromosome:GRCm38:12:111709904:111712927:-1 gene:ENSMUSG00000049792.6 transcript:ENSMUST00000160576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag5 description:BCL2-associated athanogene 5 [Source:MGI Symbol;Acc:MGI:1917619] MDMGNQHPSISRLQEIQREVKAIEPQVVGFSGLSDDKNYKRLERILTKQLFEIDSVDTEG KGDIQQARKRAAQETERLLKELEQNANHPHRIEIQNIFKEAQALVKDKIVPFYSGGNCVT DEFEEGIQDIILRLTHVKTGGKVSLRKARYRTLTKICAVQEVIEDCMKKQPSLPLSEDVH PSVAKINSVMCEVNKARGTLIALLMGVDSSETCRHLSCVLSGLIADLDALDVCGRTEIRN YRREVVEDINKLLKYLDLEEEADSTHAFDLGQNHSIIKIENVLKRMREIKNELLQAQSPP ELYLRAKTELQGLIGQLDEVSLEKNPCIREARRRAVIEVQILITYLDLKEALEKRKLFPC EEHPPHKAVWEILGNLSEILGEVLSFGGNRTDKNYIRLEELLTKQLLALDAVDPQGEEKC KAARKQAVKLAQNILSYLDMKSDEWEY >ENSMUSP00000123839.1 pep:known chromosome:GRCm38:12:111711209:111712953:-1 gene:ENSMUSG00000049792.6 transcript:ENSMUST00000160825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag5 description:BCL2-associated athanogene 5 [Source:MGI Symbol;Acc:MGI:1917619] MDMGNQHPSISRLQEIQREVKAIEPQVVGFSGLSDDKNYKRLERILTKQLFEIDSVDTEG >ENSMUSP00000124861.1 pep:known chromosome:GRCm38:12:111711275:111712953:-1 gene:ENSMUSG00000049792.6 transcript:ENSMUST00000162953.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag5 description:BCL2-associated athanogene 5 [Source:MGI Symbol;Acc:MGI:1917619] MDMGNQHPSISRLQEIQREVKAIEPQVVGFSGLSDDK >ENSMUSP00000124366.1 pep:known chromosome:GRCm38:11:119603698:119899576:1 gene:ENSMUSG00000025583.15 transcript:ENSMUST00000147781.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rptor description:regulatory associated protein of MTOR, complex 1 [Source:MGI Symbol;Acc:MGI:1921620] MESEMLQSPLMGLGEEDEADLTDWNLPLAFMKKRHCEKIEGSKSLAQSWRMKDRMKTVSV ALVLCLNVGVDPPDVVKTTPCARLECWIDPLSMGPQKALETIGANLQKQYENWQPRARYK QSLDPTVDEVKKLCTSLRRNAKEERVLFHYNGHGVPRPTVNGEVWVFNKNYTQYIPLSIY DLQTWMGSPSIFVYDCSNAGLIVKSFKQFALQREQELEVAAINPNHPLAQMPLPPSMKNC IQLAACEAHELLPMIPDLPADLFTSCLTTPIKIALRWFCMQKCVSLVPGVTLDLIEKIPG RLNDRRTPLGELNWIFTAITDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMRSY NCTPVSSPRLPPTYMHAMCTAHSLPSS >ENSMUSP00000026671.6 pep:known chromosome:GRCm38:11:119603149:119899407:1 gene:ENSMUSG00000025583.15 transcript:ENSMUST00000026671.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rptor description:regulatory associated protein of MTOR, complex 1 [Source:MGI Symbol;Acc:MGI:1921620] MESEMLQSPLMGLGEEDEADLTDWNLPLAFMKKRHCEKIEGSKSLAQSWRMKDRMKTVSV ALVLCLNVGVDPPDVVKTTPCARLECWIDPLSMGPQKALETIGANLQKQYENWQPRARYK QSLDPTVDEVKKLCTSLRRNAKEERVLFHYNGHGVPRPTVNGEVWVFNKNYTQYIPLSIY DLQTWMGSPSIFVYDCSNAGLIVKSFKQFALQREQELEVAAINPNHPLAQMPLPPSMKNC IQLAACEAHELLPMIPDLPADLFTSCLTTPIKIALRWFCMQKCVSLVPGVTLDLIEKIPG RLNDRRTPLGELNWIFTAITDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMRSY NCTPVSSPRLPPTYMHAMWQAWDLAVDICLSQLPTIIEEGTAFRHSPFFAEQLTAFQVWL TMGVENRSPPEQLPIVLQVLLSQVHRLRALDLLGRFLDLGPWAVSLALSVGIFPYVLKLL QSSARELRPLLVFIWAKILAVDSSCQADLVKDNGHKYFLSVLADPYMPAEHRTMTAFILA VIVNSYTTGQEACLQGNLIAICLEQLSDPHPLLRQWVAICLGRIWQNFDSARWCGVRDSA HEKLYSLLSDPIPEVRCAAVFALGTFVGNSAERTDHSTTIDHNVAMMLAQLINDGSPMVR KELVVALSHLVVQYESNFCTVALQFMEEEKNYPLPSPAATEGGSLTPVRDSPCTPRLRSV SSYGNIRAVTTARNLNKSLQNLSLTEESGSSVAFSPGNLSTSSSASSTLGSPENEEYILS FETIDKMRRVSSYSALNSLIGVSFNSVYTQIWRVLLHLAADPYPDVSDLAMKVLNSIAYK ATVNARPQRILDTSSLTQSAPASPTNKGMHMHQVGGSPPASSTSSCSLTNDVAKQTVSRD LPSSRPGTAGPTGAQYTPHSHQFPRTRKMFDKGPDQTTDDADDAAGHKSFICASMQTGFC DWSARYFAQPVMKIPEEHDLESQIRKEREWRFLRNTRVRKQAQQVIQKGITRLDDQIFLN RNPGVPSVVKFHPFTPCIAVADKDSICFWDWEKGEKLDYFHNGNPRYTRVTAMEYLNGQD CSLLLTATDDGAIRVWKNFADLEKNPEMVTAWQGLSDMLPTTRGAGMVVDWEQETGLLMS SGDVRIVRIWDTDRETKVQDIPTGADSCVTSLSCDSHRSLIVAGLGDGSIRVYDRRMALS ECRVMTYREHTAWVVKAYLQKHPEGHIVSVSVNGDVRFFDPRMPESVNVMQIVKGLTALD IHPQANLIACGSMNQFTAIYNGNGELINNIKYYDGFMGQRVGAISCLAFHPHWPHLAVGS NDYYISVYSVEKRVR >ENSMUSP00000125293.1 pep:known chromosome:GRCm38:11:119856259:119896153:1 gene:ENSMUSG00000025583.15 transcript:ENSMUST00000136662.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rptor description:regulatory associated protein of MTOR, complex 1 [Source:MGI Symbol;Acc:MGI:1921620] XLQELVVALSHLVVQYESNFCTVALQFMEEEKNYPLPSPAATAGSSVAFSPGNLSTSSSA SSTLGSPENEEYILSFETIDKMRRVSSYSALNSLIGVSFNSVYTQIWRVLLHLAADPYPD VSDLAMKVLNSIAYKATVNARPQRILDTSSLTQSAPASPTNKGMHMHQVGGSPPASSTSS CSLTNDVAKQTVSRDLPSSRPGTAGPTGAQYTPHSHQFPRTRKMFDKGPDQMMQMMLPDT RASFVPLCRQGSVTGVPATLPSQS >ENSMUSP00000124886.1 pep:known chromosome:GRCm38:11:119798773:119846955:1 gene:ENSMUSG00000025583.15 transcript:ENSMUST00000139728.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rptor description:regulatory associated protein of MTOR, complex 1 [Source:MGI Symbol;Acc:MGI:1921620] PGRLNDRRTPLGELNWIFTAITDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMR SYNCTPVSSPRLPPTYMHAMCTAHSLPSS >ENSMUSP00000125503.1 pep:known chromosome:GRCm38:11:119822947:119856298:1 gene:ENSMUSG00000025583.15 transcript:ENSMUST00000124401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rptor description:regulatory associated protein of MTOR, complex 1 [Source:MGI Symbol;Acc:MGI:1921620] LLQSSARELRPLLVFIWAKILAVDSSCQADLVKDNGHKYFLSVLADPYMPEACLQGNLIA ICLEQLSDPHPLLRQWVAICLGRIWQNFDSARWCGVRDSAHEKLYSLLSDPIPEVRCAAV FALGTFVGNSAERTDHSTTIDHNVAMMLAQLINDGSPMVRKELVVALSHLVV >ENSMUSP00000125667.1 pep:known chromosome:GRCm38:11:119857444:119884967:1 gene:ENSMUSG00000025583.15 transcript:ENSMUST00000131217.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rptor description:regulatory associated protein of MTOR, complex 1 [Source:MGI Symbol;Acc:MGI:1921620] TEESGSSVAFSPGNLSTSSSASSTLGSPENEEYILSFETIDKMRRVSSYSALNSLIGVSF NSVYTQIWRVLLHLAADPYPDVSDLAMKVLNSIAYKRLPASI >ENSMUSP00000029469.4 pep:known chromosome:GRCm38:3:98222156:98236748:1 gene:ENSMUSG00000027876.4 transcript:ENSMUST00000029469.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reg4 description:regenerating islet-derived family, member 4 [Source:MGI Symbol;Acc:MGI:1914959] MASKGVRLLLLLSWVAGPEVLSDILRPSCAPGWFYYRSHCYGYFRKLRNWSHAELECQSY GNGSHLASVLNQKEASVISKYITGYQRNLPVWIGLHDPQKKQLWQWTDGSTNLYRRWNPR TKSEARHCAEMNPKDKFLTWNKNGCANRQHFLCKYKT >ENSMUSP00000108305.1 pep:known chromosome:GRCm38:X:151046150:151089521:1 gene:ENSMUSG00000025265.14 transcript:ENSMUST00000112685.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd1 description:FYVE, RhoGEF and PH domain containing 1 [Source:MGI Symbol;Acc:MGI:104566] MPRVLPPPEPIPPPPSRPLPADPRVAKGLVPRAEASTSSAAVSSLIEKFEREPVIVASDR PAPGPCPVPPEPAMLPQPPPQPTGSQLPEGEASRCLFLLAPGPRDGEKVPNRDSGIDSIS SPSNSEETCFVSDDGPPIHSLCPGPPALASMPVALADPHRPGSQEVDSDLEEEEEEEEEE KEREIPVPPMERQESVELTVQQKVFHIANELLQTEKAYVSRLHLLDQVFCARLLEEARNR SSFPADVVHGIFSNICSIYCFHQQFLLPELEKRMEEWDRYPRIGDILQKLAPFLKMYGEY VKNFDRAVELVNTWTERSTQFKVIIHEVQKEEACGNLTLQHHMLEPVQRIPRYELLLKDY LLKLPHGSPDSKDAQKSLELIATAAEHSNAAIRKMERMHKLLKVYELLGGEEDIVSPTKE LIKEGHILKLSAKNGTTQDRYLILFNDRLLYCVPRLRLLGQKFSVRARIDVDGMELKESS NLNMPRTFLVSGKQRSLELQARTEEEKKDWVQAINSTLLKHEQTLETFKLLNSTNRDDED TPPNSPNVDLGKRAPTPIREKEVTMCMRCQEPFNSITKRRHHCKACGHVVCGKCSEFRAR LIYDNNRSNRVCTDCYVALHGAPGSSPACSQHTPQRRRSILEKQASVAAENSVICSFLHY MEKGGKGWHKAWFVVPENEPLVLYIYGAPQDVKAQRSLPLIGFEVGPPEAGERPDRRHVF KITQSHLSWYFSPETEELQRRWMAVLGRAGRGDTFCPGPTLSEDKEMEETPVAASGATAE PPEASQTRDKT >ENSMUSP00000026296.7 pep:known chromosome:GRCm38:X:151047170:151089521:1 gene:ENSMUSG00000025265.14 transcript:ENSMUST00000026296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd1 description:FYVE, RhoGEF and PH domain containing 1 [Source:MGI Symbol;Acc:MGI:104566] MHGHRVPGGPGPSDPERSAANTPGAAPLACADSDPGALEPGLPVSRGSGTALGGPLDPQF VGPSDASLGAPPSSRVLPCGPSPQHHRALRFSYHLEGSQPRPGLHQGNRILVKSLSLDPG QSLEPHPEGPQRLRSDPGPPTEIPGPRPSPLKRAPGPKPQVPPKPSYLQMPRVLPPPEPI PPPPSRPLPADPRVAKGLVPRAEASTSSAAVSSLIEKFEREPVIVASDRPAPGPCPVPPE PAMLPQPPPQPTGSQLPEGEASRCLFLLAPGPRDGEKVPNRDSGIDSISSPSNSEETCFV SDDGPPIHSLCPGPPALASMPVALADPHRPGSQEVDSDLEEEEEEEEEEKEREIPVPPME RQESVELTVQQKVFHIANELLQTEKAYVSRLHLLDQVFCARLLEEARNRSSFPADVVHGI FSNICSIYCFHQQFLLPELEKRMEEWDRYPRIGDILQKLAPFLKMYGEYVKNFDRAVELV NTWTERSTQFKVIIHEVQKEEACGNLTLQHHMLEPVQRIPRYELLLKDYLLKLPHGSPDS KDAQKSLELIATAAEHSNAAIRKMERMHKLLKVYELLGGEEDIVSPTKELIKEGHILKLS AKNGTTQDRYLILFNDRLLYCVPRLRLLGQKFSVRARIDVDGMELKESSNLNMPRTFLVS GKQRSLELQARTEEEKKDWVQAINSTLLKHEQTLETFKLLNSTNRDDEDTPPNSPNVDLG KRAPTPIREKEVTMCMRCQEPFNSITKRRHHCKACGHVVCGKCSEFRARLIYDNNRSNRV CTDCYVALHGAPGSSPACSQHTPQRRRSILEKQASVAAENSVICSFLHYMEKGGKGWHKA WFVVPENEPLVLYIYGAPQDVKAQRSLPLIGFEVGPPEAGERPDRRHVFKITQSHLSWYF SPETEELQRRWMAVLGRAGRGDTFCPGPTLSEDKEMEETPVAASGATAEPPEASQTRDKT >ENSMUSP00000130145.1 pep:known chromosome:GRCm38:3:152210422:152236826:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000166984.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] MADYSTVPPPSSGSAGGGGGGVVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQK RPLEDGDQPDAKKVPPQNDSFGAQLPPMHQQQRSVMTEEYKVPDGMVGFIIGRGGEQISR IQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLLDQIVEKGRPAPGFHHGDGPGN AVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDPYKVQ QAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPRFAVGIVIGRNGEMIKKIQNDA GVRIQFKPDDGTTPDRIAQITGPPDRCQHAAEIITDLLRSVQAGNPGGPGPGGRGRGRGQ GNWNMGPPGGLQEFNFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRSPPPNADPNMK LFTIRGTPQQIDYARQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPMGPYN PAPYNPGPPGPAPHGPPAPYAPQGWGNAYPHWQQQAPPDPAKAGADPNSAAWAAYYAHYY QQQAQPPPAAPAGAPATTQTNGQGNYGDQQAPAPAGQVDYTKAWEEYYKKMGQAVPAPAG APPGGQPDYSAAWAEYYRQQAAYYAQTSPQGMPQHPPAPQGQ >ENSMUSP00000143204.1 pep:known chromosome:GRCm38:3:152210473:152220499:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000199202.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] MADYSTVPPPSSGSAGGGGGGVVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQK RPLEDGDGSWTNPSSTTHWEGMPSPFKDQPDAKKVPPQNDSFGAQLPPMHQQQRSVMTEE YKVPDGMVGFIIGRGGEQISRIQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLL DQIVEKGRPAPGFHHGDGPGNAVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQD GPQNTGADKPLRITGDPYKVQQAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPR FAVGIV >ENSMUSP00000143354.1 pep:known chromosome:GRCm38:3:152210482:152232772:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000200524.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] MADYSTVPPPSSGSAGGGGGGVVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQK RPLEDGDQPDAKKVPPQNDSFGAQLPPMHQQQSRSVMTEEYKVPDGMVGFIIGRGGEQIS RIQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLLDQIVEKGRPAPGFHHGDGPG NAVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDPYKV QQAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPRFAVGIVIGRNGEMIKKIQND AGVRIQFKPDDGTTPDRIAQITGPPDRCQHAAEIITDLLRSVQAGNPGGPGPGGRGRGRG QGNWNMGPPGGLQEFNFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRSPPPNADPNM KLFTIRGTPQQIDYARQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPMGPY NPAPYNPGPPGPAPHGPPAPYAPQGWGNAYPHWQQQAPPDPAKAGADPNSAAWAAYYAHY YQQQAQPPPAAPAGAPATTQTNGQGNYGDQQAPAPAGQVDYTKAWEEYYKKMGQAVPAPA GAPPGGQPDYSAAWAEYYRQQAAYYAQTSPQGMPQHPPAPQGFANHARSHHHLY >ENSMUSP00000143370.1 pep:known chromosome:GRCm38:3:152210492:152224866:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000198227.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] MADYSTVPPPSSGSAGGGGGGVVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQK RPLEDGDQPDAKKVPPQNDSFGAQLPPMHQQQRSVMTEEYKVPDGMVGFIIGRGGEQISR IQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLLDQIVEKGRPAPGFHHGDGPGN AVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDPYKVQ QAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPRFAVGIVIGRNGEMIKKIQNDA GVRIQFKPDDGTTPDRIAQITGPPDRCQHAAEIITDLLRSVQAGNPGGPGPGGRGRGRGQ GNWNMGPPGGLQEFNFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRSPPPNADPNMK LFTIRGTPQQIDYARQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPMGPYN PAPYNPGPPGPAPHGPPAPYAPQGWGNAYPHWQQQAPPDPGKG >ENSMUSP00000143101.1 pep:known chromosome:GRCm38:3:152210498:152232771:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000196739.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] MADYSTVPPPSSGSAGGGGGGVVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQK RPLEDGDQPDAKKVPPQNDSFGAQLPPMHQQQSRSVMTEEYKVPDGMVGFIIGRGGEQIS RIQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLLDQIVEKGRPAPGFHHGDGPG NAVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDPYKV QQAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPRFAVGIVIGRNGEMIKKIQND AGVRIQFKPDDGTTPDRIAQITGPPDRCQHAAEIITDLLRSVQAGNPGGPGPGGRGRGRG QGNWNMGPPGGLQEFNFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRSPPPNADPNM KLFTIRGTPQQIDYARQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPMGPY NPAPYNPGPPGPAPHGPPAPYAPQGWGNAYPHWQQQAPPDPAKAGADPNSAAWAAYYAHY YQQQAQPPPAAPAGAPATTQTNGQGDQQAPAPAGQVDYTKAWEEYYKKMGQAVPAPAGAP PGGQPDYSAAWAEYYRQQAAYYAQTSPQGMPQHPPAPQGFANHARSHHHLY >ENSMUSP00000143718.1 pep:known chromosome:GRCm38:3:152210514:152219715:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000196062.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] MADYSTVPPPSSGSAGGGGGGVVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQK RPLEDGGKL >ENSMUSP00000143729.1 pep:known chromosome:GRCm38:3:152210519:152232771:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000196695.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] MADYSTVPPPSSGSAGGGGGGVVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQK RPLEDGDQPDAKKVPPQNDSFGAQLPPMHQQQSRSVMTEEYKVPDGMVGFIIGRGGEQIS RIQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLLDQIVEKGRPAPGFHHGDGPG NAVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDPYKV QQAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPRFAVGIVIGRNGEMIKKIQND AGVRIQFKPDDGTTPDRIAQITGPPDRCQHAAEIITDLLRSVQAGNPGGPGPGGRGRGRG QGNWNMGPPGGLQEFNFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRSPPPNADPNM KLFTIRGTPQQIDYARQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPMGPY NPAPYNPGPPGPAPHGPPAPYAPQGWGNAYPHWQQQAPPDPAKAGADPNSAAWAAYYAHY YQQQAQPPPAAPAGAPATTQTNGQGNYGDQQAPAPAGQVDYTKAWEEYYKKMGQAVPAPA GAPPGGQPDYSAAWAEYYRQQAAYYAQTSPQGMPQHPPAPQGQ >ENSMUSP00000143618.1 pep:known chromosome:GRCm38:3:152210519:152235768:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000199876.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] MADYSTVPPPSSGSAGGGGGGVVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQK RPLEDGDQPDAKKVPPQNDSFGAQLPPMHQQQSRSVMTEEYKVPDGMVGFIIGRGGEQIS RIQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLLDQIVEKGRPAPGFHHGDGPG NAVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDPYKV QQAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPRFAVGIVIGRNGEMIKKIQND AGVRIQFKPDDGTTPDRIAQITGPPDRCQHAAEIITDLLRSVQAGNPGGPGPGGRGRGRG QGNWNMGPPGGLQEFNFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRSPPPNADPNM KLFTIRGTPQQIDYARQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPMGPY NPAPYNPGPPGPAPHGPPAPYAPQGWGNAYPHWQQQAPPDPAKAGADPNSAAWAAYYAHY YQQQAQPPPAAPAGAPATTQTNGQGNYGDQQAPAPAGQVDYTKAWEEYYKKMGQAVPAPA GAPPGGQPDYSAAWAEYYRQQAAYYAQTSPQGMPQHPPAPQVENICYFFCFVFVFVFHSN HVFCMFVCLGMNMFYLVKYNYMKYRVVFGDSVFLIMFSGI >ENSMUSP00000143019.1 pep:known chromosome:GRCm38:3:152210521:152236826:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000200452.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] MADYSTVPPPSSGSAGGGGGGVVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQK RPLEDGDQPDAKKVPPQNDSFGAQLPPMHQQQRSVMTEEYKVPDGMVGFIIGRGGEQISR IQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLLDQIVEKGRPAPGFHHGDGPGN AVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDPYKVQ QAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPRFAVGIVIGRNGEMIKKIQNDA GVRIQFKPDDGTTPDRIAQITGPPDRCQHAAEIITDLLRSVQAGNPGGPGPGGRGRGRGQ GNWNMGPPGGLQEFNFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRSPPPNADPNMK LFTIRGTPQQIDYARQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPMGPYN PAPYNPGPPGPAPHGPPAPYAPQGWGNAYPHWQQQAPPDPAKAGADPNSAAWAAYYAHYY QQQAQPPPAAPAGAPATTQTNGQGNYGDQQAPAPAGQVDYTKAWEEYYKKMGQAVPAPAG APPGGQPDYSAAWAEYYRQQAAYYAQTSPQGMPQHPPAPQGQ >ENSMUSP00000143478.1 pep:known chromosome:GRCm38:3:152221363:152224134:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000196429.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] NFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRSPPPNADPNMKLFTIRGTPQQIDYA RQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPMGPYNPAPYNPGPPGPAPH GPPAPYAPQGWGNAYPHWQQQAPPDPETT >ENSMUSP00000142638.1 pep:known chromosome:GRCm38:3:152223298:152232772:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000199918.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] XPYAPQGWGNAYPHWQQQAPPDPAKAGADPNSAAWAAYYAHYYQQQAQPPPAAPAGAPAT TQTNGQGDQQAPAPAGQVDYTKAWEEYYKKMGQAVPAPAGAPPGGQPDYSAAWAEYYRQQ AAYYAQTSPQGMPQHPPAPQGQ >ENSMUSP00000142990.1 pep:known chromosome:GRCm38:3:152223311:152232789:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000198405.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] XRDGEMRIHIGSNRLLLTQPRQEQIQTRQLGLLIMLTITSSRHNPHLQLLPVHQLQPKRT DKEISRLQLQLDRLIIQRLGKNTTRKWVKQFLLLLGPHQVVSRIIVQPGLSTIDSKQRIM PRQVPRGCRSILQHLRANNKKWTIQYLLHCVGEKTFVKYMDADDLMKILILFLV >ENSMUSP00000143686.1 pep:known chromosome:GRCm38:3:152223321:152235834:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000200056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] XNAYPHWQQQAPPDPAKAGADPNSAAWAAYYAHYYQQQAQPPPAAPAGAPATTQTNGQGD QQAPAPAGQVDYTKAWEEYYKKMGQAVPAPAGAPPGGQPDYSAAWAEYYRQQAAYYAQTS PQGMPQHPPAPQCLPRPSTLGSAAKSNSAEDAASTKS >ENSMUSP00000101727.1 pep:known chromosome:GRCm38:3:152210521:152236826:1 gene:ENSMUSG00000028034.15 transcript:ENSMUST00000106121.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fubp1 description:far upstream element (FUSE) binding protein 1 [Source:MGI Symbol;Acc:MGI:1196294] MADYSTVPPPSSGSAGGGGGGVVNDAFKDALQRARQIAAKIGGDAGTSLNSNDYGYGGQK RPLEDGDQPDAKKVPPQNDSFGAQLPPMHQQQRSVMTEEYKVPDGMVGFIIGRGGEQISR IQQESGCKIQIAPDSGGLPERSCMLTGTPESVQSAKRLLDQIVEKGRPAPGFHHGDGPGN AVQEIMIPASKAGLVIGKGGETIKQLQERAGVKMVMIQDGPQNTGADKPLRITGDPYKVQ QAKEMVLELIRDQGGFREVRNEYGSRIGGNEGIDVPIPRFAVGIVIGRNGEMIKKIQNDA GVRIQFKPDDGTTPDRIAQITGPPDRCQHAAEIITDLLRSVQAGNPGGPGPGGRGRGRGQ GNWNMGPPGGLQEFNFIVPTGKTGLIIGKGGETIKSISQQSGARIELQRSPPPNADPNMK LFTIRGTPQQIDYARQLIEEKIGGPVNPLGPPVPHGPHGVPGPHGPPGPPGPGTPMGPYN PAPYNPGPPGPAPHGPPAPYAPQGWGNAYPHWQQQAPPDPAKAGADPNSAAWAAYYAHYY QQQAQPPPAAPAGAPATTQTNGQGNYGDQQAPAPAGQVDYTKAWEEYYKKMGQAVPAPAG APPGGQPDYSAAWAEYYRQQAAYYAQTSPQGMPQHPPAPQGQ >ENSMUSP00000099071.3 pep:known chromosome:GRCm38:6:36846022:37299974:-1 gene:ENSMUSG00000038665.15 transcript:ENSMUST00000101532.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgki description:diacylglycerol kinase, iota [Source:MGI Symbol;Acc:MGI:2443430] MDAAGRGCHLLPLPAARGPARAPAASSALSPTGLCSGTTSASFAAAGAVAMNPSSSAGEE RGATGGSSSSGSGAGSCCLGAEGGADPRGAGAAAAAALEEPAAAGQKEKEEALEEKLRDL TFRKQVSYRKAISRTGLQHLAPAHPLGLPVANGPAKEPRATLDWSENAVNGEHLWLETNV SGDLCYLGEENCQVRFAKSALRRKCAVCKIVVHTACIEQLEKINFRCKPTFREGGSRSPR ENFVRHHWVHRRRQEGKCKQCGKGFQQKFSFHSKEIVAISCSWCKQAFHNKVTCFMLHHI EEPCSLGAHAAVIVPPTWIIKVKKPQNSLKASNRKKKRTSFKRKASKRGTEQETKGRPFV IKPISSPLMKPLLVFVNPKSGGNQGTKVLQMFMWYLNPRQVFDLSQEGPKDALEMYRKVP NLRILACGGDGTVGWILSILDELQLSPQPPVGVLPLGTGNDLARTLNWGGGYTDEPVSKI LCQVEDGTIVQLDRWNLHVERNPDLPPEELEDGVCKLPLNVFNNYFSLGFDAHVTLEFHE SREANPEKFNSRFRNKMFYAGAAFSDFLQRSSRDLSKHVKVVCDGTDLTPKIQDLKFQCI VFLNIPRYCAGTMPWGNPGDHHDFEPQRHDDGYIEVIGFTMASLAALQVGGHGERLHQCR EVMLLTYKSIPMQVDGEPCRLAPAMIRISLRNQANMVQKSKRRTSMPLLNDIHQVQAADL RRVSAPPGSFTIPQSVPDRLRIRVNKISLQDYEGLHYDKDKLREASIPLGILVVRGDCDL ETCRMYIDRLQEDLQSVSSGSQRVHYQDQETSFPRALSAQRLSPRWCFLDATSADRFYRI DRSQEHLHFVMEISHDEIFILDPDMVVSQQAGTPPGMPDLVVEQASGLSDWWNPALRKRM LSDSGMITPHYEDSDLKDFSHSRVLQSPVSSEDHAILQAVLTGDLMKLMESYKNGGSLLI QGPGHCSLLHYAAKTGNGDIVKYILDHGPAELLDMADSETGETALHKAACQRNRAVCQLL VDAGASLRQTDSKGKTPQERAQQAGDPDLAAYLESRQNYKIIGHEDLETAV >ENSMUSP00000138457.1 pep:known chromosome:GRCm38:6:36846981:37300184:-1 gene:ENSMUSG00000038665.15 transcript:ENSMUST00000150300.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgki description:diacylglycerol kinase, iota [Source:MGI Symbol;Acc:MGI:2443430] MDAAGRGCHLLPLPAARGPARAPAASSALSPTGLCSGTTSASFAAAGAVAMNPSSSAGEE RGATGGSSSSGSGAGSCCLGAEGGADPRGAGAAAAAALEEPAAAGQKEKEEALEEKLRDL TFRKQVSYRKAISRTGLQHLAPAHPLGLPVANGPAKEPRATLDWSENAVNGEHLWLETNV SGDLCYLGEENCQVRFAKSALRRKCAVCKIVVHTACIEQLEKINFRCKPTFREGGSRSPR ENFVRHHWVHRRRQEGKCKQCGKGFQQKFSFHSKEIVAISCSWCKQAFHNKVTCFMLHHI EEPCSLGAHAAVIVPPTWIIKVKKPQNSLKASNRKKKRTSFKRKASKRGTEQETKGRPFV IKPISSPLMKPLLVFVNPKSGGNQGTKVLQMFMWYLNPRQVFDLSQEGPKDALEMYRKVP NLRILACGGDGTVGWILSILDELQLSPQPPVGVLPLGTGNDLARTLNWGGGYTDEPVSKI LCQVEDGTIVQLDRWNLHVERNPDLPPEELEDGVCKLPLNVFNNYFSLGFDAHVTLEFHE SREANPEKFNSRFRNKMFYAGAAFSDFLQRSSRDLSKHVKVVLSWMRLSDS >ENSMUSP00000138628.1 pep:known chromosome:GRCm38:6:36846981:37300184:-1 gene:ENSMUSG00000038665.15 transcript:ENSMUST00000138286.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgki description:diacylglycerol kinase, iota [Source:MGI Symbol;Acc:MGI:2443430] MDAAGRGCHLLPLPAARGPARAPAASSALSPTGLCSGTTSASFAAAGAVAMNPSSSAGEE RGATGGSSSSGSGAGSCCLGAEGGADPRGAGAAAAAALEEPAAAGQKEKEEALEEKLRDL TFRKQVSYRKAISRTGLQHLAPAHPLGLPVANGPAKEPRATLDWSENAVNGEHLWLETNV SGDLCYLGEENCQVRFAKSALRRKCAVCKIVVHTACIEQLEKINFRCKPTFREGGSRSPR ENFVRHHWVHRRRQEGKCKQCGKGFQQKFSFHSKEIVAISCSWCKQAFHNKVTCFMLHHI EEPCSLGAHAAVIVPPTWIIKVKKPQNSLKASNRKKKRTSFKRKASKRGTEQETKGRPFV IKPISSPLMKPLLVFVNPKSGGNQGTKVLQMFMWYLNPRQVFDLSQEGPKDALEMYRKVP NLRILACGGDGTVGWILSILDELQLSPQPPVGVLPLGTGNDLARTLNWGGGYTDEPVSKI LCQVEDGTIVQLDRWNLHVERNPDLPPEELEDGVCKLPLNVFNNYFSLGFDAHVTLEFHE SREANPEKFNSRFRNKMFYAGAAFSDFLQRSSRDLSKHVKVVCDGTDLTPKIQDLKFQCI VFLNIPRYCAGTMPWGNPGDHHDFEPQRHDDGYIEVIGFTMASLAALQVGGHGERLHQCR EVMLLTYKSIPMQVDGEPCRLAPAMIRISLRNQANMVQKSKRRTSMPLLNDPQSVPDRLR IRVNKISLQDYEGLHYDKDKLREASIPLGILVVRGDCDLETCRMYIDRLQEDLQSVSSGS QRVHYQDQETSFPRALSAQRLSPRWCFLDATSADRFYRIDRSQACFPPSGTFALCDGDFS R >ENSMUSP00000087788.4 pep:known chromosome:GRCm38:6:36846981:37300184:-1 gene:ENSMUSG00000038665.15 transcript:ENSMUST00000090314.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgki description:diacylglycerol kinase, iota [Source:MGI Symbol;Acc:MGI:2443430] MDAAGRGCHLLPLPAARGPARAPAASSALSPTGLCSGTTSASFAAAGAVAMNPSSSAGEE RGATGGSSSSGSGAGSCCLGAEGGADPRGAGAAAAAALEEPAAAGQKEKEEALEEKLRDL TFRKQVSYRKAISRTGLQHLAPAHPLGLPVANGPAKEPRATLDWSENAVNGEHLWLETNV SGDLCYLGEENCQVRFAKSALRRKCAVCKIVVHTACIEQLEKINFRCKPTFREGGSRSPR ENFVRHHWVHRRRQEGKCKQCGKGFQQKFSFHSKEIVAISCSWCKQAFHNKVTCFMLHHI EEPCSLGAHAAVIVPPTWIIKVKKPQNSLKASNRKKKRTSFKRKASKRGTEQETKGRPFV IKPISSPLMKPLLVFVNPKSGGNQGTKVLQMFMWYLNPRQVFDLSQEGPKDALEMYRKVP NLRILACGGDGTVGWILSILDELQLSPQPPVGVLPLGTGNDLARTLNWGGGYTDEPVSKI LCQVEDGTIVQLDRWNLHVERNPDLPPEELEDGVCKLPLNVFNNYFSLGFDAHVTLEFHE SREANPEKFNSRFRNKMFYAGAAFSDFLQRSSRDLSKHVKVVCDGTDLTPKIQDLKFQCI VFLNIPRYCAGTMPWGNPGDHHDFEPQRHDDGYIEVIGFTMASLAALQVGGHGERLHQCR EVMLLTYKSIPMQVDGEPCRLAPAMIRISLRNQANMVQKSKRRTSMPLLNDPQSVPDRLR IRVNKISLQDYEGLHYDKDKLREASIPLGILVVRGDCDLETCRMYIDRLQEDLQSVSSGS QRVHYQDQETSFPRALSAQRLSPRWCFLDATSADRFYRIDRSQEHLHFVMEISHDEIFIL DPDMVVSQQAGTPPGMPDLVVEQASGLSDWWNPALRKRMLSDSGMITPHYEDSDLKDFSH SRVLQSPVSSEDHAILQAVLTGDLMKLMESYKNGGSLLIQGPGHCSLLHYAAKTGNGDIV KYILDHGPAELLDMADSETGETALHKAACQRNRAVCQLLVDAGASLRQTDSKGKTPQERA QQAGDPDLAAYLESRQNYKIIGHEDLETAV >ENSMUSP00000047858.7 pep:known chromosome:GRCm38:6:36847156:37299637:-1 gene:ENSMUSG00000038665.15 transcript:ENSMUST00000042075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgki description:diacylglycerol kinase, iota [Source:MGI Symbol;Acc:MGI:2443430] MVKLKVLAGNATNLENAVNGEHLWLETNVSGDLCYLGEENCQVRFAKSALRRKCAVCKIV VHTACIEQLEKINFRCKPTFREGGSRSPRENFVRHHWVHRRRQEGKCKQCGKGFQQKFSF HSKEIVAISCSWCKQAFHNKVTCFMLHHIEEPCSLGAHAAVIVPPTWIIKVKKPQNSLKA SNRKKKRTSFKRKASKRGTEQETKGRPFVIKPISSPLMKPLLVFVNPKSGGNQGTKVLQM FMWYLNPRQVFDLSQEGPKDALEMYRKVPNLRILACGGDGTVGWILSILDELQLSPQPPV GVLPLGTGNDLARTLNWGGGYTDEPVSKILCQVEDGTIVQLDRWNLHVERNPDLPPEELE DGVCKLPLNVFNNYFSLGFDAHVTLEFHESREANPEKFNSRFRNKMFYAGAAFSDFLQRS SRDLSKHVKVVCDGTDLTPKIQDLKFQCIVFLNIPRYCAGTMPWGNPGDHHDFEPQRHDD GYIEVIGFTMASLAALQVGGHGERLHQCREVMLLTYKSIPMQVDGEPCRLAPAMIRISLR NQANMVQKSKRRTSMPLLNDPQSVPDRLRIRVNKISLQDYEGLHYDKDKLREASIPLGIL VVRGDCDLETCRMYIDRLQEDLQSVSSGSQRVHYQDQETSFPRALSAQRLSPRWCFLDAT SADRFYRIDRSQEHLHFVMEISHDEIFILDPDMVVSQQAGTPPGMPDLVVEQASGLSDWW NPALRKRMLSDSGMITPHYEDSDLKDFSHSRVLQSPVSSEDHAILQAVLTGDLMKLMESY KNGGSLLIQGPGHCSLLHYAAKTGNGDIVKYILDHGPAELLDMADSETGETALHKAACQR NRAVCQLLVDAGASLRQTDSKGKTPQERAQQAGDPDLAAYLESRQNYKIIGHEDLETAV >ENSMUSP00000074204.6 pep:known chromosome:GRCm38:11:116078573:116086995:-1 gene:ENSMUSG00000034341.17 transcript:ENSMUST00000074628.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp2 description:WW domain binding protein 2 [Source:MGI Symbol;Acc:MGI:104709] MALNKNHSEGGGVIVNNTESILMSYDHVELTFNDMKNVPEAFKGTKKGTVYLTPYRVIFL SKGKDAMQSFMMPFYLMKDCEIKQPVFGANFIKGIVKAEAGGGWEGSASYKLTFTAGGAI EFGQRMLQVASQASRGEVPNGAYGYPYMPSGAYVFPPPVANGMYPCPPGYPYPPPPPEFY PGPPMMDGAMGYVQPPPPPYPGPMEPPVSGPSAPATPAAEAKAAEAAASAYYNPGNPHNV YMPTSQPPPPPYYPPEDKKTQ >ENSMUSP00000102052.3 pep:known chromosome:GRCm38:11:116079252:116086995:-1 gene:ENSMUSG00000034341.17 transcript:ENSMUST00000106444.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp2 description:WW domain binding protein 2 [Source:MGI Symbol;Acc:MGI:104709] MALNKNHSEGGGVIVNNTESILMSYDHVELTFNDMKNVPEAFKGTKKGTVYLTPYRVIFL SKGKDAMQSFMMPFYLMKDCEIKQPVFGANFIKGIVKAEAGGGWEGSASYKLTFTAGGAI EFGQRMLQVASQEFYPGPPMMDGAMGYVQPPPPPYPGPMEPPVSGPSAPATPAAEAKAAE AAASAYYNPGNPHNVYMPTSQPPPPPYYPPEDKKTQ >ENSMUSP00000048234.7 pep:known chromosome:GRCm38:9:78113315:78172107:1 gene:ENSMUSG00000009828.15 transcript:ENSMUST00000044551.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ick description:intestinal cell kinase [Source:MGI Symbol;Acc:MGI:1934157] MNRYTTIKQLGDGTYGSVLLGRSIESGELIAIKKMKRKFYSWEECMNLREVKSLKKLNHA NIVKLKEVIRENDHLYFIFEYMKENLYQLIKERNKLFPESAIRNIMYQILQGLAFIHKHG FFHRDLKPENLLCMGPELVKIADFGLAREIRSRPPYTDYVSTRWYRAPEVLLRSTNYSSP IDIWAVGCIMAEVYTLRPLFPGASEIDTIFKICQVLGTPKKTDWPEGYQLSSAMNFLWPQ CIPNNLKTLIPNASSEAIQLLRDLLQWDPKKRPTASQALRYPYFQIGHPLGIISKDSGKP QREVQDKTGPPPYIKPAPPAQAPAKAYTLISSRPSQASQPPQHSVHPYKGDVSRTEQLSH VQEGKPSPPFFPSLHNKNLQPKILASLEQKNGEIKPKSRRRWGLISRSTKGSDDWADLDD LDFSPSLTRIDVKNKKRQSDDTLCRFESVLDLKPSESVGTGTTVSTQASSQRRDTPTLQS SAKQHYLKHSRYLPGINIRNGVLPNPGKDFLPSNSWSSSGLSGKSSGTVSVVSKITSVGS GSASSSGLTGSYIPSFLKKEIGSVMQRVQLAPLAAPPPGYSSLKAVRPHPGRPFFHTQPR STPGLIPRPPAAQPVHGRIDWSSKYPSRR >ENSMUSP00000009972.5 pep:known chromosome:GRCm38:9:78113284:78125656:1 gene:ENSMUSG00000009828.15 transcript:ENSMUST00000009972.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ick description:intestinal cell kinase [Source:MGI Symbol;Acc:MGI:1934157] MPRADGCGLAGFARSDPTALACRALSGARAAGPRSPPCGKQLWRRKWLPELSGPNLRSCP SRGSLIFSLISLCRLGKSCGSPTSFTSSILCSHGGPHCVL >ENSMUSP00000112961.1 pep:known chromosome:GRCm38:9:78109192:78167938:1 gene:ENSMUSG00000009828.15 transcript:ENSMUST00000118869.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ick description:intestinal cell kinase [Source:MGI Symbol;Acc:MGI:1934157] MNRYTTIKQLGDGTYGSVLLGRSIESGELIAIKKMKRKFYSWEECMNLREVKSLKKLNHA NIVKLKEVIRENDHLYFIFEYMKENLYQLIKERNKLFPESAIRNIMYQILQGLAFIHKHG FFHRDLKPENLLCMGPELVKIADFGLAREIRSRPPYTDYVSTRWYRAPEVLLRSTNYSSP IDIWAVGCIMAEVYTLRPLFPGASEIDTIFKICQVLGTPKKTDWPEGYQLSSAMNFLWPQ CIPNNLKTLIPNASSEAIQLLRDLLQWDPKKRPTASQALRYPYFQIGHPLGIISKDSGKP QREVQDKTGPPPYIKPAPPAQAPAKAYTLISSRPSQASQPPQHSVHPYKGDVSRTEQLSH VQEGKPSPPFFPSLHNKNLQPKILASLEQKNGEIKPKSRRRWGLISRSTKGSDDWADLDD LDFSPSLTRIDVKNKKRQSDDTLCRFESVLDLKPSESVGTGTTVSTQASSQRRDTPTLQS SAKQHYLKHSRYLPGINIRNGVLPNPGKDFLPSNSWSSSGLSGKSSGTVSVVSKITSVGS GSASSSGLTGSYIPSFLKKEIGSVMQRVQLAPLAAPPPGYSSLKAVRPHPGRPFFHTQPR STPGLIPRPPAAQPVHGRIDWSSKYPSRR >ENSMUSP00000113655.1 pep:known chromosome:GRCm38:9:78113300:78165413:1 gene:ENSMUSG00000009828.15 transcript:ENSMUST00000117330.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ick description:intestinal cell kinase [Source:MGI Symbol;Acc:MGI:1934157] MNRYTTIKQLGDGTYGSVLLGRSIESGELIAIKKMKRKFYSWEECMNLREVKSLKKLNHA NIVKLKEVIRENDHLYFIFEYMKENLYQLIKERNKLFPESAIRNIMYQILQGLAFIHKHG FFHRDLKPENLLCMGPELVKIADFGLAREIRSRPPYTDYVSTRWYRAPEVLLRSTNYSSP IDIWAVGCIMAEVYTLRPLFPGASEIDTIFKICQVLGTPKKTDWPEGYQLSSAMNFLWPQ CIPNNLKTLIPNASSEAIQLLRDLLQWDPKKRPTASQALRYPYFQIGHPLGIISKDSGKP QREVQDKTGPPPYIKPAPPAQAPAKAYTLISSRPSQASQPPQHSVHPYKGDVSRTEQLSH VQEGKPSPPFFPSLHNKNLQPKILASLEQKNGEIKPKSRRRWGLISRSTKGSDDWADLDD LDFSPSLTRIDVKNKKRQSDDTLCRFESVLDLKPSESVGTGTTVSTQASSQRRDTPTLQS SAKQHYLKHSRYLPGINIRNGVLPNPGKDFLPSNSWSSSGLSGKSSGTVSVVSKITSGK >ENSMUSP00000115522.1 pep:known chromosome:GRCm38:9:78109235:78113429:1 gene:ENSMUSG00000009828.15 transcript:ENSMUST00000125615.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ick description:intestinal cell kinase [Source:MGI Symbol;Acc:MGI:1934157] MPRADGCGLAGFARSDPTALACRALSGA >ENSMUSP00000096803.2 pep:known chromosome:GRCm38:2:152962485:153007340:1 gene:ENSMUSG00000074673.15 transcript:ENSMUST00000099197.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll9 description:tubulin tyrosine ligase-like family, member 9 [Source:MGI Symbol;Acc:MGI:1913589] MSRQKNQNSKGHGVSKGKEREQRTLIRFKTTLMNTLMDVLRHRPGWVEVKDEGEWDFYWC DVSWLRENFDHTYMDEHVRISHFRNHYELTRKNYMVKNLKRFRKYLERESGKTEAAKCDF FPKTFEMPCEYHLFVEEFRKNPGITWIMKPVARSQGKGIFLFRRLKDIMDWRKGTSGKKP TGVETQPARANMNPSGSHDTRSSDDQKDDLPVENYVAQRYVENPYLIGGRKFDLRVYVLV MSYIPLRAWLYRDGFARFSNTRFTLNSIDDHYVHLTNVAVQKTSPDYHLKKGCKWMLQRF RQYLASKHGPKAVETLFSDMDNIFIKSLQSVQKVIISDKHCFELYGYDILIDQDLKPWLL EVNASPSLTASSQEDYELKTCLLEDTLHVVDMEARLTGKEKRVGGFDLMWNDGPVSREDG PSDLSGMGNFVTNTHLGCVNDRKEQLRQLFRSLQAQRKAPS >ENSMUSP00000122494.1 pep:known chromosome:GRCm38:2:152962506:153003179:1 gene:ENSMUSG00000074673.15 transcript:ENSMUST00000152158.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll9 description:tubulin tyrosine ligase-like family, member 9 [Source:MGI Symbol;Acc:MGI:1913589] MSRQKNQNSKGHGVSKGKEREQRTLIRFKTTLMNTLMDVLRHRPGWVEVKDEGEWDFYWC DVSWLRENFDHTYMDEHVRISHFRNHYEKWARQGTEGSPSALPCCLAYQEKLHGKEPEAL PEVPGA >ENSMUSP00000121434.2 pep:known chromosome:GRCm38:2:152962506:153003186:1 gene:ENSMUSG00000074673.15 transcript:ENSMUST00000146626.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll9 description:tubulin tyrosine ligase-like family, member 9 [Source:MGI Symbol;Acc:MGI:1913589] MSRQKRAENLNPLQDHPHEHTHGCSAPQARMGGSQR >ENSMUSP00000099444.3 pep:known chromosome:GRCm38:2:152962506:153003230:1 gene:ENSMUSG00000074673.15 transcript:ENSMUST00000103155.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll9 description:tubulin tyrosine ligase-like family, member 9 [Source:MGI Symbol;Acc:MGI:1913589] MSRQKNQNSKGHGVSKGKEREQRTLIRFKTTLMNTLMDVLRHRPGWVEVKDEGEWDFYWC DVSWLRENFDHTYMDEHVRISHFRNHYELTRKNYMVKNLKRFRKYLERESGKTEAAKCDF FPKTFEMPCEYHLFVEEFRKNPGITWIMKPVARSQGKGIFLFRRLKDIMDWRKGTSGKKP TGVETQPARANMNPSGSHDTRSSDDQKDDLPVENYVAQRYVENPYLIGGRKFDLRVYVLV MSYIPLRAWLYRDGFARFSNTRFTLNSIDDHYVHLTNVAVQKTSPDYHLKKGCKWMLQRF RQYLASKHGPKAVETLFSDMDNIFIKSLQSVQKVIISDKHCFELYGYDILIDQDLKPWLL EVNASPSLTASSQEDYELKTCLLEDTLHVVDMEARLTGKEKRVGGFDLMWNDGPVSREDG PSDLSGMGNFVTNTHLGTLSQTGSEWERNIIGSGGGLGPLGRAV >ENSMUSP00000105426.2 pep:known chromosome:GRCm38:2:152962511:153006971:1 gene:ENSMUSG00000074673.15 transcript:ENSMUST00000109801.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll9 description:tubulin tyrosine ligase-like family, member 9 [Source:MGI Symbol;Acc:MGI:1913589] MSRQKNQNSKGHGVSKGKEREQRTLIRFKTTLMNTLMDVLRHRPGWVEVKDEGEWDFYWC DVSWLRENFDHTYMDEHVRISHFRNHYELTRKNYMVKNLKRFRKYLERESGKTEAAKCDF FPKTFEMPCEYHLFVEEFRKNPGITWIMKPVARSQGKGIFLFRRLKDIMDWRKDTRSSDD QKDDLPVENYVAQRYVENPYLIGGRKFDLRVYVLVMSMFTSPMLLCRRHRLTTT >ENSMUSP00000131839.1 pep:known chromosome:GRCm38:2:152972288:153007340:1 gene:ENSMUSG00000074673.15 transcript:ENSMUST00000165343.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttll9 description:tubulin tyrosine ligase-like family, member 9 [Source:MGI Symbol;Acc:MGI:1913589] NQNSKGHGVSKGKEREQRTLIRFKTTLMNTLMDVLRHRPGWVEVKETQGVLMTRKMTSRW RTTWLSVTWKTPT >ENSMUSP00000114786.1 pep:known chromosome:GRCm38:2:152973568:152984321:1 gene:ENSMUSG00000074673.15 transcript:ENSMUST00000155631.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll9 description:tubulin tyrosine ligase-like family, member 9 [Source:MGI Symbol;Acc:MGI:1913589] MTCDPRGSKEQRTLIRFKTTLMNTLMDVLRHRPGWVEVKDEGEWDFYWCDVSWLRENFDH TYMDEHVRISHFRNHYELTRKNYMVKNLKRFRKYLERESGKTEAAKCDFFPKTFEMPCEY HLFVEEFRKNPGITWIMKP >ENSMUSP00000108797.1 pep:known chromosome:GRCm38:X:135433835:135443830:1 gene:ENSMUSG00000096508.7 transcript:ENSMUST00000113172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7903 description:predicted gene 7903 [Source:MGI Symbol;Acc:MGI:3648697] MMDTKDPPTLLDLATQSLLSNEHAAIQALEEIPRELFVPLFTAAFTGRHKNILTAIVKAW PFACLHIGALSVQEPERELLKALIESLQFLPALNSATRSPKLRILDLRQDAGCKTVCPDI STNSTICFRSCAHSEHSIFKIEGQESLSSSKSEAQPSRLPMELIVDISLDGTLRERNFFA LLQNKVEQSLGSLHLCCRDLQINNLCECRHALSHLDLKCVDHLAVDESPLTEVTKLLSHT IQLDSLSLSKIACRSLNGRTFRNFITQLSRMNHLKELSLSSFCLTDHLETVLRVLSADLE FLYLPFCGLSYSDFKFLSECSQVSHLKLLNVNNNPIYWEDCEPFYYLLQNVSSTLQHLKI CYCFLTDSTISVLIPALTRCSQLRVLHFYSNQITMGMLMRILELLTPLKELKHVIYPIPV HCYGRWHFQGSLDRQKVEDVQRQLNLMLQEAERSDMNWITYSD >ENSMUSP00000137277.1 pep:known chromosome:GRCm38:X:135433835:135443680:1 gene:ENSMUSG00000096508.7 transcript:ENSMUST00000179953.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7903 description:predicted gene 7903 [Source:MGI Symbol;Acc:MGI:3648697] MDTKDPPTLLDLATQSLLSNEHAAIQALEEIPRELFVPLFTAAFTGRHKNILTAIVKAWP FACLHIGALSVQEPERELLKALIESLQFLPALNSATRSPKLRILDLRQDAGCKTVCPDIS TNSTICFRSCAHSEHSIFKIEGQESLSSSKSEAQPSRLPMELIVDISLDGTLRERNFFAL LQNKVEQSLGSLHLCCRDLQINNLCECRHALSHLDLKCVDHLAVDESPLTEVTKLLSHTI QLDSLSLSKIACRSLNGRTFRNFITQLSRMNHLKELSLSSFCLTDHLETVLRVLSADLEF LYLPFCGLSYSDFKFLSECSQVSHLKLLNVNNNPIYWEDCEPFYYLLQNVSSTLQHLKIC YCFLTDSTISVLIPALTRCSQLRVLHFYSNQITMGMLMRILELLTPLKELKHVIYPIPVH CYGRWHFQGSLDRQKVEDVQRQLNLMLQEAERSDMNWITYSD >ENSMUSP00000113362.1 pep:known chromosome:GRCm38:7:143688762:143740341:-1 gene:ENSMUSG00000037606.18 transcript:ENSMUST00000119499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl5 description:oxysterol binding protein-like 5 [Source:MGI Symbol;Acc:MGI:1930265] MKEEAFLRRRFSLCPPASTPQKTDPRKVPRNLLLGCENELGPITPGRDMESNGPSQPRDE EPQTPGSATKVPLAEYRLCNGSDKECTSPTTRVSKKDALKAQKENYRQEKKRATKQLFSA LTDPSVVIMADSLKIRGTLKSWTKLWCVLKPGVLLIYKTPKVGQWVGTVLLHCCELIERP SKKDGFCFKLFHPLDQSVWAVKGPKGESVGSITQPLPSSYLIFRAASESDGRCWLDALEL ALRCSSLLRLSTCKQGRDGEQGSSPDASPSSLYGLPTSATIPDQDLFPLNGSALENDAFS DKSERENAEDSDAETQDHSRKTNESGSDLLDSPGGPWRGTTYVEQVQEELGELDETSQVE TVSEENKSLMWVLLRQLRPGMDLSRVVLPTFVLEPRSFLGKLSDYYYHGDLLSRAAAEDD PYCRMKLVLRWYLSGFYKKPKGIKKPYNPILGETFRCRWLHPQTNSHTFYIAEQVSHHPP VSAFYVSNRKDGFCMSGSITAKSKFYGNSLSALLDGKAKLTFLNRKEEYTLTMPYAHCRG ILYGTMTMELGGKVNIECEKNNLQAELDFKLKPFFGSSANINQISGKIMSGEEVLARLTG HWDRDVFIKEESSGGTELFWTPSEEVRRQRLKRHTVLLEEQSELESERLWQHVTRAIREG DQHKATQEKSVLEEAQRQRAREHQQSLTPWKPQLFLLDPLTQEWRYRYEDLSPWDPLKDI AQYEQDGILHTLQRETMSGQTTFLGSPDSRHKRPSSDRRLRKASDQPSGHSQVTESSGST PESCPDLSDEDFVPGGESPCPRCRREVHRLKMLQEAVLSIQEAQQELHRHLSTMLSSTVR AGQAPAPSLLQNPRSWFLLCIFLTCQLFINYILK >ENSMUSP00000020411.7 pep:known chromosome:GRCm38:7:143688762:143741963:-1 gene:ENSMUSG00000037606.18 transcript:ENSMUST00000020411.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl5 description:oxysterol binding protein-like 5 [Source:MGI Symbol;Acc:MGI:1930265] MALSILSEQFCIRRPRQKPPSTHTMKEEAFLRRRFSLCPPASTPQKTDPRKVPRNLLLGC ENELGPITPGRDMESNGPSQPRDEEPQTPGSATKVPLAEYRLCNGSDKECTSPTTRVSKK DALKAQKENYRQEKKRATKQLFSALTDPSVVIMADSLKIRGTLKSWTKLWCVLKPGVLLI YKTPKVGQWVGTVLLHCCELIERPSKKDGFCFKLFHPLDQSVWAVKGPKGESVGSITQPL PSSYLIFRAASESDGRCWLDALELALRCSSLLRLSTCKQGRDGEQGSSPDASPSSLYGLP TSATIPDQDLFPLNGSALENDAFSDKSERENAEDSDAETQDHSRKTNESGSDLLDSPGGP WRGTTYVEQVQEELGELDETSQVETVSEENKSLMWVLLRQLRPGMDLSRVVLPTFVLEPR SFLGKLSDYYYHGDLLSRAAAEDDPYCRMKLVLRWYLSGFYKKPKGIKKPYNPILGETFR CRWLHPQTNSHTFYIAEQVSHHPPVSAFYVSNRKDGFCMSGSITAKSKFYGNSLSALLDG KAKLTFLNRKEEYTLTMPYAHCRGILYGTMTMELGGKVNIECEKNNLQAELDFKLKPFFG SSANINQISGKIMSGEEVLARLTGHWDRDVFIKEESSGGTELFWTPSEEVRRQRLKRHTV LLEEQSELESERLWQHVTRAIREGDQHKATQEKSVLEEAQRQRAREHQQSLTPWKPQLFL LDPLTQEWRYRYEDLSPWDPLKDIAQYEQDGILHTLQRETMSGQTTFLGSPDSRHKRPSS DRRLRKASDQPSGHSQVTESSGSTPESCPDLSDEDFVPGGESPCPRCRREVHRLKMLQEA VLSIQEAQQELHRHLSTMLSSTVRAGQAPAPSLLQNPRSWFLLCIFLTCQLFINYILK >ENSMUSP00000115141.2 pep:known chromosome:GRCm38:7:143709051:143756985:-1 gene:ENSMUSG00000037606.18 transcript:ENSMUST00000134056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl5 description:oxysterol binding protein-like 5 [Source:MGI Symbol;Acc:MGI:1930265] MPCCTKPPSTHTMKEEAFLRRRFSLCPPASTPQKTDPRKVPRNLLLGCENELGPITPGRD MESNGPSQPRDEEPQTPGSATKVPLAEYRLCNGSDKECTSPTTRVSKKDALKAQKENYRQ EKKRATKQLFSALTDPSVVIMADSLKIRGTLKSWTKLWCVLKPGVLLIYKTPKVGQWVGT VLLHCCELIERPSKKDGFC >ENSMUSP00000088717.1 pep:known chromosome:GRCm38:Y:2662471:2663658:-1 gene:ENSMUSG00000069036.3 transcript:ENSMUST00000091178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sry description:sex determining region of Chr Y [Source:MGI Symbol;Acc:MGI:98660] MEGHVKRPMNAFMVWSRGERHKLAQQNPSMQNTEISKQLGCRWKSLTEAEKRPFFQEAQR LKILHREKYPNYKYQPHRRAKVSQRSGILQPAVASTKLYNLLQWDRNPHAITYRQDWSRA AHLYSKNQQSFYWQPVDIPTGHLQQQQQQQQQQQFHNHHQQQQQFYDHHQQQQQQQQQQQ QFHDHHQQKQQFHDHHQQQQQFHDHHHHHQEQQFHDHHQQQQQFHDHQQQQQQQQQQQFH DHHQQKQQFHDHHHHQQQQQFHDHQQQQQQFHDHQQQQHQFHDHPQQKQQFHDHPQQQQQ FHDHHHQQQQKQQFHDHHQQKQQFHDHHQQKQQFHDHHQQQQQFHDHHQQQQQQQQQQQQ QFHDQQLTYLLTADITGEHTPYQEHLSTALWLAVS >ENSMUSP00000021119.2 pep:known chromosome:GRCm38:11:73304992:73324634:-1 gene:ENSMUSG00000020774.9 transcript:ENSMUST00000021119.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspa description:aspartoacylase [Source:MGI Symbol;Acc:MGI:87914] MTSCVAKEPIKKIAIFGGTHGNELTGVFLVTHWLRNGTEVHRAGLDVKPFITNPRAVEKC TRYIDCDLNRVFDLENLSKEMSEDLPYEVRRAQEINHLFGPKNSDDAYDLVFDLHNTTSN MGCTLILEDSRNDFLIQMFHYIKTCMAPLPCSVYLIEHPSLKYATTRSIAKYPVGIEVGP QPHGVLRADILDQMRKMIKHALDFIQHFNEGKEFPPCSIDVYKIMEKVDYPRNESGDMAA VIHPNLQDQDWKPLHPGDPVFVSLDGKVIPLGGDCTVYPVFVNEAAYYEKKEAFAKTTKL TLSAKSIRSTLH >ENSMUSP00000139318.1 pep:known chromosome:GRCm38:11:73304992:73329596:-1 gene:ENSMUSG00000020774.9 transcript:ENSMUST00000184572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspa description:aspartoacylase [Source:MGI Symbol;Acc:MGI:87914] MTSCVAKEPIKKIAIFGGTHGNELTGVFLVTHWLRNGTEVHRAGLDVKPFITNPRAVEKC TRYIDCDLNRVFDLENLSKEMSEDLPYEVRRAQEINHLFGPKNSDDAYDLVFDLHNTTSN MGCTLILEDSRNDFLIQMFHYIKTCMAPLPCSVYLIEHPSLKYATTRSIAKYPVGIEVGP QPHGVLRADILDQMRKMIKHALDFIQHFNEGKEFPPCSIDVYKIMEKVDYPRNESGDMAA VIHPNLQDQDWKPLHPGDPVFVSLDGKVIPLGGDCTVYPVFVNEAAYYEKKEAFAKTTKL TLSAKSIRSTLH >ENSMUSP00000139131.1 pep:known chromosome:GRCm38:11:73313553:73326477:-1 gene:ENSMUSG00000020774.9 transcript:ENSMUST00000155630.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspa description:aspartoacylase [Source:MGI Symbol;Acc:MGI:87914] MTSCVAKEPIKKIAIFGGTHGNELTGVFLVTHWLRNGTEVHRAGLDVKPFITNPRAVEKC TRYIDCDLNRVFDLENLSKEMSEDLPYEVRRAQEINHLFGPKNSDDAYDLVFDLHNTTSN MGCTLILEDSRNDFLIQMFHYIKTCMAPLPCSVYLIEHPSLKYATTRSIAKYPVGIEVGP QPHGVLRADILDQMRK >ENSMUSP00000121135.1 pep:known chromosome:GRCm38:11:73319829:73326807:-1 gene:ENSMUSG00000020774.9 transcript:ENSMUST00000134079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspa description:aspartoacylase [Source:MGI Symbol;Acc:MGI:87914] MTSCVAKEPIKKIAIFGGTHGNELTGVFLVTHWLRNGTEVHRAGLDVKPFITNPRAVEKC TRYIDCDLNRVFDLENLSKEMSEDLPYEVRRAQEINHLFGPKNSDDAYDLVFDLHNTTSN MGCTLILEDSRNDFLIQMFHYIKTCMAPLPCSVYLIEHPSLKY >ENSMUSP00000118109.1 pep:known chromosome:GRCm38:11:73322214:73324614:-1 gene:ENSMUSG00000020774.9 transcript:ENSMUST00000141898.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aspa description:aspartoacylase [Source:MGI Symbol;Acc:MGI:87914] MTSCVAKEPIKKIAIFGGTHGNELTGVFLVTHWLRNGTEVHRAGLDVKPFITNPRAVEKC TRYIDCDLNRVFDLENLRFCPDSPQPAILAAWEAEAGGNPPSLAAE >ENSMUSP00000055930.5 pep:known chromosome:GRCm38:11:64435332:64522841:1 gene:ENSMUSG00000047759.6 transcript:ENSMUST00000058652.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st3a1 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 3A1 [Source:MGI Symbol;Acc:MGI:1333861] MAPSGPTGAQPSPAEPLSRSIFRKFLLMLCSLLTSLYVFYCLAERCPPGSGPVAGVPGRG VPAGPRELAMWPAGAPRKRLLQLRQRRRRGRSGPGDSSDQEEQSPGLAAAPGGSGAGSSV AEAQPGTLALLLDEGSKQLPQAIIIGVKKGGTRALLEFLRVHPDVRAVGAEPHFFDRSYH KGLAWYRDLMPRTLEGQITMEKTPSYFVTREAPARISAMSKDTKLIVVVRDPVTRAISDY TQTLSKRPDIPSFESLTFRNRSAGLIDTSWSAIQIGLYAKHLEPWLRHFPLGQMLFVSGE RLVSDPAGELRRVQDFLGLKRIITDKHFYFNQTKGFPCLKKAEGSGKPHCLGKTKGRAHP TIAREVLRQLRDFYRPFNRKFYQMTGRDFGWDG >ENSMUSP00000113296.1 pep:known chromosome:GRCm38:3:98280435:98310732:1 gene:ENSMUSG00000027875.12 transcript:ENSMUST00000120541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcs2 description:3-hydroxy-3-methylglutaryl-Coenzyme A synthase 2 [Source:MGI Symbol;Acc:MGI:101939] MQRLLAPARRVLQVKRAMQETSLTPAHLLSAAQQRFSTIPPAPLAKTDTWPKDVGILALE VYFPAQYVDQTDLEKFNNVEAGKYTVGLGQTRMGFCSVQEDINSLCLTVVQRLMERTKLP WDAVGRLEVGTETIIDKSKAVKTVLMELFQDSGNTDIEGIDTTNACYGGTASLFNAANWM ESSYWDGRYALVVCGDIAVYPSGNARPTGGAGAVAMLIGPKAPLVLEQGLRGTHMENAYD FYKPNLASEYPLVDGKLSIQCYLRALDRCYAAYRKKIQNQWKQAGNNQPFTLDDVQYMIF HTPFCKMVQKSLARLMFNDFLSSSSDKQNNLYKGLEAFRGLKLEETYTNKDVDKALLKAS LDMFNQKTKASLYLSTNNGNMYTSSLYGCLASLLSHHSAQELAGSRIGAFSYGSGLAASF FSFRVSKDASPGSPLEKLVSSVSDLPKRLDSRRRMSPEEFTEIMNQREQFYHKVNFSPPG DTSNLFPGTWYLERVDEMHRRKYARCPV >ENSMUSP00000088249.2 pep:known chromosome:GRCm38:3:98280435:98310738:1 gene:ENSMUSG00000027875.12 transcript:ENSMUST00000090746.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcs2 description:3-hydroxy-3-methylglutaryl-Coenzyme A synthase 2 [Source:MGI Symbol;Acc:MGI:101939] MQRLLAPARRVLQVKRAMQETSLTPAHLLSAAQQRFSTIPPAPLAKTDTWPKDVGILALE VYFPAQYVDQTDLEKFNNVEAGKYTVGLGQTRMGFCSVQEDINSLCLTVVQRLMERTKLP WDAVGRLEVGTETIIDKSKAVKTVLMELFQDSGNTDIEGIDTTNACYGGTASLFNAANWM ESSYWDGRYALVVCGDIAVYPSGNARPTGGAGAVAMLIGPKAPLVLEQGLRGTHMENAYD FYKPNLASEYPLVDGKLSIQCYLRALDRCYAAYRKKIQNQWKQAGNNQPFTLDDVQYMIF HTPFCKMVQKSLARLMFNDFLSSSSDKQNNLYKGLEAFRGLKLEETYTNKDVDKALLKAS LDMFNQKTKASLYLSTNNGNMYTSSLYGCLASLLSHHSAQELAGSRIGAFSYGSGLAASF FSFRVSKDASPGSPLEKLVSSVSDLPKRLDSRRRMSPEEFTEIMNQREQFYHKVNFSPPG DTSNLFPGTWYLERVDEMHRRKYARCPV >ENSMUSP00000074556.3 pep:known chromosome:GRCm38:2:168748332:168767029:-1 gene:ENSMUSG00000027547.17 transcript:ENSMUST00000075044.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sall4 description:sal-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2139360] MSRRKQAKPQHINWEEGQGEQPQQLPSPDLAEALAAEEPGRTSLPPTFIRAQPTFVKVEV PGTFVGPPSMPSGMPPLLASQPQPRRQAKQHCCTRCGKNFSSASALQIHERTHTGEKPFV CNICGRAFTTKGNLKVHYMTHGANNNSARRGRKLAIENPMAALSAEGKRAPEVFSKELLS PAVSVDPASWNQYTSVLNGGLAMKTNEISVIQSGGIPTLPVSLGASSVVSNGTISKLDGS QTGVSMPMSGNGEKLAVPDGMAKHQFPHFLEENKIAVS >ENSMUSP00000029061.5 pep:known chromosome:GRCm38:2:168748332:168767201:-1 gene:ENSMUSG00000027547.17 transcript:ENSMUST00000029061.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sall4 description:sal-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2139360] MSRRKQAKPQHINWEEGQGEQPQQLPSPDLAEALAAEEPGAPVNSPGNCDEASEDSIPVK RPRREDTHICNKCCAEFFSLSEFMEHKKSCTKTPPVLIMNDSEGPVPSEDFSRAALSHQL GSPSNKDSLQENGSSSGDLKKLGTDSILYLKTEATQPSTPQDISYLPKGKVANTNVTLQA LRGTKVAVNQRGAEAPMAPMPAAQGIPWVLEQILCLQQQQLQQIQLTEQIRVQVNMWAAH ALHSGVAGADTLKALSSHVSQQVSVSQQVSAAVALLSQKASNPALSLDALKQAKLPHASV PSAASPLSSGLTSFTLKPDGTRVLPNFVSRLPSALLPQTPGSVLLQSPFSAVTLDQSKKG KGKPQNLSASASVLDVKAKDEVVLGKHKCRYCPKVFGTDSSLQIHLRSHTGERPYVCPIC GHRFTTKGNLKVHLQRHPEVKANPQLLAEFQDKGAVSAASHYALPVPVPADESSLSVDAE PVPVTGTPSLGLPQKLTSGPNSRDLMGGSLPNDMQPGPSPESEAGLPLLGVGMIHNPPKA GGFQGTGAPESGSETLKLQQLVENIDKATTDPNECLICHRVLSCQSSLKMHYRTHTGERP FQCKICGRAFSTKGNLKTHLGVHRTNTTVKTQHSCPICQKKFTNAVMLQQHIRMHMGGQI PNTPLPESPCDFTAPEPVAVSENGSASGVCQDDAAEGMEAEEVCSQDVPSGPSTVSLPVP SAHLASPSLGFSVLASLDTQGKGALPALALQRQSSRENSSLEGGDTGPANDSSLLVGDQE CQSRSPDATETMCYQAVSPANSQAGSVKSRSPEGHKAEGVESCRVDTEGRTSLPPTFIRA QPTFVKVEVPGTFVGPPSMPSGMPPLLASQPQPRRQAKQHCCTRCGKNFSSASALQIHER THTGEKPFVCNICGRAFTTKGNLKVHYMTHGANNNSARRGRKLAIENPMAALSAEGKRAP EVFSKELLSPAVSVDPASWNQYTSVLNGGLAMKTNEISVIQSGGIPTLPVSLGASSVVSN GTISKLDGSQTGVSMPMSGNGEKLAVPDGMAKHQFPHFLEENKIAVS >ENSMUSP00000099363.1 pep:known chromosome:GRCm38:2:168748332:168767201:-1 gene:ENSMUSG00000027547.17 transcript:ENSMUST00000103074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sall4 description:sal-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2139360] MSRRKQAKPQHINWEEGQGEQPQQLPSPDLAEALAAEEPGAPVNSPGNCDEASEDSIPVK RPRREDTHICNKCCAEFFSLSEFMEHKKSCTKTPPVLIMNDSEGPVPSEDFSRAALSHQL GSPSNKDSLQENGSSSGDLKKLGTDSILYLKTEATQPSTPQDISYLPKGKVANTNVTLQA LRGTKVAVNQRGAEAPMAPMPAAQGIPWVLEQILCLQQQQLQQIQLTEQIRVQVNMWAAH ALHSGVAGADTLKALSSHVSQQVSVSQQVSAAVALLSQKASNPALSLDALKQAKLPHASV PSAASPLSSGLTSFTLKPDGTRVLPNFVSRLPSALLPQTPGSVLLQSPFSAVTLDQSKKG KGKPQNLSASASVLDVKAKDEVVLGRTSLPPTFIRAQPTFVKVEVPGTFVGPPSMPSGMP PLLASQPQPRRQAKQHCCTRCGKNFSSASALQIHERTHTGEKPFVCNICGRAFTTKGNLK VHYMTHGANNNSARRGRKLAIENPMAALSAEGKRAPEVFSKELLSPAVSVDPASWNQYTS VLNGGLAMKTNEISVIQSGGIPTLPVSLGASSVVSNGTISKLDGSQTGVSMPMSGNGEKL AVPDGMAKHQFPHFLEENKIAVS >ENSMUSP00000119628.1 pep:known chromosome:GRCm38:2:168754915:168755943:-1 gene:ENSMUSG00000027547.17 transcript:ENSMUST00000150588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sall4 description:sal-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2139360] NFVSRLPSALLPQTPGSVLLQSPFSAVTLDQSKKGKGKPQNLSASASVLDVKAKDEVVLG ERPFQCKICGRAFSTKGNLKTHLGVHRTNTTVKTQHSCPICQKKFTNAVMLQQHIRMHMG GQIPNTPLPES >ENSMUSP00000115646.1 pep:known chromosome:GRCm38:2:168756181:168765246:-1 gene:ENSMUSG00000027547.17 transcript:ENSMUST00000137536.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sall4 description:sal-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2139360] MCGLRHTPGAPVNSPGNCDEASEDSIPVKRPRREDTHICNKCCAEFFSLSEFMEHKKSCT KTPPVLIMNDSEGPVPSEDFSRAALSHQLGSPSNKDSLQENGSSSGDLKKLGTDSILYLK TEATQPSTPQDISYLPKGKVANTNVTLQALRGTKVAVNQRGAEAPMAPMPAAQGIPWVLE QILCLQQQQLQQIQLTEQIRVQVNMWAAHALHSGV >ENSMUSP00000101709.1 pep:known chromosome:GRCm38:3:152395473:152459474:1 gene:ENSMUSG00000039068.16 transcript:ENSMUST00000106103.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zzz3 description:zinc finger, ZZ domain containing 3 [Source:MGI Symbol;Acc:MGI:1920453] MIDLWLYSYQRLLQTIAVLEAQRSQAVQDLESLGKHQREALKNPIGFVEKLQKKADIGLP YPQRVVQLPEIMWDQYTNSLGNFEREFKHRKRHTRRVKLVFDKVGLPARPKSPLDPKKDG ESLSYSMLPLSDGPEGSHNRPQMIRGRLCDDSKPETFNQLWTVEEQKKLEQLLLKYPPEE VESRRWQKIADELGNRTAKQVASRVQKYFIKLTKAGIPVPGRTPNLYIYSRKSSTSRRQH PLNKHLFKPSTFMTSHEPPVYMDEDDDRSCLHSHMSTAAEEASDEESIPIIYRSLPEYKE LLQFKKLKKQKLQQMQAESGFVQHVGFKCDNCGVEPIQGVRWHCQDCPPEMSLDFCDSCS DCPHETDIHKEDHQLEPVYKSETFLDRDYCVSQGTSYSYLDPNYFPANR >ENSMUSP00000101706.2 pep:known chromosome:GRCm38:3:152396003:152462826:1 gene:ENSMUSG00000039068.16 transcript:ENSMUST00000106100.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zzz3 description:zinc finger, ZZ domain containing 3 [Source:MGI Symbol;Acc:MGI:1920453] MVGTCHSMAASRSTRVTRSTVGLNGLDESFCGRTLRNRSIAHPEEISSHSQVRSRSPKKR AEPVPTQKGTNNGRTSDVRQQSARDSWVSPRKRRLSSSEKDDLERQALESCERRQAEPAP PVFKNIKRCLRAEATNSSEEDSPVKPDKEPGEHRRIVVDHDADFQGAKRACRCLILDDCE KREVKKVNVSEEGPLNAAVVEEITGYLTVNGVDDSDSAVINCDDCQPDGNTKQNNPGSCV LQEESVAGDGDSETQTSVFCGSRKEDSCIDHFVPCTKSDVQVKLEDHKLVTACLPVERRN QLTAESASGPVSEIQSSLRDSEEEVDVVGDSSASKEQCNENSSNPLDTGSERMPVSGEPE LSSILDCVSAQMTSLSEPQEHRYTLRTSPRRAALARSSPTKTTSPYRENGQLEETNLSPQ ETNTTVSDHVSESPTDPAEVPQDGKVLCCDSENYGSEGLSKPPSEARVNIGHLPSAKESA SQHTAEEEDDDPDVYYFESDHVALKHNKDYQRLLQTIAVLEAQRSQAVQDLESLGKHQRE ALKNPIGFVEKLQKKADIGLPYPQRVVQLPEIMWDQYTNSLGNFEREFKHRKRHTRRVKL VFDKVGLPARPKSPLDPKKDGESLSYSMLPLSDGPEGSHNRPQMIRGRLCDDSKPETFNQ LWTVEEQKKLEQLLLKYPPEEVESRRWQKIADELGNRTAKQVASRVQKYFIKLTKAGIPV PGRTPNLYIYSRKSSTSRRQHPLNKHLFKPSTFMTSHEPPVYMDEDDDRSCLHSHMSTAA EEASDEESIPIIYRSLPEYKELLQFKKLKKQKLQQMQAESGFVQHVGFKCDNCGVEPIQG VRWHCQDCPPEMSLDFCDSCSDCPHETDIHKEDHQLEPVYKSETFLDRDYCVSQGTSYSY LDPNYFPANR >ENSMUSP00000143693.1 pep:known chromosome:GRCm38:3:152396132:152459552:1 gene:ENSMUSG00000039068.16 transcript:ENSMUST00000200570.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zzz3 description:zinc finger, ZZ domain containing 3 [Source:MGI Symbol;Acc:MGI:1920453] MLGSEVLVQHFNSYQRLLQTIAVLEAQRSQAVQDLESLGKHQREALKNPIGFVEKLQKKA DIGLPYPQRVVQLPEIMWDQYTNSLGNFEREFKHRKRHTRRVKLVFDKGLPARPKSPLDP KKDGESLSYSMLPLSDGPEGSHNRPQMIRGRLCDDSKPETFNQLWTVEEQKKLEQLLLKY PPEEVESRRWQKIADELGNRTAKQVASRVQKYFIKLTKAGIPVPGRTPNLYIYSRKSSTS RRQHPLNKHLFKPSTFMTSHEPPVYMDEDDDRSCLHSHMSTAAEEASDEESIPIIYRSLP EYKELLQFKKLKKQKLQQMQAESGFVQHVGFKCDNCGVEPIQGVRWHCQDCPPEMSLDFC DSCSDCPHETDIHKEDHQLEPVYKSETFLDRDYCVSQGTSYSYLDPNYFPANR >ENSMUSP00000087428.4 pep:known chromosome:GRCm38:3:152396684:152459477:1 gene:ENSMUSG00000039068.16 transcript:ENSMUST00000089982.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zzz3 description:zinc finger, ZZ domain containing 3 [Source:MGI Symbol;Acc:MGI:1920453] MVGTCHSMAASRSTRVTRSTVGLNGLDESFCGRTLRNRSIAHPEEISSHSQVRSRSPKKR AEPVPTQKGTNNGRTSDVRQQSARDSWVSPRKRRLSSSEKDDLERQALESCERRQAEPAP PVFKNIKRCLRAEATNSSEEDSPVKPDKEPGEHRRIVVDHDADFQGAKRACRCLILDDCE KREVKKVNVSEEGPLNAAVVEEITGYLTVNGVDDSDSAVINCDDCQPDGNTKQNNPGSCV LQEESVAGDGDSETQTSVFCGSRKEDSCIDHFVPCTKSDVQVKLEDHKLVTACLPVERRN QLTAESASGPVSEIQSSLRDSEEEVDVVGDSSASKEQCNENSSNPLDTGSERMPVSGEPE LSSILDCVSAQMTSLSEPQEHRYTLRTSPRRAALARSSPTKTTSPYRENGQLEETNLSPQ ETNTTVSDHVSESPTDPAEVPQDGKVLCCDSENYGSEGLSKPPSEARVNIGHLPSAKESA SQHTAEEEDDDPDVYYFESDHVALKHNKDYQRLLQTIAVLEAQRSQAVQDLESLGKHQRE ALKNPIGFVEKLQKKADIGLPYPQRVVQLPEIMWDQYTNSLGNFEREFKHRKRHTRRVKL VFDKGLPARPKSPLDPKKDGESLSYSMLPLSDGPEGSHNRPQMIRGRLCDDSKPETFNQL WTVEEQKKLEQLLLKYPPEEVESRRWQKIADELGNRTAKQVASRVQKYFIKLTKAGIPVP GRTPNLYIYSRKSSTSRRQHPLNKHLFKPSTFMTSHEPPVYMDEDDDRSCLHSHMSTAAE EASDEESIPIIYRSLPEYKELLQFKKLKKQKLQQMQAESGFVQHVGFKCDNCGVEPIQGV RWHCQDCPPEMSLDFCDSCSDCPHETDIHKEDHQLEPVYKSETFLDRDYCVSQGTSYSYL DPNYFPANR >ENSMUSP00000101707.1 pep:known chromosome:GRCm38:3:152396694:152462826:1 gene:ENSMUSG00000039068.16 transcript:ENSMUST00000106101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zzz3 description:zinc finger, ZZ domain containing 3 [Source:MGI Symbol;Acc:MGI:1920453] MVGTCHSMAASRSTRVTRSTVGLNGLDESFCGRTLRNRSIAHPEEISSHSQVRSRSPKKR AEPVPTQKGTNNGRTSDVRQQSARDSWVSPRKRRLSSSEKDDLERQALESCERRQAEPAP PVFKNIKRCLRAEATNSSEEDSPVKPDKEPGEHRRIVVDHDADFQGAKRACRCLILDDCE KREVKKVNVSEEGPLNAAVVEEITGYLTVNGVDDSDSAVINCDDCQPDGNTKQNNPGSCV LQEESVAGDGDSETQTSVFCGSRKEDSCIDHFVPCTKSDVQVKLEDHKLVTACLPVERRN QLTAESASGPVSEIQSSLRDSEEEVDVVGDSSASKEQCNENSSNPLDTGSERMPVSGEPE LSSILDCVSAQMTSLSEPQEHRYTLRTSPRRAALARSSPTKTTSPYRENGQLEETNLSPQ ETNTTVSDHVSESPTDPAEVPQDGKVLCCDSENYGSEGLSKPPSEARVNIGHLPSAKESA SQHTAEEEDDDPDVYYFESDHVALKHNKDYQRLLQTIAVLEAQRSQAVQDLESLGKHQRE ALKNPIGFVEKLQKKADIGLPYPQRVVQLPEIMWDQYTNSLGNFEREFKHRKRHTRRVKL VFDKVGLPARPKSPLDPKKDGESLSYSMLPLSDGPEGSHNRPQMIRGRLCDDSKPETFNQ LWTVEEQKKLEQLLLKYPPEEVESRRWQKIADELGNRTAKQVASRVQKYFIKLTKAGIPV PGRTPNLYIYSRKSSTSRRQHPLNKHLFKPSTFMTSHEPPVYMDEDDDRSCLHSHMSTAA EEASDEESIPIIYRSLPEYKELLQFKKLKKQKLQQMQAESGFVQHVGFKCDNCGVEPIQG VRWHCQDCPPEMSLDFCDSCSDCPHETDIHKEDHQLEPVYKSETFLDRDYCVSQGTSYSY LDPNYFPANR >ENSMUSP00000010192.5 pep:known chromosome:GRCm38:9:107587642:107593038:1 gene:ENSMUSG00000010048.10 transcript:ENSMUST00000010192.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifrd2 description:interferon-related developmental regulator 2 [Source:MGI Symbol;Acc:MGI:1316708] MPRARKGNALRKGGQRRGGGARSSTQADSGSSEDEAASEARSTTSDCPSLLSATTEDCLG GEAVDEQSQQENLEEKLKGYVDCLTDKSAKTRQGALESLRLALASRLLPDFLLERSLTLA DALEKCLKKGKGEEQALAAAVLGILCVQLGPGPKGEELFRSLQPLLISVLSDSTASPTAR LHCASALGLGCYVAATDVQDLVSCLACLEGVFSWSCGTSGSAASLVPASLHGLLCAALQA WALLLTICPGTHISHILDRQLPRLPQLLSSESVNLRIAAGEAIALLFELARDLEEDFVYE DMEALCGSLRTLATDSNKYRAKVDRRRQRSIFRAVLHFVEGGECEEETVRFGLEVLYIDS WARHRIYTAFKDVLGSGIHYHLQNNELLRDIFGLGPVLVLDAAALKACKISRFEKHLYNA AAFKARTKARSRARDKRADIL >ENSMUSP00000141718.1 pep:known chromosome:GRCm38:9:107587757:107591694:1 gene:ENSMUSG00000010048.10 transcript:ENSMUST00000195725.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ifrd2 description:interferon-related developmental regulator 2 [Source:MGI Symbol;Acc:MGI:1316708] MPRARKGNALRKGGQRRGGGARSSTQADSGSSEDEAASEARSTTSDCPSLLSATTEDCLG GEAVDEQSQQENLEEKLKGYVDCLTDKSAKTRQGALESLRLALASRLLPDFLLERSLTLA DALEKCLKKGLGIAAHHLSWYPHQPYP >ENSMUSP00000142217.1 pep:known chromosome:GRCm38:9:107591107:107592708:1 gene:ENSMUSG00000010048.10 transcript:ENSMUST00000195746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifrd2 description:interferon-related developmental regulator 2 [Source:MGI Symbol;Acc:MGI:1316708] XQEDFVYEDMEALCGSLRTLATDSNKYRAKVDRRRQRSIFRAVLHFVEGGECEEETVRFG LEVLYIDSWARHRIYTAFKDVLGSGIHYHLQNNELLRDIFGLGPVLVLDAAALKACKISR FEKHLYNAAAFKARTKARSRARDKRADIL >ENSMUSP00000141351.1 pep:known chromosome:GRCm38:9:107592085:107592754:1 gene:ENSMUSG00000010048.10 transcript:ENSMUST00000193140.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ifrd2 description:interferon-related developmental regulator 2 [Source:MGI Symbol;Acc:MGI:1316708] XEEETVRFGLEVLYIDSWARHRIYTAFKDVLGSGIHYHLQLIALFSEQ >ENSMUSP00000091310.5 pep:known chromosome:GRCm38:9:99874106:99876170:-1 gene:ENSMUSG00000053747.9 transcript:ENSMUST00000054819.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox14 description:SRY (sex determining region Y)-box 14 [Source:MGI Symbol;Acc:MGI:98362] MSKPSDHIKRPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKLLSEAEKRPYIDE AKRLRAQHMKEHPDYKYRPRRKPKNLLKKDRYVFPLPYLGDTDPLKAAGLPVGASDGLLS APEKARAFLPPASAPYSLLDPAQFSSSAIQKMGEVPHTLATSALPYASTLGYQNGAFGSL SCPSQHTHTHPSPTNPGYVVPCNCTAWSASTLQPPVAYILFPGMTKTGIDPYSSAHATAM >ENSMUSP00000138239.1 pep:known chromosome:GRCm38:9:99874220:99875655:-1 gene:ENSMUSG00000053747.9 transcript:ENSMUST00000183065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox14 description:SRY (sex determining region Y)-box 14 [Source:MGI Symbol;Acc:MGI:98362] XPMNAFMVWSRGQRRKMAQENPKMHNSEISKRLGAEWKLLSEAEKRPYIDEAKRLRAQHM KEHPDYKYRPRRKPKNLLKKDSSSAIQKMGEVPHTLATSALPYASTLGYQNGAFGSLSCP SQHTHTHPSPTNPGYVVPCNCTAWSASTLQPPVAYILFPGMTKTGIDPYSSAHATAM >ENSMUSP00000143405.1 pep:known chromosome:GRCm38:5:122371876:122382841:1 gene:ENSMUSG00000029464.10 transcript:ENSMUST00000127608.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpn3 description:GPN-loop GTPase 3 [Source:MGI Symbol;Acc:MGI:1289326] MPRYAQLVMGPAGSGKSTYCSTMVQHCEALNRSVQVVNLDPAAEHFNYPVMADIRELIEV DDVMEDESLRFGPNGGLVFCMEYFANNFDWLENCLGHVEDDYILFDCPGQIELYTHLPVM KQLVQQLEQWEFRVCGVFLVDSQFMVESFKFISGILAALSAMVSLEIPQVNIMTKMDLLS KKAKKEIEK >ENSMUSP00000031420.4 pep:known chromosome:GRCm38:5:122372451:122382902:1 gene:ENSMUSG00000029464.10 transcript:ENSMUST00000031420.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpn3 description:GPN-loop GTPase 3 [Source:MGI Symbol;Acc:MGI:1289326] MPRYAQLVMGPAGSGKSTYCSTMVQHCEALNRSVQVVNLDPAAEHFNYPVMADIRELIEV DDVMEDESLRFGPNGGLVFCMEYFANNFDWLENCLGHVEDDYILFDCPGQIELYTHLPVM KQLVQQLEQWEFRVCGVFLVDSQFMVESFKFISGILAALSAMVSLEIPQVNIMTKMDLLS KKAKKEIEKFLDPDMYSLIDDSTGDLRSQKFKKLTKAVCGLVDDYSMVRFLPYDQSDEES MNIVLQHIDFAIQYGEDLEFKEPREHEEESSSMFDEYFQERQNE >ENSMUSP00000120667.1 pep:known chromosome:GRCm38:5:122373985:122382299:1 gene:ENSMUSG00000029464.10 transcript:ENSMUST00000123537.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpn3 description:GPN-loop GTPase 3 [Source:MGI Symbol;Acc:MGI:1289326] XAAEHFNYPVMADIRELIEVDDVMEDESLRFGPNGGLVFCMEYFANNFDWLENCLGHVED DYILFDCPGQIELYTHLPVMKQLVQQLEQWEFRVCGVFLVDSQFMVESFKVCG >ENSMUSP00000120204.1 pep:known chromosome:GRCm38:5:122381268:122382902:1 gene:ENSMUSG00000029464.10 transcript:ENSMUST00000146698.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpn3 description:GPN-loop GTPase 3 [Source:MGI Symbol;Acc:MGI:1289326] DLLSKKAKKEIEKWMTTAWSASCHTTSQTRRA >ENSMUSP00000116560.1 pep:known chromosome:GRCm38:5:122381293:122382532:1 gene:ENSMUSG00000029464.10 transcript:ENSMUST00000151173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpn3 description:GPN-loop GTPase 3 [Source:MGI Symbol;Acc:MGI:1289326] XEIEKFLDPDMYSLIDDSTGDLRSQKFKKLTKAVCGLVDDYSMVRFLPYDQSDEESMNIV LQHIDFAIQYGEDLEFKEPRVSWASLCQLKRGPQRRGCMVCSVLPL >ENSMUSP00000141047.1 pep:known chromosome:GRCm38:Y:78835721:78838056:-1 gene:ENSMUSG00000094739.2 transcript:ENSMUST00000190349.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20806 description:predicted gene, 20806 [Source:MGI Symbol;Acc:MGI:5434162] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136000.1 pep:known chromosome:GRCm38:Y:78835860:78836543:-1 gene:ENSMUSG00000094739.2 transcript:ENSMUST00000180324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20806 description:predicted gene, 20806 [Source:MGI Symbol;Acc:MGI:5434162] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000001699.7 pep:known chromosome:GRCm38:15:102966796:102971893:1 gene:ENSMUSG00000022484.7 transcript:ENSMUST00000001699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc10 description:homeobox C10 [Source:MGI Symbol;Acc:MGI:96192] MTCPRNVTPNSYAEPLAAPGGGERYNRNAGMYMQSGSDFNCGVMRGCGLAPSLSKRDEGG SPNLALNTYPSYLSQLDSWGDPKAAYRLEQPVGRPLSSCSYPPSVKEENVCCMYSAEKRA KSGPEAALYSHPLPESCLGEHEVPVPSYYRASPSYSALDKTPHCAGANEFEAPFEQRASL NPRTEHLESPQLGGKVSFPETPKSDSQTPSPNEIKTEQSLAGPKASPSESEKERAKTADS SPDTSDNEAKEEIKAENTTGNWLTAKSGRKKRCPYTKHQTLELEKEFLFNMYLTRERRLE ISKTINLTDRQVKIWFQNRRMKLKKMNRENRIRELTSNFNFT >ENSMUSP00000001711.4 pep:known chromosome:GRCm38:15:103009573:103011881:1 gene:ENSMUSG00000001661.5 transcript:ENSMUST00000001711.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc6 description:homeobox C6 [Source:MGI Symbol;Acc:MGI:96197] MNSYFTNPSLSCHLAGGQDVLPNVALNSTAYDPVRHFSTYGAAVAQNRIYSTPFYSPQEN VVFSSSRGPYDYGSNSFYQEKDMLSNCRQNTLGHNTQTSIAQDFSSEQGRTAPQDQKASI QIYPWMQRMNSHSGVGYGADRRRGRQIYSRYQTLELEKEFHFNRYLTRRRRIEIANALCL TERQIKIWFQNRRMKWKKESNLTSTLSGGGGGATADSLGGKEEKREETEEEKQKE >ENSMUSP00000132924.1 pep:known chromosome:GRCm38:Y:2720339:2720674:-1 gene:ENSMUSG00000095573.2 transcript:ENSMUST00000168551.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16501 description:predicted gene 16501 [Source:MGI Symbol;Acc:MGI:3710623] MARKSQRRRRWKITHSQRAELQFPVSRVDRFLGEGIYSRRLSSSALVFLVGVLEYLTPNI LGLAGEVTHTSGMKRIAPEHVCQVVQNKEQLHQLFKQGGTSVFEPPEPEDN >ENSMUSP00000001703.6 pep:known chromosome:GRCm38:15:102990607:102993821:1 gene:ENSMUSG00000001657.7 transcript:ENSMUST00000001703.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc8 description:homeobox C8 [Source:MGI Symbol;Acc:MGI:96198] MSSYFVNPLFSKYKGGESLEPAYYDCRFPQSVGRSHALVYGPGGSAPGFQHASHHVQDFF HHGTSGISNSGYQQNPCSLSCHGDASKFYGYEALPRQSLYGAQQEASVVQYPDCKSSANT NSSEGQGHLNQNSSPSLMFPWMRPHAPGRRSGRQTYSRYQTLELEKEFLFNPYLTRKRRI EVSHALGLTERQVKIWFQNRRMKWKKENNKDKLPGARDEEKVEEEGNEEEEKEEEEKEEN KD >ENSMUSP00000036951.7 pep:known chromosome:GRCm38:6:115944938:115950231:1 gene:ENSMUSG00000042279.13 transcript:ENSMUST00000037831.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H1foo description:H1 histone family, member O, oocyte-specific [Source:MGI Symbol;Acc:MGI:2176207] MAPGSVSSVSSSSFPSRDTSPSGSCGLPGADKPGPSCRRIQAGQRNPTMLHMVLEALKAR EARQGTSVVAIKVYIQHKYPTVDTTRFKYLLKQALETGVRRGLLTRPAHSKAKGATGSFK LVPKPKTKKACAPKAGRGAAGAKETGSKKSGLLKKDQVGKATMEKGQKRRAYPCKAATLE MAPKKAKAKPKEVRKAPLKQDKAAGAPLTANGGQKVKRSGSRQEANAHGKTKGEKSKPLA SKVQNSVASLAKRKMADMAHTVTVVQGAETVQETKVPTPSQDIGHKVQPIPRVRKAKTPE NTQA >ENSMUSP00000124090.1 pep:known chromosome:GRCm38:6:115944938:115950231:1 gene:ENSMUSG00000042279.13 transcript:ENSMUST00000162084.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:H1foo description:H1 histone family, member O, oocyte-specific [Source:MGI Symbol;Acc:MGI:2176207] MAPGSVSSVSSSSFPSRDTSPSGSCGLPGADKPGTTSFCSPRLIWK >ENSMUSP00000123797.1 pep:known chromosome:GRCm38:6:115944938:115950236:1 gene:ENSMUSG00000042279.13 transcript:ENSMUST00000161969.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H1foo description:H1 histone family, member O, oocyte-specific [Source:MGI Symbol;Acc:MGI:2176207] MAPGSVSSVSSSSFPSRDTSPSGSCGLPGADKPGPSCRRIQAGQRNPTMLHMVLEALKAR EARQGTSVVAIKVYIQHKYPTVDTTRFKYLLKQALETGVRRGLLTRPAHSKAKGATGSFK LVPKPKTKKACAPKAGRGAAGAKETGSKKSGLLKKDQVGKATMEKGQKRRAYPCKAATLE MAPKKAKAKPKEVRKAPLKQDKAAGAPLTANGGQKVKRSGSRQEANAHGKTKGEKSKPLA SKVGPE >ENSMUSP00000125701.2 pep:known chromosome:GRCm38:6:115944953:115949921:1 gene:ENSMUSG00000042279.13 transcript:ENSMUST00000161617.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H1foo description:H1 histone family, member O, oocyte-specific [Source:MGI Symbol;Acc:MGI:2176207] MAPGSVSSVSSSSFPSRDTSPSGSCGLPGADKPGPSCRRIQAGQRNPTMLHMVLEALKAR EARQGTSVVAIKVYIQHKYPTVDTTRFKYLLKQALETGVRRGLLTRPAHSKAKGATGSFK LVPKPKTKKACAPKAGRGAAGAKETGSKKSGLLKKDQVGKATMEKGQKRRAYPCKAATLE MAPKKAKAKPKEVRKAPLKQDKAAGAPLTANGGQKVKRSGSRQANAHGKTKGEKSKPLAS KVQNSVASLAK >ENSMUSP00000144958.1 pep:known chromosome:GRCm38:6:115948757:115950225:1 gene:ENSMUSG00000042279.13 transcript:ENSMUST00000205177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H1foo description:H1 histone family, member O, oocyte-specific [Source:MGI Symbol;Acc:MGI:2176207] YPCKAATLEMAPKKAKAKPKEVRKAPLKQDKAAGAPLTANGGQKVKRSGSRQEANAHGKT KGPE >ENSMUSP00000068753.2 pep:known chromosome:GRCm38:X:135494588:135504594:1 gene:ENSMUSG00000054994.2 transcript:ENSMUST00000068340.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AV320801 description:expressed sequence AV320801 [Source:MGI Symbol;Acc:MGI:3035227] MWFIMMDTKDPPTLLDLATQNLLSNEHAAIQALEEIPRELFVPLFTAAFTGRHKNILTAI VKAWPFACLHIGALSVQEPERELLKALIESLQFLLALNSATRSPKLRILDLRQDAGCKTV CPEISTNSTICFRSCAHSEHSIFKIEGQESLSSSKSEAQPSRLPMELIVDISLDGTLRER NFFALLQNKVEQSLGSLHLCCRDLQINNLCECRHALSHLDLKCVDHLAVDESPLTEVTKL LSHTIQLDSLSLSKIACRSLNGRTFRNFITQLSRMNHLKELSLSSFCLTDHLENVLRVLS ADLEFLYLPFCGLSYSDFKFLSECSQVSHLKLLNVNNNPIYWEDCEPFYYLLQNVSSTLQ HLKICYCFLTDSTISVLIPALTRCSQLRVLHFYSNQITMGMLMRILELLTPLKELKHVIY PIPVHCYGRWHFQGSLDRQKVEDVQRQLNLMLQEAERSDMNWITYSD >ENSMUSP00000042796.8 pep:known chromosome:GRCm38:4:117550365:117674297:1 gene:ENSMUSG00000033423.16 transcript:ENSMUST00000037127.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eri3 description:exoribonuclease 3 [Source:MGI Symbol;Acc:MGI:2153887] MATASPAADGGRGRPWEGGLVSWPPAPPLTLPWTWMGPSWGQHPGHWGFPALTDPSASPA ASLGIFEVRRVLDASGCSMLAPLQTGAARFSSYLLSRARKVLGSHLLSPCGVPELCSIST RKLAAHGFGAAMAAMVPFPPQRYHYFLVLDFEATCDKPQIHPQEIIEFPILKLNGRTMEI ESTFHMYVQPVVHPQLTPFCTELTGIIQAMVDGQPSLQQVLERVDEWMAKEGLLDPNVKS IFVTCGDWDLKVMLPGQCHYLGLPVADYFKQWINLKKAYSFAMGCWPKNGLLDMNKGLSL QHIGRPHSGIDDCKNIANIMKTLAYRGFIFKQTSKPF >ENSMUSP00000117034.1 pep:known chromosome:GRCm38:4:117552610:117582717:1 gene:ENSMUSG00000033423.16 transcript:ENSMUST00000144373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eri3 description:exoribonuclease 3 [Source:MGI Symbol;Acc:MGI:2153887] MCVCPQHWGFPALTDPSASPAASLGIFEVRRVLDASGCSMLAPLQTGAARFSSYLLSRAR KVLGSHLLSPCGVPELCSISTRKLAAHGFGAAMAAMVPFPPQRYHYFLVLDFEATCDKPQ IHPQEIIEFPILKLNGRTMEIESTFHMYVQPVVHPQLTPFCTE >ENSMUSP00000115520.1 pep:known chromosome:GRCm38:4:117582687:117615582:1 gene:ENSMUSG00000033423.16 transcript:ENSMUST00000125312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eri3 description:exoribonuclease 3 [Source:MGI Symbol;Acc:MGI:2153887] HPQLTPFCTELTGIIQAMVDGQPSLQQVLERVDEWMAKEGLLDPNVKSIFVTCGDWDLKV MLPGQCHYLGLPVADYFKQWINLKKVPLSSSQGLWFSCPSPPPPTLVSFCFFSQYSPFSS LGNLY >ENSMUSP00000134197.1 pep:known chromosome:GRCm38:15:102976439:102981669:1 gene:ENSMUSG00000036139.6 transcript:ENSMUST00000173306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc9 description:homeobox C9 [Source:MGI Symbol;Acc:MGI:96199] MSATGP >ENSMUSP00000001706.6 pep:known chromosome:GRCm38:15:102977032:102985099:1 gene:ENSMUSG00000036139.6 transcript:ENSMUST00000001706.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc9 description:homeobox C9 [Source:MGI Symbol;Acc:MGI:96199] MSATGPISNYYVDSLISHDNEDLLASRFPATGAHPAAARPSGLVPDCSDFPSCSFAPKPA VFSTSWAPVPSQSSVVYHPYGPQPHLGADTRYMRTWLEPLSGAVSFPSFPAGGRHYALKP DAYPGRRADCGPGDGRSYPDYMYGSPGELRDRAPQTLPSPEADALAGSKHKEEKADLDPS NPVANWIHARSTRKKRCPYTKYQTLELEKEFLFNMYLTRDRRYEVARVLNLTERQVKIWF QNRRMKMKKMNKEKTDKEQS >ENSMUSP00000001709.2 pep:known chromosome:GRCm38:15:103013815:103017429:1 gene:ENSMUSG00000022485.3 transcript:ENSMUST00000001709.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc5 description:homeobox C5 [Source:MGI Symbol;Acc:MGI:96196] MSSYVANSFYKQSPNIPAYNMQTCGNYGSASEVQASRYCYGGLDLSITFPPPAPSNSLHG VDMAANPRAHPDRPACSAAAAPGHALGRDEAAPLNPGMYSQKAARPALEERAKSSGEIKE EQAQTGQPAGLSQPPAPPQIYPWMTKLHMSHETDGKRSRTSYTRYQTLELEKEFHFNRYL TRRRRIEIANNLCLNERQIKIWFQNRRMKWKKDSKMKSKEAL >ENSMUSP00000106861.1 pep:known chromosome:GRCm38:1:172500047:172507380:1 gene:ENSMUSG00000026547.15 transcript:ENSMUST00000111230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tagln2 description:transgelin 2 [Source:MGI Symbol;Acc:MGI:1312985] MANRGPSYGLSREVQQKIEKQYDADLEQILIQWITTQCREDVGQPQPGRENFQKWLKDGT VLCKLINSLYPEGQAPVKKIQASSMAFKQMEQISQFLQAAERYGINTTDIFQTVDLWEGK NMACVQRTLMNLGGLAVARDDGLFSGDPNWFPKKSKENPRNFSDNQLQEGKNVIGLQMGT NRGASQAGMTGYGMPRQIL >ENSMUSP00000141983.1 pep:known chromosome:GRCm38:1:172500245:172505852:1 gene:ENSMUSG00000026547.15 transcript:ENSMUST00000192460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tagln2 description:transgelin 2 [Source:MGI Symbol;Acc:MGI:1312985] MANRGPSYGLSREVQQKIEKQYDADLEQILIQWITTQCREDVGQPQPGRENFQKWLKDGT VLCKLINSLYPEGQAPVKKIQASSMAFKQM >ENSMUSP00000106859.1 pep:known chromosome:GRCm38:1:172501252:172506733:1 gene:ENSMUSG00000026547.15 transcript:ENSMUST00000111228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tagln2 description:transgelin 2 [Source:MGI Symbol;Acc:MGI:1312985] MANRGPSYGLSREVQQKIEKQYDADLEQILIQWITTQCREDVGQPQPGRENFQKWLKDGT VLCKLINSLYPEGQAPVKKIQASSMAFKQMEQISQFLQAAERYGINTTDIFQTVDLWEGK NMACVQRTLMNLGGLAVARDDGLFSGDPNWFPKKSKENPRNFSDNQLQEGKNVIGLQMGT NRGASQAGMTGYGMPRQIL >ENSMUSP00000140501.1 pep:known chromosome:GRCm38:Y:79148789:79151122:-1 gene:ENSMUSG00000095867.2 transcript:ENSMUST00000188706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20917 description:predicted gene, 20917 [Source:MGI Symbol;Acc:MGI:5434273] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDR IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000137546.1 pep:known chromosome:GRCm38:Y:79148798:79149787:-1 gene:ENSMUSG00000095867.2 transcript:ENSMUST00000179922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20917 description:predicted gene, 20917 [Source:MGI Symbol;Acc:MGI:5434273] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDR IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000109126.2 pep:known chromosome:GRCm38:6:91212455:91272539:1 gene:ENSMUSG00000064080.12 transcript:ENSMUST00000113498.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbln2 description:fibulin 2 [Source:MGI Symbol;Acc:MGI:95488] MLLQESAGVWLALALVTALTPSPSMAVPWQDCTGAECPLLENCIEEALEPGACCATCVQQ GCACEGYQYYDCVQGGFVDGRVPAGQSYFVDFGSTECSCPPGGGKISCQFMLCPELPPNC IEAVVVADSCPQCGQVGCVHSGRKYAAGHTVHLSSCRACHCPDAGGELICYQLPGCHGNF SDAEEGDSERQYEDPYSYDQEVAEAEATTAIVNEVQAGAEGPPAALGGGNLPPSSIRVTP WPVALPRPTAAAALGPPAPVQAKARRVTLDTEEDEEEEEEETLVTEPPTAGSPGRLDSLP TRSPARPGFPVQEKEAEAKAGPEENLIPDAQVTPRSVMQEGAAPLPRSGLAALSPSLATD SSSEDPVKPSDHPTLSTLPPDRAQVSPSPETPEEIPQHPQLLPRFRAEEDIDPNSVHSVP RGDLDGSTKDLIETCCAAGQQWAIDNDECQEIPENGAQSDICRIAQRQCCISYLKEKSCV AGVMGAKEGETCGAEDNDTCGVSLYKQCCDCCGLGLRVRAEGQSCESNPNLGYPCNHVML SCCEGEEPLIVPEVRRPPEPEAAPRRVSEMEMASREALSLGTEAELPNSLPGDDQDECLM LPGELCQHLCINTVGSYRCACFPGFELQGDGRTCRPDRGAPQLDTARESAPRSESAQVSP NTIPLPVPQPNTCKDNGPCRQVCRVVGDTAMCSCFPGYAIMADGVSCEDINECVTDLHTC TRAEHCVNTPGSFQCYKALTCEPGYVLTDGECTDVDECVTGTHNCQAGFSCQNTKGSFYC QARQRCMDGFLQDPEGNCVDINECTSLLEPCRSGFSCINTVGSYTCQRNPLVCGRGYHAN EEGSECVDVNECETGVHRCGEGQLCYNLPGSYRCDCKPGFQRDAFGRTCIDVNECWVSPG RLCQHTCENTPGSYRCSCAAGFLLAADGKHCEDVNECETRRCSQECANIYGSYQCYCRQG YQLAEDGHTCTDIDECAQGAGILCTFRCVNVPGSYQCACPEQGYTMMANGRSCKDLDECA LGTHNCSEAETCHNIQGSFRCLRFDCPPNYVRVSETKCERTTCQDITECQTSPARITHYQ LNFQTGLLVPAHIFRIGPAPAFAGDTISLTITKGNEEGYFVTRRLNAYTGVVSLQRSVLE PRDFALDVEMKLWRQGSVTTFLAKMYIFFTTFAP >ENSMUSP00000048334.7 pep:known chromosome:GRCm38:6:91212455:91272540:1 gene:ENSMUSG00000064080.12 transcript:ENSMUST00000041544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbln2 description:fibulin 2 [Source:MGI Symbol;Acc:MGI:95488] MLLQESAGVWLALALVTALTPSPSMAVPWQDCTGAECPLLENCIEEALEPGACCATCVQQ GCACEGYQYYDCVQGGFVDGRVPAGQSYFVDFGSTECSCPPGGGKISCQFMLCPELPPNC IEAVVVADSCPQCGQVGCVHSGRKYAAGHTVHLSSCRACHCPDAGGELICYQLPGCHGNF SDAEEGDSERQYEDPYSYDQEVAEAEATTAIVNEVQAGAEGPPAALGGGNLPPSSIRVTP WPVALPRPTAAAALGPPAPVQAKARRVTLDTEEDEEEEEEETLVTEPPTAGSPGRLDSLP TRSPARPGFPVQEKEAEAKAGPEENLIPDAQVTPRSVMQEGAAPLPRSGLAALSPSLATD SSSEDPVKPSDHPTLSTLPPDRAQVSPSPETPEEIPQHPQLLPRFRAEEDIDPNSVHSVP RGDLDGSTKDLIETCCAAGQQWAIDNDECQEIPENGAQSDICRIAQRQCCISYLKEKSCV AGVMGAKEGETCGAEDNDTCGVSLYKQCCDCCGLGLRVRAEGQSCESNPNLGYPCNHVML SCCEGEEPLIVPEVRRPPEPEAAPRRVSEMEMASREALSLGTEAELPNSLPGDDQDECLM LPGELCQHLCINTVGSYRCACFPGFELQGDGRTCRPDRGAPQLDTARESAPRSESAQVSP NTIPLPVPQPNTCKDNGPCRQVCRVVGDTAMCSCFPGYAIMADGVSCEDQDECLMGTHDC SWKQFCVNTLGSFYCVNHTVLCAEGYILNAHRKCVDINECVTDLHTCTRAEHCVNTPGSF QCYKALTCEPGYVLTDGECTDVDECVTGTHNCQAGFSCQNTKGSFYCQARQRCMDGFLQD PEGNCVDINECTSLLEPCRSGFSCINTVGSYTCQRNPLVCGRGYHANEEGSECVDVNECE TGVHRCGEGQLCYNLPGSYRCDCKPGFQRDAFGRTCIDVNECWVSPGRLCQHTCENTPGS YRCSCAAGFLLAADGKHCEDVNECETRRCSQECANIYGSYQCYCRQGYQLAEDGHTCTDI DECAQGAGILCTFRCVNVPGSYQCACPEQGYTMMANGRSCKDLDECALGTHNCSEAETCH NIQGSFRCLRFDCPPNYVRVSETKCERTTCQDITECQTSPARITHYQLNFQTGLLVPAHI FRIGPAPAFAGDTISLTITKGNEEGYFVTRRLNAYTGVVSLQRSVLEPRDFALDVEMKLW RQGSVTTFLAKMYIFFTTFAP >ENSMUSP00000120101.1 pep:known chromosome:GRCm38:6:91212822:91233105:1 gene:ENSMUSG00000064080.12 transcript:ENSMUST00000153364.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbln2 description:fibulin 2 [Source:MGI Symbol;Acc:MGI:95488] MLLQESAGVW >ENSMUSP00000116456.1 pep:known chromosome:GRCm38:6:91212824:91233284:1 gene:ENSMUSG00000064080.12 transcript:ENSMUST00000132021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbln2 description:fibulin 2 [Source:MGI Symbol;Acc:MGI:95488] MLLQESAGVWLALALVTALTPSPSMAVPWQDCTGAECPLLENCIEEALEPGACCATCVQQ GCACEGYQY >ENSMUSP00000116302.1 pep:known chromosome:GRCm38:6:91212831:91233304:1 gene:ENSMUSG00000064080.12 transcript:ENSMUST00000134974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbln2 description:fibulin 2 [Source:MGI Symbol;Acc:MGI:95488] MLLQESAGVWLALALVTALTPSPSMAVPWQDCTGAECPLLENCIEEALEPGACCATCVQQ GCACEGYQYYDCVQGG >ENSMUSP00000048303.5 pep:known chromosome:GRCm38:1:130865669:130880791:1 gene:ENSMUSG00000042474.6 transcript:ENSMUST00000038829.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcmr description:Fc fragment of IgM receptor [Source:MGI Symbol;Acc:MGI:1916419] MDFWLWLLYFLPVSGALRVLPEVQLNVEWGGSIIIECPLPQLHVRMYLCRQMAKPGICST VVSNTFVKKEYERRVTLTPCLDKKLFLVEMTQLTENDDGIYACGVGMKTDKGKTQKITLN VHNEYPEPFWEDEWTSERPRWLHRFLQHQMPWLHGSEHPSSSGVIAKVTTPTPKTEAPPV HQPSSITSVTQHPRVYRAFSVSATKSPALLPATTASKTSTQQAIRPLEASYSHHTRLHEQ RTRHHGPHYGREDRGLHIPIPEFHILIPTFLGFLLLVLLGLVVKRAIQRRRASSRRAGRL AMRRRGRGASRPFPTQRRDASQRPRSQNNVYSACPRRARGPDSLGPAEAPLLNAPASASP ASPQVLEAPWPHTPSLKMSCEYVSLGYQPAVNLEDPDSDDYINIPDPSHLPSYAPGPRSS CQ >ENSMUSP00000121020.1 pep:known chromosome:GRCm38:5:122354369:122364984:1 gene:ENSMUSG00000029462.18 transcript:ENSMUST00000155671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps29 description:vacuolar protein sorting 29 (S. pombe) [Source:MGI Symbol;Acc:MGI:1928344] MLVLVLGDLHIPHRCNSLPAKFKKLLVPGKIQHILCTGNLCTKESYDYLKTLAGDVHIVR GDFDENLNYPEQKVVTVGQFKIGLIHGHQVIPWGDMASLALLQRQFDVDILISGHTHKFE AFEHENKFYINPGSATGAYNALETNIIPSFVLMDIQASTVVTYVYQLIGDDVKVERIEYK KS >ENSMUSP00000113525.1 pep:known chromosome:GRCm38:5:122354385:122363286:1 gene:ENSMUSG00000029462.18 transcript:ENSMUST00000118830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps29 description:vacuolar protein sorting 29 (S. pombe) [Source:MGI Symbol;Acc:MGI:1928344] MAGHRLVLVLGDLHIPHRCNSLPAKFKKLLVPGKIQHILCTGNLCTKESYDYLKTLAGDV HIVRGDFDENLNYPEQKVVTVGQFKIGLIHGHQVIPWGDMASLALLQRQFDVDILISGHT HKFEAFEHENKFYINPGSATGAYNALETNIIPSFVLMDIQASTVVTYVYQLIGDDVKVER IEYKKS >ENSMUSP00000113345.1 pep:known chromosome:GRCm38:5:122354406:122362492:1 gene:ENSMUSG00000029462.18 transcript:ENSMUST00000117868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps29 description:vacuolar protein sorting 29 (S. pombe) [Source:MGI Symbol;Acc:MGI:1928344] MLVLVLGDLHIPHRCNSLPAKFKKLLVPGKIQHILCTGNLCTKESYDYLKTLAGDVHIVR GDFDENLNYPEQKVVTVGQFKIGLIHGHQVIPWGDMASLALLQRQFDVDILISGHTHKFE AFEHENKFYINPGSATGAYNALET >ENSMUSP00000107358.3 pep:known chromosome:GRCm38:5:122354422:122363211:1 gene:ENSMUSG00000029462.18 transcript:ENSMUST00000111729.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps29 description:vacuolar protein sorting 29 (S. pombe) [Source:MGI Symbol;Acc:MGI:1928344] MEICTFRTGATACRPSLKNSWCQEKSSTSSAPATSAPRRATTISRLWLATSTS >ENSMUSP00000112579.1 pep:known chromosome:GRCm38:5:122354422:122363270:1 gene:ENSMUSG00000029462.18 transcript:ENSMUST00000118765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps29 description:vacuolar protein sorting 29 (S. pombe) [Source:MGI Symbol;Acc:MGI:1928344] MLVLVLGDLHIPHRCNSLPAKFKKLLVPGKIQHILCTGNLCTKESYDYLKTLAGDVHIVR GDFDEKHYSIVCADGHPGFYCGHLRLSTNWR >ENSMUSP00000123593.1 pep:known chromosome:GRCm38:5:122354440:122362186:1 gene:ENSMUSG00000029462.18 transcript:ENSMUST00000145821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps29 description:vacuolar protein sorting 29 (S. pombe) [Source:MGI Symbol;Acc:MGI:1928344] MVSPTAAATRLVLVLGDLHIPHRCNSLPAKFKKLLVPGKIQHILCTGNLCTKESYDYLKT LAGDVHIVRGDFDENLNYPEQKVVTVGQFKIGLIHGHQVIPWGDMASLALLQRQFDVDIL ISGH >ENSMUSP00000118991.1 pep:known chromosome:GRCm38:5:122354460:122362268:1 gene:ENSMUSG00000029462.18 transcript:ENSMUST00000154686.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vps29 description:vacuolar protein sorting 29 (S. pombe) [Source:MGI Symbol;Acc:MGI:1928344] MDLYIVVADLKLPIELVGVGTRRSAHSAPVQQPAGQV >ENSMUSP00000081690.2 pep:known chromosome:GRCm38:7:120203964:120325352:1 gene:ENSMUSG00000062017.15 transcript:ENSMUST00000084640.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca14 description:ATP-binding cassette, sub-family A (ABC1), member 14 [Source:MGI Symbol;Acc:MGI:2388708] MDSLQMKQFSVLLWKNFLLKSRNVVGLVVEIILIFLLFVWTLTVRRISKKTSISDVIFNP ILLTLPKFLNENFDYELAYVPSESNAARNITEMVKKDLNFNFKVQGFPSEESFERYIKYE NKDAHVLAAIVFDHKFKTSNERLPLQVKYSLRFGRIYDPENLFQPSKYQKEIEWNTSTLF PSVPSLGPRNFLENDGGNPGYIREGFLIVQHSVDKAIMMYHSGRAAEDIFANTTIYAERF PHPAFIHDSFLWTFIAMFPWTILFTFTQMALVIVGTIMLEKEKRLKEYQLMVGLSNAMLW VSYFITFLLMYFIIICLLCGILFLKITHERVFQHSDPLFIAFYFMCFAVSSVLLGFLIST LFNKASLATSIAGFLHFLTFFPYLILYHKYDQISLSGKLALCLITNTALAFGTDLICKLE MKGHGAQWNNFATKVNADDDLTLAHIIGMFLFSAFLYGLVAWYLDAVFPGTYGVPKPWNF FLQKAYWFGEPALSREESQVSDLLSSDFMEPEPVGLVAGIRIQHLYKEFILKNSTLMAVN DLSLNLYEGQITVLLGHNGAGKTTTLSILTGLYLPTRGKVYISGYDISSDMVQIRKSLGL CPQDDLLFPMLTVSEHLHFYCVIKGIPLQNQSRETNRMLTSFGLLQQSNTMSKDLSGGMK RKLSIIIALIGDTKVVILDEPTSGMDPVSRRATWDLLQHYKKDRTILLTTHHMDEADVLG DRIAILVMGILKCCGSSLFLKKLYGVGYHLVIVKTPDSNDEKIFQLIKNYIPTAKMETNV AAELSFILPKEHTHRFAELFTDLEEKQEELGISGFGVSMTTMDEVFFKVSNLEDLKLNTE IAPSASIVSQSSNEDNQNMNVPRNFERPGYSRRHLDSSFNAGWSLYIQQFRAMFIKRVMF SWRYWKLLLLQLLALLGLMYLLIKGISFSVPKEPARVMDLEQYGETIVPFSVSGDPNLTQ ILTKNLETMLKAKKQKIHEVQGDMKNYLLTSKDCIYSCIVAFSLDVTTEEKTFIFWFNNE AYHAAPLSLSILDNIIYKYLSGPDATITVSNNPQPQRVTKDKSNERSISGIQIVFNLLFG MSIFTSGFCLMTVTERVSKAKHIQFVSGVYTLNFWLSALLWDLIIHFVACVLLLVVFLYT DVDILLEKYHFLDTMFILMLFGWSIIPFIYLLSFWYNNSTNAYIKIFVFNHCLGFISIIV DAVVQIIPDIKTSTKNLILNSMLLLPIYNFGMSIYKYYNIHEIRKLCSSLGYINTIPSCK SQIIDIPIYSMNQKAIGRHVTAMAATGLIYFILIILLETTSWNLKIFIYRYVLFGIYRIF YKARMSEELSGYSEEEDVQNERETILNHPWRSLNSTVLIKELIKIYFKIPPTLAVRNISV AIQKEECFGLLGLNGAGKTTTFKILTGEEIATSGDVFIEGYSITRNILKVRSKVGYCPQF DALLDYMTSREILTMYARVWGIPENSIRAYVDNLLKMLYLKPQADKFIYTLSGGNKRRLS TAIAIMGNSTVVFLDEPSTGMDPLARRMLWNAVIKTRESGKVIIITSHSMEECEALCTRL AIMVQGKFVCLGSPQHLKNKFGNIYTMTIKFKTDTDDNTVQDLKDFIAEVFPGSDLKQEN QGILNYYIPSKNNSWGKVFGILEKAKEDYNLEDYSISQITLEQVFLTFANPDNPRSGYEK AVP >ENSMUSP00000020204.4 pep:known chromosome:GRCm38:10:93641049:93747207:1 gene:ENSMUSG00000020019.4 transcript:ENSMUST00000020204.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntn4 description:netrin 4 [Source:MGI Symbol;Acc:MGI:1888978] MGSCARLLLLWGCSAVAAGLNGVAGANSRCEKACNPRMGNLALGRKLRADTMCGQNATEL FCFYSENADLTCRQPKCDKCNAAHSHLAHPPSAMADSSFRFPRTWWQSAEDVHREKIQLD LEAEFYFTHLIMVFKSPRPAAMVLDRSQDFGKTWKPYKYFATNCSATFGLEDDVVKKGAI CTSRYSNPFPCTGGEVIFRALSPPYDIENPYSAKVQEQLKITNLRVRLLKRQSCPCQIND LNAKPHHFMHYAVYDFIVKGSCFCNGHADQCLPVEGFRPIKAPGAFHVVHGRCMCKHNTA GSHCQHCAPLYNDRPWEAADGRTGAPNECRTCKCNGHADTCHFDVNVWEASGNRSGGVCN NCQHNTEGQHCQRCKPGFYRDLRRPFSAPDACKACSCHPVGSAILPFSSVTFCDPSNGDC PCKPGVAGPHCDRCMVGYWGFGDYGCRPCDCAGSCDPLTGDCISSNADVDWYHEVPAFHS MHNKSEPSWEWEDEQGFSALRHSGKCECKEQVLGNPKAFCGMKYSYVLKIKILSAHDKGS HAEVNVKIKKVLKSTKLKILRGKRTLYPESWTNRGCTCPILNPGLEYLVAGHEDVRTGKL IVNMKSFVQHWKPALGRRVMHILKRDCV >ENSMUSP00000123306.1 pep:known chromosome:GRCm38:10:93640681:93644957:1 gene:ENSMUSG00000020019.4 transcript:ENSMUST00000147080.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntn4 description:netrin 4 [Source:MGI Symbol;Acc:MGI:1888978] MGNLALGRKLRADTMCGQNATELFCFYSENADLTCRQPKCDKCNAAHSHLAHPPSAMADS SFRFPRTWWQSAEDVHREKIQLDLEAEFYFTHLIMVFKSPRPAAMVLDRSQDFGKTWKPY KYFATNCSATFGLEDDVVKKGAI >ENSMUSP00000088472.4 pep:known chromosome:GRCm38:2:52857860:53133804:1 gene:ENSMUSG00000036053.16 transcript:ENSMUST00000090952.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl2 description:formin-like 2 [Source:MGI Symbol;Acc:MGI:1918659] MGNAGSMDSQQTDFKAHNVPLKLPMPEPGELEERFAIVLNAMNLPPDKARLLRQYDNEKK WELICDQERFQVKNPPHTYIQKLKGYLDPAVTRKKFRRRVQESTQVLRELEISLRTNHIG WVREFLNEENKGLDVLVEYLSFAQYAVTFDFESVESTMESTVDKSKPWSRSIEDLHRGSN LPSPVGNSVSRSGRHSALRYNTLPSRRTLKNSRLVSKKDDVHVCIMCLRAIMNYQYGFNM VMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHEIILSAFDNFKEVCGEKQRF EKLMEHFRNEDNNIDFMVASMQFINIVVHSVEDMNFRVHLQYEFTKLGLDEYLDKLKHTE SDKLQVQIQAYLDNVFDVGALLEDAETKNAALERVEELEENISHLSEKLQDTENEAMSKI VELEKQLMQRNKELDVVREIYKDANTQVHTLRKMVKEKEEAIQRQSTLEKKIHELEKQGT IKIQKKGDGDIAILPVMASGTLSTGSELAVGNYVGSVPGATTSGPSVPPPPPLPPSSDTS EAAQNGTASPPMSPPPPPPPPPPPPPPPPPPLPGPAAETSPAPPLPPPPPPSAPPLPGTS SPTVVFNSGLAAVKIKKPIKTKFRMPVFNWVALKPNQINGTVFNEIDDERILEDLNVDEF EEIFKTKAQGPAIDLSSSKQKITQKASSKVTLLEANRAKNLAITLRKAGKSADEICKAIH VFDLKTLPVDFVECLMRFLPTENEVKVLRLYERERKPLENLSDEDRFMMQFSKIERLLQK MTIMAFIGNFTESIQMLTPQLHAIIAASVSIKSSQKLKKILEIILALGNYMNSSKRGAVY GFKLQSLDLLLDTKSTDRKQTLLHYISNVVKEKYQQVTLFYNELHYVEKAAAVSLENVLL DVKELQRGMDLTKREYTMHDHNTLLKEFLLHNEGKLKKLQEDAKIAQDAFDDVVKYFGEN PKTTPPSVFFPVFVRFVKAYKQAEEENELRKKQEQALMEKLLEQEALMEQQDAKSPSHKS KRQQQELIAELRRRQVKDNRHVYEGKDGAIEDIITDLRNQPYRRADAVRRSVRRRFDDQN LRSVNGAEITM >ENSMUSP00000118658.1 pep:known chromosome:GRCm38:2:53114115:53133804:1 gene:ENSMUSG00000036053.16 transcript:ENSMUST00000127122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl2 description:formin-like 2 [Source:MGI Symbol;Acc:MGI:1918659] XKIKKPIKTKFRMPVFNWVALKPNQINGTVFNEIDDERILEDLNVDEFEEIFKTKAQGPA IDLSSSKQKITQKASSKVTLLEANRAKNLAITLRKAGKSADEICKAIHVFDLKTLPVDFV ECLMRFLPTENEVKVLRLYERERKPLENLSDEDRFMMQFSKIERLLQKMTIMAFIGNFTE SIQMLTPQLHAIIAASVSIKSSQKLKKILEIILALGNYMNSSKRGAVYGFKLQSLDLLLD TKSTDRKQTLLHYISNVVKEKYQQVTLFYNELHYVEKAAAVSLENVLLDVKELQRGMDLT KREYTMHDHNTLLKEFLLHNEGKLKKLQEDAKIAQDAFDDVVKYFGENPKTTPPSVFFPV FVRFVKAYKQAEEENELRKKQEQALMEKLLEQEALMEQQDAKSPSHKSKRQQQELIAELR RRQVKDNRHVYEGKDGAIEDIITALKKNNITKFPNVHSRVRISSSTPVVEDTQSYLRNQP YRRADAVRRSVRRRFDDQNLRSVNGAEITM >ENSMUSP00000114995.1 pep:known chromosome:GRCm38:2:53126022:53132203:1 gene:ENSMUSG00000036053.16 transcript:ENSMUST00000134459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl2 description:formin-like 2 [Source:MGI Symbol;Acc:MGI:1918659] EENELRKKQEQALMEKLLEQEALMEQQDAKSPSHKSKRQQQELIAELRRRQVKDNRHVYE GKDGAIEDIITVLKTVPFTARTAKRGSRFFCEPVLTEEYHY >ENSMUSP00000117822.1 pep:known chromosome:GRCm38:2:53119963:53133804:1 gene:ENSMUSG00000036053.16 transcript:ENSMUST00000155586.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl2 description:formin-like 2 [Source:MGI Symbol;Acc:MGI:1918659] LDTKSTDRKQTLLHYISNVVKEKYQQVTLFYNELHYVEKAAAVSLENVLLDVKELQRGMD LTKREYTMHDHNTLLKEFLLHNEGKLKKLQEDAKIAQDAFDDVVKYFGENPKTTPPSVFF PVFVRFVKAYKQAEEENELRKKQEQALMEKLLEQEALMEQQDAKSPSHKSKRQQQELIAE LRRRQVKDNRHVYEGKDGAIEDIITALKKNNITKFPNVHSRILETNPTDEPMR >ENSMUSP00000047260.6 pep:known chromosome:GRCm38:2:52857868:53134202:1 gene:ENSMUSG00000036053.16 transcript:ENSMUST00000049483.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl2 description:formin-like 2 [Source:MGI Symbol;Acc:MGI:1918659] MGNAGSMDSQQTDFKAHNVPLKLPMPEPGELEERFAIVLNAMNLPPDKARLLRQYDNEKK WELICDQERFQVKNPPHTYIQKLKGYLDPAVTRKKFRRRVQESTQVLRELEISLRTNHIG WVREFLNEENKGLDVLVEYLSFAQYAVTFDFESVESTMESTVDKSKPWSRSIEDLHRGSN LPSPVGNSVSRSGRHSALRYNTLPSRRTLKNSRLVSKKDDVHVCIMCLRAIMNYQYGFNM VMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHEIILSAFDNFKEVCGEKQRF EKLMEHFRNEDNNIDFMVASMQFINIVVHSVEDMNFRVHLQYEFTKLGLDEYLDKLKHTE SDKLQVQIQAYLDNVFDVGALLEDAETKNAALERVEELEENISHLSEKLQDTENEAMSKI VELEKQLMQRNKELDVVREIYKDANTQVHTLRKMVKEKEEAIQRQSTLEKKIHELEKQGT IKIQKKGDGDIAILPVMASGTLSTGSELAVGNYVGSVPGATTSGPSVPPPPPLPPSSDTS EAAQNGTASPPMSPPPPPPPPPPPPPPPPPPLPGPAAETSPAPPLPPPPPPSAPPLPGTS SPTVVFNSGLAAVKIKKPIKTKFRMPVFNWVALKPNQINGTVFNEIDDERILEDLNVDEF EEIFKTKAQGPAIDLSSSKQKITQKASSKVTLLEANRAKNLAITLRKAGKSADEICKAIH VFDLKTLPVDFVECLMRFLPTENEVKVLRLYERERKPLENLSDEDRFMMQFSKIERLLQK MTIMAFIGNFTESIQMLTPQLHAIIAASVSIKSSQKLKKILEIILALGNYMNSSKRGAVY GFKLQSLDLLLDTKSTDRKQTLLHYISNVVKEKYQQVTLFYNELHYVEKAAAVSLENVLL DVKELQRGMDLTKREYTMHDHNTLLKEFLLHNEGKLKKLQEDAKIAQDAFDDVVKYFGEN PKTTPPSVFFPVFVRFVKAYKQAEEENELRKKQEQALMEKLLEQEALMEQQDAKSPSHKS KRQQQELIAELRRRQVKDNRHVYEGKDGAIEDIITALKKNNITKFPNVHSRILETNPTDE PMR >ENSMUSP00000057084.6 pep:known chromosome:GRCm38:2:52857868:53131878:1 gene:ENSMUSG00000036053.16 transcript:ENSMUST00000050719.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmnl2 description:formin-like 2 [Source:MGI Symbol;Acc:MGI:1918659] MGNAGSMDSQQTDFKAHNVPLKLPMPEPGELEERFAIVLNAMNLPPDKARLLRQYDNEKK WELICDQERFQVKNPPHTYIQKLKGYLDPAVTRKKFRRRVQESTQVLRELEISLRTNHIG WVREFLNEENKGLDVLVEYLSFAQYAVTFDFESVESTMESTVDKSKPWSRSIEDLHRGSN LPSPVGNSVSRSGRHSALRYNTLPSRRTLKNSRLVSKKDDVHVCIMCLRAIMNYQYGFNM VMSHPHAVNEIALSLNNKNPRTKALVLELLAAVCLVRGGHEIILSAFDNFKEVCGEKQRF EKLMEHFRNEDNNIDFMVASMQFINIVVHSVEDMNFRVHLQYEFTKLGLDEYLDKLKHTE SDKLQVQIQAYLDNVFDVGALLEDAETKNAALERVEELEENISHLSEKLQDTENEAMSKI VELEKQLMQRNKELDVVREIYKDANTQVHTLRKMVKEKEEAIQRQSTLEKKIHELEKQGT IKIQKKGDGDIAILPVMASGTLSTGSELAVGNYVGSVPGATTSGPSVPPPPPLPPSSDTS EAAQNGTASPPMSPPPPSAPPLPGTSSPTVVFNSGLAAVKIKKPIKTKFRMPVFNWVALK PNQINGTVFNEIDDERILEDLNVDEFEEIFKTKAQGPAIDLSSSKQKITQKASSKVTLLE ANRAKNLAITLRKAGKSADEICKAIHVFDLKTLPVDFVECLMRFLPTENEVKVLRLYERE RKPLENLSDEDRFMMQFSKIERLLQKMTIMAFIGNFTESIQMLTPQLHAIIAASVSIKSS QKLKKILEIILALGNYMNSSKRGAVYGFKLQSLDLLLDTKSTDRKQTLLHYISNVVKEKY QQVTLFYNELHYVEKAAAVSLENVLLDVKELQRGMDLTKREYTMHDHNTLLKEFLLHNEG KLKKLQEDAKIAQDAFDDVVKYFGENPKTTPPSVFFPVFVRFVKAYKQAEEENELRKKQE QALMEKLLEQEALMEQQDAKSPSHKSKRQQQELIAELRRRQVKDNRHVYEGKDGAIEDII TEILETNPTDEPMR >ENSMUSP00000058732.4 pep:known chromosome:GRCm38:3:88651898:88653142:-1 gene:ENSMUSG00000049741.4 transcript:ENSMUST00000063119.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxfp4 description:relaxin family peptide receptor 4 [Source:MGI Symbol;Acc:MGI:2182926] MATSNSSASLPTLFWVNGSGDSVLSTDGAAMPVQFLVLRIMVALAYGLVGIIGLLGNLAV LWVLGNCGQRVPGLSSDTFVFSLALADLGLALTLPFWATESAMDFHWPFGSALCKVVLTT TVLSIYASTFLITALSIARYWVVAMAVGPGSHLSVFWARVVTLAVWVAAALVTVPTAIFG AEVELWGVCLCLLRFPSRYWLGAYQLQRVVLAFIVPLGVITTSYLLLLAFLERQQRCRPR QWQDSRVVARSVRVLVASFALCWVPNHVVTLWEILVRFDLVPWDSTFYTFHTYILPITTC LAHSNSCLNPVIYCLLRREPQQVLVSSFRALWSRLWPQRKACMEQMALKEVGGRTVASTQ ESGSSRTHTNTMEHLDEGCSLNTLLSETYQGQSPQILGRSSCSLSQAAVSPGEV >ENSMUSP00000081476.3 pep:known chromosome:GRCm38:7:141426446:141429425:-1 gene:ENSMUSG00000060240.12 transcript:ENSMUST00000084436.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cend1 description:cell cycle exit and neuronal differentiation 1 [Source:MGI Symbol;Acc:MGI:1929898] MESRGKSASSPKPDTKVPQATAEAKATPAADGKAPLTKPVKKDTQAEKQEQAAAPGPAAT KKTPAKADPVLLNNHSNLKPAPTVPAAPSSPDATSEPKGPGDGAEEDESNTGGRGPWPCE NLTPLLVAGGVAVATIALILGVAFLARKK >ENSMUSP00000118591.1 pep:known chromosome:GRCm38:7:141426446:141429491:-1 gene:ENSMUSG00000060240.12 transcript:ENSMUST00000124444.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cend1 description:cell cycle exit and neuronal differentiation 1 [Source:MGI Symbol;Acc:MGI:1929898] MESRGKSASSPKPDTKVPQATAEAKATPAADGKAPLTKPVKKDTQAEKQEQAAAPGPAAT KKTPAKADPVLLNNHSNLKPAPTVPAAPSSPDATSEPKGPGDGAEEDESNTGGRGPWPCE NLTPLLVAGGVAVATIALILGVAFLARKK >ENSMUSP00000123334.1 pep:known chromosome:GRCm38:7:141426451:141429418:-1 gene:ENSMUSG00000060240.12 transcript:ENSMUST00000137488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cend1 description:cell cycle exit and neuronal differentiation 1 [Source:MGI Symbol;Acc:MGI:1929898] MESRGKSASSPKPDTKVPQATAEAKATPAADGKAPLTKPVKKDTQAEKQEQAAAPGPAAT KKTPAKADPVLLNNHSNLKPAPTVPAAPSSPDATSEPKGPGDGAEEDESNTGGRGPWPCE NLTPLLVAGGVAVATIALILGVAFLARKK >ENSMUSP00000131085.1 pep:known chromosome:GRCm38:7:141426451:141429351:-1 gene:ENSMUSG00000060240.12 transcript:ENSMUST00000164387.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cend1 description:cell cycle exit and neuronal differentiation 1 [Source:MGI Symbol;Acc:MGI:1929898] MESRGKSASSPKPDTKVPQATAEAKATPAADGKAPLTKPVKKDTQAEKQEQAAAPGPAAT KKTPAKADPVLLNNHSNLKPAPTVPAAPSSPDATSEPKGPGDGAEEDESNTGGRGPWPCE NLTPLLVAGGVAVATIALILGVAFLARKK >ENSMUSP00000008477.6 pep:known chromosome:GRCm38:2:143063039:143072853:1 gene:ENSMUSG00000008333.12 transcript:ENSMUST00000008477.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpb2 description:U2 small nuclear ribonucleoprotein B [Source:MGI Symbol;Acc:MGI:104805] MDIRPNHTIYINNMNDKIKKEELKRSLYALFSQFGHVVDIVALKTMKMRGQAFVIFKELG SSTNALRQLQGFPFYGKPMRIQYAKTDSDIISKMRGTFADKEKKKEKKKAKTMEQAAAAA NKKPGQGTPNAANTQGTAAPNPQVPDYPPNYILFLNNLPEETNEMMLSMLFNQFPGFKEV RLVPGRHDIAFVEFENDGQAGAARDALQGFKITPSHAMKITYAKK >ENSMUSP00000120137.1 pep:known chromosome:GRCm38:2:143063131:143069424:1 gene:ENSMUSG00000008333.12 transcript:ENSMUST00000126763.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpb2 description:U2 small nuclear ribonucleoprotein B [Source:MGI Symbol;Acc:MGI:104805] MDIRPNHTIYINNMNDKIKKEELKRSLYALFSQFGHVVDIVALKTMKMRGQAFVIFKELG SSTNALRQLQGFPFYGKPMRIQYAKTDSDIISKMRGTFADKEKKKEKKKAKTMEQAAAAA NKKPGQGTPNAANTQGTAAPNPQ >ENSMUSP00000099855.4 pep:known chromosome:GRCm38:4:98355370:98383306:-1 gene:ENSMUSG00000028563.16 transcript:ENSMUST00000102793.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d1 description:TM2 domain containing 1 [Source:MGI Symbol;Acc:MGI:2137022] MAAAWPAGRASPAAGPPGLLRTLWLVTVAAGHCGAAASGAVGGEETPKCEDLRVGQYICK EPKINDATQEPVNCTNYTAHVQCFPAPKITCKDLSGNETHFTGSEVGFLKPISCRNVNGY SYKVAVALSLFLGWLGADRFYLGYPALGLLKFCTVGFCGIGSLIDFILISMQIVGPSDGS SYIIDYYGTRLTRLSITNETFRKTQLYP >ENSMUSP00000030292.5 pep:known chromosome:GRCm38:4:98355370:98383246:-1 gene:ENSMUSG00000028563.16 transcript:ENSMUST00000030292.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d1 description:TM2 domain containing 1 [Source:MGI Symbol;Acc:MGI:2137022] MAAAWPAGRASPAAGPPGLLRTLWLVTVAAGHCGAAASGAVGGEETPKCEDLRVGQYICK EPKINDATQEPVNCTNYTAHAPKITCKDLSGNETHFTGSEVGFLKPISCRNVNGYSYKVA VALSLFLGWLGADRFYLGYPALGLLKFCTVGFCGIGSLIDFILISMQIVGPSDGSSYIID YYGTRLTRLSITNETFRKTQLYP >ENSMUSP00000102666.3 pep:known chromosome:GRCm38:4:98355370:98383060:-1 gene:ENSMUSG00000028563.16 transcript:ENSMUST00000107051.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d1 description:TM2 domain containing 1 [Source:MGI Symbol;Acc:MGI:2137022] GKPPFSGGQLDPGLWDGDRGLRCGSSDHWTPGVQCFPAPKITCKDLSGNETHFTGSEVGF LKPISCRNVNGYSYKVAVALSLFLGWLGADRFYLGYPALGLLKFCTVGFCGIGSLIDFIL ISMQIVGPSDGSSYIIDYYGTRLTRLSITNETFRKTQLYP >ENSMUSP00000121468.1 pep:known chromosome:GRCm38:4:98355605:98383232:-1 gene:ENSMUSG00000028563.16 transcript:ENSMUST00000143116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d1 description:TM2 domain containing 1 [Source:MGI Symbol;Acc:MGI:2137022] XAAWPAGRASPAAGPPGLLRTLWLVTVAAGHCGAAASGAVGGEETPKCEDLRVGQYICKE PKINDATQEPVNCTNYTAHGLLKFCTVGFCGIGSLIDFILISMQIVGPSDGSSYIIDYYG TRLTRLSITNETFRKTQLYP >ENSMUSP00000124188.1 pep:known chromosome:GRCm38:4:98355370:98375028:-1 gene:ENSMUSG00000028563.16 transcript:ENSMUST00000125034.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tm2d1 description:TM2 domain containing 1 [Source:MGI Symbol;Acc:MGI:2137022] XQCFPAPKITCKDLSGNETHFTGSEVGFLKPISCRNVLVKILHRRILRNWEPN >ENSMUSP00000104790.2 pep:known chromosome:GRCm38:2:168893331:168955587:-1 gene:ENSMUSG00000027551.15 transcript:ENSMUST00000109162.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp64 description:zinc finger protein 64 [Source:MGI Symbol;Acc:MGI:107342] MNASVEGDTFSGSMQIPGGTTVLVELAPDIHICGLCKQHFSNLDAFVAHKQSGCQLTTTP VTAPSTVQFVAEETEPATQTTTTTISSETQTITVSAPEFVFEHGYQTYLPTESTDNQTAT VISLPTKSRTKKPTAPPAQKRLGCCYPGCQFKTAYGMKDMERHLKIHTGDKPHKCEVCGK CFSRKDKLKTHMRCHTGVKPYKCKTCDYAAADSSSLNKHLRIHSDERPFKCQICPYASRN SSQLTVHLRSHTASVLENDVQKPAGLPAEESDAQQAPAVTLSLEAKERTATLGERTFNCR YPGCHFKTVHGMKDLDRHLRIHTGDKPHKCEFCDKCFSRKDNLTMHMRCHTSVKPHKCHL CDYAAVDSSSLKKHLRIHSDERPYKCQLCPYASRNSSQLTVHLRSHTGDTPFQCWLCSAK FKISSDLKRHMIVHSGEKPFKCEFCDVRCTMKANLKSHIRIKHTFKCLHCAFQGRDRADL LEHSRLHQADHPEKCPECSYSCSNPAALRVHSRVHCTDRPFKCDFCSFDTKRPSSLAKHI DKVHREGAKTENRAPPGKDGPGESGPNHVPNVSTQRAFGCDKCGASFVRDDSLRCHRKQH SDWGENKNSNLVTFPSEGIASGQVGPLVSVGQLESTLEPSHDL >ENSMUSP00000116460.1 pep:known chromosome:GRCm38:2:168906842:168912633:-1 gene:ENSMUSG00000027551.15 transcript:ENSMUST00000124599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp64 description:zinc finger protein 64 [Source:MGI Symbol;Acc:MGI:107342] MSRRKQAKPQHLRSQEPQLERNEPGGVVPRAAREPASVLENDVQKPAGLPAEESDAQQAP AVTLSLEAKERTATLGERTFNCRYP >ENSMUSP00000085285.4 pep:known chromosome:GRCm38:2:168925361:168955272:-1 gene:ENSMUSG00000027551.15 transcript:ENSMUST00000087971.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp64 description:zinc finger protein 64 [Source:MGI Symbol;Acc:MGI:107342] MNASVEGDTFSGSMQIPGGTTVLVELAPDIHICGLCKQHFSNLDAFVAHKQSGCQLTTTP VTAPSTVQFVAEETEPATQTTTTTISSETQTITVSAPEFVFEHGYQTYLPTESTDNQTAT VISLPTKSRTKKPTAPPAQKRLGCCYPGCQFKTAYGMKDMERHLKIHTGDKPHKCEVCGK CFSRKDKLKTHMRCHTGVKPYKCKTCDYAAADSSSLNKHLRIHSDERPFKCQICPYASRN SSQLTVHLRSHTGDAPFQCWLCSAKFKISSDLKRHMRVHSGEKPFKCEFCNVRCTMKGNL KSHIRIKHSGNNFKCPHCDFLGDSKSTLRKHSRLHQSEHPEKCPECSYSCSSKAALRVHE RIHCTERPFKCSYCSFDTKQPSNLSKHMKKFHADMLKNEAPEKKESGRQSSRQVARLDAK KTFHCDICDASFMREDSLRSHKRQHSEYHSKNSDVTVVQLHLEPSKQPAAPLTVEQIQVP LQSSQVPQFSEGRVKIIVGHQVPQTNAIVQAAAAAVNIVPPTLVAQTPEEIPGNGRLQIL RQVSLIAPPQSSGCPGEAGALSQPTVLLTTHDQTAGAALQQALIPTTPVGTQEGTGNQTF IASSGITCSDLEGLNALIQEGTTEVTVVSDGDQSIAVATTAPSIFSTQQELPKQTYSIIH GAAHPALLCPADSIPD >ENSMUSP00000104789.2 pep:known chromosome:GRCm38:2:168934813:168955289:-1 gene:ENSMUSG00000027551.15 transcript:ENSMUST00000109161.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp64 description:zinc finger protein 64 [Source:MGI Symbol;Acc:MGI:107342] MNASVEGDTFSGSMQIPGGTTVLVELAPDIHICGLCKQHFSNLDAFVAHKQSGCQLTTTP VTAPSTVQFVAEETEPATQTTTTTISSETQTITVSAPEFVFEHGYQTYLPTESTDNQTAT VISLPTKSRTKKPTAPPAQKRLGCCYPGCQFKTAYGMKDMERHLKIHTGDKPHKCEVCGK CFSRKDKLKTHMRCHTGVKPYKCKTCDYAAADSSSLNKHLRIHSDERPFKCQICPYASRN SSQLTVHLRSHTAWRCDCLGSTKPWVPSLVTT >ENSMUSP00000042785.6 pep:known chromosome:GRCm38:3:152462815:152668360:-1 gene:ENSMUSG00000039058.11 transcript:ENSMUST00000045262.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak5 description:adenylate kinase 5 [Source:MGI Symbol;Acc:MGI:2677491] MNTNDAKEYLARRDIPQLFESLLNGLMCSKPEDPIEYLETCLQKVKELGGCDKVKWDTFV SQEKKTLPPLNGGQSRRSFLRNVMPENSNFPYRRYDRLPPIHQFSIESDTDLSETAELIE EYEVFDPTRPRPKIILVIGGPGSGKGTQSLKIAERYGFQYISVGELLRKKIHSASSNRKW SLIAKIITNGELAPQETTITEIKQKLMQIPDEEGIVIDGFPRDVAQALSFEDQICTPDLV VFLACANQRLKERLQKRAEQQGRPDDNLKATQRRLVNFKQNAAPLVKYFQEKGLIVTFDA DRDEDAVFHDISVAVDSKLFPNKEAPMDSSDLDPSMMFDAGEIIDTGSDYDNQDDDQLNV FGEDTEGGFMEDLRKCKIIFLMGGPGSGKGTQCEKLAEKYGFTHLSTGELLRQELTSESE RSKLIRDIMERGDLVPSGVVLELLKEAMVASLGNTKGFLIDGYPREVKQGEEFGRRIGDP HLVICMDCSADTMTNRLLQRSQSSQRGEDGAKSIAKRLEAYHRASIPVVTYYERKTQLRK VNAEGTPEQVFLQLCTAIDSVF >ENSMUSP00000143636.1 pep:known chromosome:GRCm38:3:152463863:152526752:-1 gene:ENSMUSG00000039058.11 transcript:ENSMUST00000200062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak5 description:adenylate kinase 5 [Source:MGI Symbol;Acc:MGI:2677491] XIIDTGSDYDNQDDDQLNVFGEDTEGGFMEDLRKCKIIFLMGGPGSGKGTQCEKLAEKYG FTHLSTGELLRQELTSESERSKLIRDIMERGDLVPSGVVLELLKEAMVASLGNTKGFLID GYPREVKQGEEFGRRIGDPHLVICMDCSADTMTNRLLQRSQSSQRGEDGAKSIAKRLEAY HRASIPVVTYYERKTQLRKYR >ENSMUSP00000096057.3 pep:known chromosome:GRCm38:9:100457719:100486788:-1 gene:ENSMUSG00000044244.18 transcript:ENSMUST00000098458.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il20rb description:interleukin 20 receptor beta [Source:MGI Symbol;Acc:MGI:2143266] MISQGVWTSPFMWFFYSMTSGFLTDAVSVLPAPQNLSVQSTNMKHLLMWNPVTQPGETVL YCVEYQGEYESLYMSHIWIPSSQCSPTKSLECDVTDDITATVPYNFRVKAMLGSQTSAWS NLEHPFNRNATVLTPPRMEVTEHGLHLVIELEDLGPQFEFLVVYWRREPGAAEHVKMVRS GDIPVHLETMEPGAMYCVKAQALVKAIGRHSAFSQPTCVEMQGESLPLALALFAFVGFML ILVVVLLSVWKMGQLLRYSCCPAVVLPDTLKITSSSQKLISCRKEEVDACAVAVLSSEHL FGVWISQT >ENSMUSP00000125434.1 pep:known chromosome:GRCm38:14:49012146:49066653:-1 gene:ENSMUSG00000061244.13 transcript:ENSMUST00000162175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc5 description:exocyst complex component 5 [Source:MGI Symbol;Acc:MGI:2145645] MATTAELFEEPFVADEYIERLVWRTPGGGSRGGPEAFDPKRLLEEFVNHIQELQIMDERI QRKVEKLEQQCQKEAKEFAKKVQELQKSNQVAFQHFQELDEHISYVATKVCHLGDQLEGV NTPRQRAVEAQKLMKYFNEFLDGELKSDVFTNSEKIKEAADVIQKLHLIAQELPFDRFSE VKSKIASKYHDLECQLIQEFTSAQRRGEVSRMREVAAVLLHFKGYSHCIDVYIKQCQEGA YLRNDIFEDAAILCQRVNKQVGDIFSNPEAVLAKLIQSVFEIKLQSFVKDQLEECRKSDA EQYLKSLYDLYTRTTGLSSKLMEFNLGTDKQTFLSKLIKSIFISYLENYIEVEIGYLKSR SAMILQRYYDSKNHQKRSIGTGGIQDLKERIRQRTNLPLGPSIDTHGETFLSQEVVVNLL QETKQAFERCHRLSDPSDLPRNAFRIFTILVEFLCIEHIDYALETGLAGIPSSDSRNANL YFLDVVQQANTIFHLFDKQFNDHLMPLISSSPKLSECLQKKKEIIEQMEMKLDTGIDRTL NCMIGQMKHILAAEQKKTDFKPEDENNVLIQYTNACVKVCVYVRKQVEKIKNSMDGKNVD TVLMELGVRFHRLIYEHLQQYSYSCMGGMLAICDVAEYRKCAKDFKIPMVLHLFDTLHAL CNLLVVAPDNLKQVCSGEQLANLDKNILHSFVQLRADYRSARLARHFS >ENSMUSP00000124012.1 pep:known chromosome:GRCm38:14:49013755:49066396:-1 gene:ENSMUSG00000061244.13 transcript:ENSMUST00000161504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc5 description:exocyst complex component 5 [Source:MGI Symbol;Acc:MGI:2145645] MATTAELFEEPFVADEYIERLVWRTPGGGSRGGPEAFDPKRLLEEFVNHIQELQIMDERI QRKVEKLEQQCQKEAKEFAKKVQELQKSNQIKEAADVIQKLHLIAQELPFDRFSEVKSKI ASKYHDLECQLIQEFTSAQRRGEVSRMREVAAVLLHFKGYSHCIDVYIKQCQEGAYLRND IFEDAAILCQRVNKQVGDIFSNPEAVLAKLIQSVFEIKLQSFVKDQLEECRKSDAEQYLK SLYDLYTRTTGLSSKLMEFNLGTDKQTFLSKLIKSIFISYLENYIEVEIGYLKSRSAMIL QRYYDSKNHQKRSIGTGGIQDLKERIRQRTNLPLGPSIDTHGETFLSQEVVVNLLQETKQ AFERCHRLSDPSDLPRNAFRIFTILVEFLCIEHIDYALETGLAGIPSSDSRNANLYFLDV VQQANTIFHLFDKQFNDHLMPLISSSPKLSECLQKKKEIIEQMEMKLDTGIDRTLNCMIG QMKHILAAEQKKTDFKPEDENNVLIQYTNACVKVCVYVRKQVEKIKNSMDGKNVDTVLME LGVRFHRLIYEHLQQYSYSCMGGMLAICDVAEYRKCAKDFKIPMVLHLFDTLHALCNLLV VAPDNLKQVCSGEQLANLDKNILHSFVQLRADYRSARLARHFS >ENSMUSP00000124627.1 pep:known chromosome:GRCm38:14:49016753:49023270:-1 gene:ENSMUSG00000061244.13 transcript:ENSMUST00000159651.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Exoc5 description:exocyst complex component 5 [Source:MGI Symbol;Acc:MGI:2145645] XIFTILVEFLCIEHIDYALETGLAALLLSYLNASRRKKR >ENSMUSP00000123825.1 pep:known chromosome:GRCm38:14:49034847:49048818:-1 gene:ENSMUSG00000061244.13 transcript:ENSMUST00000160386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc5 description:exocyst complex component 5 [Source:MGI Symbol;Acc:MGI:2145645] MFLQILKRFSEVKSKIASKYHDLECQLIQEFTSAQRRGEVSRMREVAAVLLHFKGYSHCI DVYIKQCQEGAYLRNDIFEDAAILCQRVNKQVGDIFSNPEAVLAKLIQSVFEIKLQSFVK DQLEECRKSDAEQYLKSLYDLYTRTTGLSSKLMEFNLGTDKQTFLSKLIKSIFISYLENY IEVEIGYLKSRSAMILQRYY >ENSMUSP00000099549.3 pep:known chromosome:GRCm38:4:141016637:141053722:-1 gene:ENSMUSG00000040860.16 transcript:ENSMUST00000102491.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crocc description:ciliary rootlet coiled-coil, rootletin [Source:MGI Symbol;Acc:MGI:3529431] MSLGLAGSLQAQLALEIVIQSLENCVLGPNQEKSLSVQNRVQDFQGASLLVCAREVIASN LSRPETPAPLQVPEMASLLSLQEENQLLQQELSRVEDLLAQSRAERDELAIKYNAVNERL EQAVRLETGELEAQEPRGLVRQSVELRRQLQEEQSSYRRKLQAYQEGQQRQAQLVQRLQA KILQYKKQCSELEKQLMDRSTELEQQRLRDTEHSQDLDSALLRLEEEQQRSASLAQVNAM LREQLDQANLANQALSEDIRKVTSDWTRSCKELEQREAVWRREEESFNTYFSSEHSRLLR LWRQVMGLRRQASEVKMGTERDLLQLGGELVRTSRAVQELGLGLSASLHRAESKAEAALE KQKLLQAQLEEQLQAKLLREKDLAQLQVQSDLDKADLSARVTELALSVEHLQNQNSEKDQ VNRTLSDKLEALESLRLQEQTTLDTEDGEGLQQTLRDLAQAALSDTESGVQLSSSERTAD TSDGSLRGFSGQRTPTPPRHSPGRGRSPRRGLSPACSDSSTLTLIHSALHKRQLQVQDMR GRYEASQELLGSVRKQLSDSEGERRGLEEQLQRLRDQTAASAQAQEDAQREAQRLRSANE LLSREKGNLTHSLQVTQQQAKELRQELEKLQAAQEELKRQHNQLEDAQEDSVQEGARARR ELERSHRQLEQLEVKRSGLTKELVEVREALSCAILQRDVLQTEKAEVAEALTKAEAGRAQ LELSLTKLRAEEASLRDSLSKMSALNESLAQDKLELNRLIAQLEEEKVALLGRQQQAEHA TTMAVEKQELLEQLRLEQEVERQGLQGSLCVAEQAREALEQQILVLRSERSHLQEQLAQL SRQLSGRDQELEQALRESQRQVEALERAAREKEAMAKERAGLAVKLAAAEREGRTLSEEA IRLRLEKEALESSLFDVQRQLAQLEARREQLEADSQALLLAKETLTGELAGLRQQVTSTE EKAALDKELMTQKLVQAEREAQASLREQRAAHEEDLQRLQHEKEAAWRELQAERAQLQGQ LQQEREELLARMEAEKEELSKEIAALQQERDEGLLLAESEKQQALSLKESEKTALSEKLM GTRHSLAAISLEMERQKRDAQSRQEQDRNTLNALTSELRDLRAQLEEATAAHAQTVKELE ERTGNLGRQREACMREAEELRTQLRVLEDTRDGLRRELLEAQRKGRDSQDSSEAHRQEAS ELRRSLSEGAKEREALRRSNEELRSAVKKAESERISLKLANEDKEQKLALLEEARVSVAK EAGELRASLQEVERSRLEARRELQELRRQMKTLDSDNGRLGRELADLQGRLALGERTEKE SRREALGLRQRLLKGESSLEALKQELQGSQRKLQEQEAEFRARERGLLGSLEEARGAEKR LLDSARSLELRLEAVRAETSELGLRLSAAEGRAQGLEVELARVEAQRRVAEAQLGGLRSA LRRGLGLGRVSSSPAREAPAGGSGDGLSSPSPLEYSPRSQPPSPGLIASPAPPDLDPEAV RDALRDFLQELRSAQRERDELKVQTSTLSQQLVEMEAERDHAASRAKQLQKAVAESEEAW RSADRRLSGAQAELALQEESVRRSKRECRATLDQMAVLERSLQATESELRASQEKVSKMK ATEAKLESDKRRLKEVLDASESRSIKLELQRRALEGELQRSRLGLGDREAHAQALQDRVD SLQRQVADSEVKAGTLQLTVERLSGALAKVEESEGNLRSKVQSLTDALTQSSASLSSTQD KNLHLQKALSTCEHDRQVLQERLDAARQALSEARRQSSSLGEQVQTLRGELASLELQRGD AEGQLQQLQQALRQRQEGEAMALRSVQKLQEERRLLQERLGSLQRALAQLEAEKRDLERS ALQFDKDRVALRKTLDKVEREKLRSHEDTLRLNAERGRLDRTLTGAELDLAEAQQQIQHL EAQVDVALEGNHNPVQPEAGEQQLELQQEVERLRSAQVQTERTLEARERAHRQRVSGLEE QVSTLKAQLHQELRRSSASVSLPPGTPEK >ENSMUSP00000095425.2 pep:known chromosome:GRCm38:4:141016637:141060550:-1 gene:ENSMUSG00000040860.16 transcript:ENSMUST00000097816.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crocc description:ciliary rootlet coiled-coil, rootletin [Source:MGI Symbol;Acc:MGI:3529431] MASLLSLQEENQLLQQELSRVEDLLAQSRAERDELAIKYNAVNERDTEHSQDLDSALLRL EEEQQRSASLAQVNAMLREQLDQANLANQALSEDIRKVTSDWTRSCKELEQREAVWRREE ESFNTYFSSEHSRLLRLWRQVMGLRRQASEVKMGTERDLLQLGGELVRTSRAVQELGLGL SASLHRAESKAEAALEKQKLLQAQLEEQLQAKLLREKDLAQLQVQSDLDKADLSARVTEL ALSVEHLQNQNSEKDQVNRTLSDKLEALESLRLQEQTTLDTEDGEGLQQTLRDLAQAALS DTESGVQLSSSERTADTSDGSLRGFSGQRTPTPPRHSPGRGRSPRRGLSPACSDSSTLTL IHSALHKRQLQVQDMRGRYEASQELLGSVRKQLSDSEGERRGLEEQLQRLRDQTAASAQA QEDAQREAQRLRSANELLSREKGNLTHSLQVTQQQAKELRQELEKLQAAQEELKRQHNQL EDAQEDSVQEGARARRELERSHRQLEQLEVKRSGLTKELVEVREALSCAILQRDVLQTEK AEVAEALTKAEAGRAQLELSLTKLRAEEASLRDSLSKMSALNESLAQDKLELNRLIAQLE EEKVALLGRQQQAEHATTMAVEKQELLEQLRLEQEVERQGLQGSLCVAEQAREALEQQIL VLRSERSHLQEQLAQLSRQLSGRDQELEQALRESQRQVEALERAAREKEAMAKERAGLAV KLAAAEREGRTLSEEAIRLRLEKEALESSLFDVQRQLAQLEARREQLEADSQALLLAKET LTGELAGLRQQVTSTEEKAALDKELMTQKLVQAEREAQASLREQRAAHEEDLQRLQHEKE AAWRELQAERAQLQGQLQQEREELLARMEAEKEELSKEIAALQQERDEGLLLAESEKQQA LSLKESEKTALSEKLMGTRHSLAAISLEMERQKRDAQSRQEQDRNTLNALTSELRDLRAQ LEEATAAHAQTVKELEERTGNLGRQREACMREAEELRTQLRVLEDTRDGLRRELLEAQRK GRDSQDSSEAHRQEASELRRSLSEGAKEREALRRSNEELRSAVKKAESERISLKLANEDK EQKLALLEEARVSVAKEAGELRASLQEVERSRLEARRELQELRRQMKTLDSDNGRLGREL ADLQGRLALGERTEKESRREALGLRQRLLKGESSLEALKQELQGSQRKLQEQEAEFRARE RGLLGSLEEARGAEKRLLDSARSLELRLEAVRAETSELGLRLSAAEGRAQGLEVELARVE AQRRVAEAQLGGLRSALRRGLGLGRVSSSPAREAPAGGSGDGLSSPSPLEYSPRSQPPSP GLIASPAPPDLDPEAVRDALRDFLQELRSAQRERDELKVQTSTLSQQLVEMEAERDHAAS RAKQLQKAVAESEEAWRSADRRLSGAQAELALQEESVRRSKRECRATLDQMAVLERSLQA TESELRASQEKVSKMKATEAKLESDKRRLKEVLDASESRSIKLELQRRALEGELQRSRLG LGDREAHAQALQDRVDSLQRQVADSEVKAGTLQLTVERLSGALAKVEESEGNLRSKVQSL TDALTQSSASLSSTQDKNLHLQKALSTCEHDRQVLQERLDAARQALSEARRQSSSLGEQV QTLRGELASLELQRGDAEGQLQQLQQALRQRQEGEAMALRSVQKLQEERRLLQERLGSLQ RALAQLEAEKRDLERSALQFDKDRVALRKTLDKVEREKLRSHEDTLRLNAERGRLDRTLT GAELDLAEAQQQIQHLEAQVDVALEGNHNPVQPEAGEQQLELQQEVERLRSAQVQTERTL EARERAHRQRVSGLEEQVSTLKAQLHQELRRSSASVSLPPGTPEK >ENSMUSP00000120555.1 pep:known chromosome:GRCm38:4:141047043:141060545:-1 gene:ENSMUSG00000040860.16 transcript:ENSMUST00000144196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crocc description:ciliary rootlet coiled-coil, rootletin [Source:MGI Symbol;Acc:MGI:3529431] MASLLSLQEENQLLQQELSRVEDLLAQSRAERDELAIKYNAVNESWSRLCGWKLGSWRHR SPEGWCGRAWSCGG >ENSMUSP00000126543.1 pep:known chromosome:GRCm38:4:141016640:141058199:-1 gene:ENSMUSG00000040860.16 transcript:ENSMUST00000168157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crocc description:ciliary rootlet coiled-coil, rootletin [Source:MGI Symbol;Acc:MGI:3529431] MASLLSLQEENQLLQQELSRVEDLLAQSRAERDELAIKYNAVNERDTEHSQDLDSALLRL EEEQQRSASLAQVNAMLREQLDQANLANQALSEDIRKVTSDWTRSCKELEQREAVWRREE ESFNTYFSSEHSRLLRLWRQVMGLRRQASEVKMGTERDLLQLGGELVRTSRAVQELGLGL SASLHRAESKAEAALEKQKLLQAQLEEQLQAKLLREKDLAQLQVQSDLDKADLSARVTEL ALSVEHLQNQNSEKDQVNRTLSDKLEALESLRLQEQTTLDTEDGEGLQQTLRDLAQAALS DTESGVQLSSSERTADTSDGSLRGFSGQRTPTPPRHSPGRGRSPRRGLSPACSDSSTLTL IHSALHKRQLQVQDMRGRYEASQELLGSVRKQLSDSEGERRGLEEQLQRLRDQTAASAQA QEDAQREAQRLRSANELLSREKGNLTHSLQVTQQQAKELRQELEKLQAAQEELKRQHNQL EDAQEDSVQEGARARRELERSHRQLEQLEVKRSGLTKELVEVREALSCAILQRDVLQTEK AEVAEALTKAEAGRAQLELSLTKLRAEEASLRDSLSKMSALNESLAQDKLELNRLIAQLE EEKVALLGRQQQAEHATTMAVEKQELLEQLRLEQEVERQGLQGSLCVAEQAREALEQQIL VLRSERSHLQEQLAQLSRQLSGRDQELEQALRESQRQVEALERAAREKEAMAKERAGLAV KLAAAEREGRTLSEEAIRLRLEKEALESSLFDVQRQLAQLEARREQLEADSQALLLAKET LTGELAGLRQQVTSTEEKAALDKELMTQKLVQAEREAQASLREQRAAHEEDLQRLQHEKE AAWRELQAERAQLQGQLQQEREELLARMEAEKEELSKEIAALQQERDEGLLLAESEKQQA LSLKESEKTALSEKLMGTRHSLAAISLEMERQKRDAQSRQEQDRNTLNALTSELRDLRAQ LEEATAAHAQTVKELEERTGNLGRQREACMREAEELRTQLRVLEDTRDGLRRELLEAQRK GRDSQDSSEAHRQEASELRRSLSEGAKEREALRRSNEELRSAVKKAESERISLKLANEDK EQKLALLEEARVSVAKEAGELRASLQEVERSRLEARRELQELRRQMKTLDSDNGRLGREL ADLQGRLALGERTEKESRREALGLRQRLLKGESSLEALKQELQGSQRKLQEQEAEFRARE RGLLGSLEEARGAEKRLLDSARSLELRLEAVRAETSELGLRLSAAEGRAQGLEVELARVE AQRRVAEAQLGGLRSALRRGLGLGRVSSSPAREAPAGGSGDGLSSPSPLEYSPRSQPPSP GLIASPAPPDLDPEAVRDALRDFLQELRSAQRERDELKVQTSTLSQQLVEMEAERDHAAS RAKQLQKAVAESEEAWRSADRRLSGAQAELALQEESVRRSKRECRATLDQMAVLERSLQA TESELRASQEKVSKMKATEAKLESDKRRLKEVLDASESRSIKLELQRRALEGELQRSRLG LGDREAHAQALQDRVDSLQRQVADSEVKAGTLQLTVERLSGALAKVEESEGNLRSKVQSL TDALTQSSASLSSTQDKNLHLQKALSTCEHDRQVLQERLDAARQALSEARRQSSSLGEQV QTLRGELASLELQRGDAEGQLQQLQQALRQRQEGEAMALRSVQKLQEERRLLQERLGSLQ RALAQLEAEKRDLERSALQFDKDRVALRKTLDKVEREKLRSHEDTLRLNAERGRLDRTLT GAELDLAEAQQQIQHLEAQVDVALEGNHNPVQPEAGEQQLELQQEVERLRSAQVQTERTL EARERAHRQRVSGLEEQVSTLKAQLHQELRRSSASVSLPPGTPEK >ENSMUSP00000037679.7 pep:known chromosome:GRCm38:4:141016640:141053661:-1 gene:ENSMUSG00000040860.16 transcript:ENSMUST00000040222.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crocc description:ciliary rootlet coiled-coil, rootletin [Source:MGI Symbol;Acc:MGI:3529431] MASLLSLQEENQLLQQELSRVEDLLAQSRAERDELAIKYNAVNERDTEHSQDLDSALLRL EEEQQRSASLAQVNAMLREQLDQANLANQALSEDIRKVTSDWTRSCKELEQREAVWRREE ESFNTYFSSEHSRLLRLWRQVMGLRRQASEVKMGTERDLLQLGGELVRTSRAVQELGLGL SASLHRAESKAEAALEKQKLLQAQLEEQLQAKLLREKDLAQLQVQSDLDKADLSARVTEL ALSVEHLQNQNSEKDQVNRTLSDKLEALESLRLQEQTTLDTEDGEGLQQTLRDLAQAALS DTESGVQLSSSERTADTSDGSLRGFSGQRTPTPPRHSPGRGRSPRRGLSPACSDSSTLTL IHSALHKRQLQVQDMRGRYEASQELLGSVRKQLSDSEGERRGLEEQLQRLRDQTAASAQA QEDAQREAQRLRSANELLSREKGNLTHSLQVTQQQAKELRQELEKLQAAQEELKRQHNQL EDAQEDSVQEGARARRELERSHRQLEQLEVKRSGLTKELVEVREALSCAILQRDVLQTEK AEVAEALTKAEAGRAQLELSLTKLRAEEASLRDSLSKMSALNESLAQDKLELNRLIAQLE EEKVALLGRQQQAEHATTMAVEKQELLEQLRLEQEVERQGLQGSLCVAEQAREALEQQIL VLRSERSHLQEQLAQLSRQLSGRDQELEQALRESQRQVEALERAAREKEAMAKERAGLAV KLAAAEREGRTLSEEAIRLRLEKEALESSLFDVQRQLAQLEARREQLEADSQALLLAKET LTGELAGLRQQVTSTEEKAALDKELMTQKLVQAEREAQASLREQRAAHEEDLQRLQHEKE AAWRELQAERAQLQGQLQQEREELLARMEAEKEELSKEIAALQQERDEGLLLAESEKQQA LSLKESEKTALSEKLMGTRHSLAAISLEMERQKRDAQSRQEQDRNTLNALTSELRDLRAQ LEEATAAHAQTVKELEERTGNLGRQREACMREAEELRTQLRVLEDTRDGLRRELLEAQRK GRDSQDSSEAHRQEASELRRSLSEGAKEREALRRSNEELRSAVKKAESERISLKLANEDK EQKLALLEEARVSVAKEAGELRASLQEVERSRLEARRELQELRRQMKTLDSDNGRLGREL ADLQGRLALGERTEKESRREALGLRQRLLKGESSLEALKQELQGSQRKLQEQEAEFRARE RGLLGSLEEARGAEKRLLDSARSLELRLEAVRAETSELGLRLSAAEGRAQGLEVELARVE AQRRVAEAQLGGLRSALRRGLGLGRVSSSPAREAPAGGSGDGLSSPSPLEYSPRSQPPSP GLIASPAPPDLDPEAVRDALRDFLQELRSAQRERDELKVQTSTLSQQLVEMEAERDHAAS RAKQLQKAVAESEEAWRSADRRLSGAQAELALQEESVRRSKRECRATLDQMAVLERSLQA TESELRASQEKVSKMKATEAKLESDKRRLKEVLDASESRSIKLELQRRALEGELQRSRLG LGDREAHAQALQDRVDSLQRQVADSEVKAGTLQLTVERLSGALAKVEESEGNLRSKVQSL TDALTQSSASLSSTQDKNLHLQKALSTCEHDRQVLQERLDAARQALSEARRQSSSLGEQV QTLRGELASLELQRGDAEGQLQQLQQALRQRQEGEAMALRSVQKLQEERRLLQERLGSLQ RALAQLEAEKRDLERSALQFDKDRVALRKTLDKVEREKLRSHEDTLRLNAERGRLDRTLT GAELDLAEAQQQIQHLEAQVDVALEGNHNPVQPEAGEQQLELQQEVERLRSAQVQTERTL EARERAHRQRVSGLEEQVSTLKAQLHQELRRSSASVSLPPGTPEK >ENSMUSP00000026175.2 pep:known chromosome:GRCm38:11:121434913:121450491:1 gene:ENSMUSG00000025175.12 transcript:ENSMUST00000026175.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn3k description:fructosamine 3 kinase [Source:MGI Symbol;Acc:MGI:1926834] MEQLLRAQLHTTTLRAFGSSGGGCISEGYAYYTDSGPVFVKVNRRTQARQMFEGEMASLE ALRNTGLVRVPKPMKVIDLPGGGAVFVMEHLKMKSLSSQASKLGEQMADLHLYNQKLREK SKTRQNTVGCGAEGAEPQGVTKFGFHTVTCCGFIPQVNEWQEDWPTFFTRHRLQAQLDLI EKDYADRETQELWSRLQVKIPDLFAGIEIVPALLHGDLWSGNVAEDDQGPVIYDPASFYG HSEFELAIASMFGGFPRSFFTAYHRKIPKAPGFDKRLLLYQLFNYLNHWNHFGREYRSPS LGVMRKLLR >ENSMUSP00000089955.4 pep:known chromosome:GRCm38:11:121434967:121442981:1 gene:ENSMUSG00000025175.12 transcript:ENSMUST00000092302.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn3k description:fructosamine 3 kinase [Source:MGI Symbol;Acc:MGI:1926834] MEQLLRAQLHTTTLRAFGSSGGGCISEGYAYYTDSGPVFVKVNRRTQARQMFEGEMASLE ALRNTGLVRVPKPMKVIDLPGGGAVFVMEHLKMKSLSSQASKLGEQMADLHLYNQKLREK SKTRQNTVGCGAEGAEPQGVTKFGFHTVTCCGFIPQCLQPFPSHVASSSLAGLTGP >ENSMUSP00000099303.3 pep:known chromosome:GRCm38:11:121434968:121443505:1 gene:ENSMUSG00000025175.12 transcript:ENSMUST00000103014.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fn3k description:fructosamine 3 kinase [Source:MGI Symbol;Acc:MGI:1926834] MEQLLRAQLHTTTLRAFGSSGGGCISEGYAYYTDSGPVFVKVNRRTQARQMFEGEMASLE ALRNTGLVRVPKPMKVIDLPGGGAVFVMEHLKMKSLSSQASKLGEQMADLHLYNQKLREK SKTRQNTVGCGAEGAEPQGVTKFGFHTVTCCGFIPQVSVRVGASSRGHPHLVRTIPEALV LATDPLCATECQFSRHQDAQFLKVECSL >ENSMUSP00000080469.6 pep:known chromosome:GRCm38:6:122006761:122085965:1 gene:ENSMUSG00000030131.8 transcript:ENSMUST00000081777.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mug2 description:murinoglobulin 2 [Source:MGI Symbol;Acc:MGI:99836] MWKSRRAQLCLFSVLLAFLPSASSLNGDSKYMVLVPSQLYTETPEKICLHLYHLNETVTV TASLVSQTGRRNLFDELVVDKDLFQCVSFIIPTLNSPDEEEFLYVDIKGPTHEFSKRNAV LVKNKESVVFVQTDKPVYKPGQSVKFRVVSMDKTLRPLNELLPLAYIEDPKKNRIMQWRD IKTENGLKQMSFSLAAEPIQGPYKIVVHKQSGVKEEHSFTVMEFVLPRFNVDLKVPNAIS VNDEVLQVTVCGKYTYGKPVPGQVKISICHETEAGCKEVNSKLDNNGCSTQEVNITELQS KKRNYEVQLFHVNATVTEEGTGLEFNGYGTTKIERITNKLIFLKADSHFRHGIPFFVKVR LVDIKGDPIPNERVFIKAQVLGYTSATTTDQHGLAKFSIDTAGFSGSSLHIKVNHKGKDS CYFFYCMEERYASAEHVAYAVYSLSKSYIYLVKETSSILPCNQIHTVQAHFILKGDLGVL KELVFYYLVMAQGSIIQTGNHTHQVEPGEAPVKGNFDLEIPVEFSMAPMAKMLIYTILPD GEVIADSVNFEIEKCLRNKVDLSFSSSQSLPASQTRLQVTASPQSLCGLRAVDQSVLLLK PEDELSPSWIYNLPGMQHNKFIPSSSLSEDREDCILYSSWVAEKHTDWVPHGREKDVYRY VEDMDLKAFTNLKIKLPKICFDSAPMSGPRGKFDLAFSSEVSGTLQKGSSKRPQPEEPPR EDPPPKDPLAETIRKYFPETWVWDIVTVNSTGVAEVEMTVPDTITEWKAGALCLSNDTGL GLSSVVPLQAFQPFFVEVSLPYSVVRGEAFMLKATVMNYLPTSMRMSVQLEASPDFTAVP VGDDHDSYCLSANGRHTSSWLVTPKSLGNVNFSVSVEAQQSSEPCGSEVATVPETGRKDT VVKVLIVEPEGIKQEHTFNSLFCASDAEISEKMSLVLPPTVVKDSARAHFSVMGDILSSA IKNTQNLLHMPYGCGEQNMVLFAPNIYVLKYLDKTQQLTQKIKTKALGFLRAGYQRELNY KHKDGSYSAFGDQNGEREGNTWLTAFVLKSFAQARAFIFIDESHITHAFTWLSQQQKDNG CFRSSGSLFHNDIKHPVVSKALSCLESSWKTIEQGRNANFVYTKALMAYAFALAGNQDKR NEILKSLDEEAIKEDNSIHWERPQKPRKSEHNLYKPQASSVEVEMNAYVVLARLTAQPAP SPEDLTLSRSTIMWLTKQQNSNGGFSSTQDTVVALDALSKYGAVTFSRRQKTSLVTIQST GSFSQKFQVENSNCLLLQQVPLPDIPGDYTISVSGEGCVYAQTTLRYNMHLEKQQSAFAL RVQTVPLTCNNPKGHNSFQISLEISYTGSRPASNMVIADVKMLSGFIPLKPTVKKLERLE HISRTEVSNNNVLLYLDQVTNQTLAFSFIIQQDISVRNLQPAIVKVYDYYETDEVAYAEY SSPCSSDKQNV >ENSMUSP00000031419.5 pep:known chromosome:GRCm38:5:122364580:122372364:-1 gene:ENSMUSG00000029463.5 transcript:ENSMUST00000031419.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam216a description:family with sequence similarity 216, member A [Source:MGI Symbol;Acc:MGI:1916198] MPSRWPGVAGPPALARTEGGEGSAGHSYPQNSKGTGEQHKADRIKEGHRVYAHIAKLQEL WKTTQIQTIHIPKSMTDASFLKHPELTLGQKRYLCSVAKICNSSYLRTLMKRQYMHIFHH GSQKTGVLTHHRGHMSSRYSQKQHSPCTAWRHHLEREDSLSIAAGAPEMIIHSLWRPLRH KEGLKIGYASKTRCKSLKIFRRPGRLFLLPVPSNDSQSCPSEETQEEDLLNKCMQSMSIQ EQGPAHASLTV >ENSMUSP00000060411.6 pep:known chromosome:GRCm38:7:143763710:143766993:-1 gene:ENSMUSG00000050276.7 transcript:ENSMUST00000058092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprg description:MAS-related GPR, member G [Source:MGI Symbol;Acc:MGI:3033145] MFSIFNIWGTFNKVLFFLSLTVSLAGLVGNALLLWHLGLHIKKGPFNTYLLHLAAADFLF LSCQVGFSIATIVSGHEDTLYFPVTFLWFAVGLWLLAAFSVDCCLAYMFPSFCSPNRRPR FTSVVLCLVIWALTMPAVLLPANACGLLKNGMSLLVCLKYHWTSVTWLAVLSGMACGASK FLLIFGNCCSSQPPPKFCKLAQCSGILLFFCRLPLVVYWCLRPVLKFLLPFFFPLATLLA CIDSSAKPLLYYMKGRQLRKDPLQVALNRALGEESQSGLGGLSLPMHQV >ENSMUSP00000146374.1 pep:known chromosome:GRCm38:7:143764906:143765576:-1 gene:ENSMUSG00000050276.7 transcript:ENSMUST00000208986.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprg description:MAS-related GPR, member G [Source:MGI Symbol;Acc:MGI:3033145] MFSIFNIWGTFNKVLFFLSLTVSLAGLVGNALLLWHLGLHIKKGPFNTYLLHLAAADFLF LSCQVGFSIATIVSGHEDTLYFPVTFLWFAVGLWLLAAFSVDCCLAYMFPSFCSPNRRPR FTSVVLCLVIWALTMPAVLLPANACGLLKNGMSLLV >ENSMUSP00000097572.3 pep:known chromosome:GRCm38:2:75989912:76338774:-1 gene:ENSMUSG00000075270.11 transcript:ENSMUST00000099992.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde11a description:phosphodiesterase 11A [Source:MGI Symbol;Acc:MGI:3036251] MAASRLDFGEVETFLDRHPELFEDYLMRKGKQELVDKWLQRHTSGQGASSLRPALAGASS LAQSNAKGSPGIGGGAGPQGSAHSHPTPGGGESAGVPLSPSWASGSRGDGSLQRRASQKE LRKSFARSKAIHVNRTYDEQVTSRAQEPLSSVRRRALLRKASSLPPTTAHILSALLESRV NLPQYPPTAIDYKCHLKKHNERQFFLELVKDISNDLDLTSLSYKILIFVCLMVDADRCSL FLVEGAAAGKKTLVSKFFDVHAGTPLLPCSSTENSNEVQVPWGKGIIGYVGEHGETVNIP DAYQDRRFNDEIDKLTGYKTKSLLCMPIRNSDGEIIGVAQAINKVPEGAPFTEDDEKVMQ MYLPFCGIAISNAQLFAASRKEYERSRALLEVVNDLFEEQTDLEKIVKKIMHRAQTLLKC ERCSVLLLEDIESPVVKFTKSFELMSPKCSADAENSFKESVEKSSYSDWLINNSIAELVA STGLPVNVSDAYQDPRFDAEADQISGFHIRSVLCVPIWNSNHQIIGVAQVLNRLDGKPFD DADQRLFEAFVIFCGLGINNTIMYDQVKKSWAKQSVALDVLSYHATCSKAEVDKFKAANI PLVSELAIDDIHFDDFSLDVDAMITAALRMFMELGMVQKFKIDYETLCRWLLTVRKNYRM VLYHNWRHAFNVCQLMFAMLTTAGFQEILTEVEILAVIVGCLCHDLDHRGTNNAFQAKSD SALAQLYGTSATLEHHHFNHAVMILQSEGHNIFANLSSKEYSDLMQLLKQSILATDLTLY FERRTEFFELVRKGDYDWSITSHRDVFRSMLMTACDLGAVTKPWEISRQVAELVTSEFFE QGDRERSELKLTPSAIFDRNRKDELPRLQLEWIDSICMPLYQALVKVNAKLKPMLDSVAA NRRKWEELHQKRLQVSAASPDPASPMVAGEDRL >ENSMUSP00000115662.1 pep:known chromosome:GRCm38:2:76027665:76215394:-1 gene:ENSMUSG00000075270.11 transcript:ENSMUST00000144892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde11a description:phosphodiesterase 11A [Source:MGI Symbol;Acc:MGI:3036251] XLPFCGIAISNAQLFAASRKEYERSRALLEVVNDLFEEQTDLEKIVKKIMHRAQTLLKCE RCSVLLLEDIESPVVKFTKSFELMSPKCSADAENSFKESVEKSSYSDWLINNSIAELVAS TGLPVNVSDAYQDPRFDAEADQISGFHIRSVLCVPIWNSNHQIIGVAQVLNRLDGKPFDD ADQRLFEVLSYHATCSKAEVDKFKAANIPLVSELAIDDIHFDDFSLDVDAMITAALRMFM ELGMVQKFKIDYETLCRWLLTVRKNYRMVLYHNWRHAFNVCQLMFAMLTTAGFQEILTEV EILAVIVGCLCHDLDHRGTNNAFQAKSDSALAQLYGTSATLEHHHFNHAVMILQSEGHNI FANLSSKEYSDLMQLLKQSILATDLTLYFERRTEFFELVRKGDYDWSITSHRDVFRSMLM TACDLGAVTKPWEISRQLSGGLLP >ENSMUSP00000117451.1 pep:known chromosome:GRCm38:2:75989141:76006043:-1 gene:ENSMUSG00000075270.11 transcript:ENSMUST00000124825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde11a description:phosphodiesterase 11A [Source:MGI Symbol;Acc:MGI:3036251] XFSEEMLRRNEVVSPYFRCGQALVKVNAKLKPMLDSVAANRRKWEELHQKRLQVSAASPD PASPMVAGEDRL >ENSMUSP00000027684.4 pep:known chromosome:GRCm38:1:135146824:135156269:1 gene:ENSMUSG00000026426.10 transcript:ENSMUST00000027684.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl8a description:ADP-ribosylation factor-like 8A [Source:MGI Symbol;Acc:MGI:1915974] MIALFNKLLDWFKALFWKEEMELTLVGLQYSGKTTFVNVIASGQFNEDMIPTVGFNMRKI TKGNVTIKLWDIGGQPRFRSMWERYCRGVSAIVYMVDAADQEKIEASKNELHNLLDKPQL QGIPVLVLGNKRDLAGALDEKELIEKMNLSAIQDREICCYSISCKEKDNIDITLQWLIQH SKSRRS >ENSMUSP00000121545.1 pep:known chromosome:GRCm38:1:135147669:135156269:1 gene:ENSMUSG00000026426.10 transcript:ENSMUST00000125774.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl8a description:ADP-ribosylation factor-like 8A [Source:MGI Symbol;Acc:MGI:1915974] XKAWHHKGSWETPLGSEVARSGQFNEDMIPTVGFNMRKITKGNVTIKLWDIGGQPRFRSM WERYCRGVSAIVYMVDAADQEKIEASKNELHNLLDKPQLQGIPVLVLGNKRDLAGALDEK ELIEKMNLSAIQDREICCYSISCKEKDNIDITLQWLIQHSKSRRS >ENSMUSP00000021346.7 pep:known chromosome:GRCm38:12:91400994:91540509:1 gene:ENSMUSG00000020963.14 transcript:ENSMUST00000021346.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshr description:thyroid stimulating hormone receptor [Source:MGI Symbol;Acc:MGI:98849] MRPGSLLLLVLLLALSRSLRGKECASPPCECHQEDDFRVTCKELHRIPSLPPSTQTLKLI ETHLKTIPSLAFSSLPNISRIYLSIDATLQRLEPHSFYNLSKMTHIEIRNTRSLTYIDPD ALTELPLLKFLGIFNTGLRIFPDLTKIYSTDIFFILEITDNPYMTSVPENAFQGLCNETL TLKLYNNGFTSVQGHAFNGTKLDAVYLNKNKYLTAIDNDAFGGVYSGPTLLDVSSTSVTA LPSKGLEHLKELIAKDTWTLKKLPLSLSFLHLTRADLSYPSHCCAFKNQKKIRGILESLM CNESSIRNLRQRKSVNILRGPIYQEYEEDPGDNSVGYKQNSKFQESPSNSHYYVFFEEQE DEVVGFGQELKNPQEETLQAFESHYDYTVCGDNEDMVCTPKSDEFNPCEDIMGYRFLRIV VWFVSLLALLGNIFVLLILLTSHYKLTVPRFLMCNLAFADFCMGVYLLLIASVDLYTHSE YYNHAIDWQTGPGCNTAGFFTVFASELSVYTLTVITLERWYAITFAMRLDRKIRLRHAYT IMAGGWVSCFLLALLPMVGISSYAKVSICLPMDTDTPLALAYIVLVLLLNVVAFVVVCSC YVKIYITVRNPQYNPRDKDTKIAKRMAVLIFTDFMCMAPISFYALSALMNKPLITVTNSK ILLVLFYPLNSCANPFLYAIFTKAFQRDVFILLSKFGICKRQAQAYQGQRVCPNNSTGIQ IQKIPQDTRQSLPNMQDTYELLGNSQLAPKLQGQISEEYKQTAL >ENSMUSP00000021343.6 pep:known chromosome:GRCm38:12:91401000:91522541:1 gene:ENSMUSG00000020963.14 transcript:ENSMUST00000021343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshr description:thyroid stimulating hormone receptor [Source:MGI Symbol;Acc:MGI:98849] MRPGSLLLLVLLLALSRSLRGKECASPPCECHQEDDFRVTCKELHRIPSLPPSTQTLKLI ETHLKTIPSLAFSSLPNISRIYLSIDATLQRLEPHSFYNLSKMTHIEIRNTRSLTYIDPD ALTELPLLKFLGIFNTGLRIFPDLTKIYSTDIFFILEITDNPYMTSVPENAFQGLCNETL TLKLYNNGFTSVQGHAFNGTKLDAVYLNKNKYLTAIDNDAFGGVYSGPTLLSFLKEEFDF HQAQI >ENSMUSP00000139632.1 pep:known chromosome:GRCm38:12:91538066:91539556:1 gene:ENSMUSG00000020963.14 transcript:ENSMUST00000186437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshr description:thyroid stimulating hormone receptor [Source:MGI Symbol;Acc:MGI:98849] AFVVVCSCYVKIYITVRNPQYNPRDKDTKIAKRMAVLIFTDFMCMAPISFYALSALMNKP LITVTNSKILLVLFYPLNSCANPFLYAIFTKAFQRDVFILLSKFGICKRQAQAYQDSESI ITRLCLP >ENSMUSP00000037869.7 pep:known chromosome:GRCm38:5:136083916:136111860:1 gene:ENSMUSG00000004952.13 transcript:ENSMUST00000042135.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasa4 description:RAS p21 protein activator 4 [Source:MGI Symbol;Acc:MGI:1858600] MAKRSSLSIRIVEGKNLPAKDITGSSDPYCIVKVDNEPIIRTATVWKTLCPFWGEDYQVH LPPTFHTVAFYVMDEDALSRDDVIGKVCLTRDALASHPKGFSGWTHLVEVDPNEEVQGEI HLRLEVVPGVHASRLRCAVLEARDLAPKDRNGASDPFVRVHYNGRTQETSVVKKSCYPRW NETFDFELEKGASEALLVEAWDWDLVSRNDFLGKVAVNVQRLCSAQQEEGWFRLQPDQSK SRQGKGNLGSLQLEVRLRDETVLPSVCYQPLVQLLCQEVKLGTQGPGRLIPVIEETTSAE CRQEVATTLLKLFLGQGLAKDFLDLLFQLELGRTSEANTLFRSNSLASKSMESFLKVAGM RYLHGILGPIIDRVFEEKKYVELDPSKVEVKDVGCSGLHRPQTEAEVLEQSAQTLRAHLV ALLSAICRSVRTCPAIIRATFRQLFRRVRERFPNAQHQNVPFIAVTSFLCLRFFSPAILS PKLFHLRERHADARTSRTLLLLAKAVQNIGNMDTPVSRAKESWMEPLQPTVRQGVAQLKD FIMKLVDIEEKEELDLQRALNSQAPPVKEGPLFIHRTKGKGPLASSSFKKLYFSLTTEAL SFAKTSSSKKSTFIKLASIRAAEKVEEKSFGSSHIMQVIYADDVGRAQTVYLQCKCVNEL NQWLSALRKASTNNRGLLRSYHPGIFRGDKWSCCHQKDKTDQGCDKTHSRVTLQEWNDPL DHDLEAQLIYRHLLGVEAALRERYQLLRGATEAGVSPTGCDGAPEDSLAQLLRVLQDLRE AHGSSLASPAAREPHHLLELQT >ENSMUSP00000098136.3 pep:known chromosome:GRCm38:5:136084032:136111860:1 gene:ENSMUSG00000004952.13 transcript:ENSMUST00000100570.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasa4 description:RAS p21 protein activator 4 [Source:MGI Symbol;Acc:MGI:1858600] MAKRSSLSIRIVEGKNLPAKDITGSSDPYCIVKVDNEPIIRTATVWKTLCPFWGEDYQVH LPPTFHTVAFYVMDEDALSRDDVIGKVCLTRDALASHPKGFSGWTHLVEVDPNEEVQGEI HLRLEVVPGVHASRLRCAVLEARDLAPKDRNGASDPFVRVHYNGRTQETSVVKKSCYPRW NETFDFELEKGASEALLVEAWDWDLVSRNDFLGKVAVNVQRLCSAQQEEGWFRLQPDQSK SRQGKGNLGSLQLEVRLRDETVLPSVCYQPLVQLLCQEVKLGTQGPGRLIPVIEETTSAE CRQEVATTLLKLFLGQGLAKDFLDLLFQLELGRTSEANTLFRSNSLASKSMESFLKVAGM RYLHGILGPIIDRVFEEKKYVELDPSKVEVKDVGCSGLHRPQTEAEVLEQSAQTLRAHLV ALLSAICRSVRTCPAIIRATFRQLFRRVRERFPNAQHQAVQNIGNMDTPVSRAKESWMEP LQPTVRQGVAQLKDFIMKLVDIEEKEELDLQRALNSQAPPVKEGPLFIHRTKGKGPLASS SFKKLYFSLTTEALSFAKTSSSKKSTFIKLASIRAAEKVEEKSFGSSHIMQVIYADDVGR AQTVYLQCKCVNELNQWLSALRKASTNNRGLLRSYHPGIFRGDKWSCCHQKDKTDQGCDK THSRVTLQEWNDPLDHDLEAQLIYRHLLGVEAALRERYQLLRGATEAGVSPTGCDGAPED SLAQLLRVLQDLREAHGSSLASPAAREPHHLLELQT >ENSMUSP00000120203.1 pep:known chromosome:GRCm38:5:136095590:136102295:1 gene:ENSMUSG00000004952.13 transcript:ENSMUST00000145294.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rasa4 description:RAS p21 protein activator 4 [Source:MGI Symbol;Acc:MGI:1858600] XRTQETSVVKKSCYPRWNETFDFELEKGASEALLVEAWDWDLVSRNDFLGKVAVNVQRLC SAQQEEGWFRLQPDQSKSRQGKGNLGSLQLEVRLRDETVLPSVCYQPLVQLLCQEVKLGT QVKPTPSSGAILWPQSPWSLS >ENSMUSP00000118929.1 pep:known chromosome:GRCm38:5:136103894:136106033:1 gene:ENSMUSG00000004952.13 transcript:ENSMUST00000122887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasa4 description:RAS p21 protein activator 4 [Source:MGI Symbol;Acc:MGI:1858600] XFIHRTKGKGPLASSSFKKLYFSLTTEALSFAKTSSSKKSTFIKLASIRAAEKVEEKSFG SSHIMQVIYADDVGRAQTVYLQCKCVNELNQWLSALRKASTNNRGLLRSYHPGIFRGDKW SCCHQKDKTGGSAGLGPLPKQVIGWERGSRSLKSHMLIYGKAAQGQPGLQVLLAQKDL >ENSMUSP00000028972.8 pep:known chromosome:GRCm38:2:153008890:153015427:-1 gene:ENSMUSG00000027472.14 transcript:ENSMUST00000028972.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdrg1 description:p53 and DNA damage regulated 1 [Source:MGI Symbol;Acc:MGI:1915809] MLSPEAERVLRYLVEVEELAEAVLSDKRQIVDLDTKRNQNREGLRALQKDLSVSEDVMVC FGNMFIKMPHPKTKEMIQKDQEHLDKEIERLRSQLKVKVNRLFEAQGKPELKGFNLNPLS PDEVKALKVILKG >ENSMUSP00000115545.1 pep:known chromosome:GRCm38:2:153008890:153015427:-1 gene:ENSMUSG00000027472.14 transcript:ENSMUST00000150545.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdrg1 description:p53 and DNA damage regulated 1 [Source:MGI Symbol;Acc:MGI:1915809] MLSPEAERVLRYLVEVEELAEAVLSDKRQVRDVAAASAL >ENSMUSP00000117116.1 pep:known chromosome:GRCm38:2:153010293:153015366:-1 gene:ENSMUSG00000027472.14 transcript:ENSMUST00000140853.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdrg1 description:p53 and DNA damage regulated 1 [Source:MGI Symbol;Acc:MGI:1915809] MLSPEAERVLRYLVEVEELAEAVLSDKRQVRDVAAASAL >ENSMUSP00000115986.1 pep:known chromosome:GRCm38:2:153012383:153015362:-1 gene:ENSMUSG00000027472.14 transcript:ENSMUST00000123083.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pdrg1 description:p53 and DNA damage regulated 1 [Source:MGI Symbol;Acc:MGI:1915809] MLSPEAERVLRYLVEVEELAEAVLSDKRQIGAGGS >ENSMUSP00000105869.3 pep:known chromosome:GRCm38:2:131039632:131043088:-1 gene:ENSMUSG00000027316.15 transcript:ENSMUST00000110240.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra4 description:glial cell line derived neurotrophic factor family receptor alpha 4 [Source:MGI Symbol;Acc:MGI:1341873] MAHCMESALLLLLLLGSASFTDGNRCVDAAEACTADERCQQLRSEYVARCLGRAAPGGRP GPGGCVRSRCRRALRRFFARGPPALTHALLFCGCEGSACAERRRQTFAPACAFSGPGLVP PSCLEPLERCERSRLCRPRLLAFQASCAPAPGSRDRCPEEGGPRCLRVYAGLIGTVVTPN YLDNVSARVAPWCGCAASGNRREECEAFRKLFTRNPCLDGAIQAFDSLQPSVLQDQTAGC CFPRARHEWPEKSWRQKQSLFCPNAQGVLAVCTHCPGSPGPALIRNMNRGRHS >ENSMUSP00000028787.5 pep:known chromosome:GRCm38:2:131040176:131042682:-1 gene:ENSMUSG00000027316.15 transcript:ENSMUST00000028787.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra4 description:glial cell line derived neurotrophic factor family receptor alpha 4 [Source:MGI Symbol;Acc:MGI:1341873] MLRRAHLMDERPGQAIFLGLGSQRGSASFTDGNRCVDAAEACTADERCQQLRSEYVARCL GRAAPGGRPGPGGCVRSRCRRALRRFFARGPPALTHALLFCGCEGSACAERRRQTFAPAC AFSGPGLVPPSCLEPLERCERSRLCRPRLLAFQASCAPAPGSRDRCPEEGGPRCLRVYAG LIGTVVTPNYLDNVSARVAPWCGCAASGNRREECEAFRKLFTRNPCLDGAIQAFDSLQPS VLQDQTAGCCFPRARHEWPEKSWRQKQSLFCPNAQGVLAVCTHCPGSPGPALIRNMNRGR HS >ENSMUSP00000105868.1 pep:known chromosome:GRCm38:2:131040208:131042682:-1 gene:ENSMUSG00000027316.15 transcript:ENSMUST00000110239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra4 description:glial cell line derived neurotrophic factor family receptor alpha 4 [Source:MGI Symbol;Acc:MGI:1341873] MLRRAHLMDERPGQAIFLGLGSQRGSASFTDGNRCVDAAEACTADERCQQLRSEYVARCL GRAAPGGRPGPGGCVRSRCRRALRRFFARGPPALTHALLFCGCEGSACAERRRQTFAPAC AFSGPGLVPPSCLEPLERCERSRLCRPRLLAFQASCAPAPGSRDRCPEEGGPRCLRVYAG LIGTVVTPNYLDNVSARVAPWCGCAASGNRREECEAFRKLFTRNPCLDGAIQAFDSLQPS VLQDQTAGCCFPRVSWLYALTALALQALL >ENSMUSP00000068357.4 pep:known chromosome:GRCm38:2:131040208:131043088:-1 gene:ENSMUSG00000027316.15 transcript:ENSMUST00000066958.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra4 description:glial cell line derived neurotrophic factor family receptor alpha 4 [Source:MGI Symbol;Acc:MGI:1341873] MAHCMESALLLLLLLGSASFTDGNRCVDAAEACTADERCQQLRSEYVARCLGRAAPGGRP GPGGCVRSRCRRALRRFFARGPPALTHALLFCGCEGSACAERRRQTFAPACAFSGPGLVP PSCLEPLERCERSRLCRPRLLAFQASCAPAPGSRDRCPEEGGPRCLRVYAGLIGTVVTPN YLDNVSARVAPWCGCAASGNRREECEAFRKLFTRNPCLDGAIQAFDSLQPSVLQDQTAGC CFPRVSWLYALTALALQALL >ENSMUSP00000105863.1 pep:known chromosome:GRCm38:2:131041232:131042682:-1 gene:ENSMUSG00000027316.15 transcript:ENSMUST00000110234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra4 description:glial cell line derived neurotrophic factor family receptor alpha 4 [Source:MGI Symbol;Acc:MGI:1341873] MLRRAHLMDERPGQAIFLGLGSQRGSASFTDGNRCVDAAEACTADERCQQLRSEYVARCL GRAAPGGRPGPGGCVRSRCRRALRRFFARGPPALTHALLFCGCEGSACAERRRQTFAPAC AFSGPGLVPPSCLEPLERCERSRLCRCVRAGRAGPLTRVRARAGPVSLPSRPHALPRPAP ATAARRRGARVVCASTQAS >ENSMUSP00000105864.1 pep:known chromosome:GRCm38:2:131041232:131043088:-1 gene:ENSMUSG00000027316.15 transcript:ENSMUST00000110235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra4 description:glial cell line derived neurotrophic factor family receptor alpha 4 [Source:MGI Symbol;Acc:MGI:1341873] MAHCMESALLLLLLLGSASFTDGNRCVDAAEACTADERCQQLRSEYVARCLGRAAPGGRP GPGGCVRSRCRRALRRFFARGPPALTHALLFCGCEGSACAERRRQTFAPACAFSGPGLVP PSCLEPLERCERSRLCRCVRAGRAGPLTRVRARAGPVSLPSRPHALPRPAPATAARRRGA RVVCASTQAS >ENSMUSP00000020779.4 pep:known chromosome:GRCm38:11:87793581:87804413:1 gene:ENSMUSG00000009350.13 transcript:ENSMUST00000020779.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpo description:myeloperoxidase [Source:MGI Symbol;Acc:MGI:97137] MKLLLALAGLLAPLAMLQTSNGATPALLGEVENSVVLSCMEEAKQLVDRAYKERRESIKR SLQSGSASPTELLFYFKQPVAGTRTAVRAADYLHVALDLLKRKLQPLWPRPFNVTDVLTP AQLNLLSVSSGCAYQDVRVTCPPNDKYRTITGHCNNRRSPTLGASNRAFVRWLPAEYEDG VSMPFGWTPGVNRNGFKVPLARQVSNAIVRFPNDQLTKDQERALMFMQWGQFLDHDITLT PEPATRFSFFTGLNCETSCLQQPPCFPLKIPPNDPRIKNQKDCIPFFRSCPACTRNNITI RNQINALTSFVDASGVYGSEDPLARKLRNLTNQLGLLAINTRFQDNGRALMPFDSLHDDP CLLTNRSARIPCFLAGDMRSSEMPELTSMHTLFVREHNRLATQLKRLNPRWNGEKLYQEA RKIVGAMVQIITYRDYLPLVLGPAAMKKYLPQYRSYNDSVDPRIANVFTNAFRYGHTLIQ PFMFRLNNQYRPTGPNPRVPLSKVFFASWRVVLEGGIDPILRGLMATPAKLNRQNQIVVD EIRERLFEQVMRIGLDLPALNMQRSRDHGLPGYNAWRRFCGLPQPSTVGELGTVLKNLEL ARKLMAQYGTPNNIDIWMGGVSEPLEPNGRVGQLLACLIGTQFRKLRDGDRFWWENPGVF SKQQRQALASISLPRIICDNTGITTVSKNNIFMSNTYPRDFVSCNTLPKLNLTSWKET >ENSMUSP00000123371.2 pep:known chromosome:GRCm38:11:87793795:87796046:1 gene:ENSMUSG00000009350.13 transcript:ENSMUST00000143021.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpo description:myeloperoxidase [Source:MGI Symbol;Acc:MGI:97137] MKLLLALAGLLAPLAMLQTSNGATPALLGEVENSVVLSCMEEAKQLVDRAYKERRESIKR SLQSGSASPTELLFYFKQPVAGTRTAVRAADYLHVALDLLKRKLQPLWPRPFNVTDVLTP AQLNLLSVSSGCAYQDVRVTCPPNDKYRTITGHCNNRRSPTLGASNR >ENSMUSP00000112837.1 pep:known chromosome:GRCm38:11:87794209:87804413:1 gene:ENSMUSG00000009350.13 transcript:ENSMUST00000121303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpo description:myeloperoxidase [Source:MGI Symbol;Acc:MGI:97137] MKLLLALAGLLAPLAMLQTSNGATPALLGEVENSVVLSCMEEAKQLVDRAYKERRESIKR SLQSGSASPTELLFYFKQPVAGTRTAVRAADYLHVALDLLKRKLQPLWPRPFNVTDVLTP AQLNLLSVSSGCAYQDVRVTCPPNDKYRTITGHCNNRRSPTLGASNRAFVRWLPAEYEDG VSMPFGWTPGVNRNGFKVPLARQVSNAIVRFPNDQLTKDQERALMFMQWGQFLDHDITLT PEPATRFSFFTGLNCETSCLQQPPCFPLKIPPNDPRIKNQKDCIPFFRSCPACTRNNITI RNQINALTSFVDASGVYGSEDPLARKLRNLTNQLGLLAINTRFQDNGRALMPFDSLHDDP CLLTNRSARIPCFLAGDMRSSEMPELTSMHTLFVREHNRLATQLKRLNPRWNGEKLYQEA RKIVGAMVQIITYRDYLPLVLGPAAMKKYLPQYRSYNDSVDPRIANVFTNAFRYGHTLIQ PFMFRLNNQYRPTGPNPRVPLSKVFFASWRVVLEGGIDPILRGLMATPAKLNRQNQIVVD EIRERLFEQVMRIGLDLPALNMQRSRDHGLPGYNAWRRFCGLPQPSTVGELGTVLKNLEL ARKLMAQYGTPNNIDIWMGGVSEPLEPNGRVGQLLACLIGTQFRKLRDGDRFWWENPGVF SKQQRQALASISLPRIICDNTGITTVSKNNIFMSNTYPRDFVSCNTLPKLNLTSWKET >ENSMUSP00000103563.2 pep:known chromosome:GRCm38:11:87795203:87799937:1 gene:ENSMUSG00000009350.13 transcript:ENSMUST00000107930.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpo description:myeloperoxidase [Source:MGI Symbol;Acc:MGI:97137] RSLQSGSASPTELLFYFKQPVAGTRTAVRAADYLHVALDLLKRKLQPLWPRPFNVTDVLT PAQLNLLSVSSGCAYQDVRVTCPPNDKYRTITGHCNNRV >ENSMUSP00000128484.1 pep:known chromosome:GRCm38:11:87802538:87803825:1 gene:ENSMUSG00000009350.13 transcript:ENSMUST00000146650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpo description:myeloperoxidase [Source:MGI Symbol;Acc:MGI:97137] GLPQPSTVGELGTVLKNLELARKLMAQYGTPNNIDIWMGGVSEPLEPNGRVGQLLACLIG TQFRKLRDGDRFWWENPGVFSKQQRQALASISLPRIICDNTGITTVSKNNIFMSNTYPRD FVSCNTLPKLNLTSWKET >ENSMUSP00000120196.1 pep:known chromosome:GRCm38:8:45336717:45358865:-1 gene:ENSMUSG00000070044.15 transcript:ENSMUST00000135912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam149a description:family with sequence similarity 149, member A [Source:MGI Symbol;Acc:MGI:2387177] XQWPAFPEVLAREGGKGRGVLATILPTAARAEAGGRKDGRIERPRCGIQPLLDLRVRSRK PCPEPRDPPRPWAPPAPLAPSLPARWSRTLRGFSASGARGSGLGGRGAEVPGIRGHAAAL ALRENGADNHRTVLPRPRGSRPGLWDKSQSLRLIVIGRGLSEGLRKQSSEKSKDPLPTNF TRNVQKAIDKFASESPSSFSSSGSRTPTEAHNSWPGSSTQSSTTGLSTERSSVSSWRDDE FDKVSAQKVHQLFWEVEELLFEGKVSPQTQNLLAECSEWARRSLHLRVVGRQLVPPTDEG FQHFQGSLPSSATHEALPHVPDHTSSSRELCISGSQIVPEVHSASALTDPDGTESADLTS CSSLKEEVYHVDGNIEEYFAFDRKQDGDEHLGQSPALRGRKRHRHGLPPISPDDCIRDAV AAEVFDHVWTNVVEILEDLIRKTWESALTGGKKHKEKLKVAENRSPHVLMSRLSTDVCSV PPSRSSDTLQPSLAPHFNPPQFPQLHRFSSNFYSDLSGVMTIQAKPLQQRPTYSADRTQN DQDDKLPGGGVGASSRHRLGRILDARGPQTSVKKTPVHRRLPSIASDPQRLKTPTVYSDE ILRGTKLQTGIDYLPSPAAVQTSRSRLPPIGSETGEPNTAASGSRPVSVRPYRGRHPQSR VFSAMPDSIERSPLRERTIVLEQLSRPSTTHTFRSDTPRKGSLTPVEFVAHTWTGQSILT GSQYLPKSYQRATLTARKRFQVAS >ENSMUSP00000091245.6 pep:known chromosome:GRCm38:8:45336725:45382291:-1 gene:ENSMUSG00000070044.15 transcript:ENSMUST00000093526.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam149a description:family with sequence similarity 149, member A [Source:MGI Symbol;Acc:MGI:2387177] MKVAVLDLGSLFAKIFKLSTASPAVSSHPGGAAATGSVDSGASTSLREAETLTLLPSLPP DTAASREPFTPVHTPLPASHPRSSAAAQRVEATGCSGSLPSSSGASIAPPLTPYSGSAGS VASVTLPSPGVDWATLPSVTIPLGSNSVTASSPRNPRQLRAPGEREPSVWMAPGPVPKTL FFTLPDIGEEWTSDSDSQDDPEGRGLSEGLRKQSSEKSKDPLPTNFTRNVQKAIDKFASE SPSSFSSSGSRTPTEAHNSWPGSSTQSSTTGLSTERSSVSSWRDDEFDKVSAQKVHQLFW EVEELLFEGKVSPQTQNLLAECSEWARRSLHLRVVGRQLVPPTDEGFQHFQGSLPSSATH EALPHVPDHTSSSRELCISGSQIVPEVHSASALTDPDGTESADLTSCSSLKEEVYHVDGN IEEYFAFDRKQDGDEHLGQSPALRGRKRHRHGLPPISPDDCIRDAVAAEVFDHVWTNVVE ILEDLIRKTWESALTGGKKHKEKLKVAENRSPHVLMSRLSTDVCSVPPSRSSDTLQPSLA PHFNPPQFPQLHRFSSNFYSDLSGVMTIQAKPLQQRPTYSADRTQNDQDDKLPGGGVGAS SRHRLGRILDARGPQTSVKKTPVHRRLPSIASDPQRLKTPTVYSDEILRGTKLQTGIDYL PSPAAVQTSRSRLPPIGSETGEPNTAASGSRPVSYRGRHPQSRVFSAMPDSIERSPLRER TIVLEQLSRPSTTHTFRSDTPRKGSLTPVEFVAHTWTGQSILTGSQYLPKSYQRATLTAR KRFQVAS >ENSMUSP00000114612.1 pep:known chromosome:GRCm38:8:45338759:45358762:-1 gene:ENSMUSG00000070044.15 transcript:ENSMUST00000155230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam149a description:family with sequence similarity 149, member A [Source:MGI Symbol;Acc:MGI:2387177] RKDGRIERPRCGIQPLLDLRVRSRKPCPEPRDPPRPWAPPAPLAPSLPARWSRTLRGFSA SGARGSGLGGRGAEVPGIRGHAAALALRENGADNHRTVLPRPRGSRPGLWDKSQSLRLIV IGRGLSEGLRKQSSEKSKDPLPTNFTRNVQKAIDKFASESPSSFSSSGSRTPTEAHNSWP GSSTQSSTTGLSTERSSVSSWRDDEFDKVSAQKVHQLFWEVEELLFEGKVSPQTQNLLAE CSEWARRSLHLRVVGRQLVPPTDEGFQHFQGSLPSSATHEALPHVPDHTSSSRELCISGS QIVPEVHSASALTDPDGTESADLTSCSSLKEEVYHVDGNIEEYFAFDRKQDGDEHLGQSP ALRGRKRHRHGLPPISPDDCIRDAVAAEVFDHVWTNVVEILEDLIRKTWESALTGGKKHK EKLKVAENRSPHVLMSRLSTDVCSVPPSRSSDTLQPSLAPHFNPPQFPQLHRFSSNFYSD LSGVMTIQAKPLQQRPTYSADRTQNDQDDKLPGGGVGASSRHRLGRILDARGPQTSVKKT PVHRRLPSIASDPQRLKTPTVYSDEILRGTKLQTGIDYLPSPAAVQTSRSRLPPIGSETG EPNTAASGSRPVSYRGRHPQSRVFSAMPDSIERSPLRERTIVLEQLSRPSTTHTFRSDTP RKGSLTPVEFVAHTWTGQSILTGSQYLPKSYQRATLTARKRFQVAS >ENSMUSP00000139397.1 pep:known chromosome:GRCm38:5:110344730:110356021:1 gene:ENSMUSG00000072754.9 transcript:ENSMUST00000185691.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrcol1 description:leucine rich colipase-like 1 [Source:MGI Symbol;Acc:MGI:2686525] MSVSVWPPLLLLLLLLLLWAVPTFQDKNTRVSAYKGIGEMCRNNSECQSDCCVTNSLNPQ KFCTSQTVFLECVPWRKPNGFLCEENTECHSNCCIRTSSNPDRFCSSKTIFMQCISWRKP EGAICQHHLECWDLCCLPLSENSPSSHCTKRTGLLALCLPV >ENSMUSP00000140222.1 pep:known chromosome:GRCm38:5:110344730:110356021:1 gene:ENSMUSG00000072754.9 transcript:ENSMUST00000186408.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrcol1 description:leucine rich colipase-like 1 [Source:MGI Symbol;Acc:MGI:2686525] MSVSVWPPLLLLLLLLLLWAVPTFQDKNTRVSAYKVRQHSSTLS >ENSMUSP00000098484.3 pep:known chromosome:GRCm38:5:110354096:110356094:1 gene:ENSMUSG00000072754.9 transcript:ENSMUST00000100924.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrcol1 description:leucine rich colipase-like 1 [Source:MGI Symbol;Acc:MGI:2686525] MCRNNSECQSDCCVTNSLNPQKFCTSQTVFLECVPWRKPNGFLCEENTECHSNCCIRTSS NPDRFCSSKTIFMQCISWRKPEGAICQHHLECWDLCCLPLSENSPSSHCTKRTGLLALCL PV >ENSMUSP00000140133.1 pep:known chromosome:GRCm38:5:110354158:110356031:1 gene:ENSMUSG00000072754.9 transcript:ENSMUST00000190963.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrcol1 description:leucine rich colipase-like 1 [Source:MGI Symbol;Acc:MGI:2686525] MCRNNSECQSDCCVTNSLNPQKFCTSQTVFLECVPWRKKTQNATATVASGLAATQTGSAH QRPSSCSVYLGASPKEPYANTTLNAGTCAASH >ENSMUSP00000051417.7 pep:known chromosome:GRCm38:1:63182691:63214543:-1 gene:ENSMUSG00000046856.13 transcript:ENSMUST00000050536.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr1 description:G protein-coupled receptor 1 [Source:MGI Symbol;Acc:MGI:2385324] MEVSKEMLFEELDNYSYALDYYSQESDPEEKVYLGLVHWISLFLYALAFVLGIPGNAIVI WLMGFKWKKTVTTLWFLNLAIADFIFVLFLPLYISYVALSFHWPFGLWLCKVNSFIAQLN MFSSVFFLTVISLDRYIHLLHPGLSHRHRTLKSSLVVVILVWLLASLLGGPTLYFRDTME VNNHIICYNNFQEHELTLMRHHVLTWVKFLFGYLFPLLTMSSCYLCLIFKMKKRNILISR KHLWMILSVVIAFLVCWTPYHLFSIWELSIHHNSSFQNVLQGGIPLSTGLAFLNSCLNPI LYVLISKTFQARFRASVAEVLKRSLWEASCSGTVSEQLRSAETKSLSLLETAQ >ENSMUSP00000140512.1 pep:known chromosome:GRCm38:Y:2785165:2796205:-1 gene:ENSMUSG00000102053.1 transcript:ENSMUST00000187482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4064 description:predicted gene 4064 [Source:MGI Symbol;Acc:MGI:3782239] MAETDQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGNCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000083057.5 pep:known chromosome:GRCm38:1:172521044:172545870:1 gene:ENSMUSG00000026546.16 transcript:ENSMUST00000085894.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap45 description:cilia and flagella associated protein 45 [Source:MGI Symbol;Acc:MGI:1919120] MPLRPGDASSSASTASNRSRTRTRYRTKAMNSEVDESLFGGVKPSSQGKSDSPIVVIHDK HAIRKTLSALGLEHKTETIQLITRDMVRELIVPTEDPSGESLIISPEEFERIKWASQVLT KEELNAREQALKKEKEGILEAVTIRKKIMKQKEMTWNNNKKLSDLEEVARERAQNLLQRA DKLRMEQEEELKDMSKIILNAKCHAIRDAQILEKQQIQKELDEEERRLDHMMEIDRRESL QRQEDRERKRREERVRGKRHIVEQIKKNEEERSLQAEHREQEKEQMLAYLDRLQEEDLQD LERRHQEKLKMQAEIKRINDENQRQKAEMLAQERLADQMVMEFTKKKMAREAEYEAEQEK IRREKEKEIARLRALQEKAQDYQAEQDALRAKRNQEVADREWRRKEKENAQKKIETEEKL RKSRLEQVAFKEHTLAVQVQRDRDEFERILRAQREQIEREKQEQEKKAKGCLQHANELRR QVRENQQKHVQNRLATFEEGRRLKEEAEKRRERIEDIKKQKLEELRATGLPEKYCIEVER KANILPATSVN >ENSMUSP00000125225.1 pep:known chromosome:GRCm38:1:172521139:172532625:1 gene:ENSMUSG00000026546.16 transcript:ENSMUST00000161140.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap45 description:cilia and flagella associated protein 45 [Source:MGI Symbol;Acc:MGI:1919120] MPSSQGKSDSPIVVIHDKHAIRKTLSALGLEHKTETIQLITRDMVRELIVPTEDPSGESL IISPEEFERIKWASQVLTKEELNAREQALKKEKEGILEAVTIRKKIMKQKEMTWNNNKKL SDLEEVAR >ENSMUSP00000124654.1 pep:known chromosome:GRCm38:1:172521181:172532602:1 gene:ENSMUSG00000026546.16 transcript:ENSMUST00000162988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap45 description:cilia and flagella associated protein 45 [Source:MGI Symbol;Acc:MGI:1919120] MNSEVDESLFGGVKPSSQGKSDSPIVVIHDKHAIRKTLSALGLEHKTETIQLITRDMVRE LIVPTEDPSGESLIISPEEFERIKWASQVLTKEELNAREQALKKEKEGILEAVTIRKKIM KQKEMTWNNNKKLS >ENSMUSP00000124540.1 pep:known chromosome:GRCm38:1:172526049:172532685:1 gene:ENSMUSG00000026546.16 transcript:ENSMUST00000159792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap45 description:cilia and flagella associated protein 45 [Source:MGI Symbol;Acc:MGI:1919120] MNSEVDESLFGGVKPSSQGKSDSPIVVIHDKHAIRKTLSALGLEHKTETIQLITRDMVRE LIVPTEDPSGESLIISPEEFERIKWASQVLTKEELNAREQALKKEKEGILEAVTIRKKIM KQKEMTWNNNKKLSDLEEVARERAQNLLQRADKLRMEQEEEL >ENSMUSP00000125224.1 pep:known chromosome:GRCm38:1:172526410:172532685:1 gene:ENSMUSG00000026546.16 transcript:ENSMUST00000159395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap45 description:cilia and flagella associated protein 45 [Source:MGI Symbol;Acc:MGI:1919120] MNSEVDESLFGGVKPSSQGKSDSPIVVIHDKHAIRKTLSALGLEHKTETIQLITRDMVRE LIVPTEDPSGESLIISPEEFERIKWASQVLTKEELNAREQALKKEKEGILEAVTIRKKIM KQKEMTWNNNKKLSDLEEVARERAQNLLQRADKLRMEQEEEL >ENSMUSP00000124646.1 pep:known chromosome:GRCm38:1:172537617:172540566:1 gene:ENSMUSG00000026546.16 transcript:ENSMUST00000160303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap45 description:cilia and flagella associated protein 45 [Source:MGI Symbol;Acc:MGI:1919120] MQAEIKRINDENQRQKAEMLAQERLADQMVMEFTKKKMAREAEYEAEQEKIRREKEKEIA RLRA >ENSMUSP00000055671.8 pep:known chromosome:GRCm38:4:156034840:156050817:-1 gene:ENSMUSG00000029074.14 transcript:ENSMUST00000051509.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll10 description:tubulin tyrosine ligase-like family, member 10 [Source:MGI Symbol;Acc:MGI:1921855] MALHPQAGRPHRDGRLGHRAARRPRRGIGTTSASRVPRPGALMPATRNRPRFIHCRGQPP RTRVSSKRSKRSRIHPCHTEVPGWTHEKQMGSSVKERLRPELSQLDQDADDLEEEEAARL PVTSPDGLLMEGDKQPSPGQGPFFYIGGTNGASIISNYCESKGWQRTQDSHCEDYKLKWC EIKCRDNYCSFREGQQLLFQLPNNKLLTTKIGLLSALREHARTLSKARMLPSTQTKVLKM EEFFPETYRLDIRDERQAFFALFDETQMWICKPTASNQGKGIFLIRSQEEAAALQAKTQS IEDDPIYRKMPFRAPQARVVQRYVQNPLLLDGKKFDVRSYMLIACAMPYMVFFGHGYARL TLSLYNPHSSDLSGHLTNQFMQKKSPLYTLLKESTVWTMEHLNRYINDKFRKTKGLPRDW VFTTFTKRMQQIMSHCFLAVKSKLECKLGYFDLIGCDFLIDENFKVWLLEMNANPALHTN CEVLKAVIPGVVIETLDLALETCQKSLHSQKMLPLLSQRRFVLLYNGETTDLWPRLASSR PLNRLPNPHPNPNPNPHPHPHPHPNPNPHPHPHPNANPHPPRPTCEAASSALSSARAAIS ERPGARKSMPSRGAPVCTPRKSRLSDSSGSSIAESEPSLCSGSLEGSRDTAREPSLGPPE EEREEEQRSTSHRGS >ENSMUSP00000139316.1 pep:known chromosome:GRCm38:4:156034913:156059414:-1 gene:ENSMUSG00000029074.14 transcript:ENSMUST00000184348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll10 description:tubulin tyrosine ligase-like family, member 10 [Source:MGI Symbol;Acc:MGI:1921855] MALHPQAGRPHRDGSEAQAEATAQDLGRLPSPSKVGAAVCPIQGLGHRAARRPRRGIGTT SASRVPRPGALMPATRNRPRFIHCRGQPPRTRVSSKRSKRSRIHPCHTEVPGWTHEKQMG SSVKERLRPELSQLDQDADDLEEEEAARLPVTSPDGLLMEGDKQPSPGQGPFFYIGGTNG ASIISNYCESKGWQRTQDSHCEDYKLKWCEIKCRDNYCSFREGQQLLFQLPNNKLLTTKI GLLSALREHARTLSKARMLPSTQTKVLKMEEFFPETYRLDIRDERQAFFALFDETQMWIC KPTASNQGKGIFLIRSQEEAAALQAKTQSIEDDPIYRKMPFRAPQARVVQRYVQNPLLLD GKKFDVRSYMLIACAMPYMVFFGHGYARLTLSLYNPHSSDLSGHLTNQFMQKKSPLYTLL KESTVWTMEHLNRYINDKFRKTKGLPRDWVFTTFTKRMQQIMSHCFLAVKSKLECKLGYF DLIGCDFLIDENFKVWLLEMNANPALHTNCEVLKAVIPGVVIETLDLALETCQKSLHSQK MLPLLSQRRFVLLYNGETTDLWPRLASSRPLNRLPNPHPNPNPNPHPHPHPHPNPNPHPH PHPNANPHPPRPTCEAASSALSSARAAISERPGARKSMPSRGAPVCTPRKSRLSDSSGSS IAESEPSLCSGSLEGSRDTAREPSLGPPEEEREEEQRSTSHRGS >ENSMUSP00000139077.1 pep:known chromosome:GRCm38:4:156035300:156050465:-1 gene:ENSMUSG00000029074.14 transcript:ENSMUST00000184684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll10 description:tubulin tyrosine ligase-like family, member 10 [Source:MGI Symbol;Acc:MGI:1921855] MGSSVKERLRPELSQLDQDADDLEEEEAARLPVTSPDGLLMEGDKQPSPGQGPFFYIGGT NGASIISNYCESKGWQRTQDSHCEDYKLKWCEIKCRDNYCSFREGQQLLFQLPNNKLLTT KIGLLSALREHARTLSKARMLPSTQTKVLKMEEFFPETYRLDIRDERQAFFALFDETQMW ICKPTASNQGKGIFLIRSQEEAAALQAKTQSIEDDPIYRKMPFRAPQARVVQRYVQNPLL LDGKKFDVRSYMLIACAMPYMVFFGHGYARLTLSLYNPHSSDLSGHLTNQFMQKKSPLYT LLKESTVWTMEHLNRYINDKFRKTKGLPRDWVFTTFTKRMQQIMSHCFLAVKSKLECKLG YFDLIGCDFLIDENFKVWLLEMNANPALHTNCEVLKAVIPGVVIETLDLALETCQKSLHS QKMLPLLSQRRFVLLYNGETTDLWPRLASSRPLNRLPN >ENSMUSP00000043101.3 pep:known chromosome:GRCm38:X:106143229:106160493:1 gene:ENSMUSG00000033777.3 transcript:ENSMUST00000040065.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr13 description:toll-like receptor 13 [Source:MGI Symbol;Acc:MGI:3045213] MSGLYRILVQLEQSPYVKTVPLNMRRDFFFLVVTWMPKTVKMNGSSFVPSLQLLLMLVGF SLPPVAETYGFNKCTQYEFDIHHVLCIRKKITNLTEAISDIPRYTTHLNLTHNEIQVLPP WSFTNLSALVDLRLEWNSIWKIDEGAFRGLENLTLLNLVENKIQSVNNSFEGLSSLKTLL LSHNQITHIHKDAFTPLIKLKYLSLSRNNISDFSGILEAVQHLPCLERLDLTNNSIMYLD HSPRSLVSLTHLSFEGNKLRELNFSALSLPNLTNLSASRNGNKVIQNVYLKTLPQLKSLN LSGTVIKLENLSAKHLQNLRAMDLSNWELRHGHLDMKTVCHLLGNLPKLETLVFQKNVTN AEGIKQLAKCTRLLFLDLGQNSDLIYLNDSEFNALPSLQKLNLNKCQLSFINNRTWSSLQ NLTSLDLSHNKFKSFPDFAFSPLKHLEFLSLSRNPITELNNLAFSGLFALKELNLAACWI VTIDRYSFTQFPNLEVLDLGDNNIRTLNHGTFRPLKKLQSLILSHNCLKILEPNSFSGLT NLRSLDLMYNSLSYFHEHLFSGLEKLLILKLGFNKITYETTRTLQYPPFIKLKSLKQLNL EGQRHGIQVVPSNFFQGLGSLQELLLGKNPSVFLDHHQFDPLINLTKLDISGTKDGDRSL YLNASLFQNLKRLKILRLENNNLESLVPDMFSSLQSLQVFSLRFNNLKVINQSHLKNLKS LMFFDVYGNKLQCTCDNLWFKNWSMNTEEVHIPFLRSYPCQQPGSQSLLIDFDDAMCNFD LGKVYFLCSFSMVLSTMVFSWFSTKMIASLWYGLYICRAWYLTKWHKTEKKFLYDAFVSF SATDEAWVYKELVPALEQGSQTTFKLCLHQRDFEPGIDIFENIQNAINTSRKTLCVVSNH YLHSEWCRLEVQLASMKMFYEHKDVIILIFLEEIPNYKLSSYHRLRKLINKQTFITWPDS VHQQPLFWARIRNALGKETVEKENTHLIVVE >ENSMUSP00000031106.4 pep:known chromosome:GRCm38:5:65861213:65896700:1 gene:ENSMUSG00000029204.7 transcript:ENSMUST00000031106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoh description:ras homolog gene family, member H [Source:MGI Symbol;Acc:MGI:1921984] MLSSIKCVLVGDSAVGKTSLLVRFTSETFPEAYKPTVYENTGVDVFMDGIQISLGLWDTA GNDAFRSIRPLSYQQADVVLMCYSVANHNSFLNLKNKWISEIRSNLPCTPVLVVATQTDQ REVGPHRASCINAIEGKRLAQDVRAKGYLECSALSNRGVQQVFECAVRTAVNQARRRNRR KLFSINECKIF >ENSMUSP00000143810.1 pep:known chromosome:GRCm38:5:65863007:65893443:1 gene:ENSMUSG00000029204.7 transcript:ENSMUST00000201533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoh description:ras homolog gene family, member H [Source:MGI Symbol;Acc:MGI:1921984] MLSSIKCVLVGDSAVGKTSLLVRFTSETFPEAYKPTVYENTGVDVFMDGIQISLGLWDTA GNDAFRSIRPLSYQQADVVLMCYSVANHNSFLNLKNKWISEIRSNLPCTPVLVVATQTDQ REVGPHRASCINAIEGKRLAQDVRAKGYLECSALSNRGVQQVFECAVRTAVNQARRRNRR KLFSINECKIF >ENSMUSP00000045450.4 pep:known chromosome:GRCm38:18:77307750:77382328:1 gene:ENSMUSG00000032818.15 transcript:ENSMUST00000035501.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxhd1 description:lipoxygenase homology domains 1 [Source:MGI Symbol;Acc:MGI:1914609] MKINVGHNNKGGSAGWFLSKIIIEDIGNKRKYDFPLNRWLALDEDDGKIQRDILVGGAET TAITYIVTVFTGDIRGAGTKSKIYLVMYGARGNKNSGKIFLEGGVFDRGRTDIFHIDLAV LLSPLSRVSIGHGNIGVNRGWYCEKVVILCPFTGIQQTFPCSNWLDEKKADGLIERQLYE MVSLRKKRLKKYPWSLWVWTTDLKKAGTNSPIFIQIYGKKGRTDEILLNPNNKWFKPGII EKFRMELPDLGRFYKIRAWHDRQNPGSGWHLEKMTLMNTINKDKYNFNCNRWLDANEDDN EIVREMTAEGPTVRRIMGMARYRVTVCTGELEGAGTDANVYLCLFGDVGDTGERLLYNCR NNTDLFEKGNADEFTIESVTMRKVRRVRVRHDGKGSGSGWYLDRVLVREEGQPESDNVEF PCLRWLDKDKDDGQLVRELLPSDSNATLKNFRYHISVKTGDVSGASTDSRVYIKLYGEKS DTIKQVLLVSDNNLKDYFERGRVDEFTLETLNIGTINRLVIGHDSTGMHAGWFLGSVQIR VPRQGKQYTFPANRWLDKNQADGRLEVELYPSEVVEIQKLVHYEIEIWTGDVGGAGTTSR VFVQIYGEEGKTEVLFLSSRSKVFDRGSKDIFQLEAVDVGEIYKIRLGHTGEGFGPSWFV DTVWLRHLVVQEENLTPEEEARKKKEKDKLRQLLKKERLKAKLQRKKKKKKKGSDDEEEG GEEEESSSEESSSEEEEEEESEEEEEEEEYGPGMQEVIVQYKFDVNRWLARGKEDNELVV ELVPAGQSGPEPNTYEVQVITGNVPKAGTDANVYLTIYGEEYGDTGERPLKKSDKSNKFE QGQTDTFTIYAIDLGALTKIRIRHDNTGNRPGWFLDRVDITDVNNETTYYFPCQRWLAVE EDDGQLSRELLPVDESYVLPSEDEEGGGQGDNNPLDNLALEQKDKSTTFSVTIKTGDKKN AGTDANVFITLFGTQDNNATMTESTEETILQSIFMH >ENSMUSP00000114988.1 pep:known chromosome:GRCm38:18:77332394:77410665:1 gene:ENSMUSG00000032818.15 transcript:ENSMUST00000148341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxhd1 description:lipoxygenase homology domains 1 [Source:MGI Symbol;Acc:MGI:1914609] MYGARGNKNSGKIFLEGGVFDRGRTDIFHIDLAVLLSPLSRVSIGHGNIGVNRGWYCEKV VILCPFTGIQQTFPCSNWLDEKKADGLIERQLYEMVSLRKKRLKKYPWSLWVWTTDLKKA GTNSPIFIQIYGKKGRTDEILLNPNNKWFKPGIIEKFRMELPDLGRFYKIRAWHDRQNPG SGWHLEKMTLMNTINKDKYNFNCNRWLDANEDDNEIVREMTAEGPTVRRIMGMARYRVTV CTGELEGAGTDANVYLCLFGDVGDTGERLLYNCRNNTDLFEKGNADEFTIESVTMRKVRR VRVRHDGKGSGSGWYLDRVLVREEGQPESDNVEFPCLRWLDKDKDDGQLVRELLPSDSNA TLKNFRYHISVKTGDVSGASTDSRVYIKLYGEKSDTIKQVLLVSDNNLKDYFERGRVDEF TLETLNIGTINRLVIGHDSTGMHAGWFLGSVQIRVPRQGKQYTFPANRWLDKNQADGRLE VELYPSEVVEIQKLVHYEIEIWTGDVGGAGTTSRVFVQIYGEEGKTEVLFLSSRSKVFDR GSKDIFQLEAVDVGEIYKIRLGHTGEGFGPSWFVDTVWLRHLVVQEENLTPEEEARKKKE KDKLRQLLKKERLKAKLQRKKKKKKKGSDDEEEGGEEEESSSEESSSEEEEEEESEEEEE EEEYGPGMQEVIVQYKFDVNRWLARGKEDNELVVELVPAGQSGPEPNTYEVQVITGNVPK AGTDANVYLTIYGEEYGDTGERPLKKSDKSNKFEQGQTDTFTIYAIDLGALTKIRIRHDN TGNRPGWFLDRVDITDVNNETTYYFPCQRWLAVEEDDGQLSRELLPVDESYVLPSEDEEG GGQGDNNPLDNLALEQKDKSTTFSVTIKTGDKKNAGTDANVFITLFGTQDNNGMTLLKSS KTNSDKFERDSIEIFTVETLDLGDLWKVRIGHDNTGKAPGWFVDWVEVDAPSLGKCMTFP CGRWLAKNEDDGSIVRDLFHAELQTRLYTPFVPYEITLYTSDVFAAGTDANIFIVIYGCD AVCTRQKFLCTNKREQKLFFERKSASRFIVELEDVGEIIEKIRIGHDNTGINPGWHCSHV DIRRLLPEKDGTETLTFPCDRWLATSEDDKKTIRELVPYDIFTEKYMKDGSLRQVYKEVE EPLDIVLYSVQIFTGNVPGAGTDAKVYITIYGDLGDTGERYLGKSENRTNKFEKGTADTF IIEAADLGVIYKIKLRHDNTKWCADWYVEKVEIWNDTNEDEFLFLCGRWLSLKKEDGRLE RLFYEKEYTGDRSSNCSSPADFWEIALSSKMADVDIDTVTGPMVDYVQDGPVIPYYVSVT TGKHKEAATDSRAFVLLIGEDDECTNRIWLDYPQGKRGFSCGSVEEFYVGGLDVGIIKKI EVLYEMTVWTGDVVGGGTDSNIFMTLYGINGSTEEVQLDKKKARFEREQNDTFIMEILDI APFTKMRIRIDGMGSRPEWFLERVTRVVPFFCPCLPLL >ENSMUSP00000120991.1 pep:known chromosome:GRCm38:18:77375065:77442341:1 gene:ENSMUSG00000032818.15 transcript:ENSMUST00000123410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxhd1 description:lipoxygenase homology domains 1 [Source:MGI Symbol;Acc:MGI:1914609] TDTFTIYAIDLGALTKIRIRHDNTGNRPGWFLDRVDITDVNNETTYYFPCQRWLAVEEDD GQLSRELLPVDESYVLPSEDEEGGGQGDNNPLDNLALEQKDKSTTFSVTIKTGDKKNAGT DANVFITLFGTQDNNGMTLLKSSKTNSDKFERDSIEIFTVETLDLGDLWKVRIGHDNTGK APGWFVDWVEVDAPSLGKCMTFPCGRWLAKNEDDGSIVRDLFHAELQTRLYTPFVPYEIT LYTSDVFAAGTDANIFIVIYGCDAVCTRQKFLCTNKREQKLFFERKSASRFIVELEDVGE IIEKIRIGHDNTGINPGWHCSHVDIRRLLPEKDGTETLTFPCDRWLATSEDDKKTIRELV PYDIFTEKYMKDGSLRQVYKEVEEPLDIVLYSVQIFTGNVPGAGTDAKVYITIYGDLGDT GERYLGKSENRTNKFEKGTADTFIIEAADLGVIYKIKLRHDNTKWCADWYVEKVEIWNDT NEDEFLFLCGRWLSLKKEDGRLERLFYEKEYTGDRSSNCSSPADFWEIALSSKMADVDID TVTGPMVDYVQDGPVIPYYVSVTTGKHKEAATDSRAFVLLIGEDDECTNRIWLDYPQGKR GFSCGSVEEFYVGGLDVGIIKKIELGHDGASPESCWLVEELCLAVPTQGTKYTLRCNCWL AKDRGDGVTSRVFDLLDAMVVNIGKKVLYEMTVWTGDVVGGGTDSNIFMTLYGINGSTEE VQLDKKKARFEREQNDTFIMEILDIAPFTKMRIRIDGMGSRPEWFLERILLKNMNTGDLT MFYYGDWLSQKKGKKTLVCEICAVIDGEEMMEWTSYTVSVKTSDILGAGTDANVFIIIFG ENGDSGTLALKQSANWNKFERNNTDTFNFSDMLSLGHLCKLRVWHDNKGIFPGWHLSYVD VKDNSRDETFRFQCDCWLSKSEGDRQTLRDFACANNEIRDELEETTYEIVIETGNGGETR ENVWLILEGRKNRSKEFLVENSSRQRAFRKGTTDTFEFDSIFLGDIASLCVGHLAREDRF IPKRELVWHVKTITITEMEYGNVYFFNCDCLIPLKRKRKYFKVFEVTKTTESFASKIQSL VPVKYEVIVTTGYEPGAGTDANVFVTIFGANGDTGKRELKQKMRNLFERGSTDRFFLETL ELGELRKWYPGWDLLQGVDGKLGLHSGETSGEGEVIKMVYFKQKLINTFFPKKAGLIKLL RTTPLRELIRAPMC >ENSMUSP00000116287.1 pep:known chromosome:GRCm38:18:77408702:77441886:1 gene:ENSMUSG00000032818.15 transcript:ENSMUST00000123166.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxhd1 description:lipoxygenase homology domains 1 [Source:MGI Symbol;Acc:MGI:1914609] VLYEMTVWTGDVVGGGTDSNIFMTLYGINGSTEEVQLDKKKARFEREQNDTFIMEILDIA PFTKMRIRIDGMGSRPEWFLERILLKNMNTGDLTMFYYGDWLSQKKGKKTLVCEICAVID GEEMMEWTSYTVSVKTSDILGAGTDANVFIIIFGENGDSGTLALKQSANWNKFERNNTDT FNFSDMLSLGHLCKLRVWHDNKGIFPGWHLSYVDVKDNSRDETFRFQCDCWLSKSEGDRQ TLRDFACANNEIRDELEETTYEIVIETGNGGETRENVWLILEGRKNRSKEFLVENSSRQR AFRKGTTDTFEFDSIFLGDIASLCVGHLAREDRFIPKRELVWHVKTITITEMEYGNVYFF NCDCLIPLKRKRKYFKVFEVTKTTESFASKIQSLVPVKYEVIVTTGYEPGAGTDANVFVT IFGANGDTGKRELKQKMRNLFERGSTDRFFLETLELGELRKVRLEHDSSGYYSGWLVEKV EVTNTSTGVATIFSCGRWLDKSRGDGLTWRELFPSV >ENSMUSP00000094294.3 pep:known chromosome:GRCm38:18:77281958:77442257:1 gene:ENSMUSG00000032818.15 transcript:ENSMUST00000096547.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxhd1 description:lipoxygenase homology domains 1 [Source:MGI Symbol;Acc:MGI:1914609] MMAQKKKRRKKDIDFLGLYEEELLNYDSEDGEDELEHEYYKAKVYEVVTATGDVRGAGTD ANVFITLFGENGLSPKLHLTSKSESAFEKANVDVFRVRTNNVGLIYKIRIEHDNTGLNAS WYLDRVIVTDMKRPHLRYYFNCNNWLSKVEGDRQWCRDLLASFDPMDMPRGNKYEIKVYT GDVIGAGTDADVFINIFGEYGDTGERRLENEKDNFEKGAEDKFTLDAPDLGQLMKINVGH NNKGGSAGWFLSKIIIEDIGNKRKYDFPLNRWLALDEDDGKIQRDILVGGAETTAITYIV TVFTGDIRGAGTKSKIYLVMYGARGNKNSGKIFLEGGVFDRGRTDIFHIDLAVLLSPLSR VSIGHGNIGVNRGWYCEKVVILCPFTGIQQTFPCSNWLDEKKADGLIERQLYEMVSLRKK RLKKYPWSLWVWTTDLKKAGTNSPIFIQIYGKKGRTDEILLNPNNKWFKPGIIEKFRMEL PDLGRFYKIRAWHDRQNPGSGWHLEKMTLMNTINKDKYNFNCNRWLDANEDDNEIVREMT AEGPTVRRIMGMARYRVTVCTGELEGAGTDANVYLCLFGDVGDTGERLLYNCRNNTDLFE KGNADEFTIESVTMRKVRRVRVRHDGKGSGSGWYLDRVLVREEGQPESDNVEFPCLRWLD KDKDDGQLVRELLPSDSNATLKNFRYHISVKTGDVSGASTDSRVYIKLYGEKSDTIKQVL LVSDNNLKDYFERGRVDEFTLETLNIGTINRLVIGHDSTGMHAGWFLGSVQIRVPRQGKQ YTFPANRWLDKNQADGRLEVELYPSEVVEIQKLVHYEIEIWTGDVGGAGTTSRVFVQIYG EEGKTEVLFLSSRSKVFDRGSKDIFQTDTFTIYAIDLGALTKIRIRHDNTGNRPGWFLDR VDITDVNNETTYYFPCQRWLAVEEDDGQLSRELLPVDESYVLPSEDEEGGGQGDNNPLDN LALEQKDKSTTFSVTIKTGDKKNAGTDANVFITLFGTQDNNGMTLLKSSKTNSDKFERDS IEIFTVETLDLGDLWKVRIGHDNTGKAPGWFVDWVEVDAPSLGKCMTFPCGRWLAKNEDD GSIVRDLFHAELQTRLYTPFVPYEITLYTSDVFAAGTDANIFIVIYGCDAVCTRQKFLCT NKREQKLFFERKSASRFIVELEDVGEIIEKIRIGHDNTGINPGWHCSHVDIRRLLPEKDG TETLTFPCDRWLATSEDDKKTIRELVPYDIFTEKYMKDGSLRQVYKEVEEPLDIVLYSVQ IFTGNVPGAGTDAKVYITIYGDLGDTGERYLGKSENRTNKFEKGTADTFIIEAADLGVIY KIKLRHDNTKWCADWYVEKVEIWNDTNEDEFLFLCGRWLSLKKEDGRLERLFYEKEYTGD RSSNCSSPADFWEIALSSKMADVDIDTVTGPMVDYVQDGPVIPYYVSVTTGKHKEAATDS RAFVLLIGEDDECTNRIWLDYPQGKRGFSCGSVEEFYVGGLDVGIIKKIELGHDGASPES CWLVEELCLAVPTQGTKYTLRCNCWLAKDRGDGVTSRVFDLLDAMVVNIGKKVLYEMTVW TGDVVGGGTDSNIFMTLYGINGSTEEVQLDKKKARFEREQNDTFIMEILDIAPFTKMRIR IDGMGSRPEWFLERILLKNMNTGDLTMFYYGDWLSQKKGKKTLVCEICAVIDGEEMMEWT SYTVSVKTSDILGAGTDANVFIIIFGENGDSGTLALKQSANWNKFERNNTDTFNFSDMLS LGHLCKLRVWHDNKGIFPGWHLSYVDVKDNSRDETFRFQCDCWLSKSEGDRQTLRDFACA NNEIRDELEETTYEIVIETGNGGETRENVWLILEGRKNRSKEFLVENSSRQRAFRKGTTD TFEFDSIFLGDIASLCVGHLAREDRFIPKRELVWHVKTITITEMEYGNVYFFNCDCLIPL KRKRKYFKVFEVTKTTESFASKIQSLVPVKYEVIVTTGYEPGAGTDANVFVTIFGANGDT GKRELKQKMRNLFERGSTDRFFLETLELGELRKVRLEHDSSGYYSGWLVEKVEVTNTSTG VATIFSCGRWLDKSRGDGLTWRELFPSV >ENSMUSP00000035075.8 pep:known chromosome:GRCm38:9:110939603:110946158:-1 gene:ENSMUSG00000032494.12 transcript:ENSMUST00000035075.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdgf1 description:teratocarcinoma-derived growth factor 1 [Source:MGI Symbol;Acc:MGI:98658] MGYFSSSVVLLVAISSAFEFGPVAGRDLAIRDNSIWDQKEPAVRDRSFQFVPSVGIQNSK SLNKTCCLNGGTCILGSFCACPPSFYGRNCEHDVRKEHCGSILHGTWLPKKCSLCRCWHG QLHCLPQTFLPGCDGHVMDQDLKASGTPCQTPSVTTTFMLAGACLFLDMKV >ENSMUSP00000143394.1 pep:known chromosome:GRCm38:9:110940243:110946094:-1 gene:ENSMUSG00000032494.12 transcript:ENSMUST00000197460.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tdgf1 description:teratocarcinoma-derived growth factor 1 [Source:MGI Symbol;Acc:MGI:98658] MGYFSSSVVLLVAISSAFEFGPVAGRDLAIRDNSIWDQKEPAVRDRSFQFVPSVGIQNTI RPSD >ENSMUSP00000143669.1 pep:known chromosome:GRCm38:9:110942357:110946075:-1 gene:ENSMUSG00000032494.12 transcript:ENSMUST00000199782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdgf1 description:teratocarcinoma-derived growth factor 1 [Source:MGI Symbol;Acc:MGI:98658] MGYFSSSVVLLVAISSAFEFGPVAGKSLNKTCCLNGGTCILGSFCACPPSFYGRNCEHDV RKEHCGSILHGTWLPKKCSLCRCWHGQLHC >ENSMUSP00000142397.1 pep:known chromosome:GRCm38:9:110942642:110944871:-1 gene:ENSMUSG00000032494.12 transcript:ENSMUST00000199196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdgf1 description:teratocarcinoma-derived growth factor 1 [Source:MGI Symbol;Acc:MGI:98658] MSNLLLPVWFCLWPFPVRLNLDPLLGETLPSEITAFGTRKNLPYAIGLSSLCLPWGYRTV >ENSMUSP00000004050.6 pep:known chromosome:GRCm38:11:90249476:90278589:1 gene:ENSMUSG00000003948.16 transcript:ENSMUST00000004050.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmd description:monocyte to macrophage differentiation-associated [Source:MGI Symbol;Acc:MGI:1914718] MRFRNRFQRFMNHRAPANGRYKPTCYEHAANCYTHAFLIVPAIVGSALLHRLSDDCWEKI TAWIYGMGLCALFIVSTVFHIVSWKKSHLRTVEHCFHMCDRMVIYFFIAASYAPWLNLRE LGPLASHMRWFIWLMAAGGTIYVFLYHEKYKVVELFFYLTMGFSPALVVTSMNNTDGLQE LACGGLIYCLGVVFFKSDGIIPFAHAIWHLFVATAAAVHYYAIWKYLYRSPTDFIRHL >ENSMUSP00000103519.1 pep:known chromosome:GRCm38:11:90249456:90268465:1 gene:ENSMUSG00000003948.16 transcript:ENSMUST00000107887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmd description:monocyte to macrophage differentiation-associated [Source:MGI Symbol;Acc:MGI:1914718] MRFRNRFQRFMNHRAPANGRYKPTCYEHAANCYTHAFLIVPAIVGSALLHRLSDDCWEKI TAWIYGMGLCALFIVSTVFHIVSWKKSHLRTVEHCFHMCDRMVIYFFIAASYAPWLNLRE LGPLASHMRWFIWLMAAGGTIYVFLYHEKYKVVELFFYLTMGFSPALVVTSMVRRGFRIL VPSSLNP >ENSMUSP00000061011.7 pep:known chromosome:GRCm38:4:112613597:112626703:1 gene:ENSMUSG00000034359.16 transcript:ENSMUST00000058791.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint2 description:selection and upkeep of intraepithelial T cells 2 [Source:MGI Symbol;Acc:MGI:3649629] MGATGVLLCVVLHFLQMVTQSSEKFTVTGLQRPVLAPLGGNVELSCQLSPPQQAQHMEIR WFRNRYREPVYLYRNGKDLHGETISKYVERTELLKDDIGKGKVTLRIFKLTADDDGSYHC VFKVGEFYEEHITEIKVTATSSVMYILMQPPNIKGVMLECHSGGWFPQPHMEWRDNKGNI IPATSKAHSQDENKLFNMTMTLLIEASSHRSITCYLQNLLTHQEESISIVLSDCFLK >ENSMUSP00000139831.1 pep:known chromosome:GRCm38:4:112613740:112652248:1 gene:ENSMUSG00000034359.16 transcript:ENSMUST00000186969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint2 description:selection and upkeep of intraepithelial T cells 2 [Source:MGI Symbol;Acc:MGI:3649629] MGATGVLLCVVLHFLQMVTQSSEKFTVTGLQRPVLAPLGGNVELSCQLSPPQQAQHMEIR WFRNRYREPVYLYRNGKDLHGETISKYVERTELLKDDIGKGKVTLRIFKLTADDDGSYHC VFKVGEFYEEHITEIKVTATSSVMYILMQPPNIKGVMLECHSGGWFPQPHMEWRDNKGNI IPATSKAHSQDENKLFNMTMTLLIEASSHRSITCYLQNLLTHQEESISIVLSGELFSWKR VWIMILTTIGFMMIAFCMTYCVQQHLLYGTFSKGKCHWLKSTMIFMFSVIAVTGVMLILH LKQRVPVSDQHFELDTLWLEDISVILCVLIVFIIKLISFIYFRLEGDHQGWSLPPYLSAT PTAAICRLAVPEYSRGHLQLDSEDDLAGMGPSPFFITPCF >ENSMUSP00000102170.3 pep:known chromosome:GRCm38:4:112557194:112647840:1 gene:ENSMUSG00000034359.16 transcript:ENSMUST00000106560.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint2 description:selection and upkeep of intraepithelial T cells 2 [Source:MGI Symbol;Acc:MGI:3649629] MGATGVLLCVVLHFLQMVTQSSEKFTVTGLQRPVLAPLGGNVELSCQLSPPQQAQHMEIR WFRNRYREPVYLYRNGKDLHGETISKYVERTELLKDDIGKGKVTLRIFKLTADDDGSYHC VFKVGEFYEEHITEIKVTATSSVMYILMQPPNIKGVMLECHSGGWFPQPHMEWRDNKGNI IPATSKAHSQDENKLFNMTMTLLIEASSHRSITCYLQNLLTHQEESISIVLSGELFSWKR VWIMILTTIGFMMIAFCMTYCVQQHLLYGTFSKGKCHWLKSTMIFMFSVIAVTGVMLILH LKQRGLMEIIYECRE >ENSMUSP00000140149.1 pep:known chromosome:GRCm38:1:130882074:130885996:-1 gene:ENSMUSG00000026420.16 transcript:ENSMUST00000187650.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il24 description:interleukin 24 [Source:MGI Symbol;Acc:MGI:2135548] MSWGLQILPCLSLILLLWNQVPGLEGQEFRFGSCQVTGVVLPELWEAFWTVKNTVQTQDD ITSIRLLKPQVLRNVSGAESCYLAHSLLKFYLNTVFKNYHSKIAKFKVLRSFSTLANNFI VIMSQLQPSKDNSMLPISESAHQRFLLFRRAFKQLDTEVALVKAFGEVDILLTWMQKFYH L >ENSMUSP00000113064.1 pep:known chromosome:GRCm38:1:130882074:130887454:-1 gene:ENSMUSG00000026420.16 transcript:ENSMUST00000121040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il24 description:interleukin 24 [Source:MGI Symbol;Acc:MGI:2135548] MLTEPAQLFVHKKNQPPSHSSLRLHFRTLAGALALSSTQMSWGLQILPCLSLILLLWNQV PGLEGQEFRFGSCQVTGVVLPELWEAFWTVKNTVQTQDDITSIRLLKPQVLRNVSGAESC YLAHSLLKFYLNTVFKNYHSKIAKFKVLRSFSTLANNFIVIMSQLQPSKDNSMLPISESA HQRFLLFRRAFKQLDTEVALVKAFGEVDILLTWMQKFYHL >ENSMUSP00000140821.1 pep:known chromosome:GRCm38:1:130882455:130887339:-1 gene:ENSMUSG00000026420.16 transcript:ENSMUST00000191279.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il24 description:interleukin 24 [Source:MGI Symbol;Acc:MGI:2135548] MLTEPAQLFVHKKNQPPSHSSLRLHFRTLAGALALSSTQMSWGLQILPCLSLILLLWNQV PGLEGQEFRFGSCQVTGVVLPELWEAFWTVKNTVQTQDDITSIRLLKPQVLRNVSPAEVL LEHCFQELPQQNSQIQGLEVILHSGQQLHSHHVTTTAQ >ENSMUSP00000139907.1 pep:known chromosome:GRCm38:1:130884787:130887413:-1 gene:ENSMUSG00000026420.16 transcript:ENSMUST00000188148.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il24 description:interleukin 24 [Source:MGI Symbol;Acc:MGI:2135548] MLTEPAQLFVHKKNQPPSHSSLRLHFRTLDELGTTDSPLPEPNPSSLEPSARA >ENSMUSP00000064755.5 pep:known chromosome:GRCm38:3:98313170:98339990:-1 gene:ENSMUSG00000053398.11 transcript:ENSMUST00000065793.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phgdh description:3-phosphoglycerate dehydrogenase [Source:MGI Symbol;Acc:MGI:1355330] MAFANLRKVLISDSLDPCCRKILQDGGLQVVEKQNLSKEELIAELQDCEGLIVRSATKVT ADVINAAEKLQVVGRAGTGVDNVDLEAATRKGILVMNTPNGNSLSAAELTCGMIMCLARQ IPQATASMKDGKWDRKKFMGTELNGKTLGILGLGRIGREVATRMQSFGMKTVGYDPIISP EVAASFGVQQLPLEEIWPLCDFITVHTPLLPSTTGLLNDSTFAQCKKGVRVVNCARGGIV DEGALLRALQSGQCAGAALDVFTEEPPRDRALVDHENVISCPHLGASTKEAQSRCGEEIA VQFVDMVKGKSLTGVVNAQALTSAFSPHTKPWIGLAEAMGTLMHAWAGSPKGTIQVVTQG TSLKNAGTCLSPAVIVGLLREASKQADVNLVNAKLLVKEAGLNVTTSHNPGVPGEQGSGE CLLTVALAGAPYQAVGLVQGTTPMLQMLNGAVFRPEVPLRRGQPLLVFRAQPSDPGMLPT MIGLLAEAGVQLLSYQTSMVSDGEPWHVMGLSSLLPSLETWKQHVLEAFQFCF >ENSMUSP00000117525.1 pep:known chromosome:GRCm38:3:98321325:98337548:-1 gene:ENSMUSG00000053398.11 transcript:ENSMUST00000148488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phgdh description:3-phosphoglycerate dehydrogenase [Source:MGI Symbol;Acc:MGI:1355330] XSDRPPITKTEHTGALSFDCEGLIVRSATKVTADVINAAEKLQVVGRAGTGVDNVDLEAA TRKGILVMNTPNGNSLSAAELTCGMIMCLARQIPQATASMKDGKWDRKKFMGTELNGKTL GILGLGRIGREVATRMQSFGMKTVGYDPI >ENSMUSP00000026631.5 pep:known chromosome:GRCm38:14:123978291:124192902:-1 gene:ENSMUSG00000025551.13 transcript:ENSMUST00000026631.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf14 description:fibroblast growth factor 14 [Source:MGI Symbol;Acc:MGI:109189] MAAAIASGLIRQKRQAREQHWDRPSASRRRSSPSKNRGLCNGNLVDIFSKVRIFGLKKRR LRRQDPQLKGIVTRLYCRQGYYLQMHPDGALDGTKDDSTNSTLFNLIPVGLRVVAIQGVK TGLYIAMNGEGYLYPSELFTPECKFKESVFENYYVIYSSMLYRQQESGRAWFLGLNKEGQ VMKGNRVKKTKPAAHFLPKPLEVAMYREPSLHDVGETVPKAGVTPSKSTSASAIMNGGKP VNKCKTT >ENSMUSP00000093185.3 pep:known chromosome:GRCm38:14:123977907:124677127:-1 gene:ENSMUSG00000025551.13 transcript:ENSMUST00000095529.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf14 description:fibroblast growth factor 14 [Source:MGI Symbol;Acc:MGI:109189] MVKPVPLFRRTDFKLLLCNHKGLFFLRVSKLLGCFSPKSMWFLWNIFSKGTHMLQCLCGK SLKKNKNPTDPQLKGIVTRLYCRQGYYLQMHPDGALDGTKDDSTNSTLFNLIPVGLRVVA IQGVKTGLYIAMNGEGYLYPSELFTPECKFKESVFENYYVIYSSMLYRQQESGRAWFLGL NKEGQVMKGNRVKKTKPAAHFLPKPLEVAMYREPSLHDVGETVPKAGVTPSKSTSASAIM NGGKPVNKCKTT >ENSMUSP00000022356.4 pep:known chromosome:GRCm38:14:20548912:20607228:-1 gene:ENSMUSG00000034235.16 transcript:ENSMUST00000022356.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp54 description:ubiquitin specific peptidase 54 [Source:MGI Symbol;Acc:MGI:1926037] MSWKRNYFSGSRGSVQGMFAPRSSMSIAPSKGLSNEPGQNSCFLNSALQVLWHLDIFRRS FRQLTTHKCMGDSCIFCALKGIFNQFQCSSEKVLPSDTLRSALAKTFQDEQRFQLGIMDD AAECFENLLMRIHFHIADETKEDICTAQHCISHQKFAMTLFEQCVCSSCGATSDPLPFIQ MVHYISTTALCNQAICMLEKREKPSPSMFGELLQNASTMGDLRNCPSNCGERIRIRRVLM NAPQIITIGLVWDSEHSDLAEDVIHSLGTCLKLGDLFFRVTDDRAKQSELYLVGMICYYG KHYSTFFFQTKIRKWMYFDDAHVKEIGPKWKDVVTKCIKGHYQPLLLLYADPQGTPVSAQ DLPPHAQFLPYTKTCYDSEDSGHLTDSECNQKHTSKKGSLVERRRSSGRVRRKGDEPQAS GYHSEGETLKEKQAPRNASKSSSSSRLKDFKETVSNMIHSRPSLASQTSAGSPCVGRAGD QLDKIPPRNFPLQARGWETESTSSEAKSSSSSKYRPTWRPKRESLNIDSIFSKDRRKHCG YTQLRTFPEDAAKEFTPDEVSKPTANDIKDGGSRSQHKLWGTARPGSHLLEQHPRLIQRM ESGYESSERNSSSPVSLDAAPPDSVNVYRDQSTKRPVGFVPSWRHIPKSHSSSILEVDCT APMTSWTKTQPLSDGEVTSRSELDELQEEVVRRAQEQELRKKREKELEAAKGFNPHPSRY MDLDELQNQGRSDGFERSLQEANSIFEESLHLEQKGDCAAALALCNEAISKLRLTLHDAS SSTHSRALVDKKLQISIRKARSLQDRMQQQASSQQPVQPSASLPSQGGALPQPTSEQPIT LQVLLNQEAQLEPCKDTELGATSSFFHSPASCPELHSSLIPESPVSSVSQHSPPGTSSLK LLTSFEVDSVNRSAFHRQGLPKATGRTEMNSQHECLPLDALEDRLQGHREDNSCCSKFPP REGRDIAQDQLFEGKKNPADISMGMPWSYSTGEATSERVIYSLNSPSSSSAQPSIPPYSS CHPITSAASSPVLHAADPMQKLNQHVQAQSLQTSLTSKVVRSSEEPYRLEFPSTKGLVRS LAEQFQKMQNTSTRDVIGSQDRSLPNGVRKSSSPSDFMPPLSQGPGREHCRWVKQPRSPD GRERPPCWEDPAAHPPLSMGSGLPDGETSRTAQPRLAEPDMYQGKLPQVTDIRSKELGSS VNLGTSLPLDSWVNVTRLCDSQVKPSAPGPGDKSSSHDSHPRATCLERSPILLHPHWDQD TEQETSELESLYQASLQASSHTAYSDWRSQDVAWQPLSLAGSADGMGRRLHSAPGLDLSK PLTAEMEHVLYEPSTVPVSQDSSNVRKKTLETGHHCSSSSSLPVIHDPPVFLLDPKLYPP QPQFLSPDVLMPSMAGEPYRPPGTSRSVHQFLAMCDRDETPQGVKYTGRTLNYRSLPHRS RTDASWGPGSETNQHIGARVLTMPACKPQLTYTATLPERHQGLQVPHAQSWGNLFHSPSH PSAVHPGSPPSSNLHVPLRSTWNSGPVLGSRTPGPRRIDMPPDDDWRQSSYPSQDRHRSP GEERIMFALSNAAGREQNRVRFLQHSRW >ENSMUSP00000117503.1 pep:known chromosome:GRCm38:14:20577180:20586060:-1 gene:ENSMUSG00000034235.16 transcript:ENSMUST00000123287.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp54 description:ubiquitin specific peptidase 54 [Source:MGI Symbol;Acc:MGI:1926037] XFFFQTKIRKWMYFDDAHVKEIGPKWKDVVTKCIKGHYQPLLLLYADPQGTPVSAQDLPP HAQFLPYTKTCYDSEDSGREPSISSDTRTDSSTESYPYKHFHLESVVSHFSSDSQGTVIY NVENDSVSQSSRDTGHLTDSECNQKHTSKKGSLVERRRSSGRVRRKGDEPQASGYHSEGE TLKEKQAPRNASKSSSSSRLKDFKETVSNMIHSRPSLASQTSA >ENSMUSP00000036214.7 pep:known chromosome:GRCm38:14:20548912:20618354:-1 gene:ENSMUSG00000034235.16 transcript:ENSMUST00000035340.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp54 description:ubiquitin specific peptidase 54 [Source:MGI Symbol;Acc:MGI:1926037] MSWKRNYFSGSRGSVQGMFAPRSSMSIAPSKGLSNEPGQNSCFLNSALQVLWHLDIFRRS FRQLTTHKCMGDSCIFCALKGIFNQFQCSSEKVLPSDTLRSALAKTFQDEQRFQLGIMDD AAECFENLLMRIHFHIADETKEDICTAQHCISHQKFAMTLFEQCVCSSCGATSDPLPFIQ MVHYISTTALCNQAICMLEKREKPSPSMFGELLQNASTMGDLRNCPSNCGERIRIRRVLM NAPQIITIGLVWDSEHSDLAEDVIHSLGTCLKLGDLFFRVTDDRAKQSELYLVGMICYYG KHYSTFFFQTKIRKWMYFDDAHVKEIGPKWKDVVTKCIKGHYQPLLLLYADPQGTPVSAQ DLPPHAQFLPYTKTCYDSEDSGHLTDSECNQKHTSKKGSLVERRRSSGRVRRKGDEPQAS GYHSEGETLKEKQAPRNASKSSSSSRLKDFKETVSNMIHSRPSLASQTSAGSPCVGRAGD QLDKIPPRNFPLQARGWETESTSSEAKSSSSSKYRPTWRPKRESLNIDSIFSKDRRKHCG YTQLRTFPEDAAKEFTPDEVSKPTANDIKDGGSRSQHKLWGTARPGSHLLEQHPRLIQRM ESGYESSERNSSSPVSLDAAPPDSVNVYRDQSTKRPVGFVPSWRHIPKSHSSSILEVDCT APMTSWTKTQPLSDGEVTSRSELDELQEEVVRRAQEQELRKKREKELEAAKGFNPHPSRY MDLDELQNQGRSDGFERSLQEANSIFEESLHLEQKGDCAAALALCNEAISKLRLTLHDAS SSTHSRALVDKKLQISIRKARSLQDRMQQQASSQQPVQPSASLPSQGGALPQPTSEQPIT LQVLLNQEAQLEPCKDTELGATSSFFHSPASCPELHSSLIPESPVSSVSQHSPPGTSSLK LLTSFEVDSVNRSAFHRQGLPKATGRTEMNSQHECLPLDALEDRLQGHREDNSCCSKFPP REGRDIAQDQLFEGKKNPADISMGMPWSYSTGEATSERVIYSLNSPSSSSAQPSIPPYSS CHPITSAASSPVLHAADPMQKLNQHVQAQSLQTSLTSKVVRSSEEPYRLEFPSTKGLVRS LAEQFQKMQNTSTRDVIGSQDRSLPNGVRKSSSPSDFMPPLSQGPGREHCRWVKQPRSPD GRERPPCWEDPAAHPPLSMGSGLPDGETSRTAQPRLAEPDMYQGKLPQVTDIRSKELGSS VNLGTSLPLDSWVNVTRLCDSQVKPSAPGPGDKSSSHDSHPRATCLERSPILLHPHWDQD TEQETSELESLYQASLQASSHTAYSDWRSQDVAWQPLSLAGSADGMGRRLHSAPGLDLSK PLTAEMEHVLYEPSTVPVSQDSSNVRKKTLETGHHCSSSSSLPVIHDPPVFLLDPKLYPP QPQFLSPDVLMPSMAGEPYRPPGTSRSVHQFLAMCDRDETPQGVKYTGRTLNYRSLPHRS RTDASWGPGSETNQHIGARVLTMPACKPQLTYTATLPERHQGLQVPHAQSWGNLFHSPSH PSAVHPGSPPSSNLHVPLRSTWNSGPVLGSRTPGPRRIDMPPDDDWRQSSYPSQDRHRSP GEERIMFALSNAAGREQNRVRFLQHSRW >ENSMUSP00000136900.1 pep:known chromosome:GRCm38:9:110914739:110916861:-1 gene:ENSMUSG00000096393.1 transcript:ENSMUST00000177678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm590 description:predicted gene 590 [Source:MGI Symbol;Acc:MGI:2685436] MNNQYVRREVFCRNSCHELKRFWEREIGKQACYRESEEHRLGRSALRKLREEWKQRLETK LRLRNNPDEAEKRANVSSELPASATDRGAEVTPLEAS >ENSMUSP00000021239.6 pep:known chromosome:GRCm38:11:94629767:94645216:1 gene:ENSMUSG00000020869.8 transcript:ENSMUST00000021239.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc59 description:leucine rich repeat containing 59 [Source:MGI Symbol;Acc:MGI:2138133] MTKAGSKGGNLRDKLDGNELDLSLSDLNEVPVKELAALPKATVLDLSCNKLSTLPSDFCG LTHLVKLDLSKNKLQQLPADFGRLVNLQHLDLLNNRLVTLPVSFAQLKNLKWLDLKDNPL DPVLAKVAGDCLDEKQCKQCANKVLQHMKAVQADQERERQRRLEVEREAEKKREAKQQAK EAKERELRKREKAEEKERRRKEYDAQKASKREQEKKPKKEANQAPKSKSGSRPRKPPPRK HTRSWAVLKVLLLLLLLCVAGGLVVCRVTGLHQQPLCTSVNTIYDNAVQGLRHHEILQWV LQTDSQQ >ENSMUSP00000141716.1 pep:known chromosome:GRCm38:9:108516806:108533894:1 gene:ENSMUSG00000006673.14 transcript:ENSMUST00000195563.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich1 description:glutamine-rich 1 [Source:MGI Symbol;Acc:MGI:1916482] MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN CIYTDSTEVAGSLLELACPVTTSVQPQTQQEQQIQVQQPQQVQVQVQVQQSPQQVSAQQL SPQFTVHQPAEQPIQVQVQIQGQAPQSAAPSIQTPSLQSPSPSQLQAAQIQVQHVQAAQQ IQAAEIPEEHIPHQQIQAQLVAGQSL >ENSMUSP00000142025.1 pep:known chromosome:GRCm38:9:108517087:108528873:1 gene:ENSMUSG00000006673.14 transcript:ENSMUST00000195513.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich1 description:glutamine-rich 1 [Source:MGI Symbol;Acc:MGI:1916482] MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN CIYTDSTEVAGSLLELACPVTTSVQPQT >ENSMUSP00000141267.1 pep:known chromosome:GRCm38:9:108517103:108545320:1 gene:ENSMUSG00000006673.14 transcript:ENSMUST00000193258.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich1 description:glutamine-rich 1 [Source:MGI Symbol;Acc:MGI:1916482] MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN CIYTDSTEVAGSLLELACPVTTSVQPQTQQEQQIQVQQPQQVQVQVQVQQSPQQVSAQQL SPQFTVHQPAEQPIQVQVQIQGQAPQSAAPSIQTPSLQSPSPSQLQAAQIQVQHVQAAQQ IQAAEIPEEHIPHQQIQAQLVAGQSLAGGQQIQIQTVGALSPPPSQQGSPREGERRVGTA SVLQPVKKRKVDMPITVSYAISGQPVATVLAIPQGQQQSYVSLRPDLLTVDSAHLYSATG TITSPTGETWTIPVYSAQPRGDPQQQSITHIAIPQEAYNAVHVSGSPTALAAVKLEDDKE KMVGTTSVVKNSHEEVVQTLANSLFPAQFMNGNIHIPVAVQAVAGTYQNTAQTVHIWDPQ QQPQQQTAQEQTPPPQQQQQQLQVTCSAQTVQVAEVEPQSQPQPSPELLLPNSLKPEEGL EVWKNWAQTKNAELEKDAQNRLAPIGRRQLLRFQEDLISSAVAELNYGLCLMTREARNGE GEPYDPDVLYYIFLCIQKYLFENGRVDDIFSDLYYVRFTEWLHEVLKDVQPRVTPLGKSL PSL >ENSMUSP00000107782.3 pep:known chromosome:GRCm38:9:108517110:108559992:1 gene:ENSMUSG00000006673.14 transcript:ENSMUST00000112155.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich1 description:glutamine-rich 1 [Source:MGI Symbol;Acc:MGI:1916482] MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN CIYTDSTEVAGSLLELACPVTTSVQPQTQQEQQIQVQQPQQVQVQVQVQQSPQQVSAQQL SPQFTVHQPAEQPIQVQVQIQGQAPQSAAPSIQTPSLQSPSPSQLQAAQIQVQHVQAAQQ IQAAEIPEEHIPHQQIQAQLVAGQSLAGGQQIQIQTVGALSPPPSQQGSPREGERRVGTA SVLQPVKKRKVDMPITVSYAISGQPVATVLAIPQGQQQSYVSLRPDLLTVDSAHLYSATG TITSPTGETWTIPVYSAQPRGDPQQQSITHIAIPQEAYNAVHVSGSPTALAAVKLEDDKE KMVGTTSVVKNSHEEVVQTLANSLFPAQFMNGNIHIPVAVQAVAGTYQNTAQTVHIWDPQ QQPQQQTAQEQTPPPQQQQQQLQVTCSAQTVQVAEVEPQSQPQPSPELLLPNSLKPEEGL EVWKNWAQTKNAELEKDAQNRLAPIGRRQLLRFQEDLISSAVAELNYGLCLMTREARNGE GEPYDPDVLYYIFLCIQKYLFENGRVDDIFSDLYYVRFTEWLHEVLKDVQPRVTPLGYVL PSHVTEEMLWECKQLGAHSPSTLLTTLMFFNTKYFLLKTVDQHMKLAFSKVLRQTKKSPS NPKDKSTSIRYLKALGIHQTGQKVTDDMYAEQTENPENPLRCPIKLYDFYLFKCPQSVKG RNDTFYLTPEPVVAPNSPIWYSVQPISREQMGQMLTRILVIREIQEAIAVANATTMH >ENSMUSP00000006851.8 pep:known chromosome:GRCm38:9:108517114:108560163:1 gene:ENSMUSG00000006673.14 transcript:ENSMUST00000006851.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich1 description:glutamine-rich 1 [Source:MGI Symbol;Acc:MGI:1916482] MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN CIYTDSTEVAGSLLELACPVTTSVQPQTQQEQQIQVQQPQQVQVQVQVQQSPQQVSAQQL SPQFTVHQPAEQPIQVQVQIQGQAPQSAAPSIQTPSLQSPSPSQLQAAQIQVQHVQAAQQ IQAAEIPEEHIPHQQIQAQLVAGQSLAGGQQIQIQTVGALSPPPSQQGSPREGERRVGTA SVLQPVKKRKVDMPITVSYAISGQPVATVLAIPQGQQQSYVSLRPDLLTVDSAHLYSATG TITSPTGETWTIPVYSAQPRGDPQQQSITHIAIPQEAYNAVHVSGSPTALAAVKLEDDKE KMVGTTSVVKNSHEEVVQTLANSLFPAQFMNGNIHIPVAVQAVAGTYQNTAQTVHIWDPQ QQPQQQTAQEQTPPPQQQQQQLQVTCSAQTVQVAEVEPQSQPQPSPELLLPNSLKPEEGL EVWKNWAQTKNAELEKDAQNRLAPIGRRQLLRFQEDLISSAVAELNYGLCLMTREARNGE GEPYDPDVLYYIFLCIQKYLFENGRVDDIFSDLYYVRFTEWLHEVLKDVQPRVTPLGYVL PSHVTEEMLWECKQLGAHSPSTLLTTLMFFNTKYFLLKTVDQHMKLAFSKVLRQTKKSPS NPKDKSTSIRYLKALGIHQTGQKVTDDMYAEQTENPENPLRCPIKLYDFYLFKCPQSVKG RNDTFYLTPEPVVAPNSPIWYSVQPISREQMGQMLTRILVIREIQEAIAVANATTMH >ENSMUSP00000141215.1 pep:known chromosome:GRCm38:9:108517152:108528859:1 gene:ENSMUSG00000006673.14 transcript:ENSMUST00000192932.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich1 description:glutamine-rich 1 [Source:MGI Symbol;Acc:MGI:1916482] MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN CIYTDSTEVAGSLLELACPVTTS >ENSMUSP00000141691.1 pep:known chromosome:GRCm38:9:108517155:108528899:1 gene:ENSMUSG00000006673.14 transcript:ENSMUST00000193348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich1 description:glutamine-rich 1 [Source:MGI Symbol;Acc:MGI:1916482] MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN CIYTDSTEVAGSLLELACPVTTSVQPQTQQEQQIQV >ENSMUSP00000142211.1 pep:known chromosome:GRCm38:9:108517156:108545089:1 gene:ENSMUSG00000006673.14 transcript:ENSMUST00000194385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich1 description:glutamine-rich 1 [Source:MGI Symbol;Acc:MGI:1916482] MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN CIYTDSTEVAGSLLELACPVTTSVQPQTQQEQQIQVQQPQQVQAQTVQVAEVEPQSQPQP SPELLLPNSLKPEEGLEVWKNWAQTKNAELEKDAQNRLAPIGRRQLLRFQEDLISSAVAE LNYGLCLMTREARNGEGEPYDPDVLYYIFLCIQKYLFENGRVDDIFSDLYYVRFTEWLHE VLKDVQPRVTPLGKSLPSL >ENSMUSP00000142027.1 pep:known chromosome:GRCm38:9:108517623:108528895:1 gene:ENSMUSG00000006673.14 transcript:ENSMUST00000192819.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich1 description:glutamine-rich 1 [Source:MGI Symbol;Acc:MGI:1916482] MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN CIYTDSTEVAGSLLELACPVTTSVQPQTQQEQQIQ >ENSMUSP00000142233.1 pep:known chromosome:GRCm38:9:108528412:108533644:1 gene:ENSMUSG00000006673.14 transcript:ENSMUST00000194741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrich1 description:glutamine-rich 1 [Source:MGI Symbol;Acc:MGI:1916482] MNNSLENTISFEEYIRVKARSVPQHRMKEFLDSLASKGPEALQEFQQTATTTMVYQQGGN CIYTDSTEVAGSLLELACPVTTSVQPQTQQEQQIQVQQPQQVQVQVQVQQSPQQVSAQQL SP >ENSMUSP00000058008.3 pep:known chromosome:GRCm38:1:172555938:172563717:1 gene:ENSMUSG00000049598.9 transcript:ENSMUST00000061835.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsig8 description:V-set and immunoglobulin domain containing 8 [Source:MGI Symbol;Acc:MGI:3642995] MGVRGALHLLLVCLSPALLSAVRINGDGQEVMYLAEGDNVRLGCPYLLDPEDLGTNSLDI EWMQVNSEPSHRENVFLTYQDKRIGHGNLPHLQQRVRFAASDPSQYDASINLMNLQVSDT ATYECRVKKTTMATRKVIVTVQARPAVPMCWTEGHMSKGNDVVLKCFANGGSQPLSYKWA KISGHSHPYRAGAYHSQHSFHSELSYQESFHSTINQGLGNGDLLLKGINADDDGLYQCTV ANHVGYSVCVVEVKVSDSQRVGMIVGAVLGSLLMLACLALGIWGLICCCCGGGGAGGARG AFGYGVGGGVGGGACGDLASEIRVDAEAPGCKASGRGSRVTHLLGYPTQNVSRSLRRKYA PPPCGGPEDVALVPRTASASCEAGPSPVYIKVKSAEPADCADCAQVEQRSCKDGLLV >ENSMUSP00000134997.1 pep:known chromosome:GRCm38:1:172559906:172563716:1 gene:ENSMUSG00000049598.9 transcript:ENSMUST00000177086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsig8 description:V-set and immunoglobulin domain containing 8 [Source:MGI Symbol;Acc:MGI:3642995] MNLQVSDTATYECRVKKTTMATRKVIVTVQARPAVPMCWTEGHMSKGNDVVLKCFANGGS QPLSYKWAKISGHSHPYRAGAYHSQHSFHSELSYQESFHSTINQGLGNGDLLLKGINADD DGLYQCTVANHVGYSVCVVEVKVSDSQRVGMIVGAVLGSLLMLACLALGIWGLICCCCGG GGAGGARGAFGYGVGGGVGGGACGDLASEIRVDAEAPGCKASGRGSRVTHLLGYPTQNVS RSLRRKYAPPPCGGPEDVALVPRTASASCEAGPSPVYIKVKSAEPADCADCAQVEQRSCK DGLLV >ENSMUSP00000071955.7 pep:known chromosome:GRCm38:1:9545408:9547455:1 gene:ENSMUSG00000061024.8 transcript:ENSMUST00000072079.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrs1 description:RRS1 ribosome biogenesis regulator homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1929721] MEGQRVEELLAKAEQEEAEKLQRITVHKELELEFDLGNLLASDRNPPTVLRQAGPSPEAE LRALARDNTQLLINQLWRLPTERVEEAVVARLPEPATRLPREKPLPRPRPLTRWQQFARL KGIRPKKKTNLVWDEASGQWRRRWGYKRARDDTKEWLIEVPGSADPMEDQFAKRTQAKKE RVAKNELNRLRNLARAHKMQMPSSAGLHPTGHQSKEELGRAMQVAKVSTASVGRFQERLP KEKAPRGSGKKRKFQPLFGDFAAEKKNQLELLRVMNSKKPRLDVTRATNKQMREEDQEEA AKRRKMSQKGKRKGGRQGPSGKRKGGPPGQGEKRKGGLGSKKHSWPSALAGKKKGVPPQG GKRRK >ENSMUSP00000034096.4 pep:known chromosome:GRCm38:8:95715932:95719010:1 gene:ENSMUSG00000031671.10 transcript:ENSMUST00000034096.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd6 description:SET domain containing 6 [Source:MGI Symbol;Acc:MGI:1913333] MAAPAKRARVSGGSPLVAPCPSPRAARAPLPLPAGSSGGEPEGDAVAGFLRWCRRVGLEL SPKVTVSRQGTVAGYGMVARESVRAGELLFAVPRSALLSPHTCSISGLLERERGALQSLS GWVPLLLALLHELQAPASPWSPYFALWPELGRLEHPMFWPEEERLRLLKGTGVPEAVEKD LVNIRSEYYSIVLPFMEAHSDLFSPSVRSLELYQQLVALVMAYSFQEPLEEDDDEKEPNS PLMVPAADILNHIANHNANLEYSADYLRMVATQPILEGHEIFNTYGQMANWQLIHMYGFA EPYPNNTDDTADIQMVTVRDAALQGTKDETEKLLVCERWDFLCKQEMVGEEGAFVIGCEE VLTEEELATTLKVLCMPAEEFRDYKERAGWGEEETEDDSLAITDIPKLQESWKRLLRNSV LLTLQTYTTDLKTDQDLLSNKEAYATLSWREQQALQVRYGQKMILHRVLELTN >ENSMUSP00000110118.1 pep:known chromosome:GRCm38:9:50494525:50505482:1 gene:ENSMUSG00000032068.14 transcript:ENSMUST00000114474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plet1 description:placenta expressed transcript 1 [Source:MGI Symbol;Acc:MGI:1923759] MLSLRSLLPHLGLFLCLALHLSPSLSASDNGSCVVLDNIYTSDILEISTMANVSGGDVTY TVTVPVNDSVSAVILKAVKEDDSPVGTWSGTYEKCNDSSVYYNLTSQSQSVFQTNWTVPT SEDVTKVNLQVLIVVNRTASKSSVKMEQVQPSASTPIPESSETSQTINTTPTVNTAKTTA KDTANTTAVTTANTTANTTAVTTAKTTAKSLAIRTLGSPLAGALHILLVFLISKLLF >ENSMUSP00000139422.1 pep:known chromosome:GRCm38:9:50494527:50501979:1 gene:ENSMUSG00000032068.14 transcript:ENSMUST00000188047.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plet1 description:placenta expressed transcript 1 [Source:MGI Symbol;Acc:MGI:1923759] MLSLRSLLPHLGLFLCLALHLSPSLSASDNGSCVVLDNIYTSDILEISTMANVSGGDVTY TVTVPVNDSVSAVILKAVKEDDSPVGTWSGTYEKCNDSSVYYNLTSQSQSVFQTNWTVPT SEDVTKVNLQVLIVVNRTASKSSVKMEQGPLLARSGQLPHPSLQSAPLTLVNSARSVPAH SGPRIYLLLYISTL >ENSMUSP00000025278.7 pep:known chromosome:GRCm38:11:94653767:94660089:1 gene:ENSMUSG00000024414.13 transcript:ENSMUST00000025278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl27 description:mitochondrial ribosomal protein L27 [Source:MGI Symbol;Acc:MGI:2137224] MAAAALTLRTRAAVTALLSPTAPTALAVRHASKKTGGSSKNLGGKSRGKHYGIKKMEGHY VHAGNILGTQRQFRWHPGAHVGLGRNKCLYALEEGIVRYTKDVYVPNPKNTEAVDLVTSL PKGAVLYKTFVHVVPAKPEGTFKLVDML >ENSMUSP00000066620.8 pep:known chromosome:GRCm38:1:91415982:91459324:-1 gene:ENSMUSG00000055866.9 transcript:ENSMUST00000069620.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Per2 description:period circadian clock 2 [Source:MGI Symbol;Acc:MGI:1195265] MNGYVDFSPSPTSPTKEPGAPQPTQAVLQEDVDMSSGSSGNENCSTGRDSQGSDCDDNGK ELRMLVESSNTHPSPDDAFRLMMTEAEHNPSTSGCSSEQSAKADAHKELIRTLKELKVHL PADKKAKGKASTLATLKYALRSVKQVKANEEYYQLLMSSESQPCSVDVPSYSMEQVEGIT SEYIVKNADMFAVAVSLVSGKILYISNQVASIFHCKKDAFSDAKFVEFLAPHDVSVFHSY TTPYKLPPWSVCSGLDSFTQECMEEKSFFCRVSVGKHHENEIRYQPFRMTPYLVKVQEQQ GAESQLCCLLLAERVHSGYEAPRIPPEKRIFTTTHTPNCLFQAVDERAVPLLGYLPQDLI ETPVLVQLHPSDRPLMLAIHKKILQAGGQPFDYSPIRFRTRNGEYITLDTSWSSFINPWS RKISFIIGRHKVRVGPLNEDVFAASPCPEEKTPHPSVQELTEQIHRLLMQPVPHSGSSGY GSLGSNGSHEHLMSQTSSSDSNGQEESHRRRSGIFKTSGKIQTKSHVSHESGGQKEASVA EMQSSPPAQVKAVTTIERDSSGASLPKASFPEELAYKNQPPCSYQQISCLDSVIRYLESC SEAATLKRKCEFPANIPSRKATVSPGLHSGEAARPSKVTSHTEVSAHLSSLTLPGKAESV VSLTSQCSYSSTIVHVGDKKPQPELETVEDMASGPESLDGAAGGLSQEKGPLQKLGLTKE VLAAHTQREEQGFLQRFREVSRLSALQAHCQNYLQERSRAQASDRGLRNTSGLESSWKKT GKNRKLKSKRVKTRDSSESTGSGGPVSHRPPLMGLNATAWSPSDTSQSSCPSAPFPTAVP AYPLPVFQAPGIVSTPGTVVAPPAATHTGFTMPVVPMGTQPEFAVQPLPFAAPLAPVMAF MLPSYPFPPATPNLPQAFLPSQPHFPAHPTLASEITPASQAEFPSRTSTLRQPCACPVTP PAGTVALGRASPPLFQSRGSSPLQLNLLQLEEAPEGSTGAAGTLGTTGTAASGLDCTSGT SRDRQPKAPPTCNEPSDTQNSDAISTSSDLLNLLLGEDLCSATGSALSRSGASATSDSLG SSSLGFGTSQSGAGSSDTSHTSKYFGSIDSSENNHKAKMIPDTEESEQFIKYVLQDPIWL LMANTDDSIMMTYQLPSRDLQAVLKEDQEKLKLLQRSQPRFTEGQRRELREVHPWVHTGG LPTAIDVTGCVYCESEEKGNICLPYEEDSPSPGLCDTSEAKEEEGEQLTGPRIEAQT >ENSMUSP00000036361.4 pep:known chromosome:GRCm38:11:94644996:94653964:-1 gene:ENSMUSG00000039055.4 transcript:ENSMUST00000039949.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eme1 description:essential meiotic structure-specific endonuclease 1 [Source:MGI Symbol;Acc:MGI:3576783] MALRRLSLSRLSTESDSEDLPTFAFLKKEPSSTNRKPPQRAKNIVVVTSDSEASCPPSPG LKGPPCVPSAAGAPPQAGPVRVLSSSSEDEDVFVPLAERITCKLLTSKQLCPELSSSSLK TGLDGQNNASAPCDWKRQPWPKIPDVPLHGALEKSAANDEDSLLDDQCRQLPTYQATCRE LAVSKTNSDRPLPKKRTKHIQTVQSGGSQGCWRPGQASRKENTPRQHERKKKAEMIKRLK AQRPEECLKHIVVVLDPVLLQMEGGGQLLGALQAMECSCVIEVQAIPRSITWRRRRTELV EDGDDWMEEPTILVLVLAEVFMSMAYNLKQASPSSTEKGKETLRSFVTDVTAKTGKALSL VIVDQEKCFRPQNPPRRRKSGMANKQAKAKHQQRQESSTGLMVSRADMEKALVDLQLYTE AQAWMVQSWKELADFTCAFTKAVAEAPFKKLRDQVTFSFFLEKDWAGGMKVDQSGRGLAL IWRRQIQQLNRVSSEMASAIVDAYPSPQLLVQAYQRCFSEQERQNLLADIQVRRGEGVTA TSRRVGPELSRRIYLQMTTAQPDLILDSVD >ENSMUSP00000058000.8 pep:known chromosome:GRCm38:7:143778363:143784500:-1 gene:ENSMUSG00000048965.9 transcript:ENSMUST00000054048.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgpre description:MAS-related GPR, member E [Source:MGI Symbol;Acc:MGI:2441884] MTSLSVHTDSPSTQGEMAFNLTILSLTELLSLGGLLGNGVALWLLNQNVYRNPFSIYLLD VACADLIFLCCHMVAIIPELLQDQLNFPEFVHISLTMLRFFCYIVGLSLLAAISTEQCLA TLFPAWYLCRRPRYLTTCVCALIWVLCLLLDLLLSGACTQFFGAPSYHLCDMLWLVVAVL LAALCCTMCVTSLLLLLRVERGPERHQPRGFPTLVLLAVLLFLFCGLPFGIFWLSKNLSW HIPLYFYHFSFFMASVHSAAKPAIYFFLGSTPGQRFREPLRLVLQRALGDEAELGAGREA SQGGLVDMTV >ENSMUSP00000121842.2 pep:known chromosome:GRCm38:11:70054085:70057894:1 gene:ENSMUSG00000020884.15 transcript:ENSMUST00000146411.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asgr1 description:asialoglycoprotein receptor 1 [Source:MGI Symbol;Acc:MGI:88081] MTKDYQDFQHLDNDNDHHQLRRGPPPTPRLLQRLCSGSRLLLLSSSLSILLLVVVCVITS QNSQLREDLLALRQNFSNLTVSTEDQVKALSTQGSSVGRKMKLVESKLEKQQKDLTEDHS SLLLHVKQLVSDVRSLSCQMAAFRGNGSERTCCPINWVEYEGSCYWFSSSVRPWTEADKY CQLENAHLVVVTSRDEQNFLQRHMGPLNTWIGLTDQNGPWKWVDGTDYETGFQNWRPEQP DNWYGHGLGGGEDCAHFTTDGRWNDDVCRRPYRWVCETKLDKAN >ENSMUSP00000104226.2 pep:known chromosome:GRCm38:11:70054355:70057891:1 gene:ENSMUSG00000020884.15 transcript:ENSMUST00000108585.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asgr1 description:asialoglycoprotein receptor 1 [Source:MGI Symbol;Acc:MGI:88081] MTKDYQDFQHLDNDNDHHQLRRGPPPTPRLLQRLCSGSRLLLLSSSLSILLLVVVCVITS QNSQLREDLLALRQNFSNLTVSTEDQVKALSTQGSSVGRKMKLVESKLEKQQKDLTEGSE RTCCPINWVEYEGSCYWFSSSVRPWTEADKYCQLENAHLVVVTSRDEQNFLQRHMGPLNT WIGLTDQNGPWKWVDGTDYETGFQNWRPEQPDNWYGHGLGGGEDCAHFTTDGRWNDDVCR RPYRWVCETKLDKAN >ENSMUSP00000018699.6 pep:known chromosome:GRCm38:11:70054355:70057894:1 gene:ENSMUSG00000020884.15 transcript:ENSMUST00000018699.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asgr1 description:asialoglycoprotein receptor 1 [Source:MGI Symbol;Acc:MGI:88081] MTKDYQDFQHLDNDNDHHQLRRGPPPTPRLLQRLCSGSRLLLLSSSLSILLLVVVCVITS QNSQLREDLLALRQNFSNLTVSTEDQVKALSTQGSSVGRKMKLVESKLEKQQKDLTEDHS SLLLHVKQLVSDVRSLSCQMAAFRGNGSERTCCPINWVEYEGSCYWFSSSVRPWTEADKY CQLENAHLVVVTSRDEQNFLQRHMGPLNTWIGLTDQNGPWKWVDGTDYETGFQNWRPEQP DNWYGHGLGGGEDCAHFTTDGRWNDDVCRRPYRWVCETKLDKAN >ENSMUSP00000090637.4 pep:known chromosome:GRCm38:11:70054698:70057894:1 gene:ENSMUSG00000020884.15 transcript:ENSMUST00000092959.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asgr1 description:asialoglycoprotein receptor 1 [Source:MGI Symbol;Acc:MGI:88081] MTKDYQDFQHLDNDNDHHQLRRGPPPTPRLLQRLCSGSRLLLLSSSLSILLLVVVCVITS QNSQLREDLLALRQNFSNLTVSTEDQVKALSTQGSSVGRKMKLVESKLEKQQKDLTEDHS SLLLHVKQLVSDVRSLSCQMAAFRGNGSERTCCPINWVEYEGSCYWFSSSVRPWTEADKY CQLENAHLVVVTSRDEQNFLQRHMGPLNTWIGLTDQNGPWKWVDGTDYETGFQNWRPEQP DNWYGHGLGGGEDCAHFTTDGRWNDDVCRRPYRWVCETKLDKAN >ENSMUSP00000137469.1 pep:known chromosome:GRCm38:11:70055881:70057370:1 gene:ENSMUSG00000020884.15 transcript:ENSMUST00000123369.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asgr1 description:asialoglycoprotein receptor 1 [Source:MGI Symbol;Acc:MGI:88081] MKLVESKLEKQQKDLTEDHSSLLLHVKQLVSDVRSLSCQMAAFRGNGSERTCCPINWVEY EGSCYWFSSSVRPWTEADKYCQLENAHLVVVTSRDEQNFLQRHMGPLNTWIGLTDQNGPW KWVDGTDYETGF >ENSMUSP00000022355.4 pep:known chromosome:GRCm38:14:20500030:20546512:-1 gene:ENSMUSG00000021816.10 transcript:ENSMUST00000022355.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp3cb description:protein phosphatase 3, catalytic subunit, beta isoform [Source:MGI Symbol;Acc:MGI:107163] MAAPEPARAAPPPPPPPPPPLGADRVVKAVPFPPTHRLTSEEVFDMDGIPRVDVLKNHLV KEGRVDEEIALRIINEGAAILRREKTMIEVEAPITVCGDIHGQFFDLMKLFEVGGSPANT RYLFLGDYVDRGYFSIECVLYLWVLKILYPSTLFLLRGNHECRHLTEYFTFKQECKIKYS ERVYEACMEAFDSLPLAALLNQQFLCVHGGLSPEIHTLDDIRRLDRFKEPPAFGPMCDLL WSDPSEDFGNEKSQEHFSHNTVRGCSYFYNYPAVCEFLQNNNLLSIIRAHEAQDAGYRMY RKSQTTGFPSLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFT WSLPFVGEKVTEMLVNVLSICSDDELMTEGEDQFDVGSAAARKEIIRNKIRAIGKMARVF SVLREESESVLTLKGLTPTGMLPSGVLAGGRQTLQSAIRGFSPPHRICSFEEAKGLDRIN ERMPPRKDAVQQDGFNSLNTAHTTENHGTGNHSAQ >ENSMUSP00000125722.1 pep:known chromosome:GRCm38:14:20499364:20546573:-1 gene:ENSMUSG00000021816.10 transcript:ENSMUST00000159027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp3cb description:protein phosphatase 3, catalytic subunit, beta isoform [Source:MGI Symbol;Acc:MGI:107163] MAAPEPARAAPPPPPPPPPPLGADRVVKAVPFPPTHRLTSEEVFDMDGIPRVDVLKNHLV KEGRVDEEIALRIINEGAAILRREKTMIEVEAPITVCGDIHGQFFDLMKLFEVGGSPANT RYLFLGDYVDRGYFSIECVLYLWVLKILYPSTLFLLRGNHECRHLTEYFTFKQECKIKYS ERVYEACMEAFDSLPLAALLNQQFLCVHGGLSPEIHTLDDIRRLDRFKEPPAFGPMCDLL WSDPSEDFGNEKSQEHFSHNTVRGCSYFYNYPAVCEFLQNNNLLSIIRAHEAQDAGYRMY RKSQTTGFPSLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFT WSLPFVGEKVTEMLVNVLSICSDDELMTEGEDQFDVGSAAARKEIIRNKIRAIGKMARVF SVLREESESVLTLKGLTPTGMLPSGVLAGGRQTLQSATVEAIEAEKAIRGFSPPHRICSF EEAKGLDRINERMPPRKDAVQQDGFNSLNTAHTTENHGTGNHSAQ >ENSMUSP00000125582.1 pep:known chromosome:GRCm38:14:20507671:20546440:-1 gene:ENSMUSG00000021816.10 transcript:ENSMUST00000161989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp3cb description:protein phosphatase 3, catalytic subunit, beta isoform [Source:MGI Symbol;Acc:MGI:107163] MAAPEPARAAPPPPPPPPPPLGADRVVKAVPFPPTHRLTSEEVFDMDGIPRVDVLKNHLV KEGRVDEEIALRIINEGAAILRREKTMIEVEAPITVCGDIHGQFFDLMKLFEVGGSPANT RYLFLGDYVDRGYFSIECVLYLWVLKILYPSTLFLLRGNHECRHLTEYFTFKQECKIKYS ERVYEACMEAFDSLPLAALLNQQFLCVHGGLSPEIHTLDDIRRLDRFKEPPAFGPMCDLL WSDPSEDFGNEKSQEHFSHNTVRGCSYFYNYPAVCEFLQNNNLLSIIRAHEAQDAGYRMY RKSQTTGFPSLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFT WSLPFVGEKVTEMLVNVLSICSDDELMTEGEDQFDVGSAAARKEIIRNKIRAIGKMARVF SVLREESESVLTLKGLTPTGMLPSGVLAGGRQTLQSGNDVMQLAVPQMDWGTTHSFANNT HNACRELLLLFSSCLSS >ENSMUSP00000125630.1 pep:known chromosome:GRCm38:14:20499868:20546547:-1 gene:ENSMUSG00000021816.10 transcript:ENSMUST00000161445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp3cb description:protein phosphatase 3, catalytic subunit, beta isoform [Source:MGI Symbol;Acc:MGI:107163] MAAPEPARAAPPPPPPPPPPLGADRVVKAVPFPPTHRLTSEEVFDMDGIPRVDVLKNHLV KEGRVDEEIALRIINEGAAILRREKTMIEVEAPITVCGDIHGQFFDLMKLFEVGGSPANT RYLFLGDYVDRGYFSIECVLYLWVLKILYPSTLFLLRGNHECRHLTEYFTFKQECKIKYS ERVYEACMEAFDSLPLAALLNQQFLCVHGGLSPEIHTLDDIRRLDRFKEPPAFGPMCDLL WSDPSEDFGNEKSQEHFSHNTVRGCSYFYNYPAVCEFLQNNNLLSIIRAHEAQDAGYRMY RKSQTTGFPSLITIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFT WSLPFVGEKVTEMLVNVLSICSDDELMTEGEDQFDGSAAARKEIIRNKIRAIGKMARVFS VLREESESVLTLKGLTPTGMLPSGVLAGGRQTLQSATVEAIEAEKAIRGFSPPHRICSFE EAKGLDRINERMPPRKDAVQQDGFNSLNTAHTTENHGTGNHSAQ >ENSMUSP00000052557.8 pep:known chromosome:GRCm38:3:102939658:102964133:-1 gene:ENSMUSG00000048938.12 transcript:ENSMUST00000058899.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h5 description:nuclear receptor subfamily 1, group H, member 5 [Source:MGI Symbol;Acc:MGI:3026618] MANTYVATSDGYYLAEPTQYYDILPEQFHYQLCDTDFQEPPYCQYSTAQFPPALQSPSLQ SHFNTHGLDPQYSGGSWCGLDARESGQSTYVVVHDDEDEFPGAQRCRATCSLRWKGQDDM LCMVCGDKASGYHYNALTCEGCKGFFRRSITKNAVYSCKNGGHCEMDMYMRRKCQECRLK KCKAVGMLAECLLTEIQCKSKRLRKNFKHGPALYPAIQVEDEGADTKHVSSSTRSGKGVQ DNMTLTQEEHRLLNTIVTVHQKSMIPLGETSKLLQEGSNPELSFLRLSEVSVLHIQGLMK FTKGLPGFENLTTEDQAALQKASKTEVMFLHVAQLYGGKDSTSGSTMRPAKPSAGTLEVH NPSADESVHSPENFLKEGYPSAPLTDITKEFIASLSYFYRRMSELHVSDTEYALLTATTV LFSDRPCLKNKQHIENLQEPVLQLLFKFSKMYHPEDPQHFAHLIGRLTELRTLSHSHSEI LRMWKTKDPRLVMLFSEKWDLHSFS >ENSMUSP00000142345.1 pep:known chromosome:GRCm38:3:102940870:102958383:-1 gene:ENSMUSG00000048938.12 transcript:ENSMUST00000198472.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nr1h5 description:nuclear receptor subfamily 1, group H, member 5 [Source:MGI Symbol;Acc:MGI:3026618] MANTYVATSDGYYLAEPTQYYDILPEQFHYQLCDTDFQEPPYCQYSTAQFPPALQSPSLQ SHFNTHGLDPQYSGGSWCGLDARESGQSTYVVVHDDEDEFPGAQRCRATCSLRWKGQDDM LCMVCGDKASGYHYNALTCEGCKGFFRRSITKNAVYSCKNGGHCEMDMYMRRKCQECRLK KCKAVGMLAECLLTEIQCKSKRLRKNFKHGPALYPAIQVEDEGADTKHVSSSTRSGKGVQ DNMTLTQEEHRLLNTIVTVHQKSMIPLGETSKLLQEGSNPELSFLRLSEVSVLHIQGLMK FTKGLPGFENLTTEDQAALQKASKTEVMFLHVAQLYGTMRPAKPSAGTLEVHNPSADESV HSPENFLKEGYPSAPLTEE >ENSMUSP00000142799.1 pep:known chromosome:GRCm38:3:102940870:102958383:-1 gene:ENSMUSG00000048938.12 transcript:ENSMUST00000196983.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h5 description:nuclear receptor subfamily 1, group H, member 5 [Source:MGI Symbol;Acc:MGI:3026618] MANTYVATSDGYYLAEPTQYYDILPEQFHYQLCDTDFQEPPYCQYSTAQFPPALQSPSLQ SHFNTHGLDPQYSGGSWCGLDARESGQSTYVVVHDDEDEFPGAQRCRATCSLRWKGQDDM LCMVCGDKASGYHYNALTCEGCKGFFRRSITKNAVYSCKNGGHCEMDMYMRRKCQECRLK KCKAVGMLAECLLTEIQCKSKRLRKNFKHGPALYPAIQVEDEGADTKHVSSSTRSGKGVQ DNMTLTQEEHRLLNTIVTVHQKSMIPLGETSKLLQEGSNPELSFLRLSEVSVLHIQGLMK FTKGLPGFENLTTEDQAALQKASKTEVMFLHVAQLYGTMRPAKPSAGTLEVHNPSADESV HSPENFLKEGYPSAPLTDITKEFIASLSYFYRRMSELHVSDTEYALLTATTVLFSDRPCL KNKQHIENLQEPVLQLLFKFSKMYHPEDPQHFAHLIGRLTELRTLSHSHSEILRMWKTKD PRLVMLFSEKWDLHSFS >ENSMUSP00000143764.1 pep:known chromosome:GRCm38:3:102940870:102958383:-1 gene:ENSMUSG00000048938.12 transcript:ENSMUST00000197412.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nr1h5 description:nuclear receptor subfamily 1, group H, member 5 [Source:MGI Symbol;Acc:MGI:3026618] MANTYVATSDGYYLAEPTQYYDILPEQFHYQLCDTDFQEPPYCQYSTAQFPPALQSPSLQ SHFNTHGLDPQYSGGSWCGLDARESGQSTYVVVHDDEDEFPGAQRCRATCSLRWKGQDDM LCMVCGDKASGYHYNALTCEGCKGFFRRSITKNAVYSCKNGGHCEMDMYMRRKCQECRLK KCKAVGMLAECLLTEIQCKSKRLRKNFKHGPALYPAIQVEDEGADTKHVSSSTRSGKGVQ DNMTLTQEEHRLLNTIVTVHQKSMIPLGETSKLLQEGSNPELSFLRLSEVSVLHIQGLMK FTKGLPGFENLTTEDQAALQKASKTEVMFLHVAQLYGGKDSTSGSTMRPAKPSAGTLEVH NPSADESVHSPENFLKEGYPSAPLTEE >ENSMUSP00000143445.1 pep:known chromosome:GRCm38:3:102940870:102958383:-1 gene:ENSMUSG00000048938.12 transcript:ENSMUST00000196135.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h5 description:nuclear receptor subfamily 1, group H, member 5 [Source:MGI Symbol;Acc:MGI:3026618] MANTYVATSDGYYLAEPTQYYDILPEQFHYQLCDTDFQEPPYCQYSTAQFPPALQSPSLQ SHFNTHGLDPQYSGGSWCGLDARESGFFRRSITKNAVYSCKNGGHCEMDMYMRRKCQECR LKKCKAVGMLAECLLTEIQCKSKRLRKNFKHGPALYPAIQVEDEGADTKHVSSSTRSGKG VQDNMTLTQEEHRLLNTIVTVHQKSMIPLGETSKLLQEGSNPELSFLRLSEVSVLHIQGL MKFTKGLPGFENLTTEDQAALQKASKTEVMFLHVAQLYGGKDSTSGSTMRPAKPSAGTLE VHNPSADESVHSPENFLKEGYPSAPLTDITKEFIASLSYFYRRMSELHVSDTEYALLTAT TVLFSDRPCLKNKQHIENLQEPVLQLLFKFSKMYHPEDPQHFAHLIGRLTELRTLSHSHS EILRMWKTKDPRLVMLFSEKWDLHSFS >ENSMUSP00000088098.5 pep:known chromosome:GRCm38:2:91710922:91721545:1 gene:ENSMUSG00000027243.8 transcript:ENSMUST00000090608.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Harbi1 description:harbinger transposase derived 1 [Source:MGI Symbol;Acc:MGI:2443194] MAIPITVLDCDLLLYGRGHRTLDRFKLDDVTDEYLMSMYGFPRQFIYFLVELLGASLSRP TQRSRAISPETQILAALGFYTSGSFQTRMGDAIGISQASMSRCVANVTEALVERASQFIH FPVDEAAVQSLKDEFYGLAGMPGVIGVADCIHVAIKAPNAEDLSYVNRKGLHSLNCLVVC DIRGALMTVETSWPGSLQDCAVLQRSSLTSQFETGMPKDSWLLGDSSFFLRSWLLTPLPI PETAAEYRYNRAHSATHSVIERTLQTLCCRFRCLDGSKGALQYSPEKCSHIILACCVLHN ISLDHGMDVWSSPVPGPIDQPPEGEDEHMESLDLEADRIRQELILTHFS >ENSMUSP00000106954.1 pep:known chromosome:GRCm38:2:91712195:91719287:1 gene:ENSMUSG00000027243.8 transcript:ENSMUST00000111322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Harbi1 description:harbinger transposase derived 1 [Source:MGI Symbol;Acc:MGI:2443194] MAIPITVLDCDLLLYGRGHRTLDRFKLDDVTDEYLMSMYGFPRQFIYFLVELLGASLSRP TQRSRAISPETQILAALGFYTSGSFQTRMGDAIGISQASMSRCVANVTEALVERASQFIH FPVDEAAVQSLKDEFYGLAGMPGVIGVADCIHVAIKAPNAEDLSYVNRKGLHSLNCLVVC DIRGALMTVETSWPGSLQDCAVLQRSSLTSQFETGMPKDSWLLGCATQALLYDCSVRESS APES >ENSMUSP00000121420.1 pep:known chromosome:GRCm38:2:91710917:91715430:1 gene:ENSMUSG00000027243.8 transcript:ENSMUST00000142692.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Harbi1 description:harbinger transposase derived 1 [Source:MGI Symbol;Acc:MGI:2443194] MAIPITVLDCDLLLYGRGHRTLDRFKLDDVTDEYLMSMYGFPRQFIYFLVELLGASLSRP TQRSRAISPETQILAALGFYTSGSFQTRMGDAIGISQASMSRCVANVTEALVERASQFIH FPVDEAAVQSLKDEFYGLAGMPGVIGVADCIHVAIKAPNAEDLSYVNRKGLHSLNCLVVC DIRGALMTVETSWPGSLQDCAVLQRSSLTSQFETGMPKDSWLLGKFASINFQFMSIEKLC RRVGEI >ENSMUSP00000116256.1 pep:known chromosome:GRCm38:2:91710853:91712725:1 gene:ENSMUSG00000027243.8 transcript:ENSMUST00000140183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Harbi1 description:harbinger transposase derived 1 [Source:MGI Symbol;Acc:MGI:2443194] MAIPITVLDCDLLLYGRGHRTLDRFKLDDVTDEYLMSMYGFPRQFIYFLVELLGASLSRP TQRSRAISPETQILAALGFYTSGSFQTRMGDAIGISQASMSRCVANVTEALVERASQFIH FPVDEAAVQSLKDEFYGLAGMPGVIGVADCIHVAIKAPNAEDLSYVNRKGLHSLNCL >ENSMUSP00000117640.1 pep:known chromosome:GRCm38:2:91710852:91712327:1 gene:ENSMUSG00000027243.8 transcript:ENSMUST00000128140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Harbi1 description:harbinger transposase derived 1 [Source:MGI Symbol;Acc:MGI:2443194] MAIPITVLDCDLLLYGRGHRTLDRFKLDDVTDEYLMSMYGFPRQ >ENSMUSP00000102048.2 pep:known chromosome:GRCm38:11:116121846:116131124:-1 gene:ENSMUSG00000054517.9 transcript:ENSMUST00000106440.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim65 description:tripartite motif-containing 65 [Source:MGI Symbol;Acc:MGI:2442815] MAAQLLEEDVVTCSICLGRYRDPVTLPCGHSFCGNCIQDSWRSCEKSCPECRQPFPEGAK LSRNVKMSTLLQALPVLPAPPAVTPRRDSATSHSARCLRHGRPLEFFCRTEGLCVCSACT VHDCSHHERALLDVERRVREDQLRARVLVTQQQVAQAETQLQELQEQRSRIESSACTLAS VVSRRFSSLLQALEKQQASTLSDIEVAKKQALGQVLNEKQRLTDHLRALSQYDQSVQDLL AQADDCIFFQELQQLPEPTESLGPLTSPQWNEEQQLSNVNQLLSPLCELLLEEKSLPKVA AKAADAGPLETLGPLAPVPSAVCPLRKKLWQNYRNLTFDPETANQYLHLSHKDQRVTHHF QAQGPAKSGSFELWQVQCTQSFQTGQHYWEVCVCNA >ENSMUSP00000063410.3 pep:known chromosome:GRCm38:11:116124708:116131126:-1 gene:ENSMUSG00000054517.9 transcript:ENSMUST00000067632.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim65 description:tripartite motif-containing 65 [Source:MGI Symbol;Acc:MGI:2442815] MAAQLLEEDVVTCSICLGRYRDPVTLPCGHSFCGNCIQDSWRSCEKSCPECRQPFPEGAK LSRNVKMSTLLQALPVLPAPPAVTPRRDSATSHSARCLRHGRPLEFFCRTEGLCVCSACT VHDCSHHERALLDVERRVREDQLRARVLVTQQQVAQAETQLQELQEQRSRIESSACTLAS VVSRRFSSLLQALEKQQASTLSDIEVAKKQALGQVLNEKQRLTDHLRALSQYDQSVQDLL AQADDCIFFQELQQLPEPTESLGPLTSPQWNEEQQLSNVNQLLSPLCELLLEEKSLPKVA AKAADAGPLETLGPLAPVPSAVCPLRKKLWQNYRNLTFDPETANQYLHLSHKDQRVTHHF QAQGPAKSGSFELWQVQCTQSFQTGQHYWEVRVSDHSVTLGVTYPKLSRQKLGTHTDNIG RGPCSWGLCIQEDSMQAWHNGKSQRLRGLPGQLLGVDLNLTSGCLTFYSLEPRTQPLHTF YAVFSQPLFPVFWLLEGRTLTLCHQPEATLPARPQEEATAPS >ENSMUSP00000125622.1 pep:known chromosome:GRCm38:10:62493833:62527451:-1 gene:ENSMUSG00000020077.14 transcript:ENSMUST00000160987.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgn description:serglycin [Source:MGI Symbol;Acc:MGI:97756] MQVPVGSRLVLALAFVLVWGSSVQGYPARRARYQWVRCKPNGFFANCIEEKGPQFDLIDE SNNIGPPMNNPVLMEGPSKDFISNYDDYGSGSGSGSGSGSGSGSGSGSGFLGDMEWEYQP TDESNIVYFNYKPFDRILTEQNQDQPEDDFII >ENSMUSP00000125533.1 pep:known chromosome:GRCm38:10:62494684:62507938:-1 gene:ENSMUSG00000020077.14 transcript:ENSMUST00000162161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgn description:serglycin [Source:MGI Symbol;Acc:MGI:97756] MQVPVGSRLVLALAFVLVWGSSVQGYPARRARYQWVRCKPNGFFANCIEEKGPQFDLIDE SNNIGPPMNNPVLMEGPSKDFISNYDDYGSGSGSGSGSGSGSGSGSGSGFLGDMEWEYQP TDESNIVYFNYKPFDRILTEQNQDQPEDDFII >ENSMUSP00000124365.1 pep:known chromosome:GRCm38:10:62497915:62527425:-1 gene:ENSMUSG00000020077.14 transcript:ENSMUST00000160643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgn description:serglycin [Source:MGI Symbol;Acc:MGI:97756] MQVPVGSRLVLALAFVLVWGSSVQGYP >ENSMUSP00000125385.1 pep:known chromosome:GRCm38:10:62507676:62508097:-1 gene:ENSMUSG00000020077.14 transcript:ENSMUST00000159020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgn description:serglycin [Source:MGI Symbol;Acc:MGI:97756] MQVPVGSRLVLAL >ENSMUSP00000020271.6 pep:known chromosome:GRCm38:10:62494428:62507755:-1 gene:ENSMUSG00000020077.14 transcript:ENSMUST00000020271.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgn description:serglycin [Source:MGI Symbol;Acc:MGI:97756] MQVPVGSRLVLALAFVLVWGSSVQGYPARRARYQWVRCKPNGFFANCIEEKGPQFDLIDE SNNIGPPMNNPVLMEGPSKDFISNYDDYGSGSGSGSGSGSGSGSGSGSGFLGDMEWEYQP TDESNIVYFNYKPFDRILTEQNQDQPEDDFII >ENSMUSP00000146055.1 pep:known chromosome:GRCm38:7:97788541:97911883:1 gene:ENSMUSG00000030774.13 transcript:ENSMUST00000206984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak1 description:p21 protein (Cdc42/Rac)-activated kinase 1 [Source:MGI Symbol;Acc:MGI:1339975] MSNNGVDIQDKPPAPPMRNTSTMIGAGSKDTGTLNHGSKPLPPNPEEKKKKDRFYRSILP GDKTNKKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKSEQKKN PQAVLDVLEFYNSKKTSNSQKYMSFTDKSAEDYNSSNTLNVKTVSETPAVPPVSEDEDDD DDATPPPVIAPRPEHTKSVYTRSVIEPLPVTPTRDVATSPISPTENNTTPPDALTRNTEK QKKKPKMSDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTAMDVATGQEVAIKQM NLQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYLAGGSLTDVVTETCM DEGQIAAVCRECLQALEFLHSNQVIHRDIKSDNILLGMDGSVKLTDFGFCAQITPEQSKR STMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMIEGEPPYLNENPLRALYLIATNGT PELQNPEKLSAIFRDFLNRCLEMDVEKRGSAKELLQHQFLKIAKPLSSLTPLIAAAKEAT KNNH >ENSMUSP00000146291.1 pep:known chromosome:GRCm38:7:97830928:97866126:1 gene:ENSMUSG00000030774.13 transcript:ENSMUST00000206351.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak1 description:p21 protein (Cdc42/Rac)-activated kinase 1 [Source:MGI Symbol;Acc:MGI:1339975] MSNNGVDIQDKPPAPPMRNTSTMIGAGSKDTGTLNHGSKPLPPNPEEKKKKDRFYRSILP GDKTNKKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKSEQK >ENSMUSP00000033040.5 pep:known chromosome:GRCm38:7:97842935:97912381:1 gene:ENSMUSG00000030774.13 transcript:ENSMUST00000033040.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak1 description:p21 protein (Cdc42/Rac)-activated kinase 1 [Source:MGI Symbol;Acc:MGI:1339975] MSNNGVDIQDKPPAPPMRNTSTMIGAGSKDTGTLNHGSKPLPPNPEEKKKKDRFYRSILP GDKTNKKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKSEQKKN PQAVLDVLEFYNSKKTSNSQKYMSFTDKSAEDYNSSNTLNVKTVSETPAVPPVSEDEDDD DDATPPPVIAPRPEHTKSVYTRSVIEPLPVTPTRDVATSPISPTENNTTPPDALTRNTEK QKKKPKMSDEEILEKLRSIVSVGDPKKKYTRFEKIGQGASGTVYTAMDVATGQEVAIKQM NLQQQPKKELIINEILVMRENKNPNIVNYLDSYLVGDELWVVMEYLAGGSLTDVVTETCM DEGQIAAVCRECLQALEFLHSNQVIHRDIKSDNILLGMDGSVKLTDFGFCAQITPEQSKR STMVGTPYWMAPEVVTRKAYGPKVDIWSLGIMAIEMIEGEPPYLNENPLRALYLIATNGT PELQNPEKLSAIFRDFLNRCLEMDVEKRGSAKELLQHQFLKIAKPLSSLTPLIAAAKEAT KNNH >ENSMUSP00000138684.1 pep:known chromosome:GRCm38:7:97842935:97912381:1 gene:ENSMUSG00000030774.13 transcript:ENSMUST00000156637.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pak1 description:p21 protein (Cdc42/Rac)-activated kinase 1 [Source:MGI Symbol;Acc:MGI:1339975] MSNNGVDIQDKPPAPPMRNTSTMIGAGSKDTGTLNHGSKPLPPNPEEKKKKDRFYRSILP GDKTNKKKEKERPEISLPSDFEHTIHVGFDAVTGEFTGMPEQWARLLQTSNITKSEQKKN PQAVLDVLEFYNSKKTSNSQKYMSFTDKSAEDYNSSNTLCFRHSVYCNGCSHRAGGGH >ENSMUSP00000104787.2 pep:known chromosome:GRCm38:2:169633013:169913093:1 gene:ENSMUSG00000047907.11 transcript:ENSMUST00000109159.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshz2 description:teashirt zinc finger family member 2 [Source:MGI Symbol;Acc:MGI:2153084] MPRRKQQAPKRAAGYAQEEVLKEEEEIKEEEEEEEDSGSVAQHQSSNDTGTDEELETGPE QKGYFSCQNSPGSHLSNQDAENESLLSDASDQVSDVKSVCGRDVSDKKANTHPKLPSEPH NCMDKMTAVYANILSDSYWSGLGLGFKLSNSERRNCDTRNSSGKNDFDWHQDALSKSLQQ NLPSRSVSKPSLFSSVQLYRQSSKLCGSVFTGASRFRCRQCSAAYDTLVELTVHMNETGH YQDDNRKKDKLRPTSYSKPRKRAFQDMDKEDAQKVLKCMFCGDSFDSLQDLSVHMIKTKH YQKVPLKEPVPTISSKMVTPAKKRVFDVNRPCSPDSTTGSLADSFSSQKSANLQLPSNSR YGYQNGASYTWQFEACKSQILKCMECGSSHDTLQQLTTHMMVTGHFLKVTSSASKKGKQL VLDPLAVEKMQSLSETPNSESLAPKPSSNSPSECTASTTELKKESKKEKGEGIEDEQGVK SEDYEDSLQKPLDPTIKYQYLREEDLEDGSKGGGDILKSLENTVTTAINKAQNGAPSWSA YPSIHAAYQLSEGTKPPMAMGSQILQIRPNLANKLRPIAPKWKGMPLGPVPTSLALYTQV KKETEDKDEVVKQCGKESPHEEATSFSQPEGESFSKIEPPSESRKAEPCPLKEEEKPQKE KPEPLEPVSSLTNGCAPANHTPALPSINPLSALQSVLNNHLGKATEPLRSPSCSSPNSST SPVFHKSSLHVVDKPVISPTSTRPAASVARHYLFENTDQPIDLTKSKSKRAESSQAQSCT SPPQKHALCDIADMVKVLPKATTPKPAASSRVPPMKLEIDVRRFEDVSSEVSTLHKRKGR QSNWNPQHLLILQAQFASSLFQTSEGKYLLSDLGPQERMQISKFTGLSMTTISHWLANVK YQLRKTGGTKFLKNMDKGHPIFYCSDCASQFRTPSTYISHLESHLGFQMKDMTRMAADQQ SKVEQEISRVSSAQRSPETIAGEEDTDSKFKCKLCRRTFVSKHAVKLHLSKTHSKSPEHH SQFVADVDEE >ENSMUSP00000104785.1 pep:known chromosome:GRCm38:2:169633676:169888504:1 gene:ENSMUSG00000047907.11 transcript:ENSMUST00000109157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshz2 description:teashirt zinc finger family member 2 [Source:MGI Symbol;Acc:MGI:2153084] MPRRKQQAPKRAAGYAQEEVLKEEEEIKEEEEEEEDSGSVAQHQSSNDTGTDEELETGPE QKGYFSCQNSPGSHLSNQDAENESLLSDASDQVSDVKSVCGRDVSDKKANTHPKLPSEPH NCMDKMTAVYANILSDSYWSGLGLGFKLSNSERRNCDTRNSSGKNDFDWHQDALSKSLQQ NLPSRSVSKPSLFSSVQLYRQSSKLCGSVFTGASRFRCRQCSAAYDTLVELTVHMNETGH YQDDNRKKDKLRPTSYSKPRKRAFQDMDKEDAQKVLKCMFCGDSFDSLQDLSVHMIKTKH YQKVPLKEPVPTISSKMVTPAKKRVFDVNRPCSPDSTTGSLADSFSSQKSANLQLPSNSR YGYQNGASYTWQFEACKSQILKCMECGSSHDTLQQLTTHMMVTGHFLKVTSSASKKGKQL VLDPLAVEKMQSLSETPNSESLAPKPSSNSPSECTASTTELKKESKKEKGEGIEDEQGVK SEDYEDSLQKPLDPTIKYQYLREEDLEDGSKGGGDILKSLENTVTTAINKAQNGAPSWSA YPSIHAAYQLSEGTKPPMAMGSQILQIRPNLANKLRPIAPKWKGMPLGPVPTSLALYTQV KKETEDKDEVVKQCGKESPHEEATSFSQPEGESFSKIEPPSESRKAEPCPLKEEEKPQKE KPEPLEPVSSLTNGCAPANHTPALPSINPLSALQSVLNNHLGKATEPLRSPSCSSPNSST SPVFHKSSLHVVDKPVISPTSTRPAASVARHYLFENTDQPIDLTKSKSKRAESSQAQSCT SPPQKHALCDIADMVKVLPKATTPKPAASSRVPPMKLEIDVRRFEDVSSEVSTLHKRKGR QSNWNPQHLLILQAQFASSLFQTSEGKYLLSDLGPQERMQISKFTGLSMTTISHWLANVK YQLRKTGGTKFLKNMDKGHPIFYCSDCASQFRTPSTYISHLESHLGFQMKDMTRMAADQQ SKVEQEISRVSSAQRSPETIAGEEDTDSKFKCKLCRRTFVSKHAVKLHLSKTHSKSPEHH SQFVADVDEE >ENSMUSP00000140884.1 pep:known chromosome:GRCm38:2:169884893:170071816:1 gene:ENSMUSG00000047907.11 transcript:ENSMUST00000185239.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshz2 description:teashirt zinc finger family member 2 [Source:MGI Symbol;Acc:MGI:2153084] GEGIEDEQGVKSEDYEDSLQKPLDPTIKYQYLREEDLEDGSKGGGDILKSLENTVTTAIN KAQNGAPSWSAYPSIHAAYQLSEGTKPPMAMGSQILQIRPNLANKLRPIAPKWKGMPLGP VPTSLALYTQVKKETEDKDEVVKQCGKESPHEEATSFSQPEGESFSKIEPPSESRKAEPC PLKEEEKPQKEKPEPLEPVSSLTNGCAPANHTPALPSINPLSALQSVLNNHLGKATEPLR SPSCSSPNSSTSPVFHKSSLHVVDKPVISPTSTRPAASVARHYLFENTDQPIDLTKSKSK RAESSQAQSCTSPPQKHALCDIADMVKVLPKATTPKPAASSRVPPMKLEIDVRRFEDVSS EVSTLHKRKGRQSNWNPQHLLILQAQFASSLFQTSEGKYLLSDLGPQERMQISKFTGLSM TTISHWLANVKYQLRKTGGTKFLKNMDKGHPIFYCSDCASQFRTPSTYISHLESHLGFQM KDMTRMAADQQSKVEQEISRVSSAQRSPETIAGEEDTDSKFK >ENSMUSP00000118550.2 pep:known chromosome:GRCm38:2:169884893:170071816:1 gene:ENSMUSG00000047907.11 transcript:ENSMUST00000123300.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshz2 description:teashirt zinc finger family member 2 [Source:MGI Symbol;Acc:MGI:2153084] GEGIEDEQGVKSEDYEDSLQKPLDPTIKYQYLREEDLEDGSKGGGDILKSLENTVTTAIN KAQNGAPSWSAYPSIHAAYQLSEGTKPPMAMGSQILQIRPNLANKLRPIAPKWKGMPLGP VPTSLALYTQVKKETEDKDEVVKQCGKESPHEEATSFSQPEGESFSKIEPPSESRKAEPC PLKEEEKPQKEKPEPLEPVSSLTNGCAPANHTPALPSINPLSALQSVLNNHLGKATEPLR SPSCSSPNSSTSPVFHKSSLHVVDKPVISPTSTRPAASVARHYLFENTDQPIDLTKSKSK RAESSQAQSCTSPPQKHALCDIADMVKVLPKATTPKPAASSRVPPMKLEIDVRRFEDVSS EVSTLHKRKGRQSNWNPQHLLILQAQFASSLFQTSEGKYLLSDLGPQERMQISKFTGLSM TTISHWLANVKYQLRKTGGTKFLKNMDKGHPIFYCSDCASQFRTPSTYISHLESHLGFQM KDMTRMAADQQSKVEQEISRVSSAQRSPETIAGEEDTDSKFKCKLCRRTFVSKHAVKLHL SKTHSKSPEHHSQFVADVDEE >ENSMUSP00000120013.2 pep:known chromosome:GRCm38:2:169885835:169913093:1 gene:ENSMUSG00000047907.11 transcript:ENSMUST00000140699.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tshz2 description:teashirt zinc finger family member 2 [Source:MGI Symbol;Acc:MGI:2153084] QKHALCDIADMVKVLPKATTPKPAASSRVPPMKLEIDVRRFEDVSSEVSTLHKRKGRQSN WNPQHLLILQAQFASSLFQTSEGKYLLSDLGPQERMQISKFTGLSMTTISHWLANVKYQL RKTGGTKFLKNMDKGHPIFYCSDCASQFRTPSTYISHLESHLGFQMKDMTRMAADQQSKV EQEISRVSSAQRSPETIAGEEDTDSKFK >ENSMUSP00000102049.1 pep:known chromosome:GRCm38:11:116105752:116110237:-1 gene:ENSMUSG00000020773.11 transcript:ENSMUST00000106441.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim47 description:tripartite motif-containing 47 [Source:MGI Symbol;Acc:MGI:1917374] MDGSGPFSCPICLEPLREPVTLPCGHNFCLACLGALWPHRSAGGTGGSGGPARCPLCQEP FPDGLQLRKNHTLSELLQLRQGSVPGPMSAPASGSTRGATPEPSAPSAPPPAPEPSAPCA PEQWPAGEEPVRCDACPEGAALPAALSCLSCLASFCSAHLAPHERSPALRGHRLVPPLRR LEESLCPRHLRPLERYCRVERVCLCEACATQDHRGHELVPLEQERALQEVEQSKVLSAAE DRMDELGAGIAQSRRTVALIKSAAVAERERVSQMFAEATATLQSFQNEVMGFIEEGEATM LGRSQGDLRRQEEQRSRLSKARHNLGQVPEADSVSFLQELLALRLALEEGCGPGPGPPRE LSFTKSSQVVKAVRDTLISACASQWEQLRGLGSNEDGLQKLGSEDVESQDPDSTSLLESE APRDYFLKFAYIVDLDSDTADKFLQLFGTKGVKRVLCPINYPESPTRFTHCEQVLGEGAL DRGTYYWEVEIIEGWVSVGVMAEGFSPQEPYDRGRLGRNAHSCCLQWNGRGFSVWFCGLE APLPHAFSPTVGVCLEYADHALAFYAVRDGKLSLLRRLKASRPRRSGALASPTDPFQSRL DSHFSGLFNHRLKPAFFLESVDAHLQIGPLKKSCITVLKRR >ENSMUSP00000021120.5 pep:known chromosome:GRCm38:11:116105752:116110246:-1 gene:ENSMUSG00000020773.11 transcript:ENSMUST00000021120.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim47 description:tripartite motif-containing 47 [Source:MGI Symbol;Acc:MGI:1917374] MDGSGPFSCPICLEPLREPVTLPCGHNFCLACLGALWPHRSAGGTGGSGGPARCPLCQEP FPDGLQLRKNHTLSELLQLRQGSVPGPMSAPASGSTRGATPEPSAPSAPPPAPEPSAPCA PEQWPAGEEPVRCDACPEGAALPAALSCLSCLASFCSAHLAPHERSPALRGHRLVPPLRR LEESLCPRHLRPLERYCRVERVCLCEACATQDHRGHELVPLEQERALQEVEQSKVLSAAE DRMDELGAGIAQSRRTVALIKSAAVAERERVSQMFAEATATLQSFQNEVMGFIEEGEATM LGRSQGDLRRQEEQRSRLSKARHNLGQVPEADSVSFLQELLALRLALEEGCGPGPGPPRE LSFTKSSQVVKAVRDTLISACASQWEQLRGLGSNEDGLQKLGSEADVESQDPDSTSLLES EAPRDYFLKFAYIVDLDSDTADKFLQLFGTKGVKRVLCPINYPESPTRFTHCEQVLGEGA LDRGTYYWEVEIIEGWVSVGVMAEGFSPQEPYDRGRLGRNAHSCCLQWNGRGFSVWFCGL EAPLPHAFSPTVGVCLEYADHALAFYAVRDGKLSLLRRLKASRPRRSGALASPTDPFQSR LDSHFSGLFNHRLKPAFFLESVDAHLQIGPLKKSCITVLKRR >ENSMUSP00000119444.1 pep:known chromosome:GRCm38:2:119112793:119123846:1 gene:ENSMUSG00000027323.10 transcript:ENSMUST00000140939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51 description:RAD51 homolog [Source:MGI Symbol;Acc:MGI:97890] MAMQMQLEASADTSVEEESFGPQPISRLEQCGINANDVKKLEEAGYHTVEAVAYAPKKEL INIKGISEAKADKILRSEEGGGSLALESPCGFWELNLRLLQEQELLTTLQLVAVIVAFTE AAKLVPMGFTTATEFHQRRSEIIQITTGSKELDKLLQGGIETGSITEMFGEFRTGKTQ >ENSMUSP00000028795.3 pep:known chromosome:GRCm38:2:119112807:119137290:1 gene:ENSMUSG00000027323.10 transcript:ENSMUST00000028795.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51 description:RAD51 homolog [Source:MGI Symbol;Acc:MGI:97890] MAMQMQLEASADTSVEEESFGPQPISRLEQCGINANDVKKLEEAGYHTVEAVAYAPKKEL INIKGISEAKADKILTEAAKLVPMGFTTATEFHQRRSEIIQITTGSKELDKLLQGGIETG SITEMFGEFRTGKTQICHTLAVTCQLPIDRGGGEGKAMYIDTEGTFRPERLLAVAERYGL SGSDVLDNVAYARGFNTDHQTQLLYQASAMMVESRYALLIVDSATALYRTDYSGRGELSA RQMHLARFLRMLLRLADEFGVAVVITNQVVAQVDGAAMFAADPKKPIGGNIIAHASTTRL YLRKGRGETRICKIYDSPCLPEAEAMFAINADGVGDAKD >ENSMUSP00000119101.1 pep:known chromosome:GRCm38:2:119112817:119137037:1 gene:ENSMUSG00000027323.10 transcript:ENSMUST00000152327.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad51 description:RAD51 homolog [Source:MGI Symbol;Acc:MGI:97890] MAMQMQLEASADTSVEEESFGPQPISRLEQCGINANDVKKLEEAGYHTVEAVAYAPKKEL INIKGISEAKADKILTEAAKLVPMGFTTATEFHQRRSEIIQITTGSKELDKLLQGGIETG SITEMFGEFRTGKTQICHTLAVTCQLPIDRGGGEGKAMYIDTEGTFRPERLLAVAERYGL SGSDVLDNVAYARGFNTDHQTQLLYQASAMMVESSLVSQW >ENSMUSP00000117939.1 pep:known chromosome:GRCm38:2:119123803:119147445:1 gene:ENSMUSG00000027323.10 transcript:ENSMUST00000151406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51 description:RAD51 homolog [Source:MGI Symbol;Acc:MGI:97890] XITEMFGEFRTGKTQICHTLAVTCQLPIDRGGGEGKAMYIDTEGTFRPERLLAVAERYGL SGSDVLDNVAYARGFNTDHQTQLLYQASAMMVESRYALLIVDSATALYRTDYSGRGELSA RQMHLARFLRMLLRLADEFGVAVVITNQVVAQVDGAAMFAADPKKPIGGNIIAHASTTRV GNPVGVFIPRGCQIRGRRQSHRQLIVEQQLEAFPLVLLAALVQLIGLQQDQLHILSA >ENSMUSP00000133185.1 pep:known chromosome:GRCm38:18:7868832:7926505:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000171042.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MRDAADPSPPNKMLRRSNSPENKYSDSTGHNKAKNVHTQRVRERDGGTSYSPQENSHNHS ALHSSNSHSSNPSNNPSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLE REQRQKEANKLAVNSFPKDRDYRREVMQATATSGFTSGKSAPGDKSISHSCTTPSTSSAS GLNPTSAPPTSASAVPVSPVPQSTIPPLLQDPNLFRQLLPALQATLQLNNSNVDISKINE AVTQASLQSIIHKFLTAGPSAFNITSLISQAAQLSTQAQPSNQSPMSLTSDASSPRSYVS PRISTPQTNTVPMKPLISTPPVSSQPKVSTPVVKQGPVSHSATQQPVTADKQQSHDPVSP RSLQRLSSQRSPSPGPNHTCSSNASTATVVPQNASARPACSLTPTLAAHFNDNLIKHVQG WPADHAEKQASRLREEAHNMGSVHMSEICTELKNLRSLVRVCEIQATLREQRILFLRQQI KELEKLKNQNSFMV >ENSMUSP00000128060.1 pep:known chromosome:GRCm38:18:7868845:7871688:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000166378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MRDAADPSPPNKMLRRSNSPENKYSDST >ENSMUSP00000074454.4 pep:known chromosome:GRCm38:18:7868850:7927067:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000074919.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MRDAADPSPPNKMLRRSNSPENKYSDSTGHNKAKNVHTQRVRERDGGTSYSPQENSHNHS ALHSSNSHSSNPSNNPSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLE REQRQKEANKLAVNSFPKDRDYRREVMQATATSGFTSGMEDKHSSDASSLLPQNILSQTS RHNDKDYRLPRAETHSSSTPVQHPIKPVVHPTATPSTVPSSPFTLQSDHQPKKSFDANGA STLSKLPTPTASLPAQKTERKESAPGDKSISHSCTTPSTSSASGLNPTSAPPTSASAVPV SPVPQSTIPPLLQDPNLFRQLLPALQATLQLNNSNVDISKINEVLTAAVTQASLQSIIHK FLTAGPSAFNITSLISQAAQLSTQAQPSNQSPMSLTSDASSPRSYVSPRISTPQTNTVPM KPLISTPPVSSQPKVSTPVVKQGPVSHSATQQPVTADKQQSHDPVSPRSLQRLSSQRSPS PGPNHTCSSNASTATVVPQNASARPACSLTPTLAAHFNDNLIKHVQGWPADHAEKQASRL REEAHNMGSVHMSEICTELKNLRSLVRVCEIQATLREQRILFLRQQIKELEKLKNQNSFM V >ENSMUSP00000127848.1 pep:known chromosome:GRCm38:18:7869066:7926139:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000171486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MRDAADPSPPNKMLRRSNSPENKYSDSTGHNKAKNVHTQRVRERDGGTSYSPQENSHNHS ALHSSNSHSSNPSNNPSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLE REQRQKEANKLAVNSFPKDRDYRREVMQATATSGFTSGKSAPGDKSISHSCTTPSTSSAS GLNPTSAPPTSASAVPVSPVPQSTIPPLLQDPNLFRQLLPALQATLQLNNSNVDISKINE AAVTQASLQSIIHKFLTAGPSAFNITSLISQAAQLSTQAQPSNQSPMSLTSDASSPRSYV SPRISTPQTNTVPMKPLISTPPVSSQPKVSTPVVKQGPVSHSATQQPVTADKQQSHDPVS PRSLQRLSSQRSPSPGPNHTCSSNASTATVVPQNASARPACSLTPTLAAHFNDNLIKHVQ GWPADHAEKQASRLREEAHNMGSVHMSEICTELKNLRSLVRVCEIQATLREQRILFLRQQ IKELEKLKNQNSFMV >ENSMUSP00000127434.1 pep:known chromosome:GRCm38:18:7869196:7871613:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000170932.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MR >ENSMUSP00000132117.1 pep:known chromosome:GRCm38:18:7869197:7929028:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000167020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MVMYARKQQRLSDGCHDRRGDSQPFQALKYSSKSHPSSGDHRHEKMRDAADPSPPNKMLR RSNSPENKYSDSTGHNKAKNVHTQRVRERDGGTSYSPQENSHNHSALHSSNSHSSNPSNN PSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLEREQRQKEANKLAVNS FPKDRDYRREVMQATATSGFTSGMEDKHSSDASSLLPQNILSQTSRHNDKDYRLPRAETH SSSTPVQHPIKPVVHPTATPSTVPSSPFTLQSDHQPKKSFDANGASTLSKLPTPTASLPA QKTERKESAPGDKSISHSCTTPSTSSASGLNPTSAPPTSASAVPVSPVPQSTIPPLLQDP NLFRQLLPALQATLQLNNSNVDISKINEVLTAAVTQASLQSIIHKFLTAGPSAFNITSLI SQAAQLSTQAQPSNQSPMSLTSDASSPRSYVSPRISTPQTNTVPMKPLISTPPVSSQPKV STPVVKQGPVSHSATQQPVTADKQQSHDPVSPRSLQRLSSQRSPSPGPNHTCSSNASTAT VVPQNASARPACSLTPTLAAHFNDNLIKHVQGWPADHAEKQASRLREEAHNMGSVHMSEI CTELKNLRSLVRVCEIQATLREQRILFLRQQIKELEKLKNQNSFMV >ENSMUSP00000089746.4 pep:known chromosome:GRCm38:18:7869493:7927519:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000092112.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MVMYARKQQRLSDGCHDRRGDSQPFQALKYSSKSHPSSGDHRHEKMRDAADPSPPNKMLR RSNSPENKYSDSTGHNKAKNVHTQRVRERDGGTSYSPQENSHNHSALHSSNSHSSNPSNN PSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLEREQRQKEANKLAVNS FPKDRDYRREVMQATATSGFTSGKSAPGDKSISHSCTTPSTSSASGLNPTSAPPTSASAV PVSPVPQSTIPPLLQDPNLFRQLLPALQATLQLNNSNVDISKINEVLTAAVTQASLQSII HKFLTAGPSAFNITSLISQAAQLSTQAQPSNQSPMSLTSDASSPRSYVSPRISTPQTNTV PMKPLISTPPVSSQPKVSTPVVKQGPVSHSATQQPVTADKQQSHDPVSPRSLQRLSSQRS PSPGPNHTCSSNASTATVVPQNASARPACSLTPTLAAHFNDNLIKHVQGWPADHAEKQAS RLREEAHNMGSVHMSEICTELKNLRSLVRVCEIQATLREQRILFLRQQIKELEKLKNQNS FMV >ENSMUSP00000128321.1 pep:known chromosome:GRCm38:18:7869501:7926139:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000172018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MRDAADPSPPNKMLRRSNSPENKYSDSTGHNKAKNVHTQRVRERDGGTSYSPQENSHNHS ALHSSNSHSSNPSNNPSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLE REQRQKEANKLAVNSFPKDRDYRREVMQATATSGFTSGMEDKHSSDASSLLPQNILSQTS RHNDKDYRLPRAETHSSSTPVQHPIKPVVHPTATPSTVPSSPFTLQSDHQPKKSFDANGA STLSKLPTPTASLPAQKTERKESAPGDKSISHSCTTPSTSSASGLNPTSAPPTSASAVPV SPVPQSTIPPLLQDPNLFRQLLPALQATLQLNNSNVDISKINEAAVTQASLQSIIHKFLT AGPSAFNITSLISQAAQLSTQAQPSNQSPMSLTSDASSPRSYVSPRISTPQTNTVPMKPL ISTPPVSSQPKVSTPVVKQGPVSHSATQQPVTADKQQSHDPVSPRSLQRLSQRSPSPGPN HTCSSNASTATVVPQNASARPACSLTPTLAAHFNDNLIKHVQGWPADHAEKQASRLREEA HNMGSVHMSEICTELKNLRSLVRVCEIQATLREQRILFLRQQIKELEKLKNQNSFMV >ENSMUSP00000131032.1 pep:known chromosome:GRCm38:18:7869530:7916091:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000168446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MVMYARKQQRLSDGCHDRRGDSQPFQGGDVLQALKYSSKSHPSSGDHRHEKMRDAADPSP PNKMLRRSNSPENKYSDSTGHNKAKNVHTQRVRERDGGTSYSPQENSHNHSALHSSNSHS SNPSNNPSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLEREQRQKEAN KLAVNSFPKDRDYRREVMQATATSGFTSGKSAPGDKSISHSCT >ENSMUSP00000132532.1 pep:known chromosome:GRCm38:18:7869716:7916284:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000166062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MRDAADPSPPNKMLRRSNSPENKYSDSTGHNKAKNVHTQRVRERDGGTSYSPQENSHNHS ALHSSNSHSSNPSNNPSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWEKPKEWLE REQRQKEANKLAVNSFPKDRDYRREVMQATATSGFTSGMEDKHSSDASSLLPQNILSQTS RHNDKDYRLPRAETHSSSTPVQHPIKPVVHPTATPSTVPSSPFTLQSDHQPKKSFDANGA STLSKLPTPTASLPAQKTERKESAPGDKSISHSCTTPSTSSASGLNPTSAPPTSASAVPV SPVPQSTIPPLLQDPNLFRQLLPALQATLQLNNSNVDIS >ENSMUSP00000131750.1 pep:known chromosome:GRCm38:18:7869737:7905492:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000169010.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] MRDAADPSPPNKMLRRSNSPENKYSDSTGHNKAKNVHTQRVRERDGGTSYSPQENSHNHS ALHSSNSHSSNPSNNPSKTSDAPYDSADDWSEHISSSGKKYYYNCRTEVSQWE >ENSMUSP00000126815.1 pep:known chromosome:GRCm38:18:7871712:7921490:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000165854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] XHTQRVRERDGGTSYSPQENSHNHSALHSSNSHSSNPSNNPSKTSDAPYDSADDWSEHIS SSGKKYYYNCRTEVSQWEKPKEWLEREQRQKEANKLAVNSFPKDRDYRREVMQATATSGF TSGMEDKHSSDASSLLPQNILSQTSRHNDKDYRLPRAETHSSSTPVQHPITSLISQAAQL STQAQPSNQSPMSLTSDASSPRSYVSPRISTPQTNTVPMKPLISTPPVSSQPKVSTPVVK QGPVSHSATQQPVTADKQQSHDPVSPRSLQRLSSQRSPSPGPNHTCSSNASTATVV >ENSMUSP00000131141.1 pep:known chromosome:GRCm38:18:7905475:7916291:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000170854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] EVSQWEKPKEWLEREQRQKEANKLAVNSFPKDRDYRREVMQATATSGFTSGMEDKHSSDA SSLLPQNILSQTSRHNDKDYRLPRAETHSSSTPVQHPIKPVVHPTATPSTVPSSPFTLQS DHQPKKSFDANGASTLSKLPTPTASLPAQKTERKAFSSFHLESAPGDKSISHSCTTPSTS SASGLNPTSAPPTSASAVPVSPVPQSTIPPLLQDPNLFRQLLPALQATLQLNNSNVDISK I >ENSMUSP00000125989.1 pep:known chromosome:GRCm38:18:7910619:7918503:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000167542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] XTHSSSTPVQHPIKPVVHPTATPSTVPSSPFTLQSDHQPKKSFDANGASTLSKLPTPTAS LPAQKTERKESAPGDKSISHSCTTPSTSSASGLNPTSAPPTSASAVPVSPVPQSTIPPLL QDPNLFRQLLPALQATLQLNNSNVDISKINEAVTQASLQSIIHKFLTAGPSAFNITSLIS QAAQLSTQAQPSNQSPMSLTSDASSPRSYVSP >ENSMUSP00000130467.1 pep:known chromosome:GRCm38:18:7917639:7973547:1 gene:ENSMUSG00000024283.14 transcript:ENSMUST00000169478.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wac description:WW domain containing adaptor with coiled-coil [Source:MGI Symbol;Acc:MGI:2387357] SLISQAAQLSTQAQPSNQSPMSLTSDASSPRSYVSPRISTPQTNTVPMKPLISTPPVSSQ PKVSTPVVKQGPVSHSATQQPVTADKQQSHDPVSPRSLQRLSQRSPSPGPNHTCSSNAST ATVVPQNASARPACSLTPTLAAHFNDNLIKHVQGWPADHAEKQASRLREEAHNMGSVHMS EICTELKNLRSLVRVCEIQATLREQRILFLRQQIKEIEKL >ENSMUSP00000032196.6 pep:known chromosome:GRCm38:6:108783099:108825278:1 gene:ENSMUSG00000030105.8 transcript:ENSMUST00000032196.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl8b description:ADP-ribosylation factor-like 8B [Source:MGI Symbol;Acc:MGI:1914416] MLALISRLLDWFRSLFWKEEMELTLVGLQYSGKTTFVNVIASGQFSEDMIPTVGFNMRKV TKGNVTIKIWDIGGQPRFRSMWERYCRGVNAIVYMIDAADREKIEASRNELHNLLDKPQL QGIPVLVLGNKRDLPNALDEKQLIEKMNLSAIQDREICCYSISCKEKDNIDITLQWLIQH SKSRRS >ENSMUSP00000145035.1 pep:known chromosome:GRCm38:6:108794897:108821773:1 gene:ENSMUSG00000030105.8 transcript:ENSMUST00000204483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl8b description:ADP-ribosylation factor-like 8B [Source:MGI Symbol;Acc:MGI:1914416] MIPTVGFNMRKVTKGNVTIKIWDIGGQPRFRSMWERYCRGVNAIVYMIDAADREKIEASR NELHNLLDKPQLQGIPVLVLGNKRDLPNALDEKQLIEKMNLSAIQDREICCYSISCKEKD NIDITLQWLIQHSKSRRS >ENSMUSP00000015511.8 pep:known chromosome:GRCm38:6:115954811:115995005:-1 gene:ENSMUSG00000030123.15 transcript:ENSMUST00000015511.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxnd1 description:plexin D1 [Source:MGI Symbol;Acc:MGI:2154244] MARRAAGGAPPSARAAAAVPLRPRPHSRGPGLLPLPLLLLLGAARAGALEIQRRFPSPTP TNNFALDGTAGTVYLAAVNRLYQLSSANLSLEAEATVGPVPDSPLCHAPQLPQASCEHPR RLTDNYNKILQLDPGQGLVVACGSIYQGLCQLRRRGNISALAVSFPPAAPTAEPVTVFPS MLNVAANHPNASTVGLVLPPTSGTGGSRLLVGATYTGFGSAFFPRNRSLEDHRFENTPEI AIRSLDARGDLAKLFTFDLNPSDDNILKIKQGAKEQHKLGFVRAFLHPAVPPHSAQPYAY LALNSEARAGDKDSQARSLLARICLPRGAGGDAKKLTESYIQLGLQCAGGAGRGDLYSRL VSVFPAREQFFAVFERPQGAPGARNAPAALCAFRFDDVQAAIRAARTACFVEPAPDVVAV LDSVVQGTGPACESKRNIQLQPEQLDCGAAHLQHPLTILQPLRASPVFRAPGLTAVAVAS ANNYTAVFLGTATGRLLKISLNESMQVVSRRVLTVAYGEPVHHVMQFDPMDPGYLYLMTS HQMARVKVAACEVHSTCGDCVGAADAYCGWCTLETRCTLQQDCTNSSQPHFWTSASEGPS RCPAMTVLPSEIDVHRDYTGMILQISGSLPSLSGMEMACDYGNGVRTVARVPGPAYDHQI AYCNLLPRAQFPSFPAGQDHVTVEMSVRVKGHNIVSANFTIYDCSRIGQVYPHTACTSCL STQWPCSWCIQLHSCVSNQSQCQDSPNPTSPQDCPQILPSPLAPVPTGGSQDILVPLTKA TFFHGSSLECSFGLEESFEAVWANNSLVRCNQVVLHTTQKSQVFPLSLKLKGPPDRFLDS PNPMTVVVYNCAMGSPDCSQCLGREDLGHLCVWNDGCRLRGPLQPLPGTCPAPEIRAIEP LSGPLDGGTLLTIRGRNLGRRLSDVAHGVWIGSVACEPLADRYTVSEEIVCATGPAAGAF SDVVTVNVSKEGRSREQFSYVLPTVHSLEPSMGPKAGGTRITIHGSDLNVGSMLQVLVND TDPCTDLTRTATSITCTVPGGTLPSPVPVCVRFESRGCVHGNLTFWYMQNPVITAISPGR SPVSGGRTITVAGERFHMVQNVSMAVHHIGREPTFCKVLNSTLITCPSPGALSNASAPVD FFINGRAYADEAAEELLDPAEAQRGSRFRLDYLPNPQFSTAKREKWIKHHPGEPLTLVIH KEQDSLGLESHEYHIKIGQVSCDIQIISDRVIHCSVNESLGTAEGQLPITIQVGNFNQTI ATLQLGGSETAIVVSIVICSVLLLLSVVALFVFCTKSRRAERYWQKTLLQMEEMESQIRE EIRKGFAELQTDMTDLTKELNRSQGIPFLEYKHFVTRTFFPKCSSLYEERYVLPSKTLNS QGGSPPQETHPLLGEWNIPEHCRPSMEEGISLFSSLLNNKHFLIVFVHALEQQKDFAVRD RCSLASLLTIALHGKLEYYTSIMKELLVDLIDASAAKNPKLMLRRTESVVEKMLTNWMSI CMYGCLRETVGEPFFLLLCAIKQQINKGSIDAITGKARYTLNEEWLLRENIEAKPRNLNV SFQGCGMDSLSVRAMDTDTLTQVKEKILEAFCKNVPYSQWPRAEDVDLEWFASSTQSYVL RDLDDTSVVEDGRKKLNTLAHYKIPEGASLAMSLTDKKDSTLGRVKDLDTEKYFHLVLPT DELVEPKKSHRQSHRKKVLPEIYLTRLLSTKGTLQKFLDDLFKAILSIREDKPPLAVKYF FDFLEEQAEKRGISDPDTLHIWKTNSLPLRFWVNILKNPQFVFDIEKTDHIDACLSVIAQ AFIDACSISDLQLGKDSPTNKLLYAKEIPEYRKTVQRYYKQIQDMTPLSEQEMNAHLAEE SRKYQNEFNTNVAMAEIYKYAKRYRPQIMAALEANPTARRTQLQHKFEQVVALMENNIYE CYSEA >ENSMUSP00000115650.1 pep:known chromosome:GRCm38:6:115966963:115969426:-1 gene:ENSMUSG00000030123.15 transcript:ENSMUST00000131590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxnd1 description:plexin D1 [Source:MGI Symbol;Acc:MGI:2154244] XSQCLGREDLGHLCVWNDGCRLRGPLQPLPGTCPAPEIRAIEPLSGPLDGGTLLTIRGRN LGRRLSDVAHGVWIGSVACEPLADRYTVSEEIVCATGPAAGAFSDVVTVNVSKEGRSREQ FSYVVLVNDTDPCTDLTRTATSITCTVPGGTLPSPVPVCVRFESRGCVHGNLTFWYMQNP VITAISPGRSPVSGGRTITVAGERFHMVQNVSMAVHHIGREPTFCKVLNSTLIT >ENSMUSP00000027682.8 pep:known chromosome:GRCm38:1:135160234:135167681:-1 gene:ENSMUSG00000026424.8 transcript:ENSMUST00000027682.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr37l1 description:G protein-coupled receptor 37-like 1 [Source:MGI Symbol;Acc:MGI:1928503] MRWLWPLAVSLVVVLTVGLSGVSGAATSSLGGHRAKVQEQQSRPRRGTKDEGPKEVQHYV PEEWAEYPKPIHPAGLQPTKTLEATSPNPDKDGATPGNGQELRVNLTGTPSQRLQIQNPL YPVTESSYSAYAIMLLALVVFAVGIVGNLSVMCIVWHSYYLKSAWNSILASLALWDFLVL FFCLPIVIFNEITKQRLLGDVSCRAVPFMEVSSLGVTTFSLCALGIDRFHVATSTLPKVR PIERCQSILAKLAVIWVGSMMLAVPELLLWQLAQEPAPTAGTVDSCIMKPSADLPESVYS LVMTYQNARMWWYFGCYFCLPILFTVTCQLVTWRVRGPPGRKPECRAGRHEQCESQLNST VVGLTVVYAFCTLPENVCNIVVAYLSTELTRQTLDLLGLINQFSTFFKGAITPVLLLCIC RPLGQAFLDCCCCCCCEECGGASDSSATVSADSKLKAEVSSSIYFHKPRESPPLLPLGTP C >ENSMUSP00000104783.1 pep:known chromosome:GRCm38:2:170108646:170142678:-1 gene:ENSMUSG00000052056.14 transcript:ENSMUST00000109155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp217 description:zinc finger protein 217 [Source:MGI Symbol;Acc:MGI:2685408] MPTQSLLVYMDGPEVLSSSLGSQMEVDDAVPIKGPVAVPFRAAQEKSMAVAEGHMPLDCM FCSQVFSQAEDLSQHVLLQHRPTLCEPAVLRVEAEYLSPLDKALEPTEPALEKSGEDPEE LSCDVCGQTFPVAFDVESHMKKHKDSFTYGCSMCGRRFKEPWFLKNHMRTHNGKSGTRSK LQQGMESPVTINEVVQPHAPGSISTPYKICMVCGFLFPNKQSLIEHSKVHAKETVPSASN VAPDDHREEPTSPREELLQFLNLRPRSTAGSTVKPMTCIPQLDPFTTYQAWQLATKGKVA VAQEEVKESGQEGSTDNDDSCSEKEELGEIWVGGKAEGSGKSKTSKSSCPGLSQDKEKPR HANSEVPSGDSDPKLSSSKEKPTHCSECSKAFRTYHQLVLHSRVHRKDRRTDALSPTMAV DARQPGTCSPDLSTTLEDSGAGDREGGSEDGSEDGLPDGLHLDKNDDGGKAKPLPSSREC SYCGKFFRSNYYLNIHLRTHTGEKPYKCEFCEYAAAQKTSLRYHLERHHKDKQPVDAAAE SKSEGRSQEPQDALLTAADSAQTKNLKRFLDGAKDVKGSPPAKQLKEMPSVFQSVLSPAH SNDTQDFHKHAADSAEKARKSPAPTYLDMQRKKAGEPQASSPVCRLEGVGSLAREAGHRE KMDQDADYRHKPGADCQDRPLNLSLGPLHACPAISLSKCLIPSIACPFCTFKTFYPEVLM MHQRLEHRYNPDPHKNGSSKSVLRNRRTGCPPALLGKDVPPLSGLHKPKAKTAFSPHSKS LHSEKARQGASGPSKAPQTSGPDNSTLAPSNLKSHRSQPNAGGTSATRQQQSELFPKGGV PAAMDKVKRPEPKLKSLPASPSQSPLSSNNSNGSVEYPVKVDGPWAQQGRDYYCHRNSGS AAAEYSEPHPKRLKSSAVSLDTEHAGTNGRRGFELPKYHVVRSITSLLPPECVRPPPVLP HKARFLSPGEVESPSVLAVQKPYSASGPLYTCGPVGHAGGSPALEGKRPVSHQHLSNSML QKRSYENFIGNTHYRPNDKKP >ENSMUSP00000067334.6 pep:known chromosome:GRCm38:2:170108643:170131220:-1 gene:ENSMUSG00000052056.14 transcript:ENSMUST00000063710.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp217 description:zinc finger protein 217 [Source:MGI Symbol;Acc:MGI:2685408] MPTQSLLVYMDGPEVLSSSLGSQMEVDDAVPIKGPVAVPFRAAQEKSMAVAEGHMPLDCM FCSQVFSQAEDLSQHVLLQHRPTLCEPAVLRVEAEYLSPLDKALEPTEPALEKSGEDPEE LSCDVCGQTFPVAFDVESHMKKHKDSFTYGCSMCGRRFKEPWFLKNHMRTHNGKSGTRSK LQQGMESPVTINEVVQPHAPGSISTPYKICMVCGFLFPNKQSLIEHSKVHAKETVPSASN VAPDDHREEPTSPREELLQFLNLRPRSTAGSTVKPMTCIPQLDPFTTYQAWQLATKGKVA VAQEEVKESGQEGSTDNDDSCSEKEELGEIWVGGKAEGSGKSKTSKSSCPGLSQDKEKPR HANSEVPSGDSDPKLSSSKEKPTHCSECSKAFRTYHQLVLHSRVHRKDRRTDALSPTMAV DARQPGTCSPDLSTTLEDSGAGDREGGSEDGSEDGLPDGLHLDKNDDGGKAKPLPSSREC SYCGKFFRSNYYLNIHLRTHTGEKPYKCEFCEYAAAQKTSLRYHLERHHKDKQPVDAAAE SKSEGRSQEPQDALLTAADSAQTKNLKRFLDGAKDVKGSPPAKQLKEMPSVFQSVLSPAH SNDTQDFHKHAADSAEKARKSPAPTYLDMQRKKAGEPQASSPVCRLEGVGSLAREAGHRE KMDQDADYRHKPGADCQDRPLNLSLGPLHACPAISLSKCLIPSIACPFCTFKTFYPEVLM MHQRLEHRYNPDPHKNGSSKSVLRNRRTGCPPALLGKDVPPLSGLHKPKAKTAFSPHSKS LHSEKARQGASGPSKAPQTSGPDNSTLAPSNLKSHRSQPNAGGTSATRQQQSELFPKGGV PAAMDKVKRPEPKLKSLPASPSQSPLSSNNSNGSVEYPVKVDGPWAQQGRDYYCHRNSGS AAAEYSEPHPKRLKSSAVSLDTEHAGTNGRRGFELPKYHVVRSITSLLPPECVRPPPVLP HKARFLSPGEVESPSVLAVQKPYSASGPLYTCGPVGHAGGSPALEGKRPVSHQHLSNSML QKRSYENFIGNTHYRPNDKKP >ENSMUSP00000053897.5 pep:known chromosome:GRCm38:11:98412470:98437716:1 gene:ENSMUSG00000062312.5 transcript:ENSMUST00000058295.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erbb2 description:erb-b2 receptor tyrosine kinase 2 [Source:MGI Symbol;Acc:MGI:95410] MELAAWCRWGFLLALLSPGAAGTQVCTGTDMKLRLPASPETHLDMLRHLYQGCQVVQGNL ELTYLPANASLSFLQDIQEVQGYMLIAHNRVKHVPLQRLRIVRGTQLFEDKYALAVLDNR DPLDNVTTAAPGRTPEGLRELQLRSLTEILKGGVLIRGNPQLCYQDMVLWKDVLRKNNQL APVDMDTNRSRACPPCAPTCKDNHCWGESPEDCQILTGTICTSGCARCKGRLPTDCCHEQ CAAGCTGPKHSDCLACLHFNHSGICELHCPALITYNTDTFESMLNPEGRYTFGASCVTTC PYNYLSTEVGSCTLVCPPNNQEVTAEDGTQRCEKCSKPCAGVCYGLGMEHLRGARAITSD NIQEFAGCKKIFGSLAFLPESFDGNPSSGVAPLKPEHLQVFETLEEITGYLYISAWPESF QDLSVFQNLRVIRGRILHDGAYSLTLQGLGIHSLGLRSLRELGSGLALIHRNTHLCFVNT VPWDQLFRNPHQALLHSGNRPEEACGLEGLVCNSLCARGHCWGPGPTQCVNCSQFLRGQE CVEECRVWKGLPREYVRGKHCLPCHPECQPQNSSETCYGSEADQCEACAHYKDSSSCVAR CPSGVKPDLSYMPIWKYPDEEGICQPCPINCTHSCVDLDERGCPAEQRASPVTFIIATVV GVLLFLIIVVVIGILIKRRRQKIRKYTMRRLLQETELVEPLTPSGAVPNQAQMRILKETE LRKLKVLGSGAFGTVYKGIWIPDGENVKIPVAIKVLRENTSPKANKEILDEAYVMAGVGS PYVSRLLGICLTSTVQLVTQLMPYGCLLDHVREHRGRLGSQDLLNWCVQIAKGMSYLEEV RLVHRDLAARNVLVKSPNHVKITDFGLARLLDIDETEYHADGGKVPIKWMALESILRRRF THQSDVWSYGVTVWELMTFGAKPYDGIPAREIPDLLEKGERLPQPPICTIDVYMIMVKCW MIDSECRPRFRELVSEFSRMARDPQRFVVIQNEDLGPSSPMDSTFYRSLLEDDDMGELVD AEEYLVPQQGFFSPDPALGTGSTAHRRHRSSSARSGGGELTLGLEPSEEEPPRSPLAPSE GAGSDVFDGDLAVGVTKGLQSLSPHDLSPLQRYSEDPTLPLPPETDGYVAPLACSPQPEY VNQPEVRPQSPLTPEGPPPPIRPAGATLERPKTLSPGKNGVVKDVFAFGGAVENPEYLAP RAGTASQPHPSPAFSPAFDNLYYWDQNSSEQGPPPSTFEGTPTAENPEYLGLDVPV >ENSMUSP00000098295.4 pep:known chromosome:GRCm38:5:123228190:123250131:1 gene:ENSMUSG00000029440.15 transcript:ENSMUST00000100729.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd9 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 9 [Source:MGI Symbol;Acc:MGI:1914401] MSGEDVPHRAESSEARAAAVSDIQDLMRRKEEIEAEIKANYDVLESQKGIGMNEPLVDCE GYPRADVDLYQVRTARHNIICLQNDHKALMKQVEEALHQLHARDKEKQARDMAEAREEAM NRRLASNSPVLPQAFARVNSISPGSPASIAGLQVDDEIVEFGSVNTQNFQSVQNVGTVVQ HSEGKPLNVTVIRRGEKHQLRLIPTRWAGKGLLGCNIIPLQR >ENSMUSP00000143635.1 pep:known chromosome:GRCm38:5:123234594:123248527:1 gene:ENSMUSG00000029440.15 transcript:ENSMUST00000197809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd9 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 9 [Source:MGI Symbol;Acc:MGI:1914401] QKGIGMNEPLVDCEGYPRADVDLYQVRTARHNIICLQNDHKALMKQVEEALHQLHARDKE KQARDMAEAREEAMNRRLASNSPVLPQAFARVNSISPGSPASIAGLQVDDEIVEFGSVNT QNFQSVQNVGTVVQHSEGPLNVTVIRRGEKHQLRLIPTRWAGKGLLGCNIIPLQR >ENSMUSP00000142433.1 pep:known chromosome:GRCm38:5:123241835:123248527:1 gene:ENSMUSG00000029440.15 transcript:ENSMUST00000198183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd9 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 9 [Source:MGI Symbol;Acc:MGI:1914401] LQVDDEIVEFGSVNTQNFQSVQNVGTVVQHSEGLQHYSSPEMTVSWDLPAGSCLSWPRAW AWRRFLVL >ENSMUSP00000032770.2 pep:known chromosome:GRCm38:7:68236610:68264233:-1 gene:ENSMUSG00000030553.3 transcript:ENSMUST00000032770.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgpep1l description:pyroglutamyl-peptidase I-like [Source:MGI Symbol;Acc:MGI:1925694] MDSSAKAIFLEQCGKNRGYRDSDVRGFQPEDGVCLPGGPEVRLSVVNMKEVCRRVAVENV EVAFSRDAGRYICDYTYYLSLHLGTGHAALIHVPPLSHWLSASLLGKALRVIIQEMLEEI GKVQTQSTAA >ENSMUSP00000146996.1 pep:known chromosome:GRCm38:7:68236939:68264233:-1 gene:ENSMUSG00000030553.3 transcript:ENSMUST00000207874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgpep1l description:pyroglutamyl-peptidase I-like [Source:MGI Symbol;Acc:MGI:1925694] MDSQSRCVVVTGFGPFRQHLVNSSWEAVKELSKLGLGVDTDIELRTLQLPVDYREVKRRL TTIWEDFQPQLVVHVGMDSSAKAIFLEQCGKNRGYRDSDVRGFQPEDGVCLPGGPEVRLS VVNMKEVCRRVAVENVEVAFSRDAGRYICDYTYYLSLHLGTGHAALIHVPPLSHWLSASL LGKALRVIIQEMLEEIGKVQTQSTAA >ENSMUSP00000028902.2 pep:known chromosome:GRCm38:2:143078473:143081713:1 gene:ENSMUSG00000027416.2 transcript:ENSMUST00000028902.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otor description:otoraplin [Source:MGI Symbol;Acc:MGI:1888678] MARILILLLGGLVVLCAGHGVFMDKLSSKKLCADEECVYTISLARAQEDYNAPDCRFIDV KKGQQIYVYSKLVTENGAGEFWAGSVYGDHQDEMGIVGYFPSNLVKEQRVYQEATKEIPT TDIDFFCE >ENSMUSP00000032207.8 pep:known chromosome:GRCm38:6:122270596:122282882:-1 gene:ENSMUSG00000030114.8 transcript:ENSMUST00000032207.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrg1 description:killer cell lectin-like receptor subfamily G, member 1 [Source:MGI Symbol;Acc:MGI:1355294] MADSSIYSTLELPEAPQVQDESRWKLKAVLHRPHLSRFAMVALGLLTVILMSLLMYQRIL CCGSKDSTCSHCPSCPILWTRNGSHCYYFSMEKKDWNSSLKFCADKGSHLLTFPDNQGVK LFGEYLGQDFYWIGLRNIDGWRWEGGPALSLRILTNSLIQRCGAIHRNGLQASSCEVALQ WICKKVLY >ENSMUSP00000092283.5 pep:known chromosome:GRCm38:2:119137001:119157034:-1 gene:ENSMUSG00000070730.11 transcript:ENSMUST00000094695.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmdn3 description:regulator of microtubule dynamics 3 [Source:MGI Symbol;Acc:MGI:1915059] MSRLGALGGSRAGLGLLLGTAAGLGFLCVLYSQRWKRTQRHGRSHSLPNSLDYAQASERG RQVTQFRAIPGEAGDAAILPSLSQEGQEKVLDRLDFVLTSLMALRREVEELQRSLQGLAG EIVGEVRSHIEENQRVARRRRFPFARERSDSTGSSSVYFTASSGAALTDAESEGGYTTAN AESDYERDSDKESGDAEDEVSCETVRMGRKDSLDLDVEAASSPAAAALEEDDSSGREDVQ LVLLQADELHQGSKQDKREGFQLLLNNKLAYGSRQDFLWRLARAYSDMSDLTEEESGKKS YALNGKEEAEAALKKGDESAACHLWYAVLCGQLAEHEGISKRIQSGFSFKEHVDKAIELQ PEDPRGHFLLGRWCYQVSHLNWLEKKTATALFESPLSATVQDALQSFLKAEELQPGFSKA GRVYISKCYRELGKNSEARKWMKLAQELPDVTNEDSAFQKDLEELEVILG >ENSMUSP00000123373.1 pep:known chromosome:GRCm38:2:119154024:119156824:-1 gene:ENSMUSG00000070730.11 transcript:ENSMUST00000135419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmdn3 description:regulator of microtubule dynamics 3 [Source:MGI Symbol;Acc:MGI:1915059] MSRLGALGGSRAGLGLLLGTAAGLGFLCVLYSQRWKRTQRHGRSHSLPNSLDYAQASERG RQVTQFRAIPGEAGDAAILPSL >ENSMUSP00000119498.1 pep:known chromosome:GRCm38:2:119154054:119156948:-1 gene:ENSMUSG00000070730.11 transcript:ENSMUST00000129351.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmdn3 description:regulator of microtubule dynamics 3 [Source:MGI Symbol;Acc:MGI:1915059] MSRLGALGGSRAGLGLLLGTAAGLGFLCVLYSQRWKRTQRHGRSHSLPNSLDYAQASERG RQVTQFRAIPGE >ENSMUSP00000115973.1 pep:known chromosome:GRCm38:2:119154064:119156964:-1 gene:ENSMUSG00000070730.11 transcript:ENSMUST00000139519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmdn3 description:regulator of microtubule dynamics 3 [Source:MGI Symbol;Acc:MGI:1915059] MSRLGALGGSRAGLGLLLGTAAGLGFLCVLYSQRWKRTQRHGRSHSLPNSLDYAQASERG RQVTQFRA >ENSMUSP00000100592.1 pep:known chromosome:GRCm38:6:23653898:23655136:-1 gene:ENSMUSG00000078179.1 transcript:ENSMUST00000104979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf148 description:ring finger protein 148 [Source:MGI Symbol;Acc:MGI:1918550] MLLCVSCLSVNGEMNPLGPTPSVHRSVSFWLLRLSVFLLLSLRDSKGKAIWTAHLNITFQ VGNRIISELGESGVFGNHSPLERVSGAVVLPEGWNQNACSPLTNFSRPDQTDTWLALIER GGCTFTHKINLAAEKGANGVIIYNYPGTGNKVFPMSHQGTENIVAVMIGNLKGMELLHLI QQGVYVTIIIEVGRMHMPWLSHYVMSLFTFLAATVTYLFLYCAWRPRVSNSSTRRQRQLK ADVKKAIGQLQLRVLQDGDKELDPNEDSCVVCFDMYKAQDVIRILTCKHFFHKTCIDPWL LAHRTCPMCKCDILKP >ENSMUSP00000099302.3 pep:known chromosome:GRCm38:11:121451949:121617164:1 gene:ENSMUSG00000039230.14 transcript:ENSMUST00000103013.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbcd description:tubulin-specific chaperone d [Source:MGI Symbol;Acc:MGI:1919686] MVLSNEPAASAAEEEVEDDALVRASALEAFGESAETRALLRSLPAVHRERASREVAEERF RVIMDKYQEQPHLLDPHLEWMMNSLLDLVQDETSLPDLVHLAFKFLYIITKVRGYKVFLR LFPHEVANVQPVLDMFTGQNPKDHETWETRYMLLLWLSVTCLIPFDFSRLDGNLSTQTGE TRVPTMDRILQIAESYLVVSDKARDAAAVLVSKFITRPDVKQRKMASFLDWSLCTLAHSS FQTIEGVITMDGMLQALAQIFKHGKREDCLPYANTVLQCLDGCRLPESSHTSLRKLGVKL VQRLGLTFLKPKVATWRYQRGCRSLAANLKLCAPGKSDQKLLSDSLTSDGDEDYDVPEGV ETVIEQLLVGLKDKDTVVRWSAAKGIGRMAGRLPRELADDVVGSVLDCFSFQETDKAWHG GCLALAELGRRGLLLPSRLSEVVTVILKALTYDEKRGACSVGANVRDAACYVCWAFARAY EPQELTPFVTAISSALVIAAVFDRNVNCRRAASAAFQENVGRQGTFPHGIDILTTADYFA VGNISNCFLIISVFIAGFQEYTKPMIDHLVSMKINHWDGAIRELSAKALHNLTPQVPEYI AMHVFPALLLMTQSPDLHTRHGAILACAEVTYALYKLATQSNRLVTDYLDEKAVQSLKQI HQQLCDRHLYRGLGGELMRQAVCILIEKLSLSRMPFKGDATVEGWQWLINDTLRSLHLVS SHSRQQIKEVAVSALTALCSEYYVKEPGEAGSSIAKELIPQYLAELQSPEEMARCGFSSA LGALPGFLLRGHLQQVLSGLRRVTCISPNDVSFAEARRDGLKAISRICQTVGVNTRGPPD EVICKENISEVYAALLGCMSDYTTDSRGDVGAWVREAAMTSLMDLMLLLARTEPVLIEAH ICERVMCCVAQQASEKIDRFRAHAARVFLTLLHFDSPPIPHVPHRQELESLFPRSDVATV NWNAPSQAFPLITQLLGLPTYRYHVLLGLAVSVGGLTESTVRHSTQSLFEYMKGIQKDAQ VLQSFSETLLKVFEDNLLNDRVSVSLLKMLDQLLANGCFDIFTAEENHPFCVKLLTLCKE EIKKSKDIQKLRSSIAVLCGMVQFNGDVRKKILLQLFLLLGHPFPVIRKSTASQVYEMVL TYSDLVDAEVLDEVMSVLSDTAWDAELPVVREQRNRLCDLLGVPRPQLVPKPIPGS >ENSMUSP00000101699.1 pep:known chromosome:GRCm38:11:121488321:121497051:1 gene:ENSMUSG00000039230.14 transcript:ENSMUST00000106093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbcd description:tubulin-specific chaperone d [Source:MGI Symbol;Acc:MGI:1919686] MMLHTVAQIFKHGKREDCLPYANTVLQCLDGCRLPESSHTSLRKLGVKLVQRLGLTFLKP KVATWRYQRGCRSLAANLKLCAPG >ENSMUSP00000124735.1 pep:known chromosome:GRCm38:11:121500036:121576182:1 gene:ENSMUSG00000039230.14 transcript:ENSMUST00000125167.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbcd description:tubulin-specific chaperone d [Source:MGI Symbol;Acc:MGI:1919686] XIGRMAGRLPRELADDVVGSVLDCFSFQETDKAWHGGCLALAELGRRGLLLPSRLSEGQR CDGPGSYVCPVN >ENSMUSP00000027673.4 pep:known chromosome:GRCm38:1:130906985:130911451:-1 gene:ENSMUSG00000026416.12 transcript:ENSMUST00000027673.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il20 description:interleukin 20 [Source:MGI Symbol;Acc:MGI:1890473] MKGFGLAFGLFSAVGFLLWTPLTGLKTLHLGSCVITANLQAIQKEFSEIRDSVQAEDTNI DIRILRTTESLKDIKSLDRCCFLRHLVRFYLDRVFKVYQTPDHHTLRKISSLANSFLIIK KDLSVCHSHMACHCGEEAMEKYNQILSHFIELELQAAVVKALGELGILLRWMEEML >ENSMUSP00000141088.1 pep:known chromosome:GRCm38:1:130907415:130911226:-1 gene:ENSMUSG00000026416.12 transcript:ENSMUST00000188581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il20 description:interleukin 20 [Source:MGI Symbol;Acc:MGI:1890473] MKGFGLAFGLFSAVGFLLWTPLTGLKTLHLGSCVITANLQAIQKEFSEIRDSVSLDRCCF LRHLVRFYLDRVFKVYQTPDHHTLRKISSLANSFLIIKKDLSVCHSHMACHCGEEAMEKY NQILSHFIELELQAAVVKALGELGILLRWMEEML >ENSMUSP00000104191.2 pep:known chromosome:GRCm38:11:70639144:70641820:1 gene:ENSMUSG00000050675.7 transcript:ENSMUST00000108551.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gp1ba description:glycoprotein 1b, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1333744] MALLILLFLLPSPLHSQHTCSISKVTSLLEVNCENKKLTALPADLPADTGILHLGENQLG TFSTASLVHFTHLTYLYLDRCELTSLQTNGKLIKLENLDLSHNNLKSLPSLGWALPALTT LDVSFNKLGSLSPGVLDGLSQLQELYLQNNDLKSLPPGLLLPTTKLKKLNLANNKLRELP SGLLDGLEDLDTLYLQRNWLRTIPKGFFGTLLLPFVFLHANSWYCDCEILYFRHWLQENA NNVYLWKQGVDVKDTTPNVASVRCANLDNAPVYSYPGKGCPTSSGDTDYDDYDDIPDVPA TRTEVKFSTNTKVHTTHWSLLAAAPSTSQDSQMISLPPTHKPTKKQSTFIHTQSPGFTTL PETMESNPTFYSLKLNTVLIPSPTTLEPTSTQATPEPNIQPMLTTSTLTTPEHSTTPVPT TTILTTPEHSTIPVPTTAILTTPKPSTIPVPTTATLTTLEPSTTPVPTTATLTTPEPSTT LVPTTATLTTPEHSTTPVPTTATLTTPEHSTTPVPTTATLTTPEPSTTLTNLVSTISPVL TTTLTTPESTPIETILEQFFTTELTLLPTLESTTTIIPEQNSFLNLPEVALVSSDTSESS PFLNSDFCCFLPLGFYVLGLLWLLFASVVLILLLTWTWHVTPHSLDMEQSAALATSTHTT SLEVQRARQVTMPRAWLLFLQGSLPTFRSSLFLWVRPNGRVGPLVAGRRPSALSQGRGQD LLGTVGIRYSGHSL >ENSMUSP00000057563.6 pep:known chromosome:GRCm38:11:70639122:70642036:1 gene:ENSMUSG00000050675.7 transcript:ENSMUST00000055184.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gp1ba description:glycoprotein 1b, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1333744] MALLILLFLLPSPLHSQHTCSISKVTSLLEVNCENKKLTALPADLPADTGILHLGENQLG TFSTASLVHFTHLTYLYLDRCELTSLQTNGKLIKLENLDLSHNNLKSLPSLGWALPALTT LDVSFNKLGSLSPGVLDGLSQLQELYLQNNDLKSLPPGLLLPTTKLKKLNLANNKLRELP SGLLDGLEDLDTLYLQRNWLRTIPKGFFGTLLLPFVFLHANSWYCDCEILYFRHWLQENA NNVYLWKQGVDVKDTTPNVASVRCANLDNAPVYSYPGKGCPTSSGDTDYDDYDDIPDVPA TRTEVKFSTNTKVHTTHWSLLAAAPSTSQDSQMISLPPTHKPTKKQSTFIHTQSPGFTTL PETMESNPTFYSLKLNTVLIPSPTTLEPTSTQATPEPNIQPMLTTSTLTTPEHSTTPVPT TTILTTPEHSTIPVPTTAILTTPKPSTIPVPTTATLTTLEPSTTPVPTTATLTTPEPSTT LVPTTATLTTPEHSTTPVPTTATLTTPEHSTTPVPTTATLTTPEPSTTLTNLVSTISPVL TTTLTTPESTPIETILEQFFTTELTLLPTLESTTTIIPEQNSFLNLPEVALVSSDTSESS PFLNSDFCCFLPLGFYVLGLLWLLFASVVLILLLTWTWHVTPHSLDMEQSAALATSTHTT SLEVQRARQVTMPRAWLLFLQGSLPTFRSSLFLWVRPNGRVGPLVAGRRPSALSQGRGQD LLGTVGIRYSGHSL >ENSMUSP00000031398.7 pep:known chromosome:GRCm38:5:123171807:123182727:-1 gene:ENSMUSG00000029445.13 transcript:ENSMUST00000031398.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpd description:4-hydroxyphenylpyruvic acid dioxygenase [Source:MGI Symbol;Acc:MGI:96213] MTTYNNKGPKPERGRFLHFHSVTFWVGNAKQAASFYCNKMGFEPLAYRGLETGSREVVSH VIKQGKIVFVLCSALNPWNKEMGDHLVKHGDGVKDIAFEVEDCDHIVQKARERGAKIVRE PWVEQDKFGKVKFAVLQTYGDTTHTLVEKINYTGRFLPGFEAPTYKDTLLPKLPRCNLEI IDHIVGNQPDQEMQSASEWYLKNLQFHRFWSVDDTQVHTEYSSLRSIVVTNYEESIKMPI NEPAPGRKKSQIQEYVDYNGGAGVQHIALKTEDIITAIRHLRERGTEFLAAPSSYYKLLR ENLKSAKIQVKESMDVLEELHILVDYDEKGYLLQIFTKPMQDRPTLFLEVIQRHNHQGFG AGNFNSLFKAFEEEQALRGNLTDLEPNGVRSGM >ENSMUSP00000118702.1 pep:known chromosome:GRCm38:5:123172101:123175915:-1 gene:ENSMUSG00000029445.13 transcript:ENSMUST00000144679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpd description:4-hydroxyphenylpyruvic acid dioxygenase [Source:MGI Symbol;Acc:MGI:96213] XKTEDIITAIRHLRERGTEFLAAPSSYYKLLRENLKSAKIQVKESMDVLEELHILVDYDE KGYLLQIFTKPMQDRPTLFLEVIQRHNHQVRLAPRG >ENSMUSP00000121922.1 pep:known chromosome:GRCm38:5:123177529:123182723:-1 gene:ENSMUSG00000029445.13 transcript:ENSMUST00000154713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpd description:4-hydroxyphenylpyruvic acid dioxygenase [Source:MGI Symbol;Acc:MGI:96213] MGFEPLAYRGLETGSREVVSHVIKQGKIVFVLCSALNPWNKEMGDHLVKHGDGVKDIAFE VEDCDHIVQKARERGAKIVREPWVEQDKFGKVKFAVLQTYGDTTHTLVEKINYTGRFLPG FEAPTYKDTLLPKLPRCNLEIIDHIVGNQPDQEMQSASE >ENSMUSP00000002655.7 pep:known chromosome:GRCm38:11:98437708:98438991:-1 gene:ENSMUSG00000002580.7 transcript:ENSMUST00000002655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mien1 description:migration and invasion enhancer 1 [Source:MGI Symbol;Acc:MGI:1913678] MSGEPAPVSVVPPPGEVEAGSGVHIVVEYCKPCGFEATYLELASAVKEEYPGIEIESRLG GTGAFEIEINGQLVFSKLENGGFPYEKDLMEAIRRASNGEPVEKITNSRPPCVIL >ENSMUSP00000115704.1 pep:known chromosome:GRCm38:12:84285232:84315832:1 gene:ENSMUSG00000072946.12 transcript:ENSMUST00000123614.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgr2 description:prostaglandin reductase 2 [Source:MGI Symbol;Acc:MGI:1916372] MIIQRVVLNSRPGKNGNPVAENFRVEEFSLPDALNEGQVQVRTLYLSVDPYMRCKMNEDT GTDYLAPWQLAQVADGGGIGVVEESKHQKLTKGDFVTSFYWPWQTKAILDGNGLEKVDPQ LVDGHLSYFLGAIGMPGLTSLIGVQEKGHISAGSNQTMVVSGAAGACGSLAGQIGHLLGC SRVVGICGTQEKCLFLTSELGFDAAVNYKTGNVAEQLREACPGGVDVYFDNVGGDISNAV ISQMNENSHIILCGQISQYSNDVPYPPPLPPAVEAIRKERNITRERFTVLNYKDKFEPGI LQLSQWFKEGKLKVKETMAKGLENMGVAFQSMMTGGNVGKQIVCISEDSSL >ENSMUSP00000114559.1 pep:known chromosome:GRCm38:12:84285400:84314102:1 gene:ENSMUSG00000072946.12 transcript:ENSMUST00000135001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgr2 description:prostaglandin reductase 2 [Source:MGI Symbol;Acc:MGI:1916372] MIIQRVVLNSRPGKNGNPVAENFRVEEFSLPDALNEGQVQVRTLYLSVDPYMRCKMNEDT GTDYLAPWQLAQVADGGGIGVVEESKHQKLTKGDFVTSFYWPWQTKAILDGNGLEKVDPQ LVDGHLSYFLGAIGMPGLTSLIGVQEKGHISAGSNQTMVVSGAAGACGSLAGQIGHLLGC SRVVGICGTQEKCLFLTSELGFDAAVNYKTGNVAEQLREACPGGVDVYFDNVGGDISNAV ISQMNENSHIILCGQISQYSNDVPYPPPLPPAVEAIRKERNITRERFTVLNYKDKFEPGI LQLSQWFKEGKLKLL >ENSMUSP00000115506.1 pep:known chromosome:GRCm38:12:84285413:84314102:1 gene:ENSMUSG00000072946.12 transcript:ENSMUST00000123693.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptgr2 description:prostaglandin reductase 2 [Source:MGI Symbol;Acc:MGI:1916372] MIIQRVVLNSRPGKNGNPVAENFRVEEFSLPDALNEGQVQVRTLYLSVDPYMLLTT >ENSMUSP00000114766.1 pep:known chromosome:GRCm38:12:84285374:84309953:1 gene:ENSMUSG00000072946.12 transcript:ENSMUST00000147363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgr2 description:prostaglandin reductase 2 [Source:MGI Symbol;Acc:MGI:1916372] MIIQRVVLNSRPGKNGNPVAENFRVEEFSLPDALNEGQVQVRTLYLSVDPYMRCKMNEDT GTDYLAPWQLAQVADGGGIGVVEESKHQKLTKGDFVTSFYWPWQTKAILDGNGLEKVDPQ LVDGHLSYFLGAIGMPGLTSLIGVQEKGHISAGSNQTMVVSGAAGACGSLAGQIGHLLGC SRVVGICGTQEKCLFLTSELGFDAAVNYKTGNVAEQLREACPGGVDVYFDNVGGDISNAV ISQMNENSHIILCGQISQYSNDVPYPPPLPPAVEAIRKERNITRERFTVLNYKDKFEPGI LQLSQWFKEGKLKFLF >ENSMUSP00000119981.1 pep:known chromosome:GRCm38:12:84285406:84314854:1 gene:ENSMUSG00000072946.12 transcript:ENSMUST00000146377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgr2 description:prostaglandin reductase 2 [Source:MGI Symbol;Acc:MGI:1916372] MIIQRVVLNSRPGKNGNPVAENFRVEEFSLPDALNEGQVQVRTLYLSVDPYMRCKMNEDT GTDYLAPWQLAQVADGGGIGVVEESKHQKLTKGDFVTSFYWPWQTKAILDGNGLEKVDPQ LVDGHLSYFLGAIGMPGLTSLIGVQEKGHISAGSNQTMVVSGAAGACGSLAGQIGHLLGC SRVVGICGTQEKCLFLTSELGFDAAVNYKTGNVAEQLREACPGGVDVYFDNVGGDISNAV ISQMNENSHIILCGQISQYSNDVPYPPPLPPAVEAIRKERNITRERFTVLNYKDKFEPGI LQLSQWFKEGKLKVKETMAKGLENMGVAFQSMMTGGNVGKQIVCISEDSSL >ENSMUSP00000139715.1 pep:known chromosome:GRCm38:9:88839309:88848184:1 gene:ENSMUSG00000067399.10 transcript:ENSMUST00000186363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim43c description:tripartite motif-containing 43C [Source:MGI Symbol;Acc:MGI:3647365] MESDNLQDPQEETLTCSICQGIFMDPVYLRCGHKFCETCLLLFQEDIKFPAYCPTCRQPC NQRYINDISLKKQVFIVRKKRLMEYLNSEEHKCVTHKAKKMIFCDKSKILLCHLCSDSQE HSGHTHCSIDVAVQEKMEELLKHMDSLWQRLKIQQNYVEKERRMTLWWLSVKLREEVIKR VYGKQCPPLSEERDQHIECLRHQSNTTLEELRKSEATIVHERNQLTEVYQELMTMSQRPY QELLVQDLDDLFRRSKLAAKVDMPQGMIPRLRAHSIPGLTARLNSFRVKISFKHSIMFGY NSVRPFDIRLLHESTSLDSAKTHRVSWGKKSFSRGKYYWEVDLKDYRRWTVGVCKDPWLR GRSYVVTPTDIFLLECLRNKDHYILITRIGREHYIEKPVGQVGVFLDCEGGYVSFVDVAK SSLILSYSPGTFHCAVRPFFFAAYT >ENSMUSP00000129255.2 pep:known chromosome:GRCm38:9:88839164:88848190:1 gene:ENSMUSG00000067399.10 transcript:ENSMUST00000163255.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim43c description:tripartite motif-containing 43C [Source:MGI Symbol;Acc:MGI:3647365] MESDNLQDPQEETLTCSICQGIFMDPVYLRCGHKFCETCLLLFQEDIKFPAYCPTCRQPC NQRYINDISLKKQVFIVRKKRLMEYLNSEEHKCVTHKAKKMIFCDKSKILLCHLCSDSQE HSGHTHCSIDVAVQEKMEELLKHMDSLWQRLKIQQNYVEKERRMTLWWLKSVKLREEVIK RVYGKQCPPLSEERDQHIECLRHQSNTTLEELRKSEATIVHERNQLTEVYQELMTMSQRP YQELLVQDLDDLFRRSKLAAKVDMPQGMIPRLRAHSIPGLTARLNSFRVKISFKHSIMFG YNSVRPFDIRLLHESTSLDSAKTHRVSWGKKSFSRGKYYWEVDLKDYRRWTVGVCKDPWL RGRSYVVTPTDIFLLECLRNKDHYILITRIGREHYIEKPVGQVGVFLDCEGGYVSFVDVA KSSLILSYSPGTFHCAVRPFFFAAYT >ENSMUSP00000112221.1 pep:known chromosome:GRCm38:9:100492293:100546134:-1 gene:ENSMUSG00000032475.15 transcript:ENSMUST00000116522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nck1 description:non-catalytic region of tyrosine kinase adaptor protein 1 [Source:MGI Symbol;Acc:MGI:109601] MAEEVVVVAKFDYVAQQEQELDIKKNERLWLLDDSKSWWRVRNSMNKTGFVPSNYVERKN SARKASIVKNLKDTLGIGKVKRKPSVPDTASPADDSFVDPGERLYDLNMPAFVKFNYMAE REDELSLIKGTKVIVMEKCSDGWWRGSYNGQIGWFPSNYVTEEGDSPLGDHVGSLSEKLA AVVNNLNTGQVLHVVQALYPFSSSNDEELNFEKGDVMDVIEKPENDPEWWKCRKINGMVG LVPKNYVTIMQNNPLTSGLEPSPPQCDYIRPSLTGKFAGNPWYYGKVTRHQAEMALNERG HEGDFLIRDSESSPNDFSVSLKAQGKNKHFKVQLKETVYCIGQRKFSTMEELVEHYKKAP IFTSEQGEKLYLVKHLS >ENSMUSP00000108495.3 pep:known chromosome:GRCm38:9:100495003:100506856:-1 gene:ENSMUSG00000032475.15 transcript:ENSMUST00000112874.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nck1 description:non-catalytic region of tyrosine kinase adaptor protein 1 [Source:MGI Symbol;Acc:MGI:109601] MDWLNIFKDFFSIGKVKRKPSVPDTASPADDSFVDPGERLYDLNMPAFVKFNYMAEREDE LSLIKGTKVIVMEKCSDGWWRGSYNGQIGWFPSNYVTEEGDSPLGDHVGSLSEKLAAVVN NLNTGQVLHVVQALYPFSSSNDEELNFEKGDVMDVIEKPENDPEWWKCRKINGMVGLVPK NYVTIMQNNPLTSGLEPSPPQCDYIRPSLTGKFAGNPWYYGKVTRHQAEMALNERGHEGD FLIRDSESSPNDFSVSLKAQGKNKHFKVQLKETVYCIGQRKFSTMEELVEHYKKAPIFTS EQGEKLYLVKHLS >ENSMUSP00000140971.1 pep:known chromosome:GRCm38:9:100497577:100546122:-1 gene:ENSMUSG00000032475.15 transcript:ENSMUST00000186591.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nck1 description:non-catalytic region of tyrosine kinase adaptor protein 1 [Source:MGI Symbol;Acc:MGI:109601] MAEEVVVVAKFDYVAQQEQELDIKKNERLWLLDDSKSWWRVRNSMNKTGFVPSNYVERKN SARKASIVKNLKDTLGIGKVKRKPSVPDTASPADDSFVDPGERLYDLNMPAFVKFNYMAE REDELSLIKGTKVIVMEKCSDGWWRGSYNGQIGWFPSNYVTEEGDSPLGDHVGSLSEKLA AVVNNLNTGQVLHVVQALYPFSSSND >ENSMUSP00000140143.1 pep:known chromosome:GRCm38:9:100497800:100545731:-1 gene:ENSMUSG00000032475.15 transcript:ENSMUST00000188670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nck1 description:non-catalytic region of tyrosine kinase adaptor protein 1 [Source:MGI Symbol;Acc:MGI:109601] MAEEVVVVAKFDYVAQQEQELDIKKNERLWLLDDSKSWWRVRNSMNKTGFVPSNYVERKN SARKASIVKNLKDTLGIGKVKRKPSVPDTASPADDSFVDPGERLYDLNMPAFVKFNYMAE REDELSLIKGTK >ENSMUSP00000111015.2 pep:known chromosome:GRCm38:6:23262773:23839420:-1 gene:ENSMUSG00000017978.18 transcript:ENSMUST00000115358.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps2 description:Ca2+-dependent activator protein for secretion 2 [Source:MGI Symbol;Acc:MGI:2443963] MLDPSSSEEESDEGLEEESREVLVAPGVSQRAPPAAAREGRRDAPGRSGGGSGGGAARPV SPSPSVLSEGRNEPELQLDEEQERRIRLQLYVFVVRCIAYPFNAKQPTDMARRQQKLNKQ QLQLLKERFQAFLNGETQIVADEAFCNAVRSYYEVFLKSDRVARMVQSGGCSANDFREVF KKNIEKRVRSLPEIDGLSKETVLSSWIAKYDAIYRGEEDLCKQPNRMTLSAVSELILSKE QLYEMFQQILGIKKLEHQLLYNACQLDNADEQAAQIRRELDGRLQLAEKMAKERRFPRFI SKEMESMYIEELRASVNLLMANLESLPVSKGGPEFKLQKLKRSQNSAFLDLGDENEIQLS KSDVVLSFTLEIVIMEVQGLKSVAPNRIVYCTMEVEGGEKLQTDQAEASRPQWGTQGDFN TTHPRPVVKVKLFTESTGVLALEDKELGRVVLYPTSNSSKSAELHRMTVPKNSQDSDLKI KLAVRMDKPAHMKHSGYLYALGQKVWKRWKKRYFVLVQVSQYTFAMCSYREKKSEPQELM QLEGYTVDYTDPHPGLQGGQVFFNAVKEGDTVIFASDDEQDRILWVQAMYRATGQSYKPV PAVQSQKLNPKGGALHADAQLYADRFQKHGMDEFISASPCKLDHAFLFRILQRQTLDHRL NDSYSCLGWFSPGQVFVLDEYCARYGVRGCHRHLCYLTELMEHSENGAVIDPTLLHYSFA FCASHVHGNRPDGIGTVSVEEKERFEEIKDRLSSLLENQISHFRYCFPFGRPEGALKATL SLLERVLMKDIATPIPAEEVKKVVRKCLEKAALINYTRLTEYAKIEGPAEKETETMNQAT PARKLEEVLHLAELCIEVLQQNEEHHAEGREAFAWWPDLLAEHAEKFWALFTVDMDTALE AQPQDSWDSFPLFQLLNNFLRNDTLLCNGKFHKHLQEIFVPLVVRYVDLMESAIAQSIHR GFEQETWQPVNNGSTTSEDLFWKLDALQMFVFDLHWPEQEFAHHLEQRLKLMASDMIEAC VKRTRTAFELKLQKANKTTDLRIPASVCTMFNVLVDAKKQSTKLCALDGGQEQQYHSKID DLIDNTVKEIIALLVSKFVSVLEGVLSKLSRYDEGTFFSSILSFTVKAAAKYVDVPKPGM DLADTYIMFVRQNQDILREKVNEEMYIEKLFDQWYSNSMKVICVWLADRLDLQLHIYQLK TLIKIVKKTYRDFRLQGVLEGTLNSKTYDTLHRRLTVEEATASVSEGGGLQGITMKDSDE EEEG >ENSMUSP00000018122.7 pep:known chromosome:GRCm38:6:23262823:23839225:-1 gene:ENSMUSG00000017978.18 transcript:ENSMUST00000018122.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps2 description:Ca2+-dependent activator protein for secretion 2 [Source:MGI Symbol;Acc:MGI:2443963] MLDPSSSEEESDEGLEEESREVLVAPGVSQRAPPAAAREGRRDAPGRSGGGSGGGAARPV SPSPSVLSEGRNEPELQLDEEQERRIRLQLYVFVVRCIAYPFNAKQPTDMARRQQKLNKQ QLQLLKERFQAFLNGETQIVADEAFCNAVRSYYEVFLKSDRVARMVQSGGCSANDFREVF KKNIEKRVRSLPEIDGLSKETVLSSWIAKYDAIYRGEEDLCKQPNRMTLSAVSELILSKE QLYEMFQQILGIKKLEHQLLYNACQLDNADEQAAQIRRELDGRLQLAEKMAKERRFPRFI SKEMESMYIEELRASVNLLMANLESLPVSKGGPEFKLQKLKRSQNSAFLDLGDENEIQLS KSDVVLSFTLEIVIMEVQGLKSVAPNRIVYCTMEVEGGEKLQTDQAEASRPQWGTQGDFN TTHPRPVVKVKLFTESTGVLALEDKELGRVVLYPTSNSSKSAELHRMTVPKNSQDSDLKI KLAVRMDKPAHMKHSGYLYALGQKVWKRWKKRYFVLVQVSQYTFAMCSYREKKSEPQELM QLEGYTVDYTDPHPGLQGGQVFFNAVKEGDTVIFASDDEQDRILWVQAMYRATGQSYKPV PAVQSQKLNPKGGALHADAQLYADRFQKHGMDEFISASPCKLDHAFLFRILQRQTLDHRL NDSYSCLGWFSPGQVFVLDEYCARYGVRGCHRHLCYLTELMEHSENGAVIDPTLLHYSFA FCASHVHGNRPDGIGTVSVEEKERFEEIKDRLSSLLENQISHFRYCFPFGRPEGALKATL SLLERVLMKDIATPIPAEEVKKVVRKCLEKAALINYTRLTEYAKIEGPAEKETETMNQAT PARKLEEVLHLAELCIEVLQQNEEHHAEGREAFAWWPDLLAEHAEKFWALFTVDMDTALE AQPQDSWDSFPLFQLLNNFLRNDTLLCNGKFHKHLQEIFVPLVVRYVDLMESAIAQSIHR GFEQETWQPVKNIANSLPNVALPKVPSLPLNLPQIPSFSTPPWMASLYESTNGSTTSEDL FWKLDALQMFVFDLHWPEQEFAHHLEQRLKLMASDMIEACVKRTRTAFELKLQKANKTTD LRIPASVCTMFNVLVDAKKQSTKLCALDGGQEQQYHSKIDDLIDNTVKEIIALLVSKFVS VLEGVLSKLSRYDEGTFFSSILSFTVKAAAKYVDVPKPGMDLADTYIMFVRQNQDILREK VNEEMYIEKLFDQWYSNSMKVICVWLADRLDLQLHIYQLKTLIKIVKKTYRDFRLQGVLE GTLNSKTYDTLHRRLTVEEATASVSEGGGLQGITMKDSDEEEEG >ENSMUSP00000138167.1 pep:known chromosome:GRCm38:6:23262838:23839137:-1 gene:ENSMUSG00000017978.18 transcript:ENSMUST00000142913.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps2 description:Ca2+-dependent activator protein for secretion 2 [Source:MGI Symbol;Acc:MGI:2443963] MLDPSSSEEESDEGLEEESREVLVAPAARPVSPSPSVLSEGRNEPELQLDEEQERRIRLQ LYVFVVRCIAYPFNAKQPTDMARRQQKLNKQQLQLLKERFQAFLNGETQIVADEAFCNAV RSYYEVFLKSDRVARMVQSGGCSANDFREVFKKNIEKRVRSLPEIDGLSKETVLSSWIAK YDAIYRGEEDLCKQPNRMTLSAVSELILSKEQLYEMFQQILGIKKLEHQLLYNACQLDNA DEQAAQIRRELDGRLQLAEKMAKERRFPRFISKEMESMYIEELRASVNLLMANLESLPVS KGGPEFKLQKLKRSQNSAFLDLGDENEIQLSKSDVVLSFTLEIVIMEVQGLKSVAPNRIV YCTMEVEGGEKLQTDQAEASRPQWGTQGDFNTTHPRPVVKVKLFTESTGVLALEDKELGR VVLYPTSNSSKSAELHRMTVPKNSQDSDLKIKLAVRMDKPAHMKHSGYLYALGQKVWKRW KKRYFVLVQVSQYTFAMCSYREKKSEPQELMQLEGYTVDYTDPHPGLQGGQVFFNAVKEG DTVIFASDDEQDRILWVQAMYRATGQSYKPVPAVQSQKLNPKGGALHADAQLYADRFQKH GMDEFISASPCKLDHAFLFRILQRQTLDHRLNDSYSCLGWFSPGQVFVLDEYCARYGVRG CHRHLCYLTELMEHSENGAVIDPTLLHYSFAFCASHVHGNRPDGIGTVSVEEKERFEEIK DRLSSLLENQISHFRYCFPFGRPEGALKATLSLLERVLMKDIATPIPAEEVKKVVRKCLE KAALINYTRLTEYAKIEGPAEKETETMNQATPARKLEEVLHLAELCIEVLQQNEEHHAEG REAFAWWPDLLAEHAEKFWALFTVDMDTALEAQPQDSWDSFPLFQLLNNFLRNDTLLCNG KFHKHLQEIFVPLVVRYVDLMESAIAQSIHRGFEQETWQPVKNIANSLPNVALPKVPSLP LNLPQIPSFSTPPWMASLYESTNGSTTSEDLFWKLDALQMFVFDLHWPEQEFAHHLEQRL KLMASDMIEACVKRTRTAFELKLQKANKTTDLRIPASVCTMFNVLVDAKKQSTKLCALDG GQEQQYHSKIDDLIDNTVKEIIALLVSKFVSVLEGVLSKLSRYDEGTFFSSILSFTVKAA AKYVDVPKPGMDLADTYIMFVRQNQDILREKVNEEMYIEKLFDQWYSNSMKVICVWLADR LDLQLHIYQLKTLIKIVKKTYRDFRLQGVLEGTLNSKTYDTLHRRLTVEEATASVSEGGG LQGITMKDSDEEEEG >ENSMUSP00000111018.2 pep:known chromosome:GRCm38:6:23262839:23839224:-1 gene:ENSMUSG00000017978.18 transcript:ENSMUST00000115361.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps2 description:Ca2+-dependent activator protein for secretion 2 [Source:MGI Symbol;Acc:MGI:2443963] MLDPSSSEEESDEGLEEESREVLVAPGVSQRAPPAAAREGRRDAPGRSGGGSGGGAARPV SPSPSVLSEGRNEPELQLDEEQERRIRLQLYVFVVRCIAYPFNAKQPTDMARRQQKLNKQ QLQLLKERFQAFLNGETQIVADEAFCNAVRSYYEVFLKSDRVARMVQSGGCSANDFREVF KKNIEKRVRSLPEIDGLSKETVLSSWIAKYDAIYRGEEDLCKQPNRMTLSAVSELILSKE QLYEMFQQILGIKKLEHQLLYNACQLDNADEQAAQIRRELDGRLQLAEKMAKERRFPRFI SKEMESMYIEELRASVNLLMANLESLPVSKGGPEFKLQKLKRSQNSAFLDLGDENEIQLS KSDVVLSFTLEIVIMEVQGLKSVAPNRIVYCTMEVEGGEKLQTDQAEASRPQWGTQGDFN TTHPRPVVKVKLFTESTGVLALEDKELGRVVLYPTSNSSKSAELHRMTVPKNSQDSDLKI KLAVRMDKPAHMKHSGYLYALGQKVWKRWKKRYFVLVQVSQYTFAMCSYREKKSEPQELM QLEGYTVDYTDPHPGLQGGQVFFNAVKEGDTVIFASDDEQDRILWVQAMYRATGQSYKPV PAVQSQKLNPKGGALHADAQLYADRFQKHGMDEFISASPCKLDHAFLFRILQRQTLDHRL NDSYSCLGWFSPGQVFVLDEYCARYGVRGCHRHLCYLTELMEHSENGAVIDPTLLHYSFA FCASHVHGNRPDGIGTVSVEEKERFEEIKDRLSSLLENQISHFRYCFPFGRPEGALKATL SLLERVLMKDIATPIPAEEVKKVVRKCLEKAALINYTRLTEYAKIEETMNQATPARKLEE VLHLAELCIEVLQQNEEHHAEAFAWWPDLLAEHAEKFWALFTVDMDTALEAQPQDSWDSF PLFQLLNNFLRNDTLLCNGKFHKHLQEIFVPLVVRYVDLMESAIAQSIHRGFEQETWQPV NNGSTTSEDLFWKLDALQMFVFDLHWPEQEFAHHLEQRLKLMASDMIEACVKRTRTAFEL KLQKANKTTDLRIPASVCTMFNVLVDAKKQSTKLCALDGGQEFRNQWQQYHSKIDDLIDN TVKEIIALLVSKFVSVLEGVLSKLSRYDEGTFFSSILSFTVKAAAKYVDVPKPGMDLADT YIMFVRQNQDILREKVNEEMYIEKLFDQWYSNSMKVICVWLADRLDLQLHIYQLKTLIKI VKKTYRDFRLQGVLEGTLNSKTYDTLHRRLTVEEATASVSEGGGLQGITMKDSDEEEEG >ENSMUSP00000115866.1 pep:known chromosome:GRCm38:6:23263508:23587424:-1 gene:ENSMUSG00000017978.18 transcript:ENSMUST00000125350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps2 description:Ca2+-dependent activator protein for secretion 2 [Source:MGI Symbol;Acc:MGI:2443963] EIQLSKSDVVLSFTLEIVIMEVQGLKSVAPNRIVYCTMEVEGGEKLQTDQAEASRPQWGT QGDFNTTHPRPVVKVKLFTESTGVLALEDKELGRVVLYPTSNSSKSAELHRMTVPKNSQD SDLKIKLAVRMDKPAHMKHSGYLYALGQKVWKRWKKRYFVLVQVSQYTFAMCSYREKKSE PQELMQLEGYTVDYTDPHPGLQGGQVFFNAVKEGDTVIFASDDEQDRILWVQAMYRATGQ SYKPVPAVQSQKLNPKGGALHADAQLYADRFQKHGMDEFISASPCKLDHAFLFRILQRQT LDHRLNDSYSCLGWFSPGQVFVLDEYCARYGVRGCHRHLCYLTELMEHSENGAVIDPTLL HYSFAFCASHVHGNRPDGIGTVSVEEKERFEEIKDRLSSLLENQISHFRYCFPFGRPEGA LKATLSLLERVLMKDIATPIPAEEVKKVVRKCLEKAALINYTRLTEYAKIEETMNQATPA RKLEEVLHLAELCIEVLQQNEEHHAEAFAWWPDLLAEHAEKFWALFTVDMDTALEAQPQD SWDSFPLFQLLNNFLRNDTLLCNGKFHKHLQEIFVPLVVRYVDLMESAIAQSIHRGFEQE TWQPVNNGSTTSEDLFWKLDALQMFVFDLHWPEQEFAHHLEQRLKLMASDMIEACVKRTR TAFELKLQKANKTTDLRIPASVCTMFNVLVDAKKQSTKLCALDGGQEQQYHSKIDDLIDN TVKEIIALLVSKFVSVLEGVLSKLSRYDEGTFFSSILSFTVKAAAKYVDVPKPGMDLADT YIMFVRQNQDILREKVNEEMYIEKLFDQWYSNSMKVICVWLADRLDLQLHIYQLKTLIKI VKKTYRDFRLQGVLEGTLNSKTYDTLHRRLTVEEATASVSEGGGLQGITMKDSDEEEEG >ENSMUSP00000064876.8 pep:known chromosome:GRCm38:6:23263508:23839143:-1 gene:ENSMUSG00000017978.18 transcript:ENSMUST00000069074.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps2 description:Ca2+-dependent activator protein for secretion 2 [Source:MGI Symbol;Acc:MGI:2443963] MLDPSSSEEESDEGLEEESREVLVAPGVSQRAPPAAAREGRRDAPGRSGGGSGGGAARPV SPSPSVLSEGRNEPELQLDEEQERRIRLQLYVFVVRCIAYPFNAKQPTDMARRQQKLNKQ QLQLLKERFQAFLNGETQIVADEAFCNAVRSYYEVFLKSDRVARMVQSGGCSANDFREVF KKNIEKRVRSLPEIDGLSKETVLSSWIAKYDAIYRGEEDLCKQPNRMTLSAVSELILSKE QLYEMFQQILGIKKLEHQLLYNACQLDNADEQAAQIRRELDGRLQLAEKMAKERRFPRFI SKEMESMYIEELRASVNLLMANLESLPVSKGGPEFKLQKLKRSQNSAFLDLGDENEIQLS KSDVVLSFTLEIVIMEVQGLKSVAPNRIVYCTMEVEGGEKLQTDQAEASRPQWGTQGDFN TTHPRPVVKVKLFTESTGVLALEDKELGRVVLYPTSNSSKSAELHRMTVPKNSQDSDLKI KLAVRMDKPAHMKHSGYLYALGQKVWKRWKKRYFVLVQVSQYTFAMCSYREKKSEPQELM QLEGYTVDYTDPHPGLQGGQVFFNAVKEGDTVIFASDDEQDRILWVQAMYRATGQSYKPV PAVQSQKLNPKGGALHADAQLFGKDADRFQKHGMDEFISASPCKLDHAFLFRILQRQTLD HRLNDSYSCLGWFSPGQVFVLDEYCARYGVRGCHRHLCYLTELMEHSENGAVIDPTLLHY SFAFCASHVHGNRPDGIGTVSVEEKERFEEIKDRLSSLLENQISHFRYCFPFGRPEGALK ATLSLLERVLMKDIATPIPAEEVKKVVRKCLEKAALINYTRLTEYAKIEETMNQATPARK LEEVLHLAELCIEVLQQNEEHHAEAFAWWPDLLAEHAEKFWALFTVDMDTALEAQPQDSW DSFPLFQLLNNFLRNDTLLCNGKFHKHLQEIFVPLVVRYVDLMESAIAQSIHRGFEQETW QPVKNIANSLPNVALPKVPSLPLNLPQIPSFSTPPWMASLYESTNGSTTSEDLFWKLDAL QMFVFDLHWPEQEFAHHLEQRLKLMASDMIEACVKRTRTAFELKLQKANKTTDLRIPASV CTMFNVLVDAKKQSTKLCALDGGQEQQYHSKIDDLIDNTVKEIIALLVSKFVSVLEGVLS KLSRYDEGTFFSSILSFTVKAAAKYVDVPKPGMDLADTYIMFVRQNQDILREKVNEEMYI EKLFDQWYSNSMKVICVWLADRLDLQLHIYQLKTLIKIVKKTYRDFRLQGVLEGTLNSKT YDTLHRRLTVEEATASVSEGGGLQGITMKDSDEEEEG >ENSMUSP00000111013.2 pep:known chromosome:GRCm38:6:23408825:23839263:-1 gene:ENSMUSG00000017978.18 transcript:ENSMUST00000115356.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps2 description:Ca2+-dependent activator protein for secretion 2 [Source:MGI Symbol;Acc:MGI:2443963] MLDPSSSEEESDEGLEEESREVLVAPGVSQRAPPAAAREGRRDAPGRSGGGSGGGAARPV SPSPSVLSEGRNEPELQLDEEQERRIRLQLYVFVVRCIAYPFNAKQPTDMARRQQKLNKQ QLQLLKERFQAFLNGETQIVADEAFCNAVRSYYEVFLKSDRVARMVQSGGCSANDFREVF KKNIEKRVRSLPEIDGLSKETVLSSWIAKYDAIYRGEEDLCKQPNRMTLSAVSELILSKE QLYEMFQQILGIKKLEHQLLYNACQLDNADEQAAQIRRELDGRLQLAEKMAKERRFPRFI SKEMESMYIEELRASVNLLMANLESLPVSKGGPEFKLQKLKRSQNSAFLDLGDENEIQLS KSDVVLSFTLEIVIMEVQGLKSVAPNRIVYCTMEVEGGEKLQTDQAEASRPQWGTQGDFN TTHPRPVVKVKLFTESTGVLALEDKELGRVVLYPTSNSSKSAELHRMTVPKNSQDSDLKI KLAVRMDKPAHMKHSGYLYALGQKVWKRWKKRYFVLVQVSQYTFAMCSYREKKSEPQELM QLEGYTVDYTDPHPGLQGGQVFFNAVKEGDTVIFASDDEQDRILWVQAMYRATGQSYKPV PAVQSQKLNPKGGALHADAQLYADRFQKHGMDEFISASPCKLDHAFLFRILQRQTLDHRL NDSYSCLGWFSPGQVFVLDEYCARYGVRGCHRHLCYLTELMEHSENGAVIDPTLLHYSFA FCASHVHGNRFLSFFFFFFFPQSCVSFRLFTEWQSVEGTQRSEHPDSN >ENSMUSP00000125972.2 pep:known chromosome:GRCm38:6:23262773:23839137:-1 gene:ENSMUSG00000017978.18 transcript:ENSMUST00000166458.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps2 description:Ca2+-dependent activator protein for secretion 2 [Source:MGI Symbol;Acc:MGI:2443963] MLDPSSSEEESDEGLEEESREVLVARAARPVSPSPSVLSEGRNEPELQLDEEQERRIRLQ LYVFVVRCIAYPFNAKQPTDMARRQQKLNKQQLQLLKERFQAFLNGETQIVADEAFCNAV RSYYEVFLKSDRVARMVQSGGCSANDFREVFKKNIEKRVRSLPEIDGLSKETVLSSWIAK YDAIYRGEEDLCKQPNRMTLSAVSELILSKEQLYEMFQQILGIKKLEHQLLYNACQLDNA DEQAAQIRRELDGRLQLAEKMAKERRFPRFISKEMESMYIEELRASVNLLMANLESLPVS KGGPEFKLQKLKRSQNSAFLDLGDENEIQLSKSDVVLSFTLEIVIMEVQGLKSVAPNRIV YCTMEVEGGEKLQTDQAEASRPQWGTQGDFNTTHPRPVVKVKLFTESTGVLALEDKELGR VVLYPTSNSSKSAELHRMTVPKNSQDSDLKIKLAVRMDKPAHMKHSGYLYALGQKVWKRW KKRYFVLVQVSQYTFAMCSYREKKSEPQELMQLEGYTVDYTDPHPGLQGGQVFFNAVKEG DTVIFASDDEQDRILWVQAMYRATGQSYKPVPAVQSQKLNPKGGALHADAQLYADRFQKH GMDEFISASPCKLDHAFLFRILQRQTLDHRLNDSYSCLGWFSPGQVFVLDEYCARYGVRG CHRHLCYLTELMEHSENGAVIDPTLLHYSFAFCASHVHGNRPDGIGTVSVEEKERFEEIK DRLSSLLENQISHFRYCFPFGRPEGALKATLSLLERVLMKDIATPIPAEEVKKVVRKCLE KAALINYTRLTEYAKIEGPAEKETETMNQATPARKLEEVLHLAELCIEVLQQNEEHHAEF LQAFAWWPDLLAEHAEKFWALFTVDMDTALEAQPQDSWDSFPLFQLLNNFLRNDTLLCNG KFHKHLQEIFVPLVVRYVDLMESAIAQSIHRGFEQETWQPVKNIANSLPNVALPKVPSLP LNLPQIPSFSTPPWMASLYESTNGSTTSEDLFWKLDALQMFVFDLHWPEQEFAHHLEQRL KLMASDMIEACVKRTRTAFELKLQKANKTTDLRIPASVCTMFNVLVDAKKQSTKLCALDG GQEQQYHSKIDDLIDNTVKEIIALLVSKFVSVLEGVLSKLSRYDEGTFFSSILSFTVKAA AKYVDVPKPGMDLADTYIMFVRQNQDILREKVNEEMYIEKLFDQWYSNSMKVICVWLADR LDLQLHIYQLKTLIKIVKKTYRDFRLQGVLEGTLNSKTYDTLHRRLTVEEATASVSEGGG LQGITMKDSDEEEEG >ENSMUSP00000128905.2 pep:known chromosome:GRCm38:6:23262773:23839421:-1 gene:ENSMUSG00000017978.18 transcript:ENSMUST00000163871.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps2 description:Ca2+-dependent activator protein for secretion 2 [Source:MGI Symbol;Acc:MGI:2443963] MLDPSSSEEESDEGLEEESREVLVAPGVSQRAPPAAAREGRRDAPGRSGGGSGGGAARPV SPSPSVLSEGRNEPELQLDEEQERRIRLQLYVFVVRCIAYPFNAKQPTDMARRQQKLNKQ QLQLLKERFQAFLNGETQIVADEAFCNAVRSYYEVFLKSDRVARMVQSGGCSANDFREVF KKNIEKRVRSLPEIDGLSKETVLSSWIAKYDAIYRGEEDLCKQPNRMTLSAVSELILSKE QLYEMFQQILGIKKLEHQLLYNACQLDNADEQAAQIRRELDGRLQLAEKMAKERRFPRFI SKEMESMYIEELRASVNLLMANLESLPVSKGGPEFKLQKLKRSQNSAFLDLGDENEIQLS KSDVVLSFTLEIVIMEVQGLKSVAPNRIVYCTMEVEGGEKLQTDQAEASRPQWGTQGDFN TTHPRPVVKVKLFTESTGVLALEDKELGRVVLYPTSNSSKSAELHRMTVPKNSQDSDLKI KLAVRMDKPAHMKHSGYLYALGQKVWKRWKKRYFVLVQVSQYTFAMCSYREKKSEPQELM QLEGYTVDYTDPHPGLQGGQVFFNAVKEGDTVIFASDDEQDRILWVQAMYRATGQSYKPV PAVQSQKLNPKGGALHADAQLYADRFQKHGMDEFISASPCKLDHAFLFRILQRQTLDHRL NDSYSCLGWFSPGQVFVLDEYCARYGVRGCHRHLCYLTELMEHSENGAVIDPTLLHYSFA FCASHVHGNRPDGIGTVSVEEKERFEEIKDRLSSLLENQISHFRYCFPFGRPEGALKATL SLLERVLMKDIATPIPAEEVKKVVRKCLEKAALINYTRLTEYAKIEGPAEKETETMNQAT PARKLEEVLHLAELCIEVLQQNEEHHAEGEWAFAWWPDLLAEHAEKFWALFTVDMDTALE AQPQDSWDSFPLFQLLNNFLRNDTLLCNGKFHKHLQEIFVPLVVRYVDLMESAIAQSIHR GFEQETWQPVKNIANSLPNVALPKVPSLPLNLPQIPSFSTPPWMASLYESTNGSTTSEDL FWKLDALQMFVFDLHWPEQEFAHHLEQRLKLMASDMIEACVKRTRTAFELKLQKANKTTD LRIPASVCTMFNVLVDAKKQSTKLCALDGGQEQQYHSKIDDLIDNTVKEIIALLVSKFVS VLEGVLSKLSRYDEGTFFSSILSFTVKAAAKYVDVPKPGMDLADTYIMFVRQNQDILREK VNEEMYIEKLFDQWYSNSMKVICVWLADRLDLQLHIYQLKTLIKIVKKTYRDFRLQGVLE GTLNSKTYDTLHRRLTVEEATASVSEGGGLQGITMKDSDEEEEG >ENSMUSP00000096139.3 pep:known chromosome:GRCm38:9:78230656:78242684:1 gene:ENSMUSG00000074183.3 transcript:ENSMUST00000098537.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsta1 description:glutathione S-transferase, alpha 1 (Ya) [Source:MGI Symbol;Acc:MGI:1095417] MAGKPVLHYFNARGRMECIRWLLAAAGVEFEEKFIQSPEDLEKLKKDGNLMFDQVPMVEI DGMKLAQTRAILNYIATKYDLYGKDMKERALIDMYSEGILDLTEMIGQLVLCPPDQREAK TALAKDRTKNRYLPAFEKVLKSHGQDYLVGNRLTRVDIHLLEVLLYVEEFDASLLTPFPL LKAFKSRISSLPNVKKFLQPGSQRKPPMDAKQIQEARKAFKIQ >ENSMUSP00000139406.1 pep:known chromosome:GRCm38:1:130932656:130940101:-1 gene:ENSMUSG00000016524.12 transcript:ENSMUST00000187410.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il19 description:interleukin 19 [Source:MGI Symbol;Acc:MGI:1890472] MKTQCASTWLLGMTLILCSVHIYSLRRCLISVDMRLIEKSFHEIKRAMQTKDTFKNVTIL SLENLRSIKPGDVCCMTNNLLTFYRDRVFQDHQERSLEVLRRISSIANSFLCVQKSLERC QVHRQCNCSQEATNATRIIHDNYNQLEVSSAALKSLGELNILLAWIDRNHLETPAA >ENSMUSP00000141175.1 pep:known chromosome:GRCm38:1:130932816:130940115:-1 gene:ENSMUSG00000016524.12 transcript:ENSMUST00000187916.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il19 description:interleukin 19 [Source:MGI Symbol;Acc:MGI:1890472] MTNNLLTFYRDRVFQDHQERSLEVLRRISSIANSFLCVQKSLERCQVHRQCNCSQEATNA TRIIHDNYNQLEVSSAALKSLGELNILLAWIDRNHLETPAA >ENSMUSP00000108084.1 pep:known chromosome:GRCm38:1:130932858:130940073:-1 gene:ENSMUSG00000016524.12 transcript:ENSMUST00000112465.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il19 description:interleukin 19 [Source:MGI Symbol;Acc:MGI:1890472] MKTQCASTWLLGMTLILCSVHIYSLRRCLISVDMRLIEKSFHEIKRAMQTKDTFKNVTIL SLENLRSIKPGDVCCMTNNLLTFYRDRVFQDHQERSLEVLRRISSIANSFLCVQKSLERC QVHRQCNCSQEATNATRIIHDNYNQLEVSSAALKSLGELNILLAWIDRNHLETPAA >ENSMUSP00000016668.6 pep:known chromosome:GRCm38:1:130932658:130939241:-1 gene:ENSMUSG00000016524.12 transcript:ENSMUST00000016668.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il19 description:interleukin 19 [Source:MGI Symbol;Acc:MGI:1890472] MKTQCASTWLLGMTLILCSVHIYSLRRCLISVDMRLIEKSFHEIKRAMQTKDTFKNVTIL SLENLRSIKPGDVCCMTNNLLTFYRDRVFQDHQERSLEVLRRISSIANSFLCVQKSLERC QVHRQCNCSQEATNATRIIHDNYNQLEVSSAALKSLGELNILLAWIDRNHLETPAA >ENSMUSP00000146700.1 pep:known chromosome:GRCm38:7:68273839:68363092:1 gene:ENSMUSG00000074071.10 transcript:ENSMUST00000125685.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam169b description:family with sequence similarity 169, member B [Source:MGI Symbol;Acc:MGI:3644026] MAVYKEAYPVDILEDDAEGYQAAAEAYYEMLREGAQTSAEVISLSTGEQVRLETSSLCFC TIYRDEPQHKILGLVNPQDTKTVVAVYLKESWWSIEDILRTSDPTREGLMKVQSFGERIV LFVLNVIVFGRLERRLHIDDMFFLPHPAKEQAKILWKDGAAVAFYSVKMKGSLCGDGTGT CYLLPVLDTVFVRRKNRCQGLGTAMLRDFCDTFQGDEALGISCPISPAMYRVLRQFLLTC PGERGRLWEVEPPGAWGQQRVNIWLKVYLQERRLQDGSTVHPKCSEEDTDTPGQASQEDG PTQFNHGESHKEWAVGEPERTQNGRRCAQVCEEARQV >ENSMUSP00000146880.1 pep:known chromosome:GRCm38:7:68300113:68363087:1 gene:ENSMUSG00000074071.10 transcript:ENSMUST00000098378.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam169b description:family with sequence similarity 169, member B [Source:MGI Symbol;Acc:MGI:3644026] CVYIEAYPVDILEDDAEGYQAAAEAYYEMLREGAQTSAEVISLSTGEQVRLETSSLCFCT IYRDEPQHKILGLVNPQDTKTVVAVYLKESWWSIEDILRTSDPTREGLMKVQSFGERIVL FVLNVIVFGRLERRLHIDDMFFLPHPAKEQAKILWKDGAAVAFYSVKMKGSLCGDGTGTC YLLPVLDTVFVRRKNRCQGLGTAMLRDFCDTFQGDEALGISCPISPAMYRVLRQFLLTCP GERGRLWEVEPPGAWGQQRVNIWLKVYLQERRLQDGSTVHPKCSEEDTDTPGQASQEDGP TQFNHGESHKEWAVGEPERTQNGRRCAQVCEEARQV >ENSMUSP00000108931.2 pep:known chromosome:GRCm38:1:86303234:86307305:1 gene:ENSMUSG00000079445.3 transcript:ENSMUST00000113306.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt7 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 7 [Source:MGI Symbol;Acc:MGI:2384394] MSLWKKTLYKSVCLALALLVAVTVFQRSVTPGQFLQDPLPPTPGPAKTGNLVNPNSFWKS SKDVAAPTPTVPRGPQVWDVITTNCSININLTHQPWFQSLEPHFRQFLAYRHCRYFPMLL NHPEKCAGDVYMLVVVKSVITQHDRREVIRQTWGHEWESAGLGRGAVRTLFLLGTASKQE ERTHYQQLLAYEDRLYADILQWDFLDSFFNLTLKEIHFLKWLDIYCPNVPFVFKGDDDVF VNPTNLLEFLSDRQPQENLFVGDVLKHARPIRKKDNKYYIPAVMYGKATYPPYAGGGGFL MSGSLARQLHHACDTLELFPIDDVFLGMCLEVLGVKPTGHEGFKTFGISRVRSSRMNKEP CFYRAMLVVHKLLPAELLAMWDLVHSNLTCSVKFQVL >ENSMUSP00000140392.1 pep:known chromosome:GRCm38:1:86303531:86305849:1 gene:ENSMUSG00000079445.3 transcript:ENSMUST00000188695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt7 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 7 [Source:MGI Symbol;Acc:MGI:2384394] MLLNHPEKCAGDVYMLVVVKSVITQHDRREVIRQTWGHEWESAGLGRGAVRTLFLLGTAS KQEERTHYQQLLAYEDRLYADILQWDFLDSFFNLTLKEIHFLKWLDIYCPNVPFVFKGDD DVFVNPTNLLEFLSDRQPQENLFVGDVLKHARPIR >ENSMUSP00000038865.5 pep:known chromosome:GRCm38:12:111713261:111734220:1 gene:ENSMUSG00000037787.13 transcript:ENSMUST00000040519.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apopt1 description:apoptogenic, mitochondrial 1 [Source:MGI Symbol;Acc:MGI:1915270] MAALRPGSRALRRLLCRSFSGGGGVRLARERPTDHRDAASSRVSRFCPPRQSCHDWIGPP DKCSNLRPVHFHIPENESPLEQRLRELRQETQEWNQQFWAKQNLSFNKEKEEFIYSRLQA KGAGLRTESGQRATLDAEEMADFYKDFLSKNFQKHMRYNRDWYKRNFAITFFMGKVVLER MWSKLRQKKTSS >ENSMUSP00000123929.1 pep:known chromosome:GRCm38:12:111713280:111751277:1 gene:ENSMUSG00000037787.13 transcript:ENSMUST00000160366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apopt1 description:apoptogenic, mitochondrial 1 [Source:MGI Symbol;Acc:MGI:1915270] MAALRPGSRALRRLLCRSFSGGGGVRLARERPTDHRDAASSRVSRFCPPRQSCHDWIGPP DKCSNLRPVHFHIPENESPLEQRLRELRQETQEWNQQFWAKQNLSFNKEKEEFIYSRLQA KGAGLRTESGQRATLDAEEMADFYKDFLSKNFQKHMRYNRAGPGSQDVGCVGKCSFAELH PYLTVSALQG >ENSMUSP00000124919.1 pep:known chromosome:GRCm38:12:111713284:111722783:1 gene:ENSMUSG00000037787.13 transcript:ENSMUST00000159557.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Apopt1 description:apoptogenic, mitochondrial 1 [Source:MGI Symbol;Acc:MGI:1915270] MAALRPGSRALRRLLCRSFSGGGGVRLARERPTDHRDAASSRVY >ENSMUSP00000125711.1 pep:known chromosome:GRCm38:12:111713286:111727115:1 gene:ENSMUSG00000037787.13 transcript:ENSMUST00000162316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apopt1 description:apoptogenic, mitochondrial 1 [Source:MGI Symbol;Acc:MGI:1915270] MAALRPGSRALRRLLCRSFSGGGGVRLARERPTDHRDAASSRVSRFCPPRQSCHDWIGPP DKCSNLRPVHFHIPENESPLEQRLRELRQETQEWNQQFWAKQNLSFNKEKEEFIYSRLQA KGAGLRTESG >ENSMUSP00000131169.2 pep:known chromosome:GRCm38:12:111713280:111754980:1 gene:ENSMUSG00000037787.13 transcript:ENSMUST00000163220.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apopt1 description:apoptogenic, mitochondrial 1 [Source:MGI Symbol;Acc:MGI:1915270] MAALRPGSRALRRLLCRSFSGGGGVRLARERPTDHRDAASSRVSRFCPPRQSCHDWIGPP DKCSNLRPVHFHIPENESPLEQRLRELRQETQEWNQQFWAKQNLSFNKEKEEFIYSRLQA KGAGLRTESGQRATLDAEEMADFYKDFLSKNFQKHMRYNRAGPGSQDVGCVGKCSFAELH PYLTVSALQGSCPA >ENSMUSP00000091532.3 pep:known chromosome:GRCm38:11:77930800:77979048:1 gene:ENSMUSG00000000632.13 transcript:ENSMUST00000093995.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6 description:seizure related gene 6 [Source:MGI Symbol;Acc:MGI:104745] MRPAALLLLPSLLALLAHGLSSEAPITGEGHATGIRETDGELTAAPTPEQSDRGVHFVTT APTLKLLNHHPLLEEFLQEGLEREEAPQPALPFQPDSPTHFTPSPLPRLTNQDNRPVFTS PTPAVAAAPTQPHSREKPWNLESKPPELSITSSLPPGPSMAVPTLLPEDRPSTTPPSQAW TPTQEGPGDMDRPWVPEVMSKTTGLGVEGTIATSTASGDDEETTTTIITTTVTTVQPPGP CSWNFSGPEGSLDSPTAPSSPSDVGLDCFYYISVYPGYGVEIKVENISLQEGETITVEGL GGPDPLPLANQSFLLRGQVIRSPTHQAALRFQSLPLPAGPGTFHFRYQAYLLSCHFPRRP AYGDVTVTSLHPGGSAHFHCATGYQLKGARFLTCLNATQPFWDSQEPVCIAACGGVIRNA TTGRIVSPGFPGNYSNNLTCHWLLEAPESQRLHLHFEKVSLAEDDDRLIIRNGNNVEAPP VYDSYEVEYLPIEGLLSSGRHFFVEFSTDSSGAAAGMALRYEAFQQGHCYEPFVKYGNFS SSAPSYPVGTTVEFSCDPGYTLEQGSIIIECVDLHDPQWNETEPACRAVCSGEITDSAGV VLSPNWPEPYGRGQDCIWGVHVEEDKRIMLDIRVLRIGSGDVLTFYDGDDLTARVLGQYS GPRGHFKLFTSMADVTIQFQSDPGTSALGYQQGFVIHFFEVPRNDTCPELPEIPNGWKNP SQPELVHGTVVTYQCYPGYQVVGSSILMCQWDLSWSEDLPSCQRVTSCHDPGDVEHSRRL ISSPKFPVGATVQYVCDQGFVLTGSAILTCHDRQAGSPKWSDRAPKCLLEQFKPCHGLSA PENGARSPEKRLHPAGATIHFSCAPGYVLKGQASIKCVPGHPSHWSDPPPICRAASLDGF YNGRSLDVAKAPAASSALDAAHLAAAIFLPLVAMVLLVGGVYLYFSRFQGKSPLQLPRTH PRPYNRITVESAFDNPTYETGSLSFAGDERI >ENSMUSP00000000646.7 pep:known chromosome:GRCm38:11:77931012:77979040:1 gene:ENSMUSG00000000632.13 transcript:ENSMUST00000000646.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6 description:seizure related gene 6 [Source:MGI Symbol;Acc:MGI:104745] MRPAALLLLPSLLALLAHGLSSEAPITGEGHATGIRETDGELTAAPTPEQSDRGVHFVTT APTLKLLNHHPLLEEFLQEGLEREEAPQPALPFQPDSPTHFTPSPLPRLTNQDNRPVFTS PTPAVAAAPTQPHSREKPWNLESKPPELSITSSLPPGPSMAVPTLLPEDRPSTTPPSQAW TPTQEGPGDMDRPWVPEVMSKTTGLGVEGTIATSTASGDDEETTTTIITTTVTTVQPPGP CSWNFSGPEGSLDSPTAPSSPSDVGLDCFYYISVYPGYGVEIKVENISLQEGETITVEGL GGPDPLPLANQSFLLRGQVIRSPTHQAALRFQSLPLPAGPGTFHFRYQAYLLSCHFPRRP AYGDVTVTSLHPGGSAHFHCATGYQLKGARFLTCLNATQPFWDSQEPVCIAACGGVIRNA TTGRIVSPGFPGNYSNNLTCHWLLEAPESQRLHLHFEKVSLAEDDDRLIIRNGNNVEAPP VYDSYEVEYLPIEGLLSSGRHFFVEFSTDSSGAAAGMALRYEAFQQGHCYEPFVKYGNFS SSAPSYPVGTTVEFSCDPGYTLEQGSIIIECVDLHDPQWNETEPACRAVCSGEITDSAGV VLSPNWPEPYGRGQDCIWGVHVEEDKRIMLDIRVLRIGSGDVLTFYDGDDLTARVLGQYS GPRGHFKLFTSMADVTIQFQSDPGTSALGYQQGFVIHFFEVPRNDTCPELPEIPNGWKNP SQPELVHGTVVTYQCYPGYQVVGSSILMCQWDLSWSEDLPSCQRVTSCHDPGDVEHSRRL ISSPKFPVGATVQYVCDQGFVLTGSAILTCHDRQAGSPKWSDRAPKCLLEQFKPCHGLSA PENGARSPEKRLHPAGATIHFSCAPGYVLKGQASIKCVPGHPSHWSDPPPICRAVAKAPA ASSALDAAHLAAAIFLPLVAMVLLVGGVYLYFSRFQGKSPLQLPRTHPRPYNRITVESAF DNPTYETGETREYEVSI >ENSMUSP00000132041.1 pep:known chromosome:GRCm38:11:77953853:77979048:1 gene:ENSMUSG00000000632.13 transcript:ENSMUST00000151982.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sez6 description:seizure related gene 6 [Source:MGI Symbol;Acc:MGI:104745] XEDRPSTTPPSQAWTPTQEGPGDMDRPWVPEVMSKTTGLGVEGTIATSTASGDDEETTTT IITTTVTTVQPPGPCSWNFSGPEGSLDSPTAPSSPSDVGLDCFYYISVYPGYGVEIKVEN ISLQEGETITVEGLGGPDPLPLANQSFLLRGQVIRSPTHQAALRFQSLPLPAGPGTFHFR YQAYLLSCHFPRRPAYGDVTVTSLHPGGSAHFHCATGYQLKGARFLTCLNATQPFWDSQE PVCIAACGGVIRNATTGRIVSPGFPGNYSNNLTCHWLLEAPESQRLHLHFEKVSLAEDDD RLIIRNGNNVEAPPVYDSYEVEYLPIEGLLSSGRHFFVEFSTDSSGAAAGMALRYEAVHR PTLWVQLWSSAVTLATPWSRAPSSSNASTSTTPSGMRQSQPAEPCAAGRSQTLQAWCSLQ TGRSLMAEGRTASGVCMWRRTSASCWTSECCA >ENSMUSP00000115660.1 pep:known chromosome:GRCm38:11:77969011:77974488:1 gene:ENSMUSG00000000632.13 transcript:ENSMUST00000140630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sez6 description:seizure related gene 6 [Source:MGI Symbol;Acc:MGI:104745] XLKGARFLTCLNATQPFWDSQEPVCIAACGGVIRNATTGRIVSPGFPGNYSNNLTCHWLL EAPESQRLHLHFEKVSLAEDDDRLIIRNGNNVEAPPVYDSYEVEYLPIEGLLSSGRHFFV EFSTDSSGAAAGMALRYEGEAELAWVLWFTAFQQGHCYEPFVKYGNFSSSAPSYPVGTTV EFSCDPGYTLEQGSIIIECVDLHDPQWNETEPACRAVCSGEITDSAGVVLSPNWPEPYGR GQDCIWGVHVEEDKRIMLDIRVLRIGSGDVLTFYDGDD >ENSMUSP00000103571.1 pep:known chromosome:GRCm38:7:44310253:44360572:1 gene:ENSMUSG00000038738.15 transcript:ENSMUST00000107938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank1 description:SH3/ankyrin domain gene 1 [Source:MGI Symbol;Acc:MGI:3613677] MTHSPATSEDEERHSASECPEGGSESDSSPDGPGRGPQGTRGRGSGAPGNLASTRGLQGR SMSVPDDAHFSMMVFRIGIPDLHQTKCLRFNPDATIWTAKQQVLCALSESLQDVLNYGLF QPATSGRDANFLEEERLLREYPQSFEKGVPYLEFRYKTRVYKQTNLDEKQLAKLHTKTGL KKFLEYVQLGTSDKVARLLDKGLDPNYHDSDSGETPLTLAAQTEGSVEVIRTLCLGGAHI DFRARDGMTALHKAACARHCLALTALLDLGGSPNYKDRRGLTPLFHTAMVGGDPRCCELL LYNRAQLGIADENGWQEIHQACQRGHSQHLEHLLFYGAEPGAQNASGNTALHICALYNKE TCARILLYRGANKDVKNNNGQTPFQVAVIAGNFELGELIRNHREQDVVPFQESPKYAARR RGPPGAGLTVPPALLRANSDTSMALPDWMVFSAPGASSSGTPGPTSGSQGQSQPSAPSTK LSSGTLRSASSPRGARARSPSRGRHPEDAKRQPRGRPSSSGTPRDGPAGGTGGSGGPGGS LGSRGRRRKLYSAVPGRSFMAVKSYQAQGEGEISLSKGEKIKVLSIGEGGFWEGQVKGRV GWFPSDCLEEVANRSQEGRQESRSDKAKRLFRHYTVGSYDSFDAPSLIDGIDSGSDYIIK EKTVLLQKKDSEGFGFVLRGAKAQTPIEEFTPTPAFPALQYLESVDEGGVAWRAGLRMGD FLIEVNGQNVVKVGHRQVVNMIRQGGNTLMVKVVMVTRHPDMDEAVHKKASQQAKRLPPP AISLRSKSMTSELEEMVSPWKKKIEYEQQPAAVPSMEKKRTVYQMALNKLDEILAAAQQT ISASESPGPGGLASLGKHRPKGFFATESSFDPHHRSQPSYDRPSFLPPGPGLMLRQKSIG AAEDDRPYLAPPAMKFSRSLSVPGSEDIPPPPTTSPPEPPYSTPPAPSSSGRLTPSPRGG PFNPGSGGPLPASSPSSFDGPSPPDPRSGGREKSLYHSGALPPAHHHPPHHHHHHAPPPQ PHHHHAHPPHPPEMETGGSPDDPPPRLALGPQPSLRGWRGGGPSPTSGAPSPSHHSSSGG SSGPAQAPALRYFQLPPRAASAAMYVPARSGRGRKGPLVKQTKVEGEPQKGSLPPASSPT SPALPRSEPPPAGPSEKNSIPIPTIIIKAPSTSSSGRSSQGSSTEAEPPTQPDGAGGGGS SPSPAPATSPVPPSPSPVPTPASPSGPATLDFTSQFGAALVGAARREGGWQNEARRRSTL FLSTDAGDEDGGDSGLGPGAPPGPRLRHSKSIDEGMFSAEPYLRLESGGSSGGYGAYAAG SRAYGGSGSSSAFTSFLPPRPLVHPLTGKALDPASPLGLALAARERALKESSEGGVTPQP PPRPPSPRYDAPPPTLHHHSPHSPHSPHARHEPVLRLWGDPARRELGYRAGLGSQEKALT ASPPAARRSLLHRLPPTAPGVGPLLLQLGPEPPTPHPGVSKAWRTAAPEEPERLPLHVRF LENCQARPPPAGTRGSSTEDGPGVPPPSPRRVLPTSPTSPRGNEENGLPLLVLPPPAPSV DVDDGEFLFAEPLPPPLEFSNSFEKPESPLTPGPPHPLPDPPSPATPLPAAPPPAVAAAP PTLDSTASSLTSYDSEVATLTQGAPAAPGDPPAPGPPAPAAPAPPAPQPGPDPPPGTDSG IEEVDSRSSSDHPLETISSASTLSSLSAEGGGNTGGVAGGGAGVASGTELLDTYVAYLDG QAFGGSGTPGPPYPPQLMTPSKLRGRALGTSGNLRPGPSGGLRDPVTPTSPTVSVTGAGT DGLLALSACSGPSTAGVAGGPVAVEPEVPPVPLPTASSLPRKLLPWEEGPGPPPPPLPGP LSQPQASALATVKASIISELSSKLQQFGGASTAGGALPWARGGSGGSTDSHHGGASYIPE RTSSLQRQRLSEDSQTSLLSKPSSSIFQNWPKPPLPPLPTGSGVSSSTAAAPGATSPSAS SASASTRHLQGVEFEMRPPLLRRAPSPSLLPASDHKVSPAPRPSSLPILPSGPLYPGLFD IRSSPTGGAGGSADPFAPVFVPPHPGISGGLGGALSGASRSLSPTRLLSLPPDKPFGAKP LGFWTKFDVADWLEWLGLSEHRAQFLDHEIDGSHLPALTKEDYVDLGVTRVGHRMNIDRA LKFFLER >ENSMUSP00000103568.1 pep:known chromosome:GRCm38:7:44312688:44357487:1 gene:ENSMUSG00000038738.15 transcript:ENSMUST00000107935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank1 description:SH3/ankyrin domain gene 1 [Source:MGI Symbol;Acc:MGI:3613677] MTHSPATSEDEERHSASECPEGGSESDSSPDGPGRGPQGTRGRGSGAPGNLASTRGLQGR SMSVPDDAHFSMMVFRIGIPDLHQTKCLRFNPDATIWTAKQQVLCALSESLQDVLNYGLF QPATSGRDANFLEEERLLREYPQSFEKGVPYLEFRYKTRVYKQTNLDEKQLAKLHTKTGL KKFLEYVQLGTSDKVARLLDKGLDPNYHDSDSGETPLTLAAQTEGSVEVIRTLCLGGAHI DFRARDGMTALHKAACARHCLALTALLDLGGSPNYKDRRGLTPLFHTAMVGGDPRCCELL LYNRAQLGIADENGWQEIHQACQRGHSQHLEHLLFYGAEPGAQNASGNTALHICALYNKE TCARILLYRGANKDVKNNNGQTPFQVAVIAGNFELGELIRNHREQDVVPFQESPKYAARR RGPPGAGLTVPPALLRANSDTSMALPDWMVFSAPGASSSGTPGPTSGSQGQSQPSAPSTK LSSGTLRSASSPRGARARSPSRGRHPEDAKRQPRGRPSSSGTPRDGPAGGTGGSGGPGGS LGSRGRRRKLYSAVPGRSFMAVKSYQAQGEGEISLSKGEKIKVLSIGEGGFWEGQVKGRV GWFPSDCLEEVANRSQEGRQESRSDKAKRLFRHYTVGSYDSFDAPSLIDGIDSGSDYIIK EKTVLLQKKDSEGFGFVLRGAKAQTPIEEFTPTPAFPALQYLESVDEGGVAWRAGLRMGD FLIEVNGQNVVKVGHRQVVNMIRQGGNTLMVKVVMVTRHPDMDEAVHKKASQQAKRLPPP AISLRSKSMTSELEEMEYEQQPAAVPSMEKKRTVYQMALNKLDEILAAAQQTISASESPG PGGLASLGKHRPKGFFATESSFDPHHRSQPSYDRPSFLPPGPGLMLRQKSIGAAEDDRPY LAPPAMKFSRSLSVPGSEDIPPPPTTSPPEPPYSTPPAPSSSGRLTPSPRGGPFNPGSGG PLPASSPSSFDGPSPPDPRSGGREKSLYHSGALPPAHHHPPHHHHHHAPPPQPHHHHAHP PHPPEMETGGSPDDPPPRLALGPQPSLRGWRGGGPSPTSGAPSPSHHSSSGGSSGPAQAP ALRYFQLPPRAASAAMYVPARSGRGRKGPLVKQTKVEGEPQKGSLPPASSPTSPALPRSE PPPAGPSEKNSIPIPTIIIKAPSTSSSGRSSQGSSTEAEPPTQPDGAGGGGSSPSPAPAT SPVPPSPSPVPTPASPSGPATLDFTSQFGAALVGAARREGGWQNEARRRSTLFLSTDAGD EDGGDSGLGPGAPPGPRLRHSKSIDEGMFSAEPYLRLESGGSSGGYGAYAAGSRAYGGSG SSSAFTSFLPPRPLVHPLTGKALDPASPLGLALAARERALKESSEGGVTPQPPPRPPSPR YDAPPPTLHHHSPHSPHSPHARHEPVLRLWGDPARRELGYRAGLGSQEKALTASPPAARR SLLHRLPPTAPGVGPLLLQLGPEPPTPHPGVSKAWRTAAPEEPERLPLHVRFLENCQARP PPAGTRGSSTEDGPGVPPPSPRRVLPTSPTSPRGNEENGLPLLVLPPPAPSVDVDDGEFL FAEPLPPPLEFSNSFEKPESPLTPGPPHPLPDPPSPATPLPAAPPPAVAAAPPTLDSTAS SLTSYDSEVATLTQGAPAAPGDPPAPGPPAPAAPAPPAPQPGPDPPPGTDSGIEEVDSRS SSDHPLETISSASTLSSLSAEGGGNTGGVAGGGAGVASGTELLDTYVAYLDGQAFGGSGT PGPPYPPQLMTPSKLRGRALGTSGNLRPGPSGGLRDPVTPTSPTVSVTGAGTDGLLALSA CSGPSTAGVAGGPVAVEPEVPPVPLPTASSLPRKLLPWEEGPGPPPPPLPGPLSQPQASA LATVKASIISELSSKLQQFGGASTAGGALPWARGGSGGSTDSHHGGASYIPERTSSLQRQ RLSEDSQTSLLSKPSSSIFQNWPKPPLPPLPTGSGVSSSTAAAPGATSPSASSASASTRH LQGVEFEMRPPLLRRAPSPSLLPASDHKVSPAPRPSSLPILPSGPLYPGLFDIRSSPTGG AGGSADPFAPVFVPPHPGISGGLGGALSGASRSLSPTRLLSLPPDKPFGAKPLGFWTKFD VADWLEWLGLSEHRAQFLDHEIDGSHLPALTKEDYVDLGVTRVGHRMNIDRALKFFLER >ENSMUSP00000103567.1 pep:known chromosome:GRCm38:7:44312707:44357337:1 gene:ENSMUSG00000038738.15 transcript:ENSMUST00000107934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank1 description:SH3/ankyrin domain gene 1 [Source:MGI Symbol;Acc:MGI:3613677] MTHSPATSEDEERHSASECPEGGSESDSSPDGPGRGPQGTRGRGSGAPGNLASTRGLQGR SMSVPDDAHFSMMVFRIGIPDLHQTKCLRFNPDATIWTAKQQVLCALSESLQDVLNYGLF QPATSGRDANFLEEERLLREYPQSFEKGVPYLEFRYKTRVYKQTNLDEKQLAKLHTKTGL KKFLEYVQLGTSDKVARLLDKGLDPNYHDSDSGETPLTLAAQTEGSVEVIRTLCLGGAHI DFRARDGMTALHKAACARHCLALTALLDLGGSPNYKDRRGLTPLFHTAMVGGDPRCCELL LYNRAQLGIADENGWQEIHQACQRGHSQHLEHLLFYGAEPGAQNASGNTALHICALYNKE TCARILLYRGANKDVKNNNGQTPFQVAVIAGNFELGELIRNHREQDVVPFQESPKYAARR RGPPGAGLTVPPALLRANSDTSMALPDWMVFSAPGASSSGTPGPTSGSQGQSQPSAPSTK LSSGTLRSASSPRGARARSPSRGRHPEDAKRQPRGRPSSSGTPRDGPAGGTGGSGGPGGS LGSRGRRRKLYSAVPGRSFMAVKSYQAQGEGEISLSKGEKIKVLSIGEGGFWEGQVKGRV GWFPSDCLEEVANRSQEGRQESRSDKAKRLFRHYTVGSYDSFDAPSDYIIKEKTVLLQKK DSEGFGFVLRGAKAQTPIEEFTPTPAFPALQYLESVDEGGVAWRAGLRMGDFLIEVNGQN VVKVGHRQVVNMIRQGGNTLMVKVVMVTRHPDMDEAVHKKASQQAKRLPPPAISLRSKSM TSELEEMVSPWKKKIEYEQQPAAVPSMEKKRTVYQMALNKLDEILAAAQQTISASESPGP GGLASLGKHRPKGFFATESSFDPHHRSQPSYDRPSFLPPGPGLMLRQKSIGAAEDDRPYL APPAMKFSRSLSVPGSEDIPPPPTTSPPEPPYSTPPAPSSSGRLTPSPRGGPFNPGSGGP LPASSPSSFDGPSPPDPRSGGREKSLYHSGALPPAHHHPPHHHHHHAPPPQPHHHHAHPP HPPEMETGGSPDDPPPRLALGPQPSLRGWRGGGPSPTSGAPSPSHHSSSGGSSGPAQAPA LRYFQLPPRAASAAMYVPARSGRGRKGPLVKQTKVEGEPQKGSLPPASSPTSPALPRSEP PPAGPSEKNSIPIPTIIIKAPSTSSSGRSSQGSSTEAEPPTQPDGAGGGGSSPSPAPATS PVPPSPSPVPTPASPSGPATLDFTSQFGAALVGAARREGGWQNEARRRSTLFLSTDAGDE DGGDSGLGPGAPPGPRLRHSKSIDEGMFSAEPYLRLESGGSSGGYGAYAAGSRAYGGSGS SSAFTSFLPPRPLVHPLTGKALDPASPLGLALAARERALKESSEGGVTPQPPPRPPSPRY DAPPPTLHHHSPHSPHSPHARHEPVLRLWGDPARRELGYRAGLGSQEKALTASPPAARRS LLHRLPPTAPGVGPLLLQLGPEPPTPHPGVSKAWRTAAPEEPERLPLHVRFLENCQARPP PAGTRGSSTEDGPGVPPPSPRRVLPTSPTSPRGNEENGLPLLVLPPPAPSVDVDDGEFLF AEPLPPPLEFSNSFEKPESPLTPGPPHPLPDPPSPATPLPAAPPPAVAAAPPTLDSTASS LTSYDSEVATLTQGAPAAPGDPPAPGPPAPAAPAPPAPQPGPDPPPGTDSGIEEVDSRSS SDHPLETISSASTLSSLSAEGGGNTGGVAGGGAGVASGTELLDTYVAYLDGQAFGGSGTP GPPYPPQLMTPSKLRGRALGTSGNLRPGPSGGLRDPVTPTSPTVSVTGAGTDGLLALSAC SGPSTAGVAGGPVAVEPEVPPVPLPTASSLPRKLLPWEEGPGPPPPPLPGPLSQPQASAL ATVKASIISELSSKLQQFGGASTAGGALPWARGGSGGSTDSHHGGASYIPERTSSLQRQR LSEDSQTSLLSKPSSSIFQNWPKPPLPPLPTGSGVSSSTAAAPGATSPSASSASASTRHL QGVEFEMRPPLLRRAPSPSLLPASDHKVSPAPRPSSLPILPSGPLYPGLFDIRSSPTGGA GGSADPFAPVFVPPHPGISGGLGGALSGASRSLSPTRLLSLPPDKPFGAKPLGFWTKFDV ADWLEWLGLSEHRAQFLDHEIDGSHLPALTKEDYVDLGVTRVGHRMNIDRALKFFLER >ENSMUSP00000049342.7 pep:known chromosome:GRCm38:10:75406911:75441679:1 gene:ENSMUSG00000033427.13 transcript:ENSMUST00000039925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upb1 description:ureidopropionase, beta [Source:MGI Symbol;Acc:MGI:2143535] MAGPEWQSLEQCLEKHLPPDDLAQVKRILYGKQTRNLDLPREALKAASERNFELKGYAFG AAKEQQRCPQIVRVGLVQNRIPLPTSAPVAEQVSALHKSIEEIAEVAAMCGVNIICFQEA WNMPFAFCTREKLPWTEFAESAEDGLTTRFCQKLAKKHNMVVVSPILERDREHGGVLWNT AVVISNSGLVMGKTRKNHIPRVGDFNESTYYMEGNLGHPVFQTQFGRIAVNICYGRHHPL NWLMYSINGAEIIFNPSATIGELSESLWPIEARNAAIANHCFTCALNRVGQEHFPNEFTS GDGKKAHHDLGYFYGSSYVAAPDGSRTPGLSRNQDGLLVTELNLNLCQQINDFWTFKMTG RLEMYARELAEAVKPNYSPNIVKEDLVLAPSSG >ENSMUSP00000007602.8 pep:known chromosome:GRCm38:6:122308720:122317680:1 gene:ENSMUSG00000007458.14 transcript:ENSMUST00000007602.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:M6pr description:mannose-6-phosphate receptor, cation dependent [Source:MGI Symbol;Acc:MGI:96904] MFPFSGCWRTELLLLLLLAVAVRESWQIEEKSCDLVGEKDKESKNEVALLERLRPLFNKS FESTVGQGSDTYSYIFRVCREASNHSSGAGLVQINKSNDKETVVGRINETHIFNGSNWIM LIYKGGDEYDNHCGKEQRRAVVMISCNRHTLAANFNPVSEERGKVQDCFYLFEMDSSLAC SPEVSHLSVGSILLVIFASLVAVYIIGGFLYQRLVVGAKGMEQFPHLAFWQDLGNLVADG CDFVCRSKPRNVPAAYRGVGDDQLGEESEERDDHLLPM >ENSMUSP00000108229.1 pep:known chromosome:GRCm38:6:122308720:122317680:1 gene:ENSMUSG00000007458.14 transcript:ENSMUST00000112610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:M6pr description:mannose-6-phosphate receptor, cation dependent [Source:MGI Symbol;Acc:MGI:96904] MFPFSGCWRTELLLLLLLAVAVRESWQIEEKSCDLVGEKDKESKNEVALLERLRPLFNKS FESTVGQGSDTYSYIFRVCREASNHSSGAGLVQINKSNDKETVVGRINETHIFNGSNWIM LIYKGGDEYDNHCGKEQRRAVVMISCNRHTLAANFNPVSEERGKVQDCFYLFEMDSSLAC SPEVSHLSVGSILLVIFASLVAVYIIGGFLYQRLVVGAKGMEQFPHLAFWQDLGNLVADG CDFVCRSKPRNVPAAYRGVGDDQLGEESEERDDHLLPM >ENSMUSP00000021323.4 pep:known chromosome:GRCm38:11:103198944:103208548:-1 gene:ENSMUSG00000020940.13 transcript:ENSMUST00000021323.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700023F06Rik description:RIKEN cDNA 1700023F06 gene [Source:MGI Symbol;Acc:MGI:1916691] MEGSRGQKTKRSPSTLRRPPQKPRNILTRDAFKEQAGRVQKGRVQPQIGQQSQSDLGAAT TTKEEALLRMRDLKNPSEANQPYWSGPDDRSQSSADDSLLPLTPRQLAAFQDIFKLFSCS PTGTVDMQSMKIALRNAGIQLGPQEMCEALRLADLDGDGIVSFKDFLGVLTDNHRLAQCM GQMKGNRVGEPPGLQTLFLEVLFKLLSQGFVPPKSRQEVTSYYFKKQQALRMSLCARNRA RQGRPARAHTGLTFFCQAARLNGLSSSQLARSLHTLCRAGGRSPYTQIPNLAGRPRPERK ARVPGSDVRLPKPQQPGRPKLPPNLGPLSKGPLRPPAGLTSQPLEQMRPSKLASSPPTLV QKHPSSPSPACFQRCAMKSLYK >ENSMUSP00000139837.1 pep:known chromosome:GRCm38:11:103199416:103208522:-1 gene:ENSMUSG00000020940.13 transcript:ENSMUST00000172850.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700023F06Rik description:RIKEN cDNA 1700023F06 gene [Source:MGI Symbol;Acc:MGI:1916691] MEGSRGQKTKRSPSTLRRPPQKPRNILTRDAFKEQAGRVQKGRVQPQIGQQSQSDLGAAT TTKEEALLRMRDLKNPSEANQPYWSGPDDRSQSSADDSLLPLTPRQLAAFQDIFKLFSCS PTGTVDMQSMKIALRNAGIQLGPQEMCEALRLADLDGDGIVSFKDFLGVLTDNHRLAQCM ATISRSSRPCG >ENSMUSP00000134292.2 pep:known chromosome:GRCm38:11:103200325:103208523:-1 gene:ENSMUSG00000020940.13 transcript:ENSMUST00000174567.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700023F06Rik description:RIKEN cDNA 1700023F06 gene [Source:MGI Symbol;Acc:MGI:1916691] MGRATPYQEAGMFRCCLPPESMTVTFLGHRTLMGRLQGSRGQKTKRSPSTLRRPPQKPRN ILTRDAFKEQAGRVQKGRVQPQIGQQSQSDLGAATTTKEEALLRMRDLKNPSEANQPYWS GPDDRSQSSADDSLLPLTPRQLAAFQDIFKLFSCSPTGTVDMQSMKIALRNAGIQLGPQE MCEALRLADLDGDGIV >ENSMUSP00000102641.2 pep:known chromosome:GRCm38:11:103198947:103208558:-1 gene:ENSMUSG00000020940.13 transcript:ENSMUST00000107026.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700023F06Rik description:RIKEN cDNA 1700023F06 gene [Source:MGI Symbol;Acc:MGI:1916691] MRDLKNPSEANQPYWSGPDDRSQSSADDSLLPLTPRQLAAFQDIFKLFSCSPTGTVDMQS MKIALRNAGIQLGPQEMCEALRLADLDGDGIVSFKDFLGVLTDNHRLAQCMGQMKGNRVG EPPGLQTLFLEVLFKLLSQGFVPPKSRQEVTSYYFKKQQALRMSLCARNRARQGRPARAH TGLTFFCQAARLNGLSSSQLARSLHTLCRAGGRSPYTQIPNLAGRPRPERKARVPGSDVR LPKPQQPGRPKLPPNLGPLSKGPLRPPAGLTSQPLEQMRPSKLASSPPTLVQKHPSSPSP ACFQRCAMKSLYK >ENSMUSP00000010506.3 pep:known chromosome:GRCm38:11:101627942:101636100:1 gene:ENSMUSG00000010362.9 transcript:ENSMUST00000010506.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdm1 description:RAD52 motif 1 [Source:MGI Symbol;Acc:MGI:1913849] MAELISFVVPTQSDKVLLVWDLSTGPPAEALSHSLFTVFSQFGLLYSVRVFPNAAVARPG FYAIIKFYSSRDAQRAQKACDGKPLFQTSPVKVRLGTRHKALQHQAFALNSSRCQELANY YFGFSGWSKRIIKLQELSGLEDAALAVPMQKGSPQFLCAVEVVLPPYGCRSPGVGISEEP LRQLEEGQSSFLMKRKTAQKLAFQAAVSDAFQKLTIVVLESGRIAVEYRPTAEDLDARSE EELQNLIQVSCSSWSQSSQREEECLSDFSLEEEDLKLCDPH >ENSMUSP00000118996.1 pep:known chromosome:GRCm38:11:101628389:101636072:1 gene:ENSMUSG00000010362.9 transcript:ENSMUST00000133727.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rdm1 description:RAD52 motif 1 [Source:MGI Symbol;Acc:MGI:1913849] XDAQRAQKACDGKPLFQTSPVKVRLGTRHKALQHQAFALNSSRCQELANYYFGFSGWSKR IIKLQELSGLEDAALAVPMQKGSPQFLCAVEVVLPPYGCRSPGVGISEEPLRQLEEALVW PARGRAS >ENSMUSP00000043966.5 pep:known chromosome:GRCm38:18:60911780:60918561:1 gene:ENSMUSG00000036412.5 transcript:ENSMUST00000040359.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arsi description:arylsulfatase i [Source:MGI Symbol;Acc:MGI:2670959] MHALSGFSLVSLLSLGYLSWDWAKPGLVADGPAEAGDQPSVAPPQPPHIIFILTDDQGYH DVGYHGSDIETPTLDRLAAEGVKLENYYIQPICTPSRSQLLTGRYQIHTGLQHSIIRPRQ PNCLPLDQVTLPQKLQEAGYSTHMVGKWHLGFYRKECLPTRRGFDTFLGSLTGNVDYYTY DNCDGPGVCGFDLHEGESVAWGLSGQYSTMLYAQRASHILASHNPQNPLFLYVAFQAVHT PLQSPREYLYRYRTMGNVARRKYAAMVTCMDEAVRNITWALKRYGFYNNSVIIFSSDNGG QTFSGGSNWPLRGRKGTYWEGGVRGLGFVHSPLLKKKRRTSRALVHITDWYPTLVGLAGG TTSAADGLDGYDVWPAISEGRASPRTEILHNIDPLYNHARHGSLEGGFGIWNTAVQAAIR VGEWKLLTGDPGYGDWIPPQTLASFPGSWWNLERMASIRQAVWLFNISADPYEREDLAGQ RPDVVRTLLARLADYNRTAIPVRYPAANPRAHPDFNGGAWGPWASEEEEEEEEEEEEGRA RSFSRGRRKKKCKICKLRSFFRKLNTRLMSHRI >ENSMUSP00000058838.3 pep:known chromosome:GRCm38:4:112711147:112774825:-1 gene:ENSMUSG00000048766.6 transcript:ENSMUST00000060327.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint10 description:selection and upkeep of intraepithelial T cells 10 [Source:MGI Symbol;Acc:MGI:2685416] MFLRTQMEQSQADIFALIKPHFGVMESSASYLPGFFMTFLLLQTTVLTQAMSLDIQINIQ VPDTEGVLLECTSGSLIPPAEMTWRDSKGNIIPHSTTFDSQDRAGLLYLKSSILLKNRVQ SPITCSIYNVTTNREKKRSVVLPDILFKSEYMSLMSNKFSCPLTYLFIIIFLNCLKGMLD FCCLKGKPVYFRELINKIKEVLNIKMRACCTLIWEFLLIVLYIAFLPFYLKFRSRASILD DAYPLHSNWLWDICIVLSVLMIFFTGLSLFLLWTLNCYGQMSYLPSMSMDLSKHDFEQNS SKSSEFQENYDVSCEIFLGTFEETIFSQHQESCIEDSFNPLQPLRLDCSLNWKT >ENSMUSP00000067744.5 pep:known chromosome:GRCm38:4:112769662:112774866:-1 gene:ENSMUSG00000048766.6 transcript:ENSMUST00000068851.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint10 description:selection and upkeep of intraepithelial T cells 10 [Source:MGI Symbol;Acc:MGI:2685416] MFLRTQMEQSQADIFALIKPHFAARNYSKSVLKPGEDILLLGIWEKHRDESEVHDSCFMN PTCNIQGMYVHESTSACRGEAGITGGCEMSDVGARSLTCKNLTCKRGCALSH >ENSMUSP00000068590.7 pep:known chromosome:GRCm38:11:121616197:121673153:-1 gene:ENSMUSG00000046605.14 transcript:ENSMUST00000067399.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gntl1 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase-like 1 [Source:MGI Symbol;Acc:MGI:2441705] MEVSENATTRGSLALVSIILPVHNAEQWLDECLMSVLQQDFEGAMELSVFNDASKDKSRA IIEKWKVKLEDSGISVVIGGHDSPSPRGVGYSKNQAVAQSTGSYLCFLDSDDVMMPQRVR MQYEAAGQHPTSIIGCQVRRDPPDSTERYTRWINHLTSDQLLTQVFTSHGPTVIMPTWFC SRAWFSHVGPFDEGGQGVPEDLLFFYEHLRKGGGVFRVDHSLLLYRYHLYAATHSVLEMT IWTHRVHFLEEQVLPHWKSFTIWNAGKQGRKLYRSLTAASRHKVVAFCDVDENKIRKGFY CYEDSQERPKPKVPILHFQAAQSPFVICVKLDLTGGEFEDNLKSLDLQEGRDFVHFS >ENSMUSP00000049890.7 pep:known chromosome:GRCm38:11:121627268:121673153:-1 gene:ENSMUSG00000046605.14 transcript:ENSMUST00000062654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gntl1 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase-like 1 [Source:MGI Symbol;Acc:MGI:2441705] MEVSENATTRGSLALVSIILPVHNAEQWLDECLMSVLQQDFEGAMELSVFNDASKDKSRA IIEKWKVKLEDSGISVVIGGHDSPSPRGVGYSKNQAVAQSTGSYLCFLDSDDVMMPQRVR MQYEAAGQHPTSIIGCQVRRDPPDSTERYTRWINHLTSDQLLTQVFTSHGPTVIMPTWFC SRAWFSHVGPFDEGGQGVPEDLLFFYEHLRKGGGVFRVDHSLLLYRYHLYAATHSVLDCS HMMVGAAVHMKQIHSKTTTV >ENSMUSP00000043000.7 pep:known chromosome:GRCm38:10:75506283:75517381:-1 gene:ENSMUSG00000033416.14 transcript:ENSMUST00000039796.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucd1 description:guanylyl cyclase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916028] MRTEAEAAGQPLEPGDFVQLPVPIIQQLYHWDCGLACSRMVLRYLGQLDDGEFENALQEL QLTRSIWTIDLAYLMRHFGVRHRFCTQTLGVDKGYKNQSFYRKHFDTEETRVNQLFAQAK ACKVQVEKCTVSVQDIQVHLAQGHVAIVLVNSGVLHCDLCSSPVKYCCFTPSGHRCFCRT PDYQGHFIVLRGYNRATGCIFYNNPAYADRMCSTSISNFEEARTSYGTDEDILFVYLDS >ENSMUSP00000123254.1 pep:known chromosome:GRCm38:10:75351111:75517273:-1 gene:ENSMUSG00000033416.14 transcript:ENSMUST00000145890.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gucd1 description:guanylyl cyclase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916028] MRTEAEAAGQPLEPGDFVQLPVPIIQQLYHWDCGLACSRMVLRYLGQLDDGEFENALQEL QLTRSIWTIDLAYLMRHFGVRHRFCTQTLGVDKGYKNQSFYRKHFDTEETRVNQLFAQAK ACKVQVEKCTVSVQDIQVHLAQGHVAIVLVNSGVLHCDLCSSPVKYCCFTPSGHRCFCRT PDYQGHFIVLRGYNRATGCIFYNNPAYADRG >ENSMUSP00000113976.1 pep:known chromosome:GRCm38:10:75506825:75517972:-1 gene:ENSMUSG00000033416.14 transcript:ENSMUST00000118936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucd1 description:guanylyl cyclase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916028] MVLRYLGQLDDGEFENALQELQLTRSIWTIDLAYLMRHFGVRHRFCTQTLGVDKGYKNQS FYRKHFDTEETRVNQLFAQAKACKVQVEKCTVSVQDIQVHLAQGHVAIVLVNSGVLHCDL CSSPVKYCCFTPSGHRCFCRTPDYQGHFIVLRGYNRATGCIFYNNPAYADRMCSTSISNF EEARTSYGTDEDILFVYLDS >ENSMUSP00000119821.1 pep:known chromosome:GRCm38:10:75508798:75517289:-1 gene:ENSMUSG00000033416.14 transcript:ENSMUST00000138122.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gucd1 description:guanylyl cyclase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916028] MRTEAEAAGQPLEPAACAYHPAAISLGLWPGLLQDGASVPGPARRRGV >ENSMUSP00000118894.1 pep:known chromosome:GRCm38:10:75509697:75517886:-1 gene:ENSMUSG00000033416.14 transcript:ENSMUST00000147269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucd1 description:guanylyl cyclase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916028] MVLRYLGQLDDGEFENALQELQLTRSIWTIDLAYLMRHFGVRHRFCTQTLGVDKGYKNQS FYRKHFDTEETRVNQLFAQAKACKVQVEKCTVSVQDIQVHLAQGHVAIVLVNSGVLHCDL CSSPVKYCCFTPSGH >ENSMUSP00000117292.1 pep:known chromosome:GRCm38:10:75509717:75517856:-1 gene:ENSMUSG00000033416.14 transcript:ENSMUST00000123505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucd1 description:guanylyl cyclase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916028] MRHFGVRHRFCTQTLGVDKGYKNQSFYRKHFDTEETRVNQLFAQAKACKVQVEKCTVSVQ DIQVHLAQGHVAIVLVNSGVLHCDLCSSPVKYC >ENSMUSP00000114435.1 pep:known chromosome:GRCm38:10:75511180:75517260:-1 gene:ENSMUSG00000033416.14 transcript:ENSMUST00000136763.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gucd1 description:guanylyl cyclase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916028] MRTEAEAAGQPLEPGDFVQLPVPIIQQLYHWDCGLACSRMVLR >ENSMUSP00000121405.1 pep:known chromosome:GRCm38:10:75508965:75517170:-1 gene:ENSMUSG00000033416.14 transcript:ENSMUST00000128419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucd1 description:guanylyl cyclase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916028] XQPLEPGDFVQLPVPIIQQLYHWDCGLACSRMVLRYLGQLDDGEFENALQELQLTRSIWT IDLAYLMRHFGVRHRFCTQTLGVDKGYKNQSFYRKHFDTEETRVNQLFAQAKACKVQVEK CGHRCFCRTPDYQGHFIVLRGYNRATGCIFYNNPAYADRM >ENSMUSP00000117061.1 pep:known chromosome:GRCm38:10:75416467:75511281:-1 gene:ENSMUSG00000033416.14 transcript:ENSMUST00000153125.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucd1 description:guanylyl cyclase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916028] XGQLDDGEFENALQELQLTRSIWTIDLAYLMRHFGVRHRFCTQTLGVDKGYKNQSFYRKH FDTEETRVNQLFAQAKACKVQVEKWRVDSFSREPDRSDGNNWEIRKTGITYARAS >ENSMUSP00000099365.1 pep:known chromosome:GRCm38:11:103208127:103218432:-1 gene:ENSMUSG00000044787.7 transcript:ENSMUST00000103076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata32 description:spermatogenesis associated 32 [Source:MGI Symbol;Acc:MGI:3045340] MGVTGISTFPCCGKDSVDIVERQSDHHRHHHHHTHEENEDEDTEVEAELPRTEPPPKVDP ELGPVPQLEEMEPELPSKTTPETEGDSYTESPEQQNYRMESLKPYEEEEMGGRYRSIPVQ TSKHLFWSNKLIQASEHSLQKALEKHHRSPQEKSISISQVYTECTQPPSSPPVSRPTTPT AIGLADLINFASSLAVASSSNMALPNLENMIKGTSEKSQNTSLDFCQPVQAIKFAQATQI TQISSEKQDESPKSMAHKSWTRETRNVACSYLDINQAGLKTATIQGEVKFVQTPIASPQL QEAKEDSVPGTKKGNPLLLKIHFKLSSPQPQRND >ENSMUSP00000068550.6 pep:known chromosome:GRCm38:14:36874936:36968687:-1 gene:ENSMUSG00000058690.14 transcript:ENSMUST00000067700.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser2 description:coiled-coil serine rich 2 [Source:MGI Symbol;Acc:MGI:101859] MEEKTQIKTFLGSKLPKYGMKSVRSTLQPMPNGATVTLLGTSKSSNVKSYIKNNGSDCSL SHSFNWRKTNKYQLGSQNTAELNNIQSTHDKLIEPEQHAPAPGTLDGHGIKGGLKSASLF TSKLARPSTMFVSSAEELSQKSFSGPSNLGKFTKGTLLGRTSYSSVNAKSHLNAFYGNRS SGNVQKPRVNSCASRSSSGESLAQSPDNAKSITCEKMVRSQSFSHSIQNVFLPPSSITRS HSFNRAVDLTKPYQNQQLPVRVPLRSGMLTRSSLQSEVLNGNEHVGFGFNRPYAAAGKKL ALSNGPAVSSTLVYRMAHPPLLKSSRPPFSGPMTVDSNKNPPADMCVEEEGMVSAQDSSP GKDQELIENESYRRDNDQTGKNESKVRYLSDDVDDISLSSLSSSDKNDLSEDFSDDFIDL EDSNRTRITPEEMTLKEEKHESRPSKDIFDSPKESEQAFSKAEEWIDISVSDRSECTKHT SGNNLISPDTDYRAGSSFELSPSDSSDGTYMWDEEGLEPIGSVHPVGSYESSEMNSIDIL NNLESCDLEDDDLMLDVDLPEDAPLENVECDNMNRFDRTDRNVRQSQDGFWKRPPQRWSG QDHYHLSHPGHYHHHGQSDLSRGSPYRESPLGHFESYGGTPFFQAQKMFVDVPDNTVILD EMTLRHMVQDCTAVKTQLLKLKRLLHQHDGSGSLHDVQLSLPSSPEPEDGDQIYKNEDLL NEITQLKEEIKKKDEKIQLLEQQLATRCNCQQKSKEEKCTYADKYTQTPWRRIPPQVLQP SSSLPRSTDHAQGKLIKPQRTEAHSDYTVQGVCPGGAHPDGSCTHGLQQDNSRGLQERPS SSSPQLTVDVVKYIPSETDLSMTLDAQEPHHLAEKKPSDLQFVTPPPQTPSQSSTVDQTK RGGRNQCPQPKSLQLLKPSNLSSLTPPPDSDSSPSRTSTCKKAPGITPCHSKHQPTSNQN NPANHLNLKTSKLRPPSGSFKQKQISNPQVEPQNFQAKTSIPRPLARPKELHAPHSGLHS GDCVASNRYSRLPKPKIH >ENSMUSP00000087478.3 pep:known chromosome:GRCm38:14:36874936:36968777:-1 gene:ENSMUSG00000058690.14 transcript:ENSMUST00000090024.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser2 description:coiled-coil serine rich 2 [Source:MGI Symbol;Acc:MGI:101859] MEEKTQIKTFLGSKLPKYGMKSVRSTLQPMPNGATVTLLGTSKSSNVKSYIKNNGSDCSL SHSFNWRKTNKYQLGSQNTAELNNIQSTHDKLIEPEQHAPAPGTLDGHGIKGGLKSASLF TSKLARPSTMFVSSAEELSQKSFSGPSNLGKFTKGTLLGRTSYSSVNAKSHLNAFYGNRS SGNVQKPRVNSCASRSSSGESLAQSPDNAKSITCEKMVRSQSFSHSIQNVFLPPSSITRS HSFNRAVDLTKPYQNQQLPVRVPLRSGMLTRSSLQSEVLNGNEHVGFGFNRPYAAAGKKL ALSNGPAVSSTLVYRMAHPPLLKSSRPPFSGPMTVDSNKNPPADMCVEEEGMVSAQDSSP GKDQELIENESYRRDNDQTGKNESKVRYLSDDVDDISLSSLSSSDKNDLSEDFSDDFIDL EDSNRTRITPEEMTLKEEKHESRPSKDIFDSPKESEQAFSKAEEWIDISVSDRSECTKHT SGNNLISPDTDYRAGSSFELSPSDSSDGTYMWDEEGLEPIGSVHPVGSYESSEMNSIDIL NNLESCDLEDDDLMLDVDLPEDAPLENVECDNMNRFDRTDRNVRQSQDGFWKRPPQRWSG QDHYHLSHPGHYHHHGQSDLSRGSPYRESPLGHFESYGGTPFFQAQKMFVDVPDNTVILD EMTLRHMVQDCTAVKTQLLKLKRLLHQHDGSGSLHDVQLSLPSSPEPEDGDQIYKNEDLL NEITQLKEEIKKKDEKIQLLEQQLATRCNCQQKSKEEKCTYADKYTQTPWRRIPGGYCAP SFSPWQGSFQGMPRTVPPHRRQTSSTTAFQQPSQIYRPRPGKTNKATTYRGPQ >ENSMUSP00000138718.1 pep:known chromosome:GRCm38:14:36878841:36935572:-1 gene:ENSMUSG00000058690.14 transcript:ENSMUST00000183038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser2 description:coiled-coil serine rich 2 [Source:MGI Symbol;Acc:MGI:101859] MLDVDLPEDAPLENVECDNMNRFDRTDRNVRQSQDGFWKRPPQRWSGQDHYHLSHPGHYH HHGQSDLSRGSPYRESPLGHFESYGGTPFFQAQKMFVDVPDNTVILDEMTLRHMVQDCTA VKTQLLKLKRLLHQHDGSGSLHDVQLSLPSSPEPEDGDQIYKNEDLLNEITQLKEEIKKK DEKIQLLEQQLATRCNCQQKSKEEKCTYADKYTQTPWRRIPPQVLQPSSSLPRSTDHAQG KLIKPQRTEAHSDYTVQGVCPGGAHPDGSCTHGLQQDNSRGLQERPSSSSPQLTVDVVKY IPSETDLSMTLDAQEPHHLAEKKPSDLQFVTPPPQTPSQSSTVDQTKRGGRNQCPQPKSL QLLKPSNLSSLTPPPDSDSSPSRTSTCKKAPGITPCHSKHQPTSNQNNPANHLNLKTSKL RPPSGSFKQKQISNPQVEPQNFQAKTSIPRPLARPKELHAPHSGLHSGDCVASNRYSRLP KPKIH >ENSMUSP00000138100.1 pep:known chromosome:GRCm38:14:36879096:36909181:-1 gene:ENSMUSG00000058690.14 transcript:ENSMUST00000183316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser2 description:coiled-coil serine rich 2 [Source:MGI Symbol;Acc:MGI:101859] HFESYGGTPFFQAQKMFVDVPDNTVILDEMTLRHMVQDCTAVKTQLLKLKRLLHQHDGSG SLHDVQLSLPSSPEPEDGDQIYKNEDLLNEITQLKEEIKKKDEKIQLLEQQLATRCNCQQ KSKEEKCTYADKYTQTPWRRIPGGYCAPSFSPWQGSFQGMPRTVPPHRRQRVEKLVHYFS DKACLKYYSLPAAFPDLQTTPREN >ENSMUSP00000138787.1 pep:known chromosome:GRCm38:14:36879143:36919333:-1 gene:ENSMUSG00000058690.14 transcript:ENSMUST00000182797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser2 description:coiled-coil serine rich 2 [Source:MGI Symbol;Acc:MGI:101859] MNRFDRTDRNVRQSQDGFWKRPPQRWSGQDHYHLSHPGHYHHHGQSDLSRGSPYRESPLG HFESYGGTPFFQAQKMFVDVPDNTVILDEMTLRHMVQDCTAVKTQLLKLKRLLHQHDGSG SLHDVQLSLPSSPEPEDGDQIYKNEDLLNEITQLKEEIKKKDEKIQLLEQQLATRCNCQQ KSKEEKCTYADKYTQTPWRRIPGGYCAPSFSPWQGSFQGMPRTVPPHRRQSESVSLTPIL LWLPLHASSTTAFQQPSQIYRPRPGKTNKATTYRGPQ >ENSMUSP00000138453.1 pep:known chromosome:GRCm38:14:36879359:36919535:-1 gene:ENSMUSG00000058690.14 transcript:ENSMUST00000182042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser2 description:coiled-coil serine rich 2 [Source:MGI Symbol;Acc:MGI:101859] MNRFDRTDRNVRQSQDGFWKRPPQRWSGQDHYHLSHPGHYHHHGQSDLSRGSPYRESPLG HFESYGGTPFFQAQKMFVDVPDNTVILDEMTLRHMVQDCTAVKTQLLKLKRLLHQHDGSG SLHDVQLSLPSSPEPEDGDQIYKNEDLLNEITQLKEEIKKKDEKIQLLEQQLATRCNCQQ KSKEEKCTYADKYTQTPWRRIPGGYCAPSFSPWQGSFQGMPRTVPPHRRQTSSTTAFQQP SQIYRPRPGKTNKATTYRGPQ >ENSMUSP00000138318.1 pep:known chromosome:GRCm38:14:36879518:36926863:-1 gene:ENSMUSG00000058690.14 transcript:ENSMUST00000182576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccser2 description:coiled-coil serine rich 2 [Source:MGI Symbol;Acc:MGI:101859] PEDAPLENVECDNMNRFDRTDRNVRQSQDGFWKRPPQRWSGQDHYHLSHPGHYHHHGQSD LSSLKYYSLPAAFPDLQTTPREN >ENSMUSP00000142444.1 pep:known chromosome:GRCm38:5:88086556:88098721:1 gene:ENSMUSG00000029281.13 transcript:ENSMUST00000199635.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smr2 description:submaxillary gland androgen regulated protein 2 [Source:MGI Symbol;Acc:MGI:102762] MKALYMVFVLWVLIGCFLSGECHRAPRGQHGPRRTLAPSTHHRHYHLYPLPDPNHVQFGV PPFLPTSSGFCKPHSLSLYAPPFEIVIQPSSIIVTIPGLILTLSSDPSPQRNCPARTPPR VKANIIPGPITAQLLPKLKIPLIILDDSRK >ENSMUSP00000084271.3 pep:known chromosome:GRCm38:5:88086556:88109053:1 gene:ENSMUSG00000029281.13 transcript:ENSMUST00000087043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smr2 description:submaxillary gland androgen regulated protein 2 [Source:MGI Symbol;Acc:MGI:102762] MKALYMVFVLWVLIGCFLSSECQRGFRGQHDPTRPLSPSNPSSHFYPQPDPNRVQISQPD NIPIFMFEQPHSLNICVPPPPLYLGEEFEKLPPNTHIPYILIRPDIEPPSKYIQPVPRKK SNATPAANNFITTATAPNSTDSF >ENSMUSP00000142688.1 pep:known chromosome:GRCm38:5:88086556:88109053:1 gene:ENSMUSG00000029281.13 transcript:ENSMUST00000196477.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smr2 description:submaxillary gland androgen regulated protein 2 [Source:MGI Symbol;Acc:MGI:102762] MKPLCLVFGLCVLIGCFLSSECQRGFRGQHDPTRPLSPSNPSSHFYPQPDPNRVQISQPD NIPIFMFEQPHSLNICVPPPPLYLGEEFEKLPPNTHIPYILIRPDIEPPSKYIQPVPRKK SNATPAANNFITTATAPNSTDSF >ENSMUSP00000143443.1 pep:known chromosome:GRCm38:5:88086556:88109053:1 gene:ENSMUSG00000029281.13 transcript:ENSMUST00000196070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smr2 description:submaxillary gland androgen regulated protein 2 [Source:MGI Symbol;Acc:MGI:102762] MKPLCLVFGLCVLIGCFLSSECQRGFRGQHDPTRPLSPSNPSSHFYPQPDPNRVQISQPD NIPIFMFEQPHSLNICVPPPPLYLGEEFEKLPPNTHIPYILIRPDIEPPSKYIQPVPRKK SNATPAANNFITTATAPNSTDSF >ENSMUSP00000113211.1 pep:known chromosome:GRCm38:9:78289923:78305525:1 gene:ENSMUSG00000074179.11 transcript:ENSMUST00000119823.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10639 description:predicted gene 10639 [Source:MGI Symbol;Acc:MGI:3704339] MAGKPVLHHFNARGRMECIRWLLAAAGVEFEEKFIQSPEDLEKLKKDGNLMFDQVPMVEI DGMKLVQTRAILNYIATKYDLYGKDMKERALIDMYSEGILDLTEMIGQLLICPPDQKEAK TALAKDRTKNRYLPAFEKVLKGHGQDYLVGNRLTRVDVHLLELLLYVEEFDASLLTPFPL LKAFKSRISSLPNVKKFLHPGSQRKPPLDAKQIEEARKIFKF >ENSMUSP00000113635.1 pep:known chromosome:GRCm38:9:78289928:78305524:1 gene:ENSMUSG00000074179.11 transcript:ENSMUST00000121273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10639 description:predicted gene 10639 [Source:MGI Symbol;Acc:MGI:3704339] MAGKPVLHHFNARGRMECIRWLLAAAGVEFEEKFIQSPEDLEKLKKDGNLMFDQVPMVEI DGMKLVQTRAILNYIATKYDLYGKDMKERALIDMYSEGILDLTEMIGQLLICPPDQKEAK TALAKDRTKNRYLPAFEKVLKGHGQDYLVGNRLTRVDVHLLELLLYVEEFDASLLTPFPL LKAFKSRISSLPNVKKFLHPGSQRKPPLDAKQIEEARKIFKF >ENSMUSP00000003135.7 pep:known chromosome:GRCm38:1:135253575:135258568:-1 gene:ENSMUSG00000003051.13 transcript:ENSMUST00000003135.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf3 description:E74-like factor 3 [Source:MGI Symbol;Acc:MGI:1101781] MAATCEISNVFSNYFNAMYSSEDPTLAPAPPTTFGTEDLVLTLNNQQMTLEGPGPQTRSQ RDRTDPLAVLHLAEKASWTSERPQFWSKTQVLEWISYQVEKNKYDASSIDFSRCDMDGAT LCSCALEELRLVFGPLGDQLHAQLRDLTSNSSDELSWIIELLEKDGMSFQESLGDSGPFD QGSPFAQELLDDGRQASPYYCSTYGPGAPSPGSSDVSTARTATPQSSHASDSGGSDVDLD LTESKVFPRDGFPDYKKGEPKHGKRKRGRPRKLSKEYWDCLEGKKSKHAPRGTHLWEFIR DILIHPELNEGLMKWENRHEGVFKFLRSEAVAQLWGQKKKNSNMTYEKLSRAMRYYYKRE ILERVDGRRLVYKFGKNSSGWKEEEVGESRN >ENSMUSP00000139769.1 pep:known chromosome:GRCm38:1:135253579:135258438:-1 gene:ENSMUSG00000003051.13 transcript:ENSMUST00000185752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf3 description:E74-like factor 3 [Source:MGI Symbol;Acc:MGI:1101781] MAATCEISNVFSNYFNAMYSSEDPTLAPAPPTTFGTEDLVLTLNNQQMTLEGPEKASWTS ERPQFWSKTQVLEWISYQVEKNKYDASSIDFSRCDMDGATLCSCALEELRLVFGPLGDQL HAQLRDLTSNSSDELSWIIELLEKDGMSFQESLGDSGPFDQGSPFAQELLDDGRQASPYY CSTYGPGAPSPGSSDVSTARTATPQSSHASDSGGSDVDLDLTESKVFPRDGFPDYKKGEP KHGKRKRGRPRKLSKEYWDCLEGKKSKHAPRGTHLWEFIRDILIHPELNEGLMKWENRHE GVFKFLRSEAVAQLWGQKKKNSNMTYEKLSRAMRYYYKREILERVDGRRLVYKFGKNSSG WKEEEVGESRN >ENSMUSP00000089951.5 pep:known chromosome:GRCm38:11:121510978:121519333:-1 gene:ENSMUSG00000039238.6 transcript:ENSMUST00000092298.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp750 description:zinc finger protein 750 [Source:MGI Symbol;Acc:MGI:2442210] MSLLKERKPKKPHYIPRPPGKPFKYKCFQCPFTCNEKSHLFNHMKYGLCKNSITLVSEQD RIPKCPKSSSLDPKQTHQPEPTSKPATSKSLLNGLSSFDPKSQQGSAKEDAKENLEMQAR GAHKGPQKPALQKEMAPEAILSTQPCLDSGVRHSAFVPVGEHRLRGPEDTEATEVLANST TKASSFHAKSAFHTPGYPWKAGSPFLPPDFPHKISSTKGFGAISPYMHPAIPEYPHPFYA EHGLAAIYSPYLLTGNTPECETTLLSVYGTQDQRHFLSPAGPIPKHLNTSPSTYDHYRFF QQYHSNLPIPYGFYRPESAFPSYSLRLPSVTGITRDQSSRLLEDATLAYPASSPSELNLS SSHRKHTECEKGSPVPEAKDPSKDGQRDAEEAKMSPRAGSAATGSPGRPSPTNFTQTSQT FEGLCDLSNKAASSGTLERLQQAEQSPTAFKPVQRGSESPHSQPPANRTESPKSLQAMNG DPPAQTGSSNSFITEAPPSSPEDHSRIGPLNLSKKLETNPAATYGPMYASNAQADTLQDL PLNLSVKDLCNAWAPRPALPGPPQGAEPAATPKTETKGSEDRTSRVETPQDKAHSRTTPD VHTEDSSDEQKQTAAVALCQLAAYSPGNVRVADEEGTVQEPTRQDVPTLSATENLEAQCD LRPKGQKRTSQRDTGKSQQGTKKPKLNDPVPRVLTLRRRTRVS >ENSMUSP00000029696.6 pep:known chromosome:GRCm38:3:88685803:88712924:1 gene:ENSMUSG00000028060.14 transcript:ENSMUST00000029696.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810403A07Rik description:RIKEN cDNA 2810403A07 gene [Source:MGI Symbol;Acc:MGI:1921450] MSAGSATHPAAGGRRSKWDQPAPAPLLFLPPTAPGGEVAGSGASPGGATTAAAPSGALDA AAAVAAKINAMLMAKGKLKPSQNAAEKLQAPGKSLTSNKSKDDLVVAEVEINDVPLTCRN LLTRGQTQDEISRLSGAAVSTRGRFMTTEEKAKVGPGDRPLYLHVQGQTRELVDRAVNRI KEIITNGVVKAATGTSPTFNGATVTVYHQPAPIAQLSPAINQKPSFQSGMHYVQDKLFVG LEHAVPTFNVKEKVEGPGCSYLQHIQIETGAKVFLRGKGSGCIEPASGREAFEPMYIYIS HPKPEGLAAAKKLCENLLQTVHAEYSRFVNQINTAVPLPGYTQPSAISSIPPQPPYYPSN GYQSGYPVVPPPQQPVQPPYGVPSIVPPAVSLAPGVLPALPTGVPPVPTQYPITQVQPPA STGQSPISAPFIPAAPVKTALPTGPQPQPQLPAQPQSQKRRFTEELPDERDSGLLGYQHG PIHMTNLGTGFSSQNEIEGAGSKPASSSGKERERDRQLMPPPAFPVTGIKTESDERNGSG ALAGSHDYPAKKMKTAEKGFGLVAYAADSSDEEEEHGGHKNASSFPQGWSLGYQYPSSQP RAKQQMPFWMAP >ENSMUSP00000142773.1 pep:known chromosome:GRCm38:3:88685827:88712562:1 gene:ENSMUSG00000028060.14 transcript:ENSMUST00000198042.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2810403A07Rik description:RIKEN cDNA 2810403A07 gene [Source:MGI Symbol;Acc:MGI:1921450] MSAGSATHPAAGGRRSKWDQPAPAPLLFLPPTAPGGEVAGSGASPGGATTAAAPSGALDA AAAVAAKINAMLMAKGKLKPSQNAAEKLQAPGKSLTSNKSKDDLVVAEVEINDVPLTCRN LLTRGQTQDEISRLSGAAVSTRGRFMTTEEKAKVGPGDRPLYLHVQGQTRELVDRAVNRI KEIITNGVVKAATGTSPTFNGATVTVYHQPAPIAQLSPAINQKPSFQSGMHYVQDKLFVG LEHAVPTFNVKEKVEGPGCSYLQHIQIETGAKVFLRGKGSGCIEPASGREAFEPMYIYIS HPKPEGLAAAKKLCENLLQTVHAEYSRFVNQINTAVPLPGYTQPSAISSIPPQPPYYPSN GYQSGYPVVPPPQQPVQPPYGVPSIVPPAVSLAPGVLPALPTGVPPVPTQYPITQVQPPA STGQSPISAPFIPAAPVKTALPTGPQPQPQLPAQPQSQKRRFTEELPDERDSGLLGYQVQ ASPVRMR >ENSMUSP00000142353.1 pep:known chromosome:GRCm38:3:88685827:88712854:1 gene:ENSMUSG00000028060.14 transcript:ENSMUST00000199684.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2810403A07Rik description:RIKEN cDNA 2810403A07 gene [Source:MGI Symbol;Acc:MGI:1921450] MSAGSATHPAAGGRRSKWDQPAPAPLLFLPPTAPGGEVAGSGASPGGATTAAAPSGALDA AAAVAAKINAMLMAKGKLKPSQNAAEKLQAPGKSLTSNKSKDDLVVAEVEINDVPLTCRN LLTRGQTQDEISRLSGAAVSTRGRFMTTEEKAKVGPGDRPLYLHVQGQTRELVDRAVNRI KEIITNGVVKAATGTSPTFNGATVTVYHQPAPIAQLSPAINQKPSFQSGMHYVQDKLFVG LEHAVPTFNVKEKVEGPGCSYLQHIQIETGAKVFLRGKGSGCIEPASGREAFEPMYIYIS HPKPEGLAAAKKLCENLLQTVHAEYSRFVNQINTAVPLPGYTQPSAISSIPPQPPYYPSN GYQSGYPVVPPPQQPVQPPYGVPSIVPPAVSLAPGVLPALPTGVPPVPTQYPITQVQPPA STGQSPISAPFIPAAPVKTALPTGPQPQPQLPAQPQSQKRRFTEELPDERDSGLLGYQVQ ASPVRMR >ENSMUSP00000142760.1 pep:known chromosome:GRCm38:3:88685837:88712003:1 gene:ENSMUSG00000028060.14 transcript:ENSMUST00000198078.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2810403A07Rik description:RIKEN cDNA 2810403A07 gene [Source:MGI Symbol;Acc:MGI:1921450] MSAGSATHPAAGGRRSKWDQPAPAPLLFLPPTAPGGEVAGSGASPGGATTAAAPSGALDA AAAVAAKINAMLMAKGKLKPSQNAAEKLQAPGKSLTSNKSKDDLVVAEVEINDVPLTCRN LLTRGQTQDEISRLSGAAVSTRGRFMTTEEKAKVGPGDRPLYLHVQGQTRELVDRAVNRI KEIITNGVVKAATGTSPTFNGATVTVYHQPAPIAQLSPAINQKPSFQSGMHYVQDKLFVG LEHAVPTFNVKEKVEGPGCSYLQHIQIETGAKVFLRGKGSGCIEPASGREAFEPMYIYIS HPKPEGLAAAKKLCENLLQTVHAEYSRFVNQINTAVPLPASSASLRSTKHSATSCFIGTW SLASITYWGPTCANTVSDNTSATSSQHWTEPNKCSFYSCCSCQNCLAYWPPAPAPTPSSA TVTEEAIHRGAA >ENSMUSP00000144901.1 pep:known chromosome:GRCm38:6:108828641:108859356:1 gene:ENSMUSG00000030104.9 transcript:ENSMUST00000204804.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Edem1 description:ER degradation enhancer, mannosidase alpha-like 1 [Source:MGI Symbol;Acc:MGI:2180139] MQWRALVLGLVLLRLGLHAVLWLVFGLGPSMGFYQRFPLSFGFQRLRDPDGSGPVGPPGG PAWLHRPRRGTEGRLETPPEPGPTPGPGVCGPAHWGYALGGGGCGPDEYERRYSGAFPPQ LRAQMRDLARGMFVFGYDNYMAHAFPQDELNPIYCRGRGPDRGDPSNLNINDVLGNYSLT LVDALDTLAIMGNSSEFQKAVKLVINTVSFDKDSTVQVFEATIRVLGSLLSAHRIITDSK QPFGDMTIEDYDNELLYMAHDLAVRLLPAFENTKTGIPYPRVNLKTGVPPDSNNETCTAG AGSLLVEFGILSRLLGDSTFEWVARRAVKALWNLRSNDTGLLGNVVNIQTGHWVGKQSGL GAGLDSFYEYLLKSYILFGEKEDLEMFNAAYQSIQSYLRRGREACNEGEGDPPLYVNVNM FSGQLMNTWIDSLQAFFPGLQVLIGDVEDAICLHAFYYAIWKRYGALPERYNWQLQAPDV LFYPLRPELVESTYLLYQATKNPFYLHVGMDILQSLEKYTKVKSVCYVPYFIVWMFVID >ENSMUSP00000086565.3 pep:known chromosome:GRCm38:6:108828674:108859348:1 gene:ENSMUSG00000030104.9 transcript:ENSMUST00000089162.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edem1 description:ER degradation enhancer, mannosidase alpha-like 1 [Source:MGI Symbol;Acc:MGI:2180139] MQWRALVLGLVLLRLGLHAVLWLVFGLGPSMGFYQRFPLSFGFQRLRDPDGSGPVGPPGG PAWLHRPRRGTEGRLETPPEPGPTPGPGVCGPAHWGYALGGGGCGPDEYERRYSGAFPPQ LRAQMRDLARGMFVFGYDNYMAHAFPQDELNPIYCRGRGPDRGDPSNLNINDVLGNYSLT LVDALDTLAIMGNSSEFQKAVKLVINTVSFDKDSTVQVFEATIRVLGSLLSAHRIITDSK QPFGDMTIEDYDNELLYMAHDLAVRLLPAFENTKTGIPYPRVNLKTGVPPDSNNETCTAG AGSLLVEFGILSRLLGDSTFEWVARRAVKALWNLRSNDTGLLGNVVNIQTGHWVGKQSGL GAGLDSFYEYLLKSYILFGEKEDLEMFNAAYQSIQSYLRRGREACNEGEGDPPLYVNVNM FSGQLMNTWIDSLQAFFPGLQVLIGDVEDAICLHAFYYAIWKRYGALPERYNWQLQAPDV LFYPLRPELVESTYLLYQATKNPFYLHVGMDILQSLEKYTKVKCGYATLHHVIDKSKEDR MESFFLSETCKYLYLLFDEENPVHKSGTRYMFTTEGHIISVDKRLRELPWKEFFSEDGER DQEEKFVHRPKSQELRVINSSSNCNRVPDERRYSLPLKSIYMRQIDQMVGLI >ENSMUSP00000028430.4 pep:known chromosome:GRCm38:2:76353942:76360450:-1 gene:ENSMUSG00000056436.4 transcript:ENSMUST00000028430.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyct description:cytochrome c, testis [Source:MGI Symbol;Acc:MGI:88579] MGDAEAGKKIFVQKCAQCHTVEKGGKHKTGPNLWGLFGRKTGQAPGFSYTDANKNKGVIW SEETLMEYLENPKKYIPGTKMIFAGIKKKSEREDLIKYLKQATSS >ENSMUSP00000020397.7 pep:known chromosome:GRCm38:10:75517551:75537373:1 gene:ENSMUSG00000020180.9 transcript:ENSMUST00000020397.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpd3 description:small nuclear ribonucleoprotein D3 [Source:MGI Symbol;Acc:MGI:1914582] MSIGVPIKVLHEAEGHIVTCETNTGEVYRGKLIEAEDNMNCQMSNITVTYRDGRVAQLEQ VYIRGSKIRFLILPDMLKNAPMLKSMKNKNQGSGAGRGKAAILKAQVAARGRGRGMGRGN IFQKRR >ENSMUSP00000026494.6 pep:known chromosome:GRCm38:18:77456110:77565136:-1 gene:ENSMUSG00000025427.14 transcript:ENSMUST00000026494.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf165 description:ring finger protein 165 [Source:MGI Symbol;Acc:MGI:2444521] MVLVHVGYLVLPVFGSVRNRGAPFQRSQHPHATSCRHFHLGPPQPQQLAPDFPLAHPVQS QPGLSAHMAPAHQHSGTLHQSLTPLPTLQFQDVTGPSFLPQALHQQYLLQQQLLEAQHRR LVSHPRRNQDRVSVHPHRLHPSFDFGHQLQTPQPRYLAEGTDWDLSVDAGLSPAQFQVRP IPQHYQHYLATPRMHHFPRNSSSTQMVVHEIRNYPYPQLHFLALQGLNPSRHTSAVRESY EELLQLEDRLGNVTRGAVQNTIERFTFPHKYKKRRPQDSKGKKDEGEESDTDEKCTICLS MLEDGEDVRRLPCMHLFHQLCVDQWLAMSKKCPICRVDIETQLGADS >ENSMUSP00000138494.1 pep:known chromosome:GRCm38:18:77458685:77565147:-1 gene:ENSMUSG00000025427.14 transcript:ENSMUST00000182024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf165 description:ring finger protein 165 [Source:MGI Symbol;Acc:MGI:2444521] MHHFPRNSSSTQMVVHEIRNYPYPQLHFLALQGLNPSRHTSAVRESYEELLQLEDRLGNV TRGAVQNTIERFTFPHKYKKRRPQDSKGKKDEGEESDTDEKCTICLSMLEDGEDVRRLPC MHLFHQLCVDQWLAMSKKCPICRVDIETQLGADS >ENSMUSP00000138276.1 pep:known chromosome:GRCm38:18:77466737:77565050:-1 gene:ENSMUSG00000025427.14 transcript:ENSMUST00000182153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf165 description:ring finger protein 165 [Source:MGI Symbol;Acc:MGI:2444521] XNRGAPFQRSQHPHATSCRHFHLGPPQPQQLAPDFPLAHPVQSQPGLSAHMAPAHQHSGT LHQSLTPLPTLQFQDVTGPSFLPQALHQQYLLQQQLLEAQHRRLVSHPRRNQDRVSVHPH RLHPSFDFGHQLQTPQPRYLAEGTDWDLSVDAGLSPAQFQVRPIPQHYQHYLATPRMHHF PRNSSSTQMELLQLEDRLGNV >ENSMUSP00000138529.1 pep:known chromosome:GRCm38:18:77563132:77565105:-1 gene:ENSMUSG00000025427.14 transcript:ENSMUST00000182146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf165 description:ring finger protein 165 [Source:MGI Symbol;Acc:MGI:2444521] MPPSSQYTIISYYLAFPLKKRVWNMTLGRNSKMIKKDVEPE >ENSMUSP00000076564.7 pep:known chromosome:GRCm38:1:135262712:135284084:-1 gene:ENSMUSG00000041926.15 transcript:ENSMUST00000077340.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnpep description:arginyl aminopeptidase (aminopeptidase B) [Source:MGI Symbol;Acc:MGI:2384902] MESGGPGNYSAAARRPLHSAQAVDVASASSFRAFEILHLHLDLRAEFGPPGPGPGSRGLS GTATLELRCLLPEGASELRLDSHSCLEVTAATLRRGQPGDQQAPAEPVPFHTQPFSHYGQ ALCVAFRQPCGAADRFELELTYRVGEGPGVCWLAPEQTAGKKKPFVYTQGQAVLNRAFFP CFDTPAVKCTYSALIEVPDGFTAVMSADTWEKRGPNKFFFQMSHPIPSYLIALAIGDLAS AEVGPRSRVWAEPCLIEAAKEEYSGVIEEFLATGEKLFGPYVWGRYDLLFMPPSFPFGGM ENPCLTFVTPCLLAGDRSLADVIIHEISHSWFGNLVTNANWGEFWLNEGFTMYAQRRIST ILFGAAYTCLEAATGRALLRQHMNVSGEENPLNKLRVKIEPGVDPDDTYNETPYEKGYCF VSYLAHLVGDQDQFDKFLKAYVDEFKFQSILAEDFLEFYLEYFPELKKKGVDSIPGFEFD RWLNTPGWPPYLPDLSPGDSLMKPAEELAELWVTSEPDMQAIEAVAISTWKTYQLVYFLD KILQKSPLPPGNVKKLGETYPKISNAQNAELRLRWGQIILKNDYQEEFQKVKDFLQSQGK QKYTLPLYHAMMGGSEMARTLAKDTFAATASQLHSNVVNYVQQILAPKDS >ENSMUSP00000073962.7 pep:known chromosome:GRCm38:1:135262712:135284084:-1 gene:ENSMUSG00000041926.15 transcript:ENSMUST00000074357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnpep description:arginyl aminopeptidase (aminopeptidase B) [Source:MGI Symbol;Acc:MGI:2384902] MESGGPGNYSAAARRPLHSAQAVDVASASSFRAFEILHLHLDLRAEFGPPGPGPGSRGLS GTATLELRCLLPEGASELRLDSHSCLEVTAATLRRGQPGDQQAPAEPVPFHTQPFSHYGQ ALCVAFRQPCGAADRFELELTYRVGEGPGVCWLAPEQTAGKKKPFVYTQGQAVLNRAFFP CFDTPAVKCTYSALIEVPDGFTAVMSADTWEKRGPNKFFFQMSHPIPSYLIALAIGDLAS AEVGPRYDLLFMPPSFPFGGMENPCLTFVTPCLLAGDRSLADVIIHEISHSWFGNLVTNA NWGEFWLNEGFTMYAQRRISTILFGAAYTCLEAATGRALLRQHMNVSGEENPLNKLRVKI EPGVDPDDTYNETPYEKGYCFVSYLAHLVGDQDQFDKFLKAYVDEFKFQSILAEDFLEFY LEYFPELKKKGVDSIPGFEFDRWLNTPGWPPYLPDLSPGDSLMKPAEELAELWVTSEPDM QAIEAVAISTWKTYQLVYFLDKILQKSPLPPGNVKKLGETYPKISNAQNAELRLRWGQII LKNDYQEEFQKVKDFLQSQGKQKYTLPLYHAMMGGSEMARTLAKDTFAATASQLHSNVVN YVQQILAPKDS >ENSMUSP00000081755.5 pep:known chromosome:GRCm38:7:112679318:112899459:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000084705.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR YIKLRTGKTRTRKQVSSHIQVLARRKSRDFHSKLKDQTAKDKALQHMAAMSSAQIVSATA IHNKLGLPGIPRPTFPGGPGFWPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIPGFEP TSAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRDPDSYNKHLFVHIGHANHSYSDPLLE SVDIRQIYDKFPEKKGGLKELFGKGPQNAFFLVKFWADLNCNIQDDAGAFYGVSSQYESS ENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFVYRINRSPMCEYMINFIHKLKHLPEK YMMNSVLENFTILLVVTNRDTQETLLCMACVFEVSNSEHGAQHHIYRLVKD >ENSMUSP00000060671.9 pep:known chromosome:GRCm38:7:112679320:112906807:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000059768.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR YIKLRTGKTRTRKQVSSHIQVLARKKVREIQAAIKVSSHIQVLARRKSRDFHSKLKVTSM DQTAKDKALQHMAAMSSAQIVSATAIHNKLGLPGIPRPTFPGGPGFWPGMIQTGQPGSSQ DVKPFVQQAYPIQPAVTAPIPGFEPTSAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRD PDSYNKHLFVHIGHANHSYSDPLLESVDIRQIYDKFPEKKGGLKELFGKGPQNAFFLVKF WADLNCNIQDDAGAFYGVSSQYESSENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFV YRINRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILLVVTNRDTQETLLCMACVFEVS NSEHGAQHHIYRLVKD >ENSMUSP00000102249.2 pep:known chromosome:GRCm38:7:112679357:112899990:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000106638.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR YIKLRTGKTRTRKQVSSHIQVLARRKSRDFHSKLKVTSMDQTAKDKALQHMAAMSSAQIV SATAIHNKLGLPGIPRPTFPGGPGFWPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIP GFEPTSAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRDPDSYNKHLFVHIGHANHSYSD PLLESVDIRQIYDKFPEKKGGLKELFGKGPQNAFFLVKFWADLNCNIQDDAGAFYGVSSQ YESSENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFVYRINRSPMCEYMINFIHKLKH LPEKYMMNSVLENFTILLVVTNRDTQETLLCMACVFEVSNSEHGAQHHIYRLVKD >ENSMUSP00000131765.1 pep:known chromosome:GRCm38:7:112691698:112759529:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000171373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] MERMSDSADKPIDNDA >ENSMUSP00000127574.1 pep:known chromosome:GRCm38:7:112742045:112898660:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000164363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR YIKLRTGKTRTRKQVSSHIQVLARKKVREIQAAIKVSSHIQVLARRKSRDFHSKLKVTSM DQTAKDKALQHMAAMSSAQIVSATAIHNKLGLPGIPRPTFPGGPGFWPGMIQTGQPGSSQ DVKPFVQQAYPIQPAVTAPIPGFEPTSAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRD PDSYNKHLFVHIGHANHSYSDPLLESVDIRQIYDKFPEKKGGLKELFGKGPQNAFFLVKF WADLNCNIQDDAGAFYGVSSQYESSENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFV YRINRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILLVVTNRDTQETLLCMACVFEVS NSEHGAQHHIYRLVKD >ENSMUSP00000129798.1 pep:known chromosome:GRCm38:7:112759438:112898660:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000170352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR YIKLRTGKTRTRKQDQTAKDKALQHMAAMSSAQIVSATAIHNKLGLPGIPRPTFPGGPGF WPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIPGFEPTSAPAPSVPAWQGRSIGTTKL RLVEFSAFLEQQRDPDSYNKHLFVHIGHANHSYSDPLLESVDIRQIYDKFPEKKGGLKEL FGKGPQNAFFLVKFWADLNCNIQDDAGAFYGVSSQYESSENMTVTCSTKVCSFGKQVVEK VETEYARFENGRFVYRINRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILLVVTNRDT QETLLCMACVFEVSNSEHGAQHHIYRLVKD >ENSMUSP00000133025.1 pep:known chromosome:GRCm38:7:112759483:112898660:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000168981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR YIKLRTGKTRTRKQVTSMDQTAKDKALQHMAAMSSAQIVSATAIHNKLGLPGIPRPTFPG GPGFWPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIPGFEPTSAPAPSVPAWQGRSIG TTKLRLVEFSAFLEQQRDPDSYNKHLFVHIGHANHSYSDPLLESVDIRQIYDKFPEKKGG LKELFGKGPQNAFFLVKFWADLNCNIQDDAGAFYGVSSQYESSENMTVTCSTKVCSFGKQ VVEKVETEYARFENGRFVYRINRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILLVVT NRDTQETLLCMACVFEVSNSEHGAQHHIYRLVKD >ENSMUSP00000128439.1 pep:known chromosome:GRCm38:7:112759483:112898660:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000171197.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR YIKLRTGKTRTRKQVSSHIQVLARRKSRDFHSKLKVTSMDQTAKDKALQHMAAMSSAQIV SATAIHNKLGLPGIPRPTFPGGPGFWPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIP GFEPTSAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRDPDSADLNCNIQDDAGAFYGVS SQYESSENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFVYRINRSPMCEYMINFIHKL KHLPEKYMMNSVLENFTILLVVTNRDTQETLLCMACVFEVSNSEHGAQHHIYRLVKD >ENSMUSP00000131221.1 pep:known chromosome:GRCm38:7:112759483:112898660:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000165036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR YIKLRTGKTRTRKQVSSHIQVLARKKVREIQAAIKVTSMDQTAKDKALQHMAAMSSAQIV SATAIHNKLGLPGIPRPTFPGGPGFWPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIP GFEPTSAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRDPDSYNKHLFVHIGHANHSYSD PLLESVDIRQIYDKFPEKKGGLKELFGKGPQNAFFLVKFWADLNCNIQDDAGAFYGVSSQ YESSENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFVYRINRSPMCEYMINFIHKLKH LPEKYMMNSVLENFTILLVVTNRDTQETLLCMACVFEVSNSEHGAQHHIYRLVKD >ENSMUSP00000130564.1 pep:known chromosome:GRCm38:7:112759536:112861448:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000167060.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] XVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSS HIQVLARKKVREIQAAIKVSSHIQVLARRKSRDFHSKLKDQTAKDKALQHMAAMSSAQIV SATAIHNKLGLPGIPRPTFPGGPGFWPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIP GFEPTS >ENSMUSP00000130459.2 pep:known chromosome:GRCm38:7:112759384:112906805:1 gene:ENSMUSG00000055320.16 transcript:ENSMUST00000069256.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead1 description:TEA domain family member 1 [Source:MGI Symbol;Acc:MGI:101876] MERMSDSADKPIDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIAR YIKLRTGKTRTRKQVSSHIQVLARRKSRDFHSKLKDQTAKDKALQHMAAMSSAQIVSATA IHNKLGLPGIPRPTFPGGPGFWPGMIQTGQPGSSQDVKPFVQQAYPIQPAVTAPIPGFEP TSAPAPSVPAWQGRSIGTTKLRLVEFSAFLEQQRDPDSYNKHLFVHIGHANHSYSDPLLE SVDIRQIYDKFPEKKGGLKELFGKGPQNAFFLVKFWADLNCNIQDDAGAFYGVSSQYESS ENMTVTCSTKVCSFGKQVVEKVETEYARFENGRFVYRINRSPMCEYMINFIHKLKHLPEK YMMNSVLENFTILLVVTNRDTQETLLCMACVFEVSNSEHGAQHHIYRLVKD >ENSMUSP00000140607.1 pep:known chromosome:GRCm38:Y:79319565:79345707:1 gene:ENSMUSG00000094746.7 transcript:ENSMUST00000185338.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20916 description:predicted gene, 20916 [Source:MGI Symbol;Acc:MGI:5434272] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000136085.1 pep:known chromosome:GRCm38:Y:79320997:79342954:1 gene:ENSMUSG00000094746.7 transcript:ENSMUST00000178063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20916 description:predicted gene, 20916 [Source:MGI Symbol;Acc:MGI:5434272] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000140699.1 pep:known chromosome:GRCm38:1:105663861:105754072:1 gene:ENSMUSG00000026319.13 transcript:ENSMUST00000186807.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2310035C23Rik description:RIKEN cDNA 2310035C23 gene [Source:MGI Symbol;Acc:MGI:1922832] MAAMAPGGGGSGSGVNPFLSDSDEDDDEVAATEDRRAGLRLGAGVGLDPGSAGSLSPQDP MALGSSARPGLAVEMSAAPAAPGGSGETPARLSIDAIAAQLLRDQYLLTALELHTELLES GRELPRLRDYFSNPGNFERQSGTPPGMGAPGIPGASIVGGAGGREPSTTSGGGQLNRAGS ISTLDSLDFARYSDDGNRETDERVAVLEFELRKAKETIQALRANLTKAAEHEVPLQERKN YKSSPEIQEPIKPLEKRALNFLVNEFLLKNNYKLTSITFSDENDDQDFELWDDVGLNIPK PPDLLQLYRDFGNHQVTGKDLVDVASGVDEDELEALTPILGNVPPTLDTPLPIE >ENSMUSP00000039178.6 pep:known chromosome:GRCm38:1:105663883:105754075:1 gene:ENSMUSG00000026319.13 transcript:ENSMUST00000039173.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310035C23Rik description:RIKEN cDNA 2310035C23 gene [Source:MGI Symbol;Acc:MGI:1922832] MAAMAPGGGGSGSGVNPFLSDSDEDDDEVAATEDRRAGLRLGAGVGLDPGSAGSLSPQDP MALGSSARPGLAVEMSAAPAAPGGSGETPARLSIDAIAAQLLRDQYLLTALELHTELLES GRELPRLRDYFSNPGNFERQSGTPPGMGAPGIPGASIVGGAGGREPSTTSGGGQLNRAGS ISTLDSLDFARYSDDGNRETDERVAVLEFELRKAKETIQALRANLTKAAEHEVPLQERKN YKSSPEIQEPIKPLEKRALNFLVNEFLLKNNYKLTSITFSDENDDQDFELWDDVGLNIPK PPDLLQLYRDFGNHQVTGKDLVDVASGVDEDELEALTPILGNVPPTLDTPLPIENTLLVQ KLEDKISLLNNEKWSLMEQIRRLESEMDILKAEHFATPAVGDSVQPSLVWSSQKDSEDNR QSPAVNSSDQEKTKDVHLEIPDAADSFIPKENSSGSFPRKEREELPPSSVSNKTTLHFDQ PNRKLSPAFHQALLSFCRMSADSRLGSEVSRIADSEKSVMLMLGRCLPHIVPNVLLAKRE ELIPLILCTACLHPEPKERDQLLHILFNLIKRPDDEQRQMILTGCVAFARHVGPTRVEAE LLPQCWEQINHKYPERRLLVAESCGALAPYLPKEIRSSLVLSMLQQMLMEDKADLVREAV IKSLGIIMGYIDDPDKYQQGFELLLSALGDPSERVVSATHQVFLPAYAAWTTELGNLQSH LIPTLLNKIEKLLREGEHGLDEHKLHMYLSALQSLIPSLFALVLQNAPFSSKAKLHGEVP HIEVTRFPRPMSPLQDVSTIIGSREQLAVLLQLYDYQLEHEGTTGWESLLWVVNQLLPQL IEIVGKINVTSTACVHEFSRFFWRLCRTFGKIFTNTKVKPQFQEILRLSEENIDSSAGNG VLTKATVPIYATGVLTCYIQEEDRKLLVGFLEDVMTLLSLSHAPLDSLKASFVELGANPA YHELLLTVLWYGVVHTSALVRCTAARMFELLVKGVNETLVAQRVVPALITLSSDPEISVR IATIPAFGTIMETVIQRELLERVKMQLASFLEDPQYQDQHSLHTEVIRTFGRVGPNAEPR FRDEFVIPHLHKLALVNNLQIVDSKKLDIATHLFEAYSALSCCFISEDLMVNHFLPGLRC LRTDMEHLSPEHEVILSSMIKECEQKVENKTVQEPPGSMSIAASLVSEDTKTKFLNKMGQ LTTSGAMLANVFQRKK >ENSMUSP00000083926.4 pep:known chromosome:GRCm38:1:105663913:105755191:1 gene:ENSMUSG00000026319.13 transcript:ENSMUST00000086721.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310035C23Rik description:RIKEN cDNA 2310035C23 gene [Source:MGI Symbol;Acc:MGI:1922832] MAAMAPGGGGSGSGVNPFLSDSDEDDDEVAATEDRRAGLRLGAGVGLDPGSAGSLSPQDP MALGSSARPGLAVEMSAAPAAPGGSGETPARLSIDAIAAQLLRDQYLLTALELHTELLES GRELPRLRDYFSNPGNFERQSGTPPGMGAPGIPGASIVGGAGGREPSTTSGGGQLNRAGS ISTLDSLDFARYSDDGNRETDERVAVLEFELRKAKETIQALRANLTKAAEHEVPLQERKN YKSSPEIQEPIKPLEKRALNFLVNEFLLKNNYKLTSITFSDENDDQDFELWDDVGLNIPK PPDLLQLYRDFGNHQVTGKDLVDVASGVDEDELEALTPILGNVPPTLDTPLPIENTLLVQ KLEDKISLLNNEKWSLMEQIRRLESEMDILKAEHFATPAVGDSVQPSLVWSSQKDSEDNR QSPAVNSSDQEKTKDVHLEIPDAADSFIPKENSSGSFPRKEREELPPSSVSNKTTLHFDQ PNRKLSPAFHQALLSFCRMSADSRLGSEVSRIADSEKSVMLMLGRCLPHIVPNVLLAKRE ELIPLILCTACLHPEPKERDQLLHILFNLIKRPDDEQRQMILTGCVAFARHVGPTRVEAE LLPQCWEQINHKYPERRLLVAESCGALAPYLPKEIRSSLVLSMLQQMLMEDKADLVREAV IKSLGIIMGYIDDPDKYQQGFELLLSALGDPSERVVSATHQVFLPAYAAWTTELGNLQSH LIPTLLNKIEKLLREGEHGLDEHKLHMYLSALQSLIPSLFALVLQNAPFSSKAKLHGEVP HIEVTRFPRPMSPLQDVSTIIGSREQLAVLLQLYDYQLEHEGTTGWESLLWVVNQLLPQL IEIVGKINVTSTACVHEFSRFFWRLCRTFGKIFTNTKVKPQFQEILRLSEENIDSSAGNG VLTKATVPIYATGVLTCYIQEEDRKLLVGFLEDVMTLLSLSHAPLDSLKASFVELGANPA YHELLLTVLWYGVVHTSALVRCTAARMFELTLRGMSEALVDKRVAPALVTLSGDPEFSVR IATIPAFGTIMETVIQRELLERVKMQLASFLEDPQYQDQHSLHTEVIRTFGRVGPNAEPR FRDEFVIPHLHKLALVNNLQIVDSKKLDIATHLFEAYSALSCCFISEDLMVNHFLPGLRC LRTDMEHLSPEHEVILSSMIKECEQKVENKTVQEPPGSMSIAASLVSEDTKTKFLNKMGQ LTTSGAMLANVFQRKK >ENSMUSP00000141162.1 pep:known chromosome:GRCm38:1:105663923:105753711:1 gene:ENSMUSG00000026319.13 transcript:ENSMUST00000190501.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310035C23Rik description:RIKEN cDNA 2310035C23 gene [Source:MGI Symbol;Acc:MGI:1922832] MAAMAPGGGGSGSGVNPFLSDSDEDDDEVAATEDRRAGLRLGAGVGLDPGSAGSLSPQDP MALGSSARPGLAVEMSAAPAAPGGSGETPARLSIDAIAAQLLRDQYLLTALELHTELLES GRELPRLRDYFSNPGNFERQSGTPPGMGAPGIPGASIVGGAGGREPSTTSGGGQLNRAGS ISTLDSLDFARYSDDGNRETDERVAEHEVPLQERKNYKSSPEIQEPIKPLEKRALNFLVN EFLLKNNYKLTSITFSDENDDQDFELWDDVGLNIPKPPDLLQLYRDFGNHQVTGKDLVDV ASGVDEDELEALTPILGNVPPTLDTPLPIENTLLVQKLEDKISLLNNEKWSLMEQIRRLE SEMDILKAEHFATPAVGDSVQPSLVWSSQKDSEDNRQSPAVNSSDQEKTKDVHLEIPDAA DSFIPKENSSGSFPRKEREELPPSSVSNKTTLHFDQPNRKLSPAFHQALLSFCRMSADSR LGSEVSRIADSEKSVMLMLGRCLPHIVPNVLLAKREELIPLILCTACLHPEPKERDQLLH ILFNLIKRPDDEQRQMILTGCVAFARHVGPTRVEAELLPQCWEQINHKYPERRLLVAESC GALAPYLPKEIRSSLVLSMLQQMLMEDKADLVREAVIKSLGIIMGYIDDPDKYQQGFELL LSALGDPSERVVSATHQVFLPAYAAWTTELGNLQSHLIPTLLNKIEKLLREGEHGLDEHK LHMYLSALQSLIPSLFALVLQNAPFSSKAKLHGEVPHIEVTRFPRPMSPLQDVSTIIGSR EQLAVLLQLYDYQLEHEGTTGWESLLWVVNQLLPQLIEIVGKINVTSTACVHEFSRFFWR LCRTFGKIFTNTKVKPQFQEILRLSEENIDSSAGNGVLTKATVPIYATGVLTCYIQEEDR KLLVGFLEDVMTLLSLSHAPLDSLKASFVELGANPAYHELLLTVLWYGVVHTSALVRCTA ARMFELLVKGVNETLVAQRVVPALITLSSDPEISVRIATIPAFGTIMETVIQRELLERVK MQLASFLEDPQYQDQHSLHTEVIRTFGRVGPNAEPRFRDEFVIPHLHKLALVNNLQIVDS KKLDIATHLFEAYSALSCCFISEDLMVNHFLPGLRCLRTDMEHLSPEHEVILSSMIKECE QKVENKTVQEPPGSMSIAASLVSEDTKTKFLNKMGQLTTSGAMLANVFQRKK >ENSMUSP00000139944.1 pep:known chromosome:GRCm38:1:105696590:105755186:1 gene:ENSMUSG00000026319.13 transcript:ENSMUST00000185692.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2310035C23Rik description:RIKEN cDNA 2310035C23 gene [Source:MGI Symbol;Acc:MGI:1922832] XREELIPLILCTACLHPEPKERDQLLHILFNLIKRPDDEQRQMILTGCVAFARHVGPTRV EAELLPQCWEQASKPLLNYIIF >ENSMUSP00000140151.1 pep:known chromosome:GRCm38:1:91494647:91527956:1 gene:ENSMUSG00000034292.13 transcript:ENSMUST00000189341.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3ip1 description:TRAF3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921269] MNAAVVRRTQEALGKVIRRPPLTEKLLNKPPFRYLHDIITEVIRITGFMKGLYTDAEMKS ENVKDKDAKISFLQKAIDVVMMVSGEPLAAKPARIVAGHEPERTNELLQLIGKCCLSKLS SDEAVKRVLAGDKGDSRGRAQRTSKAQEPNNKSGKEEESRIHKEDKRSSEAKERSASAEH KQKEELKEDSKPREKERDKEKAKEADRDRHRDPDRDRNRDGEREKARARAKDRDRNNRDR DREAERDRERDRRSEGGKEKERVKDRDRDRDKGRDRERRKSKNGEHTRDPDREKSRDADK PEKKADISVGASRSSTLKPSKRRSKHSLEGRKEDNISAKILDSIVSGLNDEPDQETTTSE IDDNSASLWRESAEPEPAVKQKGDSPSDAEVEAGPAGQDKPEVMENAEVPSELPSSLRRI PRPGSARPAPPRVKRQESTETLVVDRSGSGKTVSSVIIDSQNSDNEDDEQFVVEAAPQLS EIADIDMVPSGELEDEEKHGGLVKKILETKKDYEKLQQSLKPGEKERSLIFESAWKKEKD IVSKEIEKLRVSIQTLCKSALPLGKIMDYIQEDVDAMQNELQLWHSENRQHAEALSQEQS ITDSAVEPLKAELSELEQQIRDQQDKICAVKANILKNEEKIQKMVHSINLSSRR >ENSMUSP00000042391.7 pep:known chromosome:GRCm38:1:91494694:91529307:1 gene:ENSMUSG00000034292.13 transcript:ENSMUST00000047242.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf3ip1 description:TRAF3 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1921269] MNAAVVRRTQEALGKVIRRPPLTEKLLNKPPFRYLHDIITEVIRITGFMKGLYTDAEMKS ENVKDKDAKISFLQKAIDVVMMVSGEPLAAKPARIVAGHEPERTNELLQLIGKCCLSKLS SDEAVKRVLAGDKGDSRGRAQRTSKAQEPNNKSGKEEESRIHKEDKRSSEAKERSASAEH KQKEELKEDSKPREKERDKEKAKEADRDRHRDPDRDRNRDGEREKARARAKDRDRNNRDR DREAERDRERDRRSEGGKEKERVKDRDRDRDKGRDRERRKSKNGEHTRDPDREKSRDADK PEKKSSSSGEISRKLSDGSFKDVKAEMEADISVGASRSSTLKPSKRRSKHSLEGDSPSDA EVEAGPAGQDKPEVMENAEVPSELPSSLRRIPRPGSARPAPPRVKRQESTETLVVDRSGS GKTVSSVIIDSQNSDNEDDEQFVVEAAPQLSEIADIDMVPSGELEDEEKHGGLVKKILET KKDYEKLQQSLKPGEKERSLIFESAWKKEKDIVSKEIEKLRVSIQTLCKSALPLGKIMDY IQEDVDAMQNELQLWHSENRQHAEALSQEQSITDSAVEPLKAELSELEQQIRDQQDKICA VKANILKNEEKIQKMVHSINLSSRR >ENSMUSP00000109767.1 pep:known chromosome:GRCm38:1:63273265:63314576:1 gene:ENSMUSG00000027520.15 transcript:ENSMUST00000114132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdbf2 description:zinc finger, DBF-type containing 2 [Source:MGI Symbol;Acc:MGI:1921134] MEAQSYQEVMKNNGQHLFSSQHRSLTRQSRRRTATNNTLMERFLQDVLRHHPYNYQDNRS APNEPEAAAAAAAAADPGSPEVVVVLDDSDEKEDDTADSGAERNSEDSGSVEEIDYRPGT SQEHAEVAVRPSVIQKLERGQQQSLELAHKVESGVKKVNSVGVVQATTSGKKLVRPPVIC NAPASSLPSGSFERPVAANSVPRLVLAVASDSFPACDTENLETYFDSPDQGPSNPSSQPK TKDPKKKLSINLDKLLAQRNLRAKGASFSPVVRVRELTGSELCSVRAESSELEAGTAGNP RETDTLPEQAREGAIPKRREASRSNTVRPQEETRLVLNKPTLLKQKRSVSSEERFSCGSR QAVPGPSQAAVRDLSLLEEEVEEEKQEEEEEEEQEEEEEHEEEEEGVDQEDASYESRGSD MSFDCGSSCQSLSALSELTAREINVSEETHAYSQPRNETPTVSGATSDNGSSSRQVITQN IQLISLVDESYESSGSEVNFDGDDSLPSTSHRPPQPVEVVVPLRLVDKSYGSGSSEPCED SGSSADETPAASRQQNPVRNTHANLVDENYGSSSFSSDSDAALDHPQVPVQEGSPRGRAV GQGNEEQPSSAEAHPERDGSLETVAHELQRESQEINLPNQKNTSLGDMNCESHGPEVGFH ADAQLEADQSPVNPEEVDLDLENQSVHSGISNLSFDSNASYQSANDQPQGAWGEVNLDEL NVDMEVKSNGCSSSELTFDSDSPLLSVTERSLLDFEGLNEDDFNLEDENCVSSSSDITFD SDIPDDSVADQPQVAVYEEEPVGLENKSNESCVSGITFDSDIPLHSGNDHPEVAVKEVII KEDENVHLEGKNDNPSGSEICLDSNVPLHSVTNSDVAVKKINPPKEDQVQIEQIEQKENE PTDSELNLDCNSVNSKPGCSEDPIILRVSETRLDSHVPFQSVIRKCEVVVKNVCLQKEKH AELTSKSTESHSEVSSASTASHPVTEPYVGKKAKRKTKHLEEVNSDDEYGGSQPTFKFDV FPRTMTEKPQPAALKEGHADPKDKITELRGMAVNVNTADCLDSVLSQPQLASNENCVELK DTDGKPSDSKASADSTGHFHSLPKQEFDVVSKMNEWKKEAKVLEQKISDLIYSKIIHDSN VSFRSAMDQLELALKQISLGNNDQVSLEDNSQDTCSETNLDSGFSVQAVVEPPEPEVTVL EPEHVEQEGRNNVPCDSEVSVDANGSVQLEAGQHSESGENRSQKDTDDTEGKRDDAQGFG ITCDSNVPQPLAGHIEVVQDIDHWKDHVDLEDKLGESKNSKVNFHSDEPLQAVTNATQEP VEEINLPRGRASPNGNGCEPYGSTIVPVTNVIFCSVIQKPQRLQKKCTSLKENSSNPCPE VNVDSCDGPEVSVDSNDPCQSVAGHLQKPDKEMNLKEDHIYLEDKSYKLVDFEPTYDSDD PVQFVTVPSVEAVSIKEVNLQKENPDDLENENFQPCCSEVACNSAVHLQSEADPPQVACK EADLDKKALDIEDKGSVTCVPEVVYDSDVSFQIVVNQVQTSDGETDSPQVVFVDVVSSDS DCDREVISDSNIPLQLEPPQMTVKETSDINTDSLGSAANEKYYCKFCGCDYEASQSVTNQ SKESFKIINRKNDYIILGDSTCPSCGHELNFNVDPSDQPTTCQLQQPDRNFIDPEDKNFG SKCPKRKLNWEDTAHPVTHQLQKTGEATSLRKDQKNRFKRDRGWESSSFAGDHAAYAVSV IRQTALNSCGSELNFQDDTFYHSDIDPPQPKKKGQGKKVTFDLRVTKYEYPPNPMYGEGE EVAEDDLKEVVVHEASPQGQAPPSIVGKTCPQGREDDVKTNTQACQGYFYSYYDGGSETK KILLGEEEKTIRSDLNQNTTSIQHVKGKVGDTGDFSVDLGKQSCSLAEGLHQQHGQVTSQ NQVEVRCGIQASSGKKRKITEQEEDSPKRKCFHHDSQKKKKAQAGITELPEPQTKVLEPV QPDSLVYIFSSLSMKEDQSLNPPKTKPGSDSDLPHIYSCREHTSSGPRRKRTVINPPQNL MVPEVGIDLNRHDPKPNAGDDSAKRQNLVSTSFMAMPKKSVLKFQRTNQSSFLKKSEDVG ATQVPKDNFQQTLVNRDGAKKFPKSVKKEDLESQNQRKFWKKKMVAANKLCLIKNAYKTM VLRKKSKLASEKLAIWIQLKATDIIRKYVSRCHGLMPRRHLSKTVLIRMQLRKKKIVARK IKEAKRAAEALALKLSRPSCPPRAPCPPRALCPPRAPCPPRALCPPRAPCPPRALCTPRA PCPPRALCTPRAPCPPRALCTPRAPCPPRALRAPCPPRALCSPRAPCPPRALCPPRAPCP PRAPRAPCPPRAPCPPRAPCLPRAPCPPRAPCPPRAPCLPRAPCLPQAPCPPRALCLPRV SCPPLPLCAPCPPCAPVLPAGAEEQLSTTAGPAELPAHLSNAGGIKRYRKTYFRRRKRLL PVREYDLRSLSSTTNTDRMVTRLASKSKSNEAK >ENSMUSP00000116403.1 pep:known chromosome:GRCm38:1:63273269:63295022:1 gene:ENSMUSG00000027520.15 transcript:ENSMUST00000126932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdbf2 description:zinc finger, DBF-type containing 2 [Source:MGI Symbol;Acc:MGI:1921134] MEAQSYQEVMKNNGQHLFSSQHRSLTRQSRRRTATNNTLMERFLQDVLRH >ENSMUSP00000029025.8 pep:known chromosome:GRCm38:1:63290738:63314575:1 gene:ENSMUSG00000027520.15 transcript:ENSMUST00000029025.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdbf2 description:zinc finger, DBF-type containing 2 [Source:MGI Symbol;Acc:MGI:1921134] MEAQSYQEVMKNNGQHLFSSQHRSLTRQSRRRTATNNTLMERFLQDVLRHHPYNYQDNRS APNEPEAAAAAAAAADPGSPEVVVVLDDSDEKEDDTADSGAERNSEDSGSVEEIDYRPGT SQEHAEVAVRPSVIQKLERGQQQSLELAHKVESGVKKVNSVGVVQATTSGKKLVRPPVIC NAPASSLPSGSFERPVAANSVPRLVLAVASDSFPACDTENLETYFDSPDQGPSNPSSQPK TKDPKKKLSINLDKLLAQRNLRAKGASFSPVVRVRELTGSELCSVRAESSELEAGTAGNP RETDTLPEQAREGAIPKRREASRSNTVRPQEETRLVLNKPTLLKQKRSVSSEERFSCGSR QAVPGPSQAAVRDLSLLEEEVEEEKQEEEEEEEQEEEEEHEEEEEGVDQEDASYESRGSD MSFDCGSSCQSLSALSELTAREINVSEETHAYSQPRNETPTVSGATSDNGSSSRQVITQN IQLISLVDESYESSGSEVNFDGDDSLPSTSHRPPQPVEVVVPLRLVDKSYGSGSSEPCED SGSSADETPAASRQQNPVRNTHANLVDENYGSSSFSSDSDAALDHPQVPVQEGSPRGRAV GQGNEEQPSSAEAHPERDGSLETVAHELQRESQEINLPNQKNTSLGDMNCESHGPEVGFH ADAQLEADQSPVNPEEVDLDLENQSVHSGISNLSFDSNASYQSANDQPQGAWGEVNLDEL NVDMEVKSNGCSSSELTFDSDSPLLSVTERSLLDFEGLNEDDFNLEDENCVSSSSDITFD SDIPDDSVADQPQVAVYEEEPVGLENKSNESCVSGITFDSDIPLHSGNDHPEVAVKEVII KEDENVHLEGKNDNPSGSEICLDSNVPLHSVTNSDVAVKKINPPKEDQVQIEQIEQKENE PTDSELNLDCNSVNSKPGCSEDPIILRVSETRLDSHVPFQSVIRKCEVVVKNVCLQKEKH AELTSKSTESHSEVSSASTASHPVTEPYVGKKAKRKTKHLEEVNSDDEYGGSQPTFKFDV FPRTMTEKPQPAALKEGHADPKDKITELRGMAVNVNTADCLDSVLSQPQLASNENCVELK DTDGKPSDSKASADSTGHFHSLPKQEFDVVSKMNEWKKEAKVLEQKISDLIYSKIIHDSN VSFRSAMDQLELALKQISLGNNDQVSLEDNSQDTCSETNLDSGFSVQAVVEPPEPEVTVL EPEHVEQEGRNNVPCDSEVSVDANGSVQLEAGQHSESGENRSQKDTDDTEGKRDDAQGFG ITCDSNVPQPLAGHIEVVQDIDHWKDHVDLEDKLGESKNSKVNFHSDEPLQAVTNATQEP VEEINLPRGRASPNGNGCEPYGSTIVPVTNVIFCSVIQKPQRLQKKCTSLKENSSNPCPE VNVDSCDGPEVSVDSNDPCQSVAGHLQKPDKEMNLKEDHIYLEDKSYKLVDFEPTYDSDD PVQFVTVPSVEAVSIKEVNLQKENPDDLENENFQPCCSEVACNSAVHLQSEADPPQVACK EADLDKKALDIEDKGSVTCVPEVVYDSDVSFQIVVNQVQTSDGETDSPQVVFVDVVSSDS DCDREVISDSNIPLQLEPPQMTVKETSDINTDSLGSAANEKYYCKFCGCDYEASQSVTNQ SKESFKIINRKNDYIILGDSTCPSCGHELNFNVDPSDQPTTCQLQQPDRNFIDPEDKNFG SKCPKRKLNWEDTAHPVTHQLQKTGEATSLRKDQKNRFKRDRGWESSSFAGDHAAYAVSV IRQTALNSCGSELNFQDDTFYHSDIDPPQPKKKGQGKKVTFDLRVTKYEYPPNPMYGEGE EVAEDDLKEVVVHEASPQGQAPPSIVGKTCPQGREDDVKTNTQACQGYFYSYYDGGSETK KILLGEEEKTIRSDLNQNTTSIQHVKGKVGDTGDFSVDLGKQSCSLAEGLHQQHGQVTSQ NQVEVRCGIQASSGKKRKITEQEEDSPKRKCFHHDSQKKKKAQAGITELPEPQTKVLEPV QPDSLVYIFSSLSMKEDQSLNPPKTKPGSDSDLPHIYSCREHTSSGPRRKRTVINPPQNL MVPEVGIDLNRHDPKPNAGDDSAKRQNLVSTSFMAMPKKSVLKFQRTNQSSFLKKSEDVG ATQVPKDNFQQTLVNRDGAKKFPKSVKKEDLESQNQRKFWKKKMVAANKLCLIKNAYKTM VLRKKSKLASEKLAIWIQLKATDIIRKYVSRCHGLMPRRHLSKTVLIRMQLRKKKIVARK IKEAKRAAEALALKLSRPSCPPRAPCPPRALCPPRAPCPPRALCPPRAPCPPRALCTPRA PCPPRALCTPRAPCPPRALCTPRAPCPPRALRAPCPPRALCSPRAPCPPRALCPPRAPCP PRAPRAPCPPRAPCPPRAPCLPRAPCPPRAPCPPRAPCLPRAPCLPQAPCPPRALCLPRV SCPPLPLCAPCPPCAPVLPAGAEEQLSTTAGPAELPAHLSNAGGIKRYRKTYFRRRKRLL PVREYDLRSLSSTTNTDRMVTRLASKSKSNEAK >ENSMUSP00000114070.1 pep:known chromosome:GRCm38:7:44384102:44400029:1 gene:ENSMUSG00000030731.13 transcript:ENSMUST00000118962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt3 description:synaptotagmin III [Source:MGI Symbol;Acc:MGI:99665] MSGDYEDDLCRRALILVSDLCARVRDADTNDRCQEFNELRIRGYPRGPDADISVSLLSVI VTFCGIVLLGVSLFVSWKLCWVPWRDKGGSAVGGGPLRKDLAPGVGLAGLVGGGGHHLGA SLGGHPLLGGPHHHGHTAHHPPFAELLEPGGLGGSEPPEPSYLDMDSYPEAAVASVVAAG VKPSQTSPELPSEGGTGSGLLLLPPSGGGLPSAQSHQQVTSLAPTTRYPALPRPLTQQTL TTQADPSTEERPPALPLPLPGGEEKAKLIGQIKPELYQGTGPGGRRGGGSGEAGAPCGRI SFALRYLYGSDQLVVRILQALDLPAKDSNGFSDPYVKIYLLPDRKKKFQTKVHRKTLNPI FNETFQFSVPLAELAQRKLHFSVYDFDRFSRHDLIGQVVLDNLLELAEQPPDRPLWRDIL EGGSEKADLGELNFSLCYLPTAGRLTVTIIKASNLKAMDLTGFSDPYVKASLISEGRRLK KRKTSIKKNTLNPTYNEALVFDVAPESVENVGLSIAVVDYDCIGHNEVIGVCRVGPEAAD PHGREHWAEMLANPRKPVEHWHQLVEEKTLSSFTKGGKGLSEKENSE >ENSMUSP00000112432.1 pep:known chromosome:GRCm38:7:44384154:44400187:1 gene:ENSMUSG00000030731.13 transcript:ENSMUST00000118831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt3 description:synaptotagmin III [Source:MGI Symbol;Acc:MGI:99665] MSGDYEDDLCRRALILVSDLCARVRDADTNDRCQEFNELRIRGYPRGPDADISVSLLSVI VTFCGIVLLGVSLFVSWKLCWVPWRDKGGSAVGGGPLRKDLAPGVGLAGLVGGGGHHLGA SLGGHPLLGGPHHHGHTAHHPPFAELLEPGGLGGSEPPEPSYLDMDSYPEAAVASVVAAG VKPSQTSPELPSEGGTGSGLLLLPPSGGGLPSAQSHQQVTSLAPTTRYPALPRPLTQQTL TTQADPSTEERPPALPLPLPGGEEKAKLIGQIKPELYQGTGPGGRRGGGSGEAGAPCGRI SFALRYLYGSDQLVVRILQALDLPAKDSNGFSDPYVKIYLLPDRKKKFQTKVHRKTLNPI FNETFQFSVPLAELAQRKLHFSVYDFDRFSRHDLIGQVVLDNLLELAEQPPDRPLWRDIL EGGSEKADLGELNFSLCYLPTAGRLTVTIIKASNLKAMDLTGFSDPYVKASLISEGRRLK KRKTSIKKNTLNPTYNEALVFDVAPESVENVGLSIAVVDYDCIGHNEVIGVCRVGPEAAD PHGREHWAEMLANPRKPVEHWHQLVEEKTLSSFTKGGKGLSEKENSE >ENSMUSP00000116926.1 pep:known chromosome:GRCm38:7:44384604:44386051:1 gene:ENSMUSG00000030731.13 transcript:ENSMUST00000130707.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt3 description:synaptotagmin III [Source:MGI Symbol;Acc:MGI:99665] MSGDYEDDLCRRALILVSDLCARVRDA >ENSMUSP00000118158.1 pep:known chromosome:GRCm38:7:44384604:44386121:1 gene:ENSMUSG00000030731.13 transcript:ENSMUST00000130844.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt3 description:synaptotagmin III [Source:MGI Symbol;Acc:MGI:99665] MSGDYEDDLCRRALILVSDLCARVRDADTNDRCQEFNELRIRGYPRGPDA >ENSMUSP00000112968.1 pep:known chromosome:GRCm38:7:44384868:44399763:1 gene:ENSMUSG00000030731.13 transcript:ENSMUST00000120262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt3 description:synaptotagmin III [Source:MGI Symbol;Acc:MGI:99665] MSGDYEDDLCRRALILVSDLCARVRDADTNDRCQEFNELRIRGYPRGPDADISVSLLSVI VTFCGIVLLGVSLFVSWKLCWVPWRDKGGSAVGGGPLRKDLAPGVGLAGLVGGGGHHLGA SLGGHPLLGGPHHHGHTAHHPPFAELLEPGGLGGSEPPEPSYLDMDSYPEAAVASVVAAG VKPSQTSPELPSEGGTGSGLLLLPPSGGGLPSAQSHQQVTSLAPTTRYPALPRPLTQQTL TTQADPSTEERPPALPLPLPGGEEKAKLIGQIKPELYQGTGPGGRRGGGSGEAGAPCGRI SFALRYLYGSDQLVVRILQALDLPAKDSNGFSDPYVKIYLLPDRKKKFQTKVHRKTLNPI FNETFQFSVPLAELAQRKLHFSVYDFDRFSRHDLIGQVVLDNLLELAEQPPDRPLWRDIL EGGSEKADLGELNFSLCYLPTAGRLTVTIIKASNLKAMDLTGFSDPYVKASLISEGRRLK KRKTSIKKNTLNPTYNEALVFDVAPESVENVGLSIAVVDYDCIGHNEVIGVCRVGPEAAD PHGREHWAEMLANPRKPVEHWHQLVEEKTLSSFTKGGKGLSEKENSE >ENSMUSP00000032180.6 pep:known chromosome:GRCm38:6:91363981:91411363:-1 gene:ENSMUSG00000030093.7 transcript:ENSMUST00000032180.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt7a description:wingless-type MMTV integration site family, member 7A [Source:MGI Symbol;Acc:MGI:98961] MTRKARRCLGHLFLSLGIVYLRIGGFSSVVALGASIICNKIPGLAPRQRAICQSRPDAII VIGEGSQMGLDECQFQFRNGRWNCSALGERTVFGKELKVGSREAAFTYAIIAAGVAHAIT AACTQGNLSDCGCDKEKQGQYHRDEGWKWGGCSADIRYGIGFAKVFVDAREIKQNARTLM NLHNNEAGRKILEENMKLECKCHGVSGSCTTKTCWTTLPQFRELGYVLKDKYNEAVHVEP VRASRNKRPTFLKIKKPLSYRKPMDTDLVYIEKSPNYCEEDPVTGSVGTQGRACNKTAPQ ASGCDLMCCGRGYNTHQYARVWQCNCKFHWCCYVKCNTCSERTEMYTCK >ENSMUSP00000082004.5 pep:known chromosome:GRCm38:12:111758849:111806775:1 gene:ENSMUSG00000021288.18 transcript:ENSMUST00000084941.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc1 description:kinesin light chain 1 [Source:MGI Symbol;Acc:MGI:107978] MYDNMSTMVYIKEEKLEKLTQDEIISKTKQVIQGLEALKNEHNSILQSLLETLKCLKKDD ESNLVEEKSSMIRKSLEMLELGLSEAQVMMALSNHLNAVESEKQKLRAQVRRLCQENQWL RDELANTQQKLQKSEQSVAQLEEEKKHLEFMNQLKKYDDDISPSEDKDSDSSKEPLDDLF PNDEDEPGQGIQQQHSSAAAAAQQGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQAL EDLEKTSGHDHPDVATMLNILALVYRDQNKYKDAANLLNDALAIREKTLGRDHPAVAATL NNLAVLYGKRGKYKEAEPLCKRALEIREKVLGKDHPDVAKQLNNLALLCQNQGKYEEVEY YYQRALGIYQTKLGPDDPNVAKTKNNLASCYLKQGKFKQAETLYKEILTRAHEREFGSVD DENKPIWMHAEEREECKGKQKDGSAFGEYGGWYKACKVDSPTVTTTLKNLGALYRRQGKF EAAETLEEAAMRSRKQGLDNVHKQRVAEVLNDPESMEKRRSRESLNMDVVKYESGPDGGE EVSMSVEWNGDGTGSLKRSGSFSKLRASIRRSSEKLVRKLKGGSSRDSEPRNPGASPAEP LCVENDSSSLEDASTN >ENSMUSP00000113171.1 pep:known chromosome:GRCm38:12:111758956:111794897:1 gene:ENSMUSG00000021288.18 transcript:ENSMUST00000118471.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc1 description:kinesin light chain 1 [Source:MGI Symbol;Acc:MGI:107978] MYDNMSTMVYIKEEKLEKLTQDEIISKTKQVIQGLEALKNEHNSILQSLLETLKCLKKDD ESNLVEEKSSMIRKSLEMLELGLSEAQVMMALSNHLNAVESEKQKLRAQVRRLCQENQWL RDELANTQQKLQKSEQSVAQLEEEKKHLEFMNQLKKYDDDISPSEDKDSDSSKEPLDDLF PNDEDEPGQGIQQQHSSAAAAAQQGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQAL EDLEKTSGHDHPDVATMLNILALVYRDQNKYKDAANLLNDALAIREKTLGRDHPAVAATL NNLAVLYGKRGKYKEAEPLCKRALEIREKVLGKDHPDVAKQLNNLALLCQNQGKYEEVEY YYQRALGIYQTKLGPDDPNVAKTKNNLASCYLKQGKFKQAETLYKEILTRAHEREFGSVD DENKPIWMHAEEREECKGKQKDGSAFGEYGGWYKACKVDSPTVTTTLKNLGALYRRQGKF EAAETLEEAAMRSRKQGLDNVHKQRVAEVLNDPESMEKRRSRESLNMDVVKYESGPDGGE EA >ENSMUSP00000113997.1 pep:known chromosome:GRCm38:12:111759013:111806727:1 gene:ENSMUSG00000021288.18 transcript:ENSMUST00000122300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc1 description:kinesin light chain 1 [Source:MGI Symbol;Acc:MGI:107978] MYDNMSTMVYIKEEKLEKLTQDEIISKTKQVIQGLEALKNEHNSILQSLLETLKCLKKDD ESNLVEEKSSMIRKSLEMLELGLSEAQVMMALSNHLNAVESEKQKLRAQVRRLCQENQWL RDELANTQQKLQKSEQSVAQLEEEKKHLEFMNQLKKYDDDISPSEDKDSDSSKEPLDDLF PNDEDEPGQGIQQQHSSAAAAAQQGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQAL EDLEKTSGHDHPDVATMLNILALVYRDQNKYKDAANLLNDALAIREKTLGRDHPAVAATL NNLAVLYGKRGKYKEAEPLCKRALEIREKVLGKDHPDVAKQLNNLALLCQNQGKYEEVEY YYQRALGIYQTKLGPDDPNVAKTKNNLASCYLKQGKFKQAETLYKEILTRAHEREFGSVD DENKPIWMHAEEREECKGKQKDGSAFGEYGGWYKACKVDSPTVTTTLKNLGALYRRQGKF EAAETLEEAAMRSRKQRVAEVLNDPESMEKRRSRESLNMDVVKYESGPDGGEEVSMSVEW NGDGTGSLKRSGSFSKLRASIRRSSEKLVRKLKGGSSRDSEPRNPGASPAEPLCVENDSS SLEDASTN >ENSMUSP00000113237.2 pep:known chromosome:GRCm38:12:111772319:111794895:1 gene:ENSMUSG00000021288.18 transcript:ENSMUST00000120544.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc1 description:kinesin light chain 1 [Source:MGI Symbol;Acc:MGI:107978] MYDNMSTMVYIKEEKLEKLTQDEIISKTKQVIQGLEALKNEHNSILQSLLETLKCLKKDD ESNLVEEKSSMIRKSLEMLELGLSEAQVMMALSNHLNAVESEKQKLRAQVRRLCQENQWL RDELANTQQKLQKSEQSVAQLEEEKKHLEFMNQLKKYDDDISPSEDKDSDSSKEPLDDLF PNDEDEPGQGIQQQHSSAAAAAQQGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQAL EDLEKTSGHDHPDVATMLNILALVYRDQNKYKDAANLLNDALAIREKTLGRDHPAVAATL NNLAVLYGKRGKYKEAEPLCKRALEIREKVLGKDHPDVAKQLNNLALLCQNQGKYEEVEY YYQRALGIYQTKLGPDDPNVAKTKNNLASCYLKQGKFKQAETLYKEILTRAHEREFGSVD DENKPIWMHAEEREECKGKQKDGSAFGEYGGWYKACKVDSPTVTTTLKNLGALYRRQGKF EAAETLEEAAMRSRKQGLDNVHKQRVAEVLNDPESMEKRRSRESLNMDVVKYESGPDGGE EVSMSVEWNGMRKMKLGLVK >ENSMUSP00000120491.1 pep:known chromosome:GRCm38:12:111781947:111807844:1 gene:ENSMUSG00000021288.18 transcript:ENSMUST00000134578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc1 description:kinesin light chain 1 [Source:MGI Symbol;Acc:MGI:107978] SCYLKQGKFKQAETLYKEILTRAHEREFGSVDDENKPIWMHAEEREECKGKQKDGSAFGE YGGWYKACKVDSPTVTTTLKNLGALYRRQGKFEAAETLEEAAMRSRKQGLDNVHKQRVAE VLNDPESMEKRRSRESLNMDVVKYESGPDGGEEGIPRRASLCGKRQQQPRRR >ENSMUSP00000142227.1 pep:known chromosome:GRCm38:18:37720369:37841870:1 gene:ENSMUSG00000103585.1 transcript:ENSMUST00000195363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgb4 description:protocadherin gamma subfamily B, 4 [Source:MGI Symbol;Acc:MGI:1935173] MREGTAERGRGQRRPMLLPFLLPLFCPTLSEQIRYKIPEEMPTGSVVGNLAKDLGFSVQE LPTRKLRISSEKPYFSVSSESGELLVSSRLDREQICGKKLMCALEFEAVAENPLNFYHLS VELEDINDHTPKFAHTSFELQISESSKPGTRFILGSAHDADIGTNSLQNYQLSPNDHFSL VNKEKSDGSKYPEMILKTALDREKQKLYHLTLTALDFGHPPLNSTAQIQVLVTDANDNPP VFSQDIYRVSLPENVYPGTTVLRVVATDQDEGVNSEITFSFSEAGQVTQFNLDSNTGEIT TLHTLDFEEVKEYSLVLEAKDGGGMIAQCTVEIEVLDENDNVPEVLFQSLPDLIMEDAEP GTYIALLKTRDKDSGRNGEVICKLEGGAPFKILTSSGNTYKLVTDGVLDREQNPEYNITI RATDKGDPPLSSSSSVTLHIGDVNDNAPVFTKVSYLVHVAENNPPGASIAQVSASDPDLG ANGQVTYYIIASDLEPESLWSYVTINAQSGVLFAQRAFDHEQLRSFQLTLQARDHGSPTL SANVSMRLLVGDRNDNAPRVLYPTLEPDGSALFDMVPRSAEPGYLVTKVVAVDADSGHNA WLSYHVLQASDPGLFSLGLRTGEVRTARALGDRDSARQRLLVAVRDGGQPPLSATATLHL IFADSLQEVLPDLSDDPLPPDPQSELQFYLVVALALISVLFLLAVILAIALRLRHSSSRK AWGCFQSEVVVPPNYSEGTLPYSYNLCAAEFNSLTCNDQLKSGQDLQFADSAGALFPLCN SNESTSHQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQFDTEMLQAMILASASEAA DGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGN GNKKKSGKKEKK >ENSMUSP00000035918.2 pep:known chromosome:GRCm38:11:101665541:101667832:1 gene:ENSMUSG00000034936.2 transcript:ENSMUST00000039388.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl4d description:ADP-ribosylation factor-like 4D [Source:MGI Symbol;Acc:MGI:1933155] MGNHLTEMAPTASSFLPHFQALHVVVIGLDSAGKTSLLYRLKFKEFVQSVPTKGFNTEKI RVPLGGSRGITFQVWDVGGQEKLRPLWRSYTRRTDGLVFVVDSAETERLEEARMELHRIS KASDNQGVPVLVLANKQDQPGALSAAEVEKRLAVRELAAATLTHVQGCSAVDGLGLQPGL EHLYEMILKRKKAPRSSKKRR >ENSMUSP00000059598.1 pep:known chromosome:GRCm38:18:37473540:37476340:1 gene:ENSMUSG00000047033.2 transcript:ENSMUST00000050034.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb15 description:protocadherin beta 15 [Source:MGI Symbol;Acc:MGI:2136750] MEARLEQAVQKRQVLFLYVFLGASWASAEQLQYSVAEETERGTFLANLAKDLGLGLGELS AREARIVSDQNTRFLLLSPLTGELILNEKLDREELCGPTEPCVLTFQLLLERPFQIYRAA LHIKDINDNSPVFLDKEILLKISESTTPGATLLLERAQDADVGTNSLSNYTISPNDYFHI HVHDGGEGPIIPELVLDKMLDREEIPEFTLTLTALDGGSPPRSGTALVQILVLDINDNSP QFVQSLYKVQSPENTPVGSLVVAVSARDLDTGSYGQIDYTFFYATERILQTFQINSTSGE LYLKRELNYEAIQTYSITIQARDGGGLSGKCAVVVEVMDVNDNPPEFLLSSLNSPIPENS QETVVAVFKIRDRDSGNNGKTLCSIANDLPFVLKPSVENFYTLVTEKPLDRESNTEYNIT ITVTDMGIPRLTTQHTITVQVSDINDNAPTFTQTSYTMFVRENNSPALHIGTISATDSDS GSNAHITYSLRLPHDPQLALDSLISINADNGQLFALRALDYEALQAFEFHVGAIDQGSPA LSSQALVRVVILDDNDNAPFVLYPMQNASAPYTELLPRAAEPGYLVTKVVAVDRDSGQNA WLSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDVPKHKLLVVVKDNGEPPRSASVTLHV LLVDGFSQPFLILPEVARDPAQEDDELTLYLVIALASVSSLFLVSVLLFVGVRLCRRARA ASPGVCFVPEEHFPGHLVDVSGAGTLSQNYQYEVCLTGGSGITSEFKFLSPIASNFLTES TGREIE >ENSMUSP00000141408.1 pep:known chromosome:GRCm38:18:36952648:37187657:1 gene:ENSMUSG00000104252.5 transcript:ENSMUST00000192512.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha4 description:protocadherin alpha 4 [Source:MGI Symbol;Acc:MGI:1298406] MEFSWGSGQESQRLLLSFLLLAIWEAGNSQIHYSIPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVE VEVRDINDNPPRFPTTQKNLFIAESRPLDTWFPLEGASDADIGINAVLTYRLSPNDYFSL EKPSNDERVKGLGLVLRKSLDREETPEIILVLTVTDGGKPELTGSVQLLITVLDANDNAP VFDRSLYTVKLPENVPNGTLVVKVNASDLDEGVNGDIMYSFSTDISPNVKYKFHIDPVSG EIIVKGYIDFEECKSYEILIEGIDKGQLPLSGHCKVIVQVEDINDNVPELEFKSLSLPIR ENSPVGTVIALISVSDRDTGVNGQVTCSLTSHVPFKLVSTFKNYYSLVLDSALDRETTAD YKVVVTARDGGSPSLWATASVSVEVADVNDNAPVFAQPEYTVFVKENNPPGAHIFTVSAM DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLRFQVSARDA GVPALGSNVTLQVFVLDENDNAPTLLEPEAGVSGGIVSRLVSRSVGAGHVVAKVRAVDAD SGYNAWLSYELQSSEGNSRSLFRVGLYTGEISTTRILDEADSPRQRLLVLVKDHGDPAMI VTATVLVSLVENGPVPKAPSRVSTSVTHSEASLVDVNVYLIIAICAVSSLLVLTLLLYTA LRCSTVPSESVCGPPKPVMVCSSAVGSWSYSQQRRQRVCSGEYPPKTDLMAFSPSLSDSR DREDQLQSAEDSSGKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVS SATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEP ANNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000142103.1 pep:known chromosome:GRCm38:18:36952675:37187661:1 gene:ENSMUSG00000104252.5 transcript:ENSMUST00000192295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha4 description:protocadherin alpha 4 [Source:MGI Symbol;Acc:MGI:1298406] MEFSWGSGQESQRLLLSFLLLAIWEAGNSQIHYSIPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVE VEVRDINDNPPRFPTTQKNLFIAESRPLDTWFPLEGASDADIGINAVLTYRLSPNDYFSL EKPSNDERVKGLGLVLRKSLDREETPEIILVLTVTDGGKPELTGSVQLLITVLDANDNAP VFDRSLYTVKLPENVPNGTLVVKVNASDLDEGVNGDIMYSFSTDISPNVKYKFHIDPVSG EIIVKGYIDFEECKSYEILIEGIDKGQLPLSGHCKVIVQVEDINDNVPELEFKSLSLPIR ENSPVGTVIALISVSDRDTGVNGQVTCSLTSHVPFKLVSTFKNYYSLVLDSALDRETTAD YKVVVTARDGGSPSLWATASVSVEVADVNDNAPVFAQPEYTVFVKENNPPGAHIFTVSAM DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLRFQPRQPNP DWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNS NSWTFKYGPGNPKQSGPEPKKQTQVSFLPRRKGEASQPRQ >ENSMUSP00000004051.7 pep:known chromosome:GRCm38:11:90336536:90390895:-1 gene:ENSMUSG00000003949.16 transcript:ENSMUST00000004051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hlf description:hepatic leukemia factor [Source:MGI Symbol;Acc:MGI:96108] MEKMSRQLPLNPTFIPPPYGVLRSLLENPLKLPLHPEDAFSKEKDKGKKLDDESSSPTVP QSAFLGPTLWDKTLPYDGDTFQLEYMDLEEFLSENGIPPSPSQHDHSPHPPGLQPASSTA PSVMDLSSRATAPLHPGIPSPNCMQSPIRPGQLLPANRNTPSPIDPDTIQVPVGYEPDPA DLALSSIPGQEMFDPRKRKFSEEELKPQPMIKKARKVFIPDDLKDDKYWARRRKNNMAAK RSRDARRLKENQIAIRASFLEKENSALRQEVADLRKELGKCKNILAKYEARHGPL >ENSMUSP00000135463.1 pep:known chromosome:GRCm38:11:90345548:90387973:-1 gene:ENSMUSG00000003949.16 transcript:ENSMUST00000176001.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hlf description:hepatic leukemia factor [Source:MGI Symbol;Acc:MGI:96108] XEEFLSENGIPPSPSQHDHSPHPPGLQPASSTAPSVMDLSSRATAPLHPGIPSPNCMQSP IRPGQLLPANRNTPSPIDPDTIQVPVGYEPDPADLALSSIPGQEMFDPRKRKFSEEELKP QPMIKKARKVFIPDDLKVNWNW >ENSMUSP00000054613.6 pep:known chromosome:GRCm38:5:110362787:110387096:-1 gene:ENSMUSG00000043323.16 transcript:ENSMUST00000056124.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbrsl1 description:fibrosin-like 1 [Source:MGI Symbol;Acc:MGI:1920907] MFAAPPTLPPPPALPASSLVIPGHPADHELLRQELNTRFLVQNAERPGASLGPGALLRAE FHQHTHQHTHQHTHQHQHTFAPFAGLPPTPLMPPTAPSPFDKFSPKLDSPYFRHSNTSNS IEITGRSSAVHTFLQKAPGVSDPYRTAVRMQLDPHKLEVGTKLDLFSRPPAPGMFSGFHY PQDLARPLFSSTGATHPTANPFGPSAHHGSFLPTGHLTDPFSRSSTFGGLGSLSNHAFGG LGSHALTPGSSIFAPKEGTALLGLPSPHDAWNRLHRVPPSFPTPPPWPKPVDTERLSALT NHDREPDKGQEEHERDLLEKTRLLSHGSPVAPVGHPISSLLQRGSGELSRPGVLTEREAE TRVKESHSPSKEDGAKLAARPPSPYCKAALGDCLRLAGLLGRESGKQTPDRPQGDVKVKE ERREDETPDLTGVSVHSTATHMGLGTAGFVWDPPRDTYRGLELPRRSFPAPPPAAGPSPF ELSERAYRDREPHDYSPERLQEVRREELERARVPDSDAAALLPAVHYPRLAPGALHGALL ARTPPAAAVLGAPPPLVTAGGVPTPPAHPRTTLGPGEAREYSPACNPPEVEAR >ENSMUSP00000142625.1 pep:known chromosome:GRCm38:5:110362791:110448486:-1 gene:ENSMUSG00000043323.16 transcript:ENSMUST00000196801.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbrsl1 description:fibrosin-like 1 [Source:MGI Symbol;Acc:MGI:1920907] MEAKVRPSRRSRAQRDRGRRREAARDARAQSPSSGEEPEPSPGKENAGLHGAPPQRPTPL RAARPPRRRRRESSSQEEEVIDGFAIASFSTLEALEKDMALKPHERKEKWDQRLVKKPRE SENCPSAELSENRQPLEVGSPGQDAEPSCDEGTRKVPLQPSKQMKVTMARGGDRNSDDDS VLEASSSQRSSSREQLSDSSAQAVSGRGYSCDSESGVDDKASVGSEKLFAPAPDKGSGRG EKSEAKAGAVPKVSGLERSSELSTEAFLPATAPAPSGPAPGTPASPLVKREAPVVPHHVP QPQLTPQHRGSLPTHVPLSLGAFVGPGPGPGQVASSSLHLHSLSRSSSTVSGTPLGLAKH VTLSPHGPGPHLSTSHLALRSQAQHQHHAAAMFAAPPTLPPPPALPASSLVIPGHPADAS LLISFNQPIMYCQPHSGILIGTWSQAPPLLPPPLGPQVASSHHGLACRPRECQVTIRLVP LGAHGRRASLSLSLFLL >ENSMUSP00000143147.1 pep:known chromosome:GRCm38:5:110362900:110386962:-1 gene:ENSMUSG00000043323.16 transcript:ENSMUST00000198834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbrsl1 description:fibrosin-like 1 [Source:MGI Symbol;Acc:MGI:1920907] MFAAPPTLPPPPALPASSLVIPGHPADHELLRQELNTRFLVQNAERPGASLGPGALLRAE FHQHTHQHTHQHTHQHQHTFAPFAGLPPTPLMPPTAPSPFDKFSPKLDSPYFRHSNTSNS IEITGRSSAVHTFLQKAPGVSDPYRTAVRKPGKWCAVHVQIAWQIYHQQQKMKMQLDPHK LEVGTKLDLFSRPPAPGMFSGFHYPQDLARPLFSSTGATHPTANPFGPSAHHGSFLPTGH LTDPFSRSSTFGGLGSLSNHAFGGLGSHALTPGSSIFAPKEGTALLGLPSPHDAWNRLHR VPPSFPTPPPWPKPVDTERLSALTNHDREPDKGQEEHERDLLEKTRLLSHGSPVAPVGHP ISSLLQRGSGELSRPGVLTEREAETRVKESHSPSKEDGAKLAARPPSPYCKAALGDCLRL AGLLGRESGKQTPDRPQGDVKVKEERREDETPDLTGVSVHSTATHMGLGTAGFVWDPPRD TYRGLELPRRSFPAPPPAAGPSPFELSERAYRDREPHDYSPERLQEVRREELERARVPDS DAAALLPAVHYPRLAPGALHGALLARTPPAAAVLGAPPPLVTAGGVPTPPAHPRTTLGPG EAREYSPACNPPEVEAR >ENSMUSP00000142379.1 pep:known chromosome:GRCm38:5:110378959:110433014:-1 gene:ENSMUSG00000043323.16 transcript:ENSMUST00000198768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbrsl1 description:fibrosin-like 1 [Source:MGI Symbol;Acc:MGI:1920907] MKVTMARGGDRNSDDDSVLEASSSQRSSSREQLSDSSAQAVSGRGYSCDSESGVDDKASV GSEKLFAPAPDKGSGRGEKSEAKAGAVPKVSGLERSSELSTEAFLPATAPAPSGPAPGTP ASPLVKREAPVVPHHVPQPQLTPQHRGSLPTHVPLSLGAFVGPGPGPGQVASSSLHLHSL SRSSSTVSGTPLGLAKHVTLSPHGPGPHLSTSHLALRSQAQHQHHAAAMFAAP >ENSMUSP00000143446.1 pep:known chromosome:GRCm38:5:110431995:110448503:-1 gene:ENSMUSG00000043323.16 transcript:ENSMUST00000200293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbrsl1 description:fibrosin-like 1 [Source:MGI Symbol;Acc:MGI:1920907] MEAKVRPSRRSRAQRDRGRRREAARDARAQSPSSGEEPEPSPGKENAGLHGAPPQRPTPL RAARPPRRRRRESSSQEEEVIDGFAIASFSTLEALEKDMALKPHERKEKWDQRLVKKPRE SENCPSAELSENRQPLEVGSPGQDAEPSCDEGTRKVPLQPSKQVCSPEGGPLPASPWHQN GPAQHQQQQQPSQSSQPQGPLPAPGQPCQPQRP >ENSMUSP00000063879.5 pep:known chromosome:GRCm38:5:110361754:110448503:-1 gene:ENSMUSG00000043323.16 transcript:ENSMUST00000069483.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbrsl1 description:fibrosin-like 1 [Source:MGI Symbol;Acc:MGI:1920907] MEAKVRPSRRSRAQRDRGRRREAARDARAQSPSSGEEPEPSPGKENAGLHGAPPQRPTPL RAARPPRRRRRESSSQEEEVIDGFAIASFSTLEALEKDMALKPHERKEKWDQRLVKKPRE SENCPSAELSENRQPLEVGSPGQDAEPSCDEGTRKVPLQPSKQMKVTMARGGDRNSDDDS VLEASSSQRSSSREQLSDSSAQAVSGRGYSCDSESGVDDKASVGSEKLFAPAPDKGSGRG EKSEAKAGAVPKVSGLERSSELSTEAFLPATAPAPSGPAPGTPASPLVKREAPVVPHHVP QPQLTPQHRGSLPTHVPLSLGAFVGPGPGPGQVASSSLHLHSLSRSSSTVSGTPLGLAKH VTLSPHGPGPHLSTSHLALRSQAQHQHHAAAMFAAPPTLPPPPALPASSLVIPGHPADAS LLISFNQPIMYCQPHSGILIDHELLRQELNTRFLVQNAERPGASLGPGALLRAEFHQHTH QHTHQHTHQHQHTFAPFAGLPPTPLMPPTAPSPFDKFSPKLDSPYFRHSNTSNSIEITGR SSAVHTFLQKAPGVSDPYRTAVRKPGKWCAVHVQIAWQIYHQQQKMKMQLDPHKLEVGTK LDLFSRPPAPGMFSGFHYPQDLARPLFSSTGATHPTANPFGPSAHHGSFLPTGHLTDPFS RSSTFGGLGSLSNHAFGGLGSHALTPGSSIFAPKEGTALLGLPSPHDAWNRLHRVPPSFP TPPPWPKPVDTERLSALTNHDREPDKGQEEHERDLLEKTRLLSHGSPVAPVGHPISSLLQ RGSGELSRPGVLTEREAETRVKESHSPSKEDGAKLAARPPSPYCKAALGDCLRLAGLLGR ESGKQTPDRPQGDVKVKEERREDETPDLTGVSVHSTATHMGLGTAGFVWDPPRDTYRGLE LPRRSFPAPPPAAGPSPFELSERAYRDREPHDYSPERLQEVRREELERARVPDSDAAALL PAVHYPRLAPGALHGALLARTPPAAAVLGAPPPLVTAGGVPTPPAHPRTTLGPGEAREYS PACNPPEVEAR >ENSMUSP00000032736.4 pep:known chromosome:GRCm38:7:64287670:64340806:1 gene:ENSMUSG00000030522.14 transcript:ENSMUST00000032736.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr10 description:myotubularin related protein 10 [Source:MGI Symbol;Acc:MGI:2142292] MFSLKPPRPSFRSYLLPPAQTDDKISSEPKIKKLEPVLLPGEIVVNEVNFVRKCIATDTS QYDLWGKLICSNFKISFITDDPMPLQKFHYRNLLLGEHDVPLTCIEQIVTVNDHKRKQKV LGPNQKLKFNPTELIIYCKDFRIVRFRFDESGPESAKKVCLAIAHYSQPTDLQLLFAFEY VGKKYHNSANKVNGVSSGGGGVWSGAGSTGSQRTPLFETYSDWDRETKRTGASGWRVCSI NEGYMISTCLPEYFVVPSSLADQDLKIFSHSFVGRRMPFWCWSHSNGSALVRMALIKDAL QQRKIDQRICNAITKSHPQRSDVYKSDLDKALPNIQEIQAAFVKLKQLCVNEPFEETEEK WLSSLESTRWLEYVRAFLKHSAELVYILESQRLSVVLQEEEGRDLSCLVASLIQVMMDPY FRTITGFQSLIQKEWVMAGYQFLDRCNHLKRSEKESPLFLLFLDTTWQLLEQYPAAFEFS ETYLAVLCDSTRISLFGTFLFNSPHQRVKQSTEFAISKNIQLGDEKGLKFPSVWDWALQF TAKDRTLFHNPFYIGKSTPCVQNGSRKSFKRTKKSYSSTLRGMPSCLKNGIITDQDLLPR RNSLVLKLKPDPPQHTDSQHSGAEQYFKEWFSRPANLHGIILPRLSGTHIKLWKLCYFRW VPEAQINLGGSIMAFHKLSLLADEVDMLSRMLRQHRSGPLEACYAELDQSRMYFRATGPH DTLGTPEFLSSSFPFSPVGNLCRRSILGTPLSKFLSGAKIWLSTETLANED >ENSMUSP00000146259.1 pep:known chromosome:GRCm38:7:64287782:64338029:1 gene:ENSMUSG00000030522.14 transcript:ENSMUST00000206452.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr10 description:myotubularin related protein 10 [Source:MGI Symbol;Acc:MGI:2142292] MFSLKPPRPSFRSYLLPPAQTDDKISSEPKIKKLEPVLLPGEIVVNEVNFVRKCIATDTS QYDLWGKLICSNFKISFITDDPMPLQKFHYRNLLLGEHDVPLTCIEQIVTVNDHKRKQKV LGPNQKLKFNPTELIIYCKDFRIVRFRFDESGPESAKKVCLAIAHYSQPTDLQLLFAFEY VGKKYHNSEA >ENSMUSP00000145602.1 pep:known chromosome:GRCm38:7:64300633:64321221:1 gene:ENSMUSG00000030522.14 transcript:ENSMUST00000206680.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr10 description:myotubularin related protein 10 [Source:MGI Symbol;Acc:MGI:2142292] XIVRFRFDESGPESAKKPTRLMEYPQEVEEYGVELAVPAARGRHCSKLTQIGTERPRGQA LLGGEFVLSTRVT >ENSMUSP00000115917.1 pep:known chromosome:GRCm38:5:144768544:144777128:1 gene:ENSMUSG00000045482.15 transcript:ENSMUST00000128550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trrap description:transformation/transcription domain-associated protein [Source:MGI Symbol;Acc:MGI:2153272] MAFVATQGATVVDQTTLMKKYLQFVAALTDVNTPDETKLKMMQEVSENFENVTSSPQYS >ENSMUSP00000098035.3 pep:known chromosome:GRCm38:5:144768794:144859778:1 gene:ENSMUSG00000045482.15 transcript:ENSMUST00000100467.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trrap description:transformation/transcription domain-associated protein [Source:MGI Symbol;Acc:MGI:2153272] MAFVATQGATVVDQTTLMKKYLQFVAALTDVNTPDETKLKMMQEVSENFENVTSSPQYST FLEHIIPRFLTFLQDGEVQFLQEKPAQQLRKLVLEILHRIPTNEHLRPHTKNVLSVMFRF LETENEENVLICLRIIIELHKQFRPPITQEIHHFLDFVKQIYKELPKVVNRYFENPQGIP ENTVPPPEMVGMITTVAVKVNPEREDSETRTHSIIPRGSLSLKVLAELPIIVVLMYQLYK LNIHNVVAEFVPLIMNTIAIQVSTQARQHKLYNKELYADFIAAQIKTLSFLAYIIRIYQE LVTKYSQQMVKGMLQLLSNCPAETAHLRKELLIAAKHILTTELRNQFIPCMDKLFDESIL IGSGYTARETLRPLAYSTLADLVHHVRQHLPLSDLSLAVQLFAKNIDDESLPSSIQTMSC KLLLNLVDCIRSKSEQESGNGRDVLMRMLEVFVLKFHTIARYQLSAIFKKCKPQSELGAA EAALPGVPTAPAAPGPAPSPAPVPAPAPPPPPPPAPATPVTPAPVPPFEKQGEKDKEDKQ TFQVTDCRSLVKTLVCGVKTITWGITSCKAPGAQFIPNKQLQPKETQIYIKLVKYAMQAL DIYQVQIAGNGQTYIRVANCQTVRMKEEKEVLEHFAGVFTMMNPLTFKEIFQTTVPYMVE RISKNYALQIVANSFLANPTTSALFATILVEYLLERLPEMGSNVELSNLYLKLFKLVFGS VSLFAAENEQMLKPHLHKIVNSSMELAQTAKEPYNYFLLLRALFRSIGGGSHDLLYQEFL PLLPNLLQGLNMLQSGLHKQHMKDLFVELCLTVPVRLSSLLPYLPMLMDPLVSALNGSQT LVSQGLRTLELCVDNLQPDFLYDHIQPVRAELMQALWRTLRNPADSISHVAYRVLGKFGG SNRKMLKESQKLHYVVTEVQGPSITVEFSDCKASLQLPMEKAIETALDCLKSANTEPYYR RQAWEVIRCFLVAMMSLEDNKHALYQLLAHPNFTEKTIPNVIISHRYKAQDTPARKTFEQ ALTGAFMSAVIKDLRPSALPFVASLIRHYTMVAVAQQCGPFLLPCYQVGSQPSTAMFHSE ENGSKGMDPLVLIDAIAICMAYEEKELCKIGEVALAVIFDVASIILGSKERACQLPLFSY IVERLCACCYEQAWYAKLGGVVSIKFLMERLPLTWVLQNQQTFLKALLFVMMDLTGEVSN GAVAMAKTTLEQLLMRCATPLKDEERAEEIVLAQEKSFHHVTHDLVREVTSPNSTVRKQA MHSLQVLAQVTGKSVTVIMEPHKEVLQDMVPPKKHLLRHQPANAQIGLMEGNTFCTTLQP RLFTMDLNVVEHKVFYTELLNLCEAEDSALTKLPCYKSLPSLVPLRIAALNALAACNYLP QSREKIIAALFKALNSTNSELQEAGEACMRKFLEGATIEVDQIHTHMRPLLMMLGDYRSL TLNVVNRLTSVTRLFPNSFNDKFCDQMMQHLRKWMEVVVITHKGGQRSDGNEMKICSAII NLFHLIPAAPQTLVKPLLEVVMKTERAMLIEAGSPFREPLIKFLTRHPSQTVELFMMEAT LNDPQWSRMFMSFLKHKDARPLRDVLAANPNRFITLLLPGGAQTAVRPGSPSTSNMRLDL QFQAIKIISIIVKNDDAWLASQHSLVSQLRRVWVSETFQERHRKENMAATNWKEPKLLAF CLLNYCKRNYGDIELLFQLLRAFTGRFLCNMTFLKEYMEEEIPKNYSIAQKRALFFRFVE FNDPNFGDELKAKVLQHILNPAFLYSFEKGEGEQLLGPPNPEGDNPESITSVFITKVLDP EKQADMLDSLRIYLLQYATLLVEHAPHHIHDNNKNRNSKLRRLMTFAWPCLLSKACVDPA CKYSGHLLLAHIIAKFAIHKKIVLQVFHSLLKAHAMEARAIVRQAMAILTPAVPARMEDG HQMLTHWTRKIIVEEGHTVPQLVHILHLIVQHFKVYYPVRHHLVQHMVSAMQRLGFTPSV TIEQRRLAVDLSEVVIKWELQRIKDQQPDSDMDPNSSGEGVNSVSIKRGLSVDSAQEVKR FRAATGAISAVFGRSQSLPGADSLLAKPIDKQHTDTVVNFLIRVACQVNDNTNTAGSPGE VLSRRCVNLLKTALRPDMWCKSELKLQWFDKLLMTVEQPNQVNYGNICTGLEVLNFLLTV LQSPAILSSFKPLQRGIAACMTCGNTKVLRAVHSLLSRLMSIFPTEPSTSSVASKYEELE CLYAAVGKVIYEGLTNYEKATSANPSQLFGTLMILKSACCNNPSYIDRLISVFMRSLQKM VREHLNPQTASGSTEATAAGTSELVMLSLDLVKTRLAVMSMEMRKNFIQTILTSLIEKSP DAKILRAVVKIVEEWVKNNSPMAANQTPTLREKSILLVKMMTYIEKRFPEDLELNAQFLD LVNYVYRDEALSGSELTAKLEPAFLSGLRCAQPLIRAKFFEVFDNSMKRRVYERLLYVTC SQNWEAMGSHFWIKQCIELLLAVCEKSTAIGTSCQGAMLPSITNVINLADSHDRAAFAMV THVKQEPRERENSESKEEDVEIDIELAPGDQTSTPKTKELSEKDIGNQLHMLTNRHDKFL DTLREVKTGALLSAFVQLCHISTTLAEKTWVQLFPRLWKILSDRQQHALAGEISPFLCSG SHQVQRDCQPSALNCFVEAMSQCVPPIPMRPCVLKYLGKTHNLWFRSTLMLEHQAFEKGL SLPIKPKQTTEFYEQESITPPQQEILDSLAELYSLLQEEDMWAGLWQKRCKFSETATAIA YEQHGFFEQAQESYEKAMDKAKKEHERSNASPAIFPEYQLWEDHWIRCSKELNQWEALTE FGQSKGHINPYLVLECAWRVSNWTAMKEALVQVEVSCPKEMAWKVNMYRGYLAICHPEEQ QLSFIERLVEMASSLAIREWRRLPHVVSHVHTPLLQAAQQIIELQEAAQINAGLQPTNLG RNNSLHDMKTVVKTWRNRLPIVSDDLSHWSSVFMWRQHHYQAIVTAYENSSHHDPSSNNA MLGVHASASAIIQYGKIARKQGLVNVALDILSRIHTIPTVPIVDCFQKIRQQVKCYLQLA GVMGKNECMQGLEVIESTNLKYFTKEMTAEFYALKGMFLAQINKSEEANKAFSAAVQMHD VLVKAWAMWGDYLESIFVKERQLHLGVSAITCYLHACRHQNESKSRKYLAKVLWLLSFDD DKNTLADAVDKYCIGVPPIQWLAWIPQLLTCLVGSEGKLLLNLISQVGRVYPQAVYFPIR TLYLTLKIEQRERYKSDSGQQQPSSAGNQSHSASDPGPIRATAPMWRCSRIMHMQRELHP TLLSSLEGIVDQMVWFRENWHEEVLRQLQQGLAKCYSVAFEKSGAVSDAKITPHTLNFVK KLVSTFGVGLENVSNVSTMFSSAASESLARRAQATAQDPVFQKLKGQFTTDFDFSVPGSM KLHNLISKLKKWIKILEAKTKQLPKFFLIEEKCRFLSNFSAQTAEVEIPGEFLMPKPTHY YIKIARFMPRVEIVQKHNTAARRLHIRGHNGKIYPYLVMNDACLTESRREERVLQLLRLL NPCLEKRKETTKRHLFFTVPRVVAVSPQMRLVEDNPSSLSLVEIYKQRCAKKGIEHDNPI SRYYDRLATVQARGTQASHQVLRDILKEVQSNMVPRSMLKEWALHTFPNATDYWTFRKMF TIQLALIGFAEFVLHLNRLNPEMLQIAQDTGKLNVAYFRFDINDATGDLDANRPVPFRLT PNISEFLTTIGVSGPLTASMIAVARCFAQPNFKVDGVLKTVLRDEIIAWHKKTQEDTSSP LSAAGQPENMDSQQLVSLVQKAVTAIMTRLHNLAQFDGGESKVNTLVAAANSLDNLCRMD PAWHPWL >ENSMUSP00000042544.6 pep:known chromosome:GRCm38:5:144771089:144859751:1 gene:ENSMUSG00000045482.15 transcript:ENSMUST00000038980.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trrap description:transformation/transcription domain-associated protein [Source:MGI Symbol;Acc:MGI:2153272] MAFVATQGATVVDQTTLMKKYLQFVAALTDVNTPDETKLKMMQEVSENFENVTSSPQYST FLEHIIPRFLTFLQDGEVQFLQEKPAQQLRKLVLEILHRIPTNEHLRPHTKNVLSVMFRF LETENEENVLICLRIIIELHKQFRPPITQEIHHFLDFVKQIYKELPKVVNRYFENPQGIP ENTVPPPEMVGMITTVAVKVNPEREDSETRTHSIIPRGSLSLKVLAELPIIVVLMYQLYK LNIHNVVAEFVPLIMNTIAIQVSTQARQHKLYNKELYADFIAAQIKTLSFLAYIIRIYQE LVTKYSQQMVKGMLQLLSNCPAETAHLRKELLIAAKHILTTELRNQFIPCMDKLFDESIL IGSGYTARETLRPLAYSTLADLVHHVRQHLPLSDLSLAVQLFAKNIDDESLPSSIQTMSC KLLLNLVDCIRSKSEQESGNGRDVLMRMLEVFVLKFHTIARYQLSAIFKKCKPQSELGAA EAALPGVPTAPAAPGPAPSPAPVPAPAPPPPPPPAPATPVTPAPVPPFEKQGEKDKEDKQ TFQVTDCRSLVKTLVCGVKTITWGITSCKAPGAQFIPNKQLQPKETQIYIKLVKYAMQAL DIYQVQIAGNGQTYIRVANCQTVRMKEEKEVLEHFAGVFTMMNPLTFKEIFQTTVPYMVE RISKNYALQIVANSFLANPTTSALFATILVEYLLERLPEMGSNVELSNLYLKLFKLVFGS VSLFAAENEQMLKPHLHKIVNSSMELAQTAKEPYNYFLLLRALFRSIGGGSHDLLYQEFL PLLPNLLQGLNMLQSGLHKQHMKDLFVELCLTVPVRLSSLLPYLPMLMDPLVSALNGSQT LVSQGLRTLELCVDNLQPDFLYDHIQPVRAELMQALWRTLRNPADSISHVAYRVLGKFGG SNRKMLKESQKLHYVVTEVQGPSITVEFSDCKASLQLPMEKAIETALDCLKSANTEPYYR RQAWEVIRCFLVAMMSLEDNKHALYQLLAHPNFTEKTIPNVIISHRYKAQDTPARKTFEQ ALTGAFMSAVIKDLRPSALPFVASLIRHYTMVAVAQQCGPFLLPCYQVGSQPSTAMFHSE ENGSKGMDPLVLIDAIAICMAYEEKELCKIGEVALAVIFDVASIILGSKERACQLPLFSY IVERLCACCYEQAWYAKLGGVVSIKFLMERLPLTWVLQNQQTFLKALLFVMMDLTGEVSN GAVAMAKTTLEQLLMRCATPLKDEERAEEIVLAQEKSFHHVTHDLVREVTSPNSTVRKQA MHSLQVLAQVTGKSVTVIMEPHKEVLQDMVPPKKHLLRHQPANAQIGLMEGNTFCTTLQP RLFTMDLNVVEHKVFYTELLNLCEAEDSALTKLPCYKSLPSLVPLRIAALNALAACNYLP QSREKIIAALFKALNSTNSELQEAGEACMRKFLEGATIEVDQIHTHMRPLLMMLGDYRSL TLNVVNRLTSVTRLFPNSFNDKFCDQMMQHLRKWMEVVVITHKGGQRSDGNEMKICSAII NLFHLIPAAPQTLVKPLLEVVMKTERAMLIEAGSPFREPLIKFLTRHPSQTVELFMMEAT LNDPQWSRMFMSFLKHKDARPLRDVLAANPNRFITLLLPGGAQTAVRPGSPSTSNMRLDL QFQAIKIISIIVKNDDAWLASQHSLVSQLRRVWVSETFQERHRKENMAATNWKEPKLLAF CLLNYCKRNYGDIELLFQLLRAFTGRFLCNMTFLKEYMEEEIPKNYSIAQKRALFFRFVE FNDPNFGDELKAKVLQHILNPAFLYSFEKGEGEQLLGPPNPEGDNPESITSVFITKVLDP EKQADMLDSLRIYLLQYATLLVEHAPHHIHDNNKNRNSKLRRLMTFAWPCLLSKACVDPA CKYSGHLLLAHIIAKFAIHKKIVLQVFHSLLKAHAMEARAIVRQAMAILTPAVPARMEDG HQMLTHWTRKIIVEEGHTVPQLVHILHLIVQHFKVYYPVRHHLVQHMVSAMQRLGFTPSV TIEQRRLAVDLSEVVIKWELQRIKDQQPDSDMDPNSSGEGVNSVSIKRGLSVDSAQEVKR FRAATGAISAVFGRSQSLPGADSLLAKPIDKQHTDTVVNFLIRVACQVNDNTNTAGSPGE VLSRRCVNLLKTALRPDMWCKSELKLQWFDKLLMTVEQPNQVNYGNICTGLEVLNFLLTV LQSPAILSSFKPLQRGIAACMTCGNTKVLRAVHSLLSRLMSIFPTEPSTSSVASKYEELE CLYAAVGKVIYEGLTNYEKATSANPSQLFGTLMILKSACCNNPSYIDRLISVFMRSLQKM VREHLNPQTASGSTEATAAGTSELVMLSLDLVKTRLAVMSMEMRKNFIQTILTSLIEKSP DAKILRAVVKIVEEWVKNNSPMAANQTPTLREKSILLVKMMTYIEKRFPEDLELNAQFLD LVNYVYRDEALSGSELTAKLEPAFLSGLRCAQPLIRAKFFEVFDNSMKRRVYERLLYVTC SQNWEAMGSHFWIKQCIELLLAVCEKSTAIGTSCQGAMLPSITNVINLADSHDRAAFAMV THVKQEPRERENSESKEEDVEIDIELAPGDQTSTPKTKELSEKDIGNQLHMLTNRHDKFL DTLREVKTGALLSAFVQLCHISTTLAEKTWVQLFPRLWKILSDRQQHALAGEISPFLCSG SHQVQRDCQPSALNCFVEAMSQCVPPIPMRPCVLKYLGKTHNLWFRSTLMLEHQAFEKGL SLPIKPKQTTEFYEQESITPPQQEILDSLAELYSLLQEEDMWAGLWQKRCKFSETATAIA YEQHGFFEQAQESYEKAMDKAKKEHERSNASPAIFPEYQLWEDHWIRCSKELNQWEALTE FGQSKGHINPYLVLECAWRVSNWTAMKEALVQVEVSCPKEMAWKVNMYRGYLAICHPEEQ QLSFIERLVEMASSLAIREWRRLPHVVSHVHTPLLQAAQQIIELQEAAQINAGLQPTNLG RNNSLHDMKTVVKTWRNRLPIVSDDLSHWSSVFMWRQHHYQAIVTAYENSSHHDPSSNNA MLGVHASASAIIQYGKIARKQGLVNVALDILSRIHTIPTVPIVDCFQKIRQQVKCYLQLA GVMGKNECMQGLEVIESTNLKYFTKEMTAEFYALKGMFLAQINKSEEANKAFSAAVQMHD VLVKAWAMWGDYLESIFVKERQLHLGVSAITCYLHACRHQNESKSRKYLAKVLWLLSFDD DKNTLADAVDKYCIGVPPIQWLAWIPQLLTCLVGSEGKLLLNLISQVGRVYPQAVYFPIR TLYLTLKIEQRERYKSDPGPIRATAPMWRCSRIMHMQRELHPTLLSSLEGIVDQMVWFRE NWHEEVLRQLQQGLAKCYSVAFEKSGAVSDAKITPHTLNFVKKLVSTFGVGLENVSNVST MFSSAASESLARRAQATAQDPVFQKLKGQFTTDFDFSVPGSMKLHNLISKLKKWIKILEA KTKQLPKFFLIEEKCRFLSNFSAQTAEVEIPGEFLMPKPTHYYIKIARFMPRVEIVQKHN TAARRLHIRGHNGKIYPYLVMNDACLTESRREERVLQLLRLLNPCLEKRKETTKRHLFFT VPRVVAVSPQMRLVEDNPSSLSLVEIYKQRCAKKGIEHDNPISRYYDRLATVQARGTQAS HQVLRDILKEVQSNMVPRSMLKEWALHTFPNATDYWTFRKMFTIQLALIGFAEFVLHLNR LNPEMLQIAQDTGKLNVAYFRFDINDATGDLDANRPVPFRLTPNISEFLTTIGVSGPLTA SMIAVARCFAQPNFKVDGVLKTVLRDEIIAWHKKTQEDTSSPLSAAGQPENMDSQQLVSL VQKAVTAIMTRLHNLAQFDGGESKVNTLVAAANSLDNLCRMDPAWHPWL >ENSMUSP00000091668.2 pep:known chromosome:GRCm38:5:144771089:144859776:1 gene:ENSMUSG00000045482.15 transcript:ENSMUST00000094120.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trrap description:transformation/transcription domain-associated protein [Source:MGI Symbol;Acc:MGI:2153272] MAFVATQGATVVDQTTLMKKYLQFVAALTDVNTPDETKLKMMQEVSENFENVTSSPQYST FLEHIIPRFLTFLQDGEVQFLQEKPAQQLRKLVLEILHRIPTNEHLRPHTKNVLSVMFRF LETENEENVLICLRIIIELHKQFRPPITQEIHHFLDFVKQIYKELPKVVNRYFENPQGIP ENTVPPPEMVGMITTVAVKVNPEREDSETRTHSIIPRGSLSLKVLAELPIIVVLMYQLYK LNIHNVVAEFVPLIMNTIAIQVSTQARQHKLYNKELYADFIAAQIKTLSFLAYIIRIYQE LVTKYSQQMVKGMLQLLSNCPAETAHLRKELLIAAKHILTTELRNQFIPCMDKLFDESIL IGSGYTARETLRPLAYSTLADLVHHVRQHLPLSDLSLAVQLFAKNIDDESLPSSIQTMSC KLLLNLVDCIRSKSEQESGNGRDVLMRMLEVFVLKFHTIARYQLSAIFKKCKPQSELGAA EAALPGVPTAPAAPGPAPSPAPVPAPAPPPPPPPAPATPVTPAPVPPFEKQGEKDKEDKQ TFQVTDCRSLVKTLVCGVKTITWGITSCKAPGAQFIPNKQLQPKETQIYIKLVKYAMQAL DIYQVQIAGNGQTYIRVANCQTVRMKEEKEVLEHFAGVFTMMNPLTFKEIFQTTVPYMVE RISKNYALQIVANSFLANPTTSALFATILVEYLLERLPEMGSNVELSNLYLKLFKLVFGS VSLFAAENEQMLKPHLHKIVNSSMELAQTAKEPYNYFLLLRALFRSIGGGSHDLLYQEFL PLLPNLLQGLNMLQSGLHKQHMKDLFVELCLTVPVRLSSLLPYLPMLMDPLVSALNGSQT LVSQGLRTLELCVDNLQPDFLYDHIQPVRAELMQALWRTLRNPADSISHVAYRVLGKFGG SNRKMLKESQKLHYVVTEVQGPSITVEFSDCKASLQLPMEKAIETALDCLKSANTEPYYR RQAWEVIRCFLVAMMSLEDNKHALYQLLAHPNFTEKTIPNVIISHRYKAQDTPARKTFEQ ALTGAFMSAVIKDLRPSALPFVASLIRHYTMVAVAQQCGPFLLPCYQVGSQPSTAMFHSE ENGSKGMDPLVLIDAIAICMAYEEKELCKIGEVALAVIFDVASIILGSKERACQLPLFSY IVERLCACCYEQAWYAKLGGVVSIKFLMERLPLTWVLQNQQTFLKALLFVMMDLTGEVSN GAVAMAKTTLEQLLMRCATPLKDEERAEEIVLAQEKSFHHVTHDLVREVTSPNSTVRKQA MHSLQVLAQVTGKSVTVIMEPHKEVLQDMVPPKKHLLRHQPANAQIGLMEGNTFCTTLQP RLFTMDLNVVEHKVFYTELLNLCEAEDSALTKLPCYKSLPSLVPLRIAALNALAACNYLP QSREKIIAALFKALNSTNSELQEAGEACMRKFLEGATIEVDQIHTHMRPLLMMLGDYRSL TLNVVNRLTSVTRLFPNSFNDKFCDQMMQHLRKWMEVVVITHKGGQRSDGNESLSECGRC SLSPFCQFEEMKICSAIINLFHLIPAAPQTLVKPLLEVVMKTERAMLIEAGSPFREPLIK FLTRHPSQTVELFMMEATLNDPQWSRMFMSFLKHKDARPLRDVLAANPNRFITLLLPGGA QTAVRPGSPSTSNMRLDLQFQAIKIISIIVKNDDAWLASQHSLVSQLRRVWVSETFQERH RKENMAATNWKEPKLLAFCLLNYCKRNYGDIELLFQLLRAFTGRFLCNMTFLKEYMEEEI PKNYSIAQKRALFFRFVEFNDPNFGDELKAKVLQHILNPAFLYSFEKGEGEQLLGPPNPE GDNPESITSVFITKVLDPEKQADMLDSLRIYLLQYATLLVEHAPHHIHDNNKNRNSKLRR LMTFAWPCLLSKACVDPACKYSGHLLLAHIIAKFAIHKKIVLQVFHSLLKAHAMEARAIV RQAMAILTPAVPARMEDGHQMLTHWTRKIIVEEGHTVPQLVHILHLIVQHFKVYYPVRHH LVQHMVSAMQRLGFTPSVTIEQRRLAVDLSEVVIKWELQRIKDQQPDSDMDPNSSGEGVN SVSIKRGLSVDSAQEVKRFRAATGAISAVFGRSQSLPGADSLLAKPIDKQHTDTVVNFLI RVACQVNDNTNTAGSPGEVLSRRCVNLLKTALRPDMWCKSELKLQWFDKLLMTVEQPNQV NYGNICTGLEVLNFLLTVLQSPAILSSFKPLQRGIAACMTCGNTKVLRAVHSLLSRLMSI FPTEPSTSSVASKYEELECLYAAVGKVIYEGLTNYEKATSANPSQLFGTLMILKSACCNN PSYIDRLISVFMRSLQKMVREHLNPQTASGSTEATAAGTSELVMLSLDLVKTRLAVMSME MRKNFIQTILTSLIEKSPDAKILRAVVKIVEEWVKNNSPMAANQTPTLREKSILLVKMMT YIEKRFPEDLELNAQFLDLVNYVYRDEALSGSELTAKLEPAFLSGLRCAQPLIRAKFFEV FDNSMKRRVYERLLYVTCSQNWEAMGSHFWIKQCIELLLAVCEKSTAIGTSCQGAMLPSI TNVINLADSHDRAAFAMVTHVKQEPRERENSESKEEDVEIDIELAPGDQTSTPKTKELSE KDIGNQLHMLTNRHDKFLDTLREVKTGALLSAFVQLCHISTTLAEKTWVQLFPRLWKILS DRQQHALAGEISPFLCSGSHQVQRDCQPSALNCFVEAMSQCVPPIPMRPCVLKYLGKTHN LWFRSTLMLEHQAFEKGLSLPIKPKQTTEFYEQESITPPQQEILDSLAELYSLLQEEDMW AGLWQKRCKFSETATAIAYEQHGFFEQAQESYEKAMDKAKKEHERSNASPAIFPEYQLWE DHWIRCSKELNQWEALTEFGQSKGHINPYLVLECAWRVSNWTAMKEALVQVEVSCPKEMA WKVNMYRGYLAICHPEEQQLSFIERLVEMASSLAIREWRRLPHVVSHVHTPLLQAAQQII ELQEAAQINAGLQPTNLGRNNSLHDMKTVVKTWRNRLPIVSDDLSHWSSVFMWRQHHYQG KPTWSGMHSSSIVTAYENSSHHDPSSNNAMLGVHASASAIIQYGKIARKQGLVNVALDIL SRIHTIPTVPIVDCFQKIRQQVKCYLQLAGVMGKNECMQGLEVIESTNLKYFTKEMTAEF YALKGMFLAQINKSEEANKAFSAAVQMHDVLVKAWAMWGDYLESIFVKERQLHLGVSAIT CYLHACRHQNESKSRKYLAKVLWLLSFDDDKNTLADAVDKYCIGVPPIQWLAWIPQLLTC LVGSEGKLLLNLISQVGRVYPQAVYFPIRTLYLTLKIEQRERYKSDPGPIRATAPMWRCS RIMHMQRELHPTLLSSLEGIVDQMVWFRENWHEEVLRQLQQGLAKCYSVAFEKSGAVSDA KITPHTLNFVKKLVSTFGVGLENVSNVSTMFSSAASESLARRAQATAQDPVFQKLKGQFT TDFDFSVPGSMKLHNLISKLKKWIKILEAKTKQLPKFFLIEEKCRFLSNFSAQTAEVEIP GEFLMPKPTHYYIKIARFMPRVEIVQKHNTAARRLHIRGHNGKIYPYLVMNDACLTESRR EERVLQLLRLLNPCLEKRKETTKRHLFFTVPRVVAVSPQMRLVEDNPSSLSLVEIYKQRC AKKGIEHDNPISRYYDRLATVQARGTQASHQVLRDILKEVQSNMVPRSMLKEWALHTFPN ATDYWTFRKMFTIQLALIGFAEFVLHLNRLNPEMLQIAQDTGKLNVAYFRFDINDATGDL DANRPVPFRLTPNISEFLTTIGVSGPLTASMIAVARCFAQPNFKVDGVLKTVLRDEIIAW HKKTQEDTSSPLSAAGQPENMDSQQLVSLVQKAVTAIMTRLHNLAQFDGGESKVNTLVAA ANSLDNLCRMDPAWHPWL >ENSMUSP00000122021.1 pep:known chromosome:GRCm38:5:144785525:144859776:1 gene:ENSMUSG00000045482.15 transcript:ENSMUST00000132925.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trrap description:transformation/transcription domain-associated protein [Source:MGI Symbol;Acc:MGI:2153272] XTLSFLAYIIRIYQELVTKYSQQMVKGMLQLLSNCPAETAHLRKELLIAAKHILTTELRN QFIPCMDKLFDESILIGSGYTARETLRPLAYSTLADLVHHVRQHLPLSDLSLAVQLFAKN IDDESLPSSIQTMSCKLLLNLVDCIRSKSEQESGNGRDVLMRMLEVFVLKFHTIARYQLS AIFKKCKPQSELGAAEAALPGVPTAPAAPGPAPSPAPVPAPAPPPPPPPAPATPVTPAPV PPFEKQGEKDKEDKQTFQVTDCRSLVKTLVCGVKTITWGITSCKAPGAQFIPNKQLQPKE TQIYIKLVKYAMQALDIYQVQIAGNGQTYIRVANCQTVRMKEEKEVLEHFAGVFTMMNPL TFKEIFQTTVPYMVERISKNYALQIVANSFLANPTTSALFATILVEYLLERLPEMGSNVE LSNLYLKLFKLVFGSVSLFAAENEQMLKPHLHKIVNSSMELAQTAKEPYNYFLLLRALFR SIGGGSHDLLYQEFLPLLPNLLQGLNMLQSGLHKQHMKDLFVELCLTVPVRLSSLLPYLP MLMDPLVSALNGSQTLVSQGLRTLELCVDNLQPDFLYDHIQPVRAELMQALWRTLRNPAD SISHVAYRVLGKFGGSNRKMLKESQKLHYVVTEVQGPSITVEFSDCKASLQLPMEKAIET ALDCLKSANTEPYYRRQAWEVIRCFLVAMMSLEDNKHALYQLLAHPNFTEKTIPNVIISH RYKAQDTPARKTFEQALTGAFMSAVIKDLRPSALPFVASLIRHYTMVAVAQQCGPFLLPC YQVGSQPSTAMFHSEENGSKGMDPLVLIDAIAICMAYEEKELCKIGEVALAVIFDVASII LGSKERACQLPLFSYIVERLCACCYEQAWYAKLGGVVSIKFLMERLPLTWVLQNQQTFLK ALLFVMMDLTGEVSNGAVAMAKTTLEQLLMRCATPLKDEERAEEIVLAQEKSFHHVTHDL VREVTSPNSTVRKQAMHSLQVLAQVTGKSVTVIMEPHKEVLQDMVPPKKHLLRHQPANAQ IGLMEGNTFCTTLQPRLFTMDLNVVEHKVFYTELLNLCEAEDSALTKLPCYKSLPSLVPL RIAALNALAACNYLPQSREKIIAALFKALNSTNSELQEAGEACMRKFLEGATIEVDQIHT HMRPLLMMLGDYRSLTLNVVNRLTSVTRLFPNSFNDKFCDQMMQHLRKWMEVVVITHKGG QRSDGNESLSECGRCSLSPFCQFEPAMEGVEEMKICSAIINLFHLIPAAPQTLVKPLLEV VMKTERAMLIEAGSPFREPLIKFLTRHPSQTVELFMMEATLNDPQWSRMFMSFLKHKDAR PLRDVLAANPNRFITLLLPGGAQTAVRPGSPSTSNMRLDLQFQAIKIISIIVKNDDAWLA SQHSLVSQLRRVWVSETFQERHRKENMAATNWKEPKLLAFCLLNYCKRNYGDIELLFQLL RAFTGRFLCNMTFLKEYMEEEIPKNYSIAQKRALFFRFVEFNDPNFGDELKAKVLQHILN PAFLYSFEKGEGEQLLGPPNPEGDNPESITSVFITKVLDPEKQADMLDSLRIYLLQYATL LVEHAPHHIHDNNKNRNSKLRRLMTFAWPCLLSKACVDPACKYSGHLLLAHIIAKFAIHK KIVLQVFHSLLKAHAMEARAIVRQAMAILTPAVPARMEDGHQMLTHWTRKIIVEEGHTVP QLVHILHLIVQHFKVYYPVRHHLVQHMVSAMQRLGFTPSVTIEQRRLAVDLSEVVIKWEL QRIKDQQPDSDMDPNSSGEGVNSVSIKRGLSVDSAQEVKRFRAATGAISAVFGRSQSLPG ADSLLAKPIDKQHTDTVVNFLIRVACQVNDNTNTAGSPGEVLSRRCVNLLKTALRPDMWC KSELKLQWFDKLLMTVEQPNQVNYGNICTGLEVLNFLLTVLQSPAILSSFKPLQRGIAAC MTCGNTKVLRAVHSLLSRLMSIFPTEPSTSSVASKYEELECLYAAVGKVIYEGLTNYEKA TSANPSQLFGTLMILKSACCNNPSYIDRLISVFMRSLQKMVREHLNPQTASGSTEATAAG TSELVMLSLDLVKTRLAVMSMEMRKNFIQTILTSLIEKSPDAKILRAVVKIVEEWVKNNS PMAANQTPTLREKSILLVKMMTYIEKRFPEDLELNAQFLDLVNYVYRDEALSGSELTAKL EPAFLSGLRCAQPLIRAKFFEVFDNSMKRRVYERLLYVTCSQNWEAMGSHFWIKQCIELL LAVCEKSTAIGTSCQGAMLPSITNVINLADSHDRAAFAMVTHVKQEPRERENSESKEEDV EIDIELAPGDQTSTPKTKELSEKDIGNQLHMLTNRHDKFLDTLREVKTGALLSAFVQLCH ISTTLAEKTWVQLFPRLWKILSDRQQHALAGEISPFLCSGSHQVQRDCQPSALNCFVEAM SQCVPPIPMRPCVLKYLGKTHNLWFRSTLMLEHQAFEKGLSLPIKPKQTTEFYEQESITP PQQEILDSLAELYSLLQEEDMWAGLWQKRCKFSETATAIAYEQHGFFEQAQESYEKAMDK AKKEHERSNASPAIFPEYQLWEDHWIRCSKELNQWEALTEFGQSKGHINPYLVLECAWRV SNWTAMKEALVQVEVSCPKEMAWKVNMYRGYLAICHPEEQQLSFIERLVEMASSLAIREW RRLPHVVSHVHTPLLQAAQQIIELQEAAQINAGLQPTNLGRNNSLHDMKTVVKTWRNRLP IVSDDLSHWSSVFMWRQHHYQAIVTAYENSSHHDPSSNNAMLGVHASASAIIQYGKIARK QGLVNVALDILSRIHTIPTVPIVDCFQKIRQQVKCYLQLAGVMGKNECMQGLEVIESTNL KYFTKEMTAEFYALKGMFLAQINKSEEANKAFSAAVQMHDVLVKAWAMWGDYLESIFVKE RQLHLGVSAITCYLHACRHQNESKSRKYLAKVLWLLSFDDDKNTLADAVDKYCIGVPPIQ WLAWIPQLLTCLVGSEGKLLLNLISQVGRVYPQAVYFPIRTLYLTLKIEQRERYKSDSGQ QQPSSAGNQSHSASDPGPIRATAPMWRCSRIMHMQRELHPTLLSSLEGIVDQMVWFRENW HEEVLRQLQQGLAKCYSVAFEKSGAVSDAKITPHTLNFVKKLVSTFGVGLENVSNVSTMF SSAASESLARRAQATAQDPVFQKLKGQFTTDFDFSVPGSMKLHNLISKLKKWIKILEAKT KQLPKFFLIEEKCRFLSNFSAQTAEVEIPGEFLMPKPTHYYIKIARFMPRVEIVQKHNTA ARRLHIRGHNGKIYPYLVMNDACLTESRREERVLQLLRLLNPCLEKRKETTKRHLFFTVP RVVAVSPQMRLVEDNPSSLSLVEIYKQRCAKKGIEHDNPISRYYDRLATVQARGTQASHQ VLRDILKEVQSNMVPRSMLKEWALHTFPNATDYWTFRKMFTIQLALIGFAEFVLHLNRLN PEMLQIAQDTGKLNVAYFRFDINDATGDLDANRPVPFRLTPNISEFLTTIGVSGPLTASM IAVARCFAQPNFKVDGVLKTVLRDEIIAWHKKTQEDTSSPLSAAGQPENMDSQQLVSLVQ KAVTAIMTRLHNLAQFDGGESKVNTLVAAANSLDNLCRMDPAWHPWL >ENSMUSP00000086041.3 pep:known chromosome:GRCm38:1:9601199:9627143:1 gene:ENSMUSG00000067879.3 transcript:ENSMUST00000088666.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110035E14Rik description:RIKEN cDNA 3110035E14 gene [Source:MGI Symbol;Acc:MGI:1924232] MHQIYSCSDENIEVFTTVIPSKVSSSSRRRVKSSHHLLAKNVVIESDLYPPPRPLELLPQ RCERRDTGDRRWLQTGRLQTARPPGAHPTKTPSRPVGISEPKTSNLCGNRAYGKSLIPPV ARISVKAPAGAEVAAKGSEHGAVLGRGSRHLKKIAEEYPALPQGAEASLPLTGSTSCGVP GILRKMWTRHKKKSEYVGATNSAFEAD >ENSMUSP00000021324.2 pep:known chromosome:GRCm38:11:103219762:103267472:-1 gene:ENSMUSG00000020941.7 transcript:ENSMUST00000021324.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k14 description:mitogen-activated protein kinase kinase kinase 14 [Source:MGI Symbol;Acc:MGI:1858204] MAVMEVACPGTPGSAVGQQKELAKAKEKTQSLGKKQSCIFKLEAVEKSPVFCGKWEILND VITKGTAKDGSEGGPPAISIIAQAECENSQEFSPTFSERIFIAGSQQYSQSESLDQIPNN VAHATEGKMARVCRRGKRHGKARKKRRKKRSKSLAQAGVALAKPLPRTPEQESCTIPVQE DESPLGNLYARNVSQFTKPLGGPGLGHLCFKKQDEGLRPVLPRPELHKLISPLQCLNHVW KLHHPQATGPRPHPTHPFPYSGMPHPFPFYPLEPWKPYMLDSAVLDKLAGVSGQRPLPGP PHLSQLAHGDSQKPLPGPHLESSCPSRGALEKVPVEEYLVHALQGSVSSGQAHSLASLAK TWSSGSAKLQRLGPETEDNEGVLLTEKLKPVDYEYREEVHWMTHQPRVGRGSFGEVHRMK DKQTGFQCAVKKVRLEVFRVEELVACAGLSSPRIVPLYGAVREGPWVNIFMELLEGGSLG QLIKQMGCLPEDRALYYLGQALEGLEYLHTRRILHGDVKADNVLLSSDGSRAALCDFGHA LCLQPDGLGKSLLTGDYIPGTETHMAPEVVMGKPCDAKVDIWSSCCMMLHMLNGCHPWTQ YFRGPLCLKIASEPPPIREIPPSCAPLTAQAIQEGLRKEPVHRASAMELRRKVGKALQEV GGLKSPWKGEYKEPRPPPQDQATCHQTLPTPPRENPPAKANTDGAPEPQPPLPPEPPEPS KAPALNLSKEESGTWEPLPLSSLDPATAKGPSFPDRRATLPELELQQLEIELFLNSLSQP FSLEEQEQILSCLSIDSLSLSDDSEKNPSKASQSSRDTLSSGVHSWNSQAEARTCSCSTA LARGRPTDIPSYFNGVKVQIQSLNGEHLHIREFHRVKVGDIATGISSQIPATAFSLVTKD GQPVCYDMEVPDSGIDLQCTLAPDGSFAWTWRVKHGQLENRP >ENSMUSP00000084400.4 pep:known chromosome:GRCm38:17:36881598:36890045:-1 gene:ENSMUSG00000073399.9 transcript:ENSMUST00000087158.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim40 description:tripartite motif-containing 40 [Source:MGI Symbol;Acc:MGI:2684881] MGSLDKDNQDICPICLDPLKEAVSTDCRHLFCRMCLTQHMDKASVSGILSCPVCRKPCSE GVLGDNYICHTHQKRVRRFCEASGHLLCEECLQSPEHQSHTELSIENAISHYKERLNRRS RKLRKDLGNLQQLKAQEKKMLQALQVDCECHRLRTDLQNQDQTKEQLKALPWHWLDQEDL PEEVAKIFSFSEAVTQLSILVSGLERMAKDLDASTLKDASDLLDRSAPQKLEGLLSRVSP AGPKLS >ENSMUSP00000133581.1 pep:known chromosome:GRCm38:17:36881845:36889533:-1 gene:ENSMUSG00000073399.9 transcript:ENSMUST00000172711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim40 description:tripartite motif-containing 40 [Source:MGI Symbol;Acc:MGI:2684881] MGSLDKDNQDICPICLDPLKEAVSTDCRHLFCRMCLTQHMDKASVSGILSCPVCRKPCSE GVLGDNYICHTHQKRVRRFCEASGHLLCEECLQSPEHQSHTELSIENAISHYKERLNRRS RKLRKDLGNLQQLKAQEKKMLQALQVDCECHRLRTDLQNQDQTKEQLKALPWHWLDQEDL PEEDASDLLDRSAPQKLEGLLSRVSPAGPKLS >ENSMUSP00000050357.6 pep:known chromosome:GRCm38:18:37435621:37438654:1 gene:ENSMUSG00000043458.5 transcript:ENSMUST00000055495.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb12 description:protocadherin beta 12 [Source:MGI Symbol;Acc:MGI:2136747] MPGQRQVFFLTILLLFWKSDSEAIRYSMPEEKESGYLVANVAKDLGLRVEELATRGAQIH YRGNKELLQMDAETGNLFLKEKLDREALCGATEPCVLHFQIILENPVQFFQTELQLTDIN DHSPEFSDTEMLLTIPENAQPGTVFPLKAAHDSDIGSNAVQNYTVNPNIHFHVVTLSRSD GRKYPELVLDRALDREEQPELTLTLTALDGGSPPRSGTTEVHIEVVDINDNAPQFIQSLY EVQVPENSPLNALVVMVSATDLDAGIYGNVAYSLFQGDGLSQPFVIDKITGEIRLTKELD FEVSHHYTIEIAATDGGGLSGKCTVVIQVLDVNDNAPELAIRKLTVPVPENSAETVVAVF SVSDSDSGDNGRIVCSIQNNIPFLLKPTFENYYTLVTEGPLDRESRAEYNITITVWDLGT PRLTTQHTITVQVADINDNAPAFTQTSYTLFVQENNSPALHIGTISATDSDSGSNAHITY SLLPPHDSQLALASLVSINSDNGQLFALRAMDYEMLQAFELHVDATDGGSPALSSQALVR VVVLDDNDNSPFVLYPMQNASAPCTELLPRAAEPGYLITKVVAVDRDSGQNAWLSFQLLK ATEPGLFSVWAHNGEVRTTRLLSERDAPKHKLLLLVKDNGDPPRSASVTLHVLVVDGFSQ PYLPLPEVARDSTQDDYDVLTLYLVVALASVSSLFLLSVLLFVGVRLCRRARAASLGDYS VPEGHFPSHLVDVSRAGTLSQSYQYEVCLNGGTGTNEFNFLKPLFPILPTQAAAEERENA VVRNSVEFY >ENSMUSP00000061087.1 pep:known chromosome:GRCm38:18:37442500:37446209:1 gene:ENSMUSG00000047307.2 transcript:ENSMUST00000056915.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb13 description:protocadherin beta 13 [Source:MGI Symbol;Acc:MGI:2136748] MSHAKMWCKTRQVMVLITSMLLWEVGSGSIQYAMLEETESGTFVANLTKHLGLRVGELAA RDARVVFKGNRQYLQLDPQTQDLMLNENLDREELCGSTEPCVLSFRVLLKNPLQFYQAEL RVIDINDHAPEFPAREMLLKISEIAPPGKIFPLKMAQDLDTGSHSIQSYTVSDNPHFHVL TRNRSDGKKFPELVLDKALDREKQDQLRLKLTAVDGGSPPRSGTTEIQILVLDINDNTPE FAQELYEVQVPENSPIGSLLITVSARDLDAGPFGEVSYGLSQDDDVNEPFEINTKTGEIR LKSTLDFEAFQSYHVDVEALDGGGLTGKCSLVVQVLDVNDNAPEVILSSLNSPIPENLPG IIVAVFSVSDADSGNNQQVMCSIDDNLPFLLRPSMENFYTLETDGALDRESRSEYNITIT VTDMGTPRLTTQHNITVQVSDINDNAPAFTQTSYTLFVHENNSPALHIGTISATDSDSGS NAHITYSLLLPQDKQLTLTSLVSINADNGQLFALRALDYEALQAFEFRVDATDQGSPALS SQVLVRVVVLDDNDNAPFVLYPMQNASAPCTELLPRAAEPGYLVTKVVAVDRDSGQNAWL SFQLFKATEPGLFSVWAHNGEVRTTRLLSERDVPKHRLLLLVKDNGEPPRSASVTLHVLL VDGFSQPYLPLPEVAQDSTEDAEDALTLYLVIALASVSSLFLLSVVLFVGVRLCKKFRAA SLGGYSVPEGHFPGHLVDVSGAGTLSQSYQYEVCLRGDTGTGEFKLRKAINPHFPSVPSE RNTEESPTLRNSFLFI >ENSMUSP00000053326.5 pep:known chromosome:GRCm38:18:37496991:37504128:1 gene:ENSMUSG00000043313.6 transcript:ENSMUST00000059571.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb19 description:protocadherin beta 19 [Source:MGI Symbol;Acc:MGI:2136757] MENQEGLYLQTRQVLLLFVFLGMSQAHSEAGRLSVVEEMLSGALVGNLVKDLGLQVDELS AREAQVASSDNKLPLQLNINTGDLLLSEPLDREELCGSTEPCVLHFQVLLKNPLKILQAE LQVTDVNDNSPVFLEKEMVLEIPENSPVGAVFLLESAIDLDVGINAVKSYEISQNSHFHV TMRVNPDGRKYPELVLDKALDYEEQHELRLILTALDGGTPPRSGTALVRVEVIDINDNSP EFDHMFYEVTVPENSMLGSLLITASAWDLDSGINGEVSYAFSHASEDIRKTFAINEHSGE IRLKGYLDFETTESYSIIIKATDRGGLFGKSTVRIQVMDVNDNFPEIIVSSFISPIPENT AETLVMIFSIRDKDSGENGKMVCTIPEGLPFVLKSSIENYYHLETDGALDRESIAEYNIT ISVTDLGTPRLTTQHTIIVQVADINDNAPAFTQTSYTMFFHENNSPALHIGTISATDSDA GSNAHITYSLMSAQDLQMALSSLISINADNGQLFALRALDYEVLQAFEFQVGATDRGSPA LSSQALVRVVVLDDNDNAPFVLYPMQNASAPCTELLPRAAEPGYLVTKVVAVDRDSGQNA WLSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDAPKHRLLLLVKDNGDPPRSASVTLHV LVVDGFSQPYLPLPEVARNPAHEEDALTLYLVTALASVSSLFLLSVMLFVGVRLCRRARA ASLGGCTVPEGHFPDHLMGINSAGTLSQSYQYEVCLMGGSGTNEFRFLKPVSPNLQPQFP GKEIEESSTLRNSFGLFRDCN >ENSMUSP00000116627.1 pep:known chromosome:GRCm38:1:9547948:9577970:1 gene:ENSMUSG00000025911.14 transcript:ENSMUST00000144177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adhfe1 description:alcohol dehydrogenase, iron containing, 1 [Source:MGI Symbol;Acc:MGI:1923437] MAAAARARVTHLLRHLQSTACQCPTHSHTYSQAPGPSGKTADYAFEMAVSNIRYGAGVTK EVGMDLQNMGAKNVCLMTDKNLSQLPPVQIVMDSLSKNGISFQVYDDVRVEPTDGSFMDA IEFAKKGAFDAYVAVGGGSTMDTCKAANLYASSPHSEFLDYVNAPIGKGKPVTVPLKPLI AVPTTSGTGSETTGVAIFDYEHLKVKTGIASRAIKPTLGLVDPLHTLHMPCQVVANSGFD VLCHALESYTAIPYSMRSPCPSNPIQRPAYQGSNPISDIWAVHALQIVAKYLKRAVRNPD DLEARSKMHLASAFAGIGFGNAGVHLCHGMSYPISGLVKTYKAKEYNVDHPLVPHGLSVV LTSPAVFTFTAQMFPERHLETAGILGANIRTARIQDAGLVLADALRKFLFDLNVDDGLAA LGYSKDDIPSLVKGTLPQERVTKLAPRAQSEEDLSALFEASMKLY >ENSMUSP00000137782.1 pep:known chromosome:GRCm38:1:9548055:9576901:1 gene:ENSMUSG00000025911.14 transcript:ENSMUST00000027044.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adhfe1 description:alcohol dehydrogenase, iron containing, 1 [Source:MGI Symbol;Acc:MGI:1923437] MAAAARARVTHLLRHLQSTACQCPTHSHTYSQDGCLKY >ENSMUSP00000137817.1 pep:known chromosome:GRCm38:1:9548092:9553687:1 gene:ENSMUSG00000025911.14 transcript:ENSMUST00000130927.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adhfe1 description:alcohol dehydrogenase, iron containing, 1 [Source:MGI Symbol;Acc:MGI:1923437] MAAAARARVTHLLRHLQSTACQCPTHSHTYSQDSEVHKVQCSVSTVSGCHVVDTKTALLE RDGCLKY >ENSMUSP00000140861.1 pep:known chromosome:GRCm38:1:9548107:9555568:1 gene:ENSMUSG00000025911.14 transcript:ENSMUST00000186467.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adhfe1 description:alcohol dehydrogenase, iron containing, 1 [Source:MGI Symbol;Acc:MGI:1923437] TRSSHTLAEAPTKHSMPVPNSFSYLLPRIYRIWELRMFA >ENSMUSP00000140592.1 pep:known chromosome:GRCm38:1:9548119:9580673:1 gene:ENSMUSG00000025911.14 transcript:ENSMUST00000190654.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adhfe1 description:alcohol dehydrogenase, iron containing, 1 [Source:MGI Symbol;Acc:MGI:1923437] XTHLLRHLQSTACQCPTHSHTYSQAPGPSGKTADYAFEMAVSNIRYGAGVTKEVGMDLQN MGAKNVCLMTDKNLSQLPPVQIVMDSLSKNGISFQVYDDVRVEPTDGSFMDAIEFAKKGA FDAYVAVGGGSTMDTCKAANLYASSPHSEFLDYVNAPIGKGKPVTVPLKPLIAVPTTSGT GSETTGVAIFDYEHLKVKTGIASRAIKPTLGLVDPLHTLHMPCQVVANSGFDVLCHALES YTAIPYSMRSPCPSNPIQRPAYQGSNPISDIWAVHALQIVAKYLKRAVRNPDDLEARSKM HLASAFAGIGFGNAGVHLCHGMSYPISGLVKTYKAKEYNVDHPLVPHGLSVVLTSPAVFT FTAQMFPERHLETAGILGANIRTARIQDAGLVLADALRKFLFDLNVDDGLAALGYSKDDI PSLVKGTLPQERVTKLAPRAQSEEDLSALFEASMKLY >ENSMUSP00000103357.1 pep:known chromosome:GRCm38:7:45806637:45814316:-1 gene:ENSMUSG00000003269.15 transcript:ENSMUST00000107729.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth2 description:cytohesin 2 [Source:MGI Symbol;Acc:MGI:1334255] MEDGVYEPPDLTPEERMELENIRRRKQELLVEIQRLREELSEAMSEVEGLEANEGSKTLQ RNRKMAMGRKKFNMDPKKGIQFLVEHELLQNTPEEIARFLYKGEGLNKTAIGDYLGEREE LNLSVLHAFVDLHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCLCNPGVFQ STDTCYVLSFAVIMLNTSLHNPNVRDKPGLERFVAMNRGINEGGDLPEDLLRNLYDSIRN EPFKIPEDDGNDLTHTFFNPDREGWLLKLGGRVKTWKRRWFILTDNCLYYFEYTTDKEPR GIIPLENLSIREVDDPRKPNCFELYIPNNKGQLIKACKTEADGRVVEGNHMVYRISAPTQ EEKDEWIKSIQAAVSVDPFYEMLAARKKRISVKKKQEQP >ENSMUSP00000051423.6 pep:known chromosome:GRCm38:7:45806637:45814316:-1 gene:ENSMUSG00000003269.15 transcript:ENSMUST00000056820.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth2 description:cytohesin 2 [Source:MGI Symbol;Acc:MGI:1334255] MEDGVYEPPDLTPEERMELENIRRRKQELLVEIQRLREELSEAMSEVEGLEANEGSKTLQ RNRKMAMGRKKFNMDPKKGIQFLVEHELLQNTPEEIARFLYKGEGLNKTAIGDYLGEREE LNLSVLHAFVDLHEFTDLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAQRYCLCNPGVFQ STDTCYVLSFAVIMLNTSLHNPNVRDKPGLERFVAMNRGINEGGDLPEDLLRNLYDSIRN EPFKIPEDDGNDLTHTFFNPDREGWLLKLAGGRVKTWKRRWFILTDNCLYYFEYTTDKEP RGIIPLENLSIREVDDPRKPNCFELYIPNNKGQLIKACKTEADGRVVEGNHMVYRISAPT QEEKDEWIKSIQAAVSVDPFYEMLAARKKRISVKKKQEQP >ENSMUSP00000084904.3 pep:known chromosome:GRCm38:6:140424054:140597110:1 gene:ENSMUSG00000030231.10 transcript:ENSMUST00000087622.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha5 description:pleckstrin homology domain containing, family A member 5 [Source:MGI Symbol;Acc:MGI:1923802] MAADLNLEWICSLPRSWTYGITRGGRVFFINEEAKSTTWLHPVTGEAVVTGHRRQSTDLP TGWEEAYTFEGARYYINHNERKVTCKHPVTGQPSQDNCIFVVNDQTVATMTSEDKKERPI SMINEASNYNMASDYAVHPMSPVGRTSRASKKVHNFGKRSNSIKRNPNAPVVRRGWLYKQ DSTGMKLWKKRWFVLSDLCLFYYRDEKEEGILGSILLPSFQIAMLTAEDHINRKYAFKAA HPNMRTYYFCTDTGKEMELWMKAMLDAALVQTEPVKRITFNFRVDKITTDSASTKETNNI PNHRVLIRPEVQNHQKNKEISKIEEKRALEAERYGFQKDGQDRPLTKINSVKLNSLLSEY ESGPDCPPQNVHYRPINVNSSDGKAVNVSLADVRGGSHPNAGPLATEADRVIQRTNSMQQ LEQWIKVQKGRGLEEEPRGVISYQTLPRNMPSHRAQILARCPEGYRTLPRNSKTRPESIC SVTSSGHEKTGPGAEEKRRSMRDDTMWQLYEWQQRQFYHKQSTLPRHGCLSSPKAMVQVS DQTMHSIPTSPSHGSAAAYQGFSPQRTYRSEVTSPIQRGDVTIDRRHRPHHPKHVYVADR RSMPAGLTLQAVSPQSLQGRTPEELTLLLIKLRRQQAELSSVREHTLAQLMQLKLEAHSP KNEILSHHLQRNTIYLDHQMKENEPIITMVHTMIENSALRPQLYQQFLRQKNKISLYCLS QDECRGTLYKYRPEEAGIDAKLSRLCEQDKVVRALEEKLQQLHKEKYTLEQALLSASQEI EMNADNPAAIQTVVLQRDDLQNGLLSTCRELSRATAELERAWREYDKLEYDVTVTRDQMQ GQLDRLGEVQSESAGIQRAQIQKELWRIQDVMEGLSKHKQQRGSSETGLAGSKPFSSVKY KSEEEEVVPPRPPLPRSYDFTEQPPIIPPLPSDSSSLLCYSRGPVHLPEDKKIHQVQGYP RNGSHCGPDYRLYKSEPELTTVAEVDESNGEEKSEPVSETEAPVVKGSHFPVGVPLRTKS PTPESSTIASYVTLRKTKKMVELRTERPRSAVEQLCLAESARPRMTVEEQLERIRRHQQA CLREKKKGLSVLGASDPSDVRDSPLRLTQTLRRDDNVKELDTVHRENDVKPDYETPAAQC AHLEDAEPQNADIGRKLKRSEMLYTPEPNGMASEEVTEKERQKEQVHADGSCSPQEETAM TEHQMEGPPEEAESLHEEEETLASCEPAPEIPRENQTTVRSLSPSPDSSTAADPPTPPQL REGSHFMCV >ENSMUSP00000145457.1 pep:known chromosome:GRCm38:6:140424160:140589315:1 gene:ENSMUSG00000030231.10 transcript:ENSMUST00000204145.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekha5 description:pleckstrin homology domain containing, family A member 5 [Source:MGI Symbol;Acc:MGI:1923802] MAADLNLEWICSLPRSWTYGITRGGRVFFINEEAKSTTWLHPVTGEAVVTGHRRQSTDLP TGWEEAYTFEGARYYINHNERKVTCKHPVTGQPSQDNCIFVVNDQTVATMTSEDKKERPI SMINEASNYNMASDYAVHPMSPVGRTSRASKKVHNFGKRSNSIKRNPNAPVVRRGWLYKQ MRRRRVFWEVSCCLVFR >ENSMUSP00000145478.1 pep:known chromosome:GRCm38:6:140424161:140586499:1 gene:ENSMUSG00000030231.10 transcript:ENSMUST00000203517.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha5 description:pleckstrin homology domain containing, family A member 5 [Source:MGI Symbol;Acc:MGI:1923802] MAADLNLEWICSLPRSWTYGITRGGRVFFINEEAKSTTWLHPVTGEAVVTGHRRQSTDLP TGWEEAYTFEGARYYINHNERKVTCKHPVTGQPSQDNCIFVVNDQTVATMTSEDKKERPI SMINEASNYNMASDYAVHPMSPVGRTSRASKKVHNFGKRSNSIKRNPNAPVVRRGWLYKQ DSTGMKLWKKRWFVLSDLCLFYYRDEKEEGILGSILLPSFQIAMLTAEDHINRKYAFKAA HPNMRTYYFCTDTGKEMELWMKAMLDAALVQTEPVKRVDKITTDSASTKETNNIPNHRVL IRPEVQNHQKNKEISKIEEKRALEAERYGFQKDGQDRPLTKINSVKLNSLLSEYESGPDC PPQNVHYRPINVNSSDGKAVNVSLADVRGGSHPNAGPLATEADRVIQRTNSMQQLEQWIK VQKGRGLEEEPRGVISYQTLPRNMPSHRAQILARCPEGYRTLPRNSKTRPESICSVTSSG HEKTGPGAEEKRRSMRDDTMWQLYEWQQRQFYHKQSTLPRHGCLSSPKAMVQVSDQTMHS IPTSPSHGSAAAYQGFSPQRTYRSEVTSPIQRGDVTIDRRHRPHHPKHVYVADRRSMPAG LTLQAVSPQSLQGRTPEELTLLLIKLRRQQAELSSVREHTLAQLMQLKLEAHSPKNEILS HHLQRNTIYLDHQLSQDECRGTLYKYRPEEAGIDAKLSRLCEQDKVVRALEEKLQQLHKE KYTLEQALLSASQEIEMNADNPAAIQTVVLQRDDLQNGLLSTCRELSRATAELERAWREY DKLEYDVTVTRDQMQGQLDRLGEVQSESAGIQRAQIQKELWRIQDVMEGLSKHKQQRGSS ETGLAGSKPFSSVKYKSEGPDYRLYKSEPELTTVAEVDESNGEEKSEPVSETEAPVVKGS HFPVGVPLRTKSPTPESSTIASYVTLRKTKKMVELRTERPRSAVEQLCLAESARPRMTVE EQLERIRRHQQACLREKKKGLSVLGASDPSDVRDSPLRLT >ENSMUSP00000144832.1 pep:known chromosome:GRCm38:6:140432989:140553029:1 gene:ENSMUSG00000030231.10 transcript:ENSMUST00000203774.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha5 description:pleckstrin homology domain containing, family A member 5 [Source:MGI Symbol;Acc:MGI:1923802] MTSEDKKERPISMINEASNYNMASDYAVHPMSPVGRTSRASKKVHNFGKRSNSIKRNPNA PVVRRGWLYKQDSTGMKLWKKRWFVLSDLCLFYYRDEKEEGILGSILLPSFQIAMLTAED HINRKYAFKAAHPNMRTYYFCTDTGKEMELWMKAMLDAALVQTEPVKRVDKITTDSASTK ETNNIPNHRVLIRPEVQNHQKNKEISKIEEKRALEAERYGFQKDGQDRPLTKINSVKLNS LLSEYESGPDCPPQNVHYRPINVNSSDGKAVNVSLADVRGGSHPNAGPLATEADRVIQRT NSMQQLEQWIKVQKGRGLEEEPRGVISYQTLPRNMPSHRAQILARCPEGYRTLPRNSKTR PESICSVTSSGHEKTGPGAEEKRRSMRDDTMWQLYEWQQRQFYHKQSTLPRHGCLSSPKA MVQVSDQTMHSIPTSPSHGSAAAYQGFSPQRTYRSEVTSPIQRGDVTIDRRHRPHHPKHV YVADRRSMPAGLTLQAVSPQSLQGRTVSVMTLLIICLLYLLPRKT >ENSMUSP00000145336.1 pep:known chromosome:GRCm38:6:140516424:140553030:1 gene:ENSMUSG00000030231.10 transcript:ENSMUST00000204876.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha5 description:pleckstrin homology domain containing, family A member 5 [Source:MGI Symbol;Acc:MGI:1923802] MTSEDKKERPISMINEASNYNMASDYAVHPMSPVGRTSRASKKVHNFGKRSNSIKRNPNA PVVRRGWLYKQDSTGMKLWKKRWFVLSDLCLFYYRDEKEEGILGSILLPSFQIAMLTAED HINRKYAFKAAHPNMRTYYFCTDTGKEMELWMKAMLDAALVQTEPVKRITFNFRVDKITT DSASTKETNNIPNHRVLIRPEVQNHQKNKEISKIEEKRALEAERYGFQKDGQDRPLTKIN SVKLNSLLSEYESGPDCPPQNVHYRPINVNSSDGKAVNVSLADVRGGSHPNAGPLATEAD RVIQRTNSMQQLEQWIKVQKGRGLEEEPRGVISYQTLPRNMPSHRAQILARCPEGYRTLP RNSKTRPESICSVTSSGHEKTGPGAEEKRRSMRDDTMWQLYEWQQRQFYHKQSTLPRHGC LSSPKAMVQVSDQTMHSIPTSPSHGSAAAYQGFSPQRTYRSEVTSPIQRGDVTIDRRHRP HHPKHVYVADRRSMPAGLTLQAVSPQSLQGRTVSVMTLLIICLLYLLPRKT >ENSMUSP00000144821.1 pep:known chromosome:GRCm38:6:140524865:140586499:1 gene:ENSMUSG00000030231.10 transcript:ENSMUST00000203955.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha5 description:pleckstrin homology domain containing, family A member 5 [Source:MGI Symbol;Acc:MGI:1923802] XHNERKVTCKHPVTGQPSQDNCIFVVNDQTVATMTSEDKKERPISMINEASNYNMASDYA VHPMSPVGRTSRASKKVHNFGKRSNSIKRNPNAPVVRRGWLYKQDSTGMKLWKKRWFVLS DLCLFYYRDEKEEGILGSILLPSFQIAMLTAEDHINRKYAFKAAHPNMRTYYFCTDTGKE MELWMKAMLDAALVQTEPVKRVDKITTDSASTKETNNIPNHRVLIRPEVQNHQKNKEISK IEEKRALEAERYGFQKDGQDRPLTKINSVKLNSLLSEYESGPDCPPQNVHYRPINVNSSD GKAVNVSLADVRGGSHPNAGPLATEADRVIQRTNSMQQLEQWIKVQKGRGLEEEPRGVIS YQTLPRNMPSHRAQILARCPEGYRTLPRNSKTRPESICSVTSSGHEKTGPGAEEKRRSMR DDTMWQLYEWQQRQFYHKQSTLPRHGCLSSPKAMVQVSDQTMHSIPTSPSHGSAAAYQGF SPQRTYRSEVTSPIQRGDVTIDRRHRPHHPKHVYVADRRSMPAGLTLQAVSPQSLQGRTM KENEPIITMVHTMIENSALRPQLYQQLSQDECRGTLYKYRPEEAGIDAKLSRLCEQDKVV RALEEKLQQLHKEKYTLEQALLSASQEIEMNADNPAAIQTVVLQRDDLQNGLLSTCRELS RATAELERAWREYDKLEYDVTVTRDQMQGQLDRLGEVQSESAGIQRAQIQKELWRIQDVM EGLSKHKQQRGSSETGLAGSKPFSSVKYKSEEEEVVPPRPPLPRSYDFTEQPPIIPPLPS DSSSLLCYSRGPVHLPEDKKIHQVQGYPRNGSHCGPDYRLYKSEPELTTVAEVDESNGEE KSEPVSETEAPVVKGSHFPVGVPLRTKSPTPESSTIASYVTLRKTKKMVELRTERPRSAV EQLCLAESARPRMTVEEQLERIRRHQQACLREKKKGLSVLGASDPSDVRDSPLRLT >ENSMUSP00000144872.1 pep:known chromosome:GRCm38:6:140543988:140597110:1 gene:ENSMUSG00000030231.10 transcript:ENSMUST00000204080.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekha5 description:pleckstrin homology domain containing, family A member 5 [Source:MGI Symbol;Acc:MGI:1923802] YRPINVNSSDGKAVNVSLADVRGGSHPNAGPLATEADRVIQRTNSMQQLEQWIKVQKGRG LEEEPRGVISYQTLPRNMPSHRAQILARCPEGYRTLPRNSKTRPESICSVTSSGHEKTGP GAEEKRRSMRDDTMWQLYEWQQRQFYHKQSTLPRHGCLSSPKAMVQVSDQTMHSIPTSPS HGSAAAYQGFSPQRTYRSEVTSPIQRGDVTIDRRHRPHHPKHVYVADRRSMPAGLTLQAV SPQSLQGRTLSQDECRGTLYKYRPEEAGIDAKLSRLCEQDKVVRALEEKLQQLHKEKYTL EQALLSASQEIEMNADNPAAIQTVVLQRDDLQNGLLSTCRELSRATAELERAWREYDKLE YDVTVTRDQMQGQLDRLGEVQSESAGIQRAQIQKELWRIQDVMEGLSKHKQQRGSSETGL AGSKPFSSVKYKSEGPDYRLYKSEPELTTVAEVDESNGEEKSEPVSETEAPVVKGSHFPV GVPLRTKSPTPESSTIASYVTLRKTKKMVELRTERPRSAVEQLCLAESARPRMTVEEQLE RIRRHQQACLREKKKGLSVLGASDPSDVRDSPLRLTQTLRRDDNVKELDTVHRENDVKPD YETPAAQCAHLEDAEPQNADIGRKLKRSEMLYTPEPNGMASEEVTEKERQKEQVHADGSC SEKSVPVS >ENSMUSP00000145499.1 pep:known chromosome:GRCm38:6:140544018:140597110:1 gene:ENSMUSG00000030231.10 transcript:ENSMUST00000203012.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha5 description:pleckstrin homology domain containing, family A member 5 [Source:MGI Symbol;Acc:MGI:1923802] GKAVNVSLADVRGGSHPNAGPLATEADRVIQRTNSMQQLEQWIKVQKGRGLEEEPRGVIS YQTLPRNMPSHRAQILARCPEGYRTLPRNSKTRPESICSVTSSGHEKTGPGAEEKRRSMR DDTMWQLYEWQQRQFYHKQSTLPRHGCLSSPKAMVQVSDQTMHSIPTSPSHGSAAAYQGF SPQRTYRSEVTSPIQRGDVTIDRRHRPHHPKHVYVADRRSMPAGLTLQAVSPQSLQGRTL SQDECRGTLYKYRPEEAGIDAKLSRLCEQDKVVRALEEKLQQLHKEKYTLEQALLSASQE IEMNADNPAAIQTVVLQRDDLQNGLLSTCRELSRATAELERAWREYDKLEYDVTVTRDQM QGQLDRLGEVQSESAGIQRAQIQKELWRIQDVMEGLSKHKQQRGSSETGLAGSKPFSSVK YKSEEEEVVPPRPPLPRSYDFTEQPPIIPPLPSDSSSLLCYSRGPVHLPEDKKIHQVQGY PRNGSHCGPDYRLYKSEPELTTVAEVDESNGEEKSEPVSETEAPVVKGSHFPVGVPLRTK SPTPESSTIASYVTLRKTKKMVELRTERPRSAVEQLCLAESARPRMTVEEQLERIRRHQQ ACLREKKKGLSVLGASDPSDVRDSPLRLTQTLRRDDNVKELDTVHRENDVKPDYETPAAQ CAHLEDAEPQNADIGRKLKRSEMLYTPEPNGMASEEVTEKERQKEQVHADGSCSPQEETA MTEHQMEGPPEEAESLHEEEETLASCEPAPEIPRENQTTAALASVESSQS >ENSMUSP00000144973.1 pep:known chromosome:GRCm38:6:140544153:140597110:1 gene:ENSMUSG00000030231.10 transcript:ENSMUST00000205026.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha5 description:pleckstrin homology domain containing, family A member 5 [Source:MGI Symbol;Acc:MGI:1923802] QKGRGLEEEPRGVISYQTLPRNMPSHRAQILARCPEGYRTLPRNSKTRPESICSVTSSGH EKTGPGAEEKRRSMRDDTMWQLYEWQQRQFYHKQSTLPRHGCLSSPKAMVQVSDQTMHSI PTSPSHGSAAAYQGFSPQRTYRSEVTSPIQRGDVTIDRRHRPHHPKHVYVADRRSMPAGL TLQAVSPQSLQGRTLSQDECRGTLYKYRPEEAGIDAKLSRLCEQDKVVRALEEKLQQLHK EKYTLEQALLSASQEIEMNADNPAAIQTVVLQRDDLQNGLLSTCRELSRATAELERAWRE YDKLEYDVTVTRDQMQGQLDRLGEVQSESAGIQRAQIQKELWRIQDVMEGLSKHKQQRGS SETGLAGSKPFSSVKYKSEGPDYRLYKSEPELTTVAEVDESNGEEKSEPVSETEAPVVKG SHFPVGVPLRTKSPTPESSTIASYVTLRKTKKMVELRTERPRSAVEQLCLAESARPRMTV EEQLERIRRHQQACLREKKKGLSVLGASDPSDVRDSPLRLTQTLRRDDNVKELDTVHREN DVKPDYETPAAQCAHLEDAEPQNADIGRKLKRSEMLYTPEPNGMASEEVTEKERQKEQVH ADGSCSPQEETAMTEHQMEGPPEEAESLHEEEETLASCEPAPEIPRENQTTAALASVESS QS >ENSMUSP00000145219.1 pep:known chromosome:GRCm38:6:140577323:140597110:1 gene:ENSMUSG00000030231.10 transcript:ENSMUST00000203483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha5 description:pleckstrin homology domain containing, family A member 5 [Source:MGI Symbol;Acc:MGI:1923802] GSSETGLAGSKPFSSVKYKSEGPDYRLYKSEPELTTVAEVDESNGEEKSEPVSETEAPVV KGSHFPVGVPLRTKSPTPESSTIASYVTLRKTKKMVELRTERPRSAVEQLCLAESARPRM TVEEQLERIRRHQQACLREKKKGLSVLGASDPSDVRDSPLRLTQTLRRDDNVKELDTVHR ENDVKPDYETPAAQCAHLEDAEPQNADIGRKLKRSEMLYTPEPNGMASEEVTEKERQKEQ VHADGSCSPQEETAMTEHQMEGPPEEAESLHEEEETLASCEPAPEIPRENQTTVRSLSPS PDSSTAADPPTPPQLREGSHFMCV >ENSMUSP00000103566.1 pep:known chromosome:GRCm38:7:44360043:44375030:-1 gene:ENSMUSG00000008028.15 transcript:ENSMUST00000107933.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700008O03Rik description:RIKEN cDNA 1700008O03 gene [Source:MGI Symbol;Acc:MGI:1916599] MQPEVEPLISPNLGAPGSHRETGSFLVDLESMEESMSRSLGKPAKSSKQYLRQVISEYEA LDRELPCIRKFSEPPSAQPLCLCMETSEDFTHVEVLQALEAELPGAMESGRVNSIRYENM NVICGTAGRRDRWLITVTDFQTRSRLLRSGLTLRGTAYPLVRHDDLLLGDYRLHLRRSLV RRRMLEALGAEPADED >ENSMUSP00000123280.1 pep:known chromosome:GRCm38:7:44360237:44373600:-1 gene:ENSMUSG00000008028.15 transcript:ENSMUST00000150886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700008O03Rik description:RIKEN cDNA 1700008O03 gene [Source:MGI Symbol;Acc:MGI:1916599] MEESMSRSLGKPAKSSKQYLRQVISEYEALDRELPCIRKFSEPPSAQPLCLCMETSEDFT HVEVLQALEAELPGAMESGRVNSIRYENMNVICGTAGRRDRWLITVTDFQTRSRLLRSGL TLRGTAYPLVRHDDLLLGDYRLHLRRSLV >ENSMUSP00000141847.1 pep:known chromosome:GRCm38:18:36969916:37833296:1 gene:ENSMUSG00000102836.1 transcript:ENSMUST00000194544.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm37013 description:predicted gene, 37013 [Source:MGI Symbol;Acc:MGI:5610241] RCSVPPTEGVCGAGKPVLVCSSAVGSWSYSQQRRQKVCSGEGPPKTDLMAFSPSLPPCPV VGEHQDLNDDHCSRQAPPNTDWRFSQAQRPGTSGSQNGDETGTW >ENSMUSP00000056420.2 pep:known chromosome:GRCm38:18:37411664:37414514:1 gene:ENSMUSG00000045657.3 transcript:ENSMUST00000051126.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb10 description:protocadherin beta 10 [Source:MGI Symbol;Acc:MGI:2136745] MEMTLAKTPENRQVLFFTILLLLWKSCADAIIYSIPEETESGYLVANLAKDLGLRVGELA IRGARIHYKGNKELLQLDAETGNLFLKEKPDREVLCRATEPCVLHFQIILENPVQFFQTE LQLTDINDHSPEFPDRKMLLKIPESAQPGTTFPLKAAQDPDIGSNAVQNYTVSPNLHFHV VTLSRSDGRKYPELVLDRALDREEQPELTLILTALDGGAPPRSGTTEVHIEVVDINDNAP QFIQSLYEVQILENSPLDALVATVSARDLDAGIYGKVAYSLFQDDGISQPFVIDEITGEI RLKGALDFEATPYYNMEIVATDPGGFSGKCTVVIQVVDVNDNAPKLTISSLNSSIPENAP ETVVAVFSVSDPDSGENGRMVCSIQNELPFLLKSTFENYYTLVTEGTLDRESKAEYNITI SVTDLGTPRLTTQHTITVQVSDINDNAPTFTRTSYTMFIRENNSPALHIGTISATDSDAG SNAHITYSLLPPHDPQLTLTSLVSINEDNGQLFALRALDYEALRAFEFHVRATDRGSPAL SSQALVLVVVLDDNDNEPFVLYPLQNSSAPCKELVPRAAEAGYLVTKVVAVDRDSGQNAW LSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDAPKHRLLLVVKDNGDPPRSASVTLHVL LVDGFSQPYLPLSEVVQDPAQEGDDALTLYLVIALASVSSLFLISVLLFVGMKLCKRARS PSLGVCSVPEGHFPGHLVDVSGTGTLSQSYQYEVCLSGDSGTGEFKFLKPMISNLMVQDP EREVKESPHCRDSFVFS >ENSMUSP00000003599.6 pep:known chromosome:GRCm38:18:37742094:37841873:1 gene:ENSMUSG00000103088.1 transcript:ENSMUST00000003599.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgb6 description:protocadherin gamma subfamily B, 6 [Source:MGI Symbol;Acc:MGI:1935197] MGGSSARRKRPDRPQVLFTLLLPLFCPALGQPVRYSIPEELDRGSVVGKLAKDLGLSVLE VSSRKLRVSAEKLHFSVDSESGDLLVKDRIDREQICKGRRKCELQLEAVLENPLNIFHVV VGIEDVNDNAPQFEKKETRLEILETVAVGTRIPLEPATDPDINLNSVKDYQISSNPYFSL MVRVNPDGGKTPELSLEKLLDREEQRSHRLILTALDGGDPPRSATTQIEISVKDNNDNPP VFSKEEYWVSVSENLSPGSSVLQVTATDEDEGVNAEILYYFRSTAQSTRHVFSLDEKTGV IKNNQSLDFEDIERYTMEVEAKDGGGLSTRCKIIIEVLDENDNSPEITITSLSDHILENS PPGVVVVLFKTRDRDFGGNGEVTCDIGKDLPFKIQASSSNYYKLVTDGALDREQNPQYNV TITATDKGKPALSSSTTIVLHITDINDNAPAFQKSSYIVHVAENNPPGASIAQVSASDPD LGANGHVSYSIIASDLEPKSLWSYVTVNAQSGVVFAQRAFDHEQLRSFQLTLQARDQGKP SLSANVSMRVLVGDRNDNAPRVLYPALEPDGSALFDMVPRAAEPGYLVTKVVAVDADSGH NAWLSYHVLQASDPGLFSLGLRTGEVRTARALGEKDAARQRLLVGVRDGGQPPLSATATL LLVFADSLQEALPDLSDRSLPPDPQAELQFYLVVALALISVLFLLAVILAIALRLRHSSS PSVWGCFQSGLCSKARPGVSLNYSEGTLPYSYNLCVGQTGKAELNFLKCSAPLPSSQEIV SGSSPGALIPLHLGDDLTTHPETLTPQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQ FDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNA TLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000112727.1 pep:known chromosome:GRCm38:9:50533087:50555181:-1 gene:ENSMUSG00000032066.9 transcript:ENSMUST00000119103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bco2 description:beta-carotene oxygenase 2 [Source:MGI Symbol;Acc:MGI:2177469] MLGPKQSLPCIAPLLTTAEETLSAVSARVRGHIPEWLNGYLLRVGPGKFEFGKDRYNHWF DGMALLHQFRMERGTVTYKSKFLQSDTYKANSAGGRIVISEFGTLALPDPCKSIFERFMS RFEPPTMTDNTNVNFVQYKGDYYMSTETNFMNKVDIEMLERTEKVDWSKFIAVNGATAHP HYDPDGTAYNMGNSYGPRGSCYNIIRVPPKKKEPGETIHGAQVLCSIASTEKMKPSYYHS FGMTKNYIIFVEQPVKMKLWKIITSKIRGKPFADGISWEPQYNTRFHVVDKHTGQLLPGM YYSMPFLTYHQINAFEDQGCIVIDLCCQDDGRSLDLYQLQNLRKAGEGLDQVYELKAKSF PRRFVLPLDVSVDAAEGKNLSPLSYSSASAVKQGDGEIWCSPENLHHEDLEEEGGIEFPQ INYGRFNGKKYSFFYGCGFRHLVGDSLIKVDVTNKTLRVWREEGFYPSEPVFVPVPGADE EDSGVILSVVITPNQSESNFLLVLDAKSFTELGRAEVPVQMPYGFHGTFVPI >ENSMUSP00000030660.8 pep:known chromosome:GRCm38:4:126262325:126275883:1 gene:ENSMUSG00000028847.8 transcript:ENSMUST00000030660.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc3 description:trafficking protein particle complex 3 [Source:MGI Symbol;Acc:MGI:1351486] MSRQANRGTESKKMSSELFTLTYGALVTQLCKDYENDEDVNKQLDRMGYNIGVRLIEDFL ARSNVGRCHDFRETADVIAKVAFKMYLGITPSITNWSPAGDEFSLILENNPLVDFVELPD NHSALIYSNLLCGVLRGALEMVQMAVEAKFVQDTLKGDGVTEIRMRFIRRIEDNLPAGEE >ENSMUSP00000039889.5 pep:known chromosome:GRCm38:4:134129858:134187112:-1 gene:ENSMUSG00000037443.13 transcript:ENSMUST00000040271.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep85 description:centrosomal protein 85 [Source:MGI Symbol;Acc:MGI:1917262] MAMQEKYPNDRSHATSPGSNVIQKGSSLGTEWQTPVISETFRSRFSRCSSIADSGDTAIG TSCSDIAEDFCSSSGSPSFQPIKSHITIPTAHVMPSTLGASPAKPNSAPSGPSSAKLPLS GLTEGVGMTRNGDFGAVKRSPGLARDFMYLPSAAGENGSQQSWFPAVGHEREGEMRKFDV PSMESTLNQPAMLETLYSDPHYRAHFPNPRPDTNKDVYKVLPESKKAPGSGAVFERNGPH ASSSGVLPLGLQPAPGLSKSLSSQVWQPSPDPWHPGEQSCELSTCRQQLELIRLQMEQMQ LQNGAMCHHPAAFAPLLPTLEPAQWLSILNSNEHLLKEKELLIDKQRKHISQLEQKVRES ELQVHSALLGRPAPFGDVCLLRLQELQRENTFLRAQFAQKTEALSKEKMELEKKLSASEV EIQLIRESLKVTLQKHSEEGKKQEERVKGRDKHINNLKKKCQKESEQNREKQQRIETLER YLADLPTLEDHQKQTEQLKDAELKNTELQERVAELETLLEDTQATCREKEVQLESLRQRE ADLSSARHSFQDKQSVEEANGENLRVDMESQQKECDSLRKMVERQQLKMEQLHSQVQSQK QELAQEEGINQALREEAQRRETALQQMRTAVKELSVQNQDLIEKNLTLQEHLRQAQPGSS SSPDSAQLACELHQELASCLQDLQAVCSIVTQRAQGHNPNLSLLLGIHSTQHPGTQLDLQ KPDVIRRKLEEVQQLRHDIEDLRTSLSDRYAQDMGENCATQ >ENSMUSP00000113351.1 pep:known chromosome:GRCm38:4:134129866:134186981:-1 gene:ENSMUSG00000037443.13 transcript:ENSMUST00000121566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep85 description:centrosomal protein 85 [Source:MGI Symbol;Acc:MGI:1917262] MAMQEKYPNDRSHATSPGSNVIQKGSSLGTEWQTPVISETFRSRFSRCSSIADSGDTAIG TSCSDIAEDFCSSSGSPSFQPIKSHITIPTAHVMPSTLGASPAKPNSAPSGPSSAKLPLS GLTEGVGMTRNGDFGAVKRSPGLARDFMYLPSAAGENGSQQSWFPAVGHEREGEMRKFDV PSMESTLNQPAMLETLYSDPHYRAHFPNPRPDTNKDVYKVLPESKKAPGSGAVFERNGPH ASSSGVLPLGLQPAPGLSKSLSSQVWQPSPDPWHPGEQSCELSTCRQQLELIRLQMEQMQ NGAMCHHPAAFAPLLPTLEPAQWLSILNSNEHLLKEKELLIDKQRKHISQLEQKVRESEL QVHSALLGRPAPFGDVCLLRLQELQRENTFLRAQFAQKTEALSKEKMELEKKLSASEVEI QLIRESLKVTLQKHSEEGKKQEERVKGRDKHINNLKKKCQKESEQNREKQQRIETLERYL ADLPTLEDHQKQTEQLKDAELKNTELQERVAELETLLEDTQATCREKEVQLESLRQREAD LSSARHSFQDKQSVEEANGENLRVDMESQQKECDSLRKMVERQQLKMEQLHSQVQSQKQE LAQEEGINQALREEAQRRETALQQMRTAVKELSVQNQDLIEKNLTLQEHLRQAQPGSSSS PDSAQLACELHQELASCLQDLQAVCSIVTQRAQGHNPNLSLLLGIHSTQHPGTQLDLQKP DVIRRKLEEVQQLRHDIEDLRTSLSDRYAQDMGENCATQ >ENSMUSP00000125599.1 pep:known chromosome:GRCm38:4:134156097:134187085:-1 gene:ENSMUSG00000037443.13 transcript:ENSMUST00000137388.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep85 description:centrosomal protein 85 [Source:MGI Symbol;Acc:MGI:1917262] MAMQEKYPNDRSHATSPGSNVIQKGSSLGTEWQTPVISETFRSRFSRCSSIADSGDTAIG TSCSDIAEGLHDTVSKT >ENSMUSP00000016279.7 pep:known chromosome:GRCm38:5:150571644:150594525:-1 gene:ENSMUSG00000041132.11 transcript:ENSMUST00000016279.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp2l1 description:NEDD4 binding protein 2-like 1 [Source:MGI Symbol;Acc:MGI:2140872] MEDSFLESFGRLSLQQRQQQPPPRPPPARGPPPRRHSFRKHLYLLRGLPGSGKTTLARQL QHDYPRALIFSTDDFFFKEDGTYEFNPNLLEEAHEWNQRRARKAMRNGISPIIIDNTNLH AWEMKPYAVMALENNYEVIFREPDTRWKFNVQELARRNIHGVPKEKIQRMKERYEHNVTF HSVLHAEKPSRANRNQGRNSEPSSGSGYWNTYTELPNRRANGLYSNEGYRRGGHHQGY >ENSMUSP00000144164.1 pep:known chromosome:GRCm38:5:150571647:150576991:-1 gene:ENSMUSG00000041132.11 transcript:ENSMUST00000202031.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp2l1 description:NEDD4 binding protein 2-like 1 [Source:MGI Symbol;Acc:MGI:2140872] MRNGISPIIIDNTNLHAWEMKPYAVMALENNYEVIFREPDTRWKFNVQELARRNIHGVPK EKIQRMKERYEHNVTFHSVLHAEKPSRANRNQGRNSEPSSGSGYWNTYTELPNRRANGLY SNEGYRRGGHHQGY >ENSMUSP00000143792.1 pep:known chromosome:GRCm38:5:150572665:150594462:-1 gene:ENSMUSG00000041132.11 transcript:ENSMUST00000202279.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:N4bp2l1 description:NEDD4 binding protein 2-like 1 [Source:MGI Symbol;Acc:MGI:2140872] MEDSFLESFGRLSLQQRQQQPPPRPPPARGPPPRRHSFRKHLYLLRGLPGSGKTTLARYC >ENSMUSP00000144151.1 pep:known chromosome:GRCm38:5:150574000:150597188:-1 gene:ENSMUSG00000041132.11 transcript:ENSMUST00000202291.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp2l1 description:NEDD4 binding protein 2-like 1 [Source:MGI Symbol;Acc:MGI:2140872] MRNGISPIIIDNTNLHAWEMKPYAVMALENNYEVIFREPDTRWKFNVQELA >ENSMUSP00000144439.1 pep:known chromosome:GRCm38:5:150591752:150594528:-1 gene:ENSMUSG00000041132.11 transcript:ENSMUST00000200840.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:N4bp2l1 description:NEDD4 binding protein 2-like 1 [Source:MGI Symbol;Acc:MGI:2140872] MEDSFLESFGRLSLQQRQQQPPPRPPPARGPPPRRHSFRKHLYLLRGLPGSGKTTLASCL TLP >ENSMUSP00000144635.1 pep:known chromosome:GRCm38:5:150591755:150594500:-1 gene:ENSMUSG00000041132.11 transcript:ENSMUST00000201319.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:N4bp2l1 description:NEDD4 binding protein 2-like 1 [Source:MGI Symbol;Acc:MGI:2140872] MEDSFLESFGRLSLQQRQQQPPPRPPPARGPPPRRHSFRKHLYLLRGLPGSGKTTLAR >ENSMUSP00000077389.2 pep:known chromosome:GRCm38:18:37320381:37323915:1 gene:ENSMUSG00000063687.3 transcript:ENSMUST00000078271.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb5 description:protocadherin beta 5 [Source:MGI Symbol;Acc:MGI:2136739] MEKLERNHRNRQVIPFLFMLLWDQVLMVPTRYSVLEESESGSFVAHLAKDLGLGAGELAA RSARVVSDHDKQQFILDSETGDLLLREKLDREELCGSVDPCVLHFQVFLEKPVQFFQGEL LIQDINDNSPEFPDKELLLKILENSQPGTRFSLKLAQDLDVGSNGLQQYTVNPNSHFHVL TRNNSEGKKYPELVQDRALDREEQAELSLILTALDGGSPPRSGTALVRILIMDINDNAPE FVNNPYEVQVLESSPPDSPVLTVFAQDADAGNFGRVSYGLFQASDEIQKTFSINEFTGEI RLKTKLDFEKTKSYHVEIEATDGGGLSGKGSVVIEVLDVNDNAPELTISSLTSSVPENSP ETVVAIIRIRDRDSGENGKMICSISDHVPFILKPSYKNFYTLVTESPLDRESRAEYNITI TVSDLGTPRLTTQHTITVKVSDINDNAPAFSQTSYTMFVRENNSPALHIGTISATDSDSG SNAHITYSLLPPHDQQLALHSLISINADNGQLFALSALDYEALQGFEFYVGATDRGSPEL SSQALVRVVVLDDNDNAPFVLYPLQNASAPCTEVLPRAAEPGYLVTKVVAVDRDSGQNAW LSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDAPKHRLLLLVKDNGEPQRSASVTLHVL LVDGFSQPYLPLPEVARDPAQDDPDVLTLYLVIALASVSSLFLLSVLMFVGVRLCRRARE PSLGGCSVPEGHFPGHLVDVSHAGTLSQSYQYDMCLTGESGTGEFKFLKPIVPNLMVQDT GNLHCRDSFVFS >ENSMUSP00000142156.1 pep:known chromosome:GRCm38:18:36973802:37187657:1 gene:ENSMUSG00000104318.1 transcript:ENSMUST00000192631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha7 description:protocadherin alpha 7 [Source:MGI Symbol;Acc:MGI:1298369] MVNLRGYNWKSQQLLLFLIIVAAWEAGSGQLHYSVPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVE VEVKDINDNPPMFPATQKALFILESRLLDSRFPLEGASDADVGSNALLTYRLSTNEHFSL DVPPNHEQVKPLGLVLRKPLDREEAAEIRLLLTATDGGKPELTGTVQLLITVLDVNDNAP VFDRSLYTVKLPENVPNGTLVIKVNASDLDEGVNGDVMYSFSSDVSSDIKSKFHMDTVSG EITVIGIIDFEESKAYKIPLEARDKGFPQLPGHCTILVEVVDANDNAPQLTVSSLSLPVS EDSQPGRVVTLISVFDRDSGANGQVTCSLTPHIPFKLVSTFKNYYSLVLDSALDRETIAN YDVIVTARDGGSPSLWATASVSVEVADVNDNAPLFAQPEYTVFVKENNPPGAHIFTVSAM DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARDA GVPALGSNVTLQVFVLDENDNAPTLLGPLANGVGGTMNEVVSRALVPGQVVAKVRAVDED SGYNAWLSFELQPVAGGVRSPFRVGLYTGEISTTRALEETDALRQCLLVLVKDHGEPSLT ATATVLLSLVDSGQTQKVSSKVSAGASRVDQRLVDVNVYLIIAICAVSSLLVLTLLLYTA LRCSATPTDGACAPGKPMLVCSSAVGSWSYSQQRRQRVCSGEGPPKTDLMAFSPSLPQGP SSTDNPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGE VSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPANNQIDKSDF ITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000142010.1 pep:known chromosome:GRCm38:18:37731009:37841873:1 gene:ENSMUSG00000103749.1 transcript:ENSMUST00000192535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgb5 description:protocadherin gamma subfamily B, 5 [Source:MGI Symbol;Acc:MGI:1935196] MWEGTAERGRGQRRPMLLPFLLPLFCPALSEQIRYKIPEEMPTGSVVGNLAKDLGFSVQE LPTRKLRISSEKPYFSVSSESGELLVSSRLDREQICGKKLVCALEFEAVAENPLNFYHVS VELEDINDHTPNFTHTFSELQISESAQPGTRFILEVAEDADIALNSLQNYKLSPSPGFSL VNKEKQDGRKYPELVLEKTLDREQQNYYRLVLTALDGGDPPLSGTTELRIQVTDANDNPP VFNQEVYKVRLPENVPPGTTVLRVTATDKDEGVNSEISYSFHRAGQVFGLNSKSGEIITQ KTLDFEEIKEYSIVVEARDGGGLVAQCTVEINIQDENDNRPEITVRSLLEMIPENAPPGT LIALIKIHDGDSGENGEVNCRLDDEVPFKIISSSKNSYKLVTDRALDRELTPEYNVTITA TDRGKPPLSSSTKVTLHVGDVNDNAPLFHQASYLVYIAENNPPGASIAQVSASDPDLGSN GHVSYSIIASDLEPKSLWSYVTVNAQSGVVFAQRAFDHEQLRSFQLTLQARDHGSPTLSA NVSMRVLVGDRNDNAPRVLYPALEPDGSALFDMVPRAAQPGYLVTKVVAVDADSGHNAWL SYHVLQASDPGLFSLGLRTGEVRTARALGEKDAARQRLLVGVRDGGQPPLSATATLLLVF ADSLQEALPDLSDHSLPPDPQAELQFYLVVALALVSVLFLLAVILAIALRLRHSSSPSVW NCFQPGFCSESKPVVFPNYSEGTLPYSYNLYGAHAGKTECNFLKCSEPLSSGQGLLCPES SGALFPLCDSSEPTSHPEPLTPQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQFDTE MLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTN AAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000047609.1 pep:known chromosome:GRCm38:18:37020149:37187657:1 gene:ENSMUSG00000103310.1 transcript:ENSMUST00000047614.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha12 description:protocadherin alpha 12 [Source:MGI Symbol;Acc:MGI:1298370] MLLFSQSGPGSRCLLLSFLLLTTWEAGSGQLHYSIPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSLECSIHLEVIVDRPLQVFHVE VEVRDINDNPPVFPETNKNIVIAESRPPETRFPLDGASDADIGVNSALTYRLDPSDYFAL DTQNNRERTSSLSLVLKKSLDREEIQEYSLLLTASDGGKPELTGTVQLLITILDVNDNAP EFDRFVYKVKVLEDALNGTLVINLNATDPDEGINGDIIYSFRRPVSPAVVHAFNIDSNSG EVRTKGLLDFEEIKLYEIPVEAVDKGNIPMTGHCTLLVELLDVNDNAPEVTITSLSLPVR EDAQPSTVIALVSVSDRDSGDNGQVTCSLTPHLPFKLVSTFKNYYSLVLDSALDRETTAD YKVVVTARDRGSPSLWSTASVSVEVADVNDNAPAFAQPEYTVFVKENNPPGAHIFTVSAM DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARDA GVPSLGSNVTLQVFVLDENDNAPTLLPHRAVGAVGTVSELVPRSVGSGHVVAKVRAVDAD SGYNAWLSYELQLAAGGMRSPFRVGLYTGEISTTRSLDEADAPRQRLLVLVKDHGEPALT ATATVLVSLVENGQAPKISSLASESTASSEASLVTVNVYLIIAICAVSSLLVITLLLYTA LRCSARSTDGACAPGKPMLVCSSAVGSWSYSQQRRQRVCSGEGPPKTDLMAFSPSLPPVL GSAEDTCQRERQVEHLKEPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWP TVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIR QEPANNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000118040.1 pep:known chromosome:GRCm38:12:111803192:111812201:-1 gene:ENSMUSG00000021287.12 transcript:ENSMUST00000127281.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xrcc3 description:X-ray repair complementing defective repair in Chinese hamster cells 3 [Source:MGI Symbol;Acc:MGI:1921585] MDLDQLDLNPRITAAVKRGRLKSVKEILCYSGPDLQRLTGLPSHDVQCLLRAASLHLRGS RVLSALHLFQQKESFPEQHQRLSLGCPVLDQFLGGGLPLEGITGLAGCSSAGKTQLALQL CLAVQFPRQYGGLEAGAVYICTEDAFPSKRLWQLIAQQRRLRTDAPEELIEKIRFSNHIF IEHAADVPHFVVSSTFRPQPSGRSSCSRWGPHCED >ENSMUSP00000021715.5 pep:known chromosome:GRCm38:12:111803193:111813841:-1 gene:ENSMUSG00000021287.12 transcript:ENSMUST00000021715.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc3 description:X-ray repair complementing defective repair in Chinese hamster cells 3 [Source:MGI Symbol;Acc:MGI:1921585] MDLDQLDLNPRITAAVKRGRLKSVKEILCYSGPDLQRLTGLPSHDVQCLLRAASLHLRGS RVLSALHLFQQKESFPEQHQRLSLGCPVLDQFLGGGLPLEGITGLAGCSSAGKTQLALQL CLAVQFPRQYGGLEAGAVYICTEDAFPSKRLWQLIAQQRRLRTDAPEELIEKIRFSNHIF IEHAADVDTLLECVSKKVPILLSRGMARLVVVDSIAAPFRCEFHLQASAIRAKLLLSLGA TLRRLSSTFRSPVLCINQVTDMVEDQQSVSRSLGASEERLSPALGITWANQLLMRLMVDR THEDDVTTGLPRSPVRTLRVLFAPHLPLSSCCYTVSGEGIRGMPGTQSY >ENSMUSP00000062496.8 pep:known chromosome:GRCm38:2:121008403:121016904:1 gene:ENSMUSG00000023572.16 transcript:ENSMUST00000060455.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccndbp1 description:cyclin D-type binding-protein 1 [Source:MGI Symbol;Acc:MGI:109595] MASSTAAVPFLAPPLEQLRHLAEELRSLLPRVRVGEAQETAEEFNREMFWRRLNEAAVKV NGEATVLTTHFSKLPWPSPQETQRICEQVRIAIEEIIIVYYSLPKDQGITLRKLVRNAAL DIVDGMAQLLEVLLTAPSQSTENGDLISCNSVSVACQQVPEIPKDNKAAALLMLTKSVDF VKDAHEEMEQAVEECDPYSGLLNDSEDNSDSHSDEDGVLGLPSNRDSYWSEEDQELIIPC LALVRASRASLKKIRILVAENGKKDEVAQLDDIVDISDEISPSVDDLVLSIYPPVCHLTV RISSAKLVSVLIKALEITKASHVSPHPGDSWIPLLINAVDHCMNRIKALTQRAAEL >ENSMUSP00000097088.2 pep:known chromosome:GRCm38:2:121008448:121016904:1 gene:ENSMUSG00000023572.16 transcript:ENSMUST00000099489.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccndbp1 description:cyclin D-type binding-protein 1 [Source:MGI Symbol;Acc:MGI:109595] MFWRRLNEAAVKVNGEATVLTTHFSKLPWPSPQETQRICEQVRIAIEEIIIVYYSLPKDQ GITLRKLVRNAALDIVDGMAQLLEVLLTAPSQSTENGDLISCNSVSVACQQVPEIPKDNK AAALLMLTKSVDFVKDAHEEMEQAVEECDPYSGLLNDSEDNSDSHSDEDGVLGLPSNRDS YWSEEDQELIIPCLALVRASRASLKKIRILVAENGKKDEVAQLDDIVDISDEISPSVDDL VLSIYPPVCHLTVRISSAKLVSVLIKALEITKASHVSPHPGDSWIPLLINAVDHCMNRIK ALTQRAAEL >ENSMUSP00000097087.4 pep:known chromosome:GRCm38:2:121008432:121015120:1 gene:ENSMUSG00000023572.16 transcript:ENSMUST00000099488.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccndbp1 description:cyclin D-type binding-protein 1 [Source:MGI Symbol;Acc:MGI:109595] MASSTAAVPFLAPPLEQLRHLAEELRSLLPRVRVGEAQETAEEFNREMFWRRLNEAAVKV NGEATVLTTHFSKLPWPSPQETQRICEQVRIAIEEIIIVYYSLPKDQGITLRKLVRNAAL DIVDGMAQLLEVLLTAPSQSTENGDLISCNSVSVACQQVPEIPKDNKAAALLMLTKSVDF VKDAHEEMEQAVEECDPYSGLLNDSEDNSDSHSDEDGVLGLPSNRDSYWSEEDQELIIPC LALVRASRASLKKIRILVAENGKKDEVAQLDDIVDISDEISPSVDDLVLSIYPPVCHLTV RISVNVWTLRGSVTLPDYPETVHSLNLELVWQQASPAIALPLCPQRWSNRYTHGHTSFFY VCLGLNSCPYACKHS >ENSMUSP00000125961.2 pep:known chromosome:GRCm38:2:121008472:121016513:1 gene:ENSMUSG00000023572.16 transcript:ENSMUST00000171260.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccndbp1 description:cyclin D-type binding-protein 1 [Source:MGI Symbol;Acc:MGI:109595] MASSTAAVPFLAPPLEQLRHLAEELRSLLPRVRVGEAQETAEEFNREMFWRRLNEAAVKV NGEATVLTTHFSKLPWPSPQETQRICEQVRIAIEEIIIVYYSLPKDQGITLRKLVRNAAL DIVDGMAQLLEVLLTAPSQSTENGDLISCNSVSVACQQVPEIPKDNKAAALLMLTKSVDF VKDAHEEMEQAVEECDPYSGLLNDSEDNSDSHSDEDGVLGLPSNRDSYWSEEDQELIIPC LALVRASRASLKKIRILVAENGKKDEVAQLDDIVDISDEISPSVDDLVLSIYPPVCHLTV RISVNVWTLRGSVTLPDYPETVHSLNLELVWQQASPAIALPLCPQRWSNRYTHGHTSFFY VCLGLNSCPYACKHSTQRAAEL >ENSMUSP00000050979.6 pep:known chromosome:GRCm38:4:134561690:134704290:-1 gene:ENSMUSG00000037306.13 transcript:ENSMUST00000054096.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man1c1 description:mannosidase, alpha, class 1C, member 1 [Source:MGI Symbol;Acc:MGI:2446214] MLVRKVPGFVPASPWGLRLPQKFLFLLFLSGLVTLCFGALFLLPHSSRLKRLFSASRTQQ PGLEVMAEIAGHHSVSEQEPPPNPAPAAPAPGEDDPSRLVGVLRRKGWLRRTRPTGPREE GNRVAALRPQEESVRFTFDYNGFRSRLRHPVLGTRTDESKESQSLVRAQREKIKEMMRFS WQNYRRYAMGKNELRPLTKDGFEGSMFGGLSGATIIDSLDTLYLMELKEEFQEAKAWVQD SFHLNVSGEASLFEVNIRYIGGLLSAFYLTGEEVFRVKAIKLGEKLLPAFNTPTGIPKGV VNFKSGSWGWATAGSSSILAEFGSLHLEFLHLTELSGNPVFAEKVKHIRKVLREIDKPFG LYPNFLSPTSGNWVQHHVSVGGLGDSFYEYLIKSWLMSAKTDMEAKNMYYEALEAIETYL VNVSPGGLTYIAEWRGGILDHKMGHLACFSGGMIALGADDAKEDKRAYYRELAAQITRTC HESYARSDTKLGPEAFWFNSGREAVATQLSESYYILRPEVVESYMYLWRQTHDPIYREWG WEVVMALEKHCRTEAGFSGIQDVYSSNPNHDNRQQSFFLAETLKYLYLLFSEDNVLSLED WVFNTEAHPLPVNRSDSFSIAGHPH >ENSMUSP00000037949.3 pep:known chromosome:GRCm38:4:134561690:134704290:-1 gene:ENSMUSG00000037306.13 transcript:ENSMUST00000038628.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man1c1 description:mannosidase, alpha, class 1C, member 1 [Source:MGI Symbol;Acc:MGI:2446214] MLVRKVPGFVPASPWGLRLPQKFLFLLFLSGLVTLCFGALFLLPHSSRLKRLFSASRTQQ PGLEVMAEIAGHHSVSEQEPPPNPAPAAPAPGEDDPSRLVGVLRRKGWLRRTRPTGPREE GNRVAALRPQEESVRFTFDYNGFRSRLRHPVLGTRTDESKESQSLVRAQREKIKEMMRFS WQNYRRYAMGKNELRPLTKDGFEGSMFGGLSGATIIDSLDTLYLMELKEEFQEAKAWVQD SFHLNVSGEASLFEVNIRYIGGLLSAFYLTGEEVFRVKAIKLGEKLLPAFNTPTGIPKGV VNFKSGSWGWATAGSSSILAEFGSLHLEFLHLTELSGNPVFAEKVKHIRKVLREIDKPFG LYPNFLSPTSGNWVQHHVSVGGLGDSFYEYLIKSWLMSAKTDMEAKNMYYEALEAIETYL VNVSPGGLTYIAEWRGGILDHKMGHLACFSGGMIALGADDAKEDKRAYYRELAAQITRTC HESYARSDTKLGPEAFWFNSGREAVATQLSESYYILRPEVVESYMYLWRQTHDPIYREWG WEVVMALEKHCRTEAGFSGIQDVYSSNPNHDNRQQSFFLAETLKYLYLLFSEDNVLSLED WVFNTEAHPLPVNRSDSFSIAGHPH >ENSMUSP00000137038.1 pep:known chromosome:GRCm38:18:37504264:37507822:1 gene:ENSMUSG00000046191.7 transcript:ENSMUST00000052179.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb20 description:protocadherin beta 20 [Source:MGI Symbol;Acc:MGI:2136758] MGRRRALILQKRQVLVFFVFLGLSQASAESLRYSVAEETEIGSFVANLAKDLGLGVAELS SREARVVSDDNKKHLLLNLLTGDMLLNERLDREELCGSTQPCVLPFQVVLENPLQFYRAE LHVRDINDHSPTFLDKEITIKISESTTIGATFLIENAQDLDIGSNSLQDYSISPSSYFYV KIHDSGDGKIYPELVLDKALDHEEESELRLTLTALDGGSPPRSGTTLIVIKVLDINDNAP EFAQSFYEVQVPEDMPIGSSITAISAKDLDMGIYGKISYSFLHASEDIRKTFEINPTSGE VNLRSLMDFEVIQSYSVNIQATDGGGLSAKCTLSVKVLDINDNAPEVIMSSVTKAIPENA SETLVALFSVRDQDSGDNGRILCSIQDDLPFILKPSFKNFFTLLSEKALDRERRAEYNIT ITASDMGTPRLTTQHTIRVQVSDINDNAPAFTQTSYTMFIYENNSPALHIGTISATDKDS GSNAHITYSLLPAQDPELALASLISINADNGQLFALRALDYEVLQAFEFQVGATDRGSPA LSSQTLVRVVVLDDNDNAPFVLYPMQNASAPCTELLPRVAEPGYLVTKVVAVDRDSGQNA WLSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDVPKHRLVLLVKDNGDPPRSASVTLHV LVVDGFSQPYLPLPEVARDPAQEEDVLTLYLVIALASVSSLFLLSVLLFVGVRLCRRARA ASLGGCSVPEGHFPGHLVDVTGTGTLSQNYQYEVCFTGGTGTNEFKFLRPVMPSLQLHDP DSNMLEKENFRNSLGFNIQ >ENSMUSP00000111326.2 pep:known chromosome:GRCm38:18:36939205:37187657:1 gene:ENSMUSG00000104148.5 transcript:ENSMUST00000115662.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha2 description:protocadherin alpha 2 [Source:MGI Symbol;Acc:MGI:2681880] MPSLRGGPEVRQCLLLLLPFLAAWEAGNGQLHYSVPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVE VEVRDINDNPPRFPMTEKTIQFSESRSLDSRFPLEGASDADIGVNALLSYKLSPSELFFL DVQTSDELSQSLFLVLGKSLDREEDAEVKLLLVATDGGKPELTGTVQILIKVLDVNDNEP TFPQSVYKVQLLENTANGTLVMKLNASDADEGSNSEIVYSLGSDVSSTTRTKFQIDPNSG EVRTKGELDYEERTSYEIQVIASDKGTPAMSGHCKISVKLVDINDNTPEVSITSLSLPVQ ENAPLGAVIALISVSDRDSGVNGQVTCSLSPHVPFKLVSTFKNYYSLVLDSALDREATAD YKVMVTARDGGSPSLRATASVSVEVADVNDNAPVFAQPEYTVFVKENNPPGAHIFTVSAM DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVTARDA GVPALGSNVTLQVFVLDENDNAPLLLPQGAVGVGGSVSELVPRSVGSGHVVTKVRAVDAD SGYNAWLSYELQSTVGMRSLFRVGLYTGEISTTRALDEVDAPRQRLLVLVKDHGEPSLTA TATVLVSLVENSQAPKTSSRALVDAAGQEASLVTVNVYLVIAICAVSSLLVLTLLLYTAL RCSAMPTDGACAPGRPMLVCSSAVGSWSYSQQRRQRVCSGEGPPKTDLMAFSPSLSQGPE SAEERQPPLEAEYLGKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTV SSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQE PANNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000141355.1 pep:known chromosome:GRCm38:18:36939224:37187388:1 gene:ENSMUSG00000104148.5 transcript:ENSMUST00000195590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha2 description:protocadherin alpha 2 [Source:MGI Symbol;Acc:MGI:2681880] MPSLRGGPEVRQCLLLLLPFLAAWEAGNGQLHYSVPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVE VEVRDINDNPPRFPMTEKTIQFSESRSLDSRFPLEGASDADIGVNALLSYKLSPSELFFL DVQTSDELSQSLFLVLGKSLDREEDAEVKLLLVATDGGKPELTGTVQILIKVLDVNDNEP TFPQSVYKVQLLENTANGTLVMKLNASDADEGSNSEIVYSLGSDVSSTTRTKFQIDPNSG EVRTKGELDYEERTSYEIQVIASDKGTPAMSGHCKISVKLVDINDNTPEVSITSLSLPVQ ENAPLGAVIALISVSDRDSGVNGQVTCSLSPHVPFKLVSTFKNYYSLVLDSALDREATAD YKVMVTARDGGSPSLRATASVSVEVADVNDNAPVFAQPEYTVFVKENNPPGAHIFTVSAM DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVTARDA GVPALGSNVTLQVFVLDENDNAPLLLPQGAVGVGGSVSELVPRSVGSGHVVTKVRAVDAD SGYNAWLSYELQSTVGMRSLFRVGLYTGEISTTRALDEVDAPRQRLLVLVKDHGEPSLTA TATVLVSLVENSQAPKTSSRALVDAAGQEASLVTVNVYLVIAICAVSSLLVLTLLLYTAL RCSAMPTDGACAPGRPMLVCSSAVGSWSYSQQRRQRVCSGEGPPKTDLMAFSPSLSQGPE SAEERQPPLEAEYLGKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTV SSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPEPKKQTQVSFLPRRKGEASQP RQ >ENSMUSP00000099882.4 pep:known chromosome:GRCm38:2:32587095:32599698:1 gene:ENSMUSG00000079442.12 transcript:ENSMUST00000102818.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac4 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 4 [Source:MGI Symbol;Acc:MGI:1341894] MKAPGRLLLLTLCILTFSAVCVFLCCWACLPLCLATCLDRHLPAAPRSTVPGPLHFSGYS SVPDGKPLIRELCHSCAVVSSSGQMLGSGLGAQIDGAECVLRMNQAPTVGFEEDVGQRST LRVISHTSVPLLLRNYSHYFQHARDTLYVVWGQGRHMDRVLGGRTYRTLLQLTRMYPGLQ VYTFTERMMAYCDQIFQDETGKNRRQSGSFLSTGWFTMILALELCEEIVVYGMVSDSYCS EKSPRSVPYHYFEKGRLDECQMYRLHEQAPRSAHRFITEKAVFSRWAKKRPIVFAHPSWR AK >ENSMUSP00000141249.1 pep:known chromosome:GRCm38:2:32594276:32597189:1 gene:ENSMUSG00000079442.12 transcript:ENSMUST00000146557.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:St6galnac4 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 4 [Source:MGI Symbol;Acc:MGI:1341894] XGRTYRTLLQLTRMYPGLQVYTFTERMMAYCDQIFQDETGKNRSQEP >ENSMUSP00000136093.1 pep:known chromosome:GRCm38:2:32587625:32599075:1 gene:ENSMUSG00000079442.12 transcript:ENSMUST00000179989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac4 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 4 [Source:MGI Symbol;Acc:MGI:1341894] MKAPGRLLLLTLCILTFSAVCVFLCCWACLPLCLATCLDRHLPAAPRSTVPGPLHFSGYS SVPDGKPLIRELCHSCAVVSSSGQMLGSGLGAQIDGAECVLRMNQAPTVGFEEDVGQRST LRVISHTSVPLLLRNYSHYFQHARDTLYVVWGQGRHMDRVLGGRTYRTLLQLTRMYPGLQ VYTFTERMMAYCDQIFQDETGKNRRQSGSFLSTGWFTMILALELCEEIVVYGMVSDSYCS EKSPRSVPYHYFEKGRLDECQMYRLHEQAPRSAHRFITEKAVFSRWAKKRPIVFAHPSWR AK >ENSMUSP00000056754.6 pep:known chromosome:GRCm38:4:149176319:149307692:-1 gene:ENSMUSG00000063077.14 transcript:ENSMUST00000060537.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1b description:kinesin family member 1B [Source:MGI Symbol;Acc:MGI:108426] MSGASVKVAVRVRPFNSRETSKESKCIIQMQGNSTSIINPKNPKEAPKSFSFDYSYWSHT SPEDPCFASQNRVYNDIGKEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGKQEESQAGIIP QLCEELFEKINDNCNEEMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVED LSKLAVTSYTDIADLMDAGNKARTVAATNMNETSSRSHAVFTIVFTQKKQDPETNLSTEK VSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEVDNCTSKSKKKKK TDFIPYRDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIKCNAVI NEDPNAKLVRELKEEVTRLKDLLRAQGLGDIIDIDPLIDDYSGSGGKYLKDFQNNKHRYL LASENQRPGNFSTASMGSLTSSPSSCSLNSQVGLTSVTSIQERIMSTPGGEEAIERLKES EKIIAELNETWEEKLRKTEAIRMEREALLAEMGVAIREDGGTLGVFSPKKTPHLVNLNED PLMSECLLYYIKDGITRVGQADAERRQDIVLSGAHIKEEHCLFRSERSNTGEVIVTLEPC ERSETYVNGKRVAHPVQLRSGNRIIMGKNHVFRFNHPEQARAEREKTPSAETPSEPVDWT FAQRELLEKQGIDMKQEMEKRLQEMEILYKKEKEEADLLLEQQRLDYESKLQALQRQVET RSLAAETTEEEEEEEEVPWTQHEFELAQWAFRKWKSHQFTSLRDLLWGNAVYLKEANAIS VELKKKVQFQFVLLTDTLYSPVPPELLPSEMEKTHEDRPFPRTVVAVEVQDLKNGATHYW SLDKLKQRLDLMREMYDRAGEVASSAQDDSETTMTGSDPFYDRFHWFKLVGSSPIFHGCV NERLADRTPSPTFSTADSDITELADEQQDAMEDFDDEAFVDDTGSDAGTEEGSELFSDGH DPFYDRSPWFILVGRAFVYLSNLLYPVPLIHRVAIVSEKGEVRGFLRVAVQAIAADEEAP DYGSGIRQSGTAKISFDNEYFNQSDFSSAAMTRSGLSLEELRIVEGQGQSSEVISPPEEV NRMNDLDLKSGTLLDGKMVMEGFSEEIGNHLKLGSAFTFRVTVLQASGILPEYADIFCQF NFLHRHDEAFSTEPLKNNGRGSPLGFYHVQNIAVEVTESFVDYIKTKPIVFEVFGHYQQH PLHLQGQDLNSPPQPSRRFFPPPMPLSKPVPATKLNTMNKTTLGQSMSKYDLLVWFEISE LEPTGEYIPAVVDHTAGLPCQGTFLLHQGIQRRITVTIIHEKGSELHWKDVRELVVGRIR NKPEVDEAAVDAVLSLNIISAKSLKAAHSSSRTFYRFEAVWDSSLHNSLLLNRVTPYGEK IYMTLSAYLELDHCIQPAVITKDVCMVFYSRDAKISPPRSLRNLFGSGYSKSPDSNRVTG IYELSLCKMADTGSPGMQRRRRKVLDTSVAYVRGEENLAGWRPRGDSLILEHQWELEKLE LLHEVEKTRHFLLLRERLGDSVPKSLSDSLSPSLSSGTLSTSTSISSQISTTTFESAITP SESSGYDSADVESLVDREKELATKCLQLLTHTFNREFSQVHGSISDCKLSDISPIGRDPS VSSFSSSTLTPSSTCPSLVDSRSSSMDQKTPEANSRASSPCQEFEQFQIVPTVETPYLAR AGKNEFLNLVPDIEEVRAGSVVSKKGYLHFKEPLSSNWAKHFVVVRRPYVFIYNSDKDPV ERGIINLSTAQVEYSEDQQAMVKTPNTFAVCTKHRGVLLQALNDKDMNDWLYAFNPLLAG TIRSKLSRRCPSQPKY >ENSMUSP00000120076.1 pep:known chromosome:GRCm38:4:149178863:149198494:-1 gene:ENSMUSG00000063077.14 transcript:ENSMUST00000139123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1b description:kinesin family member 1B [Source:MGI Symbol;Acc:MGI:108426] XAVLSLNIISAKSLKAAHSSSRLLLDKDIPRTFYRFEAVWDSSLHNSLLLNRVTPYGEKI YMTLSAYLELDHCIQPAVITKDVCMVFYSRDAKISPPRSLRNLFGSGYSKSPDSNRVTGI YELSLCKMADTGSPGMQRRRRKVLDTSVAYVRGEENLAGWRPRGDSLILEHQWELEKLEL LHEVEKTRHFLLLRERLGDSVPKSLSDSLSPSLSSGTLSTSTSISSQISTTTFESAITPS ESSGYDSADVESLVDREKELATKCLQLLTHTFNREFSQVHGSISDCKLSDISPIGRDPSV SSFSSSTLTPSSTCPSLVDSRSSSMDQKTPEANSRASSPCQEFEQFQIVPTVETPYLARA GKNEFLNLVPDIEEVRAGSVVSKKGYLHFKEPLSSNWAKHFVVVRRPYVFIYNSDKDPVE RGIINLSTAQVEYSEDQQAMVKTPNTFAVCTKHRGVLLQALNDKDMNDWLYAFNPLLAGT IRSKLSRRCPSQPKY >ENSMUSP00000061472.8 pep:known chromosome:GRCm38:4:149180236:149307506:-1 gene:ENSMUSG00000063077.14 transcript:ENSMUST00000055647.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1b description:kinesin family member 1B [Source:MGI Symbol;Acc:MGI:108426] MSGASVKVAVRVRPFNSRETSKESKCIIQMQGNSTSIINPKNPKEAPKSFSFDYSYWSHT SPEDPCFASQNRVYNDIGKEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGKQEESQAGIIP QLCEELFEKINDNCNEEMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVED LSKLAVTSYTDIADLMDAGNKARTVAATNMNETSSRSHAVFTIVFTQKKQDPETNLSTEK VSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEVSKKKKKTDFIPY RDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIKCNAVINEDPNA KLVRELKEEVTRLKDLLRAQGLGDIIDTSMGSLTSSPSSCSLNSQVGLTSVTSIQERIMS TPGGEEAIERLKESEKIIAELNETWEEKLRKTEAIRMEREALLAEMGVAIREDGGTLGVF SPKKTPHLVNLNEDPLMSECLLYYIKDGITRVGQADAERRQDIVLSGAHIKEEHCLFRSE RSNTGEVIVTLEPCERSETYVNGKRVAHPVQLRSGNRIIMGKNHVFRFNHPEQARAEREK TPSAETPSEPVDWTFAQRELLEKQGIDMKQEMEKRLQEMEILYKKEKEEADLLLEQQRLD YESKLQALQRQVETRSLAAETTEEEEEEEEVPWTQHEFELAQWAFRKWKSHQFTSLRDLL WGNAVYLKEANAISVELKKKVQFQFVLLTDTLYSPVPPELLPSEMEKTHEDRPFPRTVVA VEVQDLKNGATHYWSLDKLKQRLDLMREMYDRAGEVASSAQDDSETTMTGSDPFYDRFHW FKLVGSSPIFHGCVNERLADRTPSPTFSTADSDITELADEQQDAMEDFDDEAFVDDTGSD AGTEEGSELFSDGHDPFYDRSPWFILVGRAFVYLSNLLYPVPLIHRVAIVSEKGEVRGFL RVAVQAIAADEEAPDYGSGIRQSGTAKISFDNEYFNQSDFSSAAMTRSGLSLEELRIVEG QGQSSEVISPPEEVNRMNDLDLKSGTLLDGKMVMEGFSEEIGNHLKLGSAFTFRVTVLQA SGILPEYADIFCQFNFLHRHDEAFSTEPLKNNGRGSPLGFYHVQNIAVEVTESFVDYIKT KPIVFEVFGHYQQHPLHLQGQDLNSPPQPSRRFFPPPMPLSKPVPATKLNTMNKTTLGQS MSKYDLLVWFEISELEPTGEYIPAVVDHTAGLPCQGTFLLHQGIQRRITVTIIHEKGSEL HWKDVRELVVGRIRNKPEVDEAAVDAVLSLNIISAKSLKAAHSSSRTFYRFEAVWDSSLH NSLLLNRVTPYGEKIYMTLSAYLELDHCIQPAVITKDVCMVFYSRDAKISPPRSLRNLFG SGYSKSPDSNRVTGIYELSLCKMADTGSPGMQRRRRKVLDTSVAYVRGEENLAGWRPRGD SLILEHQWELEKLELLHEVEKTRHFLLLRERLGDSVPKSLSDSLSPSLSSGTLSTSTSIS SQISTTTFESAITPSESSGYDSADVESLVDREKELATKCLQLLTHTFNREFSQVHGSISD CKLSDISPIGRDPSVSSFSSSTLTPSSTCPSLVDSRSSSMDQKTPEANSRASSPCQEFEQ FQIVPTVETPYLARAGKNEFLNLVPDIEEVRAGSVVSKKGYLHFKEPLSSNWAKHFVVVR RPYVFIYNSDKDPVERGIINLSTAQVEYSEDQQAMVKTPNTFAVCTKHRGVLLQALNDKD MNDWLYAFNPLLAGTIRSKLSRRCPSQPKY >ENSMUSP00000030806.5 pep:known chromosome:GRCm38:4:149233734:149307623:-1 gene:ENSMUSG00000063077.14 transcript:ENSMUST00000030806.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif1b description:kinesin family member 1B [Source:MGI Symbol;Acc:MGI:108426] MSGASVKVAVRVRPFNSRETSKESKCIIQMQGNSTSIINPKNPKEAPKSFSFDYSYWSHT SPEDPCFASQNRVYNDIGKEMLLHAFEGYNVCIFAYGQTGAGKSYTMMGKQEESQAGIIP QLCEELFEKINDNCNEEMSYSVEVSYMEIYCERVRDLLNPKNKGNLRVREHPLLGPYVED LSKLAVTSYTDIADLMDAGNKARTVAATNMNETSSRSHAVFTIVFTQKKQDPETNLSTEK VSKISLVDLAGSERADSTGAKGTRLKEGANINKSLTTLGKVISALAEVSKKKKKTDFIPY RDSVLTWLLRENLGGNSRTAMVAALSPADINYDETLSTLRYADRAKQIKCNAVINEDPNA KLVRELKEEVTRLKDLLRAQGLGDIIDTSMGSLTSSPSSCSLNSQVGLTSVTSIQERIMS TPGGEEAIERLKESEKIIAELNETWEEKLRKTEAIRMEREALLAEMGVAIREDGGTLGVF SPKKTPHLVNLNEDPLMSECLLYYIKDGITRVGQADAERRQDIVLSGAHIKEEHCLFRSE RSNTGEVIVTLEPCERSETYVNGKRVAHPVQLRSGNRIIMGKNHVFRFNHPEQARAEREK TPSAETPSEPVDWTFAQRELLEKQGIDMKQEMEKRLQEMEILYKKEKEEADLLLEQQRLD ADSDSGDDSDKRSCEESWKLITSLREKLPPSKLQTIVKKCGLPSSGKKREPIKMYQIPQR RRLSKDSKWVTISDLKIQAVKEICYEVALNDFRHSRQEIEALAIVKMKELCAMYGKKDPN ERDSWRAVARDVWDTVGVGDEKIEDMMVTGKGGTDVDDLKVHIDKLEDILQEVKKQNNMK DEEIKVLRNKMLKMEKVLPLIGSQEQKSQGSHKTKEPLVAGANSVSDNGVSKGESGELGK EERVSQLMNGDPAFRRGRLRWMRQEQIRFKNLQQQEITKQLRRQNVPHRFIPPENRKPRF PFKSNPKHRNSWSPGTHIIITEDEVIELRIPKDEEGRKGNKEESQEKVGRAASRDVQSAW GTRSQDHIQVSKQHISNQQPPPQLRWRSNSLNNGQPKTTRCQATASSESLNSHSGHPTAD LQTFQAKRHIHQHRQPYCNYNTGGQVEGSTASCCQKQTDKPSHCNQFVTPPRMRRQFSAP NLKAGRETTV >ENSMUSP00000059770.2 pep:known chromosome:GRCm38:18:37307455:37311172:1 gene:ENSMUSG00000045689.3 transcript:ENSMUST00000056712.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb4 description:protocadherin beta 4 [Source:MGI Symbol;Acc:MGI:2136738] METALAKMSQQRQVVFLTMLLLLWVSGSEAIKYSMPEETESGYLVANLAQDLGIRVGELA AREARVHHRGNKDLLQLDAETGNLFLKEKLDREGLCGATEPCVLHFQIILKNPVQFFQTE LQLTDINDHSPEFPDTEMLLTIPESAQPGTVFPLKAAQDPDMGSNAIQNYTVSPNLHFHV VTLSRSDGRKYPELVLDRALDREEQPELTLILTALDGGAPRRSGMTTVHIEIMDINDNAP QFVQSLYEVQVPENFPLDALLVTVSARDLDAGIYGNIAYSLFQGDGDSQPFVIDEITGEI RLSKKLDFEVTPYYNVEIAATDGGGLSGKCTVAVQVLDVNDNAPELTVSTLSSPIPENSP ETVVAVFGVFDPDSGDNGRMVCSIQNELPFLLKSTFENYYTLATERPLDRESIAEYNITI TVSDMGTPRLTTQHTIKVQVSDINDNAPAFTQTSYTLFVHENNSPALHIGTISATDSDSG SNAHITYSLLPPQDPQLALSSLISINADNGQLFALRALDYEALQAFEFHVGATDGGSPAL SSQTLVRVVVLDDNDNAPFVLYPLQNASAPYTELLPRAAEPGYLVTKVVAVDRDSGQNAW LSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDAPKHRLLLLVKDNGEPLRSASVTMHVL VVDGFSQPYLPLPEVALDPTREEDNLTLYLVISLASVSSLFLLSVLLFMGARLCRRAREA SLGGYSVPEGHFPGHLVDVSGAGTLSQSYQYEVCLSGDSGITDFKFLKPSNPNSLIPDNY MLNS >ENSMUSP00000057921.3 pep:known chromosome:GRCm38:18:37294812:37297624:1 gene:ENSMUSG00000051599.4 transcript:ENSMUST00000056522.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb2 description:protocadherin beta 2 [Source:MGI Symbol;Acc:MGI:2136735] MEAGEEMEQILKKRQVLLFFVLLGIAQAGSTIRRYSVEEEAENGVFVANLLKDLGLEVEE LAARGPRVISKGKKLNLEFNRQTGDLLLREKLDREELCGPAEPCVVPFQVLLGNPLQIFQ AELQIRDINDHSPVFLDKEITLKISESTASGTTFLIERAQDLDVGSNGLQTYTINPNFYF HLNLQNSPDGTVLPQLVLDKVLDREERSEIRLILTALDGGNPPRSGTVQILIEVLDINDN APVFSKLRYEVQIPENSPVGSQVATVSARDLDIGDHGNIAYAFSQASEDIRKTFRMNATS GEILLVKTLDFESIQAYTICVQATDGGGLSGSSVVIVQVMDLNDNPPELTMSTFTNHIPE NAPETVIAVFSVADSDSGDNGKMVCSIQEDLPFILKPSFENFYTLMTNTALDRETRSQYN ITIMVSDLGTPRLTAQHTIIVQVSDINDNAPAFTQTSYTIFVRENNSPALHIGTISATDS DSGSNAHITYSLLPPQDPQLALHSLVSINADNGQLFALRALDYEDQQTFKFHVGATDGGS PALSSQTLVRVVVLDDNDNAPFVLYPLQNSSVPCTELLPRAAEPGYLVTKVVAVDRDSGQ NAWLSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDAPKHRLLLLVKDNGDPPHSASVTL QVLVVDGFSQPYLPLPEVARDPAQDEDILTLYLVIALASVSSLFLLSVLLFVGVRLCRRA RATSLGGCSMPEGHFPAHLVDVGGAGTLSQSYQYEVCLTGDTGTPDFKFLKPIIPNFLLQ SSETENDTNPSYRNSFEFS >ENSMUSP00000141439.1 pep:known chromosome:GRCm38:18:37294840:37296421:1 gene:ENSMUSG00000051599.4 transcript:ENSMUST00000193137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb2 description:protocadherin beta 2 [Source:MGI Symbol;Acc:MGI:2136735] MEAGEEMEQILKKSVADSDSGDNGKMVCSIQEDLPFILKPSFENFYTLMTNTALDRETRS QYNITIMVSDLGTPRLTAQHTIIVQVSDINDNAPAFTQTSYTIFVRENNSPALHIGTISA TDSDS >ENSMUSP00000019456.4 pep:known chromosome:GRCm38:11:98446834:98455373:1 gene:ENSMUSG00000019312.10 transcript:ENSMUST00000019456.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb7 description:growth factor receptor bound protein 7 [Source:MGI Symbol;Acc:MGI:102683] MELDLSPTHLSSSPEDVCPTPATPPETPPPPDNPPPGDVKRSQPLPIPSSRKLREEEFQA TSLPSIPNPFPELCSPPSQKPILGGSSGARGLLPRDSSRLCVVKVYSEDGACRSVEVAAG ATARHVCEMLVQRAHALSDESWGLVESHPYLALERGLEDHEFVVEVQEAWPVGGDSRFIF RKNFAKYELFKSPPHTLFPEKMVSSCLDAQTGISHEDLIQNFLNAGSFPEIQGFLQLRGS GRGSGRKLWKRFFCFLRRSGLYYSTKGTSKDPRHLQYVADVNESNVYVVTQGRKLYGMPT DFGFCVKPNKLRNGHKGLHIFCSEDEQSRTCWLAAFRLFKYGVQLYKNYQQAQSRHLRLS YLGSPPLRSVSDNTLVAMDFSGHAGRVIDNPREALSAAMEEAQAWRKKTNHRLSLPTTCS GSSLSAAIHRTQPWFHGRISREESQRLIGQQGLVDGVFLVRESQRNPQGFVLSLCHLQKV KHYLILPSEDEGCLYFSMDEGQTRFTDLLQLVEFHQLNRGILPCLLRHCCARVAL >ENSMUSP00000119926.1 pep:known chromosome:GRCm38:11:98446394:98451417:1 gene:ENSMUSG00000019312.10 transcript:ENSMUST00000132771.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grb7 description:growth factor receptor bound protein 7 [Source:MGI Symbol;Acc:MGI:102683] MELDLSPTHLSSSPEDVCPTPATPPETPPPPDNPPPGDVKRSQPLPIPSSRKLREEEFQA TSLPSIPNPFPELCSPPSQKPILGGSSGARGLLPRDSSRLCVVKVYSEDGACRSVEVAAG ATARHVCEMLVQRAHALS >ENSMUSP00000142159.1 pep:known chromosome:GRCm38:18:36992371:37187657:1 gene:ENSMUSG00000103800.1 transcript:ENSMUST00000194038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha8 description:protocadherin alpha 8 [Source:MGI Symbol;Acc:MGI:2681879] MLCLGGAVPDDQLLVLSLLLIAVWEVGSGQLHYSIPEEAKHGTFVGRIAQDLELQLPELV PGLFQLDSKGFGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVE VEVRDINDNPPMFPATQKALFIPESRLLDSRFPLEGASDADVGSNALLTYRLSTNEHFSL DVPPNHEQVKPLGLVLRKPLDREEAAEIRLLLTATDGGKPELTGTVQLLITVLDVNDNAP VFDRSLYTVKLPENVPNGSLVIKVNASDLDEGVNGDVMYSFSSDVSSDIKSKFHMDTVSG EITVIGIIDFEESKAYKIPLEARDKGLPPLIGHCTVLVEVVDANDNAPQLNVKTLWLPVK EDAQLGKIIALISVIDLDSGINGQVTCSLTNHVPFKLVSTFKNYYSLVLDSALDRETISN YDVIVTARDGGSPSLLATASVSVEVADVNDNAPAFAQPEYTVFVKENNPPGAHIFTVSAV DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARDA GVPALGSNVTLQVFVLDENDNVPMLLGSGTGGSDGVFNQLLSRSVDPGHVVAKVRAVDAD SGYNAWLSYELLSWPGSMRSPFRVGLYTGEISTTRSLDETDGTRQRLLVLVKDHGEPPLT ATVTVLVSLVESGQAPKTSSRSSVGVTVPEPSLVDVNVYLIIAICAVSSLLVLTLLLYTA LRCSATPTGGACGSGKPMLVCSSAAGTWSYSQQRRQRVCSGEGPPKTDLMAFSPGLPPCP GSAEPSVSLDSSEKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSS ATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPA NNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000028905.9 pep:known chromosome:GRCm38:2:143546156:143816285:1 gene:ENSMUSG00000027419.9 transcript:ENSMUST00000028905.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk2 description:proprotein convertase subtilisin/kexin type 2 [Source:MGI Symbol;Acc:MGI:97512] MEGGCGSQWKAAGFLFCVMVFASAERPVFTNHFLVELHKDGEEEARQVAAEHGFGVRKLP FAEGLYHFYHNGLAKAKRRRSLHHKRQLERDPRIKMALQQEGFDRKKRGYRDINEIDINM NDPLFTKQWYLFNTGQADGTPGLDLNVAEAWELGYTGKGVTIGIMDDGIDYLHPDLAYNY NADASYDFSSNDPYPYPRYTDDWFNSHGTRCAGEVSAAASNNICGVGVAYNSKVAGIRML DQPFMTDIIEASSISHMPQLIDIYSASWGPTDNGKTVDGPRELTLQAMADGVNKGRGGKG SIYVWASGDGGSYDDCNCDGYASSMWTISINSAINDGRTALYDESCSSTLASTFSNGRKR NPEAGVATTDLYGNCTLRHSGTSAAAPEAAGVFALALEANLDLTWRDMQHLTVLTSKRNQ LHDEVHQWRRNGVGLEFNHLFGYGVLDAGAMVKMAKDWKTVPERFHCVGGSVQNPEKIPP TGKLVLTLKTNACEGKENFVRYLEHVQAVITVNATRRGDLNINMTSPMGTKSILLSRRPR DDDSKVGFDKWPFMTTHTWGEDARGTWTLELGFVGSAPQKGLLKEWTLMLHGTQSAPYID QVVRDYQSKLAMSKKQELEEELDEAVERSLQSILRKN >ENSMUSP00000140584.2 pep:known chromosome:GRCm38:3:98552542:98563506:-1 gene:ENSMUSG00000095388.7 transcript:ENSMUST00000186215.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10681 description:predicted gene 10681 [Source:MGI Symbol;Acc:MGI:3711284] MPGWSCLVTGAGGFLGQRIVRMLVQEEELQEIRALFRTFGRKQEEELSKLQTKTKVTVLK GDILDAQCLKRACQGMSAVIHTAAAIDPLGAASRQTILDVNLKGTQLLLDACVEANVPTF IYSSSVLVAGPNSYKEIILNAHEEEHHESTWSNPYPYSKKMAEKAVLAANGSILKNGGTL HTCALRLSFIYGEECQVTSTTVKTALKNNSIIKKNATFSIANPVYVGNAAWAHILAARSL QDPKKSPSIQGQFYYITDDTPHQSYDDLKCTLSKEWGLRLDTSWSLPLPLLYWLAFLLET VSFLLRPVYNYRPPFNRLLITVLNSVFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VMQHREIGNKKSQ >ENSMUSP00000055886.5 pep:known chromosome:GRCm38:3:98552553:98563985:-1 gene:ENSMUSG00000095388.7 transcript:ENSMUST00000058728.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10681 description:predicted gene 10681 [Source:MGI Symbol;Acc:MGI:3711284] MPGWSCLVTGAGGFLGQRIVRMLVQEEELQEIRALFRTFGRKQEEELSKLQTKTKVTVLK GDILDAQCLKRACQGMSAVIHTAAAIDPLGAASRQTILDVNLKGTQLLLDACVEANVPTF IYSSSVLVAGPNSYKEIILNAHEEEHHESTWSNPYPYSKKMAEKAVLAANGSILKNGGTL HTCALRLSFIYGEECQVTSTTVKTALKNNSIIKKNATFSIANPVYVGNAAWAHILAARSL QDPKKSPSIQGQFYYITDDTPHQSYDDLKCTLSKEWGLRLDTSWSLPLPLLYWLAFLLET VSFLLRPVYNYRPPFNRLLITVLNSVFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VMQHREIGNKKSQ >ENSMUSP00000136749.1 pep:known chromosome:GRCm38:3:98552553:98588807:-1 gene:ENSMUSG00000095388.7 transcript:ENSMUST00000178221.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10681 description:predicted gene 10681 [Source:MGI Symbol;Acc:MGI:3711284] MPGWSCLVTGAGGFLGQRIVRMLVQEEELQEIRALFRTFGRKQEEELSKLQTKTKVTVLK GDILDAQCLKRACQGMSAVIHTAAAIDPLGAASRQTILDVNLKGTQLLLDACVEANVPTF IYSSSVLVAGPNSYKEIILNAHEEEHHESTWSNPYPYSKKMAEKAVLAANGSILKNGGTL HTCALRLSFIYGEECQVTSTTVKTALKNNSIIKKNATFSIANPVYVGNAAWAHILAARSL QDPKKSPSIQGQFYYITDDTPHQSYDDLKCTLSKEWGLRLDTSWSLPLPLLYWLAFLLET VSFLLRPVYNYRPPFNRLLITVLNSVFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VMQHREIGNKKSQ >ENSMUSP00000141587.1 pep:known chromosome:GRCm38:18:36967631:37187657:1 gene:ENSMUSG00000103707.5 transcript:ENSMUST00000193777.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha6 description:protocadherin alpha 6 [Source:MGI Symbol;Acc:MGI:1298367] MDFTTEGRLGTRCMLLSLLLLAAWEAGSGQLHYSVPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSVECSIHLEVIVDRPLQVFHVE VEVRDINDNPPVFSVKEQRMLIYESRLPDSLFPLEGASDADVGLNSMLTYKLSPSEYFGL DVKSNSDGNKQIRLLLKKSLDREDAPEHKLLLTATDGGKPELTGSVQLLVTVLDVNDNAP TFQHPEYEVRILENSDNGTTVIRLNASDKDEGTNSAISYSFNRLVPPKTLEQFSIDADTG EIITQGNLDFEQVDVYKIHVDATDKGHPPMVGHCTVLVKVLDENDNVPQITLTSLSLPVR EDAALSTVIALISVSDLDSGSNGQVTCSLSPHVPFKLVSTFKNYYSLVLDSALDRETTAD YQVVVTARDGGSPSLWTTASVSVEVADVNDNAPSFAQPDYTVFVKENNPPGAHIFTVSAM DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARDA GVPALGSNVTLQVFVLDENDNAPTLLGPWTPGSGGVVSELVSPSVGAGQVVTKVRAVDAD SGYNAWLSYELHPLAGGTRSLFRVGLYTGEISTTRALEEADAPRHRLLVLVKDHGEPALI ATATVLVSLVENSQTPKASSRAQGATGQEVSLVNVNVYLIIAICAVSSLLVLTLMVYTAL RCSVPPTEGVCGAGKPVLVCSSAVGSWSYSQQRRQKVCSGEGPPKTDLMAFSPSLPPCPV VGEHQDLNDDHCSRPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSS ATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPA NNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000141459.1 pep:known chromosome:GRCm38:18:36967648:37187388:1 gene:ENSMUSG00000103707.5 transcript:ENSMUST00000193389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha6 description:protocadherin alpha 6 [Source:MGI Symbol;Acc:MGI:1298367] MDFTTEGRLGTRCMLLSLLLLAAWEAGSGQLHYSVPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSVECSIHLEVIVDRPLQVFHVE VEVRDINDNPPVFSVKEQRMLIYESRLPDSLFPLEGASDADVGLNSMLTYKLSPSEYFGL DVKSNSDGNKQIRLLLKKSLDREDAPEHKLLLTATDGGKPELTGSVQLLVTVLDVNDNAP TFQHPEYEVRILENSDNGTTVIRLNASDKDEGTNSAISYSFNRLVPPKTLEQFSIDADTG EIITQGNLDFEQVDVYKIHVDATDKGHPPMVGHCTVLVKVLDENDNVPQITLTSLSLPVR EDAALSTVIALISVSDLDSGSNGQVTCSLSPHVPFKLVSTFKNYYSLVLDSALDRETTAD YQVVVTARDGGSPSLWTTASVSVEVADVNDNAPSFAQPDYTVFVKENNPPGAHIFTVSAM DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARDA GVPALGSNVTLQVFVLDENDNAPTLLGPWTPGSGGVVSELVSPSVGAGQVVTKVRAVDAD SGYNAWLSYELHPLAGGTRSLFRVGLYTGEISTTRALEEADAPRHRLLVLVKDHGEPALI ATATVLVSLVENSQTPKASSRAQGATGQEVSLVNVNVYLIIAICAVSSLLVLTLMVYTAL RCSVPPTEGVCGAGKPVLVCSSAVGSWSYSQQRRQKVCSGEGPPKTDLMAFSPSLPPCPV VGEHQDLNDDHCSRPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSS ATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPEPKKQTQVSFLPRRKGEASQPRQ >ENSMUSP00000142285.1 pep:known chromosome:GRCm38:18:36967650:37187153:1 gene:ENSMUSG00000103707.5 transcript:ENSMUST00000194751.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcdha6 description:protocadherin alpha 6 [Source:MGI Symbol;Acc:MGI:1298367] MDFTTEGRLGTRCMLLSLLLLAAWEAGSGQLHYSVPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSVECSIHLEVIVDRPLQVFHVE VERAHQMLMLD >ENSMUSP00000121870.2 pep:known chromosome:GRCm38:4:112804616:113286973:-1 gene:ENSMUSG00000087194.9 transcript:ENSMUST00000138966.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint6 description:selection and upkeep of intraepithelial T cells 6 [Source:MGI Symbol;Acc:MGI:3649262] MGTIGVPLTAHCVVLFLLQMVALSTEQFTVNGLESPVLVPLGGNLELSCQLSPPQQAKHM EIRWFKNRYSEPVYLYRNGKDLNEAIVYKYVERTELLKDDIGKGKVTLRIFKVTSVDSGS YHCFFKDDKFYEEHIIEVKVTATSSDIQILMHPPNSTGVRLECHSGGWFPQPHMEWRDSK GEYIPATSKSHSQDENEFFNMTMDLFIKSNSYWSAACYIQNFVTHQEESISFVLPGTKVL LLKNIQSIFQSSSVDLEKKDMLLKSIKSRFQSSSVDPEKKERLLKIIQSRIESSSVDQET KALLLESIQSSIENSTVDLETKVWLLESIKSSIQNSSVDPETKELLLERIKSSIENSTVD LGTKEWLLESIQSSIQSSSVDLGTKKMLLKIIQSSIQSFSVYIGTKEWLLERVQSSIQSS SVDLGTKELLLEIIQSSIEGYSVELGIEELLSKIILSSIQSSRVDLGIKELLVKICQSSI QSTSVILETKEWLVKIFQSNIQSSSMDLETKVWLVKFFQSSIQSSSMDLGTIKWLVKNVQ SNIQSSRVDLETKRMLLEIIQSSIQNSSVHRGTKKMLLKVIQSSIQSFSVDLETKEWLLK IIQSSIQSSSVDIGTKSMLLKKIGLILRSSIVNPGTELLFQIIQSDLQSSSVNTETREML FEITQPTVQSSSVNSVTEELLEENYQLLLQSSSVNLEAENILNDTTQRILQLSSVNQGRE KLLLDRIQQILQSSSVNPETKCWLLKRIQFILENPSVHQEKKDLLLERIHLILQSSSVQK ETKSLLLGSIQSILHSFSVQKETKYLLLEKIQLILQNSSVHQETKDLLLKIIQLILQYSS VHEATSKLLLERIQLILQSSSVQKETKDLLLNRIFSILQNSCVDQGVKYFLLNIIHPILQ KTSVHQETKSMLLDRIELILQSSSMQQETKNHLLDQIEQILQDTTVHQETKDLLLNRIEL ILQNSSVQQETKELLMDKIDSILRYTWVHQETKDLLLNRIELILQSSSVQEETKNFLCNI IASILQSTSMHQEKKKLLLGRIELILQSSSVQQETKKLLLKIVQSSSPSSSVRLESCNKR NPFWKKHALDLGISVFAIIVVTLIRHLNQREADQHFELDTLWSKDTSVILCVLIMFNNRL KALIYFRLYGYSPPGKTYKYIVNYILRFSQPLFFIVYSAIILVMHLQIQNTDSLFSLYNS WMVEMIMVLGLLLAIFNVKNIATALLHLGRTTLRLFRIKD >ENSMUSP00000132312.1 pep:known chromosome:GRCm38:4:112804663:113283642:-1 gene:ENSMUSG00000087194.9 transcript:ENSMUST00000171224.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint6 description:selection and upkeep of intraepithelial T cells 6 [Source:MGI Symbol;Acc:MGI:3649262] MGTIGVPLTAHCVVLFLLQMVALSTEQFTVNGLESPVLVPLGGNLELSCQLSPPQQAKHM EIRWFKNRYSEPVYLYRNGKDLNEAIVYKYVERTELLKDDIGKGKVTLRIFKVTSVDSGS YHCFFKDDKFYEEHIIEVKVTATSSDIQILMHPPNSTGVRLECHSGGWFPQPHMEWRDSK GEYIPATSKSHSQDENEFFNMTMDLFIKSNSYWSAACYIQNFVTHQEESISFVLPGTKVL LLKNIQSIFQSSSVDLEKKDMLLKSIKSRFQSSSVDPEKKERLLKIIQSRIESSSVDQET KALLLESIQSSIENSTVDLETKVWLLESIKSSIQNSSVDPETKELLLERIKSSIENSTVD LGTKEWLLESIQSSIQSSSVDLGTKKMLLKIIQSSIQSFSVYIGTKEWLLERVQSSIQSS SVDLGTKELLLEIIQSSIEGYSVELGIEELLSKIILSSIQSSRVDLGIKELLVKICQSSI QSTSVILETKEWLVKIFQSNIQSSSMDLETKVWLVKFFQSSIQSSSMDLGTIKWLVKNVQ SNIQSSRVDLETKRMLLEIIQSSIQNSSVHRGTKKMLLKVIQSSIQSFSVDLETKEWLLK IIQSSIQSSSVDIGTKSMLLKKIGLILRSSIVNPGTELLFQIIQSDLQSSSVNTETREML FEITQPTVQSSSVNSVTEELLEENYQLLLQSSSVNLEAENILNDTTQRILQLSSVNQGRE KLLLDRIQQILQSSSVNPETKCWLLKRIQFILENPSVHQEKKDLLLERIHLILQSSSVQK ETKSLLLGSIQSILHSFSVQKETKYLLLEKIQLILQNSSVHQETKDLLLKIIQLILQYSS VHEATSKLLLERIQLILQSSSVQKETKDLLLNRIFSILQNSCVDQGVKYFLLNIIHPILQ KTSVHQETKSMLLDRIELILQSSSMQQETKNHLLDQIEQILQDTTVHQETKDLLLNRIEL ILQNSSVQQETKELLMDKIDSILRYTWVHQETKDLLLNRIELILQSSSVQEETKNFLCNI IASILQSTSMHQEKKKLLLGRIELILQSSSVQQETKKLLLKIVQSSSPSSSVRLESCNKR NPFWKKHALDLGISVFAIIVVTLIRHLNQREADQHFELDTLWSKDTSVILCVLIMFNNRL KALIYFRLYGYSPPGKTYKYIVNYILRFSQPLFFIVYSAIILVMHLQIQNTDSLFSLYNS WMVEMIMVLGLLLAIFNVKNIATALLHLGRTTLRLFRIKD >ENSMUSP00000136588.1 pep:known chromosome:GRCm38:3:98499071:98510035:-1 gene:ENSMUSG00000095143.5 transcript:ENSMUST00000179429.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b4 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 4 [Source:MGI Symbol;Acc:MGI:96236] MPGWSCLVTGAGGFLGQRIVRMLVQEEELQEIRALFRTFGRKQEEELSKLQTKTKVTVLK GDILDAQCLKRACQGMSAVIHTAAAIDPLGAASRQTILDVNLKGTQLLLDACVEANVPTF IYSSSVLVAGPNSYKEIILNAHEEEHHESTWSNPYPYSKKMAEKAVLAANGSILKNGGTL HTCALRLSFIYGEECQVTSTTVKTALKNNSIIKKNATFSIANPVYVGNAAWAHILAARSL QDPKKSPSIQGQFYYITDDTPHQSYDDLKCTLSKEWGLRLDTSWSLPLPLLYWLAFLLET VSFLLRPVYNYRPPFNRLLITVLNSVFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VMQHREIGNKKSQ >ENSMUSP00000142522.1 pep:known chromosome:GRCm38:3:98499082:98510514:-1 gene:ENSMUSG00000095143.5 transcript:ENSMUST00000196861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b4 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 4 [Source:MGI Symbol;Acc:MGI:96236] MPGWSCLVTGAGGFLGQRIVRMLVQEEELQEIRALFRTFGRKQEEELSKLQTKTKVTVLK GDILDAQCLKRACQGMSAVIHTAAAIDPLGAASRQTILDVNLKGTQLLLDACVEANVPTF IYSSSVLVAGPNSYKEIILNAHEEEHHESTWSNPYPYSKKMAEKAVLAANGSILKNGGTL HTCALRLSFIYGEECQVTSTTVKTALKNNSIIKKNATFSIANPVYVGNAAWAHILAARSL QDPKKSPSIQGQFYYITDDTPHQSYDDLKCTLSKEWGLRLDTSWSLPLPLLYWLAFLLET VSFLLRPVYNYRPPFNRLLITVLNSVFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VMQHREIGNKKSQ >ENSMUSP00000142274.1 pep:known chromosome:GRCm38:18:36975941:37833296:1 gene:ENSMUSG00000103125.1 transcript:ENSMUST00000193984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm37388 description:predicted gene, 37388 [Source:MGI Symbol;Acc:MGI:5610616] XQTQKVSSKVSAGASRVDQRLVDVNVYLIIAICAVSSLLVLTLLLYTALRCSATPTDGAC APGKPMLVCSSAVGSWSYSQQRRQRVCSGEGPPKTDLMAFSPSLPQGPSSTDNQAPPNTD WRFSQAQRPGTSGSQNGDETGTW >ENSMUSP00000142293.1 pep:known chromosome:GRCm38:18:36960440:37187657:1 gene:ENSMUSG00000103092.1 transcript:ENSMUST00000192168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha5 description:protocadherin alpha 5 [Source:MGI Symbol;Acc:MGI:1298371] MVYFQRGSPGFRRLLISFVLLAAWEAGSGQLHYSIPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVE VEVKDINDNPPKFSRPEQRLFILESRMPDSRFPVEGASDLDIGANAALSYKINPNEYFDL DVKRNEEETSVLQLVLKKPLDREETQEHRLLLIAIDGGKPELTGTVQLLIDVLDANDNAP EFDKSIYNVRLLENTPNGTLVIKLNASDADEGINKEILYFFSNLVLDDVKSKFTIDSSSG EIKVKGELDYEDCKVYEINIDAVDRSAFPLAGHCKIIVKLVDVNDNVPEMAITSIFLPIK EDAPLATVIALISVSDRDSGANGQVTCSLTPHIPFKLVSTFKNYYSLVLDRALDRETIAN YDVVVTARDGGSPSLWATASVSVEVADVNDNVPAFAQPEYTVFVKENNPPGAHIFTVSAM DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARDA GVPALGSNVTLQVFVLDENDNAPTLLGPQGSGGTIELLSRSVSSGHVVAKVRAVDADSGY NAWLSYELQPATGAVRSPFRVGLYTGEISTTRVLDEADMPRQRLLVLVKDHGEPPLTATA TFLVSLVENSQTPKVSSRVLVGTSGSDSTLIDVNVYLIIAICAVSSLLVLTLLLYTAIRC SATPTDGACAPGKPVLVCSSAVGTWSYSQQRRQRVCSGEGPPKTDLMAFSPSLPQGPSST ENPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSP PVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPANNQIDKSDFITF GKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000049346.6 pep:known chromosome:GRCm38:2:153031852:153055775:1 gene:ENSMUSG00000042631.7 transcript:ENSMUST00000037235.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xkr7 description:X Kell blood group precursor related family member 7 homolog [Source:MGI Symbol;Acc:MGI:3526711] MAAKSDGAAAVAGPGPEGPAGADRGGAGGRGEAAAGIAGPGPVEAGCPGPRYELRDCCWV LCALLVFFSDGATDLWLAASYYLQGQSTYFGLTLLFVLLPSLVVQLLSFRWFVYDYSEPA GTPGPAVSTKDSDIVGAAISTKDSAVAFRTKEGSAELVPRPAPSSAGTYRRRCCRLCVWL LQTLVHLLQLGQVWRYLRALYLGLQSRWRGERLRRHFYWQMLFESADVSMLRLLETFLRS APQLVLQLSLLVHRGREPELLTALSISASLVSLAWTLASYQKVLRDSRDDKRPLSYKGAV VQVLWHLFTIAARTLAFALFASVYKLYFGIFIVAHWCIMTFWVIQGETDFCMSKWEEIIY NMVVGIIYIFCWFNVKEGRSRRRVTLYYCIVLLENAALTGFWYSSRNFSTDFYSLILVCV VASSFALGIFFMCVYYCLLHPNGPMLGPQAPGCIFPEAPGPCGPPADAITSPPRSLPRTT GTERDGVAVGGERAGTPTLPVFQVRPGLPPTPVARPLRTEGPVIRIDLPRKKYPAWDAHF IDRRLRKTILALEYSSPATPRLQYRSMGTSQELLEYETTV >ENSMUSP00000102636.1 pep:known chromosome:GRCm38:3:98709255:98724543:-1 gene:ENSMUSG00000063730.13 transcript:ENSMUST00000107022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b2 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 2 [Source:MGI Symbol;Acc:MGI:96234] MPGWSCLVTGAGGFLGQRIIQLLVQEEDLEEIRVLDKVFRPETRKEFFNLETSIKVTVLE GDILDTQYLRRACQGISVVIHTAAIIDVTGVIPRQTILDVNLKGTQNLLEACIQASVPAF IFSSSVDVAGPNSYKEIVLNGHEEECHESTWSDPYPYSKKMAEKAVLAANGSMLKNGGTL QTCALRPMCIYGERSPLISNIIIMALKHKGILRSFGKFNTANPVYVGNVAWAHILAARGL RDPKKSPNIQGEFYYISDDTPHQSFDDISYTLSKEWGFCLDSSWSLPVPLLYWLAFLLET VSFLLSPIYRYIPPFNRHLVTLSGSTFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VEQHRETLDTKSQ >ENSMUSP00000102635.1 pep:known chromosome:GRCm38:3:98711107:98724531:-1 gene:ENSMUSG00000063730.13 transcript:ENSMUST00000107021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b2 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 2 [Source:MGI Symbol;Acc:MGI:96234] MPGWSCLVTGAGGFLGQRIIQLLVQEEDLEEIRVLDKVFRPETRKEFFNLETSIKVTVLE GDILDTQYLRRACQGISVVIHTAAIIDVTGVIPRQTILDVNLKGTQNLLEACIQASVPAF IFSSSVDVAGPNSYKEIVLNGHEEECHESTWSDPYPYSKKMAEKAVLAANGSMLKNGGTL QTCALRPMCIYGERSPLISNIIIMALKHKGILRSFGKFNTANPVYVGNVAWAHILAARGL RDPKKSPNIQGEFYYISDDTPHQSFDDISYTLSKEWGFCLDSSWSLPVPLLYWLAFLLET VSFLLSPIYRYIPPFNRHLVTLSGSTFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VEQHRETLDTKSQ >ENSMUSP00000136533.1 pep:known chromosome:GRCm38:3:98711506:98716560:-1 gene:ENSMUSG00000063730.13 transcript:ENSMUST00000177651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b2 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 2 [Source:MGI Symbol;Acc:MGI:96234] MPGWSCLVTGAGGFLGQRIIQLLVQEEDLEEIRVLDKVFRPETRKEFFNLETSIKVTVLE GDILDTQYLRRACQGISVVIHTAAIIDVTGVIPRQTILDVNLKGTQNLLEACIQASVPAF IFSSSVDVAGPNSYKEIVLNGHEEECHESTWSDPYPYSKKMAEKAVLAANGSMLKNGGTL QTCALRPMCIYGERSPLISNIIIMALKHKGILRSFGKFNTANPVYVGNVAWAHILAARGL RDPKKSPNIQGEFYYISDDTPHQSFDDISYTLSKEWGFCLDSSWSLPVPLLYWLAFLLET VSFLLSPIYRYIPPFNRHLVTLSGSTFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VEQHRETLDTKSQ >ENSMUSP00000141704.1 pep:known chromosome:GRCm38:18:37714764:37841873:1 gene:ENSMUSG00000103472.1 transcript:ENSMUST00000192511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga7 description:protocadherin gamma subfamily A, 7 [Source:MGI Symbol;Acc:MGI:1935219] MAPGQRGWGSHYRGFVLLSILLGMRWEAWAGQILYSVSEETDKGSFVGNITKDLGLQPRE LAERGVRIITKGKTQLFSLNPRSGSLVTAGRIDREELCAQSPRCLVSFNILIEDKMNLYP IEVEIMDVNDNAPRFLTEEINIKIMENAAPGVRFPLKEATDSDVGKNSLQRYQLSPNHHF SLVVQSGDDGTKYPELVLEKALDREEEGLHHLVLTAYDGGDPLRSGTASIRVTVVDVNDH TPVFSLPLYQATVPENVPVGTRLLTVNAIDLDEGIYGEVTYSFWKITPELLQIFHLSSLT GELSTLKGLDYEQSSFYELEVQAQDGAGSLTKAKVLITVLDVNDNAPEVTVTSVSSPVPE DTPPGTVIALFYLQDKDSGKNGEVTCSLPENLPFKLERSIDNYYRLVTARNLDREKMSVY NITLKATDGGIPPLSTETRMSIHVSDTNDNPPTFSDSSYSIYVPENNPRGASIFSVTAQD PDSDKNAQVTYALAEDTIQGVPVSSYVSINSDTGILYALGSFDYEQFRDLQLRVTARDSG DPPLSSNVSLKLFVLDKNDNAPEILYPALPTDGSTGVELAPRSAEPGYLVTKVVAVDKDS GPNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQNLVVVVQDHGQPPLSATV TLTVAVANSIPDVLADLGSIRTPSEPDDSDITLYLVVAVATVSCVFLVFVTVLLALRLWR WHKSHMLQASSGGGLEDVPASHFVGLDGVQAFLQTYSHEVSLTADSRKSHIIFPQPNYVD TLISQDSCEKSDSLLTSIDFQECKGEAPSSQQAPPNTDWRFSQAQRPGTSGSQNGDETGT WPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYI PGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000142126.1 pep:known chromosome:GRCm38:18:37714818:37717861:1 gene:ENSMUSG00000103472.1 transcript:ENSMUST00000193476.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga7 description:protocadherin gamma subfamily A, 7 [Source:MGI Symbol;Acc:MGI:1935219] MAPGQRGWGSHYRGFVLLSILLGMRWEAWAGQILYSVSEETDKGSFVGNITKDLGLQPRE LAERGVRIITKGKTQLFSLNPRSGSLVTAGRIDREELCAQSPRCLVSFNILIEDKMNLYP IEVEIMDVNDNAPRFLTEEINIKIMENAAPGVRFPLKEATDSDVGKNSLQRYQLSPNHHF SLVVQSGDDGTKYPELVLEKALDREEEGLHHLVLTAYDGGDPLRSGTASIRVTVVDVNDH TPVFSLPLYQATVPENVPVGTRLLTVNAIDLDEGIYGEVTYSFWKITPELLQIFHLSSLT GELSTLKGLDYEQSSFYELEVQAQDGAGSLTKAKVLITVLDVNDNAPEVTVTSVSSPVPE DTPPGTVIALFYLQDKDSGKNGEVTCSLPENLPFKLERSIDNYYRLVTARNLDREKMSVY NITLKATDGGIPPLSTETRMSIHVSDTNDNPPTFSDSSYSIYVPENNPRGASIFSVTAQD PDSDKNAQVTYALAEDTIQGVPVSSYVSINSDTGILYALGSFDYEQFRDLQLRVTARDSG DPPLSSNVSLKLFVLDKNDNAPEILYPALPTDGSTGVELAPRSAEPGYLVTKVVAVDKDS GPNAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQNLVVVVQDHGQPPLSATV TLTVAVANSIPDVLADLGSIRTPSEPDDSDITLYLVVAVATVSCVFLVFVTVLLALRLWR WHKSHMLQASSGGGLEDVPASHFVGLDGVQAFLQTYSHEVSLTADSRKSHIIFPQPNYVD TLISQDSCEKSDSLLTSIDFQECKGEAPSSQVS >ENSMUSP00000027162.5 pep:known chromosome:GRCm38:1:60977927:60995797:1 gene:ENSMUSG00000026009.14 transcript:ENSMUST00000027162.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icos description:inducible T cell co-stimulator [Source:MGI Symbol;Acc:MGI:1858745] MKPYFCRVFVFCFLIRLLTGEINGSADHRMFSFHNGGVQISCKYPETVQQLKMRLFRERE VLCELTKTKGSGNAVSIKNPMLCLYHLSNNSVSFFLNNPDSSQGSYYFCSLSIFDPPPFQ ERNLSGGYLHIYESQLCCQLKLWLPVGCAAFVVVLLFGCILIIWFSKKKYGSSVHDPNSE YMFMAAVNTNKKSRLAGTAPLTALGREEHTSWLRLELICLFLF >ENSMUSP00000099891.3 pep:known chromosome:GRCm38:1:60977927:61000320:1 gene:ENSMUSG00000026009.14 transcript:ENSMUST00000102827.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icos description:inducible T cell co-stimulator [Source:MGI Symbol;Acc:MGI:1858745] MKPYFCRVFVFCFLIRLLTGEINGSADHRMFSFHNGGVQISCKYPETVQQLKMRLFRERE VLCELTKTKGSGNAVSIKNPMLCLYHLSNNSVSFFLNNPDSSQGSYYFCSLSIFDPPPFQ ERNLSGGYLHIYESQLCCQLKLWLPVGCAAFVVVLLFGCILIIWFSKKKYGSSVHDPNSE YMFMAAVNTNKKSRLAGVTS >ENSMUSP00000039888.1 pep:known chromosome:GRCm38:18:37143503:37187657:1 gene:ENSMUSG00000102697.1 transcript:ENSMUST00000047479.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhac2 description:protocadherin alpha subfamily C, 2 [Source:MGI Symbol;Acc:MGI:1891443] MEQAGARPGATEHPRPLRPLPWLLLLSFRLLLVLLPGPAASQLRYSVPEEQSPGALVGNV ARALGLELRRLGPGCLRINHLGAPSPRYLELDLTNGALFVNERIDREALCEQRPRCLLSL EVLAHNPVAVSAIEVEILDINDNSPRFPRPDYQLQVSESVAPGARFHIESAQDPDVGANS VQTYELSPSEHFELDLKPLQENSKVLELVLRKGLDREQTALHYLVLTAVDGGIPARSGTA QIAVRVLDTNDNSPAFDQSTYRVQLREDAPPGTLVVKLNASDPDEGSNGELRYSLSSYTS DRERQLFSIDVTTGEVRVSGTLDYEESSSYQIYVQATDRGPVPMAGHCKVLVDIIDVNDN APEVVLTDLYSPVPEDVALNTVVALLSVNDQDSGSNRKVSLGLEASLPFRLNGFGNSYTL VVSGPLDRERVAAYNITVTATDGGVPPLTSQRTLQVEISDINDNPPSFLKDSYSIYIEEN NLPGVLLCTVQATDPDQKENAEVTYSLLDREIQGLPVTSYVSINSASGSLYAVNSFDYEK FREFFVTVEAQDKGRPPLSSTVTANVYVVDVNDHAPHILYPTSTNTSAAIEMVPRTAPAG YLVTKVIAMDSDSGQNAWLFYHLVPTSDSDLFKVELHTGEIRITRKIGDESGTTFNLTVV VRDNGEPPLSATVAITVAVVDRVSKMLPDTQRHIKSPRTYSEITLYLIIALSTVSFIFLL TIIVLSIIKCYRYTAYGTACCGGFCGVRERCPAELYKQANNNIDARIPHGLKVQPHFIEV RGNGSLTKTYCYKACLTAGSGSDTFMFYNTGAQTGPGPGGAQASVTDNRQLTGQSRHSTG NLIILKNDAGSQNEPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSS ATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPA NNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000080284.4 pep:known chromosome:GRCm38:7:118380717:118443560:-1 gene:ENSMUSG00000058420.8 transcript:ENSMUST00000081574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt17 description:synaptotagmin XVII [Source:MGI Symbol;Acc:MGI:104966] MLEPLNEGLLSRISDVLLCGWTCQHCCQRCYESSCCQSSEDEVEILGPFPAQTPPWLMAS RSNDKDGDSVHTASDVPLTPRTNSPDGRRSSSDTSKSTYSLTRRISSLDSRRPSSPLIDI KPVEFGVLSAKKESIQPSVLRRTYTPDDYFRKFEPRLYSLDSNLDDVDSLTDEEIMSKYQ LGMLHFSTQYDLLHNHLTVRVIEARDLPPPISHDGSRQDMAHSNPYVKICLLPDQKNSKQ TGVKRKTQKPVFEERYTFEIPFLEAQRRTLLLTVVDFDKFSRHCVIGKVAVPLCEVDLVK GGHWWKALIPSSQNEVELGELLLSLNYLPSAGRLNVDIIRAKQLLQTDVSQGSDPFVKIQ LVHGLKLVKTKKTSFLRGTIDPFYNESFSFKVPQEELENASLVFTVFGHNMKSSNDFIGR IVIGQYSSGPSESNHWRRMLNTHRTAVEQWHSLRSRAECDRVSPASLEVT >ENSMUSP00000147122.1 pep:known chromosome:GRCm38:7:118380718:118442514:-1 gene:ENSMUSG00000058420.8 transcript:ENSMUST00000203465.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt17 description:synaptotagmin XVII [Source:MGI Symbol;Acc:MGI:104966] PPQWPQGLLSRISDVLLCGWTCQHCCQRCYESSCCQSSEDEVEILGPFPAQTPPWLMASR SNDKDGDSVHTASDVPLTPRTNSPDGRRSSSDTSKSTYSLTRRISSLDSRRPSSPLIDIK PVEFGVLSAKKESIQPSVLRRTYTPDDYFRKFEPRLYSLDSNLDDVDSLTDEEIMSKYQL GMLHFSTQYDLLHNHLTVRVIEARDLPPPISHDGSRQDMAHSNPYVKICLLPDQKNSKQT GVKRKTQKPVFEERYTFEIPFLEAQRRTLLLTVVDFDKFSRHCVIGKVAVPLCEVDLVKG GHWWKALIPSSQNEVELGELLLSLNYLPSAGRLNVDIIRAKQLLQTDVSQGSDPFVKIQL VHGLKLVKTKKTSFLRGTIDPFYNESFSFKVPQEELENASLVFTVFGHNMKSSNDFIGRI VIGQYSSGPSESNHWRRMLNTHRTAVEQWHSLRSRAECDRVSPASLEVT >ENSMUSP00000145087.1 pep:known chromosome:GRCm38:7:118380720:118448219:-1 gene:ENSMUSG00000058420.8 transcript:ENSMUST00000203796.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt17 description:synaptotagmin XVII [Source:MGI Symbol;Acc:MGI:104966] MASRSNDKDGDSVHTASDVPLTPRTNSPDGRRSSSDTSKSTYSLTRRISSLDSRRPSSPL IDIKPVEFGVLSAKKESIQPSVLRRTYTPDDYFRKFEPRLYSLDSNLDDVDSLTDEEIMS KYQLGMLHFSTQYDLLHNHLTVRVIEARDLPPPISHDGSRQDMAHSNPYVKICLLPDQKN SKQTGVKRKTQKPVFEERYTFEIPFLEAQRRTLLLTVVDFDKFSRHCVIGKVAVPLCEVD LVKGGHWWKALIPSSQNEVELGELLLSLNYLPSAGRLNVDIIRAKQLLQTDVSQGSDPFV KIQLVHGLKLVKTKKTSFLRGTIDPFYNESFSFKVPQEELENASLVFTVFGHNMKSSNDF IGRIVIGQYSSGPSESNHWRRMLNTHRTAVEQWHSLRSRAECDRVSPASLEVT >ENSMUSP00000144987.1 pep:known chromosome:GRCm38:7:118407715:118448222:-1 gene:ENSMUSG00000058420.8 transcript:ENSMUST00000203485.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt17 description:synaptotagmin XVII [Source:MGI Symbol;Acc:MGI:104966] MAYIQLEPLNEGLLSRISDVLLCGWTCQHCCQRCYESSCCQSSEDEVEILGPFPAQTPPW LMASRSNDKDGDSVHTASDVPLTPRTNSPDGRRSSSDTSKSTYSLTRRISSLDSRRPSSP LIDIKPVEFGVLSAKKESIQPSVLRRTYTPDDYFRKFEPRLYSLDSNLDDVDSLTDEEIM SKYQLGMLHFSTQYDLLHNHLTVRVIEARDLPPPISHDGSRQDMAHSNPYVKICLLPDQK NSKQTGVKRKTQKPVFEERYTFEIPFLEAQRRTLLLTVVDFDKFSRHCVIGKVAVPLCEV DLVKGGHWWKALIPSSQNEVELGELLLSLNYLPSAGRLNVDIIRAKQLLQTDVSQGSDPF VKIQLVHGLKLVKTKKTSFLRGTIDPFYNESFSFKVPQEELENASLVFTGKVTYMLMKP >ENSMUSP00000146460.1 pep:known chromosome:GRCm38:7:118436930:118443289:-1 gene:ENSMUSG00000058420.8 transcript:ENSMUST00000207034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt17 description:synaptotagmin XVII [Source:MGI Symbol;Acc:MGI:104966] MASRSNDKDGDSV >ENSMUSP00000102632.2 pep:known chromosome:GRCm38:3:98741523:98763127:-1 gene:ENSMUSG00000062410.14 transcript:ENSMUST00000107018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b3 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 3 [Source:MGI Symbol;Acc:MGI:96235] MPGWSCLVTGAGGFLGQRIIQLLVQEKDLEEIRVLDKVFKPETREQFFSTQNLLEACIQA SVPAFIFSSSVDVAGPNSYKDIVLNGHEDEHRESTWSDPYPYSKKMAEKAVLAANGSMLK NGGTLQTCALRPMCIYGERSQFLSNTIIKALKNKFILRGGGKFSTANPVYVGNVAWAHIL AARGLRNPKKSPNIQGEFYYISDDTPHQSYDDLNYTLSKEWGFCLNSRWYLPVPILYWLA FLLETVSFLLSPIYRYIPPFNRHLVTLTASTFTFSYKKAQRDLGYEPLVSWEEAKQKTSE WIGTLVEQHRETLDTKSQ >ENSMUSP00000102633.1 pep:known chromosome:GRCm38:3:98741524:98763053:-1 gene:ENSMUSG00000062410.14 transcript:ENSMUST00000107019.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b3 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 3 [Source:MGI Symbol;Acc:MGI:96235] MPGWSCLVTGAGGFLGQRIIQLLVQEKDLEEIRVLDKVFKPETREQFFNLGTSIKVTVLE GDILDTQYLRRACQGISVVIHTAAIIDVTGVIPRQTILDVNLKGTQNLLEACIQASVPAF IFSSSVDVAGPNSYKDIVLNGHEDEHRESTWSDPYPYSKKMAEKAVLAANGSMLKNGGTL QTCALRPMCIYGERSQFLSNTIIKALKNKFILRGGGKFSTANPVYVGNVAWAHILAARGL RNPKKSPNIQGEFYYISDDTPHQSYDDLNYTLSKEWGFCLNSRWYLPVPILYWLAFLLET VSFLLSPIYRYIPPFNRHLVTLTASTFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VEQHRETLDTKSQ >ENSMUSP00000121360.1 pep:known chromosome:GRCm38:3:98742443:98762404:-1 gene:ENSMUSG00000062410.14 transcript:ENSMUST00000146196.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b3 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 3 [Source:MGI Symbol;Acc:MGI:96235] MPGWSCLVTGAGGFLGQRIIQLLVQEKDLEEIRVLDKVFKPETREQFFNLGTSIKVTVLE GDILDTQYLRRACQGISVVIHTAAIIDVTGVIPRQTILDVNLKGTQNLLEACIQASVPAF IFSSSVDVAGPNSYKDIVLNGHEDEHRESTWSDPYPYSKKMAEKAVLAANGSMLKNGGTL QTCALRP >ENSMUSP00000091592.4 pep:known chromosome:GRCm38:3:98741524:98753465:-1 gene:ENSMUSG00000062410.14 transcript:ENSMUST00000094050.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b3 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 3 [Source:MGI Symbol;Acc:MGI:96235] MPGWSCLVTGAGGFLGQRIIQLLVQEKDLEEIRVLDKVFKPETREQFFSTQNLLEACIQA SVPAFIFSSSVDVAGPNSYKDIVLNGHEDEHRESTWSDPYPYSKKMAEKAVLAANGSMLK NGGTLQTCALRPMCIYGERSQFLSNTIIKALKNKFILRGGGKFSTANPVYVGNVAWAHIL AARGLRNPKKSPNIQGEFYYISDDTPHQSYDDLNYTLSKEWGFCLNSRWYLPVPILYWLA FLLETVSFLLSPIYRYIPPFNRHLVTLTASTFTFSYKKAQRDLGYEPLVSWEEAKQKTSE WIGTLVEQHRETLDTKSQ >ENSMUSP00000088246.6 pep:known chromosome:GRCm38:3:98741524:98753465:-1 gene:ENSMUSG00000062410.14 transcript:ENSMUST00000090743.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b3 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 3 [Source:MGI Symbol;Acc:MGI:96235] MPGWSCLVTGAGGFLGQRIIQLLVQEKDLEEIRVLDKVFKPETREQFFNLGTSIKVTVLE GDILDTQYLRRACQGISVVIHTAAIIDVTGVIPRQTILDVNLKGTQNLLEACIQASVPAF IFSSSVDVAGPNSYKDIVLNGHEDEHRESTWSDPYPYSKKMAEKAVLAANGSMLKNGGTL QTCALRPMCIYGERSQFLSNTIIKALKNKFILRGGGKFSTANPVYVGNVAWAHILAARGL RNPKKSPNIQGEFYYISDDTPHQSYDDLNYTLSKEWGFCLNSRWYLPVPILYWLAFLLET VSFLLSPIYRYIPPFNRHLVTLTASTFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VEQHRETLDTKSQ >ENSMUSP00000052113.2 pep:known chromosome:GRCm38:18:37489465:37494505:1 gene:ENSMUSG00000048347.5 transcript:ENSMUST00000055949.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb18 description:protocadherin beta 18 [Source:MGI Symbol;Acc:MGI:2136756] MAARGSCVSRQRQVLFLFLLGGLCLAGSELGRYSVTEETERGSFVANLAKDLGLGVEALA AKRTRVVCDDNKQHLFLDSHTGDLLTNEKLDREKLCGPTEPCMLYFQILMDNPFQIYRAE LRILDINDHSPIFQDKKMILKILENTAVGTTFRLERAQDSDGGRNGIQNYTISPNTFFHI TVHNSDEGMIYPELVLDKALDWEGQPEFSLTLTALDGGSPPRSGTATIHILVLDINDNAP QFPQELYEIQAPENSPIGLVVIKVTGEDVDSGVNAEISYSFFDASEDIRATFQINPFSGE ITLKALLDYEFIKSYKLNVQAVDGGGLSARCTVLVRVLDVNDNAPELIMSSLVNEVVENS PETVLAVFRINDRDSGENGKMVCHIQENLPFLLKPSVDNFYILMTEGALDRESRAEYNIT ITVSDLGTPRLTTQHTIRVQVSDINDNAPAFNQTSYTLFVRENNSPAMHIGTISATDSDA GSNAHITYSLLPTQDLQMTLTSLVSINADNGQLFAIKALDYEALQAFEFHVGATDRGSPE LSSQALVRVVVLDDNDNAPFVLYPMQNASAPYTELLPRTAEPGYLVTKVVAVDRDSGQNA WLSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDVPKHRLLLLVKDNGDPPRSASVTLQV LLVDGFSQPYLPLPEVAHNPTQGEEDVLTLYLVIALASVSSLFLLSVLLFVGVRLCRRAR AASLGGCSVPEGHFPGHLVDVSGTGTLSQNYQYEVCLMGGSSGTSDFKFLKSIYPEVHAY SSQDNSDGNPTF >ENSMUSP00000041442.4 pep:known chromosome:GRCm38:3:98618634:98630252:-1 gene:ENSMUSG00000038092.6 transcript:ENSMUST00000044094.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd3b5 description:hydroxy-delta-5-steroid dehydrogenase, 3 beta- and steroid delta-isomerase 5 [Source:MGI Symbol;Acc:MGI:104645] MPGWSCLVTGAGGFLGQRIVRMLVQEEELQEIRALFRTFGRKHEEELSKLQTKAKVRVLK GDILDAQCLKRACQGMSAVIHTAAAIDPLGAASRQTILDVNLKGTQLLLDACVEASVPTF IYSSSVLVAGPNSYKEIILNAHEEEHRESTWPNPYPYSKRMAEKAVLATNGRLLKNGGTL HTCALRLPFIYGEECQVTSTTVKTALKNNSIIKKNATFSIANPVYVGNAAWAHILAARSL QDPKKSPSIQGQFYYISDNTPHQSYDDLNYTLSKEWGLCLDSGWSLPLSLLYWLAFLLET VSFLLRPVYNYRPPFNRLLITVLNSVFTISYKKAQRDLGYQPLVSWEEAKQKTSEWIGTL VKQHRETLHKKSQ >ENSMUSP00000056148.4 pep:known chromosome:GRCm38:18:37421418:37425836:1 gene:ENSMUSG00000051486.5 transcript:ENSMUST00000053073.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb11 description:protocadherin beta 11 [Source:MGI Symbol;Acc:MGI:2136746] METALAKMPEQRQVFFLTILLLLWKSGSGATRYSMPEEKESGYLVANVAKDLGLRVGELA TRGAQIHYKGNKELLQMDAETGNLFLKEKLDREALCGATEPCVLHFQVILENPVQFFQTE LQLTDINDHSPEFPDREMLLKIPENAQPGTVFPLKAAQDSDIGSNAVQNYTVSPNIHFHV ITHSRSDGRKYPELVLDRALDREEQPELTLILTALDGGAPPRSGTTIVHIEVVDINDNAP QFIQSLYEVQILENSPIDTLVVTVSARDLDSGIYGNVAYSLFQGDGLSQPFVIDEVTGEI RLSKELDFEGISHYNIEIAATDGGDLLGKCTVVIQVLDVNDNAPELMIRKLTVPVPENSA ETVVAVFSVSDSDSGDNGRIVCSIQNNIPFLLKPTFENYYTLVTEGPLDRESRAEYNITI TVWDLGTPRLTTQHTITVQVSDINDNAPAFTQTSYTLFVQENNSPALQIGTISATDSDSG SNAHITYSLLLPQDPQLALASLISINPDNGQLFVLRALDYESLQAFEFRVGATDQGSPAL SSQTLVRVVVLDDNDNAPFVLYPMQNASAPCTELLPREAESGYLVTKVVAVDRDSGQNAW LSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDVPKHRLLLLVKDNGEPQLSASVTLQVL LVNSFSQPYLSLPEVAQDPIQEDGEMLTLYLVIALASVSSLFLLSVLMFVGMRLCRRARE ASLGVCSVPEGHFPGHLMDVSGTGTLSHSYQYDVCLSGDSRTGEFKFLKPMIPNVMVQEA GREVKENPPCRDSFVFS >ENSMUSP00000058362.7 pep:known chromosome:GRCm38:18:37755731:37841873:1 gene:ENSMUSG00000102742.1 transcript:ENSMUST00000061279.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga11 description:protocadherin gamma subfamily A, 11 [Source:MGI Symbol;Acc:MGI:1935228] MANQSQRLDRRALIPLCIFLGTLLTFGTGQIRYSVPEETDKGFFVGNISKDLGLEPWEVA ERGVRIISRGQSQLFSLNPRGGSLVTAGRIDREELCDTMPSCMLNLEILVEETLKIYGVE VEVLDINDNAPSFREEEVEIKVSEHTSPGSRFPLPSARDPDAGMNSLQSYQLSPSSYFSL QVRGGTDGDKNPELVLEEGLDREKEASHHLLLTALDGGDPVRKGTVPIRVVVLDVNDHIP KFTQPVYRVSIPENLSSGTRVLVVNASDPDEGINGEVIYSFRKMKSKASEIFQLNSQTGE VLVGKPLDFEKYRFYEMEIQGQDGGGLLSTTTLLITVEDVNDNAPEITVTSASNSVPENS PSGTVIALLNVQDQDSGENGQVSCFIPSGLPFKLEKTYGNYYKLITNSELDREQVESYNI TLIAKDQGSPPLSTETHLLLKVADANDNPPVFSSSSYLAYIPENNPRGSSIFSVTAIDRD SRENAQVTYSLAEYTIQGTPLSSYVSINSDTGVLYALQSFDYEQFQTLQLGVTASDNGDP PLSSNISLTLFVLDQNDNTPEILYPSLPTDGSTGVELAPRSAEPGYLVTKVVAVDRDSGQ NAWLSYRLLKASEPGLFSVGLHTGEVRTARAMMDKDALKQSLVVTVQDHGQPPLSATVKL TVAVADSIPEVLEDLVSLESPANPDESGLTLYLVVAITAVSCIFLIFVMVLLALKLRRWH SLRLLQAASGLAGVPPSHFVGVDGMRAFLQTYSHEVSLTADSRKSHLIFPQPNYADTLIS QESCGKSEPLLITEDSTTVLGKCEPTDIQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWP NNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPG SNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000127882.1 pep:known chromosome:GRCm38:3:98445675:98457126:-1 gene:ENSMUSG00000090817.7 transcript:ENSMUST00000167753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4450 description:predicted gene 4450 [Source:MGI Symbol;Acc:MGI:3782634] MPGWSCLVTGAGGFLGQRIVRMLVQEEELQEIRALFRTFGRKQEEELSKLQTKAKVTALK GDILDAQCLKRACQGMSAVIHTAAAIDPLGAASRQTILDVNLKGTQLLLDACVEANVPTF IYSSSVLVAGPNSYKEIILNAHEEEHHESTWSNPYPYSKKMAEKAVLAANGSILKNGGTL HTCALRLSFIYGEECQVTSTTVKTALKNNSIIKKDATFSIANPVYVGNAAWAHILAARSL QDPKKSPSIQGQFYYITDDTPHQSYDDLKCTLSKEWGLRLDTSWSLPLPLLYWLAFLLET VSFLLRPVYNYRPPFNRLLITVLNSVFTFSYKKAQRDLGYEPLVSWEEAKQKTSEWIGTL VMQHREIGNKKSQ >ENSMUSP00000139967.2 pep:known chromosome:GRCm38:3:98446608:98457043:-1 gene:ENSMUSG00000090817.7 transcript:ENSMUST00000188356.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4450 description:predicted gene 4450 [Source:MGI Symbol;Acc:MGI:3782634] MPGWSCLVTGAGGFLGQRIVRMLVQEEELQEIRALFRTFGRKQEEELSKLQTKAKVTALK GDILDAQCLKRACQGMSAVIHTAAAIDPLGAASRQTILDVNLKGTQLLLDACVEANVPTF IYSSSVLVAGPNSYKEIILNAHEEEHHESTWSNPYPYSKKMAEKAVLAANGSILKNGGTL HTCALRLSFIY >ENSMUSP00000056979.8 pep:known chromosome:GRCm38:3:98382481:98431951:1 gene:ENSMUSG00000050064.14 transcript:ENSMUST00000056096.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp697 description:zinc finger protein 697 [Source:MGI Symbol;Acc:MGI:2139736] MEQEDNQGVCEYQTSEDRGMDSDLENSEDREGDPEERGMGSNPWDTEDRGHLEQEVDSNP QDDDLRGDSRERDIASTVCSEGRLSEEERAILREEEDDQPGVADMALFPGLSESDSISRS PRGEEDEEEEDEEEESAGENRLIEEEDPLPTPVLPWRRHLSLGGRHRGDKPAHRRFHRLH HPMAMDLGELDSLMASIMDAPTICPDCGESFSPGAAFLQHQRIHRLAEAAAVASLEPFGL AGECGGVVGMMGMGMGVGMGVAGGFGAGPTLARPPREKPFRCGECGKGFSRNTYLTNHLR LHTGERPNLCADCGKSFSWRADLLKHRRLHTGEKPYPCPECGEAFSLSSHLLSHRRAHAA AGGGAGSAGSAAALRPFACGECGKGFVRRSHLANHQRIHTGEKPHGCGECGKRFSWRSDL VKHQRVHTGEKPYMCSECGETFSVSSHLFTHKRTHSGERPYVCRECGKGFGRNSHLVNHL RVHTGEKPFGCGQCEKRFSDFSTLTQHQRTHTGEKPYTCLECGKSFIQSSHLIRHRRIHT GNKPHKCAGCGKGFRYKTHLAQHQKLHLC >ENSMUSP00000136417.1 pep:known chromosome:GRCm38:3:98382548:98431707:1 gene:ENSMUSG00000050064.14 transcript:ENSMUST00000178372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp697 description:zinc finger protein 697 [Source:MGI Symbol;Acc:MGI:2139736] MEQEDNQGVCEYQTSEDRGMDSDLENSEDREGDPEERGMGSNPWDTEDRGHLEQEVDSNP QDDDLRGDSRERDIASTVCSEGRLSEEERAILREEEDDQPGVADMALFPGLSESDSISRS PRGEEDEEEEDEEEESAGENRLIEEEDPLPTPVLPWRRHLSLGGRHRGDKPAHRRFHRLH HPMAMDLGELDSLMASIMDAPTICPDCGESFSPGAAFLQHQRIHRLAEAAAVASLEPFGL AGECGGVVGMMGMGMGVGMGVAGGFGAGPTLARPPREKPFRCGECGKGFSRNTYLTNHLR LHTGERPNLCADCGKSFSWRADLLKHRRLHTGEKPYPCPECGEAFSLSSHLLSHRRAHAA AGGGAGSAGSAAALRPFACGECGKGFVRRSHLANHQRIHTGEKPHGCGECGKRFSWRSDL VKHQRVHTGEKPYMCSECGETFSVSSHLFTHKRTHSGERPYVCRECGKGFGRNSHLVNHL RVHTGEKPFGCGQCEKRFSDFSTLTQHQRTHTGEKPYTCLECGKSFIQSSHLIRHRRIHT GNKPHKCAGCGKGFRYKTHLAQHQKLHLC >ENSMUSP00000118543.1 pep:known chromosome:GRCm38:11:60105177:60185431:1 gene:ENSMUSG00000062115.15 transcript:ENSMUST00000132012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rai1 description:retinoic acid induced 1 [Source:MGI Symbol;Acc:MGI:103291] MQSFRERCGFHGKQQNYPQTSQETSRLENYRQPGQAGLSCDRQRLLAKDYYSPQPYTGYE GGTGTPSGTVATAAADKYHRGSKSLQGRPAFPSYVQDSSPYPGRYSG >ENSMUSP00000088315.4 pep:known chromosome:GRCm38:11:60140084:60192025:1 gene:ENSMUSG00000062115.15 transcript:ENSMUST00000090806.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rai1 description:retinoic acid induced 1 [Source:MGI Symbol;Acc:MGI:103291] MQSFRERCGFHGKQQNYPQTSQETSRLENYRQPGQAGLSCDRQRLLAKDYYSPQPYTGYE GGTGTPSGTVATAAADKYHRGSKSLQGRPAFPSYVQDSSPYPGRYSGEEGLQTWGGPQPP PPQPQPLPGAVSKYEENLMKKTVVPPPNRQYPEQGPQLPFRTHSLHVPPPQPQQPLAYPK LQRQKPQNDLASPLPFPQGSHFPQHSQSFPTSSTYAPTVQGGGQGAHSYKSCTAPSAQPH DRPMSANANLAPGQRVQNLHAYQPGRLGYEQQQQALQGRHHTQETLHYQNLAKYQHYGQQ GQGYCPPDTAVRTPEQYYQTFSPSSSHSPARSVGRSPSYSSTPSPLMPNLENFPYSQQPL STGAFPTGITDHSHFMPLLNPSPTDAASSVDPQAGNCKPLQKEKLPDNLLSDLSLQSLTA LTSQVENISNTVQQLLLSKATMPQKKGVKNLVSRTPEQHKSQHCSPEGSGYSAEPAGTPL SEPPSSTPQSTHAEPQDTDYLSGSEDPLERSFLYCSQARGSPARVNSNSKAKPESVSTCS VTSPDDMSTKSDDSFQSLHSTLPLDSFSKFVAGERDCPRLLLSALAQEDLASEILGLQEA IVEKADKAWAEASSLPKDNGKPPFSLENHGACLDTVAKTSWSQPGEPETLPEPLQLDKGG STKDFSPGLFEDPSVAFATTDPKKTSSPLSFGTKPLLGTATPDPTTAAFDCFPDTPTASS VDGANPFAWPEENLGDACPRWGLHPGELTKGLEQGAKASDGVGKADAHEASACMGFQEDH AIGKPAAALSGDFKQQEAEGVKEEVGGLLQCPEVAKANQWLEESRHCCSSTDFGDLPLLP PPGRKEDLEAEEEYSSLCELLGSPEQRPSLQDPLSPKAPLMCTKEEAEEALDTKAGWVSP CHLSGEPAVLLGPSVGAQSKVQSWFESSLSHMKPGEEGPEMERAPGSSGTSQGSLAPKPN KPAVPEGPIAKKEPVPRGKSLRSRRVHRGLPEAEDSPCRVPALPKDLLLPESCTGPPQGQ AEGAGAPGRGLSEGLPRMCTRSLTALSEPQTPGPPGLTTTPTPPDKLGGKQRAAFKSGKR VGKPSPKAASSPSNPAALPVASDSSPMGSKTKEPDSPSMPGKDQRSMVLRSRTKPQQVFH AKRRRPSESRIPDCRATKKLPANNHLPTAYKVSSGPQKEGRMNQRVKVPKPGTGNKLSDR PLHTLKRKSAFMAPVPAKKRSLILRSNNGSGGDGREERAESSPGLLRRMASPQRARPRGS GEPPPPPPLEPPAACMGLSTQSSLPSAVRTKVLPPRKGRGLKLEAIVQKITSPGLKKLAC RVAGAPPGTPRSPALPERRPGGSPAGAEEGLGGMGQMLPAASGADPLCRNPASRSLKGKL LNSKKLSSAADCPKAEAFMSPETLPSLGTARAPKKRSRKGRTGTLGPSKGPLEKRPCPGQ PLLLAPHDRASSTQGGGEDNSSGGGKKPKTEELGPASQPPEGRPCQPQTRAQKQPGQASY SSYSKRKRLSRGRGKTAHASPCKGRATRRRQQQVLPLDPAEPEIRLKYISSCKRLRADSR TPAFSPFVRVEKRDAYTTICTVVNSPGDEPKPHWKPSSSAASSSTSSSSLEPAGASLTTF PGGSVLQQRPSLPLSSTMHLGPVVSKALSTSCLVCCLCQNPANFKDLGDLCGPYYPEHCL PKKKPKLKEKARLEGTLEEASLPLERTLKGLECSASTTAAAPTTATITTPTALGRLSRPD GPADPAKQGPLRTSARGLSRRLQSCYCCDGQGDGGEEVAQADKSRKHECSKEAPTEPGGD TQEHWVHEACAVWTSGVYLVAGKLFGLQEAMKVAVDMVRG >ENSMUSP00000099749.1 pep:known chromosome:GRCm38:11:60175879:60199197:1 gene:ENSMUSG00000062115.15 transcript:ENSMUST00000102688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rai1 description:retinoic acid induced 1 [Source:MGI Symbol;Acc:MGI:103291] MQSFRERCGFHGKQQNYPQTSQETSRLENYRQPGQAGLSCDRQRLLAKDYYSPQPYTGYE GGTGTPSGTVATAAADKYHRGSKSLQGRPAFPSYVQDSSPYPGRYSGEEGLQTWGGPQPP PPQPQPLPGAVSKYEENLMKKTVVPPPNRQYPEQGPQLPFRTHSLHVPPPQPQQPLAYPK LQRQKPQNDLASPLPFPQGSHFPQHSQSFPTSSTYAPTVQGGGQGAHSYKSCTAPSAQPH DRPMSANANLAPGQRVQNLHAYQPGRLGYEQQQQALQGRHHTQETLHYQNLAKYQHYGQQ GQGYCPPDTAVRTPEQYYQTFSPSSSHSPARSVGRSPSYSSTPSPLMPNLENFPYSQQPL STGAFPTGITDHSHFMPLLNPSPTDAASSVDPQAGNCKPLQKEKLPDNLLSDLSLQSLTA LTSQVENISNTVQQLLLSKATMPQKKGVKNLVSRTPEQHKSQHCSPEGSGYSAEPAGTPL SEPPSSTPQSTHAEPQDTDYLSGSEDPLERSFLYCSQARGSPARVNSNSKAKPESVSTCS VTSPDDMSTKSDDSFQSLHSTLPLDSFSKFVAGERDCPRLLLSALAQEDLASEILGLQEA IVEKADKAWAEASSLPKDNGKPPFSLENHGACLDTVAKTSWSQPGEPETLPEPLQLDKGG STKDFSPGLFEDPSVAFATTDPKKTSSPLSFGTKPLLGTATPDPTTAAFDCFPDTPTASS VDGANPFAWPEENLGDACPRWGLHPGELTKGLEQGAKASDGVGKADAHEASACMGFQEDH AIGKPAAALSGDFKQQEAEGVKEEVGGLLQCPEVAKANQWLEESRHCCSSTDFGDLPLLP PPGRKEDLEAEEEYSSLCELLGSPEQRPSLQDPLSPKAPLMCTKEEAEEALDTKAGWVSP CHLSGEPAVLLGPSVGAQSKVQSWFESSLSHMKPGEEGPEMERAPGSSGTSQGSLAPKPN KPAVPEGPIAKKEPVPRGKSLRSRRVHRGLPEAEDSPCRVPALPKDLLLPESCTGPPQGQ AEGAGAPGRGLSEGLPRMCTRSLTALSEPQTPGPPGLTTTPTPPDKLGGKQRAAFKSGKR VGKPSPKAASSPSNPAALPVASDSSPMGSKTKEPDSPSMPGKDQRSMVLRSRTKPQQVFH AKRRRPSESRIPDCRATKKLPANNHLPTAYKVSSGPQKEGRMNQRVKVPKPGTGNKLSDR PLHTLKRKSAFMAPVPAKKRSLILRSNNGSGGDGREERAESSPGLLRRMASPQRARPRGS GEPPPPPPLEPPAACMGLSTQSSLPSAVRTKVLPPRKGRGLKLEAIVQKITSPGLKKLAC RVAGAPPGTPRSPALPERRPGGSPAGAEEGLGGMGQMLPAASGADPLCRNPASRSLKGKL LNSKKLSSAADCPKAEAFMSPETLPSLGTARAPKKRSRKGRTGTLGPSKGPLEKRPCPGQ PLLLAPHDRASSTQGGGEDNSSGGGKKPKTEELGPASQPPEGRPCQPQTRAQKQPGQASY SSYSKRKRLSRGRGKTAHASPCKGRATRRRQQQVLPLDPAEPEIRLKYISSCKRLRADSR TPAFSPFVRVEKRDAYTTICTVVNSPGDEPKPHWKPSSSAASSSTSSSSLEPAGASLTTF PGGSVLQQRPSLPLSSTMHLGPVVSKALSTSCLVCCLCQNPANFKDLGDLCGPYYPEHCL PKKKPKLKEKARLEGTLEEASLPLERTLKGLECSASTTAAAPTTATITTPTALGRLSRPD GPADPAKQGPLRTSARGLSRRLQSCYCCDGQGDGGEEVAQADKSRKHECSKEAPTEPGGD TQEHWVHEACAVWTSGVYLVAGKLFGLQEAMKVAVDMPCTSCHEPGATISCSYKGCIHTY HYPCANDTGCTFIEENFTLKCPKHKRLPL >ENSMUSP00000070896.6 pep:known chromosome:GRCm38:11:60105013:60199195:1 gene:ENSMUSG00000062115.15 transcript:ENSMUST00000064190.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rai1 description:retinoic acid induced 1 [Source:MGI Symbol;Acc:MGI:103291] MQSFRERCGFHGKQQNYPQTSQETSRLENYRQPGQAGLSCDRQRLLAKDYYSPQPYTGYE GGTGTPSGTVATAAADKYHRGSKSLQGRPAFPSYVQDSSPYPGRYSGEEGLQTWGGPQPP PPQPQPLPGAVSKYEENLMKKTVVPPPNRQYPEQGPQLPFRTHSLHVPPPQPQQPLAYPK LQRQKPQNDLASPLPFPQGSHFPQHSQSFPTSSTYAPTVQGGGQGAHSYKSCTAPSAQPH DRPMSANANLAPGQRVQNLHAYQPGRLGYEQQQQALQGRHHTQETLHYQNLAKYQHYGQQ GQGYCPPDTAVRTPEQYYQTFSPSSSHSPARSVGRSPSYSSTPSPLMPNLENFPYSQQPL STGAFPTGITDHSHFMPLLNPSPTDAASSVDPQAGNCKPLQKEKLPDNLLSDLSLQSLTA LTSQVENISNTVQQLLLSKATMPQKKGVKNLVSRTPEQHKSQHCSPEGSGYSAEPAGTPL SEPPSSTPQSTHAEPQDTDYLSGSEDPLERSFLYCSQARGSPARVNSNSKAKPESVSTCS VTSPDDMSTKSDDSFQSLHSTLPLDSFSKFVAGERDCPRLLLSALAQEDLASEILGLQEA IVEKADKAWAEASSLPKDNGKPPFSLENHGACLDTVAKTSWSQPGEPETLPEPLQLDKGG STKDFSPGLFEDPSVAFATTDPKKTSSPLSFGTKPLLGTATPDPTTAAFDCFPDTPTASS VDGANPFAWPEENLGDACPRWGLHPGELTKGLEQGAKASDGVGKADAHEASACMGFQEDH AIGKPAAALSGDFKQQEAEGVKEEVGGLLQCPEVAKANQWLEESRHCCSSTDFGDLPLLP PPGRKEDLEAEEEYSSLCELLGSPEQRPSLQDPLSPKAPLMCTKEEAEEALDTKAGWVSP CHLSGEPAVLLGPSVGAQSKVQSWFESSLSHMKPGEEGPEMERAPGSSGTSQGSLAPKPN KPAVPEGPIAKKEPVPRGKSLRSRRVHRGLPEAEDSPCRVPALPKDLLLPESCTGPPQGQ AEGAGAPGRGLSEGLPRMCTRSLTALSEPQTPGPPGLTTTPTPPDKLGGKQRAAFKSGKR VGKPSPKAASSPSNPAALPVASDSSPMGSKTKEPDSPSMPGKDQRSMVLRSRTKPQQVFH AKRRRPSESRIPDCRATKKLPANNHLPTAYKVSSGPQKEGRMNQRVKVPKPGTGNKLSDR PLHTLKRKSAFMAPVPAKKRSLILRSNNGSGGDGREERAESSPGLLRRMASPQRARPRGS GEPPPPPPLEPPAACMGLSTQSSLPSAVRTKVLPPRKGRGLKLEAIVQKITSPGLKKLAC RVAGAPPGTPRSPALPERRPGGSPAGAEEGLGGMGQMLPAASGADPLCRNPASRSLKGKL LNSKKLSSAADCPKAEAFMSPETLPSLGTARAPKKRSRKGRTGTLGPSKGPLEKRPCPGQ PLLLAPHDRASSTQGGGEDNSSGGGKKPKTEELGPASQPPEGRPCQPQTRAQKQPGQASY SSYSKRKRLSRGRGKTAHASPCKGRATRRRQQQVLPLDPAEPEIRLKYISSCKRLRADSR TPAFSPFVRVEKRDAYTTICTVVNSPGDEPKPHWKPSSSAASSSTSSSSLEPAGASLTTF PGGSVLQQRPSLPLSSTMHLGPVVSKALSTSCLVCCLCQNPANFKDLGDLCGPYYPEHCL PKKKPKLKEKARLEGTLEEASLPLERTLKGLECSASTTAAAPTTATITTPTALGRLSRPD GPADPAKQGPLRTSARGLSRRLQSCYCCDGQGDGGEEVAQADKSRKHECSKEAPTEPGGD TQEHWVHEACAVWTSGVYLVAGKLFGLQEAMKVAVDMPCTSCHEPGATISCSYKGCIHTY HYPCANDTGCTFIEENFTLKCPKHKRLPL >ENSMUSP00000126183.1 pep:known chromosome:GRCm38:11:60140082:60199195:1 gene:ENSMUSG00000062115.15 transcript:ENSMUST00000171108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rai1 description:retinoic acid induced 1 [Source:MGI Symbol;Acc:MGI:103291] MQSFRERCGFHGKQQNYPQTSQETSRLENYRQPGQAGLSCDRQRLLAKDYYSPQPYTGYE GGTGTPSGTVATAAADKYHRGSKSLQGRPAFPSYVQDSSPYPGRYSGEEGLQTWGGPQPP PPQPQPLPGAVSKYEENLMKKTVVPPPNRQYPEQGPQLPFRTHSLHVPPPQPQQPLAYPK LQRQKPQNDLASPLPFPQGSHFPQHSQSFPTSSTYAPTVQGGGQGAHSYKSCTAPSAQPH DRPMSANANLAPGQRVQNLHAYQPGRLGYEQQQQALQGRHHTQETLHYQNLAKYQHYGQQ GQGYCPPDTAVRTPEQYYQTFSPSSSHSPARSVGRSPSYSSTPSPLMPNLENFPYSQQPL STGAFPTGITDHSHFMPLLNPSPTDAASSVDPQAGNCKPLQKEKLPDNLLSDLSLQSLTA LTSQVENISNTVQQLLLSKATMPQKKGVKNLVSRTPEQHKSQHCSPEGSGYSAEPAGTPL SEPPSSTPQSTHAEPQDTDYLSGSEDPLERSFLYCSQARGSPARVNSNSKAKPESVSTCS VTSPDDMSTKSDDSFQSLHSTLPLDSFSKFVAGERDCPRLLLSALAQEDLASEILGLQEA IVEKADKAWAEASSLPKDNGKPPFSLENHGACLDTVAKTSWSQPGEPETLPEPLQLDKGG STKDFSPGLFEDPSVAFATTDPKKTSSPLSFGTKPLLGTATPDPTTAAFDCFPDTPTASS VDGANPFAWPEENLGDACPRWGLHPGELTKGLEQGAKASDGVGKADAHEASACMGFQEDH AIGKPAAALSGDFKQQEAEGVKEEVGGLLQCPEVAKANQWLEESRHCCSSTDFGDLPLLP PPGRKEDLEAEEEYSSLCELLGSPEQRPSLQDPLSPKAPLMCTKEEAEEALDTKAGWVSP CHLSGEPAVLLGPSVGAQSKVQSWFESSLSHMKPGEEGPEMERAPGSSGTSQGSLAPKPN KPAVPEGPIAKKEPVPRGKSLRSRRVHRGLPEAEDSPCRVPALPKDLLLPESCTGPPQGQ AEGAGAPGRGLSEGLPRMCTRSLTALSEPQTPGPPGLTTTPTPPDKLGGKQRAAFKSGKR VGKPSPKAASSPSNPAALPVASDSSPMGSKTKEPDSPSMPGKDQRSMVLRSRTKPQQVFH AKRRRPSESRIPDCRATKKLPANNHLPTAYKVSSGPQKEGRMNQRVKVPKPGTGNKLSDR PLHTLKRKSAFMAPVPAKKRSLILRSNNGSGGDGREERAESSPGLLRRMASPQRARPRGS GEPPPPPPLEPPAACMGLSTQSSLPSAVRTKVLPPRKGRGLKLEAIVQKITSPGLKKLAC RVAGAPPGTPRSPALPERRPGGSPAGAEEGLGGMGQMLPAASGADPLCRNPASRSLKGKL LNSKKLSSAADCPKAEAFMSPETLPSLGTARAPKKRSRKGRTGTLGPSKGPLEKRPCPGQ PLLLAPHDRASSTQGGGEDNSSGGGKKPKTEELGPASQPPEGRPCQPQTRAQKQPGQASY SSYSKRKRLSRGRGKTAHASPCKGRATRRRQQQVLPLDPAEPEIRLKYISSCKRLRADSR TPAFSPFVRVEKRDAYTTICTVVNSPGDEPKPHWKPSSSAASSSTSSSSLEPAGASLTTF PGGSVLQQRPSLPLSSTMHLGPVVSKALSTSCLVCCLCQNPANFKDLGDLCGPYYPEHCL PKKKPKLKEKARLEGTLEEASLPLERTLKGLECSASTTAAAPTTATITTPTALGRLSRPD GPADPAKQGPLRTSARGLSRRLQSCYCCDGQGDGGEEVAQADKSRKHECSKEAPTEPGGD TQEHWVHEACAVWTSGVYLVAGKLFGLQEAMKVAVDMPCTSCHEPGATISCSYKGCIHTY HYPCANDTGCTFIEENFTLKCPKHKRLPL >ENSMUSP00000015333.5 pep:known chromosome:GRCm38:6:4600839:4643355:1 gene:ENSMUSG00000015189.12 transcript:ENSMUST00000015333.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casd1 description:CAS1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2384865] MAALAYNLGKREINHYFSVRSAKVLALVAVLLLAACHLASRRYRGNDSCEYLLSSGRFLG EKVWQPHSCMMHKYKISEAKTCLVDKHIAFIGDSRIRQLFYSFVKIINPQFKEEGNKHEN IPFEDKAASVKVDFLWHPEVNGSMKQCIKVWTEDSVLKPHVIVAGAATWSIKIHNGSEEA LAQYKMNITSIAPLLEKLAKTSDVYWVLQDPVYEDLLSENRKMITNEKIDAYNEAAVSIL NSSTRTSKSNVKMFSVSKLIAQETIMESLDGLHLPESSRETSAMILMNVYCNKVVKPVDG SCCQPRPPLTLIQKLAACFFTLSIIGYFIFYVIHRNAHRKNKPCTDLESGEEKKNIINTP VSSLEILLQSFCKLGLIMAYFYMCDRANLFMKENKFYTHSSFFIPIIYILVLGVFYNENT KETKVLNREQTDEWKGWMQLVILIYHISGASTFLPVYMHIRVLVAAYLFQTGYGHFSYFW IKGDFGIHRVCQVLFRLNFLVVVLCIVMDRPYQFYYFVPLVTVWFMVIYVTLALWPQITQ KKANGNFFWYLGLLLKLGLLLLCIWFLAYSQGAFEKIFSLWPLSKCFELEGSVYEWWFRW RLDRYVVFHGVLFAFIYLALQRRQILSEGKGEPLFSNKISNFLLFVSVVSFLTYSIWASS CKNKAECNELHPSVSVVQIVAFILIRNIPGYARSIYSSFFAWFGKISLELFICQYHIWLA ADTRGILVLIPGNPTLNIIVSTFIFVCVAHEISQITTDLAQVVIPKDNPSLFRRLACTIA FFGGVLILSSIQDKSRL >ENSMUSP00000137822.2 pep:known chromosome:GRCm38:6:4600949:4624266:1 gene:ENSMUSG00000015189.12 transcript:ENSMUST00000181734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casd1 description:CAS1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2384865] MAALAYNLGKREINHYFSVRSAKVLALVAVLLLAACHLASRRYRGNDSCEYLLSSGRFLG EKVWQPHSCMMHKYKISEAKTCLVDKHIAFIGDSRIRQLFYSFVKIINPQFKEEGNKHEN IPFEDKAASVKVDFLWHPEVNGSMKQCIKVWTEDSVLKPHVIVAGAATWSIKIHNGSEEA LAQYKMNITSIAPLLEKLAKTSDVYWVLQECNDSHERVLQ >ENSMUSP00000145445.1 pep:known chromosome:GRCm38:6:4601146:4608748:1 gene:ENSMUSG00000015189.12 transcript:ENSMUST00000153963.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Casd1 description:CAS1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2384865] MAALAYNLGKREINHYFSVRSAKVLALVAVLLLAACHLASRRYRGGGLRSQVWAAVPRMK ELCTSSLSEQQ >ENSMUSP00000115427.1 pep:known chromosome:GRCm38:6:4601203:4621132:1 gene:ENSMUSG00000015189.12 transcript:ENSMUST00000141359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casd1 description:CAS1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2384865] XINHYFSVRSAKVLALVAVLLLAACHLASRRYRGNDSCEYLLSSGRFLGEKVWQPHSCMM HKYKISEAKTCLVDKHIAFIGDSRIRQLFYSFVKIINPQFKEEGNKDSVLKPHVIVAGAA TWSIKIHNGSEEALAQYKMNITSIAPLLEKLAKTSDVYWVLQDPVYEDLLSENRKMITNE KI >ENSMUSP00000145371.1 pep:known chromosome:GRCm38:6:4631127:4640973:1 gene:ENSMUSG00000015189.12 transcript:ENSMUST00000204372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casd1 description:CAS1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2384865] XALWPQITQKKANGNFFWYLGLLLKLGLLLLCIWFLAYSQVVFHGVLFAFIYLALQRRQI LSEGKGEPLFSNKISNFLLFVSVVSFLTYSIWASSCKNKAECNELHPSVSVVQIVAFILI RNIPGYARSIYSS >ENSMUSP00000130080.1 pep:known chromosome:GRCm38:Y:2830680:2841851:1 gene:ENSMUSG00000094658.7 transcript:ENSMUST00000171534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbmy description:RNA binding motif protein, Y chromosome [Source:MGI Symbol;Acc:MGI:104732] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000097930.3 pep:known chromosome:GRCm38:Y:2830699:2841854:1 gene:ENSMUSG00000094658.7 transcript:ENSMUST00000100360.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbmy description:RNA binding motif protein, Y chromosome [Source:MGI Symbol;Acc:MGI:104732] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000016673.5 pep:known chromosome:GRCm38:1:131019845:131024974:1 gene:ENSMUSG00000016529.5 transcript:ENSMUST00000016673.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il10 description:interleukin 10 [Source:MGI Symbol;Acc:MGI:96537] MPGSALLCCLLLLTGMRISRGQYSREDNNCTHFPVGQSHMLLELRTAFSQVKTFFQTKDQ LDNILLTDSLMQDFKGYLGCQALSEMIQFYLVEVMPQAEKHGPEIKEHLNSLGEKLKTLR MRLRRCHRFLPCENKSKAVEQVKSDFNKLQDQGVYKAMNEFDIFINCIEAYMMIKMKS >ENSMUSP00000070270.6 pep:known chromosome:GRCm38:4:126286793:126314330:1 gene:ENSMUSG00000056174.8 transcript:ENSMUST00000070132.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col8a2 description:collagen, type VIII, alpha 2 [Source:MGI Symbol;Acc:MGI:88464] MQGALMPLPSLLLLLLGCGPRVSSGGGAGGAAGYAPVKYVQPMQKGPVGPPFREGKGQYL EMPLPMLPMDLKGEPGPPGKPGPRGPPGPPGFPGKPGTGKPGVHGQPGPAGPPGFSRMGK AGPPGLPGKVGPPGQPGLRGEPGIRGDQGLRGPPGPPGLPGPSGITVPGKPGAQGAPGPP GFRGEPGPQGEPGPRGDRGLKGDNGVGQPGLPGAPGQAGAPGPPGLPGPAGLGKPGLDGI PGAPGDKGDSGPPGVPGSRGEPGAVGPKGPPGVDGVGIPGAAGVPGPQGPVGAKGEPGLR GPPGLIGPVGYGMPGKPGPKGDRGPVGAPGLLGDRGEPGEDGKPGEQGPQGLGGPPGLPG SAGLPGRRGPPGSKGEVGPGGPPGVPGIRGDQGPNGLAGKPGLPGERGLPGAHGPPGPTG PKGEPGFTGRPGGPGVAGALGQKGDLGLPGQPGLRGPSGIPGLQGPAGPIGPQGLPGLKG EPGLPGPPGEGKVGEPGSAGPTGPPGVPGSPGLTGPPGPPGPPGPPGAPGALDETGIAGL HLPNGGVEGAVLGKGGKPQFGLGELSAHATPAFTAVLTSPFPASGMPVRFDRTLYNGHSG YNPATGIFTCPVGGVYYFAYHVHVKGTNVWVALYKNNVPATYTYDEYKKGYLDQASGGAV LQLRPNDQVWVQMPSDQANGLYSTEYIHSSFSGFLLCPT >ENSMUSP00000123003.1 pep:known chromosome:GRCm38:4:126300530:126309785:1 gene:ENSMUSG00000056174.8 transcript:ENSMUST00000128435.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col8a2 description:collagen, type VIII, alpha 2 [Source:MGI Symbol;Acc:MGI:88464] MQGALMPLPSLLLLLLGCGPRVSSGGGAGGAAGYAPVKYVQPMQKGPVGPPFREGKG >ENSMUSP00000141814.1 pep:known chromosome:GRCm38:18:37355121:37356605:1 gene:ENSMUSG00000045876.4 transcript:ENSMUST00000192867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb8 description:protocadherin beta 8 [Source:MGI Symbol;Acc:MGI:2136742] METALTKTPEKSSIPENAPEAVVAVFSVSDPDSGDNGRMVCSIQNGLPFLLKPTFKNFYT LVTERPLDRESNAEYNITITVSDLGTPRLTTQHTITVQVSDIND >ENSMUSP00000054371.1 pep:known chromosome:GRCm38:18:37355271:37358604:1 gene:ENSMUSG00000045876.4 transcript:ENSMUST00000051163.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb8 description:protocadherin beta 8 [Source:MGI Symbol;Acc:MGI:2136742] METALTKTPEKRQVIFLAILLLLWEASSEAISYSMPEETESGYLVANLAQDLGLRVGELT TRGARIHHNGNKELLQLDAERGNLLLKEKPDREALCGATEPCVLHFQIILENPVQFFQTD LQFTDINDHFPEFPDTEMLLKIQEIAQPGTVFPLKAAQDPDIGSNAVQNYTVSPNLHFHV VTLSRSDDRKYPELVLDRALDREEQPELTLILTALDGGAPPKSGTTTVRIEVVDINDNAP QFLQSLYAVEVPENSPLNALVVTVSARDLDAGIHGNVAYSLFQGGGGPQPFVIDEITGEI RLKGALDFEATSYYTMEIVATDSGGLSGKCTVAIQVLDVNDNAPKLTISSLTSSIPENAP EAVVAVFSVSDPDSGDNGRMVCSIQNGLPFLLKPTFKNFYTLVTERPLDRESNAEYNITI TVSDLGTPRLTTQHTITVQVSDINDNAPAFTQTSYTLFVHENNSPALHIGTISATDSDSG SNGLIIYSLLPPHDQQLGLASLISINSDNGQLFALRALDYEALQAFEFHVGATDRGSPAL SSEALVRVVVLDDNDNAPFVLYPLQNASAPCTELLPRAAEPGYLITKVVAVDRDSGQNAW LSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDAPKHRLLLLVKDNGEPLRSASVMLQVL VVDGFSQPYLPLPEVALNPTQEEDMLTLYLVIALASVSSLFLLSVLLFVGVKLCKKAREA SLADCSIPEGHFPSHLVDVSGAGTLSQSYHYEVCLTEDSGTSDFKFMNPIIPSSLLQDS >ENSMUSP00000099952.3 pep:known chromosome:GRCm38:18:60925618:60988152:1 gene:ENSMUSG00000024617.16 transcript:ENSMUST00000102888.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2a description:calcium/calmodulin-dependent protein kinase II alpha [Source:MGI Symbol;Acc:MGI:88256] MATITCTRFTEEYQLFEELGKGAFSVVRRCVKVLAGQEYAAKIINTKKLSARDHQKLERE ARICRLLKHPNIVRLHDSISEEGHHYLIFDLVTGGELFEDIVAREYYSEADASHCIQQIL EAVLHCHQMGVVHRDLKPENLLLASKLKGAAVKLADFGLAIEVEGEQQAWFGFAGTPGYL SPEVLRKDPYGKPVDLWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDTV TPEAKDLINKMLTINPSKRITAAEALKHPWISHRSTVASCMHRQETVDCLKKFNARRKLK GAILTTMLATRNFSGGKSGGNKKNDGVKESSESTNTTIEDEDTKVRKQEIIKVTEQLIEA ISNGDFESYTKMCDPGMTAFEPEALGNLVEGLDFHRFYFENLWSRNSKPVHTTILNPHIH LMGDESACIAYIRITQYLDAGGIPRTAQSEETRVWHRRDGKWQIVHFHRSGAPSVLPH >ENSMUSP00000025519.4 pep:known chromosome:GRCm38:18:60925632:60986407:1 gene:ENSMUSG00000024617.16 transcript:ENSMUST00000025519.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2a description:calcium/calmodulin-dependent protein kinase II alpha [Source:MGI Symbol;Acc:MGI:88256] MATITCTRFTEEYQLFEELGKGAFSVVRRCVKVLAGQEYAAKIINTKKLSARDHQKLERE ARICRLLKHPNIVRLHDSISEEGHHYLIFDLVTGGELFEDIVAREYYSEADASHCIQQIL EAVLHCHQMGVVHRDLKPENLLLASKLKGAAVKLADFGLAIEVEGEQQAWFGFAGTPGYL SPEVLRKDPYGKPVDLWACGVILYILLVGYPPFWDEDQHRLYQQIKAGAYDFPSPEWDTV TPEAKDLINKMLTINPSKRITAAEALKHPWISHRSTVASCMHRQETVDCLKKFNARRKLK GAILTTMLATRNFSGGKSGGNKKNDGVKKRKSSSSVQLMESSESTNTTIEDEDTKVRKQE IIKVTEQLIEAISNGDFESYTKMCDPGMTAFEPEALGNLVEGLDFHRFYFENLWSRNSKP VHTTILNPHIHLMGDESACIAYIRITQYLDAGGIPRTAQSEETRVWHRRDGKWQIVHFHR SGAPSVLPH >ENSMUSP00000110952.1 pep:known chromosome:GRCm38:18:60925725:60943396:1 gene:ENSMUSG00000024617.16 transcript:ENSMUST00000115297.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2a description:calcium/calmodulin-dependent protein kinase II alpha [Source:MGI Symbol;Acc:MGI:88256] MATITCTRFTEEYQLFEELGKGAFSVVRRCVKVLAGQEYAAKIINTKKLSARGGFYSCHF WQYSLNFSCCLSGMTSCSSLQEQTYPGRLSTPFSKLWPPLAHTLPGLF >ENSMUSP00000123480.1 pep:known chromosome:GRCm38:18:60926949:60955639:1 gene:ENSMUSG00000024617.16 transcript:ENSMUST00000137805.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2a description:calcium/calmodulin-dependent protein kinase II alpha [Source:MGI Symbol;Acc:MGI:88256] XLCKGCSWLGDVAARVWTCICVHMCGRGAFSVVRRCVKVLAGQEYAAKIINTKKLSARDH QKLEREARICRLLKHPNIVRLHDSISEEGHHYLIFDLVTGGELFEDIVAREYYSEADASH CIQQILEAVLHCHQMGVVHRDLKPENLLLASKLKGAAVKLADFGLAIEVEGEQQAWF >ENSMUSP00000110950.2 pep:known chromosome:GRCm38:18:60963554:60984863:1 gene:ENSMUSG00000024617.16 transcript:ENSMUST00000115295.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2a description:calcium/calmodulin-dependent protein kinase II alpha [Source:MGI Symbol;Acc:MGI:88256] MLLFLTLWALVPCLVLLTLYFLSSTGGKSGGNKKNDGVKKRKSSSSVQLMESSESTNTTI EDEDTKVRKQEIIKVTEQLIEAISNGDFESYTKMCDPGMTAFEPEALGNLVEGLDFHRFY FENLWSRNSKPVHTTILNPHIHLMGDESACIAYIRITQYLDAGGIPRTAQSEETRVWHRR DGKWQIVHFHRSGAPSVLPH >ENSMUSP00000048325.6 pep:known chromosome:GRCm38:18:60963600:60985697:1 gene:ENSMUSG00000024617.16 transcript:ENSMUST00000039904.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2a description:calcium/calmodulin-dependent protein kinase II alpha [Source:MGI Symbol;Acc:MGI:88256] MLLFLTLWALVPCLVLLTLYFLSSTGGKSGGNKKNDGVKESSESTNTTIEDEDTKVRKQE IIKVTEQLIEAISNGDFESYTKMCDPGMTAFEPEALGNLVEGLDFHRFYFENLWSRNSKP VHTTILNPHIHLMGDESACIAYIRITQYLDAGGIPRTAQSEETRVWHRRDGKWQIVHFHR SGAPSVLPH >ENSMUSP00000030895.5 pep:known chromosome:GRCm38:4:154142372:154156816:1 gene:ENSMUSG00000029029.14 transcript:ENSMUST00000030895.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wrap73 description:WD repeat containing, antisense to Trp73 [Source:MGI Symbol;Acc:MGI:1891749] MNFSESFKLSGLLCRFSPDGKYLASCVQYRLVIRDVTTLQILQLYTCLDQIQHIEWSADS LFILCAMYRRGLVQVWSLEQPEWHCKIDEGSAGLVASCWSPDGRHILNTTEFHLRITVWS LCTKSVSYIKYPKACQQGLTFTRDGRYLALAERRDCRDYVSIFVCSDWQLLRHFDTDTQD LTGIEWAPNGCVLAAWDTCLEYKVLLYSLDGRLLSAYCAYEWSLGIKSVAWSPSSQFLAI GSYDGKVRLLNHVTWKMITEFGHPATINNPKTVVYKEAEKSPLLGLGHLSFPPPRAMAGA LSTSESKYEIASGPVSLQTLKPVADRANPRMGVGMLAFSSDSYFLASRNDNVPNAVWIWD IQKLKLFVVLEHMSPVRSFQWDPQQPRLAICTGGSKVYLWSPAGCVSVQVPGEGDFPVLG LCWHLSGDSLALLSKDHFCLCFLETKERVGTAYEQRDGMPRT >ENSMUSP00000118548.1 pep:known chromosome:GRCm38:4:154151692:154155280:1 gene:ENSMUSG00000029029.14 transcript:ENSMUST00000146734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wrap73 description:WD repeat containing, antisense to Trp73 [Source:MGI Symbol;Acc:MGI:1891749] XVSIFVCSDWQLLRYKVLLYSLDGRLLSAYCAYEWSLGIKSVAWSPSSQFLAIGSYDGKV RLLNHVTWKMITEFGHPATINNPKTVVYKEAEKSPLLGLGHLSFPPPRAMAGALSTSESK YEIASGPVSLQTL >ENSMUSP00000141482.1 pep:known chromosome:GRCm38:18:37668953:37841870:1 gene:ENSMUSG00000103332.1 transcript:ENSMUST00000193869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga2 description:protocadherin gamma subfamily A, 2 [Source:MGI Symbol;Acc:MGI:1935214] MATLQKLPQSRMLVLLCALWAALWEAKAGQIRYSVPEEIDKGSFVGSIAKDLGLEPQALE ERGVRIVSRGKSQLFALNPRSGSLVTAGRIDREELCAQSTPCLVNFNLLLEDKLTIYSVE VEVTDVNDNAPRFGVEDPELKISETTTPGFRIPLKSAHDADVGENTLQKYKLNSNDHFSL DVRTGADGNKYPELVLERALDREEEAVHHLVLVASDGGNPVRSGTCRIRVKVLDANDNAP AFTQAEYRVRVPENTPVGTRILTVTATDADEGYNAQVTYFQEHDPGETTDAFELKSTSGD ITITKSLDYEKAKFHEIDIEAQDGPGLLTRTKVIVTVLDVNDNAPEFYMTSATASVPEDA PLGTVIALFNVHDRDSGQNAVVTCSLPEMLPFKLERSVDSYYRLVTTRALDREQFSFYNI TVSAKDGGSPSLSTDAHLLLQVADINDNPPSFPRRVYSAYIPENNPRGTSIFSVLASDPD SNDNAHVTYSLAEDSFQGAPLSSYVSINSDTGVLYALRSFDYEQFQDLQLWVIAADSGNP PLSSNVSLSLFLVDQNDNTPEILYPALPTDGSTGVELAPRSADPGYLVTKVVAVDKDSGQ NAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTL TIAIADNIPEVLADLGSIRTPANPDDSELTLYLVVAVAVVSCVFLAFVIALLALRLRRWH LSRMLQASRDGLGGIPASHFVGVDGVRAFLQTYSHEVSLTADSGKSHIIFPQPNYADTLI SQESCEKTDFLSAPQSLLDDKREETPQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNN QFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSN ATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000033415.8 pep:known chromosome:GRCm38:7:143795584:143822841:-1 gene:ENSMUSG00000031090.14 transcript:ENSMUST00000033415.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadsyn1 description:NAD synthetase 1 [Source:MGI Symbol;Acc:MGI:1926164] MGRKVTVATCALNQWALDFEGNFQRILKSIQIAKGKGARYRLGPELEICGYGCWDHYHES DTLLHSLQVLAALLDSPVTQDIICDVGMPIMHRNVRYNCRVIFLNRKILLIRPKMALANE GNYRELRWFTPWTRSRQTEEYVLPRMLQDLTKQKTVPFGDVVLATQDTCVGSEICEELWT PRSPHIDMGLDGVEIITNASGSHHVLRKAHTRVDLVTMATSKNGGIYLLANQKGCDGDRL YYDGCAMIAMNGSIFAQGTQFSLDDVEVLTATLDLEDVRSYKAEISSRNLEATRVSPYPR VTVDFALSVSEDLLEPVSEPMEWTYHRPEEEISLGPACWLWDFLRRSKQAGFFLPLSGGV DSAASACIVYSMCCLVCDAVKSGNQQVLTDVQNLVDESSYTPQDPRELCGRLLTTCYMAS ENSSQETHSRATKLAQLIGSYHINLSIDTAVKAVLGIFSLMTGKLPRFSAHGGSSRENLA LQNVQARIRMVLAYLFAQLSLWSRGARGSLLVLGSANVDESLLGYLTKYDCSSADINPIG GISKTDLRAFVQFCAERFQLPVLQTILSAPATAELEPLADGQVSQMDEEDMGMTYAELSI FGRLRKVAKAGPYSMFCKLLNMWRDSYTPTQVAEKVKLFFSKYSMNRHKMTTLTPAYHAE NYSPDDNRFDLRPFLYNTRWPWQFLCIDNQVLQLERKASQTREEQVLEHFKEPSPIWKQL LPKDP >ENSMUSP00000114889.1 pep:known chromosome:GRCm38:7:143795850:143822787:-1 gene:ENSMUSG00000031090.14 transcript:ENSMUST00000156638.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nadsyn1 description:NAD synthetase 1 [Source:MGI Symbol;Acc:MGI:1926164] MGRKVTVATCALNQWALDFEGNFQRILKTAMDVGIIITNQTLSCIRSKFWLLFWTLRSLR TSSVMWGCL >ENSMUSP00000114380.1 pep:known chromosome:GRCm38:7:143795869:143822842:-1 gene:ENSMUSG00000031090.14 transcript:ENSMUST00000132520.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nadsyn1 description:NAD synthetase 1 [Source:MGI Symbol;Acc:MGI:1926164] MGRKVTVATCALNQWALDFEGNFQRILKSIQIAKGKGARYRLGPELEICGYGCWDHYHES DTLLHSLQVLAALLDSPVTQDIICDVGMPIMHRNVRYNCRVIFLNRKILLIRPKMALANE GNYRELRWFTPWTRSRQTEEYVLPRMLQDLTKQKTVPFGDVVLATQDTCVGSEICEELWT PRSPHIDMGLDGVEIITNASGSHHVLRKAHTRVDLVTMATSKNGGIYLLANQKGCDGDRL YYDGCAMIAMNGSIFAQGTQFSLDDVEVLTATLDLEDVRSYKAEISSRNLEATRVSPYPR VTVDFALSVSEDLLEPVSEPMEWTYHRPEEEISLGPACWLWDFLRRSKQAGFFLPLSGGV DSAASACIVYSMCCLVCDAVKSGNQQVLTDVQNLVDESSYTPQDPRELCGRLLTTCYMAS ENSSQETHSRATKLAQLIGSYHINLSIDTAVKAVLGIFSLMTGKLPRFSAHGGSSRENLA LQNVQARIRMVLAYLFAQLSLWSRGARGSLLVLGSANVDESLLGYLTKYDCSSADINPIG GISKTDLRAFVQFCAERFQLPVLQTKTWG >ENSMUSP00000115857.1 pep:known chromosome:GRCm38:7:143812763:143822818:-1 gene:ENSMUSG00000031090.14 transcript:ENSMUST00000143366.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nadsyn1 description:NAD synthetase 1 [Source:MGI Symbol;Acc:MGI:1926164] MGRKVTVATCALNQWALDFEGNFQRILKTAMDVGIIITNQTLSCIRSKFWLLFWTLRSLR TSSVMWGCL >ENSMUSP00000120220.1 pep:known chromosome:GRCm38:7:143813424:143822805:-1 gene:ENSMUSG00000031090.14 transcript:ENSMUST00000156779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nadsyn1 description:NAD synthetase 1 [Source:MGI Symbol;Acc:MGI:1926164] MGRKVTVATCALNQWALDFEGNFQRILKSIQIAKGKGARYRLGPELEIWPIMHRNVRYNC RVIFLNRKILLIRPKMALANEGNYRELRWFTPWTRSRQTEEYV >ENSMUSP00000111322.3 pep:known chromosome:GRCm38:18:37005203:37187657:1 gene:ENSMUSG00000007440.10 transcript:ENSMUST00000115658.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha11 description:protocadherin alpha 11 [Source:MGI Symbol;Acc:MGI:1298372] MSCVAMKYCHESWCLLLSLLLFAIWEPGSGQLRYSVPEEAKHGTFVGRIAQDLGLELTEL VPRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHV EVEVRDINDNPPVFPTTEKNLFVSESRALDPHFSLEGASDADIGTNALLTYRLSPSEYFS LEVPTTDELVKPLQLVLKKPLDRERASELHLVVKATDGGKPELTGTLELHITVLDANDNA PAFDRAIYRVKLVENARNGTVVIRLNASDLDEGSNGQILYSFAADVSPKTEATFHIDSVS GEIKVNGKIDFEETNLWKIQAEAVDKGSPPMFGHCTILIEVLDINDNAPKIIVTSLSLPV QEDAPVGTVIALISVMDPDSTVNGQVTCSLSPHVPFKLVSTFKNYYSLVLDSALDRETTA DYKVVVTARDGGSPALWATASVSVEVADVNDNAPAFAQSEYTVFVKENNPPGVHVFTVLA MDADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVTAKD AGVPALGSNVTLQVFVLDENDNAPTLLPHGAVGAGGAVSELVPRSVGSGHVVAKVRAVDA DSGYNAWLSYELQFRAGSVRSPFRVGLYTGEISITRALDEADAPRQRLLVLVKDHGEPAL TATATVLVSLVESNQAPKASSRVLGPAASESSVVDVNVYLIIAICAVSSLLVLTLVLYTA LRCSALPTEVTCGPGKPMLVCSSAVGSWSYSQQRRQRVCSGEGPPKTDLMAFSPSVPPGL GSGDSGVQQEIFENPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSS ATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPA NNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000121679.1 pep:known chromosome:GRCm38:14:49172226:49190866:1 gene:ENSMUSG00000036282.14 transcript:ENSMUST00000153488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa30 description:N(alpha)-acetyltransferase 30, NatC catalytic subunit [Source:MGI Symbol;Acc:MGI:1922259] MAEVPPGPSSLLPPPAPAAPAAAELRCPFPAGAALACCSEDEEDDEEHEGGCGSPAGGEA ATSAKARSCLRCPQLPPEQQQQQLNGLIGPELRHLRAAATLKSKVLSAAEAAAPDGASKV TATKGAEGHPGERPPHSVPNNARTALPGRSEAAAAAAGAASDPAAARNGLVEGTEQQEEE EMDEQVRLLSSSLTTGCSLRSSQGREAEPGEDRTIRYVRYESELQMPDIMRLITKDLSEP YSIYTYRYFIHNWPQLCFLAMVGEECVGAIVCKLDMHKKMFRRGYIAMLAVDSKYRRNGI GTNLVKKAIYAMVEGDCDEVVLETEITNKSALKLYENLGFVRDKRLFRYYLNGVDALRLK LWLR >ENSMUSP00000119775.1 pep:known chromosome:GRCm38:14:49173305:49187890:1 gene:ENSMUSG00000036282.14 transcript:ENSMUST00000136995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa30 description:N(alpha)-acetyltransferase 30, NatC catalytic subunit [Source:MGI Symbol;Acc:MGI:1922259] XRLITKDLSEPYSIYTYRYFIHNWPQLCFLVLTW >ENSMUSP00000041450.5 pep:known chromosome:GRCm38:14:49172598:49191031:1 gene:ENSMUSG00000036282.14 transcript:ENSMUST00000037362.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa30 description:N(alpha)-acetyltransferase 30, NatC catalytic subunit [Source:MGI Symbol;Acc:MGI:1922259] MAEVPPGPSSLLPPPAPAAPAAAELRCPFPAGAALACCSEDEEDDEEHEGGCGSPAGGEA ATSAKARSCLRCPQLPPEQQQQQLNGLIGPELRHLRAAATLKSKVLSAAEAAAPDGASKV TATKGAEGHPGERPPHSVPNNARTALPGRSEAAAAAAGAASDPAAARNGLVEGTEQQEEE EMDEQVRLLSSSLTTGCSLRSSQGREAEPGEDRTIRYVRYESELQMPDIMRLITKDLSEP YSIYTYRYFIHNWPQLCFLVSGWNENRCVGAIVCKLDMHKKMFRRGYIAMLAVDSKYRRN GIGTNLVKKAIYAMVEGDCDEVVLETEITNKSALKLYENLGFVRDKRLFRYYLNGVDALR LKLWLR >ENSMUSP00000140037.1 pep:known chromosome:GRCm38:Y:2862139:2873489:1 gene:ENSMUSG00000095852.7 transcript:ENSMUST00000189964.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10256 description:predicted gene 10256 [Source:MGI Symbol;Acc:MGI:3710530] MAETDQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000140936.1 pep:known chromosome:GRCm38:Y:2862158:2873492:1 gene:ENSMUSG00000095852.7 transcript:ENSMUST00000188114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10256 description:predicted gene 10256 [Source:MGI Symbol;Acc:MGI:3710530] MAETDQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000136632.1 pep:known chromosome:GRCm38:Y:2830699:2873293:1 gene:ENSMUSG00000095852.7 transcript:ENSMUST00000179404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10256 description:predicted gene 10256 [Source:MGI Symbol;Acc:MGI:3710530] MAETDQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000118083.1 pep:known chromosome:GRCm38:6:128224288:128275577:-1 gene:ENSMUSG00000030353.15 transcript:ENSMUST00000130454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead4 description:TEA domain family member 4 [Source:MGI Symbol;Acc:MGI:106907] ITSNEWSSPDSPEGSSISGGSQALDKPIDNDAEGVWSPEIERSFQEALAIYPPCGRRKII LTEEGKMYGRNELIARHIKLRTGKTRTRKQVSSHIQVLARRKAREIQAKLKFWQGALPGQ PGTSHDVKPFSQNTYPVQPPLPLPGFESPAGPTPSPSAPLAPPWQGRSIASSKLWMLEFS AFLERQQDPDTYNKHLFVHISQSSPSYSDPYLETVDIRQIYDKFPEKKGGLKELFERGPS NAFFLVKFWADLNTNIDDEGSAFYGVSSQYESPENMIITCSTKVCSFGKQVVEKVETEYA RYENGHYLYRIHRSPLCEYMINFIHKLKHLPEKYMMNSVLENFTILQVVTNRDTQETLLC IAYVFEVSASEHGAQHHIYRLVKE >ENSMUSP00000006311.6 pep:known chromosome:GRCm38:6:128227143:128300813:-1 gene:ENSMUSG00000030353.15 transcript:ENSMUST00000006311.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead4 description:TEA domain family member 4 [Source:MGI Symbol;Acc:MGI:106907] ITSNEWSSPDSPEGSSISGGSQALDKPIDNDAEGVWSPEIERSFQEALAIYPPCGRRKII LTEEGKMYGRNELIARHIKLRTGKTRTRKQVSSHIQVLARRKAREIQAKLKDQAAKNKAL QSMAAMSSAQIVSATAFHSKMALARGPGYPAISGFWQGALPGQPGTSHDVKPFSQNTYPV QPPLPLPGFESPAGPTPSPSAPLAPPWQGRSIASSKLWMLEFSAFLERQQDPDTYNKHLF VHISQSSPSYSDPYLETVDIRQIYDKFPEKKGGLKELFERGPSNAFFLVKFWADLNTNID DEGSAFYGVSSQYESPENMIITCSTKVCSFGKQVVEKVETEYARYENGHYLYRIHRSPLC EYMINFIHKLKHLPEKYMMNSVLENFTILQVVTNRDTQETLLCIAYVFEVSASEHGAQHH IYRLVKE >ENSMUSP00000107784.2 pep:known chromosome:GRCm38:6:128228181:128300813:-1 gene:ENSMUSG00000030353.15 transcript:ENSMUST00000112157.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead4 description:TEA domain family member 4 [Source:MGI Symbol;Acc:MGI:106907] ITSNEWSSPDSPEGSSISGGSQALDKPIDNDAEGVWSPEIERSFQEALAIYPPCGRRKII LTEEGKMYGRNELIARHIKLRTGKTRTRKQVSSHIQVLARRKAREIQAKLKFWQGALPGQ PGTSHDVKPFSQNTYPVQPPLPLPGFESPAGPTPSPSAPLAPPWQGRSIASSKLWMLEFS AFLERQQDPDTYNKHLFVHISQSSPSYSDPYLETVDIRQIYDKFPEKKGGLKELFERGPS NAFFLVKFWADLNTNIDDEGSAFYGVSSQYESPENMIITCSTKVCSFGKQVVEKVETEYA RYENGHYLYRIHRSPLCEYMINFIHKLKHLPEKYMMNSVLENFTILQVVTNRDTQETLLC IAYVFEVSASEHGAQHHIYRLVKE >ENSMUSP00000120941.1 pep:known chromosome:GRCm38:6:128270909:128300823:-1 gene:ENSMUSG00000030353.15 transcript:ENSMUST00000133118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead4 description:TEA domain family member 4 [Source:MGI Symbol;Acc:MGI:106907] ITSNEWSSPDSPEGSSISGGSQALDKPIDNDAEGVWSPEIERSFQEALAIYPPCG >ENSMUSP00000118606.1 pep:known chromosome:GRCm38:6:128270959:128300738:-1 gene:ENSMUSG00000030353.15 transcript:ENSMUST00000143004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead4 description:TEA domain family member 4 [Source:MGI Symbol;Acc:MGI:106907] ITSNEWSSPDSPEGSSISGGSQALDKPIDNDAEGVWSP >ENSMUSP00000040420.4 pep:known chromosome:GRCm38:2:76369984:76383768:1 gene:ENSMUSG00000042369.8 transcript:ENSMUST00000046389.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm45 description:RNA binding motif protein 45 [Source:MGI Symbol;Acc:MGI:2387367] MDDAGGLGGSGGFRPGVDSLDEPPNSRIFLVISKHTSELVLRERFSPFGDIQDIWVVRDK HTKESKGVAFVKFARSSQACRAMEEMHGQCLGPSDTKPIKVFIAQSRSSGSHRDVEDEEL TRIFVMIPKSYTEEDLREKFKVYGDIEYCSIIKNKVTGESKGLGYVRYLKPSQAAQAIEN CDRSFRALLAEPKNKVSGSPEQDDYSSGRQEALGQEPRANLFPFVGEQQSEFSTFDKNDS RGQEAVSKRLSVVSRVPFTEEQLFSIFDIVPGLEYCEVPRDPYSNYGHGVVQYFNVASAI YAKYKLHGFQYPPGNRIVVSFLDDGSNMTELIRKMATQMVAAQLASMVWSTTSQQQFLQY GGNAASQAPQIQTDVVLPSCKKKAPPETPVKERLFVVFNPHPLPLDVLEDIFCRFGNLIE VYLVSGKNVGYVKYADRKSANEAITTLHGKILNGVRLKVMLADSPREESKKRQRTY >ENSMUSP00000122934.1 pep:known chromosome:GRCm38:11:60199089:60207188:-1 gene:ENSMUSG00000020538.15 transcript:ENSMUST00000134660.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srebf1 description:sterol regulatory element binding transcription factor 1 [Source:MGI Symbol;Acc:MGI:107606] XETGDTGPSSPGANSPESFSSASLASSLEAFLGGPKVTPAPLSPPPSAPAALKMYPSVSP FSPGPGIKEEPVPLTILQPAAPQPSPGTLLPPSFPAPPVQLSPAPVLGYSSLPSGFSGTL PGNTQQPPSSLPLAPAPGVLPTPALHTQVQSLASQQPLPASAAPRTNTVTSQVQQVPVVL QPHFIKADSLLLTAVKTDAGATVKTAGISTLAPGTAVQTLVSGGTILATVPLVVDTDKLP IHRLAAGSKALGSAQSRGEKRTAHNAIEKRYRSSINDKIVELKDLVVGTEAKLNKSAVLR KAIDYIRFLQHSNQKLKQENLTLRSAHKSKSLKDLVSACGSGGGTDVSMEGMKPEVVETL TPPPSDAGSPSQSSPLSFGSRASSSGGSDSEPDSPAFEDSQVKAQRLPSHSRGMLDRSRL ALCVLAFLCLTCNPLASLFGWGILTPSDATGTHRSSGRSMLEAESRDGSNWTQWLLPPLV WLANGLLVLACLALLFVYGEPVTRPHSGPAVHFWRHRKQADLDLARGDFPQAAQQLWLAL QALGRPLPTSNLDLACSLLWNLIRHLLQRLWVGRWLAGQAGGLLRDRGLRKDARASARDA AVVYHKLHQLHAMGKYTGGHLAASNLALSALNLAECAGDAISMATLAEIYVAAALRVKTS LPRALHFLTRFFLSSARQACLAQSGSVPLAMQWLCHPVGHRFFVDGDWAVHGAPPESLYS VAGNPVDPLAQVTRLFREHLLERALNCIAQPSPGAADGDREFSDALGYLQLLNSCSDAAG APACSFSVSSSMAATTGPDPVAKWWASLTAVVIHWLRRDEEAAERLYPLVEHIPQVLQDT ERPLPRAALYSFKAARALLDHRKVESSPASLAICEKASGYLRDSLASTPTGSSIDKAMQL LLCDLLLVARTSLWQRQQSPASVQVAHGTSNGPQASALELRGFQHDLSSLRRLAQSFRPA MRRVFLHEATARLMAGASPARTHQLLDRSLRRRAGSSGKGGTTAELEPRPTWREHTEALL LASCYLPPAFLSAPGQRMSMLAEAARTVEKLGDHRLLLDCQQMLLRLGGGTTVTSS >ENSMUSP00000020846.1 pep:known chromosome:GRCm38:11:60199089:60220604:-1 gene:ENSMUSG00000020538.15 transcript:ENSMUST00000020846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srebf1 description:sterol regulatory element binding transcription factor 1 [Source:MGI Symbol;Acc:MGI:107606] MDELAFGEAALEQTLAEMCELDTAVLNDIEDMLQLINNQDSDFPGLFDAPYAGGETGDTG PSSPGANSPESFSSASLASSLEAFLGGPKVTPAPLSPPPSAPAALKMYPSVSPFSPGPGI KEEPVPLTILQPAAPQPSPGTLLPPSFPAPPVQLSPAPVLGYSSLPSGFSGTLPGNTQQP PSSLPLAPAPGVLPTPALHTQVQSLASQQPLPASAAPRTNTVTSQVQQVPVVLQPHFIKA DSLLLTAVKTDAGATVKTAGISTLAPGTAVQAGPLQTLVSGGTILATVPLVVDTDKLPIH RLAAGSKALGSAQSRGEKRTAHNAIEKRYRSSINDKIVELKDLVVGTEAKLNKSAVLRKA IDYIRFLQHSNQKLKQENLTLRSAHKSKSLKDLVSACGSGGGTDVSMEGMKPEVVETLTP PPSDAGSPSQSSPLSFGSRASSSGGSDSEPDSPAFEDSQVKAQRLPSHSRGMLDRSRLAL CVLAFLCLTCNPLASLFGWGILTPSDATGTHRSSGRSMLEAESRDGSNWTQWLLPPLVWL ANGLLVLACLALLFVYGEPVTRPHSGPAVHFWRHRKQADLDLARGDFPQAAQQLWLALQA LGRPLPTSNLDLACSLLWNLIRHLLQRLWVGRWLAGQAGGLLRDRGLRKDARASARDAAV VYHKLHQLHAMGKYTGGHLAASNLALSALNLAECAGDAISMATLAEIYVAAALRVKTSLP RALHFLTRFFLSSARQACLAQSGSVPLAMQWLCHPVGHRFFVDGDWAVHGAPPESLYSVA GNPVDPLAQVTRLFREHLLERALNCIAQPSPGAADGDREFSDALGYLQLLNSCSDAAGAP ACSFSVSSSMAATTGPDPVAKWWASLTAVVIHWLRRDEEAAERLYPLVEHIPQVLQDTER PLPRAALYSFKAARALLDHRKVESSPASLAICEKASGYLRDSLASTPTGSSIDKAMQLLL CDLLLVARTSLWQRQQSPASVQVAHGTSNGPQASALELRGFQHDLSSLRRLAQSFRPAMR RVFLHEATARLMAGASPARTHQLLDRSLRRRAGSSGKGGTTAELEPRPTWREHTEALLLA SCYLPPAFLSAPGQRMSMLAEAARTVEKLGDHRLLLDCQQMLLRLGGGTTVTSS >ENSMUSP00000120777.1 pep:known chromosome:GRCm38:11:60200463:60210465:-1 gene:ENSMUSG00000020538.15 transcript:ENSMUST00000144942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srebf1 description:sterol regulatory element binding transcription factor 1 [Source:MGI Symbol;Acc:MGI:107606] MDCTFEDMLQLINNQDSDFPGLFDAPYAGGETGDTGPSSPGANSPESFSSASLASSLEAF LGGPKVTPAPLSPPPSAPAALKMYPSVSPFSPGPGIKEEPVPLTILQPAAPQPSPGTLLP PSFPAPPVQLSPAPVLGYSSLPSGFSGTLPGNTQQPPSSLPLAPAPGVLPTPALHTQVQS LASQQPLPASAAPRTNTVTSQVQQVPVVLQPHFIKADSLLLTAVKTDAGATVKTAGISTL APGTAVQAGPLQTLVSGGTILATVPLVVDTDKLPIHRLAAGSKALGSAQSRGEKRTAHNA IEKRYRSSINDKIVELKDLVVGTEAKLNKSAVLRKAIDYIRFLQHSNQKLKQENLTLRSA HKSKSLKDLVSACGSGGGTDVSMEGMKPEVVETLTPPPSDAGSPSQSSPLSFGSRASSSG GSDSEPDSPAFEDSQVKAQRLPSHSRGMLDRSRLALCVLAFLCLTCNPLASLFGWGILTP SDATGTHRSSGRSMLEAESRDGSNWTQWLLPPLVWLANGLLVLACLALLFVYGEPVTRPH SGPAVHFWRHRKQADLDLARGDFPQAAQQLWLALQALGRPLPTSNLDLACSLLWNLIRHL LQRLWVGRWLAGQAGGLLRDRGLRKDARASARDAAVVYHKLHQLHAMGKYTGGHLAASNL ALSALNLAECAGDAISMATLAEIYVAAALRVKTSLPRALHFLTRFFLSSARQACLAQSGS VPLAMQWLCHPVGHRFFVDGDWAVHGAPPESLYSVAGNPVDPLAQVTRLFREHLLERALN CIAQPSPGAADGDREFSDALGYLQLLNSCSDAAGAPACSFSVSSSMAATTGPDPVAKWWA SLTAVVIHWLRRDEEAAERLYPLVEHIPQVLQDTERPLPRAALYSFKAARALLDHRKVES SPASLAICEKASGYLRDSLASTPTGSSIDKAMQLLLCDLLLVARTSLWQRQQSPASVQVA HGTSNGPQASALELRGFQHDLSSLRRLAQSFRPAMRRVFLHEATARLMAGASPARTHQLL DR >ENSMUSP00000111323.3 pep:known chromosome:GRCm38:18:36997880:37187657:1 gene:ENSMUSG00000103770.1 transcript:ENSMUST00000115659.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha9 description:protocadherin alpha 9 [Source:MGI Symbol;Acc:MGI:2447322] MRLGNRPEDIRTCVHLRWHIHGLLRQENASVVISKCLRHGAWRLLLWLLLLATWDVGSGQ LHYSVPEEAKHGTFVGRIAQDLGLELAELVPRLFRVVSKDRGDLLEVNLQNGILFVNSRI DREELCGQNAECSIHLEVIVDRPLQVFHVEVEVRDINDNPPIFSVAEQKILVAESRLLDS RFPLEGASDADVGENSMLTYKLSSNEFFILDIVNKRGKGKFPVLVLRKLIDREENPQLKL LLTATDGGKPEFTGSVSLLIQVLDVNDNAPVFDRSVYEVKMYENQENKTLVIWLNATDSD EGINKEVEYSFSSLASSIIRQKFLINEKTGEIKINGAIDFEESNNYEIHVDATDKGYPPM VAHCTVLVEILDENDNAPEIVLTSLSLPVKEDAPLGSVIALISVSDKDSGVNGQVTCSLT NHVPFKLVSTFKNYYSLVLDSALDRETTADYKVVVTARDGGSPSLWATASVSVEVADVND NAPAFAHPEYTVFVKENNPPGVHIFTVLAVDADAQENALVSYSLVERRVGERLLSSYVSV HAESGKVFALQPLDHEELELLQFQVSARDAGVPALGSNVTLQVFVQDENDNPPTLLGHQS GGPAGEFSQLVSRSVGAGHVVSKVRAVDADSGYNAWLSYELHPTVGARSPFRVGLYTGEI SMTRALDESDLPRQRLLVLVKDHGEPMLIATATVLVSLVENGQVPKASSQGLPNSSRREA SLMDVNVYLIIAICAVSSLLVLTLLLYTALRCSAVPMQAGCGLGKPTLVCSSAVGTWSYS QQRQQRVCSGEGPPKTDLMAFSPSLTPCPVAEVGMESHSVGGDVPGKPRQPNPDWRYSAS LRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKY GPGNPKQSGPGELPDKFIIPGSPAIISIRQEPANNQIDKSDFITFGKKEETKKKKKKKKG NKTQEKKEKGNSTTDNSDQ >ENSMUSP00000030896.8 pep:known chromosome:GRCm38:4:154157485:154160666:-1 gene:ENSMUSG00000029030.14 transcript:ENSMUST00000030896.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprgl description:transformation related protein 63 regulated like [Source:MGI Symbol;Acc:MGI:1915058] MLQLRDTVDSAGTSPTAVLAAGEDAGAGRPGAGTPLRQTLWPLNVHDPTRRARVKEYFVF RPGTIEQAVEEIRAVVRPVEDGEIQGVWLLTEVDHWNNEKERLVLVTDQSLLICKYDFIS LQCQQVVRVALSAVDTISCGEFQFPPKSLNKREGFGVRIQWDKQSRPSFINRWNPWSTNM PYATFIEHPMAGMDEKTASLCHLESFKALLIQAVKKAQKESPLPGQANTVLVLERPLLIE TYVGLMSFINNEAKLGYSMTRGKIGF >ENSMUSP00000101264.3 pep:known chromosome:GRCm38:4:154158356:154160632:-1 gene:ENSMUSG00000029030.14 transcript:ENSMUST00000105639.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprgl description:transformation related protein 63 regulated like [Source:MGI Symbol;Acc:MGI:1915058] MLQLRDTVDSAGTSPTAVLAAGEDAGAGRPGAGTPLRQTLWPLNVHDPTRRARVKEYFVF RPGTIEQAVEEIRAVVRPVEDGEIQGVWLLTEREGFGVRIQWDKQSRPSFINRWNPWSTN MPYATFIEHPMAGMDEKTASLCHLESFKALLIQAVKKAQKESPLPGQANTVLVLERPLLI ETYVGLMSFINNEAKLGYSMTRGKIGF >ENSMUSP00000111321.3 pep:known chromosome:GRCm38:18:37010752:37187657:1 gene:ENSMUSG00000102206.5 transcript:ENSMUST00000115657.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha11 description:protocadherin alpha 11 [Source:MGI Symbol;Acc:MGI:1298372] MLSFQRRGSCTLPPLRWLLLLAAWEAGSGQLHYSIPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVE VEVRDINDNPPVFPLREQRLLISESKQPDSRFPLEGASDADIGENAVLVYRLSQNEYFSL EPPINSKQTKRPSLILKKVLDREKTPELNLLLTATDGGKPELTGTVQLWVRVLDVNDNDP VFDHLEYKVRIMENAAKETLVITLNATDLDEGANGQLVYSLMSIKPTGRHLFTLDEKNGE LRVNGTLDYEENKLYEIEVLATDKGTPPMVGHCVVLVEILDTNDNSPEVTLTSLSLPVRE DAQPNTVIALISVSDLDSGINGQVTCSLTPNVPFKIVSTFKNYYSLVLDSSLDRERVSTY DLVVIARDGGSPSLSATVSVSVEVADVNDNAPVFAQPEYTVFVKENNPPGAHIFTVSAMD ADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARDAG VPALGSNVTLQVFVLDENDNAPTLLPHGAVGAGGAVSELVSRSVGSGHVVTKVRAVDADS GYNAWLSYEVQLGTGGVRSPFRVGLYTGEISTTRALDEVDSPRQTLLVLVKDHGEPALTA TATVLVSLVESGQAPKSFSQASGRASAPEASLVDVNVYLIIAICAVSSLLVLTLLLYTAL RCSALPMVNEATGGPGKPMLVCSSEVGSWSYSQQRRQRVCSGEVLPKTDLMAFSPSLPPN LGRDERERQESESSHPGQPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWP TVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIR QEPANNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000142270.1 pep:known chromosome:GRCm38:18:37010832:37187388:1 gene:ENSMUSG00000102206.5 transcript:ENSMUST00000192447.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha11 description:protocadherin alpha 11 [Source:MGI Symbol;Acc:MGI:1298372] MLSFQRRGSCTLPPLRWLLLLAAWEAGSGQLHYSIPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVE VEVRDINDNPPVFPLREQRLLISESKQPDSRFPLEGASDADIGENAVLVYRLSQNEYFSL EPPINSKQTKRPSLILKKVLDREKTPELNLLLTATDGGKPELTGTVQLWVRVLDVNDNDP VFDHLEYKVRIMENAAKETLVITLNATDLDEGANGQLVYSLMSIKPTGRHLFTLDEKNGE LRVNGTLDYEENKLYEIEVLATDKGTPPMVGHCVVLVEILDTNDNSPEVTLTSLSLPVRE DAQPNTVIALISVSDLDSGINGQVTCSLTPNVPFKIVSTFKNYYSLVLDSSLDRERVSTY DLVVIARDGGSPSLSATVSVSVEVADVNDNAPVFAQPEYTVFVKENNPPGAHIFTVSAMD ADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARDAG VPALGSNVTLQVFVLDENDNAPTLLPHGAVGAGGAVSELVSRSVGSGHVVTKVRAVDADS GYNAWLSYEVQLGTGGVRSPFRVGLYTGEISTTRALDEVDSPRQTLLVLVKDHGEPALTA TATVLVSLVESGQAPKSFSQASGRASAPEASLVDVNVYLIIAICAVSSLLVLTLLLYTAL RCSALPMVNEATGGPGKPMLVCSSEVGSWSYSQQRRQRVCSGEVLPKTDLMAFSPSLPPN LGRDERERQESESSHPGQPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWP TVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPEPKKQTQVSFLPRRKGEAS QPRQ >ENSMUSP00000141821.1 pep:known chromosome:GRCm38:18:37012252:37185217:1 gene:ENSMUSG00000102206.5 transcript:ENSMUST00000193856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha11 description:protocadherin alpha 11 [Source:MGI Symbol;Acc:MGI:1298372] XNNPPGAHIFTVSAMDADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDH EELELLQFQPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPEP EAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPANNQID KSDFITFGKKEETKKKKKKKKGNKTQEKK >ENSMUSP00000037023.3 pep:known chromosome:GRCm38:8:95835920:95853539:-1 gene:ENSMUSG00000036534.5 transcript:ENSMUST00000040481.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a7 description:solute carrier family 38, member 7 [Source:MGI Symbol;Acc:MGI:2679005] MAQVSINSDYSEWASSTDAGERARLLQSPCVDVVPKSEGEASPGDPDSGTTSTLGAVFIV VNACLGAGLLNFPAAFSTAGGVAAGIALQMGMLVFIISGLVILAYCSQASNERTYQEVVW AVCGKLTGVLCEVAIAVYTFGTCIAFLIIIGDQQDKIIAVMSKEPDGASGSPWYTDRKFT ISLTAFLFILPLSIPKEIGFQKYASFLSVVGTWYVTAIIIIKYIWPDKEMRPGDILTRPA SWMAVFNAMPTICFGFQCHVSSVPVFNSMRQPEVKTWGGVVTAAMVIALAVYMGTGICGF LTFGAAVDPDVLRSYPSEDVAVAVARAFIILSVLTSYPILHFCGRAVVEGLWLRYKGMPV EEDVGRERRRRVLQTLVWFLLTLLLALFIPDIGKVISVIGGLAACFIFIFPGLCLIQAKL SEMEEVKPASWWALVSYGVLLVTLGAFIFGQTTANAIFVDLLA >ENSMUSP00000096086.2 pep:known chromosome:GRCm38:9:88956900:88962419:1 gene:ENSMUSG00000102037.1 transcript:ENSMUST00000098485.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2a1a description:B cell leukemia/lymphoma 2 related protein A1a [Source:MGI Symbol;Acc:MGI:102687] MAESELMHIHSLAEHYLQYVLQVPAFESAPSQACRVLQRVAFSVQKEVEKNLKSYLDDFH VESIDTARIIFNQVMEKEFEDGIINWGRIVTIFAFGGVLLKKLPQEQIALDVCAYKQVSS FVAEFIMNNTGEWIRQNGGWEDGFIKKFEPKSGWLTFLQMTGQIWEMLFLLK >ENSMUSP00000074340.5 pep:known chromosome:GRCm38:1:150562524:150993051:-1 gene:ENSMUSG00000066842.18 transcript:ENSMUST00000074783.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmcn1 description:hemicentin 1 [Source:MGI Symbol;Acc:MGI:2685047] MIAQEVVHTVFLVALFRSSLAGDGTPQSESRAEEIPEGASTLAFVFDVTGSMYDDLVQVI EGASKILETSLKRPKRPLYNFALVPFHDPEIGPVTITTDPKKFQYELRELYVQGGGDCPE MSIGAIKIALEISLPGSFIYVFTDARSKDYRLTHEVLQLIQQKQSQVVFVLTGDCDDRNH IGYKVYEEIASTSSGQVFHLDKKQVNEVLKWVEEAVQASKVHLLSTDHLEHAVNTWKIPF DPSLKEVTVSLSGPSPVIEIRNPFGKLIKKGFGLNELLNIHNSAKVVNVKEPEAGMWTVK TSSSGRHSVRITGLSTIDFRAGFSRKPTLDFKKTMSRPVQGIPTYVLLNTSGISSPARVD RLELLSISGGSLKTIPVKHYPDRKPYGIWNISDFIPPDEAFFLKVTGYDKDGYLFQRVSS VSFSSIVPDAPKVTMPTRTLGYYLQPGQILCSVESFLPFTLSFMRDGIALGVDQYLRESA SVNWDFTKVTLSDEGFYDCIAVSSAGTGRAQTFFDVSEPPPIIQLPNNVTVTPGERAVLA CLVISAVDYNLTWQRSGRDIRLADSARIRTLANLSLELRSVKIGDAGEYRCVVSSEGGSA AASVFLTVQEKPKVTVMPKNQSFTGGSEISIMCSATGYPKPKIVWTMNEMFIMGSHRYRM TSEGTLFIKNAVPKDAGTYACLASNAAGTDKQTSTLRYIEAPKLVVEQSELLVALGDTTV MECKTSGIPPPQVKWFKGDLELRPSTFLSIDPLVGLLKIQETQDLDAGDYTCVAINEAGR ATGRLTLDVGSPPVFIQEPSDVAVEIGSNVTLPCYVQGYPEPKIKWRRLDNMPVFSRPFS VSFISQLRTGALFISNLWASDKGTYICEAENQFGKIQSQTTVTVTGLVAPLIGISPSMAS VIEGQPLTLPCTLLAGNPIPERRWMKNSAMLVQNPYITVRSDGSLHIERVRLQDGGKYTC VASNVAGTNNKTTSVAVHVLPSIQHGQQILSTIEGVPVTLPCRASGIPKPSITWSKKGEL ISTSSAKFSAGADGSLYVVSPGSEESGEYICTATNAAGYAKRKVQLTVYVRPRVFGDQRG LSQDKPVEISVLAGEEAILPCEAKSLPPPIITWAKDSQLISPFSPRHTFLPSGSMKITET RVSDSGMYLCVATNIAGNVTQSVKLSVHVPPKIQHGNRHIKVQVGQRVDILCNAHGSPPP VITWFKSGRPFLDGAQHPGSPDGTLSIEQAVISDAGVYTCAATNIAGSDEAEVTLHVQEP PSVEDLQPPFNTPFQERLANQRIEFPCPAKGTPKPTIKWLHNGREVTGQEPGVSILEDGA LLVIASVTPHNNGEYICVAVNEAGTTERKYNLKVHVPPVIRDKEHVTNVSVLTSQLASLY CEVEGTPSPVITWYKDDIQVTESSTVQIVNNGKILKLFKVSAEDAGRYSCKAINIAGTSQ KDFSVNVLVPPSILGASSPSEVSVVLNHNVTLQCPGTGVPFPAIHWFKDGKPLFLGDPNI ELSDRGQSLHLRNARRSDKGRYQCTVSNAAGKQAKDIKLTVYVPPSIKGGNITTEISALL NSIVKLECETRGLPVPAITWYKDGQVVTSSSQALYIDKGQLLHIQRAQVSDSATYTCHAA NVAGTAEKSFHVDIYVPPTIEGDLTAPSNKQVIIGQSLILECKAAGNPPPILTWLKDGVP VKASDNIHIEAGGKKLEILSALEVDRGQYICVATSVAGEREIKYEVDVLVPPAVEGGEET SYFIVLANNLLELDCQVSGSPPPTIMWLKGGQLIDERDGFKILLNGRKLVIAQAQVSDTG LYQCVATNIAGDHRKEFEVTVHVPPTIKSSDLPEKTVVRYKPVTLQCIANGIPNPSITWL KDDQPVNTAHGNLKIQSSGRVLQIAKALLEDAGRYTCVATNAAGEAHQHTQLHVHEPPSL DDAGKMRNETVVVNNPIQLECKATGKPLPVITWYKDSHPLSGSASAAFLKRGQVLEIGSA QISDAGIYKCVAINSAGATELFYSLQVHVPPSISGSSSMVEVVVNNLARLECEARGIPAP SLTWLKDGSPVSSFSNGIQILSGGRILALTSAQMSDAGRYTCVAVNAAGEKQRDIDLRVY APPNIMGEEQNVSVLIGQAVELFCQSDAVPPPTLMWLKDGRPLLKRPGLSISENGSVLKI EDAQAGDTGRYTCEATNVAGKTEKNYNVNVWVPPSIYGSDELVQLTAIEGNLITLLCESS GIPPPDLTWKKKGSLVLADSAGRVHILSGGRRLQISIAEKADAGLYTCVASNVAGVAKKE YNLQVYIRPSITNSGGHRPEITVIRGKSISLECEVQGIPQPTVTWMKDGRPLTKGKGVEI LDEGRILQLKNVHVSDTGRYVCVAVNVAGMTDKRYDLSVHAPPSIIGNHGVPENVSVVEK SSVSLTCEASGIPLPSITWLKDGWPVNLGSSVKILSGGRMLRLMQTRPEDAGQYTCIVRN AAGEDRKMFGLSVLVPPHIVGENTLEDVKIKEKQSVTLTCEVRGNPVPQITWHKDGQLLQ EDEAHHMMSGGRFLQITNAQVSHTGRYTCLASNIAGDKSKSFRLNVFVSPTIAGVDSDGS PEDVIVILNSPTSLVCEAYSYPPATITWFKDGTPLESNRNIRILPGGRTLQILNAQEDNA GRYSCVATNEAGEKIKHYEVKVYIPPIIKKGDLLGPGLSPKEVKIRVNSSLTLECEAYAI PSASLRWYKDGQPLKSDDHVTIAASGHTLQIKEAQISDTGRYTCVASNLAGEDELDFDVN IQVPPSFQKLWEIGNMLDTGRSGEAKDVIINNPLSLHCETNAAPPPTLTWYKDGRPLTSS DRVLILPGGRVLQIPRAKVEDAGRYTCVAVNEAGEDSLRYDVHVLLPPVIKGANSDLPEE VTVLVNKSTQMECSSSGNPAPRNYWQKDGQILLEDEHHKFQSDGRSLQILNAQITDTGRY VCVAENTAGSAKKYFNLNVHVPPSVIGPNHEHLSVVVNHFISLNCEVSGFPPPDLSWLKN EEPIKPNTNVLTVPGGRTLQIIRAKISDGGDYTCIAINQAGESKKKVSLTVHVPPSIKDH GSQSLSIVNVREGTSVSLECESNAVPPPVITWSKNGRMIPDSTNVEILTGGQTLHIRRAE VSDTGQYVCRAINVAGRDDKNFHLNVYVPPTIEGPETEVIVETISNPVTLTCDATGIPPP TITWLKNHKPIENSDPLEVHILSGGSKLQIARPQRSNSGNYTCVASNMEGKAQKNFILFI QVPPSVAGAEVPSEVSVLLGENVELVCNADGIPTPHLQWLRDGKPIVNGETERVRVTTDG STLNIYRALTSDMGKYTCVATNPAGEEDRIFNLNVYVPPKIRGNKEEAEKLMALVDTSIN IECKATGTPPPQINWLKNGLPLPISSHIRLLSAGQVVRIVRAQVSDIAVYTCVASNRAGV DSKHYSLQVFVPPNMDNAMGTEEITIVKGSSTSMTCFTDGTPAPSMSWLRDGQPLAPDAH LTVSTQGMVLQLIKAETEDTGKYTCVATNEAGEVSKHFVLKVLEPPHINGSEGPGEVSVI VNNPLELSCIASGIPAPKISWMKDGRPFLQTEQVQTLEGGAILRVSSAQVEDTGRYTCLA SSPAGDDDKEYLVRVHVPPNIAGMDEAQDFTVLRNRQVTLECKSDAVPPPVIMWLKNREQ LQATPRVRILSGGRYLQINNADLGDTANYTCVASNIAGKTTREFNLTVNVPPSIGGGPQS LVTLLNKSIALECRAEGVPAPRITWRKDGVVLAESHARYSILENGFLHIESAHVTDTGRY LCMATNVAGTDRRRIDLQVHVPPSIAMGPTNVTVTVNVQTTLACEATGIPKPSVTWRKNG HLLNVDQNQNSYRLLSSGSLVIISPSVDDTASYECTVTSDAGEDKRAVDLTVQVPPTIAD EPMDFLVTRQAPAVMTCSASGVPVPSIHWTKNGLRLLPRGDGYRILSSGAIEIPTTQLNH AGRYTCVARNAAGSAHRHVTLRVQEPPVIQPQPSELDVILNNPILLPCEATGIPTPFITW QKEGINVITSGKSLAILPSGSLQISRAVRGDAGTYMCVAQNPAGTALGKVKLNVQVPPVI SSHQKEYVVTMDKPVSLLCETEGSPPPDITWHKDGHALTESIRQRILNSGALQIAFAQPD DAGQYTCMAANMAGSSSVSSTLTVHVPPRIQSTEVHFTVNENSQAVLPCVADGIPTPAIH WEKDGVLIANLLGKYTAQPYGELILENVVLEDSGTYTCVANNAAGEDTRIVTLAVHTLPT FTELPGDLSLNKGEQLRLSCKAVGIPLPKLTWTFNNNIIPAHFDSINGHSELVIEKVSKE DSGTYVCTAENSVGFVKAIGFVYVKEPPVFKGDYPSNWIEPLGGNAILNCEVKGDPAPTI QWSRKGADIEISHRIRQLGNGSLAIYGTVNEDAGDYTCVAANEAGMVERSMSLTLQSSPI ITLEPVETVVDAGGRVILDCQAAGEPQPTITWSRQGQPISWDNRLSMLPNSSLYIAAARK EDTSEYECVARNLMGSVLVRVPVIVQVHGGFSLWSAWRPCSVTCGKGIQKRSRLCDNPPP ANGGRPCQGADSEARHCHNKLCPVDGHWSEWSFWEDCSRSCGHGNQTRTRTCSNPPAQHG GRPCEGHAVETIMCNIRPCPVHGVWNAWQPWSACSKSCGKGSQTRMRLCNNPPPSFGGAH CSGAETQMQVCNERHCPVDGRWATWSSWSACTVSCGGGARKRTRDCSDPVPQYGGNKCEG TGVQSDFCNSDPCPTHGNWSPWSGWGTCSRTCNGGQMRRYRTCDNPRPSNGGRACGGPDT QIQRCNTDMCPVDGSWGTWHSWSHCSVSCGGGERTRKRLCDNPVPTKGGRSCPGDATQVS RCNMQACPGGPQRARGSVIGNINDIEFGIAFLNATITDSPNTDTRVIQAKITNVPRSLGP AMRKIISILNPIYWTTAKEIGEAVNGFTLTNAVFKRETQVEFATGEVLRMTHVARGLDSD GALLLDVIVSGQVLQLHSPAEVGVKDYTEDYIQTGPGQLYAYSTRLFTIDGISIPYTWNH TIFYDQAWGKMPFLVETLHASSIESDYNQLEETLGFKIHASISKGDRSNQCPSGFILDSV GPFCADEDECTAGNPCSHTCHNAIGAYYCSCPKGLTIAADGRTCQDIDECALGGHTCRAG QDCDNTIGSYRCVVHCGTGFRRTSDGLSCQDINECQESSPCHQRCFNVIGSFHCGCEAGY QLKGRKCIDVNECRQNVCRPDQHCKNTRGGYKCIDLCPSGMTKAENGTCIDIDECKDGTH QCRYNQICENTRGSYRCACPRGYRSQGVGRPCIDINECEQVPKPCAHQCSNSPGSFKCIC LPGQQLLGDGKSCAGLERLSNYGTQYSSYTLERFSPVRSDYQPSQHYRQYSQLYSSYSEY RNSRASFSRNRRTIRKTCPEGSEANHETCVDIDECQNRDTCQHECKNTIGSYQCVCPPGY RLMLNGKTCQDVDECLEQNVRCGPNRMCFNMRGSYQCIDTPCPPNYQRDPVLGFCLKNCP PNDLECTLSPYALEYKLVSLPFGIAANQDLIRLVAYTQDGVMHPRTTFLMIDEEPAVPFA LRDENLKGVVYTTRPLREAETYRMKVGALSYSANGTIEYQTTFIVYIAVSAYPY >ENSMUSP00000121500.2 pep:known chromosome:GRCm38:1:150563527:150993051:-1 gene:ENSMUSG00000066842.18 transcript:ENSMUST00000137197.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmcn1 description:hemicentin 1 [Source:MGI Symbol;Acc:MGI:2685047] MIAQEVVHTVFLVALFRSSLAGDGTPQSESRAEEIPEGASTLAFVFDVTGSMYDDLVQVI EGASKILETSLKRPKRPLYNFALVPFHDPEIGPVTITTDPKKFQYELRELYVQGGGDCPE MSIGAIKIALEISLPGSFIYVFTDARSKDYRLTHEVLQLIQQKQSQVVFVLTGDCDDRNH IGYKVYEEIASTSSGQVFHLDKKQVNEVLKWVEEAVQASKVHLLSTDHLEHAVNTWKIPF DPSLKEVTVSLSGPSPVIEIRNPFGKLIKKGFGLNELLNIHNSAKVVNVKEPEAGMWTVK TSSSGRHSVRITGLSTIDFRAGFSRKPTLDFKKTMSRPVQGIPTYVLLNTSGISSPARVD RLELLSISGGSLKTIPVKHYPDRKPYGIWNISDFIPPDEAFFLKVTGYDKDGYLFQRVSS VSFSSIVPDAPKVTMPTRTLGYYLQPGQILCSVESFLPFTLSFMRDGIALGVDQYLRESA SVNWDFTKVTLSDEGFYDCIAVSSAGTGRAQTFFDVSEPPPIIQLPNNVTVTPGERAVLA CLVISAVDYNLTWQRSGRDIRLADSARIRTLANLSLELRSVKIGDAGEYRCVVSSEGGSA AASVFLTVQEKPKVTVMPKNQSFTGGSEISIMCSATGYPKPKIVWTMNEMFIMGSHRYRM TSEGTLFIKNAVPKDAGTYACLASNAAGTDKQTSTLRYIEAPKLVVEQSELLVALGDTTV MECKTSGIPPPQVKWFKGDLELRPSTFLSIDPLVGLLKIQETQDLDAGDYTCVAINEAGR ATGRLTLDVGSPPVFIQEPSDVAVEIGSNVTLPCYVQGYPEPKIKWRRLDNMPVFSRPFS VSFISQLRTGALFISNLWASDKGTYICEAENQFGKIQSQTTVTVTGLVAPLIGISPSMAS VIEGQPLTLPCTLLAGNPIPERRWMKNSAMLVQNPYITVRSDGSLHIERVRLQDGGKYTC VASNVAGTNNKTTSVAVHVLPSIQHGQQILSTIEGVPVTLPCRASGIPKPSITWSKKGEL ISTSSAKFSAGADGSLYVVSPGSEESGEYICTATNAAGYAKRKVQLTVYVRPRVFGDQRG LSQDKPVEISVLAGEEAILPCEAKSLPPPIITWAKDSQLISPFSPRHTFLPSGSMKITET RVSDSGMYLCVATNIAGNVTQSVKLSVHVPPKIQHGNRHIKVQVGQRVDILCNAHGSPPP VITWFKSGRPFLDGAQHPGSPDGTLSIEQAVISDAGVYTCAATNIAGSDEAEVTLHVQEP PSVEDLQPPFNTPFQERLANQRIEFPCPAKGTPKPTIKWLHNGREVTGQEPGVSILEDGA LLVIASVTPHNNGEYICVAVNEAGTTERKYNLKVHVPPVIRDKEHVTNVSVLTSQLASLY CEVEGTPSPVITWYKDDIQVTESSTVQIVNNGKILKLFKVSAEDAGRYSCKAINIAGTSQ KDFSVNVLVPPSILGASSPSEVSVVLNHNVTLQCPGTGVPFPAIHWFKDGKPLFLGDPNI ELSDRGQSLHLRNARRSDKGRYQCTVSNAAGKQAKDIKLTVYVPPSIKGGNITTEISALL NSIVKLECETRGLPVPAITWYKDGQVVTSSSQALYIDKGQLLHIQRAQVSDSATYTCHAA NVAGTAEKSFHVDIYVPPTIEGDLTAPSNKQVIIGQSLILECKAAGNPPPILTWLKDGVP VKASDNIHIEAGGKKLEILSALEVDRGQYICVATSVAGEREIKYEVDVLVPPAVEGGEET SYFIVLANNLLELDCQVSGSPPPTIMWLKGGQLIDERDGFKILLNGRKLVIAQAQVSDTG LYQCVATNIAGDHRKEFEVTVHVPPTIKSSDLPEKTVVRYKPVTLQCIANGIPNPSITWL KDDQPVNTAHGNLKIQSSGRVLQIAKALLEDAGRYTCVATNAAGEAHQHTQLHVHEPPSL DDAGKMRNETVVVNNPIQLECKATGKPLPVITWYKDSHPLSGSASAAFLKRGQVLEIGSA QISDAGIYKCVAINSAGATELFYSLQVHVPPSISGSSSMVEVVVNNLARLECEARGIPAP SLTWLKDGSPVSSFSNGIQILSGGRILALTSAQMSDAGRYTCVAVNAAGEKQRDIDLRVY APPNIMGEEQNVSVLIGQAVELFCQSDAVPPPTLMWLKDGRPLLKRPGLSISENGSVLKI EDAQAGDTGRYTCEATNVAGKTEKNYNVNVWVPPSIYGSDELVQLTAIEGNLITLLCESS GIPPPDLTWKKKGSLVLADSAGRVHILSGGRRLQISIAEKADAGLYTCVASNVAGVAKKE YNLQVYIRPSITNSGGHRPEITVIRGKSISLECEVQGIPQPTVTWMKDGRPLTKGKGVEI LDEGRILQLKNVHVSDTGRYVCVAVNVAGMTDKRYDLSVHAPPSIIGNHGVPENVSVVEK SSVSLTCEASGIPLPSITWLKDGWPVNLGSSVKILSGGRMLRLMQTRPEDAGQYTCIVRN AAGEDRKMFGLSVLVPPHIVGENTLEDVKIKEKQSVTLTCEVRGNPVPQITWHKDGQLLQ EDEAHHMMSGGRFLQITNAQVSHTGRYTCLASNIAGDKSKSFRLNVFVSPTIAGVDSDGS PEDVIVILNSPTSLVCEAYSYPPATITWFKDGTPLESNRNIRILPGGRTLQILNAQEDNA GRYSCVATNEAGEKIKHYEVKVYIPPIIKKGDLLGPGLSPKEVKIRVNSSLTLECEAYAI PSASLRWYKDGQPLKSDDHVTIAASGHTLQIKEAQISDTGRYTCVASNLAGEDELDFDVN IQVPPSFQKLWEIGNMLDTGRSGEAKDVIINNPLSLHCETNAAPPPTLTWYKDGRPLTSS DRVLILPGGRVLQIPRAKVEDAGRYTCVAVNEAGEDSLRYDVHVLLPPVIKGANSDLPEE VTVLVNKSTQMECSSSGNPAPRNYWQKDGQILLEDEHHKFQSDGRSLQILNAQITDTGRY VCVAENTAGSAKKYFNLNVHVPPSVIGPNHEHLSVVVNHFISLNCEVSGFPPPDLSWLKN EEPIKPNTNVLTVPGGRTLQIIRAKISDGGDYTCIAINQAGESKKKVSLTVHVPPSIKDH GSQSLSIVNVREGTSVSLECESNAVPPPVITWSKNGRMIPDSTNVEILTGGQTLHIRRAE VSDTGQYVCRAINVAGRDDKNFHLNVYVPPTIEGPETEVIVETISNPVTLTCDATGIPPP TITWLKNHKPIENSDPLEVHILSGGSKLQIARPQRSNSGNYTCVASNMEGKAQKNFILFI QVPPSVAGAEVPSEVSVLLGENVELVCNADGIPTPHLQWLRDGKPIVNGETERVRVTTDG STLNIYRALTSDMGKYTCVATNPAGEEDRIFNLNVYVPPKIRGNKEEAEKLMALVDTSIN IECKATGTPPPQINWLKNGLPLPISSHIRLLSAGQVVRIVRAQVSDIAVYTCVASNRAGV DSKHYSLQVFVPPNMDNAMGTEEITIVKGSSTSMTCFTDGTPAPSMSWLRDGQPLAPDAH LTVSTQGMVLQLIKAETEDTGKYTCVATNEAGEVSKHFVLKVLEPPHINGSEGPGEVSVI VNNPLELSCIASGIPAPKISWMKDGRPFLQTEQVQTLEGGAILRVSSAQVEDTGRYTCLA SSPAGDDDKEYLVRVHVPPNIAGMDEAQDFTVLRNRQVTLECKSDAVPPPVIMWLKNREQ LQATPRVRILSGGRYLQINNADLGDTANYTCVASNIAGKTTREFNLTVNVPPSIGGGPQS LVTLLNKSIALECRAEGVPAPRITWRKDGVVLAESHARYSILENGFLHIESAHVTDTGRY LCMATNVAGTDRRRIDLQVHVPPSIAMGPTNVTVTVNVQTTLACEATGIPKPSVTWRKNG HLLNVDQNQNSYRLLSSGSLVIISPSVDDTASYECTVTSDAGEDKRAVDLTVQVPPTIAD EPMDFLVTRQAPAVMTCSASGVPVPSIHWTKNGLRLLPRGDGYRILSSGAIEIPTTQLNH AGRYTCVARNAAGSAHRHVTLRVQEPPVIQPQPSELDVILNNPILLPCEATGIPTPFITW QKEGINVITSGKSLAILPSGSLQISRAVRGDAGTYMCVAQNPAGTALGKVKLNVQVPPVI SSHQKEYVVTMDKPVSLLCETEGSPPPDITWHKDGHALTESIRQRILNSGALQIAFAQPD DAGQYTCMAANMAGSSSVSSTLTVHVPPRIQSTEVHFTVNENSQAVLPCVADGIPTPAIH WEKDGVLIANLLGKYTAQPYGELILENVVLEDSGTYTCVANNAAGEDTRIVTLAVHTLPT FTELPGDLSLNKGEQLRLSCKAVGIPLPKLTWTFNNNIIPAHFDSINGHSELVIEKVSKE DSGTYVCTAENSVGFVKAIGFVYVKEPPVFKGDYPSNWIEPLGGNAILNCEVKGDPAPTI QWSRKGADIEISHRIRQLGNGSLAIYGTVNEDAGDYTCVAANEAGMVERSMSLTLQSSPI ITLEPVETVVDAGGRVILDCQAAGEPQPTITWSRQGQPISWDNRLSMLPNSSLYIAAARK EDTSEYECVARNLMGSVLVRVPVIVQVHGGFSLWSAWRPCSVTCGKGIQKRSRLCDNPPP ANGGRPCQGADSEARHCHNKLCPVDGHWSEWSFWEDCSRSCGHGNQTRTRTCSNPPAQHG GRPCEGHAVETIMCNIRPCPVHGVWNAWQPWSACSKSCGKGSQTRMRLCNNPPPSFGGAH CSGAETQMQVCNERHCPVDGRWATWSSWSACTVSCGGGARKRTRDCSDPVPQYGGNKCEG TGVQSDFCNSDPCPTHGNWSPWSGWGTCSRTCNGGQMRRYRTCDNPRPSNGGRACGGPDT QIQRCNTDMCPVDGSWGTWHSWSHCSVSCGGGERTRKRLCDNPVPTKGGRSCPGDATQVS RCNMQACPGGPQRARGSVIGNINDIEFGIAFLNATITDSPNTDTRVIQAKITNVPRSLGP AMRKIISILNPIYWTTAKEIGEAVNGFTLTNAVFKRETQVEFATGEVLRMTHVARGLDSD GALLLDVIVSGQVLQLHSPAEVGVKDYTEDYIQTGPGQLYAYSTRLFTIDGISIPYTWNH TIFYDQAWGKMPFLVETLHASSIESDYNQLEETLGFKIHASISKGDRSNQCPSGFILDSV GPFCADEDECTAGNPCSHTCHNAIGAYYCSCPKGLTIAADGRTCQDIDECALGGHTCRAG QDCDNTIGSYRCVVHCGTGFRRTSDGLSCQDINECQESSPCHQRCFNVIGSFHCGCEAGY QLKGRKCIDVNECRQNVCRPDQHCKNTRGGYKCIDLCPSGMTKAENGTCIDIDECKDGTH QCRYNQICENTRGSYRCACPRGYRSQGVGRPCIDIDECQNRDTCQHECKNTIGSYQCVCP PGYRLMLNGKTCQDVDECLEQNVRCGPNRMCFNMRGSYQCIDTPCPPNYQRDPVLGFCLK NCPPNDLECTLSPYALEYKLVSLPFGIAANQDLIRLVAYTQDGVMHPRTTFLMIDEEPAV PFALRDENLKGVVYTTRPLREAETYRMKVGALSYSANGTIEYQTTFIVYIAVSAYPY >ENSMUSP00000134985.1 pep:known chromosome:GRCm38:1:150563684:150583212:-1 gene:ENSMUSG00000066842.18 transcript:ENSMUST00000177036.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmcn1 description:hemicentin 1 [Source:MGI Symbol;Acc:MGI:2685047] CGCEAGYQLKGRKCIDVNECRQNVCRPDQHCKNTRGGYKCIDLCPSGMTKAENGTCIDID ECKDGTHQCRYNQICENTRGSYRCACPRGYRSQGVGRPCIDIDECQNRDTCQHECKNTIG SYQCVCPPGYRLMLNGKTCQGSALRTVHPMTWNVP >ENSMUSP00000133954.1 pep:known chromosome:GRCm38:9:78311972:78312961:-1 gene:ENSMUSG00000066463.12 transcript:ENSMUST00000173321.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Omt2a description:oocyte maturation, alpha [Source:MGI Symbol;Acc:MGI:106620] XAMFVIVSLACNLRTKRNRGVALSA >ENSMUSP00000126342.2 pep:known chromosome:GRCm38:9:78312004:78314016:-1 gene:ENSMUSG00000066463.12 transcript:ENSMUST00000166056.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Omt2a description:oocyte maturation, alpha [Source:MGI Symbol;Acc:MGI:106620] MDTLVFTKVHLESWVYKGLRGQHDSILELLKENLHHYLCIEFDEGLNDTVVYIIGFKFFA MFAMFVIVSLACNLRTKRNRARAWARGLQSPVWWNRRYFI >ENSMUSP00000082416.4 pep:known chromosome:GRCm38:9:78312304:78313447:-1 gene:ENSMUSG00000066463.12 transcript:ENSMUST00000085308.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Omt2a description:oocyte maturation, alpha [Source:MGI Symbol;Acc:MGI:106620] MDTLVFTKVHLESWVYKGLRGQHDSILELLKENLHHYLCIEFDEGLNDTVVYIIGFKFFA MFAMFVIVSLACNLRTKRNRAVSLRARSLKVNRELVKNVYLPSQ >ENSMUSP00000109003.2 pep:known chromosome:GRCm38:9:78311972:78314797:-1 gene:ENSMUSG00000066463.12 transcript:ENSMUST00000113376.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Omt2a description:oocyte maturation, alpha [Source:MGI Symbol;Acc:MGI:106620] MNPGVLMPCNPPTYSILELLKENLHHYLCIEFDEGLNDTVVYIIGFKFFAMFAMFVIVSL ACNLRTKRNRARAWARGLQSPVWWNRRYFI >ENSMUSP00000066906.3 pep:known chromosome:GRCm38:6:23648869:23650305:-1 gene:ENSMUSG00000051956.4 transcript:ENSMUST00000063548.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf133 description:ring finger protein 133 [Source:MGI Symbol;Acc:MGI:2677436] MNPLQTSTWQNQAPSFWLLRFSFIWLVSQKCCTASAVWTAYMNISFHVGNRMLSELGETG VFGRSSILKRVAGVVVPPEGKIQNACDPNTTFILPRNKEPWIALIERGGCAFTQKIKVAS EHGARGVIIYNFPGTGNQVFPMSHQAFEDIVVVMIGNIKAYFTFYHIRRLWVARIENRRW KRLTRELKKAFGQLQVRVLKEGDEEVNPNADSCVICFEAYKPNEIVRILTCKHFFHKNCI DPWILAHGTCPMCKCDILKALGIQMDIEDGTDSLQVLMSNELPGTLSPVEEETNYELPPA RTSSKVTHVQEHPTSSANAGSQPPEAEETSHPSHGQQVL >ENSMUSP00000111011.1 pep:known chromosome:GRCm38:6:23648874:23650206:-1 gene:ENSMUSG00000051956.4 transcript:ENSMUST00000115354.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf133 description:ring finger protein 133 [Source:MGI Symbol;Acc:MGI:2677436] MNPLQTSTWQNQAPSFWLLRFSFIWLVSQKCCTASAVWTAYMNISFHVGNRMLSELGETG VFGRSSILKRVAGVVVPPEGKIQNACDPNTTFILPRNKEPWIALIERGGCAFTQKIKVAS EHGARGVIIYNFPGTGNQVFPMSHQAFEDIVVVMIGNIKGMEILHLIRKGVHVTVMVEVG RKHVIWLNHYFVSFMIVTTATLAYFTFYHIRRLWVARIENRRWKRLTRELKKAFGQLQVR VLKEGDEEVNPNADSCVICFEAYKPNEIVRILTCKHFFHKNCIDPWILAHGTCPMCKCDI LKALGIQMDIEDGTDSLQVLMSNELPGTLSPVEEETNYELPPARTSSKVTHVQEHPTSSA NAGSQPPEAEETSHPSHGQQVL >ENSMUSP00000036359.5 pep:known chromosome:GRCm38:18:37765580:37841873:1 gene:ENSMUSG00000102428.1 transcript:ENSMUST00000044851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga12 description:protocadherin gamma subfamily A, 12 [Source:MGI Symbol;Acc:MGI:1935229] MIPMQRREDCKGLVFLGVLLGMLWKAGSTQIRYSVPEELEKGSRVGNISKDLGLEPRELE KRGVRIVSRGRAQLFALNPRSGSLVTAGRIDREELCMGSIKCQLNLEILMEDIGKIYGVE IEVRDINDNAPYFRESELEIKMSENAAAGMRFPLPHAWDPDIGKNSLQSYQLSPNAHFSL EVQNGADGNKYPELVLESSLDREEKAAHLLVLTASDAGDPVRTGTARIRVMVVDANDNAP AFARSEYRVSVRENVAVGTQLLLVNATDPDEGANAEVIYSFRYVDDKAAKVFKLDSNLGT ISTIGELNHEESGFYEMEVQATDNAGYSARAKVLVTVLDVNDNAPEVAITSLTNSVPENS PQGTLIALLNVNDQDSGENGQVICSIQENLPFKLEKSYGNYYRLVTDAVLDREEVPSYNI TMTATDRGSPPLTTETHLALDIADTNDNSPVFLQASYWAYIPENNPRGASIASVTAHDPD SDKNAQVTYSLAEDTHQGVPLSSYVSINSDTGVLYALHSFDYEQFPDLQLQVIARDSGDP PLSSNVSLSLFVLDQNDNVPEILYPTLPTDGSTGVELAPRSAEPGYLVTKVVAVDRDSGQ NAWLSYRLLKASEPGLFSVGLHTGEISTARALMDRDALKQNLVVSVQDHGQPPLSATVTL TVAIADSIPDVLADLDNLESPSTSETSGLTLYLVVAVAAVSTVFLVFVLVLLALRLRRWH SLRLLRAGGPGLADVAASHFVGVDGVQAFLQTYSHEVSLTAGSRKSHLIFPQPNYADTLI SQESCEKTEPLLPSGDSVFSKDNHALNQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPN NQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGS NATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000144147.1 pep:known chromosome:GRCm38:5:65934921:65969160:1 gene:ENSMUSG00000029205.12 transcript:ENSMUST00000202957.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna9 description:cholinergic receptor, nicotinic, alpha polypeptide 9 [Source:MGI Symbol;Acc:MGI:1202403] MDERNQILTAYLWIRQ >ENSMUSP00000144364.1 pep:known chromosome:GRCm38:5:65934928:65971238:1 gene:ENSMUSG00000029205.12 transcript:ENSMUST00000201814.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna9 description:cholinergic receptor, nicotinic, alpha polypeptide 9 [Source:MGI Symbol;Acc:MGI:1202403] MVYSADADVHGRPRQPQAVETANGKYAQKLFSDLFEDYSNALRPVEDTDAVLNVTLQVTL SQIKDMDERNQILTAYLWIRQTWHDAYLTWDRDQYDGLDSIRIPSDLVWRPDIVLYNKAD DESSEPVNTNVVLRYDGLITWDSPAITKSSCVVDVTYFPFDSQQCNLTFGSWTYNGNQVD IFNALDSGDLSDFIEDVEWEVQGMPAVKNVISYGCCSEPYPDVTFTLLLKRRSSFYIVNL LIPCVLISFLAPLSFYLPA >ENSMUSP00000031108.6 pep:known chromosome:GRCm38:5:65967124:65977326:1 gene:ENSMUSG00000029205.12 transcript:ENSMUST00000031108.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna9 description:cholinergic receptor, nicotinic, alpha polypeptide 9 [Source:MGI Symbol;Acc:MGI:1202403] MNRPHPCISFCWMYFAASGIKAVETANGKYAQKLFSDLFEDYSNALRPVEDTDAVLNVTL QVTLSQIKDMDERNQILTAYLWIRQTWHDAYLTWDRDQYDGLDSIRIPSDLVWRPDIVLY NKADDESSEPVNTNVVLRYDGLITWDSPAITKSSCVVDVTYFPFDSQQCNLTFGSWTYNG NQVDIFNALDSGDLSDFIEDVEWEVQGMPAVKNVISYGCCSEPYPDVTFTLLLKRRSSFY IVNLLIPCVLISFLAPLSFYLPAASGEKVSLGVTILLAMTVFQLMVAEIMPASENVPLIG KYYIATMALITASTALTIMVMNIHFCGAEARPVPHWAKVVILKYMSRILFVYDVGESCLS PRHSQEPEQITKVYGKLPGSNLKASRNKDLSRKKELRKLLKNDLGYQGGIPQNTDSYCAR YEALTKNIEYIAKCLKDHKATNSKGSEWKKVAKVIDRFFMWIFFAMVFVMTVLIIARAD >ENSMUSP00000091308.2 pep:known chromosome:GRCm38:9:100552188:100571090:-1 gene:ENSMUSG00000070287.3 transcript:ENSMUST00000093792.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35g2 description:solute carrier family 35, member G2 [Source:MGI Symbol;Acc:MGI:2685365] MDTSPSKKYPIKKRVKIHPNTVMVKYTSHYPQPGDDGYEEINEDYGRFMEENPKKSLLSE MRRKGRTLFGTMDTQPSSSEDPRASGMGQFQSFAEKNIFQSRKMWLVLFGSALAHGCVAL ITRLISDRSKVPSLELIFIRSVLQVLSVIVVCYYQEAPFGPSGYRLRLFFYGVCNVISIT CAYTSFSIVPPSNGTTMWRATTTVFSAILAFLLVDEKMAYVDMATVVCSILGVCLVMIPN IADEDNSLLNAWKEAFGYTMTVMAGLTTALSMIVYRSIREKISMWTALFTFGWTGTIWGL STMFVLQEPIIPLDGATWSYLIAICLCSTAAFLGVYYALDKFHPALVSTVQHLEIVVAMV LQLLVLHIFPSVYDVFGGVIIMISVFVLAGYKLYWRNVRREDYQEILDSPIK >ENSMUSP00000108788.1 pep:known chromosome:GRCm38:X:135579555:135598777:-1 gene:ENSMUSG00000031410.14 transcript:ENSMUST00000113163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf7 description:nuclear RNA export factor 7 [Source:MGI Symbol;Acc:MGI:2159343] MCSNERKQGSFRYTGTTNAWQSPMGRKKDRVSSLSYAGKRTDHYEHTGHTILPFKAQSDG GNLEMRAVLWNPSVRHTPYVGQHRSREEEHMEDQIHVTAQRDDKPEQREAGQFTEDGELG SWFKVTVPCGRKYDKTQLMNSIHSLCSVPFTPVDFHCDKHRIQFFVPDFRIASALKDISY KIHNEYFQKIPIFVNPSVAPYSVQNRFTKEEMEQLKLAMRKRYDVSQHALCLKKLRFDPD LMKNNIHMILNHRSCMAATLQIIQENFPKLLSLNLSSNKLFQLDSLIDVVKKAPQLKILN LSKNMLRTVWELEKMKGLKLEQLWLEGNPLCSTFPDRSSYIRAVLECFPELSYLDGRKLL LPTVMNTQERKLMKPCKDIFMGSEVIKNQVHRFLREYYLMYDSEERQGLLNIYHDQACFS LTIPFNPNDPDLNSMYVYFKDENDMKNFKEFHIQRQLLKYTKQDIVECLRGFPQTLHAFS SFQVNICFQMETMLCFSVCGLFKEEGTPKECVRAFMRIFIALLGSSNMYIVNDQLFVRNP SSEEINSAFVIPSPTSYSNFKLVLSQEQQRMVQAFSTQSGMKLEWSQKCLEDNKWDYARA AEVFTMLQTKCKIPKEFFKQ >ENSMUSP00000122993.1 pep:known chromosome:GRCm38:X:135579555:135588980:-1 gene:ENSMUSG00000031410.14 transcript:ENSMUST00000137977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf7 description:nuclear RNA export factor 7 [Source:MGI Symbol;Acc:MGI:2159343] XGQFTEDGELGSWFKVTVPCGRKYDKTQLMNSIHSLCSVPFTPVDFHCDKHRIQFFVPDF RIASALKDISYKIHNEYFQKIPIFVNPSVAPYSVQNRFTKEEMEQLKLAMRKRYDVSQHA LCLKKLRFDPDLMKNNIHMILNHRSCMAATLQIIQENFPKLRTVWELEKMKGLKLEQLWL EGNPLCSTFPDRSSYIRAVLECFPELSYLDGRKLLLPTVMNTQERKLMKPCKDIFMGSEV IKNQVHRFLREYYLMYDSEERQGLLNIYHDQACFSLTIPFNPNDPDLNSMYVYFKDENDM KNFKEFHIQRQLLKYTKQDIVECLRGFPQTLHAFSSFQVNICFQMETMLCFSVCGLFKEE GTPKECVRAFMRIFIALLGSSNMYIVNDQLFVRNPSSEEINSAFVIPSPTSYSNFKLVLS QEQQRMVQAFSTQSGMKLEWSQKCLEDNKWDYARAAEVFTMLQTKCKIPKEFFKQ >ENSMUSP00000117622.1 pep:known chromosome:GRCm38:X:135588626:135596707:-1 gene:ENSMUSG00000031410.14 transcript:ENSMUST00000141310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf7 description:nuclear RNA export factor 7 [Source:MGI Symbol;Acc:MGI:2159343] MCSNERKQGSFRYTGRKKDRVSSLSYAGKRTDHYEHTGHTILPFKAQSDGGNLEMRAVLW NPSVRHTPYVGQHRSREEEHMEDQIHVTAQRDDKPEQREAGQFTEDGELGSWFKVTVPCG RKYDKTQ >ENSMUSP00000119764.1 pep:known chromosome:GRCm38:X:135588443:135598758:-1 gene:ENSMUSG00000031410.14 transcript:ENSMUST00000150900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf7 description:nuclear RNA export factor 7 [Source:MGI Symbol;Acc:MGI:2159343] MCSNERKQGSFRYTGRKKDRVSSLSYAGKRTDHYEHTGHTILPFKAQSDGGNLEMRAVLW NPSVRHTPYVGQHRSREEEHMEDQIHVTAQRDDKPEQREAGQFTEDGELGSWFKVTVPCG RKYDKTQLMNSIHSLCSVPFTPVDFHCDKHRIQFFV >ENSMUSP00000033784.6 pep:known chromosome:GRCm38:X:135579787:135593855:-1 gene:ENSMUSG00000031410.14 transcript:ENSMUST00000033784.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxf7 description:nuclear RNA export factor 7 [Source:MGI Symbol;Acc:MGI:2159343] MCSNERKQGSFRYTGTTNAWQSPMGRKKDRVSSLSYAGKRTDHYEHTGHTILPFKAQSDG GNLEMRAVLWNPSVRHTPYVGQHRSREEEHMEDQIHVTAQRDDKPEQREAGQFTEDGELG SWFKVTVPCGRKYDKTQLMNSIHSLCSVPFTPVDFHCDKHRIQFFVPDFRIASALKDISY KIHNEYFQKIPIFVNPSVAPYSVQNRFTKEEMEQLKLAMRKRYDVSQHALCLKKLRFDPD LMKNNIHMILNHRSCMAATLQIIQENFPKLLSLNLSSNKLFQLDSLIDVVKKAPQLKILN LSKNMLRTVWELEKMKGLKLEQLWLEGNPLCSTFPDRSSYIRAVLECFPELSYLDGRKLL LPTVMNTQERKLMKPCKDIFMGSEVIKNQVHRFLREYYLMYDSEERQGLLNIYHDQACFS LTIPFNPNDPDLNSMYVYFKDENDMKNFKEFHIQRQLLKYTKQDIVECLRGFPQTLHAFS SFQVNICFQMETMLCFSVCGLFKEEGTPKECVRAFMRIFIALLGSSNMYIVNDQLFVRNP SSEEINSAFVIPSPTSYSNFKLVLSQEQQRMVQAFSTQSGMKLEWSQKCLEDNKWDYARA AEVFTMLQTKCKIPKEFFKQ >ENSMUSP00000084054.5 pep:known chromosome:GRCm38:1:91540544:91556088:1 gene:ENSMUSG00000026311.16 transcript:ENSMUST00000086843.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb1 description:ankyrin repeat and SOCS box-containing 1 [Source:MGI Symbol;Acc:MGI:1929735] MAEGGTGPDGRAGPGPAGPNLKEWLREQFCDHPLEHCDDTRLHDAAYVGDLQTLRNLLQE ESYRSRINEKSVWCCGWLPCTPLRIAATAGHGNCVDFLIRKGAEVDLVDVKGQTALYVAV VNGHLESTEILLEAGADPNGSRHHRSTPVYHASRVGRDDILKALIRYGADVDVNHHLTPD TRPPFSRRLTSLVVCPLYISAAYHNLQCFRLLLQAGANPDFNCNGPVNTQEFYRGSPGCV MDAVLRHGCEAAFVSLLVEFGANLNLVKWESLGPEARGRRKMDPEALQVFKEARSIPRTL LSLCRVAVRRALGKYRLHLVPSLPLPDPIKKFLLYE >ENSMUSP00000027538.8 pep:known chromosome:GRCm38:1:91540714:91559589:1 gene:ENSMUSG00000026311.16 transcript:ENSMUST00000027538.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb1 description:ankyrin repeat and SOCS box-containing 1 [Source:MGI Symbol;Acc:MGI:1929735] MDAVLRHGCEAAFVSLLVEFGANLNLVKWESLGPEARGRRKMDPEALQVFKEARSIPRTL LSLCRVAVRRALGKYRLHLVPSLPLPDPIKKFLLYE >ENSMUSP00000140281.1 pep:known chromosome:GRCm38:1:91540732:91554824:1 gene:ENSMUSG00000026311.16 transcript:ENSMUST00000190484.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb1 description:ankyrin repeat and SOCS box-containing 1 [Source:MGI Symbol;Acc:MGI:1929735] MAEGGTGPDGRAGPGPAGPNLKEWLREQFCDHPLEHCDDTRLHDAAYVGDLQTLRNLLQE ESYRRYGADVDVNHHLTPDTRPPFSRRLTSLVVCPLYISAAYHNLQCFRLLLQAGANPDF NCNGPVNTQEFYRGSPGCVMDAVLRHGCEAAFVSLLVEFGANLNLVKWESLGPEARGRRK MDPEALQVFKEARSIPRTLLSLCRVAVRRALGKYRLHL >ENSMUSP00000140225.1 pep:known chromosome:GRCm38:1:91540732:91554824:1 gene:ENSMUSG00000026311.16 transcript:ENSMUST00000186068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb1 description:ankyrin repeat and SOCS box-containing 1 [Source:MGI Symbol;Acc:MGI:1929735] MAEGGTGPDGRAGPGPAGPNLKEWLREQFCDHPLEHCDDTRLHDAAYVGDLQTLRNLLQE ESYRRYSQDLAEFVPGGCEKSSWQIPTAS >ENSMUSP00000140165.1 pep:known chromosome:GRCm38:1:91541080:91552779:1 gene:ENSMUSG00000026311.16 transcript:ENSMUST00000188081.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb1 description:ankyrin repeat and SOCS box-containing 1 [Source:MGI Symbol;Acc:MGI:1929735] MGFGSSSSAGPNLKEWLREQFCDHPLEHCDDTRLHDAAYVGDLQTLRNLLQEESYRSRIN EKSVWCCGWLPCTPLRIAATAGHGNCVDFLIRKGAEVDLVDVKGQTALYVAVVNGHLEST EILLEAGADPNGSRHHRSTPVYHASRVGRDDILKALIRYGADVDVNHHLTPDTRPPFSRR LTSLVVCPLYISAAYHNLQCFRLLLQAGANPDFNCNGPVNTQEFYRGSPGCVMDAVLRHG CEAAFVSLLVEFGANLNLVKWESLGPEARGRRKMDPEALQVFKEARSKWPELSSVLWSGV D >ENSMUSP00000140731.1 pep:known chromosome:GRCm38:1:91541080:91555117:1 gene:ENSMUSG00000026311.16 transcript:ENSMUST00000188879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb1 description:ankyrin repeat and SOCS box-containing 1 [Source:MGI Symbol;Acc:MGI:1929735] MGFGSSSSAGPNLKEWLREQFCDHPLEHCDDTRLHDAAYVGDLQTLRNLLQEESYRSRIN EKSVWCCGWLPCTPLRIAATAGHGNCVDFLIRKGAEVDLVDVKGQTALYVAVVNGHLEST EILLEAGADPNGSRHHRSTPVYHASRVGRDDILKALIRYGADVDVNHHLTPDTRPPFSRR LTSLVVCPLYISAAYHNLQCFRLLLQAGANPDFNCNGPVNTQEFYRGSPGCVMDAVLRHG CEAAFVSLLVEFGANLNLVKWESLGPEARGRRKMDPEALQVFKEARSIPRTLLSLCRVAV RRALGKYRLHLVPSLPLPDPIKKFLLYE >ENSMUSP00000080767.7 pep:known chromosome:GRCm38:2:125247190:125257748:1 gene:ENSMUSG00000027203.15 transcript:ENSMUST00000082122.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dut description:deoxyuridine triphosphatase [Source:MGI Symbol;Acc:MGI:1346051] MPLLSVLLRARLQAALLRGRALGSARSRSCRGSRGAPAGSARADAAAVSASKRARAEDGA SLRFVRLSEHATAPTRGSARAAGYDLFSAYDYTISPMEKAIVKTDIQIAVPSGCYGRVAP RSGLAVKHFIDVGAGVIDEDYRGNVGVVLFNFGKEKFEVKKGDRIAQLICERISYPDLEE VQTLDDTERGSGGFGSTGKN >ENSMUSP00000057854.8 pep:known chromosome:GRCm38:2:125247482:125258608:1 gene:ENSMUSG00000027203.15 transcript:ENSMUST00000051605.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dut description:deoxyuridine triphosphatase [Source:MGI Symbol;Acc:MGI:1346051] MPCSEDAAAVSASKRARAEDGASLRFVRLSEHATAPTRGSARAAGYDLFSAYDYTISPME KAIVKTDIQIAVPSGCYGRVAPRSGLAVKHFIDVGAGVIDEDYRGNVGVVLFNFGKEKFE VKKGDRIAQLICERISYPDLEEVQTLDDTERGSGGFGSTGKN >ENSMUSP00000141803.1 pep:known chromosome:GRCm38:18:37707039:37841873:1 gene:ENSMUSG00000103793.1 transcript:ENSMUST00000195823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga6 description:protocadherin gamma subfamily A, 6 [Source:MGI Symbol;Acc:MGI:1935218] MAALQRRPPCCELLLLLNLLWWLWGSEAGQLRYSIPEEVEKGSFVGSIAKDLGLQPRELA ERGIRIISRGRSQLFSLNQRSGSLVTAGRIDREELCAQSAPCLVSFNILIEDKLNLYPVE VEIVDINDNAPRFLKEEMELKILENAALSSHFLLMGVYDPDVGVNSIQGFKLSGSSHFSV HVQSQDHGPKYPELVLEHSLDREEEAVHHLVLVAMDGGDPVRTGMARILVTVVDVNDNAP VFTQPIYRVSVPENLPVGTRVLTVNATDQDEGIHAEITYSFVRITEEISQIFCLNPLTGE ISTSETLDYEDSRFYELDVEARDQSDLQDRAKVLITILDMNDNAPEVVVTSGSRAIAENS PSGTVIALFQVYDKDSERNGLVICSISESLPFKLEESLDNYFRLVTNTELDREQVSSYNI TVTATDRGTPPLSTKIFISLDVADINDNPPVFSRSSYSVYVSENNPKGVSIFSLNAVDPD SEENAEIIYSLAKETLQGTPLSSFLSINSITGVLYALCSFDYEQFRELNLLVTASDRGKP PLSSNVSLNLFVLDQNDNVPEILYPVLPTDGSTGVELAPRSAEPGYLVTKVVAVDKDSGQ NAWLSYRLIKASEPGLFSVGLHTGEIRTARVLLDRDALKQSLVVAVQDHGQPPLSATVTL TVAVASSIPDILADLGSLDLPHKSEDSSLTIYLVVAVATVSCIFFAFVMGLLVLRLWHWH KSRLLKATRKGVSNMSTSHFVGIDGVQAFLQTYSHEVSLTADSRKSHLIFPQPNYAHTLI SQEGCEKNEPLLIQEDSAFCKEEDSLDQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPN NQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGS NATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000014750.8 pep:known chromosome:GRCm38:11:70644196:70647058:-1 gene:ENSMUSG00000014606.14 transcript:ENSMUST00000014750.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a11 description:solute carrier family 25 (mitochondrial carrier oxoglutarate carrier), member 11 [Source:MGI Symbol;Acc:MGI:1915113] MAATASPGAGRMDGKPRTSPKSVKFLFGGLAGMGATVFVQPLDLVKNRMQLSGEGAKTRE YKTSFHALTSILKTEGLKGIYTGLSAGLLRQATYTTTRLGIYTVLFERLTGADGTPPGFL LKALIGMTAGATGAFVGTPAEVALIRMTADGRLPADQRRGYKNVFNALVRIAREEGVPTL WRGCIPTMARAVVVNAAQLASYSQSKQFLLDSGYFSDNILCHFCASMISGLVTTAASMPV DIVKTRIQNMRMIDGKPEYKNGLDVLLKVVRYEGFFSLWKGFTPYYARLGPHTVLTFIFL EQMNKAYKRLFLSG >ENSMUSP00000114685.1 pep:known chromosome:GRCm38:11:70645231:70647479:-1 gene:ENSMUSG00000014606.14 transcript:ENSMUST00000139638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a11 description:solute carrier family 25 (mitochondrial carrier oxoglutarate carrier), member 11 [Source:MGI Symbol;Acc:MGI:1915113] MGATVFVQPLDLVKNRMQLSGEGAKTREYKTSFHALTSILKTEGLKGIYTGLSAGLLRQA TYTTTRLGIYTVLFERLTGADGTPPGFLLKALIGMTAGATGAFVGTPAEVALIRMTADGR LPADQRRGYKNVFNALVRIAREEGVPTLWRGCIPTMARAVVVNAAQLASYSQSKQFLLDS GYFSDNILCHFCA >ENSMUSP00000120900.1 pep:known chromosome:GRCm38:11:70645915:70646899:-1 gene:ENSMUSG00000014606.14 transcript:ENSMUST00000136383.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a11 description:solute carrier family 25 (mitochondrial carrier oxoglutarate carrier), member 11 [Source:MGI Symbol;Acc:MGI:1915113] MGATVFVQPLDLVKNRMQLSGEGAKTREYKTSFHALTSILKTEGLKGIYTGLSAGLLRQA TYTTTRLGIYTVLFER >ENSMUSP00000123319.1 pep:known chromosome:GRCm38:9:50605240:50617464:-1 gene:ENSMUSG00000059820.14 transcript:ENSMUST00000131351.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU019823 description:expressed sequence AU019823 [Source:MGI Symbol;Acc:MGI:2143205] MSRVPLGKVLLRNVIRHTDAHNKIQEESDMWKIRELEKQMEDAYQGTRRNTVPSSSSRMR SDGFDEESQRDYWRSKNEISGALEDDFLKTKSWNKKLYDYESNIPDRWGHSGYKELYPEE FETDSSDQQDITNGKKPSPQVKSSARESRKHKKSKKSHKKKQKKRSHKKQKKNKKEVTDI TADSSSEFSEETRASSTRKRKQPHKSKKKSRKKSPKITFPLGGESGTSQSEDSASSSSED IEERDTKKTKRKKKEKSVHVPMPDPEVQERTSKRRNWKVATDARSAESSEDD >ENSMUSP00000117265.1 pep:known chromosome:GRCm38:9:50607701:50617264:-1 gene:ENSMUSG00000059820.14 transcript:ENSMUST00000147671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU019823 description:expressed sequence AU019823 [Source:MGI Symbol;Acc:MGI:2143205] MSRVPLGKVLLRNVIRHTDAHNKIQEESDMWKIRELEKQMEDAYQGTRRNTVPSSSSRMR SDGFDEESQRDYWRSKNEISGALEDDFLKTKSWNKKLYDYESNIPDRWGHSGYKELYPEE FETDSSDQQDITNGKKPSPQVKSSARESRKHKKSKKSHKKKQKKRSHKKQKKNKKEVTDI TADSSSEFSEETRASSTRKRKQP >ENSMUSP00000121198.1 pep:known chromosome:GRCm38:9:50607668:50617281:-1 gene:ENSMUSG00000059820.14 transcript:ENSMUST00000155435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU019823 description:expressed sequence AU019823 [Source:MGI Symbol;Acc:MGI:2143205] MSRVPLGKVLLRNVIRHTDAHNKIQEESDMWKIRELEKQMEDAYQGTRRNTVPSSSSRMR SDGFDEESQRDYWRSKNEISGALEDDFLKTKSWNKKLYDYESNIPDRWGHSGYKELYPEE FETDSSDQQDITNGKKPSPQVKSSARESRKHKKSKKSHKKKQKKRSHKKQKKNKKEVTDI TADSSSEFSEETRASSTRKRKQPHKSKKKSRKKS >ENSMUSP00000115196.1 pep:known chromosome:GRCm38:9:50607559:50617267:-1 gene:ENSMUSG00000059820.14 transcript:ENSMUST00000145139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU019823 description:expressed sequence AU019823 [Source:MGI Symbol;Acc:MGI:2143205] MSRVPLGKVLLRNVIRHTDAHNKIQEESDMWKIRELEKQMEDAYQGTRRNTVPSSSSRMR SDGFDEESQRDYWRSKNEISGALEDDFLKTKSWNKKLYDYESNIPDRWGHSGYKELYPEE FETDR >ENSMUSP00000133259.1 pep:known chromosome:GRCm38:9:50605244:50617464:-1 gene:ENSMUSG00000059820.14 transcript:ENSMUST00000171462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU019823 description:expressed sequence AU019823 [Source:MGI Symbol;Acc:MGI:2143205] MSRVPLGKVLLRNVIRHTDAHNKIQEESDMWKIRELEKQMEDAYQGTRRNTVPSSSSRMR SDGFDEESQRDYWRSKNEISGALEDDFLKTKSWNKKLYDYESNIPDRWGHSGYKELYPEE FETDSSDQQDITNGKKPSPQVKSSARESRKHKKSKKSHKKKQKKRSHKKQKKNKKEVTDI TADSSSEFSEETRASSTRKRKQPHKSKKKSRKKSPKITFPLGGESGTSQSEDSASSSSED IEERDTKKTKRKKKEKSVHVPMPDPEVQERTSKRRNWKVATDARSAESSEDD >ENSMUSP00000105861.2 pep:known chromosome:GRCm38:2:131050817:131063814:-1 gene:ENSMUSG00000027318.17 transcript:ENSMUST00000110232.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam33 description:a disintegrin and metallopeptidase domain 33 [Source:MGI Symbol;Acc:MGI:1341813] MGSRCGRPGGSPVLLLLPLLLPSCPLRSARMFPGNAHGELVTPHWILEGRLWLKVTLEEP ILKPDSVLVALEAEGQDLLLELEKKHKLLAPGYTETHYRPDGHPVVLSPNHTDHCQYHGR VRGFRESWVVLSTCSGMSGLIVLSSKVSYYLQPRTPGDTKDFPTHEIFRMEQLFTWRGVQ RDKNSQYKAGMASLPHVPQSRVRREARRSPRYLELYIVADHTLFLLQHQNLNHTRQRLLE VANCVDQILRTLDIQLVLTGLEVWTEQDLSRITQDANETLWAFLQWRRGVWARRPHDSTQ LLTGRTFQGTTVGLAPVEGICRAESSGGVSTDHSELPIGTAATMAHEIGHSLGLHHDPEG CCVQADAEQGGCVMEAATGHPFPRVFSACSRRQLRTFFRKGGGPCLSNTSAPGLLVLPSR CGNGFLEAGEECDCGSGQKCPDPCCFAHNCSLRAGAQCAHGDCCARCLLKSAGTPCRPAA TDCDLPEFCTGTSPYCPADVYLLDGSPCAEGRGYCLDGWCPTLEQQCQQLWGPGSKPAPE PCFQQMNSMGNSQGNCGQDHKGSFLPCAQRDALCGKLLCQGGEPNPLVPHIVTMDSTILL EGREVVCRGAFVLPDSHLDQLDLGLVEPGTGCGPRMVCQDRHCQNATSQELERCLTACHN GGVCNSNRNCHCAAGWAPPFCDKPGLGGSVDSGPAQSANRDAFPLAMLLSFLLPLLPGAG LAWCYYQLPTFCHRRGLCCRRDPLWNRDIPLGSVHPVEFGSIITGEPSPPPPWTSCQQRS HPPSLDLLSDPANSELT >ENSMUSP00000122608.1 pep:known chromosome:GRCm38:2:131050863:131056367:-1 gene:ENSMUSG00000027318.17 transcript:ENSMUST00000135149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam33 description:a disintegrin and metallopeptidase domain 33 [Source:MGI Symbol;Acc:MGI:1341813] XLSSKVSYYLQPRTPGDTKDFPTHEIFRMEQLFTWRGVQRDKNSQYKAGMASLPHVPQSR VRREARRSPRYLELYIVADHTLFLLQHQNLNHTRQRLLEVANCVDQILRTLDIQLVLTGL EVWTEQDLSRITQDANETLWAFLQWRRGVWARRPHDSTQLLTGRTFQGTTVGLAPVEGIC RAESSGGVSTDHSELPIGTAATMAHEIGHSLGLHHDPEGCCVQADAEQGGCVMEAATGHP FPRVFSACSRRQLRTFFRKGGGPCLSNTSAPGLLVLPSRCGNGFLEAGEECDCGSGQKCP DPCCFAHNCSLRAGAQCAHGDCCARCLLKSAGTPCRPAATDCDLPEFCTGTSPYCPADVY LLDGSPCAEGRGYCLDGWCPTLEQQCQQLWGPGSKPAPEPCFQQMNSMGNSQGNCGQDHK GSFLPCAQRDALCGKLLCQGGEPNPLVPHIVTMDSTILLEGREVVCRGAFVLPDSHLDQL DLGLVEPGTGCGPRMRHTPGQCASGGVWLHHHWRALAPSPMDLLPTAFAPSIS >ENSMUSP00000139344.1 pep:known chromosome:GRCm38:2:131050875:131063545:-1 gene:ENSMUSG00000027318.17 transcript:ENSMUST00000183552.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam33 description:a disintegrin and metallopeptidase domain 33 [Source:MGI Symbol;Acc:MGI:1341813] MGSRCGRPGGSPVLLLLPLLLPSCPLRSARMFPGNAHGELVTPHWILEGRLWLKVTLEEP ILKPDSVLVALEAEGQDLLLELEKKHKLLAPGYTETHYRPDGHPVVLSPNHTDHCQYHGR VRGFRESWVVLSTCSGMSGLIVLSSKVSYYLQPRTPGDTKDFPTHEIFRMEQLFTWRGVQ RDKNSQYKAGMASLPHVPQSRVRREARRSPRYLELYIVADHTLFLLQHQNLNHTRQRLLE VANCVDQILRTLDIQLVLTGLEVWTEQDLSRITQDANETLWAFLQWRRGVWARRPHDSTQ LLTGRTFQGTTVGLAPVEGICRAESSGGVSTDHSELPIGTAATMAHEIGHSLGLHHDPEG CCVQADAEQGGCVMEAATGHPFPRVFSACSRRQLRTFFRKGGGPCLSNTSAPGLLVLPSR CGNGFLEAGEECDCGSGQKCPDPCCFAHNCSLRAGAQCAHGDCCARCLLKSAGTPCRPAA TDCDLPEFCTGTSPYCPADVYLLDGSPCAEGRGYCLDGWCPTLEQQCQQLWGPGSKPAPE PCFQQMNSMGNSQGNCGQDHKGSFLPCAQRDALCGKLLCQGGEPNPLVPHIVTMDSTILL EGREVVCRGAFVLPDSHLDQLDLGLVEPGTGCGPRMVCQDRHCQNATSQELERCLTACHN GGVCNSNRNCHCAAGWAPPFCDKPGLGGSVDSGPAQSANRDAFPLAMLLSFLLPLLPGAG LAWCYYQLPTFCHRRGLCCRRDPLWNRDIPLGSVHPVEFGSIITGEPSPPPPWTSCQQRS HPPSLDLLSDPANSELT >ENSMUSP00000052486.3 pep:known chromosome:GRCm38:2:131051109:131063814:-1 gene:ENSMUSG00000027318.17 transcript:ENSMUST00000052104.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam33 description:a disintegrin and metallopeptidase domain 33 [Source:MGI Symbol;Acc:MGI:1341813] MGSRCGRPGGSPVLLLLPLLLPSCPLRSARMFPGNAHGELVTPHWILEGRLWLKVTLEEP ILKPDSVLVALEAEGQDLLLELEKKHKLLAPGYTETHYRPDGHPVVLSPNHTDHCQYHGR VRGFRESWVVLSTCSGMSGLIVLSSKVSYYLQPRTPGDTKDFPTHEIFRMEQLFTWRGVQ RDKNSQYKAGMASLPHVPQSRVRREARRSPRYLELYIVADHTLFLLQHQNLNHTRQRLLE VANCVDQILRTLDIQLVLTGLEVWTEQDLSRITQDANETLWAFLQWRRGVWARRPHDSTQ LLTGRTFQGTTVGLAPVEGICRAESSGGVSTDHSELPIGTAATMAHEIGHSLGLHHDPEG CCVQADAEQGGCVMEAATGHPFPRVFSACSRRQLRTFFRKGGGPCLSNTSAPGLLVLPSR CGNGFLEAGEECDCGSGQKCPDPCCFAHNCSLRAGAQCAHGDCCARCLLKSAGTPCRPAA TDCDLPEFCTGTSPYCPADVYLLDGSPCAEGRGYCLDGWCPTLEQQCQQLWGPGSKPAPE PCFQQMNSMGNSQGNCGQDHKGSFLPCAQRDALCGKLLCQGGEPNPLVPHIVTMDSTILL EGREVVCRGAFVLPDSHLDQLDLGLVEPGTGCGPRMVCNSNRNCHCAAGWAPPFCDKPGL GGSVDSGPAQSANRDAFPLAMLLSFLLPLLPGAGLAWCYYQLPTFCHRRGLCCRRDPLWN RDIPLGSVHPVEFGSIITGEPSPPPPWTSCQQRSHPPSLDLLSDPANSELT >ENSMUSP00000139366.1 pep:known chromosome:GRCm38:2:131053042:131054526:-1 gene:ENSMUSG00000027318.17 transcript:ENSMUST00000184921.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adam33 description:a disintegrin and metallopeptidase domain 33 [Source:MGI Symbol;Acc:MGI:1341813] XGNGFLEAGEECDCGSGQKCPDPCCFAHNCSLRAGAQCAHGDCCARCLGPSRPQSHVSSR >ENSMUSP00000117097.1 pep:known chromosome:GRCm38:2:131057530:131063513:-1 gene:ENSMUSG00000027318.17 transcript:ENSMUST00000147333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam33 description:a disintegrin and metallopeptidase domain 33 [Source:MGI Symbol;Acc:MGI:1341813] XGSRCGRPGGSPVLLLLPLLLPSCPLRSARMFPGNAHGELVTPHWILEGRLWLKVTLEEP ILKPDSVLVALEAEGQDLLLELEKKQEFLGKGNFHRWQKKKLRRSNPMVTVHLVYLECLH LRGREQRHLVYLECLHLRGREQRGEGWGGGLPSGADRRGHLSAKDLGWT >ENSMUSP00000027438.6 pep:known chromosome:GRCm38:1:86344719:86359400:-1 gene:ENSMUSG00000026234.12 transcript:ENSMUST00000027438.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncl description:nucleolin [Source:MGI Symbol;Acc:MGI:97286] MVKLAKAGKTHGEAKKMAPPPKEVEEDSEDEEMSEDEDDSSGEEEVVIPQKKGKKATTTP AKKVVVSQTKKAAVPTPAKKAAVTPGKKAVATPAKKNITPAKVIPTPGKKGAAQAKALVP TPGKKGAATPAKGAKNGKNAKKEDSDEDEDEEDEDDSDEDEDDEEEDEFEPPIVKGVKPA KAAPAAPASEDEEDDEDEDDEEDDDEEEEDDSEEEVMEITTAKGKKTPAKVVPMKAKSVA EEEDDEEEDEDDEDEDDEEEDDEDDDEEEEEEEPVKAAPGKRKKEMTKQKEAPEAKKQKV EGSEPTTPFNLFIGNLNPNKSVNELKFAISELFAKNDLAVVDVRTGTNRKFGYVDFESAE DLEKALELTGLKVFGNEIKLEKPKGRDSKKVRAARTLLAKNLSFNITEDELKEVFEDAME IRLVSQDGKSKGIAYIEFKSEADAEKNLEEKQGAEIDGRSVSLYYTGEKGQRQERTGKTS TWSGESKTLVLSNLSYSATKETLEEVFEKATFIKVPQNPHGKPKGYAFIEFASFEDAKEA LNSCNKMEIEGRTIRLELQGSNSRSQPSKTLFVKGLSEDTTEETLKESFEGSVRARIVTD RETGSSKGFGFVDFNSEEDAKAAKEAMEDGEIDGNKVTLDWAKPKGEGGFGGRGGGRGGF GGRGGGRGGRGGFGGRGRGGFGGRGGFRGGRGGGGDFKPQGKKTKFE >ENSMUSP00000140696.1 pep:known chromosome:GRCm38:1:86357312:86358969:-1 gene:ENSMUSG00000026234.12 transcript:ENSMUST00000185785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncl description:nucleolin [Source:MGI Symbol;Acc:MGI:97286] MAPPPKEVEEDSEDEEMSEDEDDSSGEEEVVIPQKKGKKATTTPAKKVVVSQTKKAAVPT PAKKAAVTPGKKAVAT >ENSMUSP00000099677.4 pep:known chromosome:GRCm38:4:126316047:126321703:-1 gene:ENSMUSG00000042558.16 transcript:ENSMUST00000102617.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adprhl2 description:ADP-ribosylhydrolase like 2 [Source:MGI Symbol;Acc:MGI:2140364] MAVAAAAAATAMSAAGGGGASAARSISRFRGCLAGALLGDCVGAVYEAHDTVSLASVLSH VESLEPDPGTPGSARTETLYYTDDTAMTRALVQSLLAKEAFDEVDMAHRFAQEYKKDPDR GYGAGVITVFKKLLNPKCRDVYEPARAQFNGKGSYGNGGAMRVAGISLAYSSVQDVQKFA RLSAQLTHASSLGYNGAILQALAVHLALQGVSSSEHFLEQLLGHMEELEGDAQSVLDAKE LGMEERPYSSRLKKVGELLDQDVVSREEVVSELGNGIAAFESVPTAIYCFLRCMEPHPEI PSTFNSLQRTLIYSISLGGDTDTIATMAGAIAGAYYGMEQVPESWQQSCEGFEETDVLAQ SLHRVFQESS >ENSMUSP00000130475.1 pep:known chromosome:GRCm38:14:57444443:57477969:1 gene:ENSMUSG00000040040.16 transcript:ENSMUST00000171682.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ift88 description:intraflagellar transport 88 [Source:MGI Symbol;Acc:MGI:98715] XFSNAGRLKVNMGNIYLKQRNYSKAIKFYRMALDQIPSVHKEMRIKIMQNIGITFIKTGQ YSDAINSFEHIMSMAPSLKAGFNLILSCFAIGDREKMKKAFQKLIAVPLEIDEDDKYISP SDDPHTNLLIEAIKNDHLRQMERERKAMAEKYIMTAAKLIAPVIEASFAVGYNWL >ENSMUSP00000113768.1 pep:known chromosome:GRCm38:14:57424062:57517936:1 gene:ENSMUSG00000040040.16 transcript:ENSMUST00000122063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift88 description:intraflagellar transport 88 [Source:MGI Symbol;Acc:MGI:98715] MMENVHLAPETDEDDLYSGFNDYNPAYDTEELENDTGFQQAVRTSHGRRPPVTAKIPSTA VSRPIATGYGSKTSLTSSMGRPMTGTIQDGVARPMTAVRAAGFSKAALRGSAFDPLGQSR GPAPPLEAKNEDSPEEKIRQLEKKVNELVEESCIANSCGDLKLALEKAKDAGRKERVLVR QREQVTSPENINLDLTYSVLFNLASQYSANEMYAEALNTYQVIVKNKMFSNAGRLKVNMG NIYLKQRNYSKAIKFYRMALDQIPSVHKEMRIKIMQNIGITFIKTGQYSDAINSFEHIMS MAPSLKAGFNLILSCFAIGDREKMKKAFQKLIAVPLEIDEDDKYISPSDDPHTNLLIEAI KNDHLRQMERERKAMAEKYIMTAAKLIAPVIEASFAVGYNWCVEVVKASQYVELANDLEI NKAITYLRQKDFNQAVDTLKMFEKKDSRVKSAAATNLSFLYYLENEFAQASSYADLAVNS DRYNPSALTNKGNTVFANGDYEKAAEFYKEALRNDSSCTEALYNIGLTYKKLNRLDEALD SFLKLHAILRNSAQVLCQIANIYELMEDPNQAIEWLMQLISVVPTDSQALSKLGELYDSE GDKSQAFQYYYESYRYFPSNIEVIEWLGAYYIDTQFCEKAIQYFERASLIQPTQVKWQLM VASCFRRSGNYQKALDTYKEIHRKFPENVECLRFLVRLCTDIGLKEVQEYATKLKRLEKM KEMREQRIKSGRDSSGGSRSKREGSAGSDSGQNNSASSKSERLSAKLRALPGTDEPYESS GNKEIDASYVDPLGPQIERPKTAAKKRIDEDDFADEELGDDLLPE >ENSMUSP00000120286.1 pep:known chromosome:GRCm38:14:57424080:57439775:1 gene:ENSMUSG00000040040.16 transcript:ENSMUST00000150296.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ift88 description:intraflagellar transport 88 [Source:MGI Symbol;Acc:MGI:98715] MMENVHLAPETDEDDLYSGFNDYNPAYDTEELENDTGFQQAVRTSHGRRPPYVA >ENSMUSP00000141773.1 pep:known chromosome:GRCm38:18:37333921:37336261:1 gene:ENSMUSG00000051678.4 transcript:ENSMUST00000194655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb6 description:protocadherin beta 6 [Source:MGI Symbol;Acc:MGI:2136740] MLTLYLVIALASVSSLFLLSVLLFVGVRLCRRVREASLGACSVPEGHFSGHLVDVSGMGT >ENSMUSP00000058592.2 pep:known chromosome:GRCm38:18:37333946:37337674:1 gene:ENSMUSG00000051678.4 transcript:ENSMUST00000061717.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb6 description:protocadherin beta 6 [Source:MGI Symbol;Acc:MGI:2136740] METTLAKTPEKRQVVFLAILLLLWEAGSEAIRYSIPEETESGYLVAHLAKDLGFRVGELA TRRARIHHRGNKELLQLDVETGNLLLKEKPDREALCGATEPCVLHFQIILENPVQFFQTE LQLTDINDHSPEFPDTEMLLKIQESTQPATVFLLKAAQDSDIGSNAVQNYTVSPNLHFHV VTLSRSDGRKYPELVLDRALDREEQPELTLILTALDGGAPPKSGTTTVRIEVVDINDNAP EFVQSLYSVEVPENSPLDALVVTVSARDLDAGIHGNVAYSLFQGGGGPQPFVIDEITGEI RLKGALDFEATSYYTMEIVATDSGGLSGKCTVAIQVLDVNDNAPKLTISSLTSSIPENAP EAVVAVFSVSDPDSGDNGRMVCSIQNELPFLLKPTFENYYTLAAEGPLDREIREEYNITI IVSDLGTPRLTTQHTITVQVVDINDNAPAFTQTSYTMFVHENNNPALHIGTISATDSDSG SNAHITYSLLPPHDLQLSLASLVSINADNGQLFALRAMDYEALQAFEFHVVARDGGSPAL SSQALVRVVVLDDNDNAPFILYPMQNASAPCTELLPRAAEPGYLVTKVVAVDSDSGQNAW LSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDVPKHRLLLLVKDNGEPPRSASVTLHVL LVDGFSQPYLPLPEVQHDSSQDEDMLTLYLVIALASVSSLFLLSVLLFVGVRLCRRVREA SLGACSVPEGHFSGHLVDVSGMGTLSQSYQYEVCLSGDSGTTDFKFLNHYSQ >ENSMUSP00000018437.2 pep:known chromosome:GRCm38:11:70651850:70654644:-1 gene:ENSMUSG00000018293.4 transcript:ENSMUST00000018437.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfn1 description:profilin 1 [Source:MGI Symbol;Acc:MGI:97549] MAGWNAYIDSLMADGTCQDAAIVGYKDSPSVWAAVPGKTFVSITPAEVGVLVGKDRSSFF VNGLTLGGQKCSVIRDSLLQDGEFTMDLRTKSTGGAPTFNVTVTMTAKTLVLLMGKEGVH GGLINKKCYEMASHLRRSQY >ENSMUSP00000136219.1 pep:known chromosome:GRCm38:11:70651939:70654621:-1 gene:ENSMUSG00000018293.4 transcript:ENSMUST00000178254.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfn1 description:profilin 1 [Source:MGI Symbol;Acc:MGI:97549] MAGWNAYIDSLMADGTCQDAAIVGYKDSPSVWAAVPGKTFVSITAKTGQVFSSMG >ENSMUSP00000104189.1 pep:known chromosome:GRCm38:11:70652622:70654598:-1 gene:ENSMUSG00000018293.4 transcript:ENSMUST00000108549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfn1 description:profilin 1 [Source:MGI Symbol;Acc:MGI:97549] MAGWNAYIDSLMADGTCQDAAIVGYKDSPSVWAAVPGKTFVSITPAEVGVLVGKDRSSFF VNGLTLGGQKCSVIRDSLLQDGEFTMDLRTKSTGGAPTFNVTVTMTAKSEFD >ENSMUSP00000034946.8 pep:known chromosome:GRCm38:9:66088133:66124886:-1 gene:ENSMUSG00000032382.14 transcript:ENSMUST00000034946.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx1 description:sorting nexin 1 [Source:MGI Symbol;Acc:MGI:1928395] MASGGGGCSASERLPPPFPGMDPESEGAAGGSEPEAGDSDTEGEDIFTGAAAATKPQSPK KTTSLFPIKNGSKENGIHEDQDQEPQDLFADATVELSLDSTQNNQKTMPGKTLTSHPPQE ATNSPKPQPSYEELEEEQEDQFDLTVGITDPEKIGDGMNAYVAYKVTTQTSLPMFRSRQF AVKRRFSDFLGLYEKLSEKHSQNGFIVPPPPEKSLIGMTKVKVGKEDSSSAEFLEKRRAA LERYLQRIVNHPTMLQDPDVREFLEKEELPRAVGTQALSGAGLLKMFNKATDAVSKMTIK MNESDIWFEEKLQEVECEEQRLRKLHAVVETLVNHRKELALNTALFAKSLAMLGSSEDNT ALSRALSQLAEVEEKIEQLHQEQANNDFFLLAELLSDYIRLLAIVRAAFDQRMKTWQRWQ DAQATLQKKRESEARLLWANKPDKLQQAKDEITEWESRVTQYERDFERISTVVRKEVTRF EKEKSKDFKNHVMKYLETLLHSQQQLAKYWEAFLPEAKAIS >ENSMUSP00000120746.1 pep:known chromosome:GRCm38:9:66097406:66126587:-1 gene:ENSMUSG00000032382.14 transcript:ENSMUST00000137542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx1 description:sorting nexin 1 [Source:MGI Symbol;Acc:MGI:1928395] MNPKETKPQSPKKTTSLFPIKNGSKENGIHEDQDQEPQDLFADATVELSLDSTQNNQKTM PGKTLTSHPPQEATNSPKPQPSYEELEEEQEDQFDLTVGITDPEKIGDGMNAYVAYKVTT QTSLPMFRSRQFAVKRRFSDFLGLYEKLSEKHSQNGFIVPPPPEKSLIGMTKVKVGKEDS SSAEFLEKRRAA >ENSMUSP00000054111.3 pep:known chromosome:GRCm38:18:37447656:37456350:1 gene:ENSMUSG00000044043.4 transcript:ENSMUST00000052387.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb14 description:protocadherin beta 14 [Source:MGI Symbol;Acc:MGI:2136749] METSLHKAPQKRQVTAIIFLLLLWEAGSATITYSVLEETDRGSLVGNLAKDLGLSLRELI TRGAQILSKGNKQLLQLEQKSGNLLLKEKLDREELCGSTNPCILHFQVLLKSPVQFIQGE IQLQDVNDHAPEFMEDEILLKILESSLPGAVFPLKIAQDLDVGSNTVQNYTISTNAHFHL LTRNHSDGRKYPELVLDKALDREEQAQIRLTLTAMDSGSPPKTGTTQVVIVVLDINDNAP EFAQGLYEVQVQENSPVGSLVLTVSARDLDAGTHGELSYSLFQSSNQVLQAFEVNTDTGE IRVRKLLDFEEIQSYRMEIEASDGGGLSGKCTVVIHVMDVNDNAPELTMSVLISEIPENS PETIVAIFGISDPDSGDNGKMVCSVQDRLPFLLKPNEENFYTLVTERALDRESRAEYNIT ITVSDMGTPRLTTQHTITVQVSDINDNAPAFTHTSYTMFVRENNSPALHIGTISATDSDS GSNAHITYSLLPPHDPQLALNSLISINADNGQLFALRALDYEALQAFEFHVGATDGGSPA LSSQALVRVVVLDDNDNAPFVLYPMQNASAPCTELLPRAAEPGYLVTKVVAVDRDSGQNA WLSFQLLKTTEPGLFSVWAHNGEVRTTRLLSERDVPKHRLLLLVKDNGEPPHSASVTLHV LLVDGFSQPYLPLPEVARDPAQEDVLTLYLVIALASVSSLFLVSVLLFVGVRLCRKAGET SLGGCSVPEGHFPGHLVDVSGTGTLSQSYQYEVCLTGGTGTNEFKFLKPVLPNFLNEGGY RNTEENSNFRDSLGFS >ENSMUSP00000113895.1 pep:known chromosome:GRCm38:5:150635972:150665612:-1 gene:ENSMUSG00000029655.17 transcript:ENSMUST00000118316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp2l2 description:NEDD4 binding protein 2-like 2 [Source:MGI Symbol;Acc:MGI:2687207] MPYSEVEAKFLGPGKEQTREPCYKKLKSAADDGVSPLRGGPDIHRIQEKPRNNRVAVATI NFRRRVCPQEDKTSTDVLKPLHKEMPGDKLGGSESIGSPALQDGKPSPLAKDDEIYSTSK AFIGPIYKPPEKKKCRERKSETDTFSSIDSKRRQEEKQKSNSKKLEMDTELSQFYKEIEE LENENEASQGSCTEPEPSEEPIISYDWACNTLKSEEENKDLSDVLQSHCGYQEYLEDEPD YPCDEQLMPAFCETSFPSFRPEWQSMHPFVIPHDPLSSFNYFNFQRFGTPLHPSPDVFHG RDDSQMQNGCYVDSYQDGWSCLTFDQNDEYANYDVTSNNVHPFRNGCSVQDESVNNGFCE IRECWQDPSMDKHNETDRFVNQWFQEEKLNKLQKLLILLRGLPGSGKTTLSRILLGQSRD GIVFSTDDYFHHQDGYRYNVNQLGDAHDWNQNRAKQAIDQGRSPVIIDNTNTQAWEMKPY VEMAIGKGYRVEFHEPETWWKFDPEELEKRNKHGVSRKKIAQMLDRYEFQMSISIVMNSV EPTQKSIQRPLPLEGEQRWGGSLGSHSQVSIADDY >ENSMUSP00000118475.1 pep:known chromosome:GRCm38:5:150643000:150661487:-1 gene:ENSMUSG00000029655.17 transcript:ENSMUST00000156180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp2l2 description:NEDD4 binding protein 2-like 2 [Source:MGI Symbol;Acc:MGI:2687207] FRNGCSVQDESVNNGFCEIRECWQDPSMDKHNETDRFVNQWFQEEKLNKLQKLLILLRGL PGSGKTTLSRILLGQSRDGIVFSTDDYFHHQDGYRYNVNQLGDAHDWNQNRGGISMVCLE RRLPRCWIATNFKCPSPL >ENSMUSP00000121091.1 pep:known chromosome:GRCm38:5:150662417:150665346:-1 gene:ENSMUSG00000029655.17 transcript:ENSMUST00000141857.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp2l2 description:NEDD4 binding protein 2-like 2 [Source:MGI Symbol;Acc:MGI:2687207] MPYSEVEAKFLGPGKEQTREPCYKKLKSAADD >ENSMUSP00000060949.5 pep:known chromosome:GRCm38:18:37819513:37841873:1 gene:ENSMUSG00000102543.5 transcript:ENSMUST00000055935.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgc5 description:protocadherin gamma subfamily C, 5 [Source:MGI Symbol;Acc:MGI:1935205] MRPMASPQVAGKWQVLCMLSLCCCGWVSGQLRYSVVEESEPGTLVGNVAQDLGLKGTDLL SRRLRLGSEENGRYFSLSLVSGALAVSQKIDRESLCGASTSCLLPVQVVTEHPLELTRVE VEILDLNDNSPSFATPDREMRISESAAPGARFPLDSAQDPDVGTNTVSFYTLSPNSHFSL HVKTLKDGKLFPELVLEQQLDRETQARHQLVLTAVDGGTPARSGTSLISVIVLDVNDNAP TFQSSVLRVGLPENTPPGTLLLRLNATDPDEGTNGQLDYSFGDHTSETVKNLFGLDPSSG AIHVLGPVDFEESNFYEIHARARDQGQPAMEGHCVIQVDVGDANDNPPEVLLASLVNPVL ESTPVGTVVGLFNVRDRDSGRNGEVSLKTSPNLPFQIKPSENHYSLLTSQPLDREATSHY TIELLASDAGSPPLHTHLTLRLNISDVNDNAPHFTQQLYTAYIPENRPPGSLLCTVAASD PDEGDNARLTYSIVGSQIQGAPASSFVYVNPEDGRIFAQRTFDYELLQMLQIVVGVRDSG SPRLHANTSLHVFVLDQNDNAPAVLHPRPGREFSAPQRLPRSAPPGSLVTKVTAVDADAG HNAWLSYSLLPQSTAPGLFLVSAHTGEVRTARALLEDDSDTQQVVVLVRDNGDPSLSSTA TVLLVLEDEDAEEMPKSSDFLTHPPERSDLTLYLIVALAAVSLLSLVTFTFMSAKCLRRH EDGDRGGGHCCRGQDSPSREFYKQSSPNLQVSSDGTLKYMEVTLRPTDSQSHCYRTCFSP ASDGSDFTFLRPLSVQQPSALALEPEALRSRSSTLRERSQQAPPNTDWRFSQAQRPGTSG SQNGDETGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHV PDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000142214.1 pep:known chromosome:GRCm38:18:37819552:37840051:1 gene:ENSMUSG00000102543.5 transcript:ENSMUST00000193890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgc5 description:protocadherin gamma subfamily C, 5 [Source:MGI Symbol;Acc:MGI:1935205] MRPMASPQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQFDTEMLQAMILASASEAA DGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNA >ENSMUSP00000141256.1 pep:known chromosome:GRCm38:18:37819566:37840200:1 gene:ENSMUSG00000102543.5 transcript:ENSMUST00000193941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgc5 description:protocadherin gamma subfamily C, 5 [Source:MGI Symbol;Acc:MGI:1935205] MRPMASPQVAGKCKPRPTLTGVSLKPRDPARADPKMVMKLAPGPTTSLIQRCCKP >ENSMUSP00000144044.1 pep:known chromosome:GRCm38:12:82588292:83047592:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000201602.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNL >ENSMUSP00000141044.2 pep:known chromosome:GRCm38:12:82616636:83157971:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000186848.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MQDDKTGGVPIRTVKSFLSKIPSVVTGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIF PISDHVLTMKDDGTFYRFQAPYFWPSNCWEPENTDYAIYLCKRTMQNKARLELADYEAEN LARLQRAFARKWEFIFMQAEAQVKIDRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEM DIRKCRRLKNPQKVKKSVYGVTDETQSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRH CLKMSKVAESLIAYTEQYVEYDPFITPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGF SFDEILKDQVGRDQFLRFLESEFSSENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPG APSAINLDSHSYEITSQNVKDGGRYTFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKK KGKSLAGKRLTGLMQSS >ENSMUSP00000125256.2 pep:known chromosome:GRCm38:12:82616925:83092732:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000161801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSFDLYMASLCLQWQLAPLLSVSWLWLLEGKTLLPQLVSF ISGRSNTS >ENSMUSP00000139566.1 pep:known chromosome:GRCm38:12:82616945:83158240:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000185665.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSS ENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRY TFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKPESEQGRRTSLEKFTRSVGKSLAG KRLTGLMQSS >ENSMUSP00000143801.1 pep:known chromosome:GRCm38:12:82617038:83158812:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000200911.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSS ENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRY TFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKGKSLAGKRLTGLMQSS >ENSMUSP00000143961.1 pep:known chromosome:GRCm38:12:82617499:83159368:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000202210.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSS ENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRY TFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKGKSLAGKRLTGLMQSS >ENSMUSP00000139735.1 pep:known chromosome:GRCm38:12:82617499:83162056:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000186458.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSS ENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRY TFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKGKSLAGKRLTGLMQSS >ENSMUSP00000140188.2 pep:known chromosome:GRCm38:12:82617572:83158346:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000186081.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSS ENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRY TFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKKVSKVVGLP >ENSMUSP00000144139.1 pep:known chromosome:GRCm38:12:82617587:83066035:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000201271.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPV >ENSMUSP00000144118.1 pep:known chromosome:GRCm38:12:82617635:83051370:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000200861.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGT >ENSMUSP00000140701.2 pep:known chromosome:GRCm38:12:82617654:83158093:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000186309.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSS ENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRY TFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKPESEQGRRTSLEKFTRSVGKSLAG KRLTGLMQSS >ENSMUSP00000144395.1 pep:known chromosome:GRCm38:12:82617849:83051308:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000201861.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLI >ENSMUSP00000140723.1 pep:known chromosome:GRCm38:12:82651829:83137675:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000191311.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSS ENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRY TFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKPESEQGRRTSLEKFTRSVGWNCKK KKKKKEQAAPSAEGS >ENSMUSP00000139718.1 pep:known chromosome:GRCm38:12:82651829:83137675:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000191352.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSS ENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRY TFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKPESEQGRRTSLEKFTRSVCLQLLF QGWNCKKKKKKKEQAAPSAEGS >ENSMUSP00000139725.1 pep:known chromosome:GRCm38:12:82651829:83137798:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000191107.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSS ENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRY TFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKPESEQGRRTSLEKFTRSVLLFQGW NCKKKKKKKEQAAPSAEGSQLKAQP >ENSMUSP00000141079.1 pep:known chromosome:GRCm38:12:82651829:83157971:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000186323.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESKEPSQQRVKRWGFSF DEILKDQVGRDQFLRFLESEFSSENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAP SAINLDSHSYEITSQNVKDGGRYTFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKG KSLAGKRLTGLMQSS >ENSMUSP00000139940.1 pep:known chromosome:GRCm38:12:82651829:83157971:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000185674.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESKEPSQQRVKRWGFSF DEILKDQVGRDQFLRFLESEFSSENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAP SAINLDSHSYEITSQNVKDGGRYTFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKKP ESEQGRRTSLEKFTRSVGKSLAGKRLTGLMQSS >ENSMUSP00000143829.1 pep:known chromosome:GRCm38:12:83106787:83158207:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000201767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] XWGFSFDEILKDQVGRDQFLRFLESEFSSENLRFWLSVQDLKKQPLQDVAKRVEEIWQEF LAPGAPSAINLDSHSYEITSQNVKDGGRYTFEDAQEHIYKLMKSDSYARFLRSNAYQDLL LAKKKPESEQGRRTSLEKFTRSVCCWRRVRMAAAFHPRGGFLPAVGKVAGGQAPYGPDAV LLTAPTPGPGPGPTEDPGRRRSTSNDRASLRGDLVTVKEKE >ENSMUSP00000098793.2 pep:known chromosome:GRCm38:12:82651829:83133744:1 gene:ENSMUSG00000021219.16 transcript:ENSMUST00000101234.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs6 description:regulator of G-protein signaling 6 [Source:MGI Symbol;Acc:MGI:1354730] MAQGSGDQRAVGIADPEESSPNMIVYCKIEDIITKMQDDKTGGVPIRTVKSFLSKIPSVV TGTDIVQWLMKNLSIEDPVEAIHLGSLIAAQGYIFPISDHVLTMKDDGTFYRFQAPYFWP SNCWEPENTDYAIYLCKRTMQNKARLELADYEAENLARLQRAFARKWEFIFMQAEAQVKI DRKKDKTERKILDSQERAFWDVHRPVPGCVNTTEMDIRKCRRLKNPQKVKKSVYGVTDET QSQSPVHIPSQPIRKTTKDDIRKQITFLNAQIDRHCLKMSKVAESLIAYTEQYVEYDPFI TPAEPSNPWISDDITLWDIEMSKEPSQQRVKRWGFSFDEILKDQVGRDQFLRFLESEFSS ENLRFWLSVQDLKKQPLQDVAKRVEEIWQEFLAPGAPSAINLDSHSYEITSQNVKDGGRY TFEDAQEHIYKLMKSDSYARFLRSNAYQDLLLAKKK >ENSMUSP00000121511.1 pep:known chromosome:GRCm38:11:70647287:70651412:1 gene:ENSMUSG00000040746.15 transcript:ENSMUST00000141695.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf167 description:ring finger protein 167 [Source:MGI Symbol;Acc:MGI:1917760] MHPAAFPLPVVVATVLWGAAPVRGLIRATSEHNASMDFADLPALFGATLSDEGLQV >ENSMUSP00000036472.7 pep:known chromosome:GRCm38:11:70647287:70651421:1 gene:ENSMUSG00000040746.15 transcript:ENSMUST00000037534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf167 description:ring finger protein 167 [Source:MGI Symbol;Acc:MGI:1917760] MHPAAFPLPVVVATVLWGAAPVRGLIRATSEHNASMDFADLPALFGATLSDEGLQGFLVE AHPENACGPIAPPPSAPVNGSVFIALLRRFDCNFDLKVLNAQKAGYGAAVVHNVNSNELL NMVWNSEEIQQQIWIPSVFIGERSAEYLRALFVYEKGARVLLVPDNSFPLGYYLIPFTGI VGLLVLAMGTVLIVRCIQHRKRLQRNRLTKEQLKQIPTHDYQKGDEYDVCAICLDEYEDG DKLRVLPCAHAYHSRCVDPWLTQTRKTCPICKQPVHRGPGDEEQEEETQEQEEGDEGEPR DQPASEWTPLLGSSPTLPTSFGSLAPAPLVFPGPSTDPSPPSSAALA >ENSMUSP00000115057.1 pep:known chromosome:GRCm38:11:70647555:70649765:1 gene:ENSMUSG00000040746.15 transcript:ENSMUST00000152160.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf167 description:ring finger protein 167 [Source:MGI Symbol;Acc:MGI:1917760] MHPAAFPLPVVVATVLWGAAPVRGLIRACSVCRLRSTMPAWTLQTFQLCLGPL >ENSMUSP00000000171.8 pep:known chromosome:GRCm38:9:50617321:50624997:1 gene:ENSMUSG00000000167.14 transcript:ENSMUST00000000171.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pih1d2 description:PIH1 domain containing 2 [Source:MGI Symbol;Acc:MGI:1919864] MTVSSKGLLTHISQFWNMLDDLAENDPERYRNFIQQELKDGKQLCVNPEPQLCIQTKILK PNEKVLFINLCQWERIPAPQSATRPVPVSVGRPEDSAEASDAYTIIDVAYNPGVLQAAEK DQGIKDQLIRMAMLCIEERLQFTLAHSYHLTSFRLKGSIQRMKESLMGIKTDFPDLKAMT RTENTLARIRSSTVSEPNHLPEVLLTKKQASAKGRCLIEEISSSEIQVEVKKPAYELKVV KDRNEKPLKIELKVELPGIKSVSLCELSVSEVDILIEVSEMYRLCLNLPESINTEMTTAK FVKNKSALIITMPLA >ENSMUSP00000118064.1 pep:known chromosome:GRCm38:9:50617383:50625000:1 gene:ENSMUSG00000000167.14 transcript:ENSMUST00000132187.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pih1d2 description:PIH1 domain containing 2 [Source:MGI Symbol;Acc:MGI:1919864] MTVSSKGLLTHISQFWNMLDDLAENDPERYRNFIQQELKDGKQLCVNPEPQLCIQTKILK PNEKVLFINLCQWERIPAPQSATRPVPMLIPSLMLPTILVFCKRQKKTKGSKIS >ENSMUSP00000122789.1 pep:known chromosome:GRCm38:9:50617550:50621190:1 gene:ENSMUSG00000000167.14 transcript:ENSMUST00000141366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pih1d2 description:PIH1 domain containing 2 [Source:MGI Symbol;Acc:MGI:1919864] MSEVCKNVPKACLITIMTVSSKGLLTHISQFWNMLDDLAENDPERYRNFIQQELKDGKQL CVNPEPQLCIQTKILKPNEKVLFINLCQWERIPAPQSATRPVPVSVGRPEDSAEASDAYT IIDVAYNPGVLQAAEKDQGIKDQLIRMAMLCIEERLQFTLAHSYHLTSFRLKGSIQRMKE SLMGIKTDFPDLKAMTRT >ENSMUSP00000121100.1 pep:known chromosome:GRCm38:9:50618007:50621065:1 gene:ENSMUSG00000000167.14 transcript:ENSMUST00000125606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pih1d2 description:PIH1 domain containing 2 [Source:MGI Symbol;Acc:MGI:1919864] MTVSSKGLLTHISQFWNMLDDLAENDPERYRNFIQQELKDGKQLCVNPEPQLCIQTKILK PNEKVLFINLCQWERIPAPQSATRPVPVSVGRPEDSAEASDAYTIIDVAYNPGVLQAAEK DQGIKDQLIRMAMLCIEERL >ENSMUSP00000119253.1 pep:known chromosome:GRCm38:9:50617337:50621778:1 gene:ENSMUSG00000000167.14 transcript:ENSMUST00000151197.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pih1d2 description:PIH1 domain containing 2 [Source:MGI Symbol;Acc:MGI:1919864] MTVSSKGLLTHISQFWNMLDDLAENDPERYRNFIQQELKDGKQLCVNPEPQLCIQTKILK PNEKVLFINLCQWERIPAPQSATRPVPVSVGRPEDSAEASDAYTIIDVAYNPGVLQAAEK DQGIKDQLIRMAMLCIEERLQFTLAHSYHLTSFRLKGSIQRMKESLMGIKTDFPDLKAMT RTENTLARIRSSTVSEPNHLPEVLLTKKQASAKGRCLIEEISSSEIQVEVKKPAY >ENSMUSP00000097613.3 pep:known chromosome:GRCm38:10:22066307:22068079:-1 gene:ENSMUSG00000069712.5 transcript:ENSMUST00000092672.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930444G20Rik description:RIKEN cDNA 4930444G20 gene [Source:MGI Symbol;Acc:MGI:2149738] MWQPKQPGLEMKPEASGIGQKRKYHDESVTEIESEPLGQEPKRKCQDGTGMVFKEPGKEP RDQELPREHPSKGQVRKPQGKTPKQLEPLELTEGSPEQVVTGRKPADGGKGHKRPYSVME ENEQSPQKEKYGRLLQHLQCDQDVSSDPHRPHPFLTNTWKIKGGESEDSRGSERTQSDRE PSTVVALKECLSPEEREKCCSEEKCVTEKKDCIKGEGRRGNSLEPDLPGTQAQIILDSGK GNSLFPNKVAVLAAEKKPITDRGKGREMDQILDITEDMEKEIENALGPGPQEEILSSRFK LQISRGDIQTLENGQWLNDEVINFYMNLLVERNENQGYPALHVFSTFFYPKLKHGGYSSV KRWTRGINLFEKELILVPIHQRVHWSLVVIDLRKRSIVYLDSMGQTGKSICETIFQYLQN ESKTRRNVELDPLEWKQYSVTSEEIPLQLNGSDCGMFTCKYADYIARDQPVTFSQQHMPT FRKRMVWEILHSQLL >ENSMUSP00000125638.1 pep:known chromosome:GRCm38:1:39534992:39546889:1 gene:ENSMUSG00000003135.15 transcript:ENSMUST00000161515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot11 description:CCR4-NOT transcription complex, subunit 11 [Source:MGI Symbol;Acc:MGI:106580] MPGGGASTASGRLLSSADPRGAREAAAFRSGPAGSSGGRGGAGGPGPGIGGPAGRMSLTP KELSSLLSIISEEAGGGSTFEGLSTAFHHYFSKADHFRLGSVLVMLLQQPDLLPSAAQRL TALYLLWEMYRTEPLAANPFAASFAHLLNPAPPARGGQEPDRPPLSGFLPPITPPEKFFL SQLMLAPPRELFKKTPRQIALMDVGNMGQSVDISGLQLALAERQSELPTQSKASFPSILS DPDPDSSNSGFDSSVASRITESLVSGPKPPIESHFRPEFIRPPPPLHICEDELAWLNPTE PEHAIQWDRSMCVKNSTGVEIKRIMAKAFKSPLSSPQQTQLLGELEKDPKLVYHIGLTPA KLPDLVENNPLVAIEMLLKLMQSSQITEYFSVLVNMDMSLHSMEVVNRLTTAVDLPPEFI HLYISNCISTCEQIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIR EAAGLFRLLKTLDTGETPSETKISK >ENSMUSP00000141388.1 pep:known chromosome:GRCm38:1:39540034:39545401:1 gene:ENSMUSG00000003135.15 transcript:ENSMUST00000195567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot11 description:CCR4-NOT transcription complex, subunit 11 [Source:MGI Symbol;Acc:MGI:106580] KAFKSPLSSPQQTQLLGELEKDPKLVYHIGLTPAKLPDLVENNPLVAIEMLLKLMQSSQI TEYFSVLVNMDMSLHSMEVVNRLTTAVDLPPEFIHLYISNCISTCEQIKDKYMQNRLVRL VCVFLQSLIRNKIINVQDLFIELQAYSGC >ENSMUSP00000003219.8 pep:known chromosome:GRCm38:1:39535802:39546873:1 gene:ENSMUSG00000003135.15 transcript:ENSMUST00000003219.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot11 description:CCR4-NOT transcription complex, subunit 11 [Source:MGI Symbol;Acc:MGI:106580] MPGGGASTASGRLLSSADPRGAREAAAFRSGPAGSSGGRGGAGGPGPGIGGPAGRMSLTP KELSSLLSIISEEAGGGSTFEGLSTAFHHYFSKADHFRLGSVLVMLLQQPDLLPSAAQRL TALYLLWEMYRTEPLAANPFAASFAHLLNPAPPARGGQEPDRPPLSGFLPPITPPEKFFL SQLMLAPPRELFKKTPRQIALMDVGNMGQSVDISGLQLALAERQSELPTQSKASFPSILS DPDPDSSNSGFDSSVASRITESLVSGPKPPIESHFRPEFIRPPPPLHICEDELAWLNPTE PEHAIQWDRSMCVKNSTGVEIKRIMAKAFKSPLSSPQQTQLLGELEKDPKLVYHIGLTPA KLPDLVENNPLVAIEMLLKLMQSSQITEYFSVLVNMDMSLHSMEVVNRLTTAVDLPPEFI HLYISNCISTCEQIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIR EAAGLFRLLKTLDTGETPSETKISK >ENSMUSP00000139767.1 pep:known chromosome:GRCm38:9:78327589:78329596:1 gene:ENSMUSG00000038750.12 transcript:ENSMUST00000187667.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Omt2b description:oocyte maturation, beta [Source:MGI Symbol;Acc:MGI:106619] MMSQLHICYGPVCEMQHHLPSFLDPLEFVIVYLETWVYKGLFDLRPELLLYFLNMHVLIT SDGDLSETVLYIYGSKGIRIFAMFVIVSLACNLRTKRNRARAWARGLQSPVWWNRRYFI >ENSMUSP00000140239.1 pep:known chromosome:GRCm38:9:78328054:78328564:1 gene:ENSMUSG00000038750.12 transcript:ENSMUST00000186336.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Omt2b description:oocyte maturation, beta [Source:MGI Symbol;Acc:MGI:106619] MMSQLHICYGPVCEMQHHLPSFLDPLEFVIVYLETWVYKGLFDLRPELLLYFLNMHV >ENSMUSP00000140732.1 pep:known chromosome:GRCm38:9:78328182:78329444:1 gene:ENSMUSG00000038750.12 transcript:ENSMUST00000189243.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Omt2b description:oocyte maturation, beta [Source:MGI Symbol;Acc:MGI:106619] XQHHLPSFLDPLEFVIVYLETWVYKGLFDLRPELLLYFLNMHVLITSDGDLSETVLYIYG SKGIRIFAMFVIVSLACNLRTKRNRGVALSA >ENSMUSP00000036132.5 pep:known chromosome:GRCm38:9:78328030:78329620:1 gene:ENSMUSG00000038750.12 transcript:ENSMUST00000043734.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Omt2b description:oocyte maturation, beta [Source:MGI Symbol;Acc:MGI:106619] MMSQLHICYGPVCEMQHHLPSFLDPLEFVIVYLETWVYKGLFDLRPELLLYFLNMHVLIT SDGDLSETVLYIYGSKGIRIFAMFVIVSLACNLRTKRNRARAWARGLQSPVWWNRRYFI >ENSMUSP00000022398.7 pep:known chromosome:GRCm38:14:49226358:49245428:-1 gene:ENSMUSG00000021850.13 transcript:ENSMUST00000022398.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011H14Rik description:RIKEN cDNA 1700011H14 gene [Source:MGI Symbol;Acc:MGI:1914332] MGLGHSKAHPRVIKVTPLQSQETETPSTGPVFFALNRNLEEESSFTRLQDQNRTREGQLP PLRETWYGRLPAVSRAMYLDIPLKHEETSIIKRHPPRRIQKLEPIDLPQAITSERLLCHQ EGRTKSNTKQENEKKIQLPMYTSGKRQYLHKMKMLEMNHKRQEAQMELRKSLLSKAMLDM QKLKDHNGNKIAQSKPRSNGYDILTILPNENINRDPGNPQDEEFLDCHTENDYYVRKIGK METWLREQEARGQLFWDSSSSDSDELEKDERRPQALVRTKTEKIPLYDDFYDSA >ENSMUSP00000114834.1 pep:known chromosome:GRCm38:14:49226592:49245397:-1 gene:ENSMUSG00000021850.13 transcript:ENSMUST00000148109.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700011H14Rik description:RIKEN cDNA 1700011H14 gene [Source:MGI Symbol;Acc:MGI:1914332] MGLGHSKAHPRVIKVTPLQSQETETPSTGPVFFALNRNLEEESSFTRLQDQNRTREGQLP PLRET >ENSMUSP00000117775.1 pep:known chromosome:GRCm38:14:49232811:49245397:-1 gene:ENSMUSG00000021850.13 transcript:ENSMUST00000130853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011H14Rik description:RIKEN cDNA 1700011H14 gene [Source:MGI Symbol;Acc:MGI:1914332] MGLGHSKAHPRVIKVTPLQSQETETPSTGPVFFALNRNLEEESSFTRLQDQNRTREGQLP PLRETWYGRLPAVSRAMYLDIPLKHEETSIIKRHPPRRIQKLEPIDLPQAITSERLLCHQ EGRTKSNTKQENEKKIQLPMYTSGKRQYLHKMKMLEMNHKRQEAQMELRKSLLSKAMLDM QKLKDHNGNKIAQSKPRSNGYDILTILPNENINRDPG >ENSMUSP00000006301.4 pep:known chromosome:GRCm38:5:136122772:136136074:-1 gene:ENSMUSG00000029703.10 transcript:ENSMUST00000006301.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrwd1 description:leucine-rich repeats and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1918985] MAPLTPQLLLQRGRPKTDKLGKIQSLNLSGLQLLSEHLDPNLLGRLKKLRELDLSNNLLE TLPANLGLSHLRILRCTNNQLGDVTALHQFPELEELNLEGNPFLTVSDNLKVSFLLPKLR KVNGKDTASTCSQVENLDRELMDRVTAHWQKFIATVSPEEETDKVRADFMRSAVRDVCYG PESLIEFTQWRVRMIAEELVASGGAQVQDAKVPVEHPQAAGASKFRAREVASKRPGKDPV TLPPSKRVRALPPAQAEGSPMGADGGQAALHLEPLHFLQCHSRNNSPKDLETQLWACAFE PAREEGHSRATSQTVATCGGEAVCVIDCQTGLVLHKYKVPGEEFFSVAWTALTVVTQAGH KKRWNMLAAAGLRGMVRLLHVRAGFCCSVIRAHKKAIATLCFSPSHETHLFTASYDKRII LWDIGVPNQDYKFQASQLLTLNCGSVPLRLCPVATCPDDFLLAGCEGGCYCWDVRLDQPQ KQRVCEVNFIFSEDSKVSGQRVDGLAFVNEDVVASKGSGQGTIYLWSWSQTWAGRGRQSV LPVVILVRLQWSPTNLAYFSLSTCPGKNLVLCGDEEGSVWIYDVEHLLKEPPQATTLQPP TQILKWPQPTALGQPVTKTMINTVVANAAFTYLTALTDSNIVSIWRRC >ENSMUSP00000119546.1 pep:known chromosome:GRCm38:5:136123692:136130250:-1 gene:ENSMUSG00000029703.10 transcript:ENSMUST00000128255.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrwd1 description:leucine-rich repeats and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1918985] XVRLDQPQKQRVCEVNFIFSEDSKVSGQRVDGLAFVNEDVVASLDSLSPLPAASKGSGQG TIYLWSWSQTWAGR >ENSMUSP00000121513.1 pep:known chromosome:GRCm38:5:136133032:136135997:-1 gene:ENSMUSG00000029703.10 transcript:ENSMUST00000150406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrwd1 description:leucine-rich repeats and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1918985] MAPLTPQLLLQRGRPKTDKLGKIQSLNSLALCPHPSLSGLQLLSEHLDPNLLGRLKKLRE LDLSNNLLETLPANLGLSHLRILRCTNNQLGDVTALHQFPELEELNLEGNPFLTVSDNLK VSFLLPKLRKVNGKDTASTCSQVENLDRELMDRVTAHWQKFIATVSPEEETDKVRADFMR SAVRDVCYGPESLIEFTQWRVRMIAEELVASGGAQV >ENSMUSP00000041380.7 pep:known chromosome:GRCm38:6:91462172:91473546:-1 gene:ENSMUSG00000034203.8 transcript:ENSMUST00000040835.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd4 description:coiled-coil-helix-coiled-coil-helix domain containing 4 [Source:MGI Symbol;Acc:MGI:1919420] MSYCRQEGKDRIIFVTKEDHETPSSAELVADDPNDPYEEHGLILPNGDINWNCPCLGGMA SGPCGEQFKSAFSCFHYSTEDIKGSDCIDQFRAMQECMQKYPDLYPQDEEEEEEAKPVEP VEETADTKVSAAKEQGTSS >ENSMUSP00000086285.3 pep:known chromosome:GRCm38:6:116018611:116193486:-1 gene:ENSMUSG00000030126.17 transcript:ENSMUST00000088896.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc1 description:transmembrane and coiled coil domains 1 [Source:MGI Symbol;Acc:MGI:2442368] MEPSGSEQLYEDPDPGGKSQDAEARRQTESEQKLSKMTHNALENINVIGQGLKHLFQHQR RRSSVSPHDVQQIQTDPEPEVDLDSQNACAEIDGVSTHPTALNRVLQQIRVPPKMKRGTS LHSRRGKSEAPKGSPQINRKSGQEVAAVIQSGRPRSSSTTDAPTSSSVMEIACAAGVCVP GEEATAERIERLEVSSLAQTSSAVASSTDGSIHTESVDGIPDPQRTKAAIAHLQQKILKL TEQIKIAQTARDDNVAEYLKLANSADKQQAARIKQVFEKKNQKSAQTILQLQKKLEHYHR KLREVEQNGIPRQPKDVFRDMHQGLKDVGAKVTGFSEGVVDSVKGGFSSFSQATHSAAGA VVSKPREIASLIRNKFGSADNIPNLKDSLEEGQVDDGGKALGVISNFQSSPKYGSEEDCS SATSGSVGANSTTGGIAVGASSSKTNTLDMQSSGFDALLHEVQEIRETQARLEDSFETLK EHYQRDYSLIMQTLQEERYRCERLEEQLNDLTELHQNEILNLKQELASMEEKIAYQSYER ARDIQEALEACQTRISKMELQQQQQQVVQLEGLENATARNLLGKLINILLAVMAVLLVFV STVANCVVPLMKTRNRTFSTLFLVAFIAFLWKHWDALFSYVDRLFSPPR >ENSMUSP00000144971.1 pep:known chromosome:GRCm38:6:116021170:116107859:-1 gene:ENSMUSG00000030126.17 transcript:ENSMUST00000204353.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc1 description:transmembrane and coiled coil domains 1 [Source:MGI Symbol;Acc:MGI:2442368] MVQRFSLRRQLSKIERLEVSSLAQTSSAVASSTDGSIHTESVDGIPDPQRTKAAIAHLQQ KILKLTEQIKIAQTARDDNVAEYLKLANSADKQQAARIKQVFEKKNQKSAQTILQLQKKL EHYHRKLREVEQNGIPRQPKDVFRDMHQGLKDVGAKVTGFSEGVVDSVKGGFSSFSQATH SAAGAVVSKPREIASLIRNKFGSADNIPNLKDSLEEGQVDDGGKALGVISNFQSSPKYGS EEDCSSATSGSVGANSTTGGIAVGASSSKTNTLDMQSSGFDALLHEVQEIRETQARLEDS FETLKEHYQRDYSLIMQTLQEERYRCERLEEQLNDLTELHQNEILNLKQELASMEEKIAY QSYERARDIQEALEACQTRISKMELQQQQQQVVQLEGLENATARNLLGKLINILLAVMAV LLVFVSTVANCVVPLMKTRNRTFSTLFLVAFIAFLWKHWDALFSYVDRLFSPPR >ENSMUSP00000032222.9 pep:known chromosome:GRCm38:6:116021344:116134320:-1 gene:ENSMUSG00000030126.17 transcript:ENSMUST00000032222.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc1 description:transmembrane and coiled coil domains 1 [Source:MGI Symbol;Acc:MGI:2442368] XGSEQLYEDPDPGGKSQDAEARRQTESEQKLSKMTHNALENINVIGQGLKHLFQHQRRRS SVSPHDVQQIQTDPEPEVDLDSQNACAEIDGVSTHPTALNRVLQQIRVPPKMKRGTSLHS RRGKSEAPKGSPQINRKSGQEVAAVIQSGRPRSSSTTDAPTSSSVMEIACAAGVCVPGEE ATAERLEDFTGPLMAEVQAESQKSKQVSGKQCILEEGASARSLDYKSWKIERLEVSSLAQ TSSAVASSTDGSIHTESVDGIPDPQRTKAAIAHLQQKILKLTEQIKIAQTARDDNVAEYL KLANSADKQQAARIKQVFEKKNQKSAQTILQLQKKLEHYHRKLREVEQNGIPRQPKDVFR DMHQGLKDVGAKVTGFSEGVVDSVKGGFSSFSQATHSAAGAVVSKPREIASLIRNKFGSA DNIPNLKDSLEEGQVDDGGKALGVISNFQSSPKYGSEEDCSSATSGSVGANSTTGGIAVG ASSSKTNTLDMQSSGFDALLHEVQEIRETQARLEDSFETLKEHYQRDYSLIMQTLQEERY RCERLEEQLNDLTELHQNEILNLKQELASMEEKIAYQSYERARDIQEALEACQTRISKME LQQQQQQVVQLEGLENATARNLLGKLINILLAVMAVLLVFVSTVANCVVPLMKTRNRTFS TLFLVAFIAFLWKHWDALFSYVDRLFSPPR >ENSMUSP00000133665.2 pep:known chromosome:GRCm38:6:116021347:116057011:-1 gene:ENSMUSG00000030126.17 transcript:ENSMUST00000172510.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc1 description:transmembrane and coiled coil domains 1 [Source:MGI Symbol;Acc:MGI:2442368] MHQGLKDVGAKVTGFSEGVVDSVKGGFSSFSQATHSAAGAVVSKPREIASLIRNKFGSAD NIPNLKDSLEEGQVDDGGKALGVISNFQSSPKYGSEEDCSSATSGSVGANSTTGGIAVGA SSSKTNTLDMQSSGFDALLHEVQEIRETQARLEDSFETLKEHYQRDYSLIMQTLQEERYR CERLEEQLNDLTELHQNEILNLKQELASMEEKIAYQSYERARDIQEALEACQTRISKMEL QQQQQQVVQLEGLENATARNLLGKLINILLAVMAVLLVFVSTVANCVVPLMKTRNRTFST LFLVAFIAFLWKHWDALFSYVDRLFSPPR >ENSMUSP00000133794.1 pep:known chromosome:GRCm38:6:116021618:116134430:-1 gene:ENSMUSG00000030126.17 transcript:ENSMUST00000173110.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmcc1 description:transmembrane and coiled coil domains 1 [Source:MGI Symbol;Acc:MGI:2442368] MEPSGSEQLYEDPDPGGKSQDAEARRQTESEQKLSKMTHNALENINVIGQGLKHLFQHQR RRSSVSPHDVQQIQTDPEPEVDLDSQNACAEIDGVSTHPTALNRVLQQIRVPPKMKRGTS LHSRRGKSEAPKGSPQINRKSGQEVAAVIQSGRPRSSSTTDAPTSSSVMEIACAAGVCVP GEEATAERMSA >ENSMUSP00000134455.2 pep:known chromosome:GRCm38:6:116021712:116056955:-1 gene:ENSMUSG00000030126.17 transcript:ENSMUST00000173140.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc1 description:transmembrane and coiled coil domains 1 [Source:MGI Symbol;Acc:MGI:2442368] MHQGLKDVGAKVTGFSEGVVDSVKGGFSSFSQATHSAAGAVVSKPREIASLIRNKFGSAD NIPNLKDSLEEGQVDDGGKALGVISNFQSSPKYGSEEDCSSATSGSVGANSTTGGIAVGA SSSKTNTLDMQSSGFDALLHEVQEIRETQARLEDSFETLKEHYQRDYSLIMQTLQEERYR CERLEEQLNDLTELHQNEILNLKQELASMEEKIAYQSYERARDIQEALEACQTRISKMEL QQQQQQVVQLEGLENATARNLLGKLINILLAVMAVLLVFVSTVANCVVPLMKTRNRTFST LFLVAFIAFLWKHWDALFSYVDRLFSPPR >ENSMUSP00000145456.1 pep:known chromosome:GRCm38:6:116021996:116073156:-1 gene:ENSMUSG00000030126.17 transcript:ENSMUST00000173548.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc1 description:transmembrane and coiled coil domains 1 [Source:MGI Symbol;Acc:MGI:2442368] MEPSLSSETIERLEVSSLAQTSSAVASSTDGSIHTESVDGIPDPQRTKAAIAHLQQKILK LTEQIKIAQTARDDNVAEYLKLANSADKQQAARIKQVFEKKNQKSAQTILQLQKKLEHYH RKLREVEQNGIPRQPKDVFRDMHQGLKDVGAKVTGFSEGVVDSVKGGFSSFSQATHSAAG AVVSKPREIASLIRNKFGSADNIPNLKDSLEEGQVDDGGKALGVISNFQSSPKYGSEEDC SSATSGSVGANSTTGGIAVGASSSKTNTLDMQSSGFDALLHEVQEIRETQARLEDSFETL KEHYQRDYSLIMQTLQEERYRCERLEEQLNDLTELHQNEILNLKQELASMEEKIAYQSYE RARDIQEALEACQTRISKMELQQQQQQVVQLEGLENATARNLLGKLINILLAVMAVLLVF VSTVANCVVPLMKTRNRTFSTLFLVAFIAFLWKHWDALFSYVDRLFSPPR >ENSMUSP00000134407.1 pep:known chromosome:GRCm38:6:116043768:116133903:-1 gene:ENSMUSG00000030126.17 transcript:ENSMUST00000172909.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmcc1 description:transmembrane and coiled coil domains 1 [Source:MGI Symbol;Acc:MGI:2442368] XEVAAVIQSGRPRSSSTTDAPTSSSVMEIACAAGVCVPGEEATAERNNEVLPSLLRLLGN ASNSVSLQMCRQ >ENSMUSP00000134148.1 pep:known chromosome:GRCm38:6:116131665:116171697:-1 gene:ENSMUSG00000030126.17 transcript:ENSMUST00000173031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmcc1 description:transmembrane and coiled coil domains 1 [Source:MGI Symbol;Acc:MGI:2442368] MEPSGSEQLYEDPDPGGKSQDAEARRQTESEQKLSKMTHNALENINVIGQGLKHLFQHQR RRSSVSPHDVQQIQTDPEPEVDLDSQNACAEIDGVSTHPTALNRVLQQIRVPPKMKRGTS LHSRRGKSEAPKGSPQINRKSGQEVAAVIQSGRPRSSSTTDAPTSSSVMEIACAAGVCVP GEEATAERISLCSPDWPGTHWRTGYP >ENSMUSP00000035076.4 pep:known chromosome:GRCm38:9:110951545:110984066:1 gene:ENSMUSG00000032495.8 transcript:ENSMUST00000035076.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc2 description:leucine rich repeat containing 2 [Source:MGI Symbol;Acc:MGI:1921499] MGHKVVVFDISVVRALWETRVKKHKAWQKKEAERLEKSALEKIKEEWDFVAECRRKGVPQ AEYCKNGFVDTSTRLLEKIERNSVSRQSARVKDRDKRRSPFVFELSGTQWKELPDSLKEQ THLKEWYIHSTLIQIIPTYIELFQAMKILDLPKNQITCLPAEIGRLKNLKELNVSFNHLK SIPPELGDCEHLERLDCSGNLDLMDLPFELSNLKQVTFVDISANKFSSVPICVLRMCRLQ WLDISSNNLSDLPQDIDRLEELQGFLLYKNKLTYLPQAMLNLKKLTLLVVSGDHLVEVPT ALCDASTPLKFVSLVDNPIDKTGCQDTEDTVESERDRQHFDKEFMKAYIEDLKEREAVPS YTTKVSFSLQL >ENSMUSP00000142360.1 pep:known chromosome:GRCm38:9:110953799:110970138:1 gene:ENSMUSG00000032495.8 transcript:ENSMUST00000196834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc2 description:leucine rich repeat containing 2 [Source:MGI Symbol;Acc:MGI:1921499] MGHKVVVFDISVVRALWETRVKKHKAWQKKEAERLEKSALEKYILELPDSLKEQTHLKEW YIHSTLIQIIPTYIELFQAMKILDLPKNQITCLPAEIGRLKNLKELNVSFNHLKSIPPEL GDCEHLERLDCSGNLDLMDLPFELSNLKQVTFVDISANKFSSVPICVLRMCRLQWLDISS NNLS >ENSMUSP00000143319.1 pep:known chromosome:GRCm38:9:110960834:110979530:1 gene:ENSMUSG00000032495.8 transcript:ENSMUST00000196598.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lrrc2 description:leucine rich repeat containing 2 [Source:MGI Symbol;Acc:MGI:1921499] XRRKGVPQAEYCKNGFVDTSTRLLEKIERNSVSRQSARVKDRDKRRSPFVFELSGTQWKV A >ENSMUSP00000077535.4 pep:known chromosome:GRCm38:17:36898118:36910214:1 gene:ENSMUSG00000058063.4 transcript:ENSMUST00000078438.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim31 description:tripartite motif-containing 31 [Source:MGI Symbol;Acc:MGI:2385051] MAGQPLACQLQEEVTCPICMEILQDPVTIDCGHNFCLQCISQVGKTSEKIQCPLCKLSVN KNTFRPNKLLASLAEKIQSMDPADIQAEKEDSRCQRHKEKLHYFCEQDGAFLCVVCRDSK DHKSHNVTLIDEAAQNYKVQIESQAQDLGQKDKKIIEEKKQGEGAIWAFRAQVDLEKLKI HEEFKLLRQRLDEEESFLLSRLDWLEQQGAKQLRQYVTVTEKQLNSLRKLTKSLKIRLQS SSMELLKDIKDALSRGKEFQFLNPNPVPEDLEKKCSEAKARHESIIKTLTELKDDMNAEG KRDKSAFMNSLNKEEKESWSLLQKNNSVLPTSVPVTLDKSSADPDLTFSQDLKKVTLYIV AGKASNRQAKPRPFYPFHCVRGSPGLSSGRQVWEAEIRGPSGGACIVGVVTELARGAQSQ TVSAQSYIWALRISPSGCQPFTNCKAQEYLQVCLKKVGVYVNHDCGEVVFYDAITSKHIY TFQTSFDGKVFPLFGLQVACSHITLSP >ENSMUSP00000083242.6 pep:known chromosome:GRCm38:5:125277087:125341094:-1 gene:ENSMUSG00000037936.15 transcript:ENSMUST00000086075.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scarb1 description:scavenger receptor class B, member 1 [Source:MGI Symbol;Acc:MGI:893578] MGGSSRARWVALGLGALGLLFAALGVVMILMVPSLIKQQVLKNVRIDPSSLSFGMWKEIP VPFYLSVYFFEVVNPNEVLNGQKPVVRERGPYVYREFRQKVNITFNDNDTVSFVENRSLH FQPDKSHGSESDYIVLPNILVLGGSILMESKPVSLKLMMTLALVTMGQRAFMNRTVGEIL WGYDDPFVHFLNTYLPDMLPIKGKFGLFVGMNNSNSGVFTVFTGVQNFSRIHLVDKWNGL SKIDYWHSEQCNMINGTSGQMWAPFMTPESSLEFFSPEACRSMKLTYNESRVFEGIPTYR FTAPDTLFANGSVYPPNEGFCPCRESGIQNVSTCRFGAPLFLSHPHFYNADPVLSEAVLG LNPNPKEHSLFLDIHPVTGIPMNCSVKMQLSLYIKSVKGIGQTGKIEPVVLPLLWFEQSG AMGGKPLSTFYTQLVLMPQVLHYAQYVLLGLGGLLLLVPIICQLRSQEKCFLFWSGSKKG SQDKEAIQAYSESLMSPAAKGTVLQEAKL >ENSMUSP00000107021.1 pep:known chromosome:GRCm38:5:125277089:125341071:-1 gene:ENSMUSG00000037936.15 transcript:ENSMUST00000111390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scarb1 description:scavenger receptor class B, member 1 [Source:MGI Symbol;Acc:MGI:893578] MGGSSRARWVALGLGALGLLFAALGVVMILMVPSLIKQQVLKNVRIDPSSLSFGMWKEIP VPFYLSVYFFEVVNPNEVLNGQKPVVRERGPYVYREFRQKVNITFNDNDTVSFVENRSLH FQPDKSHGSESDYIVLPNILVLGGSILMESKPVSLKLMMTLALVTMGQRAFMNRTVGEIL WGYDDPFVHFLNTYLPDMLPIKGKFGLFVGMNNSNSGVFTVFTGVQNFSRIHLVDKWNGL SKIDYWHSEQCNMINGTSGQMWAPFMTPESSLEFFSPEACRSMKLTYNESRVFEGIPTYR FTAPDTLFANGSVYPPNEGFCPCRESGIQNVSTCRFGAPLFLSHPHFYNADPVLSEAVLG LNPNPKEHSLFLDIHPVTGIPMNCSVKMQLSLYIKSVKGIGQTGKIEPVVLPLLWFEQSG AMGGKPLSTFYTQLVLMPQVLHYAQYVLLGLGGLLLLVPIICQLRSQGPEDTISPPNLIA WSDQPPSPYTPLLEDSLSGQPTSAMA >ENSMUSP00000122100.1 pep:known chromosome:GRCm38:5:125277566:125294109:-1 gene:ENSMUSG00000037936.15 transcript:ENSMUST00000127148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scarb1 description:scavenger receptor class B, member 1 [Source:MGI Symbol;Acc:MGI:893578] RFTAPDTLFANGSVYPPNEGFCPCRESGIQNVSTCRFGAPLFLSHPHFYNADPVLSEAVL GLNPNPKEHSLFLDIHPVTGIPMNCSVKMQLSLYIKSVKGIGQTGKIEPVVLPLLWFEQP DLSSAERSNGWQAPEHVLHAAGADAPGSSLRAVCAAGAWRPPVAGAHHLPTAQPGS >ENSMUSP00000117170.2 pep:known chromosome:GRCm38:5:125303189:125340008:-1 gene:ENSMUSG00000037936.15 transcript:ENSMUST00000137783.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scarb1 description:scavenger receptor class B, member 1 [Source:MGI Symbol;Acc:MGI:893578] MRDTPSWAGRYLPGPGGSSGWSHRWLSWSCECPHRPEQPVLRDVEGDPRPFLLVCLLLRS GQPKRGPQRPEASSPGAWTLCLQGVQTKGQHHLQ >ENSMUSP00000056424.4 pep:known chromosome:GRCm38:18:37513621:37518325:1 gene:ENSMUSG00000044022.5 transcript:ENSMUST00000061405.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb21 description:protocadherin beta 21 [Source:MGI Symbol;Acc:MGI:2136759] MEPGVGAAQHIRQVLLFFVFLEGSSVLATTWRYSVPEEMETGSSIANIIKDMDVGDLAAR GARVIFDDYQPYLRLELETGNLLLNEKLDREALCSSSEPCILHFQVLLENPLQFFQAELL IEDINDHTPTFLEKLIFLNISESATPGTSFQMDDAQDLDIGMNGIQNYSISPNPYFYLKL KDSGKGRRYPELVLVRSLDREKEASISLILTAMDGGSLPRSGTVLVQVVVLDVNDNAPEF ERTLYEVQVPENSSVDSLVIKVSATDLDSGINGEISYSFSHISRDVQKTFEIHPTSGEVR LKRLLDFEVIQSYTINIQAIDGGGLSGKSAIIVQVTDVNDNPPEIVITSLMSSIPENGLS EMVVAVFSLRDQDSGENGRMMCSIEDKLPFLLKPTFKNFYTLVTEHPLDRESRAEYNITV MISDLGTPRLTTQHTITVHISDINDNAPAFTQTTYTMFVRENNSPALHIGTISATDSDLG SNAHITYSLLPPQDQRLALTSLISINADNGQLFALRALDYETLQAFEFRVGATDRGSPAL SSQALVRVVVLDDNDNAPFVLYPMQNASAPCTELLPRAAEPGYLVTKVVAVDRDSGQNAW LSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDVPKHRLLLLVKDNGDPPRSASVTLHLL VVDGFSQPYLPLPEVAHDSTQVDEEALTLYLVIALASVSSLFLLSVLLFVGVRLCRRARA ASLSGYSEPDGHFSGHLVDVSGAGTLSQSYQYEVCLTGMSDSNDIRFLKPVSQP >ENSMUSP00000140706.1 pep:known chromosome:GRCm38:Y:80133779:80159975:1 gene:ENSMUSG00000095578.7 transcript:ENSMUST00000187433.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21760 description:predicted gene, 21760 [Source:MGI Symbol;Acc:MGI:5433924] MRRMSLKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEGDED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000137009.1 pep:known chromosome:GRCm38:Y:80135210:80157221:1 gene:ENSMUSG00000095578.7 transcript:ENSMUST00000179811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21760 description:predicted gene, 21760 [Source:MGI Symbol;Acc:MGI:5433924] MSLKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEGDEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000132464.1 pep:known chromosome:GRCm38:5:88268919:88282835:1 gene:ENSMUSG00000090302.1 transcript:ENSMUST00000169154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7714 description:predicted gene 7714 [Source:MGI Symbol;Acc:MGI:3646267] MKPLYVVFGLWLLIGCFLSGECHRGPRGQRDPRKPLAPSTHHPHSRHTQPDPKHGQFGLP PFLPMSSGFGKTSSFTLYSPSFEVKVQPPSYTVHILGSILGQMRAISPQRNSPARPPPTV KANTIPETIAE >ENSMUSP00000089555.4 pep:known chromosome:GRCm38:18:37736936:37841870:1 gene:ENSMUSG00000102440.1 transcript:ENSMUST00000091935.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga9 description:protocadherin gamma subfamily A, 9 [Source:MGI Symbol;Acc:MGI:1935226] MAAAPARRPHCRELVVLCALLGMLWEARAGQIRYSVPEETEKGYIVGNISKDLGLEPREL AERGVRIISKGKTQLFSLSPRSGSLVTAGRIDREELCAQSATCLLNFKVLVEDRVKLYGV EVEVVDINDNAPKFEAENLFVKISEIAAPGARYPLPEAVDPDVGINSLQSYQLSPNRHFS LHLQTGDDGTINPELVLERTLDREEEPTHHLVLTAYDGGNPRRSSTALIQVTVLDTNDNA PVFDQPVYRVKVLENVAPGTLLLTVRASDPDEGANGKVTYKFRKINEKHSLLFHLHENTG EMTVAKNLDYEECSLYEMEIQAEDGGGLKGRTKVVVMVEDVNDNRPEVTITSLFSPVRED APPGTVILLFNAHDQDSGKNGQVVCSIQENPSFKLENSVDDYYRLLTAQILDREKASEYN ITVTATDRGTPSMSTEVHITLYVADINDNPPAFSQTSYSVYLPENNPRGTSIFSVSAHDP DDEENAKVTYSLVENTIQGAPLSSYVSINSDTGVLYALQSFDYEQFQNLQMQVKASDNGH PPLSSNVSLSVFLLDQNDNAPKILYPSLPTDGSTGVELAPRSAEAGYLVTKVVAVDKDSG QNAWLSYRLLKASEPGLFTVGLRSGEIRTARALLERDALKQSLVVAVQDHGQPPLSATIT LTVAVADSIPDILADLGSINTPADPDSDITLYLVVAVAVVSCVFLLFVLVLLALRLRRWH ASHLLQDAMGGSTGVPTSHIVGVHGVQTFLQTYSQEFSLTPDSGKSHLIFPQPNYADTLI SQESCGKSEPLCSSVEPKFPIDDTPLVPQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPN NQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGS NATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000004137.3 pep:known chromosome:GRCm38:3:107926334:107931817:-1 gene:ENSMUSG00000004035.12 transcript:ENSMUST00000004137.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm7 description:glutathione S-transferase, mu 7 [Source:MGI Symbol;Acc:MGI:1915562] MPMTLGYWDIRGLAHAIRLFLEYTDSSYEEKRYTMGDAPDYDQSQWLNEKFKLGLDFPNL PYLIDGSHKITQSNAILRYLGRKHNLCGETEEERIRVDILENQLMDNRMVLARLCYNADF EKLKPGYLEQLPGMMRLYSEFLGKRPWFAGDKITFVDFIAYDVLERNQVFEAKCLDAFPN LKDFIARFEGLKKISDYMKTSRFLPRPMFTKMATWGSN >ENSMUSP00000102298.2 pep:known chromosome:GRCm38:3:107926707:107931667:-1 gene:ENSMUSG00000004035.12 transcript:ENSMUST00000106687.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm7 description:glutathione S-transferase, mu 7 [Source:MGI Symbol;Acc:MGI:1915562] MPMTLGYWDIRGLAHAIRLFLEYTDSSYEEKRYTMGDAPDYDQSQWLNEKFKLGLDFPNL PYLIDGSHKITQSNAILRYLGRKHNLCGETEEERIRVDILENQLMDNRMVLARLCYNADF EKLKPGYLEQLPGMMRLYSEFLGKRPWFAGDKGLKKISDYMKTSRFLPRPMFTKMATWGS N >ENSMUSP00000102299.1 pep:known chromosome:GRCm38:3:107926810:107931614:-1 gene:ENSMUSG00000004035.12 transcript:ENSMUST00000106688.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm7 description:glutathione S-transferase, mu 7 [Source:MGI Symbol;Acc:MGI:1915562] MEACSCSCLAHAIRLFLEYTDSSYEEKRYTMGDAPDYDQSQWLNEKFKLGLDFPNLPYLI DGSHKITQSNAILRYLGRKHNLCGETEEERIRVDILENQLMDNRMVLARLCYNADFEKLK PGYLEQLPGMMRLYSEFLGKRPWFAGDKITFVDFIAYDVLERNQVFEAKCLDAFPNLKDF IARFEGLKKISDYMKTSRFLPRPMFTKMATWGSN >ENSMUSP00000122567.2 pep:known chromosome:GRCm38:3:107929063:107931579:-1 gene:ENSMUSG00000004035.12 transcript:ENSMUST00000133947.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm7 description:glutathione S-transferase, mu 7 [Source:MGI Symbol;Acc:MGI:1915562] MLLQLCMCVCVCVCVCVCVCVCSGPSMDIVKLGQRMRTRVEGVKNFTISCLLQLAHAIRL FLEYTDSSYEEKRYTMGDAPDYDQSQWLNEKFKLGLDFPNLPYLIDGSHKITQSNAILRY LGRKHNLCGETEEERIRVDILENQLMDNRMVLARLCYNADFEKLKPGYLEQLPGMMRLYS EFLGKRPWFAGDK >ENSMUSP00000118707.1 pep:known chromosome:GRCm38:3:107929132:107931610:-1 gene:ENSMUSG00000004035.12 transcript:ENSMUST00000124215.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm7 description:glutathione S-transferase, mu 7 [Source:MGI Symbol;Acc:MGI:1915562] MELAHAIRLFLEYTDSSYEEKRYTMGDAPDYDQSQWLNEKFKLGLDFPNLPYLIDGSHKI TQSNAILRYLGRKHNLCGETEEERIRVDILENQLMDNRMVLARLCYNADFEKLKPGYLE >ENSMUSP00000106757.1 pep:known chromosome:GRCm38:5:136116631:136122723:1 gene:ENSMUSG00000039771.13 transcript:ENSMUST00000111127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2j description:polymerase (RNA) II (DNA directed) polypeptide J [Source:MGI Symbol;Acc:MGI:109582] MNAPPAFESFLLFEGEKKITINKDTKVPNACLFTINKEDHTLGNIIKSQLLKDPQVLFAG YKVPHPLEHKIIIRVQTTPDYSPQEAFTNAITDLISELSLLEERFRVRSGC >ENSMUSP00000038505.7 pep:known chromosome:GRCm38:5:136116631:136122947:1 gene:ENSMUSG00000039771.13 transcript:ENSMUST00000041366.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2j description:polymerase (RNA) II (DNA directed) polypeptide J [Source:MGI Symbol;Acc:MGI:109582] MNAPPAFESFLLFEGEKKITINKDTKVPNACLFTINKEDHTLGNIIKSQLLKDPQVLFAG YKVPHPLEHKIIIRVQTTPDYSPQEAFTNAITDLISELSLLEERFRVAIKDKQEGIE >ENSMUSP00000106759.1 pep:known chromosome:GRCm38:5:136116702:136122936:1 gene:ENSMUSG00000039771.13 transcript:ENSMUST00000111129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2j description:polymerase (RNA) II (DNA directed) polypeptide J [Source:MGI Symbol;Acc:MGI:109582] MNAPPAFESFLLFEGEKKQLLKDPQVLFAGYKVPHPLEHKIIIRVQTTPDYSPQEAFTNA ITDLISELSLLEERFRVAIKDKQEGIE >ENSMUSP00000109609.1 pep:known chromosome:GRCm38:X:85870354:85891499:1 gene:ENSMUSG00000025058.4 transcript:ENSMUST00000113976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5430427O19Rik description:RIKEN cDNA 5430427O19 gene [Source:MGI Symbol;Acc:MGI:1918648] MLSEGYLSGLTYWNDIHWNCASYNEPVAGDQGKETSSVAALSYSSVDETQVQSLYVSCKS SGKFISSVHARASQHSRSQSRTVLQANSNPVFESPTLAAVGICRDVIRETYLVPPSCKSI CKNYNDLHIAGGQVMAINSVMANFPSESSFEDGPLLKSSEISLSMEDSTSTQLTELPLKP IQRYSSYWRITSIKEKSSLQMQKPISNAVLNEYLEQKVVELYKQYIMDTVFHDSSPTQIL ASEFIMTNVDQISLQVSKEKNLDTSKVKDIVISHLLQLVSSEISTPSLHISQYSNITP >ENSMUSP00000122732.1 pep:known chromosome:GRCm38:2:153065998:153070950:1 gene:ENSMUSG00000027474.12 transcript:ENSMUST00000129377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccm2l description:cerebral cavernous malformation 2-like [Source:MGI Symbol;Acc:MGI:2385159] MEYEAKKGKKGFVSPIRRLVFPKAARQAAFRSSVSRRPLHSMPLYPPDYLIDPHILLCDY LEKEVKFLGHLTWVTSSLNPSSRDELLQLLDTARLKELPLKTTPEQDSILSLSARCLLLT WRDNEELILRIPTHEI >ENSMUSP00000105425.1 pep:known chromosome:GRCm38:2:153066002:153081735:1 gene:ENSMUSG00000027474.12 transcript:ENSMUST00000109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccm2l description:cerebral cavernous malformation 2-like [Source:MGI Symbol;Acc:MGI:2385159] MEYEAKKGKKGFVSPIRRLVFPKAARQAAFRSSVSRRPLHSMPLYPPDYLIDPHILLCDY LEKEVKFLGHLTWVTSSLNPSSRDELLQLLDTARQLKELPLKTTPEQDSILSLSARCLLL TWRDNEELILRIPTHEIAAASYLQDDALHLLVLKTGLGVDPVPAGMDGSPGGSGRDPGPP GAAPEKRRVGTAERRHTICSLDWRVAWGGGAGAEARAAGGGGSLERQRAGARASGSWERR QTFSGSWERRHAGGGAGKPGGSWERRQASGGVGGSWERRHPGPNPLDPQNHSPDAYCNLV ILAVANRDAAEESCALICQVFQIIYGDQSIECVDRAGYHYRSTPKRPWLSSCTMAPRTHL KRATVAHPHRLSTAPTAAVSTTAAGPSSSYRITWSRCGVSWVLLRSSSLHCCYETIVWGC LSRTTVQVCRNSMGTDGSSFSLECGPSSQIRTSATSRASWRVWVSARAESSLTALAASSA A >ENSMUSP00000037251.7 pep:known chromosome:GRCm38:11:101732950:101767358:1 gene:ENSMUSG00000034931.15 transcript:ENSMUST00000039152.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx8 description:DEAH (Asp-Glu-Ala-His) box polypeptide 8 [Source:MGI Symbol;Acc:MGI:1306823] MAVAVAAAGVLMGSEPGPAEELAKLEYLSLVSKVCTELDNHLGINDKDLAEFVISLAEKN TTFDTFKASLVKNGAEFTDSLISNLLRLIQTMRPPAKPSTSKDPVVKPKTEKEKLRELFP VLCQPDNPSARTMLDEEDVKVAVDVLKELEALMPSAAGQEKQRDPEHRDRTKKKKRSRSR DRDRDRDRDRDRDRDRDRDRDKDRERDRDRERDRERDRERDHKRRHRSRSRSHSRTRERT KGKSRYRSRSRSQSPFKDRKDREKYGERNLDRWRDKHVDRPPPEEPAIGDIYNGKVTSIM QFGCFVQLEGLRKRWEGLVHISELRREGRVANVADVVSKGQRVKVKVLSFTGTKTSLSMK DVDQETGEDLNPNRRRNLVGETNEETSMRNPDRPTHLSLVSAPEVEDDSLERKRLTRISD PEKWEIKQMIAANVLSKEEFPDFDEETGILPKVDDEEDEDLEIELVEEEPPFLRGHTKQS MDMSPIKIVKNPDGSLSQAAMMQSALAKERRELKQAQREAEMDSIPMGLNKHWVDPLPDA EGRQIAANMRGIGMMPNDIPEWKKHAFGGNKASYGKKTQMSILEQRESLPIYKLKEQLVQ AVHDNQILIVIGETGSGKTTQITQYLAEAGYTSRGKIGCTQPRRVAAMSVAKRVSEEFGC CLGQEVGYTIRFEDCTSPETVIKYMTDGMLLRECLIDPDLTQYAIIMLDEAHERTIHTDV LFGLLKKTVQKRQDMKLIVTSATLDAVKFSQYFYEAPIFTIPGRTYPVEILYTKEPETDY LDASLITVMQIHLTEPPGDILVFLTGQEEIDTACEILYERMKSLGPDVPELIILPVYSAL PSEMQTRIFDPAPPGSRKVVIATNIAETSLTIDGIYYVVDPGFVKQKVYNSKTGIDQLVV TPISQAQAKQRAGRAGRTGPGKCYRLYTERAYRDEMLTTNVPEIQRTNLASTVLSLKAMG INDLLSFDFMDAPPMETLITAMEQLYTLGALDDEGLLTRLGRRMAEFPLEPMLCKMLIMS VHLGCSEEMLTIVSMLSVQNVFYRPKDKQALADQKKAKFHQTEGDHLTLLAVYNSWKNNK FSNPWCYENFIQARSLRRAQDIRKQMLGIMDRHKLDVVSCGKSTVRVQKAICSGFFRNAA KKDPQEGYRTLIDQQVVYIHPSSALFNRQPEWVVYHELVLTTKEYMREVTTIDPRWLVEF APAFFKVSDPTKLSKQKKQQRLEPLYNRYEEPNAWRISRAFRRR >ENSMUSP00000119430.1 pep:known chromosome:GRCm38:11:101733041:101766807:1 gene:ENSMUSG00000034931.15 transcript:ENSMUST00000129741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx8 description:DEAH (Asp-Glu-Ala-His) box polypeptide 8 [Source:MGI Symbol;Acc:MGI:1306823] MAVAVAAAGVLMGSEPGPAEELAKLEYLSLVSKVCTELDNHLGINDKDLAEFVISLAEKN TTFDTFKASLVKNGAEFTTMLDEEDVKVAVDVLKELEALMPSAAGQEKQRDPEHRDRTKK KKRSRSRDRDRDRDRDRDRDRDRDRDRDKDRERDRDRERDRERDRERDHKRRHRSRSRSH SRTRERTKGKSRYRSRSRSQSPFKDRKDREKYGERNLDRWRDKHVDRPPPEEPAIGDIYN GKVTSIMQFGCFVQLEGLRKRWEGLVHISELRREGRVANVADVVSKGQRVKVKVLSFTGT KTSLSMKDVDQETGEDLNPNRRRNLVGETNEETSMRNPDRPTHLSLVSAPEVEDDSLERK RLTRISDPEKWEIKQMIAANVLSKEEFPDFDEETGILPKVDDEEDEDLEIELVEEEPPFL RGHTKQSMDMSPIKIVKNPDGSLSQAAMMQSALAKERRELKQAQREAEMDSIPMGLNKHW VDPLPDAEGRQIAANMRGIGMMPNDIPEWKKHAFGGNKASYGKKTQMSILEQRESLPIYK LKEQLVQAVHDNQILIVIGETGSGKTTQITQYLAEAGYTSRGKIGCTQPRRVAAMSVAKR VSEEFGCCLGQEVGYTIRFEDCTSPETVIKYMTDGMLLRECLIDPDLTQYAIIMLDEAHE RTIHTDVLFGLLKKTVQKRQDMKLIVTSATLDAVKFSQYFYEAPIFTIPGRTYPVEILYT KEPETDYLDASLITVMQIHLTEPPGDILVFLTGQEEIDTACEILYERMKSLGPDVPELII LPVYSALPSEMQTRIFDPAPPGSRKVVIATNIAETSLTIDGIYYVVDPGFVKQKVYNSKT GIDQLVVTPISQAQAKQRAGRAGRTGPGKCYRLYTERAYRDEMLTTNVPEIQRTNLASTV LSLKAMGINDLLSFDFMDAPPMETLITAMEQLYTLGALDDEGLLTRLGRRMAEFPLEPML CKMLIMSVHLGCSEEMLTIVSMLSVQNVFYRPKDKQALADQKKAKFHQTEGDHLTLLAVY NSWKNNKFSNPWCYENFIQARSLRRAQDIRKQMLGIMDRHKLDVVSCGKSTVRVQKAICS GFFRNAAKKDPQEGYRTLIDQQVVYIHPSSALFNRQPEWVVYHELVLTTKEYMREVTTID PRWLVEFAPAFFKVSDPTKLSKQKKQQRLEPLYNR >ENSMUSP00000073832.4 pep:known chromosome:GRCm38:9:108565537:108567434:-1 gene:ENSMUSG00000070283.4 transcript:ENSMUST00000074208.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf3 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, assembly factor 3 [Source:MGI Symbol;Acc:MGI:1913956] MATALGFRCLFRTRPAPLCRHVDRLWRNPRRGHRLSPADDELYQRTRISLLQSEFPQAVY IDSYSSRGFTICGNRVFGPCVLLPQTVVQWNVGSHQDITEESFSLFWMLEPRIEIVVVGT GNKTERLHPQVLQAMRQRGIAVEVQDTPNACATFNFLCHEGRVTGAALIPPPGETALASL GQASE >ENSMUSP00000141291.1 pep:known chromosome:GRCm38:9:108565861:108566717:-1 gene:ENSMUSG00000070283.4 transcript:ENSMUST00000194381.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf3 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, assembly factor 3 [Source:MGI Symbol;Acc:MGI:1913956] ADDELYQRTRISLLQSEFPQAVYIDSYSSRGFTICGNRVFGPCVLLPQTVVQWNRLLWWA LETRLSGCTLKYYRP >ENSMUSP00000038633.7 pep:known chromosome:GRCm38:5:110544355:110621375:1 gene:ENSMUSG00000033316.14 transcript:ENSMUST00000040001.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt9 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 9 [Source:MGI Symbol;Acc:MGI:2677965] MAVARKIKTLLTVNILVFVGIILFSVYCRLQDRSEALVQVVRGTDRRMRNRLSKASALAD REAILQRLDHLEEVVYNQLNGLAKPIGLVEGPGGLGQGGVAATLQDDSQETEGKFEDFGY NAQLSDRISLDRTIPDYRPKRCRQITYSEDLPQISVVFIFVNEALSVILRSVHSVVNHTP SQLLKEVILVDDNSDNVELKFNLDQYVHKRYPGLVKVVRNSRREGLIRARLQGWKVATAP IVGFFDAHVEFNTGWAEPALARIQEDRRRIILPAIDNIKYSTFEVQQYASAAHGYNWGLW CMYIIPPQDWLDRGDESAPIRTPAMIGCSFVVDREYFGDIGLLDPGMEVYGAENIELGMR VWQCGGSMEVLPCSRVAHIERTKKPYNNDIDYYAKRNALRAAEVWMDDFKSHVYMAWNIP MTNPGVDFGDVSERLALREKLKCRSFKWYLENVYPEMRIYNNTLTYGEVRNSKASGYCLD QGAEDDDRAILYPCHGMSSQLVRYSAEGLLQLGPLGSTAFLPDSKCLVDDGRGRMPTLKK CEDVARPTQRLWDFTQSGPIVSRDTGRCLEVEMSKDANFGLRLVVQRCSGQKWMIRNWIK HARH >ENSMUSP00000143647.1 pep:known chromosome:GRCm38:5:110603521:110621377:1 gene:ENSMUSG00000033316.14 transcript:ENSMUST00000200404.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Galnt9 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 9 [Source:MGI Symbol;Acc:MGI:2677965] MEVLPCSRVAHIERTKKPYNNDIDYYAKRNALRAAEVWMDDFKSHVYMAWNIPMTNPGVD FGDVSERLALREKLKCRSFKWYLENVYPEMRIYNNTLTYGEVRNSKASGYCLDQGAEDDD RAILYPCHGMSSQVGNI >ENSMUSP00000133111.1 pep:known chromosome:GRCm38:5:110603522:110621380:1 gene:ENSMUSG00000033316.14 transcript:ENSMUST00000165856.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt9 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 9 [Source:MGI Symbol;Acc:MGI:2677965] MEVLPCSRVAHIERTKKPYNNDIDYYAKRNALRAAEVWMDDFKSHVYMAWNIPMTNPGVD FGDVSERLALREKLKCRSFKWYLENVYPEMRIYNNTLTYGEVRNSKASGYCLDQGAEDDD RAILYPCHGMSSQLVRYSAEGLLQLGPLGSTAFLPDSKCLVDDGRGRMPTLKKCEDVARP TQRLWDFTQSGPIVSRDTGRCLEVEMSKDANFGLRLVVQRCSGQKWMIRNWIKHARH >ENSMUSP00000119007.1 pep:known chromosome:GRCm38:14:49298519:49525865:-1 gene:ENSMUSG00000021852.13 transcript:ENSMUST00000138884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f4 description:solute carrier family 35, member F4 [Source:MGI Symbol;Acc:MGI:1922538] MPVLHIHGSEDHLGTLDHLVSVIILVYYSGHLATAQEKQSPIKKFRECSRIFGEDGLTLK LFLKRTAPFSILWTLTNYLYLLALKKLTATDVSALFCCNKAFVFLLSWIVLKDRFMGVRI VAAIMAITGIVMMAYADNFHADSIIGVAFAVGSASTSALYKVLFKMFLGSANFGEAAHFV STLGFFNLIFISFTPIILYFTKVEHWSSFAALPWGCLCGMAGLWLAFNILVNVGVVLTYP ILISIGTVLSVPGNAAVDLLKQEVIFNVVRLAATIIICIGFLLMLLPEEWDEITLRFINS LKEKKSEEHVEDLTDVSVHLRSRSRVNGTVSIPLA >ENSMUSP00000073972.4 pep:known chromosome:GRCm38:14:49298519:49525886:-1 gene:ENSMUSG00000021852.13 transcript:ENSMUST00000074368.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f4 description:solute carrier family 35, member F4 [Source:MGI Symbol;Acc:MGI:1922538] MDVKAAPNGVATIEDRILRITGYYGYYPGYSSQKSTTRSSVTRCKPGPNCPSSHSSISRQ LSPLSVTEDSSAPILELQSRGSSGVCGRRVERQSRSGDDGTQTRPESSSQENGLKARCLS CTSMVLKTIWGLLIILSVSSSWVGTTQIVKITYKNFYCPFFMTWFSTNWNIMFFPVYYSG HLATAQEKQSPIKKFRECSRIFGEDGLTLKLFLKRTAPFSILWTLTNYLYLLALKKLTAT DVSALFCCNKAFVFLLSWIVLKDRFMGVRIVAAIMAITGIVMMAYADNFHADSIIGVAFA VGSASTSALYKVLFKMFLGSANFGEAAHFVSTLGFFNLIFISFTPIILYFTKVEHWSSFA ALPWGCLCGMAGLWLAFNILVNVGVVLTYPILISIGTVLSVPGNAAVDLLKQEVIFNVVR LAATIIICIGFLLMLLPEEWDEITLRFINSLKEKKSEEHVEDLTDVSVHLRSRSRVNGTV SIPLA >ENSMUSP00000122405.1 pep:known chromosome:GRCm38:14:49312091:49526046:-1 gene:ENSMUSG00000021852.13 transcript:ENSMUST00000123534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f4 description:solute carrier family 35, member F4 [Source:MGI Symbol;Acc:MGI:1922538] MDVKAAPNGVATIEDRILRITGYYGYYPGYSSQKSTTRSSVTRCKPGPNCPSSHSSISRQ LSPLSVTEDSSAPILELQSRGSSGVCGRRVERQSRSGDDGTQTRPESSSQENGLKARCLS CTSMVLKTIWGLLIILSVSSSWVGTTQIVKITYKNFYCPFFMTWFSTNWNIMFFPVYYSG HLATAQEKQSPIKKFRECSRIFGEDGLTLKLFLKRTAPFSILWTLTNYLYLLALKKLTAT DVSALFCCNKAFVFLLSWIVLKDRFMGVRVMAHLIPCAVPPCFLNLITALLFPGVSLKLA PLVLSTNCNWKMC >ENSMUSP00000065555.6 pep:known chromosome:GRCm38:10:22144421:22149270:-1 gene:ENSMUSG00000097327.7 transcript:ENSMUST00000069372.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E030030I06Rik description:RIKEN cDNA E030030I06 gene [Source:MGI Symbol;Acc:MGI:2442914] MRGAGGTRWKSPGQSDHTFSLSSGLLLLGAEIVGRLRNRCGGGRGGISGGGGRARRLSWA EFRGCARLAPAGEKVGPSSPGAPEACKSESTHPGSSVPHRQPAASKEKDSLGGADQSTSA WQRSAKAPDLKNGGRFLIPKRQRVQTSEEDLRLSTVREVAVLRHLETLEQPYVVSLKPVC SGFSVREHWGGACRPIILPLPLPAS >ENSMUSP00000136352.1 pep:known chromosome:GRCm38:10:22113050:22149270:-1 gene:ENSMUSG00000097327.7 transcript:ENSMUST00000179054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E030030I06Rik description:RIKEN cDNA E030030I06 gene [Source:MGI Symbol;Acc:MGI:2442914] MRGAGGTRWKSPGQSDHTFSLSSGLLLLGAEIVGRLRNRCGGGRGGISGGGGRARRLSWA EFRGCARLAPAGEKVGPSSPGAPEACKSESTHPGSSVPHRQPAASKEKDSLGGADQSTSA WQRSAKAPDLKNGGRFLIPKRQRVQTSEEDLRLSTVREVAVLRHLETLEQPYVVRAFILV NSCAHWTRPARTTLQQDPSAHVYWESLIAEAKRPRAQNWCCFYRPRRGVSHTWIGYALRL ICMFLI >ENSMUSP00000079888.8 pep:known chromosome:GRCm38:9:108560286:108565584:1 gene:ENSMUSG00000062867.13 transcript:ENSMUST00000081111.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh2 description:inosine 5'-phosphate dehydrogenase 2 [Source:MGI Symbol;Acc:MGI:109367] MADYLISGGTSYVPDDGLTAQQLFNCGDGLTYNDFLILPGYIDFTADQVDLTSALTKKIT LKTPLVSSPMDTVTEAGMAIAMALTGGIGFIHHNCTPEFQANEVRKVKKYEQGFITDPVV LSPKDRVRDVFEAKARHGFCGIPITDTGRMGSRLVGIISSRDIDFLKEEEHDRFLEEIMT KREDLVVAPAGVTLKEANEILQRSKKGKLPIVNENDELVAIIARTDLKKNRDYPLASKDA KKQLLCGAAIGTHEDDKYRLDLLALAGVDVVVLDSSQGNSIFQINMIKYIKEKYPSLQVI GGNVVTAAQAKNLIDAGVDALRVGMGSGSICITQEVLACGRPQATAVYKVSEYARRFGVP VIADGGIQNVGHIAKALALGASTVMMGSLLAATTEAPGEYFFSDGIRLKKYRGMGSLDAM DKHLSSQNRYFSEADKIKVAQGVSGAVQDKGSIHKFVPYLIAGIQHSCQDIGAKSLTQVR AMMYSGELKFEKRTSSAQVEGGVHSLHSYEKRLF >ENSMUSP00000142117.1 pep:known chromosome:GRCm38:9:108560439:108563336:1 gene:ENSMUSG00000062867.13 transcript:ENSMUST00000193421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh2 description:inosine 5'-phosphate dehydrogenase 2 [Source:MGI Symbol;Acc:MGI:109367] MADYLISGGTSYVPDDGLTAQQLFNCGDGLTYNDFLILPGYIDFTADQVDLTSALTKKIT LKTPLVSSPMDTVTEAGMAIAMAKYEQGFITDPVVLSPKDRVRDVFEAKARHGFCGIPIT DTGRMGSRLVGIISSRDIDFLKEEEHDRFLEEIMTKREDLVVAPAGVTLKEANEILQRSK KGKLPIVNENDELVAIIARTDLKKNRDYPLASKDAKKQLLCGAAIGTHEDDKYRLDLLAL AGVDVVVL >ENSMUSP00000142305.1 pep:known chromosome:GRCm38:9:108563135:108565394:1 gene:ENSMUSG00000062867.13 transcript:ENSMUST00000194904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impdh2 description:inosine 5'-phosphate dehydrogenase 2 [Source:MGI Symbol;Acc:MGI:109367] GKLPIVNENDELVAIIARTDLKKNRDYPLASKDAKKQLLCGAAIGTHEDDKYRLDLLALA GVDVVVLDSSQGNSIFQINMIKYIKEKYPSLQVIGGNVVTAAQAKNLIDAGVDALRVGMG SGSICITQEAAPKIPPDIKSHSPKCPSTVSGCYMLACGRPQATAVYKVSEYARRFGVPVI ADGGIQNVGHIAKALALGASTVMMGSLLAATTEAPGEYFFSDGIRLKKYRGMGSLDAMDK HLSSQNRYFSEADKIKVAQGVSGAVQDKGSIHKFVPYLIAGIQHSCQDIGAKSLTQVRAM MYSGELKFEKRTSSAQVEGG >ENSMUSP00000143305.1 pep:known chromosome:GRCm38:9:110984935:110989713:-1 gene:ENSMUSG00000066319.6 transcript:ENSMUST00000199891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtp3 description:receptor transporter protein 3 [Source:MGI Symbol;Acc:MGI:2446841] MMEEDIGDTEQWRHVFQELMQEVKPWHKWTLIPDKNLLPNVLKPGWTQYQQKTFARFHCP SCSRSWASGRVLIVFHMRWCEKKAKGWVKMRVFAQRCNQCPEPPFATPEVTWDNISRILN NLLFQILKKCYKEGFKQMGEIPLLGNTSLEGPHDSSNCEACLLGFCAQNDLGQASKPPAP PLSPTSSKSAREPKVTVTCSNISSSRPSSKVQMPQASKVNPQASNPTKNDPKVSCTSKPP APPLSPTSLKSAREPKVTVTCSNISSSRPSSKVQMPQASKVNPQTSNPTKNDPKISCTSK PSTTPRLTIQQLSVVSPPAPAPTCVIQMPSPTPIDGSRAADVAKENTRSKTPKALLSSPL YVPPTSSYVPPTSSYVPPTSSYVPPTSSYVPPTSSSVIVPISSSWRLPENTICQVERNSH IHPQSQSSCCGACCESWCEIFRYSCCEAACNCMSQSPLCCLAFLILFLLLWYLL >ENSMUSP00000143100.1 pep:known chromosome:GRCm38:9:110987239:110990583:-1 gene:ENSMUSG00000066319.6 transcript:ENSMUST00000198702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtp3 description:receptor transporter protein 3 [Source:MGI Symbol;Acc:MGI:2446841] MMEEDIGDTEQWRHVFQELMQEVKPWHKWTLIPDKNLLPNVLKPGWTQYQQKTFARFHCP SCSRSWASGRVLIVFHMRWCE >ENSMUSP00000081985.5 pep:known chromosome:GRCm38:9:110985871:110989611:-1 gene:ENSMUSG00000066319.6 transcript:ENSMUST00000084922.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtp3 description:receptor transporter protein 3 [Source:MGI Symbol;Acc:MGI:2446841] MMEEDIGDTEQWRHVFQELMQEVKPWHKWTLIPDKNLLPNVLKPGWTQYQQKTFARFHCP SCSRSWASGRVLIVFHMRWCEKKAKGWVKMRVFAQRCNQCPEPPFATPEVTWDNISRILN NLLFQILKKCYKEGFKQMGEIPLLGNTSLEGPHDSSNCEACLLGFCAQNDLGQASKPPAP PLSPTSSKSAREPKVTVTCSNISSSRPSSKVQMPQASKVNPQASNPTKNDPKVSCTSKPP APPLSPTSLKSAREPKVTVTCSNISSSRPSSKVQMPQASKVNPQTSNPTKNDPKISCTSK PSTTPRLTIQQLSVVSPPAPAPTCVIQMPSPTPIDGSRAADVAKENTRSKTPKALLSSPL YVPPTSSYVPPTSSYVPPTSSYVPPTSSYVPPTSSSVIVPISSSWRLPENTICQVERNSH IHPQSQSSCCGACCESWCEIFRYSCCEAACNCMSQSPLCCLAFLILFLLLWYLL >ENSMUSP00000072366.6 pep:known chromosome:GRCm38:4:156109982:156127265:1 gene:ENSMUSG00000059939.13 transcript:ENSMUST00000072554.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430015G10Rik description:RIKEN cDNA 9430015G10 gene [Source:MGI Symbol;Acc:MGI:2444364] MALQCLLLLTGLLTGGVCKSTESQAQQPECCMDVVDFNATCLGTGLCGPGCYRHWNADGS ASCVRCWNGTLPTYNGSECRILTGRGVQLPMNRSTGTPGQPHFGGPHVAASLFLGTLFIS TGLILSVAGFFYLKRSSKLPEVFYRRDRAPVLQPGETAAMVPLPQSSVRKPRYIRREQHP DKNRDPSAFSTVEAHISNV >ENSMUSP00000101201.1 pep:known chromosome:GRCm38:4:156109999:156126219:1 gene:ENSMUSG00000059939.13 transcript:ENSMUST00000105576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430015G10Rik description:RIKEN cDNA 9430015G10 gene [Source:MGI Symbol;Acc:MGI:2444364] MALQCLLLLTGLLTGGVCKSTESQAQQPECCMDVVDFNATCLGTGLCGPVTGRGVQLPMN RSTGTPGQPHFGGPHVAASLFLGTLFISTGLILSVAGFFYLKRSSKLPEVFYRRDRAPVL QPGETAAMVPLPQSSVRKPRYIRREQHPDKNRDPSAFSTVEAHISNV >ENSMUSP00000131817.1 pep:known chromosome:GRCm38:4:156109998:156127263:1 gene:ENSMUSG00000059939.13 transcript:ENSMUST00000169550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430015G10Rik description:RIKEN cDNA 9430015G10 gene [Source:MGI Symbol;Acc:MGI:2444364] MALQCLLLLTGLLTGGVCKSTESQAQQPECCMDVVDFNATCLGTGLCGPGCYRHWNADGS ASCVRCWNGTLPTYNGSECRILTGRGVQLPMNRSTGTPGQPHFGGPHVAASLFLGTLFIS TGLILSVAGFFYLKRSSKLPEVFYRRDRAPVLQPGETAAMVPLPQSSVRKPRYIRREQHP DKNRDPSAFSTVEAHISNV >ENSMUSP00000134635.1 pep:known chromosome:GRCm38:6:110645581:111497090:1 gene:ENSMUSG00000056755.13 transcript:ENSMUST00000174018.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Grm7 description:glutamate receptor, metabotropic 7 [Source:MGI Symbol;Acc:MGI:1351344] MVQLGKLLRVLTLMKFPCCVLEVLLCVLAAAARGQEMYAPHSIRIEGDVTLGGLFPVHAK GPSGVPCGDIKRENGIHRLEAMLYALDQINSDPNLLPNVTLGARILDTCSRDTYALEQSL TFVQALIQKDTSDVRCTNGEPPVFVKPEKVVGVIGASGSSVSIMVANILRLFQCLPKNHN AMVLNTSD >ENSMUSP00000064404.6 pep:known chromosome:GRCm38:6:110645581:111566067:1 gene:ENSMUSG00000056755.13 transcript:ENSMUST00000071076.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm7 description:glutamate receptor, metabotropic 7 [Source:MGI Symbol;Acc:MGI:1351344] MVQLGKLLRVLTLMKFPCCVLEVLLCVLAAAARGQEMYAPHSIRIEGDVTLGGLFPVHAK GPSGVPCGDIKRENGIHRLEAMLYALDQINSDPNLLPNVTLGARILDTCSRDTYALEQSL TFVQALIQKDTSDVRCTNGEPPVFVKPEKVVGVIGASGSSVSIMVANILRLFQIPQISYA STAPELSDDRRYDFFSRVVPPDSFQAQAMVDIVKALGWNYVSTLASEGSYGEKGVESFTQ ISKEAGGLCIAQSVRIPQERKDRTIDFDRIIKQLLDTPNSRAVVIFANDEDIKQILAAAK RADQVGHFLWVGSDSWGSKINPLHQHEDIAEGAITIQPKRATVEGFDAYFTSRTLENNRR NVWFAEYWEENFNCKLTISGSKKEDTDRKCTGQERIGKDSNYEQEGKVQFVIDAVYAMAH ALHHMNKDLCADYRGVCPEMEQAGGKKLLKYIRNVNFNGSAGTPVMFNKNGDAPGRYDIF QYQTTNTTNPGYRLIGQWTDELQLNIEDMQWGKGVREIPPSVCTLPCKPGQRKKTQKGTP CCWTCEPCDGYQYQFDEMTCQHCPYDQRPNENRTGCQNIPIIKLEWHSPWAVIPVFLAML GIIATIFVMATFIRYNDTPIVRASGRELSYVLLTGIFLCYIITFLMIAKPDVAVCSFRRV FLGLGMCISYAALLTKTNRIYRIFEQGKKSVTAPRLISPTSQLAITSSLISVQLLGVFIW FGVDPPNIIIDYDEHKTMNPEQARGVLKCDITDLQIICSLGYSILLMVTCTVYAIKTRGV PENFNEAKPIGFTMYTTCIVWLAFIPIFFGTAQSAEKLYIQTTTLTISMNLSASVALGML YMPKVYIIIFHPELNVQKRKRSFKAVVTAATMSSRLSHKPSDRPNGEAKTELCENVDPNN CIPPVRKSVQKSVTWYTIPPTV >ENSMUSP00000133957.1 pep:known chromosome:GRCm38:6:110645582:111567230:1 gene:ENSMUSG00000056755.13 transcript:ENSMUST00000172951.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm7 description:glutamate receptor, metabotropic 7 [Source:MGI Symbol;Acc:MGI:1351344] MVQLGKLLRVLTLMKFPCCVLEVLLCVLAAAARGQEMYAPHSIRIEGDVTLGGLFPVHAK GPSGVPCGDIKRENGIHRLEAMLYALDQINSDPNLLPNVTLGARILDTCSRDTYALEQSL TFVQALIQKDTSDVRCTNGEPPVFVKPEKVVGVIGASGSSVSIMVANILRLFQIPQISYA STAPELSDDRRYDFFSRVVPPDSFQAQAMVDIVKALGWNYVSTLASEGSYGEKGVESFTQ ISKEAGGLCIAQSVRIPQERKDRTIDFDRIIKQLLDTPNSRAVVIFANDEDIKQILAAAK RADQVGHFLWVGSDSWGSKINPLHQHEDIAEGAITIQPKRATVEGFDAYFTSRTLENNRR NVWFAEYWEENFNCKLTISGSKKEDTDRKCTGQERIGKDSNYEQEGKVQFVIDAVYAMAH ALHHMNKDLCADYRGVCPEMEQAGGKKLLKYIRNVNFNGSAGTPVMFNKNGDAPGRYDIF QYQTTNTTNPGYRLIGQWTDELQLNIEDMQWGKGVREIPPSVCTLPCKPGQRKKTQKGTP CCWTCEPCDGYQYQFDEMTCQHCPYDQRPNENRTGCQNIPIIKLEWHSPWAVIPVFLAML GIIATIFVMATFIRYNDTPIVRASGRELSYVLLTGIFLCYIITFLMIAKPDVAVCSFRRV FLGLGMCISYAALLTKTNRIYRIFEQGKKSVTAPRLISPTSQLAITSSLISVQLLGVFIW FGVDPPNIIIDYDEHKTMNPEQARGVLKCDITDLQIICSLGYSILLMVTCTVYAIKTRGV PENFNEAKPIGFTMYTTCIVWLAFIPIFFGTAQSAEKLYIQTTTLTISMNLSASVALGML YMPKVYIIIFHPELNVQKRKRSFKAVVTAATMSSRLSHKPSDRPNGEAKTELCENVDPNS PAAKKKYVSYNNLVI >ENSMUSP00000134233.2 pep:known chromosome:GRCm38:6:111495715:111499933:1 gene:ENSMUSG00000056755.13 transcript:ENSMUST00000173001.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm7 description:glutamate receptor, metabotropic 7 [Source:MGI Symbol;Acc:MGI:1351344] XMLYMPKVYIIIFHPELNVQKRKRSFKAVVTAATMSSRLSHKPSDRPNGEAKTELCENVD PNTLLHLCSLPSGA >ENSMUSP00000133897.1 pep:known chromosome:GRCm38:6:111495744:111502284:1 gene:ENSMUSG00000056755.13 transcript:ENSMUST00000174310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm7 description:glutamate receptor, metabotropic 7 [Source:MGI Symbol;Acc:MGI:1351344] XIFHPELNVQKRKRSFKAVVTAATMSSRLSHKPSDRPNGEAKTELCENVDPNNCIPPVRK SVQKSVTWYTIPPTV >ENSMUSP00000108634.2 pep:known chromosome:GRCm38:X:139943373:139998557:-1 gene:ENSMUSG00000031433.15 transcript:ENSMUST00000113011.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm41 description:RNA binding motif protein 41 [Source:MGI Symbol;Acc:MGI:2444923] MKRVNSCVKDEEHVLEELETEGERQLKSLLQHQLDTSVSIEECVSKKKSFAPGTMYKPFG KEAAGTMTLSQFQTLHEKDQETASLRELGLNETEILIWKSHVSGEKRTKLRATPEAIQKR LEDIKERISERQRILCLPQRFSKSKQLTRREMEIEKSLFQGTDRHSFLKALYYQAYHKKT SADKYMTSMKRKIKLGTKDEPPKKNKGDPMNNLEHFYRETIMKKRLEEFQLLRGESFACH SLVSAASVSGSGTAEKPSLLQDKGKQAAQGKGPRLHVAKLIDFPTEQYWTGPKTLKQPIE FIPEDEIQRNRLSEEEIRNIPMFSSYNPGEPNKVLYLKNLSPRVKERDLISLFARFQEKK GPPIQFRMMTGRMRGQAFLTFPNKDIAWQALHQINGYKLYGKILVIEFAKSKKQQSAVQR SSLITSAPDGRTEINGS >ENSMUSP00000108631.1 pep:known chromosome:GRCm38:X:139943405:139998542:-1 gene:ENSMUSG00000031433.15 transcript:ENSMUST00000113007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm41 description:RNA binding motif protein 41 [Source:MGI Symbol;Acc:MGI:2444923] MASASSVLSLRLSSRVNSCVKDEEHVLEELETEGERQLKSLLQHQLDTSVSIEECVSKKK SFAPGTMYKPFGKEAAGTMTLSQFQTLHEKDQETASLRELGLNETEILIWKSHVSGEKRT KLRATPEAIQKRLEDIKERISERQRILCLPQRFSKSKQLTRREMEIEKSLFQGTDRHSFL KALYYQDEPPKKNKGDPMNNLEHFYRETIMKKRLEEFQLLRGESFACHSLVSAASVSGSG TAEKPSLLQDKGKQAAQGKGPRLHVAKLIDFPTEQYWTGPKTLKQPIEFIPEDEIQRNRL SEEEIRNIPMFSSYNPGEPNKVLYLKNLSPRVKERDLISLFARFQEKKGPPIQFRMMTGR MRGQAFLTFPNKDIAWQALHQINGYKLYGKILVIEFAKSKKQQSAVQRSSLITSAPDGRT EINGS >ENSMUSP00000033810.7 pep:known chromosome:GRCm38:X:139944236:139998551:-1 gene:ENSMUSG00000031433.15 transcript:ENSMUST00000033810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm41 description:RNA binding motif protein 41 [Source:MGI Symbol;Acc:MGI:2444923] MKRVNSCVKDEEHVLEELETEGERQLKSLLQHQLDTSVSIEECVSKKKSFAPGTMYKPFG KEAAGTMTLSQFQTLHEKDQETASLRELGLNETEILIWKSHVSGEKRTKLRATPEAIQKR LEDIKERISERQRILCLPQRFSKSKQLTRREMEIEKSLFQGTDRHSFLKALYYQDEPPKK NKGDPMNNLEHFYRETIMKKRLEEFQLLRGESFACHSLVSAASVSGSGTAEKPSLLQDKG KQAAQGKGPRLHVAKLIDFPTEQYWTGPKTLKQPIEFIPEDEIQRNRLSEEEIRNIPMFS SYNPGEPNKVLYLKNLSPRVKERDLISLFARFQEKKGPPIQFRMMTGRMRGQAFLTFPNK DIAWQALHQINGYKLYGKILVIEFAKSKKQQSAVQRSSLITSAPDGRTEINGS >ENSMUSP00000084662.5 pep:known chromosome:GRCm38:X:139889511:139998595:-1 gene:ENSMUSG00000031433.15 transcript:ENSMUST00000087400.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm41 description:RNA binding motif protein 41 [Source:MGI Symbol;Acc:MGI:2444923] MKRVNSCVKDEEHVLEELETEGERQLKSLLQHQLDTSVSIEECVSKKKSFAPGTMYKPFG KEAAGTMTLSQFQTLHEKDQETASLRELGLNETEILIWKSHVSGEKRTKLRATPEAIQKR LEDIKERISERQRILCLPQRFSKSKQLTRREMEIEKSLFQGTDRHSFLKALYYQDEPPKK NKGDPMNNLEHFYRETIMKKRLEEFQLLRGESFACHSLVSAASVSGSGTAEKPSLLQDKG KQAAQGKGPRLHVAKLIDFPTEQYWTGPKTLKQPIEFIPEDEIQRNRLSEEEIRNIPMFS SYNPGEPNKVLYLKNLSPRVKERDLISLFARFQEKKGPPIQFRMMTGRMRGQAFLTFPRE RISRRPCYFHWEYLTIFNSVALPRMVLKTASSELFKQHELSLGF >ENSMUSP00000099430.3 pep:known chromosome:GRCm38:11:98464902:98545800:-1 gene:ENSMUSG00000018168.8 transcript:ENSMUST00000103141.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikzf3 description:IKAROS family zinc finger 3 [Source:MGI Symbol;Acc:MGI:1342542] MEDIQPTVELKSTEEQPLPTESPDALNDYSLPKPHEIENVDSREAPANEDEDAGEDSMKV KDEYSDRDENIMKPEPMGDAEESEMPYSYAREYSDYESIKLERHVPYDNSRPTGGKMNCD VCGLSCISFNVLMVHKRSHTGERPFQCNQCGASFTQKGNLLRHIKLHTGEKPFKCHLCNY ACQRRDALTGHLRTHSVEKPYKCEFCGRSYKQRSSLEEHKERCRAFLQNPDLGDAASVEA RHIKAEMGSERALVLDRLASNVAKRKSSMPQKFIGEKRHCFDANYNPGYMYEKENEMMQT RMMDQAINNAISYLGAEALRPLVQTPPAPTSEMVPVISSVYPIALTRADMPNGAPQEMEK KRILLPEKILPSERGLSPNNSAQDSTDTDSNHEDRQHLYQQSHVVLPQARNGMPLLKEVP RSFELLKPPPICLRDSIKVINKEGEVMDVFRCDHCHVLFLDYVMFTIHMGCHGFRDPFEC NMCGYRSHDRYEFSSHIARGEHRAMLK >ENSMUSP00000057519.3 pep:known chromosome:GRCm38:18:37264938:37267525:1 gene:ENSMUSG00000051663.4 transcript:ENSMUST00000052366.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb1 description:protocadherin beta 1 [Source:MGI Symbol;Acc:MGI:2136730] MGAARRKPLQNRQVGSLFLLWCVSVGGAATIRYSVAEEMESGSFVANVAKDLGLEVGKLA ERGARLVAEGNRLHFRLHRKTGDLFVKEKLDREALCGKSDPCVLHFEIILAEPLQSFRVE VRVFDINDNAPVFLNKEPLLKIPESTPLGSRFPLQSAQDLDVGLNGLQNYTLSANTYFHL HTRFRSHGPKYAELVLDNPLDREAQPEVNLTITAVDGGSPPKSGTANIRVVVLDVNDHVP QFSRLVYRAQVPENSDNGSLVVVVTATDLDEGTNKQITYSLAENPEAVLRTFLVDPQTGE VRLRGPLDFEMIETYDIDIQATDGGGLSAHSKVLVEVVDVNDNPPEVTISSVSSPLPEDS ALQTVVALFTIRDRDVRVGGKITCFLKEDLPFVVKHTFRNSYSLVTDRSLDREDVSSYNI TLVAMDTGPPNLSTETVIEVVIADVNDNSPVFQEDSYVLTVRENNSPAVFIGKVHAEDLD LGENAQVTYSLLPPKSGDLSVFAYISINSDNGKLYALRTMDYEAIQDFQFVVKATDGGFL SLSSEVTVRVVVLDDNDNRPMILYPLQNGTLPCNDLVPRSAEAGYLVTKVVAVDGDSGQN SWLSYHLLKATDLGLFSVQRQNGEIRTLRQISERDPMMQKLVILVQDHGQPALSTTASLN ILLVDGFSEPYLQFQDPSKHSRKVNPTTKYLVISLSVLSFLFLLSVTVIFIIHLYQKIKH RDKFTIQEHFYDDCNFPNNLVQGRGNGSLSQPCPYDMCSATGTGNSEFRFLKRFMPNFPF PHATGEVKTEDDSSLPPGSNKNRSRGSAGHDRIGDEYM >ENSMUSP00000051041.3 pep:known chromosome:GRCm38:18:37341702:37345202:1 gene:ENSMUSG00000045062.4 transcript:ENSMUST00000053037.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb7 description:protocadherin beta 7 [Source:MGI Symbol;Acc:MGI:2136741] MLRFLQRRNQSSAFQSFGNSKGLVASTQWDLMEKLEKAHPKRQVIAFIFMMVLVQVCSEP TTQYSILEETESGSFVAHLAKDLGLGARELAARSARVVSDDYKQRLLLDPETGDLLLREK VDREEVCSTVDPCVLHFQVTLEKPVQYFQGELLIQDINDHAPEFPEGEMLLNIPENSQPG TLLPLNLAQDLDVGSNGLQQYTVSPNSHFHVLTRNNSEGKKYPELVQDRALDREEQAELS LTLIALDGGSPPRSGTALVRILIMDINDNAPEFVNSPYEVQVLESSLPDSPVLTVFAQDA DAGNFGRVSYGFFQASDEIQRTFSINKVTGEIQLKKELDFEKIKFYHVKIEATDGGGLSG KGLVIVEVLDVNDNAPELTISSLTSSVPENAPETIISIFRVGDRDSGENAKVVCSIPENL PFILKSTFKNFYTLVTESPLDRESRAEYNITIMVSDLGTPRLTTWHTITVQVSDVNDNAP AFTRTSYTMFVRENNSPALHIGTISATDSDSGSNAHITYSLLLPHDPELPLSSLISINAD NGQLFALRALDYEVLQAFEFHVGATDRGSSALSSQALVRVVVLDDNDNAPFVLYPMQNAS APCTELLPRAAEPGYLVTKVVAVDRDSGQNAWLSFQLLKATEPGLFSVWAHNGEVRTSRL LSERDAPKHRLLMLVKDNGEPPRSASVTLHVLLVDGFSQPYLSLPEVAPDPTQEVEDALT VYLVIALASVSSLFLLSVVLFVGIKLCRRAREPSLGGCSVPEELFPGHMVDVSGTGTLSH NYQYEVCLTRDSGIGEFKFLKPMIPNLLVQDAGRELNENLHCRDSFVFS >ENSMUSP00000100987.1 pep:known chromosome:GRCm38:10:80380355:80387659:-1 gene:ENSMUSG00000048696.10 transcript:ENSMUST00000105350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mex3d description:mex3 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:2681847] MGVGPSMALAPSVTCAPSMALAPSVTSAPSMALAPSVTCAPCMAVAPSVTVAPSMALTPS VTLAPTMAVASSVAPGGLPLLDPDVSPRPSPPDVFASFAPHPAALGPSTLLAEQLNVIGS RKKSVNMTECVPVPSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFIVTGRKED VEMAKREILSAAEHFSLIRATRSKAGGLSGATPGPPNLPGQTTIQVRVPYRVVGLVVGPK GATIKRIQQRTHTYIVTPGRDKEPVFAVTGMPENVDRAREEIEAHITLRTGAFTDSGPDS DFHANGTDVCLDLLGAAASLWAKAPHPGRRPPAATGGLRGDNALGAASTPEPFYVGSRGG PPLPDPSPSSPYGGSGNGGFTFGGDGPSAPTGTATPEDCDFGFDFLALDLTVPATATIWA PFERAAPLPAFSGCPAVNGAPAQPNTGTRRSSGGGAATTPRHSPTLPEPGGLSLELPLAR RSVPDPVGAVPWRPPQSALPPFSGSTTFSTTPSLPSTTLASSTLDTVPSEGNHKPSTTAA NSSASTAAPGPPSAALARECVVCSEGEAMAALVPCGHNLFCMDCAVRICGKSEPECPACR TPATQAIHIFS >ENSMUSP00000115410.1 pep:known chromosome:GRCm38:10:80380454:80381987:-1 gene:ENSMUSG00000048696.10 transcript:ENSMUST00000123141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mex3d description:mex3 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:2681847] XGGPPLPDPSPSSPYGGSGNGGFTFGGDGPSAPTGTATPEDCDFGFDFLALDLTVPATAT IWAPFERAAPLPAFSGCPAVNGAPAQPNTGTRRSSGGGAATTPRHSPTLPEPGGLSLELP LARRSVPDPVGAVPWRPPQSALPPFSGSTTFSTTPSLPSTTLASSTLDTVPSEGNHKPST TAANSSASTAAPGPPSAALARECVVCSEGEAMAALVPCGHNLFCMDCAVRICGKSEPECP ACRTPATQAIRVEAISLRPSSSASVLDK >ENSMUSP00000057962.6 pep:known chromosome:GRCm38:10:80380357:80387651:-1 gene:ENSMUSG00000048696.10 transcript:ENSMUST00000062946.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mex3d description:mex3 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:2681847] MPGSTGQPDAGGAGTGTTAGDPGHPHPALAGAEDAAPRPPPEPDDAAAALRLALDQLSAL GLGGARPGDEGMATRSADGATECGEDEPAPPDELEVAVAPPVTGAPSMGVGPSMALAPSV TCAPSMALAPSVTSAPSMALAPSVTCAPCMAVAPSVTVAPSMALTPSVTLAPTMAVASSV APGGLPLLDPDVSPRPSPPDVFASFAPHPAALGPSTLLAEQLNVIGSRKKSVNMTECVPV PSSEHVAEIVGRQGCKIKALRAKTNTYIKTPVRGEEPVFIVTGRKEDVEMAKREILSAAE HFSLIRATRSKAGGLSGATPGPPNLPGQTTIQVRVPYRVVGLVVGPKGATIKRIQQRTHT YIVTPGRDKEPVFAVTGMPENVDRAREEIEAHITLRTGAFTDSGPDSDFHANGTDVCLDL LGAAASLWAKAPHPGRRPPAATGGLRGDNALGAASTPEPFYVGSRGGPPLPDPSPSSPYG GSGNGGFTFGGDGPSAPTGTATPEDCDFGFDFLALDLTVPATATIWAPFERAAPLPAFSG CPAVNGAPAQPNTGTRRSSGGGAATTPRHSPTLPEPGGLSLELPLARRSVPDPVGAVPWR PPQSALPPFSGSTTFSTTPSLPSTTLASSTLDTVPSEGNHKPSTTAANSSASTAAPGPPS AALARECVVCSEGEAMAALVPCGHNLFCMDCAVRICGKSEPECPACRTPATQAIHIFS >ENSMUSP00000141521.1 pep:known chromosome:GRCm38:18:37517871:37524479:1 gene:ENSMUSG00000073591.4 transcript:ENSMUST00000192409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb22 description:protocadherin beta 22 [Source:MGI Symbol;Acc:MGI:2136760] MKIGREHRKRQVLLIFLLLGVVGAGSEPRRYFVMEETPSGTVLADLVQDLGLGVAELAAR GAQVVSEEKESRLQLDLQTGKLILNEKLDREELCGSTEPCVTHFQVLLKKPLEIFQAELR VGDINDHSPEFPEREMAVKIIENSPVGTAFLLKTAQDLDVGNNSVQNYKIGTNSHFHVSI RNRGDGRKYPELVLDKELDREVQAAFRLTLTALDGGSPPRTGTSQIRIVVLDVNDNAPEF AQAFYRVQIPENSPSGSMVAKVSAKDLDTGTNGEVSYSLFHSSQEMSKTFELNALSGEVR LIKTLDFETTPSYELDIEATDGGGLSGKCSVSIQVVDVNDNYPELIISSLTNPIPENSPE TEVALFRIRDRDSGENGRTICSIQDGVPFTLEPSVENFYRLVTDGALDREIRAEYNITIS VTDLGIPKLTTQHTITVQVSDINDNAPAFTQVSYTMLVHENNSPALHIGTISATDSDSGS NAHITYSLLPAQEPQLALNSLISINADNGQLFALRALDYEALQAFEFHVSATDRGSPALS SQALVRIVVLDDNDNAPFVLYPMQNASAPCTELLPRAAEPGYLVTKVVAVDRDSGQNAWL SFQLLKATEPGLFSVWAHNGEVRTTRLLSERDVPKHRLLLVVKDNGEPPRSASVTLQVLM VDGFSQPYLPLPEVVRDPSHQEGDVLTLYLVIALASVSSLFLLSVLLFVGVRLCRRAREV SLGGCSVPEGHFPGHLVDVSGAGTLSQSYQYEVCLTGDSQSNEFKFLKPVFSGIVDQNYG RQPDDQSFSSVLGM >ENSMUSP00000095214.2 pep:known chromosome:GRCm38:18:37518359:37521145:1 gene:ENSMUSG00000073591.4 transcript:ENSMUST00000097609.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb22 description:protocadherin beta 22 [Source:MGI Symbol;Acc:MGI:2136760] MVAKVSAKDLDTGTNGEVSYSLFHSSQEMSKTFELNALSGEVRLIKTLDFETTPSYELDI EATDGGGLSGKCSVSIQVVDVNDNYPELIISSLTNPIPENSPETEVALFRIRDRDSGENG RTICSIQDGVPFTLEPSVENFYRLVTDGALDREIRAEYNITISVTDLGIPKLTTQHTITV QVSDINDNAPAFTQVSYTMLVHENNSPALHIGTISATDSDSGSNAHITYSLLPAQEPQLA LNSLISINADNGQLFALRALDYEALQAFEFHVSATDRGSPALSSQALVRIVVLDDNDNAP FVLYPMQNASAPCTELLPRAAEPGYLVTKVVAVDRDSGQNAWLSFQLLKATEPGLFSVWA HNGEVRTTRLLSERDVPKHRLLLVVKDNGEPPRSASVTLQVLMVDGFSQPYLPLPEVVRD PSHQEGDVLTLYLVIALASVSSLFLLSVLLFVGVRLCRRAREVSLGGCSVPEGHFPGHLV DVSGAGTLSQSYQYEVCLTGDSQSNEFKFLKPVFSGIVDQNYGRQPDDQSFSSVLGM >ENSMUSP00000142140.1 pep:known chromosome:GRCm38:18:37685210:37841873:1 gene:ENSMUSG00000103677.1 transcript:ENSMUST00000194418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga4 description:protocadherin gamma subfamily A, 4 [Source:MGI Symbol;Acc:MGI:1935216] MAAPYKSDRRGLIWICIFLGSLCDIRAEQIRYSVPEELERGSVVGNLAADLGLEPGKLAE RGVRIVSRGKTQLFALNPRSGSLVTAGRVDREGLCDRSPKCTANLEILLEDKVRILAIEV EIIDVNDNAPSFGAQQREIKVAESENPGTRFPLPEAFDLDIGVNALQGYQLSSNDHFSLD VQSGPDGIKYPELVLENALDREEEAVHHLVLTAFDGGDPVRSGTATIQVTLVDTNDNAPV FTQPEYHISVKENLPVGTRLLTIKATDPDEGVNGEVTYSFRNVREKISQLFQLNSLTGDI TVLGELDYEDSGFYDVDVEAHDGPGLRARSKVLVTVLDVNDNAPEVTVTSLTSSIQEASS PGTVIALFNVHDSDSGENGLVTCSIPDNLPFRLEKTYGNYHRLLIHRTLDREEVSDYNIT ITATDQGTPPLSTETYISLQVVDINDNPPTFTHASYSAYIPENNPRGASILSITAQDPDS GENAQVIYSLSEDTIQGAPMSSYVSINSNTGVLYALRSFDYEQFQDLKLLVTARDSGTPP LSSNVSLSLSVLDQNDNTPEILYPTIPTDGSTGVELTPRSADPGYLVTKVVAVDKDSGQN AWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVTVQDHGQPPLSATVTLT IAVSDNIPDILADLVNINAPIDQEDSDITLYLVVAVAAVSCVFLAFVIVLLIHRLRRWHS TRLLQAAGNGLSSLPASHFVGVDGVHAFLQTYSHEVSLTADSGKSHLIFPQPNYADTLIS QESCGKSDPLLVSQDLLEIKGDSSLQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQ FDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNA TLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000141272.1 pep:known chromosome:GRCm38:18:37686884:37687779:1 gene:ENSMUSG00000103677.1 transcript:ENSMUST00000195163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga4 description:protocadherin gamma subfamily A, 4 [Source:MGI Symbol;Acc:MGI:1935216] XQGAPMSSYVSINSNTGVLYALRSFDYEQFQDLKLLVTARDSGTPPLSSNVSLSLSVLDQ NDNTPEILYPTIPTDGSTGVELTPRSADPGYLVTKVVAVDKDSGQNAWLSYRLLKASEPG LFSVGLHTGEVRTARALLDRDALKQSLVVTVQDHGQPPLSATVTLTIAVSDNIPDILADL VNINAPIDQEDSDITLYLVVAVAAVSCVFLAFVIVLLIHRLRRWHSTRLLQAADSGKSHL IFPQPNYADTLISQESCGKSDPLLVS >ENSMUSP00000016672.4 pep:known chromosome:GRCm38:1:131053700:131097826:-1 gene:ENSMUSG00000016528.10 transcript:ENSMUST00000016672.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk2 description:MAP kinase-activated protein kinase 2 [Source:MGI Symbol;Acc:MGI:109298] MLSGSPGQTPPAPFPSPPPPAPAQPPPPFPQFHVKSGLQIRKNAITDDYKVTSQVLGLGI NGKVLRIFDKRTQQKFALKMLQDCPKARREVELHWRASQCPHIVHIVDVYENLYAGRKCL LIVMECLDGGELFSRIQDRGDQAFTEREASEIMKSIGEAIQYLHSINIAHRDVKPENLLY TSKRPNAILKLTDFGFAKETTSHNSLTTPCYTPYYVAPEVLGPEKYDKSCDMWSLGVIMY ILLCGYPPFYSNHGLAISPGMKTRIRMGQYEFPNPEWSEVSEEVKMLIRNLLKTEPTQRM TITEFMNHPWIMQSTKVPQTPLHTSRVLKEDKERWEDVKEEMTSALATMRVDYEQIKIKK IEDASNPLLLKRRKKARAVEDAALAH >ENSMUSP00000141124.1 pep:known chromosome:GRCm38:1:131056889:131072526:-1 gene:ENSMUSG00000016528.10 transcript:ENSMUST00000188459.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapkapk2 description:MAP kinase-activated protein kinase 2 [Source:MGI Symbol;Acc:MGI:109298] MLQDCPKARREVELHWRASQCPHIVHIVDVYENLYAGRKCLLIVMECLDGGELFSRIQDR GDQAFTEREASEIMKSIGEAIQYLHSINIAHRDVKPENLLYTSKRPNAILKLTDFGFAKE TTSHNSLTTPCYTPYYVAPEVLGPEKYDKSCDMWSLGVIMYI >ENSMUSP00000103704.1 pep:known chromosome:GRCm38:7:34639014:34654398:-1 gene:ENSMUSG00000030499.9 transcript:ENSMUST00000108069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd15 description:potassium channel tetramerisation domain containing 15 [Source:MGI Symbol;Acc:MGI:2385276] MPHRKERPSGSSLNAHGSSGTAEGGNMSRLSLTRSPVSPLAAQGIPLPAQLTKANAPVHI DVGGHMYTSSLATLTKYPDSRISRLFNGTEPIVLDSLKQHYFIDRDGEIFRYILSFLRTS KLLLPDDFKDFNLLYEEARYYQLQPMVRELERWQQDQEQRRRSRACDCLVVRVTPDLGER IALSGEKALIEEVFPETGDVMCNSVNAGWNQDPTHVIRFPLNGYCRLNSVQVLERLFQRG FSVAASCGGGVDSSQFSEYVLCREERRPQPTPTAVRIKQEPLD >ENSMUSP00000103705.2 pep:known chromosome:GRCm38:7:34639015:34652837:-1 gene:ENSMUSG00000030499.9 transcript:ENSMUST00000108070.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd15 description:potassium channel tetramerisation domain containing 15 [Source:MGI Symbol;Acc:MGI:2385276] MPHRKERPSGSSLNAHGSSGTAEGGNMSRLSLTRSPVSPLAAQGIPLPAQLTKANAPVHI DVGGHMYTSSLATLTKYPDSRISRLFNGTEPIVLDSLKQHYFIDRDGEIFRYILSFLRTS KLLLPDDFKDFNLLYEEARYYQLQPMVRELERWQQDQEQRRRSRACDCLVVRVTPDLGER IALSGEKALIEEVFPETGDVMCNSVNAGWNQDPTHVIRFPLNGYCRLNSVQVLERLFQRG FSVAASCGGGVDSSQFSEYVLCREERRPQPTPTAVRIKQEPLD >ENSMUSP00000032709.1 pep:known chromosome:GRCm38:7:34639019:34655509:-1 gene:ENSMUSG00000030499.9 transcript:ENSMUST00000032709.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd15 description:potassium channel tetramerisation domain containing 15 [Source:MGI Symbol;Acc:MGI:2385276] MPHRKERPSGSSLNAHGSSGTAEGGNMSRLSLTRSPVSPLAAQGIPLPAQLTKANAPVHI DVGGHMYTSSLATLTKYPDSRISRLFNGTEPIVLDSLKQHYFIDRDGEIFRYILSFLRTS KLLLPDDFKDFNLLYEEARYYQLQPMVRELERWQQDQEQRRRSRACDCLVVRVTPDLGER IALSGEKALIEEVFPETGDVMCNSVNAGWNQDPTHVIRFPLNGYCRLNSVQVLERLFQRG FSVAASCGGGVDSSQFSEYVLCREERRPQPTPTAVRIKQEPLD >ENSMUSP00000145815.1 pep:known chromosome:GRCm38:7:34649987:34654389:-1 gene:ENSMUSG00000030499.9 transcript:ENSMUST00000206501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd15 description:potassium channel tetramerisation domain containing 15 [Source:MGI Symbol;Acc:MGI:2385276] MPHRKERPSGSSLNAHGSSGTAGIPLPAQLTKANAPVHIDVGGHMYTSS >ENSMUSP00000030760.8 pep:known chromosome:GRCm38:4:141066512:141078357:-1 gene:ENSMUSG00000028923.14 transcript:ENSMUST00000030760.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Necap2 description:NECAP endocytosis associated 2 [Source:MGI Symbol;Acc:MGI:1913397] MEESEYESVLCVKPEVHVYRIPPRATNRGYRASEWQLDQPSWSGRLRITAKGKVAYIKLE DRTSGELFAQAPVDQFPGTAVESVTDSSRYFVIRIEDGNGRRAFIGLGFGDRGDAFDFNV ALQDHFKWVKQQCEFAKQAQNPDEGPKLDLGFKDGQTIKINIANMRKKEGAAGTPRARPT SAGGLSLLPPPPGGKSSTVIPPSGEQLSVGGSLVQPAVVSGSGGATELWPQSKPAAAATA DIWGDFTKSTGSPSSQSQPGTGWVQF >ENSMUSP00000121918.1 pep:known chromosome:GRCm38:4:141067563:141073705:-1 gene:ENSMUSG00000028923.14 transcript:ENSMUST00000153721.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Necap2 description:NECAP endocytosis associated 2 [Source:MGI Symbol;Acc:MGI:1913397] YFVIRIEDGNGRRAFIGLGFGDRGDAFDFNVALQDHFKWVKQQCEFAKQAQNPDEGPKLD LGFKDGQTIKINIAVRK >ENSMUSP00000105905.1 pep:known chromosome:GRCm38:12:84361657:84373792:1 gene:ENSMUSG00000021235.13 transcript:ENSMUST00000110276.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq6 description:coenzyme Q6 monooxygenase [Source:MGI Symbol;Acc:MGI:1924408] MAARIGSMAGLLCVRWWSSAQLAARGGPLVASQRWAGSSADTVYDVVVSGGGLVGSAMAC ALGHDIHFHDKKILLLEAGPKKALEKLSETYSNRVSSISPGSTTLLSSFGAWDHICNMRC KAFRRMQVWDSCSEALIMFDRDNLDDMGYIVENDVIMYALTKQLEAVADRVKVLYESKAV GYSWPGAFSMADSSPWVHITLGDGSTLQTKLLIGADGHKSGVRQAAGIQNVSWKYDQSAV VATLHLSEATENNVAWQRFLPSGPIALLPLSDTLSSLVWSTSHEHAAELVSMDEEEFVDA INSAFWSDVHHTDFVDSASAMVRHAVALLKPTKVSARQLPPSIAKVDAKSRALFPLGLGH AAEYVRPRVALIGDAAHRIHPLAGQGVNMGFGDISSLVHHLSTAAFNGKDLGSMSHLTGY ETDRQRHNTALLAATDLLKRLYSTSATPLVLLRTWGLQATNAVSPLKEQIMAFASK >ENSMUSP00000115676.1 pep:known chromosome:GRCm38:12:84362030:84373792:1 gene:ENSMUSG00000021235.13 transcript:ENSMUST00000152913.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Coq6 description:coenzyme Q6 monooxygenase [Source:MGI Symbol;Acc:MGI:1924408] MAARIGSMAGLLCVRWWSSAQLAARGGPLVASQRWAGSSADTVYDVVVSGGGLVGSAMAC ALGHDIHFHDKKILLLEAGPKKALEKLSETYSNRVSSISPGSTTLLSSFGAWDHICNMRC KAFRRMQVWDSCSEALIMFDRDNLDDMGYIVENDVIMYALTKQLEAVADRVKVLYESKAV GYSWPGAFSMADSSPWVHITLGDGSTLQTKLLIGADGHKSGVRQAAGIQNVSWKYDQSAV VATLHLSEATENNVAWQRFLPSGPIALLPAGMCHHTRLRLFF >ENSMUSP00000105907.1 pep:known chromosome:GRCm38:12:84362039:84373792:1 gene:ENSMUSG00000021235.13 transcript:ENSMUST00000110278.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq6 description:coenzyme Q6 monooxygenase [Source:MGI Symbol;Acc:MGI:1924408] MAARIGSMAGLLCVRWWSSAQLAARGGPLVASQRWAGSSADTVYDVVVSGGGLVGSAMAC ALGHDIHFHDKKILLLEAGPKKALEKLSETYSNRVSSISPGSTTLLSSFGAWDHICNMRC KAFRRMQVWDSCSEALIMFDRDNLDDMGYIVENDVIMYALTKQLEAVADRVKVLYESKAV GYSWPGAFSMADSSPWVHITLGDGSTLQTKLLIGADGHKSGVRQAAGIQNVSWKYDQSAV VATLHLSEATENNVAWQRFLPSGPIALLPLSDTLSSLVWSTSHEHAAELVSMDEEEFVDA INSAFWSDVHHTDFVDSASAMVRHAVALLKPTKVSARQLPPSIAKVDAKSRALFPLGLGH AAEYVRPRVALIGDAAHRIHPLAGQGVNMGFGDISSLVHHLSTAAFNGKDLDYGLCEQMS ASLLETVMTKNT >ENSMUSP00000117609.1 pep:known chromosome:GRCm38:12:84362064:84371031:1 gene:ENSMUSG00000021235.13 transcript:ENSMUST00000145522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq6 description:coenzyme Q6 monooxygenase [Source:MGI Symbol;Acc:MGI:1924408] XGSMAGLLCVRWWSSAQLAARGGPLVASQRWAGSSADTVYDVVVSGGGLVGSAMACALGH DIHFHDKKILLLEAGPKKALEKLSETYSNRVSSISPGSTTLLSSFGAWDHICNMRCKAFR RMQVWDSCSEALIMFDRDNLDDMGYIVENDVIMYALTKQLEAVADRVKVLYESKAVGYSW PGAFSMADSSPWVHITLDWC >ENSMUSP00000021661.6 pep:known chromosome:GRCm38:12:84361968:84373796:1 gene:ENSMUSG00000021235.13 transcript:ENSMUST00000021661.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq6 description:coenzyme Q6 monooxygenase [Source:MGI Symbol;Acc:MGI:1924408] MAARIGSMAGLLCVRWWSSAQLAARGGPLVASQRWAGSSADTVYDVVVSGGGLVGSAMAC ALGHDIHFHDKKILLLEAGPKKALEKLSETYSNRVSSISPGSTTLLSSFGAWDHICNMRC KAFRRMQVWDSCSEALIMFDRDNLDDMGYIVENDVIMYALTKQLEAVADRVKVLYESKAV GYSWPGAFSMADSSPWVHITLGDGSTLQTKLLIGADGHKSGVRQAAGIQNVSWKYDQSAV VATLHLSEATENNVAWQRFLPSGPIALLPLSDTLSSLVWSTSHEHAAELVSMDEEEFVDA INSAFWSDVHHTDFVDSASAMVRHAVALLKPTKVSARQLPPSIAKVDAKSRALFPLGLGH AAEYVRPRVALIGDAAHRIHPLAGQGVNMGFGDISSLVHHLSTAAFNGKDLGSMSHLTGY ETDRQRHNTALLAATDLLKRLYSTSATPLVLLRTWGLQATNAVSPLKEQIMAFASK >ENSMUSP00000056347.5 pep:known chromosome:GRCm38:18:37477814:37483038:1 gene:ENSMUSG00000047910.6 transcript:ENSMUST00000051442.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb16 description:protocadherin beta 16 [Source:MGI Symbol;Acc:MGI:2136752] MEASRKLICRQRQVLFFFLLLGFPLTTAGELRRYSVVEEKEGRSFVTNLVKDLGLGQLEL SRRGAKVISKGNKLHLQLDQETGDLLLNEKVDREKLCGHTEPCLLSFQVLLDNPLDIFQA ELEVTDINDHSPEFLDKEIMLKISESSLPGATFPLKNAQDMDVGQNGIDKYLISSNSYFR VLTRKRSDGKKYPELVLDRALDREEEAELRLTLTAQDGGSLPRSGTTEVHIEVLDINDNA PQFEQLFYKAQIPEDSPIGFLIITVSATDKDIGVNGQISYSLFQVSDDISKTFSIHPLTG EVRLKEHLDFEKTQSYEINIEARDAGTFSGKCTILAQVLDVNDHAPEIILSAFTNSILEN LPETMVAVFSVSDLDSGENGKVSCSIQDDLPFFLKPSGENFYTLLSQKPLDRESVAEYNI TITVADMGSPILKTQVNLTVQVSDINDNAPIFTQTSYTMFIRENNSPALHIGTISATDSD SGSNAHITYSLLPPHDHQLALASFISINADNGQLFVLRALDYEALQAFEFHVGATDGGSP ALSSQALVRMVVLDDNDNAPFVLYPMQNASAPYTELLPRAAEPGYLVTKVVAVDRDSGQN AWLSFQLLKATEPGLFSVWAHNGEVHTSRLLSERDVHKHRLILLVKDNGDPPRSASVTLH VLLVDGFSQPYLPLPEVARDPDHEDSELTLYLVIALASVSSLFLVSVLLFVGVRLCRRAR EVSLGGCSVPEGHFPGHLVDVSGTGTLSQSYQYEVCLTGDSGTGEFKYLKPILPNFQDHS FRPEMGENPNSRNDWSFGIQLK >ENSMUSP00000139457.1 pep:known chromosome:GRCm38:9:89084912:89092690:-1 gene:ENSMUSG00000079162.8 transcript:ENSMUST00000189557.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim43b description:tripartite motif-containing 43B [Source:MGI Symbol;Acc:MGI:3648996] MESDNLQDPQEETLTCSICQGIFMNPVYLKCGHKFCEACLLLFQEDIKFPAYCPMCMQPF NQEYINDISLKKQVSIVRKKRLMEYLNSEEHKCVTHKAKKMIFCDKSKILLCHLCSDSQE HSGHTHCSIDVAVQEKMEELLKHMDSLWRRLKIQQNYVEKERRTTLWWLSMKLREEVIKR VYGKQCPPLSEERDQHIECLRHQSNTTLEELRKSEATIVHERNQLTEVYRELMTMSQRPY QELLVQDLDDLFRRSKLAAKLDMPQGMIPRLRAHSIPGLTARLNSFRVKISFKHSIMFGY TSLRPFDIRLLHESTSLDSAETHRVSWGKKSFSRGKYYWEVDLKDYRRWTVGVCKDPWLR GRSYVATPTDLFLECLRKDDHYILITRIGGEHYIEKPVGQVGVFLDCEGGYVSFVDVAKS SLILSYSPGTFHCAVRPFFSAVYT >ENSMUSP00000126594.1 pep:known chromosome:GRCm38:9:89084624:89092835:-1 gene:ENSMUSG00000079162.8 transcript:ENSMUST00000167113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim43b description:tripartite motif-containing 43B [Source:MGI Symbol;Acc:MGI:3648996] MESDNLQDPQEETLTCSICQGIFMNPVYLKCGHKFCEACLLLFQEDIKFPAYCPMCMQPF NQEYINDISLKKQVSIVRKKRLMEYLNSEEHKCVTHKAKKMIFCDKSKILLCHLCSDSQE HSGHTHCSIDVAVQEKMEELLKHMDSLWRRLKIQQNYVEKERRTTLWWLKSMKLREEVIK RVYGKQCPPLSEERDQHIECLRHQSNTTLEELRKSEATIVHERNQLTEVYRELMTMSQRP YQELLVQDLDDLFRRSKLAAKLDMPQGMIPRLRAHSIPGLTARLNSFRVKISFKHSIMFG YTSLRPFDIRLLHESTSLDSAETHRVSWGKKSFSRGKYYWEVDLKDYRRWTVGVCKDPWL RGRSYVATPTDLFLECLRKDDHYILITRIGGEHYIEKPVGQVGVFLDCEGGYVSFVDVAK SSLILSYSPGTFHCAVRPFFSAVYT >ENSMUSP00000034097.7 pep:known chromosome:GRCm38:8:95864134:95888547:-1 gene:ENSMUSG00000031672.7 transcript:ENSMUST00000034097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Got2 description:glutamatic-oxaloacetic transaminase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:95792] MALLHSSRILSGMAAAFHPGLAAAASARASSWWTHVEMGPPDPILGVTEAFKRDTNSKKM NLGVGAYRDDNGKPYVLPSVRKAEAQIAAKNLDKEYLPIGGLAEFCKASAELALGENNEV LKSGRFVTVQTISGTGALRVGASFLQRFFKFSRDVFLPKPSWGNHTPIFRDAGMQLQGYR YYDPKTCGFDFSGALEDISKIPEQSVLLLHACAHNPTGVDPRPEQWKEIASVVKKKNLFA FFDMAYQGFASGDGDKDAWAVRHFIEQGINVCLCQSYAKNMGLYGERVGAFTVVCKDAEE AKRVESQLKILIRPLYSNPPLNGARIAATILTSPDLRKQWLQEVKGMADRIISMRTQLVS NLKKEGSSHNWQHITDQIGMFCFTGLKPEQVERLTKEFSVYMTKDGRISVAGVTSGNVGY LAHAIHQVTK >ENSMUSP00000026495.8 pep:known chromosome:GRCm38:18:77773729:77782869:1 gene:ENSMUSG00000025428.15 transcript:ENSMUST00000026495.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5a1 description:ATP synthase, H+ transporting, mitochondrial F1 complex, alpha subunit 1 [Source:MGI Symbol;Acc:MGI:88115] MLSVRVAAAVARALPRRAGLVSKNALGSSFVGARNLHASNTRLQKTGTAEMSSILEERIL GADTSVDLEETGRVLSIGDGIARVHGLRNVQAEEMVEFSSGLKGMSLNLEPDNVGVVVFG NDKLIKEGDVVKRTGAIVDVPVGEELLGRVVDALGNAIDGKGPIGSKTRRRVGLKAPGII PRISVREPMQTGIKAVDSLVPIGRGQRELIIGDRQTGKTSIAIDTIINQKRFNDGTDEKK KLYCIYVAIGQKRSTVAQLVKRLTDADAMKYTIVVSATASDAAPLQYLAPYSGCSMGEYF RDNGKHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKMNDSFG GGSLTALPVIETQAGDVSAYIPTNVISITDGQIFLETELFYKGIRPAINVGLSVSRVGSA AQTRAMKQVAGTMKLELAQYREVAAFAQFGSDLDAATQQLLSRGVRLTELLKQGQYSPMA IEEQVAVIYAGVRGYLDKLEPSKITKFENAFLSHVISQHQSLLGNIRSDGKISEQSDAKL KEIVTNFLAGFEP >ENSMUSP00000110396.1 pep:known chromosome:GRCm38:18:77773956:77782866:1 gene:ENSMUSG00000025428.15 transcript:ENSMUST00000114748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5a1 description:ATP synthase, H+ transporting, mitochondrial F1 complex, alpha subunit 1 [Source:MGI Symbol;Acc:MGI:88115] MSSILEERILGADTSVDLEETGRVLSIGDGIARVHGLRNVQAEEMVEFSSGLKGMSLNLE PDNVGVVVFGNDKLIKEGDVVKRTGAIVDVPVGEELLGRVVDALGNAIDGKGPIGSKTRR RVGLKAPGIIPRISVREPMQTGIKAVDSLVPIGRGQRELIIGDRQTGKTSIAIDTIINQK RFNDGTDEKKKLYCIYVAIGQKRSTVAQLVKRLTDADAMKYTIVVSATASDAAPLQYLAP YSGCSMGEYFRDNGKHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLE RAAKMNDSFGGGSLTALPVIETQAGDVSAYIPTNVISITDGQIFLETELFYKGIRPAINV GLSVSRVGSAAQTRAMKQVAGTMKLELAQYREVAAFAQFGSDLDAATQQLLSRGVRLTEL LKQGQYSPMAIEEQVAVIYAGVRGYLDKLEPSKITKFENAFLSHVISQHQSLLGNIRSDG KISEQSDAKLKEIVTNFLAGFEP >ENSMUSP00000120436.1 pep:known chromosome:GRCm38:18:77773992:77782655:1 gene:ENSMUSG00000025428.15 transcript:ENSMUST00000135678.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp5a1 description:ATP synthase, H+ transporting, mitochondrial F1 complex, alpha subunit 1 [Source:MGI Symbol;Acc:MGI:88115] MLSVRVAAAVARALPRRAGLVSKNALGSSFVGARNLHASNTRLQKTGTAEMSSILEERIL GADTSVDLEETGRVLSIGGCYLCGCTGLS >ENSMUSP00000007584.1 pep:known chromosome:GRCm38:18:37090013:37187657:1 gene:ENSMUSG00000103255.1 transcript:ENSMUST00000007584.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhac1 description:protocadherin alpha subfamily C, 1 [Source:MGI Symbol;Acc:MGI:1891442] MVGWGMAVLCWWVSCGAAAGQLEYSVLEETEPGVTVGNIASDLKLSAAVLSLRNFRFLSN YRESYFGVDLGSGSLVVQELADREQLCPAKASCILTYELMFEDPLELHKIRVHLLDTNDN SPLFPAGDVHLHIPEFLPPGARFILPNAQDADEGSNGALSYSLSISQHFRLDMGSRVDGS KYPELVLEKALDREQRDTHLLVLTAQDGGLPARSGAAQVTITVVDTNDNAPVFEHSVYST KVPETAPNGTVLFHVQALDPDEGSNGEVWYSLSNSTPAELRHLFHVHPKSGEVQVAASLG PPETLLEAFVEARDEGAFSLASTTKLLVEVTDVNDHAPQMDFMTFSSSILEDAAPGTVIA LLSVKDEDLGSNGKVTCSMSSKGPFQLKASFDSYYRLLTDGPLDREQASEYQILISASDG GSPPLSTRRTLTVSVADVNDNTPNFPQPQQELFVAENNSPGVSLGGVFAQDPDLGENGFV FYELLDVISERMPVSSSLVAVEPTTGVITAKTSFDFEQLRGFNFQVEGRDGGIPPRSATV TVNLFVVDRNDNAPDILFPLPRNGSIPVERVPRFARAGHLVTKVVAEDADSGSNAWLSYH ISQASDSSLFRISVNTGELRTARLVFPTDAVKQSVVVVVQDHGEPPLSSSVILGVLLSNA APQVIPDFEDIWEPRAQLSARNLYLIIALVCISFLFLGCLFFFVCIKLNQSPDCCSQNFC HSPENERHKRTMASSPWVTSATIDVTTVERLSQTYLYQASLGPGYGNNSFFLHGKYKAAD LRNLAPGVGMNLPVSCVQIRNRKGDHSNVEAVPRQPNPDWRYSASLRAGMHSSVHLEEAG ILRAGPGGPDQQWPTVSSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPD KFIIPGSPAIISIRQEPANNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTD NSDQ >ENSMUSP00000105423.1 pep:known chromosome:GRCm38:2:153108468:153151441:1 gene:ENSMUSG00000003283.13 transcript:ENSMUST00000109799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hck description:hemopoietic cell kinase [Source:MGI Symbol;Acc:MGI:96052] MGCVKSRFLRDGSKASKTEPSANQKGPVYVPDPTSSSKLGPNNSNSMPPGFVEGSEDTIV VALYDYEAIHREDLSFQKGDQMVVLEEAGEWWKARSLATKKEGYIPSNYVARVNSLETEE WFFKGISRKDAERHLLAPGNMLGSFMIRDSETTKGSYSLSVRDFDPQHGDTVKHYKIRTL DSGGFYISPRSTFSSLQELVLHYKKGKDGLCQKLSVPCVSPKPQKPWEKDAWEIPRESLQ MEKKLGAGQFGEVWMATYNKHTKVAVKTMKPGSMSVEAFLAEANLMKSLQHDKLVKLHAV VSQEPIFIVTEFMAKGSLLDFLKSEEGSKQPLPKLIDFSAQISEGMAFIEQRNYIHRDLR AANILVSASLVCKIADFGLARIIEDNEYTAREGAKFPIKWTAPEAINFGSFTIKSDVWSF GILLMEIVTYGRIPYPGMSNPEVIRALEHGYRMPRPDNCPEELYNIMIRCWKNRPEERPT FEYIQSVLDDFYTATESQYQQQP >ENSMUSP00000003370.7 pep:known chromosome:GRCm38:2:153108468:153151441:1 gene:ENSMUSG00000003283.13 transcript:ENSMUST00000003370.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hck description:hemopoietic cell kinase [Source:MGI Symbol;Acc:MGI:96052] LGGRSSCEDPGCPRSEGRAPRMGCVKSRFLRDGSKASKTEPSANQKGPVYVPDPTSSSKL GPNNSNSMPPGFVEGSEDTIVVALYDYEAIHREDLSFQKGDQMVVLEEAGEWWKARSLAT KKEGYIPSNYVARVNSLETEEWFFKGISRKDAERHLLAPGNMLGSFMIRDSETTKGSYSL SVRDFDPQHGDTVKHYKIRTLDSGGFYISPRSTFSSLQELVLHYKKGKDGLCQKLSVPCV SPKPQKPWEKDAWEIPRESLQMEKKLGAGQFGEVWMATYNKHTKVAVKTMKPGSMSVEAF LAEANLMKSLQHDKLVKLHAVVSQEPIFIVTEFMAKGSLLDFLKSEEGSKQPLPKLIDFS AQISEGMAFIEQRNYIHRDLRAANILVSASLVCKIADFGLARIIEDNEYTAREGAKFPIK WTAPEAINFGSFTIKSDVWSFGILLMEIVTYGRIPYPGMSNPEVIRALEHGYRMPRPDNC PEELYNIMIRCWKNRPEERPTFEYIQSVLDDFYTATESQYQQQP >ENSMUSP00000139988.1 pep:known chromosome:GRCm38:2:153108468:153151439:1 gene:ENSMUSG00000003283.13 transcript:ENSMUST00000191431.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hck description:hemopoietic cell kinase [Source:MGI Symbol;Acc:MGI:96052] LGGRSSCEDPGCPRSEGRAPRMGCVKSRFLRDGSKASKTEPSANQKGPVYVPDPTSSSKL GPNNSNSMPPGFVEGSEDTIVVALYDYEAIHREDLSFQKGDQMVVLEEAGEWWKARSLAT KKEGYIPSNYVARVNSLETEEWFFKGISRKDAERHLLAPGNMLGSFMIRDSETTKGSYSL SVRDFDPQHGDTVKHYKIRTLDSGGFYISPRSTFSSLQELVLHYKKGKDGLCQKLSVPCV SPKPQKPWEKDAWEIPRESLQMEKKLGAGQFGEVWMATYNKHTKVAVKTMKPGSMSVEAF LAEANLMKSLQHDKLVKLHAVVSQEPIFIVTEFMAKGSLLDFLKSEEGSKQPLPKLIDFS AQISEGMAFIEQRNYIHRDLRAANILVSASLVCKIADFGLARIIEDNEYTAREGAKFPIK WTAPEAINFGSFTIKSDVWSFGILLMEIVTYGRIPYPGMSNPEVIRALEHGYRMPRPDNC PEELYNIMIRCWKNRPEERPTFEYIQSVLDDFYTATESQYQQQP >ENSMUSP00000141030.1 pep:known chromosome:GRCm38:2:153108468:153151439:1 gene:ENSMUSG00000003283.13 transcript:ENSMUST00000189688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hck description:hemopoietic cell kinase [Source:MGI Symbol;Acc:MGI:96052] MGCVKSRFLRDGSKASKTEPSANQKGPVYVPDPTSSSKLGPNNSNSMPPGFVEGSEDTIV VALYDYEAIHREDLSFQKGDQMVVLEEAGEWWKARSLATKKEGYIPSNYVARVNSLETEE WFFKGISRKDAERHLLAPGNMLGSFMIRDSETTKGSYSLSVRDFDPQHGDTVKHYKIRTL DSGGFYISPRSTFSSLQELVLHYKKGKDGLCQKLSVPCVSPKPQKPWEKDAWEIPRESLQ MEKKLGAGQFGEVWMATYNKHTKVAVKTMKPGSMSVEAFLAEANLMKSLQHDKLVKLHAV VSQEPIFIVTEFMAKGSLLDFLKSEEGSKQPLPKLIDFSAQISEGMAFIEQRNYIHRDLR AANILVSASLVCKIADFGLARIIEDNEYTAREGAKFPIKWTAPEAINFGSFTIKSDVWSF GILLMEIVTYGRIPYPGMSNPEVIRALEHGYRMPRPDNCPEELYNIMIRCWKNRPEERPT FEYIQSVLDDFYTATESQYQQQP >ENSMUSP00000007949.3 pep:known chromosome:GRCm38:1:91801461:91848028:1 gene:ENSMUSG00000007805.4 transcript:ENSMUST00000007949.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Twist2 description:twist basic helix-loop-helix transcription factor 2 [Source:MGI Symbol;Acc:MGI:104685] MEEGSSSPVSPVDSLGTSEEELERQPKRFGRKRRYSKKSSEDGSPTPGKRGKKGSPSAQS FEELQSQRILANVRERQRTQSLNEAFAALRKIIPTLPSDKLSKIQTLKLAARYIDFLYQV LQSDEMDNKMTSCSYVAHERLSYAFSVWRMEGAWSMSASH >ENSMUSP00000139531.1 pep:known chromosome:GRCm38:1:91801470:91803994:1 gene:ENSMUSG00000007805.4 transcript:ENSMUST00000186075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Twist2 description:twist basic helix-loop-helix transcription factor 2 [Source:MGI Symbol;Acc:MGI:104685] MEEGSSSPVSPVDSLGTSEEELERQPKRFGRKRRYSKKSSEDGSPTPGKRGKKGSPSAQS FEELQSQRILANVRERQRTQSLNEAFAALRKIIPTLPSDKLSKIQTLKLAARYIDFLYQV LQSDEMDNKMTSCSYVAHERLSYAFSVWRMEGAWSMSASH >ENSMUSP00000125723.1 pep:known chromosome:GRCm38:5:99297244:99729045:-1 gene:ENSMUSG00000089809.8 transcript:ENSMUST00000146396.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930011G23Rik description:RIKEN cDNA A930011G23 gene [Source:MGI Symbol;Acc:MGI:2442790] MAKFLAALLGCTLPSKGASPVLEGTIFSTLAGEKSSSDYSKEQQWKPVYCSLAAMCLSNP LIEKPGGKIPTKTPNISALPLDIFIHPLKTFILPRSFVQTATSETTHFACLSMSWS >ENSMUSP00000125057.1 pep:known chromosome:GRCm38:5:99375026:99729062:-1 gene:ENSMUSG00000089809.8 transcript:ENSMUST00000161516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930011G23Rik description:RIKEN cDNA A930011G23 gene [Source:MGI Symbol;Acc:MGI:2442790] MAKFLAALLGCTLPSKGASPVLEGTIFSTLAGEKSSSDYSKEQQWKPVYCSLAAMCLSNP LIEKPGGKVRLLLCLVAWCHWSKTVSFLFCLEVSGELIKSIS >ENSMUSP00000125009.1 pep:known chromosome:GRCm38:5:99723455:99729056:-1 gene:ENSMUSG00000089809.8 transcript:ENSMUST00000161148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930011G23Rik description:RIKEN cDNA A930011G23 gene [Source:MGI Symbol;Acc:MGI:2442790] MAKFLAALLGCTLPSKGASPVLEVTSSHPAMAAWSLELVCDGSY >ENSMUSP00000056401.4 pep:known chromosome:GRCm38:14:52311172:52328762:-1 gene:ENSMUSG00000049532.10 transcript:ENSMUST00000058326.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sall2 description:sal-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1354373] MSRRKQRRPQQLISDCEGPSASENGDASEEDHPQVCAKCCAQFSDPTEFLAHQNSCCTDP PVMVIIGGQENPSNSSASSAPRPEGHSRSQVMDTEHSNPPDSGSSGAPDPTWGPERRGEE SSGQFLVAATGTAAGGGGGLILASPKLGATPLPPESTPAPPPPPPPPPPPGVGSGHLNIP LILEELRVLQQRQIHQMQMTEQICRQVLLLGSLGQTVGAPASPSELPGTGAASSTKPLLP LFSPIKPAQTGKTLASSSSSSSSSGAEPPKQAFFHLYHPLGSQHPFSVGGVGRSHKPTPA PSPALPGSTDQLIASPHLAFPGTTGLLAAQCLGAARGLEAAASPGLLKPKNGSGELGYGE VISSLEKPGGRHKCRFCAKVFGSDSALQIHLRSHTGERPYKCNVCGNRFTTRGNLKVHFH RHREKYPHVQMNPHPVPEHLDYVITSSGLPYGMSVPPEKAEEEAGTPGGGVERKPLVAST TALSATESLTLLSTGTSTAVAPGLPTFNKFVLMKAVEPKSKADENTPPGSEGSAIAGVAD SGSATRMQLSKLVTSLPSWALLTNHLKSTGSFPFPYVLEPLGASPSETSKLQQLVEKIDR QGAVAVASTASGAPTTSAPAPSSSASGPNQCVICLRVLSCPRALRLHYGQHGGERPFKCK VCGRAFSTRGNLRAHFVGHKTSPAARAQNSCPICQKKFTNAVTLQQHVRMHLGGQIPNGG SALSEGGGAAQENSSEQSTASGPGSFPQPQSQQPSPEEEMSEEEEEDEEEEEDVTDEDSL AGRGSESGGEKAISVRGDSEEVSGAEEEVATSVAAPTTVKEMDSNEKAPQHTLPPPPPPP DNLDHPQPMEQGTSDVSGAMEEEAKLEGTSSPMAALTQEGEGTSTPLVEELNLPEAMKKD PGESSGRKACEVCGQSFPTQTALEEHQKTHPKDGPLFTCVFCRQGFLDRATLKKHMLLAH HQVPPFAPHGPQNIATLSLVPGCSSSIPSPGLSPFPRKDDPTMP >ENSMUSP00000026434.6 pep:known chromosome:GRCm38:11:119913810:119919548:1 gene:ENSMUSG00000025371.12 transcript:ENSMUST00000026434.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp6 description:charged multivesicular body protein 6 [Source:MGI Symbol;Acc:MGI:3583942] MGNLFGRKKQSRVTEQDRAILQLKQQRDKLRQYQKRVTQQLERERALARQLLRDGRKERA KLLLKKKRYREQLLDRTENQISSLEAMVQSIEFTQIEMKVMEGLQVGNECLNKMHQVMSI EEVERILDETQEAVEYQRQIDELLAGNFTQEDEDAILEELNAITQEQMELPEVPSEPLPD RNPEAPAKARSRQAELVAAS >ENSMUSP00000114645.1 pep:known chromosome:GRCm38:11:119913441:119917008:1 gene:ENSMUSG00000025371.12 transcript:ENSMUST00000132197.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp6 description:charged multivesicular body protein 6 [Source:MGI Symbol;Acc:MGI:3583942] MVQSIEFTQIEMKVMEGLQVGNECLNKMHQVMSIEEVERILDETQEAVEYQRQIDELLAG NFTQEDEDAILEELNAIT >ENSMUSP00000116677.1 pep:known chromosome:GRCm38:11:119913830:119916094:1 gene:ENSMUSG00000025371.12 transcript:ENSMUST00000124199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp6 description:charged multivesicular body protein 6 [Source:MGI Symbol;Acc:MGI:3583942] MVQSIEFTQIEMKVMEGLQVGNEC >ENSMUSP00000117678.1 pep:known chromosome:GRCm38:11:119914015:119916701:1 gene:ENSMUSG00000025371.12 transcript:ENSMUST00000148232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp6 description:charged multivesicular body protein 6 [Source:MGI Symbol;Acc:MGI:3583942] MVQSIEFTQIEMKVMEGLQVGNECLNKMHQVMSIEEV >ENSMUSP00000099466.3 pep:known chromosome:GRCm38:11:87806428:87826113:-1 gene:ENSMUSG00000009356.12 transcript:ENSMUST00000103177.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpo description:lactoperoxidase [Source:MGI Symbol;Acc:MGI:1923363] MKVLLHLPALLASLTLLQTAASASDDPTAETDIIHDTVEEVKVWVNKAFLDSRDRLKMAM TTKIHSTRHLSDYLKHAKGRTRTAIRSGQVWEESLKKLSQFLTNVTGQGLDLTLLSWEAG CDPPAPTMTCNISSPYRTITGYCNNRKNPALGSANRALARWLPAEYEDGLSLPYGWTPGK MRNGFPLPQPREVSNQIAAYLNEEDVLDQKRSMLFMQWGQIVDHDMDFAPETEMGSDTYT KAQCDEHCIQGDNCFPIMFPPGDPKLKTQGKCMPFFRAGFVCPTPPYKSLAREQINALTS FLDASLVYSPEPSLANRLRNLSSPLGLMAVNEEVSDNGRPFPPFVKMKPSPCEVINATAG VPCFLAGDSRASEQILLATSHTLFIREHNRLATELSRLNPHWDRETLYQEARKIMGAFIQ ITTFRDYLPILLGDEMQKWIPPYQGYNESVDPRISNVFTFALRFGHLEIPSTVYRLDENY QPWGSESELPLHTVFFNTWRLVKDGGIDPLVRGLLAKNAKLMHQNKMMTGELRNKLFQPN HTIHGFDLASINIQRSRDHGQPGYNSWRAFCGLSQPKTLEELSAVMKNEVLAKKLMDLYG TPSNIDIWLGAVAEPLVHRGRVGPLLTCLLGQQFQRIRDGDRFWWENPGVFTEKQRESLQ KMSFSRLVCDNTGIDKVPLNPFQANAYPHGFVDCSSIDKLDLSPWASVKE >ENSMUSP00000117763.1 pep:known chromosome:GRCm38:11:87817734:87828289:-1 gene:ENSMUSG00000009356.12 transcript:ENSMUST00000136446.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpo description:lactoperoxidase [Source:MGI Symbol;Acc:MGI:1923363] MKVLLHLPALLASLTLLQTAASASDDPTAETDIIHDTVEEVKVWVNKAFLDSRDRLKMAM TTKIHSTRHLSDYLKHAKGRTRTAIRSGQVWEESLKKLSQFLTNVTGQGLDLTLLSWEAG CDPPAPTMTCNISSPYRTITGYCNN >ENSMUSP00000102047.1 pep:known chromosome:GRCm38:11:116131817:116138868:-1 gene:ENSMUSG00000020775.13 transcript:ENSMUST00000106439.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl38 description:mitochondrial ribosomal protein L38 [Source:MGI Symbol;Acc:MGI:1926269] MAAPWWRAAFFGIGRCRGFSTSAFLSRRTPPLGPMPNEDIDVSNLERLEKYRSFERYRRR AEQEARAPHWWRTYREHFVKETDPKDKIDIGLPPPRVSRTQKLLERKHFLRELRANVEEE RAARLRTASIPLEAVRAEWERTCGPYHKQRLAEYYGLYRDLFHGATFVPWVPLHVAYAVG EEDLIPVYHGNEVTPTEASRAPEVTYEADKDSLWTLLFINLDGHLLEPDAEYVHWLLTNI PSNRVAEGQETCPYLPPFPARGSGFHRFAFLLFKQDKPINFSEDTRPSPCYQLAQRTFRT FDFYKRHQEAMTPAGLAFFQCRWDDSVTHTFHQLLDMREPVFEFVRPPPYHPKQKRFPHE QPLRYLDRYRDSHEPTYGIY >ENSMUSP00000119855.1 pep:known chromosome:GRCm38:7:143823167:143836679:1 gene:ENSMUSG00000058454.15 transcript:ENSMUST00000128454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhcr7 description:7-dehydrocholesterol reductase [Source:MGI Symbol;Acc:MGI:1298378] MASKSQHNAPKV >ENSMUSP00000117659.1 pep:known chromosome:GRCm38:7:143829626:143848410:1 gene:ENSMUSG00000058454.15 transcript:ENSMUST00000124340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhcr7 description:7-dehydrocholesterol reductase [Source:MGI Symbol;Acc:MGI:1298378] MASKSQHNAPKVKSPNGKAGSQGQWGRAWEVDWFSLASIIFLLLFAPFIVYYFIMACDQY SCSLTAPALDIATGHASLADIWAKTPPVTAKAAQLYALWVSFQVLLYSWLPDFCHRFLPG YVGGVQEGAITPAGVVNKYEVNGLQAWLITHILWFVNAYLLSWFSPTIIFDNWIPLLWCA NILGYAVSTFAMIKGYLFPTSAEDCKFTGNFFYNYMMGIEFNPRIGKWFDFKLFFNGRPG IVAWTLINLSFAAKQQELYGHVTNSMILVNVLQAIYVLDFFWNETWYLKTIDICHDHFGW YLGWGDCVWLPYLYTLQGLYLVYHPVQLSTPNALGILLLGLVGYYIFRMTNHQKDLFRRT DGRCLIWGKKPKAIECSYTSADGLKHHSKLLVSGFWGVARHFNYTGDLMGSLAYCLACGG GHLLPYFYIIYMTILLTHRCLRDEHRCANKYGRDWERYTAAVPYRLLPGIF >ENSMUSP00000121782.1 pep:known chromosome:GRCm38:7:143830077:143848410:1 gene:ENSMUSG00000058454.15 transcript:ENSMUST00000141916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhcr7 description:7-dehydrocholesterol reductase [Source:MGI Symbol;Acc:MGI:1298378] MASKSQHNAPKVKSPNGKAGSQGQWGRAWEVDWFSLASIIFLLLFAPFIVYYFIMACDQY SCSLTAPALDIATGHASLADIWAKTPPVTAKAAQLYALWVSFQVLLYSWLPDFCHRFLPG YVGGVQEGAITPAGVVNKYEVNGLQAWLITHILWFVNAYLLSWFSPTIIFDNWIPLLWCA NILGYAVSTFAMIKGYLFPTSAEDCKFTGNFFYNYMMGIEFNPRIGKWFDFKLFFNGRPG IVAWTLINLSFAAKQQELYGHVTNSMILVNVLQAIYVLDFFWNETWYLKTIDICHDHFGW YLGWGDCVWLPYLYTLQGLYLVYHPVQLSTPNALGILLLGLVGYYIFRMTNHQKDLFRRT DGRCLIWGKKPKAIECSYTSADGLKHHSKLLVSGFWGVARHFNYTGDLMGSLAYCLACGG GHLLPYFYIIYMTILLTHRCLRDEHRCANKYGRDWERYTAAVPYRLLPGIF >ENSMUSP00000118957.1 pep:known chromosome:GRCm38:7:143830234:143845569:1 gene:ENSMUSG00000058454.15 transcript:ENSMUST00000144034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhcr7 description:7-dehydrocholesterol reductase [Source:MGI Symbol;Acc:MGI:1298378] MASKSQHNAPKVKSPNGKAGSQGQWGRAWEVDWFSLASIIFLLLFAPFIVYYFIMACDQY SCSLTAPALDIATGHASLADIWAKTPPVTAKAAQLYALWVSFQGYLFPTSAEDCKFTGNF FYNYMMGIEFNPRIGKWFDFKLFFNGRPGIVAWTLINLSFAAKQQELYGHVTNSMILVNV LQAIYVLDFFWNETWYLKTIDICHDHFGWYLGWGDCVWLPYLYTL >ENSMUSP00000119984.1 pep:known chromosome:GRCm38:7:143830238:143843146:1 gene:ENSMUSG00000058454.15 transcript:ENSMUST00000143338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhcr7 description:7-dehydrocholesterol reductase [Source:MGI Symbol;Acc:MGI:1298378] MASKSQHNAPKVKSPNGKAGSQGQWGRAWEVDWFSLASIIFLLLFAPFIVYYFIMACDQY SCSLTAPALDIATGHASLADIWAKTPPVTAKAAQLYALWVSFQVLLYSWLPDFCHRFLPG YVGGVQEGAITPAGVVNKYEVNGLQAWLITHILWFVNAYLLSWFSPTIIFDNWIPLLWCA NILGYAVSTFAMIKGYLFPTSAEDCKFTGNFFYNY >ENSMUSP00000146947.1 pep:known chromosome:GRCm38:7:143830247:143847657:1 gene:ENSMUSG00000058454.15 transcript:ENSMUST00000207143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhcr7 description:7-dehydrocholesterol reductase [Source:MGI Symbol;Acc:MGI:1298378] MGLMASKSQHNAPKVKSPNGKAGSQGQWGRAWEVDWFSLASIIFLLLFAPFIVYYFIMAC DQYSCSLTAPALDIATGHASLADIWAKTPPVTAKAAQLYALWVSFQVLLYSWLPDFCHRF LPGYVGGVQEGAITPAGVVNKYEVNGLQAWLITHILWFVNAYLLSWFSPTIIFDNWIPLL WCANILGYAVSTFAMIKGYLFPTSAEDCKFTGNFFYNYMMGIEFNPRIGKWFDFKLFFNG RPGIVAWTLINLSFAAKQQELYGHVTNSMILVNVLQAIYVLDFFWNETWYLKTIDICHDH FGWYLGWGDCVWLPYLYTLQGLYLVYHPVQLSTPNALGILLLGLVGYYIFRMTNHQKDLF RRTDGRCLIWGKKPKAIECSYTSADGLKHHSKLLVSGFWGVARHFNYTGDLMGSLAYCLA CGGGHLLPYFYIIYMTILLTHRCLRDEHRCANKYGRDWERYTAAVPYRLLPGIF >ENSMUSP00000146636.1 pep:known chromosome:GRCm38:7:143830258:143837888:1 gene:ENSMUSG00000058454.15 transcript:ENSMUST00000125564.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhcr7 description:7-dehydrocholesterol reductase [Source:MGI Symbol;Acc:MGI:1298378] MASKSQHNAPKVKSPNGKAGSQGQWGRAWEVDWFSLASIIFLLLFAPFIVYYFIMACDQY SCSLTAPALDI >ENSMUSP00000146937.1 pep:known chromosome:GRCm38:7:143841334:143847409:1 gene:ENSMUSG00000058454.15 transcript:ENSMUST00000145471.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhcr7 description:7-dehydrocholesterol reductase [Source:MGI Symbol;Acc:MGI:1298378] IKGYLFPTSAEDWQFLLQLYDGN >ENSMUSP00000073541.5 pep:known chromosome:GRCm38:7:143823167:143848409:1 gene:ENSMUSG00000058454.15 transcript:ENSMUST00000073878.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhcr7 description:7-dehydrocholesterol reductase [Source:MGI Symbol;Acc:MGI:1298378] MASKSQHNAPKVKSPNGKAGSQGQWGRAWEVDWFSLASIIFLLLFAPFIVYYFIMACDQY SCSLTAPALDIATGHASLADIWAKTPPVTAKAAQLYALWVSFQVLLYSWLPDFCHRFLPG YVGGVQEGAITPAGVVNKYEVNGLQAWLITHILWFVNAYLLSWFSPTIIFDNWIPLLWCA NILGYAVSTFAMIKGYLFPTSAEDCKFTGNFFYNYMMGIEFNPRIGKWFDFKLFFNGRPG IVAWTLINLSFAAKQQELYGHVTNSMILVNVLQAIYVLDFFWNETWYLKTIDICHDHFGW YLGWGDCVWLPYLYTLQGLYLVYHPVQLSTPNALGILLLGLVGYYIFRMTNHQKDLFRRT DGRCLIWGKKPKAIECSYTSADGLKHHSKLLVSGFWGVARHFNYTGDLMGSLAYCLACGG GHLLPYFYIIYMTILLTHRCLRDEHRCANKYGRDWERYTAAVPYRLLPGIF >ENSMUSP00000141348.1 pep:known chromosome:GRCm38:18:37680233:37841870:1 gene:ENSMUSG00000103037.1 transcript:ENSMUST00000192931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgb1 description:protocadherin gamma subfamily B, 1 [Source:MGI Symbol;Acc:MGI:1935169] MENQVLLHFLVPLFCGALSQPIHYSIPEELAKGSLVGSLAKDLGLSIQELPARKLRVSAE DYFSVSAESGDLLVSGRIDREKICGRKSECALEFESVTENPMNIFHVTVAIQDINDNAPH FFGKSIELEICESALAGAKFPLDSARDADVGSNSLKMYTVSPNPHFSLSTKESPDGSKYP ELLLETPLDREHQSSHHLILTAMDGGDPPLSSTTQVWIKVTDANDNAPVFSQDTYKVSLR ENIPPGTLVLQVTASDQDESIHGEITYAFLNAPASTNLVFNLNPNTGAITTNGTLDFEEK NRYTLGVEAKDGGVHTAHCNVQIEILDDNDNAPEVTFMSFSNQVPEDSVLGTVIALIKVR DKDSGQNGLVACHIQEELPFKLQPTSKNYYKLVIDKALNREQTPEYNVTITATDSGKPSL SSKTCVTVHITDINDNAPVFHQASYLVHVAENNPPGASIAQVSASDPDLGSNGLISYSII ASDLEPRALSSFVSVNQDSGVVFAQRAFDHEQLRSFQLTLQARDHGSPTLSANVSMRVLV GDRNDNAPRVLYPTLEPDGSALFDMVPRAAEPGYLVTKVVAVDADSGHNAWLSYHVLQAS DPGLFSLGLRTGEVRTARALGDRDSARQRLLVAVRDGGQPPLSATATLHLIFADSLQEVL PDLSDDPLPSDPQSELQFYLVVALALISVLFLLAVILAIALRLRHSSRSAAWGCFQPGLS LKSQPVVPPNYNEGTLPYSYNLCVASHSTTMAGFNFHHVAPEVAPPQDLLCDDPSVVVCG NNEDPQVSDDSAFQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQFDTEMLQAMILA SASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGK APAGGNGNKKKSGKKEKK >ENSMUSP00000132678.1 pep:known chromosome:GRCm38:5:88317312:88328814:1 gene:ENSMUSG00000064156.8 transcript:ENSMUST00000170832.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prol1 description:proline rich, lacrimal 1 [Source:MGI Symbol;Acc:MGI:107496] MKNEMFILGLLALTSYFMPGESHHKSRSSQFPVRKYLEDPRYPRYPRPHYSYGFIPSSPK FPKDNQWYKMCPPGTTLMLISRRSPKFLCIPKRQIISDKTKPNATTPAPTTKPTTNATTP APTNRTTTNAITPAPTPKPTTNATTPAPTNRTTTNATTPAPTLKPTTNATIPAPNNRTTT NATTPAPTTKPTTNTTTPAPTTKSTTNVTTPATTTNVTTPATTTNATTPATTTNATTPAT TTNATTPATTTKSTTKEPTTSPKPSTSTAIPTTTKSANSTSSTTTSTTIQTTAPTFAEVF WKFFQQIFRLKK >ENSMUSP00000067728.6 pep:known chromosome:GRCm38:18:37725706:37841873:1 gene:ENSMUSG00000103897.1 transcript:ENSMUST00000066149.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga8 description:protocadherin gamma subfamily A, 8 [Source:MGI Symbol;Acc:MGI:1935221] MAASKNQHRHGRLVLLCTLMGTLCKISVGQIRYSVPEETDKGTVVGNISKDLGLEPRELA ERGVRIVSRGRSQLFSLNPRGGSLVTAGRIDREELCAQSTPCLVNINILVEEKGKLFGVE IEITDINDNNPKFHVGDLEVKINEIAAPGARYPLPEAVDPDVGINSLQSYQLSPNRHFSL HLQTGDDGTINPELVLERTLDREEEPTHHLVLTASDGGEPRRSSTALIQITVLDTNDNAP VFDQPVYRVKVLENVAPGTLLLTVRASDPDEGVNGKVTYKFRKINEKQSLLFHLHENTGE MTVAKNLDYEECSLYEMEIQAEDVGALLGRSKVIIMVEDVNDNRPEVTITSLFNPVLENS LPGTVIAFLNVHDQDSGKNGQVVCYTHDNLPFKLEKSIDNYYRLVTWKYLDREKVSTYNI TVIASDLGAPPLSTETYIALTVADTNDNPPRFSHTSYTAYLPENNLRGASIFSLTAHDPD SQENAQVTYSVSEDTIQGVPLSSYISINSDTGILYALQSFDFEKIQDLQLLVIATDSGSP PLSSNVSLSLFVLDQNDNAPEILYPSFPTDGSTGVELAPRSAEPGYLVTKVVAVDKDSGQ NAWLSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQNLVMAVQDHGQPPLSATVTL TVAVANSIPEVLADLSSIMTPEVPEDSDLTLHLVVAVAVVSCVFLVFVIVLLALRLQRWQ KSRQLQGSRSGLAPAPPSHFVGIDGVQAFLQTYSHEVSLTSGSQTSHIIFPQPNYADMLI NQESCEKNDSLLTSIDFHENKDEDACAPQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPN NQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGS NATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000036724.1 pep:known chromosome:GRCm38:X:86044199:86047313:1 gene:ENSMUSG00000035454.1 transcript:ENSMUST00000047945.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samt3 description:spermatogenesis associated multipass transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:1920745] MNFLTCDIQRFAVSKEYIFRLSGLICSLTAVVFEIILANSRCWRLWEFDDKTVQFVFFGL WEAYYHQVFNISGSTTRTLVHSPINSTWTISPEFHYAQTLIVWAILLKPVVLLFNAMAVK IDYTNDSFVKGQMLLYKISASLLCISSLCTFVSVSWNHVVDLYGQTTLDFPPSFPVKKKD LKMKHYTAAFPIGVLTATMSLFGVIIFLFEMSSLDPQSEVEAQCASRLINQKT >ENSMUSP00000029447.5 pep:known chromosome:GRCm38:3:102995708:103008459:1 gene:ENSMUSG00000027854.12 transcript:ENSMUST00000029447.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sike1 description:suppressor of IKBKE 1 [Source:MGI Symbol;Acc:MGI:1913891] MSCTIEKILTDAKTLLERLREHDAAAESLVDQSAALHRRVAAMREAGAVLPEQYQEDASD VKDMSKYKPHILLSQENTQIRDLQQENRELWVSLEEHQDALELIMSKYRKQMLQLMVAKK AVDAEPVLKAHQSHSAEIESQIDRICEMGAVMRRAVQVDDNQFCKVQERLAQLELENKEL RELLSISSESLQVGKESSVAPASQTIK >ENSMUSP00000112886.1 pep:known chromosome:GRCm38:3:102995795:103002194:1 gene:ENSMUSG00000027854.12 transcript:ENSMUST00000119450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sike1 description:suppressor of IKBKE 1 [Source:MGI Symbol;Acc:MGI:1913891] MSCTIEKILTDAKTLLERLREHDAAAESLVDQSAALHRRVAAMREAGAVLPEQEIESQID RICEMGAVMRRAVQVDDNQFCKVQERLAQLELENKELRELLSISSESLQVGKESSVAPAS QTIK >ENSMUSP00000143074.1 pep:known chromosome:GRCm38:3:102996176:103001836:1 gene:ENSMUSG00000027854.12 transcript:ENSMUST00000197601.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sike1 description:suppressor of IKBKE 1 [Source:MGI Symbol;Acc:MGI:1913891] XVKDMSKYKPHILLSQENTQIRDLQQENRELWVSLEEHQDALELIMSKYRKQMLQLMVAK KAVDAEPVLKAHQSHSAVRNTDK >ENSMUSP00000032071.9 pep:known chromosome:GRCm38:6:85942268:85961667:-1 gene:ENSMUSG00000030002.15 transcript:ENSMUST00000032071.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp11 description:dual specificity phosphatase 11 (RNA/RNP complex 1-interacting) [Source:MGI Symbol;Acc:MGI:1919352] MNQHYGRHGRGRGRDFAACAPPKKKGRNHIPERWKDYLPVGQRMPGTRFIAFKVPLQKKF EAKLMPEECFSPLDLFNKIQEQNEELGLIIDLTYTQRYYKVEDLPETISYIKIFTVGHQI PDNDTIFQFKCAVKEFLKKNKNNDKLIGVHCTHGLNRTGYLICRYLIDVEGMRPDDAIEL FNSCRGHCIERQNYIENLQKRHVRKNRNVSAPRTDGLEDSADPTEQVYTNNKPVKKKPRK NRRGGHLAPSQHFQHQTQSSPYSLRKWSQNQSVYQRGLVPPPGPAGEDYSQRRFFWSARP NKWTAESYQRPFYPYYWEWNL >ENSMUSP00000144380.1 pep:known chromosome:GRCm38:6:85947743:85959285:-1 gene:ENSMUSG00000030002.15 transcript:ENSMUST00000201530.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dusp11 description:dual specificity phosphatase 11 (RNA/RNP complex 1-interacting) [Source:MGI Symbol;Acc:MGI:1919352] XDYLPVGQRMPGTRFIAFKVPLQKKFEAKLMPEECFSPLDLFNKIQEQNEELGLIIDLTY TQRYYKVEDLPETISYIKIFTVGHQIPDNDTIFQFKCAVKEFLKKNKNNDKLIGVHCTHG LNRTGYLICSVQQLPGALHRKAELH >ENSMUSP00000026036.4 pep:known chromosome:GRCm38:X:86191764:86195947:1 gene:ENSMUSG00000025056.4 transcript:ENSMUST00000026036.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr0b1 description:nuclear receptor subfamily 0, group B, member 1 [Source:MGI Symbol;Acc:MGI:1352460] MAGEDHPWQGSILYNLLMSAKQKHASQEEREVRLGAQCWGCACGAQPVLGGERLSGGQAR SLLYRCCFCGENHPRQGGILYSMLTNARQPSVATQAPRARFGAPCWGCACGSAEPLVGRE GLPAGQAPSLLYRCCFCGEEHPRQGSILYSLLTSAQQTHVSREAPEAHRRGEWWQLSYCT QSVGGPEGLQSTQAMAFLYRSYVCGEEQPQQISVASGTPVSADQTPATPQEQPRAPWWDA SPGVQRLITLKDPQVVCEAASAGLLKTLRFVKYLPCFQILPLDQQLVLVRSCWAPLLMLE LAQDHLHFEMMEIPETNTTQEMLTTRRQETEGPEPAEPQATEQPQMVSAEAGHLLPAAAV QAIKSFFFKCWSLNIDTKEYAYLKGTVLFNPDLPGLQCVKYIEGLQWRTQQILTEHIRMM QREYQIRSAELNSALFLLRFINSDVVTELFFRPIIGAVSMDDMMLEMLCAKL >ENSMUSP00000099748.3 pep:known chromosome:GRCm38:4:117674681:117682273:-1 gene:ENSMUSG00000009640.11 transcript:ENSMUST00000102687.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmap1 description:DNA methyltransferase 1-associated protein 1 [Source:MGI Symbol;Acc:MGI:1913483] MATGADVRDILELGGPEGDAASGTISKKDIINPDKKKSKKSSETLTFKRPEGMHREVYAL LYSDKKDAPPLLPSDTGQGYRTVKAKLGSKKVRPWKWMPFTNPARKDGAMFFHWRRAAEE GKDYPFARFNKTVQVPVYSEQEYQLYLHDDAWTKAETDHLFDLSRRFDLRFVVIHDRYDH QQFKKRSVEDLKERYYHICAKLANVRAVPGTDLKIPVFDAGHERRRKEQLERLYNRTPEQ VAEEEYLLQELRKIEARKKEREKRSQDLQKLITAADTTAEQRRTERKAPKKKLPQKKEAE KPAVPETAGIKFPDFKSAGVTLRSQRMKLPSSVGQKKIKALEQMLLELGVELSPTPTEEL VHMFNELRSDLVLLYELKQACANCEYELQMLRHRHEALARAGVLGAPAAAAVGPTPASAE PTVSESGLGLDPTKDTIIDVVGAPLTPNSRKRRESASSSSSVKKAKKP >ENSMUSP00000070407.2 pep:known chromosome:GRCm38:12:84345309:84361833:-1 gene:ENSMUSG00000021234.9 transcript:ENSMUST00000065536.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam161b description:family with sequence similarity 161, member B [Source:MGI Symbol;Acc:MGI:2443027] MTVGRTAGGPECAEWSREIFPPKSSSSDTEPEDEQFGEGLVLPRAGKLHEFLSPEEDTDS TSDSTGSFYRTPQVPKQRGRWDVLESLFQSDPDSDLNDAEDEEDLESFFQDKSRGKPQVQ DPPSLRHGSMRRCSSMTSLPSDIPKARILPTSDSGPPSQHRSVCSWASSITVPQPFRMTL REARKKAQWLASPASFEQERLQAQKQGEEEAECHRQFRAQPVPAHVYLPLYQEIMERREA RRRAGIRKRKELLLSSLKPFSFLEKKEQQKEDAPQRDSAAVAQTKVSPKKATSRKIPKSI LEPALGDKLQEAELLRKIRIQMRAMDTLRMASSPVSTARNRAPRTAARTQEEKLSFLQTE FEFQPKVNPVVPDYEGLYKAFQKRAAERRETRETTRNKPFLLRTANLSHTPRSCDAATAG GGKKSPQPTATPLPRSRSLSGLASFSANTLPVHITDATRKRESAVRMSLEKKDKSDMSIQ WLEVHKKNCQAMSKSVTLRAKAMDPHKSLEEVFKAKLKENRSNDRKRAKEYKKELEEMKK RIQTRPYLFEQVTKALARKEAEERYRDALKQAGLEEEFVRTKSQGTEAV >ENSMUSP00000021659.1 pep:known chromosome:GRCm38:12:84345317:84361821:-1 gene:ENSMUSG00000021234.9 transcript:ENSMUST00000021659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam161b description:family with sequence similarity 161, member B [Source:MGI Symbol;Acc:MGI:2443027] MTVGRTAGGPECAEWSREIFPPKSSSSDTEPEDEQFGEGLVLPRAGKLHEFLSPEEDTDS TSDSTGSFYRTPQVPKQRGRWDVLESLFQSDPDSDLNDAEDEEDLESFFQDKSRGKPQVQ DPPSLRHGSMRRCSSMTSLPSDIPKARILPTSDSGPPSQHRSVCSWASSITVPQPFRMTL REARKKAQWLASPASFEQERLQAQKQGEEEAECHRQFRAQPVPAHVYLPLYQEIMERREA RRRAGIRKRKELLLSSLKPFSFLEKKEQQKEDAPQRDSAAVAQTKVSPKKATSRKIPKSI LEPALGDKLQEAELLRKIRIQMRAMDTLRMASSPVSTARNRAPRTAARTQEEKLSFLQTE FEFQPKVNPVVPDYEGLYKAFQKRAAERRETRETTRNKPFLLRTANLSHTPRSCDAATAG GGKKSPQPTATPLPRSRSLSGLASFSANTLPVHITDATRKRESAVRMSLEKKDKSDMSIQ WLEVHKKNCQAMSKSVTLRAKAMDPHKSLEEVFKAKLKENRSNDRKRAKEYKKELEEMKK RIQTRPYLFEQVTKALARKEAEERYRDALKQAGLEEEFVRTKSQGTEAV >ENSMUSP00000040208.5 pep:known chromosome:GRCm38:6:37327255:37442146:-1 gene:ENSMUSG00000038648.5 transcript:ENSMUST00000041093.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb3l2 description:cAMP responsive element binding protein 3-like 2 [Source:MGI Symbol;Acc:MGI:2442695] MEVLESGEQSVLQWDRKLSELSEPGETEALMYHTHFSELLDEFSQNVLGQLLSDPFLSEK SESMEVEPSPTSPAPLIQAEHSYSLSEEPRTQSPFTHAATSDSFNDEEVESEKWYLSTEF PSATIKTEPITEEQPPGLVPSVTLTITAISTPFEKEESPLDMNAGGDSSCQTLIPKIKLE PHEVDQFLNFSPKEASVDQLHLPPTPPSSHSSDSEGSLSPNPRLHPFSLSQAHSPARAMP RGPSALSTSPLLTAPHKLQGSGPLVLTEEEKRTLVAEGYPIPTKLPLTKSEEKALKKIRR KIKNKISAQESRRKKKEYMDSLEKKVESCSTENLELRKKVEVLENTNRTLLQQLQKLQTL VMGKVSRTCKLAGTQTGTCLMVVVLCFAVAFGSFFQGYGPYPSATKMALPSQHPLSEPYT ASVVRSRNLLIYEEHAPLEESSSPASAGELGGWDRGSSLLRASSGLEALPEVDLPHFLIS NETSLEKSVLLELQQHLVSSKLEGNETLKVVELERRVNATF >ENSMUSP00000087171.1 pep:known chromosome:GRCm38:14:52349103:52350044:-1 gene:ENSMUSG00000095030.1 transcript:ENSMUST00000089739.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1513 description:olfactory receptor 1513 [Source:MGI Symbol;Acc:MGI:3031347] MERVNYTVLTEFILTGVPHPPGLRTFLFVFFLLIYILTQLGNMIILITVCTDTQLHARPM YIFLGALSVIDMGISTIIVPRLMMNFTPGIKPIPFGGCVAQLYFYHFLGSTVCYLYTTMA YDRYLAICQPLRYPVLMSAKLSTLLVAGAWVAGLIHGAIQAILTFRLPYCGPNQVDYFFC DIPAVLKLACADTTVNELFTFVDIGVVVASCFSLILLSYIYIIRAILRIRTADGRRRAFS TCGAHVTMVTVYYVPCAFTYLRPDSHGILDGAAALFPTAITPFLNPLIYTLRNQEVKMAL RRMVGSQSTKSEV >ENSMUSP00000037860.5 pep:known chromosome:GRCm38:17:36942918:36947986:1 gene:ENSMUSG00000036492.12 transcript:ENSMUST00000040498.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf39 description:ring finger protein 39 [Source:MGI Symbol;Acc:MGI:2156378] MEAPELGPGLVERLEQLATCPLCGGPFEDPVLLACEHSFCRACLARCWGAPAASGSEAAP PSCPCCGQPCPRRSLRSNVRLAVEVRISRGLREKLAEPGARAGRRRGGRIPTMGCLDPHG EDMRKTWRRFDVPAPKPSNSEEDLPEDYPVVKNMLHRLTADLTLDPRTAHRDLLISSDYR GVSLAPPGTPAPLDSPARFDQLPAVLGAQGFASGRHCWEVETAEGASFRDSTAQDENAGE SCYAVGAAGESVTRKGLIKLCPSEAIWAVEGRGGRLWALTAPEPTLLGGARPPPQRIRVD LDWERGRVAFYDGRSLDLLFAFQAPGPLGERVFPLLCTCDPRTPLRILPGEA >ENSMUSP00000133710.1 pep:known chromosome:GRCm38:17:36943055:36945615:1 gene:ENSMUSG00000036492.12 transcript:ENSMUST00000173072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf39 description:ring finger protein 39 [Source:MGI Symbol;Acc:MGI:2156378] MEAPELGPGLVERLEQLATCPLCGGPFEDPVLLACEHSFCRACLARCWGAPAASGSEAAP PSCPCCGQPCPRRSLRSNVRLAVEVRISRGLREKLAEPGARAGRRRGGRIPTMGCLDPHG EDMRKTWRRFDVPAPKPSNSEEDLPEDYPVVKNMLHRLTGEEGRERIISYALFAPSSL >ENSMUSP00000134113.2 pep:known chromosome:GRCm38:17:36945078:36947286:1 gene:ENSMUSG00000036492.12 transcript:ENSMUST00000174669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf39 description:ring finger protein 39 [Source:MGI Symbol;Acc:MGI:2156378] MRKTWRRFDVPAPKPSNSEEDLPEDYPVVKNMLHRLTADLTLDPRTAHRDLLISSDYRGV SLAPPGTPAPLDSPARFDQLPAVLGAQGFASGRHCWEVETAEGASFRDSTAQDE >ENSMUSP00000034902.5 pep:known chromosome:GRCm38:9:78331018:78347158:-1 gene:ENSMUSG00000057933.10 transcript:ENSMUST00000034902.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsta2 description:glutathione S-transferase, alpha 2 (Yc2) [Source:MGI Symbol;Acc:MGI:95863] MAGKPVLHYFNARGRMECIRWLLAAAGVEFEEKFIQSPEDLEKLKKDGNLMFDQVPMVEI DGMKLVQTRAILNYIATKYDLYGKDMKERALIDMYTEGILDLTEMIGQLVLCPPDQREAK TALAKDRTKNRYLPAFEKVLKSHGQDYLVGNRLTRVDVHLLELLLYVEELDASLLTPFPL LKAFKSRISSLPNVKKFLHPGSQRKPPLDAKQIEEARKVFKF >ENSMUSP00000115517.1 pep:known chromosome:GRCm38:9:78332087:78355788:-1 gene:ENSMUSG00000057933.10 transcript:ENSMUST00000125479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsta2 description:glutathione S-transferase, alpha 2 (Yc2) [Source:MGI Symbol;Acc:MGI:95863] MAGKPVLHYFNARGRMECIRWLLAAAGVEFEEKFIQSPEDLEKLKKDGNLMFDQVPMVEI DGMKLVQTRAILNYIATKYDLYGKDMKERALIDMYTEGILDLTEMIGQLVLCPPDQREAK TALAKDRTKNRYLPAFEKVLKSHGQDYLVGNRLTRVDVHLLELLLYVEELDASLLTPFPL LK >ENSMUSP00000117101.1 pep:known chromosome:GRCm38:9:78332095:78347168:-1 gene:ENSMUSG00000057933.10 transcript:ENSMUST00000129247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsta2 description:glutathione S-transferase, alpha 2 (Yc2) [Source:MGI Symbol;Acc:MGI:95863] MAGKPVLHYFNARGRMECIRWLLAAAGVEFEEKFIQSPEDLEKLKKDGNLMFDQVPMVEI DGMKLVQTRAILNYIATKYDLYGKDMKERALIDMYTEGILDLTEMIGQLVLCPPDQREAK TALAKDRTKNRYLPAFEKVLKSHGQDYLVGNRLTRVDVHLLELLLYVEELDASLLTPFP >ENSMUSP00000001562.6 pep:known chromosome:GRCm38:6:128321161:128355851:-1 gene:ENSMUSG00000001521.12 transcript:ENSMUST00000001562.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp3 description:tubby-like protein 3 [Source:MGI Symbol;Acc:MGI:1329045] MEAARCAPGPRGDSAFDDETLRLRQLKLDNQRALLEKKQRKKRLEPLMVQPNPEARLRRL KPRGSEEHTPLVDPQMPRSDVILHGIDGPAAFLKPEAQDLESKPQVLSVGSPAPEEGTEG SADGESPEETAPKPDLQEILQKHGILSSVNYDEEPDKEEDEGGNLSSPSARSEESAAASQ KAASETGASGVTAQQGDAQLGEVENLEDFAYSPAPRGVTVKCKVTRDKKGMDRGLFPTYY MHLEREENRKIFLLAGRKRKKSKTSNYLVSTDPTDLSREGESYIGKLRSNLMGTKFTVYD HGVNPVKAQGLVEKAHTRQELAAICYETNVLGFKGPRKMSVIIPGMNMNHERIPFRPRNE HESLLSKWQNKSMENLIELHNKAPVWNDDTQSYVLNFHGRVTQASVKNFQIVHGNDPDYI VMQFGRVADDVFTLDYNYPLCALQAFAIGLSSFDSKLACE >ENSMUSP00000145180.1 pep:known chromosome:GRCm38:6:128334477:128355815:-1 gene:ENSMUSG00000001521.12 transcript:ENSMUST00000133134.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp3 description:tubby-like protein 3 [Source:MGI Symbol;Acc:MGI:1329045] MGTYTRTNTVFQRAQGSGSAFDDETLRLRQLKLDNQRALLEKKQRKKRLEPLMVQPNPEA RLRRLKPRGSEEHTPL >ENSMUSP00000145361.1 pep:known chromosome:GRCm38:6:128342241:128355825:-1 gene:ENSMUSG00000001521.12 transcript:ENSMUST00000157005.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp3 description:tubby-like protein 3 [Source:MGI Symbol;Acc:MGI:1329045] MEAARCAPGPRGDSKKTIHKEVCGV >ENSMUSP00000052849.2 pep:known chromosome:GRCm38:18:37637377:37638745:-1 gene:ENSMUSG00000050304.3 transcript:ENSMUST00000058635.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a2 description:solute carrier family 25 (mitochondrial carrier, ornithine transporter) member 2 [Source:MGI Symbol;Acc:MGI:2137907] MQTFPQLYKGLADCFLKTYNQVGIRGLYRGTSPALLAYVTQGSVLFMCFGFCQQFVRKVA RVEQNAELNDLETATAGSLASAFAALALCPTELVKCRLQTMYEMKMSGKIAQSYNTIWSM VKSIFMKDGPLGFYRGLSTTLAQEIPGYFFYFGGYEISRSFFASGGSKDELGPVPLMLSG GFAGICLWLIIFPVDCIKSRIQVLSMFGKPAGLIETFISVVRNEGISALYSGLKATLIRA IPSNAALFLVYEYSRKMMMNMVEEY >ENSMUSP00000047327.8 pep:known chromosome:GRCm38:11:103364275:103412687:-1 gene:ENSMUSG00000034247.9 transcript:ENSMUST00000041272.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhm1 description:pleckstrin homology domain containing, family M (with RUN domain) member 1 [Source:MGI Symbol;Acc:MGI:2443207] MLSVENGLDPRAAIQVIKKKLVGSVKALQKQHVSLDTVVTSEDGDANTMCSALEAVFIHG LHAKHIRAEAGGKRKKHTHQKALPQPVFWPLLKAITHRHIVSDLEHLVFINTDVGRCRAW LRLALNDGLMECYLKLLLQEPARLCEYYQPTALLRDAEEAEFLLSFLQGLTSLSFELSYK SAILNEWTLTPLSLSGLCPLSELDPLTTSGAELQRKESLDSISHSSGSEDIEVQHSGHKI RRNRKLTASSLSLDTASSSQLSCSLNSDSCLLQENGPKSPDHSEEPMSYDSDLGMANTDD PDRSLQEVLSEFSKAQVNSAPSSGPNQEPDTPMFQTPLSLHSLATSTHLHFEGSEELFPA HKSSGTSSGGHKHQLLPQETPDEKQLGTAQAGPAQSTSDQQPSSPVGGAAGQGSGPWKAL EYGRVGPKLVVSSPTSPKGKSWISEDDFCRPPQEPALKSAAGLCTSPVQDTPESRAALHG PFSQGPRKSCSLGALDKACVPSQACGNAQPAPAPAPAPAPAPAPAPGVTQDHKNFCVVHR RQMGLSNPFRGLMKLGTVARRGAMGIWKEFFCELSPLEFRLYLSDEERTCVESCSLLRCE AVGPAHSDGRFELVFSGKKLALRASSQDEAEDWLDRVREALQKVRPQQEDEWVNIQYPDQ AEDAPEAPPDSLPPYSTLLPEPAGAQGMQLDWTSAQVPEPDAIKESLLYLYADRTWVPYI FSLSLESLKCFRVRNNEKMLSDSHGVETIRDILPDTSLGGPAFFKIITAKAVLKLQAKNT EEATHWRDLVRKVLASYLESAEEAVTLGGSLDEKCQEVLKFATRENGFLLQYLVAIPTEK GLDSQGCFCAGCSRQIGFSFVRPKLCAFSGLYYCDFCHQDDASVIPARIIHNWDLTKRPV CRQALKFLAQIRAQPLINLQLVNASLYEHVERMHLIGRSREQLKLLGDYLGLCRSGALKE LCKRLSHRNYLLESPHRFSVADLQQIAEGVYEGFLKALIEFASQHVYHCDLCTQRGFICQ ICHHQDIIFPFEFDTTVRCAECRTVFHQSCQAVVRKGCPRCARRRKYQEQNVVS >ENSMUSP00000138878.1 pep:known chromosome:GRCm38:11:103366617:103394768:-1 gene:ENSMUSG00000034247.9 transcript:ENSMUST00000184350.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekhm1 description:pleckstrin homology domain containing, family M (with RUN domain) member 1 [Source:MGI Symbol;Acc:MGI:2443207] XDHSEEPMSYDSDLGMANTDDPDRSLQEKARAGFLKMTSADLPRSLL >ENSMUSP00000141449.1 pep:known chromosome:GRCm38:18:37689828:37841873:1 gene:ENSMUSG00000102748.1 transcript:ENSMUST00000195112.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgb2 description:protocadherin gamma subfamily B, 2 [Source:MGI Symbol;Acc:MGI:1935170] MRRGSGSGPAWWLQVLSPFLLLLFHGALADQIRYSIPEELAKNSIVGNLARDLGLSVQNL PTRKLRVSAEKDYFTVNPESGDLLVGDRIDREQVCGKQPQCVLEFDIVAEKPLNIFHVAV ILQDVNDNAPLFKQSEVDLNIGESTRPGKAFPLDPALDLDAGSNSLQKYYLTDNEYFEVT EKETPDGRKYPELILKRFLDREEHNFHQLVLTAVDGGDPPRSGTTQIRIQVTDINDNPPM FSQDVFSVTLREDVPPGFSVLQVTATDQDEGVNAEITYAFHNVDEQVERIFNLDKRTGEI TTKDNLDFETAKSYTLNVEAKDPGDLASHCSIQVKILDENDCVPEVIVTSVFTPLPEDSP LGTVIALIKTRDRDSGENGDVYCHVLGNEGFVLKSSSKNYYKLVTDRTLDREAIPEYNVT IVAADRGKPPLSSNVIITLHISDVNDNAPVFHQASYLVHVAENNPPGTSIAQVSASDPDL GSNGLISYSIIASDLEPRALSSFVSVNQDSGVVFAQRAFDHEQLRSFQLTLQARDHGSPT LSANVSMRVLVGDRNDNAPRVLYPTLEPDGSALFDMVPRAAEPGYLVTKVVAVDADSGHN AWLSYHVLQASDPGLFSLGLRTGEVRTARALGDRDSARQRLLVAVRDGGQPPLSATATLH LIFADSLQEVLPDLSDDPLPSDPQSELQFYLVVALALISVLFLLSVILAIALRLRHSSRS AAWGCFQPGLSSNLATGVLPNYNEGTLPYSYNVCIASQSAKTEFNFLNVTPEVAPQDLLC GDDSWVPGTLGDTDVPFVSDSISKQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQFD TEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATL TNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000101969.1 pep:known chromosome:GRCm38:7:126759601:126764845:1 gene:ENSMUSG00000063065.13 transcript:ENSMUST00000050201.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk3 description:mitogen-activated protein kinase 3 [Source:MGI Symbol;Acc:MGI:1346859] MAAAAAAPGGGGGEPRGTAGVVPVVPGEVEVVKGQPFDVGPRYTQLQYIGEGAYGMVSSA YDHVRKTRVAIKKISPFEHQTYCQRTLREIQILLRFRHENVIGIRDILRAPTLEAMRDVY IVQDLMETDLYKLLKSQQLSNDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLINTTCD LKICDFGLARIADPEHDHTGFLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEML SNRPIFPGKHYLDQLNHILGILGSPSQEDLNCIINMKARNYLQSLPSKTKVAWAKLFPKS DSKALDLLDRMLTFNPNKRITVEEALAHPYLEQYYDPTDEVSRSPAAGRGASIPSAQPGP HRLCPLASGRGAIHLRHGAG >ENSMUSP00000051619.9 pep:known chromosome:GRCm38:7:126759601:126765819:1 gene:ENSMUSG00000063065.13 transcript:ENSMUST00000057669.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk3 description:mitogen-activated protein kinase 3 [Source:MGI Symbol;Acc:MGI:1346859] MAAAAAAPGGGGGEPRGTAGVVPVVPGEVEVVKGQPFDVGPRYTQLQYIGEGAYGMVSSA YDHVRKTRVAIKKISPFEHQTYCQRTLREIQILLRFRHENVIGIRDILRAPTLEAMRDVY IVQDLMETDLYKLLKSQQLSNDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLINTTCD LKICDFGLARIADPEHDHTGFLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEML SNRPIFPGKHYLDQLNHILGILGSPSQEDLNCIINMKARNYLQSLPSKTKVAWAKLFPKS DSKALDLLDRMLTFNPNKRITVEEALAHPYLEQYYDPTDEPVAEEPFTFDMELDDLPKER LKELIFQETARFQPGAPEGP >ENSMUSP00000146161.1 pep:known chromosome:GRCm38:7:126759651:126764844:1 gene:ENSMUSG00000063065.13 transcript:ENSMUST00000205657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk3 description:mitogen-activated protein kinase 3 [Source:MGI Symbol;Acc:MGI:1346859] XAAAAAPGGGGGEPRGTAGVVPVVPGEVEVVKGQPFDVGPRYTQLQYIGEGAYGMVSSAY DHVRKTRVAIKKISPFEHQTYCQRTLREIQILLRFRHENVIGIRDILRAPTLEAMRDVYI VQDLMETDLYKLLKSQQLSNDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLINTTCDL KICDFGLARIADPEHDHTGFLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEMLS NRPIFPGKHYLDQLNHILALDLLDRMLTFNPNKRITVEEALAHPYLEQYYDPTDEPVAEE PFTFDMELDDLPKERLKELIFQETARFQPGAPEGP >ENSMUSP00000088880.2 pep:known chromosome:GRCm38:7:126760597:126765819:1 gene:ENSMUSG00000063065.13 transcript:ENSMUST00000091328.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk3 description:mitogen-activated protein kinase 3 [Source:MGI Symbol;Acc:MGI:1346859] MRDVYIVQDLMETDLYKLLKSQQLSNDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLI NTTCDLKICDFGLARIADPEHDHTGFLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCI LAEMLSNRPIFPGKHYLDQLNHILGILGSPSQEDLNCIINMKARNYLQSLPSKTKVAWAK LFPKSDSKALDLLDRMLTFNPNKRITVEEALAHPYLEQYYDPTDEPVAEEPFTFDMELDD LPKERLKELIFQETARFQPGAPEGP >ENSMUSP00000146179.1 pep:known chromosome:GRCm38:7:126760749:126764572:1 gene:ENSMUSG00000063065.13 transcript:ENSMUST00000206272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk3 description:mitogen-activated protein kinase 3 [Source:MGI Symbol;Acc:MGI:1346859] XRKTRVAIKKISPFEHQTYCQRTLREIQILLRFRHENVIGIRDILRAPTLEAMRDVYIVQ DLMETDLYKLLKSQQLSNDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLINTTCDLKG YTKSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHILGILGSPSQEDLNCIINMKARNYL QSLPSKTKVAWAKLFPKSDSKALDLLDRMLTFNP >ENSMUSP00000114110.1 pep:known chromosome:GRCm38:11:73350839:73354699:1 gene:ENSMUSG00000062128.7 transcript:ENSMUST00000120137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr20 description:olfactory receptor 20 [Source:MGI Symbol;Acc:MGI:109315] MAEGNQTVIFQFLLLGLPIPTEHQQLYYALFLLMYLTTVLGNLIIIILIRLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNMQSQDSSITYAGCLTQMYFFLLFGDLESFLLVAMAY DRYVAICFPLHYMSIMSPSLCVSLVLLSWVLTTFHAMLHTLLMARLSFCEDNVIPHFFCD MSALLKLSCSDTHVNELVIFVTGGLILVIPFVLILVSYAQIVSSILKVPSARGIRKAFST CGSHLSVVSLFYGTIIGLYLCPSADNSTVKETVMAMMYTVVTPMLNPFIYSLRNRDMKGA LARVICKKKVFFCL >ENSMUSP00000104105.2 pep:known chromosome:GRCm38:11:73353735:73354795:1 gene:ENSMUSG00000062128.7 transcript:ENSMUST00000108465.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr20 description:olfactory receptor 20 [Source:MGI Symbol;Acc:MGI:109315] MAEGNQTVIFQFLLLGLPIPTEHQQLYYALFLLMYLTTVLGNLIIIILIRLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNMQSQDSSITYAGCLTQMYFFLLFGDLESFLLVAMAY DRYVAICFPLHYMSIMSPSLCVSLVLLSWVLTTFHAMLHTLLMARLSFCEDNVIPHFFCD MSALLKLSCSDTHVNELVIFVTGGLILVIPFVLILVSYAQIVSSILKVPSARGIRKAFST CGSHLSVVSLFYGTIIGLYLCPSADNSTVKETVMAMMYTVVTPMLNPFIYSLRNRDMKGA LARVICKKKVFFCL >ENSMUSP00000027440.3 pep:known chromosome:GRCm38:1:86386242:86388162:-1 gene:ENSMUSG00000026237.3 transcript:ENSMUST00000027440.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmur1 description:neuromedin U receptor 1 [Source:MGI Symbol;Acc:MGI:1341898] LVCNISEFKWPYQPEDLNLTDEALRLKYLGPQQMKQFVPICVTYLLIFVVGTLGNGLTCT VILRNKTMRTPTNFYLFSLAVSDMLVLLVGLPLELYEMQQNYPFQLGASACYFRILLLET VCLASVLNVTALSVERYVAVVRPLQAKSVMTRAHVRRMVGAIWVLATLFSLPNTSLHGLS QLTVPCRGPVPDSAICSLVGPMDFYKLVVLTTALLFFCLPMVTISVLYLLIGLRLRRERM LLQVEVKGRKTAATQETSHRRIQLQDRGRRQVTKMLFALVVVFGICWAPFHADRIMWSLV YGHSTEGLHLAYQCVHIASGIFFYLGSAANPVLYSLMSTRFRETFLQALGLGTQCCHRRQ PYHGSHNHIRLTTGSTLCDVGHRNSRDEPLAVNEDPGCQQETDPS >ENSMUSP00000142308.1 pep:known chromosome:GRCm38:18:36930184:37187661:1 gene:ENSMUSG00000103442.5 transcript:ENSMUST00000193839.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha1 description:protocadherin alpha 1 [Source:MGI Symbol;Acc:MGI:2150982] MLFSRLRGLRARRLLLFSLLFLAAWEAGSGQIHYSVPEEAKHGTFVGRIAQDLGLELTEL VPRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHV EVKVKDINDNPPVFKGAEQRIFIPENRQLDSRFPLEGAVDADIGANSLLTYTLSPTDYFS LKVETTDELSKSLSLELRKSLDREETPELQLLLTATDGGKPELEGTVRLQITVLDVNDNA PLFDQAIYRAQLVESTVNGTLVTTLNATDADEGVNGEVVFSFGNDVSLDIQEKFNVDSLS GEIRVIGDLDYEKTKSYEIQIKAVDKGTPSMSNHCKVLVKVLDINDNAPELSITSLSLPI KEDTPLNTIIALIKVSDIDSGVNGQVTCSLTPHVPFKLVSTFKNYYSLVLDSTLDRETTA DYKVVVTARDGGSPSLWATASVSVEVADVNDNAPVFAQPEYTVFVKENNPPGAHIFTVSA MDADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARD AGVPALGSNVTLQVFVLDENDNPPTLLGPHAGSAVSELVSRTMGAGHVVTKVRAVDADSG YNAWLSYELQPPTGGARNPFRVGLYTGEISTTRSLDEVDVPRQRLLVLVKDHGEPMLTAT ATVLVSLVESSPIQKASLPVLTGAVGSKASLVDVNVYLIIAICAVSSLLVLTLLLYTALR CSATPTDGACGPGKPMLVCSSAVGSWSYSQQRRQKVCSGEGPPKTDLMAFSPGLAPGLNI AERSDHAEVNSDLSGNPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTV SSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPEPKKQTQVSFLPRRKGEASQP RQ >ENSMUSP00000068828.4 pep:known chromosome:GRCm38:18:36930285:37187657:1 gene:ENSMUSG00000103442.5 transcript:ENSMUST00000070797.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha1 description:protocadherin alpha 1 [Source:MGI Symbol;Acc:MGI:2150982] MLFSRLRGLRARRLLLFSLLFLAAWEAGSGQIHYSVPEEAKHGTFVGRIAQDLGLELTEL VPRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHV EVKVKDINDNPPVFKGAEQRIFIPENRQLDSRFPLEGAVDADIGANSLLTYTLSPTDYFS LKVETTDELSKSLSLELRKSLDREETPELQLLLTATDGGKPELEGTVRLQITVLDVNDNA PLFDQAIYRAQLVESTVNGTLVTTLNATDADEGVNGEVVFSFGNDVSLDIQEKFNVDSLS GEIRVIGDLDYEKTKSYEIQIKAVDKGTPSMSNHCKVLVKVLDINDNAPELSITSLSLPI KEDTPLNTIIALIKVSDIDSGVNGQVTCSLTPHVPFKLVSTFKNYYSLVLDSTLDRETTA DYKVVVTARDGGSPSLWATASVSVEVADVNDNAPVFAQPEYTVFVKENNPPGAHIFTVSA MDADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARD AGVPALGSNVTLQVFVLDENDNPPTLLGPHAGSAVSELVSRTMGAGHVVTKVRAVDADSG YNAWLSYELQPPTGGARNPFRVGLYTGEISTTRSLDEVDVPRQRLLVLVKDHGEPMLTAT ATVLVSLVESSPIQKASLPVLTGAVGSKASLVDVNVYLIIAICAVSSLLVLTLLLYTALR CSATPTDGACGPGKPMLVCSSAVGSWSYSQQRRQKVCSGEGPPKTDLMAFSPGLAPGLNI AERSDHAEVNSDLSGNPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTV SSATPEPEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQE PANNQIDKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000090602.4 pep:known chromosome:GRCm38:11:73329741:73346044:1 gene:ENSMUSG00000069825.11 transcript:ENSMUST00000092926.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata22 description:spermatogenesis associated 22 [Source:MGI Symbol;Acc:MGI:2685728] MKRNLNESSARSTAGCLPVPLFNQKKRNRQPLTSNPLQNDPGVSTVSDSYGSPSFPTDWA WEAVNPEVAPLKKTVNTGQIPASASYPWRSQDSVSKSIQSNAERSQSAWGYRGNNRNTSL RTWDFRPQHKTVSPAANSEFSSCPVNLGAQQQKQFQTPEFPNLPGHKEAEVPRQTCLSKL PGSTMKGPDRASALQAFKPSFQQNPFKKTVLGDIPRENSLKEGTLHQLKEKDNSLRIISA VIESMKYWRAHVQKTVLLFEILAVLDSAVTSGPHYSKTFLMRDGKNILPCVFYEIDRELP RLIRGRVHRCVGHYDPDKNIFKCVSVRPASASEQKTFQAFVTIADAEMKYHTKVTNEM >ENSMUSP00000113799.1 pep:known chromosome:GRCm38:11:73329741:73355913:1 gene:ENSMUSG00000069825.11 transcript:ENSMUST00000117445.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata22 description:spermatogenesis associated 22 [Source:MGI Symbol;Acc:MGI:2685728] MKRNLNESSARSTAGCLPVPLFNQKKRNRQPLTSNPLQNDPGVSTVSDSYGSPSFPTDWA WEAVNPEVAPLKKTVNTGQIPASASYPWRSQDSVSKSIQSNAERSQSAWGYRGNNRNTSL RTWDFRPQHKTVSPAANSEFSSCPVNLGAQQQKQFQTPEFPNLPGHKEAEVPRQTCLSKL PGSTMKGPDRASALQAFKPSFQQNPFKKTVLGDIPRENSLKEGTLHQLKEKDNSLRIISA VIESMKYWRAHVQKTVLLFEILAVLDSAVTSGPHYSKTFLMRDGKNILPCVFYEIDRELP RLIRGRVHRIW >ENSMUSP00000109602.3 pep:known chromosome:GRCm38:X:86250254:86256219:-1 gene:ENSMUSG00000035427.18 transcript:ENSMUST00000113969.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb4 description:melanoma antigen, family B, 4 [Source:MGI Symbol;Acc:MGI:2148568] MPRGQKSKARAREKRRLVQDEAQELQDAQAKAGEKGKSPSCSNQDSGDAVASTSTAGFPQ KSKSQGEAPTTTARKGGACRRSRKSTRGPREESTSCTRVPRFSENPQNDLLTRKTGMLMQ YLLCKYKMKQPASKGEMLKVINRRFKEQLPEILKKASERIQLVFGLEVKEIKPNGGYYTL VSKLDPSVGNALTTSLPFPQNGLLMPLLGVIFLNGNRASEAEIWEFLNVLGIYDGKVHII FGEPRKLITRDLVKEKYLVYQKEANSDPPSFEFLWGPRAYAETTKMKILEFLAEVNETVP QAFPTHYEEALRDQEERAQAEAVGSPGTSAKDKAEAKVTLVDSSCKYQAESKVTLVDSSC KDQAESKVTLVDPSCKDNAKSKVTLGSSRKYKAKSKVPLVDSSCKDQAESKVTLVDSCKD QAESKVTLVDSSCKDQAESKVTLVDSSCKDQAESKVTLVDPSCKDKAKSKVTLGSSHKYK AKSKVTLVDSSCKDQAESKVTLVDSSCKDQAESKVTLVDPSCKDNAKSKVTLGSSRKYKA KSKVPLVDSSGKDKAV >ENSMUSP00000094107.2 pep:known chromosome:GRCm38:X:86250256:86305093:-1 gene:ENSMUSG00000035427.18 transcript:ENSMUST00000096378.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mageb4 description:melanoma antigen, family B, 4 [Source:MGI Symbol;Acc:MGI:2148568] MPRGQKSKARAREKRRLVQDEAQELQDAQAKAGEKGKSPSCSNQDSGDAVASTSTAGFPQ KSKSQGEAPTTTARKGGACRRSRKSTRGPREESTSCTRVPRFSENPQNDLLTRKTGMLMQ YLLCKYKMKQPASKGEMLKVINRRFKEQLPEILKKASERIQLVFGLEVKEIKPNGGYYTL VSKLDPSVGNALTTSLPFPQNGLLMPLLGVIFLNGNRASEAEIWEFLNVLGIYDGKVHII FGEPRKLITRDLVKEKYLVYQKEANSDPPSFEFLWGPRAYAETTKMKILEFLAEVNETVP QAFPTHYEEALRDQEERAQAEAVGSPGTSAKDKAEAKVTLVDSSCKYQAESKVTLVDSSC KDQAESKVTLVDPSCKDNAKSKVTLGSSRKYKAKSKVPLVDSSCKDQAESKVTLVDSCKD QAESKVTLVDSSCKDQAESKVTLVDSSCKDQAESKVTLVDPSCKDKAKSKVTLGSSHKYK AKSKVTLVDSSCKDQAESKVTLVDSSCKDQAESKVTLVDPSCKDNAKSKVTLGSSRKYKA KSKVPLVDSSGKDKAV >ENSMUSP00000102639.1 pep:known chromosome:GRCm38:11:103331497:103360900:-1 gene:ENSMUSG00000034255.17 transcript:ENSMUST00000107024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap27 description:Rho GTPase activating protein 27 [Source:MGI Symbol;Acc:MGI:1916903] MAADVEGDVYVLVEHPFEYTGKDGRRIAIQPNERYRLLRRSTEHWWHVRREPGGRPFYLP AQYVRELPALGDPAPAPQPSVPQQRPAVPEPLAYDYRFVSTPVGADGSSAEPRGRASSLC GPARQRTGGQRNSLAPGGPACLYVRPAAPVRPAQSLDDLARGGTAPPAGLLGSAGHFKAS SVAGSWVCPRPLAPSDSENVYEAIPDLRCPPRAESPKQVDDPPEPVYANVERQPRATSPR SAAAPPRLSPVWETHTDTGTGRPYYYNPDTGVTTWESPFETPEGTTSPATSRASVGSGES LETEWGQYWDEESRRVFFYNPLTGETAWEDETEELEEDHQEQLEMQPSLSPRSPGQQRPP TPETDYPELLASYPEEDYSPVGSFSDPGPASPLVAPPGWSCQITPDKQMLYTNQFTQEQW VRLEDQHGKPYFYNPEDSSVQWELPQVPIPAPRSVRKSSQDSDTPAQASPPEEKIKTLDK AGVLHRTKTVDKGKRLRKKHWSTSWTVLEGGVLTFFKDSKTSAAGGLRQPSKLSTPEYTV ELKGASLSWAPKDKSSKKNVLELRSRDGSEYLIQHDSEAIISTWHKAIAEGISELSADLL QGEEGEPSSADFGSSERLGSWREEDVRQNAASPSLSPGGLESDLSRVRHKLRKFLQRRPT LQSLRDKGYIKDQVFGCALAQLCERERSPVPRFVQQCIRTVEARGLDIDGLYRISGNLAT IQKLRYKVDHDERLDLDDGRWEDVHVITGALKLFFRELPEPLFPFSHFHQFIAAIKLQDP AQRSRCVRDLVRTLPAPNQDTLRLLIQHLCRVIEHGEQNRMTVQNVAIVFGPTLLRPEME EASMPMTMVFQNQVVELILHQCADIFPPH >ENSMUSP00000039427.7 pep:known chromosome:GRCm38:11:103331500:103344702:-1 gene:ENSMUSG00000034255.17 transcript:ENSMUST00000041385.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap27 description:Rho GTPase activating protein 27 [Source:MGI Symbol;Acc:MGI:1916903] MVDMISKLVRRQSRALRAQVDDPPEPVYANVERQPRATSPRSAAAPPRLSPVWETHTDTG TGRPYYYNPDTGVTTWESPFETPEGTTSPATSRASVGSGESLETEWGQYWDEESRRVFFY NPLTGETAWEDETEELEEDHQEQLEMQPSLSPRSPGQQRPPTPETDYPELLASYPEEDYS PVGSFSDPGPASPLVAPPGWSCQITPDKQMLYTNQFTQEQWVRLEDQHGKPYFYNPEDSS VQWELPQVPIPAPRSVRKSSQDSDTPAQASPPEEKIKTLDKAGVLHRTKTVDKGKRLRKK HWSTSWTVLEGGVLTFFKDSKTSAAGGLRQPSKLSTPEYTVELKGASLSWAPKDKSSKKN VLELRSRDGSEYLIQHDSEAIISTWHKAIAEGISELSADLLQGEEGEPSSADFGSSERLG SWREEDVRQNAASPSLSPGGLESDLSRVRHKLRKFLQRRPTLQSLRDKGYIKDQVFGCAL AQLCERERSPVPRFVQQCIRTVEARGLDIDGLYRISGNLATIQKLRYKVDHDERLDLDDG RWEDVHVITGALKLFFRELPEPLFPFSHFHQFIAAIKLQDPAQRSRCVRDLVRTLPAPNQ DTLRLLIQHLCRVIEHGEQNRMTVQNVAIVFGPTLLRPEMEEASMPMTMVFQNQVVELIL HQCADIFPPH >ENSMUSP00000128051.1 pep:known chromosome:GRCm38:11:103339229:103356361:-1 gene:ENSMUSG00000034255.17 transcript:ENSMUST00000136491.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap27 description:Rho GTPase activating protein 27 [Source:MGI Symbol;Acc:MGI:1916903] MQPSLSPRSPGQQRPPTPETDYPELLASYPEEDYSPVGSFSDPGPASPLVAPPGWSCQIT PDKQMLYTNQFTQEQWVRLEDQHGKPYFYNP >ENSMUSP00000102638.2 pep:known chromosome:GRCm38:11:103340135:103356375:-1 gene:ENSMUSG00000034255.17 transcript:ENSMUST00000107023.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap27 description:Rho GTPase activating protein 27 [Source:MGI Symbol;Acc:MGI:1916903] MQTAPEALLLSSDRAWTETPPEPSFLPPQPAGTVDDPPEPVYANVERQPRATSPRSAAAP PRLSPVWETHTDTGTGRPYYYNPDTGVTTWESPFETPEGTTSPATSRASVGSGESLETEW GQY >ENSMUSP00000102637.2 pep:known chromosome:GRCm38:11:103359739:103363464:-1 gene:ENSMUSG00000034255.17 transcript:ENSMUST00000092557.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap27 description:Rho GTPase activating protein 27 [Source:MGI Symbol;Acc:MGI:1916903] MAADVEGDVYVLVEHPFEYTGKDGRRIAIQPNERYRLLRRSTEHWWHVRREPGGRPFYLP AQYVRELPALGDPAPAPQPSVPQQRPAVPEPLAYDYRFVSTPVGADGSSAEPRGRASSLC GPARQRTGGQRNSLAPGGPACLYVRPAAPVRPAQSLDDLARGGTAPPAGLLGSAGHFKAS SVAGSWVCPRPLAPSDSENVYEAIPDLRCPPRAESPKQVGRCERGGRREVTVQQSLDAFF FFN >ENSMUSP00000036772.6 pep:known chromosome:GRCm38:7:97919955:98049663:1 gene:ENSMUSG00000035582.13 transcript:ENSMUST00000041860.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpd4 description:glycerophosphodiester phosphodiesterase domain containing 4 [Source:MGI Symbol;Acc:MGI:3606573] MEETQDSSSSKPKNTDENFSLWIEQYFNHKCCITFLTGCYSCQWQYREWEKTELGSCCCS RKEQFFYMCLVIAFILSVLFLFVWVETSNEYNGFDWVVYLGTGCWFFWSILVLSAAGIMV AYTTLLLLLGFLLLWERIELNLHTSHKVFICLVIVLCSFLLAVLSHFWKDKWLIAGLSLQ IFAPFVHLSLITVMIIISWPLSICVARLESEVKVRRYRMADYEQEIQERCNVFQRLRALQ IAAGLSFLIILLCLYLMPLGIYSPCILKKENLGPKPTLFGHRGAPMLAPENTMMSFEKAV ELDVSGLETDIYLSFDSVPFLMHDYDLTRTTNIKEVLPSAAGNHTSNFNWTFLSTLNAGK WFLKHKPFFGMKPLSEADKRRAGNQSIPQLSELLALAKREQKIVIFDLFGPRPGHPLRNT FVRRVVKVILDSKIEQRLIFWLPGFDRDYVRFMAPGFQHVGRLWSIKDLTKHNITIINVD YKRLFYAGLRDYKEAKIYIHVYVINEPWLFSLAWCSSINSVTTDNIELLNQLSRPLFFMT PGFYMFMWLFLDIASAVIIGFVFCYNWIKEIKRERWLEAAASSGLLHSETITDITENNDA SQQKPEVAPTSANLAPENMIELQKTEPKTENL >ENSMUSP00000131960.1 pep:known chromosome:GRCm38:7:97957821:98048611:1 gene:ENSMUSG00000035582.13 transcript:ENSMUST00000170049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpd4 description:glycerophosphodiester phosphodiesterase domain containing 4 [Source:MGI Symbol;Acc:MGI:3606573] MEETQDSSSSKPKNTDENFSLWIEQYFNHKCCITFLTGCYSCQWQYREWEKTELGSCCCS RKEQFFYMCLVIAFILSVLFLFVWVETSNEYNGFDWVVYLGTGCWFFWSILVLSAAGIMV AYTTLLLLLGFLLLWERIELNLHTSHKVFICLVIVLCSFLLAVLSHFWKDKWLIAGLSLQ IFAPFVHLSLITVMIIISWPLSICVARLESEVKVRRYRMADYEQEIQERCNVFQRLRALQ IAAGLSFLIILLCLYLMPLGIYSPCILKKENLGPKPTLFGHRGAPMLAPENTMMSFEKAV ELDVSGLETDIYLSFDSVPFLMHDYDLTRTTNIKEVLPSAAGNHTSNFNWTFLSTLNAGK WFLKHKPFFGMKPLSEADKRRAGNQSIPQLSELLALAKREQKIVIFDLFGPRPGHPLRNT FVRRVVKVILDSKIEQRLIFWLPGFDRDYVRFMAPGFQHVGRLWSIKDLTKHNITIINVD YKRLFYAGLRDYKEAKIYIHVYVINEPWLFSLAWCSSINSVTTDNIELLNQLSRPLFFMT PGFYMFMWLFLDIASAVIIGFVFCYNWIKEIKRERWLEAAASSGLLHSETITDITENNDA SQQKPEVAPTSANLAPENMIELQKTEPKTENL >ENSMUSP00000032183.4 pep:known chromosome:GRCm38:6:91473703:91488463:1 gene:ENSMUSG00000030095.10 transcript:ENSMUST00000032183.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem43 description:transmembrane protein 43 [Source:MGI Symbol;Acc:MGI:1921372] MAANYSSTSSRKEHVKVTSEPQPGFLERLSETSGGMFVGLMTFLLSFYLIFTNEGRALKT ATSLAEGLSLVVSPDSIHSVAPENEGRLVHIIGALRTSKLLSDPNYGVHLPAVKLRRHVE MYQWVETEESSEYTEDGQVKKETKYSYNTEWRSEIVNSRNFDREIGHKNPSAMAVESFTA TAPFVQIGRFFLSAGLIDKIDNFKALSLAKLEDPHVDIIRRGDFFYHSENPKYPEVGDVR VSFSYAGLSSDDPDLGPAHVVTVIARQRGDQLIPYSTKSGDTLLLLHHGDFSAEEVFRRE QKSNSMKTWGLRAAGWMAMFMGLNLMTRILYTLVDWFPVFRDLVNIGLKAFAFCVATSLT LLTVAAGWLFYRPLWAALIGCLALVPIIIARTRVPAKKLE >ENSMUSP00000028633.6 pep:known chromosome:GRCm38:2:125300594:125506385:-1 gene:ENSMUSG00000027204.13 transcript:ENSMUST00000028633.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbn1 description:fibrillin 1 [Source:MGI Symbol;Acc:MGI:95489] MRRGGLLEVALAFALLLESYTSHGADANLEAGSLKETRANRAKRRGGGGHDALKGPNVCG SRYNAYCCPGWKTLPGGNQCIVPICRHSCGDGFCSRPNMCTCPSGQISPSCGSRSIQHCS IRCMNGGSCSDDHCLCQKGYIGTHCGQPVCESGCLNGGRCVAPNRCACTYGFTGPQCERD YRTGPCFTVVSNQMCQGQLSGIVCTKTLCCATVGRAWGHPCEMCPAQPHPCRRGFIPNIR TGACQDVDECQAIPGMCQGGNCINTVGSFECKCPAGHKFNEVSQKCEDIDECSTIPGVCD GGECTNTVSSYFCKCPPGFYTSPDGTRCVDVRPGYCYTALANGRCSNQLPQSITKMQCCC DLGRCWSPGVTVAPEMCPIRSTEDFNKLCSVPLVIPGRPEYPPPPIGPLPPVQPVPPGYP PGPVIPAPRPPPEYPYPSPSREPPRVLPFNVTDYCQLVRYLCQNGRCIPTPGSYRCECNK GFQLDIRGECIDVDECEKNPCTGGECINNQGSYTCHCRAGYQSTLTRTECRDIDECLQNG RICNNGRCINTDGSFHCVCNAGFHVTRDGKNCEDMDECSIRNMCLNGMCINEDGSFKCIC KPGFQLASDGRYCKDINECETPGICMNGRCVNTDGSYRCECFPGLAVGLDGRVCVDTHMR STCYGGYRRGQCVKPLFGAVTKSECCCASTEYAFGEPCQPCPAQNSAEYQALCSSGPGMT SAGTDINECALDPDICPNGICENLRGTYKCICNSGYEVDITGKNCVDINECVLNSLLCDN GQCRNTPGSFVCTCPKGFVYKPDLKTCEDIDECESSPCINGVCKNSPGSFICECSPESTL DPTKTICIETIKGTCWQTVIDGRCEININGATLKSECCSSLGAAWGSPCTICQLDPICGK GFSRIKGTQCEDINECEVFPGVCKNGLCVNSRGSFKCECPNGMTLDATGRICLDIRLETC FLKYDDEECTLPIAGRHRMDACCCSVGAAWGTEECEECPLRNSREYEELCPRGPGFATKD ITNGKPFFKDINECKMIPSLCTHGKCRNTIGSFKCRCDSGFALDSEERNCTDIDECRISP DLCGRGQCVNTPGDFECKCDEGYESGFMMMKNCMDIDECQRDPLLCRGGICHNTEGSYRC ECPPGHQLSPNISACIDINECELSANLCPHGRCVNLIGKYQCACNPGYHPTHDRLFCVDI DECSIMNGGCETFCTNSDGSYECSCQPGFALMPDQRSCTDIDECEDNPNICDGGQCTNIP GEYRCLCYDGFMASEDMKTCVDVNECDLNPNICLSGTCENTKGSFICHCDMGYSGKKGKT GCTDINECEIGAHNCGRHAVCTNTAGSFKCSCSPGWIGDGIKCTDLDECSNGTHMCSQHA DCKNTMGSYRCLCKDGYTGDGFTCTDLDECSENLNLCGNGQCLNAPGGYRCECDMGFVPS ADGKACEDIDECSLPNICVFGTCHNLPGLFRCECEIGYELDRSGGNCTDVNECLDPTTCI SGNCVNTPGSYTCDCPPDFELNPTRVGCVDTRSGNCYLDIRPRGDNGDTACSNEIGVGVS KASCCCSLGKAWGTPCELCPSVNTSEYKILCPGGEGFRPNPITVILEDIDECQELPGLCQ GGKCINTFGSFQCRCPTGYYLNEDTRVCDDVNECETPGICGPGTCYNTVGNYTCICPPDY MQVNGGNNCMDMRRSLCYRNYYADNQTCDGELLFNMTKKMCCCSYNIGRAWNKPCEQCPI PSTDEFATLCGSQRPGFVIDIYTGLPVDIDECREIPGVCENGVCINMVGSFRCECPVGFF YNDKLLVCEDIDECQNGPVCQRNAECINTAGSYRCDCKPGYRLTSTGQCNDRNECQEIPN ICSHGQCIDTVGSFYCLCHTGFKTNVDQTMCLDINECERDACGNGTCRNTIGSFNCRCNH GFILSHNNDCIDVDECATGNGNLCRNGQCVNTVGSFQCRCNEGYEVAPDGRTCVDINECV LDPGKCAPGTCQNLDGSYRCICPPGYSLQNDKCEDIDECVEEPEICALGTCSNTEGSFKC LCPEGFSLSSTGRRCQDLRMSYCYAKFEGGKCSSPKSRNHSKQECCCALKGEGWGDPCEL CPTEPDEAFRQICPFGSGIIVGPDDSAVDMDECKEPDVCRHGQCINTDGSYRCECPFGYI LEGNECVDTDECSVGNPCGNGTCKNVIGGFECTCEEGFEPGPMMTCEDINECAQNPLLCA FRCVNTYGSYECKCPVGYVLREDRRMCKDEDECAEGKHDCTEKQMECKNLIGTYMCICGP GYQRRPDGEGCIDENECQTKPGICENGRCLNTLGSYTCECNDGFTASPTQDECLDNREGY CFSEVLQNMCQIGSSNRNPVTKSECCCDGGRGWGPHCEICPFEGTVAYKKLCPHGRGFMT NGADIDECKVIHDVCRNGECVNDRGSYHCICKTGYTPDITGTACVDLNECNQAPKPCNFI CKNTEGSYQCSCPKGYILQEDGRSCKDLDECATKQHNCQFLCVNTIGGFTCKCPPGFTQH HTACIDNNECTSDINLCGSKGVCQNTPGSFTCECQRGFSLDQSGASCEDVDECEGNHRCQ HGCQNIIGGYRCSCPQGYLQHYQWNQCVDENECLSAHVCGGASCHNTLGSYKCMCPTGFQ YEQFSGGCQDINECGSSQAPCSYGCSNTEGGYLCGCPPGYFRIGQGHCVSGMGMGRGGPE PPASSEMDDNSLSPEACYECKINGYPKRGRKRRSTNETDASDIQDGSEMEANVSLASWDV EKPASFAFNISHVNNKVRILELLPALTTLMNHNRYLIESGNEDGFFKINQKEGVSYLHFT KKKPVAGTYSLQISSTPLYKKKELNQLEDRYDKDYLSGELGDNLKMKIQILLH >ENSMUSP00000099524.1 pep:known chromosome:GRCm38:2:125300627:125507993:-1 gene:ENSMUSG00000027204.13 transcript:ENSMUST00000103234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbn1 description:fibrillin 1 [Source:MGI Symbol;Acc:MGI:95489] MRRGGLLEVALAFALLLESYTSHGADANLEAGSLKETRANRAKRRGGGGHDALKGPNVCG SRYNAYCCPGWKTLPGGNQCIVPICRHSCGDGFCSRPNMCTCPSGQISPSCGSRSIQHCS IRCMNGGSCSDDHCLCQKGYIGTHCGQPVCESGCLNGGRCVAPNRCACTYGFTGPQCERD YRTGPCFTVVSNQMCQGQLSGIVCTKTLCCATVGRAWGHPCEMCPAQPHPCRRGFIPNIR TGACQDVDECQAIPGMCQGGNCINTVGSFECKCPAGHKFNEVSQKCEDIDECSTIPGVCD GGECTNTVSSYFCKCPPGFYTSPDGTRCVDVRPGYCYTALANGRCSNQLPQSITKMQCCC DLGRCWSPGVTVAPEMCPIRSTEDFNKLCSVPLVIPGRPEYPPPPIGPLPPVQPVPPGYP PGPVIPAPRPPPEYPYPSPSREPPRVLPFNVTDYCQLVRYLCQNGRCIPTPGSYRCECNK GFQLDIRGECIDVDECEKNPCTGGECINNQGSYTCHCRAGYQSTLTRTECRDIDECLQNG RICNNGRCINTDGSFHCVCNAGFHVTRDGKNCEDMDECSIRNMCLNGMCINEDGSFKCIC KPGFQLASDGRYCKDINECETPGICMNGRCVNTDGSYRCECFPGLAVGLDGRVCVDTHMR STCYGGYRRGQCVKPLFGAVTKSECCCASTEYAFGEPCQPCPAQNSAEYQALCSSGPGMT SAGTDINECALDPDICPNGICENLRGTYKCICNSGYEVDITGKNCVDINECVLNSLLCDN GQCRNTPGSFVCTCPKGFVYKPDLKTCEDIDECESSPCINGVCKNSPGSFICECSPESTL DPTKTICIETIKGTCWQTVIDGRCEININGATLKSECCSSLGAAWGSPCTICQLDPICGK GFSRIKGTQCEDINECEVFPGVCKNGLCVNSRGSFKCECPNGMTLDATGRICLDIRLETC FLKYDDEECTLPIAGRHRMDACCCSVGAAWGTEECEECPLRNSREYEELCPRGPGFATKD ITNGKPFFKDINECKMIPSLCTHGKCRNTIGSFKCRCDSGFALDSEERNCTDIDECRISP DLCGRGQCVNTPGDFECKCDEGYESGFMMMKNCMDIDECQRDPLLCRGGICHNTEGSYRC ECPPGHQLSPNISACIDINECELSANLCPHGRCVNLIGKYQCACNPGYHPTHDRLFCVDI DECSIMNGGCETFCTNSDGSYECSCQPGFALMPDQRSCTDIDECEDNPNICDGGQCTNIP GEYRCLCYDGFMASEDMKTCVDVNECDLNPNICLSGTCENTKGSFICHCDMGYSGKKGKT GCTDINECEIGAHNCGRHAVCTNTAGSFKCSCSPGWIGDGIKCTDLDECSNGTHMCSQHA DCKNTMGSYRCLCKDGYTGDGFTCTDLDECSENLNLCGNGQCLNAPGGYRCECDMGFVPS ADGKACEDIDECSLPNICVFGTCHNLPGLFRCECEIGYELDRSGGNCTDVNECLDPTTCI SGNCVNTPGSYTCDCPPDFELNPTRVGCVDTRSGNCYLDIRPRGDNGDTACSNEIGVGVS KASCCCSLGKAWGTPCELCPSVNTSEYKILCPGGEGFRPNPITVILEDIDECQELPGLCQ GGKCINTFGSFQCRCPTGYYLNEDTRVCDDVNECETPGICGPGTCYNTVGNYTCICPPDY MQVNGGNNCMDMRRSLCYRNYYADNQTCDGELLFNMTKKMCCCSYNIGRAWNKPCEQCPI PSTDEFATLCGSQRPGFVIDIYTGLPVDIDECREIPGVCENGVCINMVGSFRCECPVGFF YNDKLLVCEDIDECQNGPVCQRNAECINTAGSYRCDCKPGYRLTSTGQCNDRNECQEIPN ICSHGQCIDTVGSFYCLCHTGFKTNVDQTMCLDINECERDACGNGTCRNTIGSFNCRCNH GFILSHNNDCIDVDECATGNGNLCRNGQCVNTVGSFQCRCNEGYEVAPDGRTCVDINECV LDPGKCAPGTCQNLDGSYRCICPPGYSLQNDKCEDIDECVEEPEICALGTCSNTEGSFKC LCPEGFSLSSTGRRCQDLRMSYCYAKFEGGKCSSPKSRNHSKQECCCALKGEGWGDPCEL CPTEPDEAFRQICPFGSGIIVGPDDSAVDMDECKEPDVCRHGQCINTDGSYRCECPFGYI LEGNECVDTDECSVGNPCGNGTCKNVIGGFECTCEEGFEPGPMMTCEDINECAQNPLLCA FRCVNTYGSYECKCPVGYVLREDRRMCKDEDECAEGKHDCTEKQMECKNLIGTYMCICGP GYQRRPDGEGCIDENECQTKPGICENGRCLNTLGSYTCECNDGFTASPTQDECLDNREGY CFSEVLQNMCQIGSSNRNPVTKSECCCDGGRGWGPHCEICPFEGTVAYKKLCPHGRGFMT NGADIDECKVIHDVCRNGECVNDRGSYHCICKTGYTPDITGTACVDLNECNQAPKPCNFI CKNTEGSYQCSCPKGYILQEDGRSCKDLDECATKQHNCQFLCVNTIGGFTCKCPPGFTQH HTACIDNNECTSDINLCGSKGVCQNTPGSFTCECQRGFSLDQSGASCEDVDECEGNHRCQ HGCQNIIGGYRCSCPQGYLQHYQWNQCVDENECLSAHVCGGASCHNTLGSYKCMCPTGFQ YEQFSGGCQDINECGSSQAPCSYGCSNTEGGYLCGCPPGYFRIGQGHCVSGMGMGRGGPE PPASSEMDDNSLSPEACYECKINGYPKRGRKRRSTNETDASDIQDGSEMEANVSLASWDV EKPASFAFNISHVNNKVRILELLPALTTLMNHNRYLIESGNEDGFFKINQKEGVSYLHFT KKKPVAGTYSLQISSTPLYKKKELNQLEDRYDKDYLSGELGDNLKMKIQILLH >ENSMUSP00000142432.1 pep:known chromosome:GRCm38:9:111019271:111040493:1 gene:ENSMUSG00000032496.7 transcript:ENSMUST00000198884.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltf description:lactotransferrin [Source:MGI Symbol;Acc:MGI:96837] MRKVGGPPLSCVKKSSTRQCIQAIVTNRADAMTLDGGTMFDAGKPPYKLRPVAAEVYGTK EQPRTHYYAVAVVKNSSSVWEKWTEVSRRVLPVPV >ENSMUSP00000143629.1 pep:known chromosome:GRCm38:9:111019292:111022463:1 gene:ENSMUSG00000032496.7 transcript:ENSMUST00000196777.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltf description:lactotransferrin [Source:MGI Symbol;Acc:MGI:96837] MRKVGGPPLSCVKKSSTRQCIQAIVTNRADAMTLDGGTMFDAGKPPYKLRPVAAEVYGTK EQPRTHYYAVAVVKNSSNFHLNQLQGLRSCHTGIGRSAGWKIPIGTLRPYLNWNGPPASL EE >ENSMUSP00000143731.1 pep:known chromosome:GRCm38:9:111019292:111040493:1 gene:ENSMUSG00000032496.7 transcript:ENSMUST00000196209.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltf description:lactotransferrin [Source:MGI Symbol;Acc:MGI:96837] MRLLIPSLIFLEALGLCLAKATTVQFGRNGQRCPGEFCLFQSKTKNLLFNDNTECLAKIP GKTTSEKYLGKEYVIATE >ENSMUSP00000035077.3 pep:known chromosome:GRCm38:9:111019292:111042767:1 gene:ENSMUSG00000032496.7 transcript:ENSMUST00000035077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltf description:lactotransferrin [Source:MGI Symbol;Acc:MGI:96837] MRLLIPSLIFLEALGLCLAKATTVQWCAVSNSEEEKCLRWQNEMRKVGGPPLSCVKKSST RQCIQAIVTNRADAMTLDGGTMFDAGKPPYKLRPVAAEVYGTKEQPRTHYYAVAVVKNSS NFHLNQLQGLRSCHTGIGRSAGWKIPIGTLRPYLNWNGPPASLEEAVSKFFSKSCVPGAQ KDRFPNLCSSCAGTGANKCASSPEEPYSGYAGALRCLRDNAGDVAFTRGSTVFEELPNKA ERDQYKLLCPDNTWKPVTEYKECHLAQVPSHAVVSRSTNDKEEAIWELLRQSQEKFGKKQ ASGFQLFASPSGQKDLLFKESAIGFVRVPQKVDVGLYLTFSYTTSIQNLNKKQQDVIASK ARVTWCAVGSEEKRKCDQWNRASRGRVTCISFPTTEDCIVAIMKGDADAMSLDGGYIYTA GKCGLVPVLAENQKSSKSNGLDCVNRPVEGYLAVAAVRREDAGFTWSSLRGKKSCHTAVD RTAGWNIPMGLLANQTRSCKFNEFFSQSCAPGADPKSNLCALCIGDEKGENKCAPNSKER YQGYTGALRCLAEKAGNVAFLKDSTVLQNTDGKNTEEWARNLKLKDFELLCLDDTRKPVT EAKNCHLAIAPNHAVVSRTDKVEVLQQVLLDQQVQFGRNGQRCPGEFCLFQSKTKNLLFN DNTECLAKIPGKTTSEKYLGKEYVIATERLKQCSSSPLLEACAFLTQ >ENSMUSP00000143234.1 pep:known chromosome:GRCm38:9:111019310:111025008:1 gene:ENSMUSG00000032496.7 transcript:ENSMUST00000196122.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltf description:lactotransferrin [Source:MGI Symbol;Acc:MGI:96837] MFKVAERDEKSGWPAAQLCQEILHPPVHPGHCGKPPYKLRPVAAEVYGTKEQPRTHYYAV AVVKNSSNFHLNQLQGLRSCHTGIGRSAGWKIPIGTLRPYLNWNGPPASLEEAVSKFFSK SCVPGAQKDRFPNLCSSCAGTGANKCASSPEEPYSGYAGALRCLRDNAGDVAFTRGSTVF GEVW >ENSMUSP00000143342.1 pep:known chromosome:GRCm38:9:111022416:111027420:1 gene:ENSMUSG00000032496.7 transcript:ENSMUST00000198152.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ltf description:lactotransferrin [Source:MGI Symbol;Acc:MGI:96837] XRPYLNWNGPPASLEEVTK >ENSMUSP00000142739.1 pep:known chromosome:GRCm38:9:111027360:111035808:1 gene:ENSMUSG00000032496.7 transcript:ENSMUST00000199313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltf description:lactotransferrin [Source:MGI Symbol;Acc:MGI:96837] XRLYLHCGQVRFSSSLGREPEILQKQWLGLCEQTSGRVRTSVLPTAKRDTKATLGL >ENSMUSP00000142403.1 pep:known chromosome:GRCm38:9:111031121:111040477:1 gene:ENSMUSG00000032496.7 transcript:ENSMUST00000199815.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ltf description:lactotransferrin [Source:MGI Symbol;Acc:MGI:96837] XAKRDTKATLGL >ENSMUSP00000142691.1 pep:known chromosome:GRCm38:9:111038805:111042326:1 gene:ENSMUSG00000032496.7 transcript:ENSMUST00000197575.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltf description:lactotransferrin [Source:MGI Symbol;Acc:MGI:96837] GKNTEEWARNLKLKDFELLCLDDTRKPVTEAKNCHLAIAPNHAVVSRTDKVEVLQQVLLD QQVQFGRNGQRCPGEFCLFQSKTKNLLFNDNTECLAKIPGKTTSEKYLGKDTPGSLRFSY PVKTLSK >ENSMUSP00000133561.1 pep:known chromosome:GRCm38:15:103018934:103036405:1 gene:ENSMUSG00000075394.4 transcript:ENSMUST00000165375.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc4 description:homeobox C4 [Source:MGI Symbol;Acc:MGI:96195] MIMSSYLMDSNYIDPKFPPCEEYSQNSYIPEHSPEYYGRTRESGFQHHHQELYPPPPPRP SYPERQYSCTSLQGPGNSRAHGPAQAGHHHPEKSQPLCEPAPLSGTSASPSPAPPACSQP APDHPSSAASKQPIVYPWMKKIHVSTGATLG >ENSMUSP00000097740.3 pep:known chromosome:GRCm38:15:103034395:103036852:1 gene:ENSMUSG00000075394.4 transcript:ENSMUST00000100164.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoxc4 description:homeobox C4 [Source:MGI Symbol;Acc:MGI:96195] MIMSSYLMDSNYIDPKFPPCEEYSQNSYIPEHSPEYYGRTRESGFQHHHQELYPPPPPRP SYPERQYSCTSLQGPGNSRAHGPAQAGHHHPEKSQPLCEPAPLSGTSASPSPAPPACSQP APDHPSSAASKQPIVYPWMKKIHVSTVNPNYNGGEPKRSRTAYTRQQVLELEKEFHYNRY LTRRRRIEIAHSLCLSERQIKIWFQNRRMKWKKDHRLPNTKVRSAPPAGAAPSTLSAATP GTSEDHSQSATPPEQQRAEDITRL >ENSMUSP00000027061.4 pep:known chromosome:GRCm38:1:20730905:20734496:1 gene:ENSMUSG00000025929.4 transcript:ENSMUST00000027061.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17a description:interleukin 17A [Source:MGI Symbol;Acc:MGI:107364] MSPGRASSVSLMLLLLLSLAATVKAAAIIPQSSACPNTEAKDFLQNVKVNLKVFNSLGAK VSSRRPSDYLNRSTSPWTLHRNEDPDRYPSVIWEAQCRHQRCVNAEGKLDHHMNSVLIQQ EILVLKREPESCPFTFRVEKMLVGVGCTCVASIVRQAA >ENSMUSP00000065645.4 pep:known chromosome:GRCm38:18:37640491:37644204:-1 gene:ENSMUSG00000051316.8 transcript:ENSMUST00000066272.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf7 description:TAF7 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1346348] MSKNKDDAPHELESQFILRLPPEYAATVRRAVQSGHVNLKDKLSIELHPDGRHGIVRVDR VPLAAKLVDLPCVTESLKTIDKKTFYKTADISQMLVATVDGDLYPPVEEAAATADPKANK KKDKDKEKKFVWNHGITLPLKNVRKRRFRKTAKKKYIESPDVEKEVKRLLSTDAEAVSTR WEIIAEDETKETENQGLDISSPGMSGHRQGHDSLEHDELREIFNDLSSSSEDEEDVNILD TEEDLERQLQDKLNESDEQHQENEGTNQLVMGIQKQIDNMKGKLQETQDRAKRQEDLIMK VENLALKNRFQAVLDELKQKEDREKEQLSSLQEELESLLEK >ENSMUSP00000028907.7 pep:known chromosome:GRCm38:2:143826528:143863173:-1 gene:ENSMUSG00000027420.13 transcript:ENSMUST00000028907.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bfsp1 description:beaded filament structural protein 1, in lens-CP94 [Source:MGI Symbol;Acc:MGI:101770] MYRRSYVFQARQERYERAQPAGPAAQPGGTAPGLAALQALGERVAVQVQRARALQQRHAG LRRQLDAFQRLGEQPGPEDALARHVEANLQRARDLTAEHARLERQEAEAQRALDEFRSKY ENECECQLVLKEMLERLNKEADEALLRNLHLQLEAQFLQADISVAKDRYKKNLLEIQTYI TVLQQIVQTAPQVSLVTGMREEKLFTEREVAALQNQLEEGREAVTHLQAQKAELQAQTTA LEQAIKHAHECYDEELQLYNEQIENLRKEIEEAERSLERSSYDCRQLAVAQQTLRNELDR YHRIIEIEGSRLSSVFIETPISLITPSHGAPLSLGSSVKDLARAVQDITAAKPRQKALPK SLPKRKEIIAQDKVEETLEDAPLKPPQEPKALQVERKAEGGSQPGAGGGHGVSPTQEGGP EDVPDGGQISKAFGKLCKVVKERVSGHKEPEPEPPTDLFTKGRHVLVTGESSFVDPEFYS SSIPARGGVVISIEEDSMHHDGHVEPSPGQPMPPVENGQGVPQGREGDHSNHQQGTDKNG LRAKEPKDLEEKDDDGKKEAEGSRRPCPVIIPGPDEPSTSHSQTSGSNQGGPVGPASKSS SLLAKGPSKALSIKKVEVVESIEKISTESIQTYEETSVIVETLIGKSKGNKKLGEKSLPD TRA >ENSMUSP00000096899.3 pep:known chromosome:GRCm38:2:143826528:143862943:-1 gene:ENSMUSG00000027420.13 transcript:ENSMUST00000099296.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bfsp1 description:beaded filament structural protein 1, in lens-CP94 [Source:MGI Symbol;Acc:MGI:101770] MYRRSYVFQARQERYERAQPAGPAAQPGGTAPGLAALQALGERVAVQVQRARALQQRHAG LRRQLDAFQRLGEQPGPEDALARHVEANLQRARDLTAEHARLERQEAEAQRALDEFRSKY ENECECQLVLKEMLERLNKEADEALLRNLHLQLEAQFLQADISVAKDRYKKNLLEIQTYI TVLQQIVQTAPQVSLVTGMRESGLLMQEKLFTEREVAALQNQLEEGREAVTHLQAQKAEL QAQTTALEQAIKHAHECYDEELQLYNEQIENLRKEIEEAERSLERSSYDCRQLAVAQQTL RNELDRYHRIIEIEGSRLSSVFIETPISLITPSHGAPLSLGSSVKDLARAVQDITAAKPR QKALPKSLPKRKEIIAQDKVEETLEDAPLKPPQEPKALQVERKAEGGSQPGAGGGHGVSP TQEGGPEDVPDGGQISKAFGKLCKVVKERVSGHKEPEPEPPTDLFTKGRHVLVTGESSFV DPEFYSSSIPARGGVVISIEEDSMHHDGHVEPSPGQPMPPVENGQGVPQGREGDHSNHQQ GTDKNGLRAKEPKDLEEKDDDGKKEAEGSRRPCPVIIPGPDEPSTSHSQTSGSNQGGPVG PASKSSSLLAKGPSKALSIKKVEVVESIEKISTESIQTYEETSVIVETLIGKSKGNKKLG EKSLPDTRA >ENSMUSP00000034945.5 pep:known chromosome:GRCm38:9:66126611:66138955:1 gene:ENSMUSG00000032381.5 transcript:ENSMUST00000034945.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam96a description:family with sequence similarity 96, member A [Source:MGI Symbol;Acc:MGI:1915500] MERVSGLLSWTLSRVLWLSGFSEHGAAWQPRIMEEKALEVYDLIRTIRDPEKPNTLEELE VVTESCVEVQEINEDDYLVIIKFTPTVPHCSLATLIGLCLRVKLQRCLPFKHKLEIYISE GTHSTEEDINKQINDKERVAAAMENPNLREIVEQCVLEPD >ENSMUSP00000138257.1 pep:known chromosome:GRCm38:7:116123485:116237812:-1 gene:ENSMUSG00000045659.17 transcript:ENSMUST00000182834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha7 description:pleckstrin homology domain containing, family A member 7 [Source:MGI Symbol;Acc:MGI:2445094] MEPWRCPPRDARPAALGFWGEPLAVCSHNQQTTTFRHPVTGQFSSENSEYVLREEPHPHM SKPERNQRPSSMVSETSTAGTTSTLEAKPGPKIVKSSSKVHSFGKRDQAIRRNLNVPVVV RGWLHKQDSSGMRLWKRRWFVLADYCLFYYKDSREEAVLGSIPLPSYVISPVAPEDRISR KYSFKAVHTGMRALIYSTTTAGSQMEHSGMRTYYFSADTLEDMNAWVRAMNQAAQVLSRS SLRRDVDKVERQAMPQANHTDACQECGHVGPGHSRDCPRRGYEDSYGFNRREQEEERFRA QRDPLEGRRDRSKARSPYLPAEEDALFVDLPGGPRGQQAQPQRAEKNGVPPYGLGEQNGT NGYQRTAPPRANPEKHSQRKTGLAQAEHWTKAQKGDGRSLPLDQTLPRQGPSQPLSFPEN YQSLPKSTRHLSGSSSPPPRNLPSDYKYAQDRASHLKMSSEERRAHRDGTVWQLYEWQQR QQFRHGSPTAPIGAGSPEFTEQGRSRSLLEVPRSISVPPSPSDIPPPGPPRPFPPRRPHT PAERVTVKPPEQRRSVDISLGGSPRKARGHAAKNSSHVDRRSMPSMGYMTHTVSAPSLHG KSADDTYLQLKKDLEYLDLKMTGRDLLKDRSLKPMKIAESDIDVKLSIFCEQDRILQDLE DKIRALKENKDQLESVLEVLHRQTEQYRDQPQHLEKITCQQRLLQEDLVHIRAELCREST EMENAWNEYLKLEKDVEQLKQTLQEQHRRAFFFQEKSQIQKDLWRIEDVMAGLSANKENY RVLVGSVKNPERKTVPLFPHPSVPSLSPTESKPALQPSPPTSPVRTPLEVRLFPQLQTYV PYRPHPPQLRKVMSPLQSPTKAKPQAEDEAPPRPPLPELYSPEDQPPAVPPLPREATIIR HTSVRGLKRQSDERKRDREQGQCVNGDLKVELRSYVSEPELASLSGDVPQPSLSLVGSES RYQTLPGRGLSGSTSRLQQSSTIAPYVTLRRGLNAENSSATFSRPKSALERLYSGDHQRG KMSAEEQLERMKRHQKALVRERKRTLSQGEKTGLLSARYLSQPLPGDLGSWKREQEFDLQ LLERAAQGDRKDKEEGWLKVQATPVMELDLEPQDYDLDISRELSKPEKVSIPERYVELDP EEPPSLEELQARYQKAEKIRNILARSSMCNLQPLGQDRNSLADLDSQLQEQERIINISYA LASEASKRSKQVAAQAITDP >ENSMUSP00000138575.1 pep:known chromosome:GRCm38:7:116123493:116308376:-1 gene:ENSMUSG00000045659.17 transcript:ENSMUST00000181998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha7 description:pleckstrin homology domain containing, family A member 7 [Source:MGI Symbol;Acc:MGI:2445094] MAAAVGRDTLPEHWSYGVCRDGRVFFINDQLRCTTWLHPRTGEPVNSGHMIRSDLPRGWE EGFTEEGASFFIDHNQQTTTFRHPVTGQFSSENSEYVLREEPHPHMSKPERNQRPSSMVS ETSTAGTTSTLEAKPGPKIVKSSSKVHSFGKRDQAIRRNLNVPVVVRGWLHKQDSSGMRL WKRRWFVLADYCLFYYKDSREEAVLGSIPLPSYVISPVAPEDRISRKYSFKAVHTGMRAL IYSTTTAGSQMEHSGMRTYYFSADTLEDMNAWVRAMNQAAQVLSRSSLRRDVDKVERQAM PQANHTDACQECGHVGPGHSRDCPRRGYEDSYGFNRREQEEERFRAQRDPLEGRRDRSKA RSPYLPAEEDALFVDLPGGPRGQQAQPQRAEKNGVPPYGLGEQNGTNGYQRTAPPRANPE KHSQRKTGLAQAEHWTKAQKGDGRSLPLDQTLPRQGPSQPLSFPENYQSLPKSTRHLSGS SSPPPRNLPSDYKYAQDRASHLKMSSEERRAHRDGTVWQLYEWQQRQQFRHGSPTAPIGA GSPEFTEQGRSRSLLEVPRSISVPPSPSDIPPPGPPRPFPPRRPHTPAERVTVKPPEQRR SVDISLGGSPRKARGHAAKNSSHVDRRSMPSMGYMTHTVSAPSLHGKSADDTYLQLKKDL EYLDLKMTGRDLLKDRSLKPMKIAESDIDVKLSIFCEQDRILQDLEDKIRALKENKDQLE SVLEVLHRQTEQYRDQPQHLEKITCQQRLLQEDLVHIRAELCRESTEMENAWNEYLKLEK DVEQLKQTLQEQHRRAFFFQEKSQIQKDLWRIEDVMAGLSANKENYRVLVGSVKNPERKT VPLFPHPSVPSLSPTESKPALQPSPPTSPVRTPLEVRLFPQLQTYVPYRPHPPQLRKVMS PLQSPTKAKPQAEDEAPPRPPLPELYSPEDQPPAVPPLPREATIIRHTSVRGLKRQSDER KRDREQGQCVNGDLKVELRSYVSEPELASLSGDVPQPSLSLVGSESRYQTLPGRGLSGST SRLQQSSTIAPYVTLRRGLNAENSSATFSRPKSALERLYSGDHQRGKMSAEEQLERMKRH QKALVRERKRTLSQGEKTGLLSARYLSQPLPGDLGSWKREQEFDLQLLERAAQGDRKDKE EGWLKVQATPVMELDLEPQDYDLDISRELSKPEKVSIPERYVELDPEEPPSLEELQARYQ KAEKIRNILARSSMCNLQPLGQDRNSLADLDSQLQEQERIINISYALASEASKRSKQVAA QAITDP >ENSMUSP00000081714.4 pep:known chromosome:GRCm38:7:116124118:116189841:-1 gene:ENSMUSG00000045659.17 transcript:ENSMUST00000084664.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha7 description:pleckstrin homology domain containing, family A member 7 [Source:MGI Symbol;Acc:MGI:2445094] MRALIYSTTTAGSQMEHSGMRTYYFSADTLEDMNAWVRAMNQAAQVLSRSSLRRDVDKVE RQAMPQANHTDACQECGHVGPGHSRDCPRRGYEDSYGFNRREQEEERFRAQRDPLEGRRD RSKARSPYLPAEEDALFVDLPGGPRGQQAQPQRAEKNGVPPYGLGEQNGTNGYQRTAPPR ANPEKHSQRKTGLAQAEHWTKAQKGDGRSLPLDQTLPRQGPSQPLSFPENYQSLPKSTRH LSGSSSPPPRNLPSDYKYAQDRASHLKMSSEERRAHRDGTVWQLYEWQQRQQFRHGSPTA PIGAGSPEFTEQGRSRSLLEVPRSISVPPSPSDIPPPGPPRPFPPRRPHTPAERVTVKPP EQRRSVDISLGGSPRKARGHAAKNSSHVDRRSMPSMGYMTHTVSAPSLHGKSADDTYLQL KKDLEYLDLKMTGRDLLKDRSLKPMKIAESDIDVKLSIFCEQDRILQDLEDKIRALKENK DQLESVLEVLHRQTEQYRDQPQHLEKITCQQRLLQEDLVHIRAELCRESTEMENAWNEYL KLEKDVEQLKQTLQEQHRRAFFFQEKSQIQKDLWRIEDVMAGLSANKENYRVLVGSVKNP ERKTVPLFPHPSVPSLSPTESKPALQPSPPTSPVRTPLEVRLFPQLQTYVPYRPHPPQLR KVMSPLQSPTKAKPQAEDEAPPRPPLPELYSPEDQPPAVPPLPREATIIRHTSVRGLKRQ SDERKRDREQGQCVNGDLKVELRSYVSEPELASLSGDVPQPSLSLVGSESRYQTLPGRGL SGSTSRLQQSSTIAPYVTLRRGLNAENSSATFSRPKSALERLYSGDHQRGKMSAEEQLER MKRHQKALVRERKRTLSQGEKTGLLSARYLSQPLPGDLGSWKREQEFDLQLLERAAQGDR KDKEEGWLKVQATPVMELDLEPQDYDLDISRELSKPEKVSIPERYVELDPEEPPSLEELQ ARYQKAEKIRNILARSSMCNLQPLGQDRNSLADLDSQLQEQERIINISYALASEASKRSK QVAAQAITDP >ENSMUSP00000145894.1 pep:known chromosome:GRCm38:7:116126029:116189327:-1 gene:ENSMUSG00000045659.17 transcript:ENSMUST00000182443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha7 description:pleckstrin homology domain containing, family A member 7 [Source:MGI Symbol;Acc:MGI:2445094] XHPVTGQFSSENSEYVLREEPHPHMSKPERNQRPSSMVSETSTAGTTSTLEAKPGPKIVK SSSKVHSFGKRDQAIRRNLNVPVVVRGWLHKQDSSGMRLWKRRWFVLADYCLFYYKDSRE EAVLGSIPLPSYVISPVAPEDRISRKYSFKAVHTGMRALIYSTTTAGSQMEHSGMRTYYF SADTLEDMNAWVRAMNQAAQVLSRSSLRRDVDKVERQAMPQANHTDACQECGHVGPGHSR DCPRRGYEDSYGFNRREQEEERFRAQRDPLEGRRDRSKARSPYLPAEEDALFVDLPGGPR GQQAQPQRAEKNGVPPYGLGEQNGTNGYQRTAPPRANPEKHSQRKTGLAQAEHWTKAQKG DGRSLPLDQTLPRQGPSQPLSFPENYQSLPKSTRHLSGSSSPPPRNLPSDYKYAQDRASH LKMSSEERRAHRDGTVWQLYEWQQRQQFRHGSPTAPIGAGSPEFTEQGRSRSLLEVPRSI SVPPSPSDIPPPGPPRPFPPRRPHTPAERVTVKPPEQRRSVDISLGGSPRKARGHAAKNS SHVDRRSMPSMGYMTHTVSAPSLHGKSADDTYLQLKKDLEYLDLKMTGRDLLKDRSLKPM KIAESDIDVKLSIFCEQDRILQDLEDKIRALKENKDQLESVLEVLHRQTEQYRDQPQHLE KITCQQRLLQEDLVHIRAELCRESTEMENAWNEYLKLEKDVEQLKQTLQEQHRRAFFFQE KSQIQKDLWRIEDVMAGLSANKENYRVLVGSVKNPERKTVPLFPHPSVPSLSPTESKPAL QPSPPTSPVRTPLEVRLFPQLQTYVPYRPHPPQLRKVMSPLQSPTKAKPQAEDEAPPRPP LPELYSPEDQPPAVPPLPREATIIRHTSVRGLKRQSDERKRDREQGQCVNGDLKVELRSY VSEPELASLSGDVPQPSLSLVGSESRYQTLPGRGLSGSTSRLQQSSTIAPYVTLRRGLNA ENSSATFSRPKSALERLYSGDHQRGKMSAEEQLERMKRHQKALVRERKRTLSQGEKTGLL SARYLSQPLPGDLGSWKREQEFDLQLLERAAQGDRKDKEEGWLKVQATPVMELDLEPQDY DLDISRELSKPEKVSIPERYVELDPEEPPSLEELQARYQKAEKIRNILARSSMCNLQPLG QDRNSLADLDSQLQEQERIINISYALASEASKRSKQVAGKAVGATLQGPVPCLLLSVTCP RTRKLADVGRPA >ENSMUSP00000138766.1 pep:known chromosome:GRCm38:7:116133791:116198561:-1 gene:ENSMUSG00000045659.17 transcript:ENSMUST00000181981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha7 description:pleckstrin homology domain containing, family A member 7 [Source:MGI Symbol;Acc:MGI:2445094] MSKPERNQRPSSMVSETSTAGTTSTLEAKPGPKIVKSSSKVHSFGKRDQAIRRNLNVPVV VRGWLHKQDSSGMRLWKRRWFVLADYCLFYYKDSREEAVLGSIPLPSYVISPVAPEDRIS RKYSFKAVHTGMRALIYSTTTAGSQMEHSGMRTYYFSADTLEDMNAWVRAMNQAAQVLSR SSLRRDVDKVERQAMPQANHTDACQECGHVGPGHSRDCPRRGYEDSYGFNRREQEEERFR AQRDPLEGRRDRSKARSPYLPAEEDALFVDLPGGPRGQQAQPQRAEKNGVPPYGLGEQNG TNGYQRTAPPRANPEKHSQRKTGLAQAEHWTKAQKGDGRSLPLDQTLPRQGPSQPLSFPE NYQSLPKSTRHLSGSSSPPPRNLPSDYKYAQDRASHLKMSSEERRAHRDGTVWQLYEWQQ RQQFRHGSPTAPIGAGSPEFTEQGRSRSLLEVPRSISVPPSPSDIPPPGPPRPFPPRRPH TPAERVTVKPPEQRRSVDISLGGSPRKARGHAAKNSSHVDRRSMPSMGYMTHTVSAPSLH GKSADDTYLQLKKDLEYLDLKMTGRDLLKDRSLKPMKIAESDIDVKLSIFCEQDRILQDL EDKIRALKENKDQLESVLEVLHRQTEQYRDQPQHLEKITCQQRLLQEDLVHIRAELCRES TEMENAWNEYLKLEKDVEQLKQTLQEQHRRAFFFQEKSQIQKDLWRIEDVMAGLSANKEN YRVLVGSVKNPERKTVPLFPHPSVPSLSPTESKPALQPSPPTSPVRTPLEVRLFPQLQTY VPYRPHPPQLRKVMSPLQSPTKAKPQAEDEAPPRPPLPELYSPEDQPPAVPPLPREATII RHTSVRGLKRQSDERKRDREQGQCVNGDLKVELRSYVSEPELASLSGDVPQPSLSLVGSE SRYQTLPGRGLSGSTSRLQQSSTIAPYVTLRRGLNAENSSATFSRPKSALERLYSGDHQR GKMSAEEQLERMKRHQKALVRERKRTLSQGEKTGLLSARYLSQPLPGDLGSVC >ENSMUSP00000138544.1 pep:known chromosome:GRCm38:7:116133795:116189604:-1 gene:ENSMUSG00000045659.17 transcript:ENSMUST00000182511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha7 description:pleckstrin homology domain containing, family A member 7 [Source:MGI Symbol;Acc:MGI:2445094] MSLRSTWATVCHNQQTTTFRHPVTGQFSSENSEYVLREEPHPHMSKPERNQRPSSMVSET STAGTTSTLEAKPGPKIVKSSSKVHSFGKRDQAIRRNLNVPVVVRGWLHKQDSSGMRLWK RRWFVLADYCLFYYKDSREEAVLGSIPLPSYVISPVAPEDRISRKYSFKAVHTGMRALIY STTTAGSQMEHSGMRTYYFSADTLEDMNAWVRAMNQAAQVLSRSSLRRDVDKVERQAMPQ ANHTDACQECGHVGPGHSRDCPRRGYEDSYGFNRREQEEERFRAQRDPLEGRRDRSKARS PYLPAEEDALFVDLPGGPRGQQAQPQRAEKNGVPPYGLGEQNGTNGYQRTAPPRANPEKH SQRKTGLAQAEHWTKAQKGDGRSLPLDQTLPRQGPSQPLSFPENYQSLPKSTRHLSGSSS PPPRNLPSDYKYAQDRASHLKMSSEERRAHRDGTVWQLYEWQQRQQFRHGSPTAPIGAGS PEFTEQGRSRSLLEVPRSISVPPSPSDIPPPGPPRPFPPRRPHTPAERVTVKPPEQRRSV DISLGGSPRKARGHAAKNSSHVDRRSMPSMGYMTHTVSAPSLHGKSADDTYLQLKKDLEY LDLKMTGRDLLKDRSLKPMKIAESDIDVKLSIFCEQDRILQDLEDKIRALKENKDQLESV LEVLHRQTEQYRDQPQHLEKITCQQRLLQEDLVHIRAELCRESTEMENAWNEYLKLEKDV EQLKQTLQEQHRRAFFFQEKSQIQKDLWRIEDVMAGLSANKENYRVLVGSVKNPERKTVP LFPHPSVPSLSPTESKPALQPSPPTSPVRTPLEVRLFPQLQTYVPYRPHPPQLRKVMSPL QSPTKAKPQAEDEAPPRPPLPELYSPEDQPPAVPPLPREATIIRHTSVRGLKRQSDERKR DREQGQCVNGDLKVELRSYVSEPELASLSGDVPQPSLSLVGSESRYQTLPGRGLSGSTSR LQQSSTIAPYVTLRRGLNAENSSATFSRPKSALERLYSGDHQRGKMSAEEQLERMKRHQK ALVRERKRTLSQGEKTGLLSARYLSQPLPGDLGSVC >ENSMUSP00000138214.1 pep:known chromosome:GRCm38:7:116134933:116308355:-1 gene:ENSMUSG00000045659.17 transcript:ENSMUST00000182487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha7 description:pleckstrin homology domain containing, family A member 7 [Source:MGI Symbol;Acc:MGI:2445094] MAAAVGRDTLPEHWSYGVCRDGRVFFINDQLRCTTWLHPRTGEPVNSGHMIRSDLPRGWE EGFTEEGASFFIDHNQQTTTFRHPVTGQFSSENSEYVLREEPHPHMSKPERNQRPSSMVS ETSTAGTTSTLEAKPGPKIVKSSSKVHSFGKRDQAIRRNLNVPVVVRGWLHKQDSSGMRL WKRRWFVLADYCLFYYKDSREEAVLGSIPLPSYVISPVAPEDRISRKYSFKAVHTGMRAL IYSTTTAGSQMEHSGMRTYYFSADTLEDMNAWVRAMNQAAQVLSRSSLRRDVDKVERQAM PQANHTDACQECGHVGPGHSRDCPRRGYEDSYGFNRREQEEERFRAQRDPLEGRRDRSKA RSPYLPAEEDALFVDLPGGPRGQQAQPQRAEKNGVPPYGLGEQNGTNGYQRTAPPRANPE KHSQRKTGLAQAEHWTKAQKGDGRSLPLDQTLPRQGPSQPLSFPENYQSLPKSTRHLSGS SSPPPRNLPSDYKYAQDRASHLKMSSEERRAHRDGTVWQLYEWQQRQQFRHGSPTAPIGA GSPEFTEQGRSRSLLEVPRSISVPPSPSDIPPPGPPRPFPPRRPHTPAERVTVKPPEQRR SVDISLGGSPRKARGHAAKNSSHVDRRSMPSMGYMTHTVSAPSLHGKSADDTYLQLKKDL EYLDLKMTGRDLLKDRSLKPMKIAESDIDVKLSIFCEQDRILQDLEDKIRALKENKDQLE SVLEVLHRQTEQYRDQPQHLEKITCQQRLLQEDLVHIRAELCRESTEMENAWNEYLKLEK DVEQLKQTLQEQHRRAFFFQEKSQIQKDLWRIEDVMAGLSANKENYRVLVGSVKNPERKT VPLFPHPSVPSLSPTESKPALQPSPPTSPVRTPLEVRLFPQLQTYVPYRPHPPQLRKVMS PLQSPTKAKPQAEDEAPPRPPLPELYSPEDQPPAVPPLPREATIIRHTSVRGLKRQSDER KRDREQGQCVNGDLKVELRSYVSEPELASLSGDVPQPSLSLVGSESRYQTLPGRGLSGST SRLQQSSTIAPYVTLRRGLNAENSSATFSRPKSALERLYSGDHQRGKMSAEEQLERMKRH QKALVRERKRTLSQGEKTGLLSARYLSQPLPGDLGSVC >ENSMUSP00000138126.1 pep:known chromosome:GRCm38:7:116142735:116157892:-1 gene:ENSMUSG00000045659.17 transcript:ENSMUST00000183281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha7 description:pleckstrin homology domain containing, family A member 7 [Source:MGI Symbol;Acc:MGI:2445094] GSPRKARGHAAKNSSHVDRRSMPSMGYMTHTVSAPSLHGKSADDTYLQLKKDLEYLDLKI KNNEPLINVLYKVLKKSARGCRPGRSMTGRDLLKDRSLKPMKIAESDIDVKLSIFCEQDR ILQDLEDKIRALKENKDQLESVLEVLHRQTEQYRDQPQHLEKITCQQRLLQEDLVHIRAE LCRESTEMENAWNEYLKLEKDVEQLKQT >ENSMUSP00000145800.1 pep:known chromosome:GRCm38:7:116170701:116258478:-1 gene:ENSMUSG00000045659.17 transcript:ENSMUST00000206662.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plekha7 description:pleckstrin homology domain containing, family A member 7 [Source:MGI Symbol;Acc:MGI:2445094] XAFPGSREVRRGTDPLELELWVVVNRGSWEPDLLEEQKMFLIMEAFLHPWGRATISRQQH SGIL >ENSMUSP00000138490.1 pep:known chromosome:GRCm38:7:116175730:116308241:-1 gene:ENSMUSG00000045659.17 transcript:ENSMUST00000183057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha7 description:pleckstrin homology domain containing, family A member 7 [Source:MGI Symbol;Acc:MGI:2445094] MIRSDLPRGWEEGFTEEGASFFIDHNQQTTTFRHPVTGQFSSENSEYVLREEPHPHMSKP ERNQRPSSMVSETSTAGTTSTLEAKPGPKIVKSSSKVHSFGKRDQAIRRNLNVPVVVRGW LHKQDSSGMRLWKRRWFVLADYCLFYYKDSREEAVLGSIPLPSYVISPVAPEDRIS >ENSMUSP00000141359.1 pep:known chromosome:GRCm38:18:37746993:37841873:1 gene:ENSMUSG00000102222.1 transcript:ENSMUST00000193404.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga10 description:protocadherin gamma subfamily A, 10 [Source:MGI Symbol;Acc:MGI:1935227] MAAQRNLSERTKLVLLFLWMPWESAARQIRYSVPEELEKGSFVGNIAKDLGLEPRQLAER GVRIISRGRSQLFSLNPRGGSLVTAGRIDREELCAQSAPCLVSFNILVEDRVKLFGIEIE VTDVNDNAPKFQAETLDVKINENVAPGMRFPLPEATDPDVGVNSLQSYQLSSNKHFSLVV QSGAKGVKYPELVLEQALDREEEAIHHLVLVASDGGNPPRSGTVLITVTVFDANDNAPVF TSTEYRVNIPENLPVGTQLLKVTATDKDEGANGEVTYAFRKSLDTQLSKFQLDKHTGEIK ISENLDYEEMSFYEIEIQAEDGGAYLATAKVLITVEDVNDNSPEVTITSLFSPVTEDSPV GTVIALLNVHDLDSGQNGEVACSISGNLPFKLEKSIDNYYRLVTQRALDREQVPAYNITV TATDGGNPPLSTKADFTLQVADINDNPPTFSHPSYFTYIPENNPRGASIFSVTALDPDSK ENAQIVYSLAEDTIEGAPLSSYISINSDTGILYALWSFDYEQFRELQVQVTASDSGKPPL HSNVSLTLFVLDQNDNSPEILYPTMPTDGSTGVELAPRSAELGYLVTKVVAVDRDSGQNA WLSYRLLKASEPGLFTVGLHTGEVRTARALMERDALKQSLVVAVQDHGQPPLSATVTLTV AVADSIPDVLADLGNLEPPQDSEASNLTLYLVVAVAAVSCVFLAFVIVLLALKLRRWHKS HVLQSSRDGLAGVPASHYVGVDGVRAFLQTYSHEVSLTADSRKSHLIFPQPNYADTLISQ ESCEKKDPLSLLDDSKCPVEDAPLVPQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQ FDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNA TLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000046960.3 pep:known chromosome:GRCm38:1:20777146:20784270:-1 gene:ENSMUSG00000041872.9 transcript:ENSMUST00000039046.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17f description:interleukin 17F [Source:MGI Symbol;Acc:MGI:2676631] MKCTRETAMVKSLLLLMLGLAILREVAARKNPKAGVPALQKAGNCPPLEDNTVRVDIRIF NQNQGISVPREFQNRSSSPWDYNITRDPHRFPSEIAEAQCRHSGCINAQGQEDSTMNSVA IQQEILVLRREPQGCSNSFRLEKMLLKVGCTCVKPIVHQAA >ENSMUSP00000140122.1 pep:known chromosome:GRCm38:1:20777783:20790617:-1 gene:ENSMUSG00000041872.9 transcript:ENSMUST00000189301.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17f description:interleukin 17F [Source:MGI Symbol;Acc:MGI:2676631] MKCTRETAMVKSLLLLMLGLAILREVAARKNPKAGVPALQKAGNCPPLEDNTVRVDIRIF NQNQGISVPREFQNRSSSPWDYNITRDPHRFPSEIAEAQCRHSGCINAQGQEDSTMNSVA IQQEILVLRREPQGCSNSFRLEKMLLKVGCTCVKPIV >ENSMUSP00000059180.1 pep:known chromosome:GRCm38:18:37300799:37304585:1 gene:ENSMUSG00000045498.1 transcript:ENSMUST00000051754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb3 description:protocadherin beta 3 [Source:MGI Symbol;Acc:MGI:2136737] MEAREESFLKQRQVLLLFVFLGGSLAGSMSRRYSVAEEKEKGFVIANLATDLGLSIEELA ERRAQAISKGNIQYFQLSHQTGDLLLVEKLDREDLCGSTEPCVLHFQILLHDPLQFITNE LEVIDVNDHAPEFFENAMQLKVLENSVPGTVIPLGNAVDLDVGRNGLQNYTVSPTSHFHV HTRRRRDGRKYPELVLDRALDREEQSEISLTLTALDGGSPPRSGTTQVHILVLDTNDNAP EFTQSLYEVQILEKSPIGSVITTVSASDLDTGNFGAISYVFFHASEEILETFRLNSSTGN IQLLKGLDYEAINTYEVDIEAKDGGGLSGKCTVIVQILDVNDNPPELTLSSVNSLIPENS AETVVAVFSVSDLDSGDNGRVTCSIQNDLPFILKPSVENFYTIASEWALDREIRAEYNIT ITVSDLGTPRLTTQHTITVQVSDLNDNAPAFTQTSYTMSVRENNSPALHIGTISATDSDS GSNAHITYSLLPPHDPQLALDSLISINADNGQLFALRALDYEALQAFEFHVGATDGGSPA LSSQALVRVVVLDDNDNAPFVLYPLQNASAPCTELLPRAAETGYLVTKVVAVDRDSGQNA WLSFQLLKSTEPGLFSVWAHNGEVRTARLLSERDMLKQKLLLLVKDNGDPPRSASVTLNV LLVDGFSQPYLPLPEVARDSVQNDVDLLTLYLVIALASVSSLFLLSVLLFVGVRLCRRAR AASMGGCSVPEGHFPGHLVDVSGMGTLSQSYQYEVCLTGDAGTGEFKLLNPVIPNLFLEE SERS >ENSMUSP00000116191.1 pep:known chromosome:GRCm38:11:90476492:90638084:-1 gene:ENSMUSG00000020546.14 transcript:ENSMUST00000143203.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp4 description:syntaxin binding protein 4 [Source:MGI Symbol;Acc:MGI:1342296] MSDGTASARSSSPLDRDPAFRVITVTKETGLGLKILGGINRNEGPLVYIHEVIPGGDCYK DGRLKPGDQLVSINKESMIGVSFEEAKSIITRAKLRSESPWEIAFIRQKSYCGHPGNICC PSPQVSEDCGPQTSTFTLLSSPSETLLPKTSSTPQTQDSTFPSCKAIQTKPEHDKTEHSP ITSLDNSPADTSNADIAPAWTDDDSGPQGKISLNPSVRLKAEKLEMALNYLGIQPTKEQR EALREQVQADSKGTVSFGDFVQVARSLFCLQLDEVNVGVHEIPSILDSQLLPCDSLEADE VGKLRQERNAALEERNVLKEKLLESEKHRKQLIEELQNVKQEAKAVAEETRALRSRIHLA EAAQRQAHGMEMDYEEVIRLLEAEVSELKAQLADYSDQNKESVQDLRKRVTVLDCQLRKS EMARKAFKASTERLLGFIEAIQEVLLDSSAPLSTLSERRAVLASQTSLPLLARNGRSFPA TLLLESKELVRSVRAILDMDCLPYGWEEAYTADGIKYFINHVTQTTSWIHPVMSALNLSC AEESEEDCPRELTDPKS >ENSMUSP00000103504.1 pep:known chromosome:GRCm38:11:90603124:90638076:-1 gene:ENSMUSG00000020546.14 transcript:ENSMUST00000107872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp4 description:syntaxin binding protein 4 [Source:MGI Symbol;Acc:MGI:1342296] MSDGTASARSSSPLDRDPAFRVITVTKETGLGLKILGGINRNEGPLVYIHEVIPGGDCYK DGRLKPGDQLVSINKESMIGVSFEEAKSIITRAKLRSESPWEIAFIRQKSYCGHPGNICC PSPQVSEDCGPQTSTFTLLSSPSETLLPKTSSTPQTQDSTFPSCKAIQTKPEHDKTEHSP ITSLDNSPADTSNAAHMERKKRHESSGQSKMWHWSCSMMKVEVFIP >ENSMUSP00000103507.1 pep:known chromosome:GRCm38:11:90599388:90638065:-1 gene:ENSMUSG00000020546.14 transcript:ENSMUST00000107875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp4 description:syntaxin binding protein 4 [Source:MGI Symbol;Acc:MGI:1342296] MSDGTASARSSSPLDRDPAFRVITVTKETGLGLKILGGINRNEGPLVYIHEVIPGGDCYK DGRLKPGDQLVSINKESMIGVSFEEAKSIITRAKLRSESPWEIAFIRQKSYCGHPGNICC PSPQVSEDCGPQTSTFTLLSSPSETLLPKTSSTPQTQDSTFPSCKAIQTKPEHDKTEHSP ITSLDNSPADTSNADIAPAWTDDDSGPQGKISLNPSVRLKAEKLEMVNPLKKNHFLHKTA GKNCLVLGEEDIGESLVS >ENSMUSP00000020858.7 pep:known chromosome:GRCm38:11:90535380:90638062:-1 gene:ENSMUSG00000020546.14 transcript:ENSMUST00000020858.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp4 description:syntaxin binding protein 4 [Source:MGI Symbol;Acc:MGI:1342296] MSDGTASARSSSPLDRDPAFRVITVTKETGLGLKILGGINRNEGPLVYIHEVIPGGDCYK DGRLKPGDQLVSINKESMIGVSFEEAKSIITRAKLRSESPWEIAFIRQKSYCGHPGNICC PSPQVSEDCGPQTSTFTLLSSPSETLLPKTSSTPQTQDSTFPSCKAIQTKPEHDKTEHSP ITSLDNSPADTSNADIAPAWTDDDSGPQGKISLNPSVRLKAEKLEMALNYLGIQPTKEQR EALREQVQADSKGTVSFGDFVQVARSLFCLQLDEVNVGVHEIPSILDSQLLPCDSLEADE VGKLRQERNAALEERNVLKEKLLESEKHRKQLIEELQNVKQEAKAVAEETRALRSRIHLA EAAQRQAHGMEMDYEEVIRLLEAEVSELKAQLADYSDQNKESVQDLRKRVTVLDCQLRKS EMARKAFKASTERLLGFIEAIQEVLLDSSAPLSTLSERRAVLASQTSLPLLARNGRSFPA TLLLESKELVRSVRAILDMDCKLSLSSSSSPSSSSSFSSSSSCNLLNKAEERP >ENSMUSP00000122365.1 pep:known chromosome:GRCm38:11:90492109:90548910:-1 gene:ENSMUSG00000020546.14 transcript:ENSMUST00000123260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp4 description:syntaxin binding protein 4 [Source:MGI Symbol;Acc:MGI:1342296] XHGMEMDYEEVIRLLEAEVSELKAQLADYSDQNKESVQDLRKRVTVLDCQLRKSEMARKA FKASTERLLGFIEAIQEVLLDSSAPLSTLSERRAVLASQTSLPLLARNGRSFPATLLLES KELVRSVRAILDMDCLPYGWEEAYTADGIKYFIK >ENSMUSP00000117910.1 pep:known chromosome:GRCm38:11:90619271:90630917:-1 gene:ENSMUSG00000020546.14 transcript:ENSMUST00000132905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp4 description:syntaxin binding protein 4 [Source:MGI Symbol;Acc:MGI:1342296] MSDGTASARSSSPLDRDPAFRVITVTKETGL >ENSMUSP00000136430.1 pep:known chromosome:GRCm38:1:86426329:86428052:1 gene:ENSMUSG00000036574.5 transcript:ENSMUST00000046004.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019O17Rik description:RIKEN cDNA 1700019O17 gene [Source:MGI Symbol;Acc:MGI:1919113] MTAEPLEDPEASSSSTHDLPEASSDNTADENSADLPGESEGPDSLPDDVPPGDIDEVLAE HDVDQTSEAKAITTEQNEEQDFIRTDTFMRQDEDLLSKQISTITEKNVDQAYMQINTVFE QDKDQASIQTATLMGQDEDQAFLQIVTSVGQNKDQASIQTATLMGQDEDQASLQIATSVG QNKDQPSMQMDTSIGQDVEPAISTDTATSAVKDESPDIPHQGQDNPEETTSLLPQDPGIL QVFVGFQNPVWDRLAENNRTSRSRTVSPSDSQTQEKTLGNPNVPEGQPVLVPNADVLSNL PEHVQTSVGAADPPPSDTSGRDPEPTPTTNSAKQEAEGFKALNPGSKARSPGLTSEDSAA DSGIPPDPPDPGSPGGSPPHSPDFYQVALGRNLLDPNLYRPDVENDYMRSMTSLLGCGEG SISSLTDILVWSDTATRMGVAVGILASGCSSPADRLQDEGPRLRTVASLLRSARSAFSSG VMSGTGSALHSVTHLLESVERRTMEGIRSAMRYLAHHLTSRWARTGPSGN >ENSMUSP00000058801.1 pep:known chromosome:GRCm38:18:37400855:37403909:1 gene:ENSMUSG00000051242.1 transcript:ENSMUST00000057228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb9 description:protocadherin beta 9 [Source:MGI Symbol;Acc:MGI:2136744] MSNTVKIPPKRESEFSVSKHLETATGLDASMHFLIMEKLGRIHLNRQVMAFIFMMVLVQV CSEPTIRYSILEETESGSFVAHLAKDLGLGARELAARSARVLSDDYKQRLLLDPETGDLL LREKVDREEVCSTVDPCVLHFQVTLEKPVQYFQRELLIQDINDHAPEFPDRELLLRIPEN SQQGTQFSLNLAQDLDVGSNGLQQYTVSPNPYFHVLTQNNSKGKKYPELVQDRGLDREEQ AELSLTLTALDGGSPPRSGTALVRILIMDINDNAPEFVNSPYEVQVLESSPPDSPVLTVL ARDADAGNFGRVSYGFFQASDEIQQTFSINATSGDMRLKKKLDFEKIKSYHVEIEAIDGG GLSGKGSVTIEVVDVNDNAPELTISSLTSSVPENAPETIISIFRVGDRDSGENGKMVCSI PENLPFILKSTFKNFYTLVTESPLDRESRAEYNITIMVSDMGTPRLTTWHTIKVQVSDIN DNTPAFTQTSYTMFVRENNSPALHIGTISATDSDSGSNAHITYSLLPPHDPELALSSLIS INADNGQLFALRALDYEALQVFEFHVGATDGGSPPLSSQALVRVVVLDDNDNAPFVLYPM QNASAPFTELLPRAAEPGYLVTKVVAVDRDSGQNAWLSFQLLKATEPGLFSVWAHNGEVR TTRLLSERDVPKHRLLLVVKDNGEPQRSASVTLQVLLVDGFSQSYLPLPEVARDPAHEDE DVLTLYLVIALASVSSLFLLSVLLFVGVRLCRRAREVSLGGCSMPGEHFPGHLVDVSGAG TLSQSYQYEVCLRGDSGTGEFKFLKPMIPNAGIEIMESPHCRDSFVFN >ENSMUSP00000060835.3 pep:known chromosome:GRCm38:2:119167773:119172390:1 gene:ENSMUSG00000046814.3 transcript:ENSMUST00000057454.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gchfr description:GTP cyclohydrolase I feedback regulator [Source:MGI Symbol;Acc:MGI:2443977] MPYLLISTQIRMEVGPTMVGDEHSDPELMQHLGASKRSVLGNNFYEYYVNDPPRIVLDKL ECKGFRVLSMTGVGQTLVWCLHKE >ENSMUSP00000076085.4 pep:known chromosome:GRCm38:18:37806410:37841873:1 gene:ENSMUSG00000102918.1 transcript:ENSMUST00000076807.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgc3 description:protocadherin gamma subfamily C, 3 [Source:MGI Symbol;Acc:MGI:1935201] MVAEARSSGLVSPWRTVGVLLLLAALTEASTIIHYEILEERERGFPVGNVVTDLGLDLGS LSARRLRVVSGASRRFFEVNWETGEMFVNDRLDREELCGTLPSCTVTLELVVENPLELFS AEVVVQDINDNNPSFPTGEMKLEISEALAPGTRFPLESAHDPDVGSNSLQTYELSHNEYF ALRVQTREDGTKYAELVLERALDWEREPSVQLVLTALDGGTPARSATLPIRITVLDANDN APAFNQSLYRARVREDAPPGTRVAQVLATDLDEGLNGEIVYSFGSHNRAGVRELFALDLV TGVLTIKGRLDFEDTKLHEIYIQAKDKGANPEGAHCKVLVEVVDVNDNAPEITVTSVYSP VPEDAPLGTVIALLSVTDLDAGENGLVTCEVPPGLPFSLTSSLKNYFTLKTSAALDRETM PEYNLSITARDSGIPSLSALTTVKVQVSDINDNPPQSSQSSYDVYVEENNLPGVPILNLS VWDPDAPPNARLSFFLLEPGAETGLVSRYFTINRDNGVLTTLVPLDYEDQREFQLTAHIN DGGTPVLATNISVNVFVTDRNDNAPQVLYPRPGQSSVEMLPRGTAAGHVVSRVVGWDADA GHNAWLSYSLLGAPNQSLFAVGLHTGQISTARPIQDTDSPRQILTVLISDSGEPLLSTTA TLTVSVTEESPEARAEFPSGSAPREQNKNLTFYLLLSLILVSVGFAVTVLGVIIFKVYKW KRSRDLYRAPVSSLYRTPGPSLHADAVRGGLMPPHLYHQVYLTTDSRRSDPLLKKPGAAS PLASRQNTLRSCDPVFYRQVLGAESAPPGQQAPPNTDWRFSQAQRPGTSGSQNGDETGTW PNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIP GSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000141611.1 pep:known chromosome:GRCm38:18:37806416:37841873:1 gene:ENSMUSG00000102918.1 transcript:ENSMUST00000192103.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcdhgc3 description:protocadherin gamma subfamily C, 3 [Source:MGI Symbol;Acc:MGI:1935201] MVAEARSSGLVSPWRTVGVLLLLAALTEASTIIHYEILEERERGFPVGNVVTDLGLDLGS LSARRLRVVSGASRRFFE >ENSMUSP00000125568.1 pep:known chromosome:GRCm38:6:122317738:122339658:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000161739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIAASRQASSPNSSTAQQQTATTQASMNLATTSAAQLISRSQSV SSPSATTLTQSVLLGNTTSPPLNQSQAQMYLRPQLGNLLQVNRTLGRNVPLASQLILMPN GAVAAVQQEVPPAQSPGVHADADQVQNLAVRNQQASAQGPQMPGSTQKAIPPGASPVSGL SQTSSQALAVAQASSGASGQSLNLSQAGGGSGNSLPGSMGPGGGGQAPGGLGQLPSSGLT GGSCPRKGTGVVQPLPAAQTVTVSQGSQTEAESAAAKKAEADGSGQQSVGMNLTRTATPA PSQTLISSATYTQIQPHSLIQQQQQIHLQQKQVVIQQQIAIHHQQQFQHRQSQLLHTATH LQLAQQQQQQQQQQQQQQQQQQQQQQGTTLTAPQPPQVPPTQQVPPSQSQQQAQTLVVQP MLQSSPLTLPPEPTSKPPIPIQSKPPVAPIKPPQLGAAKMSATQQPPPHIPVQVVGTRQP GSAQAQALGLAQLAAAVPTPRGITGAVQPGQAHLASSPPSSQAAPGALQECPPALAAGMT LAPVQGTAHVVKGGPTASSPVVAQVPAAFYMQSVHLPGKAQTLAVKRKAESEEERDDLSA LASVLPTKASPAAESPKVIEEKNSLGEKAEPVASLNANPPNSDLVALAPTPSAPPPTLAL VSRQMGDSKPPQAIVKPQILTHIIEGFVIQEGAEPFPVGCSQFLKETKKPLQAGLPTGLN ESQPSGPLGGDSPSVELEKKANLLKCEYCGKYAPAEQFRGSKRFCSMTCAKRYNVSCSHQ FRLKRKKMKEFQEASYARVRRRGPRRSSSDIARAKIQGKRHRGQEDSSRGSDNSSYDEAL SPTSPGPLSVRAGHGERDLGNTITTPSTPELQGINPVFLSSNPSQWSVEEVYEFIASLQG CQEIAEEFRSQEIDGQALLLLKEEHLMSAMNIKLGPALKICAKINVLKET >ENSMUSP00000125580.1 pep:known chromosome:GRCm38:6:122317750:122338864:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000160696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIAASRQASSPNSSTAQQQTATTQASMNLATTSAAQLISRSQSV SSPSATTLTQSVLLGNTTSPPLNQSQAQMYLRPQLGNLLQVNRTLGRNVPLASQLILMPN GAVAAVQQEVPPAQSPGVHADADQVQNLAVRNQQASAQGPQMPGSTQKAIPPGASPVSGL SQTSSQALAVAQASSGASGQSLNLSQAGGGSGNSLPGSMGPGGGGQAPGGLGQLPSSGLT GGSCPRKGTGVVQPLPAAQTVTVSQGSQTEAESAAAKKAEADGSGQQSVGMNLTRTATPA PSQTLISSATYTQIQPHSLIQQQQQIHLQQKQVVIQQQIAIHHQQQFQHRQSQLLHTATH LQLAQQQQQQQQQQQQQQQQQQQQQQGTTLTAPQPPQVPPTQQVPPSQSQQQAQTLVVQP MLQSSPLTLPPEPTSKPPIPIQSKPPVAPIKPPQLGAAKMSATQQPPPHIPVQVVGTRQP GSAQAQALGLAQLAAAVPTPRGITGAVQPGQAHLASSPPSSQAAPGALQECPPALAAGMT LAPVQGTAHVVKGGPTASSPVVAQVPAAFYMQSVHLPGKAQTLAVKRKAESEEERDDLSA LASVLPTKASPAAESPKVIEEKNSLGEKAEPVASLNANPPNSDLVALAPTPSAPPPTLAL VSRQMGDSKPPQAIVKPQILTHIIEGFVIQEGAEPFPVGCSQFLKETKKPLQAGLPTGLN ESQPSGPLGGDSPSVELEKKANLLKCEYCGKYAPAEQFRGSKRFCSMTCAKRYNVSCSHQ FRLKRKKMKEFQEASYARVRRRGPRRSSSDIARAKIQGKRHRGQEDSSRGSDNSSYDEAL SPTSPGPLSVRAGHGERDLGNTITTPSTPELQGINPVFLSSNPSQWSVEEVYEFIASLQG CQEIAEEFRSQEIDGQALLLLKEEHLMSAMNIKLGPALKICAKINVLKET >ENSMUSP00000124678.1 pep:known chromosome:GRCm38:6:122317750:122339656:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000159252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQATIAASRQASSPNSSTAQQQTA TTQASMNLATTSAAQLISRSQSVSSPSATTLTQSVLLGNTTSPPLNQSQAQMYLRVNRTL GRNVPLASQLILMPNGAVAAVQQEVPPAQSPGVHADADQVQNLAVRNQQASAQGPQMPGS TQKAIPPGASPVSGLSQTSSQALAVAQASSGASGQSLNLSQAGGGSGNSLPGSMGPGGGG QAPGGLGQLPSSGLTGGSCPRKGTGVVQPLPAAQTVTVSQGSQTEAESAAAKKAEADGSG QQSVGMNLTRTATPAPSQTLISSATYTQIQPHSLIQQQQQIHLQQKQVVIQQQIAIHHQQ QFQHRQSQLLHTATHLQLAQQQQQQQQQQQQQQQQQQQQQQGTTLTAPQPPQVPPTQQVP PSQSQQQAQTLVVQPMLQSSPLTLPPEPTSKPPIPIQSKPPVAPIKPPQLGAAKMSATQQ PPPHIPVQVVGTRQPGSAQAQALGLAQLAAAVPTPRGITGAVQPGQAHLASSPPSSQAAP GALQECPPALAAGMTLAPVQGTAHVVKGGPTASSPVVAQVPAAFYMQSVHLPGKAQTLAV KRKAESEEERDDLSALASVLPTKASPAAESPKVIEEKNSLGEKAEPVASLNANPPNSDLV ALAPTPSAPPPTLALVSRQMGDSKPPQAIVKPQILTHIIEGFVIQEGAEPFPVGCSQFLK ETKKPLQAGLPTGLNESQPSGPLGGDSPSVELEKKANLLKCEYCGKYAPAEQFRGSKRFC SMTCAKRYNVSCSHQFRLKRKKMKEFQEASYARVRRRGPRRSSSDIARAKIQGKRHRGQE DSSRGSDNSSYDEALSPTSPGPLSVRAGHGERDLGNTITTPSTPELQGINPVFLSSNPSQ WSVEEVYEFIASLQGCQEIAEEFRSQEIDGQALLLLKEEHLMSAMNIKLGPALKICAKIN VLKET >ENSMUSP00000123911.1 pep:known chromosome:GRCm38:6:122317850:122339645:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000161054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIAASRQASSPNSSTAQQQTATTQASMNLATTSAAQLISRSQSV SSPSATTLTQSVLLGNTTSPPLNQSQAQMYLRVQNLAVRNQQASAQGPQMPGSTQKAIPP GASPVSGLSQTSSQALAVAQASSGASGQSLNLSQAGGGSGNSLPGSMGPGGGGQAPGGLG QLPSSGLTGGSCPRKGTGVVQPLPAAQTVTVSQGSQTEAESAAAKKAEADGSGQQSVGMN LTRTATPAPSQTLISSATYTQIQPHSLIQQQQQIHLQQKQVVIQQQIAIHHQQQFQHRQS QLLHTATHLQLAQQQQQQQQQQQQQQQQQQQQQQGTTLTAPQPPQVPPTQQVPPSQSQQQ AQTLVVQPMLQSSPLTLPPEPTSKPPIPIQSKPPVAPIKPPQLGAAKMSATQQPPPHIPV QVVGTRQPGSAQAQALGLAQLAAAVPTPRGITGAVQPGQAHLASSPPSSQAAPGALQECP PALAAGMTLAPVQGTAHVVKGGPTASSPVVAQVPAAFYMQSVHLPGKAQTLAVKRKAESE EERDDLSALASVLPTKASPAAESPKVIEEKNSLGEKAEPVASLNANPPNSDLVALAPTPS APPPTLALVSRQMGDSKPPQAIVKPQILTHIIEGFVIQEGAEPFPVGCSQFLKETKKPLQ AGLPTGLNESQPSGPLGGDSPSVELEKKANLLKCEYCGKYAPAEQFRGSKRFCSMTCAKR YNVSCSHQFRLKRKKMKEFQEASYARVRRRGPRRSSSDIARAKIQGKRHRGQEDSSRGSD NSSYDEALSPTSPGPLSVRAGHGERDLGNTITTPSTPELQGINPVFLSSNPSQWSVEEVY EFIASLQGCQEIAEEFRSQEIDGQALLLLKEEHLMSAMNIKLGPALKICAKINVLKET >ENSMUSP00000125545.1 pep:known chromosome:GRCm38:6:122318303:122339612:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000160163.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQVRGHDCCQSTG >ENSMUSP00000125110.1 pep:known chromosome:GRCm38:6:122325555:122336919:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000161290.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] XGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQQLSNAQLHSLAAVQQVR GHDCCQSTG >ENSMUSP00000125030.1 pep:known chromosome:GRCm38:6:122325947:122340506:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000160843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIAASRQASSPNSSTAQQQTATTQASMNLATTSAAQLISRSQSV SSPSATTLTQSVLLGNTTSPPLNQSQAQMYLRPQLGNLLQVNRTLGRNVPLASQLILMPN GAVAAVQQEVPPAQSPGVHADADQV >ENSMUSP00000124744.1 pep:known chromosome:GRCm38:6:122333395:122340224:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000159384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIAASRQASSPNSSTAQQQTATTQASMNLATTSAAQLISRS >ENSMUSP00000125717.1 pep:known chromosome:GRCm38:6:122334134:122339708:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000161149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIAA >ENSMUSP00000123957.1 pep:known chromosome:GRCm38:6:122334135:122337296:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000159657.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIAA >ENSMUSP00000124795.1 pep:known chromosome:GRCm38:6:122334139:122339627:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000161210.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIA >ENSMUSP00000080532.3 pep:known chromosome:GRCm38:6:122317731:122337015:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000081849.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIAASRQASSPNSSTAQQQTATTQASMNLATTSAAQLISRSQSV SSPSATTLTQSVLLGNTTSPPLNQSQAQMYLRVQNLAVRNQQASAQGPQMPGSTQKAIPP GASPVSGLSQTSSQALAVAQASSGASGQSLNLSQAGGGSGNSLPGSMGPGGGGQAPGGLG QLPSSGLTGGSCPRKGTGVVQPLPAAQTVTVSQGSQTEAESAAAKKAEADGSGQQSVGMN LTRTATPAPSQTLISSATYTQIQPHSLIQQQQQIHLQQKQVVIQQQIAIHHQQQFQHRQS QLLHTATHLQLAQQQQQQQQQQQQQQQQQQQQQQGTTLTAPQPPQVPPTQQVPPSQSQQQ AQTLVVQPMLQSSPLTLPPEPTSKPPIPIQSKPPVAPIKPPQLGAAKMSATQQPPPHIPV QVVGTRQPGSAQAQALGLAQLAAAVPTPRGITGAVQPGQAHLASSPPSSQAAPGALQECP PALAAGMTLAPVQGTAHVVKGGPTASSPVVAQVPAAFYMQSVHLPGKAQTLAVKRKAESE EERDDLSALASVLPTKASPAAESPKVIEEKNSLGEKAEPVASLNANPPNSDLVALAPTPS APPPTLALVSRQMGDSKPPQAIVKPQILTHIIEGFVIQEGAEPFPVGCSQFLKETKKPLQ AGLPTGLNESQPSGPLGGDSPSVELEKKANLLKCEYCGKYAPAEQFRGSKRFCSMTCAKR YNVSCSHQFRLKRKKMKEFQEASYARVRRRGPRRSSSDIARAKIQGKRHRGQEDSSRGSD NSSYDEALSPTSPGPLSVRAGHGERDLGNTITTPSTPELQGINPVFLSSNPSQWSVEEVY EFIASLQGCQEIAEEFRSQEIDGQALLLLKEEHLMSAMNIKLGPALKICAKINVLKET >ENSMUSP00000078514.3 pep:known chromosome:GRCm38:6:122317731:122337015:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000079560.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIAASRQASSPNSSTAQQQTATTQASMNLATTSAAQLISRSQSV SSPSATTLTQSVLLGNTTSPPLNQSQAQMYLRPQLGNLLQVNRTLGRNVPLASQLILMPN GAVAAVQQEVPPAQSPGVHADADQVQNLAVRNQQASAQGPQMPGSTQKAIPPGASPVSGL SQTSSQALAVAQASSGASGQSLNLSQAGGGSGNSLPGSMGPGGGGQAPGGLGQLPSSGLT GGSCPRKGTGVVQPLPAAQTVTVSQGSQTEAESAAAKKAEADGSGQQSVGMNLTRTATPA PSQTLISSATYTQIQPHSLIQQQQQIHLQQKQVVIQQQIAIHHQQQFQHRQSQLLHTATH LQLAQQQQQQQQQQQQQQQQQQQQQQGTTLTAPQPPQVPPTQQVPPSQSQQQAQTLVVQP MLQSSPLTLPPEPTSKPPIPIQSKPPVAPIKPPQLGAAKMSATQQPPPHIPVQVVGTRQP GSAQAQALGLAQLAAAVPTPRGITGAVQPGQAHLASSPPSSQAAPGALQECPPALAAGMT LAPVQGTAHVVKGGPTASSPVVAQVPAAFYMQSVHLPGKAQTLAVKRKAESEEERDDLSA LASVLPTKASPAAESPKVIEEKNSLGEKAEPVASLNANPPNSDLVALAPTPSAPPPTLAL VSRQMGDSKPPQAIVKPQILTHIIEGFVIQEGAEPFPVGCSQFLKETKKPLQAGLPTGLN ESQPSGPLGGDSPSVELEKKANLLKCEYCGKYAPAEQFRGSKRFCSMTCAKRYNVSCSHQ FRLKRKKMKEFQEASYARVRRRGPRRSSSDIARAKIQGKRHRGQEDSSRGSDNSSYDEAL SPTSPGPLSVRAGHGERDLGNTITTPSTPELQGINPVFLSSNPSQWSVEEVYEFIASLQG CQEIAEEFRSQEIDGQALLLLKEEHLMSAMNIKLGPALKICAKINVLKET >ENSMUSP00000108219.2 pep:known chromosome:GRCm38:6:122318304:122340561:-1 gene:ENSMUSG00000040669.14 transcript:ENSMUST00000112600.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc1 description:polyhomeotic-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:103248] METESEQNSSSTNGSSSSGASSRPQIAQMSLYERQAVQALQALQRQPNAAQYFHQFMLQQ QLSNAQLHSLAAVQQATIAASRQASSPNSSTAQQQTATTQASMNLATTSAAQLISRSQSV SSPSATTLTQSVLLGNTTSPPLNQSQAQMYLRVQNLAVRNQQASAQGPQMPGSTQKAIPP GASPVSGLSQTSSQALAVAQASSGASGQSLNLSQAGGGSGNSLPGSMGPGGGGQAPGGLG QLPSSGLTGGSCPRKGTGVVQPLPAAQTVTVSQGSQTEAESAAAKKAEADGSGQQSVGMN LTRTATPAPSQTLISSATYTQIQPHSLIQQQQQIHLQQKQVVIQQQIAIHHQQQFQHRQS QLLHTATHLQLAQQQQQQQQQQQQQQQQQQQQQQGTTLTAPQPPQVPPTQQVPPSQSQQQ AQTLVVQPMLQSSPLTLPPEPTSKPPIPIQSKPPVAPIKPPQLGAAKMSATQQPPPHIPV QVVGTRQPGSAQAQALGLAQLAAAVPTPRGITGAVQPGQAHLASSPPSSQAAPGALQECP PALAAGMTLAPVQGTAHVVKGGPTASSPVVAQVPAAFYMQSVHLPGKAQTLAVKRKAESE EERDDLSALASVLPTKASPAAESPKVIEEKNSLGEKAEPVASLNANPPNSDLVALAPTPS APPPTLALVSRQMGDSKPPQAIVKPQILTHIIEGFVIQEGAEPFPVGCSQFLKETKKPLQ AGLPTGLNESQPSGPLGGDSPSVELEKKANLLKCEYCGKYAPAEQFRGSKRFCSMTCAKR YNVSCSHQFRLKRKKMKEFQEASYARVRRRGPRRSSSDIARAKIQGKRHRGQEDSSRGSD NSSYDEALSPTSPGPLSVRAGHGERDLGNTITTPSTPELQGINPVFLSSNPSQWSVEEVY EFIASLQGCQEIAEEFRSQEIDGQALLLLKEEHLMSAMNIKLGPALKICAKINVLKET >ENSMUSP00000103133.1 pep:known chromosome:GRCm38:11:98551150:98558656:1 gene:ENSMUSG00000017195.15 transcript:ENSMUST00000107509.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpbp2 description:zona pellucida binding protein 2 [Source:MGI Symbol;Acc:MGI:1916626] MDIDRANKETVDPTYLWTGPNENTLKGNSQINITNTGELVLKDFLEPLSGLYSCMLSYKT IKAETQEETMIKKKYDFLVFAYREPDYSYHMAVRFTTGSCVGRHNDLLFRVLKKILDNLI SDLLCHVIEPSYKCHFVKLPERDFLYELFIAFQVNPFAPGWRSLCNRSADCEDITNHNVL KARDRMEEFFRKQAHILHHHFNRTVPAMHFVDHSFQVTRIDNCRPGFGKNEGLHSNCATC CVVCSPGTFSPDVDVTCQICVSVHIYGAKACP >ENSMUSP00000017339.5 pep:known chromosome:GRCm38:11:98551152:98558656:1 gene:ENSMUSG00000017195.15 transcript:ENSMUST00000017339.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpbp2 description:zona pellucida binding protein 2 [Source:MGI Symbol;Acc:MGI:1916626] MLAWALLYAVLWSLAGVGSQRSSLFNIKGFVYGTVGHPVKIYVKLHQASPVLICMDIDRA NKETVDPTYLWTGPNENTLKGNSQINITNTGELVLKDFLEPLSGLYSCMLSYKTIKAETQ EETMIKKKYDFLVFAYREPDYSYHMAVRFTTGSCVGRHNDLLFRVLKKILDNLISDLLCH VIEPSYKCHFVKLPERDFLYELFIAFQVNPFAPGWRSLCNRSADCEDITNHNVLKARDRM EEFFRKQAHILHHHFNRTVPAMHFVDHSFQVTRIDNCRPGFGKNEGLHSNCATCCVVCSP GTFSPDVDVTCQICVSVHIYGAKACP >ENSMUSP00000103137.2 pep:known chromosome:GRCm38:11:98551178:98558533:1 gene:ENSMUSG00000017195.15 transcript:ENSMUST00000107513.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpbp2 description:zona pellucida binding protein 2 [Source:MGI Symbol;Acc:MGI:1916626] MLAWALLYAVLWSLAGVKIYVKLHQASPVLICMDIDRANKETVDPTYLWTGPNENTLKGN SQINITNTGELVLKDFLEPLSGLYSCMLSYKTIKAETQEETMIKKKYDFLVFAYREPDYS YHMAVRFTTGSCVGRHNDLLFRVLKKILDNLISDLLCHVIEPSYKCHFVKLPERDFLYEL FIAFQVNPFAPGWRSLCNRSADCEDITNHNVLKARDRMEEFFRKQAHILHHHFNRTVPAM HFVDHSFQVTRIDNCRPGFGKNEGLHSNCATCCVVCSPGTFSPDVDVTCQICVSVHIYGA KACP >ENSMUSP00000103135.1 pep:known chromosome:GRCm38:11:98551130:98558665:1 gene:ENSMUSG00000017195.15 transcript:ENSMUST00000107511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpbp2 description:zona pellucida binding protein 2 [Source:MGI Symbol;Acc:MGI:1916626] MDIDRANKETVDPTYLWTGPNENTLKGNSQINITNTGELVLKDFLEPLSGLYSCMLSYKT IKAETQEETMIKKKYDFLVFAYREPDYSYHMAVRFTTGSCVGRHNDLLFRVLKKILDNLI SDLLCHVIEPSYKCHFVKLPERDFLYELFIAFQVNPFAPGWRSLCNRSADCEDITNHNVL KARDRMEEFFRKQAHILHHHFNRTVPAMHFVDHSFQVTRIDNCRPGFGKNEGLHSNCATC CVVCSPGTFSPDVDVTCQICVSVHIYGAKACP >ENSMUSP00000079822.6 pep:known chromosome:GRCm38:11:98551097:98558665:1 gene:ENSMUSG00000017195.15 transcript:ENSMUST00000081033.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpbp2 description:zona pellucida binding protein 2 [Source:MGI Symbol;Acc:MGI:1916626] MLAWALLYAVLWSLAGVGSQRSSLFNIKGFVYGTVGHPVKIYVKLHQASPVLICMDIDRA NKETVDPTYLWTGPNENTLKGNSQINITNTGELVLKDFLEPLSGLYSCMLSYKTIKAETQ EETMIKKKYDFLVFVNPFAPGWRSLCNRSADCEDITNHNVLKARDRMEEFFRKQAHILHH HFNRTVPAMHFVDHSFQVTRIDNCRPGFGKNEGLHSNCATCCVVCSPGTFSPDVDVTCQI CVSVHIYGAKACP >ENSMUSP00000120675.1 pep:known chromosome:GRCm38:10:80392539:80395170:-1 gene:ENSMUSG00000035478.14 transcript:ENSMUST00000142997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd3 description:methyl-CpG binding domain protein 3 [Source:MGI Symbol;Acc:MGI:1333812] XRQTASIFKQPVTKITNHPSNKVKSDPQKAVDQPRQLFWEKKLSGLSAFDIAEELVRTMD LPKGLQGVGPGCTDETLLSAIASALHTSTLPITGQLSAAVEKNPGVWLNTAQPLCKAFMV TDDDIRKQEELVQQVRKRLEEALMADMLAHVEELARDGEAPLDKACAEEEEEEEEEEEEP EPERV >ENSMUSP00000089948.3 pep:known chromosome:GRCm38:10:80392539:80399550:-1 gene:ENSMUSG00000035478.14 transcript:ENSMUST00000092295.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd3 description:methyl-CpG binding domain protein 3 [Source:MGI Symbol;Acc:MGI:1333812] MERKRWECPALPQGWEREEVPRRSGLSAGHRDVFYYSPSGKKFRSKPQLARYLGGSMDLS TFDFRTGKMLMNKMNKSRQRVRYDSSNQVKGKPDLNTALPVRQTASIFKQPVTKITNHPS NKVKSDPQKAVDQPRQLFWEKKLSGLSAFDIAEELVRTMDLPKGLQGVGPGCTDETLLSA IASALHTSTLPITGQLSAAVEKNPGVWLNTAQPLCKAFMVTDDDIRKQEELVQQVRKRLE EALMADMLAHVEELARDGEAPLDKACAEEEEEEEEEEEEPEPERV >ENSMUSP00000100986.1 pep:known chromosome:GRCm38:10:80392539:80399550:-1 gene:ENSMUSG00000035478.14 transcript:ENSMUST00000105349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd3 description:methyl-CpG binding domain protein 3 [Source:MGI Symbol;Acc:MGI:1333812] MERKSPSGKKFRSKPQLARYLGGSMDLSTFDFRTGKMLMNKMNKSRQRVRYDSSNQVKGK PDLNTALPVRQTASIFKQPVTKITNHPSNKVKSDPQKAVDQPRQLFWEKKLSGLSAFDIA EELVRTMDLPKGLQGVGPGCTDETLLSAIASALHTSTLPITGQLSAAVEKNPGVWLNTAQ PLCKAFMVTDDDIRKQEELVQQVRKRLEEALMADMLAHVEELARDGEAPLDKACAEEEEE EEEEEEEPEPERV >ENSMUSP00000100985.1 pep:known chromosome:GRCm38:10:80392839:80399422:-1 gene:ENSMUSG00000035478.14 transcript:ENSMUST00000105348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd3 description:methyl-CpG binding domain protein 3 [Source:MGI Symbol;Acc:MGI:1333812] MERKSPSGKKFRSKPQLARYLGGSMDLSTFDFRTGKMLMNKMNKSRQRVRYDSSNQVKAL AKHLPGPSNPPWTPVGAARCRVFSPQGKPDLNTALPVRQTASIFKQPVTKITNHPSNKVK SDPQKAVDQPRQLFWEKKLSGLSAFDIAEELVRTMDLPKGLQGVGPGCTDETLLSAIASA LHTSTLPITGQLSAAVEKNPGVWLNTAQPLCKAFMVTDDDIRKQEELVQQVRKRLEEALM ADMLAHVEELARDGEAPLDKACAEEEEEEEEEEEEPEPERV >ENSMUSP00000100984.1 pep:known chromosome:GRCm38:10:80392982:80395719:-1 gene:ENSMUSG00000035478.14 transcript:ENSMUST00000105347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd3 description:methyl-CpG binding domain protein 3 [Source:MGI Symbol;Acc:MGI:1333812] MGPARADQPPVRSPSGKKFRSKPQLARYLGGSMDLSTFDFRTGKMLMNKMNKSRQRVRYD SSNQVKGKPDLNTALPVRQTASIFKQPVTKITNHPSNKVKSDPQKAVDQPRQLFWEKKLS GLSAFDIAEELVRTMDLPKGLQGVGPGCTDETLLSAIASALHTSTLPITGQLSAAVEKNP GVWLNTAQPLCKAFMVTDDDIRKQEELVQQVRKRLEEALMADMLAHVEELARDGEAPLDK ACAEEEEEEEEEEEEPEPERV >ENSMUSP00000071881.5 pep:known chromosome:GRCm38:9:78367052:78368177:-1 gene:ENSMUSG00000060461.5 transcript:ENSMUST00000071991.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dppa5a description:developmental pluripotency associated 5A [Source:MGI Symbol;Acc:MGI:101800] MMVTLVTRKDIPPWVKVPEDLKDPEVFQVQSLVLKYLFGPQGSRMSHIEQVSQAMFELKN LESPEELIEVFIYGSQNNKIRAKWMLQSMAERYHLRQQKGVLKLEESMKTLELGQCIE >ENSMUSP00000106559.2 pep:known chromosome:GRCm38:5:138187485:138193918:1 gene:ENSMUSG00000036968.15 transcript:ENSMUST00000110934.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnpy4 description:canopy FGF signaling regulator 4 [Source:MGI Symbol;Acc:MGI:1913705] MCGLRFIMGPVRLEILLFILAAYGAWAEATKEEEDDTERLPSKCEVCKLLSMELQEALSR TGRSREVLELGQVLDTGKRKRHVPYSLSETRLEEALENLCERILDYNVHAERKGSLRYAK GQSQTMATLKGLVQKGVKVDLGIPLELWDEPSVEVTFLKKQCETMLEEFEDVVGDWYFHH QEQPLQHFLCERHVLPASETACLREAWTGKEKISDGQEEADDEEEEEEEEITKTSGNPKH DPEDL >ENSMUSP00000106557.1 pep:known chromosome:GRCm38:5:138189917:138192597:1 gene:ENSMUSG00000036968.15 transcript:ENSMUST00000110932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnpy4 description:canopy FGF signaling regulator 4 [Source:MGI Symbol;Acc:MGI:1913705] MFMCLGSMSRRWVACLVGCLSSSFPSSISLLPVCKLLSMELQEALSRTGRSREVLELGQV LDTGKRKRHVPYSLSETRLEEALENLCERILDYNVHAERKGSLRYAKGQSQTMATLKGLV QKGVKVDLGIPLELWDEPSVEVTFLKKQCETMLEEFEDVV >ENSMUSP00000055072.4 pep:known chromosome:GRCm38:18:37484795:37489454:1 gene:ENSMUSG00000046387.5 transcript:ENSMUST00000053856.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhb17 description:protocadherin beta 17 [Source:MGI Symbol;Acc:MGI:2136754] METAWMCNLRQRQVLAFFVLLHVSGAGAELGPYSIEEETERGSFVANLGKDLGVDLAEIS NRRARIISQENKEHLQLNLQSGDLLINEKLDREELCGPIEPCVLHFQVLMENPLEVFQAE LRVMDINDYSPVFSEREMILRILENSALGDTFPLNNALDSDVAINNIQTYRLSSNSHFLV VTRNRSDGRKYPELVLEKELDREEEPELRLTLTALDGGAPPRSGTAQVLIEVVDINDNAP KFQQPTYRVQIPENSPTGSLVLTVSANDLDSGDYGKVLYALSQPSEDISKTLEVNPVTGE IRLRKEVDFETIPSYEVDIKATDGGGLSGKCTLLLKVVDVNDNAPEVMLSALTSPVPENS PDEVVAVFSVKDPDSANNGKMIASIEEDLPFLLKSSGKNFYTLVTKRALDREEREKYNIT ITVSDLGTPRLTTQHTITVQVSDTNDNAPAFNQTSYTLFVRENNSPAMHIGTISATDSDA GSNSHISYSLLPSHDPQLALDSLISINADNGQLFALRALDYEALQAFEFHVGAIDQGSPA LSSQALVRVVVLDDNDNAPFVLYPMQNSSAPCTELLPRAAEPGYLVTKVVAVDRDSGQNA WLSFQLLKATEPGLFSVWAHNGEVRTTRLLSERDVPKHRLVLLVKDNGDPPRSASVTLHV LVVDGFSQPYLPLPEVARNPAHDEDALTLYLVIALASVSSLFLLSVLLFVGVRLCRRARS ASLSGYSVPEGHIPGHLVDVRGVGTLSQSYQYDVCLMGDSSGTSEFNFLKPVFPSSLDQC SGKEIEENSSLHNSFGFHH >ENSMUSP00000126901.2 pep:known chromosome:GRCm38:18:78043614:78057395:-1 gene:ENSMUSG00000091055.2 transcript:ENSMUST00000170760.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglec15 description:sialic acid binding Ig-like lectin 15 [Source:MGI Symbol;Acc:MGI:3646642] MEGSLQLLACLACVLQMGSLVKTRRDASGDLLNTEAHSAPAQRWSMQVPAEVNAEAGDAA VLPCTFTHPHRHYDGPLTAIWRSGEPYAGPQVFRCTAAPGSELCQTALSLHGRFRLLGNP RRNDLSLRVERLALADSGRYFCRVEFTGDAHDRYESRHGVRLRVTAAAPRIVNISVLPGP AHAFRALCTAEGEPPPALAWSGPAPGNSSAALQGQGHGYQVTAELPALTRDGRYTCTAAN SLGRAEASVYLFRFHGAPGTSTLALLLGALGLKALLLLGILGARATRRRLDHLVPQDTPP RSQAQESNYENLSQMSPPGHQLPRVCCEELLSHHHLVIHHEK >ENSMUSP00000141893.1 pep:known chromosome:GRCm38:18:37694380:37841873:1 gene:ENSMUSG00000103567.1 transcript:ENSMUST00000193414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga5 description:protocadherin gamma subfamily A, 5 [Source:MGI Symbol;Acc:MGI:1935217] MADPPRRWICNDLLTFFMLLGTLCAPGKGQIRYSVREELDKGSFVGNISKDLGLEPRELA ERGVRIISRGRSQLFSLNPRGGSLVTAGRIDREELCVQSSPCLVSFNILVENKMKIYGVE VEILDINDNFPRFRDEELKVKVNENAAAGTRLVLPFARDGDVGVNSLQGYKLSSNRHFSL DVKSGSDGQKHPELVLEQPLDREKESAHDLVLTALDGGNPVLSSTVHISVTVLDANDNAP LFTQSEYRVSVPENTPVGTRLLTLTATDADEGINAKLTFSFRNEEDKISETFQLDSNLGE ISTIQSLDYEESRFYLMEVVAQDGGALLASAKVLVTVQDVNDNAPEVILTSLTSSVSEDC LPGTIIALFSVHDADSGENGEISCSIPKNLPFKLEKSVDNYYHLLTTRALDREETSDYNI TVTVVDRGTPPLSTENHISLKVADINDNPPTFSRPFYSTSISENNPRGVSIFSVYAYDAD SGDNAQVTYSLAENTFQEVPVSSYVSINSDTGVLYALQSFDYEHLRDLQLLVTAKDSGTP PLSSNVSMSLFVLDQNDNIPEILYPTIPTDGSTGVELAPRSAEPGYLVTKVVAVDKDSGQ NAWLSYRLLKASEPGLFSIGLHTGEVCTARALLDRDVLKQSLVVAVQDHGQPPLSATVTL TIAVGDSIPDVLTDLGNHNTLAEPQDSDLTLYLVVSVAVVSCVFLGFVTVLLALRLRHWH MSRLLQTSTGRLADMPASHFVGMDGVQAYLQTYSHEISLTTDSKKSHLIFPQPNYADRLI SEESCEKSEPLLIPHNIHTHKEEPGDAQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPN NQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGS NATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000111325.3 pep:known chromosome:GRCm38:18:36952741:37840200:1 gene:ENSMUSG00000103458.1 transcript:ENSMUST00000115661.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm42416 description:predicted gene, 42416 [Source:MGI Symbol;Acc:MGI:5649001] MEFSWGSGQESQRLLLSFLLLAIWEAGNSQIHYSIPEEAKHGTFVGRIAQDLGLELTELV PRLFRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVE VEVRDINDNPPRFPTTQKNLFIAESRPLDTWFPLEGASDADIGINAVLTYRLSPNDYFSL EKPSNDERVKGLGLVLRKSLDREETPEIILVLTVTDGGKPELTGSVQLLITVLDANDNAP VFDRSLYTVKLPENVPNGTLVVKVNASDLDEGVNGDIMYSFSTDISPNVKYKFHIDPVSG EIIVKGYIDFEECKSYEILIEGIDKGQLPLSGHCKVIVQVEDINDNVPELEFKSLSLPIR ENSPVGTVIALISVSDRDTGVNGQVTCSLTSHVPFKLVSTFKNYYSLVLDSALDRETTAD YKVVVTARDGGSPSLWATASVSVEVADVNDNAPVFAQPEYTVFVKENNPPGAHIFTVSAM DADAQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLRFQVSARDA GVPALGSNVTLQVFVLDENDNAPTLLEPEAGVSGGIVSRLVSRSVGAGHVVAKVRAVDAD SGYNAWLSYELQSSEGNSRSLFRVGLYTGEISTTRILDEADSPRQRLLVLVKDHGDPAMI VTATVLVSLVENGPVPKAPSRVSTSVTHSEASLVDVNVYLIIAICAVSSLLVLTLLLYTA LRCSTVPSESVCGPPKPVMVCSSAVGSWSYSQQRRQRVCSGEYPPKTDLMAFSPSLSDSR DREDQLQSAEDSSGKQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQFDTEMLQAMIL ASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDG KAPAGGNGNKKKSGKKEKK >ENSMUSP00000034900.7 pep:known chromosome:GRCm38:9:78376109:78378725:-1 gene:ENSMUSG00000032346.7 transcript:ENSMUST00000034900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ooep description:oocyte expressed protein [Source:MGI Symbol;Acc:MGI:1915218] MASHTADADAKPDSDSQKLLNVLPVSLRLRTRPWWFPIQEVSNPLVLYMEAWVAERVIGT DQAEISEIEWMCQALLTVDSVNSGNLAEITIFGQPSAQTRMKNILLNMAAWHKENELQRA VKVKEVEEFLKIRASSILSKLSKKGLKLAGFPLPLEGRETQMES >ENSMUSP00000107779.1 pep:known chromosome:GRCm38:6:128357000:128362812:-1 gene:ENSMUSG00000048668.15 transcript:ENSMUST00000112152.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhno1 description:RAD9-HUS1-RAD1 interacting nuclear orphan 1 [Source:MGI Symbol;Acc:MGI:1915315] MPPKKRRRQSQKAQLLFHQQPLEGPKHHYESCQQPITHTVQVPSKPIDQSTVTSWVSPQF DRAAESRFLIHRKPHRDQARRPTRRSTCKFPRLTFESPQSSSSETLLLSNRVQPQNSEKD PPRRPLVPLFSPQSCGELSVHVPHSLPHVFAPPDIQTPDSSVRDDPISPDQKENSFPSCI LGPGTPSSPEPGPVLVKDTPEEKYGIKVTWRRRRHLFAYLKEKGKLDGSQFLVKI >ENSMUSP00000054573.8 pep:known chromosome:GRCm38:6:128357360:128362868:-1 gene:ENSMUSG00000048668.15 transcript:ENSMUST00000057421.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhno1 description:RAD9-HUS1-RAD1 interacting nuclear orphan 1 [Source:MGI Symbol;Acc:MGI:1915315] MPPKKRRRQSQKAQLLFHQQPLEGPKHHYESCQQPITHTVQVPSKPIDQSTVTSWVSPQF DRAAESRFLIHRKPHRDQARRPTRRSTCKFPRLTFESPQSSSSETLLLSNRVQPQNSEKD PPRRPLVPLFSPQSCGELSVHVPHSLPHVFAPPDIQTPDSSVRDDPISPDQKENSFPSCI LGPGTPSSPEPGPVLVKDTPEEKYGIKVTWRRRRHLFAYLKEKGKLDGSQFLVKI >ENSMUSP00000107778.1 pep:known chromosome:GRCm38:6:128357365:128362868:-1 gene:ENSMUSG00000048668.15 transcript:ENSMUST00000112151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhno1 description:RAD9-HUS1-RAD1 interacting nuclear orphan 1 [Source:MGI Symbol;Acc:MGI:1915315] MPPKKRRRQSQKAQLLFHQQPLEGPKHHYESCQQPITHTVQVPSKPIDQSTVTSWVSPQF DRAAESRFLIHRKPHRDQARRPTRRSTCKFPRLTFESPQSSSSETLLLSNRVQPQNSEKD PPRRPLVPLFSPQSCGELSVHVPHSLPHVFAPPDIQTPDSSVRDDPISPDQKENSFPSCI LGPGTPSSPEPGPVLVKDTPEEKYGIKVTWRRRRHLFAYLKEKGKLDGSQFLVKI >ENSMUSP00000114836.2 pep:known chromosome:GRCm38:6:128357971:128362579:-1 gene:ENSMUSG00000048668.15 transcript:ENSMUST00000155573.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhno1 description:RAD9-HUS1-RAD1 interacting nuclear orphan 1 [Source:MGI Symbol;Acc:MGI:1915315] MPPKKRRRQSQKAQLLFHQQPLEGPKHHYESCQQPITHTVQVPSKPIDQSTVTSWVSPQF DRAAESRFLIHRKPHRDQARRPTRRSTCKFPRLTFESPQSSSSETLLLSNRVQPQNSEKD PPRRPLVPL >ENSMUSP00000145447.1 pep:known chromosome:GRCm38:6:128359232:128362695:-1 gene:ENSMUSG00000048668.15 transcript:ENSMUST00000203719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhno1 description:RAD9-HUS1-RAD1 interacting nuclear orphan 1 [Source:MGI Symbol;Acc:MGI:1915315] MPPKKRRRQSQKA >ENSMUSP00000073150.5 pep:known chromosome:GRCm38:18:37674307:37841873:1 gene:ENSMUSG00000104346.1 transcript:ENSMUST00000073447.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga3 description:protocadherin gamma subfamily A, 3 [Source:MGI Symbol;Acc:MGI:1935215] MIRLGQGTAGALLCALLGTLCAAGFRQIRYSVPEELDKGSFVGNISKDLGLEPRELAERG VRIISRGKSQLFSLDPRSGSLVTRGRIDREELCAQSAPCLVSFNILVEDKLKIFEVEVDI SDINDNAPSFPTEELEIKIGELTVPGTRFPLKTAFDPDVGMNALQNYKLNSNDYFSLAVN SVIDDAKYPELVLERALDREKKAVHQLDLIASDGGDPVHTSKLCIQVIVSDANDNPPVFT KPEYHVSVLENVPVGTRLITVNATDPDEGFNAQVSYILDKMPGKIAQVFHLNSVTGDISI LKSLDYEDAVFYEIKIEAQDGPGLFSRAKVLVTVLDVNDNAPEVSVTSLTGSVPEEAPAG REIALINVHDRDSGQNGQVTVFVLGNLPFTLEKSIDQYYRLVTARSLDHEEVSQYNISLR ATDGGSPPLSTETHITLHVSDINDNPPTFTHASYSAYIPENNARGASIFSVTAQDPDTDK NAQVTYSLAEDTLQGLPLSSYISINSNTGVLYALCSFDYEQFRDLQLQITARDSGTPPLS SNTTLNLFVLDQNDNTPEILYPTLPVDGSTGVELAPRSADPGYLVTKVVAVDKDSGQNAW LSYRLLKASEPGLFSVGLHTGEVRTARALLDRDALKQSLVVAVQDHGQPPLSATVTLTIA VADSIPDILGDLESIHTPANPQNSDLTLYLVVAVAVVSCIFLAFVIVLLALRLRRWYSSR LQASGNGLAGIPASHFVGLDGVQAFLQTYSQEVSLTAGSRKSHLIFPQPNYADTLISQES CGKSEPLIIPQDLLETKEDPTLPQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQFD TEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATL TNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000027559.6 pep:known chromosome:GRCm38:1:105780718:105847981:1 gene:ENSMUSG00000026321.7 transcript:ENSMUST00000027559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf11a description:tumor necrosis factor receptor superfamily, member 11a, NFKB activator [Source:MGI Symbol;Acc:MGI:1314891] MAPRARRRRQLPAPLLALCVLLVPLQVTLQVTPPCTQERHYEHLGRCCSRCEPGKYLSSK CTPTSDSVCLPCGPDEYLDTWNEEDKCLLHKVCDAGKALVAVDPGNHTAPRRCACTAGYH WNSDCECCRRNTECAPGFGAQHPLQLNKDTVCTPCLLGFFSDVFSSTDKCKPWTNCTLLG KLEAHQGTTESDVVCSSSMTLRRPPKEAQAYLPSLIVLLLFISVVVVAAIIFGVYYRKGG KALTANLWNWVNDACSSLSGNKESSGDRCAGSHSATSSQQEVCEGILLMTREEKMVPEDG AGVCGPVCAAGGPWAEVRDSRTFTLVSEVETQGDLSRKIPTEDEYTDRPSQPSTGSLLLI QQGSKSIPPFQEPLEVGENDSLSQCFTGTESTVDSEGCDFTEPPSRTDSMPVSPEKHLTK EIEGDSCLPWVVSSNSTDGYTGSGNTPGEDHEPFPGSLKCGPLPQCAYSMGFPSEAAASM AEAGVRPQDRADERGASGSGSSPSDQPPASGNVTGNSNSTFISSGQVMNFKGDIIVVYVS QTSQEGPGSAEPESEPVGRPVQEETLAHRDSFAGTAPRFPDVCATGAGLQEQGAPRQKDG TSRPVQEQGGAQTSLHTQGSGQCAE >ENSMUSP00000086422.2 pep:known chromosome:GRCm38:2:153161303:153210466:1 gene:ENSMUSG00000068040.10 transcript:ENSMUST00000089027.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf4 description:transmembrane 9 superfamily protein member 4 [Source:MGI Symbol;Acc:MGI:2139220] MAAAMIWWPRFLLLLCLTCKGSTFYVPGVAPINFHQNDPVEIKAVKLTSSRTQLPYEYYS LPFCQPIKITYKAENLGEVLRGDRIVNTPFQVLMNSEKKCEVLCNQSNKPITLTVEQSRL VAERITEEYYVHLIADNLPVATRLELYSSNRDSDDKKKEKDVQFEHGYRLGFTDVNKIYL HNHLSFILYYHREDMEEDQEHTYRVVRFEVIPQSIRLEDLKTGEKSSCTLPEGANSLPQE IDPTKENQLYFTYSVHWEESDIKWASRWDTYLTMSDVQIHWFSIINSVVVVFFLSGILSM IIIRTLRKDIANYNKEDDIEDTMEESGWKLVHGDVFRPPQYPMILSSLLGSGIQLFCMIL IVIFVAMLGMLSPSSRGALMTTACFLFMFMGVFGGFSAGRLYRTLKGHRWKKGAFCTATL YPGVVFGICFVLNCFIWGKHSSGAVPFPTMVALLCMWFGISLPLVYLGYYFGFRKQPYDN PVRTNQIPRQIPEQRWYMNRFVGILMAGILPFGAMFIELFFIFSAIWENQFYYLFGFLFL VFIILVVSCSQISIVMVYFQLCAEDYRWWWRNFLVSGGSAFYVLVYAIFYFVNKLDIVEF IPSLLYFGYTTLMVLSFWLLTGTIGFYAAYMFVRKIYAAVKID >ENSMUSP00000032376.5 pep:known chromosome:GRCm38:6:112273758:112330425:1 gene:ENSMUSG00000057604.9 transcript:ENSMUST00000032376.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmcd1 description:LIM and cysteine-rich domains 1 [Source:MGI Symbol;Acc:MGI:1353635] MAKVAKDLNPGVQKMSLGQQQSARGVACLRCKGMCSGFEPHSWRKICKSCKCSQEEHCLS SDLDDDRKIGRLLMDSKYATLTARVKGGDGIRIYKRNRMIMTNPIATGKDPTFDTITYEW APPGVTQKLGLQYMELIPKERQPVTGTEGALYRRRQLMHQLPIYDQDPSRCRGLVENELK AMEEFVKHYKSEALGVGEVALPGQGGLPKEENKTQEKPEGTETTAPTTNGSLGDPSKEVE YVCELCKGAAPVDSPVVYADRAGYSKQWHPTCFQCIKCSEPLVDLIYFWKDGAPWCGRHY CESVRPRCSGCDEIIFSEDYQRVEDLAWHRKHFICEGCEQLLSGRAYIVTKGQLLCPTCS KSKRS >ENSMUSP00000052869.4 pep:known chromosome:GRCm38:5:138194314:138195621:1 gene:ENSMUSG00000049285.4 transcript:ENSMUST00000057773.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mblac1 description:metallo-beta-lactamase domain containing 1 [Source:MGI Symbol;Acc:MGI:2679717] MNGPVRTEPLHGEIPLLASSGSYSVVVLLRGYAEPQGAGDAVRADGTVTLVLPRGWASDS SRGLAPSADGGSKTALEEAVRGPILVDTGGPWARGALLEALATQGVAPEDVTLVVGTHGH SDHIGNLGLFPEAALLVSHDFCLPEGLYLPHGLCETQPLILGSGLQVWATPGHGGQRDVS VVVEGTSLGTVVVAGDVFERLGDEDSWQALSEDPVAQQRSRERILSVADVVVPGHGAPFR VVRETVKSSEDLICEGKAVA >ENSMUSP00000048285.7 pep:known chromosome:GRCm38:10:93858489:93889814:-1 gene:ENSMUSG00000036112.14 transcript:ENSMUST00000047910.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap2 description:methionine aminopeptidase 2 [Source:MGI Symbol;Acc:MGI:1929701] MAGVEQAASFGGHLNGDLDPDDREEGTSSTAEEAAKKKRRKKKKGKGAVSAVQQELDKES GALVDEVAKQLESQALEEKERDDDDEDGDGDADGATGKKKKKKKKKRGPKVQTDPPSVPI CDLYPNGVFPKGQECEYPPTQDGRTAAWRTTSEEKKALDQASEEIWNDFREAAEAHRQVR KYVMSWIKPGMTMIEICEKLEDCSRKLIKENGLNAGLAFPTGCSLNNCAAHYTPNAGDTT VLQYDDICKIDFGTHISGRIIDCAFTVTFNPKYDILLTAVKDATNTGIKCAGIDVRLCDV GEAIQEVMESYEVEIDGKTYQVKPIRNLNGHSIGPYRIHAGKTVPIVKGGEATRMEEGEV YAIETFGSTGKGVVHDDMECSHYMKNFDVGHVPIRLPRTKHLLNVINENFGTLAFCRRWL DRLGESKYLMALKNLCDLGIVDPYPPLCDIKGSYTAQFEHTILLRPTCKEVVSRGDDY >ENSMUSP00000138006.1 pep:known chromosome:GRCm38:10:93860928:93891202:-1 gene:ENSMUSG00000036112.14 transcript:ENSMUST00000180840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap2 description:methionine aminopeptidase 2 [Source:MGI Symbol;Acc:MGI:1929701] MAGVEQAASFGGHLNGDLDPDDREEGTSSTAEEAAKKKRRKKKKGKGAVSAVQQELDKES GALVDEVAKQLESQALEEKERDDDDEDGDGDADGATGKKKKKKKKKRGPKVQTDPPSVPI CDLYPNGVFPKGQECEYPPTQDGRTAAWRTTSEEKKALDQASEEIWNDFREAAEAHRQVR KYVMSWIKPGMTMIEICEKLEDCSRKLIKENGLNAGLAFPTGCSLNNCAAHYTPNAGDTT VLQYDDICKIDFGTHISGRIIDCAFTVTFNPKYDILLTAVKDATNTGIKCAGIDVRLCDV GEAIQEVMESYEVEIDGKTYQVKPIRNLNGHSIGPYRIHAGKTVPIVKGGEATRMEEGEV YAIETFGSTGKGVVHDDMECSHYMKNFDVGHVPIRLPRTKHLLNVINENFGTLAFCRRWL DRLGESKYLMALKNLCDLGIVDPYPPLCDIKGSYTAQFEHTILLRPTCKEVVSRGDDY >ENSMUSP00000137904.1 pep:known chromosome:GRCm38:10:93860929:93889775:-1 gene:ENSMUSG00000036112.14 transcript:ENSMUST00000181091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap2 description:methionine aminopeptidase 2 [Source:MGI Symbol;Acc:MGI:1929701] MAGVEQAASFGGHLNGDLDPDDREEGTSSTAEEAAKKKRRKKKKGKGAVSAVQQELDKES GALVDEVAKQLESQALEEKERDDDDEDGDGDADGATGKKKKKKKKKRGRQECEYPPTQDG RTAAWRTTSEEKKALDQASEEIWNDFREAAEAHRQVRKYVMSWIKPGMTMIEICEKLEDC SRKLIKENGLNAGLAFPTGCSLNNCAAHYTPNAGDTTVLQYDDICKIDFGTHISGRIIDC AFTVTFNPKYDILLTAVKDATNTGIKCAGIDVRLCDVGEAIQEVMESYEVEIDGKTYQVK PIRNLNGHSIGPYRIHAGKTVPIVKGGEATRMEEGEVYAIETFGSTGKGVVHDDMECSHY MKNFDVGHVPIRLPRTKHLLNVINENFGTLAFCRRWLDRLGESKYLMALKNLCDLGIVDP YPPLCDIKGSYTAQFEHTILLRPTCKEVVSRGDDY >ENSMUSP00000138083.1 pep:known chromosome:GRCm38:10:93860929:93889784:-1 gene:ENSMUSG00000036112.14 transcript:ENSMUST00000181217.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap2 description:methionine aminopeptidase 2 [Source:MGI Symbol;Acc:MGI:1929701] MAGVEQAASFGGHLNGDLDPDDREEGTSSTAEEAAKKKRRKKKKGKGAVSAVQQELDKES GALVDEVAKQLESQALEEKERDDDDEDGDGDADGATGKKKKKKKKKRGHSITEYLYLTAK VQTDPPSVPICDLYPNGVFPKGQECEYPPTQDGRTAAWRTTSEEKKALDQASEEIWNDFR EAAEAHRQVRKYVMSWIKPGMTMIEICEKLEDCSRKLIKENGLNAGLAFPTGCSLNNCAA HYTPNAGDTTVLQYDDICKIDFGTHISGRIIDCAFTVTFNPKYDILLTAVKDATNTGIKC AGIDVRLCDVGEAIQEVMESYEVEIDGKTYQVKPIRNLNGHSIGPYRIHAGKTVPIVKGG EATRMEEGEVYAIETFGSTGKGVVHDDMECSHYMKNFDVGHVPIRLPRTKHLLNVINENF GTLAFCRRWLDRLGESKYLMALKNLCDLGIVDPYPPLCDIKGSYTAQFEHTILLRPTCKE VVSRGDDY >ENSMUSP00000137681.1 pep:known chromosome:GRCm38:10:93861581:93871649:-1 gene:ENSMUSG00000036112.14 transcript:ENSMUST00000180392.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Metap2 description:methionine aminopeptidase 2 [Source:MGI Symbol;Acc:MGI:1929701] XRTAAWRTTSEEKKALDQASEEIWNDFREAAEAHRQVRKYVMSWIKPGMTMIEICEKLED CSRKLIKENGLNAGLAFPTGCSLNNCAAHYTPNAGDTTVLQYDDICKIDFGTHISGRIID CAFTVTFNPKYDILLTAVKDATNTGIKCAGIDVRLCDVGEAIQEVMESYEVEIDGKTYQG RRGVCH >ENSMUSP00000138050.1 pep:known chromosome:GRCm38:10:93862249:93865503:-1 gene:ENSMUSG00000036112.14 transcript:ENSMUST00000181470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap2 description:methionine aminopeptidase 2 [Source:MGI Symbol;Acc:MGI:1929701] CAGIDVRLCDVGEAIQEVMESYEVEIDGKTYQVKPIRNLNGHSIGPYRIHAGKTVPIVKG GEATRMEEGEVYAIETFGSTGKGVVHDDMECSHYMKNFDVGHVPIR >ENSMUSP00000137911.1 pep:known chromosome:GRCm38:10:93868930:93889614:-1 gene:ENSMUSG00000036112.14 transcript:ENSMUST00000180375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap2 description:methionine aminopeptidase 2 [Source:MGI Symbol;Acc:MGI:1929701] XGTSSTAEEAAKKKRRKKKKGKGAVSAVQQELDKESGALVDEVAKQLESQALEEKERDDD DEDGDGDADGATGKKKKKKKKKRGLQTDPPSVPICDLYPNGVFPKGQECEYPPTQDGRTA AWRTTSEEKKALDQASEEIWNDFREAAEAHRQVRKYVMSWIKPGMTMIEICEKLEDCSRK LIKENGLNAGLAFPTGCSLNNCAAHYTPNAGDTTVLQYDDICKIDFGTHISGRIIDCAFT VT >ENSMUSP00000137652.1 pep:known chromosome:GRCm38:10:93870187:93889814:-1 gene:ENSMUSG00000036112.14 transcript:ENSMUST00000180688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metap2 description:methionine aminopeptidase 2 [Source:MGI Symbol;Acc:MGI:1929701] MAGVEQAASFGGHLNGDLDPDDREEGTSSTAEEAAKKKRRKKKKGKGAVSVQQELDKESG ALVDEVAKQLESQALEEKERDDDDEDGDGDADGATGKKKKKKKKKRGPKVQTDPPSVPIC DLYPNGVFPKGQECEYPPTQDGRTAAWRTTSEEKKALDQASEEIWNDFREAAEAHRQVRK YVMSWIKPGMTMIEICEKLEDCSRKLIKENGLNAGLAFPTGCSLNNCAAHYTP >ENSMUSP00000138022.1 pep:known chromosome:GRCm38:10:22158569:22183917:1 gene:ENSMUSG00000053219.15 transcript:ENSMUST00000181645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raet1e description:retinoic acid early transcript 1E [Source:MGI Symbol;Acc:MGI:2675273] MAKAAVTKRHHFMIQKLLILLSYGYTNGLDDAHSLRCNLTIKDPTSADLPWCDVKCSVDE ITILHLNNINKTMTSGDPGKMANATGKCLTQPLNDLCQELRDKVSNTKVDTHKTNGYPHL QVTMIYPQSQGQTPSATWEFNISDSYFFTFYTENMSWRSANDESGVIMNKWKDDGDLVQQ LKYFIPQCRQKIDEFLKQSKEKPRSTSRSPSITQLTSTSPLPPPSHSTSKKGFISVGLIF ISLLFAFAFAM >ENSMUSP00000137946.1 pep:known chromosome:GRCm38:10:22165638:22180726:1 gene:ENSMUSG00000053219.15 transcript:ENSMUST00000180648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raet1e description:retinoic acid early transcript 1E [Source:MGI Symbol;Acc:MGI:2675273] MAKAAVTKRHHFMIQKLLILLSYGYTNGLDDAHSLRCNLTIKDPTSADLPWCDVKCSVDE ITILHL >ENSMUSP00000066627.4 pep:known chromosome:GRCm38:10:22173521:22183914:1 gene:ENSMUSG00000053219.15 transcript:ENSMUST00000065527.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raet1e description:retinoic acid early transcript 1E [Source:MGI Symbol;Acc:MGI:2675273] MAKAAVTKRHHFMIQKLLILLSYGYTNGLDDAHSLRCNLTIKDPTSADLPWCDVKCSVDE ITILHLNNINKTMTSGDPGKMANATGKCLTQPLNDLCQELRDKVSNTKVDTHKTNGYPHL QVTMIYPQSQGQTPSATWEFNISDSYFFTFYTENMSWRSANDESGVIMNKWKDDGDLVQQ LKYFIPQCRQKIDEFLKQSKEKPRSTSRSPSITQLTSTSPLPPPSHSTSKKGFISVGLIF ISLLFAFAFAM >ENSMUSP00000136032.1 pep:known chromosome:GRCm38:10:22173919:22374139:1 gene:ENSMUSG00000053219.15 transcript:ENSMUST00000178026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raet1e description:retinoic acid early transcript 1E [Source:MGI Symbol;Acc:MGI:2675273] MAKAAVTKRHHFMIQKLLILLSYGYTNGLDDAHSLRCNLTIKDPTSADLPWCDVKCSVDE ITILHLNNINKTMTSGDPGKMANATGKCLTQPLNDLCQELRDKVSNTKVDTHKTNGYPHL QVTMIYPQSQGQTPSATWEFNISDSYFFTFYTENMSWRSANDESGVIMNKWKDDGDLVQQ LKYFIPQCRQKIDEFLKQSKEKPRSTSRSPSITQLTSTSPLPPPSHSTSKKGFISVGLIF ISLLFAFAFAM >ENSMUSP00000036749.6 pep:known chromosome:GRCm38:4:134741554:134768024:-1 gene:ENSMUSG00000037295.7 transcript:ENSMUST00000037828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldlrap1 description:low density lipoprotein receptor adaptor protein 1 [Source:MGI Symbol;Acc:MGI:2140175] MDALKSAGRALIRSPSLAKQSWAGGRHRKLPENWTDTRETLLEGMVFSLKYLGMTLVERP KGEELSAAAVKRIVATAKASGKKLQKVTLKVSPRGIILTDSLTSQLIENVSIYRISYCTA DKMHDKVFAYIAQSQQNESLECHAFLCTKRKVAQAVTLTVAQAFKVAFEFWQVSKEEKEK REKANQEGGDVPGTRRDSTPSLKTLVATGNLLDLEEVAKAPLSTVSANTNNVDETPRPQV LGNNSVVWELDDGLDEAFSRLAQSRTNPQVLDTGLSAQDIHYAQCLSPTDWDKPDSSGID QDDDVFTF >ENSMUSP00000141367.1 pep:known chromosome:GRCm38:18:37661793:37665039:1 gene:ENSMUSG00000103144.1 transcript:ENSMUST00000194888.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga1 description:protocadherin gamma subfamily A, 1 [Source:MGI Symbol;Acc:MGI:1935212] MAIPEKLTGWSLLVLVCLSLELLLEAGAGNIRYSVPEETDKGSFVGSIAKDLGLETRELM ERGIRIVSRGRSQLFSLNPRSGSLVTAGRIDREELCAQSTPCVVSFNILMEDEMKLLPIE VEIIDINDNTPQFQLEELELKMSEITTPGTRIPLPLGQDLDVGINSLQSYQLSANPHFSL DVQQGPEGPQQPEMVLQRPLDREKDAVHYLVLTASDGGSPIHSGTLQIHVQVVDVNDNPP AFTKAEYHVSVPENVPLGTRLLKVNATDPDEGANGRVTYSFHKVDHSVVRKFQLDAYTGE LSNKEPLDFEEYKVYPMEIQAQDGAGLMARAKVLVTVLDVNDNAPEVGITSVTNTVPENF PPGTTIALISVHDQDADNNGHITCSIPGNLPFKLEKLVDNYYRLVTERTLDREQSSRHNI TITATDQGTPPLSTQAHISLLVTDINDNPPVFEQDSYSVYIPENNPRGASIFSVKAQDAD HNENALVTYALVEDTIQGVPLSSYFSINSDTGVVYALQSFDYEQFRDLQLRVMAHDSGNP QLSSNVSLSLFMIDQNDNAPEILYPVLPTDGSTGVELAPRSAEPGYLVTKVVAVDKDSGQ NSWLSYRLLKASEPGLFSVGLHTGEVRTARALLERDALKQSLVVAVQDHGQPPLSTTVTF TIAVADSIPDLLADLGSMGTPADPQDSDLTLYLVVAVAVVSCIFLAFVIVLLVLKLRHWY SSRLLQTEANGLTGLPASNFVGVDGVHAFLQTYSREISLTADSRKSHLIFPQPNYADTLI SHESCEKQEFLSAPQSLLEDKEEIFSQVNL >ENSMUSP00000142062.1 pep:known chromosome:GRCm38:18:37661793:37841873:1 gene:ENSMUSG00000103144.1 transcript:ENSMUST00000194190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga1 description:protocadherin gamma subfamily A, 1 [Source:MGI Symbol;Acc:MGI:1935212] MAIPEKLTGWSLLVLVCLSLELLLEAGAGNIRYSVPEETDKGSFVGSIAKDLGLETRELM ERGIRIVSRGRSQLFSLNPRSGSLVTAGRIDREELCAQSTPCVVSFNILMEDEMKLLPIE VEIIDINDNTPQFQLEELELKMSEITTPGTRIPLPLGQDLDVGINSLQSYQLSANPHFSL DVQQGPEGPQQPEMVLQRPLDREKDAVHYLVLTASDGGSPIHSGTLQIHVQVVDVNDNPP AFTKAEYHVSVPENVPLGTRLLKVNATDPDEGANGRVTYSFHKVDHSVVRKFQLDAYTGE LSNKEPLDFEEYKVYPMEIQAQDGAGLMARAKVLVTVLDVNDNAPEVGITSVTNTVPENF PPGTTIALISVHDQDADNNGHITCSIPGNLPFKLEKLVDNYYRLVTERTLDREQSSRHNI TITATDQGTPPLSTQAHISLLVTDINDNPPVFEQDSYSVYIPENNPRGASIFSVKAQDAD HNENALVTYALVEDTIQGVPLSSYFSINSDTGVVYALQSFDYEQFRDLQLRVMAHDSGNP QLSSNVSLSLFMIDQNDNAPEILYPVLPTDGSTGVELAPRSAEPGYLVTKVVAVDKDSGQ NSWLSYRLLKASEPGLFSVGLHTGEVRTARALLERDALKQSLVVAVQDHGQPPLSTTVTF TIAVADSIPDLLADLGSMGTPADPQDSDLTLYLVVAVAVVSCIFLAFVIVLLVLKLRHWY SSRLLQTEANGLTGLPASNFVGVDGVHAFLQTYSREISLTADSRKSHLIFPQPNYADTLI SHESCEKQEFLSAPQSLLEDKEEIFSQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNN QFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSN ATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000034944.2 pep:known chromosome:GRCm38:9:66158223:66272242:1 gene:ENSMUSG00000032380.8 transcript:ENSMUST00000034944.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dapk2 description:death-associated protein kinase 2 [Source:MGI Symbol;Acc:MGI:1341297] MVQASMRSPNMETFKQQKVEDFYDIGEELGSGQFAIVKKCREKSTGLEYAAKFIKKRQSR ASRRGVCREEIEREVSILRQVLHPNIITLHDVYENRTDVVLILELVSGGELFDFLAQKES LSEEEATSFIKQILDGVNYLHTKKIAHFDLKPENIMLLDKNIPIPHIKLIDFGLAHEIED GVEFKNIFGTPEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGDTKQETLANIT AVSYDFDEEFFSQTSELAKDFIRKLLVKETRKRLTIQEALRHPWITPVDTQQAMVRRESV VNLENFKKQYVRRRWKLSFSIVSLCNHLTRSLMKKVHLRTSEDLRNCESDTEENIARRKA LHPRRRSSTS >ENSMUSP00000117134.1 pep:known chromosome:GRCm38:9:66220747:66268950:1 gene:ENSMUSG00000032380.8 transcript:ENSMUST00000132987.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dapk2 description:death-associated protein kinase 2 [Source:MGI Symbol;Acc:MGI:1341297] XLELVSGGELFDFLAQKESLSEEEATSFIKQILDGVNYLHTKKIAHFDLKARKER >ENSMUSP00000068846.6 pep:known chromosome:GRCm38:18:37815079:37841873:1 gene:ENSMUSG00000023036.14 transcript:ENSMUST00000066140.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga8 description:protocadherin gamma subfamily A, 8 [Source:MGI Symbol;Acc:MGI:1935221] MAAMPSTVRSWVEIWWGATLLFLFCHLGYVYGQIRYPVPEESQEGTFVGNVAQDFLLDTE SLSARRLQVAGEVNQRHFRVDLDSGALLIKNPIDREALCGLSASCIVPLEFVTEGPLEMY RAEVEIVDVNDHAPRFPRQQLDLEIGEAAPPGQRFPLEKAQDADVGSNSISSYRLSSNEH FALDVKKRSDGSLVPELLLEKPLDREKQSDYRLVLTAVDGGNPPRSGTAELRVSVLDVND NAPAFQQSSYRISVLESAPAGMVLIQLNASDPDLGPSGNVTFSFSGHTPDRVRNLFSLHP TTGKLTLQGPLDFESENYYEFDVRARDGGSPAMEQHCSLRVDLLDVNDNAPHITVTSELG TLPESAEPGTVVALISVQDPDSGSNGDVSLRIPDHLPFALKSAFRNQFSLVTAGPLDREA RSSYDIMVTASDAGNPPLSTHRTIFLNISDVNDNPPSFFQRSHEVFVPENNRPGDLLCSL AASDPDSGLNALISYSLLEPRNRDVSASSFISLNPQTGAVHATRSFDYEQTQTLQFEVQA RDRGSPPLSSTVTVRLFVLDLNDNAPAVLRPRARPGSLCPQALPPSVGAGHLVTKVTAVD LDSGYNAWVSYQLLEAPDPSLFAVSRYAGEVRTAVPIPADLPPQKLVIVVKDSGSPPLST SVTLLVSLEEDTHPVVPDLRESSAPREGESRLTLYLAVSLVAICFVSFGSFVALLSKCLR GAACGVTCFPAGTCACLTRSRRREGLPPSNGILRIQLGSEDPIKFVDVGGHSHGCTPLAS APTRSDSFMMVKSPSAPMAGEPVRPSCPPSDLLYGLEQAPPNTDWRFSQAQRPGTSGSQN GDETGTWPNNQFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDY RQNVYIPGSNATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000141244.1 pep:known chromosome:GRCm38:18:37815401:37841873:1 gene:ENSMUSG00000023036.14 transcript:ENSMUST00000195239.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhga8 description:protocadherin gamma subfamily A, 8 [Source:MGI Symbol;Acc:MGI:1935221] MAAMPSTQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQFDTEMLQAMILASASEAAD GSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNATLTNAAGKRDGKAPAGGNG NKKKSGKKEKK >ENSMUSP00000117032.1 pep:known chromosome:GRCm38:10:22273346:22288849:1 gene:ENSMUSG00000075297.10 transcript:ENSMUST00000131558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H60b description:histocompatibility 60b [Source:MGI Symbol;Acc:MGI:3649078] MAKSSLSLNWSLLVLLNFLGATLSTGTDSLSCELTFNHRTLHGQCSVNGKTLLDFGDKKH EGNATEMCADLSQSLRELSEGMRNQQSGNDALNVTTQSQYNQGEFIGGFWAINTDEQHSI YFYPLNMTWRESHSDNSSAMEHWKNKNLEKDIRNVLIIYFSRCLNKLSPHFREMPKSKIK VLDTTQNTNTTQIHPTVNNSQHNSDTQGLSFTWIVIICIGGIVSFMAFMVFAWCMLKKKK GALCCSSSSTT >ENSMUSP00000101161.2 pep:known chromosome:GRCm38:10:22158586:22288849:1 gene:ENSMUSG00000075297.10 transcript:ENSMUST00000105522.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H60b description:histocompatibility 60b [Source:MGI Symbol;Acc:MGI:3649078] MAKSSLSLNWSLLVLLNFLGATLSTGTDSLSCELTFNHRTLHGQCSVNGKTLLDFGDKKH EGNATEMCADLSQSLRELSEGMRNQQSGNDALNVTTQSQYNQGEFIGGFWAINTDEQHSI YFYPLNMTWRESHSDNSSAMEHWKNKNLEKDIRNVLIIYFSRCLNKLSPHFREMPKSKIK VLDTTQNTNTTQIHPTVNNSQHNSDTQGLSFTWIVIICIGGIVSFMAFMVFAWCMLKKKK GALCCSSSSTT >ENSMUSP00000119881.1 pep:known chromosome:GRCm38:9:89199379:89215471:1 gene:ENSMUSG00000100838.1 transcript:ENSMUST00000138109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29094 description:predicted gene 29094 [Source:MGI Symbol;Acc:MGI:5579800] XYIHSLAEHYLQYVLQVPAFESAPSKACRVLQRVAFSVQKEVEKNLKSYLDDFHVESIDT ARIIFNQVMEKEFEDGIINWGRIVTIFAFGGVLLKKLPQEQIALDVGAYKQVSSFVAEFI INNTGEWIRRNGGWVIAHNQYQNSKRISIFLSMQDEVETEVIIKDIFKQGKICFIPRYQF QSNHMDMVRLTSSEEIALLPKTSWNIHQPGEGDVREEALSTG >ENSMUSP00000079883.3 pep:known chromosome:GRCm38:1:135295213:135313778:-1 gene:ENSMUSG00000062580.9 transcript:ENSMUST00000081104.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm17a description:translocase of inner mitochondrial membrane 17a [Source:MGI Symbol;Acc:MGI:1343131] MEEYAREPCPWRIVDDCGGAFTMGTIGGGIFQAFKGFRNSPVGINHRLRGSLTAIKTRAP QLGGSFAVWGGLFSTIDCSMVQIRGKEDPWNSITSGALTGAILAARNGPVAMVGSAAMGG ILLALIEGAGILLTRFASAQFPNGPQFTEDHSQLPSSQLPSSPFGDYRQYQ >ENSMUSP00000119555.1 pep:known chromosome:GRCm38:1:135304503:135313691:-1 gene:ENSMUSG00000062580.9 transcript:ENSMUST00000134088.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm17a description:translocase of inner mitochondrial membrane 17a [Source:MGI Symbol;Acc:MGI:1343131] MGTIGGGIFQAFKGFRNSPVGINHRLRGSLTAIKTRAPQLGGSFAVWGGLFSTIDCSMVQ IRGKEDPWNSITSGALTGAILAARNGPVAMVGSAAMG >ENSMUSP00000119770.1 pep:known chromosome:GRCm38:1:135309135:135311345:-1 gene:ENSMUSG00000062580.9 transcript:ENSMUST00000125454.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm17a description:translocase of inner mitochondrial membrane 17a [Source:MGI Symbol;Acc:MGI:1343131] MLCVRSWSLISRILEDPWRIVDDCGGAFTMGTIGGGIFQAFKGFRNSPVGINHRLRGSLT AIKTRAPQLG >ENSMUSP00000112695.1 pep:known chromosome:GRCm38:9:89211157:89226458:1 gene:ENSMUSG00000066442.16 transcript:ENSMUST00000118870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfs description:5, 10-methenyltetrahydrofolate synthetase [Source:MGI Symbol;Acc:MGI:1340032] MAAVTVNSAKRGLRAELKQRLRALSAEERLRQSLLLTQKVIAHNQYQNSKRISIFLSMQD EVETEVIIKDIFKQGKICFIPRYQFQSNHMDMVRLTSSEEIALLPKTSWNIHQPGEGDVR EEALSTVF >ENSMUSP00000082354.6 pep:known chromosome:GRCm38:9:89211157:89240240:1 gene:ENSMUSG00000066442.16 transcript:ENSMUST00000085256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfs description:5, 10-methenyltetrahydrofolate synthetase [Source:MGI Symbol;Acc:MGI:1340032] MAAVTVNSAKRGLRAELKQRLRALSAEERLRQSLLLTQKVIAHNQYQNSKRISIFLSMQD EVETEVIIKDIFKQGKICFIPRYQFQSNHMDMVRLTSSEEIALLPKTSWNIHQPGEGDVR EEALSTGGLDLIFLPGLGFDKDGNRLGRGKGYYDTYLKRCVQHQEVKPYTMALAFKEQIC PQIPVDEHDMKVDEVLYEDSPAS >ENSMUSP00000139738.1 pep:known chromosome:GRCm38:9:89215391:89236121:1 gene:ENSMUSG00000066442.16 transcript:ENSMUST00000185894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfs description:5, 10-methenyltetrahydrofolate synthetase [Source:MGI Symbol;Acc:MGI:1340032] XIALLPKTSWNIHQPGEGDVREEALSTETPSISHYRMATVASGSSLVLYLFYFLALLAEA >ENSMUSP00000053056.7 pep:known chromosome:GRCm38:11:98581256:98587368:-1 gene:ENSMUSG00000038150.7 transcript:ENSMUST00000052919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ormdl3 description:ORM1-like 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913862] MNVGTAHSEVNPNTRVMNSRGIWLSYVLAIGLLHVVLLSIPFVSVPVVWTLTNLIHNLGM YIFLHTVKGTPFETPDQGKARLLTHWEQMDYGVQFTASRKFLTITPIVLYFLTSFYTKYD QVHFILNTVSLMTVLIPKLPQLHGVRIFGINKY >ENSMUSP00000136409.1 pep:known chromosome:GRCm38:7:118485111:118491975:-1 gene:ENSMUSG00000095115.2 transcript:ENSMUST00000178344.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpripl2 description:inositol 1,4,5-triphosphate receptor interacting protein-like 2 [Source:MGI Symbol;Acc:MGI:2442416] MSVRYTLNLRVFWPLVTGLCTALVCLYHALRSSEDARAESPDGADSGFPLLKVAILLLLG YILLRCRHAIRQRLLPGSSRPRGHANFSARSLQEPGLSILLESYYEHEVRLSPHVLGHSK AHVSRIVGELVQAGRARGSPGLITGGALALAFRGDFIQVGSAYEQHKIRRPDSFDVLVPL RLPPQVALEPRSLGTEPSLTPAFRSCFVCALKAPPSPSGASTGQWHRDCKPFAEGFCVDV QGRRHLSATLVLRWFQAHLQRSLATVRYSLEGRCRVSLTPGSLEQPPTLHILPCRTDYGC CRLSMAVRLIPAVHLGDGVFLVAPPPPPSPSGALSELPGGLRAEALWGVNTARQEQKLLG WLQERAPPGACYLKCLQLLKALRDLGARGLDPMAATHWGRILSSYVLKTAVLEVLLNEGS PTPSWDEAHLSECLEKLVKFLRDCLLRRRDLFHCVLGPTGAAAEVGPLPKVLREAAPVDL LAPFDPHSRELAAARLLSTWRRLPQLLRVYGGPRYLARCPAPRSQRIQGSPEDEP >ENSMUSP00000087636.4 pep:known chromosome:GRCm38:2:119208634:119217049:1 gene:ENSMUSG00000068580.11 transcript:ENSMUST00000090174.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve19 description:zinc finger, FYVE domain containing 19 [Source:MGI Symbol;Acc:MGI:1919258] MESRCYGCAVKFTLFKKEYGCKNCGRAFCNGCLSFSALVPRAGNTQQKVCKQCHTILTRG SSDNASKWSPPQNYKKRVAALEAKKKSSTSHSQSLTHKDQAIAERLARLRQENKPKSVPS QAEIEARLAALKDEVQGPIPSTQEMEDRLAALQGRVPPSHTVRPAHQAPDTRTQAQQTQD LLTQLTAEVAIDENCQPRASASLQNDLNKGAARSQRTNSQGQASQSLEEEKYKLLAEAAV ELQEENTRQERILALAKRLAVLKGQDPSRVTLQDYHLPDSDEDEETAIQRVMQQLTEEAA LDEASGFNIPEKPAPGSRAQPCKAEMEGPQAEEEELPWCCICNEDATLRCAGCDGDLYCA RCFREGHDNFDLKEHQTSPYHPRRPCQEH >ENSMUSP00000099577.4 pep:known chromosome:GRCm38:2:119209027:119217049:1 gene:ENSMUSG00000068580.11 transcript:ENSMUST00000102519.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve19 description:zinc finger, FYVE domain containing 19 [Source:MGI Symbol;Acc:MGI:1919258] MESRCYGCAVKFTLFKKEYGCKNCGRAFCNGCLSFSALVPRAGNTQQKVCKQCHTILTRG SSDNASKWSPPQNYKKRVAALEAKKKSSTSHSQSLTHKDQAIAERLARLRQENKPKSVPS QAEIEARLAALKDEVQGPIPSTQEMEDRLAALQGRVPPSHTVRPAHQAPDTRTQAQQTQD LLTQLTAEVAIDENCQPRASASLQNDLNKGAARSQRTNSQGQASQSLEEEKYKLLAEAAV ELQEENTRQERILALAKRLAVLKGQDPSRVTLQDYHLPDSDEDEETAIQRVMQQLTEEAA LDEASGFNIPEKPAPGSRAQPCKAEMEGGP >ENSMUSP00000138328.1 pep:known chromosome:GRCm38:10:22360552:22374139:1 gene:ENSMUSG00000078452.10 transcript:ENSMUST00000182677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raet1d description:retinoic acid early transcript delta [Source:MGI Symbol;Acc:MGI:1861032] MAKAAVTKRHHFMIQKLLILLSYGYTNGLDDAHSLRCNLTIKAPTPADEVKCFVGEILIL HLSNINKTMTSGDPGETANATEVGECLTQPLKDLCQKLRDKVSNTKVDTHKTNGYPHLQV TMIYPQSQGQTPSATWEFNISDSYFFTFYTEIMSWRSANDESGVIMNKWKDDGEFVKQLK FLIHGCSQKMDEFLKQSKEKPRSTSRSPSITQLTSTSPLPPTSHSTSKKGFISVGLIFIS LLFAFAFAM >ENSMUSP00000093471.3 pep:known chromosome:GRCm38:10:22361894:22374139:1 gene:ENSMUSG00000078452.10 transcript:ENSMUST00000095795.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raet1d description:retinoic acid early transcript delta [Source:MGI Symbol;Acc:MGI:1861032] MAKAAVTKRHHFMIQKLLILLSYGYTNGLDDAHSLRCNLTIKAPTPADEVKCFVGEILIL HLSNINKTMTSGDPGETANATEVGECLTQPLKDLCQKLRDKVSNTKVDTHKTNGYPHLQV TMIYPQSQGQTPSATWEFNISDSYFFTFYTEIMSWRSANDESGVIMNKWKDDGEFVKQLK FLIHGCSQKMDEFLKQSKEKPRSTSRSPSITQLTSTSPLPPTSHSTSKKGFISVGLIFIS LLFAFAFAM >ENSMUSP00000107519.1 pep:known chromosome:GRCm38:9:111054486:111057270:-1 gene:ENSMUSG00000043953.12 transcript:ENSMUST00000111888.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccrl2 description:chemokine (C-C motif) receptor-like 2 [Source:MGI Symbol;Acc:MGI:1920904] MDNYTVAPDDEYDVLILDDYLDNSGPDQVPAPEFLSPQQVLQFCCAVFAVGLLDNVLAVF ILVKYKGLKNLGNIYFLNLALSNLCFLLPLPFWAHTAAHGESPGNGTCKVLVGLHSSGLY SEVFSNILLLVQGYRVFSQGRLASIFTTVSCGIVACILAWAMATALSLPESVFYEPRMER QKHKCAFGKPHFLPIEAPLWKYVLTSKMIILVLAFPLLVFIICCRQLRRRQSFRERQYDL HKPALVITGVFLLMWAPYNTVLFLSAFQEHLSLQDEKSSYHLDASVQVTQLVATTHCCVN PLLYLLLDRKAFMRYLRSLFPRCNDIPYQSSGGYQQAPPREGHGRPIELYSNLHQRQDII >ENSMUSP00000143116.1 pep:known chromosome:GRCm38:9:111054832:111057519:-1 gene:ENSMUSG00000043953.12 transcript:ENSMUST00000199839.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccrl2 description:chemokine (C-C motif) receptor-like 2 [Source:MGI Symbol;Acc:MGI:1920904] MDNYTVAPDDEYDVLILDDYLDNSGPDQVPAPEFLSPQQVLQFCCAVFAVGLLDNVLAVF ILVKYKGLKNLGNIYFLNLALSNLCFLLPLPFWAHTAAHGESPGNGTCKVLVGLHSSGLY SEVFSNILLLVQGYRVFSQGRLASIFTTVSCGIVACILAWAMATALSLPESVFYEPRMER QKHKCAFGKPHFLPIEAPLWKYVLTSKMIILVLAFPLLVFIICCRQLRRRQSFRERQYDL HKPALVITGVFLLMWAPYNTVLFLSAFQEHLSLQDEKSSYHLDASVQVTQLVATTHCCVN PLLYLLLDRKAFMRYLRSLFPRCNDIPYQSSGGYQQAPPREGHGRPIELYSNLHQRQDII >ENSMUSP00000143105.1 pep:known chromosome:GRCm38:9:111056030:111057246:-1 gene:ENSMUSG00000043953.12 transcript:ENSMUST00000195968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccrl2 description:chemokine (C-C motif) receptor-like 2 [Source:MGI Symbol;Acc:MGI:1920904] MDNYTVAPDDEYDVLILDDYLDNSGPDQVPAPEFLSPQQVLQFCCAVFAVGLLDNVLAVF ILVKYKGLKNLGNIYFLNLALSNLCFLLPLPFWAHTAAHGESPGNGTCKVLVGLHSSGLY SEVFSNILLLVQG >ENSMUSP00000141989.1 pep:known chromosome:GRCm38:18:36946105:37187657:1 gene:ENSMUSG00000102312.1 transcript:ENSMUST00000192503.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdha3 description:protocadherin alpha 3 [Source:MGI Symbol;Acc:MGI:2447313] MVFSQREDRHLLLWLLLFAAWEAGSGQLHYSVPEEAKHGTFVGRIAQDLGLELAELVPRL FRVASKDRGDLLEVNLQNGILFVNSRIDREELCGRSAECSIHLEVIVDRPLQVFHVEVEV RDINDNPPVFPVATKNLFIYESRPLGSRFSLEGASDADIGTNSLLSYSLNSSEYFSLDVK RKDEEIKSLGLVLKKLLNREDIPEHNLLITAVDGGKPELTGTTQVKITVLDVNDNAPAFE KTFYKVRLPENAPNGTVVVDVDASDLDEGVNKDIVYSFHQDMSLEILSKFHLDPISGYIT VKGNIDFEETKSFEIQIEAADKGTPPMTDHCTVLVEIVDVNDNVPELVIKSLSLPVLENS APSTVIALISVSDRDSGANGQVTCSLTPQVPFKLVSTFKNYYSLVLDSVLDRETISNYNV VVTARDGGSPSLCTTASVSVEVADVNDNAPAFAQPEYTVFVKENNPPGAHIFTVSAMDAD AQENALVSYSLVERRVGERLLSSYVSVHAESGKVFALQPLDHEELELLQFQVSARDAGVP ALGSNVTLQVFVLDENDNAPTLLGPHAGSAVSELVSRTVGAGHVVTKVRAVDADSGYNAW LSYELQSAAGSGRLPFRVGLYTGEISTTRVLDETDAPRQRLLVLVKDHGEPVLTATATLL VSLVESGHTPNTPSRTLVDSAGREASLVDINVYLIIAICAVSSLLVLTLLLYLALRCSTA PTEGGPGKPMLVCSSAVGTWSYSQQRRQRVCSGEGPPKTDLMAFSPSLTPCPVNQDKEDK LVGDIDFSIKPRQPNPDWRYSASLRAGMHSSVHLEEAGILRAGPGGPDQQWPTVSSATPE PEAGEVSPPVGAGVNSNSWTFKYGPGNPKQSGPGELPDKFIIPGSPAIISIRQEPANNQI DKSDFITFGKKEETKKKKKKKKGNKTQEKKEKGNSTTDNSDQ >ENSMUSP00000100538.1 pep:known chromosome:GRCm38:11:98624351:98625661:-1 gene:ENSMUSG00000078134.1 transcript:ENSMUST00000104933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12355 description:predicted gene 12355 [Source:MGI Symbol;Acc:MGI:3649769] MHRDSCLDCKVYVGNLGNNGNKTELEWAFGYYGPLRSVWVARNPPGFAFVEFEDPRDAAD AVRELDGRTLCGCRVRVELSNGEKRSRNRGLPPSWGRRPRDDDRRRSPPPRCRSPRRKSF SRSRSRSLSRDRRRERSLSRERNHKPSRSFSRSHSRSRSNERK >ENSMUSP00000141997.1 pep:known chromosome:GRCm38:18:37751600:37841873:1 gene:ENSMUSG00000104063.1 transcript:ENSMUST00000194928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgb7 description:protocadherin gamma subfamily B, 7 [Source:MGI Symbol;Acc:MGI:1935199] MGGSSARRKRPGRPQVLFILLLPLFCPALGQPVRYSIPEELDRGSVVGKLAKDLGLSVLE VSARKLRVSAEKLHFSVDSESGDLLVKDRIDREQICKGRRKCELQLEAVLENPLNIFHVV VEIEDVNDHAPQFPKDEINLEISESDSPGARTILESAKDLDIGMNSLSKYQLSPNDYFLL LVKDNPDGSKYPELELQKMLDREAESTHHLMLTAVDGGDPPRTGTTQLRIRVVDANDNRP VFSQDVYRVRLPEDLPPGTTVLRLKAMDQDEGINAEFTYSFLGVANKAQFSLDPITGDIV TRQSLDFEEVEQYTIDVEAKDRGSLSSQCKVIIEVLDENDNRPEIIITSLSDQISEDSPS GTVVALFKVRDRDSGENAEVMCSLSGNNPFKIHSSSNNYYKLVTDSILDREQTPGYNVTI TATDRGKPPLSSSTTITLNVADVNDNAPVFQQQAYLINVAENNQPGTSITQVKAWDPDVG SNGLVSYSIIASDLEPKALSSFVSVNQDSGVVYAQRAFDHEQIRSFQLTLQARDQGSPAL SANVSMRVLVDDRNDNAPRVLYPTLEPDGSALFDMVPRAAEPGYLVTKVVAVDADSGHNA WLSYHVLQASDPGLFSLGLRTGEVRTARALSDKDAARQRLLVAVRDGGQPPLSATATLLL VFADSLQEALPDLVDHPIPSDPQSELQFYLVVALALISVLFLLAVIFAIALRLRQSSSPS STGCFGSVLGSKSRPVLPPNYSEGTLPYAYNLCVPGDQLKPEFNYFSSVGDCPAAQDILN KDSSSALLASILTPGVEADEKTFNQQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNNQF DTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSNAT LTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000065612.4 pep:known chromosome:GRCm38:9:89199209:89207827:1 gene:ENSMUSG00000089929.1 transcript:ENSMUST00000068569.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2a1b description:B cell leukemia/lymphoma 2 related protein A1b [Source:MGI Symbol;Acc:MGI:1278326] MAEYEFMYIHSLAEHYLQYVLQVPAFESAPSKACRVLQRVAFSVQKEVEKNLKSYLDDFH VESIDTARIIFNQVMEKEFEDGIINWGRIVTIFAFGGVLLKKLPQEQIALDVGAYKQVSS FVAEFIINNTGEWIRRNGGWEDGFIKKFEPKSGWLTFLQMTGQFWEMLFLLK >ENSMUSP00000099461.3 pep:known chromosome:GRCm38:2:143915320:143943324:1 gene:ENSMUSG00000015932.8 transcript:ENSMUST00000103172.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dstn description:destrin [Source:MGI Symbol;Acc:MGI:1929270] MASGVQVADEVCRIFYDMKVRKCSTPEEIKKRKKAVIFCLSADKKCIVVEEGKEILVGDV GATITDPFKHFVGMLPEKDCRYALYDASFETKESRKEELMFFLWAPEQAPLKSKMIYASS KDAIKKKFPGIKHEYQANGPEDLNRTCIAEKLGGSLIVAFEGSPV >ENSMUSP00000101695.1 pep:known chromosome:GRCm38:11:121701544:121716286:1 gene:ENSMUSG00000039208.15 transcript:ENSMUST00000106089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metrnl description:meteorin, glial cell differentiation regulator-like [Source:MGI Symbol;Acc:MGI:2384806] MYPTGALIVNLRPNTFSPAQNLTVCIKPFRDSSGANIYLEKTGELRLLVRDIRGEPGQVQ CFSLEQGGLFVEATPQQDISRRTTGFQYELMSGQRGLDLHVLSAPCRPCSDTEVLLAICT SDFVVRGFIEDVTHVPEQQVSVIYLRVNRLHRQKSRVFQPAPEDSGHWLGHVTTLLQCGV RPGHGEFLFTGHVHFGEAQLGCAPRFSDFQRMYRKAEEMGINPCEINME >ENSMUSP00000038126.7 pep:known chromosome:GRCm38:11:121702411:121716306:1 gene:ENSMUSG00000039208.15 transcript:ENSMUST00000036742.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metrnl description:meteorin, glial cell differentiation regulator-like [Source:MGI Symbol;Acc:MGI:2384806] MRGAVWAARRRAGQQWPRSPGPGPGPPPPPPLLLLLLLLLGGASAQYSSDLCSWKGSGLT REARSKEVEQVYLRCSAGSVEWMYPTGALIVNLRPNTFSPAQNLTVCIKPFRDSSGANIY LEKTGELRLLVRDIRGEPGQVQCFSLEQGGLFVEATPQQDISRRTTGFQYELMSGQRGLD LHVLSAPCRPCSDTEVLLAICTSDFVVRGFIEDVTHVPEQQVSVIYLRVNRLHRQKSRVF QPAPEDSGHWLGHVTTLLQCGVRPGHGEFLFTGHVHFGEAQLGCAPRFSDFQRMYRKAEE MGINPCEINME >ENSMUSP00000122949.1 pep:known chromosome:GRCm38:11:121702763:121715829:1 gene:ENSMUSG00000039208.15 transcript:ENSMUST00000125580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metrnl description:meteorin, glial cell differentiation regulator-like [Source:MGI Symbol;Acc:MGI:2384806] VLQRPVQLEGEVSLAGDSSVDSLDLDRSPRQRVAELAGGLTREARSKEVEQVYLRCSAGS VEWMYPTGALIVNLRPNTFSPAQNLTVCIKPFRDSSGANIYLEKTGELRLLVRDIRGEPG QVQCFSLEQGGLFVEATPQQDISRRTTGFQYELMSGQRGLDLHVLSAPCRPCSDTEVLLA ICTSDFVVRGFIEDVTHVPEQQVSVIYLRVNRLHRQK >ENSMUSP00000068999.2 pep:known chromosome:GRCm38:2:119174509:119177575:1 gene:ENSMUSG00000055926.2 transcript:ENSMUST00000069711.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14137 description:predicted gene 14137 [Source:MGI Symbol;Acc:MGI:3651144] MTLRSSMETWRKGSFRNASFFKRITLGRPRRLHRQGSILSQASTAGGDHEEYSNREVIRE LQGRPDGRRLPLWGDEHPRATLLAPPKPPRLYRESSSCPNILEPPASYTAGYSATLPSAI SLTGPLHQCSEEALSDTPHFPRTPTPDLSDPFLSFKVDLGLSLLEEVLQILKEQFPSEPH F >ENSMUSP00000041841.3 pep:known chromosome:GRCm38:2:119172500:119208795:-1 gene:ENSMUSG00000034278.3 transcript:ENSMUST00000038439.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc17 description:DnaJ heat shock protein family (Hsp40) member C17 [Source:MGI Symbol;Acc:MGI:1916658] MAVTKELLQMDLYALLGIEEKAADKEVKKAYRQKALSCHPDKNPDNPRAAELFHQLSQAL EVLTDAAARAAYDKVRKAKKQAAERTQRLDENRKKVKLDLEARERQAQAHGSEEEEESRS ATTLEQEIARLREEGSRQLEEQQRLIQEQIRQDREQRLRGRTENTEGKGTPKLKLKWKCK KEDESQGGYSRDVLLRLLQKYGEVLNLVLSRKKAGNAIVEFATVRAAELAVRNEVGLADN PLKVSWLEGQPQSTVDPGPPGLSKGSVLSERDFESLVMMRMRQAAERQQLIAQMQQEDEG RPT >ENSMUSP00000061597.2 pep:known chromosome:GRCm38:1:135324807:135368065:1 gene:ENSMUSG00000048096.7 transcript:ENSMUST00000059352.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmod1 description:leiomodin 1 (smooth muscle) [Source:MGI Symbol;Acc:MGI:2135671] MSKVAKYRRQVSEDPDIDSLLSTLSPEEMEELEKELDVVDPDGSIPVGLRQRNQTDKQPS GSFNREAMLNFCEKESKKLIQREMSVDESKQVGRKTDAKNGEEKDSDASRKAPGPRQDSD LGKEPKKGVLKKSFSRDREEADGRGGEKPKEEKVIRGIDKGRVRAAVDRKESGKDGREER AAAARKEEEKTGSVKNAGLSRDKDKKKEEVKEPSKKEEVKLTAESRNTVGRREDGRLKES SKENKKPEDEGIGSGGRDWRKEDEKVKKEENQPDKEVREESKTKAPEKQAPSCPNKPSDG QARAEEEAAPSIFDEPLEKVKNNDPEMTEVNVNNSDCITNEILVRFTEALEFNTVVKVFA LANTRADDHVAFAIAIMLKANKTITSLNLDSNHITGKGILAIFRALLQNNTLTELRFHNQ RHICGGKTEMEIAKLLKENTTLLKLGYHFELAGPRMTVTNLLSRNMDKQRQKRLQEQKQA QEASGEKKDRLEVPKVGALAKGSPKPSPQPSPKPAPKNSPKKAGVPAAPPPPPPPLAPPL IMENLKNSLSPATQRKMGDKVLPAQEKNSRDQLLAAIRSSNLKQLKKVEVPKLLQ >ENSMUSP00000047202.7 pep:known chromosome:GRCm38:17:36948356:36951713:-1 gene:ENSMUSG00000036398.13 transcript:ENSMUST00000040402.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r11 description:protein phosphatase 1, regulatory (inhibitor) subunit 11 [Source:MGI Symbol;Acc:MGI:1923747] MAETGAGISETVTETTVTETTVTETTEPENQSLTMKLRKRKPEKKVEWSSDTVDNEHMGR RSSKCCCIYEKPRAFGESSTESDEDEEEGCSHKHCVRGHRKGRRPTTPAPTPTTPPQPPD PSKPPPGPMQH >ENSMUSP00000134685.1 pep:known chromosome:GRCm38:17:36949290:36951741:-1 gene:ENSMUSG00000036398.13 transcript:ENSMUST00000174711.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r11 description:protein phosphatase 1, regulatory (inhibitor) subunit 11 [Source:MGI Symbol;Acc:MGI:1923747] MAETGAGISETVTETTVTETTVTETTEPENQSLTMKLRKRKPEKKVEWSSDTVDNEHMGR RSSKCCCIYEKPRAFGESSTESDEDEEEGCSHKHCVRGHRKGRRPTTPAPTPTTPPQPPD PSKPPPGPMQH >ENSMUSP00000134322.2 pep:known chromosome:GRCm38:17:36949355:36951338:-1 gene:ENSMUSG00000036398.13 transcript:ENSMUST00000173540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r11 description:protein phosphatase 1, regulatory (inhibitor) subunit 11 [Source:MGI Symbol;Acc:MGI:1923747] MEWVRVKAEEMRKQENKENQSLTMKLRKRKPEKKVEWSSDTVDNEHMGRRSSKCCCIYEK PRAFGESSTESDEDEEEGCSHKHCVRGHRKGRRPTTPAPTPTTPPQPPDPSKPPPGPMQH >ENSMUSP00000000641.9 pep:known chromosome:GRCm38:6:82911885:82939769:-1 gene:ENSMUSG00000000627.15 transcript:ENSMUST00000000641.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4f description:sema domain, immunoglobulin domain (Ig), TM domain, and short cytoplasmic domain [Source:MGI Symbol;Acc:MGI:1340055] MLARAERPRPGPRPPPVSLFPPPSSLLLLLLAMLSAPVCGRVPRSVPRTSLPISEADSYL TRFAAPHTYNYSALLVDPASHTLYVGARDSIFALTLPFSGEKPRRIDWMVPETHRQNCRK KGKKEDECHNFIQILAIANASHLLTCGTFAFDPKCGVIDVSSFQQVERLESGRGKCPFEP AQRSAAVMAGGVLYTATVKNFLGTEPIISRAVGRAEDWIRTETLSSWLNAPAFVAAMVLS PAEWGDEDGDDEIFFFFTETSRVLDSYERIKVPRVARVCAGDLGGRKTLQQRWTTFLKAD LLCPGPEHGRASGVLQDMTELRPQPGAGTPLFYGIFSSQWEGAAISAVCAFRPQDIRAVL NGPFRELKHDCNRGLPVMDNEVPQPRPGECITNNMKFQQFGSSLSLPDRVLTFIRDHPLM DRPVFPADGRPLLVTTDTAYLRVVAHRVTSLSGKEYDVLYLGTEDGHLHRAVRIGAQLSV LEDLALFPETQPVESMKLYHDWLLVGSHTEVTQVNTSNCGRLQSCSECILAQDPVCAWSF RLDACVAHAGEHRGMVQDIESADVSSLCPKEPGEHPVVFEVPVATVGHVVLPCSPSSAWA SCVWHQPSGVTSLTPRRDGLEVVVTPGAMGAYACECQEGGAARVVAAYSLVWGSQRGPAN RAHTVVGAGLVGFFLGVLAASLTLLLIGRRQQRRRQRELLARDKVGLDLGAPPSGTTSYS QDPPSPSPEDERLPLALGKRGSGFGGFPPPFLLDSCPSPAHIRLTGAPLATCDETSI >ENSMUSP00000144745.1 pep:known chromosome:GRCm38:6:82918601:82939545:-1 gene:ENSMUSG00000000627.15 transcript:ENSMUST00000203271.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema4f description:sema domain, immunoglobulin domain (Ig), TM domain, and short cytoplasmic domain [Source:MGI Symbol;Acc:MGI:1340055] LLLLLAMLSAPVCGRVPRSVPRTSLPISG >ENSMUSP00000044188.8 pep:known chromosome:GRCm38:1:86526726:86530712:1 gene:ENSMUSG00000026238.14 transcript:ENSMUST00000045897.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptma description:prothymosin alpha [Source:MGI Symbol;Acc:MGI:97803] MSDAAVDTSSEITTKDLKEKKEVVEEAENGRDAPANGNAQNEENGEQEADNEVDEEEEEG GEEEEEEEEGDGEEEDGDEDEEAEAPTGKRVAEDDEDDDVDTKKQKTEEDD >ENSMUSP00000139690.1 pep:known chromosome:GRCm38:1:86526736:86530371:1 gene:ENSMUSG00000026238.14 transcript:ENSMUST00000186255.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptma description:prothymosin alpha [Source:MGI Symbol;Acc:MGI:97803] MSDAAVDTSSEITTKDLKEKKEVVEEAENGRDAPANGNAVRRRMEMKMRKLRLLRASG >ENSMUSP00000140270.1 pep:known chromosome:GRCm38:1:86526737:86529527:1 gene:ENSMUSG00000026238.14 transcript:ENSMUST00000188699.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptma description:prothymosin alpha [Source:MGI Symbol;Acc:MGI:97803] MSDAAVDTSSEITTKWGLSPSRLVSLNSRGSPSPSAGATPCRARSGAMPATAVTLCSKHG PTSALGGSLRHTATAFQEGFLRGGAPSSWKPAAKGVQDLKEKKEVVEEAENGRDAPANGN AQNEENGEQEADNEVDEEEEEGGEE >ENSMUSP00000139849.1 pep:known chromosome:GRCm38:1:86526807:86530209:1 gene:ENSMUSG00000026238.14 transcript:ENSMUST00000188533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptma description:prothymosin alpha [Source:MGI Symbol;Acc:MGI:97803] MSDAAVDTSSEITTKDLKEKKEVVEEAENGRDAPANGNAQNEENGEQEADNEVDEEEEEG GEEEEEEEEGDGEEEDGDEDEEAEAPTGKRVAEDDEDD >ENSMUSP00000095249.3 pep:known chromosome:GRCm38:1:91928779:92180341:-1 gene:ENSMUSG00000026313.15 transcript:ENSMUST00000097644.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac4 description:histone deacetylase 4 [Source:MGI Symbol;Acc:MGI:3036234] MSSQSHPDGLSGRDQPVELLNPARVNHMPSTVDVATALPLQVAPTAVPMDLRLDHQFSLP LEPALREQQLQQELLALKQKQQIQRQILIAEFQRQHEQLSRQHEAQLHEHIKQQQEMLAM KHQQELLEHQRKLERHRQEQELEKQHREQKLQQLKNKEKGKESAVASTEVKMKLQEFVLN KKKALAHRNLNHCISSDPRYWYGKTQHSSLDQSSPPQSGVSASYNHPVLGMYDAKDDFPL RKTASEPNLKLRSRLKQKVAERRSSPLLRRKDGPVATALKKRPLDVTDSACSSAPGSGPS SPNSSSGNVSTENGIAPTVPSAPAETSLAHRLVTREGSVAPLPLYTSPSLPNITLGLPAT GPAAGAAGQQDAERLALPALQQRILFPGTHLTPYLSTSPLERDGAAAHNPLLQHMVLLEQ PPTQTPLVTGLGALPLHSQSLVGADRVSPSIHKLRQHRPLGRTQSAPLPQNAQALQHLVI QQQHQQFLEKHKQQFQQQQLHLSKIISKPSEPPRQPESHPEETEEELREHQALLDEPYLD RLPGQKEPSLAGVQVKQEPIESEEEEAEATRETEPGQRPATEQELLFRQQALLLEQQRIH QLRNYQASMEAAGIPVSFGSHRPLSRAQSSPASATFPMSVQEPPTKPRFTTGLVYDTLML KHQCTCGNTNSHPEHAGRIQSIWSRLQETGLRGKCECIRGRKATLEELQTVHSEAHTLLY GTNPLNRQKLDSSLTSVFVRLPCGGVGVDSDTIWNEVHSSGAARLAVGCVVELVFKVATG ELKNGFAVVRPPGHHAEESTPMGFCYFNSVAVAAKLLQQRLNVSKILIVDWDVHHGNGTQ QAFYNDPNVLYMSLHRYDDGNFFPGSGAPDEVGTGPGVGFNVNMAFTGGLEPPMGDAEYL AAFRTVVMPIANEFAPDVVLVSSGFDAVEGHPTPLGGYNLSAKCFGYLTKQLMGLAGGRL VLALEGGHDLTAICDASEACVSALLGNELEPLPEKVLHQRPNANAVHSMEKVMDIHSKYW RCLQRLSSTVGHSLIEAQKCEKEEAETVTAMASLSVGVKPAEKRSEEEPMEEEPPL >ENSMUSP00000140092.1 pep:known chromosome:GRCm38:1:91955374:91975501:-1 gene:ENSMUSG00000026313.15 transcript:ENSMUST00000187308.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac4 description:histone deacetylase 4 [Source:MGI Symbol;Acc:MGI:3036234] ATRETEPGQRPATEQELLFRQQALLLEQQRIHQLRNYQASMEAAGIPVSFGSHRPLSRAQ SSPASATFPMSVQEPPTKPRFTTGLVYDTLMLKHQCTCGNTNSHPEHAGRIQSIWSRLQE TGLRGKCECIRGRKATLEELQTVHSEAHTLLYGTNPLNRQKLDSKKLLGSLTSVFVRLPC GGVGVDSDTIWNEVHSSGAARLAVGCVVELVFKVATGELKNGFAVVRPPGHHAEESTPMG FCYFNSVAVAAKLLQQRLNVSKILIVDWDVHHGNGTQQAFYNDPNVLYMSLHRYDDGNFF PGSGAPDEVGTGP >ENSMUSP00000141018.1 pep:known chromosome:GRCm38:1:92029955:92180297:-1 gene:ENSMUSG00000026313.15 transcript:ENSMUST00000186002.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac4 description:histone deacetylase 4 [Source:MGI Symbol;Acc:MGI:3036234] MSSQSHPDGLSGRDQPVELLNPARVNHMPSTVDVATALPLQVAPTAVPMDLRLDHQFSLP LEPALREQQLQQELLALKQKQQIQRQILIAEFQRQHEQLSRQHEAQLHEHIK >ENSMUSP00000008995.8 pep:known chromosome:GRCm38:1:91932757:92148393:-1 gene:ENSMUSG00000026313.15 transcript:ENSMUST00000008995.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac4 description:histone deacetylase 4 [Source:MGI Symbol;Acc:MGI:3036234] MSSQSHPDGLSGRDQPVELLNPARVNHMPSTVDVATALPLQVAPTAVPMDLRLDHQFSLP LEPALREQQLQQELLALKQKQQIQRQILIAEFQRQHEQLSRQHEAQLHEHIKQQQEMLAM KHQQELLEHQRKLERHRQEQELEKQHREQKLQQLKNKEKGKESAVASTEVKMKLQEFVLN KKKALAHRNLNHCISSDPRYWYGKTQHSSLDQSSPPQSGVSASYNHPVLGMYDAKDDFPL RKTASEPNLKLRSRLKQKVAERRSSPLLRRKDGPVATALKKRPLDVTDSACSSAPGSGPS SPNSSSGNVSTENGIAPTVPSAPAETSLAHRLVTREGSVAPLPLYTSPSLPNITLGLPAT GPAAGAAGQQDAERLALPALQQRILFPGTHLTPYLSTSPLERDGAAAHNPLLQHMVLLEQ PPTQTPLVTGLGALPLHSQSLVGADRVSPSIHKLRQHRPLGRTQSAPLPQNAQALQHLVI QQQHQQFLEKHKQQFQQQQLHLSKIISKPSEPPRQPESHPEETEEELREHQALLDEPYLD RLPGQKEPSLAGVQVKQEPIESEEEEAEATRETEPGQRPATEQELLFRQQALLLEQQRIH QLRNYQASMEAAGIPVSFGSHRPLSRAQSSPASATFPMSVQEPPTKPRFTTGLVYDTLML KHQCTCGNTNSHPEHAGRIQSIWSRLQETGLRGKCECIRGRKATLEELQTVHSEAHTLLY GTNPLNRQKLDSSLTSVFVRLPCGGVGVDSDTIWNEVHSSGAARLAVGCVVELVFKVATG ELKNGFAVVRPPGHHAEESTPMGFCYFNSVAVAAKLLQQRLNVSKILIVDWDVHHGNGTQ QAFYNDPNVLYMSLHRYDDGNFFPGSGAPDEVGTGPGVGFNVNMAFTGGLEPPMGDAEYL AAFRTVVMPIANEFAPDVVLVSSGFDAVEGHPTPLGGYNLSAKCFGYLTKQLMGLAGGRL VLALEGGHDLTAICDASEACVSALLGNELEPLPEKVLHQRPNANAVHSMEKVMDIHSKYW RCLQRLSSTVGHSLIEAQKCEKEEAETVTAMASLSVGVKPAEKRSEEEPMEEEPPL >ENSMUSP00000140454.1 pep:known chromosome:GRCm38:12:111979111:111981317:-1 gene:ENSMUSG00000091402.7 transcript:ENSMUST00000189759.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rd3l description:retinal degeneration 3-like [Source:MGI Symbol;Acc:MGI:2675860] MPLFSWVKWPRNYSYKQTRYAGSEVVTKTLLRELKWHLKERERLIHEIENEQKVKKTGVD YNWLRSYQTSHTMIPATEQRQLEVLCSQVQPCQAGTVLSRFRELLAENDVLPWEIVYIFK QVLKDFLSSPARGGHHAGLWDSDITGPPTPALPGESSERPDKEEIPTISSYVDKHAKNRF PPCSHRVWNLPYYYPPS >ENSMUSP00000140569.1 pep:known chromosome:GRCm38:12:111979112:111980143:-1 gene:ENSMUSG00000091402.7 transcript:ENSMUST00000190680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rd3l description:retinal degeneration 3-like [Source:MGI Symbol;Acc:MGI:2675860] XYQTSHTMIPATEQRQLEVLCSQVQPCQAGTVLSRFRELLAENDVLPWEIVYIFKQVLKD FLSSPARGGHHAGLWDSDITGPPTPALPGESSERPDKEEIPTISSYVDKHAKNRFPPCSH RVWNLPYYYPPS >ENSMUSP00000140410.1 pep:known chromosome:GRCm38:12:111979145:111981054:-1 gene:ENSMUSG00000091402.7 transcript:ENSMUST00000190536.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rd3l description:retinal degeneration 3-like [Source:MGI Symbol;Acc:MGI:2675860] MPLFSWVKWPRNYSYKQTRYAGSEVVTKTLLRELKWHLKERERLIHEIENEQKVKKTGVD YNWLRSYQTSHTMIPATEQRQLEVLCSQVQPCQAGTVLSRFRELLAENDVLPWEIVYIFK QVLKDFLSSPARGGHHAGLWDSDITGPPTPALPGESSERPDKEEIPTISSYVDKHAKNRF PPCSHRVWNLPYYYPPS >ENSMUSP00000130014.1 pep:known chromosome:GRCm38:12:111979189:111980469:-1 gene:ENSMUSG00000091402.7 transcript:ENSMUST00000170525.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rd3l description:retinal degeneration 3-like [Source:MGI Symbol;Acc:MGI:2675860] MPLFSWVKWPRNYSYKQTRYAGSEVVTKTLLRELKWHLKERERLIHEIENEQKVKKTGVD YNWLRSYQTSHTMIPATEQRQLEVLCSQVQPCQAGTVLSRFRELLAENDVLPWEIVYIFK QVLKDFLSSPARGGHHAGLWDSDITGPPTPALPGESSERPDKEEIPTISSYVDKHAKNRF PPCSHRVWNLPYYYPPS >ENSMUSP00000140987.1 pep:known chromosome:GRCm38:12:111979191:111981102:-1 gene:ENSMUSG00000091402.7 transcript:ENSMUST00000185354.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rd3l description:retinal degeneration 3-like [Source:MGI Symbol;Acc:MGI:2675860] MPLFSWVKWPRNYSYKQTRYAGSEVVTKTLLRELKWHLKERERLIHEIENEQKVKKTGVD YNWLRSYQTSHTMIPATEQRQLEVLCSQVQPCQAGTVLSR >ENSMUSP00000078022.5 pep:known chromosome:GRCm38:12:111971559:112068854:1 gene:ENSMUSG00000054003.13 transcript:ENSMUST00000079009.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd9 description:tudor domain containing 9 [Source:MGI Symbol;Acc:MGI:1921941] MLRKLTVDQINDWFTIGKTVTNVELLGLPPAFPAEAPREEVQRSEEVPNEDPTAQAQVPV KATAPARPASTSGRSLSQRSSEMEYINKYRQLEEQELDIYGQDQPPSGPGLRSPLAKLSN VACIPETTYKYPDLPINRCKEEVISLIESNSVVIIHGATGSGKSTQLPQYVLDHYTQRSA FCNIVVTQPRKIGASSIARWISKERSWTLGGLVGYQVGLEKIATEDTRLIYMTTGVLLQK IVSAKSLMEFTHIFIDEVHERTEEMDFLLLVVRKLLRTNSRFVKVVLMSATINCKQFADY FAVPVQNKMNPAYVFEVEGKPHAIEEYYLNDLGHIYHSGLPYRLEEPVITKDVYEVAVSL IQMFDDLDMKESGNKTWSGAQFVSERSSVLVFLPGLGEINYMHELLTNMIHKRLQVYPLH SSVTLEEQNNVFLSPVPGYRKIILSTNIAESSVTVPDVKYVIDFCLTRTLVCDEDTNYQS LRLSWASKTSCDQRKGRAGRVSKGYCYRLIPRDFWDSAIPDHVVPEMLRCPLGSTILKVK LLDMGEPRALLATALSPPSLSDIERTILLLKEVGALAVSGQREDENPHDGELTFLGRVLA QLPVSQQLGKLVVLGHVFGCLDECLIIAAALSLKNFFTMPFRQHLDGYRNKVHFSGSSRS DCLALVEAFRAWQACRQRGELRRPKDELDWGRLNYIQIKRIREVAELYEELKNRISQFNM FVGPHHPVLDQEYPYKQRFILQVVLAGAFYPNYFTFGQPDEEMAVRELAGKDPKTTVVLK HIPPYGFLYYKQLQSLFRQCGQVKSIVFDGAKAFVEFSRNPTERFKTLPAVNLAVKMSQL KVSLELSVHAAEEIEGKVQGGSVSKLRNTRVNVDFQKQTVDPMQVSFNTLDRPRTVADLL LTIDVTEVVEVGHFWGYRIDERNAELLKQLTAEINRLELVPLPIHPHPDLVCLAPFTDYN KESYFRAQILYVSGNSAEVFFVDYGNRSHVDLDLLREIPCQFLELPFQALEFKICKMRPS AKSLICGEHWSGGAHGRFAALVGGCPLLVKVFSIVHSVLHVDVYRYSGAQDAVNVRDVLI REGYAELAEESYESKQSYEVLKGFFAKSVDTMPDGSVSSPLKDDEKHLLRILLESFASNR LGAPNCKAVLHGPFNPYELKCHSLTRISKFRCVWIEKESINSVVISDSPADLHQRMLVAA SLSVNETGSTMLLRETSLMPHIPGLPALLSMLFAPVMELRVDREGKCYTGVLCGLGWNSA TEAPILPEHDIELAFDVRLNVEDIVEINILRAAINKLVCDGPNGSKYLGPERIAQLQENA RQKLLGLFCRLKPREKITPQWHEKPYEWNQVDPRLIMEQAEPEGSPGKSTSLYQLHTPVV LSP >ENSMUSP00000141719.1 pep:known chromosome:GRCm38:12:111993758:112068350:1 gene:ENSMUSG00000054003.13 transcript:ENSMUST00000191808.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tdrd9 description:tudor domain containing 9 [Source:MGI Symbol;Acc:MGI:1921941] XGSGKSTQLPQYVLDHYTQRSAFCNIVVTQPRKIGASSIARWISKERSWTLGGLVGYQVG LEKIATEDTRLIYMTTGVLLQKIVSAKSLMEFTHIFIDEVHERTEEMDFLLLVVRKLLRT NSRFVKVVLMSATINCKQFADYFAVPVQNKMNPAYVFEVEGKPHAIEEYYLNDLGHIYHS GLPYRLEEPVITKDVYEVAVSLIQMFDDLDMKESGNKTWSGAQFVSERSSVLVFLPGLGE INYMHELLTNMIHKRLQVYPLHSSVTLEEQNNVFLSPVPGYRKIILSTNIAESSVTVPDV KYVIDFCLTRTLVCDEDTNYQSLRLSWASKTSCDQRKGRAGRVSKGYCYRLIPRDFWDSA IPDHVVPEMLRCPLGSTILKVKLLDMGEPRALLATALSPPSLSDIERTILLLKEVGALAV SGQREDENPHDGELTFLGRVLAQLPVSQQLGKLVVLGHVFGCLDECLIIAAALSLKNFFT MPFRQHLDGYRNKVHFSGSSRSDCLALVEAFRAWQACRQRGELRRPKSICGVFTESNRKI >ENSMUSP00000142236.1 pep:known chromosome:GRCm38:12:111993758:112068350:1 gene:ENSMUSG00000054003.13 transcript:ENSMUST00000192125.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tdrd9 description:tudor domain containing 9 [Source:MGI Symbol;Acc:MGI:1921941] XGSGKSTQLPQYVLDHYTQRSAFCNIVVTQPRKIGASSIARWISKERSWTLGGLVGYQVG LEKIATEDTRLIYMTTGVLLQKIVSAKSLMEFTHIFIDEVHERTEEMDFLLLVVRKLLRT NSRFVKVVLMSATINCKQFADYFAVPVQNKMNPAYVFEVEGKPHAIEEYYLNDLGHIYHS GLPYRLEEPVITKDVYEVAVSLIQMFDDLDMKESGSG >ENSMUSP00000122509.2 pep:known chromosome:GRCm38:11:108425192:108860615:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000150863.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] MPAAARGGGTGEFASPAPGLLDGPQGFETVWLWRLGVGGRVDGLLVGAPDRIMETGSEEE KWEKLDAEFDHFVVDMKPFVLKLPHRSERQRCALWIRKLCEPSGTGAGLMGRKNRNLYAK LLLHMLRRGILEGPFTHRPEPGTLKTLPSYMSIYFDEPNQAQPKDSSPEKLPDWVRGELQ TGEQRLSDSWQCSSGEDNTLVLAASDAHREQYTGKLRMRSHSVSPTYREDKQHITSKICE VHSKTSPISLDDSDIEVRLNSWNLGIENPRYLRQKPLPVSLMTPKGSLRKASSLHDDHFL SRMHEKELDMKTKMMEAKFSEEKLKLQQKHDAEVQKILERKNNELEELKILYKKKQTETE ETIRKLEKKVQILIRDCQVIRETKENQITELKKICEQSTESLNNDWEKKLHNAVAEMEKD KFELQKHHTETIQELLEDTNVRLSKMEADYVVQMQSTNHMIKELEGRVQQLMGEAENSNL QRQKLTQEKLELERCYQITCNELQELKTRRNILHKEKEHLVNDYEQNVKLLKTKYDSDIN LLRQEHALSTSKTSGVIEELEQNICQLKQQVQESELQRKQQVKDQENKFHMEKNHLKHTY EKKVHELQSELDKEKEDAQRKIHKFEEALKEKEEQLSRVTEVQRLQAQQADAALEEFKRQ VEVNSEKVYGEMKEQMEKVEADLTRSKSLREKQSKEFLWQLEDAKQRYEQQIVELKLEHE QEKTHLLQQHSAEKDSLVRDHDREIENLEKQLRAANMEHENQIQESKKRDAQVIADMEAQ VHKLREELISVNSQRKQQLIELGLLREEEKQRAAKDHETAVKKLKAESERVKMELKKTHA AETEMTLEKANSRLKQIEKEYTQKLAKSSQIIAELQTTISSLKEESSRQQLAAERRLQDV IQKFEDEKQQLIRDNDQAIKALQDELETRSHQVRSAEKKLHHKELEAQEQIMYIRQEYET KFKGLMPASLRQELEDTISSLKSQVNFLQKRASILQEELTTYQSRR >ENSMUSP00000114452.2 pep:known chromosome:GRCm38:11:108425291:108440508:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000149683.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] METGSEEEKWEKLDAEFDHFVVDMKPFVLKLPHRSERQRCALWIRKLCEPSGTGAGLMGR KNRNLYAKLLLHMLRRGILEGPFTHRPEPGTLKTLPSYMSIYFDEPNQAQPKDSSPEKLP DWVRGEL >ENSMUSP00000117192.1 pep:known chromosome:GRCm38:11:108425461:108531415:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000138538.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] METGSEEEKWEKLDAEFDHFVVDMKPFVLKLPHRSERQRCALWIRKLCEPSGTGAGLMGR KNRNLYAKLLLHMLRRGILEGPFTHRPEPGTLKTLPSYMSIYFDEPNQAQPKDSSPEKLP DWVRGELQTGEQRLSDSWQCSSGEDNTLVLAASDAHREQYTGKLRMRSHSVSPTYREDKQ HITSKICEVHSKTSPISLDDSDIEVRLNSWNLGIENPRYLRQKPLPVSLMTPKGSLRKAS SLHDDHFLSRMHEKELDMKTKMMEAKFSEEKLKLQQKHDAEVQKILERKNNELEELKILY KKKQTETEETIRKLEKKVQILIRDCQVIRETKENQITELKKICEQSTESLNNDWEKKLHN AVAEMEKDKFELQKHHTETIQELLEDTNVRLSKMEADYVVQMQSTNHMIKELEGRVQQLM GEAENSNLQRQKLTQEKLELERCYQITCNELQELKTRRNILHKEKEHLVNDYEQNVKLLK TKYDSDINLLRQEHALSTSKTSGVIEELEQNICQLKQQVQESELQRKQQVKDQENKFHME KNHLKHTYEKKVHELQSELDK >ENSMUSP00000114569.2 pep:known chromosome:GRCm38:11:108425504:108860615:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000130515.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] METGSEEEKWEKLDAEFDHFVVDMKPFVLKLPHRSERQRCALWIRKLCEPSGTGAGLMGR KNRNLYAKLLLHMLRRGILEGPFTHRPEPGTLKTLPSYMSIYFDEPNQAQPKDSSPEKLP DWVRGELQTGEQRLSDSWQCSSGEDNTLVLAASDAHREQYTGKLRMRSHSVSPTYREDKQ HITSKICEVHSKTSPISLDDSDIEVRLNSWNLGIENPRYLRQKPLPVSLMTPKGSLRKAS SLHDDHFLSRMHEKELDMKTKMMEAKFSEEKLKLQQKHDAEVQKILERKNNELEELKILY KKKQTETEETIRKLEKKVQILIRDCQVIRETKENQITELKKICEQSTESLNNDWEKKLHN AVAEMEKDKFELQKHHTETIQELLEDTNVRLSKMEADYVVQMQSTNHMIKELEGRVQQLM GEAENSNLQRQKLTQEKLELERCYQITCNELQELKTRRNILHKEKEHLVNDYEQNVKLLK TKYDSDINLLRQEHALSTSKTSGVIEELEQNICQLKQQVQESELQRKQQVKDQENKFHME KNHLKHTYEKKVHELQSELDKEKEDAQRKIHKFEEALKEKEEQLSRVTEVQRLQAQQADA ALEEFKRQVEVNSEKVYGEMKEQMEKVEADLTRSKSLREKQSKEFLWQLEDAKQRYEQQI VELKLEHEQEKTHLLQQHSAEKDSLVRDHDREIENLEKQLRAANMEHENQIQESKKRDAQ VIADMEAQVHKLREELISVNSQRKQQLIELGLLREEEKQRAAKDHETAVKKLKAESERVK MELKKTHAAETEMTLEKANSRLKQIEKEYTQKLAKSSQIIAELQTTISSLKEESSRQQLA AERRLQDVIQKFEDEKQQLIRDNDQAIKALQDELETRSHQVRSAEKKLHHKELEAQEQIM YIRQEYETKFKGLMPASLRQELEDTISSLKSQVNFLQKRASILQEELTTYQSRR >ENSMUSP00000138235.1 pep:known chromosome:GRCm38:11:108425612:108860259:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000182729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] METGSEEEKWEKLDAEFDHFVVDMKPFVLKLPHRSERQRCALWIRKLCEPSGTGAGLMGR KNRNLYAKLLLHMLRRGILEGPFTHRPEPGTLKTLPSYMSIYFDEPNQAQPKDSSPEKLP DWVRGELQTGEQRLSDSWQCSSGEDNTLVLAASDAHREQYTGKLRMRSHSVSPTYREDKQ HITSKICEVHSKTSPISLDDSDIEVRLNSWNLGLDMKTKMMEAKFSEEKLKLQQKHDAEV QKILERKNNELEELKILYKKKQTETEETIRKLEKKVQILIRDCQVIRETKENQITELKKI CEQSTESLNNDWEKKLHNAVAEMEKDKFELQKHHTETIQELLEDTNVRLSKMEADYVVQM QSTNHMIKELEGRVQQLMGEAENSNLQRQKLTQEKLELERCYQITCNELQELKTRRNILH KEKEHLVNDYEQNVKLLKTKYDSDINLLRQEHALSTSKTSGVIEELEQNICQLKQQVQES ELQRKQQVKDQENKFHMEKNHLKHTYEKKVHELQSELDKEKEDAQRKIHKFEEALKEKEE QLSRVTEVQRLQAQQADAALEEFKRQVEVNSEKVYGEMKEQMEKVEADLTRSKSLREKQS KEFLWQLEDAKQRYEQQIVELKLEHEQEKTHLLQQHSAEKDSLVRDHDREIENLEKQLRA ANMEHENQIQESKKRDAQVIADMEAQVHKLREELISVNSQRKQQLIELGLLREEEKQRAA KDHETAVKKLKAESERVKMELKKTHAAETEMTLEKANSRLKQIEKEYTQKLAKSSQIIAE LQTTISSLKEESSRQQLAAERRLQDVIQKFEDEKQQLIRDNDQAIKALQDELETRSHQVR SAEKKLHHKELEAQEQIMYIRQEYETKFKGLMPASLRQELEDTISSLKSQVNFLQKRASI LQEELTTYQSRR >ENSMUSP00000114627.1 pep:known chromosome:GRCm38:11:108498301:108860350:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000133670.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] QQKHDAEVQKILERKNNELEELKILYKKKQTETEETIRKLEKKVQILIRDCQVIRETKEN QITELKKICEQSTESLNNDWEKKLHNAVAEMEKDKFELQKHHTETIQELLEDTNVRLSKM EADYVVQMQSTNHMIKELEGRVQQLMGEAENSNLQRQKLTQEKLELERCYQITCNELQEL KTRRNILHKEKEHLVNDYEQNVKLLKTKYDSDINLLRQEHALSTSKTSGVIEELEQNICQ LKQQVQESELQRKQQVKDQENKFHMEKNHLKHTYEKKVHELQSELDKEKEDAQRKIHKFE EALKEKEEQLSRVTEVQRLQAQQADAALEEFKRQVEVNSEKVYGEMKEQMEKVEADLTRS KSLREKQSKEFLWQLEDAKQRYEQQIVELKLEHEQEKTHLLQQHSAEKDSLVRDHDREIE NLEKQLRAANMEHENQIQESKKRDAQVIADMEAQVHKLREELISVNSQRKQQLIELGLLR EEEKQRAAKDHETAVKKLKAESERVKMELKKTHAAETEMTLEKALQDELETRSHQVRSAE KKLHHKELEAQEQIMYIRQEYETKFKGLMPASLRQELEDTISSLKSQVNFLQKRASILQE ELTTYQSRR >ENSMUSP00000120601.2 pep:known chromosome:GRCm38:11:108587077:108757737:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000146912.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] MEWGLAFSMEKVEADLTRSKSLREKQSKEFLWQLEDAKQRYEQQIVELKLEHEQEKTHLL QQHSAEKDSLVRDHDREIENLEKQLRAANMEHENQIQESKKRDAQVIADMEAQVHKLREE LISVNSQRKQQLIELGLLREEEKQRAAKDHETAVKKLKAESERVKMELKKTHAAETEMTL EKANSRLKQIEKEYTQKLAKSSQIIAELQTTISSLKEESSRQQLAA >ENSMUSP00000102329.3 pep:known chromosome:GRCm38:11:108682602:108860349:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000106718.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] MRPLRDTGPSVPKENMIIAELQTTISSLKEESSRQQLAAERRLQDVIQKFEDEKQQLIRD NDQAIKALQDELETRSHQVRSAEKKLHHKELEAQEQIMYIRQEYETKFKGLMPASLRQEL EDTISSLKSQVNFLQKRASILQEELTTYQSRR >ENSMUSP00000102326.2 pep:known chromosome:GRCm38:11:108682609:108860615:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000106715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] MRPLRDTGPSVPKENMANSRLKQIEKEYTQKLAKSSQIIAELQTTISSLKEESSRQQLAA ERRLQDVIQKFEDEKQQLIRDNDQAIKALQDELETRSHQVRSAEKKLHHKELEAQEQIMY IRQEYETKFKGLMPASLRQELEDTISSLKSQVNFLQKRASILQEELTTYQSRR >ENSMUSP00000102335.2 pep:known chromosome:GRCm38:11:108682618:108860354:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000106724.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] MRPLRDTGPSVPKENMALQDELETRSHQVRSAEKKLHHKELEAQEQIMYIRQEYETKFKG LMPASLRQELEDTISSLKSQVNFLQKRASILQEELTTYQSRR >ENSMUSP00000138124.1 pep:known chromosome:GRCm38:11:108752205:108859362:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000132978.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] MNSWRQIKDEPCGFLAAQKGGAAGRHPSCLVIGMWKRYQAHRSLCVCSCTCVLQANSRLK QIEKEYTQKLAKSSQIIAELQTTISSLKEESSRQQLAAERRLQDVIQKFEDEKQQLIRDN DQAIKALQDELETRSHQVRSAEKKLHHKELEAQEQIMYIRQEYETKFKGLMPASLRQELE DTISSLKSQVNFLQK >ENSMUSP00000050597.5 pep:known chromosome:GRCm38:11:108425266:108860614:1 gene:ENSMUSG00000020728.17 transcript:ENSMUST00000061287.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep112 description:centrosomal protein 112 [Source:MGI Symbol;Acc:MGI:1923673] METGSEEEKWEKLDAEFDHFVVDMKPFVLKLPHRSERQRCALWIRKLCEPSGTGAGLMGR KNRNLYAKLLLHMLRRGILEGPFTHRPEPGTLKTLPSYMSIYFDEPNQAQPKDSSPEKLP DWVRGELQTGEQRLSDSWQCSSGEDNTLVLAASDAHREQYTGKLRMRSHSVSPTYREDKQ HITSKICEVHSKTSPISLDDSDIEVRLNSWNLGIENPRYLRQKPLPVSLMTPKGSLRKAS SLHDDHFLSRMHEKELDMKTKMMEAKFSEEKLKLQQKHDAEVQKILERKNNELEELKILY KKKQTETEETIRKLEKKVQILIRDCQVIRETKENQITELKKICEQSTESLNNDWEKKLHN AVAEMEKDKFELQKHHTETIQELLEDTNVRLSKMEADYVVQMQSTNHMIKELEGRVQQLM GEAENSNLQRQKLTQEKLELERCYQITCNELQELKTRRNILHKEKEHLVNDYEQNVKLLK TKYDSDINLLRQEHALSTSKTSGVIEELEQNICQLKQQVQESELQRKQQVKDQENKFHME KNHLKHTYEKKVHELQSELDKEKEDAQRKIHKFEEALKEKEEQLSRVTEVQRLQAQQADA ALEEFKRQVEVNSEKVYGEMKEQMEKVEADLTRSKSLREKQSKEFLWQLEDAKQRYEQQI VELKLEHEQEKTHLLQQHSAEKDSLVRDHDREIENLEKQLRAANMEHENQIQESKKRDAQ VIADMEAQVHKLREELISVNSQRKQQLIELGLLREEEKQRAAKDHETAVKKLKAESERVK MELKKTHAAETEMTLEKANSRLKQIEKEYTQKLAKSSQIIAELQTTISSLKEESSRQQLA AERRLQDVIQKFEDEKQQLIRDNDQAIKALQDELETRSHQVRSAEKKLHHKELEAQEQIM YIRQEYETKFKGLMPASLRQELEDTISSLKSQVNFLQKRASILQEELTTYQSRR >ENSMUSP00000097642.2 pep:known chromosome:GRCm38:2:66480080:66634952:-1 gene:ENSMUSG00000075316.11 transcript:ENSMUST00000100064.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn9a description:sodium channel, voltage-gated, type IX, alpha [Source:MGI Symbol;Acc:MGI:107636] MAMLPPPGPQSFVHFTKQSLALIEQRISEEKAKGHKDEKKDDEEEGPKPSSDLEAGKQLP FIYGDIPPGMVSEPLEDLDPYYADKKTFIVLNKGKAIFRFNATPALYMLSPFSPLRRISI KILVHSLFSMLIMCTILTNCIFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFCVGE FTFLRDPWNWLDFVVIVFAYLTEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQ SVKKLSDVMILTVFCLSVFALIGLQLFMGNLKHKCFRKDLEQNETLESIMSTAESEEELK RYFYYLEGSKDALLCGFSTDSGQCPEGYECVTAGRNPDYGYTSFDTFGWAFLALFRLMTQ DYWENLYQQTLRAAGKTYMIFFVVVIFLGSFYLINLILAVVAMAYEEQNQANIEEAKQKE LEFQQMLDRLKKEQEEAEAIAAAAAEYTSLGRSRIMGLSESSSETSRLSSKSAKERRNRR KKKKQKLSSGEEKGDDEKLSKSGSEESIRKKSFHLGVEGHHRAREKRLSTPNQSPLSIRG SLFSARRSSRTSLFSFKGRGRDLGSETEFADDEHSIFGDNESRRGSLFVPHRPRERRSSN ISQASRSPPVLPVNGKMHSAVDCNGVVSLVDGPSALMLPNGQLLPEVIIDKATSDDSGTT NQMRKKRLSSSYFLSEDMLNDPHLRQRAMSRASILTNTVEELEESRQKCPPWWYRFAHTF LIWNCSPYWIKFKKFIYFIVMDPFVDLAITICIVLNTLFMAMEHHPMTDEFKNVLAVGNL VFTGIFAAEMVLKLIAMDPYEYFQVGWNIFDSLIVTLSLVELFLADVEGLSVLRSFRLLR VFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINE NCKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMCLIVYMMVMVIGNLVVLN LFLALLLSSFSSDNLTAIEEDTDANNLQIAVARIKRGINYVKQTLREFILKSFSKKPKGS KDTKRTADPNNKRENYISNRTLAEISKDHNFLKEKDKISGFSSSLDKSFMDENDYQSFIH NPSLTVTVPIAPGESDLENMNTEELSSDSDSDYSKERRNRSSSSECSTVDNPLPGEEEAE AEPINADEPEACFTDGCVRRFPCCQVNIDSGKGKVWWTIRKTCYRIVEHSWFESFIVLMI LLSSGALAFEDIYIEKKKTIKIILEYADKIFTYIFILEMLLKWVAYGYKTYFTNAWCWLD FLIVDVSLVTLVANTLGYSDLGPIKSLRTLRALRPLRALSRFEGMRVVVNALIGAIPSIM NVLLVCLIFWLIFSIMGVNLFAGKFYECVNTTDGSRFSVSQVANRSECFALMNVSGNVRW KNLKVNFDNVGLGYLSLLQVATFKGWMDIMYAAVDSVNVNAQPIYEYNLYMYIYFVIFII FGSFFTLNLFIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPGN KFQGCIFDLVTNQAFDITIMVLICLNMVTMMVEKEGQTDYMSFVLYWINVVFIILFTGEC VLKLISLRHYYFTVGWNIFDFVVVILSIVGMFLAEMIEKYFVSPTLFRVIRLARIGRILR LIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKKEAGINDMFNFE TFGNSMICLFQITTSAGWDGLLAPILNSAPPDCDPKKVHPGSSVEGDCGNPSVGIFYFVS YIIISFLVVVNMYIAVILENFSVATEESTEPLSEDDFEMFYEVWEKFDPDATQFIEFCKL SDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDSLRSQ MEERFMSANPSKVSYEPITTTLKRKQEDVSATIIQRAYRRYRLRQNVKNISSIYIKDGDR DDDLPNKEDIVFDNVNENSSPEKTDATASTISPPSYDSVTKPDQEKYETDKTEKEDKEKD ESRK >ENSMUSP00000107973.1 pep:known chromosome:GRCm38:2:66483117:66634653:-1 gene:ENSMUSG00000075316.11 transcript:ENSMUST00000112354.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn9a description:sodium channel, voltage-gated, type IX, alpha [Source:MGI Symbol;Acc:MGI:107636] MAMLPPPGPQSFVHFTKQSLALIEQRISEEKAKGHKDEKKDDEEEGPKPSSDLEAGKQLP FIYGDIPPGMVSEPLEDLDPYYADKKTFIVLNKGKAIFRFNATPALYMLSPFSPLRRISI KILVHSLFSMLIMCTILTNCIFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFCVGE FTFLRDPWNWLDFVVIVFAYLTEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQ SVKKLSDVMILTVFCLSVFALIGLQLFMGNLKHKCFRKDLEQNETLESIMSTAESEEELK RYFYYLEGSKDALLCGFSTDSGQCPEGYECVTAGRNPDYGYTSFDTFGWAFLALFRLMTQ DYWENLYQQTLRAAGKTYMIFFVVVIFLGSFYLINLILAVVAMAYEEQNQANIEEAKQKE LEFQQMLDRLKKEQEEAEAIAAAAAEYTSLGRSRIMGLSESSSETSRLSSKSAKERRNRR KKKKQKLSSGEEKGDDEKLSKSGSEESIRKKSFHLGVEGHHRAREKRLSTPNQSPLSIRG SLFSARRSSRTSLFSFKGRGRDLGSETEFADDEHSIFGDNESRRGSLFVPHRPRERRSSN ISQASRSPPVLPVNGKMHSAVDCNGVVSLVDGPSALMLPNGQLLPEGTTNQMRKKRLSSS YFLSEDMLNDPHLRQRAMSRASILTNTVEELEESRQKCPPWWYRFAHTFLIWNCSPYWIK FKKFIYFIVMDPFVDLAITICIVLNTLFMAMEHHPMTDEFKNVLAVGNLVFTGIFAAEMV LKLIAMDPYEYFQVGWNIFDSLIVTLSLVELFLADVEGLSVLRSFRLLRVFKLAKSWPTL NMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINENCKLPRWHMND FFHSFLIVFRVLCGEWIETMWDCMEVAGQTMCLIVYMMVMVIGNLVVLNLFLALLLSSFS SDNLTAIEEDTDANNLQIAVARIKRGINYVKQTLREFILKSFSKKPKGSKDTKRTADPNN KRENYISNRTLAEISKDHNFLKEKDKISGFSSSLDKSFMDENDYQSFIHNPSLTVTVPIA PGESDLENMNTEELSSDSDSDYSKERRNRSSSSECSTVDNPLPGEEEAEAEPINADEPEA CFTDGCVRRFPCCQVNIDSGKGKVWWTIRKTCYRIVEHSWFESFIVLMILLSSGALAFED IYIEKKKTIKIILEYADKIFTYIFILEMLLKWVAYGYKTYFTNAWCWLDFLIVDVSLVTL VANTLGYSDLGPIKSLRTLRALRPLRALSRFEGMRVVVNALIGAIPSIMNVLLVCLIFWL IFSIMGVNLFAGKFYECVNTTDGSRFSVSQVANRSECFALMNVSGNVRWKNLKVNFDNVG LGYLSLLQVATFKGWMDIMYAAVDSVNVNAQPIYEYNLYMYIYFVIFIIFGSFFTLNLFI GVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPGNKFQGCIFDLVT NQAFDITIMVLICLNMVTMMVEKEGQTDYMSFVLYWINVVFIILFTGECVLKLISLRHYY FTVGWNIFDFVVVILSIVGMFLAEMIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTL LFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKKEAGINDMFNFETFGNSMICLFQ ITTSAGWDGLLAPILNSAPPDCDPKKVHPGSSVEGDCGNPSVGIFYFVSYIIISFLVVVN MYIAVILENFSVATEESTEPLSEDDFEMFYEVWEKFDPDATQFIEFCKLSDFAAALDPPL LIAKPNKVQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDSLRSQMEERFMSANPS KVSYEPITTTLKRKQEDVSATIIQRAYRRYRLRQNVKNISSIYIKDGDRDDDLPNKEDIV FDNVNENSSPEKTDATASTISPPSYDSVTKPDQEKYETDKTEKEDKEKDESRK >ENSMUSP00000126528.2 pep:known chromosome:GRCm38:2:66482091:66634653:-1 gene:ENSMUSG00000075316.11 transcript:ENSMUST00000164384.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn9a description:sodium channel, voltage-gated, type IX, alpha [Source:MGI Symbol;Acc:MGI:107636] MAMLPPPGPQSFVHFTKQSLALIEQRISEEKAKGHKDEKKDDEEEGPKPSSDLEAGKQLP FIYGDIPPGMVSEPLEDLDPYYADKKTFIVLNKGKAIFRFNATPALYMLSPFSPLRRISI KILVHSLFSMLIMCTILTNCIFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFCVGE FTFLRDPWNWLDFVVIVFAYLTEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQ SVKKLSDVMILTVFCLSVFALIGLQLFMGNLKHKCFRKDLEQNETLESIMSTAESEEELK RYFYYLEGSKDALLCGFSTDSGQCPEGYECVTAGRNPDYGYTSFDTFGWAFLALFRLMTQ DYWENLYQQTLRAAGKTYMIFFVVVIFLGSFYLINLILAVVAMAYEEQNQANIEEAKQKE LEFQQMLDRLKKEQEEAEAIAAAAAEYTSLGRSRIMGLSESSSETSRLSSKSAKERRNRR KKKKQKLSSGEEKGDDEKLSKSGSEESIRKKSFHLGVEGHHRAREKRLSTPNQSPLSIRG SLFSARRSSRTSLFSFKGRGRDLGSETEFADDEHSIFGDNESRRGSLFVPHRPRERRSSN ISQASRSPPVLPVNGKMHSAVDCNGVVSLVDGPSALMLPNGQLLPEVIIDKATSDDSGTT NQMRKKRLSSSYFLSEDMLNDPHLRQRAMSRASILTNTVEELEESRQKCPPWWYRFAHTF LIWNCSPYWIKFKKFIYFIVMDPFVDLAITICIVLNTLFMAMEHHPMTDEFKNVLAVGNL VFTGIFAAEMVLKLIAMDPYEYFQVGWNIFDSLIVTLSLVELFLADVEGLSVLRSFRLLR VFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINE NCKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMCLIVYMMVMVIGNLVVLN LFLALLLSSFSSDNLTAIEEDTDANNLQIAVARIKRGINYVKQTLREFILKSFSKKPKGS KDTKRTADPNNKRENYISNRTLAEISKDHNFLKEKDKISGFSSSLDKSFMDENDYQSFIH NPSLTVTVPIAPGESDLENMNTEELSSDSDSDYSKERRNRSSSSECSTVDNPLPGEEEAE AEPINADEPEACFTDGCVRRFPCCQVNIDSGKGKVWWTIRKTCYRIVEHSWFESFIVLMI LLSSGALAFEDIYIEKKKTIKIILEYADKIFTYIFILEMLLKWVAYGYKTYFTNAWCWLD FLIVDVSLVTLVANTLGYSDLGPIKSLRTLRALRPLRALSRFEGMRVVVNALIGAIPSIM NVLLVCLIFWLIFSIMGVNLFAGKFYECVNTTDGSRFSVSQVANRSECFALMNVSGNVRW KNLKVNFDNVGLGYLSLLQVATFKGWMDIMYAAVDSVNVNAQPIYEYNLYMYIYFVIFII FGSFFTLNLFIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPGN KFQGCIFDLVTNQAFDITIMVLICLNMVTMMVEKEGQTDYMSFVLYWINVVFIILFTGEC VLKLISLRHYYFTVGWNIFDFVVVILSIVGMFLAEMIEKYFVSPTLFRVIRLARIGRILR LIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKKEAGINDMFNFE TFGNSMICLFQITTSAGWDGLLAPILNSAPPDCDPKKVHPGSSVEGDCGNPSVGIFYFVS YIIISFLVVVNMYIAVILENFSVATEESTEPLSEDDFEMFYEVWEKFDPDATQFIEFCKL SDFAAALDPPLLIAKPNKVQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDSLRSQ MEERFMSANPSKVSYEPITTTLKRKQEDVSATIIQRAYRRYRLRQNVKNISSIYIKDGDR DDDLPNKEDIVFDNVNENSSPEKTDATASTISPPSYDSVTKPDQEKYETDKTEKEDKEKD ESRK >ENSMUSP00000097641.2 pep:known chromosome:GRCm38:2:66480080:66634952:-1 gene:ENSMUSG00000075316.11 transcript:ENSMUST00000100063.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn9a description:sodium channel, voltage-gated, type IX, alpha [Source:MGI Symbol;Acc:MGI:107636] MAMLPPPGPQSFVHFTKQSLALIEQRISEEKAKGHKDEKKDDEEEGPKPSSDLEAGKQLP FIYGDIPPGMVSEPLEDLDPYYADKKTFIVLNKGKAIFRFNATPALYMLSPFSPLRRISI KILVHSLFSMLIMCTILTNCIFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFCVGE FTFLRDPWNWLDFVVIVFAYLTEFVNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQ SVKKLSDVMILTVFCLSVFALIGLQLFMGNLKHKCFRKDLEQNETLENGHKGYQRKCKVK TMGYFYYLEGSKDALLCGFSTDSGQCPEGYECVTAGRNPDYGYTSFDTFGWAFLALFRLM TQDYWENLYQQTLRAAGKTYMIFFVVVIFLGSFYLINLILAVVAMAYEEQNQANIEEAKQ KELEFQQMLDRLKKEQEEAEVVFIITNTKAKQVGQRIMGLSESSSETSRLSSKSAKERRN RRKKKKQKLSSGEEKGDDEKLSKSGSEESIRKKSFHLGVEGHHRAREKRLSTPNQSPLSI RGSLFSARRSSRTSLFSFKGRGRDLGSETEFADDEHSIFGDNESRRGSLFVPHRPRERRS SNISQASRSPPVLPVNGKMHSAVDCNGVVSLVDGPSALMLPNGQLLPEGTTNQMRKKRLS SSYFLSEDMLNDPHLRQRAMSRASILTNTVEELEESRQKCPPWWYRFAHTFLIWNCSPYW IKFKKFIYFIVMDPFVDLAITICIVLNTLFMAMEHHPMTDEFKNVLAVGNLVFTGIFAAE MVLKLIAMDPYEYFQVGWNIFDSLIVTLSLVELFLADVEGLSVLRSFRLLRVFKLAKSWP TLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINENCKLPRWHM NDFFHSFLIVFRVLCGEWIETMWDCMEVAGQTMCLIVYMMVMVIGNLVVLNLFLALLLSS FSSDNLTAIEEDTDANNLQIAVARIKRGINYVKQTLREFILKSFSKKPKGSKDTKRTADP NNKRENYISNRTLAEISKDHNFLKEKDKISGFSSSLDKSFMDENDYQSFIHNPSLTVTVP IAPGESDLENMNTEELSSDSDSDYSKERRNRSSSSECSTVDNPLPGEEEAEAEPINADEP EACFTDGCVRRFPCCQVNIDSGKGKVWWTIRKTCYRIVEHSWFESFIVLMILLSSGALAF EDIYIEKKKTIKIILEYADKIFTYIFILEMLLKWVAYGYKTYFTNAWCWLDFLIVDVSLV TLVANTLGYSDLGPIKSLRTLRALRPLRALSRFEGMRVVVNALIGAIPSIMNVLLVCLIF WLIFSIMGVNLFAGKFYECVNTTDGSRFSVSQVANRSECFALMNVSGNVRWKNLKVNFDN VGLGYLSLLQVATFKGWMDIMYAAVDSVNVNAQPIYEYNLYMYIYFVIFIIFGSFFTLNL FIGVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPGNKFQGCIFDL VTNQAFDITIMVLICLNMVTMMVEKEGQTDYMSFVLYWINVVFIILFTGECVLKLISLRH YYFTVGWNIFDFVVVILSIVGMFLAEMIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIR TLLFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKKEAGINDMFNFETFGNSMICL FQITTSAGWDGLLAPILNSAPPDCDPKKVHPGSSVEGDCGNPSVGIFYFVSYIIISFLVV VNMYIAVILENFSVATEESTEPLSEDDFEMFYEVWEKFDPDATQFIEFCKLSDFAAALDP PLLIAKPNKVQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDSLRSQMEERFMSAN PSKVSYEPITTTLKRKQEDVSATIIQRAYRRYRLRQNVKNISSIYIKDGDRDDDLPNKED IVFDNVNENSSPEKTDATASTISPPSYDSVTKPDQEKYETDKTEKEDKEKDESRK >ENSMUSP00000131711.1 pep:known chromosome:GRCm38:2:66482091:66634653:-1 gene:ENSMUSG00000075316.11 transcript:ENSMUST00000169900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn9a description:sodium channel, voltage-gated, type IX, alpha [Source:MGI Symbol;Acc:MGI:107636] MAMLPPPGPQSFVHFTKQSLALIEQRISEEKAKGHKDEKKDDEEEGPKPSSDLEAGKQLP FIYGDIPPGMVSEPLEDLDPYYADKKTFIVLNKGKAIFRFNATPALYMLSPFSPLRRISI KILVHSLFSMLIMCTILTNCIFMTMSNPPDWTKNVEYTFTGIYTFESLIKILARGFCVGE FTFLRDPWNWLDFVVIVFAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQ SVKKLSDVMILTVFCLSVFALIGLQLFMGNLKHKCFRKDLEQNETLESIMSTAESEEELK RYFYYLEGSKDALLCGFSTDSGQCPEGYECVTAGRNPDYGYTSFDTFGWAFLALFRLMTQ DYWENLYQQTLRAAGKTYMIFFVVVIFLGSFYLINLILAVVAMAYEEQNQANIEEAKQKE LEFQQMLDRLKKEQEEAEAIAAAAAEYTSLGRSRIMGLSESSSETSRLSSKSAKERRNRR KKKKQKLSSGEEKGDDEKLSKSGSEESIRKKSFHLGVEGHHRAREKRLSTPNQSPLSIRG SLFSARRSSRTSLFSFKGRGRDLGSETEFADDEHSIFGDNESRRGSLFVPHRPRERRSSN ISQASRSPPVLPVNGKMHSAVDCNGVVSLVDGPSALMLPNGQLLPEGTTNQMRKKRLSSS YFLSEDMLNDPHLRQRAMSRASILTNTVEELEESRQKCPPWWYRFAHTFLIWNCSPYWIK FKKFIYFIVMDPFVDLAITICIVLNTLFMAMEHHPMTDEFKNVLAVGNLVFTGIFAAEMV LKLIAMDPYEYFQVGWNIFDSLIVTLSLVELFLADVEGLSVLRSFRLLRVFKLAKSWPTL NMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYKECVCKINENCKLPRWHMND FFHSFLIVFRVLCGEWIETMWDCMEVAGQTMCLIVYMMVMVIGNLVVLNLFLALLLSSFS SDNLTAIEEDTDANNLQIAVARIKRGINYVKQTLREFILKSFSKKPKGSKDTKRTADPNN KRENYISNRTLAEISKDHNFLKEKDKISGFSSSLDKSFMDENDYQSFIHNPSLTVTVPIA PGESDLENMNTEELSSDSDSDYSKERRNRSSSSECSTVDNPLPGEEEAEAEPINADEPEA CFTDGCVRRFPCCQVNIDSGKGKVWWTIRKTCYRIVEHSWFESFIVLMILLSSGALAFED IYIEKKKTIKIILEYADKIFTYIFILEMLLKWVAYGYKTYFTNAWCWLDFLIVDVSLVTL VANTLGYSDLGPIKSLRTLRALRPLRALSRFEGMRVVVNALIGAIPSIMNVLLVCLIFWL IFSIMGVNLFAGKFYECVNTTDGSRFSVSQVANRSECFALMNVSGNVRWKNLKVNFDNVG LGYLSLLQVATFKGWMDIMYAAVDSVNVNAQPIYEYNLYMYIYFVIFIIFGSFFTLNLFI GVIIDNFNQQKKKLGGQDIFMTEEQKKYYNAMKKLGSKKPQKPIPRPGNKFQGCIFDLVT NQAFDITIMVLICLNMVTMMVEKEGQTDYMSFVLYWINVVFIILFTGECVLKLISLRHYY FTVGWNIFDFVVVILSIVGMFLAEMIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTL LFALMMSLPALFNIGLLLFLVMFIYAIFGMSNFAYVKKEAGINDMFNFETFGNSMICLFQ ITTSAGWDGLLAPILNSAPPDCDPKKVHPGSSVEGDCGNPSVGIFYFVSYIIISFLVVVN MYIAVILENFSVATEESTEPLSEDDFEMFYEVWEKFDPDATQFIEFCKLSDFAAALDPPL LIAKPNKVQLIAMDLPMVSGDRIHCLDILFAFTKRVLGESGEMDSLRSQMEERFMSANPS KVSYEPITTTLKRKQEDVSATIIQRAYRRYRLRQNVKNISSIYIKDGDRDDDLPNKEDIV FDNVNENSSPEKTDATASTISPPSYDSVTKPDQEKYETDKTEKEDKEKDESRK >ENSMUSP00000113342.1 pep:known chromosome:GRCm38:6:67422932:67491855:-1 gene:ENSMUSG00000049093.9 transcript:ENSMUST00000118364.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il23r description:interleukin 23 receptor [Source:MGI Symbol;Acc:MGI:2181693] MKREREMRGFYYIWDMSHLTLQLHVVIALYVLFRWCHGGITSINCSGDMWVEPGEIFQMG MNVSIYCQEALKHCRPRNLYFYKNGFKEEFDITRINRTTARIWYKGFSEPHAYMHCTAEC PGHFQETLICGKDISSGHPPDAPSNLTCVIYEYSGNMTCTWNTGKPTYIDTKYIVHVKSL ETEEEQQYLASSYVKISTDSLQGSRKYLVWVQAVNSLGMENSQQLHVHLDDIVIPSASII SRAETTNDTVPKTIVYWKSKTMIEKVFCEMRYKTTTNQTWSVKEFDANFTYVQQSEFYLE PDSKYVFQVRCQETGKRNWQPWSSPFVHQTSQETGKRNWQPWSSPFVHQTSQTVSQVTAK SSHEPQKMEMLSATIFRGHPASGNHQDIGLLSGMVFLAIMLPIFSLIGIFNRSLRIGIKR KVLLMIPKWLYEDIPNMENSNVAKLLQEKSVFENDNASEQALYVDPVLTEISEISPLEHK PTDYKEERLTGLLETRDCPLGMLSTSSSVVYIPDLNTGYKPQVSNVPPGGNLFINRDERD PTSLETTDDHFARLKTYPNFQFSASSMALLNKTLILDELCLVLNQGEFNSLDIKNSRQEE TSIVLQSDSPSETIPAQTLLSDEFVSCLAIGNEDLPSINSYFPQNVLESHFSRISLFQK >ENSMUSP00000108994.1 pep:known chromosome:GRCm38:9:78395777:78423587:1 gene:ENSMUSG00000070291.4 transcript:ENSMUST00000113367.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx43 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 43 [Source:MGI Symbol;Acc:MGI:3642857] MSRREPAANASSSGVAPRRSSTGSRGADRTATEETNRRGRVGSRGAGGGWREPAGAAEAT AAGRREPPLCFGVKNDVVGAVIGRGGSKIREIQNTTNTRIQVIKGNPEAEIKIFGNKAMQ TKAKTVIDNVVKKQQNYIPGQRVGIIAFQPTVGADTSTSESVTDDQPLIDWDQIREDALK WEKKKWADLPPIKKNFYIESATTSSMSQVQIDNWRKENFNITCDDLKDGEKRPIPNPICK FEDAFQSYPEVMENIKRAGFQKPTPIQSQAWPIVLQGIDLIGVAQTGTGKTLSYLMPGFI HLDSQPLAREQRNGPGMLVLTPTRELALQVEAECSKYSYGDLKSVCVYGGGDRDGQIQDV SKGVDIIIATPGRLNDLQMNNFVNLKSVTYLVLDEADKMLDMGFEPQIMKILLDVRPDRQ TIMTSATWPYAVRRLAQSYLKEPMIVYVGTLDLVAVSTVKQNIIITTEEEKRTHIQTFLE NMSPKDKVIVFVSRKAVADHLSSDLILRHISVESLHGNREQSDREKALENFKTGKVRILI ATDLASRGLDVHDITHVYNYDFPRNIEEYVHRVGRTGRAGRTGMSITLITRNDWRVATEL INILERANQNIPEELVLMAERYKANKLKREMEKKMGRPQGKPQKFY >ENSMUSP00000060099.4 pep:known chromosome:GRCm38:6:67534062:67535796:-1 gene:ENSMUSG00000051397.5 transcript:ENSMUST00000058178.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacstd2 description:tumor-associated calcium signal transducer 2 [Source:MGI Symbol;Acc:MGI:1861606] MARGLDLAPLLLLLLAMATRFCTAQSNCTCPTNKMTVCDTNGPGGVCQCRAMGSQVLVDC STLTSKCLLLKARMSARKSGRSLVMPSEHAILDNDGLYDPECDDKGRFKARQCNQTSVCW CVNSVGVRRTDKGDQSLRCDEVVRTHHILIELRHRPTDRAFNHSDLDSELRRLFQERYKL HPSFLSAVHYEEPTIQIELRQNASQKGLRDVDIADAAYYFERDIKGESLFMGRRGLDVQV RGEPLHVERTLIYYLDEKPPQFSMKRLTAGVIAVIAVVSVAVVAGVVVLVVTKRRKSGKY KKVELKELGEMRSEPSL >ENSMUSP00000033169.8 pep:known chromosome:GRCm38:7:120957036:120982312:-1 gene:ENSMUSG00000030878.11 transcript:ENSMUST00000033169.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdr2 description:cerebellar degeneration-related 2 [Source:MGI Symbol;Acc:MGI:1100885] MLADNLVEEFEMEDEPWYDHRDLQQDLQLAAELGKTLLDRNTELEDSLQQMYTTNQEQLQ EIEYLTKQVELLRQMNEQHAKVYEQLDVTARELEETNQKLVAESKASQQKILSLTETIEC LQTNIDHLQSQVEELKSSSQGRGRQKACDQEKPAPSFSCLKELYDLRQHFVYDHVFAEKI TSLQSQQSPDEEENEHLKKAVTMLQAQLSLERKKRVSVEAEYKVVLKENSELEQQLGATD AYRARALELEAEVAEMRQMLQAEHPFVNGVEKLVPDSLFVPFKEPSQSLLEEMFLAAPEA PRKPLKRSSSETALSSLAGDDIVKDHEDTCIRRAKAVKQRGISLLHEVDTQYSALKVKYE ELLKKCQQEQDSLSHKAVQTSRLLTRDLTGLVTQSEAGASGWEPTPVSPESISSPTTTPP EYKALFKEIFSCIKKTKQEIDEQRTKYPSLSSYSY >ENSMUSP00000146376.1 pep:known chromosome:GRCm38:7:120958662:120981790:-1 gene:ENSMUSG00000030878.11 transcript:ENSMUST00000140247.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdr2 description:cerebellar degeneration-related 2 [Source:MGI Symbol;Acc:MGI:1100885] AHGPAGAPHRLMLGALRKRLPGMSGWTSEVGRSGPACPALEASCWPGSGSCCPACPTSPF MVRRVCKAAAGGGRAVLLCGTRAISLSPACC >ENSMUSP00000032359.8 pep:known chromosome:GRCm38:6:140622663:140655481:1 gene:ENSMUSG00000030232.17 transcript:ENSMUST00000032359.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aebp2 description:AE binding protein 2 [Source:MGI Symbol;Acc:MGI:1338038] MDIDSTISSGRSTPAMMNGQGSTTASSKHIAYNCCWDQCQACFNSSPDLADHIRSIHVDG QRGGVFVCLWKGCKVYNTPSTSQSWLQRHMLTHSGDKPFKCVVGGCNASFASQGGLARHV PTHFSQQNSSKVSSQPKAKEESPSKAGMNKRRKLKNKRRRSLPRPHDFFDAQTLDAIRHR AICFNLSAHIESLGKGHSVVFHSTVIAKRKEESGKIKLLLHWMPEDILPDVWVNESERHQ LKTKVVHLSKLPKDTALLLDPNIYRTMPQKRLKR >ENSMUSP00000084896.4 pep:known chromosome:GRCm38:6:140623499:140652826:1 gene:ENSMUSG00000030232.17 transcript:ENSMUST00000087614.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aebp2 description:AE binding protein 2 [Source:MGI Symbol;Acc:MGI:1338038] MAAALADMADLEELSRLSPLSPGSPGPAARGRAEPPEEEEEEDDEEAEAEAVAALLLNGG AGGGAGGGEAETMSEPSPESASQAGGDEDEDEEDDEDEGSSSGGAEEESSAESLVGSSSG GCSGDETRSLSPGAASSSSGDGDGKEGLEEPKGPRGGPGGPGSSGGGSSSSSVVSSGGDE GYGTGGGGSSATSGGRRGSLEMSSDGEPLSRMDSEDSISSTLMDIDSTISSGRSTPAMMN GQGSTTASSKHIAYNCCWDQCQACFNSSPDLADHIRSIHVDGQRGGVFVCLWKGCKVYNT PSTSQSWLQRHMLTHSGDKPFKCVVGGCNASFASQGGLARHVPTHFSQQNSSKVSSQPKA KEESPSKAGMNKRRKLKNKRRRSLPRPHDFFDAQTLDAIRHRAICFNLSAHIESLGKGHS VVFHSTVIAKRKEESGKIKLLLHWMPEDILPDVWVNESERHQLKTKVVHLSKLPKDTALL LDPNIYRTMPQKRLKR >ENSMUSP00000092993.5 pep:known chromosome:GRCm38:6:140623689:140678472:1 gene:ENSMUSG00000030232.17 transcript:ENSMUST00000095350.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aebp2 description:AE binding protein 2 [Source:MGI Symbol;Acc:MGI:1338038] MAAALADMADLEELSRLSPLSPGSPGPAARGRAEPPEEEEEEDDEEAEAEAVAALLLNGG AGGGAGGGEAETMSEPSPESASQAGGDEDEDEEDDEDEGSSSGGAEEESSAESLVGSSSG GCSGDETRSLSPGAASSSSGDGDGKEGLEEPKGPRGGPGGPGSSGGGSSSSSVVSSGGDE GYGTGGGGSSATSGGRRGSLEMSSDGEPLSRMDSEDSISSTLMDIDSTISSGRSTPAMMN GQGSTTASSKHIAYNCCWDQCQACFNSSPDLADHIRSIHVDGQRGGVFVCLWKGCKVYNT PSTSQSWLQRHMLTHSGDKPFKCVVGGCNASFASQGGLARHVPTHFSQQNSSKVSSQPKA KEESPSKAGMNKRRKLKNKRRRSLPRPHDFFDAQTLDAIRHRAICFNLSAHIESLGKGHS VVFHSTVIAKRKEESGKIKLLLHWMPEDILPDVWVNESERHQLKTKVVHLSKLPKDTALL LDPNIYRTMPQKRLKRFDILNFPR >ENSMUSP00000124148.1 pep:known chromosome:GRCm38:6:140624909:140651744:1 gene:ENSMUSG00000030232.17 transcript:ENSMUST00000160836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aebp2 description:AE binding protein 2 [Source:MGI Symbol;Acc:MGI:1338038] MDIDSTISSGRSTPAMMNGQGSTTASSKHIAYNCCWDQCQACFNSSPDLADHIRSIHVDG QRGGVFVCLWKGCKVYNTPSTSQSWLQRHMLTHSGDKPFKCVVGGCNASFASQGGLARHV PTHFSQQNSSKVSSQPKAKEESPSKAGMNKRRKLKNKRRRSLPRPHDFFDAQTLDAIRHR AICFNLSAHIESLGKGHSVVFHSTVIAKRKEESGKIKLLLHWMPEDILPDVWVNESERHQ LKTKVVHLSKLPKDTALLLDPNIYRTMPQKRLKRTLIRKMFNLYLSKQ >ENSMUSP00000125479.1 pep:known chromosome:GRCm38:6:140626882:140652826:1 gene:ENSMUSG00000030232.17 transcript:ENSMUST00000161335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aebp2 description:AE binding protein 2 [Source:MGI Symbol;Acc:MGI:1338038] MDIDSTISSGRSTPAMMNGQGSTTASSKHIAYNCCWDQCQACFNSSPDLADHIRSIHVDG QRGGVFVCLWKGCKVYNTPSTSQSWLQRHMLTHSGDKPFKCVVGGCNASFASQGGLARHV PTHFSQQNSSKVSSQPKAKEESPSKAGMNKRRKLKNKRRRSLPRPHDFFDAQTLDAIRHR AICFNLSAHIESLGKGHSVVFHSTVIAKRKEESGKIKLLLHWMPEDILPDVWVNESERHQ LKTKVVHLSKLPKDTALLLDPNIYRTMPQKRLKRTLIRKMFNLYLSKQ >ENSMUSP00000123897.2 pep:known chromosome:GRCm38:6:140637752:140654418:1 gene:ENSMUSG00000030232.17 transcript:ENSMUST00000162903.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aebp2 description:AE binding protein 2 [Source:MGI Symbol;Acc:MGI:1338038] XDKPFKCVVGGCNASFASQGGLARHVPTHFSQQNSSKVSSQPKAKEESPSKAGMNKRRKL KNKRRRSLPRPHDFFDAQTLDAIRHRAICFNLSAHIESLGKGHSVVFHSTVIAKRKEESG KIKLLLHWMPEDILPDVWVNESERHQLKTKVVHLSKLPKDTALLLDPNIYRTMPQKRLKR >ENSMUSP00000064302.7 pep:known chromosome:GRCm38:6:140624298:140677418:1 gene:ENSMUSG00000030232.17 transcript:ENSMUST00000068583.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aebp2 description:AE binding protein 2 [Source:MGI Symbol;Acc:MGI:1338038] MSSDGEPLSRMDSEDSISSTLMDIDSTISSGRSTPAMMNGQGSTTASSKHIAYNCCWDQC QACFNSSPDLADHIRSIHVDGQRGGVFVCLWKGCKVYNTPSTSQSWLQRHMLTHSGDKPF KCVVGGCNASFASQGGLARHVPTHFSQQNSSKVSSQPKAKEESPSKAGMNKRRKLKNKRR RSLPRPHDFFDAQTLDAIRHRAICFNLSAHIESLGKGHSVVFHSTVIAKRKEESGKIKLL LHWMPEDILPDVWVNESERHQLKTKVVHLSKLPKDTALLLDPNIYRTMPQKRLKRFDILN FPR >ENSMUSP00000113841.1 pep:known chromosome:GRCm38:18:12755314:12862673:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000121808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MSEGKDCGGGDALSNGIKKHRTSLPSPMFSRNDFSIWSILRKCIGMELSKITMPVIFNEP LSFLQRLTEYMEHTYLIHKASSLSDPVERMQCVAAFAVSAVASQWERTGKPFNPLLGETY ELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDFIFHGSIYPKLKFWGKSVEAEPKGTIT LELLDHNEAYTWTNPTCCVHNIIVGKLWIEQYGNVEIINHKTGDKCVLNFKPCGLFGKEL HKVEGYIQDKSKKKLCALYGKWTECLYSVDPATFDAYKKNDKKNTEEKKNSKQTSSSEES DEMPVPDSESVFIIPGSVLLWRIAPRPPNSAQMYNFTSFAMVLNEVDKEMESVIPKTDCR LRPDIRAMENGEIDLASEEKKRLEEKQRAARKNRSKSEEDWKTRWFHQGPNPYSGAQDWI YSGSYWDRNYFNLPDIY >ENSMUSP00000073957.4 pep:known chromosome:GRCm38:18:12755314:12941841:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000074352.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MNTEAEQQLLHHARNGNAEEVRKLLAAMARMEVVADIDCKGRSKSNLGWTPLHLACYFGH KQVVEDLLKAGAKVNMLNDMGDTPLHRAAFTGRKELVLLLLEYDADSTVVNGSGQTAKEA THDKEIRNMLEAVERTQQRKLEELLLGAAREGRTAEVSALLSRPNPPDVNCSDQLGNTPL HCAAYRAHKQCVLKLLRSGADPSLKNKNDQKPLDLAQGAEMKHILVGNKVVHKALKRYEG PLWKSSRFFGWKLFWVVLEHGVLSWYRKQPDAVHNSYRQGCKHLTQAVCTVKPTDSCLFS IRCFDDTVHCFRVPKNSVQQSREKWLEAIEEHSAYSTHYCSQDQVTDDEEEDVVSAMDLK ESLARAQTCQQRLDREIYNFLKMIKECDVAKDMLPSFLQKADIVSEASRETCVALNDCLN LFTKQEGVRNFKLEQEQEKNKILSEALETLATEHHELERSLVEGSPPVSILSEEEFYDAL SGSESEGSLTCLEAVTAHSFEENEVPGSSGKHRMSEGKDCGGGDALSNGIKKHRTSLPSP MFSRNDFSIWSILRKCIGMELSKITMPVIFNEPLSFLQRLTEYMEHTYLIHKASSLSDPV ERMQCVAAFAVSAVASQWERTGKPFNPLLGETYELVRDDLGFRLISEQVSHHPPISAFHA EGLNNDFIFHGSIYPKLKFWGKSVEAEPKGTITLELLDHNEAYTWTNPTCCVHNIIVGKL WIEQYGNVEIINHKTGDKCVLNFKPCGLFGKELHKVEGYIQDKSKKKLCALYGKWTECLY SVDPATFDAYKKNDKKNTEEKKNSKQTSSSEESDEMPVPDSESVFIIPGSVLLWRIAPRP PNSAQMYNFTSFAMVLNEVDKEMESVIPKTDCRLRPDIRAMENGEIDLASEEKKRLEEKQ RAARKNRSKSEEDWKTRWFHQGPNPYSGAQDWIYSGSYWDRNYFNLPDIY >ENSMUSP00000112895.1 pep:known chromosome:GRCm38:18:12755328:12862423:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000121888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MSEGKDCGGGDALSNGIKKHRTSLPSPMFSRNDFSIWSILRKCIGMELSKITMPVIFNEP LSFLQRLTEYMEHTYLIHKASSLSDPVERMQCVAAFAVSAVASQWERTGKPFNPLLGETY ELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDFIFHGSIYPKLKFWGKSVEAEPKGTIT LELLDHNEAYTWTNPTCCVHNIIVGKLWIEQYGNVEIINHKTGDKCVLNFKPCGLFGKEL HKVEGYIQDKSKKKLCALYGKWTECLYSVDPATFDAYKKNDKKNTEEKKNSKQTSSSEES DEMPVPDSESVFIIPGSVLLWRIAPRPPNSAQMYNFTSFAMVLNEVDKEMESVIPKTDCR LRPDIRAMENGEIDLASEEKKRLEEKQRAARKNRSKSEEDWKTRWFHQGPNPYSGAQDWI YSGSYWDRNYFNLPDIY >ENSMUSP00000113735.1 pep:known chromosome:GRCm38:18:12755328:12862713:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000118313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MSEGKDCGGGDALSNGIKKHRTSLPSPMFSRNDFSIWSILRKCIGMELSKITMPVIFNEP LSFLQRLTEYMEHTYLIHKASSLSDPVERMQCVAAFAVSAVASQWERTGKPFNPLLGETY ELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDFIFHGSIYPKLKFWGKSVEAEPKGTIT LELLDHNEAYTWTNPTCCVHNIIVGKLWIEQYGNVEIINHKTGDKCVLNFKPCGLFGKEL HKVEGYIQDKSKKKLCALYGKWTECLYSVDPATFDAYKKNDKKNTEEKKNSKQTSSSEES DEMPVPDSESVFIIPGSVLLWRIAPRPPNSAQMYNFTSFAMVLNEVDKEMESVIPKTDCR LRPDIRAMENGEIDLASEEKKRLEEKQRAARKNRSKSEEDWKTRWFHQGPNPYSGAQDWI YSGSYWDRNYFNLPDIY >ENSMUSP00000112681.1 pep:known chromosome:GRCm38:18:12755328:12863380:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000117361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MSEGKDCGGGDALSNGIKKHRTSLPSPMFSRNDFSIWSILRKCIGMELSKITMPVIFNEP LSFLQRLTEYMEHTYLIHKASSLSDPVERMQCVAAFAVSAVASQWERTGKPFNPLLGETY ELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDFIFHGSIYPKLKFWGKSVEAEPKGTIT LELLDHNEAYTWTNPTCCVHNIIVGKLWIEQYGNVEIINHKTGDKCVLNFKPCGLFGKEL HKVEGYIQDKSKKKLCALYGKWTECLYSVDPATFDAYKKNDKKNTEEKKNSKQTSSSEES DEMPVPDSESVFIIPGSVLLWRIAPRPPNSAQMYNFTSFAMVLNEVDKEMESVIPKTDCR LRPDIRAMENGEIDLASEEKKRLEEKQRAARKNRSKSEEDWKTRWFHQGPNPYSGAQDWI YSGSYWDRNYFNLPDIY >ENSMUSP00000113268.1 pep:known chromosome:GRCm38:18:12755334:12862914:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000121774.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MFSRNDFSIWSILRKCIGMELSKITMPVIFNEPLSFLQRLTEYMEHTYLIHKASSLSDPV ERMQCVAAFAVSAVASQWERTGKPFNPLLGETYELVRDDLGFRLISEQVSHHPPISAFHA EGLNNDFIFHGSIYPKLKFWGKSVEAEPKGTITLELLDHNEAYTWTNPTCCVHNIIVGKL WIEQYGNVEIINHKTGDKCVLNFKPCGLFGKELHKVEGYIQDKSKKKLCALYGKWTECLY SVDPATFDAYKKNDKKNTEEKKNSKQTSSSEESDEMPVPDSESVFIIPGSVLLWRIAPRP PNSAQMYNFTSFAMVLNEVDKEMESVIPKTDCRLRPDIRAMENGEIDLASEEKKRLEEKQ RAARKNRSKSEEDWKTRWFHQGPNPYSGAQDWIYSGSYWDRNYFNLPDIY >ENSMUSP00000113357.1 pep:known chromosome:GRCm38:18:12755338:12819907:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000119043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MSEGKDCGGGDALSNGIKKHRTSLPSPMFSRNDFSIWSILRKCIGMELSKITMPVIFNEP LSFLQRLTEYMEHTYLIHKASSLSDPVERMQCVAAFAVSAVASQWERTGKPFNPLLGETY ELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDFIFHGSIYPKLKFWGKSVEAEPKGTIT LELLDHNEAYTWTNPTCCVHNIIVGKLWIEQYGNVEIINHKTGDKCVLNFKPCGLFGKEL HKVEGYIQDKSKKKLCALYGKWTECLYSVDPATFDAYKKNDKKNTEEKKNSKQTSSSEES DEMPVPDSESVFIIPGSVLLWRIAPRPPNSAQMYNFTSFAMVLNEVDKEMESVIPKTDCR LRPDIRAMENGEIDLASEEKKRLEEKQRAARKNRSKSEEDWKTRWFHQGPNPYSGAQDWI YSGSYWDRNYFNLPDIY >ENSMUSP00000113914.1 pep:known chromosome:GRCm38:18:12756192:12879977:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000119512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MLPSFLQKADIVSEASRETCVALNDCLNLFTKQEGVRNFKLEQEQEKNKILSEALETLAT EHHELERSLVEGSPPVSILSEEEFYDALSGSESEGSLTCLEAVTAHSFEENEVPGSSGKH RMSEGKDCGGGDALSNGIKKHRTSLPSPMFSRNDFSIWSILRKCIGMELSKITMPVIFNE PLSFLQRLTEYMEHTYLIHKASSLSDPVERMQCVAAFAVSAVASQWERTGKPFNPLLGET YELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDFIFHGSIYPKLKFWGKSVEAEPKGTI TLELLDHNEAYTWTNPTCCVHNIIVGKLWIEQYGNVEIINHKTGDKCVLNFKPCGLFGKE LHKVEGYIQDKSKKKLCALYGKWTECLYSVDPATFDAYKKNDKKNTEEKKNSKQTSSSEE SDEMPVPDSESVFIIPGSVLLWRIAPRPPNSAQMYNFTSFAMVLNEVDKEMESVIPKTDC RLRPDIRAMENGEIDLASEEKKRLEEKQRAARKNRSKSEEDWKTRWFHQGPNPYSGAQDW IYSGSYWDRNYFNLPDIY >ENSMUSP00000122967.1 pep:known chromosome:GRCm38:18:12766803:12863291:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000143077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MSEGKDCGGGDALSNGIKKHRTSLPSPMFSRNDFSIWSILRKCIGMELSKITMPVIFNEP LSFLQRLTEYMEHTYLIHKASSLSDPVERMQCVAAFAVSAVASQWERTGKPFNPLLGETY ELVRDDLGFRLISEQVSHHPPISAFHAEGLNNDFIFHGSIYPKLKFWGKSVEAEPKGTIT LELL >ENSMUSP00000120439.1 pep:known chromosome:GRCm38:18:12771299:12869107:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000155650.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MEFHKMESPRVRNFKLEQEQEKNKILSEALETLATEHHELERSLVEGSPPVSILSEEEFY DALSGSESEGSLTCLEAVTAHSFEENEVPGSSGKHRMSEGKDCGGGDALSNGIKKHRTSL PSPMFSRNDFSIWSILRKCIGMELSKITMPVIFNEPLSFLQRLTEYMEHTYLIHKASSLS DPVERMQ >ENSMUSP00000122291.1 pep:known chromosome:GRCm38:18:12819533:12860251:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000124570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MSEGKDCGGGD >ENSMUSP00000113491.1 pep:known chromosome:GRCm38:18:12898117:12941777:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000122175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MNTEAEQQLLHHARNGNAEEVRKLLAAMARMEVVADIDCKGRSKSNLGWTPLHLACYFGH KQVVEDLLKAGAKVNMLNDMGDTPLHRAAFTGRKELVLLLLEYDADSTVVNGSGQTAKEA THDKEIRNMLEAVERTQQRKLEELLLGAAREGRTAEVSALLSRPNPPDVNCSDQLGNTPL HCAAYRAHKQCVLKLLRSGADPSLKNKNDQKPLDLAQGAEMKHILVGNKVVHKALKRYEG PLWKSSRFFGWKLFWVVLEHGVLSWYRKQPDAVHNSYRQGCKHLTQAVCTVKPTDSCLFS IRCFDDTVHCFRVPKNSVQQSREVTVCIDFCLSQNLRM >ENSMUSP00000114913.1 pep:known chromosome:GRCm38:18:12906945:12941806:-1 gene:ENSMUSG00000044252.17 transcript:ENSMUST00000147197.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Osbpl1a description:oxysterol binding protein-like 1A [Source:MGI Symbol;Acc:MGI:1927551] MNTEAEQQLLHHARNGNAEEVRKLLAAMARMEVVADIDCKEVSLTWAGHLFTWHAILDTN KWSRIC >ENSMUSP00000032070.3 pep:known chromosome:GRCm38:6:86017191:86020996:1 gene:ENSMUSG00000030001.4 transcript:ENSMUST00000032070.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Figla description:folliculogenesis specific basic helix-loop-helix [Source:MGI Symbol;Acc:MGI:1349421] MDTAPASPEPFLVTPQAEVLEELIQAQMGPLPRLAAICRLKRLPSGGYSTTDDLHLVLER RRVANAKERERIKNLNRGFAKLKALVPFLPQSRKPSKVDILKGATEYIQILGCVLEEAKV SEKQSPEEQTHSGRPSDPHVSSTRELLGNATQPTSCASGLKKEEEGPWAYAGHSEPLYSY HQSTVPETRSYFTH >ENSMUSP00000137952.1 pep:known chromosome:GRCm38:9:107597674:107605521:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000123926.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMIPGLALLWVAGLGDTAPNLPRLRLSFQELQARHGVRTFRLERTCCYEALLV DEERGRLFVGAENHVASLSLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFVKLL HTYNHTHLLACGTGAFHPTCAFVEVGHRLEEPMLQLDRRKLEDGKGKTPYDPRHRAASVL VGEELYSGVTADLMGRDFTIFRSLGQNPSLRTEPHDSRWLNEPKFVKVFWIPESENPDDD KIYFFFRESAVEAAPAMGRMSVSRVGQICRNDLGGQRSLVNKWTTFLKARLVCSVPGVEG DTHFDQLQDVFLLSSRDRQTPLLYAVFSTSSGVFQGSAVCVYSMNDVRRAFLGPFAHKEG PTHQWVSYQGRVPYPRPGMCPSKTFGTFSSTKDFPDDVIQFARNHPLMYNPVLPMGGRPL FLQVGAGYTFTQIAADRVAAADGHYDVLFIGTDVGTVLKVISVPKGSRPNSEGLLLEELQ VFEDSAAITSMQISSKRAAPAQNAAWPVILTAPGMDQLAHASSLRPRDGSGGKT >ENSMUSP00000099591.3 pep:known chromosome:GRCm38:9:107598217:107609229:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000102532.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMIPGLALLWVAGLGDTAPNLPRLRLSFQELQARHGVRTFRLERTCCYEALLV DEERGRLFVGAENHVASLSLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFVKLL HTYNHTHLLACGTGAFHPTCAFVEVGHRLEEPMLQLDRRKLEDGKGKTPYDPRHRAASVL VGEELYSGVTADLMGRDFTIFRSLGQNPSLRTEPHDSRWLNEPKFVKVFWIPESENPDDD KIYFFFRESAVEAAPAMGRMSVSRVGQICRNDLGGQRSLVNKWTTFLKARLVCSVPGVEG DTHFDQLQDVFLLSSRDRQTPLLYAVFSTSSGVFQGSAVCVYSMNDVRRAFLGPFAHKEG PTHQWVSYQGRVPYPRPGMCPSKTFGTFSSTKDFPDDVIQFARNHPLMYNPVLPMGGRPL FLQVGAGYTFTQIAADRVAAADGHYDVLFIGTDVGTVLKVISVPKGSRPNSEGLLLEELQ VFEDSAAITSMQISSKRQQLYIASRSAVAQIALHRCTALGRACAECCLARDPYCAWDGSA CTRFQPTAKRRFRRQDIRNGDPSTLCSGDSSHSVLLEKKVLGVESGSAFLECEPRSLQAH VQWTFQGAGEAAHTQVLAEERVERTARGLLLRGLRRQDSGVYLCVAVEQGFSQPLRRLVL HVLSAAQAERLARAEEAAAPAPPGPKLWYRDFLQLVEPGGGGGANSLRMCRPQPGHHSVA ADSRRKGRNRRMHVSELRAERGPRSAAHW >ENSMUSP00000099589.1 pep:known chromosome:GRCm38:9:107598353:107609199:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000102530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMIPGLALLWVAGLGDTAPNLPRLRLSFQELQARHGVRTFRLERTCCYEALLV DEERGRLFVGAENHVASLSLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFVKLL HTYNHTHLLACGTGAFHPTCAFVEVGHRLEEPMLQLDRRKLEDGKGKTPYDPRHRAASVL VGEELYSGVTADLMGRDFTIFRSLGQNPSLRTEPHDSRWLNEPKFVKVFWIPESENPDDD KIYFFFRESAVEAAPAMGRMSVSRVGQICRNDLGGQRSLVNKWTTFLKARLVCSVPGVEG DTHFDQLQDVFLLSSRDRQTPLLYAVFSTSSGVFQGSAVCVYSMNDVRRAFLGPFAHKEG PTHQWVSYQGRVPYPRPGMCPSKTFGTFSSTKDFPDDVIQFARNHPLMYNPVLPMGGRPL FLQVGAGYTFTQIAADRVAAADGHYDVLFIGTDVGTVLKVISVPKGSRPNSEGLLLEELQ VFEDSAAITSMQISSKRQQLYIASRSAVAQIALHRCTALGRACAECCLARDPYCAWDGSA CTRFQPTAKRRFRRQDIRNGDPSTLCSGDSSHSVLLEKKVLGVESGSAFLECEPRSLQAH VQWTFQGAGEAAHTQVLAEERVERTARGLLLRGLRRQDSGVYLCVAVEQGFSQPLRRLVL HVLSAAQAERLARAEEAAAPAPPGPKLWYRDFLQLVEPGGGGGANSLRMCRPQPGHHSVA ADSRRKGRNRRMHVSELRAERGPRSAAHW >ENSMUSP00000099588.3 pep:known chromosome:GRCm38:9:107598355:107605402:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000102529.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMIPGLALLWVAGLGDTAPNLPRLRLSFQELQARHGVRTFRLERTCCYEALLV DEERGRLFVGAENHVASLSLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFVKLL HTYNHTHLLACGTGAFHPTCAFVEVGHRLEEPMLQLDRRKLEDGKGKTPYDPRHRAASVL VGEELYSGVTADLMGRDFTIFRSLGQNPSLRTEPHDSRWLNEPKFVKVFWIPESENPDDD KIYFFFRESAVEAAPAMGRMSVSRVGQICRNDLGGQRSLVNKWTTFLKARLVCSVPGVEG DTHFDQLQDVFLLSSRDRQTPLLYAVFSTSSGVFQGSAVCVYSMNDVRRAFLGPFAHKEG PTHQWVSYQGRVPYPRPGMCPSKTFGTFSSTKDFPDDVIQFARNHPLMYNPVLPMGGRPL FLQVGAGYTFTQIAADRVAAADGHYDVLFIGTDVGTVLKVISVPKGSRPNSEGLLLEELQ VFEDSAAITSMQISSKRQQLYIASRSAVAQIALHRCTALGRACAECCLARDPYCAWDGSA CTRFQPTAKRRFRRQDIRNGDPSTLCSGDSSHSVLLEKKVLGVESGSAFLECEPRSLQAH VQWTFQGAGEAAHTQVLAEERVERTARGLLLRGLRRQDSGVYLCVAVEQGFSQPLRRLVL HVLSAAQAERLARAEEAAAPAPPGPKLWYRDFLQLVEPGGGGGANSLRMCRPQPGHHSVA ADSRRKGRNRRMHVSELRAERGPRSAAHW >ENSMUSP00000099590.1 pep:known chromosome:GRCm38:9:107598355:107609193:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000102531.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMIPGLALLWVAGLGDTAPNLPRLRLSFQELQARHGVRTFRLERTCCYEALLV DEERGRLFVGAENHVASLSLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFVKLL HTYNHTHLLACGTGAFHPTCAFVEVGHRLEEPMLQLDRRKLEDGKGKTPYDPRHRAASVL VGEELYSGVTADLMGRDFTIFRSLGQNPSLRTEPHDSRWLNEPKFVKVFWIPESENPDDD KIYFFFRESAVEAAPAMGRMSVSRVGQICRNDLGGQRSLVNKWTTFLKARLVCSVPGVEG DTHFDQLQDVFLLSSRDRQTPLLYAVFSTSSGVFQGSAVCVYSMNDVRRAFLGPFAHKEG PTHQWVSYQGRVPYPRPGMCPSKTFGTFSSTKDFPDDVIQFARNHPLMYNPVLPMGGRPL FLQVGAGYTFTQIAADRVAAADGHYDVLFIGTDVGTVLKVISVPKGSRPNSEGLLLEELQ VFEDSAAITSMQISSKRQQLYIASRSAVAQIALHRCTALGRACAECCLARDPYCAWDGSA CTRFQPTAKRRFRRQDIRNGDPSTLCSGDSSHSVLLEKKVLGVESGSAFLECEPRSLQAH VQWTFQGAGEAAHTQVLAEERVERTARGLLLRGLRRQDSGVYLCVAVEQGFSQPLRRLVL HVLSAAQAERLARAEEAAAPAPPGPKLWYRDFLQLVEPGGGGGANSLRMCRPQPGHHSVA ADSRRKGRNRRMHVSELRAERGPRSAAHW >ENSMUSP00000141403.1 pep:known chromosome:GRCm38:9:107603149:107605269:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000194433.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMIPGLALLWVAGLGDTAPNLPRLRLSFQELQARHGVRTFRLERTCCYEALLV DEERGRLFVGAENHVASLSLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFVKLL HTYNHTHLLACGTGAFHPTCAFVEVGHRLEEPMLQLDRRKLEDGKGKTPYDP >ENSMUSP00000141726.1 pep:known chromosome:GRCm38:9:107603304:107606916:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000193180.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMIPGLALLWVAGLGDTAPNLPRLRLSFQELQARHGVRTFRLERTCCYEALLV DEERGRLFVGAENHVASLSLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFVKLL HTYNHTHLLACGTGAFHPTCAFVEVGHR >ENSMUSP00000141614.1 pep:known chromosome:GRCm38:9:107603336:107606683:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000195662.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMIPGLALLWVAGLGDTAPNLPRLRLSFQELQARHGVRTFRLERTCCYEALLV DEERGRLFVGAENHVASLSLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFVKLL HTYNHTHLLACGTGAFH >ENSMUSP00000141928.1 pep:known chromosome:GRCm38:9:107604166:107606681:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000194606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMIPGLALLWVAGLGDTAPNLPRLRLSFQELQARHGVRTFR >ENSMUSP00000141390.1 pep:known chromosome:GRCm38:9:107605047:107609220:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000195057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMI >ENSMUSP00000073152.5 pep:known chromosome:GRCm38:9:107598354:107606656:-1 gene:ENSMUSG00000057969.15 transcript:ENSMUST00000073448.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3b description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3B [Source:MGI Symbol;Acc:MGI:107561] MGRAEAAAMIPGLALLWVAGLGDTAPNLPRLRLSFQELQARHGVRTFRLERTCCYEALLV DEERGRLFVGAENHVASLSLDNISKRAKKLAWPAPVEWREECNWAGKDIGTECMNFVKLL HTYNHTHLLACGTGAFHPTCAFVEVGHRLEEPMLQLDRRKLEDGKGKTPYDPRHRAASVL VGEELYSGVTADLMGRDFTIFRSLGQNPSLRTEPHDSRWLNEPKFVKVFWIPESENPDDD KIYFFFRESAVEAAPAMGRMSVSRVGQICRNDLGGQRSLVNKWTTFLKARLVCSVPGVEG DTHFDQLQDVFLLSSRDRQTPLLYAVFSTSSGVFQGSAVCVYSMNDVRRAFLGPFAHKEG PTHQWVSYQGRVPYPRPGMCPSKTFGTFSSTKDFPDDVIQFARNHPLMYNPVLPMGGRPL FLQVGAGYTFTQIAADRVAAADGHYDVLFIGTDVGTVLKVISVPKGSRPNSEGLLLEELQ VFEDSAAITSMQISSKRQQLYIASRSAVAQIALHRCTALGRACAECCLARDPYCAWDGSA CTRFQPTAKRRFRRQDIRNGDPSTLCSGDSSHSVLLEKKVLGVESGSAFLECEPRSLQAH VQWTFQGAGEAAHTQVLAEERVERTARGLLLRGLRRQDSGVYLCVAVEQGFSQPLRRLVL HVLSAAQAERLARAEEAAAPAPPGPKLWYRDFLQLVEPGGGGGANSLRMCRPQPGHHSVA ADSRRKGRNRRMHVSELRAERGPRSAAHW >ENSMUSP00000033166.3 pep:known chromosome:GRCm38:7:120982509:121014787:1 gene:ENSMUSG00000030877.10 transcript:ENSMUST00000033166.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933427G17Rik description:RIKEN cDNA 4933427G17 gene [Source:MGI Symbol;Acc:MGI:1921716] MTLLSIKSEVWVYSLITLGTEMLSSFFSFYYVKLFLEVYKISKSAFCQAQVILMIWNILT DLSGYFHMSSQYDCCLSYRCSLLGALLHAVAFLLPWFPWKHYRESDWLIGLQLVASLCAF DSTHAWVQQAQWRLFAETSPRQESRLQLTRINQVASLVGSVSILFCGLISHNMEILPNFQ ATSVAIAFLASLSFYSGMFNVRQFEFKRNPEENVLSESEQELARTSVILLMRQILSQRNF YLFLIMNFFQVFHLTIFSNFMMIFVDNLIPTEALSSSVRSIMYGAGFICPQCLVLLGQSW LKKYGYYKVILISFYLEGAAALVMLLLGQEHYYCLAVYLTVIMVIVHTSLCLFNLPVADM VEADLPKFNRQPPFSLMVAVISALFTRPAQSLAPMLILSKLNQHEHGEANNRLLDLQDSM FNSVCVVSLGIATVQILTWSSFSVRNRRDCSGTV >ENSMUSP00000115341.1 pep:known chromosome:GRCm38:7:120982525:120991468:1 gene:ENSMUSG00000030877.10 transcript:ENSMUST00000149535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933427G17Rik description:RIKEN cDNA 4933427G17 gene [Source:MGI Symbol;Acc:MGI:1921716] MTLLSIKSEVWVYSLITLGTEMLSSFFSFYYVKLFLEVYKISKSAFCQAQVILMIWNILT DLSGYFHMSSQYDCCLSYRCSLLGALLHAVAFLLPWFPWKHYRESDWLIGLQLVASLCAF DSTHAWVQQAQWRLFAETSPRQE >ENSMUSP00000115200.1 pep:known chromosome:GRCm38:7:120982528:120989077:1 gene:ENSMUSG00000030877.10 transcript:ENSMUST00000138177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933427G17Rik description:RIKEN cDNA 4933427G17 gene [Source:MGI Symbol;Acc:MGI:1921716] MTLLSIKSEVWVYSLITLGTEMLSSFFSFY >ENSMUSP00000121409.1 pep:known chromosome:GRCm38:7:120991823:121014787:1 gene:ENSMUSG00000030877.10 transcript:ENSMUST00000133939.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933427G17Rik description:RIKEN cDNA 4933427G17 gene [Source:MGI Symbol;Acc:MGI:1921716] XIFVDNLIPTEALSSSVRSIMYGAGFICPQCLVLLGQSWLKKYGYYKVILISFYLEGAAA LVMLLLGQEHYYCLAVYLTVIMPPFSLMVAVISALFTRPAQSLAPMLILSKLNQHEHGEA NNRLLDLQDSMFNSVCVVSLGIATVQILTWSSFSVRNRRDCSGTV >ENSMUSP00000089421.5 pep:known chromosome:GRCm38:18:78100091:78123426:-1 gene:ENSMUSG00000059336.14 transcript:ENSMUST00000091813.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc14a1 description:solute carrier family 14 (urea transporter), member 1 [Source:MGI Symbol;Acc:MGI:1351654] MEDSPTMVKVDRGENQILSCRGRRCGFKVLGYVTGDMKEFANWLKDKPVVLQFMDWILRG ISQVVFVSNPISGILILVGLLVQNPWWALCGCVGTVVSTLTALLLSQDRSAIAAGLQGYN ATLVGILMAVFSNKGDYFWWLIFPVSAMSMTCPVFSSALSSVLSKWDLPVFTLPFNMALS MYLSATGHYNTFFPSKLFTPVSSVPNITWSELSALELLKSLPVGVGQIYGCDNPWTGGIF LCAILLSSPLMCLHAAIGSLLGVIAGLSLAAPFEDIYFGLWGFNSSLACIAIGGMFMALT WQTHLLALACALFTAYFGACMAHLMAVVHLPACTWSFCLATLLFLLLTTKNPNIYRMPLS KVTYSEENRIFYLQNKKRMVESPL >ENSMUSP00000125114.1 pep:known chromosome:GRCm38:18:78101649:78123331:-1 gene:ENSMUSG00000059336.14 transcript:ENSMUST00000160292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc14a1 description:solute carrier family 14 (urea transporter), member 1 [Source:MGI Symbol;Acc:MGI:1351654] MNGQSLTGGTDDAHHGPLWIDPFGNRGDKAAPEGFRRLSLALAQRWREQEPEEEIAMEDS PTMVKVDRGENQILSCRGRRCGFKVLGYVTGDMKEFANWLKDKPVVLQFMDWILRGISQV VFVSNPISGILILVGLLVQNPWWALCGCVGTVVSTLTALLLSQDRSAIAAGLQGYNATLV GILMAVFSNKGDYFWWLIFPVSAMSMTCPVFSSALSSVLSKWDLPVFTLPFNMALSMYLS ATGHYNTFFPSKLFTPVSSVPNITWSELSALELLKSLPVGVGQIYGCDNPWTGGIFLCAI LLSSPLMCLHAAIGSLLGVIAGLSLAAPFEDIYFGLWGFNSSLACIAIGGMFMALTWQTH LLALACALFTAYFGACMAHLMAVVHLPACTWSFCLATLLFLLLTTKNPNIYRMPLSKVTY SEENRIFYLQNKKRMVESPL >ENSMUSP00000125367.1 pep:known chromosome:GRCm38:18:78101649:78142119:-1 gene:ENSMUSG00000059336.14 transcript:ENSMUST00000160639.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc14a1 description:solute carrier family 14 (urea transporter), member 1 [Source:MGI Symbol;Acc:MGI:1351654] MEDSPTMVKVDRGENQILSCRGRRCGFKVLGYVTGDMKEFANWLKDKPVVLQFMDWILRG ISQVVFVSNPISGILILVGLLVQNPWWALCGCVGTVVSTLTALLLSQDRSAIAAGLQGYN ATLVGILMAVFSNKGDYFWWLIFPVSAMSMTCPVFSSALSSVLSKWDLPVFTLPFNMALS MYLSATGHYNTFFPSKLFTPVSSVPNITWSELSALELLKSLPVGVGQIYGCDNPWTGGIF LCAILLSSPLMCLHAAIGSLLGVIAGLSLAAPFEDIYFGLWGFNSSLACIAIGGMFMALT WQTHLLALACALFTAYFGACMAHLMAVVHLPACTWSFCLATLLFLLLTTKNPNIYRMPLS KVTYSEENRIFYLQNKKRMVESPL >ENSMUSP00000107560.1 pep:known chromosome:GRCm38:2:76406538:76600647:1 gene:ENSMUSG00000042359.18 transcript:ENSMUST00000111929.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl6 description:oxysterol binding protein-like 6 [Source:MGI Symbol;Acc:MGI:2139014] MSSDEKGISPAHKTSTPTHRSASSSTSSQRESRQSIHVLERTASSSTEPSVSRQLLEPEP IPLSKEADSWEIIEGLKIGQTNVQKPDRHEGFMLKKRKWPLKGWHKRFFVLDNGMLKYSK APLDIQKGKVHGSIDVGLSVMSIKKKARRIDLDTEEHIYHLKVKSQDWFDAWVSKLRHHR LYRQNEIVRSPRDASFHIFPATSTAESSPAANVSVVDGKMQPNSFPWQSPLPCSNSLPAT CTTGQSKVAAWLQDSEEMDRCAEDLAHCQSNLVELSKLLQNLEILQRTQSAPNFTDMQAN CVDISKKDKRVTRRWRTKSVSKDTKIQLQEGPPAKGQFNTTRRRQRLAAAVATTVPFSAT MSPVRLHSSNPNLCADIEFQTPPSHLTDPLESSTDYTKLQEEFCLIAQKVHSLLKSAFNS IAIEKEKLKQVVSEQDHNKGHSTQMARLRQSLSQALNQNAELRSRLNRIHSESTICDHVV SVNIIPSPDEPGEQIHVSLPLSQQVANESRLSMSESVSEFFDAQEVLLSASSSENEASDD ESYISDVSDNISEDNTSVADNISRQILNGELTGGAFRNGRRTCLPAPCPDTSNINLWNIL RNNIGKDLSKVSMPVELNEPLNTLQHLCEEMEYSELLDKASETDDPYERMVLVAAFAVSG YCSTYFRAGSKPFNPVLGETYECIREDKGFRFFSEQVSHHPPISACHCESKNFVFWQDIR WKNKFWGKSMEILPVGTLNVTLPKYGDYYVWNKVTTCIHNILSGRRWIEHYGEVTLRNTK SSVCICKLTFVKVNYWNSNVNEVQGVVIDQEGKVVHRLFGKWHEGLYCGVAPSAKCIWRP GSLPTNYELYYGFTRFAVELNELDPVLKDLLPPTDARFRPDQRFLEEGNLEAAAAEKQRV EELQRSRRRYMEENNLEHIPKFFKKVIDANQREAWVSNDTYWELRKDPGFSKVDSPVLW >ENSMUSP00000107561.2 pep:known chromosome:GRCm38:2:76406558:76596596:1 gene:ENSMUSG00000042359.18 transcript:ENSMUST00000111930.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl6 description:oxysterol binding protein-like 6 [Source:MGI Symbol;Acc:MGI:2139014] MSSDEKGISPAHKTSTPTHRSASSSTSSQRESRQSIHVLERTASSSTEPSVSRQLLEPEP IPLSKEADSWEIIEGLKIGQTNVQKPDRHEGFMLKKRKWPLKGWHKRFFVLDNGMLKYSK APLDIQKGKVHGSIDVGLSVMSIKKKARRIDLDTEEHIYHLKVKSQDWFDAWVSKLRHHR LYRQNEIVRSPRDASFHIFPATSTAESSPAANVSVVDGKMQPNSFPWQSPLPCSNSLPAT CTTGQSKVAAWLQDSEEMDRCAEDLAHCQSNLVELSKLLQNLEILQRTQSAPNFTDMQEG PPAKGQFNTTRRRQRLAAAVATTVPFSATMSPVRLHSSNPNLCADIEFQTPPSHLTDPLE SSTDYTKLQEEFCLIAQKVHSLLKSAFNSIAIEKEKLKQVVSEQDHNKGHSTQMARLRQS LSQALNQNAELRSRLNRIHSESTICDHVVSVNIIPSPDEPGEQIHVSLPLSQQVANESRL SMSESVSEFFDAQEVLLSASSSENEASDDESYISDVSDNISEDNTSVADNISRQILNGEL TGGAFRNGRRTCLPAPCPDTSNINLWNILRNNIGKDLSKVSMPVELNEPLNTLQHLCEEM EYSELLDKASETDDPYERMVLVAAFAVSGYCSTYFRAGSKPFNPVLGETYECIREDKGFR FFSEQVSHHPPISACHCESKNFVFWQDIRWKNKFWGKSMEILPVGTLNVTLPKYGDYYVW NKVTTCIHNILSGRRWIEHYGEVTLRNTKSSVCICKLTFVKVNYWNSNVNEVQGVVIDQE GKVVHRLFGKWHEGLYCGVAPSAKCIWRPGSLPTNYELYYGFTRFAVELNELDPVLKDLL PPTDARFRPDQRFLEEGNLEAAAAEKQRVEELQRSRRRYMEENNLEHIPKFFKKVIDANQ REAWVSNDTYWELRKDPGFSKVDSPVLW >ENSMUSP00000139363.1 pep:known chromosome:GRCm38:2:76548340:76596045:1 gene:ENSMUSG00000042359.18 transcript:ENSMUST00000184442.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Osbpl6 description:oxysterol binding protein-like 6 [Source:MGI Symbol;Acc:MGI:2139014] GMLKYSKAPLDIQKGKVHGSIDVGLSVMSIKKKARRIDLDTEEHIYHLKVKSQDWFDAWV SKLRHHRLYRQNEIVRSPRDASFHIFPATSTAESSPAANVSVVDGKMQPNSFPWQSPLPC SNSLPATCTTGQSKVAAWLQDSEEMDRCAEDLAHCQSNLVELSKLLQNLEILQRTQSAPN FTDMQANCVDISKKDKRVTRRWRTKSVSKDTKIQLQVPFSATMSPVRLHSSNPNLCADIE FQTPPSHLTDPLESSTDYTKLQEEFCLIAQKVHSLLKSAFNSIAIEKEKLKQVVSEQDHN KGHSTQMARLRQSLSQALNQNAELRSRLNRIHSESTICDHVVSVNIIPSPDEPGEQIHVS LPLSQQVANESRLSMSESVSEFFDAQEVLLSASSSENEASDDESYISDVSDNISEDNTSV ADNISRQILNGELTGGAFRNGRRTCLPAPCPDTSNINLWNILRNNIGKDLSKVSMPVELN EPLNTLQHLCEEMEYSELLDKASETDDPYERMVLVAAFAVSGYCSTYFRAGSKPFNPVLG ETYECIREDKGFRFFSEQVSHHPPISACHCESKNFVFWQDIRWKNKFWGKSMEILPVGTL NVTLPKYGDYYVWNKVTTCIHNILSGRRWIEHYGEVTLRNTKSSVCICKLTFVKVNYWNS NVNEVQGVVIDQEGKVVHRLFGKWHEGLYCGVAPSAKCIWRPGSLPTNYELYYGFTRFAV ELNELDPVLKDLLPPTDARFRPDQRFLEEGNLEAAAAEKQRVEELQRSRRRYMEENNLEH IPKFFKACVCSDLAPLWLDQR >ENSMUSP00000077123.4 pep:known chromosome:GRCm38:2:76406538:76600643:1 gene:ENSMUSG00000042359.18 transcript:ENSMUST00000077972.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl6 description:oxysterol binding protein-like 6 [Source:MGI Symbol;Acc:MGI:2139014] MSSDEKGISPAHKTSTPTHRSASSSTSSQRESRQSIHVLERTASSSTEPSVSRQLLEPEP IPLSKEADSWEIIEGLKIGQTNVQKPDRHEGFMLKKRKWPLKGWHKRFFVLDNGMLKYSK APLDIQKGKVHGSIDVGLSVMSIKKKARRIDLDTEEHIYHLKVKSQDWFDAWVSKLRHHR LYRQNEIVRSPRDASFHIFPATSTAESSPAANVSVVDGKMQPNSFPWQSPLPCSNSLPAT CTTGQSKVAAWLQDSEEMDRCAEDLAHCQSNLVELSKLLQNLEILQRTQSAPNFTDMQAN CVDISKKDKRVTRRWRTKSVSKDTKIQLQEGPPAKGQFNTTRRRQRLAAAVATTVPFSAT MSPVRLHSSNPNLCADIEFQTPPSHLTDPLESSTDYTKLQEEFCLIAQKVHSLLKSAFNS IAIEKEKLKQVVSEQDHNKGHSTQMARLRQSLSQSEGAGKSWALNQNAELRSRLNRIHSE STICDHVVSVNIIPSPDEPGEQIHVSLPLSQQVANESRLSMSESVSEFFDAQEVLLSASS SENEASDDESYISDVSDNISEDNTSVADNISRQILNGELTGGAFRNGRRTCLPAPCPDTS NINLWNILRNNIGKDLSKVSMPVELNEPLNTLQHLCEEMEYSELLDKASETDDPYERMVL VAAFAVSGYCSTYFRAGSKPFNPVLGETYECIREDKGFRFFSEQVSHHPPISACHCESKN FVFWQDIRWKNKFWGKSMEILPVGTLNVTLPKYGDYYVWNKVTTCIHNILSGRRWIEHYG EVTLRNTKSSVCICKLTFVKVNYWNSNVNEVQGVVIDQEGKVVHRLFGKWHEGLYCGVAP SAKCIWRPGSLPTNYELYYGFTRFAVELNELDPVLKDLLPPTDARFRPDQRFLEEGNLEA AAAEKQRVEELQRSRRRYMEENNLEHIPKFFKKVIDANQREAWVSNDTYWELRKDPGFSK VDSPVLW >ENSMUSP00000143110.1 pep:known chromosome:GRCm38:5:121848984:121854632:1 gene:ENSMUSG00000044134.9 transcript:ENSMUST00000198271.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam109a description:family with sequence similarity 109, member A [Source:MGI Symbol;Acc:MGI:2442708] MKLNERSLAFYATCDAPVDNAGFLYKRGGRGTGSHRRWFVLRGNILFYFEAEGSREPLGV ILLEGCTVELVDAREEFAFAVRFAGGRSRPYVLAADSQAALEGWVKALSRASFHYLRLVV RELEQQLAAMREGSPANALPANPSPVLTQRPKENGWVVWSTLPEQPSVAPQRPPPLPPRR RASAANGPLASFAQLHARYGLEVQALRDQWRGGQAGLASLEVPWHPGSAETQTQDQPALR GHSGCKVLHVFRSVEWPVCNPGSQGT >ENSMUSP00000062386.3 pep:known chromosome:GRCm38:5:121848995:121854599:1 gene:ENSMUSG00000044134.9 transcript:ENSMUST00000056654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam109a description:family with sequence similarity 109, member A [Source:MGI Symbol;Acc:MGI:2442708] MKLNERSLAFYATCDAPVDNAGFLYKRGGRGTGSHRRWFVLRGNILFYFEAEGSREPLGV ILLEGCTVELVDAREEFAFAVRFAGGRSRPYVLAADSQAALEGWVKALSRASFHYLRLVV RELEQQLAAMREGSPANALPANPSPVLTQRPKENGWVVWSTLPEQPSVAPQRPPPLPPRR RASAANGPLASFAQLHARYGLEVQALRDQWRGGQAGLASLEVPWHPGSAETQTQDQPALR GHSGCKVLHVFRSVEWPVCNPGSQGT >ENSMUSP00000143324.1 pep:known chromosome:GRCm38:5:121849005:121852884:1 gene:ENSMUSG00000044134.9 transcript:ENSMUST00000198155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam109a description:family with sequence similarity 109, member A [Source:MGI Symbol;Acc:MGI:2442708] MKLNERSLAFYATCDAPVDNAGFLYKRGGRGTGSHRRWFVLRGNILFYFEAEGSREPLGV ILLEGCTVELVDAREEFAFAVRFAGGRSRPYVLAADSQAALEG >ENSMUSP00000122792.1 pep:known chromosome:GRCm38:8:12873806:12880146:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000156560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MSDCWCFIFCKEHVRSNPLSPQHDGASREEADHQVDVSDGIRLVPDKAEATAATA >ENSMUSP00000093108.3 pep:known chromosome:GRCm38:8:12873827:13019053:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000095456.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MSDCWCFIFCKEHVRSNPLSPQHDGASREEADHQVDVSDGIRLVPDKAEATAATASDEIM HQDIVPLCAADIQEQLKKRFAYLSGGRGQDGSPVITFPDYPAFSEIPDKEFQNVMTYLTS IPSLQDAGIGFILVIDRRQDKWTSVKASVLRIAASFPANLQLVLVLRPTGFFQRTLSDIA FKFNRDEFKMKVPVMMLSSVPELHGYIDKSQLTEDLGGTLDYCHSRWLCHRTAIESFALM VKQTAQMLQAFGTELAETELPNDVQSTSLVLSAHTEKKAKVKEDLQLALKEGNSILESLR EPLAESAAHSVNQDQLDNQATVQRLLAQLNETEAAFDEFWAKHQQKLEQCLQLRHFEQGF REVKTTLDSMSQKIAAFTDVGNSLAHVQHLLKDLTAFEEKSSVAVDKARALSLEGQQLIE NRHYAVDSIHPKCEELQHLCDHFASEVTRRRGLLSKSLELHSLLETSMKWSDEGIFLLAS QPVDKCQSQDGAEAALQEIEKFLETGAENKIQELNEIYKEYECILNQDLLEHVQKVFQKQ ESTEEMFHRRQASLKKLAAKQTRPVQPVAPRPEALTKSPSPSPGSWRSSENSSSEGNALR RGPYRRAKSEMSEPRQGRTSSTGEEEESLAILRRHVMNELLDTERAYVEELLCVLEGYAA EMDNPLMAHLISTGLQNKKNILFGNMEEIYHFHNRIFLRELESCIDCPELVGRCFLERME EFQIYEKYCQNKPRSESLWRQCSDCPFFQECQKKLDHKLSLDSYLLKPVQRITKYQLLLK EMLKYSKHCEGAEDLQEALSSILGILKAVNDSMHLIAITGYDGNLGDLGKLLMQGSFSVW TDHKKGHTKVKELARFKPMQRHLFLHEKAVLFCKKREENGEGYEKAPSYSYKQSLNMTAV GITENVKGDTKKFEIWYNAREEVYIIQAPTPEIKAAWVNEIRKVLTSQLQACREASQHRA LEQSHSLPLPTPSSTSPTKGNTRNVKKLEDRKTDPLSLEGYVSSSLPKPPEKGKGWSKTS HSLEAPEEDGGWSSAEELINSSDAEEDGGVGPKKLVPGKYTVVMDDEKGGPDTLAMRSGD MVEVVEEGAEGLWYVRDLTSSKEGWVPASSLSTLLGKSSSAQCLSSSGKIHCARQLCPEP AEILSPEPV >ENSMUSP00000133776.1 pep:known chromosome:GRCm38:8:12915256:13020905:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000173099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MRPRGERALGCCGLCTCPAAAGDEIMHQDIVPLCAADIQEQLKKRFAYLSGGRGQDGSPV ITFPDYPAFSEIPDKEFQNVMTYLTSIPSLQDAGIGFILVIDRRQDKWTSVKASVLRIAA SFPANLQLVLVLRPTGFFQRTLSDIAFKFNRDEFKMKVPVMMLSSVPELHGYIDKSQLTE DLGGTLDYCHSRWLCHRTAIESFALMVKQTAQMLQAFGTELAETELPNDVQSTSLVLSAH TEKKAKVKEDLQLALKEGNSILESLREPLAESAAHSVNQDQLDNQATVQRLLAQLNETEA AFDEFWAKHQQKLEQCLQLRHFEQGFREVKTTLDSMSQKIAAFTDVGNSLAHVQHLLKDL TAFEEKSSVAVDKARALSLEGQQLIENRHYAVDSIHPKCEELQHLCDHFASEVTRRRGLL SKSLELHSLLETSMKWSDEGIFLLASQPVDKCQSQDGAEAALQEIEKFLETGAENKIQEL NEIYKEYECILNQDLLEHVQKVFQKQESTEEMFHRRQASLKKLAAKQTRPVQPVAPRPEA LTKSPSPSPGSWRSSENSSSEGNALRRGPYRRAKSEMSEPRQGRTSSTGEEEESLAILRR HVMNELLDTERAYVEELLCVLEGYAAEMDNPLMAHLISTGLQNKKNILFGNMEEIYHFHN RIFLRELESCIDCPELVGRCFLERMEEFQIYEKYCQNKPRSESLWRQCSDCPFFQECQKK LDHKLSLDSYLLKPVQRITKYQLLLKEMLKYSKHCEGAEDLQEALSSILGILKAVNDSMH LIAITGYDGNLGDLGKLLMQGSFSVWTDHKKGHTKVKELARFKPMQRHLFLHEKAVLFCK KREENGEGYEKAPSYSYKQSLNMTAVGITENVKGDTKKFEIWYNAREEVYIIQAPTPEIK AAWVNEIRKVLTSQLQACREASQHRALEQSHSLPLPTPSSTSPTKGNTRNVKKLEDRKTD PLSLEGYVSSSLPKPPEKGKGWSKTSHSLEAPEEDGGWSSAEELINSSDAEEDGGVGPKK LVPGKYTVVMDDEKGGPDTLAMRSGDMVEVVEEGAEGLW >ENSMUSP00000106500.2 pep:known chromosome:GRCm38:8:12915893:13020509:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000110876.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MRFWLRNEEMALEEMVQRLNAVSKNTDEIMHQDIVPLCAADIQEQLKKRFAYLSGGRGQD GSPVITFPDYPAFSEIPDKEFQNVMTYLTSIPSLQDAGIGFILVIDRRQDKWTSVKASVL RIAASFPANLQLVLVLRPTGFFQRTLSDIAFKFNRDEFKMKVPVMMLSSVPELHGYIDKS QLTEDLGGTLDYCHSRWLCHRTAIESFALMVKQTAQMLQAFGTELAETELPNDVQSTSLV LSAHTEKKAKVKEDLQLALKEGNSILESLREPLAESAAHSVNQDQLDNQATVQRLLAQLN ETEAAFDEFWAKHQQKLEQCLQLRHFEQGFREVKTTLDSMSQKIAAFTDVGNSLAHVQHL LKDLTAFEEKSSVAVDKARALSLEGQQLIENRHYAVDSIHPKCEELQHLCDHFASEVTRR RGLLSKSLELHSLLETSMKWSDEGIFLLASQPVDKCQSQDGAEAALQEIEKFLETGAENK IQELNEIYKEYECILNQDLLEHVQKVFQKQESTEEMFHRRQASLKKLAAKQTRPVQPVAP RPEALTKSPSPSPGSWRSSENSSSEGNALRRGPYRRAKSEMSEPRQGRTSSTGEEEESLA ILRRHVMNELLDTERAYVEELLCVLEGYAAEMDNPLMAHLISTGLQNKKNILFGNMEEIY HFHNRIFLRELESCIDCPELVGRCFLERMEEFQIYEKYCQNKPRSESLWRQCSDCPFFQE CQKKLDHKLSLDSYLLKPVQRITKYQLLLKEMLKYSKHCEGAEDLQEALSSILGILKAVN DSMHLIAITGYDGNLGDLGKLLMQGSFSVWTDHKKGHTKVKELARFKPMQRHLFLHEKAV LFCKKREENGEGYEKAPSYSYKQSLNMTAVGITENVKGDTKKFEIWYNAREEVYIIQAPT PEIKAAWVNEIRKVLTSQLQACREASQHRALEQSHSLPLPTPSSTSPTKGNTRNVKKLED RKTDPLSLEGYVSSSLPKPPEKGKDDAVPSSTSESSALSRKRFTLQGLANLKGQKASPTS PDKKAKRHEVKSDPTPFGLRGWSKTSHSLEAPEEDGGWSSAEELINSSDAEEDGGVGPKK LVPGKYTVVMDDEKGGPDTLAMRSGDMVEVVEEGAEGLWYVRDLTSSKEGWVPASSLSTL LGKSSSAQCLSSSGKIHCARQLCPEPAEILSPEPV >ENSMUSP00000106503.2 pep:known chromosome:GRCm38:8:12915975:13019309:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000110879.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MRFWLRNEEMALEEMVQRLNAVSKNTDEIMHQDIVPLCAADIQEQLKKRFAYLSGGRGQD GSPVITFPDYPAFSEIPDKEFQNVMTYLTSIPSLQDAGIGFILVIDRRQDKWTSVKASVL RIAASFPANLQLVLVLRPTGFFQRTLSDIAFKFNRDEFKMKVPVMMLSSVPELHGYIDKS QLTEDLGGTLDYCHSRWLCHRTAIESFALMVKQTAQMLQAFGTELAETELPNDVQSTSLV LSAHTEKKAKVKEDLQLALKEGNSILESLREPLAESAAHSVNQDQLDNQATVQRLLAQLN ETEAAFDEFWAKHQQKLEQCLQLRHFEQGFREVKTTLDSMSQKIAAFTDVGNSLAHVQHL LKDLTAFEEKSSVAVDKARALSLEGQQLIENRHYAVDSIHPKCEELQHLCDHFASEVTRR RGLLSKSLELHSLLETSMKWSDEGIFLLASQPVDKCQSQDGAEAALQEIEKFLETGAENK IQELNEIYKEYECILNQDLLEHVQKVFQKQESTEEMFHRRQASLKKLAAKQTRPVQPVAP RPEALTKSPSPSPGSWRSSENSSSEGNALRRGPYRRAKSEMSEPRQGRTSSTGEEEESLA ILRRHVMNELLDTERAYVEELLCVLEGYAAEMDNPLMAHLISTGLQNKKNILFGNMEEIY HFHNRIFLRELESCIDCPELVGRCFLERMEEFQIYEKYCQNKPRSESLWRQCSDCPFFQE CQKKLDHKLSLDSYLLKPVQRITKYQLLLKEMLKYSKHCEGAEDLQEALSSILGILKAVN DSMHLIAITGYDGNLGDLGKLLMQGSFSVWTDHKKGHTKVKELARFKPMQRHLFLHEKAV LFCKKREENGEGYEKAPSYSYKQSLNMTAVGITENVKGDTKKFEIWYNAREEVYIIQAPT PEIKAAWVNEIRKVLTSQLQACREASQHRALEQSHSLPLPTPSSTSPTKGNTRNVKKLED RKTDPLSLEGYVSSSLPKPPEKGKGWSKTSHSLEAPEEDGGWSSAEELINSSDAEEDGGV GPKKLVPGKYTVVMDDEKGGPDTLAMRSGDMVEVVEEGAEGLWYVRDLTSSKEGWVPASS LSTLLGKSSSAQCLSSSDSSPGSAVLSSSSSFSEGYPAPFSELQG >ENSMUSP00000096528.3 pep:known chromosome:GRCm38:8:12926632:13018177:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000098927.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MAEKGASRGAGRRFWSLSRKRRGAPGRHKPDEIMHQDIVPLCAADIQEQLKKRFAYLSGG RGQDGSPVITFPDYPAFSEIPDKEFQNVMTYLTSIPSLQDAGIGFILVIDRRQDKWTSVK ASVLRIAASFPANLQLVLVLRPTGFFQRTLSDIAFKFNRDEFKMKVPVMMLSSVPELHGY IDKSQLTEDLGGTLDYCHSRWLCHRTAIESFALMVKQTAQMLQAFGTELAETELPNDVQS TSLVLSAHTEKKAKVKEDLQLALKEGNSILESLREPLAESAAHSVNQDQLDNQATVQRLL AQLNETEAAFDEFWAKHQQKLEQCLQLRHFEQGFREVKTTLDSMSQKIAAFTDVGNSLAH VQHLLKDLTAFEEKSSVAVDKARALSLEGQQLIENRHYAVDSIHPKCEELQHLCDHFASE VTRRRGLLSKSLELHSLLETSMKWSDEGIFLLASQPVDKCQSQDGAEAALQEIEKFLETG AENKIQELNEIYKEYECILNQDLLEHVQKVFQKQESTEEMFHRRQASLKKLAAKQTRPVQ PVAPRPEALTKSPSPSPGSWRSSENSSSEGNALRRGPYRRAKSEMSEPRQGRTSSTGEEE ESLAILRRHVMNELLDTERAYVEELLCVLEGYAAEMDNPLMAHLISTGLQNKKNILFGNM EEIYHFHNRIFLRELESCIDCPELVGRCFLERMEEFQIYEKYCQNKPRSESLWRQCSDCP FFQECQKKLDHKLSLDSYLLKPVQRITKYQLLLKEMLKYSKHCEGAEDLQEALSSILGIL KAVNDSMHLIAITGYDGNLGDLGKLLMQGSFSVWTDHKKGHTKVKELARFKPMQRHLFLH EKAVLFCKKREENGEGYEKAPSYSYKQSLNMTAVGITENVKGDTKKFEIWYNAREEVYII QAPTPEIKAAWVNEIRKVLTSQLQACREASQHRALEQSHSLPLPTPSSTSPTKGNTRNVK KLEDRKTDPLSLEGYVSSSLPKPPEKGKGWSKTSHSLEAPEEDGGWSSAEELINSSDAEE DGGVGPKKLVPGKYTVVMDDEKGGPDTLAMRSGDMVEVVEEGAEGLW >ENSMUSP00000106495.1 pep:known chromosome:GRCm38:8:12947953:13014029:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000110871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MTLSLISVLSQDVTALWLLLKTSADEIMHQDIVPLCAADIQEQLKKRFAYLSGGRGQDGS PVITFPDYPAFSEIPDKEFQNVMTYLTSIPSLQDAGIGFILVIDRRQDKWTSVKASVLRI AASFPANLQLVLVLRPTGFFQRTLSDIAFKFNRDEFKMKVPVMMLSSVPELHGYIDKSQL TEDLGGTLDYCHSRWLCHRTAIESFALMVKQTAQMLQAFGTELAETELPNDVQSTSLVLS AHTEKKAKVKEDLQLALKEGNSILESLREPLAESAAHSVNQDQLDNQATVQRLLAQLNET EAAFDEFWAKHQQKLEQCLQLRHFEQGFREVKTTLDSMSQKIAAFTDVGNSLAHVQHLLK DLTAFEEKSSVAVDKARALSLEGQQLIENRHYAVDSIHPKCEELQHLCDHFASEVTRRRG LLSKSLELHSLLETSMKWSDEGIFLLASQPVDKCQSQDGAEAALQEIEKFLETGAENKIQ ELNEIYKEYECILNQDLLEHVQKVFQKQESTEEMFHRRQASLKKLAAKQTRPVQPVAPRP EALTKSPSPSPGSWRSSENSSSEGNALRRGPYRRAKSEMSEPRQGRTSSTGEEEESLAIL RRHVMNELLDTERAYVEELLCVLEGYAAEMDNPLMAHLISTGLQNKKNILFGNMEEIYHF HNRIFLRELESCIDCPELVGRCFLERMEEFQIYEKYCQNKPRSESLWRQCSDCPFFQECQ KKLDHKLSLDSYLLKPVQRITKYQLLLKEMLKYSKHCEGAEDLQEALSSILGILKAVNDS MHLIAITGYDGNLGDLGKLLMQGSFSVWTDHKKGHTKVKELARFKPMQRHLFLHEKAVLF CKKREENGEGYEKAPSYSYKQSLNMTAVGITENVKGDTKKFEIWYNAREEVYIIQAPTPE IKAAWVNEIRKVLTSQLQACREASQHRALEQSHSLPLPTPSSTSPTKGNTRNVKKLEDRK TDPLSLEGYVSSSLPKPPEKGKAHWSHRRRPPHLWSPGTSTRCTLGCSTALPTFLSMGPW PLAPAILPPGCYLCTLSLLPPRRCGP >ENSMUSP00000106491.2 pep:known chromosome:GRCm38:8:12949416:13019275:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000110867.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MRPTDEIMHQDIVPLCAADIQEQLKKRFAYLSGGRGQDGSPVITFPDYPAFSEIPDKEFQ NVMTYLTSIPSLQDAGIGFILVIDRRQDKWTSVKASVLRIAASFPANLQLVLVLRPTGFF QRTLSDIAFKFNRDEFKMKVPVMMLSSVPELHGYIDKSQLTEDLGGTLDYCHSRWLCHRT AIESFALMVKQTAQMLQAFGTELAETELPNDVQSTSLVLSAHTEKKAKVKEDLQLALKEG NSILESLREPLAESAAHSVNQDQLDNQATVQRLLAQLNETEAAFDEFWAKHQQKLEQCLQ LRHFEQGFREVKTTLDSMSQKIAAFTDVGNSLAHVQHLLKDLTAFEEKSSVAVDKARALS LEGQQLIENRHYAVDSIHPKCEELQHLCDHFASEVTRRRGLLSKSLELHSLLETSMKWSD EGIFLLASQPVDKCQSQDGAEAALQEIEKFLETGAENKIQELNEIYKEYECILNQDLLEH VQKVFQKQESTEEMFHRRQASLKKLAAKQTRPVQPVAPRPEALTKSPSPSPGSWRSSENS SSEGNALRRGPYRRAKSEMSEPRQGRTSSTGEEEESLAILRRHVMNELLDTERAYVEELL CVLEGYAAEMDNPLMAHLISTGLQNKKNILFGNMEEIYHFHNRIFLRELESCIDCPELVG RCFLERMEEFQIYEKYCQNKPRSESLWRQCSDCPFFQECQKKLDHKLSLDSYLLKPVQRI TKYQLLLKEMLKYSKHCEGAEDLQEALSSILGILKAVNDSMHLIAITGYDGNLGDLGKLL MQGSFSVWTDHKKGHTKVKELARFKPMQRHLFLHEKAVLFCKKREENGEGYEKAPSYSYK QSLNMTAVGITENVKGDTKKFEIWYNAREEVYIIQAPTPEIKAAWVNEIRKVLTSQLQAC REASQHRALEQSHSLPLPTPSSTSPTKGNTRNVKKLEDRKTDPLSLEGYVSSSLPKPPEK GKGWSKTSHSLEAPEEDGGWSSAEELINSSDAEEDGGVGPKKLVPGKYTVVMDDEKGGPD TLAMRSGDMVEVVEEGAEGLWYVRDLTSSKEGWVPASSLSTLLGKSSSAQCLSSSGVQSK GPEPKPVSTGFPQTPAQGQPC >ENSMUSP00000106490.2 pep:known chromosome:GRCm38:8:12949484:13020501:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000110866.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MRPTDEIMHQDIVPLCAADIQEQLKKRFAYLSGGRGQDGSPVITFPDYPAFSEIPDKEFQ NVMTYLTSIPSLQDAGIGFILVIDRRQDKWTSVKASVLRIAASFPANLQLVLVLRPTGFF QRTLSDIAFKFNRDEFKMKVPVMMLSSVPELHGYIDKSQLTEDLGGTLDYCHSRWLCHRT AIESFALMVKQTAQMLQAFGTELAETELPNDVQSTSLVLSAHTEKKAKVKEDLQLALKEG NSILESLREPLAESAAHSVNQDQLDNQATVQRLLAQLNETEAAFDEFWAKHQQKLEQCLQ LRHFEQGFREVKTTLDSMSQKIAAFTDVGNSLAHVQHLLKDLTAFEEKSSVAVDKARALS LEGQQLIENRHYAVDSIHPKCEELQHLCDHFASEVTRRRGLLSKSLELHSLLETSMKWSD EGIFLLASQPVDKCQSQDGAEAALQEIEKFLETGAENKIQELNEIYKEYECILNQDLLEH VQKVFQKQESTEEMFHRRQASLKKLAAKQTRPVQPVAPRPEALTKSPSPSPGSWRSSENS SSEGNALRRGPYRRAKSEMSEPRQGRTSSTGEEEESLAILRRHVMNELLDTERAYVEELL CVLEGYAAEMDNPLMAHLISTGLQNKKNILFGNMEEIYHFHNRIFLRELESCIDCPELVG RCFLERMEEFQIYEKYCQNKPRSESLWRQCSDCPFFQECQKKLDHKLSLDSYLLKPVQRI TKYQLLLKEMLKYSKHCEGAEDLQEALSSILGILKAVNDSMHLIAITGYDGNLGDLGKLL MQGSFSVWTDHKKGHTKVKELARFKPMQRHLFLHEKAVLFCKKREENGEGYEKAPSYSYK QSLNMTAVGITENVKGDTKKFEIWYNAREEVYIIQAPTPEIKAAWVNEIRKVLTSQLQAC REASQHRALEQSHSLPLPTPSSTSPTKGNTRNVKKLEDRKTDPLSLEGYVSSSLPKPPEK GKGWSKTSHSLEAPEEDGGWSSAEELINSSDAEEDGGVGPKKLVPGKYTVVMDDEKGGPD TLAMRSGDMVEVVEEGAEGLWYVRDLTSSKEGWVPASSLSTLLGKSSSAQCLSSSGKIHC ARQLCPEPAEILSPEPV >ENSMUSP00000106497.3 pep:known chromosome:GRCm38:8:12984276:13017468:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000110873.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MKVPVMMLSSVPELHGYIDKSQLTEDLGGTLDYCHSRWLCHRTAIESFALMVKQTAQMLQ AFGTELAETELPNDVQSTSLVLSAHTEKKAKVKEDLQLALKEGNSILESLREPLAESAAH SVNQDQLDNQATVQRLLAQLNETEAAFDEFWAKHQQKLEQCLQLRHFEQGFREVKTTLDS MSQKIAAFTDVGNSLAHVQHLLKDLTAFEEKSSVAVDKARALSLEGQQLIENRHYAVDSI HPKCEELQHLCDHFASEVTRRRGLLSKSLELHSLLETSMKWSDEGIFLLASQPVDKCQSQ DGAEAALQEIEKFLETGAENKIQELNEIYKEYECILNQDLLEHVQKVFQKQESTEEMFHR RQASLKKLAAKQTRPVQPVAPRPEALTKSPSPSPGSWRSSENSSSEGNALRRGPYRRAKS EMSEPRQGRTSSTGEEEESLAILRRHVMNELLDTERAYVEELLCVLEGYAAEMDNPLMAH LISTGLQNKKNILFGNMEEIYHFHNRIFLRELESCIDCPELVGRCFLERMEEFQIYEKYC QNKPRSESLWRQCSDCPFFQECQKKLDHKLSLDSYLLKPVQRITKYQLLLKEMLKYSKHC EGAEDLQEALSSILGILKAVNDSMHLIAITGYDGNLGDLGKLLMQGSFSVWTDHKKGHTK VKELARFKPMQRHLFLHEKAVLFCKKREENGEGYEKAPSYSYKQSLNMTAVGITENVKGD TKKFEIWYNAREEVYIIQAPTPEIKAAWVNEIRKVLTSQLQACREASQHRALEQSHSLPL PTPSSTSPTKGNTRNVKKLEDRKTDPLSLEGYVSSSLPKPPEKGKGWSKTSHSLEAPEED GGWSSAEELINSSDAEEDGGVGPKKL >ENSMUSP00000134147.1 pep:known chromosome:GRCm38:8:12984281:13011546:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000173006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MTLQDAGIGFILVIDRRQDKWTSVKASVLRIAASFPANLQLVLVLRPTGFFQRTLSDIAF KFNRDEFKMKVPVMMLSSVPELHGYIDKSQLTEDLGGTLDYCHSRWLCHRTAIESFALMV KQTAQMLQAFGTELAETELPNDVQSTSLVLSAHTEKKAKVKEDLQLALKEGNSILESLRE PLAESAAHSVNQDQLDNQATVQRLLAQLNETEAAFDEFWAKHQQKLEQCLQLRHFEQGFR EVKTTLDSMSQKIAAFTDVGNSLAHVQHLLKDLTAFEEKSSVAVDKARALSLEGQQLIEN RHYAVDSIHPKCEELQHLCDHFASEVTRRRGLLSKSLELHSLLETSMKWSDEGIFLLASQ PVDKCQSQDGAEAALQEIEKFLETGAENKIQELNEIYKEYECILNQDLLEHVQKVFQKQE STEEMFHRRQASLKKLAAKQTRPVQPVAPRPEALTKSPSPSPGSWRSSENSSSEGNALRR GPYRRAKSEMSEPRQGRTSSTGEEEESLAILRRHVMNELLDTERAYVEELLCVLEGYAAE MDNPLMAHLISTGLQNKKNILFGNMEEIYHFHNRIFLRELESCIDCPELVGRCFLERMEE FQIYEKYCQNKPRSESLWRQCSDCPFFQECQKKLDHKLSLDSYLLKPVQRITKYQLLLKE MLKYSKHCEGAEDLQEALSSILGILKAVNDSMHLIAITGYDGNLGDLGKLLMQGSFSVWT DHKKGHTKVKELARFKPMQRHLFLHEKAVLFCKKREE >ENSMUSP00000133577.1 pep:known chromosome:GRCm38:8:12984296:13020505:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000145067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] MAWDSGVTSVHGSQVCCQHMLTPSVSPCFSLQDAGIGFILVIDRRQDKWTSVKASVLRIA ASFPANLQLVLVLRPTGFFQRTLSDIAFKFNRDEFKMKVPVMMLSSVPELHGYIDKSQLT EDLGGTLDYCHSRWLCHRTAIESFALMVKQTAQMLQAFGTELAETELPNDVQSTSLVLSA HTEKKAKVKEDLQLALKEGNSILESLREPLAESAAHSVNQDQLDNQATVQRLLAQLNETE AAFDEFWAKHQQKLEQCLQLRHFEQGFREVKTTLDSMSQKIAAFTDVGNSLAHVQHLLKD LTAFEEKSSVAVDKARALSLEGQQLIENRHYAVDSIHPKCEELQHLCDHFASEVTRRRGL LSKSLELHSLLETSMKWSDEGIFLLASQPVDKCQSQDGAEAALQEIEKFLETGAENKIQE LNEIYKEYECILNQDLLEHVQKVFQKQESTEEMFHRRQASLKKLAAKQTRPVQPVAPRPE ALTKSPSPSPGSWRSSENSSSEGNALRRGPYRRAKSEMSEPRQGRTSSTGEEEESLAILR RHVMNELLDTERAYVEELLCVLEGYAAEMDNPLMAHLISTGLQNKKNILFGNMEEIYHFH NRIFLRELESCIDCPELVGRCFLERMEEFQIYEKYCQNKPRSESLWRQCSDCPFFQECQK KLDHKLSLDSYLLKPVQRITKYQLLLKEMLKYSKHCEGAEDLQEALSSILGILKAVNDSM HLIAITGYDGNLGDLGKLLMQGSFSVWTDHKKGHTKVKELARFKPMQRHLFLHEKAVLFC KKREENGEGYEKAPSYSYKQSLNMTAVGITENVKGDTKKFEIWYNAREEVYIIQAPTPEI KAAWVNEIRKVLTSQLQACREASQHRALEQSHSLPLPTPSSTSPTKGNTRNVKKLEDRKT DPLSLEGYVSSSLPKPPEKGKDDAVPSSTSESSALSRKRFTLQGLANLKGQKASPTSPDK KAKRHEVKSDPTPFGLRGWSKTSHSLEAPEEDGGWSSAEELINSSDAEEDGGVGPKKLVP GKYTVVMDDEKGGPDTLAMRSGDMVEVVEEGAEGLWYVRDLTSSKEGWVPASSLSTLLGK SSSAQCLSSSDSSPGSAVLSSSSSFSEGYPAPFSELQG >ENSMUSP00000118540.1 pep:known chromosome:GRCm38:8:13000759:13020505:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000126905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] XSMSQKIAAFTDVGNSLAHVQHLLKDLTAFEEKSSVAVDKARALSLEGQQLIENRHYAVD SIHPKCEELQHLCDHFASEVTRRRGLLSKSLELHSLLETSMKWSDEGIFLLASQPVDKCQ SQDGAEAALQEIEKFLETGAENKIQELNEIYKEYECILNQDLLEHVQKVFQKQESTEEMF HRRQASLKKLAAKQTRPVQPVAPRPEALTKSPSPSPGSWRSSENSSSEGNALRRGPYRRA KSEMSEPRQGRTSSTGEEEESLAILRRHVMNELLDTERAYVEELLCVLEGYAAEMDNPLM AHLISTGLQNKKNILFGNMEEIYHFHNRIFLRELESCIDCPELVGRCFLERMEEFQIYEK YCQNKPRSESLWRQCSDCPFFQECQKKLDHKLSLDSYLLKPVQRITKYQLLLKEMLKYSK HCEGAEDLQEALSSILGILKAVNDSMHLIAITGYDGNLGDLGKLLMQGSFSVWTDHKKGH TKVKELARFKPMQRHLFLHEKAVLFCKKREENGEGYEKAPSYSYKQSLNMTAVGITENVK GDTKKFEIWYNAREEVYIIQAPTPEIKAAWVNEIRKVLTSQLQACREASQHRALEQSHSL PLPTPSSTSPTKGNTRNVKKLEDRKTDPLSLEGYVSSSLPKPPEKGKASPTSPDKKAKRH EVKSDPTPFGLRGWSKTSHSLEAPEEDGGWSSAEELINSSDAEEDGGVGPKKLVPGKYTV VMDDEKGGPDTLAMRSGDMVEVVEEGAEGLWYVRDLTSSKEGWVPASSLSTLLGKSSSAQ CLSSSGKIHCARQLCPEPAEILSPEPV >ENSMUSP00000123434.2 pep:known chromosome:GRCm38:8:13011494:13020527:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000123811.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] XHLFLHEKAVLFCKKREENGEGYEKAPSYSYKQSLNMTAVGITENVKGDTKKFEIWYNAR EEVYIIQAPTPEIKAAWVNEIRKVLTSQLQACREASQHRALEQSHSLPLPTPSSTSPTKG NTRNVKKLEDRKTDPLSLEGYVSSSLPKPPEKGKGWSKTSHSLEAPEEDGGWSSAEELIN SSDAEEDGGVGPKKLVPGKYTVVMDDEKGGPDTLAMRSGDMVEVVEEGAEGLWYVRDLTS SKEGWVPASSLSTLLGKSSSAQCLSSSDSSPGSAVLSSSSSFSEGYPAPFSELQG >ENSMUSP00000116731.1 pep:known chromosome:GRCm38:8:13011510:13018094:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000134227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] XEKAVLFCKKREENGEGYEKAPSYSYKQSLNMTAVGITENVKGDTKKFEIWYNAREEVYI IQAPTPEIKAAWVNEIRKVLTSQLQACREASQHRALEQSHSLPLPTPSSTSPTKGNTRNV KKLEDRKTDPLSLEGYVSSSLPKPPEKGKDDAVPSSTSESSALSRKRFTLQGLANLKASP TSPDKKAKRHEVKSDPTPFGLRGWSKTSHSLEAPEEDGGWSSAEELINSSDAEEDGGVGP KKLVPGKYTVVMDD >ENSMUSP00000114758.2 pep:known chromosome:GRCm38:8:13011818:13017439:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000145892.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] XQAPTPEIKAAWVNEIRKVLTSQLQACREASQHRALEQSHSLPLPTPSSTSPTKGNTRNV KKLEDRKTDPLSLEGYVSSSLPKPPEKGKDDAVPSSTSESSALSRKRFTLQGLANLKGQK GWSKTSHSLEAPEEDGGWSSAEELINSSDAE >ENSMUSP00000120946.2 pep:known chromosome:GRCm38:8:13013561:13018999:1 gene:ENSMUSG00000031442.20 transcript:ENSMUST00000139776.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcf2l description:mcf.2 transforming sequence-like [Source:MGI Symbol;Acc:MGI:103263] XKKLEDRKTDPLSLEGYVSSSLPKPPEKGKASPTSPDKKAKRHEVKSDPTPFGLRGWSKT SHSLEAPEEDGGWSSAEELINSSDAEEDGGVGPKKLVPGKYTVVMDDEKGGPDTLAMRSG DMVEVVEEGAEGLWYVRDLTSSKEGWVPASSLSTLLGKSSSAQCLSSSDSSPGSAVLSSS SSFSEGYPA >ENSMUSP00000032944.7 pep:known chromosome:GRCm38:7:126766334:126775645:1 gene:ENSMUSG00000030703.8 transcript:ENSMUST00000032944.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdpd3 description:glycerophosphodiester phosphodiesterase domain containing 3 [Source:MGI Symbol;Acc:MGI:1915866] MIPLLYFVLPTLGSYVMLSIFFLRRPHLLHTPRAPVFPIRLAAHRGGSGERLENTMEAVE NSMAQRADLLEFDCQLTRDGVVVVSHDKNLSRQSGLNKDVNTLDFEELPLYKEELEIYFS PGHFAHGSDRHMISLEDVFQKFPRTPMCLEVKERNEELIHKVANLTRRFDRNEITIWAAE KSSVMKRCRAANPEMPMAFTIWRSFWILLLYYLGLLPFVSIPEKFFFCFLPTIINRTYFP FRCGWMNQLSATITKWIIMRKSLIRHLQDRGVQVLFWCLNEESDFEVAFSLGANGVMTDY PTALRHYLDKQEEETQPPQPEALSCLSLKK >ENSMUSP00000095461.2 pep:known chromosome:GRCm38:4:134190804:134203004:-1 gene:ENSMUSG00000073747.2 transcript:ENSMUST00000097849.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7534 description:predicted gene 7534 [Source:MGI Symbol;Acc:MGI:3702974] MTTTTSMTTTSMTLSTPEDAILSSGTGIPTVPEKLTLTAPSSTLDKAIDTNKPLHMTTVT IRDTSSPTTANLRTVVLSTATSETQERDTTTVPPTPATGPTTMSMSPGTHHSTITQTPSQ PQTDSSDSRTKLTTLPPPRPITTGMRSSVSPSPGEADMTVTTTTTSMTTPSTTSSTPEDA ILSSGTGIPTVPEKLTLTAPSSTLDKAIDTNKPLHTTTVTTRDTSSPTTANLRTTVLSTG TSETQERDTTTIPPTPATGPTTMSMSPDTHHSTITQTPSQPAQTDSSVSLGTTQSSDHST ALVPSSSETTKSSTMIGTSKAPSNSNQSSGPGGGTCALDEYQASTGGCACNDSYYSHLEL SREIATLRCRSQDIEVSLLSCFLKTQHWVLKQDAFAKCSSISTTEEGHRVQVVQVEKKEG SCGLHISTNSSYAVHSLNVHLEQALPGSSNTNFRVLHFSCVYPLAVDVSKPVSHLEISIP SIHVPSSGETVVTLSIFTDSKLSAPLKNSTAPVGLPLYVVLKSTNNDPDRFVLVANEIFA STNDSNAEANDSTYHFVKESCPVQGRMLQDLSNGASMDVTLAFTVSRFLNSDMLYLHAKV TLCDKQVGHPCQPSCSGKNPLRRNSPPDARTGTQKEPSGGKWIVFGPLRISESRASSSGN SAGAWISIFLLIMIGSMLE >ENSMUSP00000113236.1 pep:known chromosome:GRCm38:11:73371246:73375704:1 gene:ENSMUSG00000063881.10 transcript:ENSMUST00000120401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr376 description:olfactory receptor 376 [Source:MGI Symbol;Acc:MGI:3030210] MQGTTERNQTAISQFLLLGLPIPTEHQHLFYALFLAMYLTTVLGNLIIIILIHLDSHLHT PMYSFLSNLSFSDLCFSSVTMPKLLQNMQSQDPSIPYAGCLAQMYFFLFFADLESFLLVA MAYDRYVAICFPLHYMSIMSPRLCVSLVLLSWVLTTFHAMLHTLLMARLSFCEDNVIPHF FCDMSALLKLSCSDTYVNELVIFVMGSLILVIPFVLILVSYARIVSSILKVPSARGIRKA FSTCGSHLSVVSLFYGTVIGLYLCPSADNSTVKETVMAMMYTVVTPMLNPFIYSLRNRDM KGALISVLCKKKILFCL >ENSMUSP00000116228.1 pep:known chromosome:GRCm38:11:73371266:73375496:1 gene:ENSMUSG00000063881.10 transcript:ENSMUST00000127789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr376 description:olfactory receptor 376 [Source:MGI Symbol;Acc:MGI:3030210] MQGTTERNQTAISQFLLLGLPIPTEHQHLFYALFLAMYLTTVLGNLIIIILIHLDSHLHT PMYSFLSNLSFSDLCFSSVTMPKLLQNMQSQDPSIPYAGCLAQMYFFLFFADLESFLLVA MAYDRYVAICFPLHYMSIMSPRLCVSLVLLSWVLTTFHAMLHTLLMARLSFCEDNVIPHF FCDMSALLKLSCSDTYVNELVIFVMGSLILVIPFVLILVSYARIVSSILKVPSARGIRKA FSTCGSHLS >ENSMUSP00000077977.2 pep:known chromosome:GRCm38:11:73371246:73375704:1 gene:ENSMUSG00000063881.10 transcript:ENSMUST00000078952.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr376 description:olfactory receptor 376 [Source:MGI Symbol;Acc:MGI:3030210] MSQMQGTTERNQTAISQFLLLGLPIPTEHQHLFYALFLAMYLTTVLGNLIIIILIHLDSH LHTPMYSFLSNLSFSDLCFSSVTMPKLLQNMQSQDPSIPYAGCLAQMYFFLFFADLESFL LVAMAYDRYVAICFPLHYMSIMSPRLCVSLVLLSWVLTTFHAMLHTLLMARLSFCEDNVI PHFFCDMSALLKLSCSDTYVNELVIFVMGSLILVIPFVLILVSYARIVSSILKVPSARGI RKAFSTCGSHLSVVSLFYGTVIGLYLCPSADNSTVKETVMAMMYTVVTPMLNPFIYSLRN RDMKGALISVLCKKKILFCL >ENSMUSP00000126073.1 pep:known chromosome:GRCm38:11:73371246:73375704:1 gene:ENSMUSG00000063881.10 transcript:ENSMUST00000170592.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr376 description:olfactory receptor 376 [Source:MGI Symbol;Acc:MGI:3030210] MQGTTERNQTAISQFLLLGLPIPTEHQHLFYALFLAMYLTTVLGNLIIIILIHLDSHLHT PMYSFLSNLSFSDLCFSSVTMPKLLQNMQSQDPSIPYAGCLAQMYFFLFFADLESFLLVA MAYDRYVAICFPLHYMSIMSPRLCVSLVLLSWVLTTFHAMLHTLLMARLSFCEDNVIPHF FCDMSALLKLSCSDTYVNELVIFVMGSLILVIPFVLILVSYARIVSSILKVPSARGIRKA FSTCGSHLSVVSLFYGTVIGLYLCPSADNSTVKETVMAMMYTVVTPMLNPFIYSLRNRDM KGALISVLCKKKILFCL >ENSMUSP00000103132.2 pep:known chromosome:GRCm38:11:98626360:98638226:1 gene:ENSMUSG00000064224.10 transcript:ENSMUST00000107508.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdma3 description:gasdermin A3 [Source:MGI Symbol;Acc:MGI:3044668] MPVFEDVTRALVRELNPRGDLTPLDSLIDFKHFRPFCLVLRKRKSTLFWGARYVRTDYTL LDLLEPGSSPSDLTDSGNFSFKNMLDVQVQGLVEVPKTVKVKGTAGLSQSSTLEVQTLSV APSALENLKKERKLSADHSFLNEMRYHEKNLYVVMEAVEAKQEVTVEQTGNANAIFSLPS LALLGLQGSLNNNKAVTIPKGCVLAYRVRLLRVFLFNLWDIPYICNDSMQTFPKIRRVPC SAFISPTQMISGEMHEDFKTLKEEVQRETQEVEKLSPVGRSSLLTSLSHLLGKKKELQDL EQKLEGALDKGQKVTLEALPKDVLLSKDAMDAILYFLGALTELTEEQLKILVKSLEKKIL PVQLKLVESTLEQNFLQDKEGVFPLQPDLLSSLGEEELTLTEALVGLSGLEVQRSGPQYA WDPDTRHNLCALYAGLSLLHLLSRKSNALTYCALS >ENSMUSP00000073022.2 pep:known chromosome:GRCm38:11:98626360:98638089:1 gene:ENSMUSG00000064224.10 transcript:ENSMUST00000073295.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdma3 description:gasdermin A3 [Source:MGI Symbol;Acc:MGI:3044668] MPVFEDVTRALVRELNPRGDLTPLDSLIDFKHFRPFCLVLRKRKSTLFWGARYVRTDYTL LDLLEPGSSPSDLTDSGNFSFKNMLDVQVQGLVEVPKTVKVKGTAGLSQSSTLEVQTLSV APSALENLKKERKLSADHSFLNEMRYHEKNLYVVMEAVEAKQEVTVEQTGNANAIFSLPS LALLGLQGSLNNNKAVTIPKGCVLAYRVRLLRVFLFNLWDIPYICNDSMQTFPKIRRVPC SAFISPTQMISEEPEEEKLIGEMHEDFKTLKEEVQRETQEVEKLSPVGRSSLLTSLSHLL GKKKELQDLEQKLEGALDKGQKVTLEALPKDVLLSKDAMDAILYFLGALTELTEEQLKIL VKSLEKKILPVQLKLVESTLEQNFLQDKEGVFPLQPDLLSSLGEEELTLTEALVGLSGLE VQRSGPQYAWDPDTRHNLCALYAGLSLLHLLSRKSNALTYCALS >ENSMUSP00000063331.7 pep:known chromosome:GRCm38:9:78430526:78443204:-1 gene:ENSMUSG00000032344.17 transcript:ENSMUST00000070742.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mb21d1 description:Mab-21 domain containing 1 [Source:MGI Symbol;Acc:MGI:2442261] MEDPRRRTTAPRAKKPSAKRAPTQPSRTRAHAESCGPQRGARSRRAERDGDTTEKPRAPG PRVHPARATELTKDAQPSAMDAAGATARPAVRVPQQQAILDPELPAVREPQPPADPEARK VVRGPSHRRGARSTGQPRAPRGSRKEPDKLKKVLDKLRLKRKDISEAAETVNKVVERLLR RMQKRESEFKGVEQLNTGSYYEHVKISAPNEFDVMFKLEVPRIELQEYYETGAFYLVKFK RIPRGNPLSHFLEGEVLSATKMLSKFRKIIKEEVKEIKDIDVSVEKEKPGSPAVTLLIRN PEEISVDIILALESKGSWPISTKEGLPIQGWLGTKVRTNLRREPFYLVPKNAKDGNSFQG ETWRLSFSHTEKYILNNHGIEKTCCESSGAKCCRKECLKLMKYLLEQLKKEFQELDAFCS YHVKTAIFHMWTQDPQDSQWDPRNLSSCFDKLLAFFLECLRTEKLDHYFIPKFNLFSQEL IDRKSKEFLSKKIEYERNNGFPIFDKL >ENSMUSP00000034898.7 pep:known chromosome:GRCm38:9:78431337:78443237:-1 gene:ENSMUSG00000032344.17 transcript:ENSMUST00000034898.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mb21d1 description:Mab-21 domain containing 1 [Source:MGI Symbol;Acc:MGI:2442261] MEDPRRRTTAPRAKKPSAKRAPTQPSRTRAHAESCGPQRGARSRRAERDGDTTEKPRAPG PRVHPARATELTKDAQPSAMDAAGATARPAVRVPQQQAILDPELPAVREPQPPADPEARK VVRGPSHRRGARSTGQPRAPRGSRKEPDKLKKVLDKLRLKRKDISEAAETVNKVVERLLR RMQKRESEFKGVEQLNTGSYYEHVKISAPNEFDVMFKLEVPRIELQEYYETGAFYLVKFK RIPRGNPLSHFLEGEVLSATKMLSKFRKIIKEEVKEIKDIDVSVEKEKPGSPAVTLLIRN PEEISVDIILALESKGSWPISTKEGLPIQGWLGTKVRTNLRREPFYLVPKNAKDGNSFQG ETWRLSFSHTEKYILNNHGIEKTCCESSGAKCCS >ENSMUSP00000114277.1 pep:known chromosome:GRCm38:9:78431789:78442885:-1 gene:ENSMUSG00000032344.17 transcript:ENSMUST00000127190.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mb21d1 description:Mab-21 domain containing 1 [Source:MGI Symbol;Acc:MGI:2442261] XARATELTKDAQPSAMDAAGATARPAVRVPQQQAILDPELPAVREPQPPADPEARKVVRG PSHRRGARSTGQPRAPRGSRKEPDKLKKVLDKLRLKRKDISEAAETVNKVVERLLRRMQK RESEFKGVEQLNTGSYYEHVKISAPNEFDVMFKLEVPRIELQEYYETGAFYLVKFKRIPR GNPLSHFLEGEVLSATKMLSKFRKIIKEEVKEIKEKMDQPFLCFTVCKQI >ENSMUSP00000073041.6 pep:known chromosome:GRCm38:6:128362967:128376146:1 gene:ENSMUSG00000001517.14 transcript:ENSMUST00000073316.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxm1 description:forkhead box M1 [Source:MGI Symbol;Acc:MGI:1347487] MRTSPRRPLILKRRRLPLPVQNAPSETSEEEAKRSPAQPEPAPAQASQEVAESSSCKFPA GIKIINHPTTPNTQVVAIPSNADIQSIITALTAKGKESGSSGPNRFILISSGGPSSHPSQ PQAHSSRDSKRAEVITETLGPKPAAKGVPVPKPPGAPPRQRQESYAGGEAAGCTLDNSLT NIQWLGKMSSDGLGPCSVKQELEEKENCHLEQNRVKVEEPSGVSTSWQDSVSERPPYSYM AMIQFAINSTERKRMTLKDIYTWIEDHFPYFKHIAKPGWKNSIRHNLSLHDMFVRETSAN GKVSFWTIHPSANRYLTLDQVFKPLEPGSPQSPEHLESQQKRPNPELHRNVTIKTEIPLG ARRKMKPLLPRVSSYLVPIQFPVNQSLVLQPSVKVPLPLAASLMSSELARHSKRVRIAPK VLLSSEGIAPLPATEPPKEEKPLLGGEGLLPLLPIQSIKEEEMQPEEDIAHLERPIKVES PPLEEWPSPCASLKEELSNSWEDSSCSPTPKPKKSYCGLKSPTRCVSEMLVTKRREKREV SRSRRKQHLQPPCLDEPDLFFSEDSSTFRPAVELLAESSEPAPHLSCPQEEGGPFKTPIK ETLPVSSTPSKSVLSRDPESWRLTPPAKVGGLDFSPVRTPQGAFGLLPDSLGLMELNTTP LKSGPLFDSPRELLNSEPFDLASDPFGSPPPPHVEGPKPGSPELQIPSLSANRSLTEGLV LDTMNDSLSKILLDISFPGLEEDPLGPDNINWSQFIP >ENSMUSP00000107776.1 pep:known chromosome:GRCm38:6:128365705:128373966:1 gene:ENSMUSG00000001517.14 transcript:ENSMUST00000112148.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxm1 description:forkhead box M1 [Source:MGI Symbol;Acc:MGI:1347487] MRTSPRRPLILKRRRLPLPVQNAPSETSEEEAKRSPAQPEPAPAQASQEVAESSSCKFPA GIKIINHPTTPNTQVVAIPSNADIQSIITALTAKGKESGSSGPNRFILISSGGPSSHPSQ PQAHSSRDSKRAEVITETLGPKPAAKGVPVPKPPGAPPRQRQESYAGGEAAGCTLDNSLT NIQWLGKMSSDGLGPCSVKQELEEKENCHLEQNRVKVEEPSGVSTSWQDSVSERPPYSYM AMIQFAINSTERKRMTLKDIYTWIEDHFPYFKHIAKPGWKNSIRHNLSLHDMFVRETSAN GKVSFWTIHPSANRYLTLDQVFKQQKRPNPELHRNVTIKTEIPLGARRKMKPLLPRVSSY LVPIQFPVNQSLVLQPSVKVPLPLAASLMSSELARHSKRVRIAPKVLLSSEGIAPLPATE PPKEEKPLLGGEGLLPLLPIQSIKEEEMQPEEDIAHLERPIKVESPPLEEWPSPCASLKE ELSNSWEDSSCSPTPKPKKSYCGLKSPTRCVSEMLVTKRREKREVSRSRRKQHLQPPCLD EPDLFFSEDSSTFRPAVELLAESSEPAPHLSCPQEEGGPFKTPIKETLPVSSTPSKSVLS RDPESWRLTPPAKVGGLDFSPVRTPQGAFGLLPDSLGLMELNTTPLKSGPLFDSPRELLN SEPFDLASDPFGSPPPPHVEGPKPGSPELQIPSLSANRSLTEGLVLDTMNDSLSKILLDI SFPGLEEDPLGPDNINWSQFIP >ENSMUSP00000145305.1 pep:known chromosome:GRCm38:6:128366167:128373358:1 gene:ENSMUSG00000001517.14 transcript:ENSMUST00000203040.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxm1 description:forkhead box M1 [Source:MGI Symbol;Acc:MGI:1347487] GAPPRQRQESYAGGEAAGCTLDNSLTNIQWLGKMSSDGLGPCSVKQELEEKENCHLEQNR VKVEEPSGVSTSWQDSVSERPPYSYMAMIQFAINSTERKRMTLKDIYTWIEDHFPYFKHI AKPGWKNSIRHNLSLHDMFVRETSANGKVSFWTIHPSANRYLTLDQVFKPLEPGSPQSPE HLESQQQKRPNPELHRNVTIKTEIPLGARRKMKPLLPRVSSYLVPIQFPVNQSLVLQPSV KVPLPLAASLMSSELARHSKRVRIAPKVLLSSEGIAPLPATEPPKEEKPLLGGEGLLPLL PIQSIKEEEMQPEEDIAHLERPIKVESPPLEEWPSPCASLKEELSNSWEDSSCSPTPKPK KSYCGLKSPTRCVSEMLVTKRREKREVSRSRRKQHLQPPCLD >ENSMUSP00000145473.1 pep:known chromosome:GRCm38:6:128367287:128372871:1 gene:ENSMUSG00000001517.14 transcript:ENSMUST00000203258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxm1 description:forkhead box M1 [Source:MGI Symbol;Acc:MGI:1347487] XGGEAAGCTLDNSLTNIQWLGKMSSDGLGPCSVKQELEEKENCHLEQNRVKVEEPSGVST SWQDSVSERPPYSYMAMIQFAINSTERKRMTLKDIYTWIEDHFPYFKHIAKPGWKNSIRH NLSLHDMFVRETSANGKVSFWTIHPSANRYLTLDQVFKQQQKRPNPELHRNVTIKTEIPL GARRKMKPLLPRVSSYLVPIQFPVNQSLVLQPSV >ENSMUSP00000053080.2 pep:known chromosome:GRCm38:4:141088333:141112760:1 gene:ENSMUSG00000045004.3 transcript:ENSMUST00000051907.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata21 description:spermatogenesis associated 21 [Source:MGI Symbol;Acc:MGI:3607787] MENRNTHTHPESKADAPAVQSSSGLSSTKKKTDSEPTVSAGQTGLADATERDNRKQPVAP RGPERELRPTGSSQDGSGELPTQEPSARPRTTQDGPRELQAGPEQVQPSGDFVASEGRPQ PAMQTKDQQKRWQSAEVKEILLAESCQGNTDHDLGKPRPSNSRSQPLKNNSPSEAGRPQV RLQEPMPAPGSGTHKDNPQEAVPPKPKVTAEEKKAPPVLPSVPGPRTHKDRGEAAEIRAT LRLQPPPPPLPEERDTEKKELGQGQKQRQQALSAAGTQGPANSRRGFMKCLLEVEEQEEA THRRTLKSRGLTARRSPKTVTSVSTSGPISSSVPTLPLTLHEPSTSAPASVPSWVRPPAP GQTPIPMGSPGSVLPTSAQDQNWRWPEFLPQGNERTLTYAKILRQEPEEHSLFRMYQSWE ERTEEHLTLKQEEAFRSYFDIFNGPGEVDARSLKNILLLIGFTFTPAQVEEALMSADVNG DGHVDFKDFLAVMTDTKRFFCSVEQNVLMDMSPRNPYTLFFEILSLLVEMLALPELALEE ITNYYQKKLKEGSSKAREMESAMGGLRQRKKIPYNPQQVENLEVPERRVLRILSRLKQQN YAANLQSPYAQVPCIPLCPRLDKKAVRRKLASHNHSVLDQCVSTSLGPDFHGLFFQPGQQ GSREHSSDSRKWLSSMPARTH >ENSMUSP00000043790.6 pep:known chromosome:GRCm38:11:87853215:87863803:1 gene:ENSMUSG00000034121.12 transcript:ENSMUST00000038196.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mks1 description:Meckel syndrome, type 1 [Source:MGI Symbol;Acc:MGI:3584243] MAEAVWSTDTGEAVYRSRDPVRNLRLRVHLQRITSSNFLHYQPAAQMGKDLIDLATFRPP QAASGHRPDEEEEEEVVIGWQEKLFSQFEVDLYQNESACQSPLDHQYRQEILKLENSGGR KNRRIFTYTDSDRYTDLEEYCQKITTSASEVPSFLAERMANVRRRRQDRRGVEGSKLKSR IVTWEPSEDFIKNNHAINTPLQTMYIMADLGPYGKLGYKVHEHVLCILKVDSNGVITVKP DFTGIKGPYRIETEGEKQEHTSAWKYTIDNVSSLAQPEEEEREQRVFKDLYGRHKEYLSS LVGTDFEMIAPGALRLFVNGEVVSAQGYEYDNLYVHFFVELPAANWSSPPFQQLSGVTQA CATKSLGMDKVAYFSFPFTFEAFFLHEDESAESLPEWPVLYCKVLSLDFWQRYRVEGYGA VVLPATPGSHTLTVSTWRPMELGLVAELRRFFIGGSLELEDPSYVRIPGTFKGERLSRFG FRTETTGTVTFRLHCLQQSRAFMESNSLQKQMRSVLDRLEGFSQQSSTHNVLEAFRRARR RMQEARESLPQDLVSPTGTLT >ENSMUSP00000099676.1 pep:known chromosome:GRCm38:4:126322121:126325688:-1 gene:ENSMUSG00000028845.15 transcript:ENSMUST00000102616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt2 description:tektin 2 [Source:MGI Symbol;Acc:MGI:1346335] MATLSFKPSERYRLSDWRTNSYLLSTNAERQRDASHQIRQEARILRNETNNQIVWDEHDN RTRLAERIDTVNRWKETLDKCLTDLDAEIDSLAQAKESAEQNLQAKNLPLDVAIECLTLR ESRRDIDVVRDPVEEELLKEVEVIEATKKVLQEKISQAFQHLCLLQEIRQQLNSDHRDKM ETLEIDRGCLSLNLTSPNISLKVNPTRIPKDSTTLQQWDEFTRFNKNRAEAEMKASIELR EAIALAIAQTNNELDAQRVATEFTFRKRLREMESFYSELKWQEKNTLEEIAELQGDIRRL EEDLRRKMMNLKLAHTRLESRTYRSNVELCRDQTQYGLIDEVHQLEATINTMKQKLAQTQ NALDALFKHLARIQADIACKTNTLLLDTKCMDTRRKLTVPAEKFVPQVDTFTRTTNRTLS PLKICQLELT >ENSMUSP00000116659.1 pep:known chromosome:GRCm38:4:126324340:126325643:-1 gene:ENSMUSG00000028845.15 transcript:ENSMUST00000131113.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt2 description:tektin 2 [Source:MGI Symbol;Acc:MGI:1346335] MATLSFKPSERYRLSDWRTNSYLLSTNAERQRDASHQIRQEARILRNETNNQIVWDEHDN RTRLAERIDTVNRWKETLDKCLTDLDAEIDSLAQAKESAEQNLQAKNLPLDVAIECLTLR ESRRDI >ENSMUSP00000114688.1 pep:known chromosome:GRCm38:4:126324884:126325435:-1 gene:ENSMUSG00000028845.15 transcript:ENSMUST00000141990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt2 description:tektin 2 [Source:MGI Symbol;Acc:MGI:1346335] MATLSFKPSERYRLSDWRTNSYLLS >ENSMUSP00000030658.6 pep:known chromosome:GRCm38:4:126322123:126325199:-1 gene:ENSMUSG00000028845.15 transcript:ENSMUST00000030658.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt2 description:tektin 2 [Source:MGI Symbol;Acc:MGI:1346335] MATLSFKPSERYRLSDWRTNSYLLSTNAERQRDASHQIRQEARILRNETNNQIVWDEHDN RTRLAERIDTVNRWKETLDKCLTDLDAEIDSLAQAKESAEQNLQAKNLPLDVAIECLTLR ESRRDIDVVRDPVEEELLKEVEVIEATKKVLQEKISQAFQHLCLLQEIRQQLNSDHRDKM ETLEIDRGCLSLNLTSPNISLKVNPTRIPKDSTTLQQWDEFTRFNKNRAEAEMKASIELR EAIALAIAQTNNELDAQRVATEFTFRKRLREMESFYSELKWQEKNTLEEIAELQGDIRRL EEDLRRKMMNLKLAHTRLESRTYRSNVELCRDQTQYGLIDEVHQLEATINTMKQKLAQTQ NALDALFKHLARIQADIACKTNTLLLDTKCMDTRRKLTVPAEKFVPQVDTFTRTTNRTLS PLKICQLELT >ENSMUSP00000059192.9 pep:known chromosome:GRCm38:1:20802968:20820312:-1 gene:ENSMUSG00000041859.10 transcript:ENSMUST00000053266.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm3 description:minichromosome maintenance deficient 3 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:101845] MAGTVVLDDVELREAQRDYLDFLDDEEDQGIYQNKVRELISDNQYRLIVSVNDLRRKNEK RANRLLNNAFEELVAFQRALKDFVASIDATYAKQYEEFYIGLEGSFGSKHVSPRTLTSCF LSCVVCVEGIVTKCSLVRPKVVRSVHYCPATKKTIERRYSDLTTLVAFPSSSVYPTKDEE NNPLETEYGLSVYKDHQTITIQEMPEKAPAGQLPRSVDVILDDDLVDKVKPGDRIQVVGT YRCLPGKKGCYTSGTFRTVLIACNVKQMSKDIQPAFSADDIAKIKKFSKTRSKDVFEQLA RSLAPSIHGHDYVKKAILCLLLGGVERELENGSHIRGDINILLIGDPSVAKSQLLRYVLC TAPRAIPTTGRGSSGVGLTAAVTTDQETGERRLEAGAMVLADRGVVCIDEFDKMSDMDRT AIHEVMEQGRVTIAKAGIHARLNARCSVLAAANPVYGRYDQYKTPMENIGLQDSLLSRFD LLFIMLDQMDPEQDREISDHVLRMHQYRAPGEQDGDALPLGSSVDILATDDPDFTQDDQQ DTRIYEKHDSLLHGTKKKKEKMVSAAFMKKYIHVAKIIKPTLTQESAAYIAEEYSRLRSQ DSMSSDTARTSPVTARTLETLIRLATAHAKARMSKTVDLQDAEEAVELVQYAYFKKVLEK EKKRKKASEDESDLEDEEEKSQEDTEQKRKRRKTHAKDGESYDPYDFSEAETQMPQVHTP KTDDSQEKTDDSQETQDSQKVELSEPRLKAFKAALLEVFQEAHEQSVGMLHLTESINRNR EEPFSSEEIQACLSRMQDDNQVMVSEGIVFLI >ENSMUSP00000025521.8 pep:known chromosome:GRCm38:18:61018862:61036199:-1 gene:ENSMUSG00000024619.8 transcript:ENSMUST00000025521.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdx1 description:caudal type homeobox 1 [Source:MGI Symbol;Acc:MGI:88360] MYVGYVLDKDSPVYPGPARPSSLGLGPPTYAPPGPAPAPPQYPDFAGYTHVEPAPAPPPT WAAPFPAPKDDWAAAYGPGPTASAASPAPLAFGPPPDFSPVPAPPGPGPGILAQSLGAPG APSSPGAPRRTPYEWMRRSVAAAGGGGSGKTRTKDKYRVVYTDHQRLELEKEFHYSRYIT IRRKSELAANLGLTERQVKIWFQNRRAKERKVNKKKQQQQQPLPPTQLPLPLDGTPTPSG PPLGSLCPTNAGLLGTPSPVPVKEEFLP >ENSMUSP00000019226.7 pep:known chromosome:GRCm38:7:141429744:141437892:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000019226.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGMYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKDGQKLTLPKEMLAGCGAGTCQVIV TTPMEMLKIQLQDAGRIAAQRKILAAQAQLSAQGGAQPSVEAPAPPRPTATQLTRDLLRN HGIAGLYKGLGATLLRDVPFSIVYFPLFANLNQLGRPSSEEKSPFYVSFLAGCVAGSAAA VAVNPCDVVKTRLQSLERGVNEDTYSGFLDCARKIWRHEGPSAFLKGAYCRALVIAPLFG IAQVVYFLGIAESLLGLLQEPQA >ENSMUSP00000101629.3 pep:known chromosome:GRCm38:7:141429749:141434594:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000106007.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGMYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKDGQKLTLPKEMLAGCGAGTCQVIV TTPMEMLKIQLQDAGRIAAQRKILAAQAQLSAQGGAQPSVEAPAPPRPTATQLTRDLLRN HGIAGLYKGLGATLLRDVPFSIVYFPLFANLNQLGRPSSEEKSPFYVSFLAGCVAGSAAA VAVNPCDVVKTRLQSLERGVNEDTYSGFLDCARKIWRHEGPSAFLKGAYCRALVIAPLFG IAQVVYFLGIAESLLGLLQEPQA >ENSMUSP00000101628.1 pep:known chromosome:GRCm38:7:141429749:141437631:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000106006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGMYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKDGQKLTLPKEMLAGCGAGTCQVIV TTPMEMLKIQLQDAGRIGCVAGSAAAVAVNPCDVVKTRLQSLERGVNEDTYSGFLDCARK IWRHEGPSAFLKGAYCRALVIAPLFGIAQVVYFLGIAESLLGLLQEPQA >ENSMUSP00000144231.1 pep:known chromosome:GRCm38:7:141429749:141437637:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000201710.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGMYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKDGQKLTLPKEMLAGCGAGTCQVIV TTPMEMLKIQLQDAGRIAAQRKILAAQAQLSAQGGAQPSVEAPAPPRPTATQLTRDLLRN HGIAGLYKGLGATLLRDVPFSIVYFPLFANLNQLGRPSSEEKSPFYVSFLAGCVAGSAAA VAVNPCDVVKTRLQSLERGVNEDTYSGFLDCARKIWRHEGPSAFLKGAYCRALVIAPLFG IAQVVYFLGIAESLLGLLQEPQA >ENSMUSP00000122177.1 pep:known chromosome:GRCm38:7:141429752:141437638:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000124266.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGMYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKDGPAPAL >ENSMUSP00000144686.1 pep:known chromosome:GRCm38:7:141429756:141432753:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000153190.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] XAAVNLTLVTPEKAIKLAANDFFRHQLSKDGQKLTLPKEMLAGCGAGTCQVIVTTPMEML KIQLQDAGPFPVTLPGAPVWAAPSSPLLLGATPPLTSVP >ENSMUSP00000134355.1 pep:known chromosome:GRCm38:7:141431186:141431598:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000174095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] RPSSEEKSPFYVSFLAGCVAGSAAAVAVNPCDGRSGDMKVPQPS >ENSMUSP00000120721.2 pep:known chromosome:GRCm38:7:141431576:141435397:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000138865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGMYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKDGQKLTLPKEMLAGCGAGTCQVIV TTPMEMLKIQLQDAGRIAAQRKILAAQAQLSAQGGAQPSVEAPAPPRPTATQLTRDLLRN HGIAGLYKGLGATLLRDVPFSIVYFPLFANLNQLGRPSSEEKS >ENSMUSP00000118948.1 pep:known chromosome:GRCm38:7:141431626:141434423:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000136354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGMYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKDGQKLTLPKEMLAGCGAGTCQVIV TTPMEMLKIQLQDAGRIAAQRKILAAQAQLSAQGGAQPSVEAPAPPRPTATQLTRDLLRN HGIAGLYKGLGATLLRDVPFSIVYFP >ENSMUSP00000138924.1 pep:known chromosome:GRCm38:7:141431639:141434315:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000184518.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGMYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKDG >ENSMUSP00000143911.2 pep:known chromosome:GRCm38:7:141431643:141434329:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000201127.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGAAVNLTLVTPEKAIKLAANDFFRHQLSKDGQKLTLPKEMLAGCGAGTCQVIVTTPM EMLKIQLQDAGRIAAQRKILAAQAQLSAQGGAQPSVEAPAPPRPTATQLTRDLLRNHGIA GLYKGLGATLLRDVPF >ENSMUSP00000144213.1 pep:known chromosome:GRCm38:7:141431907:141437593:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000201822.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGMYRGEEQQ >ENSMUSP00000133928.2 pep:known chromosome:GRCm38:7:141432111:141437592:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000172654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MYASMSDCLIKTIRSEGYFGMYRGAAVNLTLVTPEKAIKLAANDFFRHQLSKDGQKLTLP KEM >ENSMUSP00000144384.1 pep:known chromosome:GRCm38:7:141432701:141434600:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000202840.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQNQQNGQRMYASMSDCLIKTIRSE GYFGMYRGAAVNLTLVTPEKAIKLA >ENSMUSP00000138847.1 pep:known chromosome:GRCm38:7:141433597:141434604:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000133206.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MYASMSDCLIKTIRSEGY >ENSMUSP00000133503.1 pep:known chromosome:GRCm38:7:141433930:141434543:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000133021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFPIDLAKTRLQN >ENSMUSP00000133936.1 pep:known chromosome:GRCm38:7:141433962:141434594:-1 gene:ENSMUSG00000019082.18 transcript:ENSMUST00000150026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a22 description:solute carrier family 25 (mitochondrial carrier, glutamate), member 22 [Source:MGI Symbol;Acc:MGI:1915517] MADKQISLPAKLINGGIAGLIGVTCVFP >ENSMUSP00000055016.6 pep:known chromosome:GRCm38:4:137594207:137658531:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000055131.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] MAPRLQLEKAAWRWAETVRPEEVSQEHIETAYRIWLEPCIRGVCRRNCRGNPNCLVGIGE HIWLGEIDENSFHSIDDPNCERRKKNSFVGLTNLGATCYVNTFLQVWFLNLELRQALYLC PSTCSDYTKGDGIHGGKDYEPQTICEHLQYLFALLQNSNRRYIDPSGFVKALGLDTGQQQ DAQEFSKLFMSLLEDTLSKQKNPDVRNVVQQQFCGEYAYVTVCNQCGRESKLVSKFYELE LNIQGHKQLTDCISEFLKEERLEGDNRYFCENCQSKQNATRKIRLLSLPCTLNLQLMRFV FDRQTGHKKKLNAYIGFSESLDMEPYVEHKGGSFVYELSAVLIHRGVSAYSGHYIAHVKD PQSGEWYKFNDEDIEKMEGKKLQLGIEEDLEPSKSQTRKPKCGKGTHCSRNAYMLVYRLQ AQEKNHTMVQVPAFLQELVDRDNSKFEEWCVEMAEMRKQSVDKGKAKHEEVKELYQRLPA GAEPYEFVSLEWLQKWLDESTPTKPIDNNACLCSHDKLHPDKISIMKRISEYAANIFYSR YGGGPRLTVKALCKDCVVERCRVLRLKNQLNEDYKAVNNLLKSTMKGDGFWVGKSSLRSW RQLALEQLDEQDGEAEQSNGKINGSTFNKDESKEEKKEEEEELNFNEDILCPHGELSISE NERRLVSQEAWSKLQQYFPKAPEFPSYRECCSQCKILEREGEENEALHKMIANEQKTSLP NLFQDKNRPCLSNWPEDTDALYIVSHFFLDEWRKFVRKPARSAPVSSIGNAALLCPHGGL MFTFPSLTKEDSKLCQPLERREGGWPTAAHNNIALIWPSEWQMIQKLFVVDKVIKITRIE VGDVNPSQTQYISEPSLCPDCREGLLCQQQRDLREYTQATIYVHKVVDNKKVMKDSAPEL NVSSSETEEDKEEAKPDGEKDPDFNQSNGSTKRQKTSQQGYVAYQKQVIRRSTRHRKVRG EKALLVSANQTLKELKIQIMHAFSVAPFDQNLSIDGKILNDDCATLGTLGVIPESVILLK ADEPIADYAAMDDVMQVCMPEEGFKGTGLLGH >ENSMUSP00000101466.1 pep:known chromosome:GRCm38:4:137594140:137658531:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000105840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] MAPRLQLEKAAWRWAETVRPEEVSQEHIETAYRIWLEPCIRGVCRRNCRGNPNCLVGIGE HIWLGEIDENSFHSIDDPNCERRKKNSFVGLTNLGATCYVNTFLQVWFLNLELRQALYLC PSTCSDYTKGDGIHGGKDYEPQTICEHLQYLFALLQNSNRRYIDPSGFVKALGLDTGQQQ DAQEFSKLFMSLLEDTLSKQKNPDVRNVVQQQFCGEYAYVTVCNQCGRESKLVSKFYELE LNIQGHKQLTDCISEFLKEERLEGDNRYFCENCQSKQNATRKIRLLSLPCTLNLQLMRFV FDRQTGHKKKLNAYIGFSESLDMEPYVEHKGGSFVYELSAVLIHRGVSAYSGHYIAHVKD PQSGEWYKFNDEDIEKMEGKKLQLGIEEDLAEPSKSQTRKPKCGKGTHCSRNAYMLVYRL QAQEKNHTMVQVPAFLQELVDRDNSKFEEWCVEMAEMRKQSVDKGKAKHEEVKELYQRLP AGAEPYEFVSLEWLQKWLDESTPTKPIDNNACLCSHDKLHPDKISIMKRISEYAANIFYS RYGGGPRLTVKALCKDCVVERCRVLRLKNQLNEDYKAVNNLLKSTMKGSDGFWVGKSSLR SWRQLALEQLDEQDGEAEQSNGKINGSTFNKDESKEEKKEEEEELNFNEDILCPHGELSI SENERRLVSQEAWSKLQQYFPKAPEFPSYRECCSQCKILEREGEENEALHKMIANEQKTS LPNLFQDKNRPCLSNWPEDTDALYIVSHFFLDEWRKFVRKPARSAPVSSIGNAALLCPHG GLMFTFPSLTKEDSKLIALIWPSEWQMIQKLFVVDKVIKITRIEVGDVNPSQTQYISEPS LCPDCREGLLCQQQRDLREYTQATIYVHKVVDNKKVMKDSAPELNVSSSETEEDKEEAKP DGEKDPDFNQSNGSTKRQKTSQQGYVAYQKQVIRRSTRHRKVRGEKALLVSANQTLKELK IQIMHAFSVAPFDQNLSIDGKILNDDCATLGTLGVIPESVILLKADEPIADYAAMDDVMQ VCMPEEGFKGTGLLGH >ENSMUSP00000101465.1 pep:known chromosome:GRCm38:4:137594207:137625291:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000105839.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] MAPRLQLEKAAWRWAETVRPEEVSQEHIETAYRIWLEPCIRGVCRRNCRGNPNCLVGIGE HIWLGEIDENSFHSIDDPNCERRKKNSFVGLTNLGATCYVNTFLQVWFLNLELRQALYLC PSTCSDYTKGDGIHGGKDYEPQTICEHLQYLFALLQNSNRRYIDPSGFVKALGLDTGQQQ DAQEFSKLFMSLLEDTLSKQKNPDVRNVVQQQFCGEYAYVTVCNQCGRESKLVSKFYELE LNIQGHKQLTDCISEFLKEERLEGDNRYFCENCQSKQNATRKIRLLSLPCTLNLQLMRFV FDRQTGHKKKLNAYIGFSESLDMEPYVEHKGGSFVYELSAVLIHRGVSAYSGHYIAHVKD PQSGEWYKFNDEDIEKMEGKKLQLGIEEDLAEPSKSQTRKPKCGKGTHCSRNAYMLVYRL QAQEKNHTMVQVPAFLQELVDRDNSKFEEWCVEMAEMRKQSVDKGKAKHEEVKELYQRLP AGAEPYEFVSLEWLQKWLDESTPTKPIDNNACLCSHDKLHPDKISIMKRISEYAANIFYS RYGGGPRLTVKALCKDCVVERCRVLRLKNQLNEDYKAVNNLLKSTMKGDGFWVGKSSLRS WRQLALEQLDEQDGEAEQSNGKINGSTFNKG >ENSMUSP00000101463.1 pep:known chromosome:GRCm38:4:137618471:137625291:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000105837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] MKRISEYAANIFYSRYGGGPRLTVKALCKDCVVERCRVLRLKNQLNEDYKAVNNLLKSTM KGDGFWVGKSSLRSWRQLALEQLDEQDGEAEQSNGKINGSTFNKG >ENSMUSP00000123154.1 pep:known chromosome:GRCm38:4:137593755:137605908:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000153100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] MVGPCPFGRRRRRRRRPPGSASATSVKAMAPRLQLEKAAWRWAETVRPEEVSQEHIETAY RIWLEPCIRGVCRRNCRGNPNCLVGIGEHIWLGEIDENSFHSIDDPNCERRKKNSFVGLT NLGATCYVNTFLQVWFLNLELRQALYLCPSTCSDYTKGDGIHGGK >ENSMUSP00000101464.1 pep:known chromosome:GRCm38:4:137594228:137625291:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000105838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] MKRISEYAANIFYSRYGGGPRLTVKALCKDCVVERCRVLRLKNQLNEDYKAVNNLLKSTM KGSDGFWVGKSSLRSWRQLALEQLDEQDGEAEQSNGKINGSTFNKG >ENSMUSP00000118084.1 pep:known chromosome:GRCm38:4:137633363:137655255:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000141426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] XENEALHKMIANEQKTSLPNLFQDKNRPCLSNWPESNGSTKRQKTSQQGYVAYQKQVIRR STRHRKVRGEKALLVSANQTLKELKIQIMHAFSVAPFDQNLSIDGKILNDDCATLGTLGV IPESVILLKADEPIADYAAMDDVMQVCMPEEGFKGK >ENSMUSP00000115533.1 pep:known chromosome:GRCm38:4:137623371:137633623:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000128065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] XKSTMKGDGFWVGKSSLRSWRQLALEQLDEQDGEAEQSNGKINGSTFNKDESKEEKKEEE EELNFNEDILCPHGELSISENERRLVSQEAWSKLQQYFPKAPEFPSYRECCSQCKILERE GEENEALHKMIANEQKTSLPNLFQDKNRPCLSNWPEVLIARSFKGLCRFL >ENSMUSP00000114800.1 pep:known chromosome:GRCm38:4:137633434:137637422:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000131755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] XNRPCLSNWPEDTDALYIVSHFFLDEWRKFVRKPARSAPVSSIGNAALLCPHGGLMFTFP SLTKEDSKLCQPLERREGGWPTAAHNK >ENSMUSP00000119691.1 pep:known chromosome:GRCm38:4:137633838:137653006:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000141628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] MNGGNLLESLQGLLLCRQLGMLPFCVHMEDSCLHFLPSPKKTRNSLIWPSEWQMIQKLFV VDKVIKITRIEVGDVNPSQTQYISEPSLCPDCREGLLCQQQRDLREYTQATIYVHKVVDN KKVMKDSAPELNVSSSETEEDKEEAKPDGEKDPDFNQSNGSTKRQKTSQQGYVAYQKQVI RRSTRHRKVRGEKALLVSANQTLKELKIQIMHAFSVAPFDQNLSIDGKILNDDCATLGTL GVIPESVILLKADEPIADYAAMDDVMQ >ENSMUSP00000117989.1 pep:known chromosome:GRCm38:4:137644426:137652840:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000153869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] XHRKVRGEKALLVSANQTLKELKIQIMHAFSVAPFDQNLSIDGKILNDDCATLGTLGVIP ESVILLKLLSPSVWLLHIFPCKMCFGEESSALPHTVCHFFELRSLDPQLGGVC >ENSMUSP00000118081.1 pep:known chromosome:GRCm38:4:137650301:137656331:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000157012.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] XAFSVAPFDQNLSIDGKILNDDCATLGTLGVIPESVILLKADEPIADYAAMDDVMQAFDM LLLAVRLSFTLEFFSFCSLYAGRGL >ENSMUSP00000115526.1 pep:known chromosome:GRCm38:4:137644341:137655248:1 gene:ENSMUSG00000043411.15 transcript:ENSMUST00000151807.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp48 description:ubiquitin specific peptidase 48 [Source:MGI Symbol;Acc:MGI:2158502] XSNGSTKRQKTSQQGYVAYQKQVIRRSTRHRKVRGEKALLVSANQTLKELKIQIMHAFSV APFDQNLSIDGKILNDDCATLGTLGVIPESVILLKADEPIADYAAMDDVMQGSKGSIPGD SGN >ENSMUSP00000122558.1 pep:known chromosome:GRCm38:6:128399296:128408214:1 gene:ENSMUSG00000001520.12 transcript:ENSMUST00000123867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrip2 description:nuclear receptor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1891884] MESLGLQRLTGKISEKTMSTGQEARRDEGDSRKEQEASLRDRAHLSQQRQLKQATQFLHK DSADLLPLDSLKRLGTSKDLQPHSVIQRRLVEGNQRRLQGESPLLQALIRGHDSSRTSAT QVPALLVNCKCQDQMLRVAVDTGTQHNQISAGCLRRLGLGKRVPKAPGGDVAPEPPTQVE QLELELGQETVACSAQVVDVDSPEFCLGLQTLLSLKLAT >ENSMUSP00000122305.1 pep:known chromosome:GRCm38:6:128399308:128408107:1 gene:ENSMUSG00000001520.12 transcript:ENSMUST00000147155.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nrip2 description:nuclear receptor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1891884] XESLGLQRLTGKISEKTMSTGQEARRDEGDSRKEQEASLRDRAHLSQQRQLKQATQFLHK DSADLLPLDSLKRLGTSKDLPAATQCDPKTPGGGKPEAASGGVSPAAGPDPWP >ENSMUSP00000001561.5 pep:known chromosome:GRCm38:6:128399766:128408932:1 gene:ENSMUSG00000001520.12 transcript:ENSMUST00000001561.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrip2 description:nuclear receptor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1891884] MSTGQEARRDEGDSRKEQEASLRDRAHLSQQRQLKQATQFLHKDSADLLPLDSLKRLGTS KDLQPHSVIQRRLVEGNQRRLQGESPLLQALIRGHDSSRTSATQVPALLVNCKCQDQMLR VAVDTGTQHNQISAGCLRRLGLGKRVPKAPGGDVAPEPPTQVEQLELELGQETVACSAQV VDVDSPEFCLGLQTLLSLKCCIDLDRGVLRLKAPFSELPFLPLYQEPGQ >ENSMUSP00000113317.1 pep:known chromosome:GRCm38:6:128399970:128408932:1 gene:ENSMUSG00000001520.12 transcript:ENSMUST00000120405.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrip2 description:nuclear receptor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1891884] MSTGQEARRDEGDSRKEQEASLRDRAHLSQQRQLKQATQFLHKDSADLLPLDSLKRLGTS KDLQPHSVIQRRLVEGNQRRLQGESPLLQALIRGHDSSRTSATQVPALLVNCKCQDQMLR VAVDTGTQHNQISAGCLRRLGLGKRVPKAPGGDVAPEPPTQVEQLELELGQETVACSAQV VDVDSPEFCLGLQTLLSLKLATSLSASSLLPSALGIPTESICDSEALVPHSLLSLPKCTT KSLTEKDLQQMGSRLHPGCRGQSYLLPPLA >ENSMUSP00000144750.1 pep:known chromosome:GRCm38:6:128400293:128416427:1 gene:ENSMUSG00000001520.12 transcript:ENSMUST00000204836.1 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Nrip2 description:nuclear receptor interacting protein 2 [Source:MGI Symbol;Acc:MGI:1891884] KDLQPHSVIQRRLVEGNQRRLQGESPLLQALIRGHDSSRTSATQVPALLVNCKCQDQMLR VAVDTGTQHNQISAGCLRRLGLGKRVPKAPGGDVAPEPPTQVEQLELELGQETVACSAQV VDVDSPEFCLGLQTLLSLKTSPTPTQVNCKREREPERGTKREHSSRNFQSIHESLSCDLH KLSLLINRHT >ENSMUSP00000035709.6 pep:known chromosome:GRCm38:11:121830247:121843423:1 gene:ENSMUSG00000039198.8 transcript:ENSMUST00000036690.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptchd3 description:patched domain containing 3 [Source:MGI Symbol;Acc:MGI:1921925] MISSKVAPGEEEQGESPSKVELGEAEEQREAPLEGQLEAVPLDEAGPSWTTGPPLERLPP LGQEAPPPRRCHTNCLEAPLSRGFQRFGATVGANPWLFLLGPALLTASLGTGLIFLPKEK ENLEEQYTPIGSPAKAERRFVQGHFSTNDTYRFSASRTSSETNFASILVVSLANSLLEPE IFKEVSKLDQAVQALKVVQENGTQILYQEVCAKYKTLCVPPNPLLFSWQHNSSLNLSDLT FPIHNTPTQLIYLAGFFGGNVLGQMTGKSQRLVESRAMRLLYYLKTEDPEDSERSQAWLT HFLDHFNDMKSSLTLEEIEVVYFSSLSRQLEFEATSKTVIPLFHLAYILIILFAVVSCSR LDCIRNKMCVAVFGVFSVAMSVVSGFGLMLHLGVPFVIIVANSPFLILGVGVDDMFIMIS AWQKTSLSESIRERLSNSYSKVAVSITITTITNVLAFYTGITSSFRSVQYFCIYTGTTLL FCYFYSITCFGAVMALDGKREVAWSRWLEKPDQKYSSLKKSCCVPFGSLIDKHGEDNHPM NLFFRDYFGPFLTTSKAKFIVVLLYIFYIISSIYGCFQVQEGLDLRNLASDDSYITPYFN VEEDYFSDYGPRVMVIVTESVNYWDNDVRQKLDKCMTQFEENEYVDKNLTEFWLEAYMQY MNSSGNNPNDKNTFMNNIAGFLQFFPIFTYDINISSSNEITSSRGFIQIVDVSSSSNKKR MLLKLRSIAENCEVPLMVYNQAFIYFDQYAAIIENTVRNVMIASTAMFIVSLLLIPHPVC SLWVTFAIASVIVGVTGFMAFWNVNLDSISMINLVICIGFSFDFSAHISYAFVSSTEPSV NKKSIEALYLLGYPVLQSAISTIIGVCVLSAAKAYIFRTFFKIMFLVMFFGAAHGLIFIP VFLTFF >ENSMUSP00000114180.1 pep:known chromosome:GRCm38:5:125385965:125390014:-1 gene:ENSMUSG00000008348.9 transcript:ENSMUST00000136312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubc description:ubiquitin C [Source:MGI Symbol;Acc:MGI:98889] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKA KIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKT ITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLR LRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTL SDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQ QRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIE NVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLH LVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLED GRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEPSVTTKKVKQEDRRTFLT TVSKKSPPCACSWV >ENSMUSP00000115578.1 pep:known chromosome:GRCm38:5:125385977:125390202:-1 gene:ENSMUSG00000008348.9 transcript:ENSMUST00000156249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubc description:ubiquitin C [Source:MGI Symbol;Acc:MGI:98889] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKA KIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKT ITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLR LRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTL SDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQ QRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIE NVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLH LVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLED GRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVEPSVTTKKVKQEDRRTFLT TVSKKSPPCACSWV >ENSMUSP00000104347.2 pep:known chromosome:GRCm38:5:125387659:125389186:-1 gene:ENSMUSG00000008348.9 transcript:ENSMUST00000108707.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubc description:ubiquitin C [Source:MGI Symbol;Acc:MGI:98889] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEPSDTIENVKA KIQDKEGIPPDQQRLIFAGKQ >ENSMUSP00000102794.1 pep:known chromosome:GRCm38:11:101769747:101785371:-1 gene:ENSMUSG00000017724.14 transcript:ENSMUST00000107176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv4 description:ets variant 4 [Source:MGI Symbol;Acc:MGI:99423] MERRMKGGYLDQRVPYTFCSKSPGNGSLGEALMVPQGKLMDPGSLPPSDSEDLFQDLSHF QETWLAEAQVPDSDEQFVPDFHSENLAFHSPTTRIKKEPQSPRTDPALSCSRKPPLPYHH GEQCLYSRQIAIKSPAPGAPGQSPLQPFSRAEQQQSLLRASSSSQSHPGHGYLGEHSSVF QQPVDMCHSFTSPQGGGREPLPAPYQHQLSEPCPPYPQQNFKQEYHDPLYEQAGQPASSQ GGVSGHRYPGAGVVIKQERTDFAYDSDVPGCASMYLHPEGFSGPSPGDGVMGYGYEKSLR PFPDDVCIVPEKFEGDIKQEGIGAFREGPPYQRRGALQLWQFLVALLDDPTNAHFIAWTG RGMEFKLIEPEEVARLWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYKFVCEP EALFSLAFPDNQRPALKAEFDRPVSEEDTVPLSHLDESPAYLPELTGPAPPFGHRGGYSY >ENSMUSP00000017868.6 pep:known chromosome:GRCm38:11:101769747:101785371:-1 gene:ENSMUSG00000017724.14 transcript:ENSMUST00000017868.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv4 description:ets variant 4 [Source:MGI Symbol;Acc:MGI:99423] MERRMKGGYLDQRVPYTFCSKSPGNGSLGEALMVPQGKLMDPGSLPPSDSEDLFQDLSHF QETWLAEAQVPDSDEQFVPDFHSENLAFHSPTTRIKKEPQSPRTDPALSCSRKPPLPYHH GEQCLYSSAYDSPRQIAIKSPAPGAPGQSPLQPFSRAEQQQSLLRASSSSQSHPGHGYLG EHSSVFQQPVDMCHSFTSPQGGGREPLPAPYQHQLSEPCPPYPQQNFKQEYHDPLYEQAG QPASSQGGVSGHRYPGAGVVIKQERTDFAYDSDVPGCASMYLHPEGFSGPSPGDGVMGYG YEKSLRPFPDDVCIVPEKFEGDIKQEGIGAFREGPPYQRRGALQLWQFLVALLDDPTNAH FIAWTGRGMEFKLIEPEEVARLWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVY KFVCEPEALFSLAFPDNQRPALKAEFDRPVSEEDTVPLSHLDESPAYLPELTGPAPPFGH RGGYSY >ENSMUSP00000129261.1 pep:known chromosome:GRCm38:11:101769742:101785310:-1 gene:ENSMUSG00000017724.14 transcript:ENSMUST00000164750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etv4 description:ets variant 4 [Source:MGI Symbol;Acc:MGI:99423] MERRMKGGYLDQRVPYTFCSKSPGNGSLGEALMVPQGKLMDPGSLPPSDSEDLFQDLSHF QETWLAEAQVPDSDEQFVPDFHSENSFHSPTTRIKKEPQSPRTDPALSCSRKPPLPYHHG EQCLYSSAYDSPRQIAIKSPAPGAPGQSPLQPFSRAEQQQSLLRASSSSQSHPGHGYLGE HSSVFQQPVDMCHSFTSPQGGGREPLPAPYQHQLSEPCPPYPQQNFKQEYHDPLYEQAGQ PASSQGGVSGHRYPGAGVVIKQERTDFAYDSDVPGCASMYLHPEGFSGPSPGDGVMGYGY EKSLRPFPDDVCIVPEKFEGDIKQEGIGAFREGPPYQRRGALQLWQFLVALLDDPTNAHF IAWTGRGMEFKLIEPEEVARLWGIQKNRPAMNYDKLSRSLRYYYEKGIMQKVAGERYVYK FVCEPEALFSLAFPDNQRPALKAEFDRPVSEEDTVPLSHLDESPAYLPELTGPAPPFGHR GGYSY >ENSMUSP00000144740.1 pep:known chromosome:GRCm38:4:117724412:117726872:1 gene:ENSMUSG00000108127.1 transcript:ENSMUST00000203629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12845 description:predicted gene 12845 [Source:MGI Symbol;Acc:MGI:3651666] MTSNSSTVTTSEYSTLPQPVLTTHPAKALNIPLAEPAQAFPVNQETLPIDQVIFTASSQL TNVNDSSRPLLPGYQKTAASRNKVTISNEENQITTLDDNRLATLNQGPVVNFNADQTSIE NCPVISGGDQASSWGQMVTFNADQTHHGHQKMTFSSDQNVNRSQVTTSSSDQTMYRDQRT TYSAQNIYRDSMKILSDCYTYYNDQITVPNRNQTFYRGQTMTHDSNLTIYGGQRMPHDSN LILYGSQTMPLDTNQIPYGGQMTIQTDDLTYGTPPNSYQTFYGSQMATPSADQTVYGYQK MPLSENQALHRSQKTTPSDEPTFYGNQMTPSDGNQSLYGGQRTTYNRNQTYEGQTMIPSS NVSYYRAQGTGVQTLCGSQKTTSNDDQTFYGYHMTSPDGNLTFYGGQTTTPNGDQIFYDM QMTTPSGDQTYNTGWMLPCVSSQMPSDDRFSYFSKSHLAPGKPSASPSSFLLGPGYSPKR MKDLRTPAPPKRKAYICSKENCGKAFVKSSQLREHERIHTGEKPYICTYYPCTWKFARQD VLARHKRKHTGYRPFKCENCDMTYSRSDHLKAHIKRCPSKFQPAPGSL >ENSMUSP00000144831.1 pep:known chromosome:GRCm38:4:117724475:117726866:1 gene:ENSMUSG00000108127.1 transcript:ENSMUST00000204276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12845 description:predicted gene 12845 [Source:MGI Symbol;Acc:MGI:3651666] TTHPAKALNIPLAEPAQAFPVNQETLPIDQVIFTASSQLTNVNDSSRPLLPGYQKTAASR NKVTISNEENQITTLDDNRLATLNQGPVVNFNADQTSIENCPVISGGDQASSWGQMVTFN ADQTHHGHQKMTFSSDQNVNRSQVTTSSSDQTMYRDQRTTYSAQNIYRDSMKILSDCYTY YNDQITVPNRNQTFYRENAPQSQKTTPSDEPTFYGNQMTPSDGNQSLYGGQRTTYNRNQT YEGQTMIPSSNVSYYRAQGTGVQTLCGSQKTTSNDDQTFYGYHMTSPDGNLTFYGGQTTT PNGDQIFYDMQMTTPSGDQTYNTGWMLPCVSSQMPSDDRFSYFSKSHLAPGKPSASPSSF LLGPGYSPKRMKDLRTPAPPKRKAYICSKENCGKAFVKSSQLREHERIHTGEKPYICTYY PCTWKFARQDVLARHKRKHTGYRPFKCENCDMTYSRSDHLKAHIKRCPSKFQPAPGS >ENSMUSP00000091470.3 pep:known chromosome:GRCm38:11:98646759:98657964:1 gene:ENSMUSG00000017211.14 transcript:ENSMUST00000093938.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdma2 description:gasdermin A2 [Source:MGI Symbol;Acc:MGI:1921490] MSMFEDVTRALARQLNPRGDLTPLDSLIDFKRFHPFCLVLRKRKSTLFWGARYVRTDYTL LDVLEPGSSPSDPTLLGNFSFKNMLDVRVEGDVEVPTMMKVKGTVGLSQSSTLEVQMLSV APTALENLHMERKLSADHPFLKEMREYKQNLYVVMEVVKAKQEVTLKRASNAISKFSLNL PSLGLQGSVNHKEAVTIPKGCVLAYRVRQLIIYGKDEWGIPYICTDNMPTFNPLCVLQRQ GSTVQMISGEMHEDFKTLKKEVQQETQEVEKLSPVGRSSLLTSLSHLLGKKKELQDLEQM LEGALDKGHEVTLEALPKDVLLLKDAMDAILYFLGALTELSEEQLKILVKSLENKVLPVQ LKLVESILEQNFLQDKEDVFPLRPDLLSSLGEEDQILTEALVGLSGLEVQRSGPQYTWNP DTCHNLCALYAGLSLLHLLSRDS >ENSMUSP00000017355.5 pep:known chromosome:GRCm38:11:98646763:98657953:1 gene:ENSMUSG00000017211.14 transcript:ENSMUST00000017355.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdma2 description:gasdermin A2 [Source:MGI Symbol;Acc:MGI:1921490] MCAPTTLSWMCWSRAAPPQGSVNHKEAVTIPKGCVLAYRVRQLIIYGKDEWGIPYICTDN MPTFNPLCVLQRQGSTVQMISGEMHEDFKTLKKEVQQETQEVEKLSPVGRSSLLTSLSHL LGKKKELQDLEQMLEGALDKGHEVTLEALPKDVLLLKDAMDAILYFLGALTELSEEQLKI LVKSLENKVLPVQLKLVESILEQNFLQDKEDVFPLRPDLLSSLGEEDQILTEALVGLSGL EVQRSGPQYTWNPDTCHNLCALYAGLSLLHLLSRDS >ENSMUSP00000096799.2 pep:known chromosome:GRCm38:2:153222370:153225441:-1 gene:ENSMUSG00000074671.6 transcript:ENSMUST00000099194.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspyl3 description:TSPY-like 3 [Source:MGI Symbol;Acc:MGI:2139328] MAWEGIGVLEATMCLPPIQERDAHPARPRVPGAEPLRPCNPAASPATAPPSSENTGENSA SRVLNLDGCEKGSGNGVGTKGKTEEVTTEEDSVAAEEPAEVGEKLEWVAEAQESLRPLDL RALIVDPLEAIQWELEAMSAQADGAHLQLVRRFGRMRRLHLARRSFIIQNIPGFWVTAFL NHPQLSAMISPRDEDMLGYLMNLEVRELRHSRTGCKFKFLFESNPYFRNEVIVKEYECRA SGGVVSIATRILWHRGQEPPALVHRNRDAVRSFFSWFSQHSLLEADRVAQIIKDDLWPNP LQYYLLGQRPYRARRSLARWSAEARPRPYGFQSG >ENSMUSP00000052316.5 pep:known chromosome:GRCm38:4:117757836:117765648:-1 gene:ENSMUSG00000048626.5 transcript:ENSMUST00000062747.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf17 description:Kruppel-like factor 17 [Source:MGI Symbol;Acc:MGI:2181068] MEQDNKEQAMHQPPMDNKMLVPVSNVPVSSGNSGFHQPPATQYLPEMMRSYMASAEELRC NEREWESQLIRSLPEHGVRCPSQLAPIPFQNYCQRSIGRGSHVMPVGSSGTLGVTISFSE NLMPQGGLPSSRGVSVMAHSSAPAMPYPMPPTVPATTGSLKHGILLVPGMASAGTHAVAP FMDQMLHSINPCNPEMLPARFQQLLPLDSQDSLVTESNTQEEPFVREPPTPAPEGAESPS TSRGATRRQSPVSRPYVCTYNSCGKSYTKRSHLVSHQRKHTGVKPFACDWNGCTWKFFRS DELGRHKRIHTRYRPHKCDECDREFMRSDHLRQHKRTHLPK >ENSMUSP00000001559.8 pep:known chromosome:GRCm38:6:128409444:128424931:-1 gene:ENSMUSG00000001518.12 transcript:ENSMUST00000001559.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itfg2 description:integrin alpha FG-GAP repeat containing 2 [Source:MGI Symbol;Acc:MGI:1915450] MRSVSYVQRVALDFSGSLFPHAICLGDVDNDALNELVVGDTSGKLSVYKNDDSRPWLTCM CQGMLTCVGVGDVCNKGKNLVVAVSAEGWLHLFDLTPTKALDASGHHETLGEEQRPVFKQ HIPANTKVMLISDIDGDGCYELVVGYTDRVVRAFRWEELAEGPEHLAGQLVSLKKWMLEG QVDSLSVTPGPLGVPELVVSQPGCAYAVLLCTWNKDTGSPPASEEATGDSRETPAARDVV LHQTSGRIHNKNVSTHLIGNIRQGHNPEGGNAGLFALCTLDGTLKLMQEADKLLWSVQVD HQLFALEKLDVTGNGLEEVVACAWDGQTYIIDHNRTVVRFQVDENIRAFCAGQYACKEGR NSPCLVYVTFNQKIYVYWEVQLERMESTNLLKLLEAEPEYHRLLQELRVDPEDLPAVCTL LHQTLYHPDQPLQCTPSSFQDPT >ENSMUSP00000144837.1 pep:known chromosome:GRCm38:6:128409498:128410380:-1 gene:ENSMUSG00000001518.12 transcript:ENSMUST00000203984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itfg2 description:integrin alpha FG-GAP repeat containing 2 [Source:MGI Symbol;Acc:MGI:1915450] XQTLYHPDQPLQCTPSSFQDPT >ENSMUSP00000145111.1 pep:known chromosome:GRCm38:6:128409533:128424918:-1 gene:ENSMUSG00000001518.12 transcript:ENSMUST00000142615.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itfg2 description:integrin alpha FG-GAP repeat containing 2 [Source:MGI Symbol;Acc:MGI:1915450] MRSVSYVQRVALDFSGSLFPHAICLGDVDNDALNELVVGDTSGKLSVYKNDDSRPWLTCM CQGMLTCVGVGDVCNKGKNLVVAVSAEGWLHLFDLTPTKALDASGHHETLGEEQRPVFKQ HIPANTKVMLISDIDGDGCYELVVGYTDRVVRAFRWEELAEGPEHLAGQLVSLKKWMLEG QVDSLSVTPGPLGVPELVVSQPGCAYAVLLCTWNKDTGSPPASEEATGDSRETPAARDVV LHQTSGRIHNKNVSTHLIGNIRQGHNPEGGNAGLFALCTLDGTLKLMQEADKLLWSVQVD HQLFALEKLDVTGNGLEEVVACAWDGQTYIIDHNRTVVRFQVDENIRAFCAGGMVASN >ENSMUSP00000145323.1 pep:known chromosome:GRCm38:6:128410212:128424869:-1 gene:ENSMUSG00000001518.12 transcript:ENSMUST00000203374.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itfg2 description:integrin alpha FG-GAP repeat containing 2 [Source:MGI Symbol;Acc:MGI:1915450] MRSVSYVQRVALDFSGSLFPHAICLGDVDNDALTCVGVGDVCNKGKNLVVAVSAEGWLHL FDLTPTKALDASGHHETLGEEQRPVFKQHIPANTKVMLISDIDGDGCYELVVGYTDRVVR AFRWEELAEGPEHLAGQLVSLKKWMLEGQVDSLSVTPGPLGVPELVVSQPGCAYAVLLCT WNKDTGSPPASEEATGDSRETPAARDVVLHQTSGRIHNKNVSTHLIGNIRQGHNPEGGNA GLFALCTLDGTLKLMQEADKLLWSVQVDHQLFALEKLDVTGNGLEEVVACAWDGQTYIID HNRTVVRFQVDENIRAFCAGQYACKEGRNSPCLVYVTFNQKIYVYWEVQLERMESTNLLK LLEAEPEYHRLLQELRVDPEDLPAVCTLLHQTLYHPDQPLQCTPSSFQDPT >ENSMUSP00000145282.1 pep:known chromosome:GRCm38:6:128413007:128424912:-1 gene:ENSMUSG00000001518.12 transcript:ENSMUST00000203853.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itfg2 description:integrin alpha FG-GAP repeat containing 2 [Source:MGI Symbol;Acc:MGI:1915450] MRSVSYVQRVALDFSGSLFPHAICLGDVDNDALNELVVGDTSGKLSVYKNDDSRPWLTCM CQGMLTCVGVGDVCNKGKMEMGVTSWW >ENSMUSP00000145388.1 pep:known chromosome:GRCm38:6:128413640:128424913:-1 gene:ENSMUSG00000001518.12 transcript:ENSMUST00000203026.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itfg2 description:integrin alpha FG-GAP repeat containing 2 [Source:MGI Symbol;Acc:MGI:1915450] MRSVSYVQRVALDFSGSLFPHAICLGDVDNDALNELVVGDTSGKLSVYKNDDSRPWLTCM CQGMLTCVGVGDVCNKGKNLVVAVSAEGWLHLFDLTPTKALDASGHHETLGEEQRPVFKQ HIPANTKMEMGVTSWW >ENSMUSP00000113707.1 pep:known chromosome:GRCm38:11:73395076:73399495:-1 gene:ENSMUSG00000069823.10 transcript:ENSMUST00000120303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1 description:olfactory receptor 1 [Source:MGI Symbol;Acc:MGI:102698] MTERNKTVISQFLLLGLPIPPEHQQLFYALFLVMYLTTVLGNLIIIILIILDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNMQSQVPSIPYAGCLAQIYFFLFFGDLGNFLLVAMAY DRYVAICYPLHYTTIMSPRLCVSLVVLSWVLTTFHAMLHTLLMARLSFCEDNVIPHYFCD MSALLKLACSDTRVNEVVIFIVASIFLVLPFALITMSYVRIVSSILKVPSSQGIYKAFST CGSHLSVVSLFYGTVIGLYLSPSSNNSTVKDTVMSLMYTVVTPMLNPFIYSLRNRDIKGA LERVFCKRKIQLNL >ENSMUSP00000120899.1 pep:known chromosome:GRCm38:11:73395432:73399495:-1 gene:ENSMUSG00000069823.10 transcript:ENSMUST00000131253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1 description:olfactory receptor 1 [Source:MGI Symbol;Acc:MGI:102698] MTERNKTVISQFLLLGLPIPPEHQQLFYALFLVMYLTTVLGNLIIIILIILDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNMQSQVPSIPYAGCLAQIYFFLFFGDLGNFLLVAMAY DRYVAICYPLHYTTIMSPRLCVSLVVLSWVLTTFHAMLHTLLMARLSFCEDNVIPHYFCD MSALLKLACSDTRVNE >ENSMUSP00000053700.3 pep:known chromosome:GRCm38:6:23969161:23970060:-1 gene:ENSMUSG00000043865.3 transcript:ENSMUST00000062463.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tas2r118 description:taste receptor, type 2, member 118 [Source:MGI Symbol;Acc:MGI:2681247] MVPTQVTIFSIIMYVLESLVIIVQSCTTVAVLFREWMHFQRLSPVETILISLGISHFCLQ WTSMLYNFGTYSRPVLLFWKVSVVWEFMNILTFWLTSWLAVLYCVKVSSFTHPIFLWLRM KILKLVLWLILGALIASCLSIIPSVVKYHIQMELVTLDNLPKNNSLILRLQQFEWYFSNP LKMIGFGIPFFVFLASIILLTVSLVQHWVQMKHYSSSNSSLKAQFTVLKSLATFFTFFTS YFLTIVISFIGTVFDKKSWFWVCEAVIYGLVCIHFTSLMMSNPALKKALKLQFWSPEPS >ENSMUSP00000016670.7 pep:known chromosome:GRCm38:1:131127455:131138340:-1 gene:ENSMUSG00000016526.8 transcript:ENSMUST00000016670.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk3 description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 3 [Source:MGI Symbol;Acc:MGI:1330300] MGGAARDRGRKDAALPGAGLPPQQRRLGDGVYDTFMMIDETKGPPYSDTFSNPSEAPVSR RLNITTEPLTRGHTQHFVNGSEMKVEQLFQEFGNRRSNTLQSDGISNSEKSSPASQGKSS ESLSAVKCNLSSRPSKVLPLTPEQALKQYKHHLTAYEKLEIVSYPEIYFVGPNAKKRQGV IGGPNNGGYDDADGAYIHVPRDHLAYRYEVLKIIGKGSFGQVARVYDHKLRQYVALKMVR NEKRFHRQAAEEIRILEHLKKQDKTGSMNVIHMLESFTFRNHVCMAFELLSIDLYELIKK NKFQGFSVQLVRKFAQSILQSLDALHKNKIIHCDLKPENILLKHHGRSATKVIDFGSSCF EYQKLYTYIQSRFYRAPEIILGCRYSTPIDIWSFGCILAELLTGQPLFPGEDEGDQLACM IELLGMPPQKLLEQSKRAKYFINSKGLPRYCSVSTQTDGRVVLLGGRSRRGKKRGPPGSK DWATALKGCGDYLFIEFLKRCLQWDPSARLTPAQALRHPWISKSTPKPLTMDKVPGKRVV NPTNAFQGLGSKLPPVVGIASKLKANLMSETSGSIPLCSVLPKLIS >ENSMUSP00000140050.1 pep:known chromosome:GRCm38:1:131129875:131138245:-1 gene:ENSMUSG00000016526.8 transcript:ENSMUST00000189756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk3 description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 3 [Source:MGI Symbol;Acc:MGI:1330300] MMIDETKGPPYSDTFSNPSEAPVSRRLNITTEPLTRGHTQHFVNGSEMKVEQLFQEFGNR RSNTLQSDGISNSEKSSPASQGKSSESLSAVKCNLSSRPSKVLPLTPEQALKQYKHHLTA YEKLEIVSYPEIYFVGPNAKKRQGVIGGPNNG >ENSMUSP00000037332.5 pep:known chromosome:GRCm38:7:126776955:126780514:1 gene:ENSMUSG00000042675.15 transcript:ENSMUST00000038614.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel3 description:yippee-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913340] MVRISKPKTFQAYLDDCHRRYSCAHCRAHLANHDDLISKSFQGSQGRAYLFNSVVNVGCG PAEERVLLTGLHAVADIHCENCKTTLGWKYEQAFESSQKYKEGKYIIELNHMIKDNGWD >ENSMUSP00000101966.1 pep:known chromosome:GRCm38:7:126776978:126778101:1 gene:ENSMUSG00000042675.15 transcript:ENSMUST00000106359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel3 description:yippee-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913340] MQPEASSALGSLCSPWAAPRVGPLPLAPAMVRISKPKTFQAYLDDCHRRYSCAHCRAHLA NHDDLISKSFQGSQGRAYLFNSV >ENSMUSP00000101964.1 pep:known chromosome:GRCm38:7:126777001:126780514:1 gene:ENSMUSG00000042675.15 transcript:ENSMUST00000106357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel3 description:yippee-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913340] MVRISKPKTFQAYLDDCHRRYSCAHCRAHLANHDDLISKSFQGSQGRAYLFNSVVNVGCG PAEERVLLTGLHAVADIHCENCKTTLGWKYEQAFESSQKYKEGKYIIELNHMIKDNGWD >ENSMUSP00000115596.1 pep:known chromosome:GRCm38:7:126777005:126780065:1 gene:ENSMUSG00000042675.15 transcript:ENSMUST00000145762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel3 description:yippee-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913340] MVRISKPKTFQAYLDDCHRRYSCAHCRAHLANHDDLISKSFQGSQGRAYLFNSVVNVGCG PAEERVLLTGLHAVADIHCENCKTTLGWKYEQAFESSQKYKEG >ENSMUSP00000115272.1 pep:known chromosome:GRCm38:7:126777147:126777874:1 gene:ENSMUSG00000042675.15 transcript:ENSMUST00000132643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel3 description:yippee-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913340] MVRISKPKTFQA >ENSMUSP00000101963.1 pep:known chromosome:GRCm38:7:126777213:126780446:1 gene:ENSMUSG00000042675.15 transcript:ENSMUST00000106356.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel3 description:yippee-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913340] MVRISKPKTFQAYLDDCHRRYSCAHCRAHLANHDDLISKSFQGSQGRAYLFNSVVNVGCG PAEERVLLTGLHAVADIHCENCKTTLGWKYEQAFESSQKYKEGKYIIELNHMIKDNGWD >ENSMUSP00000128753.1 pep:known chromosome:GRCm38:7:126776975:126780511:1 gene:ENSMUSG00000042675.15 transcript:ENSMUST00000170882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel3 description:yippee-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913340] MVRISKPKTFQAYLDDCHRRYSCAHCRAHLANHDDLISKSFQGSQGRAYLFNSVVNVGCG PAEERVLLTGLHAVADIHCENCKTTLGWKYEQAFESSQKYKEGKYIIELNHMIKDNGWD >ENSMUSP00000123595.1 pep:known chromosome:GRCm38:9:100597798:100737996:1 gene:ENSMUSG00000037286.15 transcript:ENSMUST00000124487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag1 description:stromal antigen 1 [Source:MGI Symbol;Acc:MGI:1098658] MITSELPVLQDSTNETTAHSDAGSELEETEVKGKRKRGRPGRPPSTNKKP >ENSMUSP00000116597.1 pep:known chromosome:GRCm38:9:100643448:100796791:1 gene:ENSMUSG00000037286.15 transcript:ENSMUST00000146312.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag1 description:stromal antigen 1 [Source:MGI Symbol;Acc:MGI:1098658] MITSELPVLQDSTNETTAHSDAGSELEETEVKGKRKRGRPGRPPSTNKKPRKSPGEKSRI EAGIRGAGRGRANGHPQQNGDGDPVTLFEVVKLGKSAMQDSGDYPLTMPGPQWKKFRSNF CEFIGVLIRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAAMKLMTALVNVAL NLSIHQDNTQRQYEAE >ENSMUSP00000116205.1 pep:known chromosome:GRCm38:9:100643448:100958545:1 gene:ENSMUSG00000037286.15 transcript:ENSMUST00000129269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag1 description:stromal antigen 1 [Source:MGI Symbol;Acc:MGI:1098658] MITSELPVLQDSTNETTAHSDAGSELEETEVKGKRKRGRPGRPPSTNKKPRKSPGEKSRI EAGIRGAGRGRANGHPQQNGDGDPVTLFEVVKLGKSAMQSVVDDWIELYKQDRDIALLDL INFFIQCSGCRGTVRIEMFRNMQNAEIIRKMTEEFDEDSGDYPLTMPGPQWKKFRSNFCE FIGVLIRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAAMKLMTALVNVALNL SIHQDNTQRQYEAERNKMIGKRANERLELLLQKRKELQENQDEIENMMNSIFKGIFVHRY RDAIAEIRAICIEEIGVWMKMYSDAFLNDSYLKYVGWTLHDRQGEVRLKCLKALQSLYTN RELFPKLELFTNRFKDRIVSMTLDKEYDVAVEAIRLVTLILHGSEEALSNEDCENVYHLV YSAHRPVAVAAGEFLHKKLFSRHDPQAEEALAKRRGRNSPNGNLIRMLVLFFLESELHEH AAYLVDSLWESSQELLKDWECMTELLLEEPVQGEEAMSDRQESALIELMVCTIRQAAEAH PPVGRGTGKRVLTAKERKTQIDDRNKLTEHFIITLPMLLSKYSADAEKVANLLQIPQYFD LEIYSTGRMEKHLDALLKQIKFVVEKHVESDVLEACSKTYSILCSEEYTIQNRVDIARSQ LIDEFVDRFNHSVEDLLQEGEEADDDDIYNVLSTLKRLTSFHNAHDLTKWDLFGNCYRLL KTGIEHGAMPEQIVVQALQCSHYSILWQLVKITDGSPSKEDLLVLRKTVKSFLAVCQQCL SNVNTPVKEQAFMLLCDLLMIFSHQLMTGGREGLQPLVFNPDTGLQSELLSFVMDHVFID QDEENQSMEGDEEDEANKIEALHKRRNLLAAFSKLIIYDIVDMHAAADIFKHYMKYYNDY GDIIKETLSKTRQIDKIQCAKTLILSLQQLFNELVQEQGPNLDRTSAHVSGIKELARRFA LTFGLDQIKTREAVATLHKDGIEFAFKYQNQKGQEYPPPNLAFLEVLSEFSSKLLRQDKK TVHSYLEKFLTEQMMERREDVWLPLISYRNSLVTGGEDDRMSVNSGSSSSKTSSVRSKKG RPPLHRKRVEDESLDNTWLNRTDTMIQTPGPLPTPQLTSTVLRENSRPMGEQIQEPESEH GSEPDFLHNPQMQISWLGQPKLEDLNRKDRTGMNYMKVRAGVRHAVRGLMEEDAEPIFED VMMSSRSQLEDMNEEFEDTMVIDLPPSRNRRERAELRPDFFDSAAIIEDDSGFGMPMF >ENSMUSP00000040724.6 pep:known chromosome:GRCm38:9:100643613:100958544:1 gene:ENSMUSG00000037286.15 transcript:ENSMUST00000041418.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag1 description:stromal antigen 1 [Source:MGI Symbol;Acc:MGI:1098658] MITSELPVLQDSTNETTAHSDAGSELEETEVKGKRKRGRPGRPPSTNKKPRKSPGEKSRI EAGIRGAGRGRANGHPQQNGDGDPVTLFEVVKLGKSAMQSVVDDWIELYKQDRDIALLDL INFFIQCSGCRGTVRIEMFRNMQNAEIIRKMTEEFDEDSGDYPLTMPGPQWKKFRSNFCE FIGVLIRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAAMKLMTALVNVALNL SIHQDNTQRQYEAERNKMIGKRANERLELLLQKRKELQENQDEIENMMNSIFKGIFVHRY RDAIAEIRAICIEEIGVWMKMYSDAFLNDSYLKYVGWTLHDRQGEVRLKCLKALQSLYTN RELFPKLELFTNRFKDRIVSMTLDKEYDVAVEAIRLVTLILHGSEEALSNEDCENVYHLV YSAHRPVAVAAGEFLHKKLFSRHDPQAEEALAKRRGRNSPNGNLIRMLVLFFLESELHEH AAYLVDSLWESSQELLKDWECMTELLLEEPVQGEEAMSDRQESALIELMVCTIRQAAEAH PPVGRGTGKRVLTAKERKTQIDDRNKLTEHFIITLPMLLSKYSADAEKVANLLQIPQYFD LEIYSTGRMEKHLDALLKQIKFVVEKHVESDVLEACSKTYSILCSEEYTIQNRVDIARSQ LIDEFVDRFNHSVEDLLQEGEEADDDDIYNVLSTLKRLTSFHNAHDLTKWDLFGNCYRLL KTGIEHGAMPEQIVVQALQCSHYSILWQLVKITDGSPSKEDLLVLRKTVKSFLAVCQQCL SNVNTPVKEQAFMLLCDLLMIFSHQLMTGGREGLQPLVFNPDTGLQSELLSFVMDHVFID QDEENQSMEGDEEDEANKIEALHKRRNLLAAFSKLIIYDIVDMHAAADIFKHYMKYYNDY GDIIKETLSKTRQIDKIQCAKTLILSLQQLFNELVQEQGPNLDRTSAHVSGIKELARRFA LTFGLDQIKTREAVATLHKDGIEFAFKYQNQKGQEYPPPNLAFLEVLSEFSSKLLRQDKK TVHSYLEKFLTEQMMERREDVWLPLISYRNSLVTGGEDDRMSVNSGSSSSKTSSVRSKKG RPPLHRKRVEDESLDNTWLNRTDTMIQTPGPLPTPQLTSTVLRENSRPMGEQIQEPESEH GSEPDFLHNRGLMEEDAEPIFEDVMMSSRSQLEDMNEEFEDTMVIDLPPSRNRRERAELR PDFFDSAAIIEDDSGFGMPMF >ENSMUSP00000116322.1 pep:known chromosome:GRCm38:9:100643616:100867293:1 gene:ENSMUSG00000037286.15 transcript:ENSMUST00000138405.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stag1 description:stromal antigen 1 [Source:MGI Symbol;Acc:MGI:1098658] MITSELPVLQDSTNETTAHSDAGSELEETEVKGKRKRGRPGRPPSTNKKPRKSPGEKSRI EAGIRGAGRGRANGHPQQNGDGDPVTLFEVVKLGKSAMQSVVDDWIELYKQDRDIALLDL INFFIQCSGCRGTVRIEMFRNMQNAEIIRKMTEEFDEDSGDYPLTMPGPQWKKFRSNFCE FIGVLIRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAAMKLMTALVNVALNL SIHQDNTQRQYEAERNKMIGKRANERLELLLQKRKELQENQDEIENMMNSIFKGIFVHRY RKGKSD >ENSMUSP00000118952.1 pep:known chromosome:GRCm38:9:100643636:100957399:1 gene:ENSMUSG00000037286.15 transcript:ENSMUST00000155108.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stag1 description:stromal antigen 1 [Source:MGI Symbol;Acc:MGI:1098658] MITSELPVLQDSTNETTAHSDAGSELEETEVKGKRKRGRPGRPPSTNKKPRKSPGEKSRI EAGIRGAGRGRANGHPQQNGDGDPVTLFEVVKLGKSAMQSVVDDWIELYKQDRDIALLDL INFFIQCSGCRDADLLVRPAEVRRLESEGQNRDELHESKSWSPARRSGSNGGRC >ENSMUSP00000117879.1 pep:known chromosome:GRCm38:9:100643768:100957406:1 gene:ENSMUSG00000037286.15 transcript:ENSMUST00000123302.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stag1 description:stromal antigen 1 [Source:MGI Symbol;Acc:MGI:1098658] MITSELPVLQDSTNETTAHSDAGSELEETEVKGKRKRGRPGRPPSTNKKPRKSPGEKSRI EAGIRGAGRGRANGHPQQNGDGDPVTLFEVVKLGKSAMQSVVDDWIELYKQDRDIALLDL INFFIQCSGCRGTVRIEMFRNMQNAEIIRKMTEEFDEDSGDYPLTMPGPQWKKFRSNFCE FIGVLIRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAAMKLMTALVNVALNL SIHQDNTQRQYEAERNKMIGKRANERLELLLQKRKELQENQDEIENMMNSIFKGIFVHRY RDAIAEIRAICIEEIGVWMKMYSDAFLNDSYLKYVGWTLHDRQGEVRLKCLKALQSLYTN RELFPKLELFTNRFKDRIVSMTLDKEYDVAVEAIRLVTLILQMCTKTTWLSFSWHVGLLV NLPT >ENSMUSP00000119637.1 pep:known chromosome:GRCm38:9:100643770:100776795:1 gene:ENSMUSG00000037286.15 transcript:ENSMUST00000133388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag1 description:stromal antigen 1 [Source:MGI Symbol;Acc:MGI:1098658] MITSELPVLQDSTNETTAHSDAGSELEETEVKGKRKRGRPGRPPSTNKKPRKSPGEKSRI EAGIRGAGRGRANGHPQQNGDGDPVTLFEVVKLGKSAMQSVVDDWIELYKQDRDIALLDL INFFIQCSGCRGTVRIEMFRNMQNA >ENSMUSP00000120974.1 pep:known chromosome:GRCm38:9:100845050:100956834:1 gene:ENSMUSG00000037286.15 transcript:ENSMUST00000146934.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag1 description:stromal antigen 1 [Source:MGI Symbol;Acc:MGI:1098658] XQSLYTNRELFPKLELFTNRFKDRIVSMTLDKEYDVAVEAIRLVTLILQLFSRHDPQAEE ALAKRRGRNSPNGNLIRMLVLFFLESELHEHAAYLVDSLWESSQELLKDWECMTELLLEE PVQGEEAMSDRQESALIELMVCTIRQAAEAHPPVGRGTGKRVLTAKERKTQIDDRNKLTE HFIITLPMLLSKYSADAEKVANLLQIPQYFDLEIYSTGRMEKHLDALLKQIKFVVEKHVE SDVLEACSKTYSILCSEEYTIQNRVDIARSQLIDEFVDRFNHSVEDLLQEGEEADDDDIY NVLSTLKRLTSFHNAHDLTKWDLFGNCYRLLKTGIEHGAMPEQIVVQALQCSHYSILWQL VKITDGSPSKEDLLVLRKTVKSFLAVCQQCLSNVNTPVKEQAFMLLCDLLMIFSHQLMTG GREGLQPLVFNPDTGLQSELLSFVMDHVFIDQDEENQSMEGDEEDEANKIEALHKRRNLL AAFSKLIIYDIVDMHAAADIFKHYMKYYNDYGDIIKETLSKTRQIDKIQCAKTLILSLQQ LFNELVQEQGPNLDRTSAHVSGIKELARRFALTFGLDQIKTREAVATLHKDGIEFAFKYQ NQKGQEYPPPNLAFLEVLSEFSSKLLRQDKKTVHSYLEKFLTEQMMERREDVWLPLISYR NSLVTGGEDDRMSVNSGSSSSKTSSVRSKKGRPPLHRKRVEDESLDNTWLNRTDTMIQTP GPLPTPQLTSTVLRENSRPMGEQIQEPESEHGSEPDFLHNPQMQISWLGQPKLEDLNRKD RTGMNYMKVRAGVRHAVRGLMEEDAEPIFEDVMMSSRSQLEDMNEEFEDTMVIDLPPSRN RRERAELRPDFFDSAAIIEDDSGFGMPMF >ENSMUSP00000115460.1 pep:known chromosome:GRCm38:9:100889608:100951680:1 gene:ENSMUSG00000037286.15 transcript:ENSMUST00000143955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag1 description:stromal antigen 1 [Source:MGI Symbol;Acc:MGI:1098658] XKRLTSFHNAHDLTKWDLFGNCYRLLKTGIEHGAMPEQIVVQALQCSHYSILWQLVKITD GSPSKYYNDYGDIIKETLSKTRQIDKIQCAKTLILSLQQLFNELVQEQGPNLDRTSAHVS GIKELARRFALTFGLDQIKTREAVATLHKDGIEFAFKYQNQKGQEYPPPNLAFLEVLSEF SSKLLRQDKKTVHSYLEKFLTEQMMERREDVWLPLISYRNSLVTGGEDDRMSVNSGSSSS KTSSVRSKKGRPPLHRKRVEDESLDNTWLNRTDTMIQTPGPLPTP >ENSMUSP00000145112.1 pep:known chromosome:GRCm38:6:128375456:128384481:1 gene:ENSMUSG00000079304.5 transcript:ENSMUST00000130785.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933413G19Rik description:RIKEN cDNA 4933413G19 gene [Source:MGI Symbol;Acc:MGI:1918399] MANIPKKTSLQKSSLSQVSRTREPFLKMIHAKESSPIYQTWTQREFLLPKETKEFPGFTL QDYHKLALKQPPCTELKSKVRHQVLYPSKDAAEHTWGFHTWLDVGRLPATFPTRPDVPYD SNVWRHLTHANAHRLPAAQPGIPPPSWMGPHSFLSFISASPIVVDLKRRKQIIVRTVKEL KEVEKLKLRSELRAPPLDANGNILPPPNFKKHTVSCLPCKRLEAATRVIC >ENSMUSP00000098486.2 pep:known chromosome:GRCm38:6:128375456:128385144:1 gene:ENSMUSG00000079304.5 transcript:ENSMUST00000100926.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933413G19Rik description:RIKEN cDNA 4933413G19 gene [Source:MGI Symbol;Acc:MGI:1918399] MANIPKKTSLQKSSLSQVSRTREPFLKMIHAKESSPIYQTWTQREFLLPKETKEFPGFTL QDYHKLALKQPPCTELKSKVRHQVLYPSKDAAEHTWGFHTWLDVGRLPATFPTRPDVPYD SNVWRHLTHANAHRLPAAQPGIPPPSWMGPHSFLSFISASPIVVDLKRRKQIIVRTVKEL KEVEKLKLRSELRAPPLDANGNILPPPNFKKYQYISTGGRLVPWGLQFLPNPIPNNICKS WPCPNHQPHYQEKVLKLARLPTVPLSKDLVKDYQALIKDRLALPVHYLSKARPAKTPEGK RKRRPGYV >ENSMUSP00000107732.1 pep:known chromosome:GRCm38:X:169685199:169990798:1 gene:ENSMUSG00000035299.16 transcript:ENSMUST00000112104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1 description:midline 1 [Source:MGI Symbol;Acc:MGI:1100537] METLESELTCPICLELFEDPLLLPCAHSLCFNCAHRILVSHCATNEPVESINAFQCPTCR HVITLSQRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSETRRERAFDANTMSSAEKVLCQ FCDQDPAQDAVKTCVTCEVSYCDECLKATHPNKKPFTGHRLIEPIPDSHIRGLMCLEHED EKVNMYCVTDDQLICALCKLVGRHRDHQVAALSERYDKLKQNLESNLTNLIKRNTELETL LAKLIQTCQHVEVNASRQEAKLTEECDLLIEIIQQRRQIIGTKIKEGKVIRLRKLAQQIA NCKQCLERSASLISQAEHSLKENDHARFLQTAKNITERVSMATASSQVLIPEINLNDTFD TFALDFSREKKLLECLDYLTAPNPPAIREELCTASYDTITVHWTSEDEFSVVSYELQYTI FTGQANVVNVACDGTCLLGSAGLCNSADSWMIVPNIKQNHYTVHGLQSGTKYIFTVKAIN QAGSRSSEPGKLKTNSQPFRLDPKSAHRKLKVSHDNLTVERDESSSKKSHAPERFAGQGS YGVAGNVFIDSGRHYWEVVTSGSTWYAIGLAYRSAPKHEWIGKNAASWALCRCHNHWAVR HDGKETPIAPAPHLRRVGVLLDYDNGSIAFYDALSSVHLHTFHAALAQPVCPTFTVWNKC LTIVTGLPIPDHLDCTEQRP >ENSMUSP00000038765.5 pep:known chromosome:GRCm38:X:169828159:169990798:1 gene:ENSMUSG00000035299.16 transcript:ENSMUST00000036753.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1 description:midline 1 [Source:MGI Symbol;Acc:MGI:1100537] METLESELTCPICLELFEDPLLLPCAHSLCFNCAHRILVSHCATNEPVESINAFQCPTCR HVITLSQRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSETRRERAFDANTMSSAEKVLCQ FCDQDPAQDAVKTCVTCEVSYCDECLKATHPNKKPFTGHRLIEPIPDSHIRGLMCLEHED EKVNMYCVTDDQLICALCKLVGRHRDHQVAALSERYDKLKQNLESNLTNLIKRNTELETL LAKLIQTCQHVEVNASRQEAKLTEECDLLIEIIQQRRQIIGTKIKEGKVIRLRKLAQQIA NCKQCLERSASLISQAEHSLKENDHARFLQTAKNITERVSMATASSQVLIPEINLNDTFD TFALDFSREKKLLECLDYLTAPNPPAIREELCTASYDTITVHWTSEDEFSVVSYELQYTI FTGQANVVNVACDGTCLLGSAGLCNSADSWMIVPNIKQNHYTVHGLQSGTKYIFTVKAIN QAGSRSSEPGKLKTNSQPFRLDPKSAHRKLKVSHDNLTVERDESSSKKSHAPERFAGQGS YGVAGNVFIDSGRHYWEVVTSGSTWYAIGLAYRSAPKHEWIGKNAASWALCRCHNHWAVR HDGKETPIAPAPHLRRVGVLLDYDNGSIAFYDALSSVHLHTFHAALAQPVCPTFTVWNKC LTIVTGLPIPDHLDCTEQRP >ENSMUSP00000077974.5 pep:known chromosome:GRCm38:X:169879664:169990571:1 gene:ENSMUSG00000035299.16 transcript:ENSMUST00000078947.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1 description:midline 1 [Source:MGI Symbol;Acc:MGI:1100537] METLESELTCPICLELFEDPLLLPCAHSLCFNCAHRILVSHCATNEPVESINAFQCPTCR HVITLSQRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSETRRERAFDANTMSSAEKVLCQ FCDQDPAQDAVKTCVTCEVSYCDECLKATHPNKKPFTGHRLIEPIPDSHIRGLMCLEHED EKQNLESNLTNLIKRNTELETLLAKLIQTCQHVEVNASRQEAKLTEECDLLIEIIQQRRQ IIGTKIKEGKVIRLRKLAQQIANCKQCLERSASLISQAEHSLKENDHARFLQTAKNITER VSMATASSQVLIPEINLNDTFDTFALDFSREKKLLECLDYLTAPNPPAIREELCTASYDT ITVHWTSEDEFSVVSYELQYTIFTGQANVVNVACDGTCLLGSAGLCNSADSWMIVPNIKQ NHYTVHGLQSGTKYIFTVKAINQAGSRSSEPGKLKTNSQPFRLDPKSAHRKLKVSHDNLT VERDESSSKKSHAPERFAGQGSYGVAGNVFIDSGRHYWEVVTSGSTWYAIGLAYRSAPKH EWIGKNAASWALCRCHNHWAVRHDGKETPIAPAPHLRRVGVLLDYDNGSIAFYDALSSVH LHTFHAALAQPVCPTFTVWNKCLTIVTGLPIPDHLDCTEQRP >ENSMUSP00000107735.1 pep:known chromosome:GRCm38:X:169685199:169990795:1 gene:ENSMUSG00000035299.16 transcript:ENSMUST00000112107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1 description:midline 1 [Source:MGI Symbol;Acc:MGI:1100537] MTLHKDAFSKLDTEQNLESNLTNLIKRNTELETLLAKLIQTCQHVEVNASRQEAKLTEEC DLLIEIIQQRRQIIGTKIKEGKVIRLRKLAQQIANCKQCLERSASLISQAEHSLKENDHA RFLQTAKNITERVSMATASSQVLIPEINLNDTFDTFALDFSREKKLLECLDYLTAPNPPA IREELCTASYDTITVHWTSEDEFSVVSYELQYTIFTGQANVVNVACDGTCLLGSAGLCNS ADSWMIVPNIKQNHYTVHGLQSGTKYIFTVKAINQAGSRSSEPGKLKTNSQPFRLDPKSA HRKLKVSHDNLTVERDESSSKKSHAPERFAGQGSYGVAGNVFIDSGRHYWEVVTSGSTWY AIGLAYRSAPKHEWIGKNAASWALCRCHNHWAVRHDGKETPIAPAPHLRRVGVLLDYDNG SIAFYDALSSVHLHTFHAALAQPVCPTFTVWNKCLTIVTGLPIPDHLDCTEQRP >ENSMUSP00000078412.5 pep:known chromosome:GRCm38:X:169979451:169990798:1 gene:ENSMUSG00000035299.16 transcript:ENSMUST00000079443.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1 description:midline 1 [Source:MGI Symbol;Acc:MGI:1100537] MNILLLPWGLSFGLCLGSSIEVIRLRKLAQQIANCKQCLERSASLISQAEHSLKENDHAR FLQTAKNITERVSMATASSQVLIPEINLNDTFDTFALDFSREKKLLECLDYLTAPNPPAI REELCTASYDTITVHWTSEDEFSVVSYELQYTIFTGQANVVSLCNSADSWMIVPNIKQNH YTVHGLQSGTKYIFTVKAINQAGSRSSEPGKLKTNSQPFRLDPKSAHRKLKVSHDNLTVE RDESSSKKSHAPERFAGQGSYGVAGNVFIDSGRHYWEVVTSGSTWYAIGLAYRSAPKHEW IGKNAASWALCRCHNHWAVRHDGKETPIAPAPHLRRVGVLLDYDNGSIAFYDALSSVHLH TFHAALAQPVCPTFTVWNKCLTIVTGLPIPDHLDCTEQRP >ENSMUSP00000126746.1 pep:known chromosome:GRCm38:X:169926951:170005736:1 gene:ENSMUSG00000035299.16 transcript:ENSMUST00000171433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1 description:midline 1 [Source:MGI Symbol;Acc:MGI:1100537] METLESELTCPICLELFEDPLLLPCAHSLCFNCAHRILVSHCATNEPVESINAFQCPTCR HVITLSQRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSETRRERAFDANTMSSAEKVLCQ FCDQDPAQDAVKTCVTCEVSYCDECLKATHPNKKPFTGHRLIEPIPDSHIRGLMCLEHED EKVNMYCVTDDQLICALCKLVGRHRDHQVAALSERYDKLKQNLESNLTNLIKRNTELETL LAKLIQTCQHVEVNASRQEAKLTEECDLLIEIIQQRRQIIGTKIKEGKVIRLRKLAQQIA NCKQCLERSASLISQAEHSLKENDHARFLQTAKNITERVSMATASSQVLIPEINLNDTFD TFALDFSREKKLLECLDYLTAPNPPAIREELCTASYDTITVHWTSEDEFSVVSYELQYTI FTGQANVVNVACDGTCLLGSAGLCNSADSWMIVPNIKQNHYTVHGLQSGTKYIFTVKAIN QAGSRSSEPGKLKTNSQPFRLDPKSAHRKLKVSHDNLTVERDESSSKKSHAPERFAGQGS YGVAGNVFIDSGRHYWEVVTSGSTWYAIGLAYRSAPKHEWIGKNAASWALCRCHNHWAVR HDGKETPIAPAPHLRRVGVLLDYDNGSIAFYDALSSVHLHTFHAALAQPVCPTFTVWNKC LTIVTGLPIPDHLDCTEQRP >ENSMUSP00000128176.2 pep:known chromosome:GRCm38:X:169926951:169990798:1 gene:ENSMUSG00000035299.16 transcript:ENSMUST00000163810.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1 description:midline 1 [Source:MGI Symbol;Acc:MGI:1100537] METLESELTCPICLELFEDPLLLPCAHSLCFNCAHRILVSHCATNEPVESINAFQCPTCR HVITLSQRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSETRRERAFDANTMSSAEKVLCQ FCDQDPAQDAVKTCVTCEVSYCDECLKATHPNKKPFTGHRLIEPIPDSHIRGLMCLEHED EKVNMYCVTDDQLICALCKLVGRHRDHQVAALSERYDKLKQNLESNLTNLIKRNTELETL LAKLIQTCQHVEVNASRQEAKLTEECDLLIEIIQQRRQIIGTKIKEGKVIRLRKLAQQIA NCKQCLERSASLISQAEHSLKENDHARFLQTAKNITERVSMATASSQVLIPEINLNDTFD TFALDFSREKKLLECLDYLTAPNPPAIREELCTASYDTITVHWTSEDEFSVVSYELQYTI FTGQANVVNVACDGTCLLGSAGLCNSADSWMIVPNIKQNHYTVHGLQSGTKYIFTVKAIN QAGSRSSEPGKLKTNSQPFRLDPKSAHRKLKVSHDNLTVERDESSSKKSHAPERFAGQGS YGVAGNVFIDSGRHYWEVVTSGSTWYAIGLAYRSAPKHEWIGKNAASWALCRCHNHWAVR HDGKETPIAPAPHLRRVGVLLDYDNGSIAFYDALSSVHLHTFHAALAQPVCPTFTVWNKC LTIVTGLPIPDHLDCTEQRP >ENSMUSP00000107733.1 pep:known chromosome:GRCm38:X:169879622:169990798:1 gene:ENSMUSG00000035299.16 transcript:ENSMUST00000112105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid1 description:midline 1 [Source:MGI Symbol;Acc:MGI:1100537] METLESELTCPICLELFEDPLLLPCAHSLCFNCAHRILVSHCATNEPVESINAFQCPTCR HVITLSQRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSETRRERAFDANTMSSAEKVLCQ FCDQDPAQDAVKTCVTCEVSYCDECLKATHPNKKPFTGHRLIEPIPDSHIRGLMCLEHED EKVNMYCVTDDQLICALCKLVGRHRDHQVAALSERYDKLKQNLESNLTNLIKRNTELETL LAKLIQTCQHVEVNASRQEAKLTEECDLLIEIIQQRRQIIGTKIKEGKVIRLRKLAQQIA NCKQCLERSASLISQAEHSLKENDHARFLQTAKNITERVSMATASSQVLIPEINLNDTFD TFALDFSREKKLLECLDYLTAPNPPAIREELCTASYDTITVHWTSEDEFSVVSYELQYTI FTGQANVVNVACDGTCLLGSAGLCNSADSWMIVPNIKQNHYTVHGLQSGTKYIFTVKAIN QAGSRSSEPGKLKTNSQPFRLDPKSAHRKLKVSHDNLTVERDESSSKKSHAPERFAGQGS YGVAGNVFIDSGRHYWEVVTSGSTWYAIGLAYRSAPKHEWIGKNAASWALCRCHNHWAVR HDGKETPIAPAPHLRRVGVLLDYDNGSIAFYDALSSVHLHTFHAALAQPVCPTFTVWNKC LTIVTGLPIPDHLDCTEQRP >ENSMUSP00000123772.1 pep:known chromosome:GRCm38:3:152714100:152789026:1 gene:ENSMUSG00000039047.17 transcript:ENSMUST00000159899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigk description:phosphatidylinositol glycan anchor biosynthesis, class K [Source:MGI Symbol;Acc:MGI:1913863] MAAPCFLTLRVATLAALALLSLGSSAAGHIEDQAEQFFRSGHTNNWAVLVCTSRFWFNYR HVANTLSVYRSVKRLGIPDSHIVLMLADDMACNARNPKPATVFSHKNMELNVYGDDVEVD YRSYEVTVENFLRVLTGRVPPSTPRSKRLLSDDRSNILIYMTGHGGNGFLKFQDSEEITN IELADAFEQMWQKRRYNELLFIIDTCQGASMYERFYSPNIMALASSQVGEDSLSHQPDPA IGVHLMDRYTFYVLEFLEEINPASQTNMNDLFQVCPKSLCVSTPGHRTDLFQRDPKNVLI TDFFGSVRKVEITTEKISLQWDSQVVDSSSKEDGTAEERMGPLKYAEQLPVAQIIHQKPK PRDWHPPGGFILGLWALIIMVFFKTYGIKHMKFIF >ENSMUSP00000045351.8 pep:known chromosome:GRCm38:3:152714113:152785922:1 gene:ENSMUSG00000039047.17 transcript:ENSMUST00000045029.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigk description:phosphatidylinositol glycan anchor biosynthesis, class K [Source:MGI Symbol;Acc:MGI:1913863] MAAPCFLTLRVATLAALALLSLGSSAAGHIEDQAEQFFRSGHTNNWAVLVCTSRFWFNYR HVANTLSVYRSVKRLGIPDSHIVLMLADDMACNARNPKPATVFSHKNMELNVYGDDVEVD YRSYEVTVENFLRVLTGRVPPSTPRSKRLLSDDRSNILIYMTESAPATPALAFVPLGSSF SAHNRTADLPFSAAHSQILLLKDLLSNSLSHGGNGFLKFQDSEEITNIELADAFEQMWQK RRYNELLFIIDTCQGASMYERFYSPNIMALASSQVGEDSLSHQPDPAIGVHLMDRYTFYV LEFLEEINPASQTNMNDLFQVCPKSLCVSTPGHRTDLFQRDPKNVLITDFFGSVRKVEIT TEKISLQWDSQVVDSSSKEDGTAEERMGPLKYAEQLPVAQIIHQKPKPRDWHPPGGFILG LWALIIMVFFKTYGIKHMKFIF >ENSMUSP00000123899.1 pep:known chromosome:GRCm38:3:152714113:152842921:1 gene:ENSMUSG00000039047.17 transcript:ENSMUST00000162642.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigk description:phosphatidylinositol glycan anchor biosynthesis, class K [Source:MGI Symbol;Acc:MGI:1913863] MAAPCFLTLRVATLAALALLSLGSSAAGHIEDQAEQFFRSGHTNNWAVLVCTSRFWFNYR HVANTLSVYRSVKRLGIPDSHIVLMLADDMACNARNPKPATVFSHKNMELNVYGDDVEVD YRSYEVTVENFLRVLTGRVPPSTPRSKRLLSDDRSNILIYMTGHGGNGFLKFQDSEEITN IELADAFEQMWQKRRYNELLFIIDTCQGASMYERFYSPNIMALASSQVGEDSLSHQPDPA IGVHLMDRYTFYVLEFLEEINPASQTNMNDLFQVCPKSLCVSTPGHRTDLFQRDPKNVLI TDFFGSVRKVEITTEKISLQWDSQVVDSSSKEDGTAEERMGPLKYAEQLPVAQIIHQDVI SATA >ENSMUSP00000123753.1 pep:known chromosome:GRCm38:3:152714120:152980408:1 gene:ENSMUSG00000039047.17 transcript:ENSMUST00000161596.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigk description:phosphatidylinositol glycan anchor biosynthesis, class K [Source:MGI Symbol;Acc:MGI:1913863] MAAPCFLTLRVATLAALALLSLGSSAAGHIEDQAEQFFRSGHTNNWAVLVCTSRFWFNYR HVANTLSVYRSVKRLGIPDSHIVLMLADDMACNARNPKPATVFSHKNMELNVYGDDVEVD YRSYEVTVENFLRVLTGRVPPSTPRSKRLLSDDRSNILIYMTGHGGNGFLKFQDSEEITN IELADAFEQMWQKRRYNELLFIIDTCQGASMYERFYSPNIMALASSQVGEDSLSHQPDPA IGVHLMDRYTFYVLEFLEEINPASQTNMNDLFQVCPKSLCVSTPGHRTDLFQRDPKNVLI TDFFGSVRKVEITTEKISLQWDSQVVDSSSKEDGTAEERMGPLKYAEQLPVAQIIHQVAP RG >ENSMUSP00000142966.1 pep:known chromosome:GRCm38:3:152714123:152785653:1 gene:ENSMUSG00000039047.17 transcript:ENSMUST00000200224.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigk description:phosphatidylinositol glycan anchor biosynthesis, class K [Source:MGI Symbol;Acc:MGI:1913863] MAAPCFLTLRVATLAALALLSLGSSAAGHIEDQAEQFFRSGHTNNWAVLVCTSRFWFNYR HVANTLSVYRSVKRLGIPDRSWREWFLEIPRF >ENSMUSP00000142742.1 pep:known chromosome:GRCm38:3:152738262:152785848:1 gene:ENSMUSG00000039047.17 transcript:ENSMUST00000051510.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pigk description:phosphatidylinositol glycan anchor biosynthesis, class K [Source:MGI Symbol;Acc:MGI:1913863] XRTWSSMCMETMWKWTTEAMRSWREWFLEIPRF >ENSMUSP00000145012.1 pep:known chromosome:GRCm38:6:128375503:128407475:1 gene:ENSMUSG00000108011.1 transcript:ENSMUST00000204223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-114E15.17 MANIPKKTSLQKSSLSQVSRTREPFLKKTMSTGQEARRDEGDSRKEQEASLRDRAHLSQQ RQLKQATQFLHKDSADLLPLDSLKRLGTSKDLQPHSVIQRRLVEGNQRRLQGESPLLQAL IRGHDSSRTSATQVPALLVNCKCQDQMLRVAVDTGTQHNQISAGCLRRLGLGKRVPKAPG GDVAPEPPTQVEQLELELGQETVACSAQVV >ENSMUSP00000032182.3 pep:known chromosome:GRCm38:6:91489305:91515888:-1 gene:ENSMUSG00000030094.8 transcript:ENSMUST00000032182.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpc description:xeroderma pigmentosum, complementation group C [Source:MGI Symbol;Acc:MGI:103557] MAPKRTADGRRRKRGQKTEDNKVARHEESVADDFEDEKQKPRRKSSFPKVSQGKRKRGCS DPGDPTNGAAKKKVAKATAKSKNLKVLKEEALSDGDDFRDSPADCKKAKKHPKSKVVDQG TDEDDSEDDWEEVEELTEPVLDMGENSATSPSDMPVKAVEIEIETPQQAKERERSEKIKM EFETYLRRMMKRFNKEVQENMHKVHLLCLLASGFYRNSICRQPDLLAIGLSIIPIRFTKV PLQDRDAYYLSNLVKWFIGTFTVNADLSASEQDDLQTTLERRIAIYSARDNEELVHIFLL ILRALQLLTRLVLSLQPIPLKSAVTKGRKSSKETSVEGPGGSSELSSNSPESHNKPTTSR RIKEEETLSEGRGKATARGKRGTGTAGSRQRRKPSCSEGEEAEQKVQGRPHARKRRVAAK VSYKEESESDGAGSGSDFEPSSGEGQHSSDEDCEPGPRKQKRASAPQRTKAGSKSASKTQ RGSQCEPSSFPEASSSSSGCKRGKKVSSGAEEMADRKPAGVDQWLEVYCEPQAKWVCVDC VHGVVGQPVACYKYATKPMTYVVGIDSDGWVRDVTQRYDPAWMTATRKCRVDAEWWAETL RPYRSLLTEREKKEDQEFQAKHLDQPLPTSISTYKNHPLYALKRHLLKFQAIYPETAAVL GYCRGEAVYSRDCVHTLHSRDTWLKQARVVRLGEVPYKMVKGFSNRARKARLSEPQLHDH NDLGLYGHWQTEEYQPPIAVDGKVPRNEFGNVYLFLPSMMPVGCVQMTLPNLNRVARKLG IDCVQAITGFDFHGGYCHPVTDGYIVCEEFRDVLLAAWENEQAIIEKKEKEKKEKRALGN WKLLVRGLLIRERLKLRYGAKSEAAAPHAAGGGLSSDEEEGTSSQAEAARVLAASWPQNR EDPEQKSEYTKMTRKRRAAEASHLFPFEKL >ENSMUSP00000145777.1 pep:known chromosome:GRCm38:6:91492273:91515795:-1 gene:ENSMUSG00000030094.8 transcript:ENSMUST00000150279.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpc description:xeroderma pigmentosum, complementation group C [Source:MGI Symbol;Acc:MGI:103557] XPKRTADGRRRKRGQKTEDNKVARHEESVADDFEDEKQKPRRKSSFPKVSQGKRKRGCSD PGDPTNGAAKKKVAKATAKSKNLKVLKEEALSDGDDFRDSPADCKKAKKHPKSKVVDQGT DEDDSEDDWEEVEELTEPVLDMGENSATSPSDMPVKAVEIEIETPQQAKERERSEKIKME FETYLRRMMKRFNKEVQENMHKVHLLCLLASGFYRNSICRQPDLLAIGLSIIPIRFTKVP LQDRDAYYLSNLVKWFIGTFTVNADLSASEQDDLQTTLERRIAIYSARDNEELVHIFLLI LRALQLLTRLVLSLQPIPLKSAVTKGRKSSKETSVEGPGGSSELSSNSPESHNKPTTSRR IKEEETLSEGRGKATARGKRGTGTAGSRQRRKPSCSEGEEAEQKVQGRPHARKRRVAAKV SYKEESESDGAGSGSDFEPSSGEGQHSSDEDCEPGPRKQKRASAPQRTKAGSKSASKTQR GSQCEPSSFPEASSSSSGCKRGKKVSSGAEEMADRKPAGVDQWLEVYCEPQAKWVCVDCV HGVVGQPVACYKYATKPMTYVVGIDSDGWVRDVTQRYDPAWMTATRKCRVDAEWWAETLR PYRSLLTEREKKEDQEFQAKHLDQPLPTSISTYKNHPLYALKRHLLKFQAIYPETAAVLG YCRGEAVYSRCMRHPPCLPGGLVPYSRTIGILSHEDL >ENSMUSP00000145683.1 pep:known chromosome:GRCm38:6:91506916:91515884:-1 gene:ENSMUSG00000030094.8 transcript:ENSMUST00000206476.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpc description:xeroderma pigmentosum, complementation group C [Source:MGI Symbol;Acc:MGI:103557] MAPKRTADGRRRKRGQKTEDNKVARHEESVAGTHQLTARRQRNTQKARWWTKALMKMTVR MTGRRWKSLLNLCWTWEKILPP >ENSMUSP00000028794.3 pep:known chromosome:GRCm38:2:131069220:131086765:-1 gene:ENSMUSG00000027322.11 transcript:ENSMUST00000028794.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglec1 description:sialic acid binding Ig-like lectin 1, sialoadhesin [Source:MGI Symbol;Acc:MGI:99668] MHLGTGMCVLFSLLLLASVFSLGQTTWGVSSPKNVQGLSGSCLLIPCIFSYPADVPVSNG ITAIWYYDYSGKRQVVIHSGDPKLVDKRFRGRAELMGNMDHKVCNLLLKDLKPEDSGTYN FRFEISDSNRWLDVKGTTVTVTTDPSPPTITIPEELREGMERNFNCSTPYLCLQEKQVSL QWRGQDPTHSVTSSFQSLEPTGVYHQTTLHMALSWQDHGRTLLCQFSLGAHSSRKEVYLQ VPHAPKGVEILLSSSGRNILPGDPVTLTCRVNSSYPAVSAVQWARDGVNLGVTGHVLRLF SAAWNDSGAYTCQATNDMGSLVSSPLSLHVFMAEVKMNPAGPVLENETVTLLCSTPKEAP QELRYSWYKNHILLEDAHASTLHLPAVTRADTGFYFCEVQNAQGSERSSPLSVVVRYPPL TPDLTTFLETQAGLVGILHCSVVSEPLATVVLSHGGLTLASNSGENDFNPRFRISSAPNS LRLEIRDLQPADSGEYTCLAVNSLGNSTSSLDFYANVARLLINPSAEVVEGQAVTLSCRS GLSPAPDTRFSWYLNGALLLEGSSSSLLLPAASSTDAGSYYCRTQAGPNTSGPSLPTVLT VFYPPRKPTFTARLDLDTSGVGDGRRGILLCHVDSDPPAQLRLLHKGHVVATSLPSRCGS CSQRTKVSRTSNSLHVEIQKPVLEDEGVYLCEASNTLGNSSAAASFNAKATVLVITPSNT LREGTEANLTCNVNQEVAVSPANFSWFRNGVLWTQGSLETVRLQPVARTDAAVYACRLLT EDGAQLSAPVVLSVLYAPDPPKLSALLDVGQGHMAVFICTVDSYPLAHLSLFRGDHLLAT NLEPQRPSHGRIQAKATANSLQLEVRELGLVDSGNYHCEATNILGSANSSLFFQVRGAWV QVSPSPELREGQAVVLSCQVPTGVSEGTSYSWYQDGRPLQESTSSTLRIAAISLRQAGAY HCQAQAPDTAIASLAAPVSLHVSYTPRHVTLSALLSTDPERLGHLVCSVQSDPPAQLQLF HRNRLVASTLQGADELAGSNPRLHVTVLPNELRLQIHFPELEDDGTYTCEASNTLGQASA AADFDAQAVRVTVWPNATVQEGQQVNLTCLVWSTHQDSLSYTWYKGGQQLLGARSITLPS VKVLDATSYRCGVGLPGHAPHLSRPVTLDVLHAPRNLRLTYLLETQGRQLALVLCTVDSR PPAQLTLSHGDQLVASSTEASVPNTLRLELQDPRPSNEGLYSCSAHSPLGKANTSLELLL EGVRVKMNPSGSVPEGEPVTVTCEDPAALSSALYAWFHNGHWLQEGPASSLQFLVTTRAH AGAYFCQVHDTQGTRSSRPASLQILYAPRDAVLSSFRDSRTRLMVVIQCTVDSEPPAEMV LSHNGKVLAASHERHSSASGIGHIQVARNALRLQVQDVTLGDGNTYVCTAQNTLGSISTT QRLLTETDIRVTAEPGLDVPEGTALNLSCLLPGGSGPTGNSSFTWFWNRHRLHSAPVPTL SFTPVVRAQAGLYHCRADLPTGATTSAPVMLRVLYPPKTPTLIVFVEPQGGHQGILDCRV DSEPLAILTLHRGSQLVASNQLHDAPTKPHIRVTAPPNALRVDIEELGPSNQGEYVCTAS NTLGSASASAYFGTRALHQLQLFQRLLWVLGFLAGFLCLLLGLVAYHTWRKKSSTKLNED ENSAEMATKKNTIQEEVVAAL >ENSMUSP00000105856.1 pep:known chromosome:GRCm38:2:131072054:131086765:-1 gene:ENSMUSG00000027322.11 transcript:ENSMUST00000110227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglec1 description:sialic acid binding Ig-like lectin 1, sialoadhesin [Source:MGI Symbol;Acc:MGI:99668] MHLGTGMCVLFSLLLLASVFSLGQTTWGVSSPKNVQGLSGSCLLIPCIFSYPADVPVSNG ITAIWYYDYSGKRQVVIHSGDPKLVDKRFRGRAELMGNMDHKVCNLLLKDLKPEDSGTYN FRFEISDSNRWLDVKGTTVTVTTDPSPPTITIPEELREGMERNFNCSTPYLCLQEKQVSL QWRGQDPTHSVTSSFQSLEPTGVYHQTTLHMALSWQDHGRTLLCQFSLGAHSSRKEVYLQ VPHAPKGVEILLSSSGRNILPGDPVTLTCRVNSSYPAVSAVQWARDGVNLGVTGHVLRLF SAAWNDSGAYTCQATNDMGSLVSSPLSLHVFMAEVKMNPAGPVLENETVTLLCSTPKEAP QELRYSWYKNHILLEDAHASTLHLPAVTRADTGFYFCEVQNAQGSERSSPLSVVVRYPPL TPDLTTFLETQAGLVGILHCSVVSEPLATVVLSHGGLTLASNSGENDFNPRFRISSAPNS LRLEIRDLQPADSGEYTCLAVNSLGNSTSSLDFYANVARLLINPSAEVVEGQAVTLSCRS GLSPAPDTRFSWYLNGALLLEGSSSSLLLPAASSTDAGSYYCRTQAGPNTSGPSLPTVLT VFYPPRKPTFTARLDLDTSGVGDGRRGILLCHVDSDPPAQLRLLHKGHVVATSLPSRCGS CSQRTKVSRTSNSLHVEIQKPVLEDEGVYLCEASNTLGNSSAAASFNAKATVLVITPSNT LREGTEANLTCNVNQEVAVSPANFSWFRNGVLWTQGSLETVRLQPVARTDAAVYACRLLT EDGAQLSAPVVLSVLYAPDPPKLSALLDVGQGHMAVFICTVDSYPLAHLSLFRGDHLLAT NLEPQRPSHGRIQAKATANSLQLEVRELGLVDSGNYHCEATNILGSANSSLFFQVRGAWV QVSPSPELREGQAVVLSCQVPTGVSEGTSYSWYQDGRPLQESTSSTLRIAAISLRQAGAY HCQAQAPDTAIASLAAPVSLHVSYTPRHVTLSALLSTDPERLGHLVCSVQSDPPAQLQLF HRNRLVASTLQGADELAGSNPRLHVTVLPNELRLQIHFPELEDDGTYTCEASNTLGQASA AADFDAQAVRVTVWPNATVQEGQQVNLTCLVWSTHQDSLSYTWYKGGQQLLGARSITLPS VKVLDATSYRCGVGLPGHAPHLSRPVTLDVLHAPRNLRLTYLLETQGRQLALVLCTVDSR PPAQLTLSHGDQLVASSTEASVPNTLRLELQDPRPSNEGLYSCSAHSPLGKANTSLELLL EGVRVKMNPSGSVPEGEPVTVTCEDPAALSSALYAWFHNGHWLQEGPASSLQFLVTTRAH AGAYFCQVHDTQGTRSSRPASLQILYAPRDAVLSSFRDSRTRLMVVIQCTVDSEPPAEMV LSHNGKVLAASHERHSSASGIGHIQVARNALRLQVQDVTLGDGNTYVCTAQNTLGSISTT QRLLTETDIRVTAEPGLDVPEGTALNLSCLLPGGSGPTGNSSFTWFWNRHRLHSAPVPTL SFTPVVRAQAGLYHCRADLPTGATTSAPVMLRVLCEYEPISALCLSLHLTGPYQAFSSAQ SKGFIGKGLRTLASSLAGCMWFVSMLGYPALKWRILLPFWDEYRR >ENSMUSP00000105855.1 pep:known chromosome:GRCm38:2:131083573:131086765:-1 gene:ENSMUSG00000027322.11 transcript:ENSMUST00000110226.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglec1 description:sialic acid binding Ig-like lectin 1, sialoadhesin [Source:MGI Symbol;Acc:MGI:99668] MHLGTGMCVLFSLLLLASVFSLGQTTWGVSSPKNVQGLSGSCLLIPCIFSYPADVPVSNG ITAIWYYDYSGKRQVVIHSGDPKLVDKRFRGRAELMGNMDHKVCNLLLKDLKPEDSGTYN FRFEISDSNRWLDVKGTTVTVTTDPSPPTITIPEELREGMERNFNCSTPYLCLQEKQVSL QWRGQDPTHSVTSSFQSLEPTGVYHQTTLHMALSWQDHGRTLLCQFSLGAHSSRKEVYLQ VPHAPKGVEILLSSSGRNILPGDPVTLTCRVNSSYPAVSAVQWARDGVNLGVTGHVLRLF SAAWNDSGAYTCQATNDMGSLVSSPLSLHVFSESWMRLRGPVSGKH >ENSMUSP00000116575.1 pep:known chromosome:GRCm38:2:105701027:105904564:-1 gene:ENSMUSG00000027167.11 transcript:ENSMUST00000122965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp4 description:elongator acetyltransferase complex subunit 4 [Source:MGI Symbol;Acc:MGI:1925016] MAAADTCGAGTLSSRSVASEAGQGGTSSFQRKGKASGGPGGGPRLLSIAGTRPSVRNGQL LVSTGLPALDQLLGGGLAVGTLLLIEEDKYNIYSPLLFKYFMAEGIINGHTLLVASAKEN PAKILQELPAPLLDDNSKKELEDVHSAKTPEPNVNMKIAWRYQLQPKMEVGPVSSSRFGH YYDLSKRIPWELLQSSKWHGFFLPEHISPDLKGESCFLSCGYMRLLEFIQKSVYAEGFDG ANPQKKQKNILRIGIQNLGSPLWGDDICCKENCDNNHRLTKFLYILRGLLRSSLSACIIT MPAHLVQNKSITTRVRNLSDTVVGLESFIGSERETNPLYKDYHGLIHIRKIPRLNNLTCD ESDVKDLAFKLKRKLFTIERLHLPPDLSDTVGRSSKQDLAASTARLGAGCSSMAEGKKHL DF >ENSMUSP00000028588.3 pep:known chromosome:GRCm38:2:105731448:105865982:-1 gene:ENSMUSG00000027167.11 transcript:ENSMUST00000028588.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp4 description:elongator acetyltransferase complex subunit 4 [Source:MGI Symbol;Acc:MGI:1925016] ENPAKILQELPAPLLDDNSKKELEDVHSAKTPEPNVNMKIAWRYQLQPKMEVGPVSSSRF GHYYDLSKRIPWELLQSSKWHGFFLPEHISPDLKGESCFLSCGYMRLLEFIQKSVYAEGF DGANPQKKQKNILRIGIQNLGSPLWGDDICCKENCDNNHRLTKFLYILRGLLRSSLSACI ITMPAHLVQNKSITTRVRNLSDTVVGLESFIGSERETNPLYKDYHGLIHIRKIPRLNNLT CDESDVKDLAFKLKRKLFTIESTGSIVAYSTCSPSAHT >ENSMUSP00000021605.7 pep:known chromosome:GRCm38:12:101834043:101913267:-1 gene:ENSMUSG00000021188.14 transcript:ENSMUST00000021605.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip11 description:thyroid hormone receptor interactor 11 [Source:MGI Symbol;Acc:MGI:1924393] MSSWLGGLGSGLGQSLGQVGGSLASLTGQISNFTKDMLLEGTEDVPADFPNSGREENEAT HSVLRSENERLKKLYTDLEEKHEASELQIKQQSSSYRSQLQQKEEEINHLKARQLALQDE LLRLQSAAQSAHLGSGSAPAASASSSFSYGVSHRVSAFHEDDMDFGDVISSQQEINRLSN EVSRLESELGHWRHIAQTKVQGAQSSDQTEICKLQNIIKELKQNRSQDLDDHQHELSALQ NAHQQKLTEISRRHREELSDYEERIEELENLLQQGGSGVTVTDHSKVYEMQNTIQILQME KVESTKQIEDLENKIKEIHKRLSSAEHDQEVWKKEQERLEVEKREMTEQCERLKLELSEA QQSALRQSDAAVEEETILPHSSSVAEVLRLQQALTDAENEIMRLRSLNQDISLAEDNQKL QMCVQTLEKEKSLLSQEKEELQISLSKLSSEYEVIKSTATRDLDLFSQVHDLKHNLEAKE QELNQSIHENEILMAELEELDKQNQEATKHVILIKDQLSKQQSEGDSVIKKLKEELAGEK QRTHQLEDDKMNIIKELTVQKEKLTHSEQALSDLQLTKQKLEDKVEDLVDQLSKSEKNNF DIQKENHELREHIRQNEEELSTVRSELTQSQTQGSSRNVKDDLLKERETQVQNLKQNLSE VEQLNEHLEQVAFDLRTENEELLEAYEEVRNQLEESVAGNKQISLEKTAMLEWEKAPLET ELCRAEKRVLEEERKYEQTVQELSSACSPDTSALQLEQERLIQLNQEKDFEIAELKKSIE QMDTDHKRTKETLSSSLEEQKQLTQLINEKEICIVKLKEKSSELQKELDKCAQTLRKNET LRQTIEEKDRSLGSMKEENNHLQEELERLREQQSRVVPAPEPRTLDSTTELESELSQLHR IKGHLEEEIKHHQKMIEDQNQSKLQLLQSLQEQKKELDEFKYQHEQMSISHTRLFLEKDE EIKNLQKTIEQIKAQLHEERQDSQTENSDIFQETKVQSLSIEHGSEKHDLSKAETERLVK GIKERELEIKLLNEKNTSLTKQIDQLSKDEVGKLTQIIQQKDLEIQALHARISSASYSQD VVYLQQQLHAYAMEREKVMVILNEKTRENSQLKTEYHKVIDIISAKEAALIKLQDENKKM STRFESSGQDMFKETIQNLSRIIREKDIEIDALSQKCQTLLTVLQTSGTGSEAGGVNSNQ FEELLQERDKLKQQVKKMEEWKQQVMTTVQNMQHESAQLQEELHQLQAQVSVDSDNNSKL QVDYTGLIQSYEQNETKLKNFGQELAQVQHSIGQLCNTKDLLLGKLDIMSPQLSSGSSLT SQAAEPLRASQSSEPHESSQLLQQEVDDLRKSLQEKDATIRTLQENNHRLSDSVAASSEV ERKEHEQADSEIKQLKEKQEVLQNLLKEKDLLIKAKSDQLHSSNENLANKVNENELLRQA VTNLKERILILEMDISKLKGENEKIVDASKGKETEYQALQETNMKFSMMLREKEFECHSM REKALAFEQLLKEKEQGKAGELNQLLNAVKSMQEKTVTFQQERDQVMLALKQKQMETSTL QNEVQRLRDKESRLNQELQRLRDHLLESEDSHTREALAAEDREAKLRKKVSVLEEKLVSS SNAMENASHQASVQVESLQEQLNMVSKQRDETALQLSVSQEQVKQYALSLANLQMVLEHF QQEEKAMYSAELEKQNHLLAEWKKKAESLEGKVLSLQERLDEANAALDSASRLTEQLDLK EEQIEELKKQNELHQEMLDDAQKKLMSLVNSTEGKVDKVLMRNLFIGHFHTPKHQRHEVL RLMGSILGVKREEMEQLFAEDQGGVTRWMAGWLGGGSKSVPNTPLRPNQQSVFNSSFSEL FVKFLETESHPSVPPPKLSVHGLKPLDSPGRRKADTGIPESFRDTAESRAGRRTDVNPFL APRSAAVPLINPAGHGPGGPGHLLLKPISDVLPTFTPLPVSPDNSAGVVLKDLLKQ >ENSMUSP00000134976.1 pep:known chromosome:GRCm38:12:101837546:101894316:-1 gene:ENSMUSG00000021188.14 transcript:ENSMUST00000177183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip11 description:thyroid hormone receptor interactor 11 [Source:MGI Symbol;Acc:MGI:1924393] ELSDYEERIEELENLLQQGGSGVTVTDHSKVYEMQNTIQILQMEKVESTKQIEDLENKIK EIHKRLSSAEHDQEVWKKEQERLEVEKREMTEQCERLKLELSEAQQSALRQSDAAVEEET ILPHSSSVAEVLRLQQALTDAENEIMRLRSLNQEELQISLSKLSSEYEVIKSTATRDLDL FSQVHDLKHNLEAKEQELNQSIHENEILMAELEELDKQNQEATKHVILIKDQLSKQQSEG DSVIKKLKEELAGEKQRTHQLEDDKMNIIKELTVQKEKLTHSEQALSDLQLTKQKLEDKV EDLVDQLSKSEKNNFDIQKENHELREHIRQNEEELSTVRSELTQSQTQGSSRNVKDDLLK ERETQVQNLKQNLSEVEQLNEHLEQVAFDLRTENEELLEAYEEVRNQLEESVAGNKQISL EKTAMLEWEKAPLETELCRAEKRVLEEERKYEQTVQELSSACSPDTSALQLEQERLIQLN QEKDFEIAELKKSIEQMDTDHKRTKETLSSSLEEQKQLTQLINEKEICIVKLKEKSSELQ KELDKCAQTLRKNETLRQTIEEKDRSLGSMKEENNHLQEELERLREQQSRVVPAPEPRTL DSTTELESELSQLHRIKGHLEEEIKHHQKMIEDQNQSKLQLLQSLQEQKKELDEFKYQHE QMSISHTRLFLEKDEEIKNLQKTIEQIKAQLHEERQDSQTENSDIFQETKVQSLSIEHGS EKHDLSKAETERLVKGIKERELEIKLLNEKNTSLTKQIDQLSKDEVGKLTQIIQQKDLEI QALHARISSASYSQDVVYLQQQLHAYAMEREKVMVILNEKTRENSQLKTEYHKVIDIISA KEAALIKLQDENKKMSTRFESSGQDMFKETIQNLSRIIREKDIEIDALSQKCQTLLTVLQ TSGTGSEAGGVNSNQFEELLQERDKLKQQVKKMEEWKQQVMTTVQNMQHESAQLQEELHQ LQAQVSVDSDNNSKLQVDYTGLIQSYEQNETKLKNFGQELAQVQHSIGQLCNTKDLLLGK LDIMSPQLSSGSSLTSQAAEPLRASQSSEPHESSQLLQQEVDDLRKSLQEKDATIRTLQE NNHRLSDSVAASSEVERKEHEQADSEIKQLKEKQEVLQNLLKEKDLLIKAKSDQLHSSNE NLANKVNENELLRQAVTNLKERILILEMDISKLKGENEKIVDASKGKETEYQALQETNMK FSMMLREKEFECHSMREKALAFEQLLKEKEQGKAGELNQLLNAVKSMQEKTVTFQQERDQ VMLALKQKQMETSTLQNEVQRLRDKESRLNQELQRLRDHLLESEDSHTREALAAEDREAK LRKKVSVLEEKLVSSSNAMENASHQASVQVESLQEQLNMVSKQRDETALQLSVSQEQVKQ YALSLANLQMVLEHFQQEEKAMYSAELEKQNHLLAEWKKKAESLEGKVLSLQERLDEANA ALDSASRLTEQLDLKEEQIEELKKQNELHQEMLDDAQKKLMSLVNSTEGKVDKVLMRNLF IGHFHTPKHQRHEVLRLMGSILGVKREEMEQLFAEDQGGVTRWMAGWLGGGSKSVPNTPL RPNQQSVFNSSFSELFVKFLETESHPSVPPPKLSVHGLKPLDSPGRRKADTGIPESFRDT AESRAGRRTDVNPFLAPRSAAVPLINPAGHGPGGPGHLLLKPISDVLPTFTPLPVSPDNS AGVVLKDLLKQ >ENSMUSP00000135128.1 pep:known chromosome:GRCm38:12:101873393:101883607:-1 gene:ENSMUSG00000021188.14 transcript:ENSMUST00000177480.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trip11 description:thyroid hormone receptor interactor 11 [Source:MGI Symbol;Acc:MGI:1924393] XEVLQNLLKEKDLLIKAKSDQLHSSNENLANKVNENELLRQAVTNLKERILILEMDISKL KGENEKIVDASKGKETEYQALQETNMKFSMMLREKEFECHSMREKALAFEQLLKEKEQLN QCRRRQLRFSRKETKLCWP >ENSMUSP00000135669.1 pep:known chromosome:GRCm38:12:101886220:101913123:-1 gene:ENSMUSG00000021188.14 transcript:ENSMUST00000177536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip11 description:thyroid hormone receptor interactor 11 [Source:MGI Symbol;Acc:MGI:1924393] MSSWLGGLGSGLGQSLGQVGGSLASLTGQISNFTKDMLLEGTEDVPDFPNSGREENEATH SVLRSENERLKKLYTDLEEKHEASELQIKQQSSSYRSQLQQKEEEINHLKARQLALQDEL LRLQSAAQSAHLGSGSAPAASASSSFSYGVSHRVSAFHEDDMDFGDVISSQQEINRLSNE VSRLESELGHWRHIAQTKVQGAQSSDQTEICKLQNIIKELKQNRSQDLDDHQHELSALQN AHQQKLTEISRRHREELSDYEERIEELENLLQQGGSGVTVTDHSKVYEMQNTIQILQMEK VESTKQIEDLENKIKEIHKRLSSAEHDQEVWKKEQERLEVEKREMTEQCERLKLELSEAQ QSALRQSDAAVEEETILPHSSSVAEVLRLQQALTDAENEIMRLRSLNQDISLAEDNQKLQ MCVQTLEKEKSLLSQEKEELQISLSKLSSEYEVIKSTATRDLDLFSQVHDLKHNLEAKEQ ELNQSIHENEILMAELEELDKQNQEATKHVILIKDQLSKQQSEGDSV >ENSMUSP00000134992.1 pep:known chromosome:GRCm38:12:101889746:101913140:-1 gene:ENSMUSG00000021188.14 transcript:ENSMUST00000176728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip11 description:thyroid hormone receptor interactor 11 [Source:MGI Symbol;Acc:MGI:1924393] MSSWLGGLGSGLGQSLGQVGGSLASLTGQISNFTKDMLLEGTEDVPADFPNSGREENEAT HSVLRSENERLKKLYTDLEEKHEASELQIKQQSSSYRSQLQQKEEEINHLKARQLALQDE LLRLQSAAQSAHLGSGSAPAASASSSFSYGVSHRVSAFHEDDMDFGDVISSQQEINRLSN EVSRLESELGHWRHIAQTKVQGAQSSDQTEICKLQNIIKELKQNRSQDLDDHQHELSALQ NAHQQKLTEISRRHREEWLRSYDAENEIMRLRSLNQDISLAEDNQKLQMCV >ENSMUSP00000023918.6 pep:known chromosome:GRCm38:1:151344477:151364422:1 gene:ENSMUSG00000023150.14 transcript:ENSMUST00000023918.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ivns1abp description:influenza virus NS1A binding protein [Source:MGI Symbol;Acc:MGI:2152389] MIPNGYLMFEDENFIESSVAKLNALRKSGQFCDVRLQVCGHEMLAHRAVLACCSPYLFEI FNSDSDPHGVSHVKLDDLNPEAVEVLLNYAYTAQLKADKELVKDVYSAAKKLKMDRVKQV CGDYLLSRMDVTSCISYRNFASCMGDSRLLNKVDAYIQEHLLQISEEEEFLKLPRLKLEV MLEDNVCLPSNGKLYTKVINWVQRSIWENGDSLEELMEEVQTLYYSADHKLLDGNPLDGQ AEVFGSDDDHIQFVQKKPPRENGHKQISGSSTGCLSSPNASMQSPKHEWKIVASEKTSNN TYLCLAVLDSTFCVIFLHGRNSPQSSPTSTPKLSKSLSFEMQPDELLEKPMSPMQYARSG LGTAEMNGKLIAAGGYNREECLRTVECYDPHTDHWSFLAPMRTPRARFQMAVLMGQLYVV GGSNGHSDDLSCGEMYDPNIDDWTPVPELRTNRCNAGVCALNGKLYIVGGSDPYGQKGLK NCDVFDPVTKSWTSCAPLNIRRHQSAVCELGGYLYIIGGAESWNCLNTVERYNPENNTWT LIAPMNVARRGAGVAVLDGKLFVGGGFDGSHAISCVEMYDPTRNEWKMMGNMTSPRSNAG ITTVGNTIYAVGGFDGNEFLNTVEVYNPQSNEWSPYTKIFQF >ENSMUSP00000107518.3 pep:known chromosome:GRCm38:1:151344509:151356799:1 gene:ENSMUSG00000023150.14 transcript:ENSMUST00000111887.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ivns1abp description:influenza virus NS1A binding protein [Source:MGI Symbol;Acc:MGI:2152389] MIPNGYLMFEDENFIESSVAKLNALRKSGQFCDVRLQVCGHEMLAHRAVLACCSPYLFEI FNSDSDPHGVSHVKLDDLNPEAVEVLLNYAYTAQLKADKELVKDVYSAAKKLKMDRVKQV CGDYLLSRMDVTSCISYRNFASCMGDSRLLNKVDAYIQEHLLQISEEEEFLKLPRLKLEV MLEDNVCLPSNGKLYTKVINWVQRSIWENGDSLEELMEEVY >ENSMUSP00000095150.1 pep:known chromosome:GRCm38:1:151344518:151364415:1 gene:ENSMUSG00000023150.14 transcript:ENSMUST00000097543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ivns1abp description:influenza virus NS1A binding protein [Source:MGI Symbol;Acc:MGI:2152389] MIPNGYLMFEDENFIESSVAKLNALRKSGQFCDVRLQVCGHEMLAHRAVLACCSPYLFEI FNSDSDPHGVSHVKLDDLNPEAVEVLLNYAYTAQLKADKELVKDVYSAAKKLKMDRVKQV CGDYLLSRMDVTSCISYRNFASCMGDSRLLNKVDAYIQEHLLQISEEEEFLKLPRLKVQT LYYSADHKLLDGNPLDGQAEVFGSDDDHIQFVQKKPPRENGHKQISGSSTGCLSSPNASM QSPKHEWKIVASEKTSNNTYLCLAVLDSTFCVIFLHGRNSPQSSPTSTPKLSKSLSFEMQ PDELLEKPMSPMQYARSGLGTAEMNGKLIAAGGYNREECLRTVECYDPHTDHWSFLAPMR TPRARFQMAVLMGQLYVVGGSNGHSDDLSCGEMYDPNIDDWTPVPELRTNRCNAGVCALN GKLYIVGGSDPYGQKGLKNCDVFDPVTKSWTSCAPLNIRRHQSAVCELGGYLYIIGGAES WNCLNTVERYNPENNTWTLIAPMNVARRGAGVAVLDGKLFVGGGFDGSHAISCVEMYDPT RNEWKMMGNMTSPRSNAGITTVGNTIYAVGGFDGNEFLNTVEVYNPQSNEWSPYTKIFQF >ENSMUSP00000140708.1 pep:known chromosome:GRCm38:1:151344578:151363365:1 gene:ENSMUSG00000023150.14 transcript:ENSMUST00000186745.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ivns1abp description:influenza virus NS1A binding protein [Source:MGI Symbol;Acc:MGI:2152389] MIPNGYLMFEDENFIESSVAKLNALRKSGQFCDVRLQVCGHEMLAHRAVLACCSPYLFEI FNSDSDPHGVSHVKLDDLNPEAVEVLLNYAYTAQLKADKELVKDVYSAAKKLKMDRVKQV CGDYLLSRMDVTSCISYRNFASCMGDSRLLNKVDAYIQEHLLQISEEEEFLKLPRLKLEV MLEDNVCLPSNGKLYTKVINWVQRSIWENGDSLEELMEEVY >ENSMUSP00000140332.1 pep:known chromosome:GRCm38:1:151361247:151362034:1 gene:ENSMUSG00000023150.14 transcript:ENSMUST00000190872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ivns1abp description:influenza virus NS1A binding protein [Source:MGI Symbol;Acc:MGI:2152389] WTSCAPLNIRRHQSAVCELGGYLYIIGGAESWNCLNTVERYNPENNTWTLIAPMNVARRG AGVAVLDGEYPGLERAK >ENSMUSP00000069798.1 pep:known chromosome:GRCm38:X:169776378:169779635:-1 gene:ENSMUSG00000055357.8 transcript:ENSMUST00000068874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933400A11Rik description:RIKEN cDNA 4933400A11 gene [Source:MGI Symbol;Acc:MGI:1913997] MANRKDKASDEKKIDIASKFIKQAPPGEFKEVFHDIRQLVNNDNLLKKRIGQAIAQYNMH QFTPVRIEGYDDHVLVTEHGHLGNNRFFDPRNRVSFKFDHLKKTISNLQLGLTDGNLTYL RESCDKALKAYMKQYYSTGFSTVYGKTIQGKKTIIACIESHQFQPQTFWNGSWRSQWKLT ITPPTAQVIGMLKSHVHYYENGNIQLVVHKDVHESLTVINEDQTAKVFIKIIEMVENGYQ AALNENYHTISCTTFKALRRQLPITYHKIDWNKILSYKVCKELKLKHA >ENSMUSP00000107730.1 pep:known chromosome:GRCm38:X:169776425:169779557:-1 gene:ENSMUSG00000055357.8 transcript:ENSMUST00000112102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933400A11Rik description:RIKEN cDNA 4933400A11 gene [Source:MGI Symbol;Acc:MGI:1913997] MANRKDKASDEKKIDIASKFIKQAPPGEFKEVFHDIRQLVNNDNLLKKRIGQAIAQYNMH QFTPVRIEGYDDHVLVTEHGHLGNNRFFDPRNRVSFKFDHLKKTISNLQLGLTDGNLTYL RESCDKALKAYMKQYYSTGFSTVYGKTIQGKKTIIACIESHQFQPQTFWNGSWRSQWKLT ITPPTAQVIGMLKSHVHYYENGNIQLVVHKDVHESLTVINEDQTAKVFIKIIEMVENGYQ AALNENYHTISCTTFKALRRQLPITYHKIDWNKILSYKVCKELKLKHA >ENSMUSP00000070781.1 pep:known chromosome:GRCm38:X:169776257:169779592:-1 gene:ENSMUSG00000055357.8 transcript:ENSMUST00000068894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933400A11Rik description:RIKEN cDNA 4933400A11 gene [Source:MGI Symbol;Acc:MGI:1913997] MANRKDKASDEKKIDIASKFIKQAPPGEFKEVFHDIRQLVNNDNLLKKRIGQAIAQYNMH QFTPVRIEGYDDHVLVTEHGHLGNNRFFDPRNRVSFKFDHLKKTISNLQLGLTDGNLTYL RESCDKALKAYMKQYYSTGFSTVYGKTIQGKKTIIACIESHQFQPQTFWNGSWRSQWKLT ITPPTAQVIGMLKSHVHYYENGNIQLVVHKDVHESLTVINEDQTAKVFIKIIEMVENGYQ AALNENYHTISCTTFKALRRQLPITYHKIDWNKILSYKVCKELKLKHA >ENSMUSP00000115949.1 pep:known chromosome:GRCm38:4:141113001:141139727:-1 gene:ENSMUSG00000040842.17 transcript:ENSMUST00000148204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Szrd1 description:SUZ RNA binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1098672] VAESWEEAADSGEIDRRLEKKLKITQKERKSKSPPKVPIVIQDDSLPTGPPPQIRILKRP TSNGVVSSPNSTSRPALPVKSLAQREAEYAEARRRILGSASPEEEQEKPILDRPTRISQP EDSRQPSNVIRQPLGPDGSQGFKQRR >ENSMUSP00000099545.3 pep:known chromosome:GRCm38:4:141113001:141139766:-1 gene:ENSMUSG00000040842.17 transcript:ENSMUST00000102487.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Szrd1 description:SUZ RNA binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1098672] MEDEEVAESWEEAADSGEIDRRLEKKLKITQKESRKSKSPPKVPIVIQDDSLPTGPPPQI RILKRPTSNGVVSSPNSTSRPALPVKSLAQREAEYAEARRRILGSASPEEEQEKPILDRP TRISQPEDSRQPSNVIRQPLGPDGSQGFKQRR >ENSMUSP00000027444.8 pep:known chromosome:GRCm38:1:86542994:86582547:-1 gene:ENSMUSG00000026239.14 transcript:ENSMUST00000027444.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6d description:phosphodiesterase 6D, cGMP-specific, rod, delta [Source:MGI Symbol;Acc:MGI:1270843] MSAKDERARDILRGFKLNWMNLRDAETGKILWQGTEDLSVPGVEHEARVPKKILKCKAVS RELNFSSAEQMEKFRLEQKVYFKGQCLEEWFFEFGFVIPNSTNTWQSLIEAAPESQMMPA SVLTGNVIIETKFFDDDLLVSTSKVRLFYV >ENSMUSP00000137956.1 pep:known chromosome:GRCm38:1:86543022:86582629:-1 gene:ENSMUSG00000026239.14 transcript:ENSMUST00000143674.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde6d description:phosphodiesterase 6D, cGMP-specific, rod, delta [Source:MGI Symbol;Acc:MGI:1270843] MSAKDERARDILRGFKLNWMNLRDAETGKILWQGTEDLSVPGVEHEGTLQPLECTNYAHC QNRPWHQGAK >ENSMUSP00000137820.1 pep:known chromosome:GRCm38:1:86545392:86582547:-1 gene:ENSMUSG00000026239.14 transcript:ENSMUST00000146220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6d description:phosphodiesterase 6D, cGMP-specific, rod, delta [Source:MGI Symbol;Acc:MGI:1270843] MSAKDERARDILRGFKLNWMNLRDAETGKILWQGTEDLSVPGVEHEARVPKKILKCKAVS RELNFSSAEQMEKFRLEQKVYFKGQCLEEWFFEFGFVIPNSTNTWQSLIEAAPESQMMPA SVLT >ENSMUSP00000036227.5 pep:known chromosome:GRCm38:3:152817081:152982246:-1 gene:ENSMUSG00000039037.5 transcript:ENSMUST00000044278.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac5 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 5 [Source:MGI Symbol;Acc:MGI:1349471] MKTLMRHGLAVCLVLTTMCTSLLLVYSSLGSQKERPPQQQQQQQQQQQAATATGSTQLVE SSPQPRRTAPAGPRQLEGYLGVADHKPLKMHCKDCALVTSSGHLLRSQQGPHIDQTECVI RMNDAPTRGYGLDVGNRTSLRVIAHSSIQRILRNRHDLLNVSQGTVFIFWGPSSYMRRDG KGQAYNNLQLLSQVLPRLKAFMITRHRMLQFDELFKQETGKDRKISNTWLSTGWFTMTIA LELCDRIDVYGMVPPDFCRDPKHPSVPYHYYEPSGPDECTMYLSHERGRKGSHHRFITEK RVFKNWARTFNIHFFQPDWKPESPAVNHAEGKPVF >ENSMUSP00000133280.1 pep:known chromosome:GRCm38:14:57581002:57665430:-1 gene:ENSMUSG00000021952.15 transcript:ENSMUST00000174545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo4 description:exportin 4 [Source:MGI Symbol;Acc:MGI:1888526] MMAAALGPPEVIAQLENAAKVLMAPPSMVSNEQRQHAEHIFLSFRKSKSPFAVCRHILET SKVDYVLFQAATAIMEAVVREWVLLEKGSIESLRTFLLTYVLQRPNLQKYVREQILLAVA VIVKRGSLDKSIDCKSIFHEVSQLISSGNPTVQTLACSILTALLSEFSSSSKTSNIGLSM EFHGNCKRVFQEEDLRQIFMLTVGVLQEFSRRENLSAQMSSVFQRYLALANQVLSWNFLP PNLGRHYIAMFESSQNVLLKPTESWREALLDSRVMELFFTVHRKIREDSDMAQDSLQCLA QLASLHGPIFPDEGSQVDYLAHFIEGLLNTINGIEIEDSEAVGISSIISNLITVFPRNVL TAIPSELFSSFVNCLTHLTCSFGRSAALEEVLDKDDMVYMEAYDKLLESWLTLVRDDKHF HKGFFTQHAVQVFNSYIQCHLAAPDGTRNLTANGVASREEEEISELQEDDRDQFSDQLAS VGMLGRIAAEHCMPLLTSLLEERVTRLHGQLQRHQQQFLASPGSSTIDNKMLDDLYEDIH WLILVTGYLLADDTQGETPLIPPEIMEYSIKHSSEVDINTTLQILGSPGEKASSIPGYSR TDSVIRLLSAVLRVSEVESRAIRADLTHLLSPQMGKDIVWFLKRWAKTYLLVDEKLYDQI SLPLSTAFGADTEGSQWIIGYLLQKVISNLSVWSSEQDLANDTVQLLVTLVERRERANLV IQCENWWNLAKQFASRSPPLNFLSSPVQRTLMKALVLGGFAHMDTETKQQYWTEVLQPLQ QRFLRVINQENFQQMCQQEEVKQEITATLEALCGIAEATQIDNVAILFNFLMDFLNNCIG LMEVYKNTPETVNLIIEVFVEVAHKQICYLGESKAMHLYEACLTLLQVYSKNNLGRQRID VTAEEEQYQDLLLIMELLTNLLSKEFIDFSDTDEVFRGHEPGQAAGRSVSAADVVLYGVN LILPLMSQDLLKFPTLCNQYYKLITFICEIFPEKIPQLPEDLFKSLMYSLELGMTSMSSE VCQLCLEALTPLAEQCAKAQETDSPLFLATRHFLKLVFDMLVLQKHNTEMTTAAGEAFYT LVCLHQAEYSELVETLLSSQQDPVIYQRLADAFNKLTASSTPPALDRKQKMAFLKSLEEF MANVGGLLCVK >ENSMUSP00000133497.1 pep:known chromosome:GRCm38:14:57596990:57664992:-1 gene:ENSMUSG00000021952.15 transcript:ENSMUST00000174152.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpo4 description:exportin 4 [Source:MGI Symbol;Acc:MGI:1888526] MMAAALGPPEVIAQLENAAKVLMAPPSMVSNEQRQHAEHIFLSFRKSKSPFAVCRHILVL HALEVTVEER >ENSMUSP00000133754.1 pep:known chromosome:GRCm38:14:57629448:57664956:-1 gene:ENSMUSG00000021952.15 transcript:ENSMUST00000174424.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpo4 description:exportin 4 [Source:MGI Symbol;Acc:MGI:1888526] MMAAALGPPEVIAQLENAAKVLMLCYFLVLHALEVTVEER >ENSMUSP00000134219.1 pep:known chromosome:GRCm38:14:57642029:57665430:-1 gene:ENSMUSG00000021952.15 transcript:ENSMUST00000172524.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpo4 description:exportin 4 [Source:MGI Symbol;Acc:MGI:1888526] MMAAALGPPEVIAQLENAAKVLMAPPSMVSNEQRQHAEHIFLSFRKSKSPFAVCRHILVL HALEVTVEER >ENSMUSP00000086909.5 pep:known chromosome:GRCm38:14:57582271:57664956:-1 gene:ENSMUSG00000021952.15 transcript:ENSMUST00000089482.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo4 description:exportin 4 [Source:MGI Symbol;Acc:MGI:1888526] MMAAALGPPEVIAQLENAAKVLMAPPSMVSNEQRQHAEHIFLSFRKSKSPFAVCRHILET SKVDYVLFQAATAIMEAVVREWVLLEKGSIESLRTFLLTYVLQRPNLQKYVREQILLAVA VIVKRGSLDKSIDCKSIFHEVSQLISSGNPTVQTLACSILTALLSEFSSSSKTSNIGLSM EFHGNCKRVFQEEDLRQIFMLTVGVLQEFSRRENLSAQMSSVFQRYLALANQVLSWNFLP PKLGRHYIAMFESSQNVLLKPTESWREALLDSRVMELFFTVHRKIREDSDMAQDSLQCLA QLASLHGPIFPDEGSQVDYLAHFIEGLLNTINGIEIEDSEAVGISSIISNLITVFPRNVL TAIPSELFSSFVNCLTHLTCSFGRSAALEEVLDKDDMVYMEAYDKLLESWLTLVRDDKHF HKGFFTQHAVQVFNSYIQCHLAAPDGTRNLTANGVASREEEEISELQEDDRDQFSDQLAS VGMLGRIAAEHCMPLLTSLLEERVTRLHGQLQRHQQQFLASPGSSTIDNKMLDDLYEDIH WLILVTGYLLADDTQGETPLIPPEIMEYSIKHSSEVDINTTLQILGSPGEKASSIPGYSR TDSVIRLLSAVLRVSEVESRAIRADLTHLLSPQMGKDIVWFLKRWAKTYLLVDEKLYDQI SLPLSTAFGADTEGSQWIIGYLLQKVISNLSVWSSEQDLANDTVQLLVTLVERRERANLV IQCENWWNLAKQFASRSPPLNFLSSPVQRTLMKALVLGGFAHMDTETKQQYWTEVLQPLQ QRFLRVINQENFQQMCQQEEVKQEITATLEALCGIAEATQIDNVAILFNFLMDFLNNCIG LMEVYKNTPETVNLIIEVFVEVAHKQICYLGESKAMHLYEACLTLLQVYSKNNLGRQRID VTAEEEQYQDLLLIMELLTNLLSKEFIDFSDTDEVFRGHEPGQAAGRSVSAADVVLYGVN LILPLMSQDLLKFPTLCNQYYKLITFICEIFPEKIPQLPEDLFKSLMYSLELGMTSMSSE VCQLCLEALTPLAEQCAKAQETDSPLFLATRHFLKLVFDMLVLQKHNTEMTTAAGEAFYT LVCLHQAEYSELVETLLSSQQDPVIYQRLADAFNKLTASSTPPALDRKQKMAFLKSLEEF MANVGGLLCVK >ENSMUSP00000025430.9 pep:known chromosome:GRCm38:18:78750380:79109391:-1 gene:ENSMUSG00000024548.11 transcript:ENSMUST00000025430.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setbp1 description:SET binding protein 1 [Source:MGI Symbol;Acc:MGI:1933199] MEPREMLSSCRQRGSESEFLQGSSSRSPPAPGCSGEPLKGISVGGERMEPEEEDELGSGR DVDCNSNADSEKWVAGDGLEEQEFSIKEANFTEGSLKLKIQTTKRAKKPPKNLENYICPP EIKITIKQSGDQKVSRTGKNSKATKEDERNHSKKKLLTAGDPTASDLKAFQTQAYERPQK HSTLQYDPGHSQGFTSDTLKPKHQQKSSSQSHMEWSSNSDSGPATQNCFISPEAGRDTAS TSKVPALEPVASFAKAQSKKGSTGGAWSQLSSSSKDLLLGSVVPSPSSHNSPATPSSSAE CNGLQPLGDQDGGSTKDLPEPPTLSSKKKSSKKDMISQTLPNSDLDWVKSAQKAFETTEG KREAYSADSAQEASPARQSISSVSNPENDSSHVRITIPIKTPSLDPSNHKRKKRQSIKAV VEKIVPEKALASGISMSSEVVNRILSNSEGSKKDPRVPKLGKMIENETPSVGLETGGNAE KIVPGGASKQRKPPMVMTSPTRTEHAPSGKLSEIQHPKFAAKRRCSKAKPPAMLREAVLA TAEKLMVEPPSAYPITPSSPLYTNTDSLTVITPVKKKRGRPKKQPLLTVETIHEGTSTSP VSPISREFPGTKKRKRRRNLAKLAQLVPGEDKPMSEMKFHKKVGKLGVLDKKTIKTINKM KTLKRKNILNQILSCSSSVALKAKAPPETSPGAASIESKLGKQINVSKRGTIYIGKKRGR KPRTELPPPSEEPKTAIKHPRPVSSQPDVPAVPSSFQSPVASSPAAMHPLSTQLGGSNGN LSPASTETNFSELKTMPNLQPISALPTKTQKGIHGGTWKLSPPRLMANSPSHLCEIGSLK EITLSPVSESHSEETIPSDSGIGTDNNSTSDQAEKSSESRRRYSFDFCSLDNPEAIPSDT STKNRHGHRQKHLIVDTFLAHESLKKPKHKRKRKSLQNRDDLQFLAELEELITKFQVFRI SHRGYTFYHENPYPSIFRINFDQYYPVPYIQYDPLLYLRRTSDLKSKKKRGRPAKTNDTM TKVPFLQGFSYPIPSGSYYAPYGMPYTSMPMMNLGYYGQYPAPLYLSHTLGAASPFMRPT VPPPQFHASSHVKISGATKHKAKHGVHLQGTVGMGLGDIQPSLNPPKVGGATLSSSRLHK RKHKHKRKHKEDRILGTHDNLSGLFAGKATGFSSHLLSERLSGSDKELPLVSEKSKHKER QKHQHGEASHKVSKNNFEVDTLSTLSLSDAQHWTQAKDKGDLSSEPVESCAKRYSGSGGD STRSEGLDVFSEMNPSSDKWDSDMGGSKRRSFEGFGTYREKDIQAFKMNRKERGSYESSM SPGMPSPHLKVDQTAAHSKSEGSISAMMARKKPTAVDSVAIPSAPVLSLLAASAATSDAA SSSLKKRFKRREIEAIQCEVRKMCHYTKLLSTKKNLDHVNKILKAKRLQRQSKTGNNFVK KRRGRPRKQPSQFDEDSRDQMPVLEKCIDLPSKRGQKPSLSPLALEPASGQDAVMATIEA VIHMAREAPPLPPPPPPPLPPPPPPPPPPPPLPKTARGGKRKHRPQPPAQPAQPTPQPLP QEEEVKAKRPRKSRASESDVLP >ENSMUSP00000017348.2 pep:known chromosome:GRCm38:11:98664351:98677708:1 gene:ENSMUSG00000017204.4 transcript:ENSMUST00000017348.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdma description:gasdermin A [Source:MGI Symbol;Acc:MGI:1889509] MTMFENVTRALARQLNPRGDLTPLDSLIDFKRFHPFCLVLRKRKSTLFWGARYVHTDYTL LDVLEPGSSPSDPTDSGNFSFKNMLDARVEGDVDVPKTVKVKGTAGLSRSSTLEVQTLSV APTALENLHKERKLSADHPFLKEMRERGENLYVVMEVVETLQEVTLERAGKAEGCFSLPF FAPLGLQGSVNHKEAVTIPKGCVLAYRVRQLMVNGKDEWGIPHICNDSMQTFPPGEKPGE GKFILIQASDVGEMHEDFKTLKEEVQRETQEVEKLSPVGRSSLLTSLSHLLGKKKELQDL EQTLEGALDKGHEVTLEALPKDVLLSKDAMDAILYFLGALTVLSEAQQKLLVKSLEKKIL PVQLKLVESTMEKNFLQDKEGVFPLQPDLLSSLGEEELILTEALVGLSGLEVQRSGPQYT WDPDTLPHLCALYAGLSLLQLLSKNS >ENSMUSP00000051694.3 pep:known chromosome:GRCm38:4:134211970:134227383:-1 gene:ENSMUSG00000048003.12 transcript:ENSMUST00000055892.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsper4 description:cation channel, sperm associated 4 [Source:MGI Symbol;Acc:MGI:3043288] MSEKHKWWQQVENIDITHLGPKRKAYELLGRHEEQVLINRRDVMEKKDAWDVQEFITQMY IKQLLRHPAFQLLLAFLLLSNAITIALRTNSYLGQKHYELFSTIDDIVLTILICEVLLGW LNGFWIFWKDGWNILNFAIVFILFMGFFIKQLDMVAITYPLRVLRLVHVCMAVEPLARII KVILQSMPDLANVMALILFFMLVFSVFGVTLFGAFVPKHFQNMGVALYTLFICITQDGWL DIYTDFQMDEREYAMEVGGAIYFAVFITLGAFIGLNLFVVVVTTNLEQMMKTGEEEGHLN IKFTETEEDEDWTDELPLVHCTEARKDTSTVPKEPLVGGPLSNLTEKTCDNFCLVLEAIQ ENLMEYKEIREELNMIVEEVSSIRFNQEQQNVILHKYTSKSATFLSEPPEGANKQDLITA LVSREKVSDSNINMVNKHKFSH >ENSMUSP00000101504.2 pep:known chromosome:GRCm38:4:134225436:134227372:-1 gene:ENSMUSG00000048003.12 transcript:ENSMUST00000105878.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsper4 description:cation channel, sperm associated 4 [Source:MGI Symbol;Acc:MGI:3043288] MSEKHKWWQQVENIDITHLGPKRKAYELLGRHEEQVLINRRDVMEKKDAWDVQEFITQMY IKQLLRHPAFQLLLAFLLLSNAITIALRTNSYLGQYGSPDSKSKLEVMVQYMSVTPAPLW KHGEWRQQKSPETQAN >ENSMUSP00000131094.1 pep:known chromosome:GRCm38:4:134211970:134227383:-1 gene:ENSMUSG00000048003.12 transcript:ENSMUST00000169381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsper4 description:cation channel, sperm associated 4 [Source:MGI Symbol;Acc:MGI:3043288] MSEKHKWWQQVENIDITHLGPKRKAYELLGRHEEQVLINRRDVMEKKDAWDVQEFITQMY IKQLLRHPAFQLLLAFLLLSNAITIALRTNSYLGQKHYELFSTIDDIVLTILICEVLLGW LNGFWIFWKVFSVFGVTLFGAFVPKHFQNMGVALYTLFICITQDGWLDIYTDFQMDEREY AMEVGGAIYFAVFITLGAFIGLNLFVVVVTTNLEQMMKTGEEEGHLNIKFTETEEDEDWT DELPLVHCTEARKDTSTVPKEPLVGGPLSNLTEKTCDNFCLVLEAIQENLMEYKEIREEL NMIVEEVSSIRFNQEQQNVILHKYTSKSATFLSEPPEGANKQDLITALVSREKVSDSNIN MVNKHKFSH >ENSMUSP00000034896.6 pep:known chromosome:GRCm38:9:78448208:78474152:1 gene:ENSMUSG00000032342.13 transcript:ENSMUST00000034896.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mto1 description:mitochondrial translation optimization 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915541] MFLLRGRGHWAAASLGRRLPLRRLRSDSAAPCTPHFDVVVIGGGHAGTEAAAAAARCGSR TLLLTHRVDTIGQMSCNPSFGGIGKGHLMREVDALDGLCSRICDQSGIHYKVLNRRKGPA VWGLRAQIDRKLYKQNMQKEILSTPLLTVQKGAVEDLVLAEPEPGYPGKSRVRGVVLADG STIYAESVILTTGTFLRGMIIIGLEMHPAGRLGDQPSIGLAQTLEKLGFMVGRLKTGTPP RLAKESINFSILNKHTPDDPSIPFSFLSDSVWIKPEDQLPCYLTHTNPRVDAIVLENLHL NSHVQETTKGPRYCPSIESKVLRFPNRLHQVWLEPEGMDSDLIYPQGLSVTLPAELQEKM ITCIRGLEKAKMVHPGYGVQYDYLDPRQISPSLETHLVQRLFFAGQINGTTGYEEAAAQG VIAGINASLRVSRKPPFVVSRTEGYIGVLIDDLTTLGTSEPYRMFTSRVEFRLSLRPDNA DTRLTFRAHKEAGCVSSQRFERALWMKSSLEEGISVLKSIKFSSSKWKKLIPQIPISINR SLPVSALDVLKYEEVDMESLVGVLPEPLEKYTACRELARRLKIEASYESVLSYQLQEIKE VQQDEALQLPHELDYLTIRDVSLSQEVREKLHLSRPQTIGAASRIPGVTPAAIINLLRFV RSTRQSRQQ >ENSMUSP00000121424.1 pep:known chromosome:GRCm38:9:78448208:78474152:1 gene:ENSMUSG00000032342.13 transcript:ENSMUST00000148238.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mto1 description:mitochondrial translation optimization 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915541] MFLLRGRGHWAAASLGRRLPLRRLRSDSAAPCTPHFDVVVIGGGHAGTEAAAAAARCGSR TLLLTHRVDTIGQMSCNPSFGGIGKGHLMREVDALDGLCSRICDQSGIHYKVLNRRKGPA VWGLRAQIDRKLYKQNMQKEILSTPLLTVQKGAVEDLVLAEPEPGYPGKSRVRGVVLAGR PTAMLLDSHQPPSGCDCP >ENSMUSP00000123414.1 pep:known chromosome:GRCm38:9:78464992:78475348:1 gene:ENSMUSG00000032342.13 transcript:ENSMUST00000133002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mto1 description:mitochondrial translation optimization 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915541] XKIEASYESVLSYQLQEIKEVQQDEALQLPHELDYLTIRDVSLSQEVREKLHLSRPQTIG AASRIPGVTPAAIINLLRFVRSTRQSRQQ >ENSMUSP00000133680.1 pep:known chromosome:GRCm38:14:57642077:57746103:-1 gene:ENSMUSG00000021959.15 transcript:ENSMUST00000174694.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lats2 description:large tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:1354386] MRPKTFPATTYSGNSRQRLQEIREGLKQPSKASTQGLLVGPNSDTSLDAKVLGSKDASRQ QQMRATPKFGPYQKALREIRYSLLPFANESGTSAAAEVNRQMLQELVNAGCDQEMAGRAL KQTGSRSIEAALEYISKMGYLDPRNEQIVRVIKQTSPGKGLAPTPVTRRPSFEGTGEALP SYHQLGGANYEGPAALEEMPRQYLDFLFPGAGAGTHGAQAHQHPPKGYSTAVEPSAHFPG THYGRGHLLSEQPGYGVQRSSSFQNKTPPDAYSSMAKAQGGPPASLTFPAHAGLYTASHH KPAATPPGAHPLHVLGTRGPTFTGESSAQAVLAPSRNSLNADLYELGSTVPWSAAPLARR DSLQKQGLEASRPHVAFRAGPSRTNSFNNPQPEPSLPAPNTVTAVTAAHILHPVKSVRVL RPEPQTAVGPSHPAWVAAPTAPATESLETKEGSAGPHPLDVDYGGSERRCPPPPYPKHLL LPSKSEQYSVDLDSLCTSVQQSLRGGTEQDRSDKSHKGAKGDKAGRDKKQIQTSPVPVRK NSRDEEKRESRIKSYSPYAFKFFMEQHVENVIKTYQQKVSRRLQLEQEMAKAGLCEAEQE QMRKILYQKESNYNRLKRAKMDKSMFVKIKTLGIGAFGEVCLACKLDTHALYAMKTLRKK DVLNRNQVAHVKAERDILAEADNEWVVKLYYSFQDKDSLYFVMDYIPGGDMMSLLIRMEV FPEHLARFYIAELTLAIESVHKMGFIHRDIKPDNILIDLDGHIKLTDFGLCTGFRWTHNS KYYQKGNHMRQDSMEPGDLWDDVSNCRCGDRLKTLEQRAQKQHQRCLAHSLVGTPNYIAP EVLLRKGTTFHGQ >ENSMUSP00000022531.7 pep:known chromosome:GRCm38:14:57689662:57746123:-1 gene:ENSMUSG00000021959.15 transcript:ENSMUST00000022531.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lats2 description:large tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:1354386] MRPKTFPATTYSGNSRQRLQEIREGLKQPSKASTQGLLVGPNSDTSLDAKVLGSKDASRQ QQMRATPKFGPYQKALREIRYSLLPFANESGTSAAAEVNRQMLQELVNAGCDQEMAGRAL KQTGSRSIEAALEYISKMGYLDPRNEQIVRVIKQTSPGKGLAPTPVTRRPSFEGTGEALP SYHQLGGANYEGPAALEEMPRQYLDFLFPGAGAGTHGAQAHQHPPKGYSTAVEPSAHFPG THYGRGHLLSEQPGYGVQRSSSFQNKTPPDAYSSMAKAQGGPPASLTFPAHAGLYTASHH KPAATPPGAHPLHVLGTRGPTFTGESSAQAVLAPSRNSLNADLYELGSTVPWSAAPLARR DSLQKQGLEASRPHVAFRAGPSRTNSFNNPQPEPSLPAPNTVTAVTAAHILHPVKSVRVL RPEPQTAVGPSHPAWVAAPTAPATESLETKEGSAGPHPLDVDYGGSERRCPPPPYPKHLL LPSKSEQYSVDLDSLCTSVQQSLRGGTEQDRSDKSHKGAKGDKAGRDKKQIQTSPVPVRK NSRDEEKRESRIKSYSPYAFKFFMEQHVENVIKTYQQKVSRRLQLEQEMAKAGLCEAEQE QMRKILYQKESNYNRLKRAKMDKSMFVKIKTLGIGAFGEVCLACKLDTHALYAMKTLRKK DVLNRNQVAHVKAERDILAEADNEWVVKLYYSFQDKDSLYFVMDYIPGGDMMSLLIRMEV FPEHLARFYIAELTLAIESVHKMGFIHRDIKPDNILIDLDGHIKLTDFGLCTGFRWTHNS KYYQKGNHMRQDSMEPGDLWDDVSNCRCGDRLKTLEQRAQKQHQRCLAHSLVGTPNYIAP EVLLRKGYTQLCDWWSVGVILFEMLVGQPPFLAPTPTETQLKVINWESTLHIPTQVRLSA EARDLITKLCCAADCRLGRDGADDLKAHPFFNTIDFSRDIRKQPAPYVPTISHPMDTSNF DPVDEESPWHEASGESAKAWDTLASPSSKHPEHAFYEFTFRRFFDDNGYPFRCPKPSEPA ESADPGDADLEGAAEGCQPVYV >ENSMUSP00000134321.1 pep:known chromosome:GRCm38:14:57691098:57746121:-1 gene:ENSMUSG00000021959.15 transcript:ENSMUST00000174213.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lats2 description:large tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:1354386] MRPKTFPATTYSGNSRQRLQEIREGLKQPSKASTQGLLVGPNSDTSLDAKVLGSKDASRQ QQMRATPKFGPYQKALREIRYSLLPFANESGTSAAAEVNRQMLQELVNAGCDQQESLGW >ENSMUSP00000133976.1 pep:known chromosome:GRCm38:14:57693544:57746105:-1 gene:ENSMUSG00000021959.15 transcript:ENSMUST00000173990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lats2 description:large tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:1354386] MRPKTFPATTYSGNSRQRLQEIREGLKQPSKASTQGLLVGPNSDTSLDAKVLGSKDASRQ QQMRATPKFGPYQKALREIRYSLLPFANESGTSAAAEVNRQMLQELVNAGCDQEMAGRAL KQTGSRSIEAALEYISKMGYLDPRNEQIVRVIKQTSPGKGLAPTPVTRRPSFEGTGEALP SYHQLGGANYEGPAALEEMPRQYLDFLFPGAGAGTHGAQAHQHPPKGYSTAVEPSAHFPG THYGRGHLLSEQPGYGVQRSSSFQNKTPPDAYSSMAKAQGGPPASLTFPAHAGLYTASHH KPAATPPGAHPLHVLGTRGPTFTGESSAQAVLAPSRNSLNADLYELGSTVPWSAAPLARR DSLQKQGLEASRPHVAFRAGPSRTNSFNNPQPEPSLPAPNTVTAVTAAHILHPVKSVRVL RPEPQTAVGPSHPAWVAAPTAPATESLETKEGSAGPHPLDVDYGGSERRCPPPPYPKHLL LPSKSEQYSVDLDSLCTSVQQSLRGGTEQDRSDKSHKGAKGDKAGRDKKQIQTSPVPVRK NSRDEEKRESRIKSYSPYAFKFFMEQHVENVIKTYQQKVSRRLQLEQEMAKAGLCEAEQE QMRKILYQKESNYNRLKRAKMDKSMFVKIKTLGIGAFGEVCLACKLDTHALYAMKTLRKK DVLNRNQVAHVKAERDILAEADNEWVVKLYYSFQDKDSLYFVMDYIPGGDMMSLLIRMEV FPEHLARFYIAELTLAIESVHKMGFIHRDIKPDNILIDLDGHIKLTDFGLCTGFRWTHNS KYYQKGNHMRQDSMEPGDLWDDVSNCRCGDRLKTLEQRAQKQHQRCLAHSLVGTPNYIAP EVLLRKGYTQLCDWWSVGVILFEMLVGQPPFLAPTPTETQLKVSGTACQCVCVSR >ENSMUSP00000134142.1 pep:known chromosome:GRCm38:14:57697227:57699890:-1 gene:ENSMUSG00000021959.15 transcript:ENSMUST00000173964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lats2 description:large tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:1354386] PSRTNSFNNPQPEPSLPAPNTVTAVTAAHILHPVKSVRVLRPEPQTAVGPSHPAWVAAPT APATESLETKEGSAGPHPLDVDYGGSERRCPPPPYPKHLLLPSKSEQYSVDLDSLCTSVQ QSLRGGTEQDRSDKSHKGAKGDKAGRDKKQIQTSPVPVRKNSRDEEKRESRIKSYSPYAF KFFMEQHVENVIKTYQQKAGLCEAEQEQMRKILYQKESNYNRLKRAKMDKSMFVKIKTLG IGAFGEVCLACKLDTHALYAMKTLRKKDVLNRNQVAHVKAERDILAEA >ENSMUSP00000133379.1 pep:known chromosome:GRCm38:14:57703051:57758388:-1 gene:ENSMUSG00000021959.15 transcript:ENSMUST00000174166.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lats2 description:large tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:1354386] MRPKTFPATTYSGNSRQRLQEIREGLKQPSKASTQGLLVGPNSDTSLDAKVLGSKDASRQ QQMRATPKFGPYQKALREIRYSLLPFANESGTSAAAEVNRQMLQELVNAGCDQE >ENSMUSP00000038982.7 pep:known chromosome:GRCm38:14:57722189:57734300:-1 gene:ENSMUSG00000021959.15 transcript:ENSMUST00000038381.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lats2 description:large tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:1354386] MRPKTFPATTYSGNSRQRLQEIREGLKQPSKASTQGLLVGPNSDTSLDAKVLGSKDASRQ QQMRATPKFGPYQKALREIRYSLLPFANESGTSAAAEVNRQMLQELVNAGCDQVALNSQR YVCLSLSSAGVKGLCQKCVFIRKVSVADRLCSRLWKILNRSTMHIPGACLFLEMLLSVPP ISQTAAPGLQAHRLLQLCSVCEFKLGPSHLYLKHGASRLLSPLCP >ENSMUSP00000077130.6 pep:known chromosome:GRCm38:14:57722189:57734300:-1 gene:ENSMUSG00000021959.15 transcript:ENSMUST00000077981.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lats2 description:large tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:1354386] MRPKTFPATTYSGNSRQRLQEIREGLKQPSKASTQGLLVGPNSDTSLDAKVLGSKDASRQ QQMRATPKFGPYQKALREIRYSLLPFANESGTSAAAEVNRQMLQELVNAGCDQMHIPGAC LFLEMLLSVPPISQTAAPGLQAHRLLQLCSVCEFKLGPSHLYLKHGASRLLSPLCP >ENSMUSP00000133857.1 pep:known chromosome:GRCm38:14:57734180:57744654:-1 gene:ENSMUSG00000021959.15 transcript:ENSMUST00000173732.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lats2 description:large tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:1354386] MRPKTFPATTYS >ENSMUSP00000131734.1 pep:known chromosome:GRCm38:5:125413858:125434121:-1 gene:ENSMUSG00000029480.13 transcript:ENSMUST00000169485.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx37 description:DEAH (Asp-Glu-Ala-His) box polypeptide 37 [Source:MGI Symbol;Acc:MGI:3028576] MGKLRRRYNVKGRLQADPRPAKGPEAPPVRLELEGKDVLKGVDESNVLVLPGKRKKKTKA PPPSKKERKPLTKKERKVLQKVLEQKEKKSQRAELLQKLSEVQVSEAEMSLFYTTAKLGT GDRMYHIKERKSGQPAARGQEKVSSLSGAHRKRHRSSSTEEDSESSEDSEPEEATADQPR TSTGTEPVHPPPAPSGINSPAPTPQPPPSGISAPPKTPASAPPPPVAKPAVFIPVNRTPE MQEERLKLPILAEEQAIMEAVAEHPIVIVCGETGSGKTTQVPQFLYEAGYSSEDSIIGVT EPRRVAAVAMSQRVAKEMNLSHRVVSYQIRYEGNVTEETRIKFMTDGVLLKEIQKDFLLL KYKVVIIDEAHERSVYTDILLGLLSRIVALRAKRHLPLKLLIMSATLRVEDFTQNQRLFT TPPPVIKVESRQFPVTVHFNKRTPLDDYSGECFRKVCKIHRMLPAGGILVFLTGQAEVHA LCRRLRKAFPFRCSQPQEKEEDSAEGMRRFKKSRTRARKAQAMALPQINLDNYSVLPAGE GDEDREAEMDDEEEALGSDLDLDLGDSEANEGEQPDASLPLHVLPLYSLLAPEKQAQVFK PPPEGTRLCVVATNVAETSLTIPGIKYVVDCGKVKKRYYDRVTGVSSFRVTWVSQASADQ RAGRAGRTEPGHCYRLYSSAVFGDFEQFPPPEITRRPVEDLILQMKALSIEKVINFPFPT PPSVEALVAAEELLVALGALQAPPKQERMKKLQMSQLSCPITALGRTMSTFPVAPRYAKM LALSQQHGCLPYTIAIVAAMTVRELFEELDRPAASEKELAELKGRRARVAQMKRTWAGQG PSLKLGDLMVLLGAVGACEYAGCSPQFCQANGLRYKAMLEIRRLRGQLTTAVNAVCPEAG LFLDPKMQPPTESQVTYLRQIMAAGLGDHLARRVQSEDLLDPKWKNAYKTPLLDDPVFIH PSSVLFKELPEFVVYQEIVETTKMYMKGVSTVEIQWIPSLLPSYCQFDAPLEEPAPSYCP ESGQVLCHRASVFYRVGWPLPAVQVDFPEGIDRYKYFAKFLLEGQVFRKLASFKSCLLSS PSTMLKTWARLQPRTETLLRALVAHKADSRDSLLAAWKKNPKYLLAEYCEWLPKAMHSDV EKNWPPTTDS >ENSMUSP00000143479.1 pep:known chromosome:GRCm38:5:125414788:125415897:-1 gene:ENSMUSG00000029480.13 transcript:ENSMUST00000196371.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx37 description:DEAH (Asp-Glu-Ala-His) box polypeptide 37 [Source:MGI Symbol;Acc:MGI:3028576] XPQHHAEDLGQTCWLSTASGSQRLCTAMLRRTGPLPLTVDHTPSCTVNWLLGCYQEPRCG PDSPFLPNSEASSQLH >ENSMUSP00000143474.1 pep:known chromosome:GRCm38:5:125423563:125426732:-1 gene:ENSMUSG00000029480.13 transcript:ENSMUST00000198746.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhx37 description:DEAH (Asp-Glu-Ala-His) box polypeptide 37 [Source:MGI Symbol;Acc:MGI:3028576] XIDEAHERSVYTDILLGLLSRIVALRAKRHLPLKLLIMSATLRVEDFTQNQRLFTTPPPV IKVESRQFPVTVHFNKRTPLDDYSGECFRKVCKIHRMLPAGGILVFLTGQAEVHALCRRL RKAFPFRCSQPQEKEEDSAEGMRRFKKSRTRARKAQAMGLPERILEHKAQIEP >ENSMUSP00000050388.5 pep:known chromosome:GRCm38:1:39551296:39577405:-1 gene:ENSMUSG00000048234.13 transcript:ENSMUST00000062525.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf149 description:ring finger protein 149 [Source:MGI Symbol;Acc:MGI:2677438] MAARRRPAAGVGARDALAVLALALCTPGVGGGALEWYSAMVSIEYVDPQSNLTVWSVSES GRFGESSLREERQGLVGVPRAPAPAEGCAPDTRFVAPGALGNAPWVALVARGGCTFKDKV LAAARRNASAVVVYNLESNGNATEPMSHAGTGNIVVIMISYPKGREIFDLVQKGIPVKMR IEIGTRHMQEFISGQSVVFVAIAFITMMIISLAWLIFYYIQRFLYTGSQFGSQNHRKETK KVIGQLPLHTVKHGEKGIDVDAENCAVCIENFKVKDVIRILPCKHIFHRICIDPWLLDHR TCPMCKLDVIKALGYWGDPEDTQELPTPEAAPGRVSVGNLSVTSQDEERSESNLPSSSSS ESGPHRPCLKEDAGEDTALLGAGRSEPQHGGSIC >ENSMUSP00000141667.1 pep:known chromosome:GRCm38:1:39552469:39577356:-1 gene:ENSMUSG00000048234.13 transcript:ENSMUST00000195136.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf149 description:ring finger protein 149 [Source:MGI Symbol;Acc:MGI:2677438] MISYPKGREIFDLVQKGIPVKMRIEIGTRHMQEFISGQSVVFVAIAFITMMIISLAWLIF YYIQRFLYTGSQFGSQNHRKETKKVIGQLPLHTVKHGEKGIDVDAENCAVCIENFKVKDV IRILPCKGTLRIRRSYPPQKLPQEGFLLGI >ENSMUSP00000141233.1 pep:known chromosome:GRCm38:1:39565093:39577303:-1 gene:ENSMUSG00000048234.13 transcript:ENSMUST00000195705.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf149 description:ring finger protein 149 [Source:MGI Symbol;Acc:MGI:2677438] MAARRRPAAGVGARDALAVLALALCTPGVGGGALEWYSAMEQET >ENSMUSP00000124263.1 pep:known chromosome:GRCm38:5:138225898:138239672:1 gene:ENSMUSG00000047592.17 transcript:ENSMUST00000162519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxpe5 description:neurexophilin and PC-esterase domain family, member 5 [Source:MGI Symbol;Acc:MGI:3584036] MRLLEFQVLCFMMPWPEPMIHWLPQPSTVPEYVSESIDWDSLPQELPNLTSLLYWPPTGE DRDDFLASTSPRTSTYCLKGPAHTTFTLGSNLEAILVARDHRGRAKVHGGDLFRAQLLGP ELRAGVPGEVKDLKNGTYLLSFPLLWAGRAQV >ENSMUSP00000124929.1 pep:known chromosome:GRCm38:5:138225904:138251876:1 gene:ENSMUSG00000047592.17 transcript:ENSMUST00000161047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxpe5 description:neurexophilin and PC-esterase domain family, member 5 [Source:MGI Symbol;Acc:MGI:3584036] MGQFKSYKILTVGLVFLVLVLCFMMPWPEPMIHWLPQPSTVPEYVSESIDWDSLPQELPN LTSLLYWPPTGEDRDDFLASTSPRTSTYCLKGPAHTTFTLGSNLEAILVARDHRGRAKVH GGDLFRAQLLGPELRAGVPGEVKDLKNGTYLLSFPLLWAGRAQVQVRLIHSSEAVRVLQR VWREKRATVDFRGYFRGTDGPLETVICNVDPQSTGAKGPTCQYKDVVSGESWFCAQPSTL PCNALVGHSSGSYLKVTTSHDEALLAGNVTDQKLPSGVPPILVLPATQGNMSNMGQKLTK LVYSVLRLEPRASNIIALPSRPPCSPGHLSPKPSGFYYQDRWHSTFCSSRSFPTVDSILN CLAGRIVYMLGDSTLRQWWEYLRDTVPSLKPVDLHVTYQVGPLMAVETTRGTVLHWRAHS WPLRSLRTPVASLHSVARELHGLAGGPYTVVVLGIGAHFTTFPPSIFARRLVGIRAAVKA LLDHEPSTLVIIKLANTGYKSVYGSDWLTLQVNRLLRAAFVGLRVAFVDAWEMTSTLNVP DNIHPRKLIVRNEVELFLSFICST >ENSMUSP00000124381.1 pep:known chromosome:GRCm38:5:138229822:138252519:1 gene:ENSMUSG00000047592.17 transcript:ENSMUST00000159798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxpe5 description:neurexophilin and PC-esterase domain family, member 5 [Source:MGI Symbol;Acc:MGI:3584036] MGQFKSYKILTVGLVFLVLVLCFMMPWPEPMIHWLPQPSTVPEYVSESIDWDSLPQELPN LTSLLYWPPTGEDRDDFLASTSPRTSTYCLKGPAHTTFTLGSNLEAILVARDHRGRAKVH GGDLFRAQLLGPELRAGVPGEVKDLKNGTYLLSFPLLWAGRAQVQVRLIHSSEAVRVLQR VWREKRATVDFRGYFRGTDGPLETVICNVDPQSTGAKGPTCQYKDVVSGESWFCAQPSTL PCNALVGHSSGSYLKVTTSHDEALLAGNVTDQKLPSGVPPILVLPATQGNMSNMALPSRP PCSPGHLSPKPSGFYYQDRWHSTFCSSRSFPTVDSILNCLAGRIVYMLGDSTLRQWWEYL RDTVPSLKPVDLHVTYQVGPLMAVETTRGTVLHWRAHSWPLRSLRTPVASLHSVARELHG LAGGPYTVVVLGIGAHFTTFPPSIFARRLVGIRAAVKALLDHEPSTLVIIKLANTGYKSV YGSDWLTLQVNRLLRAAFVGLRVAFVDAWEMTSTLNVPDNIHPRKLIVRNEVELFLSFIC ST >ENSMUSP00000123835.1 pep:known chromosome:GRCm38:5:138229822:138253363:1 gene:ENSMUSG00000047592.17 transcript:ENSMUST00000159964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxpe5 description:neurexophilin and PC-esterase domain family, member 5 [Source:MGI Symbol;Acc:MGI:3584036] MLQHLQTPAEAGAELQTAEKVLCFMMPWPEPMIHWLPQPSTVPEYVSESIDWDSLPQELP NLTSLLYWPPTGEDRDDFLASTSPRTSTYCLKGPAHTTFTLGSNLEAILVARDHRGRAKV HGGDLFRAQLLGPELRAGVPGEVKDLKNGTYLLSFPLLWAGRAQVQVRLIHSSEAVRVLQ RVWREKRATVDFRGYFRGTDGPLETVICNVDPQSTGAKGPTCQYKDVVSGESWFCAQPST LPCNALVGHSSGSYLKVTTSHDEALLAGNVTDQKLPSGVPPILVLPATQGNMSNMALPSR PPCSPGHLSPKPSGFYYQDRWHSTFCSSRSFPTVDSILNCLAGRIVYMLGDSTLRQWWEY LRDTVPSLKPVDLHVTYQVGPLMAVETTRGTVLHWRAHSWPLRSLRTPVASLHSVARELH GLAGGPYTVVVLGIGAHFTTFPPSIFARRLVGIRAAVKALLDHEPSTLVIIKLANTGYKS VYGSDWLTLQVNRLLRAAFVGLRVAFVDAWEMTSTLNVPDNIHPRKLIVRNEVELFLSFI CST >ENSMUSP00000106554.2 pep:known chromosome:GRCm38:5:138225904:138251875:1 gene:ENSMUSG00000047592.17 transcript:ENSMUST00000110929.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxpe5 description:neurexophilin and PC-esterase domain family, member 5 [Source:MGI Symbol;Acc:MGI:3584036] MGQFKSYKILTVGLVFLVLVLCFMMPWPEPMIHWLPQPSTVPEYVSESIDWDSLPQELPN LTSLLYWPPTGEDRDDFLASTSPRTSTYCLKGPAHTTFTLGSNLEAILVARDHRGRAKVH GGDLFRAQLLGPELRAGVPGEVKDLKNGTYLLSFPLLWAGRAQVQVRLIHSSEAVRVLQR VWREKRATVDFRGYFRGTDGPLETVICNVDPQSTGAKGPTCQYKDVVSGESWFCAQPSTL PCNALVGHSSGSYLKVTTSHDEALLAGNVTDQKLPSGVPPILVLPATQGNMSNMALPSRP PCSPGHLSPKPSGFYYQDRWHSTFCSSRSFPTVDSILNCLAGRIVYMLGDSTLRQWWEYL RDTVPSLKPVDLHVTYQVGPLMAVETTRGTVLHWRAHSWPLRSLRTPVASLHSVARELHG LAGGPYTVVVLGIGAHFTTFPPSIFARRLVGIRAAVKALLDHEPSTLVIIKLANTGYKSV YGSDWLTLQVNRLLRAAFVGLRVAFVDAWEMTSTLNVPDNIHPRKLIVRNEVELFLSFIC ST >ENSMUSP00000099320.1 pep:known chromosome:GRCm38:11:116142285:116168153:-1 gene:ENSMUSG00000020776.18 transcript:ENSMUST00000103031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbf1 description:Fas (TNFRSF6) binding factor 1 [Source:MGI Symbol;Acc:MGI:1922033] MTGQCCEELQRAPKPRMALRTKKGLKGSIEDVLGDLLGDDTTPPEKPAEPASHAKDTASS PQWQASKAKFLPKDSVEGLAGADAEASSVSDADPQVFLQNMKDLDSMDDDLFGRMKSHQP SGKGAAKGPGKEGPSNHKPAGTLTANEKGYTMPTKKPPPSSSKTGLQYKKFSFEDFEDPL AGLLSDEEEETATKLPAVERKPAPKSPGAAAGQGPSVPLTPGDTPIRKKELLFDEGDDIM TTLGFEDSPKAERKKTGDQEGPLPARSKLDELLGRGTAAKLLTRPGTGERREFQLDKKYQ KMGGEESVPARDKEDSWDDETLTFGAYKPTVASSEGRQSRRQSVSRFLGEGGPDPKGESL GFKQSSPPASSPIHPRKGGADWLGLKDNDLDLLSPSPVQKAQQEDSPMTPSLLPPTNQPS APEPQSAPTGLPSAAKPPAKGARPSLKASQASSPKASEEKEDDWLSHVISQKKSQNLARE ERAGPPKDLASLGSLGQTPSGSLPVAQVLEQAPAGEASKPTTQGMAAVRPGVTGSSMSWS QATTVLPVDDPKKGAASASGDFSSREPAVYIPHSQEPTGLSVPIQTLLPESMMQSLLPGS GYQKQLLAAQGQLQSSTAQLQVELLQSQTKLSELEAQVRKLELERAQHRMLLESLQQRHQ ADLELIEDAHRSRIKVLETSYQQREEQLRREKEVLSAQHASYCREAEQARAELVAQHQRQ MAMAEQERDQEVARLRELQQASILEMRKDHEHQLQRLKMLKDQEIDAVTSATSHTRSLNG IIEQMEKFSSSLNTLSSRVEASHLTTSQQRELGIRQQDEQLRALQERLGRQQRDMEEERN RLQEVIGKMEVRLSEQSRLLEQERWRVAAEKTKAESAQRTLEEQRKIMVQQIAMEREELE RAKSALLEEQKSVMNKCGEERRRLAAEWAEYFTQQKLSKERAEREAERAMHADSQREGTI ISLTKEQAELTVRACELRAKEEKLLAEREALERERQELRLEKDRLHKASLRLQARAQEVE HMSKVASKKYEEGEQALQEAQQMQNEQQGRLQVVQRQQEWLRQQEQRVHQEHLSLAQQRL QLDRVRQEVPASLPGLPPRVQGPAASSRDAVQAPASSSPQCSQPAAAQVPTHLLAKLLLL KHTAEEDHDFLENEQFFLETLKKAPYNMAYHSA >ENSMUSP00000114558.1 pep:known chromosome:GRCm38:11:116155086:116168154:-1 gene:ENSMUSG00000020776.18 transcript:ENSMUST00000124828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbf1 description:Fas (TNFRSF6) binding factor 1 [Source:MGI Symbol;Acc:MGI:1922033] MTGQCCEELQRAPKPRMALRTKKGLKGSIEDVLGDLLGDDTTPPEKPAEPASHAKDTASS PQWQASKAKFLPKDSVEGLAGADAEASSVSDADPQVFLQNMKDLDSMDDDLFGRMKSHQP SGKGAAKGPGKEGPSNHKPAGTLTANEKGYTMPTKKPPPSSSKTGLQYKKFSFEDFEDPL AGLLSDEEEETATKLPAVERKPAPKSPGAAAGQGPSVPLTPGDTPIR >ENSMUSP00000114893.1 pep:known chromosome:GRCm38:11:116164680:116168148:-1 gene:ENSMUSG00000020776.18 transcript:ENSMUST00000139020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbf1 description:Fas (TNFRSF6) binding factor 1 [Source:MGI Symbol;Acc:MGI:1922033] MTGQCCEELQRAPKPRMALRT >ENSMUSP00000102043.2 pep:known chromosome:GRCm38:11:116142286:116165914:-1 gene:ENSMUSG00000020776.18 transcript:ENSMUST00000106435.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbf1 description:Fas (TNFRSF6) binding factor 1 [Source:MGI Symbol;Acc:MGI:1922033] MTGQCCEELQRAPKPRMALRTKKGLKGSIEDVLGDLLGDDTTPPEKPAEPASHAKDTASS PQWQASKAKFLPKDSVEGLAGADAEASSVSDADPQVFLQNMKDLDSMDDDLFGRMKSHQP SGKGAAKGPGKEGPSNHKPAGTLTANEKGYTMPTKKPPPSSSKTGLQYKKFSFEDFEDPL AGLLSDEEEETATKLPAVERKPAPKSPGAAAGQGPSVPLTPGDTPIRKKELLFDEGDDIM TTLGFEDSPKAERKKTGDQEGPLPARSKLDELLGRGTAAKLLTRPGTGERREFQLDKKYQ KMGGEESVPARDKEDSWDDETLTFGAYKPTVASSEGRQSRRQSVSRFLGEGGPDPKGESL GFKQSSPPASSPIHPRKGGADWLGLKDNDLDLLSPSPVQKAQQEDSPMTPSLLPPTNQPS APEPQSAPTGLPSAAKPPAKGARPSLKASQASSPKASEEKEDDWLSHVISQKKSQNLARE ERAGPPKDLASLGSLGQTPSGSLPVAQVLEQAPAGEASKPTTQGMAAVRPGVTGSSMSWS QATTVLPVDDPKKGAASASGDFSSREPAVYIPHSQEPTGLSVPIQTLLPESMMQSLLPGS GYQKQLLAAQGQLQSSTAQLQVELLQSQTKLSELEAQVRKLELERAQHRMLLESLQQRHQ ADLELIEDAHRSRIKVLETSYQQREEQLRREKEVLSAQHASYCREAEQARAELVAQHQRQ MAMAEQERDQEVARLRELQQASILEMRKDHEHQLQRLKMLKDQEIDAVTSATSHTRSLNG IIEQMEKFSSSLNTLSSRVEASHLTTSQQRELGIRQQDEQLRALQERLGRQQRDMEEERN RLQEVIGKMEVRLSEQSRLLEQERWRVAAEKTKAESAQRTLEEQRKIMVQQIAMEREELE RAKSALLEEQKSVMNKCGEERRRLAAEWAEYFTQQKLSKERAEREAERAMHADSQREGTI ISLTKEQAELTVRACELRAKEEKLLAEREALERERQELRLEKDRLHKASLRLQARAQEVE HMSKVASKKYEEGEQALQEAQQMQNEQQGRLQVVQRQQEWLRQQEQRVHQEHLSLAQQRL QLDRVRQEVPASLPGLPPRVQGPAASSRDAVQAPASSSPQCSQPAAAQVPTHLLAKLLLL KHTAEEDHDFLENEQFFLETLKKAPYNMAYHSA >ENSMUSP00000109613.3 pep:known chromosome:GRCm38:6:82946902:83030183:1 gene:ENSMUSG00000030041.9 transcript:ENSMUST00000113980.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:M1ap description:meiosis 1 associated protein [Source:MGI Symbol;Acc:MGI:1315200] MNRRKTTSRGTSAAMKISHQPPRLLIVNIAVPSWVDICPNLCEALQNFFSIACSLMGPSR MSLFSLYTVQNQHECVLPFVQVRGNFIRLQACISELRMLQVEGCHRPPHALLPLAIEDGL QQFKQYSSHMASSAAQPWTSLEITVLTSRPGKEVVKELEEGLKDINLLSVRRLQVAEVTK GIQERSDSPSPTEEPSNDESSILEADIVLETLDNDVVSMEVFFKAWLHNSETDQENIHLL LTPQSLPPPSRAKDHPICLKCDLQERFLSPSLLPGTADGVSRIDDPKGDISTLYQMASLA SASPYKLQVVKALKSSGICESLTYGLPFILRPTSCWQLDWDELETNQQHFHALCHCLLKR DWLLLARGEPLIHKHNQSLPACSFYVITPSHSLTLLVKLVATRELMLPGFFPLLSEDPPE DSLKIIESTLDSLDLGLTYNPLHVGSHLYSHLSSAHAKPQGRLYTSCASRGLRKGGQLQT NRVRAAVVPLPVAPAPRRALKMTAASKASSAAFLPSDSEEGEEERPSHT >ENSMUSP00000144948.1 pep:known chromosome:GRCm38:6:83026338:83030309:1 gene:ENSMUSG00000030041.9 transcript:ENSMUST00000204891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:M1ap description:meiosis 1 associated protein [Source:MGI Symbol;Acc:MGI:1315200] XMLPGFFPLLSEDPPEDSLKIIEGGQLQTNRVRAAVVPLPVAPAPRRALKMTAASKASSA AFLPSDSEEGEEERPSHT >ENSMUSP00000113587.1 pep:known chromosome:GRCm38:1:86582904:86609375:1 gene:ENSMUSG00000026240.12 transcript:ENSMUST00000121534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops7b description:COP9 signalosome subunit 7B [Source:MGI Symbol;Acc:MGI:1349388] MAGEQKPSSNLLEQFILLAKGTSGSALTTLISQVLEAPGVYVFGELLELANVQELAEGAN AAYLQLLNLFAYGTYPDYIANKESLPELSVAQQNKLKHLTIVSLASRMKCIPYSVLLKDL EMRNLRELEDLIIEAVYTDIIQGKLDQRNQLLEVDFCIGRDIRKKDINNIVKTLHEWCDG CEAVLLGIEQQVLRANQYKENHHRTQQQVEAEVSNIKKTLKATASSSAQEMEQQLAEREC PPHTEQRQPTKKMSKVKGLVSSRH >ENSMUSP00000122317.1 pep:known chromosome:GRCm38:1:86582938:86589293:1 gene:ENSMUSG00000026240.12 transcript:ENSMUST00000149542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops7b description:COP9 signalosome subunit 7B [Source:MGI Symbol;Acc:MGI:1349388] MGLVSESCYLKPSRKVFFYPGDVTTHRQLTSVYMELRSLQTMPQSRWEVAKAEREHCVGG TRVQQWEASGGTAPVTGRRRQKSAGRRADHGSLTTCRQALGGRDIGEENRESAGTGRLEA PYSVLVATSKTASPDVHSSWRSSHSTRWLPSVCPFRSQDQRMAGEQKPSSNLLEQFILLA KGTSGSALTTLISQVLEAP >ENSMUSP00000115056.1 pep:known chromosome:GRCm38:1:86587131:86606326:1 gene:ENSMUSG00000026240.12 transcript:ENSMUST00000138280.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cops7b description:COP9 signalosome subunit 7B [Source:MGI Symbol;Acc:MGI:1349388] MAGEQKPSSNLLEQFILLAKGTSGSALTTLISQVLEAPGVYVFGELLELANVQEPTRRAC QN >ENSMUSP00000119807.1 pep:known chromosome:GRCm38:1:86587142:86606978:1 gene:ENSMUSG00000026240.12 transcript:ENSMUST00000122884.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cops7b description:COP9 signalosome subunit 7B [Source:MGI Symbol;Acc:MGI:1349388] MAGEQKPSSNLLEQFILLAKGTSGSALTTLISQVLEAPGVYVFGELLELANVQELAEGAN AAYLQLLNLFAYGTYPDYIVYPLLCAAERPGDEESQGTRRPYH >ENSMUSP00000027446.4 pep:known chromosome:GRCm38:1:86587100:86606500:1 gene:ENSMUSG00000026240.12 transcript:ENSMUST00000027446.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops7b description:COP9 signalosome subunit 7B [Source:MGI Symbol;Acc:MGI:1349388] MAGEQKPSSNLLEQFILLAKGTSGSALTTLISQVLEAPGVYVFGELLELANVQELAEGAN AAYLQLLNLFAYGTYPDYIANKESLPELSVAQQNKLKHLTIVSLASRMKCIPYSVLLKDL EMRNLRELEDLIIEAVYTDIIQGKLDQRNQLLEVDFCIGRDIRKKDINNIVKTLHEWCDG CEAVLLGIEQQVLRANQYKENHHRTQQQVEAEVSNIKKTLKATASSSAQEMEQQLAEREC PPHTEQRQPTKKMSKVKGLVSSRH >ENSMUSP00000144572.1 pep:known chromosome:GRCm38:5:150673739:150809865:1 gene:ENSMUSG00000034021.15 transcript:ENSMUST00000202170.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pds5b description:PDS5, regulator of cohesion maintenance, homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140945] MAHSKTRTNDGKITYPPGVKEISDKISKEEMVRRLKMVVKTFMDMDQDSEEEKELYLNLA LHLASDFFLKHPDKDVRLLVACCLADIFRIYAPEAPYTSPDKLKDIFMFITRQLKGLEDT KSPQFNRYFYLLENIAWVKSYNICFELEDSNEIFTQLYRTLFSVINNGHNQKVHMHMVDL MSSIICEGDTVSQELLDTVLVNLVPAHKNLNKQAYDLAKALLKRTAQAIEPYITNFFNQV LMLGKTSISDLSEHVFDLILELYNIDSHLLLSVLPQLEFKLKSNDNEERLQVVKLLAKMF GAKDSELASQNKPLWQCYLGRFNDIHVPIRLECVKFASHCLMNHPDLAKDLTEYLKVRSH DPEEAIRHDVIVSIVTAAKKDILLVNDHLLNFVRERTLDKRWRVRKEAMMGLAQIYKKYS LQSAAGKDAAKQISWVKDKLLHIYYQNSIDDRLLVERIFAQYMVPHNLETTERMKCLYYL YATLDLNAVKALNEMWKCQNLLRHQVKDLLDLIKQPKTDASVKAIFSKVMVITRNLPDPG KAQDFMKKFTQVLEDDEKIRKQLEALVSPTCSCKQAEGCVREITKKLGNPKQPTNPFLEM IKFLLERIAPVHIDTESISALIKQVNKSIDGTADDEDEGVPTDQAIRAGLELLKVLSFTH PISFHSAETFESLLACLKMDDEKVAEAALQIFKNTGSKIEEDFPHIRSALLPVLHHKSKK GPPRQAKYAIHCIHAIFSSKETQFAQIFEPLHKSLDPSNLEHLITPLVTIGHIALLAPDQ FAAPLKSLVATFIVKDLLMNDRLPGKKTTKLWVPDEEVSPETMVKIQAIKMMVRWLLGMK NNHSKSGTSTLRLLTTILHSDGDLTEQGKISKPDMSRLRLAAGSAIVKLAQEPCYHEIIT LEQYQLCALAINDECYQVRQVFAQKLHKGLSRLRLPLEYMAICALCAKDPVKERRAHARQ CLVKNITVRREYLKQHAAVSEKLLSLLPEYVVPYTIHLLAHDPDYVKVQDIEQLKDVKEC LWFVLEILMAKNENNSHAFIRKMVENIKQTKDAQGPDDTKMNEKLYTVCDVAMNIIMSKS TTYSLESPKDPVLPARFFTQPDKNFSNTKNYLPPEMKSFFTPGKPKTANVLGAVNKPLSS AGKQSQTKSSRMETVSNASSSSNPSSPGRIKGRLDSSEMDHSENEDYTMSSPLPGKKSDK REDPDLVRSELEKPRSRKKAPVTDPEEKLGMDDLTKLVQEQKPKGSQRGRKRGRTASDSD EQQWPEEKRHKEELLENEDEQNSPPKKGKRGRPPKPLGGGTSKEEPTMKTSKKGNKKKLV PPVVDDDEEEERQIGNTEHKSKSKQHRTSKRAQQRAESPETSAVESTQSTPQKGRGRPSK APSPSQPPKKIRVGRSKQVATKENDSSEEMDVLQASSPVSDDTTQEGAEEEDISVGNVRR RSSKRERR >ENSMUSP00000016569.4 pep:known chromosome:GRCm38:5:150673739:150810690:1 gene:ENSMUSG00000034021.15 transcript:ENSMUST00000016569.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pds5b description:PDS5, regulator of cohesion maintenance, homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140945] MAHSKTRTNDGKITYPPGVKEISDKISKEEMVRRLKMVVKTFMDMDQDSEEEKELYLNLA LHLASDFFLKHPDKDVRLLVACCLADIFRIYAPEAPYTSPDKLKDIFMFITRQLKGLEDT KSPQFNRYFYLLENIAWVKSYNICFELEDSNEIFTQLYRTLFSVINNGHNQKVHMHMVDL MSSIICEGDTVSQELLDTVLVNLVPAHKNLNKQAYDLAKALLKRTAQAIEPYITNFFNQV LMLGKTSISDLSEHVFDLILELYNIDSHLLLSVLPQLEFKLKSNDNEERLQVVKLLAKMF GAKDSELASQNKPLWQCYLGRFNDIHVPIRLECVKFASHCLMNHPDLAKDLTEYLKVRSH DPEEAIRHDVIVSIVTAAKKDILLVNDHLLNFVRERTLDKRWRVRKEAMMGLAQIYKKYS LQSAAGKDAAKQISWVKDKLLHIYYQNSIDDRLLVERIFAQYMVPHNLETTERMKCLYYL YATLDLNAVKALNEMWKCQNLLRHQVKDLLDLIKQPKTDASVKAIFSKVMVITRNLPDPG KAQDFMKKFTQVLEDDEKIRKQLEALVSPTCSCKQAEGCVREITKKLGNPKQPTNPFLEM IKFLLERIAPVHIDTESISALIKQVNKSIDGTADDEDEGVPTDQAIRAGLELLKVLSFTH PISFHSAETFESLLACLKMDDEKVAEAALQIFKNTGSKIEEDFPHIRSALLPVLHHKSKK GPPRQAKYAIHCIHAIFSSKETQFAQIFEPLHKSLDPSNLEHLITPLVTIGHIALLAPDQ FAAPLKSLVATFIVKDLLMNDRLPGKKTTKLWVPDEEVSPETMVKIQAIKMMVRWLLGMK NNHSKSGTSTLRLLTTILHSDGDLTEQGKISKPDMSRLRLAAGSAIVKLAQEPCYHEIIT LEQYQLCALAINDECYQVRQVFAQKLHKGLSRLRLPLEYMAICALCAKDPVKERRAHARQ CLVKNITVRREYLKQHAAVSEKLLSLLPEYVVPYTIHLLAHDPDYVKVQDIEQLKDVKEC LWFVLEILMAKNENNSHAFIRKMVENIKQTKDAQGPDDTKMNEKLYTVCDVAMNIIMSKS TTYSLESPKDPVLPARFFTQPDKNFSNTKNYLPPEMKSFFTPGKPKTANVLGAVNKPLSS AGKQSQTKSSRMETVSNASSSSNPSSPGRIKGRLDSSEMDHSENEDYTMSSPLPGKKSDK REDPDLSELEKPRSRKKAPVTDPEEKLGMDDLTKLVQEQKPKGSQRGRKRGRTASDSDEQ QWPEEKRHKEELLENEDEQNSPPKKGKRGRPPKPLGGGTSKEEPTMKTSKKGNKKKLVPP VVDDDEEEERQIGNTEHKSKSKQHRTSKRAQQRAESPETSAVESTQSTPQKGRGRPSKAP SPSQPPKKIRVGRSKQVATKENDSSEEMDVLQASSPVSDDTTQEGAEEEDISVGNVRRRS SKRERR >ENSMUSP00000106112.1 pep:known chromosome:GRCm38:5:150756303:150797424:1 gene:ENSMUSG00000034021.15 transcript:ENSMUST00000110486.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pds5b description:PDS5, regulator of cohesion maintenance, homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140945] MVITRNLPDPGKAQDFMKKFTQVLEDDEKIRKQLEALVSPTCSCKQAEGCVREITKKLGN PKQPTNPFLEMIKFLLERIAPVHIDTESISALIKQVNKSIDGTADDEDEGVPTDQAIRAG LELLKVLSFTHPISFHSAETFESLLACLKMDDEKVAEAALQIFKNTGSKIEEDFPHIRSA LLPVLHHKSKKGPPRQAKYAIHCIHAIFSSKETQFAQIFEPLHKSLDPSNLEHLITPLVT IGHIALLAPDQFAAPLKSLVATFIVKDLLMNDRLPGKKTTKLWVPDEEVSPETMVKIQAI KMMVRWLLGMKNNHSKSGTSTLRLLTTILHSDGDLTEQGKISKPDMSRLRLAAGSAIVKL AQEPCYHEIITLEQYQLCALAINDECYQVRQVFAQKLHKGLSRLRLPLEYMAICALCAKD PVKERRAHARQCLVKNITVRREYLKQHAAVSEKLLSLLPEYVVPYTIHLLAHDPDYVKVQ DIEQLKDVKECLWFVLEILMAKNENNSHAFIRKMVENIKQTKDAQGPDDTKMNEKLYTVC DVAMNIIMSKSTTYSLESPKDPVLPARFFTQPDKNFSNTKNYLPPEMKSFFTPGKPKTAN VLGAVNKPLSSAGKQSQTKSSRMETVSNASSSSNPSSPGRIKGRLDSSEMDHSENEDYTM SSPLPGKKSDKREDPDLVRVRCLVGRVMRLLIVIVLVIFAL >ENSMUSP00000038421.8 pep:known chromosome:GRCm38:5:150673827:150810667:1 gene:ENSMUSG00000034021.15 transcript:ENSMUST00000038900.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pds5b description:PDS5, regulator of cohesion maintenance, homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140945] MAHSKTRTNDGKITYPPGVKEISDKISKEEMVRRLKMVVKTFMDMDQDSEEEKELYLNLA LHLASDFFLKHPDKDVRLLVACCLADIFRIYAPEAPYTSPDKLKDIFMFITRQLKGLEDT KSPQFNRYFYLLENIAWVKSYNICFELEDSNEIFTQLYRTLFSVINNGHNQKVHMHMVDL MSSIICEGDTVSQELLDTVLVNLVPAHKNLNKQAYDLAKALLKRTAQAIEPYITNFFNQV LMLGKTSISDLSEHVFDLILELYNIDSHLLLSVLPQLEFKLKSNDNEERLQVVKLLAKMF GAKDSELASQNKPLWQCYLGRFNDIHVPIRLECVKFASHCLMNHPDLAKDLTEYLKVRSH DPEEAIRHDVIVSIVTAAKKDILLVNDHLLNFVRERTLDKRWRVRKEAMMGLAQIYKKYS LQSAAGKDAAKQISWVKDKLLHIYYQNSIDDRLLVERIFAQYMVPHNLETTERMKCLYYL YATLDLNAVKALNEMWKCQNLLRHQVKDLLDLIKQPKTDASVKAIFSKVMVITRNLPDPG KAQDFMKKFTQVLEDDEKIRKQLEALVSPTCSCKQAEGCVREITKKLGNPKQPTNPFLEM IKFLLERIAPVHIDTESISALIKQVNKSIDGTADDEDEGVPTDQAIRAGLELLKVLSFTH PISFHSAETFESLLACLKMDDEKVAEAALQIFKNTGSKIEEDFPHIRSALLPVLHHKSKK GPPRQAKYAIHCIHAIFSSKETQFAQIFEPLHKSLDPSNLEHLITPLVTIGHIALLAPDQ FAAPLKSLVATFIVKDLLMNDRLPGKKTTKLWVPDEEVSPETMVKIQAIKMMVRWLLGMK NNHSKSGTSTLRLLTTILHSDGDLTEQGKISKPDMSRLRLAAGSAIVKLAQEPCYHEIIT LEQYQLCALAINDECYQVRQVFAQKLHKGLSRLRLPLEYMAICALCAKDPVKERRAHARQ CLVKNITVRREYLKQHAAVSEKLLSLLPEYVVPYTIHLLAHDPDYVKVQDIEQLKDVKEC LWFVLEILMAKNENNSHAFIRKMVENIKQTKDAQGPDDTKMNEKLYTVCDVAMNIIMSKS TTYSLESPKDPVLPARFFTQPDKNFSNTKNYLPPEMKSFFTPGKPKTANVLGAVNKPLSS AGKQSQTKSSRMETVSNASSSSNPSSPGRIKGRLDSSEMDHSENEDYTMSSPLPGKKSDK REDPDLVRSELEKPRSRKKAPVTDPEEKLGMDDLTKLVQEQKPKGSQRGRKRGRTASDSD EQQWPEEKRHKEELLENEDEQNSPPKKGKRGRPPKPLGGGTSKEEPTMKTSKKGNKKKLV PPVVDDDEEEERQIGNTEHKSKSKQHRTSKRAQQSRAESPETSAVESTQSTPQKGRGRPS KAPSPSQPPKKIRVGRSKQVATKENDSSEEMDVLQASSPVSDDTTQEGAEEEDISVGNVR RRSSKRERR >ENSMUSP00000099548.3 pep:known chromosome:GRCm38:2:121017891:121037072:-1 gene:ENSMUSG00000023216.13 transcript:ENSMUST00000102490.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb42 description:erythrocyte membrane protein band 4.2 [Source:MGI Symbol;Acc:MGI:95402] MGQALSIKSCDFHAAENNEEHYTKAISSQHLTLRRGQSFTITLNFRAPTHTFLSALKKVA LIAQTGEQPSKINKTQAIFPISSLGDQKGWSAAVEERDAQHWTVSVTTPVDAVIGHYSLL LQVSGKKQYPLGQFTLLFNPWNRDDAVFLQNEAERTEYVLNQNGFIYLGTADCIQEEPWD FGQFEKDVMDLSLKLLSMDKQVKDWNQPAHVARVVGALLHALKKKSVLPISQTQAAQEGA LLYKRRGSVPILRQWLTGQGRAVYETQAWVSAAVACTVLRCLGIPARVVTTFDSAQGTVG SLLVDEYYNEEGLQNGEGQRGHIWVFQTSVECWMNRPDLSQGYGGWQILHPRAPNGAGVL GSCSLVPVRAVKEGELQLDPAVPELFAAVNASCVVWKCCEDGKLELTNSNRKDVGNCIST KVVGSDRCEDITQNYKYPAGSLQEKEVLEKVQKERLKLGKDNGMCPPSCEPWDPLHMFFE ASSSIPLSGDGQLSVTLINPTDEEKKVHLVIGAQALYYNGVLAAGLWSKKQLFMLKPNQV MRLSTNLSFSCFEQTPPENSFLRVTAMARYSHTSLSCFAQENMAIGKPDLIIEMPKRAAQ YRPLTVSVRMHNSLEAPMQNCIISIFGRGLIHREKRYGLGSLWPGSSLHTQFQFTPTHLG LQRLTVEVDCDMFQNLTGYRSVLVVAPEVSV >ENSMUSP00000023987.5 pep:known chromosome:GRCm38:2:121023650:121036835:-1 gene:ENSMUSG00000023216.13 transcript:ENSMUST00000023987.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb42 description:erythrocyte membrane protein band 4.2 [Source:MGI Symbol;Acc:MGI:95402] MGQALSIKSCDFHAAENNEEHYTKAISSQHLTLRRGQSFTITLNFRAPTHTFLSALKKVA LIAQTGEQPSKINKTQAIFPISSLGDQKGWSAAVEERDAQHWTVSVTTPVDAVIGHYSLL LQVSGKKQYPLGQFTLLFNPWNRDDAVFLQNEAERTEYVLNQNGFIYLGTADCIQEEPWD FGQFEKDVMDLSLKLLSMDKQVKDWNQPAHVARVVGALLHALKKKSVLPISQTQAAQEGA LLYKRRGSVPILRQWLTGQGRAVYETQAWVSAAVACTVLRCLGIPARVVTTFDSAQGTVG SLLVDEYYNEEGLQNGEGQRGHIWVFQTSVECWMNRPDLSQGYGGWQILHPRAPNGAGVL GSCSLVPVRAVKEGELQLDPAVPELFAAVNASCVVWKCCEDGKLELTNSNRKDVGNCIST KVVGSDRCEDITQNYKYPAGSLQEKEVLEKVQKERLKLGKDNGMCPPSCEPWDPLHMFFE ASSSIPLSGDGQLSVTLINPTDEEKKVHLVIGAQALYYNGVLAAGLWSKKQLFMLKPNQG NSLWPQRTPCTHMVLSWAPPMGSQRQLLAPWCSLLFNTYTFTYTVTQHLYIHSDTLRGPQ SQLQLDKYTAHPVVRKHLGRPTECRTGSPLSRLGSLHPDDPQKAVLKNEEGPARKMYQAF QTEGRCTRVPSLF >ENSMUSP00000147033.1 pep:known chromosome:GRCm38:7:143866838:143897512:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000208457.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTNVLEGLGEQDWFIGAETQTNR TELNMYYPISRGAITNWDNVEKIWHYSFYHSLQIAPEQHPILITEAPLTSKEAKSRMTQE QLLNLEMG >ENSMUSP00000146764.1 pep:known chromosome:GRCm38:7:143866839:143897510:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000134455.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTNVLEGLGEQDWFIGAETQTNR TELNMYYPISRGAITNWDNVEKKEPAVWD >ENSMUSP00000146606.1 pep:known chromosome:GRCm38:7:143866839:143897512:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000207482.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTNVLEGLGEQDWFIGAETQTNR TELNMYYPISRGAITNWDNVEKIWHYSFYHSLQIAPEQHPILITEAPLTSKEAKSRMTQA QGQGMICTSQRPLRSGNSISTHHGADPIRNLQLPRPVHS >ENSMUSP00000115809.1 pep:known chromosome:GRCm38:7:143866849:143897511:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000152910.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTNVLEGLGEQDWFIGAETQTNR TELNMYYPISRGAITNWDNVEKIWHYSFYHSLQIAPEQHPILITEAPLTSKEAKSRMTQI LFETFNFPALYTANQAVLSLIASGRTSGTAIESGDGMTYFVPVMNGYPLHLSTTKLDIAG QDLTLYLMKLLSDNGNVLETIADLEYIRDLKDKYSYVALDYNMEMSKTSAPSFQKKFTLP DGKEINLGQEAFMCSEVLFDTSLLERANPGIHMLTLESIMSCEKSHQRTLFNYIVLTGGT SACTGLRFRMQKEMAKVVSPDFCVKVTASPYAKYSAWIGASILSSLPLFKDMWITNHEYL EIGPSVIFRRCF >ENSMUSP00000146335.1 pep:known chromosome:GRCm38:7:143866864:143897508:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000207630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTNVLEGLGEQDWFIGAETQTNR TELNMYYPISRGAITNWDNVEKIWHYSFYHSLQIAPEQHPILITEAPLTSKEAKSRMTQA QGQGMICTSQRPLRSGNSISTHHGAGTAIESGDGMTYFVPVMNGYPLHLSTTKLDIAGQD LTLYLMKLLSDNGNVLETIADLEYIRDLKDKYSYVALDYNMEMSKTSAPSFQKKFTLPDG KEINLGQEAFMCSEVLFDTSLLERANPGIHMLTLESIMSCEKSHQRTLFNYIVLTGGTSA CTGLRFRMQKEMAKVVSPDFCVKVTASPYAKYSAWIGASILSSLPLFKDMWITNHEYLEI GPSVIFRRCF >ENSMUSP00000146470.1 pep:known chromosome:GRCm38:7:143866871:143897511:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000208761.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTNVLEGLGEQDWFIGAETQTNR TELNMYYPISRGAITNWDNVEKIWHYSFYHSLQIAPEQHPILITEAPLTSKEAKSRMTQA QGQGMICTSQRPLRSGNSISTHHGAEN >ENSMUSP00000146459.1 pep:known chromosome:GRCm38:7:143866871:143897512:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000208038.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTNVLEGLGEQDWFIGAETQTNR TELNMYYPISRGAITNWDNVEKIWHYSFYHSLQIAPEQHPILITEAPLTSKEAKSRMTQK TRLSMSQQALFLPGSCPDAWLLMNFPHGEP >ENSMUSP00000146511.1 pep:known chromosome:GRCm38:7:143866871:143900041:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000207235.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTNVLEGLGEQDWFIGAETQTNR TELNMYYPISRGAITNWDNVEKIWHYSFYHSLQIAPEQHPILITEAPLTSKEAKSRMTQE QLLNLEMG >ENSMUSP00000146995.1 pep:known chromosome:GRCm38:7:143866886:143900046:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000208625.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTVRDSAVL >ENSMUSP00000147148.1 pep:known chromosome:GRCm38:7:143866889:143900041:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000208153.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTNVLEGLGEQDWFIGAETQTNR TELNMYYPISRGAITNWDNVEKIWHYSFYHSLQIAPEQHPILITEAPLTSKEAKSRMTQE QLLNLEMG >ENSMUSP00000146435.1 pep:known chromosome:GRCm38:7:143867188:143897461:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000207642.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] MEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTVRDSAVL >ENSMUSP00000137404.1 pep:known chromosome:GRCm38:7:143869173:143896496:1 gene:ENSMUSG00000031085.16 transcript:ENSMUST00000179036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm498 description:predicted gene 498 [Source:MGI Symbol;Acc:MGI:2685344] STMEKTPLVCDYGSGFSKVGFSGTQAPQAVFPTILGKMKHTNVLEGLGEQDWFIGAETQT NRTELNMYYPISRGAITNWDNVEKIWHYSFYHSLQIAPEQHPILITEAPLTSKEAKSRMT QILFETFNFPALYTANQAVLSLIASGRTSGTAIESGDGMTYFVPVMNGYPLHLSTTKLDI AGQDLTLYLMKLLSDNGNVLETIADLEYIRDLKDKYSYVALDYNMEMSKTSAPSFQKKFT LPDGKEINLGQEAFMCSEVLFDTSLLERANPGIHMLTLESIMSCEKSHQRTLFNYIVLTG GTSACTGLRFRMQKEMAKVVSPDFCVKVLA >ENSMUSP00000068560.8 pep:known chromosome:GRCm38:18:80126311:80151482:-1 gene:ENSMUSG00000053950.10 transcript:ENSMUST00000066743.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adnp2 description:ADNP homeobox 2 [Source:MGI Symbol;Acc:MGI:2448562] MFQIPVQNLDNIRKVRKRVKGILVDIGLDSCKELMKDLKSFDPGEKYFYNTSWGDVSPWE PSGKKARYRTKPYCCSLCRYSTKVLTSLKNHLHRYHEDEADQELMIPCPNCPFSSQPRVV GKHFRMFHAPARKVQSYTVNILGETKTSRSDVISFTCLKCNFSNTLYYSMKKHVLVAHFN YLINSYFGLRTEETGEQPKASDPVSVDKILPFDKYYCKKCSAIASSQDALMYHILTSDAH RDLENKLRSVISEHIKRTGFLKQMHIAPKPVTHLALPPNSSAPSIAAPPPCFQLALPQNS QSSGTVQSVTVTPGTSGSLTHSPPTTAQSHVALVSSSLPVCQSSLSLQQSAPPPVFLSHS VALNQPVNTAVLPLTQPVGPVNKSVGTSILPVNQAMCSVNQAVRPGLLPLTKPMGPMNRP VGPAVLPMGPSVNSGVLQATSPGVISVGRAVPSGVLPAGQVTPAGVIPGQTATSGVLPTG QVVQSSTLPVGQTAPSRGLPPGQTVPLRVLPAGQVVPSGLLSSNQTVPSGVVPVNQGVNS GVLQLGQPVTPGVLPVGPPVRPGVLQLSPSVSTSILPMSQPVRAGTSQNTTFFTSGSILR QLIPTGKQVNGIPTYTLAPVSVTLPVPSGGGLAAVGPPPQVPVQFLPSGSGTQMGSSLPS LPSPQVLVSPAPSVFVQATPPLADANQALKQAKQWKTCPVCNELFPSNVYQVHMEVAHKQ SEAQLCQVCNELFPANVYQVHMEVAHKQSESKSSEKLEPEKLAACAPFLKWMREKTVRCL SCKCLVSQEELMHHLLMHGLGCLFCPCTFHDVRGLVEHSRTKHLGKKRLSMDYSNRGFQL DLDANGNLLFPHLDFITILPREKLGEREVYLAILAGIHSKSLVPVYVKVRPQPEVAPKIP NKQKLTCPFCLSTFMTADAYELHLKERHHVMPTVHTMLRSPAFKCIHCCGVYTGNMTLGA IAVHLLRCRSAPKDSSSDLQVQPGFIESSELLMVNGDVIPESTFPVKRKLPEGHLGPEDQ RDGEEPQLTLDADASSGSEKGLGAVPLKRQKSEIRTEGSGPSEDSLQALALDPSKYEGRS YEEKKQFLRDYFHRRPYPSRKEVELLSSLLWVWKIDVASFFGKRRYICMKAIKTHKPSVL LGFDMSELKNVKHRLNFGECESQKL >ENSMUSP00000025522.4 pep:known chromosome:GRCm38:18:61045150:61085061:1 gene:ENSMUSG00000024620.11 transcript:ENSMUST00000025522.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfrb description:platelet derived growth factor receptor, beta polypeptide [Source:MGI Symbol;Acc:MGI:97531] MGLPGVIPALVLRGQLLLSVLWLLGPQTSRGLVITPPGPEFVLNISSTFVLTCSGSAPVM WEQMSQVPWQEAAMNQDGTFSSVLTLTNVTGGDTGEYFCVYNNSLGPELSERKRIYIFVP DPTMGFLPMDSEDLFIFVTDVTETTIPCRVTDPQLEVTLHEKKVDIPLHVPYDHQRGFTG TFEDKTYICKTTIGDREVDSDTYYVYSLQVSSINVSVNAVQTVVRQGESITIRCIVMGND VVNFQWTYPRMKSGRLVEPVTDYLFGVPSRIGSILHIPTAELSDSGTYTCNVSVSVNDHG DEKAINISVIENGYVRLLETLGDVEIAELHRSRTLRVVFEAYPMPSVLWLKDNRTLGDSG AGELVLSTRNMSETRYVSELILVRVKVSEAGYYTMRAFHEDDEVQLSFKLQVNVPVRVLE LSESHPANGEQTIRCRGRGMPQPNVTWSTCRDLKSRCPRKLSPTPLGNSSKEESQLETNV TFWEEDQEYEVVSTLRLRHVDQPLSVRCMLQNSMGGDSQEVTVVPHSLPFKVVVISAILA LVVLTVISLIILIMLWQKKPRYEIRWKVIESVSSDGHEYIYVDPVQLPYDSTWELPRDQL VLGRTLGSGAFGQVVEATAHGLSHSQATMKVAVKMLKSTARSSEKQALMSELKIMSHLGP HLNVVNLLGACTKGGPIYIITEYCRYGDLVDYLHRNKHTFLQRHSNKHCPPSAELYSNAL PVGFSLPSHLNLTGESDGGYMDMSKDESIDYVPMLDMKGDIKYADIESPSYMAPYDNYVP SAPERTYRATLINDSPVLSYTDLVGFSYQVANGMDFLASKNCVHRDLAARNVLICEGKLV KICDFGLARDIMRDSNYISKGSTFLPLKWMAPESIFNSLYTTLSDVWSFGILLWEIFTLG GTPYPELPMNDQFYNAIKRGYRMAQPAHASDEIYEIMQKCWEEKFETRPPFSQLVLLLER LLGEGYKKKYQQVDEEFLRSDHPAILRSQARFPGIHSLRSPLDTSSVLYTAVQPNESDND YIIPLPDPKPDVADEGLPEGSPSLASSTLNEVNTSSTISCDSPLELQEEPQQAEPEAQLE QPQDSGCPGPLAEAEDSFL >ENSMUSP00000110929.1 pep:known chromosome:GRCm38:18:61045200:61085056:1 gene:ENSMUSG00000024620.11 transcript:ENSMUST00000115274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfrb description:platelet derived growth factor receptor, beta polypeptide [Source:MGI Symbol;Acc:MGI:97531] MEDTMGLPGVIPALVLRGQLLLSVLWLLGPQTSRGLVITPPGPEFVLNISSTFVLTCSGS APVMWEQMSQVPWQEAAMNQDGTFSSVLTLTNVTGGDTGEYFCVYNNSLGPELSERKRIY IFVPDPTMGFLPMDSEDLFIFVTDVTETTIPCRVTDPQLEVTLHEKKVDIPLHVPYDHQR GFTGTFEDKTYICKTTIGDREVDSDTYYVYSLQVSSINVSVNAVQTVVRQGESITIRCIV MGNDVVNFQWTYPRMKSGRLVEPVTDYLFGVPSRIGSILHIPTAELSDSGTYTCNVSVSV NDHGDEKAINISVIENGYVRLLETLGDVEIAELHRSRTLRVVFEAYPMPSVLWLKDNRTL GDSGAGELVLSTRNMSETRYVSELILVRVKVSEAGYYTMRAFHEDDEVQLSFKLQVNVPV RVLELSESHPANGEQTIRCRGRGMPQPNVTWSTCRDLKSRCPRKLSPTPLGNSSKEESQL ETNVTFWEEDQEYEVVSTLRLRHVDQPLSVRCMLQNSMGGDSQEVTVVPHSLPFKVVVIS AILALVVLTVISLIILIMLWQKKPRYEIRWKVIESVSSDGHEYIYVDPVQLPYDSTWELP RDQLVLGRTLGSGAFGQVVEATAHGLSHSQATMKVAVKMLKSTARSSEKQALMSELKIMS HLGPHLNVVNLLGACTKGGPIYIITEYCRYGDLVDYLHRNKHTFLQRHSNKHCPPSAELY SNALPVGFSLPSHLNLTGESDGGYMDMSKDESIDYVPMLDMKGDIKYADIESPSYMAPYD NYVPSAPERTYRATLINDSPVLSYTDLVGFSYQVANGMDFLASKNCVHRDLAARNVLICE GKLVKICDFGLARDIMRDSNYISKGSTFLPLKWMAPESIFNSLYTTLSDVWSFGILLWEI FTLGGTPYPELPMNDQFYNAIKRGYRMAQPAHASDEIYEIMQKCWEEKFETRPPFSQLVL LLERLLGEGYKKKYQQVDEEFLRSDHPAILRSQARFPGIHSLRSPLDTSSVLYTAVQPNE SDNDYIIPLPDPKPDVADEGLPEGSPSLASSTLNEVNTSSTISCDSPLELQEEPQQAEPE AQLEQPQDSGCPGPLAEAEDSFL >ENSMUSP00000097299.3 pep:known chromosome:GRCm38:2:91730134:91918849:1 gene:ENSMUSG00000040506.16 transcript:ENSMUST00000099712.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ambra1 description:autophagy/beclin 1 regulator 1 [Source:MGI Symbol;Acc:MGI:2443564] MKVVPEKNAVRILWGRERGTRAMGAQRLLQELVEDKTRWMKWEGKRVELPDSPRSTFLLA FSPDRTLLASTHVNHNIYITEVKTGKCVHSLIGHRRTPWCVTFHPTISGLIASGCLDGEV RIWDLHGGSESWFTDSNNAIASLAFHPTAQLLLIATANEIHFWDWSRREPFAVVKTASEM ERVRLVRFDPLGHYLLTAIVNPSNQQGDDEPEIPIDGTELSHYRQRALLQSQPVRRTPLL HNFLHMLSSRSSGIQTEPFHPPEQASSTQQDQGLLNRPSAFSTVQSSTAGNTLRNLSLGP TRRSLGGPLSSHPSRYHRELAPGLTGSEWTRTVLTLNSRSEVESMPPPRTSASSVSLLSV LRQQEGGSQASVYTSATEGRGFPSSGLATESDGGNGSSQNNSGSIRHELQCDLRRFFLEY DRLQELDQSLSGETPQTQQAQEMLNNNIESERPGPSHLPTPHSSENNSNLSRGHLNRCRA CHNLLTFNNDTLRWERTTPNYSSGEASSSWHVSTTFEGMPPSGNQLPPLERTEGQMPSSS RLELSSSASSQEERTVGVAFNQETGHWERIYTQSSRSGTVSQEALHQDMPEESSEEDSLR RRLLESSLISLSRYDGAGSREHPIYPDPARLSPAAYYAQRMIQYLSRRDSIRQRSMRYQQ NRLRSSTSSSSSDNQGPSVEGTDLEFEDFEDNGDRSRHRAPRNARMSAPSLGRFVPRRFL LPEYLPYAGIFHERGQPGLATHSSVNRVLAGAVIGDGQSAVASNIANTTYRLQWWDFTKF DLPEISNASVNVLVQNCKIYNDASCDISADGQLLAAFIPSSQRGFPDEGILAVYSLAPHN LGEMLYTKRFGPNAISVSLSPMGRYVMVGLASRRILLHPSTEHMVAQVFRLQQAHGGETS MRRVFNVLYPMPADQRRHVSINSARWLPEPGLGLAYGTNKGDLVICRPEALNSGIEYYWD QLSETVFTVHSSSRSSERPGTSRATWRTDRDMGLMNAIGLQPRNPTTSVTSQGTQTLALQ LQNAETQTEREEEEPGAASSGPGEGEGSEYGGSGEDALSRIQRLMAEGGMTAVVQREQST TMASMGGFGNNIIVSHRIHRSSQTGTESGAARTSSPQPSTSRGLPSEPGQLAERALSPRT ASWDQPSTSGRELPQPALSSSSPVPIPVPLASNEGPTMHCNVTNNSHLPEGDGSNRGEAA GPSGEPQNR >ENSMUSP00000049258.7 pep:known chromosome:GRCm38:2:91730225:91918849:1 gene:ENSMUSG00000040506.16 transcript:ENSMUST00000045705.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ambra1 description:autophagy/beclin 1 regulator 1 [Source:MGI Symbol;Acc:MGI:2443564] MKVVPEKNAVRILWGRERGTRAMGAQRLLQELVEDKTRWMKWEGKRVELPDSPRSTFLLA FSPDRTLLASTHVNHNIYITEVKTGKCVHSLIGHRRTPWCVTFHPTISGLIASGCLDGEV RIWDLHGGSESWFTDSNNAIASLAFHPTAQLLLIATANEIHFWDWSRREPFAVVKTASEM ERVRLVRFDPLGHYLLTAIVNPSNQQGDDEPEIPIDGTELSHYRQRALLQSQPVRRTPLL HNFLHMLSSRSSGIQVGEQSTVQDSATPSPPPPPPQPSTERPRTSAYIRLRQRVSYPTTV ECCQHPGILCLCSRCAGTRVPSLLPHQDSVPPASARATTPSFSFVQTEPFHPPEQASSTQ QDQGLLNRPSAFSTVQSSTAGNTLRNLSLGPTRRSLGGPLSSHPSRYHRELAPGLTGSEW TRTVLTLNSRSEVESMPPPRTSASSVSLLSVLRQQEGGSQASVYTSATEGRGFPSSGLAT ESDGGNGSSQNNSGSIRHELQCDLRRFFLEYDRLQELDQSLSGETPQTQQAQEMLNNNIE SERPGPSHLPTPHSSENNSNLSRGHLNRCRACHNLLTFNNDTLRWERTTPNYSSGEASSS WHVSTTFEGMPPSGNQLPPLERTEGQMPSSSRLELSSSASSQEERTVGVAFNQETGHWER IYTQSSRSGTVSQEALHQDMPEESSEEDSLRRRLLESSLISLSRYDGAGSREHPIYPDPA RLSPAAYYAQRMIQYLSRRDSIRQRSMRYQQNRLRSSTSSSSSDNQGPSVEGTDLEFEDF EDNGDRSRHRAPRNARMSAPSLGRFVPRRFLLPEYLPYAGIFHERGQPGLATHSSVNRVL AGAVIGDGQSAVASNIANTTYRLQWWDFTKFDLPEISNASVNVLVQNCKIYNDASCDISA DGQLLAAFIPSSQRGFPDEGILAVYSLAPHNLGEMLYTKRFGPNAISVSLSPMGRYVMVG LASRRILLHPSTEHMVAQVFRLQQAHGGETSMRRVFNVLYPMPADQRRHVSINSARWLPE PGLGLAYGTNKGDLVICRPEALNSGIEYYWDQLSETVFTVHSSSRSSERPGTSRATWRTD RDMGLMNAIGLQPRNPTTSVTSQGTQTLALQLQNAETQTEREEEEPGAASSGPGEGEGSE YGGSGEDALSRIQRLMAEGGMTAVVQREQSTTMASMGGFGNNIIVSHRIHRSSQTGTESG AARTSSPQPSTSRGLPSEPGQLAERALSPRTASWDQPSTSGRELPQPALSSSSPVPIPVP LASNEGPTMHCNVTNNSHLPEGDGSNRGEAAGPSGEPQNR >ENSMUSP00000106948.2 pep:known chromosome:GRCm38:2:91730184:91918848:1 gene:ENSMUSG00000040506.16 transcript:ENSMUST00000111316.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ambra1 description:autophagy/beclin 1 regulator 1 [Source:MGI Symbol;Acc:MGI:2443564] MKVVPEKNAVRILWGRERGTRAMGAQRLLQELVEDKTRWMKWEGKRVELPDSPRSTFLLA FSPDRTLLASTHVNHNIYITEVKTGKCVHSLIGHRRTPWCVTFHPTISGLIASGCLDGEV RIWDLHGGSESWFTDSNNAIASLAFHPTAQLLLIATANEIHFWDWSRREPFAVVKTASEM ERVRLVRFDPLGHYLLTAIVNPSNQQGDDEPEIPIDGTELSHYRQRALLQSQPVRRTPLL HNFLHMLSSRSSGIQVGEQSTVQDSATPSPPPPPPQPSTERPRTSAYIRLRQRVSYPTTV ECCQHPGILCLCSRCAGTRVPSLLPHQDSVPPASARATTPSFSFVQTEPFHPPEQASSTQ QDQGLLNRPSAFSTVQSSTAGNTLRNLSLGPTRRSLGGPLSSHPSRYHRELAPGLTGSEW TRTVLTLNSRSEVESMPPPRTSASSVSLLSVLRQQEGGSQASVYTSATEGRGFPSSGLAT ESDGGNGSSQNNSGSIRHELQCDLRRFFLEYDRLQELDQSLSGETPQTQQAQEMLNNNIE SERPGPSHLPTPHSSENNSNLSRGHLNRCRACHNLLTFNNDTLRWERTTPNYSSGEASSS WHVSTTFEGMPPSGNQLPPLERTEGQMPSSSRLELSSSASSQEERTVGVAFNQETGHWER IYTQSSRSGTVSQEALHQDMPEESSEEDSLRRRLLESSLISLSRYDGAGSREHPIYPDPA RDNGDRSRHRAPRNARMSAPSLGRFVPRRFLLPEYLPYAGIFHERGQPGLATHSSVNRVL AGAVIGDGQSAVASNIANTTYRLQWWDFTKFDLPEISNASVNVLVQNCKIYNDASCDISA DGQLLAAFIPSSQRGFPDEGILAVYSLAPHNLGEMLYTKRFGPNAISVSLSPMGRYVMVG LASRRILLHPSTEHMVAQVFRLQQAHGGETSMRRVFNVLYPMPADQRRHVSINSARWLPE PGLGLAYGTNKGDLVICRPEALNSGIEYYWDQLSETVFTVHSSSRSSERPGTSRATWRTD RDMGLMNAIGLQPRNPTTSVTSQGTQTLALQLQNAETQTEREEEEPGAASSGPGEGEGSE YGGSGEDALSRIQRLMAEGGMTAVVQREQSTTMASMGGFGNNIIVSHRIHRSSQTGTESG AARTSSPQPSTSRGLPSEPGQLAERALSPRTASWDQPSTSGRELPQPALSSSSPVPIPVP LASNEGPTMHCNVTNNSHLPEGDGSNRGEAAGPSGEPQNR >ENSMUSP00000048898.7 pep:known chromosome:GRCm38:2:91766445:91918849:1 gene:ENSMUSG00000040506.16 transcript:ENSMUST00000045699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ambra1 description:autophagy/beclin 1 regulator 1 [Source:MGI Symbol;Acc:MGI:2443564] MKVVPEKNAVRILWGRERGTRAMGAQRLLQELVEDKTRWMKWEGKRVELPDSPRSTFLLA FSPDRTLLASTHVNHNIYITEVKTGKCVHSLIGHRRTPWCVTFHPTISGLIASGCLDGEV RIWDLHGGSESWFTDSNNAIASLAFHPTAQLLLIATANEIHFWDWSRREPFAVVKTASEM ERVRLVRFDPLGHYLLTAIVNPSNQQGDDEPEIPIDGTELSHYRQRALLQSQPVRRTPLL HNFLHMLSSRSSGIQTEPFHPPEQASSTQQDQGLLNRPSAFSTVQSSTAGNTLRNLSLGP TRRSLGGPLSSHPSRYHRELAPGLTGSEWTRTVLTLNSRSEVESMPPPRTSASSVSLLSV LRQQEGGSQASVYTSATEGRGFPSSGLATESDGGNGSSQNNSGSIRHELQCDLRRFFLEY DRLQELDQSLSGETPQTQQAQEMLNNNIESERPGPSHLPTPHSSENNSNLSRGHLNRCRA CHNLLTFNNDTLRWERTTPNYSSGEASSSWHVSTTFEGMPPSGNQLPPLERTEGQMPSSS RLELSSSASSQEERTVGVAFNQETGHWERIYTQSSRSGTVSQEALHQDMPEESSEEDSLR RLSPAAYYAQRMIQYLSRRDSIRQRSMRYQQNRLRSSTSSSSSDNQGPSVEGTDLEFEDF EDNGDRSRHRAPRNARMSAPSLGRFVPRRFLLPEYLPYAGIFHERGQPGLATHSSVNRVL AGAVIGDGQSAVASNIANTTYRLQWWDFTKFDLPEISNASVNVLVQNCKIYNDASCDISA DGQLLAAFIPSSQRGFPDEGILAVYSLAPHNLGEMLYTKRFGPNAISVSLSPMGRYVMVG LASRRILLHPSTEHMVAQVFRLQQAHGGETSMRRVFNVLYPMPADQRRHVSINSARWLPE PGLGLAYGTNKGDLVICRPEALNSGIEYYWDQLSETVFTVHSSSRSSERPGTSRATWRTD RDMGLMNAIGLQPRNPTTSVTSQGTQTLALQLQNAETQTEREEEEPGAASSGPGEGEGSE YGGSGEDALSRIQRLMAEGGMTAVVQREQSTTMASMGGFGNNIIVSHRIHRSSQTGTESG AARTSSPQPSTSRGLPSEPGQLAERALSPRTASWDQPSTSGRELPQPALSSSSPVPIPVP LASNEGPTMHCNVTNNSHLPEGDGSNRGEAAGPSGEPQNR >ENSMUSP00000106949.2 pep:known chromosome:GRCm38:2:91730138:91918848:1 gene:ENSMUSG00000040506.16 transcript:ENSMUST00000111317.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ambra1 description:autophagy/beclin 1 regulator 1 [Source:MGI Symbol;Acc:MGI:2443564] MKVVPEKNAVRILWGRERGTRAMGAQRLLQELVEDKTRWMKWEGKRVELPDSPRSTFLLA FSPDRTLLASTHVNHNIYITEVKTGKCVHSLIGHRRTPWCVTFHPTISGLIASGCLDGEV RIWDLHGGSESWFTDSNNAIASLAFHPTAQLLLIATANEIHFWDWSRREPFAVVKTASEM ERVRLVRFDPLGHYLLTAIVNPSNQQGDDEPEIPIDGTELSHYRQRALLQSQPVRRTPLL HNFLHMLSSRSSGIQTEPFHPPEQASSTQQDQGLLNRPSAFSTVQSSTAGNTLRNLSLGP TRRSLGGPLSSHPSRYHRELAPGLTGSEWTRTVLTLNSRSEVESMPPPRTSASSVSLLSV LRQQEGGSQASVYTSATEGRGFPSSGLATESDGGNGSSQNNSGSIRHELQCDLRRFFLEY DRLQELDQSLSGETPQTQQAQEMLNNNIESERPGPSHLPTPHSSENNSNLSRGHLNRCRA CHNLLTFNNDTLRWERTTPNYSSGEASSSWHVSTTFEGMPPSGNQLPPLERTEGQMPSSS RLELSSSASSQEERTVGVAFNQETGHWERIYTQSSRSGTVSQEALHQDMPEESSEEDSLR RLSPAAYYAQRMIQYLSRRDSIRQRSMRYQQNRLRSSTSSSSSDNQGPSVEGTDLEFEDF EDNGDRSRHRAPRNARMSAPSLGRFVPRRFLLPEYLPYAGIFHERGQPGLATHSSVNRVL AGAVIGDGQSAVASNIANTTYRLQWWDFTKFDLPEISNASVNVLVQNCKIYNDASCDISA DGQLLAAFIPSSQRGFPDEGILAVYSLAPHNLGEMLYTKRFGPNAISVSLSPMGRYVMVG LASRRILLHPSTEHMVAQVFRLQQAHGGETSMRRVFNVLYPMPADQRRHVSINSARWLPE PGLGLAYGTNKGDLVICRPEALNSGIEYYWDQLSETVFTVHSSSRSSERPGTSRATWRTD RDMGLMNAIGLQPRNPTTSVTSQGTQTLALQLQNAETQTEREEEEPGAASSGPGEGEGSE YGGSGEDALSRIQRLMAEGGMTAVVQREQSTTMASMGGFGNNIIVSHRIHRSSQTGTESG AARTSSPQPSTSRGLPSEPGQLAERALSPRTASWDQPSTSGRELPQPALSSSSPVPIPVP LASNEGPTMHCNVTNNSHLPEGDGSNRGEAAGPSGEPQNR >ENSMUSP00000102991.1 pep:known chromosome:GRCm38:7:80325292:80341005:-1 gene:ENSMUSG00000030533.15 transcript:ENSMUST00000107368.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc45a description:unc-45 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2142246] MTVSGPETPEPRPSDPGASSAEQLRKEGNELFKCGDYEGALTAYTQALSLGATPQDQAIL HRNRAACHLKLEDYSKAESEASKAIEKDGGDVKALYRRSQALEKLGRLDQAVLDLKRCVS LEPKNKVFQESLRNIGGQIQEKVRYMSSTDAKVEQMFQILLDPKEKGTEKKQKASQNLVV LAREDAGAEKIFRSNGVQLLQRLLDTEETDLMLAALRTLVGICSEHQSRTVATLSVLGTR RVVSILGVENQAVSLAACHLLQVIFDALKEGVKKGFRGKEGAIIVDPARELKVLINSLLE LLTEVGVSGQGRDNALTLLIKMVPRKSPKDPNNSLTLWVIDQGLKKILEVGGSLQDAAGE LTVTANSRMSASILLSKLFDDLKCDAERENFHRLCENYIRNWFEGHGLAGKLRAIQTVSC LLQGPCDAGNRALELSGVMESVIALCASEREEEQLVAVEALIHAAGKAKRASFITANGVS LLKDLYKGSERDSIRIRALVGLCKLGSAGGTDFSMKQFAEGSTLKLAKQCRKWLCNDQID AGTRRWAVEGLAYLTFDADVKEEFVEDEAALKALFQLSRSEERSVLFAVGSALVNCTNSY DYEEPDPKMVELAKYAKQHVPEQHPKDKPSFVRARVKKLLAAGVVSAMTCMVKTESPVLT NSCRELLSRVFLALVEEVEDRGTVVAQGGGKALLPLALEGTDVGQTKAAQALAKLTITSN PEMTFPGERIYEVVRPLVSLLHLSCSGLQNFEALMALTNLAGISERLRQKILKEKAVPMI EGYMFEEHEMIRRAATECMCNLAMSKEVQDLFEAQGNDRLKLLVLYSGEDDELLRRAAAG GLAMLTSMRPALCSRIPQVTTHWLEILQALLLSPNQELQHRGTVVVLNMMQSSKEIAGTL MESEVLEILSVLAKGEESPVTRAAAACLEKAVEYRLIQPNQDGE >ENSMUSP00000119665.1 pep:known chromosome:GRCm38:7:80325296:80340171:-1 gene:ENSMUSG00000030533.15 transcript:ENSMUST00000154428.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unc45a description:unc-45 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2142246] MTVSGPETPEPRPSDPGASSAEQLRKEGNELFKCGDYEGALTAYTQALSLGATPQDQAIL HRNRAACHLKLEDYSKAESEASKAIEKDGGDVKALYRRSQALEKLGRLDQAVLDLKRCVS LEPKNKVFQESLRNIGGQIQEKVRYMSSTDAKVEQMFQILLDPKEKGTEKKQKASQNLVV LAREDAGAEKIFRSNGVQLLQRLLDTEETDLMLAALRTLVGICSEHQSRTVATLSVLGTR RVVSILGVENQAVSLAACHLLQVIFDALKEGVKKGFRGKEGAIIVDPARELKVLINSLLE LLTEVGVSGQGRDNALTLLIKMVPRKSPKDPNNSLTLWVIDQGLKKILEVGGSLQDAAGE LTVTANSRMSASILLSKLFDDLKCDAERENFHRLCENYIRNWFEGHGLAGKLRAIQTVSC LLQGPCDAGNRALELSGVMESVIALCASEREEEQLVAVEALIHAAGKAKRASFITANGVS LLKDLYKGSERDSIRIRALVGLCKLGSAGGTDFSMKQFAEGSTLKLAKQCRKWLCNDQID AGTRRWAVEGLAYLTFDADVKEEFVEDEAALKALFQLSRARHWSIAPTATTMRSLTPRWW SWPSMPSSTFQNSTPRTNQASCGLV >ENSMUSP00000145577.1 pep:known chromosome:GRCm38:7:80325326:80339566:-1 gene:ENSMUSG00000030533.15 transcript:ENSMUST00000206363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc45a description:unc-45 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2142246] XCHLKLEDYSKAESEASKAIEKDGGDVKALYRRSQALEKLGRLDQAVLDLKRCVSLEPKN KVFQESLRNIGGQIQEKVRYMSSTDAKVEQMFQILIQPCPASTQEGPVCTVES >ENSMUSP00000123399.2 pep:known chromosome:GRCm38:7:80334182:80340206:-1 gene:ENSMUSG00000030533.15 transcript:ENSMUST00000133728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc45a description:unc-45 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2142246] MTASSAEQLRKEGNELFKCGDYEGALTAYTQALSLGATPQDQAILHRNRAACHLKLEDYS KAESEASKAIEKDGGDVKALYRRSQALEKLGRLDQAVLDLKRCVSLEPKNKVFQESLRNI GGQIQEKVRYMSSTDAKVEQMFQILLDPKEKGTEKKQKASQNLVVLAREDAGAEKIFRSN GVQLLQRLLDTEETDLMLAALRTLVGICSEHQSRTVATLSVLGTRRVVSILGVENQAVSL AACHLLQVIFDALKEGVKKGFRGKEGAIIVDPA >ENSMUSP00000114428.1 pep:known chromosome:GRCm38:7:80339113:80340217:-1 gene:ENSMUSG00000030533.15 transcript:ENSMUST00000127997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc45a description:unc-45 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2142246] MTASSAEQLRKEGNELFKCGDYEGALTAYTQALSLGATPQDQAILHRNRAACHLKLEDYS KAESEASKAALTFSEAEAPSSTFV >ENSMUSP00000032748.8 pep:known chromosome:GRCm38:7:80325295:80340219:-1 gene:ENSMUSG00000030533.15 transcript:ENSMUST00000032748.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc45a description:unc-45 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2142246] MTVSGPETPEPRPSDPGASSAEQLRKEGNELFKCGDYEGALTAYTQALSLGATPQDQAIL HRNRAACHLKLEDYSKAESEASKAIEKDGGDVKALYRRSQALEKLGRLDQAVLDLKRCVS LEPKNKVFQESLRNIGGQIQEKVRYMSSTDAKVEQMFQILLDPKEKGTEKKQKASQNLVV LAREDAGAEKIFRSNGVQLLQRLLDTEETDLMLAALRTLVGICSEHQSRTVATLSVLGTR RVVSILGVENQAVSLAACHLLQVIFDALKEGVKKGFRGKEGAIIVDPARELKVLINSLLE LLTEVGVSGQGRDNALTLLIKMVPRKSPKDPNNSLTLWVIDQGLKKILEVGGSLQDAAGE LTVTANSRMSASILLSKLFDDLKCDAERENFHRLCENYIRNWFEGHGLAGKLRAIQTVSC LLQGPCDAGNRALELSGVMESVIALCASEREEEQLVAVEALIHAAGKAKRASFITANGVS LLKDLYKGSERDSIRIRALVGLCKLGSAGGTDFSMKQFAEGSTLKLAKQCRKWLCNDQID AGTRRWAVEGLAYLTFDADVKEEFVEDEAALKALFQLSRSEERSVLFAVGSALVNCTNSY DYEEPDPKMVELAKYAKQHVPEQHPKDKPSFVRARVKKLLAAGVVSAMTCMVKTESPVLT NSCRELLSRVFLALVEEVEDRGTVVAQGGGKALLPLALEGTDVGQTKAAQALAKLTITSN PEMTFPGERIYEVVRPLVSLLHLSCSGLQNFEALMALTNLAGISERLRQKILKEKAVPMI EGYMFEEHEMIRRAATECMCNLAMSKEVQDLFEAQGNDRLKLLVLYSGEDDELLRRAAAG GLAMLTSMRPALCSRIPQVTTHWLEILQALLLSPNQELQHRGTVVVLNMMQSSKEIAGTL MESEVLEILSVLAKGEESPVTRAAAACLEKAVEYRLIQPNQDGE >ENSMUSP00000052328.5 pep:known chromosome:GRCm38:6:112359324:112388023:-1 gene:ENSMUSG00000034387.12 transcript:ENSMUST00000060847.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssu2 description:ssu-2 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:2443733] MDRDPSEEDSMADLSFEAESPVLPPDELLEGLPSYDWLLQGRERQVFFPPLEALGRSQEP ACWSSVLEHSRVPVVTEEVAREALLSFVNSHCCYSSAAAGNLIIQELRQQTLCRYRLETF SESRVSEWTFQPVTNHSVDGPQRGTSPRLWDMKVQVPPMFQEDTRKLQVPHSSLVKECHK CHGRGRYKCSGCHGAGMVRCSSCSGTKRKAKQPRRCHLCSGSGRRRCSTCSGRGNKTCAT CKGERKLEHFVQLVIMWKNSLFEFMSPHHLHCPKELLAKARGENLFRDENATVYPIVDFP LQDISLASQRGIEEHSTMLASRARILQQMFFYSGGPFHHS >ENSMUSP00000044290.9 pep:known chromosome:GRCm38:9:45937857:45955247:-1 gene:ENSMUSG00000034908.15 transcript:ENSMUST00000038488.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt2 description:SID1 transmembrane family, member 2 [Source:MGI Symbol;Acc:MGI:2446134] MIAWRLPLCVLLVASVESHLGALGPKNVSQKDAEFERTYADDVNSELVNIYTFNHTVTRN RTEGVRVSVNVLNKQKGAPLLFVVRQKEAVVSFQVPLILRGLYQRKYLYQKVERTLCQPP TKNESEIQFFYVDVSTLSPVNTTYQLRVNRVDNFVLRTGELFTFNTTAAQPQYFKYEFPD GVDSVIVKVTSKKAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKAAITVQRKDFPS NSFYVVVVVKTEDQACGGSLPFYPFVEDEPVDQGHRQKTLSVLVSQAVTSEAYVGGMLFC LGIFLSFYLLTVLLACWENWRQRKKTLLLAIDRACPESGHARVLADSFPGSAPYEGYNYG SFENGSGSTDGLVESAGSGDLSYSYQDRSFDAVGPRPRLDSMSSVEEDDYDTLTDIDSDK NVIRTKQYLCVADLARKDKRVLRKKYQIYFWNIATIAVFYALPVVQLVITYQTVVNVTGN QDICYYNFLCAHPLGNLSAFNNILSNLGYILLGLLFLLIILQREINHNRALLRNDLYALE CGIPKHFGLFYAMGTALMMEGLLSACYHVCPNYTNFQFDTSFMYMIAGLCMLKLYQKRHP DINASAYSAYACLAIVIFFSVLGVVFGKGNTAFWIVFSVIHIISTLLLSTQLYYMGRWKL DSGIFRRILHVLYTDCIRQCSGPLYTDRMVLLVMGNIINWSLAAYGLIMRPNDFASYLLA IGICNLLLYFAFYIIMKLRSGERIKLIPLLCIVCTSVVWGFALFFFFQGLSTWQKTPAES REHNRDCILLDFFDDHDIWHFLSSIAMFGSFLVLLTLDDDLDTVQRDKIYVF >ENSMUSP00000110220.2 pep:known chromosome:GRCm38:9:45937875:45954977:-1 gene:ENSMUSG00000034908.15 transcript:ENSMUST00000114573.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt2 description:SID1 transmembrane family, member 2 [Source:MGI Symbol;Acc:MGI:2446134] MIAWRLPLCVLLVASVESHLGALGPKNVSQKDAEFERTYADDVNSELVNIYTFNHTVTRN RTEGVRVSVNVLNKQKGAPLLFVVRQKEAVVSFQVPLILRGLYQRKYLYQKVERTLCQPP TKNESEIQFFYVDVSTLSPVNTTYQLRVNRVDNFVLRTGELFTFNTTAAQPQYFKYEFPD GVDSVIVKVTSKKAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKAAITVQRKDFPS NSFYVVVVVKTEDQACGGSLPFYPFVEDEPVDQGHRQKTLSVLVSQAVTSEAYVGGMLFC LGIFLSFYLLTVLLACWENWRQRKKTLLLAIDRACPESGHARVLADSFPGSAPYEGYNYG SFENGSGSTDGLVESAGSGDLSYSYQGHDQFKRRLPSGQMRQLCIAMDRSFDAVGPRPRL DSMSSVEEDDYDTLTDIDSDKNVIRTKQYLCVADLARKDKRVLRKKYQIYFWNIATIAVF YALPVVQLVITYQTVVNVTGNQDICYYNFLCAHPLGNLSAFNNILSNLGYILLGLLFLLI ILQREINHNRALLRNDLYALECGIPKHFGLFYAMGTALMMEGLLSACYHVCPNYTNFQFD TSFMYMIAGLCMLKLYQKRHPDINASAYSAYACLAIVIFFSVLGVVFGKGNTAFWIVFSV IHIISTLLLSTQLYYMGRWKLDSGIFRRILHVLYTDCIRQCSGPLYTDRMVLLVMGNIIN WSLAAYGLIMRPNDFASYLLAIGICNLLLYFAFYIIMKLRSGERIKLIPLLCIVCTSVVW GFALFFFFQGLSTWQKTPAESREHNRDCILLDFFDDHDIWHFLSSIAMFGSFLVLLTLDD DLDTVQRDKIYVF >ENSMUSP00000124750.1 pep:known chromosome:GRCm38:9:45945291:45955181:-1 gene:ENSMUSG00000034908.15 transcript:ENSMUST00000162072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt2 description:SID1 transmembrane family, member 2 [Source:MGI Symbol;Acc:MGI:2446134] MIAWRLPLCVLLVASVESHLGALGPKNVSQKDAEFERTYADDVNSELVNIYTFNHTVTRN RTEGVRVSVNVLNKQKGAPLLFVVRQKEAVVSFQVPLILRGLYQRKYLYQKVERTLCQPP TKNESEIQFFYVDVSTLSPVNTTYQLRVNRVDNFVLRTGELFTFNTTAAQPQYFKYEFPD GVDSVIVKVTSKKAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKAAITVQRKDFPS NSFYVVVVVKTEDQACGGSLPFYPFVEDEPVDQGHRQKTLSVLVSQAVTSEAYVGGMLFC LGIFLSFYLLTVLLACWENWRQRKKTLLLAIDRACPESGLGSPLHRHQETALCLRQCGPA SGHARVLADSFPGSAPYEGYNYGSFENGSGSTDGLVESAGSGDLSYSYQGHDQFKRRLPS GQMRQLCIAMDRSFDAVGPRPRLDSMSSVEEDDYDTLTDIDSDKNVIRTKQYLCVADLAR KDKRVLRKKYQIY >ENSMUSP00000125273.1 pep:known chromosome:GRCm38:9:45939305:45941408:-1 gene:ENSMUSG00000034908.15 transcript:ENSMUST00000159033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt2 description:SID1 transmembrane family, member 2 [Source:MGI Symbol;Acc:MGI:2446134] XERREDQAHPSALHRLHLRGLGLRALLLLPGTEHVAESREHNRDCILLDFFDDHDIWHFL SSIAMFGSFLVLLT >ENSMUSP00000124503.1 pep:known chromosome:GRCm38:9:45945706:45952004:-1 gene:ENSMUSG00000034908.15 transcript:ENSMUST00000162379.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sidt2 description:SID1 transmembrane family, member 2 [Source:MGI Symbol;Acc:MGI:2446134] VTSKKAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKAAITVQRKDFPSNSFYVVVV VKTEDQACGGSLPFYPFVEDEPVDQGHRQKTLSVLVSQAVTSEAYVGGMLFCLGIFLSFY LLTVLLACWENWRSRPGLG >ENSMUSP00000125060.1 pep:known chromosome:GRCm38:9:45945353:45951831:-1 gene:ENSMUSG00000034908.15 transcript:ENSMUST00000162529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt2 description:SID1 transmembrane family, member 2 [Source:MGI Symbol;Acc:MGI:2446134] DLDNNVAFIGMYQTMTKKAAITVQRKDFPSNSFYVVVVVKTEDQACGGSLPFYPFVEDEP VDQGHRQKTLSVLVSQAVTSEAYVGGMLFCLGIFLSFYLLTVLLACWENWRQRKKTLLLA IDRACPESASLLGHARVLADSFPGSAPYEGYNYGSFENGSGSTDGLVESAGSGDLSYSYQ DRSFDAVGPRPRLDSMSSVEEDDYDTLTDIDSDKNVIRTKQY >ENSMUSP00000125660.1 pep:known chromosome:GRCm38:9:45945669:45951772:-1 gene:ENSMUSG00000034908.15 transcript:ENSMUST00000161606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt2 description:SID1 transmembrane family, member 2 [Source:MGI Symbol;Acc:MGI:2446134] XITVQRKDFPSNSFYVVVVVKTEDQACGGSLPFYPFVEDEPVDQGHRQKTLSVLVSQAVT SEAYVGGMLFCLGIFLSFYLLTVLLACWENWRQRKKTLLLAIDRACPESASLLGHARVLA DSFPGSAPYEGYNYGSFENGSGSTDGLVESAGSGDLSYSYQGHDQFKRRLPSGQMRQLCI AMDRSFDAVGPRPRLDSMSSVEEDDYDTLTDIDSDKNVIRT >ENSMUSP00000124577.1 pep:known chromosome:GRCm38:9:45945741:45948307:-1 gene:ENSMUSG00000034908.15 transcript:ENSMUST00000161042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt2 description:SID1 transmembrane family, member 2 [Source:MGI Symbol;Acc:MGI:2446134] XEAYVGGMLFCLGIFLSFYLLTVLLACWENWRQRKKTLLLAIDRACPESGHARVLADSFP GSAPYEGYNYGSFENGSGSTDGLVESAGSGDLSYSYQVGPRPRLDS >ENSMUSP00000124945.1 pep:known chromosome:GRCm38:9:45945203:45948255:-1 gene:ENSMUSG00000034908.15 transcript:ENSMUST00000160138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sidt2 description:SID1 transmembrane family, member 2 [Source:MGI Symbol;Acc:MGI:2446134] YLLTVLLACWENWSCPLNSCRQRKKTLLLAIDRACPESGLGSPLHRHQETALCLRQCGPA SGHARVLADSFPGSAPYEGYNYGSFENGSGSTDGLVESAGSGDLSYSYQDRSFDAVGPRP RLDSMSSVEEDDYDTLTDIDSDKNVIRTKQYLCVADLARKDKRVLRKKYQIYFWNI >ENSMUSP00000125037.1 pep:known chromosome:GRCm38:9:45943596:45946787:-1 gene:ENSMUSG00000034908.15 transcript:ENSMUST00000160618.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sidt2 description:SID1 transmembrane family, member 2 [Source:MGI Symbol;Acc:MGI:2446134] VESAGSGDLSYSYQDRSFDAVGPRPRLDSMSSVEEDDYDTLTDIDSDKNVIRTKQYLCVA DLARKDKRVLRKKYQIYFW >ENSMUSP00000113974.1 pep:known chromosome:GRCm38:1:105990406:106034074:1 gene:ENSMUSG00000038866.15 transcript:ENSMUST00000118196.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc2 description:zinc finger, CCHC domain containing 2 [Source:MGI Symbol;Acc:MGI:2444114] MLRMKLPPKSTHPSEPPPDAEEPEADARPGAKAPLRRRRDCRPPPPPTGLPRGPPPPPSP PRGLEPPVASGPTAGAGMPGGGGHAAALREQERVYEWFGLVLGSAQRLEFMCGLLDLCNP LELRFLGSCLEDLARKDYHYLRDSEAKANGLSDPGSLADFREPAVRSRLIVYLALLGSEN REAAGRLHRLLPQVDAVLRSLRATRAEGSRGSVEDEPSGDGEQDAEKDGPGPEGSGCAKL GTGGGLGFRAQEELLLLFTMASLHPAFSFHQRVTLREHLERLRSALRVEPEDAEVEPSNF AGSRAQNDSACGDYIQSNETGLVEQAQIPPDGLTVAPHRAQREAVHIEKIMLKGVQRKRA DKYWEYTFKVNWSDLSVTTVTKTHQELQEFLLKLPKEFSSESFDKTILKALNQGSLRREE RRHPDLEPILRQLFSTSPQAFLQSHKVRSFFRSISSESQHNFNNLQSSLKTSKILEHLKE DSSEASSQEEDVLQHTIIHKKHAGKSPALNVATSCSPLDGLTMQYAEQNGIVDWRNQGCA AIQHSEHCVSSADQHSAEKRSLSSGNKKKGKPQVEKEKVKKTEDRLNSRINGIRLSAPQH VHGSTVKDMNLDIGSGHDTCGETSSESYSSPSSPRHDGRESLESEEEKDRDSDSNSEDSV NPSSARFSGYGSVAQTIAVKPPAETVSLGTEDGNLLEAALTSHKYPHIPFMPTLHCVTHN GAQKSQVVIPSPKSADGKTLGMLVPNAVAISAVMESSNSAPVGILGPAASGESEKHLELL ASPLPLPSTFLPHSSAPALQLTLQSLKLQPPQGSSDSCPVSIPPQPTGSLSIGSPNTAFI PVHNPGSFPGSPVATTDPITKSAPQVVGLNQMVPQIEGNTGTVPQPSNVKVVLPAAGLSA AQPPASFPFPGSPQAASALPTQNSSALNAATSAQPASTGISPSQSTVPPAVPTHTPGPAP SPSPALTHSTAQSDSTSYISAVGNTNANGTIVPPQQMGPCGSCGRRCSCGTNGNLQLNSY YYPNPMPGPMYRLPSFFTLPSICNGSYLNQAHQSNGNQLPFFLPQTPYANGLVHDPVMGS QASYGMQQMAGFGRLYPVYPAPNVVANTSGSGPKKNGNVSCYNCGVSGHYAQDCKQSSME ANQQGTYRLRYAPPLPPSNDTLDSAD >ENSMUSP00000113128.1 pep:known chromosome:GRCm38:1:105990689:106034067:1 gene:ENSMUSG00000038866.15 transcript:ENSMUST00000119166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc2 description:zinc finger, CCHC domain containing 2 [Source:MGI Symbol;Acc:MGI:2444114] MLRMKLPPKSTHPSEPPPDAEEPEADARPGAKAPLRRRRDCRPPPPPTGLPRGPPPPPSP PRGLEPPVASGPTAGAGMPGGGGHAAALREQERVYEWFGLVLGSAQRLEFMCGLLDLCNP LELRFLGSCLEDLARKDYHYLRDSEAKANGLSDPGSLADFREPAVRSRLIVYLALLGSEN REAAGRLHRLLPQVDAVLRSLRATRAEGSRGSVEDEPSGDGEQDAEKDGPGPEGSGCAKL GTGGGLGFRAQEELLLLFTMASLHPAFSFHQRVTLREHLERLRSALRVEPEDAEVEPSNF AGSRAQNDSACGDYIQSNETGLVEQAQIPPDGLTVAPHRAQREAVHIEKIMLKGVQRKRA DKYWEYTFKVNWSDLSVTTVTKTHQELQEFLLKLPKEFSSESFDKTILKALNQGSLRREE RRHPDLEPILRQLFSTSPQAFLQSHKVRSFFRSISSESQHNFNNLQSSLKTSKILEHLKE DSSEASSQEEDVLQHTIIHKKHAGKSPALNVATSCSPLDGLTMQYAEQNGIVDWRNQGCA AIQHSEHCVSSADQHSAEKRSLSSGNKKKGKPQVEKEKVKKTEDRLNSRINGIRLSAPQH VHGSTVKDMNLDIGSGHDTCGETSSESYSSPSSPRHDGRESLESEEEKDRDSDSNSEDSV NPSSARFSGYGSVAQTIAVKPPAETVSLGTEDGNLLEAALTSHKYPHIPFMPTLHCVTHN GAQKSQVVIPSPKSADGKTLGMLVPNAVAISAVMESSNSAPVGILGPAASGESEKHLELL ASPLPLPSTFLPHSSAPALQLTLQSLKLQPPQGSSDSCPVSIPPQPTGSLSIGSPNTAFI PVHNPGSFPGSPVATTDPITKSAPQVVGLNQMVPQIEGNTGTVPQPSNVKVVLPAAGLSA AQPPASFPFPGSPQAASALPTQNSSALNAATSAQPASTGISPSQSTVPPAVPTHTPGPAP SPSPALTHSTAQSDSTSYISAVGNTNANGTIVPPQQMGPCGSCGRRCSCGTNGNLQLNSY YYPNPMPGPMYRLPSFFTLPSICNGSYLNQAHQSNGNQLPFFLPQTPYANGLVHDPVMGS QASYGMQQMAGFGRLYPVYPAPNVVANTSGSGPKKNGNVSCYNCGVSGHYAQDCKQSSME ANQQGNPTNSQRTCF >ENSMUSP00000141148.1 pep:known chromosome:GRCm38:1:106004122:106032448:1 gene:ENSMUSG00000038866.15 transcript:ENSMUST00000186983.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc2 description:zinc finger, CCHC domain containing 2 [Source:MGI Symbol;Acc:MGI:2444114] XLKGVQRKRADKYWEYTFKLPKEFSSESFDKTILKALNQGSLRREERRHPDLEPILRQLF STSPQAFLQSHKVRSFFRSISSESQHNFNVLQHTIIHKKHAGKSPALNVATSCSPLDGLT MQYAEQNGIVDWRNQGCAAIQHSEHCVSSADQHSAEKRSLSSGNKKKGKPQVEKEKVKKT EDRLNSRINGIRLSAPQHVHGSTVKELLFLDMNLDIGSGHDTCGETSSESYSSPSSPRHD GRESLESEEEKDRDSDSNSEDSVNPSSARFSGYGSVAQTIAVKPPAETVSLGTEDGNLLE AALTSHKYPHIPFMPTLHCVTHNGAQKSQVVIPSPKSADGKTLGMLVPNAVAISAVMESS NSAPVGILGPAASGESEKHLELLASPLPLPSTFLPHSSAPALQLTLQSLKLQPPQGSSDS CPVSIPPQPTGSLSIGSPNTAFIPVHNPGSFPGSPVATTDPITKSAPQVVGLNQMVPQIE GNTGTVPQPSNVKVVLPAAGLSAAQPPASFPFPGSPQAASALPTQNSSALNAATSAQPAS TGISPSQSTVPPAVPTHTPGPAPSPSPALTHSTAQSDSTSYISAVGNTNANGTIVPPQQM GPCGSCGRRCSCGTNGNLQLNSYYYPNPMPGPMYRLPSFFTLPSICNGSYLNQAHQSNGN QLPFFLPQTPYANGLVHDPVMGSQASYGMQQMAGFGRLYPVYPAPNVVANTSGSGPKKNG NVSCYNCGVSGHYAQDCKQSSMEANQQGNPTNSQRTCF >ENSMUSP00000139971.1 pep:known chromosome:GRCm38:1:106004137:106027501:1 gene:ENSMUSG00000038866.15 transcript:ENSMUST00000188954.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc2 description:zinc finger, CCHC domain containing 2 [Source:MGI Symbol;Acc:MGI:2444114] XRKRADKYWEYTFKVNWSDLSVTTVTKTHQELQEFLLKLPKEFSSESFDKTILKALNQGS LRREERRHPDLEPILRQLFSTSPQAFLQSHKVRSFFRSISSESQHNFNVLQHTIIHKKHA GKSPALNVATSCSPLDGLTMQYAEQNGIVDWRNQGCAAIQHSEHCVSSADQHSAEKRSLS SGNKKKGKPQVEKEKVKKTEDRLNSRINGIRLSAPQHVHGSTVKDMNLDIGSGHDTCGET SSESYSSPSSPRHDGRE >ENSMUSP00000140540.1 pep:known chromosome:GRCm38:1:106011744:106023258:1 gene:ENSMUSG00000038866.15 transcript:ENSMUST00000131830.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zcchc2 description:zinc finger, CCHC domain containing 2 [Source:MGI Symbol;Acc:MGI:2444114] LKALNQGSLRREERRHPDLEPILRQLFSTSPQAFLQSHKVRSFFRSISSESQHNFRLQKS AVYVDSTLSQDCSVDKRHC >ENSMUSP00000033163.6 pep:known chromosome:GRCm38:7:121034445:121076835:1 gene:ENSMUSG00000030876.7 transcript:ENSMUST00000033163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl9 description:methyltransferase like 9 [Source:MGI Symbol;Acc:MGI:1914862] MRLLAGWLCLSLASVWLARRMWTLRSPLSRSLYVNMTSGPGGPAAAAGGGKDTHQWYVCN REKLCESLQSVFVQSYLDQGTQIFLNNSIEKSGWLFIQLYHSFVSSVFSLFMSRTSINGL LGRGSMFVFSPDQFQRLLRINPDWKTHRLLDLGAGDGEVTKIMSPHFEEIYATELSETMI WQLQKKKYRVLGINEWQNTGFQYDVISCLNLLDRCDQPLTLLKDIRSVLEPTQGRVILAL VLPFHPYVENVGGKWEKPSEILEIKGQNWEEQVNSLPEVFRKAGFVVEAFTRLPYLCEGD MYNDYYVLDDAVFVLRPV >ENSMUSP00000147071.1 pep:known chromosome:GRCm38:7:121035611:121076217:1 gene:ENSMUSG00000030876.7 transcript:ENSMUST00000207351.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl9 description:methyltransferase like 9 [Source:MGI Symbol;Acc:MGI:1914862] MSRTSINGLLGRGSMFVFSPDQFQRLLRINPDWKTHRLLDLGAGDGEVTKIMSPHFEEIY ATELSETMIWQLQKKKYRVLGINEWQNTGFQYDVISCLNLLDRCDQPLTLLKDIRSVLEP TQGRVILALVLPFHPYVENVGGKWEKPSEILEIKGQNWEEQVNSLPEV >ENSMUSP00000099501.3 pep:known chromosome:GRCm38:4:149328416:149426749:-1 gene:ENSMUSG00000028960.18 transcript:ENSMUST00000103212.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube4b description:ubiquitination factor E4B [Source:MGI Symbol;Acc:MGI:1927086] MEELSADEIRRRRLARLAGGQTSQPTTPLTSPQRENPPGPPIAASAPGPSQSLGLNVHNM TPATSPIGAAGVAHRSQSSEGVSSLSSSPSNSLETQSQSLSRSQSMDIDGVSCEKSMSQV DVDSGIENMEVDENDRREKRSLSDKEPSSGPEVSEEQALQLVCKIFRVSWKDRDRDVIFL SSLSAQFKQNPKEVFSDFKDLIGQILMEVLMMSTQTRDENPFASLTATSQPIATAARSPD RNLMLNTGSSSGTSPMFCNMGSFSTSSLSSLGASGGASNWDSYSDHFTIETCKETDMLNY LIECFDRVGIEEKKAPKMCSQPAVSQLLSNIRSQCISHTALVLQGSLTQPRSLQQPSFLV PYMLCRNLPYGFIQELVRTTHQDEEVFKQIFIPILQGLALAAKECSLESDYFKYPLMALG ELCETKFGKTHPMCNLVASLPLWLPKSLSPGSGRELQRLSYLGAFFSFSVFAEDDAKVVE KYFSGPAITLENTRVVSQSLQHYLELGRQELFKILHSILLNGETREAALSYMAALVNANM KKAQMQADDRLVSTDGFMLNLLWVLQQLSTKIKLETVDPTYIFHPRCRITLPNDETRINA TMEDVNERLTELYGDQPPFSEPKFPTECFFLTLHAHHLSILPSCRRYIRRLRAIRELNRT VEDLKNNESQWKDSPLATRHREMLKRCKTQLKKLVRCKACADAGLLDESFLRRCLNFYGL LIQLMLRILDPAYPDVTLPLNSEVPKVFAALPEFYVEDVAEFLFFIVQYSPQVLYEPCTQ DIVMFLVVMLCNQNYIRNPYLVAKLVEVMFMTNPSVQPRTQKFFEMIENHPLSTKLLVPS LMKFYTDVEHTGATSEFYDKFTIRYHISTIFKSLWQNIAHHGTFMEEFNSGKQFVRYINM LINDTTFLLDESLESLKRIHEVQEEMKNKEQWDQLPRDQQQARQSQLAQDERVSRSYLAL ATETVDMFHLLTKQVQKPFLRPELGPRLAAMLNFNLQQLCGPKCRDLKVENPEKYGFEPK KLLDQLTDIYLQLDCARFAKAIADDQRSYSKELFEEVISKMRKAGIKSTIAIEKFKLLAE KVEEIVAKNARAEIDYSDAPDEFRDPLMDTLMTDPVRLPSGTVMDRSIILRHLLNSPTDP FNRQMLTESMLEPVPELKEQIQAWMREKQSSDH >ENSMUSP00000122020.2 pep:known chromosome:GRCm38:4:149328422:149335114:-1 gene:ENSMUSG00000028960.18 transcript:ENSMUST00000138181.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube4b description:ubiquitination factor E4B [Source:MGI Symbol;Acc:MGI:1927086] QRSYSKELFEEVISKMRKAGIKSTIAIEKFKLLAEKVEEIVAKNARAEIDYSDAPDEFRD PLMDTLMTDPVRLPSGTVMDRSIILRHLLNSPTDPFNRQMLTESMLEPVPELKEQIQAWM REKQSSDH >ENSMUSP00000134556.1 pep:known chromosome:GRCm38:4:149329676:149425905:-1 gene:ENSMUSG00000028960.18 transcript:ENSMUST00000174343.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube4b description:ubiquitination factor E4B [Source:MGI Symbol;Acc:MGI:1927086] MEELSADEIRRRRLARLAGGQTSQPTTPLTSPQRENPPGPPIAASAPGPSQSLGLNVHNM TPATSPIGAAGVAHRSQSSEGVSSLSSSPSNSLETQSQSLSRSQSMDIDGVSCEKSMSQV DVDSGIENMEVDENDRREKRSLSDKEPSSGPEVSEEQALQLVCKIFRVSWKDRDRDVIFL SSLSAQFKQNPKEVFSDFKDLIGQILMEVLMMSTQTRDENPFASLTATSQPIATAARSPD RNLMLNTGSSSGTSPMFCNMGSFSTSSLSSLGASGGASNWDSYSDHFTIETCKETDMLNY LIECFDRVGIEEKKAPKMCSQPAVSQLLSNIRSQCISHTALVLQGSLTQPRSLSPFYKAW RWLPKSAPWKATTLSTPSWHWVNSVKPSLGRHTLCAIWSLLYPCGCRSP >ENSMUSP00000134452.1 pep:known chromosome:GRCm38:4:149329676:149425905:-1 gene:ENSMUSG00000028960.18 transcript:ENSMUST00000172836.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube4b description:ubiquitination factor E4B [Source:MGI Symbol;Acc:MGI:1927086] MEELSADEIRRRRLARLAGGQTSQPTTPLTSPQRENPPGPPIAASAPGPSQSLGLNVHNM TPATSPIGAAGVAHRSQSSEGVSSLSSSPSNSLETQSQSLSRSQSMDIDGVSCEKSMSQV DVDSGIENMEVDENDRREKRSLSDKEPSSGPEVSEEQALQLVCKIFRVSWKDRDRDVIFL SSLSAQFKQNPKEVFSDFKDLIGQILMEVLMMSTQTRDENPFASLTATSQPIATAARSPD RNLMLNTGSSSGTSPMFCNMGSFSTSSLSSLGASGGASNWDSYSDHFTIETCKETDMLNY LIECFDRVGIEEKKAPKMCSQPAVSQLLSNIRSQCISHTALVLQGSLTQPRSLQQPSFLV PYMLCRNLPYGFIQELVRTTHQDEEVFKQIFIPILQGLALAAKECSLESDYFKYPLMALG ELCETKFGKTHPMCNLVASLPLWLPKSLSPGSGRELQRLSYLGAFFSFSVFAEDDAKVVE KYFSGPAITLENTRVVSQSLQHYLELGRQELFKILHSILLNGETREAALSYMAALVNANM KKAQMQADDRLVSTDGFMLNLLWVLQQLSTKIKLETVDPTYIFHPRCRITLPNDETRINA TMEDVNERLTELYGDQPPFSEPKFPTECFFLTLHAHHLSILPSCRRYIRRLRAIRELNRT VEDLKNNESQWKDSPLATRHREMLKRCKTQLKKLVRCKACADAGLLDESFLRRCLNFYGL LIQLMLRILDPAYPDVTLPLNSEVPKVFAALPEFYVEDVAEFLFFIVQYSPQVLYEPCTQ DIVMFLVVMLCNQNYIRNPYLVAKLVEVMFMTNPSVQPRTQKFFEMIENHPLSTKLLVPS LMKFYTDVEHTGATSEFYDKFTIRYHISTIFKSLWQNIAHHGTFMEEFNSGKQFVRYINM LINDTTFLLDESLESLKRIHEVQEEMKNKEQWDQLPRDQQQARQSQLAQDERVSRSYLAL ATETVDMFHLLTKQVQKPFLRPLGPSCCPSFPRSLVPD >ENSMUSP00000021187.5 pep:known chromosome:GRCm38:11:78032280:78037866:1 gene:ENSMUSG00000020834.17 transcript:ENSMUST00000021187.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs13 description:dehydrogenase/reductase (SDR family) member 13 [Source:MGI Symbol;Acc:MGI:1917701] MEMLLLGAGLLLGAYVLVYYNLVKAPSCGGIGSLRGRTVVVTGANSGIGKMTALELARRG ARVVLACRSRERGEAAAFDLRQESGNNEVIFMALDLASLASVQAFATAFLSSEPRLDVLI HNAGISSCGRTRETFNLLLRVNHVGPFLLTHLLLPRLRSCAPSRVVIVSSAAHRRGRLDF TRLDCPVVGWQQELRAYADSKLANVLFARELATQLEGTGVTCYAAHPGPVNSELFLRHLP GWLRPILRPLAWLVLRAPQGGAQTPLYCALQEGIEPLSGRYFANCHVEEVSPAARDDQAA QRLWKATKKLAGLAPGDDDDDPDEEPEPEDPRAPSSQSAPSPEKTTVSGPSHSYQGSQDL SKLTQRRIQVKDEPTP >ENSMUSP00000114674.1 pep:known chromosome:GRCm38:11:78032349:78037791:1 gene:ENSMUSG00000020834.17 transcript:ENSMUST00000144028.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhrs13 description:dehydrogenase/reductase (SDR family) member 13 [Source:MGI Symbol;Acc:MGI:1917701] MEMLLLGAGLLLGAYVLVYYNLVKAPSCGGIGSLRGRTVVVTGKWKQ >ENSMUSP00000112553.1 pep:known chromosome:GRCm38:11:78032352:78037864:1 gene:ENSMUSG00000020834.17 transcript:ENSMUST00000122342.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs13 description:dehydrogenase/reductase (SDR family) member 13 [Source:MGI Symbol;Acc:MGI:1917701] MTALELARRGARVVLACRSRERGEAAAFDLRQESGNNEVIFMALDLASLASVQAFATAFL SSEPRLDVLIHNAGISSCGRTRETFNLLLRVNHVGPFLLTHLLLPRLRSCAPSRVVIVSS AAHRRGRLDFTRLDCPVVGWQQELRAYADSKLANVLFARELATQLEGTGVTCYAAHPGPV NSELFLRHLPGWLRPILRPLAWLVLRAPQGGAQTPLYCALQEGIEPLSGRYFANCHVEEV SPAARDDQAAQRLWKATKKLAGLAPGDDDDDPDEEPEPEDPRAPSSQSAPSPEKTTVSGP SHSYQGSQDLSKLTQRRIQVKDEPTP >ENSMUSP00000090557.3 pep:known chromosome:GRCm38:11:78032359:78033477:1 gene:ENSMUSG00000020834.17 transcript:ENSMUST00000092881.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs13 description:dehydrogenase/reductase (SDR family) member 13 [Source:MGI Symbol;Acc:MGI:1917701] MEMLLLGAGLLLGAYVLVYYNLVKAPSCGGIGSLRGRTVVVTGANSGIGKMTALELARRG ARVVLACRSRERGEAAAFDLRQVCKRRPQSLRKSLLQQDMLVHTCNSSPEEAEEGR >ENSMUSP00000142471.1 pep:known chromosome:GRCm38:9:111117592:111199774:1 gene:ENSMUSG00000032497.15 transcript:ENSMUST00000200094.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip2 description:leucine rich repeat (in FLII) interacting protein 2 [Source:MGI Symbol;Acc:MGI:1918518] MGTPGSGRKRTPVKDRFSAEDEALSNIAREAEARLAAKRAARAEARDIRMRELERQQREL DEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRGSGDTSSLIDPDTSLSELRDIYDLKD QIHDVEGRYMQGLKELKESLSEVEEKYKKAMVSNAQLDNEKNNLIYQVDTLKDV >ENSMUSP00000142851.1 pep:known chromosome:GRCm38:9:111118113:111224222:1 gene:ENSMUSG00000032497.15 transcript:ENSMUST00000196981.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip2 description:leucine rich repeat (in FLII) interacting protein 2 [Source:MGI Symbol;Acc:MGI:1918518] MGTPGSGRKRTPVKDRFSAEDEALSNIAREAEARLAAKRAARAEARDIRMRELERQQREL DEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRGSGDTSSLIDPDTSLSELRDIYDLKD QIHDVEGRYMQGLKELKESLSEVEEKYKKAMVSNAQLDNEKNNLIYQVDTLKDVIEEQEE QMAEFYRENEEKSKELERQKHMCSVLQHKMDELKEGLRQRDELIEKHGLVIIPDSTPNGD VHHEPVVGAITAVSQEAAQVLESAGEGPLDVRLRKLAGEKDELLSQIRKLKLQLEEERQK CSRNDGMSGDLAGLQNGSDLQFIEMQRDANRQISEYKFKLSKAEQDIATLEQSISRLEGQ VLRYKTAAENAEKIEDELKAERRKLQRELRTAQDKIEEMEMTNSHLAKRLEKMKANRTAL LAQQ >ENSMUSP00000095944.2 pep:known chromosome:GRCm38:9:111118135:111225668:1 gene:ENSMUSG00000032497.15 transcript:ENSMUST00000098340.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip2 description:leucine rich repeat (in FLII) interacting protein 2 [Source:MGI Symbol;Acc:MGI:1918518] MGTPGSGRKRTPVKDRFSAEDEALSNIAREAEARLAAKRAARAEARDIRMRELERQQREL DEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRGSGDTSSLIDPDTSLSELRESLSEVE EKYKKAMVSNAQLDNEKNNLIYQVDTLKDVIEEQEEQMAEFYRENEEKSKELERQKHMCS VLQHKMDELKEGLRQRDELIEKHGLVIIPDSTPNGDVHHEPVVGAITAVSQEAAQVLESA GEGPLDVRLRKLAGEKDELLSQIRKLKLQLEEERQKCSRNDGMSGDLAGLQNGSDLQFIE MQRDANRQISEYKFKLSKAEQDIATLEQSISRLEGQVLRYKTAAENAEKIEDELKAERRK LQRELRTAQDKIEEMEMTNSHLAKRLEKMKANRTALLAQQ >ENSMUSP00000143322.1 pep:known chromosome:GRCm38:9:111118445:111224222:1 gene:ENSMUSG00000032497.15 transcript:ENSMUST00000197256.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip2 description:leucine rich repeat (in FLII) interacting protein 2 [Source:MGI Symbol;Acc:MGI:1918518] MGTPGSGRKRTPVKDRFSAEDEALSNIAREAEARLAAKRAARAEARDIRMRELERQQRES SSKDITGTHWSRASTPKRRDMMYDTIKDRPSRVSSLLDEKSDKQYAENYTRPSSRNSASA TTPLSGNSSRRGSGDTSSLIDPDTSLSELRESLSEVEEKYKKAMVSNAQLDNEKNNLIYQ VDTLKDVIEEQEEQMAEFYRENEEKSKELERQKHMCSVLQHKMDELKEGLRQRDELIEKH GLVIIPDSTPNGDVHHEPVVGAITAVSQEAAQVLESAGEGPLDVRLRKLAGEKDELLSQI RKLKLQLEEERQKCSRNDGMSGDLAGLQNGSDLQFIEMQRDANRQISEYKFKLSKAEQDI ATLEQSISRLEGQVLRYKTAAENAEKIEDELKAERRKLQRELRTAQDKIEEMEMTNSHLA KRLEKMKANRTALLAQQ >ENSMUSP00000142816.1 pep:known chromosome:GRCm38:9:111119675:111224222:1 gene:ENSMUSG00000032497.15 transcript:ENSMUST00000197241.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip2 description:leucine rich repeat (in FLII) interacting protein 2 [Source:MGI Symbol;Acc:MGI:1918518] MGTPGSGRKRTPVKDRFSAEDEALSNIAREAEARLAAKRAARAEARDIRMRELERQQREG VEDTLSLRSLGSHRLDEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRGSGDTSSLIDP DTSLSELRESLSEVEEKYKKAMVSNAQLDNEKNNLIYQVDTLKDVIEEQEEQMAEFYREN EEKSKELERQKHMCSVLQHKMDELKEGLRQRDELIEKHGLVIIPDSTPNGDVHHEPVVGA ITAVSQEAAQVLESAGEGPLDVRLRKLAGEKDELLSQIRKLKLQLEEERQKCSRNDGMSG DLAGLQNGSDLQFIEMQRDANRQISEYKFKLSKAEQDIATLEQSISRLEGQVLRYKTAAE NAEKIEDELKAERRKLQRELRTAQDKIEEMEMTNSHLAKRLEKMKANRTALLAQQ >ENSMUSP00000142753.1 pep:known chromosome:GRCm38:9:111141331:111193119:1 gene:ENSMUSG00000032497.15 transcript:ENSMUST00000196703.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip2 description:leucine rich repeat (in FLII) interacting protein 2 [Source:MGI Symbol;Acc:MGI:1918518] XAEARLAAKRAARAEARDIRMRELERQQREPSEYSYYSSRASSSRSSPVPIDDDTASIVS SDRASRGRRESVLDEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRGSGDTSSLIDPDT SLSELRDIYDLKDQIHDVEGRYMQGLKELK >ENSMUSP00000143756.1 pep:known chromosome:GRCm38:9:111185192:111214199:1 gene:ENSMUSG00000032497.15 transcript:ENSMUST00000198986.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip2 description:leucine rich repeat (in FLII) interacting protein 2 [Source:MGI Symbol;Acc:MGI:1918518] MQRLGFVSTSHMLDEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRGSGDTSSLIDPDT SLSELRESLSEVEEKYKKAMVSNAQLDNEKNNLIYQVDTLKDVIEEQEEQMAEFYRENEE KSKELERQKHMCSVLQHKMDELKEGLRQRDELIEKHGLVIIPDSTPNGDVHHEP >ENSMUSP00000035078.6 pep:known chromosome:GRCm38:9:111118111:111225668:1 gene:ENSMUSG00000032497.15 transcript:ENSMUST00000035078.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrfip2 description:leucine rich repeat (in FLII) interacting protein 2 [Source:MGI Symbol;Acc:MGI:1918518] MGTPGSGRKRTPVKDRFSAEDEALSNIAREAEARLAAKRAARAEARDIRMRELERQQREG VEDTLSLRSLGSHRLDEKSDKQYAENYTRPSSRNSASATTPLSGNSSRRGSGDTSSLIDP DTSLSELRESLSEVEEKYKKAMVSNAQLDNEKNNLIYQVDTLKDVIEEQEEQMAEFYREN EEKSKELERQKHMCSVLQHKMDELKEGLRQRDELIEKHGLVIIPDSTPNGDVHHEPVVGA ITAVSQEAAQVLESAGEGPLDVRLRKLAGEKDELLSQIRKLKLQLEEERQKCSRNDGMSG DLAGLQNGSDLQFIEMQRDANRQISEYKFKLSKAEQDIATLEQSISRLEGQVLRYKTAAE NAEKIEDELKAERRKLQRELRTAQDKIEEMEMTNSHLAKRLEKMKANRTALLAQQ >ENSMUSP00000039059.2 pep:known chromosome:GRCm38:7:121064067:121074572:-1 gene:ENSMUSG00000035004.3 transcript:ENSMUST00000047194.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf6 description:immunoglobulin superfamily, member 6 [Source:MGI Symbol;Acc:MGI:1891393] MGPVSARRSRLRPEISLILFQVGMVGACTVYVLQPGYLEVDYGSDAVTMECNFSTVGCPP VPPKSLWFRCGTHQPEALCLDGCRNEADKFTVKETLDPDQVFLTVNRLSPNDSAIYICGI AFPNELSPSAKHVGKGTTLVVRERLFSKEVRSFLIVLLALLSVYITGVCVTFIVLFKSKS NGPRSRETKGSKKKSARRIFQEIAQELYHKRYVETSHLPEQEGTDENRKALPNPGRA >ENSMUSP00000119887.1 pep:known chromosome:GRCm38:5:136136146:136140512:1 gene:ENSMUSG00000039754.10 transcript:ENSMUST00000136634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh4 description:alkB homolog 4, lysine demethylase [Source:MGI Symbol;Acc:MGI:1919291] MVRLMDCDPWKLSQSGRKKQDYGPKVNFRKQKLKMAGFQGLPGFSQKVVQRMGLYPGLED FQPVEQCNLDYSPERGSAIDPHLDDAWLWGERLVSLNLLSATVVSMSPEAPGSLLLCSAP SVRPDAFEDSL >ENSMUSP00000040403.3 pep:known chromosome:GRCm38:5:136136154:136141612:1 gene:ENSMUSG00000039754.10 transcript:ENSMUST00000041100.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh4 description:alkB homolog 4, lysine demethylase [Source:MGI Symbol;Acc:MGI:1919291] MAAAAEVSLLQECGCKGIRTCLICERQRHRDPPWQICLQKKCCFLYCPDTGWAAGAEGSD LEGWAFPFPGVTLIQDFVTPEEEAEMVRLMDCDPWKLSQSGRKKQDYGPKVNFRKQKLKM AGFQGLPGFSQKVVQRMGLYPGLEDFQPVEQCNLDYSPERGSAIDPHLDDAWLWGERLVS LNLLSATVVSMSPEAPGSLLLCSAPSVRPDAFEDSLVAPSRSVPCQEVEVAITVPRRSLL VLTGAARHQWTHAIHRRHIKARRVCATFRELSSEFLPGGKQQELGQELLQAALSFQGRPV >ENSMUSP00000117167.1 pep:known chromosome:GRCm38:5:136136158:136140778:1 gene:ENSMUSG00000039754.10 transcript:ENSMUST00000125923.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alkbh4 description:alkB homolog 4, lysine demethylase [Source:MGI Symbol;Acc:MGI:1919291] MAAAAEVSLLQECGCKGIRTCLICERQRHRDPPWQICLQVRTRKRVIARKSIHLNGKLRP SLPVKQPRTKASR >ENSMUSP00000116402.1 pep:known chromosome:GRCm38:5:136136202:136140503:1 gene:ENSMUSG00000039754.10 transcript:ENSMUST00000143229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh4 description:alkB homolog 4, lysine demethylase [Source:MGI Symbol;Acc:MGI:1919291] LLQECGCKGIRTCLICERQRHRDPPWQICLQKKCCFLYCPDTGWAAGAEGSDLEGWAFPF PGVTLIQDFVTPEEEAEMVRLMDCDPWKLSQSGRKKQVRWPELSRGLWP >ENSMUSP00000098134.2 pep:known chromosome:GRCm38:5:136138781:136141615:1 gene:ENSMUSG00000039754.10 transcript:ENSMUST00000100568.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh4 description:alkB homolog 4, lysine demethylase [Source:MGI Symbol;Acc:MGI:1919291] MVRLMDCDPWKLSQSGRKKQDYGPKVNFRKQKLKMAGFQGLPGFSQKVVQRMGLYPGLED FQPVEQCNLDYSPERGSAIDPHLDDAWLWGERLVSLNLLSATVVSMSPEAPGSLLLCSAP SVRPDAFEDSLVAPSRSVPCQEVEVAITVPRRSLLVLTGAARHQWTHAIHRRHIKARRVC ATFRELSSEFLPGGKQQELGQELLQAALSFQGRPV >ENSMUSP00000103997.1 pep:known chromosome:GRCm38:11:77982754:78026214:1 gene:ENSMUSG00000037791.15 transcript:ENSMUST00000108360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf12 description:PHD finger protein 12 [Source:MGI Symbol;Acc:MGI:1924057] MWEKMETKTIVYDLDTSGGLMEQIQALLAPPKTDEAEKRSRKPEKESRRSGRATNHDSCD SCKEGGDLLCCDHCPAAFHLQCCNPPLSEEMLPPGEWMCHRCTVRRKKREQKKELGHVNG LVDKSSKRTTSPSSDTDLLDRPASKTELKAIAHARILERRASRPGTPTSNASTETPTSEH NDVDEDIVDVDEEPVAAEPDYVQPQLRRPFELLIAAAMERNPTQFQLPNELTCTTALPGS SKRRRKEETTGKNVKRTQHELDHNGLVPLPVKVCFTCNRSCRVAPLIQCDYCPLLFHMDC LEPPLTAMPLGRWMCPNHIEHVVLNQKNLTLSNRCQVFDRFQDTISQHVVKVDFLNRIHK KHPPNRRVLQSVKRRSLKVPDAIKSQYQFPPPLIAPAAIRDGELICSGVPEESQTHLLNS EHLATQAEQQEWLCSVVALQCSILKHLSAKQMPSPWDSEQTEKADIKPVIVTDSSITTSL QTADKAPLPSHYPLSCPSAVSTQNSLGCSPPHQPPTLEDISCSSCVEKSKKAPCGTANGP VNTEIKANGPHLYSSPTDSTDPRRLPGANTPLPGLTHRQGWPRPLTPPSAGGLQNHVGII VKTENATGPSSCPQRSLVPVPSLPPSIPSSCASIENTSTLHRKTVQSQIGPSSTESRPLG SPPNATRVLTPPQAAGDSILATGANQRFCSPAPSSDGKVSPGTLSIGSALTVPSFPANST AMVDLTNSLRAFMDVNGESTSAFPFPGPSFTGQCWDTSAGFWRAPPRRCARVHMPATTPS ALLSDVCE >ENSMUSP00000044990.7 pep:known chromosome:GRCm38:11:77982802:78030539:1 gene:ENSMUSG00000037791.15 transcript:ENSMUST00000049167.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf12 description:PHD finger protein 12 [Source:MGI Symbol;Acc:MGI:1924057] MWEKMETKTIVYDLDTSGGLMEQIQALLAPPKTDEAEKRSRKPEKESRRSGRATNHDSCD SCKEGGDLLCCDHCPAAFHLQCCNPPLSEEMLPPGEWMCHRCTVRRKKREQKKELGHVNG LVDKSSKRTTSPSSDTDLLDRPASKTELKAIAHARILERRASRPGTPTSNASTETPTSEH NDVDEDIVDVDEEPVAAEPDYVQPQLRRPFELLIAAAMERNPTQFQLPNELTCTTALPGS SKRRRKEETTGKNVKRTQHELDHNGLVPLPVKVCFTCNRSCRVAPLIQCDYCPLLFHMDC LEPPLTAMPLGRWMCPNHIEHVVLNQKNLTLSNRCQVFDRFQDTISQHVVKVDFLNRIHK KHPPNRRVLQSVKRRSLKVPDAIKSQYQFPPPLIAPAAIRDGELICSGVPEESQTHLLNS EHLATQAEQQEWLCSVVALQCSILKHLSAKQMPSPWDSEQTEKADIKPVIVTDSSITTSL QTADKAPLPSHYPLSCPSAVSTQNSLGCSPPHQPPTLEDISCSSCVEKSKKAPCGTANGP VNTEIKANGPHLYSSPTDSTDPRRLPGANTPLPGLTHRQGWPRPLTPPSAGGLQNHVGII VKTENATGPSSCPQRSLVPVPSLPPSIPSSCASIENTSTLHRKTVQSQIGPSSTESRPLG SPPNATRVLTPPQAAGDSILATGANQRFCSPAPSSDGKVSPGTLSIGSALTVPSFPANST AMVDLTNSLRAFMDVNGEIEINMLDEKLIKFLALQRVHQLFPSRVQASPGNVGTHPLASG GHHPEVQRKEVQARAVFCPLLGLGGAVNMCYRTLYIGTGADMDVCLTNYGHCNYVSGKHA CIFYDENTKHYELLNYSEHGTTVDNVLYSCDFSEKTPPTPPSSIVAKVQSVIRRRRHQKQ DEEPSEEAAMMSSQAQGPQRRPCNCKASSSSLIGGSGAGWEGTALLHHGSYIKLGCLQFV FSITEFATKQPKGDASLLQDGVLAEKLSLKPHQGPVLRSNSVP >ENSMUSP00000119390.1 pep:known chromosome:GRCm38:11:78023381:78026192:1 gene:ENSMUSG00000037791.15 transcript:ENSMUST00000153428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf12 description:PHD finger protein 12 [Source:MGI Symbol;Acc:MGI:1924057] PHLYSSPTDSTDPRRLPGANTPLPGLTHRQGWPRPLTPPSAGGLQNHVGIIVKTENATGP SSCPQRSLVPVPSLPPSIPSSCASIENTSTLHRKTVQSQIGPSSTESRPLGSPPNATRVL TPPQAAGDSILATGANQRFCSPAPSSDGKVSPGTLSIGSALTVPSFPANSTAMVDLTNSL RAFMDVNGEIEINMLDEKLIKFLALQRVHQLFPSRVQASPGNVGTHPLASGGHHPEGARA CICLPPHHQLSCLMCVNDHLAPWRISDFSSLNSLYLWV >ENSMUSP00000130741.1 pep:known chromosome:GRCm38:11:78023629:78026182:1 gene:ENSMUSG00000037791.15 transcript:ENSMUST00000153747.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phf12 description:PHD finger protein 12 [Source:MGI Symbol;Acc:MGI:1924057] XSIENTSTLHRKTVQSQIGPSSTESRPLGSPPNATRVLTPPQAAGDSILATGANQRFCSP APSSALGVPEPVG >ENSMUSP00000127391.1 pep:known chromosome:GRCm38:11:78023696:78028847:1 gene:ENSMUSG00000037791.15 transcript:ENSMUST00000131680.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phf12 description:PHD finger protein 12 [Source:MGI Symbol;Acc:MGI:1924057] ESRPLGSPPNATRVLTPPQAAGDSILATGANQRFCSPAPSSALGVPEPVG >ENSMUSP00000131016.1 pep:known chromosome:GRCm38:11:78023719:78026016:1 gene:ENSMUSG00000037791.15 transcript:ENSMUST00000123662.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phf12 description:PHD finger protein 12 [Source:MGI Symbol;Acc:MGI:1924057] XPNATRVLTPPQAAGDSILATGANQRFCSPAPSSALGVPEPVG >ENSMUSP00000056693.6 pep:known chromosome:GRCm38:5:138255482:138259395:1 gene:ENSMUSG00000050552.8 transcript:ENSMUST00000062067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamtor4 description:late endosomal/lysosomal adaptor, MAPK and MTOR activator 4 [Source:MGI Symbol;Acc:MGI:1913346] MTSALTQGLERIPDQLGYLVLSEGAVLASSGDLENDEQAASAISELVSTACGFQLHHGTN IPFKRLSVVFGEHTLLVTVSGQRVFVVKRQNRGREPIDV >ENSMUSP00000044801.6 pep:known chromosome:GRCm38:9:66350526:66508775:1 gene:ENSMUSG00000038664.16 transcript:ENSMUST00000042824.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc1 description:HECT and RLD domain containing E3 ubiquitin protein ligase family member 1 [Source:MGI Symbol;Acc:MGI:2384589] MATMVPPVKLKWLEHLNSSWITEDSESIATREGVTVLYSKLISNKEVVPLPQQVLCLKGP QLPDFERESLSSDEQDHYLDALLSSQLALAKMVCSDSPFAGALRKRLLVLQRVFYALSNK YHDKGKVKQQQHSPESSSGSADVHSVSERPRSSTDALIEMGVRTGLSLLFALLRQSWMMP VSGPGLSLCNDVIHTAIEVVSSLPPLSLANESKIPPMGLDCLSQVTTFLKGVTIPNSGAD TLGRRLASELLLGLAAQRGSLRYLLEWIEMALGASAVVYTMEKNKLLSSQEGMISFDCFM AILMQMRRSLGSSADRSQWREPTRTSEGLCSLYEAALCLFEEVCRMASDYSRTCASPDSI QTGDAPIVSETCEVYVWGSNSSHQLVEGTQEKILQPKLAPSFSDAQTIEAGQYCTFVIST DGSVRACGKGSYGRLGLGDSNNQSTLKKLTFEPHRSIKKVSSSKGSDGHTLAFTTEGEVF SWGDGDYGKLGHGNSSTQKYPKLIQGPLQGKVVVCVSAGYRHSAAVTEDGELYTWGEGDF GRLGHGDSNSRNIPTLVKDISNVGEVSCGSSHTIALSKDGRTVWSFGGGDNGKLGHGDTN RVYKPKVIEALQGMFIRKVCAGSQSSLALTSTGQVYAWGCGACLGCGSSEATALRPKLIE ELAATRIVDISIGDSHCLSLSHDNEVYAWGNNSMGQCGQGNSTGPITKPKKVSGLDGIAI QQISAGTSHSLAWTALPRDRQVVAWHRPYCVDLEESTFSHLRSFLERYCDKINSEIPPLP FPSSREHHNFLKLCLKLLSNHLALALAGGVATSILGRQAGPLRNLLFRLMDSTVPDEIQE VVIETLSVGATMLLPPLRERMELLHSLLPQGPDRWESLSKGQRMQLDIILTSLQDHTHVA SLLGYSSPSDAADLSTVCMGYGNLSDQPYGSQICHPDTHLAEILMKTLLRNLGFYTDQAF GELEKNSDKYLLGTSSSENSQPAHLHELLCSLQKQLLAFCHINNVTENSSSVALLHKHLQ LLLPHATDIYSRSANLLKESPWNGSVGEKLRDVIYVSAAGSMLCQIVNSLLLLPVSVARP LLSYLLDLLPPLDCLNRLLPAAALLEDQELQWPLHGGPEVIDPAGVPLPQPAQSWVWLVD LERTIALLIGRCLGGMLQGSPVSPEEQDTAYWMKTPLFSDGVEMDTPQLDKCMSCLLEVA LSGNEEQKPFDYKLRPEVAVYVDLALGCSKEPARSLWISMQDYAVSKDWDSATLSNESLL DTVSRFVLAALLKHTNLLSQACGESRYQPGKSLSEVYRCVYKVRSRLLACKNLELIQTRS SSRDRWITDNQDSADVDPQEHSFTRTIDEEAEMEELAERDREDGHPEPEDEEEEREHEVM TAGKIFQCFLSAREVARSRDRDRMNSGAGSGVRADDPPPQSQQERRVSTDLPEGQDVYTA ACNSVIHRCALLILGVSPVIDELQKRREEGQLQQPSVSASEGTGLMTRSESLTAESRLVH ASPSYRLIKSRSESDLSQPESDEEGYALSGRRNVDFDLASSHRKRGPMHSQLESLSDSWT RLKHTRDWFYNSSYSFESDFDLTKSLGVHTLIENVVSFVSGDVGNAPGFKEPEESMSTSP QASIIAMEQQQLRAELRLEALHQILTLLSGMEEKGNVLLTGSRSSSGFQSSTLLTSVRLQ FLAGCFGLGTVGHTGTKGESGRLHHYQDGIRAAKRNIQVEIQVAVHKIYQQLSATLERAL QANKHHIEAQQRLLLVTVFALSVHYQPVDVSLAISTGLLNVLSQLCGTDTMLGQPLQLLP KTGVSQLSTALKVASTRLLQILAITTGTYADKLSPKVVQSLLDLLCSQLKNLLSQTGVLF MASFGEGEEGEEEEKKVDSSGEAEKRDFRAALRKQHAAELHLGDFLVFLRRVVSSKAIQS KMASPKWTEVLLNIASQKCSSGIPLVGNLRTRLLALHVLEAVLPACESGVEDDQMAQVVE RLFSLLSDCMWETPIAQAKHAIQIKEKEQEIKLQKQGELEEEDENLPIQEVSFDPEKAQC CIVENGQILTHGSGGKGYGLASTGVTSGCYQWKFYIVKENRGNEGTCVGVSRWPVHDFNH RTTSDMWLYRAYSGNLYHNGEQTLTLSSFTQGDFITCVLDMEARTISFGKNGEEPKLAFE DVDAAELYPCVMFYSSNPGEKVKICDMQMRGTPRDLLPGDPICSPVAAVLAEATIQLIRI LHRTDRWTYCINKKMMERLHKIKICIKESGQKLKKSRSVQSREENEMREEKENKEEEKGK HNRHGLADLSEPQLRTLCIEVWPVLAVIGGVDAGLRVGGRCVHKQTGRHATLLGVVKEGS TSAKVQWDEAEITISFPTFWSPSDTPLYNLEPCEPLLFDVARFRGLTASVLLDLTYLTGI HEDVGKQSIKRHEKKHRHESEEKGDIEQKPESESILDVRTGLMSDDVKSQGTTSSKSENE IASFSLEPTLPGVESQHQITEGKRKNHEHISKTHDIAQSEIRAVQLSYLYLGAMKSLSAL LGCSKYAELLLIPKVLAENGHNSDCASSPVVHEDVEMRAALQFLMRHMVKRAVMRSPIKR ALGLADLERAQAMIYKLVVHGLLEDQFGGKIKQEIDQQAEESDQAQQAQTPVTTSPSASS TTSFMSSSLEDTTTATTPVTDTETVPASESPGVMPLSLLRQMFSSYPTTTVLPTRRAQTP PISSLPASPSDEVGRRQSLTSPDSQSTRPANRTALSDPSSRLSTSPPPPAIAVPLLEMGF SLRQIAKAMEATGARGEADAQSITVLAMWMIEHPGHEDEEEPQPSSTADSRHGATVLGSG GKSNDPCYLQSPGDIPSADAAEMEEGFSESPDNLDHTENAASGSGPPTRGRSTVTRRHKF DLAARTLLARAAGLYRSVQAHRNQSRREGISLQQDPGALYDFNLDEELEIDLDDEAMEAM FGQDLTSDNDILGMWIPEVLDWPTWHVCESEDREEVVVCELCECNVVSFNQHMKRNHPGC GRSANRQGYRSNGSYVDGWFGGECGSGNPYYLLCGSCREKYLALKTKTKTTNSERYKGQA PDLIGKQDSVYEEDWDMLDVDEDEKLTGEEEFELLAGPLGLNDRRIVPEPVQFPDSDPLG ASVAMVTATNSMEETLMQIGCHGSVEKSSSGRVTLGEQAAALANPHDRVVALRRVTAAAQ VLLARTMVMRALSLLSVSGSSCSLAAGLESLGLTDIRTLVRLMCLAAAGRAGLSTSPSAI ASTSERSRGGHSKASKPISCLAYLSTAVGCLASNTPSAAKLLVQLCTQNLISAATGVNLT TVDDPIQRKFLPSFLRGIAEENKLVTSPNFVVTQALVALLADKGAKLRPNYDKTEIEKKG PLELANALAACCLSSRLSSQHRQWAAQQLVRTLAAHDRDNQTAPQTLADMGGDLRKCSFI KLEAHQNRVMTCVWCNKKGLLATSGNDGTIRVWNVTKKQYSLQQTCVFNRLEGDAEESLG SPSDPSFSPVSWSISGKYLAGALEKMVNIWQVNGGKGLVDIQPHWVSALAWPEEGPATTW SGESPELLLVGRMDGSLGLIEVVDVSTMHRRELEHCYRKDVSVTCIAWFSEDRPFAVGYF DGKLLMGTKEPLEKGGIVLIDAHKETLVSMKWDPTGHILMTCAKEENVKLWGPVSGCWRC LHSLCHPSTVNGIAWCSLPGKGSKMQLLMATGCQNGLVCVWRIPQDTTQTSMTSSEGWWD QESNCQDGYRKSAGAKCVYQLRGHITPVRTVAFSSDGLALVSGGLGGLMNIWSLRDGSVL QTVVIGSGAIQTTVWIPEVGVAACSNRSKDVLVVNCTAEWASANHILATCRTALKQQGVL GLNMAPCMRAFLERLPMMLQEQYAYEKPHVVCGDQLVHSPYMQCLASLAVGLHLDQLLCN PPVPPHHQNCLPDPTSWNPNEWAWLECFSTTIKAAEALTNGAQFPESFTVPDLEPVPEDE LVLLMDNSKWINGMDEQIMSWATSRPEDWHLGGKCDVYLWGAGRHGQLAEAGRNVMVPAT APSFSQAQQVICGQNCTFVIQANGTVLACGEGSYGRLGQGNSDDLHVLTVISALQGFVVT QLVTSCGSDGHSMALTESGEVFSWGDGDYGKLGHGNSDRQRRPRQIEALQGEEVVQMSCG FKHSAVVTSDGKLFTFGNGDYGRLGLGNTSNKKLPERVTALEGYQIGQVACGLNHTLAVS ADGSMVWAFGDGDYGKLGLGNSTAKSSPQKVDVLCGIGIKKVACGTQFSVALTKDGHVYT FGQDRLIGLPEGRARNHNRPQQIPVLAGVVIEDVAVGAEHTLALASTGDVYAWGSNSEGQ LGLGHTNHVREPTLVTVLQGKNIRQISAGRCHSAAWTAPPVPPRAPGVSVPLQLGLPDAV PPQYGALREVSIHTVRARLRLLYHFSDLMYSSWRLLNLSPNNQNSTSHYNAGTWGIVQGQ LRPLLAPRVYTLPMVRSIGKTMVQGKNYGPQITVKRISTRGRKCKPIFVQIARQVVKLNA SDLRLPSRAWKVKLVGEGADDAGGVFDDTITEMCQELETGIVDLLIPSPNATAEVGYNRD RFLFNPSACLDEHLMQFKFLGILMGVAIRTKKPLDLHLAPLVWKQLCCVPLTLEDLEEVD LLYVQTLNSILHIEDSGITEESFHEMIPLDSFVGQSADGKMVPIIPGGNSIPLTFSNRKE YVERAIEYRLHEMDRQVAAVREGMSWIVPVPLLSLLTAKQLEQMVCGMPEICVDVLKKVV RYREVDEQHQLVQWLWRTLEEFSNEERVLFMRFVSGRSRLPANTADISQRFQIMKVDRPY DSLPTSQTCFFQLRLPPYSSQLVMAERLRYAINNCRSIDMDNYMLSRNVDNAEGSDTDY >ENSMUSP00000119991.1 pep:known chromosome:GRCm38:9:66451126:66464754:1 gene:ENSMUSG00000038664.16 transcript:ENSMUST00000135159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc1 description:HECT and RLD domain containing E3 ubiquitin protein ligase family member 1 [Source:MGI Symbol;Acc:MGI:2384589] XAQSEIRAVQLSYLYLGAMKSLSALLGCSKYAELLLIPKVLAENGHNSDCASSPVVHEDV EMRAALQFLMRHMVKRAVMRSPIKRALGLADLERAQAMIYKLVVHGLLEDQFGGKIKQEI DQQAEESDQAQQAQTPVTTSPSASSTTSFMSSSLEDTTTATTPVTDTETVPASESPGVMP LSLLRQMFSSYPTTTVLPTRRAQTPPISSLPASPSDEVGRRQSLTSPDSQSTRPANRTAL SDPSSRLSTSPPPPAIAVPLLEMGFSLRQIAKAMEATGARGEADAQSITVLAMWMIEHPG HEDEEEPQPSSTADSRHGATVLGSGGKSNDPCYLQSPGDIPSADAAEMEEGFSESPDNLD HTENAASGSGPPTRGRSTVTRRHKFDLAARTLLARAAGLYRSVQAHRNQSRREGISLQQD PGALYDFNLDEELEIDLDDEAMEAMFGQDLTSDNDILGMWIPEHVCESEDREEVVVCELC ECNVVSFNQHMKRNHPGCGRSANRQGYRSNGSYVDGWFGGECGSGNPYYLLCGSCREKYL ALKTKTKTTNSERYKGQAPDLIGKQDSVY >ENSMUSP00000044178.4 pep:known chromosome:GRCm38:6:91515928:91522625:1 gene:ENSMUSG00000034192.5 transcript:ENSMUST00000040607.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm3 description:LSM3 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914928] MADDVDQQQTTNTVEEPLDLIRLSLDERIYVKMRNDRELRGRLHAYDQHLNMILGDVEET VTTIEIDEETYEEIYKSTKRNIPMLFVRGDGVVLVAPPLRVG >ENSMUSP00000145568.1 pep:known chromosome:GRCm38:6:91516048:91522620:1 gene:ENSMUSG00000034192.5 transcript:ENSMUST00000206947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm3 description:LSM3 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914928] MADDVDQQQTTNTVEEPLDLIRLSLDERIYVKMRNDRELRGRLHAYDQHLNMILGDVEET VTTIEIDEETYEEIYKSTKRNIPMLFVRGDGVVLVAPPLRVG >ENSMUSP00000087208.2 pep:known chromosome:GRCm38:2:125563088:125625113:-1 gene:ENSMUSG00000068394.4 transcript:ENSMUST00000089776.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep152 description:centrosomal protein 152 [Source:MGI Symbol;Acc:MGI:2139083] MSLEFGSVALQTQNEDEEFDKEDFEREKELQQLLTDLPHDMLDDELSSPERHDSDCSMDG RAAEPHPSEHLERKWIERDILPKPHSMNCGNGWEENRSKTEDQHLGYHPGEGGDEGGSGY SPPGKREQADLYRLPEDFRPYTGGSKQAASVITFSDPQRDNFQQFGLSRGPSCGALEPYK AVYKPYRNSSVQKNSSPAQEVAASDMFEGLQQQFLGANETDSAENIHIIQLQVLNKAKER QLDSLVEKLKDSERQVRYLSHQLLIVQDEKDGLALSLRESQQLFQNGKEREMQLEAQIAA LEAQVEAFRVSEEKLTKKLRTTEITLESLKQQLVELHHSESLQRAREHHESIVASLTQKH EEQVSSLQKNLDATITALQEQESICTRLKDHVQQLERNQEAVRLEKTELINRLTRSLEDS QKQCAHLLQSGSVQEVAQLQLQLQQAQKAHVLSESMNKALQEELTELKDEISLYESAAEL GVLPGDSEGDLSIELTESCVDLGIKKVNWKQSKANRVTQQESPDEDPSKDELILKLKTQV QRLLTSNSVKRHLVSQLQSDLRECRETMEAFQQSKDGDSGMETKTDTSEKTTKQLWLESS EAINREDILQLKNEVQVLQKQNQELKEAEEKLRSTNQDLCNQMRQMVQEFDHDKQEAVAR CERTYQQHHEAMKAQIRESLLAKHAVEKQHLLEVYEGTQSQLRSDLDKMNKEMAAVQECY LEVCREKDGLESTLRKTMEKAQEQKRQLLEAREEYVRKLKLELEEKYQETLKTERQSWLQ EQAAGATQQAEKESRQKLIQQLEKEWQSKLDDSLAAWRKTTSDRGSQTEQVACPAAVSKA EAAAVLAEEQARQVQQEKELATKEALRKPEVELELKYCEIIAQKVETAVQNARSRWIQEL PMLAEYKALLRAQQQEWAKQQELAVAHRLSLALSEAKEKWKSELENMKPNVMSVKELEEK VHSLQKELELKDEEVPVIVRAEVAKARTEWNKEKQEEIHKIQEQNEEDYRQFLEDHRNKI NEVLAAAKEDFVKQKAELLLQKETEFQACLDQSRKEWTLQEAQQTQVEIRQYEEDTLTVL AYLLKDTQLEYGGDSQDKQLLEAMSACSSKWISVQYFEKVKACIQKALHDMLSLLTDSVA SEQEKRKVVKSSADTVSWTSSEGDSAVPVPLPDSTSVRCAQSSAWLKAEAETDKKICEIK GLRCGHCFQELEKEKQECQDLRRKLEKCRRHLQHLERTHRAAVEKLGEENSRVVEELIEE NHDMKNKLEALRALCRTPPRSLSAGAAESAGPSCSRQALEELRGQYIKAVRKIKRDMLRY IQESKERAAEMVKAEVLRERQETARKMRNYYLSCLQQILQDNGKEEGAEKKIMSAASKLA TMAELLGTIAESDCRVRCAQAGRSVALPLASEMLTGTERSERSGVNHNIPHYVESKPNSG KTLPRSVCEQLPGRKAAPRSQRRLEESKHREMRPMASTALPSDCRCGDASCRHSGVLAKD VAPEFVPCQGEGGFDLHEKRDALGAGSEPLLYSAAHSFLGGAEKNSSPRCISESRHTTLR SPSEMPRLKALMCGSPTETDSIASEKSQGVGSQDSPVKDGVGPSSSPAWPSDSTLPCGSP AVLFLGDGSQRTQEMLGDSVQWKQFSATSCHPDAQKSNMVCRSSHTLDLPKETLHSQQGK MGATLGHPSPQSTDMLKTDFKRLSGTGPSSLCQKPLIKLTAPMPSQQDSGFDSPLE >ENSMUSP00000030897.8 pep:known chromosome:GRCm38:4:154170730:154275713:1 gene:ENSMUSG00000057751.14 transcript:ENSMUST00000030897.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf6 description:multiple EGF-like-domains 6 [Source:MGI Symbol;Acc:MGI:1919351] MPVGVEARASWRVVALTLLLLPAVPAASQPLPPRPLQPSMPHVCAEQKLTLVGHRQPCVQ AFSRVVPVWRSGCGQQAWCVGQERRTVYYMSYRQVYATEARTVFRCCPGWSQKPGQEGCL SDVDECANANGGCEGPCCNTVGGFYCRCPPGYQLQGDGKTCQDVDECRSHNGGCQHRCVN TPGSYLCECKPGFRLHTDGRTCLAISSCTLGNGGCQHQCVQLTVTQHRCQCRPQYQLQED GRRCVRRSPCADGNGGCMHTCQELRGLAHCGCHPGYQLAADRKACEDVDECALGLAQCAH GCLNTQGSFKCVCHAGYELGADGRQCYRIEMEIVNSCEAGNGGCSHGCSHTSTGPLCTCP RGYELDEDQKTCIDIDDCANSPCCQQVCANTPGGYECSCFAGYRLNTDGCGCEDVDECAS GHSGCEHHCSNLAGSFQCFCEAGYRLDEDRRGCTPLEESVVDLDGQLPFVRPLPHIAVLG DELPQLFQDDYGAEEEAVAELRGEHTLTEKFVCLDHSFGHDCSLTCDDCRNGGTCFPGLD GCDCPEGWTGIICNETCPPDTFGKNCSSPCICQNGGTCDPVSGACRCPPGVSGAHCEDGC PKGFYGKHCRKKCHCANRGRCHRLYGACLCDPGLYGRFCHLACPPWAFGPGCSEDCLCEQ SHTRSCNSKDGSCSCKAGFQGERCEAECEPGSFGPGCRNRCTCRPGVACDPVSGECRTQC PPGYQGEDCGQECPVGTFGVNCSGSCSCVGAPCHRVTGECLCPPGKTGEDCGADCPEGRW GLGCQEICPACEHGASCDPETGTCLCLPGFVGSRCQDACPAGWFGTGCQMRCACANDGHC HPATGRCSCAPGWTGLSCQRACDSGHWGPDCIHPCNCSAGHGNCDAVSGLCLCEAGYEGP QCEQWCRQGYFGPGCEQKCRCEHGATCDHVSGACTCPAGWRGSFCEHACPAGFFGLDCGS ACNCSAGAPCDAVTGSCICPAGRWGPHCAQTCPPLTFGLNCSQICTCFNGASCDPVLGQC HCAPGWMGPTCLQACPAGLYGKNCQHSCLCRNGGNCDPILGQCTCPEGWTGLACENECLP GHHGAGCRLNCSCLNGGTCDRLTGHCRCPTGWTGDKCQSPCVSGMFGVHCEEHCACRKGA TCHHVTGACLCPPGWRGSHCEQACPRGWFGEACAQRCHCPPGASCHHVSGECHCPPGFTG PGCEQACQPGTFGKDCEHPCQCPGETWACHPASGACVCAAGYHGTDCQQRCPSGRYGPGC EQICKCLNGGTCDPATGACYCPAGFLGADCSLACPQGRFGPSCAHVCTCGQGAACDPVSG TCICPPGKTGGHCERGCPQDRFGKGCEHKCACRNGGLCHATNGSCSCPLGWMGPHCEHAC PAGRYGAACLLECSCQNNGSCEPTSGACLCGPGFYGQACEDTCPAGFHGSGCQRVCECQQ GAPCDPVSGRCLCPAGFHGQFCERGCKPGFFGDGCLQQCNCPTGVPCDPISGLCLCPPGR AGTTCDLDCRRGRFGPGCALRCDCGGGADCDPISGQCHCVDSYTGPTCREVPTQLSSIRP APQHSSSKAMKH >ENSMUSP00000121641.1 pep:known chromosome:GRCm38:4:154237525:154271739:1 gene:ENSMUSG00000057751.14 transcript:ENSMUST00000152159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf6 description:multiple EGF-like-domains 6 [Source:MGI Symbol;Acc:MGI:1919351] MEVSRGWGGLAMLWWLLGSLGSATSTHYRYLWRNCYPCHLSQTGYPVSTNDQRPDVDECR SHNGGCQHRCVNTPGSYLCECKPGFRLHTDGRTCLAISSCTLGNGGCQHQCVQLTVTQHR CQCRPQYQLQEDGRRCVRRSPCADGNGGCMHTCQELRGLAHCGCHPGYQLAADRKACEDV DECALGLAQCAHGCLNTQGSFKCVCHAGYELGADGRQCYRIEMEIVNSCEAGNGGCSHGC SHTSTGPLCTCPRGYELDEDQKTCIDIDDCANSPCCQQVCANTPGGYECSCFAGYRLNTD GCGCEDVDECASGHSGCEHHCSNLAGSFQCFCEAGYRLDEDRRGCTPLEESVVDLDGQLP FVRPLPHIAVLGDELPQLFQDDYGAEEEAVAELRGEHTLTEKFVCLDHSFGHDCSLTCDD CRNGGTCFPGLDGCDCPEGWTGIICNETCPPDTFGKNCSSPCICQNGGTCDPVSGACRCP PGVSGAHCEDGCPKGFYGKHCRKKCHCANRGRCHRLYGACLCDPGLYGRFCHLACPPWAF GPGCSEDCLCEQSHTRSCNSKDGSCSCKAGFQGERCEAECEPGSFGPGCRNRCTCRPGVA CDPVSGECRTQCPPGYQGEDCGQECPVGTFGVNCSGSCSCVGAPCHRVTGECLCPPGKTG EDCGADCPEGRWGLGCQEICPACEHGASCDPETGTCLCLPGFVGSRCQDACPAGWFGTGC QMRCACANDGHCHPATGRCSCAPGWTGLSCQRACDSGHWGPDCIHPCNCSAGHGNCDAVS GLCLCEAGYEGPQCEQWCRQGYFGPGCEQKCRCEHGATCDHVSGACTCPAGWRGSFCEHA CPAGFFGLDCGSACNCSAGAPCDAVTGSCICPAGRWGPHCAQKCLPGHHGAGCRLNCSCL NGGTCDRLTGHCRCPTGWTGDKCQSPCPRGWFGEACAQRCHCPPGASCHHVSGECHCPPG FTGPGCEQGCPSGRYGPGCEQICKCLNGGTCDPATGACYCPAGFLGADCSLACPQGRFGP SCAHVCTCGQGAACDPVSGTCICPPGKTGGHCERGCPQDRFGKGCEHKCACRNGGLCHAT NGSCSCPLGWMGPHCEHACPAGRYGAACLLECSCQNNGSCEPTSGACLCGPGFYGQACED RRAGTTCDLDCRRGRFGPGCALRCDCGGGADCDPISGQCHCVDSYTGPTCRE >ENSMUSP00000117277.1 pep:known chromosome:GRCm38:4:154266171:154270530:1 gene:ENSMUSG00000057751.14 transcript:ENSMUST00000128700.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Megf6 description:multiple EGF-like-domains 6 [Source:MGI Symbol;Acc:MGI:1919351] XSCLCRNGGNCDPILGQCTCPEGWTGLACENECLPGHHGAGCRLNCSCLNGGTCDRLTGH CRCPTGWTGDKCQSPCPRGWFGEACAQRCHCPPGASCHHVSGECHCPPGFTGPGCEQGCP SGRYGPGCEQICKCLNGGTCDPATGACYCPAGFLGADCSLACPQGRFGPSCAHVCTCGQG AACDPVSGTCICPPGKTGGHCERGCPQDRFGKGCEHKCACRNGGLCHATNGSCSCPLGWM GPHCEHACPAGRYGAACLLECSCQNNGSCEPTSGACLCGPGFYGQACEDTCPAGFHGSGC QRVCECQQGAPCDPVSGRCLCPAGFHGQFCER >ENSMUSP00000077584.5 pep:known chromosome:GRCm38:2:101638282:101649501:-1 gene:ENSMUSG00000061311.6 transcript:ENSMUST00000078494.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rag1 description:recombination activating gene 1 [Source:MGI Symbol;Acc:MGI:97848] MAASLPSTLSFSSAPDEIQHPQIKFSEWKFKLFRVRSFEKAPEEAQKEKDSSEGKPYLEQ SPVVPEKPGGQNSILTQRALKLHPKFSKKFHADGKSSDKAVHQARLRHFCRICGNRFKSD GHSRRYPVHGPVDAKTQSLFRKKEKRVTSWPDLIARIFRIDVKADVDSIHPTEFCHDCWS IMHRKFSSSHSQVYFPRKVTVEWHPHTPSCDICFTAHRGLKRKRHQPNVQLSKKLKTVLN HARRDRRKRTQARVSSKEVLKKISNCSKIHLSTKLLAVDFPAHFVKSISCQICEHILADP VETSCKHLFCRICILRCLKVMGSYCPSCRYPCFPTDLESPVKSFLNILNSLMVKCPAQDC NEEVSLEKYNHHVSSHKESKETLVHINKGGRPRQHLLSLTRRAQKHRLRELKIQVKEFAD KEEGGDVKAVCLTLFLLALRARNEHRQADELEAIMQGRGSGLQPAVCLAIRVNTFLSCSQ YHKMYRTVKAITGRQIFQPLHALRNAEKVLLPGYHPFEWQPPLKNVSSRTDVGIIDGLSG LASSVDEYPVDTIAKRFRYDSALVSALMDMEEDILEGMRSQDLDDYLNGPFTVVVKESCD GMGDVSEKHGSGPAVPEKAVRFSFTVMRITIEHGSQNVKVFEEPKPNSELCCKPLCLMLA DESDHETLTAILSPLIAEREAMKSSELTLEMGGIPRTFKFIFRGTGYDEKLVREVEGLEA SGSVYICTLCDTTRLEASQNLVFHSITRSHAENLQRYEVWRSNPYHESVEELRDRVKGVS AKPFIETVPSIDALHCDIGNAAEFYKIFQLEIGEVYKHPNASKEERKRWQATLDKHLRKR MNLKPIMRMNGNFARKLMTQETVDAVCELIPSEERHEALRELMDLYLKMKPVWRSSCPAK ECPESLCQYSFNSQRFAELLSTKFKYRYEGKITNYFHKTLAHVPEIIERDGSIGAWASEG NESGNKLFRRFRKMNARQSKCYEMEDVLKHHWLYTSKYLQKFMNAHNALKSSGFTMNSKE TLGDPLGIEDSLESQDSMEF >ENSMUSP00000046033.3 pep:known chromosome:GRCm38:1:135371057:135375237:-1 gene:ENSMUSG00000041889.7 transcript:ENSMUST00000041240.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa4 description:shisa family member 4 [Source:MGI Symbol;Acc:MGI:1924802] MPPAGPRGTAPLAAVVLLVLGAPLALASEDCLWYLDRNGSWHPGFDCEFFTFCCGTCYQR YCCRDLTLLITERQQKHCLAFSPKTIAGIASAVILFVAVVATTICCFLCSCCYLYRRRQQ LQSTFEGQEIPMTGIPMQPVYQYPPDPKAGPAPPQPGFMYPPSGPAPQYPLYPAGPPIYN PAAPPPYMPPQPSYPGA >ENSMUSP00000055709.7 pep:known chromosome:GRCm38:2:153227759:153241426:-1 gene:ENSMUSG00000051413.17 transcript:ENSMUST00000056924.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plagl2 description:pleiomorphic adenoma gene-like 2 [Source:MGI Symbol;Acc:MGI:1933165] MTTFFTSVPPWIQDAKQEEEVGWKLVPRPRGREAESQVKCQCEISGTPFSNGEKLRPHSL PHPEQRPYSCPQLHCGKAFASKYKLYRHMATHSAQKPHQCMYCDKMFHRKDHLRNHLQTH DPNKEALHCSECGKNYNTKLGYRRHLAMHAASSGDLSCKVCLQTFESTQALLEHLKAHSR RVAGGAKEKKHPCDHCDRRFYTRKDVRRHLVVHTGRKDFLCQYCAQRFGRKDHLTRHVKK SHSQELLKIKTEPVDMLGLLSCSSTVSVKEELSPVLCMASRDVMGAKAFPGMLPMGMYGA HIPTMPSAGMPHSLVHNTLPMGMSYPLESSPISSSAQLPPKYQLGSTSYLPDKLPKVEVD SFLAELPGSLSLSSAEPQPASPQPAAAAALLDEALLAKSPANLSEALCAANVDFSHLLGF LPLNLPPCNPPGATGGLVMGYSQAEAQPLLTTLQAQPQDSAGAGGPLNFGPLHSLPPVFT SGLSTTTLPRFHQAFQ >ENSMUSP00000105419.1 pep:known chromosome:GRCm38:2:153227772:153237908:-1 gene:ENSMUSG00000051413.17 transcript:ENSMUST00000109795.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plagl2 description:pleiomorphic adenoma gene-like 2 [Source:MGI Symbol;Acc:MGI:1933165] MTTFFTSVPPWIQDAKQEEEVGWKLVPRPRGREAESQVKCQCEISGTPFSNGEKLRPHSL PHPEQRPYSCPQLHCGKAFASKYKLYRHMATHSAQKPHQCMYCDKMFHRKDHLRNHLQTH DPNKEALHCSECGKNYNTKLGYRRHLAMHAASSGDLSCKVCLQTFESTQALLEHLKAHSR RVAGGAKEKKHPCDHCDRRFYTRKDVRRHLVVHTGRKDFLCQYCAQRFGRKDHLTRHVKK SHSQELLKIKTEPVDMLGLLSCSSTVSVKEELSPVLCMASRDVMGAKAFPGMLPMGMYGA HIPTMPSAGMPHSLVHNTLPMGMSYPLESSPISSSAQLPPKYQLGSTSYLPDKLPKVEVD SFLAELPGSLSLSSAEPQPASPQPAAAAALLDEALLAKSPANLSEALCAANVDFSHLLGF LPLNLPPCNPPGATGGLVMGYSQAEAQPLLTTLQAQPQDSAGAGGPLNFGPLHSLPPVFT SGLSTTTLPRFHQAFQ >ENSMUSP00000106862.3 pep:known chromosome:GRCm38:2:101560781:101628990:-1 gene:ENSMUSG00000027165.16 transcript:ENSMUST00000111231.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230118H07Rik description:RIKEN cDNA B230118H07 gene [Source:MGI Symbol;Acc:MGI:1915420] MLAQIPRPEIMDEDQLMKEVLDKFVNCHEQTYEEFLSTFTHLSKEDNVAKWGAHGTDSSE NIFSTVKWNHKTESNGRHFRNTSIFLHTSSEHSEEDQIVIDEGHKVGSSFQGDMTRAGKV KVDNFLDLEDVDMEEESKPQMNKDCLLLPGEVEQDISTSVPSYDPSVDLPLTSEVRPKPA VGRTQKQTEEILGDEVQPFFLDEEFDYDNVTLTPKFTAGEIATIQELSKQKRVGSGTNVC ELHD >ENSMUSP00000134813.1 pep:known chromosome:GRCm38:2:101561800:101610556:-1 gene:ENSMUSG00000027165.16 transcript:ENSMUST00000136601.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230118H07Rik description:RIKEN cDNA B230118H07 gene [Source:MGI Symbol;Acc:MGI:1915420] XFLSTFTHLSKEDNVAKWGAHGTDSSENIFSTVKWNHKTESNGRHFRNTSIFLHTSSEHS EEDQIVIDEGHKVGSSFQGDMTRAGKVKVDNFLDLEDVDMEEESKPQMNKDTWR >ENSMUSP00000087999.4 pep:known chromosome:GRCm38:2:101562124:101621046:-1 gene:ENSMUSG00000027165.16 transcript:ENSMUST00000090513.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230118H07Rik description:RIKEN cDNA B230118H07 gene [Source:MGI Symbol;Acc:MGI:1915420] MLAQIPRPEIMDEDQLMKEVLDKFVNCHEQTYEEFLSTFTHLSKEDNVAKWGAHGTDSSE NIFSTVKWNHKTESNGRHFRNTSIFLHTSSEHSEEDQIVIDEGHKVGSSFQGDMTRAGKV KVDNFLDLEDVDMEEESKPQMNKDCLLLPGEVEQDISTSVPSYDPSVDLPLTSEVRPKPA VGRTQKQTEEILGDEVQPFFLDEEFDYDNVTLTPKFTAGEIATIQELSKQKRVGSGTNVC ELHD >ENSMUSP00000124783.1 pep:known chromosome:GRCm38:2:101562124:101649532:-1 gene:ENSMUSG00000027165.16 transcript:ENSMUST00000160722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230118H07Rik description:RIKEN cDNA B230118H07 gene [Source:MGI Symbol;Acc:MGI:1915420] MLAQIPRPEIMDEDQLMKEVLDKFVNCHEQTYEEFLSTFTHLSKEDNVAKWGAHGTDSSE NIFSTVKWNHKTESNGRHFRNTSIFLHTSSEHSEEDQIVIDEGHKVGSSFQGDMTRAGKV KVDNFLDLEDVDMEEESKPQMNKDCLLLPGEVEQDISTSVPSYDPSVDLPLTSEVRPKPA VGRTQKQTEEILGDEVQPFFLDEEFDYDNVTLTPKFTAGEIATIQELSKQKRVGSGTNVC ELHD >ENSMUSP00000123987.1 pep:known chromosome:GRCm38:2:101562124:101649532:-1 gene:ENSMUSG00000027165.16 transcript:ENSMUST00000160037.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230118H07Rik description:RIKEN cDNA B230118H07 gene [Source:MGI Symbol;Acc:MGI:1915420] MLAQIPRPEIMDEDQLMKEVLDKFVNCHEQTYEEFLSTFTHLSKEDNVAKWGAHGTDSSE NIFSTVKWNHKTESNGRHFRNTSIFLHTSSEHSEEDQIVIDEGHKVGSSFQGDMTRAGKV KVDNFLDLEDVDMEEESKPQMNKDCLLLPGEVEQDISTSVPSYDPSVDLPLTSEVRPKPA VGRTQKQTEEILGDEVQPFFLDEEFDYDNVTLTPKFTAGEIATIQELSKQKRVGSGTNVC ELHD >ENSMUSP00000135478.1 pep:known chromosome:GRCm38:2:101562125:101576125:-1 gene:ENSMUSG00000027165.16 transcript:ENSMUST00000177152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230118H07Rik description:RIKEN cDNA B230118H07 gene [Source:MGI Symbol;Acc:MGI:1915420] EQDISTSVPSYDPSVDLPLTSEILGDEVQPFFLDEEFDYDNVTLTPKFTAGEIATIQELS KQKRVGSGTNVCELHD >ENSMUSP00000097274.2 pep:known chromosome:GRCm38:2:101562226:101628942:-1 gene:ENSMUSG00000027165.16 transcript:ENSMUST00000099682.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230118H07Rik description:RIKEN cDNA B230118H07 gene [Source:MGI Symbol;Acc:MGI:1915420] MLAQIPRPEIMDEDQLMKEVLDKFVNCHEQTYEEFLSTFTHLSKEDNVAKWGAHGTDSSE NIFSTVKWNHKTESNGRHFRNTSIFLHTSSEHSEEDQIVIDEGHKVGSSFQGDMTRAGKV KVDNFLDLEDVDMEEESKPQMNKDCLLLPGEVEQDISTSVPSYDPSVDLPLTSEVRPKPA VGRTQKQTEELKQALFNTGQEHGLARYRPGIPREWC >ENSMUSP00000114251.2 pep:known chromosome:GRCm38:2:101576035:101628300:-1 gene:ENSMUSG00000027165.16 transcript:ENSMUST00000128898.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230118H07Rik description:RIKEN cDNA B230118H07 gene [Source:MGI Symbol;Acc:MGI:1915420] MLAQIPRPEIMDEDQLMKEVLDKFVNCHEQTYEEFLSTFTHLSKEDNVAKWGAHGTDSSE NIFSTVKWNHKTESNGRHFRNTSIFLHTSSEHSEEDQIVIDEGHKVGSSFQGDMTRAGKV KVDNFLDLEDVDMEEESKPQMNKDCLLLPGEVEQDISTSVPSYDPSVDLPLTSEVRPKPA VG >ENSMUSP00000048830.7 pep:known chromosome:GRCm38:6:37530173:37568815:1 gene:ENSMUSG00000038641.12 transcript:ENSMUST00000040987.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1d1 description:aldo-keto reductase family 1, member D1 [Source:MGI Symbol;Acc:MGI:2384785] MNLSAAHHQISLSDGNNIPLIGLGTYSDPRPVPGKTYVAVKTAIDEGYRHIDGAYVYHNE HEVGEAIREKIAEGKVKREEIFYCGKLWNTEHVPSMVLPALERTLKALKLDYIDLYIIEL PMAFKPGKEIYPRDENGRIIYDKTNLCATWEALEACKDAGLVKSLGVSNFNRRQLELILN KPGLKYKPVTNQVECHPYFTQTKLLKFCQQHDIVIVAHSPLGTCRNPSWVNVSSPPLLND ELLTSLGKKYNKTQAQIVLRFNIQRGIVVIPKSFTPERIKENFQIFDFSLTEEEMKDIDA LNKNVRYVELLMWSDHPEYPFHDEY >ENSMUSP00000102296.2 pep:known chromosome:GRCm38:3:107938847:107943749:-1 gene:ENSMUSG00000068762.11 transcript:ENSMUST00000106685.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm6 description:glutathione S-transferase, mu 6 [Source:MGI Symbol;Acc:MGI:1309467] MPVTLGYWDIRGLGHAIRLLLEYTETGYEEKRYAMGDAPDYDRSQWLNDKFKLDLDFPNL PYLIDGSHKVTQSNAILRYLGRKHNLCGETEEERIRVDILEKQVMDTRIQMGMLCYSADF EKRKPEFLKGLPDQLKLYSEFLGKQPWFAGDKITFADFLVYDVLDQHRMFEPTCLDAFPN LKDFMARFEGLRKISAYMKTSRFLPSPVYLKQATWGNE >ENSMUSP00000102295.1 pep:known chromosome:GRCm38:3:107938848:107943734:-1 gene:ENSMUSG00000068762.11 transcript:ENSMUST00000106684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm6 description:glutathione S-transferase, mu 6 [Source:MGI Symbol;Acc:MGI:1309467] MPVTLGYWDIRGLGHAIRLLLEYTETGYEEKRYAMGDAPDYDRSQWLNDKFKLDLDFPNL PYLIDGSHKVTQSNAILRYLGRKHNLCGETEEERIRVDILEKQVMDTRIQMGMLCYSADF GLHEGYDSYYFLLQEKRKPEFLKGLPDQLKLYSEFLGKQPWFAGDKITFADFLVYDVLDQ HRMFEPTCLDAFPNLKDFMARFEGLRKISAYMKTSRFLPSPVYLKQATWGNE >ENSMUSP00000102294.1 pep:known chromosome:GRCm38:3:107938962:107943362:-1 gene:ENSMUSG00000068762.11 transcript:ENSMUST00000106683.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm6 description:glutathione S-transferase, mu 6 [Source:MGI Symbol;Acc:MGI:1309467] MGDAPDYDRSQWLNDKFKLDLDFPNEKRKPEFLKGLPDQLKLYSEFLGKQPWFAGDKITF ADFLVYDVLDQHRMFEPTCLDAFPNLKDFMARFEGLRKISAYMKTSRFLPSPVYLKQATW GNE >ENSMUSP00000139500.1 pep:known chromosome:GRCm38:3:107939106:107943383:-1 gene:ENSMUSG00000068762.11 transcript:ENSMUST00000155926.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gstm6 description:glutathione S-transferase, mu 6 [Source:MGI Symbol;Acc:MGI:1309467] MGDAPDYDRSQWLNDKFKLDLDFPNLPYLIDGSHKVTQSNAILRYLGRKHNL >ENSMUSP00000102292.1 pep:known chromosome:GRCm38:3:107940994:107943413:-1 gene:ENSMUSG00000068762.11 transcript:ENSMUST00000106681.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm6 description:glutathione S-transferase, mu 6 [Source:MGI Symbol;Acc:MGI:1309467] MGDAPDYDRSQWLNDKFKLDLDFPNLPYLIDGSHKVTQSNAILRYLGRKHNLCGETEEER IRVDILEKQVMDTRIQMGMLCYSADFEKRKPEFLKGLPDQLKLYSEFLGKQPWFAGDKIT FADFLVYDVLDQHRMFEPTCLDAFPNLKDFMARF >ENSMUSP00000067461.4 pep:known chromosome:GRCm38:1:131153181:131180406:1 gene:ENSMUSG00000026427.16 transcript:ENSMUST00000068791.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2d description:eukaryotic translation initiation factor 2D [Source:MGI Symbol;Acc:MGI:109342] MFAKAFRVKSNTAIKGSDRRKLRADVTAAFPALGTDQISELIPGKEELNVVKLYVHKGDS VTVYTSGGNPILFELEKNLYPTVYTLWAYPDILPTFITWPLVLEKLVGGADLMLPGVVVP PTGLPQVQQGDLCAIALVGNRAPVAIGVAAMSTAQMLASGLKGKGVSVLHTYQDHLWRSG DKSSPPAIAPLDPTDSCEEKVHLGLQGNLKSLTLDGEEENGQVPLREASEDTSSRAPSQD SLDGKPLQEQMDDLLLRCFLHALKSRVKKADLPLLTSTLLGSHMFSCCPEGQQLDIKKSS YKKLSKFLQHMQQEQIVQVKELSKGVESIVAVDWRHPRKGSTLEGSEVRKIITDYAKRNR LVDADNRNLVKLDPILCDCILEKNEQHLVTKLPWDCLLTRCLKNMQPAYQVTFPGQEPIL KKGKLCPIDITLALKTYNKKLMDLVLLLFFQDS >ENSMUSP00000137678.1 pep:known chromosome:GRCm38:1:131153181:131187658:1 gene:ENSMUSG00000026427.16 transcript:ENSMUST00000131855.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif2d description:eukaryotic translation initiation factor 2D [Source:MGI Symbol;Acc:MGI:109342] MFAKAFRVKSNTAIKGSDRRKLRADVTAAFPALGTDQISELIPGKEELNVVKLYVHKGDS VTVYTSGGNPILFELEKNLYPTVYTLWAYPDILPTFITWPLVLEKLVGGADLMLPGVVVP PTGLPQVQQGDLCAIALVGNRAPVAIGVAAMSTAQMLASGLKGKGVSVLHTYQDHLWRSG DKSSPPAIAPLDPTDSCEEKVHLGLQGNLKSLTLDGEEENGQVPLREASEDTSSRAPSQD SLDGKPLQEQMDDLLLRCFLHALKSRVKKADLPLLTSTLLGSHMFSCCPEGQQLDIKKSS YKKLSKFLQHMQQEQIVQVKELSKGVESIVAVDWRHPRITSFVIPEPSLTSQTVQEVSRE QPYLPPDIKSLYCVPANMTQLFLESGHKKGSTLEGSEVRKIITDYAKRNRLVDADNRNLV KLDPILCDCILEKNEQHLVTKLPWDCLLTRCLKNMQPAYQVTFPGQEPILKKGKLCPIDI TLALKTYNKKVTVVRNLETYGLDPCSVAAILQQRCQASTIVSPAPGAKDSLQVQVQGNQI HHLGQLLLGENTGTLHLPAQYPHPHVQNKPEIPHLSCCGLPASHDSI >ENSMUSP00000137887.1 pep:known chromosome:GRCm38:1:131153181:131174852:1 gene:ENSMUSG00000026427.16 transcript:ENSMUST00000149119.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif2d description:eukaryotic translation initiation factor 2D [Source:MGI Symbol;Acc:MGI:109342] MFAKAFRVKSNTAIKGSDRRKLRADVTAAFPALGTDQISELIPGKEELNVVKLYVHKGDS VTVYTSGGNPILFELEKNLYPTVYTLWAYPDILPTFITWPLVLEKLVGGADLMLPGVVVP PTGLPQVQQGDLCAIALVGNRAPVAIGVAAMSTAQMLASGLKGKGVSVLHTYQDHL >ENSMUSP00000138061.1 pep:known chromosome:GRCm38:1:131153181:131179992:1 gene:ENSMUSG00000026427.16 transcript:ENSMUST00000151874.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif2d description:eukaryotic translation initiation factor 2D [Source:MGI Symbol;Acc:MGI:109342] MFAKAFRVKSNTAIKGSDRRKLRADVTAAFPALGTDQISELIPGKEELNVVKLYVHKGDS VTVYTSGGNPILFELEKNLYPTVYTLWAYPDILPTFITWPLVLEKLVGGADLMLPGVVVP PTGLPQVQQGDLCAIALVGNRAPVAIGVAAMSTAQMLASGLKGKGVSVLHTYQDHLWRSG DKSSPPAIAPLDPTDSCEEKVHLGLQGNLKSLTLDGEEENGQVPLREASEDTSSRAPSQD SLDGKPLQEQMDDLLLRCFLHALKSRVKKADLPLLTSTLLGSHMFSCCPEGQQLDIKKSS YKKLSKFLQHMQQEQIVQVKELSKGVESIVAVDWRHPRITSFVIPEPSLTSQTVQEVSRE QPYLPPDIKSLYCVPANMTQLFLESGHKKGSTLEGSEVRKIITDYAKRNRLVDADNRNLV KLDPILCDCILEKNEQHLVTKLPWDCLLTRCLKNMQPAYQVTFPGQEPILKKGKLCPIDI TLALKTYNKKVTVVRNLETYGLDPCSVAAILQQRCQASTIVSPAPGAKDSLQVQVQGNQI HHLGQLLLEEYRLPGKYIQGLEKAPKPGKK >ENSMUSP00000063894.7 pep:known chromosome:GRCm38:1:131153181:131173478:1 gene:ENSMUSG00000026427.16 transcript:ENSMUST00000068805.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2d description:eukaryotic translation initiation factor 2D [Source:MGI Symbol;Acc:MGI:109342] MFAKAFRVKSNTAIKGSDRRKLRADVTAAFPALGTDQISELIPGKEELNVVKLYVHKGDS VTVYTSGGNPILFELEKNLYPTVYTLWAYPDILPTFITWPLVLEKLVGGADLMLPGVVVP PTGLPQVQQGDLCAIALVGNRAPVAIGVAAMSTAQMLASGLKGKGVSVLHTYQDHLWRSG DKSSPPAIAPLDPTDSCEEKVHLGLQGNLKSLTLDGEEENGQVPLREASEDTSSRAPSQD SLDGKPLQEQMDDLLLRCFLHALKSRVKKADLPLLTSTLLGSHMFSCCPEGQQLDIKKSS YKKLSKFLQHMQQEQIVQVKELSKGVESIVAVDWRHPRITSFVIPEPSLTSQTVQEVSRE QPYLPPDIKSLYCVPANMTQLFLESGHKKGSTLEGSEVRKIITDYAKRNRLVDADNRNLV KLDPILCDCILEKNEQHLVTKLPWDCLLTRCLKNMQPAYQVTFPGQEPILKKGKLCPIDI TLALKTYNKKVTVVRNLETYGLDPCSVAAILQQRCQASTIVSPAPGAKDSLQVQVQGNQI HHLGQLLLEEYRLPGKYIQGLEKAPKPGKK >ENSMUSP00000108065.2 pep:known chromosome:GRCm38:1:131153181:131172239:1 gene:ENSMUSG00000026427.16 transcript:ENSMUST00000112446.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2d description:eukaryotic translation initiation factor 2D [Source:MGI Symbol;Acc:MGI:109342] MFAKAFRVKSNTAIKGSDRRKLRADVTAAFPALGTDQISELIPGKEELNVVKLYVHKGDS VTVYTSGGNPILFELEKNLYPTVYTLWAYPDILPTFITWPLVLEKLVGGADLMLPGVVVP PTGLPQVQQGDLCAIALVGNRAPVAIGVAAMSTAQMLASGLKGKGVSVLHTYQDHLWRSG DKSSPPAIAPLDPTDSCEEKVHLGLQGNLKSLTLDGEEENGQVPLREASEDTSSRAPSQD SLDGKPLQEQMDDLLLRCFLHALKSRVKKADLPLLTSTLLGSHMFSCCPEGQQLDIKKSS YKKLSKFLQHMQQEQIVQVKELSKGVESIVAVDWRHPRITSFVIPEPSLTSQTVQEVSRE QPYLPPDIKSLYCVPANMTQLFLESGHKKGSTLEGSEVRKIITDYAKRNRLVDADNRNLV KLDPILCDCILEKNEQHLVTKLPWDCLLTRCLKNMQPAYQVTFPGQEPILKKGKLCPIDI TLALKTYNKKVTVVRNLETYGLDPCSVAAILQQRCQASTIVSPAPGAKDSLQVQVQGNQI HHLGQLLLEIMW >ENSMUSP00000123193.1 pep:known chromosome:GRCm38:18:80206798:80212732:1 gene:ENSMUSG00000024571.14 transcript:ENSMUST00000125127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16286 description:predicted gene 16286 [Source:MGI Symbol;Acc:MGI:3833940] MNIRRARPDDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDEDGKIVGYVLAKM EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFSAKYVSLHVRKSNRAALH LYSNTLNFQVSEVEPKYYADGEDAYAMKRDLSQMADELRRQLVLKKGRYVVLGSKENQGS TLPGSEEASQQENLAGGDSGSDGKDSTDVQDSLQTLGSAS >ENSMUSP00000025463.7 pep:known chromosome:GRCm38:18:80206867:80212723:1 gene:ENSMUSG00000024571.14 transcript:ENSMUST00000025463.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16286 description:predicted gene 16286 [Source:MGI Symbol;Acc:MGI:3833940] MNIRRARPDDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDEDGKIVGYVLAKM EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFSAKYVSLHVRKSNRAALH LYSNTLNFQVSEVEPKYYADGEDAYAMKRDLSQMADELRRQLVLKKGRYVVLGSKENQGS TLPGSEEASQQENLAGGDSGSDGKDSTDVQDSLQTLGSAS >ENSMUSP00000121883.1 pep:known chromosome:GRCm38:18:80206895:80212741:1 gene:ENSMUSG00000024571.14 transcript:ENSMUST00000127234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16286 description:predicted gene 16286 [Source:MGI Symbol;Acc:MGI:3833940] MNIRRARPDDLMNMQHCNLLCLPENYQMKYYFYHGLSWPQLSYIAEDEDGKIVGYVLAKM EEDPDDVPHGHITSLAVKRSHRRLGLAQKLMDQASRAMIENFSAKYVSLHVRKSNRAALH LYSNTLNFQVSEVEPKYYADGEDAYAMKRDLSQMADELRRQLVLKKGRYVVLGSKENQGS TLPGSEEASQQENLAGGDSGSDGKDSTDVQDSLQTLGSAS >ENSMUSP00000038263.5 pep:known chromosome:GRCm38:5:110648418:110653417:-1 gene:ENSMUSG00000033294.11 transcript:ENSMUST00000042147.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noc4l description:nucleolar complex associated 4 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140843] MERHPASASSRQELGRLLEAVLTSRGQANAVFDILAVLQSEEPEEIEEGVRTCSRLFGTL LEREELFVGSLPSEDTALAGSQGATYKYKVWIRHRYHSCCNRLEELLAHPTFQVKELALK TLMKFVQLEGAKPLEKPQWESHYLFPRTLFRAVVGGLLTPEDDHSLLISHFCEYLEYDDI RYHTMQVATSIMARATSQQPEVSLTLWNNAFTLLSAVSLPLQECELTNFYVKHAQTSDKW KVVHLKEHKKAFQEMWLGFLKHKLPLSLYKKVLVAMHDSILPHLAQPTLMIDFLTSACDV GGAISLLALNGLFILIHKHNLEYPDFYQKLYGLLDPSIFHVKYRARFFHLADLFLSSSHL PAYLVAAFAKRLARLALTAPPEALLMVLPLICNLLRRHPACRVMVHRPQGPELDADPYDP TEKDPARSRALESCLWELQTLQQHYHPEVSKAASVINQVLSVPEVSIAPLLELTAYEIFE QDLKKKMPESVPLEFIPAKGLLGRQDDLCTQFFCLS >ENSMUSP00000053122.5 pep:known chromosome:GRCm38:2:153241533:153270247:1 gene:ENSMUSG00000046020.13 transcript:ENSMUST00000049863.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pofut1 description:protein O-fucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2153207] MGAAAWAPPHLLLRASFLLLLLLLPLRGRSAGSWDLAGYLLYCPCMGRFGNQADHFLGSL AFAKLLNRTLAVPPWIEYQHHKPPFTNLHVSYQKYFKLEPLQAYHRVVSLEDFMENLAPS HWPPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWDQFHVSFNKSELFTGISFSASYK EQWTQRFPAKEHPVLALPGAPAQFPVLEEHRELQKYMVWSDEMVRTGEALISAHLVRPYV GIHLRIGSDWKNACAMLKDGTAGSHFMASPQCVGYSRSTATPLTMTMCLPDLKEIQRAVT LWVRALNARSVYIATDSESYVSEIQQLFKDKVRVVSLKPEVAQIDLYILGQADHFIGNCV SSFTAFVKRERDLHGRQSSFFGMDRPSQLRDEF >ENSMUSP00000126063.1 pep:known chromosome:GRCm38:2:153241541:153265912:1 gene:ENSMUSG00000046020.13 transcript:ENSMUST00000170297.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pofut1 description:protein O-fucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2153207] MGAAAWAPPHLLLRASFLLLLLLLPLRGRSAGSWDLAGYLLYCPCMAPCVLPKVLQTGAS PSLPSGCQPGGLHGKSGTLPLAP >ENSMUSP00000096796.4 pep:known chromosome:GRCm38:2:153241541:153265912:1 gene:ENSMUSG00000046020.13 transcript:ENSMUST00000099191.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pofut1 description:protein O-fucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2153207] MGAAAWAPPHLLLRASFLLLLLLLPLRGRSAGSWDLAGYLLYCPCMDFLQKSILCSHCLG PQHSSLSWRNTGSSRSTWCGQMRW >ENSMUSP00000096797.3 pep:known chromosome:GRCm38:2:153241551:153261902:1 gene:ENSMUSG00000046020.13 transcript:ENSMUST00000099192.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pofut1 description:protein O-fucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2153207] MGAAAWAPPHLLLRASFLLLLLLLPLRGRSAGSWDLAGYLLYCPCMGRFGNQADHFLGSL AFAKLLNRTLAVPPWIEYQHHKPPFTNLHVSYQKYFKLEPLQAYHRVVSLEDFMENLAPS HWPPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWDQFHVSFNKSELFTGISFSASYK EQWTQRFPAKEHPVLALPGAPAQFPVLEEHRELQKYMVWSDEMVRTGEALISAHLVRPYV GIHLRIGSDWKNACAMLKDGTAGSHFMASPQCVGYSRSTATPLTMTMCLPDLKEIQRAVT LWVRALNARSVYIATDSESYVSEIQQLFKDKLKHVCEFSCGASRGPFFAWSTF >ENSMUSP00000105417.1 pep:known chromosome:GRCm38:2:153241578:153266503:1 gene:ENSMUSG00000046020.13 transcript:ENSMUST00000109794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pofut1 description:protein O-fucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2153207] MGAAAWAPPHLLLRASFLLLLLLLPLRGRSAGSWDLAGYLLYCPCMGRFGNQADHFLGSL AFAKLLNRTLAVPPWIEYQHHKPPFTNLHVSYQKYFKLEPLQAYHRVVSLEDFMENLAPS HWPPEKRVAYCFEVAAQRSPDKKTCPMKEGNPFGPFWDQFHVSFNKSELFTGISFSASYK EQWTQRFPAKEHPVLALPGAPAQFPVLEEHRELQKYMVWSDEMVRTGEALISAHLVRPYV GIHLRIGSDWKNACAMLKDGTAGSHFMASPQCVGYSRSTATPLTMTMCLPDLKEIQRAVT LWVRALNARSADHFIGNCVSSFTAFVKRERDLHGRQSSFFGMDRPSQLRDEF >ENSMUSP00000126395.1 pep:known chromosome:GRCm38:2:153257482:153267286:1 gene:ENSMUSG00000046020.13 transcript:ENSMUST00000123487.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pofut1 description:protein O-fucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2153207] MLYSKFLIVKQAKKVVNSVRLEQYCILCLLSPPRFLISQLRFHNLSSLACYRGLSTGSLG HGAMPSFIPWEWQQGSFCVLSDFPLFHLMPRFPAKEHPVLALPGAPAQFPVLEEHRELQK YMVWSDEMVRTGEALISAHLVRPYVGIHLRIGSDWNACAMLKDGTAGSHFMASPQCVGYS RSTATPLTMTMCLPDLKEIQRAVTLWVRALNARSVYIATDSESYVSEIQQLFKDKVRVVS LKPEVAQIDLYILGQADHFIGNCVSSFTAFVKRERDLHGRQSSFFGMDRPSQLRDEF >ENSMUSP00000128139.1 pep:known chromosome:GRCm38:2:153257572:153268063:1 gene:ENSMUSG00000046020.13 transcript:ENSMUST00000152390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pofut1 description:protein O-fucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2153207] MLYSKFLIVKQAKKVVNSVRLEQYCILCLLSPPRFLISQLRFHNLSSLACYRGLSTGSLG HGAMPSFIPWEWQQGSFCVLSDFPLFHLMPRFPAKEHPVLALPGAPAQFPVLEEHRELQK YMVWSDEMVRTGEALISAHLVRPYVGIHLRIGSDWKNACAMLKDGTAGSHFMASPQCVGY SRSTATPLTMTMCLPDLKEIQRAVTLWVRALNARSVYIATDSESYVSEIQQLFKDKVRVV SLKPEVAQIDLYILGQADHFIGNCVSSFTAFVKRERDLHGRQSSFFGMDRPSQLRDEF >ENSMUSP00000115320.1 pep:known chromosome:GRCm38:18:80206795:80223533:1 gene:ENSMUSG00000057130.11 transcript:ENSMUST00000145963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnl4a description:thioredoxin-like 4A [Source:MGI Symbol;Acc:MGI:1351613] MSYMLPHLHNGWQVDQAILSEEDRVVVIRFGHDWDPTCMKMDEVLYSIAEKVKNFAVIYL VDITEVPDFNKMYELYDPCTVMFFFRNKHIMIDLGTGNNNKINWAMEDKQEMVDIIETVY RGARKGRGLVVSPKDYSTKYRY >ENSMUSP00000025464.7 pep:known chromosome:GRCm38:18:80206863:80222202:1 gene:ENSMUSG00000057130.11 transcript:ENSMUST00000025464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnl4a description:thioredoxin-like 4A [Source:MGI Symbol;Acc:MGI:1351613] MSYMLPHLHNGWQVDQAILSEEDRVVVIRFGHDWDPTCMKMDEVLYSIAEKVKNFAVIYL VDITEVPDFNKMYELYDPCTVMFFFRNKHIMID >ENSMUSP00000033818.3 pep:known chromosome:GRCm38:8:12757014:12868728:1 gene:ENSMUSG00000031441.15 transcript:ENSMUST00000033818.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11a description:ATPase, class VI, type 11A [Source:MGI Symbol;Acc:MGI:1354735] MDCSLLRTLVRRYCAGEENWVDSRTIYVGHKEPPPGAEAYIPQRYPDNRIVSSKYTFWNF IPKNLFEQFRRIANFYFLIIFLVQLIIDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHK ADNAMNQCPVHFIQHGKLVRKQSRKLRVGDIVMVKEDETFPCDLIFLSSNRADGTCHVTT ASLDGESSHKTHYAVQDTKGFHTEADVDSLHATIECEQPQPDLYKFVGRINVYNDLNDPV VRPLGSENLLLRGATLKNTEKIFGVAIYTGMETKMALNYQSKSQKRSAVEKSMNTFLIVY LCILVSKALINTVLKYVWQSEPFRDEPWYNEKTESERQRNLFLRAFTDFLAFMVLFNYII PVSMYVTVEMQKFLGSYFITWDEDMFDEEMGEGPLVNTSDLNEELGQVEYIFTDKTGTLT ENNMAFKECCIEGHVYVPHVICNGQVLPDSSGIDMIDSSPGVCGREREELFFRAICLCHT VQVKDDHCGDDVDGPQKSPDAKSCVYISSSPDEVALVEGVQRLGFTYLRLKDNYMEILNR ENDIERFELLEVLTFDSVRRRMSVIVKSTTGEIYLFCKGADSSIFPRVIEGKVDQVRSRV ERNAVEGLRTLCVAYKRLEPEQYEDACRLLQSAKVALQDREKKLAEAYEQIEKDLVLLGA TAVEDRLQEKAADTIEALQKAGIKVWVLTGDKMETASATCYACKLFRRSTQLLELTTKKL EEQSLHDVLFDLSKTVLRCSGSMTRDSFSGLSTDMHDYGLIIDGAALSLIMKPREDGSSS GNYRELFLEICRNCSAVLCCRMAPLQKAQIVKLIKFSKEHPITLAIGDGANDVSMILEAH VGIGVIGKEGRQAARNSDYAIPKFKHLKKMLLVHGHFYYIRISELVQYFFYKNVCFIFPQ FLYQFFCGFSQQTLYDTAYLTLYNISFTSLPILLYSLMEQHVGIDVLKRDPTLYRDIAKN ALLRWRVFIYWTFLGVFDALVFFFGAYFIFENTTVTINGQMFGNWTFGTLVFTVMVLTVT LKLALDTHYWTWINHFVIWGSLLFYIAFSLLWGGVIWPFLSYQRMYYVFISMLSSGPAWL GIILLVTVGLLPDVLKKVLCRQLWPTATERTQQSTRLKALADATSNSARPLLKDFLPQPR HV >ENSMUSP00000088779.5 pep:known chromosome:GRCm38:8:12757016:12868728:1 gene:ENSMUSG00000031441.15 transcript:ENSMUST00000091237.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11a description:ATPase, class VI, type 11A [Source:MGI Symbol;Acc:MGI:1354735] MDCSLLRTLVRRYCAGEENWVDSRTIYVGHKEPPPGAEAYIPQRYPDNRIVSSKYTFWNF IPKNLFEQFRRIANFYFLIIFLVQLIIDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHK ADNAMNQCPVHFIQHGKLVRKQSRKLRVGDIVMVKEDETFPCDLIFLSSNRADGTCHVTT ASLDGESSHKTHYAVQDTKGFHTEADVDSLHATIECEQPQPDLYKFVGRINVYNDLNDPV VRPLGSENLLLRGATLKNTEKIFGVAIYTGMETKMALNYQSKSQKRSAVEKSMNTFLIVY LCILVSKALINTVLKYVWQSEPFRDEPWYNEKTESERQRNLFLRAFTDFLAFMVLFNYII PVSMYVTVEMQKFLGSYFITWDEDMFDEEMGEGPLVNTSDLNEELGQVEYIFTDKTGTLT ENNMAFKECCIEGHVYVPHVICNGQVLPDSSGIDMIDSSPGVCGREREELFFRAICLCHT VQVKDDHCGDDVDGPQKSPDAKSCVYISSSPDEVALVEGVQRLGFTYLRLKDNYMEILNR ENDIERFELLEVLTFDSVRRRMSVIVKSTTGEIYLFCKGADSSIFPRVIEGKVDQVRSRV ERNAVEGLRTLCVAYKRLEPEQYEDACRLLQSAKVALQDREKKLAEAYEQIEKDLVLLGA TAVEDRLQEKAADTIEALQKAGIKVWVLTGDKMETASATCYACKLFRRSTQLLELTTKKL EEQSLHDVLFDLSKTVLRCSGSMTRDSFSGLSTDMHDYGLIIDGAALSLIMKPREDGSSS GNYRELFLEICRNCSAVLCCRMAPLQKAQIVKLIKFSKEHPITLAIGDGANDVSMILEAH VGIGVIGKEGRQAARNSDYAIPKFKHLKKMLLVHGHFYYIRISELVQYFFYKNVCFIFPQ FLYQFFCGFSQQTLYDTAYLTLYNISFTSLPILLYSLMEQHVGIDVLKRDPTLYRDIAKN ALLRWRVFIYWTFLGVFDALVFFFGAYFIFENTTVTINGQMFGNWTFGTLVFTVMVLTVT LKLALDTHYWTWINHFVIWGSLLFYIAFSLLWGGVIWPFLSYQRMYYVFISMLSSGPAWL GIILLVTVGLLPDVLKKVLCRQLWPTATERTQNIQHQDSISEFTPLASLPSWGAQGSRLL AAQCSSPSGRVVCSRWESEECPVLPLHPGLPHKARYGCCRSSLEMPT >ENSMUSP00000120625.1 pep:known chromosome:GRCm38:8:12757069:12859720:1 gene:ENSMUSG00000031441.15 transcript:ENSMUST00000133338.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp11a description:ATPase, class VI, type 11A [Source:MGI Symbol;Acc:MGI:1354735] MDCSLLRTLVRRYCAGEENWVDSRTIYVGHKEPPPGAEAYIPQRYPDNRIVSSKYTFWNF IPKNLFEQFRRIANFYFLIIFLVQLIIDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHK ADNAMNQCPVHFIQHGKLVRKQSRKLRVGDIVMVKEDETFPCDLIFLSSNRADGTCHVTT ASLDGESSHKTHYAVQDTKGFHTEADVDSLHATIECEQPQPDLYKFVGRINVYNDLNDPV VRPLGSENLLLRGATLKNTEKIFGVAIYTGMETKMALNYQSKSQKRSAVEK >ENSMUSP00000117091.1 pep:known chromosome:GRCm38:8:12835165:12868667:1 gene:ENSMUSG00000031441.15 transcript:ENSMUST00000132974.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11a description:ATPase, class VI, type 11A [Source:MGI Symbol;Acc:MGI:1354735] ELLEVLTFDSVRRRMSVIVKSTTGEIYLFCKGADSSIFPRVIEGKVDQVRSRVERNAVEG LRTLCVAYKRLEPEQYEDACRLLQSAKVALQDREKKLAEAYEQIEKDLVLLGATAVEDRL QEKAADTIEALQKAGIKVWVLTGDKMETASATCYACKLFRRSTQLLELTTKKLEEQSLHD VLFDLSKTVLRCSGSMTRDSFSGLSTDMHDYGLIIDGAALSLIMKPREDGSSSGNYRELF LEICRNCSAVLCCRMAPLQKAQIVKLIKFSKEHPITLAIGDGANDVSMILEAHVGIGVIG KEGRQAARNSDYAIPKFKHLKKMLLVHGHFYYIRISELVQYFFYKNVCFIFPQFLYQFFC GFSQQTLYDTAYLTLYNISFTSLPILLYSLMEQHVGIDVLKRDPTLYRDIAKNALLRWRV FIYWTFLGVFDALVFFFGAYFIFENTTVTINGQMFGNWTFGTLVFTVMVLTVTLKLALDT HYWTWINHFVIWGSLLFYIAFSLLWGGVIWPFLSYQRMYYVFISMLSSGPAWLGIILLVT VGLLPDVLKKVLCRQLWPTATERTQARNKCLSVEQPTVFMLSQTASSLSF >ENSMUSP00000121989.1 pep:known chromosome:GRCm38:8:12847855:12857270:1 gene:ENSMUSG00000031441.15 transcript:ENSMUST00000152273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11a description:ATPase, class VI, type 11A [Source:MGI Symbol;Acc:MGI:1354735] XSELVQYFFYKNVCFIFPQFLYQFFCGFSQQTLYDTAYLTLYNISFTSLPILLYSLMEQH VGIDVLKRDPTLYRDIAKNALLRWRVFIYWTFLGVFDALVFFFGAYFIFENTTVTINGQM FGNWTFGTLVFTVMVLTVTLKVRHSQPACSSPSTLRIYHPCWH >ENSMUSP00000114312.1 pep:known chromosome:GRCm38:8:12856960:12862205:1 gene:ENSMUSG00000031441.15 transcript:ENSMUST00000143359.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11a description:ATPase, class VI, type 11A [Source:MGI Symbol;Acc:MGI:1354735] XLVFTVMVLTVTLKLALDTHYWTWINHFVIWGSLLFYIAFSLLWGGVIWPFLSYQRMYYV FISMLSSGPAWLGIILLVTVGLLPDVLKKVLCRQLWPTATERTQLMAAPHAHHGVQSFQH YLTQMAQPLST >ENSMUSP00000121567.1 pep:known chromosome:GRCm38:8:12857962:12862202:1 gene:ENSMUSG00000031441.15 transcript:ENSMUST00000131804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11a description:ATPase, class VI, type 11A [Source:MGI Symbol;Acc:MGI:1354735] XLLWGGVIWPFLSYQRMYYVFISMLSSGPAWLGIILLVTVGLLPDVLKKVLCRQLWPTAT ERTQARNKCLSVEQPTVFMLSQTASSLSF >ENSMUSP00000074922.6 pep:known chromosome:GRCm38:6:112459505:112472872:1 gene:ENSMUSG00000062694.7 transcript:ENSMUST00000075477.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav3 description:caveolin 3 [Source:MGI Symbol;Acc:MGI:107570] MMTEEHTDLEARIIKDIHCKEIDLVNRDPKNINEDIVKVDFEDVIAEPEGTYSFDGVWKV SFTTFTVSKYWCYRLLSTLLGVPLALLWGFLFACISFCHIWAVVPCIKSYLIEIQCISHI YSLCIRTFCNPLFAALGQVCSNIKVVLRREG >ENSMUSP00000017365.8 pep:known chromosome:GRCm38:11:98682554:98695979:1 gene:ENSMUSG00000017221.14 transcript:ENSMUST00000017365.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd3 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 3 [Source:MGI Symbol;Acc:MGI:98858] MKQEGSARRRGADKAKPPPGGEQEPPPPAPQDVEMKEEAAAGSGSTGEGDGKAAATEHSQ RELDTVTLEDIKEHVRQLEKAVSGKEPRFVLRALRMLPSTSRRLNHYVLYKAVHGFFTSN NATRDFLLPFLEEPMDTEADLQFRPRTGKAASAPLLPEVEAYLQLLMVIFLMNSKRYKEA QKISDDLMQKISTQNRRALDLVAAKCYYYHARVYEFLDKLDVVRSFLHARLRTATLRHDA DGQATLLNLLLRNYLHYSLYDQAEKLVSKSVFPEQANNNEWARYLYYTGRIKAIQLEYSE ARRTMTNALRKAPQHTAVGFKQTVHKLLIVVELLLGEIPDRLQFRQPSLKRSLMPYFLLT QAVRTGNLAKFNQVLDQFGEKFQTDGTYTLIIRLRHNVIKTGVRMISLSYSRISLADIAQ KLQLDSPEDAEFIVAKAIRDGVIEASINHEKGYVQSKEMIDIYSTREPQLAFHQRISFCL DIHNMSVKAMRFPPKSYNKDLESAEERREREQQDLEFAKEMAEDDDDSFP >ENSMUSP00000116968.1 pep:known chromosome:GRCm38:11:98687787:98693840:1 gene:ENSMUSG00000017221.14 transcript:ENSMUST00000123676.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd3 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 3 [Source:MGI Symbol;Acc:MGI:98858] XLDKLDVVRSFLHARLRTATLRHDADGQATLLNLLLRNYLHYSLYDQAEKLVSKSVFPEQ ANNNEWARYLYYTGRIKAIQLEYSEARRTMTNALRKAPQHTAVGFKQTSPGTVFSLAHGC APPTVLLSRPMQVHKLLIVVELLLGEIPDRLQFRQPSLKRSLMPYFLLTQAVRTGNLAKF NQVLDQFGEKFQTDGTYTLIIRLRHNVIKTGVRMISLSYSRI >ENSMUSP00000038204.3 pep:known chromosome:GRCm38:2:101624718:101632529:1 gene:ENSMUSG00000032864.4 transcript:ENSMUST00000044031.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rag2 description:recombination activating gene 2 [Source:MGI Symbol;Acc:MGI:97849] MSLQMVTVGHNIALIQPGFSLMNFDGQVFFFGQKGWPKRSCPTGVFHFDIKQNHLKLKPA IFSKDSCYLPPLRYPATCSYKGSIDSDKHQYIIHGGKTPNNELSDKIYIMSVACKNNKKV TFRCTEKDLVGDVPEPRYGHSIDVVYSRGKSMGVLFGGRSYMPSTQRTTEKWNSVADCLP HVFLIDFEFGCATSYILPELQDGLSFHVSIARNDTVYILGGHSLASNIRPANLYRIRVDL PLGTPAVNCTVLPGGISVSSAILTQTNNDEFVIVGGYQLENQKRMVCSLVSLGDNTIEIS EMETPDWTSDIKHSKIWFGSNMGNGTIFLGIPGDNKQAMSEAFYFYTLRCSEEDLSEDQK IVSNSQTSTEDPGDSTPFEDSEEFCFSAEATSFDGDDEFDTYNEDDEDDESVTGYWITCC PTCDVDINTWVPFYSTELNKPAMIYCSHGDGHWVHAQCMDLEERTLIHLSEGSNKYYCNE HVQIARALQTPKRNPPLQKPPMKSLHKKGSGKVLTPAKKSFLRRLFD >ENSMUSP00000106858.1 pep:known chromosome:GRCm38:2:101624746:101631053:1 gene:ENSMUSG00000032864.4 transcript:ENSMUST00000111227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rag2 description:recombination activating gene 2 [Source:MGI Symbol;Acc:MGI:97849] MSLQMVTVGHNIALIQPGFSLMNFDGQVFFFGQKGWPKRSCPTGVFHFDIKQNHLKLKPA IFSKDSCYLPPLRYPATCSYKGSIDSDKHQYIIHGGKTPNNELSDKIYIMSVACKNNKKV TFRCTEKDLVGDVPEPRYGHSIDVVYSRGKSMGVLFGGRSYMPSTQRTTEKWNSVADCLP HVFLIDFEFGCATSYILPELQDGLSFHVSIARNDTVYILGGHSLASNIRPANLYRIRVDL PLGTPAVNCTVLPGGISVSSAILTQTNNDEFVIVGGYQLENQKRMVCSLVSLGDNTIEIS EMETPDWTSDIKHSKIWFGSNMGNGTIFLGIPGDNKQAMSEAFYFYTLRCSEEDLSEDQK IVSNSQTSTEDPGDSTPFEDSEEFCFSAEATSFDGDDEFDTYNEDDEDDESVTGYWITCC PTCDVDINTWVPFYSTELNKPAMIYCSHGDGHWVHAQCMDLEERTLIHLSEGSNKYYCNE HVQIARALQTPKRNPPLQKPPMKSLHKKGSGKVLTPAKKSFLRRLFD >ENSMUSP00000051132.6 pep:known chromosome:GRCm38:6:112473683:112489943:-1 gene:ENSMUSG00000049112.9 transcript:ENSMUST00000053306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxtr description:oxytocin receptor [Source:MGI Symbol;Acc:MGI:109147] MEGTPAANWSIELDLGSGVPPGAEGNLTAGPPRRNEALARVEVAVLCLILFLALSGNACV LLALRTTRHKHSRLFFFMKHLSIADLVVAVFQVLPQLLWDITFRFYGPDLLCRLVKYLQV VGMFASTYLLLLMSLDRCLAICQPLRSLRRRTDRLAVLATWLGCLVASVPQVHIFSLREV ADGVFDCWAVFIQPWGPKAYVTWITLAVYIVPVIVLAACYGLISFKIWQNLRLKTAAAAA AAEGSDAAGGAGRAALARVSSVKLISKAKIRTVKMTFIIVLAFIVCWTPFFFVQMWSVWD VNAPKEASAFIIAMLLASLNSCCNPWIYMLFTGHLFHELVQRFLCCSARYLKGSRPGETS ISKKSNSSTFVLSRRSSSQRSCSQPSSA >ENSMUSP00000145300.1 pep:known chromosome:GRCm38:6:112473687:112488394:-1 gene:ENSMUSG00000049112.9 transcript:ENSMUST00000204027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxtr description:oxytocin receptor [Source:MGI Symbol;Acc:MGI:109147] MLLASLNSCCNPWIYMLFTGHLFHELVQRFLCCSARYLKGSRPGETSISKKSNSSTFVLS RRSSSQRSCSQPSSA >ENSMUSP00000051158.7 pep:known chromosome:GRCm38:11:101877510:101894374:-1 gene:ENSMUSG00000001493.9 transcript:ENSMUST00000057054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meox1 description:mesenchyme homeobox 1 [Source:MGI Symbol;Acc:MGI:103220] MDPVANSCVRNPQPPAPVWGCLRNPHSEDSSASGLSHYPPTPFSFHQKSDFPATAAYPDF SASCLAATPHSLPRTERIFNEQHPAFPQTPDWHFPISEAGQRLNLGPAGSAREMGAGSPG LVDGTAGLGEDCMVLGTIANETEKKSSRRKKERSDNQENGGGKPEGSSKARKERTAFTKE QLRELEAEFAHHNYLTRLRRYEIAVNLDLSERQVKVWFQNRRMKWKRVKGGQPVSPQEQD REDGDSAASPSSE >ENSMUSP00000027449.4 pep:known chromosome:GRCm38:1:86666291:86670571:-1 gene:ENSMUSG00000026241.5 transcript:ENSMUST00000027449.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nppc description:natriuretic peptide type C [Source:MGI Symbol;Acc:MGI:97369] MHLSQLIACALLLALLSLRPSEAKPGTPPKVPRTPPGEELADSQAAGGNQKKGDKTPGSG GANLKGDRSRLLRDLRVDTKSRAAWARLLHEHPNARKYKGGNKKGLSKGCFGLKLDRIGS MSGLGC >ENSMUSP00000087079.5 pep:known chromosome:GRCm38:6:83030934:83033471:-1 gene:ENSMUSG00000068335.6 transcript:ENSMUST00000089651.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok1 description:docking protein 1 [Source:MGI Symbol;Acc:MGI:893587] MDGAVMEGPLFLQSQRFGTKRWRKTWAVLYPASPHGVARLEFFDHKGSSSRGGRGGSRRL DCKMIRLAECVSVVPVTVESPPEPGAVAFRLDTAQRSHLLAADAVSSTAWVQTLCRTAFP KGGWALAQTENQPKFSALEMLENSLYSPTWEGSQFWVTSQKTEASERCGLQGSYILRVEA EKLTLLTLGAQSQILEPLLFWPYTLLRRYGRDKVMFSFEAGRRCPSGPGTFTFQTSQGND IFQAVEAAIQQQKAQGKVGQAQDILRTDSHDGETEGKTVPPPVPQDPLGSPPALYAEPLD SLRIPPGPSQDSVYSDPLGSTPAGAGEGVHSKKPLYWDLYGHVQQQLLKTKLTDSKEDPI YDEPEGLAPAPPRGLYDLPQEPRDAWWCQARLKEEGYELPYNPATDDYAVPPPRSPKPAP APKPQGLILPESGTTRGSGSKGFSSDTALYSQVQKSGTSGAWDCGLSKVGNDRAGVKSEG ST >ENSMUSP00000145318.1 pep:known chromosome:GRCm38:6:83030940:83033468:-1 gene:ENSMUSG00000068335.6 transcript:ENSMUST00000149918.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dok1 description:docking protein 1 [Source:MGI Symbol;Acc:MGI:893587] MDGAVMEGPLFLQSQRFGTKVEENLGCALPSQSSRRGAAGIL >ENSMUSP00000145347.1 pep:known chromosome:GRCm38:6:83031851:83032561:-1 gene:ENSMUSG00000068335.6 transcript:ENSMUST00000204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok1 description:docking protein 1 [Source:MGI Symbol;Acc:MGI:893587] XSLYSPTWEGSQFWVTSQKTEASERCGLQGSYILRVEAEKLTLLTLGNVLL >ENSMUSP00000126418.1 pep:known chromosome:GRCm38:7:126781483:126785359:1 gene:ENSMUSG00000030699.16 transcript:ENSMUST00000172352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx6 description:T-box 6 [Source:MGI Symbol;Acc:MGI:102539] MYHPRELYPSLGTGYRLGHPQPGADSTFPPALTEGYRYPDLDTSKLDCFLSGIEAAPHTL AAAAPLPLLPSALGPETAPPPPEALHSLPGVSLSLENQELWKEFSAVGTEMIITKAGRRM FPACRVSVTGLDPEARYLFLLDVVPVDGARYRWQGQHWEPSGKAEPRLPDRVYIHPDSPA TGAHWMRQPVSFHRVKLTNSTLDPHGHLILHSMHKYQPRIHLVRATQLCSQHWGGVASFR FPETTFISVTAYQNPRITQLKIAANPFAKGFRENGRNCKRWASFIQGMLTNYCVPGTVPG YQEHDDTPGGPCDSTLGGDIRDSDPEQAPTPQEAASASAPPCGGPSAEAYLLHPAAFHGA PSHLPARTPSFAEAPDPGRPAPYSAAFLDLQPGPGGSAYQAAPSVPSFAPHFIQGGPFPL PYPGPGGYLDMGSKPMY >ENSMUSP00000091579.4 pep:known chromosome:GRCm38:7:126781483:126785560:1 gene:ENSMUSG00000030699.16 transcript:ENSMUST00000094037.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx6 description:T-box 6 [Source:MGI Symbol;Acc:MGI:102539] MYHPRELYPSLGTGYRLGHPQPGADSTFPPALTEGYRYPDLDTSKLDCFLSGIEAAPHTL AAAAPLPLLPSALGPETAPPPPEALHSLPGVSLSLENQELWKEFSAVGTEMIITKAGRRM FPACRVSVTGLDPEARYLFLLDVVPVDGARYRWQGQHWEPSGKAEPRLPDRVYIHPDSPA TGAHWMRQPVSFHRVKLTNSTLDPHGHLILHSMHKYQPRIHLVRATQLCSQHWGGVASFR FPETTFISVTAYQNPRITQLKIAANPFAKGFRENGRNCKRERDARVKRKLRGPEPVATEA CGSGDTPGGPCDSTLGGDIRDSDPEQAPTPQEAASASAPPCGGPSAEAYLLHPAAFHGAP SHLPARTPSFAEAPDPGRPAPYSAAFLDLQPGPGGSAYQAAPSVPSFAPHFIQGGPFPLP YPGPGGYLDMGSKPMY >ENSMUSP00000095695.3 pep:known chromosome:GRCm38:7:118509659:118533301:-1 gene:ENSMUSG00000030652.11 transcript:ENSMUST00000098090.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq7 description:demethyl-Q 7 [Source:MGI Symbol;Acc:MGI:107207] MSAAGAIAAASVGRLRTGVRRPFSEYGRGLIIRCHSSGMTLDNINRAAVDRIIRVDHAGE YGANRIYAGQMAVLGRTSVGPVIQKMWDQEKNHLKKFNELMIAFRVRPTVLMPLWNVAGF ALGAGTALLGKEGAMACTVAVEESIANHYNNQIRMLMEEDPEKYEELLQVIKQFRDEELE HHDTGLDHDAELPFQCLNSWKHIPSYMSSPGRDNDHEKKSHQNTKWRTWETAMCRVMREA WVQIPALPLQAIGSQAVNIACLGLSVPTCKVEMKTEAALKIVLHGRDEQAPHREGPRTAT EELFWLASISLHNAANRQGRGVLYLACSLCKLCAQWL >ENSMUSP00000032887.3 pep:known chromosome:GRCm38:7:118525062:118533356:-1 gene:ENSMUSG00000030652.11 transcript:ENSMUST00000032887.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq7 description:demethyl-Q 7 [Source:MGI Symbol;Acc:MGI:107207] MSAAGAIAAASVGRLRTGVRRPFSEYGRGLIIRCHSSGMTLDNINRAAVDRIIRVDHAGE YGANRIYAGQMAVLGRTSVGPVIQKMWDQEKNHLKKFNELMIAFRVRPTVLMPLWNVAGF ALGAGTALLGKEGAMACTVAVEESIANHYNNQIRMLMEEDPEKYEELLQVIKQFRDEELE HHDTGLDHDAELAPAYALLKRIIQAGCSAAIYLSERF >ENSMUSP00000146797.1 pep:known chromosome:GRCm38:7:118525189:118533297:-1 gene:ENSMUSG00000030652.11 transcript:ENSMUST00000209146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq7 description:demethyl-Q 7 [Source:MGI Symbol;Acc:MGI:107207] MSAAGAIAAASVGRLRTGVRRPFSEYGRGLIIRCHSSGMTLDNINRAAVDRIIRVDHAGE YGANRIYAGQMAVLGRTSVGPVIQKMWDQEKNHLKKFNELMIAFRVRPTVLMPLWNVAGF ALGAGTALLGKEGAMACTVAVEESIANHYNNQIRMLMEEDPEKYEELLQVIKQFRDEELE HHDTGLDHDAELLRVPPCMLDHTLPSSTSQPFVLRRLPRMPC >ENSMUSP00000037137.1 pep:known chromosome:GRCm38:5:136147459:136170713:-1 gene:ENSMUSG00000039747.11 transcript:ENSMUST00000041048.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orai2 description:ORAI calcium release-activated calcium modulator 2 [Source:MGI Symbol;Acc:MGI:2443195] MSAELNVPMDPSAPACPEPGHKGMDYRDWVRRSYLELVTSNHHSVQALSWRKLYLSRAKL KASSRTSALLSGFAMVAMVEVQLETKYQYPQPLLIAFSACTTVLVAVHLFALLISTCILP NVEAVSNIHNLNSISESPHERMHPYIELAWGFSTVLGILLFLAEVVLLCWIKFLPVDAKD QPGSHSHTGWQAALVSTIIMVPVGLIFVVFTIHFYRSLVRHKTERHNREIEELHKLKVQL DGHERSLQVV >ENSMUSP00000142597.1 pep:known chromosome:GRCm38:5:136147464:136170628:-1 gene:ENSMUSG00000039747.11 transcript:ENSMUST00000196454.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orai2 description:ORAI calcium release-activated calcium modulator 2 [Source:MGI Symbol;Acc:MGI:2443195] MKKGETHRHSLVPTMSAELNVPMDPSAPACPEPGHKGMDYRDWVRRSYLELVTSNHHSVQ ALSWRKLYLSRAKLKASSRTSALLSGFAMVAMVEVQLETKYQYPQPLLIAFSACTTVLVA VHLFALLISTCILPNVEAVSNIHNLNSISESPHERMHPYIELAWGFSTVLGILLFLAEVV LLCWIKFLPVDAKDQPGSHSHTGWQAALVSTIIMVPVGLIFVVFTIHFYRSLVRHKTERH NREIEELHKLKVQLDGHERSLQVV >ENSMUSP00000143219.1 pep:known chromosome:GRCm38:5:136150704:136170634:-1 gene:ENSMUSG00000039747.11 transcript:ENSMUST00000197052.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orai2 description:ORAI calcium release-activated calcium modulator 2 [Source:MGI Symbol;Acc:MGI:2443195] MSAELNVPMDPSAPACPEPGHKGMDYRDWVRRSYLELVTSNHHSVQALSWRKLYLSRAKL KASSRTSALLSGFAMVAMVEVQLETKYQYPQPLLIAFSACTTVLVAVHLFALLISTCILP NVEAVSNIHNLNSISESPHERMHPYIELAWGFSTVLGI >ENSMUSP00000075453.5 pep:known chromosome:GRCm38:2:119218119:119229906:-1 gene:ENSMUSG00000027317.8 transcript:ENSMUST00000076084.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r14d description:protein phosphatase 1, regulatory (inhibitor) subunit 14D [Source:MGI Symbol;Acc:MGI:1919362] MLSSSPASCTSPSPGTDNPDKKVHWASEKRRRASSTDSESKTHLDISKLPRSRRPSRLTV KYDRGHLQRWLEMEQWVDAQVQELFQGQEESSEPEIDLEALMDLSTEDQRTQLEAILQDC PGNREPFISELLSQLKRLRRLSRPSK >ENSMUSP00000106444.2 pep:known chromosome:GRCm38:2:119218140:119229865:-1 gene:ENSMUSG00000027317.8 transcript:ENSMUST00000110820.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r14d description:protein phosphatase 1, regulatory (inhibitor) subunit 14D [Source:MGI Symbol;Acc:MGI:1919362] MLSSSPASCTSPSPGTDNPDKKVHWASEKRRRASSTDSESKTHLDISKLPRSRRPSRLTV KYDRGHLQRWLEMEQWVDAQVQELFQTSQGKSSQATEEGSSQRTHFTRVKKNLLSQRLIW KLSWTCQQKIKGHSWRPFSKTAQATESLLSLSYSVNSRDFGDSAGLRNNPGGTIS >ENSMUSP00000145146.1 pep:known chromosome:GRCm38:6:116221879:116227419:1 gene:ENSMUSG00000024104.11 transcript:ENSMUST00000203928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam21 description:family with sequence similarity 21 [Source:MGI Symbol;Acc:MGI:106463] RKEGQTDGKPQKTVKEKKERRTPADDEEDILFPPPTLTDEDFSPFGSRGGLFSNGQGLFD DEDESDLFKEAPRARPAQAPVSEGHPDVSGSTSAPSLKELQKHGQPTP >ENSMUSP00000144703.1 pep:known chromosome:GRCm38:6:116208516:116258982:1 gene:ENSMUSG00000024104.11 transcript:ENSMUST00000204283.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam21 description:family with sequence similarity 21 [Source:MGI Symbol;Acc:MGI:106463] MLSNTQFIENRVYDEEVEEQVLKAEAEKAEQEKTREQKEIDLIPKVQEAVNYGLQVLDSA FEQLDIKAGNSDSEEDDANERVDLILEPKDLYIDRPLPYLIGSKLFMEQEDVGLGELSSE EGSVGSDRGSIVDSEDEKEEEESDEDFASHSDNDQNQHTTQISDEEEDDDGDLFADSEKE GDDIEDIEESAKSKRPTSFADELAARIKGDISNQRKEGQTDGKPQKTVKEKKERRTPADD EEDILFPPPTLTDEDFSPFGSRGGLFSNGQGLFDDEDESDLFKEAPRARPAQAPVSEELP PSPKPGKKIPAGAVSVLLGHPDVSGSTSAPSLKELQKHGQPTPGKSSHLPTPAGLFDDDD NDNDEDDNNFFMPSSSKPSKTDKVKSTAIIFDDDEGDLFKEKAEALPAASVSQTHESKTR ADKTIALPSSKNLKLVSETKTQKGLFSDEEDSEDLFSSQSSSKPKSASLPSSQPPTSVSL FGDEDEEDSLFGSAAAKKQTSSLQPQSQEKAKPSEQPSKKTSALLFSSDEEDQWNIADSH TKLASDNKSKGELWDSGATQGQEAKAVKKTNLFEDDDDDEVDLFAIAKDSQKKTQRTSLL FEDDAESGSSLFGLPPTSVPSATTKKESVPKVPLLFSDEEDSEVPSGVKPEDLKVDNARV SPEVGSADVASIAQKEGLLPASDQEAGGPSDIFSSSSPLDKGAKGRTRTVLSLFDEDEDK VEDESSTCAPQDGREKGLKTDSRPKSTGVFQDEELLFSHKLQKDNDPDVDLFAGTKKIRS SVPSGGSLFGDDEDDDLFSSAKTQPVVPEKKGTLKKDHPVSLKNQDPLDSTQGSKEKSTW KTEPAQDSSGLTPFKSREPSSRIGKIQANLAINPAALLPTVALQIPGTKPVSSELAFPSS EPGRSHILESVPTLPGSVEAGVSFDLPAQADTLHSANKSRVKVRGKRRPQTRAARRLAAQ ESSEAEDVTVDRGPVAQLSSSPVLPNGHQPLLQPRMASGQTSSETATAPPWEGGPVLSAA DRSFFVKSRPQTGNEADLFDSGDIFPKSRGS >ENSMUSP00000144923.1 pep:known chromosome:GRCm38:6:116208137:116216149:1 gene:ENSMUSG00000024104.11 transcript:ENSMUST00000203523.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam21 description:family with sequence similarity 21 [Source:MGI Symbol;Acc:MGI:106463] MNRTSPDSERPPASEPVWERPWSVEEIRRSSQNWSLAADAATTVSTGILTADYLQDS >ENSMUSP00000144771.1 pep:known chromosome:GRCm38:6:116208122:116213972:1 gene:ENSMUSG00000024104.11 transcript:ENSMUST00000204051.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam21 description:family with sequence similarity 21 [Source:MGI Symbol;Acc:MGI:106463] MLSNTQFIENRVYDEEVE >ENSMUSP00000145121.1 pep:known chromosome:GRCm38:6:116208056:116220549:1 gene:ENSMUSG00000024104.11 transcript:ENSMUST00000203286.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam21 description:family with sequence similarity 21 [Source:MGI Symbol;Acc:MGI:106463] MNRTSPDSERPPASEPVWERPWSVEEIRRSSQNWSLAADAATTVSTGILTADYLQDS >ENSMUSP00000144982.1 pep:known chromosome:GRCm38:6:116208046:116255072:1 gene:ENSMUSG00000024104.11 transcript:ENSMUST00000204476.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam21 description:family with sequence similarity 21 [Source:MGI Symbol;Acc:MGI:106463] MNRTSPDSERPPASEPVWERPWSVEEIRRSSQNWSLAADAGLLQFLQEFSQQTISRTHEI KKQVDGLIQETKATHCRLHNVFNDFLMLSNTQFIENRVYDEEVEEQVLKAEAEKAEQEKT REQKEIDLIPKVQEAVNYGLQVLDSAFEQLDIKAGNSDSEEDDANERVDLILEPKDLYID RPLPYLIGSKLFMEQEDVGLGELSSEEGSVGSDRGSIVDSEDEKEEEESDEDFASHSDND QNQHTTQISDEEEDDDGDLFADSEKEGDDIEDIEESAKSKRPTSFADELAARIKGDISNQ RKEGQTDGKPQKTVKEKKERRTPADDEEDILFPPPTLTDEDFSPFGSRGGLFSNGQGLFD DEDESDLFKEAPRARPAQAPVSEELPPSPKPGKKIPAGAVSVLLGHPDVSGSTSAPSLKE LQKHGQPTPGKSSHLPTPAGLFDDDDNDNDEDDNNFFMPSSSKPSKTDKVKSTAIIFDDD EGDLFKEKAEALPAASVSQTHESKTRADKTIALPSSKNLKLVSETKTQKGLFSDEEDSED LFSSQSSSKPKSASLPSSQPPTSVSLFGDEDEEDSLFGSAAAKKQTSSLQPQSQEKAKPS EQPSKKTSALLFSSDEEDQWNIADSHTKLASDNKSKGELWDSGATQGQEAKAVKKTNLFE DDDDDEVDLFAIAKDSQKKTQRTSLLFEDDAESGSSLFGLPPTSVPSATTKKESVPKVPL LFSDEEDSEVPSGVKPEDLKVDNARVSPEVGSADVASIAQKEGLLPASDQEAGGPSDIFS SSSPLDKGAKGRTRTVLSLFDEDEDKVEDESSTCAPQDGREKGLKTDSRPKSTGVFQDEE LLFSHKLQKDNDPDVDLFAGTKKIRSSVPSGGSLFGDDEDDDLFSSAKTQPVVPEKKGTL >ENSMUSP00000038983.8 pep:known chromosome:GRCm38:6:116208038:116262686:1 gene:ENSMUSG00000024104.11 transcript:ENSMUST00000036759.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam21 description:family with sequence similarity 21 [Source:MGI Symbol;Acc:MGI:106463] MNRTSPDSERPPASEPVWERPWSVEEIRRSSQNWSLAADAGLLQFLQEFSQQTISRTHEI KKQVDGLIQETKATHCRLHNVFNDFLMLSNTQFIENRVYDEEVEEQVLKAEAEKAEQEKT REQKEIDLIPKVQEAVNYGLQVLDSAFEQLDIKAGNSDSEEDDANERVDLILEPKDLYID RPLPYLIGSKLFMEQEDVGLGELSSEEGSVGSDRGSIVDSEDEKEEEESDEDFASHSDND QNQHTTQISDEEEDDDGDLFADSEKEGDDIEDIEESAKSKRPTSFADELAARIKGDISNQ RKEGQTDGKPQKTVKEKKERRTPADDEEDILFPPPTLTDEDFSPFGSRGGLFSNGQGLFD DEDESDLFKEAPRARPAQAPVSEELPPSPKPGKKIPAGAVSVLLGHPDVSGSTSAPSLKE LQKHGQPTPGKSSHLPTPAGLFDDDDNDNDEDDNNFFMPSSSKPSKTDKVKSTAIIFDDD EGDLFKEKAEALPAASVSQTHESKTRADKTIALPSSKNLKLVSETKTQKGLFSDEEDSED LFSSQSSSKPKSASLPSSQPPTSVSLFGDEDEEDSLFGSAAAKKQTSSLQPQSQEKAKPS EQPSKKTSALLFSSDEEDQWNIADSHTKLASDNKSKGELWDSGATQGQEAKAVKKTNLFE DDDDDEVDLFAIAKDSQKKTQRTSLLFEDDAESGSSLFGLPPTSVPSATTKKESVPKVPL LFSDEEDSEVPSGVKPEDLKVDNARVSPEVGSADVASIAQKEGLLPASDQEAGGPSDIFS SSSPLDKGAKGRTRTVLSLFDEDEDKVEDESSTCAPQDGREKGLKTDSRPKSTGVFQDEE LLFSHKLQKDNDPDVDLFAGTKKIRSSVPSGGSLFGDDEDDDLFSSAKTQPVVPEKKGTL KKDHPVSLKNQDPLDSTQGSKEKSTWKTEPAQDSSGLTPFKSREPSSRIGKIQANLAINP AALLPTVALQIPGTKPVSSELAFPSSEPGRSHILESVPTLPGSVEAGVSFDLPAQADTLH SANKSRVKVRGKRRPQTRAARRLAAQESSEAEDVTVDRGPVAQLSSSPVLPNGHQPLLQP RMASGQTSSETATAPPWEGGPVLSAADRSFFVKSRPQTGNEADLFDSGDIFPKSRGSQSV EGAGVMAGEPPSHSSGGRKEKSLAFPDLSEGSSTEDLFQSVKPRAAKNRNPFPLLEDEED LFADPRGKKNERKPDSHQDSVSKTHDIFEDDIFATEAIKPFPKKREKGRTLEPNLFDDNI DIFADLTVKPKEKSKKKVAAKSMFDDDTDDIFSSGLQAKASKPKSQSAEAASEQRSEHKV ASIFDDPLNAFGSQ >ENSMUSP00000074837.3 pep:known chromosome:GRCm38:1:61638824:62642284:1 gene:ENSMUSG00000052062.14 transcript:ENSMUST00000075374.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3b description:par-3 family cell polarity regulator beta [Source:MGI Symbol;Acc:MGI:1919301] MKVTVCFGRTGIVVPCKDGQLRVRELTQQALQRYLKTRDQDPGYWVKIHHLEYTDGGILD PDDVLADVVEDKDKLIAVFDEQEPLQKTESPGGNPADRQSPDAFETEVAAQLAAFKPVGG EIVVTPSALKLGTPLLVRRSSDPAPGPHADAQPSTASLSGQSLKPVVLDSTQNVENKEAM NGEQAGLLSLHRPKDELSDMTRAVEISGEGDPLGIHVVPFFSSLSGRILGLFIRGIEENS RCKQEGLFQENECIVKINNVELLDKTFAQAQDVFRQAMKSPSVILHVLLPQNREQYEKSV IGPLNIFGNNDGASRTKAAPPARGKPGLKAVHLTRASSPEGEEPASPQQSKSPRVPRLGR KPSSPSLSPLMGFGSKKNAKKIKIDLKKGPEGLGFTVVTRDSSIHGPGPIFVKNILPKGA AVKDGRLQSGDRILEVNGRDVTGRTQEELVAMLRSTKQGETVSLVIARQEGSFLPRELKG EPDCYALSLESSEQLTLEIPLNDSGSAGLGVSLKGNKSRETGTDLGIFIKSIIHGGAAFK DGRLRMNDQLIAVNGETLLGKSNHEAMETLRRSMSMEGNIRGMIQLVILRRPERPLEELS ECGALSRPGFENCQEALSTSRRNDSSILYPFGTYSPQDKRKDLLLPSDGWAENEVPPSPP PHPALEWGLEDFSHSSGVDSTGYFPDQHVNFRTVTPVRQPELINLKASKSMDLVPDEGKV QSLADRRSDSPGKDFGPTLGLKKSSSLESLQTAVAEVRKNDLPFHRPRPHMVRGRGCNES FRAAIDKSYDGPEEADADGLSDKSSRSGHTALNCESAPQGNPELDNVENKAKNIKKTKEK EKKKGKGKLKVKEKKLKEEHEDAERKMKRKGFGAMLRFGKKKDDKVGKAEQKGAQKSGHP EEEELERMKEERERIGAKHQELREKQARGLVDYATAVTGPVHDMDDDEMDPNYARVNHFR EPCASANVFRSPSPLRAGPLAYPRDGRPLSPDHLEGLYAKVNKPYHPPALADSGRPMAGT TDRIQKLRKEYYQARREGFLLYEDENTRARPSDHDLRWVSGKGPDGSTHNLRFEGMERQY ASLPRGGSADPVDYLTASPRGRYNDRELPYYPGPHPVHAPRGSYPRPPDLRATDLRYPQY YPPPPAHQHKGPFRQDVPPSPPQHQRVPVYQEMGRAGPRGSSPDQYPYRNQDPRQKNPMT AAV >ENSMUSP00000040439.4 pep:known chromosome:GRCm38:1:61639243:62637923:1 gene:ENSMUSG00000052062.14 transcript:ENSMUST00000046673.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3b description:par-3 family cell polarity regulator beta [Source:MGI Symbol;Acc:MGI:1919301] MKVTVCFGRTGIVVPCKDGQLRVRELTQQALQRYLKTRDQDPGYWVKIHHLEYTDGGILD PDDVLADVVEDKDKLIAVFDEQEPLQKTESPGGNPADRQSPDAFETEVAAQLAAFKPVGG EIVVTPSALKLGTPLLVRRSSDPAPGPHADAQPSTASLSGQSLKPVVLDSTQNVENKEAM NGEQAGLLSLHRPKDELSDMTRAVEISGEGDPLGIHVVPFFSSLSGRILGLFIRGIEENS RCKQEGLFQENECIVKINNVELLDKTFAQAQDVFRQAMKSPSVILHVLLPQNREQYEKSV IGPLNIFGNNDGASRTKAAPPARGKPGLKAVHLTRASSPEGEEPASPQQSKSPRVPRLGR KPSSPSLSPLMGFGSKKNAKKIKIDLKKGPEGLGFTVVTRDSSIHGPGPIFVKNILPKGA AVKDGRLQSGDRILEVNGRDVTGRTQEELVAMLRSTKQGETVSLVIARQEGSFLPRELDG RLRMNDQLIAVNGETLLGKSNHEAMETLRRSMSMEGNIRGMIQLVILRRPERPLEELSEC GALSRPGFENCQEALSTSRRNDSSILYPFGTYSPQDKRKDLLLPSDGWAENEVPPSPPPH PALEWGLEDFSHSSGVDSTGYFPDQHVNFRTVTPVRQPELINLKASKSMDLVPDEGKVQS LADRRSDSPGKDFGPTLGLKKSSSLESLQTAVAEVRKNDLPFHRPRPHMVRGRGCNESFR AAIDKSYDGPEEADADGLSDKSSRSGHTALNCESAPQGNPELDNVENKAKNIKKTKEKEK KKGKGKLKVKEKKLKEEHEDAERKMKRKGFGAMLRFGKKKDDKVGKAEQKGAQKSGHPEE EELERMKEERERIGAKHQELREKQARGLVDYATAVTGPVHDMDDDEMDPNYARVNHFREP CASANVFRSPSPLRAGPLAYPRDGRPLSPDHLEGLYAKVNKPYHPPALADSGRPMAGTTD RIQKLRKEYYQARREGFLLYEDENTRARPSDHDLRWVSGKGPDGSTHNLRFEGMERQYAS LPRGGSADPVDYLTASPRGRYNDRELPYYPGPHPVHAPRGSYPRPPDLRATDLRYPQYYP PPPAHQHKGPFRQDVPPSPPQHQRVPVYQEMGRAGPRGSSPDQYPYRNQDPRQKNPMTAA V >ENSMUSP00000092510.2 pep:known chromosome:GRCm38:1:61639243:62642284:1 gene:ENSMUSG00000052062.14 transcript:ENSMUST00000094906.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard3b description:par-3 family cell polarity regulator beta [Source:MGI Symbol;Acc:MGI:1919301] MKVTVCFGRTGIVVPCKDGQLRVRELTQQALQRYLKTRDQDPGYWVKIHHLEYTDGGILD PDDVLADVVEDKDKLIAVFDEQEPLQKTESPGGNPADRQSPDAFETEVAAQLAAFKPVGG EIVVTPSALKLGTPLLVRRSSDPAPGPHADAQPSTASLSGQSLKPVVLDSTQNVENKEAM NGEQAGLLSLHRPKDELSDMTRAVEISGEGDPLGIHVVPFFSSLSGRILGLFIRGIEENS RCKQEGLFQENECIVKINNVELLDKTFAQAQDVFRQAMKSPSVILHVLLPQNREQYEKSV IGPLNIFGNNDGASRTKAAPPARGKPGLKAVHLTRASSPEGEEPASPQQSKSPRVPRLGR KPSSPSLSPLMGFGSKKNAKKIKIDLKKGPEGLGFTVVTRDSSIHGPGPIFVKNILPKGA AVKDGRLQSGDRILEVNGRDVTGRTQEELVAMLRSTKQGETVSLVIARQEGSFLPRELKG EPDCYALSLESSEQLTLEIPLNDSGSAGLGVSLKGNKSRETGTDLGIFIKSIIHGGAAFK DGRLRMNDQLIAVNGETLLGKSNHEAMETLRRSMSMEGNIRGMIQLVILRRPERPLEELS ECGALSRPGFENCQEALSTSRRNDSSILYPFGTYSPQDKRKDLLLPSDGWAENEVPPSPP PHPALEWGLEDFSHSSGVDSTGYFPDQHVNFRTVTPVRQPELINLKASKSMDLVPDEGKV QSLADRRSDSPGKDFGPTLGLKKSSSLESLQTAVAEVRKNDLPFHRPRPHMVRGRGCNES FRAAIDKSYDGPEEADADGLSDKSSRSGHTALNCESAPQGNPELDNVENKAKNIKKTKEK EKKKGKGKLKVKEKKLKEEHEDAERKMKRKGFGAMLRFGKKKDDKVGKAEQKGAQKSGHP EEEELERMKEERESGRPMAGTTDRIQKLRKEYYQARREGFLLYEDENTRARPSDHDLRWV SGKGPDGSTHNLRFEGMERQYASLPRGGSADPVDYLTASPRGRYNDRELPYYPGPHPVHA PRGSYPRPPDLRATDLRYPQYYPPPPAHQHKGPFRQDVPPSPPQHQRVPVYQEMGRAGPR GSSPDQYPYRNQDPRQKNPMTAAV >ENSMUSP00000119482.1 pep:known chromosome:GRCm38:12:112146208:112181747:1 gene:ENSMUSG00000021294.7 transcript:ENSMUST00000128402.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif26a description:kinesin family member 26A [Source:MGI Symbol;Acc:MGI:2447072] MVGRGASLCAVQPAVAECGPARETPPLEVSPRKRLPAGLDQDPCSSRPAPEGAGASAEQS HSAGGGGWCRHCHTKLVELKRQAWKLVSGPGTPLRDPCLSTLLLDKLPASGVQPACRPDT ESRCDVCTTHLHQLTREALRLLQTPASHEDPNASRGGLAAPSSRDPPGPVGLMGRQPPVG PDRRKATAWPPGPSVQVSVAPAGLGGALSTVTIQAQQCLEGVWSLSRVNSFLPPTCLAEA AVAAVAVADTVRDCAPAAGPERMSKAWGRGAACTTALVTPAPGTSAGGSTGPSAAASFFI RAAQKLSLASKRKKHHPPPAPSTRGTSTYPTDFSGSLQLWPPPVPPCLLRAASKAKENPS SFGKVKVMLRIWPAQGVQRSAESTSFLKVDSRKKQVTLYDPAAGPPGCAGLRHAPTAPVP KMFAFDAIFPQDSEQAEVCSGTVADVLQSVVSGADGCIFSFGHMSLGKSYTMIGKDSSPQ SLGIVPCAISWLFRLIDERKERLGTRFSIRVSAVEVCGHDQSLRDLLAEVASGSLQDTQS PGVYLREDPVCGTQLQNQNELRAPTAEKAAFYLDAALAARSTSRAGCGEEARRSSHMLFT LHVYQYRVEKCGQGGMSGGRSRLHLIDLGSCDAAVGRGGEASGGPLCLSLSALGSVILAL VNGAKHVPYRDHRLTMLLRESLATTNCRTTMIAHISDSPAHHAETLSTVQLAARIHRLRR KKGKHASSSSGGESSCEEGRARRPPHLRPFHPRAVVLDPDRSAPGLSGDPDYSSSSEQSC DTVIYVGPGGMALSDRELTDNEGPPDFVPIIPALSRRRPSEGPRDADHFRCSTFAELQER LECIDGSEAFPGPQGGSDGAQASPARGGRKPSLPEATPSRKAVAPTVVTSCPRGSPGHDT HRSASDPSKTGTQSEQRVDGSRPEPPASDKTSGGGGRRPLPSPAPPPPRQPEAQGIPKEP GGEGTDSVLRTPPVGMSGQAALPPLLSDSAYLSPSARGRHLERGLLTTTVTLQQPVELNG EDELVFTVVEELPLGGLAGATRPSSLASMSSDCSLQALASGSRPVSIISSINDEFDAYTS QMSEGPGDPGEFPEGTAWAGGSPASSIGSWLSDVGVCLSESRGPTPQPPFSPNSAAGPGP PEFPTPGSSLEESKVRSSECGRPDNPGSARSLHPGEAVATTQTQPGREPWARSPHEVASA QTIHSSLPRKPRTTSTASRARPSRGPYSPGGLFEDPWLLRAEDCDTRQIASTGRAPSPTP GSPRLPETQMMLACAQRVVDGCEVASRMSRRPEAVARIPPLRRGATTLGVTTPAASCGDA PAEAVVHSGSLKTTSGSKKSVSPKGAFFPRPSGAGPPAPPVRKSSLEQSTALTPTQALGL TRAGAPSAFRGEEEARPSGRSDSSVPKATSSLKARAGKMDVPYRPSGHMSLERCEGLAHG SSKVRDVVGRPPRAVPRLGVPSASPPLGPAPACRNSPAKGVGATKPPAGGAKGRNLGPST SRALGAPVKPLGPVAGKTAGGAVPGPRAAPRAVPGIGAKAGRGTIMGTKQAFRAAHSRVH ELAASGSPSRGGLSWGSTDSDSGNDSGVNLAEERQPSSPALPSPYSKVTAPRRPQRYSSG HGSDNSSVLSGELPPAMGRTALFYHSGGSSGYESMIRDSEATGSASSAPDSMSESGTASL GARSRSLKSPKKRATGLQRRRLIPAPLPDAAALGRKPSLPGQWVDLPPPLAGSLKEPFEI KVYEIDDVERLQRHRLPLRENEAKPSQDAEKGPVCISSKLRLAERRQQRLQEVQAKRDHL CEELAETQGRLMVEPGRWLEQFEVDPELEPESAEYLVALEQATAALEQCVNLCKAHVMMV TCFDIGVAATTAVPGPQEVDV >ENSMUSP00000046111.6 pep:known chromosome:GRCm38:9:89587216:89623125:-1 gene:ENSMUSG00000039313.14 transcript:ENSMUST00000044491.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AF529169 description:cDNA sequence AF529169 [Source:MGI Symbol;Acc:MGI:2667167] MEANQEASLFLVKILEELDSKQNTVSYQDLCKSLCAQFDLSQLAKLRSVLFYTACLDPNF PATLFKDKMKCSVNNQQSKKIMVAADIVTIFNLIQMNGGTAKEKLPMSCHKVRKKEASFE SCRSDTEVCSPTVCEPLNCELSERPFSRGYPTRQSSKCRKMDCKECPQFVPASEPNFLLG VSKEVKNRAASLDRLQALSPYSVASPQPCEMQRTYFPMNIENEPMSDQDSLPISQGIKET FISSEEPFVVQSCVQKRNIFKEDFHNLMTVSPSLVGTTNKAEEGHGEPQSQKELHKPPFF NHSFEMPYHNQYLNPVYSPIPDKRRAKHESLDDLQASTYFGPTPVMGTQDTRRCPGRSSK QTPWPAKSWSLNTEEVPDFERSFFNRNPSEEKLRYPNSGSQTPNFSGPDRHPVYLVPKDQ QKVLPAGYAVKPNGLKSKEISSPVDLEKHEAVKKFKDKSISCTSGQHSSDTSSVGTQTEQ HVLDPPKCKDLCTSGQAKYGDRHAMKQSDDDSEIVSDDISDIFRFLDDMSISGSTGVIQS SCYNSTGSLSQLHKSDCDSSPEHHLAKITNGVSSGKGDKCNRPENVHHSEEELKSSVCKL VLRIGEIERKLESLSGVREEISQVLGKLNKLDQKIQQPEKVNVQIDLNSLTSEAPSDDSA SPRVFRAHSGSHGPKLENSPDWCCSDASGSNSESLRVKALKKSLFTRPSSRSLTEENSAT ESKIASISNSPRDWRTITYTNRMSLNEEEIKDAGPANNKDWHRKSKEADRQYDIPPQHRL PKQPKDGFLVEQVFSPHPYPTSLKGHMKSNPLYTDMRLTELAEVKRGQPSWTIEEYARNS GDKGKLTALDLQTQESLNPNNLEYWMEDIYTPGYDSLLKRKEAEFRRAKVCKIAALITAA ACTVILVIVVPICTMKS >ENSMUSP00000140942.1 pep:known chromosome:GRCm38:9:89591101:89603391:-1 gene:ENSMUSG00000039313.14 transcript:ENSMUST00000191465.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:AF529169 description:cDNA sequence AF529169 [Source:MGI Symbol;Acc:MGI:2667167] MEANQEASLFLVKILEELDSKQNTVSYQDLCKSLCAQFDLSQLAKLRSVLFYTACLDPNF PATLFKDKMKCSVNNQQSKKIMVAADIVTIFNLIQMNGGTAKEKLPMSCHKVRKKEASFE SCRSDTEVCSPTVCEPLNCELSERPFSRGYPTRQSSKCRKMDCKECPQFVPASEPNFLLG VSKEVKNRAASLDRLQALSPYSVASPQPCEMQRTYFPMNIENEPMSDQDSLPISQGIKET FISSEEPFVVQSCVQKRNIFKEDFHNLMTVSPSLVGTTNKAEEGHGEPQSQKELHKPPFF NHSFEMPYHNQYLNPVYSPIPDKRRAKHESLDDLQASTYFGPTPVMGTQDTRRCPGRSSK QTPWPAKSWSLNTEEVPDFERSFFNRNPSEEKLRYPNSGSQTPNFSGPDRHPVYLVPKDQ QKVLPAGYAVKPNGLKSKEISSPVDLEKHEAVKKFKDKSISCTSGQHSSDTSSVGTQTEQ HVLDPPKCKDLCTSGQAKYGDRHAMKQSDDDSEIVSDDISDIFRFLDDMSISGSTGVIQS SCYNSTGSLSQLHKSDCDSSPEHHLAKITNGVSSGKGDKCNRPENVHHSEEELKSSVCKL VLRIGEIERKLESLSGVREEISQVLGKLNKLDQKIQQPEKVNVQIDLNSLTSEAPSDDSA SPRVFRAHSGSHGPKLENSPDWCCSDASGSNSESLRVKALKKSLFTRPSSRSLTEENSAT ESKIASISNSPRDWRTITYTNRMSLNEEEIKDAGPANNKDWHRKSKEADRQYDIPPQHRL PKQPKDGFLVEQVFSPHPYPTSLKGHMKSNPLYTDMRLTELAEVKRGQPSWTIEEYARNS GDKGKLTALDLQHVG >ENSMUSP00000101840.1 pep:known chromosome:GRCm38:11:119942763:120006782:1 gene:ENSMUSG00000025372.16 transcript:ENSMUST00000106233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap2 description:brain-specific angiogenesis inhibitor 1-associated protein 2 [Source:MGI Symbol;Acc:MGI:2137336] MSLSRSEEMHRLTENVYKTIMEQFNPSLRNFIAMGKNYEKALAGVTFAAKGYFDALVKMG ELASESQGSKELGDVLFQMAEVHRQIQNQLEETLKSFHNELLTQLEQKVELDSRYLSAAL KKYQTEQRSKGDALDKCQAELKKLRKKSQGSKNPQKYSDKELQYIDAISNKQGELENYVS DGYKTALTEERRRFCFLVEKQCAVAKNSAAYHSKGKELLAQKLPLWQQACADPNKIPDRA VQLMQQMANSNGSILPSALSASKSNLVISDPIPGAKPLPVPPELAPFVGRMSAQENVPVM NGVAGPDSEDYNPWADRKAAQPKSLSPPQSQSKLSDSYSNTLPVRKSVTPKNSYATTENK TLPRSSSMAAGLERNGRMRVKAIFSHAAGDNSTLLSFKEGDLITLLVPEARDGWHYGESE KTKMRGWFPFSYTRVLDSDGSDRLHMSLQQGKSSSTGNLLDKDDLALPPPDYGTSSRAFP TQTAGTFKQRPYSVAVPAFSQGLDDYGARSVSSADVEVARF >ENSMUSP00000099310.3 pep:known chromosome:GRCm38:11:119942763:120002621:1 gene:ENSMUSG00000025372.16 transcript:ENSMUST00000103021.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap2 description:brain-specific angiogenesis inhibitor 1-associated protein 2 [Source:MGI Symbol;Acc:MGI:2137336] MSLSRSEEMHRLTENVYKTIMEQFNPSLRNFIAMGKNYEKALAGVTFAAKGYFDALVKMG ELASESQGSKELGDVLFQMAEVHRQIQNQLEETLKSFHNELLTQLEQKVELDSRYLSAAL KKYQTEQRSKGDALDKCQAELKKLRKKSQGSKNPQKYSDKELQYIDAISNKQGELENYVS DGYKTALTEERRRFCFLVEKQCAVAKNSAAYHSKGKELLAQKLPLWQQACADPNKIPDRA VQLMQQMANSNGSILPSALSASKSNLVISDPIPGAKPLPVPPELAPFVGSQSKLSDSYSN TLPVRKSVTPKNSYATTENKTLPRSSSMAAGLERNGRMRVKAIFSHAAGDNSTLLSFKEG DLITLLVPEARDGWHYGESEKTKMRGWFPFSYTRVLDSDGSDRLHMSLQQGKSSSTGNLL DKDDLALPPPDYGTSSRAFPTQTAGTFKQRPYSVAVPAFSQGLDDYGARSVSSGSGTLVS TV >ENSMUSP00000074674.5 pep:known chromosome:GRCm38:11:119942763:120002621:1 gene:ENSMUSG00000025372.16 transcript:ENSMUST00000075180.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap2 description:brain-specific angiogenesis inhibitor 1-associated protein 2 [Source:MGI Symbol;Acc:MGI:2137336] MSLSRSEEMHRLTENVYKTIMEQFNPSLRNFIAMGKNYEKALAGVTFAAKGYFDALVKMG ELASESQGSKELGDVLFQMAEVHRQIQNQLEETLKSFHNELLTQLEQKVELDSRYLSAAL KKYQTEQRSKGDALDKCQAELKKLRKKSQGSKNPQKYSDKELQYIDAISNKQGELENYVS DGYKTALTEERRRFCFLVEKQCAVAKNSAAYHSKGKELLAQKLPLWQQACADPNKIPDRA VQLMQQMANSNGSILPSALSASKSNLVISDPIPGAKPLPVPPELAPFVGRMSAQENVPVM NGVAGPDSEDYNPWADRKAAQPKSLSPPQSQSKLSDSYSNTLPVRKSVTPKNSYATTENK TLPRSSSMAAGLERNGRMRVKAIFSHAAGDNSTLLSFKEGDLITLLVPEARDGWHYGESE KTKMRGWFPFSYTRVLDSDGSDRLHMSLQQGKSSSTGNLLDKDDLALPPPDYGTSSRAFP TQTAGTFKQRPYSVAVPAFSQGLDDYGARSVSSGSGTLVSTV >ENSMUSP00000101838.1 pep:known chromosome:GRCm38:11:119942763:120002621:1 gene:ENSMUSG00000025372.16 transcript:ENSMUST00000106231.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap2 description:brain-specific angiogenesis inhibitor 1-associated protein 2 [Source:MGI Symbol;Acc:MGI:2137336] MSLSRSEEMHRLTENVYKTIMEQFNPSLRNFIAMGKNYEKALAGVTFAAKGYFDALVKMG ELASESQGSKELGDVLFQMAEVHRQIQNQLEETLKSFHNELLTQLEQKVELDSRYLSAAL KKYQTEQRSKGDALDKCQAELKKLRKKSQGSKNPQKYSDKELQYIDAISNKQGELENYVS DGYKTALTEERRRFCFLVEKQCAVAKNSAAYHSKGKELLAQKLPLWQQACADPNKIPDRA VQLMQQMANSNGSILPSALSASKSNLVISDPIPGAKPLPVPPELAPFVGRMSAQENVPVM NGVAGPDSEDYNPWADRKAAQPKSLSPPQSQSKLSDSYSNTLPVRKSVTPKNSYATTENK TLPRSSSMAAGLERNGRMRVKAIFSHAAGDNSTLLSFKEGDLITLLVPEARDGWHYGESE KTKMRGWFPFSYTRVLDSDGSDRLHMSLQQGKSSSTGNLLDKDDLALPPPDYGTSSRAFP TQTAGTFKQRPYSVAVPAFSQGLDDYGARSVSR >ENSMUSP00000026436.3 pep:known chromosome:GRCm38:11:119942763:120006782:1 gene:ENSMUSG00000025372.16 transcript:ENSMUST00000026436.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baiap2 description:brain-specific angiogenesis inhibitor 1-associated protein 2 [Source:MGI Symbol;Acc:MGI:2137336] MSLSRSEEMHRLTENVYKTIMEQFNPSLRNFIAMGKNYEKALAGVTFAAKGYFDALVKMG ELASESQGSKELGDVLFQMAEVHRQIQNQLEETLKSFHNELLTQLEQKVELDSRYLSAAL KKYQTEQRSKGDALDKCQAELKKLRKKSQGSKNPQKYSDKELQYIDAISNKQGELENYVS DGYKTALTEERRRFCFLVEKQCAVAKNSAAYHSKGKELLAQKLPLWQQACADPNKIPDRA VQLMQQMANSNGSILPSALSASKSNLVISDPIPGAKPLPVPPELAPFVGRMSAQENVPVM NGVAGPDSEDYNPWADRKAAQPKSLSPPQSQSKLSDSYSNTLPVRKSVTPKNSYATTENK TLPRSSSMAAGLERNGRMRVKAIFSHAAGDNSTLLSFKEGDLITLLVPEARDGWHYGESE KTKMRGWFPFSYTRVLDSDGSDRLHMSLQQGKSSSTGNLLDKDDLALPPPDYGTSSRAFP TQTAGTFKQRPYSVAVPAFSQGLDDYGARSVSRNPFANVHLKPTVTNDRSAPLLS >ENSMUSP00000038749.7 pep:known chromosome:GRCm38:6:141249269:141507448:1 gene:ENSMUSG00000041741.10 transcript:ENSMUST00000043259.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde3a description:phosphodiesterase 3A, cGMP inhibited [Source:MGI Symbol;Acc:MGI:1860764] MAVRGEAAQDLAKPGLGGASPARVARGNHRHRGESSPSPRGSGCCWRALALQPLRRSPQL SSALCAGSLSVLLALLVRLVGGEVGGELEKSQEAAAEEEEEEGARGGVFPGPRGGAPGGG AQLSPWLQPAALLFSLLCAFFWMGLCLLRAGVRLPLAVALLAACCAGEALVQLSLGVGDG RLLSLPAAGVLLSCLGGATWLVLRLRLGVLMVAWTSVLRTVALVSLERFKVAWRPYLAYL AAVLGLLLARYAEQILPQCSGPAPPRERFGSQLSARTKEEIPGWKRRRRSSSVVAGEMSG CSGKSHRRTSLPCIPREQLMGHSEWDHKRGPRGSQSGTSITVDIAVMGEAHGLITDLLAD PSLPPNVCTSLRAVSNLLSTQLTFQAIHKPRVNPTVTFSENYTCSDSEEGLEKDKQAISK RLRRSLPPGLLRRVSSTWTTTTSATGLPTLEPAPVRRDRSASIKPHEAPSPSAVNPDSWN APGLTTLTKSRSFTSSYAVSAANHVKAKKQNRPGGLAKISPVPSPSSSPPQGSPASSPVS NSASQQFPESPEVTIKRGPGSHRALTYTQSAPDLSPQIPPPSVICSSCGRPYSQGNPADG PSERSGPAMLKPNRTDDTSQVTSDYETNNNSDSSDILQNEEEAECQREPQRKASACGTYT SQTMIFLDKPILAPEPLVMDNLDSIMDQLNTWNFPIFDLMENIGRKCGRILSQVSYRLFE DMGLFEAFKIPVREFMNYFHALEIGYRDIPYHNRIHATDVLHAVWYLTTQPIPGLPSVIG DHGSASDSDSDSGFTHGHMGYVFSKMYHVPDDKYGCLSGNIPALELMALYVAAAMHDYDH PGRTNAFLVATSAPQAVLYNDRSVLENHHAAAAWNLFMSRPEYNFLVNLDHVEFKHFRFL VIEAILATDLKKHFDFVAKFNAKVNDDVGIDWTNENDRLLVCQMCIKLADINGPAKCKEL HLRWTEGIASEFYEQGDEEASLGLPISPFMDRSAPQLANLQESFISHIVGPLCHSYDSAG LMPGKWVDDSDDSGDTDDPEEEEEEAETPHEDEACESSIAPRKKSFKRRRIYCQITQHLL QNHMMWKKVIEEEQCLSGTENQSLDQVPLQHPSEQIQAIKEEEEEKGKPRAEETLAPQPD L >ENSMUSP00000042457.8 pep:known chromosome:GRCm38:9:78478449:78481724:-1 gene:ENSMUSG00000037742.14 transcript:ENSMUST00000042235.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1a1 description:eukaryotic translation elongation factor 1 alpha 1 [Source:MGI Symbol;Acc:MGI:1096881] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVL DKLKAERERGITIDISLWKFETSKYYVTIIDAPGHRDFIKNMITGTSQADCAVLIVAAGV GEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPPYSQKRYEEIVKEVSTYIKK IGYNPDTVAFVPISGWNGDNMLEPSANMPWFKGWKVTRKDGSASGTTLLEALDCILPPTR PTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPVNVTTEVKSVEMHHEALS EALPGDNVGFNVKNVSVKDVRRGNVAGDSKNDPPMEAAGFTAQVIILNHPGQISAGYAPV LDCHTAHIACKFAELKEKIDRRSGKKLEDGPKFLKSGDAAIVDMVPGKPMCVESFSDYPP LGRFAVRDMRQTVAVGVIKAVDKKAAGAGKVTKSAQKAQKAK >ENSMUSP00000120438.1 pep:known chromosome:GRCm38:9:78479649:78489151:-1 gene:ENSMUSG00000037742.14 transcript:ENSMUST00000154207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1a1 description:eukaryotic translation elongation factor 1 alpha 1 [Source:MGI Symbol;Acc:MGI:1096881] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVL DKLKAERERGITIDISLWKFETSKYYVTIIDAPGHRDFIKNMITGTSQADCAVLIVAAGV GEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPPYSQKRYEEIVKEVSTYIKK IGYNPDTVAFVPISGWNGDNMLEPSANMPWFKGWKVTRKDGSASGTTLLEALDCILPPTR PTDKPLRLP >ENSMUSP00000116821.1 pep:known chromosome:GRCm38:9:78479915:78480841:-1 gene:ENSMUSG00000037742.14 transcript:ENSMUST00000156988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1a1 description:eukaryotic translation elongation factor 1 alpha 1 [Source:MGI Symbol;Acc:MGI:1096881] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEKEAAEMGKGSFKYAWVL DKLKAERERGITIDISLWKFETSKYYVTIIDAPGHRDFIKNMITGTSQADCAVLIVAAGV GEFEAGISKNGQTREHALLAYTLGVKQLIVGVNKMDSTEPPYSQKRYEEIVKEVSTYIKK IGYNPD >ENSMUSP00000037762.2 pep:known chromosome:GRCm38:11:98701263:98703629:1 gene:ENSMUSG00000038067.2 transcript:ENSMUST00000038886.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf3 description:colony stimulating factor 3 (granulocyte) [Source:MGI Symbol;Acc:MGI:1339751] MAQLSAQRRMKLMALQLLLWQSALWSGREAVPLVTVSALPPSLPLPRSFLLKSLEQVRKI QASGSVLLEQLCATYKLCHPEELVLLGHSLGIPKASLSGCSSQALQQTQCLSQLHSGLCL YQGLLQALSGISPALAPTLDLLQLDVANFATTIWQQMENLGVAPTVQPTQSAMPAFTSAF QRRAGGVLAISYLQGFLETARLALHHLA >ENSMUSP00000140457.1 pep:known chromosome:GRCm38:1:92467415:92473747:-1 gene:ENSMUSG00000026260.12 transcript:ENSMUST00000185251.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa10 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex 10 [Source:MGI Symbol;Acc:MGI:1914523] MKHYPEAGIQYSSTTTGDGRPLDIEFSGSCSLEKFYDDPKSNDGNSYRLQSWLYASRLLQ YADALEHLLSTGQGVVLERSIYSDFVFLE >ENSMUSP00000140576.1 pep:known chromosome:GRCm38:1:92439279:92473757:-1 gene:ENSMUSG00000026260.12 transcript:ENSMUST00000189503.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufa10 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex 10 [Source:MGI Symbol;Acc:MGI:1914523] MALRLLRLVPASAPARGLAAGAQRVGRIHTSVHCKLRHEALPRSRDTVLKHHHRRWKAPR HRV >ENSMUSP00000027478.6 pep:known chromosome:GRCm38:1:92439010:92473860:-1 gene:ENSMUSG00000026260.12 transcript:ENSMUST00000027478.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa10 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex 10 [Source:MGI Symbol;Acc:MGI:1914523] MALRLLRLVPASAPARGLAAGAQRVGRIHTSVHCKLRYGLLAAILGDKTTKKLHEYSRVI TVDGNICSGKNKLAKEIAQQLGMKHYPEAGIQYSSTTTGDGRPLDIEFSGSCSLEKFYDD PKSNDGNSYRLQSWLYASRLLQYADALEHLLSTGQGVVLERSIYSDFVFLEAMYNQGYIR KQCVDHYNEIKRLTLPEYLPPHAVIYIDVPVPEVQSRIQKKGDPHEMKVTSAYLQDIENA YKKTFLPKMSEMCEVLVYDSWEAEDPTKVVEDIEYLKYNKGPWLKQDDWTFHYLRMLVQD KTEVLNYTTIPVYLPEITIGAHQGSRIYNSFRELPGRKYAPGYNAEVGDKWIWLK >ENSMUSP00000121330.1 pep:known chromosome:GRCm38:9:58247068:58249623:-1 gene:ENSMUSG00000036986.16 transcript:ENSMUST00000130459.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pml description:promyelocytic leukemia [Source:MGI Symbol;Acc:MGI:104662] XSALTPTPTMPPPEEPSEDYEHSQSPAEDLLVSLMISRWVPGKLCSESCKARSK >ENSMUSP00000121380.1 pep:known chromosome:GRCm38:9:58247113:58249786:-1 gene:ENSMUSG00000036986.16 transcript:ENSMUST00000124982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pml description:promyelocytic leukemia [Source:MGI Symbol;Acc:MGI:104662] METEPVSVQKQAIQEEFQFLRCPSCQAQAKCPKLLPCLHTLCSGCLEAPGLQCPICKAPG QADANGEALDNVFFESLQRRLAVFRQIVDAQAACTRCKGLADFWCFECEQLICSKCFEA >ENSMUSP00000118955.1 pep:known chromosome:GRCm38:9:58221050:58249786:-1 gene:ENSMUSG00000036986.16 transcript:ENSMUST00000153820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pml description:promyelocytic leukemia [Source:MGI Symbol;Acc:MGI:104662] METEPVSVQKVPAPPGSPCRQQDSALTPTPTMPPPEEPSEDYEHSQSPAEQAIQEEFQFL RCPSCQAQAKCPKLLPCLHTLCSGCLEAPGLQCPICKAPGQADANGEALDNVFFESLQRR LAVFRQIVDAQAACTRCKGLADFWCFECEQLICSKCFEAHQWYLKHEARPLADLRDNSVS SFLDSTRKSNIFCSNTNHRNPALTDIYCRGCAKPLCCTCALLDRNHSHLHCDIGEEIQQW HEELGTMTQTLEEQGRTFDSAHAQMCSAIGQLDHARADIEKQIRARVRQVVDYVQAQERE LLEAVNDRYQRDYQEIAGQLSCLEAVLQRIRTSGALVKRMKLYASDQEVLDMHSFLRKAL CSLRQEEPQNQKVQLLTRGFEEFKLCLQDFISCITQRINAAVASPEAASNQPEAASTHPV TTSTPEDLEQPKEVQSVQAQALELSKTQPVAMVKTVPGAHPVPVYAFSMQGPTYREEASQ TVGSMKRKCSHEDCSRKIIKMESTEENEDRLATSSPEQSWPSTFKATSPPHLDGTSNPES TVPEKKILLPNNNHVTSDTGETEERVVVISSSEDSDTENLSSHELDDSSSESSSLQLEGP NSLKALDESLAEPHLEDRTLVFFDLKIDNESGFPKTYLTSF >ENSMUSP00000120620.1 pep:known chromosome:GRCm38:9:58229848:58249786:-1 gene:ENSMUSG00000036986.16 transcript:ENSMUST00000148301.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pml description:promyelocytic leukemia [Source:MGI Symbol;Acc:MGI:104662] METEPVSVQKVPAPPGSPCRQQDSALTPTPTMPPPEEPSEDYEHSQSPAEMQL >ENSMUSP00000118232.1 pep:known chromosome:GRCm38:9:58219751:58249660:-1 gene:ENSMUSG00000036986.16 transcript:ENSMUST00000124063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pml description:promyelocytic leukemia [Source:MGI Symbol;Acc:MGI:104662] VPAPPGSPCRQQDSALTPTPTMPPPEEPSEDYEHSQSPAESEARLLALHNVSFVELLNAY RTNRQEGLKKYVHYLSLQTTPLSSSASTQVAQFLQALSTHMEGLLEGHAPAGAEGKAESK GCLA >ENSMUSP00000116787.1 pep:known chromosome:GRCm38:9:58218087:58249665:-1 gene:ENSMUSG00000036986.16 transcript:ENSMUST00000126690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pml description:promyelocytic leukemia [Source:MGI Symbol;Acc:MGI:104662] XKVPAPPGSPCRQQDSALTPTPTMPPPEEPSEDYEHSQSPAEQAIQEEFQFLRCPSCQAQ AKCPKLLPCLHTLCSGCLEAPGLQCPICKAPGQADANGEALDNVFFESLQRRLAVFRQIV DAQAACTRCKGLADFWCFECEQLICSKCFEAHQWYLKHEARPLADLRDNSVSSFLDSTRK SNIFCSNTNHRNPALTDIYCRGCAKPLCCTCALLDRNHSHLHCDIGEEIQQWHEELGTMT QTLEEQGRTFDSAHAQMCSAIGQLDHARADIEKQIRARVRQVVDYVQAQERELLEAVNDR YQRDYQEIAGQLSCLEAVLQRIRTSGALVKRMKLYASDQEVLDMHSFLRKALCSLRQEEP QNQKVQLLTRGFEEFKLCLQDFISCITQRINAAVASPEAASNQPEAASTHPVTTSTPEDL EQEASQTVGSMKRKCSHEDCSRKIIKMESTEENEDRLATSSPEQSWPSTFKATSPPHLDG TSNPESTVPEKKILLPNNNHVTSDTGETEERVVVISSSEDSDTENLSSHELDDSSSESSS LQLEGPNSLKALDESLAEPHLEDRTLVFFDLKIDNEKN >ENSMUSP00000122854.1 pep:known chromosome:GRCm38:9:58218086:58249733:-1 gene:ENSMUSG00000036986.16 transcript:ENSMUST00000135310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pml description:promyelocytic leukemia [Source:MGI Symbol;Acc:MGI:104662] METEPVSVQKVPAPPGSPCRQQDSALTPTPTMPPPEEPSEDYEHSQSPAEQAIQEEFQFL RCPSCQAQAKCPKLLPCLHTLCSGCLEAPGLQCPICKAPGQADANGEALDNVFFESLQRR LAVFRQIVDAQAACTRCKGLADFWCFECEQLICSKCFEAHQWYLKHEARPLADLRDNSVS SFLDSTRKSNIFCSNTNHRNPALTDIYCRGCAKPLCCTCALLDRNHSHLHCDIGEEIQQW HEELGTMTQTLEEQGRTFDSAHAQMCSAIGQLDHARADIEKQIRARVRQVVDYVQAQERE LLEAVNDRYQRDYQEIAGQLSCLEAVLQRIRTSGALVKRMKLYASDQEVLDMHSFLRKAL CSLRQEEPQNQKVQLLTRGFEEFKLCLQDFISCITQRINAAVASPEAASNQPEAASTHPV TTSTPEDLEQPKEVQSVQAQALELSKTQPVAMVKTVPGAHPVPVYAFSMQGPTYREEASQ TVGSMKRKCSHEDCSRKIIKMESTEENEDRLATSSPEQSWPSTFKATSPPHLDGTSNPES TVPEKKILLPNNNHVTSDTGETEERVVVISSSEDSDTENLSSHELDDSSSESSSLQLEGP NSLKALDESLAEPHLEDRTLVFFDLKIDNEKN >ENSMUSP00000082816.4 pep:known chromosome:GRCm38:9:58218076:58249786:-1 gene:ENSMUSG00000036986.16 transcript:ENSMUST00000085673.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pml description:promyelocytic leukemia [Source:MGI Symbol;Acc:MGI:104662] METEPVSVQKVPAPPGSPCRQQDSALTPTPTMPPPEEPSEDYEHSQSPAEQAIQEEFQFL RCPSCQAQAKCPKLLPCLHTLCSGCLEAPGLQCPICKAPGQADANGEALDNVFFESLQRR LAVFRQIVDAQAACTRCKGLADFWCFECEQLICSKCFEAHQWYLKHEARPLADLRDNSVS SFLDSTRKSNIFCSNTNHRNPALTDIYCRGCAKPLCCTCALLDRNHSHLHCDIGEEIQQW HEELGTMTQTLEEQGRTFDSAHAQMCSAIGQLDHARADIEKQIRARVRQVVDYVQAQERE LLEAVNDRYQRDYQEIAGQLSCLEAVLQRIRTSGALVKRMKLYASDQEVLDMHSFLRKAL CSLRQEEPQNQKVQLLTRGFEEFKLCLQDFISCITQRINAAVASPEAASNQPEAASTHPV TTSTPEDLEQPKEVQSVQAQALELSKTQPVAMVKTVPGAHPVPVYAFSMQGPTYREEASQ TVGSMKRKCSHEDCSRKIIKMESTEENEDRLATSSPEQSWPSTFKATSPPHLDGTSNPES TVPEKKILLPNNNHVTSDTGETEERVVVISSSEDSDTENLSSHELDDSSSESSSLQLEGP NSLKALDESLAEPHLEDRTLVFFDLKIDNETQKISQLAAVNRESKFRVLIQPEAFSVYSK AVSLEAGLRHFLSFLTTMHRPILACSRLWGPGLPIFFQTLSDINKLWEFQDTISGFLAVL PLIRERIPGASSFKLGNLAKTYLARNMSERSALASVLAMRDLCCLLEISPGLPLAQHIYS FSSLQCFASLQPLIQASVLPQSEARLLALHNVSFVELLNAYRTNRQEGLKKYVHYLSLQT TPLSSSASTQVAQFLQALSTHMEGLLEGHAPAGAEGKAESKGCLA >ENSMUSP00000109771.2 pep:known chromosome:GRCm38:9:58218076:58249786:-1 gene:ENSMUSG00000036986.16 transcript:ENSMUST00000114136.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pml description:promyelocytic leukemia [Source:MGI Symbol;Acc:MGI:104662] METEPVSVQKVPAPPGSPCRQQDSALTPTPTMPPPEEPSEDYEHSQSPAEQAIQEEFQFL RCPSCQAQAKCPKLLPCLHTLCSGCLEAPGLQCPICKAPGQADANGEALDNVFFESLQRR LAVFRQIVDAQAACTRCKGLADFWCFECEQLICSKCFEAHQWYLKHEARPLADLRDNSVS SFLDSTRKSNIFCSNTNHRNPALTDIYCRGCAKPLCCTCALLDRNHSHLHCDIGEEIQQW HEELGTMTQTLEEQGRTFDSAHAQMCSAIGQLDHARADIEKQIRARVRQVVDYVQAQERE LLEAVNDRYQRDYQEIAGQLSCLEAVLQRIRTSGALVKRMKLYASDQEVLDMHSFLRKAL CSLRQEEPQNQKVQLLTRGFEEFKLCLQDFISCITQRINAAVASPEAASNQPEAASTHPV TTSTPEDLEQEASQTVGSMKRKCSHEDCSRKIIKMESTEENEDRLATSSPEQSWPSTFKA TSPPHLDGTSNPESTVPEKKILLPNNNHVTSDTGETEERVVVISSSEDSDTENLSSHELD DSSSESSSLQLEGPNSLKALDESLAEPHLEDRTLVFFDLKIDNETQKISQLAAVNRESKF RVLIQPEAFSVYSKAVSLEAGLRHFLSFLTTMHRPILACSRLWGPGLPIFFQTLSDINKL WEFQDTISGFLAVLPLIRERIPGASSFKLGNLAKTYLARNMSERSALASVLAMRDLCCLL EISPGLPLAQHIYSFSSLQCFASLQPLIQASVLPQSEARLLALHNVSFVELLNAYRTNRQ EGLKKYVHYLSLQTTPLSSSASTQVAQFLQALSTHMEGLLEGHAPAGAEGKAESKGCLA >ENSMUSP00000049044.5 pep:known chromosome:GRCm38:2:105904638:105965558:1 gene:ENSMUSG00000042670.5 transcript:ENSMUST00000037499.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Immp1l description:IMP1 inner mitochondrial membrane peptidase-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913791] MLRGVLGKAFRLAGYTIQYGCIAHCAFEYVGGVVMCSGPSMEPTIQNSDIVFAENLSRHF YGIQRGDIVIAKSPSDPKSNICKRVIGLEGDKILSTSPSDVFKSRSYVPTGHVWLEGDNL QNSTDSRYYGPIPYGLIRGRIFFKIWPFSDFGFLRDSPNGQRFSDD >ENSMUSP00000028977.6 pep:known chromosome:GRCm38:2:153291413:153333390:1 gene:ENSMUSG00000027475.9 transcript:ENSMUST00000028977.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif3b description:kinesin family member 3B [Source:MGI Symbol;Acc:MGI:107688] MSKLKSSESVRVVVRCRPMNGKEKAASYDKVVDVDVKLGQVSVKNPKGTSHEMPKTFTFD AVYDWNAKQFELYDETFRPLVDSVLQGFNGTIFAYGQTGTGKTYTMEGVRGDPEKRGVIP NSFDHIFTHISRSQNQQYLVRASYLEIYQEEIRDLLSKDQTKRLELKERPDTGVYVKDLS SFVTKSVKEIEHVMNVGNQNRSVGATNMNEHSSRSHAIFVITIECSEVGLDGENHIRVGK LNLVDLAGSERQAKTGAQGERLKEATKINLSLSALGNVISALVDGKSTHIPYRDSKLTRL LQDSLGGNAKTVMVANVGPASYNVEETLTTLRYANRAKNIKNKPRVNEDPKDALLREFQE EIARLKAQLEKRSIGRRKRREKRREGGGSGGGGEEEEEEGEEGEEDGDDKDDYWREQQEK LEIEKRAIVEDHSLVAEEKMRLLKEKEKKMEDLRREKDAAEMLGAKIKAMESKLLVGGKN IVDHTNEQQKILEQKRQEIAEQKRREREIQQQMESRDEETLELKETYTSLQQEVDIKTKK LKKLFSKLQAVKAEIHDLQEEHIKERQELEQTQNELTRELKLKHLIIENFIPLEEKNKIM NRSFFDDEEDHWKLHPITRLENQQMMKRPVSAVGYKRPLSQHARMSMMIRPEPRYRAENI MLLELDMPSRTTRDYEGPAISPKVQAALDAALQDEDEIQVDASSFESTASRKPKARPKSG RKSGSSSSSSGNPASQFYPQSRGLVPK >ENSMUSP00000125440.1 pep:known chromosome:GRCm38:4:134828388:134853263:-1 gene:ENSMUSG00000028826.14 transcript:ENSMUST00000148595.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem57 description:transmembrane protein 57 [Source:MGI Symbol;Acc:MGI:1913396] MKRRNADCSKLRRPLKRNRITEGIYGSTFLYLKFLVVWALVLLADFVLEFRFEYLWPFWL FIRSVYDSFRYQGLLHLTTNSPVQAWRFGQSKSMLPKDGFKLMSYW >ENSMUSP00000124477.1 pep:known chromosome:GRCm38:4:134811194:134853149:-1 gene:ENSMUSG00000028826.14 transcript:ENSMUST00000137707.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem57 description:transmembrane protein 57 [Source:MGI Symbol;Acc:MGI:1913396] MKRRNADCSKLRRPLKRNRITEGIYGSTFLYLKFLVVWALVLLADFVLEFRFEYLWPFWL FIRSVYDSFRYQGLAFSVFFVCVAFTSNIICLLFIPIQWLFFAASTYVWVQYVWHTERGV CLPTVSLWILFVYIEAAIRFKDLKNFHVDLCRPFAAH >ENSMUSP00000030628.7 pep:known chromosome:GRCm38:4:134802759:134853345:-1 gene:ENSMUSG00000028826.14 transcript:ENSMUST00000030628.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem57 description:transmembrane protein 57 [Source:MGI Symbol;Acc:MGI:1913396] MKRRNADCSKLRRPLKRNRITEGIYGSTFLYLKFLVVWALVLLADFVLEFRFEYLWPFWL FIRSVYDSFRYQGLAFSVFFVCVAFTSNIICLLFIPIQWLFFAASTYVWVQYVWHTERGV CLPTVSLWILFVYIEAAIRFKDLKNFHVDLCRPFAAHCIGYPVVTLGFGFKSYVSYKMRL RKQKEVQKENEFYMQLLQQALPPEQQMLQKQEKEAEEAAKGLPDMDSSILIHHNGGIPAN KKLSTTLPEIEYREKGKEKDKDAKKHNLGINNNNILQPVDSKIQEIEYMENHINSKRLNN DLVGSTENLLKEDSCTASSKNYKNASGVVNSSPRSHSATNGSIPSSSSKNEKKQKCTSKG PSAHKDLMENCIPNNQLSKPDALVRLEQDIKKLKADLQASRQVEQELRSQISALSSTERG IRSEMGQLRQENELLQNKLHNAVQMKQKDKQNISQLEKKLKAEQEARSFVEKQLMEEKKR KKLEEATAARAVAFAAASRGECTETLRSRIRELEAEGKKLTMDMKVKEEQIRELELKVQE LRKYKENEKDTEVLMSALSAMQDKTQHLENSLSAETRIKLDLFSALGDAKRQLEIAQGQI LQKDQEIKDLKQKIAEVMAVMPSITYSAATSPLSPVSPHYSSKFVETSPSGLDPNASVYQ PLKK >ENSMUSP00000001534.6 pep:known chromosome:GRCm38:11:101962458:101967015:-1 gene:ENSMUSG00000001494.6 transcript:ENSMUST00000001534.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sost description:sclerostin [Source:MGI Symbol;Acc:MGI:1921749] MQPSLAPCLICLLVHAAFCAVEGQGWQAFRNDATEVIPGLGEYPEPPPENNQTMNRAENG GRPPHHPYDAKDVSEYSCRELHYTRFLTDGPCRSAKPVTELVCSGQCGPARLLPNAIGRV KWWRPNGPDFRCIPDRYRAQRVQLLCPGGAAPRSRKVRLVASCKCKRLTRFHNQSELKDF GPETARPQKGRKPRPGARGAKANQAELENAY >ENSMUSP00000058723.6 pep:known chromosome:GRCm38:9:89699205:89705068:-1 gene:ENSMUSG00000032353.8 transcript:ENSMUST00000058488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed3 description:transmembrane emp24 domain containing 3 [Source:MGI Symbol;Acc:MGI:1913361] MVHEAPHASSFQMLLQLLLLLLLRAEPLRSAELTFELPDNAKQCFHEEVEQGVKFSLDYQ VITGGHYDVDCYVEDPRGNVIYRETKKQYDSFTYKTEAKGVYRFCFSNEFSTFSHKTVYF DFQVGDEPPILPDMGNRVTALTQMESACVTIHEALKTVIDSQTHYRLREAQDRARAEDLN SRVSYWSVGETIALFVVSFSQVLLLKSFFTEKRPVNRAVHS >ENSMUSP00000067011.8 pep:known chromosome:GRCm38:1:131176410:131200135:-1 gene:ENSMUSG00000026430.15 transcript:ENSMUST00000068564.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf5 description:Ras association (RalGDS/AF-6) domain family member 5 [Source:MGI Symbol;Acc:MGI:1926375] MTVDSSMSSGYCSLDEELEDCFFTAKTTFFRNLQSKQPSKNVCKAVEETQHPPTIQEIKQ KIDSYNSREKHCLGMKLSEDGTYTGFIKVHLKLRRPVTVPAGIRPQSIYDAIKEVNPAAT TDKRTSFYLPLDAIKQLHISSTTTVSEVIQGLLKKFMVVDNPQKFALFKRIHKDGQVLFQ KLSIADYPLYLRLLAGPDTDVLSFVLKENETGEVEWDAFSIPELQNFLTILEKEEQDKIH QLQKKYNKFRQKLEEALRESQGKPG >ENSMUSP00000027688.8 pep:known chromosome:GRCm38:1:131176410:131245258:-1 gene:ENSMUSG00000026430.15 transcript:ENSMUST00000027688.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf5 description:Ras association (RalGDS/AF-6) domain family member 5 [Source:MGI Symbol;Acc:MGI:1926375] MASPAIGQRPYPLLLDPEPPRYLQSLGGTEPPPPARPRRCIPTALIPAAGASEDRGGRRS GRRDPEPTPRDCRHARPVRPGLQPRLRLRPGSHRPRDVRSIFEQPQDPRVLAERGEGHRF VELALRGGPGWCDLCGREVLRQALRCANCKFTCHSECRSLIQLDCRQKGGPALDRRSPES TLTPTLNQNVCKAVEETQHPPTIQEIKQKIDSYNSREKHCLGMKLSEDGTYTGFIKVHLK LRRPVTVPAGIRPQSIYDAIKEVNPAATTDKRTSFYLPLDAIKQLHISSTTTVSEVIQGL LKKFMVVDNPQKFALFKRIHKDGQVLFQKLSIADYPLYLRLLAGPDTDVLSFVLKENETG EVEWDAFSIPELQNFLTILEKEEQDKIHQLQKKYNKFRQKLEEALRESQGKPG >ENSMUSP00000108061.1 pep:known chromosome:GRCm38:1:131176410:131245258:-1 gene:ENSMUSG00000026430.15 transcript:ENSMUST00000112442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf5 description:Ras association (RalGDS/AF-6) domain family member 5 [Source:MGI Symbol;Acc:MGI:1926375] MASPAIGQRPYPLLLDPEPPRYLQSLGGTEPPPPARPRRCIPTALIPAAGASEDRGGRRS GRRDPEPTPRDCRHARPVRPGLQPRLRLRPGSHRPRDVRSIFEQPQDPRVLAERGEGHRF VELALRGGPGWCDLCGREVLRQALRCANCKFTCHSECRSLIQLDCRQKGGPALDRRSPES TLTPTLNQNVCKAVEETQHPPTIQEIKQKIDSYNSREKHCLGMKLSEDGTYTGFIKVHLK LRRPVTVPAGIRPQSIYDAIKEVNPAATTDKRTSFYLPLDAIKQLHISSTTTCSSRNSPL LTILSTFVCSLGLTPMFSALC >ENSMUSP00000030757.9 pep:known chromosome:GRCm38:4:141147913:141204062:1 gene:ENSMUSG00000028920.9 transcript:ENSMUST00000030757.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo42 description:F-box protein 42 [Source:MGI Symbol;Acc:MGI:1924992] MASSSDSEDDSVMAVDQEETALEGTMEQDEDPHPVLEVEETRHNRSMSELPEEVLEYILS FLSPYQEHKTAALVCKQWYRLIKGVAHQCYHGFMKAVQEGNIQWESRTYPYPGTPITQRF SHSACYYDANQSMYVFGGCTQSSCNAAFNDLWRLDLNSKEWIRPLASGSYPSPKAGATLV VYKDLLVLFGGWTRPSPYPLHQPERFFDEIHTYSPSKNWWNCIVTTHGPPPMAGHSSCVI GDKMIVFGGSLGSRQMSNEVWVLDLEQWAWSKPNISGPSPHPRGGQSQIVIDDTTLLILG GCGGPNALFKDAWLLHMHPGPWAWQPLKVENEDHGAPELWCHPACRVGQCVVVFSQAPSG RAPLSPSLNSRPSPISATPPALVPETREYRSQSPVRSMDEAPCVNGRWGTLRPRAQRQTP SGSREGSLSPARGDGSPILNGGNLSPGTVAVGGASLDSPVQVVSPSTPSASDGYDLKVGL SLAPRRGSLPDQKDLRLSSIDLNWDLKSASSSSHVDSIDNRTVAGSVRHPPEQTNGVHTP PHVASALAGAVSPGALRRSLEAIKAMSSKGPSASAALSPPLGSSPSSPGSQSLSSGETVP NSRPGPAQGDGHSLPPIARRLGHHPPQSLNVGKPLYQSMNCKPMQMYVLDIKDTKEKGRV KWKVFTSSSVVGPPETSLHTVVQGRGELIVFGGLMDKKQNVKYYPKTNALYFVRAKR >ENSMUSP00000105413.1 pep:known chromosome:GRCm38:2:153345845:153404007:1 gene:ENSMUSG00000042548.13 transcript:ENSMUST00000109790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asxl1 description:additional sex combs like 1 [Source:MGI Symbol;Acc:MGI:2684063] MKDKQKRKKERTWAEAARLVLENYSDAPMTPKQILQVIEAEGLKEMRSGTSPLACLNAML HSNSRGGEGLFYKLPGRISLFTLKKDAVQWSRNAATVDGDEPEDSADVESCGSNEASTVS GENDVSLDETSSNASCSTESQSRPLSNPRDSHRASSQANKQKKRTGVMLPRVVLTPLKVN GAHVEPASGFSGRHADGESGSPSSSSSGSLALGNSAIRGQAEVTRDPAPLLRGFRKPATG QMKRNRGEEVDFETPGSILVNTNLRALINSRTFHALPLHFQQQLLLLLPEVDRQVGTDGL LRLSGSALNNEFFTHAAQSWRERLADGEFTHEMQVRLRQEMEKEKKVEQWKEKFFEDYYG QKLGLTKEESLQQKEVQEEAKVKSGLCVSGESVRPQRGPNTRQRDGHFKKRSRPDLRTRS RRNIYKKQEPEQAGVAKDASAAPDVSLSKDTKTDLAGVNSTPGPDVSSATSGQEGPKCPS EPVASQIQAERDNLACASASPDRIPTLPQDTVDQETKDQKRKSFEQEASASFPEKKPRLE DRQSFRNTIESVHTEKPQPTKEEPKVPPIRIQLSRIKPPWVAKGRPTYQICPRIVPITES SCRGWTGARTLADIKARALQARGARGYHCNRETATTAIGGGGGPGGGGSGAIDEGGGRDS SSGDGSEACGHPEPRGAPSTSGESASDLQRTQLLPPCPLNGEHTPAEAAMPRARREDSAS LRKEESCLLKRVPGVLTSGLEDASQPPIAPTGDQPCQALPPLSSQTPVAEMLTEQPKLLL DDRTECESSREDQGPTIPSESSSGRFPLGDLLGGGSDQAFDNMKEPVSMTPTFISELSLA NYLQDRPDDDGLGLGATGLLIRESSRQEALTEAFASGSPTSWVPILSNYEVIKTSDPESR ENIPCPEPQDEKEWERAVPLIAATESVPQPESCISHWTPPPAAVGSTGSDSEQVDLERLE MNGISEAPSPHSESTDTASDSEGHLSEDSSEVDASEVTVVKGSLGGDEKQDWDPSASLSK VNNDLSVLTRTGGVAASQSWVSRVCSVPHKIPDSLLLSSTECQPRSVCPLRPGSSVEVTN PLVMHLLHGNLPLEKVLPPGHRSSRLESSQLPLREQSQDRGTLQGTGENNRLAARINPGS AQTLKESILAQSYGASAGLVRAMASKAPAMSQKIAKMVTSLDSQHPETELTPSSGNLEEI DSKEHLSSFLCEEQKEGHSLSQGSDPGAAPGQCLGDHTTSKVPCFSSTNVSLSFGSEQTD GTLSDQNNAGGHEKKLFGPGNTVTTLQCPRSEEQTPLPAEVPPVFPSRKIEPSKNSVSGG VQTTRENRMPKPPPVSADSIKTEQTFLRDPIKADAENRKAAGYSSLELVGHLQGMPFVVD LPFWKLPREPGKGFSQPLEPSSIPSQLNIKQALYGKLSKLQLSPTSFNYSSSSATFPKGL AGGVVQLSHKASFGTGHTASLSLQMFADSSAVESISLQCACSLKAMIMCQGCGAFCHDDC IGPSKLCVLCLVVR >ENSMUSP00000115977.2 pep:known chromosome:GRCm38:8:99221959:99416439:-1 gene:ENSMUSG00000036510.17 transcript:ENSMUST00000142475.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh8 description:cadherin 8 [Source:MGI Symbol;Acc:MGI:107434] MPERLAETLMDLWTPLIILWITLPSCVYTAPMNQAHVLTTGSPLELSRQSEDMRILSRSK RGWVWNQMFVLEEFSGPEPILVGRLHTDLDPGSKKIKYILSGDGAGTIFQINDITGDIHA IKRLDREEKAEYTLTAQAVDFETNKPLEPPSEFIIKVQDINDNAPEFLNGPYHATVPEMS ILGTSVTNVTATDADDPVYGNSAKLVYSILEGQPYFSIEPETGTARKKSSVSFRSSNLKP AVRKQLQ >ENSMUSP00000117326.1 pep:known chromosome:GRCm38:8:99028961:99416471:-1 gene:ENSMUSG00000036510.17 transcript:ENSMUST00000128860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh8 description:cadherin 8 [Source:MGI Symbol;Acc:MGI:107434] MPERLAETLMDLWTPLIILWITLPSCVYTAPMNQAHVLTTGSPLELSRQSEDMRILSRSK RGWVWNQMFVLEEFSGPEPILVGRLHTDLDPGSKKIKYILSGDGAGTIFQINDITGDIHA IKRLDREEKAEYTLTAQAVDFETNKPLEPPSEFIIKVQDINDNAPEFLNGPYHATVPEMS ILGTSVTNVTATDADDPVYGNSAKLVYSILEGQPYFSIEPETAIIKTALPNMDREAKEEY LVVIQAKDMGGHSGGLSGTTTLTVTLTDVNDNPPKFAQSLYHFSVPEDVVLGTAIGRVKA NDQDIGENAQSSYDIIDGDGTALFEITSDAQAQDGVIRLRKPLDFETKKSYTLKVEAANI HIDPRFSSRGPFKDTATVKIVVEDADEPPVFSSPTYLLEVHENAALNSVIGQVTARDPDI TSSPIRFSIDRHTDLERQFNINADDGKITLATPLDRELSVWHNITIIATEIRNHSQISRV PVAIKVLDVNDNAPEFASEYEAFLCENGKPGQVIQTVSAMDKDDPKNGHFFLYSLLPEMV NNPNFTIKKNEDNSLSILAKHNGFNRQKQEVYLLPIVISDSGNPPLSSTSTLTIRVCGCS NDGVVQSCNVEAYVLPIGLSMGALIAILACIILLLVIVVLFVTLRRHKNEPLIIKDDEDV RENIIRYDDEGGGEEDTEAFDIATLQNPDGINGFLPRKDIKPDLQFMPRQGLAPVPNGVD VDEFINVRLHEADNDPTAPPYDSIQIYGYEGRGSVAGSLSSLESTTSDSDQNFDYLSDWG PRFKRLGELYSVGESDKET >ENSMUSP00000122493.1 pep:known chromosome:GRCm38:8:99028961:99416319:-1 gene:ENSMUSG00000036510.17 transcript:ENSMUST00000145601.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdh8 description:cadherin 8 [Source:MGI Symbol;Acc:MGI:107434] MPERLAETLMDLWTPLIILWITLPSCVYTAPMNQAHVLTTGSPLELSRQSEDMRILSRSK RGWVWNQMFVLEEFSGPEPILVGRLHTDLDPGSKKIKYILSGDGAGTIFQINDITGDIHA IKRLDREEKAEYTLTAQAVDFETNKPLEPPSEFIIKVQDINDNAPEFLNGPYHATVPEMS ILGTSVTNVTATDADDPVYGNSAKLVYSILEGQPYFSIEPETAIIKTALPNMDREAKEEY LVVIQAKDMGGHSGGLSGTTTLTVTLTDVNDNPPKFAQSLYHFSVPEDVVLGTAIGRVKA NDQDIGENAQSSYDIIDGDGTALFEITSDAQAQDGVIRLRKPLDFETKKSYTLKVEAANI HIDPRFSSRGPFKDTATVKIVVEDADEPPVFSSPTYLLEVHENAALNSVIGQVTARDPDI TSSPIRFSIDRHTDLERQFNINADDGKITLATPLDRELSVWHNITIIATEISHSNSKCHG QRRSQKRTFFLVQSSSRNGQQPKFHHQEK >ENSMUSP00000090935.4 pep:known chromosome:GRCm38:8:99028769:99416439:-1 gene:ENSMUSG00000036510.17 transcript:ENSMUST00000093249.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh8 description:cadherin 8 [Source:MGI Symbol;Acc:MGI:107434] MPERLAETLMDLWTPLIILWITLPSCVYTAPMNQAHVLTTGSPLELSRQSEDMRILSRSK RGWVWNQMFVLEEFSGPEPILVGRLHTDLDPGSKKIKYILSGDGAGTIFQINDITGDIHA IKRLDREEKAEYTLTAQAVDFETNKPLEPPSEFIIKVQDINDNAPEFLNGPYHATVPEMS ILGTSVTNVTATDADDPVYGNSAKLVYSILEGQPYFSIEPETAIIKTALPNMDREAKEEY LVVIQAKDMGGHSGGLSGTTTLTVTLTDVNDNPPKFAQSLYHFSVPEDVVLGTAIGRVKA NDQDIGENAQSSYDIIDGDGTALFEITSDAQAQDGVIRLRKPLDFETKKSYTLKVEAANI HIDPRFSSRGPFKDTATVKIVVEDADEPPVFSSPTYLLEVHENAALNSVIGQVTARDPDI TSSPIRFSIDRHTDLERQFNINADDGKITLATPLDRELSVWHNITIIATEIRNHSQISRV PVAIKVLDVNDNAPEFASEYEAFLCENGKPGQVIQTVSAMDKDDPKNGHFFLYSLLPEMV NNPNFTIKKNEDNSLSILAKHNGFNRQKQEVYLLPIVISDSGNPPLSSTSTLTIRVCGCS NDGVVQSCNVEAYVLPIGLSMGALIAILACIILLLVIVVLFVTLRRHKNEPLIIKDDEDV RENIIRYDDEGGGEEDTEAFDIATLQNPDGINGFLPRWGLPTQRWEERNAIQTGCV >ENSMUSP00000114507.1 pep:known chromosome:GRCm38:8:99024882:99416427:-1 gene:ENSMUSG00000036510.17 transcript:ENSMUST00000142129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh8 description:cadherin 8 [Source:MGI Symbol;Acc:MGI:107434] MPERLAETLMDLWTPLIILWITLPSCVYTAPMNQAHVLTTGSPLELSRQSEDMRILSRSK RGWVWNQMFVLEEFSGPEPILVGRLHTDLDPGSKKIKYILSGDGAGTIFQINDITGDIHA IKRLDREEKAEYTLTAQAVDFETNKPLEPPSEFIIKVQDINDNAPEFLNGPYHATVPEMS ILGTSVTNVTATDADDPVYGNSAKLVYSILEGQPYFSIEPETAIIKTALPNMDREAKEEY LVVIQAKDMGGHSGGLSGTTTLTVTLTDVNDNPPKFAQSLYHFSVPEDVVLGTAIGRVKA NDQDIGENAQSSYDIIDGDGTALFEITSDAQAQDGVIRLRKPLDFETKKSYTLKVEAANI HIDPRFSSRGPFKDTATVKIVVEDADEPPVFSSPTYLLEVHENAALNSVIGQVTARDPDI TSSPIRFSIDRHTDLERQFNINADDGKITLATPLDRELSVWHNITIIATEIRNHSQISRV PVAIKVLDVNDNAPEFASEYEAFLCENGKPGQVIQTVSAMDKDDPKNGHFFLYSLLPEMV NNPNFTIKKNEDNSLSILAKHNGFNRQKQEVYLLPIVISDSGNPPLSSTSTLTIRVCGCS NDGVVQSCNVEAYVLPIGLSMGALIAILACIILLLVIVVLFVTLRRHKNEPLIIKDDEDV RENIIRYDDEGGGEEDTEAFDIATLQNPDGINGFLPHYQRGFLRGIPENAA >ENSMUSP00000123619.1 pep:known chromosome:GRCm38:8:99024471:99416427:-1 gene:ENSMUSG00000036510.17 transcript:ENSMUST00000155527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh8 description:cadherin 8 [Source:MGI Symbol;Acc:MGI:107434] MPERLAETLMDLWTPLIILWITLPSCVYTAPMNQAHVLTTGSPLELSRQSEDMRILSRSK RGWVWNQMFVLEEFSGPEPILVGRLHTDLDPGSKKIKYILSGDGAGTIFQINDITGDIHA IKRLDREEKAEYTLTAQAVDFETNKPLEPPSEFIIKVQDINDNAPEFLNGPYHATVPEMS ILGTSVTNVTATDADDPVYGNSAKLVYSILEGQPYFSIEPETAIIKTALPNMDREAKEEY LVVIQAKDMGGHSGGLSGTTTLTVTLTDVNDNPPKFAQSLYHFSVPEDVVLGTAIGRVKA NDQDIGENAQSSYDIIDGDGTALFEITSDAQAQDGVIRLRKPLDFETKKSYTLKVEAANI HIDPRFSSRGPFKDTATVKIVVEDADEPPVFSSPTYLLEVHENAALNSVIGQVTARDPDI TSSPIRFSIDRHTDLERQFNINADDGKITLATPLDRELSVWHNITIIATEIRNHSQISRV PVAIKVLDVNDNAPEFASEYEAFLCENGKPGQVIQTVSAMDKDDPKNGHFFLYSLLPEMV NNPNFTIKKNEDNSLSILAKHNGFNRQKQEVYLLPIVISDSGNPPLSSTSTLTIRVCGCS NDGVVQSCNVEAYVLPIGLSMGALIAILACIILLLVIVVLFVTLRRHKNEPLIIKDDEDV RENIIRYDDEGGGEEDTEAFDIATLQNPDGINGFLPRKDIKPDLQFMPRLPKRLPKRNTR ECCLKKGTMDHSDFKEKKISQDFKRKGEVTKGTC >ENSMUSP00000138839.1 pep:known chromosome:GRCm38:X:151198140:151318309:1 gene:ENSMUSG00000041245.13 transcript:ENSMUST00000096285.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk3 description:WNK lysine deficient protein kinase 3 [Source:MGI Symbol;Acc:MGI:2652875] MATDSGEPASTEDSEKPDGVSFENRAARAVAPLTVEARIKEKYSTFSASGENIERKRFFR KSVEMTEDDKVAESSRRDERKAATNISRVDKVPTNVLRGGQEVKYEQCSKATSESSKDCF KEKTEKEMEEEAEMKAVATSPSGRFLKFDIELGRGAFKTVYKGLDTETWVEVAWCELQDR KLTKAEQQRFKEEAEMLKGLQHPNIVRFYDSWESTLKGKKCIVLVTELMTSGTLKTYLKR FKVMKPKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLM RTSFAKSVIGTPEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKV TSGIKPASFNKVTDPEVKEIIEGCIRQNKSERLSIKDLLNHAFFAEDTGLRVELAEEDDC SNSSLALRLWVEDPKKLKGKHKDNEAIEFSFNLEADTPEEVAYEMVKSGFFHESDSKAVA KSIRDRVTLIKKIREKKPAGCLEERRDSQCKYVRNVLPQQQTATLQPTPGPHTAAEYEET EVDQHVRQQFLQGKPQQQSSSVRGDTSSEPTAGPVLHSDTSSHPTVAYSSNQTTSSQVFS SILQAYISVPEQIHSSYQLLGYCYIYHLQEQPKLTQSPVLPVVQGQSSVMPIYAAGVGVV SQSQISPLTIQKVSQIKPVSQPIGAEQQATLQNPDFVRSLNQDVTSVKENTNNPDTPSGN GKQDRNKQRRASCPRPEKGTKFQLTVLQVSVSGDNMVECQLETHNNKMVTFKFDVDGDAP EDIADYMVEDNFVLENEKEKFVEELRAIVGQAQEILHVHSAVEKSIGVDSVALESNSNQT GSSEQVLINSASTQTSNESAPQSSPVGRWRFCINQTIKNREAQSPPSLQPSMAMVPGLHP FPSSRNTSNQAISQNTVFTIENNPGHRELFTSKLDHKDVVDGKIGEHASIETEQSSISYQ VEDDRQIMTPATDNSNYSAALVCPVPGECEALTSQAGMFMPTYPNQQAAVLADVHIAYPG ESVPIGGNAALTSVLVSSDQKPQSLSVQQPTIDAEFISKEGETTVNTETSSPKAVIATQT PGFEPAVILPATILESDGERPPKMEFADNRIKTLDEKLRNLLYQEHSISSICPESQKDTQ SIDSPFSSSAEDILSYSMPEVIAISHCGIQDSPAQSPNFQQTGSKILSNVAASQPAHISV FKKDLNVITSVPSELCLHEMSPDASLPGDPEAYPAAVSSDGTIHLQTGGGYFGLSFTCPS LKNPISRKSWTRKLKSWAYRLRQSTSFFKRSKVRQVETEDKRSAIASDPIPLTREFSSDT RALSRCKAMSGSFQRGRFQVITVPQQQPVKMMSFGKDHRPPFNKTTVQSSEQALTFAEAA VSQLIEVEPAMPTHKASVSSRKLRTLYETFKEDKGDPEQGDIVSYSTACETSVSSVATEK NVTSTTEVSVQSGSEPLDKEKNESTPGKQTCTNEFSATLAGNRKSVTKTRPEGDQYLPLR EEQAYAQTQNSLFYSPSSPMSSDNESEIEDEDLKVELQRLREKHIQEVVSLQTQQNKELQ ELYERLRATKDNKAQSSEVPLSPASPRRPRSFKSKLRSRPQSMTHSDNLVVKDALGVESN TVSCQQSPASKKGMFTDDLHKLVDDWTRETVGHFPSKPSLNQLKQSQQKSEAENWNKSCE STPSTMGYTSNWISSLSQIRGAAPTSLPQGLPLPSFHGPLASYGMPHVCQYNAVGAAGYP VQWVGISGPAQQSVVLPTQSGGLFQPGMNLQSFPAPPVQNPASIPPGPK >ENSMUSP00000139255.1 pep:known chromosome:GRCm38:X:151198140:151315128:1 gene:ENSMUSG00000041245.13 transcript:ENSMUST00000149140.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wnk3 description:WNK lysine deficient protein kinase 3 [Source:MGI Symbol;Acc:MGI:2652875] MATDSGEPASTEDSEKPDGVSFENRAARAVAPLTVEARIKEKYSTFSASGENIERKRFFR KSVEMTEDDKVAESSRRDERKAATNISRVDKVPTNVLRGGQEVKYEQCSKATSESSKDCF KEKTEKEMEEEAEMKAVATSPSGRFLKFDIELGRGAFKTVYKGLDTETWVEVAWCELQDR KLTKAEQQRFKEEAEMLKGLQHPNIVRFYDSWESTLKGKKCIVLVTELMTSGTLKTYLKR FKVMKPKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLM RTSFAKSVIGTPEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKV TSGIKPASFNKVTDPEVKEIIEGCIRQNKSERLSIKDLLNHAFFAEDTGLRVELAEEDDC SNSSLALRLWVEDPKKLKGKHKDNEAIEFSFNLEADTPEEVAYEMVKSGFFHESDSKAVA KSIRDRVTLIKKIREKKPAGCLEERRDSQCKYVRNVLPQQQTATLQPTPGPHTAAEYEET EVDQHVRQQFLQGKPQQQSSSVRGDTSSEPTAGPVLHSDTSSHPTVAYSSNQTTSSQVFS SILQAYISVPEQIHSSYQLLGYC >ENSMUSP00000138822.1 pep:known chromosome:GRCm38:X:151198078:151315186:1 gene:ENSMUSG00000041245.13 transcript:ENSMUST00000184730.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk3 description:WNK lysine deficient protein kinase 3 [Source:MGI Symbol;Acc:MGI:2652875] MATDSGEPASTEDSEKPDGVSFENRAARAVAPLTVEARIKEKYSTFSASGENIERKRFFR KSVEMTEDDKVAESSRRDERKAATNISRVDKVPTNVLRGGQEVKYEQCSKATSESSKDCF KEKTEKEMEEEAEMKAVATSPSGRFLKFDIELGRGAFKTVYKGLDTETWVEVAWCELQDR KLTKAEQQRFKEEAEMLKGLQHPNIVRFYDSWESTLKGKKCIVLVTELMTSGTLKTYLKR FKVMKPKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLM RTSFAKSVIGTPEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKV TSGIKPASFNKVTDPEVKEIIEGCIRQNKSERLSIKDLLNHAFFAEDTGLRVELAEEDDC SNSSLALRLWVEDPKKLKGKHKDNEAIEFSFNLEADTPEEVAYEMVKSGFFHESDSKAVA KSIRDRVTLIKKIREKKPAGCLEERRDSQCKYVRNVLPQQQTATLQPTPGPHTAAEYEET EVDQHVRQQFLQGKPQQQSSSVRGDTSSEPTAGPVLHSDTSSHPTVAYSSNQTTSSQEQP KLTQSPVLPVVQGQSSVMPIYAAGVGVVSQSQISPLTIQKVSQIKPVSQPIGAEQQATLQ NPDFVRSLNQDVTSVKENTNNPDTPSGNGKQDRNKQRRASCPRPEKGTKFQLTVLQVSVS GDNMVECQLETHNNKMVTFKFDVDGDAPEDIADYMVEDNFVLENEKEKFVEELRAIVGQA QEILHVHSAVEKSIGVDSVALESNSNQTGSSEQVLINSASTQTSNESAPQSSPVGRWRFC INQTIKNREAQSPPSLQPSMAMVPGLHPFPSSRNTSNQAISQNTVFTIENNPGHRELFTS KLDHKDVVDGKIGEHASIETEQSSISYQVEDDRQIMTPATDNSNYSAALVCPVPGECEAL TSQAGMFMPTYPNQQAAVLADVHIAYPGESVPIGGNAALTSVLVSSDQKPQSLSVQQPTI DAEFISKEGETTVNTETSSPKAVIATQTPGFEPAVILPATILESDGERPPKMEFADNRIK TLDEKLRNLLYQEHSISSICPESQKDTQSIDSPFSSSAEDILSYSMPEVIAISHCGIQDS PAQSPNFQQTGSKILSNVAASQPAHISVFKKDLNVITSVPSELCLHEMSPDASLPGDPEA YPAAVSSDGTIHLQTGVETEDKRSAIASDPIPLTREFSSDTRALSRCKAMSGSFQRGRFQ VITVPQQQPVKMMSFGKDHRPPFNKTTVQSSEQALTFAEAAVSQLIEVEPAMPTHKASVS SRKLRTLYETFKEDKGDPEQGDIVSYSTACETSVSSVATEKNVTSTTEVSVQSGSEPLDK EKNESTPGKQTCTNEFSATLAGNRKSVTKTRPEGDQYLPLREEQAYAQTQNSLFYSPSSP MSSDNESEIEDEDLKVELQRLREKHIQEVVSLQTQQNKELQELYERLRATKDNKAQSSEV PLSPASPRRPRSFKSKLRSRPQSMTHSDNLVVKDALGVESNTVSCQQSPASKKGMFTDDL HKLVDDWTRETVGHFPSKPSLNQLKQSQQKSEAENWNKSCESTPSTMGYTSNWISSLSQI RGAAPTSLPQGLPLPSFHGPLASYGMPHVCQYNAVGAAGYPVQWVGISGPAQQSVVLPTQ SGGLFQPGMNLQSFPAPPVQNPASIPPGPK >ENSMUSP00000139037.1 pep:known chromosome:GRCm38:X:151198078:151315186:1 gene:ENSMUSG00000041245.13 transcript:ENSMUST00000184392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk3 description:WNK lysine deficient protein kinase 3 [Source:MGI Symbol;Acc:MGI:2652875] MATDSGEPASTEDSEKPDGVSFENRAARAVAPLTVEARIKEKYSTFSASGENIERKRFFR KSVEMTEDDKVAESSRRDERKAATNISRVDKVPTNVLRGGQEVKYEQCSKATSESSKDCF KEKTEKEMEEEAEMKAVATSPSGRFLKFDIELGRGAFKTVYKGLDTETWVEVAWCELQDR KLTKAEQQRFKEEAEMLKGLQHPNIVRFYDSWESTLKGKKCIVLVTELMTSGTLKTYLKR FKVMKPKVLRSWCRQILKGLQFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLM RTSFAKSVIGTPEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKV TSGIKPASFNKVTDPEVKEIIEGCIRQNKSERLSIKDLLNHAFFAEDTGLRVELAEEDDC SNSSLALRLWVEDPKKLKGKHKDNEAIEFSFNLEADTPEEVAYEMVKSGFFHESDSKAVA KSIRDRVTLIKKIREKKPAGCLEERRDSQCKYVRNVLPQQQTATLQPTPGPHTAAEYEET EVDQHVRQQFLQGKPQQQSSSVRGDTSSEPTAGPVLHSDTSSHPTVAYSSNQTTSSQEQP KLTQSPVLPVVQGQSSVMPIYAAGVGVVSQSQISPLTIQKVSQIKPVSQPIGAEQQATLQ NPDFVRSLNQDVTSVKENTNNPDTPSGNGKQDRNKQRRASCPRPEKGTKFQLTVLQVSVS GDNMVECQLETHNNKMVTFKFDVDGDAPEDIADYMVEDNFVLENEKEKFVEELRAIVGQA QEILHVHSAVEKSIGVDSVALESNSNQTGSSEQVLINSASTQTSNESAPQSSPVGRWRFC INQTIKNREAQSPPSLQPSMAMVPGLHPFPSSRNTSNQAISQNTVFTIENNPGHRELFTS KLDHKDVVDGKIGEHASIETEQSSISYQVEDDRQIMTPATDNSNYSAALVCPVPGECEAL TSQAGMFMPTYPNQQAAVLADVHIAYPGESVPIGGNAALTSVLVSSDQKPQSLSVQQPTI DAEFISKEGETTVNTETSSPKAVIATQTPGFEPAVILPATILESDGERPPKMEFADNRIK TLDEKLRNLLYQEHSISSICPESQKDTQSIDSPFSSSAEDILSYSMPEVIAISHCGIQDS PAQSPNFQQTGSKILSNVAASQPAHISVFKKDLNVITSVPSELCLHEMSPDASLPGDPEA YPAAVSSDGTIHLQTGGGYFGLSFTCPSLKNPISRKSWTRKLKSWAYRLRQSTSFFKRSK VRQVETEDKRSAIASDPIPLTREFSSDTRALSRCKAMSGSFQRGRFQVITVPQQQPVKMM SFGKDHRPPFNKTTVQSSEQALTFAEAAVSQLIEVEPAMPTHKASVSSRKLRTLYETFKE DKGDPEQGDIVSYSTACETSVSSVATEKNVTSTTEVSVQSGSEPLDKEKNESTPGKQTCT NEFSATLAGNRKSVTKTRPEGDQYLPLREEQAYAQTQNSLFYSPSSPMSSDNESEIEDED LKVELQRLREKHIQEVVSLQTQQNKELQELYERLRATKDNKAQSSEVPLSPASPRRPRSF KSKLRSRPQSMTHSDNLVVKDALGVESNTVSCQQSPASKKGMFTDDLHKLVDDWTRETVG HFPSKPSLNQLKQSQQKSEAENWNKSCESTPSTMGYTSNWISSLSQIRGAAPTSLPQGLP LPSFHGPLASYGMPHVCQYNAVGAAGYPVQWVGISGPAQQSVVLPTQSGGLFQPGMNLQS FPAPPVQNPASIPPGPK >ENSMUSP00000028721.7 pep:known chromosome:GRCm38:2:121046111:121085841:-1 gene:ENSMUSG00000053675.8 transcript:ENSMUST00000028721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgm5 description:transglutaminase 5 [Source:MGI Symbol;Acc:MGI:1921426] MAQGCPITGLEVALTDLQSSQNNVRHHTEEISVDRLVVRRGQAFSITLYFKNRGFQPGMD SIMFVAETGPLPDLAKGTRAVFSFTGSGGPSPWIASLEANRANSLEVSLCAPPIAAVGRY LLKIRIDSYQGFVTAYQLGEFILLFNPWCPADSVYLESEPQRQEYVVNDYGFIYQGSKSW IRPCPWNYGQFEENIIDICLELLEKSLNFQVDPSTDCALRGSPVYTSRVVCAMINSNDDN GVLNGNWSENYVDGINPAEWTGSVAILKQWHATGCQPVRYGQCWVFAAVMCTVMRCLGIP TRVITNFDSGHDTDGNLIIDEYYDNTGRILENMKKDTVWNFHVWNECWMARKDLPPGYGG WQVLDATPQETSNGLYCCGPASVKAIKEGEIDLNYDTRFAFSMVNADCMSWLVYGGKEQK LHQDTATVGNFISTKSIQSDERDDITESYKYEEGSLQEREVFLKALQKLQATRSQGPHQA NSNPFSSVPPRHNSARSPDSPSLQPSDVLQVSLKFELLDSPKMGQDINFVLLAVNMSPQF KDLKLNLSAQSLLHDGSPLVPFWQDTAFITLFPEEEKSYPCKILYSQYSQYLSTDKLIRI SALGEEKNSPEKILVNKIITLTFPGIMINVLGAAFVNQPLTVQVVFSNPLSEPVEDCVLT LEGSGLFRKQQRVLIGVLKPHHKASITLKTVPFKSGQRQIQANLRSNRFKDIKGYKNVYV DIGL >ENSMUSP00000135343.1 pep:known chromosome:GRCm38:11:101973469:101981688:-1 gene:ENSMUSG00000003518.13 transcript:ENSMUST00000125794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp3 description:dual specificity phosphatase 3 (vaccinia virus phosphatase VH1-related) [Source:MGI Symbol;Acc:MGI:1919599] XEFNLSAYFERATDFIDQALAHKNGRVLVHCREGYSRSPTLVIAYLMMRQKMDVKSALST VRQNREIGPNDGFLAQLCQLNDRLAKEGKDPETDSLDRNETWRHHENGERESKERNSL >ENSMUSP00000135821.1 pep:known chromosome:GRCm38:11:101974716:101984778:-1 gene:ENSMUSG00000003518.13 transcript:ENSMUST00000143177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp3 description:dual specificity phosphatase 3 (vaccinia virus phosphatase VH1-related) [Source:MGI Symbol;Acc:MGI:1919599] MSSSFELSVQDLNDLLSDGSGCYSLPSQPCNEVVPRVYVGNAPGACPLPRGLQPLPNASY RLPHDAAEDGRQVCSEYCEAES >ENSMUSP00000135443.1 pep:known chromosome:GRCm38:11:101980594:101984751:-1 gene:ENSMUSG00000003518.13 transcript:ENSMUST00000176261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp3 description:dual specificity phosphatase 3 (vaccinia virus phosphatase VH1-related) [Source:MGI Symbol;Acc:MGI:1919599] MSSSFELSVQDLNDLLSDGSGCYSLPSQPCNEVVPRVYVGNASVAQDITQLQKLGITHVL NAAEGRSFMHVNTSASFYEDSGITYLGIKANDTQEFNLSAYFERATDFIDQALAHKNEAS WVHSSFPVLVIPKENSLPLDLQKFY >ENSMUSP00000003612.6 pep:known chromosome:GRCm38:11:101971143:101984799:-1 gene:ENSMUSG00000003518.13 transcript:ENSMUST00000003612.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp3 description:dual specificity phosphatase 3 (vaccinia virus phosphatase VH1-related) [Source:MGI Symbol;Acc:MGI:1919599] MSSSFELSVQDLNDLLSDGSGCYSLPSQPCNEVVPRVYVGNASVAQDITQLQKLGITHVL NAAEGRSFMHVNTSASFYEDSGITYLGIKANDTQEFNLSAYFERATDFIDQALAHKNGRV LVHCREGYSRSPTLVIAYLMMRQKMDVKSALSTVRQNREIGPNDGFLAQLCQLNDRLAKE GKVKL >ENSMUSP00000102791.2 pep:known chromosome:GRCm38:11:101971143:101987013:-1 gene:ENSMUSG00000003518.13 transcript:ENSMUST00000107173.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp3 description:dual specificity phosphatase 3 (vaccinia virus phosphatase VH1-related) [Source:MGI Symbol;Acc:MGI:1919599] MVMGCGTIRYLYSLCYDSVKRPAAAMSSSFELSVQDLNDLLSDGSGCYSLPSQPCNEVVP RVYVGNASVAQDITQLQKLGITHVLNAAEGRSFMHVNTSASFYEDSGITYLGIKANDTQE FNLSAYFERATDFIDQALAHKNGRVLVHCREGYSRSPTLVIAYLMMRQKMDVKSALSTVR QNREIGPNDGFLAQLCQLNDRLAKEGKVKL >ENSMUSP00000102790.1 pep:known chromosome:GRCm38:11:101971143:101987013:-1 gene:ENSMUSG00000003518.13 transcript:ENSMUST00000107172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp3 description:dual specificity phosphatase 3 (vaccinia virus phosphatase VH1-related) [Source:MGI Symbol;Acc:MGI:1919599] MSSSFELSVQDLNDLLSDGSGCYSLPSQPCNEVVPRVYVGNASVAQDITQLQKLGITHVL NAAEGRSFMHVNTSASFYEDSGITYLGIKANDTQEFNLSAYFERATDFIDQALAHKNGRV LVHCREGYSRSPTLVIAYLMMRQKMDVKSALSTVRQNREIGPNDGFLAQLCQLNDRLAKE GKVKL >ENSMUSP00000135384.1 pep:known chromosome:GRCm38:11:101971143:101983503:-1 gene:ENSMUSG00000003518.13 transcript:ENSMUST00000151678.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp3 description:dual specificity phosphatase 3 (vaccinia virus phosphatase VH1-related) [Source:MGI Symbol;Acc:MGI:1919599] MHVNTSASFYEDSGITYLGIKANDTQEFNLSAYFERATDFIDQALAHKNGRVLVHCREGY SRSPTLVIAYLMMRQKMDVKSALSTVRQNREIGPNDGFLAQLCQLNDRLAKEGKVKL >ENSMUSP00000028689.3 pep:known chromosome:GRCm38:2:91457511:91513779:1 gene:ENSMUSG00000027253.15 transcript:ENSMUST00000028689.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp4 description:low density lipoprotein receptor-related protein 4 [Source:MGI Symbol;Acc:MGI:2442252] MRRWWGALLLGALLCAHGIASSLECACGRSHFTCAVSALGECTCIPAQWQCDGDNDCGDH SDEDGCTLPTCSPLDFHCDNGKCIRRSWVCDGDNDCEDDSDEQDCPPRECEEDEFPCQNG YCIRSLWHCDGDNDCGDNSDEQCDMRKCSDKEFRCSDGSCIAEHWYCDGDTDCKDGSDEE SCPSAVPSPPCNLEEFQCAYGRCILDIYHCDGDDDCGDWSDESDCSSHQPCRSGEFMCDS GLCINSGWRCDGDADCDDQSDERNCTTSMCTAEQFRCRSGRCVRLSWRCDGEDDCADNSD EENCENTGSPQCASDQFLCWNGRCIGQRKLCNGINDCGDSSDESPQQNCRPRTGEENCNV NNGGCAQKCQMVRGAVQCTCHTGYRLTEDGRTCQDVNECAEEGYCSQGCTNTEGAFQCWC EAGYELRPDRRSCKALGPEPVLLFANRIDIRQVLPHRSEYTLLLNNLENAIALDFHHRRE LVFWSDVTLDRILRANLNGSNVEEVVSTGLESPGGLAVDWVHDKLYWTDSGTSRIEVANL DGAHRKVLLWQSLEKPRAIALHPMEGTIYWTDWGNTPRIEASSMDGSGRRIIADTHLFWP NGLTIDYAGRRMYWVDAKHHVIERANLDGSHRKAVISQGLPHPFAITVFEDSLYWTDWHT KSINSANKFTGKNQEIIRNKLHFPMDIHTLHPQRQPAGKNRCGDNNGGCTHLCLPSGQNY TCACPTGFRKINSHACAQSLDKFLLFARRMDIRRISFDTEDLSDDVIPLADVRSAVALDW DSRDDHVYWTDVSTDTISRAKWDGTGQEVVVDTSLESPAGLAIDWVTNKLYWTDAGTDRI EVANTDGSMRTVLIWENLDRPRDIVVEPMGGYMYWTDWGASPKIERAGMDASSRQVIISS NLTWPNGLAIDYGSQRLYWADAGMKTIEFAGLDGSKRKVLIGSQLPHPFGLTLYGQRIYW TDWQTKSIQSADRLTGLDRETLQENLENLMDIHVFHRQRPPVTTLCAVENGGCSHLCLRS PNPSGFSCTCPTGINLLRDGKTCSPGMNSFLIFARRIDVRMVSLDIPYFADVVVPINMTM KNTIAIGVDPLEGKVYWSDSTLHRISRASLDGSQHEDIITTGLQTTDGLAVDAIGRKVYW TDTGTNRIEVGNLDGSMRKVLVWQNLDSPRAIVLYHEMGFMYWTDWGENAKLERSGMDGS DRTVLINNNLGWPNGLTVDKTSSQLLWADAHTERIEVADLNGANRHTLVSPVQHPYGLTL LDSYIYWTDWQTRSIHRADKSTGSNVILVRSNLPGLMDIQAVDRAQPLGFNKCGSRNGGC SHLCLPRPSGFSCACPTGIQLKGDRKTCDPSPETYLLFSSRGSIRRISLDTDDHTDVHVP VPGLNNVISLDYDSVHGKVYYTDVFLDVIRRADLNGSNMETVIGHGLKTTDGLAVDWVAR NLYWTDTGRNTIEASRLDGSCRKVLINNSLDEPRAIAVFPRKGYLFWTDWGHIAKIERAN LDGSERKVLINTDLGWPNGLTLDYDTRRIYWVDAHLDRIESADLNGKLRQVLVSHVSHPF ALTQQDRWIYWTDWQTKSIQRVDKYSGRNKETVLANVEGLMDIIVVSPQRQTGTNACGVN NGGCTHLCFARASDFVCACPDEPDGHPCSLVPGLVPPAPRATSMNEKSPVLPNTLPTTLH SSTTKTRTSLEGAGGRCSERDAQLGLCAHSNEAVPAAPGEGLHVSYAIGGLLSILLILLV IAALMLYRHRKSKFTDPGMGNLTYSNPSYRTSTQEVKLEAAPKPAVYNQLCYKKEGGPDH SYTKEKIKIVEGIRLLAGDDAEWGDLKQLRSSRGGLLRDHVCMKTDTVSIQASSGSLDDT ETEQLLQEEQSECSSVHTAATPERRGSLPDTGWKHERKLSSESQV >ENSMUSP00000056787.1 pep:known chromosome:GRCm38:9:89725239:89738475:-1 gene:ENSMUSG00000047606.3 transcript:ENSMUST00000060700.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd34c description:ankyrin repeat domain 34C [Source:MGI Symbol;Acc:MGI:2685617] MMDDDTELRTDGNSLLKAVWLGRLRLTRLLLEGGAYINESNDKGETALMVACITKHVDQQ SISKSKMVKYLLDNRADPNIQDKSGKTALIHACIRRAGGEVVSLLLENGADPSLEDRTGA SALVYAINADDKDALKHLLDACKAKGKEVIIITTDKSSSGTKTTKQYLNVPPSPKVEDRQ SPPLCTTPSDVELKTSGLASPPSEKDDDFFILQTGHQSGCSTSKVLNEPGSPTRKVSSLK RARLPQLKRLQSEPWGLIAPSVLAAATRQDETHGTSTDNEVIRSINDVTFPKRGPLSRTN SIDSKDPTLFPTVQEQVLKVSASTPASWKAAYEKGQAPHPRLARRGTLPLDQEKSGMCPP GPSTLKDPASLKLLENDLYDLDIQPVGDPPNSMSLESGKGPLDRKKLNSSHLSLFHGSRE SLEVVPSTSPTSVRRRPPHLLERRGSGTLLLDRIAHTRPGFLPPLNVNLNPPIPDIRASS KPASPLASGLKSMAPVAPNSPKRVDLRSKKKLLRRHSMQIEQMKQLSDFEEIMA >ENSMUSP00000140919.1 pep:known chromosome:GRCm38:9:89728469:89738445:-1 gene:ENSMUSG00000047606.3 transcript:ENSMUST00000185470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd34c description:ankyrin repeat domain 34C [Source:MGI Symbol;Acc:MGI:2685617] MMDDDTELRTDGNSLLKAVWLGRLRLTRLLLEGGAYINESNDKGETALMVACITKHVDQQ SISKSKMVKYLLDNRADPNIQDKSGKTALIHACIRRAGGEVVSLLLENGADPSLEDRTGA SALVYAINADDKDALKHLLDACKAKGKEVIIITTDKSSSGTKTTKQYLNVPPSPKVEDRQ SPPLCTTPSDVELKTSGLASPPSEKDDDFFILQTGHQSGCSTSKVLNEPGSPTRKVSSLK RARLPQLKRLQSEPWGLIAPSVLAAATRQDETHGTSTDNEVIRSINDVTFPKRGPLSRTN SIDSKDPTLFPTVQEQVLKVSASTPASWKAAYEKGQAPHPRLARRGTLPLDQEKSGMCPP GPSTLKDPASLKLLENDLYDLDIQPVGDPPNSMSLESGKGPLDRKKLNSSHLSLFHGSRE SLEVVPSTSPTSVRRRPPHLLERRGSGTLLLDRIAHTRPGFLPPLNVNLNPPIPDIRASS KPASPLASGLKSMAPVAPNSPKRVDLRSKKKLLRRHSMQIEQMKQLSDFEEIMA >ENSMUSP00000144727.1 pep:known chromosome:GRCm38:6:122472538:122498761:-1 gene:ENSMUSG00000040649.15 transcript:ENSMUST00000204045.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimklb description:ribosomal modification protein rimK-like family member B [Source:MGI Symbol;Acc:MGI:1918325] MCSSVTGKLWFLTDRRIREDYPQKEILRALKAKCCEEELDFRAVVMDEMVLTVEQGNLG >ENSMUSP00000144770.1 pep:known chromosome:GRCm38:6:122454260:122466535:-1 gene:ENSMUSG00000040649.15 transcript:ENSMUST00000204731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimklb description:ribosomal modification protein rimK-like family member B [Source:MGI Symbol;Acc:MGI:1918325] MGLRISGELISAYPQVVVVRVPTPWVQSDSDITVLRHLEKMGCRLMNRPQAILNCVNKFW TFQELAGHGVPLPDTFSYGGHENFAKMIDEAEVLEFPMVVKNTRGHRGKAVFLARDKHHL ADLSHLIRHEAPYLFQKYIKESHGRDVRVIVVGGRVVGTMLRCSTDGRMQSNCSLGGVGM MCSLSEQGKQLAIQVSNILGTDVCGIDLLMKDDGSFCVCEANANVGFIAFDKACNLDVAG IIADYAASLLPAGRLTRRMSLLSVVSTASETSEPELGPPASAAVDNMSASSSSVDSDPES TTEREMLTKLPGGLFNMNQLLANEIKLLVE >ENSMUSP00000145122.1 pep:known chromosome:GRCm38:6:122464170:122486439:-1 gene:ENSMUSG00000040649.15 transcript:ENSMUST00000205114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimklb description:ribosomal modification protein rimK-like family member B [Source:MGI Symbol;Acc:MGI:1918325] MCSSVTGKLWFLTDRRIREDYPQKEILRALKAKCCEEELDFRAVVMDEMVLTVEQGNLGL RISGELISAYP >ENSMUSP00000064467.6 pep:known chromosome:GRCm38:6:122453101:122486505:-1 gene:ENSMUSG00000040649.15 transcript:ENSMUST00000068242.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimklb description:ribosomal modification protein rimK-like family member B [Source:MGI Symbol;Acc:MGI:1918325] MCSSVTGKLWFLTDRRIREDYPQKEILRALKAKCCEEELDFRAVVMDEMVLTVEQGNLGL RISGELISAYPQVVVVRVPTPWVQSDSDITVLRHLEKMGCRLMNRPQAILNCVNKFWTFQ ELAGHGVPLPDTFSYGGHENFAKMIDEAEVLEFPMVVKNTRGHRGKAVFLARDKHHLADL SHLIRHEAPYLFQKYIKESHGRDVRVIVVGGRVVGTMLRCSTDGRMQSNCSLGGVGMMCS LSEQGKQLAIQVSNILGTDVCGIDLLMKDDGSFCVCEANANVGFIAFDKACNLDVAGIIA DYAASLLPAGRLTRRMSLLSVVSTASETSEPELGPPASAAVDNMSASSSSVDSDPESTTE REMLTKLPGGLFNMNQLLANEIKLLVE >ENSMUSP00000138104.1 pep:known chromosome:GRCm38:6:122447296:122466587:-1 gene:ENSMUSG00000040649.15 transcript:ENSMUST00000146274.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rimklb description:ribosomal modification protein rimK-like family member B [Source:MGI Symbol;Acc:MGI:1918325] MGLRISGELISAYPQVVVVRVPTPWVQSDSDITVLRHLEKMGCRLMNRPQAILNCVNKFW TFQELAGHGVPLPDTFSYGGHENFAKMIDEAEVLEFPMVVKNTRGHRGKAVFLARDKHHL ADLSHLIRHEAPYLFQKYIKESHGRDVRVIVVGGRVVGTMLRCSTDGRMQSNCSLGGVGM MCSLSEQGKQLAIQVSNILGTDVCGIDLLMKDDGSFCVCEANANPFQEPKYTNTNKQKIL RENTFLLPPSC >ENSMUSP00000146088.1 pep:known chromosome:GRCm38:7:126787220:126789044:-1 gene:ENSMUSG00000030697.7 transcript:ENSMUST00000206353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4c description:protein phosphatase 4, catalytic subunit [Source:MGI Symbol;Acc:MGI:1891763] RVDSPVTVCGDIHGQFYDLKELFRVGGDVPETNYLFMGDFVDRGFYSVETFLLLLALKIF CVHGGLSPSIQTLDQIRTIDRKQEVPHDGPMCDLLWSDPE >ENSMUSP00000146180.1 pep:known chromosome:GRCm38:7:126787468:126792147:-1 gene:ENSMUSG00000030697.7 transcript:ENSMUST00000206334.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp4c description:protein phosphatase 4, catalytic subunit [Source:MGI Symbol;Acc:MGI:1891763] XSDLDRQIEQLRRCELIKESEVKALCAKARSGIGLGWPGCGDPGLLLSPEKSW >ENSMUSP00000146240.1 pep:known chromosome:GRCm38:7:126786289:126792493:-1 gene:ENSMUSG00000030697.7 transcript:ENSMUST00000205935.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp4c description:protein phosphatase 4, catalytic subunit [Source:MGI Symbol;Acc:MGI:1891763] MAEISDLDRQIEQLRRCELIKESEVKALCAKARYAVTSMDNSMTSRSCSE >ENSMUSP00000146013.1 pep:known chromosome:GRCm38:7:126786229:126787339:-1 gene:ENSMUSG00000030697.7 transcript:ENSMUST00000206477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4c description:protein phosphatase 4, catalytic subunit [Source:MGI Symbol;Acc:MGI:1891763] XVHGGLSPSIQTLDQIRTIDRKQEVPHDGPMCDLLWSDPEDTTGWGVSPRGAGYLFGSDV VAQFNAANDIDMICRAHQLVMEGYKWHFNETVLTVWSAPNYCYR >ENSMUSP00000146245.1 pep:known chromosome:GRCm38:7:126785868:126792340:-1 gene:ENSMUSG00000030697.7 transcript:ENSMUST00000206570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4c description:protein phosphatase 4, catalytic subunit [Source:MGI Symbol;Acc:MGI:1891763] MAEISDLDRQIEQLRRCELIKESEVKALCAKAREILVEESNVQRVDSPVTVCGDIHGQFY DLKELFRVGGDVPETNYLFMGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQI TQVYGFYDECLRKYGSVTVWRYCTEIFDYLSLSAIIDGKIFCVHGGLSPSIQTLDQIRTI DRKQEVPHDGPMCDLLWSDPEDTTGWGVSPRGAGYLFGSDVVAQFNAANDIDMICRAHQL VMEGYKWHFNETVLTVWSAPNYCYRCGNVAAILELDEHLQKDFIIFEAAPQETRGIPSKK PVADYFL >ENSMUSP00000146202.1 pep:known chromosome:GRCm38:7:126786159:126788410:-1 gene:ENSMUSG00000030697.7 transcript:ENSMUST00000205786.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4c description:protein phosphatase 4, catalytic subunit [Source:MGI Symbol;Acc:MGI:1891763] FYDLKELFRIFCVHGGLSPSIQTLDQIRTIDRKQEVPHDGPMCDLLWSDPEDTTGWGVSP RGAGYLFGSDVVAQFNAANDIDMICRAHQLVMEGYKWHFNETVLTVWSAPNYCYRCGNVA AILELDEHLQKDFIIFEAAPQETRGIPSKKPVADYFL >ENSMUSP00000032936.6 pep:known chromosome:GRCm38:7:126785866:126792496:-1 gene:ENSMUSG00000030697.7 transcript:ENSMUST00000032936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp4c description:protein phosphatase 4, catalytic subunit [Source:MGI Symbol;Acc:MGI:1891763] MAEISDLDRQIEQLRRCELIKESEVKALCAKAREILVEESNVQRVDSPVTVCGDIHGQFY DLKELFRVGGDVPETNYLFMGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQI TQVYGFYDECLRKYGSVTVWRYCTEIFDYLSLSAIIDGKIFCVHGGLSPSIQTLDQIRTI DRKQEVPHDGPMCDLLWSDPEDTTGWGVSPRGAGYLFGSDVVAQFNAANDIDMICRAHQL VMEGYKWHFNETVLTVWSAPNYCYRCGNVAAILELDEHLQKDFIIFEAAPQETRGIPSKK PVADYFL >ENSMUSP00000049162.6 pep:known chromosome:GRCm38:11:95337018:95381872:1 gene:ENSMUSG00000038893.12 transcript:ENSMUST00000037502.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam117a description:family with sequence similarity 117, member A [Source:MGI Symbol;Acc:MGI:2144564] MSGAAAGGRGGGSWGPGRGGAGGLRRGCSPPAPAGSPRVGLQPLRATVPFQLQQPHQRRD GGGRAASVPCSVAPEKSVCRPQPPQVRRTFSLDTILSSYLLGQWPRDADGAFTCCTNDKA TQTPLSWQEPEGERASFCMHKRSASWGSTDHRKEITKLKQQLQRTKLSRSGKEKERSCPV QGDHAALGAGRASLPSHPPGPPVLRLSPCLHRSLEGLNQELEEVFVKEQGEEELLRILEV PDGHRAPAPPQNSSCDHSLLLEPGNLTSSPSVPLASPQPPSQASREEHQGATEELASIHG NKASSPGNPAFLEDGSPSPVLAFAASPRPNHSYVFKREPPEGCERVRVFEEATSPGPDLA FLTSCPDKNKVHFNPTGSAFCPVSLIKPLFPSMGFIFRNCPSSPGSPLPTASPRAPRKGP EASKASSLPSEPWQRSPPSEESVLFQSSLVV >ENSMUSP00000010985.7 pep:known chromosome:GRCm38:11:101987056:101992264:1 gene:ENSMUSG00000010841.13 transcript:ENSMUST00000010985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700006E09Rik description:RIKEN cDNA 1700006E09 gene [Source:MGI Symbol;Acc:MGI:1922687] MNNSLDYLAYPVIVSNHRQSTTFRKKLDFGHYILHKNRVQIVKPAVDTKPPMAHTHYILK LSKIQGEQKRIDKIEYENRQLCQKIANAYRGPAKVDCWNEYLSKSLNRESRNRELVRITM ENQGILRRLSDRKPNYDRRSSEMDWQNSRRYIRNTTRYLLFQED >ENSMUSP00000135566.1 pep:known chromosome:GRCm38:11:101984284:101990850:1 gene:ENSMUSG00000010841.13 transcript:ENSMUST00000175972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700006E09Rik description:RIKEN cDNA 1700006E09 gene [Source:MGI Symbol;Acc:MGI:1922687] MENQG >ENSMUSP00000134890.1 pep:known chromosome:GRCm38:11:101984279:101992256:1 gene:ENSMUSG00000010841.13 transcript:ENSMUST00000176722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700006E09Rik description:RIKEN cDNA 1700006E09 gene [Source:MGI Symbol;Acc:MGI:1922687] MAHTHYILKLSKIQGEQKRIDKIEYENRQLCQKIANAYRGPAKVDCWNEYLSKSLNRESR NRELVRITMENQGILRRLSDRKPNYDRRSSEMDWQNSRRYIRNTTRYLLFQED >ENSMUSP00000073082.5 pep:known chromosome:GRCm38:X:151344175:151471842:1 gene:ENSMUSG00000025262.8 transcript:ENSMUST00000073364.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam120c description:family with sequence similarity 120, member C [Source:MGI Symbol;Acc:MGI:2387687] MGVQGFQEFLEKRCPGAVVPVDLLKLARTVSRQQQQQHLHRQLPPAALAPGAPRITRGSA PLPPPPLPPAAFGAYSGGAGPSRHHHPAHHFHHHGQAPPGLHPPPPPPLPGARVLVDAGS ALPRLYGGYQTDWVCGGQWNAMLGYLSALCQACAYPGGDGLELVVMFPGGLGKDRLAEWG RRCQAERQTAQLIVGHVGNKGTPPPRAWFLPPACLSHCVRLALIRFRVKVFQSLEDHHLE VVAFFRENGFHGLLAHDSEYALYNIPSYYSSHALKLSWNGKNLTTNQFLMQEVAKQLGLK RMNFPIFAALLGNHILPDEDLAAFHWSLLGPEHPLASLKVRAHQLVLPPCDVVIKAVSEY VSSIKDPSNLDVVGKDVFKQSQSRTEDKIERFKKAVEYYSVTTKLSSLPVGPSFLGFRNN RLGNPPLPRNQMGPISPGKPMFSRQVPQKMKYPPPFPMGPNSSLLFSHSVGESHAFSEDA MLQDNSFANWAVSYDSNTSQFPNCLTSKTSPPLGPDSSHSSSSDGDEANGAGSEQITEAV QQQPGWEDPNGDRGAWGQPADAGVSETTVAESEPHIPSLLSMSTRNHMDITIPPLPPVAP EVLRVAEHRHRRGLMYPYIYHVLTKGEIKIPVCIEDECNMELPPAALLFRSARQYVYGVL FSLAETQRKMERLAIRRRLPMEVPSVILKEWSAYKGKSPQTPELVSALTFREWTCPNLKK LWLGKAVEDKNRRMRAFLACMKSDTPSMLNPANVPTHLLLMCCVLRYMVQWPGGRILHRH ELDTFLAQAVSTQLYEPDQLQELKIEKLDARGIQLAALFMSGVDTALFANDACGQPVPWE HCCPWIYFDGKLFQSKLIKAGRERVSLVELCDGQADLASKVEKMRQSILEGVNMNHPPPS ALLPSPTFVPPMVPSLYPVSLYSRAMGSFPPPPQARSRGFAGLHPIPPQGGKLEIAGMVV GQWAGSRSSRSRGSFGMQVVSVGGPGKGHGKEQAGRGSKGHKKGNKQGSSDVISKAVELH QSRARSQVNGNNGTLIVEEKSDPLPAPSQCALSRDSNECNNSDDHCLPVKNGEKNHVPEQ ELEAVAQQKEE >ENSMUSP00000117902.1 pep:known chromosome:GRCm38:5:136181422:136197822:-1 gene:ENSMUSG00000039737.11 transcript:ENSMUST00000123921.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkrip1 description:Prkr interacting protein 1 (IL11 inducible) [Source:MGI Symbol;Acc:MGI:1914051] WAPRAPPEFVRDVMGSVYLYSAGLVLSHRGQDFMPASTEVPVLGLAVESSTCIGTYGGES TSGRTTWMPWLRSKNWMQSFRRD >ENSMUSP00000120639.1 pep:known chromosome:GRCm38:5:136181427:136198908:-1 gene:ENSMUSG00000039737.11 transcript:ENSMUST00000149151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkrip1 description:Prkr interacting protein 1 (IL11 inducible) [Source:MGI Symbol;Acc:MGI:1914051] MASPAAASVRPPRPKKEPQTLVIPKNAAEEQKLKLERLMKNPDKAVPIPEKMNEWAPRAP PEFVRDVMAGLVLSHRGQDFMPASTEVPVLGLAVESSTCIGTYGGESTSGRTTWMPWLRS QKLKEKKLLAKKMKLEQKKQKEEPSQCQEQHASSSDEASETEEEE >ENSMUSP00000120659.1 pep:known chromosome:GRCm38:5:136178127:136198963:-1 gene:ENSMUSG00000039737.11 transcript:ENSMUST00000151786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkrip1 description:Prkr interacting protein 1 (IL11 inducible) [Source:MGI Symbol;Acc:MGI:1914051] MASPAAASVRPPRPKKEPQTLVIPKNAAEEQKLKLERLMKNPDKAVPIPEKMNEWAPRAP PEFVRDVMGSSAGAGSGEFHVYRHLRRREYQRQDYMDAMAEKQKLDAEFQKRLEKNKIAA EEQTAKRRKKRQKLKEKKLLAKKMKLEQKKQKEEPSQCQEQHASSSDEASETEEEEEEPS VVIMGR >ENSMUSP00000119170.1 pep:known chromosome:GRCm38:9:111249223:111261543:-1 gene:ENSMUSG00000032498.9 transcript:ENSMUST00000135695.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mlh1 description:mutL homolog 1 (E. coli) [Source:MGI Symbol;Acc:MGI:101938] SISHVAHVTITTKTADGKCAYRASYSDGKLQAPPKPCAGNQGTLITVEDLFYNIITRRKA LKNPSEEYGKILEVVGSSGETCWLAVLVCLEGMG >ENSMUSP00000035079.3 pep:known chromosome:GRCm38:9:111228255:111271791:-1 gene:ENSMUSG00000032498.9 transcript:ENSMUST00000035079.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlh1 description:mutL homolog 1 (E. coli) [Source:MGI Symbol;Acc:MGI:101938] MAFVAGVIRRLDETVVNRIAAGEVIQRPANAIKEMIENCLDAKSTNIQVVVKEGGLKLIQ IQDNGTGIRKEDLDIVCERFTTSKLQTFEDLASISTYGFRGEALASISHVAHVTITTKTA DGKCAYRASYSDGKLQAPPKPCAGNQGTLITVEDLFYNIITRRKALKNPSEEYGKILEVV GRYSIHNSGISFSVKKQGETVSDVRTLPNATTVDNIRSIFGNAVSRELIEVGCEDKTLAF KMNGYISNANYSVKKCIFLLFINHRLVESAALRKAIETVYAAYLPKNTHPFLYLSLEISP QNVDVNVHPTKHEVHFLHEESILQRVQQHIESKLLGSNSSRMYFTQTLLPGLAGPSGEAA RPTTGVASSSTSGSGDKVYAYQMVRTDSREQKLDAFLQPVSSLGPSQPQDPAPVRGARTE GSPERATREDEEMLALPAPAEAAAESENLERESLMETSDAAQKAAPTSSPGSSRKRHRED SDVEMVENASGKEMTAACYPRRRIINLTSVLSLQEEISERCHETLREMLRNHSFVGCVNP QWALAQHQTKLYLLNTTKLSEELFYQILIYDFANFGVLRLSEPAPLFDLAMLALDSPESG WTEDDGPKEGLAEYIVEFLKKKAEMLADYFSVEIDEEGNLIGLPLLIDSYVPPLEGLPIF ILRLATEVNWDEEKECFESLSKECAMFYSIRKQYILEESTLSGQQSDMPGSTSKPWKWTV EHIIYKAFRSHLLPPKHFTEDGNVLQLANLPDLYKVFERC >ENSMUSP00000142563.1 pep:known chromosome:GRCm38:9:111241565:111257460:-1 gene:ENSMUSG00000032498.9 transcript:ENSMUST00000199404.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlh1 description:mutL homolog 1 (E. coli) [Source:MGI Symbol;Acc:MGI:101938] XRKALKNPSEEYGKILEVVGRELIEVGCEDKTLAFKMNGYISNANYSVKKCIFLLFINHR LVESAALRKAIETVYAAYLPKNTHPFLYLSLEISPQNVDVNVHPTKHEVHFLHEESILQR VQQHIESKLLGSNSSRMYFTQTLLPGLAGPSGEAA >ENSMUSP00000143786.1 pep:known chromosome:GRCm38:9:111228228:111271546:-1 gene:ENSMUSG00000032498.9 transcript:ENSMUST00000135218.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mlh1 description:mutL homolog 1 (E. coli) [Source:MGI Symbol;Acc:MGI:101938] MAFVAGVIRRLDETVVNRIAAGEVIQRPANAIKEMIEN >ENSMUSP00000096950.3 pep:known chromosome:GRCm38:2:131127280:131146321:1 gene:ENSMUSG00000074793.10 transcript:ENSMUST00000099349.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa12b description:heat shock protein 12B [Source:MGI Symbol;Acc:MGI:1919880] MLTVPEMGLQGLYISSSPERSPVPSPPGSPRTQESCGIAPLTPSQSPKPEARALQQASFS VVVAIDFGTTSSGYAFSFASDPEAIHMMRKWEGGDPGVAHQKTPTCLLLTPEGIFHSFGY TARDYYHDLDPEEARDWLYFEKFKMKIHSATDLTLKTQLEAVNGKKMLALEVFAHALRFF KEHALQELREQSECMLEKGAVRWVLTVPAIWKQPAKQFMREAAYLAGLVSREDAEKLLIA LEPEAASVYCRKLRLHQLMDLSSRTAGRGRLGERRSIDSSFRHAREQLRRSRHSRTFLVE AGVGELWAEMQEGDRYMVADCGGGTVDLTVHQLEQPHGTLKELYKASGGPYGAVGVDLAF EQLLCRIFGEDFIAKFKRQRPAAWVDLTIAFEARKRTAGPHRAGALNISLPFSFIDFYRK QRGHNVETALRRSSVNLVKWSSQGMLRMSCEAMNELFQPTVSGIIQHIEMLLAKPEVQGV KLLFLVGGFAESAVLQHAVQEALGTRGLRVVVPHDVGLTILKGAVLFGQAPGVVRVRRSP LTYGVGVLNRFVPGHHPPEKLLVRDGRRWCTDVFERFVAAEQSVALGEEVRRSYCPARPG QRRVLINLYCCAAEDARFITDPGVRKCGALSLELEPEGCPENTGTSPSRREIRAAMQFGD TEIKVTAVDVSTNRSVRAAIDFLSN >ENSMUSP00000098326.2 pep:known chromosome:GRCm38:2:131127442:131137336:1 gene:ENSMUSG00000074793.10 transcript:ENSMUST00000100763.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa12b description:heat shock protein 12B [Source:MGI Symbol;Acc:MGI:1919880] MLTVPEMGLQGLYISSSPERSPVPSPPGSPRTQESCGIAPLTPSQSPKPEARALQQASFS VVVAIDFGTTSSGYAFSFASDPEAIHMMRYGQLDWETGLKEGLQILV >ENSMUSP00000138109.1 pep:known chromosome:GRCm38:2:131127494:131145227:1 gene:ENSMUSG00000074793.10 transcript:ENSMUST00000127862.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hspa12b description:heat shock protein 12B [Source:MGI Symbol;Acc:MGI:1919880] MLTVPEMGLQGLYIKARGPSSTAGFLLCGCGHRLWNHIQWLCLQLC >ENSMUSP00000028783.7 pep:known chromosome:GRCm38:2:119237362:119249514:1 gene:ENSMUSG00000027315.13 transcript:ENSMUST00000028783.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spint1 description:serine protease inhibitor, Kunitz type 1 [Source:MGI Symbol;Acc:MGI:1338033] MAGRRLARASISAVGVWLLCALGLQATEAELPSAPAELPGGAACLSRFTSGVPSFVLDTE ASVSNGATFLGSPTARRGWDCVRSCCTTQNCNLALVELQPDGGEDAISACFLMNCLYEQN FVCKFAPKEGFINYLTQELYRSYRELRTRGFGGSRIPRIWMGIDLKVQLQKPLVLNEADN TDWHLLQGDSDVRVERKRPEEVELWGLKEGTYLFQLTRTDSDQPEETANLTITVLTAKQT EDYCLASYKVGRCRGSFPRWYYDPKEQICKSFTFGGCLGNKNNYLREEECMLACKDVQGI SPKRHHPVCSGSCHATQFRCSNGCCIDGFLECDDTPDCPDGSDEATCEKYTSGFDELQNI HFLSDKGYCAELPDTGFCKENIPRWYYNPFSERCARFTYGGCYGNKNNFEEEQQCLESCR GISKKDVFGLRREGSIPTVGSAEVAIAVFLVICIIVVLTILGYCFFKNQRKEFHSPLHHP PPTPASSTVSTTEDTEHLVYNHTTQPL >ENSMUSP00000106440.1 pep:known chromosome:GRCm38:2:119237477:119249195:1 gene:ENSMUSG00000027315.13 transcript:ENSMUST00000110816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spint1 description:serine protease inhibitor, Kunitz type 1 [Source:MGI Symbol;Acc:MGI:1338033] MAGRRLARASISAVGVWLLCALGLQATEAELPSAPAELPGGAACLSRFTSGVPSFVLDTE ASVSNGATFLGSPTARRGWDCVRSCCTTQNCNLALVELQPDGGEDAISACFLMNCLYEQN FVCKFAPKEGFINYLTQELYRSYRELRTRGFGGSRIPRIWMGIDLKVQLQKPLVLNEADN TDWHLLQGDSDVRVERKRPEEVELWGLKEGTYLFQLTRTDSDQPEETANLTITVLTAKQT EDYCLASYKVGRCRGSFPRWYYDPKEQICKSFTFGGCLGNKNNYLREEECMLACKDVQGI SPKRHHPVCSGSCHATQFRCSNGCCIDGFLECDDTPDCPDGSDEATCEKYTSGFDELQNI HFLSDKGYCAELPDTGFCKENIPRWYYNPFSERCARFTYGGCYGNKNNFEEEQQCLESCR GISKKDVFGLRREGSIPTVGSAEVAIAVFLVICIIVVLTILGYCFFKNQRKEFHSPLHHP PPTPASSTVSTTEDTEHLVYNHTTQPL >ENSMUSP00000106441.2 pep:known chromosome:GRCm38:2:119237553:119249527:1 gene:ENSMUSG00000027315.13 transcript:ENSMUST00000110817.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spint1 description:serine protease inhibitor, Kunitz type 1 [Source:MGI Symbol;Acc:MGI:1338033] MAGRRLARASISAVGVWLLCALGLQATEAELPSAPAELPGGAACLSRFTSGVPSFVLDTE ASVSNGATFLGSPTARRGWDCVRSCCTTQNCNLALVELQPDGGEDAISACFLMNCLYEQN FVCKFAPKEGFINYLTQELYRSYRELRTRGFGGSRIPRIWMGIDLKVQLQKPLVLNEADN TDWHLLQGDSDVRVERKRPEEVELWGLKEGTYLFQLTRTDSDQPEETANLTITVLTAKQT EDYCLASYKVGRCRGSFPRWYYDPKEQICKSFTFGGCLGNKNNYLREEECMLACKDVQGI SPKRHHPVCSGSCHATQFRCSNGCCIDGFLECDDTPDCPDGSDEATCEKYTSGFDELQNI HFLSDKGYCAELPDTGFCKENIPRWYYNPFSERCARFTYGGCYGNKNNFEEEQQCLESCR GISKKDVFGLRREGSIPTVGSAEVAIAVFLVICIIVVLTILGYCFFKNQRKEFHSPLHHP PPTPASSTVSTTEDTEHLVYNHTTQPL >ENSMUSP00000066971.3 pep:known chromosome:GRCm38:11:73425037:73428477:-1 gene:ENSMUSG00000055971.10 transcript:ENSMUST00000069790.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr378 description:olfactory receptor 378 [Source:MGI Symbol;Acc:MGI:3030212] MTRRNQTVISQFLLLGLPIPPEHQQLYYALLLSMYLTTVLGNLIIIILILLDSHLHTPMY LFLSNLSFADLCFSSVTMPKLLQNMQSKVPSIPYAGCLAQIYFFLYFGDLGNFLLVAMAY DRYVAICFPLHYMSIMSPRLCVSLVLLSWVLTTFHAMLHTLLMARLSFCEDNVIPHYFCD MSTLLKVACSDTHDNELAIFILGGPIVVLPFLLIIVSYARIVSSIFKFPSFQGIRKAFST CGSHLSVVSLFYGTVIGLYLCPSANNTYVKETIMSLMYTMVTPMLNPFIYSLSNRDIKDA LEKIMCKRQIPFFL >ENSMUSP00000145466.1 pep:known chromosome:GRCm38:1:92479681:92485113:-1 gene:ENSMUSG00000067064.2 transcript:ENSMUST00000203760.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1416 description:olfactory receptor 1416 [Source:MGI Symbol;Acc:MGI:3031250] MRGENITKVSTFILLGFPTAPELQYLLFLLFLLAYLFVLVENLTIILTVWSSASLHRPMY YFLGSLSFLEIWYVSDIIPKMLDGFLLQRKRISFIGCMTQLYFFSSLVCTECVLLASMAY DRYVAICHPLRYQVIMTTGLCVQLVAFSFASGFTISVIKVYFISSATFCGSNVLNHFFCD ISPILKLACTDFSTAELVDFILAFIILVFPLLATILSYGHITLAVLRIPSATGRWRAFST CASHLTVVTFFYMAMIFMYVRPQAIDTRSSNKLISAVYTVLTPMMNPLIYCLRNTEFKDA VRRTLGLGNTPQ >ENSMUSP00000084048.1 pep:known chromosome:GRCm38:1:92479681:92480619:-1 gene:ENSMUSG00000067064.2 transcript:ENSMUST00000086837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1416 description:olfactory receptor 1416 [Source:MGI Symbol;Acc:MGI:3031250] MRGENITKVSTFILLGFPTAPELQYLLFLLFLLAYLFVLVENLTIILTVWSSASLHRPMY YFLGSLSFLEIWYVSDIIPKMLDGFLLQRKRISFIGCMTQLYFFSSLVCTECVLLASMAY DRYVAICHPLRYQVIMTTGLCVQLVAFSFASGFTISVIKVYFISSATFCGSNVLNHFFCD ISPILKLACTDFSTAELVDFILAFIILVFPLLATILSYGHITLAVLRIPSATGRWRAFST CASHLTVVTFFYMAMIFMYVRPQAIDTRSSNKLISAVYTVLTPMMNPLIYCLRNTEFKDA VRRTLGLGNTPQ >ENSMUSP00000144986.1 pep:known chromosome:GRCm38:1:92491374:92496078:-1 gene:ENSMUSG00000057464.3 transcript:ENSMUST00000204766.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1415 description:olfactory receptor 1415 [Source:MGI Symbol;Acc:MGI:3031249] MRGENITKVSTFILLGFPTAPELQYLLFLLFLLAYLFVLVENLTIILTVWSSASLHRPMY YFLGSLSFLEI >ENSMUSP00000084047.1 pep:known chromosome:GRCm38:1:92490818:92491753:-1 gene:ENSMUSG00000057464.3 transcript:ENSMUST00000071521.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1415 description:olfactory receptor 1415 [Source:MGI Symbol;Acc:MGI:3031249] MRGENITKVSTFILLGFPTAPELQYLLFLLFLLAYLFVLVENLTIILTVWSSASLHRPMY YFLGSLSFLEIWYVSDIIPKMLDGFLLQRKRISFTGCMTQLYFFISLVCTECVLLASMAY DRYVAICHPLRYQVIMTTGLCVQLVAFSFASAFTVSVIKVYFISSATFCGSNVLNHFFCD ISPILKLACTDFSTAELVDFILAFIILVFPLLATILSYGYITLAVLRIPSATGRWRAFST CASHLTVVTFFYVAMLFIYVRPQAIDTRSSNKLISAVYTVLTPILNPLIYCLRNKEFKDA VKRTLGLGHTL >ENSMUSP00000145446.1 pep:known chromosome:GRCm38:1:92490818:92496101:-1 gene:ENSMUSG00000057464.3 transcript:ENSMUST00000204009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1415 description:olfactory receptor 1415 [Source:MGI Symbol;Acc:MGI:3031249] MRGENITKVSTFILLGFPTAPELQYLLFLLFLLAYLFVLVENLTIILTVWSSASLHRPMY YFLGSLSFLEIWYVSDIIPKMLDGFLLQRKRISFTGCMTQLYFFISLVCTECVLLASMAY DRYVAICHPLRYQVIMTTGLCVQLVAFSFASAFTVSVIKVYFISSATFCGSNVLNHFFCD ISPILKLACTDFSTAELVDFILAFIILVFPLLATILSYGYITLAVLRIPSATGRWRAFST CASHLTVVTFFYVAMLFIYVRPQAIDTRSSNKLISAVYTVLTPILNPLIYCLRNKEFKDA VKRTLGLGHTL >ENSMUSP00000123329.1 pep:known chromosome:GRCm38:11:90671122:90687588:-1 gene:ENSMUSG00000020541.12 transcript:ENSMUST00000154599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l1 description:target of myb1-like 1 (chicken) [Source:MGI Symbol;Acc:MGI:1919193] MAFGKSHRDPYATSVGHLIEKATFAGVLTEDWGQFLHICDIINTTQDGPKDAVKALKKRI SKNYNHKEIQLSLSLIDMCVQNCGPSFQSLIVKKEFIKDTLVKLLNPRYTLPLETQNRIL NFIKTWSQGFPGGVDVSEVKEVYLDLLKKGVQFPPSDGEPETRQEIGKLHSELDMVKMNV KVMTAILMENT >ENSMUSP00000103500.1 pep:known chromosome:GRCm38:11:90645691:90687601:-1 gene:ENSMUSG00000020541.12 transcript:ENSMUST00000107868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l1 description:target of myb1-like 1 (chicken) [Source:MGI Symbol;Acc:MGI:1919193] MCVQNCGPSFQSLIVKKEFIKDTLVKLLNPRYTLPLETQNRILNFIKTWSQGFPGGVDVS EVKEVYLDLLKKGVQFPPSDGEPETRQEAGQISPNRPTSVPTAPALSSIIAPKNPTISLV PEQIGKLHSELDMVKMNVKVMTAILMENTPGSENHEDIELLRKLYKTGREMQERIMDLLV VVENEDVTMELIQVNEDLNNAVLGYERFTRNQQRLLEQKRNRTEATRTSSEPSAPSCDLL DLSPIVPVPTPNEGALNSVNAQLSGLSVSSLSPVITNNLYPSLQPQRDLLASEDIEIPTL FPQRTSQNLASSHTYDNFHSNSVLLQPVSLHTATAAAAANQRLPPLPSSHPVLKDGDLQP PNYYEVMEFDPLAPTTEAVYEEIDGYHQKEAQSHSDC >ENSMUSP00000103499.1 pep:known chromosome:GRCm38:11:90645690:90662999:-1 gene:ENSMUSG00000020541.12 transcript:ENSMUST00000107867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l1 description:target of myb1-like 1 (chicken) [Source:MGI Symbol;Acc:MGI:1919193] MQERIMDLLVVVENEDVTMELIQVNEDLNNAVLGYERFTRNQQRLLEQKRNRTEATRTSS EPSAPSCDLLDLSPIVPVPTPNEGALNSVNAQLSGLSVSSLSPVITNNLYPSLQPQRDLL ASEDIEIPTLFPQRTSQNLASSHTYDNFHSNSVLLQPVSLHTATAAAAANQRLPPLPSSH PVLKDGDLQPPNYYEVMEFDPLAPTTEAVYEEIDGYHQKEAQSHSDC >ENSMUSP00000103501.2 pep:known chromosome:GRCm38:11:90645691:90687579:-1 gene:ENSMUSG00000020541.12 transcript:ENSMUST00000107869.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l1 description:target of myb1-like 1 (chicken) [Source:MGI Symbol;Acc:MGI:1919193] MAFGKSHRDPYATSVGHLIEKATFAGVLTEDWGQFLHICDIINTTQDGPKDAVKALKKRI SKNYNHKEIQLSLSLIDMCVQNCGPSFQSLIVKKEFIKDTLVKLLNPRYTLPLETQNRIL NFIKIGKLHSELDMVKMNVKVMTAILMENTPGSENHEDIELLRKLYKTGREMQERIMDLL VVVENEDVTMELIQVNEDLNNAVLGYERFTRNQQRLLEQKRNRTEATRTSSEPSAPSCDL LDLSPIVPVPTPNEGALNSVNAQLSGLSVSSLSPVITNNLYPSLQPQRDLLASEDIEIPT LFPQRTSQNLASSHTYDNFHSNSVLLQPVSLHTATAAAAANQRLPPLPSSHPVLKDGDLQ PPNYYEVMEFDPLAPTTEAVYEEIDGYHQKEAQSHSDC >ENSMUSP00000020849.2 pep:known chromosome:GRCm38:11:90643465:90687607:-1 gene:ENSMUSG00000020541.12 transcript:ENSMUST00000020849.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1l1 description:target of myb1-like 1 (chicken) [Source:MGI Symbol;Acc:MGI:1919193] MAFGKSHRDPYATSVGHLIEKATFAGVLTEDWGQFLHICDIINTTQDGPKDAVKALKKRI SKNYNHKEIQLSLSLIDMCVQNCGPSFQSLIVKKEFIKDTLVKLLNPRYTLPLETQNRIL NFIKTWSQGFPGGVDVSEVKEVYLDLLKKGVQFPPSDGEPETRQEAGQISPNRPTSVPTA PALSSIIAPKNPTISLVPEQIGKLHSELDMVKMNVKVMTAILMENTPGSENHEDIELLRK LYKTGREMQERIMDLLVVVENEDVTMELIQVNEDLNNAVLGYERFTRNQQRLLEQKRNRT EATRTSSEPSAPSCDLLDLSPIVPVPTPNEGALNSVNAQLSGLSVSSLSPVITNNLYPSL QPQRDLLASEDIEIPTLFPQRTSQNLASSHTYDNFHSNSVLLQPVSLHTATAAAAANQRL PPLPSSHPVLKDGDLQPPNYYEVMEFDPLAPTTEAVYEEIDGYHQKEAQSHSDC >ENSMUSP00000121903.1 pep:known chromosome:GRCm38:11:103451955:103504597:-1 gene:ENSMUSG00000078632.3 transcript:ENSMUST00000153273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc37a description:leucine rich repeat containing 37A [Source:MGI Symbol;Acc:MGI:2685097] MFSPPRELKDSFLSFQAMDTSVASHPESDQFTVPHQHLAKQMTPPRKPLESVPKLNGDQN QSPQFKSISSLDQAADDQLFEIPDPPLDSENSSITKFIASPQSLQKELVQHRPLAKEVVG TTKQFAKSQLQKKTVVDEYRDPNMNEAYSKSLPLQSQANREGPSEKIEHPEYPLEAQTQE SENLDMVHESPEYLPVLPEEDEPSVPKENPVQHHFASGKAAALKHPDRNAPSPKRNEARH SKLPNVTVKPEDLEVTVMSEADKETQQTLSQQQAPGHLPESPKEVEPSSTPQDTLEQFSG GPEEIETLLTHQEAAAPNPELPEELGPSLVQQEVLSESLELPKDLEASGSQLEVPARRTK PPEEVNPPTEQEARIPTPEPSMPNIIEIPAATVSHHNQDQVHHYTLPTITVQPADVEVTI TSKPFKEAEISPVQEETQTPGPPRKVGHYSLEQQPAVSSESSGEVGSLERYLGFLTQPPE EEEEEEEEEDEESSLTQEDIPSQHPSPILEGEPSPIELQQPIRPSESPEEVGLGPENQSE VLVKPAELPEEVKSPVEQEAPLQAPESHFETIVDTPPIHEVQPAPNKAHHHHWPNVTVRP VDLELAITSEPTRETESSLAQQESSVHPSEYTEERDSFRYKQEQLAQPSKLEILIHTSEH HHLTSSPSAHHRTHHSSSPTTMVRPPDVQLTIAQNPTAEVEPPPALHEVVGKPIAISDKG VNTSTHHTHPAVTPEPSEEVELLSNQEEAPVQSAEPVEYEKSSLSQQKSTDKNPELLEEG GLSSAQQETLVNPPVFPNEMIVQPSAHYEATGPPLIQTQLHPPASYNVTAKPPELTNEVE TSSQQGVEPSNDQQEEVTSQYPMPPETHQDSPVYQWVLTQPAKLPEEVSSGQQGNLSPPL KHPPGVQFIPFQQELPDQHLEPPKEGFFLSPVADSVLFSPEDLEAMFRYETSVLHKPTVI HLNQAVSSMEVESLKVPTEQVEYSQVHFEYPSYTPAFPETQFSQEKSITQEADLHEDIYP FPTQHRGLYLPPDSFMGAEPSVTQHLSLSQLEDLAENVGPSLVLQLTPAQASEPPKEIVF SPTQQVVPNQLPESLNNIVTQLLTQQIIGPTPGQIQEEYPTEHTVSFQALDLEFTITSQY TPEANHTTEPKRTPPPTYPQVTFSYPSEVTVQPLDLGLTISPQPTPEELPQAIPEITTQI TEPPREVVAPAPLYQKMTVPTPGQDQVEYPIPPAISFQPLDLELTKNSEPTRETQQPTAT MKTIVPSPEHLQDYISYVAEVTVQPLDLGLTISPQSAPEELPQPMPEITTQITEPPREVV APAPLYQKMTVPTPGQDGVEYPILTAVSFQPLDQKLTISSEPTREVHHSTTPKETTVPPP KLSQVTLPREFHIQNLYLSEAAVQPVDLGLTITPQPNSEELPQTISEITTQITEPPKELV APLYQEVTVPTPGQDQAEYPTLPVASFQPLDPELTISSEPTRETEQPTAEVTVQSLDLEL TISLQSTPEELLQTMPEITTQITEPPGEVVTPLYQEVTVSTPSLAQTEYPTSHIVSFQPL DLELTKNSEPTRETQQPTATMKTIVPSPEHLQDYISYVAEVTVQPLNLGLTISPQSAPEE LPQPMPEITTQITEPPREVVAPAPLYQKMTIPTPGQDQDAHSTTLQPVGLELTETSDSTR EAEHYTTLVMTTVSSPKYSLPEQVLLQHLNPAEVTVQALDLGLTITPQPDTEKELSQTTQ ESTTQLIDPPKEAVAQAPVYQEVTLATPSQDAFENPTLPIITFRLLDPDPEPAREADNLA TLKETTIPPPKYPPVTLPEQVHTQHPHPSEVTFQPLDMELSMSPQPTPEVELSQAEQETK TQPSYSAKEVVTPKPGYPEVTVPTPDQDKTEDQTLTAALFQPLDLELTRSSEPTTPHKST VVSLPQYYQTTLSGEVYTQHPNLTEVTDQPLNLELTVIPSPNLNVETSPHIQEMLPEPER PHEEVTAKAPMFYEITPIQDQTPHLVSPKVTDQHIKVEHDIPTISSVENGLLAATYSSTA LPLTHHEMVLLSEDQVQVLHGNPTQVTIHPSHPEFSLASPPATMVKRSAPVQSTESSKET AAQSPVHLKETVLMIAHGQSQHLNSETTQPEVPATTHPETTQSTASPTTHSETTHSTASP TTHPDTTQSTASPTTHPETTQSTASPTTHSETTQSTTSPTTHPETTQSTASPTTHPDTTQ STASPTIHPDTTQYTTSPTTHPETTQYTDLTTMPPPSTHYEVVLSQSSQVQTQQPKPSEV TMWPSPTSQQSSTTPQSVILASPFATMLETTAQQIPSGIALEPMDLEPTITPYAGNFNTE KDLIFQMKPNVSTSTNICDLCLCENHTLLCSHLSPKRRLHQVPVLRPGTHKGTLTILNFH GNVISYIDKNVWKAYRWAEKLILSENRLTELHKESFEGLLTLQVLDLSCNKIHYIERRTF ESLPFLKYINLGCNLLTELNFGTFQAWHGMQFLQQLILNHNPLTVVEDPFLFKLPTLKYL DLGATQVQLTMVENILMMMLELEHLILPSRMACCLCKFKADIEIICKTIKLRCHTGCLTN TTHCLKASIGDPEGAFMKALLARKENSRAELTIEPEKSYADQTNLGSLGLMNEQLDFNDE SDVISALNYILPYFSEGNLEDVVSTLLPFIKLLFSNIQNGDNSLGPFQNDTKSLTLKSVP KASKLAYKNKVNKLYFLENLLDEEINEVKEKEKTAMLVHHSGRLDPKFKRQIFEKRWEPA RTGKDSLAEIEKAERQLHSMSRVPKETGSIQKRHFKDVSGKSLWSKQSVQTPVESISKDR QLGSPPSMELQQLGLEQKPRELVGYSFPSEPLLPKEHRGELSSSPDLPLLDKAPTTNSLP DFIDRRKDLSYTIYVLESANANVKRAKGSNPSLQPEARHRNLRKKKSHFQLIAKRPAASS AVRSLISSSARGVFSSLGDLRYPERPFSELYVAPEPSTKKPLEENRAATDNVEENNLKQI VTTPEETTSENKPPENPTADSNVSTTSNLISTVQQTSKPQSVFTVGADTHADLTDVAYPS LMSPGEQFESHLNQQLLPLIPNKDVRRLISHVIRTLKMDCSDTRVQMSCAKLISRTGLLM KLLSEQQDFKLSRADWDTDQWKTENYINESTETQGEQRSLEPSQLTKAVPGYGYNNKVIL AISVTVIVTVLIIIFCLIEIYSHRTAKEGDEEKSS >ENSMUSP00000050497.3 pep:known chromosome:GRCm38:11:87864000:87875536:-1 gene:ENSMUSG00000052234.2 transcript:ENSMUST00000049768.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epx description:eosinophil peroxidase [Source:MGI Symbol;Acc:MGI:107569] MMQQLLALVGALATLILTQHAEGTAPASPSPVEISVLRDCIAEAKLLVDTAYNHTQKSIM QRLRSGSASPMDLLAYFKQPVAATRRVVQAADYMHVALGLLEERLQPRGSRPFNATDVLT EPQLRLLSQASGCALQDQAERCSNKYRTITGRCNNKKHPWLGASNQALARWLPAEYEDHR SLPFGWTPGKRRNGFLLPLVRDVSNQIVRFPSKKLTSDRGRALMFMQWGQFIDHDLDFSP ESPARVAFSMGVDCEKTCAQLPPCFPIKIPRNDPRIKNQRDCIPFFRSAPACPQNRNKVR NQINALTSFVDASMVYGSEVTLALRLRNRTNFLGLLATNQRFQDNGRALLPFDNLHEDPC LLTNRSARIPCFLAGDTRSSETPKLTALHTLFVREHNRLAAELRRLNPHWSGDKLYNEAR KIVGAMVQIITYRDFLPLVLGRARIRRTLGPYRGYCSNVDPRVANVFTLAFRFGHTMLQP FMFRLDSQYRASAPNSHVPLSSVFFASWRIIHEGGIDPILRGLMATPAKLNRQDSMLVDE LRDKLFQQVRRIGLDLAALNMQRSRDHGLPGYNAWRRFCGLSQPRNLAQLSRVLKNQDLA RKFLRLYKTPDNIDIWVGAIAEPLLPGARVGPLLACLFENQFRRARDGDRFWWQKWGVFT KRQRKALRRISLSRIVCDNTGITTVSRDIFRANIYPQGFVSCSRIPKLNLSAWRGK >ENSMUSP00000118577.1 pep:known chromosome:GRCm38:4:126345577:126350902:-1 gene:ENSMUSG00000028842.15 transcript:ENSMUST00000127831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ago3 description:argonaute RISC catalytic subunit 3 [Source:MGI Symbol;Acc:MGI:2446634] RIIFYRDGVSEGQFRQVLYYELLAIREACISLEKDYQPGITYIVVQKRHHTRLFCADRTE RVGRSGNIPAGTTVDTDITHPYEFDFYLCSHAGIQMNFSCSLTSSVTLTYAVQDLFLSLH QHITLTWWHSEPDIILWTRNMTVL >ENSMUSP00000066633.6 pep:known chromosome:GRCm38:4:126332732:126429556:-1 gene:ENSMUSG00000028842.15 transcript:ENSMUST00000069097.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ago3 description:argonaute RISC catalytic subunit 3 [Source:MGI Symbol;Acc:MGI:2446634] MEIGSAGPIGAQPLFIVPRRPGYGTMGKPIKLLANCFQVEIPKIDVYLYEVDIKPDKCPR RVNREVVDSMVQHFKVTIFGDRRPVYDGKRSLYTANPLPVATTGVDLDVTLPGEGGKDRP FKVSVKFVSRVSWHLLHEALAGGTLPEPLELDKPVSTNPVHAVDVVLRHLPSMKYTPVGR SFFSAPEGYDHPLGGGREVWFGFHQSVRPAMWKMMLNIDVSATAFYKAQPVIQFMCEVLD IHNIDEQPRPLTDSHRVKFTKEIKGLKVEVTHCGTMRRKYRVCNVTRRPASHQTFPLQLE NGQTVERTVAQYFREKYTLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDN QTSTMIKATARSAPDRQEEISRLVRSANYETDPFVQEFQFKVRDEMAHVTGRVLPAPMLQ YGGRNRTVATPSHGVWDMRGKQFHTGVEIKMWAIACFATQRQCREEILKGFTDQLRKISK DAGMPIQGQPCFCKYAQGADSVEPMFRHLKNTYSGLQLIIVILPGKTPVYAEVKRVGDTL LGMATQCVQVKNVIKTSPQTLSNLCLKINVKLGGINNILVPHQRPSVFQQPVIFLGADVT HPPAGDGKKPSIAAVVGSMDAHPSRYCATVRVQRPRQEIIQDLASMVRELLIQFYKSTRF KPTRIIFYRDGVSEGQFRQVLYYELLAIREACISLEKDYQPGITYIVVQKRHHTRLFCAD RTERVGRSGNIPAGTTVDTDITHPYEFDFYLCSHAGIQGTSRPSHYHVLWDDNFFTADEL QLLTYQLCHTYVRCTRSVSIPAPAYYAHLVAFRARYHLVDKEHDSAEGSHVSGQSNGRDP QALAKAVQIHQDTLRTMYFA >ENSMUSP00000116204.1 pep:known chromosome:GRCm38:4:126331704:126350320:-1 gene:ENSMUSG00000028842.15 transcript:ENSMUST00000132123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ago3 description:argonaute RISC catalytic subunit 3 [Source:MGI Symbol;Acc:MGI:2446634] VLYYELLAIREACISLEKDYQPGITYIVVQKRHHTRLFCADRTERVGRSGNIPAGTTVDT DITHPYEFDFYLCSHAGIQGTSRPSHYHVLWDDNFFTADELQLLTYQLCHTYVRCTRSVS IPAPAYYAHLVAFRARYHLVDKEHDSALPDGSVSRRLAKQA >ENSMUSP00000101199.2 pep:known chromosome:GRCm38:4:156165290:156185901:-1 gene:ENSMUSG00000041936.18 transcript:ENSMUST00000105574.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agrn description:agrin [Source:MGI Symbol;Acc:MGI:87961] MPPLPLEHRPRQQPGASVLVRYFMIPCNICLILLATSTLGFAVLLFLSNYKPGIHFTAAP SMPPDVCRGMLCGFGAVCEPSVEDPGRASCVCKKNVCPAMVAPVCGSDASTYSNECELQR AQCNQQRRIRLLRQGPCGSRDPCANVTCSFGSTCVPSADGQTASCLCPTTCFGAPDGTVC GSDGVDYPSECQLLRHACANQEHIFKKFDGPCDPCQGSMSDLNHICRVNPRTRHPEMLLR PENCPAQHTPICGDDGVTYENDCVMSRIGAARGLLLQKVRSGQCQTRDQCPETCQFNSVC LSRRGRPHCSCDRVTCDGAYRPVCAQDGHTYDNDCWRQQAECRQQQTIPPKHQGPCDQTP SPCRGAQCAFGATCTVKNGKAVCECQRVCSGGYDPVCGSDGVTYGSVCELESMACTLGRE IRVARRGPCDRCGQCRFGSLCEVETGRCVCPSECVESAQPVCGSDGHTYASECELHVHAC THQISLYVASAGHCQTCGETVCTFGAVCSAGQCVCPRCEHPPPGPVCGSDGVTYLSACEL REAACQQQVQIEEARAGPCEPAECGSGGSGSGEDNACEQELCRQHGGVWDEDSEDGPCVC DFSCQSVLKSPVCGSDGVTYSTECHLKKARCEARQELYVAAQGACRGPTLAPLLPMASPH CAQTPYGCCQDNVTAAQGVGLAGCPSTCHCNPHGSYSGTCDPVTGQCSCRPGVGGLRCDR CEPGFWNFRGIVTDGHSGCTPCSCDPRGAVRDDCEQMTGLCSCRPGVAGPKCGQCPDGQA LGHLGCEADPTTPVTCVEMHCEFGASCVEEAGFAQCVCPTLTCPEANSTKVCGSDGVTYG NECQLKTIACRQRLDISIQSLGPCRESVAPGVSPTSASMTTPRHILSRTLASPHSSLPLS PSTTAHDWPTPLPTSPQTVVGTPRSTAATPSDVASLATAIFRESGSTNGSGDEELSGDEE ASGGGSGGLEPPVGSVVVTHGPPIERASCYNSPLGCCSDGKTPSLDSEGSNCPATKAFQG VLELEGVEGQELFYTPEMADPKSELFGETARSIESTLDDLFRNSDVKKDFWSIRLRELGP GKLVRAIVDVHFDPTTAFQAPDVGQALLQQIQVSRPWALAVRRPLREHVRFLDFDWFPTF FTGAATGTTAAVATARATTVSRLSASSVTPRVYPSYTSRPVGRTTAPLTTRRPPTTTASI DRPRTPGPQRPPKSCDSQPCLHGGTCQDLDSGKGFSCSCTAGRAGTVCEKVQLPSVPAFK GHSFLAFPTLRAYHTLRLALEFRALETEGLLLYNGNARGKDFLALALLDGHVQFRFDTGS GPAVLTSLVPVEPGRWHRLELSRHWRQGTLSVDGEAPVVGESPSGTDGLNLDTKLYVGGL PEEQVATVLDRTSVGIGLKGCIRMLDINNQQLELSDWQRAVVQSSGVGECGDHPCSPNPC HGGALCQALEAGVFLCQCPPGRFGPTCADEKNPCQPNPCHGSAPCHVLSRGGAKCACPLG RSGSFCETVLENAGSRPFLADFNGFSYLELKGLHTFERDLGEKMALEMVFLARGPSGLLL YNGQKTDGKGDFVSLALHNRHLEFRYDLGKGAAIIRSKEPIALGTWVRVFLERNGRKGAL QVGDGPRVLGESPVPHTMLNLKEPLYVGGAPDFSKLARGAAVASGFDGAIQLVSLRGHQL LTQEHVLRAVDVAPFAGHPCTQAVDNPCLNGGSCIPREATYECLCPGGFSGLHCEKGIVE KSVGDLETLAFDGRTYIEYLNAVTESEKALQSNHFELSLRTEATQGLVLWIGKVGERADY MALAIVDGHLQLSYDLGSQPVVLRSTVKVNTNRWLRVRAHREHREGSLQVGNEAPVTGSS PLGATQLDTDGALWLGGLQKLPVGQALPKAYGTGFVGCLRDVVVGHRQLHLLEDAVTKPE LRPCPTL >ENSMUSP00000071229.5 pep:known chromosome:GRCm38:4:156165290:156185901:-1 gene:ENSMUSG00000041936.18 transcript:ENSMUST00000071248.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agrn description:agrin [Source:MGI Symbol;Acc:MGI:87961] MPPLPLEHRPRQQPGASVLVRYFMIPCNICLILLATSTLGFAVLLFLSNYKPGIHFTAAP SMPPDVCRGMLCGFGAVCEPSVEDPGRASCVCKKNVCPAMVAPVCGSDASTYSNECELQR AQCNQQRRIRLLRQGPCGSRDPCANVTCSFGSTCVPSADGQTASCLCPTTCFGAPDGTVC GSDGVDYPSECQLLRHACANQEHIFKKFDGPCDPCQGSMSDLNHICRVNPRTRHPEMLLR PENCPAQHTPICGDDGVTYENDCVMSRIGAARGLLLQKVRSGQCQTRDQCPETCQFNSVC LSRRGRPHCSCDRVTCDGAYRPVCAQDGHTYDNDCWRQQAECRQQQTIPPKHQGPCDQTP SPCRGAQCAFGATCTVKNGKAVCECQRVCSGGYDPVCGSDGVTYGSVCELESMACTLGRE IRVARRGPCDRCGQCRFGSLCEVETGRCVCPSECVESAQPVCGSDGHTYASECELHVHAC THQISLYVASAGHCQTCGETVCTFGAVCSAGQCVCPRCEHPPPGPVCGSDGVTYLSACEL REAACQQQVQIEEARAGPCEPAECGSGGSGSGEDNACEQELCRQHGGVWDEDSEDGPCVC DFSCQSVLKSPVCGSDGVTYSTECHLKKARCEARQELYVAAQGACRGPTLAPLLPMASPH CAQTPYGCCQDNVTAAQGVGLAGCPSTCHCNPHGSYSGTCDPVTGQCSCRPGVGGLRCDR CEPGFWNFRGIVTDGHSGCTPCSCDPRGAVRDDCEQMTGLCSCRPGVAGPKCGQCPDGQA LGHLGCEADPTTPVTCVEMHCEFGASCVEEAGFAQCVCPTLTCPEANSTKVCGSDGVTYG NECQLKTIACRQRLDISIQSLGPCRESVAPGVSPTSASMTTPRHILSRTLASPHSSLPLS PSTTAHDWPTPLPTSPQTVVGTPRSTAATPSDVASLATAIFRESGSTNGSGDEELSGDEE ASGGGSGGLEPPVGSVVVTHGPPIERASCYNSPLGCCSDGKTPSLDSEGSNCPATKAFQG VLELEGVEGQELFYTPEMADPKSELFGETARSIESTLDDLFRNSDVKKDFWSIRLRELGP GKLVRAIVDVHFDPTTAFQAPDVGQALLQQIQVSRPWALAVRRPLREHVRFLDFDPAPLS ELPLELPPSLL >ENSMUSP00000101200.2 pep:known chromosome:GRCm38:4:156165290:156185901:-1 gene:ENSMUSG00000041936.18 transcript:ENSMUST00000105575.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agrn description:agrin [Source:MGI Symbol;Acc:MGI:87961] MPPLPLEHRPRQQPGASVLVRYFMIPCNICLILLATSTLGFAVLLFLSNYKPGIHFTAAP SMPPDVCRGMLCGFGAVCEPSVEDPGRASCVCKKNVCPAMVAPVCGSDASTYSNECELQR AQCNQQRRIRLLRQGPCGSRDPCANVTCSFGSTCVPSADGQTASCLCPTTCFGAPDGTVC GSDGVDYPSECQLLRHACANQEHIFKKFDGPCDPCQGSMSDLNHICRVNPRTRHPEMLLR PENCPAQHTPICGDDGVTYENDCVMSRIGAARGLLLQKVRSGQCQTRDQCPETCQFNSVC LSRRGRPHCSCDRVTCDGAYRPVCAQDGHTYDNDCWRQQAECRQQQTIPPKHQGPCDQTP SPCRGAQCAFGATCTVKNGKAVCECQRVCSGGYDPVCGSDGVTYGSVCELESMACTLGRE IRVARRGPCDRCGQCRFGSLCEVETGRCVCPSECVESAQPVCGSDGHTYASECELHVHAC THQISLYVASAGHCQTCGETVCTFGAVCSAGQCVCPRCEHPPPGPVCGSDGVTYLSACEL REAACQQQVQIEEARAGPCEPAECGSGGSGSGEDNACEQELCRQHGGVWDEDSEDGPCVC DFSCQSVLKSPVCGSDGVTYSTECHLKKARCEARQELYVAAQGACRGPTLAPLLPMASPH CAQTPYGCCQDNVTAAQGVGLAGCPSTCHCNPHGSYSGTCDPVTGQCSCRPGVGGLRCDR CEPGFWNFRGIVTDGHSGCTPCSCDPRGAVRDDCEQMTGLCSCRPGVAGPKCGQCPDGQA LGHLGCEADPTTPVTCVEMHCEFGASCVEEAGFAQCVCPTLTCPEANSTKVCGSDGVTYG NECQLKTIACRQRLDISIQSLGPCRESVAPGVSPTSASMTTPRHILSRTLASPHSSLPLS PSTTAHDWPTPLPTSPQTVVGTPRSTAATPSDVASLATAIFRESGSTNGSGDEELSGDEE ASGGGSGGLEPPVGSVVVTHGPPIERASCYNSPLGCCSDGKTPSLDSEGSNCPATKAFQG VLELEGVEGQELFYTPEMADPKSELFGETARSIESTLDDLFRNSDVKKDFWSIRLRELGP GKLVRAIVDVHFDPTTAFQAPDVGQALLQQIQVSRPWALAVRRPLREHVRFLDFDWFPTF FTGAATGTTAAVATARATTVSRLSASSVTPRVYPSYTSRPVGRTTAPLTTRRPPTTTASI DRPRTPGPQRPPKSCDSQPCLHGGTCQDLDSGKGFSCSCTAGRAGTVCEKVQLPSVPAFK GHSFLAFPTLRAYHTLRLALEFRALETEGLLLYNGNARGKDFLALALLDGHVQFRFDTGS GPAVLTSLVPVEPGRWHRLELSRHWRQGTLSVDGEAPVVGESPSGTDGLNLDTKLYVGGL PEEQVATVLDRTSVGIGLKGCIRMLDINNQQLELSDWQRAVVQSSGVGECGDHPCSPNPC HGGALCQALEAGVFLCQCPPGRFGPTCADEKNPCQPNPCHGSAPCHVLSRGGAKCACPLG RSGSFCETVLENAGSRPFLADFNGFSYLELKGLHTFERDLGEKMALEMVFLARGPSGLLL YNGQKTDGKGDFVSLALHNRHLEFRYDLGKGAAIIRSKEPIALGTWVRVFLERNGRKGAL QVGDGPRVLGESPKSRKVPHTMLNLKEPLYVGGAPDFSKLARGAAVASGFDGAIQLVSLR GHQLLTQEHVLRAVDVAPFAGHPCTQAVDNPCLNGGSCIPREATYECLCPGGFSGLHCEK GIVEKSVGDLETLAFDGRTYIEYLNAVTESELTNEIPAPETLDSRALFSEKALQSNHFEL SLRTEATQGLVLWIGKVGERADYMALAIVDGHLQLSYDLGSQPVVLRSTVKVNTNRWLRV RAHREHREGSLQVGNEAPVTGSSPLGATQLDTDGALWLGGLQKLPVGQALPKAYGTGFVG CLRDVVVGHRQLHLLEDAVTKPELRPCPTL >ENSMUSP00000137931.1 pep:known chromosome:GRCm38:4:156165778:156197488:-1 gene:ENSMUSG00000041936.18 transcript:ENSMUST00000180572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agrn description:agrin [Source:MGI Symbol;Acc:MGI:87961] MVRPRLSFPAPLLPLLLLLAAAAPAVPGASGTCPERALERREEEANVVLTGTVEEILNVD PVQHTYSCKVRVWRYLKGKDVVAQESLLDGGNKVVIGGFGDPLICDNQVSTGDTRIFFVN PAPPYLWPAHKNELMLNSSLMRITLRNLEEVEFCVEDKPGIHFTAAPSMPPDVCRGMLCG FGAVCEPSVEDPGRASCVCKKNVCPAMVAPVCGSDASTYSNECELQRAQCNQQRRIRLLR QGPCGSRDPCANVTCSFGSTCVPSADGQTASCLCPTTCFGAPDGTVCGSDGVDYPSECQL LRHACANQEHIFKKFDGPCDPCQGSMSDLNHICRVNPRTRHPEMLLRPENCPAQHTPICG DDGVTYENDCVMSRIGAARGLLLQKVRSGQCQTRDQCPETCQFNSVCLSRRGRPHCSCDR VTCDGAYRPVCAQDGHTYDNDCWRQQAECRQQQTIPPKHQGPCDQTPSPCRGAQCAFGAT CTVKNGKAVCECQRVCSGGYDPVCGSDGVTYGSVCELESMACTLGREIRVARRGPCDRCG QCRFGSLCEVETGRCVCPSECVESAQPVCGSDGHTYASECELHVHACTHQISLYVASAGH CQTCGETVCTFGAVCSAGQCVCPRCEHPPPGPVCGSDGVTYLSACELREAACQQQVQIEE ARAGPCEPAECGSGGSGSGEDNACEQELCRQHGGVWDEDSEDGPCVCDFSCQSVLKSPVC GSDGVTYSTECHLKKARCEARQELYVAAQGACRGPTLAPLLPMASPHCAQTPYGCCQDNV TAAQGVGLAGCPSTCHCNPHGSYSGTCDPVTGQCSCRPGVGGLRCDRCEPGFWNFRGIVT DGHSGCTPCSCDPRGAVRDDCEQMTGLCSCRPGVAGPKCGQCPDGQALGHLGCEADPTTP VTCVEMHCEFGASCVEEAGFAQCVCPTLTCPEANSTKVCGSDGVTYGNECQLKTIACRQR LDISIQSLGPCRESVAPGVSPTSASMTTPRHILSRTLASPHSSLPLSPSTTAHDWPTPLP TSPQTVVGTPRSTAATPSDVASLATAIFRESGSTNGSGDEELSGDEEASGGGSGGLEPPV GSVVVTHGPPIERASCYNSPLGCCSDGKTPSLDSEGSNCPATKAFQGVLELEGVEGQELF YTPEMADPKSELFGETARSIESTLDDLFRNSDVKKDFWSIRLRELGPGKLVRAIVDVHFD PTTAFQAPDVGQALLQQIQVSRPWALAVRRPLREHVRFLDFDWFPTFFTGAATGTTAAVA TARATTVSRLSASSVTPRVYPSYTSRPVGRTTAPLTTRRPPTTTASIDRPRTPGPQRPPK SCDSQPCLHGGTCQDLDSGKGFSCSCTAGRAGTVCEKVQLPSVPAFKGHSFLAFPTLRAY HTLRLALEFRALETEGLLLYNGNARGKDFLALALLDGHVQFRFDTGSGPAVLTSLVPVEP GRWHRLELSRHWRQGTLSVDGEAPVVGESPSGTDGLNLDTKLYVGGLPEEQVATVLDRTS VGIGLKGCIRMLDINNQQLELSDWQRAVVQSSGVGECGDHPCSPNPCHGGALCQALEAGV FLCQCPPGRFGPTCADEKNPCQPNPCHGSAPCHVLSRGGAKCACPLGRSGSFCETVLENA GSRPFLADFNGFSYLELKGLHTFERDLGEKMALEMVFLARGPSGLLLYNGQKTDGKGDFV SLALHNRHLEFRYDLGKGAAIIRSKEPIALGTWVRVFLERNGRKGALQVGDGPRVLGESP VPHTMLNLKEPLYVGGAPDFSKLARGAAVASGFDGAIQLVSLRGHQLLTQEHVLRAVDVA PFAGHPCTQAVDNPCLNGGSCIPREATYECLCPGGFSGLHCEKGIVEKSVGDLETLAFDG RTYIEYLNAVTESEKALQSNHFELSLRTEATQGLVLWIGKVGERADYMALAIVDGHLQLS YDLGSQPVVLRSTVKVNTNRWLRVRAHREHREGSLQVGNEAPVTGSSPLGATQLDTDGAL WLGGLQKLPVGQALPKAYGTGFVGCLRDVVVGHRQLHLLEDAVTKPELRPCPTL >ENSMUSP00000031713.7 pep:known chromosome:GRCm38:6:24088283:24168092:-1 gene:ENSMUSG00000029700.11 transcript:ENSMUST00000031713.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc13a1 description:solute carrier family 13 (sodium/sulfate symporters), member 1 [Source:MGI Symbol;Acc:MGI:1859937] MKLLNYALVYRRFLLVVFTILVFLPLPLIIRTKEAQCAYILFVIAIFWITEALPLSITAL LPGLMFPMFGIMRSSQVASAYFKDFHLLLIGVICLATSIEKWNLHKRIALRMVMMVGVNP AWLTLGFMSSTAFLSMWLSNTSTAAMVMPIVEAVAQQIISAEAEAEATQMTYFNESAAHG LDIDETVIGQETNEKKEKTKPAPGSSHDKGKVSRKMETEKNAVTGAKYRSRKDHMMCKLM CLSVAYSSTIGGLTTITGTSTNLIFSEHFNTRYPDCRCLNFGSWFLFSFPVALILLLLSW IWLQWLYLGFDFKMFKCGKTKTLKEKACAKVIKQEYEKLGPMRYQEIVTLVIFIVMALLW FSRDPGFVTGWSVLFSEYPGYVTDSTVALVAGILFFLIPAKKVTKMTSAGEIIAFDYTPL ITWKEFQSFMPWDIAILVGGGFALADGCQVSGLSNWIGSKLSPLGSLPVWLIILISSLIV TSLTEVASNPATITILFPILSPLAEAIQVNPLQILLPSTLCTSFAFLLPVANPPNAIVFS YGHLKVIDMVKAGLGVNILGVAVVMLGMFTWIEPMFNLHEYPSWASNFVNQTMP >ENSMUSP00000145160.1 pep:known chromosome:GRCm38:6:86028704:86124409:1 gene:ENSMUSG00000030000.10 transcript:ENSMUST00000204059.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add2 description:adducin 2 (beta) [Source:MGI Symbol;Acc:MGI:87919] MSEDTVPEAASPPPSQGQHYFDRFSEDDPEYLRLRNRAADLRQDFNLMEQKKRVTMILQS PSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPASSMNFSMMTPINDLHT ADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEV TASSLIKVNILGEVVEKGSSCFPVDTTGFSLHSAIYAARPDVRCAIHLHTPATAAVSAMK CGLLPVSHNALLVGDMAYYDFNGEMEQEADRINLQKCLGPTCKILVLRNHGMVALGDTVE EAFYKVFHLQAACEVQVSALSSAGGTENLILLEQEKHRPHEVGSVQWAGSTFGPMQKSRL GEHEFEALMRMLDNLGYRTGYTYRHPFVQEKTKHKSEVEIPATVTAFVFEEDGVPVPALR QHAQKQQKEKTRWLNTPNTYLRVNVADEVQRNMGSPRPKTTWMKADEVEKSSSGMPIRIE NPNQFVPLYTDPQEVLDMRNKIREQNRQDIKSAGPQSQLLASVIAEKSRSPSTESQLMSK GDADTKDESEETVPNPFSQLTDQELEEYKKEVERKKLEQEQEGEKDIATEKPGSPVKSTP ASPVQSPSKAGTKSPAVSPSKTSEDTKKTEVSEANTEPEPVKPEGLVVNGKEEEPSVEEA LSKGLGQMTTNADTDGDSYKDKTESVTSGPLSPEGSPSKSPSKKKKKFRTPSFLKKSKKK EKVES >ENSMUSP00000144849.1 pep:known chromosome:GRCm38:6:86028747:86109467:1 gene:ENSMUSG00000030000.10 transcript:ENSMUST00000203366.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add2 description:adducin 2 (beta) [Source:MGI Symbol;Acc:MGI:87919] MSEDTVPEAASPPPSQGQHYFDRFSEDDPEYLRLRNRAADLRQDFNLMEQKKRVTMILQS PSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPASSMNFSMMTPINDLHT ADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEV TASSLIKVNILGEVVEKGSSCFPVDTTGFSLHSAIYAARPDVRCAIHLHTPATAAVSAMK CGLLPVSHNALLVGDMAYYDFNGEMEQEADRINLQKCLGPTCKILVLRNHGMVALGDTVE EAFYKVFHLQAACEVQVSALSSAGGTENLILLEQEKHRPHEVGSVQWAGSTFGPMQKSRL GEHEFEALMRMLDNLGYRTGYTYRHPFVQEKTKHKSEVEIPATVTAFVFEEDGVPVPALR QHAQKQQKEKTRWLNTPNTYLRVNVADEVQRNMGSPRPKTTWMKADEVEKSSSGMPIRIE NPNQFVPLYTDPQEVLDMRNKIREQNRQDIKSAGPQSQLLASVIAEKSRSPVQQRLPPTE GEVYQTPGAGQGTPESSGPLTP >ENSMUSP00000145494.1 pep:known chromosome:GRCm38:6:86052248:86093479:1 gene:ENSMUSG00000030000.10 transcript:ENSMUST00000203445.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add2 description:adducin 2 (beta) [Source:MGI Symbol;Acc:MGI:87919] MSEDTVPEAASPPPSQGQHYFDRFSEDDPEYLRLRNRAADLRQDFNLMEQKKRVTMILQS PSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPASSMNFSMMTPINDLHT ADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEV TASS >ENSMUSP00000145034.1 pep:known chromosome:GRCm38:6:86078057:86109465:1 gene:ENSMUSG00000030000.10 transcript:ENSMUST00000205034.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add2 description:adducin 2 (beta) [Source:MGI Symbol;Acc:MGI:87919] MSEDTVPEAASPPPSQGQHYFDRFSEDDPEYLRLRNRAADLRQDFNLMEQKKRVTMILQS PSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPASSMNFSMMTPINDLHT ADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEV TASSLIKVNILGEVVEKGSSCFPVDTTGFSLHSAIYAARPDVRCAIHLHTPATAAVSAMK CGLLPVSHNALLVGDMAYYDFNGEMEQEADRINLQKCLGPTCKILVLRNHGMVALGDTVE EAFYKVFHLQAACEVQVSALSSAGGTENLILLEQEKHRPHEVGSVQWAGSTFGPMQKSRL GEHEFEALMRMLDNLGYRTGYTYRHPFVQEKTKHKSEVEIPATVTAFVFEEDGVPVPALR QHAQKQQKEKTRWLNTPNTYLRVNVADEVQRNMGSPRPKTTWMKADEVEKSSSGMPIRIE NPNQFVPLYTDPQEVLDMRNKIREQNRQDIKSAGPQSQLLASVIAEKSRSPVQQRLPPTE GEVYQTPGAGQGTPESSGPLTP >ENSMUSP00000145296.1 pep:known chromosome:GRCm38:6:86078057:86119555:1 gene:ENSMUSG00000030000.10 transcript:ENSMUST00000203724.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add2 description:adducin 2 (beta) [Source:MGI Symbol;Acc:MGI:87919] MSEDTVPEAASPPPSQGQHYFDRFSEDDPEYLRLRNRAADLRQDFNLMEQKKRVTMILQS PSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPASSMNFSMMTPINDLHT ADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEV TASSLIKVNILGEVVEKGSSCFPVDTTGFSLHSAIYAARPDVRCAIHLHTPATAAVSAMK CGLLPVSHNALLVGDMAYYDFNGEMEQEADRINLQKCLGPTCKILVLRNHGMVALGDTVE EAFYKVFHLQAACEVQVSALSSAGGTENLILLEQEKHRPHEVGSVQWAGSTFGPMQKSRL GEHEFEALMRMLDNLGYRTGYTYRHPFVQEKTKHKSEVEIPATVTAFVFEEDGVPVPALR QHAQKQQKEKTRWLNTPNTYLRVNVADEVQRNMGSPRPKTTWMKADEVEKSSSGMPIRIE NPNQFVPLYTDPQEVLDMRNKIREQNRQDIKSAGPQSQLLASVIAEKSRSPSTESQLMSK GDADTKDESEETVPNPFSQLTDQELEEYKKEVERKKLEQEQEGEKDIATEKPGSPVKSTP ASPVQSPSKAGTKSPAVSPSKTSEDTKKTEVSEANTEPEPVKPEGLVVNGKEEEPSVEEA LSKGLGQMTTNADTDGDSYKDKTESVTSGPLSPEGSPSKSPSKKKKKFRTPSFLKKSKKK EKVES >ENSMUSP00000145104.1 pep:known chromosome:GRCm38:6:86082781:86108296:1 gene:ENSMUSG00000030000.10 transcript:ENSMUST00000203196.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add2 description:adducin 2 (beta) [Source:MGI Symbol;Acc:MGI:87919] MSEDTVPEAASPPPSQGQHYFDRFSEDDPEYLRLRNRAADLRQDFNLMEQKKRVTMILQS PSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPASSMNFSMMTPINDLHT ADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEV TASSLIKVNILGEVVEKGSSCFPVDTTGFSLHSAIYAARPDVRCAIHLHTPATAAVSAMK CGLLPVSHNALLVGDMAYYDFNGEMEQEADRINLQKCLGPTCKILVLRNHGMVALGDTVE EAFYKVFHLQAACEVQVSALSSAGGTENLILLEQEKHRPHEVGSVQWAGSTFGPMQKSRL GEHEFEALMRMLDNLGYRTGYTYRHPFVQEKTKHKSEVEIPATVTAFVFEEDGVPVPALR QHAQKQQKEKTRWLNTPNTYLRVNVADEVQRNMGSPRPKTTWMKADEVEKSSSGMPIRIE NPNQFVPLYTDPQEVLDMRNKIREQNRQDIKSAGPQSQLLASVIAEKSRSPVQQRLPPTE GEVYQTPGAGQGTPESSGPLTP >ENSMUSP00000144694.1 pep:known chromosome:GRCm38:6:86082781:86118825:1 gene:ENSMUSG00000030000.10 transcript:ENSMUST00000203786.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add2 description:adducin 2 (beta) [Source:MGI Symbol;Acc:MGI:87919] MSEDTVPEAASPPPSQGQHYFDRFSEDDPEYLRLRNRAADLRQDFNLMEQKKRVTMILQS PSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPASSMNFSMMTPINDLHT ADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEV TASSLIKVNILGEVVEKGSSCFPVDTTGFSLHSAIYAARPDVRCAIHLHTPATAAVSAMK CGLLPVSHNALLVGDMAYYDFNGEMEQEADRINLQKCLGPTCKILVLRNHGMVALGDTVE EAFYKVFHLQAACEVQVSALSSAGGTENLILLEQEKHRPHEVGSVQWAGSTFGPMQKSRL GEHEFEALMRMLDNLGYRTGYTYRHPFVQEKTKHKSEVEIPATVTAFVFEEDGVPVPALR QHAQKQQKEKTRWLNTPNTYLRVNVADEVQRNMGSPRPKTTWMKADEVEKSSSGMPIRIE NPNQFVPLYTDPQEVLDMRNKIREQNRQDIKSAGPQSQLLASVIAEKSRSPSTESQLMSK GDADTKDESEETVPNPFSQLTDQELEEYKKEVERKKLEQEQEGEKDIATEKPGSPVKSTP ASPVQSPSKAGTKSPAVSPSKTSEDTKKTEVSEANTEPEPVKPEGLVVNGKEEEPSVEEA LSKGLGQMTTNADTDGDSYKDKTESVTSGPLSPEGSPSKSPSKKKKKFRTPSFLKKSKKK EKVES >ENSMUSP00000145452.1 pep:known chromosome:GRCm38:6:86085862:86118801:1 gene:ENSMUSG00000030000.10 transcript:ENSMUST00000203279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add2 description:adducin 2 (beta) [Source:MGI Symbol;Acc:MGI:87919] MSEDTVPEAASPPPSQGQHYFDRFSEDDPEYLRLRNRAADLRQDFNLMEQKKRVTMILQS PSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPASSMNFSMMTPINDLHT ADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEV TASSLIKVNILGEVVEKGSSCFPVDTTGFSLHSAIYAARPDVRCAIHLHTPATAAVSAMK CGLLPVSHNALLVGDMAYYDFNGEMEQEADRINLQKCLGPTCKSTESQLMSKGDADTKDE SEETVPNPFSQLTDQELEEYKKEVERKKLEQEQEGEKDIATEKPGSPVKSTPASPVQSPS KAGTKSPAVSPSKTSEDTKKTEVSEANTEPEPVKPEGLVVNGKEEEPSVEEALSKGLGQM TTNADTDGDSYKDKTESVTSGPLSPEGSPSKSPSKKKKKFRTPSFLKKSKKKEKVES >ENSMUSP00000032069.5 pep:known chromosome:GRCm38:6:86078084:86119555:1 gene:ENSMUSG00000030000.10 transcript:ENSMUST00000032069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add2 description:adducin 2 (beta) [Source:MGI Symbol;Acc:MGI:87919] MSEDTVPEAASPPPSQGQHYFDRFSEDDPEYLRLRNRAADLRQDFNLMEQKKRVTMILQS PSFREELEGLIQEQMKKGNNSSNIWALRQIADFMASTSHAVFPASSMNFSMMTPINDLHT ADSLNLAKGERLMRCKISSVYRLLDLYGWAQLSDTYVTLRVSKEQDHFLISPKGVSCSEV TASSLIKVNILGEVVEKGSSCFPVDTTGFSLHSAIYAARPDVRCAIHLHTPATAAVSAMK CGLLPVSHNALLVGDMAYYDFNGEMEQEADRINLQKCLGPTCKILVLRNHGMVALGDTVE EAFYKVFHLQAACEVQVSALSSAGGTENLILLEQEKHRPHEVGSVQWAGSTFGPMQKSRL GEHEFEALMRMLDNLGYRTGYTYRHPFVQEKTKHKSEVEIPATVTAFVFEEDGVPVPALR QHAQKQQKEKTRWLNTPNTYLRVNVADEVQRNMGSPRPKTTWMKADEVEKSSSGMPIRIE NPNQFVPLYTDPQEVLDMRNKIREQNRQDIKSAGPQSQLLASVIAEKSRSPSTESQLMSK GDADTKDESEETVPNPFSQLTDQELEEYKKEVERKKLEQEQEGEKDIATEKPGSPVKSTP ASPVQSPSKAGTKSPAVSPSKTSEDTKKTEVSEANTEPEPVKPEGLVVNGKEEEPSVEEA LSKGLGQMTTNADTDGDSYKDKTESVTSGPLSPEGSPSKSPSKKKKKFRTPSFLKKSKKK EKVES >ENSMUSP00000120483.1 pep:known chromosome:GRCm38:1:151401123:151423555:-1 gene:ENSMUSG00000052748.14 transcript:ENSMUST00000129939.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Swt1 description:SWT1 RNA endoribonuclease homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914125] XLCTTSAHKLETVYDHKFLKMSIKESCAKEEKSQKKQTISSPTFNEDKKKGEISAGSTSS EHGVQPVSTKKRKLSFETPCSSVSSEDTQDADEEMQIVEELHAARVGRSVDLPVVPPSGE LMSMEIDLVDEDAHPSAAHTASDTKLLIVMDTNVLMNHLKFVKILKTTEIPGFDTLVLII PWVVIQELDRMKAGKLLKHVQHKAVPAIHFINNSLKSQDRKLWGQSLQLASQKLYGLSDE NNDDRVLKCCL >ENSMUSP00000117424.1 pep:known chromosome:GRCm38:1:151391436:151403027:-1 gene:ENSMUSG00000052748.14 transcript:ENSMUST00000141977.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Swt1 description:SWT1 RNA endoribonuclease homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914125] XQQQPQKSRQKAVGPVITAGIPKTLDDRNLRTKGLISGVKSLSKEDLDTEILNLTLNTDL CHQPCISKQQLNAEVPPAKETPKEGAANSGLSILLERIICDLEKCLGTALSSILETEMKI AFGNLWMEVLYLKPPWTLINLLQCFKKHWLAVFGLVMENNLLVTVESLYENLCK >ENSMUSP00000107514.2 pep:known chromosome:GRCm38:1:151368364:151428436:-1 gene:ENSMUSG00000052748.14 transcript:ENSMUST00000111883.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Swt1 description:SWT1 RNA endoribonuclease homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914125] MLLCTTSAHKLETVYDHKFLKMSIKESCAKEEKSQKKQTISSPTFNEDKKKGEISAGSTS SEHGVQPVSTKKRKLKADDTDNVYYNANRKNSKRLNVEVFIPKKRLKFSSSTQAVSYLNN NQMTSHSCSSNGTKDTKVKDCKLTNIGSKLNYEIKNHSRIKITKDMKSKPVDQTKEKNWP SLLIQKKMKELKKEKNNKDSSEELEKCKKNHLPQNYNFSNMIKESFESGRKKISFKIPKK SSTTLQKLVEEKIFTIDSSKSKSKQEEKQHLQSHQMSLNLARHKTENSFSDSTHKQSVCE RIRKDHCEHQGTSSSDSKENHAQSFETPCSSVSSEDTQDADEEMQIVEELHAARVGRSVD LPVVPPSGELMSMEIDLVDEDAHPSAAHTASDTKLLIVMDTNVLMNHLKFVKILKTTEIP GFDTLVLIIPWVVIQELDRMKAGKLLKHVQHKAVPAIHFINNSLKSQDRKLWGQSLQLAS QKLYGLSDENNDDRVLKCCLQYQQLFPCSLVILCTDDRNLRTKGLISGVKSLSKEDLDTE ILNLTLNTDLCHQPCISKQQLNAEVPPAKETPKEGAANSGLSILLERIICDLEKCLGTAL SSILETEMKIAFGNLWMEVLYLKPPWTLINLLQCFKKHWLAVFGLVMENNLLVTVESLYE NLCKGQDKTEAKFFRKHKE >ENSMUSP00000067516.5 pep:known chromosome:GRCm38:1:151367699:151428455:-1 gene:ENSMUSG00000052748.14 transcript:ENSMUST00000064771.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Swt1 description:SWT1 RNA endoribonuclease homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914125] MLLCTTSAHKLETVYDHKFLKMSIKESCAKEEKSQKKQTISSPTFNEDKKKGEISAGSTS SEHGVQPVSTKKRKLKADDTDNVYYNANRKNSKRLNVEVFIPKKRLKFSSSTQAVSYLNN NQMTSHSCSSNGTKDTKVKDCKLTNIGSKLNYEIKNHSRIKITKDMKSKPVDQTKEKNWP SLLIQKKMKELKKEKNNKDSSEELEKCKKNHLPQNYNFSNMIKESFESGRKKISFKIPKK SSTTLQKLVEEKIFTIDSSKSKSKQEEKQHLQSHQMSLNLARHKTENSFSDSTHKQSVCE RIRKDHCEHQGTSSSDSKENHAQSFETPCSSVSSEDTQDADEEMQIVEELHAARVGRSVD LPVVPPSGELMSMEIDLVDEDAHPSAAHTASDTKLLIVMDTNVLMNHLKFVKILKTTEIP GFDTLVLIIPWVVIQELDRMKAGKLLKHVQHKAVPAIHFINNSLKSQDRKLWGQSLQLAS QKLYGLSDENNDDRVLKCCLQYQQLFPCSLVILCTDDRNLRTKGLISGVKSLSKEDLDTE ILNLTLNTDLCHQPCISKQQLNAEVPPAKETPKEGAANSGLSILLERIICDLEKCLGTAL SSILETEMKIAFGNLWMEVLYLKPPWTLINLLQCFKKHWLAVFGLVMENNLLVTVESLYE NLCKANKAVDFTTVKFLLQDSKSLLHAFSTRSNYDGVLPQTFAQVNKLLQVLAEVKTKLK PSSSESTRSKEQEDTSLVKLHNQDVNVFLDSNLPQATRHQEIWSVLESVWMSIYQNSTDV FQTLDSSSTLTTSKIASFEEAFVYLQKLMVAVKDILEGIQRILAPNSNYQDVETLYNFLI KYEVNKNVKFTARELYDCVSQTEYRERLTIGCRQLIEMECTMQQCSASVYMEARSRGWCE DMLNNRP >ENSMUSP00000016072.5 pep:known chromosome:GRCm38:2:143947395:144011263:-1 gene:ENSMUSG00000027422.15 transcript:ENSMUST00000016072.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrbp1 description:ribosome binding protein 1 [Source:MGI Symbol;Acc:MGI:1932395] MDIYDTQTLGVVVFGGFMVVSAIGIFLVSTFSMKETSYEEALANQRKEMAKTHHQKGEKK KKEKTVEKKGKTKKKEEKPNGKIPEHDLDPNVTIILKEPVRVSAVAVAPTSVHSSVGHTP IATVPAMPQEKLASSPKDRKKKEKKVAKVEPAVSSIVNSIQVLASKSAILEATPKEVPMV AVPPVGSKASSPATSSQGKKGQGAQNQAKKGEGAQNQGKKGEGAQNQAKKGEGAQNQAKK GEGAQNQGKKGEGAQNQAKKGEGGQNQAKKGEGAQNQGKKGEGAQNQGKKGEGAQNQAKK GEGAQNQAKKGEGAQNQGKKGEGAQNQSKKGEGAQNQAKKGEGGQNQAKKGEGAQNQAKK GEGAQNQAKKGEGVQNQAKKGVEGAQNQGKKGEANQNQAKKGEGGQNQTKKGEGPQNQGK KGEAAQKQDKKIEGAQNQGKKPEGTSNQGKKGEGAQNQGKKGEGAQNQGKKGEGAQNQGK KGEGAQNQGKKGEGAQNQGKKGEGAQNQGKKGEGAQNQGKKGEGPQNQAKKGEGAQNQGK KGEGAQNQGKKGEGAQNQGKKAEGVQSQSKKGEGTQNQGKKGDGNPNQGKKGEGASNQNR KTDTVANQGTKQEGVSNQVKKSEGSPNQGKKAEGAPNQGKKKDGSPSQAKKVDAAANQGK KSEMAPAQGQKASMVQSQEAPKQDAPAKKKSGSRKKGEPGPPDCDGPLFLPYKTLVSTVG SMVFSEGEAQRLIEILSEKTGVIQDTWHKATQKGDPVAILKRQLEEKEKLLATEQEDAAV AKSKLRELNKEMASEKAKAAAGEAKVKKQLVAREQEIAAVQARMQASYRDHVKEVQQLQG KIRTLQEQLENGPNTQLARLQQENSILRDALNQATSQVESKQNTELAKLRQELSKVNKEL VEKSEASRQEEQQRKALEAKAATFEKQVLQLQASHKESEEALQKRLEEVTRELCRAQTSH ANLRADAEKAQEQQQRVAELHSKLQSSEVEVKSKCEELSSLHGQLKEARAENSQLTERIR SIEALLEAGQAQDTQASHAEANQQQTRLKELESQVSCLEKETSELKEAMEQQKGKNNDLR EKNWKAMEALALAERACEEKLRSLTQAKEESEKQLHLAEAQTKETLLALLPGLSISAHQN YAEWLQEFKEKGSELLKKPPTLEPSMDIVLKLREAEETQNSLQAECDQYRTILAETEGML KDLQKSVEEEERVWKAKVGAAEEELHKSRVTVKHLEDIVEKLKGELESSDQVREHTSHLE AELEKHMAAASAECQNYAKEVAGLRQLLLESQSQLDEAKSEAQKQSDELALVRQQLSDMR SHVEDGDVAGSPAVPPAEQDPMKLKTQLERTEATLEAEQTRRQKLTAEFEEAQRTACRIQ EELEKLRAAGPLESSGKEEITQLKERLEKEKRLTSDLGRAAIKLQELLKTTQEQLTKEKD TVKKLQEQLGKAEDGSSSKEGTSV >ENSMUSP00000040560.5 pep:known chromosome:GRCm38:2:143985853:144011263:-1 gene:ENSMUSG00000027422.15 transcript:ENSMUST00000037875.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrbp1 description:ribosome binding protein 1 [Source:MGI Symbol;Acc:MGI:1932395] MDIYDTQTLGVVVFGGFMVVSAIGIFLVSTFSMKETSYEEALANQRKEMAKTHHQKGEKK KKEKTVEKKGKTKKKEEKPNGKIPEHDLDPNVTIILKEPVRVSAVAVAPTSVHSSVGHTP IATVPAMPQEKLASSPKDRKKKEKKVAKVEPAVSSIVNSIQVLASKSAILEATPKEVPMV AVPPVGSKASSPATSSQGKKGQGAQNQAKKGEGAQNQGKKGEGAQNQAKKGEGAQNQAKK GEGAQNQGKKGEGAQNQAKKGEGGQNQAKKGEGAQNQGKKGEGAQNQGKKGEGAQNQAKK GEGAQNQAKKGEGAQNQGKKGEGAQNQSKKGEGAQNQAKKGEGGQNQAKKGEGAQNQAKK GEGAQNQAKKGEGVQNQAKKGVEGAQNQGKKGEANQNQAKKGEGGQNQTKKGEGPQNQGK KGEAAQKQDKKIEGAQNQGKKPEGTSNQGKKGEGAQNQGKKGEGAQNQGKKGEGAQNQGK KGEGAQNQGKKGEGAQNQGKKGEGAQNQGKKGEGAQNQGKKGEGPQNQAKKGEGAQNQGK KGEGAQNQGKKGEGAQNQGKKAEGVQSQSKKGEGTQNQGKKGDGNPNQGKKGEGASNQNR KTDTVANQGTKQEGVSNQVKKSEGSPNQGKKAEGAPNQGKKKDGSPSQAKKVDAAANQGK KSEMAPAQGQKASMVQSQEAPKQDAPAKKKSGSRKKGEPVC >ENSMUSP00000106305.1 pep:known chromosome:GRCm38:5:144876495:144965839:-1 gene:ENSMUSG00000038780.14 transcript:ENSMUST00000110677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smurf1 description:SMAD specific E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1923038] MSNPGTRRNGSSIKIRLTVLCAKNLAKKDFFRLPDPFAKIVVDGSGQCHSTDTVKNTLDP KWNQHYDLYVGKTDSITISVWNHKKIHKKQGAGFLGCVRLLSNAISRLKDTGYQRLDLCK LNPSDTDAVRGQIVVSLQTRDRIGGGGSVVDCRGLLENEGTVYEDSGPGRPLSCLMEEPA PYTDGTGAAAGGGNCRFVESPSQDQRLLVQRLRNPEVRGPLQTPQNRPHGHQSPELPEGY EQRTTVQGQVYFLHTQTGVSTWHDPRIPSPLGTIPGGDEAFLYEFLLQGHTSEPRDLNSV NCDELGPLPPGWEVRSTVSGRIYFVDHNNRTTQFTDPRLHHIMNHQCQLKEPSQPLQLPS EGSVEDEELPAQRYERDLVQKLKVLRHELSLQQPQAGHCRIEVSREEIFEESYRQIMKMR PKDLKKRLMVKFRGEEGLDYGGVAREWLYLLCHEMLNPYYGLFQYSTDNIYTLQINPDSS INPDHLSYFHFVGRIMGLAVFHGHYINGGFTVPFYKQLLGKPIQLSDLESVDPELHKSLV WILENDITPVLDHTFCVEHNAFGRILQHELKPNGRNVPVTEENKKEYVRLYVNWRFMRGI EAQFLALQKGFNELIPQHLLKPFDQKELELIIGGLDKIDLNDWKSNTRLKHCVADSNIVR WFWQAVETFDEERRARLLQFVTGSTRVPLQGFKALQGSTGAAGPRLFTIHLIDANTDNLP KAHTCFNRIDIPPYESYEKLYEKLLTAVEETCGFAVE >ENSMUSP00000082827.4 pep:known chromosome:GRCm38:5:144876502:144965847:-1 gene:ENSMUSG00000038780.14 transcript:ENSMUST00000085684.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smurf1 description:SMAD specific E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1923038] MSNPGTRRNGSSIKIRLTVLCAKNLAKKDFFRLPDPFAKIVVDGSGQCHSTDTVKNTLDP KWNQHYDLYVGKTDSITISVWNHKKIHKKQGAGFLGCVRLLSNAISRLKDTGYQRLDLCK LNPSDTDAVRGQIVVSLQTRDRIGGGGSVVDCRGLLENEGTVYEDSGPGRPLSCLMEEPA PYTDGTGAAAGGGNCRFVESPSQDQRLLVQRLRNPEVRGPLQTPQNRPHGHQSPELPEGY EQRTTVQGQVYFLHTQTGVSTWHDPRIPRDLNSVNCDELGPLPPGWEVRSTVSGRIYFVD HNNRTTQFTDPRLHHIMNHQCQLKEPSQPLQLPSEGSVEDEELPAQRYERDLVQKLKVLR HELSLQQPQAGHCRIEVSREEIFEESYRQIMKMRPKDLKKRLMVKFRGEEGLDYGGVARE WLYLLCHEMLNPYYGLFQYSTDNIYTLQINPDSSINPDHLSYFHFVGRIMGLAVFHGHYI NGGFTVPFYKQLLGKPIQLSDLESVDPELHKSLVWILENDITPVLDHTFCVEHNAFGRIL QHELKPNGRNVPVTEENKKEYVRLYVNWRFMRGIEAQFLALQKGFNELIPQHLLKPFDQK ELELIIGGLDKIDLNDWKSNTRLKHCVADSNIVRWFWQAVETFDEERRARLLQFVTGSTR VPLQGFKALQGSTGAAGPRLFTIHLIDANTDNLPKAHTCFNRIDIPPYESYEKLYEKLLT AVEETCGFAVE >ENSMUSP00000098029.2 pep:known chromosome:GRCm38:5:144879111:144965847:-1 gene:ENSMUSG00000038780.14 transcript:ENSMUST00000100461.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smurf1 description:SMAD specific E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1923038] MSNPGTRRNGSSIKIRLTVLCAKNLAKKDFFRLPDPFAKIVVDGSGQCHSTDTVKNTLDP KWNQHYDLYVGKTDSITISVWNHKKIHKKQGAGFLGCVRLLSNAISRLKDTGYQRLDLCK LNPSDTDAVRGQIVVSLQTRDRIGGGGSVVDCRGLLENEGTVYEDSGPGRPLSCLMEEPA PYTDGTGAAAGGGNCRFVESPSQDQRLLVQRLRNPEVRGPLQTPQNRPHGHQSPELPEGY EQRTTVQGQVYFLHTQTGVSTWHDPRIPRDLNSVNCDELGPLPPGWEVRSTVSGRIYFVD HNNRTTQFTDPRLHHIMNHQCQLKEPSQPLQLPSEGSVEDEELPAQRYERDLVQKLKVLR HELSLQQPQAGHCRIEVSREEIFEESYRQIMKMRPKDLKKRLMVKFRGEEGLDYGGVARE WLYLLCHEMLNPYYGLFQYSTDNIYTLQINPDSSINPDHLSYFHFVGRIMGLAVFHGHYI NGGFTVPFYKQLLGKPIQLSDLESVDPELHKSLVWILENDITPVLDHTFCVEHNAFGRIL QHELKPNGRNVPVTEENKKEYVRLYVNWRFMRGIEAQFLALQKGFNELIPQHLLKPFDQK ELELIIGGLDKIDLNDWKSNTRLKHCVADSNIVRWFWQAVETFDEERRARLLQFVTGSTR VPLQGFKALQGAAGPRLFTIHLIDANTDNLPKAHTCFNRIDIPPYESYEKLYEKLLTAVE ETCGFAVE >ENSMUSP00000142841.1 pep:known chromosome:GRCm38:5:144884592:144897994:-1 gene:ENSMUSG00000038780.14 transcript:ENSMUST00000198621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smurf1 description:SMAD specific E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1923038] XENEGTVYEDSGPGRPLSCLMEEPAPYTDGTGAAAGGGNCRFVESPSQDQRLLVQRLRNP EVRGPLQTPQNRPHGHQSPELPEGYEQRTTVQGQVYFLHTQTGVSTWHDPRIPSHQCQLK EPSQPLQLPSEGSVEDEELPAQRYERDLVQKLKVLRHELSLQQPQAGHCRIEVSREEIFE ESYRQIMKMRPKDLKKRLMVKFRGEEGLDYGGVAREWLYLLCHEMLNPYYGLFQYSTDNI YTLQINPDSSINPDHLSYFHFVGRIMGLAVFHGHYINGGFTVPFYKQLLGKPIQLSDLES VDPELHKSLV >ENSMUSP00000112462.1 pep:known chromosome:GRCm38:11:73453275:73457458:-1 gene:ENSMUSG00000072709.2 transcript:ENSMUST00000121209.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr380 description:olfactory receptor 380 [Source:MGI Symbol;Acc:MGI:3030214] MIKNNQTLFNQFLLLGLPIPAEHQQLFFALFLSMYLTTILGNLIIIILIRLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNMQSQDTSITYAGCLTQMYFFVLFGGLEIFLLVVMAY DRYVAICLPLHYTSIMSLKLCVCLVLLSWVISILNSMLHTLLLARLSFCEDNMIRHFFCD MSALLKLACSDIYINELMIFILGGPLMVIPFLLIVMSYVQIIFSILKASSTRAIYKVFST CGSHLTVVSLFYGTIIGLYLCPSANNFTAKEASIAIMYTVVTPMLNPFIYSLRNRDIKEA LINVLIKKIPL >ENSMUSP00000074971.1 pep:known chromosome:GRCm38:11:73453275:73454210:-1 gene:ENSMUSG00000072709.2 transcript:ENSMUST00000075532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr380 description:olfactory receptor 380 [Source:MGI Symbol;Acc:MGI:3030214] MIKNNQTLFNQFLLLGLPIPAEHQQLFFALFLSMYLTTILGNLIIIILIRLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNMQSQDTSITYAGCLTQMYFFVLFGGLEIFLLVVMAY DRYVAICLPLHYTSIMSLKLCVCLVLLSWVISILNSMLHTLLLARLSFCEDNMIRHFFCD MSALLKLACSDIYINELMIFILGGPLMVIPFLLIVMSYVQIIFSILKASSTRAIYKVFST CGSHLTVVSLFYGTIIGLYLCPSANNFTAKEASIAIMYTVVTPMLNPFIYSLRNRDIKEA LINVLIKKIPL >ENSMUSP00000030645.8 pep:known chromosome:GRCm38:4:134228041:134238399:-1 gene:ENSMUSG00000028841.14 transcript:ENSMUST00000030645.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnksr1 description:connector enhancer of kinase suppressor of Ras 1 [Source:MGI Symbol;Acc:MGI:2670958] MEPVEAWTPGKVAAWLRGLDDALQDYPFEDWQLPGKYLLQLCPQSLEALTVWPLGHQELI LDGVEQLRALGSNLQTENLQSLAQGLLERTQAFQSLVQGSLGNCAETPADVLKAAVELVR EAHALLSWLNGYLFSHLNDFSACQEIGVLCGELGQVLQEDCPEAEKERNILRICSHVAGI CHNILSCSPEELLEQKAVLESVQVDDPSGLEIHTTSNCLHFVSRVGVQAATSSQILPGDE IVQVNEQVVVGWSHKNMLRELLREPAKVSLVLKKIPVPETPSQTSLDSPHLLSQSLPLNP PSPRVPSEGLLADGTPGPSPAWTDSTSFDAQSPPTPPGPPGVLPEETAEPLESSGHPDKS PILGRKKSKGIATRLSRRRVSCRELGLPDCDGWLLLRKVPGGFMGPRWRRCWFVLKGHTL YWYRQPQDEKAEGLINLSNYSLESGHDQKKKYVFQLTHDVYKPFIFAAETLSDLSKWVRH LITCISKYQAQGRAPSSAREEDCYSETEAEDPDEEAGSRSASPGPAQAWSDTSPVASPLQ SPRTSFNSSPDSSDRALEGMVQGLRQGGVSLLGQPQPLTHEQWRSSFMRRNRDPHLNERA HRIRALQSTLKAKLQELQALEEVLGDPELTSAKFRQWKEQNQELYSEGLRTWGGTWAQTS SSDPNSDSRAHSPQPLPFDPEEPSQLFPLTPENSRQPPDL >ENSMUSP00000076341.4 pep:known chromosome:GRCm38:6:112619850:112696686:-1 gene:ENSMUSG00000030254.16 transcript:ENSMUST00000077088.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad18 description:RAD18 E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1890476] MEVLAEPRWPPGLAVMKTIDDLLRCGICFEYFNIAVIIPQCSHNYCSLCIRKFLSYKTQC PTCCVAVTEPDLRNNRLLDELVKSMNFARTHLLQFALESPPISPVSSTSKKVVVKVHNAD AAQHPVKQANRLMDKFLIRETGDCVFELLGKENERKFSPQKELSTSAEIKETSLLGKPVL GLSDANGPVTPSTSTMKLDTKVSCPVCGVSIPENHINKHLDSCLSREEKKESLRSSAHKR KPLPKTVYNLLSDRDLKKKLKQYGLSVQGNKQQLIKRHQEFVHMYNAQCDALHPKSAAEI VQEIESMEKTRMRLEASKLNENVMVFTKNQTEKEIEEVHSEYRKKHQNAFQLLVDQAKKG YKKTGRVSQAAAMRTDEPAETLPSMRTDEPAETLPSMRTDEPAETLPLMRADEPAETLPS ECIAQEDNVSFSDTVSVTNHFPQPQLDSPGPSEPERPDDSSSCTDILFSSDSDSCNRNDQ NREVSPQQTRRTRASECVEIEPRNKRNKN >ENSMUSP00000108807.1 pep:known chromosome:GRCm38:6:112619945:112696604:-1 gene:ENSMUSG00000030254.16 transcript:ENSMUST00000113182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad18 description:RAD18 E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1890476] MEVLAEPRWPPGLAVMKTIDDLLRCGICFEYFNIAVIIPQCSHNYCSLCIRKFLSYKTQC PTCCVAVTEPDLRNNRLLDELVKSMNFARTHLLQFALESPPISPVSSTSKKVVVKVHNAD AAQHPVKQANRLMDKFLIRETGDCVFELLGKENERKFSPQKELSTSAEIKETSLLGKPVL GLSDANGPVTPSTSTMKLDTKVSCPVCGVSIPENHINKHLDSCLSREEKKESLRSSAHKR KPLPKTVYNLLSDRDLKKKLKQYGLSVQGNKQQLIKRHQEFVHMYNAQCDALHPKSAAEI VQEIESMEKTRMRLEASKLNENVMVFTKNQTEKEIEEVHSEYPQEDNVSFSDTVSVTNHF PQPQLDSPGPSEPERPDDSSSCTDILFSSDSDSCNSSSSDIIRDLLEEEEAWEAAHKNDQ NREVSPQQTRRTRASECVEIEPRNKRNKN >ENSMUSP00000108805.1 pep:known chromosome:GRCm38:6:112619973:112696611:-1 gene:ENSMUSG00000030254.16 transcript:ENSMUST00000113180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad18 description:RAD18 E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1890476] MEVLAEPRWPPGLAVMKTIDDLLRCGICFEYFNIAVIIPQCSHNYCSLCIRKFLSYKTQC PTCCVAVTEPDLRNNRLLDELVKSMNFARTHLLQFALESPPISPVSSTSKKVVVKVHNAD AAQHPVKQANRLMDKFLIRETGDCVFELLGKENERKFSPQKELSTSAEIKETSLLGKPVL GLSDANGPVTPSTSTMKLDTKVSCPVCGVSIPENHINKHLDSCLSREEKKESLRSSAHKR KPLPKTVYNLLSDRDLKKKLKQYGLSVQGNKQQLIKRHQEFVHMYNAQCDALHPKSAAEI VQEIESMEKTRMRLEASKLNENVMVFTKNQTEKEIEEVHSEYPQEDNVSFSDTVSVTNHF PQPQLDSPGPSEPERPDDSSSCTDILFSSDSDSCNRNDQNREVSPQQTRRTRASECVEIE PRNKRNKN >ENSMUSP00000070619.5 pep:known chromosome:GRCm38:6:112619975:112696670:-1 gene:ENSMUSG00000030254.16 transcript:ENSMUST00000068487.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad18 description:RAD18 E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1890476] MEVLAEPRWPPGLAVMKTIDDLLRCGICFEYFNIAVIIPQCSHNYCSLCIRKFLSYKTQC PTCCVAVTEPDLRNNRLLDELVKSMNFARTHLLQFALESPPISPVSSTSKKVVVKVHNAD AAQHPVKQANRLMDKFLIRETGDCVFELLGKENERKFSPQKELSTSAEIKETSLLGKPVL GLSDANGPVTPSTSTMKLDTKVSCPVCGVSIPENHINKHLDSCLSREEKKESLRSSAHKR KPLPKTVYNLLSDRDLKKKLKQYGLSVQGNKQQLIKRHQEFVHMYNAQCDALHPKSAAEI VQEIESMEKTRMRLEASKLNENVMVFTKNQTEKEIEEVHSEYHAGKQVAQGCVSENKMRS TIVCWQLSGKKHQNAFQLLVDQAKKGYKKTGRVSQAAAMRTDEPAETLPSMRTDEPAETL PSMRTDEPAETLPLMRADEPAETLPSECIAQEDNVSFSDTVSVTNHFPQPQLDSPGPSEP ERPDDSSSCTDILFSSDSDSCNSSSSDIIRDLLEEEEAWEAAHKNDQNREVSPQQTRRTR ASECVEIEPRNKRNKN >ENSMUSP00000117582.1 pep:known chromosome:GRCm38:6:112620831:112675776:-1 gene:ENSMUSG00000030254.16 transcript:ENSMUST00000142079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad18 description:RAD18 E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1890476] AQCDALHPKSAAEIVQEIESMEKTRMRLEASKLNENVMVFTKNQTEKEIEEVHSEYHAGK QVAQGCVSENKMRSTIVCWQLSGKKHQNAFQLLVDQAKKGYKKTGRVSQAAAMRTDEPAE TLPSMRTDEPAETLPSMRTDEPAETLPLMRADEPAETLPSECIAQEDNVSFSDTVSVTNH FPQPQLDSPGPSEPERPDDSSSCTDILFSSDSDSCNRNDQNREVSPQQTRRTRASECVEI E >ENSMUSP00000138558.1 pep:known chromosome:GRCm38:6:112644484:112696633:-1 gene:ENSMUSG00000030254.16 transcript:ENSMUST00000156063.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rad18 description:RAD18 E3 ubiquitin protein ligase [Source:MGI Symbol;Acc:MGI:1890476] MEVLAEPRWPPGLAVMKTIDDLLRCGICFEYFNIAVIIPQCSHNYCSLCIRKFLSYKTQC PTCCVAVTEPDLRNNRLLDELVKSMNFARTHLLQFALESPPISPVSSTSKKVVVKVHNAD AAQHPVKQANRLMDKFLIRETGDCVFELLGKENERKFSPQKELSTSAEIKETSLLGKPVL GLSDANGPVTPSTSTMKLDTKVSCPVCGVSIPENHINKHLDSCLSREEKKESLRSSAHKR KPLPKTVYNLLSDRDLKKKLKQYGLSVQGNKQQLIKRHQEFVHMYNAQCDALHPKSGHGF YKEPNREGN >ENSMUSP00000128781.1 pep:known chromosome:GRCm38:1:20917874:20935749:1 gene:ENSMUSG00000025931.15 transcript:ENSMUST00000167119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr8 description:progestin and adipoQ receptor family member VIII [Source:MGI Symbol;Acc:MGI:1921479] MTTAILERLSTLSMSGQQLRRLPKILEEGLPKMPCTVPETDVPQLFREPYIHAGYRPTGH EWRYYFFSLFQKHNEVVNVWTHLLAALAVLLRFWAFVEAGALQWASPHTLPLLLFILSSI TYLTCSLLAHLLQSKSELSHYTFYFVDYVGVSVYQYGSALAHFFYSSDQAWYELFWIFFL PAAAFCGWLSCAGCCYAKYRYRRPYPVMRKICQVVPAGLAFVLDISPVAHRVALCHLAGC QEQAAWYHTLQILFFLVSAYFFSCPVPEKYFPGSCDIVGHGHQIFHAFLSVCTLSQLEAI LLDYQGRHEIFLQRHGPLSVYSACLSFFVLAACSAATATLLRHKVKDRLIKKDS >ENSMUSP00000141054.1 pep:known chromosome:GRCm38:1:20890606:20939650:1 gene:ENSMUSG00000025931.15 transcript:ENSMUST00000189400.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr8 description:progestin and adipoQ receptor family member VIII [Source:MGI Symbol;Acc:MGI:1921479] MTTAILERLSTLSMSGQQLRRLPKILEEGLPKMPCTVPETDVPQLFREPYIHAGYRPTGH EWRYYFFSLFQKHNEVVNVWTHLLAALAVLLRFWAFVEAGALQWASPHTLPLLLFILSSI TYLTCSLLAHLLQSKSELSHYTFYFVDYVGVSVYQYGSALAHFFYSSDQAWYELFWIFFL PAAAFCGWLSCAGCCYAKYRYRRPYPVMRKICQVVPAGLAFVLDISPVAHRVALCHLAGC QEQAAWYHTLQILFFLVSAYFFSCPVPEKYFPGSCDIVGHGHQIFHAFLSVCTLSQLEAI LLDYQGRHEIFLQRHGPLSVYSACLSFFVLAACSAATATLLRHKVKDRLIKKDS >ENSMUSP00000069127.7 pep:known chromosome:GRCm38:1:20917840:20939055:1 gene:ENSMUSG00000025931.15 transcript:ENSMUST00000068880.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr8 description:progestin and adipoQ receptor family member VIII [Source:MGI Symbol;Acc:MGI:1921479] MTTAILERLSTLSMSGQQLRRLPKILEEGLPKMPCTVPETDVPQLFREPYIHAGYRPTGH EWRYYFFSLFQKHNEVVNVWTHLLAALAVLLRFWAFVEAGALQWASPHTLPLLLFILSSI TYLTCSLLAHLLQSKSELSHYTFYFVDYVGVSVYQYGSALAHFFYSSDQAWYELFWIFFL PAAAFCGWLSCAGCCYAKYRYRRPYPVMRKICQVVPAGLAFVLDISPVAHRVALCHLAGC QEQAAWYHTLQILFFLVSAYFFSCPVPEKYFPGSCDIVGHGHQIFHAFLSVCTLSQLEAI LLDYQGRHEIFLQRHGPLSVYSACLSFFVLAACSAATATLLRHKVKDRLIKKDS >ENSMUSP00000140913.1 pep:known chromosome:GRCm38:1:20922259:20935756:1 gene:ENSMUSG00000025931.15 transcript:ENSMUST00000187651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr8 description:progestin and adipoQ receptor family member VIII [Source:MGI Symbol;Acc:MGI:1921479] MTTAILERLSTLSMSGQQLRRLPKILEEGLPKMPCTVPETDVPQLFREPYIHAGYRPTGH EWRYYFFSLFQKHNEVVNVWTHLLAALAVLLRFWAFVEAGALQWASPHTLPLLLFILSSI TYLTCSLLAHLLQSKSELSHYTFYFVDYVGVSVYQYGSALAHFFYSSDQAWYELFWIFFL PAAAFCGWLSCAGCCYAKYRYRRPYPVMRKICQVVPAGLAFVLDISPVAHRVALCHLAGC QEQAAWYHTLQILFFLVSAYFFSCPVPEKYFPGSCDIVGHGHQIFHAFLSVCTLSQLEAI LLDYQGRHEIFLQRHGPLSVYSACLSFFVLAACSAATATLLRHKVKDRLIKKDS >ENSMUSP00000002808.6 pep:known chromosome:GRCm38:2:76629898:76648015:-1 gene:ENSMUSG00000002731.6 transcript:ENSMUST00000002808.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkra description:protein kinase, interferon inducible double stranded RNA dependent activator [Source:MGI Symbol;Acc:MGI:1344375] MSHSRHRAEAPPLQREDSGTFSLGKMITAKPGKTPIQVLHEYGMKTKNIPVYECERSDVQ VHVPTFTFRVTVGDITCTGEGTSKKLAKHRAAEAAINILKANASICFAVPDPLMPDPSKQ PKNQLNPIGSLQELAIHHGWRLPEYTLSQEGGPAHKREYTTICRLESFMETGKGASKKQA KRNAAEKFLAKFSNISPENHISLTNVVGHSLGCTWHSLRNSPGEKINLLKRSLLSLPNTD YIQLLSEIASEQGFNITYLDIEELSANGQYQCLAELSTSPITVCHGSGISCGNAQSDAAH NALQYLKIIAERK >ENSMUSP00000097543.2 pep:known chromosome:GRCm38:11:90640178:90644661:1 gene:ENSMUSG00000020544.14 transcript:ENSMUST00000099960.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox11 description:cytochrome c oxidase assembly protein 11 [Source:MGI Symbol;Acc:MGI:1917052] MVPVKDRVIKVTFNADVHASLQWNFRPQQTEIYVVPGETALAFYKAKNPTDKPVIGISTY NVVPFEAGQYFNKIQCFCFEEQRLNPQEEVDMPVFFYIDPEFAEDPRMVNVDLITLSYTF FEAKEGHKLPVPGYN >ENSMUSP00000020851.8 pep:known chromosome:GRCm38:11:90638173:90644931:1 gene:ENSMUSG00000020544.14 transcript:ENSMUST00000020851.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox11 description:cytochrome c oxidase assembly protein 11 [Source:MGI Symbol;Acc:MGI:1917052] MGGLWCPGWRLVASCGRGWRQPGWSGRTVVNAELVLRPGWDGLGGAERGLRRLGTWKRPC GVRGPATQPPRRPRSSNPFQRAQEDEWRRRNKTVLTYVAAAAVGMLGASYAAVPLYRLYC QTTGLGGSAVAGHSSDQIENMVPVKDRVIKVTFNADVHASLQWNFRPQQTEIYVVPGETA LAFYKAKNPTDKPVIGISTYNVVPFEAGQYFNKIQCFCFEEQRLNPQEEVDMPVFFYIDP EFAEDPRMVNVDLITLSYTFFEAKEGHKLPVPGYN >ENSMUSP00000120245.1 pep:known chromosome:GRCm38:9:111271929:111279089:1 gene:ENSMUSG00000046785.8 transcript:ENSMUST00000135807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epm2aip1 description:EPM2A (laforin) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1925031] MWMTPKRIRMEVDEALVFRPEWTQRYLVVEPAEGDGALCLVCRRLVASTRERDVRRHYEA EHEFYERFVGDEERAALVERLRQGDMSLAAVLTPEERATRAGLGLCRFLALKGRGWGEGD FVHQCMEVLLREVLPDHVGVLEGIDLSPEITRQRILSIDSNLRSQLFNRARDFKAYSLAL DDQAFVAYENYLLVFIRGVGRDLEVQEDLLTIINLTHHFSVGALMSAILEALQTAGLSLQ RMVGLTTTHTLRMIGENSGLVSYMREKAVSPNCWNVIHYSGFLHLELLSSYDVDINQIIN TISEWVVMIKTRGVRRPEFQPLLTESESEHGERVNGRCLNNWLRRGKTLKLIFSLRKEIE AFLVSVGATTVHFSDKQWLCDFGFLVDIMDYLREISEELQISKVFAAAAFERICTFEGKL SSLQRHMEEVNLTDFPAFSIIVDELKQQFKEDQKIFDPDRYQMVISRLQKDFERHFKDLR FIKKDLELFSNPFSFKPEYAPISVRVELTKLQANTDLWNEYRVKDLGQFYAGLSGEAYPI IKGVAYKVASLFDSNQICDKAFAYLTRNQHTLSQPLTDEHLQALFRVATTEMDPRWDDLV RERNDS >ENSMUSP00000052904.5 pep:known chromosome:GRCm38:9:111271945:111279093:1 gene:ENSMUSG00000046785.8 transcript:ENSMUST00000060711.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epm2aip1 description:EPM2A (laforin) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1925031] MWMTPKRIRMEVDEALVFRPEWTQRYLVVEPAEGDGALCLVCRRLVASTRERDVRRHYEA EHEFYERFVGDEERAALVERLRQGDMSLAAVLTPEERATRAGLGLCRFLALKGRGWGEGD FVHQCMEVLLREVLPDHVGVLEGIDLSPEITRQRILSIDSNLRSQLFNRARDFKAYSLAL DDQAFVAYENYLLVFIRGVGRDLEVQEDLLTIINLTHHFSVGALMSAILEALQTAGLSLQ RMVGLTTTHTLRMIGENSGLVSYMREKAVSPNCWNVIHYSGFLHLELLSSYDVDINQIIN TISEWVVMIKTRGVRRPEFQPLLTESESEHGERVNGRCLNNWLRRGKTLKLIFSLRKEIE AFLVSVGATTVHFSDKQWLCDFGFLVDIMDYLREISEELQISKVFAAAAFERICTFEGKL SSLQRHMEEVNLTDFPAFSIIVDELKQQFKEDQKIFDPDRYQMVISRLQKDFERHFKDLR FIKKDLELFSNPFSFKPEYAPISVRVELTKLQANTDLWNEYRVKDLGQFYAGLSGEAYPI IKGVAYKVASLFDSNQICDKAFAYLTRNQHTLSQPLTDEHLQALFRVATTEMDPRWDDLV RERNDS >ENSMUSP00000112497.1 pep:known chromosome:GRCm38:X:101404384:101419798:-1 gene:ENSMUSG00000031310.16 transcript:ENSMUST00000117901.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym3 description:zinc finger, MYM-type 3 [Source:MGI Symbol;Acc:MGI:1927231] MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPSRGWAPPGPSPSSGALDLL DTPSGLEKDPGGVLDGATELLGLGGLLYKAPSPPEVDHGPEGTLAWDSGEQTLEPGPGCQ TPEVMPPDPGAGASPPSPEGLLEPLAPDSPIILESPHIEEEIPPLATRRRGSPGQEEEHT QGQPQSPNAPPSPSVGETLGDGINSSQSKPGVCTPTAHPSLPGDGLTGKEIEKPPERVQK RSERVRRAEPPKPEVVDSTESIPVSDEDSDAMVDDPNDEDFVPFRPRRSPRMSLRSSMAQ RAGRSSMGTKMSCAHCRTPLQKGQTAYQRKGLPQLFCSSSCLTTYSKKPLGRKTCTFCKK EIWNTKDSVVVQTGPGGSFHEFCTSVCLSLYEAQQQRPIPQSGDPADATRCSICQKTGEV LHEVSNGSVVHRLCSDSCFSKFRANKGLKTNCCDQCGAYIYARPGGLGPELLFHDGQQKR FCNTTCLGAYKKKNTRVYPCVWCKTLCKNFEMLSHVDRNGKTSLFCSLCCTTSYKVKQAG LTGPPRPCSFCRRSLSDPCYYNKVDRTVYQFCSPSCWTKFQHTSPEGGIHLSCHYCHSLF SGKPEVLEWQDQVFQFCCRDCCEDFKRLRGVVSQCEHCRQEKLLHEKLRFSGVEKSFCSE GCVLLYKQDFTKKLGLCCITCTYCSQTCQRGVTEQLDGSTWDFCSEDCKTKYLLWYCKAA RCHACKRQGKLLETIHWRGQIRHFCNQQCLLRFYSQQNQPNLDTQSGPESLLNSQSSESK PQTPSQTKVENNHTVRTPDENGNLGKTPVKRATPSVPTPPPPPPPATPRKNKAAMCKPLM QNRGVSCKAEMKSKGSQTEEWKPQVIVLPIPVPIFVPVPMHLYCQKVPVPFSMPIPVPVP MFLPTTLESTEKIVETIEELKVKIPSNPLEADILAMAEMIAEAEELDKASSDLCDLVSNQ SAEGLLEDCDLFGTARDDVLAMAVKMANVLDEPGQDLEADFPKNPLDINPSVDFLFDCGL VGPEDVSTEQDLPRAMRKGQKRLMLSESCSRDSLSSQPSCTGLNYSYGVNAWKCWVQSKY ANGETSKAKPMRIKEDILACSAAELNYGLAQFVREITRPNGERYEPDSIYYLCLGIQQYL LENNRMVNIFTDLYYLTFVQELNKSLSTWQPTLLPNNTGPGKRKREDETILEQRENRMNP LRCPVKFYEFYLSKCPESLRTRNDVFYLQPERSCIAESPLWYSVIPMDRSMLESMLNRIL AVREIYEELGRPGEEDLD >ENSMUSP00000113225.1 pep:known chromosome:GRCm38:X:101404384:101419914:-1 gene:ENSMUSG00000031310.16 transcript:ENSMUST00000121520.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym3 description:zinc finger, MYM-type 3 [Source:MGI Symbol;Acc:MGI:1927231] MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPSRGWAPPGPSPSSGALDLL DTPSGLEKDPGGVLDGATELLGLGGLLYKAPSPPEVDHGPEGTLAWDSGEQTLEPGPGCQ TPEVMPPDPGAGASPPSPEGLLEPLAPDSPIILESPHIEEEIPPLATRRRGSPGQEEEHT QGQPQSPNAPPSPSVGETLGDGINSSQSKPGVCTPTAHPSLPGDGLTGKEIEKPPERKRS ERVRRAEPPKPEVVDSTESIPVSDEDSDAMVDDPNDEDFVPFRPRRSPRMSLRSSMAQRA GRSSMGTKMSCAHCRTPLQKGQTAYQRKGLPQLFCSSSCLTTYSKKPLGRKTCTFCKKEI WNTKDSVVVQTGPGGSFHEFCTSVCLSLYEAQQQRPIPQSGDPADATRCSICQKTGEVLH EVSNGSVVHRLCSDSCFSKFRANKGLKTNCCDQCGAYIYARPGGLGPELLFHDGQQKRFC NTTCLGAYKKKNTRVYPCVWCKTLCKNFEMLSHVDRNGKTSLFCSLCCTTSYKVKQAGLT GPPRPCSFCRRSLSDPCYYNKVDRTVYQFCSPSCWTKFQHTSPEGGIHLSCHYCHSLFSG KPEVLEWQDQVFQFCCRDCCEDFKRLRGVVSQCEHCRQEKLLHEKLRFSGVEKSFCSEGC VLLYKQDFTKKLGLCCITCTYCSQTCQRGVTEQLDGSTWDFCSEDCKTKYLLWYCKAARC HACKRQGKLLETIHWRGQIRHFCNQQCLLRFYSQQNQPNLDTQSGPESLLNSQSSESKPQ TPSQTKVENNHTVRTPDENGNLGKTPVKRATPSVPTPPPPPPPATPRKNKAAMCKPLMQN RGVSCKAEMKSKGSQTEEWKPQVIVLPIPVPIFVPVPMHLYCQKVPVPFSMPIPVPVPMF LPTTLESTEKIVETIEELKVKIPSNPLEADILAMAEMIAEAEELDKASSDLCDLVSNQSA EGLLEDCDLFGTARDDVLAMAVKMANVLDEPGQDLEADFPKNPLDINPSVDFLFDCGLVG PEDVSTEQDLPRAMRKGQKRLMLSESCSRDSLSSQPSCTGLNYSYGVNAWKCWVQSKYAN GETSKGDELRFGPKPMRIKEDILACSAAELNYGLAQFVREITRPNGERYEPDSIYYLCLG IQQYLLENNRMVNIFTDLYYLTFVQELNKSLSTWQPTLLPNNTVFSRVEEEHLWECKQLG VYSPFVLLNTLMFFNTKFFGLQTAEEHMQLSFTNVVRQSRKCTTPRGTTKVVSIRYYAPV RQRKGRDTGPGKRKREDETILEQRENRMNPLRCPVKFYEFYLSKCPESLRTRNDVFYLQP ERSCIAESPLWYSVIPMDRSMLESMLNRILAVREIYEELGRPGEEDLD >ENSMUSP00000113049.1 pep:known chromosome:GRCm38:X:101404384:101420716:-1 gene:ENSMUSG00000031310.16 transcript:ENSMUST00000120107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym3 description:zinc finger, MYM-type 3 [Source:MGI Symbol;Acc:MGI:1927231] MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPSRGWAPPGPSPSSGALDLL DTPSGLEKDPGGVLDGATELLGLGGLLYKAPSPPEVDHGPEGTLAWDSGEQTLEPGPGCQ TPEVMPPDPGAGASPPSPEGLLEPLAPDSPIILESPHIEEEIPPLATRRRGSPGQEEEHT QGQPQSPNAPPSPSVGETLGDGINSSQSKPGVCTPTAHPSLPGDGLTGKEIEKPPERKRS ERVRRAEPPKPEVVDSTESIPVSDEDSDAMVDDPNDEDFVPFRPRRSPRMSLRSSMAQRA GRSSMGTKMSCAHCRTPLQKGQTAYQRKGLPQLFCSSSCLTTYSKKPLGRKTCTFCKKEI WNTKDSVVVQTGPGGSFHEFCTSVCLSLYEAQQQRPIPQSGDPADATRCSICQKTGEVLH EVSNGSVVHRLCSDSCFSKFRANKGLKTNCCDQCGAYIYARPGGLGPELLFHDGQQKRFC NTTCLGAYKKKNTRVYPCVWCKTLCKNFEMLSHVDRNGKTSLFCSLCCTTSYKVKQAGLT GPPRPCSFCRRSLSDPCYYNKVDRTVYQFCSPSCWTKFQHTSPEGGIHLSCHYCHSLFSG KPEVLEWQDQVFQFCCRDCCEDFKRLRGVVSQCEHCRQEKLLHEKLRFSGVEKSFCSEGC VLLYKQDFTKKLGLCCITCTYCSQTCQRGVTEQLDGSTWDFCSEDCKTKYLLWYCKAARC HACKRQGKLLETIHWRGQIRHFCNQQCLLRFYSQQNQPNLDTQSGPESLLNSQSSESKPQ TPSQTKVENNHTTPVKRATPSVPTPPPPPPPATPRKNKAAMCKPLMQNRGVSCKAEMKSK GSQTEEWKPQVIVLPIPVPIFVPVPMHLYCQKVPVPFSMPIPVPVPMFLPTTLESTEKIV ETIEELKVKIPSNPLEADILAMAEMIAEAEELDKASSDLCDLVSNQSAEGLLEDCDLFGT ARDDVLAMAVKMANVLDEPGQDLEADFPKNPLDINPSVDFLFDCGLVGPEDVSTEQDLPR AMRKGQKRLMLSESCSRDSLSSQPSCTGLNYSYGVNAWKCWVQSKYANGETSKGDELRFG PKPMRIKEDILACSAAELNYGLAQFVREITRPNGERYEPDSIYYLCLGIQQYLLENNRMV NIFTDLYYLTFVQELNKSLSTWQPTLLPNNTVFSRVEEEHLWECKQLGVYSPFVLLNTLM FFNTKFFGLQTAEEHMQLSFTNVVRQSRKCTTPRGTTKVVSIRYYAPVRQRKGRDTGPGK RKREDETILEQRENRMNPLRCPVKFYEFYLSKCPESLRTRNDVFYLQPERSCIAESPLWY SVIPMDRSMLESMLNRILAVREIYEELGRPGEEDLD >ENSMUSP00000068197.3 pep:known chromosome:GRCm38:X:101404384:101420849:-1 gene:ENSMUSG00000031310.16 transcript:ENSMUST00000063577.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym3 description:zinc finger, MYM-type 3 [Source:MGI Symbol;Acc:MGI:1927231] MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPSRGWAPPGPSPSSGALDLL DTPSGLEKDPGGVLDGATELLGLGGLLYKAPSPPEVDHGPEGTLAWDSGEQTLEPGPGCQ TPEVMPPDPGAGASPPSPEGLLEPLAPDSPIILESPHIEEEIPPLATRRRGSPGQEEEHT QGQPQSPNAPPSPSVGETLGDGINSSQSKPGVCTPTAHPSLPGDGLTGKEIEKPPERVQK RSERVRRAEPPKPEVVDSTESIPVSDEDSDAMVDDPNDEDFVPFRPRRSPRMSLRSSMAQ RAGRSSMGTKMSCAHCRTPLQKGQTAYQRKGLPQLFCSSSCLTTYSKKPLGRKTCTFCKK EIWNTKDSVVVQTGPGGSFHEFCTSVCLSLYEAQQQRPIPQSGDPADATRCSICQKTGEV LHEVSNGSVVHRLCSDSCFSKFRANKGLKTNCCDQCGAYIYARPGGLGPELLFHDGQQKR FCNTTCLGAYKKKNTRVYPCVWCKTLCKNFEMLSHVDRNGKTSLFCSLCCTTSYKVKQAG LTGPPRPCSFCRRSLSDPCYYNKVDRTVYQFCSPSCWTKFQHTSPEGGIHLSCHYCHSLF SGKPEVLEWQDQVFQFCCRDCCEDFKRLRGVVSQCEHCRQEKLLHEKLRFSGVEKSFCSE GCVLLYKQDFTKKLGLCCITCTYCSQTCQRGVTEQLDGSTWDFCSEDCKTKYLLWYCKAA RCHACKRQGKLLETIHWRGQIRHFCNQQCLLRFYSQQNQPNLDTQSGPESLLNSQSSESK PQTPSQTKVENNHTVRTPDENGNLGKTPVKRATPSVPTPPPPPPPATPRKNKAAMCKPLM QNRGVSCKAEMKSKGSQTEEWKPQVIVLPIPVPIFVPVPMHLYCQKVPVPFSMPIPVPVP MFLPTTLESTEKIVETIEELKVKIPSNPLEADILAMAEMIAEAEELDKASSDLCDLVSNQ SAEGLLEDCDLFGTARDDVLAMAVKMANVLDEPGQDLEADFPKNPLDINPSVDFLFDCGL VGPEDVSTEQDLPRAMRKGQKRLMLSESCSRDSLSSQPSCTGLNYSYGVNAWKCWVQSKY ANGETSKGDELRFGPKPMRIKEDILACSAAELNYGLAQFVREITRPNGERYEPDSIYYLC LGIQQYLLENNRMVNIFTDLYYLTFVQELNKSLSTWQPTLLPNNTVFSRVEEEHLWECKQ LGVYSPFVLLNTLMFFNTKFFGLQTAEEHMQLSFTNVVRQSRKCTTPRGTTKVVSIRYYA PVRQRKGRDTGPGKRKREDETILEQRENRMNPLRCPVKFYEFYLSKCPESLRTRNDVFYL QPERSCIAESPLWYSVIPMDRSMLESMLNRILAVREIYEELGRPGEEDLD >ENSMUSP00000114005.1 pep:known chromosome:GRCm38:X:101414930:101419809:-1 gene:ENSMUSG00000031310.16 transcript:ENSMUST00000120201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym3 description:zinc finger, MYM-type 3 [Source:MGI Symbol;Acc:MGI:1927231] MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPSRGWAPPGPSPSSGALDLL DTPSGLEKDPGGVLDGATELLGLGGLLYKAPSPPEVDHGPEGTLAWDSGEQTLEPGPGCQ TPEVMPPDPGAGASPPSPEGLLEPLAPDSPIILESPHIEEEIPPLATRRRGSPGQEEEHT QGQPQSPNAPPSPSVGETLGDGINSSQSKPGVCTPTAHPSLPGDGLTGKEIEKPPERVQK RSERVRRAEPPKPEVVDSTESIPVSDEDSDAMVDDPNDEDFVPFRPRRSPRMSLRSSMAQ RAGRSSMGTKMSCAHCRTPLQKGQTAYQRKGLPQLFCSSSCLTTYSKKPLGRKTCTFCKK EIWNTKDSVVVQTGPGGSFHEFCTSVCLSLYEAQQQRPIPQSGDPADATRCSICQKTGEV LHEVSNGSVVHRLCSDSCFSKFRANKGLKTNCCDQCGAYIYARPGGLGPELLFHDGQQKR FCNTTCLGAYKKVGPRE >ENSMUSP00000113462.1 pep:known chromosome:GRCm38:X:101414933:101419841:-1 gene:ENSMUSG00000031310.16 transcript:ENSMUST00000117637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym3 description:zinc finger, MYM-type 3 [Source:MGI Symbol;Acc:MGI:1927231] MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPSRGWAPPGPSPSSGALDLL DTPSGLEKDPGGVLDGATELLGLGGLLYKAPSPPEVDHGPEGTLAWDSGEQTLEPGPGCQ TPEVMPPDPGAGASPPSPEGLLEPLAPDSPIILESPHIEEEIPPLATRRRGSPGQEEEHT QGQPQSPNAPPSPSVGETLGDGINSSQSKPGVCTPTAHPSLPGDGLTGKEIEKPPERVQK RSERVRRAEPPKPEVVDSTESRRSGTPRTQLWYRLVQEAPSMSSAHLSVSLSMRPSSSVQ SPSLGILPMPLAAAYARRLERFFMRSAMAAWYTDSAAILASPNSEPTRD >ENSMUSP00000114118.1 pep:known chromosome:GRCm38:X:101414933:101420685:-1 gene:ENSMUSG00000031310.16 transcript:ENSMUST00000121429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym3 description:zinc finger, MYM-type 3 [Source:MGI Symbol;Acc:MGI:1927231] MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPSRGWAPPGPSPSSGALDLL DTPSGLEKDPGGVLDGATELLGLGGLLYKAPSPPEVDHGPEGTLAWDSGEQTLEPGPGCQ TPEVMPPDPGAGASPPSPEGLLEPLAPDSPIILESPHIEEEIPPLATRRRGSPGQEEEHT QGQPQSPNAPPSPSVGETLGDGINSSQSKPGVCTPTAHPSLPGDGLTGKEIEKPPERVQK RSERVRRAEPPKPEVVDSTESIPVSDEDSDAMVDDPNDEDFVPFRPRRSPRMSLRSSMAQ RAGRSSMGTKMSCAHCRTPLQKGQTAYQRKGLPQLFCSSSCLTTYSKKPLGRKTCTFCKK EIWNTKDSVVVQTGPGGSFHEFCTSVCLSLYEAQQQRPIPQSGDPADATRCSICQKTGEV LHEVSNGSVVHRLCSDSCFSKFRANKGLKTNCCDQCGAYIYARPGGLGPELLFHDGQQKR FCNTTCLGAYKKVGPRE >ENSMUSP00000112399.1 pep:known chromosome:GRCm38:X:101414938:101420216:-1 gene:ENSMUSG00000031310.16 transcript:ENSMUST00000118092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym3 description:zinc finger, MYM-type 3 [Source:MGI Symbol;Acc:MGI:1927231] MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPSRGWAPPGPSPSSGALDLL DTPSGLEKDPGGVLDGATELLGLGGLLYKAPSPPEVDHGPEGTLAWDSGEQTLEPGPGCQ TPEVMPPDPGAGASPPSPEGLLEPLAPDSPIILESPHIEEEIPPLATRRRGSPGQEEEHT QGQPQSPNAPPSPSVGETLGDGINSSQSKPGVCTPTAHPSLPGDGLTGKEIEKPPERVQK RSERVRRAEPPKPEVVDSTESRRSGTPRTQLWYRLVQEAPSMSSAHLSVSLSMRPSSSVQ SPSLGILPMPLAAAYARRLERFFMRSAMAAWYTDSAAILASPNSEPTRD >ENSMUSP00000112475.1 pep:known chromosome:GRCm38:X:101414958:101420364:-1 gene:ENSMUSG00000031310.16 transcript:ENSMUST00000119699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym3 description:zinc finger, MYM-type 3 [Source:MGI Symbol;Acc:MGI:1927231] MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPSRGWAPPGPSPSSGALDLL DTPSGLEKDPGGVLDGATELLGLGGLLYKAPSPPEVDHGPEGTLAWDSGEQTLEPGPGCQ TPEVMPPDPGAGASPPSPEGLLEPLAPDSPIILESPHIEEEIPPLATRRRGSPGQEEEHT QGQPQSPNAPPSPSVGETLGDGINSSQSKPGVCTPTAHPSLPGDGLTGKEIEKPPERKRS ERVRRAEPPKPEVVDSTESIPVSDEDSDAMVDDPNDEDFVPFRPRRSPRMSLRSSMAQRA GRSSMGTKMSCAHCRTPLQKGQTAYQRKGLPQLFCSSSCLTTYSKKPLGRKTCTFCKKEI WNTKDSVVVQTGPGGSFHEFCTSVCLSLYEAQQQRPIPQSGDPADATRCSICQKTGEVLH EVSNGSVVHRLCSDSCFSKFRANKGLKTNCCDQCGAYIYARPGGLGPELLFHDGQQKRFC NTTCLGAYKKVGPRE >ENSMUSP00000118986.1 pep:known chromosome:GRCm38:X:101416383:101419860:-1 gene:ENSMUSG00000031310.16 transcript:ENSMUST00000134005.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym3 description:zinc finger, MYM-type 3 [Source:MGI Symbol;Acc:MGI:1927231] MDPSDFPSPFDPLTLPEKPLAGDLPVDMEFGEDLLESQTAPSRGWAPPGDGLTGKEIEKP PERVQKRSERVRRAEPPKPEVVDSTESIPVSDEDSDAMVDDPNDEDFVPFRPRR >ENSMUSP00000020372.5 pep:known chromosome:GRCm38:10:80402997:80406830:-1 gene:ENSMUSG00000020163.12 transcript:ENSMUST00000020372.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcr11 description:ubiquinol-cytochrome c reductase, complex III subunit XI [Source:MGI Symbol;Acc:MGI:1913844] MLSRFLGPRYRELARNWIPTAGMWGTVGAVGLVWATDWRLILDWVPYINGKFKKDD >ENSMUSP00000109595.1 pep:known chromosome:GRCm38:6:83051266:83054442:-1 gene:ENSMUSG00000068329.12 transcript:ENSMUST00000113962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra2 description:HtrA serine peptidase 2 [Source:MGI Symbol;Acc:MGI:1928676] MAALKAGRGANWSLRAWRALGGIFWRKPPLLAPDLRALLTSGTPDSQIWMTYGTPSLPAQ VPEGFLASRADLTSRTPDLWARLNVGTSGSSDQEARRSPGSRRREWLAVAVGAGGAVVLL LWGWGRGLSTVLAAVPAPPPTSPRSQYNFIADVVEKTAPAVVYIEILDRHPFSGREVPIS NGSGFVVASDGLIVTNAHVVADRRRVRVRLPSGDTYEAMVTAVDPVADIATLRIQTKFGN SGGPLVNLDGEVIGVNTMKVTAGISFAIPSDRLREFLHRGEKKNSWFGTSGSQRRYIGVM MLTLTPRAGLRPGDVILAIGEKLAQNAEDVYEAVRTQSQLAVRIRRGSETLTLYVTPEVT E >ENSMUSP00000087073.6 pep:known chromosome:GRCm38:6:83051266:83054571:-1 gene:ENSMUSG00000068329.12 transcript:ENSMUST00000089645.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra2 description:HtrA serine peptidase 2 [Source:MGI Symbol;Acc:MGI:1928676] MAALKAGRGANWSLRAWRALGGIFWRKPPLLAPDLRALLTSGTPDSQIWMTYGTPSLPAQ VPEGFLASRADLTSRTPDLWARLNVGTSGSSDQEARRSPGSRRREWLAVAVGAGGAVVLL LWGWGRGLSTVLAAVPAPPPTSPRSQYNFIADVVEKTAPAVVYIEILDRHPFSGREVPIS NGSGFVVASDGLIVTNAHVVADRRRVRVRLPSGDTYEAMVTAVDPVADIATLRIQTKEPL PTLPLGRSADVRQGEFVVAMGSPFALQNTITSGIVSSAQRPARDLGLPQNNVEYIQTDAA IDFGNSGGPLVNLDGEVIGVNTMKVTAGISFAIPSDRLREFLHRGEKKNSWFGTSGSQRR YIGVMMLTLTPSILIELQLREPSFPDVQHGVLIHKVILGSPAHRAGLRPGDVILAIGEKL AQNAEDVYEAVRTQSQLAVRIRRGSETLTLYVTPEVTE >ENSMUSP00000109596.1 pep:known chromosome:GRCm38:6:83051266:83054571:-1 gene:ENSMUSG00000068329.12 transcript:ENSMUST00000113963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra2 description:HtrA serine peptidase 2 [Source:MGI Symbol;Acc:MGI:1928676] MAALKAGRGANWSLRAWRALGGIFWRKPPLLAPDLRALLTSGTPDSQIWMTYGTPSLPAQ VPEGFLASRADLTSRTPDLWARLNVGTSGSSDQEARRSPGSRRREWLAVAVGAGGAVVLL LWGWGRGLSTVLAAVPAPPPTSPRSQYNFIADVVEKTAPAVVYIEILDRHPFSGREVPIS NGSGFVVASDGLIVTNAHVVADRRRVRVRLPSGDTYEAMVTAVDPVADIATLRIQTKEPL PTLPLGRSADVRQGEFVVAMGSPFALQNTITSGIVSSAQRPARDLGLPQNNVEYIQTDAA IDFGNSGGPLVNLDGEVIGVNTMKVTAGISFAIPSDRLREFLHRGEKKNSWFGTSGSQRR YIGVMMLTLTPRAGLRPGDVILAIGEKLAQNAEDVYEAVRTQSQLAVRIRRGSETLTLYV TPEVTE >ENSMUSP00000138153.1 pep:known chromosome:GRCm38:6:83051280:83054571:-1 gene:ENSMUSG00000068329.12 transcript:ENSMUST00000122955.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Htra2 description:HtrA serine peptidase 2 [Source:MGI Symbol;Acc:MGI:1928676] MAALKAGRGANWSLRAWRALGGIFWRKPPLLAPDLRALLTSGTPDSQIWMTYGTPSLPAQ VPEGFLASRADLTSRTPDLWARLNVGTSGSSDQEARRSPGSRRREWLAVAVGAGGAVVLL LWGWGRGLSTVLAAVPAPPPTSPRSQYNFIADVVEKTAPAVVYIEILDRHPFSGREVPIS NGSGFVVASDGLIVTNAHVVADRRRVRVRLPSGDTYEAMVTAVDPVADIATLRIQTKEPL PTLPLGRSADVRQGEFVVAMGSPFALQNTITSGIVSSAQRPARDLGLPQNNVEYIQTDAA IDFGNSGGPLVNLIPGLEPVGPSAATLE >ENSMUSP00000138148.1 pep:known chromosome:GRCm38:6:83051387:83053686:-1 gene:ENSMUSG00000068329.12 transcript:ENSMUST00000132099.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Htra2 description:HtrA serine peptidase 2 [Source:MGI Symbol;Acc:MGI:1928676] XDTYEAMVTAVDPVADIATLRIQTKEPLPTLPLGRSADVRQGEFVVAMGSPFALQNTITS GIVSSAQRPARDLGLPQNNVEYIQTDAAIDFGNSGGPLVNLGVSASRGKEKFLVWNQWVP APLHWSDDADPDSQHPY >ENSMUSP00000115547.1 pep:known chromosome:GRCm38:6:83051477:83053837:-1 gene:ENSMUSG00000068329.12 transcript:ENSMUST00000134606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra2 description:HtrA serine peptidase 2 [Source:MGI Symbol;Acc:MGI:1928676] GYFPHPRHPFSGREVPISNGSGFVVASDGLIVTNAHVVADRRRVRVRLPSGDTYEAMVTA VDPVADIATLRIQTKEPLPTLPLGRSADVRQGEFVVAMGSPFALQNTITSGIVSSAQRPA RDLGLPQNNVEYIQTDAAIDFGNSGGPLVNLDGEVIGVNTMKVTAGISFAIPSDRLREFL HRGEKKNSWFGTSGSQRRYIGVMMLTLTPSILIELQLREPSFPDVQHGVLIHKVILGSPA HRAGLRPGDVILAIGEKLAQNAEDVYEAVRTQSQLAVRIRRGSETLTLYVTPEV >ENSMUSP00000118234.1 pep:known chromosome:GRCm38:6:83051749:83054037:-1 gene:ENSMUSG00000068329.12 transcript:ENSMUST00000150217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htra2 description:HtrA serine peptidase 2 [Source:MGI Symbol;Acc:MGI:1928676] XVLAAVPAPPPTSPRSQYNFIADVVEKTAPAVVYIEILDRHPFSGREVPISNGSGFVVAS DGLIVTNAHVVADRRRVRVRLPSGDTYEAMVTAVDPVADIATLRIQTKEPLPTLPLGRSA DVRQGEFVVAMGSPFALQNTITSGIVSSAQRPARDLGLPQNNVEYIQTDAAIDFGNSGGP LVNLVSVRVLLRIHAPDSWFGTSGSQRRYIGVMMLTLTPSILIELQLREPSFPDVQHGVL IHKVILGSP >ENSMUSP00000132673.1 pep:known chromosome:GRCm38:1:86703819:87050095:1 gene:ENSMUSG00000053333.15 transcript:ENSMUST00000168237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dis3l2 description:DIS3 like 3'-5' exoribonuclease 2 [Source:MGI Symbol;Acc:MGI:2442555] MNHPDYKLNLRSPGTPRGVSSVVGPSAVGASPGDKKSKNKSMRGKKKSIFETYMSKEDVS EGLKRGTLIQGVLRINPKKFHEAFIPSPDGDRDIFIDGVVARNRALNGDLVVVKLLPEDQ WKPRITLSLPGVLGLQAVKPESNDKEIEATYEADIPEEGCGHHPLQQSRKGWSGPDVIIE AQFDDSDSEDRHGNTSGLVDGVKKLSISTPDRGKEDSSTPVMKDENTPIPQDTRGLSEKS LQKSAKVVYILEKKHSRAATGILKLLADKNSDLFKKYALFSPSDHRVPRIYVPLKDCPQD FMTRPKDFANTLFICRIIDWKEDCNFALGQLAKSLGQAGEIEPETEGILTEYGVDFSDFS SEVLECLPQSLPWTIPPDEVGKRRDLRKDCIFTIDPSTARDLDDALACRRLTDGTFEVGV HIADVSYFVPEGSSLDKVAAERATSVYLVQKVVPMLPRLLCEELCSLNPMTDKLTFSVIW KLTPEGKILEEWFGRTIIRSCTKLSYDHAQSMIENPTEKIPEEELPPISPEHSVEEVHQA VLNLHSIAKQLRRQRFVDGALRLDQLKLAFTLDHETGLPQGCHIYEYRDSNKLVEEFMLL ANMAVAHKIFRTFPEQALLRRHPPPQTKMLSDLVEFCDQMGLPMDVSSAGALNKSLTKTF GDDKYSLARKEVLTNMYSRPMQMALYFCSGMLQDQEQFRHYALNVPLYTHFTSPIRRFAD VIVHRLLAAALGYSEQPDVEPDTLQKQADHCNDRRMASKRVQELSIGLFFAVLVKESGPL ESEAMVMGVLNQAFDVLVLRFGVQKRIYCNALALRSYSFQKVGKKPELTLVWEPDDLEEE PTQQVITIFSLVDVVLQAEATALKYSAILKRPGLEKASDEEPED >ENSMUSP00000139579.1 pep:known chromosome:GRCm38:1:86703828:87049195:1 gene:ENSMUSG00000053333.15 transcript:ENSMUST00000190618.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dis3l2 description:DIS3 like 3'-5' exoribonuclease 2 [Source:MGI Symbol;Acc:MGI:2442555] MNHPDYKLNLRSPGTPRGVSSVVGPSAVGASPGDKKSKNKSMRGKKKSIFETYMSKEDVS EGLKRGTLIQGVLRINPKKFHEAFIPSPDGDRDIFIDGVVARNRALNGDLVVVKLLPEDQ WKS >ENSMUSP00000070506.6 pep:known chromosome:GRCm38:1:86703843:87050092:1 gene:ENSMUSG00000053333.15 transcript:ENSMUST00000065694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dis3l2 description:DIS3 like 3'-5' exoribonuclease 2 [Source:MGI Symbol;Acc:MGI:2442555] MNHPDYKLNLRSPGTPRGVSSVVGPSAVGASPGDKKSKNKSMRGKKKSIFETYMSKEDVS EGLKRGTLIQGVLRINPKKFHEAFIPSPDGDRDIFIDGVVARNRALNGDLVVVKLLPEDQ WKAVKPESNDKEIEATYEADIPEEGCGHHPLQQSRKGWSGPDVIIEAQFDDSDSEDRHGN TSGLVDGVKKLSISTPDRGKEDSSTPVMKDENTPIPQDTRGLSEKSLQKSAKVVYILEKK HSRAATGILKLLADKNSDLFKKYALFSPSDHRVPRIYVPLKDCPQDFMTRPKDFANTLFI CRIIDWKEDCNFALGQLAKSLGQAGEIEPETEGILTEYGVDFSDFSSEVLECLPQSLPWT IPPDEVGKRRDLRKDCIFTIDPSTARDLDDALACRRLTDGTFEVGVHIADVSYFVPEGSS LDKVAAERATSVYLVQKVVPMLPRLLCEELCSLNPMTDKLTFSVIWKLTPEGKILEEWFG RTIIRSCTKLSYDHAQSMIENPTEKIPEEELPPISPEHSVEEVHQAVLNLHSIAKQLRRQ RFVDGALRLDQLKLAFTLDHETGLPQGCHIYEYRDSNKLVEEFMLLANMAVAHKIFRTFP EQALLRRHPPPQTKMLSDLVEFCDQMGLPMDVSSAGALNKSLTKTFGDDKYSLARKEVLT NMYSRPMQMALYFCSGMLQDQEQFRHYALNVPLYTHFTSPIRRFADVIVHRLLAAALGYS EQPDVEPDTLQKQADHCNDRRMASKRVQELSIGLFFAVLVKESGPLESEAMVMGVLNQAF DVLVLRFGVQKRIYCNALALRSYSFQKVGKKPELTLVWEPDDLEEEPTQQVITIFSLVDV VLQAEATALKYSAILKRPGLEKASDEEPED >ENSMUSP00000113846.1 pep:known chromosome:GRCm38:11:73485887:73491477:-1 gene:ENSMUSG00000072708.2 transcript:ENSMUST00000119863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr381 description:olfactory receptor 381 [Source:MGI Symbol;Acc:MGI:3030215] MIMNNKTVITQFILLGLPILPEYQHLFYALFLSMYLTTILGNLIIIILIQLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLLNMQSQDTSIPYAGCLTQMYFSNLFGSLEIFLLVIMAY DRYAAICLPLHYTSIMSPKLCVCLVLLSWVISMLYSMLHTLLLARLSFCEDNVIPHFFCD ISALLKLACSDIHINELMIFFLGGPLTVIPFLLIVVSYIQIVFSILKISSTRAIHKVFST CGSHLSVVSLFYGTIIGLYLCPSANNFSVKKASITMMYTVVTPMLNPFIYSLRNRDIKEA LVRVLIKKISL >ENSMUSP00000077467.1 pep:known chromosome:GRCm38:11:73485887:73486822:-1 gene:ENSMUSG00000072708.2 transcript:ENSMUST00000078358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr381 description:olfactory receptor 381 [Source:MGI Symbol;Acc:MGI:3030215] MIMNNKTVITQFILLGLPILPEYQHLFYALFLSMYLTTILGNLIIIILIQLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLLNMQSQDTSIPYAGCLTQMYFSNLFGSLEIFLLVIMAY DRYAAICLPLHYTSIMSPKLCVCLVLLSWVISMLYSMLHTLLLARLSFCEDNVIPHFFCD ISALLKLACSDIHINELMIFFLGGPLTVIPFLLIVVSYIQIVFSILKISSTRAIHKVFST CGSHLSVVSLFYGTIIGLYLCPSANNFSVKKASITMMYTVVTPMLNPFIYSLRNRDIKEA LVRVLIKKISL >ENSMUSP00000091575.1 pep:known chromosome:GRCm38:11:73516259:73517197:-1 gene:ENSMUSG00000095312.1 transcript:ENSMUST00000092921.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr382 description:olfactory receptor 382 [Source:MGI Symbol;Acc:MGI:3030216] MIMNNKTVISQFILLGLPIPQEYQHLYYALFLAMYLTTVLGNLIIIILIILDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNMQSQDTSISYAGCLTQMYFLLVFGDLESILLLVMAY DRYVAVCFPLHYMSIMSPTLCVCLLVLSWVFTVLYSMLHTLLLSRLSFCEDNLIHHFFCD ISALLKLACSDIHINELMIFIMGGLVSIIPFLLIVVSYIQIVYSILKISSAHVLHKIFST CGSHLSVVSLFYGTIFALYLCPSANNSTVKEISMAMMCTVVTPMLNPFIYSLRNRDMRDA LFGVLGKKKISL >ENSMUSP00000034567.3 pep:known chromosome:GRCm38:9:50634633:50659780:-1 gene:ENSMUSG00000000168.9 transcript:ENSMUST00000034567.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlat description:dihydrolipoamide S-acetyltransferase (E2 component of pyruvate dehydrogenase complex) [Source:MGI Symbol;Acc:MGI:2385311] MWRVCARRARSAVPRDGFRARWAALKEGPGAPCGSPRIGPAAVRCGSGIPRYGVRSLCGW SSGSGTVPRNRLLRQLLGSPSRRSYSLPPHQKVPLPSLSPTMQAGTIARWEKKEGEKISE GDLIAEVETDKATVGFESLEECYMAKILVPEGTRDVPVGSIICITVEKPQDIEAFKNYTL DLAAAAAPQAAPAAAPAPAAAPAAPSASAPGSSYPTHMQIVLPALSPTMTMGTVQRWEKK VGEKLSEGDLLAEIETDKATIGFEVQEEGYLAKILVPEGTRDVPLGAPLCIIVEKQEDIA AFADYRPTEVTSLKPQAAPPAPPPVAAVPPTPQPVAPTPSAAPAGPKGRVFVSPLAKKLA AEKGIDLTQVKGTGPEGRIIKKDIDSFVPSKAAPAAAAAMAPPGPRVAPAPAGVFTDIPI SNIRRVIAQRLMQSKQTIPHYYLSVDVNMGEVLLVRKELNKMLEGKGKISVNDFIIKASA LACLKVPEANSSWMDTVIRQNHVVDVSVAVSTPAGLITPIVFNAHIKGLETIASDVVSLA SKAREGKLQPHEFQGGTFTISNLGMFGIKNFSAIINPPQACILAIGASEDKLIPADNEKG FDVASVMSVTLSCDHRVVDGAVGAQWLAEFKKYLEKPITMLL >ENSMUSP00000055334.1 pep:known chromosome:GRCm38:11:87888959:87889894:-1 gene:ENSMUSG00000093839.1 transcript:ENSMUST00000049743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr462 description:olfactory receptor 462 [Source:MGI Symbol;Acc:MGI:3030296] MEPGNRTWVSEFVFLGFSEIWELQVFLFVVFLCVYSTTVVGNLLIIVTVSSDPRLHTPMY FLLRNLAVLDLCFSSVTAPKMLVDFLSEKKTISYRGCMAQVFFFHFLGGAMVFFLSVMAY DRLVAISRPLHYVTIMNTQHCVVLVVTAWIVGFVHSIVQLSLMLPLPFCGPNVLDNFYCD VPQVLRLACTDTSLLEFLMISNSGMLDVIWFFLLLISYLVILVMLRSHSGEARRKAASTC TTHIIVVSMIFIPSIYLYARPFTPFTMDKAVSISHTVMTPMLNPMIYTLRNQEMQAALKR LGMHLLVCRKE >ENSMUSP00000145342.1 pep:known chromosome:GRCm38:6:141524368:141569704:1 gene:ENSMUSG00000030235.17 transcript:ENSMUST00000204998.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slco1c1 description:solute carrier organic anion transporter family, member 1c1 [Source:MGI Symbol;Acc:MGI:1889679] MDTSSKENAHLFHKNSAQPAGGPSFTVGYPSTEEARPCCGKLKVQL >ENSMUSP00000138093.1 pep:known chromosome:GRCm38:6:141524386:141569720:1 gene:ENSMUSG00000030235.17 transcript:ENSMUST00000135562.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slco1c1 description:solute carrier organic anion transporter family, member 1c1 [Source:MGI Symbol;Acc:MGI:1889679] MDTSSKENAHLFHKNSAQPAGGPSFTVGYPSTEEARPCCGKLKVFLGALSFVYFAKALAE GYLKSTVTQIERRFEIPSSLVGIIDGSFEIGNLLVITFVSYFGAKLHRPKIIGAGCLVMG FGTMLIAVPQFFMEKYSYEKYERYSPSSNVTPSISPCYLESSSPSPSSILGKSQNKISHE CVGDSSSSMWVYVFLGNLLRGLGETPIQPLGIAYLDDFASEDNAAFYIGCVQTVAIIGPI FGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGFLSLLAAVPFWCLPK TLPRSQSRENSGSTSEKSKFIDDPIHYQMAPGDDKMKIMEMAKDFLPSLKTLFRNPVYIL YLCASTVQFNSLFGMVTYKPKYIEQQYGQSSSKANFVIGLINIPAVALGIFSGGIVMKKF RLGICEATKLYLGSSVFGYLLFLSLFALGCENSSVAGLTVSYQGLQLKM >ENSMUSP00000032362.9 pep:known chromosome:GRCm38:6:141524386:141570177:1 gene:ENSMUSG00000030235.17 transcript:ENSMUST00000032362.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1c1 description:solute carrier organic anion transporter family, member 1c1 [Source:MGI Symbol;Acc:MGI:1889679] MDTSSKENAHLFHKNSAQPAGGPSFTVGYPSTEEARPCCGKLKVFLGALSFVYFAKALAE GYLKSTVTQIERRFEIPSSLVGIIDGSFEIGNLLVITFVSYFGAKLHRPKIIGAGCLVMG FGTMLIAVPQFFMEKYSYEKYERYSPSSNVTPSISPCYLESSSPSPSSILGKSQNKISHE CVGDSSSSMWVYVFLGNLLRGLGETPIQPLGIAYLDDFASEDNAAFYIGCVQTVAIIGPI FGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGFLSLLAAVPFWCLPK TLPRSQSRENSGSTSEKSKFIDDPIHYQMAPGDDKMKIMEMAKDFLPSLKTLFRNPVYIL YLCASTVQFNSLFGMVTYKPKYIEQQYGQSSSKANFVIGLINIPAVALGIFSGGIVMKKF RLGICEATKLYLGSSVFGYLLFLSLFALGCENSSVAGLTVSYQGTKPVSYHERALFSDCN SRCKCSDSKWEPMCGDNGITYVSACLAGCQSSSRSGKNIIFSNCTCVGFAAPKSGNWSGM MGRCQKDNGCSQMFLYFLVISVITSYTLSLGGIPGYILLLRCIQPQLKSFALGIYTLAVR VLAGIPAPVYFGVLIDTSCLKWGFKKCGSRGSCRLYDSHAFRHIYLGLTTLLGTVSVFLS MAVLFVLKKKYVSKHSSLITTREKIGMSSSIKKETCAARDRGLQPKYWPGKETRL >ENSMUSP00000144889.1 pep:known chromosome:GRCm38:6:141524399:141569796:1 gene:ENSMUSG00000030235.17 transcript:ENSMUST00000205214.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1c1 description:solute carrier organic anion transporter family, member 1c1 [Source:MGI Symbol;Acc:MGI:1889679] MDTSSKENAHLFHKNSAQPAGGPSFTVGYPSTEEARPCCGKLKVFLGALSFVYFAKALAE GYLKSTVTQIERRFEIPSSLVGIIDGSFEIGNLLVITFVSYFGAKLHRPKIIGAGCLVMG FGTMLIAVPQFFMEKYSYEKYERYSPSSNVTPSISPCYLESSSPSPSSILGKSQNKISHG CVQTVAIIGPIFGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGFLSL LAAVPFWCLPKTLPRSQSRENSGSTSEKSKFIDDPIHYQMAPGDDKMKIMEMAKDFLPSL KTLFRNPVYILYLCASTVQFNSLFGMVTYKPKYIEQQYGQSSSKANFVIGLINIPAVALG IFSGGIVMKKFRLGICEATKLYLGSSVFGYLLFLSLFALGCENSSVAGLTVSYQGTKPVS YHERALFSDCNSRCKCSDSKWEPMCGDNGITYVSACLAGCQSSSRSGKNIIFSNCTCVGF AAPKSGNWSGMMGRCQKDNGCSQMFLYFLVISVITSYTLSLGGIPGYILLLRCIQPQLKS FALGIYTLAVRVLAGIPAPVYFGVLIDTSCLKWGFKKCGSRGSCRLYDSHAFRHIYLGLT TLLGTVSVFLSMAVLFVLKKKYVSKHSSLITTREKIGMSSSIKKETCAARDRGLQPKYWP GKETRL >ENSMUSP00000145058.1 pep:known chromosome:GRCm38:6:141524535:141570113:1 gene:ENSMUSG00000030235.17 transcript:ENSMUST00000203140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1c1 description:solute carrier organic anion transporter family, member 1c1 [Source:MGI Symbol;Acc:MGI:1889679] MGFGTMLIAVPQFFMEKYSYEKYERYSPSSNVTPSISPCYLESSSPSPSSILGKSQNKIS HECVGDSSSSMWVYVFLGNLLRGLGETPIQPLGIAYLDDFASEDNAAFYIGCVQTVAIIG PIFGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGFLSLLAAVPFWCL PKTLPRSQSRENSGSTSEKSKFIDDPIHYQMAPGDDKMKIMEMAKDFLPSLKTLFRNPVY ILYLCASTVQFNSLFGMVTYKPKYIEQQYGQSSSKANFVIGLINIPAVALGIFSGGIVMK KFRLGICEATKLYLGSSVFGYLLFLSLFALGCENSSVAGLTVSYQGTKPVSYHERALFSD CNSRCKCSDSKWEPMCGDNGITYVSACLAGCQSSSRSGKNIIFSNCTCVGFAAPKSGNWS GMMGRCQKDNGCSQMFLYFLVISVITSYTLSLGGIPGYILLLRCIQPQLKSFALGIYTLA VRVLAGIPAPVYFGVLIDTSCLKWGFKKCGSRGSCRLYDSHAFRYSAKHTHVALCSYSSF SATS >ENSMUSP00000106303.1 pep:known chromosome:GRCm38:2:121093565:121109795:-1 gene:ENSMUSG00000079103.2 transcript:ENSMUST00000110675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgm7 description:transglutaminase 7 [Source:MGI Symbol;Acc:MGI:2151164] MIDSNSLQVSIFSPASAVIGHYTLKLEISQGQGPGVTYPLGNFILLFNPWSTEDDVYLPS ETLLREYIMSDYGFIYKGQTNSITSRPWNYGQFEEDIVDICFEILNKSLYFLKNPSKDHS QRNNVVYVCRVVSAMINSNDDSGVLQGNWGEDYSQGTSPLEWNGSVAILRQWSAKGGQPV KYGQCWVFASVMCTVMRCLGVPTRVVSNFHSAHNTDGNLTIDTYYDRTAEMLTAQRPDKI WNFHVWNECWMIRKDLPPGYNGWQVLDPTPQQTSSGLFCCGPASVKAIKEGDVQLPYDTP FVYAEVNADEVVWLFEGGQVQEILAHNTSYIGKAISTKMVGSDERLDITSSYKYPEGSSE ERSAFIKASWKLLEPRRNASSLLDLLGSENLKAKPVRLQLHLVRAPMWGQDLPLMLYVQR VPVRDHVQGSVGLTVRFCAQALLHGGGTRKPFWRHIVHLNVDFEKELQWPFLLPYSSYRD KLTDERLIRVAGIAEVEETGQFILVLKDFSLEPPHLSIEVFERAEVGKALDIHITLTNTL MVPLNNCTMVLEGSGLINGQMTKDLGTLMAGHTIRIHLELYPIKAGPHQLQVLISSSEVK EIKGYKDILVAAAPAS >ENSMUSP00000080146.1 pep:known chromosome:GRCm38:11:87892987:87893922:-1 gene:ENSMUSG00000093920.1 transcript:ENSMUST00000081417.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr463 description:olfactory receptor 463 [Source:MGI Symbol;Acc:MGI:3030297] MEPGNLTWVSEFVFLGFSEIWELQVFLFVVFLCVYSTTVVGNLLIIVTVSSDPRLHTPMY FLLRNLAVLDLCFSSVTAPKMLVDFLSEKKTISYRGCMVQIFFFHFLGGAMVFFLSVMAY DRLVAISRPLHYVTIMNSQLCMGLVVASWVGGFAHSIVQLSLMLPLPFCGPNVLDNFYCD VPQVLRLACMDTSLLEFLMISNSGMLDVIWFFLLLISYLVILVMLRSHSGEARRKAASTC TTHIIVVSMIFIPSIYLYARPFTPFTMDKAVSISHTVMTPMLNPMIYTLRNQEMQAAMKR LAKRLALCNRE >ENSMUSP00000052871.4 pep:known chromosome:GRCm38:1:39618406:39651474:-1 gene:ENSMUSG00000050967.5 transcript:ENSMUST00000053355.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creg2 description:cellular repressor of E1A-stimulated genes 2 [Source:MGI Symbol;Acc:MGI:1928333] MSLSGRERPAWPGSRLSWLLCCSALLSPAAGYVIVSSVSWAVTNEVDEELDSASTEEALP ALLEDSSSIWQQSFPASAHKEDTHLRPRGSARARPAPAARGMFSYRRESGSSEASPGPRV HAGTARSLAHASSWGCLATVSTHEKIQGLPFGSCLAISDGPVHNSTGIPFFYMTAKDPAV ADLVKNPTASLVLPESEGEFCRKNIVDPEDPRCARLTLTGRMVTVPPGEVEFAKQAMFSR HPGMRKWPRQYEWFFMKMWVEHIWLQKWYGGVSDIPREEYFKAAPRKA >ENSMUSP00000037609.9 pep:known chromosome:GRCm38:5:125441568:125460370:1 gene:ENSMUSG00000037905.13 transcript:ENSMUST00000049040.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bri3bp description:Bri3 binding protein [Source:MGI Symbol;Acc:MGI:1924059] MGARASQEPRTRVRAGLRVLLPVLLLALLLLALVAPGAQGARGRGAADKNSHRRATSSFS QSVSSLFGEDNVRAAQKLLSRLTERFVQGVDMFLETLWKVWMELLEVLGLDVSNLSQYFS PASVSNSPTRALVLVGVVLLAYWFLSLTLGFTFSLLHLVFGRFFWLVRVILFSMSCVYIL HKYEGEPEHAVLPLCVVVAIYFMTGPMGYWRGSPGGLCSPSVEEKLEHLENQVRLLNIRL NRVLENLDRSKDK >ENSMUSP00000143530.1 pep:known chromosome:GRCm38:5:125441750:125454726:1 gene:ENSMUSG00000037905.13 transcript:ENSMUST00000198811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bri3bp description:Bri3 binding protein [Source:MGI Symbol;Acc:MGI:1924059] XLALVAPGAQGARGRGAADKNSHRRATSSFSQSVSSLFGEDNVRAAQKLLSRLTERFVQG VDMFLETLWKVWMELLEVLGLDDNGGRACSDGDLGHLEPLEARRGQKRLPRVLTQCPTCH STSAQPQCPTAPPGPWCWLVWFSWPTGSCL >ENSMUSP00000118521.1 pep:known chromosome:GRCm38:6:122505845:122515375:1 gene:ENSMUSG00000030116.14 transcript:ENSMUST00000125728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap5 description:microfibrillar associated protein 5 [Source:MGI Symbol;Acc:MGI:1354387] MLFLGQKALLLVLAISIPSDWLPLGVSG >ENSMUSP00000032210.8 pep:known chromosome:GRCm38:6:122513583:122528766:1 gene:ENSMUSG00000030116.14 transcript:ENSMUST00000032210.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap5 description:microfibrillar associated protein 5 [Source:MGI Symbol;Acc:MGI:1354387] MLFLGQKALLLVLAISIPSDWLPLGVSGQRGDDVPETFTDDPNLVNDPSTDDTAGDKNAT AECRDEKFACTRLYSVHRPVRQCVHQSCFTSLRRMYIINNEICSRLVCKEHEAMKDELCR QMAGLPPRRLRRSNYFRLPPCENMNLQRPDGL >ENSMUSP00000122863.1 pep:known chromosome:GRCm38:6:122513583:122529290:1 gene:ENSMUSG00000030116.14 transcript:ENSMUST00000148517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap5 description:microfibrillar associated protein 5 [Source:MGI Symbol;Acc:MGI:1354387] MLFLGQKALLLVLAISIPSDWLPLGVSGQRGDDVPETFTDDPNLVNDPSTDDTALADITP STDDLAGDKNATAECRDEKFACTRLYSVHRPVRQCVHQSCFTSLRRMYIINNEICSRLVC KEHEAMKDELCRQMAGLPPRRLRRSNYFRLPPCENMNLQRPDGL >ENSMUSP00000113742.1 pep:known chromosome:GRCm38:6:122513659:122528632:1 gene:ENSMUSG00000030116.14 transcript:ENSMUST00000118626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap5 description:microfibrillar associated protein 5 [Source:MGI Symbol;Acc:MGI:1354387] MLFLGQKALLLVLAISIPSDWLPLGVSGQRGDDVPETFTDDPNLVNDPSTDDTALADITP STDDLECRDEKFACTRLYSVHRPVRQCVHQSCFTSLRRMYIINNEICSRLVCKEHEAMKD ELCRQMAGLPPRRLRRSNYFRLPPCENMNLQRPDGL >ENSMUSP00000116769.1 pep:known chromosome:GRCm38:6:122513676:122528338:1 gene:ENSMUSG00000030116.14 transcript:ENSMUST00000142896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap5 description:microfibrillar associated protein 5 [Source:MGI Symbol;Acc:MGI:1354387] MLFLGQKALLLVLAISIPSDDVPETFTDDPNLVNDPSTDDTALADITPSTDDLAGDKNAT AECRDEKFACTRLYSVHRPVRQCVHQSCFTSLRRMYIINNEICSRLVCKEHEAMKDELCR QM >ENSMUSP00000112596.1 pep:known chromosome:GRCm38:6:122513676:122528632:1 gene:ENSMUSG00000030116.14 transcript:ENSMUST00000121656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap5 description:microfibrillar associated protein 5 [Source:MGI Symbol;Acc:MGI:1354387] MLFLGQKALLLVLAISIPSDWLPLGVSGQRGAGDKNATAECRDEKFACTRLYSVHRPVRQ CVHQSCFTR >ENSMUSP00000140956.1 pep:known chromosome:GRCm38:1:92511048:92518419:-1 gene:ENSMUSG00000042849.10 transcript:ENSMUST00000189174.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1414 description:olfactory receptor 1414 [Source:MGI Symbol;Acc:MGI:3031248] MRGENITKVSTFILLGFPTAPELQYLLFLLFLLAYLFVLVENLAIILTVWSSASLHRPMY YFLGIMSTLEIWYVCDIIPKMLDGFLLQRKRISFIGCMTQLYFFSSLVCTECVLLASMAY DRYVAICHPLRYQVIMTTGLCVQLVAFSFASGFSISVIKVYFISSATFCGSNVLNHFFCD ISPILKLACTDFSTAELVDFILAFIILVFPLLATVLSYGHITLAVLRIPSATGRWRAFST CASHLTVVTIFYTALLFMYVRPQAIDTRSSNKLISVLYTVLTPILNPLIYCLRNKEFKDA LRKALGLGQAPL >ENSMUSP00000139693.1 pep:known chromosome:GRCm38:1:92511325:92518515:-1 gene:ENSMUSG00000042849.10 transcript:ENSMUST00000188197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1414 description:olfactory receptor 1414 [Source:MGI Symbol;Acc:MGI:3031248] MRGENITKVSTFILLGFPTAPELQYLLFLLFLLAYLFVLVENLAIILTVWSSASLHRPMY YFLGIMSTLEIWYVCDIIPKMLDGFLLQRKRISFIGCMTQLYFFSSLVCTECVLLASMAY DRYVAICHPLRYQVIMTTGLCVQLVAFSFASGFSISVIKVYFISSATFCGSNVLNHFFCD ISPILKLACTDFSTAELVDFILAFIILVFPLLATVLSYGHITLAVLRIPSATGR >ENSMUSP00000054752.4 pep:known chromosome:GRCm38:1:92511048:92518515:-1 gene:ENSMUSG00000042849.10 transcript:ENSMUST00000062353.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1414 description:olfactory receptor 1414 [Source:MGI Symbol;Acc:MGI:3031248] MRGENITKVSTFILLGFPTAPELQYLLFLLFLLAYLFVLVENLAIILTVWSSASLHRPMY YFLGIMSTLEIWYVCDIIPKMLDGFLLQRKRISFIGCMTQLYFFSSLVCTECVLLASMAY DRYVAICHPLRYQVIMTTGLCVQLVAFSFASGFSISVIKVYFISSATFCGSNVLNHFFCD ISPILKLACTDFSTAELVDFILAFIILVFPLLATVLSYGHITLAVLRIPSATGRWRAFST CASHLTVVTIFYTALLFMYVRPQAIDTRSSNKLISVLYTVLTPILNPLIYCLRNKEFKDA LRKALGLGQAPL >ENSMUSP00000095422.2 pep:known chromosome:GRCm38:4:141213956:141220148:1 gene:ENSMUSG00000073733.4 transcript:ENSMUST00000097813.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsg1 description:REM2 and RAB-like small GTPase 1 [Source:MGI Symbol;Acc:MGI:1923416] MARPPMHGSVIVPDWHETVEGKEYLACILRKNRRREFGLLERPVLPPSVVIDTASYKIFV SGKSGVGKTALVAKLAGLEVPIVHHETTGIQTTVVFWPAKLKASDCVVMFRFEFWDCGES ALKKFDHMLPACKENADAFLFLFSFTDRASFEDLPGQLTRVAGEAPGLVKIVIGSKFDQY MHTDVPARDLTAFRQAWELPLFRVKSVPGRRLADGRTLDGRAGLADTAHVLNGLAEQLWH QDQVAAGLLPSSPESAPG >ENSMUSP00000058511.6 pep:known chromosome:GRCm38:11:103534577:103621140:-1 gene:ENSMUSG00000034239.14 transcript:ENSMUST00000059279.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm884 description:predicted gene 884 [Source:MGI Symbol;Acc:MGI:2685730] MLSPLEELTDSLLPFQDPSTLQELNPASEELISHQDLTDKLIPTGKQPGNMLMLSGDQNQ APTLSSEFKSTASLFGDAAKTFIVSPKELKKGLAQHKKLAKVVVGKPQFQKPIMDDYYED LTMNEPHSYNLPLQSQGNADEVPELLEQVELDQMETQTQNPENLQQEAPDYFPQSPEEDE TLNKKEDPVHHQLYYALPTITGKPVDTQLKITSEPIKEVESSSYEEETPTQTPGPLVGAE LFLSQQQQQPGEPSETPEEGESSGIDLESSIQPQEDSEEVGPLPTQQEDLSQHLGPVLED ESSLSELEQPIQLSESPEVVGSDSENQPEASVQPTVFPVVEQEAPFGAPESPIETVVETS PIPEIQPTQNENYQYHLPNVTVIPVDVTLTITLGPIKEVDSFLAQQEFPVHAIEYSNDIE PFLKEEEPPAQTSETPGESQFESQLEVPVQASEYDEELKSSATEQLAQFPENHGVTILPS NLYQTRHSSLSDVTGQPSDLAITITENPIEMGTSVYHDAAATPEEVEFLKTQQGVLSQSL EPILYDKPLSQQEDITGISQISEEGEPFPDQQETPEHSLELPTEEIAQPPGHHEVTGLPL GHGQVYSPASQNILTQYPTAPEEDQYSPVGQEVPNQLGEFSVEPSPSQQKLFPVLKPTAA QALKPPRVGNFSLIHNKIPHHSPKPLKNMVTDIPGHKMTVPRPDQDQGEYIISSNGSFQS LDLEVTVTSGITPETMHMLPTRTINPQIYSQVKISHPQHVETQHPNPAKTTVQPLDLELA INLQSTPKENFAQTLQETTTQLTGPLKEVTVQAPEHHEGTIPIPTQDQANYPRSPTVSFQ SLDLEPTITPEANGEPYHPTVSQQIIIVHPPKHPLVIYSEQVHTQHPNPTEATAQPLNLE LILTSQPTAEGELPQTLQDSTSQIIREPLIEVVALVPIYQEVTVQTPSQDQAEYLTSSTV SFQPLDLELSITPESTREPHLPTVPQQTIIVHPPKNPLVIHSEQVHTQHPNPTEATVQPL DLQPTITPQPTAEGELLQTLQNSTGQITESPTAVAIPVPVYLDMTVPTLSQDQAEYLTSP TVSFQPLDLESTITPEPTREAEHFTTPKNTTAFPPKYTHMTLPQEVSVQHLKPTEGIVPP LNLELTITPQPATEGELSQTVQESTTQITEPHKEIIVPVPVFQEVTVPTPSQDQAEYQTF QHLNLELTSEPTKEAYRSTVSKTTITVNFPKRTQHPNPAEATDKPLDLELTIGSSYQSTA EGERFQETTTQISETPKQVVTPVPEYQEVAVSAPIQDQAKYPLLPTVSFHPLDLELTISS EPPREAYPTTTPDQTMVPPQKYPLGIYPGDAHIQHLNPTDITIQPLDLELTVHSQPTTEE EHSQSIRKNTTQITEPVKEVEALAPEGEDVTIPMPILEQTEFPTPNSVSLQSVDQELAIL SDLPGWTYHPQKLKEIKRHTPGKIFLHYAEPPMGTVVETPDLFFLKTAKSKPVQETSIYI TKSSKEIVARSPEHKEAVLPDPVEGQEKSLNPPNMSLKLLDQERIIPFLPRGLAQEPPNP KETKNHTPGKIFLHHAEHPIGMVAEPPDLFFLKSTKSKPTQKTPTQIKKLRKKVATHTLK YKKGMLTAPVEGQAESVPPPNMSLQPLDQELTISSQLPAWTHNSPNPKESRNHTPAKIFL HYAKPPMGTVVETPDPFFLKTSESKPVQETPTQITKSPKEVAAHTLEYKEGVVLAPVEGQ AESVALPNMSLQALDQEFTISPQPPAWTHHPPNPKETKNHTPGKIFLHYAEPPMGMVVEP PDLFLLKTTKYNSVQKNPTQMTKSPKEILAQTPEYKEWVLPVPVEGQAESPTPPNMSLQL LDQEVIIPSLLSPPARDTPNPKETKTHTPGKIFPHYAEPPIRMSVEPPDLFFLRSTKSKS VQETPTQIINSPKDVTAPTREYKKGVLPASVEGQAESSLLPHISLQHLDQELIIPSLPPP QAHNPSNPKETKKYTPGKVFLYYADPPMGMVVEPPDLFFLETTNSKPVQETLTQISESPK EVAAQTLKYKKVVLPAPVQEQDESATSPKMSLQPLDQELTVSSQPPAWNHNPLNPKEIKN YAPGKISLHYAEPPMGTVVEPPDLFLLNTSKSNPGQENPTQITKSPKEVVGQTLDYKEGV LSGPIEGQDESPAPPSMSLQPLDQELTMSSQPPGYTHHSPNAAKTENHTPENNFFPYAEP PMGMVVQPPDLFFLKSTKSNLVQETPSLITKSPKEVAAHTLEYKKRLPPVPVEGQAESPP PPNISLQPLDQELTISSQPPGWTHHPPNPKETKNYTPGKIFLHYADTPLGMIVEPPDLFF LKTTTSEPVQETPSQITKLPKEIIAQTLEYKEGLLPVPFGGEDDSTTPPNVSLQPLDQEI IISFPSLGQAQQPPNVKESKEHQPEEILFHNAEPSMGTVVDLDLFFPKTMESKPVQEAPT QITKSPKEGVVQTLEYKELVVSSIIEGNVKYVTPNVSYRPLDLGLSESSGSTTEVYRSTT LNETTVPSSMHLQVPMPHLHSNFSVTQQPNTMAKHSSIMETPAHPSEIPVETVAQSVEYY PTIVLTSVYIETQHSNLSAIETAHSEVPTTTAPYPQAIYSEVPTTTGLYSEATHFGVSTT TAASPEITHSKAPETTALTPKWPGTTSLSPDQVEKHSSPTVQVLKMESTITPYSENSSTE NDLTIEKSAYNYTKICDFCVCENETLLCVHLSPKWRLQQVPVPRPNTYNDTFVILNFKGN DISFIDKNVWKVYRWTEKLILSENHLTELHKESFEGLLSLQVLDLSCNKIRYIERGTFEP LPFLKYMNLGCNLLTELSFGTFQAWHGMQFLQQLILSRNPLAVVEDPYFFKLPALKYLDL GTTQVQLTTVESILIKTLELEHLILPSHMACCLCKFKADIEVICKTIKLHCHTGCLTNTT RCLEEASIRNPEGAFMKVLQGRTGNTSTELIIEPERGNSDKDYANYSSSMDENIDLNDEN DIMSALNYILPYFSEGNMEDIISSMLPFIKLLFSQEQDASNSLGSLQKDPERVPVTNESK TSNVTYKNKLNKHYFLENLLDTDTDEVQKEKKPGRHNAKSKNVGPKFKRQIFEKRWEPAR AGEDSLAEIEKAERQLHSMSRVPKGTGSIQKRHFKDVSGKSLWSKQSVQTPVESISKDRQ LGSPPSMELQQLGLEQKPRELVGYSFPSEPLLPKEHRGELSSSPDLPLLDKAPTTNSLPD FIDRRKDLSYTIYVLESANANVKRAKGSNPSLQPEARHRNLRKKKSHFQLIAKRPAASSA VRSLISSPARGVFSSLGDLRYPERPFSELYVAPEPSTKKPLEENRAATDNVEENILEQIV TMPEETTSKNKSAKNPAADSDIPIPNIPGLIPPVLQTTKPPLHFIFGSDSPNNLEEFAYP SLMTPGEQFESHLNQQLRVLIPNNDVRRLISHVIRTLKMDCSDSQVQLSCAKLISRTGLL MKLLSEQQDFKLSRADWDTDQWKTENYINENTETQSKLKSLGRSQFGKEVSEFSYNNKVT LAISVTVVVIVLIIIFCLIEICSHRTKKGDKEKHKWRKKYKERKNKEKYIWIRLPPRLRN ILKLDKKCGHDLRANTQDKLWEVKKRSSVKSSAESSEVAETPR >ENSMUSP00000129662.1 pep:known chromosome:GRCm38:11:103534577:103614739:-1 gene:ENSMUSG00000034239.14 transcript:ENSMUST00000167262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm884 description:predicted gene 884 [Source:MGI Symbol;Acc:MGI:2685730] MSLQPLDQELTMSSQPPGYTHHSPNAAKTENHTPENNFFPYAEPPMGMVVQPPDLFFLKS TKSNLVQETPSLITKSPKEVAAHTLEYKKRLPPVPVEGQAESPPPPNISLQPLDQELTIS SQPPGWTHHPPNPKETKNYTPGKIFLHYADTPLGMIVEPPDLFFLKTTTSEPVQETPSQI TKLPKEIIAQTLEYKEGLLPVPFGGEDDSTTPPNVSLQPLDQEIIISFPSLGQAQQPPNV KESKEHQPEEILFHNAEPSMGTVVDLDLFFPKTMESKPVQEAPTQITKSPKEGVVQTLEY KELVVSSIIEGNVKYVTPNVSYRPLDLGLSESSGSTTEVYRSTTLNETTVPSSMHLQVPM PHLHSNFSVTQQPNTMAKHSSIMETPAHPSEIPVETVAQSVEYYPTIVLTSVYIETQHSN LSAIETAHSEVPTTTAPYPQAIYSEVPTTTGLYSEATHFGVSTTTAASPEITHSKAPETT ALTPKWPGTTSLSPDQVEKHSSPTVQVLKMESTITPYSENSSTENDLTIEKSAYNYTKIC DFCVCENETLLCVHLSPKWRLQQVPVPRPNTYNDTFVILNFKGNDISFIDKNVWKVYRWT EKLILSENHLTELHKESFEGLLSLQVLDLSCNKIRYIERGTFEPLPFLKYMNLGCNLLTE LSFGTFQAWHGMQFLQQLILSRNPLAVVEDPYFFKLPALKYLDLGTTQVQLTTVESILIK TLELEHLILPSHMACCLCKFKADIEVICKTIKLHCHTGCLTNTTRCLEEASIRNPEGAFM KVLQGRTGNTSTELIIEPERGNSDKDYANYSSSMDENIDLNDENDIMSALNYILPYFSEG NMEDIISSMLPFIKLLFSQEQDASNSLGSLQKDPERVPVTNESKTSNVTYKNKLNKHYFL ENLLDTDTDEVQKEKKPGRHNAKSKNVGPKFKRQIFEKRWEPARAGEDSLAEIEKAERQL HSMSRVPKGTGSIQKRHFKDVSGKSLWSKQSVQTPVESISKDRQLGSPPSMELQQLGLEQ KPRELVGYSFPSEPLLPKEHRGELSSSPDLPLLDKAPTTNSLPDFIDRRKDLSYTIYVLE SANANVKRAKGSNPSLQPEARHRNLRKKKSHFQLIAKRPAASSAVRSLISSPARGVFSSL GDLRYPERPFSELYVAPEPSTKKPLEENRAATDNVEENILEQIVTMPEETTSKNKSAKNP AADSDIPIPNIPGLIPPVLQTTKPPLHFIFGSDSPNNLEEFAYPSLMTPGEQFESHLNQQ LRVLIPNNDVRRLISHVIRTLKMDCSDSQVQLSCAKLISRTGLLMKLLSEQQDFKLSRAD WDTDQWKTENYINENTETQSKLKSLGRSQFGKEVSEFSYNNKVTLAISVTVVVIVLIIIF CLIEICSHRTKKGDKEKHKWRKKYKERKNKEKYIWIRLPPRLRNILKLDKKCGHDLRANT QDKLWEVKKRSSVKSSAESSEVAETPR >ENSMUSP00000019183.8 pep:known chromosome:GRCm38:9:108569886:108572774:1 gene:ENSMUSG00000019039.13 transcript:ENSMUST00000019183.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dalrd3 description:DALR anticodon binding domain containing 3 [Source:MGI Symbol;Acc:MGI:1915039] MATGRLGVGETLEALNAAVGPGSPVWFKETHARHLRVRDFLAPRSALQARFRDGQVPECV FRAVSCLQGPGVAPVLRCAPTPAGLSLQLQRPAVFEHVLGALASYATPAKPASPGPRVVL HCPALRCNSGTLRLSQLRAVLVADHLVRVLRAHGVRVCSVPPVRDPHMSTFLQKLRVEWP TASKSTSTETLRTCVLANLNGSKEATLPPGVLGRLCLKELVEQRGTAGYDPSIDHCLVTE DVLSVLSELQEAVRHWPEGGHPGPAGRPDAGVDDCVVIHVVSCEEAFQQQKLDLLWQKLD DRAPHKQKHLVCGPVKMAGVPGTQLTAPQYYRLRHAQVCEASALKHGGDLAQDPAWTETF DILSVATIKFEMLSTAPQSQLLLAHSTISTKGTKSGTFVMYNCARLATLFEGYKHGTEQG LYPTFPLVSSLDFSLLHDEGEWLLLFNSVLPFLDLLSQTVSLAGTPGLHIPVRTEMVCKF LVQLSMDFSSYYNRVHILGEPRPHLFGQMFARLQLLRAVREVFHTGLAMLGLPPLSHI >ENSMUSP00000141451.1 pep:known chromosome:GRCm38:9:108569910:108571519:1 gene:ENSMUSG00000019039.13 transcript:ENSMUST00000195249.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dalrd3 description:DALR anticodon binding domain containing 3 [Source:MGI Symbol;Acc:MGI:1915039] MATGRLGVGETLEALNAAVGPGSPVWFKETHARHLRVRDFLAPRSALQARFRDGQVPECV FRAVSCLQGPGVAPVLRCAPTPAGLSLQLQRPAVFEHVLGALASYATPAKPASPGPRVVL HCPALRCNSGTLRLSQLRAVLVADHLVRVLRAHGNFGWNGPLPRRAPRPKH >ENSMUSP00000141748.1 pep:known chromosome:GRCm38:9:108571518:108572531:1 gene:ENSMUSG00000019039.13 transcript:ENSMUST00000193427.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dalrd3 description:DALR anticodon binding domain containing 3 [Source:MGI Symbol;Acc:MGI:1915039] RLRHAQVCEASALKHGGDLAQDPAWTETFDILSVATIKFEMLSTAPQSQLLLAHSTISTK GTKSGTFVMYNCARLATLFEGYKHGTEQGLYPTFPLVSSLDFSLLHDEGEWLLLFNSVLP FLDLLSQTVSLAGTPGLHIPVRTEMVCKFLVQLSMDFSSYYNRVHILGVSIQQGGSAGNV GKAEHTGNEDA >ENSMUSP00000142061.1 pep:known chromosome:GRCm38:9:108572392:108572655:1 gene:ENSMUSG00000019039.13 transcript:ENSMUST00000192518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dalrd3 description:DALR anticodon binding domain containing 3 [Source:MGI Symbol;Acc:MGI:1915039] XVCKFLVQLSMDFSSYYNRVHILGDAPGCFSFRNLGHTSLVRCLLAYSF >ENSMUSP00000056182.5 pep:known chromosome:GRCm38:9:78536488:78588041:-1 gene:ENSMUSG00000049624.13 transcript:ENSMUST00000052441.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a5 description:solute carrier family 17 (anion/sugar transporter), member 5 [Source:MGI Symbol;Acc:MGI:1924105] MRPLLRGPAGNDDEESSDSTPLLPGARQTEAAPVCCSARYNLAILAFCGFFVLYALRVNL SVALVDMVDSNTTLTDNRTSKECAEHSAPIKVHHNHTGKKYKWDAETQGWILGSFFYGYI VTQIPGGYIASRVGGKLLLGLGILGTSVFTLFTPLAADLGVVTLVVLRALEGLGEGVTFP AMHAMWSSWAPPLERSKLLTISYAGAQLGTVISLPLSGIICYYMNWTYVFYLFGIVGIVW FILWMWIVSDTPETHKTISHYEKEYIVSSLKNQLSSQKVVPWGSILKSLPLWAIVVAHFS YNWSFYTLLTLLPTYMKEILRFNVQENGFLSALPYFGCWLCMILCGQAADYLRVKWNFST ISVRRIFSLVGMVGPAVFLVAAGFIGCDYSLAVAFLTISTTLGGFASSGFSINHLDIAPS YAGILLGITNTFATIPGMTGPIIAKSLTPDNTIREWQTVFCIAAAINVFGAIFFTLFAKG EVQSWALSDHHGHRN >ENSMUSP00000113003.1 pep:known chromosome:GRCm38:9:78536506:78588015:-1 gene:ENSMUSG00000049624.13 transcript:ENSMUST00000117645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a5 description:solute carrier family 17 (anion/sugar transporter), member 5 [Source:MGI Symbol;Acc:MGI:1924105] MRPLLRGPAGNDDEESSDSTPLLPGARQTEAAPVCCSARYNLAILAFCGFFVLYALRVNL SVALVDMVDSNTTLTDNRTSKECAEHSAPIKVHHNHTIPGGYIASRVGGKLLLGLGILGT SVFTLFTPLAADLGVVTLVVLRALEGLGEGVTFPAMHAMWSSWAPPLERSKLLTISYAGA QLGTVISLPLSGIICYYMNWTYVFYLFGIVGIVWFILWMWIVSDTPETHKTISHYEKEYI VSSLKNQLSSQKVVPWGSILKSLPLWAIVVAHFSYNWSFYTLLTLLPTYMKEILRFNVQE NGFLSALPYFGCWLCMILCGQAADYLRVKWNFSTISVRRIFSLVGMVGPAVFLVAAGFIG CDYSLAVAFLTISTTLGGFASSGFSINHLDIAPSYAGILLGITNTFATIPGMTGPIIAKS LTPDNTIREWQTVFCIAAAINVFGAIFFTLFAKGEVQSWALSDHHGHRN >ENSMUSP00000113340.1 pep:known chromosome:GRCm38:9:78569841:78588027:-1 gene:ENSMUSG00000049624.13 transcript:ENSMUST00000119213.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a5 description:solute carrier family 17 (anion/sugar transporter), member 5 [Source:MGI Symbol;Acc:MGI:1924105] MRPLLRGPAGNDDEESSDSTPLLPGARQTEAAPVCCSARYNLAILAFCGFFVLYALRVNL SVALVDMVDSNTTLTDNRTSKECAEHSAPIKVHHNHTGKKYKWDAETQGWILGSFFYGYI VTQIPGGYIASRVGGKLLLGLGILGTSVFTLFTPLAADLGVVTLVVLRALEGLGEKYPPP GCYVSSYARHVVFLGSPSGKKQASYHFLCGSTAWDSDLTSSFRNNMLLYELDLRLLSF >ENSMUSP00000000707.2 pep:known chromosome:GRCm38:6:83034173:83052562:1 gene:ENSMUSG00000000693.10 transcript:ENSMUST00000000707.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxl3 description:lysyl oxidase-like 3 [Source:MGI Symbol;Acc:MGI:1337004] MRAVSVWYCCPWGLLLLHCLCSFSVGSPSPSISPEKKVGSQGLRFRLAGFPRKPYEGRVE IQRAGEWGTICDDDFTLQAAHVLCRELGFTEATGWTHSAKYGPGTGRIWLDNLSCRGTEG SVTECASRGWGNSDCTHDEDAGVICKDQRLPGFSDSNVIEVEHQLQVEEVRLRPAVEWGR RPLPVTEGLVEVRLPEGWSQVCDKGWSAHNSHVVCGMLGFPGEKRVNMAFYRMLAQKKQH SFGLHSVACVGTEAHLSLCSLEFYRANDTTRCSGGNPAVVSCVLGPLYATFTGQKKQQHS KPQGEARVRLKGGAHQGEGRVEVLKAGTWGTVCDRKWDLQAASVVCRELGFGTAREALSG ARMGQGMGAIHLSEVRCSGQEPSLWRCPSKNITAEDCSHSQDAGVRCNLPYTGVETKIRL SGGRSRYEGRVEVQIGIPGHLRWGLICGDDWGTLEAMVACRQLGLGYANHGLQETWYWDS GNVTEVVMSGVRCTGSELSLNQCAHHSSHITCKKTGTRFTAGVICSETASDLLLHSALVQ ETAYIEDRPLHMLYCAAEENCLASSARSANWPYGHRRLLRFSSQIHNLGRADFRPKAGRH SWVWHECHGHYHSMDIFTHYDILTPNGTKVAEGHKASFCLEDTECQEDVSKRYECANFGE QGITVGCWDLYRHDIDCQWIDITDVKPGNYILQVVINPNFEVAESDFTNNAMKCNCKYDG HRIWVHNCHIGDAFSEEANRRFERYPGQTSNQIV >ENSMUSP00000098815.3 pep:known chromosome:GRCm38:6:83034173:83052562:1 gene:ENSMUSG00000000693.10 transcript:ENSMUST00000101257.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxl3 description:lysyl oxidase-like 3 [Source:MGI Symbol;Acc:MGI:1337004] MRAVSVWYCCPWGLLLLHCLCSFSVGSPSPSISPEKKVGSQGLRFRLAGFPRKPYEGRVE IQRAGEWGTICDDDFTLQAAHVLCRELGFTEATGWTHSAKYGPGTGRIWLDNLSCRGTEG SVTECASRGWGNSDCTHDEDAGVICKDQRLPGFSDSNVIEVEHQLQVEEVRLRPAVEWGR RPLPVTEGLVEVRLPEGWSQVCDKGWSAHNSHVVCGMLGFPGEKRVNMAFYRMLAQKKQH SFGLHSVACVGTEAHLSLCSLEFYRANDTTRCSGGNPAVVSCVLGPLYATFTGQKKQQHS KPQGEARVRLKGGAHQGEGRVEVLKAGTWGTVCDRKWDLQAASVVCRELGFGTAREALSG ARMGQDVSKRYECANFGEQGITVGCWDLYRHDIDCQWIDITDVKPGNYILQVVINPNFEV AESDFTNNAMKCNCKYDGHRIWVHNCHIGDAFSEEANRRFERYPGQTSNQIV >ENSMUSP00000096097.4 pep:known chromosome:GRCm38:3:154663859:154767790:1 gene:ENSMUSG00000078161.8 transcript:ENSMUST00000098496.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich3 description:glutamate rich 3 [Source:MGI Symbol;Acc:MGI:1919095] MSHSHPAGLLATYNSLTDKHLAGYFNNTRIRRHLLRSGLITRSGRILSEKEYKVNNMKQD HQKYIRECLARAIFHKVLDMERYHQLEIKRKLDTLARKERIQRLKGEHTRRFIEDNMPVL TPHPPAGPKTNRGHSVLAEEGRSSPLTLTAPRPYTAPGNMQPPVRLQPLLSSRQTRNGSK ITSGSKPKGSLLESEALFPLGGKKAMMKFRNYMDHSQKEDLYQLPHINSYHTPVPPTPQP QAGKNFRDKRLESWRRKRLRPITAPNGLEPLFAKDPGRIYKTAPHSNAVITMVYFGKNVH LSYDDIDFRDEIKIYQQHCGGENLCVYKGKLLEKDTFQFISKRHHGFPFSLTFFLNGIQV NRISSCCEFKHRRSTRLGGKRGYFGFVCVEKASPCYRCIIAMGLDRKPSSTKPKKEKITE KKEEPPNKSQGKLRKDRMNAPSKRNEMERKESCVSAAFSAEEIKLGVKEVRTAIEEMEWK GKSGRDVWEEDQDNAVKYDYEEDFEVDDEKQDEKVDEDEDQADDQMSGGSKTPTESEKDN RNPEKKIETSSEKAHDSENEDTGCSDSEEDDRQDVKTMSSISSRSHPYSSESEDDSTEVG GEADSVSEEGSSRSSSSQDLRENDDPGKPHFPIEKYLETEIEEQEITEGHNGPWLTELSG MHVTEGKPTMGIQALSESEHKEPRRVASSEVRAKSQLQKEAGLPGVEEEVGQITGGAQEP GHCCSNTAPGLSPTDDGVTPMRKPEVNLGRGTEERAAISANEQPEQDAQEMHTLKEEAMK KDESSQPEDTDAHAGVREESGMQKDGTCHPQDAGIDVELRERVDMQEDGTCHPQGADMDV GLKERVGVQEDGTHYPQDADMDVGLRERVGMQEDGTHHSQDADMDVELKERVGMQEDGTC HPQDANMDVELKERVGMQEDGTCHPQDADMDVELEERTGMQEDGTHHPQGADVDLGLRER AGMQEDGTCYPQNANMDVGLREKAGISEVLLGERSPTGVLLASAEQSTEKGECYLNIASE AEAGTEGSSRHGEEQLIPTGKVAAEGSVFLSVEQARDRQKDEDLDRQALLQTQMEKERAV SEAGQELKAEFTDSGGLNSETLEEAAVLKEVGTSEVKEAEREVGSPKTDGDQGEEEALTE LEVVGPVEDTGPERKAGSEETVLGGERAATERKDFLEEAPISASTGEVQASPREVFRGNH ELCKEDTAREGVIADTESTAEQDLRAVFPGELAAAGGIEKVERLTPPLRETGSEREEETG PEVLKTEDLLGEQKVKGEEEGTAKEVGSEEEDRASRPEMEAHAKDVEPTGATELGEATKL LEDPPKERAITLSEATPQFGKSPKESEATATEHKGGEELPGQESKALWPQGRGLSHDGEG LLGAPGPEADKAQGPEGFFTARCEEWAAKELDSSAGSERLEEDQPLQAQREDIQRMTQGN LSGERLTRAVVVCGEAKAENPQGEGSEDKECPPGTVTGSLTGQNWNMGGNIVEAEEDPHG GGIEEPTAEQKEEESAESKSADGIPEASSAANAQKETWDGAGEALGEAAAEERTGTEDMA PRTEKVAVVEEVTSAGAMVETEQEQAPEAQDREGGETKASRHTGTAGEDTGSTGKDEEHQ SGAAEEFRESVSQRETA >ENSMUSP00000062837.6 pep:known chromosome:GRCm38:3:154711108:154749012:1 gene:ENSMUSG00000078161.8 transcript:ENSMUST00000051862.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich3 description:glutamate rich 3 [Source:MGI Symbol;Acc:MGI:1919095] MFAKGKKAMMKFRNYMDHSQKEDLYQLPHINSYHTPVPPTPQPQAGKNFRDKRLESWRRK RLRPITAPNGLEPLFAKDPGRIYKTAPHSNAVITMVYFGKNVHLSYDDIDFRDEIKIYQQ HCGGENLCVYKGKLLEKDTFQFISKRHHGFPFSLTFFLNGIQVNRISSCCEFKHRRSTRL GGKRGYFGFVCVEKASPCYRCIIAMGLDRKPSSTKPKKEKITEKKEEPPNKSQGKLRKDR MNAPSKRNEMERKESCVSAAFSAEEIKLGVKEVRTAIEEMEWKGKSGRDVWEEDQDNAVK YDYEEDFEVDDEKQDEKVDEDEDQADDQMSGGSKTPTESEKDNRNPEKKIETSSEKAHDS ENEDTGCSDSEEDDRQDVKTMSSISSRSHPYSSESEDDSTEVGGEADSVSEEGSSRSSSS QDLRENDDPGKPHFPIEKYLETEIEEQEITEGHNGPWLTELSGMHVTEGKPTMGIQALSE SEHKEPRRVASSEVRAKSQLQKEAGLPGVEEEGKYSP >ENSMUSP00000140929.1 pep:known chromosome:GRCm38:3:154764330:154767789:1 gene:ENSMUSG00000078161.8 transcript:ENSMUST00000189969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich3 description:glutamate rich 3 [Source:MGI Symbol;Acc:MGI:1919095] GTVTGSLTGQNWNMGGNIVEAEEDPHGGGIEEPTAEQKEEESAESKSADGIPEASSAANA QKETWDGAGEALGEAAAEERTGTEDMAPRTEKVAVVEEVTSAGAMVETEQEQAPEAQDRE GGETKASRHTGTAGEDTGSTGKDEEHQSGAAEEFRESVSQRETA >ENSMUSP00000117606.1 pep:known chromosome:GRCm38:8:71708394:71725716:-1 gene:ENSMUSG00000070000.13 transcript:ENSMUST00000146100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcho1 description:FCH domain only 1 [Source:MGI Symbol;Acc:MGI:1921265] MSYFGEHFWGDKNHGFEVLYHCVKQGPVATKELADFIRERANIEETYSKAMAKLSKLASN GTPMGTFAPLWEVFRVSSDKLALCHLELTRKLHDLLKDVLRYGEEQLKTHKKCKEEVLGT VDAVQMLSGVGQLLPKSRENYLSRCMDLERLRRENTSQKEMDKAETKSKKAADSLRRSVD KYNSARADFEIKMLDSALRFQAMEEAHLQHMKALLGSYAHSVEDTHVQIGQVHEEFKQNV ENVTVDMLLRKFAESKGTGREKPGPLDFDAYSSAALQEAMKRLRGAKAFRLPGLSRREPR ASVDFLESDSGVPPEVDDEGFTVRPDISQNNGAEPPRFSSSDSDFDDEEPRKFYVHIKPA PTRAVACSSEAAAAQLRATAGSLILPPGPGGTMKRHSSRDTSGKPQRPRSAPRTGSCAEK PLASEEPLSKSLFGPPLESAFDHDDFTGSSSLGFTSSPSPFSSSSPENVEDSGLDSPSHA APGPSPESWVPRPGTPQSPPTCRAQHPEPRGLMPRAPSPGPWGPEGGADSLTPADPTREG LAATLRRPRSRKVSCPLTRSNGDLCRSLSPSPLGSSAPTIPPDRPSFSTQMGHGISRGPS PVVLGSQDALPVATAFTEYVHAYFRGHSPSCLARVTGELTMTFPAGIVRVFSGTPPPPVL SFRLVNTAPVEHFQPNADLIFSDPSQSDPETKDFWLNMAALTEALQHQAEQNPTASYYNL VLLRYQFSRPGPESVPLQMSAHWQCGPTLTRVSVEYSYRAGATAVSTPLTNVQILLPVGE PVTSVRLQPAATWNTEEKRFTWKLPDVCEAGGSGHLSASWQPQSGPSTPSPVAAQFTSEG ATLSGLDLELLGGGYRMSLVKRRFATGMYLVSC >ENSMUSP00000119273.1 pep:known chromosome:GRCm38:8:71708653:71725666:-1 gene:ENSMUSG00000070000.13 transcript:ENSMUST00000136640.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fcho1 description:FCH domain only 1 [Source:MGI Symbol;Acc:MGI:1921265] MSYFGEHFWGDKNHGFEVLYHCVKQGPVATKELADFIRERANIEETYSKAMAKLSKLASN GTPMGTFAPLWEVFRVSSDKLALCHLELTRKLHDLLKDVLRYGEEQLKTHKKCKEEVLGT VDAVQMLSGVGQLLPKSRENYLSRCMDLERLRRENTSQKEMDKAETKSKKAADSLRRSVD KYNSARADFEIKMLDSALRFQAMEEAHLQHMKALLGSYAHSVEDTHVQIGQVHEEFKQNV ENVTVDMLLRKFAESKGTGREKPGPLDFDAYSSAALQEGNNETSPWSQGFPPSRIEPSGA TCIC >ENSMUSP00000123631.1 pep:known chromosome:GRCm38:8:71712542:71715553:-1 gene:ENSMUSG00000070000.13 transcript:ENSMUST00000123425.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fcho1 description:FCH domain only 1 [Source:MGI Symbol;Acc:MGI:1921265] XNVENVTVDMLLRKFAESKGTGREKPAPGLPCLGPFSPLHGFHCGVWVLPSLADLVLPLR HLHLPLPQGLWTSMHTAQLPCRKQ >ENSMUSP00000116135.1 pep:known chromosome:GRCm38:8:71715767:71725704:-1 gene:ENSMUSG00000070000.13 transcript:ENSMUST00000153800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcho1 description:FCH domain only 1 [Source:MGI Symbol;Acc:MGI:1921265] MSYFGEHFWGDKNHGFEVLYHCVKQGPVATKELADFIRERANIEETYSKAMAKLSKLASN GTPMGTFAPLWEVFRVSSDKLALCHLELTRKLHDLLKDVLRYGEEQLKTHKKCKEEVLGT VDAVQMLSGVGQLLPKSRENYLSRCMDLERLRRENTSQKEMDKAETKSKKAADSLRRSVD KYNSARADFEIKMLDSALRFQAM >ENSMUSP00000123554.1 pep:known chromosome:GRCm38:8:71717215:71723347:-1 gene:ENSMUSG00000070000.13 transcript:ENSMUST00000125092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcho1 description:FCH domain only 1 [Source:MGI Symbol;Acc:MGI:1921265] MSYFGEHFWGDKNHGFEVLYHCVKQGPVATKELADFIRERANIEETYSKAMAKLSKLASN GTPMGTFAPLWEVFRVSSDKLALCHLEL >ENSMUSP00000091151.6 pep:known chromosome:GRCm38:8:71708387:71721307:-1 gene:ENSMUSG00000070000.13 transcript:ENSMUST00000093444.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcho1 description:FCH domain only 1 [Source:MGI Symbol;Acc:MGI:1921265] MSYFGEHFWGDKNHGFEVLYHCVKQGPVATKELADFIRERANIEETYSKAMAKLSKLASN GTPMGTFAPLWEVFRVSSDKLALCHLELTRKLHDLLKDVLRYGEEQLKTHKKCKEEVLGT VDAVQMLSGVGQLLPKSRENYLSRCMDLERLRRENTSQKEMDKAETKSKKAADSLRRSVD KYNSARADFEIKMLDSALRFQAMEEAHLQHMKALLGSYAHSVEDTHVQIGQVHEEFKQNV ENVTVDMLLRKFAESKGTGREKPGPLDFDAYSSAALQEAMKRLRGAKAFRLPGLSRREPR ASVDFLESDSGVPPEVDDEGFTVRPDISQNNGAEPPRFSSSDSDFDDEEPRKFYVHIKPA PTRAVACSSEAAAAQLRATAGSLILPPGPGGTMKRHSSRDTSGKPQRPRSAPRTGSCAEK PLASEEPLSKSLFGPPLESAFDHDDFTGSSSLGFTSSPSPFSSSSPENVEDSGLDSPSHA APGPSPESWVPRPGTPQSPPTCRAQHPEPRGLMPRAPSPGPWGPEGGADSLTPADPTREG LAATLRRPRSRKVSCPLTRSNGDLCRSLSPSPLGSSAPTIPPDRPSFSTQMGHGISRGPS PVVLGSQDALPVATAFTEYVHAYFRGHSPSCLARVTGELTMTFPAGIVRVFSGTPPPPVL SFRLVNTAPVEHFQPNADLIFSDPSQSDPETKDFWLNMAALTEALQHQAEQNPTASYYNL VLLRYQFSRPGPESVPLQMSAHWQCGPTLTRVSVEYSYRAGATAVSTPLTNVQILLPVGE PVTSVRLQPAATWNTEEKRFTWKLPDVCEAGGSGHLSASWQPQSGPSTPSPVAAQFTSEG ATLSGLDLELLGGGYRMSLVKRRFATGMYLVSC >ENSMUSP00000107381.2 pep:known chromosome:GRCm38:5:121856366:122049851:-1 gene:ENSMUSG00000042589.18 transcript:ENSMUST00000111752.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux2 description:cut-like homeobox 2 [Source:MGI Symbol;Acc:MGI:107321] MVAPVLKSFQAEVVALSKRSREAEAAFLSVYKQLIEAPDPVPSFEVARTLDDRLQRPSFD PSGQRLQDVHIAWKRCPEPPSAREQNEGTCPTGHTPANGNHLPGPEDTLVTDTLLQKNEA ERQKGLQEVHITLAARLGEAEEKIKVLHSALKATQTELLELRRKYDEEAASKADEVGLIM TNLEKANQRAEAAQREVESLREQLASVNSSIRLACCSPQGPSGEKVSFALCSGPRLEAAL ASKDREILRLLKDAQQLRHSLQELEEVSANQIADLERQLAAKSEAIEKLQEKLEAQADYE EIKTELSILRAMKLASSTCSLPQTLAKPDDPLLVAKDVFFPTQKFLLEKPALLASPEEDP SEDDSIKGSLGTEPPYPPQLPPPPGPEDPLSPSPAQPLLGPSLGPDGPRTFSLSPFPSLA PGERLAGDSLLSKHMMGPAAFKGETGNLLAFPPTFYGGAKPPSAPAASVPCPEPTGAPEA VDGAGPEEEQLDTAEIAFQVKEQLLKHNIGQRVFGHYVLGLSQGSVSEILARPKPWRKLT VKGKEPFIKMKQFLSDEQNVLALRTIQVRQRGSITPRIRTPETGSDDAIKSILEQAKKEI ESQKGGESKNSPASVSIPNGTASSSTSEDAIKNILEQARREMQAQQQALLEMESGPRGRS VPPSPPERPSPATASQNGALTCVKQEDGGGGSGSSSTVQAPLAVLSPAAFVQRIIRKVKS EIGDAGYFDHHWASDRGLLSRPYASVSPSLSSSSSYSGQPNGRAWPRGDEATIAPEDEAA MGEDEAPRVGELKAEAGAPEVGGGRLPYYPAYVPRTLKPTVPPLTPEQYELYMYREVDTL ELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSRPKPWSKLTQKGREPFIRMQLWL SDQLGQGQGQAPTQQPSASQASPTEPTSSPSPPPSPTEPEKTSQEPLGLSLESSKENQQP EGRASSSLGGKPFSSSQAAGGIQEMVAMSPELDTYSITKRVKEVLTDNNLGQRLFGESIL GLTQGSVSDLLSRPKPWHKLSLKGREPFVRMQLWLSDPHNVEKLRDMKKLEKKAYLKRRY GLIGTGSDSESPAAHSECPSPCLQPQELSLMQAKKPRVVLAPAEKEALRKAYQLEPYPSQ QTIELLSFQLNLKTNTVINWFHNYRSRMRREMLVEGTQDDPDFDPSGGPNVLTPGHTHRE PTPQSPDSETEDQKPPMKSLELQEPEGPLQRAAPDRALVKIKQEEGLEVDGDSQPQDVGD PDRGQDGPKEEHTHPLGNSDLSELAPGPFLSGTPNPDCPSLHNPQEKGTGEQVHSEPLSF KSTSESSCCSLEGPPNSPSVISSPDLTTCVSPAPSSSAPISPSLPGAPPAKVPSTSPTGD TAAALHPSTKVNPNLQRRHEKMANLNSIIYRLERAANREEVLEWEF >ENSMUSP00000083497.5 pep:known chromosome:GRCm38:5:121860216:122047825:-1 gene:ENSMUSG00000042589.18 transcript:ENSMUST00000086317.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux2 description:cut-like homeobox 2 [Source:MGI Symbol;Acc:MGI:107321] MVAPVLKSFQAEVVALSKRSREAEAAFLSVYKQLIEAPDPVPSFEVARTLDDRLQRPSFD PSGQRLQDVHIAWKRCPEPPSAREQNEGTCPTGHTPANGNHLPGPEDTLVTDTLLQKNEA ERQKGLQEVHITLAARLGEAEEKIKVLHSALKATQTELLELRRKYDEEAASKADEVGLIM TNLEKANQRAEAAQREVESLREQLASVNSSIRLACCSPQGPSGEKVSFALCSGPRLEAAL ASKDREILRLLKDAQQLRHSLQELEEVSANQIADLERQLAAKSEAIEKLQEKLEAQADYE EIKTELSILRAMKLASSTCSLPQTLAKPDDPLLVAKDVFFPTQKFLLEKPALLASPEEDP SEDDSIKGSLGTEPPYPPQLPPPPGPEDPLSPSPAQPLLGPSLGPDGPRTFSLSPFPSLA PGERLAGDSLLSKHMMGPAAFKGETGNLLAFPPTFYGGAKPPSAPAASVPCPEPTGAPEA VDGAGPEEEQLDTAEIAFQVKEQLLKHNIGQRVFGHYVLGLSQGSVSEILARPKPWRKLT VKGKEPFIKMKQFLSDEQNVLALRTIQVRQRGSITPRIRTPETGSDDAIKSILEQAKKEI ESQKGGESKNSPASVSIPNGTASSSTSEDAIKNILEQARREMQAQQQALLEMESGPRGRS VPPSPPERPSPATASQNGALTCVKQEDGGGGSGSSSTVQAPLAVLSPAAFVQRIIRKVKS EIGDAGYFDHHWASDRGLLSRPYASVSPSLSSSSSYSGQPNGRAWPRGDEATIAPEDEAA MGEDEAPRVGELKAEAGAPEVGGGRLPYYPAYVPRTLKPTVPPLTPEQYELYMYREVDTL ELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSRPKPWSKLTQKGREPFIRMQLWL SDQLGQGQGQAPTQQPSASQASPTEPTSSPSPPPSPTEPEKTSQEPLGLSLESSKENQQP EGRASSSLGGKPFSSSQAAGGIQEMVAMSPELDTYSITKRVKEVLTDNNLGQRLFGESIL GLTQGSVSDLLSRPKPWHKLSLKGREPFVRMQLWLSDPHNVEKLRDMKKLEKKAYLKRRY GLIGTGSDSESPAAHSECPSPCLQPQELSLMQAKKPRVVLAPAEKEALRKAYQLEPYPSQ QTIELLSFQLNLKTNTVINWFHNYRSRMRREMLVEGTQDDPDFDPSGGPNVLTPGHTHRE PTPQSPDSETEDQKPPMKSLELQEPEGPLQRAAPDRALVKIKQEEGLEVDGDSQPQDVGD PDRGQDGPKEEHTHPLGNSDLSELAPGPFLSGTPNPDCPSLHNPQEKGTGEQVHSEPLSF KSTSESSCCSLEGPPNSPSVISSPDLTTCVSPAPSSSAPISPSLPGAPPAKVPSTSPTGD TAAALHPSTKVNPNLQRRHEKMANLNSIIYRLERAANREEVLEWEF >ENSMUSP00000114948.2 pep:known chromosome:GRCm38:5:121884754:122050102:-1 gene:ENSMUSG00000042589.18 transcript:ENSMUST00000154139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux2 description:cut-like homeobox 2 [Source:MGI Symbol;Acc:MGI:107321] MVAPVLKSFQAEVVALSKRSREAEAAFLSVYKQLIEAPDPVPSFEVARTLDDRLQRPSFD PSGQRLQDVHIAWKRCPEPPSAREQNEGTCPTGHTPANGNHLPGPEDTLVTDTLLQKNEA ERQKGLQEVHITLAARLGEAEEKIKVL >ENSMUSP00000120095.1 pep:known chromosome:GRCm38:5:121887421:122002344:-1 gene:ENSMUSG00000042589.18 transcript:ENSMUST00000134326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux2 description:cut-like homeobox 2 [Source:MGI Symbol;Acc:MGI:107321] MVAPVLKSFQAEVVALSKRSREAEAAFLSVYKQLIEAPDPVPSFEVARTLDDRLQRPSFD P >ENSMUSP00000130302.2 pep:known chromosome:GRCm38:5:121860308:121924921:-1 gene:ENSMUSG00000042589.18 transcript:ENSMUST00000168288.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux2 description:cut-like homeobox 2 [Source:MGI Symbol;Acc:MGI:107321] MVAPVLKSFQAEVVALSKRSREAEAAFLSVYKQLIEAPDPVPSFEVARTLDDRLQRPSFD PSGQRLQDVHIAWKRCPEPPSAREQNEGTCPTGHTPANGNHLPGPEDTLVTDTLLQKNEA ERQKGLQEVHITLAARLGEAEEKIKVLHSALKATQTELLELRRKYDEEAASKADEVGLIM TNLEKANQRAEAAQREVESLREQLASVNSSIRLACCSPQGPSGEKVSFALCSGPRLEAAL ASKDREILRLLKDAQQLRHSLQELEEVSANQIADLERQLAAKSEAIEKLQEKLEAQADYE EIKTELSILRAMKLASSTCSLPQTLAKPDDPLLVAKDVFFPTQKFLLEKPALLASPEEDP SEDDSIKGSLGTEPPYPPQLPPPPGPEDPLSPSPAQPLLGPSLGPDGPRTFSLSPFPSLA PGERLAGDSLLSKHMMGPAAFKGETGNLLAFPPTFYGGAKPPSAPAASVPCPEPTGAPEA VDGAGPEEEQLDTAEIAFQVKEQLLKHNIGQRVFGHYVLGLSQGSVSEILARPKPWRKLT VKGKEPFIKMKQFLSDEQNVLALRTIQVRQRGSITPRIRTPETGSDDAIKSILEQAKKEI ESQKGGESKNSPASVSIPNGTASSSTSEDAIKNILEQARREMQAQQQALLEMESGPRGRS VPPSPPERPSPATASQNGALTCVKQEDGGGGSGSSSTVQAPLAVLSPAAFVQRIIRKVKS EIGDAGYFDHHWASDRGLLSRPYASVSPSLSSSSSYSGQPNGRAWPRGDEATIAPEDEAA MGEDEAPRVGELKAEAGAPEVGGGRLPYYPAYVPRTLKPTVPPLTPEQYELYMYREVDTL ELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSRPKPWSKLTQKGREPFIRMQLWL SDQLGQGQGQAPTQQPSASQASPTEPTSSPSPPPSPTEPEKTSQEPLGLSLESSKENQQP EGRASSSLGGKPFSSSQAAGGIQEMVAMSPELDTYSITKRVKEVLTDNNLGQRLFGESIL GLTQGSVSDLLSRPKPWHKLSLKGREPFVRMQLWLSDPHNVEKLRDMKKLEKKAYLKRRY GLIGTGSDSESPAAHSECPSPCLQPQELSLMQAKKPRVVLAPAEKEALRKAYQLEPYPSQ QTIELLSFQLNLKTNTVINWFHNYRSRMRREMLVEGTQDDPDFDPSGGPNVLTPGHTHRE PTPQSPDSETEDQKPPMKSLELQEPEGPLQRAAPDRALVKIKQEEGLEVDGDSQPQDVGD PDRGQDGPKEEHTHPLGNSDLSELAPGPFLSGTPNPDCPSLHNPQEKGTGEQVHSEPLSF KSTSESSCCSLEGPPNSPSVISSPDLTTCVSPAPSSSAPISPSLPGAPPAKVPSTSPTGD TAAALHPSTKVNPNLQRRHEKMANLNSIIYRLERAANREEVLEWEF >ENSMUSP00000001780.3 pep:known chromosome:GRCm38:12:112653821:112674276:-1 gene:ENSMUSG00000001729.14 transcript:ENSMUST00000001780.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1 description:thymoma viral proto-oncogene 1 [Source:MGI Symbol;Acc:MGI:87986] MNDVAIVKEGWLHKRGEYIKTWRPRYFLLKNDGTFIGYKERPQDVDQRESPLNNFSVAQC QLMKTERPRPNTFIIRCLQWTTVIERTFHVETPEEREEWATAIQTVADGLKRQEEETMDF RSGSPSDNSGAEEMEVSLAKPKHRVTMNEFEYLKLLGKGTFGKVILVKEKATGRYYAMKI LKKEVIVAKDEVAHTLTENRVLQNSRHPFLTALKYSFQTHDRLCFVMEYANGGELFFHLS RERVFSEDRARFYGAEIVSALDYLHSEKNVVYRDLKLENLMLDKDGHIKITDFGLCKEGI KDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHEKLFEL ILMEEIRFPRTLGPEAKSLLSGLLKKDPTQRLGGGSEDAKEIMQHRFFANIVWQDVYEKK LSPPFKPQVTSETDTRYFDEEFTAQMITITPPDQDDSMECVDSERRPHFPQFSYSASGTA >ENSMUSP00000122222.2 pep:known chromosome:GRCm38:12:112654673:112671564:-1 gene:ENSMUSG00000001729.14 transcript:ENSMUST00000128300.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1 description:thymoma viral proto-oncogene 1 [Source:MGI Symbol;Acc:MGI:87986] MNDVAIVKEGWLHKRGEYIKTWRPRYFLLKNDGTFIGYKERPQDVDQRESPLNNFSVAQC QLMKTERPRPNTFIIRCLQWTTVIERTFHVETPEEREEWATAIQTVADGLKRQEEETMDF RSGSPSDNSGAEEMEVSLAKPKHRVTMNEFEYLKLLGKGTFGKVILVKEKATGRYYAMKI LKKEVIVAKDEVAHTLTENRVLQNSRHPFLTALKYSFQTHDRLCFVMEYANGGELFFHLS RERVFSEDRARFYGAEIVSALDYLHSEKNVVYRDLKVLEDNDYGRAVDWWGLGVVMYEMM CGRLPFYNQDHEKLFELILMEEIRFPRTLGPEAKSLLSGLLKKDPTQRLGGGSEDAKEIM QHRFFANIVWQDVYEKKLSPPFKPQVTSETDTRYFDEEFTAQMITITPPDQDDSMECVDS ERRPHFPQFSYSASGTA >ENSMUSP00000123689.2 pep:known chromosome:GRCm38:12:112658425:112674884:-1 gene:ENSMUSG00000001729.14 transcript:ENSMUST00000144550.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1 description:thymoma viral proto-oncogene 1 [Source:MGI Symbol;Acc:MGI:87986] MNDVAIVKEGWLHKRGEYIKTWRPRYFLLKNDGTFIGYKERPQDVDQRESPLNNFSVAQC QLMKTERPRPNTFIIRCLQWTTVIERTFHVETPEEREEWATAIQTVADGLKRQEEETMDF RSGSPSDNSGAEEMEVSLAKPKHRVTMNEFEYLKLLGKGTFGKVILVKEKATGRYYAMKI LKKEVIVAKDEVAHTLTENRVL >ENSMUSP00000118190.1 pep:known chromosome:GRCm38:12:112659160:112673944:-1 gene:ENSMUSG00000001729.14 transcript:ENSMUST00000130342.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1 description:thymoma viral proto-oncogene 1 [Source:MGI Symbol;Acc:MGI:87986] MNDVAIVKEGWLHKRGEYIKTWRPRYFLLKNDGTFIGYKERPQDVDQRESPLNNFSVAQC QLMKTERPRPNTFIIRCLQWTTVIERTFHVETPEEREEWATAIQTVADGLKRQEEETMDF RSGSPSDNSGAEEM >ENSMUSP00000105406.1 pep:known chromosome:GRCm38:2:153492790:153493841:1 gene:ENSMUSG00000079001.1 transcript:ENSMUST00000109783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930404H24Rik description:RIKEN cDNA 4930404H24 gene [Source:MGI Symbol;Acc:MGI:1925401] MATHQRKCILQETLIPSPRSWDSLTFHSHTVRKEMAENYCWPSNPI >ENSMUSP00000036571.7 pep:known chromosome:GRCm38:2:153407462:153529971:-1 gene:ENSMUSG00000061411.12 transcript:ENSMUST00000035346.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol4l description:nucleolar protein 4-like [Source:MGI Symbol;Acc:MGI:1918765] MPKPTLLLRGGWERERSPGDSELGRQFRDWCLRTYGDSAKTKTVTRSKYQRIAEVLQGGG GTGAGSGPAAGEKGKFQFWVRSKGFRLGSGREPKMGQVVYVPVKTGSGADGLSEPEGISL KRVAVVEDFFDIIYSMHVESSAEPGKAPKHAGQKKTYRAIAETYAFLPREAVTRFLMSCT ECQKRMHFNSSGPEPKENEPPSPLVSGIIDYNMPLTSTYLKQMKLRVMNSQEQDETSVSS EDFDMNDSAWMSADPHLASSLSPSQEERMRSPQNLHSQEDDDSSSESGSGNGSSTLNPST SSSTQGDPAFPEMNGNGAAAPMDFTATAEDQPINLCEKLPPGTALGTPSYPSDGCGTDGL RSRVKYGVKNTPESPPYSSGSYDSIKTEVSGCPEDLTVGRAPAADDDDDDHDDHEDNDKM NDSEGMDPERLKAFNMFVRLFVDENLDRMVPISKQPKEKIQAIIESCSRQFPEFQERARK RIRTYLKSCRRMKKNGMEMTRPTPPHLTSAMAENILAAACESETRKAAKRMRLEIYQSSQ EEPIALDKQHSRDSTAITHSTYSLPASAYSQDPVYVNGGLNYSYRGYGSLSSNLQPSASL QTGNHSNGPTDLSMKGGASTPTPPTPTPSSNSTSRTMPTAQLSPTEISAVRQLIAGYRES AAFLLRSADELENLILQQN >ENSMUSP00000105407.1 pep:known chromosome:GRCm38:2:153407466:153444479:-1 gene:ENSMUSG00000061411.12 transcript:ENSMUST00000109784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol4l description:nucleolar protein 4-like [Source:MGI Symbol;Acc:MGI:1918765] MNDSAWMSADPHLASSLSPSQEERMRSPQNLHSQEDDDSSSESGSGNGSSTLNPSTSSST QGDPAFPEMNGNGAAAPMDFTATAEDQPINLCEKLPPGTALGTPSYPSDGCGTDGLRSRV KYGVKNTPESPPYSSGSYDSIKTEVSGCPEDLTVGRAPAADDDDDDHDDHEDNDKMNDSE GMDPERLKAFNMFVRLFVDENLDRMVPISKQPKEKIQAIIESCSRQFPEFQERARKRIRT YLKSCRRMKKNGMEMTRPTPPHLTSAMAENILAAACESETRKAAKRMRLEIYQSSQEEPI ALDKQHSRDSTAITHSTYSLPASAYSQDPVYVNGGLNYSYRGYGSLSSNLQPSASLQTGN HSNGPTDLSMKGGASTPTPPTPTPSSNSTSRTMPTAQLSPTEISAVRQLIAGYRESAAFL LRSADELENLILQQN >ENSMUSP00000142326.1 pep:known chromosome:GRCm38:9:107614125:107635312:-1 gene:ENSMUSG00000032562.13 transcript:ENSMUST00000192615.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnai2 description:guanine nucleotide binding protein (G protein), alpha inhibiting 2 [Source:MGI Symbol;Acc:MGI:95772] MGCTVSAEDKAAAERSKMIDKNLREDGEKAAREVKLLLLGAGESGKSTIVKQMKIIHEDG YSEEECRQYRAVVYSNTIQSIMAIVKAMGNLQIDFADPQRADDARQLFALSCAAEEQGML PEDLSGVIRRLWADHGVQACFGRSREYQLNDSAAYYLNDLERIAQSDYIPTQQDVLRTRV KTTGIVETHFTFKDLHFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSAYDLVLAEDEE MNRMHESMKLFDSICNNKWFTDTSIILFLNKKDLFEEKITQSSLTICFPEYTGANKYDEA ASYIQSKFEDLNKRKDTKEIYTHFTCATDTKNVQFVFDAVTDVIIKNNLKDCGLF >ENSMUSP00000057543.6 pep:known chromosome:GRCm38:9:107614125:107635367:-1 gene:ENSMUSG00000032562.13 transcript:ENSMUST00000055704.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnai2 description:guanine nucleotide binding protein (G protein), alpha inhibiting 2 [Source:MGI Symbol;Acc:MGI:95772] MGCTVSAEDKAAAERSKMIDKNLREDGEKAAREVKLLLLGAGESGKSTIVKQMKIIHEDG YSEEECRQYRAVVYSNTIQSIMAIVKAMGNLQIDFADPQRADDARQLFALSCAAEEQGML PEDLSGVIRRLWADHGVQACFGRSREYQLNDSAAYYLNDLERIAQSDYIPTQQDVLRTRV KTTGIVETHFTFKDLHFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSAYDLVLAEDEE MNRMHESMKLFDSICNNKWFTDTSIILFLNKKDLFEEKITQSSLTICFPEYTGANKYDEA ASYIQSKFEDLNKRKDTKEIYTHFTCATDTKNVQFVFDAVTDVIIKNNLKDCGLF >ENSMUSP00000141472.1 pep:known chromosome:GRCm38:9:107616501:107626606:-1 gene:ENSMUSG00000032562.13 transcript:ENSMUST00000193394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnai2 description:guanine nucleotide binding protein (G protein), alpha inhibiting 2 [Source:MGI Symbol;Acc:MGI:95772] MKIIHEDGYSEEECRQYRAVVYSNTIQSIMAIVKAMGNLQIDFADPQRADDARQLFALSC AAEEQGMLPEDLSGVIRRLWADHGVQACFGRSREYQLNDSAAYYLNDLERIAQSDYIPTQ QDVLRTRVKTTGIVETHFTFKDLHFKMFDVGGQRSERKKW >ENSMUSP00000141929.1 pep:known chromosome:GRCm38:9:107620134:107635337:-1 gene:ENSMUSG00000032562.13 transcript:ENSMUST00000192837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnai2 description:guanine nucleotide binding protein (G protein), alpha inhibiting 2 [Source:MGI Symbol;Acc:MGI:95772] MGCTVSAEDKAAAERSKMIDKNLREDGEKAAREVKLLLLGINPQIICPSFKAFLTLCRSL ASAPSCPRCWRVREEHHRQADEDHP >ENSMUSP00000142060.1 pep:known chromosome:GRCm38:9:107635100:107635343:-1 gene:ENSMUSG00000032562.13 transcript:ENSMUST00000193876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnai2 description:guanine nucleotide binding protein (G protein), alpha inhibiting 2 [Source:MGI Symbol;Acc:MGI:95772] MGCTVSAEDKAAAERSKMIDKNLREDGEKAAREVKLLLL >ENSMUSP00000070927.5 pep:known chromosome:GRCm38:9:108572311:108578739:-1 gene:ENSMUSG00000066357.6 transcript:ENSMUST00000068700.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr6 description:WD repeat domain 6 [Source:MGI Symbol;Acc:MGI:1930140] MDAFGDYVWPRATSELILLPVTGLECVGDRLLAGEGPDLLVYNLDLGGHLRMVKRVQNLL GHFLIHGFRVRPEPKGDLDSEAMIAVFGSKGLKVVKVSWGQSHLRELWRSGLWNMSDWIW DVRWIEGNVAVALGHNSVVLYDPVIGCMLQDVPCTDRCTLSSACLVGDTWKELTIVAGAV SNELLIWYPATALTDNKPVAPDRRVSGHVGVIFSMSYLESKGLLATASEDRSVRLWKVGD LRVPGGRVQNIGHCFGHSARVWQVKLLENYLISAGEDCVCLVWSHEGEILQAFRGHRGRG IRAIATHERQAWVVTGGDDSGIRLWHLAGRGYPGLGVSSLSFKSPSRPGALKAVTLAGSW RVLAVTDVGSLYLYDLEVKSWEQLLEDNRFRSYCLLEAAPGPEGFGLCALANGEGLVKVV PINTPTAAVEQKLFQGKVHSLSWALRGYEELLLLASGPGGVIACLEISAAPTGKAIFVKE RCRYLLPPSKQRWHTCSAFLPPGDFLVCGDRRGSVMLFPVRPCLFKKPGAGSKAITAAEA PGAGSGSGGSESVPTGIGPVSTLHSLHGKQGVTSVTCHGGYLYSTGRDSSYFQLFVHGGH LQPVLRQKACRGMNWVAGLRMVPDGSMVILGFHANEFVVWSPRSHEKLHIVNCGGGHRSW AFSDTEAAMAFTYLKDGEVMLYRALGGCIRPNVILREGLHGREITCVKRVGTVTLGPEFE VPNLEHPDSLEPGSEGPGLIDIVITGSEDTTVCVLALPTTTGSAHALTSVCNHISSVRAL AVWAVGTPGGPQDTRPGLTAQVVSAGGRAEIHCFSVMVTPDASTPSRLACHVMHLSSHRL DEYWDRQRNKHKMIKVDPETRYMSLAICELDNDRPGLGPGPLVAAACSDGAVRLFLLQDS GRILHLLAESFHHKRCVLKVHSFTHEAPNQRRRLILCSAATDGSLAFWDLTTAMDKGSTT LELPAHPGLPYQMGTPSMTVQAHSCGVNSLHTLPTPEGHHLVASGSEDGSLHVFTLAVKM PEPEEADGEAELVPQLCVLEEYSVPCAHAAHVTGVKILSPKLMVSASIDQRLTFWRLGQG EPTFMNSTVYHVPDVADMDCWPVSPEFGHRCALAGQGLEVYNWYD >ENSMUSP00000090281.6 pep:known chromosome:GRCm38:6:83054521:83057808:1 gene:ENSMUSG00000068328.9 transcript:ENSMUST00000092618.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aup1 description:ancient ubiquitous protein 1 [Source:MGI Symbol;Acc:MGI:107789] MPKDSAFPGAPAALRRWRRQRPRSPEAAAMEPPPAPGPERLFDSHRLPSDGFLLLALLLY APVGLCLLVLRLFLGLHVFLVSCALPDSVLRRFVVRTMCAVLGLVARQEDSGLRDHRVRV LISNHVTPFDHNIVNLLTTCSTPLLNSPPSFVCWSRGFMEMDRRVELVESLKKFCASTRL PPTPLLLFPEEEATNGREGLLRFSSWPFSIQDVVQPLTLQVQRPLVSVTVSDASWVSELL WSLFVPFTVYQVRWLHPIRRQLGEESEEFALRVQQLVAKELGQIGTRLTPADKAEHMKRQ RHPRLRPQSVQSSFPSPPSPSSDVQLTTLAHRVKEVLPHVPLNVIQRDLARTGCVDLTIT NLLEGAVAFMPEDVTEGSQSPPAPSAPKFPSSGLATPQPTALTFAKSSWARQESLQERKQ ALYEYARRRFRERQAQEAE >ENSMUSP00000145020.1 pep:known chromosome:GRCm38:6:83054731:83057678:1 gene:ENSMUSG00000068328.9 transcript:ENSMUST00000203915.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aup1 description:ancient ubiquitous protein 1 [Source:MGI Symbol;Acc:MGI:107789] XALRRWRRQRPRSPEAAAMEPPPAPGPERLFDSHRLPSDGFLLLALLLYAPVGLCLLVLR LFLGLHVFLVSCALPDSVLRRFVVRTMCAVLGLVARQEDSGLRDHRVRVLISNHVTPFDH NIVNLLTTCSTPLLNSPPSFVCWSRGFMEMDRRVELVESLKKFCASTRLPPTPLLLFPEE EATNGREGLLRFSSWPFSIQDVVQPLTLQVQRPLVSVTVSDASWVSELLWSLFVPFTVYQ VRWLHPIRRQLGEESEEFALRVQQLVAKELGQIGTRLTPADKAEHMKRQRHPRLRPQSVQ SSFPSPPSPSSDVQLTTLAHRVKEVLPHVPLNVIQRDLARTGCVDLTITNLLEGAVAFMP EDVTEGSQSPPAPSAPKAFDGCIMMMTSQAL >ENSMUSP00000145409.1 pep:known chromosome:GRCm38:6:83054736:83056434:1 gene:ENSMUSG00000068328.9 transcript:ENSMUST00000204719.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aup1 description:ancient ubiquitous protein 1 [Source:MGI Symbol;Acc:MGI:107789] XRRWRRQRPRSPEAAAMEPPPAPGPERLFDSHRLPSDGFLLLALLLYAPVGLCLLVLRLF LGLHVFLVRGTNHVCGPGARGPAGGLRTPRSPRQGPYFQPRNTF >ENSMUSP00000145335.1 pep:known chromosome:GRCm38:6:83054748:83057682:1 gene:ENSMUSG00000068328.9 transcript:ENSMUST00000204343.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aup1 description:ancient ubiquitous protein 1 [Source:MGI Symbol;Acc:MGI:107789] XRQRPRSPEAAAMEPPPAPGPERLFDSHRLPSDGFLLLALLLYAPVGLCLLVLRLFLGLH VFLVSCALPDSVLRSLYSIVPPALCVGLGASWRWIGGWSWWSHSRNSVLPRGFRPHLCCS SPRKRPPMAEKGCCVSVRGHFLFRTWYNLLPCKFRDPWSL >ENSMUSP00000032185.7 pep:known chromosome:GRCm38:6:91684053:91759066:1 gene:ENSMUSG00000030096.8 transcript:ENSMUST00000032185.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a6 description:solute carrier family 6 (neurotransmitter transporter, taurine), member 6 [Source:MGI Symbol;Acc:MGI:98488] MATKEKLQCLKDFHKDILKPSPGKSPGTRPEDEADGKPPQREKWSSKIDFVLSVAGGFVG LGNVWRFPYLCYKNGGGAFLIPYFIFLFGSGLPVFFLEVIIGQYTSEGGITCWEKICPLF SGIGYASIVIVSLLNVYYIVILAWATYYLFHSFQKDLPWAHCNHSWNTPQCMEDTLRRNE SHWVSLSTANFTSPVIEFWERNVLSLSSGIDNPGSLKWDLALCLLLVWLVCFFCIWKGVR STGKVVYFTATFPFAMLLVLLVRGLTLPGAGEGIKFYLYPDISRLGDPQVWIDAGTQIFF SYAICLGAMTSLGSYNKYKYNSYRDCMLLGCLNSGTSFVSGFAIFSILGFMAQEQGVDIA DVAESGPGLAFIAYPKAVTMMPLPTFWSILFFIMLLLLGLDSQFVEVEGQITSLVDLYPS FLRKGYRREIFIAILCSISYLLGLTMVTEGGMYVFQLFDYYAASGVCLLWVAFFECFVIA WIYGGDNLYDGIEDMIGYRPGPWMKYSWAVITPALCVGCFVFSLVKYVPLTYNKVYRYPD WAIGLGWGLALSSMLCIPLVIVILLCRTEGPLRVRIKYLITPREPNRWAVEREGATPFHS RVTLMNGALMKPSHVIVETMM >ENSMUSP00000145794.1 pep:known chromosome:GRCm38:6:91684120:91724942:1 gene:ENSMUSG00000030096.8 transcript:ENSMUST00000205480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a6 description:solute carrier family 6 (neurotransmitter transporter, taurine), member 6 [Source:MGI Symbol;Acc:MGI:98488] MATKEKLQCLKDFHKDILKPSPGKSPGTRPEDEADGKPPQREKWSSKIDFVLSVAGGFVG LGNVWRFPYLCYKNGGGAFLIPYFIFLFGSGLPVFFLEVIIGQYTSEGGI >ENSMUSP00000146306.1 pep:known chromosome:GRCm38:6:91684128:91724977:1 gene:ENSMUSG00000030096.8 transcript:ENSMUST00000206545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a6 description:solute carrier family 6 (neurotransmitter transporter, taurine), member 6 [Source:MGI Symbol;Acc:MGI:98488] MATKEKLQCLKDFHKDILKPSPGKSPGTRPEDEADGKPPQREKWSSKIDFVLSVAGGFVG LGNVWRFPYLCYKNGGGAFLIPYFIFLFGSGLPVFFLEVIIGQYTSEGGITCWEKICPLF SG >ENSMUSP00000146312.1 pep:known chromosome:GRCm38:6:91701657:91724863:1 gene:ENSMUSG00000030096.8 transcript:ENSMUST00000205828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a6 description:solute carrier family 6 (neurotransmitter transporter, taurine), member 6 [Source:MGI Symbol;Acc:MGI:98488] MATKEKLQCLKDFHKDILKPSPGKSPGTRPEDEADGKPPQREKWSSKIDFVLSVAGGFVG LGNVWRFPYLCYKNGGGAFLIPY >ENSMUSP00000145986.1 pep:known chromosome:GRCm38:6:91724959:91745029:1 gene:ENSMUSG00000030096.8 transcript:ENSMUST00000205663.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a6 description:solute carrier family 6 (neurotransmitter transporter, taurine), member 6 [Source:MGI Symbol;Acc:MGI:98488] XLSFVLWHWLRIHRHCVPPERVLHRHPGLGHILPIPLFPEGSSLGPLQP >ENSMUSP00000113052.1 pep:known chromosome:GRCm38:2:121140498:121156678:1 gene:ENSMUSG00000027259.15 transcript:ENSMUST00000119031.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adal description:adenosine deaminase-like [Source:MGI Symbol;Acc:MGI:1923144] MEAGQQWPGKTDFYLQLPKVELHAHLNGSISSSTMKKLIAKKPHLNVHGHMTMIDKGKKR TLQECFQMFQVIHQLTTSAEDILMVTKDVIKEFADDGVKYLELRSTPREENATGMTRKTY VESVLEGIKQCKQENLDIDVRYLMAIDRRGGPTIARETVELAKEFFLSTENTVLGLDLSG DPTIGQANDFLEPLLEAKKAGLKLALHLAEIPNREKENQMLLSLLPDRIGHGTFLSASEA GALDQVDFVRQHQIPLELCLTSNIKSQTVPSYDQHHFGFWYSIAHPSVICTDDKGVFATY LSQEYQLAAETFNLTPFQVWDLSYESINYIFACDNTRSELRKRWTHLKQKVLNCNEVNYF >ENSMUSP00000028702.3 pep:known chromosome:GRCm38:2:121140432:121156680:1 gene:ENSMUSG00000027259.15 transcript:ENSMUST00000028702.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adal description:adenosine deaminase-like [Source:MGI Symbol;Acc:MGI:1923144] MFQVIHQLTTSAEDILMVTKDVIKEFADDGVKYLELRSTPREENATGMTRKTYVESVLEG IKQCKQENLDIDVRYLMAIDRRGGPTIARETVELAKEFFLSTENTVLGLDLSGDPTIGQA NDFLEPLLEAKKAGLKLALHLAEIPNREKENQMLLSLLPDRIGHGTFLSASEAGALDQVD FVRQHQIPLELCLTSNIKSQTVPSYDQHHFGFWYSIAHPSVICTDDKGVFATYLSQEYQL AAETFNLTPFQVWDLSYESINYIFACDNTRSELRKRWTHLKQKVLNCNEVNYF >ENSMUSP00000106293.1 pep:known chromosome:GRCm38:2:121140439:121156678:1 gene:ENSMUSG00000027259.15 transcript:ENSMUST00000110665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adal description:adenosine deaminase-like [Source:MGI Symbol;Acc:MGI:1923144] MAIDRRGGPTIARETVELAKEFFLSTENTVLGLDLSGDPTIGQANDFLEPLLEAKKAGLK LALHLAEIPNREKENQMLLSLLPDRIGHGTFLSASEAGALDQVDFVRQHQIPLVLEANAE LYMCRAGPLLLRHIPTQNKSTSQVMNILWELCLTSNIKSQTVPSYDQHHFGFWYSIAHPS VICTDDKGVFATYLSQEYQLAAETFNLTPFQVWDLSYESINYIFACDNTRSELRKRWTHL KQKVLNCNEVNYF >ENSMUSP00000106290.2 pep:known chromosome:GRCm38:2:121140499:121155589:1 gene:ENSMUSG00000027259.15 transcript:ENSMUST00000110662.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adal description:adenosine deaminase-like [Source:MGI Symbol;Acc:MGI:1923144] MAIDRRGGPTIARETVELAKEFFLSTENTVLGLDLSGDPTIGQANDFLEPLLEAKKAGLK LALHLAEIPNREKENQMLLSLLPDRIGHGTFLSASEAGALDQVDFVRQHQIPLELCLTSN IKSQTVPSYDQHHFGFWYSIAHPSVICTDDKGVFATYLSQEYQLAAETFNLTPFQVWDLS YESINYIFACDNTRSELRKRWTHLKQKVLNCNEVNYF >ENSMUSP00000067133.5 pep:known chromosome:GRCm38:2:121142141:121156659:1 gene:ENSMUSG00000027259.15 transcript:ENSMUST00000066155.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adal description:adenosine deaminase-like [Source:MGI Symbol;Acc:MGI:1923144] MEAGQQWPGKTDFYLQLPKVELHAHLNGSISSSTMKKLIAKKPHLNVHGHMTMIDKGKKR TLQECFQMFQVIHQLTTSAEDILMVTKDVIKEFADDGVKYLELRSTPREENATGMTRKTY VESVLEGIKQCKQENLDIDVRYLMAIDRRGGPTIARETVELAKEFFLSTENTVLGLDLSG DPTIGQANDFLEPLLEAKKAGLKLALHLAEIPNREKENQMLLSLLPDRIGHGTFLSASEA GALDQVDFVRQHQIPLELCLTSNIKSQTVPSYDQHHFGFWYSIAHPSVICTDDKGVFATY LSQEYQLAAETFNLTPFQVWDLSYESINYIFACDNTRSELRKRWTHLKQKVLNCNEVNYF >ENSMUSP00000046898.7 pep:known chromosome:GRCm38:5:138259656:138263994:-1 gene:ENSMUSG00000036948.17 transcript:ENSMUST00000048421.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC037034 description:cDNA sequence BC037034 [Source:MGI Symbol;Acc:MGI:2385896] MESQCDYSMYFPAVPLPPRAELAGDPGRYRALPRRNHLYLGETVRFLLVLRCRGSVGAGV GGGAGLASRGAWTELATSLAALASVSAGGALPGCGSAGDQDADPPGGGDPGGGGLFRGCS PLLTHGQGPATSGGATTLPVEEPIVSTDEVIFPLTVSLDRLPPGTPKAKIVVTVWKREVE APEVRDQGYLRLLQTRSPGETFRGEQSAFKAQVSTLLTLLPPPVLKCRQFTVAGKHLTVL KVLNSSSQEEISIWDIRILPNFNASYLPVMPDGSVLLVDNVCHQSGEVSMGSFCRLPGTS GYFPCPLSALEEHNFLFQLRGGEQPPPGAKEGLEVPLIAVVQWSTPKLPFTQSIYTHYRL PSVRLDRPCFVMTASCESPVRTYERFTVTYTLLNNLQDFLAVRLVWTPEHAQAGKQLCEE ERRAMQAALDSIVCHTPLNNLGFSRKGSALTFSVAFQALRTGLFELSQHMKLKLQFTASV SHPPPEARPLSRKSSPSSPAVRDLVERHQASLGRSQSFSHQQPSRSHLMRSGSVMERRAI TPPVASPVGRPLYLPPDKAVLSLDKIAKRECKVLVVEPVK >ENSMUSP00000125208.1 pep:known chromosome:GRCm38:5:138259658:138263340:-1 gene:ENSMUSG00000036948.17 transcript:ENSMUST00000159649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC037034 description:cDNA sequence BC037034 [Source:MGI Symbol;Acc:MGI:2385896] MPDGSVLLVDNVCHQSGEVSMGSFCRLPGTSGYFPCPLSALEEHNFLFQLRGGEQPPPGA KEGLEVPLIAVVQWSTPKLPFTQSIYTHYRLPSVRLDRPCFVMTASCESPVRTYERFTVT YTLLNNLQDFLAVRLVWTPEHAQAGKQLCEEERRAMQAALDSIVCHTPLNNLGFSRKGSA LTFSVAFQALRTGLFELSQHMKLKLQFTASVSHPPPEARPLSRKSSPSSPAVRDLVERHQ ASLGRSQSFSHQQPSRSHLMRSGSVMERRAITPPVASPVGRPLYLPPDKAVLSLDKIAKR ECKVLVVEPVK >ENSMUSP00000137679.1 pep:known chromosome:GRCm38:5:138259659:138263990:-1 gene:ENSMUSG00000036948.17 transcript:ENSMUST00000159123.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:BC037034 description:cDNA sequence BC037034 [Source:MGI Symbol;Acc:MGI:2385896] MESQCDYSMYFPAVPLPPRAELAGDPGRYRALPRRNHLYLGETVRFLLVLRCRGSVGAGV GGGAGLASRGAWTELATSLAALASVSAGGALPGCGSAGDQDADPPGGGDPGGGGLFRGCS PLLTHGQGPATSGGATTLPVEEPIVSTDEVIFPLTVSLDRLPPGTPKAK >ENSMUSP00000123724.1 pep:known chromosome:GRCm38:5:138260105:138260945:-1 gene:ENSMUSG00000036948.17 transcript:ENSMUST00000159146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC037034 description:cDNA sequence BC037034 [Source:MGI Symbol;Acc:MGI:2385896] LDSIVCHTPLNNLGFSRKGSALTFSVAFQPQQSCCPRPGGETPGQPGPFSIFLPPAAFP >ENSMUSP00000074415.2 pep:known chromosome:GRCm38:11:87913920:87915003:-1 gene:ENSMUSG00000060787.7 transcript:ENSMUST00000074874.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr464 description:olfactory receptor 464 [Source:MGI Symbol;Acc:MGI:3030298] MEPQNITWVSEFILLGFSQTQELQKLLFVVFLCVYITTVVGNILIMITVTFDPRLDMPMY FLLRNLAVIDLSYSTVTSPKMLVDFFHKTKTISYQGCMAQIFFFHLLGGGTVFFLSVMAY DRYIAISQPLHYVTIMNTKLCVGLVVASWVGGFAHSIVQLSLMLPLPFCGPNVLDNFYCD VPQVLRLACTDTSLLEFLMISNSGMLVLIWFLLLLISYTVILVMLRSHSGQARRKAASTC TTHIIVVSMIFIPCIYIYSRPFTPFPLDKAVSISYTVLTPMLNPMIYTLRNQEMQAAMKR LAEHLVLTKRNEL >ENSMUSP00000145782.1 pep:known chromosome:GRCm38:11:87914565:87916685:-1 gene:ENSMUSG00000060787.7 transcript:ENSMUST00000151956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr464 description:olfactory receptor 464 [Source:MGI Symbol;Acc:MGI:3030298] MPMYFLLRNLAVIDLSYSTVTSPKMLVDFFHKTKTISYQGCMAQIFFFHLLGGGTVF >ENSMUSP00000124459.1 pep:known chromosome:GRCm38:5:138273655:138280005:-1 gene:ENSMUSG00000029510.15 transcript:ENSMUST00000161827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc2 description:glypican 2 (cerebroglycan) [Source:MGI Symbol;Acc:MGI:1919201] MSALRPLLLLLLHLCPGLGPGHGSEAKVVRSCAETRQVLGARGYSLNLIPPSLISGEHLQ VCPQEYTCCSSETEQKLIRDAEVTFRGLVEDSGSFLIHTLAARHRKFNEFFREMLSISQH SLAQLFSHSYGRLYSQHAVIFNSLFSGLRDYYEKSGEGLDDTLADFWAQLLERAFPLLHP QYSFPPDFLLCLTRLTSTADGSLQPFGDSPRRLRLQISRALVAARALVQGLETGRNVVSE ALKVPVLEGCRQALMRLIGCPLCRGVPSLMPCRGFCLNVAHGCLSSRGLEPEWGGYLDGL LLLAEKLQGPFSFELAAESIGVKISEGLMHLQENSVKVSAKVFQECGTPHPVQSRSRRAP APREEASRSWRASAEEERPTTAAGTNLHRLVWELRERLSRVRGFWAGLPVTVCGDSRMAA DLSQETAPCWTGVGRGRYMSPVVVGSLNEQLHNPELDTSSPDVPTRRRRLHLRAATARMK AAALGQDLDMHDADEDASGSGGGQQYADDWKAGAVPVVPPARPPRPPRPPRRDGLGVRGG SGSARYNQGRSRNLGSSVGLHTPLVLLLLPSALTLLVLR >ENSMUSP00000137879.1 pep:known chromosome:GRCm38:5:138273657:138279982:-1 gene:ENSMUSG00000029510.15 transcript:ENSMUST00000161984.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpc2 description:glypican 2 (cerebroglycan) [Source:MGI Symbol;Acc:MGI:1919201] MSALRPLLLLLLHLCPGLGPGHGSEAKVVRSCAETRQVLGARGYSLNLIPPSLISGEHLQ VCPQEYTCCSSETEQKLIRDAEVTFRGLVEDSGSFLIHTLAARHRKFNEFFREMLSISQH SLAQLFSHSYGRLYSQHAVIFNSLFSGLRDYYEKSGEGLDDTLADFWAQLLERAFPLLHP QYSFPPDFLLCLTRLTSTADGSLQPFGDSPRRLRLQISRALVAARALVQGLETGRNVVSE ALKVPVLEGCRQALMRLIGCPLCRGVPSLMPCRGFCLNVAHGCLSSRGLEPEWGGYLDGL LLLAEKLQGPFSFELAAESIGVKISEGLMHLQENSVKVSAKV >ENSMUSP00000014089.7 pep:known chromosome:GRCm38:5:138273660:138279965:-1 gene:ENSMUSG00000029510.15 transcript:ENSMUST00000014089.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc2 description:glypican 2 (cerebroglycan) [Source:MGI Symbol;Acc:MGI:1919201] MSALRPLLLLLLHLCPGLGPGHGSEAKVVRSCAETRQVLGARGYSLNLIPPSLISGEHLQ VCPQEYTCCSSETEQKLIRDAEVTFRGLVEDSGSFLIHTLAARHRKFNEFFREMLSISQH SLAQLFSHSYGRLYSQHAVIFNSLFSGLRDYYEKSGEGLDDTLADFWAQLLERAFPLLHP QYSFPPDFLLCLTRLTSTADGSLQPFGDSPRRLRLQISRALVAARALVQGLETGRNVVSE ALKVPVLEGCRQALMRLIGCPLCRGVPSLMPCRGFCLNVAHGCLSSRGLEPEWGGYLDGL LLLAEKLQGPFSFELAAESIGVKISEGLMHLQENSVKVSAKVFQECGTPHPVQSRSRRAP APREEASRSWRASAEEERPTTAAGTNLHRLVWELRERLSRVRGFWAGLPVTVCGDSRMAA DLSQETAPCWTGVGRGRYMSPVVVGSLNEQLHNPELDTSSPDVPTRRRRLHLRAATARMK AAALDEDASGSGGGQQYADDWKAGAVPVVPPARPPRPPRPPRRDGLGVRGGSGSARYNQG RSRNLGSSVGLHTPLVLLLLPSALTLLVLR >ENSMUSP00000077697.3 pep:known chromosome:GRCm38:9:111311739:111395775:1 gene:ENSMUSG00000062296.8 transcript:ENSMUST00000078626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trank1 description:tetratricopeptide repeat and ankyrin repeat containing 1 [Source:MGI Symbol;Acc:MGI:1341834] MASTTAGRRWPPRRRSSRRGPTPRSRAPGAKLSAPEAGPPRRGPLPRGGAGRDTLLGAKA TPSSPAARRYVTALGPPQPRGSTDSACAALPQPVPHEPREAAFRLAPASERGASVSPARI PRRRRRVPAMWDPRAARTPPRELAMLLCNKSNAFYNLGKWNEAFLAAKECLQWDPTYVKG YYRAGYSLLHLLQPYEAARMFFEGLRLLQRSPDQLQVPDFLVGIFTTMSSDSIVLQSFLP CFDHIFTTGFSTEVWQYVIQKLAKKGLWHSFLLLSAKKDRLPSNIHVSELSLQSLFEKYV FIGFYEKLEQVPKLVQWLVSIGANIETIGPNPLHALMRLCIQARESQLFRWVMDQKPEWK EHINHRDDAGCTVLHVAAAHFPGYTSRRQTEDVQMLLSFGADPTLLDGHARTVVDVLKRN KNFRAIDKINSHLEKLASSSKGLSEIPAGLVCDVNRDCATTFIKFLLERQKWPEVLLLLT RKVSGQPQLRNGVIKDCDLSDLDICTVIPHLSSWDQRKTQLLSRLIDSGALPEGLQDSQD RPLLMCLRHEDFDLAFLLLTKGADPRSVSLVEGDTPLHAALHIFLDINADIGFNFLSHLL DLFLSNPTEFYYLNPNVQDSNGNTLMHLLFQKGMLKRTKKLIDLLVKFDINFNLKNKAGK GVRHRIKKNDALLLAWNKALTESRRKNRQDPAAHLGRLSRSSAPGHTSQLKSQTSFKSLP CGTADTTLSKGLTESLPDVQVSRQEPEAVRTRSLRDRLVQDITVLIQQVELGMPLPEDSP QRDSPKVAAGTEGKKDKLQRTQRMGSSGCSGNNPVASEAGDGAQAGPGASQLVPVGNRLG VASDNQENWTMQEIQACLQDFDNMTWEIECTSEMLKKLSSKVMTKVIKKKIILAIQQLGN GEWTQGLQKRLKHSKGNIQLFEAKLDKGARMLWELAIDFSARCSENSEKIIGTERNTYSL EKSGRVYTEIIRIWDIVLDHCKLSDSIMAICSAYTRGLSCVLRKKLKGINKGQVSANMKI QKRIPRCYVEDTEAEKSLEQVDPEYFPPASAVETEYSIMKFHSFSTNMALNILNDMTATV EYPFRVGELEYAVIDLNPKPLEPIILIGRSGTGKTTCCLYRLWKKFHVYWEKAEQAGSPL LSKQILPKRRLEVEPGKEGPGREEEEHEEEEGSIKVETVDGIDEEQESEACAGGATVEPA GDSQGAEGCVPDHPHQLEHLHQIFVTKNHVLCQEVQRNFIELSKSTKATSHYKPLDPNVH KLQDLRDENFPLFVTSKQLLLLLDASLPKPFFLRNEDGSLKRTIVGWSTQEEFSIPSWEE DDEEVEADGNYNEEEKATETQTGDSDPRVYVTFEVFTNEIWPKMIKGRSSYNPALIWKEI KSFLKGSFEALSCPHGRLTEEAYKKLGRKRSPNFKEDRSEIYSLFCLYQQIRSQKGYFDE EDVLYNLSWRLSKLRVLPWSIHELYGDEIQDFTQAELALLMKCINDPNAMFLTGDTAQSI MKGVAFRFSDLLSLFHYASRSTVDKQCAVRKPKRIHQLYQNYRSHSGILNLASGVVDLLQ FYFPESFDRLPRDSGLFDGPKPTLLDSCSVSDLAILLRGNKRKTQPIEFGAHQVILVANE KAKEKIPEELGLALVLTVYEAKGLEFDDVLLYNFFTDSEAYKEWKIISSFTPSSDSREEK WPLVDVPLERSSPSQARSLMVNPEMYKLLNGELKQLYTAITRARVNLWIFDENLEKRAPA FKYFIRRDFVQVVKTDENKDFDDSMFVKTSTPYEWIIQGDYYAKHQCWKVAAKCYQKGDA LEKEKLALAHYTALNMKSKKFSPKEKELQYLELAKTYLECNEPKLSLKCLSYAKEFQLSA QLCERLGKIRDAAYFYKRSQCFQDAFRCFEQIQEFDLALRMYCQEELFEEAAIAVEKYEE MLKNKTFPIPKLSYSASQFYLEAAAKYLSANKSKEMMAVLSKLDVEDQLVFLKSRKCLAE AAELLNREGRREEAALLMKQHGCLLEAARLTANKDFQASCLLGVARFNVARDSDIEHTKV ILREALDLCYQTSQLAGIAEAQFLLGIILRDFQKLRDAFIKFDMLNHSAGMVEALYEAAS LCESEHQKVLALAPGGLEVLLNLVRALKNVTNNAEKEMVKSCFEFFGIFQVDAKYCQIAQ NDPGPILRIILDLDLTLSEKKTKDHFLIVTDQVKLALNKHLLGRLCQITQILLGKAYPGI CMRFIVGLKCEDEQCEDFHRPLRRCEAKCMVQSKMHLVAINGLLLEAKKVFPNVLAEDLE EMDYILSPDTYGLCKSFLNLLFPRHFHQRVLSENPMACKDILKPNYKSFRSFRCALKEYI HDLFQKESAHSRRESTDLWLSAMQAFLLSSSYPEDFEKLLRQEEDSYNRELKVLESDRED RGRGRGSRVRGIEGKFGMLVPNREDENVEKSYLCFIRLLESSMDQLYVRRNPGDYKRLFF RFMNVLIKRCKAPLVPSIINTVVLLELQFVHCGVVLTRLWKNAVLCLPKSYIALLHFWEF LYGKKDRESGEVFSIIQEYKPKDVTRAIRDFRFHLSYLVKVLCGYENMNFNVLLDSFSEI DYVISGEAERTLVLCLVMLVNAEEVLQPFCKPLLFRHFREIQTRLQLMSMDWPGQVPKRL LKVVQRVLVAASVKSVAEALQDLLFERDEEYLVDCHWRWDSVHTKGTVVRGLCHEEVRLN RLLCTGPMDQFADSEWDFGEDETHELDELAQEDRDNFLAAILSQKQRKALIQRKLRRVCL VVSLCIRWRRWVQTEHSREDREVRPGNFKRADVDRTQCDLCGVKFTRSPESYFSPGKAFE GTATEAVLISRAELEGRECRERISESYEQHIRLEGHRRQQAAYQKYLDFFHEKVDPIIEE GKVVVQGIEQSVWIRSHLGSKEQSHMLQRKVQEHIRRVSDLVEELYRRKAWAEAEEVMTQ QVKILTLSVKNAQEWLKKTELRLKDEGTVQEEEYENEVEDFGELRPRRRAQKCGKQRKH >ENSMUSP00000143534.1 pep:known chromosome:GRCm38:9:111312096:111345493:1 gene:ENSMUSG00000062296.8 transcript:ENSMUST00000197049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trank1 description:tetratricopeptide repeat and ankyrin repeat containing 1 [Source:MGI Symbol;Acc:MGI:1341834] MWDPRAARTPPRELAMLLCNKSNAFYNLGKWNEAFLAAKECLQWDPTYVKGYYRAGYSLL HLLQPYEAARMFFEGLRLLQRSPDQLQVPDFLVGIFTTMSSIGMSDPGGGDSIVLQSFLP CFDHIFTTGFSTEVWQYVIQKL >ENSMUSP00000036093.7 pep:known chromosome:GRCm38:1:135382312:135430499:-1 gene:ENSMUSG00000041879.13 transcript:ENSMUST00000041023.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipo9 description:importin 9 [Source:MGI Symbol;Acc:MGI:1918944] MAAAAAAGAASGLPGPVAQGLKEALVDTLTGILSPVQEVRAAAEEQIKVLEVTEEFGVHL AELTVDPQGALAIRQLASVILKQYVETHWCAQSEKFRPPETTERAKIVIRELLPNGLRES ISKVRSSVAYAVSAIAHWDWPEAWPQLFNLLMEMLVSGDLNAVHGAMRVLTEFTREVTDT QMPLVAPVILPEMYKIFTMAEVYGIRTRSRAVEIFTTCAHMICNMEELEKGAAKVLIFPV VQQFTEAFVQALQMPDGPTSDSGFKMEVLKAVTALVKNFPKHMVSSMQQILPIVWNTLTE SAAFYVRTEVNYTEEVEDPVDSDGEVLGFENLVFSIFEFVHALLENSKFKSTVKKALPEL IYYIILYMQITEEQIKVWTANPQQFVEDEDDDTFSYTVRIAAQDLLLAVATDFQNESAVA LATAATRHLQEAEQTKASGTEHWWKIHEACMLALGSVKSIITDSVKNGRIHFDMHGFLTN VILVDLNLSASPFLLGRALWAASRFTVAMSPELIQQFLQATVSGLHETQPPSVRISAVRA IWGYCDQLKVSESTHVLQPFLPSILDGLIHLAAQFSSEVLNLVMETLCIVCTVDPEFTAS VENKICPFTIAIFLKYSNDPVVASLAQDIFKELSQIEACQGPMQMRLIPTLVSIMQAPAD KIPAGLCATAIDILTTVVRNTKPPLSQLLICQAFPAVAQCTLHTDDNATMQNGGECLRAY VSVTLEQVAQWHDEQGHNGLWYVMQVVSQLLDPRTSEFTAAFVGRLVSTLISKAGRELGE NLDQILRAILSKMQQAETLSVMQSLIMVFAHLVHTQLEPLLEFLCSLPGPTGKPALEFVM AEWTSRQHLFYGQYEGKVSSVALCKLLQHGINADDKRLQDIRVKGEEIYSMDEGIRTRSK SAKNPERWTNIPLLVKILKLIINELSNVMEANAARQATPAEWNQDDSNDMWEDQEEEEEE EDGLAGQLLSDILATSKYEEDYYEDDEEDDPDALKDPLYQIDLQAYLTDFLCQFAQQPCY IMFSCHLNDNERRVLQTIGI >ENSMUSP00000124779.1 pep:known chromosome:GRCm38:1:135384473:135430458:-1 gene:ENSMUSG00000041879.13 transcript:ENSMUST00000161032.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipo9 description:importin 9 [Source:MGI Symbol;Acc:MGI:1918944] MAAAAAAGAASGLPGPVAQGLKEALVDTLTGILSPVQEVRAAAEEQIKVLEVTEEFGVHL AELTVDPQGALAIRQLASVILKQYVETHWCAQSEKFRPPETTERAKIVIRELLPNGLRES ISKVRSSVAYAVSAIAHWDWPEAWPQLFNLLMEMLVSGDLNAVHGAMRVLTEFTREVTDT QMPLVAPVILPEMYKIFTMAEVYGIRTRSRAVEIFTTCAHMICNMEELEKGAAKVLIFPV VQQFTEAFVQALQMPDGPTSDSGFKMEVLKAVTALVKNFPKHMVSSMQQILPIVWNTLTE SAAFYVRTEVNYTEEVEDPVDSDGEVLGFENLVFSIFEFVHALLENSKFKSTVKKALPEL IYYIILYMQITEEQIKVWTANPQQFVEDEDDDTFSYTVRIAAQDLLLAVATDFQNESAVA LATAATRHLQEAEQTKASGTEHWWKIHEACMLALGSVKSIITDSVKNGRIHFDMHGFLTN VILVDLNLSASPFLLGRALWAASRFTVAMSPELIQQFLQATVSGLHETQPPSVRISAVRA IWGYCDQLKVSESTHVLQPFLPSILDGLIHLAAQFSSEVLNLVMETLCIVCTVDPEFTAS VENKICPFTIAIFLKYSNGFRKAKVLNFAPEEKPPLTLGVAIRKSMPWRTPLLYV >ENSMUSP00000124492.1 pep:known chromosome:GRCm38:1:135384473:135430491:-1 gene:ENSMUSG00000041879.13 transcript:ENSMUST00000161189.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipo9 description:importin 9 [Source:MGI Symbol;Acc:MGI:1918944] MAAAAAAGAASGLPGPVAQGLKEALVDTLTGILSPVQEVRAAAEEQIKVLEVTEV >ENSMUSP00000124210.1 pep:known chromosome:GRCm38:1:135385121:135388594:-1 gene:ENSMUSG00000041879.13 transcript:ENSMUST00000161934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipo9 description:importin 9 [Source:MGI Symbol;Acc:MGI:1918944] XGKPALEFVMAEWTSRQHLFYGQYEGKVSSVALCKLLQHGINADDKRLQDIRVKGEEIYS MDEGIRTRSKSAKNPERWTNIPLLVKILKLIINELSNVMEANAARQATPAEWNQDDSNDM WEDQEEEEEEEDGLAGQLLSDILATSKYGVSHRLPLPVCSAALLHNVFMPP >ENSMUSP00000125646.1 pep:known chromosome:GRCm38:1:135402348:135430433:-1 gene:ENSMUSG00000041879.13 transcript:ENSMUST00000161838.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipo9 description:importin 9 [Source:MGI Symbol;Acc:MGI:1918944] MAAAAAAGAASGLPGPVAQGLKEALVDTLTGILSPVQEVRAAAEEQIKVLEVTEV >ENSMUSP00000123869.1 pep:known chromosome:GRCm38:1:135408064:135430419:-1 gene:ENSMUSG00000041879.13 transcript:ENSMUST00000159173.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipo9 description:importin 9 [Source:MGI Symbol;Acc:MGI:1918944] XAAAGAASGLPGPVAQGLKEALVDTLTGILSPVQEVRAAAEEQIKVLEVTEV >ENSMUSP00000071484.1 pep:known chromosome:GRCm38:11:73588798:73589736:-1 gene:ENSMUSG00000095095.1 transcript:ENSMUST00000071553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr385 description:olfactory receptor 385 [Source:MGI Symbol;Acc:MGI:3030219] MIKNNQTVISQFLLLGLPIPPEHQHLFYALFLAMYLTTVLGNLIIIILIILDFHLHTPIY LFLSNLSFSDLCFSSVTMPKLLQNMQSQDTTISYVGCLTQMYFPNVFANLENFLLMFMAY DRYVAICYPLRYTSIMSPILCVCMVFMSWLLTMLNSTLHTVLIVKLSFCEDNVIPHFFCD ISAVLKLACSDIYINELTIFITGAFIIVIPFLLIVVSYVQIVCSILKFSSTRGIAKIFST CGSHLSVVSLFYGTIIGLYLCPSTNNSTVKDTAMAMMYTVVTPMLNPFIYSLRNKDMKEA LIRVLCKKEISL >ENSMUSP00000106302.2 pep:known chromosome:GRCm38:2:121137598:121140653:-1 gene:ENSMUSG00000074890.4 transcript:ENSMUST00000110674.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcmt2 description:leucine carboxyl methyltransferase 2 [Source:MGI Symbol;Acc:MGI:1353659] MGPRGRQRRAGTVQSTNDSSSLSKRSLAAHGYVRDPFAALLVPGPVRRTPLIHRGYYVRA RAVRHCVRAFLELTSALPSRTRAQILSLGSGSDSLYFRLKAAGLLARAAVWEVDFPDVSR LKAERIEETPELRAQTGPFKIGDSASSLCFESADYRILGADLRELQRLGEALDGAGLDAT SPTLLLAEAVLTYLEPSSATALIAWAAQRFPDALFVIYEQMQPGDAFGQIMLQHFQRLHS PLHGLELFPVVKAQRQRFLQAGWTACSALDLNEFYRRLLSAEERQRVETLEPFDEYEEWH LKCSHYFILAASRGDILSETPVFEPSEASFQIDPASPSGFLSARVVTSDHQHSSLKRYGH ASALLSPGVIFSAGGFGEQEGRHCRVSRFHVLSRSCDSEWEGCQISTLGTEGQWDGRLYH TMTRLSDTRVLVLGGRLSPVSPASGALQLDLYKSKDNCSEGQNVTVTKAALEEGSVLSCW RHSTTEVYYQNQRYLFVYGGRSVAEPVLSDCRFLHVETMAWVRIPVQGASPEGRHSHSAC SWQGGALIAGGLGASEELLSSVLFLKPVSSGFLWESIDIQPSITPRYSHTAHVFNGKLLL VGGVWIHSSSVPGVTVICLTTGLSSEYQIDTASVPWPLMLHNHSSALLPEEQQLLLIGGG GNCFSFGTYFNPHTVALDLSSLRSGQ >ENSMUSP00000097085.2 pep:known chromosome:GRCm38:2:121128307:121140378:-1 gene:ENSMUSG00000074890.4 transcript:ENSMUST00000099486.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcmt2 description:leucine carboxyl methyltransferase 2 [Source:MGI Symbol;Acc:MGI:1353659] MQPGDAFGQIMLQHFQRLHSPLHGLELFPVVKAQRQRFLQAGWTACSALDLNEFYRRLLS AEERQRVETLEPFDEYEEWHLKCSHYFILAASRGDILSETPVFEPSEASFQIDPASPSGF LSARVVTSDHQHSSLKRYGHASALLSPGVIFSAGGFGEQEGRHCRVSRFHVLSRSCDSEW EGCQISTLGTEGQWDGRLYHTMTRLSDTRVLVLGGRLSPVSPASGALQLDLYKSKDNCSE GQNVTVTKAALEEGSVLSCWRHSTTEVYYQNQRYLFVYGGRSVAEPVLSDCRFLHVETMA WVRIPVQGASPEGRHSHSACSWQGGALIAGGLGASEELLSSVLFLKPVSSGFLWESIDIQ PSITPRYSHTAHVFNGKLLLVGGVWIHSSSVPGVTVICLTTGLSSEYQIDTASVPWPLML HNHSSALLPEEQQLLLIGGQK >ENSMUSP00000072758.1 pep:known chromosome:GRCm38:11:73602582:73603520:1 gene:ENSMUSG00000060335.1 transcript:ENSMUST00000072993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr384 description:olfactory receptor 384 [Source:MGI Symbol;Acc:MGI:3030218] MIINNQTAIPQFILLGLPILPEQQQMFYALFLAMYLTTVLGNLIIIILIRLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNIQSQDPSISYAGCLTQMYFFMVFANTENVLLVVMAY DRYVAICFPLHYTSIMSPKLCVSLVVLTWVFTVLYSMLHTLLLARLSFCEDNVITHFFCD ISALLKLACSDTYINELMIFILGTLDTVVPFLLIVVSYVQIVCSILKFSTKQGIAKVFST CGSHLSVVSLFYGTIIGVYLCPSANNSTVKEIVMALMYTVVTPMLNPFIYSLRNRDIKEA LIRVLCKKQIPL >ENSMUSP00000099615.4 pep:known chromosome:GRCm38:2:105966709:106003549:-1 gene:ENSMUSG00000027166.14 transcript:ENSMUST00000102555.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc24 description:DnaJ heat shock protein family (Hsp40) member C24 [Source:MGI Symbol;Acc:MGI:1919522] MALEQTLKKDWYSILGADPSANMSDLKQKYQKLILLYHPDKQSADVPAGTMEECMQKFIE IDQAWKILGNEETKKKYDLQRHEDELRNVGPVDAQVRLEEMSWNQGDESFFLSCRCGGKY TVSKDEAQEATLISCDACSLIVELLHQS >ENSMUSP00000122029.1 pep:known chromosome:GRCm38:2:105966709:106002008:-1 gene:ENSMUSG00000027166.14 transcript:ENSMUST00000155811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc24 description:DnaJ heat shock protein family (Hsp40) member C24 [Source:MGI Symbol;Acc:MGI:1919522] XWYSILGADPSANMSDLKQKYQKLILLYHPDKQSADVPAGTMEECMQKFIEIDQAWKILG NEETKKKYDLQRHGDESFFLSCRCGGKYTVSKDEAQEATLISCDACSLIVELLHQS >ENSMUSP00000074402.2 pep:known chromosome:GRCm38:1:92573125:92574206:1 gene:ENSMUSG00000058904.2 transcript:ENSMUST00000074859.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1413 description:olfactory receptor 1413 [Source:MGI Symbol;Acc:MGI:3031247] MATQVHRNGSLSAVSLQGFVLVGFGGGAKTQALLFAVFLTLYVVTVLGNLTMIVVITLDA RLHSPMYFFLKNLSFVDFCYSSVIAPKAMTIFLSSSKVISFAGCATQFFFFSLLVTTEGF LLAVMAYDRFMAICSPLRYPVTMCPMACARLVLGTYCGGCLNSIVQTSLTFQLPFCSSNR IDHFYCDVPPLLQLACADTTLNEFVMFGICGLIIVSTTLVVLISYGYITMTILRMRSGSG RHKVFSTCGSHMTAVSLFYGTVFVMYAQPGALTSMEQGKVVSVFYTLVIPMLNPLIYSLR NKDVKDAPRRLGQRHSLVKEDVQ >ENSMUSP00000020775.2 pep:known chromosome:GRCm38:11:87979525:87987533:-1 gene:ENSMUSG00000020483.14 transcript:ENSMUST00000020775.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynll2 description:dynein light chain LC8-type 2 [Source:MGI Symbol;Acc:MGI:1915347] MSDRKAVIKNADMSEDMQQDAVDCATQAMEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGR NFGSYVTHETKHFIYFYLGQVAILLFKSG >ENSMUSP00000119655.1 pep:known chromosome:GRCm38:11:87980155:87987356:-1 gene:ENSMUSG00000020483.14 transcript:ENSMUST00000146941.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dynll2 description:dynein light chain LC8-type 2 [Source:MGI Symbol;Acc:MGI:1915347] MSDRKAVIKNADMSEDMQQDAVDCATQAMEKYNIEKDIAAYIKKAALRLFLRR >ENSMUSP00000103556.3 pep:known chromosome:GRCm38:11:87979525:87986585:-1 gene:ENSMUSG00000020483.14 transcript:ENSMUST00000107923.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynll2 description:dynein light chain LC8-type 2 [Source:MGI Symbol;Acc:MGI:1915347] MSDRKAVIKNADMSEDMQQDAVDCATQAMEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGR NFGSYVTHETKHFIYFYLGQVAILLFKSG >ENSMUSP00000136241.1 pep:known chromosome:GRCm38:11:87979525:87984012:-1 gene:ENSMUSG00000020483.14 transcript:ENSMUST00000178105.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynll2 description:dynein light chain LC8-type 2 [Source:MGI Symbol;Acc:MGI:1915347] MSDRKAVIKNADMSEDMQQDAVDCATQAMEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGR NFGSYVTHETKHFIYFYLGQVAILLFKSG >ENSMUSP00000125178.1 pep:known chromosome:GRCm38:5:138264952:138279958:-1 gene:ENSMUSG00000106247.1 transcript:ENSMUST00000159067.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm43720 description:predicted gene 43720 [Source:MGI Symbol;Acc:MGI:5663857] MSALRPLLLLLLHLCPGLGPGHGSEAKVVRSCAETRQVLGARGYSLNLIPPSLISGEHLQ VCPQEYTCCSSETEQKLIRDAEVTFRGLVEDSGSFLIHTLAARHRKFNEFFREMLSISQH SLAQLFSHSYGRLYSQHAVIFNSLFSGLRDYYEKSGEGLDDTLADFWAQLLERAFPLLHP QYSFPPDFLLCLTRLTSTADGSLQPFGDSPRRLRLQISRALVAARALVQGLETGRNVVSE ALKMVSCCWLRNSKDPFPLNWLLSPLG >ENSMUSP00000042343.4 pep:known chromosome:GRCm38:1:20951688:20990841:1 gene:ENSMUSG00000041809.5 transcript:ENSMUST00000038447.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efhc1 description:EF-hand domain (C-terminal) containing 1 [Source:MGI Symbol;Acc:MGI:1919127] MGTNPVHGLPFLPGSSFTDSTKTAFHRSQTLNYRNGYAVVRRPTMGIGGDRLHYNQLSQA ELDELANKAPILTYGPLKQAPLAEFVPAHVAFDKKVLKFSAYFQEDVPISMEEHYRIRHV NIYYYLEDDSMSVIEPVVENSGIPQGKLIKRQRFTKNDMGDHYHWKDLNRGINLTVYGKT FRIVDCDRFTQDFLESQGIELNPSEKIPLDPYTQLRKEPVRKYVTPSDFDQLKQFLTFDK QVLRFYAIWDDTDSLFGECRHYIIHYYLMDDTVEIREVHERNNGRDPFPLLMNRQRMPKV LVENAKNFPKCVLEISDQEVLEWYTAKDFIVGKPLTILGRTFFIYDCDPFTRQFYKDKFG MPDLPPVDVTKKEPPPVKQELPPYNGYGLIEDSAQNCFALIPKAPRKDVVKMLMNDNKVL RYLAALESPIPEDKDRRFVFSYFLATDMISIFEPPVRNSGIIGGKFLGRTKVVKSFSPVD NPIYYSPSDFFIGAVIEVFGHRFVILDTDEYVLKYMESNASQYSPEALASIQNRIQKPEL PAPELESKQATGEPMVQGTEESKVQDLDALIDQIHMHLKYNSCKENLRETFQMYDKDESG YVDRETFFKICETLNVPVDDSLIKELIRLCTHGEGRINYYNFVRAFSN >ENSMUSP00000117166.1 pep:known chromosome:GRCm38:18:80253292:80292637:1 gene:ENSMUSG00000034006.16 transcript:ENSMUST00000131780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc1 description:PQ loop repeat containing 1 [Source:MGI Symbol;Acc:MGI:1914193] MEAEGLGWLLVPLHQLVSWVAAGAMVFGGVVPYIPQYRDIRRTQNADGFSTHVCLVLLVA NILRILFWFGRHFESPLLWQSIVMILTMLLMLKLCTEVRVANELNIKRRSFAATDSKDEE LRVPPRRPYLDFDPHHFWHWSSFSDYVQCVLAFTGVAGYITYLSIDSALFVETLGFLAVL TEAMLGVPQLYRNYCHRSTEGMSLKMVLMWTSGDTFKTAYFLLNGAPLQFSVCGLLQVMV DLVILGQAYAFAHHPQKPAAHAVHPASTKAL >ENSMUSP00000115819.1 pep:known chromosome:GRCm38:18:80253342:80283385:1 gene:ENSMUSG00000034006.16 transcript:ENSMUST00000157056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc1 description:PQ loop repeat containing 1 [Source:MGI Symbol;Acc:MGI:1914193] MEAEGLGWLLVPLHQLVSWVAAGAMVFGGVVPYIPQYRDIRRTQNADGFSTHVCLVLLVA NILRILFWFGRHFESPLLWQSIVMILTMLLMLKLCTEVRVANELNIKRRSFADFDPHHFW HWSSFSDYVQCVLAFTGVAGYITYLSIDS >ENSMUSP00000121890.1 pep:known chromosome:GRCm38:18:80255245:80292725:1 gene:ENSMUSG00000034006.16 transcript:ENSMUST00000123750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc1 description:PQ loop repeat containing 1 [Source:MGI Symbol;Acc:MGI:1914193] MEAEGLGWLLVPLHQLVSWVAAGAMVFGGVVPYIPQYRDIRRTQNADGFSTHVCLVLLVA NILRILFWFGRHFESPLLWQSIVMILTMLLMLKLCTEVRVANELNIKRRSFADFDPHHFW HWSSFSDYVQCVLAFTGVAGYITYLSIDSALFVETLGFLAVLTEAMLGVPQLYRNYCHRS TEGMSLKMVLMWTSGDTFKTAYFLLNGAPLQFSVCGLLQVMVDLVILGQAYAFAHHPQKP AAHAVHPASTKAL >ENSMUSP00000121684.1 pep:known chromosome:GRCm38:18:80255836:80284071:1 gene:ENSMUSG00000034006.16 transcript:ENSMUST00000144468.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc1 description:PQ loop repeat containing 1 [Source:MGI Symbol;Acc:MGI:1914193] MEAEGLGWLLVPLHQLVSWVAAGAMVFGGVVPYIPQYRDIRRTQNADGFSTHVCLVLLVA NILRILFWFGRHFESPLLWQSIVMILTMLLMLKLCTEVRVANELNIKRRSFADFDPHHFW HWSSFSDYVQCVLAFTGVAGYITYLSIDSALFVETLGFLAVLTEAMLGVPQLYRNYCHRS TEGMSGTRHDLPKLQCLADEDRLCLETSV >ENSMUSP00000118670.1 pep:known chromosome:GRCm38:18:80256284:80292716:1 gene:ENSMUSG00000034006.16 transcript:ENSMUST00000129043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc1 description:PQ loop repeat containing 1 [Source:MGI Symbol;Acc:MGI:1914193] MEAEGLGWLLVPLHQLVSWVAAGAMVFGGVVPYIPQYRDIRRTQNADGFSTHVCLVLLVA NILRILFWFGRHFESPLLWQSIVMILTMLLMLKLCTEVRVANELNIKRRSFADFDPHHFW HWSSFSDYVQCVLAFTGVAGYITYLSIDSALFVETLGFLAVLTEAMLGVPQLYRNYCHRS TEGMSLKMVLMWTSGDTFKTAYFLLNGAPLQFSVCGLLQVMVDLVILGQAYAFAHHPQKP AAHAVHPASTKAL >ENSMUSP00000119083.1 pep:known chromosome:GRCm38:18:80256307:80292714:1 gene:ENSMUSG00000034006.16 transcript:ENSMUST00000140594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc1 description:PQ loop repeat containing 1 [Source:MGI Symbol;Acc:MGI:1914193] MEAEGLGWLLVPLHQLVSWVAAGAMVFGGVVPYIPQYRDIRRTQNADGFSTHVCLVLLVA NILRILFWFGRHFESPLLWQSIVMILTMLLMLKLCTEVRVANELNIKRRSFAATDSKDEE LRVPPRRPYLDFDPHHFWHWSSFSDYVQCVLAFTGVAGYITYLSIDSALFVETLGFLAVL TEAMLGVPQLYRNYCHRSTEGMSLKMVLMWTSGDTFKTAYFLLNGAPLQFSVCGLLQVMV DLVILGQAYAFAHHPQKPAAHAVHPASTKAL >ENSMUSP00000069986.7 pep:known chromosome:GRCm38:18:80256318:80292712:1 gene:ENSMUSG00000034006.16 transcript:ENSMUST00000070135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc1 description:PQ loop repeat containing 1 [Source:MGI Symbol;Acc:MGI:1914193] MEAEGLGWLLVPLHQLVSWVAAGAMVFGGVVPYIPQYRDIRRTQNADGFSTHVCLVLLVA NILRILFWFGRHFESPLLWQSIVMILTMLLMLKLCTEVRVANELNIKRRSFADFDPHHFW HWSSFSDYVQCVLAFTGVAGYITYLSIDSALFVETLGFLAVLTEAMLGVPQLYRNYCHRS TEGMSLKMVLMWTSGDTFKTAYFLLNGAPLQFSVCGLLQVMVDLVILGQAYAFAHHPQKP AAHAVHPASTKAL >ENSMUSP00000089402.3 pep:known chromosome:GRCm38:18:80256306:80292724:1 gene:ENSMUSG00000034006.16 transcript:ENSMUST00000091798.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pqlc1 description:PQ loop repeat containing 1 [Source:MGI Symbol;Acc:MGI:1914193] MEAEGLGWLLVPLHQLVSWVAAGAMVFGGVVPYIPQYRDIRRTQNADGFSTHVCLVLLVA NILRILFWFGRHFESPLLWQSIVMILTMLLMLKLCTEVRVANELNIKRRSFAATDSKDEE LRVPPRRPYLDFDPHHFWHWSSFSDYVQCVLAFTGVAGYITYLSIDSALFVETLGFLAVL TEAMLGVPQLYRNYCHRSTEGMSLKMVLMWTSGDTFKTAYFLLNGAPLQFSVCGLLQVMV DLVILGQAYAFAHHPQKPAAHAVHPASTKAL >ENSMUSP00000125084.1 pep:known chromosome:GRCm38:5:138264921:138272840:-1 gene:ENSMUSG00000075593.10 transcript:ENSMUST00000161647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal3st4 description:galactose-3-O-sulfotransferase 4 [Source:MGI Symbol;Acc:MGI:1916254] MAWTMRLWRPRSLGVALGVFMTIGFGLQFLGGSFQRRLPGLQLRQSWVPSLGSTVKSCLP RQRLVFLKTHKSGSSSVLNLLHRYGDQQGLRFALPAHYQFGYPKLFQASKVKGYHPQSAD TQKPFHILCHHMRFNLKEVLQVMPSDSFFFSIVRDPAALARSAFSYYKSVSSAFRKAPSL AAFLSNPQAFYRPGARGNHYARNLLWFDFGLPSPPETKTMKVSPQLPRDPKSLQLHIVPS GASPGTLFRPVTTAADSYKQPASLVSSDFRPSSFIQWGLAWLDSVFDLVMVAEYFDESLV LLADALCWSLDDVVGFMHNAQAGKKQSLIRTANKTVVTGEDQQLTKQARAWNNLDWALYI HFNRSLWARIEQYGRSRLQRAVAELRARREALAKRCLVGGEALDPKYIADAKLRPLQFGS AKVLGYVLQSGLNQKDKEECERLATPELQYKDKLDAKQFPPTVSSPLKTSRLLSP >ENSMUSP00000098099.1 pep:known chromosome:GRCm38:5:138264925:138272754:-1 gene:ENSMUSG00000075593.10 transcript:ENSMUST00000100530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal3st4 description:galactose-3-O-sulfotransferase 4 [Source:MGI Symbol;Acc:MGI:1916254] MGPLSPARTMRLWRPRSLGVALGVFMTIGFGLQFLGGSFQRRLPGLQLRQSWVPSLGSTV KSCLPRQRLVFLKTHKSGSSSVLNLLHRYGDQQGLRFALPAHYQFGYPKLFQASKVKGYH PQSADTQKPFHILCHHMRFNLKEVLQVMPSDSFFFSIVRDPAALARSAFSYYKSVSSAFR KAPSLAAFLSNPQAFYRPGARGNHYARNLLWFDFGLPSPPETKTMKVSPQLPRDPKSLQL HIVPSGASPGTLFRPVTTAADSYKQPASLVSSDFRPSSFIQWGLAWLDSVFDLVMVAEYF DESLVLLADALCWSLDDVVGFMHNAQAGKKQSLIRTANKTVVTGEDQQLTKQARAWNNLD WALYIHFNRSLWARIEQYGRSRLQRAVAELRARREALAKRCLVGGEALDPKYIADAKLRP LQFGSAKVLGYVLQSGLNQKDKEECERLATPELQYKDKLDAKQFPPTVSSPLKTSRLLSP >ENSMUSP00000124841.1 pep:known chromosome:GRCm38:5:138264938:138272801:-1 gene:ENSMUSG00000075593.10 transcript:ENSMUST00000161279.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal3st4 description:galactose-3-O-sulfotransferase 4 [Source:MGI Symbol;Acc:MGI:1916254] MGPLSPARTMRLWRPRSLGVALGVFMTIGFGLQFLGGSFQRRLPGLQLRQSWVPSLGSTV KSCLPRQRLVFLKTHKSGSSSVLNLLHRYGDQQGLRFALPAHYQFGYPKLFQASKVKGYH PQSADTQKPFHILCHHMRFNLKEVLQVMPSDSFFFSIVRDPAALARSAFSYYKSVSSAFR KAPSLAAFLSNPQAFYRPGARGNHYARNLLWFDFGLPSPPETKTMKVSPQLPRDPKSLQL HIVPSGASPGTLFRPVTTAADSYKQPASLVSSDFRPSSFIQWGLAWLDSVFDLVMVAEYF DESLVLLADALCWSLDDVVGFMHNAQAGKKQSLIRTANKTVVTGEDQQLTKQARAWNNLD WALYIHFNRSLWARIEQYGRSRLQRAVAELRARREALAKRCLVGGEALDPKYIADAKLRP LQFGSAKVLGYVLQSGLNQKDKEECERLATPELQYKDKLDAKQFPPTVSSPLKTSRLLSP >ENSMUSP00000124682.1 pep:known chromosome:GRCm38:5:138270993:138272749:-1 gene:ENSMUSG00000075593.10 transcript:ENSMUST00000161665.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal3st4 description:galactose-3-O-sulfotransferase 4 [Source:MGI Symbol;Acc:MGI:1916254] MGPLSPARTMRLWRPRSLGVALGVFMTIGFGLQFLGGSFQRRLPGLQLRQSWVPSLGSTV KSCLPRQR >ENSMUSP00000031859.7 pep:known chromosome:GRCm38:6:37870811:37966296:1 gene:ENSMUSG00000029833.17 transcript:ENSMUST00000031859.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim24 description:tripartite motif-containing 24 [Source:MGI Symbol;Acc:MGI:109275] MEVAVEKAAAAAAPAGGPAAAAPSGENEAESRQGPDSESGGEASRLNLLDTCAVCHQNIQ SRVPKLLPCLHSFCQRCLPAPQRYLMLTAPALGSAETPPPAPAPAPAPGSPAGGPSPFAT QVGVIRCPVCSQECAERHIIDNFFVKDTTEVPSSTVEKSNQVCTSCEDNAEANGFCVECV EWLCKTCIRAHQRVKFTKDHTVRQKEEVSPEAVGVTSQRPVFCPFHKKEQLKLYCETCDK LTCRDCQLLEHKEHRYQFIEEAFQNQKVIIDTLITKLMEKTKYIKYTGNQIQNRIIEINQ NQKQVEQDIKVAIFTLMVEINKKGKALLHQLESLAKDHRMKLMQQQQEVAGLSKQLEHVM HFSKWAVSSGSSTALLYSKRLITYRLRHLLRARCDASPVTNTTIQFHCDPSFWAQNIINL GSLVIEDKESQPQMPKQNPVVEQSSQPPGGLPSNQLSKFPTQISLAQLRLQHIQQQVMAQ RQQVQRRPAPVGLPNPRMQGPIQQPSISHQHPPPRLINFQNHSPKPNGPVLPPYPQQLRY SPSQNVPRQTTIKPNPLQMAFLAQQAIKQWQISSVQAPPTTASSSSSTPSSPTITSAAGY DGKAFSSPMIDLSAPVGGSYNLPSLPDIDCSSTIMLDNIARKDTGVDHAQPRPPSNRTVQ SPNSSVPSPGLAGPVTMTSVHPPIRSPSASSVGSRGSSGSSSKPAGADSTHKVPVVMLEP IRIKQENSGPPENYDFPVVIVKQESDEESRPQNTNYPRSILTSLLLNSSQSSASEETVLR SDAPDSTGDQPGLHQENSSNGKSEWSDASQKSPVHVGETRKEDDPNEDWCAVCQNGGELL CCEKCPKVFHLTCHVPTLTNFPSGEWICTFCRDLSKPEVDYDCDVPSHHSEKRKSEGLTK LTPIDKRKCERLLLFLYCHEMSLAFQDPVPLTVPDYYKIIKNPMDLSTIKKRLQEDYCMY TKPEDFVADFRLIFQNCAEFNEPDSEVANAGIKLESYFEELLKNLYPEKRFPKVEFRHEA EDCKFSDDSDDDFVQPRKKRLKSTEDRQLLK >ENSMUSP00000113063.1 pep:known chromosome:GRCm38:6:37870811:37966296:1 gene:ENSMUSG00000029833.17 transcript:ENSMUST00000120428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim24 description:tripartite motif-containing 24 [Source:MGI Symbol;Acc:MGI:109275] MEVAVEKAAAAAAPAGGPAAAAPSGENEAESRQGPDSESGGEASRLNLLDTCAVCHQNIQ SRVPKLLPCLHSFCQRCLPAPQRYLMLTAPALGSAETPPPAPAPAPAPGSPAGGPSPFAT QVGVIRCPVCSQECAERHIIDNFFVKDTTEVPSSTVEKSNQVCTSCEDNAEANGFCVECV EWLCKTCIRAHQRVKFTKDHTVRQKEEVSPEAVGVTSQRPVFCPFHKKEQLKLYCETCDK LTCRDCQLLEHKEHRYQFIEEAFQNQKVIIDTLITKLMEKTKYIKYTGNQIQNRIIEINQ NQKQVEQDIKVAIFTLMVEINKKGKALLHQLESLAKDHRMKLMQQQQEVAGLSKQLEHVM HFSKWAVSSGSSTALLYSKRLITYRLRHLLRARCDASPVTNTTIQFHCDPSFWAQNIINL GSLVIEDKESQPQMPKQNPVVEQSSQPPGGLPSNQLSKFPTQISLAQLRLQHIQQQHPPP RLINFQNHSPKPNGPVLPPYPQQLRYSPSQNVPRQTTIKPNPLQMAFLAQQAIKQWQISS VQAPPTTASSSSSTPSSPTITSAAGYDGKAFSSPMIDLSAPVGGSYNLPSLPDIDCSSTI MLDNIARKDTGVDHAQPRPPSNRTVQSPNSSVPSPGLAGPVTMTSVHPPIRSPSASSVGS RGSSGSSSKPAGADSTHKVPVVMLEPIRIKQENSGPPENYDFPVVIVKQESDEESRPQNT NYPRSILTSLLLNSSQSSASEETVLRSDAPDSTGDQPGLHQENSSNGKSEWSDASQKSPV HVGETRKEDDPNEDWCAVCQNGGELLCCEKCPKVFHLTCHVPTLTNFPSGEWICTFCRDL SKPEVDYDCDVPSHHSEKRKSEGLTKLTPIDKRKCERLLLFLYCHEMSLAFQDPVPLTVP DYYKIIKNPMDLSTIKKRLQEDYCMYTKPEDFVADFRLIFQNCAEFNEPDSEVANAGIKL ESYFEELLKNLYPEKRFPKVEFRHEAEDCKFSDDSDDDFVQPRKKRLKSTEDRQLLK >ENSMUSP00000114001.1 pep:known chromosome:GRCm38:6:37900487:37965932:1 gene:ENSMUSG00000029833.17 transcript:ENSMUST00000120238.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim24 description:tripartite motif-containing 24 [Source:MGI Symbol;Acc:MGI:109275] MADIFDYTFIGGCGDACPTCACGSQRITWKSQFFPSCVRPMDKTAVTGDEQVGVIRCPVC SQECAERHIIDNFFVKDTTEVPSSTVEKSNQVCTSCEDNAEANGFCVECVEWLCKTCIRA HQRVKFTKDHTVRQKEEVSPEAVGVTSQRPVFCPFHKKEQLKLYCETCDKLTCRDCQLLE HKEHRYQFIEEAFQNQKVIIDTLITKLMEKTKYIKYTGNQIQNRIIEINQNQKQVEQDIK VAIFTLMVEINKKGKALLHQLESLAKDHRMKLMQQQQEVAGLSKQLEHVMHFSKWAVSSG SSTALLYSKRLITYRLRHLLRARCDASPVTNTTIQFHCDPSFWAQNIINLGSLVIEDKES QPQMPKQNPVVEQSSQPPGGLPSNQLSKFPTQISLAQLRLQHIQQQVMAQRQQVQRRPAP VGLPNPRMQGPIQQPSISHQHPPPRLINFQNHSPKPNGPVLPPYPQQLRYSPSQNVPRQT TIKPNPLQMAFLAQQAIKQWQISSVQAPPTTASSSSSTPSSPTITSAAGYDGKAFSSPMI DLSAPVGGSYNLPSLPDIDCSSTIMLDNIARKDTGVDHAQPRPPSNRTVQSPNSSVPSPG LAGPVTMTSVHPPIRSPSASSVGSRGSSGSSSKPAGADSTHKVPVVMLEPIRIKQENSGP PENYDFPVVIVKQESDEESRPQNTNYPRSILTSLLLNSSQSSASEETVLRSDAPDSTGDQ PGLHQENSSNGKSEWSDASQKSPVHVGETRKEDDPNEDWCAVCQNGGELLCCEKCPKVFH LTCHVPTLTNFPSGEWICTFCRDLSKPEVDYDCDVPSHHSEKRKSEGLTKLTPIDKRKCE RLLLFLYCHEMSLAFQDPVPLTVPDYYKIIKNPMDLSTIKKRLQEDYCMYTKPEDFVADF RLIFQNCAEFNEPDSEVANAGIKLESYFEELLKNLYPEKRFPKVEFRHEAEDCKFSDDSD DDFVQPRKKRLKSTEDRQLLK >ENSMUSP00000021606.5 pep:known chromosome:GRCm38:12:101918901:101958246:-1 gene:ENSMUSG00000021189.11 transcript:ENSMUST00000021606.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn3 description:ataxin 3 [Source:MGI Symbol;Acc:MGI:1099442] MESIFHEKQEGSLCAQHCLNNLLQGEYFSPVELSSIAHQLDEEERLRMAEGGVTSEDYRT FLQQPSGNMDDSGFFSIQVISNALKVWGLELILFNSPEYQRLRIDPINERSFICNYKEHW FTVRKLGKQWFNLNSLLTGPELISDTYLALFLAQLQQEGYSIFVVKGDLPDCEADQLLQM IKVQQMHRPKLIGEELAHLKEQSALKADLERVLEAADGSGIFDEDEDDLQRALAISRQEI DMEDEEADLRRAIQLSMQGSSRSMCENSPQTSSPDLSSEELRRRREAYFEKQQQQQQEVD RPGPLSYPRERPTTSSGGRRSDQGGDAVSEEDMLRAAVTMSLETAKDNLKAERKK >ENSMUSP00000124178.1 pep:known chromosome:GRCm38:12:101923081:101934355:-1 gene:ENSMUSG00000021189.11 transcript:ENSMUST00000160186.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atxn3 description:ataxin 3 [Source:MGI Symbol;Acc:MGI:1099442] XEDEDDLQRALAISRQEIDMEDEEADLRRAIQLSMQGSSRSMCENSPQTSSPDLSSEELR RRREAYFEK >ENSMUSP00000125082.1 pep:known chromosome:GRCm38:12:101932924:101958243:-1 gene:ENSMUSG00000021189.11 transcript:ENSMUST00000160251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn3 description:ataxin 3 [Source:MGI Symbol;Acc:MGI:1099442] MESIFHEKQEGSLCAQHCLNNLLQGEYFSPVELSSIAHQLDEEERLRMAEGGVTSEDYRT FLQQPSGNMDDSGFFSIQVISNALKVWGLELILFNSPEYQRLRIDPINERSFICNYKEHW FTVRKLGKQWFNLNSLLTGPELISDTYLALFLAQLQQEGYSIFVVKGDLPDCEADQLLQM IKVQQMHRPKLIGEELAHLKEQSALKADLERVLEAADGSGIFDEDEDDLQRALAISRQEI DMEDEEADLRRAIQLSMQVPEVCVKIVHRHQVQISLQKSCGGDEKPTLKSKVVGTD >ENSMUSP00000125378.1 pep:known chromosome:GRCm38:12:101932926:101958243:-1 gene:ENSMUSG00000021189.11 transcript:ENSMUST00000161011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn3 description:ataxin 3 [Source:MGI Symbol;Acc:MGI:1099442] MESIFHEKQEGSLCAQHCLNNLLQGEYFSPVELSSIAHQLDEEERLRMAEGGVTSEDYRT FLQQPSGNMDDSGFFSIQVISNALKVWGLELILFNSPEYQRLRIDPINERSFICNYKEHW FTVRKLGKQWFNLNSLLTGPELISDTYLALFLAQLQQEGYSIFVVKGDLPDCEADQLLQM IKVQQMHRPKLIGEELAHLKEQSALKADLERVLEAADGSGIFDEDEDDLQRALAISRQEI DMEDEEADLRRAIQLSMQGSSRSMCENSPQTSSPDLSSEELRRRREAYFEK >ENSMUSP00000124419.1 pep:known chromosome:GRCm38:12:101932949:101958148:-1 gene:ENSMUSG00000021189.11 transcript:ENSMUST00000159883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn3 description:ataxin 3 [Source:MGI Symbol;Acc:MGI:1099442] IFHEKQEGSLCAQHCLNNLLQGEYFSPVELSSIAHQLDEEERLRMAEGGVTSEDYRTFLQ PSGNMDDSGFFSIQVISNALKVWGLELILFNSPEYQRLRIDPINERSFICNYKEHWFTVR KLGKQWFNLNSLLTGPELISDTYLALFLAQLQQEGYSIFVVKGDLPDCEADQLLQMIKVQ QMHRPKLIGEELAHLKEQSALKADLERVLEAADGSGIFDEDEDDLQRALAISRQEIDMED EEADLRRAIQLSMQGSSRSMCENSPQTSSPDLSSEELRRRREAYFEK >ENSMUSP00000142398.1 pep:known chromosome:GRCm38:5:136218147:136244854:-1 gene:ENSMUSG00000005057.13 transcript:ENSMUST00000196397.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b2 description:SH2B adaptor protein 2 [Source:MGI Symbol;Acc:MGI:1345171] MNGATPSSAAAPAPVPDWRQFCELHAQVAAVDFAHKFCRFLRDNPTYDTPDAGTSFSRHF AANFLAVFSEEVRRVLGSAADTMEPEPAVTSVTSALKTATYGHSRSSEDVSAHAATKARV RKGFSLRNMSLCVVDGVRDLWHRRSSPEPDGGATPKAAEPASEPRDKWTRRLRLARTLAA KVELVDIQREGALRFMVADDAASGPGGTAQWQKCRLLLRRAVAGERFRLEFFVPPKASRP KVSIPLSAIIEVRTTMPLEMPEKDNTFVLKVENGAEYILETIDSLQKHSWVADIQGCVDP GDSEEDTGLSCARGGCLASRVASCSCELLTDADMPRPPETTTAVGAVVTAPHGRARDTVG ESLAHVPLETFLQTLESSGGVSENNNPGDEGAELDTDAEAELELSDYPWFHGTLSRVKAA QLVLAGGPRSHGLFVIRQSETRPGECVLTFNFQGKAKHLRLSLNGHGQCHVQHLWFQSVF DMLRHFHTHPIPLESGGSADITLRSYVRAQGPPPDPGPAPNTAAPVPACWTEPAGQHYFS SLATATCPPASPSNGAGASSSSGSSSSATSLPPRPAEGPLSAHSRSNSTEHLLDAASGAT EEPTEATLGRARAVENQYSFY >ENSMUSP00000005188.9 pep:known chromosome:GRCm38:5:136218149:136244903:-1 gene:ENSMUSG00000005057.13 transcript:ENSMUST00000005188.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b2 description:SH2B adaptor protein 2 [Source:MGI Symbol;Acc:MGI:1345171] MNGATPSSAAAPAPVPDWRQFCELHAQVAAVDFAHKFCRFLRDNPTYDTPDAGTSFSRHF AANFLAVFSEEVRRVLGSAADTMEPEPAVTSVTSALKTATYGHSRSSEDVSAHAATKARV RKGFSLRNMSLCVVDGVRDLWHRRSSPEPDGGATPKAAEPASEPRDKWTRRLRLARTLAA KVELVDIQREGALRFMVADDAASGPGGTAQWQKCRLLLRRAVAGERFRLEFFVPPKASRP KVSIPLSAIIEVRTTMPLEMPEKDNTFVLKVENGAEYILETIDSLQKHSWVADIQGCVDP GDSEEDTGLSCARGGCLASRVASCSCELLTDADMPRPPETTTAVGAVVTAPHGRARDTVG ESLAHVPLETFLQTLESSGGVSENNNPGDEGAELDTDAEAELELSDYPWFHGTLSRVKAA QLVLAGGPRSHGLFVIRQSETRPGECVLTFNFQGKAKHLRLSLNGHGQCHVQHLWFQSVF DMLRHFHTHPIPLESGGSADITLRSYVRAQGPPPDPGPAPNTAAPVPACWTEPAGQHYFS SLATATCPPASPSNGAGASSSSGSSSSATSLPPRPAEGPLSAHSRSNSTEHLLDAASGAT EEPTEATLGRARAVENQYSFY >ENSMUSP00000142728.1 pep:known chromosome:GRCm38:5:136223769:136244837:-1 gene:ENSMUSG00000005057.13 transcript:ENSMUST00000196447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b2 description:SH2B adaptor protein 2 [Source:MGI Symbol;Acc:MGI:1345171] MNGATPSSAAAPAPVPDWRQFCELHAQVAAVDFAHKFCRFLRDNPTYDTPDAGTSFSRHF AANFLAVFSEEVRRVLGSAADTMEPEPAVTSVTSALKTATYGHSRSSEDVSAHAATKARV RKGFSLRNMSLCVVDGVRDLWHRRSSPEPDGGATPKAAEPASEPRDKWTRRLRLARTLAA KVELVDIQREGALRFMVADDAASGPGGTAQWQKCRLLLRRAVAGERFRLEFFVPPKASRP KVSIPLSAIIEVRTTMPLEMPEKDNTFVLKVENGAEYILETIDSLQKHSWVADIQGCVDP GDSEEDTGLSCARGGCLASRVASCSCELLTDADMPRPPETTTAVGAVVTAPHGRARDTVG ESLAHVPLETFLQTLESSGGVSENNNPGTTGVPTPFYRDPCGASEVVTFQPVVSTVVLAF >ENSMUSP00000142515.1 pep:known chromosome:GRCm38:5:136232228:136246556:-1 gene:ENSMUSG00000005057.13 transcript:ENSMUST00000196245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2b2 description:SH2B adaptor protein 2 [Source:MGI Symbol;Acc:MGI:1345171] MNGATPSSAAAPAPVPDWRQFCELHAQVAAVDFAHKFCRFLRDN >ENSMUSP00000060291.2 pep:known chromosome:GRCm38:1:92588207:92589297:1 gene:ENSMUSG00000046300.3 transcript:ENSMUST00000062964.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1412 description:olfactory receptor 1412 [Source:MGI Symbol;Acc:MGI:3031246] MATAVHRNGSLTPVSLQAFVLVGFGGGAETQALLFAVFLVLYMVTVLGNLTMIVVITLDA RLHSPMYFFLKNLSFVDFCYSSVIAPKAMANFYSSKVIGVAGCAAQLFFFSFLGTSEALL LAVMAYDRFMAICSPLHYPVTMSSTVCACLVLAAYFGGCLNSIVETSLTFQLPFCGSNHI DHFFCDVPPLLQIACANTSVNELVMFAICGFILMGATLVILISYGYITVTILSMHSGSRR HKVFSTCGSHLTAVSLFYGTGIAIYGQPGGLASKEQGKVVSIFYTLVIPLLNPLIYSLRN KDVKDALERLRQRQRQKHAAI >ENSMUSP00000030644.7 pep:known chromosome:GRCm38:4:134243289:134245592:-1 gene:ENSMUSG00000028840.10 transcript:ENSMUST00000030644.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp593 description:zinc finger protein 593 [Source:MGI Symbol;Acc:MGI:1915290] MGRSRRTGAHRAHSLARQMKAKKRRPDLDEIHRELRPQGLPRPKPEPDAEPDPDLPGGGL HRCLACARYFIDSANLKTHFRSKDHKKRLKQLSVEPYSQEEAERAAGMGSYVQPQRLGVP TEVSTDIPEMDTST >ENSMUSP00000138853.1 pep:known chromosome:GRCm38:2:131146324:131160034:-1 gene:ENSMUSG00000027327.16 transcript:ENSMUST00000184535.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700037H04Rik description:RIKEN cDNA 1700037H04 gene [Source:MGI Symbol;Acc:MGI:1914576] MAAANRGSKPRVRSIRFAAGHDAEGSQSHVHFDEKLHDSVVMVTQESDNSFLVKGLMYSK LSLNWLCSQG >ENSMUSP00000099477.3 pep:known chromosome:GRCm38:2:131146325:131160020:-1 gene:ENSMUSG00000027327.16 transcript:ENSMUST00000103188.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700037H04Rik description:RIKEN cDNA 1700037H04 gene [Source:MGI Symbol;Acc:MGI:1914576] MAAANRGSKPRVRSIRFAAGHDAEGSQSHVHFDEKLHDSVVMVTQESDNSFLVKVGFLKI LHRYEITFTLPPVRRLSKDIRETPVHSLHLKLLSVTPTSEGYSIKCEYSAHKEGVLKEEM LLACEGDIGTCVRVTVQARVMDRHHGTPMLLDGVKCVGAELEYDSEQSDWLGFD >ENSMUSP00000139314.1 pep:known chromosome:GRCm38:2:131146794:131160024:-1 gene:ENSMUSG00000027327.16 transcript:ENSMUST00000184121.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700037H04Rik description:RIKEN cDNA 1700037H04 gene [Source:MGI Symbol;Acc:MGI:1914576] MAAANRVSPESEVSALQQATMQKAPRATSTLMKSCMTRWSWLLRRVTTAS >ENSMUSP00000028800.5 pep:known chromosome:GRCm38:2:131146804:131160081:-1 gene:ENSMUSG00000027327.16 transcript:ENSMUST00000028800.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700037H04Rik description:RIKEN cDNA 1700037H04 gene [Source:MGI Symbol;Acc:MGI:1914576] MAAANRGECCSKPRVRSIRFAAGHDAEGSQSHVHFDEKLHDSVVMVTQESDNSFLVKVGF LKILHRYEITFTLPPVRRLSKDIRETPVHSLHLKLLSVTPTSEGYSIKCEYSAHKEGVLK EEMLLACEGDIGTCVRVTVQARVMDRHHGTPMLLDGVKCVGAELEYDSEQSDWLGFD >ENSMUSP00000115000.1 pep:known chromosome:GRCm38:2:131147161:131160042:-1 gene:ENSMUSG00000027327.16 transcript:ENSMUST00000133602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700037H04Rik description:RIKEN cDNA 1700037H04 gene [Source:MGI Symbol;Acc:MGI:1914576] MAAANRGSKPRVRSIRFAAGHDAEGSQSHVHFDEKLHDSVVMVTQESDNSFLVKVGFLKI LHRYEITFTLPPVRRLSKDIRETPVHSLHLKLLSVTPTSEGYSIKCEYSAHKEGVLKEEM LLACEGDIGTCVRVTVQARVM >ENSMUSP00000006853.5 pep:known chromosome:GRCm38:9:108578862:108597667:-1 gene:ENSMUSG00000006675.10 transcript:ENSMUST00000006853.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4htm description:prolyl 4-hydroxylase, transmembrane (endoplasmic reticulum) [Source:MGI Symbol;Acc:MGI:1921693] MAAAVATVQRPEAETVEEASNLQWPLPPEHRPSGAATRPGDSEDAPVRPLCKPRGICSRA YFLVLMVFVHLYLGNVLALLLFVHYSNGDESTDPGPQRREQSPQPVPTLGPLTRLEGIKV GYERKVQVVAGRDHFIRTLSLKPLLFEIPGFLSDEECRLIIHLAQMKGLQRSQILPTEEY EEAMSAMQVSQLDLFQLLDQNHDGRLQLREVLAQTRLGNGRWMTPENIQEMYSAIKADPD GDGVLSLQEFSNMDLRDFHKYMRSHKAESNELVRNSHHTWLHQGEGAHHVMRAIRQRVLR LTRLSPEIVEFSEPLQVVRYGEGGHYHAHVDSGPVYPETICSHTKLVANESVPFETSCRY MTVLFYLNNVTGGGETVFPVADNRTYDEMSLIQDDVDLRDTRRHCDKGNLRVKPQQGTAV FWYNYLPDGQGWVGEVDDYSLHGGCLVTRGTKWIANNWINVDPSRARQALFQQEMARLAR EGGMDSQPEWALDRAYSDARVEL >ENSMUSP00000141993.1 pep:known chromosome:GRCm38:9:108582991:108597346:-1 gene:ENSMUSG00000006675.10 transcript:ENSMUST00000192080.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4htm description:prolyl 4-hydroxylase, transmembrane (endoplasmic reticulum) [Source:MGI Symbol;Acc:MGI:1921693] XGNVLALLLFVHYSNGDESTDPGPQRREQSPQPVPTLGPLTRLEGIKVGYERKVQVVAGR DHFIRTLSLKPLLFEIPGFLSDEECRLIIHLAQMKGLQRSQILPTEEYEEAMSAMQVSQL DLFQLLDQNHDGRLQLREQVWGWI >ENSMUSP00000141843.1 pep:known chromosome:GRCm38:9:108582991:108597580:-1 gene:ENSMUSG00000006675.10 transcript:ENSMUST00000193621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4htm description:prolyl 4-hydroxylase, transmembrane (endoplasmic reticulum) [Source:MGI Symbol;Acc:MGI:1921693] MAAAVATVQRPEAETVEEASNLQWPLPPEHRPSGAATRPGDSEDAPVRPLCKPRGICSRA YFLVLMVFVHLYLGNVLALLLFVHYSNGDESTDPGPQRREQSPQPVPTLGPLTRLEGIKV GYERKVQVVAGRDHFIRTLSLKPLLFEIPGFLSDEECRLIIHLAQMKGLQRSQILPTEEY EEAMSAMQVSQLDLFQLLDQNHDGRLQLREVRTPPAMWVGTGENA >ENSMUSP00000146110.1 pep:known chromosome:GRCm38:4:134243662:134245073:1 gene:ENSMUSG00000086322.7 transcript:ENSMUST00000135228.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130218I03Rik description:RIKEN cDNA E130218I03 gene [Source:MGI Symbol;Acc:MGI:3528958] MRFRRLTPGYFRVLQMQVAGELKAEPRSPLVGIVATLLAVLGLGGSCYAVWKMVKQRQPS QAP >ENSMUSP00000146054.1 pep:known chromosome:GRCm38:4:134243763:134245869:1 gene:ENSMUSG00000086322.7 transcript:ENSMUST00000144222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130218I03Rik description:RIKEN cDNA E130218I03 gene [Source:MGI Symbol;Acc:MGI:3528958] MRFRRLTPGYFRVLQMQVAGELKAEPRSPLVGIVATLLAVLGLGGSCYAVWKMVKQRQPS QAP >ENSMUSP00000145705.1 pep:known chromosome:GRCm38:4:134243763:134245873:1 gene:ENSMUSG00000086322.7 transcript:ENSMUST00000124452.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:E130218I03Rik description:RIKEN cDNA E130218I03 gene [Source:MGI Symbol;Acc:MGI:3528958] MRFRRLTPGYFRVLQMQVAGELKAEPRSPLVGIVATLLAVLGLGGSCYAVWKMVKQRQPS QAP >ENSMUSP00000145831.1 pep:known chromosome:GRCm38:4:134243776:134244989:1 gene:ENSMUSG00000086322.7 transcript:ENSMUST00000143448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130218I03Rik description:RIKEN cDNA E130218I03 gene [Source:MGI Symbol;Acc:MGI:3528958] MRFRRLTPGYFRVLQMQVAGELKAEPRSPLVGIVATLLAVLGLGGSCYAVWKMVKQRQPS QAP >ENSMUSP00000145784.1 pep:known chromosome:GRCm38:4:134243776:134245312:1 gene:ENSMUSG00000086322.7 transcript:ENSMUST00000125921.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130218I03Rik description:RIKEN cDNA E130218I03 gene [Source:MGI Symbol;Acc:MGI:3528958] MRFRRLTPGYFRVLQMQVAGELKAEPRSPLVGIVATLLAVLGLGGSCYAVWKMVKQRQPS QAP >ENSMUSP00000145835.1 pep:known chromosome:GRCm38:4:134243777:134245552:1 gene:ENSMUSG00000086322.7 transcript:ENSMUST00000122952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130218I03Rik description:RIKEN cDNA E130218I03 gene [Source:MGI Symbol;Acc:MGI:3528958] MRFRRLTPGYFRVLQMQVAGELKAEPRSPLVGIVATLLAVLGLGGSCYAVWKMVKQRQPS QAP >ENSMUSP00000145849.1 pep:known chromosome:GRCm38:4:134243778:134245519:1 gene:ENSMUSG00000086322.7 transcript:ENSMUST00000131447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130218I03Rik description:RIKEN cDNA E130218I03 gene [Source:MGI Symbol;Acc:MGI:3528958] MRFRRLTPGYFRVLQMQVAGELKAEPRSPLVGIVATLLAVLGLGGSCYAVWKMVKQRQPS QAP >ENSMUSP00000119166.1 pep:known chromosome:GRCm38:4:149449687:149453424:-1 gene:ENSMUSG00000028996.9 transcript:ENSMUST00000148596.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbp7 description:retinol binding protein 7, cellular [Source:MGI Symbol;Acc:MGI:1890409] LVKFKVGEEFEEDNKGLDNRKCTSLVTWENDKLTCVQRGEKKNRGWSHWIEGDQLHLIYL AGRGSTRL >ENSMUSP00000030848.2 pep:known chromosome:GRCm38:4:149449687:149454978:-1 gene:ENSMUSG00000028996.9 transcript:ENSMUST00000030848.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbp7 description:retinol binding protein 7, cellular [Source:MGI Symbol;Acc:MGI:1890409] MPADLSGTWNLLSSDNFEGYMLALGIDFATRKIAKLLKPQKVIEQNGDSFTIQTCSSLRN YLVKFKVGEEFEEDNKGLDNRKCTSLVTWENDKLTCVQRGEKKNRGWSHWIEGDQLHLEM FCEGQVCKQTFQRA >ENSMUSP00000101522.1 pep:known chromosome:GRCm38:7:144001928:144424494:1 gene:ENSMUSG00000037541.20 transcript:ENSMUST00000105902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank2 description:SH3/ankyrin domain gene 2 [Source:MGI Symbol;Acc:MGI:2671987] MPRSPTSSEDEMAQSFSDYSVGSESDSSKEETIYDTIRATTEKPGGVKMEELQGNTLVIR VVIQDLQQTKCIRFNPDATVWVAKQRILCTLNQGLKDVLNYGLFQPASNGRDGKFLDEER LLREYPQPMGPGVPSLEFRYKKRVYKQSNLDEKQLARLHTKTNLKKFMDHAQHRSVEKLA KLLDRGLDPNFHDLETGETPLTLAAQLDDSMEVIKALRNGGAHLDFRSRDGMTALHKAAR MRNQVALKTLLELGASPDYKDSYGLTPLYHTAIVGGDPYCCELLLHEHASVCCKDENGWH EIHQACRYGHVQHLEHLLFYGADMSAQNASGNTALHICALYNQDSCARVLLFRGGNKELK NYNSQTPFQVAIIAGNFELAEYIKNHKETDIVPFREAPAYSNRRRRPPNTLAAPRVLLRS NSDNNLNAGAPEWAVCSAATSHRSLSPQLLQQTPSKPDGATKSLGSYTPGPRSRSPSLNR LGGTAEDGKRTQPHWHVGSPFTPGANKDSLSTFEYPGPRRKLYSAVPGRLFVAVKPYQPQ VDGEIPLHRGDRVKVLSIGEGGFWEGSARGHIGWFPAECVEEVQCKPRDSQAETRADRSK KLFRHYTVGSYDSFDAASDCIIEDKTVVLQKKDNEGFGFVLRGAKADTPIEEFTPTPAFP ALQYLESVDEGGVAWQAGLRTGDFLIEVNNENVVKVGHRQVVNMIRQGGNHLILKVVTVT RNLDPDDTARKKAPPPPKRAPTTALTLRSKSMTAELEELDKPEEIVPASKPSRTAENVAI ESRVATIKQRPTSRCFPAASDVNSVYERQGIAVMTPTVPGSPKGPFLGLPRGTMRRQKSI DSRIFLSGITEEERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPPSPPPPSPTTYNCPR SPTPRVYGTIKPAFNQNPVVAKVPPATRSDTVATMMREKGMFYRRELDRFSLDSEDVYSR SPAPQAAFRTKRGQMPENPYSEVGKIASKAVYVPAKPARRKGVLVKQSNVEDSPEKTCSI PIPTIIVKEPSTSSSGKSSQGSSMEIDPQATEPGQLRPDDSLTVSSPFAAAIAGAVRDRE KRLEARRNSPAFLSTDLGDEDVGLGPPAPRMQASKFPEEGGFGDEDETEQPLLPTPGAAP RELENHFLGGGEAGAQGEAGGPLSSTSKAKGPESGPAAPLKSSSPAGPENYVHPLTGRLL DPSSPLALALSARDRAMQESQQGHKGEAPKADLNKPLYIDTKMRPSVESGFPPVTRQNTR GPLRRQETENKYETDLGKDRRADDKKNMLINIVDTAQQKSAGLLMVHTVDVPMAGPPLEE EEDREDGDTKPDHSPSTVPEGVPKTEGALQISAAPEPAVAPGRTIVAAGSVEEAVILPFR IPPPPLASVDLDEDFLFTEPLPPPLEFANSFDIPDDRAASVPALADLVKQKKNDTSQPPT LNSSQPANSTDSKKPAGISNCLPSSFLPPPESFDAVTDSGIEEVDSRSSSDHHLETTSTI STVSSISTLSSEGGESMDTCTVYADGQAFVVDKPPVPPKPKMKPIVHKSNALYQDTLPEE DTDGFVIPPPAPPPPPGSAQAGVAKVIQPRTSKLWGDVPEVKSPILSGPKANVISELNSI LQQMNRGKSVKPGEGLELPVGAKSANLAPRSPEVMSTVSGTRSTTVTFTVRPGTSQPITL QSRPPDYESRTSGPRRAPSPVVSPTELSKEILPTPPPPSATAASPSPTLSDVFSLPSQSP AGDLFGLNPAGRSRSPSPSILQQPISNKPFTTKPVHLWTKPDVADWLESLNLGEHKETFM DNEIDGSHLPNLQKEDLIDLGVTRVGHRMNIERALKQLLDR >ENSMUSP00000101520.2 pep:known chromosome:GRCm38:7:144175529:144424494:1 gene:ENSMUSG00000037541.20 transcript:ENSMUST00000105900.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank2 description:SH3/ankyrin domain gene 2 [Source:MGI Symbol;Acc:MGI:2671987] MKSLLNAFTKKEVPFREAPAYSNRRRRPPNTLAAPRVLLRSNSDNNLNAGAPEWAVCSAA TSHRSLSPQLLQQTPSKPDGATKSLGSYTPGPRSRSPSLNRLGGTAEDGKRTQPHWHVGS PFTPGANKDSLSTFEYPGPRRKLYSAVPGRLFVAVKPYQPQVDGEIPLHRGDRVKVLSIG EGGFWEGSARGHIGWFPAECVEEVQCKPRDSQAETRADRSKKLFRHYTVGSYDSFDAASD CIIEDKTVVLQKKDNEGFGFVLRGAKADTPIEEFTPTPAFPALQYLESVDEGGVAWQAGL RTGDFLIEVNNENVVKVGHRQVVNMIRQGGNHLILKVVTVTRNLDPDDTARKKAPPPPKR APTTALTLRSKSMTAELEELVDKASVRKKKDKPEEIVPASKPSRTAENVAIESRVATIKQ RPTSRCFPAASDVNSVYERQGIAVMTPTVPGSPKGPFLGLPRGTMRRQKSIDSRIFLSGI TEEERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPPSPPPPSPTTYNCPRSPTPRVYGT IKPAFNQNPVVAKVPPATRSDTVATMMREKGMFYRRELDRFSLDSEDVYSRSPAPQAAFR TKRGQMPENPYSEVGKIASKAVYVPAKPARRKGVLVKQSNVEDSPEKTCSIPIPTIIVKE PSTSSSGKSSQGSSMEIDPQATEPGQLRPDDSLTVSSPFAAAIAGAVRDREKRLEARRNS PAFLSTDLGDEDVGLGPPAPRMQASKFPEEGGFGDEDETEQPLLPTPGAAPRELENHFLG GGEAGAQGEAGGPLSSTSKAKGPESGPAAPLKSSSPAGPENYVHPLTGRLLDPSSPLALA LSARDRAMQESQQGHKGEAPKADLNKPLYIDTKMRPSVESGFPPVTRQNTRGPLRRQETE NKYETDLGKDRRADDKKNMLINIVDTAQQKSAGLLMVHTVDVPMAGPPLEEEEDREDGDT KPDHSPSTVPEGVPKTEGALQISAAPEPAVAPGRTIVAAGSVEEAVILPFRIPPPPLASV DLDEDFLFTEPLPPPLEFANSFDIPDDRAASVPALADLVKQKKNDTSQPPTLNSSQPANS TDSKKPAGISNCLPSSFLPPPESFDAVTDSGIEEVDSRSSSDHHLETTSTISTVSSISTL SSEGGESMDTCTVYADGQAFVVDKPPVPPKPKMKPIVHKSNALYQDTLPEEDTDGFVIPP PAPPPPPGSAQAGVAKVIQPRTSKLWGDVPEVKSPILSGPKANVISELNSILQQMNRGKS VKPGEGLELPVGAKSANLAPRSPEVMSTVSGTRSTTVTFTVRPGTSQPITLQSRPPDYES RTSGPRRAPSPVVSPTELSKEILPTPPPPSATAASPSPTLSDVFSLPSQSPAGDLFGLNP AGRSRSPSPSILQQPISNKPFTTKPVHLWTKPDVADWLESLNLGEHKETFMDNEIDGSHL PNLQKEDLIDLGVTRVGHRMNIERALKQLLDR >ENSMUSP00000146440.1 pep:known chromosome:GRCm38:7:144284439:144424482:1 gene:ENSMUSG00000037541.20 transcript:ENSMUST00000146006.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank2 description:SH3/ankyrin domain gene 2 [Source:MGI Symbol;Acc:MGI:2671987] MMSVPGGGAATVMMTGYNNGRYPRNSLYSDCIIEDKTVVLQKKDNEGFGFVLRGAKADTP IEEFTPTPAFPALQYLESVDEGGVAWQAGLRTGDFLIEVNNENVVKVGHRQVVNMIRQGG NHLILKVVTVTRNLDPDDTARKKAPPPPKRAPTTALTLRSKSMTAELEELVDKASVRKKK DKPEEIVPASKPSRTAENVAIESRVATIKQRPTSRCFPAASDVNSVYERQGIAVMTPTVP GSPKGPFLGLPRGTMRRQKSIDSRIFLSGITEEERQFLAPPMLKFTRSLSMPDTSEDIPP PPQSVPPSPPPPSPTTYNCPRSPTPRVYGTIKPAFNQNPVVAKVPPATRSDTVATMMREK GMFYRRELDRFSLDSEDVYSRSPAPQAAFRTKRGQMPENPYSEVGKIASKAVYVPAKPAR RKGVLVKQSNVEDSPEKTCSIPIPTIIVKEPSTSSSGKSSQGSSMEIDPQATEPGQLRPD DSLTVSSPFAAAIAGAVRDREKRLEARRNSPAFLSTDLGDEDVGLGPPAPRMQASKFPEE GGFGDEDETEQPLLPTPGAAPRELENHFLGGGEAGAQGEAGGPLSSTSKAKGPESGPAAP LKSSSPAGPENYVHPLTGRLLDPSSPLALALSARDRAMQESQQGHKGEAPKADLNKPLYI DTKMRPSVESGFPPVTRQNTRGPLRRQETENKYETDLGKDRRADDKKNMLINIVDTAQQK SAGLLMVHTVDVPMAGPPLEEEEDREDGDTKPDHSPSTVPEGVPKTEGALQISAAPEPAV APGRTIVAAGSVEEAVILPFRIPPPPLASVDLDEDFLFTEPLPPPLEFANSFDIPDDRAA SVPALADLVKQKKNDTSQPPTLNSSQPANSTDSKKPAGISNCLPSSFLPPPESFDAVTDS GIEEVDSRSSSDHHLETTSTISTVSSISTLSSEGGESMDTCTVYADGQAFVVDKPPVPPK PKMKPIVHKSNALYQDTLPEEDTDGFVIPPPAPPPPPGSAQAGVAKVIQPRTSKLWGDVP EVKSPILSGPKANVISELNSILQQMNRGKSVKPGEGLELPVGAKSANLAPRSPEVMSTVS GTRSTTVTFTVRPGTSQPITLQSRPPDYESRTSGPRRAPSPVVSPTELSKEILPTPPPPS ATAASPSPTLSDVFSLPSQSPAGDLFGLNPAGRSRSPSPSILQQPISNKPFTTKPVHLWT KPDVADWLESLNLGEHKETFMDNEIDGSHLPNLQKEDLIDLGVTRVGHRMNIERALKQLL DR >ENSMUSP00000095542.3 pep:known chromosome:GRCm38:7:144284545:144421361:1 gene:ENSMUSG00000037541.20 transcript:ENSMUST00000097929.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shank2 description:SH3/ankyrin domain gene 2 [Source:MGI Symbol;Acc:MGI:2671987] MMSVPGGGAATVMMTGYNNGRYPRNSLYSDCIIEDKTVVLQKKDNEGFGFVLRGAKADTP IEEFTPTPAFPALQYLESVDEGGVAWQAGLRTGDFLIEVNNENVVKVGHRQVVNMIRQGG NHLILKVVTVTRNLDPDDTARKKAPPPPKRAPTTALTLRSKSMTAELEELVDKDKPEEIV PASKPSRTAENVAIESRVATIKQRPTSRCFPAASDVNSVYERQGIAVMTPTVPGSPKGPF LGLPRGTMRRQKSIDSRIFLSGITEEERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPP SPPPPSPTTYNCPRSPTPRVYGTIKPAFNQNPVVAKVPPATRSDTVATMMREKGMFYRRE LDRFSLDSEDVYSRSPAPQAAFRTKRGQMPENPYSEVGKIASKAVYVPAKPARRKGVLVK QSNVEDSPEKTCSIPIPTIIVKEPSTSSSGKSSQGSSMEIDPQATEPGQLRPDDSLTVSS PFAAAIAGAVRDREKRLEARRNSPAFLSTDLGDEDVGLGPPAPRMQASKFPEEGGFGDED ETEQPLLPTPGAAPRELENHFLGGGEAGAQGEAGGPLSSTSKAKGPESGPAAPLKSSSPA GPENYVHPLTGRLLDPSSPLALALSARDRAMQESQQGHKGEAPKADLNKPLYIDTKMRPS VESGFPPVTRQNTRGPLRRQETENKYETDLGKDRRADDKKNMLINIVDTAQQKSAGLLMV HTVDVPMAGPPLEEEEDREDGDTKPDHSPSTVPEGVPKTEGALQISAAPEPAVAPGRTIV AAGSVEEAVILPFRIPPPPLASVDLDEDFLFTEPLPPPLEFANSFDIPDDRAASVPALAD LVKQKKNDTSQPPTLNSSQPANSTDSKKPAGISNCLPSSFLPPPESFDAVTDSGIEEVDS RSSSDHHLETTSTISTVSSISTLSSEGGESMDTCTVYADGQAFVVDKPPVPPKPKMKPIV HKSNALYQDTLPEEDTDGFVIPPPAPPPPPGSAQAGVAKVIQPRTSKLWGDVPEVKSPIL SGPKANVISELNSILQQMNRGKSVKPGEGLELPVGAKSANLAPRSPEVMSTVSGTRSTTV TFTVRPGTSQPITLQSRPPDYESRTSGPRRAPSPVVSPTELSKEILPTPPPPSATAASPS PTLSDVFSLPSQSPAGDLFGLNPAGRSRSPSPSILQQPISNKPFTTKPVHLWTKPDVADW LESLNLGEHKETFMDNEIDGSHLPNLQKEDLIDLGVTRVGHRMNIERALKQLLDR >ENSMUSP00000140657.1 pep:known chromosome:GRCm38:1:75214228:75219294:-1 gene:ENSMUSG00000026202.13 transcript:ENSMUST00000186213.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba4a description:tubulin, alpha 4A [Source:MGI Symbol;Acc:MGI:1095410] MRECISVHVGQAGVQMGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFTTFFCETGAGK HVPRAVFVDLEPTVIDEIRNGPYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDPVLD RIRKLSDQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTA VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITA SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLSVAEITNACFEPAN QMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIAAIKTKRSIQFVDWCPTGFKVGINYQPP TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE AREDMAALEKDYEEVGIDSYEDEDEGEE >ENSMUSP00000078429.7 pep:known chromosome:GRCm38:1:75214972:75218624:-1 gene:ENSMUSG00000026202.13 transcript:ENSMUST00000079464.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba4a description:tubulin, alpha 4A [Source:MGI Symbol;Acc:MGI:1095410] XSRVLGEQAETAGADRQPRPRPGGGGRERGRECISVHVGQAGVQMGNACWELYCLEHGIQ PDGQMPSDKTIGGGDDSFTTFFCETGAGKHVPRAVFVDLEPTVIDEIRNGPYRQLFHPEQ LITGKEDAANNYARGHYTIGKEIIDPVLDRIRKLSDQCTGLQGFLVFHSFGGGTGSGFTS LLMERLSVDYGKKSKLEFSIYPAPQVSTAVVEPYNSILTTHTTLEHSDCAFMVDNEAIYD ICRRNLDIERPTYTNLNRLISQIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLAT YAPVISAEKAYHEQLSVAEITNACFEPANQMVKCDPRHGKYMACCLLYRGDVVPKDVNAA IAAIKTKRSIQFVDWCPTGFKVGINYQPPTVVPGGDLAKVQRAVCMLSNTTAIAEAWARL DHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDMAALEKDYEEVGIDSYEDEDEGEE >ENSMUSP00000140881.1 pep:known chromosome:GRCm38:1:75215612:75217434:-1 gene:ENSMUSG00000026202.13 transcript:ENSMUST00000188593.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba4a description:tubulin, alpha 4A [Source:MGI Symbol;Acc:MGI:1095410] RECISVHVGQAGVQMGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFTTFFCETGAGKH VPRAVFVDLEPTVIV >ENSMUSP00000140321.1 pep:known chromosome:GRCm38:1:75215956:75217414:-1 gene:ENSMUSG00000026202.13 transcript:ENSMUST00000186971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba4a description:tubulin, alpha 4A [Source:MGI Symbol;Acc:MGI:1095410] XVGQAGVQMGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFTTFFCETGAGKHVPRAVF VDLEPTVIDEIRNGPYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDPVLDRIRKLPP KCPRL >ENSMUSP00000140552.1 pep:known chromosome:GRCm38:1:75216857:75219865:-1 gene:ENSMUSG00000026202.13 transcript:ENSMUST00000186758.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba4a description:tubulin, alpha 4A [Source:MGI Symbol;Acc:MGI:1095410] MGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFTTFFCETGAGKHVPRAVFVDLEPTVI DEIRNGPYRQLFHPEQLITGKEDAANNYARGHYTIGKEII >ENSMUSP00000141097.1 pep:known chromosome:GRCm38:1:75216889:75218888:-1 gene:ENSMUSG00000026202.13 transcript:ENSMUST00000190717.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba4a description:tubulin, alpha 4A [Source:MGI Symbol;Acc:MGI:1095410] MGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFTTFFCETGAGKHVPRAVFVDLEPTVI DEIRNGPYRQLFHPEQLITGKEDAANNYA >ENSMUSP00000140970.1 pep:known chromosome:GRCm38:1:75216913:75218888:-1 gene:ENSMUSG00000026202.13 transcript:ENSMUST00000189131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba4a description:tubulin, alpha 4A [Source:MGI Symbol;Acc:MGI:1095410] MGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFTTFFCETGAGKHVPRAVFVDLEPTVI DEIRNGPYRQLFHPEQLITGK >ENSMUSP00000139676.1 pep:known chromosome:GRCm38:1:151458004:151476162:-1 gene:ENSMUSG00000026484.13 transcript:ENSMUST00000190070.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf2 description:ring finger protein 2 [Source:MGI Symbol;Acc:MGI:1101759] LKIQAMNRLQRGKKQQIENGSGAEDNGDSSHCSNASTHSNQEAGPSNKRTKTSDDSGLEL DNNNAAVAIDPVMDGASEIELVFRPHPTLMEKDDSAQTRYIKTSGNATVDHLSKYLAVRL ALEELRSKGESNQMNLDTASEKQYTIYIATASGQFTEKPPQTSRGMRVTAELDRGTRLHP CCLRARASRSIPGVREGKGWPLPFPRKTCEQ >ENSMUSP00000140896.1 pep:known chromosome:GRCm38:1:151469405:151500811:-1 gene:ENSMUSG00000026484.13 transcript:ENSMUST00000187048.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf2 description:ring finger protein 2 [Source:MGI Symbol;Acc:MGI:1101759] MSQAVQTNGTQPLSKTWELSLYELQRTPQEAITDGLEIVVSPRSLHSELMCPICLDMLKN TMTTKECLHRFCADCIITALRSGNKECPTCRKKLVSKRSLRPDPNFDALISKIYPSRDEY EAHQERVLARINKHNNQQALSHSIEEGLKIQAMNRLQRGKKQQIENGSGAEDNGDSSHCS NASTHSNQEAGPSNKRTKTSDDSGLELDNNNAAVAIDPVMDGASEIELVFRPHPTLMEKD DSAQTRYIKTSGNATVDHLSKYLAVRLALEELRSKGESNQMNLDTASEKQYTIYIATASG QFTVLNGSFSLELVSEKYWKVNKPMELYYAPTKEHK >ENSMUSP00000075476.4 pep:known chromosome:GRCm38:1:151469406:151500955:-1 gene:ENSMUSG00000026484.13 transcript:ENSMUST00000076110.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf2 description:ring finger protein 2 [Source:MGI Symbol;Acc:MGI:1101759] MSQAVQTNGTQPLSKTWELSLYELQRTPQEAITDGLEIVVSPRSLHSELMCPICLDMLKN TMTTKECLHRFCADCIITALRSGNKECPTCRKKLVSKRSLRPDPNFDALISKIYPSRDEY EAHQERVLARINKHNNQQALSHSIEEGLKIQAMNRLQRGKKQQIENGSGAEDNGDSSHCS NASTHSNQEAGPSNKRTKTSDDSGLELDNNNAAVAIDPVMDGASEIELVFRPHPTLMEKD DSAQTRYIKTSGNATVDHLSKYLAVRLALEELRSKGESNQMNLDTASEKQYTIYIATASG QFTVLNGSFSLELVSEKYWKVNKPMELYYAPTKEHK >ENSMUSP00000140594.1 pep:known chromosome:GRCm38:1:151471122:151500833:-1 gene:ENSMUSG00000026484.13 transcript:ENSMUST00000186415.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf2 description:ring finger protein 2 [Source:MGI Symbol;Acc:MGI:1101759] MSQAVQTNGTQPLSKTWELSLYELQRTPQEAITDGLEIVVSPRSLHSELMCPICLDMLKN TMTTKECLHRFCADCIITALRSGLQRGKKQQIENGSGAEDNGDSSHCSNASTHSNQEAGP SNKRTKTSDDSGLELDNNNAAVAIDPVMDGASEIELVFRPHPTLMEKDDSAQTRYIKTSG NATVDHLSKYLAVRLALEELRSKGESNQMNLDTASEKQYTIYIATASGQFTVLNGSFSLE LVSEKYWKVNKPMELYYAPTKEHK >ENSMUSP00000140299.1 pep:known chromosome:GRCm38:1:151476901:151500794:-1 gene:ENSMUSG00000026484.13 transcript:ENSMUST00000187991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf2 description:ring finger protein 2 [Source:MGI Symbol;Acc:MGI:1101759] MSQAVQTNGTQPLSKTWELSLYELQRTPQEAITDGLEIVVSPRSLHSELMCPICLDMLKN TMTTKECLHRFCA >ENSMUSP00000041411.7 pep:known chromosome:GRCm38:2:119269201:119271272:-1 gene:ENSMUSG00000034226.7 transcript:ENSMUST00000037360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhov description:ras homolog gene family, member V [Source:MGI Symbol;Acc:MGI:2444227] MPPRELSEAEPPPLPASTPPPRRRSAPPELGIKCVLVGDGAVGKSSLIVSYTCNGYPARY RPTALDTFSVQVLVDGAPVRIELWDTAGQEDFDRLRSLCYPDTDVFLACFSVVQPSSFQN ITEKWLPEIRTHNPQAPVLLVGTQADLRDDVNVLIQLDQGGREGPVPQPQAQGLAEKIRA CCYLECSALTQKNLKEVFDSAILSAIEHKARLEKKLNAKGVRTLSRCRWKKFFCFV >ENSMUSP00000140921.1 pep:known chromosome:GRCm38:9:89909908:89915847:1 gene:ENSMUSG00000032356.12 transcript:ENSMUST00000189545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrf1 description:RAS protein-specific guanine nucleotide-releasing factor 1 [Source:MGI Symbol;Acc:MGI:99694] MQKAIRLNDGHVVTLGLLAQKDGTRKGYLSKRSADNPKWQTKWFALLQNLLFYFESDSSP RPSGLYLLEGSICKRAPSPKRGTSSKESGEKQRHSRSRRRRNVLRRQPTRISKTFRLVAI ELTGMTATLEVSFSIIRTEIGTLSLHHSLHTLP >ENSMUSP00000034909.4 pep:known chromosome:GRCm38:9:89909909:89915834:1 gene:ENSMUSG00000032356.12 transcript:ENSMUST00000034909.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrf1 description:RAS protein-specific guanine nucleotide-releasing factor 1 [Source:MGI Symbol;Acc:MGI:99694] MQKAIRLNDGHVVTLGLLAQKDGTRKGYLSKRSADNPKWQTKWFALLQNLLFYFESDSSP RPSGLYLLEGSICKRAPSPKRGTSSKESGEKQRHSRSRRRRNVLRRQPTRISKTFRLVAI ELTGMTATLEVSFSIIRTEIGTVAPAPQTWMGKPEDLTTLRDRVESKRLFHHPCRLII >ENSMUSP00000034912.4 pep:known chromosome:GRCm38:9:89909909:90026977:1 gene:ENSMUSG00000032356.12 transcript:ENSMUST00000034912.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrf1 description:RAS protein-specific guanine nucleotide-releasing factor 1 [Source:MGI Symbol;Acc:MGI:99694] MQKAIRLNDGHVVTLGLLAQKDGTRKGYLSKRSADNPKWQTKWFALLQNLLFYFESDSSP RPSGLYLLEGSICKRAPSPKRGTSSKESGEKQQHYFTVNFSNDSQKTLELRTEDAKDCDE WVAAIARASYKILATEHEALMQKYLHLLQVVETEKTVAKQLRQQLEDGEVEIERLKTEVT ITNLIKDNDRIQSSNKAGSADDEDSDIKKIKKVQSFLRGWLCRRKWKNIIQDYIRSPHAD SMRKRNQVVFSMLEAEAEYVQQLHILVNNFLRPLRMAASSKKPPITHDDVSSIFLNSETI MFLHQIFYQGLKARISSWPTLVLADLFDILLPMLNIYQEFVRNHQYSLQILAHCKQNRDF DKLLKQYEAKPDCEERTLETFLTYPMFQIPRYILTLHELLAHTPHEHVERNSLDYAKSKL EELSRIMHDEVSETENIRKNLAIERMITEGCEILLDTSQTFVRQGSLMQMSLSEKSKSSR GRLGSLSTKKEGERQCFLFSKHLIICTRGSGGKLHLTKNGVISLIDCTLLDEPENLDDEA KGAGPEIEHLEFKIGVEPKDSLPFTVILVASTRQEKAAWTSDIIQCVDNIRCNGLMMNAF EENSKVTVPQMIKSDASLYCDDVDIRFSKTMNSCKVLQIRYASVERLLERLTDLRFLSID FLNTFLHSYRVFTNAMVVLDKLINIYRKPMSAIPARSLELLFSSSHNAKLLYGDAPKSPR ASRKFSSPPPLAIGTSSPSRRRKLSLNIPIITGGKALELASLGCSSDSYANIHSPISPFG KTTLDTGKLCMASSLPKTPEEIDVPATIPEKPGELSASRKHSSDVLKEESEDDQNHSDED NTEVSPVKSPPTPKSFLNRTITEFPFFNYNNGILMTTCRDLVDNNRSTLSATSAFAIATA GANEGPSNKEVFRRMSLANTGFSSDQRNIDKEFVIRRAATNRVLNVLRHWVTKHTQDFDT DDTLKYRVICFLEEVMHDPDLLTQERKAAANIIRTLTLEETTEQHSMLEEVILMTEGVKT EPFENHPALEIAEQLTLLDHLVFKSIPYEEFFGQGWMKAEKYERTPYIMKTTKHFNHVSN FIASEIIRNEDISARASAIEKWVAVADICRCLHNYNAVLEITSSINRSAIFRLKKTWLKV SKQTKSLLDKLQKLVSSDGRFKNLRESLRNCDPPCVPYLGMYLTDLVFIEEGTPNYTEDG LVNFSKMRMISHIIREIRQFQQTTYKIDPQPKVIQYLLDESFMLDEESLYESSLLIEPKL PT >ENSMUSP00000086938.3 pep:known chromosome:GRCm38:2:131175182:131180067:-1 gene:ENSMUSG00000068267.5 transcript:ENSMUST00000089510.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpb description:centromere protein B [Source:MGI Symbol;Acc:MGI:88376] MGPKRRQLTFREKSRIIQEVEENPDLRKGEIARRFNIPPSTLSTILKNKRAILASERKYG VASTCRKTNKLSPYDKLEGLLIAWFQQIRAAGLPVKGIILKEKALRIAEELGMDDFTASN GWLDRFRRRHGVVACSGVTRSRARSSAPRAPAAPAGPATVPSEGSGGSTPGWHTREEQPP SVAEGYASQDVFSATETSLWYDFLSDQASGLWGGDGPARQATQRLSVLLCANADGSEKLP PLVAGKSAKPRAGQGGLPCDYTANSKGGVTTQALAKYLKALDTRMAAESRRVLLLAGRLA AQSLDTSGLRHVQLAFFPPGTVHPLERGVVQQVKGHYRQAMLLKAMAALEGQDPSGLQLG LVEALHFVAAAWQAVEPSDIATCFREAGFGGGLNATITTSFKSEGEEEEEEEEEEEEEEE EEGEGEEEEEEEEEGEEEGGEGEEEGEEEVEEEGEVDDSDEEEEESSSEGLEAEDWAQGV VEASGGFGGYSVQEEAQFPTLHFLEGGEDSDSDSDEEEDDEEEDEEDEDEEDDEDGDEVP VPSFGEAMAYFAMVKRYLTSFPIDDRVQSHILHLEHDLVHVTRKNHARQAGVRGLGHQS >ENSMUSP00000027401.4 pep:known chromosome:GRCm38:1:75210838:75215606:1 gene:ENSMUSG00000026201.12 transcript:ENSMUST00000027401.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk16 description:serine/threonine kinase 16 [Source:MGI Symbol;Acc:MGI:1313271] MGHALCVCSRGTVIIDNKRYLFVQKLGEGGFSYVDLVEGLHDGHFYALKRILCHEQQDQE EAQREAEMHRLFQHPNILRLMAYSLKERGAKHEAWLLLPFFKKGTLWNEIERLKDQGSFL TEDQILPLLLGISRGLEAIHAKGYAHRDLKPTNILLGDEGQPVLMDLGSMNQACIQVEGS RQALALQDWAAQRCTISYRAPELFSVQSHCVIDERTDVWSLGCVLYAMMFGEGPYDMVFQ KGDSVALAVQNELSIPQSPRHSSALRQLLSSMMTVDPQQRPHIPVLLSQLEALQPPAPGQ HTTQI >ENSMUSP00000115964.1 pep:known chromosome:GRCm38:1:75210848:75212235:1 gene:ENSMUSG00000026201.12 transcript:ENSMUST00000144355.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk16 description:serine/threonine kinase 16 [Source:MGI Symbol;Acc:MGI:1313271] MGHALCVCSRGTVIIDNKRYLFVQKLGEGGFSYVDLVEGLHDGHFYALKRILCHEQQDQE EAQREAEMHRLFQHPNILRLMAYSLKERGAKHEAWLLLPFFKVREACTYRGDCNIVQPHQ RLWAGKHKHSRS >ENSMUSP00000139846.1 pep:known chromosome:GRCm38:1:75210870:75214242:1 gene:ENSMUSG00000026201.12 transcript:ENSMUST00000191108.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stk16 description:serine/threonine kinase 16 [Source:MGI Symbol;Acc:MGI:1313271] MGHALCVCSRGTVIIDNKRYLFVQKLGEGGFSYVDLVEGLHDGHFYALKRILCHEQQDQE EAQREAEMHRLFQHPNILRLMAYSLKERGAKHEAWLLLPFFKKGTLWNEIERLKDQGSFL TEDQILPLLLGISRGLEAIHAKGYAHRDLKPTNILLGDEGQPVLMDLGSMNQACIQVEGS RQALALQLWGHCSRTGQLSGAPSPTGHLNFFLCKATVSSMSGLMSGP >ENSMUSP00000122688.1 pep:known chromosome:GRCm38:1:75210874:75212234:1 gene:ENSMUSG00000026201.12 transcript:ENSMUST00000123825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk16 description:serine/threonine kinase 16 [Source:MGI Symbol;Acc:MGI:1313271] MGHALCVCSRGTVIIDNKRYLFVQKLGEGGFSYVDLVEGLHDGHFYALKRILCHEQQDQE EAQREAEMHRLFQHPNILRLMAYSLKERGAKHEAWLLLPFFKVREACTYRGDCNIVQPHQ RLWAGKHKHSRS >ENSMUSP00000140329.1 pep:known chromosome:GRCm38:1:75211054:75213228:1 gene:ENSMUSG00000026201.12 transcript:ENSMUST00000189698.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk16 description:serine/threonine kinase 16 [Source:MGI Symbol;Acc:MGI:1313271] MGHALCVCSRGTVIIDNKRYLFVQKLGEGGFSYVDLVEGLHDGHFYALKRILCHEQQDQE EAQREAEMHRLFQHPNILRLMAYSLKERGAKHEAWLLLPFFKKGTLWNEIERLKDQGSFL TEDQILPLLLGISRGLEAIHAKGYAHRDLKPTNILLGDEGQPVLMDLGSMNQACIQVEGS RQALALQDWAAQRCTISYRAPELFSVQSHCVIDERTDVW >ENSMUSP00000139998.1 pep:known chromosome:GRCm38:1:75211240:75213951:1 gene:ENSMUSG00000026201.12 transcript:ENSMUST00000188460.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk16 description:serine/threonine kinase 16 [Source:MGI Symbol;Acc:MGI:1313271] MGHALCVCSRGTVIIDNKRYLFVQKLGEGDLKPTNILLGDEGQPVLMDLGSMNQACIQVE GSRQALALQDWAAQRCTISYRAPELFSVQSHCVIDERTDVWSLGCVLYAMMFGEGPYDMV FQKGDSVALAVQNELSIPQSPRHSSALRQLLSSMMTVDPQQRPHIPVLLSQLEALQPPAP GQHTTQI >ENSMUSP00000138362.1 pep:known chromosome:GRCm38:1:63445891:63573217:1 gene:ENSMUSG00000025964.15 transcript:ENSMUST00000182642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam23 description:a disintegrin and metallopeptidase domain 23 [Source:MGI Symbol;Acc:MGI:1345162] MKPPGSISRRPTLTGCSLPGASCGPGRCPAGPVPARAPPCRLLLVLLLLPALATSSRPRA RGAAAPSAPHWNETAEKTLGVLADEDNTLQQNSSSRNTSYSSAVQKEITLPSRLVYYINQ DSESPYHVLDTKARHQQKHNKAVHLAQASFQIEAFGSKFILDLTLNNGLLSSDYVEIHYE DGKQMYSKGGEHCYYHGSIRGVKDSRVALSTCNGLHGMFEDDTFVYMIEPLELTDDEKST GRPHIIQKTLAGQYSKQMKNLSTDGSDQWPLLPELQWLRRRKRAVNPSRGVFEEMKYLEL MIVNDHKTYKKHRSSHAHTNNFAKSVVNLVDSIYKEQLNTRVVLVAVETWTEKDHIDITI NPVQMLHDFSKYRQRIKQHADAVHLISRVTFHYKRSSLSYFGGVCSRIRGVGVNEYGLPM AVAQVLSQSLAQNLGIQWEPSSRKPKCECIESWGGCIMEETGVSHSRKFSKCSILEYRDF LQRGGGACLFNRPTKLFEPTECGNGYVEAGEECDCGFHVECYGVCCKKCSLSNGAHCSDG PCCNNTSCLFQSRGYECRDAVNSCDITEYCTGDSGQCPPNLHKQDGYSCNQNQGRCYNGE CKTRDNQCQYIWGTKAAGSDKFCYEKLNTEGTEKGNCGKDGDRWIPCSKHDVFCGFLLCT NLTRAPRIGQLQGEIIPTSFYHQGRVIDCSGAHVVLDDDTDVGYVEDGTPCGPSMMCLDR KCLQIQALNMSSCPLDSRGKVCSGHGVCSNEATCICDFTWAGTDCSIRDPVRNPNPPKDE GPKGLYDSGFRSLQIIHLPSPTAAVIHFHSFRRRHTGIYPFATEMRHPVCTTLSEAY >ENSMUSP00000084633.3 pep:known chromosome:GRCm38:1:63445895:63596276:1 gene:ENSMUSG00000025964.15 transcript:ENSMUST00000087374.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam23 description:a disintegrin and metallopeptidase domain 23 [Source:MGI Symbol;Acc:MGI:1345162] MKPPGSISRRPTLTGCSLPGASCGPGRCPAGPVPARAPPCRLLLVLLLLPALATSSRPRA RGAAAPSAPHWNETAEKTLGVLADEDNTLQQNSSSRNTSYSSAVQKEITLPSRLVYYINQ DSESPYHVLDTKARHQQKHNKAVHLAQASFQIEAFGSKFILDLTLNNGLLSSDYVEIHYE DGKQMYSKGGEHCYYHGSIRGVKDSRVALSTCNGLHGMFEDDTFVYMIEPLELTDDEKST GRPHIIQKTLAGQYSKQMKNLSTDGSDQWPLLPELQWLRRRKRAVNPSRGVFEEMKYLEL MIVNDHKTYKKHRSSHAHTNNFAKSVVNLVDSIYKEQLNTRVVLVAVETWTEKDHIDITI NPVQMLHDFSKYRQRIKQHADAVHLISRVTFHYKRSSLSYFGGVCSRIRGVGVNEYGLPM AVAQVLSQSLAQNLGIQWEPSSRKPKCECIESWGGCIMEETGVSHSRKFSKCSILEYRDF LQRGGGACLFNRPTKLFEPTECGNGYVEAGEECDCGFHVECYGVCCKKCSLSNGAHCSDG PCCNNTSCLFQSRGYECRDAVNSCDITEYCTGDSGQCPPNLHKQDGYSCNQNQGRCYNGE CKTRDNQCQYIWGTKAAGSDKFCYEKLNTEGTEKGNCGKDGDRWIPCSKHDVFCGFLLCT NLTRAPRIGQLQGEIIPTSFYHQGRVIDCSGAHVVLDDDTDVGYVEDGTPCGPSMMCLDR KCLQIQALNMSSCPLDSRGKVCSGHGVCSNEATCICDFTWAGTDCSIRDPVRNPNPPKDE GPKGPSATNLIIGSIAGAILVAAIVLGGTGWGFKNVKKRRFDPTQQGPI >ENSMUSP00000139862.1 pep:known chromosome:GRCm38:1:63446211:63592912:1 gene:ENSMUSG00000025964.15 transcript:ENSMUST00000114103.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam23 description:a disintegrin and metallopeptidase domain 23 [Source:MGI Symbol;Acc:MGI:1345162] MKPPGSISRRPTLTGCSLPGASCGPGRCPAGPVPARAPPCRLLLVLLLLPALATSSRPRA RGAAAPSAPHWNETAEKTLGVLADEDNTLQQNSSSRNTSYSSAVQKEITLPSRLVYYINQ DSESPYHVLDTKARHQQKHNKAVHLAQASFQIEAFGSKFILDLTLNNGLLSSDYVEIHYE DGKQMYSKGGEHCYYHGSIRGVKDSRVALSTCNGLHGMFEDDTFVYMIEPLELTDDEKST GRPHIIQKTLAGQYSKQMKNLSTDGSDQWPLLPELQWLRRRKRAVNPSRGVFEEMKYLEL MIVNDHKTYKKHRSSHAHTNNFAKSVVNLVDSIYKEQLNTRVVLVAVETWTEKDHIDITI NPVQMLHDFSKYRQRIKQHADAVHLISRVTFHYKRSSLSYFGGVCSRIRGVGVNEYGLPM AVAQVLSQSLAQNLGIQWEPSSRKPKCECIESWGGCIMEETGVSHSRKFSKCSILEYRDF LQRGGGACLFNRPTKLFEPTECGNGYVEAGEECDCGFHVECYGVCCKKCSLSNGAHCSDG PCCNNTSCLFQSRGYECRDAVNSCDITEYCTGDSGQCPPNLHKQDGYSCNQNQGRCYNGE CKTRDNQCQYIWGTKAAGSDKFCYEKLNTEGTEKGNCGKDGDRWIPCSKHDVFCGFLLCT NLTRAPRIGQLQGEIIPTSFYHQGRVIDCSGAHVVLDDDTDVGYVEDGTPCGPSMMCLDR KCLQIQALNMSSCPLDSRGKVCSGHGVCSNEATCICDFTWAGTDCSIRDPVRNPNPPKDE GPKETSRRGDSIPLSKAPSESSALEECHLALLGSGNDMFSAMLPELLSL >ENSMUSP00000109742.1 pep:known chromosome:GRCm38:1:63446211:63596276:1 gene:ENSMUSG00000025964.15 transcript:ENSMUST00000114107.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam23 description:a disintegrin and metallopeptidase domain 23 [Source:MGI Symbol;Acc:MGI:1345162] MKPPGSISRRPTLTGCSLPGASCGPGRCPAGPVPARAPPCRLLLVLLLLPALATSSRPRA RGAAAPSAPHWNETAEKTLGVLADEDNTLQQNSSSRNTSYSSAVQKEITLPSRLVYYINQ DSESPYHVLDTKARHQQKHNKAVHLAQASFQIEAFGSKFILDLTLNNGLLSSDYVEIHYE DGKQMYSKGGEHCYYHGSIRGVKDSRVALSTCNGLHGMFEDDTFVYMIEPLELTDDEKST GRPHIIQKTLAGQYSKQMKNLSTDGSDQWPLLPELQWLRRRKRAVNPSRGVFEEMKYLEL MIVNDHKTYKKHRSSHAHTNNFAKSVVNLVDSIYKEQLNTRVVLVAVETWTEKDHIDITI NPVQMLHDFSKYRQRIKQHADAVHLISRVTFHYKRSSLSYFGGVCSRIRGVGVNEYGLPM AVAQVLSQSLAQNLGIQWEPSSRKPKCECIESWGGCIMEETGVSHSRKFSKCSILEYRDF LQRGGGACLFNRPTKLFEPTECGNGYVEAGEECDCGFHVECYGVCCKKCSLSNGAHCSDG PCCNNTSCLFQSRGYECRDAVNSCDITEYCTGDSGQCPPNLHKQDGYSCNQNQGRCYNGE CKTRDNQCQYIWGTKAAGSDKFCYEKLNTEGTEKGNCGKDGDRWIPCSKHDVFCGFLLCT NLTRAPRIGQLQGEIIPTSFYHQGRVIDCSGAHVVLDDDTDVGYVEDGTPCGPSMMCLDR KCLQIQALNMSSCPLDSRGKVCSGHGVCSNEATCICDFTWAGTDCSIRDPVRNPNPPKDE GPKVNMATSRLIGAVAGTVLALGVIFGGTGWGIENVKKRRFDPTQQGPI >ENSMUSP00000132735.1 pep:known chromosome:GRCm38:5:99955935:99978938:-1 gene:ENSMUSG00000000568.15 transcript:ENSMUST00000172361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpd description:heterogeneous nuclear ribonucleoprotein D [Source:MGI Symbol;Acc:MGI:101947] MSEEQFGGDGAAAAATAAVGGSAGEQEGAMVAAAAQGPAAAAGSGSGGGGSAAGGTEGGS AEAEGAKIDASKNEEDEGHSNSSPRHTEAAAAQREEWKMFIGGLSWDTTKKDLKDYFSKF GEVVDCTLKLDPITGRSRGFGFVLFKESESVDKVMDQKEHKLNGKVIDPKRAKAMKTKEP VKKIFVGGLSPDTPEEKIREYFGGFGEVESIELPMDNKTNKRRGFCFITFKEEEPVKKIM EKKYHNVGLSKCEIKVAMSKEQYQQQQQWGSRGGFAGRARGRGGGPSQNWNQGYSNYWNQ GYGNYGYNSQGYGGYGGYDYTGYNNYYGYGDYSNQQSGYGKVSRRGGHQNSYKPY >ENSMUSP00000019128.8 pep:known chromosome:GRCm38:5:99960598:99978937:-1 gene:ENSMUSG00000000568.15 transcript:ENSMUST00000019128.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpd description:heterogeneous nuclear ribonucleoprotein D [Source:MGI Symbol;Acc:MGI:101947] MSEEQFGGDGAAAAATAAVGGSAGEQEGAMVAAAAQGPAAAAGSGSGGGGSAAGGTEGGS AEAEGAKIDASKNEEDEGHSNSSPRHTEAAAAQREEWKMFIGGLSWDTTKKDLKDYFSKF GEVVDCTLKLDPITGRSRGFGFVLFKESESVDKVMDQKEHKLNGKVIDPKRAKAMKTKEP VKKIFVGGLSPDTPEEKIREYFGGFGEVESIELPMDNKTNKRRGFCFITFKEEEPVKKIM EKKYHNVGLSKCEIKVAMSKEQYQQQQQWGSRGGFAGRARGRGGDQQSGYGKVSRRGGHQ NSYKPY >ENSMUSP00000108561.3 pep:known chromosome:GRCm38:5:99960998:99978917:-1 gene:ENSMUSG00000000568.15 transcript:ENSMUST00000112939.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpd description:heterogeneous nuclear ribonucleoprotein D [Source:MGI Symbol;Acc:MGI:101947] MSEEQFGGDGAAAAATAAVGGSAGEQEGAMVAAAAQGPAAAAGSGSGGGGSAAGGTEGGS AEAEGAKIDASKNEEDEGKMFIGGLSWDTTKKDLKDYFSKFGEVVDCTLKLDPITGRSRG FGFVLFKESESVDKVMDQKEHKLNGKVIDPKRAKAMKTKEPVKKIFVGGLSPDTPEEKIR EYFGGFGEVESIELPMDNKTNKRRGFCFITFKEEEPVKKIMEKKYHNVGLSKCEIKVAMS KEQYQQQQQWGSRGGFAGRARGRGGGPSQNWNQGYSNYWNQGYGNYGYNSQGYGGYGGYD YTGYNNYYGYGDYSNQQSGYGKVSRRGGHQNSYKPY >ENSMUSP00000072533.6 pep:known chromosome:GRCm38:5:99961002:99978935:-1 gene:ENSMUSG00000000568.15 transcript:ENSMUST00000072750.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpd description:heterogeneous nuclear ribonucleoprotein D [Source:MGI Symbol;Acc:MGI:101947] MSEEQFGGDGAAAAATAAVGGSAGEQEGAMVAAAAQGPAAAAGSGSGGGGSAAGGTEGGS AEAEGAKIDASKNEEDEGKMFIGGLSWDTTKKDLKDYFSKFGEVVDCTLKLDPITGRSRG FGFVLFKESESVDKVMDQKEHKLNGKVIDPKRAKAMKTKEPVKKIFVGGLSPDTPEEKIR EYFGGFGEVESIELPMDNKTNKRRGFCFITFKEEEPVKKIMEKKYHNVGLSKCEIKVAMS KEQYQQQQQWGSRGGFAGRARGRGGDQQSGYGKVSRRGGHQNSYKPY >ENSMUSP00000131262.1 pep:known chromosome:GRCm38:5:99961003:99976551:-1 gene:ENSMUSG00000000568.15 transcript:ENSMUST00000171106.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpd description:heterogeneous nuclear ribonucleoprotein D [Source:MGI Symbol;Acc:MGI:101947] XAQREEWKMFIGGLSWDTTKKDLKDYFSKFGEVVDCTLKLDPITGRSRGFGFVLFKESES VDKVMDQKEHKLNGKVIDPKRAKAMKTKEPVKKIFVGGLSPDTPEEKIREYFGGFGEVES IELPMDNKTNKRRGFCFITFKEEEPVKKIMEKKYHNVGLSKCEIKVAMSKEQYQQQQQWG SRGGFAGRARGRGGDQQSGYGKVSRRGGHQNSYKPY >ENSMUSP00000127833.1 pep:known chromosome:GRCm38:5:99961151:99966174:-1 gene:ENSMUSG00000000568.15 transcript:ENSMUST00000171640.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpd description:heterogeneous nuclear ribonucleoprotein D [Source:MGI Symbol;Acc:MGI:101947] QKEHKLNGKVIDPKRAKAMKTKEPVKKIFVGGLSPDTPEEKIREYFGGFGEVESIELPMD NKTNKRRGFCFITFKEEEPVKKIMEKKYHNVGLSKCEIKVAMSKEQYQQQQQWGSRGGFA GRARGRGGDQQSGYGKVSRRGGHQNSYKPY >ENSMUSP00000131785.1 pep:known chromosome:GRCm38:5:99961168:99964750:-1 gene:ENSMUSG00000000568.15 transcript:ENSMUST00000164833.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpd description:heterogeneous nuclear ribonucleoprotein D [Source:MGI Symbol;Acc:MGI:101947] FCFITFKEEEPVKKIMEKKYHNVGLSKCEIKVAMSKEQYQQQQQWGSRGGFAGRARGRGG GPSQNWNQGYSNYWNQGYGNYGYNSQGYGGYGGYDYTGYNNYYGYGDYSNQQSGYGKVSR RGGHQNSYKPY >ENSMUSP00000131859.1 pep:known chromosome:GRCm38:5:99962283:99978624:-1 gene:ENSMUSG00000000568.15 transcript:ENSMUST00000168396.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpd description:heterogeneous nuclear ribonucleoprotein D [Source:MGI Symbol;Acc:MGI:101947] MSEEQFGGDGAAAAATAAVGGSAGEQEGAMVAAAAQGPAAAAGSGSGGGGSAAGGTEGGS AEAEGAKIDASKNEEDEGSWIRKNIN >ENSMUSP00000125984.1 pep:known chromosome:GRCm38:5:99966027:99978934:-1 gene:ENSMUSG00000000568.15 transcript:ENSMUST00000171786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpd description:heterogeneous nuclear ribonucleoprotein D [Source:MGI Symbol;Acc:MGI:101947] MFIGGLSWDTTKKDLKDYFSKFGEVVDCTLKLDPITGRSRGFGFVLFKESESVDKVMDQK EHKLNGKVIDPKRAKAMKTKEPVKKIFVGGLSPDTPEEKIREYFGGF >ENSMUSP00000143218.1 pep:known chromosome:GRCm38:5:99967308:99978859:-1 gene:ENSMUSG00000000568.15 transcript:ENSMUST00000170912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpd description:heterogeneous nuclear ribonucleoprotein D [Source:MGI Symbol;Acc:MGI:101947] MFIGGLSWDTTKKDLKDYFSKFGEV >ENSMUSP00000028801.1 pep:known chromosome:GRCm38:2:131170261:131187282:-1 gene:ENSMUSG00000027329.9 transcript:ENSMUST00000028801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spef1 description:sperm flagellar 1 [Source:MGI Symbol;Acc:MGI:3513546] MESSVDEEALHQLYLWVDNIPLSRPKRNLSRDFSDGVLVAELIKFYFPKMVEMHNYVPAN SLQQKLSNWGHLNRKVLNKLNFSVPDDVMRKIAQCSPGVVELVLIPLRQRLEERQRRQKL GVGSLQELAPQDSSGYMDMGLPQKVRGEGAPALGEQLREGRPLASRPPGYNQALQGDPSF VLQIAEKEQELLASQETVQVLQMKVKRLEHLLQLKNVRIDDLSRRLQQAERKQR >ENSMUSP00000105847.2 pep:known chromosome:GRCm38:2:131170316:131175201:-1 gene:ENSMUSG00000027329.9 transcript:ENSMUST00000110218.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spef1 description:sperm flagellar 1 [Source:MGI Symbol;Acc:MGI:3513546] MESSVDEEALHQLYLWVDNIPLSRPKRNLSRDFSDGVLVAELIKFYFPKMVEMHNYVPAN SLQQKLSNWGHLNRKVLNKLNFSVPDDVMRKIAQCSPGVVELVLIPLRQRLEERQRRQKL GVGSLQELAPQDSSGYMDMGLPQKVRGEGAPALGEQLREGRPLASRPPGYNQALQGDPSF VLQIAEKEQELLASQETVQVLQMKVKRLEHLLQLKNVRIDDLSRRLQQAERKQR >ENSMUSP00000114178.1 pep:known chromosome:GRCm38:2:131171611:131174653:-1 gene:ENSMUSG00000027329.9 transcript:ENSMUST00000127987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spef1 description:sperm flagellar 1 [Source:MGI Symbol;Acc:MGI:3513546] XEALHQLYLWVDNIPLSRPKRNLSRDFSDGVLVAELIKFYFPKMVEMHNYVPANSLQQKL SNWGHLNRKVLNKLNFSVPDDVMRKIAQCSPGVVELVLIPLRQRLEERQRRQKLGVGSLQ ELAPQDSSGYMDMGLPQKVRGEGAPALGEQLRSLKRSRSCWPLKRQYRSFR >ENSMUSP00000046219.2 pep:known chromosome:GRCm38:2:144033059:144073979:1 gene:ENSMUSG00000037307.11 transcript:ENSMUST00000037722.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banf2 description:barrier to autointegration factor 2 [Source:MGI Symbol;Acc:MGI:2684961] MDDMSPRLRAFLSEPIGEKDVAWVDGISRELAINLVTKGFNKAYVLLGQFLLMHKNEAEF QRWIICCCGATECEARQSSTCLKEWCSCFL >ENSMUSP00000105659.1 pep:known chromosome:GRCm38:2:144033102:144073979:1 gene:ENSMUSG00000037307.11 transcript:ENSMUST00000110032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banf2 description:barrier to autointegration factor 2 [Source:MGI Symbol;Acc:MGI:2684961] MDDMSPRLRAFLSEPIGEKDVAWVDGISRELAINLVTKGFNKAYVLLGQFLLMHKNEAEF QRWIICCCGATECEARQSSTCLKEWCSCFL >ENSMUSP00000068309.5 pep:known chromosome:GRCm38:1:151428542:151458161:1 gene:ENSMUSG00000053286.11 transcript:ENSMUST00000065625.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt1l description:tRNA methyltransferase 1 like [Source:MGI Symbol;Acc:MGI:1916185] MENMAEEELLPQEKEEAQVRVPTRAPDSAPVPAPAADTALDSAPTPDSDPAPALAPAPAP ALSPSLASVPEEAESKRHISIQRRLADLEKLAFGTEGDVDSASSLNSDNPGTENSQTCPL CPKEKFRAYSSHKLRRHLQNLHWKISVEFEGYRMCICHLACRPVKPTIVGEQISSKLGAH YHCIICSATITRRTDMLGHVKRHVNKGETKSRYIAASTAKSSNEILKETDTDIQVFPNYS IPQKTDSYFNPKMKLNRQIIFCTLAALAKERKPLECLDAFGATGIMGLQWAKHLGNAVKV TINDLNENSVTLIQKNCHLNKLKVVVDSEEKEEGDALEDDGTLGDIQVTRMDANVLMHLR SFDFIHLDPFGTSVNYLDSAFRNVRNLGIVSVTSTDISSLYAKAQHVARRHYGCNIVRTE YYKELAARIVVAAVARAAARCNKGIEVLFAVALEHFVLVVVRVLRGPTSADETAKKIQYL IHCQWCEERIFQKDGNMVEENPYRQLPCNCHGSMPGKTAIELGPLWSSSLFNTGFLKRML FESIHHGLDDIQPLIKTLIFESECTPQSQCSTHAPSNTNKQEENGVFVKTTDDTTIDIYS AQGKRKSNEMAINLAKKQKTDASTAHPPFYYNIHRHSIKGMNMPKLKKFLCCLSQAGFRV SRTHFDPMGIRTDAPLMQFKSILLKYSTPTYTGAQSEGQMPPAAEDTVTDRVEMSVSDKA EASGCRRW >ENSMUSP00000140009.1 pep:known chromosome:GRCm38:1:151428706:151458161:1 gene:ENSMUSG00000053286.11 transcript:ENSMUST00000189655.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmt1l description:tRNA methyltransferase 1 like [Source:MGI Symbol;Acc:MGI:1916185] MCICHLACRPVKPTIVGEQISSKLGAHYHCIICSATITRRTDMLGHVKRHVNKGETKSRY IAASTAKSSNEILKETDTDIQVFPNYSIPQKTDSYFNPKMKLNRQIIFCTLAALAKERKP LECLDAFGATDSSSSLFLPRNNGITVGKAPWKCSQSYN >ENSMUSP00000028804.8 pep:known chromosome:GRCm38:2:131186949:131198495:1 gene:ENSMUSG00000027330.16 transcript:ENSMUST00000028804.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc25b description:cell division cycle 25B [Source:MGI Symbol;Acc:MGI:99701] MEVPLQKSAPGSALSPARVLGGIQRPRHLSVFEFESDGFLGSPEPTASSSPVTTLTQTMH NLAGLGSEPPKAQVGSLSFQNRLADLSLSRRTSECSLSSESSESSDAGLCMDSPSPVDPQ MAERTFEQAIQAASRVIQNEQFTIKRFRSLPVRLLEHSPVLQSITNSRALDSWRKTEAGY RAAANSPGEDKENDGYIFKMPQELPHSSSAQALAEWVSRRQAFTQRPSSAPDLMCLTTEW KMEVEELSPVAQSSSLTPVERASEEDDGFVDILESDLKDDEKVPAGMENLISAPLVKKLD KEEEQDLIMFSKCQRLFRSPSMPCSVIRPILKRLERPQDRDVPVQSKRRKSVTPLEEQQL EEPKARVFRSKSLCHEIENILDSDHRGLIGDYSKAFLLQTVDGKHQDLKYISPETMVALL TGKFSNIVEKFVIVDCRYPYEYEGGHIKNAVNLPLERDAETFLLQRPIMPCSLDKRIILI FHCEFSSERGPRMCRFIRERDRAANDYPSLYYPEMYILKGGYKEFFPQHPNFCEPQDYRP MNHEAFRDELRNFRLKTRSWAGERSRRELCSRLQDQ >ENSMUSP00000078784.8 pep:known chromosome:GRCm38:2:131186958:131198497:1 gene:ENSMUSG00000027330.16 transcript:ENSMUST00000079857.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc25b description:cell division cycle 25B [Source:MGI Symbol;Acc:MGI:99701] MEVPLQKSAPGSALSPARVLGGIQRPRHLSVFEFESDGFLGSPEPTASSSPVTTLTQTMH NLAGLGSEPPKAQVGSLSFQNRLADLSLSRRTSECSLSSESSESSDAGLCMDSPSPVDPQ MAERTFEQAIQAASRVIQNEQFTIKRFRSLPVRLLEHSPVLQSITNSRALDSWRKTEAGY RAAANSPGEDKENVRFQRPRWRSSRERRCLTTEWKMEVEELSPVAQSSSLTPVERASEED DGFVDILESDLKDDEKVPAGMENLISAPLVKKLDKEEEQDLIMFSKCQRLFRSPSMPCSV IRPILKRLERPQDRDVPVQSKRRKSVTPLEEQQLEEPKARVFRSKSLCHEIENILDSDHR GLIGDYSKAFLLQTVDGKHQDLKYISPETMVALLTGKFSNIVEKFVIVDCRYPYEYEGGH IKNAVNLPLERDAETFLLQRPIMPCSLDKRIILIFHCEFSSERGPRMCRFIRERDRAAND YPSLYYPEMYILKGGYKEFFPQHPNFCEPQDYRPMNHEAFRDELRNFRLKTRSWAGERSR RELCSRLQDQ >ENSMUSP00000043146.7 pep:known chromosome:GRCm38:2:125627447:125723387:-1 gene:ENSMUSG00000035109.14 transcript:ENSMUST00000042246.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc4 description:SHC (Src homology 2 domain containing) family, member 4 [Source:MGI Symbol;Acc:MGI:2655364] MRERSQDSQAGLTLYVGLFGHLGMLHRTKYSRFRNESITSLDEGSPGGSVGNKGSSPPPY PALAPHLPTEDATVSSQESPTALCTLIPRMASMKLANPITFLGLKTFCLGTKQVSRLKLQ ENQDQTPSRPASPESNLNRTGPAPAPDPDQVGRRPTSLRPDTCPLPGPGEPSPRSKQDGP PLQHLLGNGLNYCVRYMGCIEVLQSMRSLDFGMRTQVTREAISRLCEAVPGAHGAIKKRK APVKFLTTVLGKSNLQFSGMNIKLTVSTSSLTLMNLDNQQIIANHQMQSISFASGGDPDT TDYVAYVAKDPVNQRACHILECRSGMAQDVISTIGQAFELRFKQYLKNPSLNTWEREEVL VDGAPEDRDHDYYNSIPGKQPPEGGISDVRIQAQATDQMAYCPIRCEKLCYLPGNSTCSG VYKNCMGRSRPIGIPHERAGQGDTPSLRHFWRVDLFDDPCYVNTQALQSMHSYAGNQSSA LPQGSPWHLGKAPETVQPGATAKPGSALALPHIRQQLWDEECFHGKLSRGAAEKLLVKDG DFLVRESVTSPGQFVLSGLQGGQAKHLLLVDPEGKVRTKDHVFDNVGHLIKYHMDNNLPI ISSGSEVRLKQPIRKYDNTGLLPPKK >ENSMUSP00000106106.1 pep:known chromosome:GRCm38:2:125627447:125673632:-1 gene:ENSMUSG00000035109.14 transcript:ENSMUST00000110480.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc4 description:SHC (Src homology 2 domain containing) family, member 4 [Source:MGI Symbol;Acc:MGI:2655364] MQSISFASGGDPDTTDYVAYVAKDPVNQRACHILECRSGMAQDVISTIGQAFELRFKQYL KNPSLNTWEREEVLVDGAPEDRDHDYYNSIPGKQPPEGGISDVRIQAQATDQMAYCPIRC EKLCYLPGNSTCSGVYKNCMGRSRPIGIPHERAGQGDTPSLRHFWRVDLFDDPCYVNTQA LQSMHSYAGNQSSALPQGSPWHLGKAPETVQPGATAKPGSALALPHIRQQLWDEECFHGK LSRGAAEKLLVKDGDFLVRESVTSPGQFVLSGLQGGQAKHLLLVDPEGKVRTKDHVFDNV GHLIKYHMDNNLPIISSGSEVRLKQPIRKYDNTGLLPPKK >ENSMUSP00000106103.1 pep:known chromosome:GRCm38:2:125629065:125673502:-1 gene:ENSMUSG00000035109.14 transcript:ENSMUST00000110477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc4 description:SHC (Src homology 2 domain containing) family, member 4 [Source:MGI Symbol;Acc:MGI:2655364] MQSISFASGGDPDTTDYVAYVAKDPVNQRACHILECRSGMAQDVISTIGQAFELRFKQYL KNPSLNTWEREEVLVDGAPEDRDHDYYNSIPGKQPPEGGISDVRIQAQATDQMAYCPIRC EKLCYLPGNSTCSGVYKNCMGRSRPIGIPHERAGQGDTPSLRHFWRVDLFDDPCYVNTQA LQSMHSYAGNQSSALPQGSPWHLGKAPETVQPGATAKPGSALALPHIRQQLWDEECFHGK LSRGAAEKLLVKDGDFLVRESVTSPGQFVLSGLQGGQAKHLLLVDPEGKVRTKDHVFDNV GHLIKYHMDNNLPIISSGSEVRLKQPIRKYDNTGLLPPKK >ENSMUSP00000091330.4 pep:known chromosome:GRCm38:9:78615546:78716253:1 gene:ENSMUSG00000046186.8 transcript:ENSMUST00000093812.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd109 description:CD109 antigen [Source:MGI Symbol;Acc:MGI:2445221] MRSRRLLSAAHLLCLCAVALAAPGSRFLVTAPGIIRPGANVTIGVDLLENSPPQVLVKAQ VFKIASNKSRSILEAEGVFHRGHFKTLVLPALPLSSADKIYELHINGQSENEIVFSNRTR LTFESKSISVLIQTDKAFYKPKQEVKFRVLTLCSDLKPYRTSVDIFIKDPKSNVIQQWFS QKGDLGVVSKTFQLSSNPIFGDWSIQVQVNDQQYYQSFQVLEYVLPKFEVTVQTPLYCSL KSKQLNGSVIAKYTYGKPVKGSLSLTFLPLSFWGKKKNITKSFEINGFANFSFDNYEMKK VMNLKPLTDVSEGSYENVDPSFPGPAEIIATVTESLTGISRMASTNVFFKQHDYIIEIFD YTTVLKPSLNFTATVKVSRSDGNQLTPEEIENDLVTVVTQRKNNHPESQRDQEMDYIQTV NYTIPQNGIIKIEFPVMSISGELQLKAYFLDGTSSVTVHSMFTSPSKTYIQLKTRDEYIK VGSPFDLMVSGNRQFKDLSYMVISKGQLVAAGKQSSRTFSLTPEASWAPKACIIAYYIAE DGEIINDILKIPVQLVFENKVKLFWSKPTVKPSDKVSLRISATQSDSLVGIVAVDKSVTL MENSNSITMETMVHELELYNTEYYLGMFMNSFAVFQECGLWVLTDATLIRDSIDEVYDTE EYSERFAEENEANLVDFEDASSVNNVHVRKNFPETWIWLDAYMGSKIYEEFEVTVPDSIT SWVASAFVISEDLGFGLTTVPAELQAFQPFFLFLNLPYSVIRGEEFALEVSIVNYLKDTI KVVILIEESDSFDILMTSNDTNGTIYRKTVQVPRDNGVTLVFPIKPTHLGEIPITVTAAS PTASDAVTQTIVVKPEGIEKSYSKSVLLDLTDSNVESKQQSMRFSFPPDTVIGSERVQIT AIGDILGSSINGLSSLIRMPYGCGEQNMIYFAPNIYILDYLTKQKQLTVNLKEKALSYMR QGYQRELLYQREDGSFSAFGDIDSSGSTWLSAFVLRCFLEADYYIDIDQDVLHRTYTWLN AHKKFNGEFWEPGRVIHSELQGGTKSPVTLTAYIVTSVLGYKKYQPNIDVQDSIKFLEFE FSRGISDNYTLAIISYALSTVGSPKAEEALNLLMQRSEKEGDTQFWLSSGPALSGSWQPR SVDIEIAAYALLAHTLHHVSEGIPVMRWLIQQRNSLGGFVSTQDTVVALKALSEFSALVH KENTDIQLTVTGPGIPRSIHFRIDSQNLFLLHQEELHALDPITVNVSAHGSGFAICQLNV DYNVKGSGSSKRRRSTENQEVFDLDVIVNNEDDISHLNLNVCTSHLGSERTGMVLMEVNL LSGFSASSDSIPLSETLKKVEYDNGKLNLYLDSVNESQFCVNIPTVRDYKVSNIRDGSVS VMDYYEPRRQAVRSYNTQVKLSSCYLSPDTNCKSHTDGATDSLRRSSSLLVFCSVLLYFV QH >ENSMUSP00000102628.1 pep:known chromosome:GRCm38:11:103631070:103638879:-1 gene:ENSMUSG00000020945.13 transcript:ENSMUST00000107014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyzl6 description:lysozyme-like 6 [Source:MGI Symbol;Acc:MGI:1916694] MLKALFICVASCLLVVNDGNIIHRCSLAKILYEEDLDGFEGYSLPDWLCLAFVESNFNIS KVNENVDGSFDYGIFQINSRYWCNDYQSHSENFCHVDCQELLSPNLISTIHCAKKIVSGP GGMKNWVEWKLHCLGRPLSYWMTGCHLG >ENSMUSP00000021328.7 pep:known chromosome:GRCm38:11:103631073:103638890:-1 gene:ENSMUSG00000020945.13 transcript:ENSMUST00000021328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyzl6 description:lysozyme-like 6 [Source:MGI Symbol;Acc:MGI:1916694] MLKALFICVASCLLVVNDGNIIHRCSLAKILYEEDLDGFEGYSLPDWLCLAFVESNFNIS KVNENVDGSFDYGIFQINSRYWCNDYQSHSENFCHVDCQELLSPNLISTIHCAKKIVSGP GGMKNWVEWKLHCLGRPLSYWMTGCHLG >ENSMUSP00000129413.2 pep:known chromosome:GRCm38:2:125673095:125674785:1 gene:ENSMUSG00000091337.3 transcript:ENSMUST00000164756.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eid1 description:EP300 interacting inhibitor of differentiation 1 [Source:MGI Symbol;Acc:MGI:1889651] MAEMAELCELYEESNELQMDVLPGEGYMEVGRGARGPAPEEGPMEEEAGPAAARAQRGLF PEAGADLEGDEFDDWEDDYEFPEEERWSGAMHRVSAALEEANKVFLRTARAGDALDGGFQ ARCEKSPFDQLAFIEELFSLMVVNRLTEELGCDEIIDRE >ENSMUSP00000077899.6 pep:known chromosome:GRCm38:5:150952607:150993817:1 gene:ENSMUSG00000058488.7 transcript:ENSMUST00000078856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kl description:klotho [Source:MGI Symbol;Acc:MGI:1101771] MLARAPPRRPPRLVLLRLLLLHLLLLALRARCLSAEPGQGAQTWARFARAPAPEAAGLLH DTFPDGFLWAVGSAAYQTEGGWRQHGKGASIWDTFTHHSGAAPSDSPIVVAPSGAPSPPL SSTGDVASDSYNNVYRDTEGLRELGVTHYRFSISWARVLPNGTAGTPNREGLRYYRRLLE RLRELGVQPVVTLYHWDLPQRLQDTYGGWANRALADHFRDYAELCFRHFGGQVKYWITID NPYVVAWHGYATGRLAPGVRGSSRLGYLVAHNLLLAHAKVWHLYNTSFRPTQGGRVSIAL SSHWINPRRMTDYNIRECQKSLDFVLGWFAKPIFIDGDYPESMKNNLSSLLPDFTESEKR LIRGTADFFALSFGPTLSFQLLDPNMKFRQLESPNLRQLLSWIDLEYNHPPIFIVENGWF VSGTTKRDDAKYMYYLKKFIMETLKAIRLDGVDVIGYTAWSLMDGFEWHRGYSIRRGLFY VDFLSQDKELLPKSSALFYQKLIEDNGFPPLPENQPLEGTFPCDFAWGVVDNYVQVDTTL SQFTDPNVYLWDVHHSKRLIKVDGVVAKKRKPYCVDFSAIRPQITLLREMRVTHFRFSLD WALILPLGNQTQVNHTVLHFYRCMISELVHANITPVVALWQPAAPHQGLPHALAKHGAWE NPHTALAFADYANLCFKELGHWVNLWITMNEPNTRNMTYRAGHHLLRAHALAWHLYDDKF RAAQKGKISIALQADWIEPACPFSQNDKEVAERVLEFDIGWLAEPIFGSGDYPRVMRDWL NQKNNFLLPYFTEDEKKLVRGSFDFLAVSHYTTILVDWEKEDPMKYNDYLEVQEMTDITW LNSPSQVAVVPWGLRKVLNWLRFKYGDLPMYVTANGIDDDPHAEQDSLRIYYIKNYVNEA LKAYVLDDINLCGYFAYSLSDRSAPKSGFYRYAANQFEPKPSMKHYRKIIDSNGFLGSGT LGRFCPEEYTVCTECGFFQTRKSLLVFISFLVFTFIISLALIFHYSKKGQRSYK >ENSMUSP00000089728.6 pep:known chromosome:GRCm38:18:9995432:10030141:-1 gene:ENSMUSG00000047879.16 transcript:ENSMUST00000092096.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp14 description:ubiquitin specific peptidase 14 [Source:MGI Symbol;Acc:MGI:1928898] MPLYSVTVKWGKEKFEGVELNTDEPPMVFKAQLFALTGVQPARQKVMVKGGTLKDDDWGN IKMKNGMTVLMMGSADALPEEPSAKTVFVEDMTEEQLATAMELPCGLTNLGNTCYMNATV QCIRSVPELKDALKRYAGALRASGEMASAQYITAALRDLFDSMDKTSSSIPPIILLQFLH MAFPQFAEKGEQGQYLQQDANECWIQMMRVLQQKLEAIEDDSGRETDSSSAPAVTPSKKK SLIDQYFGVEFETTMKCTESEEEEVTKGKENQLQLSCFINQEVKYLFTGLKLRLQEEITK QSPTLQRNALYIKSSKISRLPAYLTIQMVRFFYKEKESVNAKVLKDVKFPLMLDVYELCT PELQEKMVSFRSKFKDLEDKKVNQQPNANDKNSPPKEIKYEPFSFADDIGSNNCGYYDLQ AVLTHQGRSSSSGHYVSWVRRKQDEWIKFDDDKVSIVTPEDILRLSGGGDWHIAYVLLYG PRRVEIMEEESEQ >ENSMUSP00000112368.1 pep:known chromosome:GRCm38:18:9995594:10030067:-1 gene:ENSMUSG00000047879.16 transcript:ENSMUST00000116669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp14 description:ubiquitin specific peptidase 14 [Source:MGI Symbol;Acc:MGI:1928898] MPLYSVTVKWGKEKFEGVELNTDEPPMVFKAQLFALTGVQPARQKVMVKGGTLKDDDWGN IKMKNMELPCGLTNLGNTCYMNATVQCIRSVPELKDALKRYAGALRASGEMASAQYITAA LRDLFDSMDKTSSSIPPIILLQFLHMAFPQFAEKGEQGQYLQQDANECWIQMMRVLQQKL EAIEDDSGRETDSSSAPAVTPSKKKSLIDQYFGVEFETTMKCTESEEEEVTKGKENQLQL SCFINQEVKYLFTGLKLRLQEEITKQSPTLQRNALYIKSSKISRLPAYLTIQMVRFFYKE KESVNAKVLKDVKFPLMLDVYELCTPELQEKMVSFRSKFKDLEDKKVNQQPNANDKNSPP KEIKYEPFSFADDIGSNNCGYYDLQAVLTHQGRSSSSGHYVSWVRRKQDEWIKFDDDKVS IVTPEDILRLSGGGDWHIAYVLLYGPRRVEIMEEESEQ >ENSMUSP00000140373.1 pep:known chromosome:GRCm38:1:92596495:92597492:1 gene:ENSMUSG00000062497.2 transcript:ENSMUST00000190844.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1411 description:olfactory receptor 1411 [Source:MGI Symbol;Acc:MGI:3031245] MAIAVYRNGSLSAVSLQGFVLVGFGGGAETQALLFAVFLVLYVVTILGNLTMIVVITLDA RLHSPMYFFLKNLSFVDLCYSSAIAPNALANFLSTSKVISFEACATQLFFFSLLATTEAF LLAVMAYDRFMAICSPLRYPVTMCPTTCTRLVLGTYCGGCLNSIVQTSLTFQLPFCSSNR IDHFYCDVPPLLQLACASTALNELFLFGLCGFIIVSTTLAVLVSYGYITVTILRMHSGSG RHKVFSTCGSHMMAVSLFYGTVFVMYAQPGAVASMAQGKVISVFYTLVIPMLNPLIYSLR NKDVKDALRRLGQRHSLVKKGGK >ENSMUSP00000044326.6 pep:known chromosome:GRCm38:6:141629518:141686646:1 gene:ENSMUSG00000030236.10 transcript:ENSMUST00000042812.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1b2 description:solute carrier organic anion transporter family, member 1b2 [Source:MGI Symbol;Acc:MGI:1351899] MDQTQHPSKAAQPLRSEKTRHCDGFRIFLAALSFSYICKALGGVIMKSSITQIERRFDIP SSISGLIDGGFEIGNLLVIVFVSYFGSKLHRPKLIGTGCFIMGIGSILTALPHFFMGYYR YATENDISSLHNSTLTCLVNQTTSLTGTSPEIMEKGCEKGSNSYTWIYVLMGNMLRGIGE TPIVPLGVSYIDDFAKEGNSSMYLGTLHTIAMIGPILGFIMSSVFAKLYVDVGYVDLRSV RITPQDARWVGAWWLGFIVNGLLCIICSIPFFFLPKIPKRSQKERKNSASLHVLKTDEDK NPVTNPTTQEKQAPANLTGFLWSLRSILTNEQYVIFLILTLLQISSFIGSFTYLFKFIEQ QFGQTASQANFLLGVITIPTMASGMFLGGYLIKRLKLTLLGITKFVFFTTTMAYVFYLSY FLLICENKAFAGLTLTYDGMNPVDSHIDVPLSYCNSDCICDKNQWEPVCGENGVTYISPC LAGCKSFRGDKKLMNIEFYDCSCVSGSGFQKGNHSARLGECPRDKCKTKYYFYITFQVII SFFTALGSTSLMLILIRSVQPELKSLGMGFHSLVVRTLGGILAPVYYGALIDRTCMKWSV TSCGARGACRLYNSRLFGMIYVGLSIALKTPILLLYVALIYVMKRKMKRNDNKILENGRK FTDEGNPEPVNNNGYSCVPSDEKNSETPL >ENSMUSP00000144747.1 pep:known chromosome:GRCm38:6:141634458:141685606:1 gene:ENSMUSG00000030236.10 transcript:ENSMUST00000203597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1b2 description:solute carrier organic anion transporter family, member 1b2 [Source:MGI Symbol;Acc:MGI:1351899] MDQTQHPSKAAQPLRSEKTRHCDGFRIFLAALSFSYICKALGGVIMKSSITQIERRFDIP SSISGLIDGGFEIGNLLVIVFVSYFGSKLHRPKLIGTGCFIMGIGSILTALPHFFMGYYR YATENDISSLHNSTLTCLVNQTTSLTGTSPEIMEKGCEKGSNSYTWIYVLMGNMLRGIGE TPIVPLGVSYIDDFAKEGNSSMYLGTLHTIAMIGPILGFIMSSVFAKLYVDVGYVDLRSV RITPQDARWVGAWWLGFIVNGLLCIICSIPFFFLPKIPKRSQKERKNSASLHVLKTDEDK NPVTNPTTQEKQAPANLTGFLWSLRSILTNEQYVIFLILTLLQISSFIGSFTYLFKFIEQ QFGQTASQANFLLGVITIPTMASGMFLGGYLIKRLKLTLLGITKMNPVDSHIDVPLSYCN SDCICDKNQWEPVCGENGVTYISPCLAGCKSFRGDKKLMNIEFYDCSCVSGSGFQKGNHS ARLGECPRDKCKTKYYFYITFQVIISFFTALGSTSLMLILIRSVQPELKSLGMGFHSLVV RTLGGILAPVYYGALIDRTCMKWSVTSCGARGACRLYNSRLFGMIYVGLSIALKTPILLL YVALIYVMKRKMKRNDNKILENGRKFTDEGNPEPVNNNGYSCVPSDEKNSETPL >ENSMUSP00000025523.6 pep:known chromosome:GRCm38:18:61105572:61131134:1 gene:ENSMUSG00000024621.15 transcript:ENSMUST00000025523.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf1r description:colony stimulating factor 1 receptor [Source:MGI Symbol;Acc:MGI:1339758] MELGPPLVLLLATVWHGQGAPVIEPSGPELVVEPGETVTLRCVSNGSVEWDGPISPYWTL DPESPGSTLTTRNATFKNTGTYRCTELEDPMAGSTTIHLYVKDPAHSWNLLAQEVTVVEG QEAVLPCLITDPALKDSVSLMREGGRQVLRKTVYFFSPWRGFIIRKAKVLDSNTYVCKTM VNGRESTSTGIWLKVNRVHPEPPQIKLEPSKLVRIRGEAAQIVCSATNAEVGFNVILKRG DTKLEIPLNSDFQDNYYKKVRALSLNAVDFQDAGIYSCVASNDVGTRTATMNFQVVESAY LNLTSEQSLLQEVSVGDSLILTVHADAYPSIQHYNWTYLGPFFEDQRKLEFITQRAIYRY TFKLFLNRVKASEAGQYFLMAQNKAGWNNLTFELTLRYPPEVSVTWMPVNGSDVLFCDVS GYPQPSVTWMECRGHTDRCDEAQALQVWNDTHPEVLSQKPFDKVIIQSQLPIGTLKHNMT YFCKTHNSVGNSSQYFRAVSLGQSKQLPDESLFTPVVVACMSVMSLLVLLLLLLLYKYKQ KPKYQVRWKIIERYEGNSYTFIDPTQLPYNEKWEFPRNNLQFGKTLGAGAFGKVVEATAF GLGKEDAVLKVAVKMLKSTAHADEKEALMSELKIMSHLGQHENIVNLLGACTHGGPVLVI TEYCCYGDLLNFLRRKAEAMLGPSLSPGQDSEGDSSYKNIHLEKKYVRRDSGFSSQGVDT YVEMRPVSTSSSDSFFKQDLDKEASRPLELWDLLHFSSQVAQGMAFLASKNCIHRDVAAR NVLLTSGHVAKIGDFGLARDIMNDSNYVVKGNARLPVKWMAPESIFDCVYTVQSDVWSYG ILLWEIFSLGLNPYPGILVNNKFYKLVKDGYQMAQPVFAPKNIYSIMQSCWDLEPTRRPT FQQICFLLQEQARLERRDQDYANLPSSGGSSGSDSGGGSSGGSSSEPEEESSSEHLACCE PGDIAQPLLQPNNYQFC >ENSMUSP00000110923.3 pep:known chromosome:GRCm38:18:61105852:61132149:1 gene:ENSMUSG00000024621.15 transcript:ENSMUST00000115268.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf1r description:colony stimulating factor 1 receptor [Source:MGI Symbol;Acc:MGI:1339758] MELGPPLVLLLATVWHGQGAPVIEPSGPELVVEPGETVTLRCVSNGSVEWDGPISPYWTL DPESPGSTLTTRNATFKNTGTYRCTELEDPMAGSTTIHLYVKDPAHSWNLLAQEVTVVEG QEAVLPCLITDPALKDSVSLMREGGRQVLRKTVYFFSPWRGFIIRKAKVLDSNTYVCKTM VNGRESTSTGIWLKVNRVHPEPPQIKLEPSKLVRIRGEAAQIVCSATNAEVGFNVILKRG DTKLEIPLNSDFQDNYYKKVRALSLNAVDFQDAGIYSCVASNDVGTRTATMNFQVVESAY LNLTSEQSLLQEVSVGDSLILTVHADAYPSIQHYNWTYLGPFFEDQRKLEFITQRAIYRY TFKLFLNRVKASEAGQYFLMAQNKAGWNNLTFELTLRYPPEVSVTWMPVNGSDVLFCDVS GYPQPSVTWMECRGHTDRCDEAQALQVWNDTHPEVLSQKPFDKVIIQSQLPIGTLKHNMT YFCKTHNSVGNSSQYFRAVSLGQSKQLPDESLFTPVVVACMSVMSLLVLLLLLLLYKYKQ KPKYQVRWKIIERYEGNSYTFIDPTQLPYNEKWEFPRNNLQFGKTLGAGAFGKVVEATAF GLGKEDAVLKVAVKMLKSTAHADEKEALMSELKIMSHLGQHENIVNLLGACTHGGPVLVI TEYCCYGDLLNFLRRKAEAMLGPSLSPGQDSEGDSSYKNIHLEKKYVRRDSGFSSQGVDT YVEMRPVSTSSSDSFFKQDLDKEASRPLELWDLLHFSSQVAQGMAFLASKNCIHRDVAAR NVLLTSGHVAKIGDFGLARDIMNDSNYVVKGNARLPVKWMAPESIFDCVYTVQSDVWSYG ILLWEIFSLGLNPYPGILVNNKFYKLVKDGYQMAQPVFAPKNIYSIMQSCWDLEPTRRPT FQQICFLLQEQARLERRDQDYANLPSSGGSSGSDSGGGSSGGSSSEPEEESSSEHLACCE PGDIAQPLLQPNNYQFC >ENSMUSP00000056530.6 pep:known chromosome:GRCm38:1:106171752:106394250:1 gene:ENSMUSG00000044340.7 transcript:ENSMUST00000061047.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phlpp1 description:PH domain and leucine rich repeat protein phosphatase 1 [Source:MGI Symbol;Acc:MGI:2138327] MEPAAAAPAQRLADPTGEDQALAAAAAEGGRCPDPALSAAAPSGGNGGAAREEAPCEAPP GPLPGRAGGTGRRRRRGAPQPAAGGAAPVPAAGGGANSLLLKRGRLKRNLSAAAAASSSS SPSSASSAAGGLPASCSASASLCTRSLDRKTLLLKHRQLLQLQPSDRDWVRHQLQRGCVH VFDRHMASSYLRPVLCTLDTTAAEVAARLLQLGHKGGGVVKVLGYGPPPAAAPAASDQTL DGEHGRDVEPPPSSGTVGAVRGPARAPPADLPLPGGAWTRCAPRISPAPSDSSPGELFAG GPGSPPRAPRPASDTESFSLSPSAESVSDRLDPYSSGGGGSSSSSEELEADPAMPHRPGR PAQPRPPSPKTSALLQPKAPTGVDSTGVIAGEGPGDDKAMAAAAPDVPLSTSGRIRETVQ KTSPPSLYVQLHGETTRRLEADEKPLQIQNDYLFQLGFGELWRVQEEGMDSEIGCLIRFY AGKPHSTGSSERIQLSGMYNVRKGKMQLPVNRWTRRQVILCGTCLIVSSVKDSVSGKMHV LPLIGGKVEEVKKHQHCLAFSSSGPQSQTYYICFDTFTEYLRWLRQVSKVASQRISSVDL SCCSLEHLPANLFYSQDLTHLNLKQNFLRQTPTLPAARGLGELQRFTKLKSLNLSNNHLG AFPSAVCSIPTLAELNVSCNALREVPAAVGDMQNLQTFLLDGNFLQSLPAELESMHQLSY LGLSFNEFTDIPEVLEKLTAVDKLCMAGNCVETLRLQALRRMPHIKHVDLRLNILRKLMA DEVDFVQHVTQLDLRDNKLGDLDAMIFNNIEVLHCERNQLVTLNVCGYFLKALYASSNEL AQLDVYPVPNYLSYMDVSRNCLESVPEWVCESRKLEVLDIGHNQICELPARLFCNSSLRK LLAGHNRLARLPERLERTSVEVLDVQHNQITELPPNLLMKADSLRFLNASANKLETLPPA TLSEETSSILQELYLTNNCLTDKCVPLLTGHPRLKILHMAYNRLQSFPASKMAKLEELEE IDISGNKLKAIPTTIMNCRRMHTVIAHSNCIEVFPEVMQLPEVKCVDLSCNELSEITLPE NLPPKLQELDLTGNPRLALDHKSLELLNNIRCFKIDQPSAGDASGAPAVWSHGYTEASGV KNKLCVAALSVNNFRDNREALYGVFDGDRNVEVPYLLQCTMSDILAEELQKTKNEEEYMV NTFIVMQRKLGTAGQKLGGAAVLCHIKPDPVDLGGSFTLTSANVGKCQTVLCRNGKPLSL SRSYIMSCEEERKRIKQHKAIITEDGKVNGVTESTRILGYTFLHPSVVPRPHVQSVLLTP QDEFFILGSKGLWDSLSIDEAVEAVRNVPDALAAAKKLCTLAQSYGCHDSISAVVVQLSV TEDSFCCCELSAGGSMPPPSPGIFPPSVNMVIKDRPSDGLGVPSSSSGMASEISSELSTS EMSSEVGSTASDEPPSGVLNESSPAYPNEQRCMLHPVCLSNSFQRQLSSATFSSAFSDNG LDSDDEEPIEGVFSNGSRVEVEVDIHCSRAKEKERQQHLLQVPAEASDEGIVISANEDES GLSKKADFSAVGTIGRRRANGSVAPQERSHNVIEVAADAPLRKPGGYFAAPAQPDPDDQF IIPPELEEEVKEIMKHHQEQQQQQQQQQLPPPPQPPQPQPQPQPQPQPQPQRHFQMDHLP DCYDTPL >ENSMUSP00000031478.5 pep:known chromosome:GRCm38:5:110653451:110660496:1 gene:ENSMUSG00000029504.5 transcript:ENSMUST00000031478.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx51 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 51 [Source:MGI Symbol;Acc:MGI:1916913] MALFHIARYAGPEAAGQGDTDAEAGSRARVLLERLQNRARERQQREPELETTGTAGEGEA AAAGKRRRRPRRRRRVSGSATPNSEAPRAKRRKADKDVDAGRGEEAPEELSAGAEDPGAN PQEDVQRPPAPGRVLGDFARRKTPKVQPFLPAWLAKPSCVKKSVTEDLTPIEDIPEVHPD LQKQLRANGITSYFPVQAAVIPALLESADHGFLIGRGGYQPSDLCVSAPTGSGKTLAFVI PVVQALLHRVVCHIRALVVLPTKELAQQVSKVFNIYTDTTPLRVALVTGQKSLAKEQESL VQKTADGFRCLADIVVATPGRLVDHIDQTPGFSLQQLRFLIIDEADRMIDSMHQSWLPRV VAAAFYSEGPTGSCALLQRTQPQALTAASTCVPQMPLQKLLFSATLTQDPEKLQRLGLYQ PRLFSTRLGQQSPKDTAEVDENSGKYTFPVGLTHHYVPCRLSSKPLIVLHLVLRMSCSRA LCFTNSRENSHRLYLLAQAFGGVSVAEFSSRYGPGQRKKILKQFEQGKIQLLISTDATAR GIDVQGVELVINYDAPQYLRTYVHRVGRTARAGKTGQAFTLLLKVQERKFLQMVSEAGVP ELTHHEIPRKLLQPLVARYETALSQLEKTVKEEQKLKAA >ENSMUSP00000121481.1 pep:known chromosome:GRCm38:5:110656862:110657871:1 gene:ENSMUSG00000029504.5 transcript:ENSMUST00000148773.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ddx51 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 51 [Source:MGI Symbol;Acc:MGI:1916913] VELVINYDAPQYLRTYVHRKGSSFRWCQKLGCLS >ENSMUSP00000125928.1 pep:known chromosome:GRCm38:7:121083249:121091595:1 gene:ENSMUSG00000034990.15 transcript:ENSMUST00000171880.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otoa description:otoancorin [Source:MGI Symbol;Acc:MGI:2149209] MSQGPRTCSLLLVLLLS >ENSMUSP00000044177.7 pep:known chromosome:GRCm38:7:121083322:121163097:1 gene:ENSMUSG00000034990.15 transcript:ENSMUST00000047025.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otoa description:otoancorin [Source:MGI Symbol;Acc:MGI:2149209] MSQGPRTCSLLLVLLLSHGGAYQREPSPRQDLHPLLQKMAEEIIEGSYLNALLDLTLFER SHVWTADLSHRVLAYLNSKNVAFTIPSLQAVMEAHLEQYLYQPQKLLEDLRATDNQQFHT AMKCLLEDKWGHLDLEDVVINLGDIRDEALQSPGVNRSLFLITLERCFQVLNALECVEVL GRVLRGSSGSFLQPDITERLPQDLHEDAFKNLSAVFKDLYDQTSAHTQRALYSWMTGILR TPFNVTDGSVSWVSAEKLWILGRYMVHLSFEEIMNISPIEIGLFISYDNATKQLDMVYDI TPELAQAFLERIRCSSFDVRNISTIHRLGLLVCFYDGLELLDATLAQVLLHQMLKCSRLR GFQAGVQKLKANLLDIATENQTLNETLGSLSDAVVGLTSSQLESLSSDAVHSAISTLNQV TGWGRSQIVILSAKYLAQEKVLSFYNVCQMGVLLAGVGTQAFYSMDHKDLWQVLRSPLSQ DMSDLSPVQQQGVLGKLMEAEDATSGIAEVPRALFKEVSLYDLWKESRFNATVLKAKELR RSQALFLYEFLGKTTERPEELLSAGQLVKGVPCSHIDAMSDHLFLALFQYFDNNFSLLSP DQVNCLAWKYWEVSRSSMPPFLLATLPSRFLSSIPPSRCVRFLISLGKRRLETLVLDSDK RSVVVRKVQQCLDGVIADEYTVDIVGHLLCHLPASFIERGISPRAWAAALHGLRSCTALS SEQKAAVRVRLLEQWGPPENWTAETTKDLAPFLAFFSGDELHTVATKFPEILQQTASKMV GVLLPKEFLWAVFESVQNSSNESPSFDPTFGCHGVVTPSSDDIFKLAEANACWDPEVLLC MEEDTFIRNVELLGAVKGFSRAQLMALKEKAIQVWDLPSRWKEHHIVSLGRIALALSESE LEQLDLSSIDTVASLGQQTEWTPGQAKSILQAFLEDSGYGIQDLKSFHLVGFGPTLCAMD PTEIQLIKTSEFRAVVARIGTLFCSTPVLAGFKKKAEVVFGRPTEWTSSILQELGTIAAG ITKAELRMLNKELMTYFQPSAIRCLPGEVFKELSTEQIASLGPQNAASVTHSQRLQLSSA QLQSLQRALDGAKTHSWQTDPLSSSPTWPASTGSPTGEPASQALWLGCTLLLLTAKS >ENSMUSP00000133005.1 pep:known chromosome:GRCm38:7:121083408:121102728:1 gene:ENSMUSG00000034990.15 transcript:ENSMUST00000170106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otoa description:otoancorin [Source:MGI Symbol;Acc:MGI:2149209] MSQGPRTCSLLLVLLLSHGGAYQREPSPRQDLHPLLQKMAEEIIEGSYLNALLDLTLFER SHVWTADLSHRVLAYLNSKNVAFTIPSLQAVMEAHLEQYLYQPQKLLEDLRATDNQQFHT AMKCLLEDKWGHLDLEDVVINLGDIRDEALQSPGVNRSLFLITLERCFQVLNALECVEVL GRVLRGSSGSFLQPDITERLPQDLHEDAF >ENSMUSP00000132951.1 pep:known chromosome:GRCm38:7:121083424:121091577:1 gene:ENSMUSG00000034990.15 transcript:ENSMUST00000168311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otoa description:otoancorin [Source:MGI Symbol;Acc:MGI:2149209] MSQGPRTCSLL >ENSMUSP00000146993.1 pep:known chromosome:GRCm38:7:121118385:121160768:1 gene:ENSMUSG00000034990.15 transcript:ENSMUST00000165409.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Otoa description:otoancorin [Source:MGI Symbol;Acc:MGI:2149209] XFCLLRADDPGWGCWFVSMMVWSCWMPPWPKSFSTRCSSAAASGASRLASRSSRPTSWTL LQRTRPSTRP >ENSMUSP00000146799.1 pep:known chromosome:GRCm38:7:121118390:121163091:1 gene:ENSMUSG00000034990.15 transcript:ENSMUST00000163275.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Otoa description:otoancorin [Source:MGI Symbol;Acc:MGI:2149209] CLLRADDPGWGCWFVSMMVWSCWMPPWPKSFSTRCSSAAASGASRLASRSSRPTSWTLLQ RTRPSTRP >ENSMUSP00000113156.1 pep:known chromosome:GRCm38:4:149467572:149485202:-1 gene:ENSMUSG00000028992.13 transcript:ENSMUST00000119921.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmnat1 description:nicotinamide nucleotide adenylyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913704] MDSSKKTEVVLLACGSFNPITNMHLRLFELAKDYMHATGKYSVIKGIISPVGDAYKKKGL IPAHHRIIMAELATKNSHWVEVDTWESLQKEWVETVKVLRYHQEKLATGSCSYPQSSPAL EKPGRKRKWADQKQDSSPQKPQEPKPTGL >ENSMUSP00000030845.6 pep:known chromosome:GRCm38:4:149468559:149485198:-1 gene:ENSMUSG00000028992.13 transcript:ENSMUST00000030845.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmnat1 description:nicotinamide nucleotide adenylyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913704] MDSSKKTEVVLLACGSFNPITNMHLRLFELAKDYMHATGKYSVIKGIISPVGDAYKKKGL IPAHHRIIMAELATKNSHWVEVDTWESLQKEWVETVKVLRYHQEKLATGSCSYPQSSPAL EKPGRKRKWADQKQDSSPQKPQEPKPTGVPKVKLLCGADLLESFSVPNLWKMEDITQIVA NFGLICITRAGSDAQKFIYESDVLWRHQSNIHLVNEWITNDISSTKIRRALRRGQSIRYL VPDLVQEYIEKHELYNTESEGRNAGVTLAPLQRNAAEAKHNHSTL >ENSMUSP00000101318.1 pep:known chromosome:GRCm38:4:149468599:149485172:-1 gene:ENSMUSG00000028992.13 transcript:ENSMUST00000105693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmnat1 description:nicotinamide nucleotide adenylyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913704] MDSSKKTEVVLLACGSFNPITNMHLRLFELAKDYMHATGKYSVIKGIISPVGDAYKKKGL IPAHHRIIMAELATKNSHWVEVDTWESLQKEWVETVKVLRYHQEKLATGSCSYPQSSPAL EKPGRKRKWADQKQDSSPQKPQEPKPTGVPKVKLLCGADLLESFSVPNLWKMEDITQIVA NFGLICITRAGSDAQKFIYESDVLWRHQSNIHLVNEWITNDISSTKIRRALRRGQSIRYL VPDLVQEYIEKHELYNTESEGRNAGVTLAPLQRNAAEAKHNHSTL >ENSMUSP00000116049.1 pep:known chromosome:GRCm38:4:149474432:149485163:-1 gene:ENSMUSG00000028992.13 transcript:ENSMUST00000126896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmnat1 description:nicotinamide nucleotide adenylyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913704] MDSSKKTEVVLLACGSFNPITNMHLRLFELAKDYMHA >ENSMUSP00000030898.5 pep:known chromosome:GRCm38:4:154278486:154300054:-1 gene:ENSMUSG00000029032.12 transcript:ENSMUST00000030898.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef16 description:Rho guanine nucleotide exchange factor (GEF) 16 [Source:MGI Symbol;Acc:MGI:2446219] MSQRHSDSSLDEKLLEYRFHAELRLDANGNPIPGLPMVRSSLKARANAAFEPDASEPLPP PPSPEDEEPPRPIVLSTQSPAALKMGTQQLIPKSLAVASKAKTKSPARHQSFGAAVLSKE AARRNPQLFSAPSFSLDDMDMDMVTTGNLRRNLRNQSYRAAMKGPGPPSSKGDSVQLGPK LQALAEEAAQPPSRYPAKNKKTLGRKRAHKGSFKDDPQLYQEIRERGLNTSHESDDDILD EPSGPVGTQRADTTIVVKSYRPAQLTWSQLPEVLESGVLDTLSTEERKRQEAIFEILTSE FSYLHSLSILVTEFLQSRELRATMTQTEHHHLFSNILDVMSASQKFFEALEQRHKAQVCV EDISDILEDHAQHHFHPYIAYCSNEVYQQRTLQKLSNSNAAFRDVLKEIEKRPACGGLPM ISFLILPMQRVTRLPLLTDTLCLKTQGHPERYKAASQALKAISKLVKQCNEGAHKMERTE QIYTLNMQLDFGKVKSLPLISASRWLLKRGELFLLEESSIFRKIASRPTCYLFLFNDVLV VTKKKSEESYLVQDYAQLDHVQVRKLEPSEPLLPGGSSRSSSVPYPFQVNLLHNSEGRQE QILLSSDSASDRARWITALTYKERQWQGITNKGELPQVEVTKAYFAKQADEITLQQADIV LVLQEEDGWLHGERLRDGETGWFPESFAHSITSRVAVEGNVRRMERLRVETDV >ENSMUSP00000121273.1 pep:known chromosome:GRCm38:4:154287037:154299892:-1 gene:ENSMUSG00000029032.12 transcript:ENSMUST00000154895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef16 description:Rho guanine nucleotide exchange factor (GEF) 16 [Source:MGI Symbol;Acc:MGI:2446219] MSQRHSDSSLDEKLLEYRFHAELRLDANGNPIPGLPMVRSSLKARANAAFEPDASEPLPP PPSPEDEEPPRPIVLSTQSPAALKMGTQQLIPKSLAVASKAKTKSPARHQSFGAAVLSKE AARRNPQLFSAPSFSLDDMDMDMVTTGNLRRNLRNQSYRAAMKGPGPPSSKGDSVQLGPK LQALAEEAAQPPSRYPAKNKKTLGRKRAHKGSFKDDPQLYQEIRERGLNTSH >ENSMUSP00000119398.1 pep:known chromosome:GRCm38:4:154291015:154301676:-1 gene:ENSMUSG00000029032.12 transcript:ENSMUST00000152947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef16 description:Rho guanine nucleotide exchange factor (GEF) 16 [Source:MGI Symbol;Acc:MGI:2446219] MSQRHSDSSLDEKLLEYRFHAELRLDANGNPIPGLPMVRSSLKARANAAFEPDASEPLPP PPSPEDEEPPRPIVLSTQSPAALKMGTQQLIPKSLAVASKAKTKSPARHQSFGAAVLSKE AARRNPQLFSAPSFSLDDMDMDMVTTGNLRRNLRNQSYRAAMKGPGPPSSKGDS >ENSMUSP00000126296.1 pep:known chromosome:GRCm38:4:154278754:154301663:-1 gene:ENSMUSG00000029032.12 transcript:ENSMUST00000169623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef16 description:Rho guanine nucleotide exchange factor (GEF) 16 [Source:MGI Symbol;Acc:MGI:2446219] MSQRHSDSSLDEKLLEYRFHAELRLDANGNPIPGLPMVRSSLKARANAAFEPDASEPLPP PPSPEDEEPPRPIVLSTQSPAALKMGTQQLIPKSLAVASKAKTKSPARHQSFGAAVLSKE AARRNPQLFSAPSFSLDDMDMDMVTTGNLRRNLRNQSYRAAMKGPGPPSSKGDSVQLGPK LQALAEEAAQPPSRYPAKNKKTLGRKRAHKGSFKDDPQLYQEIRERGLNTSHESDDDILD EPSGPVGTQRADTTIVVKSYRPAQLTWSQLPEVLESGVLDTLSTEERKRQEAIFEILTSE FSYLHSLSILVTEFLQSRELRATMTQTEHHHLFSNILDVMSASQKFFEALEQRHKAQVCV EDISDILEDHAQHHFHPYIAYCSNEVYQQRTLQKLSNSNAAFRDVLKEIEKRPACGGLPM ISFLILPMQRVTRLPLLTDTLCLKTQGHPERYKAASQALKAISKLVKQCNEGAHKMERTE QIYTLNMQLDFGKVKSLPLISASRWLLKRGELFLLEESSIFRKIASRPTCYLFLFNDVLV VTKKKSEESYLVQDYAQLDHVQVRKLEPSEPLLPGGSSRSSSVPYPFQVNLLHNSEGRQE QILLSSDSASDRARWITALTYKERQWQGITNKGELPQVEVTKAYFAKQADEITLQQADIV LVLQEEDGWLHGERLRDGETGWFPESFAHSITSRVAVEGNVRRMERLRVETDV >ENSMUSP00000071752.3 pep:known chromosome:GRCm38:2:153616933:153632781:-1 gene:ENSMUSG00000056941.17 transcript:ENSMUST00000071852.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd7 description:COMM domain containing 7 [Source:MGI Symbol;Acc:MGI:1914197] MGRLHCTQDPVPEAVRGDMQQLNQLGAQQFSDLTEVLFHFLTEPKEVERFLAQLSEFATS NQISLGPLKSIMKSLLLVPNGALKKGLTAEQVRTDLQTLGLSEEKATYFSEKWKQNASTL AQWAMGQTLMVNQLIDMEWRFGVTSGSSELEKVGSIFLQLKLVVKKGKQTENLYMELTLP QFYSFLHEMERVRASMECLS >ENSMUSP00000105404.1 pep:known chromosome:GRCm38:2:153618834:153632740:-1 gene:ENSMUSG00000056941.17 transcript:ENSMUST00000109782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd7 description:COMM domain containing 7 [Source:MGI Symbol;Acc:MGI:1914197] MKSLLLVPNGALKKGLTAEQVRTDLQTLGLSEEKATYFSEKWKQNASTLAQWAMGQTLMV NQLIDMEWRFGVTSGSSELEKVGSIFLQLKLVVKKGKQTENLYMELTLPQFYSFLHEMER VRASMECLS >ENSMUSP00000108927.3 pep:known chromosome:GRCm38:17:47686646:47688183:-1 gene:ENSMUSG00000033475.15 transcript:ENSMUST00000113302.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm6 description:translocase of outer mitochondrial membrane 6 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1913369] MASSGVTVSAAGSASEASEVPDNVGDWLRGVFRFATDRNDFRRNLILNLGLFAAGVWLAR NLSDIDLMAPQPGV >ENSMUSP00000108926.1 pep:known chromosome:GRCm38:17:47686740:47688068:-1 gene:ENSMUSG00000033475.15 transcript:ENSMUST00000113301.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm6 description:translocase of outer mitochondrial membrane 6 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1913369] MASSGVTVSAAGSASEASEVPDNVGDWLRGVFRFATDRNDFRRNLILNLGLFAAGVWLAR NLSDIDLMAPQPGV >ENSMUSP00000108371.1 pep:known chromosome:GRCm38:1:106538178:106714274:-1 gene:ENSMUSG00000057329.7 transcript:ENSMUST00000112751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2 description:B cell leukemia/lymphoma 2 [Source:MGI Symbol;Acc:MGI:88138] MAQAGRTGYDNREIVMKYIHYKLSQRGYEWDAGDADAAPLGAAPTPGIFSFQPESNPMPA VHRDMAARTSPLRPLVATAGPALSPVPPVVHLTLRRAGDDFSRRYRRDFAEMSSQLHLTP FTARGRFATVVEELFRDGVNWGRIVAFFEFGGVMCVESVNREMSPLVDNIALWMTEYLNR HLHTWIQDNGGWDAFVELYGPSMRPLFDFSWLSLKTLLSLALVGACITLGAYLGHK >ENSMUSP00000139856.1 pep:known chromosome:GRCm38:1:106710339:106713179:-1 gene:ENSMUSG00000057329.7 transcript:ENSMUST00000189999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2 description:B cell leukemia/lymphoma 2 [Source:MGI Symbol;Acc:MGI:88138] MAQAGRTGYDNREIVMKYIHYKLSQRGYEWDAGDADAAPLGAAPTPGIFSFQPESNPMPA VHRDMAARTSPLRPLVATAGPALSPVPPVVHLTLRRAGDDFSRRYRRDFAEMSSQLHLTP FTARGRFATVVEELFRDGVNWGRIVAFFEFGGVMCVESVNREMSPLVDNIALWMTEYLNR HLHTWIQDNGGWVGACLVE >ENSMUSP00000036915.4 pep:known chromosome:GRCm38:2:119288742:119298453:1 gene:ENSMUSG00000034216.12 transcript:ENSMUST00000037280.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps18 description:vacuolar protein sorting 18 (yeast) [Source:MGI Symbol;Acc:MGI:2443626] MASILDEYEDSLSRSAVLQTGCPSVGIPHSGYVSAHLEKEVPIFTKQRVDFTPSERITSL VVSCNQLCMSLGKDTLLRIDLGKASEPNRVELGRKDDAKVHKMFLDHTGSHLLVALSSTE VLYMNRNGQKARPLARWKGQLVESVGWNKAMGNESSTGPILVGTAQGQIFEAELSASEGG LFGPAPDLYFRPLYVLNEEGGPAPVCSLEAERGPDGRGFVIATTRQRLFQFIGRAVEDTE AQGFAGLFAAYTDHPPPFREFPSNLGYSELAFYTPKLRSAPRAFAWMMGDGVLYGSLDCG RPDSLLSEERVWEYPAGVGPGANPPLAIVLTQFHFLLLLADRVEAVCTLTGQVVLRDHFL EKFGPLRHMVKDSSTGHLWAYTERAVFRYHVQREARDVWRTYLDMNRFDLAKEYCRERPD CLDTVLAREADFCFRQHRYLESARCYALTQSYFEEIALKFLEARQEEALAEFLQRKLAGL KPTERTQATLLTTWLTELYLSRLGALQGDPDALTLYRDTRECFRTFLSSPRHKEWLFASR ASIHELLASHGDTEHMVYFAVIMQDYERVVAYHCQHEAYEEALAVLARHRDPQLFYKFSP ILIRHIPRQLVDAWIEMGSRLDARQLIPALVNYSQGGEAQQVSQAIRYMEFCVNVLGETE QAIHNYLLSLYARGQPASLLAYLEQAGASPHRVHYDLKYALRLCAEHGHHRACVHVYKVL ELYEEAVDLALQVDVDLAKQCADLPEEDEELRKKLWLKIARHVVQEEEDVQTAMACLASC PLLKIEDVLPFFPDFVTIDHFKEAICSSLKAYNHHIQELQREMEEATASAQRIRRDLQEL RGRYGTVEPQDKCSTCDFPLLNRPFYLFLCGHMFHADCLLQAVRPGLPAYKQARLEELQR KLGAAPPPTKGSVKAKEAEAGAAAVGPSREQLKADLDELVAAECVYCGELMIRSIDRPFI DPQRYEEEHLSWL >ENSMUSP00000073422.2 pep:known chromosome:GRCm38:1:92607839:92608807:1 gene:ENSMUSG00000063583.5 transcript:ENSMUST00000073748.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1410 description:olfactory receptor 1410 [Source:MGI Symbol;Acc:MGI:3031244] MATSVHRNGSLTPVSLQGFVLVGFGGSAETQALLFAVFLVLYVVTILGNLTMIMVITLDA RLHSPMYFFLKNLSFVDLCLSSVIIPNALANIFSSSKTISFAGCATQFFFFSLLAATEAV LLAVMAYDRFMAICSPLRYPVTMCPMTCARLVLGTFCVACLNSIVQTSLTFQLPFCSSNY IDYFFCDVPPLLQLACASTAINELVMFGICGFIIVCAVFVVIISYGYITVTILRMRSGSG RHKVFSTCGSHMTAVSLFYGTGFVIYGQPGGVASMEQGKVVSTIYTLVIPMLNPLIYSLR NKDVKDALRRLGQRHSLVKESG >ENSMUSP00000078720.4 pep:known chromosome:GRCm38:1:92607839:92608847:1 gene:ENSMUSG00000063583.5 transcript:ENSMUST00000079790.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1410 description:olfactory receptor 1410 [Source:MGI Symbol;Acc:MGI:3031244] MATSVHRNGSLTPVSLQGFVLVGFGGSAETQALLFAVFLVLYVVTILGNLTMIMVITLDA RLHSPMYFFLKNLSFVDLCLSSVIIPNALANIFSSSKTISFAGCATQFFFFSLLAATEAV LLAVMAYDRFMAICSPLRYPVTMCPMTCARLVLGTFCVACLNSIVQTSLTFQLPFCSSNY IDYFFCDVPPLLQLACASTAINELVMFGICGFIIVCAVFVVIISYGYITVTILRMRSGSG RHKVFSTCGSHMTAVSLFYGTGFVIYGQPGGVASMEQGKVVSTIYTLVIPMLNPLIYSLR NKDVKDALRRLGQRHSLVKEKGK >ENSMUSP00000117177.1 pep:known chromosome:GRCm38:6:141708118:141773810:-1 gene:ENSMUSG00000084927.1 transcript:ENSMUST00000148411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5724 description:predicted gene 5724 [Source:MGI Symbol;Acc:MGI:3643685] MEETETRILTRGVRCFSKVKVFLLALTGACVSKSLTGSHMKSMLLQIERQFGLLTSTVGL ITGSFSMGMLLVIIPVSYFGTKLHRPIMIGVGCMFMGLGCFIISLPHFLMGRYEYETTIS PTSNLSSNSFLCMENRSLTLKPTQDTTECGREIKSLMWIYVLVGNIIRGMGETAIMPLGI SYIEDFAKSENSPLYIGILEIGITISYWIGYSLGSFCANIYVDTDSGNTDDLTITPTDTR WVGAWWIGFLVCAGVNFLTSIPFFFFPKTLPKEGLQDNGDGTENAKEEKHREKAKKENQR IIKDFFPLMKNLSCNPIYMLYLLISVLQFNTFVSMVSYVPKYLEQQYGKSSADVLFIMGI YMLPPICLGYLLGGLIMKKFKITAKKAAYIAFCLSLTDYLLSLSNYMTNCDSFQVAGLTA SYEGVQHPLHVDHEVLADCNTRCSCLTNTWDPVCGNNGLAYMSACLAGCEKSVGNGINMV FQNCSCIRSSGNSSAVLGLCNKGPDCDNMLKYFIIIFSVKMFIFSLSSIPGYMVLLRCMK PEEKSLGVGLHTFCTKIFAGIPAPIYFGALIDRACLHWGTLKCGEKAACRIYDINSFRYL FFGLPAALTGSSYLPAVFLLRLMRTFQLPEDTDSPDTELAETKLIEKENDCTDVHRSPKF ESNGEMKAKL >ENSMUSP00000108925.1 pep:known chromosome:GRCm38:17:47688517:47692466:-1 gene:ENSMUSG00000096549.9 transcript:ENSMUST00000113300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle4 description:prickle homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2685785] MSVQNSDWSLQQDNPIFREPDPPVYTDSDSGRRPVEDYEDTSAQAATCSSLGPPCLDINQ VSNWPGFRTLLQQLPPQDSDERYCLALGEEELAQLRLFCAQRKQKSLGQGVARLLPPKLE GYTCKKCKKLLDPGEYGVFAARAGEQSCWHRPCFACQACGQGLINLIYFYHEGHLYCGRH HAELLRPRCPACDQLIFSQRCTEAEGQRWHENHFCCQDCAGPLDGGRYALPGGSPCCPSC FSKRYRSAGSSSVGVAEGQASFEEEGPDPSVGWNRASSDDKITSRAALLSAVPTLTLETL NGASKRQDRDGPQTPGSPIEDSPCPTCSSSSESEPEGFFFGQRLPEPWKTPENLQADDRD ISRKHCTIC >ENSMUSP00000121465.1 pep:known chromosome:GRCm38:17:47689578:47691403:-1 gene:ENSMUSG00000096549.9 transcript:ENSMUST00000152214.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle4 description:prickle homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2685785] XGFRTLLQQLPPQDSDERYCLALGEEELAQLRLFCAQRKQKSLGQGVARLLPPKLEGYTC KKCKKLLDPGEYGVFAARAGEQSCWHRPCFACQACGQGLINLIYFYHEGHLYCGRHHAEL LRPRCPACDQFEQRSLN >ENSMUSP00000108924.1 pep:known chromosome:GRCm38:17:47688517:47691476:-1 gene:ENSMUSG00000096549.9 transcript:ENSMUST00000113299.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prickle4 description:prickle homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:2685785] VLPQAATCSSLGPPCLDINQVSNWPGFRTLLQQLPPQDSDERYCLALGEEELAQLRLFCA QRKQKSLGQGVARLLPPKLEGYTCKKCKKLLDPGEYGVFAARAGEQSCWHRPCFACQACG QGLINLIYFYHEGHLYCGRHHAELLRPRCPACDQLIFSQRCTEAEGQRWHENHFCCQDCA GPLDGGRYALPGGSPCCPSCFSKRYRSAGSSSVGVAEGQASFEEEGPDPSVGWNRASSDD KITSRAALLSAVPTLTLETLNGASKRQDRDGPQTPGSPIEDSPCPTCSSSSESEPEGFFF GQRLPEPWKTPENLQADDRDISRKHCTIC >ENSMUSP00000080027.3 pep:known chromosome:GRCm38:1:92619881:92620936:1 gene:ENSMUSG00000061616.4 transcript:ENSMUST00000081274.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr12 description:olfactory receptor 12 [Source:MGI Symbol;Acc:MGI:107863] MATAVHRNGSLTPVSLRVFVLVGFGGGALTQALLFAVFLVLYVVTVLGNLTMIVVITLDA RLHSPMYFFLKNLSFVDLCYSSAIAPNALANFLSTSKVISFEACATQFFFFSLLATTETF LLAVMAYDRFMAICSPLRYPVTMCPTTCTRLVLGTFCVGCLNSIVQTSLTFQLPFCSSNR IDHFYCDVPPLLQLACASTALNELFLFGLCGFIIVSTTLAVLVSYGYITVTILRMHSGSG RHKVFSTCGSHLTAVSLFYGTLFVMYAQPGALTSMEQGKVVSIFYTLVIPMLNPLIYSLR NKDVKDALQRLGQRHSLVKAVRGCPAAGGNASV >ENSMUSP00000121212.1 pep:known chromosome:GRCm38:1:39665301:39720997:-1 gene:ENSMUSG00000057173.8 transcript:ENSMUST00000151913.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx8 description:regulatory factor X 8 [Source:MGI Symbol;Acc:MGI:3588206] MAEAVPASPASGLGLHRGIIQWLVDNFCICEGFSVPRCLMYEIYVETCGQSAQNQVNPAT FGKLVRLVFPDLGTRRLGTRGSARYHYDGIYIKKSSFFYAHYCYLLGKKSCHSGNLIAFG KFPDYSNVLQQEETCENHSPIKKDPMGSPVSPFRRCPVWEQELANKYSCKLVVFLADEYC NYCRDILQNVRNQELEKVEDLITSFWKSRQQDTVMLMSLPDVRQLLGCYDMQLYKGLEDM LLPDFLEDVSIQYLKSVRLFSKRFKLWLLGALEGFPAILQISKLKEVTGFVKRLRRKTYL SNMAKTMKMVLKNSRRIGLLKSDLRAIIREGTLDISKKVLEGDSDHEEEPESHTEMKCLG CLISLLETSTDLAELLNCVSSNLQVLVFQPSKNKEDFIKLAASFQLKWNFLLTAVSKAMT LSHRDSFGAWHLFHLLLLEYVIHILESCIEEEEEEDLGSLQDLLSDDQFLTQPDQALFHP LDSLPTSECASRNVESPQVTLKHTSQSCGPMGMGSVVLQVLGFLVDTATGNKLVQVLLED KTTESAVKLSLPVGQEALITLSNGQRFVIHISDVPQSSENTYCRKSNANVQGGLLE >ENSMUSP00000040524.7 pep:known chromosome:GRCm38:6:122553801:122564180:1 gene:ENSMUSG00000040627.14 transcript:ENSMUST00000043301.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aicda description:activation-induced cytidine deaminase [Source:MGI Symbol;Acc:MGI:1342279] MDSLLMKQKKFLYHFKNVRWAKGRHETYLCYVVKRRDSATSCSLDFGHLRNKSGCHVELL FLRYISDWDLDPGRCYRVTWFTSWSPCYDCARHVAEFLRWNPNLSLRIFTARLYFCEDRK AEPEGLRRLHRAGVQIGIMTFKDYFYCWNTFVENRERTFKAWEGLHENSVRLTRQLRRIL LPLYEVDDLRDAFRMLGF >ENSMUSP00000125093.1 pep:known chromosome:GRCm38:6:122560485:122563076:1 gene:ENSMUSG00000040627.14 transcript:ENSMUST00000160685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aicda description:activation-induced cytidine deaminase [Source:MGI Symbol;Acc:MGI:1342279] MTFKDYFYCWNTFVENRERTFKAWEGLHENSVRLTRQLRRILLPLYEVDDLRDAFRMLGF >ENSMUSP00000053993.2 pep:known chromosome:GRCm38:11:103649570:103650579:1 gene:ENSMUSG00000046215.3 transcript:ENSMUST00000057870.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprml description:reprimo-like [Source:MGI Symbol;Acc:MGI:2144486] MNVSFLNHSGLEEVGGDARATLGNRSHGLGTWLDCCPGGAPLTASDGVPAGLAPDERSLW VSRVAQIAVLCVLSLTVVFGVFFLGCNLLIKSESMINFLMQERRPSKDVGAAILGLY >ENSMUSP00000031445.3 pep:known chromosome:GRCm38:5:125475814:125517410:1 gene:ENSMUSG00000029482.4 transcript:ENSMUST00000031445.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aacs description:acetoacetyl-CoA synthetase [Source:MGI Symbol;Acc:MGI:1926144] MSKLARLEREEIMECQVMWEPDSKKDTQMDRFRAAVGTACGLALGNYNDLYHWSVRSYMD FWAEFWKFSGIVYSRMYDEVVDTSKGIADVPEWFRGSRLNYAENLLRHKENDRVALYVAR EGREEIVKVTFEELRQQVALFAAAMRKMGVKKGDRVVGYLPNSAHAVEAMLAAASIGAIW SSTSPDFGVNGVLDRFSQIQPKLIFSVEAVVYNGKEHGHLEKLQRVVKGLPDLQRVVLIP YVLPREKIDISKIPNSVFLDDFLASGTGAQAPQLEFEQLPFSHPLFIMFSSGTTGAPKCM VHSAGGTLIQHLKEHMLHGNMTSSDILLYYTTVGWMMWNWMVSALATGASLVLYDGSPLV PTPNVLWDLVDRIGITILGTGAKWLSVLEEKDMKPVETHNLHTLHTILSTGSPLKAQSYE YVYRCIKSSVLLGSISGGTDIISCFMGQNSSIPVYKGEIQARNLGMAVEAWDEEGKAVWG ASGELVCTKPIPCQPTHFWNDENGSKYRKAYFSKFPGVWAHGDYCRINPKTGGIIMLGRS DGTLNPNGVRFGSSEIYNIVEAFDEVEDSLCVPQYNRDGEERVVLFLKMASGHTFQPDLV KRIRDAIRLGLSARHVPSLILETRGIPYTLNGKKVEVAVKQVMAGRTVEHRGAFSNPETL DLYRDIPELQDF >ENSMUSP00000107510.3 pep:known chromosome:GRCm38:9:111439081:111489118:1 gene:ENSMUSG00000032500.10 transcript:ENSMUST00000111879.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclk3 description:doublecortin-like kinase 3 [Source:MGI Symbol;Acc:MGI:3039580] MPAAPVLRPPPPPATPAPPAPSRPAPPIPGHRGPCDHSLKCLSSKISERKLPGPWLPAGR GPLEKPVLGPRGAVMPLFSPQSSLHSVRAEHSPLKPRVVTVVKLGGQPLRKATLLLNRRS VQTFEQLLSDISEALGFPRWKNDRVRKLFTLKGREVKSVSDFFREGDAFIAMGKEPLTLK SIQLAMEELYPKNRALALAPHSRVPSPRLRSRLPSKLLKGSHRCGEAGSYSAEMESKAVS RHQGKTSTVLAPEDKARAQKWVRGKQESEPGGPPSPGAATQEETHASGEKHLGVEIEKTS GEIVRCEKCKRERELQLGLQREPCPLGTSELDLGRAQKRDSEKLVRTKSCRRPSEAKSTD GEEGWKGDSHRGSPRDPPQELRRPNSNSDKKEIRGSESQDSHPQGAPKAQKDLVEGPPAV EEGPIDMRREDRHTCRSKHAAWLRREQQAEPPQLPRTRGEEKQAEHEKKPGGLGERRAPE KESKRKLEEKRPERPSGRKPRPKGIISADVEKHYDIGGVIGDGNFATVKECRHRETKQAY AMKMIDKSQLKGKEDIVDSEILIIQSLSHPNIVKLHEVYETEAEIYLIMEYVQGGDLFDA IVENVKFPEPEAAVMITDLCKALVHMHDKNIVHRDVKPENLLVQRNEDKSITLKLADFGL AKYVVRPIFTVCGTPTYVAPEILSEKGYGLEVDMWAAGVILYILLCGFPPFRSPERDQDE LFNIIQVGQFEFLSPYWDNISDAAKDLVRNLLEVDPKKRYTAEQVLQHPWIEMVGHTNTG NSQKEESPNS >ENSMUSP00000077112.6 pep:known chromosome:GRCm38:18:80294546:80364254:-1 gene:ENSMUSG00000059852.7 transcript:ENSMUST00000077962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcng2 description:potassium voltage-gated channel, subfamily G, member 2 [Source:MGI Symbol;Acc:MGI:3694646] MARLLGHPEAPDAEPGSAGRRGRGGRGARARHVIINVGGCRVRLAWAALARCPLARLERL RACRGHDELLRVCDDYDVSRDEFFFDRSPCAFRAIVALLRAGKLRLLRGPCALAFRDELA YWGIDEARLERCCLRRLRRREEEAAEASAAQPARGPPTSPGRALGSGRLERGRQRLRDVV ENPHSGLAGRLFAYVSVAFVAVTAVGLCLSTMPDVRAEEERGECSTKCRNLFVLETVCVA WFSFEFLLRSLQAESKCAFLRTPLAIIDILAILPFYVSLLAGLAAGPTGSKMLERAGLVL RLLRALRVLYVMRLARHSLGLRSLGLTVRRCAREFGLLLLFLCVAMALFAPLVHLAEREL GAHRDFSSVPASYWWAVISMTTVGYGDMVPRSLPGQVVALSSILSGILLMAFPVTSIFHT FSRSYSELKEQQQRAASPEPALREDSTRDDSTRSASATEDSSQDPETAGAAGSLPGRVGP >ENSMUSP00000139072.1 pep:known chromosome:GRCm38:18:80322679:80363336:-1 gene:ENSMUSG00000059852.7 transcript:ENSMUST00000184574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcng2 description:potassium voltage-gated channel, subfamily G, member 2 [Source:MGI Symbol;Acc:MGI:3694646] MARLLGHPEAPDAEPGSAGRRGRGGRGARARHVIINVGGCRVRLAWAALARCPLARLERL RACRGHDELLRVCDDYDVSRDEFFFDRSPCAFRAIVALLRAGKLRLLRGPCALAFRDELA YWGIDEARLERCCLRRLRRREEEAAEASAAQP >ENSMUSP00000138920.1 pep:known chromosome:GRCm38:18:80322944:80363650:-1 gene:ENSMUSG00000059852.7 transcript:ENSMUST00000184366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcng2 description:potassium voltage-gated channel, subfamily G, member 2 [Source:MGI Symbol;Acc:MGI:3694646] MARLLGHPEAPDAEPGSAGRRGRGGRGARARHVIINVGGCRVRLAWAALARCPLARLERL RACR >ENSMUSP00000099309.1 pep:known chromosome:GRCm38:11:120007320:120047145:-1 gene:ENSMUSG00000025375.15 transcript:ENSMUST00000103020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aatk description:apoptosis-associated tyrosine kinase [Source:MGI Symbol;Acc:MGI:1197518] MLACLCCKKGGIGFKEFENAEGDEYVADFSEQGSPAAAAQTGPDVYVLPLTEVSLPMAKQ PGRSVQLLKSTDLGRHSLLYLKEIGHGWFGKVFLGEVHSGVSGTQVVVKELKVSASVQEQ MQFLEEAQPYRALQHSNLLQCLAQCAEVTPYLLVMEFCPLGDLKGYLRSCRVTESMAPDP LTLQRMACEVACGVLHLHRHNYVHSDLALRNCLLTADLTVKVGDYGLSHCKYREDYLVTA DQLWVPLRWIAPELVDEVHGNLLVVDQTKSSNVWSLGVTIWELFELGAQPYPQHSDGQVL AYAVREQQLKLPKPQLQLALSDRWYEVMQFCWLQPEQRPTAEEVHLLLSYLCAKGTTELE EEFERRWRSLRPGGSTGLGSGSAAPAAATAASAELTAASSFPLLERFTSDGFHVDSDDVL TVTETSHGLNFEYKWEAGCGAEEYPPSGAASSPGSAARLQELCAPDSSPPGVVPVLSAHS PSVGSEYFIRLEGAVPAAGHDPDCAGCAPSPQAVTDQDNNSEESTVASLAMEPLLGHAPP TEGLWGPCDHHSHRRQGSPCPSRSPSPGTPMLPAEDIDWGVATFCPPFFDDPLGASPSGS PGAQPSPSDEEPEEGKVGLAAQCGHWSSNMSANNNSASRDPESWDPGYVSSFTDSYRDDC SSLEQTPRASPEVGHLLSQEDPRDFLPGLVAVSPGQEPSRPFNLLPLCPAKGLAPAACLI TSPWTEGAVGGAENPIVEPKLAQEAEGSAEPQLPLPSVPSPSCEGASLPSEEASAPDILP ASPTPAAGSWVTVPEPAPTLESSGSSLGQEAPSSEDEDTTEATSGVFTDLSSDGPHTEKS GIVPALRSLQKQVGTPDSLDSLDIPSSASDGGCEVLSPSAAGPPGGQPRAVDSGYDTENY ESPEFVLKEAHESSEPEAFGEPASEGESPGPDPLLSVSLGGLSKKSPYRDSAYFSDLDAE SEPTFGPEKHSGIQDSQKEQDLRSPPSPGHQSVQAFPRSAVSSEVLSPPQQSEEPLPEVP RPEPLGAQGPVGVQPVPGPSHSKCFPLTSVPLISEGSGTEPQGPSGQLSGRAQQGQMGNP STPRSPLCLALPGHPGALEGRPEEDEDTEDSEESDEELRCYSVQEPSEDSEEEPPAVPVV VAESQSARNLRSLLKMPSLLSEAFCDDLERKKKAVSFFDDVTVYLFDQESPTRETGEPFP STKESLPTFLEGGPSSPSATGLPLRAGHSPDSSAPEPGSRFEWDGDFPLVPGKAALVTEL DPADPVLAAPPTPAAPFSRFTVSPTPASRFSITHISDSDAQSVGGPAAGAGGRYTEA >ENSMUSP00000067181.3 pep:known chromosome:GRCm38:11:120007313:120047070:-1 gene:ENSMUSG00000025375.15 transcript:ENSMUST00000064307.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aatk description:apoptosis-associated tyrosine kinase [Source:MGI Symbol;Acc:MGI:1197518] MLIALLALAMSSSFFNPSFAFSSHFDPDGAPLSELSWSSSLAVVAVSFSGIFTVVILMLA CLCCKKGGIGFKEFENAEGDEYVADFSEQGSPAAAAQTGPDVYVLPLTEVSLPMAKQPGR SVQLLKSTDLGRHSLLYLKEIGHGWFGKVFLGEVHSGVSGTQVVVKELKVSASVQEQMQF LEEAQPYRALQHSNLLQCLAQCAEVTPYLLVMEFCPLGDLKGYLRSCRVTESMAPDPLTL QRMACEVACGVLHLHRHNYVHSDLALRNCLLTADLTVKVGDYGLSHCKYREDYLVTADQL WVPLRWIAPELVDEVHGNLLVVDQTKSSNVWSLGVTIWELFELGAQPYPQHSDGQVLAYA VREQQLKLPKPQLQLALSDRWYEVMQFCWLQPEQRPTAEEVHLLLSYLCAKGTTELEEEF ERRWRSLRPGGSTGLGSGSAAPAAATAASAELTAASSFPLLERFTSDGFHVDSDDVLTVT ETSHGLNFEYKWEAGCGAEEYPPSGAASSPGSAARLQELCAPDSSPPGVVPVLSAHSPSV GSEYFIRLEGAVPAAGHDPDCAGCAPSPQAVTDQDNNSEESTVASLAMEPLLGHAPPTEG LWGPCDHHSHRRQGSPCPSRSPSPGTPMLPAEDIDWGVATFCPPFFDDPLGASPSGSPGA QPSPSDEEPEEGKVGLAAQCGHWSSNMSANNNSASRDPESWDPGYVSSFTDSYRDDCSSL EQTPRASPEVGHLLSQEDPRDFLPGLVAVSPGQEPSRPFNLLPLCPAKGLAPAACLITSP WTEGAVGGAENPIVEPKLAQEAEGSAEPQLPLPSVPSPSCEGASLPSEEASAPDILPASP TPAAGSWVTVPEPAPTLESSGSSLGQEAPSSEDEDTTEATSGVFTDLSSDGPHTEKSGIV PALRSLQKQVGTPDSLDSLDIPSSASDGGCEVLSPSAAGPPGGQPRAVDSGYDTENYESP EFVLKEAHESSEPEAFGEPASEGESPGPDPLLSVSLGGLSKKSPYRDSAYFSDLDAESEP TFGPEKHSGIQDSQKEQDLRSPPSPGHQSVQAFPRSAVSSEVLSPPQQSEEPLPEVPRPE PLGAQGPVGVQPVPGPSHSKCFPLTSVPLISEGSGTEPQGPSGQLSGRAQQGQMGNPSTP RSPLCLALPGHPGALEGRPEEDEDTEDSEESDEELRCYSVQEPSEDSEEEPPAVPVVVAE SQSARNLRSLLKMPSLLSEAFCDDLERKKKAVSFFDDVTVYLFDQESPTRETGEPFPSTK ESLPTFLEGGPSSPSATGLPLRAGHSPDSSAPEPGSRFEWDGDFPLVPGKAALVTELDPA DPVLAAPPTPAAPFSRFTVSPTPASRFSITHISDSDAQSVGGPAAGAGGRYTEA >ENSMUSP00000099308.1 pep:known chromosome:GRCm38:11:120007320:120041782:-1 gene:ENSMUSG00000025375.15 transcript:ENSMUST00000103019.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aatk description:apoptosis-associated tyrosine kinase [Source:MGI Symbol;Acc:MGI:1197518] MLACLCCKKGGIGFKEFENAEGDEYVADFSEQGSPAAAAQTGPDVYVLPLTEVSLPMAKQ PGRSVQLLKSTDLGRHSLLYLKEIGHGWFGKVFLGEVHSGVSGTQVVVKELKVSASVQEQ MQFLEEAQPYRALQHSNLLQCLAQCAEVTPYLLVMEFCPLGDLKGYLRSCRVTESMAPDP LTLQRMACEVACGVLHLHRHNYVHSDLALRNCLLTADLTVKVGDYGLSHCKYREDYLVTA DQLWVPLRWIAPELVDEVHGNLLVVDQTKSSNVWSLGVTIWELFELGAQPYPQHSDGQVL AYAVREQQLKLPKPQLQLALSDRWYEVMQFCWLQPEQRPTAEEVHLLLSYLCAKGTTELE EEFERRWRSLRPGGSTGLGSGSAAPAAATAASAELTAASSFPLLERFTSDGFHVDSDDVL TVTETSHGLNFEYKWEAGCGAEEYPPSGAASSPGSAARLQELCAPDSSPPGVVPVLSAHS PSVGSEYFIRLEGAVPAAGHDPDCAGCAPSPQAVTDQDNNSEESTVASLAMEPLLGHAPP TEGLWGPCDHHSHRRQGSPCPSRSPSPGTPMLPAEDIDWGVATFCPPFFDDPLGASPSGS PGAQPSPSDEEPEEGKVGLAAQCGHWSSNMSANNNSASRDPESWDPGYVSSFTDSYRDDC SSLEQTPRASPEVGHLLSQEDPRDFLPGLVAVSPGQEPSRPFNLLPLCPAKGLAPAACLI TSPWTEGAVGGAENPIVEPKLAQEAEGSAEPQLPLPSVPSPSCEGASLPSEEASAPDILP ASPTPAAGSWVTVPEPAPTLESSGSSLGQEAPSSEDEDTTEATSGVFTDLSSDGPHTEKS GIVPALRSLQKQVGTPDSLDSLDIPSSASDGGCEVLSPSAAGPPGGQPRAVDSGYDTENY ESPEFVLKEAHESSEPEAFGEPASEGESPGPDPLLSVSLGGLSKKSPYRDSAYFSDLDAE SEPTFGPEKHSGIQDSQKEQDLRSPPSPGHQSVQAFPRSAVSSEVLSPPQQSEEPLPEVP RPEPLGAQGPVGVQPVPGPSHSKCFPLTSVPLISEGSGTEPQGPSGQLSGRAQQGQMGNP STPRSPLCLALPGHPGALEGRPEEDEDTEDSEESDEELRCYSVQEPSEDSEEEPPAVPVV VAESQSARNLRSLLKMPSLLSEAFCDDLERKKKAVSFFDDVTVYLFDQESPTRETGEPFP STKESLPTFLEGGPSSPSATGLPLRAGHSPDSSAPEPGSRFEWDGDFPLVPGKAALVTEL DPADPVLAAPPTPAAPFSRFTVSPTPASRFSITHISDSDAQSVGGPAAGAGGRYTEA >ENSMUSP00000024779.8 pep:known chromosome:GRCm38:17:47630690:47686738:1 gene:ENSMUSG00000090115.7 transcript:ENSMUST00000024779.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp49 description:ubiquitin specific peptidase 49 [Source:MGI Symbol;Acc:MGI:2685391] MDRCKHVGRLRLAQDHSILNPQKWCCLQCATTESAWACLKCSHVACGRYIEDHALKHFEE TGHPLAMEVRDLYVFCYLCKDYVLNDNPEGDLKLLRSSLLAVRGQKQDLLARRGRTLRST AAGEDVVPPQRTPQGQPQMLTALWYRRQRLLAKTLRLWFQKSSRGRAQLEQRRQEEALER KKEAARQRRREVKRRLLEELASAPPRKSARLLLHAPGPVAVRPATLATSRRLSAAALNPR RQPAVAPGVTGLRNLGNTCYMNSILQVLSHLQKFRECFLNLDPSTSEHLFPQATNGKAQL SGRPASSSAAELSVRSVRAQGCEPQGLCWSSGASISRSLELIQNKEPSSKHISLCHELHT LFRVMWSGKWALVSPFAMLHSVWSLIPAFRGYDQQDAQEFLCELLHKVQQELESEGSTRR ILIPFSQRKLTKQVLKVVNTIFHGQLLSQVTCISCNYKSNTIEPFWDLSLEFPERYHCIE KGFVPLNQTECLLTEMLAKFTETEALEGRIYACDQCNSKRRKSNPKPLVLSEARKQLMIY RLPQVLRLHLKRFRWSGRNHREKIGVHVIFDQVLTMEPYCCRDMLSSLDKETFAYDLSAV VMHHGKGFGSGHYTAYCYNTEGGFWVHCNDSKLDVCSVEEVCKTQAYILFYTRRTVQGSA KLSEPHLQAQVHSSSKDERRTYTLP >ENSMUSP00000118675.1 pep:known chromosome:GRCm38:17:47649621:47672184:1 gene:ENSMUSG00000090115.7 transcript:ENSMUST00000145314.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp49 description:ubiquitin specific peptidase 49 [Source:MGI Symbol;Acc:MGI:2685391] MDRCKHVGRLRLAQDHSILNPQKWCCLQCATTESAWA >ENSMUSP00000120034.1 pep:known chromosome:GRCm38:17:47672720:47681056:1 gene:ENSMUSG00000090115.7 transcript:ENSMUST00000152724.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp49 description:ubiquitin specific peptidase 49 [Source:MGI Symbol;Acc:MGI:2685391] GPVAVRPATLATSRRLSAAALNPRRQPAVAPGVTGLRNLGNTCYMNSILQVLSHLQKFRE CFLNLDPSTSEHLFPQATNGKAQLSGRPASSSAAELSVRSVRAQGCEPQGLCWSSGASIS RSLELIQNKEPSSKHISLCHELHTLFRVMWSGKWALVSPFAMLHSVWSLIPAFRGYDQQD AQEFLCELLHKVQQELESEGSTRRILIPFSQRKLTKQVLKVVNTIFHGQLLSQVTCISCN YKSNTIEPFWDLSLEFPERYHCIEKGFVPLNQTECLLTEMLAKFTETEALEGRIYACDQC NSKRRKSNPKPLVLSEARKQLMIYRLPQVLRLHLKRFRWSGRNHREKIGVHVIFDQVFGS TAMTQSWMYAVSRKCAKPRPTFFFTLEEQFRAAQNSQNPISKLRCTPAARTNAEHTHCPD WEASIKTASRSPLGLARNRSLGLPHWSRGDNAL >ENSMUSP00000118100.1 pep:known chromosome:GRCm38:17:47673301:47680728:1 gene:ENSMUSG00000090115.7 transcript:ENSMUST00000131550.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp49 description:ubiquitin specific peptidase 49 [Source:MGI Symbol;Acc:MGI:2685391] XELESEGSTRRILIPFSQRKLTKQVLKVVNTIFHGQLLSQANDESPTPNPLF >ENSMUSP00000055772.6 pep:known chromosome:GRCm38:2:125736986:125782870:-1 gene:ENSMUSG00000035093.16 transcript:ENSMUST00000053699.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Secisbp2l description:SECIS binding protein 2-like [Source:MGI Symbol;Acc:MGI:1917604] MDRAPAEQNVKLSAEVEPFVPQKKNLDAFVLPMALPSDNGSVSGVEPTPIPSYLITCYPF VQENQSNRQFPLYNNDIRWQQPSPSPTGPYLAYPIISAQPPVSTEYTYYQLMPAPCAQVM GFYHPFPTPYSSTFQAANTVNAISTECTERPNQLGQAFPLSSHRSRNGNRGPVVPKPQLL QQHIKNKRPQVKNVATQKETSATGPDSRSKIVLLVDASQQTDFPSDIANKSLSESTATML WKAKGRRRRASHPAVESSSEQGASEADIDSDSGYCSPKHNNQSAPGALRDPASGTMNRLE SSGCSGGVNWPKVTCQATQKRPWMEKNQAFSRGGRQTEQRNNLQVGFRCRGHSTSSERRQ NLQKRQDNKHLNSTQSHRSDPNSESLYFEDEDGFQELSENGNSKDENIQQKLSSKVLDDL PENSPINIVQTPIPITTSVPKRAKSQKKKALAAALATAQEYSEISMEQKKLQEALSKAAG KKTKTPVQLDLGDMLAALEKQQQAMKARQITNTRPLAHPVVTTATFHTKDSNRKTLAKSQ PCVTSFNSLDITSSKAKKGKEKEIAKLKRPTALKKVILKEREEKKGRLIVEHSVLGAEEP TETHLDLTNDLPQETVSQEDAGLSMPSDASLSPASQNSPYCMTPVSQGSPASSGIGSPMA SSTITKIHSKRFREYCNQVLSKEIDECVTLLLQELVSFQERIYQKDPVRAKARRRLVMGL REVTKHMKLNKIKCVIISPNCEKIQSKGGLDEALYNVIAMAREQEIPFVFALGRKALGRC VNKLVPVSVVGIFNYFGAESLFNRLVELTEEARKAYKDMVAATEQEQAEEALRSVKTVPH HMGHSRNPSAASAISFCSVISEPISEVNEKEYETNWRSMVETSDGLEPSEMEKAAPCTHS PPEKPSRLALDTSLVGKQLPLAAGSITSAPSQGKPTGDKDELKPDDLEWASQQSTETGSL DGSCRDLLNSSITSTTSTLVPGMLEEEEDEEEEEEDYSHEPTAEEVQLNSRIESWVSETQ RTMETLQLGKALPGSEEDSAEQSGEEAAEVPEGLESGADSETWTPDQPPKPSSNMGKEHP DSSSPPQST >ENSMUSP00000121529.1 pep:known chromosome:GRCm38:2:125744775:125760314:-1 gene:ENSMUSG00000035093.16 transcript:ENSMUST00000139944.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Secisbp2l description:SECIS binding protein 2-like [Source:MGI Symbol;Acc:MGI:1917604] XSHRSDPNSESLYFEDEDGFQELSENGNSKDENIQQKLSSKVLDDLPENSPINIVQTPIP ITTSVPKRAKSQKKKALAAALATAQEYSEISMEQKKLQEALSKAAGKKTKTPVQLDLGDM LAALEKQQQAMKARQITNTRPLAHPVVTTATFHTKDSNRKTLAKSQPCVTSFNSLDITSS KAKKGKEKEIAKLKRPTALKKVILKEREEKKGRLIVEHSVLGAEEPTETHLDLTNDLPQE TVSQEDAGLSMPSDASLSPASQNSPYCMTPVSQGSPASSGIGSPMASSTITKIHSKRFRE YCNQVLSKEIDECVTLLLQELVSFQERIYQKDPVRAKARRRLVMGLREVTKHMKLNKIKC VIISPNCEKIQSKGGLDEALYNVIAMAREQEIPFVFALGRKALGRCVNKLVPVSVVGIFN YFGAEVRT >ENSMUSP00000120595.2 pep:known chromosome:GRCm38:11:88047373:88053755:1 gene:ENSMUSG00000018379.17 transcript:ENSMUST00000139129.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf1 description:serine/arginine-rich splicing factor 1 [Source:MGI Symbol;Acc:MGI:98283] MSGGGVIRGPAGNNDCRIYVGNLPPDIRTKDIEDVFYKYGAIRDIDLKNRRGGPPFAFVE FEDPRDAEDAVYGRDGYDYDGYRLRVEFPRSGRGTGRGGGGGGGGGAPRGRYGPPSRRSE NRVVVSGLPPSGSWQDLKDHMREAGDVCYADVYRDGTGVVEFVRKEDMTYAVRKLDNTKF RSHEGETAYIRVKVDGPRSPSYGRSRSRSRSRSRSRSRSNSRSRSYSPRRSRGSPRYSPR HSRSRSRT >ENSMUSP00000133517.1 pep:known chromosome:GRCm38:11:88047718:88051490:1 gene:ENSMUSG00000018379.17 transcript:ENSMUST00000079866.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf1 description:serine/arginine-rich splicing factor 1 [Source:MGI Symbol;Acc:MGI:98283] MSGGGVIRGPAGNNDCRIYVGNLPPDIRTKDIEDVFYKYGAIRDIDLKNRRGGPPFAFVE FEDPRDAEDAVYGRDGYDYDGYRLRVEFPRSGRGTGRGGGGGGGGGAPRGRYGPPSRRSE NRVVVSGLPPSGSWQDLKDHMREAGDVCYADVYRDGTGVVEFVRKEDMTYAVRKLDNTKF RSHEVGYTLILFFGQNWIQFS >ENSMUSP00000103553.3 pep:known chromosome:GRCm38:11:88047803:88050410:1 gene:ENSMUSG00000018379.17 transcript:ENSMUST00000107920.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf1 description:serine/arginine-rich splicing factor 1 [Source:MGI Symbol;Acc:MGI:98283] MSGGGVIRGPAGNNDCRIYVGNLPPDIRTKDIEDVFYKYGAIRDIDLKNRRGGPPFAFVE FEDPRDAEDAVYGRDGYDYDGYRLRVEFPRSGRGTGRGGGGGGGGGAPRGRYGPPSRRSE NRVVVSGLPPSGSWQDLKDHMREAGDVCYADVYRDGTGVVEFVRKEDMTYAVRKLDNTKF RSHEGETAYIRVKVDGPRSPSYGRSRSRSRSRSRSRSRSNSRSRSYSPRRSRGSPRYSPR HSRSRSHISEEMD >ENSMUSP00000114549.2 pep:known chromosome:GRCm38:11:88048567:88051472:1 gene:ENSMUSG00000018379.17 transcript:ENSMUST00000134824.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf1 description:serine/arginine-rich splicing factor 1 [Source:MGI Symbol;Acc:MGI:98283] XGRGTGRGGGGGGGGGAPRGRYGPPSRRSENRVVVSGLPPSGSWQDLKDHMREAGDVCYA DVYRDGTGVVEFVRKEDMTYAVRKLDNTKFRSHETYLKRWIKNALD >ENSMUSP00000128190.1 pep:known chromosome:GRCm38:11:88048589:88051122:1 gene:ENSMUSG00000018379.17 transcript:ENSMUST00000172186.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf1 description:serine/arginine-rich splicing factor 1 [Source:MGI Symbol;Acc:MGI:98283] XGGGGGGGGAPRGRYGPPSRRSENRVVVSGLPPSGSWQDLKDHMRETYLKRWIKNALD >ENSMUSP00000108623.1 pep:known chromosome:GRCm38:1:92637145:92640564:-1 gene:ENSMUSG00000073616.10 transcript:ENSMUST00000112999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myeov2 description:myeloma overexpressed 2 [Source:MGI Symbol;Acc:MGI:1914165] MASCGGGGVCRGRDRGRGRRKIQKRVQDMLMYLTLQGNLNQQPSSDGAQEGGGQSQLYCE THPQAGGSTGLLMDLAANEKAVHADFFNDFEDLFDDDDVQ >ENSMUSP00000123716.1 pep:known chromosome:GRCm38:1:92637145:92641923:-1 gene:ENSMUSG00000073616.10 transcript:ENSMUST00000148508.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myeov2 description:myeloma overexpressed 2 [Source:MGI Symbol;Acc:MGI:1914165] XMFPEGAGPYVDLDEVGWWRRGAMRGGGSTPANLRGSPGLWGFRGWGSGSCRGQSQGPRL LT >ENSMUSP00000095247.3 pep:known chromosome:GRCm38:1:92637145:92641985:-1 gene:ENSMUSG00000073616.10 transcript:ENSMUST00000097642.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myeov2 description:myeloma overexpressed 2 [Source:MGI Symbol;Acc:MGI:1914165] MKPAVDEMFPEGAGPYVDLDEAGGSTGLLMDLAANEKAVHADFFNDFEDLFDDDDVQ >ENSMUSP00000114409.1 pep:known chromosome:GRCm38:2:76648476:76651425:1 gene:ENSMUSG00000075267.9 transcript:ENSMUST00000153471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dfnb59 description:deafness, autosomal recessive 59 (human) [Source:MGI Symbol;Acc:MGI:2685847] MSFVKQVGDGGRLVPVPSLSEADKYQPLSLVVKKKRCFLFPRCKFTSTPFTLKDILLGDR EISAGISSYQLLN >ENSMUSP00000119264.1 pep:known chromosome:GRCm38:2:76648499:76655829:1 gene:ENSMUSG00000075267.9 transcript:ENSMUST00000144817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dfnb59 description:deafness, autosomal recessive 59 (human) [Source:MGI Symbol;Acc:MGI:2685847] MFAAATKSFVKQVGDGGRLVPVPSLSEADKYQPLSLVVKKKRCFLFPRCKFTSTPFTLKD ILLGDREISAGISSYQLLNYEDESDVSLYGRRSNHIVNDVGINVTGSDSIAVKASFGVVT KHEVEVSTLLKEITARKINFDHSLIRQSRSSRKAVLCVVMESIRTTRQCSLSVHAGIRGE AMRFHFMDEQ >ENSMUSP00000097566.2 pep:known chromosome:GRCm38:2:76650273:76658556:1 gene:ENSMUSG00000075267.9 transcript:ENSMUST00000099986.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dfnb59 description:deafness, autosomal recessive 59 (human) [Source:MGI Symbol;Acc:MGI:2685847] MFAAATKSFVKQVGDGGRLVPVPSLSEADKYQPLSLVVKKKRCFLFPRCKFTSTPFTLKD ILLGDREISAGISSYQLLNYEDESDVSLYGRRSNHIVNDVGINVTGSDSIAVKASFGVVT KHEVEVSTLLKEITARKINFDHSLIRQSRSSRKAVLCVVMESIRTTRQCSLSVHAGIRGE AMRFHFMDEQNPKGREKAIVFPAHTTIAFSVFELFIYLDGAFDICVTSVSKGGFEREETT TFAMFYRLRNILFERNRRVMDAISRSQLYLDDLFSDFYDKPLSMTDISLKEGTHIRVNLL NHNIPKGPCILCGMGNLKRETVYGCFQCSVDGVKYVRLHAVPCFDIWHKRMK >ENSMUSP00000121698.1 pep:known chromosome:GRCm38:17:47689030:47699551:1 gene:ENSMUSG00000023266.11 transcript:ENSMUST00000156118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frs3 description:fibroblast growth factor receptor substrate 3 [Source:MGI Symbol;Acc:MGI:2135965] MGSCWSCLDRDSVPHNHPTKFKVTNVDDEGVELGSG >ENSMUSP00000108921.1 pep:known chromosome:GRCm38:17:47695198:47704286:1 gene:ENSMUSG00000023266.11 transcript:ENSMUST00000113296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frs3 description:fibroblast growth factor receptor substrate 3 [Source:MGI Symbol;Acc:MGI:2135965] MGSCWSCLDRDSVPHNHPTKFKVTNVDDEGVELGSGVMELTQSELVLHLHQREAVRWPYL CLRRYGYDSNLFSFESGRRCQTGQGIFAFKCSRAEDIFNLLQDLMQCNSINVTEEPVIIT RSSHPPELDLPRGPPQPAGYTVSGFSNGFPGCPGEGPRFSSAPRRPSTSSLRHPSPGEES THTLIASEEQSHTYVNTPTGDEDGRSRHCLQPLPEGRVPLPAQTQGSDQRDPQVLLQPGQ VKFVLGPTPARRQVMKCQSLCPGMQDPPHHNNNEGPSECPAQPKCTYENVSGGLQQGAGW RLSPEERGWSGLAHRRAALLHYENLPPLPPVWESQGQQPGGEAGDDGDSRDGLTPSSNGF PDGEEDETPLQKPTSTRASARSHSGFPVPLTRRRGSPRVFNFDFRRQGPEPPRQLNYIQV ELKGWGTARPKGPQNPSVSGAPGPTPHPVRSSDSYAVIDLKKTAAMSDLQRALPRDDGAV RKTRHNSTDLPL >ENSMUSP00000099575.3 pep:known chromosome:GRCm38:2:119325784:119335962:1 gene:ENSMUSG00000027314.6 transcript:ENSMUST00000102517.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dll4 description:delta-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1859388] MTPASRSACRWALLLLAVLWPQQRAAGSGIFQLRLQEFVNQRGMLANGQSCEPGCRTFFR ICLKHFQATFSEGPCTFGNVSTPVLGTNSFVVRDKNSGSGRNPLQLPFNFTWPGTFSLNI QAWHTPGDDLRPETSPGNSLISQIIIQGSLAVGKIWRTDEQNDTLTRLSYSYRVICSDNY YGESCSRLCKKRDDHFGHYECQPDGSLSCLPGWTGKYCDQPICLSGCHEQNGYCSKPDEC ICRPGWQGRLCNECIPHNGCRHGTCSIPWQCACDEGWGGLFCDQDLNYCTHHSPCKNGST CSNSGPKGYTCTCLPGYTGEHCELGLSKCASNPCRNGGSCKDQENSYHCLCPPGYYGQHC EHSTLTCADSPCFNGGSCRERNQGSSYACECPPNFTGSNCEKKVDRCTSNPCANGGQCQN RGPSRTCRCRPGFTGTHCELHISDCARSPCAHGGTCHDLENGPVCTCPAGFSGRRCEVRI THDACASGPCFNGATCYTGLSPNNFVCNCPYGFVGSRCEFPVGLPPSFPWVAVSLGVGLV VLLVLLVMVVVAVRQLRLRRPDDESREAMNNLSDFQKDNLIPAAQLKNTNQKKELEVDCG LDKSNCGKLQNHTLDYNLAPGLLGRGGMPGKYPHSDKSLGEKVPLRLHSEKPECRISAIC SPRDSMYQSVCLISEERNECVIATEV >ENSMUSP00000127231.2 pep:known chromosome:GRCm38:1:39804148:39805332:-1 gene:ENSMUSG00000091937.3 transcript:ENSMUST00000171319.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3646 description:predicted gene 3646 [Source:MGI Symbol;Acc:MGI:3781822] MRRSKSWTSSSNDNRNLPATKSDKAYDKWTASFSTGDLSYLGPPYPWVQPPVD >ENSMUSP00000021329.7 pep:known chromosome:GRCm38:11:103676849:103697898:-1 gene:ENSMUSG00000020946.13 transcript:ENSMUST00000021329.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gosr2 description:golgi SNAP receptor complex member 2 [Source:MGI Symbol;Acc:MGI:1927204] MEPLYQQTNKQVQEIQSHMGRLERADKQSVHLVENEIQASIEQIFSHLERLEILSSKEPL NRRQNAKLRVDQLKYDVQHLQTALRNFQHRRQVREQQERQRDELLSRTFTTNDSDTTIPM DESLQFNSSLHNIHHGMDDLIGGGHSILEGLRAQRLTLKGTQKKILDIANMLGLSNTVMR LIEKRAFQDKYFMIGGMLLTCAVMFLVVQYLT >ENSMUSP00000102627.2 pep:known chromosome:GRCm38:11:103678726:103697694:-1 gene:ENSMUSG00000020946.13 transcript:ENSMUST00000107013.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gosr2 description:golgi SNAP receptor complex member 2 [Source:MGI Symbol;Acc:MGI:1927204] MEPLYQQTNKQVQEIQSHMGRLERADKQSVHLVENEIQASIEQIFSHLERLEILSSKEPL NRRQNAKLRVDQLKYDVQHLQTALRNFQHRRQVREQQERQRDELLSRTFTTNGTQKKILD IANMLGLSNTVMRLIEKRAFQDKYFMIGGMLLTCAVMFLVVQYLT >ENSMUSP00000124513.1 pep:known chromosome:GRCm38:12:102128733:102267091:1 gene:ENSMUSG00000041771.13 transcript:ENSMUST00000159329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a4 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 4 [Source:MGI Symbol;Acc:MGI:2447362] MALRGLIRQSKVRRRREMLPQQVGFVCAVLALVCCASGLFGSLGHKTASAGKHVLLDTWR NRKLMAPINGTPLAKNCTDPAIHEFPTDLFSNKERQHGAVLLHILGALYMFYALAIVCDD FFVPSLEKICEKLHLSEDVAGATFMAAGSSTPELFASVIGVFITHGDVGVGTIVGSAVFN ILCIIGVCGLFAGQVVRLTWWAVCRDSVYYTLSVIVLIAFIYDEEIVWWEGLVLIILYVF YILIMKYNMKMQTFFTTKQKSIANGNPVSNELEDVKEKPPYGKTPVVMVDEILSSSPPKF TFPEAGLRIMITNKFGPRTRLRMASRIIINERQRLINSANGVNSKPLQNGRHENMENGNV PVENPEDPQQGQEQQPPPQPPPPEPESVETVFLSPFSMPEAKGDKAKWVFTWPLIFLLCV TIPNCSKPRWEKFFMVTFITATLWIAVFSYLMVWLVTIIGYTLGIPDVIMGITFLAAGTS VPDCMASLIVARQGLGDMAVSNTIGSNVFDILVGLGIPWGLQTMVINYGSTVKINSRGLV YSVVLLLGSVALTVSFCHFQTGAP >ENSMUSP00000078030.4 pep:known chromosome:GRCm38:12:102129174:102266749:1 gene:ENSMUSG00000041771.13 transcript:ENSMUST00000079020.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a4 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 4 [Source:MGI Symbol;Acc:MGI:2447362] MLPQQVGFVCAVLALVCCASGLFGSLGHKTASAGKHVLLDTWRNRKLMAPINGTPLAKNC TDPAIHEFPTDLFSNKERQHGAVLLHILGALYMFYALAIVCDDFFVPSLEKICEKLHLSE DVAGATFMAAGSSTPELFASVIGVFITHGDVGVGTIVGSAVFNILCIIGVCGLFAGQVVR LTWWAVCRDSVYYTLSVIVLIAFIYDEEIVWWEGLVLIILYVFYILIMKYNMKMQTFFTT KQKSIANGNPVSNELEDGNDLYDGSYDDPSVPLLGQVKEKPPYGKTPVVMVDEILSSSPP KFTFPEAGLRIMITNKFGPRTRLRMASRIIINERQRLINSANGVNSKPLQNGRHENMENG NVPVENPEDPQQGQEQQPPPQPPPPEPESVETVFLSPFSMPEAKGDKAKWVFTWPLIFLL CVTIPNCSKPRWEKFFMVTFITATLWIAVFSYLMVWLVTIIGYTLGIPDVIMGITFLAAG TSVPDCMASLIVARQGLGDMAVSNTIGSNVFDILVGLGIPWGLQTMVINYGSTVKINSRG LVYSVVLLLGSVALTVLGIHLNKWRLDRKLGIYVLVLYAVFLCFSIMIEFNVFTFVNLPM CREDD >ENSMUSP00000125012.1 pep:known chromosome:GRCm38:12:102129391:102266641:1 gene:ENSMUSG00000041771.13 transcript:ENSMUST00000161325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a4 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 4 [Source:MGI Symbol;Acc:MGI:2447362] XALRGLIRQSKVRRRREMLPQQVGFVCAVLALVCCASGLFGSLGHKTASAGKHVLLDTWR NRKLMAPINGTPLAKNCTDPAIHEFPTDLFSNKERQHGAVLLHILGALYMFYALAIVCDD FFVPSLEKICEKLHLSEDVAGATFMAAGSSTPELFASVIGVFITHGDVGVGTIVGSAVFN ILCIIGVCGLFAGQVVRLTWWAVCRDSVYYTLSVIVLIAFIYDEEIVWWEGLVLIILYVF YILIMKYNMKMQTFFTTKQKSIANGNPVSNELEDVKEKPPYGKTPVVMVDEILSSSPPKF TFPEAGLRIMITNKFGPRTRLRMASRIIINERQRLINSANGVNSKPLQNGRHENMENGNV PVENPEDPQQGQEQQPPPQPPPPEPESVETVFLSPFSMPEAKGDKAKWVFTWPLIFLLCV TIPNCSKPRWEKFFMVTFITATLWIAVFSYLMVWLVTIIGYTLGIPDVIMGITFLAAGTS VPDCMASLIVARQGLGDMAVSNTIGSNVFDILVGLGIPWGLQTMVINYGSTVKINSRGLV YSVVLLLGSVALTVLGIHLNKWRLDRKLGIYVLVLYAVFLCFSIMIEFNVFTFVNLPMCR EDD >ENSMUSP00000010049.4 pep:known chromosome:GRCm38:1:106720459:106759727:-1 gene:ENSMUSG00000009905.5 transcript:ENSMUST00000010049.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdsr description:3-ketodihydrosphingosine reductase [Source:MGI Symbol;Acc:MGI:1918000] MLLLAAAGLVAFVLLLYMVSPLISPKPLALPGAHVVVTGGSSGIGKCIAIECYKQGAFIT LVARNEDKLLQAKKDIEKHSINDKQVVLCISVDVSQDYNQVENVIKQAQEKLGPVDMLVN CAGTSMSGKFEELEVSSFEKLMSINYLGSVYPSRAVITTMKERRVGRIVFVSSQAGQLGL FGFTAYSSSKFAIRGLAEALQMEVKPYNVYVTVAYPPDTDTPGLAEENKTKPLETRLISE TTAICKPEQVAKQIVKDAIQGNFNSSIGSDGYMLSSLTCGMAPVTSITEGLQQVVTMGLF RTIALFYLGSFDNIVRRCMVQKAKPEVVDKTA >ENSMUSP00000116150.1 pep:known chromosome:GRCm38:4:149485229:149488122:1 gene:ENSMUSG00000028990.13 transcript:ENSMUST00000124413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzic description:leucine zipper and CTNNBIP1 domain containing [Source:MGI Symbol;Acc:MGI:1916401] MASRGKTETSKLKQNLEEQLDRLMQQLQDLEECREELDADEYEETKKETLEQLSEF >ENSMUSP00000119294.1 pep:known chromosome:GRCm38:4:149485231:149488150:1 gene:ENSMUSG00000028990.13 transcript:ENSMUST00000141293.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzic description:leucine zipper and CTNNBIP1 domain containing [Source:MGI Symbol;Acc:MGI:1916401] MASRGKTETSKLKQNLEEQLDRLMQQLQDLEECREELDADEYEETKKETLEQLSEFNDSL KKIMS >ENSMUSP00000030842.7 pep:known chromosome:GRCm38:4:149485294:149496668:1 gene:ENSMUSG00000028990.13 transcript:ENSMUST00000030842.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzic description:leucine zipper and CTNNBIP1 domain containing [Source:MGI Symbol;Acc:MGI:1916401] MASRGKTETSKLKQNLEEQLDRLMQQLQDLEECREELDADEYEETKKETLEQLSEFNDSL KKIMSGNMTLVDELSGMQLAIQAAISQAFKTPEVIRLFAKKQPGQLRTRLAEMDRDLMVG KLERELYTQRKVEILTALRKLGEKLTEDDETFLSANASAVLSQFEKVSTELGSGDKVLAL AGFDVEKAKK >ENSMUSP00000002809.7 pep:known chromosome:GRCm38:2:76663044:76673070:-1 gene:ENSMUSG00000002732.14 transcript:ENSMUST00000002809.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp7 description:FK506 binding protein 7 [Source:MGI Symbol;Acc:MGI:1336879] MNLLFRLAVFLSLWCCSDAQGQTKEESTEEVKIEVLHRPENCSKTSRKGDLLNAHYDGYL AKDGSKFYCSRTQDEGHPKWFVLGVGHVIKGLDIAMMDMCPGEKRKVIIPPSFAYGKEGY AEGKIPPNATLMFEIELYAVTKGPRSIETFKQIDTDNDRQLSKAEIELYLQKDFEKDANP RDKSYQKAVLEDIFKKNDHNGDGFISPKEYNVHQHDEL >ENSMUSP00000135000.1 pep:known chromosome:GRCm38:2:76665707:76673116:-1 gene:ENSMUSG00000002732.14 transcript:ENSMUST00000176815.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fkbp7 description:FK506 binding protein 7 [Source:MGI Symbol;Acc:MGI:1336879] MNLLFRLAVFLSLWCCSDAQGQTKEESTEEVKIEVLHRPENCSKTSRKGDLLNAHYDGYL AKDGSKFYCSRTQDEGHPKWFVLGVGHVIKGLDIAMMDMCPGEKRKVIIPPSFAYGKEGY GDA >ENSMUSP00000119045.1 pep:known chromosome:GRCm38:17:47686739:47694455:-1 gene:ENSMUSG00000079444.9 transcript:ENSMUST00000143789.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21981 description:predicted gene 21981 [Source:MGI Symbol;Acc:MGI:5439450] MSVQNSDWSLQQDNPIFREPDPPVYTDSDSGRRPVEDYEDTSAQAATCSSLGPPCLDINQ VSNWPGFRTLLQQLPPQDSDERYCLALGEEELAQLRLFCAQRKQKSLGQGVARLLPPKLE GYTCKKCKKLLDPGEYGVFAARAGEQSCWHRPCFACQACGQGLINLIYFYHEGHLYCGRH HAELLRPRCPACDQLIFSQRCTEAEGQRWHENHFCCQDCAGPLDGGRYALPGGSPCCPSC FSKRYRSAGSSSVGVAEGQASFEEEGPDPSVGWNRASSDDKITSRAALLSAVPTLTLETL NGASKRQDRDGPQTPGSPIEDSPCPTCSSSSESEPEGFFFGQRLPEPWKTPENLQADDRD ISRKHCTIC >ENSMUSP00000061683.3 pep:known chromosome:GRCm38:1:92644218:92648841:-1 gene:ENSMUSG00000044055.5 transcript:ENSMUST00000053144.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otos description:otospiralin [Source:MGI Symbol;Acc:MGI:2672814] MQACVLWWLALGMLLGIPAGAKPMPEEADPHTQPPAMPYWPFSTSDFWNYVQYFQTQGAY PQIEDMARTFFAHFPLGSTLGFHVPYQED >ENSMUSP00000024778.2 pep:known chromosome:GRCm38:17:47611582:47624418:1 gene:ENSMUSG00000092558.3 transcript:ENSMUST00000024778.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med20 description:mediator complex subunit 20 [Source:MGI Symbol;Acc:MGI:1929648] MGVTCVSQMPVAEGKSLQQTVELLTKKLEMLGAEKQGTFCVDCETYHTAASTLGSQGQAG KLMYVMHNSEYPLSCFALFENGPCLIADTNFDVLMVKLKGFFQSAKASKIETRGTRYQYC DFLVKVGTVTMGPSARGISVEVEYGPCVVASDCWSLLLEFLQSFLGSHAPGAPTVFGNRH DAVYGPADTMIQYMELFNKIRKQQQVPVAGIR >ENSMUSP00000063325.5 pep:known chromosome:GRCm38:11:116171888:116199045:-1 gene:ENSMUSG00000020777.16 transcript:ENSMUST00000066587.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox1 description:acyl-Coenzyme A oxidase 1, palmitoyl [Source:MGI Symbol;Acc:MGI:1330812] MNPDLRKERAAATFNPELITHILDGSPENTRRRREIENLILNDPDFQHEDYNFLTRSQRY EVAVKKSATMVKKMREFGIADPEEIMWFKNSVHRGHPEPLDLHLGMFLPTLLHQATEEQQ ERFFMPAWNLEITGTYAQTEMGHGTHLRGLETTATYDPKTQEFILNSPTVTSIKWWPGGL GKTSNHAIVLAQLITRGECYGLHAFVVPIREIGTHKPLPGITVGDIGPKFGYEEMDNGYL KMDNYRIPRENMLMKYAQVKPDGTYVKPLSNKLTYGTMVFVRSFLVGSAAQSLSKACTIA IRYSAVRRQSEIKRSEPEPQILDFQTQQYKLFPLLATAYAFHFLGRYIKETYMRINESIG QGDLSELPELHALTAGLKAFTTWTANAGIEECRMACGGHGYSHSSGIPNIYVTFTPACTF EGENTVMMLQTARFLMKIYDQVQSGKLVGGMVSYLNDLPSQRIQPQQVAVWPTLVDINSL DSLTEAYKLRAARLVEIAAKNLQAQVSHRKSKEVAWNLTSVDLVRASEAHCHYVTVKVFA DKLPKIQDRAVQAVLRNLCLLYSLYGISQKGGDFLEGNIITGAQMSQVNSRILELLTVTR PNAVALVDAFDFKDVTLGSVLGRYDGNVYENLFEWAKKSPLNKTEVHESYYKHLKPLQSK L >ENSMUSP00000072717.4 pep:known chromosome:GRCm38:11:116171888:116198801:-1 gene:ENSMUSG00000020777.16 transcript:ENSMUST00000072948.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox1 description:acyl-Coenzyme A oxidase 1, palmitoyl [Source:MGI Symbol;Acc:MGI:1330812] MNPDLRKERAAATFNPELITHILDGSPENTRRRREIENLILNDPDFQHEDYNFLTRSQRY EVAVKKSATMVKKMREFGIADPEEIMWFKKLHMVNFVEPVGLNYSMFIPTLLNQGTTAQQ EKWMHPSQELQIIGTYAQTEMGHGTHLRGLETTATYDPKTQEFILNSPTVTSIKWWPGGL GKTSNHAIVLAQLITRGECYGLHAFVVPIREIGTHKPLPGITVGDIGPKFGYEEMDNGYL KMDNYRIPRENMLMKYAQVKPDGTYVKPLSNKLTYGTMVFVRSFLVGSAAQSLSKACTIA IRYSAVRRQSEIKRSEPEPQILDFQTQQYKLFPLLATAYAFHFLGRYIKETYMRINESIG QGDLSELPELHALTAGLKAFTTWTANAGIEECRMACGGHGYSHSSGIPNIYVTFTPACTF EGENTVMMLQTARFLMKIYDQVQSGKLVGGMVSYLNDLPSQRIQPQQVAVWPTLVDINSL DSLTEAYKLRAARLVEIAAKNLQAQVSHRKSKEVAWNLTSVDLVRASEAHCHYVTVKVFA DKLPKIQDRAVQAVLRNLCLLYSLYGISQKGGDFLEGNIITGAQMSQVNSRILELLTVTR PNAVALVDAFDFKDVTLGSVLGRYDGNVYENLFEWAKKSPLNKTEVHESYYKHLKPLQSK L >ENSMUSP00000122185.1 pep:known chromosome:GRCm38:11:116171888:116189575:-1 gene:ENSMUSG00000020777.16 transcript:ENSMUST00000148601.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acox1 description:acyl-Coenzyme A oxidase 1, palmitoyl [Source:MGI Symbol;Acc:MGI:1330812] TTYGQFCGTCWPQLLHVYPYLAESGHHCSAGEMDAPVPRTPDNWHLRPDGDGPRSVHRGH PEPLDLHLGMFLPTLLHQATEEQQERFFMPAWNLEITGTYAQTEMGHGTHLRGLETTATY DPKTQEFILNSPTVTSIKWWPGGLGKTSNHAIVLAQLITRGECYGLHAFVVPIREIGTHK PLPGITVGDIGPKFGYEEMDNGYLKMDNYRIPRENMLMKYAQVKPDGTYVKPLSNKLTYG TMVFVRSFLVGSAAQSLSKACTIAIRYSAVRRQSEIKRSEPEPQILDFQTQQYKLFPLLA TAYAFHFLGRYIKETYMRINESIGQGDLSELPELHALTAGLKAFTTWTANAGIEECRMAC GGHGYSHSSGIPNIYVTFTPACTFEGENTVMMLQTARFLMKIYDQVQSGKLVGGMVSYLN DLPSQRIQPQQVAVWPTLVDINSLDSLTEAYKLRAARLVEIAAKNLQAQVSHRKSKEVAW NLTSVDLVRASEAHCHYVTVKVFADKLPKIQDRAVQAVLRNLCLLYSLYGISQKGGDFLE GNIITGAQMSQVNSRILELLTVTRPNAVALVDAFDFKDVTLGSVLGRYDGNVYENLFEWA KKSPLNKTEVHESYYKHLKPLQSKL >ENSMUSP00000051331.7 pep:known chromosome:GRCm38:11:108920349:108950783:1 gene:ENSMUSG00000000142.15 transcript:ENSMUST00000052915.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axin2 description:axin2 [Source:MGI Symbol;Acc:MGI:1270862] MSSAVLVTLLPDPSSSFREDAPRPPVPGEEGETPPCQPSVGKVQSTKPMPVSSNARRNED GLGEPEGRASPDSPLTRWTKSLHSLLGDQDGAYLFRTFLEREKCVDTLDFWFACNGFRQM NLKDTKTLRVAKAIYKRYIENNSVVSKQLKPATKTYIRDGIKKQQIGSVMFDQAQTEIQA VMEENAYQVFLTSDIYLEYVRSGGENTAYMSNGGLGSLKVLCGYLPTLNEEEEWTCADLK CKLSPTVVGLSSKTLRATASVRSTETAENGFRSFKRSDPVNPYHVGSGYVFAPATSANDS ELSSDALTDDSMSMTDSSVDGVPPYRMGSKKQLQREMHRSVKANGQVSLPHFPRTHRLPK EMTPVEPAAFAAELISRLEKLKLELESRHSLEERLQQIREDEEKEGSEQALSSRDGAPVQ HPLALLPSGSYEEDPQTILDDHLSRVLKTPGCQSPGVGRYSPRSRSPDHHHQHHHHQQCH TLLPTGGKLPPVAACPLLGGKSFLTKQTTKHVHHHYIHHHAVPKTKEEIEAEATQRVRCL CPGGTDYYCYSKCKSHPKAPEPLPGEQFCGSRGGTLPKRNAKGTEPGLALSARDGGMSSA AGAPQLPGEEGDRSQDVWQWMLESERQSKSKPHSAQSIRKSYPLESACAAPGERVSRHHL LGASGHSRSVARAHPFTQDPAMPPLTPPNTLAQLEEACRRLAEVSKPQKQRCCVASQQRD RNHSAAGQAGASPFANPSLAPEDHKEPKKLASVHALQASELVVTYFFCGEEIPYRRMLKA QSLTLGHFKEQLSKKGNYRYYFKKASDEFACGAVFEEIWDDETVLPMYEGRILGKVERID >ENSMUSP00000120664.1 pep:known chromosome:GRCm38:11:108920800:108923583:1 gene:ENSMUSG00000000142.15 transcript:ENSMUST00000140821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axin2 description:axin2 [Source:MGI Symbol;Acc:MGI:1270862] MSSAVLVTLLPDPSSSFREDAPRPPVPGEEGETPPCQPSVGKVQSTKPMPVSSNARRNED GLGEPEGRASPDSPLTRWTKSLHSLLGDQDGAYLFRTFL >ENSMUSP00000116956.1 pep:known chromosome:GRCm38:11:108921336:108923598:1 gene:ENSMUSG00000000142.15 transcript:ENSMUST00000152909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axin2 description:axin2 [Source:MGI Symbol;Acc:MGI:1270862] MSSAVLVTLLPDPSSSFREDAPRPPVPGEEGETPPCQPSVGKVQSTKPMPVSSNARRNED GLGEPEGRASPDSPLTRWTKSLHSLLGDQDGAYLFRTFLEREK >ENSMUSP00000119915.1 pep:known chromosome:GRCm38:11:108921648:108923494:1 gene:ENSMUSG00000000142.15 transcript:ENSMUST00000144511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axin2 description:axin2 [Source:MGI Symbol;Acc:MGI:1270862] MSSAVLVTLLPDPSSSFREDAPRPPVPGEEGETPPCQPSVGKVQSTKPMPVSSNARRNED GLGEPEGRA >ENSMUSP00000102322.1 pep:known chromosome:GRCm38:11:108923181:108949511:1 gene:ENSMUSG00000000142.15 transcript:ENSMUST00000106711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Axin2 description:axin2 [Source:MGI Symbol;Acc:MGI:1270862] MSSAVLVTLLPDPSSSFREDAPRPPVPGEEGETPPCQPSVGKVQSTKPMPVSSNARRNED GLGEPEGRASPDSPLTRWTKSLHSLLGDQDGAYLFRTFLEREKCVDTLDFWFACNGFRQM NLKDTKTLRVAKAIYKRYIENNSVVSKQLKPATKTYIRDGIKKQQIGSVMFDQAQTEIQA VMEENAYQVFLTSDIYLEYVRSGGENTAYMSNGGLGSLKVLCGYLPTLNEEEEWTCADLK CKLSPTVVGLSSKTLRATASVRSTETAENGFRSFKRSDPVNPYHVGSGYVFAPATSANDS ELSSDALTDDSMSMTDSSVDGVPPYRMGSKKQLQREMHRSVKANGQVSLPHFPRTHRLPK EMTPVEPAAFAAELISRLEKLKLELESRHSLEERLQQIREDEEKEGSEQALSSRDGAPVQ HPLALLPSGSYEEDPQTILDDHLSRVLKTPGCQSPGVGRYSPRSRSPDHHHQHHHHQQCH TLLPTGGKLPPVAACPLLGGKSFLTKQTTKHVHHHYIHHHAVPKTKEEIEAEATQRVRCL CPGGTDYYCYSKCKSHPKAPEPLPGEQFCAQSIRKSYPLESACAAPGERVSRHHLLGASG HSRSVARAHPFTQDPAMPPLTPPNTLAQLEEACRRLAEVSKPQKQRCCVASQQRDRNHSA AGQAGASPFANPSLAPEDHKEPKKLASVHALQASELVVTYFFCGEEIPYRRMLKAQSLTL GHFKEQLSKKGNYRYYFKKASDEFACGAVFEEIWDDETVLPMYEGRILGKVERID >ENSMUSP00000117658.1 pep:known chromosome:GRCm38:17:47611613:47683861:1 gene:ENSMUSG00000023984.17 transcript:ENSMUST00000132397.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20517 description:predicted gene 20517 [Source:MGI Symbol;Acc:MGI:5141982] MGVTCVSQMPVAEGKSLQQTVELLTKKLEMLGAEKQGTFCVDCETYHTAASTLGSQGQAG KLMYVMHNSEYPLSCFALFENGPCLIADTNFDVLMVKLKGFFQSAKASKIETRGTRYQYC DFLVKVGTVTMGPSARGISVEIKTVATALKHG >ENSMUSP00000038938.6 pep:known chromosome:GRCm38:18:80407959:80469695:-1 gene:ENSMUSG00000033323.13 transcript:ENSMUST00000036229.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdp1 description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) phosphatase, subunit 1 [Source:MGI Symbol;Acc:MGI:1926953] MEAPPAAGVPTECTPAVAGAEVRCPGPTPLRLLEWKVAAGATVRIGSVLAVCETAASAQP AGPAPARAASGGCVRAARTERRLRSERAGVVRELCAQPGQVVAPGALLVRLEGCSHPVVM KGLCAECGQDLTQLQSKNGRQQVPLSTATVSMVHSVPELMVSSEQAEKLGREDQQRLHRN RKLVLMVDLDQTLIHTTEQHCPQMSNKGIFHFQLGRGEPMLHTRLRPHCKDFLEKIAKLY ELHVFTFGSRLYAHTIAGFLDPEKKLFSHRILSRDECIDPFSKTGNLRNLFPCGDSMVCI IDDREDVWKFAPNLITVKKYVYFPGTGDVNAPPAARETQARRKVNHSSKGGDALEQALSV RDPEDGRPAPGVEHSNGLGKASRELNGGEAVPGVFPSKADEKEAWPLTRASPASSSSGHE PTEAPELPVSCEWDGRTTPGVQPTQGDAATQDLDFDLSSDSESSESSSRSEGQRAPAPQE RTKAAPEHSGPQDTSGGRAAASPLGESGPSIHPHDKGSDLDTQEEGERDSLCGLGNGSVD RKEAETESQNSEQSGVTAGESLDQSVGEEEEEDTDDDDHLIHLEEILVRVHTDYYTKYDR YLNKELEEAPDIRKIVPELKSKVLADVAVIFSGLHPTNFPVEKTREHYHATALGAKVLTQ LVLSPDAPDRATHLIAARAGTEKVRQAQECKHLHVVSPDWLWSCLERWDKVEEQLFPLID DDTRTHRDNSPAVFPDRHSVLPTALFHPTPIHSKAHPGPEVRIYDSNTGKLIRMGPQGSA PAPSSAPLNHGEPSSFRAVQPHQQQMFGEELPESQDGEQPGPARRKRQPSMSEAMPLYTL CKEDLESMDKEVDDILGEGSDDSDIEKKKPEDQDNEQERAPKPRKPRAPGIRREQPVGLP SSGERSTPGMRGPRGHKRKLNEEDAASESSGESSNDDEEGSSSEADEMAAALEAELNDLM >ENSMUSP00000123705.1 pep:known chromosome:GRCm38:18:80407965:80440859:-1 gene:ENSMUSG00000033323.13 transcript:ENSMUST00000161003.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdp1 description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) phosphatase, subunit 1 [Source:MGI Symbol;Acc:MGI:1926953] MSCITLVVRAVQPHQQQMFGEELPESQDGEQPGPARRKRQPSMSEAMPLYTLCKEDLESM DKEVDDILGEGSDDSDIEKKKPEDQDNEQERAPKPRKPRAPGIRREQPVGLPSSGERSTP GMRGPRGHKRKLNEEDAASESSGESSNDDEEGSSSEADEMAAALEAELNDLM >ENSMUSP00000047199.6 pep:known chromosome:GRCm38:1:92831645:92860779:1 gene:ENSMUSG00000034220.7 transcript:ENSMUST00000045970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpc1 description:glypican 1 [Source:MGI Symbol;Acc:MGI:1194891] MELRTRGWWLLCAAAALVVCARGDPASKSRSCSEVRQIYGAKGFSLSDVPQAEISGEHLR ICPQGYTCCTSEMEENLANHSRMELESALHDSSRALQATLATQLHGIDDHFQRLLNDSER TLQEAFPGAFGDLYTQNTRAFRDLYAELRLYYRGANLHLEETLAEFWARLLERLFKQLHP QLLPDDYLDCLGKQAEALRPFGDAPRELRLRATRAFVAARSFVQGLGVASDVVRKVAQVP LAPECSRAIMKLVYCAHCRGVPGARPCPDYCRNVLKGCLANQADLDAEWRNLLDSMVLIT DKFWGPSGAESVIGGVHVWLAEAINALQDNKDTLTAKVIQACGNPKVNPHGSGPEEKRRR GKLALQEKPSTGTLEKLVSEAKAQLRDIQDFWISLPGTLCSEKMAMSPASDDRCWNGISK GRYLPEVMGDGLANQINNPEVEVDITKPDMTIRQQIMQLKIMTNRLRGAYGGNDVDFQDA SDDGSGSGSGGGCPDDTCGRRVSKKSSSSRTPLTHALPGLSEQEGQKTSAATCPEPHSFF LLFLVTLVLAAARPRWR >ENSMUSP00000030627.7 pep:known chromosome:GRCm38:4:134864536:134896172:1 gene:ENSMUSG00000028825.7 transcript:ENSMUST00000030627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhd description:Rh blood group, D antigen [Source:MGI Symbol;Acc:MGI:1202882] MGSKYPRSLRCCLPLWALELQTAFSLLFCFFIPHDTAQVDHRFMASYQVLRNLTLMAALG FGFLSSSFRRHSWSSVAFNLFMLALGVQGTILLDHFLGQVLQWNKINNLSSIQIATMSTL PVLISAGAVLGKVNLVQLTVMVLMEAMAFGAIRFADEKVFKMTEHIIMMHGHVFGAYFGL TVAWWLSRSLPRRVGENAQTEKVQMATSSSLFAMLGTLFLWIFWPAINSALLEGTKKRNA VFNTYYALAVSAVTATSMSALSHPQGKINMVHIHNAVLAGGVAVGAPGCLISSPWISMVL GLIAGLISIWGAKCPRACLNHMLQNSSGIHYTFGLPGLLGALTYYCLQIVTEPKSSDLWI ITQTVTHIGALSFAVAMGMVTGLLTGCLLSVRVWRAPRAAKYFDDQTFWEFPHLAVGF >ENSMUSP00000095498.3 pep:known chromosome:GRCm38:4:126435012:126468583:-1 gene:ENSMUSG00000041530.16 transcript:ENSMUST00000097888.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ago1 description:argonaute RISC catalytic subunit 1 [Source:MGI Symbol;Acc:MGI:2446630] MEAGPSGAAAGAYLPPLQQVFQAPRRPGIGTVGKPIKLLANYFEVDIPKIDVYHYEVDIK PDKCPRRVNREVVEYMVQHFKPQIFGDRKPVYDGKKNIYTVTALPIGNERVDFEVTIPGE GKDRIFKVSIKWLAIVSWRMLHEALVSGQIPVPLESVQALDVAMRHLASMRYTPVGRSFF SPPEGYYHPLGGGREVWFGFHQSVRPAMWKMMLNIDVSATAFYKAQPVIEFMCEVLDIRN IDEQPKPLTDSQRVRFTKEIKGLKVEVTHCGQMKRKYRVCNVTRRPASHQTFPLQLESGQ TVECTVAQYFKQKYNLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTS TMIKATARSAPDRQEEISRLMKNASYNLDPYIQEFGIKVKDDMTEVTGRVLPAPILQYGG RNRAIATPNQGVWDMRGKQFYNGIEIKVWAIACFAPQKQCREEVLKNFTDQLRKISKDAG MPIQGQPCFCKYAQGADSVEPMFRHLKNTYSGLQLIIVILPGKTPVYAEVKRVGDTLLGM ATQCVQVKNVVKTSPQTLSNLCLKINVKLGGINNILVPHQRSAVFQQPVIFLGADVTHPP AGDGKKPSITAVVGSMDAHPSRYCATVRVQRPRQEIIEDLSYMVRELLIQFYKSTRFKPT RIIFYRDGVPEGQLPQILHYELLAIRDACIKLEKDYQPGITYIVVQKRHHTRLFCADKNE RIGKSGNIPAGTTVDTNITHPFEFDFYLCSHAGIQGTSRPSHYYVLWDDNRFTADELQIL TYQLCHTYVRCTRSVSIPAPAYYARLVAFRARYHLVDKEHDSGEGSHISGQSNGRDPQAL AKAVQVHQDTLRTMYFA >ENSMUSP00000135662.1 pep:known chromosome:GRCm38:4:126438321:126461782:-1 gene:ENSMUSG00000041530.16 transcript:ENSMUST00000127800.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ago1 description:argonaute RISC catalytic subunit 1 [Source:MGI Symbol;Acc:MGI:2446630] XHFKPQIFGDRKPVYDGKKNIYTVTALPIGNERVDFEVTIPGEGKDRIFKVSIKWLAIVS WRMLHEALVSGQIPVPLESVQALDVAMRHLASMRPGLLRAQDASQGGGLCPRDQFSACPC QVHPCGPLLLLTA >ENSMUSP00000134871.1 pep:known chromosome:GRCm38:4:126439503:126459207:-1 gene:ENSMUSG00000041530.16 transcript:ENSMUST00000176315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ago1 description:argonaute RISC catalytic subunit 1 [Source:MGI Symbol;Acc:MGI:2446630] QYFKQKYNLQLKYPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMIKATA RSAPDRQEEISRLMKNASYNLDPYIQEFGIKVKDDMTEVTGRVLPAPILQYGGRVSRNRA IATPNQGVWDMRGKQFYNGIEIKVWAIACFAPQKQCREEVLKNFTDQLRKISKDAGMPIQ GQPCFCKYAQGADSVEPMFRHLKNTYSGLQLIIVILPGKTPVYAEVKRVGDTLLGMATQC VQVKNVVKTSPQTLSNLCLKINVKLGGINNILVPHQRSAVFQQPVIFLGADVTHPPAGDG KKPSITAVVGSMDAHPSRYCATVRVQRPRQEIIEDLSYMVRELLIQFYKSTRFKPTRIIF YRDGVPEGQLPQILHYELLAIRDACIKLEKDYQPGITYIVVQKRHHTRLFCADKNERIGK SGNIPAGTTVDTNITHPFEFDFYLCSHAGIQGTSRPSHYYVLWDDNRFTADELQILTYQL CHTYVRCTRSVSIPAPAYYARLVAFRARYHLVDKEHDSGEGSHISGQSNGRDPQALAKAV QVHQDTLRTMYFA >ENSMUSP00000017354.6 pep:known chromosome:GRCm38:11:98704591:98729435:-1 gene:ENSMUSG00000017210.14 transcript:ENSMUST00000017354.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med24 description:mediator complex subunit 24 [Source:MGI Symbol;Acc:MGI:1344385] MKVVNLKQAILQAWKERWSDYQWAINMKKFFPKGATWDILNLAEALLEQAMIGPSPNPLI LSYLKYAISSQMVSCSSVLTAISKFDDFSRDLCVQALLDIMDMFCDRLSCHGKAEECIGL CRALLSALHWLLRCTAASAERLQEGLEAGTPAPGEKQLALCLQCLEKTLSSTKNRALLHI AKLEEASSWTAIEHSLLKLGEILANLSNPQLRSQAERCGTLIRSIPSMLSVHSEQLHKTG FPTIHALILLEGTMNLTGEMQPLVEQLMMVKRMQHIPTPLFVLEIWKACFVGLIESPEGT QELKWTAFTYLKIPQVLVKLKKYFHGEKDFTEDVNCAFEFLLKLTPLLDKADQRCNCDCT NFLLQECNKQGLLSEVNFASLVGKRTADRDPQLKSSENANIQPNPGLILRAEPTVTNILK TMDADHSKSPEGLLGVLGHMLSGKSLDLLLAAAAATGKLKSFARKFINLNEFTTHGSGES TKTASVRALLFDISFLMLCHVAQTYGSEVILSESSSGEEVPFFETWMQTCMPEEGKILNP DHPCFRPDSTKVESLVALLNNSSEMKLVQMKWHEACLSISAAILEILNAWENGVLAFESI QKITDNIKGKVCSLAVCAVAWLVAHVRMLGLDEREKSLQMIRQLAGPLYSENTLQFYNER VVIMNSILEHMCADVLQQTATQIKFPSTGVDTMPYWNLLPPKRPIKEVLTDIFAKVLEKG WVDSRSIHILDTLLHMGGVYWFCNNLIKELLKETRKEHTLRAVQLLYSIFCLDMQQVTLV LLGHILPGLLTDSSKWHSLMDPPGTALAKLAVWCALSSYSSHKGQASSRQKKRHREDIED YVSLFPVEDMQPSKLMRLLSSSDDDANILSSPTDRSMNSSLSASQLHTVNMRDPLNRVLA NLFLLISSILGSRTAGPHTQFVQWFMEECVGCLEQDSRGSILQFMPFTTVSELVKVSAMS SPKVVLAITDLSLPLGRQVAAKAIAAL >ENSMUSP00000120002.1 pep:known chromosome:GRCm38:11:98704900:98729367:-1 gene:ENSMUSG00000017210.14 transcript:ENSMUST00000138750.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Med24 description:mediator complex subunit 24 [Source:MGI Symbol;Acc:MGI:1344385] MKVVNLKQAILQAWKERWSDYQWAINMKKFFPKGATWDILNLAGLKSAPGAGYDWAFPQS SDPVVPEVRH >ENSMUSP00000098069.2 pep:known chromosome:GRCm38:11:98704900:98729410:-1 gene:ENSMUSG00000017210.14 transcript:ENSMUST00000100500.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med24 description:mediator complex subunit 24 [Source:MGI Symbol;Acc:MGI:1344385] MKVVNLKQAILQAWKERWSDYQWAINMKKFFPKGATWDILNLAEALLEQAMIGPSPNPLI LSYLKYAISSQMVSCSSVLTAISKFDDFSRDLCVQALLDIMDMFCDRLSCHGKAEECIGL CRALLSALHWLLRCTAASAERLQEGLEAGTPAPGEKQLALCLQCLEKTLSSTKNRALLHI AKLEEASLHTSQGLGQGGTRANQPTASWTAIEHSLLKLGEILANLSNPQLRSQAERCGTL IRSIPSMLSVHSEQLHKTGFPTIHALILLEGTMNLTGEMQPLVEQLMMVKRMQHIPTPLF VLEIWKACFVGLIESPEGTQELKWTAFTYLKIPQVLVKLKKYFHGEKDFTEDVNCAFEFL LKLTPLLDKADQRCNCDCTNFLLQECNKQGLLSEVNFASLVGKRTADRDPQLKSSENANI QPNPGLILRAEPTVTNILKTMDADHSKSPEGLLGVLGHMLSGKSLDLLLAAAAATGKLKS FARKFINLNEFTTHGSGESTKTASVRALLFDISFLMLCHVAQTYGSEVILSESSSGEEVP FFETWMQTCMPEEGKILNPDHPCFRPDSTKVESLVALLNNSSEMKLVQMKWHEACLSISA AILEILNAWENGVLAFESIQKITDNIKGKVCSLAVCAVAWLVAHVRMLGLDEREKSLQMI RQLAGPLYSENTLQFYNERVVIMNSILEHMCADVLQQTATQIKFPSTGVDTMPYWNLLPP KRPIKEVLTDIFAKVLEKGWVDSRSIHILDTLLHMGGVYWFCNNLIKELLKETRKEHTLR AVQLLYSIFCLDMQQVTLVLLGHILPGLLTDSSKWHSLMDPPGTALAKLAVWCALSSYSS HKGQASSRQKKRHREDIEDYVSLFPVEDMQPSKLMRLLSSSDDDANILSSPTDRSMNSSL SASQLHTVNMRDPLNRVLANLFLLISSILGSRTAGPHTQFVQWFMEECVGCLEQDSRGSI LQFMPFTTVSELVKVSAMSSPKVVLAITDLSLPLGRQVAAKAIAAL >ENSMUSP00000118820.1 pep:known chromosome:GRCm38:11:98718551:98729374:-1 gene:ENSMUSG00000017210.14 transcript:ENSMUST00000126565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med24 description:mediator complex subunit 24 [Source:MGI Symbol;Acc:MGI:1344385] MKVVNLKQAILQAWKERWSDYQWAINMKKFFPKGATWDILNLAEALLEQAMIGPSPNPLI LSYLKYAISSQMVSCSSVLTAISKFDDFSR >ENSMUSP00000021243.7 pep:known chromosome:GRCm38:11:95384692:95391776:1 gene:ENSMUSG00000020873.13 transcript:ENSMUST00000021243.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35b1 description:solute carrier family 35, member B1 [Source:MGI Symbol;Acc:MGI:1343133] MAASRSLVPDRLRLPLCFLGVFVCYFYYGILQEKITRGKYGEGPKQETFTFALTLVFIQC VINAMFAKILIQFFDTARVDRTRTWLYAACSVSYVGAMVSSNSALQFVNYPTQVLGKSCK PIPVMLLGVTLLKKKYPLAKYLCVLLIVAGVALFMYKPKKVVGIEEHTVGFGELLLLMSL TLDGLTGVSQDHMRAHYQTGSNHMMLNINLWSTFLLGAGILFTGELWEFLSFAERYPTII YNILLFGLTSALGQSFIFMTVVYFGPLTCSIITTTRKFFTILASVILFANPISSMQWVGT VLVFLGLGLDAKFGKGTKKTSH >ENSMUSP00000125307.1 pep:known chromosome:GRCm38:11:95384891:95391776:1 gene:ENSMUSG00000020873.13 transcript:ENSMUST00000131193.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc35b1 description:solute carrier family 35, member B1 [Source:MGI Symbol;Acc:MGI:1343133] MAASRSLVPDRLRLPLCFLGVFVCYFYYGILQEKITRGKYGEGPKQETFTFALTLVFIQC VINAMFAKIWWIALGPGSMLPVLSPMWVPWSPATQPCSLSTIQLRFSVNPASQSQLCSWE >ENSMUSP00000125597.1 pep:known chromosome:GRCm38:11:95384757:95387890:1 gene:ENSMUSG00000020873.13 transcript:ENSMUST00000146556.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35b1 description:solute carrier family 35, member B1 [Source:MGI Symbol;Acc:MGI:1343133] MRSLPPAGDVRLELSPSPPVPLPALNGSSADSSHKREVWRRTQTGDIHLCLNFGFHPVCD QCYVCQDLVDRTRTWLYAACSVSYVGAMVSSNSALQFVNYPTQVLGKSCKPIPVMLLGVT LLKKKYPLAKYLCVLLIVAGVALFMYKPKKVVG >ENSMUSP00000143747.1 pep:known chromosome:GRCm38:3:153198266:153725174:-1 gene:ENSMUSG00000052544.9 transcript:ENSMUST00000200397.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac3 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 3 [Source:MGI Symbol;Acc:MGI:1341828] MACILKRKPVLVVSFIALCILLLAMRLVNDATFPLLLNCFGQPKTKWIPLPYTFRQPLRT HYGYINVRTQEPLQLNCNHCAIVSNSGQMVGQKVGEEIDHASCIWRMNNAPTKGFEEDVG YMTMVRVVSHTSVPLLLKNPDYFFKEASRTIYVIWGPFRNMRKDGNGIVYNMLKKTVDAY PDAQIYVTTEQQMTHCDRVFKDETGKDRVQSGSYLSTGWFTFILAMDACYSIHVYGMINE TYCKTEGYRKVPYHYYEQGKDECNEYLLHEHAPYGGHRFITEKKVFAKWAKKHRIVFTHP NWTLS >ENSMUSP00000143030.1 pep:known chromosome:GRCm38:3:153205366:153225783:-1 gene:ENSMUSG00000052544.9 transcript:ENSMUST00000199707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac3 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 3 [Source:MGI Symbol;Acc:MGI:1341828] XAVPTIVTCDYRQVFAAETRKHPFLRARVQSGSYLSTGWFTFILAMDACYSIHVYGMINE TYCKTEGYRKVPYHYYEQGKDECNEYLLHEHAPYGGHRFITEKKVFAKWAKKHRIVFTHP NWTLS >ENSMUSP00000068598.6 pep:known chromosome:GRCm38:3:153206617:153725113:-1 gene:ENSMUSG00000052544.9 transcript:ENSMUST00000064460.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac3 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 3 [Source:MGI Symbol;Acc:MGI:1341828] SSCGAEVQRATGSIHSAMACILKPLQLNCNHCAIVSNSGQMVGQKVGEEIDHASCIWRMN NAPTKGFEEDVGYMTMVRVVSHTSVPLLLKNPDYFFKEASRTIYVIWGPFRNMRKDGNGI VYNMLKKTVDAYPDAQIYVTTEQQMTHCDRVFKDETGKDRVQSGSYLSTGWFTFILAMDA CYSIHVYGMINETYCK >ENSMUSP00000018630.2 pep:known chromosome:GRCm38:11:103727364:103749821:-1 gene:ENSMUSG00000018486.2 transcript:ENSMUST00000018630.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt9b description:wingless-type MMTV integration site family, member 9B [Source:MGI Symbol;Acc:MGI:1197020] MRPAPALALAALCLLVLPAAAAAAAYFGLTGREVLTPFPGLGTAAAPAQAGAHLKQCDLL KLSRRQKQLCRREPGLAETLRDAAHLGLLECQFQFRQERWNCSLEGRTGLLQRGFKETAF LYAVSAAALTHALARACSAGRMERCTCDDSPGLESRQAWQWGVCGDNLKYSTKFLSNFLG PKRGSKDLRARADAHNTHVGIKAVKSGLRTTCKCHGVSGSCAVRTCWKQLSPFRETGQVL KLRYDTAVKVSSATNEALGRLELWAPAKPGGPAKGLAPRPGDLVYMEDSPSFCRPSKYSP GTAGRVCSRDSSCSSLCCGRGYDTQSRMVVFSCHCQVQWCCYVECQQCAQQELVYTCKR >ENSMUSP00000032508.4 pep:known chromosome:GRCm38:6:128430103:128438677:-1 gene:ENSMUSG00000030357.10 transcript:ENSMUST00000032508.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp4 description:FK506 binding protein 4 [Source:MGI Symbol;Acc:MGI:95543] MTAEEMKAAENGAQSAPLPLEGVDISPKQDEGVLKVIKREGTGTETPMIGDRVFVHYTGW LLDGTKFDSSLDRKDKFSFDLGKGEVIKAWDIAVATMKVGEVCHITCKPEYAYGAAGSPP KIPPNATLVFEVELFEFKGEDLTEEEDGGIIRRIRTRGEGYARPNDGAMVEVALEGYHKD RLFDQRELCFEVGEGESLDLPCGLEEAIQRMEKGEHSIVYLKPSYAFGSVGKERFQIPPH AELRYEVRLKSFEKAKESWEMSSAEKLEQSNIVKERGTAYFKEGKYKQALLQYKKIVSWL EYESSFSGEEMQKVHALRLASHLNLAMCHLKLQAFSAAIESCNKALELDSNNEKGLFRRG EAHLAVNDFDLARADFQKVLQLYPSNKAAKTQLAVCQQRTRRQLAREKKLYANMFERLAE EEHKVKAEVAAGDHPTDAEMKGERNNVAENQSRVETEA >ENSMUSP00000119930.2 pep:known chromosome:GRCm38:6:128433215:128438140:-1 gene:ENSMUSG00000030357.10 transcript:ENSMUST00000150387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp4 description:FK506 binding protein 4 [Source:MGI Symbol;Acc:MGI:95543] MIGDRVFVHYTGWLLDGTKFDSSLDRKDKFSFDLGKGEVIKAWDIAVATMKVGEVCHITC KPEYAYGAAGSPPKIPPNATLVFEVELFEFKGEDLTEEEDGGIIRRIRTRGEGYARPNDG AMVEVALEGYHKDRLFDQRELCFEVGEGESLDLPCGLEEAIQRMEKGEHSIVYLKPSYAF GSVGKERFQIPPHAELRYEVRLKSFEKAKESWEMSSAEKLEQSNIVKERGTAYFKEGKYK QALLQYKKIVSWLEYESSFSG >ENSMUSP00000122087.2 pep:known chromosome:GRCm38:6:128433762:128437653:-1 gene:ENSMUSG00000030357.10 transcript:ENSMUST00000151796.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp4 description:FK506 binding protein 4 [Source:MGI Symbol;Acc:MGI:95543] MIGDRVFVHYTGWLLDGTKFDSSLDRKDKFSFDLGKGEVIKAWDIAVATMKVGEVCHITC KPEYAYGAAGSPPKIPPNATLVFEVELFEFKGEDLTEEEDGGIIRRIRTRGEGYARPNDG AMVEVALEGYHKDRLFDQRELCFEVGEGESLDLPCGLEEAIQRMEKGEHSIVYLKPSYAF GSVGKER >ENSMUSP00000030839.6 pep:known chromosome:GRCm38:4:149518236:149566437:1 gene:ENSMUSG00000028988.13 transcript:ENSMUST00000030839.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnbip1 description:catenin beta interacting protein 1 [Source:MGI Symbol;Acc:MGI:1915756] MNREGAPGKSPEEMYIQQKVRVLLMLRKMGSNLTASEEEFLRTYAGVVSSQLSQLPQHSI DQGAEDVVMAFSRSETEDRRQ >ENSMUSP00000101317.1 pep:known chromosome:GRCm38:4:149545117:149564508:1 gene:ENSMUSG00000028988.13 transcript:ENSMUST00000105692.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnbip1 description:catenin beta interacting protein 1 [Source:MGI Symbol;Acc:MGI:1915756] MNREGAPGKSPEEMYIQQKVRVLLMLRKMGSNLTASEEEFLRTYAGVVSSQLSQLPQHSI DQGAEDVVMAFSRSETEDRRQ >ENSMUSP00000033407.6 pep:known chromosome:GRCm38:7:144435733:144470745:-1 gene:ENSMUSG00000031078.15 transcript:ENSMUST00000033407.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cttn description:cortactin [Source:MGI Symbol;Acc:MGI:99695] MWKASAGHAVSITQDDGGADDWETDPDFVNDVSEKEQRWGAKTVQGSGHQEHINIHKLRE NVFQEHQTLKEKELETGPKASHGYGGKFGVEQDRMDRSAVGHEYQSKLSKHCSQVDSVRG FGGKFGVQMDRVDQSAVGFEYQGKTEKHASQKDYSSGFGGKYGVQADRVDKSAVGFDYQG KTEKHESQKDYSKGFGGKYGIDKDKVDKSAVGFEYQGKTEKHESQKDYVKGFGGKFGVQT DRQDKCALGWDHQEKLQLHESQKDYAKGFGGKYGVQKDRMDKNASTFEEVVQVPSAYQKT VPIEAVTSKTSNIRANFENLAKEREQEDRRKAEAERAQRMAKERQEQEEARRKLEEQARA KKQTPPASPSPQPIEDRPPSSPIYEDAAPFKAEPSYRGSEPEPEYSIEAAGIPEAGSQQG LTYTSEPVYETTEAPGHYQAEDDTYDGYESDLGITAIALYDYQAAGDDEISFDPDDIITN IEMIDDGWWRGVCKGRYGLFPANYVELRQ >ENSMUSP00000099368.3 pep:known chromosome:GRCm38:7:144435735:144471009:-1 gene:ENSMUSG00000031078.15 transcript:ENSMUST00000103079.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cttn description:cortactin [Source:MGI Symbol;Acc:MGI:99695] MWKASAGHAVSITQDDGGADDWETDPDFVNDVSEKEQRWGAKTVQGSGHQEHINIHKLRE NVFQEHQTLKEKELETGPKASHGYGGKFGVEQDRMDRSAVGHEYQSKLSKHCSQVDSVRG FGGKFGVQMDRVDQSAVGFEYQGKTEKHASQKDYSSGFGGKYGVQADRVDKSAVGFDYQG KTEKHESQKDYSKGFGGKYGIDKDKVDKSAVGFEYQGKTEKHESQKDYVKGFGGKFGVQT DRQDKCALGWDHQEKLQLHESQKDYKTGFGGKFGVQSERQDSSAVGFDYKERLAKHESQQ DYAKGFGGKYGVQKDRMDKNASTFEEVVQVPSAYQKTVPIEAVTSKTSNIRANFENLAKE REQEDRRKAEAERAQRMAKERQEQEEARRKLEEQARAKKQTPPASPSPQPIEDRPPSSPI YEDAAPFKAEPSYRGSEPEPEYSIEAAGIPEAGSQQGLTYTSEPVYETTEAPGHYQAEDD TYDGYESDLGITAIALYDYQAAGDDEISFDPDDIITNIEMIDDGWWRGVCKGRYGLFPAN YVELRQ >ENSMUSP00000046927.4 pep:known chromosome:GRCm38:7:118535841:118584736:-1 gene:ENSMUSG00000042246.5 transcript:ENSMUST00000044195.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc7 description:transmembrane channel-like gene family 7 [Source:MGI Symbol;Acc:MGI:2443317] MSEGSAGDPGHGSSRQRAVHPENLSLGSSCFSPPVNFLQELPSYRSVARRRTNILSRDKQ SGTLLKPTDSFSCQLDGGITENLNSQSIRKYALNISEKRRLRDIQETQMKYLSEWDQWKR YSSKSWKRFLEKAREMTTHLELWRKDIRSIEGKFGTGIQSYFSFLRFLVVLNLVIFLIIF MLVLLPILLTKYKITNSTFVLIPFKDMDIQCTLYPISSSGLIYFYSYIIDLLSGTGFLEE TSLFYGHYTIDGVKFQSFTYDLPLAYLISTIAYLALSLLWIVKRSVEGFKINLIRSEEHF QSYCNKIFAGWDFCITNRSMAELKHSSLRYELRADLEEERIRQKIAERTSEETIRIYTLR LFLNCIVLAVLAACFYAIYLATAFSQEHMKKEIDKMVFGENLLILYLPSIVITLANFITP IIFAKIIHYEDYSPGFEIRLTILRCVFMRLATICVLVFTLGSKITSCGDSTCELCGYNQK LYPCWETQVGQEMYKLMIFDFIIILAVTLFVDFPRKLLVTYCASSKLIQCWGQQEFAIPD NVLGIVYGQTICWIGAFFSPLLPAIATLKFVIIFYVKELSLLYTCRPSPRQFRASNSNFF FLLVLLIGLCLAIIPLTISMARIPSSKACGPFTNFNTTWEVIPQTVSTFPSSLQTLIHAV TSEAFAVPFFMIICLVMFYFIALAGAHKQVVVQLREQLSLESRDKRYLIQKLTEAQREVR SQPASA >ENSMUSP00000004949.7 pep:known chromosome:GRCm38:2:101678440:101701669:1 gene:ENSMUSG00000027164.8 transcript:ENSMUST00000004949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf6 description:TNF receptor-associated factor 6 [Source:MGI Symbol;Acc:MGI:108072] MSLLNCENSCGSSQSSSDCCAAMAASCSAAVKDDSVSGSASTGNLSSSFMEEIQGYDVEF DPPLESKYECPICLMALREAVQTPCGHRFCKACIIKSIRDAGHKCPVDNEILLENQLFPD NFAKREILSLTVKCPNKGCLQKMELRHLEDHQVHCEFALVNCPQCQRPFQKCQVNTHIIE DCPRRQVSCVNCAVSMAYEEKEIHDQSCPLANIICEYCGTILIREQMPNHYDLDCPTAPI PCTFSVFGCHEKMQRNHLARHLQENTQLHMRLLAQAVHNVNLALRPCDAASPSRGCRPED PNYEETIKQLESRLVRQDHQIRELTAKMETQSMYVGELKRTIRTLEDKVAEMEAQQCNGI YIWKIGNFGMHLKSQEEERPVVIHSPGFYTGRPGYKLCMRLHLQLPTAQRCANYISLFVH TMQGEYDSHLPWPFQGTIRLTILDQSEALIRQNHEEVMDAKPELLAFQRPTIPRNPKGFG YVTFMHLEALRQGTFIKDDTLLVRCEVSTRFDMGGLRKEGFQPRSTDAGV >ENSMUSP00000021130.6 pep:known chromosome:GRCm38:11:116198855:116215318:1 gene:ENSMUSG00000020778.12 transcript:ENSMUST00000021130.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ten1 description:TEN1 telomerase capping complex subunit [Source:MGI Symbol;Acc:MGI:1916785] MLPKPGVYYFPWEVSDGHVPEGSTLRTFGRLYLYDMARSLMTLAAPQKPDQCQLLVCTNL VEPFEAHVNFLYMVLGDLERMEGGAFVVRARLLTCVEGMDLSLLEKAILEQRRHLQKRQQ PIGDASTLQTPTPAPQSIPSDSLSLEPENRGQQVPLPQTLD >ENSMUSP00000117599.1 pep:known chromosome:GRCm38:9:90054152:90065422:1 gene:ENSMUSG00000032359.14 transcript:ENSMUST00000132718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsh description:cathepsin H [Source:MGI Symbol;Acc:MGI:107285] MPGSNPNACWGLGSEGTRWDRAVSAEMWAALPLLCAGAWLLSTGATAELTVNAIEKFHFK SWMKQHQKTYSSVEYNHRLQMFANNWRKIQAHNQRNHTFKMALNQFSDMSFAEIKHKFLW SEPQNCSATKSNYLRGTGPYPSSMDWRKKGNVVSPVKNQGACGSCWTFSTTGALESAVAI ASGKMLSLAEQQLVDCAQ >ENSMUSP00000034915.8 pep:known chromosome:GRCm38:9:90054267:90076089:1 gene:ENSMUSG00000032359.14 transcript:ENSMUST00000034915.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsh description:cathepsin H [Source:MGI Symbol;Acc:MGI:107285] MWAALPLLCAGAWLLSTGATAELTVNAIEKFHFKSWMKQHQKTYSSVEYNHRLQMFANNW RKIQAHNQRNHTFKMALNQFSDMSFAEIKHKFLWSEPQNCSATKSNYLRGTGPYPSSMDW RKKGNVVSPVKNQGACGSCWTFSTTGALESAVAIASGKMLSLAEQQLVDCAQAFNNHGCK GGLPSQAFEYILYNKGIMEEDSYPYIGKDSSCRFNPQKAVAFVKNVVNITLNDEAAMVEA VALYNPVSFAFEVTEDFLMYKSGVYSSKSCHKTPDKVNHAVLAVGYGEQNGLLYWIVKNS WGSQWGENGYFLIERGKNMCGLAACASYPIPQV >ENSMUSP00000114427.1 pep:known chromosome:GRCm38:9:90054537:90064239:1 gene:ENSMUSG00000032359.14 transcript:ENSMUST00000143172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsh description:cathepsin H [Source:MGI Symbol;Acc:MGI:107285] MWAALPLLCAGAWLLSTGATAELTVNAIEKFHFKSWMKQGHLTSVYIHDTLEECWGDGEV SKKTPSVALNQFSDMSFAEIKHKFLWSEPQNCSATKSNYLRGTGPYPSSMDWRKKGNVV >ENSMUSP00000122108.1 pep:known chromosome:GRCm38:9:90054538:90076087:1 gene:ENSMUSG00000032359.14 transcript:ENSMUST00000123320.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ctsh description:cathepsin H [Source:MGI Symbol;Acc:MGI:107285] MWAALPLLCAGAWLLSTGATAELTVNAIEKFHFKSWMKQWH >ENSMUSP00000140437.1 pep:known chromosome:GRCm38:9:90054559:90075741:1 gene:ENSMUSG00000032359.14 transcript:ENSMUST00000185459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsh description:cathepsin H [Source:MGI Symbol;Acc:MGI:107285] ALPLLCAGAWLLSTGATAELTVNAIEKFHFKSWMKQHQKTYSSVEYNHRLQMFANNWRKI QAHNQRNHTFKMALNQFSDMSFAEIKHKFLWSEPQGACGSCWTFSTTGALESAVAIASGK MLSLAEQQLVDCAQAFNNHGCKGGLPSQAFEYILYNKGIMEEDSYPYIGKDSSCRFNPQK AVAFVKNVVNITLNDEAAMVEAVALYNPVSFAFEVTEDFLMYKSGVYSSKSCHKTPDKVN HAVLAVGYGEQNGLLYWIVKNSWGSQWGENGYFLIERGKNMCGLAACASYP >ENSMUSP00000028780.3 pep:known chromosome:GRCm38:2:119351229:119354381:1 gene:ENSMUSG00000027313.3 transcript:ENSMUST00000028780.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chac1 description:ChaC, cation transport regulator 1 [Source:MGI Symbol;Acc:MGI:1916315] MKQESASQSTPPPSLSPAPSSAQPSWGDGDPQALWIFGYGSLVWKPDFAYSDSRVGFVRG YSRRFWQGDTFHRGSDKMPGRVVTLLEDREGCTWGVAYQVRGEQVNEALKYLNVREAVLG GYDTKEVTFYPQDTPDQPLTALAYVATPQNPGYLGPAPEEVIATQILACRGFSGHNLEYL LRLADFMQLCGPQAQDEHLEAIVDAVGTLLPCSYLPEQPLALT >ENSMUSP00000027455.6 pep:known chromosome:GRCm38:1:87086694:87089911:-1 gene:ENSMUSG00000026246.12 transcript:ENSMUST00000027455.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alppl2 description:alkaline phosphatase, placental-like 2 [Source:MGI Symbol;Acc:MGI:108009] MWGACLLLLGLSLQVCPSVIPVEEENPAFWNRKAAEALDAAKKLKPIQTSAKNLVILMGD GMGVSTVTATRILKGQQQGHLGPETQLAMDRFPHMALSKTYNTDKQIPDSAGTGTAFLCG VKTNMKVIGLSAAARFNQCNTTWGNEVVSVMHRAKKAGKSVGVVTTTSVQHASPAGTYAH TVNRGWYSDAQMPASALQDGCKDISTQLISNMDIDVILGGGRKFMFPKGTPDQEYPTDTK QAGTRLDGRNLVQEWLAKHQGARYVWNRSELIQASLNRSVTHLMGLFEPNDMKYEIHRDP AQDPSLAEMTEVAVRMLSRNPKGFYLFVEGGRIDHGHHETVAYRALTEAVMFDSAVDKAD KLTSEQDTMILVTADHSHVFSFGGYTQRGASIFGLAPFKAEDGKSFTSILYGNGPGYKLH NGARADVTEEESSNPTYQQQAAVPLSSETHSGEDVAIFARGPQAHLVHGVQEQNYIAHVM AFAACLEPYTDCGLASPAGQSSAVSPGYMSTLLCLLAGKMLMLMAAAEP >ENSMUSP00000139887.1 pep:known chromosome:GRCm38:1:87086695:87089928:-1 gene:ENSMUSG00000026246.12 transcript:ENSMUST00000188310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alppl2 description:alkaline phosphatase, placental-like 2 [Source:MGI Symbol;Acc:MGI:108009] MWGACLLLLGLSLQVCPSVIPVEEENPAFWNRKAAEALDAAKKLKPIQTSAKNLVILMGD GMGVSTVTATRILKGQQQGHLGPETQLAMDRFPHMALSKTYNTDKQIPDSAGTGTAFLCG VKTNMKVIGLSAAARFNQCNTTWGNEVVSVMHRAKKAGKSVGVVTTTSVQHASPAGTYAH TVNRGWYSDAQMPASALQDGCKDISTQLISNMDIDVILGGGRKFMFPKGTPDQEYPTDTK QAGTRLDGRNLVQEWLAKHQGARYVWNRSELIQASLNRSVTHLMGLFEPNDMKYEIHRDP AQDPSLAEMTEVAVRMLSRNPKGFYLFVEGGRIDHGHHETVAYRALTEAVMFDSAVDKAD KLTSEQDTMILVTADHSHVFSFGGYTQRGASIFGLAPFKAEDGKSFTSILYGNGPGYKLH NGARADVTEEESSNPTYQQQAAVPLSSETHSGEDVAIFARGPQAHLVHGVQEQNYIAHVM AFAACLEPYTDCGLASPAGQSSAVSPGYMSTLLCLLAGKMLMLMAAAEP >ENSMUSP00000089629.5 pep:known chromosome:GRCm38:12:3426857:3506852:1 gene:ENSMUSG00000037486.17 transcript:ENSMUST00000092003.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asxl2 description:additional sex combs like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1922552] MREKGRRKKGRTWAEAAKTVLEKYPNTPMSHKEILQVIQREGLKEIRSGTSPLACLNAML HTNSRGEEGIFYKVPGRMGVYTLKKDVPDGVKELSEGSEESSDGQSDSQSSENSSSSDGG SNKEGRKSRWKRKVSSRLSHPPSPPSGCPSPTIPASKVISPSQKHSKKALKQALKQQQQK KKQQQCRPSMSISNQHLSLKTVKAASDSVPAKPGQMKRTKCADIDVETPDSILVNTNLRA LINKHTFSVLPGDCQQRLLLLLPEVDRQVGPDGLMKLNGSALNNEFFTSAAQGWKERLSE GEFTPEMQVRIRQEIEKEKKVELWKEQFFENYYGQSSGLSLEDSQKLTASSSDPKAKKTP AEQPKSILPSEASPVRIVPVVPQSECKEEAVQIPSPSQKEENQDEARPDSKSPEPVLASA SNTNELITMKPIKSPKDEGLLEQKPVACAEQESEKENHVTTTSRNNKSENQEALAISPSK SKNAGLQKPIIKPVAEASPLNPDMKMPPATVTDQIQESLKRKSSLTDEEATSSWEKRPRI TENRQHQQPFQVSPQPFLNRGDRVQVRKVPPLKVRKCLLYLLSFIIGGINLEPVVYV >ENSMUSP00000116048.2 pep:known chromosome:GRCm38:12:3426884:3496284:1 gene:ENSMUSG00000037486.17 transcript:ENSMUST00000144247.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asxl2 description:additional sex combs like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1922552] MREKGRRKKGRTWAEAAKTVLEKYPNTPMSHKEILQVIQREGLKEIRSGTSPLACLNAML HTNSRGEEGIFYKVPGRMGVYTLKKDVPDGVKELSEGSEESSDGQSDSQSSENSSSSDGG SNKEGRKSRWKRKVSSRLSHPPSPPSGCPSPTIPASKVISPSQKHSKKALKQALKQQQQK KKQQQCRPSMSISNQHLSLKTVKAASDSVPAKPALWEGKQSDGQSSSPQNSNSSFSSSVK VESSLLGLGKKSFQRSDRLHTRQMKRTKCADIDVETPDSILVNTNLRALINKHTFSVLPG DCQQRLLLLLPEVDRQVGPDGLMKLNGSALNNEFFTSAAQGWKERLSEGEFTPEMQVRIR QEIEKEKKVELWKEQFFENYYGQSSGLSLEDSQKLTASSSDPKA >ENSMUSP00000117384.2 pep:known chromosome:GRCm38:12:3426901:3502466:1 gene:ENSMUSG00000037486.17 transcript:ENSMUST00000153102.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asxl2 description:additional sex combs like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1922552] MREKGRRKKGRTWAEAAKTVLEKYPNTPMSHKEILQVIQREGLKEIRSGTSPLACLNAML HTNSRGEEGIFYKVPGRMGVYTLKKDVPDGVKELSEGSEESSDGQSDSQSSENSSSSDGG SNKEGRKSRWKRKVSSRLSHPPSPPSGCPSPTIPASKVISPSQKHSKKALKQALKQQQQK KKQQQCRPSMSISNQHLSLKTVKAASDSVPAKPGQMKRTKCADIDVETPDSILVNTNLRA LINKHTFSVLPGDCQQRLLLLLPEVDRQVGPDGLMKLNGSALNNEFFTSAAQGWKERLSE GEFTPEMQVRIRQEIEKEKKVELWKEQFFENYYGQSSGLSLEDSQKLTASSSDPKAKKTP AEQPKSILPSEASPVRIVPVVPQSECKEEAVQIPSPSQKEENQDEARPDSKSPEPVLASA SNTNELITMKPIKSPKDEGLLEQKPVACAEQESEKENHVTTTSRNNKSENQEALAISPSK SKNAGLQKPIIKPVAEASPLNPDMKMPPATVTDQIQESLKRKSSLTDEEATSSWEKRPRI TENRQHQQPFQVSPQPFLNRGDRVQVRKVPPLKIPVSRISPMLFSTSQVSPRARFPISIT SPYRTGARTLADIKAKAQLVKAQKAAAAAAAAAAAAASVGGTIPGPGPGGGQSPREGGER KIAGGGSAGSDPVSTNGKGPTLELAGTGSRGGTRELLPCGPQPETNMPGQAQPPGISGAQ LQQTSSVPTGLASSGACTSVPLPAHIEISNSEKPNLHKATATAASPCHLQDPRSCRLEKA LSPTGPPLISGASTVYFVADGTVEPKAGSNKNAPKPSALAKTTAPAPLDMTSSPVTTASL EKLPVPQISGTATSTGSAPSSSTLPAASSLKTPGTSANMNGPISRTSSSIPANNPLVTQL LQGKDVPLEQILPKPLTKIEMKTVPLTTKEEKGIGIFPGISVMESSSREEVNGRQAHLAI PQLGKPLQSKQLSQVPRPVFTAKDRKDPCIDTHQYREGLSKTTQDQLFQTLIQRAQRQSV LSFVPPSQFNFAHSGFHLEDISTSQKFMLGFAGRRTSKPAMAGHYLLNISTYGRGTENIK RTHSVNPDDRFCLSSPTEALRMGHADYKNTTGEISSKEDESDEDRVGDEQEPISVKEEPW ASQSSGRHPHHGEASSTNDCLASKNGKTEAPVSEQTTLGQENYIFSRGQASDEKSLPRDF IPAAHKQMTHAVRGKTVCSSPELFNSTALSLPADSPTHQPLLLPPLQTPKLYGSPTQIGP SYRGMINVSTSSDMDHNSAIPGSQVSSNVGDVMSFSVTVTTIPASQAMNPSSHGQTIPVQ TFPDDNSIEDTPSKCYCRLKAMIMCKGCGAFCHDDCIGPSKLCVSCLVVR >ENSMUSP00000133639.1 pep:known chromosome:GRCm38:12:3442506:3496409:1 gene:ENSMUSG00000037486.17 transcript:ENSMUST00000138740.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asxl2 description:additional sex combs like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1922552] XHKEILQVIQREGLKEIRSGTSPLACLNAMLHTNSRGEEGIFYKVPGRMGVYTLKILVEP TGQDVWLATNSIKAVLHFEGRNIKIWERCARWSERAVRRFRRKQ >ENSMUSP00000106846.3 pep:known chromosome:GRCm38:12:3426857:3506847:1 gene:ENSMUSG00000037486.17 transcript:ENSMUST00000111215.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asxl2 description:additional sex combs like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1922552] MREKGRRKKGRTWAEAAKTVLEKYPNTPMSHKEILQVIQREGLKEIRCGTSPLACLNAML HTNSRGEEGIFYKVPGRMGVYTLKKDVPDGVKELSEGSEESSDGQSDSQSSENSSSSDGG SNKEGRKSRWKRKVSSRLSHPPSPPSGCPSPTIPASKVISPSQKHSKKALKQALKQQQQK KKQQQCRPSMSISNQHLSLKTVKAASDSVPAKPGQMKRTKCADIDVETPDSILVNTNLRA LINKHTFSVLPGDCQQRLLLLLPEVDRQVGPDGLMKLNGSALNNEFFTSAAQGWKERLSE GEFTPEMQVRIRQEIEKEKKVELWKEQFFENYYGQSSGLSLEDSQKLTASSSDPKAKKTP AEQPKSILPSEASPVRIVPVVPQSECKEEAVQIPSPSQKEENQDEARPDSKSPEPVLASA SNTNELITMKPIKSPKDEGLLEQKPVACAEQESEKENHVTTTSRNNKSENQEALAISPSK SKNAGLQKPIIKPVAEASPLNPDMKMPPATVTDQIQESLKRKSSLTDEEATSSWEKRPRI TENRQHQQPFQVSPQPFLNRGDRVQVRKVPPLKIPVSRISPMLFSTSQVSPRARFPISIT SPYRTGARTLADIKAKAQLVKAQKAAAAAAAAAAAAASVGGTIPGPGPGGGQSPREGGER KIAGGGSAGSDPVSTNGKGPTLELAGTGSRGGTRELLPCGPQPETNMPGQAQPPGISGAQ LQQTSSVPTGLASSGACTSVPLPAHIEISNSEKPNLHKATATAASPCHLQDPRSCRLEKA LSPTGPPLISGASTVYFVADGTVEPKAGSNKNAPKPSALAKTTAPAPLDMTSSPVTTASL EKLPVPQISGTATSTGSAPSSSTLPAASSLKTPGTSANMNGPISRTSSSIPANNPLVTQL LQGKDVPLEQILPKPLTKIEMKTVPLTTKEEKGIGIFPGISVMESSSREEVNGRQAHLAI PQLGKPLQSKQLSQVPRPVFTAKDRKDPCIDTHQYREGLSKTTQDQLFQTLIQRAQRQSV LSFVPPSQFNFAHSGFHLEDISTSQKFMLGFAGRRTSKPAMAGHYLLNISTYGRGTENIK RTHSVNPDDRFCLSSPTEALRMGHADYKNTTGEISSKEDESDEDRVGDEQEPISVKEEPW ASQSSGRHPHHGEASSTNDCLASKNGKTEAPVSEQTTLGQENYIFSRGQASDEKSLPRDF IPAAHKQMTHAVRGKTVCSSPELFNSTALSLPADSPTHQPLLLPPLQTPKLYGSPTQIGP SYRGMINVSTSSDMDHNSAIPGSQVSSNVGDVMSFSVTVTTIPASQAMNPSSHGQTIPVQ TFPDDNSIEDTPSKCYCRLKAMIMCKGCGAFCHDDCIGPSKLCVSCLVVR >ENSMUSP00000134028.1 pep:known chromosome:GRCm38:12:112678828:112682747:1 gene:ENSMUSG00000037638.5 transcript:ENSMUST00000174780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb42 description:zinc finger and BTB domain containing 42 [Source:MGI Symbol;Acc:MGI:3644133] MYEGRLDLHNLPVEDVLAAASYLHMYDIVKVCKGRLRKKDPDLETRCRAVKWGPGPVTEA >ENSMUSP00000133152.1 pep:known chromosome:GRCm38:12:112678840:112682747:1 gene:ENSMUSG00000037638.5 transcript:ENSMUST00000169593.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb42 description:zinc finger and BTB domain containing 42 [Source:MGI Symbol;Acc:MGI:3644133] MEFPEHGVRLLGRLRQQRELGFLCDCTVLVGDARFPAHRAVLAACSVYFHLFYRDQPASS RDTVRLNGDIVTVPAFSRLLDFMYEGRLDLHNLPVEDVLAAASYLHMYDIVKVCKGRLRK KDPDLETRTLGTELPGQPPHPLPSWSPAFCQAAPKAKHPSLGVKATHPLPTFGPPSWQVA EQSSGALDLSLKPSPRPEQVHPPCRLQTSLCSSVQQVAQPLVKAEQDSFSEQDSSSPQSA DRSPPPVCASAAQGLAVDLEPLHIEGTGSQQLGLPAEPVLDSEELGPSRHLCICPLCCKL FPSTHALQLHLSAHFRERDSVRARLSPEGSVPTCPLCSKTFSCTYTLKRHERTHSGEKPY TCVQCGKSFQYSHNLSRHAVVHTREKPHACRWCERRFTQSGDLYRHVRKFHYGLVKPLLV >ENSMUSP00000133987.1 pep:known chromosome:GRCm38:12:112678878:112679970:1 gene:ENSMUSG00000037638.5 transcript:ENSMUST00000173942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb42 description:zinc finger and BTB domain containing 42 [Source:MGI Symbol;Acc:MGI:3644133] MYEGRLDLHNLPVEDVLAAASYLHMYDIVKVCKGRLRKKDPDLETRTLGTELPGQPPHPL PSWSPAFCQAAPKAKHPSLGVKATHPLPTFGPPSWQVAEQSSGALDLSLKP >ENSMUSP00000118006.1 pep:known chromosome:GRCm38:9:100982032:101034877:-1 gene:ENSMUSG00000032527.13 transcript:ENSMUST00000149322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pccb description:propionyl Coenzyme A carboxylase, beta polypeptide [Source:MGI Symbol;Acc:MGI:1914154] MAAAIRIRAVAAGARLSVLNCGLGITTRGLCSQPVSVKERIDNKRHAALLGGGQRRIDAQ HKRGKLTARERISLLLDPGSFMESDMFVEHRCADFGMAADKNKFPGDSVVTGRGRINGRL VYVFSQDFTVFGGSLSGAHAQKICKIMDQAITVGAPVIGLNDSGGARIQEGVESLAGYAD IFLDTSYLFITGPEVVKSVTNEDVTQEQLGGAKTHTTVSGVAHRAFDNDVDALCNLREFF NFLPLSSQDPAPIRECHDPSDRLVPELDTVVPLESSKAYNMLDIIHAVIDEREFFEIMPS YAKNIVVGFARMNGRTVGIVGNQPNVASGCLDINSSVKGARFVRFCDAFNIPLITFVDVP GFLPGTAQEYGGIIRHGAKLLYAFAEATVPKITVITRKAYGGAYDVMSSKHLLGDTNYAW PTAEIAVMGAKGAVEIIFKGHQDVEAAQAEYVEKFANPFPAAVRGFVDDIIQPSSTRARI CCDLEVLASKKVHRPWRKHANIPL >ENSMUSP00000035116.4 pep:known chromosome:GRCm38:9:100982032:101034898:-1 gene:ENSMUSG00000032527.13 transcript:ENSMUST00000035116.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pccb description:propionyl Coenzyme A carboxylase, beta polypeptide [Source:MGI Symbol;Acc:MGI:1914154] MAAAIRIRAVAAGARLSVLNCGLGITTRGLCSQPVSVKERIDNKRHAALLGGGQRRIDAQ HKRGKLTARERISLLLDPGSFMESDMFVEHRCADFGMAADKNKFPGDSVVTGRGRINGRL VYVFSQDFTVFGGSLSGAHAQKICKIMDQAITVGAPVIGLNDSGGARIQEGVESLAGYAD IFLRNVTASGVIPQISLIMGPCAGGAVYSPALTDFTFMVKDTSYLFITGPEVVKSVTNED VTQEQLGGAKTHTTVSGVAHRAFDNDVDALCNLREFFNFLPLSSQDPAPIRECHDPSDRL VPELDTVVPLESSKAYNMLDIIHAVIDEREFFEIMPSYAKNIVVGFARMNGRTVGIVGNQ PNVASGCLDINSSVKGARFVRFCDAFNIPLITFVDVPGFLPGTAQEYGGIIRHGAKLLYA FAEATVPKITVITRKAYGGAYDVMSSKHLLGDTNYAWPTAEIAVMGAKGAVEIIFKGHQD VEAAQAEYVEKFANPFPAAVRGFVDDIIQPSSTRARICCDLEVLASKKVHRPWRKHANIP L >ENSMUSP00000123421.1 pep:known chromosome:GRCm38:9:100995562:101034867:-1 gene:ENSMUSG00000032527.13 transcript:ENSMUST00000142676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pccb description:propionyl Coenzyme A carboxylase, beta polypeptide [Source:MGI Symbol;Acc:MGI:1914154] MAAAIRIRAVAAGARLSVLNCGLGITTRGLCSQPVSVKERIDNKRHAALLGGGQRRIDAQ HKRFPGDSVVTGRGRINGRLVYVFSQDFTVFGGSLSGAHAQKICKIMDQAITVGAPVIGL NDSGGARIQEGVESLAGYADIFLRNVTASGVIPQISLIMGPCAGGAVYSPALTDFTFMVK DTSYLFITGPEVVKSVTNEDVTQEQLGGAKTHTTVSGVAHRAFDNDVDALCNLREFFNFL PLSSQDPAPIRECHDP >ENSMUSP00000140354.1 pep:known chromosome:GRCm38:9:101023219:101034881:-1 gene:ENSMUSG00000032527.13 transcript:ENSMUST00000189498.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pccb description:propionyl Coenzyme A carboxylase, beta polypeptide [Source:MGI Symbol;Acc:MGI:1914154] MAAAIRIRAVAAGARLSVLNCGLGITTRGLCSQPVSVKERIDNKRHAALLGGGQRRIDAQ HKRGKLTARERISLLLDPGSFMESDMFVEHRCADFGMAADKNKDFTVFGGSLSGAHAQKI CKIMDQAITVGA >ENSMUSP00000000127.5 pep:known chromosome:GRCm38:11:103774150:103817957:1 gene:ENSMUSG00000000125.5 transcript:ENSMUST00000000127.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt3 description:wingless-type MMTV integration site family, member 3 [Source:MGI Symbol;Acc:MGI:98955] MEPHLLGLLLGLLLSGTRVLAGYPIWWSLALGQQYTSLASQPLLCGSIPGLVPKQLRFCR NYIEIMPSVAEGVKLGIQECQHQFRGRRWNCTTIDDSLAIFGPVLDKATRESAFVHAIAS AGVAFAVTRSCAEGTSTICGCDSHHKGPPGEGWKWGGCSEDADFGVLVSREFADARENRP DARSAMNKHNNEAGRTTILDHMHLKCKCHGLSGSCEVKTCWWAQPDFRAIGDFLKDKYDS ASEMVVEKHRESRGWVETLRAKYALFKPPTERDLVYYENSPNFCEPNPETGSFGTRDRTC NVTSHGIDGCDLLCCGRGHNTRTEKRKEKCHCVFHWCCYVSCQECIRIYDVHTCK >ENSMUSP00000121032.1 pep:known chromosome:GRCm38:9:46012820:46224194:1 gene:ENSMUSG00000034135.14 transcript:ENSMUST00000126865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sik3 description:SIK family kinase 3 [Source:MGI Symbol;Acc:MGI:2446296] MAAAAASGAGGVAVAGAGGAGPAGRLLPPPAAGPPAAPAAVPPAAVPARPTAPASRGSMA ARIGYYEIDRTIGKGNFAVVKRATHLVTKAKVAIKIIDKSQLDEENLKKIFREVQIMKML CHPHIIRLYQVMETERMIYLVTEYASGGEIFDHLVAHGRMAEKEARRKFKQIVTAVYFCH CRNIVHRDLKAENLLLDANLNIKIADFGFSNLFTPGQLLKTWCGSPPYAAPELFEGKEYD GPKVDIWSLGVVLYVLVCGALPFDGSTLQNLRARVLSGKFRIPFFMSTECEHLIRHMLVL DPNKRLSMEQICRHKWMKLGDADPNFDRLIAECQQLKEERQSDPLNDDVLLAMEDMGLDK ERTLQSLRSDAYDHYSAIYSLLCDRHKKHKTLRPGALPSMPQAMTFQAPVNLQAEQTGTA MNLSVPQVQLINPENQIIEPDGAVNLDSDEGEEPSPEALVRYLSMRRHTVGVADPRTEVM EDLQKLLPGFPGVNPQGPFLQVAPNMNFTHNLLPMQSLQPTGQLEYKEQSLLQPPTLQLL NGMGPLGRRASDGGANIQLHAQQLLKRPRGPSPLVTMTPAVPAVTPVDEESSDGEPDQEA VQRYLANRSKRHTLAMTSPTAEIPPDLQRQLGQQSFRSRVWPPHLVPDQHRSTYKDSNTL HLPTERFSPVRRFSDGAASIQAFKAHLEKMGNSSSIKQLQQECEQLQKMYGGQVDERTLE KTQQQHMLYQQEQHHQILQQQIQDSICPPQPSPPLQVACENQPALLTHQLQRLRIQPSSP PPNHPSNHLFRQPSNSPPPVSSAMITSHGATSPSQFQGLPSHGAIFQQQPENCSPPPSVA LTCLGLQQASQSQPVTIQLQEPVDMLSNMAGTAAGSAGRSIPISPSASQIQIQHRASLMA PFSYGHRPLSKQLSADSAEAHSLNMNRFSPANYDQAHLHPHLFSDQSRGSPSSYSPSTGV GFPPTQALKVPPLDQFPTFPPSAQQQPPHYTTSALQQALLSPTPPDYPRHQQVPHILQGL LSPRHSLTGHSDIRLPPAEFAQLIKRQQQHRQQQQQQQQQQEYHELFRHMNQGDAVSLAP SLGGQNMTEQQALSYQNADSYHRHHTSPQHILQIRAQDCISQGPSPTPTHGYAHQPPLMH SESMEEDCLCEGLKEGFPDKSSSTLTKGCHNSPLLLCTSGPGDPEPLLGTVSQARELGIH PYGHQPTATTFSRNKVPSRESVLGNCLERSSPGQAMELPDHNGLGYPVRPLVSEHLRSRT LQRHHTIQNSDDAYVQLDTLPGMSLVAGKALSSARMSDAVLSQSSLMGSQQFQDEEDEEC GVSLGHEHPGLGDGSQHLNSSRYPATCVTDIMLSHKHPEVSFSMEQAGV >ENSMUSP00000115981.1 pep:known chromosome:GRCm38:9:46123237:46202885:1 gene:ENSMUSG00000034135.14 transcript:ENSMUST00000122865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sik3 description:SIK family kinase 3 [Source:MGI Symbol;Acc:MGI:2446296] XKSQLDEENLKKIFREVQIMKMLCHPHIIRLYQVMETERMIYLVTEYASGGEIFDHLVAH GRMAEKEARRKFKQIVTAVYFCHCRNIVHRDLKAENLLLDANLNIKIADFGFSNLFTPGQ LLKTWCGSPPYAAPELFEGKEYDGPKVDIWSLGVVLYVLVCGALPFDGSTLQNLRARVLS GKFRIPFFMSTECEHLIRHMLVLDPNKRLSMEQICRHKWMKLGDADPNFDRLIAECQQLK EERQSDPLNDDVLLAMEDMGLDKERTLQSLRSDAYDHYSAIYSLLCDRHKKHKTLRPGAL PSMPQAMTFQAPVNLQAEQTGTAMNLSVPQVQLINPENQIIEPDGAVNLDSDEGEEPSPE ALVRYLSMRRHTVGVADPRTEVMEDLQKLLPGFPGVNPQGPFLQVAPNMNFTHNLLPMQS LQPTGQLEYKEQSLLQPPTLQLLNGMGPLGRRASDGGANIQLHAQQLLKRPRGPSPLVTM TPAVPAVTPVDEESSDGEPDQEAVQR >ENSMUSP00000112859.1 pep:known chromosome:GRCm38:9:46013000:46221234:1 gene:ENSMUSG00000034135.14 transcript:ENSMUST00000120247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sik3 description:SIK family kinase 3 [Source:MGI Symbol;Acc:MGI:2446296] XRPTAPASRGSMAARIGYYEIDRTIGKGNFAVVKRATHLVTKAKVAIKIIDKSQLDEENL KKIFREVQIMKMLCHPHIIRLYQVMETERMIYLVTEYASGGEIFDHLVAHGRMAEKEARR KFKQIVTAVYFCHCRNIVHRDLKAENLLLDANLNIKIADFGFSNLFTPGQLLKTWCGSPP YAAPELFEGKEYDGPKVDIWSLGVVLYVLVCGALPFDGSTLQNLRARVLSGKFRIPFFMS TECEHLIRHMLVLDPNKRLSMEQICRHKWMKLGDADPNFDRLIAECQQLKEERQSDPLND DVLLAMEDMGLDKERTLQAEQTGTAMNLSVPQVQLINPENQIIEPDGAVNLDSDEGEEPS PEALVRYLSMRRHTVGVADPRTEVMEDLQKLLPGFPGVNPQGPFLQVAPNMNFTHNLLPM QSLQPTGQLEYKEQSLLQPPTLQLLNGMGPLGRRASDGGANIQLHAQQLLKRPRGPSPLV TMTPAVPAVTPVDEESSDGEPDQEAVQRYLANRSKRHTLAMTSPTAEIPPDLQRQLGQQS FRSRVWPPHLVPDQHRSTYKDSNTLHLPTERFSPVRRFSDGAASIQAFKAHLEKMGNSSS IKQLQQECEQLQKMYGGQVDERTLEKTQQQHMLYQQEQHHQILQQQIQDSICPPQPSPPL QVACENQPALLTHQLQRLRIQPSSPPPNHPSNHLFRQPSNSPPPVSSAMITSHGATSPSQ FQGLPSHGAIFQQQPENCSPPPSVALTCLGLQQASQSQPVTIQLQEPVDMLSNMAGTAAG SAGRSIPISPSASQIQIQHRASLMAPFSYGHRPLSKQLSADSAEAHSAQQQPPHYTTSAL QQALLSPTPPDYPRHQQVPHILQGLLSPRHSLTGHSDIRLPPAEFAQLIKRQQQHRQQQQ QQQQQQEYHELFRHMNQGDAVSLAPSLGGQNMTEQQALSYQNADSYHRHHTSPQHILQIR AQDCISQGPSPTPTHGYAHQPPLMHSESMEEDCLCEGLKEGFPDKSSSTLTKGCHNSPLL LCTSGPGDPEPLLGTVSQARELGIHPYGHQPTATTFSRNKVPSRESVLGNCLERSSPGQA MELPDHNGLGYPVRPLVSEHLRSRTLQRHHTIQNSDDAYVQLDTLPGMSLVAGKALSSAR MSDAVLSQSSLMGSQQFQDEEDEECGVSLGHEHPGLGDGSQHLNSSRYPATCVTDIMLSH KHPEVSFSMEQAGV >ENSMUSP00000112749.2 pep:known chromosome:GRCm38:9:46012864:46222275:1 gene:ENSMUSG00000034135.14 transcript:ENSMUST00000120463.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sik3 description:SIK family kinase 3 [Source:MGI Symbol;Acc:MGI:2446296] AAAASGAGGVAVAGAGGAGPAGRLLPPPAAGPPAAPAAVPPAAVPARPTAPASRGSMAAR IGYYEIDRTIGKGNFAVVKRATHLVTKAKVAIKIIDKSQLDEENLKKIFREVQIMKMLCH PHIIRLYQVMETERMIYLVTEYASGGEIFDHLVAHGRMAEKEARRKFKQIVTAVYFCHCR NIVHRDLKAENLLLDANLNIKIADFGFSNLFTPGQLLKTWCGSPPYAAPELFEGKEYDGP KVDIWSLGVVLYVLVCGALPFDGSTLQNLRARVLSGKFRIPFFMSTECEHLIRHMLVLDP NKRLSMEQICRHKWMKLGDADPNFDRLIAECQQLKEERQSDPLNDDVLLAMEDMGLDKER TLQSLRSDAYDHYSAIYSLLCDRHKKHKTLRPGALPSMPQAMTFQAPVNLQAEQTGTAMN LSVPQVQLINPENQIIEPDGAVNLDSDEGEEPSPEALVRYLSMRRHTVGVADPRTEVMED LQKLLPGFPGVNPQGPFLQVAPNMNFTHNLLPMQSLQPTGQLEYKEQSLLQPPTLQLLNG MGPLGRRASDGGANIQLHAQQLLKRPRGPSPLVTMTPAVPAVTPVDEESSDGEPDQEAVQ SSTYKDSNTLHLPTERFSPVRRFSDGAASIQAFKAHLEKMGNSSSIKQLQQECEQLQKMY GGQVDERTLEKTQQQHMLYQQEQHHQILQQQIQDSICPPQPSPPLQVACENQPALLTHQL QRLRIQPSSPPPNHPSNHLFRQPSNSPPPVSSAMITSHGATSPSQFQGLPSHGAIFQQQP ENCSPPPSVALTCLGLQQASQSQPVTIQLQEPVDMLSNMAGTAAGSAGRSIPISPSASQI QIQHRASLMAPFSYGHRPLSKQLSADSAEAHSLNMNRFSPANYDQAHLHPHLFSDQSRGS PSSYSPSTGVGFPPTQALKVPPLDQFPTFPPSAQQQPPHYTTSALQQALLSPTPPDYPRH QQVPHILQGLLSPRHSLTGHSDIRLPPAEFAQLIKRQQQHRQQQQQQQQQQEYHELFRHM NQGDAVSLAPSLGGQNMTEQQALSYQNADSYHRHHTSPQHILQIRAQDCISQGPSPTPTH GYAHQPPLMHSESMEEDCLCEGLKEGFPDKSSSTLTKGCHNSPLLLCTSGPGDPEPLLGT VSQARELGIHPYGHQPTATTFSRNKVPSRESVLGNCLERSSPGQAMELPDHNGLGYPVRP LVSEHLRSRTLQRHHTIQNSDDAYVQLDTLPGMSLVAGKALSSARMSDAVLSQSSLMGSQ QFQDEEDEECGVSLGHEHPGLGDGSQHLNSSRYPATCVTDIMLSHKHPEVSFSMEQAGV >ENSMUSP00000115805.1 pep:known chromosome:GRCm38:9:46219571:46221234:1 gene:ENSMUSG00000034135.14 transcript:ENSMUST00000138338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sik3 description:SIK family kinase 3 [Source:MGI Symbol;Acc:MGI:2446296] XNSDDAYVQLDTLPGMSLVAGKALSSARMSDAVLSQSSLMGSQQFQDEEDEDIMLSHKHP EVSFSMEQAGV >ENSMUSP00000013338.8 pep:known chromosome:GRCm38:9:108602942:108649380:-1 gene:ENSMUSG00000064145.12 transcript:ENSMUST00000013338.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arih2 description:ariadne RBR E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:1344361] MSVDMNSQGSDSNEEDYDPNCEEEEEEEEDPGDIEDYYVGVASDVEQQGADAFDPEEYQF TCLTYKESEGALHEHMTSLASVLKVSHSVAKLILVNFHWQVSEILDRYRSNSAQLLVEAR VQPNPSKHVPTAHPPHHCAVCMQFVRKENLLSLACQHQFCRSCWEQHCSVLVKDGVGVGI SCMAQDCPLRTPEDFVFPLLPNEELRDKYRRYLFRDYVESHFQLQLCPGADCPMVIRVQE PRARRVQCNRCSEVFCFKCRQMYHAPTDCATIRKWLTKCADDSETANYISAHTKDCPKCN ICIEKNGGCNHMQCSKCKHDFCWMCLGDWKTHGSEYYECSRYKENPDIVNQSQQAQAREA LKKYLFYFERWENHNKSLQLEAQTYERIHEKIQERVMNNLGTWIDWQYLQNAAKLLAKCR YTLQYTYPYAYYMESGPRKKLFEYQQAQLEAEIENLSWKVERADSYDRGDLENQMHIAEQ RRRTLLKDFHDT >ENSMUSP00000141914.1 pep:known chromosome:GRCm38:9:108604686:108649385:-1 gene:ENSMUSG00000064145.12 transcript:ENSMUST00000193190.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arih2 description:ariadne RBR E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:1344361] MSVDMNSQGSDSNEEDYDPNCEEEEEEEEDPGDIEDYYVGVASDVEQQGADAFDPEEYQF TCLTYKESEGALHEHMTSLASVLKVSHSVAKLILVNFHWQVSEILDRYRSNSAQLLVEAR VQPNPSKHVPTAHPPHHCAVCMQFVRKENLLSLACQHQFCRSCWEQHCSVLVKDGVGVGI SCMAQDCPLRTPEDFVFPLLPNEELRDKYRRYLFRDYVESHFQLQLCPGADCPMVIRVQE PRARRVQCNRCSEVFCFKCRQMYHAPTDCATIRKWLTKCADDSETANYISAHTKDCPKCN ICIEKNGGCNHMTSAGCV >ENSMUSP00000141364.1 pep:known chromosome:GRCm38:9:108604808:108608683:-1 gene:ENSMUSG00000064145.12 transcript:ENSMUST00000194073.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arih2 description:ariadne RBR E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:1344361] XRILTLSTRASKHRPGRPSRSTCSTLRGGKITTKACSWRHKHMSGFTRRFRRGL >ENSMUSP00000141911.1 pep:known chromosome:GRCm38:9:108609896:108649386:-1 gene:ENSMUSG00000064145.12 transcript:ENSMUST00000193197.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arih2 description:ariadne RBR E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:1344361] MVIRVQEPRARRVQCNRCSEVFCFKCRQMYHAPTDCATIRKWLTKCADDSETANYISAHT KDCPKCNICIEKNGGCNHM >ENSMUSP00000142102.1 pep:known chromosome:GRCm38:9:108609980:108644100:-1 gene:ENSMUSG00000064145.12 transcript:ENSMUST00000193552.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arih2 description:ariadne RBR E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:1344361] FTCLTYKESEGALHEHMTSLASVLKAVDAHCHRLRPPYGTGISFSCKTYIS >ENSMUSP00000141369.1 pep:known chromosome:GRCm38:9:108616785:108649342:-1 gene:ENSMUSG00000064145.12 transcript:ENSMUST00000193643.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arih2 description:ariadne RBR E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:1344361] MSVDMNSQGSDSNEEDYDPNCEEEEEEEEDPGDIEDYYVGVASDVEQQGADAFDPEEYQF TCLTYKESEGALHEHMTSLASVLKAVDAHCHRLRPPYGTGISFSCKTYIS >ENSMUSP00000115856.1 pep:known chromosome:GRCm38:4:141239499:141246412:1 gene:ENSMUSG00000028919.11 transcript:ENSMUST00000141834.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef19 description:Rho guanine nucleotide exchange factor (GEF) 19 [Source:MGI Symbol;Acc:MGI:1925912] MDCGPPATLQPHLAGPPGTARRPVAVCQQESLSFVELPPSRLCVDLFPIAPEELQAPGSR WSLATPAPL >ENSMUSP00000116274.1 pep:known chromosome:GRCm38:4:141242855:141246356:1 gene:ENSMUSG00000028919.11 transcript:ENSMUST00000138096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef19 description:Rho guanine nucleotide exchange factor (GEF) 19 [Source:MGI Symbol;Acc:MGI:1925912] MDCGPPATLQPHLAGPPGTARRPVAVCQQESLSFVELPPSRLCVDLFPIAP >ENSMUSP00000006618.2 pep:known chromosome:GRCm38:4:141242869:141257564:1 gene:ENSMUSG00000028919.11 transcript:ENSMUST00000006618.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef19 description:Rho guanine nucleotide exchange factor (GEF) 19 [Source:MGI Symbol;Acc:MGI:1925912] MDCGPPATLQPHLAGPPGTARRPVAVCQQESLSFVELPPSRLCVDLFPIAPEELQAPGSR WSLATPAPLQGLLWPTSPGGPDTHIASTSGGMRPGRAGSWPHCPGAQPPTLVEPWSSQHM QPQRRASHSGSEKSAWRKMQVYHNEEATGTETPTGLLETGQAAQEQALCALEPGPQELSG NARGGLEAPERRRFSASELMTRLHSSLRLGRSTATRVLTSGSGAGAIREGKLPVRESRRA ETRADSVSLPGPVDLNEVHGGLVEPRLDTQDKPTQDPSSATERRQSRFLLNSVLYQEYSD VASARELRRQQREEEGPGDGAEGAEEGPGPPRANLSPSSSFRAQRSTRGSTFSLWQDIPD VRGSGVLATLSLRDCKLQEAKFELITSEASYIHSLSVAVGHFLGSVELSECLGTQDKQWL FSKLPEVKSTSERFLHDLEQRLEADVLRFSVCDVVLHHCPAFRRVYLPYVTNQAYQERTY QRLLLENPKFPGILARLEESPVCQRLPLTSFLILPFQRVTRLKMLVENILKRTAPGSQDE DMATKAFSALKELVQECNASVQSMKRTEELIHLSKKIHFEGKIFPLISQARWLVRHGELV ELAPLPAAPPAKLKLSSKAVYLHLFNDCLLLSRRKELGKFAVFVHANMAELQVRDLSLKL QGIPGHVFLLRLLHGQRARHQLLLRARTESEKQRWISALRPSSPQEDKEITCDGEDRPQV QCVRTYKALQPDELTLEKTDILAVKTRTSDGWLEGVRLADGEKGWVPQAHVVEISSLSAR LRNLREYKRVSNASSKLGDPPA >ENSMUSP00000118740.1 pep:known chromosome:GRCm38:4:141242893:141247001:1 gene:ENSMUSG00000028919.11 transcript:ENSMUST00000125392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef19 description:Rho guanine nucleotide exchange factor (GEF) 19 [Source:MGI Symbol;Acc:MGI:1925912] MDCGPPATLQPHLAGPPGTARRPVAVCQQESLSFVELPPSRLCVDLFPIAPEELQAPGSR WSLATPAPLQGLLWPTSPGGPDTHIASTSGGMRPGRAGSWPHCPGAQPPTLVEPWSSQHM QPQRRASHSGSEKSAWRKMQVYHNEEATGTETP >ENSMUSP00000119846.2 pep:known chromosome:GRCm38:4:141245425:141247444:1 gene:ENSMUSG00000028919.11 transcript:ENSMUST00000135623.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef19 description:Rho guanine nucleotide exchange factor (GEF) 19 [Source:MGI Symbol;Acc:MGI:1925912] MDCGPPATLQPHLAGPPGTARRPVAVCQQESLSFVELPPSRLCVDLFPIAPEELQAPGSR WSLATPAPLQGLLWPTSPGGPDTHIASTSGGMRPGRAGSWPHCPGAQPPTLVEPWSSQHM QPQRRASHSGSEKSAWRKMQVYHNEEATGTETPTGLLETGQAAQEQALCALEPGPQELSG NARGGLEAPERRRFSASELMTRLHSSLRLGRSTATRVLTSGSGAGAIREGKLPVRESRRA ETRADSVSLPGP >ENSMUSP00000120088.1 pep:known chromosome:GRCm38:4:141246396:141248109:1 gene:ENSMUSG00000028919.11 transcript:ENSMUST00000147903.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef19 description:Rho guanine nucleotide exchange factor (GEF) 19 [Source:MGI Symbol;Acc:MGI:1925912] TPAPLQGLLWPTSPGGPDTHIASTSGGMRPGRAGSWPHCPGAQPPTLVEPWSSQHMQPQR RASHSGSEKSAWKLPVRESRRAETRADSVSLPGPVDLNEVHGGLVEPRLDTQDKPTQDPS SATERRQSRFLLNSVLYQEYSDVASARELRRQQREEEGPGDGAEGAEEGPGPPRANLSPS SSFRAQRSTRGSTFSLWQDIPDVRGSGVLATLSLRDCKLQE >ENSMUSP00000071368.4 pep:known chromosome:GRCm38:5:145029600:145032754:-1 gene:ENSMUSG00000061707.5 transcript:ENSMUST00000071421.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4871 description:predicted gene 4871 [Source:MGI Symbol;Acc:MGI:3648713] MFSCFQISRGLGQKKPKRGDLGHFWRRLIRPLTHFWHASHRNPKEPDFPYNLPKFTYNSF EFVEQMIAYIPAAVHYHDQLSISLFLAVYHRYCSTWEVLDLLMKTYPSFQPDCEQDQLTK SAIFNFLAHWLDTFPEHFFDSPNLAVMRQLMDYAGRHMPSAEFDKESRELLSRLEEQEAK KLKLEKDCAATAEQDASRMQENLALRLASVAEPQGDLQPQVDTELLEQSVVEPFVPEPGP VQLPTFDQALPTSTYTQSPEDVAVDEAADMVADETADEATHEAVDVSPAKQLFLSYTVQL GTPDFIFSLPKVDI >ENSMUSP00000031624.3 pep:known chromosome:GRCm38:5:145042990:145045681:1 gene:ENSMUSG00000029620.4 transcript:ENSMUST00000031624.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700018F24Rik description:RIKEN cDNA 1700018F24 gene [Source:MGI Symbol;Acc:MGI:1916646] MFSCFQISRGLGQKKPKRGDLGHFWRRLIRPLTHFWHASDRNPKEPDFPYNLPKFTYNSF EFVEQMIAYIPAAVHYHDQLSISLFLAVYHRYCSTWEVLDLLMKTYPSFQPDCKQDQLTK SAIFSFLAHWLDTFPEHFFDSPNLAVMRQLMDYAGRHMPSAEFDKESRELLSRLEEQEAK KLKLEKDCAATAEQDASRMQENLALRLASVAEPQGDLQPQVDTELLEQSVVEPFVPEPGP VQLPTFDQALPTSTYTQSPEDVAVDEAADMVADEAADEAADEAGDVSPARQLFLSYTVQL GTPDFTFSLSKVDI >ENSMUSP00000097969.2 pep:known chromosome:GRCm38:11:101999652:102026094:-1 gene:ENSMUSG00000052373.14 transcript:ENSMUST00000100400.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp3 description:membrane protein, palmitoylated 3 (MAGUK p55 subfamily member 3) [Source:MGI Symbol;Acc:MGI:1328354] MPVLSEDSGLHETLALLTSQLRPDSNHREEMGFLRDVFSEKSLSYLMKIHEKLRYYERQS PTPVLHSAMALAEDVMEELQAASVHSDERELLQLLSTPHLRAVLMVHDTVAQKNFDPVLP PLPDNIDEDFEEESVKIVRLVKNKEPLGATIRRDEHSGAVVVARIMRGGAADRSGLVHVG DELREVNGIAVLHKRPDEISQILAQSQGSITLKIIPATQEEDRFKDSKVFMRALFHYDPR EDRAIPCQEAGLPFQRRQVLEVVSQDDPTWWQAKRVGDTNLRAGLIPSKQFQERRLSYRR TTGTLPSPQNFKKPPYDQPCDKETCDCDGYFKGHYVAGLRRSFRLGCRERLGGSQEAKVP TGAESQVLLTYEEVARYQHQPGERPRLVVLIGSLGAHLHELKQRVVAEDPQQFAVAVPHT TRPRKSHERDGVEYHFVSKQAFEADVHHNKFLEHGEYKENLYGTSLEAIQAVMAKNKVCL VDVEPEALRHLRTPEFKPYVIFVKPAIQERRKTPPVSPDSEDIASSLDEQQQEMAASAAF IDQHYGHLIDTVLVRQDLQEACSQLRAVIETLSKDTSWVPISWVR >ENSMUSP00000055469.4 pep:known chromosome:GRCm38:11:101999652:102026955:-1 gene:ENSMUSG00000052373.14 transcript:ENSMUST00000062801.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp3 description:membrane protein, palmitoylated 3 (MAGUK p55 subfamily member 3) [Source:MGI Symbol;Acc:MGI:1328354] MPVLSEDSGLHETLALLTSQLRPDSNHREEMGFLRDVFSEKSLSYLMKIHEKLRYYERQS PTPVLHSAMALAEDVMEELQAASVHSDERELLQLLSTPHLRAVLMVHDTVAQKNFDPVLP PLPDNIDEDFEEESVKIVRLVKNKEPLGATIRRDEHSGAVVVARIMRGGAADRSGLVHVG DELREVNGIAVLHKRPDEISQILAQSQGSITLKIIPATQEEDRFKDSKVFMRALFHYDPR EDRAIPCQEAGLPFQRRQVLEVVSQDDPTWWQAKRVGDTNLRAGLIPSKQFQERRLSYRR TTGTLPSPQNFKKPPYDQPCDKETCDCDGYFKGHYVAGLRRSFRLGCRERLGGSQEAKVP TGAESQVLLTYEEVARYQHQPGERPRLVVLIGSLGAHLHELKQRVVAEDPQQFAVAVPHT TRPRKSHERDGVEYHFVSKQAFEADVHHNKFLEHGEYKENLYGTSLEAIQAVMAKNKVCL VDVEPEALRHLRTPEFKPYVIFVKPAIQERRKTPPVSPDSEDIASSLDEQQQEMAASAAF IDQHYGHLIDTVLVRQDLQEACSQLRAVIETLSKDTSWVPISWVR >ENSMUSP00000102786.1 pep:known chromosome:GRCm38:11:101999652:102028461:-1 gene:ENSMUSG00000052373.14 transcript:ENSMUST00000107168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp3 description:membrane protein, palmitoylated 3 (MAGUK p55 subfamily member 3) [Source:MGI Symbol;Acc:MGI:1328354] MPVLSEDSGLHETLALLTSQLRPDSNHREEMGFLRDVFSEKSLSYLMKIHEKLRYYERQS PTPVLHSAMALAEDVMEELQAASVHSDERELLQLLSTPHLRAVLMVHDTVAQKNFDPVLP PLPDNIDEDFEEESVKIVRLVKNKEPLGATIRRDEHSGAVVVARIMRGGAADRSGLVHVG DELREVNGIAVLHKRPDEISQILAQSQGSITLKIIPATQEEDRFKDSKVFMRALFHYDPR EDRAIPCQEAGLPFQRRQVLEVVSQDDPTWWQAKRVGDTNLRAGLIPSKQFQERRLSYRR TTGTLPSPQNFKKPPYDQPCDKETCDCDGYFKGHYVAGLRRSFRLGCRERLGGSQEAKVP TGAESQVLLTYEEVARYQHQPGERPRLVVLIGSLGAHLHELKQRVVAEDPQQFAVAVPHT TRPRKSHERDGVEYHFVSKQAFEADVHHNKFLEHGEYKENLYGTSLEAIQAVMAKNKVCL VDVEPEALRHLRTPEFKPYVIFVKPAIQERRKTPPVSPDSEDIASSLDEQQQEMAASAAF IDQHYGHLIDTVLVRQDLQEACSQLRAVIETLSKDTSWVPISWVR >ENSMUSP00000102785.1 pep:known chromosome:GRCm38:11:102017336:102026937:-1 gene:ENSMUSG00000052373.14 transcript:ENSMUST00000107167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp3 description:membrane protein, palmitoylated 3 (MAGUK p55 subfamily member 3) [Source:MGI Symbol;Acc:MGI:1328354] MPVLSEDSGLHETLALLTSQLRPDSNHREEMGFLRDVFSEKSLSYLMKIHEKLRYYERQS PTPVLHSAMALAEDVMEELQAASVHSDERELLQLLSTPHLRAVLMVHDTVAQKNFDPVLP PLPDNIDEDFEEESVKIVRLVKNKEPLGATIRRDEHSGAVVVARIMRGGAADRSGLVHVG DELREVNGIAVLHKRPDEISQILVCIAYLQGGRSSETQSRGLPRARADLFI >ENSMUSP00000030626.5 pep:known chromosome:GRCm38:4:134897849:134915024:-1 gene:ENSMUSG00000028822.11 transcript:ENSMUST00000030626.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem50a description:transmembrane protein 50A [Source:MGI Symbol;Acc:MGI:1919067] MSGFLEGSRCSECMDWGEKRNTIASIAAGVLFFTGWWIIIDAAVMYPRMDQFNHSYHTCG VIATIAFLMINAVSNGQVRGDSYSEGCLGQTGARIWLFIGFMLAFGSLIASMWILFGGYV AKEKDVVYPGIAVFFQNAFIFFGGLVFKFGRTEDLWQ >ENSMUSP00000101489.1 pep:known chromosome:GRCm38:4:134898391:134915015:-1 gene:ENSMUSG00000028822.11 transcript:ENSMUST00000105863.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem50a description:transmembrane protein 50A [Source:MGI Symbol;Acc:MGI:1919067] MSGFLEGSRCSECMDWGEKRNTIASIAAGVLFFTGWWIIIDAAVMYPRMDQFNHSYHTCG VIATIAFLMINAVSNGQVRGDSYSEGCLGQTGARIWLFIGFMLAFGSLIASMWILFGGYV AKEKDVVYPGIAVFFQNAFIFFGGLVFKFGRTEDLWQ >ENSMUSP00000106301.1 pep:known chromosome:GRCm38:5:144983519:145010643:-1 gene:ENSMUSG00000038770.17 transcript:ENSMUST00000110673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna7 description:karyopherin alpha 7 (importin alpha 8) [Source:MGI Symbol;Acc:MGI:2141165] MATSKAPKERLKNYKYRGKEMSLPRQQRIASSLQLRKTRKDEQVLKRRNIDLFSSDMVSQ ALVKEVNFTLDDIIQAVNSSDPILHFRATRAAREMISQENTPPLNLIIEAGLIPKLVDFL KATPHPKLQFEAAWVLTNIASGTSEQTRAVVKEGAIQPLIELLCSPHLTVSEQAVWALGN IADRKMPDTQVQIFTPSTREAKAGDCAEFRDCVISNNAIPHLINLISKGIPITFLRNISW TLSNLCRNKDPYPSESAVRQMLPPLCQLLLHRDNEILADTCWALSYLTKGGKEYIHHVVT TGILPRLVELMTSSELSISIPCLHTIGNIVAGTDEQTQMAIDAGMLKVLGQVLKHPKTSI QVLAAWTMSNVAAGPRHQVEQLLCNLLPILVDLLRNAELKVQKEVVCTVINIATGASQDQ LTLLAHSGILEPMLSLLSAPDLEVVIIVLDIISYLLQHIDNLQEKKRLYFQIEKFGGFEK IECLQHHHNISISNSALDIIEKYFCEDGDGDSLPGPGLRV >ENSMUSP00000106300.1 pep:known chromosome:GRCm38:5:144983727:145009633:-1 gene:ENSMUSG00000038770.17 transcript:ENSMUST00000110672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna7 description:karyopherin alpha 7 (importin alpha 8) [Source:MGI Symbol;Acc:MGI:2141165] MATSKAPKERLKNYKYRGKEMSLPRQQRIASSLQLRKTRKDEQVLKRRNIDLFSSDMVSQ ALVKEVNFTLDDIIQAVNSSDPILHFRATRAAREMISQENTPPLNLIIEAGLIPKLVDFL KATPHPKLQFEAAWVLTNIASGTSEQTRAVVKEGAIQPLIELLCSPHLTVSEQAVWALGN IAGDCAEFRDCVISNNAIPHLINLISKGIPITFLRNISWTLSNLCRNKDPYPSESAVRQM LPPLCQLLLHRDNEILADTCWALSYLTKGGKEYIHHVVTTGILPRLVELMTSSELSISIP CLHTIGNIVAGTDEQTQMAIDAGMLKVLGQVLKHPKTSIQVLAAWTMSNVAAGPRHQVEQ LLCNLLPILVDLLRNAELKVQKEVVCTVINIATGASQDQLTLLAHSGILEPMLSLLSAPD LEVVIIVLDIISYLLQHIDNLQEKKRLYFQIEKFGGFEKIECLQHHHNISISNSALDIIE KYFCEDGDGDSLPGPGLRV >ENSMUSP00000121515.1 pep:known chromosome:GRCm38:5:145001208:145009390:-1 gene:ENSMUSG00000038770.17 transcript:ENSMUST00000139024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna7 description:karyopherin alpha 7 (importin alpha 8) [Source:MGI Symbol;Acc:MGI:2141165] MATSKAPKERLKNYKYRGKEMSLPRQQRIASSLQLRKTRKDEQVNFTLDDIIQAVNSSDP ILHFRATRAAREMISQENTPPLNLIIEAGLIPKLVDFLKATPHPKLQFEAAWVLTNIASG TSEQTRAVVKEGAIQPLIELLCSPHLTVSEQAVWALGNIAGDCAEF >ENSMUSP00000120277.1 pep:known chromosome:GRCm38:5:145007278:145084030:-1 gene:ENSMUSG00000038770.17 transcript:ENSMUST00000151196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna7 description:karyopherin alpha 7 (importin alpha 8) [Source:MGI Symbol;Acc:MGI:2141165] MATSKAPKERLKNYKYRGKEMSLPRQQRIASSLQLRKT >ENSMUSP00000112155.3 pep:known chromosome:GRCm38:5:144985690:145008028:-1 gene:ENSMUSG00000038770.17 transcript:ENSMUST00000116454.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna7 description:karyopherin alpha 7 (importin alpha 8) [Source:MGI Symbol;Acc:MGI:2141165] MATSKAPKERLKNYKYRGKEMSLPRQQRIASSLQLRKTRKDEQVLKRRNIDLFSSDMVSQ ALVKEVNFTLDDIIQAVNSSDPILHFRATRAAREMISQENTPPLNLIIEAGLIPKLVDFL KATPHPKLQFEAAWVLTNIASGTSEQTRAVVKEGAIQPLIELLCSPHLTVSEQAVWALGN IAGDCAEFRDCVISNNAIPHLINLISKGIPITFLRNISWTLSNLCRNKDPYPSESAVRQM LPPLCQLLLHRDNEILADTCWALSYLTKGGKEYIHHVVTTGILPRLVELMTSSELSISIP CLHTIGNIVAGTDEQTQMAIDAGMLKVLGQVLKHPKTSIQVLAAWTMSNVAAGPRHQVEQ LLCNLLPILVDLLRNAELKVQKEVVCTVINIATGASQDQLTLLAHSGILEPMLSLLSAPD LEVVIIVLDIISYLLQHIDNLQEKKRLYFQIEKFGGFEKIECLQHHHNISISNSALDIIE KYFCEDGDGDSLPGPGLRV >ENSMUSP00000135199.1 pep:known chromosome:GRCm38:9:58582240:58651318:1 gene:ENSMUSG00000032336.17 transcript:ENSMUST00000177292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nptn description:neuroplastin [Source:MGI Symbol;Acc:MGI:108077] MSGSSLPGALALSLLLVSGSLLPGPGAAQNAGFVKSPMSETKLTGDAFELYCDVVGSPTP EIQWWYAEVNRAESFRQLWDGARKRRVTVNTAYGSNGVSVLRITRLTLEDSGTYECRASN DPKRNDLRQNPSITWIRAQATISVLQKPRIVTSEEVIIRESLLPVTLQCNLTSSSHTLMY SYWTRNGVELTATRKNASNMEYRINKPRAEDSGEYHCVYHFVSAPKANATIEVKAAPDIT GHKRSENKNEGQDAMMYCKSVGYPHPEWIWRKKENGVFEEISNSSGRFFITNKENYTELS IVNLQITEDPGEYECNATNSIGSASVSTVLRVRSHLAPLWPFLGILAEIIILVVIIVVYE KRKRPDEVPDDDEPAGPMKTNSTNNHKDKNLRQRNTN >ENSMUSP00000082793.5 pep:known chromosome:GRCm38:9:58582292:58652878:1 gene:ENSMUSG00000032336.17 transcript:ENSMUST00000085651.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nptn description:neuroplastin [Source:MGI Symbol;Acc:MGI:108077] MSGSSLPGALALSLLLVSGSLLPGPGAAQNEPRIVTSEEVIIRESLLPVTLQCNLTSSSH TLMYSYWTRNGVELTATRKNASNMEYRINKPRAEDSGEYHCVYHFVSAPKANATIEVKAA PDITGHKRSENKNEGQDAMMYCKSVGYPHPEWIWRKKENGVFEEISNSSGRFFITNKENY TELSIVNLQITEDPGEYECNATNSIGSASVSTVLRVRSHLAPLWPFLGILAEIIILVVII VVYEKRKRPDEVPDDDEPAGPMKTNSTNNHKDKNLRQRNTN >ENSMUSP00000135886.1 pep:known chromosome:GRCm38:9:58582374:58640680:1 gene:ENSMUSG00000032336.17 transcript:ENSMUST00000177380.7 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Nptn description:neuroplastin [Source:MGI Symbol;Acc:MGI:108077] MSGSSLPGALALSLLLVSGSLLPGPGAAQNEPRIVTSEEVIIRESLLPVTLQCNLTSSSH TLMYSYWTRNGVELTATRKNASNMEYRINKPRAEDSGEYHCVYHFVSAPKANATIEVKAA PD >ENSMUSP00000135541.1 pep:known chromosome:GRCm38:9:58582406:58652878:1 gene:ENSMUSG00000032336.17 transcript:ENSMUST00000176557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nptn description:neuroplastin [Source:MGI Symbol;Acc:MGI:108077] MSGSSLPGALALSLLLVSGSLLPGPGAAQNEPRIVTSEEVIIRESLLPVTLQCNLTSSSH TLMYSYWTRNGVELTATRKNASNMEYRINKPRAEDSGEYHCVYHFVSAPKANATIEVKAA PDITGHKRSENKNEGQDAMMYCKSVGYPHPEWIWRKKENGVFEEISNSSGRFFITNKENY TELSIVNLQITEDPGEYECNATNSIGSASVSTVLRVRSHLAPLWPFLGILAEIIILVVII VVYEKRKRPDEVPDAGPMKTNSTNNHKDKNLRQRNTN >ENSMUSP00000135576.1 pep:known chromosome:GRCm38:9:58582407:58643806:1 gene:ENSMUSG00000032336.17 transcript:ENSMUST00000175945.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nptn description:neuroplastin [Source:MGI Symbol;Acc:MGI:108077] MSGSSLPGALALSLLLVSGSLLPGPGAAQNGSISQELRIQANTTVYIILSALLKQMPPLK >ENSMUSP00000135316.1 pep:known chromosome:GRCm38:9:58582436:58651318:1 gene:ENSMUSG00000032336.17 transcript:ENSMUST00000177064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nptn description:neuroplastin [Source:MGI Symbol;Acc:MGI:108077] MSGSSLPGALALSLLLVSGSLLPGPGAAQNAAPDITGHKRSENKNEGQDAMMYCKSVGYP HPEWIWRKKENGVFEEISNSSGRFFITNKENYTELSIVNLQITEDPGEYECNATNSIGSA SVSTVLRVRSHLAPLWPFLGILAEIIILVVIIVVYEKRKRPDEVPDDDEPAGPMKTNSTN NHKDKNLRQRNTN >ENSMUSP00000134761.1 pep:known chromosome:GRCm38:9:58582441:58651962:1 gene:ENSMUSG00000032336.17 transcript:ENSMUST00000176896.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nptn description:neuroplastin [Source:MGI Symbol;Acc:MGI:108077] XGSSLPGALALSLLLVSGSLLPGPGAAQNEPRIVTSEEVIIRESLLPVTLQCNLTSSSHT LMYSYWTRNGVELTATRKNASNMEYRINKPRAEDSGEYHCVYHFVSAPKANATIEVKAAP DITGHKRSENKNEGQDAMMYCKSVGYPHPEWIWRKKENGVFEEISNSSGRFFITNKENYT ELSIVNLQITEDPGEYECNATNSIGSASVSTVLRMMNQLGQ >ENSMUSP00000134977.1 pep:known chromosome:GRCm38:9:58629105:58657955:1 gene:ENSMUSG00000032336.17 transcript:ENSMUST00000176916.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nptn description:neuroplastin [Source:MGI Symbol;Acc:MGI:108077] MSVVDLPNSAPDITGHKRSENKNEGQDAMMYCKSVGYPHPEWIWRKKENGVFEEISNSSG RFFITNKENYTELSIVNLQITEDPGEYECNATNSIGSASVSTVLRVRSHLAPLWPFLGIL AEIIILVVIIVVYEKRKRPDEVPDDDEPAGPMKTNSTNNHKDKNLRQRNTN >ENSMUSP00000135250.1 pep:known chromosome:GRCm38:9:58629106:58655208:1 gene:ENSMUSG00000032336.17 transcript:ENSMUST00000176250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nptn description:neuroplastin [Source:MGI Symbol;Acc:MGI:108077] MSVVDLPNSAPDITGHKRSENKNEGQDAMMYCKSVGYPHPEWIWRKKENGVFEEISNSSG RFFITNKENYTELSIVNLQITEDPGEYECNATNSIGSASVSTVLRVRSHLAPLWPFLGIL AEIIILVVIIVVYEKRKRPDEVPDDDEPAGPMKTNSTNNHKDKNLRQRNTN >ENSMUSP00000109756.4 pep:known chromosome:GRCm38:9:58582407:58651318:1 gene:ENSMUSG00000032336.17 transcript:ENSMUST00000114121.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nptn description:neuroplastin [Source:MGI Symbol;Acc:MGI:108077] MSGSSLPGALALSLLLVSGSLLPGPGAAQNEPRIVTSEEVIIRESLLPVTLQCNLTSSSH TLMYSYWTRNGVELTATRKNASNMEYRINKPRAEDSGEYHCVYHFVSAPKANATIEVKAA PDITGHKRSENKNEGQDAMMYCKSVGYPHPEWIWRKKENGVFEEISNSSGRFFITNKENY TELSIVNLQITEDPGEYECNATNSIGSASVSTVLRVRSHLAPLWPFLGILAEIIILVVII VVYEKRKRPDEVPDEGVRKTNSTNNHKDKNLRQRNTN >ENSMUSP00000085712.4 pep:known chromosome:GRCm38:6:112717971:112947266:-1 gene:ENSMUSG00000030257.16 transcript:ENSMUST00000088373.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap3 description:SLIT-ROBO Rho GTPase activating protein 3 [Source:MGI Symbol;Acc:MGI:2152938] MSSQTKFKKDKEIIAEYEAQIKEIRTQLVEQFKCLEQQSESRLQLLQDLQEFFRRKAEIE LEYSRSLEKLAERFSSKIRSSREHQFKKDQYLLSPVNCWYLVLHQTRRESRDHATLNDIF MNNVIVRLSQISEDVIRLFKKSKEIGLQMHEELLKVTNELYTVMKTYHMYHAESISAESK LKEAEKQEEKQFNKSGELSMNLLRHEDRPQRRSSVKKIEKMKEKRQAKYSENKLKCTKAR NDYLLNLAATNAAISKYYIHDVSDLIDCCDLGFHASLARTFRTYLSAEYNLETSRHEGLD VIENAVDNLDSRSDKHTVMDMCSQVFCPPLKFEFQPHMGDEVCQVSAQQPVQTELLMRYH QLQSRLATLKIENEEVRKTLDATMQTLQDMLTVEDFDVSDAFQHSRSTESIKSAASETYM SKINIAKRRANQQETEMFYFTKFKEYVNGSNLITKLQAKHDLLKQTLGEGERAECGTTRP PCLPPKPQKMRRPRPLSVYSHKLFNGSMEAFIKDSGQAIPLVVESCIRFINLYGLQQQGI FRVPGSQVEVNDIKNSFERGEDPLVDDQNERDINSVAGVLKLYFRGLENPLFPKERFQDL ISTIKLENPADRVHPIQQILITLPRVVIVVMRYLFAFLNHLSQYSDENMMDPYNLAICFG PTLMHIPDGQDPVSCQAHVNEVIKTIIIHHEAIFPSPRELEGPVYEKCMAGGEEYCDSPH SEPGTIDEVDHDNGTEPHTSDEEVEQIEAIAKFDYVGRSPRELSFKKGASLLLYHRASED WWEGRHNGVDGLIPHQYIVVQDMDDAFSDSLSQKADSEASSGPLLDDKASSKNDLQSPTE HISDYGFGGVMGRVRLRSDGAAIPRRRSGGDTHSPPRGLGPSIDTPPRAAACPSSPHKIP LSRGRIESPEKRRMATFGSAGSINYPDKKALTEGLSMRSTCGSTRHSSLGDHKSLEAEAL AEDIEKTMSTALHELRELERQNTVKQAPDVVLDTLEPLKNPPGPISSEPASPLHTIVIRD PDAAMRRSSSSSTEMMTTFKPALSARLAGAQLRPPPMRPVRPVVQHRSSSSSSSGVGSPA VTPTEKMFPNSSSDKSGTM >ENSMUSP00000108794.2 pep:known chromosome:GRCm38:6:112717974:112946754:-1 gene:ENSMUSG00000030257.16 transcript:ENSMUST00000113169.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap3 description:SLIT-ROBO Rho GTPase activating protein 3 [Source:MGI Symbol;Acc:MGI:2152938] MSSQTKFKKDKEIIAEYEAQIKEIRTQLVEQFKCLEQQSESRLQLLQDLQEFFRRKAEIE LEYSRSLEKLAERFSSKIRSSREHQFKKDQYLLSPVNCWYLVLHQTRRESRDHATLNDIF MNNVIVRLSQISEDVIRLFKKSKEIGLQMHEELLKVTNELYTVMKTYHMYHAESISAESK LKEAEKQEEKQFNKSGELSMNLLRHEDRPQRRSSVKKIEKMKEKRQAKYSENKLKCTKAR NDYLLNLAATNAAISKYYIHDVSDLIDCCDLGFHASLARTFRTYLSAEYNLETSRHEGLD VIENAVDNLDSRSDKHTVMDMCSQVFCPPLKFEFQPHMGDEVCQVSAQQPVQTELLMRYH QLQSRLATLKIENEEVRKTLDATMQTLQDMLTVEDFDVSDAFQHSRSTESIKSAASETYM SKINIAKRRANQQETEMFYFTKFKEYVNGSNLITKLQAKHDLLKQTLGEGERAECGTTRG RRNARTRNQDSGQAIPLVVESCIRFINLYGLQQQGIFRVPGSQVEVNDIKNSFERGEDPL VDDQNERDINSVAGVLKLYFRGLENPLFPKERFQDLISTIKLENPADRVHPIQQILITLP RVVIVVMRYLFAFLNHLSQYSDENMMDPYNLAICFGPTLMHIPDGQDPVSCQAHVNEVIK TIIIHHEAIFPSPRELEGPVYEKCMAGGEEYCDSPHSEPGTIDEVDHDNGTEPHTSDEEV EQIEAIAKFDYVGRSPRELSFKKGASLLLYHRASEDWWEGRHNGVDGLIPHQYIVVQDMD DAFSDSLSQKADSEASSGPLLDDKASSKNDLQSPTEHISDYGFGGVMGRVRLRSDGAAIP RRRSGGDTHSPPRGLGPSIDTPPRAAACPSSPHKIPLSRGRIESPEKRRMATFGSAGSIN YPDKKALTEGLSMRSTCGSTRHSSLGDHKSLEAEALAEDIEKTMSTALHELRELERQNTV KQAPDVVLDTLEPLKNPPGPISSEPASPLHTIVIRDPDAAMRRSSSSSTEMMTTFKPALS ARLAGAQLRPPPMRPVRPVVQHRSSSSSSSGVGSPAVTPTEKMFPNSSSDKSGTM >ENSMUSP00000130063.1 pep:known chromosome:GRCm38:6:112739025:112766937:-1 gene:ENSMUSG00000030257.16 transcript:ENSMUST00000131835.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srgap3 description:SLIT-ROBO Rho GTPase activating protein 3 [Source:MGI Symbol;Acc:MGI:2152938] VNGSNLITKLQAKHDLLKQTLGEGERAECGTTRLILRPRLCCQHMLIFIPAERQRGAIAI VSGILLSSLWLAPVFPSLSLSFFCFVLFCFCSATEQQKRWKA >ENSMUSP00000108356.1 pep:known chromosome:GRCm38:1:106764071:106796667:-1 gene:ENSMUSG00000009907.17 transcript:ENSMUST00000112736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps4b description:vacuolar protein sorting 4b (yeast) [Source:MGI Symbol;Acc:MGI:1100499] MASTNTNLQKAIDLASKAAQEDKAGNYEEALQLYQHAVQYFLHVVKYEAQGDKAKQSIRA KCTEYLDRAEKLKEYLKKKEKKPQKPVKEEQSGPVDEKGNDSDGEAESDDPEKKKLQNQL QGAIVIERPNVKWSDVAGLEGAKEALKEAVILPIKFPHLFTGKRTPWRGILLFGPPGTGK SYLAKAVATEANNSTFFSISSSDLVSKWLGESEKLVKNLFQLARENKPSIIFIDEIDSLC GSRSENESEAARRIKTEFLVQMQGVGVDNDGILVLGATNIPWVLDSAIRRRFEKRIYIPL PEAHARAAMFRLHLGSTQNSLTEADFQELGRKTDGYSGADISIIVRDALMQPVRKVQSAT HFKKVRGPSRADPNCIVNDLLTPCSPGDPGAIEMTWMDVPGDKLLEPVVSMWDMLRSLSS TKPTVNEQDLLKLKKFTEDFGQEG >ENSMUSP00000092230.5 pep:known chromosome:GRCm38:1:106769430:106796728:-1 gene:ENSMUSG00000009907.17 transcript:ENSMUST00000094646.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps4b description:vacuolar protein sorting 4b (yeast) [Source:MGI Symbol;Acc:MGI:1100499] MASTNTNLQKAIDLASKAAQEDKAGNYEEALQLYQHAVQYFLHVVKYEAQGDKAKQSIRA KCTEYLDRAEKLKEYLKKKEKKPQKPVKEEQSGPVDEKGNDSDGEAESDDPEKKKLQNQL QGAIVIERPNVKWSDVAGLEGAKEALKEAVILPIKFPHLFTGKRTPWRGILLFGPPGTGK SYLAKAVATEANNSTFFSISSSDLVSKWLGESEKLVKNLFQLARENKPSIIFIDEIDSLC GSRSENESEAARRIKTEFLVQMQGVGVDNDGILVLGATNIPWVLDSAIRRRFEKRIYIPL PEAHARAAMFRLHLGSTQNSLTEADFQELGRKTDGYSGADISIIVRDALMQPVRKVQSAT HFKKVRGPSRADPNCIVNDLLTPCSPGDPGAIEMTWMDVPGDKLLEPVVSMWDMLRSLSS TKPTVNEQDLLKLKKFTEDFGQEG >ENSMUSP00000031625.8 pep:known chromosome:GRCm38:5:145083830:145108761:1 gene:ENSMUSG00000029621.14 transcript:ENSMUST00000031625.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc1a description:actin related protein 2/3 complex, subunit 1A [Source:MGI Symbol;Acc:MGI:1928896] MSLHQFLLEPITCHAWNRDRTQIALSPNNHEVHIYKKNGSQWTKAHELKEHNGHITGIDW APKSDRIVTCGADRNAYVWSQKDGIWKPTLVILRINRAATFVKWSPLENKFAVGSGARLI SVCYFESENDWWVSKHIKKPIRSTVLSLDWHPNNVLLAAGSCDFKCRVFSAYIKEVDEKP ASTPWGSKMPFGQLMSEFGGSGTGGWVHGVSFSASGNRLAWVSHDSTVSVADASKSVQVS TLRTEFLPLLSVSFVSENSVVAAGHDCCPMLFNYDDRGCLTFVSKLDVPKQSIQRNMSAM ERFRNMDKRATTEDRNTALETLHQNSITQVSIYEVDKQDCRKFCTTGIDGAMTIWDFKTL ESSIQGLRIM >ENSMUSP00000143026.1 pep:known chromosome:GRCm38:5:145083906:145108756:1 gene:ENSMUSG00000029621.14 transcript:ENSMUST00000127694.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arpc1a description:actin related protein 2/3 complex, subunit 1A [Source:MGI Symbol;Acc:MGI:1928896] MSLHQFLLEPITCHAWNRDRTQIALSPNNHEVHIYKKNGSQWTKAHELKEHNGHITGIDW APKSDRIVTCGADRNAYVWSQKDGIWKPTLVILRINRAATFVKWSPLENKFAVGSGARLI SVCYFESENDWWVSKHIKKPIRSTVLSLDWHPNNVLLAAGSCDFKCRVFSAYIKEVDEKP ASTPWGSKMPFGQLMSEFGGSGTGGWVHGVSFSASGNRLAWVSHDSTVSVADASKSVQFR GSVCHHQGGSMAVSRQAWHRQS >ENSMUSP00000114421.1 pep:known chromosome:GRCm38:5:145084100:145097228:1 gene:ENSMUSG00000029621.14 transcript:ENSMUST00000124379.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc1a description:actin related protein 2/3 complex, subunit 1A [Source:MGI Symbol;Acc:MGI:1928896] MSLHQFLLEPITCHAWNRDRTQIALSPNNHEVHIYKKNGSQWTKAHELKEHNGHITGIDW APKSDRIVTCGADRNAYVWSQKDGIWKPTLVILRINRAATFVKWSPLENKFAVGSGARLI SVCYFESENDWWVSK >ENSMUSP00000104336.1 pep:known chromosome:GRCm38:11:65151910:65159891:-1 gene:ENSMUSG00000020548.10 transcript:ENSMUST00000108696.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700086D15Rik description:RIKEN cDNA 1700086D15 gene [Source:MGI Symbol;Acc:MGI:1921532] MAGISHLMPVLIVKLCQISAALGPGLWSEDSPFHPRPFWIPPFVSEVEEQDTRLWPESRG KPAAYSTCCRSLAEMLIEDRLHCVFQMNQVLFIDPLTRWGNGASAEREREREKKKVPFEG SLPSKEGKSEVGVFTKAFGLLLPQHRNQAAT >ENSMUSP00000020855.3 pep:known chromosome:GRCm38:11:65151910:65159890:-1 gene:ENSMUSG00000020548.10 transcript:ENSMUST00000020855.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700086D15Rik description:RIKEN cDNA 1700086D15 gene [Source:MGI Symbol;Acc:MGI:1921532] MAGISHLMPVLIVKLCQISAALGPGLWSEDSPFHPRPFWIPPFVSEVEEQDTRLWPESRG KPAAYSTCCRSLAEMLIEDRLHCVFQMNQVLFIDPLTRWGNGASAEREREREKKKVPFEG SLPSKEGKSEVGVFTKAFGLLLPQHRNQAAT >ENSMUSP00000072136.5 pep:known chromosome:GRCm38:11:78037931:78060434:1 gene:ENSMUSG00000061981.14 transcript:ENSMUST00000072289.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flot2 description:flotillin 2 [Source:MGI Symbol;Acc:MGI:103309] MGNCHTVGPNEALVVSGGCCGSDYKQYVFGGWAWAWWCISDTQRISLEIMTLQPRCEDVE TAEGVALTVTGVAQVKIMTEKELLAVACEQFLGKNVQDIKNVVLQTLEGHLRSILGTLTV EQIYQDRDQFAKLVREVAAPDVGRMGIEILSFTIKDVYDKVDYLSSLGKTQTAVVQRDAD IGVAEAERDAGIREAECKKEMLDVKFMADTKIADSKRAFELQKSAFSEEVNIKTAEAQLA YELQGAREQQKIRQEEIEIEVVQRKKQIAVEAQEILRTDKELIATVRRPAEAEAHRIQQI AEGEKVKQVLLAQAEAEKIRKIGEAEAAVIEAMGKAEAERMKLKAEAYQKYGDAAKMALV LEALPQIAAKISAPLTKVDEIVVLSGDNSKVTSEVNRLLAELPASVHALTGVDLSKIPLI KNATGAQV >ENSMUSP00000133147.1 pep:known chromosome:GRCm38:11:78037931:78060434:1 gene:ENSMUSG00000061981.14 transcript:ENSMUST00000148162.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Flot2 description:flotillin 2 [Source:MGI Symbol;Acc:MGI:103309] MGNCHTVGPNEALVVSGGCCGSDYKQYVFGGWAWAWWCISDTQRLSLEVMTILCRCENIE TSEGVPLFVTGVAQDFPRDYDVAAPL >ENSMUSP00000073342.6 pep:known chromosome:GRCm38:11:78037984:78060434:1 gene:ENSMUSG00000061981.14 transcript:ENSMUST00000073660.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flot2 description:flotillin 2 [Source:MGI Symbol;Acc:MGI:103309] MGNCHTVGPNEALVVSGGCCGSDYKQYVFGGWAWAWWCISDTQRLSLEVMTILCRCENIE TSEGVPLFVTGVAQVKIMTEKELLAVACEQFLGKNVQDIKNVVLQTLEGHLRSILGTLTV EQIYQDRDQFAKLVREVAAPDVGRMGIEILSFTIKDVYDKVDYLSSLGKTQTAVVQRDAD IGVAEAERDAGIREAECKKEMLDVKFMADTKIADSKRAFELQKSAFSEEVNIKTAEAQLA YELQGAREQQKIRQEEIEIEVVQRKKQIAVEAQEILRTDKELIATVRRPAEAEAHRIQQI AEGEKVKQVLLAQAEAEKIRKIGEAEAAVIEAMGKAEAERMKLKAEAYQKYGDAAKMALV LEALPQIAAKISAPLTKVDEIVVLSGDNSKVTSEVNRLLAELPASVHALTGVDLSKIPLI KNATGAQV >ENSMUSP00000098347.2 pep:known chromosome:GRCm38:11:78037941:78060431:1 gene:ENSMUSG00000061981.14 transcript:ENSMUST00000100784.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flot2 description:flotillin 2 [Source:MGI Symbol;Acc:MGI:103309] MTLQPRCEDVETAEGVALTVTGVAQVKIMTEKELLAVACEQFLGKNVQDIKNVVLQTLEG HLRSILGTLTVEQIYQDRDQFAKLVREVAAPDVGRMGIEILSFTIKDVYDKVDYLSSLGK TQTAVVQRDADIGVAEAERDAGIREAECKKEMLDVKFMADTKIADSKRAFELQKSAFSEE VNIKTAEAQLAYELQGAREQQKIRQEEIEIEVVQRKKQIAVEAQEILRTDKELIATVRRP AEAEAHRIQQIAEGEKVKQVLLAQAEAEKIRKIGEAEAAVIEAMGKAEAERMKLKAEAYQ KYGDAAKMALVLEALPQIAAKISAPLTKVDEIVVLSGDNSKVTSEVNRLLAELPASVHAL TGVDLSKIPLIKNATGAQV >ENSMUSP00000101197.1 pep:known chromosome:GRCm38:4:156215868:156221307:1 gene:ENSMUSG00000078486.3 transcript:ENSMUST00000105572.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Perm1 description:PPARGC1 and ESRR induced regulator, muscle 1 [Source:MGI Symbol;Acc:MGI:1921433] MDNFQYSVQLSDREWAEFSATADECGLLQADLASGDEPLSSDIDQGDSSGSSPPGPPPLF TGQLVSQGRGQQSRELEDVAAQQLVSRSQCEPVLALEASHQVAGTSTQSEAPLFPSLDSV CPGQALSFPGPATCRDKMQRLLQGPAPSSPSKAPHSPESPGHSDNPQSSPDSLEASPRNP GRKKRRAVGAKGTKHSGSLDSAATQMSSPQLTRPKEALMSGTLMAKAQQDKPQPDSTSPE QMAREESGLDLSTPILITEQDQIRKTSRAALHVVSKPVQEVHPDVPMASPNVSTRASKPQ PDVALPKPASKPQSDIASSTHPFTPDVSLSTLAFKCQPNTNQSTPASEPDMALSTPASEP DTALSTPASEPDTALSTPASEPDTALSTPASRSQLVKAEFASACTPGLHVDLSAAGSEIK PEVSSSMPAAVDVLRTDLPRSVSKTESKESVSIPVKPSLSPISQAEAAMVDTGVSVPPGG SIEKPAGQFSAGSSGESCLGPVQAPKKKKVRFSMAIPSHEDSGSGEPTGSPFLTPEQPPV PRTAAGSRGGSAAWDAVAVAPRLPQPRILKHLPPPVSSVSAEAESGNCFAVTLPEAYEFF FCDTIEEEDEDVEDEEAASQALDEVQWPDTCEFFFRDSRAQRSRCQRGHSPVSPPRADTV APVPPEGLVPISIPEVYEHFFTEEGFGHRQPAATPMQTSELSREGGLEASTKPVSPTAEH LSLTVRKAGELRSPLTSFTFSQNDMCLVFVAFATWAVRTSDLQAPDAWKTVLLANIGTIS AIRYFRRQVGRGHSGRPRSSSSSNPSC >ENSMUSP00000018521.4 pep:known chromosome:GRCm38:11:88068279:88084729:1 gene:ENSMUSG00000018377.10 transcript:ENSMUST00000018521.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezf1 description:vascular endothelial zinc finger 1 [Source:MGI Symbol;Acc:MGI:1313291] MEANWTAFLFQAHEASHHQQQAAQNSLLPLLSSAVEPPDQKPLLPIPITQKPQAAPETLK DAIGIKKEKPKTSFVCTYCSKAFRDSYHLRRHQSCHTGIKLVSRAKKTPTTVVPLISTIA GDSSRTSLVSTIAGILSTVTTSSSGTNPSSSASTTSMPVPQSVKKPSKPVKKNHACEMCG KAFRDVYHLNRHKLSHSDEKPFECPICNQRFKRKDRMTYHVRSHEGGITKPYTCSVCGKG FSRPDHLSCHVKHVHSTERPFKCQTCTAAFATKDRLRTHMVRHEGKVSCNICGKLLSAAY ITSHLKTHGQSQSINCNTCKQGISKTCMSEETSNQKQQQQQQQQQQQQQQQHVTSWPGKQ VETLRLWEEAVKARKKEAANLCQTSTAATTPVTLTTPFNITSSVSSGTMSNPVTVAAAMS MRSPVNVSSAVNITSPMNIGHPVTITSPLAMTSPLTLTTPVNLPTPVTAPVNIAHPVTIT SPMNLPTPMTLAAPLNIAMRPVESMPFLPQALPTSPPW >ENSMUSP00000114394.1 pep:known chromosome:GRCm38:11:88073588:88084729:1 gene:ENSMUSG00000018377.10 transcript:ENSMUST00000143052.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezf1 description:vascular endothelial zinc finger 1 [Source:MGI Symbol;Acc:MGI:1313291] LNRHKLSHSDEKPFECPICNQRFKRKDRMTYHVRSHEGGITKPYTCSVCGKGFSRPDHLS CHVKHVHSTERPFKCQFSSLMQTCTAAFATKDRLRTHMVRHEGKVSCNICGKLLSAAYIT SHLKTHGQSQSINCNTCKQGISKTCMSEETSNQKQQQQQQQQQQQQQQQHVTSWPGKQVE TLRLWEEAVKARKKEAANLCQTSTAATTPVTLTTPFNITSSVSSGTMSNPVTVAAAMSMR SPVNVSSAVNITSPMNIGHPVTITSPLAMTSPLTLTTPVNLPTPVTAPVNIAHPVTITSP MNLPTPMTLAAPLNIAMRPVESMPFLPQALPTSPPW >ENSMUSP00000090681.5 pep:known chromosome:GRCm38:11:65002040:65162904:-1 gene:ENSMUSG00000033389.16 transcript:ENSMUST00000093002.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap44 description:Rho GTPase activating protein 44 [Source:MGI Symbol;Acc:MGI:2144423] MKKQFNRMRQLANQTVGRAEKTEVLSEDLLQVEKRLELVKQVSHSTHKKLTACLQGQQGA EADKRSKKLPLTTLAQCLVEGSAILGDDTLLGKMLKLCGETEDKLAQELIHFELQVERDV IEPLFLLAEVEIPNIQKQRKHLAKLVLDMDSSRTRWQQTSKSSGLSSSLQPAGAKADALR EEMEEAANRVEICRDQLSADMYSFVAKEIDYANYFQTLIEVQAEYHRKSLTLLQAVLPQI KAQQEAWVEKPSFGKPLEEHLMISGREIAFPIEACVTMLLECGMQEEGLFRVAPSASKLK KLKAALDCCVVDVQEYSADPHAIAGALKSYLRELPEPLMTFELYDEWIQASNIQEQDKRL QALWNACEKLPKANHNNIKYLIKFLSKLSEYQDVNKMTPSNMAIVLGPNLLWPQSEGNIT EMMTTVSLQIVGIIEPIIQHADWFFPGEIEFNLTGSYGSPVHVNHNANYSSMPSPDMDPA DRRQPEQARRPLSVATDNMMLEFYKKDGLRKIQSMGVRVMDTSWVARRGSSAGRKASCAP PSMQPPAPPSELAAPLPSPLPEQVPDSPATPAPALSPSGASLQPTPERPSVSKSKELSPG SGQKGSPGSIQGTPCPGTQLGPQPAASPSQLPADQSPHTLRKVSKKVAPIPPKVPFVQPG TVSDQPVGQPSPVSLSPTPPSTPSPYGLSYPPGYSMASGQLSPASAPPLASPSVFTSTLA KSRPTPKPRQRPTLPPPQPPSVSLSASSPQSTEHPMLDGMSPGESMSTDLVHFDVPSIHI ELGSTLRLSPLEHARRHSATDKRDSEEESESTAL >ENSMUSP00000090680.4 pep:known chromosome:GRCm38:11:65051475:65162567:-1 gene:ENSMUSG00000033389.16 transcript:ENSMUST00000093001.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap44 description:Rho GTPase activating protein 44 [Source:MGI Symbol;Acc:MGI:2144423] MELPGLELVRPSWVRAGRWAEKTEVLSEDLLQVEKRLELVKQVSHSTHKKLTACLQGQQG AEADKRSKKLPLTTLAQCLVEGSAILGDDTLLGKMLKLCGETEDKLAQELIHFELQVERD VIEPLFLLAEVEIPNIQKQRKHLAKLVLDMDSSRTRWQQTSKSSGLSSSLQPAGAKADAL REEMEEAANRVEICRVPVPLALLSRDRLRSP >ENSMUSP00000115612.1 pep:known chromosome:GRCm38:11:65002041:65059834:-1 gene:ENSMUSG00000033389.16 transcript:ENSMUST00000130420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap44 description:Rho GTPase activating protein 44 [Source:MGI Symbol;Acc:MGI:2144423] XLAEVEIPNIQKQRKHLAKLVLDMDSSRTRWQQTSKSSGLSSSLQPAGAKADALREEMEE AANRVEICRDQLSADMYSFVAKEIDYANYFQTLIEVQAEYHRKSLTLLQAVLPQIKAQQE AWVEKPSFGKPLEEHLMISGREIAFPIEACVTMLLECGMQEEGLFRVAPSASKLKKLKAA LDCCVVDVQEYSADPHAIAGALKSYLRELPEPLMTFELYDEWIQASNIQEQDKRLQALWN ACEKLPKANHNNIKYLIKFLSKLSEYQDVNKMTPSNMAIVLGPNLLWPQSEGNITEMMTT VSLQIVGIIEPIIQHADWFFPGEIEFNLTGSYGSPVHVNHNANYSSMPSPDMDPADRRQP EQARRPLSVATDNMMLEFYKKDGLRKIQSMGVRVMDTSWVARRGSSAGRKASCAPPSMQP PAPPSELAAPLPSPLPEQVPDSPATPAPALSPSGASLQPTPERPSVSKSKELSPGSGQKG SPGSIQGTPCPGTQLGPQPAASPSQLPADQSPHTLRKVSKKVAPIPPKVPFVQPGTVSDQ PVGQPSPVSLSPTPPSTPSPYGLSYPPGYSMASGQLSPASAPPLASPSVFTSTLAKSRPT PKPRQRPTLPPPQPPSVSLSASSPQSTEHPMLDGMSPGESMSTAV >ENSMUSP00000039139.8 pep:known chromosome:GRCm38:11:65002039:65162961:-1 gene:ENSMUSG00000033389.16 transcript:ENSMUST00000047463.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap44 description:Rho GTPase activating protein 44 [Source:MGI Symbol;Acc:MGI:2144423] MKKQFNRMRQLANQTVGRAEKTEVLSEDLLQVEKRLELVKQVSHSTHKKLTACLQGQQGA EADKRSKKLPLTTLAQCLVEGSAILGDDTLLGKMLKLCGETEDKLAQELIHFELQVERDV IEPLFLLAEVEIPNIQKQRKHLAKLVLDMDSSRTRWQQTSKSSGLSSSLQPAGAKADALR EEMEEAANRVEICRDQLSADMYSFVAKEIDYANYFQTLIEVQAEYHRKSLTLLQAVLPQI KAQQEAWVEKPSFGKPLEEHLMISGREIAFPIEACVTMLLECGMQEEGLFRVAPSASKLK KLKAALDCCVVDVQEYSADPHAIAGALKSYLRELPEPLMTFELYDEWIQASNIQEQDKRL QALWNACEKLPKANHNNIKYLIKFLSKLSEYQDVNKMTPSNMAIVLGPNLLWPQSEGNIT EMMTTVSLQIVGIIEPIIQHADWFFPGEIEFNLTGSYGSPVHVNHNANYSSMPSPDMDPA DRRQPEQARRPLSVATDNMMLEFYKKDGMGVRVMDTSWVARRGSSAGRKASCAPPSMQPP APPSELAAPLPSPLPEQVPDSPATPAPALSPSGASLQPTPERPSVSKSKELSPGSGQKGS PGSIQGTPCPGTQLGPQPAASPSQLPADQSPHTLRKVSKKVAPIPPKVPFVQPGTVSDQP VGQPSPVSLSPTPPSTPSPYGLSYPPGYSMASGQLSPASAPPLASPSVFTSTLAKSRPTP KPRQRPTLPPPQPPSVSLSASSPQSTEHPMLDGMSPGESMSTAV >ENSMUSP00000102321.2 pep:known chromosome:GRCm38:11:109139291:109144369:-1 gene:ENSMUSG00000078605.2 transcript:ENSMUST00000106710.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E030025P04Rik description:RIKEN cDNA E030025P04 gene [Source:MGI Symbol;Acc:MGI:2685510] MSQTTPKKKKKTYQEPEFSQPFRYCVSMSQIWGKDCPHLTPGSSRRWVNFSQDQDGRDPT VRRVAVRDTEDTANRMQKRPREKHMADCPSSRRDPAQGGRKNKGALVPLIPVILHSHRRR PNREQGPGEAPTGMWPRGHHLEWKPDTQPWECPYLTPAMEVPFLQLCYVTGSPWSVLTSL SFRDE >ENSMUSP00000028672.6 pep:known chromosome:GRCm38:2:91929828:91932297:-1 gene:ENSMUSG00000027239.14 transcript:ENSMUST00000028672.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdk description:midkine [Source:MGI Symbol;Acc:MGI:96949] MQHRGFFLLALLALLVVTSAVAKKKEKVKKGSECSEWTWGPCTPSSKDCGMGFREGTCGA QTQRVHCKVPCNWKKEFGADCKYKFESWGACDGSTGTKARQGTLKKARYNAQCQETIRVT KPCTSKTKSKTKAKKGKGKD >ENSMUSP00000068413.6 pep:known chromosome:GRCm38:2:91929828:91931780:-1 gene:ENSMUSG00000027239.14 transcript:ENSMUST00000069423.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdk description:midkine [Source:MGI Symbol;Acc:MGI:96949] MQHRGFFLLALLALLVVTSAVAKKKEKVKKGSECSEWTWGPCTPSSKDCGMGFREGTCGA QTQRVHCKVPCNWKKEFGADCKYKFESWGACDGSTGTKARQGTLKKARYNAQCQETIRVT KPCTSKTKSKTKAKKGKGKD >ENSMUSP00000088090.5 pep:known chromosome:GRCm38:2:91929966:91931699:-1 gene:ENSMUSG00000027239.14 transcript:ENSMUST00000090602.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdk description:midkine [Source:MGI Symbol;Acc:MGI:96949] MQHRGFFLLALLALLVVTSAVAKKKEKVKKGSECSEWTWGPCTPSSKDCGMGFREGTCGA QTQRVHCKVPCNWKKEFGADCKYKFESWGACDGSTGTKARQGTLKKARYNAQCQETIRVT KPCTSKTKSKTKAKKGKGKD >ENSMUSP00000106941.1 pep:known chromosome:GRCm38:2:91929805:91931688:-1 gene:ENSMUSG00000027239.14 transcript:ENSMUST00000111309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdk description:midkine [Source:MGI Symbol;Acc:MGI:96949] MQHRGFFLLALLALLVVTSAVAKKKEKVKKGSECSEWTWGPCTPSSKDCGMGFREGTCGA QTQRVHCKVPCNWKKEFGADCKYKFESWGACDGSTGTKARQGTLKKARYNAQCQETIRVT KPCTSKTKSKTKAKKGKGKD >ENSMUSP00000108205.1 pep:known chromosome:GRCm38:6:122577792:122602444:-1 gene:ENSMUSG00000040613.14 transcript:ENSMUST00000112586.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec1 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 1 [Source:MGI Symbol;Acc:MGI:103298] MSSETGPVAVDPTLRRRIEPHEFEVFFDPRELRKETCLLYEINWGGRHSVWRHTSQNTSN HVEVNFLEKFTTERYFRPNTRCSITWFLSWSPCGECSRAITEFLSRHPYVTLFIYIARLY HHTDQRNRQGLRDLISSGVTIQIMTEQEYCYCWRNFVNYPPSNEAYWPRYPHLWVKLYVL ELYCIILGLPPCLKILRRKQPQLTFFTITLQTCHYQRIPPHLLWATGLK >ENSMUSP00000108204.1 pep:known chromosome:GRCm38:6:122577801:122602371:-1 gene:ENSMUSG00000040613.14 transcript:ENSMUST00000112585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec1 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 1 [Source:MGI Symbol;Acc:MGI:103298] MSSETGPVAVDPTLRRRIEPHEFEVFFDPRELRKETCLLYEINWGGRHSVWRHTSQNTSN HVEVNFLEKFTTERYFRPNTRCSITWFLSWSPCGECSRAITEFLSRHPYVTLFIYIARLY HHTDQRNRQGLRDLISSGVTIQIMTEQEYCYCWRNFVNYPPSNEAYWPRYPHLWVKLYVL ELYCIILGLPPCLKILRRKQPQLTFFTITLQTCHYQRIPPHLLWATGLK >ENSMUSP00000145417.1 pep:known chromosome:GRCm38:6:122581430:122602387:-1 gene:ENSMUSG00000040613.14 transcript:ENSMUST00000203309.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec1 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 1 [Source:MGI Symbol;Acc:MGI:103298] MSSETGPVAVDPTLRRRIEPHEFEVFFDPRELRKETCLLYEINWGGRHSVWRHTSQNTSN HVEVNFLEKFTTERYFRPNTRCSITWFLSWSPCGECSRAITEFLSRHPYVTLFIYIARLY H >ENSMUSP00000145154.1 pep:known chromosome:GRCm38:6:122581456:122600358:-1 gene:ENSMUSG00000040613.14 transcript:ENSMUST00000203204.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec1 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 1 [Source:MGI Symbol;Acc:MGI:103298] MSSETGPVAVDPTLRRRIEPHEFEVFFDPRELRKETCLLYEINWGGRHSVWRHTSQNTSN HVEVNFLEKFTTERYFRPNTRCSITWFLSWSPCGECSRAITEFLSRHPYVTLF >ENSMUSP00000144996.1 pep:known chromosome:GRCm38:6:122581638:122602329:-1 gene:ENSMUSG00000040613.14 transcript:ENSMUST00000203197.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec1 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 1 [Source:MGI Symbol;Acc:MGI:103298] MSSETGEELSPTSLKSSLTPGSFGKRPVCCMRSTGVEGTVSGD >ENSMUSP00000116238.1 pep:known chromosome:GRCm38:6:122587322:122602370:-1 gene:ENSMUSG00000040613.14 transcript:ENSMUST00000147760.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec1 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 1 [Source:MGI Symbol;Acc:MGI:103298] MSSETGPVAVDPTLRHGNPGCPGTF >ENSMUSP00000108206.4 pep:known chromosome:GRCm38:6:122577799:122600127:-1 gene:ENSMUSG00000040613.14 transcript:ENSMUST00000112587.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec1 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 1 [Source:MGI Symbol;Acc:MGI:103298] MSSETGPVAVDPTLRRRIEPHEFEVFFDPRELRKETCLLYEINWGGRHSVWRHTSQNTSN HVEVNFLEKFTTERYFRPNTRCSITWFLSWSPCGECSRAITEFLSRHPYVTLFIYIARLY HHTDQRNRQGLRDLISSGVTIQIMTEQEYCYCWRNFVNYPPSNEAYWPRYPHLWVKLYVL ELYCIILGLPPCLKILRRKQPQLTFFTITLQTCHYQRIPPHLLWATGLK >ENSMUSP00000096271.4 pep:known chromosome:GRCm38:9:58652856:58741559:-1 gene:ENSMUSG00000074269.10 transcript:ENSMUST00000098674.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rec114 description:REC114 meiotic recombination protein [Source:MGI Symbol;Acc:MGI:1920923] MSEAGNVASGLGLPGEVSQWSLKRYGRFMLLDNVGSPGPSSEAAAAGSPTWKVFESSEES GSLVLTIVVSGHFFISQGQTLLEGFSLIGSKNWLKIVRRMDCLLFGTTIKNKSRMFRVQF SGESKEEALERCCGCVQTLAQYVTVQEPDSTTQELQQSQGPREAGESQGKDPLQQGPSLT LEQHVCMAAGAGVLQERTSVTHRAQSILAPEKLTLAYEGSSWGTEELGPFLRLCLMDQNF PAFVEEVEKELKKITGLRN >ENSMUSP00000139758.1 pep:known chromosome:GRCm38:9:58652881:58660367:-1 gene:ENSMUSG00000074269.10 transcript:ENSMUST00000186592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rec114 description:REC114 meiotic recombination protein [Source:MGI Symbol;Acc:MGI:1920923] XSRMFRVQFSGESKEEALERCCGCVQTLAQYVTVQEPDSTTQELQQSQGPREAGESQGKD PLQQGSILAPEKLTLAYEGSSWGTEELGPFLRLCLMDQNFPAFVEEVEKELKKITGLRN >ENSMUSP00000139686.1 pep:known chromosome:GRCm38:9:58665833:58743964:-1 gene:ENSMUSG00000074269.10 transcript:ENSMUST00000186960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rec114 description:REC114 meiotic recombination protein [Source:MGI Symbol;Acc:MGI:1920923] MDCLLFGTTIK >ENSMUSP00000124709.1 pep:known chromosome:GRCm38:6:91761509:91807456:-1 gene:ENSMUSG00000030098.15 transcript:ENSMUST00000162300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip2 description:glutamate receptor interacting protein 2 [Source:MGI Symbol;Acc:MGI:2681173] MLAVSLKWRLGVVRRRPKDDGPYSKGGKDTAGADGALVCRRQSIPEEFRGITMVELIKRE GSTLGLTISGGTDKDGKPRVSNLRPGGLAARSDLLNVGDYIRSVNGIHLTRLRHDEIITL LKNVGERVVLEVEYELPPPAPENNPRIISKTVDVSLYKEGNSFGFVLRGGAHEDLHKSRP LVLTYVRPGGPADREGSLKVGDRLLSIDGIPLHGASHATAIATLQQCSHEALFQVEYDVA TPDTVANASGPLVVEIAKTPGSALGISLTTGSHRNKPAITIDRIKPASVVDRSGALHAGD HILAIDGTSTEHCSLVEATKLLASVTEKVRLEILPSPQSRRPLKPPEAVRIQRSEQLHRW DPSAPSCHSPRPSHCRAPTWAAGGPDQSRSVSSTPFSSPTMNPAFPCANASTLPRGPMSP RTTAGRRRQRRKEHRSSLSLASSTVGPGGQIVHTETTEVVLCGDPLSGFGLQLQGGIFAT ETLSSPPLVRFIEPDSPAERCGLLQVGDRVLAINGIATEDGTMEEANQLLRDAALARKVV LEIEFDVAESVIPSSGTFHVKLPKRRGVELGITISSASRKRGEPLIISDIKKGSVAHRTG TLEPGDKLLAIDNIRLDHCPMEDAVQILRQCEDLVKLKIRKDEDNSDEQESSGAVSYTVE LKRYGGPLGITISGTEEPFDPIIISGLTKRGLAERTGAIHVGDRILAINSVSLKGRPLSE AIHLLQVAGETVTLKIKKQLDRPLLPRQSGSLSEASDVDEDPPEALKGGLLATRFSPAVP SVDSAVESWGSSATDGGFGGTGSYTPQVAVRSVTPQEWRPSRLKSSPPPLEPRRTSYTPG PSDESFPEEEGDWEPPMSPAPGPAREEGFWRVLGEALEDLESCGQSELLRELEASIMTGT VHSVAVDGRPGSRPWRRSREVRTSPEDLQELLLPTPLEMHRVTLHKDPVRNDFGFSVSDG LLEKGVYVHTVRIDGPAQLGGLQPFDRLLQVNHVRTRDFDCCLAVPLLAEAGDILELVVS RNPLAQSRRTPGAPGPSSPQML >ENSMUSP00000124717.1 pep:known chromosome:GRCm38:6:91761509:91827250:-1 gene:ENSMUSG00000030098.15 transcript:ENSMUST00000162293.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Grip2 description:glutamate receptor interacting protein 2 [Source:MGI Symbol;Acc:MGI:2681173] MVELIKREGSTLGLTISGGTDKDGKPRVSNLRPGGLAARSDLLNVGDYIRSVNGIHLTRL RHDEIITLLKNVGERVVLEVEYELPPPAPENNPRIISKTVDVSLYKEGNSFGFVLRGGAH EDLHKSRPLVLTYVRPGGPADREGSLKVGDRLLSIDGIPLHGASHATAIATLQQCSHEAL FQVEYDVATPDTVANASGPLVVEIAKTPGSALGISLTTGSHRNKPAITIDRIKPASVVDR SGALHAGDHILAIDGTSTEHCSLVEATKLLASVTEKVRLEILPSPQSRRPLKPPEAVRIQ RSEQLHRWDPSAPSCHSPRPSHCRAPTWAAGGPDQSRSVSSTPFSSPTMNPAFPCANAST LPRGPMSPRTTAGRRRQRRKEHRSSLSLASSTVGPGGQIVHTETTEVVLCGDPLSGFGLQ LQGGIFATETLSSPPLVRFIEPDSPAERCGLLQVGDRVLAINGIATEDGTMEEANQLLRD AALARKVVLEIEFDVAESVIPSSGTFHVKLPKRRGVELGITISSASRKRGEPLIISDIKK GSVAHRTGTLEPGDKLLAIDNIRLDHCPMEDAVQILRQCEDLVKLKIRKDEDNSDEQESS GAVSYTVELKRYGGPLGITISGTEEPFDPIIISGLTKRGLAERTGAIHVGDRILAINSVS LKGRPLSEAIHLLQVAGETVTLKIKKQLDRPLLPRQSGSLSEASDVDEDPPEALKGGLLA TRFSPAVPSVDSAVESWGSSATDGGFGGTGSYTPQVAVRSVTPQEWRPSRLKSSPPPLEP RRTSYTPGPSDESFPEEEGDWEPPMRRPVSPLSTPSHLPLF >ENSMUSP00000125047.1 pep:known chromosome:GRCm38:6:91763448:91807318:-1 gene:ENSMUSG00000030098.15 transcript:ENSMUST00000159684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grip2 description:glutamate receptor interacting protein 2 [Source:MGI Symbol;Acc:MGI:2681173] MLAVSLKWRLGVVRRRPKDDGPYSKGGKDTAGADGALVCRRQSIPEEFRGITMVELIKRE GSTLGLTISGGTDKDGKPRVSNLRPGGLAARSDLLNVGDYIRSVNGIHLTRLRHDEIITL LKNVGERVVLEVEYELPPPAPENNPRIISKTVDVSLYKEGNSFGFVLRGGAHEDLHKSRP LVLTYVRPGGPADREGSLKVGDRLLSIDGIPLHGASHATAIATLQQCSHEALFQVEYDVA TPDTVANASGPLVVEIAKTPGSALGISLTTGSHRNKPAITIDRIKPASVVDRSGALHAGD HILAIDGTSTEHCSLVEATKLLASVTEKVRLEILPSPQSRRPLKPPEAAVSSTPFSSPTM NPAFPCANASTLPRGPMSPRTTAGRRRQRRKEHRSSLSLASSTVGPGGQIVHTETTEVVL CGDPLSGFGLQLQGGIFATETLSSPPLVRFIEPDSPAERCGLLQVGDRVLAINGIATEDG TMEEANQLLRDAALARKVVLEIEFDVAESVIPSSGTFHVKLPKRRGVELGITISSASRKR GEPLIISDIKKGSVAHRTGTLEPGDKLLAIDNIRLDHCPMEDAVQILRQCEDLVKLKIRK DEDNSDEQESSGAVSYTVELKRYGGPLGITISGTEEPFDPIIISGLTKRGLAERTGAIHV GDRILAINSVSLKGRPLSEAIHLLQVAGETVTLKIKKQLDRPLLPRQSGSLSEASDVDED PPEALKGGLLATRFSPAVPSVDSAVESWGSSATDGGFGGTGSYTPQVAVRSVTPQEWRPS RLKSSPPPLEPRRTSYTPGPSDESFPEEEGDWEPPMSPAPGPAREEGFWRVLGEALEDLE SCGQSELLRELEASIMTGTVHSVAVDGRPGSRPWRRSREVRTSPEDLQELLLPTPLEMHR VTLHKDPVRNDFGFSVSDGLLEKGVYVHTVRIDGPAQLGGLQPFDRLLQVNHVRTRDFDC CLAVPLLAEAGDILELVVSRNPLAQSRRTPGAPGPSSPQML >ENSMUSP00000123941.1 pep:known chromosome:GRCm38:6:91783657:91807393:-1 gene:ENSMUSG00000030098.15 transcript:ENSMUST00000161566.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Grip2 description:glutamate receptor interacting protein 2 [Source:MGI Symbol;Acc:MGI:2681173] MLAVSLKWRLGVVRRRPKDDGPYSKGGKDTAGADGALVCRRQSIPEEFRGITMVELIKRE GSTLGLTISGGTDKDGKPRVSNLRPGGLAARSDLLNVGDYIRSVNGIHLTRLRHDEIITL LKNVGERVVLELPKTTQGSFPRRWTSPSTRKATALALSSEEVPMRTCTNPAHWS >ENSMUSP00000125538.1 pep:known chromosome:GRCm38:6:91784730:91796244:-1 gene:ENSMUSG00000030098.15 transcript:ENSMUST00000161545.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Grip2 description:glutamate receptor interacting protein 2 [Source:MGI Symbol;Acc:MGI:2681173] XDMLCGLSRDSSAEADDGPYSKGGKDTAGADGALVCRRQSIPEEFRGITMVELIKREGST LGLTISGGTDKDGKPRVSNLRPGGLAARAPSWQTLYSFPPHATPKPLPPESPPGLPTKE >ENSMUSP00000041293.3 pep:known chromosome:GRCm38:3:153844209:153855082:1 gene:ENSMUSG00000038997.4 transcript:ENSMUST00000044089.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb17 description:ankyrin repeat and SOCS box-containing 17 [Source:MGI Symbol;Acc:MGI:1914022] MNNSSKLCRKTSFPRSNIFCNLVDKIVKRPSLQFLGQWGYHCYEPRIYRTLAKILRYVDL DGFDILLTDYIAFVEKSGHRFELNFNLEFTEICVNTILYWVFARKGNPDFVELLLKKTKD YVQDRSCSLALIWRTFTPVYCPSPLSGITPLLYVAQTRQSNILKILLQYGILEREKNPIN IVLTILLYPSRVRIMVDHELIDIQEDAKTCLMLCSRVLSTISVREIETQLSLGRRPIIQN WLDYIPPTRYKDPCELVHLCRITIRTQLLANNMLPNGIFSLLIPTRLQNFLNLES >ENSMUSP00000142678.1 pep:known chromosome:GRCm38:3:153844266:153853611:1 gene:ENSMUSG00000038997.4 transcript:ENSMUST00000198849.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asb17 description:ankyrin repeat and SOCS box-containing 17 [Source:MGI Symbol;Acc:MGI:1914022] MNNSSKLCRKTSFPRSNIFCNLVDKIVKRPSLQFLGQWGYHCYEPRIYRTLAKILRYVDL DGFDILLTDYIAFVEKSGHRFELNFNLEFTEICVNTILYWVFARKGNPDFVELLLKKTKD YVQDRSCSLALIWR >ENSMUSP00000145837.1 pep:known chromosome:GRCm38:7:126797668:126830215:1 gene:ENSMUSG00000058966.13 transcript:ENSMUST00000205324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam57b description:family with sequence similarity 57, member B [Source:MGI Symbol;Acc:MGI:1916202] MALLFLLGCVFFPLCFVVLRWGLQNRTSLRMERQEAVLVASKLVSSVQAIMASTAGYIVS TSCKHIIDDQHWLSSAYTQFAVPYFIYDIYAMFLCHWHKHQVKGHGGEDGTPRALGSTWA VVRGYLHKEFLMVLHHAAMVLVCFPLSVVWRQGKGDFFLGCMLMAEVSTPFVCLGKILIQ YKQQHTLLHKVNGALMLLSFLCCRVLLFPYLYWAYGRHAGLPLLSVPMAIPAHVNLGAAL LLAPQLYWFFLICRGACRLFRPRGSPPPSPCQTQD >ENSMUSP00000145881.1 pep:known chromosome:GRCm38:7:126816884:126827531:1 gene:ENSMUSG00000058966.13 transcript:ENSMUST00000205722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam57b description:family with sequence similarity 57, member B [Source:MGI Symbol;Acc:MGI:1916202] MALLFLLGCVFFPLCFVVLRWGLQNRTSLRMERQEAVLVASKLVSSVQAIMASTAGYIVS TSCKHIIDDQHWLSSAYTQFAVPYFIYDIYA >ENSMUSP00000078392.5 pep:known chromosome:GRCm38:7:126823303:126830214:1 gene:ENSMUSG00000058966.13 transcript:ENSMUST00000079423.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam57b description:family with sequence similarity 57, member B [Source:MGI Symbol;Acc:MGI:1916202] MLTPMVAGGVVFPGLFLLSKNTLQRLPQLRWEEADAVIVSARLVSSVQAIMASTAGYIVS TSCKHIIDDQHWLSSAYTQFAVPYFIYDIYAMFLCHWHKHQVKGHGGEDGTPRALGSTWA VVRGYLHKEFLMVLHHAAMVLVCFPLSVVWRQGKGDFFLGCMLMAEVSTPFVCLGKILIQ YKQQHTLLHKVNGALMLLSFLCCRVLLFPYLYWAYGRHAGLPLLSVPMAIPAHVNLGAAL LLAPQLYWFFLICRGACRLFRPRGSPPPSPCQTQD >ENSMUSP00000145721.1 pep:known chromosome:GRCm38:7:126824653:126830215:1 gene:ENSMUSG00000058966.13 transcript:ENSMUST00000207020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam57b description:family with sequence similarity 57, member B [Source:MGI Symbol;Acc:MGI:1916202] MASTAGYIVSTSCKHIIDDQHWLSSAYTQFAVPYFIYDIYAMFLCHWHKHQVKGHGGEDG TPRALGSTWAVVRGYLHKEFLMVLHHAAMVLVCFPLSVVWRQGKGDFFLGCMLMAEVSTP FVCLGKILIQYKQQHTLLHKVNGALMLLSFLCCRVLLFPYLYWAYGRHAGLPLLSVPMAI PAHVNLGAALLLAPQLYWFFLICRGACRLFRPRGSPPPSPCQTQD >ENSMUSP00000095640.4 pep:known chromosome:GRCm38:7:126816885:126830219:1 gene:ENSMUSG00000058966.13 transcript:ENSMUST00000098032.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam57b description:family with sequence similarity 57, member B [Source:MGI Symbol;Acc:MGI:1916202] MALLFLLGCVFFPLCFVVLRWGLQNRTSLRMERQEAVLVASKLVSSVQAIMASTAGYIVS TSCKHIIDDQHWLSSAYTQFAVPYFIYDIYAMFLCHWHKHQVKGHGGEDGTPRALGSTWA VVRGYLHKEFLMVLHHAAMVLVCFPLSVVWRQGKGDFFLGCMLMAEVSTPFVCLGKILIQ YKQQHTLLHKVNGALMLLSFLCCRVLLFPYLYWAYGRHAGLPLLSVPMAIPAHVNLGAAL LLAPQLYWFFLICRGACRLFRPRGSPPPSPCQTQD >ENSMUSP00000078024.6 pep:known chromosome:GRCm38:6:116338024:116409540:1 gene:ENSMUSG00000025702.15 transcript:ENSMUST00000079012.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March8 description:membrane-associated ring finger (C3HC4) 8 [Source:MGI Symbol;Acc:MGI:1919029] MSMPLHQISAIPSQDAISARVYRSKTKDKEQNEKTLGHSMSHPSNISKAGSSPPSTTAPV SAFSRTSVTPSNQDICRICHCEGDDESPLITPCHCTGSLHFVHQACLQQWIKSSDTRCCE LCKYEFIMETKLKPLRKWEKLQMTASERRKIMCSVTFHVIAITCVVWSLYVLIDRTAEEI KQGQVTGILEWPFWTKLVVVAIGFTGGLLFMYVQCKVYLQLWKRLKAYNRVIYVQNCPET SKKNIFEKSALTEPTLENKEGHGMCHSTTNSSCTEPEDTGAEIINV >ENSMUSP00000098594.3 pep:known chromosome:GRCm38:6:116338033:116409540:1 gene:ENSMUSG00000025702.15 transcript:ENSMUST00000101032.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March8 description:membrane-associated ring finger (C3HC4) 8 [Source:MGI Symbol;Acc:MGI:1919029] MSMPLHQISAIPSQDAISARVYRSKTKDKEQNEKTLGHSMSHPSNISKAGSSPPSTTAPV SAFSRTSVTPSNQDICRICHCEGDDESPLITPCHCTGSLHFVHQACLQQWIKSSDTRCCE LCKYEFIMETKLKPLRKWEKLQMTASERRKIMCSVTFHVIAITCVVWSLYVLIDRTAEEI KQGQVTGILEWPFWTKLVVVAIGFTGGLLFMYVQCKVYLQLWKRLKAYNRVIYVQNCPET SKKNIFEKSALTEPTLENKEGHGMCHSTTNSSCTEPEDTGAEIINV >ENSMUSP00000144936.1 pep:known chromosome:GRCm38:6:116338034:116406356:1 gene:ENSMUSG00000025702.15 transcript:ENSMUST00000123405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March8 description:membrane-associated ring finger (C3HC4) 8 [Source:MGI Symbol;Acc:MGI:1919029] MSMPLHQISAIPSQDAISARVYRSKTKDKEQNEKTLGHSMSHPSNISKAGSSPPSTTAPV SAFSRTSVTPSNQDICSSSAVFSECCHFSPVQSAVVLKAPPCQSSLTQGLTVTVLCKDTL QASKKNPFGSDWDQVLTPAKNTKTRRALRFSKSLSDVGEKTQESLESFDYMERTCSEGKL VLPQGSSLKKNRLRHKEKRAEHCPPLSHSKHSPILSLSTNHSAVSKREAGKGSVRIPLLE EKADGEARLRSQRLLRYLFSLSRGSSASSLHRFHELESHASHLHTAKSSSWLAGSMDFCS DEMGDDDVFEDTSSAKLKNRVLRAPLCSVEKDSDLDCPSLLSEKCTPISPVSTSGDACRI CHCEGDDESPLITPCHCTGSLHFVHQACLQQWIKSSDTRCCELCKYEFIMETKLKPLRKW EKLQMTASERRKIMCSVTFHVIAITCVVWSLYVLIDRTAEEIKQGQVTGILEWPFWTKLV VVAIGFTGGLLFMYVQCKVYLQLWKRLKAYNRVIYVQNCPETSKKNIFEKSALTEPTLEN KEGHGMCHSTTNSSCTEPEDTGAEIINV >ENSMUSP00000145351.1 pep:known chromosome:GRCm38:6:116338044:116405753:1 gene:ENSMUSG00000025702.15 transcript:ENSMUST00000204657.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March8 description:membrane-associated ring finger (C3HC4) 8 [Source:MGI Symbol;Acc:MGI:1919029] MSMPLHQISAIPSQDAISARVYRSKTKDKEQNEKTLGHSMSHPSNISKAGSSPPSTTAPV SAFSRTSVTPSNQDICRICHCEGDDESPLITPCHCTGSLHFVHQACLQQWIKSSDTRCCE LCKYEFIMETKLKPLRKWEKLQMTASERRKIMCSVTFHVIAITCVVWSLYVLIDRTA >ENSMUSP00000145237.1 pep:known chromosome:GRCm38:6:116338053:116405741:1 gene:ENSMUSG00000025702.15 transcript:ENSMUST00000203116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March8 description:membrane-associated ring finger (C3HC4) 8 [Source:MGI Symbol;Acc:MGI:1919029] METKLKPLRKWEKLQMTASERRKIMCSVTFHVIAITCVVWSLYVL >ENSMUSP00000145137.1 pep:known chromosome:GRCm38:6:116338056:116409538:1 gene:ENSMUSG00000025702.15 transcript:ENSMUST00000203193.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March8 description:membrane-associated ring finger (C3HC4) 8 [Source:MGI Symbol;Acc:MGI:1919029] MSHPSNISKAGSSPPSTTAPVSAFSRTSVTPSNQDICRICHCEGDDESPLITPCHCTGSL HFVHQACLQQWIKSSDTRCCELCKYEFIMETKLKPLRKWEKLQMTASERRKIMCSVTFHV IAITCVVWSLYVLIDRTAEEIKQGQVTGILEWPFWTKLVVVAIGFTGGLLFMYVQCKVYL QLWKRLKAYNRVIYVQNCPETSKKNIFEKSALTEPTLENKEGHGMCHSTTNSSCTEPEDT GAEIINV >ENSMUSP00000145270.1 pep:known chromosome:GRCm38:6:116338064:116376802:1 gene:ENSMUSG00000025702.15 transcript:ENSMUST00000126376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March8 description:membrane-associated ring finger (C3HC4) 8 [Source:MGI Symbol;Acc:MGI:1919029] MSMPLHQISA >ENSMUSP00000145060.1 pep:known chromosome:GRCm38:6:116350892:116403428:1 gene:ENSMUSG00000025702.15 transcript:ENSMUST00000140884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March8 description:membrane-associated ring finger (C3HC4) 8 [Source:MGI Symbol;Acc:MGI:1919029] MSMPLHQISAIPSQDAISARVYRSKTKDKEQNEKTLGHSMSHPSNISKAGSSPPSTTAPV SAFSRTSVTPSNQDICRIC >ENSMUSP00000145054.1 pep:known chromosome:GRCm38:6:116350933:116376863:1 gene:ENSMUSG00000025702.15 transcript:ENSMUST00000129170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March8 description:membrane-associated ring finger (C3HC4) 8 [Source:MGI Symbol;Acc:MGI:1919029] MSMPLHQISAIPSQDAISARVYRSKTKDKEQ >ENSMUSP00000115510.2 pep:known chromosome:GRCm38:6:116386224:116406224:1 gene:ENSMUSG00000025702.15 transcript:ENSMUST00000135901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March8 description:membrane-associated ring finger (C3HC4) 8 [Source:MGI Symbol;Acc:MGI:1919029] MHSCWKMKLQNEKTLGHSMSHPSNISKAGSSPPSTTAPVSAFSRTSVTPSNQDICRICHC EGDDESPLITPCHCTGSLHFVHQACLQQWIKSSDTRCCELCKYEFIMETKLKPLRKWEKL QMTASERRKIMCSVTFHVIAITCVVWSLYVLIDRTAEEIKQGQVTGILEWPFWTKLVVVA IGFTGGLLFMYVQCKVYLQLWKRLKAYNRVIYVQNCPETSKKNIFEKSALTE >ENSMUSP00000032934.5 pep:known chromosome:GRCm38:7:126795234:126799254:-1 gene:ENSMUSG00000030695.14 transcript:ENSMUST00000032934.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoa description:aldolase A, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87994] MPHPYPALTPEQKKELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYR QLLLTADDRVNPCIGGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTN GETTTQGLDGLSERCAQYKKDGADFAKWRCVLKIGEHTPSALAIMENANVLARYASICQQ NGIVPIVEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTPGHAC TQKFSNEEIAMATVTALRRTVPPAVTGVTFLSGGQSEEEASINLNAINKCPLLKPWALTF SYGRALQASALKAWGGKKENLKAAQEEYIKRALANSLACQGKYTPSGQSGAAASESLFIS NHAY >ENSMUSP00000101955.1 pep:known chromosome:GRCm38:7:126795235:126800447:-1 gene:ENSMUSG00000030695.14 transcript:ENSMUST00000106348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoa description:aldolase A, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87994] MPHPYPALTPEQKKELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYR QLLLTADDRVNPCIGGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTN GETTTQGLDGLSERCAQYKKDGADFAKWRCVLKIGEHTPSALAIMENANVLARYASICQQ NGIVPIVEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTPGHAC TQKFSNEEIAMATVTALRRTVPPAVTGVTFLSGGQSEEEASINLNAINKCPLLKPWALTF SYGRALQASALKAWGGKKENLKAAQEEYIKRALANSLACQGKYTPSGQSGAAASESLFIS NHAY >ENSMUSP00000084846.4 pep:known chromosome:GRCm38:7:126795236:126799141:-1 gene:ENSMUSG00000030695.14 transcript:ENSMUST00000087566.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoa description:aldolase A, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87994] MATRRPDGSSFNMTRLSLALAFSFPPVASEQPHSELGNTQQQTELGKESTATGTMPHPYP ALTPEQKKELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYRQLLLTA DDRVNPCIGGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTNGETTTQ GLDGLSERCAQYKKDGADFAKWRCVLKIGEHTPSALAIMENANVLARYASICQQNGIVPI VEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTPGHACTQKFSN EEIAMATVTALRRTVPPAVTGVTFLSGGQSEEEASINLNAINKCPLLKPWALTFSYGRAL QASALKAWGGKKENLKAAQEEYIKRALANSLACQGKYTPSGQSGAAASESLFISNHAY >ENSMUSP00000118417.1 pep:known chromosome:GRCm38:7:126796039:126800046:-1 gene:ENSMUSG00000030695.14 transcript:ENSMUST00000151137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoa description:aldolase A, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87994] MPHPYPALTPEQKKELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYR QLLLTADDRVNPCIGGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTN GETTTQGLDGLSERCAQYKKDGADFAKWRCVLKIGEHTPSALAIMENANVLARYASICQQ NGIVPIVEPEILPDGDHDLKRCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTPG >ENSMUSP00000146060.1 pep:known chromosome:GRCm38:7:126796271:126798993:-1 gene:ENSMUSG00000030695.14 transcript:ENSMUST00000205890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoa description:aldolase A, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87994] MPHPYPALTPEQKKELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYR QLLLTADDRVNPCIGGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTN GETTTQGLDGLSERCAQYKKDGADFAKWRCVLKIGEHTPSALAIMENANVLARYASICQQ NGIVPIVEPE >ENSMUSP00000146116.1 pep:known chromosome:GRCm38:7:126796668:126799109:-1 gene:ENSMUSG00000030695.14 transcript:ENSMUST00000205336.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoa description:aldolase A, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87994] MATRRPDGSSFNMTRLSLALAFSFPPVASEQPHSELGNTQQQTELGKESTATGTMPHPYP ALTPEQKKELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYRQLLLTA DDRVNPCIGGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTNGETTTQ GLDGLSERCAQYKKDGADFAKWRCVLKIG >ENSMUSP00000114587.1 pep:known chromosome:GRCm38:7:126796718:126800036:-1 gene:ENSMUSG00000030695.14 transcript:ENSMUST00000133514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoa description:aldolase A, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87994] MATRRPDGSSFNMTRLSLALAFSFPPVASEQPHSELGNTQQQTELGKESTATGTMPHPYP ALTPEQKKELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYRQLLLTA DDRVNPCIGGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTNGETTTQ GLDGLSERCAQYK >ENSMUSP00000117349.1 pep:known chromosome:GRCm38:7:126796840:126808905:-1 gene:ENSMUSG00000030695.14 transcript:ENSMUST00000141355.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoa description:aldolase A, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87994] MPHPYPALTPEQKKELSDIAHRIVAPGKGILAADESTGSIAKRLQSIGTENTEENRRFYR QLLLTADDRVNPCIGGVILFHETLYQKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTN GETTTQGKNDL >ENSMUSP00000147238.1 pep:known chromosome:GRCm38:7:126800790:126809108:-1 gene:ENSMUSG00000030695.14 transcript:ENSMUST00000153686.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aldoa description:aldolase A, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87994] MDFCIPPGISSPAHGFLCASPGLPWPVESSPGFHRPFLATSHPCYRLHRGGHLFVAAGPS AAD >ENSMUSP00000147053.1 pep:known chromosome:GRCm38:7:126800881:126808875:-1 gene:ENSMUSG00000030695.14 transcript:ENSMUST00000207534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoa description:aldolase A, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87994] MDASPGPWNPAPASIGPSLQLPTPAIVFIAVGIYLLLLGLVLLTRHCLLAQGCCTDCSSP CRKQGASETQDCCWSCAEACDFPLPSPTHCLDACCPHLSEAGWAPRCPGCCPLCDCACAC QLPDCQSLNCLCFEIKLR >ENSMUSP00000072761.3 pep:known chromosome:GRCm38:2:153649450:153687730:1 gene:ENSMUSG00000027478.15 transcript:ENSMUST00000072997.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3b description:DNA methyltransferase 3B [Source:MGI Symbol;Acc:MGI:1261819] MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRR SSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVR TRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASV DFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKI KGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFN KLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKK QPVVNKSKVRRSDSRNLEPRRRENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEE SRERMASEVTNNKGNLEDRCLSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQ SYCTVCCEGRELLLCSNTSCCRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGV LRRRKDWNMRLQDFFTTDPDLEEFEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKEL GIKVEKYIASEVCAESIAVGTVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCNDL SNVNPARKGLYEGTGRLFFEFYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRFL ACNPVMIDAIKVSAAHRARYFWGNLPGMNRPVMASKNDKLELQDCLEFSRTAKLKKVQTI TTKSNSIRQGKNQLFPVVMNGKDDVLWCTELERIFGFPAHYTDVSNMGRGARQKLLGRSW SVPVIRHLFAPLKDYFACE >ENSMUSP00000105395.1 pep:known chromosome:GRCm38:2:153649450:153687730:1 gene:ENSMUSG00000027478.15 transcript:ENSMUST00000109773.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3b description:DNA methyltransferase 3B [Source:MGI Symbol;Acc:MGI:1261819] MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRR SSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVR TRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASV DFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKI KGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFN KLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKK QPENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEESRERMASEVTNNKGNLEDRC LSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQSYCTVCCEGRELLLCSNTSC CRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGVLRRRKDWNMRLQDFFTTDPD LEEFEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKELGIKVEKYIASEVCAESIAVG TVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCNDLSNVNPARKGLYEGTGRLFFE FYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRFLACNPVMIDAIKVSAAHRARY FWGNLPGMNRPVMASKNDKLELQDCLEFSRTAKLKKVQTITTKSNSIRQGKNQLFPVVMN GKDDVLWCTELERIFGFPAHYTDVSNMGRGARQKLLGRSWSVPVIRHLFAPLKDYFACE >ENSMUSP00000105396.2 pep:known chromosome:GRCm38:2:153649453:153687730:1 gene:ENSMUSG00000027478.15 transcript:ENSMUST00000109774.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3b description:DNA methyltransferase 3B [Source:MGI Symbol;Acc:MGI:1261819] MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRR SSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVR TRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASV DFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKI KGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFN KLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKK QPVVNKSKVRRSDSRNLEPRRRENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEE SRERMASEVTNNKGNLEDRCLSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQ SYCTVCCEGRELLLCSNTSCCRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGV LRRRKDWNMRLQDFFTTDPDLEEFEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKEL GIKVEKYIASEVCAESIAVGTVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCNDL SNVNPARKGLYEGTGRLFFEFYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRFL ACNPVMIDAIKVSAAHRARYFWGNLPGMNRPVMASKNDKLELQDCLEFSRTAKLKKVQTI TTKSNSIRQGKNQLFPVVMNGKDDVLWCTELERIFGFPAHYTDVSNMGRGARQKLLGRSW SVPVIRHLFAPLKDYFACE >ENSMUSP00000080334.6 pep:known chromosome:GRCm38:2:153649453:153687730:1 gene:ENSMUSG00000027478.15 transcript:ENSMUST00000081628.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3b description:DNA methyltransferase 3B [Source:MGI Symbol;Acc:MGI:1261819] MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRR SSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVR TRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASV DFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKI KGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFN KLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKK QPENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEESRERMASEVTNNKGNLEDRC LSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQSYCTVCCEGRELLLCSNTSC CRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGVLRRRKDWNMRLQDFFTTDPD LEEFEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKELGIKVEKYIASEVCAESIAVG TVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCNDLSNVNPARKGLYEGTGRLFFE FYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRFLACNPVMIDAIKVSAAHRARY FWGNLPGMNRPVMASKNDKLELQDCLEFSRTAKLKKVQTITTKSNSIRQGKNQLFPVVMN GKDDVLWCTELERIFGFPAHYTDVSNMGRGARQKLLGRSWSVPVIRHLFAPLKDYFACE >ENSMUSP00000099440.1 pep:known chromosome:GRCm38:2:153649453:153687730:1 gene:ENSMUSG00000027478.15 transcript:ENSMUST00000103151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3b description:DNA methyltransferase 3B [Source:MGI Symbol;Acc:MGI:1261819] MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRR SSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVR TRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASV DFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKI KGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFN KLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKK QPENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEESRERMASEVTNNKGNLEDRC LSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQSYCTVCCEGRELLLCSNTSC CRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGVLRRRKDWNMRLQDFFTTDPD LEEFEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKELGIKVEKYIASEVCAESIAVG TVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCNDLSNVNPARKGLYEGTGRLFFE FYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRFLACNPVMIDAIKVSAAHRARY FWGNLPGMNRIFGFPAHYTDVSNMGRGARQKLLGRSWSVPVIRHLFAPLKDYFACE >ENSMUSP00000086370.5 pep:known chromosome:GRCm38:2:153649454:153687730:1 gene:ENSMUSG00000027478.15 transcript:ENSMUST00000088976.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3b description:DNA methyltransferase 3B [Source:MGI Symbol;Acc:MGI:1261819] MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRR SSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVR TRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASV DFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKI KGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFN KLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKK QPVVNKSKVRRSDSRNLEPRRRENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEE SRERMASEVTNNKGNLEDRCLSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQ SYCTVCCEGRELLLCSNTSCCRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGV LRRRKDWNMRLQDFFTTDPDLEEFEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKEL GIKVEKYIASEVCAESIAVGTVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCNDL SNVNPARKGLYEGTGRLFFEFYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRFL ACNPVMIDAIKVSAAHRARYFWGNLPGMNRIFGFPAHYTDVSNMGRGARQKLLGRSWSVP VIRHLFAPLKDYFACE >ENSMUSP00000105394.1 pep:known chromosome:GRCm38:2:153649454:153687730:1 gene:ENSMUSG00000027478.15 transcript:ENSMUST00000109772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3b description:DNA methyltransferase 3B [Source:MGI Symbol;Acc:MGI:1261819] MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRR SSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVR TRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASV DFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKI KGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFN KLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKK QPVVNKSKVRRSDSRNLEPRRRENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEE SRERMASEVTNNKGNLEDRCLSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQ SYCTVCCEGRELLLCSNTSCCRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGV LRRRKDWNMRLQDFFTTDPDLEEFEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKEL GIKVEKYIASEVCAESIAVGTVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCNDL SNVNPARKGLYEGTGRLFFEFYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRFL ACNPVMIDAIKVSAAHRARYFWGNLPGMNRIFGFPAHYTDVSNMGRGARQKLLGRSWSVP VIRHLFAPLKDYFACE >ENSMUSP00000099439.3 pep:known chromosome:GRCm38:2:153649454:153687730:1 gene:ENSMUSG00000027478.15 transcript:ENSMUST00000103150.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3b description:DNA methyltransferase 3B [Source:MGI Symbol;Acc:MGI:1261819] MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRR SSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVR TRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASV DFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKI KGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFN KLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKK QPENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEESRERMASEVTNNKGNLEDRC LSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQSYCTVCCEGRELLLCSNTSC CRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGVLRRRKDWNMRLQDFFTTDPD LEEFEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKELGIKVEKYIASEVCAESIAVG TVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCNDLSNVNPARKGLYEGTGRLFFE FYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRFLACNPVMIDAIKVSAAHRARY FWGNLPGMNRIFGFPAHYTDVSNMGRGARQKLLGRSWSVPVIRHLFAPLKDYFACE >ENSMUSP00000105393.1 pep:known chromosome:GRCm38:2:153649617:153687316:1 gene:ENSMUSG00000027478.15 transcript:ENSMUST00000109771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3b description:DNA methyltransferase 3B [Source:MGI Symbol;Acc:MGI:1261819] MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRR SSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVR TRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASV DFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKI KGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFN KLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKK QPVVNKSKVRRSDSRNLEPRRRENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEE SRERMASEVTNNKGNLEDRCLSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQ SYCTVCCEGRELLLCSNTSCCRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGV LRRRKDWNMRLQDFFTTDPDLEEFQEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKE LGIKVEKYIASEVCAESIAVGTVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCND LSNVNPARKGLYEGTGRLFFEFYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRF LACNPVMIDAIKVSAAHRARYFWGNLPGMNRPVMASKNDKLELQDCLEFSRTAKLKKVQT ITTKSNSIRQGKNQLFPVVMNGKDDVLWCTELERIFGFPAHYTDVSNMGRGARQKLLGRS WSVPVIRHLFAPLKDYFACE >ENSMUSP00000051830.7 pep:known chromosome:GRCm38:2:153649454:153687726:1 gene:ENSMUSG00000027478.15 transcript:ENSMUST00000056495.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt3b description:DNA methyltransferase 3B [Source:MGI Symbol;Acc:MGI:1261819] MKGDSRHLNEEEGASGYEECIIVNGNFSDQSSDTKDAPSPPVLEAICTEPVCTPETRGRR SSSRLSKREVSSLLNYTQDMTGDGDRDDEVDDGNGSDILMPKLTRETKDTRTRSESPAVR TRHSNGTSSLERQRASPRITRGRQGRHHVQEYPVEFPATRSRRRRASSSASTPWSSPASV DFMEEVTPKSVSTPSVDLSQDGDQEGMDTTQVDAESRDGDSTEYQDDKEFGIGDLVWGKI KGFSWWPAMVVSWKATSKRQAMPGMRWVQWFGDGKFSEISADKLVALGLFSQHFNLATFN KLVSYRKAMYHTLEKARVRAGKTFSSSPGESLEDQLKPMLEWAHGGFKPTGIEGLKPNKK QPVVNKSKVRRSDSRNLEPRRRENKSRRRTTNDSAASESPPPKRLKTNSYGGKDRGEDEE SRERMASEVTNNKGNLEDRCLSCGKKNPVSFHPLFEGGLCQSCRDRFLELFYMYDEDGYQ SYCTVCCEGRELLLCSNTSCCRCFCVECLEVLVGAGTAEDAKLQEPWSCYMCLPQRCHGV LRRRKDWNMRLQDFFTTDPDLEEFQEPPKLYPAIPAAKRRPIRVLSLFDGIATGYLVLKE LGIKVEKYIASEVCAESIAVGTVKHEGQIKYVNDVRKITKKNIEEWGPFDLVIGGSPCND LSNVNPARKGLYEGTGRLFFEFYHLLNYTRPKEGDNRPFFWMFENVVAMKVNDKKDISRF LACNPVMIDAIKVSAAHRARYFWGNLPGMNRPVMASKNDKLELQDCLEFSRTAKLKKVQT ITTKSNSIRQGKNQLFPVVMNGKDDVLWCTELERIFGFPAHYTDVSNMGRGARQKLLGRS WSVPVIRHLFAPLKDYFACE >ENSMUSP00000105657.3 pep:known chromosome:GRCm38:2:144250123:144270906:-1 gene:ENSMUSG00000027423.15 transcript:ENSMUST00000110030.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx5 description:sorting nexin 5 [Source:MGI Symbol;Acc:MGI:1916428] MAAVPELLEQQEEDRSKLRSVSVDLNVDPSLQIDIPDALSERDKVKFTVHTKTTLSTFQS PEFSVTRQHEDFVWLHDTLTETTDYAGLIIPPAPTKPDFDGPREKMQKLGEGEGSMTKEE FAKMKQELEAEYLAVFKKTVSTHEVFLQRLSSHPVLSKDRNFHVFLEYDQDLSVRRKNTK EMFGGFFKSVVKSADEVLFSGVKEVDDFFEQEKNFLINYYNRIKDSCAKADKMTRSHKNV ADDYIHTAACLHSLALEEPTVIKKYLLKVAELFEKLRKVEGRVSSDEDLKLTELLRYYML NIEAAKDLLYRRTKALIDYENSNKALDKARLKSKDVKLAETHQQECCQKFEQLSESAKEE LINFKRKRVAAFRKNLIEMSELEIKHARNNVSLLQSCIDLFKNN >ENSMUSP00000028909.4 pep:known chromosome:GRCm38:2:144250123:144270572:-1 gene:ENSMUSG00000027423.15 transcript:ENSMUST00000028909.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx5 description:sorting nexin 5 [Source:MGI Symbol;Acc:MGI:1916428] MAAVPELLEQQEEDRSKLRSVSVDLNVDPSLQIDIPDALSERDKVKFTVHTKTTLSTFQS PEFSVTRQHEDFVWLHDTLTETTDYAGLIIPPAPTKPDFDGPREKMQKLGEGEGSMTKEE FAKMKQELEAEYLAVFKKTVSTHEVFLQRLSSHPVLSKDRNFHVFLEYDQDLSVRRKNTK EMFGGFFKSVVKSADEVLFSGVKEVDDFFEQEKNFLINYYNRIKDSCAKADKMTRSHKNV ADDYIHTAACLHSLALEEPTVIKKYLLKVAELFEKLRKVEGRVSSDEDLKLTELLRYYML NIEAAKDLLYRRTKALIDYENSNKALDKARLKSKDVKLAETHQQECCQKFEQLSESAKEE LINFKRKRVAAFRKNLIEMSELEIKHARNNVSLLQSCIDLFKNN >ENSMUSP00000040808.3 pep:known chromosome:GRCm38:2:91927249:91928688:1 gene:ENSMUSG00000040495.4 transcript:ENSMUST00000045537.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrm4 description:cholinergic receptor, muscarinic 4 [Source:MGI Symbol;Acc:MGI:88399] MANFTPVNGSSANQSVRLVTTAHNHLETVEMVFIATVTGSLSLVTVVGNILVMLSIKVNR QLQTVNNYFLFSLACADLIIGAFSMNLYTLYIIKGYWPLGAVVCDLWLALDYVVSNASVM NLLIISFDRYFCVTKPLTYPARRTTKMAGLMIAAAWVLSFVLWAPAILFWQFVVGKRTVP DNQCFIQFLSNPAVTFGTAIAAFYLPVVIMTVLYIHISLASRSRVHKHRPEGPKEKKAKT LAFLKSPLMKPSIKKPPPGGASREELRNGKLEEAPPPALPPPPRPVADKDTSNESSSGSA TQNTKERPPTELSTTEAATTPALPAPTLQPRTLNPASKWSKIQIVTKQTGSECVTAIEIV PATPAGMRPAANVARKFASIARNQVRKKRQMAARERKVTRTIFAILLAFILTWTPYNVMV LVNTFCQSCIPERVWSIGYWLCYVNSTINPACYALCNATFKKTFRHLLLCQYRNIGTAR >ENSMUSP00000107551.1 pep:known chromosome:GRCm38:2:76675281:76696828:1 gene:ENSMUSG00000002733.8 transcript:ENSMUST00000111920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha3 description:pleckstrin homology domain-containing, family A (phosphoinositide binding specific) member 3 [Source:MGI Symbol;Acc:MGI:1932515] MEGVLYKWTNYLTGWQPRWFVLDNGILSYYDSQDDVCKGSKGSIKMAVCEIKVHPADNTR MELIIPGEQHFYMKAVNAAERQRWLVALGSSKACLTDTRTAKEKEISETSESLKTKMSEL RLYCDLLMQQVHTIQEFVHRDERHPSPSVENMNEASSLLSATCNTFITTLEECVKIANAK FKPEMFQLPHPDPLVSPVSPSPVQMMKRSASHPGSCSSERSSCSIKEPASALHRLPQRRR RTYSDTDSCNDVPPEDPERPLHCSGNTLNGDLASATIPEESRLMAKTQSEEPLLPFS >ENSMUSP00000071788.5 pep:known chromosome:GRCm38:11:64979038:65002069:1 gene:ENSMUSG00000020549.14 transcript:ENSMUST00000071891.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elac2 description:elaC ribonuclease Z 2 [Source:MGI Symbol;Acc:MGI:1890496] MWALRSLLRPLGLRTMSQGSARRPRPSKDPLRHLRTREKRGPGPGGPNTVYLQVVAAGGR DAGAALYVFSEYNRYLFNCGEGVQRLMQEHKLKVARLDNIFLTRMHWSNVGGLCGMILTL KETGLPKCVLSGPPQLEKYLEAIKIFSGPLKGIELAVRPHSAPEYKDETMTVYQVPIHSE RRCGKQQPSQSPRTSPNRLSPKQSSDSGSAENGQCPPEDSSAGANRKAWGRDPSLVVAFV CKLHLRKGNFLVLKAKELGLPVGTAAIAPIIAAVKDGKSITYEGREIAAEELCTPPDPGL VFIVVECPDEGFILPICENDTFKRYQAEADAPVALVVHIAPESVLIDSRYQQWMERFGPD TQHLILNENCPSVHNLRSHKIQTQLSLIHPDIFPQLTSFYSKEEGSTLSVPTVRGECLLK YQLRPKREWQRDTTLDCNTDEFIAEALELPSFQESVEEYRKNVQENPAPAEKRSQYPEIV FLGTGSAIPMKIRNVSSTLVNLSPDKSVLLDCGEGTFGQLCRHYGQQIDRVLCSLTAVFV SHLHADHHTGLLNILLQREHALASLGKPFQPLLVVAPTQLRAWLQQYHNHCQEILHHVSM IPAKCLQKGAEVSNTTLERLISLLLETCDLEEFQTCLVRHCKHAFGCALVHSSGWKVVYS GDTMPCEALVQMGKDATLLIHEATLEDGLEEEAVEKTHSTTSQAINVGMRMNAEFIMLNH FSQRYAKIPLFSPDFNEKVGIAFDHMKVCFGDFPTVPKLIPPLKALFAGDIEEMVERREK RELRLVRAALLTQQADSPEDREPQQKRAHTDEPHSPQSKKESVANTLGARV >ENSMUSP00000098610.2 pep:known chromosome:GRCm38:11:64979074:65001600:1 gene:ENSMUSG00000020549.14 transcript:ENSMUST00000101049.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elac2 description:elaC ribonuclease Z 2 [Source:MGI Symbol;Acc:MGI:1890496] MWALRSLLRPLGLRTMSQGSARRPRPSKDPLRHLRTREKRGPGPGGPNTVYLQVVAAGGR DAGAALYVFSEYNRYLFNCGEGVQRLMQEHKLKVARLDNIFLTRMHWSNVGGLCGMILTL KETGLPKCVLSGPPQLEKYLEAIKIFSGPLKGIELAVRPHSAPEYKDETMTVYQVPIHSE RRCGKQQPSQSPRTSPNRLSPKQSSDSGSAENGQCPPEDSSAGANRKAWGRDPSLVVAFV CKLHLRKGNFLVLKAKELGLPVGTAAIAPIIAAVKDGKSITYEGREIAAEELCTPPDPGL VFIVVECPDEGFILPICENDTFKRYQAEADAPVALVVHIAPESVLIDSRYQQWMERFGPD TQHLILNENCPSVHNLRSHKIQTQLSLIHPDIFPQLTSFYSKEEGSTLSVPTVRGECLLK YQLRPKREWQRDTTLDCNTDEFIAEALELPSFQESVEEYRKNVQENPAPAEKRSQYPEIV FLGTGSAIPMKIRNVSSTLVNLSPDKSVLLDCGEGTFGQLCRHYGQQIDRVLCSLTAVFV SHLHADHHTGLLNILLQREHALASLGKPFQPLLVVAPTQLRAWLQQYHNHCQEILHHVSM IPAKCLQKGAEVSNTTLERLISLLLETCDLEEFQTCLVRHCKHAFGCALVHSSGWKVVYS GDTMPCEALVQMGKDATLLIHEATLEDGLEEEAVEKTHSTTSQAINVGMRMNAEFIMLNH FSQRYAKIPLFSPDFNEKVGIAFDHMKVCFGDFPTVPKLIPPLKALFAGDIEEMVERREK RELRLVRAALLTQQADSPEDREPQQKRAHTDEPHSPQSKKVRAQ >ENSMUSP00000117422.1 pep:known chromosome:GRCm38:11:64985473:64992506:1 gene:ENSMUSG00000020549.14 transcript:ENSMUST00000132308.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elac2 description:elaC ribonuclease Z 2 [Source:MGI Symbol;Acc:MGI:1890496] MCFSSGANRKAWGRDPSLVVAFVCKLHLRKGNFLVLKAKELGLPVGTAAIAPIIAAVKDG KSITYEGREIAAEELCTPPDPGLVFIVVECPDEGFILPICENDTFKRYQAEADAPVALVV HIAPESVLIDSRYQQWMERFGPDTQHLILNENCPSVHNLRSHKIQTQLSLIHPDIFPQLT SFYSKEEGSTLSVPTVRGECLLKYQLRP >ENSMUSP00000104337.1 pep:known chromosome:GRCm38:11:64979071:65001328:1 gene:ENSMUSG00000020549.14 transcript:ENSMUST00000108697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elac2 description:elaC ribonuclease Z 2 [Source:MGI Symbol;Acc:MGI:1890496] MWALRSLLRPLGLRTMSQGSARRPRPSKDPLRHLRTREKRGPGPGGPNTVYLQVVAAGGR DAGAALYVFSEYNRYLFNCGEGVQRLMQEHKLKVARLDNIFLTRMHWSNVGGLCGMILTL KETGLPKCVLSGPPQLEKYLEAIKIFSGPLKGIELAVRPHSAPEYKDETMTVYQVPIHSE RRCGKQQPSQSPRTSPNRLSPKQSSDSGSAENGQCPPEDSSAGANRKAWGRDPSLVVAFV CKLHLRKGNFLVLKAKELGLPVGTAAIAPIIAAVKDGKSITYEGREIAAEELCTPPDPGL VFIVVECPDEGFILPICENDTFKRYQAEADAPVALVVHIAPESVLIDSRYQQWMERFGPD TQHLILNENCPSVHNLRSHKIQTQLSLIHPDIFPQLTSFYSKEGSTLSVPTVRGECLLKY QLRPKREWQRDTTLDCNTDEFIAEALELPSFQESVEEYRKNVQENPAPAEKRSQYPEIVF LGTGSAIPMKIRNVSSTLVNLSPDKSVLLDCGEGTFGQLCRHYGQQIDRVLCSLTAVFVS HLHADHHTGLLNILLQREHALASLGKPFQPLLVVAPTQLRAWLQQYHNHCQEILHHVSMI PAKCLQKGAEVSNTTLERLISLLLETCDLEEFQTCLVRHCKHAFGCALVHSSGWKVVYSG DTMPCEALVQMGKDATLLIHEATLEDGLEEEAVEKTHSTTSQAINVGMRMNAEFIMLNHF SQRYAKIPLFSPDFNEKVGIAFDHMKVCFGDFPTVPKLIPPLKALFAGDIEEMVERREKR ELRLVRAALLTQQADSPEDREPQQKRAHTDEPHSPQSKKVRAQ >ENSMUSP00000101316.1 pep:known chromosome:GRCm38:4:149586468:149648899:1 gene:ENSMUSG00000039953.13 transcript:ENSMUST00000105691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clstn1 description:calsyntenin 1 [Source:MGI Symbol;Acc:MGI:1929895] MLRRPAPALAPAVRLLLAGLLCGGGVWAARVNKHKPWLEPTYHGIVTENDNTVLLDPPLI ALDKDSPLRFAGEICGFKIHGQNVPFDAVVVDKSTGEGIIRSKEKLDCELQKDYTFTIQA YDCGKGPDGTGVKKSHKATVHIQVNDVNEYAPVFKEKSYKAAVVEGKQHSSILRVEAVDA DCSPQFSQICSYEILTPDVPFTVDKDGYIKNTEKLNYGKEHQYKLTVTAYDCGKKRATED VLVKISVKPTCSPGWQGWSSRIEYEPGTGALAVFPSIHLETCDEPVASVQATVELETSHI GKGCDRDTYSEKSLHRLCGAAAGTSELLPSPSSSFNWTVGLPTDNGHDSDQVFEFNGTQA VRIPDGVVTLDPKEPFTISVWMRHGPFGRKKETILCSSDKTDMNRHHYSLYVHGCRLVFL LRQDPSEEKKYRPAEFHWKLNQVCDEDWHHFVLNVEVPSVTLYVDGIPHEPFSVTEDYPL HPTKIETQLVVGACWQEYSGVESGNETEPATMASAGGDLHMTQFFRGNLAGLTVRSGKLA DKKVIDCLYTCKEGLDLQVPEDANRGVQIQASSSQAVLTLEGDNVGELDKAMQHISYLNS RQFPTPGIRRLKITSTVKCFNEAACIEVPPVEGYVMVLQPEEPKISLSGVHHFARAASEF ESAEGISLFPELRIISTITREVEPEADGSEDPTVQESLVSEEIVHDLDTCEVTVEGDELN AEQESLEVDVTRLQQKGIEASHSDLGVVFTGVETMASYEEVLHLLRYRNWHTRSLLDRKF KLICSELNGRYLSNEFKVEVNVIHTANPVEHANHMAAQPQFVHPEHRSFVDLSGHNLANP HPFAVVPSTATVVIVVCVSFLVFMIILGVFRIRAAHQRTMRDQDTGKENEMDWDDSALTI TVNPMETYEDQHSSEEEEEEEEEEESEDGEEEEDITSAESESSEEEEGGPGDGQNATRQL EWDDSTLSY >ENSMUSP00000036962.6 pep:known chromosome:GRCm38:4:149586638:149647899:1 gene:ENSMUSG00000039953.13 transcript:ENSMUST00000039144.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clstn1 description:calsyntenin 1 [Source:MGI Symbol;Acc:MGI:1929895] MLRRPAPALAPAVRLLLAGLLCGGGVWAARVNKHKPWLEPTYHGIVTENDNTVLLDPPLI ALDKDSPLRFAESFEVTVTKEGEICGFKIHGQNVPFDAVVVDKSTGEGIIRSKEKLDCEL QKDYTFTIQAYDCGKGPDGTGVKKSHKATVHIQVNDVNEYAPVFKEKSYKAAVVEGKQHS SILRVEAVDADCSPQFSQICSYEILTPDVPFTVDKDGYIKNTEKLNYGKEHQYKLTVTAY DCGKKRATEDVLVKISVKPTCSPGWQGWSSRIEYEPGTGALAVFPSIHLETCDEPVASVQ ATVELETSHIGKGCDRDTYSEKSLHRLCGAAAGTSELLPSPSSSFNWTVGLPTDNGHDSD QVFEFNGTQAVRIPDGVVTLDPKEPFTISVWMRHGPFGRKKETILCSSDKTDMNRHHYSL YVHGCRLVFLLRQDPSEEKKYRPAEFHWKLNQVCDEDWHHFVLNVEVPSVTLYVDGIPHE PFSVTEDYPLHPTKIETQLVVGACWQEYSGVESGNETEPATMASAGGDLHMTQFFRGNLA GLTVRSGKLADKKVIDCLYTCKEGLDLQVPEDANRGVQIQASSSQAVLTLEGDNVGELDK AMQHISYLNSRQFPTPGIRRLKITSTVKCFNEAACIEVPPVEGYVMVLQPEEPKISLSGV HHFARAASEFESAEGISLFPELRIISTITREVEPEADGSEDPTVQESLVSEEIVHDLDTC EVTVEGDELNAEQESLEVDVTRLQQKGIEASHSDLGVVFTGVETMASYEEVLHLLRYRNW HTRSLLDRKFKLICSELNGRYLSNEFKVEVNVIHTANPVEHANHMAAQPQFVHPEHRSFV DLSGHNLANPHPFAVVPSTATVVIVVCVSFLVFMIILGVFRIRAAHQRTMRDQDTGKENE MDWDDSALTITVNPMETYEDQHSSEEEEEEEEEEESEDGEEEEDITSAESESSEEEEGGP GDGQNATRQLEWDDSTLSY >ENSMUSP00000130414.1 pep:known chromosome:GRCm38:9:107650634:107659528:-1 gene:ENSMUSG00000010064.15 transcript:ENSMUST00000167868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a3 description:solute carrier family 38, member 3 [Source:MGI Symbol;Acc:MGI:1923507] MEIPRQTEMVELVPNGKHLEGLLPVGVPTTDTQRTEDTQHCGEGKGFLQKSPSKEPHFTD FEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSS GIVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEKP ASVWYMDGNYLVILVSVTIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFQVPCP LAHNLANATGNFSHMVVAEEKAQLQGEPDTAAEAFCTPSYFTLNSQTAYTIPIMAFAFVC HPEVLPIYTELKDPSKRKMQHISNLSIAVMYVMYFLAALFGYLTFYDGVESELLHTYSKV DPFDVLILCVRVAVLIAVTLTVPIVLFPVRRAIQQMLFQNQEFSWLRHVLIATGLLTCIN LLVIFAPNILGIFGIIGATSAPCLIFIFPAIFYFRIMPTDKEPARSTPKILALCFAAVGF LLMTMSLSFIIIDWVSGTSQHGGNH >ENSMUSP00000010208.8 pep:known chromosome:GRCm38:9:107651155:107667374:-1 gene:ENSMUSG00000010064.15 transcript:ENSMUST00000010208.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a3 description:solute carrier family 38, member 3 [Source:MGI Symbol;Acc:MGI:1923507] MEIPRQTEMVELVPNGKHLEGLLPVGVPTTDTQRTEDTQHCGEGKGFLQKSPSKEPHFTD FEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSS GIVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEKP ASVWYMDGNYLVILVSVTIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFQVPCP LAHNLANATGNFSHMVVAEEKAQLQGEPDTAAEAFCTPSYFTLNSQTAYTIPIMAFAFVC HPEVLPIYTELKDPSKRKMQHISNLSIAVMYVMYFLAALFGYLTFYDGVESELLHTYSKV DPFDVLILCVRVAVLIAVTLTVPIVLFPVRRAIQQMLFQNQEFSWLRHVLIATGLLTCIN LLVIFAPNILGIFGIIGATSAPCLIFIFPAIFYFRIMPTDKEPARSTPKILALCFAAVGF LLMTMSLSFIIIDWVSGTSQHGGNH >ENSMUSP00000142087.1 pep:known chromosome:GRCm38:9:107651161:107667426:-1 gene:ENSMUSG00000010064.15 transcript:ENSMUST00000193932.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a3 description:solute carrier family 38, member 3 [Source:MGI Symbol;Acc:MGI:1923507] MEIPRQTEMVELVPNGKHLEGLLPVGVPTTDTQRTEDTQHCGEGKGFLQKSPSKEPHFTD FEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSS GIVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEKP ASVWYMDGNYLVILVSVTIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFQVPCP LAHNLANATGNFSHMVVAEEKAQLQGEPDTAAEAFCTPSYFTLNSQTAYTIPIMAFAFVC HPEVLPIYTELKDPSKRKMQHISNLSIAVMYVMYFLAALFGYLTFYDGVESELLHTYSKV DPFDVLILCVRVAVLIAVTLTVPIVLFPVRRAIQQMLFQNQEFSWLRHVLIATGLLTCIN LLVIFAPNILGIFGIIGATSAPCLIFIFPAIFYFRIMPTDKEPARSTPKILALCFAAVGF LLMTMSLSFIIIDWVSGTSQHGGNH >ENSMUSP00000137561.1 pep:known chromosome:GRCm38:9:107651161:107668968:-1 gene:ENSMUSG00000010064.15 transcript:ENSMUST00000177567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a3 description:solute carrier family 38, member 3 [Source:MGI Symbol;Acc:MGI:1923507] MEIPRQTEMVELVPNGKHLEGLLPVGVPTTDTQRTEDTQHCGEGKGFLQKSPSKEPHFTD FEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSS GIVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEKP ASVWYMDGNYLVILVSVTIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFQVPCP LAHNLANATGNFSHMVVAEEKAQLQGEPDTAAEAFCTPSYFTLNSQTAYTIPIMAFAFVC HPEVLPIYTELKDPSKRKMQHISNLSIAVMYVMYFLAALFGYLTFYDGVESELLHTYSKV DPFDVLILCVRVAVLIAVTLTVPIVLFPVRRAIQQMLFQNQEFSWLRHVLIATGLLTCIN LLVIFAPNILGIFGIIGATSAPCLIFIFPAIFYFRIMPTDKEPARSTPKILALCFAAVGF LLMTMSLSFIIIDWVSGTSQHGGNH >ENSMUSP00000141484.1 pep:known chromosome:GRCm38:9:107655020:107656642:-1 gene:ENSMUSG00000010064.15 transcript:ENSMUST00000195739.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc38a3 description:solute carrier family 38, member 3 [Source:MGI Symbol;Acc:MGI:1923507] XVSVTIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFQVPCPLAHNLANATGNFS HMVVAEEKAQLQGEPDTAAEAFCTPSYFTLNSQTAYTIPIMAFAFVCHPEPLQEEDAAHL QPVHCCHVCHVLPGRPLRLPHLLRRGGVGAAAHLQQGGPV >ENSMUSP00000141569.1 pep:known chromosome:GRCm38:9:107655050:107656229:-1 gene:ENSMUSG00000010064.15 transcript:ENSMUST00000192211.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc38a3 description:solute carrier family 38, member 3 [Source:MGI Symbol;Acc:MGI:1923507] XNLANATGNFSHMVVAEEKAQLQGEPDTAAEAFCTPSYFTLNSQTAYTIPIMAFAFVCHP EVLPIYTELKDPSKRKMQHISNLSIAVMYVMYFLAALFGYLTFYAVEVSLSTTPHSVRVH RPLAC >ENSMUSP00000141850.1 pep:known chromosome:GRCm38:9:107657630:107669530:-1 gene:ENSMUSG00000010064.15 transcript:ENSMUST00000192323.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a3 description:solute carrier family 38, member 3 [Source:MGI Symbol;Acc:MGI:1923507] MEIPRQTEMVELVPNGKHLEGLLPVGVPTTDTQRTEDTQHCGEGKGFLQKSPSKEPHFTD FEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSS GIVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEKP AS >ENSMUSP00000141528.1 pep:known chromosome:GRCm38:9:107657811:107669000:-1 gene:ENSMUSG00000010064.15 transcript:ENSMUST00000192990.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a3 description:solute carrier family 38, member 3 [Source:MGI Symbol;Acc:MGI:1923507] MEIPRQTEMVELVPNGKHLEGLLPVGVPTTDTQRTEDTQHCGEGKGFLQKSPSKEPHFTD FEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSS GIVGIRAYEQLGYRAFGTPGKLAAALAITLQNIG >ENSMUSP00000141552.1 pep:known chromosome:GRCm38:9:107658636:107665461:-1 gene:ENSMUSG00000010064.15 transcript:ENSMUST00000195843.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a3 description:solute carrier family 38, member 3 [Source:MGI Symbol;Acc:MGI:1923507] MEIPRQTEMVELVPNGKHLEGLLPVGVPTTDTQRTEDTQHCGEGKGFLQKSPSKEPHFTD FEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFL >ENSMUSP00000095448.4 pep:known chromosome:GRCm38:4:137707522:137729861:1 gene:ENSMUSG00000041351.16 transcript:ENSMUST00000097837.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gap description:Rap1 GTPase-activating protein [Source:MGI Symbol;Acc:MGI:109338] MAQPRPPAPHGRPRRGSLPAGAGWQNTDLFEMIEKMQGSRMDEQRCSFPPPLKTEEDYIP YPSVHEVLGREGPFPLILLPQFGGYWIEGTNHEISSLPETEPLQSPTTKVKLECNPTARI YRKHFLGKEHFNYYSLDTALGHLVFSLKYDVIGDQEHLRLLLRTKCRTHHDVIPISCLTE FPNVVQMAKLVCEDVNVDRFYPVLYPKASRLIVTFDEHVISNNFKFGVIYQKLGQTSEEE LFSTNEESPAFVEFLEFLGQKVKLQDFKGFRGGLDVTHGQTGTESVYCNFRNKEIMFHVS TKLPYTEGDAQQLQRKRHIGNDIVAVVFQDENTPFVPDMIASNFLHAYVVVQAEGGGPDG PLYKVSVTARDDVPFFGPPLPDPAVFRKGPEFQEFLLTKLINAEYACYKAEKFAKLEERT RAALLETLYEELHIHSQSMMGLGGDDDKMENGSGGGGFFESFKRVIRSRSQSMDAMGLSN KKPNTVSTSHSGSFTPNNPDLAKAAGISLIVPGKSPTRKKSGPFGSRRSSAIGIENIQEV QEKRESPPAGQKTPDSGHVSQEPKSENSSTQSSPEMPTTKNRVESAAQRTEVLQGFSRSS SSASSFTSVVEETEGVDGDDTGLESVSSSGTPHKRDSFLYSTWLDDSVSTTSGGSSPGLT RSPHPDVGKSGDPACPEIKIQLETSEQHTPQMGC >ENSMUSP00000101461.1 pep:known chromosome:GRCm38:4:137680753:137729861:1 gene:ENSMUSG00000041351.16 transcript:ENSMUST00000105835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gap description:Rap1 GTPase-activating protein [Source:MGI Symbol;Acc:MGI:109338] MSGRKRSFTFGAYGGVDKSFSLRQSVWRSDGQKQSFPQALNLLLVDSVPSHPASPYPKNT DLFEMIEKMQGSRMDEQRCSFPPPLKTEEDYIPYPSVHEVLGREGPFPLILLPQFGGYWI EGTNHEISSLPETEPLQSPTTKVKLECNPTARIYRKHFLGKEHFNYYSLDTALGHLVFSL KYDVIGDQEHLRLLLRTKCRTHHDVIPISCLTEFPNVVQMAKLVCEDVNVDRFYPVLYPK ASRLIVTFDEHVISNNFKFGVIYQKLGQTSEEELFSTNEESPAFVEFLEFLGQKVKLQDF KGFRGGLDVTHGQTGTESVYCNFRNKEIMFHVSTKLPYTEGDAQQLQRKRHIGNDIVAVV FQDENTPFVPDMIASNFLHAYVVVQAEGGGPDGPLYKVSVTARDDVPFFGPPLPDPAVFR KGPEFQEFLLTKLINAEYACYKAEKFAKLEERTRAALLETLYEELHIHSQSMMGLGGDDD KMENGSGGGGFFESFKRVIRSRSQSMDAMGLSNKKPNTVSTSHSGSFTPNNPDLAKAAGI SLIVPGKSPTRKKSGPFGSRRSSAIGIENIQEVQEKRESPPAGQKTPDSGHVSQEPKSEN SSTQSSPEMPTTKNRVESAAQRTEVLQGFSRSSSSASSFTSVVEETEGVDGDDTGLHMAG RQREHHERGQLPSEVAPSCPPSQASHGHPTQTSASRGTLRVPRSRSNWKHLSSTHPRWAA SCHLISRRD >ENSMUSP00000115391.1 pep:known chromosome:GRCm38:4:137680753:137715790:1 gene:ENSMUSG00000041351.16 transcript:ENSMUST00000152567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gap description:Rap1 GTPase-activating protein [Source:MGI Symbol;Acc:MGI:109338] MSGRKRSFTFGAYGGRSDGQKQSFPQALNLLLVDSVPSHPASPYPKNTDLFEMIEKMQGS RMDEQRCSFPPPLKTEEDYIPYPSVHEVLGREGPFPLILLPQFGGYWIEGTNHEISSLPE TEPLQSPTTKVKLECNPTARIYRKHFLGKEHFNYYSLDTALGHLV >ENSMUSP00000122315.1 pep:known chromosome:GRCm38:4:137718872:137728358:1 gene:ENSMUSG00000041351.16 transcript:ENSMUST00000141306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gap description:Rap1 GTPase-activating protein [Source:MGI Symbol;Acc:MGI:109338] QLQRKRHIGNDIVAVVFQDENTPFVPDMIASNFLHAYVVVQAEGGGPDGPLYKVSVTARD DVPFFGPPLPDPAVFRKGPEFQEFLLTKLINAEYACYKAEKFAKLEERTRAALLETLYEE LHIHSQSMMGLGGDDDKMENGSGGGGFFESFKRVIRSRSQSMDAMGLSNKKPNTVSTSHS GSFTPNNPDLAKAAGISLLIPGKSASRFGRRGSALGIGAVEESLIVPGKSPTRKKSGPFG SRRSSAIGIENIQEVQEKRESPPAGQKTPDSGHVSQEPKSENSSTQSSPEMPTTKNRVES AAQRTEVLQGFSRSSSSASSFTSVVEETEGVDGDDTGLESVSSSGTPHKRDSFLYSTWLD DSVSTTSGGSSPGLTRSPHPDVGKSGDPACPEIKIQLETSEQHTP >ENSMUSP00000123561.1 pep:known chromosome:GRCm38:4:137680753:137717956:1 gene:ENSMUSG00000041351.16 transcript:ENSMUST00000150928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gap description:Rap1 GTPase-activating protein [Source:MGI Symbol;Acc:MGI:109338] MSGRKRSFTFGAYGGVDKSFSLRQSVWRSDGQKQSFPQALNLLLVDSVPSHPASPYPKNT DLFEMIEKMQGSRMDEQRCSFPPPLKEHFNYYSLDTALGHLVFSLKYDVIGDQEHLRLLL RTKCRTHHDVIPISCLTEFPNVVQMAKLVCEDVNVDRFYPVLYPKASRLIVTFDEHVISN NFKFGVIYQKLGQTSEEELFSTNEESPAFVEFLEFLGQKVKLQDFKGFRGGLDVTHGQTG T >ENSMUSP00000042473.5 pep:known chromosome:GRCm38:4:137681667:137729860:1 gene:ENSMUSG00000041351.16 transcript:ENSMUST00000047243.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gap description:Rap1 GTPase-activating protein [Source:MGI Symbol;Acc:MGI:109338] MSGRKRSFTFGAYGGVDKSFSLRQSVWRSDGQKQSFPQALNLLLVDSVPSHPASPYPKNT DLFEMIEKMQGSRMDEQRCSFPPPLKTEEDYIPYPSVHEVLGREGPFPLILLPQFGGYWI EGTNHEISSLPETEPLQSPTTKVKLECNPTARIYRKHFLGKEHFNYYSLDTALGHLVFSL KYDVIGDQEHLRLLLRTKCRTHHDVIPISCLTEFPNVVQMAKLVCEDVNVDRFYPVLYPK ASRLIVTFDEHVISNNFKFGVIYQKLGQTSEEELFSTNEESPAFVEFLEFLGQKVKLQDF KGFRGGLDVTHGQTGTESVYCNFRNKEIMFHVSTKLPYTEGDAQQLQRKRHIGNDIVAVV FQDENTPFVPDMIASNFLHAYVVVQAEGGGPDGPLYKVSVTARDDVPFFGPPLPDPAVFR KGPEFQEFLLTKLINAEYACYKAEKFAKLEERTRAALLETLYEELHIHSQSMMGLGGDDD KMENGSGGGGFFESFKRVIRSRSQSMDAMGLSNKKPNTVSTSHSGSFTPNNPDLAKAAGI SLIVPGKSPTRKKSGPFGSRRSSAIGIENIQEVQEKRESPPAGQKTPDSGHVSQEPKSEN SSTQSSPEMPTTKNRVESAAQRTEVLQGFSRSSSSASSFTSVVEETEGVDGDDTGLESVS SSGTPHKRDSFLYSTWLDDSVSTTSGGSSPGLTRSPHPDVGKSGDPACPEIKIQLETSEQ HTPQMGC >ENSMUSP00000105655.1 pep:known chromosome:GRCm38:2:144270663:144280516:1 gene:ENSMUSG00000027424.11 transcript:ENSMUST00000110028.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgme1 description:mitochondrial genome maintainance exonuclease 1 [Source:MGI Symbol;Acc:MGI:1921778] MKLPLTFCRLLSRLNRFSVKASPPVSFSTFSYLCSQKKKNSYEAVDQAKYSRLVRSVLSR GPAQTPESLFKEDDVLYGPVSKHKAAEPEPQARVPQHCFPIFNEERTGKPHTDASSSPLK IPLQRNSIPSVTRILQQTMPPEQSFFLERWKERMVLELGEDGFAEYTSNVFLQGKQFHKA LESILSPQENLTGGEEHPQCGYIESIQHILTEISGVQALESAVQHEALKYVGLLDCVAEY RGKLCVIDWKTSEKPKPLIRNTYDNPLQVVAYMGAVNHDAHYSFQVQCGLIVVAYKDGSP AHPHFMDEELCSKYWAKWLLRLEEYTEKQKNLSAPEPA >ENSMUSP00000028910.2 pep:known chromosome:GRCm38:2:144270900:144281227:1 gene:ENSMUSG00000027424.11 transcript:ENSMUST00000028910.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgme1 description:mitochondrial genome maintainance exonuclease 1 [Source:MGI Symbol;Acc:MGI:1921778] MKLPLTFCRLLSRLNRFSVKASPPVSFSTFSYLCSQKKKNSYEAVDQAKYSRLVRSVLSR GPAQTPESLFKEDDVLYGPVSKHKAAEPEPQARVPQHCFPIFNEERTGKPHTDASSSPLK IPLQRNSIPSVTRILQQTMPPEQSFFLERWKERMVLELGEDGFAEYTSNVFLQGKQFHKA LESILSPQENLTGGEEHPQCGYIESIQHILTEISGVQALESAVQHEALKYVGLLDCVAEY RGKLCVIDWKTSEKPKPLIRNTYDNPLQVVAYMGAVNHDAHYSFQVQCGLIVVAYKDGSP AHPHFMDEELCSKYWAKWLLRLEEYTEKQKNLSAPEPA >ENSMUSP00000105654.1 pep:known chromosome:GRCm38:2:144270941:144280516:1 gene:ENSMUSG00000027424.11 transcript:ENSMUST00000110027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgme1 description:mitochondrial genome maintainance exonuclease 1 [Source:MGI Symbol;Acc:MGI:1921778] MKLPLTFCRLLSRLNRFSVKASPPVSFSTFSYLCSQKKKNSYEAVDQAKYSRLVRSVLSR GPAQTPESLFKEDDVLYGPVSKHKAAEPEPQARVPQHCFPIFNEERTGKPHTDASSSPLK IPLQRNSIPSVTRILQQTMPPEQSFFLERWKERMVLELGEDGFAEYTSNVFLQGKQFHKA LESILSPQENLTGGEEHPQCGYIESIQHILTEISGVQALESAVQHEALKYVGLLDCVAEY RGKLCVIDWKTSEKPKPLIRNTYDNPLQVVAYMGAVNHDAHYSFQVQCGLIVVAYKDGSP AHPHFMDEELCSKYWAKWLLRLEEYTEKQKNLSAPEPA >ENSMUSP00000093743.4 pep:known chromosome:GRCm38:6:37983739:38046996:-1 gene:ENSMUSG00000029830.15 transcript:ENSMUST00000096040.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Svopl description:SV2 related protein homolog (rat)-like [Source:MGI Symbol;Acc:MGI:2444335] MAAKQTEPVTIISLRKLSQAAPEPQQKETKTFTVEDAVETIGFGRFHIALFLIMGSTGVV EAMEIMLIAVVSPVIRCEWQLENWQVAFVTTMVFFGYMVSSILFGLLADRYGRWKILLLS FLWGAYFSLLTSFSPSYIWFVFLRTMVGCGVSGHAQGLIIKTEFLPTKYRGYMLPLSQVF WLAGSLLIISMASVVIPTIGWRWLIRIASIPGIILIMAFKFIPESARFNVSTGNTQAALN TLESIAKMNRSVMPEGQLVEPILEKRGRFADLLDSKYLRTTLQIWIIWLGISFAYYGVIL ASAELLERDLVCGSKSESEPEVVETTGDSGEGLSPCYCHIFAPSDYRTMIISTLGEIALN PLNILGINFLGRRLSLSITMGCTALFFLLLNICTSSAGLIGFLFMLRALVAANFNTIYIY TAEVYPTPMRAIGMGTSGSLCRIGAMVAPFISQVLMSASFLGALCLFSSVCVVCAISAFT LPIETKGRALQQIK >ENSMUSP00000133326.2 pep:known chromosome:GRCm38:6:37984512:38031089:-1 gene:ENSMUSG00000029830.15 transcript:ENSMUST00000172585.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Svopl description:SV2 related protein homolog (rat)-like [Source:MGI Symbol;Acc:MGI:2444335] XVFFGYMVSSILFGLLADRYGRWKVNHKD >ENSMUSP00000099584.4 pep:known chromosome:GRCm38:5:122391878:122406179:1 gene:ENSMUSG00000029465.14 transcript:ENSMUST00000102525.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc3 description:actin related protein 2/3 complex, subunit 3 [Source:MGI Symbol;Acc:MGI:1928375] MPAYHSSLMDPDTKLIGNMALLPLRSQFKGPAPRETKDTDIVDEAIYYFKANVFFKNYEI KNEADRTLIYITLYISECLKKLQKCNSKSQGEKEMYTLGITNFPIPGEPGFPLNAIYAKP ASKQEDEMMRAYLQQLRQETGLRLCEKVFDPQSDKPSKWWTCFVKRQFMNKSLSGPGQ >ENSMUSP00000031421.5 pep:known chromosome:GRCm38:5:122395814:122406063:1 gene:ENSMUSG00000029465.14 transcript:ENSMUST00000031421.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc3 description:actin related protein 2/3 complex, subunit 3 [Source:MGI Symbol;Acc:MGI:1928375] MDPDTKLIGNMALLPLRSQFKGPAPRETKDTDIVDEAIYYFKANVFFKNYEIKNEADRTL IYITLYISECLKKLQKCNSKSQGEKEMYTLGITNFPIPGEPGFPLNAIYAKPASKQEDEM MRAYLQQLRQETGLRLCEKVFDPQSDKPSKWWTCFVKRQFMNKSLSGPGQ >ENSMUSP00000143210.1 pep:known chromosome:GRCm38:5:122396867:122414184:1 gene:ENSMUSG00000029465.14 transcript:ENSMUST00000196969.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc3 description:actin related protein 2/3 complex, subunit 3 [Source:MGI Symbol;Acc:MGI:1928375] XALLPLRSQFKGPAPRETKDTDIVDEAIYYFKANVFFKNYEIKNEADRTLIYITLYISEC LKKLQKCNSKSQGEKEMYTLGITNFPIPGEPGFPLNAIYAKPASKQEDEMMRAYLQQLRQ ETGLRLCEKVFDPQSDKPSKGW >ENSMUSP00000107345.1 pep:known chromosome:GRCm38:5:122400271:122406146:1 gene:ENSMUSG00000029465.14 transcript:ENSMUST00000111716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc3 description:actin related protein 2/3 complex, subunit 3 [Source:MGI Symbol;Acc:MGI:1928375] MENILATFKLSPPGRNRTPKDTDIVDEAIYYFKANVFFKNYEIKNEADRTLIYITLYISE CLKKLQKCNSKSQGEKEMYTLGITNFPIPGEPGFPLNAIYAKPASKQEDEMMRAYLQQLR QETGLRLCEKVFDPQSDKPSKWWTCFVKRQFMNKSLSGPGQ >ENSMUSP00000107342.1 pep:known chromosome:GRCm38:5:122400398:122406137:1 gene:ENSMUSG00000029465.14 transcript:ENSMUST00000111713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc3 description:actin related protein 2/3 complex, subunit 3 [Source:MGI Symbol;Acc:MGI:1928375] MENILATFKLSPPGRNRTREAKDTDIVDEAIYYFKANVFFKNYEIKNEADRTLIYITLYI SECLKKLQKCNSKSQGEKEMYTLGITNFPIPGEPGFPLNAIYAKPASKQEDEMMRAYLQQ LRQETGLRLCEKVFDPQSDKPSKWWTCFVKRQFMNKSLSGPGQ >ENSMUSP00000119447.1 pep:known chromosome:GRCm38:18:61192919:61211612:-1 gene:ENSMUSG00000034320.14 transcript:ENSMUST00000146409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a2 description:solute carrier family 26 (sulfate transporter), member 2 [Source:MGI Symbol;Acc:MGI:892977] MSSENKEQHDLSPRDLPEEAFGFPSELPLETQRRSGTDLRQSETGHGRRAFRRIHMELRE KPDTDIKQFVIRELQKSCQCSAAKVRDGAFDFFPVLRWLPKYDLKKNILGDVMSGLIVGI LLVPQSIAYSLLAGQEPIYGLYTSFFASIIYFLFGTSRHISVGIFGILCLMIGEVVDREL HKACPDTDATSSSIAVFSSGCVVVNHTLDGLCDKSCYAIKIGSTVTFMAGVYQVAMGFFQ VGFVSVYLSDALLSGFVTGASFTILTSQAKYLLGLSLPRSHGVGSVITTWIHIFRNIRNT NICDLITSLLCLLVLVPSKELNEHFKDKLKAPIPVELIVVVAATLASHFGKLNGNYNSSI AGHIPTGFMPPKAPDWSLIPNVAVDAIAISIIGFAITVSLSEMFAKKHGYTVKANQEMYA IGFCNIIPSFFHCITTSAALAKTLVKESTGCQTQLSAIVTALVLLLVLLVIAPLFYSLQK CVLGVITIVNLRGALLKFRDLPKMWRLSRMDTVIWFVTMLSSALLSTEIGLLVGVCFSMF CVILRTQKPKNSLLGLEEESETFESISTYKNLRSKSGIKVFRFIAPLYYINKECFKSALY KKALNPVLVKAAWKKAAKRKLKEEMVTFRGDPDEVSMQLSHDPLEVHTIVIDCSAIQFLD TAGIHTLKEVRRDYEAVGIQVLLAQCNPSVRDSLARGEYCKKEEETLLFYSLSEAVAFAE DSQNQKGVCVVNGLSLSGD >ENSMUSP00000040163.7 pep:known chromosome:GRCm38:18:61197222:61200369:-1 gene:ENSMUSG00000034320.14 transcript:ENSMUST00000037603.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a2 description:solute carrier family 26 (sulfate transporter), member 2 [Source:MGI Symbol;Acc:MGI:892977] MGFFQVGFVSVYLSDALLSGFVTGASFTILTSQAKYLLGLSLPRSHGVGSVITTWIHIFR NIRNTNICDLITSLLCLLVLVPSKELNEHFKDKLKAPIPVELIVVVAATLASHFGKLNGN YNSSIAGHIPTGFMPPKAPDWSLIPNVAVDAIAISIIGFAITVSLSEMFAKKHGYTVKAN QEMYAIGFCNIIPSFFHCITTSAALAKTLVKESTGCQTQLSAIVTALVLLLVLLVIAPLF YSLQKCVLGVITIVNLRGALLKFRDLPKMWRLSRMDTVIWFVTMLSSALLSTEIGLLVGV CFSMFCVILRTQKPKNSLLGLEEESETFESISTYKNLRSKSGIKVFRFIAPLYYINKECF KSALYKKALNPVLVKAAWKKAAKRKLKEEMVTFRGDPDEVSMQLSHDPLEVHTIVIDCSA IQFLDTAGIHTLKEVRRDYEAVGIQVLLAQCNPSVRDSLARGEYCKKEEETLLFYSLSEA VAFAEDSQNQKGVCVVNGLSLSGD >ENSMUSP00000114419.1 pep:known chromosome:GRCm38:18:61201705:61211380:-1 gene:ENSMUSG00000034320.14 transcript:ENSMUST00000148829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a2 description:solute carrier family 26 (sulfate transporter), member 2 [Source:MGI Symbol;Acc:MGI:892977] MSSENKEQHDLSPRDLPEEAFGFPSELPLETQRRSGTDLRQSETGHGRRAFRRIHMELRE KPDTDIKQFVIRELQKSCQCSAAKVRDGAFDFFPVLRWLPKYDLKKNILGDVMSGLIVGI LLVPQSIAYSLLAGQEPIYGLYTSFFASIIYFLFGTSRHISVGIFGILCLMIGEVVDREL HKACPDTDATSSSIAVFSSGCVVVNHTLDGLCDKSCYAIKIGSTV >ENSMUSP00000108895.2 pep:known chromosome:GRCm38:1:87098002:87101606:-1 gene:ENSMUSG00000079440.2 transcript:ENSMUST00000113270.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpi description:alkaline phosphatase, intestinal [Source:MGI Symbol;Acc:MGI:1924018] MQGDWVLLLFLGLRIHLSFGIIPAEEENPAFWNKKAAEALDAAKKLQPIQTSAKNLIIFL GDGMGVPTVTATRILKGQLEGHLGPETPLAMDLFPYMALSKTYNVDRQVPDSAGTATAYL CGVKANYKTIGLSAAARLDQCNTTFGNEVFSVMYRAKKAGKSVGVVTTTRVQHASPAGTY AHTVNRNWYSDAEMPASALQDGCKDIATQLISNMDIDVILGGGRKFMFPKGTPDPEYPSD SNQSGTRLDDQNLVQTWLSKHQGARYVWNRSELIQASQDPAVTHLMGLFEPTEMKYDANR NPSVDPSLAEMTEVAVRMLSRNPQGFYLFVEGGRIDQGHHAGTAYLALTEAVMFDSAIEK ASQLTNEKDTLILITADHSHVFAFGGYTLRGTSIFGLAPLKALDDKSYTSILYGNGPGYE LKSGNRPNVTEAQSVDPNYKQQAAVPLSSETHGGEDVAIFARGPQAHLVHGVQEQNYIAH VMAFAGCLEPYTDCGLAPPAGQSPVITPGQATTTNNAAGQATTTNNAAGQATVLLSLQLL VSMLLLVGTAMVVS >ENSMUSP00000122404.1 pep:known chromosome:GRCm38:12:112772194:112777636:-1 gene:ENSMUSG00000072812.4 transcript:ENSMUST00000128258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahnak2 description:AHNAK nucleoprotein 2 [Source:MGI Symbol;Acc:MGI:2144831] PERSAAVRRPGAQGRPGGREAARGTAARGRAARRPGCCCCWGRPEGPPAQGADAQPQDAQ GGPQGPHVDLKGPKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELALADKDVAA KDSKFKMPKFKMPSFGVSAPGKPSLEASLEVGAPKVEADVVPPQVQGDLKTPDLSVQLPS ADLELKAGQVGVKLPEGQLPEAELPAAQAAAAAGAGLKGHLPKVQMPSLKMPKVDLKGPH VDLKGPKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELALADKDVAAKDSKFKM PKFKMPSFGVSAPGKPSLEASLEVGAPKVEADVAPPQVQGDLKTPDLSVQLRSADLELKA GQVGVKLPEGQLPEAELPAAQAAAAAGAGLKGHLPKVQMPSLKMPKVDLKGPHVDLKGPK VDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELSLADKDVAAKDSKFKMPKFKMPS FGVSAPGKPSLEASLEVGAPKVEADVAPPQVQGDLKTPDLSVQLRSADLELKAGQVGVKL PEGQLPEAELPAAQAAAAAGAGLKGHLPKVQMPSLKMPKVDLKGPHVDLKGPKVDVKYPQ VDMFDHHDSVRTPNQVGFFPFSDQVGNSRDISTSSCHDSTDAGLSPPFVPSQSLLSYVRP SLSIVDSPHESQGSTLPPFTARVLFPKFHRPKFTLSLPPSESENVLSETESQAVVHSFVT AHTLSGSVVPQVLSSDAAACSVVGVSSSQPSLSQPPEAPKQEAKDTSFKLPSVKLPSFNW SPKKAAESTGDSQSQETPTPSLSLSSMEMDSQIQSSVSIVESPQHAPLEKDVEKGRSRKL SFSMPRLALPKIKVSKGTASVPHGDMRPSLSGTTSGGDLVVVETAVSDAHVGDTHSKDVT TELHVRSPSTTAELVRSKTELPSSKDDLNVRGDSKSLMTVSASQPFGELVPPRTEGPLQP SGRQRDNACTMETPEMDPTAKETSTDSNERRFKMPKFRVPGFRRSSSKERDRLGEQEATQ KSQTQVISIPLEAEEKAAACVQLSHVPESKVEAHISLETPEEGTSVKPVESPTYADVVKR DLHGTGSRMHSSTVEHSHTHLSTPEFGTHLYKDSVSLVRVSEPQLPPEETGKQQHPGPGG NIPAEMEARTGSLPSQPRGPLRLKASITDVASQVSVVSMSQLWEDSVLTVTFPKLKVPRF SFPAPSSEADVFFPVVREVEASITGPMHTGSPGLWEASILKTGAEDTRMPPASLEQSLEA SPISKVRVHIQGSRGESQEVALPSRMERWSADPLAPGAFCTQIVRESEIPASTIQTPSYG FSLLKVKIPEPPVQACVYTVAPDSQPQESVGGAPSPAAAGGHSAPAEAPPDTGEPFEIIS SSVGVPPGPQLADSTSDEEPAEILEFPEDSQEVKVSGMDTKQKPEGKKASLLWSWLPSIG FSSVEEMAAESRDTTQRSPVHVQPTARLDPELPRKQEKAGWFRFPKLGFSSSPTKKPRNT EDGEGQEEHKPQEETVTFFDARESFSPEEEEEAKSEGTSARPGSEAMVASSARTELVLLE QARDTGDKPIPRPVAK >ENSMUSP00000116582.1 pep:known chromosome:GRCm38:12:112777635:112781011:-1 gene:ENSMUSG00000072812.4 transcript:ENSMUST00000137195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahnak2 description:AHNAK nucleoprotein 2 [Source:MGI Symbol;Acc:MGI:2144831] XPDLSVQLPSADLELKAGQVGVKLPEGQLPEAELPAAQAAAAAGAGLKGHLPKVQMPSLK MPKVDLKGPHVDLKGPKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELALADKD VAAKDSKFKMPKFKMPSFGVSAPGKPSLEASLEVGAPKVEADVAPPQVQGDLKTPDLSVQ LPSADLELKAGQVGVKLPEGQLPEAELPAAQAAAAAGAGLKGHLPKVQMPSLKMPKVDLK GPHVDLKGPKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELALADKDVAAKDSK FKMPEFKMPSFGVSAPGKPSLEASLEVGAPKVEADVAPPQVQGDLKTPDLSVQLPSADLE LKARQVGVKLPEGQLPEAELPAAQAAAAGAGLKGHLPKVQMPSLKMPKVDLKGPHVDLKG PKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELALADKDVAAKDSKFKMPKFKM PSFGVSAPGKPSLEASLEVGAPNVEADVVPPQVQGDLKTPDLSVQLPSADLELNVGQVGV KLLEGHLADKDVAAKDSKFKMPKFKMPSFGVSAPGKPSLEASLEVGAPKVEADVAPPQVQ GDLKTPDLSVQLPSADLELKAGQVGVKLPEGQLPEAELPAAQAAAAAGAGLKGHLPKVQM PSLKMPKVDLKGPHVDLKGPKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELAL ADKDVAAKDSKFKMPKFKMPSFGVSAPGKPSLEASLEVGAPKVEADVAPPQVQGDLKTPD LSVQLPSADLELKAGQVGVKLPEGQLPEAELPAAKAAAAAGAGLKGHLPKVQMPSLKMPK VDLKGPHVDLKGPKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELALADKDVAA KDSKFKMPKFKMPSFGVSAPGKPSQEASLEVGAPKVEADVAPPQVQGDLKTPDLSVQLRS ADLELKAGQVGVKLPEGQLPEAELPAAQAAAAAGAGLKGHLPKVQMPSLKMPKVDLKGPH VDLKGPKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELSLADKDVAAKDSKFKM PKFKMPSFGVSAPGKPSLEASLEVGAPKVEADVAPPQVQGDLKTPD >ENSMUSP00000114522.1 pep:known chromosome:GRCm38:12:112781010:112802657:-1 gene:ENSMUSG00000072812.4 transcript:ENSMUST00000124526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahnak2 description:AHNAK nucleoprotein 2 [Source:MGI Symbol;Acc:MGI:2144831] MCDCFHVVLPTWPGAPGSVSGRQLQQREPEAETEDDSVTEGPAGEIIRPRPQGSSPVYEY TAEGAGFGGQENSQGRHSSSGRRRSWWKRGSGDSAAFTSMSHPEESTEVTLKTDVESGAS GYSVTGGGDQGIFVKQVLKDSSAAKLFNLREGDQLLSATIFFDHMKYEDALKILQYSEPY KVQFRIKRKLSASKGEEGAVQHSQQGQENQDKDIADGCMETPTKTLEEAGDRERLISKSR DGHHRRTQDRFSWPKFQTLRSKQRAGPRRSHSSSEASEHRETRDMSPTSTDTEVHRTADR QERNTGEGRRRRRFLNLRFGMTSGQSPDTSEQKGKDPKDRRGDAGALDGCPTQEDDTKDR EVAVEGQRKDKKETTGYMSEKTTQKNMRENYYSMEKDIPGKIDLKTDRHQKKKKQDKKQE KQTKLLQQRGEHTTGLRWEDSWEEVESLEIGIARLSLKDTPEENMEVNIQVPSAKLEGEL TLADKDVVAKDSKFKMPKFKMPSFGVSAPGKPSLEASLEVGAPKVEADVAPPQVQGDLKT PDLSVQLPSADLELKAGQVGVKLPEGQLPEAELPAAQAAAAAGAGLKGHLPKVQMPSLKM PKVDLKGPHVDLKGPKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELALADKDV AAKDSKFKMPKFKMPSFGVSAPGKPSLEASLEVGAPKVEADVAPPQVQGDLKTPDLSVQL PSADLELKAGQVGVKLPEGQLPEAELPAAQAAAAAGAGLKGHLPKVQMPSLKMPKVDLKG PHVDLKGPKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGAKLEGELALADKDVAAKDSKF KMPKFKMPSFGVSAPGKPSLEASLEVGAPKVEADVAPPLSVQLPSADLELKAGQVGVKLP EGQLPEAELPAAKAAAAAGAGLKGHLPKVQMPSLKMPKVDLKGPHVDLKGPKVDVKGAKG EVSAPDLEVSLPGVEVDIQAPGAKLEGELALADKDVAAKDSKFKMPKFKMPSFGVSAPGK PSQEASLEVGAPKVEADVAPPQVQGDLKTPDLSVQLRSADLELKAGQVGVKLPEGQLPEA ELPAAQAAAGAGLKGHLPKVQMPSLKMPKVDLKGPHVDLKGPKVDVKGAKGEVSAPDLEV SLPGVEVDIQAPGAKLEGELALADKDVAAKDSKFKMPKFKMPSFGVSAPGKPSQEASLEV GAPKVEADVAPPQVQGDLKTPDLSVQLPSADLDLKAGQVGVKLPEGQLPEAELPAAQAAA AAGAGLKGHLPKVQMPSLKMPKVDLKGPHVDLKGPKVDVKGAKGEVSAPDLEVSLPGVEV DIQAPGAKLEGELALADKDVAAKDSKFKMPKFKMPSFGVSAPGKPSLEASLEVGAPKVEA DVAPPQVQGDLKTPDLSVQLPSADLELKAGQVGVKLPEGQLPEAELPAAQAAAAAGAGLK GHLPKVQMPSLKMPKVDLKGPHVDLKGPKVDVKGAKGEVSAPDLEVSLPGVEVDIQAPGA KLEGELALADKDVAAKDSKFKMPKFKMPSFGVSAPGKPSLEASLEVGAPKVEADVAPPQV QGDLKTPDLSVQLPSADLELKAGQVGVKLPRRTAAGGRAARRPGCCCWGRPEGPPAQGAD AQPQDAQDGPQGTPRRPEGPQSGREGRQGRGERPRPGGVPARRGGGHPGARCQAGGGAGP GRQGRGGQRQQVQDAQVQDALLWRVRAWQAQPGGLSGGGCAQGGGRRGPAPSAGRPEDP >ENSMUSP00000098572.2 pep:known chromosome:GRCm38:12:112772434:112775254:-1 gene:ENSMUSG00000072812.4 transcript:ENSMUST00000101010.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahnak2 description:AHNAK nucleoprotein 2 [Source:MGI Symbol;Acc:MGI:2144831] MEMDSQIQSSVSIVESPQHAPLEKDVEKGRSRKLSFSMPRLALPKIKVSKGTASVPHGDM RPSLSGTTSGGDLVVVETAVSDAHVGDTHSKDVTTELHVRSPSTTAELVRSKTELPSSKD DLNVRGDSKSLMTVSASQPFGELVPPRTEGPLQPSGRQRDNACTMETPEMDPTAKETSTD SNERRFKMPKFRVPGFRRSSSKERDRLGEQEATQKSQTQVISIPLEAEEKAAACVQLSHV PESKVEAHISLETPEEGTSVKPVESPTYADVVKRDLHGTGSRMHSSTVEHSHTHLSTPEF GTHLYKDSVSLVRVSEPQLPPEETGKQQHPGPGGNIPAEMEARTGSLPSQPRGPLRLKAS ITDVASQVSVVSMSQLWEDSVLTVTFPKLKVPRFSFPAPSSEADVFFPVVREVEASITGP MHTGSPGLWEASILKTGAEDTRMPPASLEQSLEASPISKVRVHIQGSRGESQEVALPSRM ERWSADPLAPGAFCTQIVRESEIPASTIQTPSYGFSLLKVKIPEPPVQACVYTVAPDSQP QESVGGAPSPAAAGGHSAPAEAPPDTGEPFEIISSSVGVPPGPQLADSTSDEEPAEILEF PEDSQEVKVSGMDTKQKPEGKKASLLWSWLPSIGFSSVEEMAAESRDTTQRSPVHVQPTA RLDPELPRKQEKAGWFRFPKLGFSSSPTKKPRNTEDGEGQEEHKPQEETVTFFDARESFS PEEEEEAKSEGTSARPGSEAMVASSARTELVLLEQARDTGDKPIPRPVAK >ENSMUSP00000106277.1 pep:known chromosome:GRCm38:2:121194838:121271341:-1 gene:ENSMUSG00000043909.16 transcript:ENSMUST00000110647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53bp1 description:transformation related protein 53 binding protein 1 [Source:MGI Symbol;Acc:MGI:1351320] MPGEQMDPTGSQLDSDFSQQDTPCLIIEDSQPESQVLEEDAGSHFSVLSRHLPNLQMHKE NPVLDIVSNPEQSAVEQGDSNSSFNEHLKEKKASDPVESSHLGTSGSISQVIERLPQPNR TSSALAVTVEAASLPEEEKEEEELEEEKEGVGANAPGADSLAAEDSASSQLGFGVLELSQ SQDVEEHTVPYDVNQEHLQLVTTNSGSSPLSDVDASTAIKCEEQPTEDIAMIEQPSKDIP VTVQPGKGIHVVEEQNLPLVRSEDRPSSPQVSVAAVETKEQVPARELLEEGPQVQPSSEP EVSSTQEDLFDQSSKTASDGCSTPSREEGGCSPVSTPATTLQLLQLSGQKPLVQESLSTN SSDLVAPSPDAFRSTPFIVPSSPTEQGGRKDEPMDMSVIPVGGEPFQKLHDDEAMETEKP LLPSQPAVSPQASTPVSRSTPVFTPGSLPIPSQPEFSHDIFIPSPSLEEPSDDVKKGGGL HSSSLTVECSKTSESEPKNFTDDLGLSMTGDSCKLMLSTSEYSQSSKMESLGSPRTEEDR ENTQIDDTEPLSPVSNSKLPADSENVLVTPSQDDQVEMSQNVDKAKEDETEDRGDCKGRE DAVAEDVCIDLTCDSGSQAVPSPATRSEALSSVLDQEEAMDTKEHHPEEGFSGSEVEEVP ETPCGSHREEPKEEPMESIPLHLSLTETQSEALCLQKEAPKEECPEAMEVETSVISIDSP QKLQVLDQELEHKDPDTWEEATSEDSSVVIVDVKEPSPRADVSCEPLEEVEKCSDSQSWE GVAPEEEPCAENRLDTPEEKRIECDGDSKAETTEKDAVTEDSPQPPLPSVRDEPVRPDQE TQQPQVQEKESPVTVDAEVADDKQLGPEGACQQLEKAPACASQSFCESSSETPFHFTLPK EGDIIPPLTGATPPLIGHLKLEPKRHSTPIGISNYPESTIATSDVTSESMVEINDPLLGN EKGDSESAPEMDGKLSLKMKLVSPETEASEESLQFSLEKPTTAERKNGSTAIAEPVASLQ KPVPVFGCIYEAQQEKEAQSEAPPSAPDRANLLHFPSAQEEDKERPDVTPKLRQSEQPVK PVGPVMDDAAPEDSASPVSQQRASQEQRASQEPFSPAEDVMETDLLEGLAANQDRPSKML MDRPTQSNIGIQTVDHSLCAPETVSAATQTVKSVCEQGTSTAEQNSGKQDATVQTERGSG EKPASAPVDDTESLHSQGEEEFEMPQPPHGHVLHRHMRTIREVRTLVTRVITDVYYVDGT EVERKVTEETEEPIVECQECETEVSPSQTGGSSGDLGDISSFSSKASSSHHTSSGTSLSA IHSSGSSGRGAGPLKGKASGTEAADFALPSSRGGPGKLRETVVSGPLGVEDISPSMSPDD KSFTRIMPRVPDSTKRTDASSSTLRRSDSPEIPFQAATGSSDGLDSSSSGNSFVGLRVVA KWSSNGYFYSGKITRDVGAGKYKLLFDDGYECDVLGKDILLCDPIPLDTEVTALSEDEYF SAGVVKGHRKESGELYYSIEKEGQRKWYKRMAVILSLEQGNRLREQYGLGPYEAVTPLTK AADISLDNLVEGKRKRRSNISSPVTPTAASSSSTTPTRKATESPRASTGVPSGKRKLPTS EEERSPAKRGRKSATVKPGTVGAAEFVSPCETGDNIGEPSVLEEPRGPLPLNKTLFLGYA FLLTMATTSDKLASRSKLLDGPTGSSEEEEEFLEIPPFNKQYTECQLRAGAGYILEDFNE AQCNTAYQCLLIADQHCRTRKYFLCLASGIPCVSHVWVHDSCHANQLQNYRNYLLPAGYS LEEQRILDWQPRENPFQNLKVLLVSDQQQNFLELWSEILMTGGAASVKQHHSSAHNKDIA LGVFDVVVTDPSCPASVLKCAEALQLPVVSQEWVIQCLIVGERIGFKQHPKYKHDYVSH >ENSMUSP00000106278.1 pep:known chromosome:GRCm38:2:121194838:121271407:-1 gene:ENSMUSG00000043909.16 transcript:ENSMUST00000110648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53bp1 description:transformation related protein 53 binding protein 1 [Source:MGI Symbol;Acc:MGI:1351320] MPGEQMDPTGSQLDSDFSQQDTPCLIIEDSQPESQVLEEDAGSHFSVLSRHLPNLQMHKE NPVLDIVSNPEQSAVEQGDSNSSFNEHLKEKKASDPVESSHLGTSGSISQVIERLPQPNR TSSALAVTVEAASLPEEEKEEEELEEEKEGVGANAPGADSLAAEDSASSQLGFGVLELSQ SQDVEEHTVPYDVNQEHLQLVTTNSGSSPLSDVDASTAIKCEEQPTEDIAMIEQPSKDIP VTVQPGKGIHVVEEQNLPLVRSEDRPSSPQVSVAAVETKEQVPARELLEEGPQVQPSSEP EVSSTQEDLFDQSSKTASDGCSTPSREEGGCSPVSTPATTLQLLQLSGQKPLVQESLSTN SSDLVAPSPDAFRSTPFIVPSSPTEQGGRKDEPMDMSVIPVGGEPFQKLHDDEAMETEKP LLPSQPAVSPQASTPVSRSTPVFTPGSLPIPSQPEFSHDIFIPSPSLEEPSDDVKKGGGL HSSSLTVECSKTSESEPKNFTDDLGLSMTGDSCKLMLSTSEYSQSSKMESLGSPRTEEDR ENTQIDDTEPLSPVSNSKLPADSENVLVTPSQDDQVEMSQNVDKAKEDETEDRGDCKGRE DAVAEDVCIDLTCDSGSQAVPSPATRSEALSSVLDQEEAMDTKEHHPEEGFSGSEVEEVP ETPCGSHREEPKEEPMESIPLHLSLTETQSEALCLQKEAPKEECPEAMEVETSVISIDSP QKLQVLDQELEHKDPDTWEEATSEDSSVVIVDVKEPSPRADVSCEPLEEVEKCSDSQSWE GVAPEEEPCAENRLDTPEEKRIECDGDSKAETTEKDAVTEDSPQPPLPSVRDEPVRPDQE TQQPQVQEKESPVTVDAEVADDKQLGPEGACQQLEKAPACASQSFCESSSETPFHFTLPK EGDIIPPLTGATPPLIGHLKLEPKRHSTPIGISNYPESTIATSDVTSESMVEINDPLLGN EKGDSESAPEMDGKLSLKMKLVSPETEASEESLQFSLEKPTTAERKNGSTAIAEPVASLQ KPVPVFGCIYEAQQEKEAQSEAPPSAPDRANLLHFPSAQEEDKERPDVTPKLRQSEQPVK PVGPVMDDAAPEDSASPVSQQRASQEQRASQEPFSPAEDVMETDLLEGLAANQDRPSKML MDRPTQSNIGIQTVDHSLCAPETVSAATQTVKSVCEQGTSTAEQNSGKQDATVQTERGSG EKPASAPVDDTESLHSQGEEEFEMPQPPHGHVLHRHMRTIREVRTLVTRVITDVYYVDGT EVERKVTEETEEPIVECQECETEVSPSQTGGSSGDLGDISSFSSKASSSHHTSSGTSLSA IHSSGSSGRGAGPLKGKASGTEAADFALPSSRGGPGKLSPRKGISQTGAPVCEEDGDAGL GIRQGGKAPVTPRGRGRRGRPPSRTTGTRETVVSGPLGVEDISPSMSPDDKSFTRIMPRV PDSTKRTDASSSTLRRSDSPEIPFQAATGSSDGLDSSSSGNSFVGLRVVAKWSSNGYFYS GKITRDVGAGKYKLLFDDGYECDVLGKDILLCDPIPLDTEVTALSEDEYFSAGVVKGHRK ESGELYYSIEKEGQRKWYKRMAVILSLEQGNRLREQYGLGPYEAVTPLTKAADISLDNLV EGKRKRRSNISSPVTPTAASSSSTTPTRKATESPRASTGVPSGKRKLPTSEEERSPAKRG RKSATVKPGTVGAAEFVSPCETGDNIGEPSVLEEPRGPLPLNKTLFLGYAFLLTMATTSD KLASRSKLLDGPTGSSEEEEEFLEIPPFNKQYTECQLRAGAGYILEDFNEAQCNTAYQCL LIADQHCRTRKYFLCLASGIPCVSHVWVHDSCHANQLQNYRNYLLPAGYSLEEQRILDWQ PRENPFQNLKVLLVSDQQQNFLELWSEILMTGGAASVKQHHSSAHNKDIALGVFDVVVTD PSCPASVLKCAEALQLPVVSQEWVIQCLIVGERIGFKQHPKYKHDYVSH >ENSMUSP00000119793.1 pep:known chromosome:GRCm38:2:121198251:121236006:-1 gene:ENSMUSG00000043909.16 transcript:ENSMUST00000147540.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trp53bp1 description:transformation related protein 53 binding protein 1 [Source:MGI Symbol;Acc:MGI:1351320] XSVVIVDVKEPSPRADVSCEPLEEVEKCSDSQSWEGVAPEEEPCAENRLDTPEEKRIECD GDSKAETTEKDAVTEDSPQPPLPSVRDEPVRPDQETQQPQVQEKESPVTVDAEVADDKQL GPEGACQQLEKAPACASQSFCESSSETPFHFTLPKEGDIIPPLTGATPPLIGHLKLEPKR HSTPIVSAGR >ENSMUSP00000117548.1 pep:known chromosome:GRCm38:2:121202693:121207762:-1 gene:ENSMUSG00000043909.16 transcript:ENSMUST00000154426.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trp53bp1 description:transformation related protein 53 binding protein 1 [Source:MGI Symbol;Acc:MGI:1351320] CDPIPLDTEVTALSEDEYFSAGVVKGHRKESGELYYSIEKEGQRKWYKRMAVILSLEQGN RLREQYGLGPYEAVTPLTKAADISLDNLVEGKRKRRSNISSPVTPTAASSSSTTPTRKAT ESPRASTGVPSGKRKLPTSEEERSPAKRGRKSATVKPGGMHDLPSS >ENSMUSP00000122629.1 pep:known chromosome:GRCm38:2:121208420:121221241:-1 gene:ENSMUSG00000043909.16 transcript:ENSMUST00000124554.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trp53bp1 description:transformation related protein 53 binding protein 1 [Source:MGI Symbol;Acc:MGI:1351320] XPVPVFGCIYEAQQEKEAQSEAPPSAPDRGKRNLKCPSLRMAMSCIAT >ENSMUSP00000114457.1 pep:known chromosome:GRCm38:2:121216143:121271321:-1 gene:ENSMUSG00000043909.16 transcript:ENSMUST00000131245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53bp1 description:transformation related protein 53 binding protein 1 [Source:MGI Symbol;Acc:MGI:1351320] MPGEQMDPTGSQLDSDFSQQDTPCLIIEDSQPESQVLEEDAGSHFSVLSRHLPNLQMHKE NPVLDIVSNPEQSAVEQGDSNSSFNEHLKEKKASDPVESSHLGTSGSISQVIERLPQPNR TSSALAVTVEAASLPEEEKEEEELEEEKEGVGANAPGADSLAAEDSASSQLGFGVLELSQ SQDVEEHTVPYDVNQEHLQLVTTNSGSSPLSDVDASTAIKCEEQPTEDIAMIEQPSKDIP VTVQPGKGIHVVEEQNLPLVRSEDRPSSPQVSVAAVETKEQVPARELLEEGPQVQPSSEP EVSSTQEDLFDQSSKTASDGCSTPSREEGGCSPVSTPATTLQLLQLSGQKPLVQESLSTN SSDLVAPSPDAFRSTPFIVPSSPTEQGGRKDEPMDMSVIPVGGEPFQKLHDDEAMETEKP LLPSQPAVSPQASTPVSRSTPVFTPGSLPIPSQPEFSHDIFIPSPSLEEPSDDVKKGGGL HSSSLTVECSKTSESEPKNFTDDLGLSMTGDSCKLMLSTSEYSQSSKMESLGSPRTEEDR ENTQIDDTEPLSPVSNSKLPADSENVLVTPSQDDQVEMSQNVDKAKEDETEDRGDCKGRE DAVAEDVCIDLTCDSGSQAVPSPATRSEALSSVLDQEEAMDTKEHHPEEGFSGSEVEEVP ETPCGSHREEPKEEPMESIPLHLSLTETQSEALCLQKEAPKEECPEAMEVETSVISIDSP QKLQVLDQELEHKDPDTWEEATSEDSSVVIVDVKEPSPRADVSCEPLEEVEKCSDSQSWE GVAPEEEPCAENRLDTPEEKRIECDGDSKAETTEKDAVTEDSPQPPLPSVRDEPVRPDQE TQQPQVQEKESPVTVDAEVADDKQLGPEGACQQLEKAPACASQSFCESSSGISNYPESTI ATSDVTSESMVEINDPLLGNEKGDSESAPEMDGKLSLKMKLVSPETEASEESLQFSLEKP TTAERKNGSTAIAEPVASLQKPVPVFGCIYEAQQEKEAQSEAPPSAPDRANLLHFPSA >ENSMUSP00000119425.1 pep:known chromosome:GRCm38:2:121228369:121235689:-1 gene:ENSMUSG00000043909.16 transcript:ENSMUST00000142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53bp1 description:transformation related protein 53 binding protein 1 [Source:MGI Symbol;Acc:MGI:1351320] XVTVDAEVADDKQLGPEGACQQLEKAPACASQSFCESSSETPFHFTLPKEGDIIPPLTGA TPPLIGHLKLEPKRHSTPIGE >ENSMUSP00000120451.1 pep:known chromosome:GRCm38:2:121236824:121252027:-1 gene:ENSMUSG00000043909.16 transcript:ENSMUST00000129752.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trp53bp1 description:transformation related protein 53 binding protein 1 [Source:MGI Symbol;Acc:MGI:1351320] DRPSSPQVSVAAVETKEQVPARELLEEGPQVQPSSEPEVSSTQEDLFDQSSKTGSCALF >ENSMUSP00000032211.4 pep:known chromosome:GRCm38:6:122605403:122610087:-1 gene:ENSMUSG00000030117.5 transcript:ENSMUST00000032211.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf3 description:growth differentiation factor 3 [Source:MGI Symbol;Acc:MGI:95686] MQPYQRLLALGFLLLTLPWGQTSEFQDSDLLQFLGLEKAPSPHRFQPVPRVLRKIIRARE AAAASGASQDLCYVKELGVRGNLLQLLPDQGFFLNTQKPFQDGSCLQKVLYFNLSAIKEK AKLTMAQLTLDLGPRSYYNLRPELVVALSVVQDRGVWGRSHPKVGRLLFLRSVPGPQGQL QFNLQGALKDWSSNRLKNLDLHLEILVKEDRYSRVTVQPENPCDRLLRSLHASLLVVTLN PKHCHPSSRKRRAAISVPKGFCRNFCHRHQLFINFQDLGWHKWVIAPKGFMANYCHGECP FSMTTYLNSSNYAFMQALMHMADPKVPKAVCVPTKLSPISMLYQDSDKNVILRHYEDMVV DECGCG >ENSMUSP00000122199.1 pep:known chromosome:GRCm38:9:66514637:66593142:-1 gene:ENSMUSG00000032376.12 transcript:ENSMUST00000127569.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp3 description:ubiquitin specific peptidase 3 [Source:MGI Symbol;Acc:MGI:2152450] MECPHLSSSVCIAPDSAKFPNGSPSSWCCSVCRSNKSPWVCLTCSSVHCGRYVNGHAKKH YEDAQIPLLNHKRSEKQEKAQHTVCMDCSSYSTYCYRCDDFVVNDTKLGLVQKVREHLQN LENSAFTADRHRKRKLLENSSLNSKLLKVNGSTTAICATGLRNLGNTCFMNAILQSLSNI EQFCCYFKELPAVELRNGKTAGRRTYHTRSQGDSNVSLVEEFRKTLCALWQGSQTAFSPE SLFYVVWKIMPNFRGYQQQDAHEFMRYLLDHLHLELQGGFNGVSRSAILQENSTLSASNK CCINGASTVVTAIFGGILQNEVNCLICGTESRKFDPFLDLSLDIPSQFRSKRSKNQENGP VCSLRDCLRSFTDLEELDETELYMCHKCKKKQKSTKKFWIQKLPKALCLHLKRFHWTAYL RNKVDTYVQFPLRGLDMKCYLLEPENSGPDSCLYDLAAVVVHHGSGVGSGHYTAYAVHEG RWFHFNDSTVTVTDEETVGKAKAYILFYVERQARAGAEKL >ENSMUSP00000115620.1 pep:known chromosome:GRCm38:9:66516234:66593000:-1 gene:ENSMUSG00000032376.12 transcript:ENSMUST00000139547.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp3 description:ubiquitin specific peptidase 3 [Source:MGI Symbol;Acc:MGI:2152450] MECPHLSSSVCIAPDSAKFPNGSPSSWCCSVIAVTILWSTTPSWAWYRK >ENSMUSP00000096213.2 pep:known chromosome:GRCm38:9:66517227:66593036:-1 gene:ENSMUSG00000032376.12 transcript:ENSMUST00000098613.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp3 description:ubiquitin specific peptidase 3 [Source:MGI Symbol;Acc:MGI:2152450] MECPHLSSSVCIAPDSAKFPNGSPSSWCCSVCRSNKSPWVCLTCSSVHCGRYVNGHAKKH YEDAQIPLLNHKRSEKQEKAQHTVCMDCSSYSTYCYRCDDFVVNDTKLGLVQKVREHLQN LENSAFTADRHRKRKLLENSSLNSKLLKVNGSTTAICATGLRNLGNTCFMNAILQSLRSL VEEFRKTLCALWQGSQTAFSPESLFYVVWKIMPNFRGYQQQDAHEFMRYLLDHLHLELQG GFNGVSRSAILQENSTLSASNKCCINGASTVVTAIFGGILQNEVNCLICGTESRKFDPFL DLSLDIPSQFRSKRSKNQENGPVCSLRDCLRSFTDLEELDETELYMCHKCKKKQKSTKKF WIQKLPKALCLHLKRFHWTAYLRNKVDTYVQFPLRGLDMKCYLLEPENSGPDSCLYDLAA VVVHHGSGVGSGHYTAYAVHEGRWFHFNDSTVTVTDEETVGKAKAYILFYVERQARAGAE KL >ENSMUSP00000134472.1 pep:known chromosome:GRCm38:9:66518075:66593006:-1 gene:ENSMUSG00000032376.12 transcript:ENSMUST00000174387.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp3 description:ubiquitin specific peptidase 3 [Source:MGI Symbol;Acc:MGI:2152450] MECPHLSSSVCIAPDSAKFPNGSPSSWCCSVCRSNKSPWVCLTCSSVHCGSYRCDDFVVN DTKLGLVQKVREHLQNLENSAFTADRHRKRKLLENSSLNSKLLKVNGSTTAICATGLRNL GNTCFMNAILQSLSNIEQFCCYFKELPAVELRNGKTAGRRTYHTRSQGDSNVSLVEEFRK TLCALWQGSQTAFSPESLFYVVWKIMPNFRGYQQQDAHEFMRYLLDHLHLELQGGFNGVS RSAILQENSTLSASNKCCINGASTVVTAIFGGILQNEVNCLICGTESRKFDPFLDLSLDI PSQFRSKRSKNQENGPVCSLRDCLRSFTDLEELDETELYMCHKCKKKQKSTKKFWIQKLP KALCLHLKRFHWTAYLRNKVDTYVQFPLRGLDMKCYLLEPENSGPDSCLYDLAAVVVHHG SGVGSGHYTAYAVHEGRWFHFNDSTVTVTDEETVGKAKAYILFYVERQARAGAEKL >ENSMUSP00000054126.3 pep:known chromosome:GRCm38:1:131254597:131279606:-1 gene:ENSMUSG00000042349.13 transcript:ENSMUST00000062108.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbke description:inhibitor of kappaB kinase epsilon [Source:MGI Symbol;Acc:MGI:1929612] MQSTTNYLWHTDDLLGQGATASVYKARNKKSGEVVAVKVFNSASYRRPPEVQVREFEVLR RLNHQNIVKLFAVEETGGSRQKVLIMEYCSSGSLLSVLEDPENTFGLSEEEFLVVLRCVV AGMNHLRENGIVHRDIKPGNIMRLVGEEGQSIYKLSDFGAARKLDDDEKFVSVYGTEEYL HPDMYERAVLRKPQQKAFGVTVDLWSIGVTLYHAATGSLPFIPFGGPRRNKEIMYRITTE KPAGAISGTQKQENGPLEWSYSLPITCRLSMGLQNQLVPILANILEVEEDKCWGFDQFFA ETSDILQRTVIHVFSLPQAVLHHVYIHAHNTIAIFLEAVYEQTNVTPKHQEYLFEGHPCV LEPSLSAQHIAHTAASSPLTLFSMSSDTPKGLAFRDPALDVPKFVPKVDLQADYSTAKGV LGAGYQALWLARVLLDGQALMLRGLHWVLEVLQDTCQQTLEVTRTALLYLSSSLGTERFS SGAGMPDVQERKEATELRTRLQTLSEILSKCSHNVTETQRSLSCLGEELLKNRDQIHEDN KSIQKIQCCLDKMHFIYKQFKKSRMRPGLSYNEEQIHKLDKVNFSHLAKRLLQVFQEECV QTYQVSLVTHGKRMRQVQRAQNHLHLIGHSVATCNSEARGAQESLNKIFDQLLLDRASEQ GAEVSPQPMAPHPGPDPKDLVFHMQELCNDMKLLAFDLQDNNRLIERLHRVPSAPDV >ENSMUSP00000124190.1 pep:known chromosome:GRCm38:1:131254602:131276951:-1 gene:ENSMUSG00000042349.13 transcript:ENSMUST00000161764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbke description:inhibitor of kappaB kinase epsilon [Source:MGI Symbol;Acc:MGI:1929612] MQSTTNYLWHTDDLLGQGATASVYKARNKKSGEVVAVKVFNSASYRRPPEVQGGSRQKVL IMEYCSSGSLLSVLEDPENTFGLSEEEFLVVLRCVVAGMNHLRENGIVHRDIKPGNIMRL VGEEGQSIYKLSDFGAARKLDDDEKFVSVYGTEEYLHPDMYERAVLRKPQQKAFGVTVDL WSIGVTLYHAATGSLPFIPFGGPRRNKEIMYRITTEKPAGAISGTQKQENGPLEWSYSLP ITCRLSMGLQNQLVPILANILEVEEDKCWGFDQFFAETSDILQRTVIHVFSLPQAVLHHV YIHAHNTIAIFLEAVYEQTNVTPKHQEYLFEGHPCVLEPSLSAQHIAHTAASSPLTLFSM SSDTPKGLAFRDPALDVPKFVPKVDLQADYSTAKGVLGAGYQALWLARVLLDGQALMLRG LHWVLEVLQDTCQQTLEVTRTALLYLSSSLGTERFSSGAGMPDVQERKEATELRTRLQTL SEILSKCSHNVTETQRSLSCLGEELLKNRDQIHEDNKSIQKIQCCLDKMHFIYKQFKKSR MRPGLSYNEEQIHKLDKVNFSHLAKRLLQVFQEECVQTYQVSLVTHGKRMRQVQRAQNHL HLIGHSVATCNSEARGAQESLNKIFDQLLLDRASEQGAEVSPQPMAPHPGPDPKDLVFHM QELCNDMKLLAFDLQDNNRLIERLHRVPSAPDV >ENSMUSP00000124486.1 pep:known chromosome:GRCm38:1:131273791:131276713:-1 gene:ENSMUSG00000042349.13 transcript:ENSMUST00000159195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbke description:inhibitor of kappaB kinase epsilon [Source:MGI Symbol;Acc:MGI:1929612] MQSTTNYLWHTDDLLGQGATASVYKARNKKSGEVVAVKVFNSASYRRPPEVQVREFEVLR RLNHQNIVKLFAVEETGGSRQKVLIMEYCSSGSLLSVLEDPENTFGLSEEEFLVVLRCVV AGMNHLRENG >ENSMUSP00000042167.7 pep:known chromosome:GRCm38:2:101716241:101797708:-1 gene:ENSMUSG00000032841.15 transcript:ENSMUST00000043845.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr5l description:proline rich 5 like [Source:MGI Symbol;Acc:MGI:1919696] MTRGLAPLLPIEFHKMGSFRRPRPRFMSSPVLSELPRFQAARQALQLSSNSAWNSVQTAV INVFKGGGLQSNELYALNESIRRLLKSELGSFITDYFQNQLLAKGLSFVEEKIKLCEGDN RIEVLAEVWDHFFTETLPTLQAIFYPVQGQELTIRQISLLGFRDLVLLKVKLGDVLLLAQ SKLPSSVIQMLLILQSVHEPTGPSEGYLQLEELVKQVVSPFLSISGDRSCSGPTYSLARR HSRVRPKVTVLNYASLMTTVGRPLNEMVLTPLTEQEGEAYLEKCGSVRRHTVANAHSDIQ LLAMATMMHSGLGEEAGGEDKHLLLPPSFPPPHRQCSSEPSILDSPDELELEDVASGSQE DSELNCASLS >ENSMUSP00000118537.1 pep:known chromosome:GRCm38:2:101717250:101797650:-1 gene:ENSMUSG00000032841.15 transcript:ENSMUST00000141814.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr5l description:proline rich 5 like [Source:MGI Symbol;Acc:MGI:1919696] XKPGQDATRRRAELGEDLGRASERARREPAESLVSLQQENFPWNTGNFFLSAQSPRRRRA VGVRGSARVTHAGDPKGGQELTIRQISLLGFRDLVLLKVKLGDVLLLAQSKLPSSVIQML LILQSVHEPTGPSEGYLQLEELVKQVVSPFLSISGDRSCSGPTYSLARRHSRVRPKVTVL NYASLMTTVGRPLNEMVLTPLTEQEGEAYLEKCGSVRRHTVANAHSDIQLLAMATMMHS >ENSMUSP00000122996.1 pep:known chromosome:GRCm38:2:101741348:101838980:-1 gene:ENSMUSG00000032841.15 transcript:ENSMUST00000125985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr5l description:proline rich 5 like [Source:MGI Symbol;Acc:MGI:1919696] MTRGLAPLLPIEFHKMGSFRRPRPRFMSSPVLSELPRFQAARQALQLSSNSAWNSVQTAV INVFKGGGLQSNELYALNESIRRLLKSELGSFITDYFQNQLLAKGLSFVEEKIKLCEGDN RIEVLAEV >ENSMUSP00000120192.1 pep:known chromosome:GRCm38:2:101758518:101883014:-1 gene:ENSMUSG00000032841.15 transcript:ENSMUST00000154525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr5l description:proline rich 5 like [Source:MGI Symbol;Acc:MGI:1919696] MTRGLAPLLPIEFHKMGSFRRPRPRFMSSPVLSELPRFQAARQALQLSSNSAWNSVQTAV INVFKGGGLQSNELYALNESIRRLLKSELGSFITD >ENSMUSP00000118502.1 pep:known chromosome:GRCm38:2:101772140:101798054:-1 gene:ENSMUSG00000032841.15 transcript:ENSMUST00000124802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr5l description:proline rich 5 like [Source:MGI Symbol;Acc:MGI:1919696] MTRGLAPLLPIEFHKMGSFRRPRPRFMSSPVLSELPRFQAARQALQLSSNS >ENSMUSP00000116266.1 pep:known chromosome:GRCm38:2:101772184:101814333:-1 gene:ENSMUSG00000032841.15 transcript:ENSMUST00000144549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr5l description:proline rich 5 like [Source:MGI Symbol;Acc:MGI:1919696] MTRGLAPLLPIEFHKMGSFRRPRPRFMSSPVLSELPR >ENSMUSP00000127530.1 pep:known chromosome:GRCm38:2:101714285:101838980:-1 gene:ENSMUSG00000032841.15 transcript:ENSMUST00000163762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr5l description:proline rich 5 like [Source:MGI Symbol;Acc:MGI:1919696] MTRGLAPLLPIEFHKMGSFRRPRPRFMSSPVLSELPRFQAARQALQLSSNSAWNSVQTAV INVFKGGGLQSNELYALNESIRRLLKSELGSFITDYFQNQLLAKGLSFVEEKIKLCEGDN RIEVLAEVWDHFFTETLPTLQAIFYPVQGQELTIRQISLLGFRDLVLLKVKLGDVLLLAQ SKLPSSVIQMLLILQSVHEPTGPSEGYLQLEELVKQVVSPFLSISGDRSCSGPTYSLARR HSRVRPKVTVLNYASLMTTVGRPLNEMVLTPLTEQEGEAYLEKCGSVRRHTVANAHSDIQ LLAMATMMHSGLGEEAGGEDKHLLLPPSFPPPHRQCSSEPSILDSPDELELEDVASGSQE DSELNCASLS >ENSMUSP00000130152.1 pep:known chromosome:GRCm38:2:101714285:101797707:-1 gene:ENSMUSG00000032841.15 transcript:ENSMUST00000171088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr5l description:proline rich 5 like [Source:MGI Symbol;Acc:MGI:1919696] MTRGLAPLLPIEFHKMGSFRRPRPRFMSSPVLSELPRFQAARQALQLSSNSAWNSVQTAV INVFKGGGLQSNELYALNESIRRLLKSELGSFITDYFQNQLLAKGLSFVEEKIKLCEGDN RIEVLAEVWDHFFTETLPTLQAIFYPVQGQELTIRQISLLGFRDLVLLKVKLGDVLLLAQ SKLPSSVIQMLLILQSVHEPTGPSEGYLQLEELVKQVVSPFLSISGDRSCSGPTYSLARR HSRVRPKVTVLNYASLMTTVGRPLNEMVLTPLTEQEGEAYLEKCGSVRRHTVANAHSDIQ LLAMATMMHSGLGEEAGGEDKHLLLPPSFPPPHRQCSSEPSILDSPDELELEDVASGSQE DSELNCASLS >ENSMUSP00000012355.7 pep:known chromosome:GRCm38:12:113074502:113088914:1 gene:ENSMUSG00000012211.13 transcript:ENSMUST00000012355.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex22 description:testis expressed gene 22 [Source:MGI Symbol;Acc:MGI:1922921] MDSRQQRPQRKTLQWQLAQEQRQQSPPQGLAVASSQPDTKSKPQDDLQTQDWVCEPQELR RPGSRWNISIDERRRLALQRMQERTDTARAPSGDPLGLHPEGQQTETSPSTQSVPTPPLQ ACETMADPLQDIAHVLAELMSEGVERDVLISQPLRSTENSNAFQDFLAQDAPLWKDENFE AQTSRWPHS >ENSMUSP00000116272.1 pep:known chromosome:GRCm38:12:113074502:113088916:1 gene:ENSMUSG00000012211.13 transcript:ENSMUST00000146107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex22 description:testis expressed gene 22 [Source:MGI Symbol;Acc:MGI:1922921] MDSRQQRPQRKTLQWQLAQEQRQQSPPQGLAVASSQPDTKSKPQDDLQTQDWVCEPQELR RPGSRWNISIDERRRLALQRMQERTDTARAPSGDPLGLHPEGQQTETSPSTQSVPTPPLQ ACETMADPLQDIAHVLAELMSEGVERDVLISQPLRSTENSNAFQDFLAQDAPLWKDENFE AQTSRWPHS >ENSMUSP00000105351.2 pep:known chromosome:GRCm38:12:113074756:113088917:1 gene:ENSMUSG00000012211.13 transcript:ENSMUST00000109729.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex22 description:testis expressed gene 22 [Source:MGI Symbol;Acc:MGI:1922921] MDSRQQRPQRKTLQWQLAQEQRQQSPPQGLAVASSQPDTKSKPQDDLQTQDWVCEPQELR RPGSRWNISIDERRRLALQRMQERTDTARAPSGDPLGLHPEGQQTETSPSTQSVPTPPLQ ACETMADPLQDIAHVLAELMSEGVERDVLISQPLRSTENSNAFQDFLAQDAPLWKDENFE AQTSRWPHS >ENSMUSP00000120443.1 pep:known chromosome:GRCm38:12:113074778:113075371:1 gene:ENSMUSG00000012211.13 transcript:ENSMUST00000155492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex22 description:testis expressed gene 22 [Source:MGI Symbol;Acc:MGI:1922921] MDSRQQRPQRKTLQWQLAQEQRQQSPPQGL >ENSMUSP00000068203.5 pep:known chromosome:GRCm38:10:52233619:52321376:1 gene:ENSMUSG00000019891.13 transcript:ENSMUST00000069004.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcbld1 description:discoidin, CUB and LCCL domain containing 1 [Source:MGI Symbol;Acc:MGI:1913936] MGTGAGGPSVLALLFAVCAPLRLQAEELGDGCGHIVTSQDSGTMTSKNYPGTYPNYTVCE KIITVPKGKRLILRLGDLNIESKTCASDYLLFSSATDQYGPYCGSWAVPKELRLNSNEVT VLFKSGSHISGRGFLLTYASSDHPDLITCLERGSHYFEEKYSKFCPAGCRDIAGDISGNT KDGYRDTSLLCKAAIHAGIITDELGGHINLLQSKGISHYEGLLANGVLSRHGSLSEKRFL FTTPGMNITTVAIPSVIFIALLLTGMGIFAICRKRKKKGNPYVSADAQKTGCWKQIKYPF ARHQSTEFTISYDNEKEMTQKLDLITSDMADYQQPLMIGTGTVARKGSTFRPMDTDTEEV RVNTEASGHYDCPHRPGRHEYALPLTHSEPEYATPIVERHLLRAHTFSTQSGYRVPGPRP THKHSHSSGGFPPATGATQVESYQRPASPKPVGGGYDKPAASSFLDSRDPASQSQMTSGG DDGYSAPRNGLAPLNQTAMTALL >ENSMUSP00000101116.2 pep:known chromosome:GRCm38:10:52233637:52321378:1 gene:ENSMUSG00000019891.13 transcript:ENSMUST00000105476.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcbld1 description:discoidin, CUB and LCCL domain containing 1 [Source:MGI Symbol;Acc:MGI:1913936] MGTGAGGPSVLALLFAVCAPLRLQAEELGPYCGSWAVPKELRLNSNEVTVLFKSGSHISG RGFLLTYASSDHPDLITCLERGSHYFEEKYSKFCPAGCRDIAGDISGNTKDGYRDTSLLC KAAIHAGIITDELGGHINLLQSKGISHYEGLLANGVLSRHGSLSEKRFLFTTPGMNITTV AIPSVIFIALLLTGMGIFAICRKRKKKGNPYVSADAQKTGCWKQIKYPFARHQSTEFTIS YDNEKEMTQKLDLITSDMADYQQPLMIGTGTVARKGSTFRPMDTDTEEVRVNTEASGHYD CPHRPGRHEYALPLTHSEPEYATPIVERHLLRAHTFSTQSGYRVPGPRPTHKHSHSSGGF PPATGATQVESYQRPASPKPVGGGYDKPAASSFLDSRDPASQSQMTSGGDDGYSAPRNGL APLNQTAMTALL >ENSMUSP00000143819.1 pep:known chromosome:GRCm38:5:151063546:151428163:-1 gene:ENSMUSG00000107011.1 transcript:ENSMUST00000202365.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm42906 description:predicted gene 42906 [Source:MGI Symbol;Acc:MGI:5663043] MRLDVNFQRKKGDDSDEEDLCISNKWTFQRTSRRWSRVDDLHTLFPVA >ENSMUSP00000044049.5 pep:known chromosome:GRCm38:2:121171215:121198770:1 gene:ENSMUSG00000027263.12 transcript:ENSMUST00000039541.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp4 description:tubulin, gamma complex associated protein 4 [Source:MGI Symbol;Acc:MGI:1196293] MIHELLLALSGYPGSIFTWNKRSGLQVSQDFPFLHPSETSVLNRLCRLGTDYIRFTEFIE QYTGHVQQQDHHPPQQGQGGLHGIYLRAFCTGLDSVLQPYRQALLDLEQEFLADPHLSIS HVNYSLDQFQLLFPSVMVVVEQIKSQKIHGCQILETVYKHSCGGLPPVRSALEKILAVCH GVMYKQLSAWMLHGLLLDQHEEFFIKQGPSSGTLSAQLEEDEEDLGIGGLTGKQLRELQD LRLIEEENMLAPSLKQFSLRVEILPSYIPVRVAEKILFVGESVQMFENQNVNLTRKGSIL KNQEDTFAAELHRLKQQPLFSLVDFEQVVDRIRSTVAEHLWKLMVEESDLLGQLKIIKDF YLLGRGELFQAFIDTAQHMLKTPPTAVTEHDVNVAFQQSAHKVLLDDDNLLPLLHLTIEY HGKDHKADATQPREVPSRETSPREAPSSGWAALGLSYKVQWPLHILFTPAVLEKYNVVFK YLLSVRRVQAELQHCWALQMQRKHLKSNQTDAVKWRLRNHMAFLVDNLQYYLQVDVLESQ FSQLLHQINSTRDFESIRLAHDHFLSNLLAQSFILLKPVFHCLNEILDLCHSFCSLVSQN LGPLDERGAAQLSILVKGFSRQSSLLFKILSSVRNHQINSDLAQLLLRLDYNKYYTQAGG TLGSFGM >ENSMUSP00000106286.1 pep:known chromosome:GRCm38:2:121171168:121198770:1 gene:ENSMUSG00000027263.12 transcript:ENSMUST00000110658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp4 description:tubulin, gamma complex associated protein 4 [Source:MGI Symbol;Acc:MGI:1196293] MIHELLLALSGYPGSIFTWNKRSGLQVSQDFPFLHPSETSVLNRLCRLGTDYIRFTEFIE QYTGHVQQQDHHPPQQGQGGLHGIYLRAFCTGLDSVLQPYRQALLDLEQEFLADPHLSIS HVNYSLDQFQLLFPSVMVVVEQIKSQKIHGCQILETVYKHSCGGLPPVRSALEKILAVCH GVMYKQLSAWMLHGLLLDQHEEFFIKQGPSSGTLSAQLEEDEEDLGIGGLTGKQLRELQD LRLIEEENMLAPSLKQFSLRVEILPSYIPVRVAEKILFVGESVQMFENQNVNLTRKGSIL KNQEDTFAAELHRLKQQPLFSLVDFEQVVDRIRSTVAEHLWKLMVEESDLLGQLKIIKDF YLLGRGELFQAFIDTAQHMLKTPPTAVTEHDVNVAFQQSAHKVLLDDDNLLPLLHLTIEY HGKDHKDATQPREVPSRETSPREAPSSGWAALGLSYKVQWPLHILFTPAVLEKYNVVFKY LLSVRRVQAELQHCWALQMQRKHLKSNQTDAVKWRLRNHMAFLVDNLQYYLQVDVLESQF SQLLHQINSTRDFESIRLAHDHFLSNLLAQSFILLKPVFHCLNEILDLCHSFCSLVSQNL GPLDERGAAQLSILVKGFSRQSSLLFKILSSVRNHQINSDLAQLLLRLDYNKYYTQAGGT LGSFGM >ENSMUSP00000106285.1 pep:known chromosome:GRCm38:2:121171268:121194211:1 gene:ENSMUSG00000027263.12 transcript:ENSMUST00000110657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp4 description:tubulin, gamma complex associated protein 4 [Source:MGI Symbol;Acc:MGI:1196293] MIHELLLALSGYPGSIFTWNKRSGLQVSQDFPFLHPSETSVLNRLCRLGTDYIRFTEFIE QYTGHVQQQDHHPPQQGQGGLHGIYLRAFCTGLDSVLQPYRQALLDLEQEFLADPHLSIS HVNYSLDQFQLLFPSVMVVVEQIKSQKIHGCQILETVYKHSCGGLPPVRSALEKILAVCH GVMYKQLSAWMLHGLLLDQHEEFFIKQGPSSGTLSAQLEEDEEDLGIGGLTGKQLRELQD LRLIEEENMLAPSLKQFSLRVEILPSYIPVRVAEKILFVGESVQMFENQNVNLTRKGSIL KNQEDTFAAELHRLKQQPLFSLVDFEQVVDRIRSTVAEHLWKLMVEESDLLGQLKIIKDF YLLGRGELFQAFIDTAQHMLKTPPTAVTEHDVNVAFQQSAHKVLLDDDNLLPLLHLTIEY HGKDHKDATQPREVPSRETSPREAPSSGWAALGLSYKVQWPLHILFTPAVLEKYNVVFKY LLSVRRVQAELQHCWALQMQRKHLKSNQTDAVKWRLRNHMAFLVDNLQYYLQVDVLESQF SQLLHQINSTRDFESIRLAHDHFLSNLLAQSFILLKPVSRGDWLLSLICPCRLLDLTRYE TVIHRMFKSIFTFLTLKIQC >ENSMUSP00000140417.1 pep:known chromosome:GRCm38:2:121171209:121198769:1 gene:ENSMUSG00000027263.12 transcript:ENSMUST00000186659.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp4 description:tubulin, gamma complex associated protein 4 [Source:MGI Symbol;Acc:MGI:1196293] MIHELLLALSGYPGSIFTWNKRSGLQVSQDFPFLHPSETSVLNRLCRLGTDYIRFTEFIE QYTGHVQQQDHHPPQQGQGGLHGIYLRAFCTGLDSVLQPYRQALLDLEQEFLADPHLSIS HVNYSLDQFQLLFPSVMVVVEQIKSQKIHGCQILETVYKHSCGGLPPVRSALEKILAVCH GVMYKQLSAWMLHGLLLDQHEEFFIKQGPSSGTLSAQLEEDEEDLGIGGLTGKQLRELQD LRLIEEENMLAPSLKQFSLRVEILPSYIPVRVAEKILFVGESVQMFENQNVNLTRKGSIL KNQEDTFAAELHRLKQQPLFSLVDFEQVVDRIRSTVAEHLWKLMVEESDLLGQLKIIKDF YLLGRGELFQAFIDTAQHMLKTPPTAVTEHDVNVAFQQSAHKVLLDDDNLLPLLHLTIEY HGKDHKDATQPREVPSRETSPREAPSSGWAALGLSYKVQWPLHILFTPAVLEKYNVVFKY LLSVRRVQAELQHCWALQMQRKHLKSNQTDAVKWRLRNHMAFLVDNLQYYLQVDVLESQF SQLLHQINSTRDFESIRLAHDHFLSNLLAQSFILLKPVFHCLNEILDLCHSFCSLVSQNL GPLDERGAAQLSILVKGFSRQSSLLFKILSSVRNHQINSDLAQLLLRLDYNKYYTQAGGT LGSFGM >ENSMUSP00000025468.7 pep:known chromosome:GRCm38:18:61220482:61289924:1 gene:ENSMUSG00000024575.15 transcript:ENSMUST00000025468.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6a description:phosphodiesterase 6A, cGMP-specific, rod, alpha [Source:MGI Symbol;Acc:MGI:97524] MGEVTAEEVEKFLDSNIGFAKQYYNFHYRGKVISDLLGAKEAAVDFSNYHDVNSVEESEI IFDLLRDVQENLQAEKCTFNVMKKLCFLLRADRMSLFMYRTRNGIAELATRLFNVHKDAV LEDCLVMPDSEIVFPLDMGVVGHVAHSKKIANVPNTEEDEHFCDFVDNLTEYQTKNILAS PIMNGKDVVAIIMAVNKIDEPHFTKRDEEILLKYLNFVNLIMKVFHLSYLHNCETRRGQI LLWSGSKVFEELTDIERQFHKALYTVRAFLNCDRYSVGLLDMTKQKEFFDVWPVLMGEAP AYSGPRTPDGREINFYKVIDYILHGKEDIKVIPNPPADHWALVSGLPTYVAQNGLICNIM NAPAEDFFEFQKEPLDESGWMIKNVLSMPIVNKKEEIVGVATFYNRKDGKPFDDMDETLM ESLTQFLGWSVLNPDTYESMNKLENRKDIFQDIVKYHVKCDNEEIQKILKTREVYGKEPW ECEEEELAEILQGELPDAESYEINKFHFSDLPLTELELVKCGIQMYYELRVVDKFHIPQE ALVRFMYSLSKGYRRITYHNWRHGFNVGQTMFSLLVTGKLKRYFTDLEALAMVTAAFCHD IDHRGTNNLYQMKSQNPLAKLHGSSILERHHLEFGKTLLRDESLNIFQNLNRRQHEHAIH MMDIAIIATDLALYFKKRTMFQKIVDQSKTYESTQEWTQYMMLEQTRKEIVMAMMMTACD LSAITKPWEVQSKVALLVAAEFWEQGDLERTVLQQNPIPMMDRNKADELPKLQVGFIDFV CTFVYKEFSRFHEEITPMLDGITNNRKEWKALADEYEAKMKALEEEKQKQQAAKQAASGN QPGGNPTPGGAPASKSCCIQ >ENSMUSP00000115963.1 pep:known chromosome:GRCm38:18:61275023:61289924:1 gene:ENSMUSG00000024575.15 transcript:ENSMUST00000135688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6a description:phosphodiesterase 6A, cGMP-specific, rod, alpha [Source:MGI Symbol;Acc:MGI:97524] XCAISFCSGSQSLRSNKHIYPASSYPRSPRRQPGAMMMTACDLSAITKPWEVQSKVALLV AAEFWEQGDLERTVLQQNPIPMMDRNKADELPKLQVGFIDFVCTFVYKEFSRFHEEITPM LDGITNNRKEWKALADEYEAKMKALEEEKQKQQAAKQAASGNQPGGNPTPGGAPASKSCC IQ >ENSMUSP00000028636.6 pep:known chromosome:GRCm38:2:125859134:125984299:1 gene:ENSMUSG00000027207.15 transcript:ENSMUST00000028636.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galk2 description:galactokinase 2 [Source:MGI Symbol;Acc:MGI:1917226] MPDLSERLLKLKEMFNSKFGSTPKFYVRAPGRVNIIGEHIDYCGYSVIPMAVEQDMLIAV EPVKTHTLQLANTDPLYPDFSTTANNICIDKTKPLWHNYFLCGFKGIQEHFGLSKLPGMN CLVDGNIPPSSGLSSSSALVCCAGLVTLTVLGLRLSKVELAEICAKSERYIGTEGGGMDQ SISFLAEEGTAKLIEFSPLRATNVKLPSGAVFVIANSCMEMNKAATSHFNVRVMECRLAA KVLAKHKGLQWDNVLRLEEVQSKLGISLEEMLLVTEDALHPEPYSREEICRCLGISLERL RTQILTPNTQDELTFKLYQRAKHVYSEAARVLQFKQVCEDAPDNAVQLLGELMNQSHRSC RDMYECSCPELDQLVDICRKFGAKGSRLTGAGWGGCTVSLVPADMLSSFLASVHEAYYQG NTSRLAQEKHSLFATKPGGGALVFREV >ENSMUSP00000119902.1 pep:known chromosome:GRCm38:2:125859148:125893431:1 gene:ENSMUSG00000027207.15 transcript:ENSMUST00000125084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galk2 description:galactokinase 2 [Source:MGI Symbol;Acc:MGI:1917226] MFNSKFGSTPKFYVRAPGRVNIIGEHIDYCGYSVIPMAVEQDMLIAVEPVKTHTLQLANT DPLYPDFSTTANNICIDKTKPLWHNYFLCGF >ENSMUSP00000121752.1 pep:known chromosome:GRCm38:2:125866254:125929626:1 gene:ENSMUSG00000027207.15 transcript:ENSMUST00000131643.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Galk2 description:galactokinase 2 [Source:MGI Symbol;Acc:MGI:1917226] MAAEDPATRRVQVAEHPSPLVCCVYICLGVDPFPGL >ENSMUSP00000120728.1 pep:known chromosome:GRCm38:2:125866107:125929570:1 gene:ENSMUSG00000027207.15 transcript:ENSMUST00000134337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galk2 description:galactokinase 2 [Source:MGI Symbol;Acc:MGI:1917226] MLSCAALLETAEGSSKIWLRRTLPLDESRLLKLKEMFNSKFGSTPKFYVRAPGRVNIIGE HIDYCGYSVIPMAVEQDMLIAVEPVKTHTLQLANTDPLYPDFSTTANNICIDKTKPLWHN YFLCGFKGIQEHFGLSKLPGMNCLVDGNIPPSSGLSSSSALVCCAGLVTLTVLGLRLSKV EL >ENSMUSP00000118930.1 pep:known chromosome:GRCm38:2:125866250:125896653:1 gene:ENSMUSG00000027207.15 transcript:ENSMUST00000134798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galk2 description:galactokinase 2 [Source:MGI Symbol;Acc:MGI:1917226] MAAEDPATRRVQVAEHPRLLKLKEMFNSKFGSTPKFYVRAPGRVNIIACFFSCVGEHIDY CGYSVIPMAVEQDMLIAVEPVKTHTLQLANTDPLYPDFSTTANNICIDKTKPLWHNYFLC GFKGIQEHF >ENSMUSP00000092186.2 pep:known chromosome:GRCm38:2:125866107:125983587:1 gene:ENSMUSG00000027207.15 transcript:ENSMUST00000094604.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galk2 description:galactokinase 2 [Source:MGI Symbol;Acc:MGI:1917226] MAAEDPATRRVQVAEHPRLLKLKEMFNSKFGSTPKFYVRAPGRVNIIGEHIDYCGYSVIP MAVEQDMLIAVEPVKTHTLQLANTDPLYPDFSTTANNICIDKTKPLWHNYFLCGFKGIQE HFGLSKLPGMNCLVDGNIPPSSGLSSSSALVCCAGLVTLTVLGLRLSKVELAEICAKSER YIGTEGGGMDQSISFLAEEGTAKLIEFSPLRATNVKLPSGAVFVIANSCMEMNKAATSHF NVRVMECRLAAKVLAKHKGLQWDNVLRLEEVQSKLGISLEEMLLVTEDALHPEPYSREEI CRCLGISLERLRTQILTPNTQDELTFKLYQRAKHVYSEAARVLQFKQVCEDAPDNAVQLL GELMNQSHRSCRDMYECSCPELDQLVDICRKFGAKGSRLTGAGWGGCTVSLVPADMLSSF LASVHEAYYQGNTSRLAQEKHSLFATKPGGGALVFREV >ENSMUSP00000123455.1 pep:known chromosome:GRCm38:9:79598991:79612970:-1 gene:ENSMUSG00000032332.17 transcript:ENSMUST00000135009.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col12a1 description:collagen, type XII, alpha 1 [Source:MGI Symbol;Acc:MGI:88448] XGRQGMKGDAGEPGLPGRTGTPGLPGPPGPMGPPGDRGFTGKDGAMGPRGPPGPPGSPGS PGVTGPSGKPGKPGDHGRPGQSGLKGEKGDRGDIASQNMMRAVARQVCEQLISGQMSRFN QMLNQIPNDYHSSRNQPGPPGPPGPPGSAGARGEPGPGGRPGFPGTPGMQGPPGERGLPG EKGERGTGSQGPRGPPGPPGPQGESRTGPPGSTGSRGPPGPPGRPGNSGIRGPPGPPGYC DSSQCASIPYNGQGYPEPYVPEGGAYLPEREPFIVPVEPERTAEYEDDYGADEPDQQHPD HMRWRRALRPGPGQ >ENSMUSP00000071662.6 pep:known chromosome:GRCm38:9:79598991:79718518:-1 gene:ENSMUSG00000032332.17 transcript:ENSMUST00000071750.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col12a1 description:collagen, type XII, alpha 1 [Source:MGI Symbol;Acc:MGI:88448] MQTRLPRALAALGVALLLSSIEAEVDPPSDLNFKIIDENTVHMSWERPVDPIVGYRITVD PTTDGPTKEFTLAASTTETLLSDLIPETQYVVTITSYNEVEESVPVIGQLTIQTGGPTKP GEKKPGKTEIQKCSVSAWTDLVFLVDGSWSVGRNNFKYILDFIVALVSAFDIGEEKTRVG VVQYSSDTRTEFNLNQYYRREDLLAAVKKIPYKGGNTMTGDAIDYLVKNTFTESAGSRAG FPKVAIIITDGKSQDEVEIPARELRNIGVEVFSLGIKAADAKELKQIASTPSLNHVFNVA NFDAIVDIQNEIISQVCSGVDEQLGELVSGEEVIEPPSNLVVTELSSKYIRLSWDPSPSA VTGYKILLTPMAAGSRHHALSVGPQTTTLNVRDLTADTEYQISVFAMKGLTSSEPTSVME KTQPMKVQVECSRGVDIKADIVFLVDGSYSIGIANFVKVRAFLEVLAKSFEISPNRVQIS LVQYSRDPHTEFTLKEFNRVEDIIKAINTFPYRGGSTNTGKAMTYVREKIFVPNKGSRSN VPKVMILITDGKSSDAFRDPAIKLRNSDVEIFAVGVKDAVRSELEAIASPPAETHVFTVE DFDAFQRISFELTQSICLRIEQELAAIKKKAYVPPKDLRFTQVTANSFKAEWSPPGDNVF SYHVTYKDANGDDEVTVVEPASSTSVVLNNLRPETLYLVNVTAEYEDGFSVPITGEETTA EVKGVPRNLKVTDETTDSFKLTWSQAPGRVLRYRIRYRPVSGGESKEVSTPANQRRKTLE NLTPDTKYEISVIAEYSSGPGSPLTGNAATEEVRGNPRDLRVSDATTSTLKLSWSRAPGK VKQYLVTYTPAAGGETQEVTVRGDTTTTMLRKLKEGTQYDLSVTALYASGAGEALSGKGS TLEERGSPQNLVTKDITDTSIGAYWTSAPGMVRGYRVSWKSLYDDIEAGETTLPGDAIHT MIENLQPETKYKISVFATYSSGEGEPVTGDATTELSQDSKILRVDEETEHTMRVTWKAAP GKVVNYRVVYRPQGGGRQMVAKVPPTVTSTVLKRLQPQTTYDITVLPMYKTGEGKLRQGS GTTASRFKSPRNLKTSDPTMSSFRVTWEPAPGEVKGYKVTFHPTGDDRRLGELVLGPYDN TVVLEELRAGTTYRVNVFGMFDGGESLPLVGQEMTTLSDTTVTPFLSSGMDCLTRAEADI VLLVDGSWSIGRANFRTVRSFISRIVEVFEIGPKRVQIALAQYSGDPRTEWQLNAHRDKK SLLQAVANLPYKGGNTLTGMALNFIRQQSFKTQAGMRPRARKIGVLITDGKSQDDVEAPS KKLKDEGVELFAIGIKNADEVELKMIATDPDDTHAYNVADFESLSKIVDDLTINLCNSVK GPGDLEAPTNLVISERTHRSFRVSWTPPSDSVDRYKVEYYPVSGGKRQEFYVSRLDTSTV LKDLKPETDYVVNVYSVVEDEYSEPLKGTEKTLPVPVVSLNIYDVGPTTMHVQWQPVGGA TGYTVSYQPTRSPEGTKPKEMRVGPTVNDVQLTGLLPNTEYEVTVQAVLYDLTSEPAKAR EVTLPLPRPQDVKLRDVTHSTMNVVWEPVLGKVRKYIVRYKTPDEEFKEVEVDRSRASTI LKDLSSQTQYTVSVSAVYDEGTSPPATAYDTTRRVPAPTNLQFTEVTPESFRGTWDHGAS DVSLYRITWAPVGNPDKMETILNGDENTLVFENLNPNTPYEVSITAIYPDESESEDLSGT ERTLRLIPLTTQAPKSGPRNLQVYNATSNSLTVKWDPASGRVQKYRITYQPSTGEGNEQT ITVGGRQNSVLLQKLKPDTPYTITVYSQYPDGEGGRMTGRGKTKPLNTVRNLRVYDPSTS SLSVRWDHAEGNPRQYKLFYAPTSGGPEELVPIPGNTNYAILRNLQPDTPYTITVVPVYT EGDGGRTSDTGRTLVRGLARNIQVYNPTPNSLDVRWDPAPGPVQQYRIVYSPVAGTRPSE SIVVPGNTRTVHLERLIPDTPYSVNIVALYSDGEGNPSPSQGRTLPRSGPRNIRVFGETT NSLSVAWDHADGPVQQYRIIYSPTVGDPIDEYTTVPGRRNNVILQPLQPDTPYKITVIAI YEDGDGGHLTGNGRTVGLLPPQNIHIFDEWYTRFRVSWDPSPSPVLGYKIVYKPVGSNEP MEAFVGEVTSYTLHNLNPSTTYDVSVYAQYDSGLSVPLTDQGTTLYLNVTDLKTYQVGWD TFCVKWSPHRAATSYRLKLSPADGTRGQEITVRGSETSHCFTGLSPEAEYGVTVFVQTPN LEGPGVPIKEQTTVKPTEAPTEPPTPSPPPTIPPARDVCKGAKADIVFLTDASWSIGDDN FNKVVKFIFNTVGAFDEVNPAGIQVSFVQYSDEVKSEFKLNTYNDKALALGALQNIRYRG GNTRTGKALTFIKEKVLTWESGMRKNVPKVLVVVTDGRSQDEVKKAAFVIQQSGFSVFVV GVADVDYNELANIASKPSERHVFIVDDFESFEKIEDNLITFVCETATSSCPLIYLDGYTS PGFKMLEAYNLTEKNFASVQGVSLESGSFPSYSAYRLQKNAFINQPTAELHPNGLPPSYT IILLFRLLPETPSDPFAIWQITDRDYRPQVGVIADPSSKTLSFFNKDTRGEVQTVTFDTD EVKTLFYGSFHKVHIVVTSKSVKIYIDCYEIIEKDIKEAGNITTDGYEILGKLLKGERKS ATFQIQSFDIVCSPVWTSRDRCCDIPSRRDEAKCPALPNACTCTQDSVGPPGPPGPAGGP GAKGPRGERGINGAVGPPGPRGDTGPPGPQGPPGPQGPNGLSIPGEQGRQGMKGDAGEPG LPGRTGTPGLPGPPGPMGPPGDRGFTGKDGAMGPRGPPGPPGSPGSPGVTGPSGKPGKPG DHGRPGQSGLKGEKGDRGDIASQNMMRAVARQVCEQLISGQMSRFNQMLNQIPNDYHSSR NQPGPPGPPGPPGSAGARGEPGPGGRPGFPGTPGMQGPPGERGLPGEKGERGTGSQGPRG PPGPPGPQGESRTGPPGSTGSRGPPGPPGRPGNSGIRGPPGPPGYCDSSQCASIPYNGQG YPGSG >ENSMUSP00000112604.1 pep:known chromosome:GRCm38:9:79601759:79718720:-1 gene:ENSMUSG00000032332.17 transcript:ENSMUST00000121227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col12a1 description:collagen, type XII, alpha 1 [Source:MGI Symbol;Acc:MGI:88448] MQTRLPRALAALGVALLLSSIEAEVDPPSDLNFKIIDENTVHMSWERPVDPIVGYRITVD PTTDGPTKEFTLAASTTETLLSDLIPETQYVVTITSYNEVEESVPVIGQLTIQTGGPTKP GEKKPGKTEIQKCSVSAWTDLVFLVDGSWSVGRNNFKYILDFIVALVSAFDIGEEKTRVG VVQYSSDTRTEFNLNQYYRREDLLAAVKKIPYKGGNTMTGDAIDYLVKNTFTESAGSRAG FPKVAIIITDGKSQDEVEIPARELRNIGVEVFSLGIKAADAKELKQIASTPSLNHVFNVA NFDAIVDIQNEIISQVCSGVDEQLGELVSGEEVIEPPSNLVVTELSSKYIRLSWDPSPSA VTGYKILLTPMAAGSRHHALSVGPQTTTLNVRDLTADTEYQISVFAMKGLTSSEPTSVME KTQPMKVQVECSRGVDIKADIVFLVDGSYSIGIANFVKVRAFLEVLAKSFEISPNRVQIS LVQYSRDPHTEFTLKEFNRVEDIIKAINTFPYRGGSTNTGKAMTYVREKIFVPNKGSRSN VPKVMILITDGKSSDAFRDPAIKLRNSDVEIFAVGVKDAVRSELEAIASPPAETHVFTVE DFDAFQRISFELTQSICLRIEQELAAIKKKAYVPPKDLRFTQVTANSFKAEWSPPGDNVF SYHVTYKDANGDDEVTVVEPASSTSVVLNNLRPETLYLVNVTAEYEDGFSVPITGEETTA EVKGVPRNLKVTDETTDSFKLTWSQAPGRVLRYRIRYRPVSGGESKEVSTPANQRRKTLE NLTPDTKYEISVIAEYSSGPGSPLTGNAATEEVRGNPRDLRVSDATTSTLKLSWSRAPGK VKQYLVTYTPAAGGETQEVTVRGDTTTTMLRKLKEGTQYDLSVTALYASGAGEALSGKGS TLEERGSPQNLVTKDITDTSIGAYWTSAPGMVRGYRVSWKSLYDDIEAGETTLPGDAIHT MIENLQPETKYKISVFATYSSGEGEPVTGDATTELSQDSKILRVDEETEHTMRVTWKAAP GKVVNYRVVYRPQGGGRQMVAKVPPTVTSTVLKRLQPQTTYDITVLPMYKTGEGKLRQGS GTTASRFKSPRNLKTSDPTMSSFRVTWEPAPGEVKGYKVTFHPTGDDRRLGELVLGPYDN TVVLEELRAGTTYRVNVFGMFDGGESLPLVGQEMTTLSDTTVTPFLSSGMDCLTRAEADI VLLVDGSWSIGRANFRTVRSFISRIVEVFEIGPKRVQIALAQYSGDPRTEWQLNAHRDKK SLLQAVANLPYKGGNTLTGMALNFIRQQSFKTQAGMRPRARKIGVLITDGKSQDDVEAPS KKLKDEGVELFAIGIKNADEVELKMIATDPDDTHAYNVADFESLSKIVDDLTINLCNSVK GPGDLEAPTNLVISERTHRSFRVSWTPPSDSVDRYKVEYYPVSGGKRQEFYVSRLDTSTV LKDLKPETDYVVNVYSVVEDEYSEPLKGTEKTLPVPVVSLNIYDVGPTTMHVQWQPVGGA TGYTVSYQPTRSPEGTKPKEMRVGPTVNDVQLTGLLPNTEYEVTVQAVLYDLTSEPAKAR EVTLPLPRPQDVKLRDVTHSTMNVVWEPVLGKVRKYIVRYKTPDEEFKEVEVDRSRASTI LKDLSSQTQYTVSVSAVYDEGTSPPATAYDTTRRVPAPTNLQFTEVTPESFRGTWDHGAS DVSLYRITWAPVGNPDKMETILNGDENTLVFENLNPNTPYEVSITAIYPDESESEDLSGT ERTLRLIPLTTQAPKSGPRNLQVYNATSNSLTVKWDPASGRVQKYRITYQPSTGEGNEQT ITVGGRQNSVLLQKLKPDTPYTITVYSQYPDGEGGRMTGRGKTKPLNTVRNLRVYDPSTS SLSVRWDHAEGNPRQYKLFYAPTSGGPEELVPIPGNTNYAILRNLQPDTPYTITVVPVYT EGDGGRTSDTGRTLVRGLARNIQVYNPTPNSLDVRWDPAPGPVQQYRIVYSPVAGTRPSE SIVVPGNTRTVHLERLIPDTPYSVNIVALYSDGEGNPSPSQGRTLPRSGPRNIRVFGETT NSLSVAWDHADGPVQQYRIIYSPTVGDPIDEYTTVPGRRNNVILQPLQPDTPYKITVIAI YEDGDGGHLTGNGRTVGLLPPQNIHIFDEWYTRFRVSWDPSPSPVLGYKIVYKPVGSNEP MEAFVGEVTSYTLHNLNPSTTYDVSVYAQYDSGLSVPLTDQGTTLYLNVTDLKTYQVGWD TFCVKWSPHRAATSYRLKLSPADGTRGQEITVRGSETSHCFTGLSPEAEYGVTVFVQTPN LEGPGVPIKEQTTVKPTEAPTEPPTPSPPPTIPPARDVCKGAKADIVFLTDASWSIGDDN FNKVVKFIFNTVGAFDEVNPAGIQVSFVQYSDEVKSEFKLNTYNDKALALGALQNIRYRG GNTRTGKALTFIKEKVLTWESGMRKNVPKVLVVVTDGRSQDEVKKAAFVIQQSGFSVFVV GVADVDYNELANIASKPSERHVFIVDDFESFEKIEDNLITFVCETATSSCPLIYLDGYTS PGFKMLEAYNLTEKNFASVQGVSLESGSFPSYSAYRLQKNAFINQPTAELHPNGLPPSYT IILLFRLLPETPSDPFAIWQITDRDYRPQVGVIADPSSKTLSFFNKDTRGEVQTVTFDTD EVKTLFYGSFHKVHIVVTSKSVKIYIDCYEIIEKDIKEAGNITTDGYEILGKLLKGERKS ATFQIQSFDIVCSPVWTSRDRCCDIPSRRDEAKCPALPNACTCTQDSVGPPGPPGPAGGP GAKGPRGERGINGAVGPPGPRGDTGPPGPQGPPGPQGPNGLSIPGEQGRQGMKGDAGEPG LPGRTGTPGLPGPPGPMGPPGDRGFTGKDGAMGPRGPPGPGSPGVTGPSGKPGKPGDHGR PGQSGLKGEKGDRGDIASQNMMRAVARQVCEQLISGQMSRFNQMLNQIPNDYHSSRNQPG PPGPPGPPGSAGARGEPGPGGRPGFPGTPGMQGPPGERGLPGEKGERGTGSQGPRGPPGP PGPQGESRTGPPGSTGSRGPPGPPGRPGNSGIRGPPGPPGYCDSSQCASIPYNGQGYPGM LLPS >ENSMUSP00000106109.2 pep:known chromosome:GRCm38:5:151037510:151190269:-1 gene:ENSMUSG00000016128.14 transcript:ENSMUST00000110483.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard13 description:StAR-related lipid transfer (START) domain containing 13 [Source:MGI Symbol;Acc:MGI:2385331] MFSQVPRTPAAGCYYLNPLTPESQEMYLRFDQTARRSPYRMSRILARHHLVTKIQQEIEA KEACDWLRAAGFPQYAQLYEDSQFPINIAAVKKDHDFLERDLVEPLCRRLNTLNKCASMR LDVNFQRKKGDDSDEEDLCISNKWTFQRTSRRWSRVDDLHTLFPVADRNGSPGGPRMRNT ASSESVLTDLSEPEVCSIHSESSGGSDSRSQSGHHSADSTHALEATLVSSSLPQSTREGL NQSFHPKNEKPTRTRAKSFLKRMDTLRVKGALGRHKGPGRTGGLVISRPVLQQEPESFKT MQCVQIPNGDLQTSPPAACRKGLPCSSKSSGESSPLENSSTVSTPCMKERKCHHEANKRG GMYLEDLDVLAGTALPDTSDQNHMHGFHSQENLVVHIPKDHKPGTFPKALSIESLSPTDN SNGVNWRTGSISLGRQQGPGMREPRLMSSCHRASRVSIYDNVPSSHLYASTGDLLDLEKD GLLPQLDDILQHVNGIQEVVDDWSKNILPELQSHSTLAGDPGLSPFPSPNQVTLDFEGNS VSEGRTTPSDVERDRTSLNESEATGVRERRDSGVGASLTRPNRRLRWSSFQLSHQPQPSP ATPHISSQTAAQLNLLQRFSLLRLTAIMEKYSMSNKHGWTWSVPKFMKRIKAPDYRDKAV FGVPLIVHVQRTGQPLPQSIQQALRYLRSNCLDQVGLFRKSGVKSRIHALRQMNENFPDN VSYEDQSAYDVADMVKQFFRDLPEPLFTNKLSETFLHIYQYVPKEQRLQAVQAAILLLAD ENREALQTLLCFLHDVVNLVDENQMTPMNLAVCLAPSLFHLNLLKKESSPKVIQKKYATG KPDQKDLNENLAAAQGLAHMITECNRLFEVPHEMVAQSRDSYLEAEIHVPSLEDLGAQLA ESGATFHTYLEHLVQGLQKEAKEKFKGWVTCSSPDNTDLAFKKVGDGHPLKLWKASVEVE APPSVVLNRVLRERHLWDEDFVQWKVVERLDKQTEIYQYVLNSMVPHPSRDFLVLRTWKT DLPKGMCTLVSLSVEYEEAQLMGGVRAVVMDSQYLIEPCGSGKSRLTHICRIDLKGHSPE WYSKGFGHLCAAEVTRIRNSFQPLVAEGPETKI >ENSMUSP00000053232.8 pep:known chromosome:GRCm38:5:151037628:151190194:-1 gene:ENSMUSG00000016128.14 transcript:ENSMUST00000062015.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard13 description:StAR-related lipid transfer (START) domain containing 13 [Source:MGI Symbol;Acc:MGI:2385331] MFSQVPRTPAAGCYYLNPLTPESQEMYLRFDQTARRSPYRMSRILARHHLVTKIQQEIEA KEACDWLRAAGFPQYAQLYEDSQFPINIAAVKKDHDFLERDLVEPLCRRLNTLNKCASMR LDVNFQRKKGDDSDEEDLCISNKWTFQRTSRRWSRVDDLHTLFPVADRNGSPGGPRMRNT ASSESVLTDLSEPEVCSIHSESSGGSDSRSQSGHHSADSTHALEATLVSSSLPQSTREGL NQSFHPKNEKPTRTRAKSFLKRMDTLRVKGALGRHKGPGRTGGLVISRPVLQQEPESFKT MQCVQIPNGDLQTSPPAACRKGLPCSSKSSGESSPLENSSTVSTPCMKERKCHHEANKRG GMYLEDLDVLAGTALPDTSDQNHMHGFHSQENLVVHIPKDHKPGTFPKALSIESLSPTDN SNGVNWRTGSISLGRQQGPGMREPRLMSSCHRASRVSIYDNVPSSHLYASTGDLLDLEKD GLLPQLDDILQHVNGIQEVVDDWSKNILPELQSHSTLAGDPGLSPFPSPNQVTLDFEGNS VSEGRTTPSDVERDRTSLNESEATGVRERRDSGVGASLTRPNRRLRWSSFQLSHQPQPSP ATPHISSQTAAQLNLLQRFSLLRLTAIMEKYSMSNKHGWTCLSAQSAPGALHDLVSVNSR SVPKFMKRIKAPDYRDKAVFGVPLIVHVQRTGQPLPQSIQQALRYLRSNCLDQVGLFRKS GVKSRIHALRQMNENFPDNVSYEDQSAYDVADMVKQFFRDLPEPLFTNKLSETFLHIYQY VPKEQRLQAVQAAILLLADENREALQTLLCFLHDVVNLVDENQMTPMNLAVCLAPSLFHL NLLKKESSPKVIQKKYATGKPDQKDLNENLAAAQGLAHMITECNRLFEVPHEMVAQSRDS YLEAEIHVPSLEDLGAQLAESGATFHTYLEHLVQGLQKEAKEKFKGWVTCSSPDNTDLAF KKVGDGHPLKLWKASVEVEAPPSVVLNRVLRERHLWDEDFVQWKVVERLDKQTEIYQYVL NSMVPHPSRDFLVLRTWKTDLPKGMCTLVSLSVEYEEAQLMGGVRAVVMDSQYLIEPCGS GKSRLTHICRIDLKGHSPEWYSKGFGHLCAAEVTRIRNSFQPLVAEGPETKI >ENSMUSP00000144056.1 pep:known chromosome:GRCm38:5:151039643:151127543:-1 gene:ENSMUSG00000016128.14 transcript:ENSMUST00000202111.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard13 description:StAR-related lipid transfer (START) domain containing 13 [Source:MGI Symbol;Acc:MGI:2385331] MRLDVNFQRKKGDDSDEEDLCISNKWTFQRTSRRWSRVDDLHTLFPVADRNGSPGGPRMR NTASSESVLTDLSEPEVCSIHSESSGGSDSRSQSGHHSADSTHALEATLVSSSLPQSTRE GLNQSFHPKNEKPTRTRAKSFLKRMDTLRVKGALGRHKGPGRTGGLVISRPVLQQEPESF KTMQCVQIPNGDLQTSPPAACRKGLPCSSKSSGESSPLENSSTVSTPCMKERKCHHEANK RGGMYLEDLDVLAGTALPDTSDQNHMHGFHSQENLVVHIPKDHKPGTFPKALSIESLSPT DNSNGVNWRTGSISLGRQQGPGMREPRLMSSCHRASRVSIYDNVPSSHLYASTGDLLDLE KDGLLPQLDDILQHVNGIQEVVDDWSKNILPELQSHSTLAGDPGLSPFPSPNQVTLDFEG NSVSEGRTTPSDVERDRTSLNESEATGVRERRDSGVGASLTRPNRRLRWSSFQLSHQPQP SPATPHISSQTAAQLNLLQRFSLLRLTAIMEKYSMSNKHGWTWSVPKFMKRIKAPDYRDK AVFGVPLIVHVQRTGQPLPQSIQQALRYLRSNCLDQVGLFRKSGVKSRIHALRQMNENFP DNVSYEDQSAYDVADMVKQFFRDLPEPLFTNKLSETFLHIYQYVPKEQRLQAVQAAILLL ADENREALQTLLCFLHDVVNLVDENQMTPMNLAVCLAPSLFHLNLLKKESSPKVIQKKYA TGKPDQKDLNENLAAAQGLAHMITECNRLFEVPHEMVAQSRDSYLEAEIHVPSLEDLGAQ LAESGATFHTYLEHLVQGLQKEAKEKFKGWVTCSSPDNTDLAFKKVGDGHPLKLWKASVE VEAPPSVVLNRVLRERHLWDEDFVQWKVVERLDKQTEIYQYVLNSMVPHPSRDFLVLRTW KTDLPKGMCTLVSLSVEYEEAQLMGGVRAVVMDSQYLIEPCGSGKSRLTHICRIDLKGHS PEWYSKGFGHLCAAEVTRIRNSFQPLVAEGPETKI >ENSMUSP00000116705.1 pep:known chromosome:GRCm38:5:151063546:151233774:-1 gene:ENSMUSG00000016128.14 transcript:ENSMUST00000129088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard13 description:StAR-related lipid transfer (START) domain containing 13 [Source:MGI Symbol;Acc:MGI:2385331] MSSPRRAVYAPLRRSLSEQLRDSSARAWDLLWRNVRERRLAEIEAKEACDWLRAAGFPQY AQLYEDSQFPINIAAVKKDHDFLERDLVEPLCRVTTQMRKTCASATNGLSREPAADGPVW MTCIRCSPW >ENSMUSP00000122468.1 pep:known chromosome:GRCm38:5:151073308:151233836:-1 gene:ENSMUSG00000016128.14 transcript:ENSMUST00000126770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard13 description:StAR-related lipid transfer (START) domain containing 13 [Source:MGI Symbol;Acc:MGI:2385331] MSSPRRAVYAPLRRSLSEQLRDSSARAWDLLWRNVRERRLAEIEAKEACDWLRAAGFPQY AQLYEDSQFPINIAAVKKDHDFLERDLVEPLCRRLNTLNKCASMRLDVNFQ >ENSMUSP00000122478.1 pep:known chromosome:GRCm38:3:154786291:155055407:-1 gene:ENSMUSG00000040086.13 transcript:ENSMUST00000143410.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnni3k description:TNNI3 interacting kinase [Source:MGI Symbol;Acc:MGI:2443276] MGNYKSRPTQTCSDEWKKKVSESYAIIIERLEDDLQIKENEFQELRHIFGSDEAFSEVSL NYRTERGLSLLHLCCACGGNKSHIRALMLKGLRPSRLTRNGFPALHLAVYKDSLELITSL LHSGADVQQAGYGGLTALHIAAIAGHPEAVEVLLQHGANVNVQDAVFFTPLHIAAYYGHE QVTSVLLKFGADVNVSGEVGDRPLHLASAKGFFNIVKLLVEGNKADVNAQDNEDHVPLHF CSRFGHHNIVSYLLQSDLEVQPHVINIYGDTPLHLACYNGNFEVAKEIVHVTGTESLTKE NIFSETAFHSACTYGKNIDLVKFLLDQNAVNINHRGRDGHTGLHSACYHGHIRLVQFLLD NGADMNLVACDPSRSSGEKDEQTCLMWAYEKGHDAIVTLLKHYKRPQDELPCNEYSQPGG DGSYVSVPSPLGKIKSMTKEKADVLLLRAELPSRFHLQLSEIEFHEIIGSGSFGKVYKGR CRNKIVAIKRYRANTYCSKSDVDMFCREVSILCQLNHPCVVQFVGACLDDPSQFAIVTQY ISGGSLFSLLHEQKRILDLQSKLIIAVDVAKGMEYLHSLTQPIIHRDLNSHNILLYEDGH AVVADFGESRFLQSLDEDNMTKQPGNLRWMAPEVFTQCTRYTIKADVFSYALCLWELLTG EIPFAHLKPGKTRVL >ENSMUSP00000070561.3 pep:known chromosome:GRCm38:3:154786299:155055407:-1 gene:ENSMUSG00000040086.13 transcript:ENSMUST00000064076.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni3k description:TNNI3 interacting kinase [Source:MGI Symbol;Acc:MGI:2443276] MGNYKSRPTQTCSDEWKKKVSESYAIIIERLEDDLQIKENEFQELRHIFGSDEAFSEVSL NYRTERGLSLLHLCCACGGNKSHIRALMLKGLRPSRLTRNGFPALHLAVYKDSLELITSL LHSGADVQQAGYGGLTALHIAAIAGHPEAVEVLLQHGANVNVQDAVFFTPLHIAAYYGHE QVTSVLLKFGADVNVSGEVGDRPLHLASAKGFFNIVKLLVEGNKADVNAQDNEDHVPLHF CSRFGHHNIVSYLLQSDLEVQPHVINIYGDTPLHLACYNGNFEVAKEIVHVTGTESLTKE NIFSETAFHSACTYGKNIDLVKFLLDQNAVNINHRGRDGHTGLHSACYHGHIRLVQFLLD NGADMNLVACDPSRSSGEKDEQTCLMWAYEKGHDAIVTLLKHYKRPQDELPCNEYSQPGG DGSYVSVPSPLGKIKSMTKEKADVLLLRAELPSRFHLQLSEIEFHEIIGSGSFGKVYKGR CRNKIVAIKRYRANTYCSKSDVDMFCREVSILCQLNHPCVVQFVGACLDDPSQFAIVTQY ISGGSLFSLLHEQKRILDLQSKLIIAVDVAKGMEYLHSLTQPIIHRDLNSHNILLYEDGH AVVADFGESRFLQSLDEDNMTKQPGNLRWMAPEVFTQCTRYTIKADVFSYALCLWELLTG EIPFAHLKPAAAAADMAYHHIRPPIGYSIPKPISSLLMRGWNACPEGRPEFSEVVRKLEE CLCNVELMSPASSNSSGSLSPSSSSDCLLSRGGPGRSHVAALRSRFELEYALNARSYTGW PQSVGTHTNPGLSLEEMNRGAQYSAVDKYGYVSDPMSPMHLHSRRNSGSFEDGN >ENSMUSP00000139013.1 pep:known chromosome:GRCm38:5:125531774:125622780:1 gene:ENSMUSG00000070498.3 transcript:ENSMUST00000185104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem132b description:transmembrane protein 132B [Source:MGI Symbol;Acc:MGI:3609245] MPPLRASPVACLPSQPRGRPALRSLPTLPGNPLRTLNALSVPARLPIRVSTGLGGPVLSP GKSTGRGAADSLQRFSSLPAYLPAGFHVTDAEEAFFLKEANQDLMRNASLQARSATLFIH RAQSPPAINASYGPFSVEKTVPWDFLLMPTPFGNMERFPFNWRLKSHI >ENSMUSP00000031446.5 pep:known chromosome:GRCm38:5:125532387:125792583:1 gene:ENSMUSG00000070498.3 transcript:ENSMUST00000031446.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem132b description:transmembrane protein 132B [Source:MGI Symbol;Acc:MGI:3609245] MRAPAASLGLLLALSALRCAESTGRGAADSLQRFSSLPAYLPAGFHVTDAEEAFFLKEAN QDLMRNASLQARSATLFIHRAQSPPAINASYGPFSVEKTVPWDFLLMPTPFGNMERFPFN WRLKSHILDSSIFSNRPKVQTLFYVTGMGWDENDPGDDLPCVKMFAFPEAREVAASCRLQ GAPGLCVAELELLPEWFSSGLDLEPQEEIPALLGGTAMELYFALYPANEAGQCPLEEEGK WENNIHSEQEEPQPVLPARERIGSVVVYPTQDDLRWSLVTLDDNVALSIPLNLIREGDTA TFLVSLTSGSLAEKFTLRIKAAAGVKITAVRVSHEDQWAVQEEIEQDGTQTTAVLSCMGH HPDTQSRANGSFYEILQVDFGVDNSSSLAGAQQITWQVEYPVEDSMSELFVSEIFISQTT FVGIVPLTMDTEILNTAILTGKPVSVPVKVVGVQEDGSVVDVLESVECRSADEDVVKVSN TCDSIFVNGKEMKSKVGTIVNFTHQHFTSQVEITVWVPRLPLQIEISDTELSQIKGWRIP VASNRRPTRESDDEEDEEKKGRGCTLQYQHALVRVLTQFVSESPDLGQLTYMLGPDWQFD ITNLVTEFVKVEEPKIAQLQNGRILAGREPGITTVQVLSPLSDSILAEKTVIVLDDRVTI TELGVQLVAGLSLSLQPHRADKRAIVSTVAAQDVLQAPQQEAIVSSWILFSDGAVTPLDI YDLKDFSVTVSSLDEMVVSVQPNLQTRWPIVVAEGEGQGPLIKLEMSISESCQKNKRKST LAVGKGNVKVRFEPGMHEQQGGSNDIGGINREYKGHLSNSIEREGSQERAAQEWFQHGTP VGHEDRTNKSTTPQSPMGGKLLKAGADAFTSFPTQGKLPESSNPGDLTMTSRGLTDLEIG MYALLCVFCLAILVFLINCVAFAWKYRHKRFAVSEQGNIPHSHDWVWLGNEVELLENPVD ITLPSEECTTMIDRGLQFEERNFLLNGSSQKTFHSQLLRPPDYVYEKELKNEPMGSSGPK RKRVKFTSYTTILPEDGGPYTNSILFDSDDSIKWVCQDMGLGDSQDFRDYMERLQDQM >ENSMUSP00000140575.1 pep:known chromosome:GRCm38:Y:2900989:2912206:1 gene:ENSMUSG00000091987.8 transcript:ENSMUST00000187842.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10352 description:predicted gene 10352 [Source:MGI Symbol;Acc:MGI:3708825] MAETDQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000129935.2 pep:known chromosome:GRCm38:Y:2904133:2912188:1 gene:ENSMUSG00000091987.8 transcript:ENSMUST00000166474.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10352 description:predicted gene 10352 [Source:MGI Symbol;Acc:MGI:3708825] MAETDQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000060771.7 pep:known chromosome:GRCm38:12:102283048:102390855:1 gene:ENSMUSG00000044456.16 transcript:ENSMUST00000056950.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rin3 description:Ras and Rab interactor 3 [Source:MGI Symbol;Acc:MGI:2385708] MRRAEAPSSAHPAGPIPDAGKGEGEEDEEKDGTRLGLSTTPRNCIPRRGISVLEKLVKTC PVWLQLGLGQAEAAKILQQEMAGMFLVCRDNNLKQLVLCVHFPSLKGSSAEVLEYPIKEE KAILYLEGSVLVFEDIFRLIAFYCVSRDLLPFTLRLPQAILEASSFLELETISNLGLGFW DSSLNSRGSAEPLRSPAPGTPASSSLRPTTHYANCSCEIELSVGNDRLWFVNPIFIEDCI LPADPPPLPTGSYPPRPTPATPDATSPTSKGSPRRPPPPPPLPTVPPTGPARPLAPPVPP AGPLPNSPLTPTSHLAPHAPGPPGHSNQPPMTACESLPRPAVGLGPFGEEEMKPGTTPNP LHQAPPPPLPLKKALPAAPPRRRISERVSLESQNVGTSTDRDHSGISRTASLNLPPQSTV SSLGDRPPRTTEQSQDTEAKASHADSIPVPPGKAKQPPVPPPRKKRVSRQLASTLLSPLE SPIQEASSEKQATGASWEGLSPVRQAGMQHLQVQSSSCPQSSPEFKGSQASLSDSLGVPA SAADQDSYSTSSAEEELEFSSPNVKKKPSMILDKARHRLSFVSFASVFHAFLSSDRKLYK KVVELAQDKSSYFGSLVQDYKVYSLEMMARQTSSTEMLQEIRTMMTQLKSYLLQSTELKA LVEPTLHSEEELEAIVESALYKCVLKPLKEAINSSLLEIHSRDGSLQQLKENQLVVLATT TTDLGVTTSVPEVAVMEKILQKLTSMHKAYSPGKKISILLKTCKLIYDSMALGNPGKPYG ADDFLPVLMYVLARSNLTEMLLNVEYMMELMDPALQLGEGSYYLTTTYGALEHIKNYDKI TVTRQLSVEVQDSIHRWERRRTLNKARASRSSVQDFICVSYLKPEQQSRTLASRADTAAQ ALCAQCAEKFEVSQPQDYRLFVLVDGRCFQLADEALPHRIKGYLLRSEPKRDFHFVYRPQ DSGKDASSQPCIVVREPNFL >ENSMUSP00000098673.4 pep:known chromosome:GRCm38:12:102283167:102363068:1 gene:ENSMUSG00000044456.16 transcript:ENSMUST00000101114.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rin3 description:Ras and Rab interactor 3 [Source:MGI Symbol;Acc:MGI:2385708] MRRAEAPSSAHPAGPIPDAGKGEGEEDEEKDGTRLGLSTTPRNCIPRRGISVLEKLVKTC PVWLQLGLGQAEAAKILQQEMAGMFLVCRDNNLKQLVLCVHFPSLKGSSAEVLEYPIKEE KAILYLEGSVLVFEDIFRLIAFYCVSRDLLPFTLRLPQAILEASSFLELETISNLGLETA WEHLLNGYTPLCLGELNS >ENSMUSP00000123268.1 pep:known chromosome:GRCm38:12:102283168:102326785:1 gene:ENSMUSG00000044456.16 transcript:ENSMUST00000150795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rin3 description:Ras and Rab interactor 3 [Source:MGI Symbol;Acc:MGI:2385708] MRRAEAPSSAHPAGPIPDAGKGEGEEDEEKDGTRLGLSTTPRNCIPRRGISVLEKLVKTC PVWLQLGLGQAEAAKILQQEMAGMFLVCRDNNLKQLVLCVHFPSLKGSSAEVLEYPIKEE KASFASS >ENSMUSP00000122646.1 pep:known chromosome:GRCm38:12:102283641:102390855:1 gene:ENSMUSG00000044456.16 transcript:ENSMUST00000133820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rin3 description:Ras and Rab interactor 3 [Source:MGI Symbol;Acc:MGI:2385708] MAGMFLVCRDNNLKQLVLCVHFPSLKGSSAEVLEYPIKEEKAILYLEGSVLVFEDIFRLI AFYCVSRDLLPFTLRLPQAILEASSFLELETISNLGLGFWDSSLNSRGSAEPLRSPAPGT PASSSLRPTTHYANCSCEIELSVGNDRLWFVNPIFIEDCILPADPPPLPTGSYPPRPTPA TPDATSPTSKGSPRRPPPPPPLPTVPPTGPARPLAPPVPPAGPLPNSPLTPTSHLAPHAP GPPGHSNQPPMTACESLPRPAVGLGPFGEEEMKPGTTPNPLHQAPPPPLPLKKALPAAPP RRRISERVSLESQNVGTSTDRDHSGISRTASLNLPPQSTVSSLGDRPPRTTEQSQDTEAK ASHADSIPVPPGKAKQPPVPPPRKKRVSRQLASTLLSPLESPIQEASSEKQATGASWEGL SPVRQAGMQHLQVQSSSCPQSSPEFKGSQASLSDSLGVPASAADQDSYSTSSAEEELEFS SPNVKKKPSMILDKARHRLSFVSFASVFHAFLSSDRKLYKKVVELAQDKSSYFGSLVQDY KVYSLEMMARQTSSTEMLQEIRTMMTQLKSYLLQSTELKALVEPTLHSEEELEAIVESAL YKCVLKPLKEAINSSLLEIHSRDGSLQQLKENQLVVLATTTTDLGVTTSVPEVAVMEKIL QKLTSMHKAYSPGKKISILLKTCKLIYDSMALGNPGKPYGADDFLPVLMYVLARSNLTEM LLNVEYMMELMDPALQLGEGSYYLTTTYGALEHIKNYDKITVTRQLSVEVQDSIHRWERR RTLNKARASRSSVQDFICVSYLKPEQQSRTLASRADTAAQALCAQCAEKFEVSQPQDYRL FVLVDGRCFQLADEALPHRIKGYLLRSEPKRDFHFVYRPQDSGKDASSQPCIVVREPNFL >ENSMUSP00000136333.1 pep:known chromosome:GRCm38:1:75220095:75223654:-1 gene:ENSMUSG00000096094.2 transcript:ENSMUST00000179573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630095N17Rik description:RIKEN cDNA A630095N17 gene [Source:MGI Symbol;Acc:MGI:2686470] MTPRQPFVILREDVISLLMPEEKAGNTGVIGAISPMLTSNWRRPSPNHGARDQTQNFTND DSMLPTELHTQPLPTKFS >ENSMUSP00000135937.1 pep:known chromosome:GRCm38:1:75220256:75232090:-1 gene:ENSMUSG00000096094.2 transcript:ENSMUST00000180101.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630095N17Rik description:RIKEN cDNA A630095N17 gene [Source:MGI Symbol;Acc:MGI:2686470] MAENPTFGDKDRFLTQQRRGPRLGTWLRSLPAGASCEAMTPRQPFVILREDVISLLMPEE KAGNTGVIGAISPMLTSNWRRPSPNHGARDQTQNFTNDDSMLPTELHTQPLPTKFS >ENSMUSP00000140071.1 pep:known chromosome:GRCm38:1:75230863:75232102:-1 gene:ENSMUSG00000096094.2 transcript:ENSMUST00000191271.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:A630095N17Rik description:RIKEN cDNA A630095N17 gene [Source:MGI Symbol;Acc:MGI:2686470] MAENPTFGDKDRFLTQQRRGPRLGTWLRSLPAGESGQRICQASPPPCPQGA >ENSMUSP00000069681.7 pep:known chromosome:GRCm38:2:126034658:126091185:1 gene:ENSMUSG00000027208.14 transcript:ENSMUST00000064794.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf7 description:fibroblast growth factor 7 [Source:MGI Symbol;Acc:MGI:95521] MRKWILTRILPTLLYRSCFHLVCLVGTISLACNDMSPEQTATSVNCSSPERHTRSYDYME GGDIRVRRLFCRTQWYLRIDKRGKVKGTQEMKNSYNIMEIRTVAVGIVAIKGVESEYYLA MNKEGKLYAKKECNEDCNFKELILENHYNTYASAKWTHSGGEMFVALNQKGIPVKGKKTK KEQKTAHFLPMAIT >ENSMUSP00000106072.1 pep:known chromosome:GRCm38:2:126034973:126090521:1 gene:ENSMUSG00000027208.14 transcript:ENSMUST00000110442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf7 description:fibroblast growth factor 7 [Source:MGI Symbol;Acc:MGI:95521] MRKWILTRILPTLLYRSCFHLVCLVGTISLACNDMSPEQTATSVNCSSPERHTRSYDYME GGDIRVRRLFCRTQWYLRIDKRGKVKGTQEMKNSYNIMEIRTVAVGIVAIKGVESEYYLA MNKEGKLYAKKECNEDCNFKELILENHYNTYASAKWTHSGGEMFVALNQKGIPVKGKKTK KEQKTAHFLPMAIT >ENSMUSP00000143918.1 pep:known chromosome:GRCm38:5:65987928:66004285:-1 gene:ENSMUSG00000054598.13 transcript:ENSMUST00000201351.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130230L23Rik description:RIKEN cDNA 9130230L23 gene [Source:MGI Symbol;Acc:MGI:3041166] MHLPSLPQEPTCCYSWLIDPRADGQAHDWTSCTIHEPREDFCFSLKVVSTVGGSHDVVQT DLRLTKLLPPLPVCCNYRTLRVQDSRVTCQRPKISISFGFLSACSPRDLLRPSPQMGYRE RRLKLHSSGQRQHGLSQTWKFLDRDRQSAVLQTQRKRWLPLCL >ENSMUSP00000131673.1 pep:known chromosome:GRCm38:5:65988275:66000218:-1 gene:ENSMUSG00000054598.13 transcript:ENSMUST00000165250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130230L23Rik description:RIKEN cDNA 9130230L23 gene [Source:MGI Symbol;Acc:MGI:3041166] MHLPSLPQEPTCCYSWLIDPRADGQAHDWTSCTIHEPREDFCFSLKVVSTVGGSHDVVQT DLRLTKLLPPLPVCCNYRTLRVQDSRVTCQRPKISISFGFLSACSPRDLLRPSPQMGYRE RRLKLHSSGQRQHGLSQTWKFLDRDRQSAVLQTQRKRWLPLCL >ENSMUSP00000106090.1 pep:known chromosome:GRCm38:2:125830304:125859139:-1 gene:ENSMUSG00000027206.13 transcript:ENSMUST00000110463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops2 description:COP9 signalosome subunit 2 [Source:MGI Symbol;Acc:MGI:1330276] MSDMEDDFMCDDEEDYDLEYSEDSNSEPNVDLENQYYNSKALKEDDPKAALSSFQKVLEL EGEKGEWGFKALKQMIKINFKLTNFPEMMNRYKQLLTYIRSAVTRNYSEKSINSILDYIS TSKQNSDFLCQMDLLQEFYETTLEALKDAKNDRLWFKTNTKLGKLYLEREEYGKLQKILR QLHQSCQTDDGEDDLKKGTQLLEIYALEIQMYTAQKNNKKLKALYEQSLHIKSAIPHPLI MGVIRECGGKMHLREGEFEKAHTDFFEAFKNYDESGSPRRTTCLKYLVLANMLMKSGINP FDSQEAKPYKNDPEILAMTNLVSAYQNNDITEFEKILKTNHSNIMDDPFIREHIEELLRN IRTQVLIKLIKPYTRIHIPFISKELNIDVADVESLLVQCILDNTIHGRIDQVNQLLELDH QKRGGARYTALDKWTNQLNSLNQAVVSKLA >ENSMUSP00000028635.5 pep:known chromosome:GRCm38:2:125831602:125859139:-1 gene:ENSMUSG00000027206.13 transcript:ENSMUST00000028635.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops2 description:COP9 signalosome subunit 2 [Source:MGI Symbol;Acc:MGI:1330276] MSDMEDDFMCDDEEDYDLEYSEDSNSEPNVDLENQYYNSKALKEDDPKAALSSFQKVLEL EGEKGEWGFKALKQMIKINFKLTNFPEMMNRYKQLLTYIRSAVTRNYSEKSINSILDYIS TSKQMDLLQEFYETTLEALKDAKNDRLWFKTNTKLGKLYLEREEYGKLQKILRQLHQSCQ TDDGEDDLKKGTQLLEIYALEIQMYTAQKNNKKLKALYEQSLHIKSAIPHPLIMGVIREC GGKMHLREGEFEKAHTDFFEAFKNYDESGSPRRTTCLKYLVLANMLMKSGINPFDSQEAK PYKNDPEILAMTNLVSAYQNNDITEFEKILKTNHSNIMDDPFIREHIEELLRNIRTQVLI KLIKPYTRIHIPFISKELNIDVADVESLLVQCILDNTIHGRIDQVNQLLELDHQKRGGAR YTALDKWTNQLNSLNQAVVSKLA >ENSMUSP00000106089.1 pep:known chromosome:GRCm38:2:125831599:125859039:-1 gene:ENSMUSG00000027206.13 transcript:ENSMUST00000110462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cops2 description:COP9 signalosome subunit 2 [Source:MGI Symbol;Acc:MGI:1330276] MSDMEDDFMCDDEEDYDLEYSEDSNSEPNVDLENQYYNSKALKEDDPKAALSSFQKVLEL EGEKGEWGFKALKQMIKINFKLTNFPEMMNRYKQLLTYIRSAVTRNYSEKSINSILDYIS TSKQNSDFLCQMDLLQEFYETTLEALKDAKNDRLWFKTNTKLGKLYLEREEYGKLQKILR QLHQSCQTDDGEDDLKKECGGKMHLREGEFEKAHTDFFEAFKNYDESGSPRRTTCLKYLV LANMLMKSGINPFDSQEAKPYKNDPEILAMTNLVSAYQNNDITEFEKILKTNHSNIMDDP FIREHIEELLRNIRTQVLIKLIKPYTRIHIPFISKELNIDVADVESLLVQCILDNTIHGR IDQVNQLLELDHQKRGGARYTALDKWTNQLNSLNQAVVSKLA >ENSMUSP00000139969.1 pep:known chromosome:GRCm38:Y:2932582:2939416:1 gene:ENSMUSG00000101667.1 transcript:ENSMUST00000188358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29289 description:predicted gene 29289 [Source:MGI Symbol;Acc:MGI:5579995] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRS >ENSMUSP00000049038.8 pep:known chromosome:GRCm38:5:110664373:110770527:-1 gene:ENSMUSG00000029505.17 transcript:ENSMUST00000041558.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ep400 description:E1A binding protein p400 [Source:MGI Symbol;Acc:MGI:1276124] MHHGSGPQNVQHQLQRSRSFTGSEEEQPAHPNLPPSPAAPFAPSASPSAPQSPGYQIQQL MSRSPVAGQNVNITLQNVGPVVGGNQQITLAPLPLPNPTSPGFQFGAQQRRFEHGSPSYI QVTSPMSQQVQTQSPTQPSPGPGQTLQNVRAGAPGPGLGICSNSPTGGFVDASVLVRQIS LSPSSGGHFVFQEAPGLTQMAQGAQVQLQHSGAPITVRERRLSQPHAQSGGTIHHLGPQS PAAAGGTGLQPLASPNHITTASLPPQISSIIQGQLIQQQQQVLQGQPMNRSLGFERTPGV LLPGVGGPSAFGMTSPPPPTSPSRTTMPPGLSSVPLTSMGSSGMKKVPKKLEEIPPASQE MAQMRKQCLDYHYKEMEALKEVFKEYLIELFFLQHLQGNMMDFLAFKKKHYAPLQAYLRQ NDLDIEEEEEEEEEEEGKSEVINDEHQSLTGSLVVGPGSATEADPFKRQQVMPPTEQSKR PRLEVGHPGVVFQHPGVNAGVPLQQLMPTVQGGMPPTPQATQLTGQKQSQQQYDPSTGPP VQNAASLHTPPPQLPARLPPASVPATALPSTLQFSQQSQMVEASTQLQIPVKTQQLNAPI PAPLPSQLPAPSSQPAQPALHVPMPGKAQMQTSQLSSQTQTVASTRPPLDSAQPCQRSLP TSSSSSSLVPVSGSGPGPSPARSSPVNRPSSATNKALSPITSRSPGVAVSAPPKPQSPAQ NAASSQDGSQDKLAEQITLENQIHQRIADLRKEGLWSLRRLPKLQEAPRPKSHWDYLLEE MQWMATDFAQERRWKLAAAKKLVRTVARHHEEKKLREERGKKEEQSRLRRIAATTAREIE YFWSNIEQVVEIKLQVELEEKRKKALNLQKVSRRGKESRLKGFDTSPEHSLDLGISGRKR KASTSLTDDEVEDEEETIEEEEAHEGLVDHHTELTNLAKEAELPLIDLMKLYEGAFLPNF QWPQPEPDHEESSGEEDVEDCPSDRESRRDSVLIDSLFIMDQFKAAERMSIGKSNTKDIT EVTAVAEAILPKGSARVTTAVKFSAPSLLYGALRDYQKIGLDWLAKLYRKNLNGILADEA GLGKTVQIIAFFAHLACNEGNWGPHLVVMRSCNILKWELELKRWCPGLKTLSYVGSHREL KAKRQEWTEPNNFHICITSYKQFFRGYTAFSRVHWKCLVVDEMQRVKGMTERHWEAIFKL QSQQRLLLIDVPLHNTFLELWTMVHFLIPGISRPYLSFPLKAPNEENQDYYHKMVIRLHR VTQPFILRRTKRDVEKQLTRKYEHVLKCRLSSRQKALYEDVILQPRTQEALKSGHFVSVL SVLTRLQRICNHPGLVEPRVPGSSFAAGSLQYKSASLILRVLEREFWKETDLSIFDLIGL ENKITRHEAELLCKKKVTRKLMEEVFASPPPSARPAAVKLKASRLFQPVQYGQKPEGRTV AFPSTHPPRMANTNTSTATPQGQVRGRPPIATFSANPDTKGGEVVKIAQLASIAGPQSRV AQPETPVTLQFQGNKFTLSHSQLRQLTAGQPLQLQGSVLQIVSAPGQPYLRAPGPVVMQT VSQAGAVHSTLGSKPPTSGPSPAPLTPQVGVPGRVAVSAMAVGEPGLASKPASPAAGPTQ EEKSRLLKERLDQIHFINERRCSQAPVYGRDLLRICSLPGRRKRPLCWSLDSNFGKGPKG VNYDMSLSKSEGDLILTLSQESLQDVLGRVACVIPPVVATPPSLWVARPPSLYSSRLRAL RQCLREHTGPYHRQLQQLTALRSLQFPELRLVQFDSGKLEALAILLQKLKSEGRRVLILS QMVLMLDILEMFLNFHYLTYVRIDENANSEQRQELMRSFNRDRRIFCALLSTHSRATGIN LVEADTVVFYDNDLNPVMDAKAQEWCDRIGRCKDIHIYRLVSGNSIEEKLLKNGTKDLIR EVAAQGNDYSMAFLTQRTIQELFEVYSPMDDTGFPVKAEEFVVLSQEPSVSETIAPKIAR PFIEALKSIECLEEDAQRSTEEAVPGSSSVAVSSDSDGSRYDEEPSQLEELADFMEQLTP IEKYALNYLELFHTTTEQEKERISEDLVMASMKDWETRNARALQEREARLQLEQEEAELL TYTREDAYTMEYVYEDADGQTEVMPLWTPPTPPQDDNDIYIDSVMCLMYETTPIPEAKLP PVYVRKERKRHKTDPSAAGRKKKQRHGEAVVPPRSLFDRATPGMLKIRREGKEQKKNLLL KQQTPFAKPLPTYVKSSGEPAQDSPDWLIGEDWALLQAVKQLLELPLNLTIVSPAHTPNW DLVSDVVNSCSRIYRSSKQCRNRYENVIIPREEGKSKNNRPLRTSQIYAQDENATHTQLY TSHFELMKMTAGKRSPPIKPLLGMNPFQKNPKHASVLAESGINYDKPLPPIQVASLRAER IAKEKKALADQQKAQQPPVTQPPPQQQQQQQQQQQQQQQQQQPPPPPQQPPPPVPQPQAA SSQTPAGQPAVQPQPQPQVQTQPQPVQPQSKGQPTMTTVGSAAVLAGTIKTSVTGTSIPT GTVSGNVIVNTIAGVPAATFQSINKRLASPVAPGTLTTSGGSAPAQVVHTQQRAVGSPAT ATTDLVSMTTTQGVRAVTSVTASAVVTTNLTPVQTPTRSLVTQVSQATGVQLPGKTITPA AHFQLLRQQQQQQQQQQQQQQTSQVQVPQLQSQAQSPAQIKAVSKLGPEHIIKMQKQKMQ LPPQPPPPQAQPGPPQQPAQVQVQTPQPPQQQQSPQLTTVTAPRPGALLTGTTVTNLQVA RLTRVPTSQLQAQGQMQTQTPQPAQVALAKPPVVSVPAAVVSSPGVTTLPMNVAGISVAI GQPQKTAGQTVVAQPVNVQQLLKYKQQTAVQQQKAIQPQVAQGQAAVQQKLTTQQITTQG PQQKVAYAAQPALKTQFLTTPISQAQKLAGTQQVQTQIQVAKLPQVVQQQTPVASIQQVA SASQQASPQTVTLTQATAAGQQVQMIPTVTATAQLVQQKLIQQQVVTTASASLQTPGGPS PAQLPASSDSPSQQPKLQMRVPAVRLKTPTKPPCQ >ENSMUSP00000108055.2 pep:known chromosome:GRCm38:5:110664378:110770717:-1 gene:ENSMUSG00000029505.17 transcript:ENSMUST00000112436.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ep400 description:E1A binding protein p400 [Source:MGI Symbol;Acc:MGI:1276124] MHHGSGPQNVQHQLQRSRSFTGSEEEQPAHPNLPPSPAAPFAPSASPSAPQSPGYQIQQL MSRSPVAGQNVNITLQNVGPVVGGNQQITLAPLPLPNPTSPGFQFGAQQRRFEHGSPSYI QVTSPMSQQVQTQSPTQPSPGPGQTLQNVRAGAPGPGLGICSNSPTGGFVDASVLVRQIS LSPSSGGHFVFQEAPGLTQMAQGAQVQLQHSGAPITVRERRLSQPHAQSGGTIHHLGPQS PAAAGGTGLQPLASPNHITTASLPPQISSIIQGQLIQQQQQVLQGQPMNRSLGFERTPGV LLPGVGGPSAFGMTSPPPPTSPSRTTMPPGLSSVPLTSMGSSGMKKVPKKLEEIPPASQE MAQMRKQCLDYHYKEMEALKEVFKEYLIELFFLQHLQGNMMDFLAFKKKHYAPLQAYLRQ NDLDIEEEEEEEEEEEGKSEVINDEHQSLTGSLVVGPGSATEADPFKRQQVMPPTGGMPP TPQATQLTGQKQSQQQYDPSTGPPVQNAASLHTPPPQLPARLPPASVPATALPSTLQFSQ QSQMVEASTQLQIPVKTQQLNAPIPAPLPSQLPAPSSQPAQPALHVPMPGKAQMQTSQLS SQTQTVASTRPPLDSAQPCQRSLPTSSSSSSLVPVSGSGPGPSPARSSPVNRPSSATNKA LSPITSRSPGVAVSAPPKPQSPAQNAASSQDGSQDKLAEQITLENQIHQRIADLRKEGLW SLRRLPKLQEAPRPKSHWDYLLEEMQWMATDFAQERRWKLAAAKKLVRTVARHHEEKKLR EERGKKEEQSRLRRIAATTAREIEYFWSNIEQVVEIKLQVELEEKRKKALNLQKVSRRGK ESRLKGFDTSPEHSLDLGISGRKRKASTSLTDDEVEDEEETIEEEEAHEGLVDHHTELTN LAKEAELPLIDLMKLYEGAFLPNFQWPQPEPDHEESSGEEDVEDCPSDRESRRDSVLIDS LFIMDQFKAAERMSIGKSNTKDITEVTAVAEAILPKGSARVTTAVKFSAPSLLYGALRDY QKIGLDWLAKLYRKNLNGILADEAGLGKTVQIIAFFAHLACNEGNWGPHLVVMRSCNILK WELELKRWCPGLKTLSYVGSHRELKAKRQEWTEPNNFHICITSYKQFFRGYTAFSRVHWK CLVVDEMQRVKGMTERHWEAIFKLQSQQRLLLIDVPLHNTFLELWTMVHFLIPGISRPYL SFPLKAPNEENQDYYHKMVIRLHRVTQPFILRRTKRDVEKQLTRKYEHVLKCRLSSRQKA LYEDVILQPRTQEALKSGHFVSVLSVLTRLQRICNHPGLVEPRVPGSSFAAGSLQYKSAS LILRVLEREFWKETDLSIFDLIGLENKITRHEAELLCKKKVTRKLMEEVFASPPPSARPA AVKLKASRLFQPVQYGQKPEGRTVAFPSTHPPRMANTNTSTATPQGQVRGRPPIATFSAN PDTKGGEVVKIAQLASIAGPQSRVAQPETPVTLQFQGNKFTLSHSQLRQLTAGQPLQLQG SVLQIVSAPGQPYLRAPGPVVMQTVSQAGAVHSTLGSKPPTSGPSPAPLTPQVGVPGRVA VSAMAVGEPGLASKPASPAAGPTQEEKSRLLKERLDQIHFINERRCSQAPVYGRDLLRIC SLPGRRKRPLCWSLDSNFGKGPKGVNYDMSLSKSEGDLILTLSQESLQDVLGRVACVIPP VVATPPSLWVARPPSLYSSRLRALRQCLREHTGPYHRQLQQLTALRSLQFPELRLVQFDS GKLEALAILLQKLKSEGRRVLILSQMVLMLDILEMFLNFHYLTYVRIDENANSEQRQELM RSFNRDRRIFCALLSTHSRATGINLVEADTVVFYDNDLNPVMDAKAQEWCDRIGRCKDIH IYRLVSGNSIEEKLLKNGTKDLIREVAAQGNDYSMAFLTQRTIQELFEVYSPMDDTGFPV KAEEFVVLSQEPSVSETIAPKIARPFIEALKSIECLEEDAQRSTEEAVPGSSSVAVSSDS DGSRYDEEPSQLEELADFMEQLTPIEKYALNYLELFHTTTEQEKERISEDLVMASMKDWE TRNARALQEREARLQLEQEEAELLTYTREDAYTMEYVYEDADGQTEVMPLWTPPTPPQDD NDIYIDSVMCLMYETTPIPEAKLPPVYVRKERKRHKTDPSAAGRKKKQRHGEAVVPPRSL FDRATPGMLKIRREGKEQKKNLLLKQQTPFAKPLPTYVKSSGEPAQDSPDWLIGEDWALL QAVKQLLELPLNLTIVSPAHTPNWDLVSDVVNSCSRIYRSSKQCRNRYENVIIPREEGKS KNNRPLRTSQIYAQDENATHTQLYTSHFELMKMTAGKRSPPIKPLLGMNPFQKNPKHASV LAESGINYDKPLPPIQVASLRAERIAKEKKALADQQKAQQPPVTQPPPQQQQQQQQQQQQ QQQQQQPPPPPQQPPPPVPQPQAASSQTPAGQPAVQPQPQPQVQTQPQPVQPQSKGQPTM TTVGSAAVLAGTIKTSVTGTSIPTGTVSGNVIVNTIAGVPAATFQSINKRLASPVAPGTL TTSGGSAPAQVVHTQQRAVGSPATATTDLVSMTTTQGVRAVTSVTASAVVTTNLTPVQTP TRSLVTQVSQATGVQLPGKTITPAAHFQLLRQQQQQQQQQQQQQQTSQVQVPQLQSQAQS PAQIKAVSKLGPEHIIKMQKQKMQLPPQPPPPQAQPGPPQQPAQVQVQTPQPPQQQQSPQ LTTVTAPRPGALLTGTTVTNLQVARLTRVPTSQLQAQGQMQTQTPQPAQVALAKPPVVSV PAAVVSSPGVTTLPMNVAGISVAIGQPQKTAGQTVVAQPVNVQQLLKYKQQTAVQQQKAI QPQVAQGQAAVQQKLTTQQITTQGPQQKVAYAAQPALKTQFLTTPISQAQKLAGTQQVQT QIQVAKLPQVVQQQTPVASIQQVASASQQASPQTVTLTQATAAGQQVQMIPTVTATAQLV QQKLIQQQVVTTASASLQTPGGPSPAQLPASSDSPSQQPKLQMRVPAVRLKTPTKPPCQ >ENSMUSP00000116137.1 pep:known chromosome:GRCm38:5:110697341:110707943:-1 gene:ENSMUSG00000029505.17 transcript:ENSMUST00000125325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ep400 description:E1A binding protein p400 [Source:MGI Symbol;Acc:MGI:1276124] XILQPRTQEALKSGHFVSVLSVLTRLQRICNHPGLVEPRVPGSSFAAGSLQYKSASLILR VLEREFWKETDLSIFDLIGLENKITRHEAELLCKKKVTRKLMEEVFASPPPSARPAAVKL KASRLFQPVQYGQKPEGRTVAFPSTHPPRMANTNTSTATPQGQVRGRPPIATFSANPDTK AAAAPFQNSQASVGAPRHQPTSTSSTAAGPAHPSKLRAQTAVQASTPGPPLPPPQAPSLV AGQSAPPQRLVLTSQAQARLPSGEVVKIAQLASIAGPQSRVAQPETPVTLQFQGNKFTLS HSQLRQLTAGQPLQLQGSVLQIVSA >ENSMUSP00000138369.1 pep:known chromosome:GRCm38:5:110737672:110770585:-1 gene:ENSMUSG00000029505.17 transcript:ENSMUST00000146458.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ep400 description:E1A binding protein p400 [Source:MGI Symbol;Acc:MGI:1276124] MHHGSGPQNVQHQLQRSRSFTGSEEEQPAHPNLPPSPAAPFAPSASPSAPQSPGYQIQQL MSRSPVAGQNVNITLQNVGPVVGGNQQITLAPLPLPNPTSPGFQFGAQQRRFEHGSPSYI QVTSPMSQQVQTQSPTQPSPGPGQTLQNVRAGAPGPGLGICSNSPTGGFVDASVLVRQIS LSPSSGGHFVFQEAPGLTQMAQGAQVQLQHSGAPITVRERRLSQPHAQSGGTIHHLGPQS PAAAGGTGLQPLASPNHITTASLPPQISSIIQGQLIQQQQQVLQGQPMNRSLGFERTPGV LLPGVGGPSAFGMTSPPPPTSPSRTTMPPGLSSVPLTSMGSSGMKKVPKKLEEIPPASQE MAQMRKQCLDYHYKEMEALKEVFKEYLIELFFLQHLQGNMMDFLAFKKKHYAPLQAYLRQ NDLDIEEEEEEEEEEEGKSEVINDEHQSLTGSLVVGPGSATEADPFKRQQVMPPTEQSKR PRLEVGHPGVVFQHPGVNAGVPLQQLMPTVQGGMPPTPQATQLTGQKQSQQQYDPSTGPP VQNAASLHTPPPQLPARLPPASVPATALPSTLQFSQQSQMVEASTQLQIPVKTQQLNAPI PAPLPSQLPAPSSQPAQPALHVPMPGKAQMQTSQLSSQTQVLCGHWLFY >ENSMUSP00000108052.1 pep:known chromosome:GRCm38:5:110738070:110770155:-1 gene:ENSMUSG00000029505.17 transcript:ENSMUST00000112433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ep400 description:E1A binding protein p400 [Source:MGI Symbol;Acc:MGI:1276124] MHHGSGPQNVQHQLQRSRSFTGSEEEQPAHPNLPPSPAAPFAPSASPSAPQSPGYQIQQL MSRSPVAGQNVNITLQNVGPVVGGNQQITLAPLPLPNPTSPGFQFGAQQRRFEHGSPSYI QVTSPMSQQVQTQSPTQPSPGPGQTLQNVRAGAPGPGLGICSNSPTGGFVDASVLVRQIS LSPSSGGHFVFQEAPGLTQMAQGAQVQLQHSGAPITVRERRLSQPHAQSGGTIHHLGPQS PAAAGGTGLQPLASPNHITTASLPPQISSIIQGQLIQQQQQVLQGQPMNRSLGFERTPGV LLPGVGGPSAFGMTSPPPPTSPSRTTMPPGLSSVPLTSMGSSGMKKVPKKLEEIPPASQE MAQMRKQCLDYHYKEMEALKEVFKEYLIELFFLQHLQGNMMDFLAFKKKHYAPLQAYLRQ NDLDIEEEEEEEEEEEGKSEVINDEVKVVTGKDGQTGTPVAIATQLPPNVSAAFSSQQQP FQHQSLTGSLVVGPGSATEADPFKRQQVMPPTEQSKRPRLEVGHPGVVFQHPGVNAGVPL QQLMPTVQGGMPPTPQATQLTGQKQSQQQYDPSTGPPVQNAASLHTPPPQLPARLPPASV PATALPSTLQFSQQSQMVEASTQLQIPVKTQQLNAPIPAPLPSQLPAPSSQPAQPALHVP MPGKAQMQTSQLSSQTQVLCGHWLFY >ENSMUSP00000108054.2 pep:known chromosome:GRCm38:5:110664373:110770717:-1 gene:ENSMUSG00000029505.17 transcript:ENSMUST00000112435.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ep400 description:E1A binding protein p400 [Source:MGI Symbol;Acc:MGI:1276124] MHHGSGPQNVQHQLQRSRSFTGSEEEQPAHPNLPPSPAAPFAPSASPSAPQSPGYQIQQL MSRSPVAGQNVNITLQNVGPVVGGNQQITLAPLPLPNPTSPGFQFGAQQRRFEHGSPSYI QVTSPMSQQVQTQSPTQPSPGPGQTLQNVRAGAPGPGLGICSNSPTGGFVDASVLVRQIS LSPSSGGHFVFQEAPGLTQMAQGAQVQLQHSGAPITVRERRLSQPHAQSGGTIHHLGPQS PAAAGGTGLQPLASPNHITTASLPPQISSIIQGQLIQQQQQVLQGQPMNRSLGFERTPGV LLPGVGGPSAFGMTSPPPPTSPSRTTMPPGLSSVPLTSMGSSGMKKVPKKLEEIPPASQE MAQMRKQCLDYHYKEMEALKEVFKEYLIELFFLQHLQGNMMDFLAFKKKHYAPLQAYLRQ NDLDIEEEEEEEEEEEGKSEVINDEVKVVTGKDGQTGTPVAIATQLPPNVSAAFSSQQQP FQHQSLTGSLVVGPGSATEADPFKRQQVMPPTEQSKRPRLEVGHPGVVFQHPGVNAGVPL QQLMPTVQGGMPPTPQATQLTGQKQSQQQYDPSTGPPVQNAASLHTPPPQLPARLPPASV PATALPSTLQFSQQSQMVEASTQLQIPVKTQQLNAPIPAPLPSQLPAPSSQPAQPALHVP MPGKAQMQTSQLSSQTQTVASTRPPLDSAQPCQRSLPTSSSSSSLVPVSGSGPGPSPARS SPVNRPSSATNKALSPITSRSPGVAVSAPPKPQSPAQNAASSQDGSQDKLAEQITLENQI HQRIADLRKEGLWSLRRLPKLQEAPRPKSHWDYLLEEMQWMATDFAQERRWKLAAAKKLV RTVARHHEEKKLREERGKKEEQSRLRRIAATTAREIEYFWSNIEQVVEIKLQVELEEKRK KALNLQKVSRRGKESRLKGFDTSPEHSLDLGISGRKRKASTSLTDDEVEDEEETIEEEEA HEGLVDHHTELTNLAKEAELPLIDLMKLYEGAFLPNFQWPQPEPDHEESSGEEDVEDCPS DRESRRDSVLIDSLFIMDQFKAAERMSIGKSNTKDITEVTAVAEAILPKGSARVTTAVKF SAPSLLYGALRDYQKIGLDWLAKLYRKNLNGILADEAGLGKTVQIIAFFAHLACNEGNWG PHLVVMRSCNILKWELELKRWCPGLKTLSYVGSHRELKAKRQEWTEPNNFHICITSYKQF FRGYTAFSRVHWKCLVVDEMQRVKGMTERHWEAIFKLQSQQRLLLIDVPLHNTFLELWTM VHFLIPGISRPYLSFPLKAPNEENQDYYHKMVIRLHRVTQPFILRRTKRDVEKQLTRKYE HVLKCRLSSRQKALYEDVILQPRTQEALKSGHFVSVLSVLTRLQRICNHPGLVEPRVPGS SFAAGSLQYKSASLILRVLEREFWKETDLSIFDLIGLENKITRHEAELLCKKKVTRKLME EVFASPPPSARPAAVKLKASRLFQPVQYGQKPEGRTVAFPSTHPPRMANTNTSTATPQGQ VRGRPPIATFSANPDTKGGEVVKIAQLASIAGPQSRVAQPETPVTLQFQGNKFTLSHSQL RQLTAGQPLQLQGSVLQIVSAPGQPYLRAPGPVVMQTVSQAGAVHSTLGSKPPTSGPSPA PLTPQVGVPGRVAVSAMAVGEPGLASKPASPAAGPTQEEKSRLLKERLDQIHFINERRCS QAPVYGRDLLRICSLPGRRKRPLCWSLDSNFGKGPKGVNYDMSLSKSEGDLILTLSQESL QDVLGRVACVIPPVVATPPSLWVQEWCDRIGRCKDIHIYRLVSGNSIEEKLLKNGTKDLI REVAAQGNDYSMAFLTQRTIQELFEVYSPMDDTGFPVKAEEFVVLSQEPSVSETIAPKIA RPFIEALKSIECLEEDAQRSTEEAVPGSSSVAVSSDSDGSRYDEEPSQLEELADFMEQLT PIEKYALNYLELFHTTTEQEKERISEDLVMASMKDWETRNARALQEREARLQLEQEEAEL LTYTREDAYTMEYVYEDADGQTEVMPLWTPPTPPQDDNDIYIDSVMCLMYETTPIPEAKL PPVYVRKERKRHKTDPSAAGRKKKQRHGEAVVPPRSLFDRATPGMLKIRREGKEQKKNLL LKQQTPFAKPLPTYVKSSGEPAQDSPDWLIGEDWALLQAVKQLLELPLNLTIVSPAHTPN WDLVSDVVNSCSRIYRSSKQCRNRYENVIIPREEGKSKNNRPLRTSQIYAQDENATHTQL YTSHFELMKMTAGKRSPPIKPLLGMNPFQKNPKHASVLAESGINYDKPLPPIQVASLRAE RIAKEKKALADQQKAQQPPVTQPPPQQQQQQQQQQQQQQQQQQPPPPPQQPPPPVPQPQA ASSQTPAGQPAVQPQPQPQVQTQPQPVQPQSKGQPTMTTVGSAAVLAGTIKTSVTGTSIP TGTVSGNVIVNTIAGVPAATFQSINKRLASPVAPGTLTTSGGSAPAQVVHTQQRAVGSPA TATTDLVSMTTTQGVRAVTSVTASAVVTTNLTPVQTPTRSLVTQVSQATGVQLPGKTITP AAHFQLLRQQQQQQQQQQQQQQTSQVQVPQLQSQAQSPAQIKAVSKLGPEHIIKMQKQKM QLPPQPPPPQAQPGPPQQPAQVQVQTPQPPQQQQSPQLTTVTAPRPGALLTGTTVTNLQV ARLLQAQGQMQTQTPQPAQVALAKPPVVSVPAAVVSSPGVTTLPMNVAGISVAIGQPQKT AGQTVVAQPVNVQQLLKYKQQTAVQQQKAIQPQVAQGQAAVQQKLTTQQITTQGPQQKVA YAAQPALKTQFLTTPISQAQKLAGTQQVQTQIQVAKLPQVVQQQTPVASIQQVASASQQA SPQTVTLTQATAAGQQVQMIPTVTATAQLVQQKLIQQQVVTTASASLQTPGGPSPAQLPA SSDSPSQQPKLQMRVPAVRLKTPTKPPCQ >ENSMUSP00000074771.6 pep:known chromosome:GRCm38:18:61298136:61400431:-1 gene:ENSMUSG00000033871.14 transcript:ENSMUST00000075299.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppargc1b description:peroxisome proliferative activated receptor, gamma, coactivator 1 beta [Source:MGI Symbol;Acc:MGI:2444934] MAGNDCGALLDEELSSFFLNYLSDTQGGDSGEEQLCADLPELDLSQLDASDFDSATCFGE LQWCPETSETEPSQYSPDDSELFQIDSENEALLAALTKTLDDIPEDDVGLAAFPELDEGD TPSCTPASPAPLSAPPSPTLERLLSPASDVDELSLLQKLLLATSSPTASSDALKDGATWS QTSLSSRSQRPCVKVDGTQDKKTPTLRAQSRPCTELHKHLTSVLPCPRVKACSPTPHPSP RLLSKEEEEEVGEDCPSPWPTPASPQDSLAQDTASPDSAQPPEEDVRAMVQLIRYMHTYC LPQRKLPQRAPEPIPQACSSLSRQVQPRSRHPPKAFWTEFSILRELLAQDILCDVSKPYR LAIPVYASLTPQSRPRPPKDSQASPAHSAMAEEVRITASPKSTGPRPSLRPLRLEVKRDV NKPTRQKREEDEEEEEEEEEEEEEKEEEEEEWGRKRPGRGLPWTKLGRKMDSSVCPVRRS RRLNPELGPWLTFTDEPLGALPSMCLDTETHNLEEDLGSLTDSSQGRQLPQGSQIPALES PCESGCGDTDEDPSCPQPTSRDSSRCLMLALSQSDSLGKKSFEESLTVELCGTAGLTPPT TPPYKPMEEDPFKPDTKLSPGQDTAPSLPSPEALPLTATPGASHKLPKRHPERSELLSHL QHATTQPVSQAGQKRPFSCSFGDHDYCQVLRPEAALQRKVLRSWEPIGVHLEDLAQQGAP LPTETKAPRREANQNCDPTHKDSMQLRDHEIRASLTKHFGLLETALEGEDLASCKSPEYD TVFEDSSSSSGESSFLLEEEEEEEEGGEEDDEGEDSGVSPPCSDHCPYQSPPSKASRQLC SRSRSSSGSSSCSSWSPATRKNFRRESRGPCSDGTPSVRHARKRREKAIGEGRVVYIRNL SSDMSSRELKKRFEVFGEIVECQVLTRSKRGQKHGFITFRCSEHAALSVRNGATLRKRNE PSFHLSYGGLRHFRWPRYTDYDPTSEESLPSSGKSKYEAMDFDSLLKEAQQSLH >ENSMUSP00000069431.5 pep:known chromosome:GRCm38:18:61298510:61400400:-1 gene:ENSMUSG00000033871.14 transcript:ENSMUST00000063307.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppargc1b description:peroxisome proliferative activated receptor, gamma, coactivator 1 beta [Source:MGI Symbol;Acc:MGI:2444934] MKSSRPSSSTISLTRRSAEGLEEVDHEALDRDGCGRRRLADMGGDSGEEQLCADLPELDL SQLDASDFDSATCFGELQWCPETSETEPSQYSPDDSELFQIDSENEALLAALTKTLDDIP EDDVGLAAFPELDEGDTPSCTPASPAPLSAPPSPTLERLLSPASDVDELSLLQKLLLATS SPTASSDALKDGATWSQTSLSSRSQRPCVKVDGTQDKKTPTLRAQSRPCTELHKHLTSVL PCPRVKACSPTPHPSPRLLSKEEEEEVGEDCPSPWPTPASPQDSLAQDTASPDSAQPPEE DVRAMVQLIRYMHTYCLPQRKLPQRAPEPIPQACSSLSRQVQPRSRHPPKAFWTEFSILR ELLAQDILCDVSKPYRLAIPVYASLTPQSRPRPPKDSQASPAHSAMAEEVRITASPKSTG PRPSLRPLRLEVKRDVNKPTRQKREEDEEEEEEEEEEEEEKEEEEEEWGRKRPGRGLPWT KLGRKMDSSVCPVRRSRRLNPELGPWLTFTDEPLGALPSMCLDTETHNLEEDLGSLTDSS QGRQLPQGSQIPALESPCESGCGDTDEDPSCPQPTSRDSSRCLMLALSQSDSLGKKSFEE SLTVELCGTAGLTPPTTPPYKPMEEDPFKPDTKLSPGQDTAPSLPSPEALPLTATPGASH KLPKRHPERSELLSHLQHATTQPVSQAGQKRPFSCSFGDHDYCQVLRPEAALQRKVLRSW EPIGVHLEDLAQQGAPLPTETKAPRREANQNCDPTHKDSMQLRDHEIRASLTKHFGLLET ALEGEDLASCKSPEYDTVFEDSSSSSGESSFLLEEEEEEEEGGEEDDEGEDSGVSPPCSD HCPYQSPPSKASRQLCSRSRSSSGSSSCSSWSPATRKNFRRESRGPCSDGTPSVRHARKR REKAIGEGRVVYIRNLSSDMSSRELKKRFEVFGEIVECQVLTRSKRGQKHGFITFRCSEH AALSVRNGATLRKRNEPSFHLSYGGLRHFRWPRYTDYDPTSEESLPSSGKSKYEAMDFDS LLKEAQQSLH >ENSMUSP00000106289.2 pep:known chromosome:GRCm38:2:121158273:121170268:-1 gene:ENSMUSG00000050619.14 transcript:ENSMUST00000110661.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan29 description:zinc finger SCAN domains 29 [Source:MGI Symbol;Acc:MGI:2139317] MAKPTRRGNGTSSESLRQRFRRFHYQEVAGPREAFSQLWELCCRWLRPEVRTKEQIVELL VLEQFLTVLPVEIQNWVQKQCPENGEEAVTLVEDLERESGRPGHSVTVSVKGQEMHSEKM TPLKSSQELLSVLQESVEPQPKGVSKKERARRPALRLQEQMNPKENLRPFQRSGFPFPKP NVVSRLDQGEPWISDLLSSKEKDVPKGNTGTSLLPSKRERTCWVEQGHWVFDDEKVVGVH WGYKETRTLLAILSQTEFYEALRNCHRNSQVYGAVAGRLREYGFLRTLEQCRTKFKGLQK SYRKVKSGHPPDTCPFFEEMEALMSAQVISLPINGLEEAASHSAQAGSDAETEKPGQRDW QHEDGEEAIAERSDSDDPEATPQDPDNPPAPVLFRSPSGVHWGYEETKTYLAILSETQFY EALQNCHRNSQLYGTVAERLWEYGFLRTPEQCRTKFKSLQTSYRKVKNGQALETCPFFEE MDALVSAQVAAPPSDDQEKETVSCCLQRTSEAEPEKQDEDTEEDSDDEDDTEIPPEAVIT RAPVLFQSPSGFEAGFENEENSKRDISEEVQLHRTLLARSERKISHHVNQSKASKNKCSS ESQWEKTQREEQRQLAFPEKNIGKVLTYQRPGLEDRPYKYVKYGKSSGSNSHLRYPVSHE VENPYKCADCGKSFSRSARLIRHQRIHTGEKPYKCLDCGKGFRDSSNFITHRRIHTGEKP YQCDECGKRFNQSSSLIIHQRTHTGEKPYQCEECGKSFNNSSHFNAHRRIHTGERPHVCP DCGKSFSKSSDLHAHHRTHTGKKPYGCHDCGKCFSKSSALSKHRETHTREKLLS >ENSMUSP00000078033.5 pep:known chromosome:GRCm38:2:121160681:121170268:-1 gene:ENSMUSG00000050619.14 transcript:ENSMUST00000079024.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan29 description:zinc finger SCAN domains 29 [Source:MGI Symbol;Acc:MGI:2139317] MAKPTRRGNGTSSESLRQRFRRFHYQEVAGPREAFSQLWELCCRWLRPEVRTKEQIVELL VLEQFLTVLPVEIQNWVQKQCPENGEEAVTLVEDLERESGRPGHSVTVSVKGQEMHSEKM TPLKSSQELLSVLQESVEPQPKGVSKKERARRPALRLQEQMNPKENLRPFQRSGFPFPKP NVVSRLDQGEPWISDLLSSKEKDVPKGNTGTSLLPSKRERTCWVEQGHWVFDDEKVVGVH WGYKETRTLLAILSQTEFYEALRNCHRNSQVYGAVAGRLREYGFLRTLEQCRTKFKGLQK SYRKVKSGHPPDTCPFFEEMEALMSAQVISLPINGLEEAASHSAQAGSDAETEKPGQRDW QHEDGEEAIAERSDSDDPEATPQDPDNPPAPVLFRSPSGVHWGYEETKTYLAILSETQFY EALQNCHRNSQLYGTVAERLWEYGFLRTPEQCRTKFKSLQTSYRKVKNGQALETCPFFEE MDALVSRLDLKMKKIQNGIFLRKYSCIEHYLQGLKGKFPIMLIKVKRVRINAHQKASGKR LKERNKDN >ENSMUSP00000120997.1 pep:known chromosome:GRCm38:2:121165141:121171125:-1 gene:ENSMUSG00000050619.14 transcript:ENSMUST00000146243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan29 description:zinc finger SCAN domains 29 [Source:MGI Symbol;Acc:MGI:2139317] MAKPTRRGNGTSSESLRQRFRRFHYQEVAGPREAFSQLWELCCRWLRPEVRTKEQIVELL VLEQFLTVLPVEIQNWVQKQCPENGEEAVTLVEDLERESGRPGHSDFHFLNPMWCPGWIK ESHGSQICLAPRRRMSQKATQEPVYYHPREREPAGWNRVTGYLMMRRWWVCTGATKRLEH SLQFSVRLNFTKRSETVTGTAKCMGL >ENSMUSP00000125987.1 pep:known chromosome:GRCm38:2:121160696:121171125:-1 gene:ENSMUSG00000050619.14 transcript:ENSMUST00000163766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan29 description:zinc finger SCAN domains 29 [Source:MGI Symbol;Acc:MGI:2139317] MAKPTRRGNGTSSESLRQRFRRFHYQEVAGPREAFSQLWELCCRWLRPEVRTKEQIVELL VLEQFLTVLPVEIQNWVQKQCPENGEEAVTLVEDLERESGRPGHSVTVSVKGQEMHSEKM TPLKSSQELLSVLQESVEPQPKGVSKKERARRPALRLQEQMNPKENLRPFQRSGFPFPKP NVVSRLDQGEPWISDLLSSKEKDVPKGNTGTSLLPSKRERTCWVEQGHWVFDDEKVVGVH WGYKETRTLLAILSQTEFYEALRNCHRNSQVYGAVAGRLREYGFLRTLEQCRTKFKGLQK SYRKVKSGHPPDTCPFFEEMEALMSAQVISLPINGLEEAASHSAQAGSDAETEKPGQRDW QHEDGEEAIAERSDSDDPEATPQDPDNPPAPVLFRSPSVPDENNLRKVYAGSHLECVHPA VLRAALSVAVGVGGVHWGYEETKTYLAILSETQFYEALQNCHRNSQLYGTVAERLWEYGF LRTPEQCRTKFKSLQTSYRKVKNGQALETCPFFEEMDALVSAQVAAPPSDDQEKETVSCC LQRTSEAEPEKQDEDTEEDSDDEDDTEIPPEAVITRAPVLFQSPSGFEAGFENEENSKRD ISEEVQLHRTLLARSERKISHHVNQSKASKNKCSSESQWEKTQREEQRQLAFPEKNIGKV LTYQRPGLEDRPYKYVKYGKSSGSNSHLRYPVSHEVENPYKCADCGKSFSRSARLIRHQR IHTGEKPYKCLDCGKGFRDSSNFITHRRIHTGEKPYQCDECGKRFNQSSSLIIHQRTHTG EKPYQCEECGKSFNNSSHFNAHRRIHTGERPHVCPDCGKSFSKSSDLHAHHRTHTGKKPY GCHDCGKCFSKSSALSKHRETHTREKLLS >ENSMUSP00000146027.1 pep:known chromosome:GRCm38:7:121391859:121398067:1 gene:ENSMUSG00000046321.8 transcript:ENSMUST00000206880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st2 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:1333802] MCGFLCCCDGLGQSRLLGAPRCLRGPSASGQKLLAKSRPCDPPGPTPSEPSAPSAPAAAA PAPRLSGSNHSGSPKPGTKRLPQALIVGVKKGGTRAVLEFIRVHPDVRALGTEPHFFDRN YGRGLDWYSVGVFLGLCKTSRNRICPRGNALSKTERGKHRMKSITLSSSWKSVTHL >ENSMUSP00000081678.3 pep:known chromosome:GRCm38:7:121392290:121501770:1 gene:ENSMUSG00000046321.8 transcript:ENSMUST00000084628.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st2 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 2 [Source:MGI Symbol;Acc:MGI:1333802] MAYRVLGRAGPPQPRRARRLLFAFTLSLSCTYLCYSFLCCCDGLGQSRLLGAPRCLRGPS ASGQKLLAKSRPCDPPGPTPSEPSAPSAPAAAAPAPRLSGSNHSGSPKPGTKRLPQALIV GVKKGGTRAVLEFIRVHPDVRALGTEPHFFDRNYGRGLDWYRSLMPRTLETQITLEKTPS YFVTQEAPRRIFNMSRDTKLIVVVRNPVTRAISDYTQTLSKKPDIPTFEGLSFRNRSLGL VDVSWNAIRIGMYALHLESWLRYFPLAQIHFVSGERLITDPAGEMGRIQDFLGIKRFITD KHFYFNKTKGFPCLKKPESTLLPRCLGKSKGRTHVQIDPEVIDQLREFYRPYNIKFYETV GQDFRWE >ENSMUSP00000107760.1 pep:known chromosome:GRCm38:6:128483567:128526720:-1 gene:ENSMUSG00000030359.14 transcript:ENSMUST00000112132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pzp description:pregnancy zone protein [Source:MGI Symbol;Acc:MGI:87854] MRRNQLPTPAFLLLFLLLPRDATTATAKPQYVVLVPSEVYSGVPEKACVSLNHVNETVML SLTLEYAMQQTKLLTDQAVDKDSFYCSPFTISGSPLPYTFITVEIKGPTQRFIKKKSIQI IKAESPVFVQTDKPIYKPGQIVKFRVVSVDISFRPLNETFPVVYIETPKRNRIFQWQNIH LAGGLHQLSFPLSVEPALGIYKVVVQKDSGKKIEHSFEVKEYVLPKFEVIIKMQKTMAFL EEELPITACGVYTYGKPVPGLVTLRVCRKYSRYRSTCHNQNSMSICEEFSQQADDKGCFR QVVKTKVFQLRQKGHDMKIEVEAKIKEEGTGIELTGIGSCEIANALSKLKFTKVNTNYRP GLPFSGQVLLVDEKGKPIPNKNITSVVSPLGYLSIFTTDEHGLANISIDTSNFTAPFLRV VVTYKQNHVCYDNWWLDEFHTQADHSATLVFSPSQSYIQLELVFGTLACGQTQEIRIHYL LNEDIMKNEKDLTFYYLIKARGSIFNLGSHVLSLEQGNMKGVFSLPIQVEPGMAPEAQLL IYAILPNEELVADAQNFEIEKCFANKVNLSFPSAQSLPASDTHLKVKAAPLSLCALTAVD QSVLLLKPEAKLSPQSIYNLLPGKTVQGAFFGVPVYKDHENCISGEDITHNGIVYTPKHS LGDNDAHSIFQSVGINIFTNSKIHKPRFCQEFQHYPAMGGVAPQALAVAASGPGSSFRAM GVPMMGLDYSDEINQVVEVRETVRKYFPETWIWDLVPLDVSGDGELAVKVPDTITEWKAS AFCLSGTTGLGLSSTISLQAFQPFFLELTLPYSVVRGEAFTLKATVLNYMSHCIQIRVDL EISPDFLAVPVGGHENSHCICGNERKTVSWAVTPKSLGEVNFTATAEALQSPELCGNKLT EVPALVHKDTVVKSVIVEPEGIEKEQTYNTLLCPQDTELQDNWSLELPPNVVEGSARATH SVLGDILGSAMQNLQNLLQMPYGCGEQNMVLFVPNIYVLNYLNETQQLTEAIKSKAINYL ISGYQRQLNYQHSDGSYSTFGNHGGGNTPGNTWLTAFVLKAFAQAQSHIFIEKTHITNAF NWLSMKQKENGCFQQSGYLLNNAMKGGVDDEVTLSAYITIALLEMPLPVTHSAVRNALFC LETAWASISQSQESHVYTKALLAYAFALAGNKAKRSELLESLNKDAVKEEDSLHWQRPGD VQKVKALSFYQPRAPSAEVEMTAYVLLAYLTSESSRPTRDLSSSDLSTASKIVKWISKQQ NSHGGFSSTQDTVVALQALSKYGAATFTRSQKEVLVTIESSGTFSKTFHVNSGNRLLLQE VRLPDLPGNYVTKGSGSGCVYLQTSLKYNILPVADGKAPFALQVNTLPLNFDKAGDHRTF QIRINVSYTGERPSSNMVIVDVKMVSGFIPMKPSVKKLQDQPNIQRTEVNTNHVLIYIEK LTNQTLGFSFAVEQDIPVKNLKPAPIKVYDYYETDEFTVEEYSAPFSDGSEQGNA >ENSMUSP00000120114.1 pep:known chromosome:GRCm38:6:128521131:128526703:-1 gene:ENSMUSG00000030359.14 transcript:ENSMUST00000143664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pzp description:pregnancy zone protein [Source:MGI Symbol;Acc:MGI:87854] MRRNQLPTPAFLLLFLLLPRDATTATAKPQYVVLVPSEVYSGVPEKACVSLNHVNETVML SLTLEYAMQQTKLLTDQAVDKDSFYCSPFTISGSPLPYTFITVEIKGPTQRFIKKKSIQI IKAESPVFVQTDKPIYKPGQIVKFRVVSVDISFRPLNETTPKRNRIFQWQNIHLAGGLHQ LSFPLSVEPALGIYKVVVQKDSGKKIEHSFEVKEYVLPKFEVIIKMQKTMAFL >ENSMUSP00000006614.2 pep:known chromosome:GRCm38:4:141301240:141329384:1 gene:ENSMUSG00000006445.3 transcript:ENSMUST00000006614.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha2 description:Eph receptor A2 [Source:MGI Symbol;Acc:MGI:95278] MELRAVGFCLALLWGCALAAAAAQGKEVVLLDFAAMKGELGWLTHPYGKGWDLMQNIMDD MPIYMYSVCNVVSGDQDNWLRTNWVYREEAERIFIELKFTVRDCNSFPGGASSCKETFNL YYAESDVDYGTNFQKRQFTKIDTIAPDEITVSSDFEARNVKLNVEERMVGPLTRKGFYLA FQDIGACVALLSVRVYYKKCPEMLQSLARFPETIAVAVSDTQPLATVAGTCVDHAVVPYG GEGPLMHCTVDGEWLVPIGQCLCQEGYEKVEDACRACSPGFFKSEASESPCLECPEHTLP STEGATSCQCEEGYFRAPEDPLSMSCTRPPSAPNYLTAIGMGAKVELRWTAPKDTGGRQD IVYSVTCEQCWPESGECGPCEASVRYSEPPHALTRTSVTVSDLEPHMNYTFAVEARNGVS GLVTSRSFRTASVSINQTEPPKVRLEDRSTTSLSVTWSIPVSQQSRVWKYEVTYRKKGDA NSYNVRRTEGFSVTLDDLAPDTTYLVQVQALTQEGQGAGSKVHEFQTLSTEGSANMAVIG GVAVGVVLLLVLAGVGLFIHRRRRNLRARQSSEDVRFSKSEQLKPLKTYVDPHTYEDPNQ AVLKFTTEIHPSCVARQKVIGAGEFGEVYKGTLKASSGKKEIPVAIKTLKAGYTEKQRVD FLSEASIMGQFSHHNIIRLEGVVSKYKPMMIITEYMENGALDKFLREKDGEFSVLQLVGM LRGIASGMKYLANMNYVHRDLAARNILVNSNLVCKVSDFGLSRVLEDDPEATYTTSGGKI PIRWTAPEAISYRKFTSASDVWSYGIVMWEVMTYGERPYWELSNHEVMKAINDGFRLPTP MDCPSAIYQLMMQCWQQERSRRPKFADIVSILDKLIRAPDSLKTLADFDPRVSIRLPSTS GSEGVPFRTVSEWLESIKMQQYTEHFMVAGYTAIEKVVQMSNEDIKRIGVRLPGHQKRIA YSLLGLKDQVNTVGIPI >ENSMUSP00000105901.2 pep:known chromosome:GRCm38:12:84373875:84409029:-1 gene:ENSMUSG00000021236.16 transcript:ENSMUST00000110272.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd5 description:ectonucleoside triphosphate diphosphohydrolase 5 [Source:MGI Symbol;Acc:MGI:1321385] MATSWGAVFMLIIACVGSTVFYREQQTWFEGVFLSSMCPINVSAGTFYGIMFDAGSTGTR IHVYTFVQKTAGQLPFLEGEIFDSVKPGLSAFVDQPKQGAETVQELLEVAKDSIPRSHWE RTPVVLKATAGLRLLPEQKAQALLLEVEEIFKNSPFLVPDGSVSIMDGSYEGILAWVTVN FLTGQLHGRGQETVGTLDLGGASTQITFLPQFEKTLEQTPRGYLTSFEMFNSTFKLYTHS YLGFGLKAARLATLGALEAKGTDGHTFRSACLPRWLEAEWIFGGVKYQYGGNQEGEMGFE PCYAEVLRVVQGKLHQPEEVRGSAFYAFSYYYDRAADTHLIDYEKGGVLKVEDFERKARE VCDNLGSFSSGSPFLCMDLTYITALLKDGFGFADGTLLQLTKKVNNIETGWALGATFHLL QSLGITS >ENSMUSP00000112516.1 pep:known chromosome:GRCm38:12:84373878:84409014:-1 gene:ENSMUSG00000021236.16 transcript:ENSMUST00000120942.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd5 description:ectonucleoside triphosphate diphosphohydrolase 5 [Source:MGI Symbol;Acc:MGI:1321385] MATSWGAVFMLIIACVGSTVFYREQQTWFEGVFLSSMCPINVSAGTFYGIMFDAGSTGTR IHVYTFVQKTAGQLPFLEGEIFDSVKPGLSAFVDQPKQGAETVQELLEVAKDSIPRSHWE RTPVVLKATAGLRLLPEQKAQALLLEVEEIFKNSPFLVPDGSVSIMDGSYEGILAWVTVN FLTGQLHGRGQETVGTLDLGGASTQITFLPQFEKTLEQTPRGYLTSFEMFNSTFKLYTHS YLGFGLKAARLATLGALEAKGTDGHTFRSACLPRWLEAEWIFGGVKYQYGGNQEGEMGFE PCYAEVLRVVQGKLHQPEEVRGSAFYAFSYYYDRAADTHLIDYEKGGVLKVEDFERKARE VCDNLGSFSSGSPFLCMDLTYITALLKDGFGFADGTLLQLTKKVNNIETGWALGATFHLL QSLGITS >ENSMUSP00000113106.1 pep:known chromosome:GRCm38:12:84376677:84400934:-1 gene:ENSMUSG00000021236.16 transcript:ENSMUST00000122194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd5 description:ectonucleoside triphosphate diphosphohydrolase 5 [Source:MGI Symbol;Acc:MGI:1321385] MATSWGAVFMLIIACVGSTVFYREQQTWFEGVFLSSMCPINVSAGTFYGIMFDAGSTGTR IHVYTFVQKTAGQLPFLEGEIFDSVKPGLSAFVDQPKQGAETVQELLEVAKDSIPRSHWE RTPVVLKATAGLRLLPEQKAQALLLEVEEIFKNSPFLVPDGSVSIMDGSYEGILAWVTVN FLTGQLHGRGQETVGTLDLGGASTQITFLPQFEKTLEQTPRGYLTSFEMFNSTFKLYTHS YLGFGLKAARLATLGALEAKGTDGHTFRSACLPRWLEAEWIFGGVKYQYGGNQEGEMGFE PCYAEVLRVVQGKLHQPEEVRGSAFYAFSYYYDRAADTHLIDYEKGGVLKVEDFERKARE VCDNLGSFSSGSPFLCMDLTYITALLKDGFGFADGTLLQLTKKVNNIETGWALGATFHLL QSLGITS >ENSMUSP00000114011.1 pep:known chromosome:GRCm38:12:84377009:84400885:-1 gene:ENSMUSG00000021236.16 transcript:ENSMUST00000117286.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd5 description:ectonucleoside triphosphate diphosphohydrolase 5 [Source:MGI Symbol;Acc:MGI:1321385] MATSWGAVFMLIIACVGSTVFYREQQTWFEGVFLSSMCPINVSAGTFYGIMFDAGSTGTR IHVYTFVQKTAGQLPFLEGEIFDSVKPGLSAFVDQPKQGAETVQELLEVAKDSIPRSHWE RTPVVLKATAGLRLLPEQKAQALLLEVEEIFKNSPFLVPDGSVSIMDGSYEGILAWVTVN FLTGQLHGRGQETVGTLDLGGASTQITFLPQFEKTLEQTPRGYLTSFEMFNSTFKLYTHS YLGFGLKAARLATLGALEAKGTDGHTFRSACLPRWLEAEWIFGGVKYQYGGNQEGEMGFE PCYAEVLRVVQGKLHQPEEVRGSAFYAFSYYYDRAADTHLIDYEKGGVLKVEDFERKARE VCDNLGSFSSGSPFLCMDLTYITALLKDGFGFADGTLLQLTKKVNNIETGWALGATFHLL QSLGITS >ENSMUSP00000021662.5 pep:known chromosome:GRCm38:12:84373857:84405476:-1 gene:ENSMUSG00000021236.16 transcript:ENSMUST00000021662.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd5 description:ectonucleoside triphosphate diphosphohydrolase 5 [Source:MGI Symbol;Acc:MGI:1321385] MATSWGAVFMLIIACVGSTVFYREQQTWFEGVFLSSMCPINVSAGTFYGIMFDAGSTGTR IHVYTFVQKTAGQLPFLEGEIFDSVKPGLSAFVDQPKQGAETVQELLEVAKDSIPRSHWE RTPVVLKATAGLRLLPEQKAQALLLEVEEIFKNSPFLVPDGSVSIMDGSYEGILAWVTVN FLTGQLHGRGQETVGTLDLGGASTQITFLPQFEKTLEQTPRGYLTSFEMFNSTFKLYTHS YLGFGLKAARLATLGALEAKGTDGHTFRSACLPRWLEAEWIFGGVKYQYGGNQEGEMGFE PCYAEVLRVVQGKLHQPEEVRGSAFYAFSYYYDRAADTHLIDYEKGGVLKVEDFERKARE VCDNLGSFSSGSPFLCMDLTYITALLKDGFGFADGTLLQLTKKVNNIETGWALGATFHLL QSLGITS >ENSMUSP00000071939.5 pep:known chromosome:GRCm38:12:84373857:84405476:-1 gene:ENSMUSG00000021236.16 transcript:ENSMUST00000072061.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd5 description:ectonucleoside triphosphate diphosphohydrolase 5 [Source:MGI Symbol;Acc:MGI:1321385] MTLPPQECEQHCFSNKASGPHLGKNMATSWGAVFMLIIACVGSTVFYREQQTWFEGVFLS SMCPINVSAGTFYGIMFDAGSTGTRIHVYTFVQKTAGQLPFLEGEIFDSVKPGLSAFVDQ PKQGAETVQELLEVAKDSIPRSHWERTPVVLKATAGLRLLPEQKAQALLLEVEEIFKNSP FLVPDGSVSIMDGSYEGILAWVTVNFLTGQLHGRGQETVGTLDLGGASTQITFLPQFEKT LEQTPRGYLTSFEMFNSTFKLYTHSYLGFGLKAARLATLGALEAKGTDGHTFRSACLPRW LEAEWIFGGVKYQYGGNQEGEMGFEPCYAEVLRVVQGKLHQPEEVRGSAFYAFSYYYDRA ADTHLIDYEKGGVLKVEDFERKAREVCDNLGSFSSGSPFLCMDLTYITALLKDGFGFADG TLLQLTKKVNNIETGWALGATFHLLQSLGITS >ENSMUSP00000103350.1 pep:known chromosome:GRCm38:11:95414098:95493406:1 gene:ENSMUSG00000057522.15 transcript:ENSMUST00000107722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spop description:speckle-type POZ protein [Source:MGI Symbol;Acc:MGI:1343085] MSRVPSPPPPAEMSSGPVAESWCYTQIKVVKFSYMWTINNFSFCREEMGEVIKSSTFSSG ANDKLKWCLRVNPKGLDEESKDYLSLYLLLVSCPKSEVRAKFKFSILNAKGEETKAMESQ RAYRFVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFCEVSVVQDSVNISGQNTMNMVK VPECRLADELGGLWENSRFTDCCLCVAGQEFQAHKAILAARSPVFSAMFEHEMEESKKNR VEINDVEPEVFKEMMCFIYTGKAPNLDKMADDLLAAADKYALERLKVMCEDALCSNLSVE NAAEILILADLHSADQLKTQAVDFINYHASDVLETSGWKSMVVSHPHLVAEAYRSLASAQ CPFLGPPRKRLKQS >ENSMUSP00000103352.2 pep:known chromosome:GRCm38:11:95414080:95493406:1 gene:ENSMUSG00000057522.15 transcript:ENSMUST00000107724.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spop description:speckle-type POZ protein [Source:MGI Symbol;Acc:MGI:1343085] MSRVPSPPPPAEMSSGPVAESWCYTQIKVVKFSYMWTINNFSFCREEMGEVIKSSTFSSG ANDKLKWCLRVNPKGLDEESKDYLSLYLLLVSCPKSEVRAKFKFSILNAKGEETKAMESQ RAYRFVQGKDWGFKKFIRRDFLLDEANGLLPDDKLTLFCEVSVVQDSVNISGQNTMNMVK VPECRLADELGGLWENSRFTDCCLCVAGQEFQAHKAILAARSPVFSAMFEHEMEESKKNR VEINDVEPEVFKEMMCFIYTGKAPNLDKMADDLLAAADKYALERLKVMCEDALCSNLSVE NAAEILILADLHSADQLKTQAVDFINYHASDVLETSGWKSMVVSHPHLVAEAYRSLASAQ CPFLGPPRKRLKQS >ENSMUSP00000119650.1 pep:known chromosome:GRCm38:11:95414095:95474314:1 gene:ENSMUSG00000057522.15 transcript:ENSMUST00000150884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spop description:speckle-type POZ protein [Source:MGI Symbol;Acc:MGI:1343085] MSRVPSPPPPAEMSSGPVAESWCYTQIKVVKFSYMWTINNFSFCREEMGEVIKSSTFSSG ANDKLKWCLRVNPKGLDEESKDYLSLYLLLVSCP >ENSMUSP00000118922.1 pep:known chromosome:GRCm38:11:95414243:95474335:1 gene:ENSMUSG00000057522.15 transcript:ENSMUST00000127713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spop description:speckle-type POZ protein [Source:MGI Symbol;Acc:MGI:1343085] MSRVPSPPPPAEMSSGPVAESWCYTQIKVVKFSYMWTINNFSFCREEMGEVIKSSTFSSG ANDKLKCCPKSEVRAK >ENSMUSP00000106076.2 pep:known chromosome:GRCm38:2:125983483:126152004:-1 gene:ENSMUSG00000027209.17 transcript:ENSMUST00000110446.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam227b description:family with sequence similarity 227, member B [Source:MGI Symbol;Acc:MGI:1923073] MELPVPQKLPDPPRSFDEFLKSQNWDYWPRDVHFRDSDIWEDTLKKLEEAISYTSIYSYL WTNVPRLYDIVDSLESKLKESSHLLQHHASRLFESDKMISKKRSYTNLERYKAFVKEHYR PKKIALSDRMETEKNIEGCTFLFNQNEVTQLPRHLDAKQIYLYVLKTHNFEEKVFKVWKT HVLSDCSIALLHDSFWWWFLHKFKPDKRDEDFLFDRIAESYVTLFIKIPLRRKDAFFKMY PDCLTQAVYTTFQESFPESCSLFNDKFKEDLGNTIFLWLSGLKPETGFWTHWKLQDLCTT TIHGSRRVPVKLRRSVIPSQEHIPGIRDLKIEDILKNPRAYAMPKLMKESVASKAATKPS HYRSLGPEFHKVLFDFGGQSPLILYYLKMHELGGISVTHNPKGTKFTKILREPSPAPTYC DIIRDAKRKFADNKKDFKRVKQRIKDDIKFLREQQELIDKELDRIQAKASKNLQEVKNEF ENFLHKQRVEAKLKEEYGGSTSASESPQSMQSPQSSSSFPTISEDFNNVEEG >ENSMUSP00000136349.1 pep:known chromosome:GRCm38:2:125983628:126146251:-1 gene:ENSMUSG00000027209.17 transcript:ENSMUST00000178118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam227b description:family with sequence similarity 227, member B [Source:MGI Symbol;Acc:MGI:1923073] MELPVPQKLPDPPRSFDEFLKSQNWDYWPRDVHFRDSDIWEDTLKKLEEAISYTSIYSYL WTNVPRLYDIVDSLESKLKESSHLLQHHASRLFESDKMISKKRSYTNLERYKAFVKEHYR PKKIALSDRMETEKNIEGCTFLFNQNEVTQLPRHLDAKQIYLYVLKTHNFEEKVFKVWKT HVLSDCSIALLHDSFWWWFLHKFKPDKRDEDFLFDRIAESYVTLFIKIPLRRKDAFFKMY PDCLTQAVYTTFQESFPESCSLFNDKFKEDLGNTIFLWLSGLKPETGFWTHWKLQDLCTT TIHGSRRVPVKLRRSVIPSQEHIPGIRDLKIEDILKNPRAYAMPKLMKESVASKAATKPS HYRSLGPEFHKVLFDFGGQSPLILYYLKMHELGGISVTHNPKGTKFTKILREPSPAPTYC DIIRDAKRKFADNKKDFKRVKQRIKDDIKFLREQQELIDKELDRIQAKASKNLQEVKNEF ENFLHKQRVEAKLKEEYGGSTSASESPQSMQSPQSSSSFPTISEDFNNVEEG >ENSMUSP00000106078.2 pep:known chromosome:GRCm38:2:125983628:126151984:-1 gene:ENSMUSG00000027209.17 transcript:ENSMUST00000110448.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam227b description:family with sequence similarity 227, member B [Source:MGI Symbol;Acc:MGI:1923073] MELPVPQKLPDPPRSFDEFLKSQNWDYWPRDVHFRDSDIWEDTLKKLEEAISYTSIYSYL WTNVPRLYDIVDSLESKLKESSHLLQHHASRLFESDKMISKKRSYTNLERYKAFVKEHYR PKKIALSDRMETEKNIEGCTFLFNQNEVTQLPRHLDAKQIYLYVLKTHNFEEKVFKVWKT HVLSDCSIALLHDSFWWWFLHKFKPDKRDEDFLFDRIAESYVTLFIKIPLRRKDAFFKMY PDCLTQAVYTTFQESFPESCSLFNDKFKEDLGNTIFLWLSGLKPETGFWTHWKLQDLCTT TIHGSRRVPVKLRRSVIPSQEHIPGIRDLKIEDILKNPRAYAMPKLMKESVASKAATKPS HYRSLGPEFHKVLFDFGGQSPLILYYLKMHELGGISVTHNPKGTKFTKILREPYPFPTYC DIIRDAKRKFADNKKDFKRVKQRIKDDIKFLREQQELIDKELDRIQAKASKNLQEVKNEF ENFLHKQRVEAKLKEEYGGSTSASESPQSMQSPQSSSSFPTISEDFNNVEEG >ENSMUSP00000051845.7 pep:known chromosome:GRCm38:2:119373042:119477687:-1 gene:ENSMUSG00000034154.15 transcript:ENSMUST00000049920.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80 description:INO80 complex subunit [Source:MGI Symbol;Acc:MGI:1915392] MASELGAGDDGSSTELAKPLYLQYLERALRLDHFLRQTSAIFNRNISSDDSEDGLDDNNP LLPESGDPLIQVKEEPPNSLLGETSGASSSGLLNPYSLNGVLQSESKSDKGNLYNFSKLK KSRKWLKSILLSDESSEADSQSEDNDDEEEELSLSREELHNMLRLHKYKKLHQNKYSKDK ELQQYQYYSAGLLSTYDPFYEQQRHLLGPKKKKFKEDKKLKAKLKKVKKKRRRDEEFSSE ESPRHHHHQTKVFAKFSHDAPPPGTKKKHLSIEQLNARRRKVWLSIVKKELPKANKQKSS ARNLFLTNSRKLAHQCMKEVRRAALQAQKNCKETLPRARRLTKEMLLYWKKYEKVEKEHR KRAEKEALEQRKLDEEMREAKRQQRKLNFLITQTELYAHFMSRKRDMGHDGIQEEILRKL EDSSTQRQIDIGGGVVVNITQEDYDSNHFKAQALKNAENAYHIHQARTRSFDEDAKESRA AALRAADKSGSGFGESYSLANPSIRAGEDIPQPTIFNGKLKGYQLKGMNWLANLYEQGIN GILADEMGLGKTVQSIALLAHLAERENIWGPFLIISPASTLNNWHQEFTRFVPKFKVLPY WGNPHDRKVIRRFWSQKTLYTQDAPFHVVITSYQLVVQDVKYFQRVKWQYMVLDEAQALK SSSSVRWKILLQFQCRNRLLLTGTPIQNTMAELWALLHFIMPTLFDSHEEFNEWFSKDIE SHAENKSAIDENQLSRLHMILKPFMLRRIKKDVENELSDKIEILTYCQLTSRQKLLYQAL KNKISIEDLLQSSMGSTQQAQNTTSSLMNLVMQFRKVCNHPELFERQETWSPFHISLKPY EISKFIYRHGQIRVFNHSRDRWLKVLLSPFAPDYIQQSLFHRKGINEGSCFSFLRFIDVS PAEMANLMLQGLLARWLALFLSLKASYRLHQLRSWAEPDGTSHQSYLRNKDFLLGVDFPL SFPNLCSCPLLKSLVFSSHCKAVSGYSDHVVHQRRSATSSLRCCLLTELPSFLCVASPRV TAVPLDSYCNDRSAEYERGVLKEGGSLAAKQCLLNGAPELATDWLSRRSQFFPEPAGGLL SIRPQNGWSFIRIPGKESLITDSGKLYALDVLLTRLKSQGHRVLIYSQMTRMIDLLEEYM VYRKHTYMRLDGSSKISERRDMVADFQTRNDIFVFLLSTRAGGLGINLTAADTVIFYDSD WNPTVDQQAMDRAHRLGQTKQVTVYRLICKGTIEERILQRAKEKSEIQRMVISGGNFKPD TLKPKEVVSLLLDDEELEKKLRLRQEEKRQQEESNRVKERKRKREKYAEKKKKEDELDGK RRKEGVNLVIPFVPSADNSNLSADGDDSFISVDSAMPSPFSEISISSELHTGSIPPDESS SDMLVIVDDPASSAPQSRATNSPASITGSVSDTVNGISIQEVPAAGRGHSARSRGRPKGS GSTAKGAGKGRSRKSTAGSAAAMAGAKAGAAAASAAAYAAYGYNVSKGISASSPLQTSIV RPAGLADFGPSSASSPLSSPLNKGNNIPGTPKSLHMTSSLASDSLIRKQGKGTNPSGGR >ENSMUSP00000106431.1 pep:known chromosome:GRCm38:2:119402709:119477613:-1 gene:ENSMUSG00000034154.15 transcript:ENSMUST00000110808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80 description:INO80 complex subunit [Source:MGI Symbol;Acc:MGI:1915392] MASELGAGDDGSSTELAKPLYLQYLERALRLDHFLRQTSAIFNRNISSDDSEDGLDDNNP LLPESGDPLIQVKEEPPNSLLGETSGASSSGLLNPYSLNGVLQSESKSDKGNLYNFSKLK KSRKWLKSILLSDESSEADSQSEDNDDEEEELSLSREELHNMLRLHKYKKLHQNKYSKDK ELQQYQYYSAGLLSTYDPFYEQQRHLLGPKKKKFKEDKKLKAKLKKVKKKRRRDEEFSSE ESPRHHHHQTKVFAKFSHDAPPPGTKKKHLSIEQLNARRRKVWLSIVKKELPKANKQKSS ARNLFLTNSRKLAHQCMKEVRRAALQAQKNCKETLPRARRLTKEMLLYWKKYEKVEKEHR KRAEKEALEQRKLDEEMREAKRQQRKLNFLITQTELYAHFMSRKRDMGHDGIQEEILRKL EDSSTQRQIDIGGGVVVNITQEDYDSNHFKAQALKNAENAYHIHQARTRSFDEDAKESRA AALRAADKSGSGFGESYSLANPSIRAGEDIPQPTIFNGKLKGYQLKGMNWLANLYEQGIN GILADEMGLGKTVQSIALLAHLAERENIWGPFLIISPASTLNNWHQEFTRFVPKFKVLPY WGNPHDRKVIRRFWSQKTLYTQDAPFHVVITSYQLVVQDVKYFQRVKWQYMVLDEAQALK SSSSVRWKILLQFQCRNRLLLTGTPIQNTMAELWALLHFIMPTLFDSHEEFNEWFSKDIE SHAENKSAIDENQLSRLHMILKPFMLRRIKKDVENELSDKIEILTYCQLTSRQKLLYQAL KNKISIEDLLQSSMGSTQQAQNTTSSLMNLVMQFRKVCNHPELFERQETWSPFHISLKPY EISKFIYRHGQIRVFNHSRDRWLKVLLSPFAPDYIQQSLFHRKGINEGSCFSFLRFIDVS PAEMANLMLQGLLARWLALFLSLKASYRLHQLRSWAEPDGTSHQSYLRNKDFLLGVDFPL SFPNLCSCPLLKSLVFSSHCKAVSGYSDHVVHQRRSATSSLRCCLLTELPSFLCVASPRV TAVPLDSYCNDRSAEYERGVLKEGGSLAAKQCLLNGAPELATDWLSRRSQFFPEPAGGLL SIRPQNGWSFIRIPGKESLITDSGKLYALDVLLTRLKSQGHRVLIYSQMTRMIDLLELSC R >ENSMUSP00000115430.2 pep:known chromosome:GRCm38:2:32599709:32619529:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000131229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MMKLRGPLLGHCGSGTCWKEQRSAVFVILFALITILILYSSNSANEVFHYGSLRGRTRRP VNLKKWSFSSAYFPILGNKTLPSRCNQCVIITSSSHLLGTKLGPEIERAECTIRMNDAPT SGYSADVGNKTTFRVVAHSSVFRVLRKPQEFVNRTPETVFIFWGPPNKMQKPQGSLLRVI QRAGLMFPNMEAYAVSPARMQQFDDLFRGETGKDREKSHSWLSTGWFTMVIAVELCDHVH VYGMVPPDYCSQRPRLQRMPYHYYEPKGPDECVTYIQNEHSRKGNHHRFITEKRVFSSWA QLYGITFSHPSWT >ENSMUSP00000114934.1 pep:known chromosome:GRCm38:2:32599726:32618582:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000140983.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MSSNKEQRSAVFVILFALITILILYSSNSANEVFHYGSLRGRTRRPVNLKKWSFSSAYFP ILGNKTLPSRCNQCVIITSSSHLLGTKLGPEIERAECTIRMNDAPTSGYSADVGNKTTFR VVAHSSVFRVLRKPQEFVNRTPETVFIFWGPPNKMQKPQGSLLRVIQRAGLMFPNMEAYA VSPARMQQFDDLFRGETGKDREKSHSWLSTGWFTMVIAVELCDHVHVYG >ENSMUSP00000092655.3 pep:known chromosome:GRCm38:2:32607011:32620804:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000095045.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MSSNKEQRSAVFVILFALITILILYSSNSANEVFHYGSLRGRTRRPVNLKKWSFSSAYFP ILGNKTLPSRCNQCVIITSSSHLLGTKLGPEIERAECTIRMNDAPTSGYSADVGNKTTFR VVAHSSVFRVLRKPQEFVNRTPETVFIFWGPPNKMQKPQGSLLRVIQRAGLMFPNMEAYA VSPARMQQFDDLFRGETGKDREKSHSWLSTGWFTMVIAVELCDHVHVYGMVPPDYCSQRP RLQRMPYHYYEPKGPDECVTYIQNEHSRKGNHHRFITEKRVFSSWAQLYGITFSHPSWT >ENSMUSP00000092654.3 pep:known chromosome:GRCm38:2:32607026:32620806:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000095044.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MACSRPPSQCDPTTLPPGPPAGRWPLPFSRRRREMSSNKEQRSAVFVILFALITILILYS SNSANEVFHYGSLRGRTRRPVNLKKWSFSSAYFPILGNKTLPSRCNQCVIITSSSHLLGT KLGPEIERAECTIRMNDAPTSGYSADVGNKTTFRVVAHSSVFRVLRKPQEFVNRTPETVF IFWGPPNKMQKPQGSLLRVIQRAGLMFPNMEAYAVSPARMQQFDDLFRGETGKDREKSHS WLSTGWFTMVIAVELCDHVHVYGMVPPDYCSQRPRLQRMPYHYYEPKGPDECVTYIQNEH SRKGNHHRFITEKRVFSSWAQLYGITFSHPSWT >ENSMUSP00000138916.1 pep:known chromosome:GRCm38:2:32607040:32620806:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000183538.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MACSRPPSQCDPTTLPPGPPAGRWPLPFSRRRREMSSNKEQRSAVFVILFALITILILYS SNSANEVFHYGSLRGRTRRPVNLKKWSFSSAYFPILGNKTLPSRCNQCVIITSSSHLLGT KLGPEIERAECTIRMNDAPTSGYSADVGNKTTFRVVAHSSVFRVLRKPQEFVNRTPETVF IFWGPPNKMQKPQGSLLRVIQRAGLMFPNMEAYAVSPARMQQFDDLFRGKSPIPG >ENSMUSP00000141412.1 pep:known chromosome:GRCm38:2:32607043:32614969:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000126636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MNDAPTSGYSADVGNKTTFRVVAHSSVF >ENSMUSP00000080555.5 pep:known chromosome:GRCm38:2:32608464:32620804:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000081879.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MAQGNHEAWGWCDPTTLPPGPPAGRWPLPFSRRRREMSSNKEQRSAVFVILFALITILIL YSSNSANEVFHYGSLRGRTRRPVNLKKWSFSSAYFPILGNKTLPSRCNQCVIITSSSHLL GTKLGPEIERAECTIRMNDAPTSGYSADVGNKTTFRVVAHSSVFRVLRKPQEFVNRTPET VFIFWGPPNKMQKPQGSLLRVIQRAGLMFPNMEAYAVSPARMQQFDDLFRGETGKDREKS HSWLSTGWFTMVIAVELCDHVHVYGMVPPDYCSQRPRLQRMPYHYYEPKGPDECVTYIQN EHSRKGNHHRFITEKRVFSSWAQLYGITFSHPSWT >ENSMUSP00000121833.1 pep:known chromosome:GRCm38:2:32608717:32612327:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000129165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MSSNKEQRSAVFVILFALITILILYSSNSANEVFHYGSLR >ENSMUSP00000141437.1 pep:known chromosome:GRCm38:2:32609013:32614890:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000143625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MSSNKTLPSRCNQCVIITSSSHLLGTKLGPEIERAECTIRM >ENSMUSP00000118893.1 pep:known chromosome:GRCm38:2:32609043:32614910:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000128811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MSSNKEQRSAVFVILFALITILILYSSNSANEVFHYGSLRGRTRRPVNLKKWSFSSAYFP ILGNKTLPSRCNQCVIITSSSHLLGTKLGPEIERAECTIRMNDAPTSG >ENSMUSP00000108915.1 pep:known chromosome:GRCm38:2:32606961:32620804:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000113290.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MSSNKEQRSAVFVILFALITILILYSSNSANEVFHYGSLRGRTRRPVNLKKWSFSSAYFP ILGNKTLPSRCNQCVIITSSSHLLGTKLGPEIERAECTIRMNDAPTSGYSADVGNKTTFR VVAHSSVFRVLRKPQEFVNRTPETVFIFWGPPNKMQKPQGSLLRVIQRAGLMFPNMEAYA VSPARMQQFDDLFRGETGKDREKSHSWLSTGWFTMVIAVELCDHVHVYGMVPPDYCSQRP RLQRMPYHYYEPKGPDECVTYIQNEHSRKGNHHRFITEKRVFSSWAQLYGITFSHPSWT >ENSMUSP00000071983.1 pep:known chromosome:GRCm38:2:32608071:32620804:1 gene:ENSMUSG00000026811.18 transcript:ENSMUST00000072111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6galnac6 description:ST6 (alpha-N-acetyl-neuraminyl-2,3-beta-galactosyl-1,3)-N-acetylgalactosaminide alpha-2,6-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:1355316] MACSRPPSQCDPTTLPPGPPAGRWPLPFSRRRREMSSNKEQRSAVFVILFALITILILYS SNSANEVFHYGSLRGRTRRPVNLKKWSFSSAYFPILGNKTLPSRCNQCVIITSSSHLLGT KLGPEIERAECTIRMNDAPTSGYSADVGNKTTFRVVAHSSVFRVLRKPQEFVNRTPETVF IFWGPPNKMQKPQGSLLRVIQRAGLMFPNMEAYAVSPARMQQFDDLFRGETGKDREKSHS WLSTGWFTMVIAVELCDHVHVYGMVPPDYCSQRPRLQRMPYHYYEPKGPDECVTYIQNEH SRKGNHHRFITEKRVFSSWAQLYGITFSHPSWT >ENSMUSP00000106692.1 pep:known chromosome:GRCm38:2:106693269:106868356:1 gene:ENSMUSG00000016386.15 transcript:ENSMUST00000111063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped2 description:metallophosphoesterase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924265] MAHGIPSQGKVTITVDEYSSNPTQAFTHYNINQSRFQPPHVHMVDPIPYDTPKPAGHTRF VCISDTHSRTDGIQMPYGDILLHTGDFTELGLPSEVKKFNDWLGNLPYEYKIVIAGNHEL TFDKEFMADLVKQDYYRFPSVSKLKPEDFDNVQSLLTNSIYLQDSEVTVKGFRIYGAPWT PWFNGWGFNLPRGQSLLDKWNLIPEGIDILMTHGPPLGFRDWVPKELQRVGCVELLNTVQ RRIRPKLHVFGGIHEGYGIMTDGYTTYINASTCTVSFQPTNPPIIFDLPNPQGS >ENSMUSP00000016530.7 pep:known chromosome:GRCm38:2:106695601:106867202:1 gene:ENSMUSG00000016386.15 transcript:ENSMUST00000016530.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped2 description:metallophosphoesterase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924265] MAHGIPSQGKVTITVDEYSSNPTQAFTHYNINQSRFQPPHVHMVDPIPYDTPKPAGHTRF VCISDTHSRTDGIQMPYGDILLHTGDFTELGLPSEVKKFNDWLGNLPYEYKIVIAGNHEL TFDKEFMADLVKQDYYRFPSVSKLKPEDFDNVQSLLTNSIYLQDSEVTVKGFRIYGAPWT PWFNGWGFNLPRGQSLLDKWNLIPEGIDILMTHGPPLGFRDWVPKELQRVGCVELLNTVQ RRIRPKLHVFGGIHEGYGIMTDGYTTYINASTCTVSFQPTNPPIIFDLPNPQGS >ENSMUSP00000114253.1 pep:known chromosome:GRCm38:2:106695220:106744772:1 gene:ENSMUSG00000016386.15 transcript:ENSMUST00000144155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped2 description:metallophosphoesterase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924265] MAHGIPSQGKVTITVDEYSSNPTQAFTHYNINQSRFQPPHVHMVDPIPYDTPKPAGHTRF VCISDTH >ENSMUSP00000123267.1 pep:known chromosome:GRCm38:2:106695854:106867031:1 gene:ENSMUSG00000016386.15 transcript:ENSMUST00000125023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpped2 description:metallophosphoesterase domain containing 2 [Source:MGI Symbol;Acc:MGI:1924265] MAHGIPSQGKVTITVDEYSSNPTQAFTHYNINQSRFQPPHVHMVDPIPYDTPKPAGHTRF VCISDTHSRTDGIQMPYGDILLHTGDFTELGLPSEVKKFNDWLGNLPYEYKIVIAGNHEL TFDKEFMADLVKQDYYRFPSVSKLKPEDFDNVQSLLTNSIYLQDSEVTVKGFRIYGAPWT PWFNGWGFNLPRGQSLLDKWNLIPEGIDILMTHGPPLGFRDWVPKELQRVGCVELLNTVQ RRIRPKLHVFGGIHEGYGIMTDGYTTYINASTCTVSFQPTNPP >ENSMUSP00000030902.6 pep:known chromosome:GRCm38:4:154316125:154636866:-1 gene:ENSMUSG00000039410.16 transcript:ENSMUST00000030902.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm16 description:PR domain containing 16 [Source:MGI Symbol;Acc:MGI:1917923] MRSKARARKLAKSDGDVVNNMYEPDPDLLAGQSAEEETEDGILSPIPMGPPSPFPTSEDF TPKEGSPYEAPVYIPEDIPIPPDFELRESSIPGAGLGIWAKRKMEIGERFGPYVVTPRAA LKEADFGWEMLTDTEVSSQESCIKKQISEDLGSEKFCVDANQAGSGSWLKYIRVACSCDD QNLAMCQINEQIYYKVIKDIEPGEELLVHVKEGAYSLGVMAPSLDEDPTFRCDECDELFQ CRLDLRRHKKYACSSAGAQLYEGLGEELKPEGLGVGSDGQAHECKDCERMFPNKYSLEQH MIVHTEEREYKCDQCPKAFNWKSNLIRHQMSHDSGKRFECENCVKVFTDPSNLQRHIRSQ HVGARAHACPDCGKTFATSSGLKQHKHIHSTVKPFICEVCHKSYTQFSNLCRHKRMHADC RTQIKCKDCGQMFSTTSSLNKHRRFCEGKNHYTPGSIFTPGLPLTPSPMMDKTKPSPTLN HGGLGFSEYFPSRPHPGSLPFSAAPPAFPALTPGFPGIFPPSLYPRPPLLPPTPLLKSPL NHAQDAKLPSPLGNPALPLVSAVSNSSQGATAATGSEEKFDGRLEDAYAEKVKNRSPDMS DGSDFEDINTTTGTDLDTTTGTGSDLDSDLDSDRDKGKDKGKPVESKPEFGGASVPPGAM NSVAEVPAFYSQHSFFPPPEEQLLTASGAAGDSIKAIASIAEKYFGPGFMSMQEKKLGSL PYHSVFPFQFLPNFPHSLYPFTDRALAHNLLVKAEPKSPRDALKVGGPSAECPFDLTTKP KEAKPALLAPKVPLIPSSGEEQPLDLSIGSRARASQNGGGREPRKNHVYGERKPGVSEGL PKVCPAQLPQQPSLHYAKPSPFFMDPIYRVEKRKVADPVGVLKEKYLRPSPLLFHPQMSA IETMTEKLESFAAMKADSGSSLQPLPHHPFNFRSPPPTLSDPILRKGKERYTCRYCGKIF PRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCNRCFGQQ TNLDRHLKKHEHEGAPVSQHSGVLTNHLGTSASSPTSESDNHALLDEKEDSYFSEIRNFI ANSEMNQASTRMDKRPEIQDLDSNPPCPGSASAKPEDVEEEEEEELEEEDDDSLAGKSQE DTVSPTPEPQGVYEDEEDEEPPSLTMGFDHTRRCVEERGGGLLALEPTPTFGKGLDLRRA AEEAFEVKDVLNSTLDSEVLKQTLYRQAKNQAYAMMLSLSEDTPLHAPSQSSLDAWLNIT GPSSESGAFNPINHL >ENSMUSP00000101262.1 pep:known chromosome:GRCm38:4:154316125:154636866:-1 gene:ENSMUSG00000039410.16 transcript:ENSMUST00000105637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm16 description:PR domain containing 16 [Source:MGI Symbol;Acc:MGI:1917923] MRSKARARKLAKSDGDVVNNMYEPDPDLLAGQSAEEETEDGILSPIPMGPPSPFPTSEDF TPKEGSPYEAPVYIPEDIPIPPDFELRESSIPGAGLGIWAKRKMEIGERFGPYVVTPRAA LKEADFGWEMLTDTEVSSQESCIKKISEDLGSEKFCVDANQAGSGSWLKYIRVACSCDDQ NLAMCQINEQIYYKVIKDIEPGEELLVHVKEGAYSLGVMAPSLDEDPTFRCDECDELFQC RLDLRRHKKYACSSAGAQLYEGLGEELKPEGLGVGSDGQAHECKDCERMFPNKYSLEQHM IVHTEEREYKCDQCPKAFNWKSNLIRHQMSHDSGKRFECENCVKVFTDPSNLQRHIRSQH VGARAHACPDCGKTFATSSGLKQHKHIHSTVKPFICEVCHKSYTQFSNLCRHKRMHADCR TQIKCKDCGQMFSTTSSLNKHRRFCEGKNHYTPGSIFTPGLPLTPSPMMDKTKPSPTLNH GGLGFSEYFPSRPHPGSLPFSAAPPAFPALTPGFPGIFPPSLYPRPPLLPPTPLLKSPLN HAQDAKLPSPLGNPALPLVSAVSNSSQGATAATGSEEKFDGRLEDAYAEKVKNRSPDMSD GSDFEDINTTTGTDLDTTTGTGSDLDSDLDSDRDKGKDKGKPVESKPEFGGASVPPGAMN SVAEVPAFYSQHSFFPPPEEQLLTASGAAGDSIKAIASIAEKYFGPGFMSMQEKKLGSLP YHSVFPFQFLPNFPHSLYPFTDRALAHNLLVKAEPKSPRDALKVGGPSAECPFDLTTKPK EAKPALLAPKVPLIPSSGEEQPLDLSIGSRARASQNGGGREPRKNHVYGERKPGVSEGLP KVCPAQLPQQPSLHYAKPSPFFMDPIYRVEKRKVADPVGVLKEKYLRPSPLLFHPQMSAI ETMTEKLESFAAMKADSGSSLQPLPHHPFNFRSPPPTLSDPILRKGKERYTCRYCGKIFP RSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCNRCFGQQT NLDRHLKKHEHEGAPVSQHSGVLTNHLGTSASSPTSESDNHALLDEKEDSYFSEIRNFIA NSEMNQASTRMDKRPEIQDLDSNPPCPGSASAKPEDVEEEEEEELEEEDDDSLAGKSQED TVSPTPEPQGVYEDEEDEEPPSLTMGFDHTRRCVEERGGGLLALEPTPTFGKGLDLRRAA EEAFEVKDVLNSTLDSEVLKQTLYRQAKNQAYAMMLSLSEDTPLHAPSQSSLDAWLNITG PSSESGAFNPINHL >ENSMUSP00000064546.7 pep:known chromosome:GRCm38:4:154316125:154636866:-1 gene:ENSMUSG00000039410.16 transcript:ENSMUST00000070313.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm16 description:PR domain containing 16 [Source:MGI Symbol;Acc:MGI:1917923] MRSKARARKLAKSDGDVVNNMYEPDPDLLAGQSAEEETEDGILSPIPMGPPSPFPTSEDF TPKEGSPYEAPVYIPEDIPIPPDFELRESSIPGAGLGIWAKRKMEIGERFGPYVVTPRAA LKEADFGWEQMLTDTEVSSQESCIKKQISEDLGSEKFCVDANQAGSGSWLKYIRVACSCD DQNLAMCQINEQIYYKVIKDIEPGEELLVHVKEGAYSLGVMAPSLDEDPTFRCDECDELF QCRLDLRRHKKYACSSAGAQLYEGLGEELKPEGLGVGSDGQAHECKDCERMFPNKYSLEQ HMIVHTEEREYKCDQCPKAFNWKSNLIRHQMSHDSGKRFECENCVKVFTDPSNLQRHIRS QHVGARAHACPDCGKTFATSSGLKQHKHIHSTVKPFICEVCHKSYTQFSNLCRHKRMHAD CRTQIKCKDCGQMFSTTSSLNKHRRFCEGKNHYTPGSIFTPGLPLTPSPMMDKTKPSPTL NHGGLGFSEYFPSRPHPGSLPFSAAPPAFPALTPGFPGIFPPSLYPRPPLLPPTPLLKSP LNHAQDAKLPSPLGNPALPLVSAVSNSSQGATAATGSEEKFDGRLEDAYAEKVKNRSPDM SDGSDFEDINTTTGTDLDTTTGTGSDLDSDLDSDRDKGKDKGKPVESKPEFGGASVPPGA MNSVAEVPAFYSQHSFFPPPEEQLLTASGAAGDSIKAIASIAEKYFGPGFMSMQEKKLGS LPYHSVFPFQFLPNFPHSLYPFTDRALAHNLLVKAEPKSPRDALKVGGPSAECPFDLTTK PKEAKPALLAPKVPLIPSSGEEQPLDLSIGSRARASQNGGGREPRKNHVYGERKPGVSEG LPKVCPAQLPQQPSLHYAKPSPFFMDPIYSRVEKRKVADPVGVLKEKYLRPSPLLFHPQM SAIETMTEKLESFAAMKADSGSSLQPLPHHPFNFRSPPPTLSDPILRKGKERYTCRYCGK IFPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCNRCFG QQTNLDRHLKKHEHEGAPVSQHSGVLTNHLGTSASSPTSESDNHALLDEKEDSYFSEIRN FIANSEMNQASTRMDKRPEIQDLDSNPPCPGSASAKPEDVEEEEEEELEEEDDDSLAGKS QEDTVSPTPEPQGVYEDEEDEEPPSLTMGFDHTRRHMQ >ENSMUSP00000101261.1 pep:known chromosome:GRCm38:4:154316125:154636866:-1 gene:ENSMUSG00000039410.16 transcript:ENSMUST00000105636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm16 description:PR domain containing 16 [Source:MGI Symbol;Acc:MGI:1917923] MRSKARARKLAKSDGDVVNNMYEPDPDLLAGQSAEEETEDGILSPIPMGPPSPFPTSEDF TPKEGSPYEAPVYIPEDIPIPPDFELRESSIPGAGLGIWAKRKMEIGERFGPYVVTPRAA LKEADFGWEQMLTDTEVSSQESCIKKQISEDLGSEKFCVDANQAGSGSWLKYIRVACSCD DQNLAMCQINEQIYYKVIKDIEPGEELLVHVKEGAYSLGVMAPSLDEDPTFRCDECDELF QCRLDLRRHKKYACSSAGAQLYEGLGEELKPEGLGVGSDGQAHECKDCERMFPNKYSLEQ HMIVHTEEREYKCDQCPKAFNWKSNLIRHQMSHDSGKRFECENCVKVFTDPSNLQRHIRS QHVGARAHACPDCGKTFATSSGLKQHKHIHSTVKPFICEVCHKSYTQFSNLCRHKRMHAD CRTQIKCKDCGQMFSTTSSLNKHRRFCEGKNHYTPGSIFTPGLPLTPSPMMDKTKPSPTL NHGGLGFSEYFPSRPHPGSLPFSAAPPAFPALTPGFPGIFPPSLYPRPPLLPPTPLLKSP LNHAQDAKLPSPLGNPALPLVSAVSNSSQGATAATGSEEKFDGRLEDAYAEKVKNRSPDM SDGSDFEDINTTTGTDLDTTTGTGSDLDSDLDSDRDKGKDKGKPVESKPEFGGASVPPGA MNSVAEVPAFYSQHSFFPPPEEQLLTASGAAGDSIKAIASIAEKYFGPGFMSMQEKKLGS LPYHSVFPFQFLPNFPHSLYPFTDRALAHNLLVKAEPKSPRDALKVGGPSAECPFDLTTK PKEAKPALLAPKVPLIPSSGEEQPLDLSIGSRARASQNGGGREPRKNHVYGERKPGVSEG LPKVCPAQLPQQPSLHYAKPSPFFMDPIYRVEKRKVADPVGVLKEKYLRPSPLLFHPQMS AIETMTEKLESFAAMKADSGSSLQPLPHHPFNFRSPPPTLSDPILRKGKERYTCRYCGKI FPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCNRCFGQ QTNLDRHLKKHEHEGAPVSQHSGVLTNHLGTSASSPTSESDNHALLDEKEDSYFSEIRNF IANSEMNQASTRMDKRPEIQDLDSNPPCPGSASAKPEDVEEEEEEELEEEDDDSLAGKSQ EDTVSPTPEPQGVYEDEEDEEPPSLTMGFDHTRRHMQ >ENSMUSP00000101263.2 pep:known chromosome:GRCm38:4:154316125:154636866:-1 gene:ENSMUSG00000039410.16 transcript:ENSMUST00000105638.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm16 description:PR domain containing 16 [Source:MGI Symbol;Acc:MGI:1917923] MRSKARARKLAKSDGDVVNNMYEPDPDLLAGQSAEEETEDGILSPIPMGPPSPFPTSEDF TPKEGSPYEAPVYIPEDIPIPPDFELRESSIPGAGLGIWAKRKMEIGERFGPYVVTPRAA LKEADFGWEQMLTDTEVSSQESCIKKISEDLGSEKFCVDANQAGSGSWLKYIRVACSCDD QNLAMCQINEQIYYKVIKDIEPGEELLVHVKEGAYSLGVMAPSLDEDPTFRCDECDELFQ CRLDLRRHKKYACSSAGAQLYEGLGEELKPEGLGVGSDGQAHECKDCERMFPNKYSLEQH MIVHTEEREYKCDQCPKAFNWKSNLIRHQMSHDSGKRFECENCVKVFTDPSNLQRHIRSQ HVGARAHACPDCGKTFATSSGLKQHKHIHSTVKPFICEVCHKSYTQFSNLCRHKRMHADC RTQIKCKDCGQMFSTTSSLNKHRRFCEGKNHYTPGSIFTPGLPLTPSPMMDKTKPSPTLN HGGLGFSEYFPSRPHPGSLPFSAAPPAFPALTPGFPGIFPPSLYPRPPLLPPTPLLKSPL NHAQDAKLPSPLGNPALPLVSAVSNSSQGATAATGSEEKFDGRLEDAYAEKVKNRSPDMS DGSDFEDINTTTGTDLDTTTGTGSDLDSDLDSDRDKGKDKGKPVESKPEFGGASVPPGAM NSVAEVPAFYSQHSFFPPPEEQLLTASGAAGDSIKAIASIAEKYFGPGFMSMQEKKLGSL PYHSVFPFQFLPNFPHSLYPFTDRALAHNLLVKAEPKSPRDALKVGGPSAECPFDLTTKP KEAKPALLAPKVPLIPSSGEEQPLDLSIGSRARASQNGGGREPRKNHVYGERKPGVSEGL PKVCPAQLPQQPSLHYAKPSPFFMDPIYSRVEKRKVADPVGVLKEKYLRPSPLLFHPQMS AIETMTEKLESFAAMKADSGSSLQPLPHHPFNFRSPPPTLSDPILRKGKERYTCRYCGKI FPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCNRCFGQ QTNLDRHLKKHEHEGAPVSQHSGVLTNHLGTSASSPTSESDNHALLDEKEDSYFSEIRNF IANSEMNQASTRMDKRPEIQDLDSNPPCPGSASAKPEDVEEEEEEELEEEDDDSLAGKSQ EDTVSPTPEPQGVYEDEEDEEPPSLTMGFDHTRRCVEERGGGLLALEPTPTFGKGLDLRR AAEEAFEVKDVLNSTLDSEVLKQTLYRQAKNQAYAMMLSLSEDTPLHAPSQSSLDAWLNI TGPSSESGAFNPINHL >ENSMUSP00000095365.2 pep:known chromosome:GRCm38:4:154316125:154636866:-1 gene:ENSMUSG00000039410.16 transcript:ENSMUST00000097759.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm16 description:PR domain containing 16 [Source:MGI Symbol;Acc:MGI:1917923] MRSKARARKLAKSDGDVVNNMYEPDPDLLAGQSAEEETEDGILSPIPMGPPSPFPTSEDF TPKEGSPYEAPVYIPEDIPIPPDFELRESSIPGAGLGIWAKRKMEIGERFGPYVVTPRAA LKEADFGWEQMLTDTEVSSQESCIKKISEDLGSEKFCVDANQAGSGSWLKYIRVACSCDD QNLAMCQINEQIYYKVIKDIEPGEELLVHVKEGAYSLGVMAPSLDEDPTFRCDECDELFQ CRLDLRRHKKYACSSAGAQLYEGLGEELKPEGLGVGSDGQAHECKDCERMFPNKYSLEQH MIVHTEEREYKCDQCPKAFNWKSNLIRHQMSHDSGKRFECENCVKVFTDPSNLQRHIRSQ HVGARAHACPDCGKTFATSSGLKQHKHIHSTVKPFICEVCHKSYTQFSNLCRHKRMHADC RTQIKCKDCGQMFSTTSSLNKHRRFCEGKNHYTPGSIFTPGLPLTPSPMMDKTKPSPTLN HGGLGFSEYFPSRPHPGSLPFSAAPPAFPALTPGFPGIFPPSLYPRPPLLPPTPLLKSPL NHAQDAKLPSPLGNPALPLVSAVSNSSQGATAATGSEEKFDGRLEDAYAEKVKNRSPDMS DGSDFEDINTTTGTDLDTTTGTGSDLDSDLDSDRDKGKDKGKPVESKPEFGGASVPPGAM NSVAEVPAFYSQHSFFPPPEEQLLTASGAAGDSIKAIASIAEKYFGPGFMSMQEKKLGSL PYHSVFPFQFLPNFPHSLYPFTDRALAHNLLVKAEPKSPRDALKVGGPSAECPFDLTTKP KEAKPALLAPKVPLIPSSGEEQPLDLSIGSRARASQNGGGREPRKNHVYGERKPGVSEGL PKVCPAQLPQQPSLHYAKPSPFFMDPIYSRVEKRKVADPVGVLKEKYLRPSPLLFHPQMS AIETMTEKLESFAAMKADSGSSLQPLPHHPFNFRSPPPTLSDPILRKGKERYTCRYCGKI FPRSANLTRHLRTHTGEQPYRCKYCDRSFSISSNLQRHVRNIHNKEKPFKCHLCNRCFGQ QTNLDRHLKKHEHEGAPVSQHSGVLTNHLGTSASSPTSESDNHALLDEKEDSYFSEIRNF IANSEMNQASTRMDKRPEIQDLDSNPPCPGSASAKPEDVEEEEEEELEEEDDDSLAGKSQ EDTVSPTPEPQGVYEDEEDEEPPSLTMGFDHTRRCVEERGGGLLALEPTPTFGKGLDLRR AAEEAFEVKDVLNSTLDSEVLKQTLYRQAKNQSSLDAWLNITGPSSESGAFNPINHL >ENSMUSP00000117598.1 pep:known chromosome:GRCm38:4:154355277:154636873:-1 gene:ENSMUSG00000039410.16 transcript:ENSMUST00000124771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm16 description:PR domain containing 16 [Source:MGI Symbol;Acc:MGI:1917923] MRSKARARKLAKSDGDVVNNMYEPDPDLLAGQSAEEETEDGILSPIPMGPPSPFPTSEDF TPKEGSPYEAPVYIPEDIPIPPDFELRESSIPGAGLGIWAKRKMEIGERFGPYVVTPRAA LKEADFGWEMLTDTEVSSQESCIKKISEDLGSEKFCVDANQAGSGSWLKYIRVACSCDDQ NLAMCQINEQIYYKVIKDIEPGEELLVHVKEGAY >ENSMUSP00000040037.6 pep:known chromosome:GRCm38:7:121642021:121707253:-1 gene:ENSMUSG00000063317.7 transcript:ENSMUST00000046929.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp31 description:ubiquitin specific peptidase 31 [Source:MGI Symbol;Acc:MGI:1923429] MSKVTAPGPGPPVAAGGKEKRSFSKRLFRSGRAGGGGGAGGPGPAAPSSPSSARSVGSFM SRVLKTLSTLSHLSSEGAAPDRGGLRSCFPPGPASAPTPPPCPPPPASPAPPACASEPVP GVAGLRNHGNTCFMNATLQCLSNTELFAEYLALGQYRAGRPEPEPESEQPAGRGPHGQGE VTEQLAHLVRALWTLEYTPQHSRDFKSIVSKNALQYRGNSQHDAQEFLLWLLDRVHEDLN HAVKQSGQPPLKPPSETDMMPEGPFPVCSTFVQELFQAQYRSSLTCPHCQKQSNTFDPFL CISLPIPLPHTRPLYVTVVYQGKCSHCMRIGVAVPLSGTVARLREAVSMETKIPTDQIVL TEMYYDGFHRSFSDTDDLETVHESDCIFAFETPEIFRPEGILSQRGIHLNNNLNHLKFGL DHHRLSSPAPTAAKQGRVDLPTRVASDKIVLLVCNRACTGQQGKRFGLPFVLHLEKTVAW DLLQKEILEKMKYFLRPTVSIQVCPFSLRVVSVVGITYLLPQEEQPLCHPTVERALKSCG PGGTAHVKLVVEWDKETKDFLFVNTEDEYIPDAESVRLQKEQHHQPQTCTLSQCFQLYTK EERLAPDDAWRCPHCKQLQQGSITLSLWTLPDVLIIHLKRFRQEGDRRMKLQNMVKFPLT GLDMTPHVVKRSQSSWSLPSHWSPWRRPYGLGRDPEDYIYDLYAVCNHHGTMQGGHYTAY CKNSVDGLWYCFDDSDVQQLSEDEVCTQTAYILFYQRRTAIPSWSANSSVAGSTSSSLCE HWVSRLPGSKQASVTSAASSRRTSLASLSESVEMTGERSEDDGGFSTRPFVRSVQRQSLS SRSSVTSPLAVNENCMRPSWSLSAKLQMRSSSPSRFSGDSPIHGSASTLERIGEAGDDKV SISCFGSLRNLSGSHQEPSDSHNRREHKAVGRAPLAVMEGVFKDDSDPGKLNSSVVDTPS KNRAQGDHLPPVSDPFDNNNQIAYVDQSDSVDSSPVKEVKPPSHSDSLTKKPESTTKSSP SSKGTSEPEKNMRKGRPALASQESSLSSPSPSSPVPVKVSMKPARCRSKADSSRTSGRHS SSSSTQPKKESSPRSQESMSSPSPQKQKSASAFTYSSSSISAKKAPSPVTRGPFPSGKSR TSDRSLSREGSRQSLGSDRASVTSTSTSKPSSPRVNQARAGDNRVDGKHVRSSSMASLRS PSTSVRSGLKRDSKSEDKGLSFFKSALRQKETRRSTDLGKTTLLSKKAGGSSVKSVSKNT ADDKTEKGHQPPGSQQPNTNAVGKEQLVSKDPAKHSLLSARRSKSSQLDSGAPLSPSSRS TTEKGSKKLSSSMQTSARPSQKPQ >ENSMUSP00000020920.3 pep:known chromosome:GRCm38:11:109225355:109298129:-1 gene:ENSMUSG00000020599.13 transcript:ENSMUST00000020920.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs9 description:regulator of G-protein signaling 9 [Source:MGI Symbol;Acc:MGI:1338824] MTIRHQGQQYRPRMAFLQKIEALVKDMQNPETGVRMHNQRVLVTSVPHAMTGGDVLQWIT QRLWISNLEAQNLGNFIVKYGYIYPLQDPKNLILKPDSSLYRFQTPYFWPTQQWPAEDTD YAIYLAKRNIKKKGILEEYEKENYDFLNKKINYKWDFVIMQAKEQYRTGKERNKADRYAL DCQEKAYWLVHRSPPGMNNVLDYGLDRVTNPNEVKKQTVTAVRKEIMYYQQALMRSTVKS SVSLGGIVKYSEQFSSNDAIMSGCLPSNPWITDDTQFWDLNAKLVEIPTKMRVERWAFNF SELIRDPKGRQSFQYFLKKEFSGENLGFWEACEDLKYGDQSKVKEKAEEIYKLFLAPGAR RWINIDGKTMDITVKGLRHPHRYVLDAAQTHIYMLMKKDSYARYLKSPIYKEMLAKAIEP QETTKRSSTLPFMRRHLRSSPSPVILRQLEEEEKAREAANTVDITQPGQHLAPSPHLAVY TGTCVPPSPSSPFSPSCRSPRKPFASPSRFIRRPSIAICPSPSRVALEGSSGLEPKGEAS WSGANSGPSVTENREPSADHSRPQPRAPPKARAALSLGRFLRRGCLASPVFARLSPKCPS VSHGKVQPLGDMGQQLPRLKPKKVANFFQIKMEMPTDSGTCLMDSDDPRAGESGDQTTEK EVICPWESLAEGKAG >ENSMUSP00000099351.2 pep:known chromosome:GRCm38:11:109225361:109250151:-1 gene:ENSMUSG00000020599.13 transcript:ENSMUST00000103062.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs9 description:regulator of G-protein signaling 9 [Source:MGI Symbol;Acc:MGI:1338824] MYYQQALMRSTVKSSVSLGGIVKYSEQFSSNDAIMSGCLPSNPWITDDTQFWDLNAKLVE IPTKMRVERWAFNFSELIRDPKGRQSFQYFLKKEFSGENLGFWEACEDLKYGDQSKVKEK AEEIYKLFLAPGARRWINIDGKTMDITVKGLRHPHRYVLDAAQTHIYMLMKKDSYARYLK SPIYKEMLAKAIEPQETTKRSSTLPFMRRHLRSSPSPVILRQLEEEEKAREAANTVDITQ PGQHLAPSPHLAVYTGTCVPPSPSSPFSPSCRSPRKPFASPSRFIRRPSIAICPSPSRVA LEGSSGLEPKGEASWSGANSGPSVTENREPSADHSRPQPRAPPKARAALSLGRFLRRGCL ASPVFARLSPKCPSVSHGKVQPLGDMGQQLPRLKPKKVANFFQIKMEMPTDSGTCLMDSD DPRAGESGDQTTEKEVICPWESLAEGKAG >ENSMUSP00000102317.1 pep:known chromosome:GRCm38:11:109235057:109298066:-1 gene:ENSMUSG00000020599.13 transcript:ENSMUST00000106706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs9 description:regulator of G-protein signaling 9 [Source:MGI Symbol;Acc:MGI:1338824] MTIRHQGQQYRPRMAFLQKIEALVKDMQNPETGVRMHNQRVLVTSVPHAMTGGDVLQWIT QRLWISNLEAQNLGNFIVKYGYIYPLQDPKNLILKPDSSLYRFQTPYFWPTQQWPAEDTD YAIYLAKRNIKKKGILEEYEKENYDFLNKKINYKWDFVIMQAKEQYRTGKERNKADRYAL DCQEKAYWLVHRSPPGMNNVLDYGLDRVTNPNEVKKQTVTAVRKEIMYYQQALMRSTVKS SVSLGGIVKYSEQFSSNDAIMSGCLPSNPWITDDTQFWDLNAKLVEIPTKMRVERWAFNF SELIRDPKGRQSFQYFLKKEFSGENLGFWEACEDLKYGDQSKVKEKAEEIYKLFLAPGAR RWINIDGKTMDITVKGLRHPHRYVLDAAQTHIYMLMKKDSYARYLKSPIYKEMLAKAIEP QETTKRSSTLPFMRRHLRSSPSPVILRQLEEEEKAREAANTVDITQVMSKLDRRSQLKKE LPPK >ENSMUSP00000102315.2 pep:known chromosome:GRCm38:11:109256002:109298106:-1 gene:ENSMUSG00000020599.13 transcript:ENSMUST00000106704.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs9 description:regulator of G-protein signaling 9 [Source:MGI Symbol;Acc:MGI:1338824] MTIRHQGQQYRPRMAFLQKIEALVKDMQNPETGVRMHNQRVLVTSVPHAMTGGDVLQWIT QRLWISNLEAQNLGNFIVKYGYIYPLQDPKNLILKPDSSLYRFQTPYFWPTQQWPAEDTD YAIYLAKRNIKKKGILEEYEKENYDFLNKKINYKWDFVIMQAKEQYRTGKERNKADRYAL DCQEKAYWLVHRSPPGMNNVLDYGLDRVTNPNEVKVNQLSFSCVCTLTIVEQ >ENSMUSP00000077226.6 pep:known chromosome:GRCm38:4:134923592:134927671:1 gene:ENSMUSG00000037266.18 transcript:ENSMUST00000078084.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrp1 description:arginine/serine rich protein 1 [Source:MGI Symbol;Acc:MGI:106498] MSSAAMSKYVNDMWPGSPQEKASPSTSGSGRSSRLSSRSRSRSSSRSSRRDSRSSSRSSS RSHSRPRRSRRSRSRSRRRHQRKYRRYSRSYSRSRSRSRSHRYHRDSRYERPRRYYKSPS PYRSRSRSRSRGRSQHRWSYYAITRGRRYYGFGRTVYPEDRPRWRERSRTRSRSRSRTPF RLSEKDRMELLEIAKANAAKALGTANFDLPASLRAKEASQGTAVSSSGPKVEHSEKQTED ATKNTSEKSSTQRNIAFSSNNSVAKPLQKTTKAAVEEKSSGSPKIDKKKSPYGLWIPV >ENSMUSP00000037497.3 pep:known chromosome:GRCm38:1:87125008:87127912:1 gene:ENSMUSG00000036500.4 transcript:ENSMUST00000044878.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akp3 description:alkaline phosphatase 3, intestine, not Mn requiring [Source:MGI Symbol;Acc:MGI:87984] MQGTWVLLLLGLRLQLSLSVIPVEEENPAFWNKKAAEALDAAKKLQPIQTSAKNLIIFLG DGMGVPTVTATRILKGQLEGHLGPETPLAMDRFPYMALSKTYSVDRQVPDSASTATAYLC GVKTNYKTIGVSAAARFDQCNTTFGNEVFSVMYRAKKAGKSVGVVTTTRVQHASPSGTYV HTVNRNWYGDADMPASALREGCKDIATQLISNMDINVILGGGRKYMFPAGTPDPEYPNDA NETGTRLDGRNLVQEWLSKHQGSQYVWNREQLIQKAQDPSVTYLMGLFEPVDTKFDIQRD PLMDPSLKDMTEAAVKVLSRNPKGFYLFVEGGRIDRGHHLGTAYLALTEAVMFDLAIERA SQLTSERDTLTIVTADHSHVFSFGGYTLRGTSIFGLAPLNALDGKPYTSILYGNGPGYVG TGERPNVTAAESSGSSYRQQAAVPVKSETHGGEDVAIFARGPQAHLLHGVQEQNYIAHVM AFAGCLEPYTDCGLAPPADESQTTTTTRQTTITTTTTTTTTTTTPVHNSARSLGPATAPL ALALLAGMLMLLLGAPAES >ENSMUSP00000076708.2 pep:known chromosome:GRCm38:6:83057844:83067318:1 gene:ENSMUSG00000009145.6 transcript:ENSMUST00000077502.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dqx1 description:DEAQ RNA-dependent ATPase [Source:MGI Symbol;Acc:MGI:2136388] MASGLAEESELSPGESELAVNPFDGLPFSSCYYELLEQRRALPIWAARFLFLEHLESSPT GVVLVSGDPGSGKSTQIPQWCAEFALARGFQTGQVTVTQPYPLAAMSLASRVADEMDLTL GHEIGYSIPQEDCTGPNTMLRFCWDRLFLQEVASTRGPGAWSVLILDEAQERSVASDLLQ GLLRDTRLRNLPGDPRVVVVTDPALEPKFQAFWGNSPIVRVPREPGGDPTLAYKDTVPTD LVEAACQAVLELCQQEEAPGDVLVYLPSEEEISLCCESLSGEMGTLAVPGPPPRVLPLHP GCAQAIQTVYEDTDVSVRKIVVTHWLADFSFSLPSIQHVIDSGLELRSVYNPRIRAESQV LRPISKCQAEARLLRAKGFPPGSCLRLYSKSTLELEAPPLPHPKVCEENLSSLVLLLMRK QIAEPGECHFLDRPAPEALMRALEDLDYLAALNDDGELSDLGVILSEFPLPPELAKALLA SCEFNCVDEMLTLAAMLTAAPGFTRPPLSAGEAALRRALEHADGDHSSLIQVYEAFVQSG ADEAWCQARGLNWESLCQARKLRAELVELMQRIELPLSQPAFGSEQNRRDLQKALLSGYF LKVARDTDGTGNYLLLTHKHVAQLSPYCSYRNRRTPAQPPTWVLYHSFSISKDNCLCIVS EIQPEMLVELAPPYFLSNLPPSESRDLLNQLREGTAEPPAAATETSSPQEYGDGCVLQ >ENSMUSP00000144697.1 pep:known chromosome:GRCm38:6:83058097:83059988:1 gene:ENSMUSG00000009145.6 transcript:ENSMUST00000204803.1 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Dqx1 description:DEAQ RNA-dependent ATPase [Source:MGI Symbol;Acc:MGI:2136388] MASGLAEESELSPGESELAVNPFDGLPFSSCYYELLEQRRALPIWAARFLFLEHLESSPT GVVLVSGDPGSGKSTQIPQWCAEFALARGFQTGQVTVTQPYPLAAMSLASRVADEMDLTL GHEIGYSIPQEDCTGPNTMLRFCWDRLFLQEVASTRGPGAWSVLILDEAQERSVASDLLQ GLLRDTRLRNLPGDPRVVVVTDPALEPKFQAFWGNSPIVRVPREPGGDPTLAYKDTVPTD LVEAACQAVLELCQQEEAPGDVLVYLPSEEVNERKKQIWEAFLCCFPVPEATYLRNSGSV YSLLKTAQGNQQLQNKEICCSLFK >ENSMUSP00000140566.1 pep:known chromosome:GRCm38:1:75236406:75245688:1 gene:ENSMUSG00000026203.16 transcript:ENSMUST00000188931.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb2 description:DnaJ heat shock protein family (Hsp40) member B2 [Source:MGI Symbol;Acc:MGI:1928739] MASYYEILDVPRSASPDDIKKAYRKKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDKH KREIYDRYGREGLTGAGSGPSRSETGGAGPGFTFTFRSPEEVFREFFGSGDPFSELFDDL GVFSELQNQGPRLTGPFFTFSSSFPANSDFSSSSFSFSPGAGAFRSVSTSTTFVQGRRIT TRRIMENGQERVEVEEDGQLKSVSINGVPDDLALGLELSRREQQPSVAPGLGVMQVRPTS LSRPPDHDLSEDEDLQLAMAYSLSEMEAAGQKPAGGRGAQQRQHGQPKAQHRDLDVGGTH KSVRGEAAKLSPSSEEKASRCHIL >ENSMUSP00000140588.1 pep:known chromosome:GRCm38:1:75236469:75245692:1 gene:ENSMUSG00000026203.16 transcript:ENSMUST00000188346.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb2 description:DnaJ heat shock protein family (Hsp40) member B2 [Source:MGI Symbol;Acc:MGI:1928739] MASYYEILDVPRSASPDDIKKAYRKKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDKH KREIYDRYGREGLTGAGSGPSRSETGGAGPGFTFTFRSPEEVFREFFGSGDPFSELFDDL GVFSELQNQGPRLTGPFFTFSSSFPANSDFSSSSFSFSPGAGAFRSVSTSTTFVQGRRIT TRRIMENGQERVEVEEDGQLKSVSINGVPDDLALGLELSRREQQPSVAPGLGVMQVRPTS LSRPPDHDLSEDEDLQLAMAYSLSEMEAAGQKPADVF >ENSMUSP00000140634.1 pep:known chromosome:GRCm38:1:75236487:75245690:1 gene:ENSMUSG00000026203.16 transcript:ENSMUST00000188290.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb2 description:DnaJ heat shock protein family (Hsp40) member B2 [Source:MGI Symbol;Acc:MGI:1928739] MASYYEILDVPRSASPDDIKKAYRKKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDKH KREIYDRYGREGLTGAGSGPSRSETGGAGPGFTFTFRSPEEVFREFFGSGDPFSELFDDL GVFSELQNQGPRLTGPFFTFSSSFPANSDFSSSSFSFSPGAGAFRSVSTSTTFVQGRRIT TRRIMENGQERVEVEEDGQLKSVSINGVPDDLALGLELSRREQQPSVAPGLGVMQVRPTS LSRPPDHDLSEDEDLQLAMAYSLSEMEAAGQKPADVF >ENSMUSP00000139982.1 pep:known chromosome:GRCm38:1:75236513:75245055:1 gene:ENSMUSG00000026203.16 transcript:ENSMUST00000185403.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajb2 description:DnaJ heat shock protein family (Hsp40) member B2 [Source:MGI Symbol;Acc:MGI:1928739] MASYYEILDVPRSASPDDIKKAYRKKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDMA QSGCYEHVCHRDEPAAETMPPWIPCRLPSFIF >ENSMUSP00000140637.1 pep:known chromosome:GRCm38:1:75236556:75245690:1 gene:ENSMUSG00000026203.16 transcript:ENSMUST00000187058.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb2 description:DnaJ heat shock protein family (Hsp40) member B2 [Source:MGI Symbol;Acc:MGI:1928739] MASYYEILDVPRSASPDDIKKAYRKKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDRS GPSRSETGGAGPGFTFTFRSPEEVFREFFGSGDPFSELFDDLGVFSELQNQGPRLTGPFF TFSSSFPANSDFSSSSFSFSPGAGAFRSVSTSTTFVQGRRITTRRIMENGQERVEVEEDG QLKSVSINGVPDDLALGLELSRREQQPSVAPGLGVMQVRPTSLSRPPDHDLSEDEDLQLA MAYSLSEMEAAGQKPADVF >ENSMUSP00000140456.1 pep:known chromosome:GRCm38:1:75236614:75239333:1 gene:ENSMUSG00000026203.16 transcript:ENSMUST00000185654.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb2 description:DnaJ heat shock protein family (Hsp40) member B2 [Source:MGI Symbol;Acc:MGI:1928739] MASYYEILDVPRSASPDDIKKAYRKKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDKH KREIYDRYGREGLTGA >ENSMUSP00000139573.1 pep:known chromosome:GRCm38:1:75241409:75244927:1 gene:ENSMUSG00000026203.16 transcript:ENSMUST00000190445.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajb2 description:DnaJ heat shock protein family (Hsp40) member B2 [Source:MGI Symbol;Acc:MGI:1928739] XIMENGQERVEVEEDGQLKSVSINGVPDDLALGLELSRREQQPSVAPGLGVMQVRPTSLS RPPDHDLSEDEDLQLAMAYSLSEMEAAGQKPAAQEDAGASGSIRSSGEAS >ENSMUSP00000052520.7 pep:known chromosome:GRCm38:1:75236465:75244804:1 gene:ENSMUSG00000026203.16 transcript:ENSMUST00000055223.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb2 description:DnaJ heat shock protein family (Hsp40) member B2 [Source:MGI Symbol;Acc:MGI:1928739] MASYYEILDVPRSASPDDIKKAYRKKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDRS GPSRSETGGAGPGFTFTFRSPEEVFREFFGSGDPFSELFDDLGVFSELQNQGPRLTGPFF TFSSSFPANSDFSSSSFSFSPGAGAFRSVSTSTTFVQGRRITTRRIMENGQERVEVEEDG QLKSVSINGVPDDLALGLELSRREQQPSVAPGLGVMQVRPTSLSRPPDHDLSEDEDLQLA MAYSLSEMEAAGQKPADVF >ENSMUSP00000080796.6 pep:known chromosome:GRCm38:1:75236465:75244804:1 gene:ENSMUSG00000026203.16 transcript:ENSMUST00000082158.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb2 description:DnaJ heat shock protein family (Hsp40) member B2 [Source:MGI Symbol;Acc:MGI:1928739] MASYYEILDVPRSASPDDIKKAYRKKALQWHPDKNPDNKEFAEKKFKEVAEAYEVLSDKH KREIYDRYGREGLTGAGSGPSRSETGGAGPGFTFTFRSPEEVFREFFGSGDPFSELFDDL GVFSELQNQGPRLTGPFFTFSSSFPANSDFSSSSFSFSPGAGAFRSVSTSTTFVQGRRIT TRRIMENGQERVEVEEDGQLKSVSINGVPDDLALGLELSRREQQPSVAPGLGVMQVRPTS LSRPPDHDLSEDEDLQLAMAYSLSEMEAAGQKPADVF >ENSMUSP00000028584.7 pep:known chromosome:GRCm38:2:101886253:101901646:1 gene:ENSMUSG00000027163.13 transcript:ENSMUST00000028584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd9 description:COMM domain containing 9 [Source:MGI Symbol;Acc:MGI:1923751] MAALTAEHFVALQSLLKASSKDVVRQLCQESFSSSCLDSESLLDKTCSSLSVPQGEAAQL LQALHHFTRLVAFRDLSSAEAILALFPENFHQNLKNLLTKIIVEHISTWRAEAQANQISL PRLVDLDWRVDIKTSSDNISRMAVPTCLLQMKIQEDPSLCGEKPSISAVTMELSKETLDT MLDGLGRIRDQLSAVANK >ENSMUSP00000139527.1 pep:known chromosome:GRCm38:Y:3294976:3306449:-1 gene:ENSMUSG00000093918.7 transcript:ENSMUST00000189592.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21677 description:predicted gene, 21677 [Source:MGI Symbol;Acc:MGI:5435032] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000136939.1 pep:known chromosome:GRCm38:Y:3295333:3303466:-1 gene:ENSMUSG00000093918.7 transcript:ENSMUST00000179508.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21677 description:predicted gene, 21677 [Source:MGI Symbol;Acc:MGI:5435032] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000133420.1 pep:known chromosome:GRCm38:6:83068324:83070225:-1 gene:ENSMUSG00000068327.5 transcript:ENSMUST00000174674.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlx2 description:T cell leukemia, homeobox 2 [Source:MGI Symbol;Acc:MGI:1350935] MEPAVLAAHHLPHHEPISFGIDQILSGPEPPGGGLGPGQSGQSHGESAAFSSGFHGASGY APAGSLASLPRGSGVGPGGVIRVPAHRPLPVPPPSGAAPAVPGPSGLGGAGGLAGLTFPW MDSGRRFAKDRLTAALSPFSGTRRIGHPYQNRTPPKRKKPRTSFSRSQVLELERRFLRQK YLASAERAALAKALRMTDAQVKTWFQNRRTKWR >ENSMUSP00000087069.3 pep:known chromosome:GRCm38:6:83068591:83070293:-1 gene:ENSMUSG00000068327.5 transcript:ENSMUST00000089641.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlx2 description:T cell leukemia, homeobox 2 [Source:MGI Symbol;Acc:MGI:1350935] MEPAVLAAHHLPHHEPISFGIDQILSGPEPPGGGLGPGQSGQSHGESAAFSSGFHGASGY APAGSLASLPRGSGVGPGGVIRVPAHRPLPVPPPSGAAPAVPGPSGLGGAGGLAGLTFPW MDSGRRFAKDRLTAALSPFSGTRRIGHPYQNRTPPKRKKPRTSFSRSQVLELERRFLRQK YLASAERAALAKALRMTDAQVKTWFQNRRTKWRRQTAEEREAERHRAGRLLLHLQQDALP RPLRPPLPPDPLCLHNSSLFALQNLQPWAEDNKVASVSGLASVV >ENSMUSP00000139396.1 pep:known chromosome:GRCm38:9:101074101:101101785:1 gene:ENSMUSG00000066415.4 transcript:ENSMUST00000189616.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msl2 description:male-specific lethal 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1925103] MNPVNATALYISASRLVLNYDPGDPKAFTEINRLLPYFRQSLSCCVCEGGWYNLDAPPAR DLSAPGSTTDGLKDICFKILLHPPTPPANTMSAKLVKARK >ENSMUSP00000082270.3 pep:known chromosome:GRCm38:9:101074762:101104800:1 gene:ENSMUSG00000066415.4 transcript:ENSMUST00000085177.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msl2 description:male-specific lethal 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1925103] MNPVNATALYISASRLVLNYDPGDPKAFTEINRLLPYFRQSLSCCVCGHLLQDPIAPTNS TCQHYVCKTCKGKKMMMKPSCSWCKDYEQFEENKQLSILVNCYKKLCEYITQTTLARDII EAVDCSSDILALLNDGSLFCEETEKPSDSSFTLCLTHSPLPSTSEPTADPQASLSPMSES TLSIAIGSSVINGLPTYNGLSIDRFGINIPSPEHPNTIDVCNTVDIKTEDLSDNLPPVCD TVATDLCSTGIDICSFSEDIKPGDSLLLSVEEVLRSLETVSNTEVCCPNLQPNLEATVSN GPFLQLSSQSLSHNVFMSTSPALHGLSCTAATPKVAKLNRKRSRSESDSEKVQPLPISTI IRGPTLGASAPVTVKRESKISLQPIATVPNGGTTPKISKTVLLSTKSMKKSHEHGSKKSH SKSKPGILKKDKAVKEKMPSHHFMPGSPTKTVYKKPQEKKGCKCGRATQNPSVLTCRGQR CPCYSNRKACLDCICRGCQNSYMANGEKKLEAFAVPEKALEQTRLTLGINVTSIAVRNAS TSTSVINVTGSPVTTFLAASTHDDKSLDEAIDMRFDC >ENSMUSP00000139784.1 pep:known chromosome:GRCm38:Y:3326750:3345329:-1 gene:ENSMUSG00000094511.7 transcript:ENSMUST00000186047.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21693 description:predicted gene, 21693 [Source:MGI Symbol;Acc:MGI:5435048] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRIYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000136819.1 pep:known chromosome:GRCm38:Y:3327107:3335010:-1 gene:ENSMUSG00000094511.7 transcript:ENSMUST00000178531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21693 description:predicted gene, 21693 [Source:MGI Symbol;Acc:MGI:5435048] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRIYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000120921.1 pep:known chromosome:GRCm38:11:102041509:102050529:1 gene:ENSMUSG00000017309.11 transcript:ENSMUST00000123895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300lg description:CD300 antigen like family member G [Source:MGI Symbol;Acc:MGI:1289168] MRPLVLLWGCLVLPGYEALKGPKEISGFEGDTVSLRCTYVEKMKEHRKYWCRQGGILVSR CGDIVYANQDQEVTRGRMSIRDSPQELSMTVIMRDLTLKDSGKYWCGIDRLGRDESFEVT LIVFPGKRDNAVPAGTCCPSSPTPSFQPLTPTRSLQPKAKAWQTQLPEPRSSRPVVWLPL TTPQDSRAVASSVSKPSVSIPMVRMMAPVLILLSLLLAAGLIAFGSHMLRWRKKAWLATE TQKNEKVYLETS >ENSMUSP00000017453.5 pep:known chromosome:GRCm38:11:102041509:102055620:1 gene:ENSMUSG00000017309.11 transcript:ENSMUST00000017453.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300lg description:CD300 antigen like family member G [Source:MGI Symbol;Acc:MGI:1289168] MRPLVLLWGCLVLPGYEALKGPKEISGFEGDTVSLRCTYVEKMKEHRKYWCRQGGILVSR CGDIVYANQDQEVTRGRMSIRDSPQELSMTVIMRDLTLKDSGKYWCGIDRLGRDESFEVT LIVFPGSSRPVVWLPLTTPQDSRAVASSVSKPSVSIPMVRMMAPVLILLSLLLAAGLIAF GSHMLRWRKKAWLATETQKNEKVYLETSLPGNGWTTEDSTIDLAVTPECLRNLNPSAVPS PETQNLSQSTEEEEAARSLDDDKEDVMAPPPLQMSAEELAFSEFISV >ENSMUSP00000102781.2 pep:known chromosome:GRCm38:11:102041509:102055620:1 gene:ENSMUSG00000017309.11 transcript:ENSMUST00000107163.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300lg description:CD300 antigen like family member G [Source:MGI Symbol;Acc:MGI:1289168] MRPLVLLWGCLVLPGYEALKGPKEISGFEGDTVSLRCTYVEKMKEHRKYWCRQGGILVSR CGDIVYANQDQEVTRGRMSIRDSPQELSMTVIMRDLTLKDSGKYWCGIDRLGRDESFEVT LIVFPASPGLHPTVVTAKQGKTGVKAPVFTEVAPAWSTGTSQVPPGISPYAGSSPHTATP ARSAGTSQVPPGISPYAGRSPHTATSPHAGSSRPVVWLPLTTPQDSRAVASSVSKPSVSI PMVRMMAPVLILLSLLLAAGLIAFGSHMLRWRKKAWLATETQKNEKVYLETSLPGNGWTT EDSTIDLAVTPECLRNLNPSAVPSPETQNLSQSTEEEEAARSLDDDKEDVMAPPPLQMSA EELAFSEFISV >ENSMUSP00000102782.2 pep:known chromosome:GRCm38:11:102041509:102055620:1 gene:ENSMUSG00000017309.11 transcript:ENSMUST00000107164.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd300lg description:CD300 antigen like family member G [Source:MGI Symbol;Acc:MGI:1289168] MRPLVLLWGCLVLPGYEALKGPKEISGFEGDTVSLRCTYVEKMKEHRKYWCRQGGILVSR CGDIVYANQDQEVTRGRMSIRDSPQELSMTVIMRDLTLKDSGKYWCGIDRLGRDESFEVT LIVFPGKRDNAVPAGTCCPSSPTPSFQPLTPTRSLQPKAKAWQTQLPEPTSPGLHPTVVT AKQGKTGVKAPVFTEVAPAWSTGTSQVPPGISPYAGSSPHTATPARSAGTSQVPPGISPY AGRSPHTATSPHAGSSRPVVWLPLTTPQDSRAVASSVSKPSVSIPMVRMMAPVLILLSLL LAAGLIAFGSHMLRWRKKAWLATETQKNEKVYLETSLPGNGWTTEDSTIDLAVTPECLRN LNPSAVPSPETQNLSQSTEEEEAARSLDDDKEDVMAPPPLQMSAEELAFSEFISV >ENSMUSP00000125182.1 pep:known chromosome:GRCm38:9:111561437:111690225:-1 gene:ENSMUSG00000032502.8 transcript:ENSMUST00000161995.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stac description:src homology three (SH3) and cysteine rich domain [Source:MGI Symbol;Acc:MGI:1201400] MIPPSGAREDSGDGLTGEATGTEQPPSPASTSSLESKEHMLSTDCAAEPVR >ENSMUSP00000035083.7 pep:known chromosome:GRCm38:9:111561437:111690348:-1 gene:ENSMUSG00000032502.8 transcript:ENSMUST00000035083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stac description:src homology three (SH3) and cysteine rich domain [Source:MGI Symbol;Acc:MGI:1201400] MIPPSGAREDSGDGLTGEATGTEQPPSPASTSSLESKLQKLKRSLSFKTKSLRSKSADNF FPRTNSDVKPQADLLAKASPGPSPIAIPGSPASMPTKAGLHPGSNSKLHAFQEHVFKKPT FCDVCNHMIVGTHAKHGLRCGACKMSIHHKCADGLAPQRCMGKLPKGFRRYYSSPLLIHE QFGCIKEVMPIACGNKVDPVYEALRFGTSLAQRTKKGGSGSGSDSPPRTSTSELVDVPEE ADGPGDGSDMRTRSNSVFTYPENGMDDFRDQMKTTNHQGPLSKDPLQMNTYVALYRFIPQ ENEDLEMRPGDMITLLEDSNEDWWKGKIQDRVGFFPANFVQRVEEHEKIYRCVRTFIGCK DQGQITLKENQICVTSEEEQDGFIRVLSGKKRGLVPLDVLVDV >ENSMUSP00000105647.1 pep:known chromosome:GRCm38:12:102394084:102423769:-1 gene:ENSMUSG00000021190.14 transcript:ENSMUST00000110020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgmn description:legumain [Source:MGI Symbol;Acc:MGI:1330838] MTWRVAVLLSLVLGAGAVPVGVDDPEDGGKHWVVIVAGSNGWYNYRHQADACHAYQIIHR NGIPDEQIIVMMYDDIANSEENPTPGVVINRPNGTDVYKGVLKDYTGEDVTPENFLAVLR GDAEAVKGKGSGKVLKSGPRDHVFIYFTDHGATGILVFPNDDLHVKDLNKTIRYMYEHKM YQKMVFYIEACESGSMMNHLPDDINVYATTAANPKESSYACYYDEERGTYLGDWYSVNWM EDSDVEDLTKETLHKQYHLVKSHTNTSHVMQYGNKSISTMKVMQFQGMKHRASSPISLPP VTHLDLTPSPDVPLTILKRKLLRTNDVKESQNLIGQIQQFLDARHVIEKSVHKIVSLLAG FGETAERHLSERTMLTAHDCYQEAVTHFRTHCFNWHSVTYEHALRYLYVLANLCEAPYPI DRIEMAMDKVCLSHY >ENSMUSP00000021607.8 pep:known chromosome:GRCm38:12:102394098:102439813:-1 gene:ENSMUSG00000021190.14 transcript:ENSMUST00000021607.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgmn description:legumain [Source:MGI Symbol;Acc:MGI:1330838] MTWRVAVLLSLVLGAGAVPVGVDDPEDGGKHWVVIVAGSNGWYNYRHQADACHAYQIIHR NGIPDEQIIVMMYDDIANSEENPTPGVVINRPNGTDVYKGVLKDYTGEDVTPENFLAVLR GDAEAVKGKGSGKVLKSGPRDHVFIYFTDHGATGILVFPNDDLHVKDLNKTIRYMYEHKM YQKMVFYIEACESGSMMNHLPDDINVYATTAANPKESSYACYYDEERGTYLGDWYSVNWM EDSDVEDLTKETLHKQYHLVKSHTNTSHVMQYGNKSISTMKVMQFQGMKHRASSPISLPP VTHLDLTPSPDVPLTILKRKLLRTNDVKESQNLIGQIQQFLDARHVIEKSVHKIVSLLAG FGETAERHLSERTMLTAHDCYQEAVTHFRTHCFNWHSVTYEHALRYLYVLANLCEAPYPI DRIEMAMDKVCLSHY >ENSMUSP00000083908.6 pep:known chromosome:GRCm38:1:106861173:106883348:1 gene:ENSMUSG00000067006.12 transcript:ENSMUST00000086701.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb5 description:serine (or cysteine) peptidase inhibitor, clade B, member 5 [Source:MGI Symbol;Acc:MGI:109579] MDALRLANSAFAVDLFKQLCERDPAGNILFSPICLSTSLSLAQVGTKGDTANEIGQVLHF ENVKDVPFGFQTVTSDVNKLSSFYSLKLVKRLYIDKSLNPSTEFISSTKRPYAKELETVD FKDKLEETKGQINSSIKELTDGHFEDILSENSISDQTKILVVNAAYFVGKWMKKFPESET KECPFRISKTDTKPVQMMNLEATFCLGNIDDISCKIIELPFQNKHLSMLIVLPKDVEDES TGLEKIEQQLNPETLLQWTNPSTMANAKVKLSLPKFKVEKMIDPKASLESLGLKSLFNES TSDFSGMSETKGVSLSNVIHRVCLEITEDGGESIEVPGSRILQHKDEFNADHPFIYIIRH NKTRNIIFFGKFCSP >ENSMUSP00000140264.1 pep:known chromosome:GRCm38:1:106861174:106880343:1 gene:ENSMUSG00000067006.12 transcript:ENSMUST00000188745.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb5 description:serine (or cysteine) peptidase inhibitor, clade B, member 5 [Source:MGI Symbol;Acc:MGI:109579] MKKFPESETKECPFRISKTDTKPVQMMNLEATFCLGNIDDISCKIIELPFQNKHLSMLIV LPKDVEDESTGLEK >ENSMUSP00000108350.1 pep:known chromosome:GRCm38:1:106861203:106883348:1 gene:ENSMUSG00000067006.12 transcript:ENSMUST00000112730.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb5 description:serine (or cysteine) peptidase inhibitor, clade B, member 5 [Source:MGI Symbol;Acc:MGI:109579] MDALRLANSAFAVDLFKQLCERDPAGNILFSPICLSTSLSLAQVGTKGDTANEIGQVLHF ENVKDVPFGFQTVTSDVNKLSSFYSLKLVKRLYIDKSLNPSTEFISSTKRPYAKELETVD FKDKLEETKGQINSSIKELTDGHFEDILSENSISDQTKILVVNAAYFVGKWMKKFPESET KECPFRISKTDTKPVQMMNLEATFCLGNIDDISCKIIELPFQNKHLSMLIVLPKDVEDES TGLEKIEQQLNPETLLQWTNPSTMANAKVKLSLPKFKVEKMIDPKASLESLGLKSLFNES TSDFSGMSETKGVSLSNVIHRVCLEITEDGGESIEVPGSRILQHKDEFNADHPFIYIIRH NKTRNIIFFGKFCSP >ENSMUSP00000108349.1 pep:known chromosome:GRCm38:1:106870234:106883347:1 gene:ENSMUSG00000067006.12 transcript:ENSMUST00000112729.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb5 description:serine (or cysteine) peptidase inhibitor, clade B, member 5 [Source:MGI Symbol;Acc:MGI:109579] MDALRLANSAFAVDLFKQLCERDPAGNILFSPICLSTSLSLAQVGTKGDTANEIGQVLHF ENVKDVPFGFQTVTSDVNKLSSFYSLKLVKRLYIDKSLNPSTEFISSTKRPYAKELETVD FKDKLEETKGQINSSIKELTDGHFEDILSENSISDQTKILVVNAAYFVGKWMKKFPESET KECPFRISKTDTKPVQMMNLEATFCLGNIDDISCKIIELPFQNKHLSMLIVLPKDVEDES TGLEKIEQQLNPETLLQWTNPSTMANAKVKLSLPKFKVEKMIDPKASLESLGLKSLFNES TSDFSGMSETKGVSLSNVIHRVCLEITEDGGESIEVPGSRILQHKDEFNADHPFIYIIRH NKTRNIIFFGKFCSP >ENSMUSP00000124257.1 pep:known chromosome:GRCm38:10:52388972:52400956:1 gene:ENSMUSG00000038624.11 transcript:ENSMUST00000160539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nepn description:nephrocan [Source:MGI Symbol;Acc:MGI:1913900] MQLSNFTGLLALEDFILLASGTESIENDTFKTLSTLKTLELWKNKLRQVPSALPANLEVL KLNDNAICALRGSEFEGLKNLKVLELKNNLISSLSPSMLSPLASLQSLMVDGNNIESVVG PLSLPHLKYMSMENNQLHLIPGNVFTSLQNLQFLSFSGNFLTKIPINLPKSLLSLKMERN QLKVVRFRDMKHLENLSHLYL >ENSMUSP00000070130.4 pep:known chromosome:GRCm38:10:52391608:52404607:1 gene:ENSMUSG00000038624.11 transcript:ENSMUST00000067085.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nepn description:nephrocan [Source:MGI Symbol;Acc:MGI:1913900] MHPLWAFLLGLSLTNGLSANCPGRCSCDSMQSVQCYRLMELPSGIPSTTKRLYISHSRIQ HLQLSNFTGLLALEDFILLASGTESIENDTFKTLSTLKTLELWKNKLRQVPSALPANLEV LKLNDNAICALRGSEFEGLKNLKVLELKNNLISSLSPSMLSPLASLQSLMVDGNNIESVV GPLSLPHLKYMSMENNQLHLIPGNVFTSLQNLQFLSFSGNFLTKIPINLPKSLLSLKMER NQLKVVRFRDMKHLENLSHLYLSENFLSSIDGAQQLTNLTTLEVSQNQLQMLPPRLPSRL QKLDCSSNFIQRVTAPEFQDLRDLKHLFLDNNVVSLFEAGALQRCSQLSNLALEQNLLLS IPLRLPKTLARLDLKGNAIQDMAERELRDLKQLQVLNLRNNRISALDFKALEGLPRLRHL YLDGNPWNCTCSLLRAREVLKAKGTDVKGGQCAAPAERQGESWMSSKKILRQCEHHLQQS EKSKETKKKPKPEDSSSIRLNMDDDDDDYEID >ENSMUSP00000130746.1 pep:known chromosome:GRCm38:6:141805440:141856171:-1 gene:ENSMUSG00000030237.14 transcript:ENSMUST00000165990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1a4 description:solute carrier organic anion transporter family, member 1a4 [Source:MGI Symbol;Acc:MGI:1351896] MGKSEKEVATHGVRCFSKIKAFLLALTCAYVSKSLSGTYMNSMLTQIERQFGIPTSVVGL INGSFEIGNLLLIIFVSYFGTKLHRPIMIGVGCAVMGLGCFLISIPHFLMGRYEYETTIL PTSNLSSNSFVCTENRTQTLKPTQDPTECVKEMKSLMWIYVLVGNIIRGMGETPIMPLGI SYIEDFAKSENSPLYIGILETGMTIGPLIGLLLGSSCANIYVDTGSVNTDDLTITPTDTR WVGAWWIGFLVCAGVNILTSIPFFFFPKTLLKEGLQDNGDGTENAKEEKHREKIKEENRG ITKDFFLFMKSLSCNPIYMIFILISVIQVNAFINSFTFMPKYLEQQYGKSTAEIVFLMGL YMLPPICLGYLIGGLIMKKFKITVKKAAYIGFWLSLTEYLLSFVSYIMTCDNFPVAGLTT SYEGVQHPLYVENNVLADCNTKCSCLTNTWDPVCGDNGLSYMSACLAGCEKSVGTGTNMV FQNCSCIQSSGNASAVLGLCDKGPECANKLQYFLIISIIGCFIFSLGAIPGYMVLLRCMK SEEKSLGVGLHTFCMRILGGIPAPIYFGALIDRTCLHWGTLKCGEPGACRMYDINSFRRI YLGLPAALRGASFLPALFILILMRKFQFPGDIDSSDTDPAEMKLTAKESKCTNVHRSPTM QNDGERKTKL >ENSMUSP00000126767.1 pep:known chromosome:GRCm38:6:141834644:141856199:-1 gene:ENSMUSG00000030237.14 transcript:ENSMUST00000163678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1a4 description:solute carrier organic anion transporter family, member 1a4 [Source:MGI Symbol;Acc:MGI:1351896] MGKSEKEVATHGVRCFSKIKAFLLALTCAYVSKSLSGTYMNSMLTQIERQFGIPTSVVGL INGSFEIGNLLLIIFVSYFGTKLHRPIMIGVGCAVMGLGCFLISIPHFLMGRYEYETTIL PTSNLSSNSFVCTENRTQT >ENSMUSP00000032364.7 pep:known chromosome:GRCm38:6:141806722:141845468:-1 gene:ENSMUSG00000030237.14 transcript:ENSMUST00000032364.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1a4 description:solute carrier organic anion transporter family, member 1a4 [Source:MGI Symbol;Acc:MGI:1351896] MGKSEKEVATHGVRCFSKIKAFLLALTCAYVSKSLSGTYMNSMLTQIERQFGIPTSVVGL INGSFEIGNLLLIIFVSYFGTKLHRPIMIGVGCAVMGLGCFLISIPHFLMGRYEYETTIL PTSNLSSNSFVCTENRTQTLKPTQDPTECVKEMKSLMWIYVLVGNIIRGMGETPIMPLGI SYIEDFAKSENSPLYIGILETGMTIGPLIGLLLGSSCANIYVDTGSVNTDDLTITPTDTR WVGAWWIGFLVCAGVNILTSIPFFFFPKTLLKEGLQDNGDGTENAKEEKHREKIKEENRG ITKDFFLFMKSLSCNPIYMIFILISVIQVNAFINSFTFMPKYLEQQYGKSTAEIVFLMGL YMLPPICLGYLIGGLIMKKFKITVKKAAYIGFWLSLTEYLLSFVSYIMTCDNFPVAGLTT SYEGVQHPLYVENNVLADCNTKCSCLTNTWDPVCGDNGLSYMSACLAGCEKSVGTGTNMV FQNCSCIQSSGNASAVLGLCDKGPECANKLQYFLIISIIGCFIFSLGAIPGYMVLLRCMK SEEKSLGVGLHTFCMRILGGIPAPIYFGALIDRTCLHWGTLKCGEPGACRMYDINSFRRI YLGLPAALRGASFLPALFILILMRKFQFPGDIDSSDTDPAEMKLTAKESKCTNVHRSPTM QNDGERKTKL >ENSMUSP00000123787.1 pep:known chromosome:GRCm38:1:92870129:92902906:-1 gene:ENSMUSG00000034212.13 transcript:ENSMUST00000160548.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankmy1 description:ankyrin repeat and MYND domain containing 1 [Source:MGI Symbol;Acc:MGI:3045261] MEEIRSVVTTDSQGSKTLSSRGLEGQNGRSLDTESQDSVKSYTFFRRSRPGDLGEDEEES EGTVGEQDLKGTYKQLVQGVQEWQDGCVYKGEFGLNMKLGYGEFSWPTGEAYHGQFYRDH FHGLGTYTWPDGSSFTGTFYLSQREGYGTMHTKTMLFQGLYKEDQRFGPGIETYPDGSQD VGLWFREYLLKLCTRVPSGFSLVNYPEFLAFLTKSRRRLDLSDEKVELGLSEEQDPFFYD YKQYLLNDELKLPPEMHVYSTDNSHLPMTDSLRQELDDHIFMNEIPPFIEDEEPWLITNE TPLLVKIQKQTYKFRNKDAHTSWNIPAILEGNRSRFGPSGPKEQISKKMIMKAEEGDYNW IFGILRDNLACADVADSKGYTVLAAAAMHSHLDIVNLLLDFGADVNKRSDEGITPLSMCF LQYYPCKSFHPNIAERTLLQESPKSLVTPKISFLLADANIDYLYDVGMPIAGGDELKTSS LDDSLASMQTPESSNMLHKEEVSPVKTVSTDVEKESEGAAENVDASTLYSVDTNFESTKC LRNYTINVSRDIMEKSAQAYSSLPQHPCFPYKGTVRKMAQSMVERRNRWMTITLLLRRGA DPNLCQVPMQALFLAVKAGDVEGVRLLLMSGAQTDIQFPPQLQSLTPLHIAVSLPGEEGV KITELLLHVITNVDAKAADEDYVYKGGKADLLPSSLKLNNEPGPPKSFYSTHTFIPEEGG RTALHVACEREDNKKCARDIVRLLLSHRANPNVLWSGHSPLSLAIASGNDLVVKELLSQG ADPNLPLTKGLGTALCVVCDLVYEQQRSVENKIALIDRLISYGADVLNPVTLVQGDRTAV GTAVDYGYFKFFQDRKIAHCPFHALMPAEREVFMARKRLLEYLGLQLRLAVLSKESRLDT KALYLSKRAELAPCHRLKKKGSSSVRTQSSEKQSIPFYKFCYQCGRSIGVRLSPCPRCYG ILTCSKYCKTKAWIEFHKKDCNDIMAMIPHLEGSAWRVAESP >ENSMUSP00000108622.1 pep:known chromosome:GRCm38:1:92870129:92902906:-1 gene:ENSMUSG00000034212.13 transcript:ENSMUST00000112998.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankmy1 description:ankyrin repeat and MYND domain containing 1 [Source:MGI Symbol;Acc:MGI:3045261] MEEIRSVVTTDSQGSKTLSSRGLEGQNGRSLDTESQDSVKSYTFFRRSRPGDLGEDEEES EGTVGEQDLKGTYKQLVQGVQEWQDGCVYKGEFGLNMKLGYGEFSWPTGEAYHGQFYRDH FHGLGTYTWPDGSSFTGTFYLSQREGYGTMHTKTMLFQGLYKEDQRFGPGIETYPDGSQD VGLWFREYLLKLCTRVPSGFSLVNYPEFLAFLTKSRRRLDLSDEKVELGLSEEQDPFFYD YKQYLLNDELKLPPEMHVYSTDNSHLPMTDSLRQELDDHIFMNEIPPFIEDEEPWLITNE TPLLVKIQKQTYKFRNKDAHTSWNIPAILEGNRSRFGPSGPKEQISKKMIMKAEEGDYNW IFGILRDNLACADVADSKGYTVLAAAAMHSHLDIVNLLLDFGADVNKRSDEGITPLSMCF LQYYPCKSFHPNIAERTLLQESPKSLVTPKISFLLADANIDYLYDVGMPIAGGDELKTSS LDDSLASMQTPESSNMLHKEEVSPVKTVSTDVEKESEGAAENVDASTLYSVDTNFESTKC LRNYTINVSRDIMEKSAQAYSSLPQHPCFPYKGTVRKMAQSMVERRNRWMTITLLLRRGA DPNLCQVPMQALFLAVKAGDVEGVRLLLMSGAQTDIQFPPQLQSLTPLHIAVSLPGEEGV KITELLLHVITNVDAKAADEDYVYKGGKADLLPSSLKLNNEPGPPKSFYSTHTFIPEEGG RTALHVACEREDNKKCARDIVRLLLSHRANPNVLWSGHSPLSLAIASGNDLVVKELLSQG ADPNLPLTKGLGTALCVVCDLVYEQQRSVENKIALIDRLISYGADVLNPVTLVQGDRTAV GTAVDYGYFKFFQDRKIAHCPFHALMPAEREVFMARKRLLEYLGLQLRLAVLSKESRLDT KALYLSKRELAPCHRLKKKGSSSVRTQSSEKQSIPFYKFCYQCGRSIGVRLSPCPRCYGI LTCSKYCKTKAWIEFHKKDCNDIMAMIPHLEGSAWRVAESP >ENSMUSP00000071786.6 pep:known chromosome:GRCm38:9:66713686:66766845:1 gene:ENSMUSG00000032373.14 transcript:ENSMUST00000071889.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car12 description:carbonic anyhydrase 12 [Source:MGI Symbol;Acc:MGI:1923709] MPHRSLRATVVLLLVILKKQPSSSAPLNGSKWTYVGPAGEKNWSKKYPSCGGLLQSPIDL HSDILQYDASLAPLQFQGYNVSVEKLLNLTNDGHSVRLNLNSDMYIQGLQPHHYRAEQLH LHWGNRNDPHGSEHTVSGKHFAAELHIVHYNSDLYPDFSTASDKSEGLAVLAVLIEIGSA NPSYDKIFSHLQHVKYKGQQVLIPGFNIEELLPESPGEYYRYEGSLTTPPCYPTVLWTVF RNPVQISQEQLLALETALYFTHMDDPTPREMINNFRQVQKFDERLVYISFRQGLLTNTGL SLGIILSVALAGVLGISIVLAVSIWLFKRKKSKKGDNKGVIYKPAIKKEAEVHA >ENSMUSP00000082541.5 pep:known chromosome:GRCm38:9:66713730:66766842:1 gene:ENSMUSG00000032373.14 transcript:ENSMUST00000085420.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car12 description:carbonic anyhydrase 12 [Source:MGI Symbol;Acc:MGI:1923709] MPHRSLRATVVLLLVILKKQPSSSAPLNGSKWTYVGPAGEKNWSKKYPSCGGLLQSPIDL HSDILQYDASLAPLQFQGYNVSVEKLLNLTNDGHSVRLNLNSDMYIQGLQPHHYRAEQLH LHWGNRNDPHGSEHTVSGKHFAAELHIVHYNSDLYPDFSTASDKSEGLAVLAVLIEIGSA NPSYDKIFSHLQHVKYKGQQVLIPGFNIEELLPESPGEYYRYEGSLTTPPCYPTVLWTVF RNPVQISQEQLLALETALYFTHMDDPTPREMINNFRQVQKFDERLVYISFRQGIILSVAL AGVLGISIVLAVSIWLFKRKKSKKGDNKGVIYKPAIKKEAEVHA >ENSMUSP00000118030.1 pep:known chromosome:GRCm38:9:66747710:66764317:1 gene:ENSMUSG00000032373.14 transcript:ENSMUST00000134829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car12 description:carbonic anyhydrase 12 [Source:MGI Symbol;Acc:MGI:1923709] FAAELHIVHYNSDLYPDFSTASDKSEGLAVLAVLIEAPPIHHMTRSSAIFNMLSIKCVSP GQQVLIPGFNIEELLPESPGEYYRYEGSLTTPPCYPTVLWTVFRNPVQISQEQLLALETA LYFTHMDDPTPREMINNFRQVQKFDERLVYISFRQGLLTNTGLSLGIILSVALAGVLGIS IVLAVSIWLFKRKKSKKGDNKGVI >ENSMUSP00000067241.6 pep:known chromosome:GRCm38:1:135434580:135585705:-1 gene:ENSMUSG00000009418.15 transcript:ENSMUST00000067414.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav1 description:neuron navigator 1 [Source:MGI Symbol;Acc:MGI:2183683] MLGSSVKSVQPEVELSGGSGSGGDEGADESRGASRKAAAADGRGMLPKRAKAAGGSGSMA KASAAELKVFKSGSVDSRVPGGLPTSNLRKQKSLTNLSFLTDSEKKLQLYEPEWSDDMAK APKGLGKLGPKGRETPLMSKTLSKSEHSLFQPKGGSTGGAKTPLAPLAPSLGKPSRIPRG PYAEVKPLSKAPEAAVSDDGKSDDELLSSKAKAQKGSGTVPSAKGQEERAFLKVDPELVV TVLGDLEQLLFSQMLDPESQRKRTVQNVLDLRQNLEETMSSLRGSQVTHSSLEMPCYDSD DANPRSVSSLSNRSSPLSWRYGQSSPRLQAGDAPSVGGSCRSEGPPAWYMHGERAHYSHT MPMRSPSKLSHISRLELVESLDSDEVDLKSGYMSDSDLMGKTMTEDDDITTGWDESSSIS SGLSDASDNLSSEEFNASSSLNSLPTTPTASRRSSTIVLRTDSEKRSLAESGLNWFSESE EKTPKKLEYDSGSLKMEPGTSKWRRERPESCDDASKGGELKKPISLGHPGSLKKGKTPPV AVTSPITHTAQSALKVAGKPEGKATDKGKLAVKNTGLQRSSSDAGRDRLSDAKKPPSGIA RPSTSGSFGYKKPPPATGTATVMQTGSSATLSKIQKSSGIPVKPVNGRKTSLDVSNSVEP GFLAPGARSNIQYRSLPRPAKSSSMSVTGRGGPRPVSSSIDPSLLSTKQGGLTPSRLKEP SKVASGRSTPAPVNQTDREKEKAKAKAVALDSDNISLKSIGSPESTPKNQASHPPATKLA ELPPTPLRATAKSFVKPPSLANLDKVNSNSLDLPSSSDTHASKVPDLHAPSSSTGGPLPS CFTPSPAPILNINSASFSQGLELMSGFSVPKETRMYPKLSGLHRSMESLQMPMSLPSAFP SSAPIPTPPTAPSEEDTEELPWSGSPRAGQLDSSQRDRNTLPKKGLRYQLQSQEETKERR HSHTAGGLPESDDQAELPSPPALSMSLSAKGQLTNIVSPTAATTPRITRSNSIPTHEAAF ELYSGSQMGSTLSLAERPKGMIRSGSFRDPTDDVHGSVLSLASSASSTYSSAEERMQSEQ IRKLRRELESSQEKVATLTSQLSANANLVAAFEQSLVNMTSRLRHLAETAEEKDTELLDL RETIDFLKKKNSEAQAVIQGALNASEATPKELRIKRQNSSDSISSLNSITSHSSIGSSKD ADAKKKKKKSWVYELRSSFNKAFSIKKGPKSASSYSDIEEIATPDSSAPSSPKLQHGSTE TASPSIKSSTSSSVGTEVTETPAHSVPHTRLFQANEEEEPEKKEVSELRSELWEKEMKLT DIRLEALNSAHQLDQLRETMHNMQLEVDLLKAENDRLKVAPGPSSGCTPGQVPGSSALSS PRRSLGLALSHPFSPSLTDTDLSPMDGISTCGSKEEVTLRVVVRMPPQHIIKGDLKQQEF FLGCSKVSGKVDWKMLDEAVFQVFKDYISKMDPASTLGLSTESIHGYSLSHVKRVLDAEP PEMPPCRRGVNNISVALKGLKEKCVDSLVFETLIPKPMMQHYISLLLKHRRLVLSGPSGT GKTYLTNRLAEYLVERSGREVTDGIVSTFNMHQQSCKDLQLYLSNLANQIDRETGIGDVP LVILLDDLSEAGSISELVNGALTCKYHKCPYIIGTTNQPVKMTPNHGLHLSFRMLTFSNN VEPANGFLVRYLRRKLVESDSDVNANKEELLRVLDWVPKLWYHLHTFLEKHSTSDFLIGP CFFLSCPIGIEDFRTWFIDLWNNSIIPYLQEGAKDGIKVHGQKAAWEDPVEWVRDTLPWP SAQQDQSKLYHLPPPSVGPHSTASPPEDRTVKDSTPNSLDSDPLMAMLLKLQEAANYIES PDRETILDPNLQATL >ENSMUSP00000140322.1 pep:known chromosome:GRCm38:1:135438848:135607295:-1 gene:ENSMUSG00000009418.15 transcript:ENSMUST00000190298.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav1 description:neuron navigator 1 [Source:MGI Symbol;Acc:MGI:2183683] MLGSSVKSVQPEVELSGGSGSGGDEGADESRGASRKAAAADGRGMLPKRAKAAGGSGSMA KASAAELKVFKSGSVDSRVPGGLPTSNLRKQKSLTNLSFLTDSEKKLQLYEPEWSDDMAK APKGLGKLGPKGRETPLMSKTLSKSEHSLFQPKGGSTGGAKTPLAPLAPSLGKPSRIPRG PYAEVKPLSKAPEAAVSDDGKSDDELLSSKAKAQKGSGTVPSAKGQEERAFLKVDPELVV TVLGDLEQLLFSQMLDPESQRKRTVQNVLDLRQNLEETMSSLRGSQVTHSSLEMPCYDSD DANPRSVSSLSNRSSPLSWRYGQSSPRLQAGDAPSVGGSCRSEGPPAWYMHGERAHYSHT MPMRSPSKLSHISRLELVESLDSDEVDLKSGYMSDSDLMGKTMTEDDDITTGWDESSSIS SGLSDASDNLSSEEFNASSSLNSLPTTPTASRRSSTIVLRTDSEKRSLAESGLNWFSESE EKTPKKLEYDSGSLKMEPGTSKWRRERPESCDDASKGGELKKPISLGHPGSLKKGKTPPV AVTSPITHTAQSALKVAGKPEGKATDKGKLAVKNTGLQRSSSDAGRDRLSDAKKPPSGIA RPSTSGSFGYKKPPPATGTATVMQTGSSATLSKIQKSSGIPVKPVNGRKTSLDVSNSVEP GFLAPGARSNIQYRSLPRPAKSSSMSVTGRGGPRPVSSSIDPSLLSTKQGGLTPSRLKEP SKVASGRSTPAPVNQTDREKEKAKAKAVALDSDNISLKSIGSPESTPKNQASHPPATKLA ELPPTPLRATAKSFVKPPSLANLDKVNSNSLDLPSSSDTHASKVPDLHAPSSSTGGPLPS CFTPSPAPILNINSASFSQGLELMSGFSVPKETRMYPKLSGLHRSMESLQMPMSLPSAFP SSAPIPTPPTAPSEEDTEELPWSGSPRAGQLDSSQRDRNTLPKKGLRYQLQSQEETKERR HSHTAGGLPESDDQAELPSPPALSMSLSAKGQLTNIVHGSVLSLASSASSTYSSAEERMQ SEQIRKLRRELESSQEKVATLTSQLSANANLVAAFEQSLVNMTSRLRHLAETAEEKDTEL LDLRETIDFLKKKNSEAQAVIQGALNASEATPKELRIKRQNSSDSISSLNSITSHSSIGS SKDADAKKKKKKSWLRSSFNKAFSIKKGPKSASSYSDIEEIATPDSSAPSSPKLQHGSTE TASPSIKSSTSSSVGTEVTETPAHSVPHTRLFQANEEEEPEKKEVSELRSELWEKEMKLT DIRLEALNSAHQLDQLRETMHNMQLEVDLLKAENDRLKVAPGPSSGCTPGQVPGSSALSS PRRSLGLALSHPFSPSLTDTDLSPMDGISTCGSKEEVTLRVVVRMPPQHIIKGDLKQQEF FLGCSKVSGKVDWKMLDEAVFQVFKDYISKMDPASTLGLSTESIHGYSLSHVKRVLDAEP PEMPPCRRGVNNISVALKGLKEKCVDSLVFETLIPKPMMQHYISLLLKHRRLVLSGPSGT GKTYLTNRLAEYLVERSGREVTDGIVSTFNMHQQSCKDLQLYLSNLANQIDRETGIGDVP LVILLDDLSEAGSISELVNGALTCKYHKCPYIIGTTNQPVKMTPNHGLHLSFRMLTFSNN VEPANGFLVRYLRRKLVESDSDVNANKEELLRVLDWVPKLWYHLHTFLEKHSTSDFLIGP CFFLSCPIGIEDFRTWFIDLWNNSIIPYLQEGAKDGIKVHGQKAAWEDPVEWVRDTLPWP SAQQDQSKLYHLPPPSVGPHSTASPPEDRTVKDSTPNSLDSDPLMAMLLKLQEAANYIES PDRETILDPNLQATL >ENSMUSP00000141115.1 pep:known chromosome:GRCm38:1:135455316:135467673:-1 gene:ENSMUSG00000009418.15 transcript:ENSMUST00000189252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav1 description:neuron navigator 1 [Source:MGI Symbol;Acc:MGI:2183683] XLSAKGQLTNIVSPTAATTPRITRSNSIPTHEAAFELYSGSQMGSTLSLAERPKGMIRSG SFRDPTDDVHGSVLSLASSASSTYSSQIRKLRRELESSQEKVATLTSQLSANANLVAAFE QSLVNMTSRLRHLAETAEEKDTELLDLRETIDFLKKKNSEAQAVIQGALNASEATPKELR IKRQNSSDSISSLNSITSHSSIGSSKDADAKKKKKKSWLRSSF >ENSMUSP00000043803.8 pep:known chromosome:GRCm38:1:135434580:135585355:-1 gene:ENSMUSG00000009418.15 transcript:ENSMUST00000040599.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nav1 description:neuron navigator 1 [Source:MGI Symbol;Acc:MGI:2183683] MLGSSVKSVQPEVELSGGSGSGGDEGADESRGASRKAAAADGRGMLPKRAKAAGGSGSMA KASAAELKVFKSGSVDSRVPGGLPTSNLRKQKSLTNLSFLTDSEKKLQLYEPEWSDDMAK APKGLGKLGPKGRETPLMSKTLSKSEHSLFQPKGGSTGGAKTPLAPLAPSLGKPSRIPRG PYAEVKPLSKAPEAAVSDDGKSDDELLSSKAKAQKGSGTVPSAKGQEERAFLKVDPELVV TVLGDLEQLLFSQMLDPESQRKRTVQNVLDLRQNLEETMSSLRGSQVTHSSLEMPCYDSD DANPRSVSSLSNRSSPLSWRYGQSSPRLQAGDAPSVGGSCRSEGPPAWYMHGERAHYSHT MPMRSPSKLSHISRLELVESLDSDEVDLKSGYMSDSDLMGKTMTEDDDITTGWDESSSIS SGLSDASDNLSSEEFNASSSLNSLPTTPTASRRSSTIVLRTDSEKRSLAESGLNWFSESE EKTPKKLEYDSGSLKMEPGTSKWRRERPESCDDASKGGELKKPISLGHPGSLKKGKTPPV AVTSPITHTAQSALKVAGKPEGKATDKGKLAVKNTGLQRSSSDAGRDRLSDAKKPPSGIA RPSTSGSFGYKKPPPATGTATVMQTGSSATLSKIQKSSGIPVKPVNGRKTSLDVSNSVEP GFLAPGARSNIQYRSLPRPAKSSSMSVTGRGGPRPVSSSIDPSLLSTKQGGLTPSRLKEP SKVASGRSTPAPVNQTDREKEKAKAKAVALDSDNISLKSIGSPESTPKNQASHPPATKLA ELPPTPLRATAKSFVKPPSLANLDKVNSNSLDLPSSSDTHASKVPDLHAPSSSTGGPLPS CFTPSPAPILNINSASFSQGLELMSGFSVPKETRMYPKLSGLHRSMESLQMPMSLPSAFP SSAPIPTPPTAPSEEDTEELPWSGSPRAGQLDSSQRDRNTLPKKGLRYQLQSQEETKERR HSHTAGGLPESDDQAELPSPPALSMSLSAKGQLTNIVSPTAATTPRITRSNSIPTHEAAF ELYSGSQMGSTLSLAERPKGMIRSGSFRDPTDDVHGSVLSLASSASSTYSSAEERMQSEQ IRKLRRELESSQEKVATLTSQLSANANLVAAFEQSLVNMTSRLRHLAETAEEKDTELLDL RETIDFLKKKNSEAQAVIQGALNASEATPKELRIKRQNSSDSISSLNSITSHSSIGSSKD ADAKKKKKKSWVYELRSSFNKAFSIKKGPKSASSYSDIEEIATPDSSAPSSPKLQHGSTE TASPSIKSSTSSSVGTEVTETPAHSVPHTRLFQANEEEEPEKKEVSELRSELWEKEMKLT DIRLEALNSAHQLDQLRETMHNMQLEVDLLKAENDRLKVAPGPSSGCTPGQVPGSSALSS PRRSLGLALSHPFSPSLTDTDLSPMDGISTCGSKEEVTLRVVVRMPPQHIIKGDLKQQEF FLGCSKVSGKVDWKMLDEAVFQVFKDYISKMDPASTLGLSTESIHGYSLSHVKRVLDAEP PEMPPCRRGVNNISVALKGLKEKCVDSLVFETLIPKPMMQHYISLLLKHRRLVLSGPSGT GKTYLTNRLAEYLVERSGREVTDGIVSTFNMHQQSCKDLQLYLSNLANQIDRETGIGDVP LVILLDDLSEAGSISELVNGALTCKYHKCPYIIGTTNQPVKMTPNHGLHLSFRMLTFSNN VEPANGFLVRYLRRKLVESDSDVNANKEELLRVLDWVPKLWYHLHTFLEKHSTSDFLIGP CFFLSCPIGIEDFRTWFIDLWNNSIIPYLQEGAKDGIKVHGQKAAWEDPVEWVRDTLPWP SAQQDQSKLYHLPPPSVGPHSTASPPEDRTVKDSTPNSLDSDPLMAMLLKLQEAANYIES PDRETILDPNLQATL >ENSMUSP00000124170.1 pep:known chromosome:GRCm38:5:138280240:138290751:1 gene:ENSMUSG00000036928.14 transcript:ENSMUST00000160729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag3 description:stromal antigen 3 [Source:MGI Symbol;Acc:MGI:1355311] MPTLWSPSTQHHGSSSGSESSPLQKSVRRAQMALSPCSSSILPCDDRDSQGTAEWDSPST NEDSDFEDSLRRNVKKRAAKQPPKAVPAAKHRKKQSRIVSSGNGKNESVPSTNYLFDAVK AARSCMQSLVDEWLDNYKQDENAGFLELINFFIRACGCKSTVTPEMFKTMSNSEIIQHLT EEFNEDSGDYPLTAPGPSW >ENSMUSP00000040945.8 pep:known chromosome:GRCm38:5:138280526:138312393:1 gene:ENSMUSG00000036928.14 transcript:ENSMUST00000048028.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag3 description:stromal antigen 3 [Source:MGI Symbol;Acc:MGI:1355311] MPTLWSPSTQHHGSSSGSESSPLQKSVRRAQMALSPCSSSILPCDDRDSQGTAEWDSPST NEDSDFEDSLRRNVKKRAAKQPPKAVPAAKHRKKQSRIVSSGNGKNESVPSTNYLFDAVK AARSCMQSLVDEWLDNYKQDENAGFLELINFFIRACGCKSTVTPEMFKTMSNSEIIQHLT EEFNEDSGDYPLTAPGPSWKKFQGSFCEFVKTLVYQCQYSLLYDGFPMDDLISLLIGLSD SQVRAFRHTSTLAAMKLMTSLVKVALQLSLHKDNNQRQYEAERNKGPEQRAPERLESLLE KRKEFQENQEDIEGMMNAIFRGVFVHRYRDILPEIRAICIEEIGYWMQSYSTSFLNDSYL KYIGWTLHDKHKEVRLKCVKALAGLYSNQELSLRMELFTNRFKDRMVSMVMDRECEVAVE AIRLLTLILKNMEGVLTSADCEKIYSIVYISNRAMASSAGEFVYWKIFHPECGAKAVSDR ERRRSPQAQKTFIYLLLAFFMESEHHNHAAYLVDSLWDCAGSYLKDWESLTNLLLQKDQN LGDMQERMLIEILVSSARQAAEGHPPVGRITGKKSLTAKERKLQAYDKMKLAEHLIPLLP QLLAKFSADAENVAPLLQLLSYFDLSIYCTQRLEKHLELLLQQLQEVVVKHVEPEVLEAA AHALYLLCKPEFTFFSRVDFARSQLVDFLTDRFQQELDDLMQSSFLDEDEVYSLTATLKR LSAFYNAHDLTRWEISEPCSRLLRKAVDTGEVPHQVILPALTLVYFSILWTVTHISESTS HKQLMSLKKRMVAFCELCQSCLSDVDPEIQEQAFVLLSDLLLIFSPQMIVGGRDFLRPLV FFPEATLQSELASFLMDHVFLQPGELGNGQSQEDHVQIELLHQRRRLLAGFCKLLLYGVL ELDAASDVFKHYNKFYEDYGDIIKETLTRARQIDRCQCSRILLLSLKQLYTELIQEQGPQ GLTELPAFIEMRDLARRFALSFGPQQLHNRDLVVMLHKEGIKFSLSELPPAGSSHEPPNL AFLELLSEFSPRLFHQDKRLLLSYLEKCLQRVSKAPNHPWGPVTTYCHSLHPLEITAEAS PRGPPHSKKRCVEGPCRPQEEESSSQEESLQLNSGPTTPTLTSTAVKRKQSLRTVGKKQK GRPGPGPGPGPELICSQQLLGTQRLKMSSAPCFQIRCDPSGSGLGKQLTRLSLMEEDEEE ELRLLDEEWQRGDKMLHSPSSPSEHGLDLLDTTELNMEDF >ENSMUSP00000125523.1 pep:known chromosome:GRCm38:5:138280544:138312393:1 gene:ENSMUSG00000036928.14 transcript:ENSMUST00000162245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag3 description:stromal antigen 3 [Source:MGI Symbol;Acc:MGI:1355311] MPTLWSPSTQHHGSSSGSESSPLQKSVRRAQMALSPCSSSILPCDDRDSQGTAEWDSPST NEDSDFEDSLRRNVKKRAAKQPPKAVPAAKHRKKQSRIVSSGNGKNESVPSTNYLFDAVK AARSCMQSLVDEWLDNYKQDENAGFLELINFFIRACGCKSTVTPEMFKTMSNSEIIQHLT EEFNEDSGDYPLTAPGPSWKKFQGSFCEFVKTLVYQCQYSLLYDGFPMDDLISLLIGLSD SQVRAFRHTSTLAAMKLMTSLVKVALQLSLHKDNNQRQYEAERNKGPEQRAPERLESLLE KRKEFQENQEDIEGMMNAIFRGVFVHRYRDILPEIRAICIEEIGYWMQSYSTSFLNDSYL KYIGWTLHDKHKEVRLKCVKALAGLYSNQELSLRMELFTNRFKDRMVSMVMDRECEVAVE AIRLLTLILKNMEGVLTSADCEKIYSIVYISNRAMASSAGEFVYWKIFHPECGAKAVSDR ERRRSPQAQKTFIYLLLAFFMESEHHNHAAYLVDSLWDCAGSYLKDWESLTNLLLQKDQN LGDMQERMLIEILVSSARQAAEGHPPVGRITGKKSLTAKERKLQAYDKMKLAEHLIPLLP QLLAKFSADAENVAPLLQLLSYFDLSIYCTQRLEKHLELLLQQLQEVVVKHVEPEVLEAA AHALYLLCKPEFTFFSRVDFARSQLVDFLTDRFQQELDDLMQSSFLDEDEVYSLTATLKR LSAFYNAHDLTRWEISEPCSRLLRKAVDTGEVPHQVILPALTLVYFSILWTVTHISESTS HKQLMSLKKRMVAFCELCQSCLSDVDPEIQEQAFVLLSDLLLIFSPQMIVGGRDFLRPLV FFPEATLQSELASFLMDHVFLQPGELGNGQSQEDHVQIELLHQRRRLLAGFCKLLLYGVL ELDAASDVFKHYNKFYEDYGDIIKETLTRARQIDRCQCSRILLLSLKQLYTELIQEQGPQ GLTELPAFIEMRDLARRFALSFGPQQLHNRDLVVMLHKEGIKFSLSELPPAGSSHEPPNL AFLELLSEFSPRLFHQDKRLLLSYLEKCLQRVSKAPNHPWGPVTTYCHSLHPLEITAEAS PRGPPHSKKRCVEGPCRPQEEESSSQEESLQLNSGPTTPTLTSTAVKRKQSLRTVGKKQK GRPGPGPGPGPELICSQQLLGTQRLKMSSAPCFQIRCDPSGSGLGKQLTRLSLMEEDEEE ELRLLDEEWQRGDKMLHSPSSPSEHGLDLLDTTELNMEDF >ENSMUSP00000125290.1 pep:known chromosome:GRCm38:5:138280556:138290406:1 gene:ENSMUSG00000036928.14 transcript:ENSMUST00000161691.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag3 description:stromal antigen 3 [Source:MGI Symbol;Acc:MGI:1355311] MALSPCSSSILPCDDRDSQGTAEWDSPSTNEDSDFEDSLRRNVKKRAAKQPPKAVPAAKH RKKQSRIVSSGNGKNESVPSTNYLFDAVKAARSCMQSLVDEWLDNYKQDENAGFLELINF FIRACGCKSTVTPEMFKTMSNSEIIQHLTEEFNEVEEAHALLSLNLKHMAHYPFISDSFF FLVFRDRVSLCSPGVLELTL >ENSMUSP00000125376.1 pep:known chromosome:GRCm38:5:138304438:138309246:1 gene:ENSMUSG00000036928.14 transcript:ENSMUST00000160849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag3 description:stromal antigen 3 [Source:MGI Symbol;Acc:MGI:1355311] LAFLELLSEFSPRLFHQDKRLLLSYLEKCLQRVSKAPNHPWGPVTTYCHSLHPLEITAEA SPRGPPHSKKRCVEGPCRPQEEESSSQEESLQLNSGPTTPTLTSTAVKRKQSLRTVGKKQ KGRPGPGPGPGPELICRLSLMEEDEEEELRLL >ENSMUSP00000124959.1 pep:known chromosome:GRCm38:5:138309201:138312386:1 gene:ENSMUSG00000036928.14 transcript:ENSMUST00000159189.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stag3 description:stromal antigen 3 [Source:MGI Symbol;Acc:MGI:1355311] XSLMEEDEEEELRLLDEEWQRGDKVWTQQSLLLECRAGDTYSQSS >ENSMUSP00000142363.1 pep:known chromosome:GRCm38:5:123698294:123721100:-1 gene:ENSMUSG00000029427.11 transcript:ENSMUST00000196282.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc8 description:zinc finger, CCHC domain containing 8 [Source:MGI Symbol;Acc:MGI:1917900] MAAGVDFGDLELFEAFDPPEESTPKPVHTRFKDDEEEEDDDDDENGVGDAELQEQLRRCE ATIEQLRAENQELKRKLNILTRPSGILVSNTKIDGPLLQILFMNNAISKQYHQEIEEFVS NLVKRFEEQQKNDVEKTSFSLLPQPSSVMLEEDHKVEESCAVKNNKEAFSVVGSVLYFTN FCLDKLGQPLLNENPQLTEGWEIPKYQQVFSHIVPLEGQEMQVKAKRPKPHCFNCGSEEH QMKECPMPRNAARISEKRKEYMDACGEASGQSFQQRYHAEEVEERFGRFKPGVISEELQD ALGVTDKSLPPFIYRMRQLGYPPGWLKEAELENSGLALYDGNDDADGETETGEIQNKNVT YDLSKLVNYPGFNISTPRGIPDEWRMFGSIPMQACQQKDVFASYLNSNIQSPSMRSSGKR SSSQSSPNSPKKQRKEGSAAASPADMELDSDVEIPPGSQSSKAFQFQPPLPPGTPPPLPQ GTPPPLFTPPLPKGTPPLTPSDSPQARPAASAMDEDALTLEELEEQQRQIWAALQQAEGG NGDSDVPGDTPLTGNSVASSPCPNEFDLPVPEGKALEKPVLAEPQEPAASVDTAGPEPSC SPAAGAAVLSQREEEAAAEGGPGDALLDNGGVLNMNMSNGSNQQPIHPDSRPPMAPKTHS PVPDMSKFATGITPFEFENMAESTGMYLRIRNLLKNSPRNQQKNKKTCE >ENSMUSP00000143781.1 pep:known chromosome:GRCm38:5:123704521:123719515:-1 gene:ENSMUSG00000029427.11 transcript:ENSMUST00000198826.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zcchc8 description:zinc finger, CCHC domain containing 8 [Source:MGI Symbol;Acc:MGI:1917900] XLNILTRPSGILVSNTKIDGPLLQILFMNNAISKQYHQEIEEFVSNLVKRFEEQQKNDVE KTSFSLLPQPSSVMLEEDHKVEESCAVKNNKEAFSAKASLFQLWF >ENSMUSP00000143723.1 pep:known chromosome:GRCm38:5:123708288:123714081:-1 gene:ENSMUSG00000029427.11 transcript:ENSMUST00000200161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc8 description:zinc finger, CCHC domain containing 8 [Source:MGI Symbol;Acc:MGI:1917900] XKEAFSVVGSVLYFTNFCLDKLGQPLLNENPQLTEGWEIPKYQQVFSHIVPLEGQEMQVK AKRPKPHCFNCGSEEHQMKECPMVNLPCAGCHGAKAVCMCGPG >ENSMUSP00000143139.1 pep:known chromosome:GRCm38:5:123709195:123720823:-1 gene:ENSMUSG00000029427.11 transcript:ENSMUST00000196333.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zcchc8 description:zinc finger, CCHC domain containing 8 [Source:MGI Symbol;Acc:MGI:1917900] XEEDDDDDENGVGDAELQEQLRRCEATIEQLRAENQELKRKLNILTRPSGILVSNTKIDG PLLQILFMNNAISKQYHQEIEEFVSNLVKRFEEQQKNDVEKTSFSLLPQPSSVMLEEDHK VEESCAVKNNKEAFSSLAVYPKLASNCGPPVSASQVLGFQAGVTIPSFLCHFK >ENSMUSP00000142531.1 pep:known chromosome:GRCm38:5:123710744:123720862:-1 gene:ENSMUSG00000029427.11 transcript:ENSMUST00000196001.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zcchc8 description:zinc finger, CCHC domain containing 8 [Source:MGI Symbol;Acc:MGI:1917900] XRSPFTPGSRTTRRKRTTTTTRTGWAMRSCRSSSGAARPPSSSSAPRIKNLKES >ENSMUSP00000142383.1 pep:known chromosome:GRCm38:5:123714090:123720774:-1 gene:ENSMUSG00000029427.11 transcript:ENSMUST00000200503.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc8 description:zinc finger, CCHC domain containing 8 [Source:MGI Symbol;Acc:MGI:1917900] QEQLRRCEATIEQLRAEIKRKLNILTRPSGILVSNTKIDGPLLQILFMNNAISKQYHQEI EEFVSNLVKRFEEQQKNDVEKTSFSLLPQPSSVMLEEDHKVEESCAV >ENSMUSP00000031376.7 pep:known chromosome:GRCm38:5:123698302:123721044:-1 gene:ENSMUSG00000029427.11 transcript:ENSMUST00000031376.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc8 description:zinc finger, CCHC domain containing 8 [Source:MGI Symbol;Acc:MGI:1917900] MAAGVDFGDLELFEAFDPPEESTPKPVHTRFKDDEEEEDDDDDENGVGDAELQEQLRRCE ATIEQLRAENQELKRKLNILTRPSGILVSNTKIDGPLLQILFMNNAISKQYHQEIEEFVS NLVKRFEEQQKNDVEKTSFSLLPQPSSVMLEEDHKVEESCAVKNNKEAFSVVGSVLYFTN FCLDKLGQPLLNENPQLTEGWEIPKYQQVFSHIVPLEGQEMQVKAKRPKPHCFNCGSEEH QMKECPMPRNAARISEKRKEYMDACGEASGQSFQQRYHAEEVEERFGRFKPGVISEELQD ALGVTDKSLPPFIYRMRQLGYPPGWLKEAELENSGLALYDGNDDADGETETGEIQNKNVT YDLSKLVNYPGFNISTPRGIPDEWRMFGSIPMQACQQKDVFASYLNSNIQSPSMRSSGKR SSSQSSPNSPKKQRKEGSAAASPADMELDSDVEIPPGSQSSKAFQFQPPLPPGTPPPLPQ GTPPPLFTPPLPKGTPPLTPSDSPQARPAASAMDEDALTLEELEEQQRQIWAALQQAEGG NGDSDVPGDTPLTGNSVASSPCPNEFDLPVPEGKALEKPVLAEPQEPAASVDTAGPEPSC SPAAGAAVLSQREEEAAAEGGPGDALLDNGGVLNMNMSNGSNQQPIHPDSRPPMAPKTHS PVPDMSKFATGITPFEFENMAESTGMYLRIRNLLKNSPRNQQKNKKTCE >ENSMUSP00000034566.8 pep:known chromosome:GRCm38:9:50662752:50727975:-1 gene:ENSMUSG00000032064.17 transcript:ENSMUST00000034566.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dixdc1 description:DIX domain containing 1 [Source:MGI Symbol;Acc:MGI:2679721] MLACLTRGNLLDVLQEGFNEQQLQAYVAWVNAQLKKRPSVKPVQDLRQDLRDGVILAYLI EIVGQLALDSDASVDERTDFFLLHSPFKAAGEKLTGVQLSPSNQQEMKSNVERVLQFVAS KKIRMHQTSAKDIVEGNLKSIMRLVLALAAHFKPGSSRTVSQGRDSKAPVQSHQPHCATA VAQGAAAALADVCHDVSRSGRDVFRYRQRNASVDGEIENPYWSVRALVQQYEGQQKSPSE SSCSSLTSPSPIHSAKSESIITQAEEKADFVIIPSEGIENRTDEPDSPSSRDWRPGSRGT YLEATWEEQLLEQQEHLEKEMEEAKKMISGLQALLLNGSLPEDEQERPVALCEPGVNPEE QLIIIRSRLDQSVEENQDLKKELLKCKQEARNLQGIKDALQQRLTQQDTSVLQLKQELLR ANMDKDELHNQNVDLQRKLEERNRLLGEYKKELGQKDRLFQQQQAKLEEALRKLSDASYQ QVDLERELEQKDVLLAHCMKGETDEVTNYNSHSSQRNGFVLPVAGRGATTVTHRGPQTSD LQLVRDALRSLRNSFSGHDPQHHTIDSLEQGISSLMERLHVVETQKKQERKVGGRSPRNQ ASSEYRASWPPNSTLPHSQSSPAVSSTCTKVLYFTDRSLTPFMVNIPKRLGEVTLKDFKA AIDREGNHRYHFKALDPEFGTVKEEVFHDDDAIPGWEGKIVAWVEEDHREN >ENSMUSP00000112431.1 pep:known chromosome:GRCm38:9:50662756:50728067:-1 gene:ENSMUSG00000032064.17 transcript:ENSMUST00000117646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dixdc1 description:DIX domain containing 1 [Source:MGI Symbol;Acc:MGI:2679721] MLACLTRGNLLDVLQEGFNEQQLQAYVAWVNAQLKKRPSVKPVQDLRQDLRDGVILAYLI EIVAGEKLTGVQLSPSNQQEMKSNVERVLQFVASKKIRMHQTSAKDIVEGNLKSIMRLVL ALAAHFKPGSSRTVSQGRDSKAPVQSHQPHCATAVAQGAAAALADVCHDVSRSGRDVFRY RQRNASVDGEIENPYWSVRALVQQYEGQQKSPSESSCSSLTSPSPIHSAKSESIITQAEE KADFVIIPSEGIENRTDEPDSPSSRDWRPGSRGTYLEATWEEQLLEQQEHLEKEMEEAKK MISGLQALLLNGSLPEDEQERPVALCEPGVNPEEQLIIIRSRLDQSVEENQDLKKELLKC KQEARNLQGIKDALQQRLTQQDTSVLQLKQELLRANMDKDELHNQNVDLQRKLEERNRLL GEYKKELGQKDRLFQQQQAKLEEALRKLSDASYQQVDLERELEQKDVLLAHCMKGETDEV TNYNSHSSQRNGFVLPVAGRGATTVTHRGPQTSDLQLVRDALRSLRNSFSGHDPQHHTID SLEQGISSLMERLHVVETQKKQERKVGGRSPRNQASSEYRASWPPNSTLPHSQSSPAVSS TCTKVLYFTDRSLTPFMVNIPKRLGEVTLKDFKAAIDREGNHRYHFKALDPEFGTVKEEV FHDDDAIPGWEGKIVAWVEEDHREN >ENSMUSP00000113089.1 pep:known chromosome:GRCm38:9:50666195:50739517:-1 gene:ENSMUSG00000032064.17 transcript:ENSMUST00000121634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dixdc1 description:DIX domain containing 1 [Source:MGI Symbol;Acc:MGI:2679721] MGTQVVMRVCNSSMPGAPSQQLQAYVAWVNAQLKKRPSVKPVQDLRQDLRDGVILAYLIE IVGQLALDSDASVDERTDFFLLHSPFKAAGEKLTGVQLSPSNQQEMKSNVERVLQFVASK KIRMHQTSAKDIVEGNLKSIMRLVLALAAHFKPGSSRTVSQGRDSKAPVQSHQPHCATAV AQGAAAALADVCHDVSRSGRDVFRYRQRNASVDGEIENPYWSVRALVQQYEGQQKSPSES SCSSLTSPSPIHSAKSESIITQAEEKADFVIIPSEGIENRTDEPDSPSSRDWRPGSRGTY LEATWEEQLLEQQEHLEKEMEEAKKMISGLQALLLNGSLPEDEQERPVALCEPGVNPEEQ LIIIRSRLDQSVEENQDLKKELLKCKQEARNLQGIKDALQQRLTQQDTSVLQLKQELLRA NMDKDELHNQNVDLQRKLEERNRLLGEYKKELGQKDRLFQQQQAKLEEALRKLSDASYQQ VDLERELEQKDVLLAHCMKGETDEVTNYNSHSSQRNGFVLPVAGRGATTVTHRGPQTSDL QLVRDALRSLRNSFSGHDPQHHTIDSLEQGISSLMERLHVVETQKKQERKVGGRSPRNQA SSEYRASWPPNSTLPHSQSSPAVSSTCTKVLYFTDRSLTPFMVNIPKRLGEVTLKDFKAA IDREGNHRYHFKALDPEFGTVKEEVFHDDDAIPGWEGKIVAWVEEDHREN >ENSMUSP00000113934.1 pep:known chromosome:GRCm38:9:50676704:50693799:-1 gene:ENSMUSG00000032064.17 transcript:ENSMUST00000120622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dixdc1 description:DIX domain containing 1 [Source:MGI Symbol;Acc:MGI:2679721] MNYGSTFHLADEPDSPSSRDWRPGSRGTYLEATWEEQLLEQQEHLEKEMEEAKKMISGLQ ALLLNGSLPEDEQERPVALCEPGVNPEEQLIIIRSRLDQSVEENQDLKKELLKCKQEARN LQGIKDALQQRLTQQDTSVLQLKQELLRANMDKDELHNQNVDLQRKLEERNRLLGEYKKE LGQKDRLFQQQQAKLEEALRKLSDASYQQVDLERELEQKDVLLAHCMKGETDEVTNYNSH SSQRNGFVLPVAGRGATTVTHRGPQTSDLQLVRDALRSLRNSFSGHDPQHHTIDSLEQGI SSLMERLHVVETQKKQERKVGGRSPRNQASSEYRASWPPNSKA >ENSMUSP00000112654.1 pep:known chromosome:GRCm38:9:50709634:50739447:-1 gene:ENSMUSG00000032064.17 transcript:ENSMUST00000117093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dixdc1 description:DIX domain containing 1 [Source:MGI Symbol;Acc:MGI:2679721] MGTQVVMRVCNSSMPGAPSQQLQAYVAWVNAQLKKRPSVKPVQDLRQDLRDGVILAYLIE IVGQLALDSDASVDERTDFFLLHSPFKAGCSFFRMHGNSVGKYLKVLALVNLRVGQ >ENSMUSP00000113907.1 pep:known chromosome:GRCm38:9:50710137:50727938:-1 gene:ENSMUSG00000032064.17 transcript:ENSMUST00000118707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dixdc1 description:DIX domain containing 1 [Source:MGI Symbol;Acc:MGI:2679721] MLACLTRGNLLDVLQEGFNEQQLQAYVAWVNAQLKKRPSVKPVQDLRQDLRDGVILAYLI EIVGQLALDSDASVDERTDFFLLHSPFKAGCSFFRMHGNSVGKYLKVLALVNLRVGQ >ENSMUSP00000132386.1 pep:known chromosome:GRCm38:6:141907282:141946810:-1 gene:ENSMUSG00000041698.11 transcript:ENSMUST00000168119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1a1 description:solute carrier organic anion transporter family, member 1a1 [Source:MGI Symbol;Acc:MGI:1351891] MEETEKKVATQEGRFFSKMKVFLMSLTCAYLAKSLSGVYMNSMLTQIERQFGIPTSVVGF ITGSFEIGNLLLIVFVSYFGRKLHRPIIIGVGCVVMGLGCFLMASPHFLMGRYKYETTIS PTSNLSSNSFLCIENRTQTLKPTQDPTECVKEIKSLMWIYVLIGNTMRGIGETPIMPLGI SYIEDFAKSENSPLYIGILEMGKIVGPIIGLLLGSFFARVYVDIGSVNTDDLTITPTDTR WVGAWWIGFLVCAGVNILTSIPFFFFPKTLPKKELQDNVDVTKYEKVEKHRERAKKENLG ITKDFLPFMKSLCCNPIYMLFSLTSVLQINGFASTFTFLPKYLEQQYGKSTSEAVFLIGV YSLPPVCLGYLISGFIMKKFKITVKKAAYIAFGLSLSEYFIFLCNYLLTCDNFPVAGLTT SYKGVQHPLYGEKNVLADCNTRCSCLTDTWDPVCGDNGLAYMSACLAGCEKSVGTGTNMV FQNCSCIGSSGNSSAVLGLCKKGPECDNKLQYFLIKSVFSSFIFSLAAIPGYMVLLRCVK SEEKSIGVGLHAFFIRLLAGIPAPVYFGALIDRTCLHWGTLKCGQPGACRMYDINRFRVS SPRDRKEELCLLQIAL >ENSMUSP00000037022.5 pep:known chromosome:GRCm38:6:141907853:141946962:-1 gene:ENSMUSG00000041698.11 transcript:ENSMUST00000042119.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1a1 description:solute carrier organic anion transporter family, member 1a1 [Source:MGI Symbol;Acc:MGI:1351891] MEETEKKVATQEGRFFSKMKVFLMSLTCAYLAKSLSGVYMNSMLTQIERQFGIPTSVVGF ITGSFEIGNLLLIVFVSYFGRKLHRPIIIGVGCVVMGLGCFLMASPHFLMGRYKYETTIS PTSNLSSNSFLCIENRTQTLKPTQDPTECVKEIKSLMWIYVLIGNTMRGIGETPIMPLGI SYIEDFAKSENSPLYIGILEMGKIVGPIIGLLLGSFFARVYVDIGSVNTDDLTITPTDTR WVGAWWIGFLVCAGVNILTSIPFFFFPKTLPKKELQDNVDVTKYEKVEKHRERAKKENLG ITKDFLPFMKSLCCNPIYMLFSLTSVLQINGFASTFTFLPKYLEQQYGKSTSEAVFLIGV YSLPPVCLGYLISGFIMKKFKITVKKAAYIAFGLSLSEYFIFLCNYLLTCDNFPVAGLTT SYKGVQHPLYGEKNVLADCNTRCSCLTDTWDPVCGDNGLAYMSACLAGCEKSVGTGTNMV FQNCSCIGSSGNSSAVLGLCKKGPECDNKLQYFLIKSVFSSFIFSLAAIPGYMVLLRCVK SEEKSIGVGLHAFFIRLLAGIPAPVYFGALIDRTCLHWGTLKCGQPGACRMYDINRFRHI YLGLPAAVRGSSFLPAVFILILMRKFHFPGDIHSPDTELAEMKLTEKESECTDVCRSPKV ENDGELKTKL >ENSMUSP00000099364.4 pep:known chromosome:GRCm38:11:103821782:103954056:-1 gene:ENSMUSG00000034187.18 transcript:ENSMUST00000103075.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsf description:N-ethylmaleimide sensitive fusion protein [Source:MGI Symbol;Acc:MGI:104560] MAGRTMQAARCPTDELSLSNCAVVNEKDFQSGQHVMVRTSPNHKYIFTLRTHPSVVPGCI AFSLPQRKWAGLSIGQDIEVALYSFDKAKQCIGTMTIEIDFLQKKNIDSNPYDTDKMAAE FIQQFNNQAFSVGQQLVFSFNDKLFGLLVKDIEAMDPSILKGEPASGKRQKIEVGLVVGN SQVAFEKAENSSLNLIGKAKTKENRQSIINPDWNFEKMGIGGLDKEFSDIFRRAFASRVF PPEIVEQMGCKHVKGILLYGPPGCGKTLLARQIGKMLNAREPKVVNGPEILNKYVGESEA NIRKLFADAEEEQRRLGANSGLHIIIFDEIDAICKQRGSMAGSTGVHDTVVNQLLSKIDG VEQLNNILVIGMTNRPDLIDEALLRPGRLEVKMEIGLPDEKGRLQILHIHTARMRGHQLL SADVDIKELAVETKNFSGAELEGLVRAAQSTAMNRHIKASTKVEVDMEKAESLQVTRGDF LASLENDIKPAFGTNQEDYASYIMNGIIKWGDPVTRVLDDGELLVQQTKNSDRTPLVSVL LEGPPHSGKTALAAKIAEESNFPFIKICSPDKMIGFSETAKCQAMKKIFDDAYKSQLSCV VVDDIERLLDYVPIGPRFSNLVLQALLVLLKKAPPQGRKLLIIGTTSRKDVLQEMEMLNA FSTTIHVPNIATGEQLLEALELLGNFKDKERTTIAQQVKGKKVWIGIKKLLMLIEMSLQM DPEYRVRKFLALMREEGASPLDFD >ENSMUSP00000133591.1 pep:known chromosome:GRCm38:11:103910453:103938216:-1 gene:ENSMUSG00000034187.18 transcript:ENSMUST00000133774.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsf description:N-ethylmaleimide sensitive fusion protein [Source:MGI Symbol;Acc:MGI:104560] MVRTSPNHKYIFTLRTHPSVVPGCIAFSLPQRKWAGLSIGQDIEVALYSFDKAKQCIGTM TIEIDFLQKKNIDSNPYDTDKMAAEFIQQFNNQAFSVGQQLVFSFNDKLFGLLVKDIEAM DPSILKGEPASGKRQKIEVGLVVGNSQVAFEKAENSSLNLIGKAKTKENRQSIINPDWNF EKMGIGGLDKEFSDIFRRAFASRVFPPEIV >ENSMUSP00000133603.1 pep:known chromosome:GRCm38:11:103926148:103938216:-1 gene:ENSMUSG00000034187.18 transcript:ENSMUST00000149642.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsf description:N-ethylmaleimide sensitive fusion protein [Source:MGI Symbol;Acc:MGI:104560] MTMQAARCPTDELSLSNCAVVNEKDFQSGQHVMVRTSPNHKYIFTLRTHPSVVPGCIAFS LPQRKWAGLSIGQDIE >ENSMUSP00000113715.1 pep:known chromosome:GRCm38:10:93961522:94035817:-1 gene:ENSMUSG00000036099.16 transcript:ENSMUST00000119818.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezt description:vezatin, adherens junctions transmembrane protein [Source:MGI Symbol;Acc:MGI:2143698] MTPEFDEEVVFENSPLYQYLQDLGHTDFEICSSSSPKPEKCLTTEGPQPPPTRVLQRQGI LLKLTETIKSWTFSSQHSKKDDLLHKLDTGFRLDSLHTILQQEVLLQEDVELLELLDASI LSAGQPQQESGHLPTLCSLATPNTWDVSLLFAFISLLIMFPTCWIVSSWLVWGIILFLYL IIRVLKLWRTAKLQMTLKKYRVRLEDMAANSRAFTNLVRKSLRLIQETEVISRGFTLLLD RVSAACSFNKAAQHPGQHLIGLRKAVYRTVRANFQAARLATLYMLKNYPLNSESDNVTNY ICVVPFKELGLGLSEDQISEEEARNLTDGFSLPALKVLFQLWVAQSSEFFRRLALLLSTA NSPSGPLLTAALLPHHILCDVTQGLPHAHSACLDELKRSYEFFRYFETQHQSVPQRLSKT PQKSRELSNVHTAVRSLQLHLKALLNEVIILEDELEKLVCTKETQELLSEAYPILEQKLK LIEPHVQASNSCWEEAISQVDKLLRRNTDKKGKPGVACENPHCTAEPLVRPALHIEDRDP IPEEQELEAYVDDIDIESEFRKDDFYHLSQEDRERQKREQEESRRVLQELKSVLGFKASE AERQKWKQLLFSDHAVLTSLSPVDPVESVSNSEPPMNSDTEKVNSNATEEETSKPCAGDK EDSRTEYVCDSPTEGPSKDTSADTGLLLPGAEETMCHQHESEAKSPQAAAAGATAPPTPR DTLQLSIKQRLARLQLPPEFTFSAGLAAEVAARSLSFTTMQEQTFGDEEEEQLVEGGENE VEEK >ENSMUSP00000037955.6 pep:known chromosome:GRCm38:10:93968100:94035748:-1 gene:ENSMUSG00000036099.16 transcript:ENSMUST00000047711.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezt description:vezatin, adherens junctions transmembrane protein [Source:MGI Symbol;Acc:MGI:2143698] MTPEFDEEVVFENSPLYQYLQDLGHTDFEICSSSSPKPEKCLTTEGPQPPPTRVLQRQGI LLKLTETIKSWTFSSQHSKKDDLLHKLDTGFRLDSLHTILQQEVLLQEDVELLELLDASI LSAGQPQQESGHLPTLCSLATPNTWDVSLLFAFISLLIMFPTCWIVSSWLVWGIILFLYL IIRVLKLWRTAKLQMTLKKYRVRLEDMAANSRAFTNLVRKSLRLIQETEVISRGFTLVSA ACSFNKAAQHPGQHLIGLRKAVYRTVRANFQAARLATLYMLKNYPLNSESDNVTNYICVV PFKELGLGLSEDQISEEEARNLTDGFSLPALKVLFQLWVAQSSEFFRRLALLLSTANSPS GPLLTAALLPHHILCDVTQGLPHAHSACLDELKRSYEFFRYFETQHQSVPQRLSKTPQKS RELSNVHTAVRSLQLHLKALLNEVIILEDELEKLVCTKETQELLSEAYPILEQKLKLIEP HVQASNSCWEEAISQVDKLLRRNTDKKGKPGVACENPHCTAEPLVRPALHIEDRDPIPEE QELEAYVDDIDIESEFRKDDFYHLSQEDRERQKREQEESRRVLQELKSVLGFKASEAERQ KWKQLLFSDHAVLTSLSPVDPVESVSNSEPPMNSDTEKVNSNATEEETSKPCAGDKEDSR TEYVCDSPTEGPSKDTSADTGLLLPGAEETMCHQHESEAKSPQAAAAGATAPPTPRDTLQ LSIKQRLARLQLPPEFTFSAGLAAEVAARSLSFTTMQEQTFGDEEEEQLVEGGENEVEEK >ENSMUSP00000121727.1 pep:known chromosome:GRCm38:10:93987093:94035777:-1 gene:ENSMUSG00000036099.16 transcript:ENSMUST00000150704.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vezt description:vezatin, adherens junctions transmembrane protein [Source:MGI Symbol;Acc:MGI:2143698] MTPEFDEEVVFENSPLYQYLQDLGHTDFEICSSSSPKPEKCLTTEGPQPPPTRVLQRQGI LLKLTETIKSWTFSSQHSKKDDLLHKLDTGFRLDSLHTILQQEVLLQEDVELLELLDASI LSAGQPQQESGHLPTLCSLATPNTWDVSLLFAFISLLIMFPTCWIVSSWLVWGIILFLYL IIRVLKLWRTAKLQMTLKKYRVRLEDMAANSRAFTNLVRKSLRLIQETEVISRGFTLVLL RGLSDEWLCAQPVLRQVYQMLGLVSFLTFTHIMDLT >ENSMUSP00000123575.1 pep:known chromosome:GRCm38:10:93984363:93996977:-1 gene:ENSMUSG00000036099.16 transcript:ENSMUST00000141241.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vezt description:vezatin, adherens junctions transmembrane protein [Source:MGI Symbol;Acc:MGI:2143698] AKLQMTLKKYRVRLEDMAANSRAFTNLVRKSLRLIQETEVISRGFTLLLDRVSAACSFNK AAQHPGQHLIGLRKAVYRTVRANFQAARLATLYMLKNP >ENSMUSP00000114550.1 pep:known chromosome:GRCm38:10:93993517:94035801:-1 gene:ENSMUSG00000036099.16 transcript:ENSMUST00000123201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezt description:vezatin, adherens junctions transmembrane protein [Source:MGI Symbol;Acc:MGI:2143698] MTPEFDEEVVFENSPLYQYLQDLGHTDFEICSSSSPKPEKCLTTEGPQPPPTRVLQRQGI LLKLTETIKSWTFSSQHSKKDDLLHKLDTGFRLDSLHTILQQEVLLQEDVELLELLDASI LSAGQPQQESGHLPTLCSLATPNTWVSAACSFNKAAQHPGQHLIGLRKAVYRTVRANFQA ARLATLYMLK >ENSMUSP00000113321.1 pep:known chromosome:GRCm38:10:93971773:94035742:-1 gene:ENSMUSG00000036099.16 transcript:ENSMUST00000118205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezt description:vezatin, adherens junctions transmembrane protein [Source:MGI Symbol;Acc:MGI:2143698] MTPEFDEEVVFENSPLYQYLQDLGHTDFEICSSSSPKPEKCLTTEGPQPPPTRVLQRQGI LLKLTETIKSWTFSSQHSKKDDLLHKLDTGFRLDSLHTILQQEVLLQEDVELLELLDASI LSAGQPQQESGHLPTLCSLATPNTWDVSLLFAFISLLIMFPTCWIVSSWLVWGIILFLYL IIRVLKLWRTAKLQMTLKKYRVRLEDMAANSRAFTNLVRKSLRLIQETEVISRGFTLVSA ACSFNKAAQHPGQHLIGLRKAVYRTVRANFQAARLATLYMLKNYPLNSESDNVTNYICVV PFKELGLGLSEDQISEEEARNLTDGFSLPALKVLFQLWVAQSSEFFRRLALLLSTANSPS GPLLTAALLPHHILCDVTQGLPHAHSACLDELKRSYEFFRYFETQHQSVPQRLSKTPQKS RELSNVHTAVRSLQLHLKALLNEVIILEDELEKLVCTKETQELLSEAYPILEQKLKLIEP HVQASNSCWEEAISQVDKLLRRNTDKKGKPGVACENPHCTAEPLVRPALHIEDRDPIPEE QELEAYVDDIDIESEFRKDDFYHLSQEDRERQKREQEESRRVLQELKSVLGFKASEAERQ KWKQLLFSDHEWTFNWIHHAGHGGTVIPARWLRQGGSGV >ENSMUSP00000113983.1 pep:known chromosome:GRCm38:10:93971316:94035705:-1 gene:ENSMUSG00000036099.16 transcript:ENSMUST00000118077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezt description:vezatin, adherens junctions transmembrane protein [Source:MGI Symbol;Acc:MGI:2143698] MTPEFDEEVVFENSPLYQYLQDLGHTDFEICSSSSPKPEKCLTTEGPQPPPTRVLQRQGI LLKLTETIKSWTFSSQHSKKDDLLHKLDTGFRLDSLHTILQQEVLLQEDVELLELLDASI LSAGQPQQESGHLPTLCSLATPNTWDVSLLFAFISLLIMFPTCWIVSSWLVWGIILFLYL IIRVLKLWRTAKLQMTLKKYRVRLEDMAANSRAFTNLVRKSLRLIQETEVISRGFTLVSA ACSFNKAAQHPGQHLIGLRKAVYRTVRANFQAARLATLYMLKNYPLNSESDNVTNYICVV PFKELGLGLSEDQISEEEARNLTDGFSLPALKVLFQLWVAQSSEFFRRLALLLSTANSPS GPLLTAALLPHHILCDVTQGLPHAHSACLDELKRSYEFFRYFETQHQSVPQRLSKTPQKS RELSNVHTAVRSLQLHLKALLNEVIILEDELEKLVCTKETQELLSEAYPILEQKLKLIEP HVQASNSCWEEAISQVDKLLRRNTDKKGKPGVACENPHCTAEPLVRPALHIEDRDPIPEE QELEAYVDDIDIESEFRKDDFYHLSQEDRERQKREQEESRRVLQELKSVLGFKASEAERQ KWKQLLFSDHGVKAEWN >ENSMUSP00000122561.1 pep:known chromosome:GRCm38:10:93996914:94020723:-1 gene:ENSMUSG00000036099.16 transcript:ENSMUST00000150344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezt description:vezatin, adherens junctions transmembrane protein [Source:MGI Symbol;Acc:MGI:2143698] GSHRKQSAAGRIWTRGRTFFSEETGELKTMLSNSPLYQYLQDLGHTDFEICSSSSPKPEK CLTTEGPQPPPTRVLQRQGILLKLTETIKSWTFSSQHSKKDDLLHKLDTGFRLDSLHTIL QQEVLLQEDVELLELLDASILSAGQPQQESGHLPTLCSLATPNTWDVSLLFAFISLLIMF PTCWIVSSWLVWGIILFLYLIIRVLKLWRTAKLQMTLKKYRVRLEDMAANS >ENSMUSP00000121105.1 pep:known chromosome:GRCm38:10:93939165:93974508:-1 gene:ENSMUSG00000036099.16 transcript:ENSMUST00000148450.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezt description:vezatin, adherens junctions transmembrane protein [Source:MGI Symbol;Acc:MGI:2143698] XAEPLVRPALHIEDRDPIPEEQELEAYVDDIDIESEFRKDDFYHLSQEDRERQKREQEES RRVLQELKSVLGFKASEAERQKWKQLLFSDHGYINQNLRLG >ENSMUSP00000117354.1 pep:known chromosome:GRCm38:3:90265185:90280669:1 gene:ENSMUSG00000042404.16 transcript:ENSMUST00000129564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd4b description:DENN/MADD domain containing 4B [Source:MGI Symbol;Acc:MGI:2446201] MAEERPPRLVDYFVVAGLAGNGAPIPEEKWVPEPTGPLRPPRPAEPITDVAVIARALGEE VPQGYTCIQTSAGGHPLELSAGLLGGTQPVICYRRGRDKPPLVELGVLYEGKERPKLGFQ VLDTTPYSHSANLAPPGPGHPRTYLMYRRAAEGAGLHALGITDLCLVLPSKGEGTPHTYC RLPRNLNPGMWGPAVYLCYKVGLAKANTLVYEAELLGRYPEEDNEAFPLPESVPVFCLPM GATIECWPAQTKYPVPVFSTFVLTGAAGDKVYGAALQFYEAFPRARLSERQARALGLMSA VERGRALGGRAVRSRRAIAVLSRWPAFPAFRAFLTFLYRYSVSGPHRLPLEAHISHFIHN VPFPSPQRPRILVQMSPYDNLLLCQPVSSPLPLSGASFLQLLQNLGPELAITLLLAVLTE HKLLVHSLRPDLLTSVCEALVSMIFPLHWQCPYIPLCPLVLADVLSAPVPFIVGIHSSYF DLHDPPADVICVDLDTNTLFQKEEKKPLSARTLPRRPYKLLLATLTSLYQQLDQTYTGPE EEASLEFLLTDYEAVCGRRTRLEREVQGAFLRFMACLLKGYRNFLRPLTQAPSEGSRDVD NLFYLQGFLKSRERSSHKLYSQLLHTQMFSQFIEECSFGSARHAALEFFDSCVDKVHPEQ EKPEPTPLVELEELSGSELTVFITPPEEPPVLEGSESTPQYCYDGFPELKAELFESPQEQ QGALPVPGPSRSAPSSPAPRRTKQEMKVAQRMAQKSATVPELWARCLLGHCYGLWFLCLP AYVRSVPSRVRALHTAYHVLREMENRKVVLPDEVCYRVLMQLCSHYGQPVLSVRVMLEMR RAGIVPNTITYGYYNKAVLESKWPSGTPGGRLRWAKLRNVVLGAAQFRQPLKDRRQQQQQ QQQQQQQKQQVAEQQKSGSSQTEPYLERPSPTRPLQRQTTWAGRSLREPSSPMGRLVKSG SLGSARGTQPTVEAGVAHMIEALGVLEPRGSPVPWQDGSLSDLSLTGEEMAPGGSPGGSG SALSAQSTEALEGISGRGSKTSGCQEEVGTPRKGLGARLQQLLTPSRRASASRIPPPELP SDLPPAARRSPMDSLLWPRERPGSTASESSASLGSEWDISESSLSSLSLRRSSERLSDTP GAFQPPSLEILMSSCSLCHACDSLVYDEEIMAGWAPDDSNLNTTCPFCACHFVPLLSVQT LDSRPSAPSPKSSLAGASGCKDAPAPGGPGPVLSDRRFCLALDQPQLCNGHMGSASRRVE NGAWAYLSPLVLRKELESLVENEGSEVLALPELPAAHPIIFWNLLWYFQRLRLPSVLPGL VLASCNGPPPSQLSQGPSPWLTPDPASVHVHLLWDVLTPDPNSCPPLYVLWRVHSQIPQR VVWPGPVPSCLSLALLESVLRHVGLNEVHKAVGLLLETLGPPPTGLHLQRGIYREILFLT MAALGKDHVDIVAFDKKYKSAFNKLASSMGKEELRQRRAQMPTPKAIDCRKCFGAPLEC >ENSMUSP00000096514.3 pep:known chromosome:GRCm38:3:90266514:90280669:1 gene:ENSMUSG00000042404.16 transcript:ENSMUST00000098914.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd4b description:DENN/MADD domain containing 4B [Source:MGI Symbol;Acc:MGI:2446201] MEADAVSEAEAMAEERPPRLVDYFVVAGLAGNGAPIPEEKWVPEPTGPLRPPRPAEPITD VAVIARALGEEVPQGYTCIQTSAGGHPLELSAGLLGGTQPVICYRRGRDKPPLVELGVLY EGKERPKLGFQVLDTTPYSHSANLAPPGPGHPRTYLMYRRAAEGAGLHALGITDLCLVLP SKGEGTPHTYCRLPRNLNPGMWGPAVYLCYKVGLAKANTLVYEAELLGRYPEEDNEAFPL PESVPVFCLPMGATIECWPAQTKYPVPVFSTFVLTGAAGDKVYGAALQFYEAFPRARLSE RQARALGLMSAVERGRALGGRAVRSRRAIAVLSRWPAFPAFRAFLTFLYRYSVSGPHRLP LEAHISHFIHNVPFPSPQRPRILVQMSPYDNLLLCQPVSSPLPLSGASFLQLLQNLGPEL AITLLLAVLTEHKLLVHSLRPDLLTSVCEALVSMIFPLHWQCPYIPLCPLVLADVLSAPV PFIVGIHSSYFDLHDPPADVICVDLDTNTLFQKEEKKPLSARTLPRRPYKLLLATLTSLY QQLDQTYTGPEEEASLEFLLTDYEAVCGRRTRLEREVQGAFLRFMACLLKGYRNFLRPLT QAPSEGSRDVDNLFYLQGFLKSRERSSHKLYSQLLHTQMFSQFIEECSFGSARHAALEFF DSCVDKVHPEQEKPEPTPLVELEELSGSELTVFITPPEEPPVLEGSESTPQYCYDGFPEL KAELFESPQEQQGALPVPGPSRSAPSSPAPRRTKQEMKVAQRMAQKSATVPELWARCLLG HCYGLWFLCLPAYVRSVPSRVRALHTAYHVLREMENRKVVLPDEVCYRVLMQLCSHYGQP VLSVRVMLEMRRAGIVPNTITYGYYNKAVLESKWPSGTPGGRLRWAKLRNVVLGAAQFRQ PLKDRRQQQQQQQQQQQQKQQVAEQQKSGSSQTEPYLERPSPTRPLQRQTTWAGRSLREP SSPMGRLVKSGSLGSARGTQPTVEAGVAHMIEALGVLEPRGSPVPWQDGSLSDLSLTGEE MAPGGSPGGSGSALSAQSTEALEGISGRGSKTSGCQEEVGTPRKGLGARLQQLLTPSRRA SASRIPPPELPSDLPPAARRSPMDSLLWPRERPGSTASESSASLGSEWDISESSLSSLSL RRSSERLSDTPGAFQPPSLEILMSSCSLCHACDSLVYDEEIMAGWAPDDSNLNTTCPFCA CHFVPLLSVQTLDSRPSAPSPKSSLAGASGCKDAPAPGGPGPVLSDRRFCLALDQPQLCN GHMGSASRRVENGAWAYLSPLVLRKELESLVENEGSEVLALPELPAAHPIIFWNLLWYFQ RLRLPSVLPGLVLASCNGPPPSQLSQGPSPWLTPDPASVHVHLLWDVLTPDPNSCPPLYV LWRVHSQIPQRVVWPGPVPSCLSLALLESVLRHVGLNEVHKAVGLLLETLGPPPTGLHLQ RGIYREILFLTMAALGKDHVDIVAFDKKYKSAFNKLASSMGKEELRQRRAQMPTPKAIDC RKCFGAPLEC >ENSMUSP00000118483.1 pep:known chromosome:GRCm38:3:90267461:90275644:1 gene:ENSMUSG00000042404.16 transcript:ENSMUST00000156358.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd4b description:DENN/MADD domain containing 4B [Source:MGI Symbol;Acc:MGI:2446201] XVDYFVVAGLAGNGAPIPEEKWVPEPTGPLRPPRPAEPITDVAVIARALGEEVPQGYTCI QTSAGGHPLELSAGLLGGTQPVICYRRGRDKPPLVELGVLYEGKERPKLGFQVLDTTPYS HSANLAPPGPGHPRTYLMYRRAAEGAGLHALGITDLCLVLPSKGEGTPHTYCRLPRNLNP GMWGPAVYLCYKVGLAKANTLVYEAVL >ENSMUSP00000121350.1 pep:known chromosome:GRCm38:3:90269016:90271697:1 gene:ENSMUSG00000042404.16 transcript:ENSMUST00000151648.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dennd4b description:DENN/MADD domain containing 4B [Source:MGI Symbol;Acc:MGI:2446201] XNEAFPLPESVPVFCLPMGATIECWPAQTKYPVPVFSTFVLTGAAGDKVYGAALQFYEAF PRARLSERQARALGLMSAVERGRALGGRAVRSRRAIAVLSRWPAFPAFRAFLTFLYRYSV SGPHRLPLEAHISHFIHNVPFPSPQRPRILVQVRDVSL >ENSMUSP00000116003.1 pep:known chromosome:GRCm38:3:90275462:90277478:1 gene:ENSMUSG00000042404.16 transcript:ENSMUST00000138376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd4b description:DENN/MADD domain containing 4B [Source:MGI Symbol;Acc:MGI:2446201] XWPSGTPGGRLRWAKLRNVVLGAAQFRQPLKDRRQQQQQQQQQQQQKQQVAEQQKSGSSQ TEPYLERPSPTRPLQRQTTWAGRSLREPSSPMGRLVKSGSLGSARGTQPTVEAGVAHKAL GVLEPRGSPVPWQDGSLSDLSLTGEEMAPGGSPGGSGSALSAQSTEALEGISGRGSKTSG CQEEVGTPRKGLGARLQQLLTPSRRASASRIPPPELPSDLPPAARRSPMDSLLWPRERPG STASESSASLGSEWDISESSLSSL >ENSMUSP00000020930.7 pep:known chromosome:GRCm38:11:109362831:109401369:1 gene:ENSMUSG00000020611.14 transcript:ENSMUST00000020930.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gna13 description:guanine nucleotide binding protein, alpha 13 [Source:MGI Symbol;Acc:MGI:95768] MADFLPSRSVLSVCFPGCVLTNGEAEQQRKSKEIDKCLSREKTYVKRLVKILLLGAGESG KSTFLKQMRIIHGQDFDQRAREEFRPTIYSNVIKGMRVLVDAREKLHIPWGDNKNQLHGD KLMAFDTRAPMAAQGMVETRVFLQYLPAIRALWEDSGIQNAYDRRREFQLGESVKYFLDN LDKLGVPDYIPSQQDILLARRPTKGIHEYDFEIKNVPFKMVDVGGQRSERKRWFECFDSV TSILFLVSSSEFDQVLMEDRQTNRLTESLNIFETIVNNRVFSNVSIILFLNKTDLLEEKV QVVSIKDYFLEFEGDPHCLRDVQKFLVECFRGKRRDQQQRPLYHHFTTAINTENIRLVFR DVKDTILHDNLKQLMLQ >ENSMUSP00000102313.3 pep:known chromosome:GRCm38:11:109362831:109380475:1 gene:ENSMUSG00000020611.14 transcript:ENSMUST00000106702.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gna13 description:guanine nucleotide binding protein, alpha 13 [Source:MGI Symbol;Acc:MGI:95768] MADFLPSRSVLSVCFPGCVLTNGEAEQQRKSKEIDKCLSREKTYVKRLVKILLLGAGESG KSTFLKQMRIIHGQDFDQRAREEFRPTIYSNVIKGMRVLVDAREKLHIPWGDNKNQLHGD KLMAFDTRAPMAAQGMVETRVFLQYLPAIRALWEDSGIQNAYDRRREFQLMET >ENSMUSP00000089393.4 pep:known chromosome:GRCm38:18:82475146:82558703:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000091789.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] MGNHSGKRELSAEKASKDGEIHRGEAGKKRSVGKLSQTASEDSDVFGEADAIQNNGTSAE DTAVTDSKHTADPKNNWQGAHPADPGNRPHLIRLFSRDAPGREDNTFKDRPSESDELQTI QEDPTAASGGLDVMASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGD RGAPKRGSGKVSSEP >ENSMUSP00000110324.1 pep:known chromosome:GRCm38:18:82475148:82514344:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000114676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] MGNHSGKRELSAEKASKEIWHGALGSPERVLLLRRSSETREFFSFHAGIHSTSPL >ENSMUSP00000046185.7 pep:known chromosome:GRCm38:18:82475162:82585637:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000047865.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] MGNHSGKRELSAEKASKDGEIHRGEAGKKRSVGKLSQTASEDSDVFGEADAIQNNGTSAE DTAVTDSKHTADPKNNWQGAHPADPGNRPHLIRLFSRDAPGREDNTFKDRPSESDELQTI QEDPTAASGGLDVMASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGD RGAPKRGSGKDSHTRTTHYGSLPQKSQHGRTQDENPVVHFFKNIVTPRTPPPSQGKGGRD SRSGSPMARR >ENSMUSP00000138313.1 pep:known chromosome:GRCm38:18:82475370:82584217:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000143506.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] MGNHSGKRELSAEKASKDGEIHRGEAGKKRSVGKLSQTASEDSDVFGLTHENYPLWLPAP EVAARPDPR >ENSMUSP00000115409.1 pep:known chromosome:GRCm38:18:82554470:82585627:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000152071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] XDAPGREDNTFKDRPSESDELQTIQEDPTAASGGLDVMASQKRPSQRSKYLATASTMDHA RHGFLPRHRDTGILDSIGRFFSGDRGAPKRGSGKVPWLKQSRSPLPSHARSRPGLCHMYK DSHTRTTHYGSLPQKSQHGRTQDENPVVHFFKNIVTPRTPPPSQGKGRGLSLSRFSWGGR DSRSGSPMARR >ENSMUSP00000115082.1 pep:known chromosome:GRCm38:18:82554509:82585631:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000142850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] XPSESDELQTIQEDPTAASGGLDVMASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGI LDSIGRFFSGDRGAPKRGSGKDSHTRTTHYGSLPQKSQHGRTQDENPVVHFFKNIVTPRT PPPSQGKGRGLSLSRFSWGAEGQKPGFGYGGRASDYKSAHKGFKGAYDAQGTLSKIFKLG GRDSRSGSPMARR >ENSMUSP00000116019.1 pep:known chromosome:GRCm38:18:82554516:82585627:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000133193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] XESDELQTIQEDPTAASGGLDVMASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILD SIGRFFSGDRGAPKRGSGKDSHTRTTHYGSLPQKSQHGRTQDENPVVHFFKNIVTPRTPP PSQGKGRGLSLSRFSWGGRDSRSGSPMARR >ENSMUSP00000121855.1 pep:known chromosome:GRCm38:18:82554530:82584156:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000123251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] XQTIQEDPTAASGGLDVMASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRF FSGDRGAPKRGSGKVPWLKQSRSPLPSHARSRPGLCHMYKDSHTRTTHYGSLPQKSQHGR TQDENPVVHFFKNIVTPRTPPPSQGKGRGLSLSRFSWGAEGQKPGFGYGGRASDYKSAHK GFKGAYDAQGTLSKIFKLGGRDSRSGSPMARR >ENSMUSP00000114630.1 pep:known chromosome:GRCm38:18:82554546:82585637:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000153478.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] XDPTAASGGLDVMASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGDR GAPKRGSGKVPWLKQSRSPLPSHARSRPGLCHMYKDSHTRTTHYGSLPQKSQHGRTQDEN PVVHFFKNIVTPRTPPPSQGKGAEGQKPGFGYGGRASDYKSAHKGFKGAYDAQGTLSKIF KLGGRDSRSGSPMARR >ENSMUSP00000114230.1 pep:known chromosome:GRCm38:18:82554583:82585626:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000132369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] ASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGDRGAPKRGSGKDSHT RTTHYGSLPQKSQHGRTQDENPVVHFFKNIVTPRTPPPSQGKGAEGQKPGFGYGGRASDY KSAHKGFKGAYDAQGTLSKIFKLGGRDSRSGSPMARR >ENSMUSP00000053495.7 pep:known chromosome:GRCm38:18:82554463:82585637:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000062446.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] MASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGDRGAPKRGSGKVPW LKQSRSPLPSHARSRPGLCHMYKDSHTRTTHYGSLPQKSQHGRTQDENPVVHFFKNIVTP RTPPPSQGKGRGLSLSRFSWGAEGQKPGFGYGGRASDYKSAHKGFKGAYDAQGTLSKIFK LGGRDSRSGSPMARR >ENSMUSP00000099876.4 pep:known chromosome:GRCm38:18:82554463:82585637:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000102812.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] MASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGDRGAPKRGSGKDSH TRTTHYGSLPQKSQHGRTQDENPVVHFFKNIVTPRTPPPSQGKGRGLSLSRFSWGAEGQK PGFGYGGRASDYKSAHKGFKGAYDAQGTLSKIFKLGGRDSRSGSPMARR >ENSMUSP00000074836.5 pep:known chromosome:GRCm38:18:82554463:82585637:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000075372.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] MASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGDRGAPKRGSGKDSH TRTTHYGSLPQKSQHGRTQDENPVVHFFKNIVTPRTPPPSQGKGAEGQKPGFGYGGRASD YKSAHKGFKGAYDAQGTLSKIFKLGGRDSRSGSPMARR >ENSMUSP00000079488.4 pep:known chromosome:GRCm38:18:82554463:82585637:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000080658.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] MASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGDRGAPKRGSGKVPW LKQSRSPLPSHARSRPGLCHMYKDSHTRTTHYGSLPQKSQHGRTQDENPVVHFFKNIVTP RTPPPSQGKGRGLSLSRFSWGGRDSRSGSPMARR >ENSMUSP00000110322.3 pep:known chromosome:GRCm38:18:82554508:82585637:1 gene:ENSMUSG00000041607.16 transcript:ENSMUST00000114674.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbp description:myelin basic protein [Source:MGI Symbol;Acc:MGI:96925] MASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGDRGAPKRGSGKVPW LKQSRSPLPSHARSRPGLCHMYKDSHTRTTHYGSLPQKSQHGRTQDENPVVHFFKNIVTP RTPPPSQGKGAEGQKPGFGYGGRASDYKSAHKGFKGAYDAQGTLSKIFKLGGRDSRSGSP MARR >ENSMUSP00000115822.1 pep:known chromosome:GRCm38:1:151571373:151721939:1 gene:ENSMUSG00000026483.13 transcript:ENSMUST00000148810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam129a description:family with sequence similarity 129, member A [Source:MGI Symbol;Acc:MGI:2137237] MGGSASSQLDEGKCAYIRGKTEASIKNFSPYYSRQYSVAFCNHVRSEVEQQRDLTSQFLK TKPPLEPGTVLYEAELSQFAEDIRKWKDRYIVIKNDFAVESYESKEAYQRGAVPKSRILP AGGKVLTSEEEYSLLSDKHFPDPTASSEKNSQPFVLLPKAFPVYLWQPYLRHGYFCFHEA AEQQKFSALLNDCIRHLNHDYMKQTTFEAQAFLEAVQFFRQEKGHYGSWEMTTGDEVQVL SKLVMEELLPTLQTDLLPKLKGKKNDRKRAWFGLLEEAYNLVQHQVSEGLNALKEECRAL TKDLEGTIRSDMDQIVTSKNFLTGKIRAMVAQPAEQCCGESVQPFLASILEELMGPVSSG FSEVRALFEKEVDELSQSFHATQDSAQLKEGLQQLMKLPLDSVKMEPCYTKVTLLPERLL DLQSRFRFPHVDLVVQRTQNYMQELMENAVFTFEQLLSPYLQGEASRIPVAIEKVKLRVL KQYDYDSSTIRKKIFQEALIQITLPTVQKALASTCKPELQKYEQFIFADHTNMIHVENVY EEILYEILLDETLKVITEAAILKKHNLFEDNMALPSESVSSLTDLKTAMGSNQASPARRV SAILPGAPDNELPSNEVFQEPEEKKEQPGVPGSLAISASSCPSGGDGQVSVDHSAGGPLT VENTAGPLSSHLSEVEAGGTLKDEEPTCQSPEPSAVPGSLKELKKLLTVTVSVESAPVVE NDIHNGTPVPQENIKEEESKIHPEASHPAAIQQDSCEEREVREKEAQPLEAEAPGVDLGI LPEGRGSTSQSTSGGLTENTSCPGPIEEPFEAQEPAEKVLPAIVSTEDSPQAGGEAEHSV TVTPQEDATLSSNPICPMESNEVAQASGDQEVLGGEDSSALGMDTEQVNDTHEHACQWLV EDTLSTDILAVHDFDVSSPEQPSEEW >ENSMUSP00000095148.3 pep:known chromosome:GRCm38:1:151571452:151719342:1 gene:ENSMUSG00000026483.13 transcript:ENSMUST00000097541.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam129a description:family with sequence similarity 129, member A [Source:MGI Symbol;Acc:MGI:2137237] MGGSASSQLDEGKCAYIRGKTEASIKNFSPYYSRQYSVAFCNHVRSEVEQQRDLTSQFLK TKPPLEPGTVLYEAELSQFAEDIRKWKDRYIVIKNDFAVESYESKEAYQRGAVPKSRILP AGGKVLTSEEEYSLLSDKHFPDPTASSEKNSQPFVLLPKAFPVYLWQPYLRHGYFCFHEA AEQQKFSALLNDCIRHLNHDYMKQTTFEAQAFLEAVQFFRQEKGHYGSWEMTTGDEVQVL SKLVMEELLPTLQTDLLPKLKGKKNDRKRAWFGLLEEAYNLVQHQVSEGLNALKEECRAL TKDLEGTIRSDMDQIVTSKNFLTGKIRAMVAQPAEQCCGESVQPFLASILEELMGPVSSG FSEVRALFEKEVDELSQSFHATQDSAQLKEGLQQLMKLPLDSVKMEPCYTKVTLLPERLL DLQSRFRFPHVDLVVQRTQNYMQELMENAVFTFEQLLSPYLQGEASRIPVAIEKVKLRVL KQYDYDSSTIRKKIFQEALIQITLPTVQKALASTCKPETLVSIEKKRTRHPSQTLRCLEK PSLHRMSSQKTQRKWPVAACLLILGSTRRSFRNMSSSSLQIIPT >ENSMUSP00000107506.1 pep:known chromosome:GRCm38:1:151571496:151690182:1 gene:ENSMUSG00000026483.13 transcript:ENSMUST00000111875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam129a description:family with sequence similarity 129, member A [Source:MGI Symbol;Acc:MGI:2137237] MGGSASSQLDEGKCAYIRGKTEASIKNFSPYYSRQYSVAFCNHVRSEVEQQRDLTSQFLK TKPPLEPGTVLYEAELSQFAEDIRKWKDRYIVIKNDFAVESYESKEAYQRGAVPKSRILP AGGKVLTSEEEYSLLSDKHFPDPTASSEKNSQPFVLLPKAFPVYLWQPYLRHGYFCFHEA AEQQKFSALLNDCIRHLNHDYMKQTTFEAQAFLEAVQFFRQEKGHYGSWEMTTGDEVQVL WASELWILSHLCDRGQGHIMKVAQIEDVVSKAFP >ENSMUSP00000115692.1 pep:known chromosome:GRCm38:1:151571186:151644898:1 gene:ENSMUSG00000026483.13 transcript:ENSMUST00000134499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam129a description:family with sequence similarity 129, member A [Source:MGI Symbol;Acc:MGI:2137237] MGGSASSQLDEGKCAYIRGKTEASIKNFSPYYSRQYSVAFCNHVRSEVEQQRDLTSQFLK TKPPLEPGTVLYEAELSQFAEDIRKWKDRYIVIKNDFAVESYESKEAYQRGAVP >ENSMUSP00000057690.6 pep:known chromosome:GRCm38:1:92906981:92908435:1 gene:ENSMUSG00000047067.7 transcript:ENSMUST00000060913.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp28 description:dual specificity phosphatase 28 [Source:MGI Symbol;Acc:MGI:1914696] MGTSEAAPPPFARVAPALFIGNARAAGATELLVRAGITLCVNVSRQQPGPRAPGVAELRV PVFDDPAEDLLTHLEPTCAAMEAAVRDGGSCLVYCKNGRSRSAAVCTAYLMRHRGHSLDR AFQMVKSARPVAEPNLGFWAQLQKYEQTLQAQAILPREPIDPE >ENSMUSP00000035222.5 pep:known chromosome:GRCm38:9:108662098:108684641:1 gene:ENSMUSG00000032602.6 transcript:ENSMUST00000035222.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a20 description:solute carrier family 25 (mitochondrial carnitine/acylcarnitine translocase), member 20 [Source:MGI Symbol;Acc:MGI:1928738] MADEPKPISPFKNLLAGGFGGMCLVFVGHPLDTVKVRLQTQPPSLSGQPPMYSGTLDCFR KTLMREGITGLYRGMAAPIIGVTPMFAVCFFGFGLGKKLQQKSPEDELSYPQLFTAGMLS GVFTTGIMTPGERIKCLLQIQASSGENKYSGTLDCAKKLYQEFGIRGFYKGTVLTLMRDV PASGMYFMTYEWLKNLFTPEGKSVSDLSVPRILVAGGFAGIFNWAVAIPPDVLKSRFQTA PPGKYPNGFRDVLRELIREEGVTSLYKGFNAVMIRAFPANAACFLGFEIAMKFLNWIAPN L >ENSMUSP00000000221.5 pep:known chromosome:GRCm38:7:121734479:121768475:1 gene:ENSMUSG00000000216.8 transcript:ENSMUST00000000221.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnn1g description:sodium channel, nonvoltage-gated 1 gamma [Source:MGI Symbol;Acc:MGI:104695] MAPGEKIKAKIKKNLPVRGPQAPTIKDLMHWYCLNTNTHGCRRIVVSRGRLRRLLWIAFT LTAVALIIWQCALLVFSFYTVSVSIKVHFQKLDFPAVTICNINPYKYSAVSDLLTDLDSE TKQALLSLYGVKDVLDSTPRKRREAGSMRSTWEGTPPRFLNLIPLLVFNENEKGKARDFF TGRKRKISGKIIHKASNVMHVHESKKLVGFQLCSNDTSDCATYTFSSGINAIQEWYKLHY MNIMAQVPLEKKINMSYSAEELLVTCFFDGMSCDARNFTLFHHPMYGNCYTFNNRENATI LSTSMGGSEYGLQVILYINEDEYNPFLVSSTGAKVLVHQQNEYPFIEDVGTEIETAMSTS IGMHLTESFKLSEPYSQCTEDGSDVPVTNIYNAAYSLQICLYSCFQTKMVEKCGCAQYSQ PLPPAANYCNYQQHPNWMYCYYQLYQAFVREELGCQSVCKQSCSFKEWTLTTSLAQWPSE ASEKWLLNVLTWDQSQQINKKLNKTDLAKLLIFYKDLNQRSIMESPANSIEMLLSNFGGQ LGLWMSCSVVCVIEIIEVFFIDFFSIIARRQWQKAKDWWARRRTPPSTETPSSQQGQDNP ALDTDDDLPTFTSAMRLPPAPEAPVPGTPPPRYNTLRLDSAFSSQLTDTQLTNEF >ENSMUSP00000126233.2 pep:known chromosome:GRCm38:7:144476758:144553729:-1 gene:ENSMUSG00000037519.17 transcript:ENSMUST00000168134.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfia1 description:protein tyrosine phosphatase, receptor type, f polypeptide (PTPRF), interacting protein (liprin), alpha 1 [Source:MGI Symbol;Acc:MGI:1924750] MMCEVMPTISEAEGPPGGGGSHGSGSPSQPDADSHFEQLMVSMLEERDRLLDTLRETQET LALTQGKLHEVGHERDSLQRQLNTALPQEFAALTKELNVCREQLLEREEEIAELKAERNN TRLLLEHLECLVSRHERSLRMTVVKRQAQSPAGVSSEVEVLKALKSLFEHHKALDEKVRE RLRVALERCSLLEEELGATHKELMILKEQNNQKKTLTDGLLDGNHEQESAPSTNGKRSSD GSLSHEDLAKVLELQEVIDRQAREQSQMKERLASLSSHAAELEEDLDTARKDLIKSEEMN TKLQREVREAMAQKEDMEERITTLEKRYLAAQREATSVHDLNDKLENEIANKDSMHRQTE DKNRQLQERLELAEQKLQQTLRKAETLPEVEAELAQRVAALSKAEERHGNIEERLRQMEA QLEEKNQELQRARQREKMNEEHNKRLSDTVDKLLSESNERLQLHLKERMAALEDKNSLLR EVENAKKQLEETQHDKDQLVVTIEALKAELEQMRLRGPSLHHGRPHLGSVPDFRFSVADG HVDAYSTSAVLRRPQKGRLAALRDEPSKVQTLNEQDWERAQQASVLANVAQAFESDVDVS DGEDDRDTLLSSVDLLSPSGQADAQTLAMMLQEQLDAINKEIRLIQEEKENTEQRAEEIE SRVGSGSLDNLGRFRSMSSIPPYPASSLAGSSPPGSGRSTPRRVPHSPAREVDRLGVMTL PSDLRKHRRQLPASREEVRDDKTTIKCETSPPSSPRPLRLDRMHKGAPHTVSHEDIRDLR NSTGSQDGPVSNPSSSNSSQDSLHKAPKKKGIKSSIGRLFGKKEKGRPGPLGKESPGQVG VSETENSSQDALGLSKLGGQAEKNRKLQKKHELLEEARRQGLPFAQWDGPTVVVWLELWV GMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNPLHRLKLRLAIQEIMSLTSPSAPP TSRTTTGNVWLTHEEMETLTATPQTEDEEGSWAQTLAYGDMNHEWIGNEWLPSLGLPQYR SYFMECLVDARMLDHLTKKDLRGQLKMVDSFHRNSFQCGIMCLRRLNYDRKELERKREES QNETRDVLVWSNDRVIRWILSIGLKEYANNLIESGVHGALLALDETFDFSALALLLQIPT QNTQARAVLEREFNNLLITGTDRRFDEDDDKSFRRAPSWRKKFRPKDIRGLASGSAETLP ANFRVTSSMSSPSMQPKKVQMDGSVSGAQRLDSATVRTYSC >ENSMUSP00000138175.1 pep:known chromosome:GRCm38:7:144476766:144513274:-1 gene:ENSMUSG00000037519.17 transcript:ENSMUST00000182958.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppfia1 description:protein tyrosine phosphatase, receptor type, f polypeptide (PTPRF), interacting protein (liprin), alpha 1 [Source:MGI Symbol;Acc:MGI:1924750] XERMAALEDKNSLLREVENAKKQLEETQHDKDQLVVTIEALKAELEQMRLRGPSLHHGRP HLGSVPDFRFSVADGHVDAYSTSAVLRRPQKGRLAALRDEPSKVQTLNEQDWERAQQASV LANVAQAFESDVDVSDGEDDRDTLLSSVDLLSPSGQADAQTLAMMLQEQLDAINKEIRLI QEEKENTEQRAEEIESRVGSGSLDNLGRFRSMSSIPPYPASSLAGSSPPGSGRSTPRRVP HSPAREVDRLGVMTLLPASREEVRDDKTTIKCETSPPSSPRPLRLDRMHKGAPHTVSHED IRDLRNSTGSQDGPVSNPSSSNSSQDSLHKAPKKKGIKSSIGRLFGKKEKGRPGPLGKES PGQVGVSETENSSQDALGLSKLGGQAEKNRKLQKKHELLEEARRQGLPFAQWDGPTVVVW LELWVGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNPLHRLKLRLAIQEIMSLTS PSAPPTSRTTLAYGDMNHEWIGNEWLPSLGLPQYRSYFMECLVDARMLDHLTKKDLRGQL KMVDSFHRQFPVWNYVPEKAKL >ENSMUSP00000138312.1 pep:known chromosome:GRCm38:7:144476978:144552537:-1 gene:ENSMUSG00000037519.17 transcript:ENSMUST00000182226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfia1 description:protein tyrosine phosphatase, receptor type, f polypeptide (PTPRF), interacting protein (liprin), alpha 1 [Source:MGI Symbol;Acc:MGI:1924750] MMCEVMPTISEAEGPPGGGGSHGSGSPSQPDADSHFEQLMVSMLEERDRLLDTLRETQET LALTQGKLHEVGHERDSLQRQLNTALPQEFAALTKELNVCREQLLEREEEIAELKAERNN TRLLLEHLECLVSRHERSLRMTVVKRQAQSPAGVSSEVEVLKALKSLFEHHKALDEKVRE RLRVALERCSLLEEELGATHKELMILKEQNNQKKTLTDGLLDGNHEQESAPSTNGKRSSD GSLSHEDLAKVLELQEVIDRQAREQSQMKERLASLSSHAAELEEDLDTARKDLIKSEEMN TKLQREVREAMAQKEDMEERITTLEKRYLAAQREATSVHDLNDKLENEIANKDSMHRQTE DKNRQLQERLELAEQKLQQTLRKAETLPEVEAELAQRVAALSKSGPLSSGSSAAKEAKLL ELTSKLRKAEERHGNIEERLRQMEAQLEEKNQELQRARQREKMNEEHNKRLSDTVDKLLS ESNERLQLHLKERMAALEDKNSLLREVENAKKQLEETQHDKDQLVVTIEALKAELEQMRL RGPSLHHGRPHLGSVPDFRFSVADGHVDAYSTSAVLRRPQKGRLAALRDEPSKVQTLNEQ DWERAQQASVLANVAQAFESDVDVSDGEDDRDTLLSSVDLLSPSGQADAQTLAMMLQEQL DAINKEIRLIQEEKENTEQRAEEIESRVGSGSLDNLGRFRSMSSIPPYPASSLAGSSPPG SGRSTPRRVPHSPAREVDRLGVMTLPSDLRKHRRQLPASREEVRDDKTTIKCETSPPSSP RPLRLDRMHKGAPHTVSHEDIRDLRNSTGSQDGPVSNPSSSNSSQDSLHKAPKKKGIKSS IGRLFGKKEKGRPGPLGKESPGQVGVSETENSSQDALGLSKLGGQAEKNRKLQKKHELLE EARRQGLPFAQWDGPTVVVWLELWVGMPAWYVAACRANVKSGAIMSALSDTEIQREIGIS NPLHRLKLRLAIQEIMSLTSPSAPPTSRTTTGNVWLTHEEMETLTATPQTEDEEGSWAQT LAYGDMNHEWIGNEWLPSLGLPQYRSYFMECLVDARMLDHLTKKDLRGQLKMVDSFHRNS FQCGIMCLRRLNYDRKELERKREESQNETRDVLVWSNDRVIRWILSIGLKEYANNLIESG VHGALLALDETFDFSALALLLQIPTQNTQARAVLEREFNNLLITGTDRRFDEDDDKSFRR APSWRKKFRPKDIRGLASGSAETLPANFRVTSSMSSPSMQPKKVQMDGSVSGAQRLDSAT VRTYSC >ENSMUSP00000138238.1 pep:known chromosome:GRCm38:7:144519258:144552362:-1 gene:ENSMUSG00000037519.17 transcript:ENSMUST00000182731.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppfia1 description:protein tyrosine phosphatase, receptor type, f polypeptide (PTPRF), interacting protein (liprin), alpha 1 [Source:MGI Symbol;Acc:MGI:1924750] QETLALTQGKLHEVGHERDSLQRQLNTALPQEFAALTKELNVCREQLLEREEEIAELKAE RNNTRCLPMKRALYRLLGSVAAAVGAPRVPCLQA >ENSMUSP00000099460.3 pep:known chromosome:GRCm38:2:144305175:144331695:-1 gene:ENSMUSG00000037279.13 transcript:ENSMUST00000103171.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ovol2 description:ovo-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1338039] MSLGCLLRDPPEDCRSDGGSSSGCSSSAGEPGGAESSSSPRAPEPETPELHDAQGTDGHL AAMQRPVARSKIKFTTGTCDNSVIHNCDLCGKSFRLQRMLNRHLKCHNQVKRHLCTFCGK GFNDTFDLKRHVRTHTGIRPYKCEVCNKAFTQRCSLESHLKKIHGVQQQYAYKQRRDKLY VCEDCGYTGPTQEDLYLHVNSDHPGSTFLKKTSKKLAALMQNKLTSPLQENSTLSEEEEK K >ENSMUSP00000044026.3 pep:known chromosome:GRCm38:2:144305175:144332146:-1 gene:ENSMUSG00000037279.13 transcript:ENSMUST00000037423.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ovol2 description:ovo-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1338039] MPKVFLVKRRSPGVSVRSWDELPDDKRADTYIPVSLGCLLRDPPEDCRSDGGSSSGCSSS AGEPGGAESSSSPRAPEPETPELHDAQGTDGHLAAMQRPVARSKIKFTTGTCDNSVIHNC DLCGKSFRLQRMLNRHLKCHNQVKRHLCTFCGKGFNDTFDLKRHVRTHTGIRPYKCEVCN KAFTQRCSLESHLKKIHGVQQQYAYKQRRDKLYVCEDCGYTGPTQEDLYLHVNSDHPGST FLKKTSKKLAALMQNKLTSPLQENSTLSEEEEKK >ENSMUSP00000139957.1 pep:known chromosome:GRCm38:7:141438113:141443353:-1 gene:ENSMUSG00000025507.13 transcript:ENSMUST00000190068.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pidd1 description:p53 induced death domain protein 1 [Source:MGI Symbol;Acc:MGI:1889507] MAAVLEGQEPEETAAAAEDAATSTLEAVDAGPGAPFLPAGNQLNLDLRPGGCHRLQYLCS QQPPQLLQVEFLRLSTHEDPQLLDDTLAKVPWSLLRLRSLVLKGGQSRGALGACLHGTLT TLPAGLSDLACLAHLDLSFNRLETLPTCVPELHGLDALLLSHNHLSELPEALGALPALTF LTVTHNRLERLPLTLGSLSTLQRLDLSENLLDTIPSEIGNLRSLSELNLASNRLQSLPAS LATS >ENSMUSP00000139487.1 pep:known chromosome:GRCm38:7:141438113:141443356:-1 gene:ENSMUSG00000025507.13 transcript:ENSMUST00000128703.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pidd1 description:p53 induced death domain protein 1 [Source:MGI Symbol;Acc:MGI:1889507] MAAVLEGQEPEETAAAAEDAATSTLEAVDAGPGAPFLPAGNQLNLDLRPGGCHRLQYLCS QQPPQLLQVEFLRLSTHEDPQLLDDTLAKVPWSLLRLRSLVLKGGQSRGALGACLHGTLT TLPAGLSDLACLAHLDLSFNRLETLPTCVPELHGLDALLLSHNHLSELPEALGALPALTF LTVTHNRLERLPLTLGSLSTLQRLDLSENLLDTIPSEIGNLRSLSELNLASNRLQSLPAS LAGLRSLRLLVLHSNLLTSVPTGLVHLPLITRLDLRDNRLRDLPAELLDAPFVRLQGNPL GEASPAPPSPPDISQVPEMPRLLLTSDLDSFQQEPPPRLSPSTIDSGCPSQAWSLWDLMT SCLVVSWSCSPMGWLSSKM >ENSMUSP00000026580.5 pep:known chromosome:GRCm38:7:141438113:141444025:-1 gene:ENSMUSG00000025507.13 transcript:ENSMUST00000026580.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pidd1 description:p53 induced death domain protein 1 [Source:MGI Symbol;Acc:MGI:1889507] MAAVLEGQEPEETAAAAEDAATSTLEAVDAGPGAPFLPAGNQLNLDLRPGGCHRLQYLCS QQPPQLLQVEFLRLSTHEDPQLLDDTLAKVPWSLLRLRSLVLKGGQSRGALGACLHGTLT TLPAGLSDLACLAHLDLSFNRLETLPTCVPELHGLDALLLSHNHLSELPEALGALPALTF LTVTHNRLERLPLTLGSLSTLQRLDLSENLLDTIPSEIGNLRSLSELNLASNRLQSLPAS LAGLRSLRLLVLHSNLLTSVPTGLVHLPLITRLDLRDNRLRDLPAELLDAPFVRLQGNPL GEASPAPPSPPDISQVPEMPRLLLTSDLDSFLVTPHGCSVTLACGVRLQFPAGATTTPVT IHYRLWLPEPGLVSLGPHDFLLSSVLELQPHGVAFQQDVSLWLLFVPPRVRRCREVVVRT RSNNTWNDLETQLEEEAPKRLWARCQVPHFSWFLVVLRPVSNTCLLPPEGALLCSSGHPG VRVTFPPGVTEEPRQVSMQVVHMAGLELRTLLEESEASVSPLLCLSQSGPPSFLQPVTVQ LPLPPGVTGFSLDRSHLHLLYRTPLTTTWDDITTQVALEFTHLYARFQVTHFSWYWLWYT TKTCVGGLARKAWERLRLHRVNLIALQRRRDPEQVLLQCLPRNKVDATLSRLLVRYRGPE PSETVEMFEGEKFFAAFERGIDVDADRPDCVDGRICFVFYSHLKNVKEVYITTALDREAQ DVRGQVSFYRGSLPVEVPAEAEAARQRKGTDALWMATLPIKLPRLRGAQGSGQGTDFSLM PLNLGDAETGFLTQSNLLSVASRLGPDWPAVALHLGMPYHKLQRIRHEFRDDLDGQVRHM LFSWAERQTGQPGAVGHLVQALEQSDRRDVAEEVRAILELGRHKYQDSIRRTGLAPEDST LPGTSASQTPESAQA >ENSMUSP00000139785.1 pep:known chromosome:GRCm38:7:141438525:141443320:-1 gene:ENSMUSG00000025507.13 transcript:ENSMUST00000190882.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pidd1 description:p53 induced death domain protein 1 [Source:MGI Symbol;Acc:MGI:1889507] MAAVLEGQEPEETAAAAEDAATSTLEAVDAGPGAPFLPAGNQLNLDLRPGGCHRLQYLCS QQPPQLLQVEFLRLSTHEDPQLLDDTLAKVPWSLLRLRSLVLKGGQSRGALGACLHGTLT TLPAGLSDLACLAHLDLSFNRLETLPTCVPELHGLDALLLSHNHLSELPEALGALPALTF LTVTHNRLERLPLTLGSLSTLQRLDLSENLLDTIPSEIGNLRSLSELNLASNRLQSLPAS LGPP >ENSMUSP00000101627.2 pep:known chromosome:GRCm38:7:141438515:141443355:-1 gene:ENSMUSG00000025507.13 transcript:ENSMUST00000106005.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pidd1 description:p53 induced death domain protein 1 [Source:MGI Symbol;Acc:MGI:1889507] MAAVLEGQEPEETAAAAEDAATSTLEAVDAGPGAPFLPAGNQLNLDLRPGGCHRLQYLCS QQPPQLLQVEFLRLSTHEDPQLLDDTLAKVPWSLLRLRSLVLKGGQSRGALGACLHGTLT TLPAGLSDLACLAHLDLSFNRLETLPTCVPELHGLDALLLSHNHLSELPEALGALPALTF LTVTHNRLERLPLTLGSLSTLQRLDLSENLLDTIPSEIGNLRSLSELNLASNRLQSLPAS LAGLRSLRLLVLHSNLLTSVPTGLVHLPLITRLDLRDNRLRDLPAELLDAPFVRLQGNPL GEASPAPPSPPDISQVPEMPRLLLTSDLDSFLVTPHGCSVTLACGVRLQFPAGATTTPVT IHYRLWLPEPGLVSLGPHDFLLSSVLELQPHGVAFQQDVSLWLLFVPPRVRRCREVVVRT RSNNTWNDLETQLEEEAPKRLWARCQVPHFSWFLVVLRPVSNTCLLPPEGALLCSSGHPG VRVTFPPGVTEEPRQVSMQVVHMAGLELRTLLEESEASVSPLLCLSQSGPPSFLQPVTVQ LPLPPGVTGFSLDRSHLHLLYRTPLTTTWDDITTQVALEFTHLYARFQVTHFSWYWLWYT TKTCVGGLARKAWERLRLHRVNLIALQRRRDPEQVLLQCLPRNKVDATLSRLLVRYRGPE PSETVEMFEGEKFFAAFERGIDVDADRPDCVDGRICFVFYSHLKNVKEVYITTALDREAQ DVRGQVSFYRGSLPVEVPAEAEAARQRKGTDALWMATLPIKLPRLRGAQGSGQGTDFSLM PLNLGDAETGFLTQSNLLSVASRLGPDWPAVALHLGMPYHKLQRIRHEFRDDLDGQVRHM LFSWAERQTGQPGAVGHLVQALEQSDRRDVAEEVRAILELGRHKYQDSIRRTGLAPEDST LPGTSASQTPESAQA >ENSMUSP00000137696.1 pep:known chromosome:GRCm38:6:141971845:142011414:-1 gene:ENSMUSG00000079263.8 transcript:ENSMUST00000181791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6614 description:predicted gene 6614 [Source:MGI Symbol;Acc:MGI:3647159] MFLMALTWAYVSKSLSGTYMVSMLTQIERQFDIPASIAGLISGSFGIGNLLLIVFVNYFG MQLHRPIIIGVGCATMGLGCFLISLPHFLMGIYEYETMISPASNLPSNSFLCVENRSQTL KPTQDPAECVKEIKSSMWIYVLVGNIIRGIGETPLLPLGVSYIEDFAKSENSPLYIGILE TGKMFGPVIGLLLGSFCASIYVDTGSVNTDDLTITPTDTRWVGAWWIGFLVCAGVNVLTS IPFFFFPKTLPEEGLQDNGDGTENDKEEKHREKAKEENRGITKDFFPFMKSLSCDPIYVL FILISVLQISTFTSTFNFLSKYLEQQFGKSTAEVVFFIGLYTLPTICIGYLIAGFIMKKF KITVKKAAYLAFCLSLCEYLFGFCNFLIACDNVPVSGLTISYEGVQHPLYVENNVLADCN TRCSCLTKTWDPVCGDNGLSFMSACHTGCEKSVGTGINMVFQNCSCIGSSGKPSAVLGLR EKGPECANKLQHLLILSVFLSVIYSLSATPGYTVLLRCVESEEKSPGVGIHTFCTRVFGG IPAPVYIGALLDRTCLHWGTQKCGEPGACRMYDINSFRSIYLGLPAALRGISYLPAFIIV ILIRKFCLPGEINSSEMEIAEMKLAEMESECTDAHRSHKFKSDGELKTKL >ENSMUSP00000137967.1 pep:known chromosome:GRCm38:6:141971845:142011414:-1 gene:ENSMUSG00000079263.8 transcript:ENSMUST00000181628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6614 description:predicted gene 6614 [Source:MGI Symbol;Acc:MGI:3647159] MEEPEKKIATHGVRCFAKIKMFLMALTWAYVSKSLSGTYMVSMLTQIERQFDIPASIAGL ISGSFGIGNLLLIVFVNYFGMQLHRPIIIGVGCATMGLGCFLISLPHFLMGIYEYETMIS PASNLPSNSFLCVENRSQTLKPTQDPAECVKEIKSSMWIYVLVGNIIRGIGETPLLPLGV SYIEDFAKSENSPLYIGILETGKMFGPVIGLLLGSFCASIYVDTGSVNTDDLTITPTDTR WVGAWWIGFLVCAGVNVLTSIPFFFFPKTLPEEGLQDNGDGTENDKEEKHREKAKEENRG ITKDFFPFMKSLSCDPIYVLFILISVLQISTFTSTFNFLSKYLEQQFGKSTAEVVFFIGL YTLPTICIGYLIAGFIMKKFKITVKKAAYLAFCLSLCEYLFGFCNFLIACDNVPVSGLTI SYEGVQHPLYVENNVLADCNTRCSCLTKTWDPVCGDNGLSFMSACHTGCEKSVGTGINMV FQNCSCIGSSGKPSAVLGLREKGPECANKLQHLLILSVFLSVIYSLSATPGYTVLLRCVE SEEKSPGVGIHTFCTRVFGGIPAPVYIGALLDRTCLHWGTQKCGEPGACRMYDINSFRSI YLGLPAALRGISYLPAFIIVILIRKFCLPGEINSSEMEIAEMKLAEMESECTDAHRSHKF KSDGELKTKL >ENSMUSP00000107463.1 pep:known chromosome:GRCm38:6:141972196:142008745:-1 gene:ENSMUSG00000079263.8 transcript:ENSMUST00000111832.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6614 description:predicted gene 6614 [Source:MGI Symbol;Acc:MGI:3647159] MFLMALTWAYVSKSLSGTYMVSMLTQIERQFDIPASIAGLISGSFGIGNLLLIVFVNYFG MQLHRPIIIGVGCATMGLGCFLISLPHFLMGIYEYETMISPASNLPSNSFLCVENRSQTL KPTQDPAECVKEIKSSMWIYVLVGNIIRGIGETPLLPLGVSYIEDFAKSENSPLYIGILE TGKMFGPVIGLLLGSFCASIYVDTGSVNTDDLTITPTDTRWVGAWWIGFLVCAGVNVLTS IPFFFFPKTLPEEGLQDNGDGTENDKEEKHREKAKEENRGITKDFFPFMKSLSCDPIYVL FILISVLQISTFTSTFNFLSKYLEQQFGKSTAEVVFFIGLYTLPTICIGYLIAGFIMKKF KITVKKAAYLAFCLSLCEYLFGFCNFLIACDNVPVSGLTISYEGVQHPLYVENNVLADCN TRCSCLTKTWDPVCGDNGLSFMSACHTGCEKSVGTGINMVFQNCSCIGSSGKPSAVLGLR EKGPECANKLQHLLILSVFLSVIYSLSATPGYTVLLRCVESEEKSPGVGIHTFCTRVFGG IPAPVYIGALLDRTCLHWGTQKCGEPGACRMYDINSFRSIYLGLPAALRGISYLPAFIIV ILIRKFCLPGEINSSEMEIAEMKLAEMESECTDAHRSHKFKSDGELKTKL >ENSMUSP00000054134.5 pep:known chromosome:GRCm38:2:101950203:102186385:-1 gene:ENSMUSG00000048058.17 transcript:ENSMUST00000058790.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldlrad3 description:low density lipoprotein receptor class A domain containing 3 [Source:MGI Symbol;Acc:MGI:2138856] MWLLGPLCLLLSSTAESQLLPGNNFTNECNIPGNFMCSNGRCIPGAWQCDGLPDCFDKSD EKECPKAKSKCGPTFFPCASGIHCIIGRFRCNGFEDCPDGSDEENCTANPLLCSTARYHC RNGLCIDKSFICDGQNNCQDNSDEESCESSLEPGSGQVFVTSENQLVYYPSITYAIIGSS VIFVLVVALLALVLHHQRKRNNLMTLPVHRLQHPVLLSRLVVLDHPHHCNVTYNVNNGVQ YVATQAEQNASEVGSPPSYSEALLDQRPAWYDLPPPPYSSDTESLNQADLPPYRSRSGSA YSASSQAASSLLSVEASSHNPEQPGSPEGSAEPRDSVPSQGTEEV >ENSMUSP00000106853.1 pep:known chromosome:GRCm38:2:101950203:102186358:-1 gene:ENSMUSG00000048058.17 transcript:ENSMUST00000111222.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldlrad3 description:low density lipoprotein receptor class A domain containing 3 [Source:MGI Symbol;Acc:MGI:2138856] MWLLGPLCLLLSSTAESQLLPGNNFTNECNIPAKAKSKCGPTFFPCASGIHCIIGRFRCN GFEDCPDGSDEENCTANPLLCSTARYHCRNGLCIDKSFICDGQNNCQDNSDEESCESSLE PGSGQVFVTSENQLVYYPSITYAIIGSSVIFVLVVALLALVLHHQRKRNNLMTLPVHRLQ HPVLLSRLVVLDHPHHCNVTYNVNNGVQYVATQAEQNASEVGSPPSYSEALLDQRPAWYD LPPPPYSSDTESLNQADLPPYRSRSGSAYSASSQAASSLLSVEASSHNPEQPGSPEGSAE PRDSVPSQGTEEV >ENSMUSP00000107458.3 pep:known chromosome:GRCm38:6:142085761:142186153:-1 gene:ENSMUSG00000079262.3 transcript:ENSMUST00000111827.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1a6 description:solute carrier organic anion transporter family, member 1a6 [Source:MGI Symbol;Acc:MGI:1351906] MGEPGKRVGIHRVRCFAKIKVFLLALIWAYISKILSGVYMSTMLTQLERQFNISTSIVGL INGSFEMGNLLVIVFVSYFGTKLHRPIMIGVGCAVMGLGCFIISLPHFLMGRYEYETTIS PTSNLSSNSFLCVENRSQTLKPTQDPAECVKEIKSLMWIYVLVGNIIRGIGETPIMPLGI SYIEDFAKSENSPLYIGILEVGKMIGPILGYLMGPFCANIYVDTGSVNTDDLTITPTDTR WVGAWWIGFLVCAGVNVLTSIPFFFFPKTLPKEGLQDNGDGTENAKEEKHRDKAKEENQG IIKEFFLMMKNLFCNPIYMLCVLTSVLQVNGVANIVIYKPKYLEHHFGISTAKAVFLIGL YTTPSVSAGYLISGFIMKKLKITLKKAAIIALCLFMSECLLSLCNFMLTCDTTPIAGLTT SYEGIQQSFDMENKFLSDCNTRCNCLTKTWDPVCGNNGLAYMSPCLAGCEKSVGTGANMV FQNCSCIRSSGNSSAVLGLCKKGPDCANKLQYFLIITVFCCFFYSLATIPGYMVFLRCMK SEEKSLGIGLQAFFMRLFAGIPAPIYFGALIDRTCLHWGTLKCGEPGACRTYEVSSFRRL YLGLPAALRGSIILPSFFILRLIRKLQIPGDTDSSEIELAETKPTEKESECTDMHKSSKV ENDGELKTKL >ENSMUSP00000134565.1 pep:known chromosome:GRCm38:6:142161048:142208521:-1 gene:ENSMUSG00000079262.3 transcript:ENSMUST00000174455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1a6 description:solute carrier organic anion transporter family, member 1a6 [Source:MGI Symbol;Acc:MGI:1351906] MGEP >ENSMUSP00000030622.2 pep:known chromosome:GRCm38:4:134930898:134937548:1 gene:ENSMUSG00000028821.8 transcript:ENSMUST00000030622.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syf2 description:SYF2 homolog, RNA splicing factor (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915842] MAAVTEVVVPADGAEARPLAAEELAAQKREQRLRKFRELHLKRNEARKLNHQEVVEEDKR LKLPANWEAKKARLEWELQEEEKKKECAARGEDYEKVKLLEISAEDAERWERRKKKKNPD LGFSDYAAAQLRQYHRLTKQIKPDMESYERQREKHGEDFFPTSNSLLHGTHVPSSEEIDR MVLDLEKQIEKRDKYSRRRPYNDDADIDYINERNAKFNKKAERFYGKYTAEIKQNLERGT AV >ENSMUSP00000059426.7 pep:known chromosome:GRCm38:6:128539827:128581608:-1 gene:ENSMUSG00000047228.9 transcript:ENSMUST00000060574.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048546 description:cDNA sequence BC048546 [Source:MGI Symbol;Acc:MGI:3039594] MVPTILLSALLLHFTDVVAAEPRYILWVSSVVQRFSSEKACLHLLNLNESVSLSVTLEYD GSSTTIFDQPVDEGNFYACADFKVSQMSSEQLAFVALLVQGNTLKISERRSVAIAAEENA TFVQTDTPVHKPGDTVHFRVVTLNIWLKPVDDLYPLITVQDPQSNVIFQWINVTTFRNIT QLSFQLTPEPILGDYTIVIKTQSGTTVMDHFTVNRDVLPKFEVELTAPETITIADSQFQM VTCAKYTYGQPVQGKAQIKVCRELFSPAHCESNENEICEQFTVQLKDGCASHIINTKVFQ LDRSGLFMTLNVNEVVTESGTGVQMSKTHSVFITSVLGTVSFENMDPFYRRGITYFGTLK FSGPNNTPLVDKLLQLELDGKPVGNYTTDENGEARFSINTSEIFGAQISLKAVYVRPRSC HRSSWLSPEYLDAYFSASRFYSQTSSFTKIILEPKQLPCDQEKMFSVLYSLNPEAYKEAS DVTFFYLVMVRGGISRSGQKQVRVQAWNGNFSFPISINADLAPSADLFVYTLHPSGEIVA DNVRLQIEKCFKNKVSINFSRDKDLPGSNTSVHLQAAPDSFCALRAVDKSALLLNHGQEM TPESVYFTLPYIHQYGYFYNGLNLDDQQAEPCIPQKDLFYNGLYYTPTGNIWDGDLSNLL SNMGLKIFTNLHYRKPEVCSSQENQPLLRTFDHPNERIMMYGGGAPPSSAFHDSVDSISH AKVAIKETVRTNFPRTWIWNLVSVDSSGTANVSFLVPDTITQWEASAFCVNGNAGFGISP KVSLQISQPFFVEVTSPFSVVRSEQSDMVVTVFNYLTTCVEISVQLEASENYEASINTQR NTDSEVLQAGEQKTYVWTIIPKTLGKVNVTVVATSKQSRACPNDASKEQDVHWKDTVVKT MLVEAEGIEKEATQSFLICPKGTKASKQTLLELPSNVVEGSVRSFVTIVGDILGVAMQNL ESLLQMPYGCGEQNIAQLASDVYILDYLKATDQLTEELKSKAQRLLSNGYQNHLSFKNYD GSYDVFCQSNQEGSTWLSALSFKTVEKMKEYIFIEETVPKQTLIWLVKKQKSNGCFRRDE KHVDTAQEGREGDQEDIALTAYVVGVFLEVGLNASFPALRNGLYCLEEAFSNGVTNGYTQ AILAYVFALAGKEQQAKSLLSILDKSATKTNNMIYWERDEKPETDNSPSFIPSALSGETE KTCYVLLAVLSQDTQDLDYASKIVQWLAQRMNSHGGFSAMQDTTVCLLALTQYMKLTGSN PQNTITLSSEESEEVFYVNRNKRLLVQHSKVSKGHQQYTVDVEGDGCSFIQATLRYNVPL PKEASGFSLSVKTGKSNSSDEFQTKFELTVTLTYTGARESSVTVLVDVKMLSGFTPVVSS TEELKFNSQVTKTDIKNGHVLFYLENVPKEATSLTFSIEQTNHVANIQPAPVTVYSYEKG EYAFDSYNINSISDSQ >ENSMUSP00000139659.1 pep:known chromosome:GRCm38:Y:3399188:3410148:-1 gene:ENSMUSG00000095948.7 transcript:ENSMUST00000190283.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21708 description:predicted gene, 21708 [Source:MGI Symbol;Acc:MGI:5435063] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000140216.1 pep:known chromosome:GRCm38:Y:3399191:3410167:-1 gene:ENSMUSG00000095948.7 transcript:ENSMUST00000188091.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21708 description:predicted gene, 21708 [Source:MGI Symbol;Acc:MGI:5435063] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000137266.1 pep:known chromosome:GRCm38:Y:3399545:3407067:-1 gene:ENSMUSG00000095948.7 transcript:ENSMUST00000180310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21708 description:predicted gene, 21708 [Source:MGI Symbol;Acc:MGI:5435063] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000140704.1 pep:known chromosome:GRCm38:Y:3367147:3378783:-1 gene:ENSMUSG00000093987.7 transcript:ENSMUST00000187277.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21704 description:predicted gene, 21704 [Source:MGI Symbol;Acc:MGI:5435059] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000129249.2 pep:known chromosome:GRCm38:Y:3367150:3410167:-1 gene:ENSMUSG00000093987.7 transcript:ENSMUST00000169382.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21704 description:predicted gene, 21704 [Source:MGI Symbol;Acc:MGI:5435059] MAETNQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRLA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDDPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000075327.5 pep:known chromosome:GRCm38:9:101105084:101251795:-1 gene:ENSMUSG00000043154.15 transcript:ENSMUST00000075941.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3a description:protein phosphatase 2, regulatory subunit B'', alpha [Source:MGI Symbol;Acc:MGI:2442104] MAATYRLVVSTVNHYSSVVIDRRFEQAIHYCTGTCHTFTHGIDCIVVHHSVCADLVHIPV SQFKDVDLNSVFLSHENGLSSAEDYPHQALTGLPRGKKASTFQNTCNLKDIAGEAISFAS GKIKEFSLEKLRNSNHAAYRKGRKVKSDSFNRRSVDFDLLCGHYNNDGTSPPFGLLRSSS VEEKSLSHRNSLDTNLTSMLFQNLSEEDLVTQILEKHKIDHFSSGTDIKMCLDILLKCSE DLKKCTDIIKQCIKKKSGGSISEGSGNEVISNSETVYMNVMTRLAYYLRKLPFEFMQSGN NETVDLTELINNIPNLQLTPFSPLYGTEQPPKYEDIVHLSALDSGQFQTVELQDDKHNFK QTDIVKTTPNNTDSLCSLEVNDPGTLKPVQLQSPLLTINHLENVSSGNLMETLYIEEESD GKKSLLDKEQKAENGQGQEPLQVNEHKEFSDHDTYLKKCPVSMQNEIGKIFEKPIMVHPS KEDSKLEVPTTDLRDQRHFVNPNSQEEIDKLLMDLESFSQKMETSLGEPLAKGKSINSLN SHSHLTAQSHKDLEPKPIASSAMEKVSPSCLTRIIENNGHKMEEEDRALLLRIMESIEDF AQELVECKSGRGSLSQEKEMMQILQETLTTSSQPTPSVCRSPVGEKPKDSTSVVLIQQTP EVIKIQNKPEKKPATSFPPAATLPSSPQPASPVPCVSDVVNTPLSINIPQFYFPEGLPDT CSNHEQILSRIETAFKDIEDQKADIHEMGRIAKVCGCPLYWKAPMFRAAGGEKTGFVTAQ SFIAMWKKLLSNRHDDAAKFIWLLAKPSCSYLEQEDFIPLLQDVVDTHPGLTFLKDAPEF HSRYITTVVQRIFYTVNRSWSGKITSTEIRKSNFLQTLALLEEEEDINQITDYFSYEHFY VIYCKFWELDGDHDLYISQADLSRYNDQASSNRIIERIFSGAVTRGKTVQKEGRMSYADF VWFLISEEDKRNPTSIEYWFRCMDVDGDGILSMYELEYFYEEQCERMEAMGIEPLPFHDL LCQMLDLVKPASDGRITLRDLKRCRMAHIFYDTFFNLEKYLDHEQRDPFAVQKDVENDGP EPSDWDRFAAEEYEALVTEESAQVQLQEGSFEDYESDEPVSPSELGNKGNKIVTSSLSEK CGKLQSVDEE >ENSMUSP00000069688.7 pep:known chromosome:GRCm38:9:101105286:101199073:-1 gene:ENSMUSG00000043154.15 transcript:ENSMUST00000066773.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3a description:protein phosphatase 2, regulatory subunit B'', alpha [Source:MGI Symbol;Acc:MGI:2442104] MMIKETSLRRDPDLRGELAFLARGCDFVLPSRFKKRLKSFQQTQIQNKPEKKPATSFPPA ATLPSSPQPASPVPCVSDVVNTPLSINIPQFYFPEGLPDTCSNHEQILSRIETAFKDIED QKADIHEMGRIAKVCGCPLYWKAPMFRAAGGEKTGFVTAQSFIAMWKKLLSNRHDDAAKF IWLLAKPSCSYLEQEDFIPLLQDVVDTHPGLTFLKDAPEFHSRYITTVVQRIFYTVNRSW SGKITSTEIRKSNFLQTLALLEEEEDINQITDYFSYEHFYVIYCKFWELDGDHDLYISQA DLSRYNDQASSNRIIERIFSGAVTRGKTVQKEGRMSYADFVWFLISEEDKRNPTSIEYWF RCMDVDGDGILSMYELEYFYEEQCERMEAMGIEPLPFHDLLCQMLDLVKPASDGRITLRD LKRCRMAHIFYDTFFNLEKYLDHEQRDPFAVQKDVENDGPEPSDWDRFAAEEYEALVTEE SAQVQLQEGSFEDYESDEPVSPSELGNKGNKIVTSSLSEKCGKLQSVDEE >ENSMUSP00000034344.9 pep:known chromosome:GRCm38:8:104281042:104293178:-1 gene:ENSMUSG00000074127.5 transcript:ENSMUST00000034344.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm2a description:CKLF-like MARVEL transmembrane domain containing 2A [Source:MGI Symbol;Acc:MGI:2447160] MAAPIKFPFRPRGGQPREDTTPKRGLRRYLLELKESNKEFWLSGHAVFKLLSLGCMISAL DYFETMLPHPVLILLICMEAAICIFFIFLNTLAINRYIPFVFWPMADIFNSLFSCVFLGG GIYFAFKARRLLPKPYLTAMILMGAAAICSFIDMLLQFQHFRGLRLRKW >ENSMUSP00000043956.2 pep:known chromosome:GRCm38:6:142298423:142303961:1 gene:ENSMUSG00000041681.2 transcript:ENSMUST00000041993.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iapp description:islet amyloid polypeptide [Source:MGI Symbol;Acc:MGI:96382] MMCISKLPAVLLILSVALNHLRATPVRSGSNPQMDKRKCNTATCATQRLANFLVRSSNNL GPVLPPTNVGSNTYGKRNAAGDPNRESLDFLLV >ENSMUSP00000023830.8 pep:known chromosome:GRCm38:10:52417547:52440192:1 gene:ENSMUSG00000023068.14 transcript:ENSMUST00000023830.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nus1 description:nuclear undecaprenyl pyrophosphate synthase 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1196365] MTGLYELVWRVLHALLCLHLTLTSWLRVRFGTWNWIWRRCCRAASAAVLAPLGFTLRKPR AVGRNRRHHRHPHGGPGPGPGPAATHPRLRWRADVRSLQKLPVHMGLLVTEEVQEPSFSD IASLVVWCMAVGISYISVYDHQGIFKRNNSRLMDEILKQQQELLGQDCSKYSAEFANSND KDDQDLNCPSAVKVLSPEDGKADIVRAAQDFCQLVAQQQRKPTDLDVDLLGSLLSSHGFP DPDLVLKFGPVDSTLGFLPWQIRLTEIVSLPSHLNISYEDFFSALRQYAACEQRLGK >ENSMUSP00000124767.1 pep:known chromosome:GRCm38:10:52428931:52433372:1 gene:ENSMUSG00000023068.14 transcript:ENSMUST00000161678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nus1 description:nuclear undecaprenyl pyrophosphate synthase 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1196365] MDEILKQQQELLGQDCSKYSAEFANSNDKDDQDLNCPSAVKVLSPEDGKADIVRAAQDFC QLVAQQQRKPTDLDVDLLGSLLSSHGFPDPD >ENSMUSP00000136390.1 pep:known chromosome:GRCm38:1:92916791:92920572:1 gene:ENSMUSG00000026269.14 transcript:ENSMUST00000179127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnpepl1 description:arginyl aminopeptidase (aminopeptidase B)-like 1 [Source:MGI Symbol;Acc:MGI:1914170] XLESDEFLVIDVIHEVAHSWFGNAVTNATWEEMWLSEGLATYAQRRITTETYGAAFTCLE TAFRLDALHRQMRLLGEDSPVSKLQVKLEPGVNPSHLMNLFTYEKGYCFVYYLSQLCGGP QRFDDFLRAYVEKYKFTSVVAQDLLDSFLSFFPELKEQSVDCRAGPPLAEPDLSQGSSLT RPVEALFQLWTAEPLEQAAASASAIDISKWRTFQTALFLDRLLDGSPLPQEVVMSLSKCY SSLLDSMNAEIRIRWLQIVVRNDYYPDLHRVRRFLESQMSRMYTIPLYEDLCTGALKSFA LEVFYQTQGRLHPNLRRTIQQILSQGLGPSAEPSTEPSTDLGGAEADTNPDSPALLLGDE APSSTISLRDVNVSA >ENSMUSP00000136670.1 pep:known chromosome:GRCm38:1:92917147:92919065:1 gene:ENSMUSG00000026269.14 transcript:ENSMUST00000179837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnpepl1 description:arginyl aminopeptidase (aminopeptidase B)-like 1 [Source:MGI Symbol;Acc:MGI:1914170] XAAFTCLETAFRLDALHRQMRLLGEDSPVSKLQAYVEKYKFTSVVAQDLLDSFLSFFPEL KEQSVDCRAGLEFERWLNATGPPLAEPDLSQGSSLTRPVEALFQLWTAEPLEQAAASASA IDISKWRTFQTAL >ENSMUSP00000136959.1 pep:known chromosome:GRCm38:1:92919614:92924384:1 gene:ENSMUSG00000026269.14 transcript:ENSMUST00000179531.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnpepl1 description:arginyl aminopeptidase (aminopeptidase B)-like 1 [Source:MGI Symbol;Acc:MGI:1914170] XKSFALEVFYQTQGRLHPNLRRTIQQILSQGLGPSAEPSTEPSTDLGGAEADTNPDSPAL LLGDEAPSSTISLRDVNVSA >ENSMUSP00000136080.1 pep:known chromosome:GRCm38:1:92911121:92916737:1 gene:ENSMUSG00000026269.14 transcript:ENSMUST00000178116.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnpepl1 description:arginyl aminopeptidase (aminopeptidase B)-like 1 [Source:MGI Symbol;Acc:MGI:1914170] RPEARELAGCLVLELCALRPAPRALVLDAHPALRLHSVSFRRASAVSESPCTFAFPAPGS GPPLPGFADAPGAESASCPLAFRLDPFTDYGSSLTVTLPPEVQAHQPFQVILRYTSTDAP AIWWLDPELTYGNAKPFVFTQGHSVCNRSFFPCFDTPAVKCTYSAVVKGYRC >ENSMUSP00000137624.1 pep:known chromosome:GRCm38:1:92911209:92915933:1 gene:ENSMUSG00000026269.14 transcript:ENSMUST00000179993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnpepl1 description:arginyl aminopeptidase (aminopeptidase B)-like 1 [Source:MGI Symbol;Acc:MGI:1914170] XPALRLHSVSFRRASAVSESPCTFAFPAPGSGPPLPGFADAPGAESASCPLAFRLDPFTD YGSSLTVTLPPEVQAHQPFQVILRYTSTDAPAIWWLDPELTYGNAKPFVFTQGHSVCNRS FFPCFDTPAVKCTYSAVVKVLMSATQSVYVEEEGLYHFHMEHPVPAYLVALVA >ENSMUSP00000027487.8 pep:known chromosome:GRCm38:1:92910783:92920841:1 gene:ENSMUSG00000026269.14 transcript:ENSMUST00000027487.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnpepl1 description:arginyl aminopeptidase (aminopeptidase B)-like 1 [Source:MGI Symbol;Acc:MGI:1914170] MAAQCCCRKAPGAEAAPARPPPEPPPALDVASASSAQLFRLRHLQLGLELRPEARELAGC LVLELCALRPAPRALVLDAHPALRLHSVSFRRASAVSESPCTFAFPAPGSGPPLPGFADA PGAESASCPLAFRLDPFTDYGSSLTVTLPPEVQAHQPFQVILRYTSTDAPAIWWLDPELT YGNAKPFVFTQGHSVCNRSFFPCFDTPAVKCTYSAVVKAPLGVQVLMSATQSVYVEEEGL YHFHMEHPVPAYLVALVAGDLKPADIGPRSRVWAEPCLLPTATSKLSGAVEQWLSAAERL YGPYMWGRYDIVFLPPSFPIVAMENPCLTFIISSILESDEFLVIDVIHEVAHSWFGNAVT NATWEEMWLSEGLATYAQRRITTETYGAAFTCLETAFRLDALHRQMRLLGEDSPVSKLQV KLEPGVNPSHLMNLFTYEKGYCFVYYLSQLCGGPQRFDDFLRAYVEKYKFTSVVAQDLLD SFLSFFPELKEQSVDCRAGLEFERWLNATGPPLAEPDLSQGSSLTRPVEALFQLWTAEPL EQAAASASAIDISKWRTFQTALFLDRLLDGSPLPQEVVMSLSKCYSSLLDSMNAEIRIRW LQIVVRNDYYPDLHRVRRFLESQMSRMYTIPLYEDLCTGALKSFALEVFYQTQGRLHPNL RRTIQQILSQGLGPSAEPSTEPSTDLGGAEADTNPDSPALLLGDEAPSSTISLRDVNVSA >ENSMUSP00000124987.1 pep:known chromosome:GRCm38:6:142254460:142322948:-1 gene:ENSMUSG00000063975.13 transcript:ENSMUST00000128446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1a5 description:solute carrier organic anion transporter family, member 1a5 [Source:MGI Symbol;Acc:MGI:1351865] MLTQIERQFDIPTSIVGLINGSFEIGNLLLIILVSYFGTKLHRPIMIGIGCVIMGLGCFL MSLPHFLMGRYEYETTISPTSNLSSNSFLCMENRTQTLKPTQDPAECVKEMKSLMWIYVL VGNIIRGIGETPIMPLGISYIEDFAKSENSPLYIGIL >ENSMUSP00000137607.1 pep:known chromosome:GRCm38:6:142234227:142278836:-1 gene:ENSMUSG00000063975.13 transcript:ENSMUST00000111825.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1a5 description:solute carrier organic anion transporter family, member 1a5 [Source:MGI Symbol;Acc:MGI:1351865] MGETEKRIATHGVRCFSKIKMFLLALTCAYVSKSLSGIYMNSMLTQIERQFDIPTSIVGL INGSFEIGNLLLIILVSYFGTKLHRPIMIGIGCVIMGLGCFLMSLPHFLMGRYEYETTIS PTSNLSSNSFLCMENRTQTLKPTQDPAECVKEMKSLMWIYVLVGNIIRGIGETPIMPLGI SYIEDFAKSENSPLYIGILESGKMIGPIVGLLLGSFCARIYVDTGSVNTDDLTITPTDTR WVGAWWIGFLVCAGVNILTSIPFFFFPKTLPKEGLQDNVDRTENDKEEKHREKAKEENRG ITKDFLPFMKSLSCNPIYMLLILTSVLQINAFINMFTFLPKYLEQQYGKSTSEVVLLIGV CNLPPICIGYLLIGFIMKKFRITVKKAAYMAFCLSLFEYLLSYFHFMISCDNFQVAGLTT SYEGVQHPLYVENKVLADCNTRCSCLTNTWDPVCGDNGLSYMSACLAGCEKSVGMGTHMV FQNCSCIQSSGNSSAVLGLCKKGPECANKLQYFLIMSVIGSFIYSITAIPGYMVLLRCIK SEEKSLGIGLHAFCTRIFAGIPAPIYFGALIDRTCLHWGTLKCGEPGACRMYNINNFRRI YLVLPAALRGSSYLPAFFILILMRKFQLPGEMYSSETELADMKLTVKKSECTDVHRIPKV ENDGELKTKL >ENSMUSP00000080116.3 pep:known chromosome:GRCm38:6:142234227:142322981:-1 gene:ENSMUSG00000063975.13 transcript:ENSMUST00000081380.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco1a5 description:solute carrier organic anion transporter family, member 1a5 [Source:MGI Symbol;Acc:MGI:1351865] MGETEKRIATHGVRCFSKIKMFLLALTCAYVSKSLSGIYMNSMLTQIERQFDIPTSIVGL INGSFEIGNLLLIILVSYFGTKLHRPIMIGIGCVIMGLGCFLMSLPHFLMGRYEYETTIS PTSNLSSNSFLCMENRTQTLKPTQDPAECVKEMKSLMWIYVLVGNIIRGIGETPIMPLGI SYIEDFAKSENSPLYIGILESGKMIGPIVGLLLGSFCARIYVDTGSVNTDDLTITPTDTR WVGAWWIGFLVCAGVNILTSIPFFFFPKTLPKEGLQDNVDRTENDKEEKHREKAKEENRG ITKDFLPFMKSLSCNPIYMLLILTSVLQINAFINMFTFLPKYLEQQYGKSTSEVVLLIGV CNLPPICIGYLLIGFIMKKFRITVKKAAYMAFCLSLFEYLLSYFHFMISCDNFQVAGLTT SYEGVQHPLYVENKVLADCNTRCSCLTNTWDPVCGDNGLSYMSACLAGCEKSVGMGTHMV FQNCSCIQSSGNSSAVLGLCKKGPECANKLQYFLIMSVIGSFIYSITAIPGYMVLLRCIK SEEKSLGIGLHAFCTRIFAGIPAPIYFGALIDRTCLHWGTLKCGEPGACRMYNINNFRRI YLVLPAALRGSSYLPAFFILILMRKFQLPGEMYSSETELADMKLTVKKSECTDVHRIPKV ENDGELKTKL >ENSMUSP00000124829.3 pep:known chromosome:GRCm38:6:142234227:142275568:-1 gene:ENSMUSG00000063975.13 transcript:ENSMUST00000153268.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slco1a5 description:solute carrier organic anion transporter family, member 1a5 [Source:MGI Symbol;Acc:MGI:1351865] MGETEKRIATHGVRCFSKIKMFLLALTCAYVSKSLSGIYMNSMLTQIERQFDIPTSIVGL INGSFEIDTNMKQRFHLQATCPQTASCVWKTEHRP >ENSMUSP00000132828.1 pep:known chromosome:GRCm38:8:104292622:104310054:-1 gene:ENSMUSG00000031876.16 transcript:ENSMUST00000164175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm1 description:CKLF-like MARVEL transmembrane domain containing 1 [Source:MGI Symbol;Acc:MGI:2447159] MNPASARRESGGDGSRRESRRLTLRPRVLDASSPSDSQAYAASAPPHQGPSVPGTPSRQQ SFIRATPIRQQSFIRAIPSRQRPSVQAIPSSQRPLVHVIPSSQRPSVRATPSSQRPSVRA TPSSQRPSVRAVPFSQRPTRSLQSVYRDRIADRLPKKYTQHEKVPPTRLVQGSKKIKIPE VVKAQAEDQDKRSKRFRDSFWHFCCSANGMLKVLRMVSRS >ENSMUSP00000124414.1 pep:known chromosome:GRCm38:8:104292640:104309428:-1 gene:ENSMUSG00000031876.16 transcript:ENSMUST00000160365.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cmtm1 description:CKLF-like MARVEL transmembrane domain containing 1 [Source:MGI Symbol;Acc:MGI:2447159] YRDRIADRLPKKYTQHEKVPPTRLVQGSKKIKIPEVVKAQAEDQDKRSKRFRDSFWHFCC SANGMLKVLRMCITATSVFCFVIGGAQELFVVIMIQETCIVLFFIIIYLVTLQTTMACIH WPLLDLINSAISTVFLGIVGIVVIGKKNTKDLCYAGGILCLSAAVLCVIDALLFTEKRRN KAKSSLARETKLSATQLRQKQH >ENSMUSP00000124656.1 pep:known chromosome:GRCm38:8:104292923:104310032:-1 gene:ENSMUSG00000031876.16 transcript:ENSMUST00000160596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm1 description:CKLF-like MARVEL transmembrane domain containing 1 [Source:MGI Symbol;Acc:MGI:2447159] MNPASARRESGGDGSRRESRRLTLRPRVLDASSPSDSQAYAASAPPHQGPSVPGTPASQG PSVPGTPASQGPSVPGTPASQGPSVPGTPASQGPSVPGTPSRQQSFIRATPIRQQSFIRA IPSRQRPSVQAIPSSQRPLVHVIPSSQRPSVRATPSSQRPSVRATPSSQRPSVRAVPFSQ RPTRSLQSVYRDRIADRLPKKYTQHEKVPPTRLVQGSKKIKIPEVVKAQAEDQDKRSKRF RDSFWHFCCSANGMLKVLRMCITATSVFCFVIGGAQELFVVIMIQETCIVLFFIIIYLVT LQTTMACIHWPLLDLINSAISTVFLGIVGIVVIGKKNTKDLCYAGGILCLSAAVLCVIDA LLFTEKRRNKAKSSLARETKLSATQLRQKQH >ENSMUSP00000124855.1 pep:known chromosome:GRCm38:8:104293542:104310145:-1 gene:ENSMUSG00000031876.16 transcript:ENSMUST00000159039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm1 description:CKLF-like MARVEL transmembrane domain containing 1 [Source:MGI Symbol;Acc:MGI:2447159] MNPASARRESGGDGSRRESRRLTLRPRVLDASSPSDSQAYAASAPPHQGPSVPGTPASQG PSVPGTPASQGPSVPGTPASQGPSVPGTPASQGPSVPGTPSRQQSFIRATPIRQQSFIRA IPSRQRPSVQAIPSSQRPLVHVIPSSQRPSVRATPSSQRPSVRATPSSQRPSCITATSVF CFVIGGAQELFVVIMIQETCIVLFFIIIYLVTLQTTMACIHWPLLDLINSAISTVFLGIV GIVVIGKKNTKDLCYAGGILCLSAAVLCVIDALLFTEKRRNKAKSSLARETKLSATQLRQ KQH >ENSMUSP00000124800.1 pep:known chromosome:GRCm38:8:104281347:104310081:-1 gene:ENSMUSG00000031876.16 transcript:ENSMUST00000162616.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cmtm1 description:CKLF-like MARVEL transmembrane domain containing 1 [Source:MGI Symbol;Acc:MGI:2447159] MNPASARRESGGDGSRRESRRLTLRPRVLDASSPSDSQAYAASAPPHQGPSVPGTPASQG PSVPGTPASQGPSVPGTPASQGPSVPGTPASQGPSVPGTPSRQQSFIRATPIRQQSFIRA IPSRQRPSVQAIPSSQRPLVHVIPSSQRPSVRATPSSQRPSVRATPSSQRPSVRAVPFSQ RPTRSLQSVYRDRIADRLPKKYTQHEKVPPTRLVQGSKKIKIPEVVKAQAEDQDKRSKRF RDSFWHFCCSANGMLKVLRMCITATSVFCFVIGGAQELFVVIMIQETCIVLFFIIIYLVT LQTTMACIHWPLLDLINSAISTVFLGIVGIVVIGKKNTKDLCYAGGILCLSAAVLCVIDA LLFTEKRRNKAKSSLARETKLSATQLRQKQH >ENSMUSP00000125557.1 pep:known chromosome:GRCm38:1:87147662:87156165:-1 gene:ENSMUSG00000026247.13 transcript:ENSMUST00000160810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecel1 description:endothelin converting enzyme-like 1 [Source:MGI Symbol;Acc:MGI:1343461] MEAPYSMTAHYDEFQEVKYVSRCGTGGARGTSLPPGFPRGSGRSASGSRSGLPRWNRREV CLLSGLVFAAGLCAILAAMLALKYLGPGAAGGGGACPEGCPERKAFARAARFLSANLDAS IDPCQDFYSFACGGWLRRHAIPDDKLTYGTIAAIGEQNEERLRRLLARPTGGPGGAAQRK VRAFFRSCLDMREIERLGPRPMLEVIEDCGGWDLGGAADRPGAARWDLNRLLYKAQGVYS AAALFSLTVSLDDRNSSRYVIRIDQDGLTLPERTLYLAQDEESEKILAAYRVFMQRLLRL LGADAVEQKAQEILQLEQRLANISVSEYDDLRRDVSSAYNKVTLGQLQKIIPHLQWKWLL DQIFQEDFSEEEEVVLLATDYMQQVSQLIRSTPRRILHNYLVWRVVVVLSEHLSSPFREA LHELAKEMEGNDKPQELARVCLGQANRHFGMALGALFVHEHFSAASKAKVQQLVEDIKYI LGQRLEELDWMDAQTKAAARAKLQYMMVMVGYPDFLLKPEAVDKEYEFEVHEKTYFKNIL NSIRFSIQLSVKKIRQEVDKSSWLLPPQALNAYYLPNKNQMVFPAGILQPTLYDPDFPQS LNYGGIGTIIGHELTHGYDDWGGQYDRSGNLLHWWTETSYSHFLRKAECIVRLYDNFTVY NQRVNGKHTLGENIADMGGLKLAYYAYQKWVREHGPEHPLHRLKYTHNQLFFIAFAQNWC IKRRSQSIYLQVLTDKHAPEHYRVLGSVSQFEEFGRAFHCPKDSPMNPVHKCSVW >ENSMUSP00000027463.4 pep:known chromosome:GRCm38:1:87147658:87155027:-1 gene:ENSMUSG00000026247.13 transcript:ENSMUST00000027463.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecel1 description:endothelin converting enzyme-like 1 [Source:MGI Symbol;Acc:MGI:1343461] MEAPYSMTAHYDEFQEVKYVSRCGTGGARGTSLPPGFPRGSGRSASGSRSGLPRWNRREV CLLSGLVFAAGLCAILAAMLALKYLGPGAAGGGGACPEGCPERKAFARAARFLSANLDAS IDPCQDFYSFACGGWLRRHAIPDDKLTYGTIAAIGEQNEERLRRLLARPTGGPGGAAQRK VRAFFRSCLDMREIERLGPRPMLEVIEDCGGWDLGGAADRPGAARWDLNRLLYKAQGVYS AAALFSLTVSLDDRNSSRYVIRIDQDGLTLPERTLYLAQDEESEKILAAYRVFMQRLLRL LGADAVEQKAQEILQLEQRLANISVSEYDDLRRDVSSAYNKVTLGQLQKIIPHLQWKWLL DQIFQEDFSEEEEVVLLATDYMQQVSQLIRSTPRRILHNYLVWRVVVVLSEHLSSPFREA LHELAKEMEGNDKPQELARVCLGQANRHFGMALGALFVHEHFSAASKAKVQQLVEDIKYI LGQRLEELDWMDAQTKAAARAKLQYMMVMVGYPDFLLKPEAVDKEYEFEVHEKTYFKNIL NSIRFSIQLSVKKIRQEVDKSSWLLPPQALNAYYLPNKNQMVFPAGILQPTLYDPDFPQS LNYGGIGTIIGHELTHGYDDWGGQYDRSGNLLHWWTETSYSHFLRKAECIVRLYDNFTVY NQRVNGKHTLGENIADMGGLKLAYYAYQKWVREHGPEHPLHRLKYTHNQLFFIAFAQNWC IKRRSQSIYLQVLTDKHAPEHYRVLGSVSQFEEFGRAFHCPKDSPMNPVHKCSVW >ENSMUSP00000125096.1 pep:known chromosome:GRCm38:1:87147655:87156521:-1 gene:ENSMUSG00000026247.13 transcript:ENSMUST00000161002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecel1 description:endothelin converting enzyme-like 1 [Source:MGI Symbol;Acc:MGI:1343461] MEAPYSMTAHYDEFQEVKYVSRCGTGGARGTSLPPGFPRGSGRSASGSRSGLPRWNRREV CLLSGLVFAAGLCAILAAMLALKYLGPGAAGGGGACPEGCPERKAFARAARFLSANLDAS IDPCQDFYSFACGGWLRRHAIPDDKLTYGTIAAIGEQNEERLRRLLARPTGGPGGAAQRK VRAFFRSCLDMREIERLGPRPMLEVIEDCGGWDLGGAADRPGAARWDLNRLLYKAQGVYS AAALFSLTVSLDDRNSSRYVIRIDQDGLTLPERTLYLAQDEESEKILAAYRVFMQRLLRL LGADAVEQKAQEILQLEQRLANISVSEYDDLRRDVSSAYNKVTLGQLQKIIPHLQWKWLL DQIFQEDFSEEEEVVLLATDYMQQVSQLIRSTPRRILHNYLVWRVVVVLSEHLSSPFREA LHELAKEMEGNDKPQELARVCLGQANRHFGMALGALFVHEHFSAASKAKVQQLVEDIKYI LGQRLEELDWMDAQTKAAARAKLQYMMVMVGYPDFLLKPEAVDKEYEFEVHEKTYFKNIL NSIRFSIQLSVKKIRQEVDKSSWLLPPQALNAYYLPNKNQMVFPAGILQPTLYDPDFPQS LNYGGIGTIIGHELTHGYDDWGGQYDRSGNLLHWWTETSYSHFLRKAECIVRLYDNFTVY NQRVNGKHTLGENIADMGGLKLAYYAYQKWVREHGPEHPLHRLKYTHNQLFFIAFAQNWC IKRRSQSIYLQVLTDKHAPEHYRVLGSVSQFEEFGRAFHCPKDSPMNPVHKCSVW >ENSMUSP00000062888.7 pep:known chromosome:GRCm38:11:109413917:109420405:1 gene:ENSMUSG00000045065.7 transcript:ENSMUST00000055404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930022D16Rik description:RIKEN cDNA 9930022D16 gene [Source:MGI Symbol;Acc:MGI:2444178] MQMTEGEVFSILSSIPAAPFKAQPRALLRKTPEVSQSILMSSFGNQDTRRTIVSSSFEMS HQGSGRAQLEHKPISHRSCGLSVLRCESQEHRERRWLTPHRVPTQPNHRGPAPHSLRAFV GSWLLAGA >ENSMUSP00000142251.1 pep:known chromosome:GRCm38:9:108716873:108744263:1 gene:ENSMUSG00000032601.13 transcript:ENSMUST00000192068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar2a description:protein kinase, cAMP dependent regulatory, type II alpha [Source:MGI Symbol;Acc:MGI:108025] XTFNPDEEEEDNDPRVVHPKTDEQRCRLQEACKDILLFKNLDQEQLSQVLDAMFEKIVKT DEHVIDQGDDGDNFYVIERGTYDILVTKDNQTRSVGQYDNRGSFGELALMYNTPRAATII ATSEGSLWGLDRVTFRRIIVKNNAKKRKMFESFIESVPLFKSLEMSERMKIVDVIGEKIY KDGERIIAQGEKADSFYIIE >ENSMUSP00000035220.6 pep:known chromosome:GRCm38:9:108692143:108750436:1 gene:ENSMUSG00000032601.13 transcript:ENSMUST00000035220.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar2a description:protein kinase, cAMP dependent regulatory, type II alpha [Source:MGI Symbol;Acc:MGI:108025] MSHIQIPAGLTELLQGYTVEVLRQQPPDLVDFAVEYFTRLREARRQESDTFIVSPTTFHT QESSAVPVIEEDGESDSDSEDADLEVPVPSKFTRRVSVCAETFNPDEEEEDNDPRVVHPK TDEQRCRLQEACKDILLFKNLDQEQLSQVLDAMFEKIVKTDEHVIDQGDDGDNFYVIERG TYDILVTKDNQTRSVGQYDNRGSFGELALMYNTPRAATIIATSEGSLWGLDRVTFRRIIV KNNAKKRKMFESFIESVPLFKSLEMSERMKIVDVIGEKIYKDGERIIAQGEKADSFYIIE SGEVSILIRSKTKSNKNGGNQEVEIAHCHKGQYFGELALVTNKPRAASAYAVGDVKCLVM DVQAFERLLGPCMDIMKRNISHYEEQLVKMFGSNLDLMDPGQ >ENSMUSP00000141869.1 pep:known chromosome:GRCm38:9:108692457:108746994:1 gene:ENSMUSG00000032601.13 transcript:ENSMUST00000195405.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar2a description:protein kinase, cAMP dependent regulatory, type II alpha [Source:MGI Symbol;Acc:MGI:108025] MSHIQIPAGLTELLQGYTVEVLRQQPPDLVDFAVEYFTRLREARRQESDTFIVSPTTFHT QESSAVPVIEEDGESDSDSEDADLEVPVPSKFTRRVSVCAETFNPDEEEEDNDPRVVHPK TDEQRCRLQEACKDILLFKNLDQEQLSQVLDAMFEKIVKTDEHVIDQGDDGDNFYVIERG TYDILVTKDNQTRSVGQYDNRGSFGELALMYNTPRAATIIATSEGSLWGLDRVTFRRIIV KNNAKKRKMFESFIESVPLFKSLEMSERMKIVDVIGEKIYKDGERIIAQTKSNKNGGNQE VEIAHCHKGQYFGELALVTNKPRAASAYAVGDVKCLVMDVQAFERLLGPCMDIMKRNISH YEEQLVKMFGSNLDLMDPGQ >ENSMUSP00000141517.1 pep:known chromosome:GRCm38:9:108689314:108728203:1 gene:ENSMUSG00000032601.13 transcript:ENSMUST00000192344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar2a description:protein kinase, cAMP dependent regulatory, type II alpha [Source:MGI Symbol;Acc:MGI:108025] MKKRRITIQGWFIPKLMSRDAGFRKLVKIFFFSKTLIRNSFLKFWMP >ENSMUSP00000080030.2 pep:known chromosome:GRCm38:1:106934449:106957080:1 gene:ENSMUSG00000059956.14 transcript:ENSMUST00000081277.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb12 description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 12 [Source:MGI Symbol;Acc:MGI:1919119] MDSLTAANNKFCFDFFREISKDDAHKNIFVCPLSLSAAFGMVRLGARGDSAHQIDEALHF NELSKDEHKEPNDPSPQSESKASDSSLEGQKQTSASQDQQGESTNDHQLLGCHFGKLLSR IDRDKSYYTLSMANRLYGEQEFPICSEYSDDVTEFFHTTVESVDFQKDSEKSRQEINFWV ESQSQGKIKELFGKEAIDNSTVLVLVNAVYFKAKWEREFNSENTVDASFCLNENEKKTVK MMNQKGKFRIGFIDELQAQILEMKYAMGKLSMLVLLPSCSEDNVNSLQELEKKINHEKLL AWSSSENLSEKPVAISFPQFNLEDSYDLKSILQDMGIKDVFDETKADLTGISKSPNLYLS KIVHKTFVEVDEMGTQAAAASGVVAAEKALPSWVEFNANHPFLFFIRHNPTQSLLFCGRV YCP >ENSMUSP00000108344.2 pep:known chromosome:GRCm38:1:106938953:106957080:1 gene:ENSMUSG00000059956.14 transcript:ENSMUST00000112724.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb12 description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 12 [Source:MGI Symbol;Acc:MGI:1919119] MDSLTAANNKFCFDFFREISKDDAHKNIFVCPLSLSAAFGMVRLGARGDSAHQIDEALHF NELSKDEHKEPNDPSPQSESKASDSSLEGQKQTSASQDQQGESTNDHQLLGCHFGKLLSR IDRDKSYYTLSMANRLYGEQEFPICSEYSDDVTEFFHTTVESVDFQKDSEKSRQEINFWV ESQSQGKIKELFGKEAIDNSTVLVLVNAVYFKAKWEREFNSENTVDASFCLNENEKKTVK MMNQKGKFRIGFIDELQAQILEMKYAMGKLSMLVLLPSCSEDNVNSLQELEKKINHEKLL AWSSSENLSEKPVAISFPQFNLEDSYDLKSILQDMGIKDVFDETKADLTGISKSPNLYLS KIVHKTFVEVDEMGTQAAAASGVVAAEKALPSWVEFNANHPFLFFIRHNPTQSLLFCGRV YCP >ENSMUSP00000101113.2 pep:known chromosome:GRCm38:10:52690533:53111622:1 gene:ENSMUSG00000038602.7 transcript:ENSMUST00000105473.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f1 description:solute carrier family 35, member F1 [Source:MGI Symbol;Acc:MGI:2139810] MIPPEPPQPQLQPPPPPAPPNHVVTTIENLPAEGSGGVSLSASSRASMRQRIRKVLNREM LISVALGQVLSLLVCGIGLTSKYLAEDFHANTPVFQSFLNYILLFLVYTTTLAVRQGEEN LLAILRRRWWKYMILGLIDLEANYLVVKAYQYTTLTSVQLLDCFVIPVVILLSWFFLLIR YKAVHFIGIVVCILGMGCMVGADVLVGRHQGAGENKLVGDLLVLGGATLYGISNVWEESI IRTLSRVEFLGMIGLFGAFFSGIQLAIMEHKELLKVPWDWQIGLLYVGFSACMFGLYSFM PVVIKKTSATSVNLSLLTADLYSLFCGLFLFHYKFSGLYLLSFFTILIGLVLYSSTSTYI AQDPRVYKQFRNPSGPVVDLPSTAQVEPSVTYTSLGQETEEEPHVRVA >ENSMUSP00000049784.7 pep:known chromosome:GRCm38:7:118633736:118675086:1 gene:ENSMUSG00000030650.18 transcript:ENSMUST00000057320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc5 description:transmembrane channel-like gene family 5 [Source:MGI Symbol;Acc:MGI:1921674] MQSDDQVDEIIIEVENVPSGVQNHLVSSQIALRKSSANPAFCVLSSSAADRVDCQIFNPG NDRRHNRLLRFSSLNESISQIYHGSECLVTDESCTFHETVQGQKLIASLIPMTTRDRIKT IRNQPRTMQEKRELRKIVDKEKNKQSHGILEANCCAQCLGSLSLTYRRTRNGLSELLNYI TLWQKRFKVIGGKFGTSVLSYFSFLRWLLKFNIFSFVMNFSFIIIPQFTVGAKNTLQFTG LEFFTGAGYFGDTVMYYGFYTNSTIRHRMGGASYNMQLAYIFTIGACLVVCFFSLLFSMA KYFRNNFINPHIYSRGIAKLIFCWDFTVTHEKAVKLKQKNLSTEIRENLSELRQENYRLT FNQQLTRFSAHVAAWLVSTGVTAACCVAVYYLAEYNSEFLKTHRNPGAVLLLPFVVSCIN LAVPRFYSMFRLVERYEIPRQEVYVLLVRNIFLKISIVGILCYYWLNIVALSGEECWETL IGQDIYRLLLMDFVFSLADSLLGEFLRRLIGMKFTSLSLQEFDIARNVLELIYAQTLTWL GIFFCPLLPFIQMITLFIMFYVKNVSLMMNFQPPSKAWRASQMITFFIFLLFFPSFTGVL CTLAITIWRLKPSADCGPFRGLPSFIQSIYSWIDTLSRRPGYLWVVWIYQNLIGSVHFFF ILTLIVLIITYLYWQITEGRKVMIRLLHEQIINEGKDKMFLIEKLTKLQDMEKRVNPSAL DLERREVEPQIPLHLEELGAAPDLRLRRSAQEENPIA >ENSMUSP00000095694.4 pep:known chromosome:GRCm38:7:118597297:118675085:1 gene:ENSMUSG00000030650.18 transcript:ENSMUST00000098088.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc5 description:transmembrane channel-like gene family 5 [Source:MGI Symbol;Acc:MGI:1921674] MSSFHQNSSYMEDADYPGYSGSRNHTHNYLETQDYPEFPGSQNNPGFHHPRSNPHSSGSR TNPDYPYFQEEPDYPGSLSNTVYQDTRSHPFSAHSRTRPDYESSIEPDYNDFRSESYHAG LPMEPDYPGSQSHPGFAGVGRSSMNYTGPRTNLGYLGSLEEPDYPGAQDNSYYHSGSRPH SNLPGSRRDAGYAGSRINSYPDDLGEPDYPGAENQPNSPRFYGKPDYPGAEEGDGYSSSK SLAVIRRGNGPFGMLGRRNEDYPEGIGMVSMEMAGDPRNGYVNPAYTRRISPVCPDRNLL LCAHDWHTSVQGQKLIASLIPMTTRDRIKTIRNQPRTMQEKRELRKIVDKEKNKQSHGIL EANCCAQCLGSLSLTYRRTRNGLSELLNYITLWQKRFKVIGGKFGTSVLSYFSFLRWLLK FNIFSFVMNFSFIIIPQFTVGAKNTLQFTGLEFFTGAGYFGDTVMYYGFYTNSTIRHRMG GASYNMQLAYIFTIGACLVVCFFSLLFSMAKYFRNNFINPHIYSRGIAKLIFCWDFTVTH EKAVKLKQKNLSTEIRENLSELRQENYRLTFNQQLTRFSAHVAAWLVSTGVTAACCVAVY YLAEYNSEFLKTHRNPGAVLLLPFVVSCINLAVPRFYSMFRLVERYEIPRQEVYVLLVRN IFLKISIVGILCYYWLNIVALSGEECWETLIGQDIYRLLLMDFVFSLADSLLGEFLRRLI GMKFTSLSLQEFDIARNVLELIYAQTLTWLGIFFCPLLPFIQMITLFIMFYVKNVSLMMN FQPPSKAWRASQMITFFIFLLFFPSFTGVLCTLAITIWRLKPSADCGPFRGLPSFIQSIY SWIDTLSRRPGYLWVVWIYQNLIGSVHFFFILTLIVLIITYLYWQITEGRKVMIRLLHEQ IINEGKDKMFLIEKLTKLQDMEKRVNPSALDLERREVEPQIPLHLEELGAAPDLRLRRSA QEENPIA >ENSMUSP00000118541.1 pep:known chromosome:GRCm38:7:118622514:118623469:1 gene:ENSMUSG00000030650.18 transcript:ENSMUST00000155572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc5 description:transmembrane channel-like gene family 5 [Source:MGI Symbol;Acc:MGI:1921674] MSSFHQNSSYMEDADYPGYSGSRNHTHNYLETQDYPEFPGSQNNPGFHHPRSNPHSSGSR TNPDYPYFQEEPDYPGSLSNTVYQDTRSHPFSAHSRTRPDYESSIEPDYNDFRSESYHAG LPMEPDYPGS >ENSMUSP00000114137.1 pep:known chromosome:GRCm38:7:118600170:118675078:1 gene:ENSMUSG00000030650.18 transcript:ENSMUST00000121744.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc5 description:transmembrane channel-like gene family 5 [Source:MGI Symbol;Acc:MGI:1921674] MSSFHQNSSYMEDADYPGYSGSRNHTHNYLETQDYPEFPGSQNNPGFHHPRSNPHSSGSR TNPDYPYFQEEPDYPGSLSNTVYQDTRSHPFSAHSRTRPDYESSIEPDYNDFRSESYHAG LPMEPDYPGSQSHPGFAGVGRSSMNYTGPRTNLGYLGSLEEPDYPGAQDNSYYHSGSRPH SNLPGSRRDAGYAGSRINSYPDDLGEPDYPGAENQPNSPRFYGKPDYPGAEEGDGYSSSK SLAVIRRGNGPFGMLGRRNEDYPEGIGMVSMEMAGDPRNGYVNPAYTRRISPVCPDRNLL LCAHDWHTSVQGQKLIASLIPMTTRDRIKTIRNQPRTMQEKRELRKIVDKEKNKQSHGIL EANCCAQCLGSLSLTYRRTRNGLSELLNYITLWQKRFKVIGGKFGTSVLSYFSFLRWLLK FNIFSFVMNFSFIIIPQFTVGAKNTLQFTGLEFFTGAGYFGDTVMYYGFYTNSTIRHRMG GASYNMQLAYIFTIGACLVVCFFSLLFSMAKYFRNNFINPHIYSRGIAKLIFCWDFTVTH EKAVKLKQKNLSTEIRENLSELRQENYRLTFNQQLTRFSAHVAAWLVSTGVTAACCVAVY YLAEYNSEFLKTHRNPGAVLLLPFVVSCINLAVPRFYSMFRLVERYEIPRQEVYVLLVRN IFLKISIVGILCYYWLNIVALSGEECWETLIGQDIYRLLLMDFVFSLADSLLGEFLRRLI GMKFTSLSLQEFDIARNVLELIYAQTLTWLGIFFCPLLPFIQMITLFIMFYVKNVSLMMN FQPPSKAWRASQMITFFIFLLFFPSFTGVLCTLAITIWRLKPSADCGPFRGLPSFIQSIY SWIDTLSRRPGYLWVVWIYQNLIGSVHFFFILTLIVLIITYLYWQITEGRKVMIRLLHEQ IINEGKDKMFLIEKLTKLQDMEKRVNPSALDLERREVEPQIPLHLEELGAAPDLRLRRSA QEENPIA >ENSMUSP00000112434.1 pep:known chromosome:GRCm38:7:118597297:118675086:1 gene:ENSMUSG00000030650.18 transcript:ENSMUST00000121715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc5 description:transmembrane channel-like gene family 5 [Source:MGI Symbol;Acc:MGI:1921674] MSSFHQNSSYMEDADYPGYSGSRNHTHNYLETQDYPEFPGSQNNPGFHHPRSNPHSSGSR TNPDYPYFQEEPDYPGSLSNTVYQDTRSHPFSAHSRTRPDYESSIEPDYNDFRSESYHAG LPMEPDYPGSQSHPGFAGVGRSSMNYTGPRTNLGYLGSLEEPDYPGAQDNSYYHSGSRPH SNLPGSRRDAGYAGSRINSYPDDLGEPDYPGAENQPNSPRFYGKPDYPGAEEGDGYSSSK SLAVIRRGNGPFGMLGRRNEDYPEGIGMVSMEMAGDPRNGYVNPAYTRRISPVCPDRNLL LCAHDWHTSVQGQKLIASLIPMTTRDRIKTIRNQPRTMQEKRELRKIVDKEKNKQSHGIL EANCCAQCLGSLSLTYRRTRNGLSELLNYITLWQKRFKVIGGKFGTSVLSYFSFLRWLLK FNIFSFVMNFSFIIIPQFTVGAKNTLQFTGLEFFTGAGYFGDTVMYYGFYTNSTIRHRMG GASYNMQLAYIFTIGACLVVCFFSLLFSMAKYFRNNFINPHIYSRGIAKLIFCWDFTVTH EKAVKLKQKNLSTEIRENLSELRQENYRLTFNQQLTRFSAHVAAWLVSTGVTAACCVAVY YLAEYNSEFLKTHRNPGAVLLLPFVVSCINLAVPRFYSMFRLVERYEIPRQEVYVLLVRN IFLKISIVGILCYYWLNIVALSGEECWETLIGQDIYRLLLMDFVFSLADSLLGEFLRRLI GMKFTSLSLQEFDIARNVLELIYAQTLTWLGIFFCPLLPFIQMITLFIMFYVKNVSLMMN FQPPSKAWRASQMITFFIFLLFFPSFTGVLCTLAITIWRLKPSADCGPFRGLPSFIQSIY SWIDTLSRRPGYLWVVWIYQNLIGSVHFFFILTLIVLIITYLYWQITEGRKVMIRLLHEQ IINEGKDKMFLIEKLTKLQDMEKRVNPSALDLERREVEPQIPLHLEELGAAPDLRLRRSA QEENPIA >ENSMUSP00000090277.2 pep:known chromosome:GRCm38:6:83078390:83080847:1 gene:ENSMUSG00000069678.10 transcript:ENSMUST00000092614.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf1 description:polycomb group ring finger 1 [Source:MGI Symbol;Acc:MGI:1917087] MRLRNQLQSVYKMDPLRNEEEVRVKIKDLNEHIVCCLCAGYFVDATTITECLHTFCKSCI VKYLQTSKYCPMCNIKIHETQPLLNLKLDRVMQDIVYKLVPGLQDSEEKRIREFYQSRGL DRVSQPSGEEPALSNLGLPFSSFDHSKAHYYRYDEQLSLCLERLSSGKDKNKNVLQNKYV RCSVRAEVRHLRRVLCHRLMLNPQHVQLLFDNEVLPDHMTMKQLWLSRWFGKPSPLLLQY SVKEKRR >ENSMUSP00000135268.1 pep:known chromosome:GRCm38:6:83078691:83080757:1 gene:ENSMUSG00000069678.10 transcript:ENSMUST00000176089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf1 description:polycomb group ring finger 1 [Source:MGI Symbol;Acc:MGI:1917087] MCNIKIHETQPLLNLKLDRVMQDIVYKLVPGLQDILAKTRIKMSFRAEVRHLRRVLCHRL MLNPQHVQLLFDNEVLPDHMTMKQLWLSRWFGKPSPLLLQYSVKEKRR >ENSMUSP00000130614.2 pep:known chromosome:GRCm38:6:83078346:83080855:1 gene:ENSMUSG00000069678.10 transcript:ENSMUST00000165164.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf1 description:polycomb group ring finger 1 [Source:MGI Symbol;Acc:MGI:1917087] MASPQGGQIAIAMRLRNQLQSVYKMDPLRNEEEVRVKIKDLNEHIVCCLCAGYFVDATTI TECLHTFCKSCIVKYLQTSKYCPMCNIKIHETQPLLNLKLDRVMQDIVYKLVPGLQDSEE KRIREFYQSRGLDRVSQPSGEEPALSNLGLPFSSFDHSKAHYYRYDEQLSLCLERLSSGK DKNKNVLQNKYVRCSVRAEVRHLRRVLCHRLMLNPQHVQLLFDNEVLPDHMTMKQLWLSR WFGKPSPLLLQYSVKEKRR >ENSMUSP00000135664.1 pep:known chromosome:GRCm38:6:83078630:83080757:1 gene:ENSMUSG00000069678.10 transcript:ENSMUST00000176027.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcgf1 description:polycomb group ring finger 1 [Source:MGI Symbol;Acc:MGI:1917087] MCNIKIHETQPLLNLKLDRVMQDIVYKLVPGLQDSEEKRIREFYQSRGLDRVSQPSGEEP ALSNLGLPFSSFDHSKAHYYRYDEQLSLCLERLSSGKDKNKNVLQS >ENSMUSP00000135291.1 pep:known chromosome:GRCm38:6:83078630:83080854:1 gene:ENSMUSG00000069678.10 transcript:ENSMUST00000177177.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf1 description:polycomb group ring finger 1 [Source:MGI Symbol;Acc:MGI:1917087] MCNIKIHETQPLLNLKLDRVMQDIVYKLVPGLQDSEEKRIREFYQSRGLDRVSQPSGEEP ALSNLGLPFSSFDHSKAHYYRYDEQLSLCLERLSSGKDKNKNVLQNKYVRCSVRAEVRHL RRVLCHRLMLNPQHVQLLFDNEVLPDHMTMKQLWLSRWFGKPSPLLLQYSVKEKRR >ENSMUSP00000135882.1 pep:known chromosome:GRCm38:6:83077869:83078995:1 gene:ENSMUSG00000069678.10 transcript:ENSMUST00000176100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf1 description:polycomb group ring finger 1 [Source:MGI Symbol;Acc:MGI:1917087] MRLRNQLQSVYKMDPLRNEEEVRVKIKDLNEHIVCCLCAGYFVDATTITECL >ENSMUSP00000049280.5 pep:known chromosome:GRCm38:6:91878053:91899843:1 gene:ENSMUSG00000034083.16 transcript:ENSMUST00000037783.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc174 description:coiled-coil domain containing 174 [Source:MGI Symbol;Acc:MGI:2444652] MDRKKKPLDVTASSLVDLKAELFRKQEEFKQEKLLKDSGVFGKPKTINKKPSIWSKQNAG VTSRAEKDAEQKLEEQKTLDKAREKLEEKAKLYEKMTKGDFLDEEVEDMYLVDFTQKIID KRKEMEVLGATRESQIEEERDDDDKEEFSDKDIPPPQDPSEEWVDYVDSLGRSRRCMRKD LPSLLEMDKNLQGRLFVSPANEKTLLSEDMRKELQRQQWEEEEREALKKPMGPIHYEDIR ENEARQLGVGYFAFARDKELRNKQMKTLEMLREQTTDQRIKRENIKEKRKAMLEARLAKL RQKKMKKSKEDGTEEEGREADGVVPEPSEPKPVPAPAPVAQNSKVEVIIQERRDTKPGVP HIREWDRGKDFSFGFWSKKQSELRAERDPEFAPPSNYFVGQKRTAPMSSQPQSRPGSAPS DLGHSSGQSQEPSSSHTSTPASESSPQAPTVTFQTLDDMISYYKQVT >ENSMUSP00000146317.1 pep:known chromosome:GRCm38:6:91878053:91899843:1 gene:ENSMUSG00000034083.16 transcript:ENSMUST00000136090.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc174 description:coiled-coil domain containing 174 [Source:MGI Symbol;Acc:MGI:2444652] MDRKKKPLDVTASSLVDLKAELFRKQEEFKQEKLLKDSGVFGKPKTINKKPSIWSKQNAG VTSRAEKDAEQKLEEQKTLDKAREKLEEKAKLYEKMTKGDFLGK >ENSMUSP00000140234.1 pep:known chromosome:GRCm38:1:151755460:151818979:1 gene:ENSMUSG00000043019.12 transcript:ENSMUST00000191070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edem3 description:ER degradation enhancer, mannosidase alpha-like 3 [Source:MGI Symbol;Acc:MGI:1914217] MSKAGGCRGCGCRVPQRASWSLVAATAALCLVLATSVCTAGAAPMSREEKQKLGNQVLEM FDHAYGNYMEHAYPADELMPLTCRGRVRGQEPSRGDVDDALGKFSLTLIDSLDTLVVLNK TKEFEDAVRKVLRDVNLDNDVVVSVFETNIRVLGGLLGGHSLAIMLKEKGEHMQWYNDEL LHMAKQLGYKLLPAFNTTSGLPYPRINLKFGIRKPEARTGTETDTCTACAGTLILEFAAL SRFTGATIFEEYARKALDFLWEKRQRSSNLVGVTINIHTGDWVRKDSGVGAGIDSYYEYL LKAYVLLGDDSFLERFNTHYDAIMRYISQPPLLLDVHIHKPMLNARTWMDALLAFFPGLQ VLKGDIRPAIETHEMLYQVIKKHNFLPEAFTTDFRVHWAQHPLRPEFAESTYFLYKATGD PYYLEVGKTLIENLNKYARVPCGFAAMKDVRTGSHEDRMDSFFLAEMFKYLYLLFADKED IIFDIEDYIFTTEAHLLPLWLSTTNRSISKKNTTSEYTELDDSNFDWTCPNTQILFPNDP LYAQSIREPLKNVVDKSCPRGIIRVEESFRSGAKPPLRARDFMATNPEHLEILKKMGAAS SIDAEDGLRFMQEMIELSSQQQKEQQLPPRAVQIISHPFFGRVVLTAGPAQFGLDLSKHK ETRGFVASSKPYNGCSELTNPEAVMGKIALIQRGQCMFAEKARNIQNAGAIGGIVIDDNE GSSSDTAPLFQMAGDGKDTDDIKIPMLFLFSKEGSIILDAIREHEQVEVLLSDKARDRAA ILKDPEMENEDQPSSENDSQNQSAEQMLSLSQTVDLADKESPEHPADSHSEASPSDSEEA AGFAPSEQISGSTENHETTSLDGECTDLDNQVQEQSETEEDSSPNVSWGTKAQPIDSILA DWNEDIEAFEMMEKDEL >ENSMUSP00000140443.1 pep:known chromosome:GRCm38:1:151755371:151818935:1 gene:ENSMUSG00000043019.12 transcript:ENSMUST00000188145.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edem3 description:ER degradation enhancer, mannosidase alpha-like 3 [Source:MGI Symbol;Acc:MGI:1914217] MSKAGGCRGCGCRVPQRASWSLVAATAALCLVLATSVCTAGAAPMSREEKQKLGNQVLEM FDHAYGNYMEHAYPADELMPLTCRGRVRGQEPSRGDVDDALGKFSLTLIDSLDTLVVLNK TKEFEDAVRKVLRDVNLDNDVVVSVFETNIRVLGGLLGGHSLAIMLKEKGEHMQWYNDEL LHMAKQLGYKLLPAFNTTSGLPYPRINLKFGIRKPEARTGTETDTCTACAGTLILEFAAL SRFTGATIFEEYARKALDFLWEKRQRSSNLVGVTINIHTGDWVRKDSGVGAGIDSYYEYL LKAYVLLGDDSFLERFNTHYDAIMRYISQPPLLLDVHIHKPMLNARTWMDALLAFFPGLQ VLKGDIRPAIETHEMLYQVIKKHNFLPEAFTTDFRVHWAQHPLRPEFAESTYFLYKATGD PYYLEVGKTLIENLNKYARVPCGFAAMKDVRTGSHEDRMDSFFLAEMFKYLYLLFADKED IIFDIEDYIFTTEAHLLPLWLSTTNRSISKKNTTSEYTELDDSNFDWTCPNTQILFPNDP LYAQSIREPLKNVVDKSCPRGIIRVEESFRSGAKPPLRARDFMATNPEHLEILKKMGVSL IHLKDGRVQLVQHAIQAASSIDAEDGLRFMQEMIELSSQQQKEQQLPPRAVQIISHPFFG RVVLTAGPAQFGLDLSKHKETRGFVASSKPYNGCSELTNPEAVMGKIALIQRGQCMFAEK ARNIQNAGAIGGIVIDDNEGSSSDTAPLFQMAGDGKDTDDIKIPMLFLFSKEGSIILDAI REHEQVEVLLSDKARDRAAILKGKMIPSYIINSNPEMENEDQPSSENDSQNQSAEQMLSL SQTVDLADKESPEHPADSHSEASPSDSEEAAGFAPSEQISGSTENHETTSLDGECTDLDN QVQEQSETEEDSSPNVSWGTKAQPIDSILADWNEDIEAFEMMEKDEL >ENSMUSP00000058941.5 pep:known chromosome:GRCm38:1:151755374:151822051:1 gene:ENSMUSG00000043019.12 transcript:ENSMUST00000059498.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edem3 description:ER degradation enhancer, mannosidase alpha-like 3 [Source:MGI Symbol;Acc:MGI:1914217] MSKAGGCRGCGCRVPQRASWSLVAATAALCLVLATSVCTAGAAPMSREEKQKLGNQVLEM FDHAYGNYMEHAYPADELMPLTCRGRVRGQEPSRGDVDDALGKFSLTLIDSLDTLVVLNK TKEFEDAVRKVLRDVNLDNDVVVSVFETNIRVLGGLLGGHSLAIMLKEKGEHMQWYNDEL LHMAKQLGYKLLPAFNTTSGLPYPRINLKFGIRKPEARTGTETDTCTACAGTLILEFAAL SRFTGATIFEEYARKALDFLWEKRQRSSNLVGVTINIHTGDWVRKDSGVGAGIDSYYEYL LKAYVLLGDDSFLERFNTHYDAIMRYISQPPLLLDVHIHKPMLNARTWMDALLAFFPGLQ VLKGDIRPAIETHEMLYQVIKKHNFLPEAFTTDFRVHWAQHPLRPEFAESTYFLYKATGD PYYLEVGKTLIENLNKYARVPCGFAAMKDVRTGSHEDRMDSFFLAEMFKYLYLLFADKED IIFDIEDYIFTTEAHLLPLWLSTTNRSISKKNTTSEYTELDDSNFDWTCPNTQILFPNDP LYAQSIREPLKNVVDKSCPRGIIRVEESFRSGAKPPLRARDFMATNPEHLEILKKMGVSL IHLKDGRVQLVQHAIQAASSIDAEDGLRFMQEMIELSSQQQKEQQLPPRAVQIISHPFFG RVVLTAGPAQFGLDLSKHKETRGFVASSKPYNGCSELTNPEAVMGKIALIQRGQCMFAEK ARNIQNAGAIGGIVIDDNEGSSSDTAPLFQMAGDGKDTDDIKIPMLFLFSKEGSIILDAI REHEQVEVLLSDKARDRDPEMENEDQPSSENDSQNQSAEQMLSLSQTVDLADKESPEHPA DSHSEASPSDSEEAAGFAPSEQISGSTENHETTSLDGECTDLDNQVQEQSETEEDSSPNV SWGTKAQPIDSILADWNEDIEAFEMMEKDEL >ENSMUSP00000140775.1 pep:known chromosome:GRCm38:1:151755460:151818979:1 gene:ENSMUSG00000043019.12 transcript:ENSMUST00000187951.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edem3 description:ER degradation enhancer, mannosidase alpha-like 3 [Source:MGI Symbol;Acc:MGI:1914217] MSKAGGCRGCGCRVPQRASWSLVAATAALCLVLATSVCTAGAAPMSREEKQKLGNQVLEM FDHAYGNYMEHAYPADELMPLTCRGRVRGQEPSRGDVDDALGKFSLTLIDSLDTLVVLNK TKEFEDAVRKVLRDVNLDNDVVVSVFETNIRVLGGLLGGHSLAIMLKEKGEHMQWYNDEL LHMAKQLGYKLLPAFNTTSGLPYPRINLKFGIRKPEARTGTETDTCTACAGTLILEFAAL SRFTGATIFEEYARKALDFLWEKRQRSSNLVGVTINIHTGDWVRKDSGVGAGIDSYYEYL LKAYVLLGDDSFLERFNTHYDAIMRYISQPPLLLDVHIHKPMLNARTWMDALLAFFPGLQ VLKGDIRPAIETHEMLYQVIKKHNFLPEAFTTDFRVHWAQHPLRPEFAESTYFLYKATGD PYYLEVGKTLIENLNKYARVPCGFAAMKDVRTGSHEDRMDSFFLAEMFKYLYLLFADKED IIFDIEDYIFTTEAHLLPLWLSTTNRSISKKNTTSEYTELDDSNFDWTCPNTQILFPNDP LYAQSIREPLKNVVDKSCPRGIIRVREESFRSGAKPPLRARDFMATNPEHLEILKKMGAA SSIDAEDGLRFMQEMIELSSQQQKEQQLPPRAVQIISHPFFGRVVLTAGPAQFGLDLSKH KETRGFVASSKPYNGCSELTNPEAVMGKIALIQRGQCMFAEKARNIQNAGAIGGIVIDDN EGSSSDTAPLFQMAGDGKDTDDIKIPMLFLFSKEGSIILDAIREHEQVEVLLSDKARDRD PEMENEDQPSSENDSQNQSAEQMLSLSQTVDLADKESPEHPADSHSEASPSDSEEAAGFA PSEQISGSTENHETTSLDGECTDLDNQVQEQSETEEDSSPNVSWGTKAQPIDSILADWNE DIEAFEMMEKDEL >ENSMUSP00000141091.1 pep:known chromosome:GRCm38:9:90097886:90114698:-1 gene:ENSMUSG00000062270.13 transcript:ENSMUST00000190345.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Morf4l1 description:mortality factor 4 like 1 [Source:MGI Symbol;Acc:MGI:1096551] MAPKQDPKPKFQEVGMNGCQKAEYSNTWTPICRNSENFKRPIRNNMQRAR >ENSMUSP00000140023.1 pep:known chromosome:GRCm38:9:90097408:90114659:-1 gene:ENSMUSG00000062270.13 transcript:ENSMUST00000191353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l1 description:mortality factor 4 like 1 [Source:MGI Symbol;Acc:MGI:1096551] MAPKQDPKPKFQEGERVLCFHGPLLYEAKCVKVAIKDKQVKYFIHYSGWNKNAVRPRRSE KSLKTREDIVALFPVPEGAPSVHHPLLTSSWDEWVPESRVLKYVDTNLQKQRELQKANQE QYAEGKMRGAAPGKKTSGLQQKNVEVKTKKNKQKTPGNGDGGSTSETPQPPR >ENSMUSP00000132020.1 pep:known chromosome:GRCm38:9:90091665:90114774:-1 gene:ENSMUSG00000062270.13 transcript:ENSMUST00000169860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l1 description:mortality factor 4 like 1 [Source:MGI Symbol;Acc:MGI:1096551] MAPKQDPKPKFQEGERVLCFHGPLLYEAKCVKVAIKDKQVKYFIHYSGWNKNWDEWVPES RVLKYVDTNLQKQRELQKANQEQYAEGKMRGAAPGKKTSGLQQKNVEVKTKKNKQKTPGN GDGGSTSETPQPPRKKRARVDPTVENEETFMNRVEVKVKIPEELKPWLVDDWDLITRQKQ LFYLPAKKNVDSILEDYANYKKSRGNTDNKEYAVNEVVAGIKEYFNVMLGTQLLYKFERP QYAEILADHPDAPMSQVYGAPHLLRLFVRIGAMLAYTPLDEKSLALLLNYLHDFLKYLAK NSATLFSASDYEVAPPEYHRKAV >ENSMUSP00000140118.1 pep:known chromosome:GRCm38:9:90092155:90114744:-1 gene:ENSMUSG00000062270.13 transcript:ENSMUST00000191189.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l1 description:mortality factor 4 like 1 [Source:MGI Symbol;Acc:MGI:1096551] MAPKQDPKPKFQEGERVLCFHGPLLYEAKCVKVAIKDKQVKYFIHYSGWNKNWDEWVPES RVLKYVDTNLQKQRELQKANQKTKKNKQKTPGNGDGGSTSETPQPPRKKRARVDPTVENE ETFMNRVEVKVKIPEELKPWLVDDWDLITRQKQLFYLPAKKNVDSILEDYANYKKSRGNT DNKEYAVNEVVAGIKEYFNVMLGTQLLYKFERPQYAEILADHPDAPMSQVYGAPHLLRLF VRIGAMLAYTPLDEKSLALLLNYLHDFLKYLAKNSATLFSASDYEVAPPEYHRKAV >ENSMUSP00000082346.5 pep:known chromosome:GRCm38:9:90091665:90114715:-1 gene:ENSMUSG00000062270.13 transcript:ENSMUST00000085248.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morf4l1 description:mortality factor 4 like 1 [Source:MGI Symbol;Acc:MGI:1096551] MAPKQDPKPKFQEGERVLCFHGPLLYEAKCVKVAIKDKQVKYFIHYSGWNKKSAVRPRRS EKSLKTREDIVALFPVPEGAPSVHHPLLTSSWDEWVPESRVLKYVDTNLQKQRELQKANQ EQYAEGKMRGAAPGKKTSGLQQKNVEVKTKKNKQKTPGNGDGGSTSETPQPPRKKRARVD PTVENEETFMNRVEVKVKIPEELKPWLVDDWDLITRQKQLFYLPAKKNVDSILEDYANYK KSRGNTDNKEYAVNEVVAGIKEYFNVMLGTQLLYKFERPQYAEILADHPDAPMSQVYGAP HLLRLFVRIGAMLAYTPLDEKSLALLLNYLHDFLKYLAKNSATLFSASDYEVAPPEYHRK AV >ENSMUSP00000058254.7 pep:known chromosome:GRCm38:11:95509845:95514570:-1 gene:ENSMUSG00000046719.7 transcript:ENSMUST00000058866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxph3 description:neurexophilin 3 [Source:MGI Symbol;Acc:MGI:1336188] MQLTRCCFVFLVQGSLYLVICGQDDGPPGSEDPEHDDHEGQPRPRVPRKRGHISPKSRPL ANSTLLGLLAPPGEVWGVLGQPPNRPKQSPLPSTKVKKIFGWGDFYSNIKTVALNLLVTG KIVDHGNGTFSVHFRHNATGQGNISISLVPPSKAVEFHQEQQIFIEAKASKIFNCRMEWE KVERGRRTSLCTHDPAKICSRDHAQSSATWSCSQPFKVVCVYIAFYSTDYRLVQKVCPDY NYHSDTPYYPSG >ENSMUSP00000067423.6 pep:known chromosome:GRCm38:11:109452726:109473294:-1 gene:ENSMUSG00000041920.14 transcript:ENSMUST00000070872.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a6 description:solute carrier family 16 (monocarboxylic acid transporters), member 6 [Source:MGI Symbol;Acc:MGI:2144585] MTQKNSKLCARANVYTQVPDGGWGWAVAVSFFFVEVFTYGIIKSFGVFFNDLMDSFDESN SKISWIISICVFVLTFTAPLSTVLSNRFGHRLVVMAGGLLISLGMITASFSQRVYHMYIS IGVISGLGYCFSFLPTVTILSQYFDKRRSVVTAVASTGECFAVFAFAPAITALKEHIGWR YSLLFVGLLQLNIMVCGALLRPIIIQGPGQSPKAVTLEPRREVQYMLENEKTRTSIDSID SGVELTTSPKNVPSEAKMEQETRAEQQQTLVTAPKHSQMKAPLLDFSVLKEKSFICYALF GLFATLGFFAPSLYIIPLGISLGIDPDRAAFLLSTMAIAEVFGRIGAGFVLNREPIRKIY IELICVILLTASLFAFTFATEFWGLMLCSVFFGSMVGTIGGTHIPMLAEDDVVGIEKMSS AAGVYVFIQSISGLAGPPLAGLLVDQSKIYSRAFYSCAAGMCLAAVCLALVRPCKKGLCQ NSHSGENQTDRQRGKALQDIPEDFLEMDLGKCEHRAHMKMDPV >ENSMUSP00000065628.5 pep:known chromosome:GRCm38:11:109450855:109473598:-1 gene:ENSMUSG00000041920.14 transcript:ENSMUST00000070152.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a6 description:solute carrier family 16 (monocarboxylic acid transporters), member 6 [Source:MGI Symbol;Acc:MGI:2144585] MRASGQGPQRRRRGWATRDDSAVTFRDPQPRQPAGGARALRGPDPRGPARAHQAGPLLAG ARRSQHMVGGAPPRPAETGCSRSRMTQKNSKLCARANVYTQVPDGGWGWAVAVSFFFVEV FTYGIIKSFGVFFNDLMDSFDESNSKISWIISICVFVLTFTAPLSTVLSNRFGHRLVVMA GGLLISLGMITASFSQRVYHMYISIGVISGLGYCFSFLPTVTILSQYFDKRRSVVTAVAS TGECFAVFAFAPAITALKEHIGWRYSLLFVGLLQLNIMVCGALLRPIIIQGPGQSPKAVT LEPRREVQYMLENEKTRTSIDSIDSGVELTTSPKNVPSEAKMEQETRAEQQQTLVTAPKH SQMKAPLLDFSVLKEKSFICYALFGLFATLGFFAPSLYIIPLGISLGIDPDRAAFLLSTM AIAEVFGRIGAGFVLNREPIRKIYIELICVILLTASLFAFTFATEFWGLMLCSVFFGSMV GTIGGTHIPMLAEDDVVGIEKMSSAAGVYVFIQSISGLAGPPLAGLLVDQSKIYSRAFYS CAAGMCLAAVCLALVRPCKKGLCQNSHSGENQTDRQRGKALQDIPEDFLEMDLGKCEHRA HMKMDPV >ENSMUSP00000032206.8 pep:known chromosome:GRCm38:11:109458498:109466359:-1 gene:ENSMUSG00000041920.14 transcript:ENSMUST00000032206.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a6 description:solute carrier family 16 (monocarboxylic acid transporters), member 6 [Source:MGI Symbol;Acc:MGI:2144585] MTQKNSKLCARANVYTQVPDGGWGWAVAVSFFFVEVFTYGIIKSFGVFFNDLMDSFDESN SKISWIISICVFVLTFTGLGYCFSFLPTVTILSQYFDKRRSVVTAVASTGECF >ENSMUSP00000125950.1 pep:known chromosome:GRCm38:11:109459799:109472688:-1 gene:ENSMUSG00000041920.14 transcript:ENSMUST00000168740.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a6 description:solute carrier family 16 (monocarboxylic acid transporters), member 6 [Source:MGI Symbol;Acc:MGI:2144585] MKLPARQQSEMSERSRMTQKNSKLCARANVYTQVPDGGWGWAVAVSFFFVEVFTYGIIKS FGVFFNDLMDSFDESNSKISWIISICVFVLTFTAPLSTVLSNRFGHRLVVMAGGLLISLG MITASFSQRVYHMYISIGVIS >ENSMUSP00000131140.1 pep:known chromosome:GRCm38:9:59394885:59405794:-1 gene:ENSMUSG00000025234.11 transcript:ENSMUST00000171856.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arih1 description:ariadne RBR E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1344363] VTRTVKQNFAGCVLALGNRMDLPAI >ENSMUSP00000131516.1 pep:known chromosome:GRCm38:9:59393294:59486347:-1 gene:ENSMUSG00000025234.11 transcript:ENSMUST00000165322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arih1 description:ariadne RBR E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1344363] MDSDEGYNYEFDEDEECSEEDSGAEEEEDDDEDEPDDDNLDLGENPATITRILLSHFNWD KEKLMERYFDGNLEKLFAECHVINPSKKSRTRQMNTRSSAQDMPCQICYLNYPNSYFTGL ECGHKFCMQCWSEYLTTKIMEEGMGQTISCPAHGCDILVDDNTVMRLITDSKVKLKYQHL ITNSFVECNRLLKWCPAPDCHHVVKVQYPDAKPVRCKCGRQFCFNCGENWHDPVKCKWLK KWIKKCDDDSETSNWIAANTKECPKCHVTIEKDGGCNHMVCRNQNCKAEFCWVCLGPWEP HGSAWYNCNRYNEDDAKAARDAQERSRAALQRYLFYCNRYMNHMQSLRFEHKLYAQVKQK MEEMQQHNMSWIEVQFLKKAVDVLCQCRATLMYTYVFAFYLKKNNQSIIFENNQADLENA TEVLSGYLERDISQDSLQDIKQKVQDKYRYCESRRRVLLQHVHEGYEKDLWEYIED >ENSMUSP00000026264.5 pep:known chromosome:GRCm38:9:59393113:59486618:-1 gene:ENSMUSG00000025234.11 transcript:ENSMUST00000026264.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arih1 description:ariadne RBR E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1344363] MDSDEGYNYEFDEDEECSEEDSGAEEEEDDDEDEPDDDNLDLGEVELVEPGLGVGGERDG LLCGETGGGGGSALGPGGGGGGGGGGGGPGHEQEEDYRYEVLTAEQILQHMVECIREVNE VIQNPATITRILLSHFNWDKEKLMERYFDGNLEKLFAECHVINPSKKSRTRQMNTRSSAQ DMPCQICYLNYPNSYFTGLECGHKFCMQCWSEYLTTKIMEEGMGQTISCPAHGCDILVDD NTVMRLITDSKVKLKYQHLITNSFVECNRLLKWCPAPDCHHVVKVQYPDAKPVRCKCGRQ FWNVPNAMSQLRRMAVVITWSVVTRTVKQNFAGCVLALGNRMDLPGTTVTAIMRMMQRQQ EMPKSDLGRPCRDTCSTVIAI >ENSMUSP00000126531.1 pep:known chromosome:GRCm38:9:59388258:59486614:-1 gene:ENSMUSG00000025234.11 transcript:ENSMUST00000171975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arih1 description:ariadne RBR E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1344363] MDSDEGYNYEFDEDEECSEEDSGAEEEEDDDEDEPDDDNLDLGEVELVEPGLGVGGERDG LLCGETGGGGGSALGPGGGGGGGGGGGGPGHEQEEDYRYEVLTAEQILQHMVECIREVNE VIQNPATITRILLSHFNWDKEKLMERYFDGNLEKLFAECHVINPSKKSRTRQMNTRSSAQ DMPCQICYLNYPNSYFTGLECGHKFCMQCWSEYLTTKIMEEGMGQTISCPAHGCDILVDD NTVMRLITDSKVKLKYQHLITNSFVECNRLLKWCPAPDCHHVVKVQYPDAKPVRCKCGRQ FCFNCGENWHDPVKCKWLKKWIKKCDDDSETSNWIAANTKECPKCHVTIEKDGGCNHMVC RNQNCKAEFCWVCLGPWEPHGSAWYNCNRYNEDDAKAARDAQERSRAALQRYLFYCNRYM NHMQSLRFEHKLYAQVKQKMEEMQQHNMSWIEVQFLKKAVDVLCQCRATLMYTYVFAFYL KKNNQSIIFENNQADLENATEVLSGYLERDISQDSLQDIKQKVQDKYRYCESRRRVLLQH VHEGYEKDLWEYIED >ENSMUSP00000136392.1 pep:known chromosome:GRCm38:11:120064430:120086827:-1 gene:ENSMUSG00000039781.14 transcript:ENSMUST00000180242.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep131 description:centrosomal protein 131 [Source:MGI Symbol;Acc:MGI:107440] MKGSRTITATPEGSPEAVDLSLIGLPPPMSQRPGSASATRSIFRSMSVATGSEPRKKALE ATGPGGPRAINNLRRSNSTTQVNQSWTGSPRPAEPTDFLMLFEGSTSGRRRVASLSKASS EKEATWNVLDEQPRGLALPASAQSPSTLDSALGPRRKECPLAPSFTANNRSNKGAVGNCV TTMVHNHYASSKMVSPPKSSNQTAPSLNNIVKAAAREGGEGSDLGKPRKNLSSASQSARG TTGLLRRREVTEEEAERFIHQVNQAAVTIQRWYRCQVQRRRAGAAALEHLLASKREGQRQ RLGGGNLLELHRQEEAARKKAREEKARQARQAAIQVEQQKRAQKASEAEHRRPKDRPETR APEQPRPMQEPGCVTHPKANNAGASIYPTGPADPCPPASESSPEQWQSPEDKPQDIHSQG EARQDLAVSGSSRGKARARATLDDLLDTLKLLEEEPEPLPHPKAYHKDRYAWTDEEEDAN SLTADNLEKFGKLSAAPGPPDDGTLLSEAKLQSIMTFLDEMEKSGQERPAPWRESLVLEA GSGSEGSTSVMRLKLELEEKKQAMALLQRALAQQRDLTVRRVKETEKELTRQLRQQKEQY EATIQRHLSFIDQLIEDKKVLSEKCEAVVAELKHGDQRCRERVAQMQEQHELEIKKLKEL MSATEKIRREKWINEKTKKIKEITVRGLEPEIQKLIAKHKQEVRRLRGLHEAELQQREEQ AAQRHLRQAEELRQHLDREREVLGQQERERAQQRFEQHLEQEQRALEQQRRRLYNEVAEE KERLGQQAARQRAELEELRQQLEESSAALTRALRAEFERSREEQERRHQMELKALKDQLE AERQAWVASCAKKEEAWLLTRERELKEEIRKGRDQEIELVIHRLEADMTLAKEESERAAE SRVKRVRDKYETELSELEQSERKLQERCSELKGRLGEAEGEKERLQSLVRQKEKELEDLR AVNTQMCSERASLAQVVRQEFAEQLAASQEETQRVKVELAELQARQQVELDEVHRRVKTA LARKEAAVNSLRKQHEAAVKRADHLEELLEQHKGSSLSSK >ENSMUSP00000101836.1 pep:known chromosome:GRCm38:11:120064430:120086827:-1 gene:ENSMUSG00000039781.14 transcript:ENSMUST00000106229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep131 description:centrosomal protein 131 [Source:MGI Symbol;Acc:MGI:107440] MKGSRTITATPEGSPEAVDLSLIGLPPPMSQRPGSASATRSIFRSMSVATGSEPRKKALE ATGPGGPRAINNLRRSNSTTQVNQSWTGSPRPAEPTDFLMLFEGSTSGRRRVASLSKASS EKEATWNVLDEQPRGLALPASAQSPSTLDSALGPRRKECPLAPSFTANNRSNKGAVGNCV TTMVHNHYASSKMVSPPKSSNQTAPSLNNIVKAAAREGGEGSDLGKPRKNLSSASQSARG TTGLLRRREVTEEEAERFIHQVNQAAVTIQRWYRCQVQRRRAGAAALEHLLASKREGQRQ RLGGGNLLELHRQEEAARKKAREEKARQARQAAIQVLQQKRAQKASEAEHRRPKDRPETR APEQPRPMQEPGCVTHPKANNAGASIYPTGPADPCPPASESSPEQWQSPEDKPQDIHSQG EARQDLAVSGSSRGKARARATLDDLLDTLKLLEEEPEPLPHPKAYHKDRYAWTDEEEDAN SLTADNLEKFGKLSAAPGPPDDGTLLSEAKLQSIMTFLDEMEKSGQERPAPWRESLVLEA GSGSEGSTSVMRLKLELEEKKQAMALLQRALAQQRDLTVRRVKETEKELTRQLRQQKEQY EATIQRHLSFIDQLIEDKKVLSEKCEAVVAELKHGDQRCRERVAQMQEQHELEIKKLKEL MSATEKIRREKWINEKTKKIKEITVRGLEPEIQKLIAKHKQEVRRLRGLHEAELQQREEQ AAQRHLRQAEELRQHLDREREVLGQQERERAQQRFEQHLEQEQRALEQQRRRLYNEVAEE KERLGQQAARQRAELEELRQQLEESSAALTRALRAEFERSREEQERRHQMELKALKDQLE AERQAWVASCAKKEEAWLLTRERELKEEIRKGRDQEIELVIHRLEADMTLAKEESERAAE SRVKRVRDKYETELSELEQSERKLQERCSELKGRLGEAEGEKERLQSLVRQKEKELEDLR AVNTQMCSERASLAQVVRQEFAEQLAASQEETQRVKVELAELQARQQVELDEVHRRVKTA LARKEAAVNSLRKQHEAAVKRADHLEELLEQHKGSSLSSK >ENSMUSP00000101834.1 pep:known chromosome:GRCm38:11:120064430:120086827:-1 gene:ENSMUSG00000039781.14 transcript:ENSMUST00000106227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep131 description:centrosomal protein 131 [Source:MGI Symbol;Acc:MGI:107440] MKGSRTITATPEGSPEAVDLSLIGLPPPMSQRPGSASATRSIFRSMSVATGSEPRKKALE ATGPGGPRAINNLRRSNSTTQVNQSWTGSPRPAEPTDFLMLFEGSTSGRRRVASLSKASS EKEATWNVLDEQPRGLALPASAQSPSTLDSALGPRRKECPLAPSFTANNRSNKGAVGNCV TTMVHNHYASSKMVSPPKSSNQTAPSLNNIVKAAAREGGEGSDLGKPRKNLSSASQSARG TTGLLRRREVTEEEAERFIHQVNQAAVTIQRWYRCQVQRRRAGAAALEHLLASKREGQRQ RLGGGNLLELHRQEEAARKKAREEKARQARQAAIQEQQKRAQKASEAEHRRPKDRPETRA PEQPRPMQEPGCVTHPKANNAGASIYPTGPADPCPPASESSPEQWQSPEDKPQDIHSQGE ARQDLAVSGSSRGKARARATLDDLLDTLKLLEEEPEPLPHPKAYHKDRYAWTDEEEDANS LTADNLEKFGKLSAAPGPPDDGTLLSEAKLQSIMTFLDEMEKSGQERPAPWRESLVLEAG SGSEGSTSVMRLKLELEEKKQAMALLQRALAQQRDLTVRRVKETEKELTRQLRQQKEQYE ATIQRHLSFIDQLIEDKKVLSEKCEAVVAELKHGDQRCRERVAQMQEQHELEIKKLKELM SATEKIRREKWINEKTKKIKEITVRGLEPEIQKLIAKHKQEVRRLRGLHEAELQQREEQA AQRHLRQAEELRQHLDREREVLGQQERERAQQRFEQHLEQEQRALEQQRRRLYNEVAEEK ERLGQQAARQRAELEELRQQLEESSAALTRALRAEFERSREEQERRHQMELKALKDQLEA ERQAWVASCAKKEEAWLLTRERELKEEIRKGRDQEIELVIHRLEADMTLAKEESERAAES RVKRVRDKYETELSELEQSERKLQERCSELKGRLGEAEGEKERLQSLVRQKEKELEDLRA VNTQMCSERASLAQVVRQEFAEQLAASQEETQRVKVELAELQARQQVELDEVHRRVKTAL ARKEAAVNSLRKQHEAAVKRADHLEELLEQHKGSSLSSK >ENSMUSP00000020928.6 pep:known chromosome:GRCm38:11:109473374:109573325:1 gene:ENSMUSG00000020604.13 transcript:ENSMUST00000020928.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arsg description:arylsulfatase G [Source:MGI Symbol;Acc:MGI:1921258] MGWLFLKVLLVGMAFSGFFYPLVDFSISGKTRAPQPNIVIILADDMGWGDLGANWAETKD TTNLDKMASEGMRFVDFHAAASTCSPSRASLLTGRLGLRNGVTHNFAVTSVGGLPVNETT LAEVLRQEGYVTAMIGKWHLGHHGSYHPNFRGFDYYFGIPYSNDMGCTDAPGYNYPPCPA CPQRDGLWRNPGRDCYTDVALPLYENLNIVEQPVNLSGLAQKYAERAVEFIEQASTSGRP FLLYVGLAHMHVPLSVTPPLAHPQRQSLYRASLREMDSLVGQIKDKVDHVARENTLLWFT GDNGPWAQKCELAGSVGPFFGLWQTHQGGSPTKQTTWEGGHRVPALAYWPGRVPANVTST ALLSLLDIFPTVIALAGASLPPNRKFDGRDVSEVLFGKSQMGHRVLFHPNSGAAGEYGAL QTVRLNHYKAFYITGGAKACDGSVGPEQHHVAPLIFNLEDAADEGMPLQKGSPEYQEVLQ QVTRALADVLQDIADDNSSRADYTQDPSVIPCCNPYQTTCRCQPV >ENSMUSP00000102307.1 pep:known chromosome:GRCm38:11:109543754:109573330:1 gene:ENSMUSG00000020604.13 transcript:ENSMUST00000106696.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arsg description:arylsulfatase G [Source:MGI Symbol;Acc:MGI:1921258] MIKIGGSPTKQTTWEGGHRVPALAYWPGRVPANVTSTALLSLLDIFPTVIALAGASLPPN RKFDGRDVSEVLFGKSQMGHRVLFHPNSGAAGEYGALQTVRLNHYKAFYITGGAKACDGS VGPEQHHVAPLIFNLEDAADEGMPLQKGSPEYQEVLQQVTRALADVLQDIADDNSSRADY TQDPSVIPCCNPYQTTCRCQPV >ENSMUSP00000102308.1 pep:known chromosome:GRCm38:11:109485606:109573330:1 gene:ENSMUSG00000020604.13 transcript:ENSMUST00000106697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arsg description:arylsulfatase G [Source:MGI Symbol;Acc:MGI:1921258] MGWLFLKVLLVGMAFSGFFYPLVDFSISGKTRAPQPNIVIILADDMGWGDLGANWAETKD TTNLDKMASEGMRFVDFHAAASTCSPSRASLLTGRLGLRNGVTHNFAVTSVGGLPVNETT LAEVLRQEGYVTAMIGKWHLGHHGSYHPNFRGFDYYFGIPYSNDMGCTDAPGYNYPPCPA CPQRDGLWRNPGRDCYTDVALPLYENLNIVEQPVNLSGLAQKYAERAVEFIEQASTSGRP FLLYVGLAHMHVPLSVTPPLAHPQRQSLYRASLREMDSLVGQIKDKVDHVARENTLLWFT GDNGPWAQKCELAGSVGPFFGLWQTHQGGSPTKQTTWEGGHRVPALAYWPGRVPANVTST ALLSLLDIFPTVIALAGASLPPNRKFDGRDVSEVLFGKSQMGHRVLFHPNSGAAGEYGAL QTVRLNHYKAFYITGGAKACDGSVGPEQHHVAPLIFNLEDAADEGMPLQKGSPEYQEVLQ QVTRALADVLQDIADDNSSRADYTQDPSVIPCCNPYQTTCRCQPV >ENSMUSP00000058084.4 pep:known chromosome:GRCm38:11:91575315:91577558:-1 gene:ENSMUSG00000046755.5 transcript:ENSMUST00000061019.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif2b description:kinesin family member 2B [Source:MGI Symbol;Acc:MGI:1920720] MASQFCLPLAPRLSPLKPLKSHFTDFQVGICVAIQRSDKRIHLAVVTEINRENSWVTVEW VEKGVKKGKKIELETVLLLNPALASLEHQRSRRPLRPVSVVPSTAIGDQRTATKWIAMIP HRNETPSGDSQTLMIPSNPCLMKRKKSPCLREIEKLQKQREKRRRLQLEIRARRALDINT GNPNFETMRMIEEYRRRLDSSKMSSLEPPEDHRICVCVRKRPLNQRETTMKDLDIITIPS HNVVMVHESKQKVDLTRYLENQTFCFDHAFDDKASNELVYQFTARPLVESIFRKGMATCF AYGQTGSGKTHTMGGAFLGKAQDCSKGIYALVAQDVFLLLKTPAYEKLELKVYGTFFEIY GGKVYDLLNWKKKLQVLEDGNQQVQVVGLQEQEVSCVEEVLNLVELGNSCRTSGQTSVNA HSSRSHAVFQLILKAGGKLHGKFSLVDLAGNERGADTAKATRKRQLEGAEINKSLLALKE CIRALGKNKSHTPFRASKLTQVLRDSFIGQNSYTCMIATISPGMTSCENTLNTLRYANRV KELALEARPYHHCVSPPGHEVPLMIENDNTNSGKSLQRDEVIQIPTVEKEEEKESDELTS KKEPAASWSRSNQWWEAIQETAEGVNGDVDFCIAQSLSVLEQKIGVLTDIQKKLQSLRED LQKKSQVE >ENSMUSP00000090157.4 pep:known chromosome:GRCm38:11:109425946:109438148:1 gene:ENSMUSG00000020610.16 transcript:ENSMUST00000092500.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amz2 description:archaelysin family metallopeptidase 2 [Source:MGI Symbol;Acc:MGI:104837] MADKMQVLRHSEHTLKTALLSKNPVLVSQYEKLDAGEQRLMNEAFQPRSNLFEPITVHSQ SDWISSHPEAPQDFEQFFSDRYRKAPCPKKHIIYIQSIGSLGNTRVISEEYIKWLKGYCE AFFYGLKVKFLEPVSVSETKCSFRVNEHTQNLQIHTGHILAFLKKNKPEDAFCIVGITMI DLYPRDSWNFVFGQASLSSGVGIFSFARYGKDFYTSKYEGNVTSLQLTSPTDYSIFDNYY IPEITSVLLLRSCKTLTHEIGHILGLRHCQWLACLMQGSNHLEESDRRPLNVCPICLRKL QSAIGFNIVERYRALVKWIDDESCNESGATPKSSSEHAHLPKPVEAFKDWREWLMRCIAL LEK >ENSMUSP00000099350.1 pep:known chromosome:GRCm38:11:109426226:109436989:1 gene:ENSMUSG00000020610.16 transcript:ENSMUST00000103061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amz2 description:archaelysin family metallopeptidase 2 [Source:MGI Symbol;Acc:MGI:104837] MADKMQVLRHSEHTLKTALLSKNPVLVSQYEKLDAGEQRLMNEAFQPRSNLFEPITVHSQ SDWISSHPEAPQDFEQFFSDRYRKAPCPKKHIIYIQSIGSLGNTRVISEEYIKWLKGYCE AFFYGLKVKFLEPVSVSETKCSFRVNEHTQNLQIHTGHILAFLKKNKPEDAFCIVGITMI DLYPRDSWNFVFGQASLSSGVGIFSFARYGKDFYTSKYEGNVTSLQLTSPTDYSIFDNYY IPEITSVLLLRSCKTLTHEIGHILGLRHCQWLACLMQGSNHLEESDRRPLNVCPICLRKL QSAIGFNIVERYRALVKWIDDESCNESGATPKSSSEHAHLPKPVEAFKDWREWLMRCIAL LEK >ENSMUSP00000020929.9 pep:known chromosome:GRCm38:11:109425976:109452372:1 gene:ENSMUSG00000020610.16 transcript:ENSMUST00000020929.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amz2 description:archaelysin family metallopeptidase 2 [Source:MGI Symbol;Acc:MGI:104837] MADKMQVLRHSEHTLKTALLSKNPVLVSQYEKLDAGEQRLMNEAFQPRSNLFEPITVHSQ SDWISSHPEAPQDFEQFFSDRYRKAPCPKKHIIYIQSIGSLGNTRVISEEYIKWLKGYCE AFFYGLKVKFLEPVSVSETKCSFRVNEHTQNLQIHTGHILAFLKKNKPEDAFCIVGITMI DLYPRDSWNFVFGQASLSSGVGIFSFARYGKDFYTSKYEGNVTSLQLTSPTDYSIFDNYY IPEITSVLLLRSCKTLTHEIGHILGLRHCQWLACLMQGSNHLEESDRRPLNVCPICLRKL QSAIGFNIVERYRMNEERLQQQLQIE >ENSMUSP00000128016.1 pep:known chromosome:GRCm38:5:66016557:66151924:-1 gene:ENSMUSG00000070780.11 transcript:ENSMUST00000167950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm47 description:RNA binding motif protein 47 [Source:MGI Symbol;Acc:MGI:2384294] MTAEDSATAMNSDPTVGSSTKVPEGVAGAPNEAALLALIERTGYTMVQENGQRKYGGPPP GWEGPHPQRGCEVFVGKIPRDVYEDELVPVFETVGRIYELRLMMDFDGKNRGYAFVMYCH KHEAKRAVRELNNYEIRPGRLLGVCCSVDNCRLFIGGIPKMKKRGEILEEIAKVTEGVLN VIVYASAADKMKNRGFAFVEYESHRAAAMARRKLMPGRIQLWGHQIAVDWAEPEIDVDED VMQTVKILYVRNLMIETTEETIKKSFGQFNPGCVERVKKIRDYAFVHFTSREDAVHAMNN LNGTELEGSCLEVTLAKPVDKEQYSRYQKAAKGGGGSAEAVAQQPSYVYSCDPYTLAYYG YPYNALIGPNRDYFVKTGSIRGRGRGAAGNRTPGPRGSYLGGYSAGRGIYSRYHEGKGKQ QEKGYELVPNLEISPVNPVAIKPGTVAIPAIGAQYSMFQAAPAPKIIEDGKIHTMEHMIS PIAVQPDPATAAAAAAAAAAAAVIPAVSTPPPFQGRPITPVYTVAPNVQRIPTAGIYGAS YVPFAAPATATIATLQKNAAAAVYGGYAGYIPQAFPAALQVPIHDVYQTY >ENSMUSP00000144287.1 pep:known chromosome:GRCm38:5:66027220:66080984:-1 gene:ENSMUSG00000070780.11 transcript:ENSMUST00000202700.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm47 description:RNA binding motif protein 47 [Source:MGI Symbol;Acc:MGI:2384294] MTAEDSATAMNSD >ENSMUSP00000144112.1 pep:known chromosome:GRCm38:5:66027240:66151323:-1 gene:ENSMUSG00000070780.11 transcript:ENSMUST00000201561.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm47 description:RNA binding motif protein 47 [Source:MGI Symbol;Acc:MGI:2384294] MTAEDS >ENSMUSP00000109353.1 pep:known chromosome:GRCm38:5:66018985:66081099:-1 gene:ENSMUSG00000070780.11 transcript:ENSMUST00000113724.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm47 description:RNA binding motif protein 47 [Source:MGI Symbol;Acc:MGI:2384294] MTAEDSATAMNSDPTVGSSTKVPEGVAGAPNEAALLALIERTGYTMVQENGQRKYGGPPP GWEGPHPQRGCEVFVGKIPRDVYEDELVPVFETVGRIYELRLMMDFDGKNRGYAFVMYCH KHEAKRAVRELNNYEIRPGRLLGVCCSVDNCRLFIGGIPKMKKRGEILEEIAKVTEGVLN VIVYASAADKMKNRGFAFVEYESHRAAAMARRKLMPGRIQLWGHQIAVDWAEPEIDVDED VMQTVKILYVRNLMIETTEETIKKSFGQFNPGCVERVKKIRDYAFVHFTSREDAVHAMNN LNGTELEGSCLEVTLAKPVDKEQYSRYQKAAKGGGGSAEAVAQQPSYVYSCDPYTLAYYG YPYNALIGPNRDYFVKTGSIRGRGRGAAGNRTPGPRGSYLGGYSAGRGIYSRYHEGKGKQ QEKGYELVPNLEISPVNPVAIKPGTVAIPAIGAQYSMFQAAPAPKIIEDGKIHTMEHMIS PIAVQPDPATAAAAAAAAAAAAVIPAVSTPPPFQGRPITPVYTVAPNVQRIPTAGIYGAS YVPFAAPATATIATLQKNAAAAVYGGYAGYIPQAFPAALQVPIHDVYQTY >ENSMUSP00000144505.1 pep:known chromosome:GRCm38:5:66026785:66054552:-1 gene:ENSMUSG00000070780.11 transcript:ENSMUST00000200852.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm47 description:RNA binding motif protein 47 [Source:MGI Symbol;Acc:MGI:2384294] MTAEDSATAMNSDPTVGSSTKVPEGVAGAPNEAALLALIERTGYTMVQENGQRKYGGPPP GWEGPHPQRGCEVFVGKIPRDVYEDELVPVFETVGRIYELRLMMDFDGKNRGYAFVMYCH KHEAKRAVRELNNYEIRPGRLLGVCCSVDNCRLFIGGI >ENSMUSP00000144325.1 pep:known chromosome:GRCm38:5:66018602:66151078:-1 gene:ENSMUSG00000070780.11 transcript:ENSMUST00000200775.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm47 description:RNA binding motif protein 47 [Source:MGI Symbol;Acc:MGI:2384294] MTAEDSATAMNSDPTVGSSTKVPEGVAGAPNEAALLALIERTGYTMVQENGQRKYGGPPP GWEGPHPQRGCEVFVGKIPRDVYEDELVPVFETVGRIYELRLMMDFDGKNRGYAFVMYCH KHEAKRAVRELNNYEIRPGRLLGVCCSVDNCRLFIGGIPKMKKRGEILEEIAKVTEGVLN VIVYASAADKMKNRGFAFVEYESHRAAAMARRKLMPGRIQLWGHQIAVDWAEPEIDVDED VMQTVKILYVRNLMIETTEETIKKSFGQFNPGCVERVKKIRDYAFVHFTSREDAVHAMNN LNGTELEGSCLEVTLAKPVDKEQYSRYQKAAKGGGGSAEAVAQQPSYVYSCDPYTLAYYG YPYNALIGPNRDYFVKTGSIRGRGRGAAGNRTPGPRGSYLGGYSAGRGIYSRYHEGKGKQ QEKGYELVPNLEISPVNPVAIKPGTVAIPAIGAQYSMFQAAPAPKIIEDGKIHTMEHMIS PIAVQPDPATAAAAAAAAAAAAVIPAVSTPPPFQGRPITPVYTVAPNVQRIPTAGIYGAS YVPFAAPATATIATLQKNAAAAVYGGYAGYIPQAFPAALQVPIHDVYQTY >ENSMUSP00000092348.5 pep:known chromosome:GRCm38:5:66018602:66151078:-1 gene:ENSMUSG00000070780.11 transcript:ENSMUST00000094756.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm47 description:RNA binding motif protein 47 [Source:MGI Symbol;Acc:MGI:2384294] MTAEDSATAMNSDPTVGSSTKVPEGVAGAPNEAALLALIERTGYTMVQENGQRKYGGPPP GWEGPHPQRGCEVFVGKIPRDVYEDELVPVFETVGRIYELRLMMDFDGKNRGYAFVMYCH KHEAKRAVRELNNYEIRPGRLLGVCCSVDNCRLFIGGIPKMKKRGEILEEIAKVTEGVLN VIVYASAADKMKNRGFAFVEYESHRAAAMARRKLMPGRIQLWGHQIAVDWAEPEIDVDED VMQTVKILYVRNLMIETTEETIKKSFGQFNPGCVERVKKIRDYAFVHFTSREDAVHAMNN LNGTELEGSCLEVTLAKPVDKEQYSRYQKAAKGGGGSAEAVAQQPSYVYSCDPYTLAYYG YPYNALIGPNRDYFVKMAIPAIGAQYSMFQAAPAPKIIEDGKIHTMEHMISPIAVQPDPA TAAAAAAAAAAAAVIPAVSTPPPFQGRPITPVYTVAPNVQRIPTAGIYGASYVPFAAPAT ATIATLQKNAAAAVYGGYAGYIPQAFPAALQVPIHDVYQTY >ENSMUSP00000144122.1 pep:known chromosome:GRCm38:5:66016549:66173118:-1 gene:ENSMUSG00000070780.11 transcript:ENSMUST00000201544.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm47 description:RNA binding motif protein 47 [Source:MGI Symbol;Acc:MGI:2384294] MTAEDSATAMNSDPTVGSSTKVPEGVAGAPNEAALLALIERTGYTMVQENGQRKYGGPPP GWEGPHPQRGCEVFVGKIPRDVYEDELVPVFETVGRIYELRLMMDFDGKNRGYAFVMYCH KHEAKRAVRELNNYEIRPGRLLGVCCSVDNCRLFIGGIPKMKKRGEILEEIAKVTEGVLN VIVYASAADKMKNRGFAFVEYESHRAAAMARRKLMPGRIQLWGHQIAVDWAEPEIDVDED VMQTVKILYVRNLMIETTEETIKKSFGQFNPGCVERVKKIRDYAFVHFTSREDAVHAMNN LNGTELEGSCLEVTLAKPVDKEQYSRYQKAAKGGGGSAEAVAQQPSYVYSCDPYTLAYYG YPYNALIGPNRDYFVKTGSIRGRGRGAAGNRTPGPRGSYLGGYSAGRGIYSRYHEGKGKQ QEKGYELVPNLEISPVNPVAIKPGTVAIPAIGAQYSMFQAAPAPKIIEDGKIHTMEHMIS PIAVQPDPATAAAAAAAAAAAAVIPAVSTPPPFQGRPITPVYTVAPNVQRIPTAGIYGAS YVPFAAPATATIATLQKNAAAAVYGGYAGYIPQAFPAALQVPIHDVYQTY >ENSMUSP00000092349.2 pep:known chromosome:GRCm38:5:66016556:66081000:-1 gene:ENSMUSG00000070780.11 transcript:ENSMUST00000094757.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm47 description:RNA binding motif protein 47 [Source:MGI Symbol;Acc:MGI:2384294] MTAEDSATAMNSDPTVGSSTKVPEGVAGAPNEAALLALIERTGYTMVQENGQRKYGGPPP GWEGPHPQRGCEVFVGKIPRDVYEDELVPVFETVGRIYELRLMMDFDGKNRGYAFVMYCH KHEAKRAVRELNNYEIRPGRLLGVCCSVDNCRLFIGGIPKMKKRGEILEEIAKVTEGVLN VIVYASAADKMKNRGFAFVEYESHRAAAMARRKLMPGRIQLWGHQIAVDWAEPEIDVDED VMQTVKILYVRNLMIETTEETIKKSFGQFNPGCVERVKKIRDYAFVHFTSREDAVHAMNN LNGTELEGSCLEVTLAKPVDKEQYSRYQKAAKGGGGSAEAVAQQPSYVYSCDPYTLAYYG YPYNALIGPNRDYFVKTGSIRGRGRGAAGNRTPGPRGSYLGGYSAGRGIYSRYHEGKGKQ QEKGYELVPNLEISPVNPVAIKPGTVAIPAIGAQYSMFQAAPAPKIIEDGKIHTMEHMIS PIAVQPDPATAAAAAAAAAAAAVIPAVSTPPPFQGRPITPVYTVAPNVQRIPTAGIYGAS YVPFAAPATATIATLQKNAAAAVYGGYAGYIPQAFPAALQVPIHDVYQTY >ENSMUSP00000109355.1 pep:known chromosome:GRCm38:5:66016549:66098191:-1 gene:ENSMUSG00000070780.11 transcript:ENSMUST00000113726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm47 description:RNA binding motif protein 47 [Source:MGI Symbol;Acc:MGI:2384294] MTAEDSATAMNSDPTVGSSTKVPEGVAGAPNEAALLALIERTGYTMVQENGQRKYGGPPP GWEGPHPQRGCEVFVGKIPRDVYEDELVPVFETVGRIYELRLMMDFDGKNRGYAFVMYCH KHEAKRAVRELNNYEIRPGRLLGVCCSVDNCRLFIGGIPKMKKRGEILEEIAKVTEGVLN VIVYASAADKMKNRGFAFVEYESHRAAAMARRKLMPGRIQLWGHQIAVDWAEPEIDVDED VMQTVKILYVRNLMIETTEETIKKSFGQFNPGCVERVKKIRDYAFVHFTSREDAVHAMNN LNGTELEGSCLEVTLAKPVDKEQYSRYQKAAKGGGGSAEAVAQQPSYVYSCDPYTLAYYG YPYNALIGPNRDYFVKTGSIRGRGRGAAGNRTPGPRGSYLGGYSAGRGIYSRYHEGKGKQ QEKGYELVPNLEISPVNPVAIKPGTVAIPAIGAQYSMFQAAPAPKIIEDGKIHTMEHMIS PIAVQPDPATAAAAAAAAAAAAVIPAVSTPPPFQGRPITPVYTVAPNVQRIPTAGIYGAS YVPFAAPATATIATLQKNAAAAVYGGYAGYIPQAFPAALQVPIHDVYQTY >ENSMUSP00000049975.6 pep:known chromosome:GRCm38:18:15451924:15718046:-1 gene:ENSMUSG00000047161.12 transcript:ENSMUST00000053017.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst9 description:carbohydrate (N-acetylgalactosamine 4-0) sulfotransferase 9 [Source:MGI Symbol;Acc:MGI:1918617] MKAKQVFFSVLLFGTAGLLLFMYLQAWIEEHHTGKIEKKRDQKGVSVTTGKIQKQITNQN SEVHMPEDLKKKGGDLLNLGSPTRVLRKISHSQRENGAYRSTEAHQGAKIEVFQKPIQMD WPLVTQPLNKSLVQGNKWKKADATQEKRRSFLHEFCKKYGRVNDPKFNLFHIVSRIYVED KHKILYCEVPKAGCSNWKRILMVLNGLASSAYNISHDTVHYGKHLKTLDSFDLKGVHMRL NTYTKAVFVRDPMERLVSAFRDKFEHPNSYYHPVFGKAIIKKYRPNASAEALNNGSGVKF KEFAYYLLDAHRPVGMDIHWERVSKLCYPCLINYDFVGKFETLGEDANYFLQLIGAPKEL TFPNFKDRHSSDERTNAHVVRQYLKDLSTAERQLIYDFYHLDYLMFNYTTPHL >ENSMUSP00000121484.1 pep:known chromosome:GRCm38:18:15451924:15760157:-1 gene:ENSMUSG00000047161.12 transcript:ENSMUST00000130553.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chst9 description:carbohydrate (N-acetylgalactosamine 4-0) sulfotransferase 9 [Source:MGI Symbol;Acc:MGI:1918617] MKAKQVFFSVLLFGTAGLLLFMYLQAWIEEHHTGKIEKKRDQKGVSGVPRR >ENSMUSP00000033394.7 pep:known chromosome:GRCm38:7:144577318:144582463:-1 gene:ENSMUSG00000031077.7 transcript:ENSMUST00000033394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fadd description:Fas (TNFRSF6)-associated via death domain [Source:MGI Symbol;Acc:MGI:109324] MDPFLVLLHSLSGSLSGNDLMELKFLCRERVSKRKLERVQSGLDLFTVLLEQNDLERGHT GLLRELLASLRRHDLLQRLDDFEAGTATAAPPGEADLQVAFDIVCDNVGRDWKRLARELK VSEAKMDGIEEKYPRSLSERVRESLKVWKNAEKKNASVAGLVKALRTCRLNLVADLVEEA QESVSKSENMSPVLRDSTVSSSETP >ENSMUSP00000127506.1 pep:known chromosome:GRCm38:5:151561661:151586906:-1 gene:ENSMUSG00000091794.2 transcript:ENSMUST00000165928.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r18 description:vomeronasal 2, receptor 18 [Source:MGI Symbol;Acc:MGI:3645314] MTLYFAIDEINKDTHLLPNVTLGFHIYNAFNFHPRTLEGPLMWLSGRNEFIPNYKCKTQY KALGIISGTRSEYSAGIGSLLERYKIPQVSFALLLQVNYGPFDSMLSDKDTFPSLYQMSP KDTALTQGVISVLLHFGWKWVGVIVSDDLKGMEFLSHLKAEMVSEDICVAFTKSLKTNWK VQYTSGVGLANLNHHPQVNVNILYGDIDDLLYFCLENKLLSSRRKVWIMAKLHLVYLESV FFKRKDLINFFTGSLLFSKKRNIPGFKNFLESLTPSYYPGEFYFYKFWIDKFDCAPPALL CGRNKPCPLNITLKNKEGENDIMIPSEASYSIWNTVYAVAHAIHNMFLRKTEIGSHEDKN HDRFLPWQLHPFLRKIQFTNTAGDPISFDEHKNHMEIYEIQNFMKYTKQHSYLVKVGEFV SKSPQDQSLFINESLIKWPNNFNQTPQSVCTQSCGPGFWKILQEERPVCCFSCVPCPEKH ISNLTDQQKCIACLIQEYPNPERNHCLPKSATFLSFEDPLGMSLACMALGFSVSTAAVLG IFLKYQDSPIIKANNLTLSYILLISLLLCFLCSFLFIGHPNTVSCILQQITFALVFTLAL STVLAKTITVILAFRALKPGRTMRRLFVLGIYNAVIPFCVLIQLITSGIWLATSPPYIDT DSYSEHAHIIILCNNGSVTAFYCMLVYLGTLALGSFTVAFLARNLPDTFNEAKFLTFSMV VFCSVWVTFVPVYQSTKAKAMVAVEVFSILASSAGLLACIFFPKCYIILREPDKRNLKCF KNKTRIKK >ENSMUSP00000118572.1 pep:known chromosome:GRCm38:1:106980994:107000898:1 gene:ENSMUSG00000048775.10 transcript:ENSMUST00000136766.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serpinb13 description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 13 [Source:MGI Symbol;Acc:MGI:3042250] MDSLGTAATQFLFDLFKELNKTNDGNVFFSPVGISTAIGMIILGTRGATASELQKVLYTE QGTESSRIKSEEEEVQCIWLYVEVFDPFGLEFCAGGSRREKKYIINSKCC >ENSMUSP00000027564.1 pep:known chromosome:GRCm38:1:106980984:107001195:1 gene:ENSMUSG00000048775.10 transcript:ENSMUST00000027564.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb13 description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 13 [Source:MGI Symbol;Acc:MGI:3042250] MDSLGTAATQFLFDLFKELNKTNDGNVFFSPVGISTAIGMIILGTRGATASELQKVLYTE QGTESSRIKSEEEEIEKREEIHHQLQMLLTEISKFSNDYDLIISNRLFGEKTYLFLQKYI DYVEKYYHASLEPVDFVNAADESRKKINSWVESQTNVKVKDLFPEGSLNSSTKLVLINTV YFKGLWDREFKKEHTKEEDFWLNKNLSKPVQMMALCSSFNFTFLEDLQAKIVGIPYKNND ISMFVLLPNDIDGLEKIMDKMSPEKLVEWTSPGHLEQRRVDLRLPRLQVEETYDLEPVLE AVGIHSAFSEHADYSGMSARSGLHAQNFLHRSFLVVTEEGVEATAGTGVGLKVSSAASCE LVHCNHPFLFFIRHRESDSILFFGKFSSP >ENSMUSP00000115708.1 pep:known chromosome:GRCm38:12:84413264:84428421:1 gene:ENSMUSG00000057265.12 transcript:ENSMUST00000151789.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbof1 description:basal body orientation factor 1 [Source:MGI Symbol;Acc:MGI:1920123] IEKLKQQLAKTKEKAQEEKEKLEQKYALQVSELEGQFHQKAKEIGMIQTELKTIKQFQKR KIQVEKELDDLKENLRNSEKNYQETLRRLESRFFEEKHRLEQEAEKRIIMLAERAHHEAV VPTTQKNVDIRDLTWEQKEKVLRLLFA >ENSMUSP00000114625.1 pep:known chromosome:GRCm38:12:84419974:84430380:1 gene:ENSMUSG00000057265.12 transcript:ENSMUST00000153540.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bbof1 description:basal body orientation factor 1 [Source:MGI Symbol;Acc:MGI:1920123] LKENLRNSEKNYQETLRRLESRFFEEKHRLEQEAEKRIIMLAERAHHEAVVQLNTAGRNV FKENVYLHKALAYHLKEAEILQQNSKKIEENHSCLLQQKANYSKKCGY >ENSMUSP00000080512.6 pep:known chromosome:GRCm38:12:84409071:84433780:1 gene:ENSMUSG00000057265.12 transcript:ENSMUST00000081828.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbof1 description:basal body orientation factor 1 [Source:MGI Symbol;Acc:MGI:1920123] MPAKDKRKDKRKDKRKGKNKGKEPKKIIKSDEPAIERAKANASLWEARLEVTELSRIEYR DTSRRLAKNNEDLKKQQYNMEKDIMSVLSYLKKQDQEKDNMIEKLKQQLAKTKEKAQEEK EKLEQKYALQVSELEGQFHQKAKEIGMIQTELKTIKQFQKRKIQVEKELDDLKENLRNSE KNYQETLRRLESRFFEEKHRLEQEAEKRIIMLAERAHHEAVVQLNTAGRNVFKENVYLHK ALAYHLKEAEILQQNSKKIEENHSCLLQQKEINDLLVKEKIMQLTQQKSQIQTLQKKVVS LENALTYMTTEFEAEVLKLQQKAIIENQAGLVEIDKLQQLLQMKDREMNRVKRLAKNILD ERTEVEQFFLDALYEVKQQILASRKHYKQIAQAAFNLKMRAACAGKTEYPRIRTFDGKEQ STNSVNQDLLEAEKWPTTQKNVDIRDLTWEQKEKVLRLLFAKMNGFAARKYSQSSKPPVP DHIIYGSGEMKETGDENNLLDQTFITQQAPVSDSNRMVSPDVIPQGLQDSDIA >ENSMUSP00000138536.1 pep:known chromosome:GRCm38:12:84417196:84418578:1 gene:ENSMUSG00000098134.1 transcript:ENSMUST00000183146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf113a2 description:ring finger protein 113A2 [Source:MGI Symbol;Acc:MGI:1913631] MAEQVSQGKSEDQVCTFLFKKPGRKGSAGRRKRPACDPESGESGSSSDEGCSVVRPEKKR AAHNPMIQKTSGSGKQKGAYCDLSSEEEEKAGNESLGVVYKSTRSAKPVGPEDMGATAVY ELDTEKERDAQAIFERSQKIQEELRGKEDDKIYRGINNYQKYMKPKDTSMGNASSGMVRK GPIRAPEHLRATVRWDYQPDICKDYKETGFCGFGDSCKFLHDRSDYKHGWQIERELDEGR YGVYEDENYEVESDDEEIPFKCFICRQTFQNPVVTKCKHYFCETCALQHFRTTPRCYVCE QQTHGVFNPAKELIAKLEKYRKAEGGASSTPEDADGI >ENSMUSP00000027677.7 pep:known chromosome:GRCm38:1:135729147:135752232:1 gene:ENSMUSG00000026421.14 transcript:ENSMUST00000027677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrp1 description:cysteine and glycine-rich protein 1 [Source:MGI Symbol;Acc:MGI:88549] MPNWGGGKKCGVCQKTVYFAEEVQCEGNSFHKSCFLCMVCKKNLDSTTVAVHGEEIYCKS CYGKKYGPKGYGYGQGAGTLSTDKGESLGIKHEEAPGHRPTTNPNASKFAQKIGGSERCP RCSQAVYAAEKVIGAGKSWHKSCFRCAKCGKGLESTTLADKDGEIYCKGCYAKNFGPKGF GFGQGAGALVHSE >ENSMUSP00000095169.2 pep:known chromosome:GRCm38:1:135720061:135752232:1 gene:ENSMUSG00000026421.14 transcript:ENSMUST00000097561.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrp1 description:cysteine and glycine-rich protein 1 [Source:MGI Symbol;Acc:MGI:88549] MPNWGGGKKCGVCQKTVYFAEEVQCEGNSFHKSCFLCMVCKKNLDSTTVAVHGEEIYCKS CYGKKYGPKGYGYGQGAGTLSTDKGESLGIKHEEAPGHRPTTNPNASKFAQKIGGSERCP RCSQAVYAAEKVIGAGKSWHKSCFRCAKCGKGLESTTLADKDGEIYCKGCYAKNFGPKGF GFGQGAGALVHSE >ENSMUSP00000135036.2 pep:known chromosome:GRCm38:5:136313234:136363295:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000176423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] EEAEHKLQTLQTALEKTRTELFDLKTKYDEETTAKADEIEMIMTDLERANQRAEVAQREA ETLREQLSSANHSLQLASQIQKAPDVLQVDGSPSSSPRASAVSSLLCPSQEQAIEVLTRS SLEVELAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLNAKNSTLKQLEEKLK GQADYEEVKKELNTLKSMEFAPSEGAGTQDSTKPLEVLLLEKNRSLQSENATLRISNSDL SGSARRKGRDQPESRRPGPLPASPPPQL >ENSMUSP00000004097.8 pep:known chromosome:GRCm38:5:136266415:136567445:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000004097.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] MAANVGSMFQYWKRFDLQQLQRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDL RKQVAPLLKSFQGEIDALSKRSKEAEAAFLTVYKRLIDVPDPVPALDVGQQLEIKVQRLH DIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKSQAETIALEKEQKLQ NDFAEKERKLQETQMSTTSKLEEAEHKLQTLQTALEKTRTELFDLKTKYDEETTAKADEI EMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVEQAIEVLTRSSLE VELAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLNAKNSTLKQLEEKLKGQA DYEEVKKELNTLKSMEFAPSEGAGTQDSTKPLEVLLLEKNRSLQSENATLRISNSDLSGP YSTNSISSPSPLQQSPDVNGMAPSPSQSESAGSISEGEEIDTAEIARQVKEQLIKHNIGQ RIFGHYVLGLSQGSVSEILARPKPWNKLTVRGKEPFHKMKQFLSDEQNILALRSIQGRQR ENPGQSLNRLFQEVPKRRNGSEGNITTRIRASETGSDEAIKSILEQAKRELQVQKTAEPV QTSSTSSSGNSDDAIRSILQQARREMEAQQAALDPALKPAPLSQPDLTILTPKHLSASPM STVSTYPPLAISLKKTPAAPETSTAALPSAPALKKEAQDVPTLDPPGSADAAQGVLRPMK SELVRGSTWKDPWWSPIQPERRNLTSSEETKADETTASGKERAGSSQPRAERSQLQGPSA SAEYWKEWPSAESPYSQSSELSLTGASRSETPQNSPLPSSPIVPMAKPAKPSVPPLTPEQ YEVYMYQEVDTIELTRQVKEKLAKNGICQRIFGEKVLGLSQGSVSDMLSRPKPWSKLTQK GREPFIRMQLWLNGELGQGVLPVQGQQQGPVLHSVASLQDPLQQGCVSSESTPKTSASCS PAPESPMSSSESVKSLTELVQQPCPAIETSKEGKPPEPSDPPASDSQPTTPLPLSGHSAL SIQELVAMSPELDTYGITKRVKEVLTDNNLGQRLFGETILGLTQGSVSDLLARPKPWHKL SLKGREPFVRMQLWLNDPNNVEKLMDMKRMEKKAYMKRRHSSVSDSQPCEPPSVGIDYSQ GASPQPQHQLKKPRVVLAPEEKEALKRAYQQKPYPSPKTIEELATQLNLKTSTVINWFHN YRSRIRRELFIEEIQAGSQGQAGASDSPSARSSRAAPSSEGDSCDGVEATDAEEPGGNIV ATKSQGGLAEVAAAPADREEATQPAEKAKAQPLCSGTPGQDDGEDASRPRPLPEGLADAP APVPSLAAPAAGEDAATSATAPATATEAPGAARAGPAERSSALPSTSAPANAPARRPSSL QSLFGLPEAAGARDNLVRKKKAANLNSIIHRLEKAASREEPIEWEF >ENSMUSP00000135223.2 pep:known chromosome:GRCm38:5:136268367:136567246:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000175975.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] MAANVGSMFQYWKRFDLQQLQRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDL RKQVAPLLKSFQGEIDALSKRSKEAEAAFLTVYKRLIDVPDPVPALDVGQQLEIKVQRLH DIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKSQAETIALEKEQKLQ NDFAEKERKLQETQMSTTSKLEEAEHKLQTLQTALEKTRTELFDLKTKYDEETTAKADEI EMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVAIEVLTRSSLEVE LAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLNAKNSTLKQLEEKLKGQADY EEVKKELNTLKSMEFAPSEGAGTQDSTKPLEVLLLEKNRSLQSENATLRISNSDLSGSAR RKGRDQPESRRPGPLPASPPPQLPRNTGEQVSNTNGTHHFSPAGLSQDFFSSNLASPSLP LASTGKFALNSLLQRQLMQSFYSKAMQEAGSTSTIFSTGPYSTNSISSPSPLQQSPDVNG MAPSPSQSESAGSISEGEEIDTAEIARQVKEQLIKHNIGQRIFGHYVLGLSQGSVSEILA RPKPWNKLTVRGKEPFHKMKQFLSDEQNILALRSIQGRQRGNITTRIRASETGSDEAIKS ILEQAKRELQVQKTAEPVQTSSTSSSGNSDDAIRSILQQARREMEAQQAALDPALKPAPL SQPDLTILTPKHLSASPMSTVSTYPPLAISLKKTPAAPETSTAALPSAPALKKEAQDVPT LDPPGSADAAQGVLRPMKSELVRGSTWKDPWWSPIQPERRNLTSSEETKADETTASGKER AGSSQPRAERSQLQGPSASAEYWKEWPSAESPYSQSSELSLTGASRSETPQNSPLPSSPI VPMAKPAKPSVPPLTPEQYEVYMYQEVDTIELTRQVKEKLAKNGICQRIFGEKVLGLSQG SVSDMLSRPKPWSKLTQKGREPFIRMQLWLNGELGQGVLPVQGQQQGPVLHSVASLQDPL QQGCVSSESTPKTSASCSPAPESPMSSSESVKSLTELVQQPCPAIETSKEGKPPEPSDPP ASDSQPTTPLPLSGHSALSIQELVAMSPELDTYGITKRVKEVLTDNNLGQRLFGETILGL TQGSVSDLLARPKPWHKLSLKGREPFVRMQLWLNDPNNVEKLMDMKRMEKKAYMKRRHSS VSDSQPCEPPSVGIDYSQGASPQPQHQLKKPRVVLAPEEKEALKRAYQQKPYPSPKTIEE LATQLNLKTSTVINWFHNYRSRIRRELFIEEIQAGSQGQAGASDSPSARSSRAAPSSEGD SCDGVEATDAEEPGGNIVATKSQGGLAEVAAAPADREEATQPAEKAKAQPLCSGTPGQDD GEDASRPRPLPEGLADAPAPVPSLAAPAAGEDAATSATAPATATEAPGAARAGPAERSSA LPSTSAPANAPARRPSSLQSLFGLPEAAGARDNLVRKKKAANLNSIIHRLEKAASREEPI EWEF >ENSMUSP00000135370.2 pep:known chromosome:GRCm38:5:136274070:136373348:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000176486.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] XEFAEVKNQEVTIKALKEKIREYEQTLKSQAETIALEKEQKLQNDFAEKERKLQETQMST TSKLEEAEHKLQTLQTALEKTRTELFDLKTKYDEETTAKADEIEMIMTDLERANQRAEVA QREAETLREQLSSANHSLQLASQIQKAPDVAIEVLTRSSLEVELAAKEREIAQLVEDVQR LQASLTKLRENSASQISQLEQQLNAKNSTLKQLEEKLKGQADYEEVKKELNTLKSMEFAP SEGAGTQDSTKPLEVLLLEKNRSLQSENATLRISNSDLSGSARRKGRDQPESRRPGPLPA SPPPQLPRNTGEQVSNTNGTHHFSPAGLSQDFFSSNLASPSLPLASTGKFALNSLLQRQL MQSFYSKAMQEAGSTSTIFSTGPYSTNSISSPSPLQQSPDVNGMAPSPSQSESAGSISEG EEIDTAEIARQVKEQLIKHNIGQRIFGHYVLGLSQGSVSEILARPKPWNKLTVRGKEPFH KMKQFLSDEQNILALRSIQGRQRENPGQSLNRLFQEVPKRRNGSEGNITTRIRASETGSD EAIKSILEQAKRELQVQKTAEPVQTSSTSSSGNSDDAIRSILQQARREMEAQQAALDPAL KPAPLSQPDLTILTPKHLSASPMSTVSTYPPLAISLKKTPAAPETSTAALPSAPALKKEA QDVPTLDPPGSADAAQGVLRPMKSELVRGSTWKDPWWSPIQPERRNLTSSEETKADETTA SGKERAGSSQPRAERSQLQGPSASAEYWKEWPSAESPYSQSSELSLTGASRSETPQNSPL PSSPIVPMAKPAKPSVPPLTPEQYEVYMYQEVDTIELTRQVKEKLAKNGICQRIFGEKVL GLSQGSVSDMLSRPKPWSKLTQKGREPFIRMQLWLNGELGQGVLPVQGQQQGPVLHSVAS LQDPLQQGCVSSESTPKTSASCSPAPESPMSSSESVKSLTELVQQPCPAIETSKEGKPPE PSDPPASDSQPTTPLPLSGHSALSIQELVAMSPELDTYGITKRVKEVLTDNNLGQRLFGE TILGLTQGSVSDLLARPKPWHKLSLKGREPFVRMQLWLNDPNNVEKLMDMKRMEKKAYMK RRHSSVSDSQPCEPPSVGIDYSQGASPQPQHQLKKPRVVLAPEEKEALKRAYQQKPYPSP KTIEELATQLNLKTSTVINWFHNYRSRIRRELFIEEIQAGSQGQAGASDSPSARSSRAAP SSEGDSCDGVEATDAEEPGGNIVATKSQGGLAEVAAAPADREEATQPAEKAKAQPLCSGT PGQDDGEDASRPRPLPEGLADAPAPVPSLAAPAAGEDAATSATAPATATEAPGAARAGPA ERSSALPSTSAPANAPARRPSSLQSLFGLPEAAGARDNLVRKKKAANLNSIIHRLEKAAS REEPIEWEF >ENSMUSP00000135892.1 pep:known chromosome:GRCm38:5:136274455:136566351:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000176778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] MERASGPLRAAFSSPVLESKAPGAPLDCKETDCGSSSPRGDGIGASQSAAELPACPEDAI LPATPHRNQLLALGVLPASASSAFAAPGQPQDSARWMLCVAGAKLKRELDATATVLANRQ DESEQSRKRLIEQSREFKKNTPEDLRKQVAPLLKSFQGEIDALSKRSKEAEAAFLTVYKR LIDVPDPVPALDVGQQLEIKVQRLHDIETENQKLRETLEEYNKEFAEVKNQEVTIKALKE KIREYEQTLKSQAETIALEKEQKLQNDFAEKERKLQETQMSTTSKLEEAEHKLQTLQTAL EKTRTELFDLKTKYDEETTAKADEIEMIMTDLERANQRAEVAQREAETLREQLSSANHSL QLASQIQKAPDVAIEVLTRSSLEVELAAKEREIAQLVEDVQRLQASLTKLRENSASQISQ LEQQLNAKNSTLKQLEEKLKGQADYEEVKKELNTLKSMEFAPSEGAGTQDSTKPLEVLLL EKNRSLQSENATLRISNSDLSGPYSTNSISSPSPLQQSPDVNGMAPSPSQSESAGSISEG EEIDTAEIARQVKEQLIKHNIGQRIFGHYVLGLSQGSVSEILARPKPWNKLTVRGKEPFH KMKQFLSDEQNILALRSIQGRQRENPGQSLNRLFQEVPKRRNGSEGNITTRIRASETGSD EAIKSILEQAKRELQVQKTAEPVQTSSTSSSGNSDDAIRSILQQARREMEAQQAALDPAL KPAPLSQPDLTILTPKHLSASPMSTVSTYPPLAISLKKTPAAPETSTAALPSAPALKKEA QDVPTLDPPGSADAAQGVLRPMKSELVRGSTWKDPWWSPIQPERRNLTSSEETKADETTA SGKERAGSSQPRAERSQLQGPSASAEYWKEWPSAESPYSQSSELSLTGASRSETPQNSPL PSSPIVPMAKPAKPSVPPLTPEQYEVYMYQEVDTIELTRQVKEKLAKNGICQRIFGEKVL GLSQGSVSDMLSRPKPWSKLTQKGREPFIRMQLWLNGELGQGVLPVQGQQQGPVLHSVAS LQDPLQQGCVSSESTPKTSASCSPAPESPMSSSESVKSLTELVQQPCPAIETSKEGKPPE PSDPPASDSQPTTPLPLSGHSALSIQELVAMSPELDTYGITKRVKEVLTDNNLGQRLFGE TILGLTQGSVSDLLARPKPWHKLSLKGREPFVRMQLWLNDPNNVEKLMDMKRMEKKAYMK RRHSSVSDSQPCEPPSVGIDYSQGASPQPQHQLKKPRVVLAPEEKEALKRAYQQKPYPSP KTIEELATQLNLKTSTVINWFHNYRSRIRRELFIEEIQAGSQGQAGASDSPSARSSRAAP SSEGDSCDGVEATDAEEPGGNIVATKSQGGLAEVAAAPADREEATQPAEKAKAQPLCSGT PGQDDGEDASRPRPLPEGLADAPAPVPSLAAPAAGEDAATSATAPATATEAPGAARAGPA ERSSALPSTSAPANAPARRPSSLQSLFGLPEAAGARDNLVRKKKAANLNSIIHRLEKAAS REEPIEWEF >ENSMUSP00000135086.1 pep:known chromosome:GRCm38:5:136274486:136565432:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000176172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] MLCVAGAKLKRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDLRKQVAPLLKSF QGEIDALSKRSKEAEAAFLTVYKRLIDVPDPVPALDVGQQLEIKVQRLHDIETENQKLRE TLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKSQAETIALEKEQKLQNDFAEKERKLQ ETQMSTTSKLEEAEHKLQTLQTALEKTRTELFDLKTKYDEETTAKADEIEMIMTDLERAN QRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVEQAIEVLTRSSLEVELAAKEREIA QLVEDVQRLQASLTKLRENSASQISQLEQQLNAKNSTLKQLEEKLKGQADYEEVKKELNT LKSMEFAPSEGAGTQDSTKPLEVLLLEKNRSLQSENATLRISNSDLSGSARRKGRDQPES RRPGPLPASPPPQLPRNTGEQVSNTNGTHHFSPAGLSQDFFSSNLASPSLPLASTGKFAL NSLLQRQLMQSFYSKAMQEAGSTSTIFSTGPYSTNSISSPSPLQQSPDVNGMAPSPSQSE SAGSISEGEEIDTAEIARQVKEQLIKHNIGQRIFGHYVLGLSQGSVSEILARPKPWNKLT VRGKEPFHKMKQFLSDEQNILALRSIQGRQRENPGQSLNRLFQEVPKRRNGSEGNITTRI RASETGSDEAIKSILEQAKRELQVQKTAEPVQTSSTSSSGNSDDAIRSILQQARREMEAQ QAALDPALKPAPLSQPDLTILTPKHLSASPMSTVSTYPPLAISLKKTPAAPETSTAALPS APALKKEAQDVPTLDPPGSADAAQGVLRPMKSELVRGSTWKDPWWSPIQPERRNLTSSEE TKADETTASGKERAGSSQPRAERSQLQGPSASAEYWKEWPSAESPYSQSSELSLTGASRS ETPQNSPLPSSPIVPMAKPAKPSVPPLTPEQYEVYMYQEVDTIELTRQVKEKLAKNGICQ RIFGEKVLGLSQGSVSDMLSRPKPWSKLTQKGREPFIRMQLWLNGELGQGVLPVQGQQQG PVLHSVASLQDPLQQGCVSSESTPKTSASCSPAPESPMSSSESVKSLTELVQQPCPAIET SKEGKPPEPSDPPASDSQPTTPLPLSGHSALSIQELVAMSPELDTYGITKRVKEVLTDNN LGQRLFGETILGLTQGSVSDLLARPKPWHKLSLKGREPFVRMQLWLNDPNNVEKLMDMKR MEKKAYMKRRHSSVSDSQPCEPPSVGIDYSQGASPQPQHQLKKPRVVLAPEEKEALKRAY QQKPYPSPKTIEELATQLNLKTSTVINWFHNYRSRIRRELFIEEIQAGSQGQAGASDSPS ARSSRAAPSSEGDSCDGVEATDAEEPGGNIVATKSQGGLAEVAAAPADREEATQPAEKAK AQPLCSGTPGQDDGEDASRPRPLPEGLADAPAPVPSLAAPAAGEDAATSATAPATATEAP GAARAGPAERSSALPSTSAPANAPARRPSSLQSLFGLPEAAGARDNLVRKKKAANLNSII HRLEKAASREEPIEWEF >ENSMUSP00000135054.1 pep:known chromosome:GRCm38:5:136248135:136567248:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000176216.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] MAANVGSMFQYWKRFDLQQLQRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDL RKQVAPLLKSFQGEIDALSKRSKEAEAAFLTVYKRLIDVPDPVPALDVGQQLEIKVQRLH DIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKSQAETIALEKEQKLQ NDFAEKERKLQETQMSTTSKLEEAEHKLQTLQTALEKTRTELFDLKTKYDEETTAKADEI EMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVEQAIEVLTRSSLE VELAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLNAKNSTLKQLEEKLKGQA DYEEVKKELNTLKSMEFAPSEGAGTQDSTKPLEVLLLEKNRSLQSENATLRISNSDLSGR CAELQIHLTEATAKAVEQKELIARLEQDLSTIQSIQRPDAEGASEQGLEKIPEPIKEATA LFYGPSMSSSGTLPEGQVDSLLSIISSQRERFRTRNQELEAESRMAQHTIQALQSELDSL RADNIKLFEKIKFLQSYPGRGIGSDDTELRYSSQYEERLDPFSSFSKRERQRKYLGLSPW DKATLGMGRLILSNKMARTISFFYTLFLHCLVFLVLYKLAWSESVERDCAATCAKKFADH LHKFHESDNGAAAGDLWQ >ENSMUSP00000135512.1 pep:known chromosome:GRCm38:5:136248135:136567264:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000176745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] MAANVGSMFQYWKRFDLQQLQRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDL RKQVAPLLKSFQGEIDALSKRSKEAEAAFLTVYKRLIDVPDPVPALDVGQQLEIKVQRLH DIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKSQAETIALEKEQKLQ NDFAEKERKLQETQMSTTSKLEEAEHKLQTLQTALEKTRTELFDLKTKYDEETTAKADEI EMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVAIEVLTRSSLEVE LAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLNAKNSTLKQLEEKLKGQADY EEVKKELNTLKSMEFAPSEGAGTQDSTKPLEVLLLEKNRSLQSENATLRISNSDLSGRCA ELQIHLTEATAKAVEQKELIARLEQDLSTIQSIQRPDAEGASEQGLEKIPEPIKEATALF YGPSMSSSGTLPEGQVDSLLSIISSQRERFRTRNQELEAESRMAQHTIQALQSELDSLRA DNIKLFEKIKFLQSYPGRGIGSDDTELRYSSQYEERLDPFSSFSKRERQRKYLGLSPWDK ATLGMGRLILSNKMARTISFFYTLFLHCLVFLVLYKLAWSESVERDCAATCAKKFADHLH KFHESDNGAAAGDLWQ >ENSMUSP00000135606.2 pep:known chromosome:GRCm38:5:136248510:136567278:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000175918.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] MAANVGSMFQYWKRFDLQQLQDLRKQVAPLLKSFQGEIDALSKRSKEAEAAFLTVYKRLI DVPDPVPALDVGQQLEIKVQRLHDIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKI REYEQTLKSQAETIALEKEQKLQNDFAEKERKLQETQMSTTSKLEEAEHKLQTLQTALEK TRTELFDLKTKYDEETTAK >ENSMUSP00000134819.1 pep:known chromosome:GRCm38:5:136250527:136567263:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000177297.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] MAANVGSMFQYWKRFDLQQLQRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDL RKQVAPLLKSFQGEIDALSKRSKEAEAAFLTVYKRLIDVPDPVPALDVGQQLEIKVQRLH DIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKSQAETIALEKEQKLQ NDFAEKERKLQETQMSTTSKLEEAEHKLQTLQTALEKTRTELFDLKTKYDEETTAKADEI EMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVEQAIEVLTRSSLE VELAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLNAKNSTLKQLEEKLKGQA DYEEVKKELNTLKSMEFAPSEGAGTQDSTKPLEVLLLEKNRSLQSENATLRISNSDLSGR CAELQIHLTEATAKAVEQKELIARLEQDLSTIQSIQRPDAEGASEQGLEKIPEPIKEATA LFYGPSMSSSGTLPEGQVDSLLSIISSQRERFRTRNQELEAVREPHGPAHHPGPAERAGQ PAR >ENSMUSP00000135816.2 pep:known chromosome:GRCm38:5:136248135:136360018:-1 gene:ENSMUSG00000029705.17 transcript:ENSMUST00000175998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cux1 description:cut-like homeobox 1 [Source:MGI Symbol;Acc:MGI:88568] EETTAKADEIEMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVLQV DGSPSSSPRASAVSSLLCPSQEQAIEVLTRSSLEVELAAKEREIAQLVEDVQRLQASLTK LRENSASQISQLEQQLNAKNSTLKQLEEKLKGQADYEEVKKELNTLKSMEFAPSEGAGTQ DSTKPLEVLLLEKNRSLQSENATLRISNSDLSGRCAELQIHLTEATAKAVEQKELIARLE QDLSTIQSIQRPDAEGASEQGLEKIPEPIKEATALFYGPSMSSSGTLPEGQVDSLLSIIS SQRERFRTRNQELEAESRMAQHTIQALQSELDSLRADNIKLFEKIKFLQSYPGRGIGSDD TELRYSSQYEERLDPFSSFSKRERQRKYLGLSPWDKATLGMGRLILSNKMARTISFFYTL FLHCLVFLVLYKLAWSESVERDCAATCAKKFADHLHKFHESDNGAAAGDLWQ >ENSMUSP00000098093.2 pep:known chromosome:GRCm38:5:138441540:138456327:1 gene:ENSMUSG00000036898.16 transcript:ENSMUST00000100524.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp157 description:zinc finger protein 157 [Source:MGI Symbol;Acc:MGI:1919404] MGLVSFEDVAVDFTWQEWQELDAAQRTLYRDVMLENYSSLVSLGHCVTKPELILRLEHGF QPWSIGDTSVWRLPGVLEVYTPNESCLENAESRMWPVGSINRNLSNKEMIEK >ENSMUSP00000106537.1 pep:known chromosome:GRCm38:5:138441519:138456315:1 gene:ENSMUSG00000036898.16 transcript:ENSMUST00000110912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp157 description:zinc finger protein 157 [Source:MGI Symbol;Acc:MGI:1919404] MGLVSFEDVAVDFTWQEWQELDAAQRTLYRDVMLENYSSLVSLGHCVTKPELILRLEHGF QPWSIGDTSVWRLPGVLEVYTPNESCLENAESRMWPVGSINRNLSNKEMIEVGRNEGSTG TSPRYKTL >ENSMUSP00000083018.5 pep:known chromosome:GRCm38:5:138441468:138460694:1 gene:ENSMUSG00000036898.16 transcript:ENSMUST00000085856.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp157 description:zinc finger protein 157 [Source:MGI Symbol;Acc:MGI:1919404] MGLVSFEDVAVDFTWQEWQELDAAQRTLYRDVMLENYSSLVSLGHCVTKPELILRLEHGF QPWSIGDTSVWRLPGVLEVYTPNESCLENAESRMWPVGSINRNLSNKEMIEAEMKVQLEL PRGTKPYECKPCMKMVSLPSQCSKQHAYYPSVKPYEWKECQKAFCYKSALTQHQRARTRL KIYQCTECKKAFSSKSELTVHHRIHTGEKPHACEECGKAFYRKSTLTVHQKTHRGEKPYE CKECWKAFYYKSTLTEHQRIHTGEKPYVCKDCGKAFFYKSNLTRHHRTHTREKPYECKEC RKGFSSKSELTSHHRTHTGEKPYQCEECGKAFYCKSTLRVHQKIHSGEKPYECKECQKSF YYKSTLTEHQRTHTGEKPYECKDCGKAFFYKSQLTRHHRIHTGEKPYECEECRKAFSSKS ELTAHHRTHTGERPYECKDCGKCFYRKSHLTLHHRIHTGEKPYECKDCRKAFFCKSGLAR HLGTHTHGTNTKNREKHFPATHSSVNIRNDIQVRNPVALNNEKKLSTINHSSVHMMDFLE LRSPIDVHNAGKLTFLTHASFNVNELR >ENSMUSP00000068939.4 pep:known chromosome:GRCm38:3:155084918:155093403:-1 gene:ENSMUSG00000053870.7 transcript:ENSMUST00000066568.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpgt description:fucose-1-phosphate guanylyltransferase [Source:MGI Symbol;Acc:MGI:1922790] MASLREATLRKLRRFSELRGKPVAAGEFWDVVAITAADEKQELAYKQQLSEKLKKRELPL GVQYHVFPDPAGTKIGNGGSTLCSLECLESLCGDKWNSLKVLLIHSGGYSQRLPNASALG KIFTALPLGEPIYQMLELKLAMYVDFPSNMRPGVLVTCADDIELYSVGDSEYIAFDQPGF TALAHPSSLAVGTTHGVFVLHSDSSLQHGDLEYRQCYQFLHKPTIENMHRFNAVHRQRSF GQQNLSGGDTDCLPLHTEYVYTDSLFYMDHKSAKKLLDFYKSEGPLNCEIDAYGDFLQAL GPGATAEYTRNTSHVTKEESQLLDMRQKIFHLLKGTPLNVVVLNNSRFYHIGTLQEYLLH FTSDSALKTELGLQSIAFSVSPSVPERSSGTACVIHSIVDSGCCVAPGSVVEYSRLGPEV SIGENCIISSSVIAKTVVPAYSFLCSLSVKINGHLKYSTMVFGMQDNLKNSVKTLEDIKA LQFFGVCFLSCLDIWNLKATEKLFSGNKMNLSLWTACIFPVCSSLSESATASLGMLSAVR NHSPFNLSDFNLLSIQEMLVYKDVQDMLAYREHIFLEISSNKNQSDLEKS >ENSMUSP00000039621.9 pep:known chromosome:GRCm38:5:151642756:151651242:-1 gene:ENSMUSG00000033970.15 transcript:ENSMUST00000038131.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc3 description:replication factor C (activator 1) 3 [Source:MGI Symbol;Acc:MGI:1916513] MSLWVDKYRPSSLARLDYHKEQAAQLRNLVQCGDFPHLLVYGPSGAGKKTRIMCILRELY GIGVEKLRIEHQTITTPSKKKIEISTIASNYHLEVNPSDAGNSDRVVIQEMLKTVAQSQQ LETSSQRDFKVVLLTEVDKLTKDAQHALRRTMEKYMSTCRLILCCNSTSKVIPPIRSRCL AVRVPAPSIEDICSVLSTVCRKEGLALPSTLARRLAEKSCRNLRKALLMCEACRVQQYPF TEDQEIPETDWEVYLRETANAIVSQQTPQRLLEVRGRLYELLTHCIPPEIIMKGLLSELL HNCDGQLKGEVAQMAAYYEHRLQLGSKAIYHLEAFVAKFMALYKKFMEDGLEGMMF >ENSMUSP00000141183.1 pep:known chromosome:GRCm38:Y:3771673:3783267:1 gene:ENSMUSG00000096520.7 transcript:ENSMUST00000186140.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3376 description:predicted gene 3376 [Source:MGI Symbol;Acc:MGI:3781554] MAETDQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRPA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDGPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000136808.1 pep:known chromosome:GRCm38:Y:3774805:3782910:1 gene:ENSMUSG00000096520.7 transcript:ENSMUST00000180202.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3376 description:predicted gene 3376 [Source:MGI Symbol;Acc:MGI:3781554] MAETDQPGKIFIGGLNIKTRQKTLQEIFGRFGPVARVILMRDRETKKSRGFAFLTFRRPA DAKNAVKEMNGVILDGKRIKVKQARRPSSLESGSKKRPPSFSRTRGASRILKCGRGGRSR ARSGPSCEGNLGGDRYTPNFNVSSSGRHFAVKRNPSSKRDGPPSKRSATSAQTRSNTGLR GREPHRREISRNMPRGEPASSRRDEYPLPRDYGQSSNDRKYESTSRGYCDYGNYHSREES ASKVFSDHAGYLGGRDRDFSEYLSGNSYRDTYRSYGRFHEAPSARGGNNRYDDYSNSQDG YGGRGEPYISNRSNIYSSDYERSGRQEVLPPPIDREYFDREGRQERGHSPKDGLYSASRE SYSSNTKIWGIPWRSWRKQI >ENSMUSP00000108337.1 pep:known chromosome:GRCm38:1:107045605:107052303:-1 gene:ENSMUSG00000044594.14 transcript:ENSMUST00000112717.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb3a description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 3A [Source:MGI Symbol;Acc:MGI:3573933] MHLFAEATTKFTLELYRQLRESDNNIFYSPISMMTALAMLQLGAKGNTEKQIEKVLQFNE TTKKTTEKSAHCHDEENVHEQFQKLMTQLNKSNDAYDLKAANSIYGAKGFPFVQTFLEDI KEYYQANVESLDFEHAAEESEKKINSWVESQTNGKIKDLFPNGSLNRSTIMVLVNAVYFK GQWNHKFDEKHTTEEKFWLNKNTSKPVQMMKQNIEFNFMFLEDVQAKIVEIPYKGKELSM IVLLPVEINGLKQLEEQLTADKLLEWTRAENMHMTELYLSLPRFKVDEKYDLPIPLEHMG MVDAFDPQKADFSGMSSTQGLVVSKVLHKSFVEVNEEGTEAAAATGVEVSLTSAQIAEDF CCDHPFLFFIIHRKTNSILFFGRISSP >ENSMUSP00000027567.7 pep:known chromosome:GRCm38:1:107045587:107051797:-1 gene:ENSMUSG00000044594.14 transcript:ENSMUST00000027567.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb3a description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 3A [Source:MGI Symbol;Acc:MGI:3573933] MHLFAEATTKFTLELYRQLRESDNNIFYSPISMMTALAMLQLGAKGNTEKQIEKVLQFNE TTKKTTEKSAHCHDEENVHEQFQKLMTQLNKSNDAYDLKAANSIYGAKGFPFVQTFLEDI KEYYQANVESLDFEHAAEESEKKINSWVESQTNGKIKDLFPNGSLNRSTIMVLVNAVYFK GQWNHKFDEKHTTEEKFWLNKNTSKPVQMMKQNIEFNFMFLEDVQAKIVEIPYKGKELSM IVLLPVEINGLKQLEEQLTADKLLEWTRAENMHMTELYLSLPRFKVDEKYDLPIPLEHMG MVDAFDPQKADFSGMSSTQGLVVSKVLHKSFVEVNEEGTEAAAATGVEVSLTSAQIAEDF CCDHPFLFFIIHRKTNSILFFGRISSP >ENSMUSP00000145678.1 pep:known chromosome:GRCm38:7:121899228:121911976:1 gene:ENSMUSG00000030873.9 transcript:ENSMUST00000206079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnn1b description:sodium channel, nonvoltage-gated 1 beta [Source:MGI Symbol;Acc:MGI:104696] MPVKKYLLKCLHRLQKGPGYTYKELLVWYCNNTNTHGPKRIICEGPKKKAMWFLLTLLFA CLVCWQWGVFIQTYLSWEVSVSLSMGFKTMNFPAVTVCNSSPFQNFTPIFYPDYGNCYIF NWGMTEETLPSANPGTEFGLKL >ENSMUSP00000033161.5 pep:known chromosome:GRCm38:7:121865038:121918512:1 gene:ENSMUSG00000030873.9 transcript:ENSMUST00000033161.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scnn1b description:sodium channel, nonvoltage-gated 1 beta [Source:MGI Symbol;Acc:MGI:104696] MPVKKYLLKCLHRLQKGPGYTYKELLVWYCNNTNTHGPKRIICEGPKKKAMWFLLTLLFA CLVCWQWGVFIQTYLSWEVSVSLSMGFKTMNFPAVTVCNSSPFQYSKVKHLLKDLDELME AVLEKILAPEASHSNTTRTLNFTIWNHTPLVLIDERNPDHPVVLNLFGDSHNSSNPAPGS TCNAQGCKVAMRLCSANGTVCTLRNFTSATQAVTEWYILQATNIFSQVLPQDLVGMGYAP DRIILACLFGTEPCSHRNFTPIFYPDYGNCYIFNWGMTEETLPSANPGTEFGLKLILDIG QEDYVPFLASTAGARLMLHEQRTYPFIREEGIYAMAGTETSIGVLVDKLQRKGEPYSPCT MNGSDVAIKNLYSVYNTTYSIQACLHSCFQDHMIRNCSCGHYLYPLPEGEKYCNNRDFPD WAYCYLNLQMSVTQRETCLSMCKESCNDTQYKMTISMADWPSEASEDWILHVLSQERDQS SNITLSRKGIVKLNIYFQEFNYRTIEESPANNIVWLLSNLGGQFGFWMGGSVLCLIEFGE IIIDFIWITIIKLVASCKGLRRRRPQAPYTGPPPTVAELVEAHTNFGFQPDTTSCRPHGE VYPDQQTLPIPGTPPPNYDSLRLQPLDTMESDSEVEAI >ENSMUSP00000145900.1 pep:known chromosome:GRCm38:7:121865077:121918514:1 gene:ENSMUSG00000030873.9 transcript:ENSMUST00000205520.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Scnn1b description:sodium channel, nonvoltage-gated 1 beta [Source:MGI Symbol;Acc:MGI:104696] MPVKKYLLKCLHRLQKGPGYTYKELLVWYCNNTNTHGPKRIICEGPKKKAMWFLLTLLFA CLVCWQWGVFIQTYLSWEVSVSLSMGFKTMNFPAVTVCNSSPFQYSKVKHLLKDLDELME AVLEKILAPEASHSNTTRTLNFTIWNHTPLVLIDERNPDHPVVLNLFGDSHNSSNPAPGS TCNAQGCKVAMRLCSANGTVCTLRNFTSATQAVTEWYILQATNIFSQVLPQDLVGMGYAP DRIILACLFGTEPCSHRNFTPIFYPDYGNCYIFNWGMTEETLPSANPGTEFGLKLILDIG QEDYVPFLASTAGARLMLHEQRTYPFIREEGIYAMAGTETSIGVLVDKLQRKGEPYSPCT MNGSDVAIKNLYSVYNTTYSIQACLHSCFQDHMIRNCSCGHYLYPLPEGEKYCNNRDFPD WAYCYLNLQMSVTQRETCLSMCKESCNDTQYKMTISMADWPSEASEDWILHVLSQERDQS SNITLSRPGLNLPTAENSSDWR >ENSMUSP00000026795.6 pep:known chromosome:GRCm38:6:116410077:116461178:-1 gene:ENSMUSG00000025701.12 transcript:ENSMUST00000026795.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox5 description:arachidonate 5-lipoxygenase [Source:MGI Symbol;Acc:MGI:87999] MPSYTVTVATGSQWFAGTDDYIYLSLIGSAGCSEKHLLDKAFYNDFERGAVDSYDVTVDE ELGEIYLVKIEKRKYWLHDDWYLKYITLKTPHGDYIEFPCYRWITGEGEIVLRDGRAKLA RDDQIHILKQHRRKELEARQKQYRWMEWNPGFPLSIDAKCHKDLPRDIQFDSEKGVDFVL NYSKAMENLFINRFMHMFQSSWHDFADFEKIFVKISNTISERVKNHWQEDLMFGYQFLNG CNPVLIKRCTALPPKLPVTTEMVECSLERQLSLEQEVQEGNIFIVDYELLDGIDANKTDP CTHQFLAAPICLLYKNLANKIVPIAIQLNQTPGESNPIFLPTDSKYDWLLAKIWVRSSDF HVHQTITHLLRTHLVSEVFGIAMYRQLPAVHPLFKLLVAHVRFTIAINTKAREQLICEYG LFDKANATGGGGHVQMVQRAVQDLTYSSLCFPEAIKARGMDSTEDIPFYFYRDDGLLVWE AIQSFTMEVVSIYYENDQVVEEDQELQDFVKDVYVYGMRGKKASGFPKSIKSREKLSEYL TVVIFTASAQHAAVNFGQYDWCSWIPNAPPTMRAPPPTAKGVVTIEQIVDTLPDRGRSCW HLGAVWALSQFQENELFLGMYPEEHFIEKPVKEAMIRFRKNLEAIVSVIAERNKNKKLPY YYLSPDRIPNSVAI >ENSMUSP00000145367.1 pep:known chromosome:GRCm38:6:116410607:116461024:-1 gene:ENSMUSG00000025701.12 transcript:ENSMUST00000203722.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alox5 description:arachidonate 5-lipoxygenase [Source:MGI Symbol;Acc:MGI:87999] MPSYTVTVATGSQWFAGTDDYIYLSLIGSAGCSEKHLLDKAFYNDFERGAVDSYDVTVDE ELGEIYLVKIEKRKYWLHDDWYLKYITLKTPHGDYIEFPCYRWITGEGEIVLRDGRAKLA RDDQIHILKQHRRKELEARQKQYRWMEWNPGFPLSIDAKCHKDLPRDIQFDSEKGVDFVL NYSKAMENLFINRFMHMFQSSWHDFADFEKIFVKISNTISERVKNHWQEDLMFGYQFLNG CNPVLIKRCTALPPKLPVTTEMVECSLERQLSLEQEVQEGNIFIVDYELLDGIDANKTDP CTHQFLAAPICLLYKNLANKIVPIAIQLNQTPGESNPIFLPTDSKYDWLLAKIWVRSSDF HVHQTITHLLRTHLVSEVFGIAMYRQLPAVHPLFKLLVAHVRFTIAINTKAREQLICEYG LFDKVHNGGGEHLL >ENSMUSP00000130780.1 pep:known chromosome:GRCm38:6:116410719:116461024:-1 gene:ENSMUSG00000025701.12 transcript:ENSMUST00000164547.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox5 description:arachidonate 5-lipoxygenase [Source:MGI Symbol;Acc:MGI:87999] MPSYTVTVATGSQWFAGTDDYIYLSLIGSAGCSEKHLLDKAFYNDFERGAVDSYDVTVDE ELGEIYLVKIEKRKYWLHDDWYLKYITLKTPHGDYIEFPCYRWITGEGEIVLRDGRAKLA RDDQIHILKQHRRKELEARQKQYRWMEWNPGFPLSIDAKCHKDLPRDIQFDSEKGVDFVL NYSKAMENLFINRFMHMFQSSWHDFADFEKIFVKISNTISERVKNHWQEDLMFGYQFLNG CNPVLIKRCTALPPKLPVTTEMVECSLERQLSLEQEVQEGNIFIVDYELLDGIDANKTDP CTHQFLAAPICLLYKNLANKIVPIAIQLNQTPGESNPIFLPTDSKYDWLLAKIWVRSSDF HVHQTITHLLRTHLVSEVFGIAMYRQLPAVHPLFKLLVAHVRFTIAINTKAREQLICEYG LFDKANATGGGGHVQMVQRAVQDLTYSSLCFPEAIKARGMDSTEDIPFYFYRDDGLLVWE AIQSFTMEVVSIYYENDQVVEEDQELQDFVKDVYVYGMRGKKASGFPKSIKSREKLSEYL TVVIFTASAQHAAVNFGQLFLGMYPEEHFIEKPVKEAMIRFRKNLEAIVSVIAERNKNKK LPYYYLSPDRIPNSVAI >ENSMUSP00000130424.1 pep:known chromosome:GRCm38:6:116410719:116461024:-1 gene:ENSMUSG00000025701.12 transcript:ENSMUST00000170186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alox5 description:arachidonate 5-lipoxygenase [Source:MGI Symbol;Acc:MGI:87999] MPSYTVTVATGSQWFAGTDDYIYLSLIGSAGCSEKHLLDKAFYNDFERGAVDSYDVTVDE ELGEIYLVKIEKRKYWLHDDWYLKYITLKTPHGDYIEFPCYRWITGEGEIVLRDGRAKLA RDDQIHILKQHRRKELEARQKQYRWMEWNPGFPLSIDAKCHKDLPRDIQFDSEKGVDFVL NYSKAMENLFINRFMHMFQSSWHDFADFEKIFVKISNTISERVKNHWQEDLMFGYQFLNG CNPVLIKRCTALPPKLPVTTEMVECSLERQLSLEQEVQEGNIFIVDYELLDGIDANKTDP CTHQFLAAPICLLYKNLANKIVPIAIQLNQTPGESNPIFLPTDSKYDWLLAKIWVRSSDF HVHQTITHLLRTHLVSEVFGIAMYRQLPAVHPLFKLLVAHVRFTIAINTKAREQLICEYG LFDKARGMDSTEDIPFYFYRDDGLLVWEAIQSFTMEVVSIYYENDQVVEEDQELQDFVKD VYVYGMRGKKASGFPKSIKSREKLSEYLTVVIFTASAQHAAVNFGQYDWCSWIPNAPPTM RAPPPTAKGVVTIEQIVDTLPDRGRSCWHLGAVWALSQFQENELFLGMYPEEHFIEKPVK EAMIRFRKNLEAIVSVIAERNKNKKLPYYYLSPDRIPNSVAI >ENSMUSP00000113159.1 pep:known chromosome:GRCm38:4:135152496:135176181:1 gene:ENSMUSG00000070691.10 transcript:ENSMUST00000119564.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx3 description:runt related transcription factor 3 [Source:MGI Symbol;Acc:MGI:102672] MRIPVDPSTSRRFTPPSTAFPCGGGGGGKMGENSGALSAQATAGPGGRTRPEVRSMVDVL ADHAGELVRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGDVPDGTVVTVMAGNDENYSA ELRNASAVMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPTQVATYHRAIKVTVDGPRE PRRHRQKIEDQTKAFPDRFGDLRMRVTPSTPSPRGSLSTTSHFSSQAQTPIQGSSDLNPF SDPRQFDRSFPTLQSLTESRFPDPRMHYPGAMSAAFPYSATPSGTSLGSLSVAGMPASSR FHHTYLPPPYPGAPQSQSGPFQANPAPYHLFYGASSGSYQFSMAAAGGGERSPTRMLTSC PSGASVSAGNLMNPSLGQADGVEADGSHSNSPTALSTPGRMDEAVWRPY >ENSMUSP00000050353.7 pep:known chromosome:GRCm38:4:135120652:135177990:1 gene:ENSMUSG00000070691.10 transcript:ENSMUST00000056977.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx3 description:runt related transcription factor 3 [Source:MGI Symbol;Acc:MGI:102672] MASNSIFDSFPNYTPTFIRDPSTSRRFTPPSTAFPCGGGGGGKMGENSGALSAQATAGPG GRTRPEVRSMVDVLADHAGELVRTDSPNFLCSVLPSHWRCNKTLPVAFKVVALGDVPDGT VVTVMAGNDENYSAELRNASAVMKNQVARFNDLRFVGRSGRGKSFTLTITVFTNPTQVAT YHRAIKVTVDGPREPRRHRQKIEDQTKAFPDRFGDLRMRVTPSTPSPRGSLSTTSHFSSQ AQTPIQGSSDLNPFSDPRQFDRSFPTLQSLTESRFPDPRMHYPGAMSAAFPYSATPSGTS LGSLSVAGMPASSRFHHTYLPPPYPGAPQSQSGPFQANPAPYHLFYGASSGSYQFSMAAA GGGERSPTRMLTSCPSGASVSAGNLMNPSLGQADGVEADGSHSNSPTALSTPGRMDEAVW RPY >ENSMUSP00000139043.1 pep:known chromosome:GRCm38:2:144368996:144373721:1 gene:ENSMUSG00000098387.2 transcript:ENSMUST00000183618.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pet117 description:PET117 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:5295678] MSRSSKAVLGLSVLLTAATVAGVHLKQRQDRQRLRDGVIRDIERQNQKKENIRLLGEQII LTKQLEAEREKMLEKGSQNT >ENSMUSP00000127214.1 pep:known chromosome:GRCm38:2:144373803:144393402:1 gene:ENSMUSG00000027425.18 transcript:ENSMUST00000156410.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Csrp2bp description:cysteine and glycine-rich protein 2 binding protein [Source:MGI Symbol;Acc:MGI:1917264] XEEQLSYFCDKCQKWIPASQLREQLSYLKGDNFFRFTCCDCSADGKEQYERLKLTWQQEK DVDVVEHSSRLPQRGKPCLFPVRCSGVWRARMVETCS >ENSMUSP00000129716.2 pep:known chromosome:GRCm38:2:144402547:144404353:1 gene:ENSMUSG00000027425.18 transcript:ENSMUST00000125398.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrp2bp description:cysteine and glycine-rich protein 2 binding protein [Source:MGI Symbol;Acc:MGI:1917264] XCQEFFWPGIDLSECLQYPDFSVVVLYKKVIVAFGFMVPDVKYNEAYISFLLVHPEWRRA GIATFMIYHLIQASSLCSWFHPN >ENSMUSP00000128694.1 pep:known chromosome:GRCm38:2:144404078:144407676:1 gene:ENSMUSG00000027425.18 transcript:ENSMUST00000139812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrp2bp description:cysteine and glycine-rich protein 2 binding protein [Source:MGI Symbol;Acc:MGI:1917264] XFMVPDVKYNEAYISFLLVHPEWRRAGIATFMIYHLIQTCMGKDVTLHVSASNPAMLLYQ KFGFKTEEKNILSVCLHAALPDLPVSSSLQGH >ENSMUSP00000028911.8 pep:known chromosome:GRCm38:2:144368983:144407676:1 gene:ENSMUSG00000027425.18 transcript:ENSMUST00000028911.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrp2bp description:cysteine and glycine-rich protein 2 binding protein [Source:MGI Symbol;Acc:MGI:1917264] MDSSIHLSGLLSRHDDDATRTSTSEGLEEGEVEGETLLIVESEDQASVDLSHDQSGDSLN SDEGDVSWMEEQLSYFCDKCQKWIPASQLREQLSYLKGDNFFRFTCCDCSADGKEQYERL KLTWQQVVMLAMYNLSLEGSGRQGYFRWKEDICAFIEKHWTFLLGNRKKTSTWWSTVAGC LSVGSPVYFRSGAQEFGEPGWWKLVHNRPPTMRPEGEKLAASTLKVKASKPTLDPIITVE GLRKRASRNPVESAMELKEKRSRTQEAKDIRRAQKEAAGLLDRSTSSTPVKFISRGRRPD LILEKGEVIDFSSLSSSDRTPLTSPSPSPSLDFSAPGTPASHSATPSLLSEADLIPDVMP PQALFHDDDELEGDGVIDPGMEYIPPPAGSASGLLGSRKKVRAPEQIKQEVDSEEEKPDR MDGDSEDTDSNISLHTRAREKRKPPLEKDMKPKGPRYTPVSIYEEKLLLKRLEACPGAVA MTPEARRLKRKLIVRQAKRDRGLPLFDLDEVVNAALLLVDGIYGAKDGGASRLAAGQATY RTTCQDFRILDRYQTALPARKGFRHQTTRFLYRLVGSEDLAVDQSIISPYTSRILKPYIR RDYETKPPKLQLLSQIRSHLHRSDPHWTPGPDAPLDYCYVRPNHIPTINSMCQEFFWPGI DLSECLQYPDFSVVVLYKKVIVAFGFMVPDVKYNEAYISFLLVHPEWRRAGIATFMIYHL IQTCMGKDVTLHVSASNPAMLLYQKFGFKTEEYVLDFYDKYYPLESTECKHAFFLRLRR >ENSMUSP00000130785.1 pep:known chromosome:GRCm38:2:144368999:144407676:1 gene:ENSMUSG00000027425.18 transcript:ENSMUST00000147747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrp2bp description:cysteine and glycine-rich protein 2 binding protein [Source:MGI Symbol;Acc:MGI:1917264] MRPEGEKLAASTLKVKASKPTLDPIITVEGLRKRASRNPVESAMELKEKRSRTQEAKDIR RAQKEAAGLLDRSTSSTPVKFISRGRRPDLILEKGEVIDFSSLSSSDRTPLTSPSPSPSL DFSAPGTPASHSATPSLLSEADLIPDVMPPQALFHDDDELEGDGVIDPGMEYIPPPAGSA SGLLGSRKKVRAPEQIKQEVDSEEEKPDRMDGDSEDTDSNISLHTRAREKRKPPLEKDMK PKGPRYTPVSIYEEKLLLKRLEACPGAVAMTPEARRLKRKLIVRQAKRDRGLPLFDLDEV VNAALLLVDGIYGAKDGGASRLAAGQATYRTTCQDFRILDRYQTALPARKGFRHQTTRFL YRLVGSEDLAVDQSIISPYTSRILKPYIRRDYETKPPKLQLLSQIRSHLHRSDPHWTPGP DAPLDYCYVRPNHIPTINSMCQEFFWPGIDLSECLQYPDFSVVVLYKKVIVAFGFMVPDV KYNEAYISFLLVHPEWRRAGIATFMIYHLIQTCMGKDVTLHVSASNPAMLLYQKFGFKTE EYVLDFYDKYYPLESTECKHAFFLRLRR >ENSMUSP00000146193.1 pep:known chromosome:GRCm38:7:121880033:121981711:-1 gene:ENSMUSG00000034951.10 transcript:ENSMUST00000205438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog7 description:component of oligomeric golgi complex 7 [Source:MGI Symbol;Acc:MGI:2685013] MDFSKFLADDFDVKDWINAAFRAGPKDGAAGKADGHAATLVMKLQLFIQEVNHAVEETSL QALQNMPKVLRDVEALKQEASFLKEQMILVKEDIKKFEQDTSQSMQVLVEIDQVKSRMQL AAESLQEADKWSTLSADIEETFKTQDIAVISAKLTGMQNSLMMLVDTPDYSEKCVHLEAL KNRLEALASPQIVASFTSQSVDQSKVFVKVFTEIDRMPQLLAYYYKCHKVQLLATWQELC QSDLPLDRQLTGLYDALLGAWHTQTQWATQVFKNPHEVVTVLLIQTLGALVPSLPMCLSA GVERAGPELELTRLLEFYDTTAHFAKGLEMALLPHLQDHNLVKVVELVDAVYGPYKPFQL KYGDMEENNLLIQISAVPLEHGEVIDCVQELSHSVHKLFGLASAAVDRCAKFTNGLGTCG LLTALKSLFAKYVSHFTNALQSIRKKCKLDDIPPNSLFQEDWTAFQNSVRIIATCGELLR QCGDFEQQLANRILSTAGKYLSDSYSPRSLAGFQDSILTDKKSPAKNPWQEYNYLQKDNP AEYASLMEILYTLKEKGSSNHNLLSASRTALTRLNQQAHQLAFDSVFLRIKQQLLLVSRM DSWNTAGIGETLTDDLPAFSLTPLEYISNIGQYIMSLPLNLEPFVTQEDSALELALHAGK LPFPPEQGDELPELDNMADNWLGSIARATMQTYCDVILQIPEVTPHSTKQLATDIGQGFC L >ENSMUSP00000058990.7 pep:known chromosome:GRCm38:7:121922839:121981711:-1 gene:ENSMUSG00000034951.10 transcript:ENSMUST00000057576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog7 description:component of oligomeric golgi complex 7 [Source:MGI Symbol;Acc:MGI:2685013] MDFSKFLADDFDVKDWINAAFRAGPKDGAAGKADGHAATLVMKLQLFIQEVNHAVEETSL QALQNMPKVLRDVEALKQEASFLKEQMILVKEDIKKFEQDTSQSMQVLVEIDQVKSRMQL AAESLQEADKWSTLSADIEETFKTQDIAVISAKLTGMQNSLMMLVDTPDYSEKCVHLEAL KNRLEALASPQIVASFTSQSVDQSKVFVKVFTEIDRMPQLLAYYYKCHKVQLLATWQELC QSDLPLDRQLTGLYDALLGAWHTQTQWATQVFKNPHEVVTVLLIQTLGALVPSLPMCLSA GVERAGPELELTRLLEFYDTTAHFAKGLEMALLPHLQDHNLVKVVELVDAVYGPYKPFQL KYGDMEENNLLIQISAVPLEHGEVIDCVQELSHSVHKLFGLASAAVDRCAKFTNGLGTCG LLTALKSLFAKYVSHFTNALQSIRKKCKLDDIPPNSLFQEDWTAFQNSVRIIATCGELLR QCGDFEQQLANRILSTAGKYLSDSYSPRSLAGFQDSILTDKKSPAKNPWQEYNYLQKDNP AEYASLMEILYTLKEKGSSNHNLLSASRTALTRLNQQAHQLAFDSVFLRIKQQLLLVSRM DSWNTAGIGETLTDDLPAFSLTPLEYISNIGQYIMSLPLNLEPFVTQEDSALELALHAGK LPFPPEQGDELPELDNMADNWLGSIARATMQTYCDVILQIPEVTPHSTKQLATDIDYLIN VMDALGLQPSRTLQNIAALLKAKPEEYRQVSKGLPRRLAATVATMRGVNY >ENSMUSP00000040614.5 pep:known chromosome:GRCm38:1:135766119:135769136:1 gene:ENSMUSG00000041801.5 transcript:ENSMUST00000038945.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phlda3 description:pleckstrin homology-like domain, family A, member 3 [Source:MGI Symbol;Acc:MGI:1351485] MTAAATVLKEGVLEKRSGGLLQLWKRKRCVLTERGLQLFEAKGTGGRPKELSFARIKAVE CVESTGRHIYFTLVTEGGGEIDFRCPLEDPGWNAQITLGLVKFKNQQAIQTVRARQSLGT GTLVS >ENSMUSP00000103491.1 pep:known chromosome:GRCm38:11:93098404:93368999:1 gene:ENSMUSG00000056158.14 transcript:ENSMUST00000107859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car10 description:carbonic anhydrase 10 [Source:MGI Symbol;Acc:MGI:1919855] MEIVWEVLFLLQANFIVCISAQQNSPKIHEGWWAYKEVVQGSFVPVPSFWGLVNSAWNLC SVGKRQSPVNIETSHMIFDPFLTPLRINTGGRKDCSVMEVLEE >ENSMUSP00000035585.6 pep:known chromosome:GRCm38:11:93098404:93601745:1 gene:ENSMUSG00000056158.14 transcript:ENSMUST00000042943.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car10 description:carbonic anhydrase 10 [Source:MGI Symbol;Acc:MGI:1919855] MEIVWEVLFLLQANFIVCISAQQNSPKIHEGWWAYKEVVQGSFVPVPSFWGLVNSAWNLC SVGKRQSPVNIETSHMIFDPFLTPLRINTGGRKVSGTMYNTGRHVSLRLDKEHLVNISGG PMTYSHRLEEIRLHFGSEDSQGSEHLLNGQAFSGEVQLIHYNHELYTNVTEAAKSPNGLV VVSIFIKVSDSSNPFLNRMLNRDTITRITYKNDAYLLQGLNIEELYPETSSFITYDGSMT IPPCYETASWIIMNKPVYITRMQMHSLRLLSQNQPSQIFLSMSDNFRPVQPLNNRCIRTN INFSLQGKDCPNNRAQKLQYRVNEWLLK >ENSMUSP00000103493.1 pep:known chromosome:GRCm38:11:93098404:93491923:1 gene:ENSMUSG00000056158.14 transcript:ENSMUST00000107861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car10 description:carbonic anhydrase 10 [Source:MGI Symbol;Acc:MGI:1919855] MEIVWEVLFLLQANFIVCISAQQNSPKIHEGWWAYKEVVQGSFVPVPSFWGLVNSAWNLC SVGKRQSPVNIETSHMIFDPFLTPLRINTGGRKVSGTMYNTGRHVSLRLDKEHLVNISGG PMTYSHRLEEIRLHFGSEDSQGSEHLLNGQAFSGEVCAPAKVLRHRANL >ENSMUSP00000090455.3 pep:known chromosome:GRCm38:11:93099290:93185969:1 gene:ENSMUSG00000056158.14 transcript:ENSMUST00000092780.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car10 description:carbonic anhydrase 10 [Source:MGI Symbol;Acc:MGI:1919855] MEIVWEVLFLLQANFIVCISAQQNSPKIHEGWWAYKEVVQGSFVPGKYTFTLSIFQKKIL FLKHFKETEILKAPRIHLAHITSSLCTNKVITNKQIKAQNLDEH >ENSMUSP00000103495.2 pep:known chromosome:GRCm38:11:93099290:93601749:1 gene:ENSMUSG00000056158.14 transcript:ENSMUST00000107863.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car10 description:carbonic anhydrase 10 [Source:MGI Symbol;Acc:MGI:1919855] MEIVWEVLFLLQANFIVCISAQQNSPKIHEGWWAYKEVVQGSFVPVPSFWGLVNSAWNLC SVGKRQSPVNIETSHMIFDPFLTPLRINTGGRKVSGTMYNTGRHVSLRLDKEHLVNISGG PMTYSHRLEEIRLHFGSEDSQGSEHLLNGQAFSGEVQLIHYNHELYTNVTEAAKSPNGLV VVSIFIKVSDSSNPFLNRMLNRDTITRITYKNDAYLLQGLNIEELYPETSSFITYDGSMT IPPCYETASWIIMNKPVYITRMQMHSLRLLSQNQPSQIFLSMSDNFRPVQPLNNRCIRTN INFSLQGKDCPNNRAQKLQYRVNEWLLK >ENSMUSP00000103490.2 pep:known chromosome:GRCm38:11:93098414:93601273:1 gene:ENSMUSG00000056158.14 transcript:ENSMUST00000107858.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car10 description:carbonic anhydrase 10 [Source:MGI Symbol;Acc:MGI:1919855] MEIVWEVLFLLQANFIVCISAQQNSPKIHEGWWAYKEVVQGSFVPVPSFWGLVNSAWNLC SVGKRQSPVNIETSHMIFDPFLTPLRINTGGRKVRGPMTYSHRLEEIRLHFGSEDSQGSE HLLNGQAFSGEVQLIHYNHELYTNVTEAAKSPNGLVVVSIFIKVSDSSNPFLNRMLNRDT ITRITYKNDAYLLQGLNIEELYPETSSFITYDGSMTIPPCYETASWIIMNKPVYITRMQM HSLRLLSQNQPSQIFLSMSDNFRPVQPLNNRCIRTNINFSLQGKDCPNNRAQKLQYRVNE WLLK >ENSMUSP00000141461.1 pep:known chromosome:GRCm38:9:108796064:108797442:1 gene:ENSMUSG00000032599.12 transcript:ENSMUST00000194782.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKAQHLTLNFQALVSLAQGNP >ENSMUSP00000142277.1 pep:known chromosome:GRCm38:9:108796131:108797994:1 gene:ENSMUSG00000032599.12 transcript:ENSMUST00000192028.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKAQHLTLNFQALVTHSPHVGTVREDFRRIMHRILAACFLSSRKPEALVIRTKPK FPC >ENSMUSP00000141515.1 pep:known chromosome:GRCm38:9:108796352:108797693:1 gene:ENSMUSG00000032599.12 transcript:ENSMUST00000193055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] XTLPAEMRRFTPQYKAQHLTLNFQALGSVVP >ENSMUSP00000142239.1 pep:known chromosome:GRCm38:9:108783865:108797692:1 gene:ENSMUSG00000032599.12 transcript:ENSMUST00000194875.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKGKCGPLTPPLPLLCAQSWGPPQKCRLSLSTHSPAARKRAGSKD >ENSMUSP00000141831.1 pep:known chromosome:GRCm38:9:108795994:108799269:1 gene:ENSMUSG00000032599.12 transcript:ENSMUST00000195514.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKGVVSVRFEEDEDRNLCLIAYPLKGDHGTVDIVDNSDCEPKSKLLRWTNKKHHA LETEKNPKDWVRQHRKEEKMKSHKLEEEFEW >ENSMUSP00000082091.4 pep:known chromosome:GRCm38:9:108795994:108806337:1 gene:ENSMUSG00000032599.12 transcript:ENSMUST00000085018.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKAVLIFVRCADEFGASGNIETKEQGVVSVRFEEDEDRNLCLIAYPLKGDHGTVD IVDNSDCEPKSKLLRWTNKKHHALETEKNPKDWVRQHRKEEKMKSHKLEEEFEWLKKSEV LYYSVEKKGNVSSQLKHYNPWSMKCHQQQLQRMKENAKHRNQYKFILLENLTSRYEVPCV LDLKMGTRQHGDDASEEKAANQIRKCQQSTSAVIGVRVCGMQVYQAGTGQLMFMNKYHGR KLSVQGFKEALFQFFHNGRYLRRELLGPVLKKLTELKAVLERQESYRFYSSSLLVIYDGK EWPEVTLDSDAEDLEDLSEESADESAGAYAYKPIGASSVDVRMIDFAHTTCRLYGEDSVV HEGQDAGYIFGLQSLIDIVTEISEESGE >ENSMUSP00000142134.1 pep:known chromosome:GRCm38:9:108783796:108797989:1 gene:ENSMUSG00000032599.12 transcript:ENSMUST00000192307.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKGQSQSSLVSWPPLPRFYPFGRSLCPQGSVVP >ENSMUSP00000141605.1 pep:known chromosome:GRCm38:9:108783796:108806332:1 gene:ENSMUSG00000032599.12 transcript:ENSMUST00000193560.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKGDHGTVDIVDNSDCEPKSKLLRWTNKKHHALETEKNPKDWVRQHRKEEKMKSH KLEEEFEWLKKSEVLYYSVEKKGNVSSQLKHYNPWSMKCHQQQLQRMKENAKHRNQYKFI LLENLTSRYEVPCVLDLKMGTRQHGDDASEEKAANQIRKCQQSTSAVIGVRVCGMQVYQA GTGQLMFMNKYHGRKLSVQGFKEALFQFFHNGRYLRRELLGPVLKKLTELKAVLERQESY RFYSSSLLVIYDGKEWPEVTLDSDAEDLEDLSEESADESAGAYAYKPIGASSVDVRMIDF AHTTCRLYGEDSVVHEGQDAGYIFGLQSLIDIVTEISEESGE >ENSMUSP00000141242.1 pep:known chromosome:GRCm38:9:108783865:108797345:1 gene:ENSMUSG00000032599.12 transcript:ENSMUST00000192226.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKGVSCSR >ENSMUSP00000114944.1 pep:known chromosome:GRCm38:7:98098256:98119493:-1 gene:ENSMUSG00000030761.15 transcript:ENSMUST00000138627.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo7a description:myosin VIIA [Source:MGI Symbol;Acc:MGI:104510] MVILQKGDYVWMDLKSGQEFDVPIGAVVKLCDSGQIQVVDDEDNEHWISPQNATHIKPMH PTSVHGVEDMIRLGDLNEAGILRNLLIRYRDHLIYTSCGGRTYTGSILVAVNPYQLLSIY SPEHIRQYTNKKIGEMPPH >ENSMUSP00000102744.1 pep:known chromosome:GRCm38:7:98051625:98119503:-1 gene:ENSMUSG00000030761.15 transcript:ENSMUST00000107127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo7a description:myosin VIIA [Source:MGI Symbol;Acc:MGI:104510] MVILQKGDYVWMDLKSGQEFDVPIGAVVKLCDSGQIQVVDDEDNEHWISPQNATHIKPMH PTSVHGVEDMIRLGDLNEAGILRNLLIRYRDHLIYTYTGSILVAVNPYQLLSIYSPEHIR QYTNKKIGEMPPHIFAIADNCYFNMKRNNRDQCCIISGESGAGKTESTKLILQFLAAISG QHSWIEQQVLEATPILEAFGNAKTIRNDNSSRFGKYIDIHFNKRGAIEGAKIEQYLLEKS RVCRQAPDERNYHVFYCMLEGMNEEEKKKLGLGQAADYNYLAMGNCITCEGRVDSQEYAN IRSAMKVLMFTDTENWEISKLLAAILHMGNLQYEARTFENLDACEVLFSPSLATAASLLE VNPPDLMSCLTSRTLITRGETVSTPLSREQALDVRDAFVKGIYGRLFVWIVEKINAAIYK PPPLEVKNSRRSIGLLDIFGFENFTVNSFEQLCINFANEHLQQFFVRHVFKLEQEEYDLE SIDWLHIEFTDNQEALDMIANRPMNVISLIDEESKFPKGTDATMLHKLNSQHKLNANYVP PKNSHETQFGINHFAGVVYYESQGFLEKNRDTLHGDIIQLVHSSRNKFIKQIFQADVAMG AETRKRSPTLSSQFKRSLELLMRTLGACQPFFVRCIKPNEFKKPMLFDRHLCVRQLRYSG MMETIRIRHAGYPIRYSFVEFVERYRVLLPGVKPAYKQGDLRGTCQRMAEAVLGTHDDWQ IGKTKIFLKDHHDMLLEVERDKAITDRVILLQKVIRGFKDRSNFLRLKSAATLIQRHWRG HHCRKNYELIRLGFLRLQALHRSRKLHKQYRLARQRIIEFQARCRAYLVRKAFRHRLWAV ITVQAYARGMIARRLHRRLRVEYQRRLEAERMRLAEEEKLRKEMSAKKAKEEAERKHQER LAQLAREDAERELKEKEEARRKKELLEQMEKARHEPINHSDMVDKMFGFLGTSGSLPGQE GQAPSGFEDLERGRREMVEEDVDAALPLPDEDEEDLSEYKFAKFAATYFQGTTTHSYTRR PLKQPLLYHDDEGDQLAALAVWITILRFMGDLPEPKYHTAMSDGSEKIPVMTKIYETLGK KTYKRELQALQGEGETQLPEGQKKTSVRHKLVHLTLKKKSKLTEEVTKRLNDGESTVQGN SMLEDRPTSNLEKLHFIIGNGILRPALRDEIYCQISKQLTHNPSKSSYARGWILVSLCVG CFAPSEKFVKYLRNFIHGGPPGYAPYCEERLRRTFVNGTRTQPPSWLELQATKSKKPIML PVTFMDGTTKTLLTDSATTARELCNALADKISLKDRFGFSLYIALFDKVSSLGSGSDHVM DAISQCEQYAKEQGAQERNAPWRLFFRKEVFTPWHNPSEDNVATNLIYQQVVRGVKFGEY RCEKEDDLAELASQQYFVDYGSEMILERLLSLVPTYIPDREITPLKNLEKWAQLAIAAHK KGIYAQRRTDSQKVKEDVVNYARFKWPLLFSRFYEAYKFSGPPLPKSDVIVAVNWTGVYF VDEQEQVLLELSFPEIMAVSSSRGTKMMAPSFTLATIKGDEYTFTSSNAEDIRDLVVTFL EGLRKRSKYVVALQDNPNPAGEESGFLSFAKGDLIILDHDTGEQVMNSGWANGINERTKQ RGDFPTDCVYVMPTVTLPPREIVALVTMTPDQRQDVVRLLQLRTAEPEVRAKPYTLEEFS YDYFRPPPKHTLSRVMVSKARGKDRLWSHTREPLKQALLKKILGSEELSQEACMAFVAVL KYMGDYPSKRMRSVNELTDQIFEWALKAEPLKDEAYVQILKQLTDNHIRYSEERGWELLW LCTGLFPPSNILLPHVQRFLQSRKHCPLAIDCLQRLQKALRNGSRKYPPHLVEVEAIQHK TTQIFHKVYFPDDTDEAFEVESSTKAKDFCQNIASRLLLKSSEGFSLFVKIADKVISVPE NDFFFDFVRHLTDWIKKARPIKDGIVPSLTYQVFFMKKLWTTTVPGKDPMADSIFHYYQE LPKYLRGYHKCTREEVLQLGALIYRVKFEEDKSYFPSIPKLLRELVPQDLIRQVSPDDWK RSIVAYFNKHAGKSKEEAKLAFLKLIFKWPTFGSAFFEVKQTTEPNFPEILLIAINKYGV SLIDPRTKDILTTHPFTKISNWSSGNTYFHITIGNLVRGSKLLCETSLGYKMDDLLTSYI SQMLTAMSKQRNSRSGR >ENSMUSP00000146165.1 pep:known chromosome:GRCm38:7:98051490:98107147:-1 gene:ENSMUSG00000030761.15 transcript:ENSMUST00000205746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo7a description:myosin VIIA [Source:MGI Symbol;Acc:MGI:104510] MDLKSGQEFDVPIGAVVKLCDSGQIQVVDDEDNEHWISPQNATHIKPMHPTSVHGVEDMI RLGDLNEAGILRNLLIRYRDHLIYTYTGSILVAVNPYQLLSIYSPEHIRQYTNKKIGEMP PHIFAIADNCYFNMKRNNRDQCCIISGESGAGKTESTKLILQFLAAISGQHSWIEQQVLE ATPILEAFGNAKTIRNDNSSRFGKYIDIHFNKRGAIEGAKIEQYLLEKSRVCRQAPDERN YHVFYCMLEGMNEEEKKKLGLGQAADYNYLAMGNCITCEGRVDSQEYANIRSAMKVLMFT DTENWEISKLLAAILHMGNLQYEARTFENLDACEVLFSPSLATAASLLEVNPPDLMSCLT SRTLITRGETVSTPLSREQALDVRDAFVKGIYGRLFVWIVEKINAAIYKPPPLEVKNSRR SIGLLDIFGFENFTVNSFEQLCINFANEHLQQFFVRHVFKLEQEEYDLESIDWLHIEFTD NQEALDMIANRPMNVISLIDEESKFPKGTDATMLHKLNSQHKLNANYVPPKNSHETQFGI NHFAGVVYYESQGFLEKNRDTLHGDIIQLVHSSRNKFIKQIFQADVAMGAETRKRSPTLS SQFKRSLELLMRTLGACQPFFVRCIKPNEFKKPMLFDRHLCVRQLRYSGMMETIRIRHAG YPIRYSFVEFVERYRVLLPGVKPAYKQGDLRGTCQRMAEAVLGTHDDWQIGKTKIFLKDH HDMLLEVERDKAITDRVILLQKVIRGFKDRSNFLRLKSAATLIQRHWRGHHCRKNYELIR LGFLRLQALHRSRKLHKQYRLARQRIIEFQARCRAYLVRKAFRHRLWAVITVQAYARGMI ARRLHRRLRVEYQRRLEAERMRLAEEEKLRKEMSAKKAKEEAERKHQERLAQLAREDAER ELKEKEEARRKKELLEQMEKARHEPINHSDMVDKMFGFLGTSGSLPGQEGQAPSGFEDLE RGRREMVEEDVDAALPLPDEDEEDLSEYKFAKFAATYFQGTTTHSYTRRPLKQPLLYHDD EGDQLAALAVWITILRFMGDLPEPKYHTAMSDGSEKIPVMTKIYETLGKKTYKRELQALQ GEGETQLPEGQKKTSVRHKLVHLTLKKKSKLTEEVTKRLNDGESTVQGNSMLEDRPTSNL EKLHFIIGNGILRPALRDEIYCQISKQLTHNPSKSSYARGWILVSLCVGCFAPSEKFVKY LRNFIHGGPPGYAPYCEERLRRTFVNGTRTQPPSWLELQATKSKKPIMLPVTFMDGTTKT LLTDSATTARELCNALADKISLKDRFGFSLYIALFDKVSSLGSGSDHVMDAISQCEQYAK EQGAQERNAPWRLFFRKEVFTPWHNPSEDNVATNLIYQQVVRGVKFGEYRCEKEDDLAEL ASQQYFVDYGSEMILERLLSLVPTYIPDREITPLKNLEKWAQLAIAAHKKGIYAQRRTDS QKVKEDVVNYARFKWPLLFSRFYEAYKFSGPPLPKSDVIVAVNWTGVYFVDEQEQVLLEL SFPEIMAVSSSRGTKMMAPSFTLATIKGDEYTFTSSNAEDIRDLVVTFLEGLRKRSKYVV ALQDNPNPAGEESGFLSFAKGDLIILDHDTGEQVMNSGWANGINERTKQRGDFPTDCVYV MPTVTLPPREIVALVTMTPDQRQDVVRLLQLRTAEPEVRAKPYTLEEFSYDYFRPPPKHT LSRVMVSKARGKDRLWSHTREPLKQALLKKILGSEELSQEACMAFVAVLKYMGDYPSKRM RSVNELTDQIFEWALKAEPLKDEAYVQILKQLTDNHIRYSEERGWELLWLCTGLFPPSNI LLPHVQRFLQSRKHCPLAIDCLQRLQKALRNGSRKYPPHLVEVEAIQHKTTQIFHKVYFP DDTDEAFEVESSTKAKDFCQNIASRLLLKSSEGFSLFVKIADKVISVPENDFFFDFVRHL TDWIKKARPIKDGIVPSLTYQVFFMKKLWTTTVPGKDPMADSIFHYYQELPKYLRGYHKC TREEVLQLGALIYRVKFEEDKSYFPSIPKLLRELVPQDLIRQVSPDDWKRSIVAYFNKHA GKSKEEAKLAFLKLIFKWPTFGSAFFEQTTEPNFPEILLIAINKYGVSLIDPRTKDILTT HPFTKISNWSSGNTYFHITIGNLVRGSKLLCETSLGYKMDDLLTSYISQMLTAMSKQRNS RSGR >ENSMUSP00000146114.1 pep:known chromosome:GRCm38:7:98051063:98069377:-1 gene:ENSMUSG00000030761.15 transcript:ENSMUST00000156992.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myo7a description:myosin VIIA [Source:MGI Symbol;Acc:MGI:104510] KKGIYAQRRTDSQKVKEDVVNYARFKWPLLFSRFYEAYKFSGPPLPKSDVIVAVNWTGVY FVDEQEQVLLELSFPEIMAVSSSRGTKMMAPSFTLATIKGDEYTFTSSNAEDIRDLVVTF LEGLRKRSKYVVALQDNPNPAGEESGFLSFAKGDLIILDHDTGEQVMNSGWANGINERTK QRGDFPTDCVYVMPTVTLPPREIVAPALSDSSGPS >ENSMUSP00000082046.4 pep:known chromosome:GRCm38:7:98051401:98109248:-1 gene:ENSMUSG00000030761.15 transcript:ENSMUST00000084979.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo7a description:myosin VIIA [Source:MGI Symbol;Acc:MGI:104510] MDLKSGQEFDVPIGAVVKLCDSGQIQVVDDEDNEHWISPQNATHIKPMHPTSVHGVEDMI RLGDLNEAGILRNLLIRYRDHLIYTYTGSILVAVNPYQLLSIYSPEHIRQYTNKKIGEMP PHIFAIADNCYFNMKRNNRDQCCIISGESGAGKTESTKLILQFLAAISGQHSWIEQQVLE ATPILEAFGNAKTIRNDNSSRFGKYIDIHFNKRGAIEGAKIEQYLLEKSRVCRQAPDERN YHVFYCMLEGMNEEEKKKLGLGQAADYNYLAMGNCITCEGRVDSQEYANIRSAMKVLMFT DTENWEISKLLAAILHMGNLQYEARTFENLDACEVLFSPSLATAASLLEVNPPDLMSCLT SRTLITRGETVSTPLSREQALDVRDAFVKGIYGRLFVWIVEKINAAIYKPPPLEVKNSRR SIGLLDIFGFENFTVNSFEQLCINFANEHLQQFFVRHVFKLEQEEYDLESIDWLHIEFTD NQEALDMIANRPMNVISLIDEESKFPKGTDATMLHKLNSQHKLNANYVPPKNSHETQFGI NHFAGVVYYESQGFLEKNRDTLHGDIIQLVHSSRNKFIKQIFQADVAMGAETRKRSPTLS SQFKRSLELLMRTLGACQPFFVRCIKPNEFKKPMLFDRHLCVRQLRYSGMMETIRIRHAG YPIRYSFVEFVERYRVLLPGVKPAYKQGDLRGTCQRMAEAVLGTHDDWQIGKTKIFLKDH HDMLLEVERDKAITDRVILLQKVIRGFKDRSNFLRLKSAATLIQRHWRGHHCRKNYELIR LGFLRLQALHRSRKLHKQYRLARQRIIEFQARCRAYLVRKAFRHRLWAVITVQAYARGMI ARRLHRRLRVEYQRRLEAERMRLAEEEKLRKEMSAKKAKEEAERKHQERLAQLAREDAER ELKEKEEARRKKELLEQMEKARHEPINHSDMVDKMFGFLGTSGSLPGQEGQAPSGFEDLE RGRREMVEEDVDAALPLPDEDEEDLSEYKFAKFAATYFQGTTTHSYTRRPLKQPLLYHDD EGDQLAALAVWITILRFMGDLPEPKYHTAMSDGSEKIPVMTKIYETLGKKTYKRELQALQ GEGETQLPEGQKKTSVRHKLVHLTLKKKSKLTEEVTKRLNDGESTVQGNSMLEDRPTSNL EKLHFIIGNGILRPALRDEIYCQISKQLTHNPSKSSYARGWILVSLCVGCFAPSEKFVKY LRNFIHGGPPGYAPYCEERLRRTFVNGTRTQPPSWLELQATKSKKPIMLPVTFMDGTTKT LLTDSATTARELCNALADKISLKDRFGFSLYIALFDKVSSLGSGSDHVMDAISQCEQYAK EQGAQERNAPWRLFFRKEVFTPWHNPSEDNVATNLIYQQVVRGVKFGEYRCEKEDDLAEL ASQQYFVDYGSEMILERLLSLVPTYIPDREITPLKNLEKWAQLAIAAHKKGIYAQRRTDS QKVKEDVVNYARFKWPLLFSRFYEAYKFSGPPLPKSDVIVAVNWTGVYFVDEQEQVLLEL SFPEIMAVSSSRGTKMMAPSFTLATIKGDEYTFTSSNAEDIRDLVVTFLEGLRKRSKYVV ALQDNPNPAGEESGFLSFAKGDLIILDHDTGEQVMNSGWANGINERTKQRGDFPTDCVYV MPTVTLPPREIVALVTMTPDQRQDVVRLLQLRTAEPEVRAKPYTLEEFSYDYFRPPPKHT LSRVMVSKARGKDRLWSHTREPLKQALLKKILGSEELSQEACMAFVAVLKYMGDYPSKRM RSVNELTDQIFEWALKAEPLKDEAYVQILKQLTDNHIRYSEERGWELLWLCTGLFPPSNI LLPHVQRFLQSRKHCPLAIDCLQRLQKALRNGSRKYPPHLVEVEAIQHKTTQIFHKVYFP DDTDEAFEVESSTKAKDFCQNIASRLLLKSSEGFSLFVKIADKVISVPENDFFFDFVRHL TDWIKKARPIKDGIVPSLTYQVFFMKKLWTTTVPGKDPMADSIFHYYQELPKYLRGYHKC TREEVLQLGALIYRVKFEEDKSYFPSIPKLLRELVPQDLIRQVSPDDWKRSIVAYFNKHA GKSKEEAKLAFLKLIFKWPTFGSAFFEVKQTTEPNFPEILLIAINKYGVSLIDPRTKDIL TTHPFTKISNWSSGNTYFHITIGNLVRGSKLLCETSLGYKMDDLLTSYISQMLTAMSKQR NSRSGR >ENSMUSP00000102739.1 pep:known chromosome:GRCm38:7:98051401:98109248:-1 gene:ENSMUSG00000030761.15 transcript:ENSMUST00000107122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo7a description:myosin VIIA [Source:MGI Symbol;Acc:MGI:104510] MDLKSGQEFDVPIGAVVKLCDSGQIQVVDDEDNEHWISPQNATHIKPMHPTSVHGVEDMI RLGDLNEAGILRNLLIRYRDHLIYTSCGGRTYTGSILVAVNPYQLLSIYSPEHIRQYTNK KIGEMPPHIFAIADNCYFNMKRNNRDQCCIISGESGAGKTESTKLILQFLAAISGQHSWI EQQVLEATPILEAFGNAKTIRNDNSSRFGKYIDIHFNKRGAIEGAKIEQYLLEKSRVCRQ APDERNYHVFYCMLEGMNEEEKKKLGLGQAADYNYLAMGNCITCEGRVDSQEYANIRSAM KVLMFTDTENWEISKLLAAILHMGNLQYEARTFENLDACEVLFSPSLATAASLLEVNPPD LMSCLTSRTLITRGETVSTPLSREQALDVRDAFVKGIYGRLFVWIVEKINAAIYKPPPLE VKNSRRSIGLLDIFGFENFTVNSFEQLCINFANEHLQQFFVRHVFKLEQEEYDLESIDWL HIEFTDNQEALDMIANRPMNVISLIDEESKFPKGTDATMLHKLNSQHKLNANYVPPKNSH ETQFGINHFAGVVYYESQGFLEKNRDTLHGDIIQLVHSSRNKFIKQIFQADVAMGAETRK RSPTLSSQFKRSLELLMRTLGACQPFFVRCIKPNEFKKPMLFDRHLCVRQLRYSGMMETI RIRHAGYPIRYSFVEFVERYRVLLPGVKPAYKQGDLRGTCQRMAEAVLGTHDDWQIGKTK IFLKDHHDMLLEVERDKAITDRVILLQKVIRGFKDRSNFLRLKSAATLIQRHWRGHHCRK NYELIRLGFLRLQALHRSRKLHKQYRLARQRIIEFQARCRAYLVRKAFRHRLWAVITVQA YARGMIARRLHRRLRVEYQRRLEAERMRLAEEEKLRKEMSAKKAKEEAERKHQERLAQLA REDAERELKEKEEARRKKELLEQMEKARHEPINHSDMVDKMFGFLGTSGSLPGQEGQAPS GFEDLERGRREMVEEDVDAALPLPDEDEEDLSEYKFAKFAATYFQGTTTHSYTRRPLKQP LLYHDDEGDQLAALAVWITILRFMGDLPEPKYHTAMSDGSEKIPVMTKIYETLGKKTYKR ELQALQGEGETQLPEGQKKTSVRHKLVHLTLKKKSKLTEEVTKRLNDGESTVQGNSMLED RPTSNLEKLHFIIGNGILRPALRDEIYCQISKQLTHNPSKSSYARGWILVSLCVGCFAPS EKFVKYLRNFIHGGPPGYAPYCEERLRRTFVNGTRTQPPSWLELQATKSKKPIMLPVTFM DGTTKTLLTDSATTARELCNALADKISLKDRFGFSLYIALFDKVSSLGSGSDHVMDAISQ CEQYAKEQGAQERNAPWRLFFRKEVFTPWHNPSEDNVATNLIYQQVVRGVKFGEYRCEKE DDLAELASQQYFVDYGSEMILERLLSLVPTYIPDREITPLKNLEKWAQLAIAAHKKGIYA QRRTDSQKVKEDVVNYARFKWPLLFSRFYEAYKFSGPPLPKSDVIVAVNWTGVYFVDEQE QVLLELSFPEIMAVSSSRGTKMMAPSFTLATIKGDEYTFTSSNAEDIRDLVVTFLEGLRK RSKYVVALQDNPNPAGEESGFLSFAKGDLIILDHDTGEQVMNSGWANGINERTKQRGDFP TDCVYVMPTVTLPPREIVALVTMTPDQRQDVVRLLQLRTAEPEVRAKPYTLEEFSYDYFR PPPKHTLSRVMVSKARGKDRLWSHTREPLKQALLKKILGSEELSQEACMAFVAVLKYMGD YPSKRMRSVNELTDQIFEWALKAEPLKDEAYVQILKQLTDNHIRYSEERGWELLWLCTGL FPPSNILLPHVQRFLQSRKHCPLAIDCLQRLQKALRNGSRKYPPHLVEVEAIQHKTTQIF HKVYFPDDTDEAFEVESSTKAKDFCQNIASRLLLKSSEGFSLFVKIADKVISVPENDFFF DFVRHLTDWIKKARPIKDGIVPSLTYQVFFMKKLWTTTVPGKDPMADSIFHYYQELPKYL RGYHKCTREEVLQLGALIYRVKFEEDKSYFPSIPKLLRELVPQDLIRQVSPDDWKRSIVA YFNKHAGKSKEEAKLAFLKLIFKWPTFGSAFFEVKQTTEPNFPEILLIAINKYGVSLIDP RTKDILTTHPFTKISNWSSGNTYFHITIGNLVRGSKLLCETSLGYKMDDLLTSYISQMLT AMSKQRNSRSGR >ENSMUSP00000102745.1 pep:known chromosome:GRCm38:7:98051060:98119524:-1 gene:ENSMUSG00000030761.15 transcript:ENSMUST00000107128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo7a description:myosin VIIA [Source:MGI Symbol;Acc:MGI:104510] MVILQKGDYVWMDLKSGQEFDVPIGAVVKLCDSGQIQVVDDEDNEHWISPQNATHIKPMH PTSVHGVEDMIRLGDLNEAGILRNLLIRYRDHLIYTYTGSILVAVNPYQLLSIYSPEHIR QYTNKKIGEMPPHIFAIADNCYFNMKRNNRDQCCIISGESGAGKTESTKLILQFLAAISG QHSWIEQQVLEATPILEAFGNAKTIRNDNSSRFGKYIDIHFNKRGAIEGAKIEQYLLEKS RVCRQAPDERNYHVFYCMLEGMNEEEKKKLGLGQAADYNYLAMGNCITCEGRVDSQEYAN IRSAMKVLMFTDTENWEISKLLAAILHMGNLQYEARTFENLDACEVLFSPSLATAASLLE VNPPDLMSCLTSRTLITRGETVSTPLSREQALDVRDAFVKGIYGRLFVWIVEKINAAIYK PPPLEVKNSRRSIGLLDIFGFENFTVNSFEQLCINFANEHLQQFFVRHVFKLEQEEYDLE SIDWLHIEFTDNQEALDMIANRPMNVISLIDEESKFPKGTDATMLHKLNSQHKLNANYVP PKNSHETQFGINHFAGVVYYESQGFLEKNRDTLHGDIIQLVHSSRNKFIKQIFQADVAMG AETRKRSPTLSSQFKRSLELLMRTLGACQPFFVRCIKPNEFKKPMLFDRHLCVRQLRYSG MMETIRIRHAGYPIRYSFVEFVERYRVLLPGVKPAYKQGDLRGTCQRMAEAVLGTHDDWQ IGKTKIFLKDHHDMLLEVERDKAITDRVILLQKVIRGFKDRSNFLRLKSAATLIQRHWRG HHCRKNYELIRLGFLRLQALHRSRKLHKQYRLARQRIIEFQARCRAYLVRKAFRHRLWAV ITVQAYARGMIARRLHRRLRVEYQRRLEAERMRLAEEEKLRKEMSAKKAKEEAERKHQER LAQLAREDAERELKEKEEARRKKELLEQMEKARHEPINHSDMVDKMFGFLGTSGSLPGQE GQAPSGFEDLERGRREMVEEDVDAALPLPDEDEEDLSEYKFAKFAATYFQGTTTHSYTRR PLKQPLLYHDDEGDQLAALAVWITILRFMGDLPEPKYHTAMSDGSEKIPVMTKIYETLGK KTYKRELQALQGEGETQLPEGQKKTSVRHKLVHLTLKKKSKLTEEVTKRLNDGESTVQGN SMLEDRPTSNLEKLHFIIGNGILRPALRDEIYCQISKQLTHNPSKSSYARGWILVSLCVG CFAPSEKFVKYLRNFIHGGPPGYAPYCEERLRRTFVNGTRTQPPSWLELQATKSKKPIML PVTFMDGTTKTLLTDSATTARELCNALADKISLKDRFGFSLYIALFDKVSSLGSGSDHVM DAISQCEQYAKEQGAQERNAPWRLFFRKEVFTPWHNPSEDNVATNLIYQQVVRGVKFGEY RCEKEDDLAELASQQYFVDYGSEMILERLLSLVPTYIPDREITPLKNLEKWAQLAIAAHK KGIYAQRRTDSQKVKEDVVNYARFKWPLLFSRFYEAYKFSGPPLPKSDVIVAVNWTGVYF VDEQEQVLLELSFPEIMAVSSSRECRVLLSLGCSDLGCATCQSGRAGLTPAGPCSPCWSC RGTKMMAPSFTLATIKGDEYTFTSSNAEDIRDLVVTFLEGLRKRSKYVVALQDNPNPAGE ESGFLSFAKGDLIILDHDTGEQVMNSGWANGINERTKQRGDFPTDCVYVMPTVTLPPREI VALVTMTPDQRQDVVRLLQLRTAEPEVRAKPYTLEEFSYDYFRPPPKHTLSRVMVSKARG KDRLWSHTREPLKQALLKKILGSEELSQEACMAFVAVLKYMGDYPSKRMRSVNELTDQIF EWALKAEPLKDEAYVQILKQLTDNHIRYSEERGWELLWLCTGLFPPSNILLPHVQRFLQS RKHCPLAIDCLQRLQKALRNGSRKYPPHLVEVEAIQHKTTQIFHKVYFPDDTDEAFEVES STKAKDFCQNIASRLLLKSSEGFSLFVKIADKVISVPENDFFFDFVRHLTDWIKKARPIK DGIVPSLTYQVFFMKKLWTTTVPGKDPMADSIFHYYQELPKYLRGYHKCTREEVLQLGAL IYRVKFEEDKSYFPSIPKLLRELVPQDLIRQVSPDDWKRSIVAYFNKHAGKSKEEAKLAF LKLIFKWPTFGSAFFEVKQTTEPNFPEILLIAINKYGVSLIDPRTKDILTTHPFTKISNW SSGNTYFHITIGNLVRGSKLLCETSLGYKMDDLLTSYISQMLTAMSKQRNSRSGR >ENSMUSP00000108460.1 pep:known chromosome:GRCm38:9:101938481:101943219:1 gene:ENSMUSG00000057710.6 transcript:ENSMUST00000112841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9630041A04Rik description:RIKEN cDNA 9630041A04 gene [Source:MGI Symbol;Acc:MGI:3045242] MLASQPRGPGFDSQKQYKEGQICWPALVIPMLDKGICLGLMVSQPKLLGGLVANNRPHLK TVWTTPELRKSIRLEDADQPHEGTEQEVDDIAAGKAFTSQIRPESVKLLLAPSLPALNSQ WKRLLLAAELQLSLCNKEVLPRMPGNCRKNGLSTFGKSSSGPAAAFLLEAKLLLETLQFI PLLRTMMQEMEKEKDSEHLVGCWDR >ENSMUSP00000082261.5 pep:known chromosome:GRCm38:9:101922571:102354693:-1 gene:ENSMUSG00000032537.14 transcript:ENSMUST00000085169.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb1 description:Eph receptor B1 [Source:MGI Symbol;Acc:MGI:1096337] MALDCLLLFLLASAVAAMEETLMDTRTATAELGWTANPASGWEEVSGYDENLNTIRTYQV CNVFEPNQNNWLLTTFINRRGAHRIYTEMRFTVRDCSSLPNVPGSCKETFNLYYYETDSV IATKKSAFWSEAPYLKVDTIAADESFSQVDFGGRLMKVNTEVRSFGPLTRNGFYLAFQDY GACMSLLSVRVFFKKCPSIVQNFAVFPETMTGAESTSLVIARGTCIPNAEEVDVPIKLYC NGDGEWMVPIGRCTCKPGYEPENSVACKACPAGTFKASQEAEGCSHCPSNSRSPSEASPI CTCRTGYYRADFDPPEVACTSVPSGPRNVISIVNETSIILEWHPPRETGGRDDVTYNIIC KKCRADRRSCSRCDDNVEFVPRQLGLTECRVSISSLWAHTPYTFDIQAINGVSSKSPFPP QHVSVNITTNQAAPSTVPIMHQVSATMRSITLSWPQPEQPNGIILDYEIRYYEKEHNEFN SSMARSQTNTARIDGLRPGMVYVVQVRARTVAGYGKFSGKMCFQTLTDDDYKSELREQLP LIAGSAAAGVVFVVSLVAISIVCSRKRAYSKEAAYSDKLQHYSTGRGEFGEVYKGRLKLP GKREIYVAIKTLKAGYSEKQRRDFLSEASIMGQFDHPNIIRLEGVVTKSRPVMIITEFME NGALDSFLRQNDGQFTVIQLVGMLRGIAAGMKYLSEMNYVHRDLAARNILVNSNLVCKVS DFGLSRYLQDDTSDPTYTSSLGGKIPVRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSFG ERPYWDMSNQDVINAIEQDYRLPPPMDCPAALHQLMLDCWQKDRNSRPRFAEIVNTLDKM IRNPASLKTVATITAVPSQPLLDRSIPDFTAFTTVDDWLSAIKMVQYRDSFLTAGFTSLQ LVTQMTSEDLLRIGVTLAGHQKKILSSIHSMRVQMNQSPSVMA >ENSMUSP00000139470.1 pep:known chromosome:GRCm38:9:102039192:102354693:-1 gene:ENSMUSG00000032537.14 transcript:ENSMUST00000149800.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb1 description:Eph receptor B1 [Source:MGI Symbol;Acc:MGI:1096337] MALDCLLLFLLASAVAAMEETLMDTRTATAELGWTANPASGWEEVSGYDENLNTIRTYQV CNVFEPNQNNWLLTTFINRRGAHRIYTEMRFTVRDCSSLPNVPGSCKETFNLYYYETDSV IATKKSAFWSEAPYLKVDTIAADESFSQVDFGGRLMKVNTEVRSFGPLTRNGFYLAFQDY GACMSLLSVRVFFKKCPSIVQNFAVFPETMTGAESTSLVIARGTCIPNAEEVDVPIKLYC NGDGEWMVPIGRCTCKPGYEPENSVACKACPAGTFKASQEAEGCSHCPSNSRSPSEASPI CTCRTGYYRADFDPPEVACTSVPSGPRNVISIVNETSIILEWHPPRETGGRDDVTYNIIC KKCRADRRSCSRCDDNVEFVPRQLGLTECRVSISSLWAHTPYTFDIQAINGVSSKSPFPP QHVSVNITTNQAGESGGFDVYICLCGWLFIYLYVGS >ENSMUSP00000035129.7 pep:known chromosome:GRCm38:9:101922128:102354693:-1 gene:ENSMUSG00000032537.14 transcript:ENSMUST00000035129.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephb1 description:Eph receptor B1 [Source:MGI Symbol;Acc:MGI:1096337] MALDCLLLFLLASAVAAMEETLMDTRTATAELGWTANPASGWEEVSGYDENLNTIRTYQV CNVFEPNQNNWLLTTFINRRGAHRIYTEMRFTVRDCSSLPNVPGSCKETFNLYYYETDSV IATKKSAFWSEAPYLKVDTIAADESFSQVDFGGRLMKVNTEVRSFGPLTRNGFYLAFQDY GACMSLLSVRVFFKKCPSIVQNFAVFPETMTGAESTSLVIARGTCIPNAEEVDVPIKLYC NGDGEWMVPIGRCTCKPGYEPENSVACKACPAGTFKASQEAEGCSHCPSNSRSPSEASPI CTCRTGYYRADFDPPEVACTSVPSGPRNVISIVNETSIILEWHPPRETGGRDDVTYNIIC KKCRADRRSCSRCDDNVEFVPRQLGLTECRVSISSLWAHTPYTFDIQAINGVSSKSPFPP QHVSVNITTNQAAPSTVPIMHQVSATMRSITLSWPQPEQPNGIILDYEIRYYEKEHNEFN SSMARSQTNTARIDGLRPGMVYVVQVRARTVAGYGKFSGKMCFQTLTDDDYKSELREQLP LIAGSAAAGVVFVVSLVAISIVCSRKRAYSKEAAYSDKLQHYSTGRGSPGMKIYIDPFTY EDPNEAVREFAKEIDVSFVKIEEVIGAGEFGEVYKGRLKLPGKREIYVAIKTLKAGYSEK QRRDFLSEASIMGQFDHPNIIRLEGVVTKSRPVMIITEFMENGALDSFLRQNDGQFTVIQ LVGMLRGIAAGMKYLSEMNYVHRDLAARNILVNSNLVCKVSDFGLSRYLQDDTSDPTYTS SLGGKIPVRWTAPEAIAYRKFTSASDVWSYGIVMWEVMSFGERPYWDMSNQDVINAIEQD YRLPPPMDCPAALHQLMLDCWQKDRNSRPRFAEIVNTLDKMIRNPASLKTVATITAVPSQ PLLDRSIPDFTAFTTVDDWLSAIKMVQYRDSFLTAGFTSLQLVTQMTSEDLLRIGVTLAG HQKKILSSIHSMRVQMNQSPSVMA >ENSMUSP00000136769.1 pep:known chromosome:GRCm38:9:112180032:112187926:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000178410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQTL >ENSMUSP00000107503.2 pep:known chromosome:GRCm38:9:112065091:112187766:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000111872.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADS NNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIPEQRFCEHLKDE KSEESQKRFILKRDNSSIDKEDNQSVCSQESLFLDNSRLQEDMHICNETYKKRQLFRAHR DSSGRTSGSRQSSSETELRWPDHQRAWSSTDSDSSNRNLKPTMTKTASFGGITVLTRGDS TSSTRSAGKLSKTGSESSSSAGSSGSLSRTHPQSTALTSSVAAGSPGCMAYSENGMGGQV PPSSTSYILLPLESATGIPPGSILLNPHTGQPFVNPDGTPAIYNPPGSQQTLRGTVGGQP QQPPQQQPSPQPQQQVQASQPQMAGPLVTQSVQSLQPSSQSVQYPAVSFPPQHLLPMSPT QHFPLREELAAQFSQLSMSRQSSGDTPEPPSGTVYPASLLPQTAQPQSYVITSAGQQLST GGFSDSGPPISQQVLQAPPSPQGFVQQPPPAQMSVYYYPSGQYPTSTSQQYRPLASVQYS AQRSQQIPQTTQQAGYQPVLSGQQGFQGMMGVQQSAHSQGVMSSQQGAPVHGVMVSYPTM SSYQVPMTQGSQAVPQQTYQPPIMLPSQAGQGSLPATGMPVYCNVTPPNPQNNLRLMGPH CPSSTVPVMSASCRTNCGNVSNAGWQVKF >ENSMUSP00000069264.5 pep:known chromosome:GRCm38:9:112065091:112185949:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000070218.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADS NNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIPEQRFCEHLKDE KSEESQKRFILKRDNSSIDKEDNQSVCSQESLFLDNSRLQEDMHICNETYKKRQLFRAHR DSSGRTSGSRQSSSETELRWPDHQRAWSSTDSDSSNRNLKPTMTKTASFGGITVLTRGDS TSSTRSAGKLSKTGSESSSSAGSSGSLSRTHPQSTALTSSVAAGSPGCMAYSENGMGGQV PPSSTSYILLPLESATGIPPGSILLNPHTGQPFVNPDGTPAIYNPPGSQQTLRGTVGGQP QQPPQQQPSPQPQQQVQASQPQMAGPLVTQSVQSLQPSSQSVQYPAVSFPPQHLLPMSPT QHFPLREELAAQFSQLSMSRQSSGDTPEPPSGTVYPASLLPQTAQPQSYVITSAGQQLST GGFSDSGPPISQQVLQAPPSPQGFVQQPPPAQMSVYYYPSGQYPTSTSQQYRPLASVQYS AQRSQQIPQTTQQAGYQPVLSGQQGFQGMMGVQQSAHSQGVMSSQQGAPVHGVMVSYPTM SSYQVPMTQGSQAVPQQTYQPPIMLPSQAGQGSLPATGMPVYCNVTPPNPQNNLRLMGPH CPSSTVPVMSASCRTNCGNVSNAGWQVKF >ENSMUSP00000125862.2 pep:known chromosome:GRCm38:9:112065091:112187766:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000164754.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADS NNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIPEQRFCEHLKDE KSEESQKRFILKRDNSSIDKEDNQNRMHPFRDDRRSKSIEEREEEYQRVRERIFAHDSVC SQESLFLDNSRLQEDMHICNETYKKRQLFRAHRDSSGRTSGSRQSSSETELRWPDHQRAW SSTDSDSSNRNLKPTMTKTASFGGITVLTRGDSTSSTRSAGKLSKTGSESSSSAGSSGSL SRTHPQSTALTSSVAAGSPGCMAYSENGMGGQVPPSSTSYILLPLESATGIPPGSILLNP HTGQPFVNPDGTPAIYNPPGSQQTLRGTVGGQPQQPPQQQPSPQPQQQVQASQPQMAGPL VTQREELAAQFSQLSMSRQSSGDTPEPPSGTVYPASLLPQTAQPQSYVITSAGQQLSTGG FSDSGPPISQQVLQAPPSPQGFVQQPPPAQMSVYYYPSGQYPTSTSQQYRPLASVQYSAQ RSQQIPQTTQQAGYQPVLSGQQGFQGMMGVQQSAHSQGVMSSQQGAPVHGVMVSYPTMSS YQVPMTQGSQAVPQQTYQPPIMLPSQAGQGSLPATGMPVYCNVTPPNPQNNLRLMGPHCP SSTVPVMSASCRTNCGNVSNAGWQVKF >ENSMUSP00000130558.3 pep:known chromosome:GRCm38:9:112180032:112187929:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000172380.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQTL >ENSMUSP00000124550.1 pep:known chromosome:GRCm38:9:112179141:112233815:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000160478.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFP >ENSMUSP00000123937.1 pep:known chromosome:GRCm38:9:112179103:112217326:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000161097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDD >ENSMUSP00000124670.1 pep:known chromosome:GRCm38:9:112179057:112217326:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000162796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLS >ENSMUSP00000125282.1 pep:known chromosome:GRCm38:9:112160938:112217351:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000161412.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADS NNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIVQDTGWRCKHAH RTGAVCTN >ENSMUSP00000123883.1 pep:known chromosome:GRCm38:9:112155476:112235018:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000159055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADS NNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIPEQRFCEHLKDE KSEESQKRFILKRDNSSIDKEDNQQNRMHPFRDDRRSKS >ENSMUSP00000125095.1 pep:known chromosome:GRCm38:9:112116220:112217261:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000159451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADS NNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRMAHRDSSGRTSGS RQSSSETELRWPDHQRAWSSTDSDSSNRNLKPTMTKTASFGGITVLTRGDSTSSTRSAGK LSKTGSESSSSAGSSGSLSRTHPQSTALTSSVAAGSPGCMAYSENGMGGQVPPSSTSYIL LPLESATGIPPGSILLNPHTGQPFVNPDGTPAIYNPPGSQQTLRGTVGGQPQQPPQQQPS PQPQQQVQASQPQMAGPLVTQREELAAQFSQLSMSRQSSGDTPEPPSGTVYPASLLPQTA QPQSYVITSAGQQLSTGGFSDSGPPISQQVLQAPPSPQGFVQQPPPAQMSVYYYPSGQYP TSTSQQYRPLASVQYSAQRSQQIPQTTQQAGLMIAPDTWPTVPAELALQKVSLLWISEGR W >ENSMUSP00000123715.1 pep:known chromosome:GRCm38:9:112065737:112187647:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000159246.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADS NNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIQFAHRKAYFWTT GLTETAQEELPGAGRAAQRLSSGGQTTSGLGAAQIRTVPTAI >ENSMUSP00000035085.5 pep:known chromosome:GRCm38:9:112065260:112232501:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000035085.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADS NNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIPEQRFCEHLKDE KSEESQKRFILKRDNSSIDKEDNQSVCSQESLFLDNRAHRDSSGRTSGSRQSSSETELRW PDHQRAWSSTDSDSSNRNLKPTMTKTASFGGITVLTRGDSTSSTRSAGKLSKTGSESSSS AGSSGSLSRTHPQSTALTSSVAAGSPGCMAYSENGMGGQVPPSSTSYILLPLESATGIPP GSILLNPHTGQPFVNPDGTPAIYNPPGSQQTLRGTVGGQPQQPPQQQPSPQPQQQVQASQ PQMAGPLVTQSVQSLQPSSQSVQYPAVSFPPQHLLPMSPTQHFPLREELAAQFSQLSMSR QSSGDTPEPPSGTVYPASLLPQTAQPQSYVITSAGQQLSTGGFSDSGPPISQQVLQAPPS PQGFVQQPPPAQMSVYYYPSGQYPTSTSQQYRPLASVQYSAQRSQQIPQTTQQAGYQPVL SGQQGFQGMMGVQQSAHSQGVMSSQQGAPVHGVMVSYPTMSSYQVPMTQGSQAVPQQTYQ PPIMLPSQAGQGSLPATGMPVYCNVTPPNPQNNLRLMGPHCPSSTVPVMSASCRTNCGNV SNAGWQVKF >ENSMUSP00000124502.1 pep:known chromosome:GRCm38:9:112065258:112235018:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000162097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADS NNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIPEQRFCEHLKDE KSEESQKRFILKRDNSSIDKEDNQNRMHPFRDDRRSKSIEEREEEYQRVRERIFAHDSVC SQESLFLDNSRLQEDMHICNETYKKRQLFRAHRDSSGRTSGSRQSSSETELRWPDHQRAW SSTDSDSSNRNLKPTMTKTASFGGITVLTRGDSTSSTRSAGKLSKTGSESSSSAGSSGSL SRTHPQSTALTSSVAAGSPGCMAYSENGMGGQVPPSSTSYILLPLESATGIPPGSILLNP HTGQPFVNPDGTPAIYNPPGSQQTLRGTVGGQPQQPPQQQPSPQPQQQVQASQPQMAGPL VTQREELAAQFSQLSMSRQSSGDTPEPPSGTVYPASLLPQTAQPQSYVITSAGQQLSTGG FSDSGPPISQQVLQAPPSPQGFVQQPPPAQMSVYYYPSGQYPTSTSQQYRPLASVQYSAQ RSQQIPQTTQQAGYQPVLSGQQGFQGMMGVQQSAHSQGVMSSQQGAPVHGVMVSYPTMSS YQVPMTQGSQAVPQQTYQPPIMLPSQAGQGSLPATGMPVYCNVTPPNPQNNLRLMGPHCP SSTVPVMSASCRTNCGNVSNAGWQVKF >ENSMUSP00000125684.1 pep:known chromosome:GRCm38:9:112065234:112185769:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000162065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] MSEQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAG KGKLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNR EKLSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADS NNHYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIPEQRFCEHLKDE KSEESQKRFILKRDNSSIDKEDNQSVCSQESLFLDNSRLQEDMHICNETYKKRQLFRAHR DSSGRTSGSRQSSSETELRWPDHQRAWSSTDSDSSNRNLKPTMTKTASFGGITVLTRGDS TSSTRSAGKLSKTGSESSSSAGSSGSLSRTHPQSTALTSSVAAGSPGCMAYSENGMGGQV PPSSTSYILLPLESATGIPPGSILLNPHTGQPFVNPDGTPAIYNPPGSQQTLRGTVGGQP QQPPQQQPSPQPQQQVQASQPQMAGPLVTQSVQSLQPSSQSVQYPAVSFPPQHLLPMSPT QHFPLREELAAQFSQLSMSRQSSGDTPEPPSGTVYPASLLPQTAQPQSYVITSAGQQLST GGFSDSGPPISQQVLQAPPSPQGFVQQPPPAQMSVYYYPSGQYPTSTSQQYRPLASVQYS AQRSQQIPQTTQQAGYQPVLSGQQGFQGMMGVQQSAHSQGVMSSQQGAPVHGVMVSYPTM SSYQVPMTQGSQAVPQQTYQPPIMLPSQAGQGSLPATGMPVYCNVTPPNPQNNLRLMGPH CPSSTVPVMSASCRTNCGNVSNAGWQVKF >ENSMUSP00000125146.1 pep:known chromosome:GRCm38:9:112065091:112185726:-1 gene:ENSMUSG00000032503.17 transcript:ENSMUST00000160240.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpp21 description:cyclic AMP-regulated phosphoprotein, 21 [Source:MGI Symbol;Acc:MGI:107562] XQGGLTPTILEEGQTEPESAPENGILKSESLDEEEKLELQRRLAAQNQERRKSKSGAGKG KLTRSLAVCEESSARSGGESHQDQESIHLQLSSFPSLQEEDKSRKDDSEREKEKDKNREK LSERPKIRMLSKDCSQEYTDSTGIDLHGFLINTLKNNSRDRMILLKMEQEMIDFIADSNN HYKKFPQMSSYQRMLVHRVAAYFGLDHNVDQTGKSVIINKTSSTRIPEQRFCEHLKDEKS EESQKRFILKRDNSSIDKEDNQSVCSQESLFLDNSRLQEDMHICNETYKKRQLFRAHRDS SGRTSGSRQSSSETELRWPDHQRAWSSTDSDSSNRNLKPTMTKTASFGGITVLTRGDSTS STRSAGKLSKTGSESSSSAGSSGSLSRTHPQSTALTSSVAAGSPGCMAYSENGMGGQVPP SSTSYILLPLESATGIPPGSILLNPHTGQPFVNPDGTPAIYNPPGSQQTLRGTVGGQPQQ PPQQQPSPQPQQQVQASQPQMAGPLVTQREELAAQFSQLSMSRQSSGDTPEPPSGTVYPA SLLPQTAQPQSYVITSAGQQLSTGGFSDSGPPISQQVLQAPPSPQGFVQQPPPAQMSVYY YPSGQYPTSTSQQYRPLASVQYSAQRSQQIPQTTQQAGYQPVLSGQQGFQGMMGVQQSAH SQGVMSSQQGAPVHGVMVSYPTMSSYQVPMTQGSQAVPQQTYQPPIMLPSQAGQGSLPAT GMPVYCNVTPPNPQNNLRLMGPHCPSSTVPVMSASCRTNCGNVSNAGWQVKF >ENSMUSP00000032512.8 pep:known chromosome:GRCm38:6:128609227:128622934:-1 gene:ENSMUSG00000030361.16 transcript:ENSMUST00000032512.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1a description:killer cell lectin-like receptor subfamily B member 1A [Source:MGI Symbol;Acc:MGI:107540] MHLLCTMDTARVYFGLKPPRTPGAWHESPPSLPPDACRCPRSHRLALKLSCAGLILLVVT LIGMSVLVRVLIQKPSIEKCYVLIQENLNKTTDCSAKLECPQDWLSHRDKCFHVSQVSNT WEEGLVDCDGKGATLMLIQDQEELRFLLDSIKEKYNSFWIGLRYTLPDMNWKWINGSTLN SDVLKITGDTENDSCAAISGDKVTFESCNSDNRWICQKELYHETLSNYVGYGH >ENSMUSP00000132390.2 pep:known chromosome:GRCm38:6:128609351:128622872:-1 gene:ENSMUSG00000030361.16 transcript:ENSMUST00000171306.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1a description:killer cell lectin-like receptor subfamily B member 1A [Source:MGI Symbol;Acc:MGI:107540] MHLLCTMDTARVYFGLKPPRTPGAWHESPPSLPPVRVLIQKPSIEKCYVLIQENLNKTTD CSAKLECPQDWLSHRDKCFHVSQVSNTWEEGLVDCDGKGATLMLIQDQEELRFLLDSIKE KYNSFWIGLRYTLPDMNWKWINGSTLNSDVLKITGDTENDSCAAISGDKVTFESCNSDNR WICQKELYHETLSNYVGYGH >ENSMUSP00000145086.1 pep:known chromosome:GRCm38:6:128609716:128622816:-1 gene:ENSMUSG00000030361.16 transcript:ENSMUST00000203275.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1a description:killer cell lectin-like receptor subfamily B member 1A [Source:MGI Symbol;Acc:MGI:107540] MDTARVYFGLKPPRTPGAWHESPPSLPPDACRCPRSHRLALKLSCAGLILLVVTLIGMSV LVRVLIQKPSIEKCYVLIQENLNKTTECPQDWLSHRDKCFHVSQVSNTWEEGLVDCDGKG ATLMLIQDQEELRFLLDSIKEKYNSFWIGLRYTLPDMNWKWINGSTLNSDVLKITGDTEN DSCAAISGDKVTFESCNSDNRWICQKELYHETLSNY >ENSMUSP00000145519.1 pep:known chromosome:GRCm38:6:128609716:128622913:-1 gene:ENSMUSG00000030361.16 transcript:ENSMUST00000204819.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1a description:killer cell lectin-like receptor subfamily B member 1A [Source:MGI Symbol;Acc:MGI:107540] MHLLCTMDTARVYFGLKPPRTPGAWHESPPSLPPDACRCPRSHRLALKLSCAGLILLVVT LIGMSVLVRVLIQKPSIEKCYVLIQENLNKTTAKLECPQDWLSHRDKCFHVSQVSNTWEE GLVDCDGKGATLMLIQDQEELRFLLDSIKEKYNSFWIGLRYTLPDMNWKWINGSTLNSDV LKITGDTENDSCAAISGDKVTFESCNSDNRWICQKELYHETLSNY >ENSMUSP00000144707.1 pep:known chromosome:GRCm38:6:128619127:128623533:-1 gene:ENSMUSG00000030361.16 transcript:ENSMUST00000203150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1a description:killer cell lectin-like receptor subfamily B member 1A [Source:MGI Symbol;Acc:MGI:107540] MHLLCTMDTARVYFGLKPPRTPGAWHESPPSLPPDACRCPRSHRLALKLSCAGLILLVVT LIGMSVLVRVLIQKPSIEKCYVL >ENSMUSP00000023861.3 pep:known chromosome:GRCm38:1:107078167:107083506:-1 gene:ENSMUSG00000058017.6 transcript:ENSMUST00000023861.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb3d description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 3D [Source:MGI Symbol;Acc:MGI:2683295] MDLFAVATTKFTLELYRQLRESDNNIFYSPISMMRTLAMLLLGAKANTEQQIKKVLHFNE TTKKTTEKSAESHDEENVHQQFQMLMTQLNKFNNAYDLKVPNSIYGAKDFPFLQTFLKDI RKYYQANVESLDFAHAAEESQKKINSWMARQTNGKIKDLFPSGSLNSSTILVLVNAVYFK GQWNHKFDEKHTREEKFWLNKNTSKPVQMMKQRNKFNFIFLENVQAKIVEIPYKGKELSM FVLLPVEIDGLKKFEEQLTADKLLQWTRAENMHMTELYLSLPQFKVEEKYDLRVPLEHMG MVDAFDPQKADFSGMSNSQGLVVSKVLHKSFVEVNEEGAEAATAMSVESRSLSVPKPNDF SCNHPFLFVMKQNKTNSILFFGRVSSP >ENSMUSP00000145411.1 pep:known chromosome:GRCm38:6:113046458:113047678:1 gene:ENSMUSG00000030264.14 transcript:ENSMUST00000138278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thumpd3 description:THUMP domain containing 3 [Source:MGI Symbol;Acc:MGI:1277973] MSCDTQEATRECLGMNLDGNKEPVSLVESGVRSESEHLQVTIGATVPTGFEQTAAGEVRE KLKSACRISKDRGKIYF >ENSMUSP00000144805.1 pep:known chromosome:GRCm38:6:113046755:113047704:1 gene:ENSMUSG00000030264.14 transcript:ENSMUST00000204866.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thumpd3 description:THUMP domain containing 3 [Source:MGI Symbol;Acc:MGI:1277973] MSCDTQEATRECLGMNLDGNKEPVSLVESGVRSESEHLQVTIGATVPTGFEQTAAGEVRE KLKSACRISKDRGKIYFDIAVESLAQ >ENSMUSP00000120509.1 pep:known chromosome:GRCm38:6:113046302:113055967:1 gene:ENSMUSG00000030264.14 transcript:ENSMUST00000155378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thumpd3 description:THUMP domain containing 3 [Source:MGI Symbol;Acc:MGI:1277973] MSCDTQEATRECLGMNLDGNKEPVSLVESGVRSESEHLQVTIGATVPTGFEQTAAGEVRE KLKSACRISKDRGKIYFDIAVESLAQVHCLRSVDNLFVVVQEFKDYQFKDTKEEVLRDFE ELAGKLPWSDPLKVWQINTTFKKKKAKRRKANQSAGKEKADCGQGDKADEKDGKKKHASS TSDSHILDYYENPAIKEEISTLVGDVLSSCKDETGQSLREET >ENSMUSP00000032398.8 pep:known chromosome:GRCm38:6:113046225:113068273:1 gene:ENSMUSG00000030264.14 transcript:ENSMUST00000032398.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thumpd3 description:THUMP domain containing 3 [Source:MGI Symbol;Acc:MGI:1277973] MSCDTQEATRECLGMNLDGNKEPVSLVESGVRSESEHLQVTIGATVPTGFEQTAAGEVRE KLKSACRISKDRGKIYFDIAVESLAQVHCLRSVDNLFVVVQEFKDYQFKDTKEEVLRDFE ELAGKLPWSDPLKVWQINTTFKKKKAKRRKANQSAGKEKADCGQGDKADEKDGKKKHASS TSDSHILDYYENPAIKEEISTLVGDVLSSCKDETGQSLREETEPQVQKFRVTCNRAGEKH CFTSNEAARDFGGAIQEYFKWKADMTNFDVEVLLNIHDNEVIVAIALTEESLHRRNITHF GPTTLRSTLAYGMLRLCEPKPTDVIVDPMCGTGAIPIEGATEWSHCYHIAGDNNPLAVNR AANNISSLLTKSQIKDGKTTWGLPIDAVQWDICNLPLRTASVDIIVTDMPFGKRMGSKKR NWNLYPACLREMSRVCRPGTGRAVLLTQDKKCFTKALSGMGHVWRKVHVVWVNIGGLHAA VYLLKRTAQAFVHPSDQDEGRDPPW >ENSMUSP00000031501.1 pep:known chromosome:GRCm38:5:138561840:138564694:-1 gene:ENSMUSG00000029526.5 transcript:ENSMUST00000031501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700123K08Rik description:RIKEN cDNA 1700123K08 gene [Source:MGI Symbol;Acc:MGI:1923908] MFSCFQASRGSGHKKAKRGRLVCFWRRLIRPLTHLRHASHSEPKVCCENDQEPDSMPKHP QFNYKSREYVQQMIHYIPAAIQNRDHLCLDIFVAMYQTYATTWEVLDLLMKTYASFQPDF VEDQQTKRAIFSFLFGWFQKFPQDFYESPDLAVLSQFTEYVRLNVPSPDIDTQAREPPSM LEDQEAIALKLEEDPLGQDASGRQETLALRPASMAEPQGDEKPGENTELVKRAVLDPFEP KATIELPPSLHQALPTSADTYSPVDVAIDEAADEAADVSPASGTIYLCFTRGLQL >ENSMUSP00000049176.3 pep:known chromosome:GRCm38:4:98395791:98719603:1 gene:ENSMUSG00000061859.16 transcript:ENSMUST00000041284.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inadl description:InaD-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1277960] MPENPAAEKMQVLQVLDRLRGKLQEKGDTTQNEKLSAFYETLKSPLFNQILTLQQSIKQL KGQLSHIPSDCSANFDFSRKGLLVFTDGSITNGNAQRPCSNVTASELLPWTQKSASEDFN SVIQQMAQGRHVEYIDIERPSTGGLGFSVVALRSQSLGLIDIFVKEVHPGSVADRDHRLK ENDQILAINDTPLDQNISHQQAIALLQQATGSLRLVVAREVGHTQGRASTSSADTTLPET VCWGHTEEVELINDGSGLGFGIVGGKSSGVVVRTIVPGGLADRDGRLQTGDHILKIGGTN VQGMTSEQVAQVLRNCGNSVRMLVARDPVGEIAVTPPTPVSLPVALPAVATRTLDSDRSP FETYSVELVKKDGQSLGIRIVGYVGTAHPGEASGIYVKSIIPGSAAYHNGQIQVNDKIVA VDGVNIQGFANQDVVEVLRNAGQVVHLTLVRRKTSLSASPFEHSSSRETVAEPPKVPERA GSPKPEANLSVEAEEIGERLDNLKNNTVQALEKPDVYPEKVPGSPENELKSRWENLLGPD YEVMVATLDAQIADDEELQKYSKLLPIHTLRLGMEVDSFDGHHYISSVAPGGPVDTLNLL QPEDELLEVNGMQLYGKSRREAVSFLKEVPPPFTLVCCRRLFDDEASVDEPRTMEPALLE AEVDHSVDVNIEDDDDGELALWSPEVKTVELVKDCKGLGFSILDYQDPLDPTRSVIVIRS LVADGVAERSGELLPGDRLVSVNEFSLDNATLAEAVEVLKAVPPGVVHLGICKPLVEDEK EERFSLHSNNNGDSSEPADAVHEIHSSLILEAPQGFRDEPYLEELVDEPFLDLGKSLQFQ QKDVDSSSEAWEMHEFLSPPLDGRGEEREMLVDEEYELYQDHLRAMESNPPPPHIREAAP ASPVLELQAGTQWLHANLSGGERLECHDAESMMSAYPQEMQQYSYSTADMMEETFGLDSR APIPSSEGNGQHGRFDDMGHLHSLTSSSLDLGMMIPSDLQGPGVLVDLPAVAQRREQEDL PLYRLPSARVVTKPSSHMGLVSSRHANAACELPEREEGEGEETPNFSHWGPPRIVEIFRE PNVSLGISIVGGQTVIKRLKNGEELKGIFIKQVLEDSPAGKTNALKTGDKILEVSGVDLQ NASHAEAVEAIKSAGNPVVFVVQSLSSTPRVIPTVNNKGKTPAPNQDQNTQERKAKRHGT APPPMKLPPPYRAPSADMEGSEEDCALTDKKIRQRYADLPGELHIIELEKDKNGLGLSLA GNKDRSRMSIFVVGINPEGPAAADGRMRIGDELLEINNQILYGRSHQNASAIIKTAPTRV KLVFIRNEDAVSQMAVAPFPELSHSPSPVEDLGGTELVSSEEESSVDAKHLPEPESSKPE DLSQVVDDNMVAEQQKESESPDSAACQIKQQTYSTQVSSSSQDSPSSPAPLCQSAHADVT GSGNFQAPLPVDPAPLSVDPATCPIVPGQEMIIEISKGRSGLGLSIVGGKDTPLDAIVIH EVYEEGAAARDGRLWAGDQILEVNGVDLRSSSHEEAITALRQTPQKVRLVVYRDEAQYRD EENLEVFLVDLQKKTGRGLGLSIVGKRSGSGVFISDIVKGGAADLDGRLIRGDQILSVNG EDMRHASQETVATILKCVQGLVQLEIGRLRAGSWAASRKTSQNSQGDQHSAHSSCRPSFA PVITSLQNLVGTKRSSDPPQKCTEEEPRTVEIIRELSDALGISIAGGKGSPLGDIPIFIA MIQANGVAARTQKLKVGDRIVSINGQPLDGLSHTDAVNLLKNAFGRIILQVVADTNISAI ATQLEIMSAGSQLGSPTADRHPEDTEEQMQRTAD >ENSMUSP00000115936.1 pep:known chromosome:GRCm38:4:98518971:98539203:1 gene:ENSMUSG00000061859.16 transcript:ENSMUST00000134901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inadl description:InaD-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1277960] PNFSHWGPPRIVEIFREPNVSLGISIVGGQTVIKRLKNGEELKGIFIKQVLEDSPAGKTN ALKTGDKILEVSGVDLQNASHAEAVEAIKSAGNPVVFVVQSLSSTPRVIPTVNNKGKTPA PNQDQNTQERKAKRHGTAPPPMKLPPPYRAPSADMEGSEEDCALTDSEFLCII >ENSMUSP00000030290.7 pep:known chromosome:GRCm38:4:98546973:98689011:1 gene:ENSMUSG00000061859.16 transcript:ENSMUST00000030290.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inadl description:InaD-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1277960] MVHGGFPEKIRQRYADLPGELHIIELEKDKNGLGLSLAGNKDRSRMSIFVVGINPEGPAA ADGRMRIGDELLEINNQILYGRSHQNASAIIKTAPTRVKLVFIRNEDAVSQMAVAPFPEL SHSPSPVEDLGGTELVSSEEESSVDAKHLPEPESSKPEDLSQVVDDNMVAEQQKESESPD SAACQIKQQTYSTQVSSSSQDSPSSPAPLCQSAHADVTGSGNFQAPLPVDPAPLSVDPAT CPIVPGQEMIIEISKGRSGLGLSIVGGKDTPLDAIVIHEVYEEGAAARDGRLWAGDQILE VNGVDLRSSSHEEAITALRQTPQKVRLVVYRDEAQYRDEENLEVFLVDLQKKTGRGLGLS IVGKRSGSGVFISDIVKGGAADLDGRLIRGDQILSVNGEDMRHASQETVATILKCVQGLV QLEIGRLRAGSWAASRKTSQNSQGDQHSAHSSCRPSFAPVITSLQNLVGTKRSSDPPQKC TEEEPRTVEIIRELSDALGISIAGGKGSPLGDIPIFIAMIQANGVAARTQKLKVGDRIVS INGQPLDGLSHTDAVNLLKNAFGRIILQVFRSMENQLAEADK >ENSMUSP00000099854.3 pep:known chromosome:GRCm38:4:98546734:98719603:1 gene:ENSMUSG00000061859.16 transcript:ENSMUST00000102792.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inadl description:InaD-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1277960] MVHGGFPEKIRQRYADLPGELHIIELEKDKNGLGLSLAGNKDRSRMSIFVVGINPEGPAA ADGRMRIGDELLEINNQILYGRSHQNASAIIKTAPTRVKLVFIRNEDAVSQMAVAPFPEL SHSPSPVEDLGGTELVSSEEESSVDAKHLPEPESSKPEDLSQVVDDNMVAEQQKESESPD SAACQIKQQTYSTQVSSSSQDSPSSPAPLCQSAHADVTGSGNFQAPLPVDPAPLSVDPAT CPIVPGQEMIIEISKGRSGLGLSIVGGKDTPLDAIVIHEVYEEGAAARDGRLWAGDQILE VNGVDLRSSSHEEAITALRQTPQKVRLVVYRDEAQYRDEENLEVFLVDLQKKTGRGLGLS IVGKRSGSGVFISDIVKGGAADLDGRLIRGDQILSVNGEDMRHASQETVATILKCVQGLV QLEIGRLRAGSWAASRKTSQNSQGDQHSAHSSCRPSFAPVITSLQNLVGTKRSSDPPQKC TEEEPRTVEIIRELSDALGISIAGGKGSPLGDIPIFIAMIQANGVAARTQKLKVGDRIVS INGQPLDGLSHTDAVNLLKNAFGRIILQVVADTNISAIATQLEIMSAGSQLGSPTADRHP EDTEEQMQRTAD >ENSMUSP00000102644.1 pep:known chromosome:GRCm38:4:98450570:98718358:1 gene:ENSMUSG00000061859.16 transcript:ENSMUST00000107029.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inadl description:InaD-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1277960] MEVDSFDGHHYISSVAPGGPVDTLNLLQPEDELLEVNGMQLYGKSRREAVSFLKEVPPPF TLVCCRRLFDDEASVDEPRTMEPALLEAEVDHSVDVNIEDDDDGELALWSPEVKTVELVK DCKGLGFSILDYQDPLDPTRSVIVIRSLVADGVAERSGELLPGDRLVSVNEFSLDNATLA EAVEVLKAVPPGVVHLGICKPLVEDEKEERFSLHSNNNGDSSEPADAVHEIHSSLILEAP QGFRDEPYLEELVDEPFLDLGKSLQFQQKDVDSSSEAWEMHEFLSPPLDGRGEEREMLVD EEYELYQDHLRAMESNPPPPHIREAAPASPVLELQAGTQWLHANLSGGERLECHDAESMM SAYPQEMQQYSYSTADMMEETFGLDSRAPIPSSEGNGQHGRFDDMGHLHSLTSSSLDLGM MIPSDLQGPGVLVDLPAVAQRREQEDLPLYRLPSARVVTKPSSHMGLVSSRHANAACELP EREEGEGEETPNFSHWGPPRIVEIFREPNVSLGISIVGGQTVIKRLKNGEELKGIFIKQV LEDSPAGKTNALKTGDKILEVSGVDLQNASHAEAVEAIKSAGNPVVFVVQSLSSTPRVIP TVNNKGKTPAPNQDQNTQERKAKRHGTAPPPMKLPPPYRAPSADMEGSEEDCALTDKKIR QRYADLPGELHIIELEKDKNGLGLSLAGNKDRSRMSIFVVGINPEGPAAADGRMRIGDEL LEINNQILYGRSHQNASAIIKTAPTRVKLVFIRNEDAVSQMAVAPFPELSHSPSPVEDLG GTELVSSEEESSVDAKHLPEPESSKPEDLSQVVDDNMVAEQQKESESPDSAACQIKQQTY STQVSSSSQDSPSSPAPLCQSAHADVTGSGNFQAPLPVDPAPLSVDPATCPIVPGQEMII EISKGRSGLGLSIVGGKDTPLDAIVIHEVYEEGAAARDGRLWAGDQILEVNGVDLRSSSH EEAITALRQTPQKVRLVVYRDEAQYRDEENLEVFLVDLQKKTGRGLGLSIVGKRSGSGVF ISDIVKGGAADLDGRLIRGDQILSVNGEDMRHASQETVATILKCVQGLVQLEIGRLRAGS WAASRKTSQNSQGDQHSAHSSCRPSFAPVITSLQNLVGTKRSSDPPQKCTEEEPRTVEII RELSDALGISIAGGKGSPLGDIPIFIAMIQANGVAARTQKLKVGDRIVSINGQPLDGLSH TDAVNLLKNAFGRIILQVVADTNISAIATQLEIMSAGSQLGSPTADRHPEDTEEQMQRTA D >ENSMUSP00000116021.1 pep:known chromosome:GRCm38:4:98441076:98497633:1 gene:ENSMUSG00000061859.16 transcript:ENSMUST00000142103.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inadl description:InaD-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1277960] XGSPENELKSRWENLLGPDYEVMVATLDAQIADDEELQKYSKLLPIHTLRLGMEVDSFDG HHYISSVAPGGPVDTLNLLQPEDELLEVNGMQLYGKSRREAVSFLKEVPPPFTLVCCRRL FDDEASVDEPRTMEPALLEAEVDHSVDVNIEDDDDGELALWSPEVKTVELVKDCKGLGFS ILDYQDPLDPTRSVIVIRSLVADGVAERSGELLPGDRLVSVNEFSLDNATLAEAVEVLKA VPPGVVHLGICKPLVEDEKEERFSLHSNNNGDSSEPADAVHEIHSSLILEAPQGFRDEPY LEELVDEPFLDLGKSLQFQQKDVDSSSEAWEMHEFLSPPLDGRGEEREMLVDEEYELYQD HLRAMESNPPPPHIREAAPASPVLELQAGTQWLHANLSGGERLECHDAESMMSAYPQEMQ QYSYSTADMVRLCDTATTCSPLCPHTPRSCYFHS >ENSMUSP00000102649.1 pep:known chromosome:GRCm38:4:98395855:98674490:1 gene:ENSMUSG00000061859.16 transcript:ENSMUST00000107034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inadl description:InaD-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1277960] MPENPAAEKMQVLQVLDRLRGKLQEKGDTTQNEKLSAFYETLKSPLFNQILTLQQSIKQL KGQLSHIPSDCSANFDFSRKGLLVFTDGSITNGNAQRPCSNVTASELLPWTQKSASEDFN SVIQQMAQGRHVEYIDIERPSTGGLGFSVVALRSQSLGLIDIFVKEVHPGSVADRDHRLK ENDQILAINDTPLDQNISHQQAIALLQQATGSLRLVVAREVGHTQGRASTSSADTTLPET VCWGHTEEVELINDGSGLGFGIVGGKSSGVVVRTIVPGGLADRDGRLQTGDHILKIGGTN VQGMTSEQVAQVLRNCGNSVRMLVARDPVGEIAVTPPTPVSLPVALPAVATRTLDSDRSP FETYSVELVKKDGQSLGIRIVGYVGTAHPGEASGIYVKSIIPGSAAYHNGQIQVNDKIVA VDGVNIQGFANQDVVEVLRNAGQVVHLTLVRRKTSLSASPFEHSSSRETVAEPPKVPERA GSPKPEANLSVEAEEIGERLDNLKNNTVQALEKPEKVPGSPENELKSRWENLLGPDYEVM VATLDAQIADDEELQKYSKLLPIHTLRLGMEVDSFDGHHYISSVAPGGPVDTLNLLQPED ELLEVNGMQLYGKSRREAVSFLKEVPPPFTLVCCRRLFDDEASVDEPRTMEPALLEAEVD HSVDVNIEDDDDGELALWSPEVKTVELVKDCKGLGFSILDYQDPLDPTRSVIVIRSLVAD GVAERSGELLPGDRLVSVNEFSLDNATLAEAVEVLKAVPPGVVHLGICKPLVEDEKEERF SLHSNNNGDSSEPADAVHEIHSSLILEAPQGFRDEPYLEELVDEPFLDLGKSLQFQQKDV DSSSEAWEMHEFLSPPLDGRGEEREMLVDEEYELYQDHLRAMESNPPPPHIREAAPASPV LELQAGTQWLHANLSGGERLECHDAESMMSAYPQEMQQYSYSTADMMEETFGLDSRAPIP SSEGNGQHGRFDDMGHLHSLTSSSLDLGMMIPSDLQGPGVLVDLPAVAQRREQEDLPLYR LPSARVVTKPSSHMGLVSSRHANAACELPEREEGEGEETPNFSHWGPPRIVEIFREPNVS LGISIVGGQTVIKRLKNGEELKGIFIKQVLEDSPAGKTNALKTGDKILEVSGVDLQNASH AEAVEAIKSAGNPVVFVVQSLSSTPRVIPTVNNKGKTPAPNQDQNTQERKAKRHGTAPPP MKLPPPYRAPSADMEGSEEDCALTDKKIRQRYADLPGELHIIELEKDKNGLGLSLAGNKD RSRMSIFVVGINPEGPAAADGRMRIGDELLEINNQILYGRSHQNASAIIKTAPTRVKLVF IRNEDAVSQMAVAPFPELSHSPSPVEDLGGTELVSSEEESSVDAKHLPEPESSKPAACQI KQQTYSTQVSSSSQDSPSSPAPLCQSAHADVTGSGNFQAPLPVDPAPLSVDPATCPIVPG QEMIIEISKGRSGLGLSIVGGKDTPLVNGVDLRSSSHEEAITALRQTPQKVRLVVYRDEA QYRDEENLEVFLVDLQKKTGRGLGLSIVGKR >ENSMUSP00000102648.1 pep:known chromosome:GRCm38:4:98395855:98554652:1 gene:ENSMUSG00000061859.16 transcript:ENSMUST00000107033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inadl description:InaD-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1277960] MPENPAAEKMQVLQVLDRLRGKLQEKGDTTQNEKLSAFYETLKSPLFNQILTLQQSIKQL KGQLSHIPSDCSANFDFSRKGLLVFTDGSITNGNAQRPCSNVTASELLPWTQKSASEDFN SVIQQMAQGRHVEYIDIERPSTGGLGFSVVALRSQSLGLIDIFVKEVHPGSVADRDHRLK ENDQILAINDTPLDQNISHQQAIALLQQATGSLRLVVAREVGHTQGRASTSSADTTLPET VCWGHTEEVELINDGSGLGFGIVGGKSSGVVVRTIVPGGLADRDGRLQTGDHILKIGGTN VQGMTSEQVAQVLRNCGNSVRMLVARDPVGEIAVTPPTPVSLPVALPAVATRTLDSDRSP FETYSVELVKKDGQSLGIRIVGYVGTAHPGEASGIYVKSIIPGSAAYHNGQIQVNDKIVA VDGVNIQGFANQDVVEVLRNAGQVVHLTLVRRKTSLSASPFEHSSSRETVAEPPKVPERA GSPKPEANLSVEAEEIGERLDNLKNNTVQALEKPDVYPEKVPGSPENELKSRWENLLGPD YEVMVATLDAQIADDEELQKYSKLLPIHTLRLGMEVDSFDGHHYISSGGERLECHDAESM MSAYPQEMQQYSYSTADMMEETFGLDSRAPIPSSEGNGQHGRFDDMGHLHSLTSSSLDLG MMIPSDLQGPGVLVDLPAVAQRREQEDLPLYRLPSARVVTKPSSHMGLVSSRHANAACEL PEREEGEGEETPNFSHWGPPRIVEIFREPNVSLGISIVGGQTVIKRLKNGEELKGIFIKQ VLEDSPAGKTNALKTGDKILEVSGVDLQNASHAEAVEAIKSAGNPVVFVVQSLSSTPRVI PTVNNKGKTPAPNQDQNTQERKAKRHGTAPPPMKLPPPYRAPSADMEGSEEDCALTDSSV DS >ENSMUSP00000102645.2 pep:known chromosome:GRCm38:4:98395892:98454707:1 gene:ENSMUSG00000061859.16 transcript:ENSMUST00000107030.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inadl description:InaD-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1277960] MPENPAAEKMQVLQVLDRLRGKLQEKGDTTQNEKLSAFYETLKSPLFNQILTLQQSIKQL KGQLSHIPSDCSANFDFSRKGLLVFTDGSITNGNAQRPCSNVTASELLPWTQKSASEDFN SVIQQMAQGRHVEYIDIERPSTGGLGFSVVALRSQSLGLIDIFVKEVHPGSVADRDHRLK ENDQILAINDTPLDQNISHQQAIALLQQATGSLRLVVAREVGHTQGRASTSSADTTLPET VCWGHTEEVELINDGSGLGFGIVGGKSSGVVVRTIVPGGLADRDGRLQTGDHILKIGGTN VQGMTSEQVAQVLRNCGNSVRMLVARDPVGEIAVTPPTPVSLPVALPAVATRTLDSDRSP FETYSVELVKKDGQSLGIRIVGYVGTAHPGEASGIYVKSIIPGSAAYHNGQIQVNDKIVA VDGVNIQGFANQDVVEVLRNAGQVVHLTLVRRKTSLSASPFEHSSSRETVAEPPKVPERA GSPKPEANLSVEAEEIGERLDNLKNNTVQALEKPDVYPEKVPGSPENELKSRWENLLGPD YEVMVATLDAQIADDEELQKYSKWMLVLKAKAVPPSALHVVGSRAHIRRYKMALTAVF >ENSMUSP00000120473.1 pep:known chromosome:GRCm38:11:103979589:103983627:1 gene:ENSMUSG00000062421.13 transcript:ENSMUST00000154089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf2 description:ADP-ribosylation factor 2 [Source:MGI Symbol;Acc:MGI:99595] MKSALSWAQAQFSQQLTVSSVPLPLSCPVDFLWGFSAALFPHGALEGLIFVVDSNDRERV NEAREELTRMLAEDELRDAVLLVFVNKQDLPNAMNAAEITD >ENSMUSP00000051814.3 pep:known chromosome:GRCm38:11:103966739:103985337:1 gene:ENSMUSG00000062421.13 transcript:ENSMUST00000057921.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf2 description:ADP-ribosylation factor 2 [Source:MGI Symbol;Acc:MGI:99595] MGNVFEKLFKSLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN ISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELTRMLAEDELRDAV LLVFVNKQDLPNAMNAAEITDKLGLHSLRQRNWYIQATCATSGDGLYEGLDWLSNQLKNQ K >ENSMUSP00000102622.2 pep:known chromosome:GRCm38:11:103966871:103985337:1 gene:ENSMUSG00000062421.13 transcript:ENSMUST00000063347.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf2 description:ADP-ribosylation factor 2 [Source:MGI Symbol;Acc:MGI:99595] MGNVFEKLFKSLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN ISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVNEAREELTRMLAEDELRDAV LLVFVNKQDLPNAMNAAEITDKLGLHSLRQRNWYIQATCATSGDGLYEGLDWLSNQLKNQ K >ENSMUSP00000133177.1 pep:known chromosome:GRCm38:2:144470557:144527398:-1 gene:ENSMUSG00000037259.15 transcript:ENSMUST00000163701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzank1 description:double zinc ribbon and ankyrin repeat domains 1 [Source:MGI Symbol;Acc:MGI:2139080] MTAGSVCAPQIIPLRVPQPGKANHEIDTNTLLEMKSDTPDVNIYYTLDGSKPDFLKKVGS GENNTFKYVKPITLPDGKIQVKAVAVSKDCRQSGIVTKVFQVDYEPPKMVSSEDNVEDAL KGFSKQELKNGFVGPKLRKKYKNAENKSTWNVNLRRLADLKVGERADPKTLKDLRFAESP LEIPAYHEGASARLPTHQAQSPGFAHITGQKSLTSTEIMRIQRETDFLKCAHCLASRPSD PFARFCHECGAPVPPIFGYRLPPPEGAQQMGLCAECGSMVPMNTPICVVCEAPLAPQLRP QASLYLKERVICRTCGTGNPAHLRYCVTCEGPLPPTQEQWLCNGDEVPHPPARNGETISC SRCGCQNLWEASFCDWCGAMLGISASHSVCPKCGASNHLTARFCGSCGIYVKSITRFRMH NSLAIVAGAPRPFPEPRSAWQSLNVPLPTSASGSKKDTGTQTSGLFYPSGKLLAKKELEA ASHRQRQEKMSDHRPVLTAVSPGRGYWRKQLDHISAHLRSYAQNNPEFRALIAEPRMGKL ISATVHEDGYEVSIRLNYIQVSNKSLYFNKSVNLSDHFLSSVTEGGNGLYDSRSSLVSAY SQSVSDTPESIKKMKNLKAKSFLVNPEPLTPENKLLLEEVGSSGKGRLSVLEQLLDEGAD PNCCDSQGRPAVIVAVVNKHYEAIPVLAQRGADIDQQWGPFRNTALHEATLLGLEGRESI ATLLGCNANAQKKNTRGQTAYDIALEMGDDLTSSLFAAKFTQGLEDQLSPPGNRILGDS >ENSMUSP00000080643.5 pep:known chromosome:GRCm38:2:144470557:144527414:-1 gene:ENSMUSG00000037259.15 transcript:ENSMUST00000081982.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzank1 description:double zinc ribbon and ankyrin repeat domains 1 [Source:MGI Symbol;Acc:MGI:2139080] MTAGSVCAPQIIPLRVPQPGKANHEIDTNTLLEMKSDTPDVNIYYTLDGSKPDFLKKVGS GENNTFKYVKPITLPDGKIQVKAVAVSKDCRQSGIVTKVFQVDYEPPKMVSSEDNVEDAL KGFSKQELKNGFVGPKLRKKYKNAENKSTWNVNLRRLADLKVGERADPKTLKDLRFAESP LEIPAYHEGASARLPTHQAQSPGFAHITGQKSLTSTEIMRIQRETDFLKCAHCLASRPSD PFARFCHECGAPVPPIFGYRLPPPEGAQMGLCAECGSMVPMNTPICVVCEAPLAPQLRPQ ASLYLKERVICRTCGTGNPAHLRYCVTCEGPLPPTQEQWLCNGDEVPHPPARNGETISCS RCGCQNLWEASFCDWCGAMLGISASHSVCPKCGASNHLTARFCGSCGIYVKSITRFRMHN SLAIVAGAPRPFPEPRSAWQSLNVPLPTSASGSKKDTGTQTSGLFYPSGKLLAKKELEAA SHRQRQEKMSDHRPVLTAVSPGRGYWRKQLDHISAHLRSYAQNNPEFRALIAEPRMGKLI SATVHEDGYEVSIRLNYIQVSNKSLYFNKSVNLSDHFLSSVTEGGNGLYDSRSSLVSAYS QSVSDTPESIKKMKNLKAKSFLVNPEPLTPENKLLLEEVGSSGKGRLSVLEQLLDEGADP NCCDSQGRPAVIVAVVNKHYEAIPVLAQRGADIDQQWGPFRNTALHEATLLGLEGRESIA TLLGCNANAQKKNTRGQTAYDIALEMGDDLTSSLFAAKFTQGLEDQLSPPGNRILGDS >ENSMUSP00000033393.8 pep:known chromosome:GRCm38:7:144588553:144738592:-1 gene:ENSMUSG00000031075.18 transcript:ENSMUST00000033393.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano1 description:anoctamin 1, calcium activated chloride channel [Source:MGI Symbol;Acc:MGI:2142149] MRVPEKYSTLPAEDRSVHIVNICAIEDLGYLPSEGTLLNSLSVDPDAECKYGLYFRDGKR KVDYILVYHHKRASGSRTLARRGLQNDMVLGTRSVRQDQPLPGKGSPVDAGSPEVPMDYH EDDKRFRREEYEGNLLEAGLELENDEDTKIHGVGFVKIHAPWHVLCREAEFLKLKMPTKK VYHISETRGLLKTINSVLQKITDPIQPKVAEHRPQTTKRLSYPFSREKQHLFDLTDRDSF FDSKTRSTIVYEILKRTTCTKAKYSMGITSLLANGVYSAAYPLHDGDYEGDNVEFNDRKL LYEEWASYGVFYKYQPIDLVRKYFGEKVGLYFAWLGAYTQMLIPASIVGVIVFLYGCATV DENIPSMEMCDQRYNITMCPLCDKTCSYWKMSSACATARASHLFDNPATVFFSVFMALWA ATFMEHWKRKQMRLNYRWDLTGFEEEEDHPRAEYEARVLEKSLRKESRNKETDKVKLTWR DRFPAYFTNLVSIIFMIAVTFAIVLGVIIYRISTAAALAMNSSPSVRSNIRVTVTATAVI INLVVIILLDEVYGCIARWLTKIEVPKTEKSFEERLTFKAFLLKFVNSYTPIFYVAFFKG RFVGRPGDYVYIFRSFRMEECAPGGCLMELCIQLSIIMLGKQLIQNNLFEIGIPKMKKFI RYLKLRRQSPSDREEYVKRKQRYEVDFNLEPFAGLTPEYMEMIIQFGFVTLFVASFPLAP LFALLNNIIEIRLDAKKFVTELRRPVAIRAKDIGIWYNILRGVGKLAVIINAFVISFTSD FIPRLVYLYMYSQNGTMHGFVNHTLSSFNVSDFQNGTAPNDPLDLGYEVQICRYKDYREP PWSEHKYDISKDFWAVLAARLAFVIVFQNLVMFMSDFVDWVIPDIPKDISQQIHKEKVLM VELFMREEQGKQQLLDTWMEKEKPRDVPCNNHSPTTHPEAGDGSPVPSYEYHGDAL >ENSMUSP00000118206.1 pep:known chromosome:GRCm38:7:144655601:144678885:-1 gene:ENSMUSG00000031075.18 transcript:ENSMUST00000131731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano1 description:anoctamin 1, calcium activated chloride channel [Source:MGI Symbol;Acc:MGI:2142149] MRVPEKYSTLPAEDRSVHIVNICAIEDLGYLPSEGTLLNSLSVDPDAECKYGLYFRDGKR KVDYILVYHHKRASGSRTLARRGLQNDMVLGTRSVRQDQPLPGKGSPVDAGSPEVPMDYH EDDKRFRREEYEGNLLEAGLELENDEDTKIHGVGFVKIHAPWHVLCREAEFLKLKMPTKK VYHISETRGLLKTINSVLQKITDPIQPKVAEHRPQTTKRLSYPFSREKQH >ENSMUSP00000119653.1 pep:known chromosome:GRCm38:7:144621668:144669380:-1 gene:ENSMUSG00000031075.18 transcript:ENSMUST00000152531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano1 description:anoctamin 1, calcium activated chloride channel [Source:MGI Symbol;Acc:MGI:2142149] XLEAGLELENDEDTKIHGVGFVKIHAPWHVLCREAEFLKLKMPTKKVYHISETRGLLKTI NSVLQKITDPIQPKVAEHRPQTTKRLSYPFSREKQHLFDLTDRDSFFDSKTRSTIVYEIL KRTTCTKAKYSMGQGEGRRKDSALLSKRRKCGKYGITSLLANGVYSAAYPLHDGDYEGDN VEFNDRKLLYEEWASYGVFYKYQPIDLVRKYFGEKVGLYFAWLGAYTQMLIPASIVGVIV FLYGCATVDENIPSMEMCDQRYNITMCPLCDKTCSYWKMSSACATARASHLFDNPATVFF SVFMALWAATFMEHWKRKQMRLNYRWDLTGFEEEE >ENSMUSP00000120306.1 pep:known chromosome:GRCm38:7:144650503:144751974:-1 gene:ENSMUSG00000031075.18 transcript:ENSMUST00000155175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano1 description:anoctamin 1, calcium activated chloride channel [Source:MGI Symbol;Acc:MGI:2142149] MEEPVRELLLTNATGVVDGEREATMRVPEKYSTLPAEDRSVHIVNICAIEDLGYLPSEGT LLNSLSVDPDAECKYGLYFRDGKRKVDYILVYHHKRASGSRTLARRGLQNDMVLGTRSVR QDQPLPGKGSPVDAGSPEVPMDYHEDDKRFRREEYEGNLLEAGLELENDEDTKIHGVGFV KIHAPWHVLCREAEFLKLKMPTKKVYHISETRGLLKTINSVLQKITDPIQPKVAEHRPQT TKRLSYPFSREKQHLFDLTDRDSFFDSKTRSTIVYEILKRTTCTKAKYSM >ENSMUSP00000113899.2 pep:known chromosome:GRCm38:7:144588549:144738566:-1 gene:ENSMUSG00000031075.18 transcript:ENSMUST00000118556.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano1 description:anoctamin 1, calcium activated chloride channel [Source:MGI Symbol;Acc:MGI:2142149] MQDAQDSDIGLEGLTPEGTSADRECQRGPETIAHEAQDAGTPNSGDATGVVDGEREATMR VPEKYSTLPAEDRSVHIVNICAIEDLGYLPSEGTLLNSLSVDPDAECKYGLYFRDGKRKV DYILVYHHKRASGSRTLARRGLQNDMVLGTRSVRQDQPLPGKGSPVDAGSPEVPMDYHED DKRFRREEYEGNLLEAGLELENDEDTKIHGVGFVKIHAPWHVLCREAEFLKLKMPTKKVY HISETRGLLKTINSVLQKITDPIQPKVAEHRPQTTKRLSYPFSREKQHLFDLTDRDSFFD SKTRSTIVYEILKRTTCTKAKYSMGITSLLANGVYSAAYPLHDGDYEGDNVEFNDRKLLY EEWASYGVFYKYQPIDLVRKYFGEKVGLYFAWLGAYTQMLIPASIVGVIVFLYGCATVDE NIPSMEMCDQRYNITMCPLCDKTCSYWKMSSACATARASHLFDNPATVFFSVFMALWAAT FMEHWKRKQMRLNYRWDLTGFEEEEDHPRAEYEARVLEKSLRKESRNKETDKVKLTWRDR FPAYFTNLVSIIFMIAVTFAIVLGVIIYRISTAAALAMNSSPSVRSNIRVTVTATAVIIN LVVIILLDEVYGCIARWLTKIEVPKTEKSFEERLTFKAFLLKFVNSYTPIFYVAFFKGRF VGRPGDYVYIFRSFRMEECAPGGCLMELCIQLSIIMLGKQLIQNNLFEIGIPKMKKFIRY LKLRRQSPSDREEYVKRKQRYEVDFNLEPFAGLTPEYMEMIIQFGFVTLFVASFPLAPLF ALLNNIIEIRLDAKKFVTELRRPVAIRAKDIGIWYNILRGVGKLAVIINAFVISFTSDFI PRLVYLYMYSQNGTMHGFVNHTLSSFNVSDFQNGTAPNDPLDLGYEVQICRYKDYREPPW SEHKYDISKDFWAVLAARLAFVIVFQNLVMFMSDFVDWVIPDIPKDISQQIHKEKVLMVE LFMREEQGKQQLLDTWMEKEKPRDVPCNNHSPTTHPEAGDGSPVPSYEYHGDAL >ENSMUSP00000112616.2 pep:known chromosome:GRCm38:7:144588549:144738543:-1 gene:ENSMUSG00000031075.18 transcript:ENSMUST00000121758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano1 description:anoctamin 1, calcium activated chloride channel [Source:MGI Symbol;Acc:MGI:2142149] MQDAQDSDIGLEGLTPEGTSADRECQRGPETIAHEAQDAGTPNSDATGVVDGEREATMRV PEKYSTLPAEDRSVHIVNICAIEDLGYLPSEGTLLNSLSVDPDAECKYGLYFRDGKRKVD YILVYHHKRASGSRTLARRGLQNDMVLGTRSVRQDQPLPGKGSPVDAGSPEVPMDYHEDD KRFRREEYEGNLLEAGLELENDEDTKIHGVGFVKIHAPWHVLCREAEFLKLKMPTKKVYH ISETRGLLKTINSVLQKITDPIQPKVAEHRPQTTKRLSYPFSREKQHLFDLTDRDSFFDS KTRSTIVYEILKRTTCTKAKYSMGITSLLANGVYSAAYPLHDGDYEGDNVEFNDRKLLYE EWASYGVFYKYQPIDLVRKYFGEKVGLYFAWLGAYTQMLIPASIVGVIVFLYGCATVDEN IPSMEMCDQRYNITMCPLCDKTCSYWKMSSACATARASHLFDNPATVFFSVFMALWAATF MEHWKRKQMRLNYRWDLTGFEEEEEAVKDHPRAEYEARVLEKSLRKESRNKETDKVKLTW RDRFPAYFTNLVSIIFMIAVTFAIVLGVIIYRISTAAALAMNSSPSVRSNIRVTVTATAV IINLVVIILLDEVYGCIARWLTKIEVPKTEKSFEERLTFKAFLLKFVNSYTPIFYVAFFK GRFVGRPGDYVYIFRSFRMEECAPGGCLMELCIQLSIIMLGKQLIQNNLFEIGIPKMKKF IRYLKLRRQSPSDREEYVKRKQRYEVDFNLEPFAGLTPEYMEMIIQFGFVTLFVASFPLA PLFALLNNIIEIRLDAKKFVTELRRPVAIRAKDIGIWYNILRGVGKLAVIINAFVISFTS DFIPRLVYLYMYSQNGTMHGFVNHTLSSFNVSDFQNGTAPNDPLDLGYEVQICRYKDYRE PPWSEHKYDISKDFWAVLAARLAFVIVFQNLVMFMSDFVDWVIPDIPKDISQQIHKEKVL MVELFMREEQGKQQLLDTWMEKEKPRDVPCNNHSPTTHPEAGDGSPVPSYEYHGDAL >ENSMUSP00000141110.1 pep:known chromosome:GRCm38:1:92940459:92945103:1 gene:ENSMUSG00000026270.11 transcript:ENSMUST00000187342.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Capn10 description:calpain 10 [Source:MGI Symbol;Acc:MGI:1344392] XRCLISCSVLSPRAGARELGEFHAFIISDLQELRSQTGQGILLLRIHNPWGRRCWQGLWR EGGEGWNQVEPAKESELLAQLQEGEFWVEEEEFLREFDEVTIGYPVTEAGHLQSLHTETP EALSGPDSGTGGCQSCTGEPPRQRLPGCGPAHLEGRETEDQPAQSPVCTPCGWHCMPCV >ENSMUSP00000027488.4 pep:known chromosome:GRCm38:1:92934376:92947941:1 gene:ENSMUSG00000026270.11 transcript:ENSMUST00000027488.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn10 description:calpain 10 [Source:MGI Symbol;Acc:MGI:1344392] MRAVRAETPARELFRDAAFPASDSSLFYNLSTPLAQFREDITWRRPQEICATPQLFPDNP WEGQVKQGLLGDCWFLCACAALQKSQHLLDQVFPPGQPGWSDQKYQGFFTCRIWQFGHWE EVTIDDRLPCLAGRLCFSRCQREDVFWLPLLEKAYAKVHGSYEHLWAGQVADALVDLTGS LAERWSLKDVTKASGQQDRPSGGEHRTCRQLLHLKDRCLISCSVLSPRAGARELGEFHAF IISDLQELRSQTGQGILLLRIHNPWGRRCWQGLWREGGEGWNQVEPAKESELLAQLQEGE FWVEEEEFLREFDEVTIGYPVTEAGHLQSLHTERVLCHTRTLPGAWVTGQSAGGCRNNSC FPCNPKFWLRLLEPSEVCVAVLQRPRRRLVGQTRALAGASPAPVNLPGKDYQAVGLHIWK VEKRKISLPRVLSAPPVAGTACHAYDREIHLRCELSPGYYLAVPSTFLKDVPGQFLLRVF STGKISLSAVRLATKGASPGTALPAGEWETVQLQGCWRAGQTAGGSRNFASYPCNPCLPF SVPEGAGPRYIRITLQQHCRLSDSQLHPIGFHVFQVPADGENQDACSLLLQEPLLSCVPH RYAQEVSRLCLLSVGNYRIVPSTYLPDTEGTFTVTIATRIDRQSIHSQEMLGQLLQEVSF MAVMKA >ENSMUSP00000112831.1 pep:known chromosome:GRCm38:1:92934766:92940972:1 gene:ENSMUSG00000026270.11 transcript:ENSMUST00000117814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn10 description:calpain 10 [Source:MGI Symbol;Acc:MGI:1344392] MRAVRAETPARELFRDAAFPASDSSLFYNLSTPLAQFREDITWRRPQEICATPQLFPDNP WEGQVKQGLLGDCWFLCACAALQKSQHLLDQVFPPGQPGWSDQKYQGFFTCRIWQFGHWE EVTIDDRLPCLAGRLCFSRCQREDVFWLPLLEKAYAKVHGSYEHLWAGQVADALVDLTGS LAERWSLKDVTKASGQQDRPSGGEHRTCRQLLHLKDRCLISCSVLSPRAGTAMATTPSTI SLLQRRKSVLERLSWLGVLLLPLL >ENSMUSP00000122158.1 pep:known chromosome:GRCm38:1:92934833:92947929:1 gene:ENSMUSG00000026270.11 transcript:ENSMUST00000152983.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Capn10 description:calpain 10 [Source:MGI Symbol;Acc:MGI:1344392] MRAVRAETPARELFRDAAFPASDSSLFYNLSTPLAQFREDITWRRPQEICATPQLFPDNP WEGQVKQGLLGDCWFLCACAALQKSQHLLDQVFPPGQPGWSDQKYQGFFTCRIWQFGHWE EVTIDDRLPCLAGRLCFSRCQREDVFWLPLLEKAYAKVHGSYEHLWAGQVADALVDLTGS LAERWSLKDVTKASGQQDRPSGGEHRTCRQLLHLKDRCLISCSVLSPRAGARELGEFHAF IISDLQELRSQTGQGILLLRIHNPWGRRCWQGLWREGGEGWNQVEPAKESELLAQLQEGE FWVEEEEFLREFDEVTIGYPVTEAGHLQSLHTERVLCHTRTLPGAWVTGQSAGGCRNNSC FPCNPKFWLRLLEPSEVCVAVLQRPRRRLVGQTRALAGASPAPVNLPGKDYQAVGLHIWK VEKRKISLPRVLSAPPVAGTACHAYDREIHLRCELSPGYYLAVPSTFLKDVPGQFLLRVF STGKISLRFQQTVRTRTRVPCCSRSHC >ENSMUSP00000137010.1 pep:known chromosome:GRCm38:12:102742230:102743661:-1 gene:ENSMUSG00000096458.7 transcript:ENSMUST00000178384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Moap1 description:modulator of apoptosis 1 [Source:MGI Symbol;Acc:MGI:1915555] MTLRLLEDWCRGMDMNPRKALLVAGIPPTCGVADIEEALQAGLAPLGEHRLLGRMFRRDE NKNVALIGLTVETGSALVPKEIPAKGGVWRVIFKPPDTDSDFLCRLNEFLKGEGMTMGEL TRVLGNRNDPLGLDPGIMIPEIRAPMLAQALNEALKPTLQYLRYKKLSVFSGRDPPGPGE EEFESWMFHTSQVMKTWQVSDVEKRRRLIESLRGPAFEIIRVLKINNPFITVAECLKTLE TIFGIIDNPRALQVKYLTTYQKTDEKLSAYVLRLEPLLQKLVQKGAIEKEVVNQARLDQV IAGAVHKSVRRELGLPEGSPAPGLLQLLTLIKDKEAEEEEVLLQAELEGYCT >ENSMUSP00000133459.2 pep:known chromosome:GRCm38:12:102742230:102743645:-1 gene:ENSMUSG00000096458.7 transcript:ENSMUST00000173760.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Moap1 description:modulator of apoptosis 1 [Source:MGI Symbol;Acc:MGI:1915555] MTLRLLEDWCRGMDMNPRKALLVAGIPPTCGVADIEEALQAGLAPLGEHRLLGRMFRRDE NKNVALIGLTVETGSALVPKEIPAKGGVWRVIFKPPDTDSDFLCRLNEFLKGEGMTMGEL TRVLGNRNDPLGLDPGIMIPEIRAPMLAQALNEALKPTLQYLRYKKLSVFSGRDPPGPGE EEFESWMFHTSQVMKTWQVSDVEKRRRLIESLRGPAFEIIRVLKINNPFITVAECLKTLE TIFGIIDNPRALQVKYLTTYQKTDEKLSAYVLRLEPLLQKLVQKGAIEKEVVNQARLDQV IAGAVHKSVRRELGLPEGSPAPGLLQLLTLIKDKEAEEEEVLLQAELEGYCT >ENSMUSP00000031422.3 pep:known chromosome:GRCm38:5:122422444:122444912:1 gene:ENSMUSG00000029466.11 transcript:ENSMUST00000031422.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc7 description:anaphase promoting complex subunit 7 [Source:MGI Symbol;Acc:MGI:1929711] MSVIDHVRDMAAAGLHSNVRLLSSLLLTMSNNNPELFSPSQKYQLLVYHADSLFHDKEYR NAVSKYAMALQQKKALSKTSKVRPSTGNSASTPQSQCLPSEIEVKYKMAECYTMLKLDKD AIAVLDGIPSRQRTPKINMMLANLYKKAGQERPSVTSYKEVLRQCPLALDAILGLLSLSV KGAEVASMTMNVIQTVPNLDWLSVWIKAYAFVHTGDNSRAINTICSLEKKSLLRDNVDLL GSLADLYFRAGDSKNSVLKFEQAQMLDPYLIRGMDVYGYLLAREGRLEDVENLGCRLFNI SDQHAEPWVVSGCHSFYSKRYSRALYLGAKAIQLNSNSVQALLLKGAALRNMGRVQEAII HFREAIRLAPCRLDCYEGLIECYLASNSIREAMVMANNVYKTLGANAQTLTLLATVCLED PVTQEKAKTLLDKALAQRPDYVKAVVKKAELLSREQKYEDGIALLRNALANQSDCVLHRI LGDFLVAVNEYQEAMDQYSIALSLDPNDQKSLEGMQKMEKEESPTDATQEEDVDDMEGSG EEGDLEGSDSEAAQWADQEQWFGMQ >ENSMUSP00000123365.1 pep:known chromosome:GRCm38:5:122441375:122441782:1 gene:ENSMUSG00000029466.11 transcript:ENSMUST00000154074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc7 description:anaphase promoting complex subunit 7 [Source:MGI Symbol;Acc:MGI:1929711] XGDFLVAVNEYQEAMDQYSIALSPHPSSTQADCTALLCLPTPHGFRGLRLVEILQSAF >ENSMUSP00000113928.1 pep:known chromosome:GRCm38:5:122421693:122444912:1 gene:ENSMUSG00000029466.11 transcript:ENSMUST00000122010.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc7 description:anaphase promoting complex subunit 7 [Source:MGI Symbol;Acc:MGI:1929711] MSVIDHVRDMAAAGLHSNVRLLSSLLLTMSNNNPELFSPSQKYQLLVYHADSLFHDKEYR NAVSKYAMALQQKKALSKTSKVRPSTGNSASTPQSQCLPSEIEVKYKMAECYTMLKLDKD AIAVLDGIPSRQRTPKINMMLANLYKKAGQERPSVTSYKEVLRQCPLALDAILGLLSLSV KGAEVASMTMNVIQTVPNLDWLSVWIKAYAFVHTGDNSRAINTICSLEKKSLLRDNVDLL GSLADLYFRAGDSKNSVLKFEQAQMLDPYLIRGMDVYGYLLAREGRLEDVENLGCRLFNI SDQHAEPWVVSGCHSFYSKRYSRALYLGAKAIQLNSNSVQALLLKGAALRNMGRVQEAII HFREAIRLAPCRLDCYEGLIECYLASNSIREAMVMANNVYKTLGANAQTLTLLATVCLED PVTQEKAKTLLDKALAQRPDYVKAVVKKAELLSREQKYEDGIALLRNALANQSDCVLHRI LGDFLVAVNEYQEAMDQYSIALSLDPNDQKSLEGMQKMEKEESPTDATQEEDVDDMEGSG EEGDLEGSDSEAAQWADQEQWFGMQ >ENSMUSP00000112658.1 pep:known chromosome:GRCm38:5:122422481:122443434:1 gene:ENSMUSG00000029466.11 transcript:ENSMUST00000119792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc7 description:anaphase promoting complex subunit 7 [Source:MGI Symbol;Acc:MGI:1929711] MSVIDHVRDMAAAGLHSNVRLLSSLLLTMSNNNPELFSPSQKYQLLVYHADSLFHDKEYR NAVSKYAMALQQKKALSKTSKVRPSTGNSASTPQSQCLPSEIEVKYKMAECYTMLKLDKD AIAVLDGIPSRQRTPKINMMLANLYKKAGQERPSVTSYKEVLRQCPLALDAILGLLSLSV KGAEVASMTMNVIQTVPNLDWLSVWIKAYAFVHTGDNSRAINTICSLEKKSLLRDNVDLL GSLADLYFRAGDSKNSVLKFEQAQMLDPYLIRGMDVYGYLLAREGRLEDVENLGCRLFNI SDQHAEPWVVSGCHSFYSKRYSRALYLGAKAIQLNSNSVQALLLKGAALRNMGRVQEAII HFREAIRLAPCRLDCYEGLIECYLASNSIREAMVMANNVYKTLGANAQTLTLLATVCLED PVTQEKAKTLLDKALAQRPDYVKAVVKKAELLSREQKYEDGIALLRNALANQSDCVLHRI LGDFLVAVNEYQEAMDQYSIALR >ENSMUSP00000050377.3 pep:known chromosome:GRCm38:4:154666433:154667867:-1 gene:ENSMUSG00000051276.4 transcript:ENSMUST00000060062.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actrt2 description:actin-related protein T2 [Source:MGI Symbol;Acc:MGI:1920603] MFNPLVLDSPSVIFDNGSGLCKAGLSGEIGPRHVTSSVVGYPKFKAPPTGASQKKYFVGE EALYKQEALSLHYPIDRGLVTSWDDVEKLWRHLFEWELGVKPCERPVLVTEPSLNPRENR EKTAEMMFETFEVPAFYLSDQAVLALYSSACVTGLVVDSGDGVTCTVPIYEGYSLPHAVS KLYVAGKDITELLTRLLLASGRAFPCPLEKALADDIKEKLCYVALEPEEELSRRAEDVLR EYKLPDGNVIYIGDQLYQAPEVLFSPDQLGTHGPGLAQMASNSITKCDADIQKTLFGEIV LSGGSTLFQGLDDRLLKELEQLASKGVPIKITAPPDRWFSTWIGASIVTSLSSFKQMWIT AADFKEFGVSVVQRRCF >ENSMUSP00000133604.1 pep:known chromosome:GRCm38:12:102741732:102758672:-1 gene:ENSMUSG00000041716.7 transcript:ENSMUST00000174651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20604 description:predicted gene 20604 [Source:MGI Symbol;Acc:MGI:5305180] MELSGEYVGCDGEPQRLRVSCEASGDADPLQSLSAGVVRMKELVAEFFGTLVEQDAQGLA EDPDDALDGSRTSAC >ENSMUSP00000137212.1 pep:known chromosome:GRCm38:12:102756281:102757803:-1 gene:ENSMUSG00000091931.5 transcript:ENSMUST00000179263.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AK010878 description:cDNA sequence AK010878 [Source:MGI Symbol;Acc:MGI:4845848] MELSGEYVGCDGEPQRLRVSCEASGDADPLQSLSAGVVRMKELVAEFFGTLVEQDAQGLA EDPDDALDVPSEARRGHQIPWNQSYR >ENSMUSP00000136425.1 pep:known chromosome:GRCm38:12:102753275:102757800:-1 gene:ENSMUSG00000091931.5 transcript:ENSMUST00000173969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AK010878 description:cDNA sequence AK010878 [Source:MGI Symbol;Acc:MGI:4845848] MELSGEYVGCDGEPQRLRVSCEASGDADPLQSLSAGVVRMKELVAEFFGTLVEQDAQGLA EDPDDALDGDDEDDAEDENNSGRTNSDGPSAKRPKPAS >ENSMUSP00000083901.4 pep:known chromosome:GRCm38:1:107153940:107161114:-1 gene:ENSMUSG00000073602.10 transcript:ENSMUST00000086694.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb3b description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 3B [Source:MGI Symbol;Acc:MGI:2683293] MIRFHAADVKFAVEMYRQLRESDKNIFYSPISMMTALAMLQLGAKGNTEIQIEKVLQFIE TTKKTTEKSEHCDDEENVHEQFQKLITQLNKSNDDYDLKAANSIYGAKGFPFLQTFLEDI KEYYQAKVESLDFEHATEESEKKINSWVESKTNGKIKDLFPSGSLSSSTILVLVNAVYFK GQWNRKFNENHTREEKFWLNKNTSKPVQMMKQRNKFNFSFLGDVHAQIVEIPYKGKDLSM FVLLPMEIDGLKQLEEQLTTDKLLEWIKAENMHLTELYLSLPRFKVEEKYDLQVPLEHMG MVDAFDPQKADFSGMSSIPGLVVSKVLHKSFVEVNEEGTEAAAATGVEVSVRSAQIAEDF CCDHPFLFFIIHRMTNSILFFGRICSP >ENSMUSP00000132370.1 pep:known chromosome:GRCm38:1:107153961:107278361:-1 gene:ENSMUSG00000073602.10 transcript:ENSMUST00000166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb3b description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 3B [Source:MGI Symbol;Acc:MGI:2683293] MIRFHAADVKFAVEMYRQLRESDKNIFYSPISMMTALAMLQLGAKGNTEIQIEKVLQFIE TTKKTTEKSEHCDDEENVHEQFQKLITQLNKSNDDYDLKAANSIYGAKGFPFLQTFLEDI KEYYQAKVESLDFEHATEESEKKINSWVESKTNGKIKDLFPSGSLSSSTILVLVNAVYFK GQWNRKFNENHTREEKFWLNKNTSKPVQMMKQRNKFNFSFLGDVHAQIVEIPYKGKDLSM FVLLPMEIDGLKQLEEQLTTDKLLEWIKAENMHLTELYLSLPRFKVEEKYDLQVPLEHMG MVDAFDPQKADFSGMSSIPGLVVSKVLHKSFVEVNEEGTEAAAATGVEVSVRSAQIAEDF CCDHPFLFFIIHRMTNSILFFGRICSP >ENSMUSP00000137275.1 pep:known chromosome:GRCm38:12:102623373:102704914:-1 gene:ENSMUSG00000057963.9 transcript:ENSMUST00000178697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpk1 description:inositol 1,3,4-triphosphate 5/6 kinase [Source:MGI Symbol;Acc:MGI:2446159] MQTFLKGKRVGYWLSEKKVKKLNFQAFAELCRKRGIEVVQAPAAASQNGWHVWPVCESSS PGLPVDILLNLSRPIEEQGPLDVII >ENSMUSP00000139394.1 pep:known chromosome:GRCm38:12:102587909:102640934:-1 gene:ENSMUSG00000057963.9 transcript:ENSMUST00000191320.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpk1 description:inositol 1,3,4-triphosphate 5/6 kinase [Source:MGI Symbol;Acc:MGI:2446159] MKDDRICSPPFMELTSLCGEDTMRLLEQNGLAFPFICKTRVAHGT >ENSMUSP00000136931.1 pep:known chromosome:GRCm38:12:102588506:102695802:-1 gene:ENSMUSG00000057963.9 transcript:ENSMUST00000179210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpk1 description:inositol 1,3,4-triphosphate 5/6 kinase [Source:MGI Symbol;Acc:MGI:2446159] MKDDRICSPPFMELTSLCGED >ENSMUSP00000046027.5 pep:known chromosome:GRCm38:12:102568582:102704930:-1 gene:ENSMUSG00000057963.9 transcript:ENSMUST00000046518.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itpk1 description:inositol 1,3,4-triphosphate 5/6 kinase [Source:MGI Symbol;Acc:MGI:2446159] MQTFLKGKRVGYWLSEKKVKKLNFQAFAELCRKRGIEVVQLNLSRPIEEQGPLDVIIHKL TDVILEADQNDSQSLELVHRFQEYIDAHPETIVLDPLPAIRTLLDRSKSYELIRKIEAYM KDDRICSPPFMELTSLCGEDTMRLLEQNGLAFPFICKTRVAHGTNSHEMAIVFNQEGLNA IQPPCVVQNFINHNAVLYKVFVVGESYTVVQRPSLKNFSAGTSDRESIFFNSHNVSKPES SSVLTELDKIEGVFERPSDEVIRELSRALRQALGVSLFGIDIIINNQTGQHAVIDVNAFP GYEGVSEFFTDLLNHIATVLQGQSTGGAATEEVAPLRHNRLLAEPAGSLAGERTCSASPG CCGSMKGQDTPWKTETEAGNMGAGASAKLPHQRLGCTTGVSPSFQQHCVASLATKASSQ >ENSMUSP00000123509.1 pep:known chromosome:GRCm38:1:135799833:135810989:1 gene:ENSMUSG00000026418.16 transcript:ENSMUST00000148201.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni1 description:troponin I, skeletal, slow 1 [Source:MGI Symbol;Acc:MGI:105073] MPEVERKSKITASRKLMLKSLMLAKAKECWEQEHEEREAEKVRYLSERIPTLQTRGLSLS ALQDLCRELHAKVEVVDEERYDIEAKCLHNTREIKDLKLKVLDLRGKFKRPPLRRVRVSA DAMLRALLGSKHKVSMDLRANLKSVKKEDTEKERPVEVGDWRKNVEAMSGMEGRKKMFDA AKSPTSQ >ENSMUSP00000119848.1 pep:known chromosome:GRCm38:1:135805057:135809909:1 gene:ENSMUSG00000026418.16 transcript:ENSMUST00000129217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni1 description:troponin I, skeletal, slow 1 [Source:MGI Symbol;Acc:MGI:105073] XSKITASRKLMLKSLMLAKAKECWEQEHEEREAEKVRYLSERIPTLQTRGLSLSALQDLC RELHAKVEVVDEERYDIEAKCLHNTREIKDLKLKVLDLRGKFKRPPLRRVRVSADAMLRA LLGSKHKVSMDLRANLKSVKKEDTEKERPVEVGDWRKNVEAMSGMEGRKKMFDAAKSPTS Q >ENSMUSP00000123049.1 pep:known chromosome:GRCm38:1:135799519:135809735:1 gene:ENSMUSG00000026418.16 transcript:ENSMUST00000139986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni1 description:troponin I, skeletal, slow 1 [Source:MGI Symbol;Acc:MGI:105073] MLKSLMLAKAKECWEQEHEEREAEKVRYLSERIPTLQTRGLSLSALQDLCRELHAKVEVV DEERYDIEAKCLHNTREIKDLKLKVLDLRGKFKRPPLRRVRVSADAMLRALLGSKHKVSM DLRANLKSVKKEDTEKERPVEVGDWRKNVEAMSGMEGRKKMFDAAKSPTSQ >ENSMUSP00000122925.1 pep:known chromosome:GRCm38:1:135799511:135810700:1 gene:ENSMUSG00000026418.16 transcript:ENSMUST00000154463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni1 description:troponin I, skeletal, slow 1 [Source:MGI Symbol;Acc:MGI:105073] MPEVERKSKITASRKLMLKSLMLAKAKECWEQEHEEREAEKVRYLSERIPTLQTRGLSLS ALQDLCRELHAKVEVVDEERYDIEAKCLHNTREIKDLKLKVLDLRGKFKRPPLRRVRVSA DAMLRALLGSKHKVSMDLRANLKSVKKEDTEKERPVEVGDWRKNVEAMSGMEGRKKMFDA AKSPTSQ >ENSMUSP00000121343.1 pep:known chromosome:GRCm38:1:135799421:135810989:1 gene:ENSMUSG00000026418.16 transcript:ENSMUST00000152075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni1 description:troponin I, skeletal, slow 1 [Source:MGI Symbol;Acc:MGI:105073] MPEVERKSKITASRKLMLKSLMLAKAKECWEQEHEEREAEKVRYLSERIPTLQTRGLSLS ALQDLCRELHAKVEVVDEERYDIEAKCLHNTREIKDLKLKVLDLRGKFKRPPLRRVRVSA DAMLRALLGSKHKVSMDLRANLKSVKKEDTEKERPVEVGDWRKNVEAMSGMEGRKKMFDA AKSPTSQ >ENSMUSP00000121966.1 pep:known chromosome:GRCm38:1:135799402:135810989:1 gene:ENSMUSG00000026418.16 transcript:ENSMUST00000152208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni1 description:troponin I, skeletal, slow 1 [Source:MGI Symbol;Acc:MGI:105073] MPEVERKSKITASRKLMLKSLMLAKAKECWEQEHEEREAEKVRYLSERIPTLQTRGLSLS ALQDLCRELHAKVEVVDEERYDIEAKCLHNTREIKDLKLKVLDLRGKFKRPPLRRVRVSA DAMLRALLGSKHKVSMDLRANLKSVKKEDTEKERPVEVGDWRKNVEAMSGMEGRKKMFDA AKSPTSQ >ENSMUSP00000121122.1 pep:known chromosome:GRCm38:1:135783065:135805622:1 gene:ENSMUSG00000026418.16 transcript:ENSMUST00000132795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnni1 description:troponin I, skeletal, slow 1 [Source:MGI Symbol;Acc:MGI:105073] MPEVERKSKITASRKLMLKSLMLAKAKECWEQEHEEREAEKVRYLSERIPTLQTRGLSLS >ENSMUSP00000027565.4 pep:known chromosome:GRCm38:1:107271201:107278371:-1 gene:ENSMUSG00000073601.2 transcript:ENSMUST00000027565.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb3c description:serine (or cysteine) peptidase inhibitor, clade B, member 3C [Source:MGI Symbol;Acc:MGI:1277952] MILFPEADGKFTVEMYRQLRESDKNIFYSPISMITALGMLKLGAKGNTEIQIEKVLQCNE TTEKTTEKSAHCDDEDNVHEQFQKLITQLNKSNDDYDLKAANSIYGAKGFPLLQTFLEDI KEYYHANVESLDFEHAAEESEKKINFWVKNETNGKIKDLFPSGSLSSSTKLVLVNAVYFK GRWNHKFDENNTIEEMFWLNKNTSIPVPMMKQRNKFMFSFLEDVQAQIVEIPYKGKELSM FVLLPMEIDGLKQLEKQLTAAKLLEWTRAENMHLTELYLWLPRFKVEEKYDLPVPLECMG MVNAFDPQKADFSGMSSTQGLVVSKVLHKSFVEVNEEGTEADPASGEEVILRLAQVADFR CDHPFLFFIIHSKTNSILFFGRISSP >ENSMUSP00000031423.8 pep:known chromosome:GRCm38:5:122456340:122502225:-1 gene:ENSMUSG00000029467.15 transcript:ENSMUST00000031423.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2a2 description:ATPase, Ca++ transporting, cardiac muscle, slow twitch 2 [Source:MGI Symbol;Acc:MGI:88110] MENAHTKTVEEVLGHFGVNESTGLSLEQVKKLKERWGSNELPAEEGKTLLELVIEQFEDL LVRILLLAACISFVLAWFEEGEETITAFVEPFVILLILVANAIVGVWQERNAENAIEALK EYEPEMGKVYRQDRKSVQRIKAKDIVPGDIVEIAVGDKVPADIRLTSIKSTTLRVDQSIL TGESVSVIKHTDPVPDPRAVNQDKKNMLFSGTNIAAGKAMGVVVATGVNTEIGKIRDEMV ATEQERTPLQQKLDEFGEQLSKVISLICIAVWIINIGHFNDPVHGGSWIRGAIYYFKIAV ALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ MSVCRMFILDKVEGDTCSLNEFSITGSTYAPIGEVQKDDKPVKCHQYDGLVELATICALC NDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTELKGLSKIERANACNSVIKQLMK KEFTLEFSRDRKSMSVYCTPNKPSRTSMSKMFVKGAPEGVIDRCTHIRVGSTKVPMTPGV KQKIMSVIREWGSGSDTLRCLALATHDNPLKREEMHLEDSANFIKYETNLTFVGCVGMLD PPRIEVASSVKLCRQAGIRVIMITGDNKGTAVAICRRIGIFGQDEDVTSKAFTGREFDEL SPSAQRDACLNARCFARVEPSHKSKIVEFLQSFDEITAMTGDGVNDAPALKKSEIGIAMG SGTAVAKTASEMVLADDNFSTIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAAL GFPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMNKPPRNPKEPLISGWLFFRYLAIG CYVGAATVGAAAWWFIAADGGPRVSFYQLSHFLQCKEDNPDFDGVDCAIFESPYPMTMAL SVLVTIEMCNALNSLSENQSLLRMPPWENIWLVGSICLSMSLHFLILYVEPLPLIFQITP LNLTQWLMVLKISLPVILMDETLKFVARNYLEQPGKECVQPATKSSCSLSACTDGISWPF VLLIMPLVVWVYSTDTNFSDMFWS >ENSMUSP00000135935.2 pep:known chromosome:GRCm38:5:122453513:122502174:-1 gene:ENSMUSG00000029467.15 transcript:ENSMUST00000179939.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp2a2 description:ATPase, Ca++ transporting, cardiac muscle, slow twitch 2 [Source:MGI Symbol;Acc:MGI:88110] MENAHTKTVEEVLGHFGVNESTGLSLEQVKKLKERWGSNELPAEEGKTLLELVIEQFEDL LVRILLLAACISFVLAWFEEGEETITAFVEPFVILLILVANAIVGVWQERNAENAIEALK EYEPEMGKVYRQDRKSVQRIKAKDIVPGDIVEIAVGDKVPADIRLTSIKSTTLRVDQSIL TGESVSVIKHTDPVPDPRAVNQDKKNMLFSGTNIAAGKAMGVVVATGVNTEIGKIRDEMV ATEQERTPLQQKLDEFGEQLSKVISLICIAVWIINIGHFNDPVHGGSWIRGAIYYFKIAV ALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ MSVCRMFILDKVEGDTCSLNEFSITGSTYAPIGEVQKDDKPVKCHQYDGLVELATICALC NDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTELKGLSKIERANACNSVIKQLMK KEFTLEFSRDRKSMSVYCTPNKPSRTSMSKMFVKGAPEGVIDRCTHIRVGSTKVPMTPGV KQKIMSVIREWGSGSDTLRCLALATHDNPLKREEMHLEDSANFIKYETNLTFVGCVGMLD PPRIEVASSVKLCRQAGIRVIMITGDNKGTAVAICRRIGIFGQDEDVTSKAFTGREFDEL SPSAQRDACLNARCFARVEPSHKSKIVEFLQSFDEITAMTGDGVNDAPALKKSEIGIAMG SGTAVAKTASEMVLADDNFSTIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAAL GFPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMNKPPRNPKEPLISGWLFFRYLAIG CYVGAATVGAAAWWFIAADGGPRVSFYQLSHFLQCKEDNPDFDGVDCAIFESPYPMTMAL SVLVTIEMCNALNSLSENQSLLRMPPWENIWLVGSICLSMSLHFLILYVEPLPLIFQITP LNLTQWLMVLKISLPVILMDETLKFVARNYLEQPGKECVQPATKSSCSLSACTDGISWPF VLLIMPLVVWVYSTDTNFSDMFWS >ENSMUSP00000136104.1 pep:known chromosome:GRCm38:5:122453514:122501895:-1 gene:ENSMUSG00000029467.15 transcript:ENSMUST00000177974.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2a2 description:ATPase, Ca++ transporting, cardiac muscle, slow twitch 2 [Source:MGI Symbol;Acc:MGI:88110] MENAHTKTVEEVLGHFGVNESTGLSLEQVKKLKERWGSNELPAEEGKTLLELVIEQFEDL LVRILLLAACISFVLAWFEEGEETITAFVEPFVILLILVANAIVGVWQERNAENAIEALK EYEPEMGKVYRQDRKSVQRIKAKDIVPGDIVEIAVGDKVPADIRLTSIKSTTLRVDQSIL TGESVSVIKHTDPVPDPRAVNQDKKNMLFSGTNIAAGKAMGVVVATGVNTEIGKIRDEMV ATEQERTPLQQKLDEFGEQLSKVISLICIAVWIINIGHFNDPVHGGSWIRGAIYYFKIAV ALAVAAIPEGLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQ MSVCRMFILDKVEGDTCSLNEFSITGSTYAPIGEVQKDDKPVKCHQYDGLVELATICALC NDSALDYNEAKGVYEKVGEATETALTCLVEKMNVFDTELKGLSKIERANACNSVIKQLMK KEFTLEFSRDRKSMSVYCTPNKPSRTSMSKMFVKGAPEGVIDRCTHIRVGSTKVPMTPGV KQKIMSVIREWGSGSDTLRCLALATHDNPLKREEMHLEDSANFIKYETNLTFVGCVGMLD PPRIEVASSVKLCRQAGIRVIMITGDNKGTAVAICRRIGIFGQDEDVTSKAFTGREFDEL SPSAQRDACLNARCFARVEPSHKSKIVEFLQSFDEITAMTGDGVNDAPALKKSEIGIAMG SGTAVAKTASEMVLADDNFSTIVAAVEEGRAIYNNMKQFIRYLISSNVGEVVCIFLTAAL GFPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMNKPPRNPKEPLISGWLFFRYLAIG CYVGAATVGAAAWWFIAADGGPRVSFYQLSHFLQCKEDNPDFDGVDCAIFESPYPMTMAL SVLVTIEMCNALNSLSENQSLLRMPPWENIWLVGSICLSMSLHFLILYVEPLPLIFQITP LNLTQWLMVLKISLPVILMDETLKFVARNYLEQPAILE >ENSMUSP00000102300.1 pep:known chromosome:GRCm38:11:109582072:109611432:-1 gene:ENSMUSG00000041895.15 transcript:ENSMUST00000106689.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipi1 description:WD repeat domain, phosphoinositide interacting 1 [Source:MGI Symbol;Acc:MGI:1261864] MEAEAADAPPGRVEAALSCFSFNQDCTSLAIGTKAGYKLFSLSSVEQLDQVHGSNEIPDV YIVERLFSSSLVVVVSHTKPRQMNVYHFKKGTEICNYSYSSNILSIRLNRQRLLVCLEES IYIHNIKDMKLLKTVLDIPSNPTGLCALSINHSNSYLAYPGSQSTGEIVLYDGNSLKTVC TIAAHEGTLAAITFNSSGSKLASASEKGTVIRVFSVPEGQKLYEFRRGMKRYVTISSLVF SMDSQFLCASSNTETVHIFKMEHLTDRQVRGQAEFLPDGRSSPRLFCVRSLELHF >ENSMUSP00000038635.3 pep:known chromosome:GRCm38:11:109575132:109611432:-1 gene:ENSMUSG00000041895.15 transcript:ENSMUST00000047186.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipi1 description:WD repeat domain, phosphoinositide interacting 1 [Source:MGI Symbol;Acc:MGI:1261864] MEAEAADAPPGRVEAALSCFSFNQDCTSLAIGTKAGYKLFSLSSVEQLDQVHGSNEIPDV YIVERLFSSSLVVVVSHTKPRQMNVYHFKKGTEICNYSYSSNILSIRLNRQRLLVCLEES IYIHNIKDMKLLKTVLDIPSNPTGLCALSINHSNSYLAYPGSQSTGEIVLYDGNSLKTVC TIAAHEGTLAAITFNSSGSKLASASEKGTVIRVFSVPEGQKLYEFRRGMKRYVTISSLVF SMDSQFLCASSNTETVHIFKMEHLTDSRPEEPSTWSGYMGKMFMAATNYLPAQVSDMMNQ DRAFATGRLNFSGQKNICTLSTIQKLPRLLVASSDGHLYIYNLDPQDGGECVLIKTHSLL SSGTTEENKENDLRPSLPPSYAATVARPSTSAASTVPGYSEDGGALRGEVIPEHEFATGP VCLDDENEFPPVSIRNP >ENSMUSP00000099349.3 pep:known chromosome:GRCm38:11:109573331:109611432:-1 gene:ENSMUSG00000041895.15 transcript:ENSMUST00000103060.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wipi1 description:WD repeat domain, phosphoinositide interacting 1 [Source:MGI Symbol;Acc:MGI:1261864] MEAEAADAPPGRVEAALSCFSFNQDCTSLAIGTKAGYKLFSLSSVEQLDQVHGSNEIPDV YIVERLFSSSLVVVVSHTKPRQMNVYHFKKGTEICNYSYSSNILSIRLNRQRLLVCLEES IYIHNIKDMKLLKTVLDIPSNPTGLCALSINHSNSYLAYPGSQSTGEIVLYDGNSLKTVC TIAAHEGTLAAITFNSSGSKLASASEKGTVIRVFSVPEGQKLYEFRRGMKRYVTISSLVF SMDSQFLCASSNTETVHIFKMEHLTDSRPEEPSTWSGYMGKMFMAATNYLPAQVSDMMNQ DRAFATGRLNFSGQKNICTLSTIQKLPRLLVASSDGHLYIYNLDPQDGGECVLIKTHSLL SSGTTEENKENDLRPSLPPSYAATVARPSTSAASTVPGYSEDGGALRGEVIPEHEFATGP VCLDDENEFPPIILCRGSQKGKTKQS >ENSMUSP00000136193.1 pep:known chromosome:GRCm38:12:102719975:102757765:-1 gene:ENSMUSG00000098530.1 transcript:ENSMUST00000179306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28051 description:predicted gene, 28051 [Source:MGI Symbol;Acc:MGI:5547787] MELSGEYVGCDGEPQRLRVSCEASGDADPLQSLSAGVVRMKELVAEFFGTLVEQDAQGLA EDPDDALDECELDSTMQIRTL >ENSMUSP00000051782.2 pep:known chromosome:GRCm38:4:154856200:154867127:1 gene:ENSMUSG00000046637.3 transcript:ENSMUST00000050220.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc34 description:tetratricopeptide repeat domain 34 [Source:MGI Symbol;Acc:MGI:2445205] MLLRSPSYAGKAAQAQEGDAYGVYQLATLLIGMDAEDETSCLLAADALYRLGRLDDAHKS LLVALSQRPQAAPVLVRLALLQLRRGFCYDANQLVKKVAQSGDTACLQHMLDIFHHEDLQ LLQDHCHTRALSILRARPGGSDSEAHTREAIAYLSLAIFAAGSGGSESLLVRARCYGLLG QKKTAMFDFNAILREEPGNVKALCGRALVHLALDQLQEAVDDMVSALKLDPGTVIPEILS LKTEVQLPLTQGLYTRCRVLLNQCLHTGVPLREEDTQGLLAMGKALIRINATQPSWRLLL TDILTGLGKYQEAGTHLQEALHLTPSSEAAQARQGLLQLKKGDVSAAVHGLQCLAERDTQ DLGFLLCLLDSPERQSLVQTAAKEASNILDLGNPGQALSYCSLAILAGGNNPYHLRLRVA CLTQLQEYDRALRDLDRVLQHPAEDSDLPRQSEDFCTRGRLLLSLGDKDGAAGAFTQALA LAPAQAQNSLLEQPGQAMTASVFLIHGQRCLEEEHFEEAWTAVQNGLLVDPSHSGLKKLK LRTRKVATSGCRLH >ENSMUSP00000146409.1 pep:known chromosome:GRCm38:4:154837459:154867125:1 gene:ENSMUSG00000046637.3 transcript:ENSMUST00000207854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc34 description:tetratricopeptide repeat domain 34 [Source:MGI Symbol;Acc:MGI:2445205] MSAQELVACLCQEGDKHLALEELPLATAFYLAAFSCHAPSAVRHVRALLAESPGAPVVAT LESWCCGDSQIPAIHWDGMTVVSLTGPLASAFLGAICPDHPATVLYLLAGLLAQGRHVEV VQRCNTLLDAHSKQALELQLTRALAWVLSVDQAREGIAAYLQNFASSAARTVAFIRSHQQ PYLPRLLGSLQNYLSGHPKTSASQQETNCRGLLEALDPRGSWMGAMSPEALLRRGRFEDC RAACSRDLQPDSTGSRPQGEHLAALLITRAAATFFQDGPAGDMLRDLQEAFCESPAGARK QFQALLSAEDRERLQAQAQEAAGLGFARFLEAVRSRELRRDADRELLVPVTRALRVLLRV APARRRPALGIRLAECLLLAGDVAGARAQCERLLRPRRPGERAGDRTGEHAPLLALRGFC ALHAGDARRAREDFQAVVERAAPHAGGCVRALCGRGLLRVLAGSAFLGALDYVTACRLRP EEALLIAKAYVPWNQRGLLLTVLREEGRKMLLRSPSYAGKAAQAQEGDAYGVYQLATLLI GMDAEDETSCLLAADALYRLGRLDDAHKSLLVALSQRPQAAPVLVRLALLQLRRGFCYDA NQLVKKVAQSGDTACLQHMLDIFHHEDLQLLQDHCHTRALSILRARPGGSDSEAHTREAI AYLSLAIFAAGSGGSESLLVRARCYGLLGQKKTAMFDFNAILREEPGNVKALCGRALVHL ALDQLQEAVDDMVSALKLDPGTVIPEILSLKTEVQLPLTQGLYTRCRVLLNQCLHTGVPL REEDTQGLLAMGKALIRINATQPSWRLLLTDILTGLGKYQEAGTHLQEALHLTPSSEAAQ ARQGLLQLKKGDVSAAVHGLQCLAERDTQDLGFLLCLLDSPERQSLVQTAAKEASNILDL GNPGQALSYCSLAILAGGNNPYHLRLRVACLTQLQEYDRALRDLDRVLQHPAEDSDLPRQ SEDFCTRGRLLLSLGDKDGAAGAFTQALALAPAQAQNSLLEQPGQAMTASVFLIHGQRCL EEEHFEEAWTAVQNGLLVDPSHSGLKKLKLRTRKVATSGCRLH >ENSMUSP00000069615.4 pep:known chromosome:GRCm38:5:138606120:138619740:-1 gene:ENSMUSG00000058291.14 transcript:ENSMUST00000063262.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp68 description:zinc finger protein 68 [Source:MGI Symbol;Acc:MGI:1344427] MSWKPEMGLVSFEDIAVEFTWQEWQDLNEGQRTLYRDVMLENYSNLLFLGHCKTKPELIF NLEQRLGSWIVEASHQCVPDFQNMSTLNKSSPDTKARHVWQVALNSETSKELAGIGNTCN VSTNHISDQTIKNENSLGMSPRKFILWKDVYLHTDADEIEVGEEPEDLNGTAESVTHPEQ VSLYRFQRSQSYFQSLIPGKSFNTKAVLLTHKFSEYAKSLGDTAFIDKEMAQIRVKSFEC NVSEINCSKSDLNENEPTHMGEKHYKCSNFENPFIIESYLPKHKGQHEKLFIQKEYEFSQ QSEVSLHQKIRRGKKTYECKICGKCFYWKTSFNRHQSTHTGEKPYECTECSKAFCQKSHL TQHQRVHTGERPYICFECRKAFYRKSELTDHQRIHTGEKPYECKECGKAFCQKPQLTLHQ RIHTGEKPYECAECGKAFSTKSYLTVHQRTHTGEKPYECTVCRKSFICKSSFSHHWRTHT GEKPYECKQCMKTFYRKSGLTRHQRTHTGDKRYECQLCQKAFYCTSHLIVHQRTHTGEKP YECKECRKAFYDKSNLKRHQKIHSMKKASESKQSNNFFLSDTSQHQTMYYEYEECKKAFH QKTNFT >ENSMUSP00000117037.1 pep:known chromosome:GRCm38:5:138612067:138619653:-1 gene:ENSMUSG00000058291.14 transcript:ENSMUST00000129832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp68 description:zinc finger protein 68 [Source:MGI Symbol;Acc:MGI:1344427] MSWKPEMGLVSFEDIAVEFTWQEWQDLNEGQRTLYRDVML >ENSMUSP00000106530.2 pep:known chromosome:GRCm38:5:138603652:138619761:-1 gene:ENSMUSG00000058291.14 transcript:ENSMUST00000110905.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp68 description:zinc finger protein 68 [Source:MGI Symbol;Acc:MGI:1344427] MSWKPEMGLVSFEDIAVEFTWQEWQDLNEGQRTLYRDVMLENYSNLLFLGHCKTKPELIF NLEQRLGSWIVEASHQCVPDFQNMSTLNKSSPDTKARHVWQVALNSETSKELAGIGNTCN VSTNHISDQTIKNENSLGMSPRKFILWKDVYLHTDADEIEVGEEPEDLNGTAESVTHPEQ VSLYRFQRSQSYFQSLIPGKSFNTKAVLLTHKFSEYAKSLGDTAFIDKEMAQIRVKSFEC NVSEINCSKSDLNENEPTHMGEKHYKCSNFENPFIIESYLPKHKGQHEKLFIQKEYEFSQ QSEVSLHQKIRRGKKTYECKICGKCFYWKTSFNRHQSTHTGEKPYECTECSKAFCQKSHL TQHQRVHTGERPYICFECRKAFYRKSELTDHQRIHTGEKPYECKECGKAFCQKPQLTLHQ RIHTGEKPYECAECGKAFSTKSYLTVHQRTHTGEKPYECTVCRKSFICKSSFSHHWRTHT GEKPYECKQCMKTFYRKSGLTRHQRTHTGDKRYECQLCQKAFYCTSHLIVHQRTHTGEKP YECKECRKAFYDKSNLKRHQKIHSMKKASESKQSNNFFLSDTSQHQTMYYEYEECKKAFH QKTNFT >ENSMUSP00000083013.4 pep:known chromosome:GRCm38:5:138604984:138619758:-1 gene:ENSMUSG00000058291.14 transcript:ENSMUST00000085852.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp68 description:zinc finger protein 68 [Source:MGI Symbol;Acc:MGI:1344427] MLENYSNLLFLGHCKTKPELIFNLEQRLGSWIVEASHQCVPDFQNMSTLNKSSPDTKARH VWQVALNSETSKELAGIGNTCNVSTNHISDQTIKNENSLGMSPRKFILWKDVYLHTDADE IEVGEEPEDLNGTAESVTHPEQVSLYRFQRSQSYFQSLIPGKSFNTKAVLLTHKFSEYAK SLGDTAFIDKEMAQIRVKSFECNVSEINCSKSDLNENEPTHMGEKHYKCSNFENPFIIES YLPKHKGQHEKLFIQKEYEFSQQSEVSLHQKIRRGKKTYECKICGKCFYWKTSFNRHQST HTGEKPYECTECSKAFCQKSHLTQHQRVHTGERPYICFECRKAFYRKSELTDHQRIHTGE KPYECKECGKAFCQKPQLTLHQRIHTGEKPYECAECGKAFSTKSYLTVHQRTHTGEKPYE CTVCRKSFICKSSFSHHWRTHTGEKPYECKQCMKTFYRKSGLTRHQRTHTGDKRYECQLC QKAFYCTSHLIVHQRTHTGEKPYECKECRKAFYDKSNLKRHQKIHSMKKASESKQSNNFF LSDTSQHQTMYYEYEECKKAFHQKTNFT >ENSMUSP00000121548.1 pep:known chromosome:GRCm38:4:137755086:137785371:-1 gene:ENSMUSG00000028766.10 transcript:ENSMUST00000133473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpl description:alkaline phosphatase, liver/bone/kidney [Source:MGI Symbol;Acc:MGI:87983] MISPFLVLAIGTCLTNSFVPEKERDPSYWRQQAQETLKNALKLQKLNTNVAKNVIMFLGD GMGVSTVTAARILKGQLHHNTGEETRLEMDKFPFVALS >ENSMUSP00000116308.1 pep:known chromosome:GRCm38:4:137753867:137782191:-1 gene:ENSMUSG00000028766.10 transcript:ENSMUST00000153588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpl description:alkaline phosphatase, liver/bone/kidney [Source:MGI Symbol;Acc:MGI:87983] MISPFLVLAIGTCLTNSFVPEKERDPSYWRQQAQETLKNALKLQKLNTNVAKNVIMFLGD GMGVSTVTAARILKGQLHHNTGEETRLEMDKFPFVALSKTYNTNAQVPDSAGTATAYLCG VKANEGTVGVSAATERTRCNTTQGNEVTSILRWAKDAG >ENSMUSP00000125041.1 pep:known chromosome:GRCm38:4:137752552:137766475:-1 gene:ENSMUSG00000028766.10 transcript:ENSMUST00000139951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpl description:alkaline phosphatase, liver/bone/kidney [Source:MGI Symbol;Acc:MGI:87983] MISPFLVLAIGTCLTNSFVPEKERDPSYWRQQAQETLKNALKLQKLNTNVAKNVIMFLGD GMGVSTVTAARILKGQLHHNTGEETRLEMDKFPFVALSKTYNTNAQVPDSAGTATAYLCG VKANEGTVGVSAATERTRCNTTQGNEVTSILRWAKDAGKSVGIVTTTRVNHATPSAAYAH SADRDWYSDNEMPPEALSQGCKDIAYQLMHNIKDID >ENSMUSP00000030551.4 pep:known chromosome:GRCm38:4:137741733:137796384:-1 gene:ENSMUSG00000028766.10 transcript:ENSMUST00000030551.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alpl description:alkaline phosphatase, liver/bone/kidney [Source:MGI Symbol;Acc:MGI:87983] MISPFLVLAIGTCLTNSFVPEKERDPSYWRQQAQETLKNALKLQKLNTNVAKNVIMFLGD GMGVSTVTAARILKGQLHHNTGEETRLEMDKFPFVALSKTYNTNAQVPDSAGTATAYLCG VKANEGTVGVSAATERTRCNTTQGNEVTSILRWAKDAGKSVGIVTTTRVNHATPSAAYAH SADRDWYSDNEMPPEALSQGCKDIAYQLMHNIKDIDVIMGGGRKYMYPKNRTDVEYELDE KARGTRLDGLDLISIWKSFKPRHKHSHYVWNRTELLALDPSRVDYLLGLFEPGDMQYELN RNNLTDPSLSEMVEVALRILTKNLKGFFLLVEGGRIDHGHHEGKAKQALHEAVEMDQAIG KAGAMTSQKDTLTVVTADHSHVFTFGGYTPRGNSIFGLAPMVSDTDKKPFTAILYGNGPG YKVVDGERENVSMVDYAHNNYQAQSAVPLRHETHGGEDVAVFAKGPMAHLLHGVHEQNYI PHVMAYASCIGANLDHCAWAGSGSAPSPGALLLPLAVLSLRTLF >ENSMUSP00000116611.1 pep:known chromosome:GRCm38:4:154897335:154899135:-1 gene:ENSMUSG00000029059.9 transcript:ENSMUST00000132281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213b description:family with sequence similarity 213, member B [Source:MGI Symbol;Acc:MGI:1913719] MVCRWIAQDLSNLRSILDQHDVRLVGVGPEALGLQEFLDGGYFSGELYLDESKQIYKELG FKRYNSLSILPAALGKPVRDVASKAKAVGIQGNLSGDLLQSGGLLVVSKGGSGQ >ENSMUSP00000030935.3 pep:known chromosome:GRCm38:4:154896399:154899110:-1 gene:ENSMUSG00000029059.9 transcript:ENSMUST00000030935.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam213b description:family with sequence similarity 213, member B [Source:MGI Symbol;Acc:MGI:1913719] MNVVDLGRVGACVLKHAVTGEAVELRSLWQEKACVVAGLRRFGCMVCRWIAQDLSNLRSI LDQHDVRLVGVGPEALGLQEFLDGGYFSGELYLDESKQIYKELGFKRYNSLSILPAALGK PVRDVASKAKAVGIQGNLSGDLLQSGGLLVVSKGGDKVLLHFIQKSPGDYVPQENILQAL GISAEVCSSKPPQCDEEVCGR >ENSMUSP00000026442.4 pep:known chromosome:GRCm38:11:120090531:120098731:-1 gene:ENSMUSG00000025377.14 transcript:ENSMUST00000026442.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enthd2 description:ENTH domain containing 2 [Source:MGI Symbol;Acc:MGI:1926027] MAAVPPLRDRLSFLHRLPILLKGTSDDSIPCPGYLFEEIAKISHESLGSSQCLLEYLLNR LDSSSGHVKLKVLKILLYLCGHGSSSFLLILRRNSALIQEATAFSGPPDPLHGNSLYQKD LGSTLFSDAVPQPPSQPPQIPPPAGMGAQARPLSALQGFGYTKESSRTGSAGETFLSTIQ RAAEVVANAVRPGPDNPCTKGPLPYGDSYQPAVTPSASHTHPNPGNLLPGAILGARAVRH QPGQAGGGWDELDSSPSSQNSSCTSNLSRASDSGSRSGSDSHSGTSREPGDLAERAEATP PNDCQQELNLVRTVTQGPRVFLSREETQHFIKECGLLNCEAVLELLLRQLVGTSECEQMR ALCAIASFGSADLLPQEHVLLLCRQQLQELGAGSPGPVTNKATKILRHFEASCGQQLPTL RLCAQPNSAAAPVGPADLLTSPVPAPGSQVFLQPLSSATVVPRSPVLFPSPNTLPPSALE EPSEVRTQLVCSSEQGTESEQRLENTDTPEDSSSPLPWSPNSLFAGMELVACPRLPCHSS QDLQTDLQKVTTEAPVSEPSAFAFLNM >ENSMUSP00000101832.3 pep:known chromosome:GRCm38:11:120090531:120098731:-1 gene:ENSMUSG00000025377.14 transcript:ENSMUST00000106225.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enthd2 description:ENTH domain containing 2 [Source:MGI Symbol;Acc:MGI:1926027] MAAVPPLRDRLSFLHRLPILLKGTSDDSIPCPGYLFEEIAKISHESLGSSQCLLEYLLNR LDSSSGHVKLKVLKILLYLCGHGSSSFLLILRRNSALIQEATAFSGPPDPLHGNSLYQKV RAAAQDLGSTLFSDAVPQPPSQPPQIPPPAGMGAQARPLSALQGFGYTKESSRTAVRHQP GQAGGGWDELDSSPSSQNSSCTSNLSRASDSGSRSGSDSHSGTSREPGDLAERAEATPPN DCQQELNLVRTVTQGPRVFLSREETQHFIKECGLLNCEAVLELLLRQLVGTSECEQMRAL CAIASFGSADLLPQEHVLLLCRQQLQELGAGSPGPVTNKATKILRHFEASCGQQLPTLRL CAQPNSAAAPVGPADLLTSPVPAPGSQVFLQPLSSATVVPRSPVLFPSPNTLPPSALEEP SEVRTQLVCSSEQGTESEQRLENTDTPEDSSSPLPWSPNSLFAGMELVACPRLPCHSSQD LQTDLQKVTTEAPVSEPSAFAFLNM >ENSMUSP00000091428.5 pep:known chromosome:GRCm38:11:120090531:120098731:-1 gene:ENSMUSG00000025377.14 transcript:ENSMUST00000093901.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enthd2 description:ENTH domain containing 2 [Source:MGI Symbol;Acc:MGI:1926027] MAAVPPLRDRLSFLHRLPILLKGTSDDSIPCPGYLFEEIAKISHESLGSSQCLLEYLLNR LDSSSGHVKLKVLKILLYLCGHGSSSFLLILRRNSALIQEATAFSGPPDPLHGNSLYQKV RAAAQDLGSTLFSDAVPQPPSQPPQIPPPAGMGAQARPLSALQGFGYTKESSRTGSAGET FLSTIQRAAEVVANAVRPGPDNPCTKGPLPYGDSYQPAVTPSASHTHPNPGNLLPGAILG ARAVRHQPGQAGGGWDELDSSPSSQNSSCTSNLSRASDSGSRSGSDSHSGTSREPGDLAE RAEATPPNDCQQELNLVRTVTQGPRVFLSREETQHFIKECGLLNCEAVLELLLRQLVGTS ECEQMRALCAIASFGSADLLPQEHVLLLCRQQLQELGAGSPGPVTNKATKILRHFEASCG QQLPTLRLCAQPNSAAAPVGPADLLTSPVPAPGSQVFLQPLSSATVVPRSPVLFPSPNTL PPSALEEPSEVRTQLVCSSEQGTESEQRLENTDTPEDSSSPLPWSPNSLFAGMELVACPR LPCHSSQDLQTDLQKVTTEAPVSEPSAFAFLNM >ENSMUSP00000079399.6 pep:known chromosome:GRCm38:4:154869636:154895528:1 gene:ENSMUSG00000058183.14 transcript:ENSMUST00000080559.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmel1 description:membrane metallo-endopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:1351603] MVERAGWCRKKSPGFVEYGLMVLLLLLLLGAIVTLGVFYSIALRDSSLKSDICTTPSCVI AAARILENMDQSRNPCENFYQYACGGWLRHHVIPETNSRYSVFDILRDELEVILKGVLED STSQHRPAVEKAKTLYRSCMNQSVIEKRDSEPLLSVLKMVGGWPVAMDKWNETMGLKWEL ERQLAVLNSQFNRRVLIDLFIWNDDQNSSRHVIYIDQPTLGMPSREYYFQEDNNHKVRKA YLEFMTSVATMLRKDQNLSKESAMVREEMAEVLELETHLANATVPQEKRHDVTALYHRMD LMELQERFGLKDRVSLCSPGCPGTHSVDQAGLKLRNPPASDSRVLGLKGFNWTLFIQNVL SSVEVELFPDEEVVVYGIPYLENLEDIIDSYSARTMQNYLVWRLVLDRIGSLSQRFKEAR VDYRKALYGTTVEEVRWRECVSYVNSNMESAVGSLYIKRAFSKDSKSTVRELIEKIRSVF VDNLDELNWMDEESKKKAQEKAMNIREQIGYPDYILEDNNKHLDEEYSSLTFYEDLYFEN GLQNLKNNAQRSLKKLREKVDQNLWIIGAAVVNAFYSPNRNQIVFPAGILQPPFFSKDQP QSLNFGGIGMVIGHEITHGFDDNGRNFDKNGNMLDWWSNFSARHFQQQSQCMIYQYGNFS WELADNQNVNGFSTLGENIADNGGVRQAYKAYLRWLADGGKDQRLPGLNLTYAQLFFINY AQVWCGSYRPEFAVQSIKTDVHSPLKYRVLGSLQNLPGFSEAFHCPRGSPMHPMKRCRIW >ENSMUSP00000131753.1 pep:known chromosome:GRCm38:4:154869585:154895528:1 gene:ENSMUSG00000058183.14 transcript:ENSMUST00000163732.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmel1 description:membrane metallo-endopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:1351603] MVERAGWCRKKSPGFVEYGLMVLLLLLLLGAIVTLGVFYSIGKQLPLLTSLLHFSWDERT VVKRALRDSSLKSDICTTPSCVIAAARILENMDQSRNPCENFYQYACGGWLRHHVIPETN SRYSVFDILRDELEVILKGVLEDSTSQHRPAVEKAKTLYRSCMNQSVIEKRDSEPLLSVL KMVGGWPVAMDKWNETMGLKWELERQLAVLNSQFNRRVLIDLFIWNDDQNSSRHVIYIDQ PTLGMPSREYYFQEDNNHKVRKAYLEFMTSVATMLRKDQNLSKESAMVREEMAEVLELET HLANATVPQEKRHDVTALYHRMDLMELQERFGLKGFNWTLFIQNVLSSVEVELFPDEEVV VYGIPYLENLEDIIDSYSARTMQNYLVWRLVLDRIGSLSQRFKEARVDYRKALYGTTVEE VRWRECVSYVNSNMESAVGSLYIKRAFSKDSKSTVRELIEKIRSVFVDNLDELNWMDEES KKKAQEKAMNIREQIGYPDYILEDNNKHLDEEYSSLTFYEDLYFENGLQNLKNNAQRSLK KLREKVDQNLWIIGAAVVNAFYSPNRNQIVFPAGILQPPFFSKDQPQSLNFGGIGMVIGH EITHGFDDNGRNFDKNGNMLDWWSNFSARHFQQQSQCMIYQYGNFSWELADNQNVNGFST LGENIADNGGVRQAYKAYLRWLADGGKDQRLPGLNLTYAQLFFINYAQVWCGSYRPEFAV QSIKTDVHSPLKYRVLGSLQNLPGFSEAFHCPRGSPMHPMKRCRIW >ENSMUSP00000078252.7 pep:known chromosome:GRCm38:4:154869585:154895521:1 gene:ENSMUSG00000058183.14 transcript:ENSMUST00000079269.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmel1 description:membrane metallo-endopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:1351603] MVERAGWCRKKSPGFVEYGLMVLLLLLLLGAIVTLGVFYSIGKQLPLLTSLLHFSWDERT VVKRALRDSSLKSDICTTPSCVIAAARILENMDQSRNPCENFYQYACGGWLRHHVIPETN SRYSVFDILRDELEVILKGVLEDSTSQHRPAVEKAKTLYRSCMNQSVIEKRDSEPLLSVL KMVGGWPVAMDKWNETMGLKWELERQLAVLNSQFNRRVLIDLFIWNDDQNSSRHVIYIDQ PTLGMPSREYYFQEDNNHKVRKAYLEFMTSVATMLRKDQNLSKESAMVREEMAEVLELET HLANATVPQEKRHDVTALYHRMDLMELQERFGLKGFNWTLFIQNVLSSVEVELFPDEEVV VYGIPYLENLEDIIDSYSARTMQNYLVWRLVLDRIGSLSQRFKEARVDYRKALYGTTVEE VRWRECVSYVNSNMESAVGSLYIKRAFSKDSKSTVRELIEKIRSVFVDNLDELNWMDEES KKKAQEKAMNIREQIGYPDYILEDNNKHLDEEYSSLTFYEDLYFENGLQNLKNNAQRSLK KLREKVDQNLWIIGAAVVNAFYSPNRNQIVFPAGILQPPFFSKDQPQSLNFGGIGMVIGH EITHGFDDNGRNFDKNGNMLDWWSNFSARHFQQQSQCMIYQYGNFSWELADNQNVNGFST LGENIADNGGVRQAYKAYLRWLADGGKDQRLPGLNLTYAQLFFINYAQVWCGSYRPEFAV QSIKTDVHSPLKYRQVVLGSLQNLPGFSEAFHCPRGSPMHPMKRCRIW >ENSMUSP00000101260.1 pep:known chromosome:GRCm38:4:154871595:154895521:1 gene:ENSMUSG00000058183.14 transcript:ENSMUST00000105635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmel1 description:membrane metallo-endopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:1351603] MVERAGWCRKKSPGFVEYGLMVLLLLLLLGAIVTLGVFYSIALRDSSLKSDICTTPSCVI AAARILENMDQSRNPCENFYQYACGGWLRHHVIPETNSRYSVFDILRDELEVILKGVLED STSQHRPAVEKAKTLYRSCMNQSVIEKRDSEPLLSVLKMVGGWPVAMDKWNETMGLKWEL ERQLAVLNSQFNRRVLIDLFIWNDDQNSSRHVIYIDQPTLGMPSREYYFQEDNNHKVRKA YLEFMTSVATMLRKDQNLSKESAMVREEMAEVLELETHLANATVPQEKRHDVTALYHRMD LMELQERFGLKGFNWTLFIQNVLSSVEVELFPDEEVVVYGIPYLENLEDIIDSYSARTMQ NYLVWRLVLDRIGSLSQRFKEARVDYRKALYGTTVEEVRWRECVSYVNSNMESAVGSLYI KRAFSKDSKSTVRELIEKIRSVFVDNLDELNWMDEESKKKAQEKAMNIREQIGYPDYILE DNNKHLDEEYSSLTFYEDLYFENGLQNLKNNAQRSLKKLREKVDQNLWIIGAAVVNAFYS PNRNQIVFPAGILQPPFFSKDQPQSLNFGGIGMVIGHEITHGFDDNGRNFDKNGNMLDWW SNFSARHFQQQSQCMIYQYGNFSWELADNQNVNGFSTLGENIADNGGVRQAYKAYLRWLA DGGKDQRLPGLNLTYAQLFFINYAQVWCGSYRPEFAVQSIKTDVHSPLKYRQVVLGSLQN LPGFSEAFHCPRGSPMHPMKRCRIW >ENSMUSP00000101259.1 pep:known chromosome:GRCm38:4:154871629:154895521:1 gene:ENSMUSG00000058183.14 transcript:ENSMUST00000105634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmel1 description:membrane metallo-endopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:1351603] MVERAGWCRKKSPGFVEYGLMVLLLLLLLGAIVTLGVFYSIALRDSSLKSDICTTPSCVI AAARILENMDQSRNPCENFYQYACGGWLRHHVIPETNSRYSVFDILRDELEVILKGVLED STSQHRPAVEKAKTLYRSCMNQSVIEKRDSEPLLSVLKMVGGWPVAMDKWNETMGLKWEL ERQLAVLNSQFNRRVLIDLFIWNDDQNSSRHVIYIDQPTLGMPSREYYFQEDNNHKVRKA YLEFMTSVATMLRKDQNLSKESAMVREEMAEVLELETHLANATVPQEKRHDVTALYHRMD LMELQERFGLKDRVSLCSPGCPGTHSVDQAGLKLRNPPASDSRVLGLKGFNWTLFIQNVL SSVEVELFPDEEVVVYGIPYLENLEDIIDSYSARTMQNYLVWRLVLDRIGSLSQRFKEAR VDYRKALYGTTVEEVRWRECVSYVNSNMESAVGSLYIKRAFSKDSKSTVRELIEKIRSVF VDNLDELNWMDEESKKKAQEKAMNIREQIGYPDYILEDNNKHLDEEYSSLTFYEDLYFEN GLQNLKNNAQRSLKKLREKVDQNLWIIGAAVVNAFYSPNRNQIVFPAGILQPPFFSKDQP QSLNFGGIGMVIGHEITHGFDDNGRNFDKNGNMLDWWSNFSARHFQQQSQCMIYQYGNFS WELADNQNVNGFSTLGENIADNGGVRQAYKAYLRWLADGGKDQRLPGLNLTYAQLFFINY AQVWCGSYRPEFAVQSIKTDVHSPLKYRQVVLGSLQNLPGFSEAFHCPRGSPMHPMKRCR IW >ENSMUSP00000121243.1 pep:known chromosome:GRCm38:4:154882209:154885635:1 gene:ENSMUSG00000058183.14 transcript:ENSMUST00000131758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmel1 description:membrane metallo-endopeptidase-like 1 [Source:MGI Symbol;Acc:MGI:1351603] MDQSRNPCENFYQYACGGWLRHHVIPETNSRYSVFDILRDELEVILKGVLEDSTSQHRPA VEKAKTLYRSCMNQSVIEKRDSEPLLSVLKMVGGWPVAMDKWNETMGLKWELERQLAVLN SQFNRRVLIDLFIWNDDQNSSRHVIYVCNA >ENSMUSP00000036032.4 pep:known chromosome:GRCm38:9:102505750:102546239:1 gene:ENSMUSG00000035606.8 transcript:ENSMUST00000039390.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ky description:kyphoscoliosis peptidase [Source:MGI Symbol;Acc:MGI:96709] MELKKDSNAVAIDMLLIVHSEKRRAAQATHLDPQANPGALLQNRGGFQGVRNGIRKWQEL EENSFQGNLPEKQCLQQPQVITSYDNQGTQLTVEIHPQDAMPQLLKKFSLAKRLQGDKNG NMRPRQPGGKDAHAYPWDRSSLKSMPLDLRLFEKLDASASQVTVKSGLNELVSDLLQEAH SDLERVRAIWIWICHHIEYDVEAAQEKDRQAFKPTDILRTQKTNCDGYAGLFERMCRVAG VQCVTVPGYSKGFGYQTGQSFSGEFDHAWNAVYLEGRWHLVDSTWGSGLVDTTTSKFTFL YNEFYFLTHPALFIEDHFPDNKNWQLLKPPQSLRQFENSMYHKSEFYNKGMLSAHPETSM IRTVNGKATITIESRAPTLFMFMLNGKQEHGLLSLRKNGMKLEVYPPTMGTHKLQIFAKG NSEIYSSVLEYTLKCNYVDFSVQLPSELHQPVGPSWFSEQMGITKPSHSDPIIHTSDGRC AISFSVEEGVSVLASLHGDDGPITEETQRRYIFQLNRGKRTELKVQLPHAGKFALKIFVK KRQEQGNFIFVFNYLLCCANTKVNWPMFPESFGNWGQDNELLEPLSGVLPANRNIAFKLK LHGIAKALVKGQDTWPLTLNPEGYWEGSCNTAGCQEVYVMVLENANHNFYSYILKYKVND Q >ENSMUSP00000145179.1 pep:known chromosome:GRCm38:6:142374820:142387009:-1 gene:ENSMUSG00000030243.16 transcript:ENSMUST00000203772.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Recql description:RecQ protein-like [Source:MGI Symbol;Acc:MGI:103021] MASVSALTEELESVASELHAIDIQIQELTERRQELLQRKSVLTGKIKQYLEDSSAEASSD LDTSPAAWNKEDFPWFGKVKDVLQNVFKLQKFRPLQLETINVTMARKDIFLVMPTGGGKS LCYQLPALCSDGFTLVICPLISLMEDQLMVLKQLGISATMLNASSSK >ENSMUSP00000120951.1 pep:known chromosome:GRCm38:6:142376919:142387082:-1 gene:ENSMUSG00000030243.16 transcript:ENSMUST00000128082.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Recql description:RecQ protein-like [Source:MGI Symbol;Acc:MGI:103021] MASVSALTEELESVASELHAIDIQIQELTERRQELLQRKSVLTGKIKQYLEDSSAEASSD LDTSPAAWNKEDFPWFGKVKDVLQN >ENSMUSP00000122328.1 pep:known chromosome:GRCm38:6:142374820:142386974:-1 gene:ENSMUSG00000030243.16 transcript:ENSMUST00000129694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Recql description:RecQ protein-like [Source:MGI Symbol;Acc:MGI:103021] MASVSALTEELESVASELHAIDIQIQELTERRQELLQRKSVLTGKIKQYLEDSSAEASSD LDTSPAAWNKEDFPWFGKVKDVLQNVFKLQKFRPLQLETINVTMARKDIFLVMPTGGGKS LCYQLPALCSDGFTLVICPLISLMEDQLMVLKQLGISATMLNASSSK >ENSMUSP00000107434.2 pep:known chromosome:GRCm38:6:142361376:142387087:-1 gene:ENSMUSG00000030243.16 transcript:ENSMUST00000111803.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Recql description:RecQ protein-like [Source:MGI Symbol;Acc:MGI:103021] MASVSALTEELESVASELHAIDIQIQELTERRQELLQRKSVLTGKIKQYLEDSSAEASSD LDTSPAAWNKEDFPWFGKVKDVLQNVFKLQKFRPLQLETINVTMARKDIFLVMPTGGGKS LCYQLPALCSDGFTLVICPLISLMEDQLMVLKQLGISATMLNASSSKEHVKWVHAEMVNK NSQLKLIYVTPEKIAKSKMFMSRLEKAYEAGRLTGAAVDEVHCCSQWGHDFRPDYKALGI LKRQFPNASLMGLTATATNHVLKDVQKILCVGKCLTFTASFNRPNLFYEVRQKPSSAEDF TEDIVKLINGRYKGQSGIIYCFSQKDSEQITISLQKLGIHAGTYHANMEPEDKTKVHTQW SANELQVVVATVAFGMGIDKPDVRFVIHHSMSKSMENYYQESGRAGRDDSRADCILYYGF GDIFRISSMVVMENVGQQKLYEMVSYCQNVSKCRRVLIAQHFDEVWNADACNKMCDNCCK DVSFEKKNVTQHCRDLIKILKQAEGLNEKLTPLKLIDAWMGKGAAKLRVAGVVAPALPRE DLERIVAHALLQQYLKEDYSFTAYATISYLKVGPRACLLSNEAHAVTMQVKKSAQSSVRG ALSEARQVEQVDSKGEEQSSGNSQKSKSRLQPSGSKNAGAKKRKLDDA >ENSMUSP00000122409.1 pep:known chromosome:GRCm38:6:142362121:142363574:-1 gene:ENSMUSG00000030243.16 transcript:ENSMUST00000123912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Recql description:RecQ protein-like [Source:MGI Symbol;Acc:MGI:103021] XKKSAQSSVRGALSEARQVEQVDSKGEEQSSDKTVLSTKCVSKNGLHILSKSRQQPPEAA A >ENSMUSP00000032370.6 pep:known chromosome:GRCm38:6:142350342:142387036:-1 gene:ENSMUSG00000030243.16 transcript:ENSMUST00000032370.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Recql description:RecQ protein-like [Source:MGI Symbol;Acc:MGI:103021] MASVSALTEELESVASELHAIDIQIQELTERRQELLQRKSVLTGKIKQYLEDSSAEASSD LDTSPAAWNKEDFPWFGKVKDVLQNVFKLQKFRPLQLETINVTMARKDIFLVMPTGGGKS LCYQLPALCSDGFTLVICPLISLMEDQLMVLKQLGISATMLNASSSKEHVKWVHAEMVNK NSQLKLIYVTPEKIAKSKMFMSRLEKAYEAGRLTGAAVDEVHCCSQWGHDFRPDYKALGI LKRQFPNASLMGLTATATNHVLKDVQKILCVGKCLTFTASFNRPNLFYEVRQKPSSAEDF TEDIVKLINGRYKGQSGIIYCFSQKDSEQITISLQKLGIHAGTYHANMEPEDKTKVHTQW SANELQVVVATVAFGMGIDKPDVRFVIHHSMSKSMENYYQESGRAGRDDSRADCILYYGF GDIFRISSMVVMENVGQQKLYEMVSYCQNVSKCRRVLIAQHFDEVWNADACNKMCDNCCK DVSFEKKNVTQHCRDLIKILKQAEGLNEKLTPLKLIDAWMGKGAAKLRVAGVVAPALPRE DLERIVAHALLQQYLKEDYSFTAYATISYLKVGPRACLLSNEAHAVTMQVKKSAQSSVRG ALSEARQVEQVDSKGEEQSSGSHAADTAANV >ENSMUSP00000098394.3 pep:known chromosome:GRCm38:6:142356947:142387074:-1 gene:ENSMUSG00000030243.16 transcript:ENSMUST00000100832.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Recql description:RecQ protein-like [Source:MGI Symbol;Acc:MGI:103021] MASVSALTEELESVASELHAIDIQIQELTERRQELLQRKSVLTGKIKQYLEDSSAEASSD LDTSPAAWNKEDFPWFGKVKDVLQNVFKLQKFRPLQLETINVTMARKDIFLVMPTGGGKS LCYQLPALCSDGFTLVICPLISLMEDQLMVLKQLGISATMLNASSSKEHVKWVHAEMVNK NSQLKLIYVTPEKIAKSKMFMSRLEKAYEAGRLTGAAVDEVHCCSQWGHDFRPDYKALGI LKRQFPNASLMGLTATATNHVLKDVQKILCVGKCLTFTASFNRPNLFYEVRQKPSSAEDF TEDIVKLINGRYKGQSGIIYCFSQKDSEQITISLQKLGIHAGTYHANMEPEDKTKVHTQW SANELQVVVATVAFGMGIDKPDVRFVIHHSMSKSMENYYQESGRAGRDDSRADCILYYGF GDIFRISSMVVMENVGQQKLYEMVSYCQNVSKCRRVLIAQHFDEVWNADACNKMCDNCCK DVSFEKKNVTQHCRDLIKILKQAEGLNEKLTPLKLIDAWMGKGAAKLRVAGVVAPALPRE DLERIVAHALLQQYLKEDYSFTAYATISYLKVGPRACLLSNEAHAVTMQVKKSAQSSVRG ALSEARQVEQVDSKGEEQSSDTPDKSIRSHYRWL >ENSMUSP00000119452.1 pep:known chromosome:GRCm38:6:142356951:142366789:-1 gene:ENSMUSG00000030243.16 transcript:ENSMUST00000141504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Recql description:RecQ protein-like [Source:MGI Symbol;Acc:MGI:103021] XADCILYYGFGDIFRISSMVVMENVGQQKLYEMVSYCQNVSKCRRVLIAQHFDEVWNADA CNKMCDNCCKDVSFEKKNVTQHCRDLIKILKQAEGLNEKLTPLKLIDAWMGKGAAKLRVA GVVAPALPREDLERIVAHALLQQYLKEDYSFTAYATISYLKVGPRACLLSNEAHAVTMQV KKSAQSSVRGALSEARQVEQVDSKGEEQSSASGSSPEINTTSAPGRLGGQAGFKR >ENSMUSP00000102288.1 pep:known chromosome:GRCm38:11:109649405:109669656:1 gene:ENSMUSG00000020612.16 transcript:ENSMUST00000106677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1a description:protein kinase, cAMP dependent regulatory, type I, alpha [Source:MGI Symbol;Acc:MGI:104878] MASGSMATSEEERSLRECELYVQKHNIQALLKDSIVQLCTTRPERPMAFLREYFERLEKE EARQIQCLQKTGIRTDSREDEISPPPPNPVVKGRRRRGAISAEVYTEEDAASYVRKVIPK DYKTMAALAKAIEKNVLFSHLDDNERSDIFDAMFPVSFIAGETVIQQGDEGDNFYVIDQG EMDVYVNNEWATSVGEGGSFGELALIYGTPRAATVKAKTNVKLWGIDRDSYRRILMGSTL RKRKMYEEFLSKVSILESLDKWERLTVADALEPVQFEDGQKIVVQGEPGDEFFIILEGTA AVLQRRSENEEFVEVGRLGPSDYFGEIALLMNRPRAATVVARGPLKCVKLDRPRFERVLG PCSDILKRNIQQYNSFVSLSV >ENSMUSP00000102287.1 pep:known chromosome:GRCm38:11:109650575:109661753:1 gene:ENSMUSG00000020612.16 transcript:ENSMUST00000106676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1a description:protein kinase, cAMP dependent regulatory, type I, alpha [Source:MGI Symbol;Acc:MGI:104878] MASGSMATSEEERSLRECELYVQKHNIQALLKDSIVQLCTTRPERPMAFLREYFERLEKE EARQIQCLQKTGIRTDSREDEISPPPPNPVVKGRRRRGAISAEVYTEEDAASYVRKVIPK DYKTMAALAKAIEKNVLFSHLDDNERSDIFDAMFPVSFIAGETVIQQGKGC >ENSMUSP00000056500.6 pep:known chromosome:GRCm38:11:109650921:109669656:1 gene:ENSMUSG00000020612.16 transcript:ENSMUST00000049527.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar1a description:protein kinase, cAMP dependent regulatory, type I, alpha [Source:MGI Symbol;Acc:MGI:104878] MASGSMATSEEERSLRECELYVQKHNIQALLKDSIVQLCTTRPERPMAFLREYFERLEKE EARQIQCLQKTGIRTDSREDEISPPPPNPVVKGRRRRGAISAEVYTEEDAASYVRKVIPK DYKTMAALAKAIEKNVLFSHLDDNERSDIFDAMFPVSFIAGETVIQQGDEGDNFYVIDQG EMDVYVNNEWATSVGEGGSFGELALIYGTPRAATVKAKTNVKLWGIDRDSYRRILMGSTL RKRKMYEEFLSKVSILESLDKWERLTVADALEPVQFEDGQKIVVQGEPGDEFFIILEGTA AVLQRRSENEEFVEVGRLGPSDYFGEIALLMNRPRAATVVARGPLKCVKLDRPRFERVLG PCSDILKRNIQQYNSFVSLSV >ENSMUSP00000131009.2 pep:known chromosome:GRCm38:12:84569840:84595457:1 gene:ENSMUSG00000021239.12 transcript:ENSMUST00000169934.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsx2 description:visual system homeobox 2 [Source:MGI Symbol;Acc:MGI:88401] MTGKAGEALSKPKSETVAKSTSGGAPARCTGFGIQEILGLNKEPPSSHPRAALDGLAPGH LLAARSVLSPAGVGSMGLLGPGGLPGFYTQPTFLEVLSDPQSVHLQPLGRASGPLDTSQT ASSDSEDVSSSDRKMSKSALNQTKKRKKRRHRTIFTSYQLEELEKAFNEAHYPDVYAREM LAMKTELPEDRIQVWFQNRRAKWRKREKCWGRSSVMAEYGLYGAMVRHSIPLPESILKSA KDGIMDSCAPWLLVQDGFPRRFSKPEYQQFFLGMHKKSLEAAAESGRKPEVERQALPKLD KMEQEERAPEAQAAISQEELRENSIAALRAKAQEHSTKVLGTVSGPDSLARNAEKPEEED ATEEDRPAEKLSPPQLEDMA >ENSMUSP00000021665.5 pep:known chromosome:GRCm38:12:84569762:84595457:1 gene:ENSMUSG00000021239.12 transcript:ENSMUST00000021665.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsx2 description:visual system homeobox 2 [Source:MGI Symbol;Acc:MGI:88401] MTGKAGEALSKPKSETVAKSTSGGAPARCTGFGIQEILGLNKEPPSSHPRAALDGLAPGH LLAARSVLSPAGVGSMGLLGPGGLPGFYTQPTFLEVLSDPQSVHLQPLGRASGPLDTSQT ASSDSEDVSSSDRKMSKSALNQTKKRKKRRHRTIFTSYQLEELEKAFNEAHYPDVYAREM LAMKTELPEDRIQVWFQNRRAKWRKREKCWGRSSVMAEYGLYGAMVRHSIPLPESILKSA KDGIMDSCAPWLLGMHKKSLEAAAESGRKPEVERQALPKLDKMEQEERAPEAQAAISQEE LRENSIAALRAKAQEHSTKVLGTVSGPDSLARNAEKPEEEDATEEDRPAEKLSPPQLEDM A >ENSMUSP00000145330.1 pep:known chromosome:GRCm38:6:116489101:116494540:1 gene:ENSMUSG00000053391.4 transcript:ENSMUST00000203700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr211 description:olfactory receptor 211 [Source:MGI Symbol;Acc:MGI:3030045] MMRNQTLVTEFILQGFSEHPQYQLPLFFCFLSLYCVALTGNVLIILAITCNPGLHTPMYF FLFNLATMDIICTSSIMPKALRGLVSKRNPISYGGCMAQLYFLTWSASSELLLLTVMAYD RYAAICHPLHYSTMMSKAFCSMLAAGVWALCAFNTAIHTGLMTRLSFCGPNVITHFFCEV PPLLLLSCSSTYVNSVMIVLADAFYGILNFLMTIVSYGFIISSILKMRTSEGKQKAFSTC SSHLIVVCMYYTAVFYAYISPVSSYNAEKSKLAGVLYTMLSPTLNPLIYTLRNKEVKAAL RKFFPFLRN >ENSMUSP00000066524.3 pep:known chromosome:GRCm38:6:116493611:116494540:1 gene:ENSMUSG00000053391.4 transcript:ENSMUST00000065786.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr211 description:olfactory receptor 211 [Source:MGI Symbol;Acc:MGI:3030045] MMRNQTLVTEFILQGFSEHPQYQLPLFFCFLSLYCVALTGNVLIILAITCNPGLHTPMYF FLFNLATMDIICTSSIMPKALRGLVSKRNPISYGGCMAQLYFLTWSASSELLLLTVMAYD RYAAICHPLHYSTMMSKAFCSMLAAGVWALCAFNTAIHTGLMTRLSFCGPNVITHFFCEV PPLLLLSCSSTYVNSVMIVLADAFYGILNFLMTIVSYGFIISSILKMRTSEGKQKAFSTC SSHLIVVCMYYTAVFYAYISPVSSYNAEKSKLAGVLYTMLSPTLNPLIYTLRNKEVKAAL RKFFPFLRN >ENSMUSP00000141857.1 pep:known chromosome:GRCm38:9:108811925:108814081:1 gene:ENSMUSG00000032598.8 transcript:ENSMUST00000192678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckipsd description:NCK interacting protein with SH3 domain [Source:MGI Symbol;Acc:MGI:1931834] XVKRRDREALVISGSGPEHSPTLRAGLPRPAETGSDFCRPGSKKG >ENSMUSP00000035218.7 pep:known chromosome:GRCm38:9:108808368:108818844:1 gene:ENSMUSG00000032598.8 transcript:ENSMUST00000035218.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckipsd description:NCK interacting protein with SH3 domain [Source:MGI Symbol;Acc:MGI:1931834] MYRALYAFRSAEPNAMAFAAGETFLVLERSSTHWWLAARARSGETGYVPPAYLHRLQGME QDVLQAIDRAIEAVHNTAMRDGGKYSLEQRGVLQKLIHHRKETLSRRGTSASSATVMTPS TSDHHLDAAVSRQPNGVCRTGFERQHSLPSSEHLGTDGALYQVPPQPRRAAPTTPPPPVK RRDREALVISGSGGRTAIPSGGSSVSSGSSASSTSMDTLYTGSSPSELGPSCSPTPPPVP RRGAHTTVSQPQPSPSKAPSPEPPTEEVAAETNSTPDDLEAQDALSPETTEEKAAAETVV PRTIGAELMELVRRNTGLSHELCRVAIGVVVGHIQATVPASSPIMEQVLLSLVEGKDLST ALPSGQVCHDQQRLEVIFADLARRKDDAQQRSWALYEDEDVIRCYLEELLHILTDADPEV CKKMCKRSDFESVLALVAYYQMEHRASLRLLLLKCFGAMCSLDAAIISTLVSSVLPVELA RDMQTDTQDHQKLCYSALVLAMVFSMGEAVPYAHYEHLGTPFAQFLLSIVEDGLPMDTTE QLPDLCMNLLLALNLHLTAPEQNVIMAALSRHTNVKIFSEKLLLLLNRGDDPVRIFRHEP QPPHSVLKFLQDVFSSSATAAIFYHTDMMALIDITVRQIADLSPGDKLRMEYLSLMHAVV RSTPYLQHRHRLSDLQATLRRILTEEEASPQCQMDRMIVQEMYKEFPDLGEVPS >ENSMUSP00000141728.1 pep:known chromosome:GRCm38:9:108808373:108811149:1 gene:ENSMUSG00000032598.8 transcript:ENSMUST00000195323.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckipsd description:NCK interacting protein with SH3 domain [Source:MGI Symbol;Acc:MGI:1931834] MYRALYAFRSAEPNAMAFAAGETFLVLERSSTHWWLAARARSGETGYVPPAYLHRLQVFR IVFAESLCMQAPKCKL >ENSMUSP00000141702.1 pep:known chromosome:GRCm38:9:108808421:108811605:1 gene:ENSMUSG00000032598.8 transcript:ENSMUST00000194819.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckipsd description:NCK interacting protein with SH3 domain [Source:MGI Symbol;Acc:MGI:1931834] MYRALYAFRSAEPNAMAFAAGETFLVLERSSTHWWLAARARSGETGAWNKMSSKLLTVPL RLCTTQPCEMAASTAWNSVESFRSLSITGKKPCLEEAPQLP >ENSMUSP00000000122.6 pep:known chromosome:GRCm38:11:95568818:95587735:-1 gene:ENSMUSG00000000120.6 transcript:ENSMUST00000000122.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngfr description:nerve growth factor receptor (TNFR superfamily, member 16) [Source:MGI Symbol;Acc:MGI:97323] MRRAGAACSAMDRLRLLLLLLLLLGVSFGGAKETCSTGMYTHSGECCKACNLGEGVAQPC GANQTVCEPCLDSVTFSDVVSATEPCKPCTECLGLQSMSAPCVEADDAVCRCSYGYYQDE ETGRCEACSVCGVGSGLVFSCQDKQNTVCEECPEGTYSDEANHVDPCLPCTVCEDTERQL RECTPWADAECEEIPGRWITRSTPPEGSDVTTPSTQEPEAPPERDLIASTVADTVTTVMG SSQPVVTRGTADNLIPVYCSILAAVVVGLVAYIAFKRWNSCKQNKQGANSRPVNQTPPPE GEKLHSDSGISVDSQSLHDQQTHTQTASGQALKGDGNLYSSLPLTKREEVEKLLNGDTWR HLAGELGYQPEHIDSFTHEACPVRALLASWGAQDSATLDALLAALRRIQRADIVESLCSE STATSPV >ENSMUSP00000027566.2 pep:known chromosome:GRCm38:1:107361929:107380475:1 gene:ENSMUSG00000026327.8 transcript:ENSMUST00000027566.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb11 description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 11 [Source:MGI Symbol;Acc:MGI:1914207] MDPITTASTEFCLDVFKELSSNNVGENIFFSPLTTFYALSMLLLGTRGKSAEQMEKVLHY DSFSGVLKAKTKNSSECSQVGVMHPDFRALISHINQQNSLSVANRIYGTRSISFHKQYVR CCEKLYQAKLQTVDFELSTEETRKSINAWVKNKTNGKITNLFAKGTIDPSSVMVLVSAIY FKGQWQNKFQKRETVKAPFHMGVGKSAVVNMMYQTGTFKLAIIKEPEMQVLELPYANNKL RMIILLPVGTASVSQIEKHLNVKMLREWTNPSNMVEREVDVHIPKFSLSVKYDLNTLLKS LGMRDIFNVANADLSGMSPDKGLYLSKVVHKSYVDVNEEGTEAAAATGESISVKRLPVTV QFTANCPFLFFIWDESGNILFAGKFASP >ENSMUSP00000141180.1 pep:known chromosome:GRCm38:1:107361198:107374182:1 gene:ENSMUSG00000026327.8 transcript:ENSMUST00000191425.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb11 description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 11 [Source:MGI Symbol;Acc:MGI:1914207] MDPITTASTEFCLDVFKELSSNNVGENIFFSPLTTFYALSMLLLGTRGKSAEQMEKVLHY DSFSGVLKAKTKNSSECSQVGVMHPDFRALISHINQQNSLSVANRIYGTRSISFHKQYVR CCEKLYQAKLQTVDFELSTEETRKSINAWVKN >ENSMUSP00000036394.7 pep:known chromosome:GRCm38:6:142345654:142363257:1 gene:ENSMUSG00000041671.13 transcript:ENSMUST00000041852.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pyroxd1 description:pyridine nucleotide-disulphide oxidoreductase domain 1 [Source:MGI Symbol;Acc:MGI:2676395] MEAPRPAGTFVVVGGGIAGVTCAEQLAVSFPEEDILLVTASPVIKAVTNFRQVSKVLEEF DVEEQPGTMLESRFPNIKVIESGVKQLKSEDHCIFTEDGREFVYKKLCLCAGAKPKLIYE GNPRVLGIRDTDSAQEFQKELAKARRIMIVGNGGIALELAYEIEGCEVVWAIKDNAIGNT FFDAGAAEFLTSKLMSEKSEAKIAHKRTIYTVEEAKKETRTKSKADYVGSALGPDWHGGL ALKGTEEFSHSVHIETRCEVKKIYLEEEFKIMKKKSLAFPKDHHKSVTADKEMWPVYVEL TNGTIYGCDFLVSATGVTPNVHPFLHRNNFALGEDGGLRVDDQMRTSLPDIYAAGDICTA CWQPSPVWQQMRLWTQARQMGYYAAKCMAAASMGHPIDMDFSFELFAHVTKFFNYKVVLL GKYNAQGLGADHELMLRCTRGQEYVKVVMQNGRMMGAVLIGETDLEETFENLILNQMDLS SYGEDLLDPNIDIEDYFD >ENSMUSP00000075164.2 pep:known chromosome:GRCm38:6:116506516:116517965:1 gene:ENSMUSG00000053251.5 transcript:ENSMUST00000075756.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr212 description:olfactory receptor 212 [Source:MGI Symbol;Acc:MGI:3030046] MERLTAEETRNGTWVQEFVLEGFPVAEHLRILFFLMHLLAYLASLMGNTLIISITCLDHR LQTPMYFFLSTFSFVECCFITTVIPQLLAIFLSGRQTISFVACFTQAFFFLFLGATVFYL LAVLSLDRYLAICKPLHYPTIMSPRMCFLLVTVCLALGFLFMAGPVVMLSQSSYCGPNVI PHFFCDFGPLANLSCLDTRSVEMLFFNLALIVLFGSLLVAIFAYSNIVVTIVGLPSAREQ QRAFSTCSSHLIVLSLMYGSCVFIYMKPKQTSRLDTNREAALVNTVVTPLLNPVIYTLRN KQVHQALRDALSRVKLPRFQRSNAPSL >ENSMUSP00000047134.2 pep:known chromosome:GRCm38:6:83086367:83088243:1 gene:ENSMUSG00000034968.3 transcript:ENSMUST00000041265.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lbx2 description:ladybird homeobox homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1342288] MNSVHQRRTPFSIADILGPSMVPEAPSAPQLPEAGPDPASPLCALEELASKTFLGHSPRA TPQPSEGRAAPEAPPGPGAGVRRRRKSRTAFTAQQVLELERRFVFQKYLAPSERDGLAAR LGLANAQVVTWFQNRRAKLKRDVEEMRADVASLCGLSPGVLCYPALPDSTSSPDPGPSGP DSEPNLSDEEIQVDD >ENSMUSP00000112931.1 pep:known chromosome:GRCm38:6:116540455:116541438:1 gene:ENSMUSG00000081649.1 transcript:ENSMUST00000121958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr213 description:olfactory receptor 213 [Source:MGI Symbol;Acc:MGI:3030047] MEQLMAEETRNRTWVREFVLEGFPVAEHLRILFFLMHLLAYLASIMGNTLIISITCVDHR LQTPMYFFLSTYSFVECCFITTVIPQLLAIFLSGRQTISFVACFTQALVVFVLGATVFFL LAVLSLDRYLAICKPLHYPTIMSPRMCFLLVTVCLVLGFLFMAGPVVMLSQSSYCGPNVI PHFFCDFGPLANLSCSDTMSVEMLFFNLALIVLFGSLLVAIFAYSNIVVTIVGLPSARER QKAFSTCSSHLIVLSLMYGSCVFIYMKPKQTSRLDTNREAALVNMVVTPLLNPVIYTLRN KQVHQALRDALSRVQLPRYHRSSAPSL >ENSMUSP00000145424.1 pep:known chromosome:GRCm38:6:128662386:128674976:1 gene:ENSMUSG00000030364.6 transcript:ENSMUST00000205225.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec2h description:C-type lectin domain family 2, member h [Source:MGI Symbol;Acc:MGI:2136934] MNAAKVETSSMGMLQRADLTAADCLQEGEMGQQCPSACLQQM >ENSMUSP00000145202.1 pep:known chromosome:GRCm38:6:128662387:128674767:1 gene:ENSMUSG00000030364.6 transcript:ENSMUST00000204416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2h description:C-type lectin domain family 2, member h [Source:MGI Symbol;Acc:MGI:2136934] MNAAKVETSSMGMLQRADLTAADCLQEGEMGKKIQGKCFRIISTVSPVKLYCCYGVIMVL TVAVIALSVALSVRNKIPAMEDREPCYTACPSGWIGFGKFSEEIQGDF >ENSMUSP00000032518.4 pep:known chromosome:GRCm38:6:128662385:128677374:1 gene:ENSMUSG00000030364.6 transcript:ENSMUST00000032518.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2h description:C-type lectin domain family 2, member h [Source:MGI Symbol;Acc:MGI:2136934] MNAAKVETSSMGMLQRADLTAADCLQEGEMGKKIQGKCFRIISTVSPVKLYCCYGVIMVL TVAVIALSVALSVRNKIPAMEDREPCYTACPSGWIGFGSKCFYFSEDMGNWTFSQSSCVA SNSHLALFHSLEELNFLKRYKGTSDHWIGLHRASTQHPWIWTDNTEYSNLVLTRGGGECG FLSDNGISSGRSYTHRKWICSKFVSSCKSRVGSVPRHV >ENSMUSP00000145194.1 pep:known chromosome:GRCm38:6:116551141:116552502:1 gene:ENSMUSG00000107906.1 transcript:ENSMUST00000122096.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5580 description:predicted pseudogene 5580 [Source:MGI Symbol;Acc:MGI:3646594] MATTARMATSVSARKRLLKEEGMTKVEFETSEEVDRTLTFDTMGLREDLLRGIYAYGFEK PSAIQQRAIKQIIKGRDVIAQSQSGTGKTATFSISVLQCLDIQVRETQALILAPTRELAV QIQKGLLALGDYMNVQCHACIGGTNVGEDIRKLDYGQHVVAGTPGRVFDMIRRRSLPTRA IKMLVLDEADEMLNKGFKEQIYDVYRYLPPATQVVLISATLPHEILEMTNKFMTHPIRIL VKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCITKRKVDWLTEKMREA NFTVSSMHGDMPQKERESIMKEFRSGASRVLISTDVWARGLDVPQVSLIINYDLPNNREL YIHRIGRSGRYGRKGVAINFVKNDDIRILRDIEQYYSTQIDEMPMNLADLI >ENSMUSP00000142904.1 pep:known chromosome:GRCm38:5:138622876:138652414:1 gene:ENSMUSG00000056014.15 transcript:ENSMUST00000198958.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A430033K04Rik description:RIKEN cDNA A430033K04 gene [Source:MGI Symbol;Acc:MGI:3583896] MVRRRQRLPEVDMGLVSFEDVAVDFTWQEWQELDAAQRTLYRDVMLENYRSLVWLGHCLA KPELISKLEEGFEPWGVAEATEQCLPGVRKWSAPVEKGQQSQEKYLRQVKIIKKNTPDED KVEVENTYNVDSNCISNMTLKNEVCSRVFFQELVNPLLDVPLPTEAGERQSTEVPHDLNR TQEVLSYPKHFTHHSKDQYSQCCFQYFGPDEAFHTKAILTPEMFYVQETSRTCNNYDKSF DEVTIPAQYMTQLRKQTLGWNICHKIFPNKTELSNHDAMHTGENDDKCDYEKPIINKSLY LTKHQEAHAGIEPQAHKENIKFFCLDAELQTVDPELHGEKQVYECKVSGKTFRHQPEHIS QQRPHACERACQAKEHGEAGCDEPALTQHQRLCTEEKACEGKACSKAFHHKSLLPQYQSA RADEQQSDCKELMKIYFYVSSPTQHHGPPPPEKPFRCNDCLKTFSHKSQLERHQRMHTGE KPHECKECRKAFCHKSHLIRHQGIHAPEKPYECNECKKSFYLRSQLTLHERTHTGEKPFE CKECRKAFSRNSHLTQHQKIHTGEKPHKCKECGNAFARKSHLIQHQKTHTGERPYECKEC RKAFSRKSQLMQHETTHTGERAYECKECRKTFYLKAYLTRHQPLLGEWN >ENSMUSP00000067316.7 pep:known chromosome:GRCm38:5:138622894:138648836:1 gene:ENSMUSG00000056014.15 transcript:ENSMUST00000069862.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A430033K04Rik description:RIKEN cDNA A430033K04 gene [Source:MGI Symbol;Acc:MGI:3583896] MVRRRQRLPEVDMGLVSFEDVAVDFTWQEWQELDAAQRTLYRDVMLENYRSLVWLGHCLA KPELISKLEEGFEPWGVAEATEQCLPGVRKWSAPVEKGQQSQEKYLRQVKIIKKNTPDED KVEVENTYNVDSNCISNMTLKNEVCSRVFFQELVNPLLDVPLPTEAGERQSTEVPHDLNR TQEVLSYPKHFTHHSKDQYSQCCFQYFGPDEAFHTKAILTPEMFYVQETSRTCNNYDKSF DEVTIPAQYMTQLRKQTLGWNICHKIFPNKTELSNHDAMHTGENDDKCDYEKPIINKSLY LTKHQEAHAGIEPQAHKENIKFFCLDAELQTVDPELHGEKQVYECKVSGKTFRHQPEHIS QQRPHACERACQAKEHGEAGCDEPALTQHQRLCTEEKACEGKACSKAFHHKSLLPQYQSA RADEQQSDCKELMKIYFYVSSPTQHHGPPPPEKPFRCNDCLKTFSHKSQLERHQRMHTGE KPHECKECRKAFCHKSHLIRHQGIHAPEKPYECNECKKSFYLRSQLTLHERTHTGEKPFE CKECRKAFSRNSHLTQHQKIHTGEKPHKCKECGNAFARKSHLIQHQKTHTGERPYECKEC RKAFSRKSQLMQHETTHTGERAYECKECRKTFYLKAYLTRHQVIHQSEKPFECKKCGKAF SRKSYLTRHQKIHKGQTLSG >ENSMUSP00000032590.9 pep:known chromosome:GRCm38:5:138622859:138640823:1 gene:ENSMUSG00000056014.15 transcript:ENSMUST00000032590.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A430033K04Rik description:RIKEN cDNA A430033K04 gene [Source:MGI Symbol;Acc:MGI:3583896] MLLGKLLLSFGGAVTCKCWAMVRRRQRLPEVDMGLVSFEDVAVDFTWQEWQELDAAQRTL YRDVMLENYRSLVWLGHCLAKPELISKLEEGFEPWGVAEATEQCLPGAEDQTQGFRHSRK TL >ENSMUSP00000143566.1 pep:known chromosome:GRCm38:5:138622859:138640823:1 gene:ENSMUSG00000056014.15 transcript:ENSMUST00000200521.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A430033K04Rik description:RIKEN cDNA A430033K04 gene [Source:MGI Symbol;Acc:MGI:3583896] MVRRRQRLPEVDMGLVSFEDVAVDFTWQEWQELDAAQRTLYRDVMLENYRSLVWLGHCLA KPELISKLEEGFEPWGVAEATEQCLPGAEDQTQGFRHSRKTL >ENSMUSP00000111516.1 pep:known chromosome:GRCm38:18:16589023:16670069:-1 gene:ENSMUSG00000024304.14 transcript:ENSMUST00000115850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh2 description:cadherin 2 [Source:MGI Symbol;Acc:MGI:88355] MKFSNCNRKRKVQYESSEPADFKVDEDGTVYAVRSFPLTAEQAKFLIYAQDKETQEKWQV AVNLSREPTLTEEPMKEPHEIEEIVFPRQLAKHSGALQRQKRDWVIPPINLPENSRGPFP QELVRIRSDRDKNLSLRYSVTGPGADQPPTGIFIINPISGQLSVTKPLDRELIARFHLRA HAVDINGNQVENPIDIVINVIDMNDNRPEFLHQVWNGSVPEGSKPGTYVMTVTAIDADDP NALNGMLRYRILSQAPSTPSPNMFTINNETGDIITVAAGLDREKVQQYTLIIQATDMEGN PTYGLSNTATAVITVTDVNDNPPEFTAMTFYGEVPENRVDVIVANLTVTDKDQPHTPAWN AAYRISGGDPTGRFAILTDPNSNDGLVTVVKPIDFETNRMFVLTVAAENQVPLAKGIQHP PQSTATVSVTVIDVNENPYFAPNPKIIRQEEGLHAGTMLTTLTAQDPDRYMQQNIRYTKL SDPANWLKIDPVNGQITTIAVLDRESPNVKNNIYNATFLASDNGIPPMSGTGTLQIYLLD INDNAPQVLPQEAETCETPEPNSINITALDYDIDPNAGPFAFDLPLSPVTIKRNWTINRL NGDFAQLNLKIKFLEAGIYEVPIIITDSGNPPKSNISILRVKVCQCDSNGDCTDVDRIVG AGLGTGAIIAILLCIIILLILVLMFVVWMKRRDKERQAKQLLIDPEDDVRDNILKYDEEG GGEEDQDYDLSQLQQPDTVEPDAIKPVGIRRLDERPIHAEPQYPVRSAAPHPGDIGDFIN EGLKAADNDPTAPPYDSLLVFDYEGSGSTAGSLSSLNSSSSGGDQDYDYLNDWGPRFKKL ADMYGGGDD >ENSMUSP00000025166.7 pep:known chromosome:GRCm38:18:16588877:16809246:-1 gene:ENSMUSG00000024304.14 transcript:ENSMUST00000025166.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh2 description:cadherin 2 [Source:MGI Symbol;Acc:MGI:88355] MCRIAGAPRTLLPLLAALLQASVEASGEIALCKTGFPEDVYSAVLPKDVHEGQPLLNVKF SNCNRKRKVQYESSEPADFKVDEDGTVYAVRSFPLTAEQAKFLIYAQDKETQEKWQVAVN LSREPTLTEEPMKEPHEIEEIVFPRQLAKHSGALQRQKRDWVIPPINLPENSRGPFPQEL VRIRSDRDKNLSLRYSVTGPGADQPPTGIFIINPISGQLSVTKPLDRELIARFHLRAHAV DINGNQVENPIDIVINVIDMNDNRPEFLHQVWNGSVPEGSKPGTYVMTVTAIDADDPNAL NGMLRYRILSQAPSTPSPNMFTINNETGDIITVAAGLDREKVQQYTLIIQATDMEGNPTY GLSNTATAVITVTDVNDNPPEFTAMTFYGEVPENRVDVIVANLTVTDKDQPHTPAWNAAY RISGGDPTGRFAILTDPNSNDGLVTVVKPIDFETNRMFVLTVAAENQVPLAKGIQHPPQS TATVSVTVIDVNENPYFAPNPKIIRQEEGLHAGTMLTTLTAQDPDRYMQQNIRYTKLSDP ANWLKIDPVNGQITTIAVLDRESPNVKNNIYNATFLASDNGIPPMSGTGTLQIYLLDIND NAPQVLPQEAETCETPEPNSINITALDYDIDPNAGPFAFDLPLSPVTIKRNWTINRLNGD FAQLNLKIKFLEAGIYEVPIIITDSGNPPKSNISILRVKVCQCDSNGDCTDVDRIVGAGL GTGAIIAILLCIIILLILVLMFVVWMKRRDKERQAKQLLIDPEDDVRDNILKYDEEGGGE EDQDYDLSQLQQPDTVEPDAIKPVGIRRLDERPIHAEPQYPVRSAAPHPGDIGDFINEGL KAADNDPTAPPYDSLLVFDYEGSGSTAGSLSSLNSSSSGGDQDYDYLNDWGPRFKKLADM YGGGDD >ENSMUSP00000101625.1 pep:known chromosome:GRCm38:7:141447850:141451350:1 gene:ENSMUSG00000025508.13 transcript:ENSMUST00000106003.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rplp2 description:ribosomal protein, large P2 [Source:MGI Symbol;Acc:MGI:1914436] MRYVASYLLAALGGNSSPSAKDIKKILDSVGIEADDDRLNKVISELNGKNIEDVIAQGVG KLASVPAGGAVAVSAAPGSAAPAAGSAPAAAEEKKDEKKEESEESDDDMGFGLFD >ENSMUSP00000101626.1 pep:known chromosome:GRCm38:7:141447712:141451350:1 gene:ENSMUSG00000025508.13 transcript:ENSMUST00000106004.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rplp2 description:ribosomal protein, large P2 [Source:MGI Symbol;Acc:MGI:1914436] MRYVASYLLAALGGNSSPSAKDIKKILDSVGIEADDDRLNKVISELNGKNIEDVIAQGVG KLASVPAGGAVAVSAAPGSAAPAAGSAPAAAEEKKDEKKEESEESDDDMGFGLFD >ENSMUSP00000081474.4 pep:known chromosome:GRCm38:7:141447370:141451585:1 gene:ENSMUSG00000025508.13 transcript:ENSMUST00000084434.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rplp2 description:ribosomal protein, large P2 [Source:MGI Symbol;Acc:MGI:1914436] MRYVASYLLAALGGNSSPSAKDIKKILDSVGIEADDDRLNKVISELNGKNIEDVIAQGVG KLASVPAGGAVAVSAAPGSAAPAAGSAPAAAEEKKDEKKEESEESDDDMGFGLFD >ENSMUSP00000119847.1 pep:known chromosome:GRCm38:2:102357443:102407828:-1 gene:ENSMUSG00000027189.16 transcript:ENSMUST00000144110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim44 description:tripartite motif-containing 44 [Source:MGI Symbol;Acc:MGI:1931835] MIELVERLKFKSSDPKVTRDQMKIFIQQEFKKVQKVIADEEQKALHLVDIQEAMATAHVT EILADIQSHMDRLMTQMAQAKEQLDTS >ENSMUSP00000116958.1 pep:known chromosome:GRCm38:2:102304494:102400257:-1 gene:ENSMUSG00000027189.16 transcript:ENSMUST00000152929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim44 description:tripartite motif-containing 44 [Source:MGI Symbol;Acc:MGI:1931835] XEEDNQEGESEAEGETEAESEFDPEIEMEAERVAKRKCPDHGLDLSTYCQEDRQLICVLC PVIGAHRGHQLSTLDEAFEELRVTRDQMKIFIQQEFKKVQKVIADEEQKALHLVDIQEAM ATAHVTEILADIQSHMDRLMTQMAQAKEQLDTSNESAEPKAEGDEEGPSGAS >ENSMUSP00000099633.1 pep:known chromosome:GRCm38:2:102300119:102400900:-1 gene:ENSMUSG00000027189.16 transcript:ENSMUST00000102573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim44 description:tripartite motif-containing 44 [Source:MGI Symbol;Acc:MGI:1931835] MASGVGAACEELPPDGTCDECEPDEAPGAEEVCRDCGFCYCRRHADAHRQKFLSHRLAAY VHGAQAWTPPASGGDDALPEDGEAKGEAEGEVESEVGEEESETEVDSESEEESETEEDSE DESDEESEEDSEEEMEDEQESEAEEDNQEGESEAEGETEAESEFDPEIEMEAERVAKRKC PDHGLDLSTYCQEDRQLICVLCPVIGAHRGHQLSTLDEAFEELRSKDSGGLKAAMIELVE RLKFKSSDPKVTRDQMKIFIQQEFKKVQKVIADEEQKALHLVDIQEAMATAHVTEILADI QSHMDRLMTQMAQAKEQLDTSNESAEPKAEGDEEGPSGASEEEDT >ENSMUSP00000052730.5 pep:known chromosome:GRCm38:6:116556405:116557412:1 gene:ENSMUSG00000051046.6 transcript:ENSMUST00000060204.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr214 description:olfactory receptor 214 [Source:MGI Symbol;Acc:MGI:3030048] MAKEVEVRMKAWNETTVLEFVLEGFLVAQHLGKVLFLVHLLVYLASVTGNTLIIAITWSD PRLQTPMYFFLRSFSFCECCFISTVIPKLLAIFLFGDRTIHFTPCIIQAFSFLFLGSTIF FHMAVMSLDRYLAICKPLRYPAIMNPRVCFLLVFFSYVLSFILVTGVILRLSRLSFCGSN VIPHFFCDLGSLIHLSCSDTKSLESMAFGVAVVVLFTSVLAAIFAYSNILISIMRLPLAK DRQKAFSTCSSHLIVLSLMYGSCVFIYVKPKQVSRLESNREAALVNTVVTPLLNPVIYTL RNKQVHQALRDALSRVNLQK >ENSMUSP00000145465.1 pep:known chromosome:GRCm38:6:116554316:116557412:1 gene:ENSMUSG00000051046.6 transcript:ENSMUST00000203867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr214 description:olfactory receptor 214 [Source:MGI Symbol;Acc:MGI:3030048] MAKEVEVRMKAWNETTVLEFVLEGFLVAQHLGKVLFLVHLLVYLASVTGNTLIIAITWSD PRLQTPMYFFLRSFSFCECCFISTVIPKLLAIFLFGDRTIHFTPCIIQAFSFLFLGSTIF FHMAVMSLDRYLAICKPLRYPAIMNPRVCFLLVFFSYVLSFILVTGVILRLSRLSFCGSN VIPHFFCDLGSLIHLSCSDTKSLESMAFGVAVVVLFTSVLAAIFAYSNILISIMRLPLAK DRQKAFSTCSSHLIVLSLMYGSCVFIYVKPKQVSRLESNREAALVNTVVTPLLNPVIYTL RNKQVHQALRDALSRVNLQK >ENSMUSP00000113971.2 pep:known chromosome:GRCm38:1:151893237:152055292:-1 gene:ENSMUSG00000032666.16 transcript:ENSMUST00000121533.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700025G04Rik description:RIKEN cDNA 1700025G04 gene [Source:MGI Symbol;Acc:MGI:1916649] MGCASAKHVATVQNEEEAQRGKSYQNGDVFGDEYRIKPVEEVKYMKNGAEEEQKIAARNQ ENLILLSANSVLMVSWAH >ENSMUSP00000036406.7 pep:known chromosome:GRCm38:1:151884524:152090125:-1 gene:ENSMUSG00000032666.16 transcript:ENSMUST00000044581.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700025G04Rik description:RIKEN cDNA 1700025G04 gene [Source:MGI Symbol;Acc:MGI:1916649] MGCASAKHVATVQNEEEAQRGKSYQNGDVFGDEYRIKPVEEVKYMKNGAEEEQKIAARNQ ENLEKSASSNTRLKTNKEIPGLVHQPRANMHISESQQEFFRMLDEKIEKGRDYCSEEEDI T >ENSMUSP00000140950.1 pep:known chromosome:GRCm38:1:151852403:152089970:-1 gene:ENSMUSG00000032666.16 transcript:ENSMUST00000187603.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700025G04Rik description:RIKEN cDNA 1700025G04 gene [Source:MGI Symbol;Acc:MGI:1916649] MGCASAKHVATVQNEEEAQRGKSYQNGDVFGDEYRIKPVEEVKYMKNGAEEEQKIAARNQ ENLEKSASSNTRLKTNKEIPGLVHQPRANMHISESQQEFFRMLDEKIEKGRDYCSEEEDI T >ENSMUSP00000052425.4 pep:known chromosome:GRCm38:6:116581938:116583031:-1 gene:ENSMUSG00000050654.4 transcript:ENSMUST00000061723.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr215 description:olfactory receptor 215 [Source:MGI Symbol;Acc:MGI:3030049] MGNGTTVQEFTLEGFPAVQHLGRLLFSLNLLAYLASITGNVVIVSIICTSTRLKSPMYFF LGVFSFGESCFTSAVIPKLLAIFLLGKQTISFVACFIQTFVTLFIGAFGFFLIAVMSVDR CVAICKPLHYPTIMDLRTCILLIMACLALTFTLITWLVVTVSRLSFCGPHVIPHFFCDIS PLIHLSCSDTSSAEALTFALALIILFSSLIITTIAYSNIVITIVRLPSAKERQRAFSTCS SHLIVLSLMYGSCVFIYVKPKQMSRLESNREAALVNTVVTPLLNPVIYTLRNKQVHQALR ETLSRIKISG >ENSMUSP00000107738.2 pep:known chromosome:GRCm38:6:128706442:128723059:-1 gene:ENSMUSG00000079299.3 transcript:ENSMUST00000112110.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1 description:killer cell lectin-like receptor subfamily B member 1 [Source:MGI Symbol;Acc:MGI:96877] MDAPVLYAELNLAETRGLRCTSAPSLPQDACQGPGWHRVALKLGCAGLIFLLMVLSVLVG FLVQKPLIEKCSVAVQENRTEPTGRSATLECPRDWHPHCDKCLFTSQTSRPWADGLVDCN LKGATLLLIQDEEELRLLQNFSKGKGQQFYIGLKYEEVDKVWKWMNGSILNTNLLQITGK DEENSCALISQTEVFSDSCSSDNHWICQKTLKHV >ENSMUSP00000068479.4 pep:known chromosome:GRCm38:2:32629504:32635052:1 gene:ENSMUSG00000026817.14 transcript:ENSMUST00000068271.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak1 description:adenylate kinase 1 [Source:MGI Symbol;Acc:MGI:87977] MGCCVSSEPQEEGGRKTGEKLKKAKIIFVVGGPGSGKGTQCEKIVQKYGYTHLSTGDLLR AEVSSGSERGKKLSAIMEKGELVPLDTVLDMLRDAMLAKVDSSNGFLIDGYPREVKQGEE FEQKIGQPTLLLYVDAGAETMTQRLLKRGETSGRVDDNEETIKKRLETYYNATEPVISFY DKRGIVRKVNAEGTVDTVFSEVCTYLDSLK >ENSMUSP00000123534.1 pep:known chromosome:GRCm38:2:32628390:32631135:1 gene:ENSMUSG00000026817.14 transcript:ENSMUST00000156578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak1 description:adenylate kinase 1 [Source:MGI Symbol;Acc:MGI:87977] MEEKLKKAKIIFVVGGPGSGKGTQCEKIVQKYGYTHLSTGDLLRAEVSSGSERGKKLSAI MEKGELVPLDTVLDMLRDAMLAKVDSSNG >ENSMUSP00000142174.1 pep:known chromosome:GRCm38:2:32625438:32631172:1 gene:ENSMUSG00000026817.14 transcript:ENSMUST00000195721.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak1 description:adenylate kinase 1 [Source:MGI Symbol;Acc:MGI:87977] MEEKLKKAKIIFVVGKGTQCEKIVQKYGYTHLSTGDLLRAEVSSGSERGKKLSAIMEKGE LVPLDTVLDMLRDAMLAKVDSSNGFLIDGYPREVKQ >ENSMUSP00000108902.1 pep:known chromosome:GRCm38:2:32625437:32635054:1 gene:ENSMUSG00000026817.14 transcript:ENSMUST00000113277.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak1 description:adenylate kinase 1 [Source:MGI Symbol;Acc:MGI:87977] MEEKLKKAKIIFVVGGPGSGKGTQCEKIVQKYGYTHLSTGDLLRAEVSSGSERGKKLSAI MEKGELVPLDTVLDMLRDAMLAKVDSSNGFLIDGYPREVKQGEEFEQKIGQPTLLLYVDA GAETMTQRLLKRGETSGRVDDNEETIKKRLETYYNATEPVISFYDKRGIVRKVNAEGTVD TVFSEVCTYLDSLK >ENSMUSP00000108903.2 pep:known chromosome:GRCm38:2:32621758:32635058:1 gene:ENSMUSG00000026817.14 transcript:ENSMUST00000113278.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak1 description:adenylate kinase 1 [Source:MGI Symbol;Acc:MGI:87977] MEEKLKKAKIIFVVGGPGSGKGTQCEKIVQKYGYTHLSTGDLLRAEVSSGSERGKKLSAI MEKGELVPLDTVLDMLRDAMLAKVDSSNGFLIDGYPREVKQGEEFEQKIGQPTLLLYVDA GAETMTQRLLKRGETSGRVDDNEETIKKRLETYYNATEPVISFYDKRGIVRKVNAEGTVD TVFSEVCTYLDSLK >ENSMUSP00000015124.8 pep:known chromosome:GRCm38:1:152370735:152386682:-1 gene:ENSMUSG00000014980.14 transcript:ENSMUST00000015124.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen15 description:tRNA splicing endonuclease 15 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913887] MEERSDSKPTPGCSGPGPAPVRDGGGAHTWAPEDAWMGTHPKYLEMMELDIGDATQVYIA FLVYLDLMESKSWHEVNCVGIPELQLICLLGTEIEGEGLQTVVPTPISASLSHNRIREIL KASRKLQGDPELPMSFTLAIVESDSTIVYYKLTDGFMLPDPQNISLRR >ENSMUSP00000124391.1 pep:known chromosome:GRCm38:1:152371205:152386592:-1 gene:ENSMUSG00000014980.14 transcript:ENSMUST00000162371.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen15 description:tRNA splicing endonuclease 15 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913887] MEERSDSKPTPGCSGPGPAPVRDGGGAHTWAPEDAWMGTHPKYLEMMELDIGDATQVYIA FLVYLDLMESKSWHEVNCVGIPELQLICLLGTEIEGEGLQTVVPTPISASLSHNRIFLLE DDICPSWC >ENSMUSP00000109571.3 pep:known chromosome:GRCm38:6:83109040:83109939:1 gene:ENSMUSG00000030037.9 transcript:ENSMUST00000113938.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl53 description:mitochondrial ribosomal protein L53 [Source:MGI Symbol;Acc:MGI:1915749] MAAALARLGLRPVKLVRVQFCPFEKNVESTRTFLQTVSSEKVRATNLNCSVIADVRHDGS EPCVDVLFGDGYRLIMRGAHLTTQEMLSALASHIRDRNAAAASAPGADKVAPGTSTRR >ENSMUSP00000105644.2 pep:known chromosome:GRCm38:2:144527748:144535173:1 gene:ENSMUSG00000027427.13 transcript:ENSMUST00000110017.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3f description:polymerase (RNA) III (DNA directed) polypeptide F [Source:MGI Symbol;Acc:MGI:1924086] MAEVKVKVQPPDADPVEIENRIIELCHQFPHGITDQVIQNEMPHIEAQQRAVAINRLLSM GQLDLLRSNTGLLYRIKDSQNAGKMKGSDNQEKLVYQIIEDAGNKENVPLDGDTSLYPST RRGRGRWISEFKASMVCTAAA >ENSMUSP00000028914.2 pep:known chromosome:GRCm38:2:144527718:144541995:1 gene:ENSMUSG00000027427.13 transcript:ENSMUST00000028914.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3f description:polymerase (RNA) III (DNA directed) polypeptide F [Source:MGI Symbol;Acc:MGI:1924086] MAEVKVKVQPPDADPVEIENRIIELCHQFPHGITDQVIQNEMPHIEAQQRAVAINRLLSM GQLDLLRSNTGLLYRIKDSQNAGKMKGSDNQEKLVYQIIEDAGNKGIWSRDIRYKSNLPL TEINKILKNLESKKLIKAVKSVAASKKKVYMLYNLQPDRSVTGGAWYSDQDFESEFVEVL NQQCFKFLQSKAETARESKQNPVIQRNSSFASSHEVWKYICELGISKVELSMEDIETILN TLIYDGKVEMTIIAAKEGTVGSVDGHMKLYRAVNPILPPTGVVRAPCGLCPVFEDCHEGG EISPSNCIYMTEWLEF >ENSMUSP00000087787.4 pep:known chromosome:GRCm38:1:63622851:63686927:-1 gene:ENSMUSG00000069085.5 transcript:ENSMUST00000090313.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dytn description:dystrotelin [Source:MGI Symbol;Acc:MGI:2685061] MDPSKQGTLNRVENSVYRTAFKLRSVQTLCQLDLMDSFLIQQVLWRGRSGESTETSISVQ QLFQELRELFQRTGMGNAAQVHPRAPELTLSLLMAMFDRTGSGILKRQPVAAALVALSGD SPLTKYRAFFQLYAEKNRRGDDSQARMTRRVLRALLTDLQQIPTVVGESYTLRPVESAIH SCFRGVLSSGIKEEKFLSWAQSEPLVLLWLPTCYRLSAAETVTHPVRCSVCRTFPIIGLR YHCLKCLDFDICELCFLSGLHKNSHEKSHTVMEECVQMSATENTKLLFRSLRNNLPQKRQ RVGAVGRQWLLDQLASRDSASHGPARLCLQYKCPKAPGYASSRRAVETAATGVLCQRPSP ALQGNHNRHGQTIVNIKNELWRTRDSLNTLLRERRLLRKQLHRYKQKLQGTYALQEEQNC RFETKIRELTTNQDNLWTKLQQMRRDLQAMLQPLHPSSSPQTTASKIFHSLPDDGIRRGG DSSHIKRVTRDGPEWELLPNSAKVDRKHKCQASSGKALPDSEPQEIILQSTRKQNHPQKM LSKVHSSPSAHQQDTLQIPPTEVKIPAQTLSAGKEMESCSEKRNNLEDEELQALLPRLLD AFDLDSPTGLQPLMDMELYGRAQQVCRAFSVLVDQITLPTWSEEESRLKGPLV >ENSMUSP00000098811.5 pep:known chromosome:GRCm38:6:83101617:83109939:1 gene:ENSMUSG00000079511.8 transcript:ENSMUST00000101253.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc142 description:coiled-coil domain containing 142 [Source:MGI Symbol;Acc:MGI:3045292] MPFALQRLRATLLRLHREREQLLRARDCARHLQAVVRLLRSGSSAGSLSLQQLHGDLQLC PSRGAALRLGFPGSRETLLLTRPVGLAAQHLEAAIEMQLRSLGRTPASLGLTSQLAELLL ALPFYHTLQGHSLNFVPGAARPFPAARVLQLLAAERGCQVADKLAEARGGSGLQEQLRRQ CEQERELLPGLLGLVGGVASTDSSGLRLGGPGALWSQYWTLLWAACAKCLDLRVGPWEDP RAMAQELSQALCQAPLPQECEKELLSLCHSLFHQSVICSWDQGFCQALGSALGGQSSPAS LSPTSELLQRLFPPLLDSLRQPRPELRLCPPAGPTPVALGLCTLQTTLLWFVGRAQQHLA AWDPGSFLLLLQKDLPPLLSAVEALSSLASEAALTLEVEQQLGLEIHNLTEKMQLLPKES LGLFVQECHKQATQGFKLHMPRGRYWRLRLCPEPPSDPSEYARIVVYSVLEPVLQGLQGL PPEAQAPALGQALTATLGAWLDHILTHGIRFSLQGALQLKQDFGVVREVLEQEQWGLSQE LRQTLLSLSIFQQLDGALLCLLQQPLPKNPVHRRPPRCCLCYEVQTTELPTSSLNSLENL APPLRLGVSPAQNTHLLSTLGGGGRGGGGGGGPGPSPEAYLVGNQQAWLALRLHQHPRWH LPFFSCLRTSPEP >ENSMUSP00000098812.3 pep:known chromosome:GRCm38:6:83101601:83108394:1 gene:ENSMUSG00000107499.1 transcript:ENSMUST00000101254.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc142 description:coiled-coil domain containing 142 [Source:MGI Symbol;Acc:MGI:3045292] MARASSSSGPLPPLANVPSSWAQPVGAGEERDEGRRGGLGLLAAVSVPCPDFSRQPWAPA SASGPMPFALQRLRATLLRLHREREQLLRARDCARHLQAVVRLLRSGSSAGSLSLQQLHG DLQLCPSRGAALRLGFPGSRETLLLTRPVGLAAQHLEAAIEMQLRSLGRTPASLGLTSQL AELLLALPFYHTLQGHSLNFVPGAARPFPAARVLQLLAAERGCQVADKLAEARGGSGLQE QLRRQCEQERELLPGLLGLVGGVASTDSSGLRLGGPGALWSQYWTLLWAACAKCLDLRVG PWEDPRAMAQELSQALCQAPLPQECEKELLSLCHSLFHQSVICSWDQGFCQALGSALGGQ SSPASLSPTSELLQRLFPPLLDSLRQPRPELRLCPPAGPTPVALGLCTLQTTLLWFVGRA QQHLAAWDPGSFLLLLQKDLPPLLSAVEALSSLASEAALTLEVEQQLGLEIHNLTEKMQL LPKESLGLFVQECHKQATQGFKLHMPRGRYWRLRLCPEPPSDPSEYARIVVYSVLEPVLQ GLQGLPPEAQAPALGQALTATLGAWLDHILTHGIRFSLQGALQLKQDFGVVREVLEQEQW GLSQELRQTLLSLSIFQQLDGALLCLLQQPLPKNPVHRRPPRCCLCYEVQTTELPTSSLN SLENLAPPLRLGVSPAQNTHLLSTLGGGGRGGGGGGGPGPSPEAYLVGNQQAWLALRLHQ HPRWHLPFFSCLRTSPEP >ENSMUSP00000037532.8 pep:known chromosome:GRCm38:1:152399830:152510695:1 gene:ENSMUSG00000032649.14 transcript:ENSMUST00000044311.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Colgalt2 description:collagen beta(1-O)galactosyltransferase 2 [Source:MGI Symbol;Acc:MGI:2138232] MAARLATVACALFLLSSALLRLGCRARFAAEPDSDEDGEETVAFPESPPQKPTVFVVVLA RNAAHTLPYFLGCLERLDYPKSRMAIWAATDHNVDNTTEILREWLKSVQRLYHYVEWRPM NEPESYPDEIGPKHWPNSRFSHVMKLRQAALRTAREKWSDYILFIDVDNFLTNPQTLNLM IVENKTIVAPMLESRGLYSNFWCGITPQGFYKRTPDYLQIREWKRMGCFPVPMVHSTFLI DLRKEASDKLAFYPPHQDYTWTFDDIIVFAFSSRQAGIQMYLCNKEHYGYLPIPLKPHQT LQEDVENLIHVQIEAMIDHPPMEPSQFVSVVPKYPDKMGFDEIFMINLKRRKDRRDRMLR TLYEQEIEVKIVEAVDGKALNTSQLKAWNIEMLPGYRDPYSSRPLTRGEIGCFLSHFSVW KEVIDRELEKTLVIEDDVRFEHQFKRKLMKLMEDIDKAQLDWELIYIGRKRMQVKEPEKA VPNVVNLVEADYSYWTLGYAISLEGAQKLVGADPFGKMLPVDEFLPIMYNKHPVAEYKEY YESRDLKAFSAEPLLIYPTHYTGQPGYLSDTETSTIWDNETVATDWDRTHSWKSRKQGHI RSTAKNTEALPPPTSLDTVPSRDEL >ENSMUSP00000119210.1 pep:known chromosome:GRCm38:1:152399830:152509818:1 gene:ENSMUSG00000032649.14 transcript:ENSMUST00000127586.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Colgalt2 description:collagen beta(1-O)galactosyltransferase 2 [Source:MGI Symbol;Acc:MGI:2138232] MAARLATVACALFLLSSALLRLGCRARFAAEPDSDEDGEETVAFPESPPQKPTVFVVVLA RNAAHTLPYFLGCLERLDYPKSRMAIWAATDHNVDNTTEILREWLKSVQRLYHYVEWRPM NEPESYPDEIGPKHWPNSRFSHVMKLRQAALRTAREKWSDYILFIDVDNFLTNPQTLNLM IVENKTIVAPMLESRGLYSNFWCGITPQGFYKRTPDYLQIREWKRMGCFPVPMVHSTFLI DLRKEASDKLAFYPPHQDYTWTFDDIIVFAFSSRQAGIQMYLCNKEHYGYLPIPLKPHQT LQEDVENLIHVQIEAMIDHPPMEPSQFVSVVPKYPDKMGFDEIFMINLKRRKDRRDRMLR TLYEQEIEVKIVEAVDGKALNTSQLKAWNIEMLPGYRDPYSSRPLTRGEIGCFLSHFSVW KEVIDRELEKTLVIEDDVRFEHQFKRKLMKLMEDIDKAQLDWELIYPQK >ENSMUSP00000140397.1 pep:known chromosome:GRCm38:11:120098944:120100363:1 gene:ENSMUSG00000078572.3 transcript:ENSMUST00000185558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810043H04Rik description:RIKEN cDNA 1810043H04 gene [Source:MGI Symbol;Acc:MGI:1913676] MSVNGAVWGRVRSRFRAFPEHLAACGAEASAYGKCVQASTAPGGRLSKDLCVREFEALRS CFAAAVGQEDHDGRLLGRAVGVEALS >ENSMUSP00000101830.2 pep:known chromosome:GRCm38:11:120098928:120100705:1 gene:ENSMUSG00000078572.3 transcript:ENSMUST00000106223.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810043H04Rik description:RIKEN cDNA 1810043H04 gene [Source:MGI Symbol;Acc:MGI:1913676] MSVNGAVWGRVRSRFRAFPEHLAACGAEASAYGKCVQASTAPGGRLSKDLCVREFEALRS CFAAAAKKTMMGGS >ENSMUSP00000097270.3 pep:known chromosome:GRCm38:2:102449366:102452499:-1 gene:ENSMUSG00000075012.4 transcript:ENSMUST00000099678.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fjx1 description:four jointed box 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1341907] MGRKMRGAAAAAGLWLLALSSLLTLWGGLLPPRTELPASRPPEDRLPPHPIQSGGPAPEP RFPLPPPLVWDARGGSLKTFRALLTLAAGADNPPRRHQDDRGRHEPSGLSWPEERRAVHG GVFWSRGLEEQVPRGFSEAQAAAWLEVARGARVVALDRGGCGRSSNRLARFADGTRACVR YGINPEQIQGEALSYYLARLLGLQRHVPPLALARVEARGAQWVQVQEELRTAHWTEGSVV SLTRWLPNLTDVVVPEPWRSEDGRLRPLRDAGGELTNLSQAELVDLVQWTDLILFDYLTA NFDRLVSNLFSLQWDPRVMHRATSNLHRGPGGALVFLDNEAGLVHGYRVAGMWDKYNEPL LQSVCVFRERTARRVLELHRGQDAAARLLRLYSRHEPRFPELAELSEPHAQLLQRRLDFL AKHILHCKAKYGRRPGDLITLRGREGLGYE >ENSMUSP00000041453.8 pep:known chromosome:GRCm38:4:135213969:135272814:-1 gene:ENSMUSG00000037242.8 transcript:ENSMUST00000037099.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clic4 description:chloride intracellular channel 4 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1352754] MALSMPLNGLKEEDKEPLIELFVKAGSDGESIGNCPFSQRLFMILWLKGVVFSVTTVDLK RKPADLQNLAPGTHPPFITFNSEVKTDVNKIEEFLEEVLCPPKYLKLSPKHPESNTAGMD IFAKFSAYIKNSRPEANEALERGLLKTLQKLDEYLNSPLPDEIDENSMEDIKFSTRRFLD GDEMTLADCNLLPKLHIVKVVAKKYRNFDIPKGMTGIWRYLTNAYSRDEFTNTCPSDKEV EIAYSDVAKRLTK >ENSMUSP00000032372.6 pep:known chromosome:GRCm38:6:142387221:142403858:1 gene:ENSMUSG00000030245.10 transcript:ENSMUST00000032372.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golt1b description:golgi transport 1B [Source:MGI Symbol;Acc:MGI:1914214] MISLTDTQKIGMGLTGFGVFFLFFGMILFFDKALLAIGNVLFVAGLAFVIGLERTFRFFF QRHKVKATGFFLGGVFVVLIGWPLIGMIFEIYGFFLLFRGFFPVVVGFIRRVPVLGSLLN LPGIRSFVDKVGESNNMV >ENSMUSP00000118062.3 pep:known chromosome:GRCm38:6:142413441:142418571:1 gene:ENSMUSG00000071112.11 transcript:ENSMUST00000126521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spx description:spexin hormone [Source:MGI Symbol;Acc:MGI:2442262] MKGPSVLAVTAVVLLLVLSALENSSGAPQRLSEKRNWTPQAMLYLKGAQGRRFLSDQSRR KELADRPPPERRNPDLELLTLPEAAALFLASLEKSQKDEGGNFDKSELLEDRLFNW >ENSMUSP00000044630.8 pep:known chromosome:GRCm38:1:135818598:135833342:1 gene:ENSMUSG00000041782.14 transcript:ENSMUST00000038760.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lad1 description:ladinin [Source:MGI Symbol;Acc:MGI:109343] MSVSRKDWSALSSLARQRTLEDEEEQERERRRRHRNLSSTTDDESPKLTQNGAQRSVERL PSVEEAEVSKPSPPASKDEDEDFQAILRTRKERRQRRQVVEAVQAPVQERPEAEEERDSL GPEQTSSQPLVPKKKVEALPRRRLSREQRGPWAQDEERLKNRELAEGEKRLPEETVAQQK TLVSEKTPVSEKTPVPAKRLVSEKACPSEKGTATEKASLTEKRHSPEKLVPEKTSVTEKS PVPEKTLVSLKTAAPERRSPPVLEKAIVSEKMQERKLVSEKASIFEKSLVSEAKLTPKKA AVSEQPQTTGGSQATTREPRGRALPDKSPPSSAEQSTPAPPTKASRFPPITLQVKIPSKD EDADTPSPTLLTYSSSLKRSSPRTISFRMSPRKDNSETPLTRSASVRLPASTVKLGEKLE RYHTAIQRSESVRSPGSSRTEVLVTPAGVASKRHLFEKELSGQNRTEPTSIRKENLRLSG VVTSRLNLWISKTQDSGDHGSQEVRKEASVTKRAQWGSKPSTSLDAEV >ENSMUSP00000126220.1 pep:known chromosome:GRCm38:10:53633634:53638269:-1 gene:ENSMUSG00000019856.13 transcript:ENSMUST00000164393.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam184a description:family with sequence similarity 184, member A [Source:MGI Symbol;Acc:MGI:1923156] FYQLELVNRETNFNKIFNTSPTVGVINPLAKQKKKNDKSAANSPPQSEASPVASPDPQRQ EWFARYFTF >ENSMUSP00000128426.1 pep:known chromosome:GRCm38:10:53633630:53647080:-1 gene:ENSMUSG00000019856.13 transcript:ENSMUST00000169866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam184a description:family with sequence similarity 184, member A [Source:MGI Symbol;Acc:MGI:1923156] MTADHLREKNIMRADFNKTNELLKEINAALQVSLEDMEEKYLMRESRPEDIQMIAELKSL ITERDQVIKKLIEDNKFYQLELVNRETNFNKIFNTSPTVGVINPLAKVSVRCMCMNSSNV TAGLSIAHCCVPCNSPRDVLLRVKLPQWLLQTPSARSGLPGTSHSD >ENSMUSP00000127400.1 pep:known chromosome:GRCm38:10:53633370:53699343:-1 gene:ENSMUSG00000019856.13 transcript:ENSMUST00000163761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam184a description:family with sequence similarity 184, member A [Source:MGI Symbol;Acc:MGI:1923156] VIYALNTRNDEHDAAIQALKDAHEEEIQQILAETREKILLYKSKVTEELDLRRKIQVLEA SLEDHMKMKQEALTEFEAYKRRVEDMQLCAEAQHVQRIVTMSREVEEIRKKFEERLRSFG QLQVQFENDKQAALEDLRTTHRLEVQELLKSQQNHSSSVKLGQEKAEGLHRMEVEALNNT VKELRLEKKQLIEEYEGKLSKAQVFYERELDNLKRSQLFTAESLQASRDKEADLRKEFQG QEAILRKTIGKLKTELQMVQDEASSLLDKCQKLQMALATAENNVQVLQKQLDDAKEGEMA LLSKHKEVESELAAARERLQEQASDLVLKASHIGMLQATQMTQEVTIKDLESEKSRANER LCQLEEERAFLQSRTQSLDEEQKQQVLELEKKVNEAKRTQQEYYEMELKNLQNRLEGEVA QLNEAHGKTLEELARKHHMAIEAVHSNASRDKIKLQTELEEQYKKEKLSLEEDKNQLQLE LESLKQALGDKLTSANQEIGRLQDLVRKSEQGLGSAEGLISSLQDSQERLQSELDLTKGR LKETKDALLNVEAELQQERHEHEQTLATMKEEEKLRVDRMAHDLEIKWTENLRQECSKLR QELRLQHEEDKKSAMSQLLQLKEREKNAARDSWQKKVEDLLNQISLLKQNLELQLCQSQT SLQQLQAQFTQERQRLTQELEELEEQHQQRHKSLKEAHVLAFQTMEEEKEKEQRALETHL QQKHSAELQSLKDAHRESMEGFRVEMEQELQTLRFELEDEGKAMLASLRSELNHQHAASI DLLRHSHHQELAAAKMELERSIDISRRQSKEHMCRISDLQEELRHREHHITDLDKEVQHL HENINTLTKELELKGKEILRVRSESNQQMRLEDMEEKYLMRESRPEDIQMIAELKSLITE RDQVIKKLIQKKKNDKSAANRFVSVPNLSALESSGMGNGHPTRLEPIPNSPAHDVEFNSN KPLPQPLPPKEPKTFLSPPQSEASPVASPDPQRQEWFARYFTF >ENSMUSP00000128132.1 pep:known chromosome:GRCm38:10:53633369:53655033:-1 gene:ENSMUSG00000019856.13 transcript:ENSMUST00000165986.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam184a description:family with sequence similarity 184, member A [Source:MGI Symbol;Acc:MGI:1923156] XSLKEAHVLAFQTMEEEKEKEQRALETHLQQKHSAELQSLKDAHRESMEGFRVEMEQELQ TLRFELEDEGKAMLASLRSELNHQHAASIDLLRHSHHQELAAAKMELERSIDISRRQSKE HMCRISDLQEELRHREHHITDLDKEVQHLHENINTLTKELELKGKEILRVRSESNQQMRL HEQDLNKRLEKELDVMTADHLREKNIMRADFNKTNELLKEINAALQVSLEDMEEKYLMRE SRPEDIQMIAELKSLITERDQVIKKLIEDNKFYQLELVNRETNFNKIFNTSPTVGVINPL AKQKKKNDKSAANRFVSVPNLSALESSGMGNGHPTRLEPIPNSPAHDVEFNSNKPLPQPL PPKEPKTFLRYQ >ENSMUSP00000130315.1 pep:known chromosome:GRCm38:10:53633366:53694823:-1 gene:ENSMUSG00000019856.13 transcript:ENSMUST00000171807.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam184a description:family with sequence similarity 184, member A [Source:MGI Symbol;Acc:MGI:1923156] DLESEKSRANERLCQLEEERAFLQSRTQSLDEEQKQQVLELEKKVNEAKRTQQEYYEMEL KNLQNRLEGEVAQLNEAHGKTLEELARKHHMAIEAVHSNASRDKIKLQTELEEQYKKEKL SLEEDKNQLQLELESLKQALGDKLTSANQEIGRLQDLVRKSEQGLGSAEGLISSLQDSQE RLQSELDLTKGRLKETKDALLNVEAELQQERHEHEQTLATMKEEEKLRVDRMAHDLEIKW TENLRQECSKLRQELRLQHEEDKKSAMSQLLQLKEREKNAARDSWQKKVEDLLNQISLLK QNLELQLCQSQTSLQQLQAQFTQERQRLTQELEELEEQHQQRHKSLKEAHVLAFQTMEEE KEKEQRALETHLQQKHSAELQSLKDAHRESMEGFRVEMEQELQTLRFELEDEGKAMLASL RSELNHQHAASIDLLRHSHHQELAAAKMELERSIDISRRQSKEHMCRISDLQEELRHREH HITDLDKEVQHLHENINTLTKELELKGKEILRVRSESNQQMRLEDMEEKYLMRESRPEDI QMIAELKSLITERDQVIKKLIEDNKFYQLELVNRETNFNKIFNTSPTVGVINPLAKQKKK NDKSAANRFVSVPNLSALESSGMGNGHPTRLEPIPNSPAHDVEFNSNKPLPQPLPPKEPK TFLSPPQSEASPVASPDPQRQEWFARYFTF >ENSMUSP00000020003.7 pep:known chromosome:GRCm38:10:53633145:53750880:-1 gene:ENSMUSG00000019856.13 transcript:ENSMUST00000020003.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam184a description:family with sequence similarity 184, member A [Source:MGI Symbol;Acc:MGI:1923156] MATPGMSWQHHYYGSSTSGPAKFATSPAAAQLAGHNMDYSQDMHLKMSKKIAQLTKVIYA LNTRNDEHDAAIQALKDAHEEEIQQILAETREKILLYKSKVTEELDLRRKIQVLEASLED HMKMKQEALTEFEAYKRRVEDMQLCAEAQHVQRIVTMSREVEEIRKKFEERLRSFGQLQV QFENDKQAALEDLRTTHRLEVQELLKSQQNHSSSVKLGQEKAEGLHRMEVEALNNTVKEL RLEKKQLIEEYEGKLSKAQVFYERELDNLKRSQLFTAESLQASRDKEADLRKEFQGQEAI LRKTIGKLKTELQMVQDEASSLLDKCQKLQMALATAENNVQVLQKQLDDAKEGEMALLSK HKEVESELAAARERLQEQASDLVLKASHIGMLQATQMTQEVTIKDLESEKSRANERLCQL EEERAFLQSRTQSLDEEQKQQVLELEKKVNEAKRTQQEYYEMELKNLQNRLEGEVAQLNE AHGKTLEELARKHHMAIEAVHSNASRDKIKLQTELEEQYKKEKLSLEEDKNQLQLELESL KQALGDKLTSANQEIGRLQDLVRKSEQGLGSAEGLISSLQDSQERLQSELDLTKGRLKET KDALLNVEAELQQERHEHEQTLATMKEEEKLRVDRMAHDLEIKWTENLRQECSKLRQELR LQHEEDKKSAMSQLLQLKEREKNAARDSWQKKVEDLLNQISLLKQNLELQLCQSQTSLQQ LQAQFTQERQRLTQELEELEEQHQQRHKSLKEAHVLAFQTMEEEKEKEQRALETHLQQKH SAELQSLKDAHRESMEGFRVEMEQELQTLRFELEDEGKAMLASLRSELNHQHAASIDLLR HSHHQELAAAKMELERSIDISRRQSKEHMCRISDLQEELRHREHHITDLDKEVQHLHENI NTLTKELELKGKEILRVRSESNQQMRLHEQDLNKRLEKELDVMTADHLREKNIMRADFNK TNELLKEINAALQVSLEDMEEKYLMRESRPEDIQMIAELKSLITERDQVIKKLIEDNKFY QLELVNRETNFNKIFNTSPTVGVINPLAKQKKKNDKSAANRFVSVPNLSALESSGMGNGH PTRLEPIPNSPAHDVEFNSNKPLPQPLPPKEPKTFLSPPQSEASPVASPDPQRQEWFARY FTF >ENSMUSP00000145213.1 pep:known chromosome:GRCm38:6:142422617:142423619:-1 gene:ENSMUSG00000030244.7 transcript:ENSMUST00000126939.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gys2 description:glycogen synthase 2 [Source:MGI Symbol;Acc:MGI:2385254] XYPRPSSVPPSPSGSQASSPQCSDAEDEEDEDER >ENSMUSP00000032371.7 pep:known chromosome:GRCm38:6:142422613:142473109:-1 gene:ENSMUSG00000030244.7 transcript:ENSMUST00000032371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gys2 description:glycogen synthase 2 [Source:MGI Symbol;Acc:MGI:2385254] MLRGRSLSVTSLGGLPVWEAERLPVEDLLLFEVSWEVTNKVGGICTVIQTKAKTTADEWG ENYFLIGPYFEHNMKTQVEQCEPTNDAVRKAVDAMNKHGCQVHFGRWLIEGSPYVVLFDI SSSAWNLDRWKGDFWEACGVGIPHHDREANDMLIFGSLTAWFLKEVTDHADGKHVIAQFH EWQAGTGLILSRARKLPIATVFTTHATLLGRYLCAANIDFYNQLDKFDIDKEAGERQIYH RYCMERASVHCAHVFTTVSEITAIEAEHMLKRKPDVVTPNGLNVKKFSAVHEFQNLHAMY KARIQDFVRGHFYGHLDFDLEKTLFLFIAGRYEFSNKGADIFLESLSRLNFLLRMHKSNV TVVVFFIMPAKTNNFNVETLKGQAVRKQLWDTVHCLKEKFGKKLYDGLLRGEIPDMNSIL DRDDLTIMKRAIFSTQRQSLPPVTTHNMIDDSTDPILSTIRRIGLFNNRADRVKVILHPE FLSSTSPLLPMDYEEFVRGCHLGVFPSYYEPWGYTPAECTVMGIPSVTTNLSGFGCFVQE HVADPTAYGIYIVDRRFRSPDDSCNQLTQFLYGFCKQSRRQRIIQRNRTERLSDLLDWRY LGRYYQHARHLTLSRAFPDKFHLEPTSPPTTDGFKYPRPSSVPPSPSGSQASSPQCSDAE DEEDEDERYDEEEEAERDRLNIKSPFSLNHFPKGKKKLHGEYKN >ENSMUSP00000033160.8 pep:known chromosome:GRCm38:7:121986722:122021222:-1 gene:ENSMUSG00000030872.14 transcript:ENSMUST00000033160.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gga2 description:golgi associated, gamma adaptin ear containing, ARF binding protein 2 [Source:MGI Symbol;Acc:MGI:1921355] MAATAVAAGTGSPAGTESAEGGPGAAAALELWLNKATDPSMAEQDWSAIQKFCEQVNTDP SGPTHAPWLLAHKIQSPQEKEALYALTVLEICMNHCGEKFHSEVAKFRFLNELIKVLSPK YLGAWATEKVKGRVIEILFSWTVWFPEDIKIRDAYQMLKKQGIIKQDPKLPMDKILPPPS PWPKSIFDADEEKSKLLTRLLKSNHPEDLQAANRLIKNLVKEEQEKSEKVSRRVSAVEEV RSHVRVLREMLSMYRRPGHALPDQQALQVVYERCEKLRPTLFRLASDTTDDDDALAEILQ ANDLLTQGVRLYKQVVEGRVSAGNAVPAAVGAIPAPRAFPNPEPCGLNCPLIDLETPSLL HQDLAALGINDVPTRNQVVIPSCCNDKKQPGAITLMGGGIQSLSADRNLLDLFSPQPSPG LNYVPQKSIPKEVPPGTKASPGWSWEAGPLASSTASQNTPLAHVFVPLESVKPSSLPPIV VYDRNGFRILLHFSQTGAPGHPDVKVLLLTMMSTATQPVWDVMFQVAVPKSMRVKLQPAS SSKLPAFSPLMPPAVISQTLLLDNPHKEPIRLRYKLTFNQGGQPFSEVGEVKDFPDLAVL STA >ENSMUSP00000115581.1 pep:known chromosome:GRCm38:7:121986722:122021167:-1 gene:ENSMUSG00000030872.14 transcript:ENSMUST00000124566.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gga2 description:golgi associated, gamma adaptin ear containing, ARF binding protein 2 [Source:MGI Symbol;Acc:MGI:1921355] MAATAVAAGTGSPAGTESAEGGPGAAAALELWLNKATDPSMAEQDWSAIQKFCEQVNTDP SGPTHAPWLLAHKIQSPQEKEALYALTVLEICMNHCGEKFHSEVAKFRFLNELIKVLSPK YLGAWATEKVKGRVIEILFSWTVWFPEDIKIRDAYQMLKKQGIIKQDPKLPMDKILPPPS PWPKSIFDADEEKSKLLTRLLKSNHPEDLQAANRLIKNLVKEEQEKSEKVSRRVSAVEEV RSHVRVLREMLSMYRRPGHALPDQQALQVVYERCEKLRPTLFRLASDTTDDDDALAEILQ ANDLLTQGVRLYKQVVEGRVSAGNAVPAAVGAIPAPRAFPNPEPCGLNCPLIDLETPSLL HQDLAALGINDVPTRNQVVIPSCCNDKKQPGAITLMGGGIQSLSADRNLLDLFSPQPSPG LNYVPQKSIPKEVPPGTKASPGWSWEAGPLASSTASQNTPLAHVFVPLESVKPSSLPPIV VYDRNGFRILLHFSQTGAPGHPDVKVLLLTMMSTATQPVWDVMFQVAVPKSMRVKLQPAS SSKLPAFSPLMPPAVISQTLLLDNPHKEPIRLRYKLTFNQGGQPFSEVGEVKDFPDLAVL STA >ENSMUSP00000116014.1 pep:known chromosome:GRCm38:6:142495568:142507805:-1 gene:ENSMUSG00000030246.11 transcript:ENSMUST00000134191.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldhb description:lactate dehydrogenase B [Source:MGI Symbol;Acc:MGI:96763] MATLKEKLIASVADDEAAVPNNKITVVGVGQVGMACAISILGKSLADELALVDVLEDKLK GEMMDLQHGSLFLQTPKIVADKDYSVTANSKIVVVTAGVRQQEGESRLNLVQRNVNVFKF IIPQIVKYSPDCTIIVVSNPVDILTYVTWKLSGLPKHRVIGSGCNLDSARFRYLMAEKLG IHPSSCHGWILGEHGDSS >ENSMUSP00000145261.1 pep:known chromosome:GRCm38:6:142490336:142501387:-1 gene:ENSMUSG00000030246.11 transcript:ENSMUST00000204433.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldhb description:lactate dehydrogenase B [Source:MGI Symbol;Acc:MGI:96763] XHGSLFLQTPKIVADKDYSVTANSKIVVVTAGVRQQEGESRLNLVQRNVNVFKFIIPQIV KYSPDCTIIVVSNPVDILTYVTWKLSGLPKHRVIGSGCNLDSARFRYLMAEKLGIHPSSC HGWILGEHGDSSVAVWSGVNVAGVSLQELNPEMGTDNDSENWKEVHKMVVDRECTALRMK SSSVSRASSMLGG >ENSMUSP00000032373.5 pep:known chromosome:GRCm38:6:142490249:142507957:-1 gene:ENSMUSG00000030246.11 transcript:ENSMUST00000032373.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldhb description:lactate dehydrogenase B [Source:MGI Symbol;Acc:MGI:96763] MATLKEKLIASVADDEAAVPNNKITVVGVGQVGMACAISILGKSLADELALVDVLEDKLK GEMMDLQHGSLFLQTPKIVADKDYSVTANSKIVVVTAGVRQQEGESRLNLVQRNVNVFKF IIPQIVKYSPDCTIIVVSNPVDILTYVTWKLSGLPKHRVIGSGCNLDSARFRYLMAEKLG IHPSSCHGWILGEHGDSSVAVWSGVNVAGVSLQELNPEMGTDNDSENWKEVHKMVVDSAY EVIKLKGYTNWAIGLSVADLIESMLKNLSRIHPVSTMVKGMYGIENEVFLSLPCILNARG LTSVINQKLKDDEVAQLRKSADTLWDIQKDLKDL >ENSMUSP00000145467.1 pep:known chromosome:GRCm38:6:142490249:142495648:-1 gene:ENSMUSG00000030246.11 transcript:ENSMUST00000130817.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldhb description:lactate dehydrogenase B [Source:MGI Symbol;Acc:MGI:96763] XYLMAEKLGIHPSSCHGWILGEHGDSSGNVRH >ENSMUSP00000142127.1 pep:known chromosome:GRCm38:3:155093588:155137748:1 gene:ENSMUSG00000028182.14 transcript:ENSMUST00000194376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrriq3 description:leucine-rich repeats and IQ motif containing 3 [Source:MGI Symbol;Acc:MGI:1921685] MFHGTITKELTSHEEWSHYNENIIEDQKDFVFVKYSGLHLKSMENLQTCISLRVCIFSNN FLTDIQPLQSCKKLIKLDLHGNQIKTLPDKNFWSGLKNLKLLYLHDNGFSKLKNICVLSG CVSLIGLTMFDCPVSLKKGYRHVLVNSIWPLKALDHHVISDEEIIQNWRLPERFKTFSPS LFFNLYPALIKGTTYEDEIKNIKHIISRINEILAHNSPVLIIQRWIRGFIVRKHLSPYFK HKKHYHGKMIRVLETKLICIGRSDEDKYLEDFFFIKPECNIKGKVAHWKQDKTKLWVNFH >ENSMUSP00000029833.7 pep:known chromosome:GRCm38:3:155093434:155194280:1 gene:ENSMUSG00000028182.14 transcript:ENSMUST00000029833.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrriq3 description:leucine-rich repeats and IQ motif containing 3 [Source:MGI Symbol;Acc:MGI:1921685] MFHGTITKELTSHEEWSHYNENIIEDQKDFVFVKYSGLHLKSMENLQTCISLRVCIFSNN FLTDIQPLQSCKKLIKLDLHGNQIKTLPDKNFWSGLKNLKLLYLHDNGFSKLKNICVLSG CVSLIGLTMFDCPVSLKKGYRHVLVNSIWPLKALDHHVISDEEIIQNWRLPERFKTFSPS LFFNLYPALIKGTTYEDEIKNIKHIISRINEILAHNSPVLIIQRWIRGFIVRKHLSPYFK HKKHYHGKMIRVLETKLICIGRSDEDKYLEDFFFIKPECNIKGKVAHWKQMRYSPADFKY STEYGKHISCLSYELKTKYIDGKSKQPRHHIHKGQKAMKAESEDEEVDTEFRISAMKIPL YSSRSLKYGAMLKEMKWDYFPQYLQPFPATRQKPPVKRETLWKLKKRREFLATQRAGMKL HMFDDVDKYYSEQKQHEEEARKFAAMVTAQVTQERASVNIREKLNKKIYMTRKLMEKDNE TIQKGLQQIWRERLAYLEKVRERKFMFLAEKKLNAADQSLVISLNNERSILLKGITQVER LKKHMSEKKAKHLDVIEKWEEQKYKQDLLMEMKKLRVEEIQKRHCEEKFVIDTLILQKGY ERLEEAKAKVEYIKTFYTSKSHKRRNEAMPDHI >ENSMUSP00000141372.1 pep:known chromosome:GRCm38:3:155093538:155182228:1 gene:ENSMUSG00000028182.14 transcript:ENSMUST00000192383.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrriq3 description:leucine-rich repeats and IQ motif containing 3 [Source:MGI Symbol;Acc:MGI:1921685] MFHGTITKELTSHEEWSHYNENIIEDQKDFVFVKYSGLHLKSMENLQTCISLRVCIFSNN FLTDIQPLQSCKKLIKLDLHGNQIKTLPDKNFWSGLKNLKLLYLHDNGFSKLKNICVLSG CVSLIGLTMFDCPVSLKKGYRHVLVNSIWPLKALDHHVISDEEIIQNWRLPERFKTFSPS LFFNLYPALIKGTTYEDEIKNIKHIISRINEILAHNSPVLIIQRWIRGFIVRKHLSPYFK HKKHYHGKMIRVLETKLICIGRSDEDKYLEDFFFIKPECNIKGKVAHWKQMRYSPADFKY STEYGKHISCLSYELKTKYIDGKSKQPRHHIHKGLEGFKCSFLDA >ENSMUSP00000134184.1 pep:known chromosome:GRCm38:6:128780043:128788486:-1 gene:ENSMUSG00000030325.16 transcript:ENSMUST00000172601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1c description:killer cell lectin-like receptor subfamily B member 1C [Source:MGI Symbol;Acc:MGI:107538] XEMDTASIYLGLKPPRTLGAWHESPPSLPPDACRCPRSHRLALKLSCAGLILLVLTLIGM SVLVRVLVQKPSREKCCVFIQENLNKTTECPQDWLLHRDKCFHVSQVSNTWEEGQADCGR KGATLLLIQDQEELRFLLDSIKEKYNSFWIGLRFTLPDMNWKWINGTTFNSDVLKITGVT ENGSCASILGDKVTPESCASDNRWICQKELNHETPSNDS >ENSMUSP00000134055.1 pep:known chromosome:GRCm38:6:128784764:128789215:-1 gene:ENSMUSG00000030325.16 transcript:ENSMUST00000174865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1c description:killer cell lectin-like receptor subfamily B member 1C [Source:MGI Symbol;Acc:MGI:107538] MGIFSSCCTVLSNPGLGVCPFSPPVKCCISCEMDTASIYLGLKPPRTLGAWHESPPSLPP DACRCPRSHRLALKLSCAGLILLVLTLIGMSVLVRVLVQKPSREKCCVF >ENSMUSP00000127297.2 pep:known chromosome:GRCm38:6:128778485:128788641:-1 gene:ENSMUSG00000030325.16 transcript:ENSMUST00000167691.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1c description:killer cell lectin-like receptor subfamily B member 1C [Source:MGI Symbol;Acc:MGI:107538] MEKPSETGISGCGNRAEHSQRTFFCLFHLKGLGVCPFSPPVKCCISCEMDTASIYLGLKP PRTLGAWHESPPSLPPDACRCPRSHRLALKLSCAGLILLVLTLIGMSVLVRVLVQKPSRE KCCVFIQENLNKTTVNLECPQDWLLHRDKCFHVSQVSNTWEEGQADCGRKGATLLLIQDQ EELRFLLDSIKEKYNSFWIGLRFTLPDMNWKWINGTTFNSDVLKITGVTENGSCASILGD KVTPESCASDNRWICQKELNHETPSNDS >ENSMUSP00000134504.1 pep:known chromosome:GRCm38:6:128779459:128788641:-1 gene:ENSMUSG00000030325.16 transcript:ENSMUST00000174404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1c description:killer cell lectin-like receptor subfamily B member 1C [Source:MGI Symbol;Acc:MGI:107538] MEKPSETGISGCGNRAEHSQRTFFCLFHLKGLGVCPFSPPVKCCISCEMDTASIYLGLKP PRTLGAWHESPPSLPPDACRCPRSHRLALKLSCAGLILLVLTLIGMSVLVRVLVQKPSRE KCCVFIQENLNKTTDCSVNLECPQDWLLHRDKCFHVSQVSNTWEEGQADCGRKGATLLLI QDQEELRFLLDSIKEKYNSFWIGLRFTLPDMNWKWINGTTFNSDVLKITGVTENGSCASI LGDKVTPESCASDNRWICQKELNHETPSNDS >ENSMUSP00000081312.4 pep:known chromosome:GRCm38:4:126489541:126533472:-1 gene:ENSMUSG00000042500.11 transcript:ENSMUST00000084289.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ago4 description:argonaute RISC catalytic subunit 4 [Source:MGI Symbol;Acc:MGI:1924100] MEALGPGPPASLFQPPRRPGLGTVGKPIRLLANHFQVQIPKIDVYHYDVDIKPEKRPRRV NREVVDTMVRHFKMQIFGDRQPGYDGKRNMYTAHPLPIGRDRIDMEVTLPGEGKDQTFKV SVQWVSVVSLQLLLEALAGHLNEVPDDSVQALDVITRHLPSMRYTPVGRSFFSPPEGYYH PLGGGREVWFGFHQSVRPAMWNMMLNIDVSATAFYRAQPIIEFMCEVLDIQNINEQTKPL TDSQRVKFTKEIRGLKVEVTHCGQMKRKYRVCNVTRRPASHQTFPLQLENGQAMECTVAQ YFKQKYSLQLKHPHLPCLQVGQEQKHTYLPLEVCNIVAGQRCIKKLTDNQTSTMIKATAR SAPDRQEEISRLVKSNSMVGGPDPYLKEFGIVVHNEMTELTGRVLPAPMLQYGGRNKTVA TPSQGVWDMRGKQFYAGIEIKVWAVACFAPQKQCREDLLKSFTDQLRKISKDAGMPIQGQ PCFCKYAQGADSVEPMFKHLKMTYVGLQLIVVILPGKTPVYAEVKRVGDTLLGMATQCVQ VKNVVKTSPQTLSNLCLKMNAKLGGINNVLVPHQRPSVFQQPVIFLGADVTHPPAGDGKK PSIAAVVGSMDGHPSRYCATVRVQTSRQEITQELLYSQEVVQDLTSMARELLIQFYKSTR FKPTRIIYYRGGVSEGQMKQVAWPELIAIRKACISLEEDYRPGITYIVVQKRHHTRLFCA DKMERVGKSGNVPAGTTVDSTVTHPSEFDFYLCSHAGIQGTSRPSHYQVLWDDNCFTADE LQLLTYQLCHTYVRCTRSVSIPAPAYYARLVAFRARYHLVDKDHDSAEGSHVSGQSNGRD PQALAKAVQIHHDTQHTMYFA >ENSMUSP00000119603.1 pep:known chromosome:GRCm38:11:95666957:95680773:1 gene:ENSMUSG00000038845.11 transcript:ENSMUST00000125172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phb description:prohibitin [Source:MGI Symbol;Acc:MGI:97572] MAAKVFESIGKFGLALAVAGGVVNSALYNVDAGHRAVIFDRFRGVQDIVVGEGTHFLIPW VQKPIIFDCRSRPRNVPVITGSKDLQNVNITLRILFRPVASQLPRIYTSIGEDYDERVLP SITTEILKSVVARFDAGELITQRELVSRQVSDDLTERAATFGLILDDVSLTHLTFGKEFT EAVEAKQVAQQEAERARFVVEKAEQQKKAAIISAEGDSKAAELIANSLATAGDGLIELRK LEAAEDIAYQLSRSRNITYLPAGQSVLLQLPQ >ENSMUSP00000047536.5 pep:known chromosome:GRCm38:11:95667064:95679708:1 gene:ENSMUSG00000038845.11 transcript:ENSMUST00000036374.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phb description:prohibitin [Source:MGI Symbol;Acc:MGI:97572] MAAKVFESIGKFGLALAVAGGVVNSALYNVDAGHRAVIFDRFRGVQDIVVGEGTHFLIPW VQKPIIFDCRSRPRNVPVITGSKDLQNVNITLRILFRPVASQLPRIYTSIGEDYDERVLP SITTEILKSVVARFDAGELITQRELVSRQVSDDLTERAATFGLILDDVSLTHLTFGKEFT EAVEAKQVAQQEAERARFVVEKAEQQK >ENSMUSP00000134022.1 pep:known chromosome:GRCm38:6:128818937:128826305:-1 gene:ENSMUSG00000079298.9 transcript:ENSMUST00000174544.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1b description:killer cell lectin-like receptor subfamily B member 1B [Source:MGI Symbol;Acc:MGI:107539] MDSTTLVYADLNLARIQEPKHDSPPSLSPVLSVQKSSVQKICADVQENRTHTTGCSAKLE CPQDWLSHRDKCFHVSQVSNTWKECRIDCDKKGATLL >ENSMUSP00000032472.4 pep:known chromosome:GRCm38:6:128813706:128826331:-1 gene:ENSMUSG00000079298.9 transcript:ENSMUST00000032472.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1b description:killer cell lectin-like receptor subfamily B member 1B [Source:MGI Symbol;Acc:MGI:107539] MDSTTLVYADLNLARIQEPKHDSPPSLSPDTCRCPRWHRLALKFGCAGLILLVLVVIGLC VLVLSVQKSSVQKICADVQENRTHTTGCSAKLECPQDWLSHRDKCFHVSQVSNTWKECRI DCDKKGATLLLIQDQEELRFLLDSIKEKYNSFWIGLSYTLTDMNWKWINGTAFNSDVLKI TGVTENGSCAAISGEKVTSEGCSSDNRWICQKELNHETPCNDS >ENSMUSP00000134637.1 pep:known chromosome:GRCm38:6:128814384:128826313:-1 gene:ENSMUSG00000079298.9 transcript:ENSMUST00000172887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1b description:killer cell lectin-like receptor subfamily B member 1B [Source:MGI Symbol;Acc:MGI:107539] MDSTTLVYADLNLARIQEPKHDSPPSLSPDTCRCPRWHRLALKFGCAGLILLVLVVIGLC VLVLSVQKSSVQKICADVQENRTHTTGCSAKLECPQDWLSHRDKCFHVSQVSNTWKECRI DCDKKGATLLLIQDQEELTKNHWCH >ENSMUSP00000144964.1 pep:known chromosome:GRCm38:6:128814904:128826290:-1 gene:ENSMUSG00000079298.9 transcript:ENSMUST00000205130.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1b description:killer cell lectin-like receptor subfamily B member 1B [Source:MGI Symbol;Acc:MGI:107539] MDSTTLVYADLNLARIQEPKHDSPPSLSPDTCRCPRWHRLALKFGCAGLILLVLVVIGLC VLVLSVQKSSVQKICADVQENRTHTTVSNTWKECRIDCDKKGATLLLIQDQEELRFLLDS IKEKYNSFWIGLSYTLTDMNWKWINGTAFNSDVLKITGVTENGSCAAISGEKVTSEGCSS DNRWICQKELNHETPCNDS >ENSMUSP00000145287.1 pep:known chromosome:GRCm38:6:128783763:128826315:-1 gene:ENSMUSG00000107872.2 transcript:ENSMUST00000204677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-127M20.8 MDSTTLVYADLNLARIQEPKHDSPPSLSPDTCRCPRWHRLALKFGCAGLILLVLVVIGLC VLVLSVQKSSVQKICADVQENRTHTTGCSAKLECPQDWLSHRDKCFHVSQVSNTWKECRI DCDKKGATLLLIQDQEELRFLLDS >ENSMUSP00000145327.1 pep:known chromosome:GRCm38:6:128780285:128826252:-1 gene:ENSMUSG00000107872.2 transcript:ENSMUST00000204423.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-127M20.8 MDSTTLVYADLNLARIQEPKHDSPPSLSPDTCRCPRWHRLALKFGCAGLILLVLVVIGLC VLVLSVQKSSVQKICADVQENRTHTTGCSAKLECPQDWLSHRDKCFHVSQVSNTWKECRI DCDKKGATLLLIQDQEELRFLLDSIKEKYNSFWIGLSYTLTDMNWKWINGTAFNSDVLKI TGVTENGSCASILGDKVTPESCASDNRWICQKELNHETPSNDS >ENSMUSP00000144777.1 pep:known chromosome:GRCm38:6:128780364:128826219:-1 gene:ENSMUSG00000107872.2 transcript:ENSMUST00000204756.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-127M20.8 DLNLARIQEPKHDSPPSLSPDTCRCPRWHRLALKFGCAGLILLVLVVIGLCVLVLSVQKS SVQKICADVQENRTHTTDCSVNLECPQDWLLHRDKCFHVSQVSNTWEEGQADCGRKGATL LLIQDQEELRFLLDSIKEKYNSFWIGLRFTLPDMNWKWINGTTFNSDVLKITGVTENGSC ASILGDKVT >ENSMUSP00000145481.1 pep:known chromosome:GRCm38:6:128780285:128826252:-1 gene:ENSMUSG00000107872.2 transcript:ENSMUST00000204394.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-127M20.8 MDSTTLVYADLNLARIQEPKHDSPPSLSPDTCRCPRWHRLALKFGCAGLILLVLVVIGLC VLVLSVQKSSVQKICADVQENRTHTTDCSVNLECPQDWLLHRDKCFHVSQVSNTWEEGQA DCGRKGATLLLIQDQEELRFLLDSIKEKYNSFWIGLRFTLPDMNWKWINGTTFNSDVLKI TGVTENGSCASILGDKVTPESCASDNRWICQKELNHETPSNDS >ENSMUSP00000109728.2 pep:known chromosome:GRCm38:1:63698819:63730318:-1 gene:ENSMUSG00000025963.5 transcript:ENSMUST00000114094.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdh1b description:malate dehydrogenase 1B, NAD (soluble) [Source:MGI Symbol;Acc:MGI:1923918] MAKFVIAGKANCPYYAKAELLADYLQKNLPDFRIFKITQHPDKWEDWVEDVCERNMWDHR TSPIIWRELLDRGGRGLLLGGYNEFLEHAQLYYGVTSNMTTELMMVIAKENMQTHTEQQL DKETMKDLISPLQVWIASAGTYVCCHLIPLLLSGEVFGMHTEISLTLFDQEQREDCLRSI VMETQDLASPVLRTVSFCTTVKEAFLQAQVIIILDDSTEEEVYSLESCLRSRVPLCRLYG YLIEKNAHKSVKVIVGGKNFVNLKTTLLMQYAPNIASNIIAVALGVEGQAKAVLARKMKT TSANIKDVIIWGNITGNNYVDLRKAKVYNYESAVKGPPGHYHSVLSLIFDREWITKEFVQ TLKILSSTGKQFGGILAAHSIATTLKYWYHGSPPGEIVSLGVMSEGQFDIPEGIVFSMPV KFENGTWVVLTDLEDISLSEKTLSRLTGDLIQEKLVACGDLLTFQPIEEDPKDNEPSNTG MNEEKEQPGSDDSDEKNEDQ >ENSMUSP00000069723.4 pep:known chromosome:GRCm38:1:152750551:152757544:1 gene:ENSMUSG00000055547.4 transcript:ENSMUST00000068875.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec4 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide-like 4 (putative) [Source:MGI Symbol;Acc:MGI:1918531] MEPLYEEILTQGGTIVKPYYWLSLSLGCTNCPYHIRTGEEARVPYTEFHQTFGFPWSTYP QTKHLTFYELRSSSKNLIQKGLASNCTGSHNHPEAMLFEKNGYLDAVIFHNSNIRHIILY SNNSPCNEAKHCCISKMYNFLMNYPEVTLSVFFSQLYHTEKQFPTSAWNRKALQSLASLW PQVTLSPICGGLWHAILEKFVSNISGSTVPQPFIAGRILADRYNTYEINSIIAAKPYFTD GLLSRQKENQNREAWAAFEKHPLGSAAPAQRQPTRGQDPRTPAVLMLVSNRDLPPIHVGS TPQKPRTVVRHLNMLQLSSFKVKDVKKPPSGRPVEEVEVMKESARSQKANKKNRSQWKKQ TLVIKPRICRLLER >ENSMUSP00000107490.1 pep:known chromosome:GRCm38:1:152516760:152766305:-1 gene:ENSMUSG00000026482.13 transcript:ENSMUST00000111859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgl1 description:ral guanine nucleotide dissociation stimulator,-like 1 [Source:MGI Symbol;Acc:MGI:107484] MEGTSVGEPTQVVSKVKLSTKGENTGHWLVDDHARIWEVLKTEESSIQDWGEEVEEGAVY HVTLKRVQIQQAANKGARWLGVEGDQLPPGHTVSQYETCKIRTIKAGTLEKLVENLLTAF GDNDFTYISIFLSTYRGFASTKEVLELLLDRYGNLTGPNCEDDGSQSSPESKAVIRNAIA SILRAWLDQCAEDFREPPHFPCLQKLLEYLKQMMPGSDPERRAQNLLEQFQKQDVDSDNG LLNTSSFSLEEEEELESGGSAEFTNFSEDLVAEQLTYMDAQLFKKVVPHHCLGCIWSQRD KKENKHLAPTIRATISQFNTLTKCVVSTVLGSKELKTQQRARVIEKWINIAHECRILKNF SSLRAIVSALQSNSIYRLKKAWAAVPKDRMLMFEELSDIFSDHNNHLTSRELLMKEGTSK FANLDSSVKENQKRTQRRLQLQKDMGVMQGTVPYLGTFLTDLTMLDTALQDYIEGGLINF EKRRREFEVIAQIKLLQSACNSYCMGPDQKFIQWFQRQQLLSEEESYALSCEIEAAADAN TTSPKPRKSMVKRLSLLFLGSDIIPGSTPTKEQPKSAASGSSGESMDSVSVSSCESNHSE AEEGPVTPMDTPDEPQKKLSESSSSCSSIHSMDTNSSGMSSLINPLSSPPTCNNNPKIHK RSVSVTSITSTVLPPVYNQQNEDTCIIRISVEDNNGNMYKSIMLTSQDKTPAVIQRAMSK HNLESDPAEEYELVQVISEDKELVIPDSANVFYAMNSQVNFDFILRKKNSVEEQVKLRSR TSLTLPRTAKRGCWSNRHSKITL >ENSMUSP00000027760.7 pep:known chromosome:GRCm38:1:152516951:152625217:-1 gene:ENSMUSG00000026482.13 transcript:ENSMUST00000027760.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgl1 description:ral guanine nucleotide dissociation stimulator,-like 1 [Source:MGI Symbol;Acc:MGI:107484] MKLLWQAKMSSIQDWGEEVEEGAVYHVTLKRVQIQQAANKGARWLGVEGDQLPPGHTVSQ YETCKIRTIKAGTLEKLVENLLTAFGDNDFTYISIFLSTYRGFASTKEVLELLLDRYGNL TGPNCEDDGSQSSPESKAVIRNAIASILRAWLDQCAEDFREPPHFPCLQKLLEYLKQMMP GSDPERRAQNLLEQFQKQDVDSDNGLLNTSSFSLEEEEELESGGSAEFTNFSEDLVAEQL TYMDAQLFKKVVPHHCLGCIWSQRDKKENKHLAPTIRATISQFNTLTKCVVSTVLGSKEL KTQQRARVIEKWINIAHECRILKNFSSLRAIVSALQSNSIYRLKKAWAAVPKDRMLMFEE LSDIFSDHNNHLTSRELLMKEGTSKFANLDSSVKENQKRTQRRLQLQKDMGVMQGTVPYL GTFLTDLTMLDTALQDYIEGGLINFEKRRREFEVIAQIKLLQSACNSYCMGPDQKFIQWF QRQQLLSEEESYALSCEIEAAADANTTSPKPRKSMVKRLSLLFLGSDIIPGSTPTKEQPK SAASGSSGESMDSVSVSSCESNHSEAEEGPVTPMDTPDEPQKKLSESSSSCSSIHSMDTN SSGMSSLINPLSSPPTCNNNPKIHKRSVSVTSITSTVLPPVYNQQNEDTCIIRISVEDNN GNMYKSIMLTSQDKTPAVIQRAMSKHNLESDPAEEYELVQVISEDKELVIPDSANVFYAM NSQVNFDFILRKKNSVEEQVKLRSRTSLTLPRTAKRGCWSNRHSKITL >ENSMUSP00000107488.1 pep:known chromosome:GRCm38:1:152518750:152766351:-1 gene:ENSMUSG00000026482.13 transcript:ENSMUST00000111857.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgl1 description:ral guanine nucleotide dissociation stimulator,-like 1 [Source:MGI Symbol;Acc:MGI:107484] MEGTSVGEPTQVVSKVKLSTKGENTGHWLVDDHARIWEVLKTEEVEGDQLPPGHTVSQYE TCKIRTIKAGTLEKLVENLLTAFGDNDFTYISIFLSTYRGFASTKEVLELLLDRYGNLTG PNCEDDGSQSSPESKAVIRNAIASILRAWLDQCAEDFREPPHFPCLQKLLEYLKQMMPGS DPERRAQNLLEQFQKQDVDSDNGLLNTSSFSLEEEEELESGGSAEFTNFSEDLVAEQLTY MDAQLFKKVVPHHCLGCIWSQRDKKENKHLAPTIRATISQFNTLTKCVVSTVLGSKELKT QQRARVIEKWINIAHECRILKNFSSLRAIVSALQSNSIYRLKKAWAAVPKDRMLMFEELS DIFSDHNNHLTSRELLMKEGTSKFANLDSSVKENQKRTQRRLQLQKDMGVMQGTVPYLGT FLTDLTMLDTALQDYIEGGLINFEKRRREFEVIAQIKLLQSACNSYCMGPDQKFIQWFQR QQLLSEEESYALSCEIEAAADANTTSPKPRKSMVKRLSLLFLGSDIIPGSTPTKEQPKSA ASGSSGESMDSVSVSSCESNHSEAEEGPVTPMDTPDEPQKKLSESSSSCSSIHSMDTNSS GMSSLINPLSSPPTCNNNPKIHKRSVSVTSITSTVLPPVYNQQNEDTCIIRISVEDNNGN MYKSIMLTSQDKTPAVIQRAMSKHNLESDPAEEYELVQVISEDKELVIPDSANVFYAMNS QVNFDFILRKKNSVEEQVKLRSRTSLTLPRTAKRGCWSNRHSKITL >ENSMUSP00000141028.1 pep:known chromosome:GRCm38:1:152557543:152625029:-1 gene:ENSMUSG00000026482.13 transcript:ENSMUST00000149536.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgl1 description:ral guanine nucleotide dissociation stimulator,-like 1 [Source:MGI Symbol;Acc:MGI:107484] MKLLWQAKMSSIQDWGEEVEEGAVYHVTLKRVQIQQAANKGARWLGLPAWLNP >ENSMUSP00000041370.4 pep:known chromosome:GRCm38:3:90341654:90358120:1 gene:ENSMUSG00000042390.9 transcript:ENSMUST00000049382.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad2b description:GATA zinc finger domain containing 2B [Source:MGI Symbol;Acc:MGI:2443225] MDRMTEDALRLNLLKRSLDPADERDDVLAKRLKMEGHEAMERLKMLALLKRKDLANLEVP HELPTKQDGSGVKGYEEKLNGNLRPHGDNNRTAGRPGKENINDEPVDMSARRSEPDRGRL TPSPDIIVLSDNEASSPRSSSRMEERLKAANLEMFKGKGMEERQQLIKQLRDELRLEEAR LVLLKKLRQSQLQKENVVQKTPVVQNAASIVQPSPAHVGQQGLSKLPSRPGAQGIEPQNM RTLQGHSVIRSATNTTLPHMLMSQRVIAPNPAQLQGQRGPPKPGIVRTTTPNMNPAISYQ PQSSSSVPCQRTTSSAIYMNLASHIQPGTVNRVSSPLPSPSAMSDAANSQAAAKLALRKQ LEKTLLEIPPPKPPAPLLHFLPSAANSEFIYMVGLEEVVQSVIDSQGKNCASLLRVEPFV CAQCRTDFTPHWKQEKNGKILCEQCMTSNQKKALKAEHTNRLKNAFVKALQQEQEIEQRL QQQAALSPTTAPAVSSVSKQETIMRHHTLRQAPQPQSSLQRGIPTSARSMLSNFAQAPQL SVPGGLLGMPGVNIAYLNTGIGGHKAPSLADRQREYLLDMIPPRSISQSISGQK >ENSMUSP00000142514.1 pep:known chromosome:GRCm38:3:90293178:90363407:1 gene:ENSMUSG00000042390.9 transcript:ENSMUST00000199754.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad2b description:GATA zinc finger domain containing 2B [Source:MGI Symbol;Acc:MGI:2443225] MDRMTEDALRLNLLKRSLDPADERDDVLAKRLKMEGHEAMERLKMLALLKRKDLANLEVP HELPTKQDGSGVKGYEEKLNGNLRPHGDNNRTAGRPGKENINDEPVDMSARRSEPDRGRL TPSPDIIVLSDNEASSPRSSSRMEERLKAANLEMFKGKGMEERQQLIKQLRDELRLEEAR LVLLKKLRQSQLQKENVVQKTPVVQNAASIVQPSPAHVGQQGLSKLPSRPGAQGIEPQNM RTLQGHSVIRSATNTTLPHMLMSQRVIAPNPAQLQGQRGPPKPGIVRTTTPNMNPAISYQ PQSSSSVPCQRTTSSAIYMNLASHIQPGTVNRVSSPLPSPSAMSDAANSQAAAKLALRKQ LEKTLLEIPPPKPPAPLLHFLPSAANSEFIYMVGLEEVVQSVIDSQGKNCASLLRVEPFV CAQCRTDFTPHWKQEKNGKILCEQCMTSNQKKALKAEHTNRLKNAFVKALQQEQEIEQRL QQQAALSPTTAPAVSSVSKQETIMRHHTLRQAPQPQSSLQRGIPTSARSMLSNFAQAPQL SVPGGLLGMPGVNIAYLNTGIGGHKAPSLADRQREYLLDMIPPRSISQSISGQK >ENSMUSP00000142617.1 pep:known chromosome:GRCm38:3:90294083:90358373:1 gene:ENSMUSG00000042390.9 transcript:ENSMUST00000199607.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad2b description:GATA zinc finger domain containing 2B [Source:MGI Symbol;Acc:MGI:2443225] MDRMTEDALRLNLLKRSLDPADERDDVLAKRLKMEGHEAMERLKMLALLKRKDLANLEVP HELPTKQDGSGVKGYEEKLNGNLRPHGDNNRTAGRPGKENINDEPVDMSARRSEPDRGRL TPSPDIIVLSDNEASSPRSSSRMEERLKAANLEMFKGKGMEERQQLIKQLRDELRLEEAR LVLLKKLRQSQLQKENVVQKTPVVQNAASIVQPSPAHVGQQGLSKLPSRPGAQGIEPQNM RTLQGHSVIRSATNTTLPHMLMSQRVIAPNPAQLQGQRGPPKPGIVRTTTPNMNPAISYQ PQSSSSVPCQRTTSSAIYMNLASHIQPGTVNRVSSPLPSPSAMSDAANSQAAAKLALRKQ LEKTLLEIPPPKPPAPLLHFLPSAANSEFIYMVGLEEVVQSVIDSQGKNCASLLRVEPFV CAQCRTDFTPHWKQEKNGKILCEQCMTSNQKKALKAEHTNRLKNAFVKALQQEQEIEQRL QQQAALSPTTAPAVSSVSKQETIMRHHTLRQAPQPQSSLQRGIPTSARSMLSNFAQAPQL SVPGGLLGMPGVNIAYLNTGIGGHKAPSLADRQREYLLDMIPPRSISQSISGQK >ENSMUSP00000143085.1 pep:known chromosome:GRCm38:3:90341656:90358079:1 gene:ENSMUSG00000042390.9 transcript:ENSMUST00000197988.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad2b description:GATA zinc finger domain containing 2B [Source:MGI Symbol;Acc:MGI:2443225] MDRMTEDALRLNLLKRSLDPADERDDVLAKRLKMEGHEAMERLKMLALLKRKDLANLEVP HELPTKQDGSGVKGYEEKLNGNLRPHGDNNRTAGRPGKENINDEPVDMSARRSEPDRGRL TPSPDIIVLSDNEASSPRSSSRMEERLKAANLEMFKGKGMEERQQLIKQLRDELRLEEAR LVLLKKLRQSQLQKENVVQKTPVVQNAASIVQPSPAHVGQQGLSKLPSRPGAQGIEPQNM RTLQGHSVIRSATNTTLPHMLMSQRVIAPNPAQLQGQRGPPKPGIQSSSSVPCQRTTSSA IYMNLASHIQPGTVNRVSSPLPSPSAMSDAANSQAAAKLALRKQLEKTLLEIPPPKPPAP LLHFLPSAANSEFIYMVGLEEVVQSVIDSQGKNCASLLRVEPFVCAQCRTDFTPHWKQEK NGKILCEQCMTSNQKKALKAEHTNRLKNAFVKALQQEQEIEQRLQQQAALSPTTAPAVSS VSKQETIMRHHTLRQAPQPQSSLQRGIPTSARSMLSNFAQAPQLSVPGGLLGMPGVNIAY LNTGIGGHKAPSLADRQREYLLDMIPPRSISQSISGQK >ENSMUSP00000145623.1 pep:known chromosome:GRCm38:3:90351418:90356134:1 gene:ENSMUSG00000042390.9 transcript:ENSMUST00000206907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad2b description:GATA zinc finger domain containing 2B [Source:MGI Symbol;Acc:MGI:2443225] XRSATNTTLPHMLMSQRVIAPNPAQLQGQRGPPKPGIVRTTTPNMNPAISYQPSSSSVPC QRTTSSAIYMNLASHIQPGTVNRVSSPLPSPSAMSDAANSQAAAKLALRKQLEKTLLEIP PPKPPAPLLHFLPSAANSEFIYMVGLEEVVQSVIDSQGKNCASLLRVEPFVCAQCRTDFT PHWKQEKNGKILCEQCMTSNQKKALKAEHTNRLKNAFVKALQQEQEIEQRLQQQAALSPT TAPAVSSVSKQETIMRHHTLRQA >ENSMUSP00000068103.3 pep:known chromosome:GRCm38:11:93859243:93885766:-1 gene:ENSMUSG00000054079.12 transcript:ENSMUST00000066888.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp18 description:UTP18, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:1923402] MPPERKSRTRRDRRAGATPGRKARPGSGSTPAKAARSSQRTQPAEPRAAPSAGSAAAAAE EEESRLRQRNRLTLEDDKPAAERCLEQLVFGDVEDDEDALLQRLRSSRGQLHGSSDESEV ENEAKDIFSQKKKQPVWVDEDDEDEEIVDMSNNRFRKDIMKNASESKLSKDKLQKRLKEE FQHAMGGVPDWAEAGSKRRTSSDDESEEDEDDLLQRTGNFISTSTSLPRGILKMKNCRPA NAERPTTARISSVQFHPGAQVVMVSGVDNAISLFQVDGKTNPKIQSIYLEKFPIFKACFS ANGEEVLATSMHSKVLYVYDMLAGKLIPVHQVRGLKEKTVKQFEVSPDGSFLLISGIAGF SHLLSMKTKELIGSMKINGRIAASTFSSDSKRIYTYSENGEVYVWDVNSRKCMNRFLDEG SLCGLSIAASKNGQYVACGSKSGVVNIYNQDSCLQQTNPKPIKAIMNLVTGVTSLAFNPT TEILAVASRKMKEAVRLVHLPSCTVFSNFPVFKKSTLSRVQTMDFSPRGGYFALGNEKGR ALMYRLHHYSDF >ENSMUSP00000024238.5 pep:known chromosome:GRCm38:9:108826320:108852969:1 gene:ENSMUSG00000023473.11 transcript:ENSMUST00000024238.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celsr3 description:cadherin, EGF LAG seven-pass G-type receptor 3 [Source:MGI Symbol;Acc:MGI:1858236] MARRPLWWGLPGPSTPVLLLLLLSLFPFSREELGGGGDQDWDPGVATTTGPRAQIGSGAV ALCPESPGVWEDGDPGLGVREPVFMRLRVGRQNARNGRGAPEQPNAEVVVQALGSREQEA GQGPGYLLCWHPEISSCGRTGPLRRGSLPLDALSPGDSDLRNSSPHPSELLAQPDGSRPV AFQRNARRSIRKRVETSRCCGKLWEPGHKGQGERSATSTVDRGPFRRDCLPGSLGSGLGE DSAPRAVRTAPTPGSAPRESRTAPGRMRSRGLFRRRFLFERPGPRPPGFPTGPEAKQILS TNQARPRRAANRHPQFPQYNYQTLVPENEAAGTSVLRVVAQDPDPGEAGRLIYSLAALMN SRSLELFSIDPQSGLIRTAAALDRESMERHYLRVTAQDHGSPRLSATTMVAVTVADRNDH APVFEQAQYRETLRENVEEGYPILQLRATDGDAPPNANLRYRFVGSPAVRTAAAAAFEID PRSGLISTSGRVDREHMESYELVVEASDQGQEPGPRSATVRVHITVLDENDNAPQFSEKR YVAQVREDVRPHTVVLRVTATDKDKDANGLVHYNIISGNSRGHFAIDSLTGEIQVMAPLD FEAEREYALRIRAQDAGRPPLSNNTGLASIQVVDINDHAPIFVSTPFQVSVLENAPLGHS VIHIQAVDADHGENSRLEYSLTGVASDTPFVINSATGWVSVSGPLDRESVEHYFFGVEAR DHGSPPLSASASVTVTVLDVNDNRPEFTMKEYHLRLNEDAAVGTSVVSVTAVDRDANSAI SYQITGGNTRNRFAISTQGGVGLVTLALPLDYKQERYFKLVLTASDRALHDHCYVHINIT DANTHRPVFQSAHYSVSMNEDRPVGSTVVVISASDDDVGENARITYLLEDNLPQFRIDAD SGAITLQAPLDYEDQVTYTLAITARDNGIPQKADTTYVEVMVNDVNDNAPQFVASHYTGL VSEDAPPFTSVLQISATDRDAHANGRVQYTFQNGEDGDGDFTIEPTSGIVRTVRRLDREA VPVYELTAYAVDRGVPPLRTPVSIQVTVQDVNDNAPVFPAEEFEVRVKENSIVGSVVAQI TAVDPDDGPNAHIMYQIVEGNIPELFQMDIFSGELTALIDLDYEARQEYVIVVQATSAPL VSRATVHVRLVDQNDNSPVLNNFQILFNNYVSNRSDTFPSGIIGRIPAYDPDVSDHLFYS FERGNELQLLVVNRTSGELRLSRKLDNNRPLVASMLVTVTDGLHSVTAQCVLRVVIITEE LLANSLTVRLENMWQERFLSPLLGHFLEGVAAVLATPTEDVFIFNIQNDTDVGGTVLNVS FSALAPRGAGAGAAGPWFSSEELQEQLYVRRAALAARSLLDVLPFDDNVCLREPCENYMK CVSVLRFDSSAPFLASTSTLFRPIQPIAGLRCRCPPGFTGDFCETELDLCYSNPCRNGGA CARREGGYTCVCRPRFTDCELDTEAGRCVPGVCRNGGTCTNAPNGGFRCQCPAGGAFEGP RCEVAARSFPPSSFVMFRGLRQRFHLTLSLSFATVQPSGLLFYNGRLNEKHDFLALELVA GQVRLTYSTGESNTVVSPTVPGGLSDGQWHTVHLRYYNKPRTDALGGAQGPSKDKVAVLS VDDCNVAVALQFGAEIGNYSCAAAGVQTSSKKSLDLTGPLLLGGVPNLPENFPVSHKDFI GCMRDLHIDGRRMDMAAFVANNGTMAGCQAKSHFCASGPCKNNGFCSERWGGFSCDCPVG FGGKDCRLTMAHPYHFQGNGTLSWDFGNDMAVSVPWYLGLSFRTRATKGILMQVQLGPHS VLLCKLDRGLLSVTLNRASGHTVHLLLDQMTVSDGRWHDLRLELQEEPGGRRGHHIFMVS LDFTLFQDTMAMGGELQGLKVKQLHVGGLPPSSKEEGHQGLVGCIQGVWIGFTPFGSSAL LPPSHRVNVEPGCTVTNPCASGPCPPHADCKDLWQTFSCTCRPGYYGPGCVDACLLNPCQ NQGSCRHLQGAPHGYTCDCVSGYFGQHCEHRVDQQCPRGWWGSPTCGPCNCDVHKGFDPN CNKTNGQCHCKEFHYRPRGSDSCLPCDCYPVGSTSRSCAPHSGQCPCRPGALGRQCNSCD SPFAEVTASGCRVLYDACPKSLRSGVWWPQTKFGVLATVPCPRGALGAAVRLCDEDQGWL EPDLFNCTSPAFRELSLLLDGLELNKTALDTVEAKKLAQRLREVTGQTDHYFSQDVRVTA RLLAYLLAFESHQQGFGLTATQDAHFNENLLWAGSALLAPETGHLWAALGQRAPGGSPGS AGLVQHLEEYAATLARNMELTYLNPVGLVTPNIMLSIDRMEHPSSTQGARRYPRYHSNLF RGQDAWDPHTHVLLPSQASQPSPSEVLPTSSNAENATASSVVSPPAPLEPESEPGISIVI LLVYRALGGLLPAQFQAERRGARLPQNPVMNSPVVSVAVFHGRNFLRGVLVSPINLEFRL LQTANRSKAICVQWDPPGPTDQHGMWTARDCELVHRNGSHARCRCSRTGTFGVLMDASPR ERLEGDLELLAVFTHVVVAVSVTALVLTAAVLLSLRSLKSNVRGIHANVAAALGVAELLF LLGIHRTHNQLLCTAVAILLHYFFLSTFAWLLVQGLHLYRMQVEPRNVDRGAMRFYHALG WGVPAVLLGLAVGLDPEGYGNPDFCWISIHEPLIWSFAGPIVLVIVMNGTMFLLAARTSC STGQREAKKTSVLTLRSSFLLLLLVSASWLFGLLAVNHSILAFHYLHAGLCGLQGLAVLL LFCVLNADARAAWTPACLGKKAAPEETRPAPGPGSGAYNNTALFEESGLIRITLGASTVS SVSSARSGRAQDQDSQRGRSYLRDNVLVRHGSTAEHTERSLQAHAGPTDLDVAMFHRDAG ADSDSDSDLSLEEERSLSIPSSESEDNGRTRGRFQRPLRRAAQSERLLAHPKDVDGNDLL SYWPALGECEAAPCALQAWGSERRLGLDSNKDAANNNQPELALTSGDETSLGRAQRQRKG ILKNRLQYPLVPQSRGTPELSWCRAATLGHRAVPAASYGRIYAGGGTGSLSQPASRYSSR EQLDLLLRRQLSKERLEEVPVPAPVLHPLSRPGSQERLDTAPARLEARDRGSTLPRRQPP RDYPGTMAGRFGSRDALDLGAPREWLSTLPPPRRNRDLDPQHPPLPLSPQRQLSRDPLLP SRPLDSLSRISNSREGLDQVPSRHPSREALGPAPQLLRAREDPASGPSHGPSTEQLDILS SILASFNSSALSSVQSSSTPSGPHTTATASALGPSTPRSATSHSISELSPDSEVPRSEGH S >ENSMUSP00000141429.1 pep:known chromosome:GRCm38:9:108831740:108832820:1 gene:ENSMUSG00000023473.11 transcript:ENSMUST00000192235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celsr3 description:cadherin, EGF LAG seven-pass G-type receptor 3 [Source:MGI Symbol;Acc:MGI:1858236] LANSLTVRLENMWQERFLSPLLGHFLEGVAAVLATPTEDVFIFNIQNDTDVGGTVLNVSF SALAPRGAGAGAAGPWFSSEELQEQLYVRRAALAARSLLDVLPFDDNVCLREPCENYMKC VSVLRFDSSAPFLASTSTLFRPIQPIAGLRCRCPPGFTGDFCETELDLCYSNPCRNGGAC ARREGGYTCVCRPRFTGEDCELDTEAGRCVPGVCRNGGTCTNAPNGGFRCQCPAGGAFEG P >ENSMUSP00000141789.1 pep:known chromosome:GRCm38:9:108843253:108848734:1 gene:ENSMUSG00000023473.11 transcript:ENSMUST00000194079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celsr3 description:cadherin, EGF LAG seven-pass G-type receptor 3 [Source:MGI Symbol;Acc:MGI:1858236] VAELLFLLGIHRTHNQLLCTAVAILLHYFFLSTFAWLLVQGLHLYRMQVEPRNVDRGAMR FYHALGWGVPAVLLGLAVGLDPEGYGNPDFCWISIHEPLIWSFAGPIVLVIVMNGTMFLL AARTSCSTGQREAKKTSVLRTLRSSFLLLLLVSASWLFGLLAVNHSILAFHYLHAGLCGL QGLAVLLLFCVLNADARAAWTPACLGKKAAPEETRPAPGPGSGAYNNTALFEESGLIRIT LGASTVSSVSSARSGRAQDQDSQRGRSYLRDNVLVRHGSTAEHTERSLQAHAGPTDLDVA MFHRDAGADSDSDSDLSLEEERSLSIPSSESEDNGRTRGRFQRPLRRAAQSERLLAHPKD VDGNDLLSYWPALGECEAAPCALQAWGSERRLGLDSNKDAANNNQPELALTSGDETSLGR AQRQRKGILKNRLQYPLVPQSRGTPELSWCRAATLGHRAVPAASYGRIYAGGG >ENSMUSP00000031851.3 pep:known chromosome:GRCm38:6:38109255:38115809:1 gene:ENSMUSG00000029829.4 transcript:ENSMUST00000031851.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem213 description:transmembrane protein 213 [Source:MGI Symbol;Acc:MGI:1924772] MFSTPGHLCSNMAQSGVFLRNPGHLTSAPQAALLFSLVLTSFHLSCGTETSSSNSTLSAH HPDPGTLEQCANVDFCPLASLCCRASVDEYGWIAAAVGWSFWFLTLILLCVDKLMKLTPE EPKDLAA >ENSMUSP00000039381.4 pep:known chromosome:GRCm38:6:38048483:38124586:-1 gene:ENSMUSG00000038600.12 transcript:ENSMUST00000040259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0a4 description:ATPase, H+ transporting, lysosomal V0 subunit A4 [Source:MGI Symbol;Acc:MGI:2153480] MASVFRSEEMCLSQVFLQVEAAYCCVAELGELGLVQFKDLNANVNSFQRKFVNEVRRCES LERILRFLEDEMQNEILIQVPEKDAETPLPREMITLETTLEKLEGELQEANQSHQALKKS FLELTELKYLLKKTQDFFETETNLGEDFFVEDTSGLLELRTIPAFMTGKLGFTAGVINRE RMASFERLLWRVCRGNVYLKFSEMDTLLEDPVTKEEIKKNIFIIFYQGEQLRLKIKKICD GFRATIYPCPEHAAERREMLTSVNVRLEDLITVITQTESHRQRLLQEAAANWHSWVIKVQ KMKAVYHVLNMCNIDVTQQCIIAEIWFPVADTRHIKKALEQGMELSGSSMIPIMTEVETK TDPPTFNRTNKFTAGFQNIVDAYGVGSYREINPAPYTIITFPFLFAVMFGDCGHGMVMLM AALWMVLNERHLLAQKSTNEMWNIFFNGRYLILLMGIFSIYTGLIYNDCFSKSFNIFGSS WSVQPMFRNGTWNTHIVENSPYLQLDPAIPGVYSGNPYPFGIDPIWNLASNKLTFLNSYK MKMSVILGIAHMIFGVILSLFNHIYFRRTLNIILQFIPEMIFMLSLFGYLVFMIIFKWCR YDAHTSRKAPSILIHFIGMFLFDYDDSSNAPLYGHQQEVQTFFVIIALVSVPWMLLIKPF VLRAKHQKSQLQSFTIHEDAVEGDHSGHSSKKTAGAHGMKDGHEEEFNFGDIFVHQAIHT IEYCLGCISNTASYLRLWALSLAHAELSEVLWTMVMSIGLRLQGWAGLVGVFIIFAVFAV LTVAILLVMEGLSAFLHALRLHWVEFQNKFYEGAGSKFSPFSFKHVLEGTAEE >ENSMUSP00000110558.1 pep:known chromosome:GRCm38:6:38048483:38109549:-1 gene:ENSMUSG00000038600.12 transcript:ENSMUST00000114908.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0a4 description:ATPase, H+ transporting, lysosomal V0 subunit A4 [Source:MGI Symbol;Acc:MGI:2153480] MASVFRSEEMCLSQVFLQVEAAYCCVAELGELGLVQFKDLNANVNSFQRKFVNEVRRCES LERILRFLEDEMQNEILIQVPEKDAETPLPREMITLETTLEKLEGELQEANQSHQALKKS FLELTELKYLLKKTQDFFETETNLGEDFFVEDTSGLLELRTIPAFMTGKLGFTAGVINRE RMASFERLLWRVCRGNVYLKFSEMDTLLEDPVTKEEIKKNIFIIFYQGEQLRLKIKKICD GFRATIYPCPEHAAERREMLTSVNVRLEDLITVITQTESHRQRLLQEAAANWHSWVIKVQ KMKAVYHVLNMCNIDVTQQCIIAEIWFPVADTRHIKKALEQGMELSGSSMIPIMTEVETK TDPPTFNRTNKFTAGFQNIVDAYGVGSYREINPAPYTIITFPFLFAVMFGDCGHGMVMLM AALWMVLNERHLLAQKSTNEMWNIFFNGRYLILLMGIFSIYTGLIYNDCFSKSFNIFGSS WSVQPMFRNGTWNTHIVENSPYLQLDPAIPGVYSGNPYPFGIDPIWNLASNKLTFLNSYK MKMSVILGIAHMIFGVILSLFNHIYFRRTLNIILQFIPEMIFMLSLFGYLVFMIIFKWCR YDAHTSRKAPSILIHFIGMFLFDYDDSSNAPLYGHQQEVQTFFVIIALVSVPWMLLIKPF VLRAKHQKSQLQSFTIHEDAVEGDHSGHSSKKTAGAHGMKDGHEEEFNFGDIFVHQAIHT IEYCLGCISNTASYLRLWALSLAHAELSEVLWTMVMSIGLRLQGWAGLVGVFIIFAVFAV LTVAILLVMEGLSAFLHALRLHWVEFQNKFYEGAGSKFSPFSFKHVLEGTAEE >ENSMUSP00000028915.5 pep:known chromosome:GRCm38:2:144542265:144550869:-1 gene:ENSMUSG00000027428.9 transcript:ENSMUST00000028915.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp9 description:retinoblastoma binding protein 9 [Source:MGI Symbol;Acc:MGI:1347074] MASPNKAVIVPGNGGGDVATHGWYGWVKKGLEQIPGFQCLAKNMPDPITARESIWLPFME TELHCDEKTIIIGHSSGAIAAMRYAETHQVYALVLVSAYTSDLGDENERASGYFSRPWQW EKIKANCPHIVQFGSTDDPFLPWKEQQEVADRLDAKLYKFTDRGHFQNTEFHELISVVKS MLKGPE >ENSMUSP00000147236.1 pep:known chromosome:GRCm38:7:118691733:118705760:-1 gene:ENSMUSG00000033917.15 transcript:ENSMUST00000207323.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gde1 description:glycerophosphodiester phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:1891827] MWLWEDQGGLLGPFSFVLVLLLVVTRSPFNACVLTGSLYILLRFFSFEPVPSRRALQVLK PRDRVSAIAHRGGSHDAPENTLAAIRQMRQTDQKVITALTHRPWSLS >ENSMUSP00000147251.1 pep:known chromosome:GRCm38:7:118691602:118705748:-1 gene:ENSMUSG00000033917.15 transcript:ENSMUST00000208040.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gde1 description:glycerophosphodiester phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:1891827] MWLWEDQGGLLGPFSFVLVLLLVVTRSPFNACVLTGSLYILLRFFSFEPVPSRRALQVLK PRDRVSAIAHRGGSHDAPENTLAAIRQLRMEQQVWSWTSSLLLMEFLS >ENSMUSP00000122015.2 pep:known chromosome:GRCm38:7:118689111:118705380:-1 gene:ENSMUSG00000033917.15 transcript:ENSMUST00000132509.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gde1 description:glycerophosphodiester phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:1891827] MHDNTVDRTTDGSGRLCDLTFEQVRKLNPAANHRLRNEFPDERIPTLKEAVTECLRHNLT IFFDVKGHADMASAALKNIYTEFPQLYNNSMVCSFLPEVIYKMRQTDQKVITALTHRPWS LSHTGDGKPRYSVFWKQSVFVVLDILLDWSMHNVLWYLCGISAFLMQKDFVSPDYLKKWS AKGIQV >ENSMUSP00000046371.8 pep:known chromosome:GRCm38:7:118688545:118705778:-1 gene:ENSMUSG00000033917.15 transcript:ENSMUST00000038791.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gde1 description:glycerophosphodiester phosphodiesterase 1 [Source:MGI Symbol;Acc:MGI:1891827] MWLWEDQGGLLGPFSFVLVLLLVVTRSPFNACVLTGSLYILLRFFSFEPVPSRRALQVLK PRDRVSAIAHRGGSHDAPENTLAAIRQAAKNGATGVELDIEFTSDGVPVLMHDNTVDRTT DGSGRLCDLTFEQVRKLNPAANHRLRNEFPDERIPTLKEAVTECLRHNLTIFFDVKGHAD MASAALKNIYTEFPQLYNNSMVCSFLPEVIYKMRQTDQKVITALTHRPWSLSHTGDGKPR YSVFWKQSVFVVLDILLDWSMHNVLWYLCGISAFLMQKDFVSPDYLKKWSAKGIQVVSWT VNTFDEKNYYESHLGSSYITDSMLEDCAPHF >ENSMUSP00000053123.8 pep:known chromosome:GRCm38:7:44442485:44463351:1 gene:ENSMUSG00000047085.14 transcript:ENSMUST00000058667.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc4b description:leucine rich repeat containing 4B [Source:MGI Symbol;Acc:MGI:3027390] MAQAHIRGSPCPLLPPGRMSWPHGALLLLWLFSPPLRAGGGGVAVTSAAGGGSPPATSCP AACSCSNQASRVICTRRELAEVPASIPVNTRYLNLQENSIQVIRTDTFKHLRHLEILQLS KNLVRKIEVGAFNGLPSLNTLELFDNRLTTVPTQAFEYLSKLRELWLRNNPIESIPSYAF NRVPSLRRLDLGELKRLEYISEAAFEGLVNLRYLNLGMCNLKDIPNLTALVRLEELELSG NRLDLIRPGSFQGLTSLRKLWLMHAQVATIERNAFDDLKSLEELNLSHNNLMSLPHDLFT PLHRLERVHLNHNPWHCNCDVLWLSWWLKETVPSNTTCCARCHAPAGLKGRYIGELDQSH FTCYAPVIVEPPTDLNVTEGMAAELKCRTGTSMTSVNWLTPNGTLMTHGSYRVRISVLHD GTLNFTNVTVQDTGQYTCMVTNSAGNTTASATLNVSAVDPVAAGGPGGGGPGGGGGAGGA GGYTYFTTVTVETLETQPGEEAQQPRGTEKEPPGPTTDGAWGGGRPDAAAPASASTTAPA PRSSRPTEKAFTVPITDVTENALKDLDDVMKTTKIIIGCFVAITFMAAVMLVAFYKLRKQ HQLHKHHGPTRTVEIINVEDELPAASAVSVAAAAAVAGGAGVGGDSHLALPALERDHLNH HHYVAAAFKAHYGGNPGGGCGAKGPGLNSIHEPLLFKSGSKENVQETQI >ENSMUSP00000119374.1 pep:known chromosome:GRCm38:7:44442737:44461407:1 gene:ENSMUSG00000047085.14 transcript:ENSMUST00000156093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc4b description:leucine rich repeat containing 4B [Source:MGI Symbol;Acc:MGI:3027390] MAQAHIRGSPCPLLPPGRMSWPHGALLLLWLFSPPLRAGGGGVAVTSAAGGGSPPATSCP AACSCSNQASRVICTRRELAEVPASIPVNTRYLNLQENSIQVIRTDTFKHLRHLEILQLS KNLVRKIEVGAFNGLPSLNTLELFDNRLTTVPTQAFEYLSKLRELWLRNNPIESIPSYAF NRVPSLRRLDLGELKRLEYISEAAFEGLVNLRYLNLGMCNLKDIPNLTALVRLE >ENSMUSP00000123389.1 pep:known chromosome:GRCm38:7:44429018:44461361:1 gene:ENSMUSG00000047085.14 transcript:ENSMUST00000127790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc4b description:leucine rich repeat containing 4B [Source:MGI Symbol;Acc:MGI:3027390] MAQAHIRGSPCPLLPPGRMSWPHGALLLLWLFSPPLRAGGGGVAVTSAAGGGSPPATSCP AACSCSNQASRVICTRRELAEVPASIPVNTRYLNLQENSIQVIRTDTFKHLRHLEILQLS KNLVRKIEVGAFNGLPSLNTLELFDNRLTTVPTQAFEYLSKLRELWLRNNPIESIPSYAF NRVPSLRRLDLGELKRLEYISEAAFEGLVNLRYLNLGM >ENSMUSP00000130121.1 pep:known chromosome:GRCm38:6:38123176:38254009:-1 gene:ENSMUSG00000063455.16 transcript:ENSMUST00000169256.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630045J12Rik description:RIKEN cDNA D630045J12 gene [Source:MGI Symbol;Acc:MGI:2669829] MEREPRARVALVPERCGRGPSSRHRRPGLLLPGLWLLLLAGPASCAPDDLSLAQHSHPVR PSDFLPERSILHSAAQVTLSETVPRSQPSISALVLSSPSATAFDTAFLSQRQQTQSTAEP SFFEANYGSVTSNEVALDDEEMDNFLPDAHWTSSRGVSPMRYITPSPPEPPQEMLEPGTT PSLPTISLPDEVLSGCQNTVQQATVYVEPSTYFGTSWSAFLTSEGIIPTPSRNSVLHPIE IHSQLSSKALPETVASVTEGAENLLFSSRISVSQPSGNGMTQQPSVPLWEVSQPLVGVLA TSSDRYPNETTAWIEHPEEAIALRAHPGITTSPTDPTFSSQPSALFSTPLSSVSFATQLP GVSEDSFLSSEARGALESWHSSPVPSFTDHPYVLSPESSLRPHTGCVSCVVSSFQQELAR SLTEKDMGSGDRLETLSTASVEASHVSPLSSVGTDLSELEEPQEFNTLFPSRPVFSFSSR PVGLWKASMDVSPEVDVSGIAITQVYPSHGRLSTPSSLDSTFGFSVTSDLVMSSSMIHLL SSVIPSTHFDSSFSLTANQNSPSFPAGKPSLLTSPSLVPSAQSSAFSHGAPTSSLELQSG SRLDFTSGFYSTPPLDFSTPAPSRSDELAFPSLMSSDPSTFFSQTFSTMAETFSLSNSMN LQSPQLSVLNPTSLEPSQPQSSADLLLNTVTVLPSPPERPPLSSSPSDSLEFTEVSRVSL RESHVHLTSAFSETTSAFEFSPIPHESTISTLVPSSSEPSLGIYAAGTHLTSLPTTVFHL TPILTESSPFSTLTPSDGSVRVTDHHTPVLPTPSSVIPSSTESISDPYLSASSSLVSEVS PSPPPTKPVMGSSLTSTDFPPSTSPELSTSLELSMPSASTAPGDTVDSALNSEPMSQNPQ GNSVPPPQPSLGPATTSTLEATVGTPALATAKPPYVCDITVPDVYLITTVLARRAVQEYI ITSIKEVLRIHFNRAVELKVYELFTDFTFLVTSGPFVYTAISVINVLINSKLVRGQTPLI LSVKPSFLVPDPRFQVQTVLQFVPPSVDTGFCNFTQSIEKGLVTALFEVRKHQGTYNLTV QIVNVTIASSRVAPRRGPVNIIFAVKGSQGFLNGSEVSDLLRNLTVVEFSFYLGYPVLQI AEPFQYPQLNLSQLLKSSWVRTVLLGVVEKQLHNEVFPAEMERKLAQLLSEVPTRRRVWR RATVAAGNSVVQVVNVSRLEGDDNPVQLIYFVENQDGERLSAVKSSDLINKVDLQRAAII LGYRIQGVIAQPVDRVKRPSPESQSNNLWVVVGVVIPVLVVTVIVVILYWKLCRTDKLDF QPDTVANIQQRQKLQIPSVKGFDFAKQHLGQHNKDDILIIHEPAPLPGPVKDHTTPSENG DVPSPKSKLPSKNIRLRGRVSPSDADSTVSEESSERDAGEKAPAAAPENKALRAPQSGAP LPSSGNEQHSSASIFEHVDRVSRTSEASRRVPSKIQLIAMQPIPAPPAQHPVLADRVAET NKINKEIQSALRHKSEIEHHRNKIRLRAKRRGHYEFPVVDDLSSGDTKERHRVYRRAQMQ IDKILDPAASVPSVFIEPRKSSRMKRSPKPRRKHQVNGCPADAEKDRLITTDSDGTYKRP PGVHNSAYIGCPSDPDLPADVQTPSSTELGRYPGLPFSASQYIPPQPSIEEARQTMHSLL DDAFALVAPSSQPTNAMGAGTGVPASLPVNSTPSREERRATQWGSFYSPAQTANNPCSRY EDYGMTPPSGPLPSRPSFGPGLLPSSELVPPEPPQPQSSTDAPYAARGIYSEEMPSVARP RPVGGTTGSQIQHLTQVGIASRIGAQPVEIPAGRGSQYGGPGWPVYGEEEAGRREATHML GHQEYSSSPLFQVPRTSGREPSAPPGNLAHRGLQGPGLGYPTSSTEDLQPGHSSASLIKA IREELLRLSQKQGSVQNFHS >ENSMUSP00000112939.2 pep:known chromosome:GRCm38:6:38123174:38253955:-1 gene:ENSMUSG00000063455.16 transcript:ENSMUST00000117556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630045J12Rik description:RIKEN cDNA D630045J12 gene [Source:MGI Symbol;Acc:MGI:2669829] MDNFLPDAHWTSSRGVSPMRYITPSPPEPPQEMLEPGTTPSLPTISLPDEVLSGCQNTVQ QATVYVEPSTYFGTSWSAFLTSEGIIPTPSRNSVLHPIEIHSQLSSKALPETVASVTEGA ENLLFSSRISVSQPSGNGMTQQPSVPLWEVSQPLVGVLATSSDRYPNETTAWIEHPEEAI ALRAHPGITTSPTDPTFSSQPSALFSTPLSSVSFATQLPGVSEDSFLSSEARGALESWHS SPVPSFTDHPYVLSPESSLRPHTGCVSCVVSSFQQELARSLTEKDMGSGDRLETLSTASV EASHVSPLSSVGTDLSELEEPQEFNTLFPSRPVFSFSSRPVGLWKASMDVSPEVDVSGIA ITQVYPSHGRLSTPSSLDSTFGFSVTSDLVMSSSMIHLLSSVIPSTHFDSSFSLTANQNS PSFPAGKPSLLTSPSLVPSAQSSAFSHGAPTSSLELQSGSRLDFTSGFYSTPPLDFSTPA PSRSDELAFPSLMSSDPSTFFSQTFSTMAETFSLSNSMNLQSPQLSVLNPTSLEPSQPQS SADLLLNTVTVLPSPPERPPLSSSPSDSLEFTEVSRVSLRESHVHLTSAFSETTSAFEFS PIPHESTISTLVPSSSEPSLGIYAAGTHLTSLPTTVFHLTPILTESSPFSTLTPSDGSVR VTDHHTPVLPTPSSVIPSSTESISDPYLSASSSLVSEVSPSPPPTKPVMGSSLTSTDFPP STSPELSTSLELSMPSASTAPGDTVDSALNSEPMSQNPQGNSVPPPQPSLGPATTSTLEA TVGTPALATAKPPYVCDITVPDVYLITTVLARRAVQEYIITSIKEVLRIHFNRAVELKVY ELFTDFTFLVTSGPFVYTAISVINVLINSKLVRGQTPLILSVKPSFLVPDPRFQVQTVLQ FVPPSVDTGFCNFTQSIEKGLVTALFEVRKHQGTYNLTVQIVNVTIASSRVAPRRGPVNI IFAVKGSQGFLNGSEVSDLLRNLTVVEFSFYLGYPVLQIAEPFQYPQLNLSQLLKSSWVR TVLLGVVEKQLHNEVFPAEMERKLAQLLSEVPTRRRVWRRATVAAGNSVVQVVNVSRLEG DDNPVQLIYFVENQDGERLSAVKSSDLINKVDLQRAAIILGYRIQGVIAQPVDRVKRPSP ESQSNNLWVVVGVVIPVLVVTVIVVILYWKLCRTDKLDFQPDTVANIQQRQKLQIPSVKG FDFAKQHLGQHNKDDILIIHEPAPLPGPVKDHTTPSENGDVPSPKSKLPSKNIRLRGRVS PSDADSTVSEESSERDAGEKAPAAAPENKALRAPQSGAPLPSSGNEQHSSASIFEHVDRV SRTSEASRRVPSKIQLIAMQPIPAPPAQHPVLADRVAETNKINKEIQSALRHKSEIEHHR NKIRLRAKRRGHYEFPVVDDLSSGDTKERHRVYRRAQMQIDKILDPAASVPSVFIEPRKS SRMKRSPKPRRKHQVNGCPADAEKDRLITTDSDGTYKRPPGVHNSAYIGCPSDPDLPADV QTPSSTELGRYPGLPFSASQYIPPQPSIEEARQTMHSLLDDAFALVAPSSQPTNAMGAGT GVPASLPVNSTPSREERRATQWGSFYSPAQTANNPCSRYEDYGMTPPSGPLPSRPSFGPG LLPSSELVPPEPPQPQSSTDAPYAARGIYSEEMPSVARPRPVGGTTGSQIQHLTQVGIAS RIGAQPVEIPAGRGSQYGGPGWPVYGEEEAGRREATHMLGHQEYSSSPLFQVPRTSGREP SAPPGNLAHRGLQGPGLGYPTSSTEDLQPGHSSASLIKAIREELLRLSQKQGSVQNFHS >ENSMUSP00000021183.3 pep:known chromosome:GRCm38:11:78073376:78080383:-1 gene:ENSMUSG00000020832.14 transcript:ENSMUST00000021183.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eral1 description:Era (G-protein)-like 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1889295] MAAPRRYCAGLVRALLGARQVGSHAGREWLAPPGCLLGNQARCVSCVVGSTFSGPLLASA SSRYGQDSALDRILGFSQPDSSLVPSVPAVSVHRDEQNLLLVHTPDMPENPRVLRVVLLG APNAGKSTLSNQLLGRKVFPVSKKVHTTRCQALGVITEKETQVILLDTPGIISPVKQKRH HLERSLLEDPWTSMESADLVVVLVDVSDKWTRSRLNPQVLQCLTKFSQVPSILVLNKVDC LKQKSVLLELTAALTEGVVNGKKLNIKQALRSRSSTHCPGPETEGPNAHSVRNPQRIGWP YFQEIFMLSALNNKDVNTLKQYLLTQAQPGPWEFHSGVLTSQTPEEICANKIREKLLEYL PEEVPYGVQQKTVIWEEGPSGELVIQQNLLVPKESHVRILIGQKGLLISQIAQEVGRDLM DIFHCDVLIRLSVKLLK >ENSMUSP00000035086.6 pep:known chromosome:GRCm38:9:113651744:113708259:-1 gene:ENSMUSG00000032504.15 transcript:ENSMUST00000035086.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd6ip description:programmed cell death 6 interacting protein [Source:MGI Symbol;Acc:MGI:1333753] MASFIWVQLKKTSEVDLAKPLVKFIQQTYPSGGEEQAQYCRAAEELSKLRRSALGRPLDK HEGALETLLRYYDQICSIEPKFPFSENQICLTFTWKDAFDKGSLFGGSVKLALASLGYEK SCVLFNCAALASQIAAEQNLDNDEGLKTAAKQYQFASGAFLHIKDTVLSALSREPTVDIS PDTVGTLSLIMLAQAQEVFFLKATRDKMKDAIIAKLANQAADYFGDAFKQCQYKDTLPKE VFPTLAAKQCIMQANAEYHQSILAKQQKKFGEEIARLQHAAELIKNVASRYDEYVNVKDF SDKINRALTAAKKDNDFIYHDRVPDLKDLDPIGKATLVKPTPVNVPVSQKFTDLFEKMVP VSVQQSLAVFSQRKADLVNRSIAQMREATTLANGVLASLNLPAAIEDVSGDTVPQSILTK STSVVEQGGIQTVDQLIKELPELLQRNREILEESLRLLDEEEATDNDLRAKFKDRWQRTP SNDLYKPLRAEGAKFRAVLDKAVQADGQVKERYQSHRDTIALLCKPEPELNAAIPSANPA KTMQGSEVVSVLKSLLSNLDEIKKERESLENDLKSVNFDMTSKFLTALAQDGVINEEALS VTELDRIYGGLTSKVQESLKKQEGLLKNIQVSHQEFSKMKQSNNEANLREEVLKNLATAY DNFVELVANLKEGTKFYNELTEILVRFQNKCSDIVFARKTERDELLKDLQQSIAREPSAP SIPPPAYQSSPAAGHAAAPPTPAPRTMPPAKPQPPARPPPPVLPANRVPPASAAAAPAGV GTASAAPPQTPGSAPPPQAQGPPYPTYPGYPGYCQMPMPMGYNPYAYGQYNMPYPPVYHQ SPGQAPYPGPQQPTYPFPQPPQQSYYPQQ >ENSMUSP00000107492.3 pep:known chromosome:GRCm38:9:113654472:113708244:-1 gene:ENSMUSG00000032504.15 transcript:ENSMUST00000111861.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd6ip description:programmed cell death 6 interacting protein [Source:MGI Symbol;Acc:MGI:1333753] MASFIWVQLKKTSEVDLAKPLVKFIQQTYPSGGEEQAQYCRAAEELSKLRRSALGRPLDK HEGALETLLRYYDQICSIEPKFPFSENQICLTFTWKDAFDKGSLFGGSVKLALASLGYEK SCVLFNCAALASQIAAEQNLDNDEGLKTAAKQYQFASGAFLHIKDTVLSALSREPTVDIS PDTVGTLSLIMLAQAQEVFFLKATRDKMKDAIIAKLANQAADYFGDAFKQCQYKDTLPKY FYFQEVFPTLAAKQCIMQANAEYHQSILAKQQKKFGEEIARLQHAAELIKNVASRYDEYV NVKDFSDKINRALTAAKKDNDFIYHDRVPDLKDLDPIGKATLVKPTPVNVPVSQKFTDLF EKMVPVSVQQSLAVFSQRKADLVNRSIAQMREATTLANGVLASLNLPAAIEDVSGDTVPQ SILTKSTSVVEQGGIQTVDQLIKELPELLQRNREILEESLRLLDEEEATDNDLRAKFKDR WQRTPSNDLYKPLRAEGAKFRAVLDKAVQADGQVKERYQSHRDTIALLCKPEPELNAAIP SANPAKTMQGSEVVSVLKSLLSNLDEIKKERESLENDLKSVNFDMTSKFLTALAQDGVIN EEALSVTELDRIYGGLTSKVQESLKKQEGLLKNIQVSHQEFSKMKQSNNEANLREEVLKN LATAYDNFVELVANLKEGTKFYNELTEILVRFQNKCSDIVFARKTERDELLKDLQQSIAR EPSAPSIPPPAYQSSPAAGHAAAPPTPAPRTMPPAKPQPPARPPPPVLPANRVPPASAAA APAGVGTASAAPPQTPGSAPPPQAQGPPYPTYPGYPGYCQMPMPMGYNPYAYGQYNMPYP PVYHQSPGQAPYPGPQQPTYPFPQPPQQSYYPQQ >ENSMUSP00000121766.1 pep:known chromosome:GRCm38:7:44466233:44467695:1 gene:ENSMUSG00000038704.11 transcript:ENSMUST00000156957.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspdh description:aspartate dehydrogenase domain containing [Source:MGI Symbol;Acc:MGI:1915602] RHPDLVVEVAHPKIIHESGAQILRHANLLSLRVTMATHPDGFRLEGPLAAAHSSGPRTVL YEGPVRGLCPLAPRNSNTMAAAALAAPSLGFDRVIGVLVADLSLTDMHVVDVELLGPPGP SGRSFAVHTHRENPAQPGAVTGSATVTAFWHSLLGCCQLTSRPGIHLC >ENSMUSP00000146347.1 pep:known chromosome:GRCm38:7:44465444:44467316:1 gene:ENSMUSG00000038704.11 transcript:ENSMUST00000135624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspdh description:aspartate dehydrogenase domain containing [Source:MGI Symbol;Acc:MGI:1915602] MAGSVPPALQLQDLTALEERHPDLVVEVAHPKIIHESGAQILRHANLLVGSPSALADQTT EQQLLEASKRWGHTVFVARGALWGSEDISRLDAAGGLQPHRHARGGCGAARTPRAFRSQL CRAHPQREPSPAWRCHRLCYCYSL >ENSMUSP00000039202.4 pep:known chromosome:GRCm38:7:44465391:44467758:1 gene:ENSMUSG00000038704.11 transcript:ENSMUST00000035929.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspdh description:aspartate dehydrogenase domain containing [Source:MGI Symbol;Acc:MGI:1915602] MATSTLPQVPYKVGVVGYGRLGQSLVSRLLAQGSELGLELVFVWNRDPGRMAGSVPPALQ LQDLTALEERHPDLVVEVAHPKIIHESGAQILRHANLLVGSPSALADQTTEQQLLEASKR WGHTVFVARGALWGSEDISRLDAAGGLQSLRVTMATHPDGFRLEGPLAAAHSSGPRTVLY EGPVRGLCPLAPRNSNTMAAAALAAPSLGFDRVIGVLVADLSLTDMHVVDVELLGPPGPS GRSFAVHTHRENPAQPGAVTGSATVTAFWHSLLGCCQLTSRPGIHLC >ENSMUSP00000119474.1 pep:known chromosome:GRCm38:7:44465409:44467334:1 gene:ENSMUSG00000038704.11 transcript:ENSMUST00000146128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspdh description:aspartate dehydrogenase domain containing [Source:MGI Symbol;Acc:MGI:1915602] MATRQSLVSRLLAQGSELGLELVFVWNRDPGRMAGSVPPALQLQDLTALEERHPDLVVEV AHPKIIHESGAQILRHANLLVGSPSALADQTTEQQLLEASKRWGHTVFVARGALWGSEDI SRLDAAGGLQSLRVTMATHPDGFRLEGPLAAAHSSGPRTVLYEGPVRGLCPLAPRNSNTM AAAALAAPSLGFDRVIGVLVADLSLTDMHVVDVELLGPPGPSGRSFAVHTHRENPAQPGA VTGSATVTAFWHSLLG >ENSMUSP00000114410.1 pep:known chromosome:GRCm38:7:44465417:44467221:1 gene:ENSMUSG00000038704.11 transcript:ENSMUST00000152902.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aspdh description:aspartate dehydrogenase domain containing [Source:MGI Symbol;Acc:MGI:1915602] MATSTLPQVPYKVGVVGYGRLVPCVPPSGSGIRTGPRTCFCVEP >ENSMUSP00000124535.1 pep:known chromosome:GRCm38:9:5321399:5336089:1 gene:ENSMUSG00000033538.13 transcript:ENSMUST00000159461.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Casp4 description:caspase 4, apoptosis-related cysteine peptidase [Source:MGI Symbol;Acc:MGI:107700] XSDKRWVFVDAMKKKHSKVGEMLLQTFFSVDPGSHHGEDLPNKGGQWPYTKGSYHMQYRV QTSLTEVWG >ENSMUSP00000027012.7 pep:known chromosome:GRCm38:9:5308849:5336783:1 gene:ENSMUSG00000033538.13 transcript:ENSMUST00000027012.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp4 description:caspase 4, apoptosis-related cysteine peptidase [Source:MGI Symbol;Acc:MGI:107700] MAENKHPDKPLKVLEQLGKEVLTEYLEKLVQSNVLKLKEEDKQKFNNAERSDKRWVFVDA MKKKHSKVGEMLLQTFFSVDPGSHHGEANLEMEEPEESLNTLKLCSPEEFTRLCREKTQE IYPIKEANGRTRKALIICNTEFKHLSLRYGANFDIIGMKGLLEDLGYDVVVKEELTAEGM ESEMKDFAALSEHQTSDSTFLVLMSHGTLHGICGTMHSEKTPDVLQYDTIYQIFNNCHCP GLRDKPKVIIVQACRGGNSGEMWIRESSKPQLCRGVDLPRNMEADAVKLSHVEKDFIAFY STTPHHLSYRDKTGGSYFITRLISCFRKHACSCHLFDIFLKVQQSFEKASIHSQMPTIDR ATLTRYFYLFPGN >ENSMUSP00000124249.1 pep:known chromosome:GRCm38:9:5308860:5328357:1 gene:ENSMUSG00000033538.13 transcript:ENSMUST00000160064.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Casp4 description:caspase 4, apoptosis-related cysteine peptidase [Source:MGI Symbol;Acc:MGI:107700] MAENKHPDKPLKVLEQLGKEVLTEYLEKLVQSNVLKLKEEDKQKFNNAERSDKRWVFVDA MKKKHSKVGEMLLQTFFSVDPGSHHGEDLPNKGGQWPYTKGSYHMQYRVQTSLTEVWG >ENSMUSP00000124402.1 pep:known chromosome:GRCm38:9:5308828:5323713:1 gene:ENSMUSG00000033538.13 transcript:ENSMUST00000162846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp4 description:caspase 4, apoptosis-related cysteine peptidase [Source:MGI Symbol;Acc:MGI:107700] MADAMKKKHSKVGEMLLQTFFSVDPGSHHGEANLEMEEPEESLNTLKLCSPEEFTRLCRE KTQEIYPIKEANGRTRKALIICNTEFKHLSLRYG >ENSMUSP00000024782.5 pep:known chromosome:GRCm38:17:47726842:47734482:1 gene:ENSMUSG00000023987.14 transcript:ENSMUST00000024782.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgc description:progastricsin (pepsinogen C) [Source:MGI Symbol;Acc:MGI:98909] MKWMVVALLCLPLLEAALIRVPLKKMKSIRETMKEQGVLKDFLKNHKYDPGQKYHFGKFG DYSVLYEPMAYMDASYYGEISIGTPPQNFLVLFDTGSSNLWVSSVYCQSEACTTHTRYNP SKSSTYYTQGQTFSLQYGTGSLTGFFGYDTLRVQSIQVPNQEFGLSENEPGTNFVYAQFD GIMGLAYPGLSSGGATTALQGMLGEGALSQPLFGVYLGSQQGSNGGQIVFGGVDENLYTG ELTWIPVTQELYWQITIDDFLIGNQASGWCSSSGCQGIVDTGTSLLVMPAQYLNELLQTI GAQEGEYGQYFVSCDSVSSLPTLTFVLNGVQFPLSPSSYIIQEEGSCMVGLESLSLNAES GQPLWILGDVFLRSYYAVFDMGNNRVGLAPSV >ENSMUSP00000123459.1 pep:known chromosome:GRCm38:17:47726844:47732393:1 gene:ENSMUSG00000023987.14 transcript:ENSMUST00000144955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgc description:progastricsin (pepsinogen C) [Source:MGI Symbol;Acc:MGI:98909] MKWMVVALLCLPLLEAALIRVPLKKMKSIRETMKEQGVLKDFLKNHKYDPGQKYHFGKFG DYSVLYEPMAYMDVQSIQVPNQEFGLSENEPGTNFVYAQFDGIMGLAYPGLSSGGATTAL QGMLGEGALSQPLFGVYLGSQQG >ENSMUSP00000145440.1 pep:known chromosome:GRCm38:6:142564837:142571614:-1 gene:ENSMUSG00000030247.9 transcript:ENSMUST00000203945.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj8 description:potassium inwardly-rectifying channel, subfamily J, member 8 [Source:MGI Symbol;Acc:MGI:1100508] MLARKSIIPEEYVLARIAAENLRKPRIRDRLPKARFIAKSGACNLAHKNIREQGRFLQDI FTTLVDLKWRHTLVIFTMSFLCSWLLFAIMWWLVAFAHGDIYAYMEKGTMEKSGLESAVC VTNVRSFTSAFLFSIEVQVTIGFGGRMMTEECPLAITVLILQNIVGLIINAVMLGCIFMK TAQAHRRAETLIFSRHAVIAVRNGKLCFMFRVGDLRKSMIISASVRIQVVKKTTTPEGEV VPIHQQDIPVDNPIESNNIFLVAPLIICHVIDKRSPLYDISATDLANQDLEVIVILEGVV ETTGITTQARTSYIAEEIQWGHRFVSIVTEEEGVYSVDYSKFGNTVRVAAPRCSARELDE KPSILIQTLQKSELSHQNSLRKRNSMRRNNSMRRNNSIRRNNSSLMVPKVQFMTPEGNQC PSES >ENSMUSP00000032374.8 pep:known chromosome:GRCm38:6:142564839:142571371:-1 gene:ENSMUSG00000030247.9 transcript:ENSMUST00000032374.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj8 description:potassium inwardly-rectifying channel, subfamily J, member 8 [Source:MGI Symbol;Acc:MGI:1100508] MLARKSIIPEEYVLARIAAENLRKPRIRDRLPKARFIAKSGACNLAHKNIREQGRFLQDI FTTLVDLKWRHTLVIFTMSFLCSWLLFAIMWWLVAFAHGDIYAYMEKGTMEKSGLESAVC VTNVRFK >ENSMUSP00000067324.1 pep:known chromosome:GRCm38:8:104446719:104509887:-1 gene:ENSMUSG00000052616.10 transcript:ENSMUST00000064576.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc79 description:coiled-coil domain containing 79 [Source:MGI Symbol;Acc:MGI:2443187] MESEKPKKTQEMKTDLKLLLECLKYHMGNPLSQKEVLITIHSVCKQNSDAGIYFREIGGL MFIINLAKSSEQSLVKEAALYTLGSIAEENVYCQQSLCTSELFQDLTGLLTNDDSNTNLK RMSVYVLLVLVSNNRNGQTLVREVGCIEVLSQMFRTVLSNYELNLSDNSVFQSYLLWSSV CSTLCVCVNNPQNDENQMLCCSLFPCVNEWLMNCMRPEVIRPICSFIGLTLANNTHAQNC FVSSGGLDVLCQVLVQLESDSHNTLSSAKLAVIVTKTMDACITDNSAAFTVVLSKYHIVS TLLALLLHESLDSREKFSIILAIGHCTEDCEKNQYELLKNNGLPLMIQALTEFKNEDLSK AATYVLHNCKKITGKLSLSLGQNSFGENEIELKDISEKETLREHWKAAKEILCRIKQFEK GGKEEKQQNRSGHYKDNTPSMKVNIQTNLKRLCADSTGGTRAEDKDINQSRELRSYKPSE IMSKACANENQLTTRKKNTNPVHPFCKEKGQSKIVHETTPSCAQNLDKEKTFDQKDSVSQ SSDQVLKHLPHTVKNRKQVPETDPFTLCLDIIDREVGIQATDSCSRMLKYTCSGCIVARK LLNSRNFSKFLHSCAYQCVHHKVIMEAEDKYKNELRKTFICAKKILLTPCRRRQLCKEST ASEELKIVHQKPDSKKLPGLEAQALNTSIPEAMERRSPVPGQSGLHKKRRIRKDFTKEEV NYLFHGVKTMGNHWNSILWSFPFQKGRRAVDLAHKYHRLIKGPSCAAL >ENSMUSP00000125431.1 pep:known chromosome:GRCm38:8:104447037:104509883:-1 gene:ENSMUSG00000052616.10 transcript:ENSMUST00000161520.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc79 description:coiled-coil domain containing 79 [Source:MGI Symbol;Acc:MGI:2443187] MESEKPKKTQEMKTDLKLLLECLKYHMGNPLSQKEVLITIHSVCKQNSDAGIYFREIGGL MFIINLAKSSEQSLVKEAALYTLGSIAEENVYCQQSLCTSELFQDLTGLLTNDDSNTNLK RMSVYVLLVLVSNNRNGQTLVREVGCIEVLSQMFRTVLSNYELNLSDNSVFQSYLLWSSV CSTLCVCVNNPQNDENQMLCCSLFPCVNEWLMNCMRPEVIRPICSFIGLTLANNTHAQNC FVSSGGLDVLCQVLVQLESDSHNTLSSAKLAVIVTKTMDACITDNSAAFTVVLSKYHIVS TLLALLLHESLDSREKFSIILAIGHCTEDCGEATKQEWTL >ENSMUSP00000124706.1 pep:known chromosome:GRCm38:8:104447038:104509882:-1 gene:ENSMUSG00000052616.10 transcript:ENSMUST00000159713.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc79 description:coiled-coil domain containing 79 [Source:MGI Symbol;Acc:MGI:2443187] MESEKPKKTQEMKTDLKLLLECLKYHMGNPLSQKEVLITIHSVCKQNSDAGIYFREIGGL MFIINLAKSSEQSLVKEAALYTLGSIAEENVYCQQSLCTSELFQDLTGLLTNDDSNTNLK RMSVYVLLVLVSNNRNGQTLVREVGCIEVLSQMFRTVLSNYELNLSDNSVFQSYLLWSSV CSTLCVCVNNPQNDENQMLCCSLFPCVNEWLMNCMRPEVIRPICSFIGLTLANNTHAQNC FVSSGGLDVLCQVLVQLESDSHNTLSSAKLAVIVTKTMDACITDNSAFTVVLSKYHIVST LLALLLHESLDSREKFSIILAIGHCTEDCGEATKQEWTL >ENSMUSP00000123925.1 pep:known chromosome:GRCm38:8:104447762:104509910:-1 gene:ENSMUSG00000052616.10 transcript:ENSMUST00000162014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc79 description:coiled-coil domain containing 79 [Source:MGI Symbol;Acc:MGI:2443187] MSEVSHGQPFVTKGDAGIYFREIGGLMFIINLAKSSEQSLVKEAALYTLGSIAEENVYCQ QSLCTSELFQDLTGLLTNDDSNTNLKRMSVYVLLVLVSNNRNGQTLVREVGCIEVLSQMF RTVLSNYELNLSDNSVFQSYLLWSSVCSTLCVCVNNPQNDENQMLCCSLFPCVNEWLMNC MRPEVIRPICSFIGLTLANNTHAQNCFVSSGGLDVLCQVLVQLESDSHNTLSSAKLAVIV TKTMDACITDNSAAFTVVLSKYHIVSTLLALLLHESLDSREKFSIILAIGHCTEDCEKNQ YELLKNNGLPLMIQALTEFKNEDLSKAATYVLHNCKKITGKLSLSLGQNSFGENEIELKD ISEKETLREHWKAAKEILCRIKQFEKGGKEEKQQNRSGHYKDNTPSMKVNIQTNLKRLCA DSTGGTRAEDKDINQSRELRSYKPSEIMSKACANENQLTTRKKNTNPVHPFCKEKGQSKI VHETTPSCAQNLDKEKTFDQKDSVSQSSDQVLKHLPHTVKNRKQVPETDPFTLCLDIIDR EVGIQATDSCSRMLKYTCSGCIVARKLLNSRNFSKFLHSCAYQCVHHKVIMEAEDKYKNE LRKTFICAKKILLTPCRRRQLCKESTASEELKIVHQKPDSKKLPGLEAQALNTSIPEAME RRSPVPGQSGLHSEFEATPGYIGKAHLKKRKRKKKENLQTSFSMM >ENSMUSP00000035090.7 pep:known chromosome:GRCm38:9:113976707:114026761:-1 gene:ENSMUSG00000032507.15 transcript:ENSMUST00000035090.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl2 description:F-box and leucine-rich repeat protein 2 [Source:MGI Symbol;Acc:MGI:1919429] MVFSNSDDGLINKKLPKELLLRIFSFLDIVTLCRCAQISKAWNILALDGSNWQRVDLFNF QTDVEGRVVENISKRCGGFLRKLSLRGCIGVGDSSLKTFAQNCRNIEHLNLNGCTKITDS TCYSLSRFCSKLKHLDLTSCVSVTNSSLKGISEGCRNLEYLNLSWCDQITKEGIEALVRG CRGLKALLLRGCTQLEDEALKHIQNHCHELVSLNLQSCSRITDDGVVQICRGCHRLQALC LSGCSNLTDASLTALGLNCPRLQVLEAARCSHLTDAGFTLLARNCHELEKMDLEECVLIT DSTLVQLSIHCPKLQALSLSHCELITDEGILHLSSSTCGHERLRVLELDNCLLVTDASLE HLENCRGLERLELYDCQQVTRAGIKRMRAQLPHVKVHAYFAPVTPPPAVAGSGHRLCRCC VIL >ENSMUSP00000117058.1 pep:known chromosome:GRCm38:9:113978037:114026726:-1 gene:ENSMUSG00000032507.15 transcript:ENSMUST00000143180.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxl2 description:F-box and leucine-rich repeat protein 2 [Source:MGI Symbol;Acc:MGI:1919429] MVFSNSDDGLINKKLPKELLLSIAPALTHPVLYSVCPLH >ENSMUSP00000114075.1 pep:known chromosome:GRCm38:9:113963637:114026729:-1 gene:ENSMUSG00000032507.15 transcript:ENSMUST00000117537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl2 description:F-box and leucine-rich repeat protein 2 [Source:MGI Symbol;Acc:MGI:1919429] MVFSNSDDGLINKKLPKELLLRIFSFLDIVTLCRCAQISKAWNILALDGSNWQRVDLFNF QTDVEGRVVENISKRCGGFLRKLSLRGCIGVGDSSLKTFAQNCRNIEHLNLNGCTKITDS TCYSLSRFCSKLKHLDLTSCVSVTNSSLKGISEGCRNLEYLNLSWCDQITKEGIEALVRG CRGLKALLLRGCTQLEDEALKHIQNHCHELVSLNLQSCSRITDDGVVQICRGCHRLQALC LSGCSNLTDASLTALGLNCPRLQVLEAARCSHLTDAGFTLLARNCHELEKMDLEECVLIT DSTLVQLSIHCPKLQALSLSHCELITDEGILHLSSSTCGHERLRVLELDNCLLVTDASLE HLENCRGLERLELYDCQQVTRAGIKRMRAQLPHVKVHAYFAPVTPPPAVAGSGHRLCRCC VIL >ENSMUSP00000126620.1 pep:known chromosome:GRCm38:11:78094708:78156075:1 gene:ENSMUSG00000037750.16 transcript:ENSMUST00000100782.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam222b description:family with sequence similarity 222, member B [Source:MGI Symbol;Acc:MGI:2384939] MNPPVAPYATVAPSTLAHPQAQALARQQALQHAQTLAHAPPQTLQHPQGIPPPQALSHPQ SLQQPQGLGHPQQMAQTQGLVHPQALTHQGLQHPPNPLLHGGRKMPDSDAPPNVTVSTST IPLSMAATLQHSQPPDLSSIVHQINQFCQTRAGISTTSVCEGQIANPSPISRSLLINAST RVSTHSVPTPMPSCVVNPMEHTHAATAALPAAGPVNLPTGISRAPTGYPSDLKPVTWNQH QLAHLQQMCSEAGGTPAPGLTGKHTAGRELAGPGFVGKAAAYPQELCLAQSFHLKPPLEK PTPSPPVNGLPAPLAYPNGHYFQPLWNNILPTPNSDSSGSQDLTMPFHGGQPTGAPLDCG AAPGAHYRAGTGGGPVASQNSLMQTVDYLSGDFQQACFREQSLAMLSKAHRAPGTRAPDP TDSRSLHIQHPGYR >ENSMUSP00000121832.1 pep:known chromosome:GRCm38:11:78115606:78156700:1 gene:ENSMUSG00000037750.16 transcript:ENSMUST00000155571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam222b description:family with sequence similarity 222, member B [Source:MGI Symbol;Acc:MGI:2384939] MLACLPGPGDLSLQLLSHTQMNTGLQKWDTTQKMRTAHYPTPAELDAYAKKVANNPLTIK IFPNSVKVPQRKHVRRTVNGLDTSAQRYSPYPTQAATKAGLLAIVKVPAKSILKDFDGTR ARFLPEAIMNPPVAPYATVAPSTLAHPQAQALARQQALQHAQTLAHAPPQTLQHPQGIPP PQALSHPQSLQQPQGLGHPQQMAQTQGLVHPQALTHQGLQHPPNPLLHGGRKMPDSDAPP NVTVSTSTIPLSMAATLQHSQPPDLSSIVHQINQFCQTRAGISTTSVCEGQIANPSPISR SLLINASTRVSTHSVPTPMPSCVVNPMEHTHAATAALPAAGPVNLPTGISRAPTGYPSDL KPVTWNQHQLAHLQQMCSEAGGTPAPGLTGKHTAGRELAGPGFVGKAAAYPQELCLAQSF HLKPPLEKPTPSPPVNGLPAPLAYPNGHYFQPLWNNILPTPNSDSSGSQDLTMPFHGGQP TGAPLDCGAAPGAHYRAGTGGGPVASQNSLMQTVDYLSGDFQQACFREQSLAMLSKAHRA PGTRAPDPTDSRSLHIQHPGYR >ENSMUSP00000073384.5 pep:known chromosome:GRCm38:11:78094660:78156700:1 gene:ENSMUSG00000037750.16 transcript:ENSMUST00000073705.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam222b description:family with sequence similarity 222, member B [Source:MGI Symbol;Acc:MGI:2384939] MLACLPGPGDLSLQLLSHTQMNTGLQKWDTTQKMRTAHYPTPAELDAYAKKVANNPLTIK IFPNSVKVPQRKHVRRTVNGLDTSAQRYSPYPTQAATKAGLLAIVKVPAKSILKDFDGTR ARFLPEAIMNPPVAPYATVAPSTLAHPQAQALARQQALQHAQTLAHAPPQTLQHPQGIPP PQALSHPQSLQQPQGLGHPQQMAQTQGLVHPQALTHQGLQHPPNPLLHGGRKMPDSDAPP NVTVSTSTIPLSMAATLQHSQPPDLSSIVHQINQFCQTRAGISTTSVCEGQIANPSPISR SLLINASTRVSTHSVPTPMPSCVVNPMEHTHAATAALPAAGPVNLPTGISRAPTGYPSDL KPVTWNQHQLAHLQQMCSEAGGTPAPGLTGKHTAGRELAGPGFVGKAAAYPQELCLAQSF HLKPPLEKPTPSPPVNGLPAPLAYPNGHYFQPLWNNILPTPNSDSSGSQDLTMPFHGGQP TGAPLDCGAAPGAHYRAGTGGGPVASQNSLMQTVDYLSGDFQQACFREQSLAMLSKAHRA PGTRAPDPTDSRSLHIQHPGYR >ENSMUSP00000063842.4 pep:known chromosome:GRCm38:9:114330135:114330578:1 gene:ENSMUSG00000053820.4 transcript:ENSMUST00000066460.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2a1c description:B cell leukemia/lymphoma 2 related protein A1c [Source:MGI Symbol;Acc:MGI:1278327] MAEYELMHIHSLAEHYLQYVLQVPAFESAPSQAFRVLQRVAFSVQKEVGKNLKSYLDDFH VESIDTTRIIFNQVMEKEFENGIINWGRIVTIFAFGGVLLKKTSTRADCPGCTCLQTSFQ FWGRIHHE >ENSMUSP00000081941.4 pep:known chromosome:GRCm38:9:114375134:114390675:-1 gene:ENSMUSG00000032431.6 transcript:ENSMUST00000084881.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crtap description:cartilage associated protein [Source:MGI Symbol;Acc:MGI:1891221] MGPRSPTAALLVLLCVGCAPTPGRGQYERYSFRSFPRDELMPLESAYRHALDQYSGEHWA ESVGYLEVSLRLHRLLRDSEAFCHRNCSAATPAPAPAGPASHAELRLFGSVLRRAQCLKR CKQGLPAFRQSQPSRSVLADFQQREPYKFLQFAYFKANDLPKAIAAAHTYLLKHPDDEMM KRNMEYYKSLPGAEDHIKDLETKSYESLFVRAVRAYNGENWRTSISDMELALPDFLKAFY ECLAACEGSREIKDFKDFYLSIADHYVEVLECKIRCEETLTPVIGGYPVEKFVATMYHYL QFAYYKLNDLKNAAPCAVSYLLFDQSDRVMQQNLVYYQYHRDKWGLSDEHFQPRPEAVQF FNVTTLQKELYDFAQEHLMDDDEGEVVEYVDDLLETEESA >ENSMUSP00000076933.5 pep:known chromosome:GRCm38:1:152766542:152775597:1 gene:ENSMUSG00000008475.13 transcript:ENSMUST00000077755.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc5 description:actin related protein 2/3 complex, subunit 5 [Source:MGI Symbol;Acc:MGI:1915021] MSKNTVSSARFRKVDVDEYDENKFVDEEDGGDGQAGPDEGEVDSCLRQGNMTAALQAALK NPPINTKSQAVKDRAGSIVLKVLISFKANDIEKAVQSLDKNGVDLLMKYIYKGFESPSDN SSAVLLQWHEKALAAGGVGSIVRVLTARKTV >ENSMUSP00000095143.4 pep:known chromosome:GRCm38:1:152766542:152775597:1 gene:ENSMUSG00000008475.13 transcript:ENSMUST00000097536.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc5 description:actin related protein 2/3 complex, subunit 5 [Source:MGI Symbol;Acc:MGI:1915021] MSKNTVSSARFRKVDVDEYDENKFVDEEDGGDGQAGPDEGEVDSCLRQGNMTAALQAALK NPPINTKSQAVKALAAGGVGSIVRVLTARKTV >ENSMUSP00000137093.1 pep:known chromosome:GRCm38:1:135840875:135852260:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000179863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEEQEEAVEEEDWSEEEEDEQEEAVEEEEAGGAEPEPEGEAETEEANVE EVGPDEEAKDAEEGPVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQ TLIEAHFENRKKEEEELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEE NRRKAEDEARKKKALSNMMHFGGYIQKTERKSGKRQTEREKKKKILAERRKALAIDHLNE DQLREKAKELWQSIHNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGR WK >ENSMUSP00000107715.2 pep:known chromosome:GRCm38:1:135840875:135852260:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000112085.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEELRERTSPEQEEAVEEEEAGGAEPEPEGEAETEEANVEEVGPDEEAKD AEEGPVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQTLIEAHFENR KKEEEELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEENRRKAEDEAR KKKALSNMMHFGGYIQKQAQTERKSGKRQTEREKKKKILAERRKALAIDHLNEDQLREKA KELWQSIHNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGRWK >ENSMUSP00000137579.1 pep:known chromosome:GRCm38:1:135836386:135852260:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000178204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEEQKEGMEEEDWSEEEEDEQEEAVEEEEAGGAEPEPEGEAETEEANVE EVGPDEEAKDAEEGPVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQ TLIEAHFENRKKEEEELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEE NRRKAEDEARKKKALSNMMHFGGYIQKTERKSGKRQTEREKKKKILAERRKALAIDHLNE DQLREKAKELWQSIHNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGR WK >ENSMUSP00000107716.2 pep:known chromosome:GRCm38:1:135840875:135852260:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000112086.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEHPEEEDWSEEEEDEQEEAVEEEEAGGAEPEPEGEAETEEANVEEVGP DEEAKDAEEGPVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQTLIE AHFENRKKEEEELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEENRRK AEDEARKKKALSNMMHFGGYIQKQAQTERKSGKRQTEREKKKKILAERRKALAIDHLNED QLREKAKELWQSIHNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGRW K >ENSMUSP00000027671.5 pep:known chromosome:GRCm38:1:135840875:135852260:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000027671.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEEQEEQEEAVEEEEAGGAEPEPEGEAETEEANVEEVGPDEEAKDAEEG PVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQTLIEAHFENRKKEE EELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEENRRKAEDEARKKKA LSNMMHFGGYIQKQAQTERKSGKRQTEREKKKKILAERRKALAIDHLNEDQLREKAKELW QSIHNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGRWK >ENSMUSP00000140807.1 pep:known chromosome:GRCm38:1:135840699:135849459:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000189826.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEEQEEAVEEEDWSEEEEDEQEEAVEEEEAGGAEPEPEGEAETEEANVE EVGPDEEAKDAEEGPVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQ TLIEAHFENRKKEEEELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEE NRRKAEDEARKKKALSNMMHFGGYIQ >ENSMUSP00000107717.2 pep:known chromosome:GRCm38:1:135840857:135852260:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000112087.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEEQEEEDWSEEEEDEQEEAVEEEEAGGAEPEPEGEAETEEANVEEVGP DEEAKDAEEGPVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQTLIE AHFENRKKEEEELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEENRRK AEDEARKKKALSNMMHFGGYIQKQAQTERKSGKRQTEREKKKKILAERRKALAIDHLNED QLREKAKELWQSIHNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGRW K >ENSMUSP00000136265.1 pep:known chromosome:GRCm38:1:135840875:135852260:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000178854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEEQEEAVEEQEEAVEEEEAGGAEPEPEGEAETEEANVEEVGPDEEAKD AEEGPVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQTLIEAHFENR KKEEEELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEENRRKAEDEAR KKKALSNMMHFGGYIQKQAQTERKSGKRQTEREKKKKILAERRKALAIDHLNEDQLREKA KELWQSIHNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGRWK >ENSMUSP00000139919.1 pep:known chromosome:GRCm38:1:135836413:135852260:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000189355.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEEQEEQEEAVEEEEAGGAEPEPEGEAETEEANVEEVGPDEEAKDAEEG PVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQTLIEAHFENRKKEE EELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEENRRKAEDEARKKKA LSNMMHFGGYIQKQAQTERKSGKRQTEREKKKKILAERRKALAIDHLNEDQLREKAKELW QSIHNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGRWK >ENSMUSP00000140941.1 pep:known chromosome:GRCm38:1:135836354:135852260:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000188028.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEEQEEAVEEEDWSEEEEDEQEEAVEEEEAGGAEPEPEGEAETEEANVE EVGPDEEAKDAEEGPVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQ TLIEAHFENRKKEEEELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEE NRRKAEDEARKKKALSNMMHFGGYIQKTERKSGKRQTEREKKKKILAERRKALAIDHLNE DQLREKAKELWQSIHNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGR WK >ENSMUSP00000140282.1 pep:known chromosome:GRCm38:1:135836402:135848049:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000190451.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEEQEEQEEAVEEEEAGGAEPEPEGEAETEEANVEEVGPDEEAKDAEEG PVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQTLIEAHFE >ENSMUSP00000139669.1 pep:known chromosome:GRCm38:1:135836410:135852260:1 gene:ENSMUSG00000026414.13 transcript:ENSMUST00000189732.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnt2 description:troponin T2, cardiac [Source:MGI Symbol;Acc:MGI:104597] MSDAEEVVEEYEEEQEEAVEEQEEAVEEEEAGGAEPEPEGEAETEEANVEEVGPDEEAKD AEEGPVEDTKPKPSRLFMPNLVPPKIPDGERVDFDDIHRKRVEKDLNELQTLIEAHFENR KKEEEELISLKDRIEKRRAERAEQQRIRNEREKERQNRLAEERARREEEENRRKAEDEAR KKKALSNMMHFGGYIQKQAQTERKSGKRQTEREKKKKILAERRKALAIDHLNEDQLREKA KELWQSIHNLEAEKFDLQEKFKQQKYEINVLRNRINDNQKVSKTRGKAKVTGRWK >ENSMUSP00000141899.1 pep:known chromosome:GRCm38:2:32686849:32704137:-1 gene:ENSMUSG00000009566.12 transcript:ENSMUST00000146498.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fpgs description:folylpolyglutamyl synthetase [Source:MGI Symbol;Acc:MGI:95576] MMKSTRCLPMSWPVAEKFWWEAAMEWKDPSGSAYEAKTASFQDAVRTLNTLQTNASYLEQ VKRQRSDPQAQLEAMEMYLARSGLQVEDLNRLNIIHVTGTKGKGSTCAFTERILRNYGLK TGFFRTTAMSPCPLTSASSHSWPSMSSSKRRWTWQWWRWALAGLLTAPTSSESQWCVESP LLALTTPVY >ENSMUSP00000116434.1 pep:known chromosome:GRCm38:2:32687416:32704145:-1 gene:ENSMUSG00000009566.12 transcript:ENSMUST00000127812.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpgs description:folylpolyglutamyl synthetase [Source:MGI Symbol;Acc:MGI:95576] MMKSTRCLPMSWPVAEKFWWEAAMEWKDPSGSAYEAKTASFQDAVRTLNTLQTNASYLEQ VKRQRSDPQAQLEAMEMYLARSGLQVEDLNRLNIIHVTGTKGKGSTCAFTERILRNYGLK TGFFSSPHMVQVRERIRINGKPISPELFTKHFWCLYNQLEEFKDDSHVSMPSYFRFLTLM AFHVFLQEKVDLAVVEVGIGGAFDCTNIIRKPVVCGVSSLGIDHTSLLGDTVEKIAWQKG GIF >ENSMUSP00000141705.1 pep:known chromosome:GRCm38:2:32692713:32704031:-1 gene:ENSMUSG00000009566.12 transcript:ENSMUST00000143743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpgs description:folylpolyglutamyl synthetase [Source:MGI Symbol;Acc:MGI:95576] MMKSTRCLPMSWPVAEKFWWEAAMEWKDPSGSAYEAKTASFQDAV >ENSMUSP00000028148.4 pep:known chromosome:GRCm38:2:32682609:32694185:-1 gene:ENSMUSG00000009566.12 transcript:ENSMUST00000028148.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpgs description:folylpolyglutamyl synthetase [Source:MGI Symbol;Acc:MGI:95576] MSWARSRLCSTLSLAAVSARGATTEGAARRGMSAWPAPQEPGMEYQDAVRTLNTLQTNAS YLEQVKRQRSDPQAQLEAMEMYLARSGLQVEDLNRLNIIHVTGTKGKGSTCAFTERILRN YGLKTGFFSSPHMVQVRERIRINGKPISPELFTKHFWCLYNQLEEFKDDSHVSMPSYFRF LTLMAFHVFLQEKVDLAVVEVGIGGAFDCTNIIRKPVVCGVSSLGIDHTSLLGDTVEKIA WQKGGIFKPGVPAFTVVQPEGPLAVLRDRAQQIGCPLYLCPPLEALEEVGLPLSLGLEGA HQRSNAALALQLAHCWLERQDHQDIQELKVSRPSIRWQLPLAPVFRPTPHMRRGLRDTVW PGRTQILQRGPLTWYLDGAHTTSSVQACVHWYRQSLERSKRTDGGSEVHILLFNSTGDRD SAALLKLLQPCQFDYAVFCPNVTEVSSIGNADQQNFTVTLDQVLLRCLQHQQHWNGLAEK QASSNLWSSCGPDPAGPGSLLLAPHPPQPTRTSSLVFSCISHALLWISQGRDPIFQPQSL PRNLLNHPTANSGASILREAAAIHVLVTGSLHLVGGVLKLLDPSMSQ >ENSMUSP00000132690.1 pep:known chromosome:GRCm38:12:84791859:84799463:-1 gene:ENSMUSG00000002020.15 transcript:ENSMUST00000165141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp2 description:latent transforming growth factor beta binding protein 2 [Source:MGI Symbol;Acc:MGI:99502] XCSGGRCSNTEGSYHCECDRGYIMVRKGHCQDINECRHPGTCPDGRCVNSPGSYTCLACE EGYVGQSGSCVDVNECLTPGICTHGRCINMEGSFRCSCEPGYEVTPDKKGCRDVDECASR ASCPTGLCLNTEGSFTCSACQSGYWVNEDGTACEDVDECEGPQSSCRGGECKNTEGSYQC LCH >ENSMUSP00000105883.2 pep:known chromosome:GRCm38:12:84783213:84876495:-1 gene:ENSMUSG00000002020.15 transcript:ENSMUST00000110254.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp2 description:latent transforming growth factor beta binding protein 2 [Source:MGI Symbol;Acc:MGI:99502] MESTSPRGLRCPQLCSHSGAMRAPTTARCSGCIRRVRWRGFLPLVLAVLMGTSHAQRDSI GRYEPASRDANRLWHPVGSHPAAAAAKVYSLFREPDAPVPGLSPSEWNQPAQGNPGRLAE AEARRPPRTQQLRRVQPPVQTRRSHPRGQQQIAARAAPSVARLETPQRPAAARRGRLTGR NVCGGQCCPGWTTSNSTNHCIKPVCQPPCQNRGSCSRPQVCICRSGFRGARCEEVIPEEE FDPQNARPVPRRSVERAPGPHRSSEARGSLVTRIQPLVPPPSPPPSRRLSQPWPLQQHSG PSRTVRRYPATGANGQLMSNALPSGLELRDSSPQAAHVNHLSPPWGLNLTEKIKKIKVVF TPTICKQTCARGRCANSCEKGDTTTLYSQGGHGHDPKSGFRIYFCQIPCLNGGRCIGRDE CWCPANSTGKFCHLPVPQPDREPAGRGSRHRTLLEGPLKQSTFTLPLSNQLASVNPSLVK VQIHHPPEASVQIHQVARVRGELDPVLEDNSVETRASRRPHGNLGHSPWASNSIPARAGE APRPPPVLSRHYGLLGQCYLSTVNGQCANPLGELTSQEDCCGSVGTFWGVTSCAPCPPRP AFPVIENGQLECPQGYKRLNLSHCQDINECLTLGLCKDSECVNTRGSYLCTCRPGLMLDP SRSRCVSDKAVSMQQGLCYRSLGSGTCTLPLVHRITKQICCCSRVGKAWGSTCEQCPLPG TEAFREICPAGHGYTYSSSDIRLSMRKAEEEELASPLREQTEQSTAPPPGQAERQPLRAA TATWIEAETLPDKGDSRAVQITTSAPHLPARVPGDATGRPAPSLPGQGIPESPAEEQVIP SSDVLVTHSPPDFDPCFAGASNICGPGTCVSLPNGYRCVCSPGYQLHPSQDYCTDIDECE QPGVCSGGRCSNTEGSYHCECDRGYIMVRKGHCQDINECRHPGTCPDGRCVNSPGSYTCL ACEEGYVGQSGSCVDVNECLTPGICTHGRCINMEGSFRCSCEPGYEVTPDKKGCRDVDEC ASRASCPTGLCLNTEGSFTCSACQSGYWVNEDGTACEDLDECAFPGVCPTGVCTNTVGSF SCKDCDRGYRPNPLGNRCEDVDECEGPQSSCRGGECKNTEGSYQCLCHQGFQLVNGTMCE DVNECVGEEHCAPHGECLNSLGSFFCLCAPGFASAEGGTRCQDVDECAATDPCPGGHCVN TEGSFSCLCETGFQPSPDSGECLDIDECEDREDPVCGAWRCENSPGSYRCILDCQPGFYV APNGDCIDIDECANDTVCGNHGFCDNTDGSFRCLCDQGFETSPSGWECVDVNECELMMAV CGDALCENVEGSFLCLCASDLEEYDAEEGHCRPRVAGAQRIPEVRTEDQAPSLIRMECYS EHNGGPPCSQILGQNSTQAECCCTQGARWGKACAPCPSEDSVEFSQLCPSGQGYIPVEGA WTFGQTMYTDADECVLFGPALCQNGRCLNIVPGYICLCNPGYHYDASSRKCQDHNECQDL ACENGECVNTEGSFHCLCNPPLTLDLSGQRCVNSTSSTEDFPDHDIHMDICWKKVTNDVC SQPLRGHHTTYTECCCQDGEAWSQQCALCPPRSSEVYAQLCNVARIEAERGAGIHFRPGY EYGPGLDDLPENLYGPDGAPFYNYLGPEDTAPEPPFSNPASQPGDNTPVLEPPLQPSELQ PHYLASHSEPLASFEGLQAEECGILNGCENGRCVRVREGYTCDCFEGFQLDAAHMACVDV NECEDLNGPAALCAHGHCENTEGSYRCHCSPGYVAEPGPPHCAAKE >ENSMUSP00000132067.1 pep:known chromosome:GRCm38:12:84786379:84792971:-1 gene:ENSMUSG00000002020.15 transcript:ENSMUST00000163214.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp2 description:latent transforming growth factor beta binding protein 2 [Source:MGI Symbol;Acc:MGI:99502] XNRCEDVDECEGPQSSCRGGECKNTEGSYQCLCHQGFQLVNGTMCEDIDECEDREDPVCG AWRCENSPGSYRCILDCQPGFYVAPNGDCIDIDECANDTVCGNHGFCDNTDGSFRCLCDQ GFETSPSGWECVDVNECELMMAVCGDALCENVEGSFLCLCASDLEEYDAEEGHCRPRVAG AQRIPEVRTEDQAPSLIRMECYSEHNGGPPCSQILGQNSTQAECCCTQGARWGKACAPCP SEDSVEFSQLCPSGQGYIPVEGAWTFGQTMYTDADECVLFGPALCQNGRCLNIVPGYICL CNPGYHY >ENSMUSP00000002073.6 pep:known chromosome:GRCm38:12:84783212:84876532:-1 gene:ENSMUSG00000002020.15 transcript:ENSMUST00000002073.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp2 description:latent transforming growth factor beta binding protein 2 [Source:MGI Symbol;Acc:MGI:99502] MRAPTTARCSGCIRRVRWRGFLPLVLAVLMGTSHAQRDSIGRYEPASRDANRLWHPVGSH PAAAAAKVYSLFREPDAPVPGLSPSEWNQPAQGNPGRLAEAEARRPPRTQQLRRVQPPVQ TRRSHPRGQQQIAARAAPSVARLETPQRPAAARRGRLTGRNVCGGQCCPGWTTSNSTNHC IKPVCQPPCQNRGSCSRPQVCICRSGFRGARCEEVIPEEEFDPQNARPVPRRSVERAPGP HRSSEARGSLVTRIQPLVPPPSPPPSRRLSQPWPLQQHSGPSRTVRRYPATGANGQLMSN ALPSGLELRDSSPQAAHVNHLSPPWGLNLTEKIKKIKVVFTPTICKQTCARGRCANSCEK GDTTTLYSQGGHGHDPKSGFRIYFCQIPCLNGGRCIGRDECWCPANSTGKFCHLPVPQPD REPAGRGSRHRTLLEGPLKQSTFTLPLSNQLASVNPSLVKVQIHHPPEASVQIHQVARVR GELDPVLEDNSVETRASRRPHGNLGHSPWASNSIPARAGEAPRPPPVLSRHYGLLGQCYL STVNGQCANPLGELTSQEDCCGSVGTFWGVTSCAPCPPRPAFPVIENGQLECPQGYKRLN LSHCQDINECLTLGLCKDSECVNTRGSYLCTCRPGLMLDPSRSRCVSDKAVSMQQGLCYR SLGSGTCTLPLVHRITKQICCCSRVGKAWGSTCEQCPLPGTEAFREICPAGHGYTYSSSD IRLSMRKAEEEELASPLREQTEQSTAPPPGQAERQPLRAATATWIEAETLPDKGDSRAVQ ITTSAPHLPARVPGDATGRPAPSLPGQGIPESPAEEQVIPSSDVLVTHSPPDFDPCFAGA SNICGPGTCVSLPNGYRCVCSPGYQLHPSQDYCTDDNECMRNPCEGRGRCVNSVGSYSCL CYPGYTLVTLRDTQECQDIDECEQPGVCSGGRCSNTEGSYHCECDRGYIMVRKGHCQDIN ECRHPGTCPDGRCVNSPGSYTCLACEEGYVGQSGSCVDVNECLTPGICTHGRCINMEGSF RCSCEPGYEVTPDKKGCRDVDECASRASCPTGLCLNTEGSFTCSACQSGYWVNEDGTACE DLDECAFPGVCPTGVCTNTVGSFSCKDCDRGYRPNPLGNRCEDVDECEGPQSSCRGGECK NTEGSYQCLCHQGFQLVNGTMCEDVNECVGEEHCAPHGECLNSLGSFFCLCAPGFASAEG GTRCQDVDECAATDPCPGGHCVNTEGSFSCLCETGFQPSPDSGECLDIDECEDREDPVCG AWRCENSPGSYRCILDCQPGFYVAPNGDCIDIDECANDTVCGNHGFCDNTDGSFRCLCDQ GFETSPSGWECVDVNECELMMAVCGDALCENVEGSFLCLCASDLEEYDAEEGHCRPRVAG AQRIPEVRTEDQAPSLIRMECYSEHNGGPPCSQILGQNSTQAECCCTQGARWGKACAPCP SEDSVEFSQLCPSGQGYIPVEGAWTFGQTMYTDADECVLFGPALCQNGRCLNIVPGYICL CNPGYHYDASSRKCQDHNECQDLACENGECVNTEGSFHCLCNPPLTLDLSGQRCVNSTSS TEDFPDHDIHMDICWKKVTNDVCSQPLRGHHTTYTECCCQDGEAWSQQCALCPPRSSEVY AQLCNVARIEAERGAGIHFRPGYEYGPGLDDLPENLYGPDGAPFYNYLGPEDTAPEPPFS NPASQPGDNTPVLEPPLQPSELQPHYLASHSEPLASFEGLQAEECGILNGCENGRCVRVR EGYTCDCFEGFQLDAAHMACVDVNECEDLNGPAALCAHGHCENTEGSYRCHCSPGYVAEP GPPHCAAKE >ENSMUSP00000127693.1 pep:known chromosome:GRCm38:12:84783329:84876479:-1 gene:ENSMUSG00000002020.15 transcript:ENSMUST00000163189.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp2 description:latent transforming growth factor beta binding protein 2 [Source:MGI Symbol;Acc:MGI:99502] MRAPTTARCSGCIRRVRWRGFLPLVLAVLMGTSHAQRDSIGRYEPASRDANRLWHPVGSH PAAAAAKVYSLFREPDAPVPGLSPSEWNQPAQGNPGRLAEAEARRPPRTQQLRRVQPPVQ TRRSHPRGQQQIAARAAPSVARLETPQRPAAARRGRLTGRNVCGGQCCPGWTTSNSTNHC IKPVCQPPCQNRGSCSRPQVCICRSGFRGARCEEVIPEEEFDPQNARPVPRRSVERAPGP HRSSEARGSLVTRIQPLVPPPSPPPSRRLSQPWPLQQHSGPSRTVRRYPATGANGQLMSN ALPSGLELRDSSPQAAHVNHLSPPWGLNLTEKIKKIKVVFTPTICKQTCARGRCANSCEK GDTTTLYSQGGHGHDPKSGFRIYFCQIPCLNGGRCIGRDECWCPANSTGKFCHLPVPQPD REPAGRGSRHRTLLEGPLKQSTFTLPLSNQLASVNPSLVKVQIHHPPEASVQIHQVARVR GELDPVLEDNSVETRASRRPHGNLGHSPWASNSIPARAGEAPRPPPVLSRHYGLLGQCYL STVNGQCANPLGELTSQEDCCGSVGTFWGVTSCAPCPPRPAFPVIENGQLECPQGYKRLN LSHCQDINECLTLGLCKDSECVNTRGSYLCTCRPGLMLDPSRSRCVSDKAVSMQQGLCYR SLGSGTCTLPLVHRITKQICCCSRVGKAWGSTCEQCPLPGTEAFREICPAGHGYTYSSSD IRLSMRKAEEEELASPLREQTEQSTAPPPGQAERQPLRAATATWIEAETLPDKGDSRAVQ ITTSAPHLPARVPGDATGRPAPSLPGQGIPESPAEEQVIPSSDVLVTHSPPDFDPCFAGA SNICGPGTCVSLPNGYRCVCSPGYQLHPSQDYCTDIDECEQPGVCSGGRCSNTEGSYHCE CDRGYIMVRKGHCQDINECRHPGTCPDGRCVNSPGSYTCLACEEGYVGQSGSCVDVNECL TPGICTHGRCINMEGSFRCSCEPGYEVTPDKKGCRDVDECASRASCPTGLCLNTEGSFTC SACQSGYWVNEDGTACEDLDECAFPGVCPTGVCTNTVGSFSCKDCDRGYRPNPLGNRCED VDECEGPQSSCRGGECKNTEGSYQCLCHQGFQLVNGTMCEDVNECVGEEHCAPHGECLNS LGSFFCLCAPGFASAEGGTRCQDVDECAATDPCPGGHCVNTEGSFSCLCETGFQPSPDSG ECLDIDECEDREDPVCGAWRCENSPGSYRCILDCQPGFYVAPNGDCIDIDECANDTVCGN HGFCDNTDGSFRCLCDQGFETSPSGWECVDVNECELMMAVCGDALCENVEGSFLCLCASD LEEYDAEEGHCRPRVAGAQRIPEVRTEDQAPSLIRMECYSEHNGGPPCSQILGQNSTQAE CCCTQGARWGKACAPCPSEDSVEFSQLCPSGQGYIPVEGAWTFGQTMYTDADECVLFGPA LCQNGRCLNIVPGYICLCNPGYHYDASSRKCQDHNECQDLACENGECVNTEGSFHCLCNP PLTLDLSGQRCVNSTSSTEDFPDHDIHMDICWKKVTNDVCSQPLRGHHTTYTECCCQDGE AWSQQCALCPPRSSEVYAQLCNVARIEAERGAGIHFRPGYEYGPGLDDLPENLYGPDGAP FYNYLGPEDTAPEPPFSNPASQPGDNTPVLEPPLQPSELQPHYLASHSEPLASFEGLQAE ECGILNGCENGRCVRVREGYTCDCFEGFQLDAAHMACVDVNECEDLNGPAALCAHGHCEN TEGSYRCHCSPGYVAEPGPPHCAAKE >ENSMUSP00000127255.1 pep:known chromosome:GRCm38:12:84785206:84791843:-1 gene:ENSMUSG00000002020.15 transcript:ENSMUST00000166383.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp2 description:latent transforming growth factor beta binding protein 2 [Source:MGI Symbol;Acc:MGI:99502] VNGTMCEDVNECVGEEHCAPHGECLNSLGSFHCLCNPPLTLDLSGQRCVNSTSSTEDFPD HDIHMDICWKKVTNDVCSQPLRGHHTTYTECCCQDGEAWSQQCALCPPRSSEVYAQLCNV ARIEAERGAGIHFRPGYEYGPGLDDLPENLYGPDGAPFYNYLGPEDTAPEPPFSNPASQP GDNTPVLEPPLQPSELQPHYLASHS >ENSMUSP00000130585.2 pep:known chromosome:GRCm38:2:32646622:32682669:1 gene:ENSMUSG00000026814.16 transcript:ENSMUST00000167841.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eng description:endoglin [Source:MGI Symbol;Acc:MGI:95392] MDRGVLPLPITLLFVIYSFVPTSLAERVGCDLQPVDPTRGEVTFTTSQVSEGCVAQAANA VREVHVLFLDFPGMLSHLELTLQASKQNGTETQEVFLVLVSNKNVFVKFQAPEIPLHLAY DSSLVIFQGQPRVNITVLPSLTSRKQILDWAATKGAITSIAALDDPQSIVLQLGQDPKAP FLCLPEAHKDMGATLEWQPRAQTPVQSCRLEGVSGHKEAYILRILPGSEAGPRTVTVMME LSCTSGDAILILHGPPYVSWFIDINHSMQILTTGEYSVKIFPGSKVKGVELPDTPQGLIA EARKLNASIVTSFVELPLVSNVSLRASSCGGVFQTTPAPVVTTPPKDTCSPVLLMSLIQP KCGNQVMTLALNKKHVQTLQCTITGLTFWDSSCQAEDTDDHLVLSSAYSSCGMKVTAHVV SNEVIISFPSGSPPLRKKVQCIDMDSLSFQLGLYLSPHFLQASNTIELGQQAFVQVSVSP LTSEVTVQLDSCHLDLGPEGDMVELIQSRTAKGSCVTLLSPSPEGDPRFSFLLRVYMVPT PTAGTLSCNLALRPSTLSQEVYKTVSMRLNIVSPDLSGKGLVLPSVLGITFGAFLIGALL TAALWYIYSHTRGPSKREPVVAVAAPASSESSSTNHSIGSTQSTPCSTSSMA >ENSMUSP00000122186.1 pep:known chromosome:GRCm38:2:32673366:32681255:1 gene:ENSMUSG00000026814.16 transcript:ENSMUST00000156306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eng description:endoglin [Source:MGI Symbol;Acc:MGI:95392] XTSFVELPLVSNVSLRASSCGGVFQTTPAPVVTTPPKDTCSPVLLMSLIQPKCGNQVMTL ALNKKHVQTLQCTITGLTFWDSSCQAEDTDDHLVLSSAYSSCGMKVTAHVVSNEVIISFP SGSPPLRVRMVVRRFLLSLRSDRPPPRVLPQKKVQCIDMDSLSFQLGLYLSPHFLQASNT IELGQQAFVQVSVSPLTSEVTVQLDSCHLDLGPEGDMVELIQSRTAKGSCVTLLSPSPEG DPRFSFLLRVYMVPTPTAGTLSCNLALRPSTLSQEVYKTVSMRLNIVSPDLSGKGLVLPS VLGITFGAFLIG >ENSMUSP00000108897.2 pep:known chromosome:GRCm38:2:32646595:32682118:1 gene:ENSMUSG00000026814.16 transcript:ENSMUST00000113272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eng description:endoglin [Source:MGI Symbol;Acc:MGI:95392] MDRGVLPLPITLLFVIYSFVPTTGLAERVGCDLQPVDPTRGEVTFTTSQVSEGCVAQAAN AVREVHVLFLDFPGMLSHLELTLQASKQNGTETQEVFLVLVSNKNVFVKFQAPEIPLHLA YDSSLVIFQGQPRVNITVLPSLTSRKQILDWAATKGAITSIAALDDPQSIVLQLGQDPKA PFLCLPEAHKDMGATLEWQPRAQTPVQSCRLEGVSGHKEAYILRILPGSEAGPRTVTVMM ELSCTSGDAILILHGPPYVSWFIDINHSMQILTTGEYSVKIFPGSKVKGVELPDTPQGLI AEARKLNASIVTSFVELPLVSNVSLRASSCGGVFQTTPAPVVTTPPKDTCSPVLLMSLIQ PKCGNQVMTLALNKKHVQTLQCTITGLTFWDSSCQAEDTDDHLVLSSAYSSCGMKVTAHV VSNEVIISFPSGSPPLRKKVQCIDMDSLSFQLGLYLSPHFLQASNTIELGQQAFVQVSVS PLTSEVTVQLDSCHLDLGPEGDMVELIQSRTAKGSCVTLLSPSPEGDPRFSFLLRVYMVP TPTAGTLSCNLALRPSTLSQEVYKTVSMRLNIVSPDLSGKGLVLPSVLGITFGAFLIGAL LTAALWYIYSHTREYPKPPPHSHSKRSGPVHTTPGHTQWSL >ENSMUSP00000009705.7 pep:known chromosome:GRCm38:2:32646595:32682658:1 gene:ENSMUSG00000026814.16 transcript:ENSMUST00000009705.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eng description:endoglin [Source:MGI Symbol;Acc:MGI:95392] MDRGVLPLPITLLFVIYSFVPTTGLAERVGCDLQPVDPTRGEVTFTTSQVSEGCVAQAAN AVREVHVLFLDFPGMLSHLELTLQASKQNGTETQEVFLVLVSNKNVFVKFQAPEIPLHLA YDSSLVIFQGQPRVNITVLPSLTSRKQILDWAATKGAITSIAALDDPQSIVLQLGQDPKA PFLCLPEAHKDMGATLEWQPRAQTPVQSCRLEGVSGHKEAYILRILPGSEAGPRTVTVMM ELSCTSGDAILILHGPPYVSWFIDINHSMQILTTGEYSVKIFPGSKVKGVELPDTPQGLI AEARKLNASIVTSFVELPLVSNVSLRASSCGGVFQTTPAPVVTTPPKDTCSPVLLMSLIQ PKCGNQVMTLALNKKHVQTLQCTITGLTFWDSSCQAEDTDDHLVLSSAYSSCGMKVTAHV VSNEVIISFPSGSPPLRKKVQCIDMDSLSFQLGLYLSPHFLQASNTIELGQQAFVQVSVS PLTSEVTVQLDSCHLDLGPEGDMVELIQSRTAKGSCVTLLSPSPEGDPRFSFLLRVYMVP TPTAGTLSCNLALRPSTLSQEVYKTVSMRLNIVSPDLSGKGLVLPSVLGITFGAFLIGAL LTAALWYIYSHTRGPSKREPVVAVAAPASSESSSTNHSIGSTQSTPCSTSSMA >ENSMUSP00000126961.2 pep:known chromosome:GRCm38:1:39900913:40026310:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000163854.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] MANDSPAKSLVDIDLSSLRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKLEINMLKKYSHHRNIATYYGAFIKKSPPGHDDQLWLVMEFCGAGSITDLVKNT KGNTLKEDWIAYISREILRGLAHLHIHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYMQRPSTEQLLKHPFIRDQPNERQVRI QLKDHIDRTRKKRGEKDETEYEYSGSEEEEEEVPEQEGEPSSIVNVPGESTLRRDFLRLQ QENKERSEALRRQQLLQEQQLREQEEYKRQLLAERQKRIEQQKEQRRRLEEQQRREREAR RQQEREQRRREQEEKRRLEELERRRKEEEERRRAEEEKRRVEREQEYIRRQLEEEQRHLE ILQQQLLQEQAMLLHDHRRPHAQQQPPPPQQQDRSKPSFHAPEPKPHYDPADRAREVEDR FRKTNHSSPEAQAKQTGRGLEPPVPSRSESFSNGNSESVHPALQRPAEPQVQWSHLASLK NNVSPVSRSHSFSDPSPKFAHHHLRSQDPCPPSRSEGLSQSSDSKSEVPEPTQKAWSRSD SDEVPPRVPVRTTSRSPVLSRRDSPLQGGGQQNSQAGQRNSTSSIEPRLLWERVEKLVPR PGSGSSSGSSNSGSQPGSHPGSQSGSGERFRVRSSSKSEGSPSPRQESAAKKPDDKKEVF RPLKPAVSTDLTALAKELRAVEDVRPPHKVTDYSSSSEESGTTDEEEEDVEQEGADDSTS GPEDTRAASSPNLSNGETESVKTMIVHDDVESEPAMTPSKEGTLIVRQTQSASSTLQKHK SSSSFTPFIDPRLLQISPSSGTTVTSVVGFSCDGLRPEAIRQDPTRKGSVVNVNPTNTRP QSDTPEIRKYKKRFNSEILCAALWGVNLLVGTESGLMLLDRSGQGKVYPLISRRRFQQMD VLEGLNVLVTISGKKDKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDLEGCVHYKVVKY ERIKFLVIALKSSVEVYAWAPKPYHKFMAFKSFGELLHKPLLVDLTVEEGQRLKVIYGSC AGFHAVDVDSGSVYDIYLPTHIQCSIKPHAIIILPNTDGMELLVCYEDEGVYVNTYGRIT KDVVLQWGEMPTSVAYIRSNQTMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERND KVFFASVRSGGSSQVYFMTLGRTSLLSW >ENSMUSP00000141956.1 pep:known chromosome:GRCm38:1:39999893:40006742:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000192355.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] XIRRQLEEEQRHLEILQQQLLQEQAMLLECRWREMEEHRQAERLQRQLQQEQAYLLSLQH DHRRPHAQQQPPPPQQQDRSKPSFHAPEPKPHYDPADRAREVQWSHLASLKNNVSPVSRS HSFSDPSPKFAHHHLRSQDPCPPSRSEGLSQSSDSKSEVPEPTQKAWSRSDSDEVPPRVP VRTTSRSPVLSRRDSPLQGGGQQNSQAGQRNSTSSIEPRLLWERVEKLVPRPGSGSSS >ENSMUSP00000141235.1 pep:known chromosome:GRCm38:1:39999894:40004910:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000191964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] IRRQLEEEQRHLEILQQQLLQEQAMLLECRWREMEEHRQAERLQRQLQQEQAYLLSLQHD HRRPHAQQQPPPPQQQDRSKPSFHAPEPKPHYDPADRAREWSHLASLKNNVSPVSRSHSF SDPSPKFAHHHLRSQDPCPPSRSEGLSQSSDSKSEVPEPTQKAWSRSDSDEVPPRVPVRT TSRSPVLSRRDSPLQGGGQQNSQAGQRNST >ENSMUSP00000141573.1 pep:known chromosome:GRCm38:1:40000677:40010657:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000191865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] XDRSKPSFHAPEPKPHYDPADRAREVPVRTTSRSPVLSRRDSPLQGGGQQNSQAGQRNST SSIEPRLLWERVEKLVPRPGSGSSSGSSNSGSQPGSHPGSQSGSGERFRVRSSSKSEGSP SPRQESAAKKPDDKKEVFRPLKPADLTALAKELRAVEDVRPPHKVTDYSSSSEESGTTDE EEEDVEQEGADDSTSGPEDTRAASSPNLSNGETESVKTMIVHDDVESEPAMTPSKE >ENSMUSP00000141665.1 pep:known chromosome:GRCm38:1:39901230:40024925:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000192509.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] MANDSPAKSLVDIDLSSLRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKLEINMLKKYSHHRNIATYYGAFIKKSPPGHDDQLWLVMEFCGAGSITDLVKNT KGNTLKEDWIAYISREILRGLAHLHIHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYMQRPSTEQLLKHPFIRDQPNERQVRI QLKDHIDRTRKKRGEKDETEYEYSGSEEEEEEVPEQEGEPSSIVNVPGESTLRRDFLRLQ QENKERSEALRRQQLLQEQQLREQEEYKRQLLAERQKRIEQQKEQRRRLEEQQRREREAR RQQEREQRRREQEEKRRLEELERRRKEEEERRRAEEEKRRVEREQEYIRRQLEEEQRHLE ILQQQLLQEQAMLLHDHRRPHAQQQPPPPQQQDRSKPSFHAPEPKPHYDPADRAREVQWS HLASLKNNVSPVSRSHSFSDPSPKFAHHHLRSQDPCPPSRSEGLSQSSDSKSEVPEPTQK AWSRSDSDEVPPRVPVRTTSRSPVLSRRDSPLQGGGQQNSQAGQRNSTSSIEPRLLWERV EKLVPRPGSGSSSGSSNSGSQPGSHPGSQSGSGERFRVRSSSKSEGSPSPRQESAAKKPD DKKEVFRPLKPAGEVDLTALAKELRAVEDVRPPHKVTDYSSSSEESGTTDEEEEDVEQEG ADDSTSGPEDTRAASSPNLSNGETESVKTMIVHDDVESEPAMTPSKEGTLIVRQTQSASS TLQKHKSSSSFTPFIDPRLLQISPSSGTTVTSVVGFSCDGLRPEAIRQDPTRKGSVVNVN PTNTRPQSDTPEIRKYKKRFNSEILCAALWGVNLLVGTESGLMLLDRSGQGKVYPLISRR RFQQMDVLEGLNVLVTISGKKDKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDLEGCVH YKVVKYERIKFLVIALKSSVEVYAWAPKPYHKFMAFKSFGELLHKPLLVDLTVEEGQRLK VIYGSCAGFHAVDVDSGSVYDIYLPTHIQCSIKPHAIIILPNTDGMELLVCYEDEGVYVN TYGRITKDVVLQWGEMPTSVAYIRSNQTMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKF LCERNDKVFFASVRSGGSSQVYFMTLGRTSLLSW >ENSMUSP00000141613.1 pep:known chromosome:GRCm38:1:39901224:40024969:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000195636.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] MANDSPAKSLVDIDLSSLRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKLEINMLKKYSHHRNIATYYGAFIKKSPPGHDDQLWLVMEFCGAGSITDLVKNT KGNTLKEDWIAYISREILRGLAHLHIHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYMQRPSTEQLLKHPFIRDQPNERQVRI QLKDHIDRTRKKRGEKDETEYEYSGSEEEEEEVPEQEGEPSSIVNVPGESTLRRDFLRLQ QENKERSEALRRQQLLQEQQLREQEEYKRQLLAERQKRIEQQKEQRRRLEEQQRREREAR RQQEREQRRREQEEKRRLEELERRRKEEEERRRAEEEKRRVEREQEYIRRQLEEEQRHLE ILQQQLLQEQAMLLHDHRRPHAQQQPPPPQQQDRSKPSFHAPEPKPHYDPADRAREVEDR FRKTNHSSPEAQAKQTGRGLEPPVPSRSESFSNGNSESVHPALQRPAEPQVPVRTTSRSP VLSRRDSPLQGGGQQNSQAGQRNSTSSIEPRLLWERVEKLVPRPGSGSSSGSSNSGSQPG SHPGSQSGSGERFRVRSSSKSEGSPSPRQESAAKKPDDKKEVFRPLKPADLTALAKELRA VEDVRPPHKVTDYSSSSEESGTTDEEEEDVEQEGADDSTSGPEDTRAASSPNLSNGETES VKTMIVHDDVESEPAMTPSKEGTLIVRQSTVDQKRASHHESNGFAGRIHLLPDLLQQSHS SSTSSTSSSPSSSQPTPTMSPQTPQDKLTANETQSASSTLQKHKSSSSFTPFIDPRLLQI SPSSGTTVTSVVGFSCDGLRPEAIRQDPTRKGSVVNVNPTNTRPQSDTPEIRKYKKRFNS EILCAALWGVNLLVGTESGLMLLDRSGQGKVYPLISRRRFQQMDVLEGLNVLVTISGKKD KLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDLEGCVHYKVVKYERIKFLVIALKSSVEV YAWAPKPYHKFMAFKSFGELLHKPLLVDLTVEEGQRLKVIYGSCAGFHAVDVDSGSVYDI YLPTHIQCSIKPHAIIILPNTDGMELLVCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAY IRSNQTMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVY FMTLGRTSLLSW >ENSMUSP00000141400.1 pep:known chromosome:GRCm38:1:39901179:40024754:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000195860.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] MANDSPAKSLVDIDLSSLRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKLEINMLKKYSHHRNIATYYGAFIKKSPPGHDDQLWLVMEFCGAGSITDLVKNT KGNTLKEDWIAYISREILRGLAHLHIHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYMQRPSTEQLLKHPFIRDQPNERQVRI QLKDHIDRTRKKRGEKDETEYEYSGSEEEEEEVPEQEGEPSSIVNVPGESTLRRDFLRLQ QENKERSEALRRQQLLQEQQLREQEEYKRQLLAERQKRIEQQKEQRRRLEEQQRREREAR RQQEREQRRREQEEKRRLEELERRRKEEEERRRAEEEKRRVEREQEYIRRQLEEEQRHLE ILQQQLLQEQAMLLHDHRRPHAQQQPPPPQQQDRSKPSFHAPEPKPHYDPADRAREVEDR FRKTNHSSPEAQAKQTGRGLEPPVPSRSESFSNGNSESVHPALQRPAEPQVQWSHLASLK NNVSPVSRSHSFSDPSPKFAHHHLRSQDPCPPSRSEGLSQSSDSKSEVPEPTQKAWSRSD SDEVPPRVPVRTTSRSPVLSRRDSPLQGGGQQNSQAGQRNSTSSIEPRLLWERVEKLVPR PGSGSSSGSSNSGSQPGSHPGSQSGSGERFRVRSSSKSEGSPSPRQESAAKKPDDKKEVF RPLKPAGEVDLTALAKELRAVEDVRPPHKVTDYSSSSEESGTTDEEEEDVEQEGADDSTS GPEDTRAASSPNLSNGETESVKTMIVHDDVESEPAMTPSKEGTLIVRQTQSASSTLQKHK SSSSFTPFIDPRLLQISPSSGTTVTSVVGFSCDGLRPEAIRQDPTRKGSVVNVNPTNTRP QSDTPEIRKYKKRFNSEILCAALWGVNLLVGTESGLMLLDRSGQGKVYPLISRRRFQQMD VLEGLNVLVTISGKKDKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDLEGCVHYKVVKY ERIKFLVIALKSSVEVYAWAPKPYHKFMAFKSFGELLHKPLLVDLTVEEGQRLKVIYGSC AGFHAVDVDSGSVYDIYLPTHIQCSIKPHAIIILPNTDGMELLVCYEDEGVYVNTYGRIT KDVVLQWGEMPTSVAYIRSNQTMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERND KVFFASVRSGGSSQVYFMTLGRTSLLSW >ENSMUSP00000142056.1 pep:known chromosome:GRCm38:1:39901180:40024754:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000195259.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] MANDSPAKSLVDIDLSSLRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKLEINMLKKYSHHRNIATYYGAFIKKSPPGHDDQLWLVMEFCGAGSITDLVKNT KGNTLKEDWIAYISREILRGLAHLHIHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYMQRPSTEQLLKHPFIRDQPNERQVRI QLKDHIDRTRKKRGEKDETEYEYSGSEEEEEEVPEQEGEPSSIVNVPGESTLRRDFLRLQ QENKERSEALRRQQLLQEQQLREQEEYKRQLLAERQKRIEQQKEQRRRLEEQQRREREAR RQQEREQRRREQEEKRRLEELERRRKEEEERRRAEEEKRRVEREQEYIRRQLEEEQRHLE ILQQQLLQEQAMLLHDHRRPHAQQQPPPPQQQDRSKPSFHAPEPKPHYDPADRAREVEDR FRKTNHSSPEAQAKQTGRGLEPPVPSRSESFSNGNSESVHPALQRPAEPQVPVRTTSRSP VLSRRDSPLQGGGQQNSQAGQRNSTSSIEPRLLWERVEKLVPRPGSGSSSGSSNSGSQPG SHPGSQSGSGERFRVRSSSKSEGSPSPRQESAAKKPDDKKEVFRPLKPADLTALAKELRA VEDVRPPHKVTDYSSSSEESGTTDEEEEDVEQEGADDSTSGPEDTRAASSPNLSNGETES VKTMIVHDDVESEPAMTPSKEGTLIVRQTQSASSTLQKHKSSSSFTPFIDPRLLQISPSS GTTVTSVVGFSCDGLRPEAIRQDPTRKGSVVNVNPTNTRPQSDTPEIRKYKKRFNSEILC AALWGVNLLVGTESGLMLLDRSGQGKVYPLISRRRFQQMDVLEGLNVLVTISGKKDKLRV YYLSWLRNKILHNDPEVEKKQGWTTVGDLEGCVHYKVVKYERIKFLVIALKSSVEVYAWA PKPYHKFMAFKSFGELLHKPLLVDLTVEEGQRLKVIYGSCAGFHAVDVDSGSVYDIYLPT HIQCSIKPHAIIILPNTDGMELLVCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAYIRSN QTMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVYFMTL GRTSLLSW >ENSMUSP00000141862.1 pep:known chromosome:GRCm38:1:39901179:40024754:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000193682.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] MANDSPAKSLVDIDLSSLRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKLEINMLKKYSHHRNIATYYGAFIKKSPPGHDDQLWLVMEFCGAGSITDLVKNT KGNTLKEDWIAYISREILRGLAHLHIHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYMQRPSTEQLLKHPFIRDQPNERQVRI QLKDHIDRTRKKRGEKDETEYEYSGSEEEEEEVPEQEGEPSSIVNVPGESTLRRDFLRLQ QENKERSEALRRQQLLQEQQLREQEEYKRQLLAERQKRIEQQKEQRRRLEEQQRREREAR RQQEREQRRREQEEKRRLEELERRRKEEEERRRAEEEKRRVEREQEYIRRQLEEEQRHLE ILQQQLLQEQAMLLHDHRRPHAQQQPPPPQQQDRSKPSFHAPEPKPHYDPADRAREVPVR TTSRSPVLSRRDSPLQGGGQQNSQAGQRNSTSSIEPRLLWERVEKLVPRPGSGSSSGSSN SGSQPGSHPGSQSGSGERFRVRSSSKSEGSPSPRQESAAKKPDDKKEVFRPLKPAGEVDL TALAKELRAVEDVRPPHKVTDYSSSSEESGTTDEEEEDVEQEGADDSTSGPEDTRAASSP NLSNGETESVKTMIVHDDVESEPAMTPSKEGTLIVRQSTVDQKRASHHESNGFAGRIHLL PDLLQQSHSSSTSSTSSSPSSSQPTPTMSPQTPQDKLTANETQSASSTLQKHKSSSSFTP FIDPRLLQISPSSGTTVTSVVGFSCDGLRPEAIRQDPTRKGSVVNVNPTNTRPQSDTPEI RKYKKRFNSEILCAALWGVNLLVGTESGLMLLDRSGQGKVYPLISRRRFQQMDVLEGLNV LVTISGKKDKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDLEGCVHYKVVKYERIKFLV IALKSSVEVYAWAPKPYHKFMAFKSFGELLHKPLLVDLTVEEGQRLKVIYGSCAGFHAVD VDSGSVYDIYLPTHIQCSIKPHAIIILPNTDGMELLVCYEDEGVYVNTYGRITKDVVLQW GEMPTSVAYIRSNQTMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASV RSGGSSQVYFMTLGRTSLLSW >ENSMUSP00000141332.1 pep:known chromosome:GRCm38:1:39901170:39990278:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000191761.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] MANDSPAKSLVDIDLSSLRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKLEINMLKKYSHHRNIATYYGAFIKKSPPGHDDQLWLVMEFCGAGSITDLVKNT KGNTLKEDWIAYISREILRGLAHLHIHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYMQRPSTEQLLKHPFIRDQPNERQVRI QLKDHIDRTRKKRGEKDETEYEYSGSEEEEEEVPEQEGEPSSIVNVPGESTLRRDFLRLQ QENKERSEALRRQQLLQEQQLREQEEYKRQLLAERQKRIEQQKE >ENSMUSP00000129796.2 pep:known chromosome:GRCm38:1:39900913:40026310:1 gene:ENSMUSG00000026074.14 transcript:ENSMUST00000168431.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k4 description:mitogen-activated protein kinase kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1349394] MANDSPAKSLVDIDLSSLRDPAGIFELVEVVGNGTYGQVYKGRHVKTGQLAAIKVMDVTE DEEEEIKLEINMLKKYSHHRNIATYYGAFIKKSPPGHDDQLWLVMEFCGAGSITDLVKNT KGNTLKEDWIAYISREILRGLAHLHIHHVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDYRSDLWSCGITAIEMAEGAPPLCDMHPMR ALFLIPRNPPPRLKSKKWSKKFFSFIEGCLVKNYMQRPSTEQLLKHPFIRDQPNERQVRI QLKDHIDRTRKKRGEKDETEYEYSGSEEEEEEVPEQEGEPSSIVNVPGESTLRRDFLRLQ QENKERSEALRRQQLLQEQQLREQEEYKRQLLAERQKRIEQQKEQRRRLEEQQRREREAR RQQEREQRRREQEEKRRLEELERRRKEEEERRRAEEEKRRVEREQEYIRRQLEEEQRHLE ILQQQLLQEQAMLLECRWREMEEHRQAERLQRQLQQEQAYLLSLQHDHRRPHAQQQPPPP QQQDRSKPSFHAPEPKPHYDPADRAREVEDRFRKTNHSSPEAQAKQTGRGLEPPVPSRSE SFSNGNSESVHPALQRPAEPQVPVRTTSRSPVLSRRDSPLQGGGQQNSQAGQRNSTSIEP RLLWERVEKLVPRPGSGSSSGSSNSGSQPGSHPGSQSGSGERFRVRSSSKSEGSPSPRQE SAAKKPDDKKEVFRPLKPADLTALAKELRAVEDVRPPHKVTDYSSSSEESGTTDEEEEDV EQEGADDSTSGPEDTRAASSPNLSNGETESVKTMIVHDDVESEPAMTPSKEGTLIVRQTQ SASSTLQKHKSSSSFTPFIDPRLLQISPSSGTTVTSVVGFSCDGLRPEAIRQDPTRKGSV VNVNPTNTRPQSDTPEIRKYKKRFNSEILCAALWGVNLLVGTESGLMLLDRSGQGKVYPL ISRRRFQQMDVLEGLNVLVTISGKKDKLRVYYLSWLRNKILHNDPEVEKKQGWTTVGDLE GCVHYKVVKYERIKFLVIALKSSVEVYAWAPKPYHKFMAFKSFGELLHKPLLVDLTVEEG QRLKVIYGSCAGFHAVDVDSGSVYDIYLPTHIQCSIKPHAIIILPNTDGMELLVCYEDEG VYVNTYGRITKDVVLQWGEMPTSVAYIRSNQTMGWGEKAIEIRSVETGHLDGVFMHKRAQ RLKFLCERNDKVFFASVRSGGSSQVYFMTLGRTSLLSW >ENSMUSP00000135023.1 pep:known chromosome:GRCm38:9:50808679:50843362:1 gene:ENSMUSG00000032059.13 transcript:ENSMUST00000175728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg9 description:asparagine-linked glycosylation 9 (alpha 1,2 mannosyltransferase) [Source:MGI Symbol;Acc:MGI:1924753] XQLQFIPSEFRGQLPKPFAEGPLATRTVPTHMNDQNREEPSRYVFQVASGILCSLPVRSV HGVCELHHPEASESKAEQEEKWRLAPR >ENSMUSP00000134818.1 pep:known chromosome:GRCm38:9:50802069:50842763:1 gene:ENSMUSG00000032059.13 transcript:ENSMUST00000177320.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg9 description:asparagine-linked glycosylation 9 (alpha 1,2 mannosyltransferase) [Source:MGI Symbol;Acc:MGI:1924753] AVALSALQKCYHFVFQRYRLEHYTVTSNWLALGTVFLFGLLSFSRSVALFRGYHGPLDLY PEFYRIATDPTIHTVPEGRPVNVCVGKEWYRFPSSFLLPDKLTSANAIT >ENSMUSP00000125425.1 pep:known chromosome:GRCm38:9:50775305:50843542:1 gene:ENSMUSG00000032059.13 transcript:ENSMUST00000162073.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg9 description:asparagine-linked glycosylation 9 (alpha 1,2 mannosyltransferase) [Source:MGI Symbol;Acc:MGI:1924753] MASRRARQRLKGGGGGGGGGGDAGPAAEKLEQLGSREAGAEPRPESGNKAGQVWAPEGST AFKCLLSARLCAALLSNISDCDETFNYWEPTHYLIYGKGFQTWEYSPVYAIRSYAYLLLH AWPAAFHARILQTNKGCVQEVWAACESNDAGLPGAQHGHVLLIICIPPQQLLHVHHADRH DGMVYGQDTHCCAGSSSWCHLRVAV >ENSMUSP00000123711.1 pep:known chromosome:GRCm38:9:50775367:50789515:1 gene:ENSMUSG00000032059.13 transcript:ENSMUST00000159576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg9 description:asparagine-linked glycosylation 9 (alpha 1,2 mannosyltransferase) [Source:MGI Symbol;Acc:MGI:1924753] MASRRARQRLKGGGGGGGGGGDAGPAAEKLEQLGSREAGAEPRPESGNKAGQVWAPEGST AFKCLLSARLCAALLSNISDCDETFNYWEPTHYLIYGKGFQTWEYSPVYAIRSYAYLLLH AWPAAFHARILQTNKILVFYFLRCLLAFVSCVCELYFYKSLVHFGGFPPTSYFPRLSVSI LSAGPQGFLLCQEAVCKKFGLHVSRMMLAFLVLSTGMFCSSSAFLPSS >ENSMUSP00000034561.4 pep:known chromosome:GRCm38:9:50775019:50843528:1 gene:ENSMUSG00000032059.13 transcript:ENSMUST00000034561.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg9 description:asparagine-linked glycosylation 9 (alpha 1,2 mannosyltransferase) [Source:MGI Symbol;Acc:MGI:1924753] MASRRARQRLKGGGGGGGGGGDAGPAAEKLEQLGSREAGAEPRPESGNKAGQVWAPEGST AFKCLLSARLCAALLSNISDCDETFNYWEPTHYLIYGKGFQTWEYSPVYAIRSYAYLLLH AWPAAFHARILQTNKILVFYFLRCLLAFVSCVCELYFYKAVCKKFGLHVSRMMLAFLVLS TGMFCSSSAFLPSSFCMYTTLIAMTGWYMDKTPIAVLGVAAGAILGWPFSAALGLPIAFD LLARKHRWKSFLLWSLVALALFLVPVVVIDSYYYGKLVVAPLNIVLYNVFTSHGPDLYGT EPWYFYLINGFLNFNVAFALALLVLPLTFLMEYLLQRFHVQNLGHPYWLTLAPMYIWFII FFIQPHKEERFLFPVYPLICLCGAVALSALQKCYHFVFQRYRLEHYTVTSNWLALGTVFL FGLLSFSRSVALFRGYHGPLDLYPEFYRIATDPTIHTVPEGRPVNVCVGKEWYRFPSSFL LPDNWQLQFIPSEFRGQLPKPFAEGPLATRTVPTHMNDQNREEPSRYIDISKCHYLVDLD TMRETPREPNYSSHREEWVSLAHRPFLDASRSSKLLRAFYVPFLSDQYTVYVNYTILKPR KAKPSRKKSGG >ENSMUSP00000101109.1 pep:known chromosome:GRCm38:10:54005161:54075736:-1 gene:ENSMUSG00000003746.15 transcript:ENSMUST00000105469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man1a description:mannosidase 1, alpha [Source:MGI Symbol;Acc:MGI:104677] MPVGGLLPLFSSPGGGGLGSGLGGGLGGGRKGSGPAAFRLTEKFVLLLVFSAFITLCFGA IFFLPDSSKLLSGVLFHSNPALQPPAEHKPGLGARAEDAAEGRVRHREEGAPGDPGAGLE DNLARIRENHERALREAKETLQKLPEEIQRDILLEKEKVAQDQLRDKDLFRGLPKVDFLP PVGVENREPADATIREKRAKIKEMMTHAWNNYKRYAWGLNELKPISKEGHSSSLFGNIKG ATIVDALDTLFIMGMKTEFQEAKSWIKKYLDFNVNAEVSVFEVNIRFVGGLLSAYYLSGE EFKTTSLQNGTFHLKLSRNGGRSHLSLQQTVSDSAWSTKQPLWTFCFNEKIKLQKLKLEN LNRLIKNKQTNKNRYF >ENSMUSP00000101110.1 pep:known chromosome:GRCm38:10:53907015:54075709:-1 gene:ENSMUSG00000003746.15 transcript:ENSMUST00000105470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man1a description:mannosidase 1, alpha [Source:MGI Symbol;Acc:MGI:104677] MPVGGLLPLFSSPGGGGLGSGLGGGLGGGRKGSGPAAFRLTEKFVLLLVFSAFITLCFGA IFFLPDSSKLLSGVLFHSNPALQPPAEHKPGLGARAEDAAEGRVRHREEGAPGDPGAGLE DNLARIRENHERALREAKETLQKLPEEIQRDILLEKEKVAQDQLRDKDLFRGLPKVDFLP PVGVENREPADATIREKRAKIKEMMTHAWNNYKRYAWGLNELKPISKEGHSSSLFGNIKG ATIVDALDTLFIMGMKTEFQEAKSWIKKYLDFNVNAEVSVFEVNIRFVGGLLSAYYLSGE EIFRKKAVELGVKLLPAFHTPSGIPWALLNMKSGIGRNWPWASGGSSILAEFGTLHLEFM HLSHLSGDPVFAEKVMKIRTVLNKLDKPEGLYPNYLNPSSGQWGQHHVSVGGLGDSFYEY LLKAWLMSDKTDLEAKKMYFDAVQAIETHLIRKSSGGLTYIAEWKGGLLEHKMGHLTCFA GGMFALGADGAPEARAQHYLELGAEIARTCHESYNRTYVKLGPEAFRFDGGVEAIATRQN EKYYILRPEVIETYMYMWRLTHDPKYRTWAWEAVEALESHCRVNGGYSGLRDVYIARESY DDVQQSFFLAETLKYLYLIFSDDDLLPLEHWIFNTEAHPFPILREQKKEIDGKEK >ENSMUSP00000003843.8 pep:known chromosome:GRCm38:10:53904788:54075796:-1 gene:ENSMUSG00000003746.15 transcript:ENSMUST00000003843.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man1a description:mannosidase 1, alpha [Source:MGI Symbol;Acc:MGI:104677] MPVGGLLPLFSSPGGGGLGSGLGGGLGGGRKGSGPAAFRLTEKFVLLLVFSAFITLCFGA IFFLPDSSKLLSGVLFHSNPALQPPAEHKPGLGARAEDAAEGRVRHREEGAPGDPGAGLE DNLARIRENHERALREAKETLQKLPEEIQRDILLEKEKVAQDQLRDKDLFRGLPKVDFLP PVGVENREPADATIREKRAKIKEMMTHAWNNYKRYAWGLNELKPISKEGHSSSLFGNIKG ATIVDALDTLFIMGMKTEFQEAKSWIKKYLDFNVNAEVSVFEVNIRFVGGLLSAYYLSGE EIFRKKAVELGVKLLPAFHTPSGIPWALLNMKSGIGRNWPWASGGSSILAEFGTLHLEFM HLSHLSGDPVFAEKVMKIRTVLNKLDKPEGLYPNYLNPSSGQWGQHHVSVGGLGDSFYEY LLKAWLMSDKTDLEAKKMYFDAVQAIETHLIRKSSGGLTYIAEWKGGLLEHKMGHLTCFA GGMFALGADGAPEARAQHYLELGAEIARTCHESYNRTYVKLGPEAFRFDGGVEAIATRQN EKYYILRPEVIETYMYMWRLTHDPKYRTWAWEAVEALESHCRVNGGYSGLRDVYIARESY DDVQQSFFLAETLKYLYLIFSDDDLLPLEHWIFNTEAHPFPILREQKKEIDGKEK >ENSMUSP00000135679.1 pep:known chromosome:GRCm38:9:50763857:50768160:-1 gene:ENSMUSG00000037971.10 transcript:ENSMUST00000176238.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110032A03Rik description:RIKEN cDNA 1110032A03 gene [Source:MGI Symbol;Acc:MGI:1915971] MAVSCSLNHSTYLQRQNLVCYLRNPHYGSLIYADGHGEVWTDWNDMSKFLQYGWRCTTNE NSYSNRTLFGHAFETTYDANYSRKKPQSTHRFKREPHWFPGHQPELDPPHYKCTAKSTYM TNYSEPQPTHYSCCVYDPSVSQS >ENSMUSP00000041803.2 pep:known chromosome:GRCm38:9:50762828:50768452:-1 gene:ENSMUSG00000037971.10 transcript:ENSMUST00000042468.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110032A03Rik description:RIKEN cDNA 1110032A03 gene [Source:MGI Symbol;Acc:MGI:1915971] MAVSCSLNHSTYLQRQNLVCYLRNPHYGSLIYADGHGEVWTDWNDMSKFLQYGWRCTTNE NSYSNRTLVGNWNQERYDLKNIVKPKPLPSQFGHAFETTYDANYSRKKPQSTHRFKREPH WFPGHQPELDPPHYKCTAKSTYMTNYSEPQPTHYSCCVYDPSVSQS >ENSMUSP00000134870.1 pep:known chromosome:GRCm38:9:50763701:50768121:-1 gene:ENSMUSG00000037971.10 transcript:ENSMUST00000177546.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110032A03Rik description:RIKEN cDNA 1110032A03 gene [Source:MGI Symbol;Acc:MGI:1915971] MAVSCSLNHSTYLQFGHAFETTYDANYSRKKPQSTHRFKREPHWFPGHQPELDPPHYKCT AKSTYMTNYSEPQPTHYSCCVYDPSVSQS >ENSMUSP00000046890.4 pep:known chromosome:GRCm38:9:50763703:50766102:-1 gene:ENSMUSG00000037971.10 transcript:ENSMUST00000042576.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110032A03Rik description:RIKEN cDNA 1110032A03 gene [Source:MGI Symbol;Acc:MGI:1915971] MELPYRNRFYSLRCSSKSLYSPSSYTSIIQRQNLVCYLRNPHYGSLIYADGHGEVWTDWN DMSKFLQYGWRCTTNENSYSNRTLVGNWNQERYDLKNIVKPKPLPSQFGHAFETTYDANY SRKKPQSTHRFKREPHWFPGHQPELDPPHYKCTAKSTYMTNYSEPQPTHYSCCVYDPSVS QS >ENSMUSP00000135658.1 pep:known chromosome:GRCm38:9:50768445:50772643:1 gene:ENSMUSG00000037845.14 transcript:ENSMUST00000176335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdxacb1 description:ferredoxin-fold anticodon binding domain containing 1 [Source:MGI Symbol;Acc:MGI:3584513] MAALGGFILSDVCPFSCEAVPGYKCTGYRRFLEASSCHPIRTINEKLIAELGKTFPLKRL KCPLPLLSRGGPSVFLPATCDLLPTFWIRLHEDNSCSEFQNGEITQEMEEIPVSVSECTL PESPVRDGCKGAQEGICGRVKLGLRPSLLVHVEAVIHSPDFLPASLHVLSGPVFRKCHIL PFMMPAFHETLFILGFDNNMKESCLPSLLGHLKDALGNLLTQTLQEGSSLGTSVEFVLQP NGKDYIIHVKSLNFGPDCAENLIIGSILTSKIVKHKHQCFVFVSINLDLLVMLAYGISDW RILWTFDNRFLKRFAPGKIEHFKSYSLYPPCYVHDVSFWVDEKKAFDELEFHTVARAVSQ DTVVSVQYRDRFQHPETRQVSLCYRLTYQTCDKALTPQLAAAMQSQFRKEIQRQLHVSPR >ENSMUSP00000135579.1 pep:known chromosome:GRCm38:9:50770062:50771537:1 gene:ENSMUSG00000037845.14 transcript:ENSMUST00000177384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdxacb1 description:ferredoxin-fold anticodon binding domain containing 1 [Source:MGI Symbol;Acc:MGI:3584513] MAALGGFILSDVCPFSCEAVPGYKCTGYRSQDRPFHIEGALTYIFTQSLPFESCQPRTFR VRLEDRWFYFTEPEALPGKLNRRFLEASSCHPIRTINEKLIAELGKTFPLKRLKCPL >ENSMUSP00000037082.6 pep:known chromosome:GRCm38:9:50768236:50773348:1 gene:ENSMUSG00000037845.14 transcript:ENSMUST00000042391.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdxacb1 description:ferredoxin-fold anticodon binding domain containing 1 [Source:MGI Symbol;Acc:MGI:3584513] MLPRRLLLVGEGNFSFAASLIDGLDPSVSVTATGFQHRAALEGDPVALENLKRLRERGVE VRFGVDCTQLSHALPADDRDFDRIYFNFPHCGRKAGVAKNRELLAKFFQSCADVLAKAGE VHVTLCRGQGGTPADKPQREWHNSWQVVAMAALGGFILSDVCPFSCEAVPGYKCTGYRSQ DRPFHIEGALTYIFTQSLPFESCQPRTFRVRLEDRWFYFTEPEALPGKLNRRFLEASSCH PIRTINEKLIAELGKTFPLKRLKCPLPLLSRGGPSVFLPATCDLLPTFWIRLHEDNSCSE FQNGEITQEMEEIPVSVSECTLPESPVRDGCKGAQEGICGRVKLGLRPSLLVHVEAVIHS PDFLPASLHVLSGPVFRKCHILPFMMPAFHETLFILGFDNNMKESCLPSLLGHLKDALGN LLTQTLQEGSSLGTSVEFVLQPNGKDYIIHVKSLNFGPDCAENLIIGSILTSKIVKHKHQ CFVFVSINLDLLVMLAYGISDWRILWTFDNRFLKRFAPGKIEHFKSYSLYPPCYVHDVSF WVDEKKAFDELEFHTVARAVSQDTVVSVQYRDRFQHPETRQVSLCYRLTYQTCDKALTPQ LAAAMQSQFRKEIQRQLHVSPR >ENSMUSP00000135796.1 pep:known chromosome:GRCm38:9:50768251:50771591:1 gene:ENSMUSG00000037845.14 transcript:ENSMUST00000176145.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fdxacb1 description:ferredoxin-fold anticodon binding domain containing 1 [Source:MGI Symbol;Acc:MGI:3584513] MLPRRLLLVGEGNFSFAASLIDGLDPSVSVTATGFQHRAALEGDPVALENLKRLRERGVE VRFGVDCTQLSHALPADDRDFDRIYFNFPHCGRKAGVAKNRELLAKFFQRQEKSM >ENSMUSP00000144779.1 pep:known chromosome:GRCm38:6:142594005:142702273:-1 gene:ENSMUSG00000030249.15 transcript:ENSMUST00000205202.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc9 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 9 [Source:MGI Symbol;Acc:MGI:1352630] MSLSFCGNNISSYNIYYGVLQNPCFVDALNLVPHVFLLFITFPILFIGWGSQSSKVQIHH NTWLHFPGHNLRWILTFALLFVHVCEIAEGIVSDSHRASRHLHLFMPAVMGFVATTTSIV YYHNIETSNFPKLLLALFLYWVMAFITKTIKLVKYWQLGWGVSDLRFCITGVMVILNGLL MAVEINVIRVRRYVFFMNPQKVKPPEDLQDLGVRFLQPFVNLLSKATYWWMNTLIISAHR KPIDLKAIGKLPIAMRAVTNYVCLKEAYEEQKKKAADHPNRTPSIWLAMYRAFGRPILLS STFRYLADLLGFAGPLCISGIVQRVNEKTNTTREMFPETLSSKEFLENAHVLAVLLFLAL ILQRTFLQASYYVTIETGINLRGALLAMIYNKILRLSTSNLSMGEMTLGQINNLVAIETN QLMWFLFLCPNLWAMPVQIIMGVILLYNLLGSSALVGAAVIVLLAPIQYFIATKLAEAQK STLDYSTERLKKTNEILKGIKLLKLYAWEHIFCKSVEETRMKELSSLKTFALYTSLSIFM NAAIPIAAVLATFVTHAYASGNNLKPAEAFASLSLFHILVTPLFLLSTVVRFAVKAIISV QKLNEFLLSDEIGEDSWRAGEGTLPFESCKKHTGVVTNGYFSWGSGLATLSNIDIRIPTG QLTMIVGQVGCGKSSLLLAILGEMQTLEGKVYWNNVNESEPSFEATRSRSRYSVAYAAQK PWLLNATVEENITFGSPFNRQRYKAVTDACSLQPDIDLLPFGDQTEIGERGINLSGGQRQ RICVARALYQNTNIVFLDDPFSALDIHLSDHLMQEGILKFLQDDKRTVVLVTHKLQYLTH ADWIIAMKDGSVLREGTLKDIQTKDVELYEHWKTLMNRQDQELEKDMEADQTTLERKTLR RAMYSREAKAQMEDEDEEEEEEEDEEDNMSTVMRLRTKMPWKTCWWYLTSGGFFLLFLMI FSKLLKHSVIVAIDYWLATWTSEYSINHPGKADQTFYVAGFSILCGAGIFLCLVTSLTVE WMGLTAAKNLHHNLLNKIILGPIRFFDTTPLGLILNRFSADTNIIDQHIPPTLESLTRST LLCLSAIGMISYATPVFLVALAPLGVAFYFIQKYFRVASKDLQELDDSTQLPLLCHFSET AEGLTTIRAFRHETRFKQRMLELTDTNNIAYLFLSAANRWLEVRTDYLGACIVLTASIAS ISGSSNSGLVGLGLLYALTITNYLNWVVRNLADLEVQMGAVKKVNSFLTMESENYEGTMD PSQVPEHWPQEGEIKIHDLCVRYENNLKPVLKHVKAYIKPGQKVGICGRTGSGKSSLSLA FFRMVDIFDGKIVIDGIDISKLPLHTLRSRLSIILQDPILFSGSIRFNLDPECKCTDDRL WEALEIAQLKNMVKSLPGGLDATVTEGGENFSVGQRQLFCLARAFVRKSSILIMDEATAS IDMATENILQKVVMTAFADRTVVTIAHRVSSIVDAGLVLVFSEGILVECDTGPNLLQHKN GLFSTLVMTNK >ENSMUSP00000145489.1 pep:known chromosome:GRCm38:6:142691987:142702315:-1 gene:ENSMUSG00000030249.15 transcript:ENSMUST00000204870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc9 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 9 [Source:MGI Symbol;Acc:MGI:1352630] MSLSFCGNNISSYNIYYGVLQNPCFVDALNLVPHVFLLFITFPILFIGWGSQSSKVQIHH NTWLHFPGHNLRWILTFALLFVHVCEIAEGIVSDSHRASRHLHLFMPAVMGFVATTTSIV YYHNIETSNFPKLLLGKYSHRFSRHPPRKNHSVSFPHNK >ENSMUSP00000072914.5 pep:known chromosome:GRCm38:6:142587862:142702274:-1 gene:ENSMUSG00000030249.15 transcript:ENSMUST00000073173.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc9 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 9 [Source:MGI Symbol;Acc:MGI:1352630] MSLSFCGNNISSYNIYYGVLQNPCFVDALNLVPHVFLLFITFPILFIGWGSQSSKVQIHH NTWLHFPGHNLRWILTFALLFVHVCEIAEGIVSDSHRASRHLHLFMPAVMGFVATTTSIV YYHNIETSNFPKLLLALFLYWVMAFITKTIKLVKYWQLGWGVSDLRFCITGVMVILNGLL MAVEINVIRVRRYVFFMNPQKVKPPEDLQDLGVRFLQPFVNLLSKATYWWMNTLIISAHR KPIDLKAIGKLPIAMRAVTNYVCLKEAYEEQKKKAADHPNRTPSIWLAMYRAFGRPILLS STFRYLADLLGFAGPLCISGIVQRVNEKTNTTREMFPETLSSKEFLENAHVLAVLLFLAL ILQRTFLQASYYVTIETGINLRGALLAMIYNKILRLSTSNLSMGEMTLGQINNLVAIETN QLMWFLFLCPNLWAMPVQIIMGVILLYNLLGSSALVGAAVIVLLAPIQYFIATKLAEAQK STLDYSTERLKKTNEILKGIKLLKLYAWEHIFCKSVEETRMKELSSLKTFALYTSLSIFM NAAIPIAAVLATFVTHAYASGNNLKPAEAFASLSLFHILVTPLFLLSTVVRFAVKAIISV QKLNEFLLSDEIGEDSWRAGEGTLPFESCKKHTGVVTNGYFSWGSGLATLSNIDIRIPTG QLTMIVGQVGCGKSSLLLAILGEMQTLEGKVYWNNVNESEPSFEATRSRSRYSVAYAAQK PWLLNATVEENITFGSPFNRQRYKAVTDACSLQPDIDLLPFGDQTEIGERGINLSGGQRQ RICVARALYQNTNIVFLDDPFSALDIHLSDHLMQEGILKFLQDDKRTVVLVTHKLQYLTH ADWIIAMKDGSVLREGTLKDIQTKDVELYEHWKTLMNRQDQELEKDMEADQTTLERKTLR RAMYSREAKAQMEDEDEEEEEEEDEEDNMSTVMRLRTKMPWKTCWWYLTSGGFFLLFLMI FSKLLKHSVIVAIDYWLATWTSEYSINHPGKADQTFYVAGFSILCGAGIFLCLVTSLTVE WMGLTAAKNLHHNLLNKIILGPIRFFDTTPLGLILNRFSADTNIIDQHIPPTLESLTRST LLCLSAIGMISYATPVFLVALAPLGVAFYFIQKYFRVASKDLQELDDSTQLPLLCHFSET AEGLTTIRAFRHETRFKQRMLELTDTNNIAYLFLSAANRWLEVRTDYLGACIVLTASIAS ISGSSNSGLVGLGLLYALTITNYLNWVVRNLADLEVQMGAVKKVNSFLTMESENYEGTMD PSQVPEHWPQEGEIKIHDLCVRYENNLKPVLKHVKAYIKPGQKVGICGRTGSGKSSLSLA FFRMVDIFDGKIVIDGIDISKLPLHTLRSRLSIILQDPILFSGSIRFNLDPECKCTDDRL WEALEIAQLKNMVKSLPGGLDATVTEGGENFSVGQRQLFCLARAFVRKSSILIMDEATAS IDMATENILQKVVMTAFADRTVVTIAHRVSSIVDAGLVLVFSEGILVECDTGPNLLQHKN GLFSTLVMTNK >ENSMUSP00000107401.1 pep:known chromosome:GRCm38:6:142587862:142702274:-1 gene:ENSMUSG00000030249.15 transcript:ENSMUST00000111771.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc9 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 9 [Source:MGI Symbol;Acc:MGI:1352630] MSLSFCGNNISSYNIYYGVLQNPCFVDALNLVPHVFLLFITFPILFIGWGSQSSKVQIHH NTWLHFPGHNLRWILTFALLFVHVCEIAEGIVSDSHRASRHLHLFMPAVMGFVATTTSIV YYHNIETSNFPKLLLALFLYWVMAFITKTIKLVKYWQLGWGVSDLRFCITGVMVILNGLL MAVEINVIRVRRYVFFMNPQKVKPPEDLQDLGVRFLQPFVNLLSKATYWWMNTLIISAHR KPIDLKAIGKLPIAMRAVTNYVCLKEAYEEQKKKAADHPNRTPSIWLAMYRAFGRPILLS STFRYLADLLGFAGPLCISGIVQRVNEKTNTTREMFPETLSSKEFLENAHVLAVLLFLAL ILQRTFLQASYYVTIETGINLRGALLAMIYNKILRLSTSNLSMGEMTLGQINNLVAIETN QLMWFLFLCPNLWAMPVQIIMGVILLYNLLGSSALVGAAVIVLLAPIQYFIATKLAEAQK STLDYSTERLKKTNEILKGIKLLKLYAWEHIFCKSVEETRMKELSSLKTFALYTSLSIFM NAAIPIAAVLATFVTHAYASGNNLKPAEAFASLSLFHILVTPLFLLSTVVRFAVKAIISV QKLNEFLLSDEIGEDSWRAGEGTLPFESCKKHTGVQSKPINRKQPGRYHLDSYEQARRLR PAETEDIAIKVTNGYFSWGSGLATLSNIDIRIPTGQLTMIVGQVGCGKSSLLLAILGEMQ TLEGKVYWNNRSRYSVAYAAQKPWLLNATVEENITFGSPFNRQRYKAVTDACSLQPDIDL LPFGDQTEIGERGINLSGGQRQRICVARALYQNTNIVFLDDPFSALDIHLSDHLMQEGIL KFLQDDKRTVVLVTHKLQYLTHADWIIAMKDGSVLREGTLKDIQTKDVELYEHWKTLMNR QDQELEKDMEADQTTLERKTLRRAMYSREAKAQMEDEDEEEEEEEDEEDNMSTVMRLRTK MPWKTCWWYLTSGGFFLLFLMIFSKLLKHSVIVAIDYWLATWTSEYSINHPGKADQTFYV AGFSILCGAGIFLCLVTSLTVEWMGLTAAKNLHHNLLNKIILGPIRFFDTTPLGLILNRF SADTNIIDQHIPPTLESLTRSTLLCLSAIGMISYATPVFLVALAPLGVAFYFIQKYFRVA SKDLQELDDSTQLPLLCHFSETAEGLTTIRAFRHETRFKQRMLELTDTNNIAYLFLSAAN RWLEVRTDYLGACIVLTASIASISGSSNSGLVGLGLLYALTITNYLNWVVRNLADLEVQM GAVKKVNSFLTMESENYEGTMDPSQVPEHWPQEGEIKIHDLCVRYENNLKPVLKHVKAYI KPGQKVGICGRTGSGKSSLSLAFFRMVDIFDGKIVIDGIDISKLPLHTLRSRLSIILQDP ILFSGSIRFNLDPECKCTDDRLWEALEIAQLKNMVKSLPGGLDATVTEGGENFSVGQRQL FCLARAFVRKSSILIMDEATASIDMATENILQKVVMTAFADRTVVTIAHRVSSIVDAGLV LVFSEGILVECDTGPNLLQHKNGLFSTLVMTNK >ENSMUSP00000098390.2 pep:known chromosome:GRCm38:6:142587862:142702218:-1 gene:ENSMUSG00000030249.15 transcript:ENSMUST00000100827.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc9 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 9 [Source:MGI Symbol;Acc:MGI:1352630] MSLSFCGNNISSYNIYYGVLQNPCFVDALNLVPHVFLLFITFPILFIGWGSQSSKVQIHH NTWLHFPGHNLRWILTFALLFVHVCEIAEGIVSDSHRASRHLHLFMPAVMGFVATTTSIV YYHNIETSNFPKLLLALFLYWVMAFITKTIKLVKYWQLGWGVSDLRFCITGVMVILNGLL MAVEINVIRVRRYVFFMNPQKVKPPEDLQDLGVRFLQPFVNLLSKATYWWMNTLIISAHR KPIDLKAIGKLPIAMRAVTNYVCLKEAYEEQKKKAADHPNRTPSIWLAMYRAFGRPILLS STFRYLADLLGFAGPLCISGIVQRVNEKTNTTREMFPETLSSKEFLENAHVLAVLLFLAL ILQRTFLQASYYVTIETGINLRGALLAMIYNKILRLSTSNLSMGEMTLGQINNLVAIETN QLMWFLFLCPNLWAMPVQIIMGVILLYNLLGSSALVGAAVIVLLAPIQYFIATKLAEAQK STLDYSTERLKKTNEILKGIKLLKLYAWEHIFCKSVEETRMKELSSLKTFALYTSLSIFM NAAIPIAAVLATFVTHAYASGNNLKPAEAFASLSLFHILVTPLFLLSTVVRFAVKAIISV QKLNEFLLSDEIGEDSWRAGEGTLPFESCKKHTGVQSKPINRKQPGRYHLDSYEQARRLR PAETEDIAIKVTNGYFSWGSGLATLSNIDIRIPTGQLTMIVGQVGCGKSSLLLAILGEMQ TLEGKVYWNNVNESEPSFEATRSRSRYSVAYAAQKPWLLNATVEENITFGSPFNRQRYKA VTDACSLQPDIDLLPFGDQTEIGERGINLSGGQRQRICVARALYQNTNIVFLDDPFSALD IHLSDHLMQEGILKFLQDDKRTVVLVTHKLQYLTHADWIIAMKDGSVLREGTLKDIQTKD VELYEHWKTLMNRQDQELEKDMEADQTTLERKTLRRAMYSREAKAQMEDEDEEEEEEEDE EDNMSTVMRLRTKMPWKTCWWYLTSGGFFLLFLMIFSKLLKHSVIVAIDYWLATWTSEYS INHPGKADQTFYVAGFSILCGAGIFLCLVTSLTVEWMGLTAAKNLHHNLLNKIILGPIRF FDTTPLGLILNRFSADTNIIDQHIPPTLESLTRSTLLCLSAIGMISYATPVFLVALAPLG VAFYFIQKYFRVASKDLQELDDSTQLPLLCHFSETAEGLTTIRAFRHETRFKQRMLELTD TNNIAYLFLSAANRWLEVRTDYLGACIVLTASIASISGSSNSGLVGLGLLYALTITNYLN WVVRNLADLEVQMGAVKKVNSFLTMESENYEGTMDPSQVPEHWPQEGEIKIHDLCVRYEN NLKPVLKHVKAYIKPGQKVGICGRTGSGKSSLSLAFFRMVDIFDGKIVIDGIDISKLPLH TLRSRLSIILQDPILFSGSIRFNLDPECKCTDDRLWEALEIAQLKNMVKSLPGGLDATVT EGGENFSVGQRQLFCLARAFVRKSSILIMDEATASIDMATENILQKVVMTAFADRTVVTI AHRVHTILTADLVIVMKRGNILEYDTPESLLAQEDGVFASFVRADM >ENSMUSP00000084805.4 pep:known chromosome:GRCm38:6:142589131:142702218:-1 gene:ENSMUSG00000030249.15 transcript:ENSMUST00000087527.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc9 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 9 [Source:MGI Symbol;Acc:MGI:1352630] MSLSFCGNNISSYNIYYGVLQNPCFVDALNLVPHVFLLFITFPILFIGWGSQSSKVQIHH NTWLHFPGHNLRWILTFALLFVHVCEIAEGIVSDSHRASRHLHLFMPAVMGFVATTTSIV YYHNIETSNFPKLLLALFLYWVMAFITKTIKLVKYWQLGWGVSDLRFCITGVMVILNGLL MAVEINVIRVRRYVFFMNPQKVKPPEDLQDLGVRFLQPFVNLLSKATYWWMNTLIISAHR KPIDLKAIGKLPIAMRAVTNYVCLKEAYEEQKKKAADHPNRTPSIWLAMYRAFGRPILLS STFRYLADLLGFAGPLCISGIVQRVNEKTNTTREMFPETLSSKEFLENAHVLAVLLFLAL ILQRTFLQASYYVTIETGINLRGALLAMIYNKILRLSTSNLSMGEMTLGQINNLVAIETN QLMWFLFLCPNLWAMPVQIIMGVILLYNLLGSSALVGAAVIVLLAPIQYFIATKLAEAQK STLDYSTERLKKTNEILKGIKLLKLYAWEHIFCKSVEETRMKELSSLKTFALYTSLSIFM NAAIPIAAVLATFVTHAYASGNNLKPAEAFASLSLFHILVTPLFLLSTVVRFAVKAIISV QKLNEFLLSDEIGEDSWRAGEGTLPFESCKKHTGVQSKPINRKQPGRYHLDSYEQARRLR PAETEDIAIKVTNGYFSWGSGLATLSNIDIRIPTGQLTMIVGQVGCGKSSLLLAILGEMQ TLEGKVYWNNVNESEPSFEATRSRSRYSVAYAAQKPWLLNATVEENITFGSPFNRQRYKA VTDACSLQPDIDLLPFGDQTEIGERGINLSGGQRQRICVARALYQNTNIVFLDDPFSALD IHLSDHLMQEGILKFLQDDKRTVVLVTHKLQYLTHADWIIAMKDGSVLREGTLKDIQTKD VELYEHWKTLMNRQDQELEKDMEADQTTLERKTLRRAMYSREAKAQMEDEDEEEEEEEDE EDNMSTVMRLRTKMPWKTCWWYLTSGGFFLLFLMIFSKLLKHSVIVAIDYWLATWTSEYS INHPGKADQTFYVAGFSILCGAGIFLCLVTSLTVEWMGLTAAKNLHHNLLNKIILGPIRF FDTTPLGLILNRFSADTNIIDQHIPPTLESLTRSTLLCLSAIGMISYATPVFLVALAPLG VAFYFIQKYFRVASKDLQELDDSTQLPLLCHFSETAEGLTTIRAFRHETRFKQRMLELTD TNNIAYLFLSAANRWLEVRTDYLGACIVLTASIASISGSSNSGLVGLGLLYALTITNYLN WVVRNLADLEVQMGAVKKVNSFLTMESENYEGTMDPSQVPEHWPQEGEIKIHDLCVRYEN NLKPVLKHVKAYIKPGQKVGICGRTGSGKSSLSLAFFRMVDIFDGKIVIDGIDISKLPLH TLRSRLSIILQDPILFSGSIRFNLDPECKCTDDRLWEALEIAQLKNMVKSLPGGLDATVT EGGENFSVGQRQLFCLARAFVRKSSILIMDEATASIDMATENILQKVVMTAFADRTVVTI AHRVSSIVDAGLVLVFSEGILVECDTGPNLLQHKNGLFSTLVMTNK >ENSMUSP00000027103.6 pep:known chromosome:GRCm38:1:63730614:63754655:1 gene:ENSMUSG00000025962.15 transcript:ENSMUST00000027103.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fastkd2 description:FAST kinase domains 2 [Source:MGI Symbol;Acc:MGI:1922869] MNSKARSLLWTIRRFSTLLPRSRALRIDPLGTCRPEVIHSKWNPRNHRLNVFDEGLQPSV RYLFQDIFISKSVDGCIQTKGISHSAVFKPDRLLCPRRLSFDAKHSFVSDGTSDHDLKKI NFHHTSSEDVFTKKVRPTPVNYKKLAQECNSLSDVLDTFSKAPTFPGSNYFLAMWIIAKR ISEDKRRFERQLMFSHPAFNQLCEQMMREAKIMHYDHLLFSLNAIVKLGIPQNTLMVQTL LRTIQERINECDERCLSILSTALVSMEPCMNVNALRAGLRILVDQQVWNIKHVFTLQTVM KCIGKDAPSALKKKLEMKALKELGRFSILNSQHMFEVLAAMDLRSVVLLNECSKVVIDNV HGCPFKVLISILQSCRDLRYQNEDLFKSIAEYVATTFDIWKLKQVIFFLLLFETLGFRPP GLMDKLMEKVVQEPGSLNVKNIVSILHVYSSLNHVHKIHNREFLEALASALTGCLHHISS ESLLNAVHSFCMMNYFPLAPINQLIKENIINELLTSGDTEKNIHKLHVLNTCLKLDESTY KSVHIPLPQLPLSASQPNEKLAEVLSRLLEGEGRFSRNVPLPHNYHIDFEIRMDTNRTQV FSFSDVDASSATNMQRVAVLCVPKSVYCLNSCHPRGLMAMKIRHLNVMGFHVILIHNWEL KKLKMEDAVTFVRKKIYSDEVLPTADTTV >ENSMUSP00000033673.6 pep:known chromosome:GRCm38:X:101429555:101448591:1 gene:ENSMUSG00000031311.17 transcript:ENSMUST00000033673.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nono description:non-POU-domain-containing, octamer binding protein [Source:MGI Symbol;Acc:MGI:1855692] MQSNKAFNLEKQNHTPRKHHQHHHQQHHQQQQQQQQQQPPPPIPANGQQASSQNEGLTID LKNFRKPGEKTFTQRSRLFVGNLPPDITEEEMRKLFEKYGKAGEVFIHKDKGFGFIRLET RTLAEIAKVELDNMPLRGKQLRVRFACHSASLTVRNLPQYVSNELLEEAFSVFGQVERAV VIVDDRGRPSGKGIVEFSGKPAARKALDRCSEGSFLLTTFPRPVTVEPMDQLDDEEGLPE KLVIKNQQFHKEREQPPRFAQPGSFEYEYAMRWKALIEMEKQQQDQVDRNIKEAREKLEM EMEAARHEHQVMLMRQDLMRRQEELRRMEELHNQEVQKRKQLELRQEEERRRREEEMRRQ QEEMMRRQQEGFKGTFPDAREQEIRMGQMAMGGAMGINNRGAMPPAPVPPGTPAPPGPAT MMPDGTLGLTPPTTERFGQAATMEGIGAIGGTPPAFNRPAPGAEFAPNKRRRY >ENSMUSP00000122890.1 pep:known chromosome:GRCm38:X:101429318:101439621:1 gene:ENSMUSG00000031311.17 transcript:ENSMUST00000147708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nono description:non-POU-domain-containing, octamer binding protein [Source:MGI Symbol;Acc:MGI:1855692] MQSNKAFNLEKQNHTPRKHHQHHHQQHHQQQQQQQQQQPPPPIPANGQQASSQNEGLTID LKNFRKPGEKTFTQRSRLFVGNLPPDITEEEMRKLFEK >ENSMUSP00000064158.5 pep:known chromosome:GRCm38:6:70765720:70792232:-1 gene:ENSMUSG00000053604.5 transcript:ENSMUST00000066134.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpia description:ribose 5-phosphate isomerase A [Source:MGI Symbol;Acc:MGI:103254] MQRPGPFSTLYGRVLAPLPGRAGGAASGGGGNNWGLSGSHVQLPGRAHSETRGDKGGSSA GGPAPSTMSKAEEAKKLASHTAVENHVKNNQVLGIGSGSTIVHAVQRIAERVKQENLDLI CIPTSFQARQLILQYGLTLSDLDQHPEIDLAIDGADEVDAELNLIKGGGGCLTQEKIVAG YASRFIVIADFRKDSKNLGDRWHKGIPIEVIPMAYVPVSRAVAQKFGGEVELRMAVNKAG PVVTDNGNFILDWKFDRVHKWSEVNTAIKMTPGVVDTGLFINMAERVYFGMQDGSVNVRE KPF >ENSMUSP00000140003.1 pep:known chromosome:GRCm38:Y:5043937:5046265:1 gene:ENSMUSG00000093927.2 transcript:ENSMUST00000188637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20918 description:predicted gene, 20918 [Source:MGI Symbol;Acc:MGI:5434274] MTSLKKKSRRKPSSQALGNIVGCRISHGRKEGNEPATHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVDFPQVRDVHLASALLGREEQHKFEGKHGSEENWSG MVLAQVSFLKDWFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDSDFLIGT WVQYTRDDGSKIFGKVVYKALANTTVYFIKFHGDLHIYVYTLVSNIT >ENSMUSP00000136554.1 pep:known chromosome:GRCm38:Y:5045445:5046128:1 gene:ENSMUSG00000093927.2 transcript:ENSMUST00000179629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20918 description:predicted gene, 20918 [Source:MGI Symbol;Acc:MGI:5434274] MTSLKKKSRRKPSSQALGNIVGCRISHGRKEGNEPATHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVDFPQVRDVHLASALLGREEQHKFEGKHGSEENWSG MVLAQVSFLKDWFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDSDFLIGT WVQYTRDDGSKIFGKVVYKALANTTVYFIKFHGDLHIYVYTLVSNIT >ENSMUSP00000031109.4 pep:known chromosome:GRCm38:5:66260312:66298015:1 gene:ENSMUSG00000029206.13 transcript:ENSMUST00000031109.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun7 description:NOL1/NOP2/Sun domain family, member 7 [Source:MGI Symbol;Acc:MGI:1918168] MLDPTSERDLFDQENMEEISQLASLEMSGDVVANTNSSTVVLEKPSYPDSVYVTAANIFQ GIRIQRSPDKVIINYGSEPLQPSSSRSEDESFQRLSYELAFSTLKYQDILESILIDSYIF SSTTIASQLNSLIIVMLYDFQDRKFQPRILSENEETIPEVQEVENLLNGFKTKLAAALAR CRIKHDALSIYHILPETVRKQEQRASTLPLYAWINTSKISLEEVYNNLRRKGYSKVKSIT SVNEKVYAVDQHCFNVLIFPAHLKTDLLNIDLIKDYKLIFQDKSRSLAVHSVKALINIDD DVLMVNTGSWYTVAHMSILTSGHTSKIFVCGIQQEEKDFNARKLFTRMGCQNIEILHETF LSIESKDHRLQNVKVILLLPRCSSLGVSNPVEFILNEHEDKSLLQDLSQGGLPKDKLETL VQQQFEQLTHAMKFTKVQAIVYCTCSVSKEENEDVVEKALEYQSSGVKMQPYRLSPPVLP LCTLKEIELSMDRFFRLEPSDMNNGCFLSILTRERDPSETVSVKDVLARAAAKGLLEGVE VGKTLKRDKKRKKSKALPSRAPHHGDPLRDHLAVDGNDTSNVQMKISELLHRESKISTST KMSAPAKTVSQAGTSSQVRKPSKPLSTPLVRNFSRPVERPTNFVRARPEGKVIPLKPIEI VLPPVIFPLSSQGPRVQMPATHFYYRFIGSKDSSREDRINNFLIK >ENSMUSP00000144520.1 pep:known chromosome:GRCm38:5:66259912:66298026:1 gene:ENSMUSG00000029206.13 transcript:ENSMUST00000201100.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun7 description:NOL1/NOP2/Sun domain family, member 7 [Source:MGI Symbol;Acc:MGI:1918168] MLDPTSERDLFDQENMEEISQLASLEMSGDVVANTNSSTVVLEKPSYPDSVYVTAANIFQ GIRIQRSPDKVIINYGSEPLQPSSSRSEDESFQRLSYELAFSTLKYQDILESILIDSYIF SSTTIASQLNSLIIVMLYDFQDRKFQPRILSENEETIPEVQEVENLLNGFKTKLAAALAR CRIKHDALSIYHILPETVRKQEQRASTLPLYAWINTSKISLEEVYNNLRRKGYSKVKSIT SVNEKVYAVDQHCFNVLIFPAHLKTDLLNIDLIKDYKLIFQDKSRSLAVHSVKALINIDD DVLMVNTGSWYTVAHMSILTSGHTSKIFVCGIQQEEKDFNARKLFTRMGCQNIEILHETF LSIESKDHRLQNVKVILLLPRCSSLGVSNPVEFILNEHEDKSLLQDLSQGGLPKDKLETL VQQQFEQLTHAMKFTKVQAIVYCTCSVSKEENEDVVEKALEYQSSGVKMQPYRLSPPVLP LCTLKEIELSMDRFFRLEPSDMNNGCFLSILTRERDPSETVSVKDVLARAAAKGLLEGVE VGKTLKRDKKRKKSKALPSRAPHHGDPLRDHLAVDGNDTSNVQMKISELLHRESKISTST KMSAPAKTVSQAGTSSQVRKPSKPLSTPLVRNFSRPVERPTNFVRARPEGKVIPLKPIEI VLPPVIFPLSSQGPRVQMPATHFYYRFIGSKRFCSSLGLF >ENSMUSP00000144498.1 pep:known chromosome:GRCm38:5:66259897:66297062:1 gene:ENSMUSG00000029206.13 transcript:ENSMUST00000202994.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun7 description:NOL1/NOP2/Sun domain family, member 7 [Source:MGI Symbol;Acc:MGI:1918168] MLDPTSERDLFDQENMEEISQLASLEMSGDVVANTNSSTVVLEKPSYPDSVYVTAANIFQ GIRIQRSPDKVIINYGSEPLQPSSSRSEDESFQRLSYELAFSTLKYQDILESILIDSYIF SSTTIASQLNSLIIVMLYDFQDRKFQPRILSENEETIPEVQEVENLLNGFKTKLAAALAR CRIKHDALSIYHILPETVRKQEQRASTLPLYAWINTSKISLEEVYNNLRRKGYSKVKSIT SVNEKVYAVDQHCFNVLIFPAHLKTDLLNIDLIKDYKLIFQDKSRSLAVHSVKALINIDD DVLMVNTGSWYTVAHMSILTSGHTSKIFVCGIQQEEKDFNARKLFTRMGCQNIEILHETF LSIESKDHRLQNVKVILLLPRCSSLGVSNPVEFILNEHEVTKVQAIVYCTCSVSKEENED VVEKALEYQSSGVKMQPYRLSPPVLPLCTLKEIELSMDRFFRLEPSDMNNGCFLSILTRE RDPSETVSVKDVLARAAAKGLLEGVEVGKTLKRDKKRKKSKALPSRAPHHGDPLRDHLAV DGNDTSNVQMKISELLHRESKISTSTKMSAPAKTVSQAGTSSQVRKPSKPLSTPLVRNFS RPVERPTNFVRARPEGKVIPLKPIEIVLPPVIFPLSSQGPRVQMPATHFYYRFIGSKVGV PRYLTSSTSRRKEKVKESTTSSHVRHPRPWL >ENSMUSP00000116063.1 pep:known chromosome:GRCm38:18:20031343:20038139:-1 gene:ENSMUSG00000024331.11 transcript:ENSMUST00000155407.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsc2 description:desmocollin 2 [Source:MGI Symbol;Acc:MGI:103221] XPVNGPPFDFSLESSDSEVQRMWRLTRINDTAARLSYQNDPSFGSYAVPIRVTDRLGLSS VTTLNVLVCDCITESDCTLRSGERTGYADVRLGPWAILAILLGIALLFCILFTLVCSVSR ASKQQKILPDDLAQQNLIVSNTEAPGDDKVYSTNGLTTQTMGASGQTAFTTMGTGVKSGG QETIEMVKGGQQTLDSRRGAGYHHHTLDPCRGGHVEVDNYRHTYSEWYNFIQPRLGDVSF >ENSMUSP00000074702.2 pep:known chromosome:GRCm38:18:20030633:20059478:-1 gene:ENSMUSG00000024331.11 transcript:ENSMUST00000075214.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsc2 description:desmocollin 2 [Source:MGI Symbol;Acc:MGI:103221] MAAVGSMRSGSPAFGLGHLLTLAILALASDACKEVVLQVPSELPAEKFVGRVNLMDCLKS ADIVHLSDPDFQVLEDGSVYTTSSVVLSSGQRSFTIWLFSTDSQEEREISVHLEGPVEVL NKRPHTEKVLSRAKRRWAPIPCSMLENSLGPFPLFLQQIQSDTAQNYTIYYSIRGPGVDK EPLNLFYVERDTGNLYCTGRVDREQYESFELTAFATTPDGYTPEYPLPLLIKIEDENDNY PIFTQKLYSFTVQENSRIGSIVGEVCATDLDEPDTMHTRLRYSILEQSPSPPMLFTMHPS TGVITTTSAQLDRELIDKYQLLIKVQDMDGQYFGLHTTAKCIITIEDVNDNLPTFTRTTY VTSVEENTVNVEILRLTVQDKDLVNSPNWRANYTILKGNENGNFKIVTDPKTNEGILCVI KPLDYEERQQVTLQIGVVNEAPYTREASSKSPMSTATVTVTVTNQDEGPECIPPMQTVRI QENVPVGTRNDGYKAYDPETRSSSGIRYRKLSDPRGWVTVNEDSGSITIFRALDREAETV RNGIYNITVLALDADGRSCTGTLGIILEDVNDNGPFIPKQTVVICKATMSSAEIVAVDLD DPVNGPPFDFSLESSDSEVQRMWRLTRINDTAARLSYQNDPSFGSYAVPIRVTDRLGLSS VTTLNVLVCDCITESDCTLRSGERTGYADVRLGPWAILAILLGIALLFCILFTLVCSVSR ASKQQKILPDDLAQQNLIVSNTEAPGDDKVYSTNGLTTQTMGASGQTAFTTMGTGVKSGG QETIEMVKGGQQTLDSRRGAGYHHHTLDPCRGGHVEVDNYRHTYSEWYNFIQPRLGDKVQ FCHTDDNQKLAQDYVLTYNYEGKGSAAGSVGCCSDLQEEDGLEFLDHLEPKFRTLAEVCA KR >ENSMUSP00000123010.1 pep:known chromosome:GRCm38:18:20031479:20059509:-1 gene:ENSMUSG00000024331.11 transcript:ENSMUST00000128464.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dsc2 description:desmocollin 2 [Source:MGI Symbol;Acc:MGI:103221] MAAVGSMRSGSPAFGLGHLLTLAILALASDACKEVVLQVPSELPAEKFVGRVNLMDCLKS ADIVHLSDPDFQVLEDGSVYTTSSVVLSSGQRSFTIWLFSTDSQEEREISVHLEGPVEIF LGPWALASCWY >ENSMUSP00000042905.4 pep:known chromosome:GRCm38:18:20030633:20059554:-1 gene:ENSMUSG00000024331.11 transcript:ENSMUST00000039247.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsc2 description:desmocollin 2 [Source:MGI Symbol;Acc:MGI:103221] MAAVGSMRSGSPAFGLGHLLTLAILALASDACKEVVLQVPSELPAEKFVGRVNLMDCLKS ADIVHLSDPDFQVLEDGSVYTTSSVVLSSGQRSFTIWLFSTDSQEEREISVHLEGPVEVL NKRPHTEKVLSRAKRRWAPIPCSMLENSLGPFPLFLQQIQSDTAQNYTIYYSIRGPGVDK EPLNLFYVERDTGNLYCTGRVDREQYESFELTAFATTPDGYTPEYPLPLLIKIEDENDNY PIFTQKLYSFTVQENSRIGSIVGEVCATDLDEPDTMHTRLRYSILEQSPSPPMLFTMHPS TGVITTTSAQLDRELIDKYQLLIKVQDMDGQYFGLHTTAKCIITIEDVNDNLPTFTRTTY VTSVEENTVNVEILRLTVQDKDLVNSPNWRANYTILKGNENGNFKIVTDPKTNEGILCVI KPLDYEERQQVTLQIGVVNEAPYTREASSKSPMSTATVTVTVTNQDEGPECIPPMQTVRI QENVPVGTRNDGYKAYDPETRSSSGIRYRKLSDPRGWVTVNEDSGSITIFRALDREAETV RNGIYNITVLALDADGRSCTGTLGIILEDVNDNGPFIPKQTVVICKATMSSAEIVAVDLD DPVNGPPFDFSLESSDSEVQRMWRLTRINDTAARLSYQNDPSFGSYAVPIRVTDRLGLSS VTTLNVLVCDCITESDCTLRSGERTGYADVRLGPWAILAILLGIALLFCILFTLVCSVSR ASKQQKILPDDLAQQNLIVSNTEAPGDDKVYSTNGLTTQTMGASGQTAFTTMGTGVKSGG QETIEMVKGGQQTLDSRRGAGYHHHTLDPCRGGHVEVDNYRHTYSEWYNFIQPRLGDETI RGHTLIKN >ENSMUSP00000136719.1 pep:known chromosome:GRCm38:11:120104452:120151312:-1 gene:ENSMUSG00000061306.15 transcript:ENSMUST00000179094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a10 description:solute carrier family 38, member 10 [Source:MGI Symbol;Acc:MGI:1919305] MTAASTSKWGLITNVVNSIVGVSVLTMPFCFKQCGIVLGALLLVFCSWMTHQSCMFLVKS ASLSKRRTYAGLAFHAYGKAGKMLVETSMIGLMLGSCITFYVVIGDLGSNFFAPLLGLQV TRTVRVFLLFAVSLFIVLPLSLQRNMMASIQSFSAMALLFYTVFMFVIVLSSLKHGLFSG QWLRQVSYIRWEGVFRCVPIFGMSFACQSQVLPTYDSLDEPSVKTMSSIFASSLNVVTAF YVMVGFFGYVSFTDATTGNVLIHFPSNPVTEMIRVGFVMSVAVGFPMMILPCRQALNTLL FEQQQKDGTFAAGGYMPPLRFKVLTLSVVFGTMVGGVMIPNVETILGFTGATMGSLICFI CPALIYKKAHKNAPSAQVVLWVGLGILVVSTLTTLSVTEEAPLDLTQEARSGHRGDAEGA MKVEAARLSVQDPVVVVAEDSQEKLKPAEDKEVLEQAQIKGPVDVPGGEAPKEKQEAAQL DRPGQGIAVPMGEAHRHEPPIPHDKVVVDEGQDQEGPEEKKPPPRLPDEGDPAGRGQGAP PLPESEKEKQEPERGGEGKRPGQVLAVGETEHPQKVPEANGQPPVQPRKEDSRPGNRDPQ PAAQARDSVELKALAADDGREPAQKAGGALWKPVESAAESDAGGKAGLPVQRPEAAEQRE KKEAEQQGGDQAGSKLEAGVGWVLLEAGRAEMLDHAVLLQVIQEQQVQQKRLLDQQEKLL AVIEEQHKEIRQQRQEGEEDKPKPADVQPEPGVAVLRGQEEEAEHAGETLGDDPSQPLQP VLGAPRGRPAPSQDMGQHLPGEVKVLPGRDLADLPAGGSETEPQGAPIDLREDPKAAIKA AGAGKELVPGDLEAVHKAAPPEVPKSPEKQVAKAVAGQRQDVFGEGSEERKETGKEAMAP GADTQKEAVQPLVGAEAKDTKSRQSGPTKAPVQTQAKFHPEPQAIFDTGQGSHPEVRSEA PRAVHIPPEEQHKGKGGAAIQEAKQRPDPNSGPKLAVPAGQKPENAKPNRDLKVQAGSDL RRRRRDLASHPEQELAPKDGVIISFNSLPNVQVNDLRSALDTQLRQAAGAALQVVHSRQI KQLSGDLEEA >ENSMUSP00000057615.8 pep:known chromosome:GRCm38:11:120123844:120151346:-1 gene:ENSMUSG00000061306.15 transcript:ENSMUST00000053692.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a10 description:solute carrier family 38, member 10 [Source:MGI Symbol;Acc:MGI:1919305] MTAASTSKWGLITNVVNSIVGVSVLTMPFCFKQCGIVLGALLLVFCSWMTHQSCMFLVKS ASLSKRRTYAGLAFHAYGKAGKMLVETSMIGLMLGSCITFYVVIGDLGSNFFAPLLGLQV TRTVRVFLLFAVSLFIVLPLSLQRNMMASIQSFSAMALLFYTVFMFVIVLSSLKHGLFSG QWLRQVSYIRWEGVFRCVPIFGMSFACQSQVLPTYDSLDEPSVKTMSSIFASSLNVVTAF YVMVGFFGYVSFTDATTGNVLIHFPSNPVTEMIRVGFVMSVAVGFPMMILPCRQALNTLL FEQQQKDGTFAAGGYMPPLRFKVLTLSVVFGTMVGGVMIPNVETILGFTGATMGSLICFI CPALIYKKAHKNAPSAQDLESCRRQPSGRGCLGLSDMGGATLTTVPGPEVRANQRGMSGR APGFISTC >ENSMUSP00000075989.6 pep:known chromosome:GRCm38:11:120118872:120151346:-1 gene:ENSMUSG00000061306.15 transcript:ENSMUST00000076697.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a10 description:solute carrier family 38, member 10 [Source:MGI Symbol;Acc:MGI:1919305] MTAASTSKWGLITNVVNSIVGVSVLTMPFCFKQCGIVLGALLLVFCSWMTHQSCMFLVKS ASLSKRRTYAGLAFHAYGKAGKMLVETSMIGLMLGSCITFYVVIGDLGSNFFAPLLGLQV TRTVRVFLLFAVSLFIVLPLSLQRNMMASIQSFSAMALLFYTVFMFVIVLSSLKHGLFSG QWLRQVSYIRWEGVFRCVPIFGMSFACQSQVLPTYDSLDEPSVKTMSSIFASSLNVVTAF YVMVGFFGYVSFTDATTGNVLIHFPSNPVTEMIRVGFVMSVAVGFPMMILPCRQALNTLL FEQQQKDGTFAAGGYMPPLRFKVLTLSVVFGTMVGGVMIPNVETILGFTGATMGSLICFI CPALIYKKAHKNAPSAQGLPTEPWLSWYSLYRPGWPRTQGSPDSHPEC >ENSMUSP00000048675.7 pep:known chromosome:GRCm38:11:120103960:120151346:-1 gene:ENSMUSG00000061306.15 transcript:ENSMUST00000045402.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a10 description:solute carrier family 38, member 10 [Source:MGI Symbol;Acc:MGI:1919305] MTAASTSKWGLITNVVNSIVGVSVLTMPFCFKQCGIVLGALLLVFCSWMTHQSCMFLVKS ASLSKRRTYAGLAFHAYGKAGKMLVETSMIGLMLGSCITFYVVIGDLGSNFFAPLLGLQV TRTVRVFLLFAVSLFIVLPLSLQRNMMASIQSFSAMALLFYTVFMFVIVLSSLKHGLFSG QWLRQVSYIRWEGVFRCVPIFGMSFACQSQVLPTYDSLDEPSVKTMSSIFASSLNVVTAF YVMVGFFGYVSFTDATTGNVLIHFPSNPVTEMIRVGFVMSVAVGFPMMILPCRQALNTLL FEQQQKDGTFAAGGYMPPLRFKVLTLSVVFGTMVGGVMIPNVETILGFTGATMGSLICFI CPALIYKKAHKNAPSAQVVLWVGLGILVVSTLTTLSVTEEAPLDLTQEARSGHRGDAEGA MKVEAARLSVQDPVVVVAEDSQEKLKPAEDKEVLEQAQIKGPVDVPGGEAPKEKQEAAQL DRPGQGIAVPMGEAHRHEPPIPHDKVVVDEGQDQEGPEEKKPPPRLPDEGDPAGRGQGAP PLPESEKEKQEPERGGEGKRPGQVLAVGETEHPQKVPEANGQPPVQPRKEDSRPGNRDPQ PAAQARDSVELKALAADDGREPAQKAGGALWKPVESAAESDAGGKAGLPVQRPEAAEQRE KKEAEQQGGDQAGSKLEEAGRAEMLDHAVLLQVIQEQQVQQKRLLDQQEKLLAVIEEQHK EIRQQRQEGEEDKPKPADVQPEPGVAVLRGQEEEAEHAGETLGDDPSQPLQPVLGAPRGR PAPSQDMGQHLPGEVKVLPGRDLADLPAGGSETEPQGAPIDLREDPKAAIKAAGAGKELV PGDLEAVHKAAPPEVPKSPEKQVAKAVAGQRQDVFGEGSEERKETGKEAMAPGADTQKEA VQPLVGAEAKDTKSRQSGPTKAPVQTQAKFHPEPQAIFDTGQGSHPEVRSEAPRAVHIPP EEQHKGKGGAAIQEAKQRPDPNSGPKLAVPAGQKPENAKPNRDLKVQAGSDLRRRRRDLA SHPEQELAPKDGVIISFNSLPNVQVNDLRSALDTQLRQAAGAALQVVHSRQIKQLSGDLE EA >ENSMUSP00000099307.4 pep:known chromosome:GRCm38:11:120103960:120151346:-1 gene:ENSMUSG00000061306.15 transcript:ENSMUST00000103018.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a10 description:solute carrier family 38, member 10 [Source:MGI Symbol;Acc:MGI:1919305] MTAASTSKWGLITNVVNSIVGVSVLTMPFCFKQCGIVLGALLLVFCSWMTHQSCMFLVKS ASLSKRRTYAGLAFHAYGKAGKMLVETSMIGLMLGSCITFYVVIGDLGSNFFAPLLGLQV TRTVRVFLLFAVSLFIVLPLSLQRNMMASIQSFSAMALLFYTVFMFVIVLSSLKHGLFSG QWLRQVSYIRWEGVFRCVPIFGMSFACQSQVLPTYDSLDEPSVKTMSSIFASSLNVVTAF YVMVGFFGYVSFTDATTGNVLIHFPSNPVTEMIRVGFVMSVAVGFPMMILPCRQALNTLL FEQQQKDGTFAAGGYMPPLRFKVLTLSVVFGTMVGGVMIPNVETILGFTGATMGSLICFI CPALIYKKAHKNAPSAQVVLWVGLGILVVSTLTTLSVTEEAPLDLTQEARSGHRGDAEGA MKVEAARLSVQDPVVVVAEDSQEKLKPAEDKEVLEQAQIKGPVDVPGGEAPKEKQEAAQL DRPGQGIAVPMGEAHRHEPPIPHDKVVVDEGQDQEGPEEKKPPPRLPDEGDPAGRGQGAP PLPESEKEKQEPERGGEGKRPGQVLAVGETEHPQKVPEANGQPPVQPRKEDSRPGNRDPQ PAAQARDSVELKALAADDGREPAQKAGGALWKPVESAAESDAGGKAGLPVQRPEAAEQRE KKEAEQQGGDQAGSKLEAEIKKLVAEAGRAEMLDHAVLLQVIQEQQVQQKRLLDQQEKLL AVIEEQHKEIRQQRQEGEEDKPKPADVQPEPGVAVLRGQEEEAEHAGETLGDDPSQPLQP VLGAPRGRPAPSQDMGQHLPGEVKVLPGRDLADLPAGGSETEPQGAPIDLREDPKAAIKA AGAGKELVPGDLEAVHKAAPPEVPKSPEKQVAKAVAGQRQDVFGEGSEERKETGKEAMAP GADTQKEAVQPLVGAEAKDTKSRQSGPTKAPVQTQAKFHPEPQAIFDTGQGSHPEVRSEA PRAVHIPPEEQHKGKGGAAIQEAKQRPDPNSGPKLAVPAGQKPENAKPNRDLKVQAGSDL RRRRRDLASHPEQELAPKDGVIISFNSLPNVQVNDLRSALDTQLRQAAGAALQVVHSRQI KQLSGDLEEA >ENSMUSP00000038881.8 pep:known chromosome:GRCm38:7:118715374:118737021:1 gene:ENSMUSG00000033904.16 transcript:ENSMUST00000038650.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccp110 description:centriolar coiled coil protein 110 [Source:MGI Symbol;Acc:MGI:2141942] MEEYEEFCEKALGRAQEASLSTGSFLPAQAESVSLIRFHGVAVLSPLLTIEKRKKIQEEK QKALDVQSRKQANRKKALLTRVQEILENVQVRKAPNASDFDQWATETIYSNPEVTDLNVP VRVPNSLPSPTEHCTSVKLEKITGLLPVNNEDQQTPKRVGLPGDSEVSGSLRQCESPESR QAEDGAALRLSSASPQETIISDVLGKEEQDPSCLAEVTPDPYIMSLQNLMKRSKEYVERE LSSRSLRNSLKRSVNETHSDRENDAAKASDCVKEKAPPMPIGRHCGSAIPDKPSLNKSNV LLQGASQASSMGTAGLASFSKIDLPAGAAPPAAPDAGSDFTVIPTFVTENKVKSLKGPYA KLPSPEPSMSPTMHRRHSRSASACQILINNPVNACELSPKGKEEAVDRTAPAAAETTNES ETVPKSPTDLTGVCSSNVSATKITSESTREMVVGKPSQRQQALGAHLGNNVTVERSAMEG PFIADDRGAQKVDGTCMAVPKLHELQPSSQCVSSQTLEDVCELKSASLLAKNSCNLQMEL NKSYDVKHPSPLLTQTQTSRQQMDTPPVFRGNEQFVDNSFEKVKRRLDLDVDSLQKENCP YIITAGVAEQERDRLLERRYPKGFVHINKNKMLETSPKEGQELLKSKMLAFEEMRKRLEE QHAQQLSLLIAEQEREQEQLQKEIEEQEKMLKEKAVTTDVSDLNSALEWRQRTDSALLET MLSQVDSLQTSNNSGFITSALQYSFGSAGEAPFYLWGSLTSGVTRVSGTRPCGRAQAKWS QVFNPEIHAKFNKITAVAKGFLTRKLMQTDKLKQLRQTVKDTMEFIRSFQSEAPLKRGVV SAQDASLQERVLAQLRAALYGIHDIFFVMDAAERMSILHHDREARKEKLLRQMDKMKSPR VALSVATQKSLDRKKFMKVAEMGMPNKKFLLKQNPSETRVLQPNQGQNAPVHRLLSRQGT PKTSVKGVVQNRQKPSQSRVPNRAPVSGAYAGKTQRKRPNVATI >ENSMUSP00000146903.1 pep:known chromosome:GRCm38:7:118726555:118735786:1 gene:ENSMUSG00000033904.16 transcript:ENSMUST00000208766.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccp110 description:centriolar coiled coil protein 110 [Source:MGI Symbol;Acc:MGI:2141942] XFLTRKLMQTDKLKQLRQTVKDTMEFIRSFQSEAPLKRGVVSAQDASLQERVLAQLRAAL YGIHDIFFVMDAAERMSILHHDREARKEKLLRQMDKMKSPRVALSVATQKSLDRKKFMKV AEMGMPNKKFLLKQNPSETRVLQPNQGQNAPVHRLLSRQGSICRKNPKKAAKCCDNLRRQ HSLG >ENSMUSP00000102167.1 pep:known chromosome:GRCm38:7:118712552:118737024:1 gene:ENSMUSG00000033904.16 transcript:ENSMUST00000106557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccp110 description:centriolar coiled coil protein 110 [Source:MGI Symbol;Acc:MGI:2141942] MEEYEEFCEKALGRAQEASLSTGSFLPAQAESVSLIRFHGVAVLSPLLTIEKRKKIQEEK QKALDVQSRKQANRKKALLTRVQEILENVQVRKAPNASDFDQWATETIYSNPEVTDLNVP VRVPNSLPSPTEHCTSVKLEKITGLLPVNNEDQQTPKRVGLPGDSEVSGSLRQCESPESR QAEDGAALRLSSASPQETIISDVLGKEEQDPSCLAEVTPDPYIMSLQNLMKRSKEYVERE LSSRSLRNSLKRSVNETHSDRENDAAKASDCVKEKAPPMPIGRHCGSAIPDKPSLNKSNV LLQGASQASSMGTAGLASFSKIDLPAGAAPPAAPDAGSDFTVIPTFVTENKVKSLKGPYA KLPSPEPSMSPTMHRRHSRSASACQILINNPVNACELSPKGKEEAVDRTAPAAAETTNES ETVPKSPTDLTGVCSSNVSATKITSESTREMVVGKPSQRQQALGAHLGNNVTVERSAMEG PFIADDRGAQKVDGTCMAVPKLHELQPSSQCVSSQTLEDVCELKSASLLAKNSCNLQMEL NKSYDVKHPSPLLTQTQTSRQQMDTPPVFRGNEQFVDNSFEKVKRRLDLDVDSLQKENCP YIITAGVAEQERDRLLERRYPKGFVHINKNKMLETSPKEGQELLKSKMLAFEEMRKRLEE QHAQQLSLLIAEQEREQEQLQKEIEEQEKMLKEKAVTTDVSDLNSALEWRQRTDSALLET MLSQVDSLQTSNNSGFITSALQYSFGSAGEAPFYLWGSLTSGVTRVSGTRPCGRAQAKWS QVFNPEIHAKFNKITAVAKGFLTRKLMQTDKLKQLRQTVKDTMEFIRSFQSEAPLKRGVV SAQDASLQERVLAQLRAALYGIHDIFFVMDAAERMSILHHDREARKEKLLRQMDKMKSPR VALSVATQKSLDRKKFMKVAEMGMPNKKFLLKQNPSETRVLQPNQGQNAPVHRLLSRQGT PKTSVKGVVQNRQKPSQSRVPNRAPVSGAYAGKTQRKRPNVATI >ENSMUSP00000147070.1 pep:known chromosome:GRCm38:7:118721615:118737016:1 gene:ENSMUSG00000033904.16 transcript:ENSMUST00000123178.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccp110 description:centriolar coiled coil protein 110 [Source:MGI Symbol;Acc:MGI:2141942] XSEVSGSLRQCESPESRQAEDGAALRLSSASPQETIISDVLGKEEQDPSCLAEVTPDPYI MSLQNLMKRSKEYVERELSSRSLRNSLKRSVNETHSDRENDAAKASDCVKEKAPPMPIGR HCGSAIPDKPSLNKSNVLLQGASQASSMGTAGLASFSKIDLPAGAAPPAAPDAGSDFTVI PTFVTENKVKSLKGPYAKLPSPEPSMSPTMHRRHSRSASACQILINNPVNACELSPKGKE EAVDRTAPAAAETTNESETVPKSPTDLTGVCSSNVSATKITSESTREMVVGKPSQRQQAL GAHLGNNVTVERSAMEGPFIADDRGAQKVDGTCMAVPKLHELQPSSQCVSSQTLEDVCEL KSASLLAKNSCNLQMELNKSYDVKHPSPLLTQTQTSRQQMDTPPVFRGNEQFVDNSFEKV KRRLDLDVDSLQKENCPYIITAGVAEQERDRLLERRYPKGFVHINKNKMLETSPKEGQEL LKSKMLAFEEMRKRLEEQHAQQLSLLIAEQEREQEQLQKEIEEQEKMLKEKAVTTDVSDL NSALEWRQRTDSALLETMLSQVDSLQTSNNSGFITSALQYSFGSAGEAPFYLWGSLTSGV TRVSGTRPCGRAQAKWSQVFNPEIHAKFNKITAVAKGFLTRKLMQTDKLKQLRQTVKDTM EFIRSFQSEAPLKRGVVSAQDASLQERVLAQLRAALYGIHDIFFVMDAAERMSILHHDRE ARKEKLLRQMDKMKSPRVALSVATQKSLDRKKFMKVAEMGMPNKKFLLKQNPSETRVLQP NQGQNAPVHRLLSRQG >ENSMUSP00000120311.1 pep:known chromosome:GRCm38:17:47786155:47792416:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000146782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] HFQSPPPVPGEVLKFDDVIDNIMRLDSVLGYINPEMQMPNTLPLSSSHLNVYSGDPQVTA SMVGVTSSSCPADLTQKRELTDAESRALAKERQKKDNHNLIERRRRFNINDRIKELGMLI PKANDLDVRWNKGTILKASVDYIRRMQKDLQKSRELENHSRRLEMTNKQLWLRIQELEMQ ARVHGLPTTSPSGVNMAELAQQVVKQELPSEDGPGEALMLGPEVPEPEQMPALPPQAPLP SAAQPQSPFHHLDFSHGLSFGGGGDEGPTGYPDTLGTEHGSPFPNLSKKDLDLMLLDDSL LPLASDPLFSTMSPEASKASSRRSSFSMEEGDVL >ENSMUSP00000024786.7 pep:known chromosome:GRCm38:17:47785720:47792415:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000024786.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MAQLAQWSWANPFCPDSVSPCAQWEQPYLCQPVLKDYEDDEYFMGLSPLDYREPEPTAAM ASRIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQLGGPPTPAINTPVHFQSP PPVPGEVLKVQSYLENPTSYHLQQSQHQKVREYLSETYGNKFAAHVSPAQGSPKPAPAAS PGVRAGHVLSTSAGNSAPNSPMAMLHISSNPEKEFDDVIDNIMRLDSVLGYINPEMQMPN TLPLSSSHLNVYSGDPQVTASMVGVTSSSCPADLTQKRELTDAESRALAKERQKKDNHNL IERRRRFNINDRIKELGMLIPKANDLDVRWNKGTILKASVDYIRRMQKDLQKSRELENHS RRLEMTNKQLWLRIQELEMQARVHGLPTTSPSGVNMAELAQQVVKQELPSEDGPGEALML GPEVPEPEQMPALPPQAPLPSAAQPQSPFHHLDFSHGLSFGGGGDEGPTGYPDTLGTEHG SPFPNLSKKDLDLMLLDDSLLPLASDPLFSTMSPEASKASSRRSSFSMEEGDVL >ENSMUSP00000124379.1 pep:known chromosome:GRCm38:17:47769238:47786301:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000159641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MASRIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQLGGPPTPAINTPVHFQS PPPVPGEVLKVQSYLENP >ENSMUSP00000118057.1 pep:known chromosome:GRCm38:17:47782875:47786377:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000141631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MASRIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQLGGPPTPAINTPVHFQS PPPVPGEVLKVQSYLENPTSYHLQQSQHQKVREYLSETYGNKF >ENSMUSP00000124708.1 pep:known chromosome:GRCm38:17:47769238:47786299:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000160373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MASRIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQLGGPPTPAINTPVHFQS PPPVPGEVLKVQSYLEN >ENSMUSP00000122228.1 pep:known chromosome:GRCm38:17:47763170:47786297:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000130208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MASRIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQLGGPPTPAINTPVHFQS PPPVPGEVLKVQSYLE >ENSMUSP00000108909.1 pep:known chromosome:GRCm38:17:47738903:47789070:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000113284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MASRIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQLGGPPTPAINTPVHFQS PPPVPGEVLKVQSYLENPTSYHLQQSQHQKVREYLSETYGNKFAAHVSPAQGSPKPAPAA SPGVRAGHVLSTSAGNSAPNSPMAMLHISSNPEKEFDDVIDNIMRLDSVLGYINPEMQMP NTLPLSSSHLNVYSGDPQVTASMVGVTSSSCPADLTQKRELTDAESRALAKERQKKDNHN LIERRRRFNINDRIKELGMLIPKANDL >ENSMUSP00000119508.1 pep:known chromosome:GRCm38:17:47754326:47786084:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000137845.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MASRIGLRMQLMREQAQQEEQRERMQQQAVMH >ENSMUSP00000121888.1 pep:known chromosome:GRCm38:17:47759175:47786196:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000125177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MLLTLSGLSPLLSLQRAGLAASGYPFHREPEPTAAMASRIGLRMQLMREQAQQEEQRERM QQQAVMHYMQQQQQQQQQLGGPPTPAINTPVHFQSPPPVPGEVLK >ENSMUSP00000084151.3 pep:known chromosome:GRCm38:17:47737030:47792419:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000086932.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MASRIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQLGGPPTPAINTPVHFQS PPPVPGEVLKVQSYLENPTSYHLQQSQHQKVREYLSETYGNKFAAHVSPAQGSPKPAPAA SPGVRAGHVLSTSAGNSAPNSPMAMLHISSNPEKEFDDVIDNIMRLDSVLGYINPEMQMP NTLPLSSSHLNVYSGDPQVTASMVGVTSSSCPADLTQKRELTDAESRALAKERQKKDNHN LIERRRRFNINDRIKELGMLIPKANDLDVRWNKGTILKASVDYIRRMQKDLQKSRELENH SRRLEMTNKQLWLRIQELEMQARVHGLPTTSPSGVNMAELAQQVVKQELPSEDGPGEALM LGPEVPEPEQMPALPPQAPLPSAAQPQSPFHHLDFSHGLSFGGGGDEGPTGYPDTLGTEH GSPFPNLSKKDLDLMLLDDSLLPLASDPLFSTMSPEASKASSRRSSFSMEEGDVL >ENSMUSP00000119442.1 pep:known chromosome:GRCm38:17:47737600:47786084:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000126258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MASRIGLRMQLMREQAQQEEQRERMQQQAVMH >ENSMUSP00000108913.1 pep:known chromosome:GRCm38:17:47737928:47792415:1 gene:ENSMUSG00000023990.18 transcript:ENSMUST00000113288.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfeb description:transcription factor EB [Source:MGI Symbol;Acc:MGI:103270] MASRIGLRMQLMREQAQQEEQRERMQQQAVMHYMQQQQQQQQQLGGPPTPAINTPVHFQS PPPVPGEVLKVQSYLENPTSYHLQQSQHQKVREYLSETYGNKFAAHVSPAQGSPKPAPAA SPGVRAGHVLSTSAGNSAPNSPMAMLHISSNPEKEFDDVIDNIMRLDSVLGYINPEMQMP NTLPLSSSHLNVYSGDPQVTASMVGVTSSSCPADLTQKRELTDAESRALAKERQKKDNHN LIERRRRFNINDRIKELGMLIPKANDLDVRWNKGTILKASVDYIRRMQKDLQKSRELENH SRRLEMTNKQLWLRIQELEMQARVHGLPTTSPSGVNMAELAQQVVKQELPSEDGPGEALM LGPEVPEPEQMPALPPQAPLPSAAQPQSPFHHLDFSHGLSFGGGGDEGPTGYPDTLGTEH GSPFPNLSKKDLDLMLLDDSLLPLASDPLFSTMSPEASKASSRRSSFSMEEGDVL >ENSMUSP00000107447.1 pep:known chromosome:GRCm38:9:114507133:114564369:-1 gene:ENSMUSG00000079259.2 transcript:ENSMUST00000111816.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim71 description:tripartite motif-containing 71 [Source:MGI Symbol;Acc:MGI:2685973] MASFPETDFQICLLCKEMCGSPAPLSSNSSASSSSSQTSTSSAGGGGPGAAARRLHVLPC LHAFCRPCLEAHRLPAPGGAGPAEALKLRCPVCDQKVVLAEAAGMDALPSSAFLLSNLLD AVVATAEEPPPKNGRAGGGPGGAGGHSNHRHHAHHPAQRAAAPAPQPPPGPAASPGSLLM RRPHGCSSCDEGNAASSRCLDCQEHLCDNCVRAHQRVRLTKDHYIERGPPGPAAASAAQQ LGLGPPFAGAPFSILSVFPERLGFCQHHDDEVLHLYCDTCSVPICRECTLGRHGGHSFAY LQDALQDSRALTIQLLADAQQGRQALQLSIEQAQTVAEQVEMKAKVVQSEVKAVTARHKK ALEDRECELLWKVEKIRQVKAKSLFLQVEKLRQSLSKLESTISAVQQVLEEGRALDILLA RDRMLAQVQELKTIRGLLQPQEDDRIMFTPPDQALYLALKSIGFVSSGAFAPLTKATGDG IKRALQGKVASFTVMGYDHDGEPRHSGGDLMSVVVLGPDGNLFGAEVSDQQNGTYIVSYR PQLEGEHLVSVTLYNQHIENSPFKVVVKSGRSYVGIGLPGLSFGSEGDGEGKLCRPWGVS VDKEGFIIVADRSNNRIQVFKPCGSFHHKFGTLGSRPGQFDRPAGVACDASRRIIVADKD NHRIQIFTFEGQFLLKFGEKGTKNGQFNYPWDVAVNSEGKILVSDTRNHRIQLFGPDGVF LNKYGFEGSLWKHFDSPRGVAFNNEGHLVVTDFNNHRLLVIHPDCQSARFLGSEGSGNGQ FLRPQGVAVDQEGRIIVADSRNHRVQMFEANGSFLCKFGAQGSGFGQMDRPSGIAVTPDG LIVVVDFGNNRILIF >ENSMUSP00000123759.1 pep:known chromosome:GRCm38:6:70844553:70864825:1 gene:ENSMUSG00000031668.14 transcript:ENSMUST00000162950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak3 description:eukaryotic translation initiation factor 2 alpha kinase 3 [Source:MGI Symbol;Acc:MGI:1341830] MERATRPGPRALLLLLFLLLGCAAGISAVAPARSLLAPASETVFGLGAAAAPTSAARVPA VATAEVTVEDAEALPAAAGEPESRATEPDDDVELRPRGRSLVIISTLDGRIAALDAENDG KKQWDLDVGSGSLVSSSLSKPEGTHKTSSKEC >ENSMUSP00000034093.8 pep:known chromosome:GRCm38:6:70844515:70905245:1 gene:ENSMUSG00000031668.14 transcript:ENSMUST00000034093.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2ak3 description:eukaryotic translation initiation factor 2 alpha kinase 3 [Source:MGI Symbol;Acc:MGI:1341830] MERATRPGPRALLLLLFLLLGCAAGISAVAPARSLLAPASETVFGLGAAAAPTSAARVPA VATAEVTVEDAEALPAAAGEPESRATEPDDDVELRPRGRSLVIISTLDGRIAALDAENDG KKQWDLDVGSGSLVSSSLSKPEVFGNKMIIPSLDGDLFQWDRDRESMEAVPFTVESLLES SYKFGDDVVLVGGKSLTTYGLSAYSGKLRYICSALGCRRWDSDEMEEEEDILLLQRTQKT VRAVGPRSGSEKWNFSVGHFELRYIPDMETRAGFIESTFKPGGNKEDSKIISDVEEQEAT MLDTVIKVSVADWKVMAFSRKGGRLEWEYQFCTPIASAWLVRDGKVIPISLFDDTSYTAS EEALGDEEDIVEAARGATENSVYLGMYRGQLYLQSSVRVSEKFPTSPKALESVNGENAII PLPTIKWKPLIHSPSRTPVLVGSDEFDKCLSNDKYSHEEYSNGALSILQYPYDNGYYLPY YKRERNKRSTQITVRFLDSPHYSKNIRKKDPILLLHWWKEIFGTILLCIVATTFIVRRLF HPQPHRQRKESETQCQTESKYDSVSADVSDNSWNDMKYSGYVSRYLTDFEPIQCMGRGGF GVVFEAKNKVDDCNYAIKRIRLPNRELAREKVMREVKALAKLEHPGIVRYFNAWLETPPE KWQEEMDEIWLKDESTDWPLSSPSPMDAPSVKIRRMDPFSTKEQIEVIAPSPERSRSFSV GISCGQTSSSESQFSPLEFSGTDCGDNSDSADAAYNLQDSCLTDCEDVEDGTVDGNDEGH SFELCPSEASPYTRSREGTSSSIVFEDSGCGNASSKEEPRGNRLHDGNHYVNKLTDLKCS SSRSSSEATTLSTSPTRPTTLSLDFTKNTVGQLQPSSPKVYLYIQMQLCRKENLKDWMNR RCSLEDREHGVCLHIFLQIAEAVEFLHSKGLMHRDLKPSNIFFTMDDVVKVGDFGLVTAM DQDEEEQTVLTPMPAYATHTGQVGTKLYMSPEQIHGNNYSHKVDIFSLGLILFELLYPFS TQMERVRILTDVRNLKFPLLFTQKYPQEHMMVQDMLSPSPTERPEATDIIENAIFENLEF PGKTVLRQRSRSMSSSGTKHSRQPSCSYSPLPGN >ENSMUSP00000027754.6 pep:known chromosome:GRCm38:1:152807890:152836990:1 gene:ENSMUSG00000026480.12 transcript:ENSMUST00000027754.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncf2 description:neutrophil cytosolic factor 2 [Source:MGI Symbol;Acc:MGI:97284] MSLAEAIRLWNEGVLAADKKDWKGALEAFSEVQDPHSRICFNIGCVNTILENLQAAEQAF TKSINRDKHSAVAYFQRGMLYYRMEKYDLAIKDLKEALTQLRGNQLIDYKILGLQFKLFA CEVLYNIALMHAKKEEWKKAEEQLALATNMKSEPRHSKIDKAMESIWKQKLFEPVVIPVG RLFRPNERQVAQLAKKDYLGKATVVASVVHQDNFSGFAPLQPQSAEPPPRPKTPEIFRAL EGEAHRVLFGFVPETPEELQVMPGNIVFVLKKGSDNWATVMFNGQKGLVPCNYLEPVELR IHPQSQPQEDTSPESDIPPPPNSSPPGRLQLSPGHKQKEPKELKLSVPMPYMLKVHYKYT VVMETRLGLPYSQLRNMVSKKLALSPEHTKLSYRRRDSHELLLLSEESMKDAWGQVKNYC LTLWCEHTVGDQGLIDEPIQRENSDASKQTTEPQPKEGTQVVAIFSYEAAQPEDLEFVEG DVILVLSHVNEEWLEGECKGKVGIFPKAFVEGCAAKNLEGIPREV >ENSMUSP00000139774.1 pep:known chromosome:GRCm38:1:152807837:152824292:1 gene:ENSMUSG00000026480.12 transcript:ENSMUST00000190323.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncf2 description:neutrophil cytosolic factor 2 [Source:MGI Symbol;Acc:MGI:97284] MLYYRMEKYDLAIKDLKEALTQLRGNQLIDYKILGLQFKLFACEVLYNIALMHAKKEEWK KAEEQLALATNMKSEPRHSKIDKAMESIWKQKLFEPVVIPVGRLFRPNERQVAQ >ENSMUSP00000140404.1 pep:known chromosome:GRCm38:1:152800194:152836991:1 gene:ENSMUSG00000026480.12 transcript:ENSMUST00000186568.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncf2 description:neutrophil cytosolic factor 2 [Source:MGI Symbol;Acc:MGI:97284] MSLAEAIRLWNEGVLAADKKDWKGALEAFSEVQDPHSRICFNIGCVNTILENLQAAEQAF TKSINRDKHSAVAYFQRGMLYYRMEKYDLAIKDLKEALTQLRGNQLIDYKILGLQFKLFA CEVLYNIALMHAKKEEWKKAEEQLALATNMKSEPRHSKIDKAMESIWKQKLFEPVVIPVG RLFRPNERQVAQLAKKDYLGKATVVASVVHQDNFSGFAPLQPQSAEPPPRPKTPEIFRAL EGEAHRVLFGFVPETPEELQVMPGNIVFVLKKGSDNWATVMFNGQKGLVPCNYLEPVELR IHPQSQPQEDTSPESDIPPPPNSSPPGRLQLSPGHKQKEPKELKLSVPMPYMLKVHYKYT VVMETRLGLPYSQLRNMVSKKLALSPEHTKLSYRRRDSHELLLLSEESMKDAWGQVKNYC LTLWCEHTVGDQGLIDEPIQRENSDASKQTTEPQPKEGTQVVAIFSYEAAQPEDLEFVEG DVILVLSHVNEEWLEGECKGKVGIFPKAFVEGCAAKNLEGIPREV >ENSMUSP00000028981.8 pep:known chromosome:GRCm38:2:153741274:153773310:1 gene:ENSMUSG00000027479.14 transcript:ENSMUST00000028981.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapre1 description:microtubule-associated protein, RP/EB family, member 1 [Source:MGI Symbol;Acc:MGI:891995] MAVNVYSTSVTSDNLSRHDMLAWINESLQLNLTKIEQLCSGAAYCQFMDMLFPGSIALKK VKFQAKLEHEYIQNFKILQAGFKRMGVDKIIPVDKLVKGKFQDNFEFVQWFKKFFDANYD GKEYDPVAARQGQETAVAPSLVAPALSKPKKPLGSSTAAPQRPIATQRTTAAPKAGPGMV RKNPGVGNGDDEAAELMQQVKVLKLTVEDLEKERDFYFGKLRNIELICQENEGENDPVLQ RIVDILYATDEGFVIPDEGGPQEEQEEY >ENSMUSP00000020027.4 pep:known chromosome:GRCm38:10:57515774:57532530:-1 gene:ENSMUSG00000019877.10 transcript:ENSMUST00000020027.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc1 description:serine incorporator 1 [Source:MGI Symbol;Acc:MGI:1926228] MGSVLGLCSVASWIPCLCGSAPCLLCRCCPSGNNSTVTRLIYALFLLVGVCVACVMLIPG MEEQLNKIPGFCENEKGVVPCNILVGYKAVYRLCFGLAMFYLLLSLLMIKVKSSSDPRAA VHNGFWFFKFATAVAIIIGAFFIPEGTFTTVWFYVGMAGAFCFILIQLVLLIDFAHSWNE SWVEKMEEGNSRCWYAALLSATALNYLLSLVAVVLFFVYYTHPASCAENKAFISVNMLLC IGASVMSILPKIQESQPRSGLLQSSVITVYTMYLTWSAMTNEPETNCNPSLLSIIGFNTT RPIPKDGQSVQWWHPQGIIGLVLFLLCVFYSSIRTSNNSQVNKLTLTSDESTLIEDGNGR SDGSLDDGDGIHRAVDNERDGVTYSYSFFHFMLFLASLYIMMTLTNWYRYEPSREMKSQW TAVWVKISSSWIGLVLYVWTLVAPLVLTNRDFD >ENSMUSP00000126561.1 pep:known chromosome:GRCm38:10:57523035:57532428:-1 gene:ENSMUSG00000019877.10 transcript:ENSMUST00000169122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc1 description:serine incorporator 1 [Source:MGI Symbol;Acc:MGI:1926228] MGSVLGLCSVASWIPCLCGSAPCLLCRCCPSGNNSTVTRLIYALFLLVGVCVACVMLIPG MEEQLNKIPGFCENEKGVVPCNILVGYKAVYRLCFGLAMFYLLLSLLMIKVKSSSDPRAA VHNGFWFFKFATAVAIIIGAFFIPEGTFTTEGNSRCWYAALLSATALNYLLSLVAVVLFF VYYTHPASCAENKAFISVNMLLCIGASVMSILPKIQESQP >ENSMUSP00000127041.1 pep:known chromosome:GRCm38:10:57523184:57532410:-1 gene:ENSMUSG00000019877.10 transcript:ENSMUST00000170062.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serinc1 description:serine incorporator 1 [Source:MGI Symbol;Acc:MGI:1926228] MGSVLGLCSVASWIPCLCGSAPCLLCRCCPSGNNSTVTRLIYALFLLVGVCVACVMLIPG MEEQLNKIPGFCENEKGVVPCNILVGYKAVYRLCFGLAMFYLLLSLLMIKILVL >ENSMUSP00000117509.1 pep:known chromosome:GRCm38:9:114899341:114933987:-1 gene:ENSMUSG00000050627.13 transcript:ENSMUST00000146623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpd1l description:glycerol-3-phosphate dehydrogenase 1-like [Source:MGI Symbol;Acc:MGI:1289257] MAAAPLKVCIVGSGNWGSAVAKIIGSNVKTLQKFSSTVKMWVFEETVNGRKLTDIINNDH ENVKYLPGHKLPENVVAVPNLSEAVQDADLLVFVIPHQFIHKICDEITGRVPEKALGITL IKGIDEGPDGLKLISDIIREKMGIDISVLMGANIASEVAAEKFCETTIGSKVMQNGLLFK ELLQTPNFRITVVDDADTVELCGALKNIVAVGAGFCDGLRCGDNTKAAVIRLGLMEMIAF AKIFCKGQVSTATFLESCGVADLITTCYGGRNRRVAEAFARTGKTIEELEKELLNGQKLQ GPQTSAEVYRILRQKGLLDKFPLFTAVYQICYEGRPVTQMLSCLQSHPEHI >ENSMUSP00000123212.1 pep:known chromosome:GRCm38:9:114900438:114909076:-1 gene:ENSMUSG00000050627.13 transcript:ENSMUST00000129305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpd1l description:glycerol-3-phosphate dehydrogenase 1-like [Source:MGI Symbol;Acc:MGI:1289257] MEMIAFAKIFCKGQVSTATFLESCGVADLITTCYGGRNRRVAEAFARTGKTIEELEKELL NGQKLQGPQTSAEVYRILRQKGLLDKFPLFTAVYQICYEGRPVTQMLSCLQSHPEHI >ENSMUSP00000081913.3 pep:known chromosome:GRCm38:9:114903346:114933879:-1 gene:ENSMUSG00000050627.13 transcript:ENSMUST00000084853.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpd1l description:glycerol-3-phosphate dehydrogenase 1-like [Source:MGI Symbol;Acc:MGI:1289257] MAAAPLKVCIVGSGNWGSAVAKIIGSNVKTLQKFSSTVKMWVFEETVNGRKLTDIINNDH ENVKYLPGHKLPENVVAVPNLSEAVQDADLLVFVIPHQFIHKICDEITGRVPEKALGITL IKGIDEGPDGLKLISDIIREKMGIDISVLMGANIASEVAAEKFCETTIGSKVMQNGLLFK ELLQTPNFRITVVDDADTVELCGALKNIVAVGAGFCDGLRCGDNTKAAVIRLGLMEMIAF AKIFCKGQVSTATFLESCGVADLITTCYGGRNRRVAEAFARTGKTIEELEKELLNGQKLQ GPQTSAEVYRILRQKGLLDK >ENSMUSP00000126523.1 pep:known chromosome:GRCm38:12:84940161:84946923:-1 gene:ENSMUSG00000042350.13 transcript:ENSMUST00000169161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arel1 description:apoptosis resistant E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1915747] MFYVIGGIIVSVVAFFFTIKFLFELAARVVSFLQNEDRERRGDRTIYDYVRGNYLDPRSC KVSWDWKDPYEVGHSMAFRVHLFYKNGQPFPAHRPVGLRVHISHVELAVDIPVTQEVLQE PNSNVVKVAFTVRKAGRYEITVKLGGLNVAYSPYYKIFQP >ENSMUSP00000129147.1 pep:known chromosome:GRCm38:12:84941761:84945398:-1 gene:ENSMUSG00000042350.13 transcript:ENSMUST00000165093.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arel1 description:apoptosis resistant E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1915747] MFYVIGGIIVSVVAFFFTIKFLFELAARVVSFLQNEDRERRGDRTIYDYVRGNYLDPRSC KVSWDWKDPYE >ENSMUSP00000127426.1 pep:known chromosome:GRCm38:12:84941782:84970856:-1 gene:ENSMUSG00000042350.13 transcript:ENSMUST00000167448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arel1 description:apoptosis resistant E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1915747] MFYVIGGIIVSVVAFFFTIKFLFELAARVVSFLQNEDRERRGDRTIYDYVRGNYLDPRSC KVSW >ENSMUSP00000126508.1 pep:known chromosome:GRCm38:12:84941791:84970838:-1 gene:ENSMUSG00000042350.13 transcript:ENSMUST00000165886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arel1 description:apoptosis resistant E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1915747] MFYVIGGIIVSVVAFFFTIKFLFELAARVVSFLQNEDRERRGDRTIYDYVRGNYLDPRSC K >ENSMUSP00000129213.1 pep:known chromosome:GRCm38:12:84918148:84970824:-1 gene:ENSMUSG00000042350.13 transcript:ENSMUST00000163231.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arel1 description:apoptosis resistant E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1915747] MFYVIGGIIVSVVAFFFTIKFLFELAARVVSFLQNEDRERRGDRTIYDYVRGNYLDPRSC KVSWDWKDPYEVGHSMAFRVHLFYKNGQPFPAHRPVGLRVHISHVELAVDIPVTQEVLQE PNSNVVKVAFTVRKAGRYEITVKLGGLNVAYSPYYKIFQPGMVVPSKTKIVCHFSTLVLT CGQPHTLQIVPRDEYDNPTNNSMSLRDEHSYSLAIHELGPQEEENNEVSFEKSVTSNRQT CQVFLRLTLHSRGCFHACISYQNQPINNGEFDIIVLSENEKNIVERNVSTSGVSIYFEAY LYNANNCTSTPWHLPPMHMSSSQRRPSTAIEEDDEDSPSECHTPEKVKKPKKVYCYVSPK QFSVKEFYLKIIPWRLYTFRVCPGTKFSYLGPDPVHKLLTLVVDDGIQPPVELSCKERNI LAATFIRSLHKNIGGSETFQDKVNFFQRELRQVHMKRPHSKVTLKVSRHALLESSLKATR NFSISDWSKNFEVVFQDEEGAS >ENSMUSP00000048780.7 pep:known chromosome:GRCm38:12:84918150:84970900:-1 gene:ENSMUSG00000042350.13 transcript:ENSMUST00000043169.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arel1 description:apoptosis resistant E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1915747] MFYVIGGIIVSVVAFFFTIKFLFELAARVVSFLQNEDRERRGDRTIYDYVRGNYLDPRSC KVSWDWKDPYEVGHSMAFRVHLFYKNGQPFPAHRPVGLRVHISHVELAVDIPVTQEVLQE PNSNVVKVAFTVRKAGRYEITVKLGGLNVAYSPYYKIFQPGMVVPSKTKIVCHFSTLVLT CGQPHTLQIVPRDEYDNPTNNSMSLRDEHSYSLAIHELGPQEEENNEVSFEKSVTSNRQT CQVFLRLTLHSRGCFHACISYQNQPINNGEFDIIVLSENEKNIVERNVSTSGVSIYFEAY LYNANNCTSTPWHLPPMHMSSSQRRPSTAIEEDDEDSPSECHTPEKVKKPKKVYCYVSPK QFSVKEFYLKIIPWRLYTFRVCPGTKFSYLGPDPVHKLLTLVVDDGIQPPVELSCKERNI LAATFIRSLHKNIGGSETFQDKVNFFQRELRQVHMKRPHSKVTLKVSRHALLESSLKATR NFSISDWSKNFEVVFQDEEALDWGGPRREWFELICKALFDTTSQLFARFTDSNQALVHPN PNRPAHLRLKMYEFAGRLVGKCLYESSLGGAYKQLVRARFTRSFLAQIIGLRMHYKYFET DDPEFYKSKVCFILNNDMSEMELVFAEEKYNKSGQLDKIVELMTGGAQTPVTNANKIFYL NLLAQYRLASQVKEEVEHFLKGLNELVPENLLAIFDENELELLMCGTGDINVSDFKAHAV VVGGSWHFREKVMRWFWAVVSSLTQEELARLLQFTTGSSQLPPGGFAALCPSFQIIAAPT HSTLPTAHTCFNQLCLPTYDSYEEVHRMLQLAISEGCEGFGML >ENSMUSP00000130259.1 pep:known chromosome:GRCm38:12:84934319:84943378:-1 gene:ENSMUSG00000042350.13 transcript:ENSMUST00000163372.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arel1 description:apoptosis resistant E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1915747] MFYVIGGIIVSVVAFFFTIKFLFELAARVVSFLQNEDRERRGDRTIYDYVRGNYLDPRSC KVSWDWKDPYEVGHSMAFRVHEWWFLPKQKLCAIFLLWC >ENSMUSP00000122819.1 pep:known chromosome:GRCm38:2:144556334:144564652:1 gene:ENSMUSG00000027429.16 transcript:ENSMUST00000149697.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec23b description:SEC23 homolog B, COPII coat complex component [Source:MGI Symbol;Acc:MGI:1350925] MATYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPLACLLTPLKERPDLPPVQYEPVL CSRPTCKAILNPLCQVDYRAKLWACNFCFQRNQFPPAYAGISEVNQPAELMPQFSTIEYM IQ >ENSMUSP00000122884.1 pep:known chromosome:GRCm38:2:144556319:144566810:1 gene:ENSMUSG00000027429.16 transcript:ENSMUST00000155876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec23b description:SEC23 homolog B, COPII coat complex component [Source:MGI Symbol;Acc:MGI:1350925] MATYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPLACLLTPLKERPDLPPVQYEPVL CSRPTCKAILNPLCQVDYRAKLWACNFCFQRNQFPPAYAGISEVNQPAELMPQFSTIEYM IQRGARSPLIFLYVVDTC >ENSMUSP00000028916.8 pep:known chromosome:GRCm38:2:144556256:144590749:1 gene:ENSMUSG00000027429.16 transcript:ENSMUST00000028916.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec23b description:SEC23 homolog B, COPII coat complex component [Source:MGI Symbol;Acc:MGI:1350925] MATYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPLACLLTPLKERPDLPPVQYEPVL CSRPTCKAILNPLCQVDYRAKLWACNFCFQRNQFPPAYAGISEVNQPAELMPQFSTIEYM IQRGARSPLIFLYVVDTCLEEDDLQALKESLQMSLSLLPPDALVGLITFGRMVQVHELSC EGISKSYVFRGTKDLTAKQIQEMLGLTKSAMPVQQARPAQPQEQPFVSSRFLQPIHKIDM NLTDLLGELQRDPWPVTQGKRPLRSTGVALSIAVGLLEGTFPNTGARIMLFTGGPPTQGP GMVVGDELKTPIRSWHDIEKDNARFMKKATKHYEMLANRTATNGHCIDIYACALDQTGLL EMKCCPNLTGGHMVMGDSFNTSLFKQTFQRIFSKDFNGDFRMAFGATLDVKTSRELKIAG AIGPCVSLNVKGPCVSENELGVGGTSQWKICGLDPSSTLGIYFEVVNQHNAPVPQGGRGA IQFVTQYQHSSTQKRIRVTTIARNWADAQSQLRHIEAAFDQEAAAVLMARLGVFRAESEE GPDVLRWLDRQLIRLCQKFGQYNKEDPTSFRLSDSFSLYPQFMFHLRRSPFLQVFNNSPD ESSYYRHHFARQDLTQSLIMIQPILYSYSFHGPPEPVLLDSSSILADRILLMDTFFQIVI YLGETIAQWRKAGYQDMPEYENFKHLLQAPLDDAQEILQARFPMPRYINTEHGGSQARFL LSKVNPSQTHNNLYAWGQETGAPILTDDVSLQVFMDHLKKLAVSSAS >ENSMUSP00000123690.1 pep:known chromosome:GRCm38:2:144556281:144559396:1 gene:ENSMUSG00000027429.16 transcript:ENSMUST00000155258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec23b description:SEC23 homolog B, COPII coat complex component [Source:MGI Symbol;Acc:MGI:1350925] MATYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPLACLLTPLKERPDLPPVQYEPV >ENSMUSP00000120972.1 pep:known chromosome:GRCm38:2:144556229:144568027:1 gene:ENSMUSG00000027429.16 transcript:ENSMUST00000143573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec23b description:SEC23 homolog B, COPII coat complex component [Source:MGI Symbol;Acc:MGI:1350925] MATYLEFIQQNEERDGVRFSWNVWPSSRLEATRMVVPLACLLTPLKERPDLPPVQYEPVL CSRPTCKAILNPLCQVDYRAKLWACNFCFQRNQFPPAYAGISEVNQPAELMPQFSTIEYM IQRGARSPLIFLYVVDTCLEEDDLQALKESLQMSLSLLPPDALVGLITFGRMVQVHELSC EGISKSYVFRGTKDLTAKQIQEMLGLTKSAMPVQQARPAQPQEQPFVSSRFLQPIHKIDM NLTDLLGELQRDPWPVTQGKRPLRSTGVALSIAVGLLE >ENSMUSP00000135811.1 pep:known chromosome:GRCm38:2:153780879:153795182:1 gene:ENSMUSG00000044083.11 transcript:ENSMUST00000144827.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Efcab8 description:EF-hand calcium binding domain 8 [Source:MGI Symbol;Acc:MGI:3644206] MPSSLHAPLSGEVSAFSLSCPYFRCQDSTGEDLGRFPSPLPFLMCLLLFFPFRARWPRLM SSEKPGESPKPQKMAQPGGSQKKETSRSVPVTDPTSHNSEINQRDQQFSDMHLADLQKVF EKEADENGALKKEGFIRIMKGVLSSMSEEMLELLFLKVDSDCNGFVTWQKYVDYMMREFQ GKEEMRKSQYRLRFHLPMTVIPL >ENSMUSP00000135661.1 pep:known chromosome:GRCm38:2:153798619:153816482:1 gene:ENSMUSG00000044083.11 transcript:ENSMUST00000126656.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab8 description:EF-hand calcium binding domain 8 [Source:MGI Symbol;Acc:MGI:3644206] XSDNVAGGLFNPQILPRASKWDHWFTVSLKKLLNEKAPLYRSFRMKGLHPNWCQQVKYIP QLNVVASCSAVEKSSLVLIILPGKEPEKPRLSSLNLRKGILCFDYCPDRNFLATGGYDPH IRLWNPLVSKKPVWLMKGHQTSVTHLLVNSRNASILISISRDKNIRVWDLQDYVCLQSFC GKLFPLGNCPITSTYFYRSSTLICSTYNIGILRGYLESQGPLKTGRMTTHNTPLCAVLYS KIFKQVVSGCLQGLVSVWDISTGKKRMEIPVSGIQESELTAMALDQSERCLLTGLRDGTM QMWNYNTGECLMTFPNPDRVEISGIVHMNKVFYTTGWSKRITNFT >ENSMUSP00000134797.1 pep:known chromosome:GRCm38:2:153842981:153844752:1 gene:ENSMUSG00000044083.11 transcript:ENSMUST00000175856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab8 description:EF-hand calcium binding domain 8 [Source:MGI Symbol;Acc:MGI:3644206] XAWSKLQQMSLTSPWTKSPSVEDIEESWTRWTKDKQASKIVGAAYKPKVRVRNPKLLTSR AQFNWMRQQVRKRGVASEMSGPSETSRPHSVCVFCFWHKLVRLRYFLSAEILSLRTQATG LMERWFSSGLFCL >ENSMUSP00000021669.8 pep:known chromosome:GRCm38:12:84970897:84983303:1 gene:ENSMUSG00000021243.14 transcript:ENSMUST00000021669.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcf1 description:FCF1 rRNA processing protein [Source:MGI Symbol;Acc:MGI:1920986] MGKQKKTRKYATMKRMLSLRDERLKEKDRLKPKKKEKKDPSALKEREVPQHPSCLFFQYN TQLGPPYHILVDTNFINFSIKAKLDLVQSMMDCLYAKCIPCITDCVMAEIEKLGQKFRVA LRIAKDPRFDRLPCTHKGTYADDCLVQRVTQHKCYIVATVDRDLKRRIRKIPGVPIMYLS NHRYNIERMPDDYGAPRF >ENSMUSP00000131190.1 pep:known chromosome:GRCm38:12:84970981:84983291:1 gene:ENSMUSG00000021243.14 transcript:ENSMUST00000171040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcf1 description:FCF1 rRNA processing protein [Source:MGI Symbol;Acc:MGI:1920986] MKRMLSLRDERLKEKDRLKPKKKEKKDPSALKEREVPQHPSCLFFQYNTQLGPPYHILVD TNFINFSIKAKLDLVQSMMDCLYAKCIPCITDCVMAEIEKLGQKFRVALRIAKDPRFDRL PCTHKGTYADDCLVQRVTQHKCYIVATVDRDLKRRIRKIPGVPIMYLSNHRYNIERMPDD YGAPRF >ENSMUSP00000028982.4 pep:known chromosome:GRCm38:2:153856188:153871084:-1 gene:ENSMUSG00000027480.12 transcript:ENSMUST00000028982.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun5 description:Sad1 and UNC84 domain containing 5 [Source:MGI Symbol;Acc:MGI:1923657] MPRTRNIGALCTLPEDTTHSGRPRRGVQRSYISRMAEPAPANMTWLTYLACFLRTQTQQV FLNTCRCKLFCQKVMEKMGLLVLCVFGFWMFSMHLPSKVEVWQDDSINGPLQSLRMYQEK VRHHTGEIQDLRGSMNQLIAKLQKMEAISDEQKMAQKIMKMIQGDYIEKPDFALKSIGAS IDFEHTSATYNHDKARSYWNWIRLWNYAQPPDVILEPNVTPGNCWAFASDRGQVTIRLAQ KVYLSNITLQHIPKTISLSGSLDTAPKDFVIYGLESLPREEVFLGAFQFQPENIIQTFQL QNLPPRSFAAVKVKISSNWGNPRFTCMYRVRVHGSVTPPKDSHLEPLS >ENSMUSP00000027102.1 pep:known chromosome:GRCm38:1:63754907:63769267:-1 gene:ENSMUSG00000025961.1 transcript:ENSMUST00000027102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933402D24Rik description:RIKEN cDNA 4933402D24 gene [Source:MGI Symbol;Acc:MGI:1921676] MDMNYFRRGQPALFSTDLKPNCPRRLEMFAAATERKLESAVLHNFPKSKENIGKLSARSQ KDNMIPGWEQCLRGQWKTCSELWEKNRKPKCEKNRRKTKGGGMVSLLPCLLEKCAESKIT CQSSLKKPLVRMTRHCHRTCSQKELHLLLRAQNLGNHHDDLSRALLH >ENSMUSP00000114900.1 pep:known chromosome:GRCm38:7:44468089:44471651:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000136679.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRDSEHSLGSEGS SPRRNDI >ENSMUSP00000112796.1 pep:known chromosome:GRCm38:7:44468094:44471643:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000121922.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRPLSQLALPQVL GLILNLPSPVSLGLLSLPLRRRHWVALRQVDGIYYNLDSKLRAPEALGDEDGVRTFLAAA LAQGLCEVLLVVTKEVEEAGCWLNTS >ENSMUSP00000146846.1 pep:known chromosome:GRCm38:7:44468123:44470468:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000208117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRLAPDSRLNPHR SLLGTGN >ENSMUSP00000147171.1 pep:known chromosome:GRCm38:7:44468826:44471603:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000139097.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] XSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRLAPDSRLNPHR SLLGTGNYDVNVIMAALQGLGLAAVWWDRRRRLPRHI >ENSMUSP00000113313.1 pep:known chromosome:GRCm38:7:44468023:44471651:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000117324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRLAPDSRLNPHR SLLGTGNYDVNVIMAALQGLGLAAVWWDRRRPLSQLALPQVLGLILNLPSPVSLGLLSLP LRRRHWVALRQVDGIYYNLDSKLRAPEALGDEDGVRTFLAAALAQGLCEVLLVVTKEVEE AGCWLNTS >ENSMUSP00000114105.1 pep:known chromosome:GRCm38:7:44468036:44471536:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000120852.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRLAPDSRLNPHR SLLGTGNYDVNVIMAALQGLGLAAVWWDRRRPLSQLALPQVLGLILNLPSPVSLGLLSLP LRRRHWVALRQVDGIYYNLDSKLRAPEALGDEDGVRTFLAAALAQGLCEVLLVVTKEVEE AGCWLNTS >ENSMUSP00000145857.1 pep:known chromosome:GRCm38:7:44468039:44471662:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000134398.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRTFLAAALAQGL CEVLLVVTKEVEEAGCWLNTS >ENSMUSP00000113172.1 pep:known chromosome:GRCm38:7:44468045:44471648:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000118628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRLAPDSRLNPHR SLLGTGNYDVNVIMAALQGLGLAAVWWDRRRPLSQLALPQVLGLILNLPSPVSLGLLSLP LRRRHWVALRQVDGIYYNLDSKLRAPEALGDEDGVRTFLAAALAQGLCEVLLVVTKEVEE AGCWLNTS >ENSMUSP00000113226.1 pep:known chromosome:GRCm38:7:44468072:44471621:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000118493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRLAPDSRLNPHR SLLGTGNYDVNVIMAALQGLGLAAVWWDRRRPLSQLALPQVLGLILNLPSPVSLGLLSLP LRRRHWVALRQVDGIYYNLDSKLRAPEALGDEDGVRTFLAAALAQGLCEVLLVVTKEVEE AGCWLNTS >ENSMUSP00000123205.1 pep:known chromosome:GRCm38:7:44468085:44471176:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000136609.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRLAPDSRLNPHR SLLGTGNYDVNVIMAALQGLGLAAVWWDRRRRLPRHI >ENSMUSP00000145582.1 pep:known chromosome:GRCm38:7:44468022:44471649:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000206887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRLAPDSRLNPHR SLLGTGNYDVNVIMAALQGLGLAAVWWDRRRTFLAAALAQGLCEVLLVVTKEVEEAGCWL NTS >ENSMUSP00000048415.4 pep:known chromosome:GRCm38:7:44467980:44471652:1 gene:ENSMUSG00000038695.13 transcript:ENSMUST00000035844.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Josd2 description:Josephin domain containing 2 [Source:MGI Symbol;Acc:MGI:1913374] MSQAPEARPSPPSVYHERQRLELCAVHALNNVLQEQLFSQEAADEICKRLAPDSRLNPHR SLLGTGNYDVNVIMAALQGLGLAAVWWDRRRPLSQLALPQVLGLILNLPSPVSLGLLSLP LRRRHWVALRQVDGIYYNLDSKLRAPEALGDEDGVRTFLAAALAQGLCEVLLVVTKEVEE AGCWLNTS >ENSMUSP00000060523.5 pep:known chromosome:GRCm38:9:114982371:114982740:-1 gene:ENSMUSG00000050621.6 transcript:ENSMUST00000053150.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps27rt description:ribosomal protein S27, retrogene [Source:MGI Symbol;Acc:MGI:3704345] MPLAKDLLHPSPEEEKRKHKKKRLVQSPNSYFMDVKCPGCYKITTVFSHAQTVVLCVGCS TVLCQPTGGKARLTEGCSFRRKQH >ENSMUSP00000038013.5 pep:known chromosome:GRCm38:9:115067279:115232223:1 gene:ENSMUSG00000040875.12 transcript:ENSMUST00000046627.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl10 description:oxysterol binding protein-like 10 [Source:MGI Symbol;Acc:MGI:1921736] MEMSSKTTPGSRSRSLTLLPHGTPSSASPCSQRHLSTGAPGVVSVTRHKSPAAARRAKSQ YSGQLHEVREMMNQVEGQQKNLVHAIESLPGSGPLTALDQDLLLLKATSAATLSCLGECL SLLQQSVRQAAPPSHKPGASETILGWHGPTSHSTDQLKNGTLGSLPSASANITWAILPNS AEEEHNSQPEPEPDSGPELVLSEEEQSDNEDKGEVEPGAMEDQRSVILHLISQLKLGMDL TKVVLPTFILEKRSLLEMYADFMAHPDLLLAITAGATPEERVISFVEYYLTAFHEGRKGT LAKKPYNPIIGETFHCSWEVPKDRVKSKWTSPHPPISAHEHPMADDPSKSYKLRFVAEQV SHHPPISCFYCECKEKRLCVNTHVWTKSKFMGMSVGVSMIGEGVLRLLDHGEEYVFTLPS AYARSILTVPWVELGGKVNISCAKTGYSATVTFHTKPFYGGKVHRVTAEVKHNPTNTIVC KAHGEWNGTLEFTYSNGETKVIDTTTLPVYPKKLRPLEKQGPMESRNLWQEVTHYLRLGD IDAATEQKRRLEERQRVEERKRETLRTPWRPKYFIPEGDGWVYFNPLWKTH >ENSMUSP00000138206.1 pep:known chromosome:GRCm38:9:115016663:115232219:1 gene:ENSMUSG00000040875.12 transcript:ENSMUST00000182199.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Osbpl10 description:oxysterol binding protein-like 10 [Source:MGI Symbol;Acc:MGI:1921736] MLVVYSANGEMYKLRAADSKEKQLWVTQLRACAKYHMEMSSKVDYSRLPQPKPHFAPPWN TQFCISL >ENSMUSP00000138266.1 pep:known chromosome:GRCm38:9:115054341:115067312:1 gene:ENSMUSG00000040875.12 transcript:ENSMUST00000182920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl10 description:oxysterol binding protein-like 10 [Source:MGI Symbol;Acc:MGI:1921736] MLVVYSANGEMYKLRAADSKEKQLWVTQLRACAKYHM >ENSMUSP00000138287.1 pep:known chromosome:GRCm38:9:114978569:115232223:1 gene:ENSMUSG00000040875.12 transcript:ENSMUST00000183104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl10 description:oxysterol binding protein-like 10 [Source:MGI Symbol;Acc:MGI:1921736] MERAAQSTDGGGGGGSNSSSRSSSRATSAGSSPSCSLAGRGVASRSGAAGLGGGGSRSSP GSVAASPSGGGGRRREPALEGVLSKYTNLLQGWQSRYFVLDFEAGLLQYFVNEQSKHQKP RGVLSLSGAIVSLSDEAPHMLVVYSANGEMYKLRAADSKEKQLWVTQLRACAKYHMEMSS KTTPGSRSRSLTLLPHGTPSSASPCSQRHLSTGAPGVVSVTRHKSPAAARRAKSQYSGQL HEVREMMNQVEGQQKNLVHAIESLPGSGPLTALDQDLLLLKATSAATLSCLGECLSLLQQ SVRQAAPPSHKPGASETILGWHGPTSHSTDQLKNGTLGSLPSASANITWAILPNSAEEEH NSQPEPEPDSGPELVLSEEEQSDNEDKGEVEPGAMEDQRSVILHLISQLKLGMDLTKVVL PTFILEKRSLLEMYADFMAHPDLLLAITAGATPEERVISFVEYYLTAFHEGRKGTLAKKP YNPIIGETFHCSWEVPKDRVKSKWTSPHPPISAHEHPMADDPSKSYKLRFVAEQVSHHPP ISCFYCECKEKRLCVNTHVWTKSKFMGMSVGVSMIGEGVLRLLDHGEEYVFTLPSAYARS ILTVPWVELGGKVNISCAKTGYSATVTFHTKPFYGGKVHRVTAEVKHNPTNTIVCKAHGE WNGTLEFTYSNGETKVIDTTTLPVYPKKLRPLEKQGPMESRNLWQEVTHYLRLGDIDAAT EQKRRLEERQRVEERKRETLRTPWRPKYFIPEGDGWVYFNPLWKTH >ENSMUSP00000138552.1 pep:known chromosome:GRCm38:9:114990748:115232225:1 gene:ENSMUSG00000040875.12 transcript:ENSMUST00000182384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl10 description:oxysterol binding protein-like 10 [Source:MGI Symbol;Acc:MGI:1921736] MLVVYSANGEMYKLRAADSKEKQLWVTQLRACAKYHMEMSSKTTPGSRSRSLTLLPHGTP SSASPCSQRHLSTGAPGVVSVTRHKSPAAARRAKSQYSGQLHEVREMMNQVEGQQKNLVH AIESLPGSGPLTALDQDLLLLKATSAATLSCLGECLSLLQQSVRQAAPPSHKPGASETIL GWHGPTSHSTDQLKNGTLGSLPSASANITWAILPNSAEEEHNSQPEPEPDSGPELVLSEE EQSDNEDKGEVEPGAMEDQRSVILHLISQLKLGMDLTKVVLPTFILEKRSLLEMYADFMA HPDLLLAITAGATPEERVISFVEYYLTAFHEGRKGTLAKKPYNPIIGETFHCSWEVPKDR VKSKWTSPHPPISAHEHPMADDPSKSYKLRFVAEQVSHHPPISCFYCECKEKRLCVNTHV WTKSKFMGMSVGVSMIGEGVLRLLDHGEEYVFTLPSAYARSILTVPWVELGGKVNISCAK TGYSATVTFHTKPFYGGKVHRVTAEVKHNPTNTIVCKAHGEWNGTLEFTYSNGETKVIDT TTLPVYPKKLRPLEKQGPMESRNLWQEVTHYLRLGDIDAATEQKRRLEERQRVEERKRET LRTPWRPKYFIPEGDGWVYFNPLWKTH >ENSMUSP00000138760.1 pep:known chromosome:GRCm38:9:114998616:115167281:1 gene:ENSMUSG00000040875.12 transcript:ENSMUST00000183141.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl10 description:oxysterol binding protein-like 10 [Source:MGI Symbol;Acc:MGI:1921736] MLVVYSANGEMYKLRAADSKEKQLWVTQLRACAKYHMEMSSKTTPGSRSRSLTLLPHGTP SSASPCSQRHLSTGAPGVVSVTRHKSPAAARRAKSQYSGQLHEVREMMNQVEGQQKNLVH AIESLPGSGPLTALDQDLLLLKATSAATLSCLGECLSLLQQSVRQAAPPSHKP >ENSMUSP00000123804.1 pep:known chromosome:GRCm38:6:128887588:128898167:1 gene:ENSMUSG00000030365.11 transcript:ENSMUST00000159866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2i description:C-type lectin domain family 2, member i [Source:MGI Symbol;Acc:MGI:2136650] MPDCLETGEKLFVHNMNAQCVQKPEEGNGPLGTGGVQCCKIVQGKCFRIISTVSPVKLYC CYGVIMVLTVAVIALSVALSTKKTEQIIINKTYAACSKNWTGVGNKCFYFSGYPRNWTFA QAFCMAQEAQLARFDNEEELIFLKRFKGDFDCWIGLHRESSEHPWKWTNNTEYNNMNPIL GVGRYAYLSSDRISSSRSYINRMWICSKLNNYNLHCQTPPV >ENSMUSP00000124910.1 pep:known chromosome:GRCm38:6:128887598:128896863:1 gene:ENSMUSG00000030365.11 transcript:ENSMUST00000162666.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2i description:C-type lectin domain family 2, member i [Source:MGI Symbol;Acc:MGI:2136650] MPDCLETGEKLLPQHSPFPKPPSFWNFSLPKDTGIRFVHNMNAQCVQKPEEGNGPLGTGG VQCCKIVQGKCFRIISTVSPVKLYCCYGVIMVLTVAVIALSVALSTKKTEQIIINKTYAA CSKNWTGVGNKCFYFSGYPRNWTFAQAFCMAQEAQLARFDNEEELIFLKRFKGDFDCWIG LHRESSEHPWKWTNNTEYNNMNPILGVGRYAYLSSDRISSSRSYINRMWICSKLNNYNLH CQTPPV >ENSMUSP00000145115.1 pep:known chromosome:GRCm38:6:128887598:128896863:1 gene:ENSMUSG00000030365.11 transcript:ENSMUST00000160867.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2i description:C-type lectin domain family 2, member i [Source:MGI Symbol;Acc:MGI:2136650] MVLTVAVIALSVALSTKKTEQIIINKTYAACSKNWTGVGNKCFYFSGYPRNWTFAQAFCM AQEAQLARFDNEEELIFLKRFKGDFDCWIGLHRESSEHPWKWTNNTEYNNMNPILGVGRY AYLSSDRISSSRSYINRMWICSKLNNYNLHCQTPPV >ENSMUSP00000032519.5 pep:known chromosome:GRCm38:6:128887588:128896863:1 gene:ENSMUSG00000030365.11 transcript:ENSMUST00000032519.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2i description:C-type lectin domain family 2, member i [Source:MGI Symbol;Acc:MGI:2136650] MPDCLETGEKLFVHNMNAQCVQKPEEGNGPLGTGGKIVQGKCFRIISTVSPVKLYCCYGV IMVLTVAVIALSVALSTKKTEQIIINKTYAACSKNWTGVGNKCFYFSGYPRNWTFAQAFC MAQEAQLARFDNEEELIFLKRFKGDFDCWIGLHRESSEHPWKWTNNTEYNNMNPILGVGR YAYLSSDRISSSRSYINRMWICSKLNNYNLHCQTPPV >ENSMUSP00000125357.1 pep:known chromosome:GRCm38:6:128849090:128891126:-1 gene:ENSMUSG00000090164.8 transcript:ENSMUST00000160290.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC035044 description:cDNA sequence BC035044 [Source:MGI Symbol;Acc:MGI:2448540] MSRRHCCDKLKELLWDFSASWTHFKSSGKVTTHGCGGGVVRVSAADNGETGLIMCVCNED HLNKAMCSDPEFLPISRVTMSESLIVPETHSMKQITDKLPRYSMDVFKKFKQRTEGPLLA SPRNTGQQCQAASRPTTL >ENSMUSP00000137315.1 pep:known chromosome:GRCm38:6:128879575:128891124:-1 gene:ENSMUSG00000090164.8 transcript:ENSMUST00000178918.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC035044 description:cDNA sequence BC035044 [Source:MGI Symbol;Acc:MGI:2448540] MSRRHCCDKLKELLWDFSASWTHFKSSGKVTTHGCGGGVVRVSAADNGETGLIMCVCNED HLNKAMCSDPEFLPISRVTMSESLIVPETHSMKQITDKFSLF >ENSMUSP00000101487.1 pep:known chromosome:GRCm38:4:135320484:135353321:-1 gene:ENSMUSG00000028809.16 transcript:ENSMUST00000105861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm1 description:serine/arginine repetitive matrix 1 [Source:MGI Symbol;Acc:MGI:1858303] MDAGFFRGTSAEQDNRFSNKQKKLLKQLKFAECLEKKVDMSKVNLEVIKPWITKRVTEIL GFEDDVVIEFIFNQLEVKNPDSKMMQINLTGFLNGKNAREFMGELWPLLLSAQENIAGIP SAFLELKKEEIKQRQIEQEKLASLKKQDEDKDKRDKEEKESSREKRERSRSPRRRKSRSP SPRRRSSPVRRERKRSHSRSPRHRTKSRSPSPAPEKKEKSPELPEPSVRMKDSSVQEATS TSDILKAPKPEPVPEPKEPSPEKNSKKEKEKTRPRSRSRSKSRSRTRSRSPSHTRPRRRH RSRSRSYSPRRRPSPRRRPSPRRRTPPRRMPPPPRHRRSRSPGRRRRRSSASLSGSSSSS SSSRSRSPPKKPPKRTSSPPRKTRRLSPSASPPRRRHRPSSPATPPPKTRHSPTPQQSNR TRKSRVSVSPGRTSGKVTKHKGTEKRESPSPAPKPRKVELSESEEDKGSKMAAADSVQQR RQYRRQNQQSSSDSGSSSTSEDERPKRSHVKNGEVGRRRRHSPSRSASPSPRKRQKETSP RSRRRRSPSPPPARRRRSPSPAPPPPPPPPPPRRRRSPTPPPRRRTPSPPPRRRSPSPRR YSPPIQRRYSPSPPPKRRTASPPPPPKRRASPSPPPKRRVSHSPPPKQRSPTVTKRRSPS LSSKHRKGSSPGRSTREARSPQPNKRHSPSPRPRAPQTSSPPPVRRGASASPQGRQSPSP STRPIRRVSRTPEPKKIKKAASPSPQSVRRVSSSRSVSGSPEPAAKKPPAPPSPVQSQSP STNWSPAVPAKKAKSPTPSLSPARNSDQEGGGKKKKKKKDKKHKKDKKHKKHKKHKKEKA VTIATPATAAPAAVSAATTTSAQEEPAAAPEPRKETESEAEDDNLDDLERHLREKALRSM RKAQVSPQS >ENSMUSP00000081906.5 pep:known chromosome:GRCm38:4:135320491:135353244:-1 gene:ENSMUSG00000028809.16 transcript:ENSMUST00000084846.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm1 description:serine/arginine repetitive matrix 1 [Source:MGI Symbol;Acc:MGI:1858303] MDAGFFRGTSAEQDNRFSNKQKKLLKQLKFAECLEKKVDMSKVNLEVIKPWITKRVTEIL GFEDDVVIEFIFNQLEVKNPDSKMMQINLTGFLNGKNAREFMGELWPLLLSAQENIAGIP SAFLELKKEEIKQRQIEQEKLASLKKQDEDKDKRDKEEKESSREKRERSRSPRRRKSRSP SPRRRSSPVRRERKRSHSRSPRHRTKSRSPSPAPEKKEKSPELPEPSVRMKDSSVQEATS TSDILKAPKPEPVPEPKEPSPEKNSKKEKEKTRPRSRSRSKSRSRTRSRSPSHTRPRRRH RSRSRRRPSPRRRPSPRRRTPPRRMPPPPRHRRSRSPGRRRRRSSASLSGSSSSSSSSRS RSPPKKPPKRTSSPPRKTRRLSPSASPPRRRHRPSSPATPPPKTRHSPTPQQSNRTRKSR VSVSPGRTSGKVTKHKGTEKRESPSPAPKPRKVELSESEEDKGSKMAAADSVQQRRQYRR QNQQSSSDSGSSSTSEDERPKRSHVKNGEVGRRRRHSPSRSASPSPRKRQKETSPRMQMG KRWQSPVTKSSRRRRSPSPPPARRRRSPSPAPPPPPPPPPPRRRRSPTPPPRRRTPSPPP RRRSPSPRRYSPPIQRRYSPSPPPKRRTASPPPPPKRRASPSPPPKRRVSHSPPPKQRSP TVTKRRSPSLSSKHRKGSSPGRSTREARSPQPNKRHSPSPRPRAPQTSSPPPVRRGASAS PQGRQSPSPSTRPIRRVSRTPEPKKIKKAASPSPQSVRRVSSSRSVSGSPEPAAKKPPAP PSPVQSQSPSTNWSPAVPAKKAKSPTPSLSPARNSDQEGGGKKKKKKKDKKHKKDKKHKK HKKHKKEKAVTIATPATAAPAAVSAATTTSAQEEPAAAPEPRKETESEAEDDNLDDLERH LREKALRSMRKAQVSPQS >ENSMUSP00000125003.1 pep:known chromosome:GRCm38:4:135321094:135353244:-1 gene:ENSMUSG00000028809.16 transcript:ENSMUST00000136342.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm1 description:serine/arginine repetitive matrix 1 [Source:MGI Symbol;Acc:MGI:1858303] MDAGFFRGTSAEQDNRFSNKQKKLLKQLKFAECLEKKVDMSKVNLEVIKPWITKRVTEIL GFEDDVVIEFIFNQLEVKNPDSKMMQINLTGFLNGKNAREFMGELWPLLLSAQENIAGIP SAFLELKKEEIKQRQIEQEKLASLKKQDEDKDKRDKEEKESSREKRERSRSPRRRKSRSP SPRRRSSPVRRERKRSHSRSPRHRTKSRSPSPAPEKKEKSPELPEPSVRMKDSSVQEATS TSDILKAPKPEPVPEPKEPSPEKNSKKEKEKTRPRSRSRSKSRSRTRSRSPSHTRPRRRH RSRSRSYSPRRRPSPRRRPSPRRRTPPRRMPPPPRHRRSRSPGRRRRRSSASLSGSSSSS SSSRSRSPPKKPPKRTSSPPRKTRRLSPSASPPRRRHRPSSPATPPPKTRHSPTPQQSNR TRKSRVSVSPGRTSGKVTKHKGTEKRESPSPAPKPRKVELSESEEDKGSKMAAADSVQQR RQYRRQNQQSSSDSGSSSTSEDERPKRSHVKNGEVGRRRRHSPSRSASPSPRKRQKETSP RMQMGKRWQSPVTKSSRRRRSPSPPPARRRRSPSPAPPPPPPPPPPRRRRSPTPPPRRRT PSPPPRRRSPSPRRYSPPIQRRYSPSPPPKRRTASPPPPPKRRASPSPPPKRRVSHSPPP KQRSPTVTKRRSPSLSSKHRKGSSPGRSTREARSPQPNKRHSPSPRPRAPQTSSPPPVRR GASASPQGRQSPSPSTRPIRRVSRTPEPKKIKKAASPSPQSVRRVSSSRSVSGSPEPAAK KPPAPPSPVQSQSPSTNWSPAVPAKKAKSPTPSLSPARNSDQEGGGKKKKKKKDKKHKKD KKHKKHKKHKKEKAVTIATPATAAPAAVSAATTTSAQEEPAAAPEPRKETESEAEDDNLD DLERHLREKALRSMRKAQVSPQS >ENSMUSP00000030613.3 pep:known chromosome:GRCm38:4:135322033:135353136:-1 gene:ENSMUSG00000028809.16 transcript:ENSMUST00000030613.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm1 description:serine/arginine repetitive matrix 1 [Source:MGI Symbol;Acc:MGI:1858303] MDAGFFRGTSAEQDNRFSNKQKKLLKQLKFAECLEKKVDMSKVNLEVIKPWITKRVTEIL GFEDDVVIEFIFNQLEVKNPDSKMMQINLTGFLNGKNAREFMGELWPLLLSAQENIAGIP SAFLELKKEEIKQRQIEQEKLASLKKQDEDKDKRDKEEKESSREKRERSRSPRRRKSRSP SPRRRSSPVRRERKRSHSRSPRHRTKSRSPSPAPEKKEKSPELPEPSVRMKDSSVQEATS TSDILKAPKPEPVPEPKEPSPEKNSKKEKEKTRPRSRSRSKSRSRTRSRSPSHTRPRRRH RSRSRSYSPRRRPSPRRRPSPRRRTPPRRMPPPPRHRRSRSPGRRRRRSSASLSGSSSSS SSSRSRSPPKKPPKRTSSPPRKTRRLSPSASPPRRRHRPSSPATPPPKTRHSPTPQQSNR TRKSRVSVSPGRTSGKVTKHKGTEKRESPSPAPKPRKVELSESEEDKGSKMAAADSVQQR RQYRRQNQQSSSDSGSSSTSEDERPKRSHVKNGEVGRRRRHSPSRSASPSPRKRQKETSP RMQMGKRWQSPVTKSSRRRRSPSPPPARRRRSPSPAPPPPPPPPPPRRRRSPTPPPRRRT PSPPPRRRSPSPRRYSPPIQRRYSPSPPPKRRTASPPPPPKRRASPSPPPKRRVSHSPPP KQRSPTVTKRRSPSLSSKHRKGSSPGRSTREARSPQPNKRHSPSPRPRAPQTSSPPPVRR GASASPQGRQSPSPSTRPIRRVSRTPEPKKIKKAASPSPQSVRRVSSSRSVSGSPEPAAK KPPAPPSPVQSQSPSTNWSPAVPAKKAKSPTPSLSPARNSDQEGGGKKKKKKKDKKHKKD KKHKKHKKHKKEKAVTIATPATAAPAAVSAATTTSAQEEPAAAPEPRKVFTPPLPAV >ENSMUSP00000120952.1 pep:known chromosome:GRCm38:4:135322290:135327223:-1 gene:ENSMUSG00000028809.16 transcript:ENSMUST00000140050.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm1 description:serine/arginine repetitive matrix 1 [Source:MGI Symbol;Acc:MGI:1858303] XSRRRRSPSPPPARRRRSPSPAPPPPPPPPPPRRRRSPTPPPRRRTPSPPPRRRSPSPRR YSPPIQRRYSPSPPPKRRTASPPPPPKRRASPSPPPKRRVSHSPPPKQRSPTVTKRRSPS LSSKHRKGSSPGRSTREARSPQPNKRHSPSPRPRAPQTSSPPPVRRGASASPQGRQSPSP STRPIRRVSRTPEPKKIKKSVSGSPEPAAKKPPAPPSPVQSQSPSTNWSPAVPAKKAKSP TPSLSPARNSDQEGGGKKKKKKKDKKHKKDKKHKKHKKHKKEKAVTIATPATAAPAAVSA ATTTSAQEEPAAAPEPRK >ENSMUSP00000125477.1 pep:known chromosome:GRCm38:4:135332158:135342462:-1 gene:ENSMUSG00000028809.16 transcript:ENSMUST00000131301.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srrm1 description:serine/arginine repetitive matrix 1 [Source:MGI Symbol;Acc:MGI:1858303] XPAPEKKEKSPELPEPSVRMKDSSVQEATSTSDILKAPKPEPVPEPKEPSPEKNSKKEKE KTRPRSRSRSKSRSRTRSRSPSHTRPRRRHRSRSRSYSPRRRPSPRRRPSPRRRTPPRRM PPPPRHRRSRSPGRRFSGILLPKVNSAEGGVLPHPCLEVARRPLHLVPGHHQRNLPRGHP ALLGKRVGYHLQQVLHGEDTGRHLQQLRHPKLAIPQLPSSQTVQEKVVFLCLQEEPPVK >ENSMUSP00000122754.1 pep:known chromosome:GRCm38:4:135342411:135353142:-1 gene:ENSMUSG00000028809.16 transcript:ENSMUST00000131373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm1 description:serine/arginine repetitive matrix 1 [Source:MGI Symbol;Acc:MGI:1858303] MDAGFFRGTSAEQDNRFSNKQKKLLKQLKFAECLEKKVDMSKVNLEVIKPWITKRVTEIL GFEDDVVIEFIFNQLEVKNPDSKMMQINLTGFLNGKNAREFMGELWPLLLSAQENIAGIP SAFLELKKEEIKQRQIEQEKLASLKKQDEDKDKRDKEEKESSREKRERSRSPRRTKSRSP SPAPEKKEKSPELPEPSV >ENSMUSP00000124450.1 pep:known chromosome:GRCm38:4:135344528:135347204:-1 gene:ENSMUSG00000028809.16 transcript:ENSMUST00000136409.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srrm1 description:serine/arginine repetitive matrix 1 [Source:MGI Symbol;Acc:MGI:1858303] GTSAEQDNRFSNKQKKLLKQLKFAECLEKKVDMSKVNLEVIKPWITKRVTEILGFEDDVV IEFIFNQLEVKINDITLNSRWLSCSRESEASQGNIFLYRGLGDSKPPRFQEDTEDTWNLY LLCAIELCLLYGPCCLTNRI >ENSMUSP00000029541.5 pep:known chromosome:GRCm38:3:90385239:90389927:-1 gene:ENSMUSG00000027932.14 transcript:ENSMUST00000029541.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc27a3 description:solute carrier family 27 (fatty acid transporter), member 3 [Source:MGI Symbol;Acc:MGI:1347358] MAALLLLLPLLLLLPLLLKLDVWPQLRWLPADLAFTVRALRCKRALRARALAAAAADPES SESGCSLAWRLAYLAREQPTHTFLIHGAQRFSYAEAERESNRIARAFLRARGWTGGRRGS GRGSTEEGARVAPPAGDAAARGTTAPPLAPGATVALLLPAGPDFLWIWFGLAKAGLRTAF VPTALRRGPLLHCLRSCGASALVLATEFLESLEPDLPALRAMGLHLWATGPETNVAGISN LLSEAADQVDEPVPGYLSAPQNIMDTCLYIFTSGTTGLPKAARISHLKVLQCQGFYHLCG VHQEDVIYLALPLYHMSGSLLGIVGCLGIGATVVLKPKFSASQFWDDCQKHRVTVFQYIG ELCRYLVNQPPSKAECDHKVRLAVGSGLRPDTWERFLRRFGPLQILETYGMTEGNVATFN YTGRQGAVGRASWLYKHIFPFSLIRYDVMTGEPIRNAQGHCMTTSPGEPGLLVAPVSQQS PFLGYAGAPELAKDKLLKDVFWSGDVFFNTGDLLVCDEQGFLHFHDRTGDTFRWKGENVA TTEVAEVLETLDFLQEVNIYGVTVPGHEGRAGMAALALRPPQALNLVQLYSHVSENLPPY ARPRFLRLQESLATTETFKQQKVRMANEGFDPSVLSDPLYVLDQDIGAYLPLTPARYSAL LSGDLRI >ENSMUSP00000122599.1 pep:known chromosome:GRCm38:3:90385241:90389664:-1 gene:ENSMUSG00000027932.14 transcript:ENSMUST00000132041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc27a3 description:solute carrier family 27 (fatty acid transporter), member 3 [Source:MGI Symbol;Acc:MGI:1347358] XKGPFEHARWPQRPPTPKALRAGAVWPGVSRTWPGSSRHTPSSSTARSALATRRLSARAT GLLAPFCAHGAGPGAAEARAGAALRKAHAWRLRLEMRLLEGRPRPLWHPGRPWRCSSQRA RISFGFGSDWPKLACARPLCPPLYAEDPCCTASAAAVRVRSCWPQLGLPTLVEFLESLEP DLPALRAMGLHLWATGPETNVAGISNLLSEAADQVDEPVPGYLSAPQNIMDTCLYIFTSG TTGLPKAARISHLKVLQCQGFYHLCGVHQEDVIYLALPLYHMSGSLLGIVGCLGIGATVV LKPKFSASQFWDDCQKHRVTVFQYIGELCRYLVNQPPSKAECDHKVRLAVGSGLRPDTWE RFLRRFGPLQILETYGMTEGNVATFNYTGRQGAVGRASWLYKHIFPFSLIRYDVMTGEPI RNAQGHCMTTSPGEPGLLVAPVSQQSPFLGYAGAPELAKDKLLKDVFWSGDVFFNTGDLL VCDEQGFLHFHDRTGDTFRWKGENVATTEVAEVLETLDFLQEVNIYGVTVPGHEGRAGMA ALALRPPQALNLVQLYSHVSENLPPYARPRFLRLQESLATTETFKQQKVRMANEGFDPSV LSDPLYVLDQDIGAYLPLTPARYSALLSGDLRI >ENSMUSP00000073144.6 pep:known chromosome:GRCm38:1:152836995:152902622:-1 gene:ENSMUSG00000042772.15 transcript:ENSMUST00000073441.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg7 description:Smg-7 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:2682334] MSLQSAQYLRQAEVLKAEMTDSKLGPAEVWTSRQALQDLYQKMLVTDLEYALDKKVEQDL WNHAFKNQITTLQGQAKNRANPNRSEVQANLSLFLEAASGFYTQLLQELCTVFNVDLPCR VKSSQLGIISNKQTHSSTIVKPQSSSCSYICQHCLVHLGDIARYRNQTSQAESYYRHAAQ LVPSNGQPYNQLAILASSKGDHLTTIFYYCRSIAVKFPFPAASTNLQKALSKALESRDEL KTKWGVSDFIKAFIKFHGHVYLSKSLEKLSPLREKLEEQFKRLLFQKAFNSQQLVHVTVI NLFQLHHLRDFSNETEQHSYSQDEQLCWTQLLALFMSFLGILCKCPLQNDSQESNNAYPL PAVKVSMDWLRLRPRVFQEAVVDERQYIWPWLISLLNSFHPREDDLSNTNATPLPEEFEL QGFLALRPSFRNLDFSKGHQGITGDKEGQQRRIRQQRLISIGKWIADNQPRLIQCENEVG KLLFITEIPELILEDPSEAKENLILQETSVVESLATDGSPGLKSVLSTGRNPSNSCDSGE KPVVTFKENIKPREVNQGRSFPPKEVRRDCSKGVTVTQEDGQKDSSKRRAETKRCTLGKL QETGKQSVAVQVKSQTELRKTPVSEARKTPVTQTPSQTSNSQFIPIHHPGAFPPLPSRPG FPPPTYVIPPPVAFSMGSGYTFPAGVSVPGTFLQSTAHSPAGNQVQAGKQSHIPYSQQRP SGPGPMNQGPQQSQPPSQPPLTSLPAQPTAQSTSQLQVQALAQQQQSPTKVIPALGKSPP HHSGFQQYQQADASKQLWNPPQVQSPLGKIMPVKQSYYLQTQDPIKLFEPSLQPPVIQQQ PLEKKMKPFPMEPYNHNPSEVKVPEFYWDSSYSMADNRAVMAQQPNMDRRSKRSPGVFRP EQDPVPRMPFEKSLLEKPSELMSHSSSFLSLTGFSVNQERYPNSSMFNEVYGKNLTTSSK AELNPSVASQETSLYSLFEGTPWSPSLPASSDHSTPASQSPHSSNPSSLPSSPPTHNHNS APFSNFGPIGTPDNRDRRPADRWKTDKPAMGGFGVDYLSATSSSESSWHQASTPSGTWTG HGPSMEDSSAVLMESLKSIWSSSMMHPGPSALEQLLMQQKQKQQRGQGAMNPPH >ENSMUSP00000041241.8 pep:known chromosome:GRCm38:1:152836996:152878168:-1 gene:ENSMUSG00000042772.15 transcript:ENSMUST00000043560.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg7 description:Smg-7 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:2682334] MRTENLKSEEHLKSSNIRQAEVLKAEMTDSKLGPAEVWTSRQALQDLYQKMLVTDLEYAL DKKVEQDLWNHAFKNQITTLQGQAKNRANPNRSEVQANLSLFLEAASGFYTQLLQELCTV FNVDLPCRVKSSQLGIISNKQTHSSTIVKPQSSSCSYICQHCLVHLGDIARYRNQTSQAE SYYRHAAQLVPSNGQPYNQLAILASSKGDHLTTIFYYCRSIAVKFPFPAASTNLQKALSK ALESRDELKTKWGVSDFIKAFIKFHGHVYLSKSLEKLSPLREKLEEQFKRLLFQKAFNSQ QLVHVTVINLFQLHHLRDFSNETEQHSYSQDEQLCWTQLLALFMSFLGILCKCPLQNDSQ ESNNAYPLPAVKVSMDWLRLRPRVFQEAVVDERQYIWPWLISLLNSFHPREDDLSNTNAT PLPEEFELQGFLALRPSFRNLDFSKGHQGITGDKEGQQRRIRQQRLISIGKWIADNQPRL IQCENEVGKLLFITEIPELILEDPSEAKENLILQETSVVESLATDGSPGLKSVLSTGRNP SNSCDSGEKPVVTFKENIKPREVNQGRSFPPKEVKSQTELRKTPVSEARKTPVTQTPSQT SNSQFIPIHHPGAFPPLPSRPGFPPPTYVIPPPVAFSMGSGYTFPAGVSVPGTFLQSTAH SPAGNQVQAGKQSHIPYSQQRPSGPGPMNQGPQQSQPPSQPPLTSLPAQPTAQSTSQLQV QALAQQQQSPTKVIPALGKSPPHHSGFQQYQQADASKQLWNPPQVQSPLGKIMPVKQSYY LQTQDPIKLFEPSLQPPVIQQQPLEKKMKPFPMEPYNHNPSEVKVPEFYWDSSYSMADNR AVMAQQPNMDRRSKRSPGVFRPEQDPVPRMPFEDPKSSPLLPPDLLKSLAALEEEEELIF SNPPDLYPALLGPLASLPGRSLFKSLLEKPSELMSHSSSFLSLTGFSVNQERYPNSSMFN EVYGKNLTTSSKAELNPSVASQETSLYSLFEGTPWSPSLPASSDHSTPASQSPHSSNPSS LPSSPPTHNHNSAPFSNFGPIGTPDNRDRRPADRWKTDKPAMGGFGVDYLSATSSSESSW HQASTPSGTWTGHGPSMEDSSAVLMESLKSIWSSSMMHPGPSALEQLLMQQKQKQQRGQG AMNPPH >ENSMUSP00000107467.2 pep:known chromosome:GRCm38:1:152838870:152902646:-1 gene:ENSMUSG00000042772.15 transcript:ENSMUST00000111836.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg7 description:Smg-7 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:2682334] MSLQSAQYLRQAEVLKAEMTDSKLGPAEVWTSRQALQDLYQKMLVTDLEYALDKKVEQDL WNHAFKNQITTLQGQAKNRANPNRSEVQANLSLFLEAASGFYTQLLQELCTVFNVDLPCR VKSSQLGIISNKQTHSSTIVKPQSSSCSYICQHCLVHLGDIARYRNQTSQAESYYRHAAQ LVPSNGQPYNQLAILASSKGDHLTTIFYYCRSIAVKFPFPAASTNLQKALSKALESRDEL KTKWGVSDFIKAFIKFHGHVYLSKSLEKLSPLREKLEEQFKRLLFQKAFNSQQLVHVTVI NLFQLHHLRDFSNETEQHSYSQDEQLCWTQLLALFMSFLGILCKCPLQNDSQESNNAYPL PAVKVSMDWLRLRPRVFQEAVVDERQYIWPWLISLLNSFHPREDDLSNTNATPLPEEFEL QGFLALRPSFRNLDFSKGHQGITGDKEGQQRRIRQQRLISIGKWIADNQPRLIQCENEVG KLLFITEIPELILEDPSEAKENLILQETSVVESLATDGSPGLKSVLSTGRNPSNSCDSGE KPVVTFKENIKPREVNQGRSFPPKEVKSQTELRKTPVSEARKTPVTQTPSQTSNSQFIPI HHPGAFPPLPSRPGFPPPTYVIPPPVAFSMGSGYTFPAGVSVPGTFLQSTAHSPAGNQVQ AGKQSHIPYSQQRPSGPGPMNQGPQQSQPPSQPPLTSLPAQPTAQSTSQLQVQALAQQQQ SPTKVIPALGKSPPHHSGFQQYQQADASKQLWNPPQVQSPLGKIMPVKQSYYLQTQDPIK LFEPSLQPPVIQQQPLEKKMKPFPMEPYNHNPSEVKVPEFYWDSSYSMADNRAVMAQQPN MDRRSKRSPGVFRPEQDPVPRMPFEDPKSSPLLPPDLLKSLAALEEEEELIFSNPPDLYP ALLGPLASLPGRSLFKSLLEKPSELMSHSSSFLSLTGFSVNQERYPNSSMFNEVYGKNLT TSSKAELNPSVASQETSLYSLFEGTPWSPSLPASSDHSTPASQSPHSSNPSSLPSSPPTH NHNSAPFSNFGPIGTPDNRDRRPADRWKTDKPAMGGFGVDYLSATSSSESSWHQASTPSG TWTGHGPSMEDSSAVLMESLKSIWSSSMMHPGPSALEQLLMQQKQKQQRGQGAMNPPH >ENSMUSP00000141336.1 pep:known chromosome:GRCm38:9:108853348:108862143:1 gene:ENSMUSG00000023259.16 transcript:ENSMUST00000192507.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a6 description:solute carrier family 26, member 6 [Source:MGI Symbol;Acc:MGI:2159728] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKYVFGIKLSSHSGPLSVIYTVLEVCAQLPET VPGTVVTAIVAGVALVLVKLLNEKLHRRLPLPIPGELLTLIGATGISYGVKLNDRFKVDV VGNITTGLIPPVAPKTELFATLVGNAFAIAVVGFAIAISLGKIFALRHGYRVDSNQELVA LGLSNLIGGFFQCFPVSCSMSRSLVQESTGGNTQVAGAVSSLFILLIIVKLGELFRDLPK AVLAAVIIVNLKGMMKQFSDICSLWKANRVDLLIWLVTFVATILLNLDIGLAVSIVFSLL LVVVRMQLPHYSVLGQVPDTDIYRDVAEYSGAKEVPGVKVFRSSATLYFANAELYSDSLK EKCGVDVDRLITQKKKRIKKQEMKLKRMKKAKKSQKQDASSKISSVSVNVNTNLEDVKSN DVEGSEAKVHQGEELQDVVSSNQEDAKAP >ENSMUSP00000141632.1 pep:known chromosome:GRCm38:9:108854037:108860828:1 gene:ENSMUSG00000023259.16 transcript:ENSMUST00000192559.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc26a6 description:solute carrier family 26, member 6 [Source:MGI Symbol;Acc:MGI:2159728] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKQCWRSVPSSLRLCPAPWSRRLWQEWPWYW >ENSMUSP00000142250.1 pep:known chromosome:GRCm38:9:108854043:108862143:1 gene:ENSMUSG00000023259.16 transcript:ENSMUST00000193291.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a6 description:solute carrier family 26, member 6 [Source:MGI Symbol;Acc:MGI:2159728] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKYVFGIKLSSHSGPLSVIYTVLEVCAQLPET VPGTVVTAIVAGVALVLVKLLNEKLHRRLPLPIPGELLTLIGATGISYGVKLNDRFKVDV VGNITTGLIPPVAPKTELFATLVGNAFAIAVVGFAIAISLGKIFALRHGYRVDSNQELVA LGLSNLIGGFFQCFPVSCSMSRSLVQESTGGNTQVAGAVSSLFILLIIVKLGELFRDLPK AVLAAVIIVNLKGMMKQFSDICSLWKANRVDLLIWLVTFVATILLNLDIGLAVSIVFSLL LVVVRMQLPHYSVLGQVPDTDIYRDVAEYSGAKEVPGVKVFRSSATLYFANAELYSDSLK EKCGVDVDRLITQKKKRIKKQEMKLKRMKKAKKSQKQDASSKISSVSVNVNTNLEDVKSN DVEGSEAKVHQGEELQDVVSSNQEDAKAP >ENSMUSP00000140849.1 pep:known chromosome:GRCm38:9:108854135:108862143:1 gene:ENSMUSG00000023259.16 transcript:ENSMUST00000188557.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a6 description:solute carrier family 26, member 6 [Source:MGI Symbol;Acc:MGI:2159728] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKYVFGIKLSSHSGPLSVIYTVLEVCAQLPET VPGTVVTAIVAGVALVLVKLLNEKLHRRLPLPIPGELLTLIGATGISYGVKLNDRFKVDV VGNITTGLIPPVAPKTELFATLVGNAFAIAVVGFAIAISLGKIFALRHGYRVDSNQELVA LGLSNLIGGFFQCFPVSCSMSRSLVQESTGGNTQVAGAVSSLFILLIIVKLGELFRDLPK AVLAAVIIVNLKGMMKQFSDICSLWKANRVDLLIWLVTFVATILLNLDIGLAVSIVFSLL LVVVRMQLPHYSVLGQVPDTDIYRDVAEYSGAKEVPGVKVFRSSATLYFANAELYSDSLK EKCGVDVDRLITQKKKRIKKQEMKLKRMKKAKKSQKQDASSKISSVSVNVNTNLEDVKSN DVEGSEAKVHQGEELQDVVSSNQEDAKAP >ENSMUSP00000141409.1 pep:known chromosome:GRCm38:9:108855662:108862143:1 gene:ENSMUSG00000023259.16 transcript:ENSMUST00000193874.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc26a6 description:solute carrier family 26, member 6 [Source:MGI Symbol;Acc:MGI:2159728] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKQCWRSVPSSLRLCPAPWSRRLWQEWPWYW >ENSMUSP00000140982.1 pep:known chromosome:GRCm38:9:108912410:108913049:1 gene:ENSMUSG00000023259.16 transcript:ENSMUST00000191404.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a6 description:solute carrier family 26, member 6 [Source:MGI Symbol;Acc:MGI:2159728] XPVVAQLEAGHFFDESITKQHVFASVHDAVTFALSHRKSVPKSPVLATKL >ENSMUSP00000095979.3 pep:known chromosome:GRCm38:9:108854037:108912558:1 gene:ENSMUSG00000023259.16 transcript:ENSMUST00000098376.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a6 description:solute carrier family 26, member 6 [Source:MGI Symbol;Acc:MGI:2159728] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKYVFGIKLSSHSGPLSVIYTVLEVCAQLPET VPGTVVTAIVAGVALVLVKLLNEKLHRRLPLPIPGELLTLIGATGISYGVKLNDRFKVDV VGNITTGLIPPVAPKTELFATLVGNAFAIAVVGFAIAISLGKIFALRHGYRVDSNQELVA LGLSNLIGGFFQCFPVSCSMSRSLVQESTGGNTQVAGAVSSLFILLIIVKLGELFRDLPK AVLAAVIIVNLKGMMKQFSDICSLWKANRVDLLIWLVTFVATILLNLDIGLAVSIVFSLL LVVVRMQLPHYSVLGQVPDTDIYRDVAEYSGAKEVPGVKVFRSSATLYFANAELYSDSLK EKCGVDVDRLITQKKKRIKKQEMKLKRMKKAKKSQKQDASSKISSVSVNVNTNLEDVKSN DVEGSEAKTQRGQGVRHMGACGQPLATLPCLAKMGCDLPAPSFLAPLLSFGPGSNNTLCW GCTKGRSFRILLVVLHVIRTYSLSTGPVVAQLEAGHFFDESITKQHVFASVHDAVTFALS HRKSVPKSPVLVSCR >ENSMUSP00000099695.3 pep:known chromosome:GRCm38:11:65176561:65269941:-1 gene:ENSMUSG00000020542.18 transcript:ENSMUST00000102635.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myocd description:myocardin [Source:MGI Symbol;Acc:MGI:2137495] MTLLGSEHSLLIRRKFRSVLQLRLQQRRTQEQLANQGLIPPLKGPTEFHDPRKQLDSAKT EDSLRRKGRNRSDRASLVTMHILQASTAERSIPTAQMKLKRARLADDLNEKIALRPGPLE LVEKNILPMDSSVKEAIKGTEVSLSKAADAFAFEDDSSRDGLSPDQARSEDPQGSTGSTP DIKSTEAPLDTIQDLTPGSESDKNDAASQPGNQSDPGKQVLGPLSTPIPVHTAVKSKSLG DSKNRHKKPKDPKPKVKKLKYHQYIPPDQKAEKSPPPMDSAYARLLQQQQLFLQLQILSQ QQQQQQQQQQQQQQQQQQQQRFSYPGMHQTHLKEPNEQMARNPNPSSTPLSNTPLSPVKN SISGQTGVSSLKPGPLPPNLDDLKVSELRQQLRIRGLPVSGTKTALVDRLRPFQDCAGNP VPNFGDITTVTFPVTPNTLPSYQSSPTGFYHFGSTSSSPPISPASSDLSAAGSLPDTFTD ASPGFGLHASPVPACTDESLLSSLNGGSGPSEPDGLDSEKDKMLVEKQKVINQLTWKLRQ EQRQVEELRMQLQKQKSSCSDQKPLPFLATTIKQEDVSSCPFAPQQASGKGQGHSSDSPP PACETAQLLPHCVESSGQTHVLSSTFLSPQCSPQHSPLGGLKSPQHISLPPSPNNHYFLA SSSGAQRENHGVSSPSSSQGCAQMTGLQSSDKVGPTFSIPSPTFSKSSSAVSDITQPPSY EDAVKQQMTRSQQMDELLDVLIESGEMPADAREDHSCLQKIPKIPGSSCSPTAIPPKPSA SFEQASSGGQMAFDHYANDSDEHLEVLLNSHSPIGKVSDVTLLKIGSEEPPFDSIMDGFP GKAAEDLFSAHELLPGPLSPMHAQLSPPSVDSSGLQLSFTESPWETMEWLDLTPPSSTPG FSNLTSSGPSIFNIDFLDVTDLNLNSPMDLHLQQW >ENSMUSP00000098603.2 pep:known chromosome:GRCm38:11:65178428:65218559:-1 gene:ENSMUSG00000020542.18 transcript:ENSMUST00000101042.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myocd description:myocardin [Source:MGI Symbol;Acc:MGI:2137495] MDSSVKEAIKGTEVSLSKAADAFAFEDDSSRDGLSPDQARSEDPQGSTGSTPDIKSTEAP LDTIQDLTPGSESDKNDAASQPGNQSDPGKQVLGPLSTPIPVHTAVKSKSLGDSKNRHKK PKDPKPKVKKLKYHQYIPPDQKAEKSPPPMDSAYARLLQQQQLFLQLQILSQQQQQQQQQ QQQQQQQQQQQQRFSYPGMHQTHLKEPNEQMARNPNPSSTPLSNTPLSPVKNSISGQTGV SSLKPGPLPPNLDDLKVSELRQQLRIRGLPVSGTKTALVDRLRPFQDCAGNPVPNFGDIT TVTFPVTPNTLPSYQSSPTGFYHFGSTSSSPPISPASSDLSAAGSLPDTFTDASPGFGLH ASPVPACTDESLLSSLNGGSGPSEPDGLDSEKDKMLVEKQKVINQLTWKLRQEQRQVEEL RMQLQKQKSSCSDQKPLPFLATTIKQEDVSSCPFAPQQASGKGQGHSSDSPPPACETAQL LPHCVESSGQTHVLSSTFLSPQCSPQHSPLGGLKSPQHISLPPSPNNHYFLASSSGAQRE NHGVSSPSSSQGCAQNSGAHEGHSSSFSSPASSLHQPFSGTQADSSHSAGLNPCPKSPSI HPKMTGLQSSDKVGPTFSIPSPTFSKSSSAVSDITQPPSYEDAVKQQMTRSQQMDELLDV LIESGEMPADAREDHSCLQKIPKIPGSSCSPTAIPPKPSASFEQASSGGQMAFDHYANDS DEHLEVLLNSHSPIGKVSDVTLLKIGSEEPPFDSIMDGFPGKAAEDLFSAHELLPGPLSP MHAQLSPPSVDSSGLQLSFTESPWETMEWLDLTPPSSTPGFSNLTSSGPSIFNIDFLDVT DLNLNSPMDLHLQQW >ENSMUSP00000115572.1 pep:known chromosome:GRCm38:11:65196102:65205467:-1 gene:ENSMUSG00000020542.18 transcript:ENSMUST00000144399.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myocd description:myocardin [Source:MGI Symbol;Acc:MGI:2137495] XFLPTPHSLRHGHGTEVSLSKAADAFAFEDDSSRDGLSPDQARSEDPQGSTGSTPDIKST EAPLDTIQDLTPGSESDKNDAASQPGNQSDPGKQVLGPLSTPIPVHTAVKSKSLGDSKNR HKKPKDPKPKVKKLKYHQYIPPDQKAEKSPPPMDSAYARLLQQQQLFLQLQILSQQQQQQ QQQQQQQQQQQQQQQRFSYPGMHQTHL >ENSMUSP00000104335.2 pep:known chromosome:GRCm38:11:65176574:65269989:-1 gene:ENSMUSG00000020542.18 transcript:ENSMUST00000108695.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myocd description:myocardin [Source:MGI Symbol;Acc:MGI:2137495] MTLLGSEHSLLIRRKFRSVLQLRLQQRRTQEQLANQGLIPPLKGPTEFHDPRKQLDSAKT EDSLRRKGRNRSDRASLVTMHILQASTAERSIPTAQMKLKRARLADDLNEKIALRPGPLE LVEKNILPMDSSVKEAIKGTEVSLSKAADAFAFEDDSSRDGLSPDQARSEDPQGSTGSTP DIKSTEAPLDTIQDLTPGSESDKNDAASQPGNQSDPGKQVLGPLSTPIPVHTAVKSKSLG DSKNRHKKPKDPKPKVKKLKYHQYIPPDQKAEKSPPPMDSAYARLLQQQQLFLQLQILSQ QQQQQQQQQQQQQQQQQQQQRFSYPGMHQTHLKEPNEQMARNPNPSSTPLSNTPLSPVKN SISGQTGVSSLKPGPLPPNLDDLKVSELRQQLRIRGLPVSGTKTALVDRLRPFQDCAGNP VPNFGDITTVTFPVTPNTLPSYQSSPTGFYHFGSTSSSPPISPASSDLSAAGSLPDTFTD ASPGFGLHASPVPACTDESLLSSLNGGSGPSEPDGLDSEKDKMLVEKQKVINQLTWKLRQ EQRQVEELRMQLQKQKSSCSDQKPLPFLATTIKQEDVSSCPFAPQQASGKGQGHSSDSPP PACETAQLLPHCVESSGQTHVLSSTFLSPQCSPQHSPLGGLKSPQHISLPPSPNNHYFLA SSSGAQRENHGVSSPSSSQGCAQNSGAHEGHSSSFSSPASSLHQPFSGTQADSSHSAGLN PCPKSPSIHPKMTGLQSSDKVGPTFSIPSPTFSKSSSAVSDITQPPSYEDAVKQQMTRSQ QMDELLDVLIESGEMPADAREDHSCLQKIPKIPGSSCSPTAIPPKPSASFEQASSGGQMA FDHYANDSDEHLEVLLNSHSPIGKVSDVTLLKIGSEEPPFDSIMDGFPGKAAEDLFSAHE LLPGPLSPMHAQLSPPSVDSSGLQLSFTESPWETMEWLDLTPPSSTPGFSNLTSSGPSIF NIDFLDVTDLNLNSPMDLHLQQW >ENSMUSP00000132193.1 pep:known chromosome:GRCm38:2:144594054:144595366:1 gene:ENSMUSG00000074754.4 transcript:ENSMUST00000136628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm561 description:predicted gene 561 [Source:MGI Symbol;Acc:MGI:2685407] MRAEKASLWYRRMSLVYALGAWSLLGSAFFLSRKQKVSDCEEGQEDGSRNETPFSTSEDS DLETETVELGGGFYVKSFLKYSENSVPATQRFLTYLKSWTGGPGPQA >ENSMUSP00000033674.5 pep:known chromosome:GRCm38:X:101449088:101453541:1 gene:ENSMUSG00000031312.5 transcript:ENSMUST00000033674.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb1bp2 description:integrin beta 1 binding protein 2 [Source:MGI Symbol;Acc:MGI:1353420] MSLLCYNKGCGQHFDPNTNLPDSCRYHPGVPIFHDALKGWSCCRKRTVDFSEFLNIKGCT VGLHCAEKLPEVPPQPEGPATSSLQEQKPLNTIPKSAETLFRERPKSEMPPKLLPLLISQ ALGVALEQKELDQEPGAGLDNSLIWTGSSCQNPGCDAVYQGPESDATPCTYHPGAPRFHE GMKSWSCCGIQTLDFGAFLAQPGCRVGRHDWAKQLPASCRHDWHQTDSVVVLTVYGQIPL PAFNWVKASQTELHVHIVFDGNRVFQAQMKLWGVINVEQSSVSLMPSRVEISLVKADPGS WAQLEHPDSLAEKARAGVLLEMDEEESEDSDDDLSWTEEEDEEEEEAMGE >ENSMUSP00000027667.6 pep:known chromosome:GRCm38:1:135871395:135919207:-1 gene:ENSMUSG00000026413.12 transcript:ENSMUST00000027667.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp1 description:plakophilin 1 [Source:MGI Symbol;Acc:MGI:1328359] MNHSPLKTALAYECFQDQDNSTLALPSDQKMKTGTSGRQRVQEQVMMTVKRQKSKSSQSS TLSHSNRGSMYDGLADNYNNYGTTSRSSYFSKFQAGNGSWGYPIYNGTLKREPDNRRFSS YSQMENWSRHYPRGSCATPGAGSDICFMQKIKASRSEPDLYCDPRGTLRKGTLGSKGHKT TQNRCSFYSTCSGQKAVKKCPVRPPSCTSKQDPVYVPPISCNKDLSFGHSRASSKICSED IECSGLTIPKAVQYLCSQDEKYQAIGAYYIQHTCFQDESAKQQVYQLGGICKLVDLLRSP NQNVQQAAAGALRNLVFRSTPNKLETRRQNGIREAVSLLRRSGSTEIQKQLTGLLWNLSS TDELKEELVADALPVLTDRVIIPFSGWCDGNSNMSREVVDPEVFFNATGCLRNLSSADAG RQTMRNYSGLIDSLMAYVQNCVAASRCDDKSVENCMCILHNLSYRLDAEVPTRYRQLEYN TRNAYTEKSSTGCFSNRGDKMMNNNYDCPLPEEETNPKGSSWLYHSDAIRTYLNLMGKSK KDATLEACAGALQNLTASKGLMSNGMSQLIGIKEKGLPQIARLLQSGNSDVVRSGASLLS NMSRHPVLHRVMGNQVFPEVTRLLTSHTGNTSNSEDILSSACYTVRNLMTSQPQMAKQYF SNSMLNNVFNLCRNTASSPKAAEAARLLLSDMWASKELQSVLRQQGFDRNMMGNIAGANN LRNFTSRF >ENSMUSP00000128418.1 pep:known chromosome:GRCm38:1:135872950:135919120:-1 gene:ENSMUSG00000026413.12 transcript:ENSMUST00000163260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkp1 description:plakophilin 1 [Source:MGI Symbol;Acc:MGI:1328359] MNHSPLKTALAYECFQDQDNSTLALPSDQKMKTGTSGRQRVQEQVMMTVKRQKSKSSQSS TLSHSNRGSMYDGLADNYNNYGTTSRSSYFSKFQAGNGSWGYPIYNGTLKREPDNRRFSS YSQMENWSRHYPRGSCATPGAGSDICFMQKIKASRSEPDLYCDPRGTLRKGTLGSKGHKT TQNRCSFYSTCSGQKAVKKCPVRPPSCTSKQDPVYVPPISCNKDLSFGHSRASSKICSED IECSGLTIPKAVQYLCSQDEKYQAIGAYYIQHTCFQDESAKQQVYQLGGICKLVDLLRSP NQNVQQAAAGALRNLVFRSTPNKLETRRQNGIREAVSLLRRSGSTEIQKQLTGLLWNLSS TDELKEELVADALPVLTDRVIIPFSGWCDGNSNMSREVVDPEVFFNATGCLRNLSSADAG RQTMRNYSGLIDSLMAYVQNCVAASRCDDKSVENCMCILHNLSYRLDAEVPTRYRQLEYN TRNAYTEKSSTGCFSNRGDKMMNNNYDCPLPEEETNPKGSSWLYHSDAIRTYLNLMGKSK KDATLEACAGALQNLTASKGLMSNGMSQLIGIKEKGLPQIARLLQSGNSDVVRSGASLLS NMSRHPVLHRVMGNQVFPEVTRLLTSHTGNTSNSEDILSSACYTVRNLMTSQPQMAKQYF SNSMLNNVFNLCRNTASSPKAAEAARLLLSDMWASKELQSVLRQQGFDRNMMGNIAGANN LRNFTSRF >ENSMUSP00000140883.1 pep:known chromosome:GRCm38:1:135873366:135919142:-1 gene:ENSMUSG00000026413.12 transcript:ENSMUST00000189805.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pkp1 description:plakophilin 1 [Source:MGI Symbol;Acc:MGI:1328359] MNHSPLKTALAYECFQDQDNSTLALPSDQKMKTGTSGRQRVQEQVMMTVKRQKSKSSQSS TLSHSNRGSMYDGLADNYNNYGTTSRSSYFSKFQAGNGSWGYPIYNGTLKREPDNRRFSS YSQMENWSRHYPRGSCATPGAGSDICFMQKIKASRRSAVRTLSVVG >ENSMUSP00000032419.8 pep:known chromosome:GRCm38:6:142756686:142775714:1 gene:ENSMUSG00000030282.14 transcript:ENSMUST00000032419.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmas description:cytidine monophospho-N-acetylneuraminic acid synthetase [Source:MGI Symbol;Acc:MGI:1337124] MDALEKGAATSGPAPRGRPSRGRPPKLQRSRGAGRGLEKPPHLAALVLARGGSKGIPLKN IKRLAGVPLIGWVLRAALDAGVFQSVWVSTDHDEIENVAKQFGAQVHRRSSETSKDSSTS LDAIVEFLNYHNEVDIVGNIQATSPCLHPTDLQKVAEMIREEGYDSVFSVVRRHQFRWSE IQKGVREVTEPLNLNPAKRPRRQDWDGELYENGSFYFAKRHLIEMGYLQGGKMAYYEMRA EHSVDIDVDIDWPIAEQRVLRFGYFGKEKLKEIKLLVCNIDGCLTNGHIYVSGDQKEIIS YDVKDAIGISLLKKSGIEVRLISERACSKQTLSALKLDCKTEVSVSDKLATVDEWRKEMG LCWKEVAYLGNEVSDEECLKRVGLSAVPADACSGAQKAVGYICKCSGGRGAIREFAEHIF LLIEKVNNSCQK >ENSMUSP00000144875.1 pep:known chromosome:GRCm38:6:142756754:142770590:1 gene:ENSMUSG00000030282.14 transcript:ENSMUST00000133248.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cmas description:cytidine monophospho-N-acetylneuraminic acid synthetase [Source:MGI Symbol;Acc:MGI:1337124] MDALEKGAATSGPAPRGRPSRGRPPKLQRSRGAGRGLEKPPHLAALVLARGGSKGIPLKN IKRLAGVPLIGWVLRAALDAGVFQRG >ENSMUSP00000145392.1 pep:known chromosome:GRCm38:6:142756764:142774664:1 gene:ENSMUSG00000030282.14 transcript:ENSMUST00000144920.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cmas description:cytidine monophospho-N-acetylneuraminic acid synthetase [Source:MGI Symbol;Acc:MGI:1337124] MDALEKGAATSGPAPRGRPSRGRPPKLQRSRGAGRGLEKPPHLAALVLARGGSKGIPLKN IKRLAGVPLIGWVLRAALDAGVFQRG >ENSMUSP00000145460.1 pep:known chromosome:GRCm38:6:142756775:142772220:1 gene:ENSMUSG00000030282.14 transcript:ENSMUST00000204147.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cmas description:cytidine monophospho-N-acetylneuraminic acid synthetase [Source:MGI Symbol;Acc:MGI:1337124] XDALEKGAATSGPAPRGRPSRGRPPKLQRSRGAGRGLEKPPHLAALVLARGGSKGIPLKN IKRLAGVPLIGWVLRAALDAGVFQRVGKWHIMKCELSTVWISTWTSIGRSQSKEF >ENSMUSP00000139409.1 pep:known chromosome:GRCm38:Y:5656986:5659574:1 gene:ENSMUSG00000096706.2 transcript:ENSMUST00000190391.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21854 description:predicted gene, 21854 [Source:MGI Symbol;Acc:MGI:5434018] MSSLMKKRRRKSSSNTLRNIVGCRISHCWKEGNEPVTQWKAIVLGQLPTNPSLYLVKYDG IDNIYGQELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKGGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIVN WEQYTRKDGSKKFRKVVYQFLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000136526.1 pep:known chromosome:GRCm38:Y:5658985:5659455:1 gene:ENSMUSG00000096706.2 transcript:ENSMUST00000179901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21854 description:predicted gene, 21854 [Source:MGI Symbol;Acc:MGI:5434018] NLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKGGSEVNWRGVVLAQVPIMKDLFYIT YKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIVNWEQYTRKDGSKKFRKV VYQFLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000028917.6 pep:known chromosome:GRCm38:2:144599897:144768758:1 gene:ENSMUSG00000027430.12 transcript:ENSMUST00000028917.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtd1 description:D-tyrosyl-tRNA deacylase 1 [Source:MGI Symbol;Acc:MGI:1913294] MKAVVQRVTRASVTVGGEQISAIGRGICVLLGISMEDSQKELEHMVRKILNLRVFEDESG KHWSKSVMDKEYEVLCVSQFTLQCVLKGNKPDFHLAMPTEQAESFYNSFLEQLRKSYRPE LIRDGKFGAYMQVHIQNDGPVTIELESPAPGAASSDPKQLSKLEKQQQRKEKTRAKGPSE SSKERNAPRKEDRSASSGAEGDVSSEREP >ENSMUSP00000028983.2 pep:known chromosome:GRCm38:2:153875045:153895270:1 gene:ENSMUSG00000027481.2 transcript:ENSMUST00000028983.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb2 description:BPI fold containing family B, member 2 [Source:MGI Symbol;Acc:MGI:1913807] MARACSLGLLLLLLLLLRTVVTVSLPVIVVRLNKAALDYVSDIGKAPLQRALQVTISDFM DPSGEVLQSTRVQILDAHVPFFYLKFIAGFGVHLSAAANFTIKVFSVPEPMELVLPVDLL ADVHVARDSIGTLVLSVPACSSIFSPAGMLDGSISTSQELLDRVQEHIKADLNNKLCLHV YGLVQDLNVHLGTLIGLSPVGPESQIRYSITSMPTITSNYISLDIGAILSLLGKPILLPM HGAHPFVLPWPLGDAGAMATVGLSQHLFDCALLMLQKAGSLNLEITGQLNSKNNPLNTSV LGQLIPEVAHLFPEPTPLVLKVQLGATPVVTLHTSNSTLQLQPLVEVFAAPSNLALQFLF SLDVMVNLDLQLSVSKAKLRGSTSLLGGFQLSVATSNVGSVDMDQVLTLISTVFQKPLLD HLNALLGMGVVLPRVHNLHYVHSEVLVREGYVVVSSGLAYQH >ENSMUSP00000138527.1 pep:known chromosome:GRCm38:6:142785204:142804502:-1 gene:ENSMUSG00000048473.15 transcript:ENSMUST00000156662.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm766 description:predicted gene 766 [Source:MGI Symbol;Acc:MGI:2685612] MSCQSQPSLLHKYMGIFFSTMSSEELLGSLDSFDAREDDIFLVSYPKSGTHWLAEVIERI PDAGITLTSPIELGDISKFEELKRIPKRRAIPTHLNYEMLPVTVKQKQCKIIYIVRNPKD TAVSMFHYYRDNPNLPSTETWAAFLELFLKGDDCGCLPSPCLCPNLAMFLL >ENSMUSP00000107398.1 pep:known chromosome:GRCm38:6:142785781:142804466:-1 gene:ENSMUSG00000048473.15 transcript:ENSMUST00000111768.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm766 description:predicted gene 766 [Source:MGI Symbol;Acc:MGI:2685612] MSCQSQPSLLHKYMGIFFSTMSSEELLGSLDSFDAREDDIFLVSYPKSGTHWLAEVIERI PDAGITLTSPIELGDISKFEELKRIPKRRAIPTHLNYEMLPVTVKQKQCKIIYIVRNPKD TAVSMFHYYRDNPNLPSTETWAAFLELFLKGDVVYGSWFDHVLSWEEHKNDKNVLFIFYE EMKKDFVKSLKKITAFLGIDVNDSEMAKIARSTSFSEMKSNAAKENCDPNHVICALTSDR NLVFRKGVVGDWINYFTPKQNRVFDELFTEKMRNSDVGRCLKEYAHSQNA >ENSMUSP00000000254.7 pep:known chromosome:GRCm38:6:128934381:128984704:1 gene:ENSMUSG00000000248.16 transcript:ENSMUST00000000254.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2g description:C-type lectin domain family 2, member g [Source:MGI Symbol;Acc:MGI:1918059] MNITRASLPMLNTTCSCRREKWNFLGRYEGTFDYWIGLHRASSKHPWMWTDNTEYNNMFV YHMNAQCLKKPEEGESSPGTGGVHSYKILQRNSLRAISPESSAKLYCCCGVIMVLTVAVV ALSVALPATKTEQILINKTYAACPKNWIGVGNKCFYFSEYTSNWTFAQTFCMAQEAQLAR FDNEKELNFLMRYKANFDSWIGLHRESSEHPWKWTDNTEYNNMIPIQGVETCAYLSGNGI SSSRHYIPRIWICSKLNNYSLHCPTPVPV >ENSMUSP00000144788.1 pep:known chromosome:GRCm38:6:128971160:128983382:1 gene:ENSMUSG00000000248.16 transcript:ENSMUST00000203405.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2g description:C-type lectin domain family 2, member g [Source:MGI Symbol;Acc:MGI:1918059] MFVYHMNAQCLKKPEEGESSPGTGGVHSYKILQRNSLRAISPESSAKLYCCCGVIMVLTV AVVALSVALPATKTEQILINKTYAACPKNWIGVGNKCFYFSEYTSNWTFAQTFCMAQEAQ LARFDNEKELNFLMRYKANFDSWIGLHRESSEHPWKWTDNTEYNNMIPIQGVETCAYLSG NGISSSRHYIPRIWICSKLNNYSLHCPTPVPV >ENSMUSP00000115140.1 pep:known chromosome:GRCm38:6:128971160:128984707:1 gene:ENSMUSG00000000248.16 transcript:ENSMUST00000142388.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2g description:C-type lectin domain family 2, member g [Source:MGI Symbol;Acc:MGI:1918059] MNAQCLKKPEEGESSPGTGGVHSYKILQRNSLRAISPESSAKLYCCCGVIMVLTVAVVAL SVALPATKTEQILINKTYAACPKNWIGVGNKCFYFSEYTSNWTFAQTFCMAQEAQLARFD NEKELNFLMRYKANFDSWIGLHRESSEHPWKWTDNTEYNNMIPIQGVETCAYLSGNGISS SRHYIPRIWICSKLNNYSLHCPTPVPV >ENSMUSP00000144879.1 pep:known chromosome:GRCm38:6:128971261:128983246:1 gene:ENSMUSG00000000248.16 transcript:ENSMUST00000204259.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2g description:C-type lectin domain family 2, member g [Source:MGI Symbol;Acc:MGI:1918059] MPLCAFWFVYHMNAQCLKKPEEGESSPGTGGVHSYKILQRNSLRAISPESSAKLYCCCGV IMVLTVAVVALSVALPATKTEQILINKTYAACPKNWIGVGNKCFYFSEYTSNWTFAQTFC MAQEAQLARFDNEKELNFLMRYKANFDSWIGLHRESSEHPWKWTDNTEYNNMIPIQGVET CAYLSGNGISSSRHYIPRIWICSKLNNYSLHCPTPVPV >ENSMUSP00000075192.3 pep:known chromosome:GRCm38:6:128979409:128983192:1 gene:ENSMUSG00000000248.16 transcript:ENSMUST00000075789.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2g description:C-type lectin domain family 2, member g [Source:MGI Symbol;Acc:MGI:1918059] MNAQCLKKPEEGESSPGTGGVHSYKILQRNSLRAISPESSAKLYCCCGVIMVLTVAVVAL SVALPATKTEQILINKTYAACPKNWIGVGNKCFYFSEYTSNWTFAQTFCMAQEAQLARFD NEKELNFLMRYKANFDSWIGLHRESSEHPWKWTDNTEYNNMIPIQGVETCAYLSGNGISS SRHYIPRIWICSKLNNYSLHCPTPVPV >ENSMUSP00000032421.3 pep:known chromosome:GRCm38:6:142821545:142964452:-1 gene:ENSMUSG00000030283.7 transcript:ENSMUST00000032421.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St8sia1 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 1 [Source:MGI Symbol;Acc:MGI:106011] MSPCGRALHTSRGAMAMLARKFPRTRLPVGASALCVVVLCWLYIFPVYRLPNEKEIVQGV LAQRTAWRTNQTSASLFRRQMEDCCDPAHLFAMTKMNSPMGKSLWYDGELLYSFTIDNST YSLFPQATPFQLPLKKCAVVGNGGILKMSGCGRQIDEANFVMRCNLPPLSSEYTRDVGSK TQLVTANPSIIRQRFENLLWSRKKFVDNMKIYNHSYIYMPAFSMKTGTEPSLRVYYTLKD VGANQTVLFANPNFLRNIGKFWKSRGIHAKRLSTGLFLVSAALGLCEEVSIYGFWPFSVN MQGDPISHHYYDNVLPFSGYHAMPEEFLQLWYLHKIGALRMQLDPCEEPSPQPTS >ENSMUSP00000145148.1 pep:known chromosome:GRCm38:6:142828232:142964141:-1 gene:ENSMUSG00000030283.7 transcript:ENSMUST00000205149.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:St8sia1 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 1 [Source:MGI Symbol;Acc:MGI:106011] MSPCGRALHTSRGAMAMLARKFPRTRLPVGASALCVVVLCWLYIFPVYRLPNEKEIVQGV LAQRTAWRTNQTSASLFRYLLLAVAPLQHPFLLVCRRQGRL >ENSMUSP00000026744.5 pep:known chromosome:GRCm38:9:108914619:108915563:1 gene:ENSMUSG00000025652.6 transcript:ENSMUST00000026744.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem89 description:transmembrane protein 89 [Source:MGI Symbol;Acc:MGI:1916634] MLYTLLLVPSLFLLVMPVPSQGWSRPLWYQVGLDLQPWGCQPNSPDIWGCQPNSLDSCKN SLGCPGYWLGLGGNRIYPVAGVTITTTMLLVVSRVIVHRWRAKVAKGQLPAVTSSSGKHW KEQPTVSDRTLVLRVLHMLDAILLHIEGHLQGLASQQQIQIKGSPPQSG >ENSMUSP00000142016.1 pep:known chromosome:GRCm38:9:108914619:108915563:1 gene:ENSMUSG00000025652.6 transcript:ENSMUST00000192852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem89 description:transmembrane protein 89 [Source:MGI Symbol;Acc:MGI:1916634] MLYTLLLVPSLFLLVMPVPSQGWSRPLWYQVGLDLQPWGCQPNSPDIWGCQPNSLDSCKN SLGCPGYWLGLGGNRIYPVAGVTITTTMLLVVSRVIVHRWRAKVAKGQVSTAPLCPLQFL LLLMPPGPCLPLNLLPITLA >ENSMUSP00000114740.1 pep:known chromosome:GRCm38:2:91932827:91965600:-1 gene:ENSMUSG00000040479.11 transcript:ENSMUST00000142231.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgkz description:diacylglycerol kinase zeta [Source:MGI Symbol;Acc:MGI:1278339] MSALGAGHSAGGGCDVAAALGPAKVLGTKEAELPGALRQMWRSRSWDVPQIPAEVPQTQS ISAVSRPSANQAPGMSVSQPS >ENSMUSP00000106937.2 pep:known chromosome:GRCm38:2:91932824:91975864:-1 gene:ENSMUSG00000040479.11 transcript:ENSMUST00000099709.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkz description:diacylglycerol kinase zeta [Source:MGI Symbol;Acc:MGI:1278339] MAEGPGGGGPSGDRAASGRAAAEEVVRRRCRRPEEAEVFQPWPEGSRNVAAGPLVEERFS QMHLRKQVSYRKAITKSGLQHLAPPPPTPGAPCGESEEQIQSTVDWSESAVYGEHIWFET NVSGDFCYVGEQHCVAKMLPKSAPRKKCAACKIVVHTQCIKQLEKINFRCKPSFRESGSR NVREPTFVRHHWVHRRRQDGKCRHCGKGFQQKFTFHSKEIVAISCSWCKQAYHSKVSCFM MQQIEEPCSLGVHAAVVIPPTWILRARRPQNTLKASKKKKRASFKRRSSKKGPEEGRWRP FIIRPTPSPLMKPLLVFVNPKSGGNQGAKIIQSFLWYLNPRQVFDLSQGGPREALEMYRK VHNLRILACGGDGTVGWILSTLDQLRLKPPPPVAILPLGTGNDLARTLNWGGGYTDEPVS KILSHVEEGNVVQLDRWDLRAEPNPEAGPEERDDGATDRLPLDVFNNYFSLGFDAHVTLE FHESREANPEKFNSRFRNKMFYAGTAFSDFLMGSSKDLAKHIRVVCDGMDLTPKIQDLKP QCIVFLNIPRYCAGTMPWGHPGEHHDFEPQRHDDGYLEVIGFTMTSLAALQVGGHGERLT QCREVLLTTAKAIPVQVDGEPCKLSASRIRIALRNQATMVQKAKRRSTAPLHSDQQPVPE QLRIQVSRVSMHDYEALHYDKEQLKEASVPLGTVVVPGDSDLELCRAHIERLQREPDGAG AKSPMCHQLSSKWCFLDATTASRFYRIDRAQEHLNYVTEIAQDEIYILDPELLGASARPD LPTPTSPLPASPCSPTPGSMQGDTALPQGEELIEAAKRNDCCKLQELHRAGGDLMHRDQK SRTLLHHAVSTGSKEVVRYLLDHAPPEILDAVEENGETCLHQAAALGQRTICHYIVEAGA SLMKTDLQGDTPRQRAEKAQDTELAAYLENRQHYQMIQREDQETAV >ENSMUSP00000028667.3 pep:known chromosome:GRCm38:2:91932826:91963657:-1 gene:ENSMUSG00000040479.11 transcript:ENSMUST00000028667.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkz description:diacylglycerol kinase zeta [Source:MGI Symbol;Acc:MGI:1278339] MEPRDPSPEGRSSDSESASASSSGSERDAGPEPDKAPRRLTKRRFPGLRLFGHRKAITKS GLQHLAPPPPTPGAPCGESEEQIQSTVDWSESAVYGEHIWFETNVSGDFCYVGEQHCVAK MLPKSAPRKKCAACKIVVHTQCIKQLEKINFRCKPSFRESGSRNVREPTFVRHHWVHRRR QDGKCRHCGKGFQQKFTFHSKEIVAISCSWCKQAYHSKVSCFMMQQIEEPCSLGVHAAVV IPPTWILRARRPQNTLKASKKKKRASFKRRSSKKGPEEGRWRPFIIRPTPSPLMKPLLVF VNPKSGGNQGAKIIQSFLWYLNPRQVFDLSQGGPREALEMYRKVHNLRILACGGDGTVGW ILSTLDQLRLKPPPPVAILPLGTGNDLARTLNWGGGYTDEPVSKILSHVEEGNVVQLDRW DLRAEPNPEAGPEERDDGATDRLPLDVFNNYFSLGFDAHVTLEFHESREANPEKFNSRFR NKMFYAGTAFSDFLMGSSKDLAKHIRVVCDGMDLTPKIQDLKPQCIVFLNIPRYCAGTMP WGHPGEHHDFEPQRHDDGYLEVIGFTMTSLAALQVGGHGERLTQCREVLLTTAKAIPVQV DGEPCKLSASRIRIALRNQATMVQKAKRRSTAPLHSDQQPVPEQLRIQVSRVSMHDYEAL HYDKEQLKEASVPLGTVVVPGDSDLELCRAHIERLQREPDGAGAKSPMCHQLSSKWCFLD ATTASRFYRIDRAQEHLNYVTEIAQDEIYILDPELLGASARPDLPTPTSPLPASPCSPTP GSMQGDTALPQGEELIEAAKRNDCCKLQELHRAGGDLMHRDQKSRTLLHHAVSTGSKEVV RYLLDHAPPEILDAVEENGETCLHQAAALGQRTICHYIVEAGASLMKTDLQGDTPRQRAE KAQDTELAAYLENRQHYQMIQREDQETAV >ENSMUSP00000106934.1 pep:known chromosome:GRCm38:2:91932827:91950427:-1 gene:ENSMUSG00000040479.11 transcript:ENSMUST00000111303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkz description:diacylglycerol kinase zeta [Source:MGI Symbol;Acc:MGI:1278339] METFFRKHFQRKARGPGERQRRSSGVGLPTGKARRRSPAGQASSSLAQRRRSSAQLQGCF PSCGVGTRGASRRRSSTAPPTCNPRFMVDVVPTLQPVTVGAQLLGAPLLLAGLTSMKEEE EEEGVQEGNETAEATSDARPGTRTTPFSHQGTWPLLPMPRCLRRASSHLLPADVECGHTL WGLHGHYRRLSQRWPSGQHPGLGGRSPRASGAAACPMIPARVRPLSRRRQVALRRKSAGP QPWSTLLMKAITKSGLQHLAPPPPTPGAPCGESEEQIQSTVDWSESAVYGEHIWFETNVS GDFCYVGEQHCVAKMLPKSAPRKKCAACKIVVHTQCIKQLEKINFRCKPSFRESGSRNVR EPTFVRHHWVHRRRQDGKCRHCGKGFQQKFTFHSKEIVAISCSWCKQAYHSKVSCFMMQQ IEEPCSLGVHAAVVIPPTWILRARRPQNTLKASKKKKRASFKRRSSKKGPEEGRWRPFII RPTPSPLMKPLLVFVNPKSGGNQGAKIIQSFLWYLNPRQVFDLSQGGPREALEMYRKVHN LRILACGGDGTVGWILSTLDQLRLKPPPPVAILPLGTGNDLARTLNWGGGYTDEPVSKIL SHVEEGNVVQLDRWDLRAEPNPEAGPEERDDGATDRLPLDVFNNYFSLGFDAHVTLEFHE SREANPEKFNSRFRNKMFYAGTAFSDFLMGSSKDLAKHIRVVCDGMDLTPKIQDLKPQCI VFLNIPRYCAGTMPWGHPGEHHDFEPQRHDDGYLEVIGFTMTSLAALQVGGHGERLTQCR EVLLTTAKAIPVQVDGEPCKLSASRIRIALRNQATMVQKAKRRSTAPLHSDQQPVPEQLR IQVSRVSMHDYEALHYDKEQLKEASVPLGTVVVPGDSDLELCRAHIERLQREPDGAGAKS PMCHQLSSKWCFLDATTASRFYRIDRAQEHLNYVTEIAQDEIYILDPELLGASARPDLPT PTSPLPASPCSPTPGSMQGDTALPQGEELIEAAKRNDCCKLQELHRAGGDLMHRDQKSRT LLHHAVSTGSKEVVRYLLDHAPPEILDAVEENGETCLHQAAALGQRTICHYIVEAGASLM KTDLQGDTPRQRAEKAQDTELAAYLENRQHYQMIQREDQETAV >ENSMUSP00000118684.1 pep:known chromosome:GRCm38:2:91932824:91965587:-1 gene:ENSMUSG00000040479.11 transcript:ENSMUST00000128152.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgkz description:diacylglycerol kinase zeta [Source:MGI Symbol;Acc:MGI:1278339] MWRSRSWDVPQIPAEVPQTQKAITKSGLQHLAPPPPTPGAPCGESEEQIQSTVDWSESAV YGEHIWFETNVSGDFCYVGEQHCVAKMLPKSAPRKKCAACKIVVHTQCIKQLEKGVSMFR IALGMVGDSARLWG >ENSMUSP00000119615.1 pep:known chromosome:GRCm38:2:91940758:91950311:-1 gene:ENSMUSG00000040479.11 transcript:ENSMUST00000142090.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dgkz description:diacylglycerol kinase zeta [Source:MGI Symbol;Acc:MGI:1278339] METFFRKHFQRKARGPGERQRRSSGVGLPTGKPSPSRASSTWHPLLPRPGPRAVNLRSRS RALWTGVSQQCMGSTSGLRPTCPVTSAMSGSSTV >ENSMUSP00000086347.5 pep:known chromosome:GRCm38:2:153900388:153912795:1 gene:ENSMUSG00000068009.11 transcript:ENSMUST00000088955.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb6 description:BPI fold containing family B, member 6 [Source:MGI Symbol;Acc:MGI:2684965] MLCSLSLVLCGLLAGTRADPGGLLRLGMDIMNHEVQSAMEESHILEKMAAEASNPQPGGK AIKGLSNMKVKDVLEPVITLNFVPGVGISQCVSTGMTITGKSFTGGNMEINVVLNITATD RLLQDEEAGTPVFRSEGCEVILVSVKTNLPNNKAINKFVDSTLRKVLPGLMCPAIDAVLE YVNKKWAKLTDPMPVDKMGTVKYALTSPPATTASHIQVDFSPVVQLQEGQLIQLATDGSL PEFPEGSAKDSQLLLSATFLTAELALLQKSLEVKLKDKRVGKLPQNTRTLAGFIPQVAKT YHKPKPLLIKVKINKPPKVTMKAGKSLMHLHGSLEMFAARRHGKHPKSLFRLETHIGLEI HYSVQDNRLQMVTSMDSLLSLARESSSVGDFHEAELTGFITDYLQKAYIPVVNDVLHVGL PLPDLLAINYNLAELDIVEDALVLGLKTE >ENSMUSP00000119046.1 pep:known chromosome:GRCm38:2:153900395:153906922:1 gene:ENSMUSG00000068009.11 transcript:ENSMUST00000135501.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb6 description:BPI fold containing family B, member 6 [Source:MGI Symbol;Acc:MGI:2684965] MLCSLSLVLCGLLAGTRADPGGLLRLGMDIMNHEVQSAMEESHILEKMAAEASNPQPGGK AIKGLSNMKVKDVLEPVITLNFVPGVGISQCVSTGMTITGKSFTGGNMEINVVLNITATD RLLQDEEAGTPVFRSEGCEVILVSVKTNLPNNKAINKFVDSTLRKVLPGLMCPAIDAVLE YVNKKWAKLTDPMPVDKMGTVKYALTSPPATTASHIQVDFSPVVQLQEGQLIQLATDGSL PEFPEGSAKDSQLLLSATFLTAELALLQKSLEVKLKDKR >ENSMUSP00000028918.2 pep:known chromosome:GRCm38:2:144823656:144824415:1 gene:ENSMUSG00000027431.5 transcript:ENSMUST00000028918.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scp2d1 description:SCP2 sterol-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1913578] MWKRPDPQAKIKAGDRPQTCQSLALGSATESALPQALKLSDFQNFSVFEDISQHIKEVGA QLVKKVNAIFQLDITKDGKTILQWTIDLKNGAGDMYLGSARLPADTVFIIPDSVFTELVV GKINPQKAFLAGKFKVRGKVLLSQKLERIFREWAKI >ENSMUSP00000026743.8 pep:known chromosome:GRCm38:9:108936633:108949623:1 gene:ENSMUSG00000025651.14 transcript:ENSMUST00000026743.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrc1 description:ubiquinol-cytochrome c reductase core protein 1 [Source:MGI Symbol;Acc:MGI:107876] MAASAVCRAACSGTQVLLRTRRSPALLRLPALRGTATFAQALQSVPETQVSILDNGLRVA SEQSSHATCTVGVWIDAGSRYETEKNNGAGYFLEHLAFKGTKNRPGNALEKEVESIGAHL NAYSTREHTAYLIKALSKDLPKVVELLADIVQNSSLEDSQIEKERDVILREMQENDASMQ NVVFDYLHATAFQGTPLAQAVEGPSENVRRLSRTDLTDYLNRHYKAPRMVLAAAGGVEHQ QLLDLAQKHLSSVSRVYEEDAVPGLTPCRFTGSEIRHRDDALPLAHVAIAVEGPGWANPD NVTLQVANAIIGHYDCTYGGGVHLSSPLASVAVANKLCQSFQTFNISYSDTGLLGAHFVC DAMSIDDMVFFLQGQWMRLCTSATESEVTRGKNILRNALVSHLDGTTPVCEDIGRSLLTY GRRIPLAEWESRIQEVDAQMLRDICSKYFYDQCPAVAGYGPIEQLPDYNRIRSGMFWLRF >ENSMUSP00000141435.1 pep:known chromosome:GRCm38:9:108936714:108944888:1 gene:ENSMUSG00000025651.14 transcript:ENSMUST00000194047.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrc1 description:ubiquinol-cytochrome c reductase core protein 1 [Source:MGI Symbol;Acc:MGI:107876] MAASAVCRAACSGTQVLLRTRRSALQSVPETQVSILDNGLRVASEQSSHATCTVGVWIDA GSRYETEKNNGAGYFLEHLAFKGTKNRPGNALEKEVESIGAHLNAYSTREHTAYLIKALS KDLPKVVELLADIVQNSSLEDSQIEKERDVILREMQENDASMQNVVFDYLHATAFQGTPL AQAVEGPSENV >ENSMUSP00000141603.1 pep:known chromosome:GRCm38:9:108936715:108944704:1 gene:ENSMUSG00000025651.14 transcript:ENSMUST00000195738.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uqcrc1 description:ubiquinol-cytochrome c reductase core protein 1 [Source:MGI Symbol;Acc:MGI:107876] MLPARWECGLTLAVAMRLRRTMEQATFWNIWLSREQRIGLAMP >ENSMUSP00000141324.1 pep:known chromosome:GRCm38:9:108942122:108945010:1 gene:ENSMUSG00000025651.14 transcript:ENSMUST00000192305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrc1 description:ubiquinol-cytochrome c reductase core protein 1 [Source:MGI Symbol;Acc:MGI:107876] XEKNNGAGYFLEHLAFKLWSSWQISCRTVVWKTHRSRRNEM >ENSMUSP00000141743.1 pep:known chromosome:GRCm38:9:108947417:108949540:1 gene:ENSMUSG00000025651.14 transcript:ENSMUST00000194469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrc1 description:ubiquinol-cytochrome c reductase core protein 1 [Source:MGI Symbol;Acc:MGI:107876] VTLQVANAIIGHYDCTYGGGVHLSSPLASVAVANKLCQSFQTFNISYSDTGLLGAHFVCD AMSIDDMVFFLQGQWMRLCTSATESEVTRGKNILRNALVSHLDGTTPVCEDIGRSLLTYG RRIPLAEPHRAAPRLQPDPQWHVLAALLGRKSAQAGRRAGPG >ENSMUSP00000113240.1 pep:known chromosome:GRCm38:9:115937142:116074347:1 gene:ENSMUSG00000056880.12 transcript:ENSMUST00000121770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gadl1 description:glutamate decarboxylase-like 1 [Source:MGI Symbol;Acc:MGI:1920998] MTPGKKIPIFVDGVVLNGPQTDVKAGEKFVEEACRLIMEEVVLKATDVNEKVCEWQPPEQ LRQLLDLEMRDTGESQDKLLKLCQDVIHFSVKTNHPRFFNQLYAGLDYYSLAARIITEAL NPSIYTYEVSPVFLLVEEAVLKKMIECVGWKEGDGIFNPGGSVSNMCAMNLARYRHCPDI KEKGLSGLPRLILFTSAECHYSMKKAASFLGIGTQNVYFVETDGRGKMIPEDLEKQIWQA RQEGAVPFLVCATSGTTVLGAFDPLDEIAEVCERHGLWLHVDASWGGSALVSRKHRRLLH GIHRADSVAWNPHKMLMAGIQCSALLVKDKSDLLKKCYSAKATYLFQQDKFYDVSYDTGD KSIQCSRRPDAFKFWMTWKALGTSGLEERVNRAFALSRYLVDEIKKREGFKLLMEPEYTN VCFWYIPPSLREMEEGPEFWRKLSLVAPAIKEKMMKKGSLMLGYQPHRGKVNFFRQVVIS PQVSREDMDFLLDEIDSLGRDM >ENSMUSP00000112433.1 pep:known chromosome:GRCm38:9:115909455:116041216:1 gene:ENSMUSG00000056880.12 transcript:ENSMUST00000119291.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gadl1 description:glutamate decarboxylase-like 1 [Source:MGI Symbol;Acc:MGI:1920998] MTPGKKIPIFVDGVVLNGPQTDVKAGEKFVEEACRLIMEEVVLKATDVNEKVCEWQPPEQ LRQLLDLEMRDTGESQDKLLKLCQDVIHFSVKTNHPRFFNQLYAGLDYYSLAARIITEAL NPSIYTYEVSPVFLLVEEAVLKKMIECVGWKEGDGIFNPGGSVSNMCAMNLARYRHCPDI KEKGLSGLPRLILFTSAECHYSMKKAASFLGIGTQNVYFVETDGRGKMIPEDLEKQIWQA RQEGAVPFLVCATSGTTVLGAFDPLDEIAEVCERHGLWLHVDASWGGSALVSRKHRRLLH GIHRADSVAWNPHKMLMAGIQCSALLVKDKSDLLKKCYSAKATYLFQQDKFYDVSYDTGD KSIQCSRRPDAFKFWMTWKALGTSGLEERVNRAFALSRYLVDEIKKREGFKLLMEPEYTN VCFWYIPPSLREMEEGPEFWRKLSLRTVQFICRGFLLERNADSHFALRNWKTFPSVLA >ENSMUSP00000077694.5 pep:known chromosome:GRCm38:9:115909455:116076176:1 gene:ENSMUSG00000056880.12 transcript:ENSMUST00000069651.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gadl1 description:glutamate decarboxylase-like 1 [Source:MGI Symbol;Acc:MGI:1920998] MTPGKKIPIFVDGVVLNGPQTDVKAGEKFVEEACRLIMEEVVLKATDVNEKVCEWQPPEQ LRQLLDLEMRDTGESQDKLLKLCQDVIHFSVKTNHPRFFNQLYAGLDYYSLAARIITEAL NPSIYTYEVSPVFLLVEEAVLKKMIECVGWKEGDGIFNPGGSVSNMCAMNLARYRHCPDI KEKGLSGLPRLILFTSAECHYSMKKAASFLGIGTQNVYFVETDGRGKMIPEDLEKQIWQA RQEGAVPFLVCATSGTTVLGAFDPLDEIAEVCERHGLWLHVDASWGGSALVSRKHRRLLH GIHRADSVAWNPHKMLMAGIQCSALLVKDKSDLLKKCYSAKATYLFQQDKFYDVSYDTGD KSIQCSRRPDAFKFWMTWKALGTSGLEERVNRAFALSRYLVDEIKKREGFKLLMEPEYTN VCFWYIPPSLREMEEGPEFWRKLSLVAPAIKEKMMKKGSLMLGYQPHRGKVNFFRQVVIS PQVSREDMDFLLDEIDSLGRDM >ENSMUSP00000140585.1 pep:known chromosome:GRCm38:1:152954993:153119164:1 gene:ENSMUSG00000042751.14 transcript:ENSMUST00000186368.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nmnat2 description:nicotinamide nucleotide adenylyltransferase 2 [Source:MGI Symbol;Acc:MGI:2444155] MTETTKTHVILLACGSFNPITKGHIQMFERARDYLHKTGRFIVIGGIVSPVHDSYGKQGL VSSRHRLIMCQLAVQNSDWIRVDPWECYQDTWQTTCSVLEHHRDLMKRVTGCILSNVNTP SMTPVIGQPQHENTQPIYQNSNVPTKPTAAKILGKVGESLSRICCVRPPVERFTFVDENA NLGTVMRYEEIELRILLLCGSDLLESFCIPGLWNEADMEVIVGDFGIVVVPRDAADTDRI MNHSSILRKYKNNIMVVKDDINHPMSVVSSTKSSQGFSV >ENSMUSP00000041110.8 pep:known chromosome:GRCm38:1:152954998:153119261:1 gene:ENSMUSG00000042751.14 transcript:ENSMUST00000043313.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmnat2 description:nicotinamide nucleotide adenylyltransferase 2 [Source:MGI Symbol;Acc:MGI:2444155] MTETTKTHVILLACGSFNPITKGHIQMFERARDYLHKTGRFIVIGGIVSPVHDSYGKQGL VSSRHRLIMCQLAVQNSDWIRVDPWECYQDTWQTTCSVLEHHRDLMKRVTGCILSNVNTP SMTPVIGQPQHENTQPIYQNSNVPTKPTAAKILGKVGESLSRICCVRPPVERFTFVDENA NLGTVMRYEEIELRILLLCGSDLLESFCIPGLWNEADMEVIVGDFGIVVVPRDAADTDRI MNHSSILRKYKNNIMVVKDDINHPMSVVSSTKSRLALQHGDGHVVDYLSQPVIDYILKSQ LYINASG >ENSMUSP00000140497.1 pep:known chromosome:GRCm38:1:152955041:153094088:1 gene:ENSMUSG00000042751.14 transcript:ENSMUST00000186621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmnat2 description:nicotinamide nucleotide adenylyltransferase 2 [Source:MGI Symbol;Acc:MGI:2444155] MLIKKRARDYLHKTGRFIVIGGIVSPVHDSYGKQGLVSSRHRLIMCQLAVQNSDWIRVDP WECYQDTWQTTCSVLEHHRDLMKRVTGCILSNVNTPSMTPVIGQPQHENTQPIYQNSNVP TKPTAAKILGKVGESLSRICCVRPPVERFTFVDENANLGTVMRYEEIELRILLLCGSDLL ESFC >ENSMUSP00000139810.1 pep:known chromosome:GRCm38:1:135953578:135963140:-1 gene:ENSMUSG00000051985.12 transcript:ENSMUST00000140703.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Igfn1 description:immunoglobulin-like and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:3045352] XGYLIEKHKKGSNTWMAVNEQPVSERRYTVVGLRQGCQYEFRVTAVTLSGPGDPGPPSDA VFARDPMRPPGPVRDLQVTDTSNTSITVSWMVPDARDADEAQGYIVELCGSDSHQWSPCH VGTVPGTTFTAKGLRPQEGYFVRVTAVNDGGRSQATSLDTLVHAMPATVCPRFLMDSGTK DTLMVRVGDSIRVPLSFELASRTVADTP >ENSMUSP00000129680.2 pep:known chromosome:GRCm38:1:135953585:136006342:-1 gene:ENSMUSG00000051985.12 transcript:ENSMUST00000166193.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfn1 description:immunoglobulin-like and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:3045352] MNEMRTQVRIEFHALATQRKPSASPPTIEEDMGQGCTLEALVIPSRRAEQSTQHPDSSFM ATVRGAKSVVVSQWQLLQAGSAGSAARPDGSGSESLAASSSWKPRRRLEAGGPCRSWLVF LVSREGWSDSNPGYRKQFICRPQEIGFHSEEHREAGTMAAKPPKKSSIPGVSIRQLVDEI PEGCSTPDFKQKPVTLALPEGKNAIFRAVVCGEPRPEVHWQSSKGDLSNSAKYQISSAPG REEHVLQINKLTGEDSDLYRCTALNAYGEATCSVRLTVIEVGFRKNRKRQKEPQEDLRKE LMDFRKMLKKRAPPPTPEKKMESEQVWQLLVTADRKDYEKICMQYGIVDFRGMLRKLQEM KKEQEDRMAQYVSAIANLRHIKVTKEGVATFDLELDLKNLESKIYLYKDGEMIPYGVDNQ TKHCLRRLGKRYHFQIQDLRPEDAGLYQVMVEDAVVFSTELESRTIPPRVVVPLAETRCE ERGDAVFECTLSNPCPSATWHFQHRPLRLSDKYEVFVSPDGLTHRLVVKGASSSDMGLYS LNTGLHASSAWLVVEGGKDKGPQTTDTDHRLQTPEALASEAEDAGGISIKGGQSRERGSL KEISGAQLTAGPDRGAFGKHGYPLVVDEDIVNSTWGPGQDKKSFLEGGQLRVTLPGDSQS QREGDCGRSLPRRSHLQGEDTESDLGFLERGQQGPGRVDSENGRWETAGGQSAGSSHPRD RRLESRGEGQEHSEGHGSELDRYGQGQCHDPQLGAGAGQRVPWGSQFDAEGLQLKKEGTE MWGDCLDVTEGRGNLRKERGTAAGSSNRARLWEARGGSGAGSPCVPEFPRGGAHSSKAGM GPECWPGGDAGHGEAGVYWGAGGYPGQTSEGNDTQKSSLSGDRKLLGCTSPEAKAEGSFQ SADGHGIVGRVYKPGPEGPEDLRSQKSGLQEIQGRNGQGSAGALGRTVEGSRSLQFPQSW TVGQREAGKPGGAEYEDIGPQDDTWSNLREMGGHCGSGVLASGGREGSVGGSQVAALMMS SQRVDARNHRLVTSPGLGVQGSGRTLGHMEGLRDPEAVGSEEEFWNGSGRSQGKGSRGGM GLGGPEWAESRNEEGWENSEGMASRNGATCRDGSRMQEGTGSGPDIGCKAPCGAPAETES GKWGTYSHDSGVPGGLWSGSKSQCSPAGKVSGREASLRNGSAGLHGMPSSEAQQRDVFQG HGQTGHIGEHHCARGLGSSETVGSVGGHGRKDSGTAGKVGEGYTEAEPGHSGGLSSWGHT GDYEDFRVLGAFREGDFGNGTGVPLSMGPRSLERGDKEGDGERISFLGARTSTVGTGNWD KARHPGAPSPHEAGSEGHWAQVSGNALGCRDGSGIPEPWSAGDKTAYGEESKGLGPERTG PDGEVAFRGSSSSLRGMGPASEASYKSGTWGPGAVGSGFKVDCRNDLGCSGSMGSESKAG YKNKIESHGVRELGDLTGYKNDLGPSELISSRNEARGLEHSGDILSWNKAGLRDGLGETG RMESKNGVGYRGSSVGPGEMGSERKMHLADGSGRLGGPGSLAAPKEHESVGLGSVYEGAA EIGSKYNREKVRGMGFRDATGPEVGSGVAGMLDITGGIAHGDSAMGTEKLGTPDRPYILS GGQGTKNSLGGCVSLGIPDALGAVGSVGKPGIREWKDDSGFQGSLRDRGTPSEEIRSVDQ AGAIGTSRLLDSRGAVEDGSGSENDFDFNKSGLETTGRCRVADQQGVTPQGCGGSLLNGR RKGTSSGSLSGVGQEVDRSSTPGRETKVMSGPGSGRATSHTRAADWEDGVQCSFGASSSL PDTDAIFRETHAGQGAFKGRGCETGQGAAGECQGPRSLGSRGSEFVGGRAGFTGSSSVPG RRDSTIYGDAVTSKPQEPQNELYSPLGRRDFKSVSGGIQEPGFQRGTGQGEGKESFQESG SWEAELDEVQGSRASAKFENQGGKDPGWSGQKPGPCGSRHQVQSGTEVGSAKRATPQGAG GLEPWAGSEDRGSLREPWSEDRRQGPHRHLGSRRDTQEGRSDVCGQAQDATQSPRSRYQP GTGRSSSEARGSMDHFSQGLTDTEVQLGEAAIVSCTLASDLGPGTWFKDGVKLTAQDGVI FEQDGLTHRLILTHVEGTQAGKYTFVAGCQHSEASLTVQDPPTIAPDVTETLREPLVFKA GKPVTVKIPFQSRLPVQAAWRKDGNEVVGGDHKGIQLALADGYTWLCLPSVCRKDSGRYS VTLRSEGGCVQAEFTLQVIDKPQPPQGPLEVQDCHRAGVCLRWLPPRDNGGQVIQHYVVE RRQAGRSTWLKVGEPPSDSTSFTDTSVEQGKKYAFRVRAVTSVGAGDALESEEVLVAPEA LPGPPSAPAILSASSQSITLTWGAPQGPGSNHILGYLIEKHKKGSNTWMAVNEQPVSERR YTVVGLRQGCQYEFRVTAVTLSGPGDPGPPSDAVFARDPMRPPGPVRDLQVTDTSNTSIT VSWMVPDARDADEAQGYIVELCGSDSHQWSPCHVGTVPGTTFTAKGLRPQEGYFVRVTAV NDGGRSQATSLDTLVHAMPATVCPRFLMDSGTKDTLMVRVGDSIRVPLSFEAAPMPEVTW LKDGLPLPKRSVTTVKAGLTQLLIPAASLSDCGRYTVMLRNLQGKEATHSFFINVAACPQ APGSIYLQENVPGTVTVQWEPSPDEAQGIPLHYTVLMRSSSHRSWHEVADHVRTNRFTLL GVLPGHEYHFRVLAKNELGVSKPSDTSQPWCIPRQRDRFTVKSPTYQDPDLSQKPRFLVG LRAHLLPQGCECRMTCAVQGSPQPHVTWFKNDQSLDRNPAVYSTDLLGVCSLVIPSVSLK DSGEYKAVAKNPLGQAVSTATLIVTEYDS >ENSMUSP00000119230.2 pep:known chromosome:GRCm38:1:135954598:135982482:-1 gene:ENSMUSG00000051985.12 transcript:ENSMUST00000124134.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfn1 description:immunoglobulin-like and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:3045352] XVSREGWSDSNPGYRKQFICRPQEIGFHSEEHREAGTMAAKPPKKSSIPGVSIRQLVDEI PEGCSTPDFKQKPVTLALPEGKNAIFRAVVCGEPRPEVHWQSSKGDLSNSAKYQISSAPG REEHVLQINKLTGEDSDLYRCTALNAYGEATCSVRLTVIEVGFRKNRKRQKEPQEDLRKE LMDFRKMLKKRAPPPTPEKKMESEQVWQLLVTADRKDYEKICMQYGIVDFRGMLRKLQEM KKEQEDRMAQYVSAIANLRHIKVTKEGVATFDLELDLKNLESKIYLYKDGEMIPYGVDNQ TKHCLRRLGKRYHFQIQDLRPEDAGLYQVMVEDAVVFSTELESRTIPPRVVVPLAETRCE ERGDAVFECTLSNPCPSATWHFQHRPLRLSDKYEVFVSPDGLTHRLVVKGASSSDMGLYS LNTGLHASSAWLVVEGSMDHFSQGLTDTEVQLGEAAIVSCTLASDLGPGTWFKDGVKLTA QDGVIFEQDGLTHRLILTHVEGTQAGKYTFVAGCQHSEASLTVQDPPTIAPDVTETLREP LVFKAGKPVTVKIPFQSRLPVQAAWRKDGNEVVGGDHKGIQLALADGYTWLCLPSVCRKD SGRYSVTLRSEGGCVQAEFTLQVIDKPQPPQGPLEVQDCHRAGVCLRWLPPRDNGGQVIQ HYVVERRQAGRSTWLKVGEPPSDSTSFTDTSVEQGKKYAFRVRAVTSVGAGDALESEEVL VAPEALPGPPSAPAILSASSQSITLTWGAPQGPGSNHILGYLIEKHKKGSNTWMAVNEQP VSERRYTVVGLRQGCQYEFRVTAVTLSGPGDPGPPSDAVFARDPMRPPGPVRDLQVTDTS NTSITVSWMVPDARDADEAQGYIVELCGSDSHQWSPCHVGTVPGTTFTAKGLRPQEGYFV RVTAVNDGGRSQATSLDTLVHAMPATVCPRFLMDSGTKDTLMVRVGDSIRVPLSFEAAPM PEVTWLKDGLPLPKRSVTTVKAGLTQLLIPAASLSDCGRYTVMLRNLQGKEATHSFFINV AACPQAPGSIYLQENVPGTVTVQWEPSPDEAQGIPLHYTVLMRSSSHRSWHEVADHVRTN RFTLLGVLPGHEYHFRVLAKNELGVSKPSDTSQPWCIPRQRDRFTVKSPTYQDPDLSQKP RFLVGLRAHLLPQGCECRMTCAVQGSPQPHVTWFKNDQSLDRNPAVYSTDLLGVCSLVIP SVSLKDSGEYKAVAKNPLGQA >ENSMUSP00000086342.1 pep:known chromosome:GRCm38:2:153918230:153932996:1 gene:ENSMUSG00000068008.8 transcript:ENSMUST00000088950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb3 description:BPI fold containing family B, member 3 [Source:MGI Symbol;Acc:MGI:2675077] MMLGVYTLLLLWGLATPCLGLLETVGTLARIDKDELGKAIQNSLVGGPILQNVLGTVTSV NQGLLGAGGLLGGGGLLSYGGIFSLVEELSGLKIEELTLPKVSLKLLPGVGVQLNLHTKV SLHGSGPLVGLLQLAAEVNVSSKVALGMSPRGTPILVLKRCSTLLGHISLMSGLLPTPIF GLVEQTLCKVLPGLLCPVVDSVLSVVNELLGATLSLVPLGPLGSVEFTLATLPLISNQYI ELDINPIVKSIAGDVIDFPKPRIPVKVPPKEDHTSQVTVPLYLFSTVFGLLQTNGALDLD ITPEMVPRNVPLTTTDLAALAPEALGKLPPAQHLLLSLRVTKSPMVLLQNKKATVSIPVT IHVLSSVPQGTPVALFQLNGVMTLNAHLAPSSTKLHISLSLERLSVQLASSFPQPFDASR LEEWLSDVVRAAYMQRLNEHLEVGIPLPKILNVNFANSVVDIIENAVVLTVAP >ENSMUSP00000105382.1 pep:known chromosome:GRCm38:2:153918394:153932993:1 gene:ENSMUSG00000068008.8 transcript:ENSMUST00000109760.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb3 description:BPI fold containing family B, member 3 [Source:MGI Symbol;Acc:MGI:2675077] MMLGVYTLLLLWGLATPCLGLLETVGTLARIDKDELGKAIQNSLVGGPILQNVLGTVTSV NQGLLGAGGLLGGGGLLSYGGIFSLVEELSGLKIEELTLPKVSLKLLPGVGVQLNLHTKV SLHGSGPLVGLLQLAAEVNVSSKVALGMSPRGTPILVLKRCSTLLGHISLMSGLLPTPIF GLVEQTLCKVLPGLLCPVVDSVLSVVNELLGATLSLVPLGPLGSVEFTLATLPLISNQYI ELDINPIVKSIAGDVIDFPKPRIPVKVPPKEDHTSQVTVPLYLFSTVFGLLQTNGALDLD ITPEMVPRNVPLTTTDLAALAPEALGKLPPAQHLLLSLRVTKSPMVLLQNKKATVSIPVT IHVLSSVPQGTPVALFQLNGVMTLNAHLAPSSTKLHISLSLERLSVQLASSFPQPFDASR LEEWLSDVVRAAYMQRLNEHLEVGIPLPKILNVNFANSVVDIIENAVVLTVAP >ENSMUSP00000112827.1 pep:known chromosome:GRCm38:11:120232947:120292282:1 gene:ENSMUSG00000039741.15 transcript:ENSMUST00000122148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bahcc1 description:BAH domain and coiled-coil containing 1 [Source:MGI Symbol;Acc:MGI:2679272] MDGRDFAPPPHLLSERGSLGHRSAAAAARLAPAGPAAQPAAHFQPGKYFPSPLPMASHTA SSRLMGNPPASSFMGSFLTSSLGSAASAHPSGPTSSPSEPAYRGSHPATSQIWFSHSHEA PAYPRFSGSLASTFLPVSHLDHHGNSNVLYGQHRFYGTQKDNFYLRNLPPQPTILPANHN FPGVPRATPAHPIGSCSRDRIEAASLQKGPKEFDRFLMGKEVGKEKVSKGAEGRERPAVE EDSGKDRQKLVPPMPAEGPCKEAGPAPRGSCEGRPKHLTSCLLNTKVLNGDMGKASLASC AGGMLGRPGTGVAAPGRCAKEVAGPVEPGPAFSECLERRQMLHHAVSYTVPSGLPTGPPP PLSTGPAGSFPCLQLHAGPDGLCPLQDKVSRDLKASGPTFVPSVGHLADKSRSFQVAEAC AVAGDGKDRHLDAAMATDHGAPYGVSYAHLKAEGKGERRPGGFEAALHPRLKGLEYLSSG PEAPFPGLPKGGLDKSGYFELPTSQDCARSNHQDPLGGKATQACCTLDKVANKEAPAGPP VGQKVARIRHQQHLVAPEVESGGSGAETKRKSVELASLGYSGHHMPPWGVQTGHDTSMAI IEERKGSAYLDPFGSGLQQAALLSQELPTPPDEVSAMKNLLKYSNQALVVGQKAPFVGLG SLKASCVQQEAKFPATKGPGPVERPDCARSREHEAPHGDGEVRQPPVGIAVALARQKDTV GRPDTAYNTNSGRQGRAAPTFKGAGGPRASHALDLESEEERSRACEERLGLPGRELLLQD NKDLVEFARIHPSSSCPGDLPPHLMMQGGDPAPHPHPAHPHWLPRTRSPSLWMGGHSYGL GHPALHQNLPPGFPASVPGSMPSVFPLPQDAATQLVILPSEPTPHTTPHTLAEVMDQASL WPPMYGARGPASHMQHPGQLPVYSRSQLLRQQELYALQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQHRATQALELQRVAQFQRKPEDRHMELEEAAQEKTPKSTHKPVALTPMAKGTP SSATAGLVKLSPCCQSPTLKTPASCPTPPPRPSAPCTLPICPTGSPGPGSKVPSTMDKSE EGQRAGTNLTTLEPDLTPGLNPTAGLDLSLPSDVHSSDLQDPKTMQTTTPGTRPEPPRTF LPGEPPPCSPRNLEEPGLLSRARDATQDLANLPPPVEGGLPPGKAEDPSPLEGLQALKFG DLLEGGGTEATGQTNSTQGGMQNERTVDQGAPQPPLGATPQALEQEAGSPAALDKREGPQ KVPDVAQLQEEETQLEESGGDSEVDWGTPNHSHPPKALPGLDALVAATVDLGDLPDISLT DPQTPAASVPLSTAPLPHSSGIHGIALLSELADLETQRQKSELSMQEDEDVLAFNLQHLA TLATAWSLVEAANLDSPVTSLQAPAADPDRGPRLTPRMQILQRKDTWAPKTKPVCPLKAA IDRLDTQEVEMRMQLAELQRRYKEKQRELARLQRRHDHEREESSRSPARRGPGRPRKRKH SSSLPALRPGGQLARSDSKKAKAVRASLSLLCAELRGDEPPRKRSKLGKSPYTGLQSVSS EKVRCKKSCGQAELPSSVAHKVAQLKPKVKSKGLPAGLGAFQRKEAAPGGRIQKKLSRAK SVTASGAARHPHPDGDSGREMHKFQAQPAVAVAHEAGSGYDSEDCQALLGTEAAPREPGL VLHPGSGVAVLGPSPSSVVKMEANQKAKKKKERQGLLGACRLSSPEGEVKIKRRTVKTKV GAKLERAPGRRPPGAPGKKKAKGKVKTGLRTEPGTATSRDTLFSPTRTFACREEGSKLAS ERLKRATRKSAMLQPVLRRKNGALSIALSARNAKAILGKSRKLTKVKREAVSKQGQGRAV SRLLESFAVEDDFEFDEDDTSFSDEEEEEEEAGVQLSAEQSAALARSCTIHKEDLQDGLP VLIPKEDSLLYAGSVRTLQPPDIYSIVIEGERGNRQRIYSLEQLLQEAVLDVQPQSSRYL PPGTRVCAYWSQKSRCLYPGNVVRGASSDEEDLDSVLVEFDDGDTGHIAVSNIRLLPPDF KIQCTEPSPALLVSSSCRRTKKAANEGHPPSEAPTPSLSPKVPDGPETSKTPGKKSGSKD KAGKVDLLTSGAKSPTGASDHFLGRRGSPLLSWSAVAQTKRKAVAAAAAAAGGKGPGVLQ NLFQLNGSTKKLRARDTLFPMHSMATPVFGNSFRADSFSSLASSYTPFLGGAGAGLPGGA HKLLRAKKAERAEAEKAGRRRAGGEFLVKLDHEGVTSPKNKNCKALLMSDKDFGPKLGRP LSNPSYAHPALIGKDKKGRAPVHPLPMGLALRKYPLPCDSDCPSSYSDEDEDGPGLATGV PSRFLTRLSMSSSSSGSSTSSSSGSVSTSSLCSSDNEDSSYSSDDEDPALLLQTCLTRPV PALLAPPEALRSKGSSPHAHTHAQRCFLSRAGVAGAGAGASPSGSKSKFKRKEALSFSKA KELSRRQRLPSVENRPKISAFLPARQLWKWSGNPTQRRGMKGKARKLFYKAIVRGKETLR IGDCAVFLSAGRPNLPYIGRIESLWESWGSNMVVKVKWFYHPEETKLGKRQSDGKNALYQ SCHEDENDVQTISHKCQVVGREQYEQMMRGRKYQDQQDLYYLAGTYDPTTGRLVTADGVP VLC >ENSMUSP00000112784.1 pep:known chromosome:GRCm38:11:120236699:120292282:1 gene:ENSMUSG00000039741.15 transcript:ENSMUST00000118987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bahcc1 description:BAH domain and coiled-coil containing 1 [Source:MGI Symbol;Acc:MGI:2679272] MDGRDFAPPPHLLSERGSLGHRSAAAAARLAPAGPAAQPAAHFQPGKYFPSPLPMASHTA SSRLMGNPPASSFMGSFLTSSLGSAASAHPSGPTSSPSEPAYRGSHPATSQIWFSHSHEA PAYPRFSGSLASTFLPVSHLDHHGNSNVLYGQHRFYGTQKDNFYLRNLPPQPTILPANHN FPGVPRATPAHPIGSCSRDRIEAASLQKGPKEFDRFLMGKEVGKEKVSKGAEGRERPAVE EDSGKDRQKLVPPMPAEGPCKEAGPAPRGSCEGRPKHLTSCLLNTKVLNGDMGKASLASC AGGMLGRPGTGVAAPGRCAKEVAGPVEPGPAFSECLERRQMLHHAVSYTVPSGLPTGPPP PLSTGPAGSFPCLQLHAGPDGLCPLQDKVSRDLKASGPTFVPSVGHLADKSRSFQVAEAC AVAGDGKDRHLDAAMATDHGAPYGVSYAHLKAEGKGERRPGGFEAALHPRLKGLEYLSSG PEAPFPGLPKGGLDKSGYFELPTSQDCARSNHQDPLGGKATQACCTLDKVANKEAPAGPP VGQKVARIRHQQHLVAPEVESGGSGAETKRKSVELASLGYSGHHMPPWGVQTGHDTSMAI IEERKGSAYLDPFGSGLQQAALLSQELPTPPDEVSAMKNLLKYSNQALVVGQKAPFVGLG SLKASCVQQEAKFPATKGPGPVERPDCARSREHEAPHGDGEVRQPPVGIAVALARQKDTV GRPDTAYNTNSGRQGRAAPTFKGAGGPRASHALDLESEEERSRACEERLGLPGRELLLQD NKDLVEFARIHPSSSCPGDLPPHLMMQGGDPAPHPHPAHPHWLPRTRSPSLWMGGHSYGL GHPALHQNLPPGFPASVPGSMPSVFPLPQDAATQLVILPSEPTPHTTPHTLAEVMDQASL WPPMYGARGPASHMQHPGQLPVYSRSQLLRQQELYALQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQHRATQALELQRVAQFQRKPEDRHMELEEAAQEKTPKSTHKPVALTPMAKGTP SSATAGLVKLSPCCQSPTLKTPASCPTPPPRPSAPCTLPICPTGSPGPGSKVPSTMDKSE EGQRAGTNLTTLEPDLTPGLNPTAGLDLSLPSDVHSSDLQDPKTMQTTTPGTRPEPPRTF LPGEPPPCSPRNLEEPGLLSRARDATQDLANLPPPVEGGLPPGKAEDPSPLEGLQALKFG DLLEGGGTEATGQTNSTQGGMQNERTVDQGAPQPPLGATPQALEQEAGSPAALDKREGPQ KVPDVAQLQEEETQLEESGGDSEVDWGTPNHSHPPKALPGLDALVAATVDLGDLPDISLT DPQTPAASVPLSTAPLPHSSGIHGIALLSELADLETQRQKSELSMQEDEDVLAFNLQHLA TLATAWSLVEAANLDSPVTSLQAPAADPDRGPRLTPRMQILQRKDTWAPKTKPVCPLKAA IDRLDTQEVEMRMQLAELQRRYKEKQRELARLQRRHDHEREESSRSPARRGPGRPRKRKH SSSLPALRPGGQLARSDSKKAKAVRASLSLLCAELRGDEPPRKRSKLGKSPYTGLQSVSS EKVRCKKSCGQAELPSSVAHKVAQLKPKVKSKGLPAGLGAFQRKEAAPGGRIQKKLSRAK SVTASGAARHPHPDGDSGREMHKFQAQPAVAVAHEAGSGYDSEDCQALLGTEAAPREPGL VLHPGSGVAVLGPSPSSVVKMEANQKAKKKKERQGLLGACRLSSPEGEVKIKRRTVKTKV GAKLERAPGRRPPGAPGKKKAKGKVKTGLRTEPGTATSRDTLFSPTRTFACREEGSKLAS ERLKRATRKSAMLQPVLRRKNGALSIALSARNAKAILGKSRKLTKVKREAVSKQGQGRAV SRLLESFAVEDDFEFDEDDTSFSDEEEEEEEAGVQLSAEQSAALARSCTIHKEDLQDGLP VLIPKEDSLLYAGSVRTLQPPDIYSIVIEGERGNRQRIYSLEQLLQEAVLDVQPQSSRYL PPGTRVCAYWSQKSRCLYPGNVVRGASSDEEDLDSVLVEFDDGDTGHIAVSNIRLLPPDF KIQCTEPSPALLVSSSCRRTKKAANEGHPPSEAPTPSLSPKVPDGPETSKTPGKKSGSKD KAGKVDLLTSGAKSPTGASDHFLGRRGSPLLSWSAVAQTKRKAVAAAAAAAGGKGPGVLQ NLFQLNGSTKKLRARDTLFPMHSMATPVFGNSFRADSFSSLASSYTPFLGGAGAGLPGGA HKLLRAKKAERAEAEKAGRRRAGGEFLVKLDHEGVTSPKNKNCKALLMSDKDFGPKLGRP LSNPSYAHPALIGKDKKGRAPVHPLPMGLALRKYPLPCDSDCPSSYSDEDEDGPGLATGV PSRFLTRLSMSSSSSGSSTSSSSGSVSTSSLCSSDNEDSSYSSDDEDPALLLQTCLTRPV PALLAPPEALRSKGSSPHAHTHAQRCFLSRAGVAGAGAGASPSGSKSKFKRKEALSFSKA KELSRRQRLPSVENRPKISAFLPARQLWKWSGNPTQRRGMKGKARKLFYKAIVRGKETLR IGDCAVFLSAGRPNLPYIGRIESLWESWGSNMVVKVKWFYHPEETKLGKRQSDGKNALYQ SCHEDENDVQTISHKCQVVGREQYEQMMRGRKYQDQQDLYYLAGTYDPTTGRLVTADGVP VLC >ENSMUSP00000043643.7 pep:known chromosome:GRCm38:11:120232947:120292296:1 gene:ENSMUSG00000039741.15 transcript:ENSMUST00000044985.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bahcc1 description:BAH domain and coiled-coil containing 1 [Source:MGI Symbol;Acc:MGI:2679272] MDGRDFAPPPHLLSERGSLGHRSAAAAARLAPAGPAAQPAAHFQPGKYFPSPLPMASHTA SSRLMGNPPASSFMGSFLTSSLGSAASAHPSGPTSSPSEPAYRGSHPATSQIWFSHSHEA PAYPRFSGSLASTFLPVSHLDHHGNSNVLYGQHRFYGTQKDNFYLRNLPPQPTILPANHN FPGVPRATPAHPIGSCSRDRIEAASLQKGPKEFDRFLMGKEVGKEKVSKGAEGRERPAVE EDSGKDRQKLVPPMPAEGPCKEAGPAPRGSCEGRPKHLTSCLLNTKVLNGDMGKASLASC AGGMLGRPGTGVAAPGRCAKEVAGPVEPGPAFSECLERRQMLHHAVSYTVPSGLPTGPPP PLSTGPAGSFPCLQLHAGPDGLCPLQDKVSRDLKASGPTFVPSVGHLADKSRSFQVAEAC AVAGDGKDRHLDAAMATDHGAPYGVSYAHLKAEGKGERRPGGFEAALHPRLKGLEYLSSG PEAPFPGLPKGGLDKSGYFELPTSQDCARSNHQDPLGGKATQACCTLDKVANKEAPAGPP VGQKVARIRHQQHLVAPEVESGGSGAETKRKSVELASLGYSGHHMPPWGVQTGHDTSMAI IEERKGSAYLDPFGSGLQQAALLSQELPTPPDEVSAMKNLLKYSNQALVVGQKAPFVGLG SLKASCVQQEAKFPATKGPGPVERPDCARSREHEAPHGDGEVRQPPVGIAVALARQKDTV GRPDTAYNTNSGRQGRAAPTFKGAGGPRASHALDLESEEERSRACEERLGLPGRELLLQD NKDLVEFARIHPSSSCPGDLPPHLMMQGGDPAPHPHPAHPHWLPRTRSPSLWMGGHSYGL GHPALHQNLPPGFPASVPGSMPSVFPLPQDAATQLVILPSEPTPHTTPHTLAEVMDQASL WPPMYGARGPASHMQHPGQLPVYSRSQLLRQQELYALQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQHRATQALELQRVAQFQRKPEDRHMELEEAAQEKTPKSTHKPVALTPMAKGTP SSATAGLVKLSPCCQSPTLKTPASCPTPPPRPSAPCTLPICPTGSPGPGSKVPSTMDKSE EGQRAGTNLTTLEPDLTPGLNPTAGLDLSLPSDVHSSDLQDPKTMQTTTPGTRPEPPRTF LPGEPPPCSPRNLEEPGLLSRARDATQDLANLPPPVEGGLPPGKAEDPSPLEGLQALKFG DLLEGGGTEATGQTNSTQGGMQNERTVDQGAPQPPLGATPQALEQEAGSPAALDKREGPQ KVPDVAQLQEEETQLEESGGDSEVDWGTPNHSHPPKALPGLDALVAATVDLGDLPDISLT DPQTPAASVPLSTAPLPHSSGIHGIALLSELADLETQRQKSELSMQEDEDVLAFNLQHLA TLATAWSLVEAANLDSPVTSLQAPAADPDRGPRLTPRMQILQRKDTWAPKTKPVCPLKAA IDRLDTQEVEMRMQLAELQRRYKEKQRELARLQRRHDHEREESSRSPARRGPGRPRKRKH SSSLPALRPGGQLARSDSKKAKAVRASLSLLCAELRGDEPPRKRSKLGKSPYTGLQSVSS EKVRCKKSCGQAELPSSVAHKVAQLKPKVKSKGLPAGLGAFQRKEAAPGGRIQKKLSRAK SVTASGAARHPHPDGDSGREMHKFQAQPAVAVAHEAGNGYDSEDCQALLGTEAAPREPGL VLHPGSGVAVLGPSPSSVVKMEANQKAKKKKERQGLLGACRLSSPEGEVKIKRRTVKTKV GAKLERAPGRRPPGAPGKKKAKGKVKTGLRTEPGTATSRDTLFSPTRTFACREEGSKLAS ERLKRATRKSAMLQPVLRRKNGALSIALSARNAKAILGKSRKLTKVKREAVSKQGQGRAV SRLLESFAVEDDFEFDEDDTSFSDEEEEEEEAGVQLSAEQSAALARSCTIHKEDLQDGLP VLIPKEDSLLYAGSVRTLQPPDIYSIVIEGERGNRQRIYSLEQLLQEAVLDVQPQSSRYL PPGTRVCAYWSQKSRCLYPGNVVRGASSDEEDLDSVLVEFDDGDTGHIAVSNIRLLPPDF KIQCTEPSPALLVSSSCRRTKKAANEGHPPSEAPTPSLSPKVPDGPETSKTPGKKSGSKD KAGKVDLLTSGAKSPTGASDHFLGRRGSPLLSWSAVAQTKRKAVAAAAAAAGGKGPGVLQ NLFQLNGSTKKLRARDTLFPMHSMATPVFGNSFRADSFSSLASSYTPFLGGAGAGLPGGA HKLLRAKKAERAEAEKAGRRRAGGEFLVKLDHEGVTSPKNKNCKALLMSDKDFGPKLGRP LSNPSYAHPALIGKDKKGRAPVHPLPMGLALRKYPLPCDSDCPSSYSDEDEDGPGLATGV PSRFLTRLSMSSSSSGSSTSSSSGSVSTSSLCSSDNEDSSYSSDDEDPALLLQTCLTRPV PALLAPPEALRSKGSSPHAHTHAQRCFLSRAGVAGAGAGASPSGSKSKFKRKEALSFSKA KELSRRQRLPSVENRPKISAFLPARQLWKWSGNPTQRRGMKGKARKLFYKAIVRGKETLR IGDCAVFLSAGRPNLPYIGRIESLWESWGSNMVVKVKWFYHPEETKLGKRQSDGKNALYQ SCHEDENDVQTISHKCQVVGREQYEQMMRGRKYQDQQDLYYLAGTYDPTTGRLVTADGVP VLC >ENSMUSP00000065442.4 pep:known chromosome:GRCm38:11:93886217:93945321:1 gene:ENSMUSG00000059474.13 transcript:ENSMUST00000063718.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtd1 description:mbt domain containing 1 [Source:MGI Symbol;Acc:MGI:2143977] MITAIKKQNILHNPKEKADWFGMFDGYDSCSEDTSSSSSSEESEEEVAPLPSNLPIIKNN GQVYTYPDGKSGMATCEMCGMVGVRDAFYSKTKRFCSVSCSRSYSSNSKKASILARLQGK PPTKKAKVLQKQPLVAKLAAYAQYQATLQNQAKTKAGNSAISVEGFSWGNYINSNSFIAA PVACFKHAPMGTCWGDISENVRIEVPNTDCSLPTKVFWIAGIIKLAGYNALLRYEGFEND SSLDFWCNICGSDIHPVGWCAASGKPLVPPRTVQHKYTNWKAFLVKRLTGAKTLPPDFSQ KVSESMQYPFKPCMRVEVVDKRHLCRTRVAVVESVIGGRLRLVYEESEDRTDDFWCHMHS PLIHHIGWSRSIGHRFKRSDITKKQDGHFDTPPHLFAKVKEVDQSGEWFKEGMKLEAIDP LNLSTICVATIRKVLADGFLMIGIDGSEAADGSDWFCYHATSPSIFPVGFCEINMIELTP PRGYTKLPFKWFDYLRETGSIAAPVKLFNKDVPNHGFRVGMKLEAVDLMEPRLICVATVT RIIHRLLRIHFDGWEEEYDQWVDCESPDLYPVGWCQLTGYQLQPPASQSSRESQSASSKQ KKKAKSQQYKGHKKSTEEMTES >ENSMUSP00000103486.2 pep:known chromosome:GRCm38:11:93886219:93946985:1 gene:ENSMUSG00000059474.13 transcript:ENSMUST00000107854.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtd1 description:mbt domain containing 1 [Source:MGI Symbol;Acc:MGI:2143977] MFDGYDSCSEDTSSSSSSEESEEEVAPLPSNLPIIKNNGQVYTYPDGKSGMATCEMCGMV GVRDAFYSKTKRFCSVSCSRSYSSNSKKASILARLQGKPPTKKAKVLQKQPLVAKLAAYA QYQATLQNQAKTKAGNSAISVEGFSWGNYINSNSFIAAPVACFKHAPMGTCWGDISENVR IEVPNTDCSLPTKVFWIAGIIKLAGYNALLRYEGFENDSSLDFWCNICGSDIHPVGWCAA SGKPLVPPRTVQHKYTNWKAFLVKRLTGAKTLPPDFSQKVSESMQYPFKPCMRVEVVDKR HLCRTRVAVVESVIGGRLRLVYEESEDRTDDFWCHMHSPLIHHIGWSRSIGHRFKRSDIT KKQDGHFDTPPHLFAKVKEVDQSGEWFKEGMKLEAIDPLNLSTICVATIRKVLADGFLMI GIDGSEAADGSDWFCYHATSPSIFPVGFCEINMIELTPPRGYTKLPFKWFDYLRETGSIA APVKLFNKDVPNHGFRVGMKLEAVDLMEPRLICVATVTRIIHRLLRIHFDGWEEEYDQWV DCESPDLYPVGWCQLTGYQLQPPASQSSRESQSASSKQKKKAKSQQYKGHKKMTTSQLKE ELLDGEDYSFLHGASDQESNGSATVYIKQEP >ENSMUSP00000103484.1 pep:known chromosome:GRCm38:11:93905153:93932781:1 gene:ENSMUSG00000059474.13 transcript:ENSMUST00000107852.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtd1 description:mbt domain containing 1 [Source:MGI Symbol;Acc:MGI:2143977] MFDGYDSCSEDTSSSSSSEESEEEVAPLPSNLPIIKNNGQVYTYPDGKSGMATCEMCGMV GVRDAFYSKTKRFCSVSCSRSYSSNSKKASILARLQGKPPTKKAKVLQKQPLVAKLAAYA QYQATLQNQAKTKAGNSAISVEGFSWGNYINSNSFIAAPVACFKHAPMGTCWGDISENVR IEVPNTDCSLPTKVFWIAGIIKLAGYNALLRYEGFENDSSLDFWCNICGSDIHPVGWCAA SGKPLVPPRTVQHKYTNWKAFLVKRLTGAKTLPPDFSQKVSESMQYPFKPCMRVEVVDKR HLCRTRVAVVESVIGGRLRLVYEESEDRTDDFWCHMHSPLIHHIGWSRSIGHRFKRSDIT KKQDGHFDTPPHLFAKVKEVDQSGEWFKEGMKLEAIDPLNLSTICVATIRKVTQNFLLNG LTTSGKPAPLQHQ >ENSMUSP00000103485.1 pep:known chromosome:GRCm38:11:93885852:93946985:1 gene:ENSMUSG00000059474.13 transcript:ENSMUST00000107853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtd1 description:mbt domain containing 1 [Source:MGI Symbol;Acc:MGI:2143977] MFDGYDSCSEDTSSSSSSEESEEEVAPLPSNLPIIKNNGQVYTYPDGKSGMATCEMCGMV GVRDAFYSKTKRFCSVSCSRSYSSNSKKASILARLQGKPPTKKAKVLQKQPLVAKLAAYA QYQATLQNQAKTKAGNSAISVEGFSWGNYINSNSFIAAPVACFKHAPMGTCWGDISENVR IEVPNTDCSLPTKVFWIAGIIKLAGYNALLRYEGFENDSSLDFWCNICGSDIHPVGWCAA SGKPLVPPRTVQHKYTNWKAFLVKRLTGAKTLPPDFSQKVSESMQYPFKPCMRVEVVDKR HLCRTRVAVVESVIGGRLRLVYEESEDRTDDFWCHMHSPLIHHIGWSRSIGHRFKRSDIT KKQDGHFDTPPHLFAKVKEVDQSGEWFKEGMKLEAIDPLNLSTICVATIRKVLADGFLMI GIDGSEAADGSDWFCYHATSPSIFPVGFCEINMIELTPPRGYTKLPFKWFDYLRETGSIA APVKLFNKDVPNHGFRVGMKLEAVDLMEPRLICVATVTRIIHRLLRIHFDGWEEEYDQWV DCESPDLYPVGWCQLTGYQLQPPASQSSRESQSASSKQKKKAKSQQYKGHKKMTTSQLKE ELLDGEDYSFLHGASDQESNGSATVYIKQEP >ENSMUSP00000103482.1 pep:known chromosome:GRCm38:11:93885866:93921475:1 gene:ENSMUSG00000059474.13 transcript:ENSMUST00000107850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtd1 description:mbt domain containing 1 [Source:MGI Symbol;Acc:MGI:2143977] MFDGYDSCSEDTSSSSSSEESEEEVAPLPSNLPIIKNNGQVYTYPDGKSGMATCEMCGMV GVRDAFYSKTKRFCSVSCSRSYSSNSKKASILARLQGKPPTKKAKVLQKQPLVAKLAAYA QYQATLQNQAKTKAGNSAISVEGFSWGNYINSNSFIAAPVACFKHAPMGTCWGDISENVR IEVPNTDCSLPTKVFWIAGII >ENSMUSP00000070248.4 pep:known chromosome:GRCm38:11:93886880:93930278:1 gene:ENSMUSG00000059474.13 transcript:ENSMUST00000063645.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtd1 description:mbt domain containing 1 [Source:MGI Symbol;Acc:MGI:2143977] MFDGYDSCSEDTSSSSSSEESEEEVAPLPSNLPIIKNNGQVYTYPDGKSGMATCEMCGMV GVRDAFYSKTKRFCSVSCSRSYSSNSKKASILARLQGKPPTKKAKVLQKQPLVAKLAAYA QYQATLQNQAKTKAGNSAISVEGFSWGNYINSNSFIAAPVACFKHAPMGTCWGDISENVR IEVPNTDCSLPTKVFWIAGIIKLAGYNALLRYEGFENDSSLDFWCNICGSDIHPVGWCAA SGKPLVPPRTVQHKYTNWKAFLVKRLTGAKTLPPDFSQKVSESMQYPFKPCMRVEVVDKR HLCRTRVAVVESVIGGRLRLVYEESEDRTDDFWCHMHSPLIHHIGWSRSIGHRFKRSDIT KKQDGHFDTPPHLFAKVKEVDQSGEWFKEGMKLEAIDPLNLSTICVATIRKVLADGFLMI GIDGSEAADGSDWFCYHATSPSIFPVGFCEINMIELTPPRGTPFWYKHSVSSLALFLCVN LLTFNIALILVVVRVFIHSMYEYV >ENSMUSP00000105634.1 pep:known chromosome:GRCm38:2:145167754:145642166:1 gene:ENSMUSG00000063873.10 transcript:ENSMUST00000110007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a3 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 3 [Source:MGI Symbol;Acc:MGI:2137513] MPPPGDQDCARRRSRRRRRDLLLSQLCFLASVALLLWSLSSLREQKELDLMDLIGEDRKW MVGRKLMQVNDTLTSEDAGLQSSKNCTEPALHEFPRDIFSNEDRRQGAVVLHVLCAMYMF YALAIVCDDFFVPSLEKICERLHLSEDVAGATFMAAGSSAPELFTSVIGVFITKGDVGVG TIVGSAVFNILCIIGVCGLFAGQVVALSSWCLLRDSIYYTLSVVALIVFIYDEKVSWWES LVLVLMYLIYIVIMKYNACIHQCFERRTKGAGNMVNGLANNAEIDDSSNCDATVVLLKKA NFHRKASVIMVDELLSAYPHQLSFSEAGLRIMITSHFPPKTRLSMASRMLINERQRLINS RAYTNGESEVAIKIPIKHTVENGTGPSSAPDRGVNGTRRDDIVAETDNETENENEDNENN ENDEEEEEDEDDDEGPYTPFDPPSGKLETVKWAFTWPLSFVLYFTVPNCNKPHWEKWFMV TFASSTLWIAAFSYMMVWMVTIIGYTLGIPDVIMGITFLAAGTSVPDCMASLIVARQGMG DMAVSNSIGSNVFDILIGLGLPWALQTLAVDYGSYIRLNSRGLIYSVGLLLASVFVTVFG VHLNKWQLDKKLGCGCLFLYGVFLCFSIMTEFNVFTFVNLPMCGD >ENSMUSP00000079897.3 pep:known chromosome:GRCm38:2:145244972:145640361:1 gene:ENSMUSG00000063873.10 transcript:ENSMUST00000081121.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a3 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 3 [Source:MGI Symbol;Acc:MGI:2137513] MDLIGEDRKWMVGRKLMQVNDTLTSEDAGLQSSKNCTEPALHEFPRDIFSNEDRRQGAVV LHVLCAMYMFYALAIVCDDFFVPSLEKICERLHLSEDVAGATFMAAGSSAPELFTSVIGV FITKGDVGVGTIVGSAVFNILCIIGVCGLFAGQVVALSSWCLLRDSIYYTLSVVALIVFI YDEKVSWWESLVLVLMYLIYIVIMKYNACIHQCFERRTKGAGNMVNGLANNAEIDDSSNC DATVVLLKKANFHRKASVIMVDELLSAYPHQLSFSEAGLRIMITSHFPPKTRLSMASRML INERQRLINSRAYTNGESEVAIKIPIKHTVENGTGPSSAPDRGVNGTRRDDIVAETDNET ENENEDNENNENDEEEEEDEDDDEGPYTPFDPPSGKLETVKWAFTWPLSFVLYFTVPNCN KPHWEKWFMVTFASSTLWIAAFSYMMVWMVTIIGYTLGIPDVIMGITFLAAGTSVPDCMA SLIVARQGMGDMAVSNSIGSNVFDILIGLGLPWALQTLAVDYGSYIRLNSRGLIYSVGLL LASVFVTVFGVHLNKWQLDKKLGCGCLFLYGVFLCFSIMTEFNVFTFVNLPMCGD >ENSMUSP00000145397.1 pep:known chromosome:GRCm38:6:143010925:143100090:-1 gene:ENSMUSG00000030279.15 transcript:ENSMUST00000205119.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:C2cd5 description:C2 calcium-dependent domain containing 5 [Source:MGI Symbol;Acc:MGI:1921991] MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV SAEKSMLWLK >ENSMUSP00000107388.3 pep:known chromosome:GRCm38:6:143010928:143099776:-1 gene:ENSMUSG00000030279.15 transcript:ENSMUST00000111758.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd5 description:C2 calcium-dependent domain containing 5 [Source:MGI Symbol;Acc:MGI:1921991] MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV DDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRG EINVVVKVDLFNDSNRFRQSSCGVKFFCTTSIPKCYRAVVIHGFVEELVVNEDPEYQWID RIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESGLVVRAIG TACTLDKLSSPAAFLPACSSPSRELKESPLVHPPSHGCRSTHNSPIHTATGSRLTQNFSV SVPTLIYTGMGSGSAGKEGGPFKALLRQQTQSALEQREFPFLTLTAFPPGLLVHVGGVVS ARSVKLLDRIHNPDEPETRDAWWAEIRQEIKSHAKALGCHAVVGYSESTSICEEVCILSA SGTAAVLNPRFLQEGTVEGCLEQRIEENLPVGCGFCHIPYDELNMPFPAHLTYCYNCRKQ KVPDVLFTTIDLPTDAVVVGKGCLIQARLCRLKKKAQAEANATAISNLLPFMEYEVHTQL MNKLKLKGMNALFGLRIQITVGETMLMGLASATGVYLAALPTPGGIQIAGKTPNDGSYEQ HISHMQKRINDTIAKNKELYEITPPEVSEEMIGSPIPEPRQRSRLLRSQSESSDEVTELD LSHGKKDAFVLEIDDTDAMEDVHSLLTDAPPPSGFYSCNTEIMPGINNWTSEIQMFTSVR VVRLSSLNLTNQALNKNFNGLCENLLKSLYFKLRSMTPCCLCHVNFTVSLPEDELIQVTV TAVAITFDKNQALQTTKPHVEKSLQRASTDNEELLQFPLELCSDSLPPHPFPAAKAVTVE KASAMGDGNFRNRSAPPCASPTVGVVKMTPLSFIPGAKITKYLGIINMFFIRETTSLREE GGVSGFLHAFIAEVFAMVRAHVAALGGNAVVSYIMKQCVFMENPSKNQAQCLINVSGDAV VFVRDSDLEVMSSQQPAANCQPSCTGEVTT >ENSMUSP00000145019.1 pep:known chromosome:GRCm38:6:143011482:143099767:-1 gene:ENSMUSG00000030279.15 transcript:ENSMUST00000204655.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd5 description:C2 calcium-dependent domain containing 5 [Source:MGI Symbol;Acc:MGI:1921991] MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV DDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRG EINVVVKVDLFNDSNRFRQSSCGVKFFCTTSIPKCYRAVVIHGFVEELVVNEDPEYQWID RIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESGLVVRAIG TACTLDKLSSPAAFLPACSSPSRELKEIPFNEDPNPNTHSSGPSTPLKNQTYSFSPSKSY SRQSSSSDTDLSLTPKTGMGSGSAGKEGGPFKALLRQQTQSALEQREFPFLTLTAFPPGL LVHVGGVVSARSVKLLDRIHNPDEPETRDAWWAEIRQEIKSHAKALGCHAVVGYSESTSI CEEVCILSASGTAAVLNPRFLQEGTVEGCLEQRIEENLPVGCGFCHIPYDELNMPFPAHL TYCYNCRKQKVPDVLFTTIDLPTDAVVVGKGCLIQARLCRLKKKAQAEANATAISNLLPF MEYEVHTQLMNKLKLKGMNALFGLRIQITVGETMLMGLASATGVYLAALPTPGGIQIAGK TPNDGSYEQHISHMQKRINDTIAKNKELYEITPPEVSEEMIGSPIPEPRQRSRLLRSQSE SSDEVTELDLSHGKKDAFVLEIDDTDAMEDVHSLLTDAPPPSGFYSCNTEIMPGINNWTS EIQMFTSVRVVRLSSLNLTNQALNKNFNGLCENLLKSLYFKLRSMTPCCLCHVNFTVSLP EDELIQVTVTAVAITFDKNQALQTTKPHVEKSLQRASTDNEELLQFPLELCSDSLPPHPF PAAKAVTVEKASAMGDGNFRNRSAPPCASPTVGVVKMTPLSFIPGAKITKYLGIINMFFI RETTSLREEGGVSGFLHAFIAEVFAMVRAHVAALGGNAVVSYIMKQCVFMENPSKNQAQC LINVSGDAVVFVRDSDLEVMSSQQPAANCQPSCTGEVTT >ENSMUSP00000145373.1 pep:known chromosome:GRCm38:6:143011733:143100071:-1 gene:ENSMUSG00000030279.15 transcript:ENSMUST00000203187.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd5 description:C2 calcium-dependent domain containing 5 [Source:MGI Symbol;Acc:MGI:1921991] MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV DDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRG EINVVVKVDLFNDSNRFRQSSCGVKFFCTTSIPKCYRAVVIHGFVEELVVNEDPEYQWID RIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESGLVVRAIG TACTLDKLSSPAAFLPACSSPSRELKEIPFNEDPNPNTHSSGPSTPLKNQTYSFSPSKSY SRQSSSSDTDLSLTPKTGMGSGSAGKEGGPFKALLRQQTQSALEQREFPFLTLTAFPPGL LVHVGGVVSARSVKLLDRIHNPDEPETRDAWWAEIRQEIKSHAKALGCHAVVGYSESTSI CEEVCILSASGTAAVLNPRFLQEGTVEGCLEQRIEENLPVGCGFCHIPYDELNMPFPAHL TYCYNCRKQKVPDVLFTTIDLPTDAVVVGKGCLIQARLCRLKKKAQAEANATAISNLLPF MEYEVHTQLMNKLKLKGMNALFGLRIQITVGETMLMGLASATGVYLAALPTPGGIQIAGK TPNDGSYEQHISHMQKRINDTIAKNKELYEITPPEVSEEMIGSPIPEPRQRSRLLRSQSE SSDEVTELDLSHGKKDAFVLEIDDTDAMEDVHSLLTDAPPPSGFYSCNTEIMPGINNWTS EIQMFTSVRVVRLSSLNLTNQALNKNFNGLCENLLKSLYFKLRSMTPCCLCHVNFTVSLP EDELIQVTVTAVAITFDKNQALQTTKPHVEKSLQRASTDNEELLQFPLELCSDSLPPHPF PAAKEHLESANSNSGIPAAQRAVTVEKASAMGDGNFRNRSAPPCASPTVGVVKMTPLSFI PGAKITKYLGIINMFFIRETTSLREEGGVSGFLHAFIAEVFAMVRAHVAALGGNAVVSYI MKQCVFMENPSKNQAQCLINVSGDAVVFVRDSDLEVMSSQQPAANCQPSCTGEVTT >ENSMUSP00000145425.1 pep:known chromosome:GRCm38:6:143011937:143100005:-1 gene:ENSMUSG00000030279.15 transcript:ENSMUST00000203673.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd5 description:C2 calcium-dependent domain containing 5 [Source:MGI Symbol;Acc:MGI:1921991] MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV DDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRG EINVVVKVDLFNDSNRFRQSSCGVKFFCTTSIPKCYRAVVIHGFVEELVVNEDPEYQWID RIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESGLVVRAIG TACTLDKLSSPAAFLPACSSPSRELKESPLVHPPSHGCRSTHNSPIHTATGSRLTQNFSV SVPTLIYTGMGSGSAGKEGGPFKALLRQQTQSALEQRGGSPHRFCRRREFPFLTLTAFPP GLLVHVGGVVSARSVKLLDRIHNPDEPETRDAWWAEIRQEIKSHAKALGCHAVVGYSEST SICEEVCILSASGTAAVLNPRFLQEGTVEGCLEQRIEENLPVGCGFCHIPYDELNMPFPA HLTYCYNCRKQKVPDVLFTTIDLPTDAVVVGKGCLIQARLCRLKKKAQAEANATAISNLL PFMEYEVHTQLMNKLKLKGMNALFGLRIQITVGETMLMGLASATGVYLAALPTPGGIQIA GKTPNDGSYEQHISHMQKRINDTIAKNKELYEITPPEVSEEMIGSPIPEPRQRSRLLRSQ SESSDEVTELDLSHGKKDAFVLEIDDTDAMEDVHSLLTDAPPPSGFYSCNTEIMPGINNW TSEIQMFTSVRVVRLSSLNLTNQALNKNFNGLCENLLKSLYFKLRSMTPCCLCHVNFTVS LPEDELIQVTVTAVAITFDKNQALQTTKPHVEKSLQRASTDNEELLQFPLELCSDSLPPH PFPAAKEHLESANSNSGIPAAQRATSVDYSSFADRCSSWIELIRLKAQTIRRGSIKTTVT VEKASAMGDGNFRNRSAPPCASPTVGVVKMTPLSFIPGAKITKYLGIINMFFIRETTSLR EEGGVSGFLHAFIAEVFAMVRAHVAALGGNAVVSYIMKQCVFMENPSKNQAQCLINVSGD AVVFVRDSDLEVMSSQQPAANCQPSCTGEVTT >ENSMUSP00000145382.1 pep:known chromosome:GRCm38:6:143041450:143067085:-1 gene:ENSMUSG00000030279.15 transcript:ENSMUST00000204043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd5 description:C2 calcium-dependent domain containing 5 [Source:MGI Symbol;Acc:MGI:1921991] QQTQSALEQRGGSPHRFCRRREFPFLTLTAFPPGLLVHVGGVVSARSVKLLDRIHNPAFV GIMGNTRSYKLLDWNSFNSDEPETRDAWWAEIRQEIKSHAKALGCHAVVGYSESTSICEE VCILSASGTAAVLNPRFLQEGTVEGCLEQRIEENLPVGCGFCHIPYDELNMPFPAHLTYC Y >ENSMUSP00000145173.1 pep:known chromosome:GRCm38:6:143078365:143100141:-1 gene:ENSMUSG00000030279.15 transcript:ENSMUST00000204140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd5 description:C2 calcium-dependent domain containing 5 [Source:MGI Symbol;Acc:MGI:1921991] MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV DDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRG EINVVVKVDLFNDSNRFRQSSCGVKFFCTTSIPKCYRAVVIHGFVEELVVNEDPEYQWID RIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESG >ENSMUSP00000127834.1 pep:known chromosome:GRCm38:6:143010920:143100107:-1 gene:ENSMUSG00000030279.15 transcript:ENSMUST00000171349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd5 description:C2 calcium-dependent domain containing 5 [Source:MGI Symbol;Acc:MGI:1921991] MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV DDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRG EINVVVKVDLFNDSNRFRQSSCGVKFFCTTSIPKCYRAVVIHGFVEELVVNEDPEYQWID RIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESGLVVRAIG TACTLDKLSSPAAFLPACSSPSRELKEIPFNEDPNPNTHSSGPSTPLKNQTYSFSPSKSY SRQSSSSDTDLSLTPKTGMGSGSAGKEGGPFKALLRQQTQSALEQREFPFLTLTAFPPGL LVHVGGVVSARSVKLLDRIHNPDEPETRDAWWAEIRQEIKSHAKALGCHAVVGYSESTSI CEEVCILSASGTAAVLNPRFLQEGTVEGCLEQRIEENLPVGCGFCHIPYDELNMPFPAHL TYCYNCRKQKVPDVLFTTIDLPTDAVVVGKGCLIQARLCRLKKKAQAEANATAISNLLPF MEYEVHTQLMNKLKLKGMNALFGLRIQITVGETMLMGLASATGVYLAALPTPGGIQIAGK TPNDGSYEQHISHMQKRINDTIAKNKELYEITPPEVSEEMIGSPIPEPRQRSRLLRSQSE SSDEVTELDLSHGKKDAFVLEIDDTDAMEDVHSLLTDAPPPSGFYSCNTEIMPGINNWTS EIQMFTSVRVVRLSSLNLTNQALNKNFNGLCENLLKSLYFKLRSMTPCCLCHVNFTVSLP EDELIQVTVTAVAITFDKNQALQTTKPHVEKSLQRASTDNEELLQFPLELCSDSLPPHPF PAAKAVTVEKASAMGDGNFRNRSAPPCASPTVGVVKMTPLSFIPGAKITKYLGIINMFFI RETTSLREEGGVSGFLHAFIAEVFAMVRAHVAALGGNAVVSYIMKQCVFMENPSKNQAQC LINVSGDAVVFVRDSDLEVMSSQQPAANCQPSCTGEVTT >ENSMUSP00000084758.4 pep:known chromosome:GRCm38:6:143010920:143100107:-1 gene:ENSMUSG00000030279.15 transcript:ENSMUST00000087485.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd5 description:C2 calcium-dependent domain containing 5 [Source:MGI Symbol;Acc:MGI:1921991] MPGKLKVKIVAGRHLPVMDRASDLTDAFVEVKFGNTTFKTDVYLKSLNPQWNSEWFKFEV DDEDLQDEPLQITVLDHDTYSANDAIGKVYIDIDPLLYSEAATVISGWFPIYDTIHGIRG EINVVVKVDLFNDSNRFRQSSCGVKFFCTTSIPKCYRAVVIHGFVEELVVNEDPEYQWID RIRTPRASNEARQRLISLMSGELQRKIGLKVLEMRGNAVVGYLQCFDLEGESGLVVRAIG TACTLDKLSSPAAFLPACSSPSRELKESPLVHPPSHGCRSTHNSPIHTATGSRLTQNFSV SVPTLIYTGMGSGSAGKEGGPFKALLRQQTQSALEQREFPFLTLTAFPPGLLVHVGGVVS ARSVKLLDRIHNPDEPETRDAWWAEIRQEIKSHAKALGCHAVVGYSESTSICEEVCILSA SGTAAVLNPRFLQEGTVEGCLEQRIEENLPVGCGFCHIPYDELNMPFPAHLTYCYNCRKQ KVPDVLFTTIDLPTDAVVVGKGCLIQARLCRLKKKAQAEANATAISNLLPFMEYEVHTQL MNKLKLKGMNALFGLRIQITVGETMLMGLASATGVYLAALPTPGGIQIAGKTPNDGSYEQ HISHMQKRINDTIAKNKELYEITPPEVSEEMIGSPIPEPRQRSRLLRSQSESSDEVTELD LSHGKKDAFVLEIDDTDAMEDVHSLLTDAPPPSGFYSCNTEIMPGINNWTSEIQMFTSVR VVRLSSLNLTNQALNKNFNGLCENLLKSLYFKLRSMTPCCLCHVNFTVSLPEDELIQVTV TAVAITFDKNQALQTTKPHVEKSLQRASTDNEELLQFPLELCSDSLPPHPFPAAKAVTVE KASAMGDGNFRNRSAPPCASPTVGVVKMTPLSFIPGAKITKYLGIINMFFIRETTSLREE GGVSGFLHAFIAEVFAMVRAHVAALGGNAVVSYIMKQCVFMENPSKNQAQCLINVSGDAV VFVRDSDLEVMSSQQPAANCQPSCTGEVTT >ENSMUSP00000145316.1 pep:known chromosome:GRCm38:6:129045901:129057464:1 gene:ENSMUSG00000030154.10 transcript:ENSMUST00000203059.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klrb1f description:killer cell lectin-like receptor subfamily B member 1F [Source:MGI Symbol;Acc:MGI:2442965] MDTSKVHGNVKPFRCPGYKQASSPSFSPDACRCPHWHHLALKSGCAGLILLLLSLIGLSV LVRFLVQKPPIEKCSVAAQENRTELTDLGQKVEMPALWKTPFCCSLKIKKN >ENSMUSP00000032257.7 pep:known chromosome:GRCm38:6:129045901:129057464:1 gene:ENSMUSG00000030154.10 transcript:ENSMUST00000032257.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1f description:killer cell lectin-like receptor subfamily B member 1F [Source:MGI Symbol;Acc:MGI:2442965] MDTSKVHGNVKPFRCPGYKQASSPSFSPDACRCPHWHHLALKSGCAGLILLLLSLIGLSV LVRFLVQKPPIEKCSVAAQENRTELTGRSAILECPRYWHPHWNKCLFVSQISRPWAEGRD ACSMEDAILLLIENKEELRFVQNLIKGKEQLFFIGLKYVQKEKIWKWIDGSILNPNLLRI TGKDKENSCAIISHTEVFSDSCSSDNHWICQKTLIHV >ENSMUSP00000145033.1 pep:known chromosome:GRCm38:6:129045920:129056709:1 gene:ENSMUSG00000030154.10 transcript:ENSMUST00000204508.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klrb1f description:killer cell lectin-like receptor subfamily B member 1F [Source:MGI Symbol;Acc:MGI:2442965] MDTSKVHGNVKPFRCPGYKQASSPSFSPDACRCPHWHHLALKSGCAGLILLLLSLIGLSV LDLGQKVEMPALWKTPFCCSLKIKKN >ENSMUSP00000144813.1 pep:known chromosome:GRCm38:6:129045927:129053860:1 gene:ENSMUSG00000030154.10 transcript:ENSMUST00000204320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klrb1f description:killer cell lectin-like receptor subfamily B member 1F [Source:MGI Symbol;Acc:MGI:2442965] MDTSKVHGNVKPFRCPGYKQASSPSFSPDACRCPHWHHLALKSGCAGLILLLLSLIGLSV LGRSAILECPRYWHPHWNKCLFVSQISRPWAEGRDACSMEDAILLLIENKE >ENSMUSP00000066786.4 pep:known chromosome:GRCm38:6:70913087:70918927:-1 gene:ENSMUSG00000051896.4 transcript:ENSMUST00000063456.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex37 description:testis expressed 37 [Source:MGI Symbol;Acc:MGI:1921471] MARVVRPQKNHVDLDIYQSSYMVDYKPFGKYKYSRVTPQEQAKLDAQLQSKEFYQPKPNP NPKLEEGYPAFRRPYMTALDLGVPGFFPPQERVTTRKDDGRFTTTCHYAYPASLALYLAQ QDPYWLHQRADFPCLMEPERQPAPEVGKGYLLLPGCLCDHHQRVKVPILNRWGPLMPFYQ >ENSMUSP00000128185.1 pep:known chromosome:GRCm38:1:136008150:136034267:1 gene:ENSMUSG00000026411.17 transcript:ENSMUST00000165125.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem9 description:transmembrane protein 9 [Source:MGI Symbol;Acc:MGI:1913491] MKLLCLVAVVGCLLVPPAQANKSSEDIRCKCICPPYRNISGHIYNQNVSQKDCNCLHVVE PMPVPGHDVEAYCLLCECRYEERSTTTIKVIIVIYLSVVGALLLYMAFLMLVDPLIRKPD AYTEQLHNEEENEDARTMATAAASIGGPRANTVLERVEGAQQRWKLQVQEQRKTVFDRHK MLS >ENSMUSP00000065409.8 pep:known chromosome:GRCm38:1:136017967:136035342:1 gene:ENSMUSG00000026411.17 transcript:ENSMUST00000063719.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem9 description:transmembrane protein 9 [Source:MGI Symbol;Acc:MGI:1913491] MKLLCLVAVVGCLLVPPAQANKSSEDIRCKCICPPYRNISGHIYNQNVSQKDCNCLHVVE PMPVPGHDVEAYCLLCECRYEERSTTTIKVIIVIYLSVVGALLLYMAFLMLVDPLIRKPD AYTEQLHNEEENEDARTMATAAASIGGPRANTVLERVEGAQQRWKLQVQEQRKTVFDRHK MLS >ENSMUSP00000113416.1 pep:known chromosome:GRCm38:1:136018062:136034292:1 gene:ENSMUSG00000026411.17 transcript:ENSMUST00000117950.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem9 description:transmembrane protein 9 [Source:MGI Symbol;Acc:MGI:1913491] MKLLCLVAVVGCLLVPPAQANKSSEDIRCKCICPPYRNISGHIYNQNVSQKDCNCLHVVE PMPVPGHDVEAYCLLCECRYEERSTTTIKVIIVIYLSVVGALLLYMAFLMLVDPLIRKPD AYTEQLHNEEENEDARTMATAAASIGGPRANTVLERVEGAQQRWKLQVQEQRKTVFDRHK MLS >ENSMUSP00000137746.1 pep:known chromosome:GRCm38:1:136050804:136051370:1 gene:ENSMUSG00000097918.1 transcript:ENSMUST00000180436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascl5 description:achaete-scute family bHLH transcription factor 5 [Source:MGI Symbol;Acc:MGI:2685043] MNSNFCRALVDRGPPGGMQLGVVAPAGQTPLAATEPLSNVPFLLYPGHSEPPYYDAYTGV FPYVPFPGAFGVYDYPFEPAFIQKRNERERQRVKCVNEGYARLRGHLPGALTEKRLSKVE TLRAAIRYIKYLQELLSATPDGAPPPATSPPPAHTGHSNVPQPSSLVAESSGSPFSSSPF LESEEPSL >ENSMUSP00000032258.6 pep:known chromosome:GRCm38:6:129091998:129100913:-1 gene:ENSMUSG00000030155.7 transcript:ENSMUST00000032258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2e description:C-type lectin domain family 2, member e [Source:MGI Symbol;Acc:MGI:3028921] MSAAKVEEASMGMLKTDLTAPDCLQEGEMGKKLQAKCLGIISAASCVKLYCCYGVIMVLT VAVVALSVTLSVRKKKPVMESCEPCYAVCSSGWIGFGNKCFYFSEDMGNWTFSQSSCIAL DAHLALFDSLEELNFLKRYKGASDHWIGLHRESSEHPWIWTDNTEYNNLVLTRGGGECAY LSNRGIYNSSGDIHKKWICNKPNNYTLQRPLIVNPG >ENSMUSP00000073664.4 pep:known chromosome:GRCm38:3:156561794:157316445:1 gene:ENSMUSG00000040037.13 transcript:ENSMUST00000074015.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Negr1 description:neuronal growth regulator 1 [Source:MGI Symbol;Acc:MGI:2444846] MVLLAQGACCSNQWLAAVLLSLCSCLPAGQSVDFPWAAVDNMLVRKGDTAVLRCYLEDGA SKGAWLNRSSIIFAGGDKWSVDPRVSISTLNKRDYSLQIQNVDVTDDGPYTCSVQTQHTP RTMQVHLTVQVPPKIYDISNDMTINEGTNVTLTCLATGKPEPVISWRHISPSAKPFENGQ YLDIYGITRDQAGEYECSAENDVSFPDVKKVRVIVNFAPTIQEIKSGTVTPGRSGLIRCE GAGVPPPAFEWYKGEKRLFNGQQGIIIQNFSTRSILTVTNVTQEHFGNYTCVAANKLGTT NASLPLNPPSTAQYGITGSACDLFSCWSLALTLSSVISIFYLKNAILQ >ENSMUSP00000041132.5 pep:known chromosome:GRCm38:3:156561959:157171829:1 gene:ENSMUSG00000040037.13 transcript:ENSMUST00000041425.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Negr1 description:neuronal growth regulator 1 [Source:MGI Symbol;Acc:MGI:2444846] MVLLAQGACCSNQWLAAVLLSLCSCLPAGQSVDFPWAAVDNMLVRKGDTAVLRCYLEDGA SKGAWLNRSSIIFAGGDKWSVDPRVSISTLNKRDYSLQIQNVDVTDDGPYTCSVQTQHTP RTMQVHLTVQVPPKIYDISNDMTINEGTNVTLTCLATGKPEPVISWRHISPSAKPFENGQ YLDIYGITRDQAGEYECSAENDVSFPDVKKVRVIVNFAPTIQEIKSGTVTPGRSGLIRCE GAGVPPPAFEWYKGEKRLFNGQQGIIIQNFSTRSILTVTNVTQEHFGNYTCVAANKLGTT NASLPLNQSSIPWQVFFMLKVSFLLVCIL >ENSMUSP00000101680.1 pep:known chromosome:GRCm38:3:156561969:157199228:1 gene:ENSMUSG00000040037.13 transcript:ENSMUST00000106065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Negr1 description:neuronal growth regulator 1 [Source:MGI Symbol;Acc:MGI:2444846] MVLLAQGACCSNQWLAAVLLSLCSCLPAGQSVDFPWAAVDNMLVRKGDTAVLRCYLEDGA SKGAWLNRSSIIFAGGDKWSVDPRVSISTLNKRDYSLQIQNVDVTDDGPYTCSVQTQHTP RTMQVHLTVQVPPKIYDISNDMTINEGTNVTLTCLATGKPEPVISWRHISPSAKPFENGQ YLDIYGITRDQAGEYECSAENDVSFPDVKKVRVIVNFAPTIQEIKSGTVTPGRSGLIRCE GAGVPPPAFEWYKGEKRLFNGQQGIIIQNFSTRSILTVTNVTQEHFGNYTCVAANKLGTT NASLPLNLWCHLQMCWAHSWQCCLDILQA >ENSMUSP00000135531.1 pep:known chromosome:GRCm38:3:156562150:157016244:1 gene:ENSMUSG00000040037.13 transcript:ENSMUST00000175773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Negr1 description:neuronal growth regulator 1 [Source:MGI Symbol;Acc:MGI:2444846] MVLLAQGACCSNQWLAAVLLSLCSCLPAGQSVDFPWAAVDNMLVRKGDKWSVDPRVSIST LNKRDYSLQIQNVDVTDDGPYTCSVQTQHTPRTMQVHLTVQVPPKIYDISNDMTINEGTN VT >ENSMUSP00000071486.6 pep:known chromosome:GRCm38:11:120345690:120348542:-1 gene:ENSMUSG00000062825.15 transcript:ENSMUST00000071555.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actg1 description:actin, gamma, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:87906] MEEEIAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQS KRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMT QIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDL AGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSY ELPDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLS GGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQ EYDESGPSIVHRKCF >ENSMUSP00000101821.2 pep:known chromosome:GRCm38:11:120346033:120348475:-1 gene:ENSMUSG00000062825.15 transcript:ENSMUST00000062147.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actg1 description:actin, gamma, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:87906] MEEEIAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMESCGIHETTFNSI MKCDVDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIG GSILASLSTFQQMWISKQEYDESGPSIVHRKCF >ENSMUSP00000087043.5 pep:known chromosome:GRCm38:11:120346382:120346977:-1 gene:ENSMUSG00000062825.15 transcript:ENSMUST00000089616.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actg1 description:actin, gamma, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:87906] QVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLSGGTTM YPGIADRMQKEITALAPSTMKIKQMWISKQEYDESGPSIVHRKCF >ENSMUSP00000134070.1 pep:known chromosome:GRCm38:11:120346445:120348489:-1 gene:ENSMUSG00000062825.15 transcript:ENSMUST00000131103.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Actg1 description:actin, gamma, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:87906] MEEEIAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQS KRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMT QGSVPLLLAFPP >ENSMUSP00000134296.1 pep:known chromosome:GRCm38:11:120347080:120348479:-1 gene:ENSMUSG00000062825.15 transcript:ENSMUST00000128055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actg1 description:actin, gamma, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:87906] MRSPSSPRRNGALPVADGYAARGGDCTPPGWLGPGRGHFLSSVRAALIPGLLPAQIAMEE EIAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRG ILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIM FETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDLAGR DLTDYLMKILTERGYSFTTTAEREIVRD >ENSMUSP00000101822.4 pep:known chromosome:GRCm38:11:120345691:120348091:-1 gene:ENSMUSG00000062825.15 transcript:ENSMUST00000106215.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actg1 description:actin, gamma, cytoplasmic 1 [Source:MGI Symbol;Acc:MGI:87906] MEEEIAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQS KRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMT QIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVTHTVPIYEGYALPHAILRLDL AGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSY ELPDGQVITIGNERFRCPEALFQPSFLGMESCGIHETTFNSIMKCDVDIRKDLYANTVLS GGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQ EYDESGPSIVHRKCF >ENSMUSP00000125380.1 pep:known chromosome:GRCm38:6:70956531:70961063:1 gene:ENSMUSG00000055874.6 transcript:ENSMUST00000163089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxi3 description:forkhead box I3 [Source:MGI Symbol;Acc:MGI:3511278] MLSPKCRGQPRSPKAAAALHQPSVADMALYCGDNFVYSQPAAAPGAPPTSRAPYGLSDYA APPAAAANPYLWLNGPGVGGPASAASYLGAPPPPPGAAPGPFLQPPAAPGTFAGAQRGFA QPSASAPASPAGSAAPGELGWLSMASREDLMKMVRPPYSYSALIAMAIQSAPERKLTLSH IYQFVADNFPFYQRSKAGWQNSIRHNLSLNDCFKKVPRDEDDPGKGNYWTLDPNCEKMFD NGNFRRKRRRRAEASSNLTVPSGTSKSEGQSSRLRVSGKLEGDSPSSILRPSQSPEPPEG TKSTASSPGASTLTSTPCLNTFLSTFNTLNVNSSSSMGNQRTLPGSRRHLGGTQLPSSTF PNTSVPDSSPDSMQLSTVGGSNQLSSYYNPFSGGSSGDQSSPFSSPFYNFSMVNSLIYPR DGSDI >ENSMUSP00000065664.5 pep:known chromosome:GRCm38:6:70956606:70961066:1 gene:ENSMUSG00000055874.6 transcript:ENSMUST00000069634.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxi3 description:forkhead box I3 [Source:MGI Symbol;Acc:MGI:3511278] MALYCGDNFVYSQPAAAPGAPPTSRAPYGLSDYAAPPAAAANPYLWLNGPGVGGPASAAS YLGAPPPPPGAAPGPFLQPPAAPGTFAGAQRGFAQPSASAPASPAGSAAPGELGWLSMAS REDLMKMVRPPYSYSALIAMAIQSAPERKLTLSHIYQFVADNFPFYQRSKAGWQNSIRHN LSLNDCFKKVPRDEDDPGKGNYWTLDPNCEKMFDNGNFRRKRRRRAEASSNLTVPSGTSK SEGQSSRLRVSGKLEGDSPSSILRPSQSPEPPEGTKSTASSPGASTLTSTPCLNTFLSTF NTLNVNSSSSMGNQRTLPGSRRHLGGTQLPSSTFPNTSVPDSSPDSMQLSTVGGSNQLSS YYNPFSGGSSGDQSSPFSSPFYNFSMVNSLIYPRDGSDI >ENSMUSP00000105379.1 pep:known chromosome:GRCm38:2:153938221:153964101:1 gene:ENSMUSG00000074665.10 transcript:ENSMUST00000109757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb4 description:BPI fold containing family B, member 4 [Source:MGI Symbol;Acc:MGI:2685852] MWTAWCVAALSVAAVCGIRQDTTTVLRVTKDVLGNAISGTIQKSDAFRSALREVPVGVGG VPYNDFHVREPPPKYTNGRQLGGNYKYGHIKANDNRAQLGGKYRYGEILDSDGSLRDLRH EDYRPPDSAYHRGSGRYRSAADSSSVGRLYRRELRPGEIPAGVATGALGPGGLLGTGGML ANEGILAGQGGLLGGGGLLGDGGLLGGGGVLGVLGEGGILSTVQGITGLRIVELTLPRVS VRLLPGVGVYLSLYTRVAINGRSLIGFLDIAVEVNITAKVRLTMDRTGYPRLVIERCDTL LGGIKVKLLRGLLPNLVDNLVNRVLANVLPDLLCPIVDVVLGLVNDQLGLVDSLVPLGIL GSVQYTFSSLPLVTGEFLELDLNTLVGEAGGDLIDYPLGRPAILPRPQMPELPPMGDNTN SQLAISANFLSSVLTMLQKQGAMDIDITDGMFEDLPPLTTSTLGALIPKVFQQYPESRPL TIRIQVPNPPTVTLQKDKALVKVFATSEVVVSQPNDVETTICLIDVDTDLLASFSVEGDK LMIDAKLDKTSLNLRTSNVGNFDVFILEMLVEKIFDLAFMPAMNAILGSGVPLPKILNID FSNADIDVLEDLLVLST >ENSMUSP00000096786.1 pep:known chromosome:GRCm38:2:153943923:153963852:1 gene:ENSMUSG00000074665.10 transcript:ENSMUST00000099181.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb4 description:BPI fold containing family B, member 4 [Source:MGI Symbol;Acc:MGI:2685852] MAAVASSSLLTACRLRIVELTLPRVSVRLLPGVGVYLSLYTRVAINGRSLIGFLDIAVEV NITAKVRLTMDRTGYPRLVIERCDTLLGGIKVKLLRGLLPNLVDNLVNRVLANVLPDLLC PIVDVVLGLVNDQLGLVDSLVPLGILGSVQYTFSSLPLVTGEFLELDLNTLVGEAGGDLI DYPLGRPAILPRPQMPELPPMGDNTNSQLAISANFLSSVLTMLQKQGAMDIDITDGMFED LPPLTTSTLGALIPKVFQQYPESRPLTIRIQVPNPPTVTLQKDKALVKVFATSEVVVSQP NDVETTICLIDVDTDLLASFSVEGDKLMIDAKLDKTSLNLRTSNVGNFDVFILEMLVEKI FDLAFMPAMNAILGSGVPLPKILNIDFSNADIDVLEDLLVLST >ENSMUSP00000105381.2 pep:known chromosome:GRCm38:2:153940862:153963852:1 gene:ENSMUSG00000074665.10 transcript:ENSMUST00000109759.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb4 description:BPI fold containing family B, member 4 [Source:MGI Symbol;Acc:MGI:2685852] MWTAWCVAALSVAAVCGIRQDTTTVLRVTKDVLGNAISGTIQKSDAFRSALREVPVGVGG VPYNDFHVREPPPKYTNGRQLGGNYKYGHIKANDNRAQLGGKYRYGEILDSDGSLRDLRH EDYRPPDSAYHRGSGRYRSAADSSSVGRLYRRELRPGEIPAGVATGALGPGGLLGTGGML ANEGILAGQGGLLGGGGLLGDGGLLGGGGVLGVLGEGGILSTVQGITGLRIVELTLPRVS VRLLPGVGVYLSLYTRVAINGRSLIGFLDIAVEVNITAKVRLTMDRTGYPRLVIERCDTL LGGIKVKLLRGLLPNLVDNLVNRVLANVLPDLLCPIVDVVLGLVNDQLGLVDSLVPLGIL GSVQYTFSSLPLVTGEFLELDLNTLVGEAGGDLIDYPLGRPAILPRPQMPELPPMGDNTN SQLAISANFLSSVLTMLQKQGAMDIDITDGMFEDLPPLTTSTLGALIPKVFQQYPESRPL TIRIQVPNPPTVTLQKDKALVKVFATSEVVVSQPNDVETTICLIDVDTDLLASFSVEGDK LMIDAKLDKTSLNLRTSNVGNFDVFILEMLVEKIFDLAFMPAMNAILGSGVPLPKILNID FSNADIDVLEDLLVLST >ENSMUSP00000109720.2 pep:known chromosome:GRCm38:1:64029447:64121491:-1 gene:ENSMUSG00000025959.13 transcript:ENSMUST00000114086.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf7 description:Kruppel-like factor 7 (ubiquitous) [Source:MGI Symbol;Acc:MGI:1935151] MDVLASYSIFQELQLVHDTGYFSALPSLEETWQQTCLELERYLQTEPRRISETFGEDLDC FLHASPPPCIEESFRRLDPLLLPVEATICEKSSAVDILLSRDKLLSETCLSLQPTSSSLD SYTAVNQAQLNAVTSLTPPSSPELSRHLVKTSQTLSAVDGTVTLKLVAKKASLSSVKVGG VAAAAAVTPAGAVKSGQSDSEQGGGGADTCPENKKRVHRCQFNGCRKVYTKSSHLKAHQR THTGEKPYKCSWEGCEWRFARSDELTRHYRKHTGAKPFKCNHCDRCFSRSDHLALHMKRH I >ENSMUSP00000054674.4 pep:known chromosome:GRCm38:1:64041926:64121482:-1 gene:ENSMUSG00000025959.13 transcript:ENSMUST00000055001.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf7 description:Kruppel-like factor 7 (ubiquitous) [Source:MGI Symbol;Acc:MGI:1935151] MDVLASYSIFQELQLVHDTGYFSALPSLEETWQQTCLELERYLQTEPRRISETFGEDLDC FLHASPPPCIEESFRRLDPLLLPVEATICEKSSAVDILLSRDKLLSETCLSLQPTSSSLD SYTAVNQAQLNAVTSLTPPSSPELSRHLVKTSQTLSAVDGTVTLKLVAKKASLSSVKVGG VAAAAAVTPAGAVKSGQSDSEQGGGGADTCPENKKRVHRCQFNGCRKVYTKSSHLKAHQR THTGEKPYKCSWEGCEWRFARSDELTRHYRKHTGAKPFKCNHCDR >ENSMUSP00000120537.1 pep:known chromosome:GRCm38:1:64079103:64122282:-1 gene:ENSMUSG00000025959.13 transcript:ENSMUST00000135075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf7 description:Kruppel-like factor 7 (ubiquitous) [Source:MGI Symbol;Acc:MGI:1935151] MDVLASYSIFQELQLVHDTGYFSALPSLEETWQQTCLELERYLQTEPRRISETFGEDLDC FLHASPPPCIEESFRRLDPLLLPVEATICEKSSAVDILLSRDKLLSETCLS >ENSMUSP00000124206.1 pep:known chromosome:GRCm38:2:145786116:145887616:1 gene:ENSMUSG00000001768.15 transcript:ENSMUST00000147976.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rin2 description:Ras and Rab interactor 2 [Source:MGI Symbol;Acc:MGI:1921280] MSAWTMGAQGLDKRGSFFKLIDTIASEIGELKREMVQTDISRENGLEPSETHRTGKLSVT YHSDGKSNTADLPCMK >ENSMUSP00000105632.1 pep:known chromosome:GRCm38:2:145785980:145887616:1 gene:ENSMUSG00000001768.15 transcript:ENSMUST00000110005.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rin2 description:Ras and Rab interactor 2 [Source:MGI Symbol;Acc:MGI:1921280] MSAWTMGAQGLDKRGSFFKLIDTIASEIGELKREMVQTDISRENGLEPSETHSMVRHKDG GYSEDKDGKTCPRDSGYDSLSNRLSILDRLLHTHPIWLQLSLSEEEAAEVLQAQPPGIFL VRKSSKMQKKVLSLRLPCEFGAPLKEFTIKESTYTFSLEGSGISFADLFRLIAFYCISRD VLPFTLKLPYAISTAKTESQLEELAQLGLNFWSSSADNKPLNSPPPHRPLPSAGICPASL RQLCLINGVHSIKTRTPSELECSQTNGALCFINPLFLKVHSQDLSTGPKRPSTRTPNANG TERPRSPPPRPPPPAINSLHTSPGLSRTEPQTSMPETVNHSKHGNVALLGTKPTPIPPPR LKKQASFLEAESSAKTLTARRPSRRSEPEPELELELEMGTAGHAGGAPPRDAPGDCTRAP PPGSESQPPPCHGARQRLSDMSLSTSSSDSLEFDRSMPLYGYEADTTSSLEDYEGESDQE TMAPPIKSKKKRNSSFVLPKLVKSQLRKMSGVFSSFMTPEKRMVRRIAELSRDKCTYFGC LVQDYVSFLKENKECHVSSTDMLQTIRQFMTQVKNYLSQSSELDPPIESLIPEDQIDVVL EKAMHKCILKPLKGHVEAMLKDFHTADGSWKQLKENLQLVRQRNPQELGVFAPTPDLMEL EKIKLKFMTMQKMYSPEKKVMLLLRVCKLIYTVMENNSGRMYGADDFLPVLTYVIAQCDM LELDTEIEYMMELLDPSLLHGEGGYYLTSAYGALSLIKNFQEEQAARLLSSEARDTLRQW HKRRTTNRTIPSVDDFQNYLRVAFQEVNSGCTGKTLLVRPYITTEDVCQLCAEKFKVEDP EEYSLFLFVDETWQQLAEDTYPQKIKAELHSRPQPHIFHFVYKRIKSDPYGVIFQNGEDL TPS >ENSMUSP00000125476.1 pep:known chromosome:GRCm38:2:145784734:145822302:1 gene:ENSMUSG00000001768.15 transcript:ENSMUST00000142847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rin2 description:Ras and Rab interactor 2 [Source:MGI Symbol;Acc:MGI:1921280] MSAWTMGAQGLDKRGSFFKLIDTIASEIGEL >ENSMUSP00000124078.1 pep:known chromosome:GRCm38:2:145786162:145822704:1 gene:ENSMUSG00000001768.15 transcript:ENSMUST00000150449.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rin2 description:Ras and Rab interactor 2 [Source:MGI Symbol;Acc:MGI:1921280] MSAWTMGAQGLDKRGSFFKLIDTIASEIGELKREMVQTDISRENGLEPSETHRTGKLSVT YHSDGKSNTADLPCMK >ENSMUSP00000092053.4 pep:known chromosome:GRCm38:2:145786116:145887616:1 gene:ENSMUSG00000001768.15 transcript:ENSMUST00000094480.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rin2 description:Ras and Rab interactor 2 [Source:MGI Symbol;Acc:MGI:1921280] MDPFCILSMVRHKDGGYSEDKDGKTCPRDSGYDSLSNRLSILDRLLHTHPIWLQLSLSEE EAAEVLQAQPPGIFLVRKSSKMQKKVLSLRLPCEFGAPLKEFTIKESTYTFSLEGSGISF ADLFRLIAFYCISRDVLPFTLKLPYAISTAKTESQLEELAQLGLNFWSSSADNKPLNSPP PHRPLPSAGICPASLRQLCLINGVHSIKTRTPSELECSQTNGALCFINPLFLKVHSQDLS TGPKRPSTRTPNANGTERPRSPPPRPPPPAINSLHTSPGLSRTEPQTSMPETVNHSKHGN VALLGTKPTPIPPPRLKKQASFLEAESSAKTLTARRPSRRSEPEPELELELEMGTAGHAG GAPPRDAPGDCTRAPPPGSESQPPPCHGARQRLSDMSLSTSSSDSLEFDRSMPLYGYEAD TTSSLEDYEGESDQETMAPPIKSKKKRNSSFVLPKLVKSQLRKMSGVFSSFMTPEKRMVR RIAELSRDKCTYFGCLVQDYVSFLKENKECHVSSTDMLQTIRQFMTQVKNYLSQSSELDP PIESLIPEDQIDVVLEKAMHKCILKPLKGHVEAMLKDFHTADGSWKQLKENLQLVRQRNP QELGVFAPTPDLMELEKIKLKFMTMQKMYSPEKKVMLLLRVCKLIYTVMENNSGRMYGAD DFLPVLTYVIAQCDMLELDTEIEYMMELLDPSLLHGEGGYYLTSAYGALSLIKNFQEEQA ARLLSSEARDTLRQWHKRRTTNRTIPSVDDFQNYLRVAFQEVNSGCTGKTLLVRPYITTE DVCQLCAEKFKVEDPEEYSLFLFVDETWQQLAEDTYPQKIKAELHSRPQPHIFHFVYKRI KSDPYGVIFQNGEDLTPS >ENSMUSP00000140514.1 pep:known chromosome:GRCm38:1:153122756:153186047:-1 gene:ENSMUSG00000026479.13 transcript:ENSMUST00000185356.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamc2 description:laminin, gamma 2 [Source:MGI Symbol;Acc:MGI:99913] MPALWLSCCLGVALLLPAAQATSRREVCDCNGKSRQCVFDQELHRQTGSGFRCLNCNDNT AGVHCERCREGFYRHRDRDRCLPCNCHSKGSLSAGCDNSGQCRCKPGVTGQRCDRCQPGF HMLTDAGCTRDQGQLDSKCDCDPAGISGPCDSGRCVCKPAVTGERCDRCRPGYYHLDRAN PEGCTQCFCYGHSASCHASADFSVHKITSTFSQDVDGWKAVQRNGAPAKLHWSQRHRDVF SSARRSDPVYFVAPAKFLGNQQVSYGQSLSFDYRVDRGGRQPSAYDVILEGAGLQIRAPL MAPGKTLPCGITKTYTFRLNEHPSSHWSPQLSYFEYRRLLRNLTALLIRATYGEYSTGYI DNVTLVSARPVSGAPAPWVERCVCPAGYKGQFCQECASGYKRDSARLGPFGACVPCNCQG GGACDPDTGDCYSGDENPDIECADCPIGFYNDPHDPRSCKPCPCHNGFSCSVMPETEEVV CNNCPPGVTGARCELCADGFFGDPFGERGPVRPCQRCQCNNNVDPNASGNCDQLTGRCLK CIYNTAGVYCDQCKAGYFGDPLAPNPADKCRACNCSPMGSEPGECRGDGSCVCKPGFGGL NCDHAALTSCPACYNQVKIQMDQFTQQLQSLEALVSKAQGGGGGGTVPSGELEGRMQQAE QALRDILREAQISEGAMRALGFRLAKARSQENDYKTRLDDLKMTAERIRALGSQHQNRVQ DTSRLISQMRLSLAGSEASLQNTNIHSSEHYVGPNGFKSLAQEATRLADSHAESANAMKQ LARETEDYSKQALSLARKALSGGGGSGVLDSSVVQGLMGKLEKTKSLSQQLSREGTQADI EADRSYQHSLRLLDSASQLQGVSDLSFQVEAKRIRQKADSLSNLVTRQTDAFTRVRNNLG NWEKETRQLLQTGKDRRQTSDQLLSRANLAKNRAQEALSMGNATFYEVENILKNLREFDL QVEDRKAEAEEAMKRLSSISQKVADASDKTQQAETALGSATADTQRAKNAAREALEISSE IEQEIGSLNLEANVTADGALAMEKGLATLKSEMREMEGELARKELEFDTDKDTVQLVITE AQQADARATSAGVTIQDTLNTLDGILHLIDQPGSVDEEGMMLLEQGLFQAKTQINSRLRP LMSDLEERVRRQRNHLHLLETSIDGILADVKNLENIRDNLPPGCYNTQALEQQ >ENSMUSP00000139416.1 pep:known chromosome:GRCm38:1:153126098:153126915:-1 gene:ENSMUSG00000026479.13 transcript:ENSMUST00000188206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamc2 description:laminin, gamma 2 [Source:MGI Symbol;Acc:MGI:99913] VITEAQQADARATSAGVTIQDTLNTLDGILHLIGL >ENSMUSP00000027753.6 pep:known chromosome:GRCm38:1:153122756:153186447:-1 gene:ENSMUSG00000026479.13 transcript:ENSMUST00000027753.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamc2 description:laminin, gamma 2 [Source:MGI Symbol;Acc:MGI:99913] MPALWLSCCLGVALLLPAAQATSRREVCDCNGKSRQCVFDQELHRQTGSGFRCLNCNDNT AGVHCERCREGFYRHRDRDRCLPCNCHSKGSLSAGCDNSGQCRCKPGVTGQRCDRCQPGF HMLTDAGCTRDQGQLDSKCDCDPAGISGPCDSGRCVCKPAVTGERCDRCRPGYYHLDRAN PEGCTQCFCYGHSASCHASADFSVHKITSTFSQDVDGWKAVQRNGAPAKLHWSQRHRDVF SSARRSDPVYFVAPAKFLGNQQVSYGQSLSFDYRVDRGGRQPSAYDVILEGAGLQIRAPL MAPGKTLPCGITKTYTFRLNEHPSSHWSPQLSYFEYRRLLRNLTALLIRATYGEYSTGYI DNVTLVSARPVSGAPAPWVERCVCPAGYKGQFCQECASGYKRDSARLGPFGACVPCNCQG GGACDPDTGDCYSGDENPDIECADCPIGFYNDPHDPRSCKPCPCHNGFSCSVMPETEEVV CNNCPPGVTGARCELCADGFFGDPFGERGPVRPCQRCQCNNNVDPNASGNCDQLTGRCLK CIYNTAGVYCDQCKAGYFGDPLAPNPADKCRACNCSPMGSEPGECRGDGSCVCKPGFGGL NCDHAALTSCPACYNQVKIQMDQFTQQLQSLEALVSKAQGGGGGGTVPSGELEGRMQQAE QALRDILREAQISEGAMRALGFRLAKARSQENDYKTRLDDLKMTAERIRALGSQHQNRVQ DTSRLISQMRLSLAGSEASLQNTNIHSSEHYVGPNGFKSLAQEATRLADSHAESANAMKQ LARETEDYSKQALSLARKALSGGGGSGVLDSSVVQGLMGKLEKTKSLSQQLSREGTQADI EADRSYQHSLRLLDSASQLQGVSDLSFQVEAKRIRQKADSLSNLVTRQTDAFTRVRNNLG NWEKETRQLLQTGKDRRQTSDQLLSRANLAKNRAQEALSMGNATFYEVENILKNLREFDL QVEDRKAEAEEAMKRLSSISQKVADASDKTQQAETALGSATADTQRAKNAAREALEISSE IEQEIGSLNLEANVTADGALAMEKGLATLKSEMREMEGELARKELEFDTDKDTVQLVITE AQQADARATSAGVTIQDTLNTLDGILHLIDQPGSVDEEGMMLLEQGLFQAKTQINSRLRP LMSDLEERVRRQRNHLHLLETSIDGILADVKNLENIRDNLPPGCYNTQALEQQ >ENSMUSP00000054799.6 pep:known chromosome:GRCm38:18:20247340:20285031:1 gene:ENSMUSG00000034774.6 transcript:ENSMUST00000054128.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsg1c description:desmoglein 1 gamma [Source:MGI Symbol;Acc:MGI:2664358] MDWHSFRIAALLLTSLVVLEVNSEFQIQVRDHNAKNGTIKWHSIRRQKREWIKFAAACRE GEDNSKRNPIAKIHSDCAANQPVTYRISGVGIDQPPYGIFIINQKTGEINITSIVDREVT PFFIIYCRALNAQGQDLENPLELRVRVMDINDNPPVFSMTTFLGQIEENSNANTLVMKLN ATDADEPNNLNSMIAFKIIRQEPSDSPMFTINRKTGEIRTMNNFLDREQYSQYSLVVRGS DRDGGADGMSAESECSITILDVNDNIPYLEQSSYDITIEENTLHSQLLQIRVIDLDEEFS DNWKAIIFFISGNEGNWFEIEMNERTNVGTLKVVKPLDYEAVKNLQLCIGVRNVAEFHQS IISQYRLTVTLITVTVLNVVKGCVFQPGSKTFIVDSRMEANHTVGEFLATDCETGQATNK FKNVRYRYVMGNNPENLLVVDSGIITLRNRVTMEQYERLNKRYEGTVLSIHDSLQRTCTG TIIMVLCGFWTTTEHPTTSTEKPVTLSITPNVDNVHFGPAGIGLLIMGFLVLGLVPFLLI SCDCGGAPGGGAGFEPVPECSDGAIHTWAVEGPQPGGITTICVPQMPPGNANVIEYIDNS GVYTNEYCGREMQDLGGGERTTGFELMDGVKTSAAPEICQEYSGTLRRNSMRECRDGGLN MNFMESYFCQKAYAYADEDEGRPSNDCLLIYDIEGVGSPAGSVGCCSFIEDLDESFLDTL GPKFKKLADISLGKEIDSYPDPDPSWPPQSTEPICPQHMEQLASGHPSISPHFGKTTVIS ENAYPSGPGVQHPMLIPDPLGYGNITVRESYTTSGTLKPSVHFHDNQQASNVVVTERVVG PISGADLHGMLEIPALRDGTNVIVTERVIAPGSSLPNSLTIPNPRETSNVVVTERVIQPT SGMIGNLSIPP >ENSMUSP00000105375.2 pep:known chromosome:GRCm38:2:153974945:154000495:1 gene:ENSMUSG00000078998.3 transcript:ENSMUST00000109753.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifa6 description:BPI fold containing family A, member 6 [Source:MGI Symbol;Acc:MGI:3647736] MRLLWIFLSVGCLLSRSHALLEDSIQKSGIQSEGGDTGLDAGLVYDNGGEFIDLGDGSGT IPTSMTVTSPEISTMSLGELNQPEMNIPGGLDTSGITSQLPTSGLNQDMLAQEGLLSTVI LNSQNLLTEREKLQTLLLGGRVSFGVNNDLLDAAGTNAVEGLVCKGPLGGLCGHGSLSGM NDALKTMNSGELDSRLNVTRFDIVQLSWKVLASSDFEIKFQTKLTINFPGILSFLSGSTV DVDIEIPLQLQQMEPGQMSFSVKSCRAVFTGIQVNSGVISKMMESMLKWSLNISLPNMLC PVARFWFYIINQQLAILQNIASLGMPEDSNLLDTKQPMLYERTYTMDFKNKTFPASFINW LFKTPSS >ENSMUSP00000032260.5 pep:known chromosome:GRCm38:6:129180615:129186534:1 gene:ENSMUSG00000030157.5 transcript:ENSMUST00000032260.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2d description:C-type lectin domain family 2, member d [Source:MGI Symbol;Acc:MGI:2135589] MCVTKASLPMLSPTGSPQEVEVGKILQGKRHGTISPESCAKLYCYYGVIMVLTVAVIALS VALSATKTEQIPVNKTYAACPQNWIGVENKCFYFSEYPSNWTFAQAFCMAQEAQLARFDN QDELNFLMRYKANFDSWIGLHRESSEHPWKWTDNTEYNNTIPIRGEERFAYLNNNGISST RIYSLRMWICSKLNSYSLHCQTPFFPS >ENSMUSP00000124423.1 pep:known chromosome:GRCm38:6:71128166:71144439:-1 gene:ENSMUSG00000054474.15 transcript:ENSMUST00000160918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thnsl2 description:threonine synthase-like 2 (bacterial) [Source:MGI Symbol;Acc:MGI:3041254] MWYTSTRGMAPRVNFEGALFSGYAPDGGLYMPEELPRLDEETLRHWSTLSYRSLVKELCA LFIGLELIPRHDLNDLIDRAFSRFRHRNVVHLCKLKNGLNILELWHGVTYAFKDLSLSCT AQFLQYFLEKKKKHVTIVVGTSGDTGSAAIESVQGSKNVDIIVLLPKGHCSKIQELQMTT VLKENVHVFEVEGNSDELDEPIKAVFADVAFVQRHNVMSLNSINWSRVLVQMAHHFFAYF QCTPSLDTHPLPTVEVVVPTGAGGNLAAGCIAQKMGLPICLVVAVNRNDIIHRTVQKGDF SLCEVLRTTLASAMDIQVPYNMERIFWLLSGSDSQTTRALMEQFERTQSLQLPKDLHNKL SEAVTSESVTDEAITQTMARCWEENQYLLCPHSATAVNYHYQQTDSGQSSIRCCLASASA VKFPEAVQAAGLTPETPAEILALEHKETRCIPMRRGDDWTQMLRVTIEGLSQRWKDCVVN PSE >ENSMUSP00000073861.7 pep:known chromosome:GRCm38:6:71128398:71144347:-1 gene:ENSMUSG00000054474.15 transcript:ENSMUST00000074241.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thnsl2 description:threonine synthase-like 2 (bacterial) [Source:MGI Symbol;Acc:MGI:3041254] MWYTSTRGMAPRVNFEGALFSGYAPDGGLYMPEELPRLDEETLRHWSTLSYRSLVKELCA LFIGLELIPRHDLNDLIDRAFSRFRHRNVVHLCKLKNGLNILELWHGVTYAFKDLSLSCT AQFLQYFLEKKKKHVTIVVGTSGDTGSAAIESVQGSKNVDIIVLLPKGHCSKIQELQMTT VLKENVHVFEVEGNSDELDEPIKAVFADVAFVQRHNVMSLNSINWSRVLVQMAHHFFAYF QCTPSLDTHPLPTVEVVVPTGAGGNLAAGCIAQKMGLPICLVVAVNRNDIIHRTVQKGDF SLCEVLRTTLASAMDIQVPYNMERIFWLLSGSDSQTTRALMEQFERTQSLQLPKDLHNKL SEAVTSESVTDEAITQTMARCWEENQYLLCPHSATAVNYHYQQTDSGQSSIRCCLASASA VKFPEAVQAAGLTPETPAEILALEHKETRCIPMRRGDDWTQMLRVTIEGLSQRWKDCVVN PSE >ENSMUSP00000129994.1 pep:known chromosome:GRCm38:6:71128656:71131972:-1 gene:ENSMUSG00000054474.15 transcript:ENSMUST00000170753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thnsl2 description:threonine synthase-like 2 (bacterial) [Source:MGI Symbol;Acc:MGI:3041254] XNRNDIIHRTVQKGDFSLCEVLRTTLASAMDIQVPYNMERIFWLLSGSDSQTTRALMEQF ERTQSLQLPKDLLCPHSATAVNYHYQQTDSGQSSIRCCLASASAVKFPEAVQAAGLTPET PAEILALEHKETRCIPMRRGDDWTQMLRVTIEGLSQRWK >ENSMUSP00000076393.3 pep:known chromosome:GRCm38:18:20310811:20343350:1 gene:ENSMUSG00000069441.3 transcript:ENSMUST00000077146.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsg1a description:desmoglein 1 alpha [Source:MGI Symbol;Acc:MGI:94930] MDWHSFRIAALLLTSLVVLEVNSEFQIQVRDHNAKNGTIKWHSIRRQKREWIKFAAACRE GEDNSKRNPIAKIHSDCAANQPVTYRISGVGIDQPPYGIFIINQKTGEINITSIVDREVT PFFIIYCRALNAQGQDLENPLELRVRVMDINDNPPVFSMTTFLGQIEENSNANTLVMKLN ATDADEPNNLNSMIAFKIIRQEPSDSPMFIINRKTGEIRTMNNFLDREQYSQYSLVVRGS DRDGGADGMSAESECSITILDVNDNIPYLEQSSYDITIEENALHSQLVQIRVIDLDEEFS DNWKAIIFFISGNEGNWFEIEMNERTNVGTLKVVKPLDYEAMKNLQLSIGVRNVAEFHQS IISQYRLTATMVTVTVLNVIEGSVFRPGSKTFVVDSRMEANHRVGEFVATDLDTGRASTN VRYEMGNNPENLLVVDSRTGIITLRNRVTMEQYQRLNGEYKGTVLSIDDSLQRTCTGTIV IELSGTGWVTGSESGGSSSGSGDDRDRVTNGYQGTSSTENPQRVTGSWGGSGIDGTRPNT NPFQGDPDETLETPLYGDNVHFGPAGIGLLIMGFLVLGLVPFLLICCDCGGAPGGGAGFE PVPECSDGAIHTWAVEGPQPEPHEGITTICVPQMPPGNANVIEYIDNSGVYTNEYCGREM QDLGGGERTTGFELMDGVKTSAAPEICQEYSGTLRRNSMRECRDGGLNMNFMESYFCQKA YAYADEDEGRPSNDCLLIYDIEGVGSPAGSVGCCSFIGEDLDESFLDTLGPKFKKLADIS LGKEIDSYPDSDPSWPPQSTEPMCPQHTEPLGSGHPPISPHFGTTTVISENAYHSGPGVQ HPVPIPDPLGYGNVTVRESYTTSGTLKPSVHFHDNQQASNVVVTERVVGPISGADLHGML EIPDLRGGANVIVTERVIAPGSSLPTSLTIPNPQETSNVVVTERVIQPTSGMIGNLSMTP ELSSAHNVIVTERVVSGAGMSEIAGTAGLGGVGGIGSSGLVSTTMGASGTGLNMGGTATI GHMRSSSDHHFSQTVGSASPSMARSRITKYNTVQYSK >ENSMUSP00000107403.3 pep:known chromosome:GRCm38:9:116572746:117252463:-1 gene:ENSMUSG00000039607.15 transcript:ENSMUST00000111773.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms3 description:RNA binding motif, single stranded interacting protein [Source:MGI Symbol;Acc:MGI:2444477] MGKRLDQPQMYPQYTYYCPQYLQTKQSYAPAPHPMAPPSPSTNSSSNSSGEQLSKTNLYI RGLPPGTTDQDLIKLCQPYGKIVSTKAILDKNTNQCKGYGFVDFDSPAAAQKAVASLKAN GVQAQMAKQQEQDPTNLYISNLPISMDEQELENMLKPFGHVISTRILRDANGVSRGVGFA RMESTEKCEVVIQHFNGKYLKTPPGIPAPSEPLLCKFADGGQKKRQGQSKHTQNGRPWPR EGEAGMALTYDPTAALQNGFYSSPYSLATNRMIPQTSITPFIAASPVSTYQVQSTSWTPH LPYIMQPTGAVITPAVDHPMSMQPTNIVGPLTQQMNHLSLGTAGTIQSQDRIMVLHQLLC QYMTAAPMQGTYIPQYTPVPPTAVSIEGVVADTSPQTVAPSSQDSSGQQQQLAVDTPSEH APAYSFQQSKP >ENSMUSP00000066735.7 pep:known chromosome:GRCm38:9:116577299:117252479:-1 gene:ENSMUSG00000039607.15 transcript:ENSMUST00000068962.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms3 description:RNA binding motif, single stranded interacting protein [Source:MGI Symbol;Acc:MGI:2444477] MGKRLDQPQMYPQYTYYCPQYLQTKQSYAPAPHPMAPPSPSTNSSSNSSGEQLSKTNLYI RGLPPGTTDQDLIKLCQPYGKIVSTKAILDKNTNQCKGYGFVDFDSPAAAQKAVASLKAN GVQAQMAKQQEQDPTNLYISNLPISMDEQELENMLKPFGHVISTRILRDANGVSRGVGFA RMESTEKCEVVIQHFNGKYLKTPPGIPAPSEPLLCKFADGGQKKRQGQSKHTQNGRPWPR EGEAGMALTYDPTAALQNGFYSSPYSLATNRMIPQTSITPFIAASPVSTYQGAVITPAVD HPMSMQPTNIVGPLTQQMNHLSLGTAGTIQSQDRIMVLHQLLCQYMTAAPMQGTYIPQYT PVPPTAVSIEGVVADTSPQTVAPSSQDSSGQQQQLAVDTPSEHAPAYSFQQSKP >ENSMUSP00000133900.1 pep:known chromosome:GRCm38:9:116577582:116822806:-1 gene:ENSMUSG00000039607.15 transcript:ENSMUST00000173429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms3 description:RNA binding motif, single stranded interacting protein [Source:MGI Symbol;Acc:MGI:2444477] GHVISTRILRDANGVSRGVGFARMESTEKCEVVIQHFNGKYLKTPPGIPAPSEPLLCKFA DGGQKKRQGQSKHTQNGRPWPREGEAGMALTYDPTAALQNGFYSSPYSLATNRMIPQTSI TPFIAASPVSTYQGAVITPAVDHPMSMQPTNIVGPLTQQMNHLSLGTAGTTIDRSEDVCL NLYLQ >ENSMUSP00000133621.1 pep:known chromosome:GRCm38:9:116578025:117252126:-1 gene:ENSMUSG00000039607.15 transcript:ENSMUST00000174868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms3 description:RNA binding motif, single stranded interacting protein [Source:MGI Symbol;Acc:MGI:2444477] MGKRLDQPQMYPQYTYYCPQYLQTKQSYAPAPHPMAPPSPSTNSSSNSSGEQLSKTNLYI RGLPPGTTDQDLIKLCQPYGKIVSTKAILDKNTNQCKGYGFVDFDSPAAAQKAVASLKAN GVQAQMAKQQEQDPTNLYISNLPISMDEQELENMLKPFGHVISTRILRDANGVSRGVGFA RMESTEKCEVVIQHFNGKYLKTPPGIPAPSEPLLCKFADGGQKKRQGQSKHTQNGRPWPR EGEAGMALTYDPTAALQNGFYSSPYSLATNRMIPQTSITPFIAASPVSTYQVQSTSWTPH LPYIMQPTGAVITPAVDHPMSMQPTNIVGPLTQQMNHLSLGTAGTIQSQDRIMVLHQLLC QYMTAAPMQGTYIPQYTPVPPTAVSIETIDRSEDVCLNLYLQ >ENSMUSP00000131371.2 pep:known chromosome:GRCm38:9:116578025:117629913:-1 gene:ENSMUSG00000039607.15 transcript:ENSMUST00000164018.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms3 description:RNA binding motif, single stranded interacting protein [Source:MGI Symbol;Acc:MGI:2444477] MEGGRLLIRSNANGVTQSNSTVRAYQSSKSRVTMSPGFSSQWSNSQPAWNTYSFPRASLH YQSHASYTYCTGHPVSYAPAPHPMAPPSPSTNSSSNSSGEQLSKTNLYIRGLPPGTTDQD LIKLCQPYGKIVSTKAILDKNTNQCKGYGFVDFDSPAAAQKAVASLKANGVQAQMAKQQE QDPTNLYISNLPISMDEQELENMLKPFGHVISTRILRDANGVSRGVGFARMESTEKCEVV IQHFNGKYLKTPPGIPAPSEPLLCKFADGGQKKRQGQSKHTQNGRPWPREGEAGMALTYD PTAALQNGFYSSPYSLATNRMIPQTSITPFIAASPVSTYQGAVITPAVDHPMSMQPTNIV GPLTQQMNHLSLGTAGTIQSQDRIMVLHQLLCQYMTAAPMQGTYIPQYTPVPPTAVSIEG VVADTSPQTVAPSSQDSSGQQQQLAVDTPSEHAPAYSFQQSKP >ENSMUSP00000107402.3 pep:known chromosome:GRCm38:9:116578026:117252117:-1 gene:ENSMUSG00000039607.15 transcript:ENSMUST00000111772.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms3 description:RNA binding motif, single stranded interacting protein [Source:MGI Symbol;Acc:MGI:2444477] MGKRLDQPQMYPQYTYYCPQYLQTKQSYAPAPHPMAPPSPSTNSSSNSSGEQLSKTNLYI RGLPPGTTDQDLIKLCQPYGKIVSTKAILDKNTNQCKGYGFVDFDSPAAAQKAVASLKAN GVQAQMAKQQEQDPTNLYISNLPISMDEQELENMLKPFGHVISTRILRDANGVSRGVGFA RMESTEKCEVVIQHFNGKYLKTPPGIPAPSEPLLCKFADGGQKKRQGQSKHTQNGRPWPR EGEAGMALTYDPTAALQNGFYSSPYSLATNRMIPQTSITPFIAASPVSTYQVQSTSWTPH LPYIMQPTGAVITPAVDHPMSMQPTNIVGPLTQQMNHLSLGTAGTYMTAAPMQGTYIPQY TPVPPTAVSIEGVVADTSPQTVAPSSQDSSGQQQQLAVDTPSEHAPAYSFQQSKP >ENSMUSP00000039706.7 pep:known chromosome:GRCm38:9:116578515:117252483:-1 gene:ENSMUSG00000039607.15 transcript:ENSMUST00000044901.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms3 description:RNA binding motif, single stranded interacting protein [Source:MGI Symbol;Acc:MGI:2444477] MGKRLDQPQMYPQYTYYCPQYLQTKQSYAPAPHPMAPPSPSTNSSSNSSGEQLSKTNLYI RGLPPGTTDQDLIKLCQPYGKIVSTKAILDKNTNQCKGYGFVDFDSPAAAQKAVASLKAN GVQAQMAKQQEQDPTNLYISNLPISMDEQELENMLKPFGHVISTRILRDANGVSRGVGFA RMESTEKCEVVIQHFNGKYLKTPPGIPAPSEPLLCKFADGGQKKRQGQSKHTQNGRPWPR EGEAGMALTYDPTAALQNGFYSSPYSLATNRMIPQTSITPFIAASPVSTYQVQSTSWTPH LPYIMQPTGAVITPAVDHPMSMQPTNIVGPLTQQMNHLSLGTAGTYMTAAPMQGTYIPQY TPVPPTAVSIETIDRSEDVCLNLYLQ >ENSMUSP00000134172.1 pep:known chromosome:GRCm38:9:116582822:116822819:-1 gene:ENSMUSG00000039607.15 transcript:ENSMUST00000172469.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms3 description:RNA binding motif, single stranded interacting protein [Source:MGI Symbol;Acc:MGI:2444477] XLKPFGHVISTRILRDANGVSRGVGFARMESTEKCEVVIQHFNGKYLKTPPGIPAPSEPL LCKFADGGQKKRQGQSKHTQNGRPWPREGEAGMALTYDPTAALQNGFYSSPYSLATNRMI PQTSITPFIAASPVSTYQVQSTSWTPHLPYIMQPTYMTAAPMQGTYIPQYTPVPPTAVSI EGVVADTSPQTVAPSS >ENSMUSP00000134528.1 pep:known chromosome:GRCm38:9:116805413:117251801:-1 gene:ENSMUSG00000039607.15 transcript:ENSMUST00000172564.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms3 description:RNA binding motif, single stranded interacting protein [Source:MGI Symbol;Acc:MGI:2444477] MGKRLDQPQMYPQYTYYCPQYLQTKSYAPAPHPMAPPSPSTNSSSNSSGEQLSKTNLYIR GLPPGTTDQDLIKLCQPYGKIVSTKAILDKNTNQCKGYGFVDFDSPAAAQKAVASLKANG VQAQMAKQQEQDPTNLYISNLPISMDEQELENMLKPFGHVISTRILRDANGVSRGVGFAR MESTEKCEVVIQHFNGKYLKTPPGIP >ENSMUSP00000107701.1 pep:known chromosome:GRCm38:9:108953586:108984875:1 gene:ENSMUSG00000025650.12 transcript:ENSMUST00000112070.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col7a1 description:collagen, type VII, alpha 1 [Source:MGI Symbol;Acc:MGI:88462] MRLRLLVAALCAAEILMGAPEVWAQPRDRVTCTRLYAADIVFLLDGSSSIGRSNFREVRG FLEGLVLPFSGAASAQGVRFATVQYSDDPQTEFGLDTLGSGSDTIRAIRELSYKGGNTRT GAALHHVSDRVFLPRLTRPGVPKVCILITDGKSQDLVDTAAQKLKGQGVKLFAVGIKNAD PEELKRVASQPTSDFFFFVNDFSILRTLLPLISRRVCTTAGGVPVTLPSDDTPSGPRDLV LSEPSSQSLRVQWTAASGPVTGYKVQYTPLTGLGQPLPSERQEVNIPAGETSTRLQGLRP LTDYQVTVVALYANSIGEAVSGTARTTAKEGLELSLQNITSHSLLVAWRRVPGANGYRVT WRDLSGGPTQQQDLSPGQGSVFLDHLEPGTDYEVTVSALFGHSVGPAASLTARTASSVEQ TLHPIILSPTSILLSWNLVPEARGYRLEWRRESGLETPQKVELPPDVTRHQLDGLQPGTE YRLTLYTLLEGREVATPATVVPTGLEQLVSPVMNLQAIELPGQRVRVSWNPVPGATEYRF TVRTTQGVERTLLLPGSQTTFDLDDVRAGLSYTVRVSARVGAQEGDASILTIHRDPEAPL VVPGLRVVASDATRIRVAWGLVPGASGFRISWRTGSGPESSRTLTPDSTVTDILGLQPST SYQVAVSALRGREEGPPVVIVARTDPLGPVRRVHLTQAGSSSVSITWTGVPGATGYRVSW HSGHGPEKSLLVSGDATVAEIDGLEPDTEYIVRVRTHVAGVDGAPASVVVRTAPEPVGSV SKLQILNASSDVLRVTWVGVPGATSYKLAWGRSEGGPMKHRILPGNKESAEIRDLEGGVS YSVRVTALVGDREGAPVSIVITTPPATPALLETLQVVQSGEHSLRLRWEPVPGAPGFRLH WQPEGGQEQSLTLGPESNSYNLVGLEPATKYQVWLTVLGQTGEGPPRKVTAYTEPSHIPS TELRVVDTSIDSVTLTWTPVSGASSYILSWRPLRGTGQEVPRAPQTLPGTSSSHRVTGLE PGISYVFSLTPIQSGVRGSEISVTQTPACSHGPVDVVFLLHATRDNAHNAEAVRRVLERL VSALGPLGPQAAQVGLLTYSHRPSPLFPLNSSHDLGIILRKIRDIPYVDPSGNNLGTAVT TAHRYLLASNAPGRRQQVPGVMVLLVDEPLRGDILSPIREAQTSGLKVMALSLVGADPEQ LRRLAPGTDPIQNFFAVDNGPGLDRAVSDLAVALCQAAVTIEPQTGPCAVHCPKGQKGEP GVTGLQGQAGPPGPPGLPGRTGAPGPQGPPGSTQAKGERGFPGPEGPPGSPGLPGVPGSP GIKGSTGRPGPRGEQGERGPQGPKGEPGEPGQITGGGGPGFPGKKGDPGPSGPPGSRGPV GDPGPRGPPGLPGISVKGDKGDRGERGPPGPGIGASEQGDPGLPGLPGSPGPQGPAGRPG EKGEKGDCEDGGPGLPGQPGPPGEPGLRGAPGMTGPKGDRGLTGTPGEPGVKGERGHPGP VGPQGLPGAAGHPGVEGPEGPPGPTGRRGEKGEPGRPGDPAVGPGGAGAKGEKGEAGLPG PRGASGSKGEQGAPGLALPGDPGPKGDPGDRGPIGLTGRAGPTGDSGPPGEKGEPGRPGS PGPVGPRGRDGEAGEKGDEGIPGEPGLPGKAGERGLRGAPGPRGPVGEKGDQGDPGEDGR NGSPGSSGPKGDRGEPGPPGPPGRLVDAGIESRDKGEPGQEGPRGPKGDPGPPGVSGERG IDGLRGPPGPQGDPGVRGPAGDKGDRGPPGLDGRSGLDGKPGAPGPPGLHGASGKAGDPG RDGLPGLRGEHGPPGPPGPPGVPGKAGDDGKPGLNGKNGDPGDPGEDGRKGEKGDSGAPG REGPDGPKGERGAPGNPGLQGPPGLPGQVGPPGQGFPGVPGITGPKGDRGETGSKGEQGL PGERGLRGEPGSLPNAERLLETAGIKVSALREIVDTWDESSGSFLPVPERRPGPKGDPGD RGPPGKEGLIGFPGERGLKGERGDPGPQGPPGLALGERGPPGPPGLAGEPGKPGIPGLPG RAGGSGEAGRPGERGERGEKGERGDQGRDGLPGLPGPPGPPGPKVAIEEPGPGLAREQGP PGLKGAKGEPGSDGDPGPKGDRGVPGIKGDVGEPGKRGHDGNPGLPGERGVAGPEGKPGL QGPRGTPGPVGSHGDPGPPGAPGLAGPAGPQGPSGLKGEPGETGPPGRGLPGPVGAVGLP GPPGPSGLVGPQGSPGLPGQVGETGKPGPPGRDGSSGKDGDRGSPGVPGSPGLPGPVGPK GEPGPVGAPGQVVVGPPGAKGEKGAPGDLAGALLGEPGAKGDRGLPGPRGEKGEAGRAGG PGDPGEDGQKGAPGLKGLKGEPGIGVQGPPGPSGPPGMKGDLGPPGAPGAPGVVGFPGQT GPRGETGQPGPVGERGLAGPPGREGAPGPLGPPGPPGSAGAPGASGLKGDKGDPGAGLPG PRGERGEPGVRGEDGHPGQEGPRGLVGPPGSRGEQGEKGAAGAAGLKGDKGDSAVIEGPP GPRGAKGDMGERGPRGIDGDKGPRGESGNPGDKGSKGEPGDKGSAGSIGVRGLTGPKGEP GAAGIPGEPGAPGKDGIPGFRGDKGDIGFMGPRGLKGEKGIKGTCGRDGERGDKGEAGFP GRPGLAGKKGDMGEPGLPGQSGAPGKEGLIGPKGDRGFDGQSGPKGDQGEKGERGPPGVG GFPGPRGNDGSSGPPGPPGGVGPKGPEGLQGQKGERGPPGESVVGAPGAPGTPGERGEQG RPGPAGPRGEKGEAALTEDDIRDFVRQEMSQHCACQGQFIASGSRPLPGYAADTAGSQLH HVPVLRVSHVEEEGQVPPEDDDDFSEYSVYSVEDYQEPEVPWDGEAEIKGWDQRGSDLCS LPLDEGSCTAYTLRWYHRAVPGGTACHPFVYGGCGGNANRFGTREACERRCPPQGVHSQK TGAA >ENSMUSP00000026740.5 pep:known chromosome:GRCm38:9:108953745:108984875:1 gene:ENSMUSG00000025650.12 transcript:ENSMUST00000026740.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col7a1 description:collagen, type VII, alpha 1 [Source:MGI Symbol;Acc:MGI:88462] MRLRLLVAALCAAEILMGAPEVWAQPRDRVTCTRLYAADIVFLLDGSSSIGRSNFREVRG FLEGLVLPFSGAASAQGVRFATVQYSDDPQTEFGLDTLGSGSDTIRAIRELSYKGGNTRT GAALHHVSDRVFLPRLTRPGVPKVCILITDGKSQDLVDTAAQKLKGQGVKLFAVGIKNAD PEELKRVASQPTSDFFFFVNDFSILRTLLPLISRRVCTTAGGVPVTLPSDDTPSGPRDLV LSEPSSQSLRVQWTAASGPVTGYKVQYTPLTGLGQPLPSERQEVNIPAGETSTRLQGLRP LTDYQVTVVALYANSIGEAVSGTARTTAKEGLELSLQNITSHSLLVAWRRVPGANGYRVT WRDLSGGPTQQQDLSPGQGSVFLDHLEPGTDYEVTVSALFGHSVGPAASLTARTASSVEQ TLHPIILSPTSILLSWNLVPEARGYRLEWRRESGLETPQKVELPPDVTRHQLDGLQPGTE YRLTLYTLLEGREVATPATVVPTGLEQLVSPVMNLQAIELPGQRVRVSWNPVPGATEYRF TVRTTQGVERTLLLPGSQTTFDLDDVRAGLSYTVRVSARVGAQEGDASILTIHRDPEAPL VVPGLRVVASDATRIRVAWGLVPGASGFRISWRTGSGPESSRTLTPDSTVTDILGLQPST SYQVAVSALRGREEGPPVVIVARTDPLGPVRRVHLTQAGSSSVSITWTGVPGATGYRVSW HSGHGPEKSLLVSGDATVAEIDGLEPDTEYIVRVRTHVAGVDGAPASVVVRTAPEPVGSV SKLQILNASSDVLRVTWVGVPGATSYKLAWGRSEGGPMKHRILPGNKESAEIRDLEGGVS YSVRVTALVGDREGAPVSIVITTPPATPALLETLQVVQSGEHSLRLRWEPVPGAPGFRLH WQPEGGQEQSLTLGPESNSYNLVGLEPATKYQVWLTVLGQTGEGPPRKVTAYTEPSHIPS TELRVVDTSIDSVTLTWTPVSGASSYILSWRPLRGTGQEVPRAPQTLPGTSSSHRVTGLE PGISYVFSLTPIQSGVRGSEISVTQTPACSHGPVDVVFLLHATRDNAHNAEAVRRVLERL VSALGPLGPQAAQVGLLTYSHRPSPLFPLNSSHDLGIILRKIRDIPYVDPSGNNLGTAVT TAHRYLLASNAPGRRQQVPGVMVLLVDEPLRGDILSPIREAQTSGLKVMALSLVGADPEQ LRRLAPGTDPIQNFFAVDNGPGLDRAVSDLAVALCQAAVTIEPQTGPCAVHCPKGQKGEP GVTGLQGQAGPPGPPGLPGRTGAPGPQGPPGSTQAKGERGFPGPEGPPGSPGLPGVPGSP GIKGSTGRPGPRGEQGERGPQGPKGEPGEPGQITGGGGPGFPGKKGDPGPSGPPGSRGPV GDPGPRGPPGLPGISVKGDKGDRGERGPPGPGIGASEQGDPGLPGLPGSPGPQGPAGRPG EKGEKGDCEDGGPGLPGQPGPPGEPGLRGAPGMTGPKGDRGLTGTPGEPGVKGERGHPGP VGPQGLPGAAGHPGVEGPEGPPGPTGRRGEKGEPGRPGDPAVGPGGAGAKGEKGEAGLPG PRGASGSKGEQGAPGLALPGDPGPKGDPGDRGPIGLTGRAGPTGDSGPPGEKGEPGRPGS PGPVGPRGRDGEAGEKGDEGIPGEPGLPGKAGERGLRGAPGPRGPVGEKGDQGDPGEDGR NGSPGSSGPKGDRGEPGPPGPPGRLVDAGIESRDKGEPGQEGPRGPKGDPGPPGVSGERG IDGLRGPPGPQGDPGVRGPAGDKGDRGPPGLDGRSGLDGKPGAPGPPGLHGASGKAGDPG RDGLPGLRGEHGPPGPPGPPGVPGKAGDDGKPGLNGKNGDPGDPGEDGRKGEKGDSGAPG REGPDGPKGERGAPGNPGLQGPPGLPGQVGPPGQGFPGVPGITGPKGDRGETGSKGEQGL PGERGLRGEPGSLPNAERLLETAGIKVSALREIVDTWDESSGSFLPVPERRPGPKGDPGD RGPPGKEGLIGFPGERGLKGERGDPGPQGPPGLALGERGPPGPPGLAGEPGKPGIPGLPG RAGGSGEAGRPGERGERGEKGERGDQGRDGLPGLPGPPGPPGPKVAIEEPGPGLAREQGP PGLKGAKGEPGSDGDPGPKGDRGVPGIKGDVGEPGKRGHDGNPGLPGERGVAGPEGKPGL QGPRGTPGPVGSHGDPGPPGAPGLAGPAGPQGPSGLKGEPGETGPPGRGLPGPVGAVGLP GPPGPSGLVGPQGSPGLPGQVGETGKPGPPGRDGSSGKDGDRGSPGVPGSPGLPGPVGPK GEPGPVGAPGQVVVGPPGAKGEKGAPGDLAGALLGEPGAKGDRGLPGPRGEKGEAGRAGG PGDPGEDGQKGAPGLKGLKGEPGIGVQGPPGPSGPPGMKGDLGPPGAPGAPGVVGFPGQT GPRGETGQPGPVGERGLAGPPGREGAPGPLGPPGPPGSAGAPGASGLKGDKGDPGAGLPG PRGERGEPGVRGEDGHPGQEGPRGLVGPPGSRGEQGEKGAAGAAGLKGDKGDSAVIEGPP GPRGAKGDMGERGPRGIDGDKGPRGESGNPGDKGSKGEPGDKGSAGSIGVRGLTGPKGEP GAAGIPGEPGAPGKDGIPGFRGDKGDIGFMGPRGLKGEKGIKGTCGRDGERGDKGEAGFP GRPGLAGKKGDMGEPGLPGQSGAPGKEGLIGPKGDRGFDGQSGPKGDQGEKGERGPPGVG GFPGPRGNDGSSGPPGPPGGVGPKGPEGLQGQKGERGPPGESVVGAPGAPGTPGERGEQG RPGPAGPRGEKGEAALTEDDIRDFVRQEMSQHCACQGQFIASGSRPLPGYAADTAGSQLH HVPVLRVSHVEEEGQVPPEDDDDFSEYSVYSVEDYQEPEVPWDGEAEIKGWDQRGSDLCS LPLDEGSCTAYTLRWYHRAVPGGTACHPFVYGGCGGNANRFGTREACERRCPPQGVHSQK TGAA >ENSMUSP00000117268.1 pep:known chromosome:GRCm38:11:120348678:120351190:1 gene:ENSMUSG00000043644.4 transcript:ENSMUST00000143813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610009L18Rik description:RIKEN cDNA 0610009L18 gene [Source:MGI Symbol;Acc:MGI:1914088] XPRPRRPRALATPGGPVLARGGLGEWRACDRPCPAPGLPRSREGPGCAPKLPSPGRAAPR SGQLKSWGDLLQAGSIRHPPRWSLQPVTSFSLRPLWIPRRVRSPLCLVPGSSSKAPPTKA LHTHFLLVRQRERETWSPLFLPSGVST >ENSMUSP00000026445.2 pep:known chromosome:GRCm38:11:120361534:120368168:1 gene:ENSMUSG00000025380.2 transcript:ENSMUST00000026445.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fscn2 description:fascin actin-bundling protein 2 [Source:MGI Symbol;Acc:MGI:2443337] MPTNGLHQVLKIQFGLVNDADRYLTAESFGFKVNASAASLKRKQIWVLEPDPGQGTAVLF RSSHLGRYLSAEEDGRVACEMDQPGRDCRFLVLPQPDGRWVLQSEPHGRFFGGIEDRLSC FATAISPAELWTVHLAIHPQAHLLSVSRRRYVHLCLQEDEMAADGDMPWGVDALVTLIFQ SRRYCLKSYDSRYLRSDGRLVWEPEAHACYTLEFKAGKLAFKDCDGRYLAPVGPAGTLKA GRNTRPSKDELFDLEQSHPQVVLVAANRRYISVRQGINVSANQDEELGHETFLMQIDQET KKCTFYSSTGGYWTLVTHGGIQATATQVSANTMFEIEWHGRRVALKASNGRFVCMKKNGQ LAAISDFVGEDELFTLKLINRPLLVLRGLDGFVCHRRGSNQLDTNRSTYDVFHLSFRDGA YQIRGRGGGFWYTGSHGSVCSDGDLAEDFLFEFRERGRLAIRALSGKYLRGGASGLLRAD ADLPVGEALWEY >ENSMUSP00000027752.8 pep:known chromosome:GRCm38:1:153218922:153332786:-1 gene:ENSMUSG00000026478.14 transcript:ENSMUST00000027752.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamc1 description:laminin, gamma 1 [Source:MGI Symbol;Acc:MGI:99914] MTGGGRAALALQPRGRLWPLLAVLAAVAGCVRAAMDECADEGGRPQRCMPEFVNAAFNVT VVATNTCGTPPEEYCVQTGVTGVTKSCHLCDAGQQHLQHGAAFLTDYNNQADTTWWQSQT MLAGVQYPNSINLTLHLGKAFDITYVRLKFHTSRPESFAIYKRTREDGPWIPYQYYSGSC ENTYSKANRGFIRTGGDEQQALCTDEFSDISPLTGGNVAFSTLEGRPSAYNFDNSPVLQE WVTATDIRVTLNRLNTFGDEVFNDPKVLKSYYYAISDFAVGGRCKCNGHASECVKNEFDK LMCNCKHNTYGVDCEKCLPFFNDRPWRRATAESASECLPCDCNGRSQECYFDPELYRSTG HGGHCTNCRDNTDGAKCERCRENFFRLGNTEACSPCHCSPVGSLSTQCDSYGRCSCKPGV MGDKCDRCQPGFHSLTEAGCRPCSCDPSGSTDECNVETGRCVCKDNVEGFNCERCKPGFF NLESSNPKGCTPCFCFGHSSVCTNAVGYSVYDISSTFQIDEDGWRVEQRDGSEASLEWSS DRQDIAVISDSYFPRYFIAPVKFLGNQVLSYGQNLSFSFRVDRRDTRLSAEDLVLEGAGL RVSVPLIAQGNSYPSETTVKYIFRLHEATDYPWRPALSPFEFQKLLNNLTSIKIRGTYSE RSAGYLDDVTLQSARPGPGVPATWVESCTCPVGYGGQFCETCLPGYRRETPSLGPYSPCV LCTCNGHSETCDPETGVCDCRDNTAGPHCEKCSDGYYGDSTLGTSSDCQPCPCPGGSSCA IVPKTKEVVCTHCPTGTAGKRCELCDDGYFGDPLGSNGPVRLCRPCQCNDNIDPNAVGNC NRLTGECLKCIYNTAGFYCDRCKEGFFGNPLAPNPADKCKACACNPYGTVQQQSSCNPVT GQCQCLPHVSGRDCGTCDPGYYNLQSGQGCERCDCHALGSTNGQCDIRTGQCECQPGITG QHCERCETNHFGFGPEGCKPCDCHHEGSLSLQCKEDGRCECREGFVGNRCDQCEENYFYN RSWPGCQECPACYRLVKDKVAEHRVKLQELESLIANLGTGDDMVTDQAFEDRLKEAEREV TDLLREAQEVKDVDQNLMDRLQRVNSSLHSQISRLQNIRNTIEETGILAERARSRVESTE QLIEIASRELEKAKMAAANVSITQPESTGEPNNMTLLAEEARKLAERHKQEADDIVRVAK TANETSAEAYNLLLRTLAGENQTALEIEELNRKYEQAKNISQDLEKQAARVHEEAKRAGD KAVEIYASVAQLTPVDSEALENEANKIKKEAADLDRLIDQKLKDYEDLREDMRGKEHEVK NLLEKGKAEQQTADQLLARADAAKALAEEAAKKGRSTLQEANDILNNLKDFDRRVNDNKT AAEEALRRIPAINRTIAEANEKTREAQLALGNAAADATEAKNKAHEAERIASAVQKNATS TKADAERTFGEVTDLDNEVNGMLRQLEEAENELKKKQDDADQDMMMAGMASQAAQEAELN ARKAKNSVSSLLSQLNNLLDQLGQLDTVDLNKLNEIEGSLNKAKDEMKASDLDRKVSDLE SEARKQEAAIMDYNRDIAEIIKDIHNLEDIKKTLPTGCFNTPSIEKP >ENSMUSP00000124216.1 pep:known chromosome:GRCm38:1:153228815:153239326:-1 gene:ENSMUSG00000026478.14 transcript:ENSMUST00000163011.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lamc1 description:laminin, gamma 1 [Source:MGI Symbol;Acc:MGI:99914] XFEDRLKEAEREVTDLLREAQEVKDVDQNLMDRLQRVNSSLHSQISRLQNIRNTIEETGI LAERARSRVESTEQLIEIASRELEKAKMAAANVSITQPESTGEPNNMTLLAEEARKLAER HIICF >ENSMUSP00000124662.1 pep:known chromosome:GRCm38:1:153218922:153229144:-1 gene:ENSMUSG00000026478.14 transcript:ENSMUST00000161744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamc1 description:laminin, gamma 1 [Source:MGI Symbol;Acc:MGI:99914] XIDQKLKDYEDLREDMRGKEHEVKNLLEKGKAEQQTADQLLARADAAKALAEEAAKKGRS TLQEANDILNNLKDSYLAFNTMQFCTLRSGGKKLLSDTLVLLII >ENSMUSP00000046080.2 pep:known chromosome:GRCm38:2:154008265:154016079:1 gene:ENSMUSG00000042459.8 transcript:ENSMUST00000048103.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifa2 description:BPI fold containing family A, member 2 [Source:MGI Symbol;Acc:MGI:97787] MFQLGSLVVLCGLLIGNSESLLGELGSAVNNLKILNPPSEAVPQNLNLDVELLQQATSWP LAKNSILETLNTADLGNLKSFTSLNGLLLKINNLKVLDFQAKLSSNGNGIDLTVPLAGEA SLVLPFIGKTVDISVSLDLINSLSIKTNAQTGLPEVTIGKCSSNTDKISISLLGRRLPII NSILDGVSTLLTSTLSTVLQNFLCPLLQYVLSTLNPSVLQGLLSNLLAGQVQLAL >ENSMUSP00000115384.1 pep:known chromosome:GRCm38:2:154008276:154014444:1 gene:ENSMUSG00000042459.8 transcript:ENSMUST00000145388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifa2 description:BPI fold containing family A, member 2 [Source:MGI Symbol;Acc:MGI:97787] MFQLGSLVVLCGLLIGNSESLLGELGSAVNNLKILNPPSEAVPQNLNLDVELLQQATSWP LAKNSILETLNTADLGNLKSFTSLNGLLLKINNLKVLDFQAKLSSNGNGIDLTVPLAGEA SLVLPFIGKTVDISVSLDLINSLSIKTNAQTGLPEVTIGKCSSNTDKISISLLGRRLPII NSILDGVSTLLTSTLSTVLQNFLCPLLQYVL >ENSMUSP00000145041.1 pep:known chromosome:GRCm38:6:143167221:143218497:1 gene:ENSMUSG00000030275.6 transcript:ENSMUST00000204947.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etnk1 description:ethanolamine kinase 1 [Source:MGI Symbol;Acc:MGI:1922570] MANYIHVPPGSPEVPKLDVTVQDQEEQRCRDGALSLLRHLRPHWDPREVTLQLFTDGITN KLIACYVGDTMEDVVLVRIYGNKTELLVDRDEEVKSFRVLQAHGCAPQLYCTFNNGLCYE FIQGEALDPQHVCNPAIFRLIARQLAKIHAIHAHNGWIPKSNLWLKMGKYFSLIPTGFAD ENINKRFLSEIPSPQLLQEEMTWMKELLSSLGSPVVLCHNDLLCKNIIYNEKQGDVQFID YEYSGYNYLAYDIGNHFNEFAGVSDVDYSLYPDRELQGQWLRSYLEAYKEYKGFGSDVTE KEVETLFIQVNQFALPPSQVYPVLRGCWPRVFIHGSQRLGTLQALIMSCLLGILGTELGS SATSAQMRRFCTP >ENSMUSP00000032413.4 pep:known chromosome:GRCm38:6:143167230:143208546:1 gene:ENSMUSG00000030275.6 transcript:ENSMUST00000032413.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etnk1 description:ethanolamine kinase 1 [Source:MGI Symbol;Acc:MGI:1922570] MANYIHVPPGSPEVPKLDVTVQDQEEQRCRDGALSLLRHLRPHWDPREVTLQLFTDGITN KLIACYVGDTMEDVVLVRIYGNKTELLVDRDEEVKSFRVLQAHGCAPQLYCTFNNGLCYE FIQGEALDPQHVCNPAIFRLIARQLAKIHAIHAHNGWIPKSNLWLKMGKYFSLIPTGFAD ENINKRFLSEIPSPQLLQEEMTWMKELLSSLGSPVVLCHNDLLCKNIIYNEKQGDVQFID YEYSGYNYLAYDIGNHFNEFAGVSDVDYSLYPDRELQGQWLRSYLEAYKEYKGFGSDVTE KEVETLFIQVNQFALASHFFWGLWALIQAKYSTIEFDFLGYAVVRFNQYFKMKPEVTALK MPE >ENSMUSP00000144903.1 pep:known chromosome:GRCm38:6:143167254:143232307:1 gene:ENSMUSG00000030275.6 transcript:ENSMUST00000205256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etnk1 description:ethanolamine kinase 1 [Source:MGI Symbol;Acc:MGI:1922570] MANYIHVPPGSPEVPKLDVTVQDQEEQRCRDGALSLLRHLRPHWDPREVTLQLFTDGITN KLIACYVGDTMEDVVLVRIYGNKTELLVDRDEEVKSFRVLQAHGCAPQLYCTFNNGLCYE FIQGEALDPQHVCNPAIFRLIARQLAKIHAIHAHNGWIPKSNLWLKMGKYFSLIPTGFAD ENINKRFLSEIPSPQLLQEEMTWMKELLSSLGSPVVLCHNDLLCKNIIYNEKQGDVQFID YEYSGYNYLAYDIGNHFNEFAGVSDVDYSLYPDRELQGQWLRSYLEAYKEYKGFGSDVTE KEVETLFIQVNQFALEQLCLGQGDPPACPDVSIGAS >ENSMUSP00000028984.6 pep:known chromosome:GRCm38:2:154130336:154138356:1 gene:ENSMUSG00000027482.12 transcript:ENSMUST00000028984.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifa3 description:BPI fold containing family A, member 3 [Source:MGI Symbol;Acc:MGI:1920638] MHLLWRLLVLLLGLLALPSALPKQPWPGLTKAHKDGRSTLARIIAQGLLKLNAEGRIQSM RLLDRLNVSGTVAPGMVGWLIGGMNFQQQQEISINITNVQLDCGGIQMAFPKEWFSANIT LEFDIEFKLPFNSNIIKTHACMGLTAESWLEKDEFGRRELVMGRCRMEPSSGGASMSTEE TSPKMKHFLHNLRESLGKVIPNLVESQVCPLIGEILRQLDVKLLKGLVEQVPAHNLGQP >ENSMUSP00000105368.1 pep:known chromosome:GRCm38:2:154130336:154138356:1 gene:ENSMUSG00000027482.12 transcript:ENSMUST00000109746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifa3 description:BPI fold containing family A, member 3 [Source:MGI Symbol;Acc:MGI:1920638] MHLLWRLLVLLLGLLALPSALPKQPWPGLTKAHKDGRSTLARIIAQGLLKLNAEGRIQSM RLLDRLNVSGTVAPGMVGWLIGGMNFQQQQEISINITNVQLDCGGIQMAFPKEWFSANIT LEFDIEFKLPFNSNIIKTHACMGLTAESWLEKDEFGRRELVMGRCRMEPSSGGASMSTEE TSPKMKHFLHNLRESLGKVIPNLVESQVCPLIGEILRQLDVKLLKGLVGACS >ENSMUSP00000135866.1 pep:known chromosome:GRCm38:2:154135544:154137619:1 gene:ENSMUSG00000027482.12 transcript:ENSMUST00000125753.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bpifa3 description:BPI fold containing family A, member 3 [Source:MGI Symbol;Acc:MGI:1920638] LDCGGIQMAFPKEWFSANITLEFDIEFKLPFNSNIIKTHACMGLTAESWLEKDEFGRREL VMGRCRMEPSSGGASMSTEGVLISLCNFQTLNHDTFHGCLPS >ENSMUSP00000036347.5 pep:known chromosome:GRCm38:1:153425162:153452574:1 gene:ENSMUSG00000042708.12 transcript:ENSMUST00000042373.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shcbp1l description:Shc SH2-domain binding protein 1-like [Source:MGI Symbol;Acc:MGI:1919086] MESDATTSEPKASVGSDSSPAEQTVLATLRDSVAAPTRGFMSPVRSVVASPRPVKGKAAR RRLQLPPVTQAETCDEEPVPAVPEDQEEAQPLPPIYASPMRGMWRSEKVALYCDQVLQGS KAEDAEEAMSRYLLEKLKAKDRWLGVWKSNPELFFEKYEEASIPFVGILVEVTCKPRQNL SSCFKVTVSVAEPFSSNIANIPRDLVDEVLGELEYSAPLLEVYPVDGQDADVRDIALALE VVRFFYDFLWRDWDDEENCENYTALIEERINLWCDIQDGTIPGPIAQRFKKTLEKYKNKR VELIEYQSNIKEDPSAAEAVECWKKYYEIVMLCGLLKMWEDLRLRVHGPFFPRILRRRKG KRDFGKTITHIVAKVMTTDMVKNLSSDTLLQQHNDLNLALDSCYSGDIVVIFPGEYQASN LALLTDDITIKGVGKREEIMITSEPSHDSFVVSKADNVKLMQLSLIQQGTVDGIVVVESG HLTLENCLLKCEGTGVCVLTGASLTITNSEITGAQGAGVELYPGSIAILEGNEIHHCNNL RTSDSSKSTLGGVNMKVLPAPKLKMTNNHIYNNNGYGVSILQPSEQFFIVAEAALNKGAA SGDKKDDKMLSKVMQTLNVEMNNNRIEANLKGDIRIVTG >ENSMUSP00000137625.1 pep:known chromosome:GRCm38:1:153425172:153439937:1 gene:ENSMUSG00000042708.12 transcript:ENSMUST00000136614.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Shcbp1l description:Shc SH2-domain binding protein 1-like [Source:MGI Symbol;Acc:MGI:1919086] MESDATTSEPKASVGSDSSPAEQTVLATLRDSVAAPTRGFMSPVRSVVASPRPVKGKAAR RRLQLPPVTQAETCDEEPVPAVPEDQEEAQPLPPIYASPMRGMWRSEKVALYCDQVLQGS KAEDAEEAMSRYLLEKLKAKDRWLGVWKSNPELFFEKYEEASIPFVGILVEVTCKPRQNL SSCFKVTVSVAEPFSSNIANIPRDLVDEVLGELEYSAPLLEVYPVDGQDADVRDIALALE VVRFFYDFLWRDWDDEENCENYTALIEERINLWCDIQDGTIPGPIAQRFKKTLEKYKNKR VELIEYQSNIKEDPSAAEAVECWKKYYEIVMLCGLLKMWEDLRLRVHGPFFPRILRRRKG KRDFGKTITHIVAKVMTTDMEKSFWAEGCGAACNPVPEGVERRMVNSRVSWASSCDPVEG GVPR >ENSMUSP00000028985.7 pep:known chromosome:GRCm38:2:154142880:154149219:1 gene:ENSMUSG00000027483.7 transcript:ENSMUST00000028985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifa1 description:BPI fold containing family A, member 1 [Source:MGI Symbol;Acc:MGI:1338036] MFLVGSLVVLCGLLAHSTAQLAGLPLPLGQGPPLPLNQGPPLPLNQGQLLPLAQGLPLAV SPALPSNPTDLLAGKFTDALSGGLLSGGLLGILENIPLLDVIKSGGGNSNGLVGGLLGKL TSSVPLLNNILDIKITDPQLLELGLVQSPDGHRLYVTIPLGLTLNVNMPVVGSLLQLAVK LNITAEVLAVKDNQGRIHLVLGDCTHSPGSLKISLLNGVTPVQSFLDNLTGILTKVLPEL IQGKVCPLVNGILSGLDVTLVHNIAELLIHGLQFVIKV >ENSMUSP00000028986.2 pep:known chromosome:GRCm38:2:154162607:154168450:1 gene:ENSMUSG00000027484.2 transcript:ENSMUST00000028986.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifa5 description:BPI fold containing family A, member 5 [Source:MGI Symbol;Acc:MGI:1914385] MFLAGSFIVLCGLLAQSTAQLAGLPYPLGQDLPMSMGHCRSLHVGQTLPYYGVTPVVSTY PSDHLDRNFRDAFRHGLLSGGILSFLEHIPLLNYVRPTGSNAGGLVGVLGKVISSIPLLN NILDIRVTNPQLLEIGLVQSYDFHRLYVTIPLGFDLRVNTLVVGSLLELSVKLDVTAEVY AVRDSYGRSRLVIGDCIYPPGSLRISLLNRLGPLQNLIDSLTDILTRVIPGLVQGVVCPL VNGVLSLLDVTLAHDVADALLRGVQFVIKT >ENSMUSP00000139825.1 pep:known chromosome:GRCm38:1:153455758:153487608:-1 gene:ENSMUSG00000042699.11 transcript:ENSMUST00000186380.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx9 description:DEAH (Asp-Glu-Ala-His) box polypeptide 9 [Source:MGI Symbol;Acc:MGI:108177] MGDIKNFLYAWCGKRKMTPAYEIRAVGNKNRQKFMCEVRVEGFNYAGMGNSTNKKDAQSN AARDFVNYLVRINEVKSEEVPAVGIVPPPPILSDTSDSTASAAEGLPAPMGGPLPPHLAL KAEENNSGVESSGYGSPGPTWDRGANLKDYYSRKEEQEVQATLESEEVDLNAGLHGNWTL ENAKARLNQYFQKEKIQGEYKYTQVGPDHNRSFIAEMTIYIKQLGRRIFAREHGSNKKLA AQSCALSLVRQLYHLGVIEAYSGLTKKKEGERVEPYKVFLSPDLELQLQNVVQELDLEIV PPPVDPSMPVILNIGKLAHFEPSQRQNAVGVVPWSPPQSNWNPWTSSNIDEGPLAYASTE QISMDLKNELTYQMEQDHNLQSVLQERELLPVKKFEAEILEAISSNSVVIIRGATGCGKT TQVPQYILDDFIQNDRAAECNIVVTQPRRISAVAVAERVAYERGEEPGKSCGYSVRFESI LPRPHASIMFCTVGVLLRKLEAGIRGISHVIVDEIHERDINTDFLLVVLRDVVLAYPEVR IVLMSATIDTTMFCEYFFNCPIIEVYGRTFPVQEYFLEDCIQMTQFIPPPKDKKKKDKED DGGEDDDANCNLICGDEYGPETKLSMSQLNEKETPFELIEALLKYIETLNVPGAVLVFLP GWNLIYTMQKHLENNSHFGSHRYQILPLHSQIPREEQRKVFDPVPDGVTKVILSTNIAET SITINDVVYVIDSCKQKVKLFTAHNNMTNYATVWASKTNLEQRKGRAGRVRPGFCFHLCS RARFDRLETHMTPEMFRTPLHEIALSIKLLRLGGIGQFLAKAIEPPPLDAVIEAEHTLRE LDALDANDELTPLGRILAKLPIEPRFGKMMIMGCIFYVGDAVCTISAATCFPEPFISEGK RLGYIHRNFAGNRFSDHVALLSVFQAWDDARMSGEEAEIRFCEQKRLNMATLRMTWEAKV QLKEILINSGFPEDCLLTQVFTNTGPDNNLDVVISLLAFGVYPNVCYHKEKRKILTTEGR NALIHKSSVNCPFSSQDMKYPSPFFVFGEKIRTRAISAKGMTLVTPLQLLLFASKKVQSD GQIVFIDDWIRLQISHEAAACITALRAAMEALVVEVSKQPNIISQLDPVNEHMLNTIRQI SRPSAAGINLMIGSVRYGDGPRPPKMARYDNGSGYRRGYGGGGYGGGGYGGGYGSGGFGG GFGSGGGFGGGFNSGGGGFGSGGGGFGSGGGGFGGGGGGFSGGGGGGFGGGRGGGGGGFG GSGGFGSGGGGYGVGGGGYGGGGGGGYGGGSGGYGGGGGGYGGGEGYSISPNSYRGNYGG GGGGYRGGSQGGYRNNFGGDYRGSSGDYRGSGGGYRGSGGFQRRGYGGGYFGQGRGGGGG GGY >ENSMUSP00000139806.1 pep:known chromosome:GRCm38:1:153462633:153487645:-1 gene:ENSMUSG00000042699.11 transcript:ENSMUST00000186966.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhx9 description:DEAH (Asp-Glu-Ala-His) box polypeptide 9 [Source:MGI Symbol;Acc:MGI:108177] MGDIKNFLYAWCGKRKMTPAYEIRAVGNKNRQKFMCEVRVEGFNYAGMGNSTNKKDAQSN AARDFVNYLVRINEVKSEEVPAVGIVPPPPILSDTSDSTASAAEGLPAPMGGPLPPHLAL KAEENNSGVESSGYGSPGPTWDRGANLKDYYSRKEEQEVQATLESEEVDLNAGLHGNWTL ENAKARLNQYFQKEKIQGEYKYTQVGPDHNRSFIAEMTIYIKQLGRRIFAREHGSNKKLA AQSCALSLVRQLYHLGVIEAYSGLTKKKEGERVEPYKVFLSPDLELQLQNVVQELDLEIV PPPVDPSMPVILNIGKLAHFEPSQRQNAVGVVPWSPPQSNWNPWTSSNIDEGPLAYASTE QISMDLKNELTYQMEQDHNLQSVLQERELLPVKKFEAEILEAISSNSVVIIRGATGCGKT TQVPQYILDDFIQNDRAAECNIVVTQKDQCSCSGRASCL >ENSMUSP00000140764.1 pep:known chromosome:GRCm38:1:153462639:153478139:-1 gene:ENSMUSG00000042699.11 transcript:ENSMUST00000190544.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhx9 description:DEAH (Asp-Glu-Ala-His) box polypeptide 9 [Source:MGI Symbol;Acc:MGI:108177] XTLENAKARLNQYFQKEKIQGEYKYTQVGPDHNRWRRMRLSADFKPG >ENSMUSP00000139827.1 pep:known chromosome:GRCm38:1:153464342:153487651:-1 gene:ENSMUSG00000042699.11 transcript:ENSMUST00000188345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx9 description:DEAH (Asp-Glu-Ala-His) box polypeptide 9 [Source:MGI Symbol;Acc:MGI:108177] MGDIKNFLYAWCGKRKMTPAYEIRAVGNKNRQKFMCEVRVEGFNYAGMGNSTNKKDAQSN AARDFVNYLVRINEVKSEEVPAVGIVPPPPILSDTSDSTASAAEGLPAPMGGPLPPHLAL KAEAENNSGVESSGYGSPGPTWDRGANLKDYYSRKEEQEVQATLESEEVDLNAGLHGNWT LENAKARLNQYFQKEKIQGEYKYTQVGPDHNRSFIAEMTIYIKQLGRRIFAREHGSNKKL AAQSCALSLVRQLYHLGVIEAYSGLTKKKEGERVEPYKVFLSPDLELQLQNVVQELDLEI VPPPVDPSMPVILNIGKLAHFEPSQRQNAVGVVPWSPPQSNWNPWTSSNIDEGPLAYAST EQISMDLKNELTYQMEQDHNLQSVLQERELLPVKKFEAEILEAISSNSVVIIRGATGCGK TTQVPQYILDDFIQNDRAAECNIVVTQPRRISAVAVAERVAYERGEEPGKSCGYSVRFES ILPRPHASIMFCTVGVLLRKLEAGIRGISHVIVDEIHERDINTDFLLVVLRDVVLAYPEV RIVLMSATIDTTMFCEYFFNCPIIEVYGRTFPVQEYFLEDCIQMTQFIPPPKDKKKKDKE DDGGEDDDANCNLICGDEYGPETKLSMSQLNEKETPFELIEALLKYIETLNVPGAVLVFL PGWNLIYTMQKHLENNSHFGSHRYQILPLHSQIPREEQRKVFDPVPDGVTKVILSTNIAE TSITINDVVYVIDSC >ENSMUSP00000038135.5 pep:known chromosome:GRCm38:1:153455758:153487660:-1 gene:ENSMUSG00000042699.11 transcript:ENSMUST00000042141.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx9 description:DEAH (Asp-Glu-Ala-His) box polypeptide 9 [Source:MGI Symbol;Acc:MGI:108177] MGDIKNFLYAWCGKRKMTPAYEIRAVGNKNRQKFMCEVRVEGFNYAGMGNSTNKKDAQSN AARDFVNYLVRINEVKSEEVPAVGIVPPPPILSDTSDSTASAAEGLPAPMGGPLPPHLAL KAEAENNSGVESSGYGSPGPTWDRGANLKDYYSRKEEQEVQATLESEEVDLNAGLHGNWT LENAKARLNQYFQKEKIQGEYKYTQVGPDHNRSFIAEMTIYIKQLGRRIFAREHGSNKKL AAQSCALSLVRQLYHLGVIEAYSGLTKKKEGERVEPYKVFLSPDLELQLQNVVQELDLEI VPPPVDPSMPVILNIGKLAHFEPSQRQNAVGVVPWSPPQSNWNPWTSSNIDEGPLAYAST EQISMDLKNELTYQMEQDHNLQSVLQERELLPVKKFEAEILEAISSNSVVIIRGATGCGK TTQVPQYILDDFIQNDRAAECNIVVTQPRRISAVAVAERVAYERGEEPGKSCGYSVRFES ILPRPHASIMFCTVGVLLRKLEAGIRGISHVIVDEIHERDINTDFLLVVLRDVVLAYPEV RIVLMSATIDTTMFCEYFFNCPIIEVYGRTFPVQEYFLEDCIQMTQFIPPPKDKKKKDKE DDGGEDDDANCNLICGDEYGPETKLSMSQLNEKETPFELIEALLKYIETLNVPGAVLVFL PGWNLIYTMQKHLENNSHFGSHRYQILPLHSQIPREEQRKVFDPVPDGVTKVILSTNIAE TSITINDVVYVIDSCKQKVKLFTAHNNMTNYATVWASKTNLEQRKGRAGRVRPGFCFHLC SRARFDRLETHMTPEMFRTPLHEIALSIKLLRLGGIGQFLAKAIEPPPLDAVIEAEHTLR ELDALDANDELTPLGRILAKLPIEPRFGKMMIMGCIFYVGDAVCTISAATCFPEPFISEG KRLGYIHRNFAGNRFSDHVALLSVFQAWDDARMSGEEAEIRFCEQKRLNMATLRMTWEAK VQLKEILINSGFPEDCLLTQVFTNTGPDNNLDVVISLLAFGVYPNVCYHKEKRKILTTEG RNALIHKSSVNCPFSSQDMKYPSPFFVFGEKIRTRAISAKGMTLVTPLQLLLFASKKVQS DGQIVFIDDWIRLQISHEAAACITALRAAMEALVVEVSKQPNIISQLDPVNEHMLNTIRQ ISRPSAAGINLMIGSVRYGDGPRPPKMARYDNGSGYRRGYGGGGYGGGGYGGGYGSGGFG GGFGSGGGFGGGFNSGGGGFGSGGGGFGSGGGGFGGGGGGFSGGGGGGFGGGRGGGGGGF GGSGGFGSGGGGYGVGGGGYGGGGGGGYGGGSGGYGGGGGGYGGGEGYSISPNSYRGNYG GGGGGYRGGSQGGYRNNFGGDYRGSSGDYRGSGGGYRGSGGFQRRGYGGGYFGQGRGGGG GGGY >ENSMUSP00000080501.4 pep:known chromosome:GRCm38:2:154190818:154220369:1 gene:ENSMUSG00000027485.15 transcript:ENSMUST00000081816.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb1 description:BPI fold containing family B, member 1 [Source:MGI Symbol;Acc:MGI:2137431] MAGPWIITLLCGLLGATLVQANVYPPAVLNLGPEVIQKHLTQALKDHDATAILQELPLLR AMQDKSGSIPILDSFVHTVLRYIIWMKVTSANILQLDVQPSTYDQELVVRIPLDMVAGLN TPLIKTIVEFQMSTEVQALIRVERSKSGPAHLNLSDCSSNESTLRLSLLHKLSFVVNSLA KNVMNLLVPALPQIVKNHLCPVIQQAFDDMYEDFLRLTTAPIALSPGALEFGLLSPAIQD SNILLNLKAKLLDSQARVTNWFNNSATSLMETTPDRAPFSLTVRQDLVNAIVTTLVPKEE LVILLRFVIPDVARQLQMDIKEINAEAANKLGPTQMLKIFTHSTPHIVLNEGSARAAQSV VLEVFPTNTDVRPFFSLGIEASYEAQFFTEDNRLMLNFNNVSIERIKLMISDIKLFDPEV LKDTLTKILEYTLLPNENGKLRTGVPMSMSKALGYEKAMWSVSKGALKLTPASS >ENSMUSP00000028987.6 pep:known chromosome:GRCm38:2:154200379:154220343:1 gene:ENSMUSG00000027485.15 transcript:ENSMUST00000028987.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb1 description:BPI fold containing family B, member 1 [Source:MGI Symbol;Acc:MGI:2137431] MAGPWIITLLCGLLGATLVQANVYPPAVLNLGPEVIQKHLTQALKDHDATAILQELPLLR AMQDKSGSIPILDSFVHTVLRYIIWMKVTSANILQLDVQPSTYDQELVVRIPLDMVAGLN TPLIKTIVEFQMSTEVQALIRVERSKSGPAHLNLSDCSSNESTLRLSLLHKLSFVVNSLA KNVMNLLVPALPQIVKNHLCPVIQQAFDDMYEDFLRLTTAPIALSPGALEFGLLSPAIQD SNILLNLKAKLLDSQARVTNWFNNSATSLMETTPDRAPFSLTVRQDLVNAIVTTLVPKEE LVILLRFVIPDVARQLQMDIKEINAEAANKLGPTQMLKIFTHSTPHIVLNEGSARAAQSV VLEVFPTNTDVRPFFSLGIEASYEAQFFTEDNRLMLNFNNVSIERIKLMISDIKLFDPEV LKDTLTKILEYTLLPNENGKLRTGVPMSMSKALGYEKAMWSVSKGALKLTPASS >ENSMUSP00000037454.7 pep:known chromosome:GRCm38:1:153503015:153550045:-1 gene:ENSMUSG00000042684.8 transcript:ENSMUST00000041874.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npl description:N-acetylneuraminate pyruvate lyase [Source:MGI Symbol;Acc:MGI:1921341] MAFPKKKLRGLVAATITPMTENGEINFPVIGQYVDYLVKEQGVKNIFVNGTTGEGLSLSV SERRQVAEEWVNQGRNKLDQVVIHVGALNVKESQELAQHAAEIGADGIAVIAPFFFKSQN KDALISFLREVAAAAPTLPFYYYHMPSMTGVKIRAEELLDGIQDKIPTFQGLKFTDTDLL DFGQCVDQNHQRQFALLFGVDEQLLSALVMGATGAVGSTYNYLGKKTNQMLEAFEQKDLA SALSYQFRIQRFINYVIKLGFGVSQTKAIMTLVSGIPMGPPRLPLQKATQEFTAKAEAKL KSLDFLSSPSVKEGKPLASA >ENSMUSP00000137353.1 pep:known scaffold:GRCm38:JH584294.1:102539:104139:-1 gene:ENSMUSG00000094661.1 transcript:ENSMUST00000118540.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl19 description:chemokine (C-C motif) ligand 19 [Source:MGI Symbol;Acc:MGI:1346316] MAPRVTPLLAFSLLVLWTFPAPTLGGANDAEDCCLSVTQRPIPGNIVKAFRYLLNEDGCR VPAVVFTTLRGYQLCAPPDQPWVDRIIRRLKKSSAKNKGNSTRRSPVS >ENSMUSP00000065819.8 pep:known chromosome:GRCm38:14:13949014:13961225:-1 gene:ENSMUSG00000053453.8 transcript:ENSMUST00000065865.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc7 description:THO complex 7 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1913481] MGAVTDDEVIRKRLLIDGDGAGDDRRINLLVKSFIKWCNSGSQEEGYSQYQRMLSTLSQC EFSMGKTLLVYDMNLREMENYEKIYKEIECSIAGAHEKIAECKKQILQAKRIRKNRQEYD ALAKVIQHHPDRHETLKELEALGKELEHLSHIKESVEDKLELRRKQFHVLLSTIHELQQT LENDDKLSEVDEAQESTMEADPKP >ENSMUSP00000135923.1 pep:novel scaffold:GRCm38:JH584299.1:314841:317584:1 gene:ENSMUSG00000094791.1 transcript:ENSMUST00000179677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC126035.1 MSHQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRHTNLLKAMVAAWPFPCLPV GPLMKKPNLETLQALLDGIDMRLTREFHPRCLMTPLETLSITQCLISQRDFDLFSCSQNL FKLKHLEIRGMILYALDLMPLRVLLEKVADTLEILDFQWCRMKDSQINALLPALSQCTLL NQINFYNNDFSMCTLKALFQHTAKWSKMNVEQYPAPLQCYSELGHVSVERFVQLCQELMY TLRAIRQPKSISFATDNCQKCGKPCVYDQGPILCSCFQ >ENSMUSP00000129305.1 pep:known chromosome:GRCm38:15:3280627:3303526:-1 gene:ENSMUSG00000091119.1 transcript:ENSMUST00000165386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc152 description:coiled-coil domain containing 152 [Source:MGI Symbol;Acc:MGI:3641617] MDQNSEECTKKIRTVNLDKLIDDFSQIEKKMIETAGKNNLLDIQLEKTNSLLKIMQTKET SMKEECIILHTMIKGLQQTVQYQHNLKDENEQLKRNVDFMKEKLKSHEQEYKNKIAKLIS EMKIKEEEHKTEMSKLYLDMQKKVELNEEKHKELMARKEMEISELNAKLRTQEKEKQNEI LRLHLEFDTKLARAQTKSKSYPDTTILLQSIYRRKLQHLQEEKSKEIASLQNTIRDLEQR LAACKDTRLMRRRF >ENSMUSP00000035291.6 pep:known chromosome:GRCm38:17:3519263:3557713:-1 gene:ENSMUSG00000036983.6 transcript:ENSMUST00000041003.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfb1m description:transcription factor B1, mitochondrial [Source:MGI Symbol;Acc:MGI:2146851] MAASGKLGTFRLPPLPTIREIIKLFGLRAVKQLSQNFLLDLRLTDKIVRKAGSLADVYVY EVGPGPGGITRSILNANVAELLVVEKDTRFIPGLQMLSDAAPGKLRIVHGDVLTYKIEKA FPGNIRRQWEDDPPNVHIIGNLPFSVSTPLIIKWLENISLKDGPFVYGRTKMTLTFQKEV AERLVATTGSKQHSRLSIMAQYLCNVEHLFTIPGKAFVPKPKVDVGVVHLTPLIEPKIKQ PFKLVEKVVQNAFQFRRKYCHRGLGMLFPEAQRLESTGRLLQLADIDPTLRPTHLSLMHF KSLCDVYRKMCDEDPQLFTYNFREELKQKKSKGQEKDGDPESCGF >ENSMUSP00000022353.3 pep:known chromosome:GRCm38:14:20482864:20496901:-1 gene:ENSMUSG00000021815.3 transcript:ENSMUST00000022353.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mss51 description:MSS51 mitochondrial translational activator [Source:MGI Symbol;Acc:MGI:1922093] MAPRSRRRKHKKPPPVIPMIEIPPTEVSPVSPALSKPGPSIDALGFISLDNNVPGLSQLI LQKLNMKNYEEYKLVINGGTPVSSFGFRCQQEMFQKMEDTFRFCAYCKVLPHGLSNCKVL RHCKRCRNVYYCDTECQRSDWPAHRKVCRELRLVAVDRVMEWLLVTGDFVLPSGPWPWLP EDIQNWDTWFSMRGLQLESTLNALLGSHSMTMLWASLGRPRPDPDVLHGSLKRLMTDVLS RPLTLGLGLRTVAIDVGKTGGSTLHVVGASHVETFLIRSGDYDELGYMFPEHLGFHVIMV GVDVATDLLQSSSSLSLEPGTIQLSGHRALYHDFWEEQIETGILAHPDLVAAFHPGFHAS PGLMEAWLPTLLLLRDYEIPTLITVYSQQELEASLQILVNLDTHIIACGANPFASLKPEQ VYSNPNKQPVYSSAYYIMFLGSSPAN >ENSMUSP00000055969.8 pep:known chromosome:GRCm38:16:13537964:13668170:-1 gene:ENSMUSG00000022685.8 transcript:ENSMUST00000058884.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parn description:poly(A)-specific ribonuclease (deadenylation nuclease) [Source:MGI Symbol;Acc:MGI:1921358] MEIIRSNFKINLHKVYQAIEEADFFAIDGEFSGISNGPSVTALTSGFDTPEERYQKLKKH SMDFLLFQFGLCAFKYDHTDSKHVTKSFNFYVFPKPFSRSSPDVKFVCQSSSIDFLASQG FDFNKVFCSGIPYLNQEEERQLREQFDEKRSQANGAGALAKCPVTIPEDQKKFIDQVIEK IEDFLQSEEKRSLELDPCTGFQRKLIYQTLSWKYPKGIHVETLETDKKERHIVISKVDEE ERKRREQEKYTKEQEELNDAVGFSRVIHAIANSGKLVVGHNMLLDVMHTIHQFYCPLPAD LNEFKEMAICVFPRLLDTKLMASTQPFKDIINNTSLAELEKRLKETPFDPPKVESAEGFP SYDTASEQLHEAGYDAYITGLCFISMANYLGSLLSPPKMCVSARSKLIEPFFNKLFLMRV MDIPYLNLEGPDLQPKRDHVLHVTFPKEWKTSDLYQLFSAFGNIQISWIDDTSAFVSLSQ PEQVQIAVNTSKYAESYRIQTYAEYVGKKQKGKQVKRKWTEDSWKEVDRKRPHMQGPCYH SNSFTAAGMLGKRTLSPDPREAALEDRESEEVSDSELEQTDSCTDPLPEGRKKSKKLKRM KKELSLAGSVSDSPAVLFEVPDTW >ENSMUSP00000095031.5 pep:known chromosome:GRCm38:17:8128610:8148097:-1 gene:ENSMUSG00000095687.1 transcript:ENSMUST00000097420.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaset2a description:ribonuclease T2A [Source:MGI Symbol;Acc:MGI:1915445] MAPAEARGALPGWISVLGWGLALCSLCGAGPLWSGSHEWKKLILTQHWPPTVCKEVNSCQ DSLDYWTIHGLWPDRAEDCNQSWHFNLDEIKDLLRDMKIYWPDVIHRSSNRSQFWKHEWV KHGTCAAQVDALNSEKKYFGKSLDLYKQIDLNSVLQKFGIKPSINYYQLADFKDALTRIY GVVPKIQCLMPEQGESVQTVGQIELCFTKEDLHLRNCTEPGEQLSSRQEAWLAMEASTHG MMVCEDGPIFYPPPTKTQH >ENSMUSP00000075960.6 pep:known chromosome:GRCm38:17:21491256:21509764:1 gene:ENSMUSG00000057409.6 transcript:ENSMUST00000076664.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp53 description:zinc finger protein 53 [Source:MGI Symbol;Acc:MGI:99200] MEQNKETWKMERQEAVAKDPGPQASYSLFFKEKIKEETQKEMAGSPLIMSQRLLTFGDVA VEFPQEEWECLDSAQRALYIDVMLENYSNLVSVENYCISDTVHQHVKTEKESCQGNELGE MLHEPTNCALYIRRDTIETSNNYRCSKDRDGSVDLLNQDRHKSTHTGEELCKSEDCEKSL SLSSNLTENQRLYAANKLQSQGEYDDDFSSVYSLMQQTIYIGDTPHQCEKCRKCFSTASS LTVHKRIHTGKKPYKCSVCGKSFTQCTNLKTHQRLHTGEKPYKCKECGKSFPQLSALKSH QKIHTGERPYKCKECDKSFAHCSSFRRHQKTHRAEEHCSCPECGKVLHQLSHLRSHYRLH TGEKPYKCNECDRSFTYYASYRRHQKTHSLDKFYKCKECGKSFLELSHLKRHYRIHTGEK PYKCEVCDKSFTVNSTLKTHLKIHTGEKPFKCRECDKSFTKCSHLRRHQSVHTGEKPYRC KECDKSFTECSTLRAHQKIHTGEKPYKCRECDKSFIQRSNLIIHQRVHTGERPYICKECG KSFTKCSTLQIHQKIHTGEKPYKCMECNKSFTQDSHLRTHQRVHTGERPYICKECGKSFT RCSYLRAHQKIHTGEKPYKCKDCDISFIQISNLRRHQRVHTGEKNTIATIVT >ENSMUSP00000131206.1 pep:known chromosome:GRCm38:18:35771602:35807163:1 gene:ENSMUSG00000091896.7 transcript:ENSMUST00000167406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d2a description:ubiquitin-conjugating enzyme E2D 2A [Source:MGI Symbol;Acc:MGI:1930715] MALKRIHKELNDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV PEIARIYKTDREKYNRIAREWTQKYAM >ENSMUSP00000132446.1 pep:known chromosome:GRCm38:18:35771559:35807172:1 gene:ENSMUSG00000091896.7 transcript:ENSMUST00000170693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d2a description:ubiquitin-conjugating enzyme E2D 2A [Source:MGI Symbol;Acc:MGI:1930715] MALKRIHKELNDLARDPPAQCSAGPVGDDMFHWQATIMGPNDSPYQGGVFFLTIHFPTDY PFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLV PEIARIYKTDREKYNRIAREWTQKYAM >ENSMUSP00000053808.8 pep:known chromosome:GRCm38:17:23660523:23668610:1 gene:ENSMUSG00000043782.8 transcript:ENSMUST00000062967.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc64b description:coiled-coil domain containing 64B [Source:MGI Symbol;Acc:MGI:2388267] MDSPGEPSFPSGLLSGGASPSGDEGFFPFVLERRDSFLGGGPGPEEPEDLALQLQQKEKD LLLAAELGKMLLERNEELRRQLETLNTQHLEHEERLQQENHELRRGLAARGAEWEARAVE LEGDVEALRAQLGEQRSERQDSGRERARALGELSEQNLRLSQQLAQASRTEQELQRELDT LRERCQTQALAGAELGARLESLQAENQMLQDRRQDLEAQIRGLREEVDKGQNRLQTTHEE LLLLRRERKEHKLELERARFEAGEALRTLRGLQRRVSELEEESRLQDTEISGASLQTELA HSLDSDQDQDQQVNECGGSQAILSPETQETSSPQPSIQEEILEPPKKRASLSPVEILEEK EAEVARLQDEITLHRTELQTLRDELQRQKELRAQDNPEEALSSALSDRDEAVNKAMKLSL ELSRVSLERDSLSRELLRAIRQKVALTQELEAWQDDMQVVIGQQLRSQRQKELSEAAAAP RRAKTRFSLRLGSGQSGGFLSNLFRRT >ENSMUSP00000024993.7 pep:known chromosome:GRCm38:17:25318654:25322681:1 gene:ENSMUSG00000034039.7 transcript:ENSMUST00000024993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss29 description:protease, serine 29 [Source:MGI Symbol;Acc:MGI:2149952] MLIQLCLTLFFLGCSIAGTPAPGPEGVLMGIVGGHSAPQGKWPWQVSLRIYRYYWAFWVH NCGGSIIHPQWVLTAAHCIRERDADPSVFRIRVGEAYLYGGKELLSVSRVIIHPDFVHAG LGSDVALLQLAVSVQSFPNVKPVKLPSESLEVTKKDVCWVTGWGAVSTHRSLPPPYRLQQ VQVKIIDNSLCEEMYHNATRHRNRGQKLILKDMLCAGNQGQDSCYGDSGGPLVCNVTGSW TLVGVVSWGYGCALRDFPGVYARVQSFLPWITQQMQRFS >ENSMUSP00000042466.5 pep:known chromosome:GRCm38:10:34038784:34044313:-1 gene:ENSMUSG00000039508.5 transcript:ENSMUST00000048052.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam26d description:family with sequence similarity 26, member D [Source:MGI Symbol;Acc:MGI:2685489] MSPDLNCISSSLLRSEPCINSLIAILTVCGQQLFSSYTFSCPCQVGKNFYYGSAFLVVPA LILLIAGYALRGQMWTVASEYCCCSCTPPYRRSSPLERRLACLMFFDITGRALVAPLTWL TVTLLTGTYYECAASEFASVDQYPMFANVTPSKREEMLAGFPCYTSAPSDVIPIRDEVAL LHRYQSQMLGWILVVLATIALLLSKCLARCCSPLTSLQHHYWTNHLHNERVLFEKAAEEH SQLLIRHRIKKVFGFVPGSEDIKHIRIPSCQDWREISVPNLLCVGDTSQGPYSFLGDRVV EENEEDRQEGIEMKP >ENSMUSP00000003981.4 pep:known chromosome:GRCm38:15:9506161:9529876:-1 gene:ENSMUSG00000003882.4 transcript:ENSMUST00000003981.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il7r description:interleukin 7 receptor [Source:MGI Symbol;Acc:MGI:96562] MMALGRAFAIVFCLIQAVSGESGNAQDGDLEDADADDHSFWCHSQLEVDGSQHLLTCAFN DSDINTANLEFQICGALLRVKCLTLNKLQDIYFIKTSEFLLIGSSNICVKLGQKNLTCKN MAINTIVKAEAPSDLKVVYRKEANDFLVTFNAPHLKKKYLKKVKHDVAYRPARGESNWTH VSLFHTRTTIPQRKLRPKAMYEIKVRSIPHNDYFKGFWSEWSPSSTFETPEPKNQGGWDP VLPSVTILSLFSVFLLVILAHVLWKKRIKPVVWPSLPDHKKTLEQLCKKPKTSLNVSFNP ESFLDCQIHEVKGVEARDEVESFLPNDLPAQPEELETQGHRAAVHSANRSPETSVSPPET VRRESPLRCLARNLSTCNAPPLLSSRSPDYRDGDRNRPPVYQDLLPNSGNTNVPVPVPQP LPFQSGILIPVSQRQPISTSSVLNQEEAYVTMSSFYQNK >ENSMUSP00000048914.6 pep:known chromosome:GRCm38:18:21127201:21300138:-1 gene:ENSMUSG00000042680.7 transcript:ENSMUST00000049260.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Garem description:GRB2 associated, regulator of MAPK1 [Source:MGI Symbol;Acc:MGI:2685790] MDPAPSLGCSLKDVKWSPVAMPLDLLVSTYRLPQIARLDSGECVEGLRENDFLLIHSCRQ WTTITAHSLEEGHYVIGPKIEIPVHYAGQFKLLEQDRDIKEPVQYFNSVEEVAKAFPERV YVMEEITFNVKVASGECNEDTEVYNITLCTGDELTLMGQAEILYAKTFKEKSRLNTIFKK IGKLNSISKLGKGKMPCLICMNHRTNESISLPFQCKGRFSTRSPLELQMQEGEHTIRNIV EKTRLPVNVTVPSPPPRNPYDLHFIREGHRYKFVNIQTKTVVVCCVLRNNKILPMHFPLH LTVPKFSLPEHQVKGDMWPETLVHHWLGICQEQFDIDEYSRAVRDVKTDWNEDCKSPKKG RCSGHNHLPNSLSYARDELTQSFHRLSVCVYGNNLHGNSEVNLHGCRDLGGEWAPFPHDI LPYQDSGDSGSDYLFPEANEESAGIPGKTEVPYEELWLEEGKPSRQPLTRSLSEKSRCDT LRGSTRSTCAPSSPPTPATLGATIKSSEIALPPPPVPPKSEAVREECRLLNAPPVPPRSA KPLSTSPSIPPRTVKPVRPQTRSPSPTLSYYSSGLHNIITQSDTSPPNSAPVSCYPCTRV KSDSVDPKSPFGSPSAEALSSRLSWPNHYSGASENQTRSDFLLDPSRSYSYPRQKTPGTP KRTCPAPFDFEGCELLGSPPSTTSAEFSSSGVPSCPKSASYCLENSEDNSFAAGMTKQSV SCPALPPRAPKPVEQKATPETSPLPLKIDGAEEDPTAGSLDLSEDQYFVRKGMQDIFSVS YPFSSPLHLQLAPRSCGDGSPWQPPADLSGLSIEEVSKSLRFIGLSEDVIAFFVTEKIDG NLLVQLTEEILSEDFKLSKLQVKKILQFINGWRPKI >ENSMUSP00000039544.3 pep:known chromosome:GRCm38:8:13907806:13940521:1 gene:ENSMUSG00000038365.7 transcript:ENSMUST00000043520.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo25 description:F-box protein 25 [Source:MGI Symbol;Acc:MGI:1914072] MPFLGQDWRSPGWSWIKTEDGWKRCDPCSHELRSEDSQYTINHSIILNSGEEEIFNNECE YAAKKRKKEHFGNDTAAHSFYREKWIYVHKESTKERHGYCTLGEAFNRLDFSSAIQDIRR FTYVVKLLQLIAKSQLTSLSGVAQKNYFNILDKIVQKVLDDHQNPRLIKGLLQDLSSTLG ILVRGVGKSVLVGNINIWICRLETVLSWQQQLQNLQVTKQVNTGLTLSDLPLHMLNNILY RFSDGWDIVTLGQVTPTLYMLSEDRRLWKRLCQYHFAEQQFCRHLILSEKGHIEWKLMYF TLQKYYPTKEQYGDTLHFCRHCSILFWKDSGHPCTAADPDSCFTPVSPEHFIDLFKF >ENSMUSP00000039660.5 pep:known chromosome:GRCm38:18:9212856:9216201:1 gene:ENSMUSG00000036904.5 transcript:ENSMUST00000041080.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd8 description:frizzled class receptor 8 [Source:MGI Symbol;Acc:MGI:108460] MEWGYLLEVTSLLAALAVLQRSSGAAAASAKELACQEITVPLCKGIGYNYTYMPNQFNHD TQDEAGLEVHQFWPLVEIQCSPDLKFFLCSMYTPICLEDYKKPLPPCRSVCERAKAGCAP LMRQYGFAWPDRMRCDRLPEQGNPDTLCMDYNRTDLTTAAPSPPRRLPPPPPPGEQPPSG SGHSRPPGARPPHRGGSSRGSGDAAAAPPSRGGKARPPGGGAAPCEPGCQCRAPMVSVSS ERHPLYNRVKTGQIANCALPCHNPFFSQDERAFTVFWIGLWSVLCFVSTFATVSTFLIDM ERFKYPERPIIFLSACYLFVSVGYLVRLVAGHEKVACSGGAPGAGGAGGAGGAAAAGAGA AGAGASSPGARGEYEELGAVEQHVRYETTGPALCTVVFLLVYFFGMASSIWWVILSLTWF LAAGMKWGNEAIAGYSQYFHLAAWLVPSVKSIAVLALSSVDGDPVAGICYVGNQSLDNLR GFVLAPLVIYLFIGTMFLLAGFVSLFRIRSVIKQQGGPTKTHKLEKLMIRLGLFTVLYTV PAAVVVACLFYEQHNRPRWEATHNCPCLRDLQPDQARRPDYAVFMLKYFMCLVVGITSGV WVWSGKTLESWRALCTRCCWASKGAAVGAGAGGSGPGGSGPGPGGGGGHGGGGGSLYSDV STGLTWRSGTASSVSYPKQMPLSQV >ENSMUSP00000033917.6 pep:known chromosome:GRCm38:8:54600781:54610098:1 gene:ENSMUSG00000031518.6 transcript:ENSMUST00000033917.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata4 description:spermatogenesis associated 4 [Source:MGI Symbol;Acc:MGI:1916531] MAAAGQAEECLPLPAAESSKTSLPTPPAVPAGKKPKKCLVYPHPPRSSRLSRSVLRWLQG LDLSFFPRNVTRDFSNGYLVAEIFCIYYPWDLRLSSFENGTSLKVKLDNWAQIEKFLAKK KFKLPKELIHGTIHCKAGVPEILIQEIYTLLTHQEIRSIQDDLANFTDYIYQMRLPLVPR NTVSKSIKNNIRLSELLSNPNVLSNELKIEFLILLQMLQRKLSRKLNPGWFDVKPTVGEI TIDRLPAHSYKRRYKSRGSKEKAAQPLSKSDNDGNARKEIHVKQSGNPCENTENL >ENSMUSP00000041061.2 pep:known chromosome:GRCm38:18:13006990:13022882:1 gene:ENSMUSG00000037346.4 transcript:ENSMUST00000041676.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrh4 description:histamine receptor H4 [Source:MGI Symbol;Acc:MGI:2429635] MSESNSTGILPPAAQVPLAFLMSSFAFAIMVGNAVVILAFVVDRNLRHRSNYFFLNLAIS DFLVGLISIPLYIPHVLFNWNFGSGICMFWLITDYLLCTASVYNIVLISYDRYQSVSNAV SYRAQHTGIMKIVAQMVAVWILAFLVNGPMILASDSWKNSTNTKDCEPGFVTEWYILTIT MLLEFLLPVISVAYFNVQIYWSLWKRRALSRCPSHAGFSTTSSSASGHLHRAGVACRTSN PGLKESAASRHSESPRRKSSILVSLRTHMNSSITAFKVGSFWRSESAALRQREYAELLRG RKLARSLAILLSAFAICWAPYCLFTIVLSTYPRTERPKSVWYSIAFWLQWFNSFVNPFLY PLCHRRFQKAFWKILCVTKQPALSQNQSVSS >ENSMUSP00000025295.6 pep:known chromosome:GRCm38:18:38586268:38601268:-1 gene:ENSMUSG00000024427.6 transcript:ENSMUST00000025295.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spry4 description:sprouty homolog 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1345144] MEPPVPQSSVPVNPSSVMVQPLLDSRAPHSRLQHPLTILPIDQMKTSHVENDYIDNPSLA PATGPKRPRGGPPELAPTPARCDQDITHHWISFSGRPSSVSSSSSTSSDQRLLDHMAPPP VAEQASPRAVRLQPKVVHCKPLDLKGPTAPPELDKHFLLCEACGKCKCKECASPRTLPSC WVCNQECLCSAQTLVNYGTCMCLVQGIFYHCTNEDDEGSCADHPCSCSGSNCCARWSFMG ALSVVLPCLLCYLPATGCVKLAQRGYDRLRRPGCRCKHTNSVICKAASGDTKTSRSDKPF >ENSMUSP00000054595.4 pep:known chromosome:GRCm38:17:23575852:23599487:-1 gene:ENSMUSG00000062012.13 transcript:ENSMUST00000057029.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp13 description:zinc finger protein 13 [Source:MGI Symbol;Acc:MGI:99159] MSADSQSIAATENEEKSHEVPGNVQHCGDMLSGQEETVPLGTSQESTHIKAEPEEPHSEG ASREDRTPGTQRWMSPCPGPKDKVVPSPWTPVLSRGGRTRERKMAAALLTAWSQMPVTFE DVALYLSQEEWGRLDHTQQNFYRDVLQGKNGLALGAVEMGKVVPALAVATLEDTKSIRTR ARWAPGEDPKCGQHVASGPGTKLTRDTGKAGQLKPAPSESRPLKTPEDSGPEKPSEGEEA LKSGEEGLVPDGDTGKKTYKCEQCGKGFSWHSHLVTHRRTHTGEKPYTCTDCGKRFGRSS HLIQHQIIHTGEKPYTCPSCWKSFSHHSTLIQHQRIHTGEKPYVCDRCAKRFTRRSDLVT HQGTHTGAKPHKCPICSKCFTQSSALVTHQRTHTGVKPYPCPECGKCFSQRSNLIAHNRT HTGEKPYHCLDCGKSFSHSSHLTAHQRTHRGVRPYSCPLCGKSFSRRSNLHRHEKIHTTG PKALAMLMLGAAAAGALTAPPPAST >ENSMUSP00000111178.3 pep:known chromosome:GRCm38:17:23575845:23586232:-1 gene:ENSMUSG00000062012.13 transcript:ENSMUST00000115516.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp13 description:zinc finger protein 13 [Source:MGI Symbol;Acc:MGI:99159] MSADSQSIAATENEEKSHEVPGNVQHCGDMLSGQEETVPLGTSQESTHIKAEPEEPHSEG ASREDRTPGTQRWMSPCPGPKDKGPFLPGGVVPSPWTPVLSRGGRTRERKMAAALLTAWS QMPVTFEDVALYLSQEEWGRLDHTQQNFYRDVLQGKNGLALGAVEMGKVVPALAVATLED TKSIRTRARWAPGEDPKCGQHVASGPGTKLTRDTGKAGQLKPAPSESRPLKTPEDSGPEK PSEGEEALKSGEEGLVPDGDTGKKTYKCEQCGKGFSWHSHLVTHRRTHTGEKPYTCTDCG KRFGRSSHLIQHQIIHTGEKPYTCPSCWKSFSHHSTLIQHQRIHTGEKPYVCDRCAKRFT RRSDLVTHQGTHTGAKPHKCPICSKCFTQSSALVTHQRTHTGVKPYPCPECGKCFSQRSN LIAHNRTHTGEKPYHCLDCGKSFSHSSHLTAHQRTHRGVRPYSCPLCGKSFSRRSNLHRH EKIHTTGPKALAMLMLGAAAAGALTAPPPAST >ENSMUSP00000129516.2 pep:known chromosome:GRCm38:14:7640895:7643972:-1 gene:ENSMUSG00000063277.7 transcript:ENSMUST00000171360.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10128 description:predicted gene 10128 [Source:MGI Symbol;Acc:MGI:3704427] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSKMTKKR SKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNTSVC >ENSMUSP00000108288.3 pep:known chromosome:GRCm38:14:12284209:12303231:1 gene:ENSMUSG00000033111.15 transcript:ENSMUST00000112669.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3830406C13Rik description:RIKEN cDNA 3830406C13 gene [Source:MGI Symbol;Acc:MGI:1917937] MASLFTQEIHLSKRHEEILSQRLMLLQKMKNKFGDENTERASLLQATETASRRNLRLLKD IDAAEEAFQTKLIPHPQPSMLSLEGNKKKQ >ENSMUSP00000126960.1 pep:known chromosome:GRCm38:14:12284209:12303231:1 gene:ENSMUSG00000033111.15 transcript:ENSMUST00000163392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3830406C13Rik description:RIKEN cDNA 3830406C13 gene [Source:MGI Symbol;Acc:MGI:1917937] MASLFTQEIHLSKRHEEILSQRLMLLQKMKNKFGDENTERASLLQATETASRRNLRLLKD IDAAEEAFQTKLIPHPQPSMLSLETRYWASVEEHIPKWELFLLGRAPYPIGAENQNEVPF VQTEAKL >ENSMUSP00000078436.2 pep:known chromosome:GRCm38:8:60506124:60545677:1 gene:ENSMUSG00000057228.5 transcript:ENSMUST00000079472.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aadat description:aminoadipate aminotransferase [Source:MGI Symbol;Acc:MGI:1345167] MNYSRFLTATSLARKPSPIRTTADILSKAPKTLISLAPGSPNPSMFPFKSAAFTVENGST IRFEDDLIKRALQYSPSYGIPELLSWLKQFQVKLHNPPTVNYPPNQGQMDLCITSGCQDG LCKAFEMLINPGDTILVNEPLFPGTLYAMKPLGCNIINVPSDEHGIIPEGLKKILSQWKP EDSKDPTKKTPKFLYTVPNGNNPTGNSLTGDRKKEIYELARKYDFLIIEDDPYYFLQFSK PWEPTFLSMDVDGRVIRADTFSKTVSSGLRVGFMTGPKTLIQNIVLHTQVSSVHACTLSQ LMILQLLHQWGEEGFLAHIDRTIDFYKNQRDSILAAADKWLRGLAEWHVPKAGMFLWIKV KGISDTKQLIEEKAIEREVLLVPGNGFFIDGSAPTSFFRASFSLATPAQMDTAFQRLAQL IKESL >ENSMUSP00000025358.2 pep:known chromosome:GRCm38:18:46850039:46905446:1 gene:ENSMUSG00000024481.4 transcript:ENSMUST00000025358.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lvrn description:laeverin [Source:MGI Symbol;Acc:MGI:1921824] MSRPFSSGVYVSRGVALLLAALTAVLLLVLVALASLYGSCAHVQPSEQGNSRVKNTSLWP PGGQEWALPTPAQEPTVGTSQDLGPPSGPWDHLRLPPWLVPLHYDLELWPWLQPDKLSPP NLTFTGRVNITVRCTVASSRLLLHSFLLNYKQVEVWGPLAQDTRNATVGRVQVEKVWFAP DMQFVVLDLGQSLEPGSRYELSFHFSGQVLQVGLEGLFLNLYHDEDELRALVATQMEPTF ARHVFPCFDEPALKATFNITVIHHPGYAALSNMPQLGQSERIDVNGSRWTVTTFHTTPRM PTYLVALVVCDLDHISRTERGKEIRVWARKDDIASGYLDFAANITGPIFSFLEDLFNISY RLPKTDIVALPIFASGAMENWGLLIFDESSLLLEPEDELTEKRAMILSIIAHEVGHQWFG NLVTMSWWNNIWLNEGFASYFELELTNYFYPKVPMNMIFFFTVLHGILGEDHALESRAVS TAVENFTETSEINRLFDLYTYKKGACMAWMLASFLSPHLFINALKSYLETFSYSNAEQDD LWRHIQMAIGEQNVTHLPATVKGIMDSWTHRGGFPIITVNVSTGVVKQEPFYLDKVENQT LLSHNSTWIVPIFWMKNGIPQPLVWLDKSNMMFPGMKLSDSDSDWVILNFNVTGYYRVNY DELGWKKLSEQLEKDPKAIPVINRLQLVSDAFALTKNNYIEIETALDLTKYIAEEDEILV WFEVLLNLINREVIYDVNNYALYPLLKKYLLKRFNSIWNTYSAIIRENVASLQDNYLSLF SLERIFETACWLGLEDCLQLSRELFKKWTAHPETAIPPQIENAVLCSAVALGSDKDWDFL FNLYINTTEEEDESRRRRLVHAMSCSKDPWILNRFMEYAITAVSSNFSETNIIVVVATSE VGRYIAKDFLMDNWVAVTERYGMDWLDALVPVLGRTVSTDQQVTELQHFFSTVLEEHQRV VVQAKLQAMKTKNLQNKKRIARVVEWLRKNT >ENSMUSP00000093021.4 pep:known chromosome:GRCm38:8:27344394:27349188:1 gene:ENSMUSG00000071138.4 transcript:ENSMUST00000095375.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex24 description:testis expressed gene 24 [Source:MGI Symbol;Acc:MGI:1921539] MGSQSLNSTFLKVRRLSLISSNERLLGQTSGLATGSRLVTQEVDDLRVIPGSRPDLDDHQ PQCSLAELPSTAHGKRKPGHLPRLRSSAVKGHAPDPNPSLSIVSKRIFKGESVIKGPEDR QTFVGPSGLPKISPKATAGEAQGKKRTMELLNKARKQEEKVSNLLDIRQLPKQEVFINNT HPCKKHLKQQPMSLEEWRRGHLGGDNTGLISQEPFRCCKRLGKKAQCQLLEVTSLEAEAS LEVLKRRRRMQAMEMSKKPQDRGLGQEKAVFLSREKVKPSSHDMHLSTAERSFKPKSMPK AEDWDLSVQGTPVVLTVRDHSNVSQAQKHLGCAEIFHSRDGRCTLLKRGGA >ENSMUSP00000087770.4 pep:known chromosome:GRCm38:16:14109166:14159274:-1 gene:ENSMUSG00000060657.7 transcript:ENSMUST00000090300.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marf1 description:meiosis arrest female 1 [Source:MGI Symbol;Acc:MGI:2444505] MEGKGTENPCSRTLGWFHQDNDAKPWLWKFSGCFSRPEQTLPLSSQTKEYMENKKAAVEL KDIPSPLHVGSKFFPAVPLPDIRSLQQPKVQLSAIPKVSCCAHCPNEPSTSPMRFGGGSG SSGGSGSLIHTGSLLDSPSTGTVTCQVGSGFAFQSVSSLQNASTRNNLVGLSSDFPSMCV ESNLPSCKHLSCCGKLHFQSCHSNVHKLHQFQNLQGCASAGYFPCSDFPSGAPGHLEERL SHSELTPHLCTNSLHLNVAPPVCLKGSLYCEDCLNKPARNSIIDAAKIWPNIPPPSTQPA PPAIPVCNGCGTKGMEKETSLLLATSLGKTASKFGSPEVAVTGQVLETLPPIGVFWDIEN CSVPSGRSATTVVQRIREKFFRGHREAEFICVCDISKENKEVIQELNNCQVTVAHINATA KNAADDKLRQSLRRFANTHTAPATVVLVSTDVNFALELSDLRHRHGFHIILVHKNQASEA LLHHANQLIRFEEFISDLPPRLPLKIPCHTLLYVYNLPANKDGKSISNRLRRLSDNCGGK VLSITGCSAILRFINQDSAERAQKRMENEDVFGNRIIVSFTPKHREFFEAKSSNAIADKV KSPKKVKNTKLCLIKDTSEQSPSVKAMPGKVLQANSGSATKTTNIKSLQELCRMESKSGN RNSDHQQGHGRLAALPNSGPTASVPIVKNTGVTEPLYRSSQKKENPSSQSTVNSPVEKKK REETVFQVSYPSAFSKLIASRQVSPLLTSQSWSPRSMSPNLLNRASPLAFNIANPSSGAD CPDPFANGVDIQVSNVDYRLSRKELQQLLQEAFSKHGQVKSVELSPHTDYQLKAIVQMRN LHEAICAVNSLHRHKIGSKKILVSLSTGAANKSLSLLSTETMSILQDAPACCLPLFKFID IYEKKYGHKLNVSDLYKLTDTIAIREQGNGRLVCLLPSNQARQSPLGSSQSHDGSSSNCS PVLFEELEYHEPVCKQHCSNKDFSELVFDPDSYKIPFVVLSLKVFAPQVHSLLQTHQGTV PLLSFPDCYAAEFGDLEITQDSHKGVPLEHFITCIPGVNIATAQNGVKVVKWIHNKPPPP NTDPWLLRSKSPVRNPQLIQFSREVIDLLKTQPSCILPVSNFIPSYHHHFGKQCRVSDYG YSKLIELLEAVPHVLQILGMGSKRLMTLTHRAQVKRFTQDLLKLLKSQASKQVIVRDFSQ AYHWCFSKDWDVTEYGVCDLIDIISEIPDTTICLSQQDDDMVICIRKRERTQDEIERTKQ FSKDVVDLLRHQPHFRMPFNKFIPSYHHHFGRQCKLAYYGFTKLLELLEAIPEILQVLEC GEEKILTLTEVERFKALAAQFVKLLRSQKGNCLMMTDLFTEYAKTFGYTFRLQDYDVSSV SALTQKLCHVVKVADMESGKQIQLINRKSLRSLTAQLLVLLMSWEGDAYLPVDELRRHYE TTHSTPLNPCEYGFMTLTELLKSLPYLVEVCTNDKAEEYVKLTSLYLFAKNVRSLLHTYH YQQIFLHEFSMAYNKYVGETLQPKTYGYSSVEELLGAIPQVVWIKGHGHKRIVVLKNDMK NRLSSFDLFSVNHEDQPAVSRQILAVPESHLASELQLRTGPSQMEQELLHLASSSPVDLL CAPVPSCLPSPQLRPDPVILKPADLIQFEEHPQEPLGVLVLNQEEKSEVPLPVQKGNLSC DSSPSSPAASPAPPGPSSEAPRPLFSKDAVESPAKKQPKNRVKLAANFSFAPVTKL >ENSMUSP00000056907.6 pep:known chromosome:GRCm38:14:20348222:20383489:1 gene:ENSMUSG00000039599.15 transcript:ENSMUST00000051915.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam149b description:family with sequence similarity 149, member B [Source:MGI Symbol;Acc:MGI:2145567] MISRYTRKAVPQSVELKGLTKHALNHHPLPERLDDISSTNNSHGKDTSSHSESDITQESP ITSTDTGNSNSRSAFPSYAGTGVSTEGSSDFSWGYGELDQNATEKVQAMFTAIDELLYEQ QLSAHTQGLQKECQQWAASFPHLRILGRQIITPSEGYGLYPRSPSAVSASHEATLSQERE STMEEGFHGNKSEAATEKQKLGYPPIAPFHCMKEDVLAHVFDNVWSKAVGCMEQLTRSHW EGCASDDESNVEITRLDSGSPYVLNEQHPLVLPRVPQSKVPSITSSPMSFCQASGHQPNV SGLLIHGMPLQPRNLSLMDKLLPVTADTLSSPSPMTLGRNNLLPPIGTVEVEHLSAMGPQ RPTKSHGDSSRARSAVVDEPNQQPQERLLLPVFSRPNTTQSFLPDTQYRSSCASEYPHQA RPGRGSAGPQSHGSTKPQSRGGPISRTRQ >ENSMUSP00000045247.5 pep:known chromosome:GRCm38:14:20348162:20368563:1 gene:ENSMUSG00000039599.15 transcript:ENSMUST00000037698.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam149b description:family with sequence similarity 149, member B [Source:MGI Symbol;Acc:MGI:2145567] MISRYTRKAVPQSVELKGLTKHALNHHPLPERLDDISSTNNSHGKDTSSHSESDITQESP ITSTDTGNSNSRSAFPSYAGTGVSTEGSSDFSWGYGELDQNATEKVQAMFTAIDELLYEQ QLSAHTQGLQKECQQWAASFPHLRILGRQIITPSEGYGLYPRSPSAVSASHEATLSQERE STIFGIRGKKLHFSSSYKPSPTIKASGLCAVGGEEADCIIFSEGVIEEYLAFDHTDMEEG FHGNKSEAATEKQKLGYPPIAPFHCMKEDVLAHVFDNVWSKAVGCMEQLTRSHWEGCASE KRPNENTASRWPSASQKEAIREPSPDGSLILDPRTVRK >ENSMUSP00000087989.4 pep:known chromosome:GRCm38:14:20348162:20383489:1 gene:ENSMUSG00000039599.15 transcript:ENSMUST00000090503.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam149b description:family with sequence similarity 149, member B [Source:MGI Symbol;Acc:MGI:2145567] MISRYTRKAVPQSVELKGLTKHALNHHPLPERLDDISSTNNSHGKDTSSHSESDITQESP ITSTDTGNSNSRSAFPSYAGTGVSTEGSSDFSWGYGELDQNATEKVQAMFTAIDELLYEQ QLSAHTQGLQKECQQWAASFPHLREEGFHGNKSEAATEKQKLGYPPIAPFHCMKEDVLAH VFDNVWSKAVGCMEQLTRSHWEGCASDDESNVEITRLDSGSPYVLNEQHPLVLPRVPQSK VPSITSSPMSFCQASGHQPNVSGLLIHGMPLQPRNLSLMDKLLDLDDKLLTRPGSSSVLS NRNWPNRAMELSTSSLSYTTQSARRRNPPPRTLHPISTSHSRAGTPWPVEEILRGPRVPV TADTLSSPSPMTLGRNNLLPPIGTVEVEHLSAMGPQRPTKSHGDSSRARSAVVDEPNQQP QERLLLPVFSRPNTTQSFLPDTQYRSSCASEYPHQARPGRGSAGPQSHGSTKPQSRGGPI SRTRQ >ENSMUSP00000087985.5 pep:known chromosome:GRCm38:14:20348162:20383489:1 gene:ENSMUSG00000039599.15 transcript:ENSMUST00000090499.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam149b description:family with sequence similarity 149, member B [Source:MGI Symbol;Acc:MGI:2145567] MISRYTRKAVPQSVELKGLTKHALNHHPLPERLDDISSTNNSHGKDTSSHSESDITQESP ITSTDTGNSNSRSAFPSYAGTGVSTEGSSDFSWGYGELDQNATEKVQAMFTAIDELLYEQ QLSAHTQGLQKECQQWAASFPHLRILGRQIITPSEGYGLYPRSPSAVSASHEATLSQERE STIFGIRGKKLHFSSSYKPSPTIKASGLCAVGGEEADCIIFSEGVIEEYLAFDHTDMEEG FHGNKSEAATEKQKLGYPPIAPFHCMKEDVLAHVFDNVWSKAVGCMEQLTRSHWEGCASD DESNVEITRLDSGSPYVLNEQHPLVLPRVPQSKVPSITSSPMSFCQASGHQPNVSGLLIH GMPLQPRNLSLMDKLLDLDDKLLTRPGSSSVLSNRNWPNRAMELSTSSLSYTTQSARRRN PPPRTLHPISTSHSRAGTPWPVEEILRGPRVPVTADTLSSPSPMTLGRNNLLPPIGTVEV EHLSAMGPQRPTKSHGDSSRARSAVVDEPNQQPQERLLLPVFSRPNTTQSFLPDTQYRSS CASEYPHQARPGRGSAGPQSHGSTKPQSRGGPISRTRQ >ENSMUSP00000036076.3 pep:known chromosome:GRCm38:14:27039001:27107286:1 gene:ENSMUSG00000040717.5 transcript:ENSMUST00000035336.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17rd description:interleukin 17 receptor D [Source:MGI Symbol;Acc:MGI:2159727] MAPWLQLCSFFFTVNACLNGSQLAVAAGGSGRARGADTCGWRGVGPASRNSGLHNITFRY DNCTTYLNPGGKHAIADAQNITISQYACHDQVAVTILWSPGALGIEFLKGFRVILEELKS EGRQCQQLILKDPKQLNSSFRRTGMESQPFLNMKFETDYFVKIVPFPSIKNESNYHPFFF RTRACDLLLQPDNLACKPFWKPRNLNISQHGSDMHVSFDHAPQNFGFRGFHVLYKLKHEG PFRRRTCRQDQNTETTSCLLQNVSPGDYIIELVDDSNTTRKAAQYVVKSVQSPWAGPIRA VAITVPLVVISAFATLFTVMCRKKQQENIYSHLDEESPESSTYAAALPRDRLRPQPKVFL CYSNKDGQNHMNVVQCFAYFLQDFCGCEVALDLWEDFSLCREGQREWAIQKIHESQFIIV VCSKGMKYFVDKKNFRHKGGSRGEAQGEFFLVAVAAIAEKLRQAKQSSSAALRKFIAVYF DYSCEGDVPCSLDLSTKYKLMDHLPELCAHLHSGEQEVLGQHPGHSSRRNYFRSKSGRSL YVAICNMHQFIDEEPDWFEKQFIPFQHPPVRYQEPVLEKFDSGLVLNDVISKPGPESDFC RKVEACVLGAAGPADSYSYLESQHVGLDQDTEAQPSCDSAPALQPLLHAVKAGSPSEMPR DSGIYDSSVPSSELSLPLMEGLSPDQIETSSLTESVSSSSGLGEEDPPTLPSKLLASGVS REHGCHSHTDELQALAPL >ENSMUSP00000127159.1 pep:known chromosome:GRCm38:10:33766424:33782115:-1 gene:ENSMUSG00000090298.1 transcript:ENSMUST00000165904.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4794 description:predicted gene 4794 [Source:MGI Symbol;Acc:MGI:3645972] MDNKDEDIFKFKGYNFLRALVSMDIVKNMEDYEIRDDDIFIVTYPKSGTTWTQQILCLIC FESHRNGTENIATIDRIPSFEYNIHKLDYAKMPSPRIFTSHIPYYLVPKGLKDKKAKIIY VYRNPKDVLISFFHFSNLMVVLKASDTLENFMQRFLNGNLVGSLWFDHIRGWYEHRHDFN IMFMSFEDMKKDLRSSVLKICSFLEKELSEEDMDAVVRQATFEKMKADPRANNEHIIKDE LGTRNNTGAFLRKGIVGDWKHYLTVDQSERFDKIFHMNMKNIPLKFIWNINEK >ENSMUSP00000033927.6 pep:known chromosome:GRCm38:8:35465265:35495533:-1 gene:ENSMUSG00000031527.6 transcript:ENSMUST00000033927.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eri1 description:exoribonuclease 1 [Source:MGI Symbol;Acc:MGI:1914526] MEDERGRERGGDAAQQKTPRPECEESRPLSVEKKQRCRLDGKETDGSKFISSNGSDFSDP VYKEIAMTNGCINRMSKEELRAKLSEFKLETRGVKDVLKKRLKNYYKKQKLMLKESSAGD SYYDYICIIDFEATCEEGNPAEFLHEIIEFPVVLLNTHTLEIEDTFQQYVRPEVNDQLSE FCIGLTGITQDQVDRADAFPQVLKKVIEWMKSKELGTKYKYCILTDGSWDMSKFLSIQCR LSRLKHPAFAKKWINIRKSYGNFYKVPRSQTKLTIMLEKLGMDYDGRPHSGLDDSKNIAR IAIRMLQDGCELRINEKILGGQLMSVSSSLPVEGAPAPQMPHSRK >ENSMUSP00000024121.4 pep:known chromosome:GRCm38:17:33064143:33068058:-1 gene:ENSMUSG00000023350.4 transcript:ENSMUST00000024121.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921501E09Rik description:RIKEN cDNA 4921501E09 gene [Source:MGI Symbol;Acc:MGI:1921292] MALVPVYCLCRQPYNVNHFMIECGLCQDWFHGSCVGIEEENAVDIDIYHCPDCEAVFGPS IMKNWHGSPRGHDALKRKPLMTGSPTSIHQIQGRIFESSDKVILKPTGSHLTVEFLLESS FSIPILVLKKDGLGMTLPPTSFTVRDVEQYVGSDREVDVIDVACQASSKMMLGDFVKYYY SRKREKTFNVINLEFSNTGLSNIVEMPKIVRKLSWVENLWPEDCGFERPNVQKYCLMSMQ NSYTDFHIEFGGTSAWYHVVKGEKIFYLIRPTDANLTLFECWSNSSNQKEIFFGDQVDRC YKCSVKQGQTLFIPTGWIYAVLTPVDCLAFGGNFLHSLNIEMQLKVYEIEKHLCTTDLFK FPSFETICWHVGKHILDIFKGLRENGRCPASFLVHGGKALNLAFQAWTRKEVLPNRDNEI PKTVQTIQLIEDLAWEIHLVEDNFQQNIPKTSSTFKFQQLHTNSSSLTRQAHPTSVSKSK LSLPSKNGSEKKSPKYKDTFKKEEQMSKESSALGPNYQHSCNFMDLNNDQQWKVGCLQNV EFNITSTCLNDSDDNSKHDKAFNGNESLVPLLMANGNTKREKSLSESWQAKITKKEDTSR LMKEQAMVDTFDLDLNDELQIEKGLGKEKVSLVVRPKIPPSLPHVKLCSDQNQSHDPGET EITIVENYKIDKEMVEEVEGKFKNGSESGGTIDPLKAKKQVGGPGCVILTDDPNSSSNKK DIQDTVCMSDLQSSPPSQAPSSLQAFWSGGQDQGNKSPSSVLGTVSDSPVSRRTPRKWPI KRLAYWRNESEAGNACVDEQGSLGACFKEAKYIFLSLESDDDDDDDDDDDDDNNPALRVL PKKRKISDNAPRIPRADEIPTLPKQDHSVPEGNRVGSIEIDWTTAATKLAQEVNNMKLTL TSPGKSSQ >ENSMUSP00000066210.5 pep:known chromosome:GRCm38:17:23824740:23829109:-1 gene:ENSMUSG00000055839.5 transcript:ENSMUST00000069579.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tceb2 description:transcription elongation factor B (SIII), polypeptide 2 [Source:MGI Symbol;Acc:MGI:1914923] MDVFLMIRRHKTTIFTDAKESSTVFELKRIVEGILKRPPEEQRLYKDDQLLDDGKTLGEC GFTSQTARPQAPATVGLAFRADDTFEALRIEPFSSPPELPDVMKPQDSGGSANEQAVQ >ENSMUSP00000038820.7 pep:known chromosome:GRCm38:16:15889227:16146851:-1 gene:ENSMUSG00000041974.9 transcript:ENSMUST00000040248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spidr description:scaffolding protein involved in DNA repair [Source:MGI Symbol;Acc:MGI:1924834] MSGARRPGTSKRKRNWHIEHPSFREERSQQLRRGNFKTVEAADSLSKAWLKCGEGFQDTS EILSLASEKTGITEKHLELSPKPKTETTSKNASELPNIIWSSSESDFSDEDKTLPALQRD GRHGPRADRLGDRTISCPEDEDIEDELQVIDWEVNSDKEDPGGPSECEDDKGTLDISDCD SCASLTSDDRLCEPSEPISTEILEYSSDSEKEEDPEHSLFIDSESPHKYQADFKSDARWC LVSQTDSEANSAEPTLTPQKYTVKFPKTPEYSVTKKKLLRGGLAERLQELQNRKRSAISL WRHRCVSYQMTPLGRKSGVLTVKILELHEECSMQVAVCEQLAGPPITSPPGGLAPRPGAY LKVLFTRETADHLMGHPQDIIYIFPPWQKLLIPNGSCSIILNTYFCQKAIAKETVREDLY SPDISLSRRNITLAQTFRIKDITDNSSINQTTYDSLATPGTGWTHGHEKAEQHLIVAAPL RNSLLDIVESQRAGLWSGVRVQVVVQRVYSLLSRDGARSQQGHTVGHADASGAWSCLLVQ DACGMFGEVFLNSTLWKSRQLEGKSCSMSGVKVLQKATRGRTPGLFSLIDSLWPPVISLT EPSCGQPSGETKTYLPPPIFCYIFSAHPTLGQIDAIEDHISKLYQPPVVRCLKEILQTNE CSTRCSFYARVIYQKPQLKNLLAQKEIWLLVTDITLQTQDERDHSLPKTLPVYIAPSCVL GPEVVEELALLVSYNLLFRDAFKDNGQIVCIERTVILPQKPLLCVPSASCDLPSPVTLDE LSALTPVNSICSVQGTVVDVDESTAFSWPVCDRCGNGRLEQKPEDGGTFSCGDCSQLVLS PLQERHLHVFLDCPTRPESTVKVKLLESSISLLLMSAASEDGSYEVESVLGKEMGPLLCF VQSITTQQSSCVVTLEEIELLSTEGATAAQPPP >ENSMUSP00000033824.6 pep:known chromosome:GRCm38:8:13159135:13175338:1 gene:ENSMUSG00000031447.6 transcript:ENSMUST00000033824.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamp1 description:lysosomal-associated membrane protein 1 [Source:MGI Symbol;Acc:MGI:96745] MAAPGARRPLLLLLLAGLAHGASALFEVKNNGTTCIMASFSASFLTTYETANGSQIVNIS LPASAEVLKNGSSCGKENVSDPSLTITFGRGYLLTLNFTKNTTRYSVQHMYFTYNLSDTE HFPNAISKEIYTMDSTTDIKADINKAYRCVSDIRVYMKNVTVVLRDATIQAYLSSGNFSK EETHCTQDGPSPTTGPPSPSPPLVPTNPTVSKYNVTGNNGTCLLASMALQLNITYLKKDN KTVTRAFNISPNDTSSGSCGINLVTLKVENKNRALELQFGMNASSSLFFLQGVRLNMTLP DALVPTFSISNHSLKALQATVGNSYKCNTEEHIFVSKMLSLNVFSVQVQAFKVDSDRFGS VEECVQDGNNMLIPIAVGGALAGLVLIVLIAYLIGRKRSHAGYQTI >ENSMUSP00000020270.4 pep:known chromosome:GRCm38:10:62616023:62651218:-1 gene:ENSMUSG00000020076.6 transcript:ENSMUST00000020270.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx50 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 50 [Source:MGI Symbol;Acc:MGI:2182303] MPGKLLWGDIMELEAPLEESESQRKERQKSDRRKSRHHSESEERTETRENGVTDDLDAPK PKKAKMREKLNGDTKEGLRFSDEFSPSHKSRRKDLPNGDVDEYEKRSKRVSSSENSHKSS DKAEETLTREQKEGAFSNFSISEETIKLLKGRGVTYLFPIQVKTFGPVYEGKDLIAQART GTGKTFSFAIPLIERLQRNQETIKKSRSPKVLVLAPTRELANQVAKDFKDITRKLSVACF YGGTSYQSQINQIRNGIDILVGTPGRIKDHLQSGRLDLSKLRHVVLDEVDQMLDLGFAEQ VEDIIHESYKTDSEDNPQTLLFSATCPQWVYKVAKKYMKSRYEQVDLVGKMTQKAATTVE HLAIQCHWSQRPAVIGDVLQVYSGSEGRAIIFCETKKNVTEMAMNPHIKQNAQCLHGDIA QSQREITLKGFREGSFKVLVATNVAARGLDIPEVDLVIQSSPPQDVESYIHRSGRTGRAG RTGICVCFYQPRERGQLRYVEQKAGITFKRVGVPSTMDLVKSKSMDAIRSLASVSYAAVD FFRPSAQRLIEEKGAVDALAAALAHISGASSFEPRSLITSDKGFVTMTLESPEEIQDVSC AWKELNRKLSSNAVSHVTRMCLLKGNMGVCFDVPTSESERLQAEWHDSDWILSVPAKLPE IEEYYDGNTSSNPRQRSGWSGGRSGRSGRSGGRSGGRSGRQSRQGSRSGSRQDGRRRSGN RNRSRSGGHKRNFD >ENSMUSP00000080111.2 pep:known chromosome:GRCm38:18:42178675:42196709:-1 gene:ENSMUSG00000059455.2 transcript:ENSMUST00000081374.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plac8l1 description:PLAC8-like 1 [Source:MGI Symbol;Acc:MGI:1916651] MSWMGHHFSRWCKDISVLSSHLPLFSPMPSEDEHLISNLRSHVPARVVVKQPIRGAIGRT TVAAIVQTGGDWSTGLFSVCRDRKICFWGLLCPMCLECDIARHYGECLCWPLLPGSTFAL RIGTRERHRIQGTLCEDCVVVHCCWPFSICQVARELKMRTSQLYEICEVPELKNTLV >ENSMUSP00000070229.4 pep:known chromosome:GRCm38:8:69907069:69996379:-1 gene:ENSMUSG00000036180.14 transcript:ENSMUST00000065169.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad2a description:GATA zinc finger domain containing 2A [Source:MGI Symbol;Acc:MGI:2384585] MSEEACRTRSQKRTLEPDLTEDDVENKKMKMEKGSSELTVDGDSRVMPEPSAGSAQGLLR TTEAMGTGSGEGLLGDGPVDMRTSHSDMKSEKRPPSPDVIVLSDSEQPSSPRVNGLTTVA LKDTSTEALLKSSPEERERMIKQLKEELRLEEAKLVLLKKLRQSQIQKEATAQKPTASSG STVTTPPPLVRGTQNIPAGKTSLQTSSTRIPGSIIPPPLVRGGQQVSAKLGPQASSQVVM PPLVRGAQQIHNIRQHSSTGPPPLLLAPRASVPSMQIQGQRIIQQGLIRVANVPNTSLLV NIPQPTAASMKGTAVASAQANSTPTSVASVVASAESPASRQAAAKLALRKQLEKTLLEIP PPKPPAPEMNFLPSAANNEFIYLVGLEEVVQNLLETQAGRISATAAAAVLSREPYMCVQC KTDFTCRWREKGGAVMCENCMTSNQKKALKVEHTSRLKAAFVKALQQEQEMEQRLLQQGV GTASIKAEPAAPHPTLKQVIKPRRKLAFRSGEARVWNNGSSLQASSQLSRGSATAPRGVL HTFSQSPKLQNAASATALVSRTGRHSERVVGTGKGTASNWKKTPLSTGGTLAFVSPSLAV HKTSSAVDRQREYLLDMIPPRSIPQSATWK >ENSMUSP00000137386.1 pep:known chromosome:GRCm38:8:69907069:69996379:-1 gene:ENSMUSG00000036180.14 transcript:ENSMUST00000177851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad2a description:GATA zinc finger domain containing 2A [Source:MGI Symbol;Acc:MGI:2384585] MSEEACRTRSQKRTLEPDLTEDDVENKKMKMEKGSSELTVDGDSRVMPEPSAGSAQGLLR TTEAMGTGSGEGLLGDGPVDMRTSHSDMKSEKRPPSPDVIVLSDSEQPSSPRVNGLTTVA LKDTSTEALLKSSPEERERMIKQLKEELRLEEAKLVLLKKLRQSQIQKEATAQKPTASSG STVTTPPPLVRGTQNIPAGKTSLQTSSTRIPGSIIPPPLVRGGQQVSAKLGPQASSQVVM PPLVRGAQIHNIRQHSSTGPPPLLLAPRASVPSMQIQGQRIIQQGLIRVANVPNTSLLVN IPQPTAASMKGTAVASAQANSTPTSVASVVASAESPASRQAAAKLALRKQLEKTLLEIPP PKPPAPEMNFLPSAANNEFIYLVGLEEVVQNLLETQAGRISATAAAAVLSREPYMCVQCK TDFTCRWREKGGAVMCENCMTSNQKKALKVEHTSRLKAAFVKALQQEQEMEQRLLQQGVG TASIKAEPAAPHPTLKQVIKPRRKLAFRSGEARVWNNGSSLQASSQLSRGSATAPRGVLH TFSQSPKLQNAASATALVSRTGRHSERVVGTGKGTASNWKKTPLSTGGTLAFVSPSLAVH KTSSAVDRQREYLLDMIPPRSIPQSATWK >ENSMUSP00000112164.2 pep:known chromosome:GRCm38:8:69907069:69974383:-1 gene:ENSMUSG00000036180.14 transcript:ENSMUST00000116463.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatad2a description:GATA zinc finger domain containing 2A [Source:MGI Symbol;Acc:MGI:2384585] MSEEACRTRSQKRTLEPDLTEDDVENKKMKMEKGSSELTVDGDSRVMPEPSAGSAQGLLR TTEAMGTGSGEGLLGDGPVDMRTSHSDMKSEKRPPSPDVIVLSDSEQPSSPRVNGLTTVA LKDTSTEALLKSSPEERERMIKQLKEELRLEEAKLVLLKKLRQSQIQKEATAQKPTASSG STVTTPPPLVRGTQNIPAGKTSLQTSSTRIPGSIIPPPLVRGGQQVSAKLGPQASSQVVM PPLVRGAQIHNIRQHSSTGPPPLLLAPRASVPSMQIQGQRIIQQGLIRVANVPNTSLLVN IPQPTAASMKGTAVASAQANSTPTSVASVVASAESPASRQAAAKLALRKQLEKTLLEIPP PKPPAPEMNFLPSAANNEFIYLVGLEEVVQNLLETQAGRISATAAAAVLSREPYMCVQCK TDFTCRWREKGGAVMCENCMTSNQKKALKVEHTSRLKAAFVKALQQEQEMEQRLLQQGVG TASIKAEPAAPHPTLKQVIKPRRKLAFRSGEARVWNNGSSLQASSQLSRGSATAPRGVLH TFSQSPKLQNAASATALVSRTGRHSERVVGTGKGTASNWKKTPLSTGGTLAFVSPSLAVH KTSSAVDRQREYLLDMIPPRSIPQSATWK >ENSMUSP00000110969.2 pep:known chromosome:GRCm38:16:24721855:24992576:1 gene:ENSMUSG00000033306.14 transcript:ENSMUST00000115314.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpp description:LIM domain containing preferred translocation partner in lipoma [Source:MGI Symbol;Acc:MGI:2441849] MELLGKVGACLKRSTGTLKGSASSIASPPVSTPVTGHKRMVIPQQPPLTATKKSATKPQP APQAAPIPVTPIGTLKPQPQPVPASYTTASTSSRPTFNVQVKSAQPSPHYMAGPSSGQIY GPGPRGYNNQPVPVSGQCPPPPTCVGTDYAYIPPSGHPPESGYGYTSNQGRYYEPYYAAG PSYGGRSEGDTAYGQQVQPNTWKREAAYAPPASGNQNHPGMYPVSGPKKTYITDPVSAPC APPLQPKGGYPGPMGPPSIPPSFRPEDELEHLTKKMLYDMENPPADDYFGRCARCGENVV GEGTGCTAMDQVFHVDCFTCIVCDVKLRGQPFYAVEKKAYCEPCYINTLEQCSVCSKPIM ERILRATGKAYHPHCFTCVMCHRSLDGIPFTVDACGLIHCIEDFHKKFAPRCSVCKEPIM PAPGQEETVRIVALDRDFHVHCYRCEDCGGLLSEGDNQGCYPLDGHILCKTCNSARIRVL TAKASTDL >ENSMUSP00000078005.2 pep:known chromosome:GRCm38:16:24448091:24992576:1 gene:ENSMUSG00000033306.14 transcript:ENSMUST00000078988.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpp description:LIM domain containing preferred translocation partner in lipoma [Source:MGI Symbol;Acc:MGI:2441849] MSHPSWLPPKSTGEPLGHVPARMETTHSFGNPSISVSTQQPPKKYAPVVAPKPKYNPYKQ PGGEGDLLPPPPPPLEDPGTIPPGPGHFPPPPPLDEGAFKVQQGNPGGKTLEERRSSLDA EIDSLTSILADLECSSPYKPRPPQGSASSIASPPVSTPVTGHKRMVIPQQPPLTATKKSA TKPQPAPQAAPIPVTPIGTLKPQPQPVPASYTTASTSSRPTFNVQVKSAQPSPHYMAGPS SGQIYGPGPRGYNNQPVPVSGQCPPPPTCVGTDYAYIPPSGHPPESGYGYTSNQGRYYEP YYAAGPSYGGRSEGDTAYGQQVQPNTWKREAAYAPPASGNQNHPGMYPVSGPKKTYITDP VSAPCAPPLQPKGGYPGPMGPPSIPPSFRPEDELEHLTKKMLYDMENPPADDYFGRCARC GENVVGEGTGCTAMDQVFHVDCFTCIVCDVKLRGQPFYAVEKKAYCEPCYINTLEQCSVC SKPIMERILRATGKAYHPHCFTCVMCHRSLDGIPFTVDACGLIHCIEDFHKKFAPRCSVC KEPIMPAPGQEETVRIVALDRDFHVHCYRCEDCGGLLSEGDNQGCYPLDGHILCKTCNSA RIRVLTAKASTDL >ENSMUSP00000036304.6 pep:known chromosome:GRCm38:16:24393350:24992576:1 gene:ENSMUSG00000033306.14 transcript:ENSMUST00000038053.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpp description:LIM domain containing preferred translocation partner in lipoma [Source:MGI Symbol;Acc:MGI:2441849] MSHPSWLPPKSTGEPLGHVPARMETTHSFGNPSISVSTQQPPKKYAPVVAPKPKYNPYKQ PGGEGDLLPPPPPPLEDPGTIPPGPGHFPPPPPLDEGAFKVQQGNPGGKTLEERRSSLDA EIDSLTSILADLECSSPYKPRPPQGSASSIASPPVSTPVTGHKRMVIPQQPPLTATKKSA TKPQPAPQAAPIPVTPIGTLKPQPQPVPASYTTASTSSRPTFNVQVKSAQPSPHYMAGPS SGQIYGPGPRGYNNQPVPVSGQCPPPPTCVGTDYAYIPPSGHPPESGYGYTSNQGRYYEP YYAAGPSYGGRSEGDTAYGQQVQPNTWKREAAYAPPASGNQNHPGMYPVSGPKKTYITDP VSAPCAPPLQPKGGYPGPMGPPSIPPSFRPEDELEHLTKKMLYDMENPPADDYFGRCARC GENVVGEGTGCTAMDQVFHVDCFTCIVCDVKLRGQPFYAVEKKAYCEPCYINTLEQCSVC SKPIMERILRATGKAYHPHCFTCVMCHRSLDGIPFTVDACGLIHCIEDFHKKFAPRCSVC KEPIMPAPGQEETVRIVALDRDFHVHCYRCEDCGGLLSEGDNQGCYPLDGHILCKTCNSA RIRVLTAKASTDL >ENSMUSP00000009241.5 pep:known chromosome:GRCm38:16:18581713:18586969:-1 gene:ENSMUSG00000009097.9 transcript:ENSMUST00000009241.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx1 description:T-box 1 [Source:MGI Symbol;Acc:MGI:98493] MISAVSSPWLTQLSHFCDVAAFAASSLSGLGSPSPGADPFGPREPPPPRYDPCAAVPGAP GPPPPRAYPFAPAPGAAGSSAAESEGPGASRAAAVKAPVKKNPKVASVSVQLEMKALWDE FNQLGTEMIVTKAGRRMFPTFQVKLFGMDPMADYMLLMDFVPVDDKRYRYAFHSSSWLVA GKADPATPGRVHYHPDSPAKGAQWMKQIVSFDKLKLTNNLLDDNGHIILNSMHRYQPRFH VVYVDPRKDSEKYAEENFKTFVFEETRFTAVTAYQNHRITQLKIASNPFAKGFRDCDPED WPRNHRPGALPLVSAFARSRNPVASPTQPNGSDKDAAEARREFDRDSGPAALGDATHPPQ LLARVLSPALPGPGGLVPLPGGSGGRHSPPHADLRLEAPGASEPLHHHPYKYPAAAYDHY LGAKSRPAPYPLPGLRGHGYHPHAHPHAHPHHHHHPAVNPAAAAAAAAAANVYSSAAAPP GAYDYCPR >ENSMUSP00000033839.7 pep:known chromosome:GRCm38:8:13884788:13890271:-1 gene:ENSMUSG00000031458.7 transcript:ENSMUST00000033839.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coprs description:coordinator of PRMT5, differentiation stimulator [Source:MGI Symbol;Acc:MGI:1913673] MDPQAATGRGPGERSSQEAPSAEAGFATADLSGRETETELAVDRLASGAQSIPADIPAHA EGPSSEEEGFAVEKEADGELYAWELSEGPSCPPMEQAADLFNEDWDLELKADQGNPYDAD DIQGSISQEIKPWVCCAPQGDMIYDPSWHHPPPLIPHYSKMVFETGQFDDAED >ENSMUSP00000105614.1 pep:known chromosome:GRCm38:8:72213494:72213636:-1 gene:ENSMUSG00000079016.1 transcript:ENSMUST00000109987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11034 description:predicted gene 11034 [Source:MGI Symbol;Acc:MGI:3779257] KKKKKKKAEDRAKRSEAKRSEEKRREEKRR >ENSMUSP00000064622.7 pep:known chromosome:GRCm38:15:58141436:58158652:1 gene:ENSMUSG00000022359.9 transcript:ENSMUST00000067563.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdyhv1 description:WDYHV motif containing 1 [Source:MGI Symbol;Acc:MGI:1924023] MEGDGPAATAPQYQPVCPTRDACVYNSCYCEENIWKLCEYIKTHNQYLLEECYAVFISNE KKMVPIWKQQARPENGPVIWDYHVVLLHVSREGQSFIYDLDTILPFPCPFDIYIEDALKS DDDIHLQFRRKFRVVRADSYLKHFASDRSHMKDSSGNWREPPPEYPCIETGDSKMNLNDF ISMDPAVGWGAVYTLPEFVHRFSSKTYQA >ENSMUSP00000136517.1 pep:known chromosome:GRCm38:X:32941768:32942945:1 gene:ENSMUSG00000094211.1 transcript:ENSMUST00000179547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21789 description:predicted gene, 21789 [Source:MGI Symbol;Acc:MGI:5433953] SGLVLSSSVSDPTVVLGDKLKSSNMSNKLEKGKSGNKVGWSSDTIDNEHLGRRSSKCCFV YEKQRAFGESSSESEKEYEDNGDVLCAWGHQKGRHSDLSSNLVNTSSSKPQDPSKSLPVS IID >ENSMUSP00000055537.6 pep:known chromosome:GRCm38:16:88728862:88729609:1 gene:ENSMUSG00000056350.6 transcript:ENSMUST00000060494.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap13-1 description:keratin associated protein 13-1 [Source:MGI Symbol;Acc:MGI:2146359] MSYGCYSGNFSSRSSGDHLSYPHSSCGSSYPSHLLQSTRFYSPGTCHLGSSLHSGCQQNC FQPIRCQTSHVVHSSCQRPCYSPRVSSVCSPCRTTYAGSQSFGSSSCHSQGYGSRSSYSL NCGSSGFRPQRVSSLGYGSGFCHPSYVPYRTCQSPCYRPSCGTGSGFY >ENSMUSP00000038729.6 pep:known chromosome:GRCm38:15:72512119:72546279:-1 gene:ENSMUSG00000036760.6 transcript:ENSMUST00000044624.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk9 description:potassium channel, subfamily K, member 9 [Source:MGI Symbol;Acc:MGI:3521816] MKRQNVRTLSLIACTFTYLLVGAAVFDALESDHEMREEEKLKAEEVRLRGKYNISSDDYQ QLELVILQSEPHRAGVQWKFAGSFYFAITVITTIGYGHAAPGTDAGKAFCMFYAVLGIPL TLVMFQSLGERMNTFVRYLLKRIKKCCGMRNTEVSMENMVTVGFFSCMGTLCLGAAAFSQ CEDWSFFHAYYYCFITLTTIGFGDFVALQAKGALQRKPFYVAFSFMYILVGLTVIGAFLN LVVLRFLTMNTDEELLEGEVAEILAGNPRRVSVRAPQRRKRHHAMYFLRKYGRTLCYLCF PGTNWGKDDDDDDDDDVVDNVVVTAPISAPAPAPAPAPAPAAVAAGATIRSVRATVHTVS CRVEEIPPDVLRNTYFRSVFGAIPPGMHTCGDHRLHLRRKSI >ENSMUSP00000086112.3 pep:known chromosome:GRCm38:14:80000302:80021930:1 gene:ENSMUSG00000022026.6 transcript:ENSMUST00000088735.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm4 description:olfactomedin 4 [Source:MGI Symbol;Acc:MGI:2685142] MSYSLLFLLALQFCLGSASRTTLTSAHSRELTTPPTSPQATAAWLPPGGTSWAEGGTVSQ PLSNFTGSVDSHGTCQCSVSLPDTAFPADRVERLEYTAHILSQKFEREFSKVKEYVQLIS VYEKRLLNLTVRVEVMEKDSISYTELDFELIKLEVKEMQKLVLQLKKNFVGSTHIIDMLE VEIRNMTLLVEKLESLDQNNVLSIRRQILALKTKLKECEASKSDLVPATPPPPAPGKLLG AAGSILWILNFWCFSLFHQHTQNVSLALGSCSHGGVVNISAPSVIQLNWLGFSYKYGAWG RDYSPQHPERTLYWVAPLNTDARALEYYRLYDSLDNLLIYSHFRDYRIRYGQGGGTVAFN NNLYVNWYNGGNIAKINLTTNVVDVNRPLPLAAYNNRFSYANVNWQDIDLAVDEQALWAI YATEASTGNIVISKLNDTTLEVISTWVTKQYKPSVSNAFMVCGVLYATRTLNTKTEEIFY YYDTNTEREGNLGITMRKMQERIQSINYHPFDQKLYVYNDGYLLNYDLVFLQTPRQPV >ENSMUSP00000023328.6 pep:known chromosome:GRCm38:16:48816856:48818891:1 gene:ENSMUSG00000022650.6 transcript:ENSMUST00000023328.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Retnlb description:resistin like beta [Source:MGI Symbol;Acc:MGI:1888505] MKPTLCFLFILVSLLPLIVPGNAQCSFESLVDQRIKEALSRQEPKTISCTSVTSSGRLAS CPAGMVVTGCACGYGCGSWDIRNGNTCHCQCSVMDWASARCCRMA >ENSMUSP00000025520.7 pep:known chromosome:GRCm38:18:60995381:61014199:-1 gene:ENSMUSG00000052026.7 transcript:ENSMUST00000025520.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a7 description:solute carrier family 6 (neurotransmitter transporter, L-proline), member 7 [Source:MGI Symbol;Acc:MGI:2147363] MKKLQEAHLRKPITPDLLMTPSDQGDVDLDVDFAADRGNWTGKLDFLLSCIGYCVGLGNV WRFPYRAYTNGGGAFLVPYFLMLAICGIPLFFLELSLGQFSSLGPLAVWKISPLFKGAGA AMLLIVGLVAIYYNMIIAYVLFYLFASLTSNLPWEHCGNWWNTELCLEHRGPKSGNGVLP LNLSSTVSPSEEYWSRYVLHIQGSQGIGRPGEIRWNLCLCLLLAWVIVFLCILKGVKSSG KVVYFTATFPYLILLMLLVRGVTLPGAWKGIQFYLTPQFHHLLSSKVWIEAALQIFYSLG VGFGGLLTFASYNTFHQNIYRDTFIVTLGNAITSILAGFAIFSVLGYMSQELGVPVDQVA KAGPGLAFVVYPQAMTMLPLSPFWSFLFFFMLLTLGLDSQFAFLETIVTAVTDEFPYYLR PKKAVFSGLICVAMYLMGLILTTDGGMYWLVLLDDYSASFGLMVVVITTCLAVTRVYGIQ RFCRDIHMMLGFKPGLYFRACWLFLSPATLLALLVYSIVKYQPSEYGSYRFPAWAELLGI LMGLLSCLMIPAGMLVAVLREEGSLWERLQQASRPAMDWGPSLEENRTGMYVATLAGSQS PKPLMVHMRKYGGITSFENTAIEVDREIAEEEEESMM >ENSMUSP00000070238.4 pep:known chromosome:GRCm38:16:48872608:48874498:1 gene:ENSMUSG00000022651.5 transcript:ENSMUST00000065666.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Retnlg description:resistin like gamma [Source:MGI Symbol;Acc:MGI:2667763] MLTFNKMKTTTCSLLICISLLQLMVPVNTEGTLESIVEKKVKELLANRDDCPSTVTKTFS CTSITASGRLASCPSGMTVTGCACGYGCGSWDIRDGNTCHCQCSTMDWATARCCQLA >ENSMUSP00000034267.4 pep:known chromosome:GRCm38:8:71568927:71586708:1 gene:ENSMUSG00000031808.6 transcript:ENSMUST00000034267.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc27a1 description:solute carrier family 27 (fatty acid transporter), member 1 [Source:MGI Symbol;Acc:MGI:1347098] MRAPGAGTASVASLALLWFLGLPWTWSAAAAFCVYVGGGGWRFLRIVCKTARRDLFGLSV LIRVRLELRRHRRAGDTIPCIFQAVARRQPERLALVDASSGICWTFAQLDTYSNAVANLF RQLGFAPGDVVAVFLEGRPEFVGLWLGLAKAGVVAALLNVNLRREPLAFCLGTSAAKALI YGGEMAAAVAEVSEQLGKSLLKFCSGDLGPESILPDTQLLDPMLAEAPTTPLAQAPGKGM DDRLFYIYTSGTTGLPKAAIVVHSRYYRIAAFGHHSYSMRAADVLYDCLPLYHSAGNIMG VGQCVIYGLTVVLRKKFSASRFWDDCVKYNCTVVQYIGEICRYLLRQPVRDVEQRHRVRL AVGNGLRPAIWEEFTQRFGVPQIGEFYGATECNCSIANMDGKVGSCGFNSRILTHVYPIR LVKVNEDTMEPLRDSEGLCIPCQPGEPGLLVGQINQQDPLRRFDGYVSDSATNKKIAHSV FRKGDSAYLSGDVLVMDELGYMYFRDRSGDTFRWRGENVSTTEVEAVLSRLLGQTDVAVY GVAVPGVEGKAGMAAIADPHSQLDPNSMYQELQKVLASYARPIFLRLLPQVDTTGTFKIQ KTRLQREGFDPRQTSDRLFFLDLKQGRYVPLDERVHARICAGDFSL >ENSMUSP00000123506.1 pep:known chromosome:GRCm38:7:45131189:45136432:-1 gene:ENSMUSG00000089989.9 transcript:ENSMUST00000146760.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flt3l description:FMS-like tyrosine kinase 3 ligand [Source:MGI Symbol;Acc:MGI:95560] MTVLAPAWSPNSSLLLLLLLLSPCLRGTPDCYFSHSPISSNFKVKFRELTDHLLKDYPVT VAVNLQDEKHCKALWSLFLAQRWIEQLKTVAGSKMQTLLEDVNTEIHFVTSCTFQPLPEC LRFVQTNISHLLKDTCTQLLALKPCIGKACQNFSRCLEVQCQPDSSTLLPPRSPIALEAT ELPEPRPRQLLLLLLLLLPLTLVLLAAAWGLRWQRARRRGELHPGVPLPSHP >ENSMUSP00000024660.8 pep:known chromosome:GRCm38:17:14279506:14404790:1 gene:ENSMUSG00000023886.9 transcript:ENSMUST00000024660.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smoc2 description:SPARC related modular calcium binding 2 [Source:MGI Symbol;Acc:MGI:1929881] MLPPQLCWLPLLAALLPPVPAQKFSALTFLRVDQDKDRDCSLDCPSSPQKPLCASDGRTF LSRCEFQRAKCKDPQLEIAHRGNCKDVSRCVAERKYTQEQARKEFQQVFIPECNDDGTYS QVQCHSYTGYCWCVTPNGRPISGTAVAHKTPRCPGSINEKVPQREGAGKADDAAAPALET QPQGDEEDIASRYPTLWTEQVKSRQNKTNKNSASSCDQEHQSALEEAKQPKNDNVVIPEC AHGGLYKPVQCHPSTGYCWCVLVDTGRPIPGTSTRYEQPKCDNTARAHPAKARDLYKNRP LQGCPGAKKHEFLTSVLDALSTDMVHAVSDPSSSSGRLSEPDPSHTLEERVVHWYFKLLD KNSSGDIGKKEIKPFKRFLRKKSKPKKCVKKFVEYCDMNNDKSITVQELMGCLGVTREEG KANTRKRHTPRGNAESSSSNRQPRKQG >ENSMUSP00000015587.7 pep:known chromosome:GRCm38:14:56165797:56174596:-1 gene:ENSMUSG00000015443.8 transcript:ENSMUST00000015587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzmn description:granzyme N [Source:MGI Symbol;Acc:MGI:2675494] MLPVLILLIFLLPVGDGAEEVIGGHEVKPHSRPYMALVVFLKVNGIGSSCGGFLVQDYFV LTAAHCIGSSMTVTLGAHNLRAQEETQQIIPVNKALPHPDYNPLDHTNDIMLLKLESKAK GTRDVRPLKLPGPKDKVNPGDVCSVAGWGKTSINTTEGSALLEEAELIIQENKECKKQFR HYSKITEICAGDPNKIEAPSKGDSGGPLVCNNKAHGVLSYVKSKKISSGVFTKVVHFLPW ISTNMKLL >ENSMUSP00000087773.5 pep:known chromosome:GRCm38:14:27622442:28478537:1 gene:ENSMUSG00000040640.9 transcript:ENSMUST00000090302.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erc2 description:ELKS/RAB6-interacting/CAST family member 2 [Source:MGI Symbol;Acc:MGI:1098749] MYGSARTISNLEGSPSRSPRLPRSPRLGHRRTSSGGGGGTGKTLSMENIQSLNAAYATSG PMYLSDHEGVASTTYPKGTMTLGRATNRAVYGGRVTAMGSSPNIASAGLSHTDVLSYTDQ HGGLSGSSHHHHHQVPSMLRQVRDSTMLDLQAQLKELQRENDLLRKELDIKDSKLGSSMN SIKTFWSPELKKERVLRKEEAARMSVLKEQMRVSHEENQHLQLTIQALQDELRTQRDLNH LLQQESGNRGAEHFTIELTEENFRRLQAEHDRQAKELFLLRKTLEEMELRIETQKQTLNA RDESIKKLLEMLQSKGLPSKSLEDDNERTRRMAEAESQVSHLEVILDQKEKENIHLREEL HRRSQLQPEPAKTKALQTVIEMKDTKIASLERNIRDLEDEVQMLKANGVLNTEDREEEIK QIEVYKSHSKFMKTKIDQLKQELSKKESELLALQTKLETLSNQNSDCKQHIEVLKESLTA KEQRAAILQTEVDALRLRLEEKESFLNKKTKQLQDLTEEKGTLAGEIRDMKDMLEVKERK INVLQKKIENLQEQLRDKDKQLTNLKDRVKSLQTDSSNTDTALATLEEALSEKERIIERL KEQRERDDRERLEEIESFRKENKDLKEKVNALQAELTEKESSLIDLKEHASSLASAGLKR DSKLKSLEIAIEQKKEECNKLEAQLKKAHNIEDDSRMNPEFADRLKQLDKEASYYRDECG KAQAEVDRLLEILKEVENEKNDKDKKIAELERHMKDQNKKVANLKYNQQLEKKKNAQLLE EVRRREDSMVDNSQHLQIEELMNALEKTRQELDATKARLASTQQSLAEKEAHLANLRIER RKQLEEILEMKQEALLAAISEKDANIALLELSASKKKKTQEEVMALKREKDRLVHQLKQQ TQNRMKLMADNYDEDHHHYHHHHHHHHHRSPGRSQHSNHRPSPDQLSEGLDKRIAQHCSS ILIIYCSLALLTIHQRRPAVAAGLKGRGVFAFTFLLNSVLLD >ENSMUSP00000025418.3 pep:known chromosome:GRCm38:18:67641599:67654162:1 gene:ENSMUSG00000024537.4 transcript:ENSMUST00000025418.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmg2 description:proteasome (prosome, macropain) assembly chaperone 2 [Source:MGI Symbol;Acc:MGI:1922901] MFVPCGESVPDLTNFTLLMPAVSVGNVGQLAIDLIISTLNMCKIGYFYTDCLVPMVGNNP YATEEENSNELSINTEVYSLPSKKLVVLQLRSIFIKYKSKSFCEKLLAWVESSGCARIIV LSSSHSYHRNDAQLRSTPFRYLLTPCLQKSVQNKIKSLNWLEMEKSRCIPEMSDSEFCIR IPGGGITKTLYDESCSKEIQMAVLLKFVSEGDNIPDAVSLVEYLNEWLQIIKPCNDGPMA SALPWKIPSSWRLLFGSGLPPALF >ENSMUSP00000092853.3 pep:known chromosome:GRCm38:8:83997672:84000386:1 gene:ENSMUSG00000079003.1 transcript:ENSMUST00000095228.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd1 description:sterile alpha motif domain containing 1 [Source:MGI Symbol;Acc:MGI:2142433] MAGPPALPPPETAAAATTAAAASSSAASPHYQEWILDTIDSLRSRKARPDLERICRMVRR RHGPEPERTRAELEKLIQQRAVLRVSYKGSISYRNAARVQPPRRGATPPAPPRVPRGGPA APPPTPAPPPAPVAAPTRAPRAAAATAPPSPGPAQPGPRAQRAAPLAAPPPAPAAPPAAA PPAGPRRAPPPAVAAREPPAPPQQQQPPPPQPQPPPEGGAARAGGPARPVSLREVVRYLG GSGGASGRLTRGRVQGLLEEEAARGRLERTRLGALALPRGDRPGRAPPAASARAARSKRG GEERVFEKEEEDEDEDEEEEEEDNVSEGSEVPESDRPAGAQHHQINGERGPQSAKERVKE WSPCGPYQGQDEGRGPAPGSCTRQVFPMTAVNKEGGSACVGAAPDSPSPVPLPPGKPALP GADGTPFGCPPGRKEKPTDPVEWTVMDVVEYFTEAGFPEQATAFQEQEIDGKSLLLMQRT DVLTGLSIRLGPALKIYEHHIKVLQQGHFEDDDPDGLLG >ENSMUSP00000025505.5 pep:known chromosome:GRCm38:18:60526221:60558762:1 gene:ENSMUSG00000024603.7 transcript:ENSMUST00000025505.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn4 description:dynactin 4 [Source:MGI Symbol;Acc:MGI:1914915] MASLLQSERVLYLVQGEKKVRAPLSQLYFCRYCSELRSLECVSHEVDSHYCPSCLENMPS AEAKLKKNRCANCFDCPGCMHTLSTRATSISTQLPDDPAKTTMKKAYYLACGFCRWTSRD VGMADKSVASGGWQEPENPHTQRMNKLIEYYQQLAQKEKVERDRKKLARRRNYMPLAFSD KYSLGTRLQRPRAGASISTLAGLSLREGEDQKEVKIEPAQAVAEVEPLPEDYYTRPVNLT EVTTLQQRLLQPDLQPVSASQLYPRHKHLLIKRSLRCRKCEHNLSKPEFNPTSIKFKIQL VAVNYIPEVRIMSIPNLRYMKESQVLLTLTNPVENLTHVTLLECDEGDPDNINSTAKVVV PPKELILAGKDAAAEYDELAEPQDFQDDPDIVAFRKANKVGIFIKVTPQREEGEVTVCFK MKHDFKNLAAPIRPVEEGDQGTEVIWLTQHVELSFGPLLP >ENSMUSP00000136039.1 pep:known chromosome:GRCm38:X:34160003:34161499:-1 gene:ENSMUSG00000095558.1 transcript:ENSMUST00000178219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21681 description:predicted gene, 21681 [Source:MGI Symbol;Acc:MGI:5435036] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000078654.5 pep:known chromosome:GRCm38:18:75000477:75003381:1 gene:ENSMUSG00000062328.7 transcript:ENSMUST00000079716.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl17 description:ribosomal protein L17 [Source:MGI Symbol;Acc:MGI:2448270] MVRYSLDPENPTKSCKSRGSNLRVHFKNTRETAQAIKGMHIRKATKYLKDVTLKKQCVPF RRYNGGVGRCAQAKQWGWTQGRWPKKSAEFLLHMLKNAESNAELKGLDVDSLVIEHIQVN KAPKMRRRTYRAHGRINPYMSSPCHIEMILTEKEQIVPKPEEEVAQKKKISQKKLKKQKL MARE >ENSMUSP00000071770.6 pep:known chromosome:GRCm38:15:95790843:95975464:1 gene:ENSMUSG00000064210.6 transcript:ENSMUST00000071874.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano6 description:anoctamin 6 [Source:MGI Symbol;Acc:MGI:2145890] MQMMTRKVLLNMELEEDDDEDGDIVLENFDQTIVCPTFGSLENQQDFRTPEFEEFNGKPD SLFFTDGQRRIDFILVYEDESKKENNKKGTNEKQKRKRQAYESNLICHGLQLEATRSVSD DKLVFVKVHAPWEVLCTYAEIMHIKLPLKPNDLKTRSPFGNLNWFTKVLRVNESVIKPEQ EFFTAPFEKSRMNDFYILDRDSFFNPATRSRIVYFILSRVKYQVMNNVNKFGINRLVSSG IYKAAFPLHDCRFNYESEDISCPSERYLLYREWAHPRSIYKKQPLDLIRKYYGEKIGIYF AWLGYYTQMLLLAAVVGVACFLYGYLDQDNCTWSKEVCDPDIGGQILMCPQCDRLCPFWR LNITCESSKKLCIFDSFGTLIFAVFMGVWVTLFLEFWKRRQAELEYEWDTVELQQEEQAR PEYEAQCNHVVINEITQEEERIPFTTCGKCIRVTLCASAVFFWILLIIASVIGIIVYRLS VFIVFSTTLPKNPNGTDPIQKYLTPQMATSITASIISFIIIMILNTIYEKVAIMITNFEL PRTQTDYENSLTMKMFLFQFVNYYSSCFYIAFFKGKFVGYPGDPVYLLGKYRSEECDPGG CLLELTTQLTIIMGGKAIWNNIQEVLLPWVMNLIGRYKRVSGSEKITPRWEQDYHLQPMG KLGLFYEYLEMIIQFGFVTLFVASFPLAPLLALVNNILEIRVDAWKLTTQFRRMVPEKAQ DIGAWQPIMQGIAILAVVTNAMIIAFTSDMIPRLVYYWSFSIPPYGDHTYYTMDGYINNT LSVFNITDFKNTDKENPYIGLGNYTLCRYRDFRNPPGHPQEYKHNIYYWHVIAAKLAFII VMEHIIYSVKFFISYAIPDVSKITKSKIKREKYLTQKLLHESHLKDLTKNMGIIAERIGG TVDNSVRPKLE >ENSMUSP00000065721.8 pep:known chromosome:GRCm38:15:86214459:86498503:1 gene:ENSMUSG00000051864.9 transcript:ENSMUST00000063414.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d22a description:TBC1 domain family, member 22a [Source:MGI Symbol;Acc:MGI:1289265] MASDGARKQFWKRSNSKVPGSIQHVYGAQHPPFDPLLHGTLLKSTPKVPTTPVKAKRVST FQEFESNTSDAWDAGEDDDELLAMATESLNSEVVMETAHRVLRNHSQRQSQPSQKTTEPE PEPQPIAEPPVPPSGDLRLVKSVSESHTPCPSESTGDTVPLQRSQSLPHSATVTLSGTSD PHALADSALSKRETSRLDKFKQLLAGPNTDLEELRKLSWSGIPKPVRPMTWKLLSGYLPA NVDRRPATLQRKQKEYFAFIEHYYSSRNDEVHQDTYRQIHIDIPRMSPEALILQPKVTEI FERILFIWAIRHPASGYVQGINDLVTPFFVVFICEYTDREDVDKVDVSSVPAEVLRNIEA DTYWCMSKLLDGIQDNYTFAQPGIQMKVKMLEELVSRIDERVHRHLDGHEVRYLQFAFRW MNNLLMRELPLRCTIRLWDTYQSEPEGFSHFHLYVCAAFLVRWRREILEERDFQELLLFL QNLPTARWDDQDVSLLLAEAYRLKFAFADAPNHYKK >ENSMUSP00000022890.8 pep:known chromosome:GRCm38:15:36239934:36283147:-1 gene:ENSMUSG00000022280.8 transcript:ENSMUST00000022890.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf19a description:ring finger protein 19A [Source:MGI Symbol;Acc:MGI:1353623] MQEQEISFIFKYNEGLCMNIDSDSILMSILDMSLHQQMGSDRDLQSSTSSVSLPSVKKAP KQRRISIGSLFRRKKDSKRKSRELNGGVDGIASIESIHSEMCADKNSIFSTNTSSDNGLT SISKQIGDFIECPLCLLRHSKDRFPDIMTCHHRSCVDCLRQYLRIEISESRVNISCPECT ERFNPHDIRLILSDDVLMEKYEEFMLRRWLVADPDCRWCPAPDCGYAVIAFGCASCPKLT CGREGCGTEFCYHCKQIWHPNQTCDAARQERAQSLRLRTIRSSSISYSQESGAAADDIKP CPRCAAYIIKMNDGSCNHMTCAVCGCEFCWLCMKEISDLHYLSPSGCTFWGKKPWSRKKK ILWQLGTLVGAPVGIALIAGIAIPAMIIGIPVYVGRKIHNRYEGKDVSKHKRNLAIAGGV TLSVIVSPVVAAVTVGIGVPIMLAYVYGVVPISLCRSGGCGVSAGNGKGVRIEFDDENDI NVGGTNAAIDTTSVAEARHNPSIGEGSVGGLTGSLSASGSHMDRIGTIRDNLSETASTMA LAGASITGSLSGSAMVNCFNRLEVQADVQKERCSLSGESGTVSLGTVSDNASTKAMAGSI LNSYIPLDREGNSMEVQVDIESKPFKFRHNSGSSSVDDSGATRGHTGGASSGLPEGKSSA TKWSKEATGGKKSKSGKLRKKGNMKINETREDMDAQLLEQQSTNSSEFEAPSLSDSMPSV ADSHSSHFSEFSCSDLESMRTSCSHGSSDCHARFTAVNTLPEVENDRLENSPHQCSSALL SKAASCSDVPQPSHAADEHGTSRSGGKPMVDLCFGDALRETNNNHSHQTADLKVAVQTEI >ENSMUSP00000023068.6 pep:known chromosome:GRCm38:15:85064691:85131957:-1 gene:ENSMUSG00000022432.6 transcript:ENSMUST00000023068.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc1b description:structural maintenance of chromosomes 1B [Source:MGI Symbol;Acc:MGI:2154049] MGHLELLLVENFKSWRGRQVIGPFKRFTCIIGPNGSGKSNVMDALSFVMGEKTTNLRVKN IQELIHGAHTGKPVSSSASVTIIYIEDSGEEKTFTRIIRGGCSEYHFGDKPVSRSVYVAQ LENIGIIVKAQNCLVFQGTVESISMKKPKERTQFFEEISTSGEFIGEYEAKKKKLQKAEE DAQFHFNVKKNVAAERKHAKIEKEEAEHYQNLLEELKINKIQLMLFQLYYNEEKINVLNT ELEQMDGNLSVVKDTLSHHENIFKAKKKDYGMLTRQLQQTAKELKSVEAILNQKRPQYIK AKENTSHHLKKLDLSKKLITDNEKQCSKQEDGIRALVAELADLDRAWKSFEKQMEEKILQ KGRDIELENSQLDRYKLLKEQVRRKVGIMTQQLEKLQWEQKAEKERLAFEKRRHGDTQGN LKQIKEQIEEHKKRIEKLEEYTKTCMDCLEDKKQQEEALKKEIENTKSRMSEVNEELSLI RNELQNAGIDNHEGKRQQKRAEVLEHLKRLYPDSVFGRLLDLCHPIHKKYQLAVTKLFGR YMVAIVVASEKIAKDCIRFLKAERAEPETFLALDYLDIKPINERLREIKGCKMMIDVIKT QFPQLKKVIQFVCGNGLVCETVEEARHIAFGGPERRKAVALDGTLFLKSGVISGGSSDLK HKALCWDEKELHNLRDKRSQLVQELKELMKTLRKETDLKQIQTLVQGTNTRLKYSQNELE MIKKKHLATFYREQSQLQSELLNIDSQCTMLSEGINKQQQKIEEFQDKIDEVEDDIFQDF CEEIGVENIREFENKHVKQQQENDQKRLEFEKQKTRLNIQLEYSRNQLKKKLNNIDTLKT TIQKGKEDIDNLKKTEEECLKIVEELMVKQEQIKEVLATQSSNIEKIHIQIEEERKKVLA VDREVGKLQKEVVIIQGSLEQKLLEKHNLLLDCKVQDIDISLVLGSLEDIIEMELTETES TQATADIYEKEASIQIDYSPLREDLKALQSDKEVEAHLTLLLQQVASQENTLLKTTAPNL RAQENLKTVRDKFQESADVFEASRKEARICRQEFEQVKRRRYDAFSQCFEHISVSIDQIY KKLCRNNSAQAFLSPENPEEPYLDGISYNCVAPGKRFMPMDNLSGGEKCVAALALLFAVH SFRPAPFFVLDEVDAALDNTNIGKVSSYIKEQSQEQFQMIIISLKEEFYSKADALIGVYP EHNECMFSHVLTLDLSKYPDTEDQEGSRSHRKPRVPRVSMSPKSPQSR >ENSMUSP00000049699.1 pep:known chromosome:GRCm38:10:43174704:43176562:1 gene:ENSMUSG00000045008.1 transcript:ENSMUST00000053792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030612E09Rik description:RIKEN cDNA 9030612E09 gene [Source:MGI Symbol;Acc:MGI:1921780] MLRGSGGCWRPPAPGRRAPALLGAATSPRRPLLLPSSVTWAPVGSELASEDDLEAAEAKH SGVKGGGGALKGRRDLKAERELGSGVAAPGSGGKHRPDPPLRHQCPAPKTTAGCVEAECR LEGSPAQRAVRLFARKLLPS >ENSMUSP00000049864.4 pep:known chromosome:GRCm38:16:50589859:50591154:-1 gene:ENSMUSG00000050685.4 transcript:ENSMUST00000062439.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc54 description:coiled-coil domain containing 54 [Source:MGI Symbol;Acc:MGI:1916589] MYRFHTKRVRAAAGQVWTANLHKIRRSLKNVYQKCTTHHSYSTSYPTRASYGCDGDTLSL NEDMNLPAMLQDIKTGQTELLNQMTNIVSSISNIQEKINHYQNQMEALEARINISEDRQT ATTKDMLSMKEDINTLKKKVTELESQNSYSSIHCLEVLEGQRGKEFVQLLHKLLQTETPK GTATSPDTVISSAEPERVSSYPEPTGELKKKTTSPQNITLKKNNSLQNASVGCKKVRSNI YIYPDFSTWIKLTFVHGGNWRFFLSATKLEEFIQWLLSRSTILPEEPQIIPQRDYAFTGA IGRLATICLSLFHYVYCLFGSSKEEITRL >ENSMUSP00000062178.8 pep:known chromosome:GRCm38:16:23925548:23930787:-1 gene:ENSMUSG00000047531.8 transcript:ENSMUST00000061030.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtp2 description:receptor transporter protein 2 [Source:MGI Symbol;Acc:MGI:2685451] MSTSLTTCEWKKVFYEKMEVAKPADSWELIIDPTLKPNELGPGWKQYLEQHASGRFHCSW CWHTWQSANVVILFHMHLDRAQRVGSVRMRVFKQLCYQCGTSRLDESSMLEENIEGLVDN LITSLREQCYDEDGGQYRIHVASRPDSGLHRSEFCEACQEGIVHWKPSEKLLEEDAAYTD ASKKKGQAGFISSFFSFRWCLFWGTLCLVIVYLQFFRGRSGFL >ENSMUSP00000093476.1 pep:known chromosome:GRCm38:14:56026864:56030495:-1 gene:ENSMUSG00000071361.1 transcript:ENSMUST00000095798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcpt9 description:mast cell protease 9 [Source:MGI Symbol;Acc:MGI:1194491] MQALLFLMALLLPSRAGAEEIIGGVESEPHSRPYMAYVNTFSKKGYVAICGGFLIAPQFV MTAAHCSGRRMTVTLGAHNVRKRECTQQKIKVEKYILPPNYNVSSKFNDIVLLKLKKQAN LTSAVDVVPLPGPSDFAKPGTMCWAAGWGRTGVKKSISHTLREVELKIVGEKACKIFRHY KDSLQICVGSSTKVASVYMGDSGGPLLCAGVAHGIVSSGRGNAKPPAIFTRISPHVPWIN RVIKGE >ENSMUSP00000137255.1 pep:known chromosome:GRCm38:4:104857329:104859137:1 gene:ENSMUSG00000095386.1 transcript:ENSMUST00000179793.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17662 description:predicted gene, 17662 [Source:MGI Symbol;Acc:MGI:4937296] CFPCQDHK >ENSMUSP00000097328.3 pep:known chromosome:GRCm38:10:56014298:56228689:-1 gene:ENSMUSG00000038122.8 transcript:ENSMUST00000099739.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d32 description:TBC1 domain family, member 32 [Source:MGI Symbol;Acc:MGI:2442827] MAHFSSEDEVMLQAMLRQLFQSVKEKITGAPSLECAEEILLRLEETDENFHNYEFVKYLR QHICNMLGSMIEEEMEKCTSDQNQGEDSGYDTVVQHVTKRTQESKEYKEMMHSLKNIMMV VVEAMINKFEEDETRSEDRQRKMQSGSCCTDNCSDSDSSFNQSYKFCQGKLRLILDQLDP GQPKEVRYEALQTLCSAPPSDVLSCENWTTLCEKLTTSLSDPDPMFTDRILKFYAQTFTL SPLHMTKEIYTSLAKYLEVYFLSRENHLPTLSTGVDITSPNVTRLLKKVRLLNEYQKEAP SFWIRHPEKYMEEIVESTLSLLSVKHEQSHLVPQKILDPIYFFALVDTKAVWFKKWMHAY YSRTAVLRLLEKKYKCLITTAVQQCVQYLELCEAMKADEILRHPKHCGTKQKSFYYSGQE LQYIYFIHSLCLLGRLLIYTQGRKLFPIKLKNRKDSVSLTNLLVLFTQLIYYSPSCPKMT SIMCSENYSPASMVTDVLRMLCDQKECAVECLYNSTVTEALLLPIHNLTKGTAAAPDCSE TALIHIADILARIASVEEGLILLLYGENMNSSEEESLTGAHIIAKFSKKLLEEDISIFSG SEMLPVVKGAFISVCRQIYGTCEGLQVLLPYGLHESIAKAWKKTSLLSERIPTPVEGSDS VSSVSQVSPNSVAWEDNLLDDLLNFAATPKGLLLLQRTGAINECVTFMLSQYAKKPQVNR QKKFGYEVLVVQVASTAAGAVALQNSGFISALITELWSNLECGRDDVRLTHPRATPVDPI DRSCQKSFLALVNLLSYPAVYELTANQELPNKAEYSLREVPTCIIDIMDRLIVLNSEAKI RSLLNYEQSHTFGLRLLSVVCCDLDALLLLEAQYQVSNMLLHAQEENTFEISENHRNFII DGLSVERNHVLVRINLIGGPSERILPPRMLEKGDDPYPWPMFSSYPLPHCYQSEGPRSAD LKQDNDIGNLLSCFKMSDKQTEWIENCRRQFCKTMKSKPDAVHGSALGELLEKFVLLLTE NPSECYFPSVEYTATDANVKNESLSSVQQLGMKMTVRYGRFLNLLKDGAENELALVLKHC EKFLKQQQSPVTSSLLCLQGNYAGHDWFVSSLFMIMLGDKGKTFHFLQHFSRLLTSAFLW VPRLHNSRYLPVDTLGTGIHPIYFCSAHYIEMLLKAEVPLVFSAFHMSGFAPSQICLQWI TQCFWNYLDWIEICHYIATCVVLGPDYQVYVCIAVLKHLQRDILQHTQTQDLQVFLKEEA LHGFRVSNYFEYMENLEQNYRPVLLRDMRSIRVQNT >ENSMUSP00000022338.5 pep:known chromosome:GRCm38:14:37037490:37048964:-1 gene:ENSMUSG00000021804.5 transcript:ENSMUST00000022338.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgr description:retinal G protein coupled receptor [Source:MGI Symbol;Acc:MGI:1929473] MAATRALPAGLGELEVLAVGTVLLMEALSGISLNGLTIFSFCKTPDLRTPSNLLVLSLAL ADTGISLNALVAAVSSLLRRWPHGSEGCQVHGFQGFATALASICGSAAVAWGRYHHYCTR RQLAWDTAIPLVLFVWMSSAFWASLPLMGWGHYDYEPVGTCCTLDYSRGDRNFISFLFTM AFFNFLVPLFITHTSYRFMEQKFSRSGHLPVNTTLPGRMLLLGWGPYALLYLYAAIADVS FISPKLQMVPALIAKTMPTINAINYALHREMVCRGTWQCLSPQKSKKDRTQ >ENSMUSP00000034377.6 pep:known chromosome:GRCm38:8:106150399:106164713:1 gene:ENSMUSG00000031903.6 transcript:ENSMUST00000034377.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g15 description:phospholipase A2, group XV [Source:MGI Symbol;Acc:MGI:2178076] MDRHLCTCRETQLRSGLLLPLFLLMMLADLTLPAQRHPPVVLVPGDLGNQLEAKLDKPKV VHYLCSKKTDSYFTLWLNLELLLPVIIDCWIDNIRLVYNRTSRATQFPDGVDVRVPGFGE TFSMEFLDPSKRNVGSYFYTMVESLVGWGYTRGEDVRGAPYDWRRAPNENGPYFLALREM IEEMYQMYGGPVVLVAHSMGNVYMLYFLQRQPQVWKDKYIHAFVSLGAPWGGVAKTLRVL ASGDNNRIPVIGPLKIREQQRSAVSTSWLLPYNHTWSHEKVFVYTPTTNYTLRDYHRFFR DIGFEDGWFMRQDTEGLVEAMTPPGVELHCLYGTGVPTPNSFYYESFPDRDPKICFGDGD GTVNLESVLQCQAWQSRQEHRVSLQELPGSEHIEMLANATTLAYLKRVLLEP >ENSMUSP00000023283.5 pep:known chromosome:GRCm38:15:89351004:89355659:-1 gene:ENSMUSG00000022614.7 transcript:ENSMUST00000023283.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmf2 description:lipase maturation factor 2 [Source:MGI Symbol;Acc:MGI:2146015] MASSRVPQQLFLQGVAAVYLFAFASLYTQIPGLYGPEGILPARRTLRPQGKGLWQQLWET PTLLWEAPRLGLDTAQGLDLLTLLGTVLALGALLLNSLRHPFVYLLLWVAYRSAYQVGQV FLYFQWDSLLLETGFLAILVAPLRGPSKHKILQGRLAGALPHEDLPFWLVRWLLFRLMFA SGVVKLTSRCPTWWGLTALTYHYETQCLPTPAAWFAHHLPVWLHKLSVVATFLIEIAVPP LFFAPIRRLRLTAFYAQALLQVLIIITGNYNFFNLLTLVLTTALLDDRHLSAEPGLRCHK KMPTSWPKALLTALSLLLELTVYGLLAYGTVYYFGLEVDWQQHIILSKTTFTFHQFSQWL KTVTLPTVWLGTASLAWELLVVLWRWIQVQGWSRKFSAGIQLSVLGTATVALFLISLVPY SYVEPGTHGRLWTGAHRLFGSVEHLQLANSYGLFRRMTGVGGRPEVVLEGSYDGQHWTEI EFMYKPGNVSRPPPFLTPHQPRLDWQMWFAALGPHTHSPWFTGLVLRLLQGKEPVIRLVQ SHVANYPFHERPPTYLRAQRYKYWFSKPGDQSRWWHRQWVEEFFPSVSLGDPTLETLLQQ FGLKDKSPPRARSPSNGLAQTLNWVRTQLSPLEPPILLWGLFGAVVAIRVVQTLLAPRPL QSSKQTREEKRKQTSKKDSRAASEQAAANSNSRDSWAPRRKK >ENSMUSP00000098305.3 pep:known chromosome:GRCm38:15:27655071:27681542:-1 gene:ENSMUSG00000056069.8 transcript:ENSMUST00000100739.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam105a description:family with sequence similarity 105, member A [Source:MGI Symbol;Acc:MGI:2687281] MEAPRSAPRERERARTTSGSDQVHSWILVTSQVLSAAWRIARAFVMTTLSPLSATFSYFR SLYLYLGHQLKWWIGYLQRKFKRNLSVEAEVDLLSYCAREWKGEAPRARLMRKLPEKLLF SQGCNWIQQYSFGPEKYTGSNVFGKLRKCVELLKLQWTEFSGMRDHHKRGSMCNSLFSDA ILECKLYEALKFLMLYQVTEAYEQMKTNKVIPSLFRLLFSRESSPDPLSFMMNHLNSIGD TCGLDQIDMFILGYSLQVKIKVFRLFKFNSRDFAVCYPEEPLREWPEISLLTENGHHYHI PVF >ENSMUSP00000094093.3 pep:known chromosome:GRCm38:15:76516203:76522129:-1 gene:ENSMUSG00000048385.8 transcript:ENSMUST00000096365.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrt1 description:scratch homolog 1, zinc finger protein (Drosophila) [Source:MGI Symbol;Acc:MGI:2176606] MPRSFLVKKVKLDTFSSADLDSSYGRARSDLGVRLQDKGYLSDYVGPASVYDGDAEAALL KGPSPEPMYAAAVRGELGPAASGSAPPPTPRPELATAAGGYINGDAAVSEGYAADAFFIT DGRSRRKAANANAAAAPSTASVAAPDSDAGGGGGPGTRGSGSGSASRGGTRVGAGTEARA GSGATGAGGRHACGECGKTYATSSNLSRHKQTHRSLDSQLARRCPTCGKVYVSMPAMAMH LLTHDLRHKCGVCGKAFSRPWLLQGHMRSHTGEKPFGCAHCGKAFADRSNLRAHMQTHSA FKHFQCKRCKKSFALKSYLNKHYESACFKGGASGPATPAPPQLSPVQA >ENSMUSP00000131152.1 pep:known chromosome:GRCm38:15:76516213:76521902:-1 gene:ENSMUSG00000048385.8 transcript:ENSMUST00000164703.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrt1 description:scratch homolog 1, zinc finger protein (Drosophila) [Source:MGI Symbol;Acc:MGI:2176606] MPRSFLVKKVKLDTFSSADLDSSYGRARSDLGVRLQDKGYLSDYVGPASVYDGDAEAALL KGPSPEPMYAAAVRGELGPAASGSAPPPTPRPELATAAGGYINGDAAVSEGYAADAFFIT DGRSRRKAANANAAAAPSTASVAAPDSDAGGGGGPGTRGSGSGSASRGGTRVGAGTEARA GSGATGAGGRHACGECGKTYATSSNLSRHKQTHRSLDSQLARRCPTCGKVYVSMPAMAMH LLTHDLRHKCGVCGKAFSRPWLLQGHMRSHTGEKPFGCAHCGKAFADRSNLRAHMQTHSA FKHFQCKRCKKSFALKSYLNKHYESACFKGGASGPATPAPPQLSPVQA >ENSMUSP00000023754.5 pep:known chromosome:GRCm38:15:99601400:99605477:1 gene:ENSMUSG00000043144.5 transcript:ENSMUST00000023754.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp6 description:aquaporin 6 [Source:MGI Symbol;Acc:MGI:1341204] MEPGLCSRAYLLVGGLWTAISKALFAEFLATGLYVFFGVGSVLPWPVALPSVLQIAITFN LATATAVQISWKTSGAHANPAVTLAYLVGSHISLPRAMAYIAAQLAGATAGAALLYGVTP GGIRETLGVNVVHNSTSTGQAVAVELVLTLQLVLCVFASMDGRQTLASPAAMIGTSVALG HLIGIYFTGCSMNPARSFGPAVIVGKFAVHWIFWVGPLTGAVLASLIYNFILFPDTKTVA QRLAILVGTTKVEKVVDLEPQKKESQTNSEDTECLTSPCEEAVRSFSFTLGLC >ENSMUSP00000136536.1 pep:known chromosome:GRCm38:4:42466752:42589938:1 gene:ENSMUSG00000095779.1 transcript:ENSMUST00000179734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2163 description:predicted gene 2163 [Source:MGI Symbol;Acc:MGI:3780332] MGLVTCSHSQQAKGTIPMPHLFAKTREMLKSHVDSKCEQIREGKVPAQVWKSWECKIPGS LATVAPFPWIPQGQCRKLQAESKSDPDLLHKVVPWKPKTLSQETQTLSGTLFEHCKKPQS LPKETIKKLETTLHHKYLAFLSGLPALYCVALSRPASPAVTSQPRLREKMPKAVKSPSNA LTQITPLEPCAQDDSGVSADTAEEFQPGAEADRRTEKVPAESQPPPCRPYPINTHILAKL NFHLKKKILAMQFGISEKEKREYKELGTADLESESIQEFLRSLHMSESTLLQEQPVACPS LPAPNAKGVHPKKQPASAVQDVCQAQRPPPSKAVPHSSAQQSSKASQSQRDKTQVCVDME AGGKRFNLEKSKVVGDLGEGDAGLGFSLVSQKTRQDGEQEKRLLHRPLQGSSQQGHTFHL EDACPHSPRESPELQFPDPPPEVFMETDSEQDMEDSQSEESIVPEPEILFSIY >ENSMUSP00000002845.6 pep:known chromosome:GRCm38:17:46681137:46683105:1 gene:ENSMUSG00000002768.7 transcript:ENSMUST00000002845.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mea1 description:male enhanced antigen 1 [Source:MGI Symbol;Acc:MGI:96957] MAAVVLGGDTMGPERIFPNQTEDLGPHQGPTEGTGDWSSEEPEEEQEETGAGPAGYSYQP LNQDPEQEEVELAPVGEGEDGAADIQDRIQALGLHLPDPPLESEDEDEEGAAALSSHSSI PMDPEHVELVKRTMAGVSLPAPGVPAWAREISDAQWEDVVQKALQARQASPAWK >ENSMUSP00000130176.1 pep:known chromosome:GRCm38:15:103313895:103340086:-1 gene:ENSMUSG00000000552.9 transcript:ENSMUST00000168828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp385a description:zinc finger protein 385A [Source:MGI Symbol;Acc:MGI:1352495] MILGSLSRAGPLPLLRQPPIMQPPMDLKQILPFPLEPAPTLGLFSNYSTMDPVQKAVLSH TFGGPLLKTKRPVISCNVCQIRFNSQSQAEAHYKGNRHARRVKGIEAAKTRGREPSVRES GDPAPAGSIPPSGDGVAPRPVSMENGLGPAPGSPEKQPGSPSPPSVPESGQGVTKGEGGT SVPASLPGGSKEEEEKAKRLLYCALCKVAVNSLSQLEAHNKGTKHKTILEARSGLGPIKA YPRLGPPTPGEPEAPAQDRTFHCEICNVKVNSEVQLKQHISSRRHRDGVAGKPNPLLSRH KKPRGAAELAGTLTFSKELPKSLAGGLLPSPLAVAAVMAAAAGSPLSLRPAPAAPLLQGP PITHPLLHPAPGPIRTAHGPILFSPY >ENSMUSP00000041906.9 pep:known chromosome:GRCm38:14:99076634:99099770:-1 gene:ENSMUSG00000033166.10 transcript:ENSMUST00000042471.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dis3 description:DIS3 homolog, exosome endoribonuclease and 3'-5' exoribonuclease [Source:MGI Symbol;Acc:MGI:1919912] MLRSKTFLKKTRAGGVVKIVREHYLRDDIGCGAPACSACGGAHAGPALELQPRDQASSLC PWPHYLLPDTNVLLHQIDVLEHPAIRNVIVLQTVMQEVRNRSAPIYKRIRDVTNNQEKHF YTFTNEHHKETYIEQEQGENANDRNDRAIRVAAKWYNEHLKRVAADSQLQVILITNDRKN KEKAVQEGIPAFTCEEYVKSLTANPELIDRLAYLSDEMNEIESGKIIFSEHLPLSKLQQG IKSGSYLQGTFRASRENYLEATVWIHGDKEEEKEILIQGIKHLNRAVHEDIVAVELLPRS QWVAPSSVVLDDEGQNEDDVEKDEERELLLKTAVSEKMLRPTGRVVGIIKRNWRPYCGML SKSDIKESRRHLFTPADKRIPRIRIETRQASALEGRRIIVAIDGWPRNSRYPNGHFVKNL GDVGEKETETEVLLLEHDVPHQPFSQAVLSFLPRMPWSITEEDMKNREDLRHLCVCSVDP PGCTDIDDALHCRELSNGNLEVGVHIADVSHFIRPGNALDQESARRGTTVYLCEKRIDMV PELLSSNLCSLRSNVDRLAFSCIWEMNHNAEILKTRFTKSVINSKASLTYAEAQMRIDSA AMNDDITTSLRGLNQLAKILKKGRIEKGALTLSSPEIRFHMDSETHDPIDLQTKELRETN SMVEEFMLLANISVAKKIHEEFSEHALLRKHPAPPPSNYDILVKAAKSKNLQIKTDTAKS LADSLDRAESPDFPYLNTLLRILATRCMMQAVYFCSGMDNDFHHYGLASPIYTHFTSPIR RYADIIVHRLLAVAIGADCTYPELTDKHKLSDICKNLNFRHKMAQYAQRASVAFHTQLFF KSKGIVSEEAYILFVRKNAIVVLIPKYGLEGTVFFEEKDKPKPRLAYDDEIPSLRIEGTV FHVFDKVKVKITLDSSNLQHQKIRMALVEPQIPGINIPPNVADKALTAPGGKKRKLEK >ENSMUSP00000127275.1 pep:known chromosome:GRCm38:17:25794571:25797045:-1 gene:ENSMUSG00000002274.12 transcript:ENSMUST00000165838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metrn description:meteorin, glial cell differentiation regulator [Source:MGI Symbol;Acc:MGI:1917333] MLVATLLCALCCGLLAASAHAGYSEDRCSWRGSGLTQEPGSVGQLTLDCTEGAIEWLYPA GALRLTLGGPDPGTRPSIVCLRPERPFAGAQVFAERMTGNLELLLAEGPDLAGGRCMRWG PRERRALFLQATPHRDISRRVAAFRFELHEDQRAEMSPQAQGLGVDGACRPCSDAELLLA ACTSDFVIHGTIHGVAHDTELQESVITVVVARVIRQTLPLFKEGSSEGQGRASIRTLLRC GVRPGPGSFLFMGWSRFGEAWLGCAPRFQEFSRVYSAALTTHLNPCEMALD >ENSMUSP00000002344.6 pep:known chromosome:GRCm38:17:25794949:25797136:-1 gene:ENSMUSG00000002274.12 transcript:ENSMUST00000002344.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Metrn description:meteorin, glial cell differentiation regulator [Source:MGI Symbol;Acc:MGI:1917333] MLVATLLCALCCGLLAASAHAGYSEDRCSWRGSGLTQEPGSVGQLTLDCTEGAIEWLYPA GALRLTLGGPDPGTRPSIVCLRPERPFAGAQVFAERMTGNLELLLAEGPDLAGGRCMRWG PRERRALFLQATPHRDISRRVAAFRFELHEDQRAEMSPQAQGLGVDGACRPCSDAELLLA ACTSDFVIHGTIHGVAHDTELQESVITVVVARVIRQTLPLFKEGSSEGQGRASIRTLLRC GVRPGPGSFLFMGWSRFGEAWLGCAPRFQEFSRVYSAALTTHLNPCEMALD >ENSMUSP00000132801.1 pep:known chromosome:GRCm38:8:26977336:26981461:1 gene:ENSMUSG00000085795.7 transcript:ENSMUST00000127097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp703 description:zinc finger protein 703 [Source:MGI Symbol;Acc:MGI:2662729] MSDSPAGSNPRTPESSGSGGGSSSGGGGGKRPAVPAVVSLLPPADPLRQANRLPIRVLKM LSAHTGHLLHPEYLQPLSSTPVSPIEMGKLSGKGRITIRITEKSPLTGRAVVEPFLLRAS AHVTLGD >ENSMUSP00000128757.1 pep:known chromosome:GRCm38:8:26977336:26981461:1 gene:ENSMUSG00000085795.7 transcript:ENSMUST00000154256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp703 description:zinc finger protein 703 [Source:MGI Symbol;Acc:MGI:2662729] MSDSPAGSNPRTPESSGSGGGSSSGGGGGKRPAVPAVVSLLPPADPLRQANRLPIRVLKM LSAHTGHLLHPEYLQPLSSTPVSPIELDAKKSPLALLAQTCSQIGKPDPPPSSKLNSVAA AAANGLGSEKDPSRSAPGAASAAAALKQLGDSPAEDKSSFKPYSKGSGGGDSRKDSGSSS VSSTTSSSSSSPGDKAGFRVPSAACPPFPPHGASVSTSSNSSSPGGSRGGSPHHSDCKNG GGGAGELDKKEQEAKPSPEPAAGSRGSGGDSAHGGPEATASGRKSEPPSALVGAGHVAPV SPYKPGHSVFPLPPSSIGYHGSIVGAYAGYPSQFVPGLDPSKSGLVGGQLSGGLGLPPGK PPSSSPLTGASPPSFLQGLCRDPYCLGGYHSASHLGGSSCSTCSAHDPTGPSLKASGYPL VYPGHPLQPAALSSSAAQAALPGHPLYTYGFMLQNEPLPHSCNWVAASGPCDKRFATSEE LLSHLRTHTALPGAEKLLAAYPGASSLGSAAAAAAAAASCHLHLPPPAAPGSPGSLSLRS PHTLGLSRYHPYGKSHLSTAGGLAVPSLPTAGPYYSPYALYGQRLASASALGYQ >ENSMUSP00000045552.5 pep:known chromosome:GRCm38:14:64950045:65039835:1 gene:ENSMUSG00000021975.7 transcript:ENSMUST00000043914.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints9 description:integrator complex subunit 9 [Source:MGI Symbol;Acc:MGI:1098533] MLWAIEIKPEDPSLSLEVKRLVLNNQKSDMKLYCLSGHPTLPCNVLKFKSTTIMLDCGLD MTSTLNFLPLPLVQSPRLSNLPGWSLKDGNAFLDKELKECSGHVFVDSVPEFCLPETELI DLSTVDVILISNYHCMMALPYITEHTGFTGTVYATEPTMQIGRLLMEELVNFIERVPKAQ SASLWKNKDIQRLLPSPLKDAVEVSTWRRCYTMQEVNSALSKIQLVGYSQKIELFGAVQV TPLSSGYALGSSNWIIQSHYEKVSYVSGSSLLTTHPQPMDQASLKNSDVLILTGLTQIPT ANPDGMVGEFCSNLALTVRNGGNVLVPCYPSGVIYDLLECLYQYIDSAGLSNIPFYFISP VANSSLEFSQIFAEWLCHNKQSKVYLPEPPFPHAELIQTNKLKHYRSIHGDFSNDFRQPC VLFTGHPSLRFGDVVHFMELWGKSSLNTIIFTEPDFSYLEALAPYQPLAMKCIYCPIDTR LNFIQVSKLLKEVQPLHVVCPEQYTQPPPAQAHRMDLMIDCQPPAMSYRRAEVLALPFKR RYEKIEIMPELADSLVPMEIKPGISLATVSAVLHTKDNKHVLQPPPKPTQPTSSKKRKRV NEDIPDCKVLKPLLSGSIPVEQFVQTLEKHGFSDIKVEDTAKGHIVLLQEAETLIQIEED STHIICDNDETLRVRLRDLVLRFLQKF >ENSMUSP00000097565.4 pep:known chromosome:GRCm38:10:30196011:30200540:-1 gene:ENSMUSG00000075266.4 transcript:ENSMUST00000099985.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpw description:centromere protein W [Source:MGI Symbol;Acc:MGI:1913561] MAPSTTVTRRVKRKAPRAFLKRTLKQKKPHLGLGRCCDLLIHLNCLLFIQRLAEESRTNA CESKSRVIKKDHVLAAGKVILKKSRG >ENSMUSP00000024839.4 pep:known chromosome:GRCm38:17:31844248:31855792:-1 gene:ENSMUSG00000024042.6 transcript:ENSMUST00000024839.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sik1 description:salt inducible kinase 1 [Source:MGI Symbol;Acc:MGI:104754] MVIMSEFSAVPSGTGQGQQKPLRVGFYDVERTLGKGNFAVVKLARHRVTKTQVAIKIIDK TRLDSSNLEKIYREVQLMKLLNHPNIIKLYQVMETKDMLYIVTEFAKNGEMFDYLTSNGH LSENEARQKFWQILSAVEYCHNHHIVHRDLKTENLLLDSNMDIKLADFGFGNFYKPGEPL STWCGSPPYAAPEVFEGKEYEGPQLDVWSLGVVLYVLVCGSLPFDGPNLPTLRQRVLEGR FRIPFFMSQDCETLIRRMLVVDPAKRITIAQIRQHRWMQADPTLLQQDDPAFDMQGYTSN LGDYNEQVLGIMQALGIDRQRTIESLQNSSYNHFAAIYYLLLERLKEHRSAQPSSRPTPA PTRQPQLRSSDLSSLEVPQEILPCDPFRPSLLCPQPQALAQSVLQAEIDCDLHSSLQPLL FPLDTNCSGVFRHRSISPSSLLDTAISEEARQGPSLEEEQEVQEPLPGSTGRRHTLAEVS THFSPLNPPCIIVSSSATASPSEGTSSDSCLPFSASEGPAGLGSGLATPGLLGTSSPVRL ASPFLGSQSATPVLQTQAGLGTAVLPPVSFQEGRRASDTSLTQGLKAFRQQLRKNARTKG FLGLNKIKGLARQVCQSSVRTPRGGMSTFHTPAPSSGLQGCTTSNREGRSLLEEVLHQQR LLQLQHHSSTAAASSGCQQGPQLSPVPYVLAPCDSLLVSGIPLLPTPLLQAGMSPVASAA HLLDTHLHISAGPVALPTGPLPQCLTRLSPGCDPAGLPQGDCEMEDLTSGQRGTFVLVQ >ENSMUSP00000072388.5 pep:known chromosome:GRCm38:7:45783947:45804109:1 gene:ENSMUSG00000062044.14 transcript:ENSMUST00000072580.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmtk3 description:lemur tyrosine kinase 3 [Source:MGI Symbol;Acc:MGI:3039582] MPAPGALILLAAVSASGCLASPAHPDGFALSRAPLAPPYAVVLISCSGLLAFIFLLLTCL CCKRGDVRFKEFENPEGEDCSGEYTPPAEETSSSQSLPDVYILPLAEVSLPMPAPQPPHS DISTPLGLSRQHLSYLQEIGSGWFGKVILGEVFSDYSPAQVVVKELRASAGPLEQRKFIS EAQPYRSLQHPNVLQCLGVCVETLPFLLIMEFCQLGDLKRYLRAQRPPEGMSPELPPRDL RTLQRMGLEIARGLAHLHSHNYVHSDLALRNCLLTSDLTVRIGDYGLAHSNYKEDYYLTP ERLWVPLRWAAPELLGELHGSFVLVDQSRESNVWSLGVTLWELFEFGAQPYRHLSDEEVL AFVVRQQHVKLARPRLKLPYADYWYDILQSCWRPPAQRPSASDLQLQLTYLLSERPPRPP PPPPPPRDGPFPWPWPPSHSAPRPGTLSSQFPLLDGFPGADPDDVLTVTESSRGLNLECL WEKARRGAGRGGGAPPWQPASAPPAPHTNPSNPFYEALSTPSVLPVISARSPSVSSEYYI RLEEHGSPPEPLFPNDWDPLDPGVPGPQAPQTPSEVPQLVSETWASPLFPAPRPFPAQSS GSGGFLLSGWDPEGRGAGETLAGDPAEVLGEQGTAPWAEEEEEESSPGEDSSSLGGGPSR RGPLPCPLCSREGPCSCLPLERGDAVAGWGDHPALGCPHPPEDDSSLRAERGSLADLPLV PPTSAPLEFLDPLMGAAAPQYPGRGPPPAPPPPPPPPRASAEPAASPDPPSALASPGSGL SSPGPKPGDSGYETETPFSPEGAFPGGGAAEEEGVPRPRAPPEPPDPGAPRPPPDPGPLP LPGSQEKPTFVVQVSTEQLLMSLREDVTKNLLGDKGSTPGETGPRKAGRSPANREKGPGP NRDLTSLVSRKKVPSRSLPVNGVTVLENGKPGVPDMKEKVAENGLESPEKEERALVNGEP MSPEAGEKVLANGVLMSPKSEEKVAENGVLRLPRNTERPPEIGPRRVPGPWEKTPETGGL APETLLDRAPAPCEAALPQNGLEMAPGQLGPAPKSGNPDPGTEWRVHESGGAPRAPGAGK LDLGSGGRALGGVGTAPAGGPASAVDAKAGWVDNSRPLPPPPQPLGAQQRRPEPVPLKAR PEVAQEEEPGVPDNRLGGDMAPSVDEDPLKPERKGPEMPRLFLDLGPPQGNSEQIKAKLS RLSLALPPLTLTPFPGPGPRRPPWEGADAGAAGGEAGGAGAPGPAEEDGEDEDEDEEDEE AAGSRDPGRTREAPVPVVVSSADGDTVRPLRGLLKSPRAADEPEDSELERKRKMVSFHGD VTVYLFDQETPTNELSVQGTPEGDTEPSTPPAPPTPPHPTTPGDGFPNSDSGFGGSFEWA EDFPLLPPPGPPLCFSRFSVSPALETPGPPARAPDARPAGPVEN >ENSMUSP00000112592.1 pep:known chromosome:GRCm38:7:45785380:45804140:1 gene:ENSMUSG00000062044.14 transcript:ENSMUST00000120005.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmtk3 description:lemur tyrosine kinase 3 [Source:MGI Symbol;Acc:MGI:3039582] MPAPGALILLAAVSASGCLASPAHPDGFALSRAPLAPPYAVVLISCSGLLAFIFLLLTCL CCKRGDVRFKEFENPEGEDCSGEYTPPAEETSSSQSLPDVYILPLAEVSLPMPAPQPPHS DISTPLGLSRQHLSYLQEIGSGWFGKVILGEVFSDYSPAQVVVKELRASAGPLEQRKFIS EAQPYRSLQHPNVLQCLGVCVETLPFLLIMEFCQLGDLKRYLRAQRPPEGMSPELPPRDL RTLQRMGLEIARGLAHLHSHNYVHSDLALRNCLLTSDLTVRIGDYGLAHSNYKEDYYLTP ERLWVPLRWAAPELLGELHGSFVLVDQSRESNVWSLGVTLWELFEFGAQPYRHLSDEEVL AFVVRQQHVKLARPRLKLPYADYWYDILQSCWRPPAQRPSASDLQLQLTYLLSERPPRPP PPPPPPRDGPFPWPWPPSHSAPRPGTLSSQFPLLDGFPGADPDDVLTVTESSRGLNLECL WEKARRGAGRGGGAPPWQPASAPPAPHTNPSNPFYEALSTPSVLPVISARSPSVSSEYYI RLEEHGSPPEPLFPNDWDPLDPGVPGPQAPQTPSEVPQLVSETWASPLFPAPRPFPAQSS GSGGFLLSGWDPEGRGAGETLAGDPAEVLGEQGTAPWAEEEEEESSPGEDSSSLGGGPSR RGPLPCPLCSREGPCSCLPLERGDAVAGWGDHPALGCPHPPEDDSSLRAERGSLADLPLV PPTSAPLEFLDPLMGAAAPQYPGRGPPPAPPPPPPPPRASAEPAASPDPPSALASPGSGL SSPGPKPGDSGYETETPFSPEGAFPGGGAAEEEGVPRPRAPPEPPDPGAPRPPPDPGPLP LPGSQEKPTFVVQVSTEQLLMSLREDVTKNLLGDKGSTPGETGPRKAGRSPANREKGPGP NRDLTSLVSRKKVPSRSLPVNGVTVLENGKPGVPDMKEKVAENGLESPEKEERALVNGEP MSPEAGEKVLANGVLMSPKSEEKVAENGVLRLPRNTERPPEIGPRRVPGPWEKTPETGGL APETLLDRAPAPCEAALPQNGLEMAPGQLGPAPKSGNPDPGTEWRVHESGGAPRAPGAGK LDLGSGGRALGGVGTAPAGGPASAVDAKAGWVDNSRPLPPPPQPLGAQQRRPEPVPLKAR PEVAQEEEPGVPDNRLGGDMAPSVDEDPLKPERKGPEMPRLFLDLGPPQGNSEQIKAKLS RLSLALPPLTLTPFPGPGPRRPPWEGADAGAAGGEAGGAGAPGPAEEDGEDEDEDEEDEE AAGSRDPGRTREAPVPVVVSSADGDTVRPLRGLLKSPRAADEPEDSELERKRKMVSFHGD VTVYLFDQETPTNELSVQGTPEGDTEPSTPPAPPTPPHPTTPGDGFPNSDSGFGGSFEWA EDFPLLPPPGPPLCFSRFSVSPALETPGPPARAPDARPAGPVEN >ENSMUSP00000071378.5 pep:known chromosome:GRCm38:17:46772635:46773407:1 gene:ENSMUSG00000062619.5 transcript:ENSMUST00000071430.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310039H08Rik description:RIKEN cDNA 2310039H08 gene [Source:MGI Symbol;Acc:MGI:1914351] MSWWQDMDQRGGVSSPSGALASAEPAPASVTLAELLHLVQKGQEVPGLEKRHITATHGEP TASLLPRRPKPWEDAGSAASPCTIALDTRTQPPTIEERSRGSPAAQLDGGPRVS >ENSMUSP00000110585.1 pep:known chromosome:GRCm38:17:26937973:26939478:-1 gene:ENSMUSG00000024194.15 transcript:ENSMUST00000114935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cuta description:cutA divalent cation tolerance homolog [Source:MGI Symbol;Acc:MGI:1914925] MPALLPVASRLLLLPRALLSMASGSPPSQPPPASGSGYVPGSVSAAFVTCPNEKVAKEIA RAVVEKRLAACVNLIPQITSIYEWKGKIEEDSEVLMMIKTQSSLVPALTEFVRSVHPYEV AEVIALPVEQGNPPYLHWVHQVTESVSNSGTALP >ENSMUSP00000025027.8 pep:known chromosome:GRCm38:17:26937973:26939468:-1 gene:ENSMUSG00000024194.15 transcript:ENSMUST00000025027.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cuta description:cutA divalent cation tolerance homolog [Source:MGI Symbol;Acc:MGI:1914925] MNWGRAPGVLLGGGATLLLSFLWMPALLPVASRLLLLPRALLSMASGSPPSQPPPASGSG YVPGSVSAAFVTCPNEKVAKEIARAVVEKRLAACVNLIPQITSIYEWKGKIEEDSEVLMM IKTQSSLVPALTEFVRSVHPYEVAEVIALPVEQGNPPYLHWVHQVTESVSNSGTALP >ENSMUSP00000023099.6 pep:known chromosome:GRCm38:15:96687394:96699698:-1 gene:ENSMUSG00000022462.6 transcript:ENSMUST00000023099.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a2 description:solute carrier family 38, member 2 [Source:MGI Symbol;Acc:MGI:1915010] MKKTEMGRFNISPDEDSSSYSSNSDFNYSYPTKQAALKSHYADVDPENQNFLLESNLGKK KYETDFHPGTTSFGMSVFNLSNAIVGSGILGLSYAMANTGIALFIILLTFVSIFSLYSVH LLLKTANEGGSLLYEQLGHKAYGLAGKLAASGSITMQNIGAMSSYLFIVKYELPLVIKAL MNIEDTNGLWYLNGDYLVLLVSLVLILPLSLLRNLGYLGYTSGLSLLCMIFFLIVVICKK FQIPCPVEAALVANETVNGTFTQAALALAFNSTADDACRPRYFIFNSQTVYAVPILTFSF VCHPAVLPIYEELKSRSRRRMMNVSKISFFAMFLMYLLAALFGYLTFYGHVESELLHTYS EIVGTDILLLVVRLAVLVAVTLTVPVVIFPIRSSVTHLLCPTKEFSWLRHSIITVTILSF TNLLVIFVPTIRDIFGFIGASAAAMLIFILPSAFYIKLVKKEPMRSVQKIGALCFLLSGI VVMIGSMGLIVLDWVHDASAAGGH >ENSMUSP00000034393.5 pep:known chromosome:GRCm38:8:107061484:107065644:-1 gene:ENSMUSG00000031919.5 transcript:ENSMUST00000034393.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed6 description:transmembrane emp24 protein transport domain containing 6 [Source:MGI Symbol;Acc:MGI:1913519] MFPLLLVAELVVLSLVTSVKSQETDPLHGSKDQPLFRGADRNDFAIVVSPGAIECFWQFA DQMGYLYFSYEVQRILGMSHDRHIVATAHTPQGFLIDTSQDVRGQINFATQETGFYQLCL KNEQNRFSSIQVYLNFGVFYEGPEVDHKQSQRKQLNDTLDAIKDSTQRVENQVFHMWRFY NYARMRKVADFFLLQSNYTYVNWWSTAQSLAIVLSGALQLYFLKRLFTASTTDTKKPRC >ENSMUSP00000129536.1 pep:known chromosome:GRCm38:17:32659410:32676687:1 gene:ENSMUSG00000090700.1 transcript:ENSMUST00000165061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f40 description:cytochrome P450, family 4, subfamily f, polypeptide 40 [Source:MGI Symbol;Acc:MGI:3645508] MRYLDLSWLGLGPMSASPWLLFFLVGISWFLARFLTQLYTLYAKCQRLCGFPQPPKKNWF WGHLGMSPPTEEGMKQVTELVTTYPQGFMTWLGPIVPLITLCHPDIIRSVLSASAAVAPK DDIFYSFLKPWLGDGLLVSAGDKWNRHRRMLTPAFHFNILKPYVKIFNDSTNIMHAKWLR LASGGSTRLNMFENISLMTLDTLQKCVFSFNSNCQEKPSQYIAAILELSTLAVKRNEQLL MHVDLLYRLTPDGMRFYKACRLVHDFTDAVIQERRRTLLKHGGDDIIKAKAKSKTLDFID VLLLTKDEDGKELSDEDIRAEADTFMFRGHDTTASGLSWILYNLARHPEHQERCRQEVQE LLRDRDPKEIEWDDLAQLPFLTMCIKESLRLHPPVTMVSRCCTQDISLPDGRIIPKGVIC IINIFGTHHNPTVWRDPEVYDPFRFDPENIQARSPLSFIPFSAGPRNCIGQTFAMSEMKV ALALTLLRFRILPDDKEPRRKPELILRAEGGLWLRVEPLSTQAQ >ENSMUSP00000096061.1 pep:known chromosome:GRCm38:7:45233632:45238839:-1 gene:ENSMUSG00000030798.14 transcript:ENSMUST00000098461.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd37 description:CD37 antigen [Source:MGI Symbol;Acc:MGI:88330] MSAQESCLSLIKYFLFVFNLFFFVLGGLIFCFGTWILIDKTSFVSFVGLSFVPLQTWSKV LAVSGVLTMALALLGCVGALKELRCLLGLYFGMLLLLFATQITLGILISTQRVRLERRVQ ELVLRTIQSYRTNPDETAAEESWDYAQFQLRCCGWQSPRDWNKAQMLKANESEEPFVPCS CYNSTATNDSTVFDKLFFSQLSRLGPRAKLRQTADICALPAKAHIYREGCAQSLQKWLHN NIISIVGICLGVGLLELGFMTLSIFLCRNLDHVYDRLARYR >ENSMUSP00000062474.8 pep:known chromosome:GRCm38:8:110079764:110090181:1 gene:ENSMUSG00000044676.9 transcript:ENSMUST00000058804.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp612 description:zinc finger protein 612 [Source:MGI Symbol;Acc:MGI:2443465] MIPSVWPQKSVTFEDVAVYFTQTEWDGLSPGQRALYRDVMLENYRNLASVGFPLLKPAVI SQLEERKDLENLFQLATGTDSQGLWTECTAVQSGNNLTKEVHEEEKSTLFELQKDFSQET NFSKTYILGQQQEIRSAGSERGSASATDGRAKASSVEGCLFSQTPQLSQDHTSSTGELYS DTKLSKSERITTEERPLKPRELAEASQGSPQVNQLPEICDVEKPYQCAECGKAFSVKAKF VWHQRLHNGEKPFKCVECGKCFSYSSHYITHQTIHSGEKPYQCKVCGKAFSVNGSLVRHQ RIHTGEKPYQCKDCGAGFGCSSAYITHQRTHTGEKPYECSDCGKAFNVNAKLIQHQRIHT GEKPYECDVCGKGFRCSTQLRQHQSIHTGEKPHRCSECGKGFTKNAKLIQHQRVHTGEKP YACSDCGKTFSAKGKLIQHQRIHTGERPYECSECGKSFRCNSQLRQHLRIHTGEKPYKCS ECGKAFNVNAKLMQHRRTHTGEKPFECKECGKCFTSKRNLLDHQRAHTGEKPYQCSECGK AFSINAKLTRHQQIHTREKPFKCMECEKAFSCSSDYIVHQRIHTGEKPFQCGECGKAFHV NAHLIRHQRSHTGEKPFRCTECGKGFSLSSDCIIHQTVHTWKKPYVCNVCGKTFRFSFQL SQHQDVHNEDKS >ENSMUSP00000128261.1 pep:known chromosome:GRCm38:8:69822429:69831101:1 gene:ENSMUSG00000031861.15 transcript:ENSMUST00000164890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar2 description:lysophosphatidic acid receptor 2 [Source:MGI Symbol;Acc:MGI:1858422] MGQCYYNETIGFFYNNSGKELSLHWRPKDVVVVALGLTVSVLVLLTNLLVIAAIASNRRF HQPIYYLLGNLAAADLFAGMAYLFLMFHTGPRTARLSIKGWFLRQGLLDTSLTASVATLL AIAVERHRSVMAVQLHSRLPRGRVVTLIVGVWAAALGLGLLPAHFWHCLCDLDSCSRMVP LFSRSYLAAWALSSLLVFLLMVAVYTRIFFYVRRRVERMAEHVSCHPRYRETTLSLVKTV VIILGAFVVCWTPGQVVLLLDGLDCKSCNVLAVEKYFLLLAEANSLVNAVVYSCRDAEMR RTFRRLLCCMCLRWSSHKSARYSASAQTGASTRIMLPENGRPLMDSTL >ENSMUSP00000034325.4 pep:known chromosome:GRCm38:8:69822565:69831102:1 gene:ENSMUSG00000031861.15 transcript:ENSMUST00000034325.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar2 description:lysophosphatidic acid receptor 2 [Source:MGI Symbol;Acc:MGI:1858422] MGQCYYNETIGFFYNNSGKELSLHWRPKDVVVVALGLTVSVLVLLTNLLVIAAIASNRRF HQPIYYLLGNLAAADLFAGMAYLFLMFHTGPRTARLSIKGWFLRQGLLDTSLTASVATLL AIAVERHRSVMAVQLHSRLPRGRVVTLIVGVWAAALGLGLLPAHFWHCLCDLDSCSRMVP LFSRSYLAAWALSSLLVFLLMVAVYTRIFFYVRRRVERMAEHVSCHPRYRETTLSLVKTV VIILGAFVVCWTPGQVVLLLDGLDCKSCNVLAVEKYFLLLAEANSLVNAVVYSCRDAEMR RTFRRLLCCMCLRWSSHKSARYSASAQTGASTRIMLPENGRPLMDSTL >ENSMUSP00000063201.2 pep:known chromosome:GRCm38:17:47488050:47502287:-1 gene:ENSMUSG00000023980.6 transcript:ENSMUST00000067103.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf8 description:TAF8 RNA polymerase II, TATA box binding protein (TBP)-associated factorq [Source:MGI Symbol;Acc:MGI:1926879] MADTAAGPGGSGTRPGSKQSTNPADNYHLARRRTLQVVVSSLLTEAGFESAEKASVETLT EMLQSYISEIGRSAKSYCEHTARTQPTLSDIVVTLVEMGFNVDTLPAYAKRSQRMVITAP PVTNQPVTPKALTAGQNRPHPPHIPSHFPEFPDPHTYIKTPTYREPVSDYQILREKAASQ RRDVERALTRFMAKTGETQSLFKDDVSTFPLIAARPFTIPYLTALLPSELEIQQMEETDS SEQEEQTDTENNALHISTDDSGAEKESASVLQQSSSLSGSRNGEESVIDNPYLRPVKKPK IRRKKSLS >ENSMUSP00000039709.7 pep:known chromosome:GRCm38:8:41239759:41334086:1 gene:ENSMUSG00000031592.9 transcript:ENSMUST00000045218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcm1 description:pericentriolar material 1 [Source:MGI Symbol;Acc:MGI:1277958] MATGGGPFEEVMHDQDLPNWSNDSVDDRLNNMEWGGQQKKANRSSEKNKKKFGVASDKRV TNAISPESSPGVGRRRTKIPHTFPHSRYMTQMSVPEQAELEKLKQRINFSDLDQRSIGSD SQGRATAANNKRQLSENRKPFNFLPMQINTNKSKDATASLPKREMTTSAQCKELFASALS NDLLQNCQVSEEDGRGEPAMESSQIVSRLVQIRDYITKASSMREDLVEKNERSANVERLT HLIEHLKEQEKSYMKFLQKILARDPQQEPMEETENLKKQHDLLKRMLQQQEQLRALQGRQ AALLALQHKAEQAIAVMDDSVVTETTGSLSGVSITSELNEELNDLIQRFHNQLRDSQPPA VPDNRRQAESLSLTREISQSRNPSVSEHLPDEKVQLFSKMRVLQEKKQKMDKLLGELHNL RDQHLNNSSFVPSTSLQRSGDKRSSTVALSAPVGFASAVNGEANSLISSVPCPATSLVSQ NESENEGHLNPAEKLQKLNEVQKRLNELRELVHYYEQTSDMMTDAVNENTKDEETEESEY DSEHENSEPVTNIRNPQVASTWNEVNTNSNTQCGSNNRDGRPVNSNCEINNRSAANIRAL NMPPLDCRYNREGEQRLHVAHGEDEEEEVEEEGVSGASLSSRRSSLVDEAPEDEEFEQKI SRLMAAKEKLKQLQDLVAMVQDDDATQVVVPAASNLDDFYAAEEDIKQNSNNARENSNKI DTGVNEKTREKFYEAKLQQQQRELKQLQEERKKLIEIQEKIQAVQKACPDLQLSATSISS GPTKKYLPAITSTPTVNENDSSTSKCVIDPEDSSVVDNELWSDMRRHEMLREELRQRRKQ LEALMAEHQRRQGLAETSSPVAISLRSDGSENLCTPQQSRTEKTMATWGGSTQCALDEEG DEDGYLSEGIVRTDEEEEEEQDASSNDNFPIYPPSMNQNSYNVKETKTRWKSNRPVSADG NYRPLAKTRQQNISMQRQENLRWVSELSYIEEKEQWQEQINQLKKQLDFSVNICQTLMQD QQTLSCLLQTLLTGPYSVLPSNVASPQVHLIMHQLNQCYTQLTWQQNNVQRLKQMLTELM RQQNQHPEKPRSKERGSSASHPSSPNLFCPFSFPTQPVNLFNLPGFTNFPSFAPGMNFSP LFPSNFGDFSQNVSTPTEQQQPLAQNPSGKTEYMAFPKPFESSSSLGAEKQRNQKQPEEE AENTKTPWLYDQEGGVEKPFFKTGFTESVEKATNSNRKNQPDTSRRRRQFDEESLESFSS MPDPIDPTTVTKTFKTRKASAQASLASKDKTPKSKSKKRNSTQLKSRVKNIGYESASVSS TCEPCKNRNRHSAQTEEPVQAKLFSRKNHEQLEKIIKYSRSAEISSETGSDFSMFEALRD TIYSEVATLISQNESRPHFLIELFHELQLLNTDYLRQRALYALQDIVSRHISESDEREGE NVKPVNSGTWVASNSELTPSESLVTTDDETFEKNFERETHKVSEQNDADNVSVMSVSSNF EPFATDDLGNTVIHLDQALARMREYERMKTETESHSNMRCTCRVIEDEDGAAAAATVSNS EETPIIENHNSPQPISDVSAVPCPRIDTQQLDRQIKAIMKEVIPFLKEHMDEVCSSQLLT SVRRMVLTLTQQNDESKEFVKFFHKQLGSILQDSLAKFAGRKLKDCGEDLLVEISEVLFN ELAFFKLMQDLDNNSIAVKQRCKRKIEAAGVRQSYAKEAKRILEGDHGSPAGEIDDEDKD KDETETVKQTQTSEVYDAKGPKNVRSDVSDQEEDEESERCPVSINLSKAESQALTNYGSG EDENEDEEMEDFEESPVDIQTSLQANTETTEENEHDSQILQHDLEKTPESTNVPSDQEPT SKNDQDSSPVKPCYLNILENEQQLNSATHKDSLTTTDSSKQPEPLPLPLAASETLVPRVK EVKSAQETPESSLAGSPDTESPVLVNDYEAESGNISQKSDEEDFVKVEDLPLKLTVYSEE ELRKKMIEEEQKNHLSGEICEMQTEELAGNSQILKEPETVGAQSI >ENSMUSP00000083742.3 pep:known chromosome:GRCm38:17:80238304:80290476:-1 gene:ENSMUSG00000035051.14 transcript:ENSMUST00000086555.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx57 description:DEAH (Asp-Glu-Ala-Asp/His) box polypeptide 57 [Source:MGI Symbol;Acc:MGI:2147067] MSSSVRRKGKPGKGDGKGSSRGGRGGKGHMNKSHGGGGGGGGSCGGGGGGSRKASNRIWD DGDDFCVFTEPKRPSRPCDSNKSKGETRPKWKPKAKVPLQTLHMTSENQEKVKALLRDLQ EQGADAGSERGTSGEEEDSEPQCGEEQGWPAGQEPIFLPDCSPWEYIGPEEVEPPVPECA VSPLAVQKLSRYGFHTEHCQLALRICDGDLGAALEHLLRQCFSETFGERMALSEAAVYVS LNECVEQRQEETLALKSICGEKFIERIQNRVWTIGLELDYLTNKFCKSKQKESSKNVRDT SPETCKFYLKGNCKFGSKCKFKHEVPPHQMIGRAERNVNDPHLDADDDTTFMYELQIRFS KDHKYPYQAPLVAFYSTNENLPLACRLHISEFLYGKALEFAKTSEPVVYSLITLLEEESE IVKLLTHTQHKYSVPPVNVPPVPSETRISKPAYRKPVVPSNTFLSNQMLEGERLSELEED ADEDEGPASIIVENESYVNLKKRSYKRYDRPAKSLFAENSKICRQFQMKQASRQFHAILQ ERQLLPAWEERETILKLLSKHQVVVISGMTGCGKTTQIPQFILDNSLNGPPERVANIICT QPRRISAISVAERVAKERAERVGLTVGYQIRLESVKSSATRLLYCTTGVLLRRLEGDATL QGVTHIIVDEVHERTEESDFLLLVLKDIVMQRATLQVILMSATLDAGLFSKYFSYCPVIT IPGRAFPVDQFFLEDALAVTRYVLQDGSPYMRSMKQIAKEKLKARHNRTAQEEVEEDLRL SLHLQDEEESVKDTIPDQQLDFKQLLIRYKGVSKSVIKTMSVMDFEKVNLELIEALLEWI VDGKHAYPPGAVLVFLPGLAEIKMLYEQLQSNSLFNNRRSHRCVIHPLHSSLSSEEQQAV FVKPPMGVTKIIISTNIAETSITIDDVVYVIDSGKMKEKRYDAGKGMESLEDTFVSQANA LQRKGRAGRVASGVCFHLFTSHHYNHQLLKQQLPEIQRVPLEQLCLRIKILEMFSTHNLQ SVFSRLIEPPHIDSLRASKVRLRDLGALTPDEKLTPLGYHLASLPVDVRIGKLMLLGSIF RCLDPALTIAASLAFKSPFVSPWDKKEEANQKKLEFAFANSDYLALLCAYKGWQLSTKES ARASYNYCRQNFLSGRTLQEMASLKRQFTELLSDIGFVKEGLRAKEIEKRAQGGDGVLDA TGEEANTNAENPKLISAVLCAALYPNVVQVKTPEGKFQKTSSGVVRLQPKSAELKFVTKN DGYVHIHPSSVNYQVRHFDSPYLLYHEKIKTSRVFIRDCSMVSVYPLVLFGGGQVNVQLQ RGAFVVSLDDGWIRFVAASHQVAELVKELRCELDQLLQDKIKNPSMDLCSCPRGSRIISM IVKLITTQ >ENSMUSP00000041069.7 pep:known chromosome:GRCm38:17:80238304:80290476:-1 gene:ENSMUSG00000035051.14 transcript:ENSMUST00000038166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx57 description:DEAH (Asp-Glu-Ala-Asp/His) box polypeptide 57 [Source:MGI Symbol;Acc:MGI:2147067] MSSSVRRKGKPGKGDGKGSSRGGRGGKGHMNKSHGGGGGGGGSCGGGGGGSRKASNRIWD DGDDFCVFTEPKRPSRRGTSGEEEDSEPQCGEEQGWPAGQEPIFLPDCSPWEYIGPEEVE PPVPECAVSPLAVQKLSRYGFHTEHCQLALRICDGDLGAALEHLLRQCFSETFGERMALS EAAVYVSLNECVEQRQEETLALKSICGEKFIERIQNRVWTIGLELDYLTNKFCKSKQKES SKNVRDTSPETCKFYLKGNCKFGSKCKFKHEVPPHQMIGRAERNVNDPHLDADDDTTFMY ELQIRFSKDHKYPYQAPLVAFYSTNENLPLACRLHISEFLYGKALEFAKTSEPVVYSLIT LLEEESEIVKLLTHTQHKYSVPPVNVPPVPSETRISKPAYRKPVVPSNTFLSNQMLEGER LSELEEDADEDEGPASIIVENESYVNLKKRSYKRYDRPAKSLFAENSKICRQFQMKQASR QFHAILQERQLLPAWEERETILKLLSKHQVVVISGMTGCGKTTQIPQFILDNSLNGPPER VANIICTQPRRISAISVAERVAKERAERVGLTVGYQIRLESVKSSATRLLYCTTGVLLRR LEGDATLQGVTHIIVDEVHERTEESDFLLLVLKDIVMQRATLQVILMSATLDAGLFSKYF SYCPVITIPGRAFPVDQFFLEDALAVTRYVLQDGSPYMRSMKQIAKEKLKARHNRTAQEE VEEDLRLSLHLQDEEESVKDTIPDQQLDFKQLLIRYKGVSKSVIKTMSVMDFEKVNLELI EALLEWIVDGKHAYPPGAVLVFLPGLAEIKMLYEQLQSNSLFNNRRSHRCVIHPLHSSLS SEEQQAVFVKPPMGVTKIIISTNIAETSITIDDVVYVIDSGKMKEKRYDAGKGMESLEDT FVSQANALQRKGRAGRVASGVCFHLFTSHHYNHQLLKQQLPEIQRVPLEQLCLRIKILEM FSTHNLQSVFSRLIEPPHIDSLRASKVRLRDLGALTPDEKLTPLGYHLASLPVDVRIGKL MLLGSIFRCLDPALTIAASLAFKSPFVSPWDKKEEANQKKLEFAFANSDYLALLCAYKGW QLSTKESARASYNYCRQNFLSGRTLQEMASLKRQFTELLSDIGFVKEGLRAKEIEKRAQG GDGVLDATGEEANTNAENPKLISAVLCAALYPNVVQVKTPEGKFQKTSSGVVRLQPKSAE LKFVTKNDGYVHIHPSSVNYQVRHFDSPYLLYHEKIKTSRVFIRDCSMVSVYPLVLFGGG QVNVQLQRGAFVVSLDDGWIRFVAASHQVAELVKELRCELDQLLQDKIKNPSMDLCSCPR GSRIISMIVKLITTQ >ENSMUSP00000035930.10 pep:known chromosome:GRCm38:12:17348458:17430094:1 gene:ENSMUSG00000061458.8 transcript:ENSMUST00000046011.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol10 description:nucleolar protein 10 [Source:MGI Symbol;Acc:MGI:2684913] MQVSSLNEVKIYSLSCGKSLPEWLSDRKKRALQKKNVDVRRRIELIQDFEMPTVCTTIKV SKDGQYILATGTYKPRVRCYDTYQLSLKFERCLDSEVVTFEILSDDYSKIVFLHNDRYIE FHSQSGFYYKTRIPKFGRDFSYHYPSCDLYFVGASSEVYRLNLEQGRYLNPLQTDAAENN VCDINAVHGLFATGTIEGRVECWDPRVRKRVGVLDCALNSVTADSEINSLPTISALKFNG ALSMAVGTSTGQVLLYDLRSDKPLLVKDHQYGLPIKSVHFQDSLDLVLSADSRIVKMWNK DSGKIFTSLEPEHDLNDVCLYPSSGMLLTANESPKMGIYYIPVLGPAPRWCSFLDNLTEE LEENPESTVYDDYKFVTKKDLENLGLTHLIGSPFLRAYMHGFFMDIRLYHKVKLMVNPFA YEEYRKDKIRQKIEETRAQRVQLKKLPKVNKELALKLIEEEEEKQKSTLKKKVKSLPNIL TDDRFKVMFENPDFQVDEESEEFRLLNPLVSRISEKRKKQLRLLEQQELKDEEEEEPEGK PSDAESSESSDDEKGWVEEVRKQRRLLQQEERVKRQEQLKEDQQTVLKPQFYEIKAGEEF RSFKESATKQRLMNKTLEDRLKLEAKHGTLNVSDTTVGSKQLTFTLKRSEQQKKQQEAEK LHRQERKNLRRSASHLRSRPRRGRPFH >ENSMUSP00000075089.6 pep:known chromosome:GRCm38:8:70331522:70353273:-1 gene:ENSMUSG00000058301.6 transcript:ENSMUST00000075666.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upf1 description:UPF1 regulator of nonsense transcripts homolog (yeast) [Source:MGI Symbol;Acc:MGI:107995] MSVEAYGPSSQTLTFLDTEEAELLGADTQGSEFEFTDFTLPSQTQTPPGGPGGAGGPGGA GAGGAAGQLDAQVGPEGILQNGAVDDSVAKTSQLLAELNFEEDEEDTYYTKDLPVHACSY CGIHDPACVVYCNTSKKWFCNGRGNTSGSHIVNHLVRAKCKEVTLHKDGPLGETVLECYN CGCRNVFLLGFIPAKADSVVVLLCRQPCASQSSLKDINWDSSQWQPLIQDRCFLSWLVKI PSEQEQLRARQITAQQINKLEELWKENPSATLEDLEKPGVDEEPQHVLLRYEDAYQYQNI FGPLVKLEADYDKKLKESQTQDNITVRWDLGLNKKRIAFFTLPKTDSGNEDLVIIWLRDM RLMQGDEICLRYKGDLAPLWKGIGHVIKVPDNYGDEIAIELRSSVGAPVEVTHNFQVDFV WKSTSFDRMQSALKTFAVDETSVSGYIYHKLLGHEVEDVVIKCQLPKRFTAQGLPDLNHS QVYAVKTVLQRPLSLIQGPPGTGKTVTSATIVYHLARQGNGPVLVCAPSNIAVDQLTEKI HQTGLKVVRLCAKSREAIDSPVSFLALHNQIRNMDSMPELQKLQQLKDETGELSSADEKR YRALKRTAERELLMNADVICCTCVGAGDPRLAKMQFRSILIDESTQATEPECMVPVVLGA KQLILVGDHCQLGPVVMCKKAAKAGLSQSLFERLVVLGIRPIRLQVQYRMHPALSAFPSN IFYEGSLQNGVTAADRVKKGFDFQWPQPDKPMFFYVTQGQEEIASSGTSYLNRTEAANVE KITTKLLKAGAKPDQIGIITPYEGQRSYLVQYMQFSGSLHTKLYQEVEIASVDAFQGREK DFIILSCVRANEHQGIGFLNDPRRLNVALTRARYGVIIVGNPKALSKQPLWNHLLSYYKE QKALVEGPLNNLRESLMQFSKPRKLVNTVNPGARFMTTAMYDAREAIIPGSVYDRSSQGR PSNMYFQTHDQISMISAGPSHVAAMNIPIPFNLVMPPMPPPGYFGQANGPAAGRGTPKTK TGRGGRQKNRFGLPGPSQTTLPNSQASQDVASQPFSQGALTQGYVSMSQPSQMSQPGLSQ PELSQDSYLGDEFKSQIDVALSQDSTYQGERAYQHGGVTGLSQY >ENSMUSP00000132763.1 pep:known chromosome:GRCm38:14:55824795:55833943:1 gene:ENSMUSG00000023411.11 transcript:ENSMUST00000172271.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc4 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 4 [Source:MGI Symbol;Acc:MGI:1920431] MGAASCEDEELEFKLVFGEEKEPPPLGPGGPGEELDSEDTPPCCRLALGEPLPYGAAPIG IPRPPPPRPGMHSPPPRPAPSPGTWESQPARSVRLGGPGGNAGGAGGGRVLECPSIRITS ISPTPDPPTSLEDTSETWGDGSPRDYPPPEGFGGYREAGGQGGGAFFSPSPGSSSLSSWS FFSDASDEAALYAACDEVESELNEAASRFGLSSPLPSPRASPRPWTPEDPWSLYGPSSGG RAPEDSWLLLSAPGPVPASPRPASPCGKRRYSSSGTPSSASPALSRRGSLGEEGPEPPPP PPLPLVRDPSSPGPFDYVGAPPTESIPQKTRRTSSEQAVALPRSEEPPSCNGKLPSGTED SVAAPGALRKEVAGMDYLAVPSPLAWSKARIGGHSPIFRTSALPPLDWPLPSQYEQLELR IEVQPRAHHRAHYETEGSRGAVKAAPGGHPVVKLLGYSEKPLTLQMFIGTADERSLRPHA FYQVHRITGKMVATASYEAVVSGTKVLEMTLLPENNMAANIDCAGILKLRNSDIELRKGE TDIGRKNTRVRLVFRVHVPQGGGKVVSVQAASVPIECSQRSAQELPQVETYSPSACSVRG GEELVLTGSNFLPDSKVVFIERGPDGKLQWEEEAAVNRLQSSEVTLTLTIPEYSNKRVSR PVQVYFYVSNGRRKRSPTQSFKFLPVVFKEEPLPDSSLRGFPSTSGPPFGPDVDFSPPRP PYPSYPHEDPAYETPYLSEGFGYSTPALYPQTGPPPSYRSGLRMFPETGGTTGCARLPSV SFLPRPFPGDQYGGQGSSFALGLPFSPPAPFRPPLPSSPPLEDPFHPQSAIHPLPPEGYN EVGPGYTPGEGASEQEKARGGYSSGFRDSVPIQGITLEEGGCGTGGCECK >ENSMUSP00000024179.5 pep:known chromosome:GRCm38:14:55824795:55833943:1 gene:ENSMUSG00000023411.11 transcript:ENSMUST00000024179.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc4 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 4 [Source:MGI Symbol;Acc:MGI:1920431] MGAASCEDEELEFKLVFGEEKEPPPLGPGGPGEELDSEDTPPCCRLALGEPLPYGAAPIG IPRPPPPRPGMHSPPPRPAPSPGTWESQPARSVRLGGPGGNAGGAGGGRVLECPSIRITS ISPTPDPPTSLEDTSETWGDGSPRDYPPPEGFGGYREAGGQGGGAFFSPSPGSSSLSSWS FFSDASDEAALYAACDEVESELNEAASRFGLSSPLPSPRASPRPWTPEDPWSLYGPSSGG RAPEDSWLLLSAPGPVPASPRPASPCGKRRYSSSGTPSSASPALSRRGSLGEEGPEPPPP PPLPLVRDPSSPGPFDYVGAPPTESIPQKTRRTSSEQAVALPRSEEPPSCNGKLPSGTED SVAAPGALRKEVAGMDYLAVPSPLAWSKARIGGHSPIFRTSALPPLDWPLPSQYEQLELR IEVQPRAHHRAHYETEGSRGAVKAAPGGHPVVKLLGYSEKPLTLQMFIGTADERSLRPHA FYQVHRITGKMVATASYEAVVSGTKVLEMTLLPENNMAANIDCAGILKLRNSDIELRKGE TDIGRKNTRVRLVFRVHVPQGGGKVVSVQAASVPIECSQRSAQELPQVETYSPSACSVRG GEELVLTGSNFLPDSKVVFIERGPDGKLQWEEEAAVNRLQSSEVTLTLTIPEYSNKRVSR PVQVYFYVSNGRRKRSPTQSFKFLPVVFKEEPLPDSSLRGFPSTSGPPFGPDVDFSPPRP PYPSYPHEDPAYETPYLSEGFGYSTPALYPQTGPPPSYRSGLRMFPETGGTTGCARLPSV SFLPRPFPGDQYGGQGSSFALGLPFSPPAPFRPPLPSSPPLEDPFHPQSAIHPLPPEGYN EVGPGYTPGEGASEQEKARGGYSSGFRDSVPIQGITLEEVSEIIGRDLSGFPARPGEEPP A >ENSMUSP00000103351.2 pep:known chromosome:GRCm38:7:45825227:45830789:-1 gene:ENSMUSG00000053801.17 transcript:ENSMUST00000107723.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grwd1 description:glutamate-rich WD repeat containing 1 [Source:MGI Symbol;Acc:MGI:2141989] MAARKGRSRTCETGEPMEAETCDPGTEGPSQVYLPGRGPPLSEGEELVMDEEAYVLYHRA QTGAPCLSFDIVRDHLGDNRTELPLSLYLCAGTQAESAQSNRLMMLRMHNLHGTRPSPSE GSDDDEEDEDEEDEEEQKPQLELAMVPHYGGINRVRVSWLGEEPVAGVWSEKGQVEVFAL RRLLQVVDDPQALAIFLRDEQARIKPIFSFAGHMGEGFALDWSPRVPGRLLTGDCQKNVH LWTPTEGGSWNVDQRPFVGHTRSVEDLQWSPTEDTVFASCSADASIRIWDIRAAPGKACM LTTATAHDGDVNVISWSRREPFLLSGGDDGALKVWDLRQFKSGSPVATFKQHMAPVTSVE WHPQDSGVFAASGADNQITQWDLAVERDPESGETETDPGLAALPQQLLFVHQGETDLKEL HWHPQCPGVLISTALSGFTVFRTISV >ENSMUSP00000116252.1 pep:known chromosome:GRCm38:7:45825232:45830944:-1 gene:ENSMUSG00000053801.17 transcript:ENSMUST00000131384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grwd1 description:glutamate-rich WD repeat containing 1 [Source:MGI Symbol;Acc:MGI:2141989] MAARKGRSRTCETGEPMEAETCDPGTEGPSQVYLPGRGPPLSEGEELVMDEEAYVLYHRA QTGAPCLSFDIVRDHLGDNRTELPLSLYLCAGTQAESAQSNRLMMLRMHNLHGTRPSPSE GSDDDEEDEDEEDEEEQKPQLELAMVPHYGGINRVRVSWLGEEPVAGVWSEKGQVEVFAL RRLLQVVDDPQALAIFLRDEQARIKPIFSFAGHMGEGFALDWSPRVPGRLLTGDCQKNVH LWTPTEGGSWNVDQRPFVGHTRSVEDLQWSPTEDTVFASCSADASIRIWDIRAAPGKACM LTTATAHDGDVNVISWSRREPFLLSGGDDGALKVWDLRQFKSGSPVATFKQHMAPVTSVE WHPQDSGVFAASGADNQITQWDLAVERDPESGETETDPGLAALPQQLLFVHQGETDLKEL HWHPQCPGVLISTALSGFTVFRTISV >ENSMUSP00000046204.6 pep:known chromosome:GRCm38:8:110266977:110610253:1 gene:ENSMUSG00000059854.7 transcript:ENSMUST00000043141.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hydin description:HYDIN, axonemal central pair apparatus protein [Source:MGI Symbol;Acc:MGI:2389007] MTLKIKCVANYIKEKIPNVLFLCDPEARLQQLTASVPLCEQRKYFKQTNKRLEESMGAMH RKMAKVISGLQSKVLPPMSPKVVTEEEVNRMLTPSEFLKEMSLTTEQKLASTRIICRPQI TELLDMGETTHQKFSRVDLDQALFQPFPSEIIFQNYSPCEVYEVPLVLRNNDKIPRMVKV VEESSPYFKIISPKDIGHKVAPGVPSVFRILFTPEENKDYAHMLTCITEREKFIVPVKAR GARAILDFPDELNFSTCPVKYNTQKVLLVRNIGNKDSMFHLKTRSPYSVEPTGGILNVGE SMQLEVDFEPQTVGSHDGKLIVTYDTGEMVFVCLYGVAIDVNIRLDKNSLIMEKTYISLA NQRSITIHNRTNIIAHFQWKVFATEEEEDKEKYKICDGLNKEEKQETSMILEDSVLDPSL RERLSIISRTFENQRKLVQGDSMLFLDHVFTIEPPEGDVWPNSSAEITVYFNPLEAKLYQ QTVYCDISGREIRLPLRIRGEGMGPKLHFNFELLDIGKVFIGSAHCYEAILSNKGSIDAL FNVIPPTSALGACFVFNPKEGIIEPSGVQAVQISFSSTILGYFEEEFLIDVNGSPEPVKM TIRGCVIGPTFHFNVPALNFGNVSYGFPHTLMCSLNNTSLVPMTFKLRVRGDGEGMSSIP SYSQESDSKQWSGINTEMPTTKPKEFTISPNSGTIRAQGFTAIKVTLCSNTVQKYELALV VDVEGIGEEVLALLITARCVVPKLQLVTTEVDFGRCFLKYPYEKTIQLVNHDDLPGCYKV LPQLYENSPPVLLSSPSPCGVISPHSTVSIPLALETQVIGAHRSIVYISVFGSQEAPLAC NIQSIGEGPVIFIHPTQIDFGNIYVLKDTSRILQLSNQSFIPAVFRVRMANKKSLWTVKP SEGAVPAEDDIPLTLTANLDDIVTFKDTVILEIKHSNTYRIPIQATGIGSTIVSDKPFAP ELNLGAHFSLDTHYYRFKLTNKGRRVQQLFWMNDDFRPKEKQSKKEPGKKGSTSSSRRQS KASQEPTDNGNPVFQLYPVRMELYPGQTIDVILEGYSATPKKVKEKLVCQAIVGTQKGKS LLMSVNIICEFIAPIIQLSAKQLLYRLEKKPNSNLESDYQSLVIKNITTLPVNMLLSTTR PFFICETDKSLLPATPKPIKLEVDEEKHLLIKFDPSYRNDLNNWVAEEVLSIKYLEHPQV DNLGLRAEVHYPNLSFEVMDIDFGCILNDTEVIRYIMITNCSPLVVKFRWFFLVDEEENQ IRFAPCMKPYSAFLSQMESIAATSVTASSLAAPHTVEYTEMDFSDSIKTILMDDEAGPEE IKKPATSTMVSEAIKYSSAGTERSQSQPDYPECMWIYEQDEMLSIGIEEVFDILPLYGVL RPYSSHQISFTFYGHCDIIARAKALCEVEGGPTYEVLLKGEASLVNYSFDTKDINYGLQL FDHVTEREITLKNTGKVGFEFNVLSNYRSSQRNLLPGVPLILPLSGFIQSNKEQVLKVYY LPGIPEVFQRNFQIQIAHLVPENITLYGEGIFPRISLDLHRNLQGNEKYEHFLEQAKKNV EKEYTKYEAVDQYEVMAEELPEEETAEISAHVQMEVERLIVQDYALEHQRSISNNTEDIY FSQRSCRKLTKVQLPEYILDFGYIVLGDVRTHIVKITNTSHFPVSFHAEKQVLHETGFST ELDRVKNLPYYETETFEVRCDPQGANLPVGNKEVILPIKVYGGPTIHLCLQATVIIPSMT LSCNKIEFATIQCGQCMVETIQLSNHLQVPCEWFVHTPKSTNKLDKHMPKYLRRKLQAEM IPKSRIFEIQPTSGILDPGERANVQVKFMPKEEKLYSQSLLFQIGQSSQKLTLLAQGQGL EPRLEFSPSVLELGPLLPFASGDEAEVIVRNPCNFPIEFYSLEFDQQYLLEEKMLRQLKG YDSYNTLLLPPRNPGEKLPPEVYDYFKEMKKSKEEHMKAKYMENLENEEEEMNTSDQGTT STKRTSISRGISVTSNLEERHLTIEAKNYLDEDDYEESLEKLTFQTDKMQSTDSHSVEEV GEVESNPVSKAIARHLGIDISAEGRLAKNRKGIAIIVHGTPLSGKTANAISMAKFYNAAC LNIDSIVLEALSDTNNILGIRARELCIRAAIEQSMREAEESAHESSMTQNTVVPARLSTE NLGRFTSELTLITQEYKVPKTVRGSVMLPKGKADSHFTGSQKQHHQHQSETPQVQISSSP LLPGPTHRRLSVSASIGGETGLMSCVLPDDLLIQILAERIQLSNCFRGVVFDGLDTLFAR NAPSALHCLLKAIGSREHIYVINMSQDYVVMKAQEKAKKEQEENKRKEALAKEKERLQTL DEDEYDALTAEEKVAFDRDVRQALRERKKRELERLAKEMQEKKLQQELERQKEEDELKRK VKRPKAGPAAKEEPPLKKAQGATNKQLAAVAKIELKMESIERKVSVREHATLEETTRKKK AMTEYPLLIPISQEQEDSEGDFLKDSDKNLAQKFKIYDMCLKDVQNILMYWDRKQGMMVP HTGTDEMSHEADDQRQAPSGGGGRKGRKDRERERLEKERAEKERLEREKAERERLEKLKA LEERSDVEGEGEEEHEGKKDLGVPFINIQSPDFEGVSWKQALESDKLPKGDQILDILGLG SSGPPIPPPVLFSIISYPAKRQSLVATEILKHFVFVIPPNDDIPLMDEKKDPEGDSDIFL NTIITKAQEEQPSPPKGSKQKLKDKPEQVRETQKEKRRTYSSRKGLPGGTSGSIVPMSDI DQNSFDGEHSQEKFIRLNHFRWIVPPNGEVTLRVHFSSLDVGNYDQTFNFELLGTRRQYQ LYCRGVCTYPYICRDPKVVFSQRKKDMKLKEVVVKKYVVSMEKFYFGPLLCGKSRDKYKS SLFPGNMETLTILNDSPMVVEAYFCFQHDIKASTYFLEPVNMTLKPNEKQALNVWAYPTA VGIFEDSIVCCIKENPEPAIFKLSCQGIRPEIEVEPRQLHFDRLLLHRKETKIVILRNVT PLPVAWRISNLEHLGEDFTVSMMQGTMLPKGEYGLQVHFQPSKPVNIKKAIRIEVLDAEN LVGVVQIENILIFAESYDIALDITFPKGAEGGLDFGTLRVMEEVKQTLQLKNRGKYEIIF SFTVDTLGVLPTNLSSMISVQPKRGTLASIDKPTTVQVFFRARKEVKIDCQPILRCQIIE PTLPEGEIIASIPIKFSVNAVYSKFTISPSSIINFGALICGTRKSITFTIENQGIIDFKY ALYRLTGESPILQKKITSHMRHGRTRESESFYKPGATKMAKFSDTVQKDTNIANQARFTH GMFTVYPGFGSIPSGGQQVITIECFADPVGRCEEFLAIDISDRDPRENPAGIPYTLLAEA CLPAFVTDNNILIFEEHQICTSPNLYHILQTIQSGGLFVEDENKFIFCNVLVGHQAKARF KISNVGKISCDINIVIKPISNKPANRITDTFDVEPSKMCIGSRSHAFVTVLFTPQTMQTY QCIFEATLDGLPSNIARSRGLVFDIVGEGTLPRVTVIRPTLYNQHGNPLLLFKRLLLGHS EKLPLILKNNGTIPAQLHVDLRDQLGVFSLKGRPTTSYIYIMEENKPNEKVKKAHTASLV VSPGDTAEFDVFFHSNKIGRMTGTIHLSVINNQYEETMIHLVGESYEDDITLDNIHGLIS STSQESSDKSEVIEIAEESTMEDLVTAALMEHIQFGYCHIGISYNVSFTITNHSQVNVIR FEWPLLATLSFSPQIGHLHPGCSKDVVVTLKSESPITLKKMCVKCKLSKIMFQLPVDQVP DWDDRMRTVKWVDAPRNTPLTLNTKRKVIEMDPEPAHSVVEENYRELRIQFSANVDFASY QCETTEVFFKETLVYQTRVFEFDLVNTGQVLLEFCWISEEASKAVSFAMPERQGSSQKEL SQGSGSSLDSALDRWTEASPSPFSVEPPSGVVPVGKTQKLKVKFSPMDIGEFESSLYCQI PNLPLGEQGPILITKGRSVLPFCHFDLKESDYISGHRRNPELRGPGAGPLEPNTRVIEFT SVGIGGKNVQTFTILNPTNSTYSFCWTSEETESLQHPPAFVCLTEKGIIHPEKKAEIIFQ FLPAHLDITEEFWTFSIPEHNISVPFLLVGKTTDPLISLDKSHLNFSSLLIGREARETVK IINKEEQGFNFAFQDNSRYSEGFNNSLIVCPMEGWIPPLSRFPVDIFFTPKQEGDVNFNL ICNIKKKAHPLTLNVKAEGYTMNAEVKCRDRMGTTILLTSTQVNTINFYEVELNECVQCE FSFINTGKFNFSYQAELSGPKLLLQYLDFTPTDSSVDVGQSEPANLSFQPYQKCVLKGLE LKIKISHGPTFVCNILGCAVSPAVHFSFTSHNFGTCFIYQAGMPPYKQILVVTNKEETSM SLDCLYTNTPHIEVNFNVDVIKPGKTLEIPITFYPREAISYRELIPFEINGLSQQTVEIK GKGTEMKLLVLDPANRIVKLGAVLPGQVVRKTVSLVNNSLAQLTFNHSVLFSIPELQEAK VITLEPFHTITMKPKEVCKLEITFAPKKRVPPFSEEVFMEWMGLLRPLFLLSGCCQALEI SLDQEHLPFGPVVYQTQATRRILIMNTGDVGARFKWDVKKLKPHFSISPEEGYIISGTEV ALEVTYHPTEIGKESLYKNILCFIQGGNPLCLTLSGTCVGPPVVKEVVNFNCQVRSRHTQ TILLSNRSNQTWNLHPIFEGEHWEGPEFITLEAHQQNKPYEITYKPRTMNLENRKHQGTL FFPLPDGTGWLYALHGTAELPKAVANIYREVPCKTPYTELLPISNWLNKPQRFRVIVEIL KPEKTDLSVTLKGLDYIDVLSGSKKDYKLNFFSYKEGLYTAKVIFRNEVTNEFLYYTVSF RVTPSGIIKTIQMTSPVRQSVSASIKLENPLPYSVTFSTECKLSDISLPSQFAVPPNSEG TFSFEFQPLKAGELCGRLTLHNSDLGYYQYELALKALPAPPEKPVHFQTVLGSSQSILAK FTNYTRLKTEYYCKTDCSDFHTEKVINAAPGAQGGTEVSVEVFFEPSHLGETKGILCLSS LIGGEYIIPLFGIALPPKPQGPFLIRAGYNIIIPFKNVFLHATSFSFIVENPAFSIRAAE TVRPKKINNITVYFEGNPSGSKTPITSKLIVTCPQCEGTESGIKWVYYLKGITP >ENSMUSP00000063136.4 pep:known chromosome:GRCm38:16:64924729:65105784:-1 gene:ENSMUSG00000050783.4 transcript:ENSMUST00000063076.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr1f description:5-hydroxytryptamine (serotonin) receptor 1F [Source:MGI Symbol;Acc:MGI:99842] MDFLNASDQNLTSEELLNRMPSKILVSLTLSGLALMTTTINSLVIAAIIVTRKLHHPANY LICSLAVTDFLVAVLVMPFSIVYIVRESWIMGQVLCDIWLSVDIICCTCSILHLSAIALD RYRAITDAVEYARKRTPRHAGIMITIVWVISVFISMPPLFWRHQGTSRDDECVIKHDHIV STIYSTFGAFYIPLVLILILYYKIYRAARTLYHKRQASRMIKEELNGQVFLESGEKSIKL VSTSYMLEKSLSDPSTDFDRIHSTVKSPRSELKHEKSWRRQKISGTRERKAATTLGLILG AFVICWLPFFVKELVVNVCEKCKISEEMSNFLAWLGYLNSLINPLIYTIFNEDFKKAFQK LVRCRY >ENSMUSP00000023611.5 pep:known chromosome:GRCm38:16:85858170:85901125:-1 gene:ENSMUSG00000022894.5 transcript:ENSMUST00000023611.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts5 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 5 (aggrecanase-2) [Source:MGI Symbol;Acc:MGI:1346321] MRLEWAPLLLLLLLLSASCLSLAADSPAAAPAQDKTRQPQAAAAAAEPDQPQGEETRERG HLQPLAGQRRSGGLVQNIDQLYSGGGKVGYLVYAGGRRFLLDLERDDTVGAAGSIVTAGG GLSASSGHRGHCFYRGTVDGSPRSLAVFDLCGGLDGFFAVKHARYTLKPLLRGSWAEYER IYGDGSSRILHVYNREGFSFEALPPRASCETPASPSGPQESPSVHSRSRRRSALAPQLLD HSAFSPSGNAGPQTWWRRRRRSISRARQVELLLVADSSMARMYGRGLQHYLLTLASIANR LYSHASIENHIRLAVVKVVVLTDKDTSLEVSKNAATTLKNFCKWQHQHNQLGDDHEEHYD AAILFTREDLCGHHSCDTLGMADVGTICSPERSCAVIEDDGLHAAFTVAHEIGHLLGLSH DDSKFCEENFGTTEDKRLMSSILTSIDASKPWSKCTSATITEFLDDGHGNCLLDLPRKQI LGPEELPGQTYDATQQCNLTFGPEYSVCPGMDVCARLWCAVVRQGQMVCLTKKLPAVEGT PCGKGRVCLQGKCVDKTKKKYYSTSSHGNWGSWGPWGQCSRSCGGGVQFAYRHCNNPAPR NSGRYCTGKRAIYRSCSVTPCPPNGKSFRHEQCEAKNGYQSDAKGVKTFVEWVPKYAGVL PADVCKLTCRAKGTGYYVVFSPKVTDGTECRPYSNSVCVRGRCVRTGCDGIIGSKLQYDK CGVCGGDNSSCTKIIGTFNKKSKGYTDVVRIPEGATHIKVRQFKAKDQTRFTAYLALKKK TGEYLINGKYMISTSETIIDINGTVMNYSGWSHRDDFLHGMGYSATKEILIVQILATDPT KALDVRYSFFVPKKTTQKVNSVISHGSNKVGPHSTQLQWVTGPWLACSRTCDTGWHTRTV QCQDGNRKLAKGCLLSQRPSAFKQCLLKKC >ENSMUSP00000023231.5 pep:known chromosome:GRCm38:15:75924684:75929730:-1 gene:ENSMUSG00000022570.5 transcript:ENSMUST00000023231.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsta3 description:tissue specific transplantation antigen P35B [Source:MGI Symbol;Acc:MGI:98857] MGEPHGSMRILVTGGSGLVGRAIQKVVADGAGLPGEEWVFVSSKDADLTDAAQTQALFQK VQPTHVIHLAAMVGGLFRNIKYNLDFWRKNVHINDNVLHSAFEVGARKVVSCLSTCIFPD KTTYPIDETMIHNGPPHSSNFGYSYAKRMIDVQNRAYFQQHGCTFTAVIPTNVFGPYDNF NIEDGHVLPGLIHKVHLAKSSDSALTVWGTGKPRRQFIYSLDLARLFIWVLREYSEVEPI ILSVGEEDEVSIKEAAEAVVEAMDFNGEVTFDSTKSDGQYKKTASNGKLRSYLPDFRFTP FKQAVKETCTWFTDNYEQARK >ENSMUSP00000048377.5 pep:known chromosome:GRCm38:8:106893640:106923093:1 gene:ENSMUSG00000041438.7 transcript:ENSMUST00000047629.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cirh1a description:cirrhosis, autosomal recessive 1A (human) [Source:MGI Symbol;Acc:MGI:1096573] MGEFKVHRVRFFNYVPSGIRCVAYNNQSNRLAVSRTDGTVEIYNLSANYFQEKFFPGHES RGTEALCWAGGQRLFSAGLNGEILEYDLQALNIKYTLDAFGGPIWSMTASPSGSQLLVGC EDGSVKLFEVTPEKIQFARNFDRQKSRILSLCWHPAGTHVAAGSLDYISVFDVKSGSIIR KMVLDRQHLGVTKSRCIVWGVAFLSDGTVISVDSVGKVQLWDSATGTLVKSHLVANADVQ SIAVADQEDSFVVGTAEGTVFHFQLVSMTSNSSEKQWVRTKPFQHHTHDVRAVAHSPTAL ISGGTDTHLVIRPLMERVEVKNYDAALRKITFPHRRLISCSKRRQLLLFQFAHHLELWRL GSTSATGKNGDTLPLSKNADHLLHLKTKGPENIICSCVSPCGSWIAYSTASRFFLYRLKY ERDNISLQRVSKLPSFLRSALHILFSEDSTKLLVASNQGSLHIVHLSEGSFKHLHTFQPQ SGTVEAMCLLAVSPDGNWLAASGTSAGVHVYDLHHLKLHCTVPAYNFPVTALAIAPNTNN LVIAHSDQQVFEFSIPEKQYTEWSRSLQKQGFHQLWLQRDTPITHISFHPKRPMHILLHD AYMFCIIDKSLPLPNEKTVLYNPLPPKNESDVFLRRTTHGFKMSKIYKPLLFMDLLDERT LVAVERPLDDIIAQLPPPIKKKKFGT >ENSMUSP00000021331.7 pep:known chromosome:GRCm38:12:64942440:64965536:-1 gene:ENSMUSG00000020948.8 transcript:ENSMUST00000021331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl28 description:kelch-like 28 [Source:MGI Symbol;Acc:MGI:1913939] MDHTAPTYMLANLTHLHSEQLLQGLNLLRQHHELCDIILRVGDVKIHAHKVVLASISPYF KAMFTGNLSEKENSEVEFQCIDEAALQAIVEYAYTGTVFISQDTVESLLPAANLLQIKLV LKECCAFLESQLDPGNCIGISRFAETYGCHDLYLAATKFICQNFESVCQTEEFFELTHAD LDEIVSNDCLNVATEETVFYALESWIKYDVQERQKYLAQLLNSVRLPLLSVKFLTRLYEA NHLIRDDRTCKHLLNEALKYHFMPEHRLSHQTVLMTRPRCAPKVLCAVGGKSGLFACLDS VEMYFPQNDSWIGLAPLNIPRYEFGICVLDQKVFVIGGIETSVRPGMTVRKHENSVECWN PDTNTWTSLERMNESRSTLGVAVLAGEVFALGGYDGQSYLQSVEKYIPKIRQWQPVAPMT TTRSCFAAAVLDGMLYAIGGYGPAHMNSVERYDPSKDSWEMVAPMADKRIHFGVGVMLGF IFVVGGHNGVSHLSSIERYDPHQNQWTVCRPMKEPRTGVGAAVIDNYLYVVGGHSGSSYL NTVQKYDPISDTWLDSAGMIYCRCNFGLTAL >ENSMUSP00000109869.1 pep:known chromosome:GRCm38:16:77588578:77602094:-1 gene:ENSMUSG00000079546.1 transcript:ENSMUST00000114231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11146 description:predicted gene 11146 [Source:MGI Symbol;Acc:MGI:3779400] LLIFIQKHIVFLAALELKIAFVLNLFAPCPSF >ENSMUSP00000054720.2 pep:known chromosome:GRCm38:16:89507704:89508323:-1 gene:ENSMUSG00000056706.2 transcript:ENSMUST00000056118.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap7-1 description:keratin associated protein 7-1 [Source:MGI Symbol;Acc:MGI:1918613] MTRYFCCGNYFPGYPCYGTNFHGTYRATPLNCVVPLGSPLNHGCGTMYSSRNFCYGGISN FSNPGCCYGSSLYRPWGSGSGFGYSTY >ENSMUSP00000052248.5 pep:known chromosome:GRCm38:16:92346001:92359468:-1 gene:ENSMUSG00000039639.6 transcript:ENSMUST00000051705.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne1 description:potassium voltage-gated channel, Isk-related subfamily, member 1 [Source:MGI Symbol;Acc:MGI:96673] MSLPNSTTVLPFLARLWQETAEQGGNVSGLARKSQLRDDSKLEALYILMVLGFFGFFTLG IMLSYIRSKKLEHSHDPFNVYIESDAWQEKGKAVFQARVLESFRACYVIENQAAVEQPAT HLPELKPLS >ENSMUSP00000130866.1 pep:known chromosome:GRCm38:16:92348432:92358874:-1 gene:ENSMUSG00000039639.6 transcript:ENSMUST00000166707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcne1 description:potassium voltage-gated channel, Isk-related subfamily, member 1 [Source:MGI Symbol;Acc:MGI:96673] MSLPNSTTVLPFLARLWQETAEQGGNVSGLARKSQLRDDSKLEALYILMVLGFFGFFTLG IMLSYIRSKKLEHSHDPFNVYIESDAWQEKGKAVFQARVLESFRACYVIENQAAVEQPAT HLPELKPLS >ENSMUSP00000078050.5 pep:known chromosome:GRCm38:10:117733679:117746358:-1 gene:ENSMUSG00000060181.5 transcript:ENSMUST00000079041.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35e3 description:solute carrier family 35, member E3 [Source:MGI Symbol;Acc:MGI:2448489] MASLADRVRGNGRIAAGLLFNLLVSICIVFLNKWIYVHHGFPNMSLTLVHFVVTWLGLYI CQKLNIFAPKSLPLSKLLLLALSFCGFVVFTNLSLQNNTIGTYQLAKAMTTPVIIAIQTF WYQKRFSVRIQLTLIPITVGVILNSYYDVKFHSLGMVFAALGVVVTSLYQVWVGAKQHEL QVNSMQLLYYQAPMSSAMLLVAVPFFEPVFAEGGIFGPWSVSALLMVLLSGIIAFMVNLS IYWIIGNTSPVTYNMFGHFKFCITLCGGYILFKDPLSVNQGLGILCTLFGILTYTHFKLS EQEGSKSKLVQRP >ENSMUSP00000005606.6 pep:known chromosome:GRCm38:8:83972978:83996445:1 gene:ENSMUSG00000005469.9 transcript:ENSMUST00000005606.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkaca description:protein kinase, cAMP dependent, catalytic, alpha [Source:MGI Symbol;Acc:MGI:97592] MGNAAAAKKGSEQESVKEFLAKAKEDFLKKWETPSQNTAQLDQFDRIKTLGTGSFGRVML VKHKESGNHYAMKILDKQKVVKLKQIEHTLNEKRILQAVNFPFLVKLEFSFKDNSNLYMV MEYVAGGEMFSHLRRIGRFSEPHARFYAAQIVLTFEYLHSLDLIYRDLKPENLLIDQQGY IQVTDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFF ADQPIQIYEKIVSGKVRFPSHFSSDLKDLLRNLLQVDLTKRFGNLKNGVNDIKNHKWFAT TDWIAIYQRKVEAPFIPKFKGPGDTSNFDDYEEEEIRVSINEKCGKEFTEF >ENSMUSP00000033057.7 pep:known chromosome:GRCm38:7:45207525:45211883:-1 gene:ENSMUSG00000030792.7 transcript:ENSMUST00000033057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dkkl1 description:dickkopf-like 1 [Source:MGI Symbol;Acc:MGI:1354963] MCRLRVLLLLLPLAFVSSSALPIHDVDSQQNTSGFLGLQRLLQSFSRLFLKNDLLRDLDN FFSSPMDFRDLPRNFHQEENQEHRMGNHTLSSHLQIDKVTDNQTGEVLISEKVEASIEPE RNPEGDWKVPKVEAKEPPVPVQKVTDSLHPEPRQVAFWIMKMPRRRTQPDVQDGGRWLIE KRHRMQAIRDGLRGGAREDSLEDGVHIPQHAKLPVRKTHFLYILRPSQQL >ENSMUSP00000107385.3 pep:known chromosome:GRCm38:7:116082863:116084635:-1 gene:ENSMUSG00000091900.2 transcript:ENSMUST00000111755.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4353 description:predicted gene 4353 [Source:MGI Symbol;Acc:MGI:3782538] MAAFAVDPQAPTLGSEPMMLGSPTSPKTGANAQFLPGFLMGDLPAPVTPQPRSISGPSVG VMEMRSPLLAGGSPPQPVVPAHKDKSGAPPVRSIYDDISSPGLGSTPLTSRRQANISLLQ SPLVGATTPVPGQSMFSPANIGQPRKTTLSPAQLDPFYTQGDSLTSEDHLDDTWVTVFGF PQASASYILLQFAQYGNILKHVMSNTGNWMHIRYQSKLQARKALSKDGRIFGESIMIGVK PCIDKNVMENSDRGVLSSPSLAFTTPIRTLGTPTQSGSTPRVSTMRPLATAYKASTSDYQ VISDRQTPKKDESLVSRAMEYMFGW >ENSMUSP00000022553.5 pep:known chromosome:GRCm38:14:59440981:59548903:1 gene:ENSMUSG00000021981.9 transcript:ENSMUST00000022553.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cab39l description:calcium binding protein 39-like [Source:MGI Symbol;Acc:MGI:1914081] MKKMPLFSKSHKNPAEIVKILKDNLAILEKQDKKTDKASEEVSKSLQAMKEILCGTNDKE PPTEAVAQLAQELYSSGLLVTLIADLQLIDFEGKKDVTQIFNNILRRQIGTRCPTVEYIS SHPHILFMLLKGYEAPQIALRCGIMLRECIRHEPLAKIILFSNQFRDFFKYVELSTFDIA SDAFATFKDLLTRHKVLVADFLEQNYDTIFEDYEKLLQSENYVTKRQSLKLLGELILDRH NFTIMTKYISKPENLKLMMNLLRDKSPNIQFEAFHVFKVFVASPHKTQPIVEILLKNQPK LIEFLSSFQKERTDDEQFADEKNYLIKQIRDLKKAAP >ENSMUSP00000000356.8 pep:known chromosome:GRCm38:15:100615628:100620731:1 gene:ENSMUSG00000000346.8 transcript:ENSMUST00000000356.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dazap2 description:DAZ associated protein 2 [Source:MGI Symbol;Acc:MGI:1344344] MNSKGQYPTQPTYPVQPPGNPVYPQTLHLPQAPPYTDAPPAYSELYRPSFVHPGAATVPT MSAAFPGASLYLPMAQSVAVGPLGSTIPMAYYPVGPIYPPGSAVLVEGGYDAGARFGAGA TAGNIPPPPPGCPPNAAQLAVMQGANVLVTQRKGNFFMGGSDGGYTIW >ENSMUSP00000059913.5 pep:known chromosome:GRCm38:15:97244074:97247287:-1 gene:ENSMUSG00000048218.5 transcript:ENSMUST00000053106.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amigo2 description:adhesion molecule with Ig like domain 2 [Source:MGI Symbol;Acc:MGI:2145995] MSLRFHTLPTLPRAVKPGCRELLCLLVIAVMVSPSASGMCPTACICATDIVSCTNKNLSK VPGNLFRLIKRLDLSYNRIGLLDADWIPVSFVKLSTLILRHNNITSISTGSFSTTPNLKC LDLSSNRLKSVKSATFQELKALEVLLLYNNHISYLDPAAFGGLSHLQKLYLSGNFLTQFP MDLYTGRFKLADLTFLDVSYNRIPSIPMHHINLVPGRQLRGIYLHGNPFVCDCSLYSLLI FWYRRHFSSVMDFKNDYTCRLWSDSRHSHQLQLLQESFLNCSYSVINGSFHALGFIHEAQ VGERAIVHCDSKTGNGNTDFIWVGPDNRLLEPDKDMGNFRVFYNGSLVIENPGFEDAGVY SCIAMNRQRLLNETVDIMINVSNFTINRSHAHEAFNTAFTTLAACVASIVLVLLYLYLTP CPCKCKAKRQKNTLSQSSAHSSILSPGPTGDASADDRKAGKRVVFLEPLKDTAAGQNGKV KLFPSETVIAEGILKSTRAKSDSDSVNSVFSDTPFVAST >ENSMUSP00000136449.1 pep:known chromosome:GRCm38:12:51743722:51829536:-1 gene:ENSMUSG00000035247.15 transcript:ENSMUST00000179265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hectd1 description:HECT domain containing 1 [Source:MGI Symbol;Acc:MGI:2384768] MADVDPDTLLEWLQMGQGDERDMQLIALEQLCMLLLMSDNVDRCFETCPPRTFLPALCKI FLDESAPDNVLEVTARAITYYLDVSAECTRRIVGVDGAIKALCNRLVVVELNNRTSRDLA EQCVKVLELICTRESGAVFEAGGLNCVLTFIRDSGHLVHKDTLHSAMAVVSRLCGKMEPQ DSSLEICVESLSSLLKHEDHQVSDGALRCFASLADRFTRRGVDPAPLAKHGLTEELLSRM AAAGGTVSGPSSACKPGRSTTGAPSAAADSKLSNQVSTIVSLLSTLCRGSPLVTHDLLRS ELPDSIESALQGDERCVLDTMRLVDLLLVLLFEGRKALPKSSAGSTGRIPGLRRLDSSGE RSHRQLIDCIRSKDTDALIDAIDTGAAFEVNFMDDVGQTLLNWASAFGTQEMVEFLCERG ADVNRGQRSSSLHYAACFGRPQVAKTLLRHGANPDLRDEDGKTPLDKARERGHSEVVAIL QSPGDWMCPVNKGDDKKKKDTNKDEEECNEPRGDPEMAPLYLKRLLPVFAQTFQHTMLPS IRKASLALIRKMIHFCSEALLKEVCDSDVGHNLPTTLVEITATVLDQEDDDDGHLLALQI IRDLVDKGGDIFLDQLARLGVISKVSALAGPSSDDENEEESKPEKEDEPQEDAKELQQGK PYHWRDWSIIRGRDCLYIWSDAAALELSNGSNGWFRFILDGKLATMYSSGSPEGGSDSSE SRSEFLEKLQRARGQVKPSTSSQPILSAPGPTKLTVGNWSLTCLKEGEIAIHNSDGQQAT ILKEDLPGFVFESNRGTKHSFTAETSLGSEFVTGWTGKRGRKLKSKLEKTKQKVRTMARD LYDDHFKAVESMPRGVVVTLRNIATQLESSWELHTNRQCIEGENTWRDLMKTALENLIVL LKDENTISPYEMCSSGLVQALLTVLNNVSIFRATKQKQNEVLVERINVFKTAFSESEDDE SYSRPAVALIRKLIAVLESIERLPLHLYDTPGSTYNLQILTRRLRFRLERAPGETSLIDR TGRMLKMEPLATVESLEQYLLKMVAKQWYDFDRSSFVFVRKLREGQNFIFRHQHDFDENG IIYWIGTNAKTAYEWVNPAAYGLVVVTSSEGRNLPYGRLEDILSRDNSALNCHSNDDKNA WFAIDLGVWVIPSAYTLRHARGYGRSALRNWVFQVSKDGQNWTSLYTHVDDCSLNEPGST ATWPLDPAKDEKQGWRHVRLKQMGKNASGQTHYLSLSGFELYGTVNGVCEDQLGKAAKEA EANLRRQRRLVRSQVLKYMVPGARVIRGLDWKWRDQDGSPQGEGTVTGELHNGWIDVTWD AGGSNSYRMGAEGKFDLKLAPGYDPDTVASPKPVSSTVSGTTQSWSSLVKNNCPDKTSAA AGSSSRKGSSSSVCSVASSSDISLASTKTERRSEIVMEHSIVSGADVHEPIVVLSSAENV PQTEVGSSSSASTSTLTAETGSENAERKLGPDSSVRAPGESSAISMGIVSVSSPDVSSVS ELTNKEAASQRPLSSSASNRLSVSSLLAAGAPMSSSASVPNLSSRETSSLESFVRRVANI ARTNATNNMNLSRSSSDNNTNTLGRNVMSTATSPLMGAQSFPNLTTPGTTSTVTMSTSSV TSSSNVATATTVLSVGQSLSNTLTTSLTSTSSESDTGQEAEYSLYDFLDSCRASTLLAEL DDDEDLPEPDEEDDENEDDNQEDQEYEEVMILRRPSLQRRAGSRSDVTHHVVTSQLPQVP SGAGSRPVGEQEEEEYETKGGRRRAWDDDYVLKRQFSALVPAFDPRPGRTNVQQTTDLEI PPPGTPHSELLEEVECTPSPRLALTLKVTGLGTTREVELPLTNFRSTIFYYVQKLLQLSC NGNVKSDKLRRIWEPTYTIMYREMKDSDKEKENGKMGCWSIEHVEQYLGTDELPKNDLIT YLQKNADAAFLRHWKLTGTNKSIRKNRNCSQLIAAYKDFCEHGTKSGLNQGAISSLQSSD ILNLTKEQPQAKAGNGQSPCGVEDVLQLLRILYIVASDPYSRISQEDGDEQPQFTFPPDE FTSKKITTKILQQIEEPLALASGALPDWCEQLTSKCPFLIPFETRQLYFTCTAFGASRAI VWLQNRREATVERTRTTSSVRRDDPGEFRVGRLKHERVKVPRGESLMEWAENVMQIHADR KSVLEVEFLGEEGTGLGPTLEFYALVAAEFQRTDLGTWLCDDNFPDDESRHVDLGGGLKP PGYYVQRSCGLFTAPFPQDSDELERITKLFHFLGIFLAKCIQDNRLVDLPISKPFFKLMC MGDIKSNMSKLIYESRGDRDLHCTESQSEASTEEGHDSLSVGSFEEDSKSEFILDPPKPK PPAWFNGILTWEDFELVNPHRARFLKEIKDLAIKRRQILGNKSLSEDEKNTKLQELVLRN PSGSGPPLSIEDLGLNFQFCPSSRIYGFTAVDLKPSGEDEMITMDNAEEYVDLMFDFCMH TGIQKQMEAFRGNVDGFNKVFPMEKLSSFSHEEVQMILCGNQSPSWAAEDIINYTEPKLG YTRDSPGFLRFVRVLCGMSSDERKAFLQFTTGCSTLPPGGLANLHPRLTVVRKVDATDAS YPSVNTCVHYLKLPEYSSEEIMRERLLAATMEKGFHLN >ENSMUSP00000046766.8 pep:known chromosome:GRCm38:12:51743722:51829536:-1 gene:ENSMUSG00000035247.15 transcript:ENSMUST00000042052.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hectd1 description:HECT domain containing 1 [Source:MGI Symbol;Acc:MGI:2384768] MADVDPDTLLEWLQMGQGDERDMQLIALEQLCMLLLMSDNVDRCFETCPPRTFLPALCKI FLDESAPDNVLEVTARAITYYLDVSAECTRRIVGVDGAIKALCNRLVVVELNNRTSRDLA EQCVKVLELICTRESGAVFEAGGLNCVLTFIRDSGHLVHKDTLHSAMAVVSRLCGKMEPQ DSSLEICVESLSSLLKHEDHQVSDGALRCFASLADRFTRRGVDPAPLAKHGLTEELLSRM AAAGGTVSGPSSACKPGRSTTGAPSAAADSKLSNQVSTIVSLLSTLCRGSPLVTHDLLRS ELPDSIESALQGDERCVLDTMRLVDLLLVLLFEGRKALPKSSAGSTGRIPGLRRLDSSGE RSHRQLIDCIRSKDTDALIDAIDTGAFEVNFMDDVGQTLLNWASAFGTQEMVEFLCERGA DVNRGQRSSSLHYAACFGRPQVAKTLLRHGANPDLRDEDGKTPLDKARERGHSEVVAILQ SPGDWMCPVNKGDDKKKKDTNKDEEECNEPRGDPEMAPLYLKRLLPVFAQTFQHTMLPSI RKASLALIRKMIHFCSEALLKEVCDSDVGHNLPTTLVEITATVLDQEDDDDGHLLALQII RDLVDKGGDIFLDQLARLGVISKVSALAGPSSDDENEEESKPEKEDEPQEDAKELQQGKP YHWRDWSIIRGRDCLYIWSDAAALELSNGSNGWFRFILDGKLATMYSSGSPEGGSDSSES RSEFLEKLQRARGQVKPSTSSQPILSAPGPTKLTVGNWSLTCLKEGEIAIHNSDGQQATI LKEDLPGFVFESNRGTKHSFTAETSLGSEFVTGWTGKRGRKLKSKLEKTKQKVRTMARDL YDDHFKAVESMPRGVVVTLRNIATQLESSWELHTNRQCIEGENTWRDLMKTALENLIVLL KDENTISPYEMCSSGLVQALLTVLNNSIDLDMKQDCSQLVERINVFKTAFSESEDDESRP AVALIRKLIAVLESIERLPLHLYDTPGSTYNLQILTRRLRFRLERAPGETSLIDRTGRML KMEPLATVESLEQYLLKMVAKQWYDFDRSSFVFVRKLREGQNFIFRHQHDFDENGIIYWI GTNAKTAYEWVNPAAYGLVVVTSSEGRNLPYGRLEDILSRDNSALNCHSNDDKNAWFAID LGVWVIPSAYTLRHARGYGRSALRNWVFQVSKDGQNWTSLYTHVDDCSLNEPGSTATWPL DPAKDEKQGWRHVRLKQMGKNASGQTHYLSLSGFELYGTVNGVCEDQLGKAAKEAEANLR RQRRLVRSQVLKYMVPGARVIRGLDWKWRDQDGSPQGEGTVTGELHNGWIDVTWDAGGSN SYRMGAEGKFDLKLAPGYDPDTVASPKPVSSTVSGTTQSWSSLVKNNCPDKTSAAAGSSS RKGSSSSVCSVASSSDISLASTKTERRSEIVMEHSIVSGADVHEPIVVLSSAENVPQTEV GSSSSASTSTLTAETGSENAERKLGPDSSVRAPGESSAISMGIVSVSSPDVSSVSELTNK EAASQRPLSSSASNRLSVSSLLAAGAPMSSSASVPNLSSRETSSLESFVRRVANIARTNA TNNMNLSRSSSDNNTNTLGRNVMSTATSPLMGAQSFPNLTTPGTTSTVTMSTSSVTSSSN VATATTVLSVGQSLSNTLTTSLTSTSSESDTGQEAEYSLYDFLDSCRASTLLAELDDDED LPEPDEEDDENEDDNQEDQEYEEVMILRRPSLQRRAGSRSDVTHHVVTSQLPQVPSGAGS RPVGEQEEEEYETKGGRRRAWDDDYVLKRQFSALVPAFDPRPGRTNVQQTTDLEIPPPGT PHSELLEEVECTPSPRLALTLKVTGLGTTREVELPLTNFRSTIFYYVQKLLQLSCNGNVK SDKLRRIWEPTYTIMYREMKDSDKEKENGKMGCWSIEHVEQYLGTDELPKNDLITYLQKN ADAAFLRHWKLTGTNKSIRKNRNCSQLIAAYKDFCEHGTKSGLNQGAISSLQSSDILNLT KEQPQAKAGNGQSPCGVEDVLQLLRILYIVASDPYSRISQEDGDEQPQFTFPPDEFTSKK ITTKILQQIEEPLALASGALPDWCEQLTSKCPFLIPFETRQLYFTCTAFGASRAIVWLQN RREATVERTRTTSSVRRDDPGEFRVGRLKHERVKVPRGESLMEWAENVMQIHADRKSVLE VEFLGEEGTGLGPTLEFYALVAAEFQRTDLGTWLCDDNFPDDESRHVDLGGGLKPPGYYV QRSCGLFTAPFPQDSDELERITKLFHFLGIFLAKCIQDNRLVDLPISKPFFKLMCMGDIK SNMSKLIYESRGDRDLHCTESQSEASTEEGHDSLSVGSFEEDSKSEFILDPPKPKPPAWF NGILTWEDFELVNPHRARFLKEIKDLAIKRRQILGNKSLSEDEKNTKLQELVLRNPSGSG PPLSIEDLGLNFQFCPSSRIYGFTAVDLKPSGEDEMITMDNAEEYVDLMFDFCMHTGIQK QMEAFRDGFNKVFPMEKLSSFSHEEVQMILCGNQSPSWAAEDIINYTEPKLGYTRDSPGF LRFVRVLCGMSSDERKAFLQFTTGCSTLPPGGLANLHPRLTVVRKVDATDASYPSVNTCV HYLKLPEYSSEEIMRERLLAATMEKGFHLN >ENSMUSP00000044603.9 pep:known chromosome:GRCm38:15:88862186:88865718:1 gene:ENSMUSG00000035828.10 transcript:ENSMUST00000042818.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pim3 description:proviral integration site 3 [Source:MGI Symbol;Acc:MGI:1355297] MLLSKFGSLAHLCGPGGVDHLPVKILQPAKADKESFEKVYQVGAVLGSGGFGTVYAGSRI ADGLPVAVKHVVKERVTEWGSLGGVAVPLEVVLLRKVGAAGGARGVIRLLDWFERPDGFL LVLERPEPAQDLFDFITERGALDEPLARRFFAQVLAAVRHCHNCGVVHRDIKDENLLVDL RSGELKLIDFGSGAVLKDTVYTDFDGTRVYSPPEWIRYHRYHGRSATVWSLGVLLYDMVC GDIPFEQDEEILRGRLFFRRRVSPECQQLIEWCLSLRPSERPSLDQIAAHPWMLGTEGSV PENCDLRLCALDTDDGASTTSSSESL >ENSMUSP00000023790.3 pep:known chromosome:GRCm38:15:101845428:101850786:-1 gene:ENSMUSG00000046834.6 transcript:ENSMUST00000023790.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt1 description:keratin 1 [Source:MGI Symbol;Acc:MGI:96698] MSLQCSSRSLCRGGGGSRNFSSGSAGLVSFQRRSTSSSMRRSGGGGGGRFSGGGFCGSSG SGFGSKSLMNLGGGRSISKSVAGGGGSFCGGFGGGSYGGGGFGGGSYGGGGFGGGSFGGG GFGGSGFGGGLGGGGGFGSGGGFGGGRFGSMGPVCPPGGIQEVTINQSLLQPLNVEVDPQ IQKVKSQEREQIKSLNDKFASFIDKVRFLEQQNQVLQTKWELLQQVDTTTRTQNLDPFFE NYISILRRKVDSLKSDQSRMDSELKNMQDLVEEYRTKYEDEINKRTNAENEFVTIKKDVD SAYMTKVELQAKADALQQDIDFFSALYQMEMSQMQTQISETNVVLSMDNNRSLDLDGIIS EVKAQYDSICQRSKAEAETFYQSKYEELQITAGKHGDSVRNTKMEISELNRMIQRLRSEI DGCKKQISQIQQNINDAEQRGEKALKDAQNKLNEIEDALSQCKEDLARLLRDFQELMNTK LALDMEIATYKKLLEGEEIRMSGECTPNVSVSVSTSHTSMSGSSSRGGGSGGGRYGGGGS YGGGSGGGSYGGSSGGGGSGGSYGGGSGGGSYGGGSGGGSSGSHRGGSGGGGGSSGGSYG GSSGGGRGGSSSGGGGVKSSGSSTVKFVSTSYSRGTK >ENSMUSP00000041968.4 pep:known chromosome:GRCm38:8:107580381:107588482:-1 gene:ENSMUSG00000039067.4 transcript:ENSMUST00000044106.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd7 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 7 [Source:MGI Symbol;Acc:MGI:1351511] MPELAVQKVVVHPLVLLSVVDHFNRIGKVGNQKRVVGVLLGSWQKKVLDVSNSFAVPFDE DDKDDSVWFLDHDYLENMYGMFKKVNARERIVGWYHTGPKLHKNDIAINELMKRYCPNSV LVIIDVKPKDLGLPTEAYISVEEVHDDGTPTSKTFEHVTSEIGAEEAEEVGVEHLLRDIK DTTVGTLSQRITNQVHGLKGLNSKLLDIRSYLEKVASGKLPINHQIIYQLQDVFNLLPDA SLQEFVKAFYLKTNDQMVVVYLASLIRSVVALHNLINNKIANRDAEKKEGQEKEESKKER KDDKEKEKSDAAKKEEKKEKK >ENSMUSP00000086692.4 pep:known chromosome:GRCm38:16:64477813:64479148:-1 gene:ENSMUSG00000068167.4 transcript:ENSMUST00000089279.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnka2ip description:casein kinase 2, alpha prime interacting protein [Source:MGI Symbol;Acc:MGI:2676295] MRGKPNPRPGPRLSSTYSVCLECASAIKSQCNHLSGKRDPRCATLFVIPTPESSTDGKVD VKIILILSLPEKPSSTCFQLPMKDSKSENNLEALDDNLDVLEKITQFFPASESDFIQGLK TKRKCLAVSSESKDLSQEPQSIDWLLYVKNSNGIQLETQVQGPSSSSSSSCSSVSSSSSA SSIGRPSPPTPWVDPTPVPVSGYVLAKVRSYHRLPPGTSWLEFIRGSSSDTIKLRQSPPV KAKPVRSHNSKCLKKGKRETSALLRYFQTKFQNEKS >ENSMUSP00000025851.3 pep:known chromosome:GRCm38:19:4907229:4928287:-1 gene:ENSMUSG00000063904.3 transcript:ENSMUST00000025851.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp3 description:dipeptidylpeptidase 3 [Source:MGI Symbol;Acc:MGI:1922471] MADTQYILPNDIGVSSLDCREAFRLLSPTERLYAHHLSRAAWYGGLAVLLQTSPEAPYIY ALLSRLFRAQDPDQLRQHALAEGLTEEEYQAFLVYAAGVYSNMGNYKSFGDTKFVPNLPK DKLGRVILGSKAAQQRPEEVRDLWQTCGDLMFSLEPRLRHLGLGKEGVTTYFSGDCTMED AKLAQDFLDSQNLSAYNTRLFKVVGQEGKSHYEVRLASVLNTDPALDSELTSKLKRYEFQ GNHFQVTRGDYAPILQKVVEHLEKAKAYAANSHQEQMLAQYVESFTQGSIEAHKRGSRFW IQDKGPIVESYIGFIESYRDPFGSRGEFEGFVAMVNKAMSAKFERLVASAEQLLKELPWP LAFEKDKFLTPDFTSLDVLTFAGSGIPAGINIPNYDDLRQTEGFKNVSLGNVLAVAYAAK REKLTFLEEEDKDLYIRWKGPSFDVQVGLHELLGHGSGKLFVQDEKGAFNFDKETVINPE TGEQIQSWYRSGETWDSKFSTIASSYEECRAESVGLYLCLNPQVLEIFGFEGADAEDVIY VNWLNMVRAGLLALEFYTPEAANWRQAHMQARFVILRVLLEAGEGLVTVTPTTGSDGRPD ARVRLDRSKIRSVGRPALERFLRRLQVLKSTGDVVAGRALYEGYAAVTDAPPECFLTLRD TVLLRKESRKLIVQPNTRLEGSEVQLVEYEASAAGLIRSFCERFPEDGPELEEVLIQLAA ADARFWRNQAQEAPPGQA >ENSMUSP00000003521.8 pep:known chromosome:GRCm38:7:45122388:45124389:-1 gene:ENSMUSG00000003429.10 transcript:ENSMUST00000003521.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps11 description:ribosomal protein S11 [Source:MGI Symbol;Acc:MGI:1351329] MADIQTERAYQKQPTIFQNKKRVLLGETGKEKLPRYYKNIGLGFKTPKEAIEGTYIDKKC PFTGNVSIRGRILSGVVTKMKMQRTIVIRRDYLHYIRKYNRFEKRHKNMSVHLSPCFRDV QIGDIVTVGECRPLSKTVRFNVLKVTKAAGTKKQFQKF >ENSMUSP00000039029.5 pep:known chromosome:GRCm38:8:106200438:106210933:-1 gene:ENSMUSG00000033106.5 transcript:ENSMUST00000035925.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a6os description:solute carrier family 7, member 6 opposite strand [Source:MGI Symbol;Acc:MGI:1916951] MEAGRTAVLRVKRKRNAEPAEALVLACKRPRSSEVESAARETPEGQEAAAAERNVFQLVA TVRSQEEPIQQLVRAALRPSRSSQLRIRRDLRASVREVRKEGRYRVVSSHRSSGTSNSLE PQCGSEAVGDAGFQLLDLVHEEENPEAAATDCRKTSDPDVILCNSVELIRERLTVSEDGS QVNHQEDPKHNDDYVYDIYYMEMAPPGWIENIMSVQPYSQEWELVNDDEQSEDIYEDEDD ENSENNWRNEYPDEESSDRDEDSRGSDEYNSLSEEERSCERLMWSKYPLDVQKEFDYDSP HGLDSD >ENSMUSP00000130981.1 pep:known chromosome:GRCm38:16:94129195:94313563:-1 gene:ENSMUSG00000040820.15 transcript:ENSMUST00000163193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hlcs description:holocarboxylase synthetase (biotin- [propriony-Coenzyme A-carboxylase (ATP-hydrolysing)] ligase) [Source:MGI Symbol;Acc:MGI:894646] MEDRLQMDNGLIAQKIVSVHLKDPALKELGKASDKQVQGPPPGPEASPEAQPAQGVMEHA GQGDCKAAGEGPSPRRRGCAPESEPAADGDPGLSSPELCQLHLSICHECLELENSTIDSV RSASAENIPDLPCDHSGVEGAAGELCPERKGKRVNISGKAPNILLYVGSGSEEALGRLQQ VRSVLTDCVDTDSYTLYHLLEDSALRDPWSDNCLLLVIASRDPIPKDIQHKFMAYLSQGG KVLGLSSPFTLGGFRVTRRDVLRNTVQNLVFSKADGTEVRLSVLSSGYVYEEGPSLGRLQ GHLENEDKDKMIVHVPFGTLGGEAVLCQVHLELPPGASLVQTADDFNVLKSSNVRRHEVL KEILTALGLSCDAPQVPALTPLYLLLAAEETQDPFMQWLGRHTDPEGIIKSSKLSLQFVS SYTSEAEITPSSMPVVTDPEAFSSEHFSLETYRQNLQTTRLGKVILFAEVTSTTMSLLDG LMFEMPQEMGLIAIAVRQTQGKGRGPNAWLSPVGCALSTLLVFIPLRSQLGQRIPFVQHL MSLAVVEAVRSIPGYEDINLRVKWPNDIYYSDLMKIGGVLVNSTLMGETFYILIGCGFNV TNSNPTICINDLIEEHNKQHGAGLKPLRADCLIARAVTVLEKLIDRFQDQGPDGVLPLYY KYWVHGGQQVRLGSTEGPQASIVGLDDSGFLQVHQEDGGVVTVHPDGNSFDMLRNLIVPK RQ >ENSMUSP00000097112.2 pep:known chromosome:GRCm38:16:94129306:94287856:-1 gene:ENSMUSG00000040820.15 transcript:ENSMUST00000099512.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hlcs description:holocarboxylase synthetase (biotin- [propriony-Coenzyme A-carboxylase (ATP-hydrolysing)] ligase) [Source:MGI Symbol;Acc:MGI:894646] MEDRLQMDNGLIAQKIVSVHLKDPALKELGKASDKQVQGPPPGPEASPEAQPAQGVMEHA GQGDCKAAGEGPSPRRRGCAPESEPAADGDPGLSSPELCQLHLSICHECLELENSTIDSV RSASAENIPDLPCDHSGVEGAAGELCPERKGKRVNISGKAPNILLYVGSGSEEALGRLQQ VRSVLTDCVDTDSYTLYHLLEDSALRDPWSDNCLLLVIASRDPIPKDIQHKFMAYLSQGG KVLGLSSPFTLGGFRVTRRDVLRNTVQNLVFSKADGTEVRLSVLSSGYVYEEGPSLGRLQ GHLENEDKDKMIVHVPFGTLGGEAVLCQVHLELPPGASLVQTADDFNVLKSSNVRRHEVL KEILTALGLSCDAPQVPALTPLYLLLAAEETQDPFMQWLGRHTDPEGIIKSSKLSLQFVS SYTSEAEITPSSMPVVTDPEAFSSEHFSLETYRQNLQTTRLGKVILFAEVTSTTMSLLDG LMFEMPQEMGLIAIAVRQTQGKGRGPNAWLSPVGCALSTLLVFIPLRSQLGQRIPFVQHL MSLAVVEAVRSIPGYEDINLRVKWPNDIYYSDLMKIGGVLVNSTLMGETFYILIGCGFNV TNSNPTICINDLIEEHNKQHGAGLKPLRADCLIARAVTVLEKLIDRFQDQGPDGVLPLYY KYWVHGGQQVRLGSTEGPQASIVGLDDSGFLQVHQEDGGVVTVHPDGNSFDMLRNLIVPK RQ >ENSMUSP00000024854.7 pep:known chromosome:GRCm38:17:71781947:71858351:1 gene:ENSMUSG00000024059.9 transcript:ENSMUST00000024854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clip4 description:CAP-GLY domain containing linker protein family, member 4 [Source:MGI Symbol;Acc:MGI:1919100] MTIEDLPDIPLEGSSLIGRYPFLFTGSDTSVIFSISAAPMPSDCEFSFFDPNDASCQEIL FDPKTSVSELFAILRQWVPQVQQNIDIIGNEILKRGCNVNDRDGLTDMTLLHYTCKSGAH GIGDIETAVKFAAQLIDLGADASLRSRWTNMNALHYASYFDVPELIRVILKTSKPKDVDA TCSDFNFGTALHIAAHNLCAGAVKTLLELGANPAFRNDKGQIPAEVVPDPVDMPLEMADA AAIAKEIKQMLLDAVPLPCTSAKAVLPNSDHTTSRAMLTSLGLKLGDRVVIAGQKVGTLR FCGTTEFASGQWAGIELDEPEGKNNGSVGRVQYFKCAPKYGIFAPLSKISKLKDGRKTTT HTPSTRATPHARSQKVDVAHFTSRVNSGLTTSKKETASESTLTLPPSEEPKTVAENDAAQ PGSMSSSSSSSSLDHKQSYPKKLTTSSGGKKTLSKSPSLPSRASAGLKSSATSAANNSHH EGALHLGERVLVVGQRVGTIKFFGTTNFAPGYWYGIELEKPHGKNDGSVGGVQYFSCSPR YGIFAPPSRVQRLSDSLDTLSEISSNKQNHSYPGFRRSFSTTSASSQKEINRRNAFAKTK TTLRRSWSSSTTAGGLEGTVKLHEGSQVLLTSSNEMATVRYVGPTDFASGIWLGLELRSA KGKNDGAVGDKRYFTCKPNYGVLVRPSRVTYRGISGSKLIDENC >ENSMUSP00000024885.8 pep:known chromosome:GRCm38:17:78919006:78937070:-1 gene:ENSMUSG00000024081.8 transcript:ENSMUST00000024885.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cebpz description:CCAAT/enhancer binding protein zeta [Source:MGI Symbol;Acc:MGI:109386] MSADQEPVAFLAKQPWRPKQVTEDPDEEDEEDGDEGKNGFSLEEVLRLGGTKQDYLMLAT LDENEEVVDGGKKGTIDDLQQGELESFIQNLNLAKYSKSLIEEDEPEKKENTSKKEAKLL KVENKKQKATEGKKTSEKKVKNKTVPEQRPESCPVSKAKKDKQPDVFEFLERQTMLLRPG GKWYDMEYSGEYSLELQPPDVVSKYKALAQKLYEHEVSLFKSKTNNQKGGSSTWMKAIVS SGTLADRMAAMILLIQDDAVHTLQFVETLMSLVKKKGSKQQCLMALDTFKELLITDLLPD SRKLRVFSQHPFHKLEELSSGNKDSRDRRLILWYYEHQLKHLVAEFVQVLETLSHDSLVT TKTRALVAAHELLCDKPEEEKALLVQVINKLGDPQNRIATKASHLLEVLLRKHPNMKGVV CAEIERLLFRSNISPKAQYYAICFLNQMVLSHEESELANKLITLYFCFFRTCIKKKDIES KMLSAILTGVNRAYPYSQIGDDKVREQVDTLFKVLHVVNFNTSVQALMLLFQVMNSQQTI SDRYYTALYRKMLDPGLTTCSKQAMFLNLIYKSLKADIMLRRVKAFVKRLLQVTCTQMPP FICGALYLVSEILKAKPDLRSQLDDHPESDEENFVDVGDDSDDEKFTDADKETATDAVKE VESKETEPESSAEAEKPKAASWVHFDNLKGGKQIKTYDPFSRNPLFCGAENTTLWELKKL SEHFHPSVALFAKTILEGNCIQYSGDPLQDFTLMRFLDRFVYRNPKLHKGKENTDSVVMQ PKRKHFMKNVRDLAVNSKEFLAKEESQIPVDEVFFYRYYKKVAVVKDKQKRSADEESIED IDDEEFENMIDTFEDDNCFPPGKDDIDFASNMKKAKGAKADLEDSESSDGELGDLDDDEV SLGSMNDEDFEIDEDGGTFMDVSDDESEDAPEFADANPKANTKKSKRKSEDDFDFAGSFQ GQKKKKKSFNDSSLFVSAEEFGHLLDENMGSKFDTIGMNAMANRDNASFKQLKWEAERDD WLHNRDVKSIIKKKKNFRKKMKAPQKPKRQRK >ENSMUSP00000059302.4 pep:known chromosome:GRCm38:19:5891139:5894107:-1 gene:ENSMUSG00000044390.4 transcript:ENSMUST00000055911.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tigd3 description:tigger transposable element derived 3 [Source:MGI Symbol;Acc:MGI:2681860] MELNTKKKLHALSLAEKIQVLELLDESKMSQSEVARRFQVSQPQISRICKNKEKLLADWC SGTANHERKRKRESKYSGIDEALLCWYHIARAKAWDVTGPMLLHKAKELADIMGQDFVPS IGWLVRWKRRNNVGFGTRQVLVPLFPPEAPPAVLPSQAQPPLSLKDFSPEDVFGCAEVPL LYRAVPGRVFECDRLQVLLCANSRGTEKRRVFVGGLQAAPRCFFGVSSEALPTSYHPDLA IPWSEWLAQFDQDMGQQGRQVALLLASGVVEEWASLPGLHHVRLLPLSASSTTPSLPGSV ILAFKAHYRHRLLSKLAAMQSGKEGTSLAEARASITVLDALHMVAAAWAKVPRQLILSSF VQEGLAPGKTPLSLDKDTEMSPVPSGLSQEEFSHFVDLEDEDPGPRVCKEETGTEDSGRE EDGFEPLPTKADALQALCTLRRWLECNSASPELFEKFYDCEVEVEQLCCL >ENSMUSP00000109161.1 pep:known chromosome:GRCm38:19:6116004:6118586:-1 gene:ENSMUSG00000024790.7 transcript:ENSMUST00000113533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sac3d1 description:SAC3 domain containing 1 [Source:MGI Symbol;Acc:MGI:1913656] MGRFKGENRSQARWIMGGVSKGRGSGKSRKPRQAAFGQTGARVCPSSPRQDAVPRFRWPG DAECASSTHTPTMSGCKLPMGLCPDMCPAAERARRERERRLHRLEVEPGGRGNAPRADPK RTVKEYSRPAAGKPRPPPSLLRPPPVLLATVRYLAGEVAGRGDVSCAEVASFVADRLRAV RLDLSLQGVDDADAATVLEAALATLLAVVARVRPEETRGAADPVLLQTQVQEGFGSLRRC YARGKGPYPRQAAFQGLFLLYNLGSVEALQEVLQLPAALRACPPLQAALAVDAAFREDNH ARLFRLLRTLPYLQSCAVQEHIGYARRKALARLSRALSTPKGQTLPLDFIEHFLALDGLQ EARDLCQAHGLTLDKDRVVFLRGQYSEEGLPPPGAYHILVGNKLQGHTLEDVVMAEEGDI HRPGSAA >ENSMUSP00000021532.4 pep:known chromosome:GRCm38:12:73964530:73984820:1 gene:ENSMUSG00000021113.4 transcript:ENSMUST00000021532.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc1 description:small nuclear RNA activating complex, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1922877] MGTPAGAGTRPTGAGTVEGVGIPPGLQTDYETLLSRFQEMDSVRFEDFTELWRSMKFATI FCGKMRNLKKNMFTKEALALAWRYFLPPHTFQIRVGALYLLYGLYNTQLCQPKQKIRVAL KDWDEVIRFQQDLMNAQHFDAAFVFRKLRLDRAFHFTAMPKLLSCRMKKKVQQTEVTQKF KDPNDRVMKLITSDVLEEMLNVHDHYQNMKHAISADKSMPDRALSLVKEDFFENIKNIVL EHQEWHKERKNPSLKPKLKDGEENGEGSSEEPERCERAVSLAKIKAKAFSAVVPVSKSRR HRQSKLDSSDSDSGSGQVQGRAAKRKRTREPAGPAGRKRSSRSKGNAPNERKEEKSLHLS MPIITEEEEEDMGGVRKAEFTAPKRKRKC >ENSMUSP00000034205.4 pep:known chromosome:GRCm38:8:116921740:116941503:1 gene:ENSMUSG00000031756.4 transcript:ENSMUST00000034205.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpn description:centromere protein N [Source:MGI Symbol;Acc:MGI:1919405] MKENVAEFLRRTILKIPLSEMKSILEAWDFLSEDQLQTINLKQRKDYLAQEVILLCEDKR ASLDDVVLLDIVYTQFHRHQKLWNVFQMSKEPGEDVDLFDMEQFQSSFKRILQRALKNVT VSFRVYEKDSVWIRVAWGTQYSQPNQYKPTFVVYYPQTPYAFISSCHLKNTVPLLHQALK VASKHHQIVHLDLRSRHLDSLKAIVFREYNQTCENYSSTTSLQEASLSMCLDSKITHENT EEKVRVHRVTQETFGTYPQPQLEFAQYKLETKFKSNIGGGLLADRKEPFRCLVKFSSPHL LEALKSLAPAGIADAPLSPLLTCIPSKKMNYFKIRDK >ENSMUSP00000022718.3 pep:known chromosome:GRCm38:14:103814625:103844173:-1 gene:ENSMUSG00000022122.14 transcript:ENSMUST00000022718.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ednrb description:endothelin receptor type B [Source:MGI Symbol;Acc:MGI:102720] MQSPASRCGRALVALLLACGFLGVWGEKRGFPPAQATLSLLGTKEVMTPPTKTSWTRGSN SSLMRSSAPAEVTKGGRGAGVPPRSFPPPCQRNIEISKTFKYINTIVSCLVFVLGIIGNS TLLRIIYKNKCMRNGPNILIASLALGDLLHIIIDIPINTYKLLAEDWPFGAEMCKLVPFI QKASVGITVLSLCALSIDRYRAVASWSRIKGIGVPKWTAVEIVLIWVVSVVLAVPEAIGF DMITSDYKGKPLRVCMLNPFQKTAFMQFYKTAKDWWLFSFYFCLPLAITAVFYTLMTCEM LRKKSGMQIALNDHLKQRREVAKTVFCLVLVFALCWLPLHLSRILKLTLYDQSNPHRCEL LSFLLVLDYIGINMASLNSCINPIALYLVSKRFKNCFKSCLCCWCQTFEEKQSLEEKQSC LKFKANDHGYDNFRSSNKYSSS >ENSMUSP00000126057.1 pep:known chromosome:GRCm38:14:103814626:103843696:-1 gene:ENSMUSG00000022122.14 transcript:ENSMUST00000172237.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ednrb description:endothelin receptor type B [Source:MGI Symbol;Acc:MGI:102720] MQSPASRCGRALVALLLACGFLGVWGEKRGFPPAQATLSLLGTKEVMTPPTKTSWTRGSN SSLMRSSAPAEVTKGGRGAGVPPRSFPPPCQRNIEISKTFKYINTIVSCLVFVLGIIGNS TLLRIIYKNKCMRNGPNILIASLALGDLLHIIIDIPINTYKLLAEDWPFGAEMCKLVPFI QKASVGITVLSLCALSIDRYRAVASWSRIKGIGVPKWTAVEIVLIWVVSVVLAVPEAIGF DMITSDYKGKPLRVCMLNPFQKTAFMQFYKTAKDWWLFSFYFCLPLAITAVFYTLMTCEM LRKKSGMQIALNDHLKQRREVAKTVFCLVLVFALCWLPLHLSRILKLTLYDQSNPHRCEL LSFLLVLDYIGINMASLNSCINPIALYLVSKRFKNCFKSCLCCWCQTFEEKQSLEEKQSC LKFKANDHGYDNFRSSNKYSSS >ENSMUSP00000081497.6 pep:known chromosome:GRCm38:7:140218267:140231145:1 gene:ENSMUSG00000025461.10 transcript:ENSMUST00000084460.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd163l1 description:CD163 molecule-like 1 [Source:MGI Symbol;Acc:MGI:2443796] MRTTLWPLSLGSLLTLGAALTGTSSEGDQGNLRLAHRQSTCDGVVLVRHQGEWGHLCNLE WTLAEASVVCRQLGCGPAVGAPKYVPLPGEMVRPWLHNVSCWGNESSLWECSLGAWSQRA CPHEWVVVALCANGTFREIRLVKGHSPCAGLPEIMNVNGVDRLCGLHVEEATVFCRELQC GHALQAPRQDVGVSKYMTCKGTESTIRNCRLNNKLRGGCNLLLDAEVICSGHVEARLVGG EHSCAGRLEVLRGLTWGTICHADLDLPTAHVVCRELGCGIAVSTLGGAQFGQGSGPVWLE AFRCVGNESLLFHCPREPGHHCGHEQDAALTCSEFRLVNGSSDCEGRVELQVQGTWAPVC AANWDLADAMVLCHQLNCGNAVSVPPGGHFGDGDDLIWPDIFHCVGTEPHLLHCAASTLG AHGCVLGNTASTICSGLQDILRLRDGQSYCDGRVEISLDGSWGRVLDDAWDLHGASVVCR QLGCGEAQRAYDAPAPIFRRVPVGLSQVRCLGSETRLVHCNVSTSLLVPAGTLRDAGVVC SGSLRVRLAAGPGRCAGRVELFYQGSWGTVCDDAWDLQDAQVVCRQLDCGHALSAPRNAH FGAGTGHIWMDELHCLGNESSLWKCQSGSWGQHDCRHKEDAGVFCSESVALRLRGGTSGC VGWLDVLYNGSWGAVCSNALRDISLSIICRQLGCGDQGWLENRPSSGAGLGISWVDNIKC RNLHNATLWQCPSAPWNPHSCTQEEEVWINCEGTPQIALQDPAQTPNCSSPFGCLENSVL RVLGGEDGCSGRVELWHAGSWGTVCDDSWDLVDAEVVCRQLGCGQALAALGEASFGPGVG PVWLDEVECLGSEVTLEACQAEPWGHGDCTHKEDAGVRCAGVSTTTALSPSLTPPSVAEV WKLSEIACLVLGCLLGIVFLVMIAQWCHIRDASMGAGMLGHLQSEGIYEDIEVDPVPKKE ENTVSRLLMLEEGYDDAEEPEDQPTENDE >ENSMUSP00000006762.5 pep:known chromosome:GRCm38:8:122454206:122460692:-1 gene:ENSMUSG00000006587.5 transcript:ENSMUST00000006762.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snai3 description:snail family zinc finger 3 [Source:MGI Symbol;Acc:MGI:1353563] MPRSFLVKTHSSHRVPNYGKLETLREANGSCSACKELAGSRHLPDEEAPCNPSDPLQPWD STSAVACISLPLLPNHRETLGVSGPEPQETSWVGPRAAQAPSVTLKDSFTLPPLLVLPTR WPPILGPDGALNEHLRAEGTSRVPGSFECIHCHRPYHTLAGLARHQQLHCHLPTGRAFTC RYCDKEYASLGALKMHIRTHTLPCICKVCGKAFSRPWLLQGHIRTHTGEKPYTCSHCSRA FADRSNLRAHLQTHVGTKKYRCAVCPKAFSRMSLLARHEEAGCCPGP >ENSMUSP00000106481.3 pep:known chromosome:GRCm38:12:31331564:31351437:-1 gene:ENSMUSG00000020664.9 transcript:ENSMUST00000110857.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dld description:dihydrolipoamide dehydrogenase [Source:MGI Symbol;Acc:MGI:107450] MQSWSRVYRSLAKKGHFNRISHGLQGVSSVPLRTYADQPIEADVTVIGSGPGGYVAAIKS AQLGFKTVCIEKNETLGGTCLNVGCIPSKALLNNSHYYHMAHGKDFASRGIEIPEVRLNL EKMMEQKHSAVKALTGGIAHLFKQNKVVHVNGFGKITGKNQVTATKADGSTQVIDTKNIL VATGSEVTPFPGITIDEDTIVSSTGALSLKKVPEKLVVIGAGVIGVELGSVWQRLGADVT AVEFLGHVGGIGIDMEISKNFQRILQRQGFKFKLNTKVTGATKKSDGKIDVSVEAASGGK AEVITCDVLLVCIGRRPFTQNLGLEELGIELDPKGRIPVNNRFQTKIPNIYAIGDVVAGP MLAHKAEDEGIICVEGMAGGAVHIDYNCVPSVIYTHPEVAWVGKSEEQLKEEGIEFKIGK FPFAANSRAKTNADTDGMVKILGHKSTDRVLGAHILGPGAGEMVNEAALALEYGASCEDI ARVCHAHPTLSEAFREANLAAAFGKPINF >ENSMUSP00000096931.1 pep:known chromosome:GRCm38:10:95672991:95673451:-1 gene:ENSMUSG00000074780.1 transcript:ENSMUST00000099328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc15-ps description:anaphase prompoting complex C subunit 15, pseudogene [Source:MGI Symbol;Acc:MGI:3646302] MRKLRPREVKCIGQNQKARAMSTLSPSLFPHVTETLWFNLDRPCVEETELQQQEQQQQAW LQSIAEKDNNLVPIGKPASEHYDDEEEEDDEDDEDSEEDSEDDEDRQDMDEMNDYNESPD DGEVNEVDMEGNEQDQDQWII >ENSMUSP00000114686.1 pep:known chromosome:GRCm38:7:45639977:45645768:1 gene:ENSMUSG00000042918.16 transcript:ENSMUST00000148532.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mamstr description:MEF2 activating motif and SAP domain containing transcriptional regulator [Source:MGI Symbol;Acc:MGI:1921740] MTLAASSQRSQIIRSKFRSVLQLRIHRRNQDCTSDSDPWISASGPALAPALPTVPASFLV SPGVLSPEPAYCPWRAPKKESPKNSQHWKEPKVRGNLTYHLYMPPEQRQGPRANLQVERS TLGPPDPPLWEKNSQRPHPRMKPSSAGVSSPSPPSHKLELQTLKLEELTVSELRQQLRLR GLPVSGTKAMLLERMRGGTPPRERPKPRREDKEAAAPWPRLKPKALGTTRLPSTVKASAT NRRLKFSGATDPLGAAPAPASVPAPTPSPALAPTPTPAPVPAPAPAPFPTPPASLTLEEE LQEAIRRAQLLPNRNIDDILEDQVEPDDLLPPVPLDFPGSFDLLSPSPDSEGFSSVFSSS LPSPTSSLSPSPRALTDSLDWLEALSGGPPLGSGPPGPSIFSADLSDPSGSLLWELLPDP W >ENSMUSP00000026154.7 pep:known chromosome:GRCm38:19:41933472:41944095:1 gene:ENSMUSG00000025157.7 transcript:ENSMUST00000026154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc16 description:zinc finger, DHHC domain containing 16 [Source:MGI Symbol;Acc:MGI:1921418] MRGQRSLLLGPARLCLRLLLLLGYRRRCPPLLRGLVQRWRYGKVCLRSLLYNSFGGSDTA VDAAFEPVYWLVDNVIRWFGVVFVVLVIVLTGSIVAIAYLCVLPLILRTYSVPRLCWHFF YSHWNLILIVFHYYQAITTPPGYPPQGRNDIATVSICKKCIYPKPARTHHCSICNRCVLK MDHHCPWLNNCVGHYNHRYFFSFCFFMTLGCVYCSYGSWDLFREAYAAIETYHQTPPPTF SFRERITHKSLVYLWFLCSSVALALGALTMWHAVLISRGETSIERHINKKERRRLQAKGR VFRNPYNYGCLDNWKVFLGVDTGRHWLTRVLLPSSHLPHGNGMSWDPPPWVTAHSASVMA V >ENSMUSP00000136854.1 pep:known chromosome:GRCm38:4:137572083:137574569:-1 gene:ENSMUSG00000094035.1 transcript:ENSMUST00000178923.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldlrad2 description:low density lipoprotein receptor A domain containing 2 [Source:MGI Symbol;Acc:MGI:3588210] MDNCGDGSDQDSRPPASCGGPSLVPKEMGTLEDNSSKALTLLVAPGSTAERTPPEGLAPI TQEVLEGPWLWWVALASSMLLTCTGLLWCCCCPGWLAWHPGAHRLRLRCCAACNVCHLCP GRVAPGGLWLSWPAFQNQGGHR >ENSMUSP00000096775.3 pep:known chromosome:GRCm38:10:127225696:127263363:-1 gene:ENSMUSG00000074657.4 transcript:ENSMUST00000099172.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif5a description:kinesin family member 5A [Source:MGI Symbol;Acc:MGI:109564] MAETNNECSIKVLCRFRPLNQAEILRGDKFIPIFQGDDSVIIGGKPYVFDRVFPPNTTQE QVYHACAMQIVKDVLAGYNGTIFAYGQTSSGKTHTMEGKLHDPQLMGIIPRIARDIFNHI YSMDENLEFHIKVSYFEIYLDKIRDLLDVTKTNLSVHEDKNRVPFVKGCTERFVSSPEEI LDVIDEGKSNRHVAVTNMNEHSSRSHSIFLINIKQENVETEQKLSGKLYLVDLAGSEKVS KTGAEGAVLDEAKNINKSLSALGNVISALAEGTKSYVPYRDSKMTRILQDSLGGNCRTTM FICCSPSSYNDAETKSTLMFGQRAKTIKNTASVNLELTAEQWKKKYEKEKEKTKAQKETI AKLEAELSRWRNGENVPETERLAGEDSALGAELCEETPVNDNSSIVVRIAPEERQKYEEE IRRLYKQLDDKDDEINQQSQLIEKLKQQMLDQEELLVSTRGDNEKVQRELSHLQSENDAA KDEVKEVLQALEELAVNYDQKSQEVEEKSQQNQLLVDELSQKVATMLSLESELQRLQEVS GHQRKRIAEVLNGLMRDLSEFSVIVGNGEIKLPVEISGAIEEEFTVARLYISKIKSEVKS VVKRCRQLENLQVECHRKMEVTGRELSSCQLLISQHEAKIRSLTEYMQTVELKKRHLEES YDSLSDELARLQAHETVHEVALKDKEPDTQDAEEVKKALELQMENHREAHHRQLARLRDE INEKQKTIDELKDLNQKLQLELEKLQADYERLKNEENEKSAKLQELTFLYERHEQSKQDL KGLEETVARELQTLHNLRKLFVQDVTTRVKKSAEMEPEDSGGIHSQKQKISFLENNLEQL TKVHKQLVRDNADLRCELPKLEKRLRATAERVKALEGALKEAKEGAMKDKRRYQQEVDRI KEAVRYKSSGKRGHSAQIAKPVRPGHYPASSPTNPYGTRSPECISYTNNLFQNYQNLHLQ AAPSSTSDMYFASSGATSVAPLASYQKANMDNGNATDINDNRSDLPCGYEAEDQAKLFPL HQETAAS >ENSMUSP00000024107.5 pep:known chromosome:GRCm38:8:119666523:119688222:1 gene:ENSMUSG00000023336.5 transcript:ENSMUST00000024107.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wfdc1 description:WAP four-disulfide core domain 1 [Source:MGI Symbol;Acc:MGI:1915116] MGNCGRKVLRALSFLLLLGSSSAQGTWEAMLPARLAEKSRAEEVAATGSRQPHADRCPPP PRTLPPGACQATRCQADSECPRHRRCCYNGCAYACLEAVPPPPVLDWLVQPKPRWLGGNG WLLDGPEEVLQAETCSTTEDGAEPLLCPSGYECHILQPGDEAQGIPNHGQCVKQRRQAEG RVLRQRLHKEYPEGDSKNVAEPGKGQQRHFP >ENSMUSP00000037900.7 pep:known chromosome:GRCm38:12:37880705:38633410:1 gene:ENSMUSG00000036095.10 transcript:ENSMUST00000040500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkb description:diacylglycerol kinase, beta [Source:MGI Symbol;Acc:MGI:2442474] MTNQEKWAHLSPSEFSQLQKYAEYSTKKLKDVLEEFHGNGVLAKYNPEGTIDFEGFKLFM KTFLEAELPDDFTAHLFMSFSNKFPHSSPNVKSKPALLSGGLRMNKGAITPPRSSPANTC SPEVIHLKDIVCYLSLLERGRPEDKLEFMFRLYDTDGNGFLDSSELENIIGQMMHVAEYL EWDVTELNPILHEMMEEIDYDRDGTVSLEEWIQGGMTTIPLLVLLGLENNVKDDGQHVWR LKHFNKPAYCNLCLNMLIGVGKQGLCCSFCKYTVHERCVARAPPSCIKTYVKSKKNTDVM HHYWVEGNCPTKCDKCHKTVKCYQGLTGLHCVWCQTTLHNKCASHLKPECDCGPLKDHIL PPTTICPVVLTMPSAGASVPEERQSTAKKEKSSSQQPNKATDKNKMQRANSVTMDGQGLQ ITPVPGTHPLLVFVNPKSGGKQGERIYRKFQYLLNPRQVYSLSGNGPMPGLHFFRDVPDF RVLACGGDGTVGWILDCIEKANVVKHPPVAILPLGTGNDLARCLRWGGGYEGENLMKILK DIESSTEIMLDRWKFEVTPNDKDEKGDPVPYSIINNYFSIGVDASIAHRFHIMREKHPEK FNSRMKNKFWYFEFGTSETFSATCKKLHESVEIECDGVQIDLINISLEGIAILNIPSMHG GSNLWGESKKKRSHRRIEKKGSDKRPTLTDAKELKFASQDLSDQLLEVVGLEGAMEMGQI YTGLKSAGRRLAQCSSVVIRTSKSLPMQIDGEPWMQTPCTIKITHKNQAPMLMGPPPKTG LFCSLIKRTRNRSKE >ENSMUSP00000106696.2 pep:known chromosome:GRCm38:12:16535669:16589770:-1 gene:ENSMUSG00000020593.14 transcript:ENSMUST00000111067.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpin1 description:lipin 1 [Source:MGI Symbol;Acc:MGI:1891340] MNYVGQLAGQVFVTVKELYKGLNPATLSGCIDIIVIRQPNGSLQCSPFHVRFGKMGVLRS REKVVDIEINGESVDLHMKLGDNGEAFFVQETDNDQEIIPMYLATSPILSEGAARMESQL KRNSVDRIRCLDPTTAAQGLPPSDTPSTGSLGKKRRKRRRKAQLDNLKRDDNVNTSEDED MFPIEMSSDEDTAPMDGSRTLPNDVPPFQDDIPKENFPSISTYPQSASYPSSDREWSPSP SPSGSRPSTPKSDSELVSKSADRLTPKNNLEMLWLWGELPQAAKSSSPHKMKESSPLGSR KTPDKMNFQAIHSESSDTFSDQSPTMARGLLIHQSKAQTEMQFVNEEDLESLGAAAPPSP VAEELKAPYPNTAQSSSKTDSPSRKKDKRSRHLGADGVYLDDLTDMDPEVAALYFPKNGD PGGLPKQASDNGARSANQSPQSVGGSGIDSGVESTSDSLRDLPSIAISLCGGLSDHREIT KDAFLEQAVSYQQFADNPAIIDDPNLVVKVGNKYYNWTTAAPLLLAMQAFQKPLPKATVE SIMRDKMPKKGGRWWFSWRGRNATIKEESKPEQCLTGKGHNTGEQPAQLGLATRIKHESS SSDEEHAAAKPSGSSHLSLLSNVSYKKTLRLTSEQLKSLKLKNGPNDVVFSVTTQYQGTC RCEGTIYLWNWDDKVIISDIDGTITRSDTLGHILPTLGKDWTHQGIAKLYHKVSQNGYKF LYCSARAIGMADMTRGYLHWVNERGTVLPQGPLLLSPSSLFSALHREVIEKKPEKFKVQC LTDIKNLFFPNTEPFYAAFGNRPADVYSYKQVGVSLNRIFTVNPKGELVQEHAKTNISSY VRLCEVVDHVFPLLKRSHSCDFPCSDTFSNFTFWREPLPPFENQDMHSASA >ENSMUSP00000070583.5 pep:known chromosome:GRCm38:12:16535669:16589770:-1 gene:ENSMUSG00000020593.14 transcript:ENSMUST00000067124.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpin1 description:lipin 1 [Source:MGI Symbol;Acc:MGI:1891340] MNYVGQLAGQVFVTVKELYKGLNPATLSGCIDIIVIRQPNGSLQCSPFHVRFGKMGVLRS REKVVDIEINGESVDLHMKLGDNGEAFFVQETDNDQEIIPMYLATSPILSEGAARMESQL KRNSVDRIRCLDPTTAAQGLPPSDTPSTGSLGKKRRKRRRKAQLDNLKRDDNVNTSEDED MFPIEMSSDEDTAPMDGSRTLPNDVPPFQDDIPKENFPSISTYPQSASYPSSDREWSPSP SSLVDCQRTPPHLAEGVLSSSCPLQSCHFHASESPSGSRPSTPKSDSELVSKSADRLTPK NNLEMLWLWGELPQAAKSSSPHKMKESSPLGSRKTPDKMNFQAIHSESSDTFSDQSPTMA RGLLIHQSKAQTEMQFVNEEDLESLGAAAPPSPVAEELKAPYPNTAQSSSKTDSPSRKKD KRSRHLGADGVYLDDLTDMDPEVAALYFPKNGDPGGLPKQASDNGARSANQSPQSVGGSG IDSGVESTSDSLRDLPSIAISLCGGLSDHREITKDAFLEQAVSYQQFADNPAIIDDPNLV VKVGNKYYNWTTAAPLLLAMQAFQKPLPKATVESIMRDKMPKKGGRWWFSWRGRNATIKE ESKPEQCLTGKGHNTGEQPAQLGLATRIKHESSSSDEEHAAAKPSGSSHLSLLSNVSYKK TLRLTSEQLKSLKLKNGPNDVVFSVTTQYQGTCRCEGTIYLWNWDDKVIISDIDGTITRS DTLGHILPTLGKDWTHQGIAKLYHKVSQNGYKFLYCSARAIGMADMTRGYLHWVNERGTV LPQGPLLLSPSSLFSALHREVIEKKPEKFKVQCLTDIKNLFFPNTEPFYAAFGNRPADVY SYKQVGVSLNRIFTVNPKGELVQEHAKTNISSYVRLCEVVDHVFPLLKRSHSCDFPCSDT FSNFTFWREPLPPFENQDMHSASA >ENSMUSP00000050881.5 pep:known chromosome:GRCm38:12:4746216:4769267:-1 gene:ENSMUSG00000050545.8 transcript:ENSMUST00000053458.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam228b description:family with sequence similarity 228, member B [Source:MGI Symbol;Acc:MGI:2442121] MTTMKNRSQDDMVTGTLPKLKSSKEWLEPQSLSFMEALAKEDTDAAVQSILYRENYIMKE LDKYLHHQDFLNTRRKEMLYKKWVERVADPLQKKIIEKVHSHKNIKKRRRQELDNFLKHS NKKGNAFIEHYDPKEYDPFYMSKEDPNFLKVIMPPFRDPLKKAQYDQDDEKRTLLQCETG KIYTMKEFKEIEKAQLHSRFPSISNSRQSMTPNGWLKVPMSYIESEFCKKSR >ENSMUSP00000089045.3 pep:known chromosome:GRCm38:13:76098734:76187983:1 gene:ENSMUSG00000033991.8 transcript:ENSMUST00000091466.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc37 description:tetratricopeptide repeat domain 37 [Source:MGI Symbol;Acc:MGI:2679923] MSSKEVKTALKSARDAIRNKEYKEALKHCKTVLKQEKNNYNAWVFIGVAAAELEQPDQAQ GAYKKAAELEPEQLLAWQGLASLYEKCNQVNAKDDLPGVYQKLLDLYESADRQKWCDVCK KLVALYHQEKKHLEVARTWHRLIKTRQEDGADRQELYELWRKLSQLLAENIEDQNNETQQ MLLTAFENALDLADNIPSEDHQVLYRNFIQCLSKFPHETTKLKKACEEMIAIYPTVQYPL EVICLYLIDSGSLTSEGHQYCCKLVEMNSKSGPGLIGLGIIALQDKKYEDAVRHLTEGLK ESPVCIAGWCHLAEAQVKMHRPKEAILSCNQALKTIDNFGASGGNLHQKNLCLRLKAEAL LKLSDGASSEEAVRTLDQVSDVDNTPGLLVLQGLACLNTGAVDKATKIMEDLVTSYPDLA EAHALEGRVHFTKKDYVQAEVSFQRALEKDAEVAEYHYQLGLTYWFMGEETRKDKTKALT HFLKAARLDAHMGKVFCYLGHYYRDVAGDRNRARGCYRKAFELDDNDAESGAAAVDLSLE LEDTETALAILTAVTQKASAGAAKWAWLRRGLYHLKAGQHSQAVADLQAALRADPKDCNC WESLGEAYLSRGGYTTALKSFMKASELNPDSTYSVFKVAAIQQILGRYSEAIAQYQLIIK MKEDYVPALKGLGECHLLLGKVALVDFLDGKAVDYVEQALGYFTRALQHRADVSCLWKLV GDACTCLHPVSPSKVHVHVLGALLGQKEGQEVLKKEELLSLGGRCYGRALKLMSTSNTWC DLGINYYRQVQHLAETGSSMSDLTELLEKSLHCLKKAVRLDSNNHLHWNALGVVACYRGV GNYALAQHCFIKSIQAEQINAAAWTNLGVLYLATENIEQAHEAFKMAQSLDPSYLLCWIG QALIAERVGSYDTMDLFRHTTELSMHTEGAIGYAYWVCTTLQDKSNRETELYQYNILEMN AIPAAQGVLCKYVERIQNSASAFTMLGYLNEHLQLKKEAAEAYQRATTLLHSAEDQNTYN VAVRNWGRLLCSIGDYDRAIQAFKSTPLVELEDIIGFALALFMKGLYKESGSAYERALAV CKSEQDKAHILTAMAIVEYKQGKMDAAKSFLFKCSILKEPTAESLQALCALGLAMRDATL SKAALNELLKHIKRRNDYHSCLLMSAIYALQGHSVAVQRQVAKAVHSNPADPALWSLLSR IVAQYTQRSAKGGAVAGNVAHILDLNHGKKALLYTAVNQLAMGSSTAEDKSNTALKTIQK AAFLSPDDPAVWAGLMAACHADDKLALLNNTQPKRVDLYLALRSAVAASLKDKEILQNYN QSLEKWSFSQVVTGLIDTGKTSEAESLCTQSLKSNPDQPAVILLLRQVQCMSLLESQKPL PDAVLEELQKTVMSNSTSVPAWQWLAQVYQSQGMMGAAEMCYRKSLQVASQQGNWSGKLS SLLKLALLALEVCMANVSGDHWSSLVQEATSEALKVCFSPLAVFLQALLQFNRKMGARET RRLLERIVYQTGYPSSIVSAARWYLLRHLYAKDDPELIDVLVRNAETHGDKRILELNRKL SAQ >ENSMUSP00000095765.1 pep:known chromosome:GRCm38:7:105035200:105036153:1 gene:ENSMUSG00000073915.3 transcript:ENSMUST00000098162.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr676 description:olfactory receptor 676 [Source:MGI Symbol;Acc:MGI:3030510] MPNINLLQNAPSNITEAHPLSFLLLGIPGLEATQFWLGFPFCVVYLTALVGNLIILFVIW TDRTFHQPMFYFLAMLSVIDLSLSTATIPKMLGIFWFSLQELCFACCVAQVFFIHFFTVM ESIVLLAMGFDRYVAICNPLRYTTILTNRIIVVIAVLVVIRSLCMIVPIIFLLLRLPYCG HRIIPHTYCEHMGVARLACASIRANIYFGLGNISILFLDVFLIIVSYARILYAVFHLPSQ DARLKALNTCSSHICVILAFFGPALFSFLTHRFGHNIPQYIHILLANLYVVIPPALNPVI YGIRTKQIQQRVKNLFV >ENSMUSP00000135907.1 pep:known chromosome:GRCm38:10:82486535:82492618:-1 gene:ENSMUSG00000094186.1 transcript:ENSMUST00000177934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1553 description:predicted gene 1553 [Source:MGI Symbol;Acc:MGI:2686399] MKLIILYSLLGFFIICNGQTTADPNDEDDVVASEALNSVWSGFSSEVMRIPDFAANTRLL IMSFGRFGIFMQMFSGERLPIGRGPLCLVMVPMF >ENSMUSP00000067114.4 pep:known chromosome:GRCm38:19:8062209:8131982:-1 gene:ENSMUSG00000063590.3 transcript:ENSMUST00000065651.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a28 description:solute carrier family 22, member 28 [Source:MGI Symbol;Acc:MGI:3645714] MAFQELLNQVGSLGRFQILQISFVLFLVGLVVPHITMENFTAAIPNHRCWAPILDNDTAS DNGSRILSQDDLLRISIPLDSNLRLDKCRRFAQPQWHLLHLNGTFSNETEPDTEPCVDGW VYDRSNFLSTIVTEWDLVCESQALNSVAKFSFMIGLFIGAIICGHLSDRFGRKFILTCAL LQFAITETCVAFAPSFFIYCLLRFLAGMSVEPISVNSNLLILEWTNPKFLGMVAVLTSCA ASIGYMILAGLAFLFRIWHHLQLAMSVPIFFFFILTRWMSESARWLIVTNKPQKGLKELR KVAHMNGMKNSGNTLTMEVVEASMKNELEAAKRKSSPRDLFHTPILRNRICVLSFMRYLF TVSIFGLSLHLQHLSTNIILLRFLSSALGILISVIGHFVLNHMGRRITQLVLMSLRGIFM LTAVFVPQEMQTLRIIVVTLAAALSSLCMCVSNLHINELLPTTLRATGMGVIGMFGNSGL FLAPLFMLLATYSANLPWIFYGGFSILYGFSVFLLPETKNQPLPDSIHDVGNDWKDSRQA KEEDSIIKVTRF >ENSMUSP00000048363.5 pep:known chromosome:GRCm38:12:83688203:83734113:1 gene:ENSMUSG00000019969.13 transcript:ENSMUST00000041806.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psen1 description:presenilin 1 [Source:MGI Symbol;Acc:MGI:1202717] MTEIPAPLSYFQNAQMSEDSHSSSAIRSQNDSQERQQQHDRQRLDNPEPISNGRPQSNSR QVVEQDEEEDEELTLKYGAKHVIMLFVPVTLCMVVVVATIKSVSFYTRKDGQLIYTPFTE DTETVGQRALHSILNAAIMISVIVIMTILLVVLYKYRCYKVIHAWLIISSLLLLFFFSFI YLGEVFKTYNVAVDYVTVALLIWNFGVVGMIAIHWKGPLRLQQAYLIMISALMALVFIKY LPEWTAWLILAVISVYDLVAVLCPKGPLRMLVETAQERNETLFPALIYSSTMVWLVNMAE GDPEAQRRVPKNPKYNTQRAERETQDSGSGNDDGGFSEEWEAQRDSHLGPHRSTPESRAA VQELSGSILTSEDPEERGVKLGLGDFIFYSVLVGKASATASGDWNTTIACFVAILIGLCL TLLLLAIFKKALPALPISITFGLVFYFATDYLVQPFMDQLAFHQFYI >ENSMUSP00000098786.1 pep:known chromosome:GRCm38:12:83688563:83735199:1 gene:ENSMUSG00000019969.13 transcript:ENSMUST00000101225.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psen1 description:presenilin 1 [Source:MGI Symbol;Acc:MGI:1202717] MTEIPAPLSYFQNAQMSEDSHSSSAIRSQNDSQERQQQHDRQRLDNPEPISNGRPQSNSR QVVEQDEEEDEELTLKYGAKHVIMLFVPVTLCMVVVVATIKSVSFYTRKDGQLIYTPFTE DTETVGQRALHSILNAAIMISVIVIMTILLVVLYKYRCYKVIHAWLIISSLLLLFFFSFI YLGEVFKTYNVAVDYVTVALLIWNFGVVGMIAIHWKGPLRLQQAYLIMISALMALVFIKY LPEWTAWLILAVISVYDLVAVLCPKGPLRMLVETAQERNETLFPALIYSSTMVWLVNMAE GDPEAQRRVPKNPKYNTQRAERETQDSGSGNDDGGFSEEWEAQRDSHLGPHRSTPESRAA VQELSGSILTSEDPEERGVKLGLGDFIFYSVLVGKASATASGDWNTTIACFVAILIGLCL TLLLLAIFKKALPALPISITFGLVFYFATDYLVQPFMDQLAFHQFYI >ENSMUSP00000096645.3 pep:known chromosome:GRCm38:9:3023547:3025218:1 gene:ENSMUSG00000095186.1 transcript:ENSMUST00000099046.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10718 description:predicted gene 10718 [Source:MGI Symbol;Acc:MGI:3642028] MFLIFHDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRDFQFSCHIPGPTVCIS HFSRFSLILSFFKLSTACFSFSMIFSFLAIFHVLQWKFLNFPPFSVFLAIFHVLKCVFLI FRDFQFSRHIPGPTVCISHFSRFSVISSFFKSSSGCFSFSMIFSFLAIFHVLQWTFLNFP PFSVLFFSPYSRSYSVHFSFFTFFSDFDIFQVVKWMFLIFHDFQLSC >ENSMUSP00000107451.2 pep:known chromosome:GRCm38:9:114401095:114411200:1 gene:ENSMUSG00000079260.2 transcript:ENSMUST00000111820.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmppe description:transmembrane protein with metallophosphoesterase domain [Source:MGI Symbol;Acc:MGI:5317335] MTLFRQLSLGSKAALAAATVFLSMILSRSFLAETLELQAWRWLFRLQLILFVNSIMLIGS SYIWRSTVSNLSHSPAVESTCFQIWKLAVMAFLALAHSSFFTMLFLVAEEPYMFSLTAYS CLGAYIIMVFFLCILNAMEQAYQFLAWRSGRVVGSLDKTRHLVLRPALAVAVTAVLSTIG LLNAAQPPVVKTVEVPIHQLPASMDNLKIVLLSDIHLGPTVGRTKMEMFVRMVNRLEPDI TVIVGDLSDSEASVLRTAVAPLGQLHSRLGTYFVTGNHEYYTSDVSNWFTLLESLHVRPL HNENVKISAPGDPDSAGRDREWVCLAGVDDIEADILHYSGHGMDLDKALEGCSPDDATIL LAHQPLAAKRALQARPDINLILSGHTHAGQIFPWNVGAYLLNPFFAGLYQVGKATFVYVS PGTAYYGIPMRLGSRAEITELILWRPS >ENSMUSP00000063284.5 pep:known chromosome:GRCm38:17:80307407:80388688:1 gene:ENSMUSG00000054901.5 transcript:ENSMUST00000068175.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef33 description:Rho guanine nucleotide exchange factor (GEF) 33 [Source:MGI Symbol;Acc:MGI:2685787] MEKSKAKQGENEHMPVNNPSTQIYQLQALASELKTGFTEAMQELTRIQHGEYALEEKVKS CRCSMEEKVTEMKNSLNYFKEELSNAMSMIQAITSKQEEMQQKIEQLQQEKRRESRKVKA KKAQKEEHGAQAGPASAPAPGSAPTQGSPFRSINVPEAGLPSDDFTNMLPSQNYEKAQES RSVHVGDSNVKGMMGPGVNPTTPESDENLKPSLSAEIQSKGHHTPGLWRQPKEGKEWGEE YVTKDHPDKLKDAGQGRHSSLENVLCETSLAAKRQTVALELLESERKYVINISLILKIKA TFQGSDGKRNPKERSLFPGSLRYLVQQHLDLLHALQERVLKWPRQGVLGDLFLKLTNDEN NFLDYYVAYLRDLPECISLVHVVVLKEGDEEIKSDIYTLFFHIVQRIPEYLIHLQNVLKF TEQEHPDYYLLLVCVQRLRVFISHYTLLFQCNEDLLIQKRKKLKKSSMAKLYKGLASQCA NAGQDASPNAGQEAVHDSGVHSEEMLQPYPSSSSSAPAVSHLMAPAKKGQQQQSLMESMQ PGKPGDWELEGRKHERPESLLAPAQFCAAEQDVKALAGPLQAIPEMDFEPSSAEPLGNVE RSLRGPPELLPDARGFVPAGYEEFEYGGEIFALPAPYDEEPFQAPALFDNCSPASSESSL DICFLRPVSFAMEAERPEHALQPLPKSATSPASSSGAYKLEAAQAQAHGKAKPLSRSLKE FPRTPPAEGVAPRLYSTRSSSGGRAPLKVERAPAPHGPAAAAAASRGAPRTFFPQQRSQS EKQTYLEEMHLEDATRFCPKEERESEQTSFSDQNPRQDQKGGFRSSFRKLFKKKNGNSTG EDFCGPWGWW >ENSMUSP00000025563.6 pep:known chromosome:GRCm38:19:9982703:9985092:1 gene:ENSMUSG00000024661.6 transcript:ENSMUST00000025563.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fth1 description:ferritin heavy polypeptide 1 [Source:MGI Symbol;Acc:MGI:95588] MTTASPSQVRQNYHQDAEAAINRQINLELYASYVYLSMSCYFDRDDVALKNFAKYFLHQS HEEREHAEKLMKLQNQRGGRIFLQDIKKPDRDDWESGLNAMECALHLEKSVNQSLLELHK LATDKNDPHLCDFIETYYLSEQVKSIKELGDHVTNLRKMGAPEAGMAEYLFDKHTLGHGD ES >ENSMUSP00000136107.1 pep:known chromosome:GRCm38:X:170009659:170019281:1 gene:ENSMUSG00000095562.7 transcript:ENSMUST00000179760.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21887 description:predicted gene, 21887 [Source:MGI Symbol;Acc:MGI:5434051] PPPPPRDGRTDGLHKVGSLRRAHRRTHHSTQDTRGPRARPGTRGTHGTHGRQARHTHPQD APATQTRTPAAVKMYVPPTLAPLDGRTDARRQVGHAAPTTDTHGRPQGLRDPGGHRDLPV WLGPETPLPRRRPVAAGLPCDVTGLLEGLVIFPNSFYVFKA >ENSMUSP00000137566.1 pep:known chromosome:GRCm38:X:170009892:170018799:1 gene:ENSMUSG00000095562.7 transcript:ENSMUST00000180251.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21887 description:predicted gene, 21887 [Source:MGI Symbol;Acc:MGI:5434051] MLGLVPPPVTAAAPSDVTHTRRSGRRGQDVSAMPTGRTDARTHGRTPQGRKPAPSAPPHP PQHTGHTRAPRPPRHTRHTRHTRQAGQAHASAGPAPATQTRTSRRGQDVHPPRSRCMCHR PSPRWTDGRTRARRQRPPVTAAAHSDVTHESTHVEADAVVKMSLPSPQDGRTDSTR >ENSMUSP00000136179.1 pep:known chromosome:GRCm38:X:170010744:170018799:1 gene:ENSMUSG00000095562.7 transcript:ENSMUST00000178789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21887 description:predicted gene, 21887 [Source:MGI Symbol;Acc:MGI:5434051] MSLPCPRTDARTHGRTPQGRKPAPSAPPHPPQHTGHTRAPRPPRHTRHTRHTRQAGQAHA SAGPAPATQTRTSRRGQDVHPPRSRCMCHRPSPRWTDGRTRARRQRPPVTAAAHSDVTHE STHVEADAVVKMSLPSPQDGRTDSTR >ENSMUSP00000081880.4 pep:known chromosome:GRCm38:9:118506318:118582522:1 gene:ENSMUSG00000038708.9 transcript:ENSMUST00000084820.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga4 description:golgi autoantigen, golgin subfamily a, 4 [Source:MGI Symbol;Acc:MGI:1859646] MFKKLKQKISEEQQQLQQALAPAQASSSSSTPTRTRSRTSSFTDQLDDVTPNRENASTQA TKSPDGVSKDESSPSQSGDTQTFAQKLQLRVPSMESLFRSPIKESLFRSSKEPLVRTSSR ESLNQLDLDCSAAAFDPPSDMESEAEDAPWNSDGLSREQLLQRLRRMERSLSSYRGKYSE LVTAFQTLQREKKKLQGILSQSQDKSLRRISELREELQMDQQAKKHLQDEFDACLEEKDQ YISVLQTQVSLLKQRLQNGPMNVDAPKPLPPGELQAEVHGDTEKMEGVGEPVGGGTSAKT LEMLQQRVKRQENLLQRCKETIGSHKEQCALLLSEKEALQEQLDERLQELEKMKELHMAE KTKLITQLRDAKNLIEQLEQDKGMVITETKRQMLETLELKEDEIAQLRSHIKQMTTQGEE LREQKEKSERAAFEELEKALSTAQKTEDAQRRMKMEMDEQMKAVERASEEERLRLQHELS RVRQEAASMAKKNSEEQVAALQKLHAEELASKEQELSRRLEARERELQEQMRIALEKSRS EYLKLTQEKEQQESLALEELELQKKAILTESENKLQELGQEAEAYRTRILELETSLEKSL QESKTQSEHLAVHLEAEKNKHNKELTALAEQHRTEVEGLQQQQDSLWTERLQSLSQQHQA AVEELREKYQQEKDALLKEKESLFQAHIQDMNEKTLEKLDKKQMELESVSSELSEALRAR DQLAEELSVLRGDADKMKQALEAELEEQRRHHQREVGSISEQQELTVRRAEKALKDELSR LGALLDERDEHLRERQARVQDLEAHLQKSAGELQQALAKLDLLHSEQSAAREQAGAYEEQ LAQMQQKVLDLETEKSLLTKQVVEMETHKKHVCEELDAQRAQVQQLERQRSELEEKVRSL AQLQDSQLKNSTVEKEQARQSLMEKENIILQMREEQAKEIEILKQTLSSKEESISILHEE YETKFKNQEKRMEKIKQKAKEMQETKKKLLDQEAKLKKELENTVLELSQKEKQFNAQILE MAQANSAGISDTVSRLEENQRQQIESLTGAHQRKLDDVIEAWEKKLSQQAAELRDKHAEQ MEEKEQGLGELRQKVRIVQSEKEELTKEVARLKEAVSGQDVALAGLQGQLEQKSAVIVSL SERESQLQSQVEKLEADLGCSLSEKLSLQEELAELKLLADKSQLRVSELTGQVQAAEKEL QSCKSLHELSKKSLEDKSLNLKSLLEELASQLDSRCERTKALLEAKTNELVCTSRDKADA ILARLSQCQRHTATVGEALLRRMGQVSELEAQLTQLTEEQRTLKSSFQQVTNQLEEKEKQ IKTMKADIEGLLTEKEALQQEGGQQRQAASEKESCITQLKKELAENINAVTLLREELSEK KSEIASLSKQLSDLGAQLESSISPSDKAEAISALSKQHEEQELQLQAQLQELSLKVDALS KEKMSALEQVDHWSNKFSEWKKKAQSRLAQHQSTIKDLQAQLDVKATDAREKEEQICLLK EDLDRQNKKFECLKGEMEVRKSKMEKKECDLETALKTQTARVVELEDCVTQRKKEVESLN ETLKNYNQQRDTEHSGLVQRLQHLEELGEEKDNKVREAEETVLRLREHVSSLEAELGTVK KELEHVNSSVKSRDGELKALEDKLELESAAKVELKRKAEQKIAAIRKQLLSQMEEKTQRY AKDTENRLSELSAQLKEREKQVHSLEDKLKNLESSPHPEVPAVSRSMQSVAASPEQEAPD SQDCTHKACKERLCMLQRRLSEKEKLLRRLEQGEGEARPSQPEAQHRALSGKLDCTRARQ LEDHVLIGCLPEELEEKMKCSLIVSQPMGEETGNNTGVKQNWASVVDSVQKTLQEKELTC QALEQRVKELESDLVRERGAHRLEVEKLTLKYEKSQSSQQEMDGENKCVEVLEDRPEENS QSHEIQSNVGTVDGLRSDLESKLTGAERDKQKLSKEVARLQKELRALRREHQQELDILKR ECEQEAEEKLKQEQEDLELKHTSTLKQLMREFNTQLAQKEQELERTVQETIDKAQEVEAE LLESHQEETQQLHRKIAEKEDDLRRTARRYEEILDAREEEMTGKVTDLQTQLEELQKKYQ QRLEQEESTKDSVTILELQTQLAQKTTLISDSKLKEQELREQVHNLEDRLKRYEKNACAA TVGTPYKGGNLYHTEVSLFGEPTEFEYLRKVMFEYMMGRETKTMAKVITTVLKFPDDQAQ KILEREDARLMSWLRTSS >ENSMUSP00000034285.6 pep:known chromosome:GRCm38:8:119809225:119840579:-1 gene:ENSMUSG00000031827.12 transcript:ENSMUST00000034285.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cotl1 description:coactosin-like 1 (Dictyostelium) [Source:MGI Symbol;Acc:MGI:1919292] MATKIDKEACRAAYNLVRDDGSAVIWVTFRYDGATIVPGDQGADYQHFIQQCTDDVRLFA FVRFTTGDAMSKRSKFALITWIGEDVSGLQRAKTGTDKTLVKEVVQNFAKEFVISDRKEL EEDFIRSELKKAGGANYDAQSE >ENSMUSP00000126329.1 pep:known chromosome:GRCm38:8:119809225:119840522:-1 gene:ENSMUSG00000031827.12 transcript:ENSMUST00000168698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cotl1 description:coactosin-like 1 (Dictyostelium) [Source:MGI Symbol;Acc:MGI:1919292] MATKIDKEACRAAYNLVRDDGSAVIWVTFRYDGATIVPGDQGADYQHFIQQCTDDVRLFA FVRFTTGDAMSKRSKFALITWIGEDVSGLQRAKTGTDKTLVKEVVQNFAKEFVISDRKEL EEDFIRSELKKAGGANYDAQSE >ENSMUSP00000097089.2 pep:known chromosome:GRCm38:13:55209782:55318325:1 gene:ENSMUSG00000021488.7 transcript:ENSMUST00000099490.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsd1 description:nuclear receptor-binding SET-domain protein 1 [Source:MGI Symbol;Acc:MGI:1276545] MDRTCELSRRNCLLSFSNPVNLDASEDKDSPFGNGQSNFSEPLNGCTMQLPTAASGTSQN AYGQDSPSCYIPLRRLQDLASMINVEYLSGSADGSESFQDPAKSDSRAQSPIVCTSLSPG GPTALAMKQEPTCNNSPELQLRVTKTTKNGFLHFENFTGVDDADVDSEMDPEQPVTEDES IEEIFEETQTNATCNYEPKSENGVEVAMGSEQDSMPESRHGAVERPFLPLAPQTEKQKNK QRSEVDGSNEKTALLPAPTSLGDTNVTVEEQFNSINLSFQDDPDSSPSPLGNMLEIPGTS SPSTSQELPFCQPKKKSTPLKYEVGDLIWAKFKRRPWWPCRICSDPLINTHSKMKVANRR PYREYYVEAFGDPSEKAWVAGKAIVMFEGRHQFEELPVLRKRGKQKEKGYRHKVPQKILS KWEASVGLAEQYDVPKGSKNQKCVSSSVKLDSEEDMPFEDCTNDPDSEHLLLNGCLKSLA FDSEHSADEKEKPCAKSRVRKSSDNIKRTSVKKDLVPFESRKEERRGKIPDNLGLDFISG GVSDKQASNELSRIANSLTGSSTAPGSFLFSSSVQNTAKTDFETPDCDSLSGLSESALIS KHSGEKKKLQPGQVCSSKVQLCYVGAGDEEKRSNSVSVSTTSDDGCSDLDPTEHNSGFQN SVLGITDAFDKTENALSVHKNETQYSRYPVTNRIKEKQKSLITNSHADHLMGSTKTMEPE TAELSQVNLSDLKISSPIPKPQPEFRNDGLTTKFSAPPGIRNENPLTKGGLANQTLLPLK CRQPKFRSIKCKHKESPAVAETSATSEDLSLKCCSSDTNGSPLANISKSGKGEGLKLLNN MHEKTRDSSDIETAVVKHVLSELKELSYRSLSEDVSDSGTAKASKPLLFSSASSQNHIPI EPDYKFSTLLMMLKDMHDSKTKEQRLMTAQNLASYRTPDRGDCSSGSPVGTSKVLVLGSS TPNSEKPGDSTQDSVHQSPGGGDSALSGELSSSLSSLASDKRELPACGKIRSNCIPRRNC GRAKPSSKLRETISAQMVKPSVNPKALKTERKRKFSRLPAVTLAANRLGNKESGSVNGPS RGGAEDPGKEEPLQQMDLLRNEDTHFSDVHFDSKAKQSDPDKNLEKEPSFENRKGPELGS EMNTENDELHGVNQVVPKKRWQRLNQRRPKPGKRANRFREKENSEGAFGVLLPADAVQKA REDYLEQRAPPTSKPEDSAADPNHGSHSESVAPRLNVCEKSSVGMGDVEKETGIPSLMPQ TKLPEPAIRSEKKRLRKPSKWLLEYTEEYDQIFAPKKKQKKVQEQVHKVSSRCEDESLLA RCQPSAQNKQVDENSLISTKEEPPVLEREAPFLEGPLAQSDLGVTHAELPQLTLSVPVAP EASPRPALESEELLVKTPGNYESKRQRKPTKKLLESNDLDPGFMPKKGDLGLSRKCFEAS RSGNGIVESRATSHLKEFSGGTTKIFDKPRKRKRQRLVTARVHYKKVKKEDLTKDTPSSE GELLIHRTAASPKEILEEGVEHDPGMSASKKLQVERGGGAALKENVCQNCEKLGELLLCE AQCCGAFHLECLGLPEMPRGKFICNECHTGIHTCFVCKQSGEDVKRCLLPLCGKFYHEEC VQKYPPTVTQNKGFRCPLHICITCHAANPANVSASKGRLMRCVRCPVAYHANDFCLAAGS KILASNSIICPNHFTPRRGCRNHEHVNVSWCFVCSEGGSLLCCDSCPAAFHRECLNIDIP EGNWYCNDCKAGKKPHYREIVWVKVGRYRWWPAEICHPRAVPSNIDKMRHDVGEFPVLFF GSNDYLWTHQARVFPYMEGDVSSKDKMGKGVDGTYKKALQEAAARFEELKAQKELRQLQE DRKNDKKPPPYKHIKVNRPIGRVQIFTADLSEIPRCNCKATDENPCGIDSECINRMLLYE CHPTVCPAGVRCQNQCFSKRQYPDVEIFRTLQRGWGLRTKTDIKKGEFVNEYVGELIDEE ECRARIRYAQEHDITNFYMLTLDKDRIIDAGPKGNYARFMNHCCQPNCETQKWSVNGDTR VGLFALSDIKAGTELTFNYNLECLGNGKTVCKCGAPNCSGFLGVRPKNQPIVTEEKSRKF KRKPHGKRRSQGEVTKEREDECFSCGDAGQLVSCKKPGCPKVYHADCLNLTKRPAGKWEC PWHQCDVCGKEAASFCEMCPSSFCKQHREGMLFISKLDGRLSCTEHDPCGPNPLEPGEIR EYVPPTATSPPSPGTQPKEQSSEMATQGPKKSDQPPTDATQLLPLSKKALTGSCQRPLLP ERPPERTDSSSHLLDRIRDLAGSGTKSQSLVSSQRPQDRPPAKEGPRPQPPDRASPMTRP SSSPSVSSLPLERPLRMTDSRLDKSIGAASPKSQAVEKTPASTGLRLSSPDRLLTTNSPK PQISDRPPEKSHASLTQRLPPPEKVLSAVVQSLVAKEKALRPVDQNTQSKHRPAVVMDLI DLTPRQKERAASPQEVTPQADEKTAMLESSSWPSSKGLGHIPRATEKISVSESLQPSGKV AAPSEHPWQAVKSLTHARFLSPPSAKAFLYESATQASGRTPVGAEQTPGPPSPAPGLVKQ VKQLSRGLTAKSGQSFRSLGKISASLPNEEKKLTTTEQSPWGLGKASPGAGLWPIVAGQT LAQACWSAGGTQTLAQTCWSLGRGQDPKPENAIQALNQAPSSRKCADSEKK >ENSMUSP00000037858.8 pep:known chromosome:GRCm38:19:6998958:7019469:-1 gene:ENSMUSG00000024965.8 transcript:ENSMUST00000040772.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fermt3 description:fermitin family member 3 [Source:MGI Symbol;Acc:MGI:2147790] MAGMKTASGDYIDSSWELRVFVGEEDPEAQSVTLRVTGESHIGGVLLKIVEEINRKQDWS DHAIWWEQKRQWLLQTHWTLDKYGILADARLFFGPQHRPVILRLPNRRVLRLRASFSKPL FQTVAAICRLLSIRHPEELSLLRAPEKKEKKKKEKEPEEEVHDLTKVVLAGGVAPTLFRG MPAHFSDSAQTEACYHMLSRPQPAPDPLLLQRLPRPSSLPDKTQLHSRWLDSSRCLMQQG IKAGDVLWLRFKYYSFFDLDPKTDPVRLTQLYEQARWDLLTEEIDCTEEEMMVFAALQYH INKLTLSGDVGELASGDLGLDDLDAALNNLEVKLKGSAPSDMLDSLTTIPELKDHLRIFR PRKLTLKGYRQYWVVFKDTTLSYYKSQDEAPGDPTQQLNLKGCEVVPDVNVSGQKFCIKL LVPSPEGMSEIYLRCQDEQQYAQWMAACRLASKGRTMADSSYASEVQAILAFLSLQRAGG SNGGSGNKPQGPEAPAEGLNPYGLVAPRFQRKFKAKQLTPRILEAHQNVAQLSLTEAQLR FIQAWQSLPDFGISYVMVRFKGSRKDEILGIANNRLIRIDLAVGDVVKTWRFSNMRQWNV NWDIRQVAIEFDEHINVAFSCVSASCRIVHEYIGGYIFLSTRERARGEELDEDLFLQLTG GHEAF >ENSMUSP00000071353.3 pep:known chromosome:GRCm38:9:123976949:123978408:-1 gene:ENSMUSG00000064039.3 transcript:ENSMUST00000071404.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr1l1 description:chemokine (C-C motif) receptor 1-like 1 [Source:MGI Symbol;Acc:MGI:104617] MEIPAVTEPSYNTVAKNDFMSGFLCFSINVRAFGITVLTPLYSLVFIIGVIGHVLVVLVL IQHKRLRNMTSIYLFNLAISDLVFLSTLPFWVDYIMKGDWIFGNAMCKFVSGFYYLGLYS DMFFITLLTIDRYLAVVHVVFALRARTVTFGIISSIITWVLAALVSIPCLYVFKSQMEFT YHTCRAILPRKSLIRFLRFQALTMNILGLILPLLAMIICYTRIINVLHRRPNKKKAKVMR LIFVITLLFFLLLAPYYLAAFVSAFEDVLFTPSCLRSQQVDLSLMITEALAYTHCCVNPV IYVFVGKRFRKYLWQLFRRHTAITLPQWLPFLSVDRAQRASATPPSTVEIETSADL >ENSMUSP00000070898.1 pep:known chromosome:GRCm38:18:24709040:24709348:-1 gene:ENSMUSG00000054745.1 transcript:ENSMUST00000067987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9955 description:predicted gene 9955 [Source:MGI Symbol;Acc:MGI:3642397] DLRDRCRDRECESRLRSVGSPEPGSNQRAETPLRRAPCTCACCPGSRCTSLGALALDWGQ GSPLTTLPLGQGTAQPFPDERDRAGLPAARHPDQGARLSAGL >ENSMUSP00000057719.1 pep:known chromosome:GRCm38:12:112413915:112423212:-1 gene:ENSMUSG00000047828.3 transcript:ENSMUST00000050754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A730018C14Rik description:RIKEN cDNA A730018C14 gene [Source:MGI Symbol;Acc:MGI:2442339] MTEIHTFAEEEQVSLAEEGQVSRAEVQVTCVSTVLSLVIRHSFQRQQKASGRGDSFTADR NPTSWFHSVIGMPLDRWCCREVGGGTYLCLSLSEPRLISGSPTIPYFLVFENLGLFSRLL TCLLWFPSPYASLGLYFCSPVHQLEHHLLLLFFLSSGTKSETHVTLLRYLSQSPLWSSFS SGSSTSSEHLWLLLFSFHFAPGPCHCLSLGDCDPELFITPTANSCCVGGKGQVVASPSQP >ENSMUSP00000137396.1 pep:known chromosome:GRCm38:13:120317567:120318076:1 gene:ENSMUSG00000095821.1 transcript:ENSMUST00000178349.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcstv3 description:2-cell-stage, variable group, member 3 [Source:MGI Symbol;Acc:MGI:2675349] MDKAKKMMQSIPSFVKDTSDIEEHALPSAQVLPAQSTRCSNSETLCFSKEQSHCSEDGWI ANWDLYSFCVFESVDYLKSYRRLNSAMKKGTEVFQSESQREPQVSPGDVENYKDKDTEEP DQPSLSLLREKGLELVTCDGGDCPDQDPASYSARHLGCWAWLQRAFRQK >ENSMUSP00000046168.6 pep:known chromosome:GRCm38:13:34037641:34074074:1 gene:ENSMUSG00000038286.10 transcript:ENSMUST00000040656.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bphl description:biphenyl hydrolase-like (serine hydrolase, breast epithelial mucin-associated antigen) [Source:MGI Symbol;Acc:MGI:1915271] MATATVRPAAQRLRLLLSPLKSRICVPQAEPVATFGTAVTSAKVAVNGVHLHYQRVGEGE HAILLLPGMLGSGKTDFAPQLQSLNKKRFTLVAWDPRGYGYSRPPDRDFPRDFFERDAKD AVDLMKALQFKQVSLLGWSDGGITALIAAAKYPSYIRKMVIWGANAYVTEEDSRIYQGIR DVSKWSEKARKPLEALYGYDYLAKTCEDWVDGISQFKQLPEGNICRHLLPLVQCPTLIVH GEKDPLVPRFHADFLLQHVKGSRLHLMPEGKHNLHLRFADEFNRLVEDFLQ >ENSMUSP00000020484.6 pep:known chromosome:GRCm38:10:82859206:82897724:1 gene:ENSMUSG00000020250.9 transcript:ENSMUST00000020484.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd1 description:thioredoxin reductase 1 [Source:MGI Symbol;Acc:MGI:1354175] MNGSKDPPGSYDFDLIIIGGGSGGLAAAKEAAKFDKKVLVLDFVTPTPLGTRWGLGGTCV NVGCIPKKLMHQAALLGQALKDSRNYGWKVEDTVKHDWEKMTESVQSHIGSLNWGYRVAL REKKVVYENAYGRFIGPHRIVATNNKGKEKIYSAERFLIATGERPRYLGIPGDKEYCISS DDLFSLPYCPGKTLVVGASYVALECAGFLAGIGLDVTVMVRSILLRGFDQDMANKIGEHM EEHGIKFIRQFVPTKIEQIEAGTPGRLRVTAQSTNSEETIEGEFNTVLLAVGRDSCTRTI GLETVGVKINEKTGKIPVTDEEQTNVPYIYAIGDILEGKLELTPVAIQAGRLLAQRLYGG SNVKCDYDNVPTTVFTPLEYGCCGLSEEKAVEKFGEENIEVYHSFFWPLEWTVPSRDNNK CYAKIICNLKDDERVVGFHVLGPNAGEVTQGFAAALKCGLTKQQLDSTIGIHPVCAEIFT TLSVTKRSGGDILQSGCUG >ENSMUSP00000021860.5 pep:known chromosome:GRCm38:13:37345345:37419036:1 gene:ENSMUSG00000021423.5 transcript:ENSMUST00000021860.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly86 description:lymphocyte antigen 86 [Source:MGI Symbol;Acc:MGI:1321404] MNGVAAALLVWILTSPSSSDHGSENGWPKHTACNSGGLEVVYQSCDPLQDFGLSIDQCSK QIQSNLNIRFGIILRQDIRKLFLDITLMAKGSSILNYSYPLCEEDQPKFSFCGRRKGEQI YYAGPVNNPGLDVPQGEYQLLLELYNENRATVACANATVTSS >ENSMUSP00000021611.8 pep:known chromosome:GRCm38:13:6548157:6580139:1 gene:ENSMUSG00000021193.9 transcript:ENSMUST00000021611.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitrm1 description:pitrilysin metallepetidase 1 [Source:MGI Symbol;Acc:MGI:1916867] MWRFSGRRGLCAVQRLSCGRVHHRVWREKSDQACERALQYKVGEKIHGFTVNQVTPVPEL FLTAVKLSHDNTGARYLHLAREDKNNLFSVQFRTTPMDSTGVPHVLEHTVLCGSQKYPCR DPFFKMLNRSLSTFMNAMTASDYTIYPFSTQNPKDFQNLLSVYLDATFFPCLRELDFWQE GWRLEHENPRDPQTPLIFKGVVFNEMKGAFTDNERIFSQHLQNKLLPDHTYSVVSGGDPL CIPELTWEQLKQFHATHYHPSNARFFTYGNFQLEGHLKQIHEEALSKFQRLEQSTAVPAQ PHWDKPREFHITCGPDSLATETAKQTTVSVSFLLPDITDTFEAFTLSLLSSLLIAGPNSP FYKALIESGLGTDFSPDVGYNGYTREAYFSVGLQGIAEKDVKTVRELVDRTIEEVIEKGF EDDRIEALLHKIEIQTKHQSASFGLTLTSYIASCWNHDGDPVELLQIGSQLTRFRKCLKE NPKFLQEKVEQYFKNNQHKLTLSMKPDDKYYEKQTQMETEKLEQKVNSLSPADKQQIYEK GLELQTQQSKHQDASCLPALKVSDIEPSMPFTKLDIGLAAGDIPVQYCPQPTNGMVYFRA FSSLNTLPEDLRPIVPLFCSVLTKLGCGILNYREQAQQIELKTGGMSVTPHVLPDDSQLD TYEQGVLFSSLCLERNLPDMMHLWSEIFNNPCFEEEEHFKVLVKMTAQELSNGISDSGHL YAALRASKTLTPSGDLQETFSGMDQVKVMKRIAEMTDIKPILRKLPRIKKYLLNCDNMRC SVNATPQQMPQAEKEVENFLRNVGRSKKERKPVRPHIVEKPTPSGPSGAAHVSGSQIVRK LVTDPTFKPCQMKTHFVLPFPVNYIGECVRTVPYADPDHASLKILARLMTAKFLHTEIRE KGGAYGGGAKLTHSGIFTLYSYRDPNSIETLQSFGKAVDWAKSGKFTQQDIDEAKLSVFS TVDSPVAPSDKGMDHFLYGLSDEMKQAYREQLFAVNHDKLTSVSHKYLGIGKSTHGLAIL GPENSKIAKDPSWIIK >ENSMUSP00000029404.9 pep:known chromosome:GRCm38:10:106470310:106933467:1 gene:ENSMUSG00000053825.14 transcript:ENSMUST00000029404.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfia2 description:protein tyrosine phosphatase, receptor type, f polypeptide (PTPRF), interacting protein (liprin), alpha 2 [Source:MGI Symbol;Acc:MGI:2443834] MMCEVMPTINEDTPMSQRGSQSSGSDSDSHFEQLMVNMLDERDRLLDTLRETQESLSLAQ QRLQDVIYDRDSLQRQLNSALPQDIESLTGGLTGSKGADPPEFAALTKELNACREQLLEK EEEISELKAERNNTRLLLEHLECLVSRHERSLRMTVVKRQAQSPSGVSSEVEVLKALKSL FEHHKALDEKVRERLRVSLERVSALEEELAAANQEIVALREQNVHIQRKMVSSEGSTESE HLEGMEAGQKVHEKRLSNGSIDSTDDTSQIVELQELLEKQNYEMAQMKERLTALSSRVGE VEQEAETARKDLIKTEEMNTKYQRDIREAMAQKEDMEERITTLEKRYLSAQRESTSIHDM NDKLENELANKEAILRQMEEKNRQLQERLELAEQKLQQTMRKAETLPEVEAELAQRIAAL TKAEERHGNIEERMRHLEGQLEEKNQELQRARQREKMNEEHNKRLSDTVDRLLTESNERL QLHLKERMAALEEKNVLIQESENFRKNLEESLHDKERLAEEIEKLRSELDQMKMRTGSLI EPTISRTHIDTSTELRYSVGSLVDSQSDYRTTKVIRRPRRGRMGVRRDEPKVKSLGDHEW NRTQQIGVLGSHPFESDTEMSDIDDDDRETIFSSMDLLSPSGHSDAQTLAMMLQEQLDAI NKEIRLIQEEKESTELRAEEIENRVASVSLEGLNLARVHPGTSITASVTASSLASSSPPS GHSTPKLTPRSPAREMDRMGVMTLPSDLRKHRRKIAVVEEDGREDKATIKCETSPPPTPR AVRMTHTLPSSYHNDARSSLSASLEPDSLGLGSANSSQDSLHKAPKKKGIKSSIGRLFGK KEKARLGQLRGFMETEAAAQESLGLGKLGTQAEKDRRLKKKHELLEEARRKGLPFAQWDG PTVVAWLELWLGMPAWYVAACRANVKSGAIMSALSDTEIQREIGISNPLHRLKLRLAIQE MVSLTSPSAPPTSRTPSGNVWVTHEEMENLTAPAKTKESEEGSWAQCPVFLQTLAYGDMN HEWIGNEWLPSLGLPQYRSYFMECLVDARMLDHLTKKDLRVHLKMVDSFHRTSLQYGIMC LKRLNYDRKELERRREASQHEIKDVLVWSNDRVIRWIQAIGLREYANNILESGVHGSLIA LDENFDYSSLALLLQIPTQNTQARQILEREYNNLLALGTERRLDESDDKNFRRGSTWRRQ FPPREVHGISMMPGSSETLPAGFRLTTTSGQSRKMTTDVASSRLQRLDNSTVRTYSC >ENSMUSP00000129642.1 pep:known chromosome:GRCm38:10:106470346:106777999:1 gene:ENSMUSG00000053825.14 transcript:ENSMUST00000169303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfia2 description:protein tyrosine phosphatase, receptor type, f polypeptide (PTPRF), interacting protein (liprin), alpha 2 [Source:MGI Symbol;Acc:MGI:2443834] MMCEVMPTINEDTPMSQRGSQSSGSDSDSHFEQLMVNMLDERDRLLDTLRETQESLSLAQ QRLQDVIYDRDSLQRQLNSALPQDIESLTGGLTGSKGADPPEFAALTKELNACREQLLEK EEEISELKAERNNTRLLLEHLECLVSRHERSLRMTVVKRQAQSPSGVSSEVEVLKALKSL FEHHKALDEKVRERLRVSLERVSALEEELAAANQE >ENSMUSP00000056099.3 pep:known chromosome:GRCm38:19:21653309:21685637:1 gene:ENSMUSG00000047368.3 transcript:ENSMUST00000052556.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd17b description:abhydrolase domain containing 17B [Source:MGI Symbol;Acc:MGI:1917816] MNNLSFSELCCLFCCPPCPGKIASKLAFLPPDPTYTLMCDESGSRWTLHLSERADWQYSS REKDAIECFMTRTSKGNRIACMFVRCSPNAKYTLLFSHGNAVDLGQMSSFYIGLGSRINC NIFSYDYSGYGASSGKPTEKNLYADVEAAWLALRTRYGIRPENVIIYGQSIGTVPSVDLA ARYESAAVILHSPLTSGMRVAFPDTKKTYCFDAFPNIDKISKITSPVLIIHGTEDEVIDF SHGLALFERCQRPVEPLWVEGAGHNDVELYGQYLERLKQFVSQELVNL >ENSMUSP00000105464.2 pep:known chromosome:GRCm38:13:58806596:58937030:1 gene:ENSMUSG00000055254.14 transcript:ENSMUST00000109838.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntrk2 description:neurotrophic tyrosine kinase, receptor, type 2 [Source:MGI Symbol;Acc:MGI:97384] MSPWLKWHGPAMARLWGLCLLVLGFWRASLACPTSCKCSSARIWCTEPSPGIVAFPRLEP NSVDPENITEILIANQKRLEIINEDDVEAYVGLRNLTIVDSGLKFVAYKAFLKNSNLRHI NFTRNKLTSLSRRHFRHLDLSDLILTGNPFTCSCDIMWLKTLQETKSSPDTQDLYCLNES SKNMPLANLQIPNCGLPSARLAAPNLTVEEGKSVTLSCSVGGDPLPTLYWDVGNLVSKHM NETSHTQGSLRITNISSDDSGKQISCVAENLVGEDQDSVNLTVHFAPTITFLESPTSDHH WCIPFTVRGNPKPALQWFYNGAILNESKYICTKIHVTNHTEYHGCLQLDNPTHMNNGDYT LMAKNEYGKDERQISAHFMGRPGVDYETNPNYPEVLYEDWTTPTDIGDTTNKSNEIPSTD VADQSNREHLSVYAVVVIASVVGFCLLVMLLLLKLARHSKFGMKGFVLFHKIPLDG >ENSMUSP00000078757.5 pep:known chromosome:GRCm38:13:58807900:59133970:1 gene:ENSMUSG00000055254.14 transcript:ENSMUST00000079828.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntrk2 description:neurotrophic tyrosine kinase, receptor, type 2 [Source:MGI Symbol;Acc:MGI:97384] MSPWLKWHGPAMARLWGLCLLVLGFWRASLACPTSCKCSSARIWCTEPSPGIVAFPRLEP NSVDPENITEILIANQKRLEIINEDDVEAYVGLRNLTIVDSGLKFVAYKAFLKNSNLRHI NFTRNKLTSLSRRHFRHLDLSDLILTGNPFTCSCDIMWLKTLQETKSSPDTQDLYCLNES SKNMPLANLQIPNCGLPSARLAAPNLTVEEGKSVTLSCSVGGDPLPTLYWDVGNLVSKHM NETSHTQGSLRITNISSDDSGKQISCVAENLVGEDQDSVNLTVHFAPTITFLESPTSDHH WCIPFTVRGNPKPALQWFYNGAILNESKYICTKIHVTNHTEYHGCLQLDNPTHMNNGDYT LMAKNEYGKDERQISAHFMGRPGVDYETNPNYPEVLYEDWTTPTDIGDTTNKSNEIPSTD VADQSNREHLSVYAVVVIASVVGFCLLVMLLLLKLARHSKFGMKGPASVISNDDDSASPL HHISNGSNTPSSSEGGPDAVIIGMTKIPVIENPQYFGITNSQLKPDTFVQHIKRHNIVLK RELGEGAFGKVFLAECYNLCPEQDKILVAVKTLKDASDNARKDFHREAELLTNLQHEHIV KFYGVCVEGDPLIMVFEYMKHGDLNKFLRAHGPDAVLMAEGNPPTELTQSQMLHIAQQIA AGMVYLASQHFVHRDLATRNCLVGENLLVKIGDFGMSRDVYSTDYYRVGGHTMLPIRWMP PESIMYRKFTTESDVWSLGVVLWEIFTYGKQPWYQLSNNEVIECITQGRVLQRPRTCPQE VYELMLGCWQREPHTRKNIKSIHTLLQNLAKASPVYLDILG >ENSMUSP00000056485.3 pep:known chromosome:GRCm38:8:123373824:123401892:1 gene:ENSMUSG00000001472.16 transcript:ENSMUST00000057934.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf25 description:transcription factor 25 (basic helix-loop-helix) [Source:MGI Symbol;Acc:MGI:1914105] MSRRALRRLRGEQRGQEPLGPDALKFVLLDDDDAEEEGPKPGLGGRRPGGAGKEGVRVNN RFELINTEDLEDDLVVNGERSDCTLPDSVSSGNKGRAKHGNAETKQDGGATKAGSSEQSN ASGKLRKKKKKQKNKKSCTGESSENGLEDIDRILERIEDSSGFSHPGPPPLSSRKHVLYV EHRHLNPDTELKRYFGARAVLGEQRPRQRQRVYPKCTWLTTPKSTWPRYSKPGLSMRLLE SKKGLSFFAFDHNEEYQQAQHKFLVAVESMEPNNIVVLLQTSPYHVDSLLQLSDACRFQE DQEMARDLIERALYSMECAFHPLFSLTSGTCRLDYRRPENRSFYLTLYKQMSFLEKRGCP RTALEYCKLILSLEPDEDPLCMLLLIDHLALRARNYEYLIRLFQEWEAHRNLSQLPNFAF SVPLAYFLLSQQTDLPEHELSSARQQASLLIQQALTMFPGVLMPLLEYCSVRPDATVSNH RFFGPDAEISQPPALGQLVSLYLGRSHFLWKEPAIMSWLEENVHEVLQAVDAGDPAVEAC ENRRKVLYQRAPRNIHRHVILSEIKEAVAALPSDVTTQSVMGFDPLPPLDTIYSYVRPER LSPVSHGNTIALFFRSLLPNYTTEGERLEEGVAGGPNRNQGLNRLMLAVRDMMANFHFND LEVPREDNPEGEGDWD >ENSMUSP00000104468.2 pep:known chromosome:GRCm38:8:123373835:123404173:1 gene:ENSMUSG00000001472.16 transcript:ENSMUST00000108840.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf25 description:transcription factor 25 (basic helix-loop-helix) [Source:MGI Symbol;Acc:MGI:1914105] MSRRALRRLRGEQRGQEPLGPDALKFVLLDDDDAEEEGPKPGLGGRRPGGAGKEGVRVNN RFELINTEDLEDDLVVNGERSDCTLPDSVSSGNKGRAKHGNAETKQDGGATKAGSSEQSN ASGKLRKKKKKQKNKKSCTGESSENGLEDIDRILERIEDSSGFSHPGPPPLSSRKHVLYV EHRHLNPDTELKRYFGARAVLGEQRPRQRQRVYPKCTWLTTPKSTWPRYSKPGLSMRLLE SKKGLSFFAFDHNEEYQQAQHKFLVAVESMEPNNIVVLLQTSPYHVDSLLQLSDACRFQE DQEMARDLIERALYSMECAFHPLFSLTSGTCRLDYRRPENRSFYLTLYKQMSFLEKRGCP RTALEYCKLILSLEPDEDPLCMLLLIDHLALRARNYEYLIRLFQEWEAHRNLSQLPNFAF SVPLAYFLLSQQTDLPEHELSSARQQASLLIQQALTMFPGVLMPLLEYCSVRPDATVSNH RFFGPDAEISQPPALGQLVSLYLGRSHFLWKEPAIMSWLEENVHEVLQAVDAGDPAVEAC ENRRKVLYQRAPRNIHRHVILSEIKEAVAALPSDVTTQSVMGFDPLPPLDTIYSYVRPER LSPVSHGNTIALFFRSLLPNYTTEQL >ENSMUSP00000052144.5 pep:known chromosome:GRCm38:15:100479570:100495239:-1 gene:ENSMUSG00000044636.5 transcript:ENSMUST00000061457.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrnp2 description:cysteine-serine-rich nuclear protein 2 [Source:MGI Symbol;Acc:MGI:2386852] MDAFSGSGLKRKFDDVDVGSSVSNSDDEMSSSDSADSCDSLNPPTTASFTPTSILKRQKQ LRRKNVRFDQVTVYYFARRQGFTSVPSQGGSSLGMAQRHNSVRSYTLCEFAQEQEVNHRE ILREHLKEEKLHAKKMKLTKNGTVESVEADGLTLDDVSDEDIDVENVEVDDYFFLQPLPT KRRRALLRASGVHRIDAEEKQELRAIRLSREECGCDCRLYCDPEACACSQAGIKCQVDRM SFPCGCSRDGCGNMAGRIEFNPIRVRTHYLHTIMKLELESKRQVSRPAAEEEPLPGAQSS QTQDFQEFIAENETAVMHLQSAEELERLKAEEDSSGSSASLDSSMESLGVCILEEPLAVP QELCPGLAAPILIQAQLPPGSSVLCFTENSEHPAASPMSSPSYLNSGPLVYYQVEQRPVV GVKAESGSEEGPASFPKEKDLSVFSLPVTSLVACGPSASAALCKPEVGKTSSLNKLLPED CGLKEPESEDLHPSWSPSSLPFRTDNEEGCGVQNSQQSEDRTSEDSALELPLAV >ENSMUSP00000131134.1 pep:known chromosome:GRCm38:17:69439326:69489233:1 gene:ENSMUSG00000091636.1 transcript:ENSMUST00000169935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A330050F15Rik description:RIKEN cDNA A330050F15 gene [Source:MGI Symbol;Acc:MGI:2444600] MVFAPGEKSGKELEEVKLQNTSKQIVQNAILQAMRQVSQESLRREGRPGDSRAWGQLGGC ELTKKHEKK >ENSMUSP00000084837.5 pep:known chromosome:GRCm38:19:23206441:23273897:-1 gene:ENSMUSG00000024943.8 transcript:ENSMUST00000087556.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc5 description:structural maintenance of chromosomes 5 [Source:MGI Symbol;Acc:MGI:2385088] MATPSGKAAPPNPQVSKRSLPRDASSEVPSKRKNSNPLPTLPRPSGTFVEGSIVRIAMEN FLTYDICEVSPGPHLNMIIGANGTGKSSIVCAICLGLAGKPAFMGRADKVGFFVKRGCSK GLVEIELFRTSGNLIITREIDVIKNQSFWFINKKPVTQKIVEEQVAALNIQVGNLCQFLP QDKVGEFAKLSKIELLEATEKSVGPPEMHRYHCELKNFREKEKQLETSCKEKTEYLEKMV QRNERYKQDVERFYERKRHLDLIEMLEAKRPWVEYENVRQEYEGVKLIRDRVKEEVRKLK EGQIPMTRRIEEIDRQRHTLEVRIKEKSTDIKEASQKCKQRQDLIERKDRQIKELQQALT VKQNEELDRQKRISNTRKMIEDLQSELKTAENCENLQPQIDTVTNDLRRVQEEKALCEGE IIDKQREKEMLEKQRRSVSDHITRFDNLMNQKEDKLRQRYRDTYDAVLWLRNNRDRFKQR VCEPIMLTINMKDNKNAKYVENHISSNDLRAFVFESQEDMEIFLREVRDNKKLRVNAVIA PKISYADKAPSRSLNDLKQYGFFSYLRELFDAPDPVMSYLCCQYHIHEVPVGTERTRERI ERVIQETRLKQIYTAEEKYVLKTSVYSNKVISSNTSLKVAQFLTVTVDLEQRRHLEEQLK EMNRQLEAVDSGLAALRDTNRHLELKDNELRLKKKELLERKTRKRQLEQKISSKLASIRL MEQDTCNLEEEERKASTKIKEINVQKAKLVTELTGLVKICTSFQIQKVDLILQNTTVISE KNKLEADYMASSSQLRVTEQQFIELDDNRQRLLQKCKELMKKARQVCNLSADQAVPQEFQ TAFQDLPNTLDEIDALLTEERSRASCFTGLNPSVVVEEYSKREVEIQQLTEELQGKKVEL DEYRENISQVKERWLNPLKELVEKINEKFSNFFSSMQCAGEVDLHTENEEDYDKYGIRIR VKFRSSTQLHELTPHHQSGGERSVSTMLYLMALQELNRCPFRVVDEINQGMDPINERRVF EMVVNTACKENTSQYFFITPKLLQNLPYSEKMTVLFVYNGPHMLEPNRWNLKAFQRRRRR ITFTQPQ >ENSMUSP00000136377.1 pep:known chromosome:GRCm38:13:119710094:119755882:-1 gene:ENSMUSG00000095930.1 transcript:ENSMUST00000178142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nim1k description:NIM1 serine/threonine protein kinase [Source:MGI Symbol;Acc:MGI:2442399] MTAVFVNGGGLVNTHCDRWERRDSVESSCQTEGGKDSEEDQQRQLTPFEKLTQDMCQDEK VVREITLGKRIGFYRIRGEIGSGNFSQVKLGIHSLTKEKVAIKILDKTKLDQKTQRLLSR EISSMEKLHHPNIVRLYEVVETLSKLHLVMEYAGGGELFGKISTEGKLSEPESKLIFSQI LSAVKHMHENQIIHRDLKAENVFYTSRTCVKVGDFGFSTVSKKGEMLNTFCGSPPYAAPE LFRDQHYVGVYVDIWALGVLLYFMVTGTMPFRAETVAKLKKSILEGTYTIPQHVSEPCHR LIRGVLQPTPTERYGINYIMSNEWMRGVPYPTPLEPFQLDPKHLSETSTLKEEENEVKST LEHLGITDEHIRNNQGRDARSSITGVYRIILHRVQRRKALESVPIMILPEPKERDLKKGS RIYRGIRHTSKFCSIL >ENSMUSP00000136810.1 pep:known chromosome:GRCm38:13:119723483:119738394:-1 gene:ENSMUSG00000095930.1 transcript:ENSMUST00000178973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nim1k description:NIM1 serine/threonine protein kinase [Source:MGI Symbol;Acc:MGI:2442399] MTAVFVNGGGLVNTHCDRWERRDSVESSCQTEGGKDSEEDQQRQLTPFEKLTQDMCQDEK VVREITLGKRIGFYRIRGEIGSGNFSQVKLGIHSLTKGRMGLIGGGFRRRLKATFGLYWC VINNGLELYRRPRPLAL >ENSMUSP00000025101.8 pep:known chromosome:GRCm38:17:84626496:84655558:1 gene:ENSMUSG00000024253.8 transcript:ENSMUST00000025101.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync2li1 description:dynein cytoplasmic 2 light intermediate chain 1 [Source:MGI Symbol;Acc:MGI:1913996] MPSETLWEIAKAEVEKRRSHGSEGDGAEIGEKSVFFIGSKNGGKTTIILRCLDRDESAKP TLALEYTYGRKTKGHNTPKDIAHFWELGGGTSLLDLISIPITVDTLRTFSIVLVLDLSKP NDLWSTMENLLQATKSHVDKVIMKLGKTSSKASAEMRQRMWSVVQKDHPDRELIDPFPIP LVIIGSKYDIFQDFDPEKRKVICKTLRFVAHYYGASLMFTSKSEALLLKIRGVINQLAFG IDKSKSICVDQNKPLFITAGLDSLCQIGSPPVPDSDIGKLQAHSPMELWKKVYDKLFPPK STGTLKAVQDPARDPQYAESEVDEMRVQKDQELEHYKRSSSKTWKQIELDS >ENSMUSP00000053027.5 pep:known chromosome:GRCm38:9:14748459:14752122:-1 gene:ENSMUSG00000049307.5 transcript:ENSMUST00000061498.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut4 description:fucosyltransferase 4 [Source:MGI Symbol;Acc:MGI:95594] MAPARQELQHESRCRPSRTVDAWRAAVATRGRHMETPGYRRRTRCGGWGLPRSVSSLAAV GLLCTALTTFICWGQLPPLPWASPAPQRLVGVLLWWEPFRGRGGYPKSPPDCSLRFNISG CRLLTDRAAYGEAQAVLFHHRDLVKELHDWPPPWGARERTDKALVLRVFDDQEGAVTLTG KALETVGSRPPGQRWVWMNFESPSHTPGLRGLAKDLFNWTLSYRTDSDVFVPYGFLYSRS DPTEQPSGLGPQLARKRGLVAWVVSNWNEHQARVRYYHQLSRHVSVDVFGRTGPGRPVPA IGLLHTVARYKFYLAFENSRHVDYITEKLWRNAFLAGAVPVVLGPDRANYERFVPRGAFI HVDDFPNAASLAAYLLFLDRNVAVYRRYFRWRRSFAVHITSFWDEQWCRTCQAVQTSGDQ PKSIHNLADWFQR >ENSMUSP00000045430.3 pep:known chromosome:GRCm38:19:5335741:5344153:1 gene:ENSMUSG00000038498.3 transcript:ENSMUST00000043380.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsper1 description:cation channel, sperm associated 1 [Source:MGI Symbol;Acc:MGI:2179947] MDQSSRRDESYHETHPGSLDPSHQSHPHPHPHPTLHRPNQGGVYYDSPQHGMFQQPYQQH GGFHQQNELQHLREFSDSHDNAFSHHSYQQDRAGVSTLPNNISHAYGGSHPLAESQHSGG PQSGPRIDPNHHPHQDDPHRPSEPLSHPSSTGSHQGTTHQQYHERSHHLNPQQNRDHADT ISYRSSTRFYRSHAPFSRQERPHLHADHHHEGHHAHSHHGEHPHHKEQRHYHGDHMHHHI HHRSPSASQLSHKSHSTLATSPSHVGSKSTASGARYTFGARSQIFGKAQSRESLRESASL SEGEDHVQKRKKAQRAHKKAHTGNIFQLLWEKISHLLLGLQQMILSLTQSLGFETFIFIV VCLNTVILVAQTFTELEIRGEWYFMVLDSIFLSIYVLEAVLKLIALGLEYFYDPWNNLDF FIMVMAVLDFVLLQINSLSYSFYNHSLFRILKVFKSMRALRAIRVLRRLSILTSLHEVAG TLSGSLPSITAILTLMFTCLFLFSVVLRALFQDSDPKRFQNIFTTLFTLFTMLTLDDWSL IYIDNRAQGAWYIIPILMIYIVIQYFIFLNLVIAVLVDNFQMALLKGLEKVKLEQAARVH EKLLDDSLTDLNKADANAQMTEEALKMQLIEGMFGNMTVKQRVLHFQFLQLVAAVEQHQQ KFRSQAYVIDELVDMAFEAGDDDYGK >ENSMUSP00000095815.1 pep:known chromosome:GRCm38:7:102948467:102949507:1 gene:ENSMUSG00000045824.5 transcript:ENSMUST00000052997.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr574 description:olfactory receptor 574 [Source:MGI Symbol;Acc:MGI:3030408] MFFRVCLQVTMLIFNNTTSPSSSSFLLTAFPGLELAHVWISIPVCGLYTIALLGNSIILF VIIIERSLHKPMYYFLSMLSVVDLGLTITTLPTVLGVLWFHAREISIKVCLIQMFFVHGF SFLESSVLVAMAFDRYLAICSPLKYATFLTDMMSFVIGLIICIRQVFFMFPSVMAVKSVS FQGGQELSHPFCFHPDIIKYTYTNPWISSFWGMFLQLYLNGTDLLFILFSYVLILRTVLN IVAPQKQQKALSTCVCHICAVTIFYVPMITLSVAHRLFSSTPRVICSILGNMYLLLPPVL NPIIYSLKTKVIRQAIFQLFRFKDARGYSMRSLRGRWARRRQFSST >ENSMUSP00000020378.4 pep:known chromosome:GRCm38:10:116986314:117025040:1 gene:ENSMUSG00000020169.4 transcript:ENSMUST00000020378.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Best3 description:bestrophin 3 [Source:MGI Symbol;Acc:MGI:3580298] MTVTYSSKVANATFFGFHRLLLKWRGSIYKLLYREFIVFAVLYTAISLVYRLLLTGAQKR YFEKLSIYCDRYAEQIPVTFVLGFYVTLVVNRWWNQFVNLPWPDRLMLLISSSVHGSDQH GRLLRRTLMRYVNLTSLLIFRSVSTAVYKRFPTMDHVVEAGFMTADERKLFDHLKSPHLK YWVPFIWFGNLATKARNEGRIRDSVDLQSLMTEMNRYRSWCSLLFGYDWVGIPLVYTQVV TLAVYTFFFACLIGRQFLDPTKGYVGHDLDLYVPIFTLLQFFFYAGWLKVAEQLINPFGE DDDDFETNWCIDRNLQVSLLAVDEMHMSLPKMKKDIYWDDSAARPPYTLAAADYCIPSFL GSTIQMGLSGSNFPAEDWLWNYEKHGNRHSVMRRVKRFLSTHEHPGSPRRRRSFGRQASD SSMFLPPSPARDLLDVPSRNPHRGSPTRKQSRSQEGSPKLHSSMGELSTIRETSRTSTLQ SLSPQSSVRSSPTKMPQVPEVLITAAEAPAFSADSHQHDSTTSILSLEFTGVQPSGTEQQ VEPSGTPPGDPNPQTTSASTERDLFKFEEDLEDDRFPKRWSLPEFLESRHTSLGNLGPDP VSPRDALLLPDTETPSETNGIHPGAGSALAPDILYLMESLDKETDILEFNNEHTGESPKG TPQRPRTWF >ENSMUSP00000069257.5 pep:known chromosome:GRCm38:10:108162400:108277575:1 gene:ENSMUSG00000019907.9 transcript:ENSMUST00000070663.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r12a description:protein phosphatase 1, regulatory (inhibitor) subunit 12A [Source:MGI Symbol;Acc:MGI:1309528] MKMADAKQKRNEQLKRWIGSETDLEPPVVKRQKTKVKFDDGAVFLAACSSGDTDEVLKLL HRGADINYANVDGLTALHQACIDDNVDMVKFLVENGANINQPDNEGWIPLHAAASCGYLD IAEFLIGQGAHVGAVNSEGDTPLDIAEEEAMEELLQNEVNRQGVDIEAARKEEERVMLRD ARQWLNSGHISDVRHAKSGGTALHVAAAKGYTEVLKLLIQAGYDVNIKDYDGWTPLHAAA HWGKEEACRILVDNLCDMETVNKVGQTAFDVADEDILGYLEELQKKQTLLHSEKRDKKSP LIESTANMENNQPQKAFKNKETLIIEPEKNASRIESLEHEKADEEEEGKKDESSCSSEED EEDDSESEAETDKTKPMASVSNAHTSSTQAAPAAVTAPTLSSNQGTPTSPVKKFPISTTK ISPKEEERKDESPASWRLGLRKTGSYGALAEISASKEAQKEKDTAGVMRSASSPRLSSSL DNKEKEKDNKGTRLAYVTPTIPRRLASTSDIEEKENRESSSLRTSSSYTRRKWEDDLKKN SSINEGSTYHRSCSFGRRQDDLISCSVPSTTSTPTVTSAAGLQRSLPSSTSTAAKTPPGS SSAGTQSSTSNRLWAEDSTEKEKDSAPTAVTIPVAPTVVNAAAPSTTTLTTTTAGTVSEV RERRRSYLTPVRDEESESQRKARSRQARQSRRSTQGVTLTDLQEAEKTIGRSRSTRTREQ ENEEKEKEEKEKQDKEKQEEKKESEASREDEYKQKYSRTYDETYTRYRPVSTSSSSAPSS SSLSTLGSTLYASSQLNRPNSLVGITSAYSRGLAKENEREGEKKEEEKEGEDKSQPKSIR ERRRPREKRRSTGVSFWTQDSDENEQERQSDTEDGSSKRETQTDSVSRYDSSSTSSSDRY DSLLGRSASYSYLEDRKPYSSRLEKDDSTDFKKLYEQILAENEKLKAQLHDTNMELTDLK LQLEKATQRQERFADRSQLEMEKRVAGKSQYLLGGTKSSRKKNI >ENSMUSP00000100470.1 pep:known chromosome:GRCm38:7:104916314:104917294:-1 gene:ENSMUSG00000056782.4 transcript:ENSMUST00000071362.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr667 description:olfactory receptor 667 [Source:MGI Symbol;Acc:MGI:3030501] MSEANSSSLTPEFFILNGIRGLEDAHVWISLPFCFMYMIAVVGNCGLIYLIGHEEALHRP MYYFLTLLSFTDITLCTTTVPNMLCIFWFNLKKIGFKACLAQMFFVHTFTATESGMLMLM ALDRYVAICYPLRYGTILTNPVIAKASLATFLRSVAFILPFTFLTKRLPYCRGNLIPHAY CDHMSVAKISCGNVKINAVYGLLVALVVCAFDIFCITVSYTMILRAVMNLSSADARHKAF STCTSHICAIVITYVPAFFNFFTHRFGAHTIPHHIHIIVANLYLLLPATMNPIVYGVKTK QIRESVIKFFSGDKSDIVDIKGLKNK >ENSMUSP00000021791.6 pep:known chromosome:GRCm38:13:41101427:41109988:-1 gene:ENSMUSG00000021362.6 transcript:ENSMUST00000021791.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcm2 description:glial cells missing homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1861438] MPADSTQDEDAVLSYGMKLTWDINDPQMPQEPTHFDHFREWPDGYVRFIYSSQEKKAQRH LSGWAMRNTNNHNGHILKKSCLGVVVCARACALKDGSHLQLRPAICDKARLKQQKKACPN CHSPLELVPCRGHSGYPVTNFWRLDGNAIFFQAKGVHDHPRPESKSETEGRRSALKRQMA SFYQPQKRRSGEPEARSTQDIRGHLNSTAALEPTELFDMTADTSFPIPGQPSPSFPNSDV YRVTCDLPTFQGDIILPFQKYPNPSIYFPGPPWGYELASSGVTGSSPYSTLYKDSSEVPD DPDWIPLNSLQYNVSSYGSYERTLDFTARYHSWKPTHGKPSLEEKVDCEQCQAVPTLPYY NLELPCRYLPVPAAGSQALQTVITTTVAYQAYQHPALKHGDSMQEVSSLASCTYASENLP MPIYPPALDPQEGVIQAVSPSGRAPLKVPGDCQAPRPTLDFPQEADPSGTDGADVWDVCL SGVGSVMGYLDRTGQPFSFDNEDF >ENSMUSP00000053565.5 pep:known chromosome:GRCm38:7:140201743:140202800:-1 gene:ENSMUSG00000050366.5 transcript:ENSMUST00000051943.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr524 description:olfactory receptor 524 [Source:MGI Symbol;Acc:MGI:3030358] MFARRNSSDVTEFILVGFSGSLGLHLSLLGLFLLAYMLTVTENLVIITVIRASPSLHKPM YLFLSNLSFLEIWYISVTVPKMLLSLVSPKFQHISFTGCMAQLYFFLALACTECALLGVM AYDRYVAVCNPLRYPVIMSPGLCSLLAGGSWLSGFTISLGKVFFISRLGYCGPNVMNHFF CDVSPLLNLACSDMSVAELVDFLLALLILLGPLLLTVFSYTAILSTVLRMPSAGGRQKAF STCASHLAVVVIFYSASLFIYARPRALYSFDYNKLVSVVYTVLTPLINPIIYCLRNQEVK QALHKVQQRAAQVLGASS >ENSMUSP00000021940.7 pep:known chromosome:GRCm38:13:55343833:55362783:-1 gene:ENSMUSG00000021484.7 transcript:ENSMUST00000021940.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lman2 description:lectin, mannose-binding 2 [Source:MGI Symbol;Acc:MGI:1914140] MAAEAWLWRWGWGWGQRCPGRPGLPGPGPSPTTFLHLLLLLGPVAADITDGNSEHLKREH SLIKPYQGVGSSSMPLWDFQGSTMLTSQYVRLTPDERSKEGSIWNHQPCFLKDWEMHVHF KVHGTGKKNLHGDGIALWYTRDRLVPGPVFGSKDNFHGLAIFLDTYPNDETTERVFPYIS VMVNNGSLSYDHSKDGRWSELAGCTADFRNRDHDTFLAVRYSRGRLTVMTDLEDKNEWKN CIDITGVRLPTGYYFGASAGTGDLSDNHDIISIKLFQLTVERTPEEESIDWTKIEPGVNF LKSPKDNVDDPTGNFRNGPLTGWRVFLLLLCALLGVVVCAVVGAVVFQKRQERNKRFY >ENSMUSP00000026470.4 pep:known chromosome:GRCm38:10:127517123:127522444:-1 gene:ENSMUSG00000025403.4 transcript:ENSMUST00000026470.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shmt2 description:serine hydroxymethyltransferase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1277989] MVSFSLLRTTRPLQRCGQLVCMAARAQHSKVAQTQAGEAAGGWTGQESLSDSDPEMWELL QREKDRQCRGLELIASENFCSRAALEALGSCLNNKYSEGYPGKRYYGGAEVVDEIELLCQ RRALEAFDLDPAQWGVNVQPYSGSPANLAAYTALLQPHDRIMGLDLPDGGHLTHGYMSDV KRISATSIFFESMPYKLNPQTGLIDYDQLALTARLFRPRLIIAGTSAYARLIDYARMREV CDEVRAHLLADMAHISGLVAAKVIPSPFKYADVVTTTTHKTLRGARSGLIFYRKGVRTVD PKTGKEIPYTFEDRINFAVFPSLQGGPHNHAIAAVAVALKQACTPMFREYSLQVLRNAQA MADALLKRGYSLVSGGTDTHLVLVDLRPKGLDGARAERVLELVSITANKNTCPGDRSAIT PGGLRLGAPALTSRQFREDDFRRVVDFIDEGVNIGLEVKRKTAKLQDFKSFLLKDPETSQ RLANLRQQVEQFARGFPMPGFDER >ENSMUSP00000046776.7 pep:known chromosome:GRCm38:13:55464267:55473155:1 gene:ENSMUSG00000034686.7 transcript:ENSMUST00000046533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr7 description:proline rich 7 (synaptic) [Source:MGI Symbol;Acc:MGI:3487246] MVMSQGTYTFLTCFAGFWLIWGLIVLLCCFCSFLRRRLKRRQEERLREQNLRALELEPLE LEGSLAGSPPGLAPPPPPHRSRLEAPVHAHSHVHVHPLLHHGPAPPHAHPHPHHHALPHP PPPHLAVPPRPWSYPRQAESDMSKPPCYEEAVLMAEPPPPYSEVLTDTRGLYRKIVTPFL SRRDSAEKQEQPPPSYKPLFLDRGYTSALHLPSAPRPAAPCPALCLQAERSRRVFPSWTD SELSSREPLEHGAWRLPVSIPLFGRTTAV >ENSMUSP00000049650.7 pep:known chromosome:GRCm38:13:67128228:67141787:1 gene:ENSMUSG00000057396.6 transcript:ENSMUST00000052716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp759 description:zinc finger protein 759 [Source:MGI Symbol;Acc:MGI:2446280] MENMLSFWDVVIYFSAEEREYLGPPQWKLYRDVMLENYNNLVFLGLASSKPYLVRFLEQI QEPLDVKSQVAISMYSGGNSSTCKEVSEREKVLGNQQIIHLGLKPYSCEEVGKSFCFSSL LSEHKRIHTGEKPYKYETCSKAFHVPSKLSQHKIIRTGEKPYNCEVCAKAFKYPSRLSKH KIIHKGEKPYKCKVCGKAFFSPSSICQHERIHTGELPCKCDVCGKAFQYPSLLSKHKIIH TEQKPYKCEVCGNFLRSRSSLHEHKRMHAGEKPYKCEVCGKAFEYPSRLSKHKNIHTGET PYKCKVCGKAFRSPSSLRVHKGIHREDKPYKCEVCGKAFRYSSVLSDHKNIHTGETPYKC KVCGKAFRSPSSLRVHKGTHRGGKPYKCEVCGKAFHYPSILSNHKNIHTGETPYKCKVCG KAFHSPSSLILHKGTHREDKPYKCEVCGKAFRYPSILSNHKKIHREQKPSKCKVGRKVFW SPSSLPEQKRIHTREKPYNCEICGKAFKYPSRLLKHKIIHTREKQYKCKVCGNAFCTPSS LWQHKRIHTGELPCKCDVCGKTFRFPSLLLKHKISHTDQKPYKCKICGNFLRSQSSLHEH KRMHAGEKPYKCEICGKAFEYPSRLSKHNNIHTGETPYKCKVCGKAFRSPSSLRVHKGIH REDKPFKCEVCGKAFRYSSVLSDHKNIHTGETPYKCKVCGKAFRTTSSLHIHKGIHREDN P >ENSMUSP00000025718.8 pep:known chromosome:GRCm38:19:36111965:36119844:-1 gene:ENSMUSG00000024803.8 transcript:ENSMUST00000025718.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd1 description:ankyrin repeat domain 1 (cardiac muscle) [Source:MGI Symbol;Acc:MGI:1097717] MMVLRVEELVTGKKNSNGAAGEFLPGEFRNGEYEAAVALEKQEDLKTLPANSVKQGEEQR KSEKLREAELKKKKLEQRSKLENLEDLEIIVQLKKRKKYKKTKVPVVKEPEPEIMTEPVD VPRFLKAALENKLPVVEKFLSDKNSPDVCDEYKRTALHRACLEGHLAIVEKLMEAGAQIE FRDMLESTAIHWACRGGNADVLKLLLNKGAKISARDKLLSTALHVAVRTGHYECAEHLIA CEADLNAKDREGDTPLHDAVRLNRYKMIRLLMTFGADLKVKNCAGKTPMDLVLHWQSGTK AIFDSLKENAYKNSRIATF >ENSMUSP00000004281.8 pep:known chromosome:GRCm38:10:118859349:118868903:-1 gene:ENSMUSG00000028630.8 transcript:ENSMUST00000004281.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dyrk2 description:dual-specificity tyrosine-(Y)-phosphorylation regulated kinase 2 [Source:MGI Symbol;Acc:MGI:1330301] MLTRKPSAAAPAAYPTGRGGDTAVRQLQASPGIGAGAPRSGVGTGPPSPIALPPLRASNA TTTAHTIGGSKHTMNDHLHLNSHGQIQVQQLFEDNSNKRTVLTTQPNGLTTVGKTGLPGV PERQLESIHRRQGSSTSLKSMEGMGKVKASPMTPEQAMKQYMQKLTAFEHHEIFSYPEIY FLGPNAKKRQGMTGGPNNGGYDDDQGSYVQVPHDHVAYRYEVLKVIGKGSFGQVVKAYDH KVHQHVALKMVRNEKRFHRQAAEEIRILEHLRKQDKDNTMNVIHMLENFTFRNHICMTFE LLSMNLYELIKKNKFQGFSLPLVRKFAHSILQCLDALHKNRIIHCDLKPENILLKQQGRS SIKVIDFGSSCYEHQRVYTYIQSRFYRAPEVILGARYGMPIDMWSLGCILAELLTGYPLL PGEDEGDQLACMIELLGMPSQKLLDASKRAKNFVSSKGYPRYCTVTTLSDGSVVLNGGRS RRGKLRGPPESREWGNALKGCDDPLFLDFLKQCLEWDPAVRMTPGQALRHPWLRRRLPKP PTGEKTAVKRVTESTGAITSISKLPPPSSSASKLRTNLAQMTDANGNIQQRTVLPKLVS >ENSMUSP00000021668.8 pep:known chromosome:GRCm38:12:84754560:84773112:-1 gene:ENSMUSG00000021242.8 transcript:ENSMUST00000021668.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npc2 description:Niemann-Pick type C2 [Source:MGI Symbol;Acc:MGI:1915213] MRFLAATILLLALVAASQAEPLHFKDCGSKVGVIKEVNVSPCPTDPCQLHKGQSYSVNIT FTSGTQSQNSTALVHGILEGIRVPFPIPEPDGCKSGINCPIQKDKVYSYLNKLPVKNEYP SIKLVVEWKLEDDKKNNLFCWEIPVQITS >ENSMUSP00000022060.5 pep:known chromosome:GRCm38:13:74303121:74317326:-1 gene:ENSMUSG00000021576.5 transcript:ENSMUST00000022060.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd6 description:programmed cell death 6 [Source:MGI Symbol;Acc:MGI:109283] MAAYSYRPGPGGGPGPAAGAALPDQSFLWNVFQRVDKDRSGVISDNELQQALSNGTWTPF NPVTVRSIISMFDRENKAGVNFSEFTGVWKYITDWQNVFRTYDRDNSGMIDKNELKQALS GFGYRLSDQFHDILIRKFDRQGRGQIAFDDFIQGCIVLQRLTDIFRRYDTDQDGWIQVSY EQYLSMVFSIV >ENSMUSP00000042867.4 pep:known chromosome:GRCm38:19:44493472:44514273:1 gene:ENSMUSG00000036961.4 transcript:ENSMUST00000041163.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt8b description:wingless-type MMTV integration site family, member 8B [Source:MGI Symbol;Acc:MGI:109485] MLPISQCLSRSPCLSPKTMFLMKPVCVLLVTCVLHRSHAWSVNNFLMTGPKAYLVYSSSV AAGAQSGIEECKYQFAWDRWNCPERALQLSSHGGLRSANRETAFVHAISSAGVMYTLTRN CSLGDFDNCGCDDSRNGQLGGQGWLWGGCSDNVGFGEAISKQFVDALETGQDARAAMNLH NNEAGRKAVKGTMKRTCKCHGVSGSCTTQTCWLQLPEFREVGAHLKEKYHAALKVDLLQG AGNSAAGRGAIADTFRSISTRELVHLEDSPDYCLENKTLGLLGTEGRECLRRGRALGRWE RRSCRRLCGDCGLAVEERRAETVSSCNCKFHWCCAVRCEQCRRRVTKYFCSRAERPPRGA AHKPGKNS >ENSMUSP00000021536.7 pep:known chromosome:GRCm38:12:78842989:78861638:-1 gene:ENSMUSG00000021114.8 transcript:ENSMUST00000021536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1d description:ATPase, H+ transporting, lysosomal V1 subunit D [Source:MGI Symbol;Acc:MGI:1921084] MSGKDRIEIFPSRMAQTIMKARLKGAQTGRNLLKKKSDALTLRFRQILKKIIETKMLMGE VMREAAFSLAEAKFTAGDFSTTVIQNVNKAQVKIRAKKDNVAGVTLPVFEHYHEGTDSYE LTGLARGGEQLAKLKRNYAKAVELLVELASLQTSFVTLDEAIKITNRRVNAIEHVIIPRI ERTLAYIITELDEREREEFYRLKKIQEKKKIIKEKFEKDLERRRAAGEVMEPANLLAEEK DEDLLFE >ENSMUSP00000102264.2 pep:known chromosome:GRCm38:7:112023506:112111386:1 gene:ENSMUSG00000059263.7 transcript:ENSMUST00000106653.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp47 description:ubiquitin specific peptidase 47 [Source:MGI Symbol;Acc:MGI:1922246] MVPGEENQLVPKEIENAAEEPRVLCIIQDTTNSKTVSERITLNLPASTPVRKLFEDVANK VGYINGTFDLTRENGVTTADMAPLDHTSDKSLLDANFEPGKKNFLHLTDKDGEPPQMLLE DSNNVDDSVHDRFIGPLPREGSVASTNDYVSQNYSYSSILNKSETGYVGLVNQAMTCYLN SLLQTLFMTPEFRNALYKWEFEDSEEDPVTSIPYQLQRLFVLLQTSKKRAIETTDVTRSF GWDSSEAWQQHDVQELCRVMFDALEQKWKQTEQADLINELYQGKLKDYVRCLECGYEGWR IDTYLDIPLVIRPYGSSQAFASVEEALHAFIQPEILDGPNQYFCERCKKKCDARKGLRFL HFPYLLTLQLKRFDFDYTTMHRIKLNDRMSFPEELDMSTFIDIEDEKSPQTESCTDSGAE NEGSCHSDQMSNDFSTDDAVDEGICLESSSGSEKISKPGLEKNSLMYELFSVMVHSGSAA GGHYYACIKSFSDDQWYSFNDQHVSRITQEDIKKTHGGSSGSRGYYSSAFASSTNAYMLI YRLKDPTRNAKFLEVDEYPEHIKNLVQKERELEEQEKRQREIERNTCKIKLFCLHPVKQV MMENKLEVHKDKTLKEAVEMAYKMMDLEDVIPLDCCRLVKYDEFHDYLERSYEGEEDTPM GLLLGGVKSTYMFDLLLETRKPDQIFQSYKPGEVMVKVHVVDLKAETVAAPVTVRAYLNQ TVTEFKQLISKATHLPADSMRIVLERCYNDLRLLSMPSKTLKAEGFFRSNKVFVESSETV DHQAAFTDSHLWKLLDRHANTIRLFVLLPEQSPGSYSKRTAYQKAGGDSGNVDDDCERVK GPAGNLKSVDAILEESTEKLKSLSLQQQQQDGDNGDSSKSTETSDFENIESPLNERGSST SVDNRELEQHIQTSDPENFQSEERSDSDVNNDRSTSSVDSDILSSSHSSDTLCNADSAQI PLANGLDSHSITSSRRTKANEGKKETWDTAEEDSGTDSEYDESGKSRGDMQYMYFKADPY TADEGSGEGHKWLMVHVDKRITLAAFKQHLEPFVGVLSSHFKVFRVYTSNQEFETVRLNE TLSSFSDDNKITIRLGRALKKGEYRVKVCQLLVNEQEPCKFLLDAVFAKGMTVRQSKEEL IPQLREQCGLDLSIDRFRLRKKTWKNPGTVFLDYHIYEEDINISSNWEVFLEVLDGVEKM KSMSQLAILTRRWRPAEMKLDPFQELVLESNSVDELREKLSEISGIPLEDIEFAKGRGTF PCDISVLDIHQDLDWNPKVSTLNVWPLYICDDGAVIFYRDRTEEVMELTDEQRNELMKKE SSRLQKTGHRVTYSPRKEKALKIYLDGAPNKDVAQD >ENSMUSP00000131062.2 pep:known chromosome:GRCm38:16:4986858:4997852:-1 gene:ENSMUSG00000022542.6 transcript:ENSMUST00000170323.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept12 description:septin 12 [Source:MGI Symbol;Acc:MGI:1918339] MDERRSPSPCSSRPSSPRTPPNEMFGPVGIEAVLDQLRIKAMKTGFEFNIMVVGQSGLGK STMVNTLFKSKVWQSTEPNLDVPMPQTLELHSVTHVIEEKGLKLKLTVTDTPGFGDQINN DKCWDPILSYINQQYEQYLQEELLITRQRHIPDTRVHCCVYFVPPTGHCLRPLDIEFLRR LCRTVNVVPVIARADSLTIEERDAFRSRIQQNLKTHCIDVYPQKCFDEDVNDRLLNSKIR EQIPFAVVGADREHIVNGRCVLGRKTKWGIIEGKFGNIAGMQWVGGWKSGHTLHAWHPMH ASPGVCPTWMATMTCKT >ENSMUSP00000112266.2 pep:known chromosome:GRCm38:19:5041404:5049917:1 gene:ENSMUSG00000080268.3 transcript:ENSMUST00000116567.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brms1 description:breast cancer metastasis-suppressor 1 [Source:MGI Symbol;Acc:MGI:2388804] MPIQPSGKETEEMEAEGDSAAEMNGEADESEEERSGSQTESEEESSEMDDEDYERRRSEC VSEMLDLEKQFSELKEKLFRERLSQLRLRLEEVGAERAPEYTEPLGGLQQSLKIRIQVAG IYKGFCLDVIRNKYECELQGAKQHLESEKMLLYDTLLGELQERIQRLEEDRQSLDISSEW WDDKLHSRSSSKAGDAMPPSKRKKAPLVSGPYIVYMLQEIDILEDWTAIKKARAAVSPQK RKADGP >ENSMUSP00000062326.5 pep:known chromosome:GRCm38:13:99344786:99415561:1 gene:ENSMUSG00000041632.5 transcript:ENSMUST00000052249.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps27 description:mitochondrial ribosomal protein S27 [Source:MGI Symbol;Acc:MGI:1919064] MAAPMVRCGMLLARRLDASRLCLAGKRCLLSAAYVDSHQWEAREKEEYHLADLASLMDKA YERKLPVSSLSISRFVDNIASREDLDSAEYYLYKFRHSPNCWYLRDWTIHSWIRQCLKYG AQDKALYTLVNKVQYGIFPDNFTFNLLMDYFIKKGNYKDALSVVFEIMMQEAFDVPSTQF LSLYVLYRCLAEKTELTWEEERDFGASLLLSGLKQRNTVGLSSQLYGYALLGKVELQRGV RAVYHGMPLMWTPGYLDRALQVMERVASSPEDLKLGREVLDVLDGVLKVVTSPDVQTSEA QPQEGEDGLGSANLVEQLDTEEPEQSKLPRYLERFQASRSKLQELNRVESESLLTLTTQL VKEKLPACEAEDLATYEQKLREWHLERVQLIQREQEQREKAKQEYQALSAAEKAA >ENSMUSP00000056195.7 pep:known chromosome:GRCm38:10:128669887:128673918:-1 gene:ENSMUSG00000049858.7 transcript:ENSMUST00000054764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suox description:sulfite oxidase [Source:MGI Symbol;Acc:MGI:2446117] MLLQLYRSVVVRLPQAIRVKSTPLRLCIQACSTNDSLEPQHPSLTFSDDNSRTRRWKVMG TLLGLGVVLVYHEHRCRASQESPRMYSKEDVRSHNNPKTGVWVTLGSEVFDVTKFVDLHP GGPSKLMLAAGGPLEPFWALYAVHNQPHVRELLAEYKIGELNPEDSMSPSVEASDPYADD PIRHPALRINSQRPFNAEPPPELLTEGYITPNPIFFTRNHLPVPNLDPHTYRLHVVGAPG GQSLSLSLDDLHKFPKHEVTVTLQCAGNRRSEMSKVKEVKGLEWRTGAISTARWAGARLC DVLAQAGHRLCDSEAHVCFEGLDSDPTGTAYGASIPLARAMDPEAEVLLAYEMNGQPLPR DHGFPVRVVVPGVVGARHVKWLGRVSVESEESYSHWQRRDYKGFSPSVDWDTVNFDLAPS IQELPIQSAITQPQDGAIVESGEVTIKGYAWSGGGRAVIRVDVSVDGGLTWQEAELEGEE QCPRKAWAWRIWQLKAQVPAEQKELNIICKAVDDSYNVQPDTVAPIWNLRGVLSNAWHRV HVQVVP >ENSMUSP00000093978.4 pep:known chromosome:GRCm38:19:8836929:8839246:-1 gene:ENSMUSG00000071658.4 transcript:ENSMUST00000096259.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gng3 description:guanine nucleotide binding protein (G protein), gamma 3 [Source:MGI Symbol;Acc:MGI:102704] MKGETPVNSTMSIGQARKMVEQLKIEASLCRIKVSKAAADLMTYCDAHACEDPLITPVPT SENPFREKKFFCALL >ENSMUSP00000038142.6 pep:known chromosome:GRCm38:13:74121515:74166064:1 gene:ENSMUSG00000036123.6 transcript:ENSMUST00000036208.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a3 description:solute carrier family 9 (sodium/hydrogen exchanger), member 3 [Source:MGI Symbol;Acc:MGI:105064] MWHRALGPGWKLLLALALTSLQGARGAEEEPSSDGSFQVVTFKWHHVQDPYIIALWILVA SLAKIVFHLSHKVTSIVPESALLIVLGLVLGGIVWAADHIASFTLTPTLFFFYLLPPIVL DAGYFMPNRLFFGNLGTILLYAVIGTIWNAATTGLSLYGVFLSGLMGELKIGLLDFLLFG SLIAAVDPVAVLAVFEEVHVNEVLFIIVFGESLLNDAVTVVLYNVFESFVTLGGDAVTGV DCVKGIVSFFVVSLGGTLVGVIFAFLLSLVTRFTKHVRIIEPGFVFVISYLSYLTSEMLS LSSILAITFCGICCQKYVKANISEQSATTVRYTMKMLASGAETIIFMFLGISAVNPDIWT WNTAFVLLTLVFISVYRAIGVVLQTWILNRYRMVQLETIDQVVMSYGGLRGAVAYALVVL LDEKKVKEKNLFVSTTLIVVFFTVIFQGLTIKPLVQWLKVKRSEHREPKLNEKLHGRAFD HILSAIEDISGQIGHNYLRDKWSNFDRKFLSKVLMRRSAQKSRDRILNVFHELNLKDAIS YVAEGERRGSLAFIRSPSTDNMVNVDFNTPRPSTVEASVSYFLRENVSAVCLDMQSLEQR RRSIRDTEDMVTHHTLQQYLYKPRQEYKHLYSRHELTPNEDEKQDKEIFHRTMRKRLESF KSAKLGINQNKKAAKLYKRERAQKRRNSSIPNGKLPMENLAHNYTIKEKDLELSEHEEAT NYEEISGGIEFLASVTQDVASDSGAGIDNPVFSPDEDLDPSILSRVPPWLSPGETVVPSQ RARVQIPNSPSNFRRLTPFRLSNKSVDSFLQADGHEEQLQPAAPESTHM >ENSMUSP00000063913.1 pep:known chromosome:GRCm38:19:60198586:60226697:-1 gene:ENSMUSG00000057858.7 transcript:ENSMUST00000065286.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam204a description:family with sequence similarity 204, member A [Source:MGI Symbol;Acc:MGI:1289174] MWSGLLPPGLNESDVESDSEDEIKLENPEPSEHNLQEDGKTGSSTKPAVSDFPTGQPETE TEADADAYEKCPSGIPLNIWNKFQELHKKNSEQKNSTPRFRQKKRKRSKKGKLKNEKESH SEQSSNETQWEELTQYFGANDRFEPPVKQKKVEKSGLEKRIDQAVEEWDVEKAEELSNQL ATRELGVKIAKAIACHKFVKAKKEAENSQAARKKKKLAWGFEAKKRWETKSNMGYM >ENSMUSP00000093976.2 pep:known chromosome:GRCm38:19:8850785:8853909:1 gene:ENSMUSG00000071656.6 transcript:ENSMUST00000096257.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrn4cl description:LRRN4 C-terminal like [Source:MGI Symbol;Acc:MGI:1916102] MLGSLSLLWLAAMTTSLVSQPQILTLEDYQEGEEDDVTVATPSLAVRCDYDRCRHLQVSC QELQKVGPVACLCPGLSREDQQPEPPRLGEVQIMAEEGYAVVHWCAPFSPVSHYWLLLWE SNGAPQKSAPLNATVRRAELKGLKPGVAYVLCVVAANDAGESNVPGAEVEGPENWTGPSF GPCRKFIMPPKPVTLVYAAVGVGTALALLSCAALVWHFCLRERWGCPRRQGMAQASEAL >ENSMUSP00000025647.5 pep:known chromosome:GRCm38:19:10668957:10678071:-1 gene:ENSMUSG00000024738.5 transcript:ENSMUST00000025647.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pga5 description:pepsinogen 5, group I [Source:MGI Symbol;Acc:MGI:1915935] MKWLWVLGLVALSECLVKIPLMKIKSMRENLRESQVLKDYLEKYPRSRAHVLLEQRRNPA VTYEPMRNYLDLVYIGIISIGTPPQEFRVVLDTGSSVLWVPSIYCSSPACAHHKAFNPLR SSTFLVSGRPVNVAYGSGEMSGFLAYDTVRIGDLTVVAQAFGLSLEEPGIFMEYAVFDGI LGLGYPNLGLQGITPVFDNLWLQGLIPQNLFAFYLSSKDEKGSMLMLGGVDPSYYHGELH WVPVSKPSYWQLAVDSISMNGEVIACDGGCQGIMDTGTSLLTGPRSSIVNIQNLIGAKAS GDGEYFLKCDTINTLPDIVFTIGSVTYPVPASAYIRKDRSHNCRSNFEEGMDDPSDPEMW VLGDVFLRLYFTVFDRANNRIGLAPAA >ENSMUSP00000034487.2 pep:known chromosome:GRCm38:9:7628231:7674968:1 gene:ENSMUSG00000018620.2 transcript:ENSMUST00000034487.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp20 description:matrix metallopeptidase 20 (enamelysin) [Source:MGI Symbol;Acc:MGI:1353466] MKVLPASGLAVLVTALKFATADPNLLAATPRTFRSNYHLAQAYLDKYYTKKGGPQAGEMV ARESNPMIRRIKELQIFFGLKVTGKLDQNTMNVIKKPRCGVPDVANYRLFPGEPKWKKNI LTYRISKYTPSMSPTEVDKAIQMALHAWSTAVPLNFVRINSGEADIMISFETGDHGDSYP FDGPRGTLAHAFAPGEGLGGDTHFDNAEKWTMGTNGFNLFTVAAHEFGHALGLGHSTDPS ALMYPTYKYQNPYRFHLPKDDVKGIQALYGPRKIFPGKPTMPHIPPHKPSIPDLCDSSSS FDAVTMLGKELLFFKDRIFWRRQVHLPTGIRPSTITSSFPQLMSNVDAAYEVAERGIAFF FKGPHYWVTRGFHMQGPPRTIYDFGFPRHVQRIDAAVYLKEPQKTLFFVGEEYYSYDERK KKMEKDYPKNTEEEFSGVSGHIDAAVELNGYIYFFSGRKTFKYDTEKEDVVSVVKSSSWI GC >ENSMUSP00000129849.1 pep:known chromosome:GRCm38:7:141029131:141029620:1 gene:ENSMUSG00000091441.1 transcript:ENSMUST00000170892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17387 description:predicted gene, 17387 [Source:MGI Symbol;Acc:MGI:4937021] LIIFLKIYLFIISSHSIQSLGNKR >ENSMUSP00000050040.2 pep:known chromosome:GRCm38:9:20098626:20101690:1 gene:ENSMUSG00000044106.2 transcript:ENSMUST00000050227.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr868 description:olfactory receptor 868 [Source:MGI Symbol;Acc:MGI:3030702] MMKLKMENITYVSQFYLLRVSDDPELQPFLSGLFLSMYLISVLGNLIIILIVSSFSHLHT PMYFFLSILSLADIGFISTTVPNMIAELQIHSPVISYVGCLTQMSLFIIFACMDSMLLAV MAYDRFVAICHPLRYAIIMNPCRCGILVLMSFSASLFESLLHNLVALQLKCFKDVAIANF FCHPSQLLNLSCNNTFNNNILMYVIGVILGVFPLSGILISYFKIISSILRITSSSGRYKA FSTCGSHLAVVCLFYGTGLGEYFGSLLSHSSGNNVVASLMYTVVTPMLNPFIYSLRNQDI SDSLKRLHF >ENSMUSP00000010251.3 pep:known chromosome:GRCm38:19:8591254:8611834:1 gene:ENSMUSG00000063796.8 transcript:ENSMUST00000010251.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a8 description:solute carrier family 22 (organic anion transporter), member 8 [Source:MGI Symbol;Acc:MGI:1336187] MTFSEILDRVGSMGPFQYLHVTLLALPILGIANHNLLQIFTATTPDHHCRPPPNASLEPW VLPLGPNGKPEKCLRFVHLPNASLPNDTQGATEPCLDGWIYNSTRDTIVTEWDLVCGSNK LKEMAQSVFMAGILVGGPVFGELSDRFGRKPILTWSYLLLAASGSSAAFSPSLTVYMIFR FLCGCSISGISLSTIILNVEWVPTSTRAISSTTIGYCYTIGQFILPGLAYAVPQWRWLQL SVSAAFFIFSLLSWWVPESIRWLVLSGKFSKALKTLQRVATFNGKKEEGEKLTVEELKFN LQKDITSAKVKYGLSDLFRVSILRRVTFCLSLAWFATGFAYYSLAMGVEEFGVNIYILQI IFGGVDIPAKFITILSISYLGRRITQGFLLILAGVAILALIFVSSEMQLLRTALAVFGKG CLSGSFSCLFLYTSELYPTVLRQTGMGISNIWARVGSMIAPLVKITGELQPFIPNVIFGT MTLLGGSAAFFLLETLNRPLPETIEDIQDWYQQTKKTKQEPEAEKASQTIPLKTGGP >ENSMUSP00000131045.1 pep:known chromosome:GRCm38:19:8591254:8611834:1 gene:ENSMUSG00000063796.8 transcript:ENSMUST00000170817.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a8 description:solute carrier family 22 (organic anion transporter), member 8 [Source:MGI Symbol;Acc:MGI:1336187] MTFSEILDRVGSMGPFQYLHVTLLALPILGIANHNLLQIFTATTPDHHCRPPPNASLEPW VLPLGPNGKPEKCLRFVHLPNASLPNDTQGATEPCLDGWIYNSTRDTIVTEWDLVCGSNK LKEMAQSVFMAGILVGGPVFGELSDRFGRKPILTWSYLLLAASGSSAAFSPSLTVYMIFR FLCGCSISGISLSTIILNVEWVPTSTRAISSTTIGYCYTIGQFILPGLAYAVPQWRWLQL SVSAAFFIFSLLSWWVPESIRWLVLSGKFSKALKTLQRVATFNGKKEEGEKLTVEELKFN LQKDITSAKVKYGLSDLFRVSILRRVTFCLSLAWFATGFAYYSLAMGVEEFGVNIYILQI IFGGVDIPAKFITILSISYLGRRITQGFLLILAGVAILALIFVSSEMQLLRTALAVFGKG CLSGSFSCLFLYTSELYPTVLRQTGMGISNIWARVGSMIAPLVKITGELQPFIPNVIFGT MTLLGGSAAFFLLETLNRPLPETIEDIQDWYQQTKKTKQEPEAEKASQTIPLKTGGP >ENSMUSP00000079395.7 pep:known chromosome:GRCm38:7:141297176:141327725:-1 gene:ENSMUSG00000058886.8 transcript:ENSMUST00000080553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Deaf1 description:deformed epidermal autoregulatory factor 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1858496] MEDSDSAAKQLGLAEAAAVAAAAAVAAAAAAAAESEAEEPVLSRDEDSEEDADSEAERET RRVTAVAVMAAESGHMDMGTEALPSPDEAAAAAAAFAEVTTVTVANVGSSADNVFTTSVA NAASISGHVLSGRTALQIGDSLNTEKATLIVVHTDGSIVETTGLKGPAAPLTPGPQSPPT PLAPGQEKGGTKYNWDPSVYDSELPVRCRNISGTLYKSRLGSGGRGRCIKQGENWYSPTE FEAMAGRASSKDWKRSIRYAGRPLQCLIQDGILNPHAASCTCAACCDDMTLSGPVRLFVP YKRRKKENELPTTPVKKDSPKNITLLPATAATTFTVTPSGQITTSGALTFDRASTVEATA VISESPAQGDVFAGATVQEAGVQPPCRVGHPEPHYPGYQDSCQIAPFPEAALPTSHPKIV LTSLPALAVPPSTPTKAVSPTVVSGLEMSEHRSWLYLEEMVNSLLNTAQQLKTLFEQAKQ ASSCREAAVTQARMQVDTERKEQSCVNCGREAMSECTGCHKVNYCSTFCQRKDWKDHQHV CGQSASVTVQADDVHVEESVIEKVAV >ENSMUSP00000002902.6 pep:known chromosome:GRCm38:9:21411837:21420277:1 gene:ENSMUSG00000002825.6 transcript:ENSMUST00000002902.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qtrt1 description:queuine tRNA-ribosyltransferase 1 [Source:MGI Symbol;Acc:MGI:1931441] MAAVGSPGSLESAPRIMRLVAECSRSGARAGELRLPHGTVATPVFMPVGTQATMKGITTE QLDSLGCRICLGNTYHLGLRPGPELIRKAQGLHGFMNWPHNLLTDSGGFQMVSLFSLSEV TEEGVHFRSPYDGEETLLSPERSVEIQNALGSDIIMQLDHVVSSTVTGPLVEEAMHRSVR WLDRCIAAHKHPDKQNLFAIIQGGLNADLRTTCLKEMTKRDVPGFAIGGLSGGESKAQFW KMVALSTSMLPKDKPRYLMGVGYATDLVVCVALGCDMFDCVYPTRTARFGSALVPTGNLQ LKKKQYAKDFSPINPECPCPTCQTHSRAFLHALLHSDNTTALHHLTVHNIAYQLQLLSAV RSSILEQRFPDFVRNFMRTMYGDHSLCPAWAVEALASVGIMLT >ENSMUSP00000056245.7 pep:known chromosome:GRCm38:7:135511466:135528654:-1 gene:ENSMUSG00000050866.7 transcript:ENSMUST00000053716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clrn3 description:clarin 3 [Source:MGI Symbol;Acc:MGI:2142022] MPTTQKTLMFLSGFLTSLGSVVVICSILATQAWITSRIFFTDAISNGTIVITYGLFRGTS AQELNEGLQDLDKNFEVLGILDNSSQKSLHLVVILLLILSLAASVLSSVFTFYNSISNPY QTFLGPMGVYTWNGLSASFVFLAMVLFVGNAESNHLSDKLSQKLYPDTTNKRTTHTYGYS FWLTLHVIFLNIVTAVIIIFYQKARYRQKQEQRKPVEYAPRDGILF >ENSMUSP00000136766.1 pep:known chromosome:GRCm38:13:96542618:96638015:1 gene:ENSMUSG00000021669.14 transcript:ENSMUST00000179226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a3bp description:collagen, type IV, alpha 3 (Goodpasture antigen) binding protein [Source:MGI Symbol;Acc:MGI:1915268] MSDNQSWNSSGSEEDPETESGPPVERCGVLSKWTNYIHGWQDRWVVLKNNTLSYYKSEDE TEYGCRGSICLSKAVITPHDFDECRFDISVNDSVWYLRAQDPEHRQQWVDAIEQHKTESG YGSESSLRRHGSMVSLVSGASGYSATSTSSFKKGHSLREKLAEMETFRDILCRQVDTLQK YFDVCADAVSKDELQRDKVVEDDEDDFPTTRSDGDFLHNTNGNKEKLFPHVTPKGINGID FKGEAITFKATTAGILATLSHCIELMVKREESWQKRHDREVEKRRRVEEAYKNVMEELKK KPRFGGPDYEEGPNSLINEEEFFDAVEAALDRQDKIEEQSQSEKVRLHWPTSLPSGDTFS SVGTHRFVQKVEEMVQNHMNYSLQDVGGDANWQLVVEEGEMKVYRREVEENGIVLDPLKA THAVKGVTGHEVCNYFWNVDVRNDWETTIENFHVVETLADNAIIVYQTHKRVWPASQRDV LYLSAIRKIPALTENDPETWIVCNFSVDHDSAPLNNRCVRAKINIAMICQTLVSPPEGDQ EISRDNILCKITYVANVNPGGWAPASVLRAVAKREYPKFLKRFTSYVQEKTAGKPILF >ENSMUSP00000076856.4 pep:known chromosome:GRCm38:13:96542735:96640167:1 gene:ENSMUSG00000021669.14 transcript:ENSMUST00000077672.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a3bp description:collagen, type IV, alpha 3 (Goodpasture antigen) binding protein [Source:MGI Symbol;Acc:MGI:1915268] MSDNQSWNSSGSEEDPETESGPPVERCGVLSKWTNYIHGWQDRWVVLKNNTLSYYKSEDE TEYGCRGSICLSKAVITPHDFDECRFDISVNDSVWYLRAQDPEHRQQWVDAIEQHKTESG YGSESSLRRHGSMVSLVSGASGYSATSTSSFKKGHSLREKLAEMETFRDILCRQVDTLQK YFDVCADAVSKDELQRDKVVEDDEDDFPTTRSDGDFLHNTNGNKEKLFPHVTPKGINGID FKGEAITFKATTAGILATLSHCIELMVKREESWQKRHDREVEKRRRVEEAYKNVMEELKK KPRFGGPDYEEGPNSLINEEEFFDAVEAALDRQDKIEEQSQSEKVRLHWPTSLPSGDTFS SVGTHRFVQKPYSRSSSMSSIDLVSASDDVHRFSSQVEEMVQNHMNYSLQDVGGDANWQL VVEEGEMKVYRREVEENGIVLDPLKATHAVKGVTGHEVCNYFWNVDVRNDWETTIENFHV VETLADNAIIVYQTHKRVWPASQRDVLYLSAIRKIPALTENDPETWIVCNFSVDHDSAPL NNRCVRAKINIAMICQTLVSPPEGDQEISRDNILCKITYVANVNPGGWAPASVLRAVAKR EYPKFLKRFTSYVQEKTAGKPILF >ENSMUSP00000105070.2 pep:known chromosome:GRCm38:13:96542735:96640167:1 gene:ENSMUSG00000021669.14 transcript:ENSMUST00000109444.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a3bp description:collagen, type IV, alpha 3 (Goodpasture antigen) binding protein [Source:MGI Symbol;Acc:MGI:1915268] MSDNQSWNSSGSEEDPETESGPPVERCGVLSKWTNYIHGWQDRWVVLKNNTLSYYKSEDE TEYGCRGSICLSKAVITPHDFDECRFDISVNDSVWYLRAQDPEHRQQWVDAIEQHKTESG YGSESSLRRHGSMVSLVSGASGYSATSTSSFKKGHSLREKLAEMETFRDILCRQVDTLQK YFDVCADAVSKDELQRDKVVEDDEDDFPTTRSDGDFLHNTNGNKEKLFPHVTPKGINGID FKGEAITFKATTAGILATLSHCIELMVKREESWQKRHDREVEKRRRVEEAYKNVMEELKK KPRFGGPDYEEGPNSLINEEEFFDAVEAALDRQDKIEEQSQSEKVRLHWPTSLPSGDTFS SVGTHRFVQKVEEMVQNHMNYSLQDVGGDANWQLVVEEGEMKVYRREVEENGIVLDPLKA THAVKGVTGHEVCNYFWNVDVRNDWETTIENFHVVETLADNAIIVYQTHKRVWPASQRDV LYLSAIRKIPALTENDPETWIVCNFSVDHDSAPLNNRCVRAKINIAMICQTLVSPPEGDQ EISRDNILCKITYVANVNPGGWAPASVLRAVAKREYPKFLKRFTSYVQEKTAGKPILF >ENSMUSP00000110877.2 pep:known chromosome:GRCm38:9:31030644:31075879:1 gene:ENSMUSG00000047412.15 transcript:ENSMUST00000115222.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb44 description:zinc finger and BTB domain containing 44 [Source:MGI Symbol;Acc:MGI:1925123] MGVKTFTHSSSSHSQEMLGKLNMLRNDGHFCDITIRVQDKIFRAHKVVLAACSDFFRTKL VGQTEDENKNVLDLHHVTVTGFIPLLEYAYTATLSINTENIIDVLAAASYMQMFSVASTC SEFMKSSILWNTPNSQPEKSLDAGQENSSNCNFTSRDGSISPVSSECSAVERTIPVCRES RRKRKSYIVMSPESPVKCSTQTSSPQVLNSSASYAENRSQPVDSSLAFPWTFPFGIDRRI QPEKAKQAENTRTLELPGPSEAGRRVADYVTCESTKPTLPLGTEEDVRVKVERLSDEEVH EEVSQPVSASQSSLSDQQTVPGSEPVQEDLLISPQSSSIGSVDEGVTEGLPTLQSTSSTN AHADDDDRLENVQYPYQLYIAPSTSSTERPSPNGPDRPFQCPTCGVRFTRIQNLKQHMLI HSGIKPFQCDCCGKKFTRAYSLKMHRLKHEVIS >ENSMUSP00000133109.1 pep:known chromosome:GRCm38:9:31053296:31068755:1 gene:ENSMUSG00000047412.15 transcript:ENSMUST00000167346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb44 description:zinc finger and BTB domain containing 44 [Source:MGI Symbol;Acc:MGI:1925123] MGVKTFTHSSSSHSQEMLGKLNMLRNDGHFCDITIRVQDKIFRAHKVVLAACSDFFRTKL VGQTEDENKNVLDLHHVTVTGFIPLLEYAYTATLSINTENIIDVLAAASYMQMFSVASTC SEFMKSSILWNTPNSQPEKSLDAGQENSSNCNFTSRDGSISPVSSECSAVERTIPVCRES RRKRKSYIVMSPESPVKCSTQTSSPQVLNSSASYAENRSQPVDSSLAFPWTFPFGIDRRI QPEKAKQAENTRTLELPGPSEAGRRVADYVTCESTKPTLPLGTEEDVRVKVERLSDEEVH EEVSQPVSASQSSLSDQQTVPGSEPVQEDLLISPQSSSIGSVDEGVTEGLPTLQSTSSTN AHADDDDSTERPSPNGPDRPFQCPTCGVRFTRIQNLKQHMLIHSGIKPFQCDCCGKKFTR AYSLKMHRLKHEVIS >ENSMUSP00000089169.4 pep:known chromosome:GRCm38:13:58233351:58274188:-1 gene:ENSMUSG00000021552.6 transcript:ENSMUST00000091579.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gkap1 description:G kinase anchoring protein 1 [Source:MGI Symbol;Acc:MGI:1891694] MASAVLSSVLTTASRFALLQVDSGSGSDSEPGKGKGRSNGKSQTLGNKATSNEKKREKRR KKKEQQQSEANELRNLAFKKIPQKSSHSICNVQHELSSPNPAQKESREENWQEWRQKDEQ LTSEMFEADLEKALLLSKLEYEEHKQDYENAETASTQTKGINKKDKRKNHQGKDKPVTVS LKDFQCEDHISKKAEESNSAQTLSHDGGFFNRLEDDVHKILIREKRREQLTEHNGTDNSP APEHNQEVGLKDGRIERLKLELERKDAEIQKLKAVITQWEAKYKEVKARNGQLLKMLQEG EMKDKAEILLQVDESQSIKNELTVQVSSLHAALEQERSKVKVLQAELAKYQGGRKGKRNF EPDHCR >ENSMUSP00000023477.7 pep:known chromosome:GRCm38:16:16312235:16359030:-1 gene:ENSMUSG00000022789.14 transcript:ENSMUST00000023477.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1l description:dynamin 1-like [Source:MGI Symbol;Acc:MGI:1921256] MEALIPVINKLQDVFNTVGADIIQLPQIVVVGTQSSGKSSVLESLVGRDLLPRGTGVVTR RPLILQLVHVSPEDKRKTTGEENGVEAEEWGKFLHTKNKLYTDFDEIRQEIENETERISG NNKGVSPEPIHLKVFSPNVVNLTLVDLPGMTKVPVGDQPKDIELQIRELILRFISNPNSI ILAVTAANTDMATSEALKISREVDPDGRRTLAVITKLDLMDAGTDAMDVLMGRVIPVKLG IIGVVNRSQLDINNKKSVTDSIRDEYAFLQKKYPSLANRNGTKYLARTLNRLLMHHIRDC LPELKTRINVLAAQYQSLLNSYGEPVDDKSATLLQLITKFATEYCNTIEGTAKYIETSEL CGGARICYIFHETFGRTLESVDPLGGLNTIDILTAIRNATGPRPALFVPEVSFELLVKRQ IKRLEEPSLRCVELVHEEMQRIIQHCSNYSTQELLRFPKLHDAIVEVVTCLLRKRLPVTN EMVHNLVAIELAYINTKHPDFADACGLMNNNIEEQRRNRLARELPSAGSRDKVPSAGGGI GDGGQEPTTGNWRGMLKTSKAEELLAEEKSKPIPIMPASPQKGHAVNLLDVPVPVARKLS AREQRDCEVIERLIKSYFLIVRKNIQDSVPKAVMHFLVNHVKDTLQSELVGQLYKSSLLD DLLTESEDMAQRRKEAADMLKALQGASQIIAEIRETHLW >ENSMUSP00000093945.3 pep:known chromosome:GRCm38:16:16312235:16359030:-1 gene:ENSMUSG00000022789.14 transcript:ENSMUST00000096229.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1l description:dynamin 1-like [Source:MGI Symbol;Acc:MGI:1921256] MEALIPVINKLQDVFNTVGADIIQLPQIVVVGTQSSGKSSVLESLVGRDLLPRGTGVVTR RPLILQLVHVSPEDKRKTTGEENDPATWKNSRHLSKGVEAEEWGKFLHTKNKLYTDFDEI RQEIENETERISGNNKGVSPEPIHLKVFSPNVVNLTLVDLPGMTKVPVGDQPKDIELQIR ELILRFISNPNSIILAVTAANTDMATSEALKISREVDPDGRRTLAVITKLDLMDAGTDAM DVLMGRVIPVKLGIIGVVNRSQLDINNKKSVTDSIRDEYAFLQKKYPSLANRNGTKYLAR TLNRLLMHHIRDCLPELKTRINVLAAQYQSLLNSYGEPVDDKSATLLQLITKFATEYCNT IEGTAKYIETSELCGGARICYIFHETFGRTLESVDPLGGLNTIDILTAIRNATGPRPALF VPEVSFELLVKRQIKRLEEPSLRCVELVHEEMQRIIQHCSNYSTQELLRFPKLHDAIVEV VTCLLRKRLPVTNEMVHNLVAIELAYINTKHPDFADACGLMNNNIEEQRRNRLARELPSA GSRDKVPSAGGGIGDGGQEPTTGNWRGMLKTSKAEELLAEEKSKPIPIMPASPQKGHAVN LLDVPVPVARKLSAREQRDCEVIERLIKSYFLIVRKNIQDSVPKAVMHFLVNHVKDTLQS ELVGQLYKSSLLDDLLTESEDMAQRRKEAADMLKALQGASQIIAEIRETHLW >ENSMUSP00000111415.1 pep:known chromosome:GRCm38:16:16312235:16359030:-1 gene:ENSMUSG00000022789.14 transcript:ENSMUST00000115749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnm1l description:dynamin 1-like [Source:MGI Symbol;Acc:MGI:1921256] MEALIPVINKLQDVFNTVGADIIQLPQIVVVGTQSSGKSSVLESLVGRDLLPRGTGVVTR RPLILQLVHVSPEDKRKTTGEENGKFQSWRVEAEEWGKFLHTKNKLYTDFDEIRQEIENE TERISGNNKGVSPEPIHLKVFSPNVVNLTLVDLPGMTKVPVGDQPKDIELQIRELILRFI SNPNSIILAVTAANTDMATSEALKISREVDPDGRRTLAVITKLDLMDAGTDAMDVLMGRV IPVKLGIIGVVNRSQLDINNKKSVTDSIRDEYAFLQKKYPSLANRNGTKYLARTLNRLLM HHIRDCLPELKTRINVLAAQYQSLLNSYGEPVDDKSATLLQLITKFATEYCNTIEGTAKY IETSELCGGARICYIFHETFGRTLESVDPLGGLNTIDILTAIRNATGPRPALFVPEVSFE LLVKRQIKRLEEPSLRCVELVHEEMQRIIQHCSNYSTQELLRFPKLHDAIVEVVTCLLRK RLPVTNEMVHNLVAIELAYINTKHPDFADACGLMNNNIEEQRRNRLARELPSAGSRDKLI QDNRRETKNVPSAGGGIGDGGQEPTTGNWRGMLKTSKAEELLAEEKSKPIPIMPASPQKG HAVNLLDVPVPVARKLSAREQRDCEVIERLIKSYFLIVRKNIQDSVPKAVMHFLVNHVKD TLQSELVGQLYKSSLLDDLLTESEDMAQRRKEAADMLKALQGASQIIAEIRETHLW >ENSMUSP00000058346.2 pep:known chromosome:GRCm38:9:39059729:39060664:-1 gene:ENSMUSG00000094182.1 transcript:ENSMUST00000055567.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr937 description:olfactory receptor 937 [Source:MGI Symbol;Acc:MGI:3030771] MATGNYCMLPEFILTGLSKKPQLQMPLFLLFLGIYVVTVVGNLGMITLIKLSSHLHTPMY YFLSSLSFIDLCHSTVITPKMLVNFVIEKNIISYTGCMAQLYFFLIFAIAECHMLAAMAY DRYVAICNPLLYNVTMSYQIYTSLIFGVYIIGVVCASAHTGFMIRIQFCNLEVINHYFCD LLPLLELAHSSTYVNELLVLCFGTFNIVVPTMTILTSYIFIIANILRIRSTGGRSKAFST CSSHILAVAVFFGSAAFMYLQPSSVSSMDQGKVSSVFYTIVVPMLNPLIYSLRNKDVSVA LKKILERKLFM >ENSMUSP00000051123.7 pep:known chromosome:GRCm38:13:67833235:67843113:1 gene:ENSMUSG00000058246.6 transcript:ENSMUST00000060609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10037 description:predicted gene 10037 [Source:MGI Symbol;Acc:MGI:3645096] MLSFRDVAIDFSAEEWECLEPAQWHLYRDVMLENYSHLVFLELADSKPQLVTFLEQRQQS TGVKRQEVLQTPGNCGEGIRSVTTARTGMPDGIQRSKTGLDTVS >ENSMUSP00000047960.7 pep:known chromosome:GRCm38:9:44913250:44920742:-1 gene:ENSMUSG00000038717.7 transcript:ENSMUST00000043675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5l description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit G [Source:MGI Symbol;Acc:MGI:1351597] MAKFIRNFAEKAPSMVAAAVTYSKPRLATFWHYAKVELVPPTPAEIPTAIQSVKKIIQSA KTGSFKHLTVKEAVLNGLVATEVWMWFYIGEIIGKRGIVGYDV >ENSMUSP00000072428.7 pep:known chromosome:GRCm38:9:31149557:31211815:-1 gene:ENSMUSG00000031996.16 transcript:ENSMUST00000072634.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aplp2 description:amyloid beta (A4) precursor-like protein 2 [Source:MGI Symbol;Acc:MGI:88047] MAATGTAAAAATGKLLVLLLLGLTAPAAALAGYIEALAANAGTGFAVAEPQIAMFCGKLN MHVNIQTGKWEPDPTGTKSCLGTKEEVLQYCQEIYPELQITNVMEANQPVNIDSWCRRDK RQCKSHIVIPFKCLVGEFVSDVLLVPDNCQFFHQERMEVCEKHQRWHTLVKEACLTEGLT LYSYGMLLPCGVDQFHGTEYVCCPQTKTVDSDSTMSKEEEEEEEDEEDEEEDYDLDKSEF PTEADLEDFTEAAADEEEEDEEEGEEVVEDRDYYYDPFKGDDYNEENPTEPSSEGTISDK EIVHDVKAVCSQEAMTGPCRAVMPRWYFDLSKGKCVRFIYGGCGGNRNNFESEDYCMAVC KAMIPPTPLPTNDVDVYFETSADDNEHARFQKAKEQLEIRHRNRMDRVKKEWEEAELQAK NLPKTERQTLIQHFQAMVKALEKEAASEKQQLVETHLARVEAMLNDRRRIALENYLAALQ SDPPRPHRILQALRRYVRAENKDRLHTIRHYQHVLAVDPEKAAQMKSQVMTHLHVIEERR NQSLSLLYKVPYVAQEIQEEIDELLQEQRADMDQFTSSISENPVDVRVSSEESEEIPPFH PLHPFPSLSENEGSGMAEQDGGLIGAEEKVINSKNKMDENMVIDETLDVKEMIFNAERVG GLEEEPESVGPLREDFSLSSNALIGLLVIAVAIATVIVISLVMLRKRQYGTISHGIVEVD PMLTPEERHLNKMQNHGYENPTYKYLEQMQI >ENSMUSP00000078694.7 pep:known chromosome:GRCm38:9:31149557:31211815:-1 gene:ENSMUSG00000031996.16 transcript:ENSMUST00000079758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aplp2 description:amyloid beta (A4) precursor-like protein 2 [Source:MGI Symbol;Acc:MGI:88047] MAATGTAAAAATGKLLVLLLLGLTAPAAALAGYIEALAANAGTGFAVAEPQIAMFCGKLN MHVNIQTGKWEPDPTGTKSCLGTKEEVLQYCQEIYPELQITNVMEANQPVNIDSWCRRDK RQCKSHIVIPFKCLVGEFVSDVLLVPDNCQFFHQERMEVCEKHQRWHTLVKEACLTEGLT LYSYGMLLPCGVDQFHGTEYVCCPQTKTVDSDSTMSKEEEEEEEDEEDEEEDYDLDKSEF PTEADLEDFTEAAADEEEEDEEEGEEVVEDRDYYYDPFKGDDYNEENPTEPSSEGTISDK EIVHDVKVPPTPLPTNDVDVYFETSADDNEHARFQKAKEQLEIRHRNRMDRVKKEWEEAE LQAKNLPKTERQTLIQHFQAMVKALEKEAASEKQQLVETHLARVEAMLNDRRRIALENYL AALQSDPPRPHRILQALRRYVRAENKDRLHTIRHYQHVLAVDPEKAAQMKSQVMTHLHVI EERRNQSLSLLYKVPYVAQEIQEEIDELLQEQRADMDQFTSSISENPVDVRVSSEESEEI PPFHPLHPFPSLSENEDTQPELYHPMKKGSGMAEQDGGLIGAEEKVINSKNKMDENMVID ETLDVKEMIFNAERVGGLEEEPESVGPLREDFSLSSNALIGLLVIAVAIATVIVISLVML RKRQYGTISHGIVEVDPMLTPEERHLNKMQNHGYENPTYKYLEQMQI >ENSMUSP00000096365.2 pep:known chromosome:GRCm38:9:52673044:52679429:-1 gene:ENSMUSG00000078307.2 transcript:ENSMUST00000098768.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI593442 description:expressed sequence AI593442 [Source:MGI Symbol;Acc:MGI:2143099] MSARAPKELRLALPPCLLNRTFASHNASGGSSAGLRSSGAGGGTCITQVGQQLFQSFSST LVLIVLVTLIFCLLVLSLSTFHIHKRRMKKRKMQRAQEEYERDHCSGSHGGGGLPRAGVQ APTHGKETRLERQPRDSAFCTPSNATSSSSSSSSSPGLLCQGPCAPPPPLPAPTPQGAPA ASSCLDTPGEGLLQTVVLS >ENSMUSP00000026073.6 pep:known chromosome:GRCm38:19:57452906:57491005:1 gene:ENSMUSG00000025086.12 transcript:ENSMUST00000026073.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trub1 description:TruB pseudouridine (psi) synthase homolog 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1919383] MAAAEAEVVSPLIVDTAPDTSGTAEASVAASVAEAARTESQAPASKAALAAKLMSLSGVF AVHKPKGPTSAELLNRLKEKLLAEAGMPSPEWNKRQKQTLKVGHGGTLDSAAQGVLVVGI GRGTKMLTSMLSGSKRYITIGELGKATDTLDSTGKVTEEKPYDKITREDIEGILQKFTGN IMQVPPLYSALKKDGQRLSTLMKKGKVVEARPARPVTVHSISLLKFQPPFFTLDVECGGG FYIRSLVSDIGKELSSCASVLELTRTKQGPFTLAQHALPEDRWTIDDIEQSLERCTSLLP EELTFKKLKSDNSSDQVISCGYITLRDTKREDDAVKTL >ENSMUSP00000026072.3 pep:known chromosome:GRCm38:19:57452906:57488910:1 gene:ENSMUSG00000025086.12 transcript:ENSMUST00000026072.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trub1 description:TruB pseudouridine (psi) synthase homolog 1 (E. coli) [Source:MGI Symbol;Acc:MGI:1919383] MAAAEAEVVSPLIVDTAPDTSGTAEASVAASVAEAARTESQAPASKAALAAKLMSLSGVF AVHKPKGPTSAELLNRLKEKLLAEAGMPSPEWNKRQKQTLKVGHGGTLDSAAQGVLVVGI GRGTKMLTSMLSGSKRYITIGELGKATDTLDSTGKVTEEKPYDKITREDIEGILQKFTGN IMQVPPLYSALKKDGQRLSTLMKKGKVVEARPARPVTVHSISLLKFQPPFFTLGFLCIAL AVLELTL >ENSMUSP00000048903.4 pep:known chromosome:GRCm38:19:57361009:57389594:1 gene:ENSMUSG00000033478.4 transcript:ENSMUST00000036407.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam160b1 description:family with sequence similarity 160, member B1 [Source:MGI Symbol;Acc:MGI:2147545] MFSKLTSILQHAVEALAPSLPLQEDFVYHWKAITHYYIETSDDKAPVTDTNIPSHLEQML DILVQEENERESGETGPCMEYLLHHKILETLYTLGKADCPPGMKQQVLVFYTKLLGRIRQ PLLPHINVHRPVQKLIRLCGEVLATPTENEEIQFLCIVCAKLKQDPYLVNFFLENKSKSL VSRGALSVISEDGPKGQDPGSGDVSQCQQPQELSGATGVEPTESEEEPPHQMDDLSASLD DLNVTSLPEASAVRPNQDYNLVNSLLNLTRSPDGRIAVKACEGLMLLVSLPEPAAAKCLA QSTCLCELLTGRLTSLYKALPQSVDPLDIETVEAVNWGLDSYSHKEDASAFPGKRALISF LSWFDYCDQLIKEAQKTAAVALAKAIHERFFVGVMEPQLMQTSEMGILTSTALLHRIVRQ VTSDVLLQEMVVFILGEQREPETLSEISRHPLRHRLIEHCDHISDEISIMTLRMFEHLLQ KPNEHILYNLVLRNLEERNYTENKPSCPEDKDVVENGLIAGAVDLEEDPLFTDISPDNTL PNQEWICSPRTSPDHPKNDGKTEVHKVVNSFLCLVPDDAKSSYHVEGTGYDTYLRDAHRQ FRDYCAICSRWEWPGAPKPLEKCDLEAAFFEGHFLKVLFDRMGRILDQPYDVNLQVTSVL SRLSLFPHPHTHEYLLDPYINLASGCRSLFSVIVRVVGDLMVRIQRIQDFTPKLLLVRKR LLGLEPEGPIVDHITLLEGVIVLEEFCKELAAIAFVKYHASATP >ENSMUSP00000040217.4 pep:known chromosome:GRCm38:10:7832470:7870397:1 gene:ENSMUSG00000039981.5 transcript:ENSMUST00000039484.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h12d description:zinc finger CCCH type containing 12D [Source:MGI Symbol;Acc:MGI:3045313] MEHRSKMEFFQKLGYSQEDVVRVLGKLGDSALVNDVLQELIQTGSRPRAQEDPASGTGVV LIPRGCCGVQDSAQQGLGPGLEEAGGDPARFLRPIVIDGSNVAMSHGNKEAFSCRGIRLA VDWFRDRGHTYIKVFVPSWRKEPSRSDTPIREQHVLEELERQAVLVYTPSRKVNGKRVVC YDDRYIVKVAYEKDGIIVSNDNYRDLQNENPEWKWFIEQRLLMFSFVNDRFMPPDDPLGR RGPTLSNFLSKKPRPPEPSWQHCPYGKKCTYGVKCRFYHPERPHHGQLSVADELRAKTRA WLGGGAEEPRTPSARSRPTTARLLPQEPGEHDLPPAPQPAVLAALNRSFARLTFSDTAAS GVVSQSRGPDWMPTGVPTSWAPPSLRAGSAATIGLPGMRSLRTPNNPLSPGDLGSPICPQ ARLSERHRSRDMHSDLPPQRRPLEDPWALLPSSYCYLNHSVWSESAWGEDIFRGPSESAQ PVANGGGTRPVHCSFFPPDQDHPVMASGPPLSDMALLTLLQRSQKTGAPLGDP >ENSMUSP00000065275.4 pep:known chromosome:GRCm38:13:74694286:74807921:-1 gene:ENSMUSG00000021585.8 transcript:ENSMUST00000065629.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cast description:calpastatin [Source:MGI Symbol;Acc:MGI:1098236] MAFASWWYKTHVNEKNIGSSSKPGEKKGSDEKKAASLGSSQPSRPHVGEAATATKVTASS AATSKSPSMSTTETKAVKTESKKPQSSEQPVVHEKKSKGGPKEGSEPKNLPKHTSSTGSK HAHKEKALSRSNEQMVSEKPSESKTKFQDVPSAGGESVAGGGTVATALDKVVGKKKEQKP FTPASPVQSTPSKPSDKSGMDAALDDLIDTLGGHEDTNRDDPPYTGPVVLDPMYSTYLEA LGIKEGTIPPEYRKLLEKNEGITQPLPDSPKPMGTDQAIDALSSDFTCSSPTGKQSEKEK STGEIFKAQSAGVTRSSVPPKEKKRKVEEEVINDQALQALSDSLGTRQPDPPSHVSQAEQ VKEAKAKEERQEKCGEDEDTVPAEYRLKPAKDKDGKPLLPEPEETSKSLSESELIGELSA DFDRSTYQDKPSTPAEKKSNDTSQTPPGETVPRASMCSIRSAPPKLASLKGVVPEDAVET LAGSLGTREADPEHEKTVEDKVKEKAKEEEHEKLGEKEETVPPDYRLEEVKDKDGKPLLP KESQEQLAPLSDDFLLDALSQDFSSPANISSLEFEDAKLSAAISEVVSQTPAPSTHAAAP LPGTEQKDKELDDALDELSDSLGQRPPDPDENKPLDDKVKEKIKPEHSEKLGERDDTIPP EYRHLLDNDGKDKPEKPPTKKTEKPDQDRDPIDALSEDLDSCPSTTETSKNTAKGKSKKT SSSKASKDGEKTKDSSKKTEEVSKPKAKEDARHS >ENSMUSP00000047254.8 pep:known chromosome:GRCm38:9:119357381:119393797:1 gene:ENSMUSG00000035769.8 transcript:ENSMUST00000039610.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xylb description:xylulokinase homolog (H. influenzae) [Source:MGI Symbol;Acc:MGI:2142985] MDARTHRRAAGTPRALAERAGRRCCLGWDFSTQQVKVVAVDAELNVFYEDSVHFDRDLPE FGTQGGVHVHKDRLTVTSPVLMWVQALDLILGKMKSSGFDFSQVLALSGAGQQHGSVYWK TGASLALSSLSPALPLHQQLQSCFSISDCPIWMDSSTTAQCHQLEAAVGGAQALSCLTGS RAYERFTGNQIAKLFQKNPEAYSHSERISLVSSFAASLFLGGYSPIDYSDGSGMNLLQIQ EKVWSQACLDVCAPHLEEKLGSPVPSCSVVGTISSYYVQRYGFPPGCKVVAFSGDNPASL AGMRLEEGDIAVSLGTSDTLFLWLQKPMPALEGHIFCNPVDPQHYMALLCFKNGSLMREK IRDESASCSWNKFSKALKSTAMGNNGNLGFYFDVMEITPEIIGRHRFNAENMEVSAFPGD VEIRALIEGQFMAKRIHAEGLGYRVMPKTKILATGGASHNKDILQVLADVFGAPVYVIDT TSSACVGSAYRAFHGLAGGTGVAFSEVVKSAPQPSLAATPNPGASQVYAALLPRYSALEQ RILSTAQRPLE >ENSMUSP00000080556.5 pep:known chromosome:GRCm38:16:19653381:19706365:-1 gene:ENSMUSG00000041247.7 transcript:ENSMUST00000081880.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lamp3 description:lysosomal-associated membrane protein 3 [Source:MGI Symbol;Acc:MGI:2441659] MPGQISAVAVLFLSLTVILHGYQIREKEFPKARGYLQYTATSAEQITTKPLLQLINQRSH ITLASRFKDDYIQMAAETSAIENTAHITMKTVTPVTTKSLPPISSASYTFVRSNNAHMTA SSTDDTIGSGSIAHLPVPTTRASLAIVNYITGRATQLGGQTTLPKTFFTASHKSTTNQRP TLSTNVLGTSTPTHKDRSTTSPVPLVPRPTLVTWSSPAKIGTYEVLNGSRLCIKAEMGLA LIVQEKDLDSATQRYFNIDPSLTHASGKCDSQKSNLFLNFQGGSVNITFTKEENLYYISE VGAYLTISNTEKTYQGKKNTLMMFETVVGHSFKCVSEQSIQLSAQLQMKTMNIHLQAFDF EGDSFGNVNECLSDYTVVLPMVAIIVVVICVVGLSVYKIRQRHQSSAYQRI >ENSMUSP00000026084.3 pep:known chromosome:GRCm38:19:59260878:59296012:1 gene:ENSMUSG00000025094.7 transcript:ENSMUST00000026084.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc18a2 description:solute carrier family 18 (vesicular monoamine), member 2 [Source:MGI Symbol;Acc:MGI:106677] MALSDLVLLRWLRDSRHSRKLILFIVFLALLLDNMLLTVVVPIIPSYLYSIKHEKNTTEI QTARPALTASTSESFHSIFSYYNNSTVFTGNATGGLPGGESPKATTTQHTVTNTTVPPDC PSEDKDLLNENVQVGLLFASKATVQLLTNPFIGLLTNRIGYPIPMFAGFCIMFISTVMFA FSSSYAFLLIARSLQGIGSSCSSVAGMGMLASVYTDDEERGNAMGIALGGLAMGVLVGPP FGSVLYEFVGKTAPFLVLAALVLLDGAIQLFVLQPSRVQPESQKGTPLTTLLKDPYILIA AGSICFANMGIAMLEPALPIWMMETMCSRKWQLGVAFLPASISYLIGTNIFGILAHKMGR WLCALLGMIVVGISILCIPFAKNIYGLIAPNFGVGFAIGMVDSSMMPIMGYLVDLRHVSV YGSVYAIADVAFCMGYAIGPSAGGAIAKAIGFPWLMTIIGIIDIVFAPLCFFLRSPPAKE EKMAILMDHNCPIKTKMYTQNNVQPYPVGDDEESESD >ENSMUSP00000033871.6 pep:known chromosome:GRCm38:8:22375554:22398621:-1 gene:ENSMUSG00000031482.8 transcript:ENSMUST00000033871.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a15 description:solute carrier family 25 (mitochondrial carrier ornithine transporter), member 15 [Source:MGI Symbol;Acc:MGI:1342274] MKSNPAIQAAIDLTAGAAGGTACVLTGQPFDTMKVKMQTFPDLYRGLTDCCLKTYSQVGF RGFYKGTSPALIANIAENSVLFMCYGFCQQVVRKVVGLDQQAKLSDLQNAAAGSFASAFA ALVLCPTELVKCRLQTMYEMETSGKIAASQNTVWSVVKEIFRKDGPLGFYHGLSSTLLRE VPGYFFFFGGYELSRSFFASGRSKDELGPVPLMLSGGFGGICLWLAVYPVDCIKSRIQVL SMTGKQTGLVRTFLSIVKNEGITALYSGLKPTMIRAFPANGALFLAYEYSRKLMMNQLEA W >ENSMUSP00000022235.4 pep:known chromosome:GRCm38:13:105443693:105448133:1 gene:ENSMUSG00000021721.4 transcript:ENSMUST00000022235.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr1a description:5-hydroxytryptamine (serotonin) receptor 1A [Source:MGI Symbol;Acc:MGI:96273] MDMFSLGQGNNTTTSLEPFGTGGNDTGLSNVTFSYQVITSLLLGTLIFCAVLGNACVVAA IALERSLQNVANYLIGSLAVTDLMVSVLVLPMAALYQVLNKWTLGQVTCDLFIALDVLCC TSSILHLCAIALDRYWAITDPIDYVNKRTPRRAAALISLTWLIGFLISIPPMLGWRTPED RSNPNECTISKDHGYTIYSTFGAFYIPLLLMLVLYGRIFRAARFRIRKTVKKVEKKGAGT SFGTSSAPPPKKSLNGQPGSGDCRRSAENRAVGTPCANGAVRQGEDDATLEVIEVHRVGN SKGHLPLPSESGATSYVPACLERKNERTAEAKRKMALARERKTVKTLGIIMGTFILCWLP FFIVALVLPFCESSCHMPELLGAIINWLGYSNSLLNPVIYAYFNKDFQNAFKKIIKCKFC R >ENSMUSP00000057210.1 pep:known chromosome:GRCm38:9:38672504:38673481:-1 gene:ENSMUSG00000046150.1 transcript:ENSMUST00000055099.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr918 description:olfactory receptor 918 [Source:MGI Symbol;Acc:MGI:3030752] MKYFCLPRFSIISMLTGNGSFVTEFVLAGLTDRPELQLPLFYLFLIIYTVTVVGNLGLII LIGLNPHLYTPMYYFLFNLSFIDLCYSSVSSPKMLMNFVFEKNSISYEGCMTQLFFFLFF VISECYMLTSMAYDRYVAICNPLLYKVTMSPQVCSMLSFASYGMAFAGASAHTGCMLRLI FCNANVINFYLCDILPLLQLSCTSTYVNEVVVLIVVGINITVPSFTILISYVFILANILN IKSTQGRSKAFSTCSSHIMAISLFFGSGAFMYLNHSGSMNQGKISSVFYTNVVPMFNPLI YSLRNKDVKIALKKVMMRVHSRFIS >ENSMUSP00000136982.1 pep:known chromosome:GRCm38:9:20907206:20959888:-1 gene:ENSMUSG00000004099.15 transcript:ENSMUST00000177754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt1 description:DNA methyltransferase (cytosine-5) 1 [Source:MGI Symbol;Acc:MGI:94912] MADSNRSPRSRPKPRGPRRSKSDSDTLFETSPSSVATRRTTRQTTITAHFTKGPTKRKPK EESEEGNSAESAAEERDQDKKRRVVDTESGAAAAVEKLEEVTAGTQLGPEEPCEQEDDNR SLRRHTRELSLRRKSKEDPDREARPETHLDEDEDGKKDKRSSRPRSQPRDPAAKRRPKEA EPEQVAPETPEDRDEDEREEKRRKTTRKKLESHTVPVQSRSERKAAQSKSVIPKINSPKC PECGQHLDDPNLKYQQHPEDAVDEPQMLTSEKLSIYDSTSTWFDTYEDSPMHRFTSFSVY CSRGHLCPVDTGLIEKNVELYFSGCAKAIHDENPSMEGGINGKNLGPINQWWLSGFDGGE KVLIGFSTAFAEYILMEPSKEYEPIFGLMQEKIYISKIVVEFLQNNPDAVYEDLINKIET TVPPSTINVNRFTEDSLLRHAQFVVSQVESYDEAKDDDETPIFLSPCMRALIHLAGVSLG QRRATRRVMGATKEKDKAPTKATTTKLVYQIFDTFFSEQIEKYDKEDKENAMKRRRCGVC EVCQQPECGKCKACKDMVKFGGTGRSKQACLKRRCPNLAVKEADDDEEADDDVSEMPSPK KLHQGKKKKQNKDRISWLGQPMKIEENRTYYQKVSIDEEMLEVGDCVSVIPDDSSKPLYL ARVTALWEDKNGQMMFHAHWFCAGTDTVLGATSDPLELFLVGECENMQLSYIHSKVKVIY KAPSENWAMEGGTDPETTLPGAEDGKTYFFQLWYNQEYARFESPPKTQPTEDNKHKFCLS CIRLAELRQKEMPKVLEQIEEVDGRVYCSSITKNGVVYRLGDSVYLPPEAFTFNIKVASP VKRPKKDPVNETLYPEHYRKYSDYIKGSNLDAPEPYRIGRIKEIHCGKKKGKVNEADIKL RLYKFYRPENTHRSYNGSYHTDINMLYWSDEEAVVNFSDVQGRCTVEYGEDLLESIQDYS QGGPDRFYFLEAYNSKTKNFEDPPNHARSPGNKGKGKGKGKGKGKHQVSEPKEPEAAIKL PKLRTLDVFSGCGGLSEGFHQAGISETLWAIEMWDPAAQAFRLNNPGTTVFTEDCNVLLK LVMAGEVTNSLGQRLPQKGDVEMLCGGPPCQGFSGMNRFNSRTYSKFKNSLVVSFLSYCD YYRPRFFLLENVRNFVSYRRSMVLKLTLRCLVRMGYQCTFGVLQAGQYGVAQTRRRAIIL AAAPGEKLPLFPEPLHVFAPRACQLSVVVDDKKFVSNITRLSSGPFRTITVRDTMSDLPE IQNGASNSEIPYNGEPLSWFQRQLRGSHYQPILRDHICKDMSPLVAARMRHIPLFPGSDW RDLPNIQVRLGDGVIAHKLQYTFHDVKNGYSSTGALRGVCSCAEGKACDPESRQFSTLIP WCLPHTGNRHNHWAGLYGRLEWDGFFSTTVTNPEPMGKQGRVLHPEQHRVVSVRECARSQ GFPDSYRFFGNILDRHRQVGNAVPPPLAKAIGLEIKLCLLSSARESASAAVKAKEEAATK D >ENSMUSP00000004202.9 pep:known chromosome:GRCm38:9:20907206:20952979:-1 gene:ENSMUSG00000004099.15 transcript:ENSMUST00000004202.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt1 description:DNA methyltransferase (cytosine-5) 1 [Source:MGI Symbol;Acc:MGI:94912] MPARTAPARVPALASPAGSLPDHVRRRLKDLERDGLTEKECVREKLNLLHEFLQTEIKSQ LCDLETKLHKEELSEEGYLAKVKSLLNKDLSLENGTHTLTQKANGCPANGSRPTWRAEMA DSNRSPRSRPKPRGPRRSKSDSDTLSVETSPSSVATRRTTRQTTITAHFTKGPTKRKPKE ESEEGNSAESAAEERDQDKKRRVVDTESGAAAAVEKLEEVTAGTQLGPEEPCEQEDDNRS LRRHTRELSLRRKSKEDPDREARPETHLDEDEDGKKDKRSSRPRSQPRDPAAKRRPKEAE PEQVAPETPEDRDEDEREEKRRKTTRKKLESHTVPVQSRSERKAAQSKSVIPKINSPKCP ECGQHLDDPNLKYQQHPEDAVDEPQMLTSEKLSIYDSTSTWFDTYEDSPMHRFTSFSVYC SRGHLCPVDTGLIEKNVELYFSGCAKAIHDENPSMEGGINGKNLGPINQWWLSGFDGGEK VLIGFSTAFAEYILMEPSKEYEPIFGLMQEKIYISKIVVEFLQNNPDAVYEDLINKIETT VPPSTINVNRFTEDSLLRHAQFVVSQVESYDEAKDDDETPIFLSPCMRALIHLAGVSLGQ RRATRRVMGATKEKDKAPTKATTTKLVYQIFDTFFSEQIEKYDKEDKENAMKRRRCGVCE VCQQPECGKCKACKDMVKFGGTGRSKQACLKRRCPNLAVKEADDDEEADDDVSEMPSPKK LHQGKKKKQNKDRISWLGQPMKIEENRTYYQKVSIDEEMLEVGDCVSVIPDDSSKPLYLA RVTALWEDKNGQMMFHAHWFCAGTDTVLGATSDPLELFLVGECENMQLSYIHSKVKVIYK APSENWAMEGGTDPETTLPGAEDGKTYFFQLWYNQEYARFESPPKTQPTEDNKHKFCLSC IRLAELRQKEMPKVLEQIEEVDGRVYCSSITKNGVVYRLGDSVYLPPEAFTFNIKVASPV KRPKKDPVNETLYPEHYRKYSDYIKGSNLDAPEPYRIGRIKEIHCGKKKGKVNEADIKLR LYKFYRPENTHRSYNGSYHTDINMLYWSDEEAVVNFSDVQGRCTVEYGEDLLESIQDYSQ GGPDRFYFLEAYNSKTKNFEDPPNHARSPGNKGKGKGKGKGKGKHQVSEPKEPEAAIKLP KLRTLDVFSGCGGLSEGFHQAGISETLWAIEMWDPAAQAFRLNNPGTTVFTEDCNVLLKL VMAGEVTNSLGQRLPQKGDVEMLCGGPPCQGFSGMNRFNSRTYSKFKNSLVVSFLSYCDY YRPRFFLLENVRNFVSYRRSMVLKLTLRCLVRMGYQCTFGVLQAGQYGVAQTRRRAIILA AAPGEKLPLFPEPLHVFAPRACQLSVVVDDKKFVSNITRLSSGPFRTITVRDTMSDLPEI QNGASNSEIPYNGEPLSWFQRQLRGSHYQPILRDHICKDMSPLVAARMRHIPLFPGSDWR DLPNIQVRLGDGVIAHKLQYTFHDVKNGYSSTGALRGVCSCAEGKACDPESRQFSTLIPW CLPHTGNRHNHWAGLYGRLEWDGFFSTTVTNPEPMGKQGRVLHPEQHRVVSVRECARSQG FPDSYRFFGNILDRHRQVGNAVPPPLAKAIGLEIKLCLLSSARESASAAVKAKEEAATKD >ENSMUSP00000136669.1 pep:known chromosome:GRCm38:9:20907206:20952623:-1 gene:ENSMUSG00000004099.15 transcript:ENSMUST00000178110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmt1 description:DNA methyltransferase (cytosine-5) 1 [Source:MGI Symbol;Acc:MGI:94912] MADSNRSPRSRPKPRGPRRSKSDSDTLSVETSPSSVATRRTTRQTTITAHFTKGPTKRKP KEESEEGNSAESAAEERDQDKKRRVVDTESGAAAAVEKLEEVTAGTQLGPEEPCEQEDDN RSLRRHTRELSLRRKSKEDPDREARPETHLDEDEDGKKDKRSSRPRSQPRDPAAKRRPKE AEPEQVAPETPEDRDEDEREEKRRKTTRKKLESHTVPVQSRSERKAAQSKSVIPKINSPK CPECGQHLDDPNLKYQQHPEDAVDEPQMLTSEKLSIYDSTSTWFDTYEDSPMHRFTSFSV YCSRGHLCPVDTGLIEKNVELYFSGCAKAIHDENPSMEGGINGKNLGPINQWWLSGFDGG EKVLIGFSTAFAEYILMEPSKEYEPIFGLMQEKIYISKIVVEFLQNNPDAVYEDLINKIE TTVPPSTINVNRFTEDSLLRHAQFVVSQVESYDEAKDDDETPIFLSPCMRALIHLAGVSL GQRRATRRVMGATKEKDKAPTKATTTKLVYQIFDTFFSEQIEKYDKEDKENAMKRRRCGV CEVCQQPECGKCKACKDMVKFGGTGRSKQACLKRRCPNLAVKEADDDEEADDDVSEMPSP KKLHQGKKKKQNKDRISWLGQPMKIEENRTYYQKVSIDEEMLEVGDCVSVIPDDSSKPLY LARVTALWEDKNGQMMFHAHWFCAGTDTVLGATSDPLELFLVGECENMQLSYIHSKVKVI YKAPSENWAMEGGTDPETTLPGAEDGKTYFFQLWYNQEYARFESPPKTQPTEDNKHKFCL SCIRLAELRQKEMPKVLEQIEEVDGRVYCSSITKNGVVYRLGDSVYLPPEAFTFNIKVAS PVKRPKKDPVNETLYPEHYRKYSDYIKGSNLDAPEPYRIGRIKEIHCGKKKGKVNEADIK LRLYKFYRPENTHRSYNGSYHTDINMLYWSDEEAVVNFSDVQGRCTVEYGEDLLESIQDY SQGGPDRFYFLEAYNSKTKNFEDPPNHARSPGNKGKGKGKGKGKGKHQVSEPKEPEAAIK LPKLRTLDVFSGCGGLSEGFHQAGISETLWAIEMWDPAAQAFRLNNPGTTVFTEDCNVLL KLVMAGEVTNSLGQRLPQKGDVEMLCGGPPCQGFSGMNRFNSRTYSKFKNSLVVSFLSYC DYYRPRFFLLENVRNFVSYRRSMVLKLTLRCLVRMGYQCTFGVLQAGQYGVAQTRRRAII LAAAPGEKLPLFPEPLHVFAPRACQLSVVVDDKKFVSNITRLSSGPFRTITVRDTMSDLP EIQNGASNSEIPYNGEPLSWFQRQLRGSHYQPILRDHICKDMSPLVAARMRHIPLFPGSD WRDLPNIQVRLGDGVIAHKLQYTFHDVKNGYSSTGALRGVCSCAEGKACDPESRQFSTLI PWCLPHTGNRHNHWAGLYGRLEWDGFFSTTVTNPEPMGKQGRVLHPEQHRVVSVRECARS QGFPDSYRFFGNILDRHRQVGNAVPPPLAKAIGLEIKLCLLSSARESASAAVKAKEEAAT KD >ENSMUSP00000100514.1 pep:known chromosome:GRCm38:9:18815354:18816292:-1 gene:ENSMUSG00000078116.1 transcript:ENSMUST00000104914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr828 description:olfactory receptor 828 [Source:MGI Symbol;Acc:MGI:3030662] MESKNQTDVSEFFLMGITDDIALKPLIFSMFTSMYLITILGNLLIILTVCSDSHLQTPMY IFLSNLSFNDICLSTTIIPKTLVNIHAQDQSITYTSCLTQICFTLLFCSFESCLLSVMAY DRYVAICHPLNYTTIMNPQTCGLLILLSLIISLVNSGLLGLMVLRLSFCTNLEIPLFFCE LAQVIKLACSDTLVNYILIYLATIILNGIPISGIIFSYTQIASSVLRMSSVKGKYKAIST CGSHLSVVSLFYGTALGVYISSSFTTSVTNTAFAYVMCTLVPQMLNPFIYSLRNRDMEVA LRKHINRAMCLL >ENSMUSP00000036816.7 pep:known chromosome:GRCm38:9:40148122:40150880:1 gene:ENSMUSG00000040541.7 transcript:ENSMUST00000046333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem225 description:transmembrane protein 225 [Source:MGI Symbol;Acc:MGI:1922917] MMHIPNRSIQAANIFFSSGAILLLIVGLIMEDWVELIPKVRKDKTTHSPWLGCCPPFWPE ESLEVVRRIMRMTLNISIYLNLIIGLQFSYMISQNKCVHLLVGFLSFFAGCLLFYAIIVY HHKLNKGQYVYFVNYKTKWIAFTVYLTIALFLTCGIFCFIQSTNRCECMKFCIPHTESKS QEMIPSTIEVVSLPPRCAMPRSIVHVHSVTSKDGSLNRPHTQARRVTWAL >ENSMUSP00000063450.1 pep:known chromosome:GRCm38:9:8021672:8042823:-1 gene:ENSMUSG00000053070.4 transcript:ENSMUST00000065291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9230110C19Rik description:RIKEN cDNA 9230110C19 gene [Source:MGI Symbol;Acc:MGI:3045346] MAAGEPRDGGGYYFRFLPHRTFSSLSAREITSRLRQWSMLGRIQAQAFSFDQTFQPYQKD DFVMAFFKDPNVIPNLQLLSDSSGQWTTLGSEVKKIEAINVPCTQLSMSFFQRLYDENIV RESGHIVKCLDSFCDPFLISDELRKVLLMEDSEKYEVFSPVEREEFLFCLFKHLCLGGSL CQYEDVLKPYLETAKLIYKDLVSVRKHPRTKEIQITSSVFKVKAYDSVGVCYPSPKEHEQ TFSYFVVDPIKRHVNVLYHCYGVGHMA >ENSMUSP00000022325.1 pep:known chromosome:GRCm38:14:34511619:34522801:-1 gene:ENSMUSG00000021797.1 transcript:ENSMUST00000022325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9230112D13Rik description:RIKEN cDNA 9230112D13 gene [Source:MGI Symbol;Acc:MGI:1925493] MQLKGYLRIRIVSSSLDLGSRIHHLINFIKGPDYGMLDWSLQTHRSWRTPRKRQTRGLWR KQLMVLERNPQLAFPDGPISNKHYCSASGRAADILLMQGWDSGIASCRVSKDKTALMSKI QLFLVITHLMVYATAKS >ENSMUSP00000075834.1 pep:known chromosome:GRCm38:9:39318647:39319612:-1 gene:ENSMUSG00000057349.1 transcript:ENSMUST00000076516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr948 description:olfactory receptor 948 [Source:MGI Symbol;Acc:MGI:3030782] MAAANHCIVTEFFLAGLSENQKVQLPLFLLFVAIYLITVAGNLGMIALIGISSHLHTPMY YFLSSLSFIDFCQSTVVTPKMLVSLLTKKNIISYSGCMVQLYFFISFGTAECYTLAVMAY DRYVAICNPLRYNVTMSYQIYSSLISGVYIYAVFCASVNTGFIIRIQFCKLNVINHYFCD LLPLLKLACSNTYINEILILFFGSVNICVPMLTVITSYIFIIASILRIRSSEGKFKAFST CSSHISAVAILYGSTAFTYLQPSSVSLVDQGKVSSVFYTTVVPMLNPLIYSLRNKDVTLA LKRILEQKRLYVSRSRVKIIN >ENSMUSP00000077635.1 pep:known chromosome:GRCm38:9:39736817:39737755:1 gene:ENSMUSG00000094353.1 transcript:ENSMUST00000078557.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr150 description:olfactory receptor 150 [Source:MGI Symbol;Acc:MGI:2659178] MAYSNQSRVTEFIISGLTNKPELQLPLFLLFLGIYLFTVLGNLGMIILILLSSHLHTPMY FFLSSLSFIDLCYSTIITPKMLVNFVTTKNVISYQECMTQLYFFIAFVISECHMLAAMAY DRYVAICNPLLYNVTMSYQVCSWMVGGVYGMGFIGAAIHTFCMLRVVFCKDNIINHYFCD LFPLMELACSSTYVNEVVLLSLSAFNIFIPTLTILGSYIFIIISILRIKSTEGRFKAFST CSSHFSAVSVFFGSLAFMYLQPFSVSSKDKGKVSSVFYTTIVPMLNPMIYSLRNRDVKLA LNKLFQKKKFHV >ENSMUSP00000072741.1 pep:known chromosome:GRCm38:9:38025808:38026737:1 gene:ENSMUSG00000094461.1 transcript:ENSMUST00000072974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr883 description:olfactory receptor 883 [Source:MGI Symbol;Acc:MGI:3030717] MVLENSSSVKEFILLGLTQQPELQMPLFFLFLGIYIVSMVGNLGLTVLIVLNPHLHNPMY YFLFNLSFTDLCYSTVITPRMLVGFVKQNTISHAECMTQHFFFCFFVIDECYILTAVAYD RYAAICKPLLYQVTMSHQVCLLMTVGVYVMGFLEAIAHTGSMVSLTFCDGNIINHYACDI LPLLKLSCTSTTINELVVFIVVGVNVIVPTLTIFISYTLILSNILSIHSAEGRSKAFSTC GSHVIAVSLFFGAAAFMYLKPSSASEDDDKVSTIFYTIVGPMLNPFIYSLRNKDVYLALR KTLMKRSFT >ENSMUSP00000035120.4 pep:known chromosome:GRCm38:9:121489825:121495689:-1 gene:ENSMUSG00000032532.6 transcript:ENSMUST00000035120.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cck description:cholecystokinin [Source:MGI Symbol;Acc:MGI:88297] MKSGVCLCVVMAVLAAGALAQPVVPAEATDPVEQRAQEAPRRQLRAVLRTDGEPRARLGA LLARYIQQVRKAPSGRMSVLKNLQSLDPSHRISDRDYMGWMDFGRRSAEDYEYPS >ENSMUSP00000035429.5 pep:known chromosome:GRCm38:9:45403138:45410278:1 gene:ENSMUSG00000059412.6 transcript:ENSMUST00000041005.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd2 description:FXYD domain-containing ion transport regulator 2 [Source:MGI Symbol;Acc:MGI:1195260] MDRWYLGGSAKGTENPFEYDYETVRKGGLIFAGLAFVVGLLIILSKRFRCGGGKKHRQVN EDEL >ENSMUSP00000137308.1 pep:known chromosome:GRCm38:9:43259879:43280075:1 gene:ENSMUSG00000095385.1 transcript:ENSMUST00000179013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630033O11Rik description:RIKEN cDNA D630033O11 gene [Source:MGI Symbol;Acc:MGI:2685434] MNENKENNENKEQSEGGAASCLHHGIGVTSCTVPYGTYDLSPSCPGCLLTHPLAAFPPRH MRKCPVSPSSHANEATIDSKAVGKEEAAWSSQKEPALCAAAGSLGILLRVLSSIQMNWVM LFCAGHSAYASSSLGLTWDLDQHSTWGEASQLVG >ENSMUSP00000033983.4 pep:known chromosome:GRCm38:8:31159468:31168724:-1 gene:ENSMUSG00000031578.4 transcript:ENSMUST00000033983.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mak16 description:MAK16 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915170] MQSDDVIWNTLGNKQFCSFKIRTKTQGFCRNEYSLTGLCNRSSCPLANSQYATIKEEKGQ CYLYMKVIERAAFPRRLWERVRLSKNYEKALEQIDENLIYWPRFIRHKCKQRFTKITQYL IRIRKLTLKRQRKLVPLSKKVERREKRREEKALIAAQLDNAIEKELLERLKQDTYGDIYN FPIHAFDKALEKQEAESDSEDEEEEEDEDEEEDVGKREFVEDEEVEESDLSDFEDMDKLN TDSEEDQDDESSNDEEAHKAKHKGKAPLKGPLRKKRAYVEIEYEQETEPMAKVKAT >ENSMUSP00000065508.7 pep:known chromosome:GRCm38:9:124348831:124354028:1 gene:ENSMUSG00000079741.4 transcript:ENSMUST00000067621.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4g description:NLR family, pyrin domain containing 4G [Source:MGI Symbol;Acc:MGI:3700744] DVVDSSPLGLTDSGNPKLYRDHLKKKLTHDCSKKFNVRIQDFIKEIFIQNDCDTFENLLI SKGTEKKPHMVFLQGMAGIGKTMMLKNLMLAWSKGLVFQNKFSYTFYFCCRDVKQLKTAS LAELISREWPSPSAPIEEILSQPEKLLFIIDSLEGMECDLTKQESELCDNCMEKQPVSIL LSSLLTRKMLPESSFLLSTTPETFEKMEDRILCTDVKTATAFDERSIKIYFHRLFQDKIR AQEAFSLVRENEQLFTICQVPLLCWMVATCLKEEIEKGGDPVSVCRHITSLYTTHILNLF IPQSAQYPSKKSQDQLQGLCSLAAEGMWTDTFVFGEEALRRNGILDSDIPTLLDIGMLGK IREFENYYIFLHPSVQEVCAAIFYLLKSHVDHPSQDVKSIETVLFMFLKKVKTQWIFLGC FIFGLLQKSEQEKLVVFFGRRLSQKIQHKLYQCLETISGNAELQEQIDGMKLFCCLSEIE DEAFLVKVMNCMQQINFVAKNYSDLILAAYCLKHCSTLKKLSFSTQNVLNEKGNQRCMKK LIICWNDMCSVFVRSKDIQVLQIKDTSFNEPAIRILYEYLKYPSFTLNKLVANNVHFFGD NHAFFELIQNCSLQYLDLGCSFLTHSEVKLLCDVLNQAECNIEKLV >ENSMUSP00000096436.1 pep:known chromosome:GRCm38:9:44434234:44440868:-1 gene:ENSMUSG00000074397.3 transcript:ENSMUST00000098837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxr1 description:forkhead box R1 [Source:MGI Symbol;Acc:MGI:2685961] MGNECFLTFTTTHLSEAEQKLALYRLQLVEPPKLPLEKKTNPDKDGPDIKPNLWMWVNPN MVYPPGKLEVAVKEEDQSALSAFQPALKEEEDSCSEASEVQQPLPPCRQKRKQRRSTVPL PLAPGRRAPLENPWRLPQAISPEGRLWSRPPLHYFHLIALALRNSPPCGLSVQQIYSFTR QNICLLSAQEHNHSYPFATYNPAVPQIPILLGRYSFSYPVPKD >ENSMUSP00000126626.2 pep:known chromosome:GRCm38:3:137671524:137672540:1 gene:ENSMUSG00000094856.1 transcript:ENSMUST00000166899.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21962 description:predicted gene, 21962 [Source:MGI Symbol;Acc:MGI:5439431] ELSILNIYAPNARAATFIRDTLVKLKAYIAPHTIIVGDFNTPLSSKDRSWKQKLNRDTVK LTEVMKQMDLTDIYRTFYPKTKGYTFFSAPHGTFSKIDHIIGHKTGLNRYKNIEIVPCIL SDHHGLRLIFNNNINNGKPTFTWKLNNTLLNDTLVKEGIKKEIKDFLEINENEATTYPNL WDTMKAFLRGKLIALSASKKKRETAHTSSLTTHLKALEKKEANSPKRSRRQEIIKLRGEI NQVETRRTIQRINQTRSWFFEKINKIDKPLARLTKGHRDKILINKIRNEKGDITTDPEEI QNTIRSFYKRLYSTKLENLENGQISGQIPGTKVESGSS >ENSMUSP00000136130.1 pep:known chromosome:GRCm38:Y:44980580:44981263:-1 gene:ENSMUSG00000095353.1 transcript:ENSMUST00000179570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21783 description:predicted gene, 21783 [Source:MGI Symbol;Acc:MGI:5433947] MISLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNIKVLPHKVVFPQVRDVHLARALVGRKVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKNDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDENGFLIGT WVQYTRDDGSKKFGKVVYKDLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000058604.1 pep:known chromosome:GRCm38:12:69371835:69372458:-1 gene:ENSMUSG00000052673.1 transcript:ENSMUST00000053451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9887 description:predicted gene 9887 [Source:MGI Symbol;Acc:MGI:3642578] PAPTAAGLPACEHRTRHRPRSPPRRRARLGARSGHGSSRELAGARLQGHREGEGSYRRAS EGAPRPTRPAFRARPLRLPPAAAPSAGSLRPPPPPPSSAAAAAAAGKGAEETASLPLPTA HARLGPTVRKSATSERRVARALDVTSATRRGAGLRRLPGLNAGASRNLLAWGWPCAHLSL YPGSPRVSFSATRRRGTDPPRAGFVGP >ENSMUSP00000066403.5 pep:known chromosome:GRCm38:8:36216064:36249516:-1 gene:ENSMUSG00000039633.6 transcript:ENSMUST00000065297.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lonrf1 description:LON peptidase N-terminal domain and ring finger 1 [Source:MGI Symbol;Acc:MGI:3609241] MSGGRAQKPRPAPLRLAKPRPSSVGPAPRGSPGARRPAMSARHAPLLAGRVRSRAPRRFP DRGGGRRRQSSPVRAMSSPAVARASPGGNREASGGPRSRNGPWEVGGGGERLERAGAESG RWELLLRRGELLALGGHLKGALEAFAAALRRGAPARPERLGSLVDCLVFSYRLRHGLRWS AAPAAGAAGLLSCLSCRGFLSEPVTVPCGHSYCRRCLRRELRARCRLCRDRLPPAAAASE GTPRPPPLAAAIADFRTSVVLNHLAEKWFPGQRERARAAGRLGELLHEGRYREALAAACD ALRAEPSDLTLKIYRAESYAGLQEFKAALEDLNAVLFQLPNWPEVYFRKGKVLQDAGFLG DALQLFLQCLALDEDFAPAKLQVEKILCDLLSPENVREGLKESSWSSLPCIKSKPLGFPS VMEQPHSPAELGLKQPEERVEDAPEPVKGSLSRAQSAQAISAAAVPAREDGLKRVCSEPL LSAQGKGVLLKRKLSLLEQDVLINEDGRSKLKKQSESPSEDCMFSIAYGDIPEELIDVSD FECSLCMRLFFEPVTTPCGHSFCKNCLERCLDHAPYCPLCKESLKEYLADRRYCVTQLLE ELIVKYLPDELSERKKIYDEETAELSHLTKNVPIFVCTMAYPTVPCPLHVFEPRYRLMIR RSIQTGTKQFGMCVSDTQNSFADYGCMLQIRNVHFLPDGRSVVDTVGGKRFRVLKRGMKD GYCTADIEYLEDVKIENGDEIRSLRELHDSVYSQACSWFQNLRDRFRSQILQHFGSMPER EENLQATPNGPAWCWWLLAVLPVDPRYQLSVLSMKSLEERLTKIQHILTYFSRDQSK >ENSMUSP00000131076.1 pep:known chromosome:GRCm38:9:65629648:65660528:1 gene:ENSMUSG00000032387.14 transcript:ENSMUST00000169003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpms2 description:RNA binding protein with multiple splicing 2 [Source:MGI Symbol;Acc:MGI:1919223] MSNLKPDVEHCTGAGTGSPLEEEVRTLFVSGLPVDIKPRELYLLFRPFKGYEGSLIKLTS RQPVGFVIFDSRAGAEAAKNALNGIRFDPENPQTLRLEFAKANTKMAKSKLIATPNPTSV HPALGAHLIARDPSATAAAAAAATLHAQVRWYPSSDTTQQGWKYRQFC >ENSMUSP00000057600.8 pep:known chromosome:GRCm38:9:65630582:65660505:1 gene:ENSMUSG00000032387.14 transcript:ENSMUST00000055844.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbpms2 description:RNA binding protein with multiple splicing 2 [Source:MGI Symbol;Acc:MGI:1919223] MSNLKPDVEHCTGAGTGSPLEEEVRTLFVSGLPVDIKPRELYLLFRPFKGYEGSLIKLTS RQPVGFVIFDSRAGAEAAKNALNGIRFDPENPQTLRLEFAKANTKMAKSKLIATPNPTSV HPALGAHLIARDPYDLMGTALIPASPEAWAPYPLYTTELTPAISHTTFTYPAATAAAAAA ATLHAQVRWYPSSDTTQQGWKYRQFC >ENSMUSP00000010804.2 pep:known chromosome:GRCm38:9:119071527:119093496:-1 gene:ENSMUSG00000010660.2 transcript:ENSMUST00000010804.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcd1 description:phospholipase C, delta 1 [Source:MGI Symbol;Acc:MGI:97614] MDSGRDFLTLHGLQDDPDLQALLKGSQLLKVKSSSWRRERFYKLQEDCKTIWQESRKVMR SPESQLFSIEDIQEVRMGHRTEGLEKFARDIPEDRCFSIVFKDQRNTLDLIAPSPADVQH WVQGLRKIIDRSGSMDQRQKLQHWIHSCLRKADKNKDNKMNFKEVKDFLKELNVQVDDSY ARKIFRECDHSQTDSLEDEEIETFYRMLTQRAEIDRAFAEAAGSAETLSVEKLVTFLQHQ QREEEAGPALALSLIERYEPSETAKAQRQMTKDGFLMYLLSADGNAFSLAHRRVYQDMNQ PLSHYLVSSSHNTYLLEDQLTGPSSTEAYIRALCKGCRCLELDCWDGPNQEPIIYHGYTF TSKILFCDVLRAIRDYAFKASPYPVILSLENHCSLEQQRVMAHHLRAILGPMLLDQPLDG VTTSLPSPEQLKEKILLKGKKLGGLLPAGGENGPEATDVSDEDEAAEMEDEAVRSQVQHK PKEDKLKLVPELSDMVIYCKSVHFGGFSSPSTSGQAFYEMASFSESRALRLLQESGNSFV RHNVGHLSRIYPAGWRTDSSNYSPVEMWNGGCQIVALNFQTPGPEMDVYLGCFQDNGGCG YVLKPAFLRDPDTTFNSRALTQGPWWAPKKLRVWIISGQQLPKVNKNKNSIVDPKVIVEI HGVGQDVASRQTAVITNNGFNPRWDTEFEFVVAVPDLALVRFMVEDYDSSSKNDFIGQST IPWNSLKQGYRHVHLLSKNGDLHPSATLFVKISIQD >ENSMUSP00000047467.5 pep:known chromosome:GRCm38:9:48985385:49042517:1 gene:ENSMUSG00000032267.7 transcript:ENSMUST00000047349.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp28 description:ubiquitin specific peptidase 28 [Source:MGI Symbol;Acc:MGI:2442293] MTAELQQDDSAGAADGHGSSCQMLLNQLREITGIQDPSFLHEALKASNGDITQAVSLLTD QRVKEPSHDTTAAEPSEVEESATSKDLLAKVIDLTHDNKDDLQAAIALSLLESPNIQADN RDLNRAHEANSAETKRSKRKRCEVWGENHNPNNWRRVDGWPVGLKNVGNTCWFSAVIQSL FQLPEFRRLVLSYNLPQNILENCRSHTEKRNIMFMQELQYLFALLLGSNRKFVDPSAALD LLKGAFRSSEEQQQDVSEFTHKLLDWLEDAFQLAVNVNSHLRNKSENPMVQLFYGTFLTE GVREGKPFCNNETFGQYPLQVNGYHNLDECLEGAMVEGDIALLPSDRSVKYGQERWFTKL PPVLTFELSRFEFNQSLGQPEKIHNKLEFPQIIYMDRYMYKSKELIRSKRESVRKLKEEI QVLQQKLERYVKYGSGPSRFPLPDMLKYVIEFASTKPASESCLSGSAEHVTLPLPSVHCP ISDLTPKESSSPESCSQNAGSTFSSPEDALPSSEGMNGPFTSPHSSLETPAPPAPRTVTD EEMNFVKTCLQRWRSEIEQDIQDLKNCISSSTKAIEQMYCDPLLRQVPYRLHAVLVHEGQ ASAGHYWAYIYNQPRQTWLKYNDISVTESSWEELERDSYGGLRNVSAYCLMYINDNLPHF SAEASSNESDETAGEVEALSVELRQYIQEDNWRFQQEVEEWEEEQSCKIPQMESSPNSSS QDFSTSQESPAVSSHEVRCLSSEHAVIAKEQTAQAIANTAHAYEKSGVEAALSEAFHEEY SRLYQLAKETPTSHSDPRLQHVLVYFFQNEAPKRVVERTLLEQFADRNLSYDERSISIMK VAQAKLMEIGPDDMNMEEYKRWHEDYSLFRKVSVYLLTGLELFQKGKYQEALSYLVYAYQ SNAGLLVKGPRRGVKESVIALYRRKCLLELNAKAASLFETNDDHSVTEGINVMNELIIPC IHLIINNDISKDDLDAIEVMRNHWCSYLGKDIAENLQLCLGEFLPRLLDPSAEIIVLKEP PTIRPNSPYDLCNRFAAVMESIQGVSTVTVK >ENSMUSP00000080894.2 pep:known chromosome:GRCm38:12:107488632:107489636:1 gene:ENSMUSG00000060375.2 transcript:ENSMUST00000082269.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110018I06Rik description:RIKEN cDNA 3110018I06 gene [Source:MGI Symbol;Acc:MGI:1920410] MCLESAQESILADITLPISPSLCTWPEAAAHQQKHFRCVCLYGVPANAAQLHNVRQTNIC VSLAKTRSSHVLSCACFSRTSSLLCLLQPNVPSPVSTSGKHFFTRLPQQNTIRPTFQRHP >ENSMUSP00000130323.1 pep:known chromosome:GRCm38:18:9726197:9726670:-1 gene:ENSMUSG00000091285.1 transcript:ENSMUST00000171339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17430 description:predicted gene, 17430 [Source:MGI Symbol;Acc:MGI:4937064] TRVELCSSSMYKIYPGHGRHYSRTNGKVFQFLNAKCESAFFSKRNTLQINWIVLYRRKHK KGQSEEIQKKRTRRAVKVHRAITGASPADIMAKWNQKPEVRKAQREQAIGAAKEVKKAKQ ASKKTAMAAAKATTKAAPRQKIVKPVKVSAPRVGGKC >ENSMUSP00000110225.4 pep:known chromosome:GRCm38:4:52496939:52497244:-1 gene:ENSMUSG00000061619.3 transcript:ENSMUST00000114578.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vma21-ps description:VMA21 vacuolar H+-ATPase homolog (S. cerevisiae), pseudogene [Source:MGI Symbol;Acc:MGI:3643145] MERLDKAALNALQPPKFRNEKSLAATLKTLLFFTALMITVPIGFYFTTKAYIFEGALGMS NRDSYFYAAIVAVVAVHVVLALFVYMAWNEGSRQWREGKQD >ENSMUSP00000083973.5 pep:known chromosome:GRCm38:19:59322290:59322783:1 gene:ENSMUSG00000067038.5 transcript:ENSMUST00000086764.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps12-ps3 description:ribosomal protein S12, pseudogene 3 [Source:MGI Symbol;Acc:MGI:3704503] MAEEGIAAGGVMDVNTALQEVLKTALIHDGLARGIREAAKALDKRQAHLCVLASNCDEPM YVKLVEALCAEHQINLIKVDDNKKLGEWVGLCKIDREGKPRKVVGCSCVVVKDYGKESQA KDVIEEYFKCKK >ENSMUSP00000035099.7 pep:known chromosome:GRCm38:9:119925673:119937558:-1 gene:ENSMUSG00000032513.7 transcript:ENSMUST00000035099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gorasp1 description:golgi reassembly stacking protein 1 [Source:MGI Symbol;Acc:MGI:1921748] MGLGASSEQPAGGEGFHLHGVQENSPAQQAGLEPYFDFIITIGHSRLNKENDTLKALLKA NVEKPVKLEVFNMKTMKVREVEVVPSNMWGGQGLLGASVRFCSFRRASEHVWHVLDVEPS SPAALAGLCPYTDYIVGSDQILQESEDFFTLIESHEGKPLKLMVYNSESDSCREVTVTPN AAWGGEGSLGCGIGYGYLHRIPTQPSSQHKKPPGATPPGTPATTSQLTAFPLGAPPPWPI PQDSSGPELGSRQSDFMEALPQVPGSFMEGQLLGPGSPSHGAADCGGCLRAMEIPLQPPP PVQRVMDPGFLDVSGMSLLDSSNISVCPSLSSSTVLTSTAVSVSGPEDIGSSSSSHERGG EATWSGSEFEISFPDSPGAQAQADHLPRLTLPDGLTSAASPEEGLSAELLEAQTEEPADT ASLDCRAETEGRASQAQATPDPEPGL >ENSMUSP00000133094.1 pep:known chromosome:GRCm38:12:17924294:17925668:1 gene:ENSMUSG00000067356.5 transcript:ENSMUST00000169657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B430203G13Rik description:RIKEN cDNA B430203G13 gene [Source:MGI Symbol;Acc:MGI:3642832] FPSHSLTLLFPLPHFFLSSFLLSFFLSFFLSFFLSFFLSFFLSFFLPPSLLPSFFIASFL LPYFLPSFPINIPGLSQHLFLHLLSFATKGFFGPNITRCTTLPLLAVLFLPTLPCSQGGF NFTCVLLIEFPK >ENSMUSP00000125837.1 pep:known chromosome:GRCm38:10:58703995:58704279:1 gene:ENSMUSG00000091526.1 transcript:ENSMUST00000167536.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BB019430 description:expressed sequence BB019430 [Source:MGI Symbol;Acc:MGI:2143891] MGLMIKRECENHPGGRTHYQLLSSIHMVIGRPWTSQAENHVSAPILGVQSSKDNEKIDIC PFIFTFFLSLFKSQIVIIFLRNQVKKKEIKIDNF >ENSMUSP00000047054.7 pep:known chromosome:GRCm38:18:75018772:75286966:1 gene:ENSMUSG00000035765.9 transcript:ENSMUST00000039608.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dym description:dymeclin [Source:MGI Symbol;Acc:MGI:1918480] MGSNSSKISDLPKNEYLKKLSGPESISENDPFWNQLFSFSFPAPTSSSELKLLEEATISV CKSLVENNPRTGNLAALTKVFLSRTRELRLSAECQNHIFIWQTHNALFIICCLLKVFIRE LSEEELQLHFTYEEKSPGSYSSDSEDLLEELLCSLVQLITDTPLLDITYEIAVEAISAMV VFLSCQLFHKEVLRQSISHKYLMQGPCLPYTSKLVKTLLYNFIRQEKPPPPGTHVFPQQS DGGGLLYGLASGVATGLWTVFTLGGAGSKAAASPELTSPLANQSLLLLLVLVNLTDAPDI PNPYRQAVSSFKNTQDSSPFPSSIPHTFQINFNSLYTALCEQQTSDQATLLLYTLLHQNS NVRTYVLARTDMENLVLPILEILYHVEERNSHHVYMALIILLILTEDDGFNRSIHEVILR NITWYSERVLTEISLGSLLILVVIRTIQYNMTRTRDKYLHTNCLAALANMSAQFRSLHQY AAQRIISLFSLLSKKHNKVLEQATQSLRGPLSSSDVPLPDYAQDLSVIEEVIRMMLEIIN SCLTNSLHHNPNLVYALLYKRDLFEQFRTHPSFQDIMQNIDLVISFFSSRLLQAGAELSV ERVLEIIKQGVVALPKDRLKKFPELKFKYVEEEQPEEFFIPYVWSLVYNSAVGLYWNPQD IQLFAMDSD >ENSMUSP00000046585.4 pep:known chromosome:GRCm38:19:55253369:55296627:1 gene:ENSMUSG00000024981.5 transcript:ENSMUST00000043150.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl5 description:acyl-CoA synthetase long-chain family member 5 [Source:MGI Symbol;Acc:MGI:1919129] MLFIFNFLFSPLPTPALICLLTFGTAIFLWLINRPQPVLPLIDLDNQSVGIEGGARRGAF QKNNDLILYYFSDAKTLYENFQRGLAVSDNGPCLGYRKPNQPYKWISYKQVSDRAEYLGS CLLHKGYKSSQDQFVGIFAQNRPEWVISELACYTYSMVAVPLYDTLGTEAIIFVINRADI PVVICDTPQKATMLVENVEKGLTPGLKTIILMDPFDDDLMKRGEKCGVEMLSLHDAENIG KENFKKPVPPKPEDLSVICFTSGTTGDPKGAMLTHENVVSNMAAFLKFLEPIFQPTSDDV TISYLPLAHMFERLVQGILFSCGGKIGFFQGDIRLLPDDMKALKPTVFPTVPRLLNRVYD KVQNEAKTPLKKFLLNLAIISKFNEVKNGIIRRDSLWDKLVFSKIQGSLGGKVRLMITGA APISTPVLTFFRAAMGCWVFEAYGQTECTGGCSITSPGDWTAGHVGTPVACNFVKLEDVA DMNYFSVNNEGEICIKGNNVFKGYLKDPEKTQEVLDKDGWLHTGDIGRWLPNGTLKIVDR KKNIFKLAQGEYIAPEKIENVYSRSRPVLQVFVHGESLRSFLIGVVVPDPDSLPSFAAKI GVKGSFEELCKNQCVKEAILEDLQKIGKEGGLKSFEQVKSIFVHPEPFTIENGLLTPTLK AKRVELAKFFQTQIKSLYESIEE >ENSMUSP00000052414.1 pep:known chromosome:GRCm38:3:108940053:108940445:-1 gene:ENSMUSG00000051638.1 transcript:ENSMUST00000050914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9857 description:predicted gene 9857 [Source:MGI Symbol;Acc:MGI:3704224] PGLRTWLAAPGSWLALRLAPALCALRVLAGRAKPGHAPAPCLPAAASKVSVLGHLTAAVA HALKTFSHLSSEPWGGPRPSAPQHPRPSRCVDLPLRRLLDATQTGGQTACSAAVRSVASR VRGSSCAEET >ENSMUSP00000131676.1 pep:known chromosome:GRCm38:14:106100753:106101157:-1 gene:ENSMUSG00000090538.1 transcript:ENSMUST00000169588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4775 description:predicted gene 4775 [Source:MGI Symbol;Acc:MGI:3647362] VEQKKKRTFRKSTYGGVDLDMSYEQLMQLYSALQRRRLNRGLRRKQHSLLKRLRKAKKET PPKVAKMHLRDMVILPKMVGSMVGMYNGKTFNQVEIKPEMMIGHYLGEFSITYKPVKYGQ PEIDATHSCQFTTPP >ENSMUSP00000110074.2 pep:known chromosome:GRCm38:9:51116001:51119700:1 gene:ENSMUSG00000032056.9 transcript:ENSMUST00000114431.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btg4 description:B cell translocation gene 4 [Source:MGI Symbol;Acc:MGI:1860140] MRDEIATAVFFVTRLVKKHEKLSTQQIETFALKLMTILFEKYRGHWHPDCPSKGQAFRCI RINNNENKDPVLERACAESNVNFFHLGLPKEMTIWVDPYEVCCRYGEKKHPFTIASFKGR WENWELAQHVSCAVNRATGDCSSGTSSDEESCSREAQIIPKVNNPKSVYQVENFKQSLQP WFCLPRRKHLADGRGFLPGAACHPVPKSSKWCRPASRRVDRYHWVNAQLFSGQTAPGEPG EEALSSLKQK >ENSMUSP00000082856.4 pep:known chromosome:GRCm38:9:57412668:57440114:-1 gene:ENSMUSG00000063849.5 transcript:ENSMUST00000085709.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppcdc description:phosphopantothenoylcysteine decarboxylase [Source:MGI Symbol;Acc:MGI:1914062] MEPKAPCPAAVPSEERKFRVLVGVTGSVAALKLPLLVSKLLDVPGLEVTVVTTERAKHFY SPQDVPVTLYSDADEWEMWKRRSDPVLHIDLRRWADLMLVAPLDANTLGKVASGICDNLL TCVIRAWDLNKPLLFCPAMNTAMWEHPLTAQQVAQLKAFGYVEIPCVSKKLVCGDQGLGA MAEVETIVAKVQAVLSQHGSIQQS >ENSMUSP00000070778.7 pep:known chromosome:GRCm38:8:70646436:70659738:-1 gene:ENSMUSG00000056204.7 transcript:ENSMUST00000070173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgpep1 description:pyroglutamyl-peptidase I [Source:MGI Symbol;Acc:MGI:1913772] MEQPRKAVVVTGFGPFGEHTVNASWIAVQELEKLGLGDSVDLHVYEIPVEYQTVQRLIPA LWEKHSPQLVVHVGVSGMATTVTLEKCGHNKGYKGLDNCRFCPGSQCCVEDGPESIDSII DMDAVCKRVTTLGLDVSVTISQDAGRYLCDFTYYTSLYQGRGRSAFVHVPPLGKPYNADQ LGRALRAIIEEMLGVLEQAEGDISCCRQL >ENSMUSP00000136448.1 pep:known chromosome:GRCm38:9:3036877:3037848:1 gene:ENSMUSG00000096201.1 transcript:ENSMUST00000155807.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10715 description:predicted gene 10715 [Source:MGI Symbol;Acc:MGI:3642376] MFLIFNDFHFSCLIPRPIVDISFPPFSVFLAIFHVPKCVFLIFHDFQFPRHIPGPTVCIS HFSRFSVISSFFKTSSGCFSFFMIFSFLAIFPVLQWTFLNFPPFSVFLAIFHVLKCVFLI FRDFQFSRQIPGPTVCISHFSRFSVILSFFNLSTACFSLSMIFSFLAIFHVLQWTFLNFP PFSFFSPYFTSYSVHFSFFTFFSDFVFFQDVKWMFLIFHDFQFSC >ENSMUSP00000137129.1 pep:known chromosome:GRCm38:9:3037111:3038316:1 gene:ENSMUSG00000096201.1 transcript:ENSMUST00000177969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10715 description:predicted gene 10715 [Source:MGI Symbol;Acc:MGI:3642376] MFLIFHDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRDFQFSRQIPGPTVCIS HFSRFSVILSFFNLSTACFSLSMIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCVFLI FRVFQFPRHIPGPTVCISHFSRFSVISSFFKTSSGCFSFFMIFSFLAIFPVLQWTFLNFP PFSSSIFLPYSRSYSVHFSFFTFFSDFVIFQVVKWKFLIFHDFQLSS >ENSMUSP00000051921.7 pep:known chromosome:GRCm38:8:71534264:71537437:-1 gene:ENSMUSG00000046718.7 transcript:ENSMUST00000051672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bst2 description:bone marrow stromal cell antigen 2 [Source:MGI Symbol;Acc:MGI:1916800] MAPSFYHYLPVPMDEMGGKQGWGSHRQWLGAAILVVLFGVTLVILTIYFAVTANSVACRD GLRAQAECRNTTHLLQRQLTRTQDSLLQAETQANSCNLTVVTLQESLEKKVSQALEQQAR IKELENEVTKLNQELENLRIQKETSSTVQVNSGSSMVVSSLLVLKVSLFLLF >ENSMUSP00000100467.2 pep:known chromosome:GRCm38:9:38855060:38855990:1 gene:ENSMUSG00000047667.5 transcript:ENSMUST00000104874.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr26 description:olfactory receptor 26 [Source:MGI Symbol;Acc:MGI:109309] MGTGNHSAAVVFVLVELTQQPELLLPLFILFLGIYVVTAVGNLGMILLITVSPLLHTPMY YFLSSLSCVDLCYSTVITPKMLVNFLGKKNVIVYSECMAQLFFFVIFVVAEGYLLTAMAY DRYVAICRPLLYNVIMSSRLCSLLVLVAFILGFVSALAHTSAMMNLSFCKSHIISHYFCD VLPLLNLSCSNTHLNELLLFIIGGFNTLVPTLAVAISYVFIFCSILHIKSSKGRSKSFGT CSSHLMAVGIFFGSITFMYFKPSSSNSLEQEKVSSVFYTTVIPMLNPLIYSLRNKDVKKA LGRFFVGR >ENSMUSP00000051027.2 pep:known chromosome:GRCm38:9:19248357:19249463:-1 gene:ENSMUSG00000048391.2 transcript:ENSMUST00000061365.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr843 description:olfactory receptor 843 [Source:MGI Symbol;Acc:MGI:3030677] MEISNQSGISEFFLTGLTYSPAIESFIFSLFLSIYFVTIFGNILIILAVRLDYHLHTPMY FFIANLSFTDICISTTIIPKMLLNIETQNQSITYTGCLSQVCFVLIFGGLESCLLAVMAY DRYLAIVHPLRYTVIMNPCLCVLLVLLSLFISTINALLHSLMLLKLSFCKDQNILHFFCE LVQVIKHACSDTFINTLLIYTVTSVFAGFPLAGIIFSYIQIVSSILKISSVQGRNKAFST CGSHLSVVSLFYGTAFGVYMSSAVSDSSVKNIVFSMMYTVVPQMLNPFIYSLRNREMKQA MRHLLFPVVLSSP >ENSMUSP00000053262.3 pep:known chromosome:GRCm38:9:19537011:19537928:-1 gene:ENSMUSG00000051160.3 transcript:ENSMUST00000058296.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr853 description:olfactory receptor 853 [Source:MGI Symbol;Acc:MGI:3030687] MELENQTRVIEFFLLGLSEDPELQPILFGLFLLMYLVTVSGNLLIILAIVSDAHLHTPMY FFLSNLSFTDICFSTTTVPKMLTNLQKQSKSISYTGCITQLSFVLLFAGMENFLLAAMAY DRYVAICNPLRYTDIMKLHLCFVMIFLSLYISIVDALLHGLMTLRLSFCTFLEIPHFFCE LYQVIKIACSDTLINNILIYVMSSALGGMPLVGIIFSYYKIISSILRMPSPGGRHKAFST CGSHLSVVSLFYGTAFGVYISSAFTESYRRTSVASLMYTVFPPMLNPFIYSLRNKDMKKA LRKIV >ENSMUSP00000022641.7 pep:known chromosome:GRCm38:14:68563388:68582086:-1 gene:ENSMUSG00000022057.7 transcript:ENSMUST00000022641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamdec1 description:ADAM-like, decysin 1 [Source:MGI Symbol;Acc:MGI:1917650] MLPGTSRLPTEASMSWVLLSVLWLIIQIQVIDATLTPELKPHEIVRPKKLPISQKRGLEN NQTERYGKEEKYAPEVQYQIILNGEEIVFHLKRTKHLLGPDYTETSYSPRGEESTRHSQD VKPCYYEGHIQNARGSLARISTCDGLRGYFTHRDQRYQIKPLQSTDEGEHAVLPYSWKGQ DTVHDKDAEKQVVRKRSHLRTSRSLKNPNEDLLQGQKYIGLFLVLDNAYYKLYNGNVTQM RTFLFKVLNLLNMIYKTINIQVSLVGMEIWSDQDKIKVEPNLGATFTHFMRWHYSNLGKR IHNHAQLLSGASFRHGRVGMAAGNSFCTTSSVSVIEAKKKNNVALVALMSHELGHALGMK DVPYYTKCPSGSCVMNQYLSSKFPKDFSTVSRSHFQGFLSSRNARCLLLAPDPKNIIKPT CGNQVLDVGEECDCGSPEECTNLCCEPLTCRLKSQPDCSEASNHITE >ENSMUSP00000034863.6 pep:known chromosome:GRCm38:9:57626647:57645653:-1 gene:ENSMUSG00000032312.6 transcript:ENSMUST00000034863.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csk description:c-src tyrosine kinase [Source:MGI Symbol;Acc:MGI:88537] MSAIQAAWPSGTECIAKYNFHGTAEQDLPFCKGDVLTIVAVTKDPNWYKAKNKVGREGII PANYVQKREGVKAGTKLSLMPWFHGKITREQAERLLYPPETGLFLVRESTNYPGDYTLCV SCEGKVEHYRIMYHASKLSIDEEVYFENLMQLVEHYTTDADGLCTRLIKPKVMEGTVAAQ DEFYRSGWALNMKELKLLQTIGKGEFGDVMLGDYRGNKVAVKCIKNDATAQAFLAEASVM TQLRHSNLVQLLGVIVEEKGGLYIVTEYMAKGSLVDYLRSRGRSVLGGDCLLKFSLDVCE AMEYLEGNNFVHRDLAARNVLVSEDNVAKVSDFGLTKEASSTQDTGKLPVKWTAPEALRE KKFSTKSDVWSFGILLWEIYSFGRVPYPRIPLKDVVPRVEKGYKMDAPDGCPPAVYEVMK NCWHLDAATRPTFLQLREQLEHIKTHELHL >ENSMUSP00000004206.8 pep:known chromosome:GRCm38:9:20894352:20898600:-1 gene:ENSMUSG00000070319.5 transcript:ENSMUST00000004206.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3g description:eukaryotic translation initiation factor 3, subunit G [Source:MGI Symbol;Acc:MGI:1858258] MPTGDFDSKPSWADQVEEEGEDDKCVTSELLKGIPLPTGDTSPEPELLPGDPLPPPKEVI NGNIKTVTEYKIEEDGKKFKIVRTFRIETRKASKAVARRKNWKKFGNSEFDPPGPNVATT TVSDDVSMTFITSKEDLNCQEEEDPMNKLKGQKIVSCRICKGDHWTTRCPYKDTLGPMQK ELAEQLGLSTGEKEKLPGELEPVQAAQSKTGKYVPPSLRDGASRRGESMQPNRRADDNAT IRVTNLSEDTRETDLQELFRPFGSISRIYLAKDKTTGQSKGFAFISFHRREDAARAIAGV SGFGYDHLILNVEWAKPSTN >ENSMUSP00000075863.3 pep:known chromosome:GRCm38:9:39646724:39647672:1 gene:ENSMUSG00000059106.3 transcript:ENSMUST00000076548.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr961 description:olfactory receptor 961 [Source:MGI Symbol;Acc:MGI:3030795] MTNHTMVTEFTLLGIPETEGLENALLFLFSTMYACALLGNFLILTAITTSPRLHTPMYFF LGNLSIFDLGFCSTTAPKMLSYLSGWGGGISFQGCVVQHFFYHCLGCTLCFLYTVMAYDR FVAICFPLRYTIIMNHRVCCVLATGTWMSGCVHATILTSLTFQLPYCGPSEVSYYFCDMP AVLLLACEDSSLAQRVGFTNVGLLSLICFFLIIVSYTRIGISISKIRSTEGRQRAFSTCS AHLTAIMCVYGPVIVIYLQPNPSPLLSAIIQILHNLVTPTINPLIYSLRNKDVKAALRHV FLKRCLSLEVNENS >ENSMUSP00000059776.3 pep:known chromosome:GRCm38:9:39949837:39950769:-1 gene:ENSMUSG00000043331.5 transcript:ENSMUST00000054067.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr975 description:olfactory receptor 975 [Source:MGI Symbol;Acc:MGI:3030809] MRNCTLVTEFILVGIPYTAGLERMLFVLFLSFYLLTLPGNLLILMAILTSASLHTPMYFF LGNLSVLDIFFPSVSSPKMMLSLTGHSHTISYQGCASQLFFYHFLGCAECFLYTVMAYDR FAAICHPLRYTVIMSSWVCGSMAVATWMGSCLHASVLTFLIFKLPYCGPNEVDNFFCDIP VVLPLACADTSLAQAVSFINVGLVALVCFLLILISYSRIVISILKIRSSEGRRRAFSTCS AHLTSILLFYGPVVLIYLRPASSPWLDSVVQVLNNIVTPSLNPLIYSLRNKEVKVALRKA LTQGMRVPGE >ENSMUSP00000034751.5 pep:known chromosome:GRCm38:9:70031496:70038088:-1 gene:ENSMUSG00000032226.5 transcript:ENSMUST00000034751.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcnt3 description:glucosaminyl (N-acetyl) transferase 3, mucin type [Source:MGI Symbol;Acc:MGI:1919327] MTSWQRLCWHYRLWTLGCYMLLAILALKLSLRLKCDFDAMDLDSEEFQSQYCRDLLYKTL KLPAKSSINCSGVIRGEQKAVTQALLNNLEIKKKQQLFTEADYLRMTADCEHFKTKRKFI QVPLSKEEASFPIAYSMVVHEKIENFERLLRAVYTPQNVYCVHMDQKSSEPFKQAVRAIV SCFPNVFIASKLVSVVYASWSRVQADLNCMEDLLQSPVPWKYLLNTCGTDFPIKTNAEMV KALKLLKGQNSMESEVPPPHKKSRWKYHYEVTDTLHMTSKRKTPPPNNLTMFTGNAYMVA SRDFIEHVFSNSKARQLIEWVKDTYSPDEHLWATLQRASWMPGSDPLHRKFDLSDMRAIA RLTKWYDHEGDIENGAPYTSCSGIHQRAVCVYGSGDLHWILQNHHLLANKFDPKVDDNVL QCLEEYLRHKAIYGTEL >ENSMUSP00000034846.5 pep:known chromosome:GRCm38:9:57252322:57262599:-1 gene:ENSMUSG00000032300.6 transcript:ENSMUST00000034846.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700017B05Rik description:RIKEN cDNA 1700017B05 gene [Source:MGI Symbol;Acc:MGI:1921461] MAEKRPLGPLGPMMYGKLPRLEPDPGPGHSLPLSASSQDSCNYKGAYFSCPIGGTSKAGS ERLASWTPYPSLYPTGVAGSPLRGDNLLTNCLLYRPPTEGSEKIQDSSELLPFGPQAHAY PGPPLAAPKPVYRNPLCYGLSTCLGDGGTKRSLDGDWTLVTGPLLPSADPPCPLATAPGK GQPLDGTFLRGLPSGGPGKDSSLPFSPCQAFLEKYRTIQSTGFLASKYTSPYPGDAKQAM SEGPSSPWTQLAQPLGPPCQDAVAAHYPLPPPPQALPCPPSCHPEKQGSYGSLLPLPPLG AHKGAAYQAGGLGSPYLRQQAPQAPYMPPLGIDSYSYSSAPLPAPSPGLKLEPPLAPRCP LDFVPQTLGFPYARDDLSLYGASPGLGGTPPSHSQNSVQPVPQPGAFQRACQPLPASQPC SEPVRPAEKPTPEAQEKMWLPSCRKEQLQPRPNERPGVPIVIRDSPVPRTSPALHPCAKE RQSVPQKDARPPSSPPMPVIDNVFSLAPYRDYLDVQTPEPRAERDSAPATSKSQDKDCKG NLPAQDGASRSHCSLREEVALDLSVKKTMAEGVPVKVPSPEVHEKPAEAVDGPGIENTVS GLPGLKKMVTEIPEVTAEATPRTNFHSSVAFMFRKFKILRTAPVPAAIVSSPTTPAPVPA PAPAQPVPNPPSVPVGLQILTQPLPVACFNLALPSPPAIVASPAPASAPPPSPAPAPAPA SGPAPSSAQVPTAAPVDSPEQHFTGLHTSLCDAISGSVAHSPPEKLREWLETTGPWGQAA WQDCQGVQGLLGKLLSQLQKFVCTQRCPFPHVVRAGAIFVPIHLVKERLFPKLPPASVDH VLQDHRVELRPTTLSEERALRERALHGCTSRMLKLLALRQLPDIYPDLLGLQWHDCVRRQ LGGFDTEARTLSPSEPTVTRDEPESQALAGKLPAPKVKKPGRKPPTPGPEKAEAATGEGS RNPSPSSGASTSPPGPTLRARFRNLLENAWLNGVALPTWGHKASGADRSSPHPQLLGSQT HHL >ENSMUSP00000022589.7 pep:known chromosome:GRCm38:14:77156763:77721760:1 gene:ENSMUSG00000022012.8 transcript:ENSMUST00000022589.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enox1 description:ecto-NOX disulfide-thiol exchanger 1 [Source:MGI Symbol;Acc:MGI:2444896] MVDAAGFESVAQCPRELHQMMAAAADGLGSIALDTTQLNMSVTDPTAWATAMNNLGMVPV GLPGQQLVSDSICVPGFDPGLNMMTGITPINPMIPGLGLVPPPPPTEVAVVKEIIHCKSC TLFPQNPNLPPPSTRERPPGCKTVFVGGLPENATEEIIQEVFEQCGDITAIRKSKKNFCH IRFAEEFMVDKAIYLSGYRMRLGSSTDKKDSGRLHVDFAQARDDFYEWECKQRMRAREER HRRKLEEDRLRPPSPPAIMHYSEHEAALLADKLKDDSKFSEAITVLLSWIERGEVNRRSA NQFYSMVQSANSHVRRLMNEKATHEQEMEEAKENFKNALTGILTQFEQIVAVFNASTRQK AWDHFSKAQRKNIDIWRKHSEELRNAQSEQLMGIRREEEMEMSDDENCDSPTKKMRVDES ALAAQAYALKEENDSLRWQLDAYRNEVELLKQEKEQLFRTEENLTKDQQLQFLQQTMQGM QQQLLAIQEELNNKKSELEQAKEEQSHTQALLKVLQEQLKGTKDLVETNGHSHEDANEIN VLTVALVNQDRENNTEKRSQGLKSEKEALLIGIISTFLHVHPFGANIEYLWSYMQQLDSK ISANEIEMLLMRLPRMFKQEFTGVGATLEKRWKLCAFEGIKTT >ENSMUSP00000136397.1 pep:known chromosome:GRCm38:13:90583400:90583765:1 gene:ENSMUSG00000094277.1 transcript:ENSMUST00000179654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21726 description:predicted gene, 21726 [Source:MGI Symbol;Acc:MGI:5433890] LHITYLHCPNTLQLLRQPKYPCKYMAMGNKHNHYSIIKFSLATKSVIKKTKDNHTPLFIV DVKASKHQVKQAIKKLYHIDVSKVKTPIKPDRENKAYVQLTPDYEAMFPRKLGSSKLSTV C >ENSMUSP00000034834.9 pep:known chromosome:GRCm38:9:59656368:59679375:1 gene:ENSMUSG00000032294.16 transcript:ENSMUST00000034834.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkm description:pyruvate kinase, muscle [Source:MGI Symbol;Acc:MGI:97591] MPKPHSEAGTAFIQTQQLHAAMADTFLEHMCRLDIDSAPITARNTGIICTIGPASRSVEM LKEMIKSGMNVARLNFSHGTHEYHAETIKNVREATESFASDPILYRPVAVALDTKGPEIR TGLIKGSGTAEVELKKGATLKITLDNAYMEKCDENILWLDYKNICKVVEVGSKIYVDDGL ISLQVKEKGADFLVTEVENGGSLGSKKGVNLPGAAVDLPAVSEKDIQDLKFGVEQDVDMV FASFIRKAADVHEVRKVLGEKGKNIKIISKIENHEGVRRFDEILEASDGIMVARGDLGIE IPAEKVFLAQKMMIGRCNRAGKPVICATQMLESMIKKPRPTRAEGSDVANAVLDGADCIM LSGETAKGDYPLEAVRMQHLIAREAEAAIYHLQLFEELRRLAPITSDPTEAAAVGAVEAS FKCCSGAIIVLTKSGRSAHQVARYRPRAPIIAVTRNPQTARQAHLYRGIFPVLCKDAVLN AWAEDVDLRVNLAMDVGKARGFFKKGDVVIVLTGWRPGSGFTNTMRVVPVP >ENSMUSP00000128770.2 pep:known chromosome:GRCm38:9:59656368:59679375:1 gene:ENSMUSG00000032294.16 transcript:ENSMUST00000163694.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkm description:pyruvate kinase, muscle [Source:MGI Symbol;Acc:MGI:97591] MPKPHSEAGTAFIQTQQLHAAMADTFLEHMCRLDIDSAPITARNTGIICTIGPASRSVEM LKEMIKSGMNVARLNFSHGTHEYHAETIKNVREATESFASDPILYRPVAVALDTKGPEIR TGLIKGSGTAEVELKKGATLKITLDNAYMEKCDENILWLDYKNICKVVEVGSKIYVDDGL ISLQVKEKGADFLVTEVENGGSLGSKKGVNLPGAAVDLPAVSEKDIQDLKFGVEQDVDMV FASFIRKAADVHEVRKVLGEKGKNIKIISKIENHEGVRRFDEILEASDGIMVARGDLGIE IPAEKVFLAQKMMIGRCNRAGKPVICATQMLESMIKKPRPTRAEGSDVANAVLDGADCIM LSGETAKGDYPLEAVRMQHLIAREAEAAMFHRLLFEELVRASSHSTDLMEAMAMGSVEAS YKCLAAALIVLTESGRSAHQVARYRPRAPIIAVTRNPQTARQAHLYRGIFPVLCKDAVLN AWAEDVDLRVNLAMDVGKARGFFKKGDVVIVLTGWRPGSGFTNTMRVVPVP >ENSMUSP00000096134.2 pep:known chromosome:GRCm38:8:86745699:86746603:1 gene:ENSMUSG00000074178.2 transcript:ENSMUST00000098532.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10638 description:predicted gene 10638 [Source:MGI Symbol;Acc:MGI:3704316] RCRLGPPGEAETKAPRLREGTRRPSPGRGQLLPPGRPLTCGRRARRGPRPRLTRPVASCR RRHRHRHRHRRHRGPRLHLSRHRDRRDTLGRRPRERARPAARLPCRRRRRPARSRARRAR PLSTTHCGGRHERERAPNPAGRGFPRPRTRRSGWRLLPALGGGWRRDASVTGAASRASPR GGCAVSGRYCGIDWVRAVLSPGPRSVAASTTPLLEEKRAAPPGRLVESWPVRSTPLSPLS HSSGKLMLGTVHPAALG >ENSMUSP00000046905.4 pep:known chromosome:GRCm38:9:75441524:75466432:1 gene:ENSMUSG00000042487.5 transcript:ENSMUST00000048937.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leo1 description:Leo1, Paf1/RNA polymerase II complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685031] MADMEDLFGSEAESEAERKDSESESDSDSDQDNGASGSNASGSESDQDDRGDSGQPSNKE LFGDDSEEEGASHHSGSDNHSERSDNRSEASERSDHEDNEPSDEDQHSGSEAHNDDDDEG HRSDEGSRHSEAEGSEKAQSDDEKWDGEDKSDQSDDEKLQNSDDEDREQGSDEDKLQNSD DDEEKMQNTDDEDRAQISDDDRQQLSEEEKGNSDDEHPVASDNDEEKQNSDDEDQPQVSD EEKMQNSDDERPQVSDEDGRRSDGEEEQDQKSESARGSDSEDEVLRLKRKNAIPSDSEAD SDTEVPKDNNGTMDLFGGADDISSGSDGEDKPPTPGQPVDENGLPQDQQEEEPIPETRIE VEIPKVNTDLGNDLYFVKLPNFLSVEPRPFDPQYYEDEFEDEEMLDEEGRTRLKLKVENT IRWRIRRDEEGNEIKESNARIVKWSDGSMSLHLGNEVFDVYKAPLQGDHNHLFIRQGTGL QGQAVFKTKLTFRPHSTDSATHRKMTLSLADRCSKTQKIRILPMAGRDPECQRTEMIKKE EERLRASIRRESQQRRMREKQHQRGLSASYLEPDRYDEEEEGEESVSLAAIKNRYKGGIR EERARIYSSDSDEGSEEDKAQRLLKAKKLNSDEEGESSGKRKAEDDDKANKKHKKYVISD EEEEEDD >ENSMUSP00000072521.4 pep:known chromosome:GRCm38:10:78393309:78393756:1 gene:ENSMUSG00000064317.4 transcript:ENSMUST00000072739.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10146 description:predicted gene 10146 [Source:MGI Symbol;Acc:MGI:3704367] MADKEKKKKESILDLSKYIDKTIRVKFQGGREASGILKGFDPLLNLVLDGTMEYMRDPDD QYKLTEDTRQLGLVVCRGTSVVLICPQDGMEAIPNPFVQQQDT >ENSMUSP00000136857.1 pep:known chromosome:GRCm38:X:126017557:126018055:1 gene:ENSMUSG00000094203.1 transcript:ENSMUST00000178952.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17267 description:predicted gene, 17267 [Source:MGI Symbol;Acc:MGI:4936901] PAASSKNGAGDASHKFKEDHTVPGPSSAGQHGQVISPHIQGSPHPSRSSQGDLGSSTSPQ DAQGLSLSTQHARGLSLSTQQTQGLSLSTQDVFELTRYFQESMGHSTSAQASQRLPTCAE RKIIHASSSRRSSKRLSPTLHNSNLSNSPLKHVGQDSSGQGDLQQA >ENSMUSP00000094996.1 pep:known chromosome:GRCm38:17:21690766:21691024:1 gene:ENSMUSG00000073448.1 transcript:ENSMUST00000097384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10509 description:predicted gene 10509 [Source:MGI Symbol;Acc:MGI:3796835] EKPYKCKECGKSFRQTSVLKSHQKMHTGEKPYKCKQCDKSFAHSSSFRTHQKIHTSEEHC SCPECGREFHQLSHLRKHYRLHTGE >ENSMUSP00000130162.1 pep:known chromosome:GRCm38:2:58470116:58471077:1 gene:ENSMUSG00000091922.1 transcript:ENSMUST00000167423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17409 description:predicted gene, 17409 [Source:MGI Symbol;Acc:MGI:4937043] MLSFSCLCSILQLFDFLWGVTVSLRRQEKHPKELW >ENSMUSP00000128279.1 pep:known chromosome:GRCm38:18:62662108:62662928:1 gene:ENSMUSG00000091744.1 transcript:ENSMUST00000163259.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17732 description:predicted gene, 17732 [Source:MGI Symbol;Acc:MGI:4937366] MTTQQASSEELESPLHHQTNIGFLKNTRAPFKVPLYLVECVFLCAVLYIHIYAFIKICLG A >ENSMUSP00000136999.1 pep:known chromosome:GRCm38:7:104949176:104950441:-1 gene:ENSMUSG00000094685.1 transcript:ENSMUST00000179862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5900 description:predicted pseudogene 5900 [Source:MGI Symbol;Acc:MGI:3643907] MADDLKRFLYKKLPSVEGLHAIVVSDRDGVPVIKVANDSAPEHALRPGFLSTFALATDQG SKLGLSKNKSIICYYNTYQVVQFNRLPLVVSFIASSSANTGLIVSLEKELAPLFEELIKV VEVS >ENSMUSP00000135960.1 pep:known chromosome:GRCm38:16:15317458:15318957:1 gene:ENSMUSG00000094472.1 transcript:ENSMUST00000178312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21897 description:predicted gene, 21897 [Source:MGI Symbol;Acc:MGI:5434061] KKQEGNPSTNLKEDSHKNRMPTLTTKITGSNNYFSLISLNINGLNSPIKRHRLTNWLHKQ DPTFCCLQETHLREKDRHYLRIKGWKTIFQANGLKKQAGVAILISDKIDFQPKVIKKDKE GHFVLIKGKILQEELSILNIYAPNTRAATFIKETLIKLKAHIAPHTIIVGDFNTPLSPMD RSWKQKLNRDTLKLTEVMKQMDLTDIYRTFYPKTKGYTFFSAPHGTFSKIDHIIGHKTGL NRFKNIEIVPCILSDHHALRLIFNNKKNNRKPTLTWKLNNTLLNDTLVKEGIKKEIKDFL EFNENEATTYPNLWDTMKAFLRGKLIALSASMKKRERAHTSSLTTHLKALEKKEANSPKR SRRQEIIKLRGEINQVETRRTIQRINQTRSWFFEKINKIDKPLARLTRGHRDKILINKIR TEKGDITTDPEEIQNTIRSFYKRLYSTKLENLDEMDKFLDRYQVPKLNQDQVDLLNSPIS PKEIEAVINSLPAKKSPGPD >ENSMUSP00000071022.1 pep:known chromosome:GRCm38:11:43035746:43037278:1 gene:ENSMUSG00000055456.1 transcript:ENSMUST00000069051.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9972 description:predicted gene 9972 [Source:MGI Symbol;Acc:MGI:3642779] MRDISILLNSNSMFLSWEGYLKRDSNHILYLYNNSISKRWIEILEICFIFILVEKLPYVT SGIEVNDRPVFYTREDSKERERGLPKAMFGQAGTKRVLDTPG >ENSMUSP00000082501.2 pep:known chromosome:GRCm38:7:45002951:45016249:-1 gene:ENSMUSG00000038406.16 transcript:ENSMUST00000085383.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scaf1 description:SR-related CTD-associated factor 1 [Source:MGI Symbol;Acc:MGI:2141980] MEEEDESRGKTEESGEDRGDGPPDRDPALSPSAFILRAIQQAVGSSLQGDLPNDKDGARC RGLRWRRCCRSPRSEPRSQESGAADTATVLDTAADSFLVELVSILDPPDTWVPSRLDLQP GESEDMLELVAEVRIGDRDPMPLPVPSLFPRLRAWRTGKTVSPQSHASRPACSRHLTLGT GDGGPAPPPAPSSASSSPSPSPSSSSPSPPPPPPPPPPPALPAPRFDIYDPFHPTDEAYS PPPAPEQKYDPFEPTGSNPSSSAGTPSPEEEEEEEEEEEEEGLSQSISRISETLAGIYDD NSLSQDFPGDDSPRREPPPPQTLGAPGTPPQADSTRAEGAPRRRVFVLGPEAEACHEGKV SVEVVTAGAPALSLPPLPPTDPEIEEGEIVQPEEEPRVAVSLFRAARPRQPPASVATLAS VAAPAAPPASAPRAPEGDDFLSLHADSDGEGALQVDLGEPPAPPAADARWGGLDLRRKIL TQRRERYRQRSASPGPPPARKKARRERQRSGDPAPPDSPSWEAKKHRSRERKLGSHSTAR RRSRSRSRRRSRSRSADRRRGGHRSRSREKRRRRRRSASPPPAASSSSSSRRERHRGKRR EGGKKKKKRSRSRAEKRSGDLEKLPASVPPSGSDRDSRRRGAVPPSIQDLTDHDLFAIKR TITVGRPDKAEPRAPSPAPAVSPKREVLYDSEGLSADERGGKSDKDRRRSGAASSSSSSR EKGSRRKALDGDRGRDRDRSSKKTRPPKDSTPGSGPLPKAPPSSGSSSSSSSCSSRKVKL QSKVAVLIREGVSSTTPAKDSSSSGLGSIGVKFSRDRESRSPFLKPDERAPAEVAKVAPG SNKPKKTKAKAKAGAKKAKGTKGKTKPSKTRKKVRSGGSSTASGGPGSLKKSKADSCSQA ASAKGTEETSWSGEERTTKAPSTPPPKVAPPPPALTPDSQTVDSSCKTPEVSFLPEEASE DTGVRVGAEEEEEEEEEEEEEEEQQPATTTATSTAAAAPSTAPSAGSTAGDSGAEDGPAA RISQLPTLPPPMPWNLPAGVDCTTSGVLALTALLFKMEEANLASRAKAQELIQATNQILS HRKPSSTLGVTPAPVPTSLGLPPGPSSYLLPGSLPIGGCGSTPPTPTGLAPASDKREGSS SSEGRGDTDKYLKKLHTQERAVEEVKLAIKPYYQKKDITKEEYKDILRKAVHKICHSKSG EINPVKVSNLVRAYVQRYRYFRKHGRKPGDPPGPPRPPKEPGPPDKGGPGLPLPPL >ENSMUSP00000092681.4 pep:known chromosome:GRCm38:9:78321299:78322357:-1 gene:ENSMUSG00000090802.1 transcript:ENSMUST00000095071.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8074 description:predicted gene 8074 [Source:MGI Symbol;Acc:MGI:3643195] QVLKSQGQDYLVGNRLTRVDVHLLELLLYVEELDASLLTPFPLLKAFKSRISSLLNVKKF LQPGSQRKPPMDAKQIKEARKAFKV >ENSMUSP00000096250.2 pep:known chromosome:GRCm38:8:70931405:70933037:1 gene:ENSMUSG00000074252.2 transcript:ENSMUST00000098653.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10654 description:predicted gene 10654 [Source:MGI Symbol;Acc:MGI:3643366] MEDLLEDIQVHMELEQGKNVDFWQDSTTITENETARPPGPASWRPRAKGQASAKRGSTQR HMTSCRSSSRALRGISMLATPTSPWTTGRACFSSCLLTWPGLGSAKRQQNALWQKLFKPK QEQGVLFSILKSELEELPPSSGPSVDPVEPEGAPATRGGSPPVK >ENSMUSP00000129695.2 pep:known chromosome:GRCm38:8:106572966:106573461:-1 gene:ENSMUSG00000060019.5 transcript:ENSMUST00000073722.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10073 description:predicted pseudogene 10073 [Source:MGI Symbol;Acc:MGI:3641908] MASVSELACIYSALILHGDEVTVTEDKINALIKAAGVSVEPFWPGLFAKALANVNIGSLI CNVGAGGPAPAAGAAPAGGAALSTAAAPAEEKKVEAKKEESEESEDDMGFGLFD >ENSMUSP00000136641.1 pep:known chromosome:GRCm38:Y:40380441:40381523:-1 gene:ENSMUSG00000094354.1 transcript:ENSMUST00000178332.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21882 description:predicted gene, 21882 [Source:MGI Symbol;Acc:MGI:5434046] MSQRPSLSAQGVLETSISSQGLTENSLLLQEPPGLCLEKKALGYSPSNPEDLGLSDSSQD TLETRAGMQNSAEISPVTQEVIEFLSATQDSPKLPATSPLSPEAEEPPTYTQSISKSSES VPGTPEALACVLGSLCLLKPNEDAMGPLVSEQGILRTTAFPQESLELSQPAKGPLKPVPS PQETVGNSFSTEFKGLSLCAKGDIIPTPPHEDGQRNSSYLKKSPRRFKSNQRILKHVPFP EGDTRYCLSELDALKCISSAESSLTSSKYAEKKSSPTSTPQRSISPLKSSQLSFRSSILC KKGLSHSPPPGDCPTPSKFRKTRPSPSSVDQEGLKSVRSKHEGWKNCHSSKMWVRACKSK K >ENSMUSP00000128163.1 pep:known chromosome:GRCm38:8:112013433:112015036:-1 gene:ENSMUSG00000092086.1 transcript:ENSMUST00000071732.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6793 description:predicted gene 6793 [Source:MGI Symbol;Acc:MGI:3643578] MEGHDPKEPEQLRKLFIGGLSFETTDDSLREHFEKWGTLTDCVVMRDPQTKRSRGFGFVT YSCVEEVDAAMCARPYKVDGRVVEPKRAVSREDSVKPGAHLTVKKIFVGGIKEDTEEYNL RDYFEKYGKIETIEVMEDRQSGKKRGFAFVTFDDHDTVDKIVVQKYHTINGHNCEVKKAL SKQEMRSAGSQRDRGGGSGNFMGRGGNFGGGGGNFGGRGGYGGGGGGSRGSYGGGDGGYN GFGGDGGNYGGGPGYSSRGGYGGGGPGYGNQGGGYGGGGGGYDGYNEGGNFGGGNYGGGG NYNDFGNYSGQQQSNYGPMKGGSFGGRSSGSPYGGGYGSGGGSGGYGSRRF >ENSMUSP00000141392.1 pep:known chromosome:GRCm38:3:93284302:93285078:1 gene:ENSMUSG00000103335.1 transcript:ENSMUST00000178695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg description:filaggrin [Source:MGI Symbol;Acc:MGI:95553] GQHGSGFYPVYYYYEQEHSEEESDSQHQHGHQHEQQRGHQHQHQHEHEQPESGHRQQQSS GRGHQGAHQEQGRDSARSRGSNQGHSSSRHQADSPRVSARSGSGGRGQSPDASGRSSNRR DRPRQPSPSQSSDSQVHSGVQVEGRRGQSSSANRRAGSSSGSGVQGASAGGLAADASRRS GALQGQASAQGRAGSQGQAQGRVGSSADRQGRRGVSGSQASDSEGHSDFSEGQAVGAHRQ SGAGQRHEQRSSRGQHGSG >ENSMUSP00000137341.1 pep:known chromosome:GRCm38:8:89146747:89147247:-1 gene:ENSMUSG00000094790.1 transcript:ENSMUST00000177870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6625 description:predicted gene 6625 [Source:MGI Symbol;Acc:MGI:3647663] PTGCSEKPSLHCSRVVLCARCSHLCCPPPPPAESCSSLARVLELDFNSLTRGIGPPACPS MLDADTSSKITTKVLKEKKEVVEEAENGRDVPANGNAQNKENGEQEADNEVDEEEEEGGE EEEEEEEEEGDDEEEDGDEDVEAEAPTGKQVAEDVDTKKKKTEKDD >ENSMUSP00000137366.1 pep:known chromosome:GRCm38:10:59395632:59396757:1 gene:ENSMUSG00000069622.4 transcript:ENSMUST00000092511.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10273 description:predicted pseudogene 10273 [Source:MGI Symbol;Acc:MGI:3704362] MEGGGGSSNKSTSGLAGFFGAGGAGYSNADLAGVPLTGMNPLSPYLNVDPRYLVQDTDEF ILPTGANKTRGRFELAFFTIGGCCMTGAAFGAMNGLRLGLKETQSMAWSKPRNVQILNMV TRQGALWANTLGSLALLYSAFGVIIEKTRGAEDDLNTVAAGTMTGMLYKCTGGLRGIARG GLAGLTLTSLYALYNNWEHMKGSLLQQSL >ENSMUSP00000076447.4 pep:known chromosome:GRCm38:8:107439176:107439585:-1 gene:ENSMUSG00000059775.4 transcript:ENSMUST00000077208.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps26-ps1 description:ribosomal protein S26, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3704322] MTKKRRNNGRAKKGRGHVQPIRCTNCARCVPKDKAIKKFVIRNIVEAAAVRDISEASVFD AYVLPKLYVKLHYCVSCAIHSKVVRNRSREARKDRTPPPRFRPAGAAPRPPPKPM >ENSMUSP00000135981.1 pep:novel scaffold:GRCm38:JH584293.1:83395:84475:-1 gene:ENSMUSG00000095755.1 transcript:ENSMUST00000178837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CR974586.4 MPKAVKSPSNALTQITPLEPCAQDDSGVSADTAEEFQPGAEADRRTEKVPAESQPPPCRP YPINTHILAKLNFHLKKKILAMQFGISEKEKREYKELGTADLESESIQEFLRSLHMSEST LLQEQPVACPSLPAPNAKGVHPKKQPASAVQDVCQAQRPPPSKAVPHSSAQQSSKASQSQ RDKTQVCVDMEAGGKRFNLEKSKVVGDLGEGDAGLGFSLVSQKTRQDGEQEKRLLHRPLQ GSSQQGHTFHLEDACPHSPRESPELQFPDPPPEVFMETDSEQDMEDSQSEESIVPERPLR SQ >ENSMUSP00000125924.1 pep:known chromosome:GRCm38:X:123221399:123222481:-1 gene:ENSMUSG00000091821.1 transcript:ENSMUST00000171714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsx description:serine-rich, secreted, X-linked [Source:MGI Symbol;Acc:MGI:3777603] MSQRPSLSAQGVLETSISSQGLTETSLLPQEPPGPCLEKEALGYSPSNPEDLGLSDSSQD RLEKQACMQNSAEIPPVTQEVIESLSATQDSPKLPAPSPLCPEAEEPLTYTQSISKSSES VPETPEALASVLGSLCLLKPNEGALGPLVSEQGILRTPAFPQESLELSQPAQGPLKPVPS PPVTMGASFSTEFQGLSLCAEGDIIPTPPHEDVWRNSSYHKKSPRRFKSNQSILKHVPFP EGDIRYCLSDLDALKCISSAESSLTSSKYAEKESSPTSTPQRSISPLKSSQLSFRSSILC KKGLSHSPPPGDCPTPSKLRKTRPSPSSVDQESLKSVRSKHAGWKHCHSSKMWVRACKSK K >ENSMUSP00000038613.5 pep:known chromosome:GRCm38:18:4634929:4682868:1 gene:ENSMUSG00000033960.5 transcript:ENSMUST00000037029.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430020K01Rik description:RIKEN cDNA 9430020K01 gene [Source:MGI Symbol;Acc:MGI:2685174] MYSVEDLLISHGYKPARDAAAPCEDKSERCRSTRTGPRAGQGLLNGYKDGATAHTHSRTS LGTGHVSNSENRISRPRGHREHQSTSRTPEARFLNQPSLAWSSQPQSGRDDIYWSRGRQE GSGSLCPRDWKELESRGMAQAYSLPVHVRENLWEVAGRTEHVMKNAIWEEELRMQDMSLE SWKKPRELGRQASDGDGRKRPQEKFEGLYPFVHGEHTSQNRKKSQSLPRALSPKSLNFTE IPVPLHDGHITGVPKVPPYPPSFPSPSEPMRNLEKASSSGPFPRPKFGKPLKTPCYSSHS QPRGEGGFQDHQHRDPRGSYPTRSKDPSHELGMLDPGLEPPVYVPPPSYRSPPQHIPNPY LEDPVPRHVSSNQSQQQVPEKPETSCPLPSGSLAARDLYDAMPGSPPQGLPPQPYPIATH GGSIQYIPFDDPRIRHIKLAQPPEFYEEAKLDDTSYNPGLLTTQEPAIGKRQYDDAPSVP RGPTPSPVNEQSSAFVHSSPRWLQGQLPLGIGPGGFHGQTEHHVMGGLTTNVTDIKAEGH ASSPQPQSEGTCKTYTKLRKFETGVQSKKSSKKKSNATIFCLVSIPVKSESLVLATDTNN NDFKLVADKTRGLCQGSALQEQSLLSMSSTDLELQALMGSMAWRRTSPRQGLRESEDGQI DDPRILHLIKPKELQASSPWPGHQYRDQQTQTSFHEDSKSSQLLPATKPGEASNVAPTPT CPDTTASEVCLHTALAFSDQNQKPSVPHLQGQTSLSPSRNSAFSRTSSAINQASMSKGTS DQLPGANPVPKPEVVKGESTTGQCNSTQLFGQFLLKPVSRRPWDLISQLESFNKELQEEE ESHGGSGSEDSEAEQPEDCADSRTKSWALQGTRTAQQPAGLALENVASPDRRLNDSQSWN EEPKPGHSSVHPQSLGPSQEEGSRGVPVQWADGSLTAEQKSQEDLNGMCERDFSPRPVSR IAPIDTKAAPLYCLSEPRGSQELTKFGDAVGSVQLGRETPTQVGNGGDTEVLPCVLLPLA DKYRGHSTPDFRSLELTLGQEQNAYKLECLDLENTVEVLPSESLQERAERILGIEVAVES LLPSARRTEQSQLPEPDASACNPSSSREDSSHSLALPVGPKVATDAFYGRRKCGWTESPL FVGERAPQASICSDVDGFPTSQATSPEPGKKDEEAKAPFKSTLFHFMEKSTNVVGPEKRL RNPSKVVENLQEKLVSPPKKADSVHLIRMREVNSLSQMRCLSSKSADSVEEPDPLKVIKS SAWLSEGLTSLGGKDEAWQAGHLPSVSQNENGHPEVPRDKMSDQDLWCADSYDPSRVERV >ENSMUSP00000022268.8 pep:known chromosome:GRCm38:14:8165991:8172992:-1 gene:ENSMUSG00000021748.8 transcript:ENSMUST00000022268.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdhb description:pyruvate dehydrogenase (lipoamide) beta [Source:MGI Symbol;Acc:MGI:1915513] MAVVAGLVRGPLRQASGLLKRRFHRSAPAAVQLTVREAINQGMDEELERDEKVFLLGEEV AQYDGAYKVSRGLWKKYGDKRIIDTPISEMGFAGIAVGAAMAGLRPICEFMTFNFSMQAI DQVINSAAKTYYMSAGLQPVPIVFRGPNGASAGVAAQHSQCFAAWYGHCPGLKVVSPWNS EDAKGLIKSAIRDNNPVVMLENELMYGVAFELPAEAQSKDFLIPIGKAKIERQGTHITVV AHSRPVGHCLEAAAVLSKEGIECEVINLRTIRPMDIEAIEASVMKTNHLVTVEGGWPQFG VGAEICARIMEGPAFNFLDAPAVRVTGADVPMPYAKVLEDNSVPQVKDIIFAVKKTLNI >ENSMUSP00000025237.3 pep:known chromosome:GRCm38:18:32815383:32819799:1 gene:ENSMUSG00000024379.3 transcript:ENSMUST00000025237.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tslp description:thymic stromal lymphopoietin [Source:MGI Symbol;Acc:MGI:1855696] MVLLRSLFILQVLVRMGLTYNFSNCNFTSITKIYCNIIFHDLTGDLKGAKFEQIEDCESK PACLLKIEYYTLNPIPGCPSLPDKTFARRTREALNDHCPGYPETERNDGTQEMAQEVQNI CLNQTSQILRLWYSFMQSPE >ENSMUSP00000040367.8 pep:known chromosome:GRCm38:7:45303155:45333780:-1 gene:ENSMUSG00000038260.9 transcript:ENSMUST00000042194.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm4 description:transient receptor potential cation channel, subfamily M, member 4 [Source:MGI Symbol;Acc:MGI:1915917] MVGPEKEQSWIPKIFRKKVCTTFIVDLSDDAGGTLCQCGQPRDAHPSVAVEDAFGAAVVT EWNSDEHTTEKPTDAYGDLDFTYSGRKHSNFLRLSDRTDPATVYSLVTRSWGFRAPNLVV SVLGGSGGPVLQTWLQDLLRRGLVRAAQSTGAWIVTGGLHTGIGRHVGVAVRDHQTASTG SSKVVAMGVAPWGVVRNRDMLINPKGSFPARYRWRGDPEDGVEFPLDYNYSAFFLVDDGT YGRLGGENRFRLRFESYVAQQKTGVGGTGIDIPVLLLLIDGDEKMLKRIEDATQAQLPCL LVAGSGGAADCLVETLEDTLAPGSGGLRRGEARDRIRRYFPKGDPEVLQAQVERIMTRKE LLTVYSSEDGSEEFETIVLRALVKACGSSEASAYLDELRLAVAWNRVDIAQSELFRGDIQ WRSFHLEASLMDALLNDRPEFVRLLISHGLSLGHFLTPVRLAQLYSAVSPNSLIRNLLDQ ASHASSSKSPPVNGTVELRPPNVGQVLRTLLGETCAPRYPARNTRDSYLGQDHRENDSLL MDWANKQPSTDASFEQAPWSDLLIWALLLNRAQMAIYFWEKGSNSVASALGACLLLRVMA RLESEAEEAARRKDLAATFESMSVDLFGECYHNSEERAARLLLRRCPLWGEATCLQLAMQ ADARAFFAQDGVQSLLTQKWWGEMDSTTPIWALLLAFFCPPLIYTNLIVFRKSEEEPTQK DLDFDMDSSINGAGPPGTVEPSAKVALERRQRRRPGRALCCGKFSKRWSDFWGAPVTAFL GNVVSYLLFLLLFAHVLLVDFQPTKPSVSELLLYFWAFTLLCEELRQGLGGGWGSLASGG RGPDRAPLRHRLHLYLSDTWNQCDLLALTCFLLGVGCRLTPGLFDLGRTVLCLDFMIFTL RLLHIFTVNKQLGPKIVIVSKMMKDVFFFLFFLCVWLVAYGVATEGILRPQDRSLPSILR RVFYRPYLQIFGQIPQEEMDVALMIPGNCSMERGSWAHPEGPVAGSCVSQYANWLVVLLL IVFLLVANILLLNLLIAMFSYTFSKVHGNSDLYWKAQRYSLIREFHSRPALAPPLIIISH VRLLIKWLRRCRRCRRANLPASPVFEHFRVCLSKEAERKLLTWESVHKENFLLAQARDKR DSDSERLKRTSQKVDTALKQLGQIREYDRRLRGLEREVQHCSRVLTWMAEALSHSALLPP GAPPPPSPTGSKD >ENSMUSP00000055619.3 pep:known chromosome:GRCm38:14:20391231:20393555:-1 gene:ENSMUSG00000049960.3 transcript:ENSMUST00000061444.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps16 description:mitochondrial ribosomal protein S16 [Source:MGI Symbol;Acc:MGI:1913492] MVQLTTIFCKAYHGGHLTIRLALGGCTNRPFYRIVAAHNKCPRDGRFVEQLGSYDPLPNS HGEKLVALNLDRIRHWIGCGAHLSKPMEKLLGLSGFFPLHPMMITNAERLRRRRAREVLL ASQKAESEAKETEAS >ENSMUSP00000106436.3 pep:known chromosome:GRCm38:8:14027565:14090327:-1 gene:ENSMUSG00000051978.8 transcript:ENSMUST00000110813.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich1 description:glutamate rich 1 [Source:MGI Symbol;Acc:MGI:3588201] MSSLRKQVFTEKVLKRLFPNVSNGQEKGTPQPPASETPSKDVTPEAVQQKDAHSLTDGDI KIQPERRLYTVSLPPQGYIPCLPEPTSCADSENASSGGDTEDLELPEQPKRRRIRRHKSK KNITNLSDVIVRQTELETQQKKMHPQHPDPPALSKNKRRKLKTKLRLRRKREAGLATKAS GVSFTYQPESSEEAEAEDDVGGEEEGDPERSVTDITQEDIELASSRAEGVLSFLKSTQEL YFYDDTPRDDPAVCPETAEELLHLLESGGMPPADVLILDHMKTLLLLQDTERLKSALEMF PEHCTMPPDHAKIITAFFNYWITQILPEKSSE >ENSMUSP00000024575.6 pep:known chromosome:GRCm38:17:7170115:7303313:1 gene:ENSMUSG00000023809.9 transcript:ENSMUST00000024575.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1342290] MELSMKKFTVRRFFSVYLRKKSRSKSSSLSRLEEEGIVKEIDISNHVKEGFEKADPSQFE LLKVLGQGSYGKVFLVRKVTGSDAGQLYAMKVLKKATLKVRDRVRSKMERDILAEVNHPF IVKLHYAFQTEGKLYLILDFLRGGDLFTRLSKEVMFTEEDVKFYLAELALALDHLHGLGI IYRDLKPENILLDEEGHIKITDFGLSKEATDHDKRAYSFCGTIEYMAPEVVNRRGHTQSA DWWSFGVLMFEMLTGSLPFQGKDRKETMALILKAKLGMPQFLSAEAQSLLRALFKRNPCN RLGAGVDGVEEIKRHPFFVTIDWNKLYRKEIKPPFKPAVGRPEDTFHFDPEFTARTPTDS PGVPPSANAHHLFRGFSFVASSLVQEPSQQDVPKAPIHPIVQQLHGNNIHFTDGYEIKED IGVGSYSVCKRCVHKATDAEYAVKIIDKSKRDPSEEIEILLRYGQHPNIITLKDVYDDGK YVYLVMELMRGGELLDRILRQRCFSEREASDVLYTIARTMDYLHSQGVVHRDLKPSNILY MDESGNPESIRICDFGFAKQLRAENGLLMTPCYTANFVAPEVLKRQGYDAACDVWSLGIL LYTMLAGFTPFANGPDDTPEEILARIGSGKYALSGGNWDSISDAAKDVVSKMLHVDPQQR LTAVQVLKHPWIVNREYLSQNQLSRQDVHLVKGAMAATYFALNRTPQAPRLEPVLSSSLA QRRGMKRLTSTRL >ENSMUSP00000041925.1 pep:known chromosome:GRCm38:16:18776847:18778260:1 gene:ENSMUSG00000041378.1 transcript:ENSMUST00000043577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn5 description:claudin 5 [Source:MGI Symbol;Acc:MGI:1276112] MGSAALEILGLVLCLVGWVGLILACGLPMWQVTAFLDHNIVTAQTTWKGLWMSCVVQSTG HMQCKVYESVLALSAEVQAARALTVGAVLLALVALFVTLTGAQCTTCVAPGPVKARVALT GGALYAVCGLLALVPLCWFANIVVREFYDPTVPVSQKYELGAALYIGWAASALLMCGGGL VCCGAWVCTGRPEFSFPVKYSAPRRPTANGDYDKKNYV >ENSMUSP00000129771.1 pep:known chromosome:GRCm38:18:46165302:46198818:-1 gene:ENSMUSG00000033949.11 transcript:ENSMUST00000167364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim36 description:tripartite motif-containing 36 [Source:MGI Symbol;Acc:MGI:106264] MEGDASDSQVTIKNIEKELICPACKELFTHPLILPCQHSVCHKCVKELLLSLDDSFNDVA SDSSNQSSPRLRLTSPSMDKIDKINRPGWKRNSLTPRPTTFPCPGCEHDVDLGERGVSGL FRNFTLETIVERYRQAARAATAIMCDLCKPPPQESTKSCMDCSASYCNECFKIYHPWGTV KAQHEYVGPTTNFRPKVLMCPEHETERINMYCELCRRPVCHLCKLGGNHSNHRVTTMSSA YKTLKEKLSKDIDFLIGKESQVKSQISELNLLMKETECNGERAKEEALAHFEKLFEILED RKSSVLKAIDASKKLRLDKFHTQMEEYQGLLENNGLVGYAQEVLKETDQSCFVQTAKQLH LRIQKATESLKSFRPAAQASFEDYVVNISKQTEVLGELSFFSSGIDIPEINEEQSKVYNN ALIDWHHPEKDKADSYVLEYRKINRDEEMISWNEIEVHGTSKVVSNLESNSPYAFRVRAY RGSICSPCSRELILHTPPAPVFSFLFDEKCGYNTEHLLLNLKRDRVESRAGFNVLLAAER IQVGHYTSLDYIIGDVGVTKGKHFWACRVEPYSYLVKVGVASSDKLQEWLRSPRDAASPR YEQDSGHDSGSEDACFDSSQPFTLVTIGMKKFFIPKSPTSSNEPENRVLPMPTSIGIFLD CDKGKVSFYDMDHMKCLYERQVDCSHTMYPAFALMGSGGIQLEEAITAKYLEYEEDV >ENSMUSP00000037978.4 pep:known chromosome:GRCm38:18:46165302:46212607:-1 gene:ENSMUSG00000033949.11 transcript:ENSMUST00000037011.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim36 description:tripartite motif-containing 36 [Source:MGI Symbol;Acc:MGI:106264] MSESEEISEFGYIMELLAKGKVTIKNIEKELICPACKELFTHPLILPCQHSVCHKCVKEL LLSLDDSFNDVASDSSNQSSPRLRLTSPSMDKIDKINRPGWKRNSLTPRPTTFPCPGCEH DVDLGERGVSGLFRNFTLETIVERYRQAARAATAIMCDLCKPPPQESTKSCMDCSASYCN ECFKIYHPWGTVKAQHEYVGPTTNFRPKVLMCPEHETERINMYCELCRRPVCHLCKLGGN HSNHRVTTMSSAYKTLKEKLSKDIDFLIGKESQVKSQISELNLLMKETECNGERAKEEAL AHFEKLFEILEDRKSSVLKAIDASKKLRLDKFHTQMEEYQGLLENNGLVGYAQEVLKETD QSCFVQTAKQLHLRIQKATESLKSFRPAAQASFEDYVVNISKQTEVLGELSFFSSGIDIP EINEEQSKVYNNALIDWHHPEKDKADSYVLEYRKINRDEEMISWNEIEVHGTSKVVSNLE SNSPYAFRVRAYRGSICSPCSRELILHTPPAPVFSFLFDEKCGYNTEHLLLNLKRDRVES RAGFNVLLAAERIQVGHYTSLDYIIGDVGVTKGKHFWACRVEPYSYLVKVGVASSDKLQE WLRSPRDAASPRYEQDSGHDSGSEDACFDSSQPFTLVTIGMKKFFIPKSPTSSNEPENRV LPMPTSIGIFLDCDKGKVSFYDMDHMKCLYERQVDCSHTMYPAFALMGSGGIQLEEAITA KYLEYEEDV >ENSMUSP00000059678.6 pep:known chromosome:GRCm38:15:25984366:25998482:1 gene:ENSMUSG00000052253.5 transcript:ENSMUST00000061875.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp622 description:zinc finger protein 622 [Source:MGI Symbol;Acc:MGI:1289282] MAALTCITCRVAFRDAELQRAHYKTDWHRYNLRRKVAAMAPVTAEGFQERVRAQRAVAEA AEASKGAATYCTACGKKFATFNAYENHLGSRRHAELERKAVRAASRRVELLNAKNLEKGL GADGVDKDAVNAAIQQAIKAQPSTSPKKAPFVPTDECGRAAAGARGVPERDPTEKPPRLQ WFEQQAKKLAKQQWEDGEEEGEEEEEDDEDEDWEDIDSDDGLECEDPGVEDQDAEDAAAE ESPPLGAIPITDCLFCSHHSSSLVKNVAHMTKVHSFFIPDIEYLSDLKGLIKYLGEKVGV GKICLWCNEKGKSFYSTEAVQAHMNDKSHCKLFTDGDAALEFADFYDFRSSYPDYKEGQD PAELEALSTDKILECDDETMELILPSGARVGHRSLMRYYKQRFGLPRAVTVARNQKAVGR VLQQYRALGWMGSTGAALMRERDMQYVQRMKSKWMLKIGMKNNATKQMHFRAQVRF >ENSMUSP00000130802.1 pep:known chromosome:GRCm38:17:48410014:48417254:1 gene:ENSMUSG00000040771.13 transcript:ENSMUST00000167180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oard1 description:O-acyl-ADP-ribose deacylase 1 [Source:MGI Symbol;Acc:MGI:2146818] MATRLNEDPEGSRITYVKGDLFACPKTDSLAHCISEDCRMGAGIAVLFKKRFGGVQELLS QQKKSGEVAVLKRDGRYIYYLITKKRASHKPTYENLQKSLEAMKSHCLKNGVTDLSMPRI GCGLDRLQWENVSAILEEVFESTDIKITVYTL >ENSMUSP00000039280.6 pep:known chromosome:GRCm38:17:48410080:48417266:1 gene:ENSMUSG00000040771.13 transcript:ENSMUST00000046651.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oard1 description:O-acyl-ADP-ribose deacylase 1 [Source:MGI Symbol;Acc:MGI:2146818] MATRLNEDPEGSRITYVKGDLFACPKTDSLAHCISEDCRMGAGIAVLFKKRFGGVQELLS QQKKSGEVAVLKRDGRYIYYLITKKRASHKPTYENLQKSLEAMKSHCLKNGVTDLSMPRI GCGLDRLQWENVSAILEEVFESTDIKITVYTL >ENSMUSP00000057106.5 pep:known chromosome:GRCm38:10:19356560:19358606:1 gene:ENSMUSG00000045591.5 transcript:ENSMUST00000053225.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olig3 description:oligodendrocyte transcription factor 3 [Source:MGI Symbol;Acc:MGI:2149955] MNSDSSSVSSRASSPDMDEMYLRDHHHRHHHHHQESRLNSVSSTQGDMVQKMPGESLSRA GAKAAGESSKYKIKKQLSEQDLQQLRLKINGRERKRMHDLNLAMDGLREVMPYAHGPSVR KLSKIATLLLARNYILMLTSSLEEMKRLVGEIYGGHHSAFHCGTVGHSAGHPAHAANAVH PVHPILGGALSSGNASSPLSATSLPTIGTIRPPHSLLKAPSTPPALQLGSGFQHWAGLPC PCTICQMPPPPHLSALSTANMARLSAESKDLLK >ENSMUSP00000064080.6 pep:known chromosome:GRCm38:10:25991186:26079052:-1 gene:ENSMUSG00000049420.8 transcript:ENSMUST00000066049.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem200a description:transmembrane protein 200A [Source:MGI Symbol;Acc:MGI:1924470] MIATGGVITGLAALKRQDSARSQQHINLSPLPATQDQKPVRRRPRADVVVVRGKIRLYSP SGFFLILGVLVSIIGIAMAVLGYWPQKEHFIDAETTLSTNETQVVRNQGGVVVRFFEQHL HSDKMKMLGPFTMGIGIFIFICANAILHENRDKETKIIHMRDIYSTVIDIHTLRLKEQKQ ANGLYAGLLGDTEVKQNGSPCASRLAATTLASFSGMRNSFRVDSSVEEDELMLTESKSLG HLMPPLLSDSAVSVFGLYPPPAKATDDKASSSKKCDTKSIVSSSISAFTLPVIKLNNCVI DEPSIDSITEVADNLKTRSRNLSMDSLVVPLPSSGESFQPAVTLLPRNNSVGESLSSQYK SSVALGPGTGQLLSPGAARRQFGSNTSLHLLSSHSKSLDLDRGPSTLTVHAEQRKHPSWP RLDRSNSKGYMRLENKEDPMDRLLVPQTAIKKDFTNKEKLLMISRSHNNLSFEHDEFLSN NLKRGTSETRF >ENSMUSP00000079737.6 pep:known chromosome:GRCm38:16:17651221:17722930:-1 gene:ENSMUSG00000012114.16 transcript:ENSMUST00000080936.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med15 description:mediator complex subunit 15 [Source:MGI Symbol;Acc:MGI:2137379] MDVSGQETDWRSAAFRQKLVSQIEDAMRKAGVAHSKSSKDMESHVFLKAKTRDEYLSLVA RLIIHFRDIHNKKSQASVSDPMNALQSLTGGPTPGAAGIGMPPRGPGQSLGGMGGLGAMG QPLPLSGQPPPGTSGMAPHGMAVVSTATPQTQLQLQQVALQQQQQQQQQQQFQQQQAALQ QQQQQQQQQQQQQQFQAQQNAMQQQFQAVVQQQQLQQQQQQQHLIKLHHQSQQQQIQQQQ LQRMAQLQLQQQQQQQQQQALQAQPPMQQPSMQQPQPPPSQALPQQLSQLHHPQHHQPPP QAQQSPIAQNQPPQIPPQSQSQPLVSQAQALPGPMLYAAQQQLKFVRAPMVVQQPQVQPQ VQQVQPQVQPQAAVQAAQSAQMVAPGVQVSQSSLTMLSSPSPGQQVQTPQSMPPPPQPSP QPGSQPNSNVSSGPAPSPSSFLPSPSPQPSQSPVTARTPQNFSVPSPGPLNTPVNPSSVM SPAGSSQAEEQQYLDKLKQLSKYIEPLRRMINKIDKNEDRKKDLSKMKSLLDILTDPSKR CPLKTLQKCEIALEKLKNDMAVPTPPPPPVLPTKQQDLCQPLLDAVLANIRSPVFNHSLY RTFVPAMMAIHGPPIVSPVVCSRKRRFEEDERQSIPNVLQGEVARLDPKFLVNLDPSHCS NNGTVHLICKLDDKDLPSVPPLELSVPADYPAQSPMWIDRQWQYDANPFLQSVHRCMTSR LLQLPDKHSVTALLNTWAQSIHQACLSAA >ENSMUSP00000012259.7 pep:known chromosome:GRCm38:16:17651221:17722930:-1 gene:ENSMUSG00000012114.16 transcript:ENSMUST00000012259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med15 description:mediator complex subunit 15 [Source:MGI Symbol;Acc:MGI:2137379] MDVSGQETDWRSAAFRQKLVSQIEDAMRKAGVAHSKSSKDMESHVFLKAKTRDEYLSLVA RLIIHFRDIHNKKSQASVSDPMNALQSLTGGPTPGAAGIGMPPRGPGQSLGGMGGLGAMG QPLPLSGQPPPGTSGMAPHGMAVVSTATPQTQLQLQQVALQQQQQQQQQQQFQQQQAALQ QQQQQQQQQQQQQQFQAQQNAMQQQFQAVVQQQQLQQQQQQQHLIKLHHQSQQQQIQQQQ LQRMAQLQLQQQQQQQQQQALQAQPPMQQPSMQQPQPPPSQALPQQLSQLHHPQHHQPPP QAQQSPIAQNQPPQIPPQSQSQPLVSQAQALPGPMLYAAQQQLKFVRAPMVVQQPQVQPQ VQQVQPQVQPQAAVQAAQSAQMVAPGVQMIAEALAQGGMHVRARFPPTSTMSAGPSSSIS LGGQPTTQVSQSSLTMLSSPSPGQQVQTPQSMPPPPQPSPQPGSQPNSNVSSGPAPSPSS FLPSPSPQPSQSPVTARTPQNFSVPSPGPLNTPVNPSSVMSPAGSSQAEEQQYLDKLKQL SKYIEPLRRMINKIDKNEDRKKDLSKMKSLLDILTDPSKRCPLKTLQKCEIALEKLKNDM AVPTPPPPPVLPTKQQDLCQPLLDAVLANIRSPVFNHSLYRTFVPAMMAIHGPPIVSPVV CSRKRRFEEDERQSIPNVLQGEVARLDPKFLVNLDPSHCSNNGTVHLICKLDDKDLPSVP PLELSVPADYPAQSPMWIDRQWQYDANPFLQSVHRCMTSRLLQLPDKHSVTALLNTWAQS IHQACLSAA >ENSMUSP00000131993.1 pep:known chromosome:GRCm38:3:67365461:67375163:-1 gene:ENSMUSG00000090408.1 transcript:ENSMUST00000166353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17402 description:predicted gene, 17402 [Source:MGI Symbol;Acc:MGI:4937036] RRRRRRRRRRRRGRRRGRRRGRRRGRRRSSRRRRRKRRKKRRRRRRRKRRRRRRRRRRDR ERKRETGRQREKERDR >ENSMUSP00000040356.6 pep:known chromosome:GRCm38:8:25944459:25976744:-1 gene:ENSMUSG00000037260.7 transcript:ENSMUST00000037609.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgsnat description:heparan-alpha-glucosaminide N-acetyltransferase [Source:MGI Symbol;Acc:MGI:1196297] MTGGSSSRRRRAEERSSAAGTERNSRREAVGGMGAGPALAALLLAGSVLSATLLAPGRRA EPDLDEKRNVELKMDQALLLIHNELLGTSLTVYWKSDDCYQCTFQPLANVSHGGKPAKPS VAPVSVSTQHGSILQVNSTSEERAACRLEYKFGEFGNYSLLVQHASSGANKIACDIIVNE NPVDSNLPVSIAFLVGLALIVAVSLLRLLLSLDDVNNWISKTIASRETDRLINSELGSPS RADPLSADYQPETRRSSANRLRCVDTFRGLALVLMVFVNYGGGKYWYFKHSSWNGLTVAD LVFPWFVFIMGTSIFLSMTSILQRGCSKLKLLGKIVWRSFLLICIGVIIVNPNYCLGPLS WDKVRIPGVLQRLGVTYFVVAVLEFFFWKPVPDSCTLESSCFSLRDITSSWPQWLTILTL ESIWLALTFFLPVPGCPTGYLGPGGIGDLGKYPHCTGGAAGYIDRLLLGDNHLYQHPSST VLYHTEVAYDPEGVLGTINSIVMAFLGVQAGKILVYYKDQTKAILTRFAAWCCILGLISI VLTKVSANEGFIPINKNLWSISYVTTLSCFAFFILLILYPVVDVKGLWTGTPFFYPGMNS ILVYVGHEVLENYFPFQWKLADEQSHKEHLIQNIVATALWVLIAYVLYKKKLFWKI >ENSMUSP00000036289.8 pep:known chromosome:GRCm38:10:80855275:80868705:1 gene:ENSMUSG00000035206.9 transcript:ENSMUST00000035597.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sppl2b description:signal peptide peptidase like 2B [Source:MGI Symbol;Acc:MGI:1920468] MAAARLAAALLLLAAQVACEFGVLRVVSQTSRTRSRDYCILYNPQWAHLPHDLSKVSLLK LRDLSTTQLCSYLDVPAEDFTNQIALVARGNCTFYEKVRLAQGSGAHGLLIVSKEKLVPP GGNKTQYEEISIPVALLSHRDLQDIFRRFGREVMVALYAPSEPVMDYNMVIIFVMAVGTV AIGGYWAGSHDVKKYMKHKRDDGPEKQEDEAVDVTPVMICVFVVMCCFMLVLLYYFYDRL VYVIIGIFCLASSTGLYSCLAPFVRKLPFCTCRVPDNNLPYFHKRPQARMLLLALFCVTV SVVWGIFRNEDQWAWVLQDTLGIAFCLYMLKTIRLPTFKACTLLLLVLFIYDIFFVFITP FLTKSGNSIMVEVATGPSNSSTHEKLPMVLKVPRLNTSPLSLCDRPFSLLGFGDILVPGL LVAYCHRFDIQVQSSRIYFVACTIAYGLGLLVTFVALVLMQRGQPALLYLVPCTLLTSCT VALWRRELGAFWTGSGFAKDAPQTPWAATQGPVPPKAVGSSLSEQPPSEELAKSPLSTEE AGAADPAKDPDNPVASPLSPSNGDEAQPIPVVKPETSA >ENSMUSP00000126536.2 pep:known chromosome:GRCm38:10:3256208:3267771:-1 gene:ENSMUSG00000091618.2 transcript:ENSMUST00000170893.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H60c description:histocompatibility 60c [Source:MGI Symbol;Acc:MGI:3774845] MALLLLILESCSAGTYALNCKLTVKYRTLQGLCSVNGKTFLDFGDENHEGNATMLCPALY QSLTDISEVMWSLQSGNDALNVTTRSQYYQGEFIDGFWDINTDEQHSIYVYPLNKTWRES HSDNSSAMEQWKNKNLEKDIRNVLMVDFSCCLNKSSPHFREMPTLPTTAAHVDQPRSMAC KSSPFDGLIMILLIYIL >ENSMUSP00000040936.4 pep:known chromosome:GRCm38:10:40349308:40483818:1 gene:ENSMUSG00000038481.12 transcript:ENSMUST00000044672.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk19 description:cyclin-dependent kinase 19 [Source:MGI Symbol;Acc:MGI:1925584] MDYDFKAKLAAERERVEDLFEYEGCKVGRGTYGHVYKARRKDGKDEKEYALKQIEGTGIS MSACREIALLRELKHPNVIALQKVFLSHSDRKVWLLFDYAEHDLWHIIKFHRASKANKKP MQLPRSMVKSLLYQILDGIHYLHANWVLHRDLKPANILVMGEGPERGRVKIADMGFARLF NSPLKPLADLDPVVVTFWYRAPELLLGARHYTKAIDIWAIGCIFAELLTSEPIFHCRQED IKTSNPFHHDQLDRIFSVMGFPADKDWEDIRKMPEYPTLQKDFRRTTYANSSLIKYMEKH KVKPDSKVFLLLQKLLTMDPTKRITSEQALQDPYFQEDPLPTLDVFAGCQIPYPKREFLN EDEPEEKGDKNQPQQQNPHQQPAAPAQQTAAPPQAPPPQQSSAQTNGTAGGATAGGGGAG AGLQHSQDPGLNQVPPNKKPRIGPSGANSGGPVMPSDYQHSSSRLNYQSSVQGSSQSQST LGYSSSQQSTQYHSSHQTHRY >ENSMUSP00000093414.2 pep:known chromosome:GRCm38:10:40349308:40483818:1 gene:ENSMUSG00000038481.12 transcript:ENSMUST00000095743.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk19 description:cyclin-dependent kinase 19 [Source:MGI Symbol;Acc:MGI:1925584] MDYDFKAKLAAERERVEDLFEYEGCKVGRGTYGHVYKARRKDGKDEKEYALKQIEGTGIS MSACREIALLRELKHPNVIALQKVFLSHSDRKVWLLFDYAEHDLWHIIKFHRASKANKKP MQLPRSMVKSLLYQILDGIHYLHANWVLHRDLKPANILVMGEGPERGRVKIDIWAIGCIF AELLTSEPIFHCRQEDIKTSNPFHHDQLDRIFSVMGFPADKDWEDIRKMPEYPTLQKDFR RTTYANSSLIKYMEKHKVKPDSKVFLLLQKLLTMDPTKRITSEQALQDPYFQEDPLPTLD VFAGCQIPYPKREFLNEDEPEEKGDKNQPQQQNPHQQPAAPAQQTAAPPQAPPPQQSSAQ TNGTAGGATAGGGGAGAGLQHSQDPGLNQVPPNKKPRIGPSGANSGGPVMPSDYQHSSSR LNYQSSVQGSSQSQSTLGYSSSQQSTQYHSSHQTHRY >ENSMUSP00000055427.7 pep:known chromosome:GRCm38:18:34732997:34751533:-1 gene:ENSMUSG00000044201.9 transcript:ENSMUST00000060710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc25c description:cell division cycle 25C [Source:MGI Symbol;Acc:MGI:88350] MSTGPIPPASEEGSFVSAPSFRSKQRKILHLLLERNTSFTIRSDFPESPKDKLHDSANLS ILSGGTPKCCLDLSNLSSGEMSASPLTTSADLEDNGSLDSSGPLDRQLTGKDFHQDLMKG IPVQLLCSTPNAMNHGHRKKIAKRSTSAHKENINTSLKALEWEAPRTPRFRKMPGGPLTS PLCELEMKHLGSPITTVPKLSQNVKLEDQERISEDPMECSLGDQDAKGLSLRKMVPLCDM NAIQMEEEESGSELLIGDFSKVCVLPTVPGKHPDLKYISPDTVAALLSGKFQSVIERFYI IDCRYPYEYLGGHILGALNLHSQKELHEFFLRKPVVPLDIQKRVIIVFLCEFSSERGPRM CRSLREKDRALNQYPALYYPELYILKGGYRDFFPEYMELCDPQSYCPMLHQDHQAELLSW RSQSKAQEGERQLQGQIALLVKGASPQ >ENSMUSP00000128446.1 pep:known chromosome:GRCm38:8:22787354:22805654:-1 gene:ENSMUSG00000031539.5 transcript:ENSMUST00000163739.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3m2 description:adaptor-related protein complex 3, mu 2 subunit [Source:MGI Symbol;Acc:MGI:1929214] MIHSLFLINSSGDIFLEKHWKSVVSRSVCDYFFEAQERATEAENVPPVIPTPHHYLLSVY RHKIFFVAVIQTEVPPLFVIEFLHRVVDTFQDYFGVCSEPVIKDNVVVVYEVLEEMLDNG FPLATESNILKELIKPPTILRTVVNTITGSTNVGDQLPTGQLSVVPWRRTGVKYTNNEAY FDVVEEIDAIIDKSGSTVTAEIQGVIDACVKLTGMPDLTLSFMNPRLLDDVSFHPCVRFK RWESERILSFIPPDGNFRLLAYHVSAQNLVAIPVYVKHSISFRDSSSLGRFEITVGPKQT MGKTIEGVIVTSQMPKGVLNMSLTPSQGTHTFDPVTKMLSWDVGKINPQKLPSLKGTMGL QVGASKPDENPTINLQFKIQQLAISGLKVNRLDMYGEKYKPFKGIKYMTKAGKFQVRT >ENSMUSP00000046212.9 pep:known chromosome:GRCm38:14:37120444:37135139:-1 gene:ENSMUSG00000041028.15 transcript:ENSMUST00000042564.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghitm description:growth hormone inducible transmembrane protein [Source:MGI Symbol;Acc:MGI:1913342] MLAARLVCLRTLPSRVFQPTFITKASPLVKNSITKNQWLVTPSREYATKTRIRTHRGKTG QELKEAALEPSMEKIFKIDQMGRWFVAGGAAVGLGALCYYGLGMSNEIGAIEKAVIWPQY VKDRIHSTYMYLAGSIGLTALSALAVARTPALMNFMMTGSWVTIGATFAAMIGAGMLVHS ISYEQSPGPKHLAWMLHSGVMGAVVAPLTILGGPLLLRAAWYTAGIVGGLSTVAMCAPSE KFLNMGAPLGVGLGLVFASSLGSMFLPPTSVAGATLYSVAMYGGLVLFSMFLLYDTQKVI KRAEITPMYGAQKYDPINSMLTIYMDTLNIFMRVATMLATGSNRKK >ENSMUSP00000129712.2 pep:known chromosome:GRCm38:14:37120444:37135322:-1 gene:ENSMUSG00000041028.15 transcript:ENSMUST00000165649.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghitm description:growth hormone inducible transmembrane protein [Source:MGI Symbol;Acc:MGI:1913342] MLAARLVCLRTLPSRVFQPTFITKASPLVKNSITKNQWLVTPSREYATKTRIRTHRGKTG QELKEAALEPSMEKIFKIDQMGRWFVAGGAAVGLGALCYYGLGMSNEIGAIEKAVIWPQY VKDRIHSTYMYLAGSIGLTALSALAVARTPALMNFMMTGSWVTIGATFAAMIGAGMLVHS ISYEQSPGPKHLAWMLHSGVMGAVVAPLTILGGPLLLRAAWYTAGIVGGLSTVAMCAPSE KFLNMGAPLGVGLGLVFASSLGSMFLPPTSVAGATLYSVAMYGGLVLFSMFLLYDTQKVI KRAEITPMYGAQKYDPINSMLTIYMDTLNIFMRVATMLATGSNRKK >ENSMUSP00000049186.8 pep:known chromosome:GRCm38:17:24693191:24696156:-1 gene:ENSMUSG00000040888.9 transcript:ENSMUST00000046839.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfer description:growth factor, erv1 (S. cerevisiae)-like (augmenter of liver regeneration) [Source:MGI Symbol;Acc:MGI:107757] MAAPSEPAGFPRGSRFSFLPGGARSEMTDDLVTDARGRGARHRDDTTPAAAPAPQGLEHG KRPCRACVDFKSWMRTQQKRDIKFREDCPQDREELGRHTWAFLHTLAAYYPDRPTPEQQQ DMAQFIHIFSKFYPCEECAEDIRKRIGRNQPDTSTRVSFSQWLCRLHNEVNRKLGKPDFD CSRVDERWRDGWKDGSCD >ENSMUSP00000023157.4 pep:known chromosome:GRCm38:16:4941415:4964237:-1 gene:ENSMUSG00000022515.5 transcript:ENSMUST00000023157.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anks3 description:ankyrin repeat and sterile alpha motif domain containing 3 [Source:MGI Symbol;Acc:MGI:1919865] MSELSDEASEPELLKRSLSMWHGLGAQGSPEELDVPLDLHTAASIGQHEVVKECVQRGEL DLNKKNGGGWTALMYASYIGHDTIVHLLLEAGVSVNVPTPEGQTPLMLASSCGNESIAYF LLQQGAELEMKDIHGWTALFHCTSAGHQQMVKFLLESGANANVREPVYGYTPLMEAAASG HEIIVQYFLNHGVKVDTRDHSGATACMLARQFGHMKIVALMETHSPVLPKSLYRSPEKYE DLSSSDESWPVPQRQRPCRKKGLSIHEGPRALAKITAIGLGGKTQTTYEQVPPRGYVTFT SSDENTMESEGLCYRDVTSPINEQDVESSSSSSREEPTFCASLGPVWRSSSSDGLARAQG LSSEASIESNEDSDHARKSSVRKQTRSYLKNKSRHGNSDGHWPSSTGPASIPGSEPQTEK SPYSGPQDLATLLEQIGCLKYLQVFEEQDIDLRIFLTLTESDLKEIGITLFGPKRKMTSA IARWHSSARPPSDALELAYADRLETEMQELAIQLHKCCEEAEALRGQVSQEQELRAVVES CLLEQDSARKDIHAQLQEAQTLAQDAALVLDQLRACQAELSARLRQHHSPREGTPNPHFL SADSKGWPIPLQALSLPELSGALEDRVHEMGQALCSVTQSLEKLQMLNAKKWREP >ENSMUSP00000039528.8 pep:known chromosome:GRCm38:17:25959556:25985680:-1 gene:ENSMUSG00000037326.9 transcript:ENSMUST00000041641.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn15 description:calpain 15 [Source:MGI Symbol;Acc:MGI:1355075] MATVGEWSCARCTFLNPAGQRQCSICEAPRHKPDLDQILRLSVEEQKWPCARCTFRNFLG KEACEVCGFTPEPVPGAPLLPIINGVLPKPPTILVEPKGSGKEEAGPVRTAGLVATEPAR GRPEGEEEREERGEEEEKEQEGEGERAEPGSGWACQRCTLHNTPVASSCSACGGPRKLSL PRIPPEALVVPEVVAPTGFHVVPAPSQPVLPGEGAEADSPSTSQGPTSTDQEPPRVPLFS PFSPTLQNNPVPRSRREVPPQLQPPVPEAVQSSASTSSKGPQQGPGRAAAGASRLAELLS GKELLPGKRLSVLEEEVPESSPARCESCSDVIDLAGDIVRYTPASPSSPDFTTWSCARCT LRNPTTAPRCSVCGGSKLHGFQEHSEPPTHCPDCGANKPGPCVGSCGRAPSAHKAVRLLP DRPGQWACPACTLINTPRAKHCAACHTPQLLVTQCRGATPLRRRESMHVEKRRQTDEGEA KALWENIVAFCRENSVNFVDDSFPPGPASVGFPVGDSVQQRVKQWLRPHEINCSVFRDHG TPWSVFHTLRPSDILQGLLGNCWFLSALAVLAERPDLVERVMVTRSLCAEGAYQVRLCKD GTWTTVLVDDMLPCDEAGFLLFSQAQRKQLWVALIEKALAKLHGSYFALQAGRAIEGLAT LTGAPCESLALQVSSTNPREEPVDTDLIWAKMLSSKEAGFLMGASCGGGNMKVDDAAYES LGLRPRHAYSVLDVRDVQGSRLLRLRNPWGRFSWNGSWSDEWPHWPGHLRAELMPHGSSE GVFWMEYSDFIRYFDSVDICKVHSDWQEARVQGCFPSTAGGPVGVTALTVLERASLEFAL FQEGSRRSDSVDSHLLDLCILVFRATFGTGGRLSLGRLLAHSKRAVKKFVNCDVMLEPGE YAVVCCAFNHWNPAPPGPPAQASSPSAGVPRGAPEPPGHVLAVYSSRLVMVEPVEAQPTT LADAIILLTESRGERHEGREGMTCYYLTHGWAGLIVVVENRHPKSYLHVQCDCTDSFNVV STRGSLRTQDSVPPLHRQVLVILSQLEGNAGFSITHRLAHRKAAQAFLSDWTASRGTHSP PLTPDVAGLHGPRPL >ENSMUSP00000002444.7 pep:known chromosome:GRCm38:17:56775897:56831008:-1 gene:ENSMUSG00000024206.14 transcript:ENSMUST00000002444.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx2 description:regulatory factor X, 2 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:106583] MQNSEGGADSPASVALRPAAQPMPASPQRVLVQAAGSTPKGTPMQTLTLPRVQPVPPQVQ HVYPAQVQYVEGGDAVYANGAIRAAYAYNPDPQLYAPSSAASYFETPGGTQVTVAASSPP AVPSHGMVGITMDVSGTPIVSGAGAYLIHGGMDGTRHSLAHTARSSPATLEMAIETLQKS EGLAPHKGGLLNSHLQWLLDNYETAEGVSLPRSSLYNHYLRHCQEHKLEPVNAASFGKLI RSVFMGLRTRRLGTRGNSKYHYYGIRLKPDSPLNRLQEDTQYMAMRQQPTHQKPRYRPAQ KSDSLGDGSAHSNMHGMPDQAMATQGQHHQQYIDVSHVFPEFPAPDLGSTLLQESVTLHD VKALQLVYRRHCEATLDVVMNLQFQYIEKLWLSFWNCKATSSDSCASLPASDEDPEVTLL PKEKLISLCKCEPILQWMRSCDHILYQTLVETLIPDVLRPVPSSLTQAIRNFAKSLEGWL INAMSGFPQQVIQTKVGVVSAFAQTLRRYTSLNHLAQAARAVLQNTSQINQMLSDLNRVD FANVQEQASWVCQCEESLVQRLEHDFKVTLQQQSSLDQWASWLDNVVTQVLKQHSGSPSF PKAARQFLLKWSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMFYLVEHRVAQATGETP IAVMGEFNDLASLSLTLLDKEDIGDGHSSEADVDGRSLGEPLVKRERSDPSHPLQGI >ENSMUSP00000084010.5 pep:known chromosome:GRCm38:17:56775897:56831008:-1 gene:ENSMUSG00000024206.14 transcript:ENSMUST00000086801.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx2 description:regulatory factor X, 2 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:106583] MQNSEGGADSPASVALRPAAQPMPASPQRVLVQAAGSTPKGTPMQTLTLPRVQPVPPQVQ HVYPAQVQYVEGGDAVYANGAIRAAYAYNPDPQLYAPSSAASYFETPGGTQVTVAASSPP AVPSHGMVGITMDVSGTPIVSGAGAYLIHGGMDGTRHSLAHTARSSPATLQWLLDNYETA EGVSLPRSSLYNHYLRHCQEHKLEPVNAASFGKLIRSVFMGLRTRRLGTRGNSKYHYYGI RLKPDSPLNRLQEDTQYMAMRQQPTHQKPRYRPAQKSDSLGDGSAHSNMHGMPDQAMATQ GQHHQQYIDVSHVFPEFPAPDLGSTLLQESVTLHDVKALQLVYRRHCEATLDVVMNLQFQ YIEKLWLSFWNCKATSSDSCASLPASDEDPEVTLLPKEKLISLCKCEPILQWMRSCDHIL YQTLVETLIPDVLRPVPSSLTQAIRNFAKSLEGWLINAMSGFPQQVIQTKVGVVSAFAQT LRRYTSLNHLAQAARAVLQNTSQINQMLSDLNRVDFANVQEQASWVCQCEESLVQRLEHD FKVTLQQQSSLDQWASWLDNVVTQVLKQHSGSPSFPKAARQFLLKWSFYSSMVIRDLTLR SAASFGSFHLIRLLYDEYMFYLVEHRVAQATGETPIAVMGEFNDLASLSLTLLDKEDIGD GHSSEADVDGRSLGEPLVKRERSDPSHPLQGI >ENSMUSP00000128070.1 pep:known chromosome:GRCm38:18:14968685:15063582:-1 gene:ENSMUSG00000036225.14 transcript:ENSMUST00000168989.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd1 description:potassium channel tetramerisation domain containing 1 [Source:MGI Symbol;Acc:MGI:1918269] MARMPGSGDCNTSAGGSAAAAAENNGDRGEGERGAGGRGRRYGRPHYCSAGEEEEEEEEE DEIQEVQITGDEEEDGGGGLEEDEEEEEEEEMGMDWEEPLEPEDSAGEELEPEPVHMIHM DQSAALEPEAPPRLLAPRARAGPPGDSAELDPDVLQRPERARLSENTRLATRYAVRIFRE YLSEKAQSPDFETMDKGALCRVLRSFYAEARSKSGQLYSKSSLISIRSSLNRYLNEPPYC RTLDLTKDPELRSANLTLAAVIRKLEEQGAGPVVQKQAITRADLRKLYTSSVFSTNTPFG LLNKVWFETCMYFCTRGRENQRELEEDSFGLAMDEDGRKFVYFKSLGPYHKSRSSSWSKK RAESSDEENLPRMYETGTEFCPYASFVKYLSKRNPLCKAFFQRPRDHCSEGDVTWYENKA IGKNLLGTRMQMLSKAAKLSKTYTNHCIGAVSIATLNSIAGIGTKLGSPAPQGCYTDSLN GSARHHSHHAPTHPSHHHRPQPPSLGNTYILPKDSQVGPDVKSEAAPKRTLYESVFGSGE ICGPSSPKRLCIRPSSEPVDAVVVVSVKHDPLPLLPEVNGHRSTNSPTIVSPAIVSPAQD SRPNMSRPLITRSPASPLNNQGIPTPAQLTKSNAPVHIDVGGHMYTSSLATLTKYPESRI GRLFDGTEPIVLDSLKQHYFIDRDGQMFRYILNFLRTSKLLIPDDFKDYTLLYEEAKYFQ LQPMLLEMERWKQDRETGRFSRPCECLVVRVAPDLGERITLSGDKSLIEEVFPEIGDVMC NSVNAGWNHDSTHVIRFPLNGYCHLNSVQVLERLQQRGFEIVGSCGGGVDSSQFSEYVLR RELRRTPRVPSVIRIKQEPLD >ENSMUSP00000025992.6 pep:known chromosome:GRCm38:18:14968685:15151446:-1 gene:ENSMUSG00000036225.14 transcript:ENSMUST00000025992.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd1 description:potassium channel tetramerisation domain containing 1 [Source:MGI Symbol;Acc:MGI:1918269] MFQDSRPNMSRPLITRSPASPLNNQGIPTPAQLTKSNAPVHIDVGGHMYTSSLATLTKYP ESRIGRLFDGTEPIVLDSLKQHYFIDRDGQMFRYILNFLRTSKLLIPDDFKDYTLLYEEA KYFQLQPMLLEMERWKQDRETGRFSRPCECLVVRVAPDLGERITLSGDKSLIEEVFPEIG DVMCNSVNAGWNHDSTHVIRFPLNGYCHLNSVQVLERLQQRGFEIVGSCGGGVDSSQFSE YVLRRELRRTPRVPSVIRIKQEPLD >ENSMUSP00000105727.2 pep:known chromosome:GRCm38:15:64979544:65014904:-1 gene:ENSMUSG00000079024.2 transcript:ENSMUST00000110100.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21961 description:predicted gene, 21961 [Source:MGI Symbol;Acc:MGI:5439430] CNGICYDLCVHYHTHTYTHTHTHTHTHTHTHMHTHTHT >ENSMUSP00000087858.4 pep:known chromosome:GRCm38:15:9140621:9198318:1 gene:ENSMUSG00000039704.6 transcript:ENSMUST00000090380.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmbrd2 description:LMBR1 domain containing 2 [Source:MGI Symbol;Acc:MGI:2444173] MSGAALGLEIVFVFFLALFLLHRYGDFKKQHRLVIIGTLLAWYLCFLIVFILPLDVSTTI YNRCRHAAANSSPPENTNVTGLDASVTPAPRQHPCFKPWSYIPDGIMPIFWRVVYWTSQF LTWILLPFMQSYARSGGFSITGKIKTALIENAIYYGTYLLIFGAFLIYVAVNPRLHLEWN QLQTIGIAAANTWGLFLLVLLLGYGLVEIPRSYWNGAKRGYLLMKTYFKAAKLMTEKADA EENLEDVMEEVRKVNESIKYNHPLRKCVDTILKKCPTDYQEKMGRNMDDYEDFDEKRNTY PSEKSLVKLHKQVIYSVQRHRRTQVQWQILLEQAFYLEDVAKNETSATHQFVHTFQSPEP ENRFIQYFYNPTVEWYWECLLRPWFHRTLAVVLSIFSVIVVWSECTFFSTTPVLSLFAVF IQLAERTYNYIYIEIACFLSIFFLSICVYSTVFRIRVFNYYYLASHHQTDAYSLLFSGML FCRLTPPLCLNFLGLTHMDSSISHQNTQPTAYTSIMGSMKVLSFIADGFYIYYPMLVVIL CIATYFSLGTRCLNLLGFQQFMGDNDMTSDLVDEGKELIRREKRKRQRQEEGENRRREWK ERYGHNREDSTRNRNVHPDPKESNFSDTTTNWSSKYTRANNRTERDRIELLQDAEPLDFN AETFTDESLEPESGRYQPGGRYLSMSSSIIFEDI >ENSMUSP00000086589.4 pep:known chromosome:GRCm38:17:5492600:5753891:1 gene:ENSMUSG00000034265.7 transcript:ENSMUST00000089185.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc14 description:zinc finger, DHHC domain containing 14 [Source:MGI Symbol;Acc:MGI:2653229] MPPGGGGPMKDCEYSQISTHSSSPMESPHKKKKIAARRKWEVFPGRNKFFCNGRIMMARQ TGVFYLTLILILVTSGLFFAFDCRYLAEKITPAIPVVGGILFFFVMGTLLRTSFSDPGVL PRATPDEAADLERQIDIANGTSSGGYRPPPRTKEVVINGQTVKLKYCFTCKIFRPPRASH CSLCDNCVEQFDHHCPWVGNCVGKRNYRFFYMFILSLSFLTVFIFAFVITHVIHRSQQKG FLDALKDSPASVLEAVICFFSVWSIIGLSGFHTYLISSNQTTNEDIKGSWSNKRGKENYN PYSYGNIFTNCCVALCGPISPSLIDRRGYVQPDTPQPAAPSNGITMYGATQSQSDMCDQD QCIQSTKFVLQAAATPLLQSEPSLTSEELHMPGKPGLGTPCASLTLGQPTPPSSMPNLAT EATLSDIMPLKDEHGGHQFLTPDEAPSPPRMLGAGSPLAHSRTMHMLGLASQDSLHEDSV RGLVKLSSV >ENSMUSP00000090273.4 pep:known chromosome:GRCm38:10:30645584:30655867:-1 gene:ENSMUSG00000039697.15 transcript:ENSMUST00000092610.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa7 description:nuclear receptor coactivator 7 [Source:MGI Symbol;Acc:MGI:2444847] MRGRGLPLDIQIFYCARPDQEPFVKIITVEEAKRRKSTCSYYEEEEEEEEGLPILQPHSA LLENMHIEQLARRLPARVQGYPWRLAYSTLEHGTSLKTLYRKSASLDSPVLLVIKDMDNQ IFGAYATHPFKFSDHYYGTGETFLYTFSPNFKVFKWSGENSYFINGDISSLELGGGGGRF GLWLDADLYHGRSNSCSTFNNDILSKKEDFIVQDLEVWTFE >ENSMUSP00000066741.4 pep:known chromosome:GRCm38:10:30645584:30803107:-1 gene:ENSMUSG00000039697.15 transcript:ENSMUST00000068567.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa7 description:nuclear receptor coactivator 7 [Source:MGI Symbol;Acc:MGI:2444847] MDTKEEKKEQKERKQSYFARLKKKKQAKQNAEIVSAASSKSRSGKDDANSDILEQDKFNV TAEGDHSTDDKKKRKSNQLKEIRRTELKRYYSVDDNQNKTHDKKEKKMMVQKPQGTMEYT AGSQDTLNSVALKFNVTPNKLVELNKLFTHTIVPGQVLFVPDANISSSTIQLSSSTPGAT VSPSSSDAEYDKLPDADLARKALKPIERVLSSTSEEDEPGVVKFLKMNCRYFTDGKGVVG GVMIVTPNNIMFDPHKSDPLVIENGCEEYGLICPMEEVVSIALYSDISHMKIKDALPSDL PRDLCPLYRPGEWEDLASEKDINPFSKFKSINKEKRQQNGERTLALDAKSVRSPEESTER TCTRIEPPDNSELWKLKNLQSSRGTTSESPSVTQLSMRAALEPTAKENCLLKGDEDFVDL EELSSQPESGINKGDATKECLSYDQKKDGPHTVMSAKKGHVQSAQEVMGPESDTELKGAL DLETAEKQDEAPEVDKHSGSPENLGESTLNIHEDLDKIKLIEFYLNKNKEGSQLLENVQK SELSDRKSIEPGGIDITLSSSLPQAGDSPPEDNKEPGTLWVKGGETLPLKLDPSAEETVI NNKEVLDSLGSSLDKMCHSAQMDNKSEIQLWLLKRIQVPIEDILPSKEEKSKTPPMFLCI KVGKPMRKSFASHTATMVQQYSKRRKQPEYWFAVPRERVDHLYTFFVQWSPDVYGKDAKE QGFVVVEKEELNMIDNFFSEPTTKSWEIITVEEAKRRKSTCSYYEEEEEEEEGLPILQPH SALLENMHIEQLARRLPARVQGYPWRLAYSTLEHGTSLKTLYRKSASLDSPVLLVIKDMD NQIFGAYATHPFKFSDHYYGTGETFLYTFSPNFKVFKWSGENSYFINGDISSLELGGGGG RFGLWLDADLYHGRSNSCSTFNNDILSKKEDFIVQDLEVWTFE >ENSMUSP00000054102.4 pep:known chromosome:GRCm38:12:4843303:4852913:1 gene:ENSMUSG00000051721.4 transcript:ENSMUST00000053034.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC068281 description:cDNA sequence BC068281 [Source:MGI Symbol;Acc:MGI:3040699] MELGNGKLPRTGLNSLNQAVHPTWGLAWTDGNRVVLTDLQLHSGEAKFGDSRVIGRFESV CGVCWAPVRTVRSPALLAIQHRKLVSVWQLCPSTAGASKWQASQTSEVRESLPILPRGCV WHPKDAVLTVLTAQGVSIFPNVHQDGSRVKVDVNTKGRVYCACWTLDGQRLVVAIDSNLH SYIWDSSQKSLHSCSFCPVFPVNCSIRSIEATGNSQVAIATELPLHKLCSLNASEALDGP PNGDDGSVHTRPVDEQVATMDMNSGVTVSPFSVPLDLTHIHFNPSQAEQSSLICLRKKDY LTGTGQDSSHLILVTFKKAITITKKVAIPGILVPDLIAFNLTAELVAVASNTCNVILIYS AAPSSMPNIQQIQLESNERPKGICFLTDRLLLIAVGKQKPSEAAFLPSSEYGQYTVRLIV REMAQGSESSGTSAESQGAYSDFTALLSKADREKFTDSLSPGSSPLSQGLLLTPNSSTQS GRSGRALIQEIKSPLSPLSSDSVVHETLHRPPWLCPALPRPSRTPEHTSTPELNSPQREN LQKEKETCPLSRELEILSRHLVAMQQYLSELTGFLHKEKRVAPAYPPSQDAPYVHLIYQV QSFLRNFHYTGSSGIQSVLPATLWGRWGGRESEAQ >ENSMUSP00000082948.2 pep:known chromosome:GRCm38:12:4850146:4856925:1 gene:ENSMUSG00000051721.4 transcript:ENSMUST00000085793.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC068281 description:cDNA sequence BC068281 [Source:MGI Symbol;Acc:MGI:3040699] MELGNGKLPRTGLNSLNQAVHPTWGLAWTDGNRVVLTDLQLHSGEAKFGDSRVIGRFESV CGVCWAPVRTVRSPALLAIQHRKLVSVWQLCPSTAGASKWQASQTSEVRESLPILPRGCV WHPKDAVLTVLTAQGVSIFPNVHQDGSRVKVDVNTKGRVYCACWTLDGQRLVVAIDSNLH SYIWDSSQKSLHSCSFCPVFPVNCSIRSIEATGNSQVAIATELPLHKLCSLNASEALDGP PNGDDGSVHTRPVDEQVATMDMNSGVTVSPFSVPLDLTHIHFNPSQAEQSSLICLRKKDY LTGTGQDSSHLILVTFKKAITITKKVAIPGILVPDLIAFNLTAELVAVASNTCNVILIYS AAPSSMPNIQQIQLESNERPKGICFLTDRLLLIAVGKQKPSEAAFLPSSEYGQYTVRLIV REMAQGSESSGTSAESQGAYSDFTALLSKADREKFTDSLSPGSSPLSQGLLLTPNSSTQS GRSGRALIQEIKSPLSPLSSDSVVHETLHRPPWLCPALPRPSRTPEHTSTPELNSPQREN LQKEKETCPLSRELEILSRHLVAMQQYLSELTGFLHKEKRVAPAYPPSQDAPYVHLIYQN PCSVGPTERRAVLLCDGKLRLSTVQQMFGLHLVEMLHEVLSSIPSNHMVTHNLHGPGGLF WSV >ENSMUSP00000007042.5 pep:known chromosome:GRCm38:18:36744656:36757639:1 gene:ENSMUSG00000024474.5 transcript:ENSMUST00000007042.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ik description:IK cytokine [Source:MGI Symbol;Acc:MGI:1345142] MPERDSEPFSNPLAPDGHDVDDPHSFHQSKLTNEDFRKLLMTPRAAPTSAPPSKSRHHEM PREYNEDEDPAARRRKKKSYYAKLRQQEIERERELAEKYRDRAKERRDGVNKDYEETELI STTANYRAVGPTAEADKSAAEKRRQLIQESKFLGGDMEHTHLVKGLDFALLQKVRAEIAS KEKEEEELMEKPQKETKKDEDPENKIEFKTRLGRNVYRMLFKSKSYERNELFLPGRMAYV VDLDDEYADTDIPTTLIRSKADCPTMEAQTTLTTNDIVISKLTQILSYLRQGTRNKKLKK KDKGKLEEKKPPEADMNIFEDIGDYVPSTTKTPRDKERERYRERERDRERDRDRERDRER DRERERERDREREREEEKKRHSYFEKPKVDDEPMDVDKGPGSAKELIKSINEKFAGSAGW EGTESLKKPEDKKQLGDFFGMSNSYAECYPATMDDMAVDSDEEVDYSKMDQGNKKGPLGR WDFDTQEEYSEYMNNKEALPKAAFQYGIKMSEGRKTRRFKETNDKAELDRQWKKISAIIE KRKRMEADGVEVKRPKY >ENSMUSP00000003310.5 pep:known chromosome:GRCm38:10:58446852:58494155:1 gene:ENSMUSG00000003226.6 transcript:ENSMUST00000003310.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp2 description:RAN binding protein 2 [Source:MGI Symbol;Acc:MGI:894323] MRRSKADVERYIASVQGSAPSPREKSMKGFYFAKLYYEAKEYDLAKKYISTYINVQERDP KAHRFLGLLYEVEENIDKAVECYKRSVELNPTQKDLVLKIAELLCKNDVTDGRAKYWVER AAKLFPGSPAIYKLKEQLLDCKGEDGWNKLFDLIQSELYARPDDIHVNIRLVELYRSNKR LKDAVAHCHEADRNTALRSSLEWNLCVVQTLKEYLESLQCLDSDKSTWRATNKDLLLAYA NLMLLTLSTRDVQEGRELLESFDSALQSVKSSVGGNDELSATFLETKGHFYMHVGSLLLK MGQQSDIQWRALSELAALCYLVAFQVPRPKVKLIKGEAGQNLLETMAHDRLSQSGHMLLN LSRGKQDFLKEVVESFANKSGQSALCDALFSSQSSKERSFLGNDDIGNLDGQVPDPDDLA RYDTGAVRAHNGSLQHLTWLGLQWNSLSTLPAIRKWLKQLFHHLPQETSRLETNAPESIC ILDLEVFLLGVIYTSHLQLKEKCNSHHTSYQPLCLPLPVCRQLCTERQKTWWDAVCTLIH RKALPGTSAKLRLLVQREINSLRGQEKHGLQPALLVHWAQSLQKTGSSLNSFYDQREYIG RSVHYWRKVLPLLKMIRKKNSIPEPIDPLFKHFHSVDIQASEIGEYEEDAHITFAILDAV NGNIEDAMTAFESIKNVVSYWNLALIFHRKAEDIENDALSPEEQEECKNYLRKTRDYLIR ILDDSDSNTSVVQKLPVPLESVKEMLNSVMQELEDYSEGGTLYKNGCWRSADSELKHSTP SPTKYSLSPSKSYKYSPKTPPRWAEDQNSLLKMICQQVEAIKKEMQELKLNSNNSASPHR WPAEPYGQDPAPDGYQGSQTFHGAPLTVATTGPSVYYSQSPAYNSQYLLRPAANVTPTKG PVYGMNRLPPQQHIYAYSQQMHTPPVQSSSACMFSQEMYGPPLRFESPATGILSPRGDDY FNYNVQQTSTNPPLPEPGYFTKPPLVAHASRSAESKVIEFGKSNFVQPMQGEVIRPPLTT PAHTTQPTPFKFNSNFKSNDGDFTFSSPQVVAQPPSTAYSNSESLLGLLTSDKPLQGDGY SGLKPISGQASGSRNTFSFGSKNTLTENMGPNQQKNFGFHRSDDMFAFHGPGKSVFTTAA SELANKSHETDGGSAHGDEEDDGPHFEPVVPLPDKIEVKTGEEDEEEFFCNRAKLFRFDG ESKEWKERGIGNVKILRHKTSGKIRLLMRREQVLKICANHYISPDMKLTPNAGSDRSFVW HALDYADELPKPEQLAIRFKTPEEAALFKCKFEEAQNILKALGTNTSTAPNHTLRIVKES ATQDNKDICKADGGNLNFEFQIVKKEGPYWNCNSCSFKNAATAKKCVSCQNTNPTSNKEL LGPPLVENGFAPKTGLENAQDRFATMTANKEGHWDCSVCLVRNEPTVSRCIACQNTKSAS SFVQTSFKFGQGDLPKSVDSDFRSVFSKKEGQWECSVCLVRNERSAKKCVACENPGKQFK EWHCSLCSVKNEAHAIKCVACNNPVTPSLSTAPPSFKFGTSEMSKPFRIGFEGMFAKKEG QWDCSLCFVRNEASATHCIACQYPNKQNQPTSCVSAPASSETSRSPKSGFEGLFPKKEGE WECAVCSVQNESSSLKCVACEASKPTHKPHEAPSAFTVGSKSQSNESAGSQVGTEFKSNF PEKNFKVGISEQKFKFGHVDQEKTPSFAFQGGSNTEFKSIKDGFSFCIPVSADGFKFGIQ EKGNQEKKSEKHLENDPSFQAHDTSGQKNGSGVVFGQTSSTFTFADLAKSTSREGFQFGK KDPNFKGFSGAGEKLFSSQSGKVAEKANTSSDLEKDDDAYKTEDSDDIHFEPVVQMPEKV ELVTGEEDEKVLYSQRVKLFRFDAEISQWKERGLGNLKILKNEVNGKLRMLMRREQVLKV CANHWITTTMNLKPLSGSDRAWMWLASDFSDGDAKLEQLAAKFKTPELAEEFKQKFEECQ RLLLDIPLQTPHKLVDTGRAAKLIQRAEEMKSGLKDFKTFLTNDQVKVTDEENASSGADA PSASDTTAKQNPDNTGPALEWDNYDLREDALDDSVSSSSVHASPLASSPVRKNLFRFGES TTGFNFSFKSALSPSKSPAKLNQSGASVGTDEESDVTQEEERDGQYFEPVVPLPDLVEVS SGEENEQVVFSHRAKLYRYDKDVGQWKERGIGDIKILQNYDNKQVRIVMRRDQVLKLCAN HRITPDMTLQTMKGTERVWVWTACDFADGERKIEHLAVRFKLQDVADSFKKIFDEAKTAQ EKDSLITPHVSHLSTPRESPCGKIAIAVLEETTRERTDLTQGDEVIDTTSEAGETSSTSE TTPKAVVSPPKFVFGSESVKSIFSSEKSKPFAFGNSSATGSLFGFSFNAPLKNSNSEMTS RVQSGSEGKVKPDKCELPQNSDIKQSSDGKVKNLSAFSKENSSTSYTFKTPEKAQEKSKP EDLPSDNDILIVYELTPTPEQKALAEKLLLPSTFFCYKNRPGYVSEEEEDDEDYEMAVKK LNGKLYLDDSEKPLEENLADNDKECVIVWEKKPTVEERAKADTLKLPPTFFCGVCSDTDE DNGNGEDFQSELRKVCEAQKSQNEKVTDRVGIEHIGETEVTNPVGCKSEEPDSDTKHSSS SPVSGTMDKPVDLSTRKETDMEFPSKGENKPVLFGFGSGTGLSFADLASSNSGDFAFGSK DKNFQWANTGAAVFGTQTTSKGGEDEDGSDEDVVHNEDIHFEPIVSLPEVEVKSGEEDEE VLFKERAKLYRWDRDVSQWKERGIGDIKILWHTMKKYYRILMRRDQVFKVCANHVITKAM ELKPLNVSNNALVWTASDYADGEAKVEQLAVRFKTKEMTESFKKKFEECQQNIIKLQNGH TSLAAELSKDTNPVVFFDVCADGEPLGRIIMELFSNIVPQTAENFRALCTGEKGFGFKNS IFHRVVPDFICQGGDITKYNGTGGQSIYGDKFDDENFDLKHTGPGLLSMANYGQNTNSSQ FFITLKKAEHLDFKHVVFGFVKDGMDTVRKIESFGSPKGSVSRRICITECGQL >ENSMUSP00000100950.1 pep:known chromosome:GRCm38:10:82058417:82061329:1 gene:ENSMUSG00000061371.6 transcript:ENSMUST00000105313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp873 description:zinc finger protein 873 [Source:MGI Symbol;Acc:MGI:3040689] MGFAGFFPEESLQRCDAGNVQEPHCYRYICNCGYKPCENEDHGKKQCSFACNSFLQMYER IYNEEKAYECNQYSKAFVNFHSLPQHAKNHPREQPYECNHCGKAYVSPSSQIHKRSHVGE KPYECSKCGKAFENDNHLQNREKHHTLEKLFECSQCGKAFASRSYLPIHRRIHTGEKPYE CTQCGKAFTSRSYLKIHKSVHTGEKPYECNQCSKAFANFYSLRQHEKYHTREKPYECSHC SKAFPSPSSLQVHERTHTGEKPYDCTECGKAFISHSSLQIHKRVHTGEKPYECTLCGKAF VNRSYLEVHKRIHTGEKPYECSQCSKAFANFRSLRQHEKNHTREKPYECSQCSKAFVSPC HLQIHQRTHTGEKPYDCSDCGKAFTRLSNLQVHKRTHTGQKPYCCSQCGKAFPCFSNLQI HERTHTGEKPYACNQCGKAFASRASLQYHEKHHTGEKPYGCNQCGKAFVSPSHLQIHQRT HTGEKPYECSQCGKAFARRSNLQIHERSHTGEKPYDCNQCGKAFASRASLQYHEKHHTGE KPYGCSQCGKGFALRSLLQVHERTHTGEKPHQCTQCGKAFVSRSYLQVHRRAHAGEKRYE CIQCGKAFVYLTSLCSHRKFCAGEKPCGSA >ENSMUSP00000040089.5 pep:known chromosome:GRCm38:12:33957671:33959817:1 gene:ENSMUSG00000035799.5 transcript:ENSMUST00000049089.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Twist1 description:twist basic helix-loop-helix transcription factor 1 [Source:MGI Symbol;Acc:MGI:98872] MMQDVSSSPVSPADDSLSNSEEEPDRQQPASGKRGARKRRSSRRSAGGSAGPGGATGGGI GGGDEPGSPAQGKRGKKSAGGGGGGGAGGGGGGGGGSSSGGGSPQSYEELQTQRVMANVR ERQRTQSLNEAFAALRKIIPTLPSDKLSKIQTLKLAARYIDFLYQVLQSDELDSKMASCS YVAHERLSYAFSVWRMEGAWSMSASH >ENSMUSP00000089547.4 pep:known chromosome:GRCm38:18:40258361:40531168:1 gene:ENSMUSG00000051401.6 transcript:ENSMUST00000091927.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd16 description:potassium channel tetramerisation domain containing 16 [Source:MGI Symbol;Acc:MGI:1914659] MALSGNCSRYYPRDQGAAVPNSFPEVIELNVGGQVYFTRHSTLISIPHSLLWKMFSPKRD TANDLAKDSKGRFFIDRDGFLFRYILDYLRDRQVVLPDHFPERGRLKREAEYFQLPDLVK LLAPEDVKQSPDEFCHSDFEDASQGSDTRICPPSSLLPHDRKWGFITVGYRGSCTLGREG QADAKFRRVPRILVCGRISLAKEVFGETLNESRDPDRAPERYTSRFYLKFKHLERAFDML SECGFHMVACNSSVTASFVNQYTEDKIWSSYTEYVFYREPSRWSSSHCDCCCKNGKGDKG ESGTSCNDLSTSSCDSQSEASSPQETVICGPVTRQSNIQTLDRPIKKGPVQLIQQSEMRR KSDLLRTLTSGSRESNISSKKKAAKEKLSIEEELEKCIQDFLKIKIPDRFPERKHPWQSE LLRKYHL >ENSMUSP00000012161.3 pep:known chromosome:GRCm38:16:17797282:17808287:1 gene:ENSMUSG00000012017.3 transcript:ENSMUST00000012161.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scarf2 description:scavenger receptor class F, member 2 [Source:MGI Symbol;Acc:MGI:1858430] MEGAGSRGAGPARRQGARGLGLLLLLWLLPGLAAPQDLNPRGRNVCRTPGSQVLTCCAGW RQLGDECGIAVCEGNSTCSENEVCVRPGECRCRHGYFGANCDTKCPRQFWGPDCKERCSC HPHGQCEDVTGQCTCHARRWGARCEHACQCQHGTCHPRSGACRCEPGWWGAQCASACYCS ATSRCDPQTGACLCHVGWWGRSCNNQCACNSSPCEQQSGRCQCRERMFGARCDRYCQCSH GRCHPVDGTCACDPGYRGKYCREPCPAGFYGPGCRRRCGQCKGQQPCTVVEGRCLTCEPG WNGTKCDQPCATGFYGEGCGHRCPPCRDGHACNHVTGKCTHCNAGWIGDRCETKCSNGTY GEDCAFVCSDCGSGHCDFQSGRCLCSPGVHGPHCNVTCPAGLHGVDCAQACSCHEESCDP VTGACHLETNQRKGVMGAGALLTLLLGLLLSLLGCCCACRGKDSARRELTLGRKKAPQRF CGSFSRISMKLPRIPLRRQKLPKVVVAHHDLDNTLNCSFLDPPSGLEQPSPSWSSRASFS SFDTTDEGPVYCVPHEEATADSRDLEATAALTEVAAVSLEPTGTSTPGEEAAVLPASSDS ERSASSVEGPSGALYARVARREARPARTRNEAGGLSLSPSPERRKPPPPDPATKPKVSWI HGKHSAAAAAPSPPPAGRKAAPSPSGRKRTPSNSSVQPPGLTEEAPGPASPTPPRARARG RGLGLSEPTDAGGPPRSAPEAASMLAAELRDKTRSLGRAEKPPPPQKAKRSVLPAATVRT ASASEASGSEKAAASAPAPETPRKKTPIQKPPRKKSREAAGEPSRAGTAPGAS >ENSMUSP00000022344.2 pep:known chromosome:GRCm38:14:20319859:20348121:-1 gene:ENSMUSG00000021810.2 transcript:ENSMUST00000022344.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecd description:ecdysoneless homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1917851] MEGSGKLAMVEDAVEYHLFLIPDKARGTEEHREILQKYIERIMTQFAPILVPYIWQNQPF NLKYKPAKGGVPAHMYGMTKFGDNIEDEWFIVYVIKQITKEFPELVARVEDNDGEFLLIE AADFLPKWLDPDNSANRVFFHHGELCIIPVPRKSERIPWLPMTPPTIQQALSIISAHPEA VLASESIQAAVDRRVSGYPERVEASLHRAHCFLPAGIVAVLKQQPRLLSAAVQAFYLRDP IDLRACRVFKTFLPETRIMASVTFTKCLYAQLVQQKFVPDRRSGYGLPPPSHPQYRAYEL GMKLAHGFEILCSKCSPHFSDSRKSLVTASPLWASFLESLKRNDYFKGLMDGSAQYQERL EMAKNYFQLSIHRPESSLAMSPGEEILTVLQTQPFDVAELKTEEADLPPEDDDQWLDLSP DQLDQLLQDAAGRKESQPGPQKEELQNYDVAQVSDSMKAFISKVSSHKGAELPRDPSEAP ITFDADSFLNYFDKILGAKPQESDSEDDPGEEDVEGVDSDDDVGFEAQESESLKGALGSL KSYMARMDQELAHTSMGRSFTTRERLNKDPPSHTANDNSDEEDSGAGDCAVEAVDVDLNL ISNILESYSSQAGLAGPASNLLHSMGVRLPDNADHNPQVSQ >ENSMUSP00000055002.9 pep:known chromosome:GRCm38:12:69577628:69583028:-1 gene:ENSMUSG00000049882.9 transcript:ENSMUST00000058639.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcpkmt description:valosin containing protein lysine (K) methyltransferase [Source:MGI Symbol;Acc:MGI:2684917] MAAAVEPEVEDPLWSFVRVLEKRDGTVLRLQQYGSGGVGCVVWDAAIVLSKYLETPGFSG DGAHALSRRSVLELGSGTGAVGLMAATLGADVIVTDLEELQDLLKMNIDMNKHLVTGSVQ AKVLKWGEDIEDLMSPDYILMADCIYYEESLEPLLKTLKDLSGSETCIICCYEQRTMGKN PEIEKKYFELLQLDFDFEEIPLDKHDEEYRSEDIHIVYIRKKKPKPPS >ENSMUSP00000128787.2 pep:known chromosome:GRCm38:17:25489875:25497288:-1 gene:ENSMUSG00000050824.11 transcript:ENSMUST00000165183.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sstr5 description:somatostatin receptor 5 [Source:MGI Symbol;Acc:MGI:894282] MEPLSLTSTPSWNASAASSSSHNWSLVDPVSPMGARAVLVPVLYLLVCTVGLGGNTLVIY VVLRYAKMKTVTNVYILNLAVADVLFMLGLPFLATQNAVSYWPFGSFLCRLVMTLDGINQ FTSIFCLMVMSVDRYLAVVHPLRSARWRRPRVAKLASAAVWVFSLLMSLPLLVFADVQEG WGTCNLSWPEPVGLWGAAFITYTSVLGFFGPLLVICLCYLLIVVKVKAAGMRVGSSRRRR SERKVTRMVVVVVLVFVGCWLPFFIVNIVNLAFTLPEEPTSAGLYFFVVVLSYANSCANP LLYGFLSDNFRQSFRKALCLRRGYGVEDADAIEPRPDKSGRPQTTLPTRSCEANGLMQTS RL >ENSMUSP00000051085.4 pep:known chromosome:GRCm38:17:25489875:25497288:-1 gene:ENSMUSG00000050824.11 transcript:ENSMUST00000051864.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sstr5 description:somatostatin receptor 5 [Source:MGI Symbol;Acc:MGI:894282] MEPLSLTSTPSWNASAASSSSHNWSLVDPVSPMGARAVLVPVLYLLVCTVGLGGNTLVIY VVLRYAKMKTVTNVYILNLAVADVLFMLGLPFLATQNAVSYWPFGSFLCRLVMTLDGINQ FTSIFCLMVMSVDRYLAVVHPLRSARWRRPRVAKLASAAVWVFSLLMSLPLLVFADVQEG WGTCNLSWPEPVGLWGAAFITYTSVLGFFGPLLVICLCYLLIVVKVKAAGMRVGSSRRRR SERKVTRMVVVVVLVFVGCWLPFFIVNIVNLAFTLPEEPTSAGLYFFVVVLSYANSCANP LLYGFLSDNFRQSFRKALCLRRGYGVEDADAIEPRPDKSGRPQTTLPTRSCEANGLMQTS RL >ENSMUSP00000020308.3 pep:known chromosome:GRCm38:10:59949675:59951770:-1 gene:ENSMUSG00000020108.3 transcript:ENSMUST00000020308.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddit4 description:DNA-damage-inducible transcript 4 [Source:MGI Symbol;Acc:MGI:1921997] MPSLWDRFSSSSSSSSSSRTPAADRPPRSAWGSAAREEGLDRCASLESSDCESLDSSNSG FGPEEDSSYLDGVSLPDFELLSDPEDEHLCANLMQLLQESLSQARLGSRRPARLLMPSQL VSQVGKELLRLAYSEPCGLRGALLDVCVEQGKSCHSVAQLALDPSLVPTFQLTLVLRLDS RLWPKIQGLLSSANSSLVPGYSQSLTLSTGFRVIKKKLYSSEQLLIEEC >ENSMUSP00000136962.1 pep:known chromosome:GRCm38:15:64817694:64937996:1 gene:ENSMUSG00000094296.1 transcript:ENSMUST00000180105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21798 description:predicted gene, 21798 [Source:MGI Symbol;Acc:MGI:5433962] MNILCLGLRAHISVHCPAESTTLTQAIYSNSSNSYLHARLCQADLCEKQPRGLCMHICIH THTHTHTHAHTHTHTHTHTHTHTHTHT >ENSMUSP00000074538.3 pep:known chromosome:GRCm38:17:22006510:22007301:-1 gene:ENSMUSG00000040775.5 transcript:ENSMUST00000075018.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9772 description:predicted gene 9772 [Source:MGI Symbol;Acc:MGI:3641789] SGKKLHKCRKCGKCFQMYSSLNMHERVHIREKPHNCSNYSKSFLKVHDKIHYGEYAYKCT ECGKLTMKKIFFKEYQRIHIGEKPYKECDIFFKHNSNLSIHQRIHTGKKPSKCSECDKSF IQQDHLRRHQKIHIG >ENSMUSP00000007738.9 pep:known chromosome:GRCm38:8:70083529:70090862:1 gene:ENSMUSG00000007594.9 transcript:ENSMUST00000007738.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hapln4 description:hyaluronan and proteoglycan link protein 4 [Source:MGI Symbol;Acc:MGI:2679531] MACAPGALGHRALWAVAWGLLLLVPVLAGAQRGRKKVVHVLEGESGSVVVQTAPGQVVSH RGGTIVLPCRYHYEAAAHGHDGVRLKWTKVVDPLAFADVFVALGPQHRAFGPYRGRAELQ NDGPGDASLVLRNVTLQDYGRYECEVTNELEDDVGMVKLDLEGVVFPYHPRGGRYKMTFV EAQRACAEQDGILASAEQLHAAWRDGLDWCNAGWLRDGSVQYPVSHAREPCGGTGSTGAG GGTNGGVRNYGYRHNAEERYDAFCFTSNLPGRVFFLKPLRPVALAGAVRACAARGATVAK VGQLFAAWKLQLLDRCTAGWLADGSARYPIVNPRTRCGGPRPGVRSLGFPDASRRLFGVY CYRAPGAPDPAPGGWGWGWAGGGGWAGGSRDPAAWTPLRV >ENSMUSP00000012426.2 pep:known chromosome:GRCm38:18:34542313:34548273:1 gene:ENSMUSG00000012282.2 transcript:ENSMUST00000012426.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt8a description:wingless-type MMTV integration site family, member 8A [Source:MGI Symbol;Acc:MGI:107924] MGHLLMLWVAAGMCYPALGASAWSVNNFLITRPKAYLTYTASVALGAQIGIEECKFQFAW ERWNCPEHAFQFSTHNRLRAATRETSFIHAIRSAAIMYAVTKNCSMGDLENCGCDESQNG KTGGHGWIWGGCSDNVEFGEKISRLFVDSLEKGKDARALVNLHNNRAGRLAVRASTKRTC KCHGISGSCSIQTCWLQLADFRQMGNYLKAKYDRALKIEMDKRQLRAGNRAEGRWALTEA FLPSTEAELIFLEGSPDYCNRNASLSIQGTEGRECLQNARSASRREQRSCGRLCTECGLQ VEERRAEAVSSCDCNFQWCCTVKCGQCRRVVSRYYCTRPVGSARPRGRGKDSAW >ENSMUSP00000022803.4 pep:known chromosome:GRCm38:14:54614120:54617995:-1 gene:ENSMUSG00000022193.6 transcript:ENSMUST00000022803.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb5 description:proteasome (prosome, macropain) subunit, beta type 5 [Source:MGI Symbol;Acc:MGI:1194513] MALASVLQRPMPVNQHGFFGLGGGADLLDLGPGSPGDGLSLAAPSWGVPEEPRIEMLHGT TTLAFKFLHGVIVAADSRATAGAYIASQTVKKVIEINPYLLGTMAGGAADCSFWERLLAR QCRIYELRNKERISVAAASKLLANMVYQYKGMGLSMGTMICGWDKRGPGLYYVDSEGNRI SGTAFSVGSGSVYAYGVMDRGYSYDLKVEEAYDLARRAIYQATYRDAYSGGAVNLYHVRE DGWIRVSSDNVADLHDKYSSVSVP >ENSMUSP00000054793.4 pep:known chromosome:GRCm38:15:25137530:25149159:-1 gene:ENSMUSG00000051237.6 transcript:ENSMUST00000056401.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9230109A22Rik description:RIKEN cDNA 9230109A22 gene [Source:MGI Symbol;Acc:MGI:2445011] MIEPTDTLQVPYQKDPWQIYCEDFPFGSISVACDFFKVQSMWFSVCLYGLCVFVSMCKSC ECVCFLGYILRITFSVNDAGVCMRPSGFIHPHLTGNFSRKVMRCDIVQEMC >ENSMUSP00000020285.8 pep:known chromosome:GRCm38:10:61680310:61693295:1 gene:ENSMUSG00000020088.9 transcript:ENSMUST00000020285.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sar1a description:SAR1 gene homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:98230] MSFIFEWIYNGFSSVLQFLGLYKKSGKLVFLGLDNAGKTTLLHMLKDDRLGQHVPTLHPT SEELTIAGMTFTTFDLGGHEQARRVWKNYLPAINGIVFLVDCADHSRLMESKVELNALMT DETISNVPILILGNKIDRTDAISEEKLREIFGLYGQTTGKGNVTLKELNARPMEVFMCSV LKRQGYGEGFRWLSQYID >ENSMUSP00000021443.5 pep:known chromosome:GRCm38:12:76255232:76319820:1 gene:ENSMUSG00000021048.6 transcript:ENSMUST00000021443.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mthfd1 description:methylenetetrahydrofolate dehydrogenase (NADP+ dependent), methenyltetrahydrofolate cyclohydrolase, formyltetrahydrofolate synthase [Source:MGI Symbol;Acc:MGI:1342005] MAPAGILNGKLVSAQIRDRLKNQVTRMQEQVPGFTPGLAILQVGDRDDSNLYINVKLKAA EEIGIKATHIKLPRTSTESEVLKYVISLNEDASVHGFIVQLPLDSENSINTEAVINAIAP EKDVDGLTSVSAGKLARGDLNDCFIPCTPKGCLELIKEAGVQIAGRHAVVVGRSKIVGAP MHDLLLWNNATVTTCHSKTANLDKEVNKGDILVVATGQPEMVKGEWIKPGAVVIDCGINY VPDDTKPNGRKVVGDVAYDEAKERASFITPVPGGVGPMTVAMLMQSTVESAQRFLQKFKP GKWTIQYNKLNLKTPVPSDIAISRSCKPKLIGNLAREIGLLTEEVELYGETKAKVLLSAL DRLKHQPDGKYVVVTGITPTPLGEGKSTTTIGLVQALGAHLRQNVFACVRQPSQGPTFGI KGGAAGGGYSQVIPMEEFNLHLTGDIHAITAANNLVAAAIDARIFHELTQTDKALFNRLV PSVNGIRKFSDIQIRRLRRLGIEKTDPTTLTDDEINRFARLDIDPETITWQRVLDTNDRF LRKITIGQSPTEKGHTRTAQFDISVASEIMAVLALTSSLEDMRERLGRMVVASSKKGEPI SCEDLGVSGALTVLMKDAIKPNLMQTLEGTPVFVHAGPFANIAHGNSSIIADRIALKLVG PEGFVVTEAGFGADIGMEKFFNIKCRYSGLQPHVVVLVATVRALKMHGGGPTVTAGLPLP KAYTEEDLDLVEKGFSNLRKQIENARMFGVPVVVAVNVFKTDTDAELDLVSRLSREHGAF DAVKCTHWAEGGQGALALAQAVQRASQAPSSFQLLYDLKLSIEDKIRIIAQRIYGADDIE LLPEAQNKAEIYTKQGFGNLPICMAKTHLSLSHNPEQKGVPTGFVLPIRDIRASVGAGFL YPLVGTMSTMPGLPTRPCFYDIDLDPETEQVNGLF >ENSMUSP00000067598.3 pep:known chromosome:GRCm38:18:52693679:52695668:1 gene:ENSMUSG00000053624.3 transcript:ENSMUST00000066193.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gykl1 description:glycerol kinase-like 1 [Source:MGI Symbol;Acc:MGI:891990] MAEAPKAVLGPLVGAVDQGTSSTRFLVFNPQTAELLCHHQVEIAQEFPKEGWVEQDPKAI LQSVYECIEKACEKLGQQSIDISNIKAIGVTNQRETTIVWDKFTGEPLYNAVVWLDLRTQ STVENLSKSISVSNNFVKNKTGLPISTYFSAVKLHWLIENVRKVQKAIEDGRAIFGTVDS WLIWCMTGGINGGVHCTDVSNASRTMLFNIHSLQWDEELCDFFGIPMTILPRIRSSSEIY GLVKSGVLEGVPISGCLGDQSAALVGQLCLQDGQAKSTYGTGCFLLCNTGQKCVNSEHGL LTTVAYQLGRQEPVYYALEGSVAIAGAVVSWIKNNLQIIQSSSEIEKLAEVAGTSYGCYF VPAFSGLYAPYWDPSARGIICGLTQFTNKFHIAFAALEAVCFQTREILDAMNSDCGIPLT HLQVDGGMTANRVLMQLQADILCIPVMKPFMPETTALGVAMAAGAAEGVSVWSLDPKDLS SVQMEKFEPQINVEESEGRYATWKKAVLKSMGWVLTQSPDGRDPSIFSSLTLGFYVVSSM VILIGARYM >ENSMUSP00000002699.5 pep:known chromosome:GRCm38:17:32303676:32321153:-1 gene:ENSMUSG00000024045.5 transcript:ENSMUST00000002699.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap8 description:A kinase (PRKA) anchor protein 8 [Source:MGI Symbol;Acc:MGI:1928488] MEQGYGGYGAWSAGPANTQGTYGSGMTSWQGYENYNYYNAQNTSVPAGTPYSYGPASWEA TKTNDGGLAAGSPAMHVASFAPEPCTDNSDSLIAKINQRLDMLSKEGGRGGISSGGEGVQ DRDSSFRFQPYESYDARPCIPEHNPYRPGYGYDYDFDLGTDRNGSFGGTFNDCRDPAPER GSLDGFLRGRGQGRFQDRSNSSTFIRSDPFMPPSASEPLSTTWNELNYMGGRGLGGPSTS RPPPSLFSQSMAPDYSMMGMQGVGGFGGTMPYGCGRSQTRIRDWPRRRGFERFGPDNMGR KRKQFPLYEEPDAKLARADSDGDLSENDDGAGDLRSGDEEFRGEDDLCDSRKQRGEKEDE DEDVKKRREKQRRRDRMRDRAADRIQFACSVCKFRSFEDEEIQKHLQSKFHKETLRFIST KLPDKTVEFLQEYIINRNKKIEKRRQELLEKESPKPKPDPFKGIGQEHFFKKIEAAHCLA CDMLIPAQHQLLQRHLHSVDHNHNRRLAAEQFKKTSLHVAKSVLNNKHIVKMLEKYLKGE DPFVNETADLETEGDENVGEEKEETPEEVAAEVLAEVITAAVKAVEGEGEPAAAHSDVLT EVEGPVDTAEASSDPHTEKLLEEQTCEAASETRSIEDKTRGEAAEARNEAAMPTADAGST LPVIAIPGIMEDELEQTGAEAKDIPTE >ENSMUSP00000035682.8 pep:known chromosome:GRCm38:15:66577572:66645255:1 gene:ENSMUSG00000072501.4 transcript:ENSMUST00000048188.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf20l1 description:PHD finger protein 20-like 1 [Source:MGI Symbol;Acc:MGI:2444412] MSKKPPNRPGITFEIGARLEALDYLQKWYPSRIEKIDYEEGKMLVHFERWSHRYDEWIYW DSNRLRPLERPALRKEGLKDEEELFDFKAGEEVLARWTDCRYYPAKIEAINKEGTFTVQF YDGVIRCLKRMHIKAMPEDAKGQVKSQHPLSWCCPIDPAGSCNQSMGSEDWIALVKAAAA AAAKNKTGTKPRASANSNKEKERDGGKWFKLPSKKAETSTCIVTAEIEKKEELPTSSETF GLHIDTVPKIVFPQPESTLTNKRKNNQGNSFQAKRARLNKITGLLASKAVGVDGAERKED CSATAPVLEQAISPKPQSQKKNEAVISSSANTQKPALLSSTLSSGKARSKKCKHESGESS GCIKTPKSPLAPELIQAKDLTLVSQLSSVINKTSSPQPVNPPRPCKHSERRRRSQRLATL PMPDDSLEKLSSSSSATDGKAFSISSQNQQQSSVPEVPAIAYVPLQKLGPCLPLDLSCGS EVTGSRTPHPSYHGGECPREEKEETPLFANPTSKVVSDVKGAAAATGISKTEKKVKLEEK TSTAFGKRKEKDKEKKEKRDKDHYKPKQKKKKKKKKKSKQHDYSDYEDSSLDFLERCSSP LTRSSGSSLAPRSTFTEKTTTYQYPRAILSVDLSGENLSDVEFLDDSSTESLLLSGDEYN QDFDSTNFEESQDEDDALNEIVRCICELDEENGFMIQCEECLCWQHSVCMGLLEDSIPEQ YICYICRDPPGQRWSAKYRYDKEWLNNGRMYGLSFLKENYSHLNAKKIVSTHHLLADVYG VTEVLHGLQLKIGILKNKHHPDLHLWAYSGKRKDQDQAVAEAERKITPQDRANSEGKECV QNHKEPALRMEETYITSEHSYQKPQSFSQDCQSLTDPGSSDDDDASSFEEDGELRVPDKS HLLYKNRGVSEKNPASGNKVFVYNDKKGTEGPGDSHLQWQLNLLTHIENVQNEVTSRMDL IEKEVDVLESWLDFTGELEPPDPLARLPQLKRHLKQLLLDVGKVQQIATLCSV >ENSMUSP00000025419.7 pep:known chromosome:GRCm38:18:53406341:53418007:-1 gene:ENSMUSG00000024538.7 transcript:ENSMUST00000025419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppic description:peptidylprolyl isomerase C [Source:MGI Symbol;Acc:MGI:97751] MSPGPRLLLPAVLCLGLGALVSSSGSSGVRKRGPSVTDKVFFDVRIGDKDVGRIVIGLFG NVVPKTVENFVALATGEKGYGYKGSIFHRVIKDFMIQGGDFTARDGTGGMSIYGETFPDE NFKLKHYGIGWVSMANAGPDTNGSQFFITLTKPTWLDGKHVVFGKVLDGMTVVHSIELQA TDGHDRPLTDCTIVNSGKIDVKTPFVVEVPDW >ENSMUSP00000077774.1 pep:known chromosome:GRCm38:7:104975042:104975983:-1 gene:ENSMUSG00000094531.1 transcript:ENSMUST00000078710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr671 description:olfactory receptor 671 [Source:MGI Symbol;Acc:MGI:3030505] MSLYNVTEVHPSSFLLLGIPGLENAHIWIGFPFCLLYLIAVLGNGAVLFVIQAEHSLHEP MYYFLAMLDSIDLGLTTATIPKMLGIFWFNLREISFGGCLSQMFFIHFFTAMESIMLLAM GFDRYIAICKPLQYTVILTNKVIGVIAGIAVLRSLYMVLPLVFLLLRLPYCGHQSIPHTY CEHMGIARLACASIKVNIMFGLSNIALLLLDVVLIILSYVRILYAVFCLPSREARLKALN TCGSHIGVILTFFTPAFFSFLTHRFGHNVPQYIHIFLANLYVVVPPALNPVIYGVRTKQI RERVMRILFHKDH >ENSMUSP00000034272.7 pep:known chromosome:GRCm38:8:71542930:71548024:1 gene:ENSMUSG00000031813.7 transcript:ENSMUST00000034272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvb12a description:multivesicular body subunit 12A [Source:MGI Symbol;Acc:MGI:1920961] MDPGTDSAPLAGLVWSSASAPPPPGFSAITCTVEGGTASFGRGFAQKAGYFLCLSTLGIP ENPQDNVVVDMQIVMDKGPLPSGFSAVNDPQDIKASVSKKKRMCVKLMPLGTADVVVSDV KLSGKTKTVPGYLRVGDIGGFAIWCKKSKAPRPVPKPRTLSQDMRGLSLDPPKEPSKGSH PERTLSRLGSRASTLRRTDSIYEASSLYGISAMDGVPFTLHPRFEGKSCGPLNLSAFGDL TIKSLADIEKEYNYGFVVEKTAAARLPPSVS >ENSMUSP00000094556.4 pep:known chromosome:GRCm38:4:156349921:156354578:1 gene:ENSMUSG00000096042.1 transcript:ENSMUST00000096794.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r125 description:vomeronasal 2, receptor 125 [Source:MGI Symbol;Acc:MGI:5434138] FRITASEYEFLLVMFFASDEINKNPYLLPNITLIFNIVGGNCHDLLKNLDQAYTQINGRM NFVNYFCYLDDLCDIGLTGPSWKTSLKLAMDSSMPMVFFGPFNPNLRDHDRLPHVHQVAP KDTHLSHGMVSLMFHFRWTWIGLVISDDDQGIQFLSDFKEESQRHGICLAFVNMIPENMQ IYMTRATIYDQQIMTSSAKVVIIYGDMNSTLEVSFRRWEELGARRIWITTSQWDVITNKK DFTLNLFHGTITFAHHKAEIPKFRNFMQTVNTAKYPVDISHTIVEWNYFNCSISKNSSKL GHFTFNNTLQWTALHNYDMAMSDEGYNLYNAVYVAAHTYHEQILQQVESQKMAEHKRYFT ACQQIWNGV >ENSMUSP00000017086.3 pep:known chromosome:GRCm38:15:78439668:78468634:-1 gene:ENSMUSG00000016942.5 transcript:ENSMUST00000017086.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss6 description:transmembrane serine protease 6 [Source:MGI Symbol;Acc:MGI:1919003] MPRCFQLPCSTRMPTTEVPQAADGQGDAGDGEEAAEPEGKFKPPKNTKRKNRDYVRFTPL LLVLAALVSAGVMLWYFLGYKAEVTVSQVYSGSLRVLNRHFSQDLGRRESIAFRSESAKA QKMLQELVASTRLGTYYNSSSVYSFGEGPLTCFFWFILDIPEYQRLTLSPEVVRELLVDE LLSNSSTLASYKTEYEVDPEGLVILEASVNDIVVLNSTLGCYRYSYVNPGQVLPLKGPDQ QTTSCLWHLQGPEDLMIKVRLEWTRVDCRDRVAMYDAAGPLEKRLITSVYGCSRQEPVME VLASGSVMAVVWKKGMHSYYDPFLLSVKSVAFQDCQVNLTLEGRLDTQGFLRTPYYPSYY SPSTHCSWHLTVPSLDYGLALWFDAYALRRQKYNRLCTQGQWMIQNRRLCGFRTLQPYAE RIPMVASDGVTINFTSQISLTGPGVQVYYSLYNQSDPCPGEFLCSVNGLCVPACDGIKDC PNGLDERNCVCRAMFQCQEDSTCISLPRVCDRQPDCLNGSDEEQCQEGVPCGTFTFQCED RSCVKKPNPECDGQSDCRDGSDEQHCDCGLQGLSSRIVGGTVSSEGEWPWQASLQIRGRH ICGGALIADRWVITAAHCFQEDSMASPKLWTVFLGKMRQNSRWPGEVSFKVSRLFLHPYH EEDSHDYDVALLQLDHPVVYSATVRPVCLPARSHFFEPGQHCWITGWGAQREGGPVSNTL QKVDVQLVPQDLCSEAYRYQVSPRMLCAGYRKGKKDACQGDSGGPLVCREPSGRWFLAGL VSWGLGCGRPNFFGVYTRVTRVINWIQQVLT >ENSMUSP00000136224.1 pep:known chromosome:GRCm38:3:90590247:90591508:1 gene:ENSMUSG00000094018.1 transcript:ENSMUST00000179550.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a2 description:S100 calcium binding protein A2 [Source:MGI Symbol;Acc:MGI:3510999] MVYTFHKYASQEDERLKHNKGEIKELLRKELLSYVGDNVDDEKVKKEMNNLDKDSDQQVD FRMYALVLVDSAIWFNNFLEGSPALHYLPWISWLKQLSIFLSLY >ENSMUSP00000092409.3 pep:known chromosome:GRCm38:7:16327053:16387797:-1 gene:ENSMUSG00000052833.8 transcript:ENSMUST00000094815.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sae1 description:SUMO1 activating enzyme subunit 1 [Source:MGI Symbol;Acc:MGI:1929264] MVEKEEAGGGGGGGISEEEAAQYDRQIRLWGLEAQKRLRASRVLIVGMKGLGAEIAKNLI LAGVKGLTMLDHEQVSPEDPGAQFLIQTGSVGRNRAEASLERAQNLNPMVDVKVDTEDVE KKPESFFTKFDAVCLTCCSRDVIIKVDQICHRNSIKFFTGDVFGYHGYTFANLGEHEFVE EKTKVAKVSQGVEDGPEAKRAKLDSSETTMVKKKVLFCPVKEALEVDWSGEKAKAALKRT APDYFLLQVLLKFRTDKGRDPTSESYKEDAELLLQIRNDVFDSLGISPDLLPDDFVRYCF SEMAPVCAVVGGILAQEIVKALSQRDPPHNNFFFFDGMKGSGIVECLGPQ >ENSMUSP00000050329.8 pep:known chromosome:GRCm38:12:108851129:108856814:1 gene:ENSMUSG00000048856.8 transcript:ENSMUST00000057026.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a47 description:solute carrier family 25, member 47 [Source:MGI Symbol;Acc:MGI:2144766] MDFVAGAIGGVCGVAVGYPLDTVKVRIQTEAKYAGIWHCIRDTYRQERVWGFYRGLSLPV CTVSLVSSVSFGTYHHCLAHICRFRYGSTDAKPTKADITLSGCASGLVRVFLTSPTEVAK VRLQTQTQAQTQQRRSSASWTSGAPALCPTPTACLEPRPKYSGPLHCLVTVAREEGLRGL YKGSSALLLREGHSFATYFLSYAMLCEWLTPAGHSQPDVLGVLVAGGCAGVLAWAVATPM DVIKSRLQADGQGQHRYRGLLHCVVTSVREEGPRVLFKGLALNCCRAFPVNMVVFVAYEA VLRLTQSLLT >ENSMUSP00000056418.6 pep:known chromosome:GRCm38:14:51255266:51256112:-1 gene:ENSMUSG00000047222.6 transcript:ENSMUST00000061936.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase2a description:ribonuclease, RNase A family, 2A (liver, eosinophil-derived neurotoxin) [Source:MGI Symbol;Acc:MGI:1890465] MGLEQLESRLCLLLLLGHVLMLASCQPLTPSRWFDIQHIYNRAYPRCDDAMRAVNSYTGV CKDINTFLHTTFANVVRVCHNPRKICKNGISRNCHDSSNRVQVTICILTTPASHYSNCRY RTTRSMKYYTVACDPRTPQDSPMYPVVPVHLDGIF >ENSMUSP00000065706.7 pep:known chromosome:GRCm38:13:49582766:49592609:1 gene:ENSMUSG00000048368.8 transcript:ENSMUST00000065494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Omd description:osteomodulin [Source:MGI Symbol;Acc:MGI:1350918] MGFLSPIYVLFFCFGVRVYCQYEAYRWDDDYDQEPNEDYDPEFQFHQNIEYGVPFYNNIL GCAKECFCPTNFPTSMYCDNRKLKTIPIIPMHIQQLNLQFNDIEAVTANSFINATHLKEI NLSHNKIKSQKIDYGVFAKLSNLQQLHLEHNNLEEFPFPLPKSLERLLLGYNEISILPTN AMDGLVNVTMLDLCYNHLSDSMLKEKTLSKMEKLMQLNLCNNRLESMPLGLPSSLMYLSL ENNSISSIPDNYFDKLPKLHALRISHNKLEDIPYDIFNLSNLIELNVGHNKLKQAFYIPR NLEHLYLQNNEIESINVTMICPSPDPVHHHHLTYLRVDQNKLKEPISSYIFFCFPRIHSI YYGEQRSTNGETIQLKTQVFRSYQEEEEEDDHDSQDNTLEGQEVSDEHYNSHYYEMQEWQ DTI >ENSMUSP00000022967.5 pep:known chromosome:GRCm38:15:45106284:45114934:-1 gene:ENSMUSG00000022342.5 transcript:ENSMUST00000022967.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnv1 description:potassium channel, subfamily V, member 1 [Source:MGI Symbol;Acc:MGI:1914748] MDLSPRNRPLLDSSSLDSGSLTSLDSSVFCSEGEGEPLALGDCFTVNVGGSRFVLSQQAL SCFPHTRLGKLAVVVASYRRLGALAAAPSPLELCDDANPVDNEYFFDRSSQAFRYVLHYY RTGRLHVMEQLCALSFLQEIQYWGIDELSIDSCCRDRYFRRKELSETLDFKKDTDDQESQ HESEQDFSKGPCPTVRQKLWDILEKPGSSTAARIFGVISIIFVAVSIVNMALMSAELSWL NLQLLEILEYVCISWFTGEFVLRFLCVKDRCHFLRKVPNIIDLLAILPFYITLLVESLSG SHTTQELENVGRLVQVLRLLRALRMLKLGRHSTGLRSLGMTITQCYEEVGLLLLFLSVGI SIFSTIEYFAEQSIPDTTFTSVPCAWWWATTSMTTVGYGDIRPDTTTGKIVAFMCILSGI LVLALPIAIINDRFSACYFTLKLKEAAVRQREALKKLTKNIATDSYISVNLRDVYARSIM EMLRLKGRERASTRSSGGDDFWF >ENSMUSP00000110331.2 pep:known chromosome:GRCm38:17:29526033:29549593:-1 gene:ENSMUSG00000079580.8 transcript:ENSMUST00000114683.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem217 description:transmembrane protein 217 [Source:MGI Symbol;Acc:MGI:3646280] MKHHSWCGLTARMGTVLSGVFSIMATHMHLIFERKHLGNGNCTENFQAQGLNILRYFFIC WSFQIVLFTSIITMIASCFLLYSVYAQIYEGLMSYVIWIIIYESTSLTVQTLTDEFSVAL VRAMRWFGWVSRASLHCFWLYFVVTHAQIIYQSKKQGNILTYHRRISLGSGDRPRRKSKI IHFVQHHSE >ENSMUSP00000132463.1 pep:known chromosome:GRCm38:17:29526033:29549593:-1 gene:ENSMUSG00000079580.8 transcript:ENSMUST00000168339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem217 description:transmembrane protein 217 [Source:MGI Symbol;Acc:MGI:3646280] MKHHSWCGLTARMGTVLSGVFSIMATHMHLIFERKHLGNGNCTENFQAQGLNILRYFFIC WSFQIVLFTSIITMIASCFLLYSVYAQIYEGLMSYVIWIIIYESTSLTVQTLTDEFSVAL VRAMRWFGWVSRASLHCFWLYFVVTHAQIIYQSKKQGNILTYHRRISLGSGDRPRRKSKI IHFVQHHSE >ENSMUSP00000058040.2 pep:known chromosome:GRCm38:15:78537015:78544345:-1 gene:ENSMUSG00000044933.2 transcript:ENSMUST00000053239.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sstr3 description:somatostatin receptor 3 [Source:MGI Symbol;Acc:MGI:98329] MATVTYPSSEPTTLDPGNASSTWPLDTTLGNTSAGASLTGLAVSGILISLVYLVVCVVGL LGNSLVIYVVLRHTSSPSVTSVYILNLALADELFMLGLPFLAAQNALSYWPFGSLMCRLV MAVDGINQFTSIFCLTVMSVDRYLAVVHPTRSARWRTAPVARTVSAAVWVASAVVVLPVV VFSGVPRGMSTCHMQWPEPAAAWRTAFIIYTAALGFFGPLLVICLCYLLIVVKVRSTTRR VRAPSCQWVQAPACQRRRRSERRVTRMVVAVVALFVLCWMPFYLLNIVNVVCPLPEEPAF FGLYFLVVALPYANSCANPILYGFLSYRFKQGFRRILLRPSRRIRSQEPGSGPPEKTEEE EDEEEEERREEEERRMQRGQEMNGRLSQIAQAGTSGQQPRPCTGTAKEQQLLPQEATAGD KASTLSHL >ENSMUSP00000001583.6 pep:known chromosome:GRCm38:13:75707484:75772356:1 gene:ENSMUSG00000001542.6 transcript:ENSMUST00000001583.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ell2 description:elongation factor RNA polymerase II 2 [Source:MGI Symbol;Acc:MGI:2183438] MAAGGAAGLREEQRYGLACGRLGQDNITVLHVKLTETAIRALETYQSHKNLIPFRPSIQF QGLQGLMKIPKNDPFNEVQNFNFYLSNVGRDNPQGSFDCIQQTLSSSGASQLNCLGFIQD KITVCATNDSYQMTRERMTQAEEESRNRSTKVIKPGGPYVGKRVQIRKAPQAISDTVPER KRSTPMNPANTIRKMHSGNSVSQRPYRDRVIHLLALKAYKKPELLARLQKDGVNQKDKNS LGAILQQVANLNPKDLSYTLKDYVFKELQRDWPGYSETDRQTLDLVLSRKLNPSQNASTS RSESPLCSSKDAASSPQKRPLDSDFIDPLMNKKARISHLTNRVPPTLNGYLNPTSEKSCA GLLPPPAAAAIPTLSPLPSTHLPVSNPPQTVNSNSNSPSTPEGLGTQDLPVDSFSQNGSI FEDQQEKYTSRTCLETLPPSSALLKCPKPMEEEHPVSHKKSKKKSKKHKEKDQIKKLDIE TMEEKEEDLQREETAKLSNASPNPNEGVKEGCTASMEPSSALELPDYLIKYIAIVSYEQR QNYKDDFNAEYDEYRALHARMETVARRFIKLDAQRKRLSPGSKEYQNVHEEVLQEYQKIK QSSPNYHEEKYRCEYLHNKLAHIKRLIGEFDQQQAESWH >ENSMUSP00000048562.7 pep:known chromosome:GRCm38:17:25809085:25821244:1 gene:ENSMUSG00000025738.7 transcript:ENSMUST00000045692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl16 description:F-box and leucine-rich repeat protein 16 [Source:MGI Symbol;Acc:MGI:2448488] MSSPGIDGDPKPPCLPRNGLVKLPGQPNGLGAASITKGTPAAKNRPCQPPPPPTLPPPSL ATPLSRVALAGGPCPPASGPASGPVSGPPVERPPLATDEKILNGLFWYFSACEKCILAQV CKAWRRVLYQPKFWAGLTPVLHAKELYNVLPGGEKEFVNLQGFAARGFEGFCLVGVSDLD ICEFIDNYSLSKKGVKAMSLKRSTITDAGLEVMLEQMQGVVRLELSGCNDFTEAGLWSSL SARITSLSVSDCINVADDAIAAISQLLPNLAELSLQAYHVTDTALAYFTARQGHSTHTLR LLSCWEITNHGVVNVVHSLPNLTSLSLSGCSKVTDDGVELVAENLRKLRSLDLSWCPRIT DMALEYVACDLHRLEELVLDRCVRITDTGLSYLSTMSSLRSLYLRWCCQVQDFGLKHLLA MRNLRLLSLAGCPLLTTTGLSGLVQLQELEELELTNCPGATPELFKYFSQHLPRCLVIE >ENSMUSP00000071120.6 pep:known chromosome:GRCm38:15:76371958:76374938:-1 gene:ENSMUSG00000060794.6 transcript:ENSMUST00000071119.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssk5 description:testis-specific serine kinase 5 [Source:MGI Symbol;Acc:MGI:1920792] MRSSSWRKSDQRVFIEQVRECMNNGYLLSSKKIGSGAFSKVYLAYATRERMKHNPRLSSD LRGKRHTMVAIKIVSMAEAPAEYSRKFLPREILSLNATYKHMNIVQLYETYQNSQRSYLV LELAARGDLLEHINAVSDLRCCPGLEEEEARRLFWQLVSAVAHCHNVGIVHRDLKCENIL LDDQGFIKLTDFGFANWVGLKNSLLSTFCGSVAYTAPEILMSKKYNGEQADLWSLGIILH AMVSGKLPFKEHQPHRMLNLIRRGPIFRPGLSPECRDLIRGLLQLHPCERLDLQQVAAHC WMLPAEHMLSSALGAPREQDHSWSTVAPDNTEPDRDTRHARSKGSSSSSGRTSPRRPSLA QLCNTWKPAPEQ >ENSMUSP00000097015.2 pep:known chromosome:GRCm38:2:150178882:150179679:-1 gene:ENSMUSG00000074837.2 transcript:ENSMUST00000099418.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10770 description:predicted gene 10770 [Source:MGI Symbol;Acc:MGI:3642046] RHERIHTGEKPYRGNQCGRDFVSHMSLQSHKRVHPGEKGFQCYQCGKAFAQSSSLLPRKR IHTGEKPFECNQCGKVFAQNNHLLTHKRTHTGEKPYECSHCGKHFAHQYGLLYKKKTHTG GKPYECHHSGLAFRFYTHLQIHRRTHTGEKPYECHWCGQAFRVHSHFQIHRRTHTGEKPC ECNQCSKAFTQSSSLLTHKRIHTGEKPFEYNQCGKAFARSSELLRHERTHTGEKPYEC >ENSMUSP00000101146.3 pep:known chromosome:GRCm38:10:41490439:41514284:1 gene:ENSMUSG00000078451.4 transcript:ENSMUST00000105507.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil6 description:peptidylprolyl isomerase (cyclophilin)-like 6 [Source:MGI Symbol;Acc:MGI:1920325] MATQQPCRPKSKPPACHVRLPPEPPLKLKVVGLFKSSSFQVSKTIAETLKTSYPYRFEDP VIVPLQEFAWDQFLEEKKRELKGETWVYSSYVMCFVNDQLLGNAFDLKKWAQKVWDVIDV RPSALYEALTLDYATKFLKDTKHDFVYLDICIDLSPIGRLIFELYCDACPRTCTNFQVLC TGTSGFSERGTKLHYKDSIFHRVVQNGWIQGGDIVQGRGDDGESIYGPTFEDENFSIPHN KRGVLGMVNKGHHTNGSQFYITLQAAPYLDKKYVAFGQLIEGTHVLKQLELVPTENERPL LLCSIADSGVLYT >ENSMUSP00000087506.4 pep:known chromosome:GRCm38:16:20141063:20232573:1 gene:ENSMUSG00000041215.15 transcript:ENSMUST00000090052.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yeats2 description:YEATS domain containing 2 [Source:MGI Symbol;Acc:MGI:2447762] MKNKEHEIDVIDQRLIEARRMMDKLRACIVANYYASAGLLKVSEGLKTFDPMAFNHPAIK KFLESPSRSSSPTNQRSETPSANHSESDSLSQHNDFLSDKDNNSNVDVEERPPSTGEQRP SRKAGRDTSSISGSHKRELRNADLTGDETSRLFVKKTIVVGNVSKYIPPDKREENDQSTH KWMVYVRGSRREPSINHFVKKVWFFLHPSYKPNDLVEVREPPFHLTRRGWGEFPVRVQVH FKDSQNKRIDIIHNLKLDRTYTGLQTLGAETVVDVELHRHSLGEDSVYPQSSESDVCDAP PPTLTLPAAVKASAVAQSPEPAAAAPVGEGFPETTEAERHSTFYSLPSSLERTPTKVTTA QKVTFSSHGNSAFQPIASSCKIVPQSQVPNPESPGKSFQPITMSCKIVSGSPISTPSPSP LPRTPTSTPVHLKQGTASSGVSNPHVIVDKPGQVIGASTPSTGSPTSKLPVASQASQGTG SPIPKIHGSSFLTSTVKQEESLFASMPPLCPIGSHPKVQSPKAVTGGLGAFTKVIIKQEP GEAPHVSTTGAASQSAFPQYVTVKGGHMIAVSPQKQVISAGEGTTQSPKIAPSKVVGVPV GSALPSTVKQAVAISSGQILVAKASSSVTKAVGPKQVVTQGVAKAIVSGGGGTIVAQPVQ TLTKTQVTAAGPQKSGSQGSVMATLQLPATNLANLANLPPGTKLYLTTNSKNPSGKGKLL LIPQGAILRATNNANLQSGSAAAGGSGSSGAGGGSGGGGGSGAGGTPSTSGPGGGPQHLT YTSYILKQTPQGTFLVGQPSPQTPGKQLTTASVVQGTLGVSSSSAQGQQTLKVISGQKTT LFTQAATAGQASLLKLPDNTLKSVPAAPQLAKPGTTMLRVAGGVITAAPSPAVAFSANGA VHQSEGSTPVSSSVGSIIKTPGQPQVCVSQATMATCKGPAAVAGTAASLVSAPSSISGKA TVSGLLKVHSAQSSPQQAVLTIPSQLKPLSINTSGGVQTVLMPVNKVVQSFSTSKLPTTV LPISVPNQAAPSSAPVAIAKVKTEPETPGPNCISQENQVAVKTEESSELSNYVIKVDHLE TIQQLLTAVVKKIPLITAKGDDASCFSAKSLEQYYGWNIGKRRAAEWQRAMTVRKVLQEI LEKNPRFHHLTPLKTKHIAHWCRCHGYTPPDPESLRHDGDSIEDVLTQIDSEPECLSSFS TADDLCRKLEDLQQFQKREPENEEEVDILSLSEPLKTNIKKEQEEKQEEMRFYLPPTPGS GFVGDITQKIGITLQPVALHRNMYASVVEDMILKATEQLVSDILRQALAVGYQTASPNRI PKEITVSNIHQAICNIPFLDFLTNKHMGRLNEDQ >ENSMUSP00000111222.2 pep:known chromosome:GRCm38:16:20141063:20232573:1 gene:ENSMUSG00000041215.15 transcript:ENSMUST00000115560.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yeats2 description:YEATS domain containing 2 [Source:MGI Symbol;Acc:MGI:2447762] MSGIKRTIKETDPDYEDVSVALPNKRHKAIESSARDAAVQKIETIIKEQFALEMKNKEHE IDVIDQRLIEARRMMDKLRACIVANYYASAGLLKVSEGLKTFDPMAFNHPAIKKFLESPS RSSSPTNQRSETPSANHSESDSLSQHNDFLSDKDNNSNVDVEERPPSTGEQRPSRKAGRD TSSISGSHKRELRNADLTGDETSRLFVKKTIVVGNVSKYIPPDKREENDQSTHKWMVYVR GSRREPSINHFVKKVWFFLHPSYKPNDLVEVREPPFHLTRRGWGEFPVRVQVHFKDSQNK RIDIIHNLKLDRTYTGLQTLGAETVVDVELHRHSLGEDSVYPQSSESDVCDAPPPTLTLP AAVKASAVAQSPEPAAAAPVGEGFPETTEAERHSTFYSLPSSLERTPTKVTTAQKVTFSS HGNSAFQPIASSCKIVPQSQVPNPESPGKSFQPITMSCKIVSGSPISTPSPSPLPRTPTS TPVHLKQGTASSGVSNPHVIVDKPGQVIGASTPSTGSPTSKLPVASQASQGTGSPIPKIH GSSFLTSTVKQEESLFASMPPLCPIGSHPKVQSPKAVTGGLGAFTKVIIKQEPGEAPHVS TTGAASQSAFPQYVTVKGGHMIAVSPQKQVISAGEGTTQSPKIAPSKVVGVPVGSALPST VKQAVAISSGQILVAKASSSVTKAVGPKQVVTQGVAKAIVSGGGGTIVAQPVQTLTKTQV TAAGPQKSGSQGSVMATLQLPATNLANLANLPPGTKLYLTTNSKNPSGKGKLLLIPQGAI LRATNNANLQSGSAAAGGSGSSGAGGGSGGGGGSGAGGTPSTSGPGGGPQHLTYTSYILK QTPQGTFLVGQPSPQTPGKQLTTASVVQGTLGVSSSSAQGQQTLKVISGQKTTLFTQAAT AGQASLLKLPDNTLKSVPAAPQLAKPGTTMLRVAGGVITAAPSPAVAFSANGAVHQSEGS TPVSSSVGSIIKTPGQPQVCVSQATMATCKGPAAVAGTAASLVSAPSSISGKATVSGLLK VHSAQSSPQQAVLTIPSQLKPLSINTSGGVQTVLMPVNKVVQSFSTSKLPTTVLPISVPN QAAPSSAPVAIAKVKTEPETPGPNCISQENQVAVKTEESSELSNYVIKVDHLETIQQLLT AVVKKIPLITAKGDDASCFSAKSLEQYYGWNIGKRRAAEWQRAMTVRKVLQEILEKNPRF HHLTPLKTKHIAHWCRCHGYTPPDPESLRHDGDSIEDVLTQIDSEPECLSSFSTADDLCR KLEDLQQFQKREPENEEEVDILSLSEPLKTNIKKEQEEKQEEMRFYLPPTPGSGFVGDIT QKIGITLQPVALHRNMYASVVEDMILKATEQLVSDILRQALAVGYQTASPNRIPKEITVS NIHQAICNIPFLDFLTNKHMGRLNEDQ >ENSMUSP00000132250.1 pep:known chromosome:GRCm38:16:90228957:90284308:-1 gene:ENSMUSG00000022983.15 transcript:ENSMUST00000163419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scaf4 description:SR-related CTD-associated factor 4 [Source:MGI Symbol;Acc:MGI:2146350] MDAVNAFNQELFSLMDMKPPISRAKMILITKAAIKAIKLYKHVVQIVEKFIKKCKPEYKV PGLYVIDSIVRQSRHQFGTDKDVFGPRFSKNITATFQYLYLCPSEDKSKIVRVLNLWQKN GVFKIEIIQPLLDMAAGTSNAAPVAENVTNNEGSPPPPVKISSELAQAPTNSMPTVAQLP SSDAFAAVAQLFQTTQGQQLQQILQTFQQPPQPQSPALDSAVMAQVQAITAQLKTAPTQP PEQKTAFDKKLLDRFDYDDEPEAVEDSKKEDAAAISTAALATAAPPAPTAATPAVATAVP VPSATSPPPPQTPFGYPGDGVQQPAYTQHQSMDQFQPRMMPIQQDTMHHQVPLPPNGQMP GFGLLSAPPPFPPMPQPGMPQPGMAQPGLAQPGMAQPTMPQPGMPQPGMPQPGMAQPGLA QPGMAQPGMPQPAMPQPAMPQPGMAQPGVSPAPPVQPTFQSTFQPQNEPHSQKPHQQEME VEQPCVTEVKRHVPESRKSRSRSPKRRRSRSGSRSRRSRHRRSRSRSRDRRRHSPRSRSQ ERRDREKERERRQKGLPQIKSETASVCSTTLWVGQLDKRTTQQDVASLLEEFGPIESINM IPPRGCAYIVMVHRQDAYRALQKLSRGNYKVNQKSIKIAWALNKGIKADYKQYWDVELGV TYIPWDKVKAEELESFCEGGMLDSDTLNPDWKGIPKKPDNEVAQNGGAETSHTEPVSPIP KPVPVPVPPIPVPAPITVPPPQVPPHQPGPPVVGALQPPAFTPPLGMPPPGFGPGVPPPP PPPPFLRPGFNPMHLPPGFLPPGPPPPITPPVSIPPPHTPPISIPNLVSGARGNAESGDS AKMYGSAGPPAAPTSLPTPPVTQPVSLLGTQGVAPGPVIGLQAPSTGLLGARPGLIPLQR PPGMPPPHLQRFPMMPPRPMPPHMMHRGPPPGPGGFAMPPPHGMKGPFPPHGPFVRPGGM PGLGGPGPGPGASEDRDGRQQQPQQQPPPQQQQQQQQPQQQPPQQSPSQQPAPAQQQPPQ FRNDSRQQFNSGRDQERFGRRSFGSRVENDRERYGSRNDDRDNSNRERREWGRRSPDRDR HRDLEERSRRSSGHRDRDRDSRDRESRREKEENRKEKHEVADRAGGNKAVEPPLSQVGTI DTVSELNKGEAMATVVKPEESPAEVTSPVGPEKDPGSAAEPPR >ENSMUSP00000044472.7 pep:known chromosome:GRCm38:16:90229156:90284425:-1 gene:ENSMUSG00000022983.15 transcript:ENSMUST00000039280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scaf4 description:SR-related CTD-associated factor 4 [Source:MGI Symbol;Acc:MGI:2146350] MDAVNAFNQELFSLMDMKPPISRAKMILITKAAIKAIKLYKHVVQIVEKFIKKCKPEYKV PGLYVIDSIVRQSRHQFGTDKDVFGPRFSKNITATFQYLYLCPSEDKSKIVRVLNLWQKN GVFKIEIIQPLLDMAAGTSNAAPVAENVTNNEGSPPPPVKISSELAQAPTNSMPTVAQLP SSDAFAAVAQLFQTTQGQQLQQILQTFQQPPQPQSPALDSAVMAQVQAITAQLKTAPTQP PEQKTAFDKKLLDRFDYDDEPEAVEDSKKEDAAAISTAALATAAPPAPTAATPAVATAVP VPSATSPPPPQTPFGYPGDGVQQPAYTQHQSMDQFQPRMMPIQQDTMHHQVPLPPNGQMP GFGLLSAPPPFPPMPQPGMPQPGMAQPGLAQPGMAQPTMPQPGMPQPGMPQPGMAQPGLA QPGMAQPGMPQPAMPQPAMPQPGMAQPGVSPAPPVQPTFQSTFQPQNEPHSQKPHQQEME VEQPCVTEVKRHVPESRKSRSRSASRSPKRRRSRSGSRSRRSRHRRSRSRSRDRRRHSPR SRSQERRDREKERERRQKGLPQIKSETASVCSTTLWVGQLDKRTTQQDVASLLEEFGPIE SINMIPPRGCAYIVMVHRQDAYRALQKLSRGNYKVNQKSIKIAWALNKGIKADYKQYWDV ELGVTYIPWDKVKAEELESFCEGGMLDSDTLNPDWKGIPKKPDNEVAQNGGAETSHTEPV SPIPKPVPVPVPPIPVPAPITVPPPQVPPHQPGPPVVGALQPPAFTPPLGMPPPGFGPGV PPPPPPPPFLRPGFNPMHLPPGFLPPGPPPPITPPVSIPPPHTPPISIPNSTIAGINEDT TKDLSIGNPIPTVVSGARGNAESGDSAKMYGSAGPPAAPTSLPTPPVTQPVSLLGTQGVA PGPVIGLQAPSTGLLGARPGLIPLQRPPGMPPPHLQRFPMMPPRPMPPHMMHRGPPPGPG GFAMPPPHGMKGPFPPHGPFVRPGGMPGLGGPGPGPGASEDRDGRQQQPQQQPPPQQQQQ QQQPQQQPPQQSPSQQPAPAQQQPPQFRNDSRQQFNSGRDQERFGRRSFGSRVENDRERY GSRNDDRDNSNRERREWGRRSPDRDRHRDLEERSRRSSGHRDRDRDSRDRESRREKEENR KEKHEVADRAGGNKAVEPPLSQVGTIDTVSELNKGEAMATVVKPEESPAEVTSPVGPEKD PGSAAEPPR >ENSMUSP00000086530.5 pep:known chromosome:GRCm38:15:82452377:82456827:1 gene:ENSMUSG00000068086.5 transcript:ENSMUST00000089129.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2d9 description:cytochrome P450, family 2, subfamily d, polypeptide 9 [Source:MGI Symbol;Acc:MGI:88606] MELLTGTDLWPVAIFTVIFILLVDLTHQRQRWTSRYPPGPVPWPVLGNLLQVDLGNMPYS LYKLQNRYGDVFSLQMAWKPMVVINGLKAMKEMLLTCGEDTADRPPVPIFEYLGVKPGSQ GVVLAPYGPEWREQRRFSVSTLRNFGLGKKSLEDWVTKEANHLCDAFTAQAGQPINPNPM LNKSTCNVIASLIFARRFEYEDPFLIRMLKVLEQSLTEVSGLIPEVLNAFPILLRIPRLA DKALQGQKSFIAILDNLLTENRTTWDPVQAPRNLTDAFLAEIEKAKGNPESSFNDENLLM VVRDLFGAGMLTTSTTLSWALMLMILHPDVQRRVQQEIDEVIGQVRHPEMADQAHMPYTN AVIHEVQRFGDIVPVNLPRITSHDIEVQDFLIPKGTILLPNMSSMLKDESVWEKPLRFHP EHFLDAQGHFVKPEAFMPFSAGRRSCLGEALARMELFLFFTCLLQRFSFSVPDGQPQPSN SGVYGILVAPSPYQLCAVVRDQGH >ENSMUSP00000022650.7 pep:known chromosome:GRCm38:14:99099433:99254493:1 gene:ENSMUSG00000022064.9 transcript:ENSMUST00000022650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pibf1 description:progesterone immunomodulatory binding factor 1 [Source:MGI Symbol;Acc:MGI:1261910] MSRKIAKEPKKVNISSSLESEDISLETTIHTDDVSSSEEREGKVKITRQLIERKEILHNI QLLKIELSQKNMMIDNLKMDYLTKIEELEEKLNDALHQKQLLTLRLDNQLTIQQKDAKKY QELMKQEMETILLRQKQLEETNHQLREKAGDVRRNLRDFELTEEQYVKLKSFPEDQLSIP EYVSIRFYELVNPLRKEVCELQVKKSELSEELSTSKGQLKQLTETYEEDRRNNAELLIRC QRLTLELADTKQLVQQGDYRQENYDKVKSERDALEQDVLELRRKHEVLEASHIAQAKERN ELSKEVSSLQQTVTLLQKDKDYLNRQNMELSVRCAHEEDRLERLQVQLEDTKKAREEMYE KYVTSRDHYKTEYENKLHDELEQIKLKTNLEIDQLRSASREMYERENRNLREARDNALAE KNRAVAAEKDALGKHEQLLDRYRELQLSTESKVSEFLHQSKLKSFESERVQLLQEETARN LTQCQLECEKYQKKLEVLTKEFYSLQTSSEKRITELEAQNSEHQARLDIYEKLEKELDEI IMQTAEIENEDEAERILYSYGYGANVPTTAKRRLKQSVHLARRVLQLEKQNSLILKDLDH QKNQVRQLSQELDRANSLLNQTQQPYRYLIESVRQRDAKIDSLMKSTAQLEKDVSNLNKE KSALLQTKNQMALDLEQLLSHREEFAAMKQIIINMCSKHSENNLFLTKMESKSVTENQAK TLNMPREHEENIFIPKPTLFTKKEAQEWPKSQKMKT >ENSMUSP00000131895.1 pep:known chromosome:GRCm38:9:30427329:30466726:1 gene:ENSMUSG00000031993.6 transcript:ENSMUST00000164099.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx19 description:sorting nexin 19 [Source:MGI Symbol;Acc:MGI:1921581] MKAQTVSPTQGTISESSYVHSNLWSSRKLMIVGVLVGWLLVIHLLVNMWLLILLCASLVA LGGWLGSTAILGASGQLHLERFITITTCPPCPEAERQLEQEINRTIQMIIRDFVLSWYRS VSHEPAFEAEMEAAMKGLVQELRRRMSIVDSHALTQRVLTLCGCHLQSYIQAKEATAKEQ SCPVQPSQLWDAYCQVTAPHPAMSCPTTEVTYARGIVNLILKELVPKPHLETRTGRHVVV EVITCNVILPLISKLSDPDWIHLILVSIFSKYRHDAAQGTKPPCSSSVLEQPSVPTSLPL IVEVESLPVGKASSPATAPVHLTSSEPAPSPEIEEGHEAVEGDLPGMLEEKKVGNSSSHF LQPDIRGPLFLCEDSELESPLSELSKETILLMTPGNFLSDRIQDALCALDDSGALEPKDG EGSECMEGAEAEEAPGTDTETGMLVSVLNCPEIQIDTADKEVEQGDDTSLTALLEEPEKP CPLRPSCLDKDLASGVCSLEPAMPPVPLSSSPPGPLSSATFSFESLSSPDGPVVIQNLRI TGTITAREHSGTGFHPYTLYTVKYETVLNGENSSGLQQLAYHTVNRRYREFLNLQTRLEE KPDLRKFIKNVKGPKKLFPDLPFGNMDSDRVEARKSLLESFLKQLCAIPEIGNSEEVQEF LALNTDARIAFVKKPFMVSRIDKMVVSAIVDTLKTAFPRSEPQSPTEELSEAENESKPQT EGKKASKSRLRFSSSKIAPALSIAEAQDKILYCLQEGNSESEVLSMSGMESFIEKQTKLL RIQPAEVPDKDPQQVPKEYVDSGLLDKAVVAQELNKSGPGTETELADTAFDLILLLLMEQ WKWLCTESMQKFLHIIFGTLVQRWLEVQVANLTCPQRWAQYLHLLRESIWPGGVLPKFPR PGRTQAQKAATEKQALQSLMDLLPDFLVEILGVNKCRLSWSLVLESFQQPLINRHLIYCL GDIILELLDLSASVEECAPATSASDSPGSLKKMAVST >ENSMUSP00000037348.9 pep:known chromosome:GRCm38:18:74779197:74806207:1 gene:ENSMUSG00000036880.9 transcript:ENSMUST00000041053.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acaa2 description:acetyl-Coenzyme A acyltransferase 2 (mitochondrial 3-oxoacyl-Coenzyme A thiolase) [Source:MGI Symbol;Acc:MGI:1098623] MALLRGVFIVAAKRTPFGAYGGLLKDFSATDLTEFAARAALSAGKVPPETIDSVIVGNVM QSSSDAAYLARHVGLRVGVPTETGALTLNRLCGSGFQSIVSGCQEICSKDAEVVLCGGTE SMSQSPYCVRNVRFGTKFGLDLKLEDTLWAGLTDQHVKLPMGMTAENLAAKYNISREDCD RYALQSQQRWKAANEAGYFNEEMAPIEVKTKKGKQTMQVDEHARPQTTLEQLQKLPSVFK KDGTVTAGNASGVSDGAGAVIIASEDAVKKHNFTPLARVVGYFVSGCDPTIMGIGPVPAI NGALKKAGLSLKDMDLIDVNEAFAPQFLSVQKALDLDPSKTNVSGGAIALGHPLGGSGSR ITAHLVHELRRRGGKYAVGSACIGGGQGIALIIQNTA >ENSMUSP00000023071.6 pep:known chromosome:GRCm38:15:84192233:84214303:1 gene:ENSMUSG00000022437.6 transcript:ENSMUST00000023071.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samm50 description:sorting and assembly machinery component 50 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915903] MGTVHARSLEPLPSSGTDFGALGEEAEFVEVEPEAKQEILENKDVVVQHVHFDGLGRTKD DIIICEIGEVFKAKNLIEVMRRSHEAREKLLRLGIFRQVDVLIDTCHGEDALPNGLDVTF EVTELRRLTGSYNTMVGNNEGSMVLGLKLPNLLGRAEKVTFQFSYGTKETSYGLSFFKPQ PGNFERNFSVNLYKVTGQFPWSSLRETDRGVSAEYSFPLWKTSHTVKWEGVWRELGCLSR TASFAVRKESGHSLKSSLSHAMVIDSRNSSILPRRGALFKVNQELAGYTGGDVSFIKEDF ELQLNKPLALDSVFSTSLWGGMLVPIGDKPSSIADRFYLGGPTSVRGFSMHSIGPQSEGD YLGGEAYWAGGLHLYTPLPFRPGQGGFGELFRTHFFLNAGNLCNLNYGEGPKAHIRKLAE CIRWSYGAGVVLRLGNIARLELNYCIPMGVQGGDRICDGVQFGAGIRFL >ENSMUSP00000034629.4 pep:known chromosome:GRCm38:9:44295673:44305671:-1 gene:ENSMUSG00000032119.4 transcript:ENSMUST00000034629.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hinfp description:histone H4 transcription factor [Source:MGI Symbol;Acc:MGI:2429620] MSPPGKVPRKENLGLQCEWGSCSFVCSAMEEFFDHVTQHLQQHMHGSKEEEEEDPLEEEF SCLWQECGFCSLDSSADLIRHVYFHCYHTKLKQWGLQALQSQADLSPCILDFQSRNVIPD TPDHFLCLWEHCESVFDNPEWFYRHVDAHSLCCEYQAVSKDNHVVQCGWKGCTCTFKDRC KLREHLRSHTQEKVVACPTCGGMFANNTKFLDHIRRQTSLDQQRFQCSHCSKRFATERLL RDHMRNHVNHYKCPLCDMTCPLPSSLRNHMRFRHSEDRPYKCDCCDYSCKNLIDLRKHLD THSKESAYRCDFENCNFSARSLSSVKSHHRKVHEGDSEPRYKCHVCDKCFTRGNNLTVHL RKKHQFKWPSGHPRFRYKEHEDGYMRLQLVRYESVELTQQLLQQLQEGSDPGLALNESSL QGIVLETVLGGPGPEEETEEEGRVVEGTALSASQDNPSSAIHMVSQTDTQGQRDIVYCVL SEGPGEPPPVSETLKRDGKARGT >ENSMUSP00000136646.1 pep:known chromosome:GRCm38:Y:13132950:13133492:-1 gene:ENSMUSG00000095769.1 transcript:ENSMUST00000179284.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21891 description:predicted gene, 21891 [Source:MGI Symbol;Acc:MGI:5434055] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMRTTLSHEDSLRHHTFLMRFPDTLLLPKENRGKPLFPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000095757.3 pep:known chromosome:GRCm38:7:105275517:105276431:1 gene:ENSMUSG00000073908.3 transcript:ENSMUST00000098154.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr687 description:olfactory receptor 687 [Source:MGI Symbol;Acc:MGI:3030521] TNSSEFQVSEFILLGFPGIHEFQIWLSLPMALLYIVALGANLLILITIYLEPTLHQPMYQ FLGILAAVDIGLATTSMPKILAILWFDAKTISLPECFAQIYAIHTFMCMESGVFLCMAID RYVAICYPLQYPSIVTEAFVIKATLSMLLRNGLLTIPVPVLAAQRQYCSRNEIDHCLCSN LGVISLACDDITVNRFYQLALAWLVVGSDMILVYASYALIIRSVLRLNSTEAASKALSTC SSHLILIMFYYTAIVIVSVTHLAGRRVPLIPVLLNVMHIVIPPSLNPMVYALRTQELKVG FRKVF >ENSMUSP00000067018.1 pep:known chromosome:GRCm38:2:125505089:125507881:1 gene:ENSMUSG00000053615.1 transcript:ENSMUST00000066157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9913 description:predicted gene 9913 [Source:MGI Symbol;Acc:MGI:3642395] MPRKRPSSHPPAARPWRDPMRPTGLAARTLRRRWLLCSAAVPAVAACGTHSLCPNSAAPS FPTSSSIVRAAVSRERNTFLKKRKKEKENDTLPTSPHHHPTPGPIYPPFPLPSASHRPDP GLPHSQDQCPGRRRR >ENSMUSP00000060394.8 pep:known chromosome:GRCm38:16:92391953:92466146:-1 gene:ENSMUSG00000022951.15 transcript:ENSMUST00000060005.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcan1 description:regulator of calcineurin 1 [Source:MGI Symbol;Acc:MGI:1890564] MEDGVAGPRLGEVAEAVEARAPRRVTLRPFAPFSAAAEGDGGGGGDWSFIDCEMEEVDLQ DLPSATIACHLDPRVFVDGLCRAKFESLFRTYDKDTTFQYFKSFKRVRINFSNPLSAADA RLRLHKTEFLGKEMKLYFAQTLHIGSSHLAPPNPDKQFLISPPASPPVGWKQVEDATPVI NYDLLYAISKLGPGEKYELHAATDTTPSVVVHVCESDQENEEEEEEMERMKRPKPKIIQT RRPEYTPIHLS >ENSMUSP00000023672.7 pep:known chromosome:GRCm38:16:92391953:92400077:-1 gene:ENSMUSG00000022951.15 transcript:ENSMUST00000023672.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcan1 description:regulator of calcineurin 1 [Source:MGI Symbol;Acc:MGI:1890564] MHFRDFSYNFSSLIACVANDDVFSESETRAKFESLFRTYDKDTTFQYFKSFKRVRINFSN PLSAADARLRLHKTEFLGKEMKLYFAQTLHIGSSHLAPPNPDKQFLISPPASPPVGWKQV EDATPVINYDLLYAISKLGPGEKYELHAATDTTPSVVVHVCESDQENEEEEEEMERMKRP KPKIIQTRRPEYTPIHLS >ENSMUSP00000101023.3 pep:known chromosome:GRCm38:10:78574500:78584498:1 gene:ENSMUSG00000032763.10 transcript:ENSMUST00000105384.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilvbl description:ilvB (bacterial acetolactate synthase)-like [Source:MGI Symbol;Acc:MGI:1351911] METSAAAASAGGFFPSFLLLAFGTLVAAVLGVAHRLGLFYQLMHKVDKTSIRHGGESVAA VLRAHGVRFVFTLVGGHISPLLVACEKLGIRVVDTRHEVTAVFAADAVARLTGTVGVAAV TAGPGLTNTVTAVKNAQVAQSPVLLLGGAASTLLQKRGALQAIDQMSLFRPLCKFCASVR RVRDIVPTLRTAIAAAQSGTPGPVFVELPLDVLYPYFMVEKEMIPTKLPNSLMGRVVVWY LQNCLANLFVGAWEPRPEGPLPLDIPQASPQQVQRCVEILSRAKRPLLVLGSQALLPPTP ANKLRAAVETLGVPCFLGGMSRGLLGRNHPLHIRQNRSAALKKADVVVLAGAVCDFRLSY GRVLNRKSSIIIVNRNRDDLLLNSDIFWKPQEAVQGDVGSFMIKLVEGLQGQMWSSDWAE ELRKADQQKEQTYRDKALMPVLQHLNPVWVLQQVEETLPDNALLVVDGGDFVATAAYLVQ PRGPLRWLDPGAFGTLGVGAGFALGAKLCQPEAEVWCLFGDGAFGYSLIEFDTFVRHKVP VIALVGNDAGWTQISREQVPRLGSDVACSLAYTDYHKAAMGLGAQGLILSRDNKDQVVKV LREGQQLCQDGHAVVVNILIGRTDFRDGSISV >ENSMUSP00000036257.7 pep:known chromosome:GRCm38:16:56477846:56690128:1 gene:ENSMUSG00000035258.14 transcript:ENSMUST00000048471.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi3bp description:ABI gene family, member 3 (NESH) binding protein [Source:MGI Symbol;Acc:MGI:2444583] MLSSLGCLLLCGSIALALGNAQKLPKGKKPSLKVHINTTSDSILLKFLRPNANVKLEGFL LGYGSNVSPNQYFPLPTEGKFTEAVVDAEPKYLIVVRPAPPPSQKKSCSGKSRPRKPLQL VVGTLTPSSVFLSWGFLINPHHDWTLPSHCPSDRFYTIRYREKDKEKKWIFQLCPATETI VENLKPNTVYEFGVKDNIEGGIWSKIFNHKTIVGSKNKVNGKIQSTYDQVHSVPVPRKLI PLTIIKQVIQNVTHRASTKSPDKTPFGGTILVHLIIPGLNESTVKLPTSIMLEISDALKA QLAKNETLALPAESKTPEVEKLAGQPVTVTPESVSRSTKPTLSSALDTAETALVLSEKTS ETARSVLIPEFELPLSTLAPKRFPEFPEAKTAFPLEKPRGSWASSEEPWVVPGAKTSEDS RVVQPQTATYDVISSSTTSDETEIEIHTATRDPILDSVPPKTSRTAEQPRATLAPIEALF ESRNVEIFTSPEVRPTTAAPQQTTSIPSTPKRQSTPKPPRVKPAPEPETRPSAQTTKAPR KTKKPGHHRLRRPKTTRSPEVPKSKPALEPATVTPEILVPKIVPKPPQKPKATRRPEVPQ VKPAPRQTTSMPPKLKTPHSRMPAKEPVPKEPLHTTSKPKMPPSPEVADTTSVPKDERLS LKPDPEVTHSETAPLETRGIPLIPVISPRPSQEELQTAMEETDQSTQELFTTKIPRTTEL AKTTQAPHRLHTAPVRPRIPGRPHGRPALNKTTTRPDKTKPRGTSHKNGVGTGTKQAPKP PSPGRNASVDSHATRKPGSVSGTRRPPIPHRHSSTRPVSPERRPLPPNNVTGKPGRAGIV SSSRVTSPPLKATLHPIGTATARPGAEQKEPTAPASEEEFGTTTDFSSSPTKETDPLGKP RFIGPHVRYIPKPENKPCSITDSVRRFPTEEATEGNATSPPQNPPTNLTVVTVEGCPSFV ILDWEKPLNDTVTEYEVISRENGSFSGKNKSIQITNQTFSTVENLKPDTSYEFQVKPKNP LGEGPASNTVAFSTESADPRVSEPISAGRDAIWTERPFNSDSYSECKGKQYVKRTWYKKF VGVQLCNSLRYKIYLSDSLTGKFYNIGDQRGHGEDHCQFVDSFLDGRTGQQLTSEQLPTK EGYFRAVRQEPVQFGEIGGHTQINYVQWYECGTTIPGKW >ENSMUSP00000093712.3 pep:known chromosome:GRCm38:16:56477846:56690128:1 gene:ENSMUSG00000035258.14 transcript:ENSMUST00000096013.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi3bp description:ABI gene family, member 3 (NESH) binding protein [Source:MGI Symbol;Acc:MGI:2444583] MLSSLGCLLLCGSIALALGNAQKLPKGKKPSLKVHINTTSDSILLKFLRPNANVKLEGFL LGYGSNVSPNQYFPLPTEGKFTEAVVDAEPKYLIVVRPAPPPSQKKSCSGKSRPRKPLQL VVGTLTPSSVFLSWGFLINPHHDWTLPSHCPSDRFYTIRYREKDKEKKWIFQLCPATETI VENLKPNTVYEFGVKDNIEGGIWSKIFNHKTIVGSKNKVNGKIQSTYDQVHSVPVPRKLI PLTIIKQVIQNVTHRASTKSPDKTPFGGTILVHLIIPGLNESTVKLPTSIMLEISDALKA QLAKNETLALPAESKTPEVEKLAGQPVTVTPESVSRSTKPTLSSALDTAETALVLSEKTS ETARSVLIPEFELPLSTLAPKRFPEFPEAKTAFPLEKPRGSWASSEEPWVVPGAKTSEDS RVVQPQTATYDVISSSTTSDETEIEIHTATRDPILDSVPPKTSRTAEQPRATLAPIEALF ESRNVEIFTSPEVRPTTAAPQQTTSIPSTPKRQSTPKPPRVKPAPRQTTSMPPKLKTPHS RMPAKEPVPKEPLHTTSKPKMPPSPEVADTTSVPKDERLSLKPDPEVTHSETVLPPVTFR VEPPKTTIAPLETRGIPLIPVISPRPSQEELQTAMEETDQSTQELFTTKIPRTTELAKTT QAPHRLHTAPVRPRIPGRPHGRPALNKTTTRPDKTKPRGTSHKNGVGTGTKQAPKPPSPG RNASVDSHATRKPGSVSGTRRPPIPHRHSSTRPVSPERRPLPPNNVTGKPGRAGIVSSSR VTSPPLKATLHPIGTATARPGAEQKEPTAPASEEEFGTTTDFSSSPTKETDPLGKPRFIG PHVRYIPKPENKPCSITDSVRRFPTEEATEGNATSPPQNPPTNLTVVTVEGCPSFVILDW EKPLNDTVTEYEVISRENGSFSGKNKSIQITNQTFSTVENLKPDTSYEFQVKPKNPLGEG PASNTVAFSTESADPRVSEPISAGRDAIWTERPFNSDSYSECKGKQYVKRTWYKKFVGVQ LCNSLRYKIYLSDSLTGKFYNIGDQRGHGEDHCQFVDSFLDGRTGQQLTSEQLPTKEGYF RAVRQEPVQFGEIGGHTQINYVQWYECGTTIPGKW >ENSMUSP00000093711.3 pep:known chromosome:GRCm38:16:56477846:56690128:1 gene:ENSMUSG00000035258.14 transcript:ENSMUST00000096012.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi3bp description:ABI gene family, member 3 (NESH) binding protein [Source:MGI Symbol;Acc:MGI:2444583] MLSSLGCLLLCGSIALALGNAQKLPKGKKPSLKVHINTTSDSILLKFLRPNANVKLEGFL LGYGSNVSPNQYFPLPTEGKFTEAVVDAEPKYLIVVRPAPPPSQKKSCSGKSRPRKPLQL VVGTLTPSSVFLSWGFLINPHHDWTLPSHCPSDRFYTIRYREKDKEKKWIFQLCPATETI VENLKPNTVYEFGVKDNIEGGIWSKIFNHKTIVGSKNKVNGKIQSTYDQVHSVPVPRKLI PLTIIKQVIQNVTHRASTKSPDKTPFGGTILVHLIIPGLNESTVKLPTSIMLEISDALKA QLAKNETLALPAESKTPEVEKLAGQPVTVTPESVSRSTKPTLSSALDTAETALVLSEKTS ETARSVLIPEFELPLSTLAPKRFPEFPEAKTAFPLEKPRGSWASSEEPWVVPGAKTSEDS RVVQPQTATYDVISSSTTSDETEIEIHTATRDPILDSVPPKTSRTAEQPRATLAPIEALF ESRNVEIFTSPEVRPTTAAPQQTTSIPSTPKRQSTPKPPRVKPAPRQTTSMPPKLKTPHS RMPAKEPVPKEPLHTTSKPKMPPSPEVADTTSAPLETRGIPLIPVISPRPSQEELQTAME ETDQSTQELFTTKIPRTTELAKTTQAPHRLHTAPVRPRIPGRPHGRPALNKTTTRPDKTK PRGTSHKNGVGTGTKQAPKPPSPGRNASVDSHATRKPGSVSGTRRPPIPHRHSSTRPVSP ERRPLPPNNVTGKPGRAGIVSSSRVTSPPLKATLHPIGTATARPGAEQKEPTAPASEEEF GTTTDFSSSPTKETDPLGKPRFIGPHVRYIPKPENKPCSITDSVRRFPTEEATEGNATSP PQNPPTNLTVVTVEGCPSFVILDWEKPLNDTVTEYEVISRENGSFSGKNKSIQITNQTFS TVENLKPDTSYEFQVKPKNPLGEGPASNTVAFSTESADPRVSEPISAGRDAIWTERPFNS DSYSECKGKQYVKRTWYKKFVGVQLCNSLRYKIYLSDSLTGKFYNIGDQRGHGEDHCQFV DSFLDGRTGQQLTSEQLPTKEGYFRAVRQEPVQFGEIGGHTQINYVQWYECGTTIPGKW >ENSMUSP00000128818.1 pep:known chromosome:GRCm38:16:56477846:56690128:1 gene:ENSMUSG00000035258.14 transcript:ENSMUST00000171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi3bp description:ABI gene family, member 3 (NESH) binding protein [Source:MGI Symbol;Acc:MGI:2444583] MLSSLGCLLLCGSIALALGNAQKLPKGKKPSLKVHINTTSDSILLKFLRPNANVKLEGFL LGYGSNVSPNQYFPLPTEGKFTEAVVDAEPKYLIVVRPAPPPSQKKSCSGKSRPRKPLQL VVGTLTPSSVFLSWGFLINPHHDWTLPSHCPSDRFYTIRYREKDKEKKWIFQLCPATETI VENLKPNTVYEFGVKDNIEGGIWSKIFNHKTIVGSKNKVNGKIQSTYDQVHSVPVPRKLI PLTIIKQVIQNVTHRASTKSPDKTPFGGTILVHLIIPGLNESTVKLPTSIMLEISDALKA QLAKNETLALPAESKTPEVEKLAGQPVTVTPESVSRSTKPTLSSALDTAETALAPRQTTS MPPKLKTPHSRMPAKEPVPKEPLHTTSKPKMPPSPEVADTTSAPLETRGIPLIPVISPRP SQEELQTAMEETDQSTQELFTTKIPRTTELAKTTQAPHRLHTAPVRPRIPGRPHGRPALN KTTTRPDKTKPRGTSHKNGVGTGTKQAPKPPSPGRNASVDSHATRKPGSVSGTRRPPIPH RHSSTRPVSPERRPLPPNNVTGKPGRAGIVSSSRVTSPPLKATLHPIGTATARPGAEQKE PTAPASEEEFGTTTDFSSSPTKETDPLGKPRFIGPHVRYIPKPENKPCSITDSVRRFPTE EATEGNATSPPQNPPTNLTVVTVEGCPSFVILDWEKPLNDTVTEYEVISRENGSFSGKNK SIQITNQTFSTVENLKPDTSYEFQVKPKNPLGEGPASNTVAFSTESADPRVSEPISAGRD AIWTERPFNSDSYSECKGKQYVKRTWYKKFVGVQLCNSLRYKIYLSDSLTGKFYNIGDQR GHGEDHCQFVDSFLDGRTGQQLTSEQLPTKEGYFRAVRQEPVQFGEIGGHTQINYVQWYE CGTTIPGKW >ENSMUSP00000077978.7 pep:known chromosome:GRCm38:15:89182217:89196474:-1 gene:ENSMUSG00000015377.9 transcript:ENSMUST00000078953.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd6b description:DENN/MADD domain containing 6B [Source:MGI Symbol;Acc:MGI:1916690] MEVPVGPGPRQAGGGLGATRSSSSGRAARTAEMPWARFSAWLECVCVVTFDLELGQALEL VYPSDFRLTDKEKSSICYLAFPDSHSGCLGDTQFSFRMRQCGGQRSLWQVDDRSYNNKAP LALQREPAHYLGYVYFRQVKDSSVKRGYFQKSLVLVSRLPFVRLFQSLLSLIAPEYFEKL APCLEAVCNEIDQWPAPVPGQTLNLPIMGVVIQVRIPSRVDKLESSPPKQCDQENLLPAP VVLTSVHELDLFRCFRPVLTHVQTLWELMLLGEPLVVLAPSPDVSSELVLALTSCLQPLK FCCDFRPYFTIHDSEFKELTTRTQAPPNVVLGVTNPFFIKTLQHWPHVLRIGEPKMSGDL PKQVKLKKPSRLKTLDTKPGLYTSYTAHLHRDKALLKRLLKGVQKKRPWDVQSALLRRHL LELTQSFIIPLEHYMASLMPLQKNITPWKSPPQICPFRQDDFLRSLEHAGPQLTCILKGD WLGLYRRFFKSPHFDGWYRQRHKEMAQKLEALHLEAICEANIEAWMKDKSEVEVVDLVLK LREKLVRAQGHQLPVKEVTLQRAQLYIDTVIGSLPKDLQAVLCPP >ENSMUSP00000008626.8 pep:known chromosome:GRCm38:17:24715839:24718057:-1 gene:ENSMUSG00000008482.8 transcript:ENSMUST00000008626.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf151 description:ring finger protein 151 [Source:MGI Symbol;Acc:MGI:1914754] MSGGYDLNLFASPPDCKFLCSVCHGVLKRPTRLPCSHIFCKKCIFRWLARQNTCPCCRKE VTRRKMVEVNKLRKTIGRLQVKCKNAAAGCLDTHPLAHRKEHQDSCPFELMACPNEGCTV QVLRGVLDEHRQHCQQNGQQRCPLGCGSTLAALEGEHHNCYRELRDAWVQRHERNRTLLL GLLGRVRRVHLTTSIIHQQLAQLSNFLEDDDNLLLNAQVQETEVTPEAEMRGTQGQSVL >ENSMUSP00000105210.1 pep:known chromosome:GRCm38:8:93056727:93080017:-1 gene:ENSMUSG00000078964.1 transcript:ENSMUST00000109582.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces1b description:carboxylesterase 1B [Source:MGI Symbol;Acc:MGI:3779470] MWLHALVWASLALCPIWGHSPSSPVVDTTQGKILGKYTSLEGFEHPVAVFLGVPFAKPPL GSLRFAPPEPAEPWSFVKNATSYPPMCSQDAVAVQLLSDMLSTKKESIPPLFSEDCLYLN IYSPADLTKSSLLPVMVWIHGGGLMTGGASLYNGLALSAHENVVVVTIQYRLGIWGLFST GDEHSRGNWAHLDQLAALRWVQDNIANFGGNPDSVTVFGSSAGGVSVSVLVLSPLAKNLF HRAISESSVVLENIVITLDLVACDLSQMIATVSGCNDTSSTAMVQCLRQKTENELLEITV KLEVTKVGGAASAKKDNTSMSTVIDGVVLPKAPEEILAEKSFSTVPYIVGFNKQEFGWII PTMLGDLLSEGKMNEETASLLLRRFHSDLNISESLIPAVIEKYLRGTDDPAKKRDLLLDM FSDVFFGIPAVLLSRSLRDAGVSTYMYEFRYRPSFVSDKRPQTVEGDHGDEIFSVFGIPL LKEGTSEEETNLSKMVMKFWANFARNGNPNGEGLPHWPEYDEKEGYLQIGATTQQAQRLK AEEVAFWTELLAKNPPETDPTEHREHK >ENSMUSP00000045229.6 pep:known chromosome:GRCm38:7:45677689:45694404:-1 gene:ENSMUSG00000040364.7 transcript:ENSMUST00000040636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec1 description:secretory blood group 1 [Source:MGI Symbol;Acc:MGI:1928893] MPPETAWDRSTVAPSRLEAWWPQTSRPRRIQAVLQRLRAICPPLSTFYLFFVIFVVSTIF HCHRRLSLVPGPWASPSLVVFPPRHMPREGMFTIRVKGRLGNQMGEYATLFALARMNGRL AFIPASMHSTLAPIFRISLPVLHSDTAKRIPWQNYHLNDWMEERYRHIPGQYVRFTGYPC SWTFYHHLRPEILKEFTLHDHVREEAQAFLRGLQVNGSQPSTFVGVHVRRGDYVRVMPKV WKGVVADRGYLEKALDRFRARYSSPVFVVTSDDMAWCRKSITASRGDVAFAGNGLQGSPA KDIALLMQCNHTVITLGTFGIWAAYLTGGDTVYLANFTQPNSPFHTVFKPEAAYLPEWVG IAADLGQPNTVGSGHASARAPKRHWGALL >ENSMUSP00000037289.5 pep:known chromosome:GRCm38:7:45918023:45921426:-1 gene:ENSMUSG00000040212.11 transcript:ENSMUST00000038876.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emp3 description:epithelial membrane protein 3 [Source:MGI Symbol;Acc:MGI:1098729] MSLLLLVVSALHILILVLLFVATLDKSWWTLPDKESLNLWYDCTWNTTTQTWACSNVSEN GWLKAVQALMVLSLILCCLSFILFMFQLYTMRRGGLFYATGLCQLCTSAAVFSGALIYAI HTEEILAKHPSGGSFGYCFALAWVAFPLALVSGTVYIHLRKRE >ENSMUSP00000132519.1 pep:known chromosome:GRCm38:7:45918023:45920849:-1 gene:ENSMUSG00000040212.11 transcript:ENSMUST00000164119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emp3 description:epithelial membrane protein 3 [Source:MGI Symbol;Acc:MGI:1098729] MSLLLLVVSALHILILVLLFVATLDKSWWTLPDKESLNLWYDCTWNTTTQTWACSNVSEN GWLKAVQALMVLSLILCCLSFILFMFQLYTMRRGGLFYATGLCQLCTSAAVFSGALIYAI HTEEILAKHPSGGSFGYCFALAWVAFPLALVSGTVYIHLRKRE >ENSMUSP00000034218.3 pep:known chromosome:GRCm38:8:94329192:94366213:1 gene:ENSMUSG00000031766.3 transcript:ENSMUST00000034218.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a3 description:solute carrier family 12, member 3 [Source:MGI Symbol;Acc:MGI:108114] MAELPVTELPGDALCSGRFTISTLMGGDEPPPAACDSSQPSHLTHGSTLYMRTFGYNTID VVPAYEHYANSALPGEPRKVRPTLADLHSFLKQEGSHLHALAFDGRQGRELTDGLVEDET GTNSEKSPGEPVRFGWVKGVMIRCMLNIWGVILYLRLPWITAQAGIVLTWLIILLSVMVT SITGLSISAISTNGKVKSGGTYFLISRSLGPELGGSIGLIFAFANAVGVAMHTVGFAETV RDLLQEYGTPIVDPINDIRIIGVVTVTVLLAISLAGMEWESKAQVLFFLVIMVSFANYLV GTLIPASEDKASKGFYSYHGDIFVQNLVPDWRGIDGSFFGMFSIFFPSATGILAGANISG DLKDPAVAIPKGTLMAIFWTTISYLAISATIGSCVVRDASGDVNDTMTPGPGPCEGLACG YGWNFTECSQQRSCRYGLINYYQTMSMVSAFAPLITAGIFGATLSSALACLVSAAKVFQC LCEDQLYPLIGFFGKGYGKNREPVRGYLLAYAIAVAFIIIAELNTIAPIISNFFLCSYAL INFSCFHASITNSPGWRPSFRYYSKWAALFGAVISVVIMFLLTWWAALIAIGVVLFLLLY VIYKKPEVNWGSSVQAGSYNLALSYSVGLNEVEDHIKNYRPQCLVLTGPPNFRPALVDFV STFTQNLSLMICGHVLIGPGKQRVPELRLIASGHTKWLNKRKIKAFYSDVIAEDLRSGVQ ILMQASGLGRMKPNILVVGFKRNWQSAHPATVEDYIGVLHDAFDFNYGVCVMRMREGLNV SEALQTHTTPEALIQEEQASTIFQSEQGKKTIDIYWLFDDGGLTLLIPYLLHRKKRWGKC KIRVFVGGQINRMDEERKAIISLLSKFRLGFHEVHVLPDINQKPQAEHTKRFEDMIAPFR LNDGFKDEATVTEMRRDCPWKISDEEINKNRIKSLRQVRLSEILLDYSRDAALIILTLPI GRKGKCPSSLYMAWLETLSQDLRPPVLLIRGNQENVLTFYCQ >ENSMUSP00000041635.7 pep:known chromosome:GRCm38:10:80057416:80102698:-1 gene:ENSMUSG00000035673.9 transcript:ENSMUST00000042771.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbno2 description:strawberry notch homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2448490] MLAVEPTMDGDFPPHELPPPGGGIQLQNRLLHCPWWGSFSPSLYPTFSSENQQFVGSTPF LGGQSCPETSYPTTATVPSFFSKSSDFPQDPSCLEDLSNASVFSSSVDSLSDIPDTPDFL QADSLNEVPTIWDVSTTSTTHDKLFIPSGPFSAPEDPVTSLSSTPLLISYQSHSQPEEEE GEEEEETEELGHAETYADYVPSKSKIGKQHPDRVVETSTLSSVPPPDITYTLALPTSDNS TLSALQLEAITYACQQHEVLLPSGQRAGFLIGDGAGVGKGRTVAGIIVENYLRGRKKALW FSASNDLKYDAERDLRDIEAPGIAVHALSKIKYGDNTTSEGVLFATYSALIGESQAGGQH RTRLRQILQWCGEGFDGVIVFDECHKAKNASSTKMGKAVLDLQSKLPQARVVYASATGAS EPRNMIYMSRLGIWGEGTPFRTFEEFLHAIEKRGVGAMEIVAMDMKVSGMYIARQLSFSG VTFRIEEIPLSPAFQQVYNRAARLWAEALSVFQQAADWIGLESRKSLWGQFWSAHQRFFK YLCIAAKVHRLVELAQQELSRDKCVVIGLQSTGEARTREVLDENEGRLDCFVSAAEGVFL SLIQKHFPSTRRRRDRGGGKRKRRPRGRGPKASRLSLEAAGVIRISDGSSTESDAGLDSD FNSSPESLVDDDVVIVDAPTHPTDDRGSLYPLQRDLQGPGVVERVERLKQGLLAKVRALG RELPVNTLDQLIHQLGGPECVAEMTGRKGRVVSRPDGTVVFESRAEQGLSIDHVNLREKQ RFMSGEKLVAIISEASSSGVSLQADRRVQNQRRRVHMTLELPWSADRAIQQFGRTHRSNQ VSAPEYVFLISELAGERRFASIVAKRLESLGALTHGDRRATESRDLSKYNFENKYGARAL SRVLATIMGQTDNRVPLPQGYPGGDTAFFRDMKQGLLSVGIGSRESRSGCLDVEKDCSIT KFLNRILGLEVHKQNALFQYFSDTFDHLIEIDKKEGRYDMGILDLAPGINEIHEESQQVF LAPGHPQDGQVVFYKQISVDRGMKWEEALTRSLELKGPYDGFYLSYKVRGSKMSCLLAEQ NRGEYFTVYKPNIGRQSQLETLDSLCRKFHRVTVEEAREPWESSYALSLEHCSHTTWNQR CRLTQEGKCCAQGLRLRHHYMLCGALLRVWGRIAAVMADVSSSSYLQIVRLKTKDKKKQV GIKIPEGCVHRVLQELQLMDAEVKRRSTHGLAARPPTPRAITLPCGPGEVLDLTYSPPAE AFPTPPRFAFPSLPPPDPSSLMLGARDPATNPVELAHQSCDINFREVLEDMLRSLRAGPT ETPAPLVGVGGGGTERQSVIHFSPPFPNS >ENSMUSP00000120658.1 pep:known chromosome:GRCm38:7:46686108:46710651:-1 gene:ENSMUSG00000006763.13 transcript:ENSMUST00000143082.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saal1 description:serum amyloid A-like 1 [Source:MGI Symbol;Acc:MGI:1926185] MDRNPSPPPPTCGSEDEEDLGGGDRIGSTVYSKHWLFGVLSGLIQIVTPESGTSGSADEE EQADLAEEMENEICRVWDMSMDEDVALFLQEFKAPDIFMGVLAKSPCPRLREICVGILGN MACFREICESISKNEDHGQVLLQCLCDSDPPTLLETCRLLLTCLSQTEVASVWVRRIREH PSVYANVCFIMSSSTNVDLLVKVGEVVDKLFDLDEKLMLEWIRKGATRLPGQPHEDSEEQ PVFSIVPCVLEAAKQVRSENLEGLDVYMRILQLLTTVDDGVQAIVQCPDTGNDTWRLLFD LVCHEFCQPDDPPVILQEQKTVLASVFSVLSAISASRAEQEHLKIEEGDLPLIDSLIRVL QNMEHCQKKPENPSESDTEEPTICGPTQDDFHMKILKDISCEFLSNIFQVLTKEKVAQGL KEGQLSKQKCSCAFQSLLPLYGPAVEDFVKVVREVDEALADDLEDSFPSVKAQT >ENSMUSP00000008605.5 pep:known chromosome:GRCm38:7:45617606:45621059:1 gene:ENSMUSG00000008461.5 transcript:ENSMUST00000008605.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut1 description:fucosyltransferase 1 [Source:MGI Symbol;Acc:MGI:109375] MWTPSRRQLCLAFLLVCVLSAGSFFFHLNGGNFFRNGLTLSVLCSDYHLLKSPVAMVCLP HPLQTSNGSPSCPEQSSSLSGTWTITPGGRFGNQMGQYATLLALAQLNGRQAFIQPEMHA ALAPVFRISLPVLDPEVDSLTPWQHLVLHDWMSEEYSHLEDPFLKLSGFPCSWTFFHHLR EQIRREFTLHNHLREGAQYLLSGLRIGPAGIRPHTFVGVHVRRGDYLEVMPNRWKGVVGD RAYLQQAMDWFRARHKDPIFVVTSNGMKWCLENIDTSHGDVVFAGNGQEGTPGKDFALLT QCNHTIMTIGTFGFWAAYLAGGDTVYLANFTLPDSEFLKIFRPEAAFLPEWVGINADLSP LQAQFDPWKPDSLFRLV >ENSMUSP00000026625.5 pep:known chromosome:GRCm38:14:122181694:122402232:1 gene:ENSMUSG00000025545.9 transcript:ENSMUST00000026625.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clybl description:citrate lyase beta like [Source:MGI Symbol;Acc:MGI:1916884] MALCVLRNTVRGAAALPRLKASHVVSVYKPRYSSLSNHKYVPRRAVLYVPGNDEKKIRKI PSLKVDCAVLDCEDGVAENKKNEARLRIAKTLEDFDLGTTEKCVRINSVSSGLAEVDLET FLQARVLPSSLMLPKVEGPEEIRWFSDKFSLHLKGRKLEQPMNLIPFVETAMGLLNFKAV CEETLKTGPQVGLCLDAVVFGGEDFRASIGATSNKDTQDILYARQKVVVTAKAFGLQAID LVYIDFRDEDGLLRQSREAAAMGFTGKQVIHPNQIAVVQEQFTPTPEKIQWAEELIAAFK EHQQLGKGAFTFRGSMIDMPLLKQAQNIVTLATSIKEK >ENSMUSP00000100970.2 pep:known chromosome:GRCm38:10:80879720:80899441:1 gene:ENSMUSG00000059406.7 transcript:ENSMUST00000105333.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss9 description:transmembrane protease, serine 9 [Source:MGI Symbol;Acc:MGI:3612246] MEPTAPDLQLVPEVTKAVSVSAPDPGPCRTAVIAVVGISMAIVTLGVLSAFFSAQGAHVE HIAELHGIRFTSSLQQENSDFYRLLTHALQTLFVSSFQKTELESSCAGCTVLSYRDGNST VIVHFRLHFLLRALQPLSLKQEADILQKGIQARLQEQGLSLAAYGTIVSVELTGRCEGPV TERDLKSGHCPGNVFSCQNGQCVSKENPECDDRVDCSDESDEAQCDCGWQPAWRSAGRIV GGVEAAPGEFPWQVSLRENHEHFCGATIIGARWLVSAAHCFNEFQDPAQWAAQAGSVHLS GSEASAVRTRVLRIAKHPAYDADTADFDVAVLELARPLPFGRYVQPACLPAATHVFPPGK KCLISGWGYLKEDFLVKPEVLQKATVELLDQSLCSSLYGHSLTDRMVCAGYLDGKVDSCQ GDSGGPLVCEEPSGRFFLAGIVSWGIGCAEARRPGVYTRVTRLRDWILEVTSAADMPVVP TATPAPATPSTPWPTSPESWAPNTFAKPTAAPSPVPLHPSTTAKPQECGARPAMDKPTRI VGGISAVSGEVPWQASLKEGPRHFCGATVVGDRWLLSAAHCFNHTKVEQVQAHLGTVSLL GVGGSPVKLGLRRVALHPRYNPGILDFDVALLELAQPLVFNKYIQPVCLPLAIHKFPVGR KCMISGWGNMQEGNATKPDILQKASVGIIEQKMCGALYNFSLTDRMLCAGFLEGRVDSCQ GDSGGPLACEETPGVFYLAGIVSWGIGCAQAKKPGVYARITRLKDWILKAMSSDPSSMAR PHTSSTRLIPSEPPKTTAAGLIIPEATTSRLATPRATIRVTTRPLNTTLSARSTTTRGQT AAPSAPGTTIHSHLPDCGLAPPGALTRIVGGSAASLGEWPWQVSLWLRRREHRCGAVLVA ERWLLSAAHCFDIYGDPMQWAAFLGTPFLSSTEGQLERVARIYRHPFYNIYTLDYDVALL ELAGPVRRSRLVRPICLPGPARPPDGARCVITGWGSLREGGSMARQLQKAAVRVLSEQTC RRFYPVQISSRMLCAGFPQGGVDSCSGDAGGPLACREPSGQWVLTGVTSWGYGCGRPHFP GVYTRVAAVLGWIGQNIQE >ENSMUSP00000126773.2 pep:known chromosome:GRCm38:8:105000853:105020410:1 gene:ENSMUSG00000091813.2 transcript:ENSMUST00000172032.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces2h description:carboxylesterase 2H [Source:MGI Symbol;Acc:MGI:3648740] MRLEQIHARLTTATCGLLLLLRVQGQDSTSPIRTTHTGQILGSLIHMKDLDVGVHSFLGI PFAKPPVGPLRFAPPEPPEPWGGVRDGTSHPAMCLQDITAMNMQAFKLLKLTLPPFPMSE DCLYLNIYAPDHAHEGSNLPVMVWIHGGSLVIGMASMYDGSMLAAMENVVVVTIQYRLGV LGFFSTGDERARGNWGYLDQVAALRWLQQNIAYFGGNPDRVTIFGTSAGGTSVSSLVVSP MSQGLFRGAIMESGVALISSLISVSSDVVYQTVANLSGCEQVDSEALVNCLRGKSEEEIM AINKAFKIIPGIVDGIFLPRHPQELMASADFHPVPSIIGVNNDEYGWIIPSSMSMIDSKK GMDRQMVQAILQRRATQMMWPPEVSDLLMEEYMGDNEDPQFLQVQFKEMMKDFTFVIPAL QVAQFQRSHAPVFFYEFQHRPSFFKDSKPSHVKADHGDEILFIFRSFWGGTQVDFTEEEE LLSRRMMKYWANFARQRNPNSEGLPYWPMFDQDEQYLQLDTQPAVGRALKTRRLQFWTKT LPEKIQELKDIEDRHKEL >ENSMUSP00000137605.1 pep:known chromosome:GRCm38:8:113635586:113645178:1 gene:ENSMUSG00000078908.9 transcript:ENSMUST00000179926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mon1b description:MON1 homolog b (yeast) [Source:MGI Symbol;Acc:MGI:1923231] MEAGGDNAVPAPGGVEDLVDTQFPREEAGDSERVHASTLDPGDGDPEDTGSKDQPSSLLS PLPQTEAASSTCEHWETAAASDSSPPGEPESNSEGQGEDPDDGGDPSDEDWRSQRKHVFV LSEAGKPIYSRYGSVEALSATMGVMTALVSFVQSAGDAIRAIYAEDHKLVFLQQGPLLLV AVSRTPQSAAQLRGELLAVHAQIVSTLTRASVARIFAHKQNYDLRRLLAGSERTLDRLLD SVEQDPGALLLGAVRCVPLARPLRDALGTLLRRCTAPGLALSVLAVGGRLITVAQERNVL AECRLDPADLQLLLDWVGAPAFAAGEAWAPVCLPRFNPDGFFYAYVARLDSMPVCLLLLG TNREAFHAMAACRRLVEDGMHNLGALRTLGEAANFSNGPAASAPAYSVQAVGAPGLRHFL YKPLDIPEQHRQLPQFTSPELEAPYSREEERQRLSDLYHRLHARLHSTSRPLRLIYHVAE KETLLAWVTSKFELYTCLSPLVTKAGAILVVTKLLRWVRKEEDRLFIRYPPKYSTPPSTS ADQAPNNGLFTGL >ENSMUSP00000045089.8 pep:known chromosome:GRCm38:8:113635799:113645178:1 gene:ENSMUSG00000078908.9 transcript:ENSMUST00000035777.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mon1b description:MON1 homolog b (yeast) [Source:MGI Symbol;Acc:MGI:1923231] MEAGGDNAVPAPGGVEDLVDTQFPREEAGDSERVHASTLDPGDGDPEDTGSKDQPSSLLS PLPQTEAASSTCEHWETAAASDSSPPGEPESNSEGQGEDPDDGGDPSDEDWRSQRKHVFV LSEAGKPIYSRYGSVEALSATMGVMTALVSFVQSAGDAIRAIYAEDHKLVFLQQGPLLLV AVSRTPQSAAQLRGELLAVHAQIVSTLTRASVARIFAHKQNYDLRRLLAGSERTLDRLLD SVEQDPGALLLGAVRCVPLARPLRDALGTLLRRCTAPGLALSVLAVGGRLITVAQERNVL AECRLDPADLQLLLDWVGAPAFAAGEAWAPVCLPRFNPDGFFYAYVARLDSMPVCLLLLG TNREAFHAMAACRRLVEDGMHNLGALRTLGEAANFSNGPAASAPAYSVQAVGAPGLRHFL YKPLDIPEQHRQLPQFTSPELEAPYSREEERQRLSDLYHRLHARLHSTSRPLRLIYHVAE KETLLAWVTSKFELYTCLSPLVTKAGAILVVTKLLRWVRKEEDRLFIRYPPKYSTPPSTS ADQAPNNGLFTGL >ENSMUSP00000127878.1 pep:known chromosome:GRCm38:17:30624354:30875264:1 gene:ENSMUSG00000033826.9 transcript:ENSMUST00000170651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah8 description:dynein, axonemal, heavy chain 8 [Source:MGI Symbol;Acc:MGI:107714] MESEEGNAEPPPPSEEAPPPVVEEAPPPLPPEDTAPPPPEEQAPPPEGDAAPPPTGDAFQ LTVEGEAPHPEDPKLLSEQGPATSVTDYRSLIPSDEEVTLPEDEESGQARVRARHTPRPA QSVLSDGISQSSRRPSKFRRSMTGIPNLQETLKEKQARFREARENRKMKIGPSHKYIFEV LGEKLGLDLVTVEELILDCPSLDPFTSFFEKGGCKTLKFLYQEGEVPGFECGRTITGVPK GGKMMRIYVDNAAPDKLKGLCLFFVRCRNDVAINSKTIHEDVLFSFLDASKGLLEGIKHM LKSIFLPAILATSNWGALNQSKQGESEKHIFIETIHRYLASLDDATISIEGTVMLKKVDN IDFSKLHTFEEVTAAASSSEMVHQLEEVLMVWYKQIEQVLIESEQMRKEADDSGPLTELE HWKRMSAKFNFIIEQIKGSNCKAVINVLNVAHSKLLKNWRDLDARITDSANESKDNVRYL YTLEKVCQPLYNYDLVSMAHGIQNLINAIRMIHSVSRYYNTSERMTSLFIKVTNQMVTAC KAYITDGGTNHVWDQETPAVLKKIQDCIFLFKEYQASFHKTRKQILESSGEKSFEVSEMY IFGKFEAFCKRLEKITEMITIVQTYSALSNSTIEGIDILGIKFKNIYQGIKKNQYDILDP RRTEFDTDFTEFMGKINILEIQIQAFMNSTFGKILSSQQALQLLQRFQKLNIPCLHLEIN HTIERILQCYVAELEFTKKLYLSQKDDPPLARNMPPIAGKILWVRQLFRRINEPINYFFK NSDILSSTEGKAVIRQYNRIAYVLVEFEVAYHTAWFKEVSQLQYALQATLFVRHPETGKL LVNFDPKILEVVRETKCMIKMKLDVPEQAKNLLKLESKLKADKLYLQGLLQYYDDLCQEV PSVFVNLMTPKMKKVESVLRQGLTVLTWSSLMLESFFKEVESVLDMFNQLLKKVNDLCEM HIDTVLKEIAKTLLISLSDSGTTKVEDMLTLNETYTKECADILNHKSRHVEEAVKELILI FEQIYEVKYTGKAAKSVKEQRKRVVFGSEAEETEGLDFESTTMEVDTNDKEDEFKKECKE VYAFFSHQLLDSLQKATRLSLDTMKRRIFVGSQGRRRSEDIVSFIKTEVHLAIPNVVMVP SLDDIQQAINRMIQLTLEVSRGVAHWGQQQVRQIKSFQNNSRGSDQPPASGKPLKKEERS FEETIPARKLKNFYPGVAEHKDISKLVLLLSSSVNSLRKAATEALQDFQKYKTLWIEDRH VKVKEFLANNPSLTEIRSEILHYATLEQEIKELKPIIVVGSLELHTEPMKLALSIEAKAW KMLLCRYLNEEYKKKMSDMITFINEYLKKLSRPIRDLDDVRFAMEALSCIRDNEIQMDMT LGPIEEAYGILNRFEVKVTKEESEGVDTLRYSFNKLQSKAVSVQGELVKVQPKFKSNLLE SVKVFCEDVINFTEAYETEGPMVPNIPPQEASNRLQIFQANFDDLWRKFVTYSSGEQLFG LPVTDYEVLHKTRKELNLLQKLYGLYDTVMGSISGYYEILWGDVDIEKINAELQEFQNRC RKLPRALKDWQAFLDLKKRIDDFSESCPLLEMMTNKAMKQRHWDRISELTGTPFDVESDT FCLRNIMEAPLLKNKDDIEDICISAIKEKDIEAKLTQVIENWTYQNLSFAAFKGKGELLL KGTESGEIITLMEDSLMVLGSLLSNRYNTPFKKNIQNWVFKLSTSSDIIEEWLIVQNLWV YLEAVFVGGDIAKQLPQEAKRFQNIDKSWIKIMQRAHENPNVISCCVGDETMGQLLPHLH EQLEVCQKSLTGYLEKKRLLFPRFFFVSDPVLLEILGQASDSHTIQPHLPAVSDNINEVT FHAKDYDRMTAVISREGEKIMLDTPVMAKGPVEIWLLDLLKVQMSSLHNIIRSAFYQISD SGFLLLPFLNHFPAQVGLLGIQMLWTHDSEEALNNAKDDRKIMQITNQKFLDILNTLISQ TTHDLTKFDRVKFETLITIHVHQRDIFDDLVKMHIKSVTDFEWLKQSRFYFKEDLDQTVV SITDVDFIYQNEFLGCTDRLVITPLTDRCYITLAQALGMNMGGAPAGPAGTGKTETTKDM GRCLGKYVVVFNCSDQMDFRGLGRIFKGLAQSGSWGCFDEFNRIELPVLSVAAQQIYIVL TARKERKKQFIFSDGDCVDLNPEFGIFLTMNPGYAGRQELPENLKIQFRTVAMMVPDRQI IMRVKLASCGFLENVILAQKFYVLYKLCEEQLTKQVHYDFGLRNILSVLRTLGSQKRARP EDSELSTVMRGLRDMNLSKLVDEDEPLFLSLINDLFPGLQLDSSTYAELQSAVDNQVNLE GLINHPPWNLKLVQLYETSLVRHGLMTLGPSGSGKTTVITILMKSLTECGRPHREMRMNP KAITAPQMFGRLDTATNDWTDGIFSTLWRKTLKAKKGENIFLILDGPVDAIWIENLNSVL DDNKTLTLANGDRIPMAPTCKLLFEVHNIENASPATVSRMGMVYISSSALSWRPILQAWL KKRSQQEASVFLSLYDKVFEDAYTYMKLSLNPKMQLLECNYIMQSLNLLEGLIPSKEEGG VSSGDHLHKLFVFGLMWSLGALLELDSREKLEVFLRGHGSKLNLPEIPKGSQQTMYEFYV TDYGDWEHWNKRIQPYFYPTDSIPEYSSILVPNVDNIRTNFLIDTIAKQHKAVLLTGEQG TAKTVMVKAYLKKYDPEVQLSKSLNFSSATEPMMFQRTIESYVDKRMGSTYGPPGGRKMT VFIDDINMPVINEWGDQITNEIVRQMMEMEGMYSLDKPGDFTTIVDVQLIAAMIHPGGGR NDIPQRLKRQFTVFNCTLPSNTSIDKIFGIIGCGYFDPCRKFRPEICDMVGNLVSVSRVL WQWTKVKMLPTPSKFHYIFNLRDLSRIWQGMLTVKAEECSSIPILLSLFKHECNRVIADR FITPDDEQWFNSQLIRAVEENISPEVAANILPEPYFVDFLRDMPEPTGDEPEDTMFEVPK IYELVPSFEFLSEKLQFYQRQFNEIIRGTSLDLVFFKDAMTHLVKISRIIRTSCGNALLV GVGGSGKQSLSKLASFIAGYQIFQITLTRSYNVSNLIEDLKNLYKVAGAEGKGITFIFTD NEIKDEAFLEYLNNLLSSGEISNLFARDEMDEITQGLISVMKRELPRHPPTFDNLYEYFI TRSRKNLHVVLCFSPVGEKFRARSLKFPGLISGCTMDWFSRWPKEALIAVASYFLLDYNI VCSIETKRHVVETMGLFHDMVSESCENYFQRYRRRAHVTPKSYLSFINGYKSIYTDKVKY INEQAERMNIGLDKLMEASESVAKLSQDLAVKEKELAVASIKADEVLAEVTVSAQASAKV KNEVQEVKDKAQKIVDEIDSEKVKAETKLEAAKPALEEAEAALNTIKPNDIATVRKLAKP PHLIMRIMDCVLLLFQKKIDPVTMDPEKPCCKPSWGESLKLMSATGFLFSLQQFPKDTIN EETVELLQPYFNMDDYTFESAKKVCGNVAGLLSWTLAMVIFYGINREVLPLKANLAKQEG RLAVANVELGKAQALLDEKQAELDKVQAKFDAAMKEKMDLLNDADMCRKKMQAASTLIDG LSGEKVRWTQQSKEFKTQINRLVGDVLLCTGFLSYLGPFNQIFRNYLLKDQWELELKARK IPFTENLNLIAMLVDPPTIGEWGLQGLPGDDLSIQNGIIVTKATRYPLLIDPQTQGKTWI KSKEKENDLQVTSLNHKYFRTHLEDSLSLGRPLLIEDIREELDPALDNVLEKNFIKSGTA FKVKVGDKECDIMDTFKLYITTKLPNPAFTPEINAKTSVIDFTVTMKGLENQLLRRVILT EKQELESERVKLLEDVTFNKRKMKELEDNLLYKLSATKGSLVDDESLIGVLRITKQTAAE VSEKLHVAAETEIKINTAQEEFRPAATRGSILYFLITEMSMVNIMYQTSLAQFLKLFDQS MARSEKSPLPQKRITNIIEYLTYEVFTYSVRGLYENHKFLFVLLMTLKIDLQRGTVKHKE FQALIKGGAALDLKACPPKPFRWILDMTWLNLVELSKLPQFAEIMNQISRNEKGWKNWFD KDAPEEEIIPDGYNDSLDTCRKLLLIRSWCPDRTVFQARKYIADSLEEKYTEPVILNLEK TWEESDTHTPLICFLSMGSDPTIQIDALAKKLKLECRTISMGQGQEVHARKLIQLSMQQG GWVLLQNCHLGLEFMEELLEMLMVTETTEDSFRVWITTEPHDRFPITLLQTSIKFTNEPP QGVRAGLKRTFAGINQDLLDISNLPMWKPMLYTVAFLHSTVQERRKFGPLGWNIPYEFNS ADFSASVQFIQNHLDECDIKKGVSWSTVRYMIGEVQYGGRVTDDFDKRLLNCFARVWFSE KMFEPSFCFYTGYKIPICKTLDQYFEFIQSLPSLDNPEVFGLHPNADITYQSNTASDVLE TITNIQPKESGGGVGETREAIVYRLSEDMLSKLPPNYVPHEVKARLMKMGHLNSMNIFLR QEIDRMQKVISILRSSLSDLKLAIEGTIIMSENLRDALDNMYDARIPQLWKRVSWDSSTL GFWFTELLERNAQFSTWIFEGRPNVFWMTGFFNPQGFLTAMRQEVTRAHKGWALDTVTIH NEVLRQTKEEIITPPAEGVYIYGLYMDGASWDRRNGKLTESTPKVLFTQLPVLHIFAINS TAPKDPKLYVCPIYKKPRRTDLTFITVVYLRTVLSPDHWILRGVALLCDIK >ENSMUSP00000077891.5 pep:known chromosome:GRCm38:8:75033686:75070121:1 gene:ENSMUSG00000042870.14 transcript:ENSMUST00000078847.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1 description:target of myb1 homolog (chicken) [Source:MGI Symbol;Acc:MGI:1338026] MDFLLGNPFSSPVGQRIEKATDGSLQSEDWALNMEICDIINETEEGPKDAFRAVKKRIMG NKNFHEVMLALTVLETCVKNCGHRFHVLVANQDFVENVLVRTILPKNNPPTIVHDKVLNL IQSWADAFRSSPDLTGVVAVYEDLRRKGLEFPMTDLDMLSPIHTPQRTVFNSETPSRQNS VSSNTSQRGDLSQHATPLPTPAVLPGDSPITPTPEQIGKLRSELEMVSGNVRVMSEMLTE LVPTQVEPADLELLQELNRTCRAMQQRILELIPRISNEQLTEELLMINDNLNNVFLRHER FERFRTGQTAKASSEAELATDLIDMGPDPAATNNLSSQLAGMNNRLLSLSNHFLLEEGKK EWAPLQDLGSRSVRAGLQSLETSGHLEDDFDMFALTRGSSLADQRKGVKYEAPQTTDGLA GALDARQQSTGAIPATQARIMEDIEQWLSTDVGNSAEEPSGVTSEEFDKFLEERAKAADR LPNLASPSAEGPPRPSPGTAPRRKTQEKDDDMLFAL >ENSMUSP00000130854.1 pep:known chromosome:GRCm38:8:75033686:75070121:1 gene:ENSMUSG00000042870.14 transcript:ENSMUST00000165630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tom1 description:target of myb1 homolog (chicken) [Source:MGI Symbol;Acc:MGI:1338026] MDFLLGNPFSSPVGQRIEKATDGSLQSEDWALNMEICDIINETEEGPKDAFRAVKKRIMG NKNFHEVMLALTVLETCVKNCGHRFHVLVANQDFVENVLVRTILPKNNPPTIVHDKVLNL IQSWADAFRSSPDLTGVVAVYEDLRRKGLEFPMTDLDMLSPIHTPQRTVFNSETPSRQNS VSSNTSQRGDLSQHATPLPTPAVLPGDSPITPTPEQIGKLRSELEMVSGNVRVMSEMLTE LVPTQVEPADLELLQELNRTCRAMQQRILELIPRISNEQLTEELLMINDNLNNVFLRHER FERFRTGQTAKASSEAELATDLIDMGPDPAATNNLSSQLAGMNLGSRSVRAGLQSLETSG HLEDDFDMFALTRGSSLADQRKGVKYEAPQTTDGLAGALDARQQSTGAIPATQARIMEDI EQWLSTDVGNSAEEPSGVTSEEFDKFLEERAKAADRLPNLASPSAEGPPRPSPGTAPRRK TQEKDDDMLFAL >ENSMUSP00000104730.2 pep:known chromosome:GRCm38:8:116568724:116732991:-1 gene:ENSMUSG00000031758.9 transcript:ENSMUST00000109102.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdyl2 description:chromodomain protein, Y chromosome-like 2 [Source:MGI Symbol;Acc:MGI:1923046] MASGDLYEVERIVDKRKNKKGKWEYLIRWKGYGSTEDTWEPEHHLLHCEEFIDEFNGLHL SKDKRVKSGKQAGASKLLRDARGLPVERLSHRPLEPGKSKPSSHKRKRVNSPLSRPKKGS SGKAPDRATKTVSYRTTPSGLQIMPLKKAQNGLENGDAGSEKDESHFGNGSHQPDLELND QLGEQEASDCDGTHSALVENGVGSALTNGGLNLHSPVKRKLETEKDYVFDKRLRYSVRQN ESNCRFRDIVVRKEEGFTHILLSSQTSDNNALTPEIMKEVRRALCNAATDDSKLLLLSAV GSVFCSGLDYSYLIGRLSSDRRKESTRIAEAIRDFVKAFIQFKKPIVVAINGPALGLGAS ILPLCDIVWASEKAWFQTPYATIRLTPAGCSSYTFPQILGVALANEMLFCGRKLTAQEAC SRGLVSQVFWPTTFSQEVMLRVKEMASCSAVVLEESKCLVRSFLKSVLEEVNEKECVMLK QLWSSSKGLDSLFSYLQDKIYEV >ENSMUSP00000007814.8 pep:known chromosome:GRCm38:17:57021058:57031507:-1 gene:ENSMUSG00000007670.9 transcript:ENSMUST00000007814.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khsrp description:KH-type splicing regulatory protein [Source:MGI Symbol;Acc:MGI:1336214] MSDYNTGGPPPGPPPPAGGGGGAAGAGGGPPPGPPGAGDRGGGGPGGGGPGGGGASGGPS QPPGGGGPGIRKDAFADAVQRARQIAAKIGGDAATTVNNNTPDFGFGGQKRQLEDGDQPD SKKLASQGDSIGSQLGPIHPPPRTSMTEEYRVPDGMVGLIIGRGGEQINKIQQDSGCKVQ ISPDSGGLPERSVSLTGAPESVQKAKMMLDDIVSRGRGGPPGQFHDNANGGQNGTVQEIM IPAGKAGLVIGKGGETIKQLQERAGVKMILIQDGSQNTNVDKPLRIIGDPYKVQQACEMV MDILRERDQGGFGDRNEYGSRVGGGIDVPVPRHSVGVVIGRSGEMIKKIQNDAGVRIQFK QDDGTGPEKIAHIMGPPDRCEHAARIINDLLQSLRSGPPGPPGAPGMPPGGRGRGRGQGN WGPPGGEMTFSIPTHKCGLVIGRGGENVKAINQQTGAFVEISRQLPPNGDPNFKLFVIRG SPQQIDHAKQLIEEKIEGPLCPVGPGPGGPGPAGPMGPFNPGPFNQGPPGAPPHAGGPPP HQYPPQGWGNTYPQWQPPAPHDPNKAAAAATDPNAAWAAYYSHYYQQPPGPVPGPAPAPA APPAQGEPPQPPPTGQSDYTKAWEEYYKKIGQQPQQPGAPPQQDYTKAWEEYYKKQAQVA TGGGPGAPPGSQPDYSAAWAEYYRQQAAYYGQTPGPGGPQPPPTQQGQQQASGNCHPPPP PFSFQPPATVHPALVGSAGNPFPCGVCP >ENSMUSP00000025093.4 pep:known chromosome:GRCm38:17:81026327:81065085:-1 gene:ENSMUSG00000024246.8 transcript:ENSMUST00000025093.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thumpd2 description:THUMP domain containing 2 [Source:MGI Symbol;Acc:MGI:1919417] MAVAQADPGSDPAGGARFFCTAGRGLEPFLMREVRARLEATQVEYISGKVFFTTCSDLPS MKKLKSAERLFVLIKKQLPIAVSSLHKGKILNEMQRFVNDDPGSWLEAISLWKKLLEHDP KREKVSQRDANPLKRKAGENETIIAKKLKVEEKQEVAKDHGESQEDKLLQGSPEQGEAVT RTELQDHRLNSTTEKAADAQDQEDLTFRVSCRCTGNVRKVITGQEAGRVIGLALMRQFGW KADLRNPNIEIFMHLSDAYSVVGIPLLRMPLASRTYIQTAGLRSTIAWAMASIAEIKAGA LVLDPMCGLGTILVEAAEEWPDVFYMGADMSDAQLLGACDNLKAAGLTDRIELLKSSVTD LPLPSQSTDVIISDIPFGKKFKLGKDIKSILQEMERVLRVGGAMVLLLSEDHHRHLTDCG GSSIPLTSKGHIAEPEMKTLSNPDRTGAPDTASPSQRASSVQCLGRMRPCGSLVPVESFK VSLGKTDAFICKYKKAQASGLSSAECHEPGAHTEMAAMQESPSLQDSL >ENSMUSP00000090314.6 pep:known chromosome:GRCm38:10:25408368:25523519:1 gene:ENSMUSG00000019978.15 transcript:ENSMUST00000092645.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l2 description:erythrocyte membrane protein band 4.1 like 2 [Source:MGI Symbol;Acc:MGI:103009] MTTEVGSASEVKKGSDQAGADASKEKAKEVENEQTPVSEPEEEKGSQPGPPVERQSTPRL RKRGKDPSENRGISRFIPPWLKKQRSYNLVVAKDGGDKKEPTQADVEDQILGKEESLPEE ESRAKGDAEEMAQRKHLEVQVEVREAKPALKSSVETQPAEEVRKDKEETIQDTQEEKLEG GAAKRETKEVQTSELKAEVASQKATKKTKTVLAKVTLLDGTEYSCDLEKRAKGQVLFDRV CEHLNLLEKDYFGLLFQDHPEQKNWLDPAKEIKRQLKNLPWLFTFNVKFYPPDPSQLTED ITRYFLCLQLRQDIASGRLPCSFVTHALLGSYTLQAEHGDYDPEEYDSIDLGDFQFAPAH TKELEEKVSELHKTHRGLSPAQADSQFLENAKRLSMYGVDLHHAKDSEGVDIKLGVCANG LLIYKDRLRINRFAWPKILKISYKRSNFYIKVRPAELEQFESTIGFKLPNHRAAKRLWKV CVEHHTFYRLVSPEQPPKTKFLTLGSKFRYSGRTQAQTREASTLIDRPAPQFERASSKRV SRSLDGAPIGVVDQSPPGEGSVPGPGVISYTTIQDGRRDSKSPTKATPLPAEGKKNTLRV DGDNIYVRHSNLMLEDLDKAQEAILKHQASISELKRNFMASTPEPRPSEWEKRRVTPLPF QPQASSHETLNVVEEKKRAEVGKDESVITEEMNGKEMSPGHGPGETRKVEPVAHKDSTSL SSESSSSSSESEEDVGEYQPHHRVTEGTIREEQEECDEELEEEPGQGAKVVEREAAVPDA VPDRQAGASVLPVETEAQEHVVAQKLPGEKGAHGGTAEQDPREEAEEDPHRVNGEVPHLD LDGLPEIICCSEPPVVKTEMVTISDASQRTEISTKEVPIVQTETKTITYESPQIDGGAGG DSGVLLTAQTITSESASTTTTTHITKTVKGGISETRIEKRIVITGDAALDHDQALAQAIR EAREQHPDMSVTRVVVHKETELAEEGEE >ENSMUSP00000055122.8 pep:known chromosome:GRCm38:10:25359798:25523519:1 gene:ENSMUSG00000019978.15 transcript:ENSMUST00000053748.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l2 description:erythrocyte membrane protein band 4.1 like 2 [Source:MGI Symbol;Acc:MGI:103009] MTTEVGSASEVKKGSDQAGADASKEKAKEVENEQTPVSEPEEEKGSQPGPPVERQSTPRL RKRGKDPSENRGISRFIPPWLKKQRSYNLVVAKDGGDKKEPTQADVEDQILGKEESLPEE ESRAKGDAEEMAQRKHLEVQVEVREAKPALKSSVETQPAEEVRKDKEETIQDTQEEKLEG GAAKRETKEVQTSELKAEVASQKATKKTKTVLAKVTLLDGTEYSCDLEKRAKGQVLFDRV CEHLNLLEKDYFGLLFQDHPEQKNWLDPAKEIKRQLKNLPWLFTFNVKFYPPDPSQLTED ITRYFLCLQLRQDIASGRLPCSFVTHALLGSYTLQAEHGDYDPEEYDSIDLGDFQFAPAH TKELEEKVSELHKTHRGLSPAQADSQFLENAKRLSMYGVDLHHAKDSEGVDIKLGVCANG LLIYKDRLRINRFAWPKILKISYKRSNFYIKVRPAELEQFESTIGFKLPNHRAAKRLWKV CVEHHTFYRLVSPEQPPKTKFLTLGSKFRYSGRTQAQTREASTLIDRPAPQFERASSKRV SRSLDGAPIGVVDQSPPGEGSVPGPGVISYTTIQDGRRDSKSPTKATPLPAEGKKNTLRV DGDNIYVRHSNLMLEDLDKAQEAILKHQASISELKRNFMASTPEPRPSEWEKRRVTPLPF QPQASSHETLNVVEEKKRAEVGKDESVITEEMNGKEMSPGHGPGETRKVEPVAHKDSTSL SSESSSSSSESEEDVGEYQPHHRVTEGTIREEQEECDEELEEEPGQGAKVVEREAAVPDA VPDRQAGASVLPVETEAQEHVVAQKLPGEKGAHGGTAEQDPREEAEEDPHRVNGEVPHLD LDGLPEIICCSEPPVVKTEMVTISDASQRTEISTKEVPIVQTETKTITYESPQIDGGAGG DSGVLLTAQTITSESASTTTTTHITKTVKGGISETRIEKRIVITGDAALDHDQALAQAIR EAREQHPDMSVTRVVVHKETELAEEGEE >ENSMUSP00000015049.3 pep:known chromosome:GRCm38:12:44205897:44210068:-1 gene:ENSMUSG00000014905.3 transcript:ENSMUST00000015049.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb9 description:DnaJ heat shock protein family (Hsp40) member B9 [Source:MGI Symbol;Acc:MGI:1351618] MATPQSVFVFAICILMITELILASKSYYDILGVPKSASERQIKKAFHKLAMKYHPDKNKS PDAEAKFREIAEAYETLSDANSRKEYDTIGHSAFTNGKGQRGNGSPFEQSFNFNFDDLFK DFNFFGQNQNTRSKKHFENHFHTRQDGSSRQRHHFQEFSFGGGLFDDMFEDMEKMFSFSG FDTTNRHTVQTENRFHGSSKHCRTVTQRRGNMVTTYTDCSGQ >ENSMUSP00000070836.6 pep:known chromosome:GRCm38:8:80494045:80510785:1 gene:ENSMUSG00000051839.6 transcript:ENSMUST00000063359.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gypa description:glycophorin A [Source:MGI Symbol;Acc:MGI:95880] MTESTAAVTTSGHSLTTTFHIPSSQHYQEEHSPSLSGSDSLLQITTPVVASTVGNPNQHS ATMSTPAIHVSTYHTAPTEVSAAFEEQPVSPHIGGMPSPIQHDFPALVMILIILGVMAGI IGTILLISYCISRMTKKSSVDIQSPEGGDNSVPLSSIEQTPNEESSNV >ENSMUSP00000020040.3 pep:known chromosome:GRCm38:10:102481760:102490418:-1 gene:ENSMUSG00000019890.3 transcript:ENSMUST00000020040.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nts description:neurotensin [Source:MGI Symbol;Acc:MGI:1328351] MRGMNLQLVCLTLLAFSSWSLCSDSEEDVRALEADLLTNMHTSKISKASPPSWKMTLLNV CSLINNVNSPAEEAGDMHDDDLVGKRKLPLVLDGFSLEAMLTIFQLQKICRSRAFQHWEI IQEDILDNVNDKNEKEEVIKRKIPYILKRQLYENKPRRPYILKRGSYYY >ENSMUSP00000046539.4 pep:known chromosome:GRCm38:18:32939041:33195767:1 gene:ENSMUSG00000038128.6 transcript:ENSMUST00000042868.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk4 description:calcium/calmodulin-dependent protein kinase IV [Source:MGI Symbol;Acc:MGI:88258] MLKVTVPSCPSSPCSSVTASTENLVPDYWIDGSNRDPLGDFFEVESELGRGATSIVYRCK QKGTQKPYALKVLKKTVDKKIVRTEIGVLLRLSHPNIIKLKEIFETPTEISLVLELVTGG ELFDRIVEKGYYSERDAADAVKQILEAVAYLHENGIVHRDLKPENLLYATPAPDAPLKIA DFGLSKIVEHQVLMKTVCGTPGYCAPEILRGCAYGPEVDMWSVGIITYILLCGFEPFYDE RGDQFMFRRILNCEYYFISPWWDEVSLNAKDLVKKLIVLDPKKRLTTFQALQHPWVTGKA ANFVHMDTAQKKLQEFNARRKLKAAVKAVVASSRLGSASSSHTSIQENHKASSDPPSTQD AKDSTDLLGKKMQEEDQEEDQVEAEASADEMRKLQSEEVEKDAGVKEEETSSMVPQDPED ELETDDPEMKRDSEEKLKSVEEEMDPMTEEEAPDAGLGVPQQDAIQPEY >ENSMUSP00000023727.10 pep:known chromosome:GRCm38:15:98518821:98534244:-1 gene:ENSMUSG00000022992.16 transcript:ENSMUST00000023727.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl2 description:KAT8 regulatory NSL complex subunit 2 [Source:MGI Symbol;Acc:MGI:1916862] MNRIRIHVLPTNRGRITPVPRSQEPLSCSFTHRPCSQPRLEGQEFCIKHILEDKNAPFKQ CSYVSTKNGKRCPSAAPKPEKKDGVSFCAEHARRNALALHAQMKKSNPGPMGETLLCQLS SYAKTELGSQTPESSRSEASRILDEDSWSDGDQEPITVDQTWRGDPDSEADSIDSDQEDP LKHAGVYTAEEVALIMREKLIRLQSLYIDQFKRLQHLLKEKKRRYLHNRKVEHEALGNSL LTGPEGLLAKERENLKRLKCLRRYRQRYGVEALLHRQLKERRMLATDGAAQQAHTTRSSQ RCLAFVDDVRCSNQSLPMTRHCLTHICQDTNQVLFKCCQGSEEVPCNKPVPVSLSEDPCC PLHFQLPPQMYKPEQDLDVVGDGMPCPPSPLLFDPSLTLEDHSVTESAGDAGQMQAAGDG CRSQGARSVEKAAFPQRGLATANGKPEPTSIS >ENSMUSP00000112101.2 pep:known chromosome:GRCm38:15:98519101:98534221:-1 gene:ENSMUSG00000022992.16 transcript:ENSMUST00000116400.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kansl2 description:KAT8 regulatory NSL complex subunit 2 [Source:MGI Symbol;Acc:MGI:1916862] MNRIRIHVLPTNRGRITPVPRSQEPLSCSFTHRPCSQPRLEGQEFCIKHILEDKNAPFKQ CSYVSTKNGKRCPSAAPKPEKKDGVSFCAEHARRNALALHAQMKKSNPGPMGETLLCQLS SYAKTELGSQTPESSRSEASRILDEDSWSDGDQEPITVDQTWRGDPDSEADSIDSDQEDP LKHAGVYTAEEVALIMREKLIRLQSLYIDQFKRLQHLLKEKKRRYLHNRKVEHEALGSSL LTGPEGLLAKERENLKRLKCLRRYRQRYGVEALLHRQLKERRMLATDGAAQQAHTTRSSQ RCLAFVDDVRCSNQSLPMTRHCLTHICQDTNQVLFKCCQGSEEVPCNKPVPVSLSEDPCC PLHFQLPPQMYKPEQVLSVPDDLEAGPMDLYLSAAELQPTESLPLEFSDDLDVVGDGMPC PPSPLLFDPSLTLEDHSVTESAGDAGQMQAAGDGCRSQGARSVEKAAFPQRGLATANGKP EPTSIS >ENSMUSP00000111571.2 pep:novel scaffold:GRCm38:GL456350.1:173252:174286:-1 gene:ENSMUSG00000079773.2 transcript:ENSMUST00000115907.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC087559.2 MSRLRRYEVALEAEEEIYWGCFYFFPRLRMWRRERSSAHPREQKLEPLRGLMSCLSSGLR PAPQRSGRGLLCRTPTAAAQTAGALKI >ENSMUSP00000046369.8 pep:known chromosome:GRCm38:17:23741200:23745829:-1 gene:ENSMUSG00000040680.8 transcript:ENSMUST00000046525.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kremen2 description:kringle containing transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1920266] MGTPHLQGFLLLFPLLLRLHGASAGSLHSPGLSECFQVNGADYRGHQNYTGPRGAGRPCL FWDQTQQHSYSSASDPQGRWGLGAHNFCRNPDGDVQPWCYVAETEEGIYWRYCDIPTCHM PGYLGCFVDSGAPPALSGPSGTSTKLTVQVCLRFCRMKGYQLAGVEAGYACFCGSESDLA RGRPAPATDCDQICFGHPGQLCGGDGRLGIYEVSVGSCQGNWSAPQGVIYSPDFPDEYGP DRNCSWVLGQLGAVLELTFRLFELADSRDRLELRDVSSGNLLRAFDGAHPPPPGPLRLRT AALLLTFRSDARGHAQGFALTYRGLQDTVEGRASPEDSTESLAGDPDGANASCSPKPGAA QASIGARVFSTVTAFSVLLLLLLSLLRLLRRRSCLLAPGKGSLAMGPSRGPGRSWAVWYR RPRGVALPCPPGDSQAEGPAAGYRPLSASSQSSLRSLVSAL >ENSMUSP00000021450.4 pep:known chromosome:GRCm38:12:75714248:75735729:-1 gene:ENSMUSG00000021054.5 transcript:ENSMUST00000021450.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgpp1 description:sphingosine-1-phosphate phosphatase 1 [Source:MGI Symbol;Acc:MGI:2135760] MSLGQRLALLASRLQEPQRVASFQRLCGVEVPLSSPAADEDAETEVRGAPGEPRRRGRQP GAEDSPAKADCCGAPNGVRNGLAAEPGPTGPRRAGSQRRNSLTGEEGELVKVSNLPLYYL FCLGTELGNELFYILFFPFWIWNLDPFVGRRLVIIWVLVMYLGQCTKDIIRWPRPASPPV IKLEVFYNSEYSMPSTHAMSGTAIPIAMFLLTYGRWQYPLIYGLILIPCWSSLVCLSRIY MGMHSILDVIAGFLYTILILIIFYPLVDLIDNFNQTYKYAPLIIIGLHLILGIFSFTLDT WSTSRGDTAEILGSGAGIACGSHAAYTLGLSLEPSLHMLPLAIPPLTVTLFGKAILRIVL GMLLVLFVRDIMKKITIPLACKLSSIPCHDIRQARQHMEVELPYRYITYGMVGFSITFLV PYVFSFIGIS >ENSMUSP00000072555.3 pep:known chromosome:GRCm38:15:82402846:82407194:-1 gene:ENSMUSG00000094806.1 transcript:ENSMUST00000072776.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2d10 description:cytochrome P450, family 2, subfamily d, polypeptide 10 [Source:MGI Symbol;Acc:MGI:88602] MELLTGAGLWSVAIFTVIFILLVDLMHRHQRWTSRYPPGPVPWPVLGNLLQVDLDNMPYS LYKLQNRYGDVFSLQMGWKPMVVINGLKAMKEVLLTCGEDTADRPQVPIFEYLGVKPGSQ GVVLAPYGPEWREQRRFSVSTLRNFGLGKKSLEDWVTKEARHLCDAFTAQAGQPINPNTM LNNAVCNVIASLIFARRFEYEDPYLIRMQKVLEDSLTEISGLIPEVLNMFPILLRIPGLP GKVFQGQKSLLAIVENLLTENRNTWDPDQPPRNLTDAFLAEIEKVKGNAESSFNDENLRM VVLDLFTAGMVTTSTTLSWALLLMILHPDVQRRVQQEIDAVIGQVRHPEMADQARMPYTN AVIHEVQRFGDIAPLNLPRITSRDIEVQDFLIPKGSILIPNMSSVLKDETVWEKPLRFHP EHFLDAQGHFVKPEAFMPFSAGRRSCLGEPLARMELFLFFTCLLQHFSFSVPNGQPRPRN LGVFPFPVAPYPYQLCAVMREQGH >ENSMUSP00000044979.2 pep:known chromosome:GRCm38:7:105480607:105482197:-1 gene:ENSMUSG00000037060.2 transcript:ENSMUST00000047040.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcdbp description:protein kinase C, delta binding protein [Source:MGI Symbol;Acc:MGI:1923422] MGESALEPGPVPETPAGGPVHAVTVVTLLEKLATMLEALRERQGGLAERQGGLAGSVRRI QSGLGALSRSHDTTSNTLTQLLAKAERVGSHADAAQERAVRRAAQVQRLEANHGLLVARG KLHVLLFKEETEIPARAFQKVPELLGPEDQLVLGPDQPEDEVGESSEEEPVESRAQRLRR TGLQKVQSLKRALSSRKAAQPTPVKPPRVGPVRSSEGPSEGQPAAQPEMESELETALEPE PPQPTKEDPEKPVLQIESAA >ENSMUSP00000086964.5 pep:known chromosome:GRCm38:15:99970074:100016351:1 gene:ENSMUSG00000023025.15 transcript:ENSMUST00000057632.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp4 description:La ribonucleoprotein domain family, member 4 [Source:MGI Symbol;Acc:MGI:2443114] MALEQVTSKGTGLNPNAKVWQEIPSGNPDGTPVTEPSWHETAATSGSHPEGHTELSEDMC KEYEVMYSPSCETTRNTADVEESADGMILGPEDLSYQLYDVSGESSSAISTEDLKECLKK QLEFCFSRENLSKDLYLISQMDSDQFVPIWTVANMEEIKKLTTNTDLILEVLRSSPMVQV DEKGEKVRPSHKRCIVILREIPETTPVEEVKALFKNENCPKVISCEFAHNSNWYITFQSD TDAQQAFKYLREEVKTFQGKPIMARIKAINTFFAKNGYRLMDSSMYTQPIQTPTQYPSPV FMQPVYNPQQYSVYSLVPQSWSPSPAPYFETPLAPFPNGSFVNGFSSPGSYKTNAAAMNM GRPFPKNRVKPHFRSSSGSEHSTEGSVSLGDGPLSRSSSRIFLSERHNPTVTGQQEQTYL PKEAPILQMEQNGDFGRGRRTLFRGRRRRDDDRIPRPQPAATEAKAPTPKFDLLATNFPP LPGSSSRVPDELGLENRMSDVVKGVCREKDSEDVRVSCPVPAEDGQTDCTSAPLSISPSP PCTAEPPVLSTTQQEQDQMEDSAVPKDTLNPVAVPVSSPTATKPSPANTASPCTSNINPP RAVALQEPRKLSYAEVCQKPPKEPSPVLVQPLRELRSNAVSPTRNEENGAPEKPVEKPHE KPETRASKDHSGFRGNTIPRGAAGKIREQRRQFSHRATPQGVTRRNGKEQYVPPRSPK >ENSMUSP00000097780.2 pep:known chromosome:GRCm38:15:99972780:100016351:1 gene:ENSMUSG00000023025.15 transcript:ENSMUST00000100206.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Larp4 description:La ribonucleoprotein domain family, member 4 [Source:MGI Symbol;Acc:MGI:2443114] MLLFVEVTSKGTGLNPNAKVWQEIPSGNPDGTPVTEPSWHETAATSGSHPEGHTELSEDM CKEYEVMYSPSCETTRNTADVEESADGMILGPEDLSYQLYDVSGESSSAISTEDLKECLK KQLEFCFSRENLSKDLYLISQMDSDQFVPIWTVANMEEIKKLTTNTDLILEVLRSSPMVQ VDEKGEKVRPSHKRCIVILREIPETTPVEEVKALFKNENCPKVISCEFAHNSNWYITFQS DTDAQQAFKYLREEVKTFQGKPIMARIKAINTFFAKNGYRLMDSSMYTQPIQTPTQYPSP VFMQPVYNPQQYSVYSLVPQSWSPSPAPYFETPLAPFPNGSFVNGFSSPGSYKTNAAAMN MGRPFPKNRVKPHFRSSSGSEHSTEGSVSLGDGPLSRSSSRIFLSERHNPTVTGQQEQTY LPKEAPILQMEQNGDFGRGRRTLFRGRRRRDDDRIPRPQPAATEAKAPTPKFDLLATNFP PLPGSSSRVPDELGLENRMSDVVKGVCREKDSEDVRVSCPVPAEDGQTDCTSAPLSISPS PPCTAEPPVLSTTQQEQDQMEDSAVPKDTLNPVAVPVSSPTATKPSPANTASPCTSNINP PRAVALQEPRKLSYAEVCQKPPKEPSPVLVQPLRELRSNAVSPTRNEENGAPEKPVEKPH EKPETRASKDHSGFRGNTIPRGAAGKIREQRRQFSHRATPQGVTRRNGKEQYVPPRSPK >ENSMUSP00000085966.5 pep:known chromosome:GRCm38:17:23898722:23900787:1 gene:ENSMUSG00000096278.7 transcript:ENSMUST00000088598.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcpp2 description:demilune cell and parotid protein 2 [Source:MGI Symbol;Acc:MGI:3644062] MFQLEAMLALLILAFLGTPTVLTQDYHGPEVGKHSCTSAPEGKNITSIRVFLQARLIVGI QLNYNDNEDGQVYGSTAGKVMVARLSKEEHIIGVEGTYNPSALTQIVFTTTQPRLLMVGY LVGNYQYSSYPDDPSLVLKGACVSWRAGGIKSILFLWGSENSSCVKYGHSG >ENSMUSP00000126379.2 pep:known chromosome:GRCm38:17:23880880:23900764:1 gene:ENSMUSG00000096278.7 transcript:ENSMUST00000168272.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcpp2 description:demilune cell and parotid protein 2 [Source:MGI Symbol;Acc:MGI:3644062] MFQLEAMLALLILAFLGTPTVLTQDYHGPEVGKHSCTSAPEGKNITSIRVFLQARLIVGI QLNYNDNEDGQVYGSTAGKVMVARLSKEEHIIGVEGTYNPSALTQIVFTTTQPRLLMVGY LVGNYQYSSYPDDPSLVLKGACVSWRAGGIKSILFLWGSENSSCVKYGHSG >ENSMUSP00000025818.6 pep:known chromosome:GRCm38:19:5050808:5057071:1 gene:ENSMUSG00000024883.6 transcript:ENSMUST00000025818.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rin1 description:Ras and Rab interactor 1 [Source:MGI Symbol;Acc:MGI:2385695] MEDPGETGAHPLGATNLNFVPGHQQKEKPSTDPLYDTPDTRGVQAGGSQQPARTVSLRER LLITRPVWLQLRANAAAALHVLRTEPPGTFLVRKSNTRQCQALCVRLPEASGPSFVSSHY IEESTGGVSLEGSELMFQDLVQLICGYCRTRAIHQAATHKELEAISHLGMEFWSSSLNTK DQQRPSEAPPIPRLKARSPQELDQGTGAALCFFNPLFPGDLGPTKREKFKRSFKVRVSTE TSSPLSPPAVPPPPVPVLPGTSSSQTERLPPRQLLQRESSVGYRVPGSAASPCLPPLPSL QEVDCCSPSSSEEEGSSGSPTTSPRLSRPRHRRPLLRSMSSAFCSLLAPERQVGRAATML MQNRYTAVGQLVQDLLTQVRAGPEPRELQGIRQALSRARAMLSAELGPEKLLPPERLELV LEKSLHRSVLKPLRPILAARLRRRLSADGSLGRLAEGFRLARTQGPGAFGSHLTLSSPVE TEQVRQKLLQLLRAYSPSAQVKWLLQACKLLYTALKSQAGENAGADEFLPLLSLVLAQCD LPDLLLEAEYMSELLEPTLLTGEGGYYLTSLSASLALLSGLSQARALPLSPAQELQRSLA LWEQRRLPATHSFQHLLRVAYQDPSTGCTSKTLAVPPGSSIATLSQLCATKFRVTQPDAF GLFLYKDQGYHRLPPEALAHRLPATGYLIYRRAERPETQGAVAEKAKTGSKGPEAGAWEE ETGGLNREGKPRIAVDQEGKDQARGGHIGPEEQKAEGSQALEE >ENSMUSP00000034090.6 pep:known chromosome:GRCm38:8:89027248:89044162:-1 gene:ENSMUSG00000031665.6 transcript:ENSMUST00000034090.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sall1 description:sal-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1889585] MSRRKQAKPQHFQSDPEVASLPRRDGDTEKGQPSRPTKSKDAHVCGRCCAEFFELSDLLL HKKSCTKNQLVLIVNESPASPAKAFPPGPSLNDPDDQMKDAANKADQEDCSDLSEPKGLD REESMEVEVPVATTTTTTTGGSGGSGGSTLSGVTNITTPSCHSGSSTGTSAITTSLPQLG DLTTLGNFSVINSNVIIENLQSTKVAVAQFSQEARCGGASGGKLLISTLMEQLLALQQQQ IHQLQLIEQIRHQILLLASQSADLPAAPSIPSQGTLRTSANPLTTLSSHLSQQLAVAAGL AQSLASQSANISGVKQLPHVQLPQSSSGTSIVPPSGGTSPNMSIVTAAVPTPSSEKVASN AGASHVSSPAVSASSSPAFAISSLLSPASNPLLPQPTPANAVFPTPLPNIATTAEDLNSL SALAQQRKSKPPNVTAFEAKSTSDEAFFKHKCRFCAKVFGSDSALQIHLRSHTGERPFKC NICGNRFSTKGNLKVHFQRHKEKYPHIQMNPYPVPEHLDNVPTSTGIPYGMSIPPEKPVT SWLDTKPVLPTLTTSVGLPLPPTLPSLTPFIKTEEPAPIPISHSAASPQGSVKSDSGAPD LATRNPSGVPEEVEGSAVPPFGGKGEESNMASSAVPTAGNSTLNSPVADGGPGGTTFTNP LLPLMSEQFKAKFPFGGLLDSAQASETSKLQQLVENIDKKATDPNECIICHRVLSCQSAL KMHYRTHTGERPFKCKICGRAFTTKGNLKTHYSVHRAMPPLRVQHSCPICQKKFTNAVVL QQHIRMHMGGQIPNTPVPDNYPESMESDTGSFDEKNFDDLDNFSDENMEECPEGSIPDTP KSADASQDSLSSSPLPLEMSSIAALENQMKMINAGLAEQLQASLKSVENGSMEGDVLTND SSSVGGDMESQSAGSPAISESTSSMQALSPSNSTQEFHKSPGMEEKPQRVGPGEFANGLS PTPVNGGALDLTSSHAEKIIKEDSLGILFPFRDRGKFKNTACDICGKTFACQSALDIHYR SHTKERPFICTVCNRGFSTKGNLKQHMLTHQMRDLPSQLFEPSSNLGPNQNSAVIPANSL SSLIKTEVNGFVHVSPQDSKDAPTSHVPQGPLSSSATSPVLLPALPRRTPKQHYCNTCGK TFSSSSALQIHERTHTGEKPFACTICGRAFTTKGNLKVHMGTHMWNSTPARRGRRLSVDG PMTFLGGNPVKFPEMFQKDLAARSGSGDPSSFWNQYTAALSNGLAMKANEISVIQNGGIP PIPGSLGSGSSSPISGLTGNVEKLGNSEPSAPLAGLEKMASSENGTNFRFTRFVEDSKEI VTS >ENSMUSP00000080881.6 pep:known chromosome:GRCm38:18:43531408:43687773:-1 gene:ENSMUSG00000024502.9 transcript:ENSMUST00000082254.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jakmip2 description:janus kinase and microtubule interacting protein 2 [Source:MGI Symbol;Acc:MGI:1923467] MSKKGRNKGEKPEALIVALQAANEDLRTKLTDIQIELHQEKSKVSKLEREKTQEAKRIRE LEQRKHTVLVTELKAKLHEEKMKELQAVRENLIKQHEQEMSRTVKVRDGEIQRLKSALCA LRDGSSDKVRTALTIEAREEARKQFDAERLKLLQEITDLKTAKKQVDEALSNMIQADKIK AGDLRSEHQSHQEAISKIKWESERDIRRLMDEIKAKDRIIFSLEKELETQTGYVQKLQLQ KEALDEQLFLVKEAECNMSSPKREIPGRAGDGSEHCSSPDLRRNQKRIAELNATIRKLED RNTLLGDERNELLKRVRETEKQCKPLLERNKCLAKRNDELMVSLQRMEEKLKAVTKENSE MREKITSHPPLKKLKSLNDLDQANEEQETEFLKLQVIEQQNIIDELTRDREKLIRRRKHR RSSKPIKRPVLDPFIGYDEDSMDSETSSMTSFRTDRTPATPDDDLDESLAAEESELRFRQ LTKEYQALQRAYALLQEQTGGIIDAEREAKAQEQLQAEVLRYRAKIEDLEATLAQKGQDS HWVEDKQLFIKRNQELLEKIEKQEAENHRLQQELQDARDQNELLEFRNLELEERERRSPP FNLQIHPFSDGVSALQIYCMKEGVKDVNIPDLIKQLDILGDNGNLRNEEQVAIIQASTVL SLAEKWIQQIEGAEAALHQKMMELESDMEQFCKIKGYLEEELDYRKQALDQAYMRIQELE ATLYNALQQETVIKFGELLSDKQQEELRTAVEKLRRQMLRKSREYDCQILQERMELLQQA HQRIRDLEDKTDIQKRQIKDLEEKFLFLFLFFSLAFILWP >ENSMUSP00000022007.6 pep:known chromosome:GRCm38:13:68597439:68614231:1 gene:ENSMUSG00000021534.7 transcript:ENSMUST00000022007.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001L19Rik description:RIKEN cDNA 1700001L19 gene [Source:MGI Symbol;Acc:MGI:1916565] MEELAKKERRAMDPGGLKKEGKVEEEAGKEEGREEEGGEEEEVTSETLRGKPRPLPISAL PAFSYIPPRHQGPKERSYFSREGQTGIVSLYDCVFKRRLDYNQKLHRDDREHAKNLGLHI NEEEQERTVPVLMSSVYGKRINQPIEPLNRDYGHVSHVKTDFYRKNEIPSIKGPGFGHIN PA >ENSMUSP00000025357.7 pep:known chromosome:GRCm38:18:46741917:46790826:1 gene:ENSMUSG00000024480.7 transcript:ENSMUST00000025357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3s1 description:adaptor-related protein complex 3, sigma 1 subunit [Source:MGI Symbol;Acc:MGI:1337062] MIKAILIFNNHGKPRLSKFYQPYSEDTQQQIIRETFHLVSKRDENVCNFLEGGLLIGGSD NKLIYRHYATLYFVFCVDSSESELGILDLIQVFVETLDKCFENVCELDLIFHVDKVHNIL AEMVMGGMVLETNMNEIVTQIDAQNKLEKSEAGLAGAPARAVSAVKNMNLPEIPRNINIG DISIKVPNLPSFK >ENSMUSP00000086204.3 pep:known chromosome:GRCm38:15:89142486:89149606:-1 gene:ENSMUSG00000053137.6 transcript:ENSMUST00000088823.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk11 description:mitogen-activated protein kinase 11 [Source:MGI Symbol;Acc:MGI:1338024] MSGPRAGFYRQELNKTVWEVPQRLQGLRPVGSGAYGSVCSAYDARLRQKVAVKKLSRPFQ SLIHARRTYRELRLLKHLKHENVIGLLDVFTPATSIEDFSEVYLVTTLMGADLNNIVKCQ ALSDEHVQFLVYQLLRGLKYIHSAGIIHRDLKPSNVAVNEDCELRILDFGLARQADEEMT GYVATRWYRAPEIMLNWMHYNQTVDIWSVGCIMAELLQGKALFPGNDYIDQLKRIMEVVG TPSPEVLAKISSEHARTYIQSLPPMPQKDLSSVFHGANPLAIDLLGRMLVLDSDQRVSAA EALAHAYFSQYHDPDDEPEAEPYDESVEAKERTLEEWKELTYQEVLSFKPLEPSQLPGTH EIEQ >ENSMUSP00000063499.7 pep:known chromosome:GRCm38:17:25366550:25368094:1 gene:ENSMUSG00000033825.9 transcript:ENSMUST00000069616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpsb2 description:tryptase beta 2 [Source:MGI Symbol;Acc:MGI:96942] MLKRLLLLLWALSLLASLVYSAPRPANQRVGIVGGHEASESKWPWQVSLRFKLNYWIHFC GGSLIHPQWVLTAAHCVGPHIKSPQLFRVQLREQYLYYGDQLLSLNRIVVHPHYYTAEGG ADVALLELEVPVNVSTHLHPISLPPASETFPPGTSCWVTGWGDIDNDEPLPPPYPLKQVK VPIVENSLCDRKYHTGLYTGDDFPIVHDGMLCAGNTRRDSCQGDSGGPLVCKVKGTWLQA GVVSWGEGCAQPNKPGIYTRVTYYLDWIHRYVPEHS >ENSMUSP00000079613.6 pep:known chromosome:GRCm38:8:106510913:106556908:1 gene:ENSMUSG00000061048.7 transcript:ENSMUST00000080797.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh3 description:cadherin 3 [Source:MGI Symbol;Acc:MGI:88356] MELLSGPHAFLLLLLQVCWLRSVVSEPYRAGFIGEAGVTLEVEGTDLEPSQVLGKVALAG QGMHHADNGDIIMLTRGTVQGGKDAMHSPPTRILRRRKREWVMPPIFVPENGKGPFPQRL NQLKSNKDRGTKIFYSITGPGADSPPEGVFTIEKESGWLLLHMPLDREKIVKYELYGHAV SENGASVEEPMNISIIVTDQNDNKPKFTQDTFRGSVLEGVMPGTSVMQVTATDEDDAVNT YNGVVAYSIHSQEPKEPHDLMFTIHKSTGTISVISSGLDREKVPEYRLTVQATDMDGEGS TTTAEAVVQILDANDNAPEFEPQKYEAWVPENEVGHEVQRLTVTDLDVPNSPAWRATYHI VGGDDGDHFTITTHPETNQGVLTTKKGLDFEAQDQHTLYVEVTNEAPFAVKLPTATATVV VHVKDVNEAPVFVPPSKVIEAQEGISIGELVCIYTAQDPDKEDQKISYTISRDPANWLAV DPDSGQITAAGILDREDEQFVKNNVYEVMVLATDSGNPPTTGTGTLLLTLTDINDHGPIP EPRQIIICNQSPVPQVLNITDKDLSPNSSPFQAQLTHDSDIYWMAEVSEKGDTVALSLKK FLKQDTYDLHLSLSDHGNREQLTMIRATVCDCHGQVFNDCPRPWKGGFILPILGAVLALL TLLLALLLLVRKKRKVKEPLLLPEDDTRDNVFYYGEEGGGEEDQDYDITQLHRGLEARPE VVLRNDVVPTFIPTPMYRPRPANPDEIGNFIIENLKAANTDPTAPPYDSLLVFDYEGSGS DAASLSSLTTSASDQDQDYNYLNEWGSRFKKLADMYGGGEDD >ENSMUSP00000111624.2 pep:known scaffold:GRCm38:GL456233.1:159647:334183:1 gene:ENSMUSG00000079834.2 transcript:ENSMUST00000115964.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmlhe description:trimethyllysine hydroxylase, epsilon [Source:MGI Symbol;Acc:MGI:2180203] MWYHKLLHQQSRLRNLMKRGNIAQGLHLSNFKSLFSSSIHWCHTTSKSVNCTWHQHEDHL ELQYAGTVMRFDYVWLRDHCRSASCYNSKTHQRSLDTASVDLCIKPKTVHLDETMLFFTW PDGHVTRYDLDWLVKNSYEGQKQKVIQPRILWNSKLYQQAQVPSVDFQCFLETNEGLKKF LQNFLLYGIAFVENVPPTEEHTEKLAERISLIRETIYGRMWYFTSDFSRGDTAYTKLALD RHTDTTYFQEPCGIQVFHCLKHEGTGGRTLLVDGFYAAQQVLQKAPEEFELLSKVPLKHE YIENVGQCHNHMIGVGPILNIYPWNKELYLIRYNNYDRAVINTVPYDVVHRWYTAHRTLT TELRRPENELWVKLKPGKVLFIDNWRVLHGRESFTGYRQLCGCYLTRDDVLNTARLLGLH A >ENSMUSP00000025397.5 pep:known chromosome:GRCm38:18:65955727:65970178:-1 gene:ENSMUSG00000024519.5 transcript:ENSMUST00000025397.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cplx4 description:complexin 4 [Source:MGI Symbol;Acc:MGI:2685803] MAFFVKNMISNQVKNLGFGGGSEEKKEEGGTSDPAAAKGMTREEYEEYQKQMIEEKMERD AAFTQKKAERACLRVHLRDKYRLPKSEMDETQIQLAGDDVDLPEDLRKMVDEDQDEEEEK DSILGQLQNLQNMDLDTIKEKAQATFTEIKQSAEQKCSVM >ENSMUSP00000034232.1 pep:known chromosome:GRCm38:8:94810453:94812035:1 gene:ENSMUSG00000031780.1 transcript:ENSMUST00000034232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl17 description:chemokine (C-C motif) ligand 17 [Source:MGI Symbol;Acc:MGI:1329039] MKTFTSAFGTMRSLQMLLLAALLLGTFLQHARAARATNVGRECCLDYFKGAIPIRKLVSW YKTSVECSRDAIVFLTVQGKLICADPKDKHVKKAIRLVKNPRP >ENSMUSP00000136717.1 pep:known chromosome:GRCm38:9:15283337:15306448:-1 gene:ENSMUSG00000031938.14 transcript:ENSMUST00000180339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406C07Rik description:RIKEN cDNA 4931406C07 gene [Source:MGI Symbol;Acc:MGI:1918234] MACSEFSFHMPSLEELAEVLQKGLTDNFADVQVSVVDCPDLTKEPFTFPVRGICGQTRIA EVGGVPYLLPLVNKKKVYDLNEIAKVIKLPGAFILGAGAGPFQTLGFNSEFMPIVQTASE HNQPVNGSYFAHKNPADGACLLEKYSQKYHDFGCALLANLFASEGQPGKVIEVQAKRRTG ELNFVSCMRQTLEEHYGDKPVGMGGTFIVQKGKVKAHIMPAEFSSCPLNSDEAVNKWLHF YEMKAPLVCLPVFVSKDPGLDLRLEHTHFFSHHGEGGHYHYDTTPDTVEYLGYFSPAQFL YRIDQPKETHAFGRD >ENSMUSP00000136335.1 pep:known chromosome:GRCm38:9:15283337:15306167:-1 gene:ENSMUSG00000031938.14 transcript:ENSMUST00000178977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406C07Rik description:RIKEN cDNA 4931406C07 gene [Source:MGI Symbol;Acc:MGI:1918234] MACSEFSFHMPSLEELAEVLQKGLTDNFADVQVSVVDCPDLTKEPFTFPVRGICGQTRIA EVGGVPYLLPLVNKKKVYDLNEIAKVIKLPGAFILGAGAGPFQTLGFNSEFMPIVQTASE HNQPVNGSYFAHKNPADGACLLEKYSQKYHDFGCALLANLFASEGQPGKVIEVQAKRRTG ELNFVSCMRQTLEEHYGDKPVGMGGTFIVQKGKVKAHIMPAEFSSCPLNSDEAVNKWLHF YEMKAPLVCLPVFVSKDPGLDLRLEHTHFFSHHGEGGHYHYDTTPDTVEYLGYFSPAQFL YRIDQPKETHAFGRD >ENSMUSP00000034414.8 pep:known chromosome:GRCm38:9:15283337:15301607:-1 gene:ENSMUSG00000031938.14 transcript:ENSMUST00000034414.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931406C07Rik description:RIKEN cDNA 4931406C07 gene [Source:MGI Symbol;Acc:MGI:1918234] MACSEFSFHMPSLEELAEVLQKGLTDNFADVQVSVVDCPDLTKEPFTFPVRGICGQTRIA EVGGVPYLLPLVNKKKVYDLNEIAKVIKLPGAFILGAGAGPFQTLGFNSEFMPIVQTASE HNQPVNGSYFAHKNPADGACLLEKYSQKYHDFGCALLANLFASEGQPGKVIEVQAKRRTG ELNFVSCMRQTLEEHYGDKPVGMGGTFIVQKGKVKAHIMPAEFSSCPLNSDEAVNKWLHF YEMKAPLVCLPVFVSKDPGLDLRLEHTHFFSHHGEGGHYHYDTTPDTVEYLGYFSPAQFL YRIDQPKETHAFGRD >ENSMUSP00000019982.7 pep:known chromosome:GRCm38:10:40249203:40257665:-1 gene:ENSMUSG00000019837.7 transcript:ENSMUST00000019982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf3c6 description:general transcription factor IIIC, polypeptide 6, alpha [Source:MGI Symbol;Acc:MGI:1914621] MASPNAMAAAVDPIWEDLEEEEEELEEEQFVLVELSGIIDSDFLSKCENKCKILGIDTER PIMQVDSYVFAGEYEDTLGTCVIFEEGVERVDPEGTDKTVLKYKCHTMKKLSMTRTLLTE KKEGEENIDGVEWLQMKDNDFSYRPNMICSFLHEHEDEAAGPASDKPVELEDQESQMKGS AEQTQEQEKVEHSEVEDPAPSGETPSEMESSVFMGTQDGNVSQNNQS >ENSMUSP00000050267.3 pep:known chromosome:GRCm38:8:43129807:43141486:-1 gene:ENSMUSG00000031651.4 transcript:ENSMUST00000059692.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triml1 description:tripartite motif family-like 1 [Source:MGI Symbol;Acc:MGI:2687279] MSNHEKMSTTDLMENLREELTCFICLDYFSSPVTTECGHSFCLMCLLKSWEEHNTPLSCP ECWRTLGAPHFQANERLGRLANIGRQLRSQVLQSEDEQSICGRMPGPSWVFSDDEQSVIN VSPPSQGTNKACFSSEAEEQHKEKLQDIINILRKKKKEVQAILNHEKERVMLCKEETKTC KQVVVSEYMKMHQFLKEEEQLQLQLLEREEKANMKKLRENEIQLTQQIRRLGKMIGRIES TCQNLTLESFEEVKGAMDRYESLLFQSPETTITELSLCHITGMREMLRKFSTDITLDPAT ANAYLLLSEDLKSVRYGGTRQQLPDNPERFDQSATVLGAQIFTCGRHYWEVEVGKKTEWE VGICKDSVNRKGNLPKPPGDLFSLIGLKIGDDYSLWVSSPLKGQHVREPVHKVGVFLDYD SGHIAFYNATDESLIYSFPPTPFHEALRPIFSPCLPNEGTNTDPLIICHI >ENSMUSP00000099104.3 pep:known chromosome:GRCm38:12:20415938:20433699:1 gene:ENSMUSG00000073184.3 transcript:ENSMUST00000101568.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10479 description:predicted gene 10479 [Source:MGI Symbol;Acc:MGI:3704385] MPDQISVSEFVAETLEDYKAPTASSFTTRTAQCRDTVEAIEEDAVTYDDVHVNFTGEEWK LLDPSQKSLYKDVMLETYWNLTVIGYTWENHQIEGHCQSSRRNER >ENSMUSP00000033093.8 pep:known chromosome:GRCm38:7:45461695:45466898:-1 gene:ENSMUSG00000003873.10 transcript:ENSMUST00000033093.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bax description:BCL2-associated X protein [Source:MGI Symbol;Acc:MGI:99702] MDGSGEQLGSGGPTSSEQIMKTGAFLLQGFIQDRAGRMAGETPELTLEQPPQDASTKKLS ECLRRIGDELDSNMELQRMIADVDTDSPREVFFRVAADMFADGNFNWGRVVALFYFASKL VLKALCTKVPELIRTIMGWTLDFLRERLLVWIQDQGGWEGLLSYFGTPTWQTVTIFVAGV LTASLTIWKKMG >ENSMUSP00000136968.1 pep:known chromosome:GRCm38:8:110109772:110111762:1 gene:ENSMUSG00000095941.1 transcript:ENSMUST00000178785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21964 description:predicted gene, 21964 [Source:MGI Symbol;Acc:MGI:5439433] LQPPIPDEAVVKQKSPCGSWRVGTLRHGKRVNAIAISSAPCHVYTCGTGYIRVWDENALH ASDRAPQAQLDFQDPRNRVLTCKLFPDEQSLITGGMARGLTLWDLAPTPQVRAQMASTGS ICYSLALSSDAHLCLASFKGFVEIWDVQNQILIRKHEVPPYASRCVDITGFKFWTGGEDT TLYSWDLRSYQKLQQHSLCHEILSITHDPSEEWVLAGLKMSDVVILHAHREEKYKAIVQR YTQHHNLKFASCGTYFMATLDEVIHCLAAPSLRRLFQAEECYNILCCEVSSDNRYLVTGS KDSATVYQLLY >ENSMUSP00000048862.7 pep:known chromosome:GRCm38:17:74017706:74047916:-1 gene:ENSMUSG00000038541.7 transcript:ENSMUST00000043458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srd5a2 description:steroid 5 alpha-reductase 2 [Source:MGI Symbol;Acc:MGI:2150380] MPIVCHQVPVLAGSATLATMGTLILCFGKPASYGKHSESVSSGVPLLPARIAWFLQELPS FVVSVGMLAWQPRSLFGPPGNVLLGLFSAHYFHRTFIYSLLTRGRPLSAVIFLKATAFCI GNGLLQAYYLVYCAEYPEEWYTDMRFSVGVFFFILGMGINIHSDCMLRQLRKPGEVIYRI PQGGLFTYVSGANFLGEIIEWMGYALATWSVPAFAFAFFTLCFLGMQAFYHHRFYLKMFK DYPKSRKALIPFIF >ENSMUSP00000067445.2 pep:known chromosome:GRCm38:16:36450537:36455392:-1 gene:ENSMUSG00000054905.2 transcript:ENSMUST00000068182.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stfa3 description:stefin A3 [Source:MGI Symbol;Acc:MGI:106196] MSQENLKIKGGLSEARPATPEIQMIADKVRPLLEEQTNEKYEKFEAVEYKSQVVAGQNLF IKIDVGNGCFLHMKVFRGLSGEDDLKLKGYQTNKTKTDELTSM >ENSMUSP00000058101.3 pep:known chromosome:GRCm38:9:18856627:18857592:1 gene:ENSMUSG00000051414.3 transcript:ENSMUST00000058411.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr829 description:olfactory receptor 829 [Source:MGI Symbol;Acc:MGI:3030663] MYSIRFTMNMKYINQTVVSGFILLGLTDDTKLQLIIFSVFLSMYLATVIGNLLIILATNF DSHLHTPMYFFLSVLSFNDIFLVTCTIPKMLVNIQTGNQNITYGGCLTQVCFVLVSVGME NCLLAAMAYDRYVAICHPLRYRIIMNPYLCILMVAFSMIGSMANALVNGLMVLHLSFCTE LIIPHFFCELTQITKLACSNTLIDNILIYISSCIFGGVPLSGIILSYCQIATTVLRMSSS EGRYKAFSTCGSHLSVVFLFYGTGFGVYISSTITESSRKSAVASVLYSVVPQMINPFIYS LRNRDMKEALKKLISRILFPL >ENSMUSP00000073835.1 pep:known chromosome:GRCm38:9:38982110:38983042:-1 gene:ENSMUSG00000057424.1 transcript:ENSMUST00000074211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr934 description:olfactory receptor 934 [Source:MGI Symbol;Acc:MGI:3030768] MRNFSVVTQFILLGIPHTEGVEIMLFVLFLSFYIFTLVGNLLILFAIVSSSRLHTPMYFF LCQLSVCDIFFPSVSSPKMLFYLSGNSRAISYTGCVCQLFFYHFLGCTECFLYTVMAYDR FIAICFPLRYSIIMNHKVCAIMAVGTSFFGCIQATFLTTLTFQLPYCGPNEVDYYFCDIP VMLKLACADTSTLEMVGLISVGLMPLSCFLLILTSYSFILCSILQIRSTEGRHRAFSTCS AHLTAILLAFMPVVLIYLQPTPNPWLNAAVQVLNNLVTPMLNPLIYSLRNKEVKCSLKKM LQQGPILSKK >ENSMUSP00000035389.6 pep:known chromosome:GRCm38:15:99074973:99083407:1 gene:ENSMUSG00000032783.8 transcript:ENSMUST00000039665.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Troap description:trophinin associated protein [Source:MGI Symbol;Acc:MGI:1925983] MTTLQTNKDPHLRGVSPNPSKIPVLSQRCQDFSSVKSRSLDQENQDPRTPAQKPPRSTQR QRPLTDTAGLRSKTLHQTEKSPSLKTLRNPLEELKPSSGGSNVGLVTHPQTEAIGAIEFV ADPAALATILSGEGVKSCPQGYRSSLAQRVLVRERKGGTTQRGQSARSSAYLAPRIPTHQ VGPARASCFSRLEGPGLRDHTLSPPRLEALNPPPGSSHSSTRPSLQELRRETCGGGRDGD CTDRRTSASQASRLLLKTPVQPASLPLRGEQEAVPHSDDGGGRHHLGLAQRIPLKESLTN TRSTYSSMKRFAIRRKAQFTPLRSLPKVQQAQWLSGLSPHSSPEEPALPWRQIAMKLFDQ ESRITLQKEPRKPSVASTSGPRPKRTPSHQELRIQRINILQQLLQQEVEGLAMGDGAPLN GGSAFDMTELKLPTAEISRTLTASEHNSGAALVGLSQHSGATEPLLSEECEEPQAYPEET KAAQPCSTTELKPPVPHRAEPELPEPCLPALSGPPLPSCRGQAEPPMACPRTEPGASAAC ALEAGTPESSTQPCCNQGPPATASLTFSSQSPVCASPSIHSLYPTGYSGPSSLAPRTLAL RQRLRACLDTIHSFQEAHLDDECAFYTSRAPPPGPTRVFTNPVVTTLEWQDALRFVPIGP VVPQDSPS >ENSMUSP00000078641.3 pep:known chromosome:GRCm38:15:59374198:59601683:1 gene:ENSMUSG00000059586.9 transcript:ENSMUST00000079703.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmce2 description:non-SMC element 2 homolog (MMS21, S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915751] MPGRSSTSSGSTRYISFSGIESALSSLKNFQSCISSGMDTVSSVALDLVETQTEVSSEYS MDKAMVEFAKMDRELSHYVKAVQSTINHVKEERPEKVPDLKLLVEKKFLALQDKNSDADF KENEKFVQFKQQLRELKKQYGIHADRENDLTEGVDEDMIVTQSQTNFICPITQLEMKKPV KNKMCGHTYEEEAIVRMIESKHKRKKKACCPKIGCSHTDMRMSDLIPDEALRRAIESHNK KKKRHSE >ENSMUSP00000128893.1 pep:known chromosome:GRCm38:15:59374198:59539665:1 gene:ENSMUSG00000059586.9 transcript:ENSMUST00000168722.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsmce2 description:non-SMC element 2 homolog (MMS21, S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915751] MPGRSSTSSGSTRYISFSGIESALSSLKNFQSCISSGMDTVSSVALDLVETQTEVSSEYS MDKAMVEFAKMDRELSHYVKAVQSTINHVKEERPEKVPDLKLLVEKKFLALQDKNSDADF KENEKFVQFKQQLRELKKQ >ENSMUSP00000069696.1 pep:known chromosome:GRCm38:9:39719229:39720167:1 gene:ENSMUSG00000095839.1 transcript:ENSMUST00000069342.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr965 description:olfactory receptor 965 [Source:MGI Symbol;Acc:MGI:3030799] MAEGNQSTVTEFILTGLTNKPELQLPLFLLFLGIYLFTELGNLGMVILISISSHLHTPMY FFLSSLSFIDLCYSTVIIPKMLVNFVTEKNIISYPECMTQLYCFLVLVISECYMLSAMAY DRYVAICNPLRYNVTMSYQVCLWMIGGVYCIGLIEATLHTVCMLRVLFCKANVVNHFFCD LLPLLQLACSSTFVNEVVLLCFSTFNFCVPMLTILSSYSFIIARILRIKSTESRFKAFST CSSHFTSVAVFFGSLGFMYFQPSSVSSEDQGKVSSVFYTTVVPMLNPLIYSLRNKDVKVA LNKLLRKKTFHM >ENSMUSP00000010673.5 pep:known chromosome:GRCm38:12:111484611:111485823:-1 gene:ENSMUSG00000010529.5 transcript:ENSMUST00000010673.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm266 description:predicted gene 266 [Source:MGI Symbol;Acc:MGI:2685112] MEGLVLRPAKDYRVVVLGSVAVGKTALATQFACGRFPERCEPSVEELFSKVIEVNRAPAL LEIVDTVGAEHLVTLKDLYIRNSDGFVVLYSVCDEASFQAVRPLRERMGRLRGSRAVPLV LVGTKADLDAERQVLTAQGRALAREWRCPFLEITTKSKTMVDHVFTQVVREMEALAPPGQ EAPRIPANALETWPAERFIG >ENSMUSP00000127746.1 pep:known chromosome:GRCm38:19:8802530:8804854:1 gene:ENSMUSG00000071661.6 transcript:ENSMUST00000172175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb3 description:zinc finger and BTB domain containing 3 [Source:MGI Symbol;Acc:MGI:1922541] MEFPEHSQQLLQSLREQRSQGFLCDCTVMVGSTQFLAHRAVLASCSPFFQLFYKERELDK RDLVCIHNEIVTAPAFGLLLDFMYAGQLALRGDTPLEDVLAAASYLHMNDIVKVCKQRLQ ARALAEADSTKKEEETNPASLEFLSGSSRGPQPLLASVEPSARWNKEEWKGPATPLPIAH PADEPPVSGGADTTQPSMEVDSSHLRAPPPPVADVSVSLASPSSSTETIPVNYFSSGLPG VSVEPLTPLDVVPESLRVVEPRDTGGPLQGFYPPAPAPPPAPAPVLSQAPAPVEAELVQV KVEAIVISDEEADLSEEQPHRSEGLFPPGGAVYGGQPSQAEAFEEPGATGLEEVGPSDHF LPPESHLPYHLLPGPGQYHRGLVTSPLPAPAALHEPLYPPPEYEAAQGSFGNFTEDVPTC KTCGKTFSCSYTLRRHATVHTRERPYECRYCLRSYTQSGDLYRHIRKAHNEDLAKRSKPD PEASTILGVQPLSGSQTTERHSSGGGGPPKEFALGPKN >ENSMUSP00000020971.6 pep:known chromosome:GRCm38:12:26306797:26415256:-1 gene:ENSMUSG00000020642.12 transcript:ENSMUST00000020971.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf144a description:ring finger protein 144A [Source:MGI Symbol;Acc:MGI:1344401] MTTARYRPTWDLALDPLVSCKLCLGEYPAEQMTTIAQCQCIFCTLCLKQYVELLIKEGLE TAISCPDAACPKQGHLQENEIECMVAAEIMQRYKKLQFEREVLFDPCRTWCPASTCQAVC QLQDIGLQTPQLVQCKACDMEFCSACKARWHPGQGCPETMPITFLPGETSSAFKMEEGDA PIKRCPKCRVYIERDEGCAQMMCKNCKHAFCWYCLESLDDDFLLIHYDKGPCRNKLGHSR ASVIWHRTQVVGIFAGFGLLLLVASPFLLLATPFVLCCKCKCSKGDDDPLPT >ENSMUSP00000056073.4 pep:known chromosome:GRCm38:12:26306797:26415256:-1 gene:ENSMUSG00000020642.12 transcript:ENSMUST00000062149.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf144a description:ring finger protein 144A [Source:MGI Symbol;Acc:MGI:1344401] MTTARYRPTWDLALDPLVSCKLCLGEYPAEQMTTIAQCQCIFCTLCLKQYVELLIKEGLE TAISCPDAACPKQGHLQENEIECMVAAEIMQRYKKLQFEREVLFDPCRTWCPASTCQAVC QLQDIGLQTPQLVQCKACDMEFCSACKARWHPGQGCPETMPITFLPGETSSAFKMEEGDA PIKRCPKCRVYIERDEGCAQMMCKNCKHAFCWYCLESLDDDFLLIHYDKGPCRNKLGHSR ASVIWHRTQVVGIFAGFGLLLLVASPFLLLATPFVLCCKCKCSKGDDDPLPT >ENSMUSP00000091374.3 pep:known chromosome:GRCm38:9:48654311:48835945:-1 gene:ENSMUSG00000066687.4 transcript:ENSMUST00000093852.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb16 description:zinc finger and BTB domain containing 16 [Source:MGI Symbol;Acc:MGI:103222] MDLTKMGMIQLQNPSHPTGLLCKANQMRLAGTLCDVVIMVDSQEFHAHRTVLACTSKMFE ILFHRNSQHYTLDFLSPKTFQQILEYAYTATLQAKAEDLDDLLYAAEILEIEYLEEQCLK ILETIQASDDNDTEATMADGGGEEEEDRKARYLKNIFISKHSSEESGYASVAGQSLPGPM VDQSPSVSTSFGLSAMSPTKAAVDSLMSIGQSLLQGTLQPPAGPEEPTLAGGGRHPGVAE VKMEMMQVDEAPGQDSPGAAESSISGGMGDKLEERSKEGPGTPTRGSVITSARELHYGRE ESGEQLSPPVEAGQGPPGRQEPLAPPVEKHLGIYSVLPNHKADAVLSMPSSVTSGLHVQP ALAVSMDFSTYGGLLPQGFIQRELFSKLGELAVGMKAESRPLGEQCSVCGVELPDNEAVE QHRKLHSGMKTYGCELCGKRFLDSLRLRMHLLAHSAGAKAFVCDQCGAQFSKEDALETHR QTHTGTDMAVFCLLCGKRFQAQSALQQHMEVHAGVRSYICSECNRTFPSHTALKRHLRSH TGDHPYECEFCGSCFRDESTLKSHKRIHTGEKPYECNGCGKKFSLKHQLETHYRVHTGEK PFECKLCHQRSRDYSAMIKHLRTHNGASPYQCTICTEYCPSLSSMQKHMKGHKPEEIPPD WRIEKTYLYLCYV >ENSMUSP00000033918.2 pep:known chromosome:GRCm38:8:54550331:54587836:1 gene:ENSMUSG00000031519.2 transcript:ENSMUST00000033918.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb5 description:ankyrin repeat and SOCs box-containing 5 [Source:MGI Symbol;Acc:MGI:1923544] MSVLEESRPFAQQLSNVYFTILSLFCFKLFVKISLAILSHFYIVKGNRKEAARIAAEFYG VSQGQGSWADRSPLHEAASQGRLLALRTLLSQGYNVNAVTIDHVTPLHEACLGDHVACAR TLLEAGANANAITIDGVTPLFNACSQGSASCAELLLEYGAKAQLESCFPSPTHEAASKGH HECLDILIAWGIDVDQDIPHLGTPLYVACMSQQFHCIWKLLYAGADVHKGKYWDTPLHAA AQQPSTEIVNLLLEFGADINAKNTDLLRPVDLATSNSAVERILLQHEATPSSLCQLCRLC IRNYIGRQRFHLIPQLQLPTLLQNFLQYR >ENSMUSP00000019422.4 pep:known chromosome:GRCm38:8:123186235:123201810:1 gene:ENSMUSG00000019278.4 transcript:ENSMUST00000019422.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpep1 description:dipeptidase 1 (renal) [Source:MGI Symbol;Acc:MGI:94917] MVIIWWFWSLLAICASDSFRDQAVAIMRTTPVIDGHNDLPWQLLNLFNNQLLRPDADLNK LAQTHTNIPKLKAGFVGGQFWSAYMPCDTQNKDAVKRILEQMDVIHRMCQLYPETFMCVT NSSDILQAFRRGKVASLIGVEGGHLIDSSLGVLRTLYHLGMRYLTLTHNCNTPWADNWLV DRGDDEAESHGLSPFGKRLLNEMNRLGVMIDLSHVSVATMKDALQISRAPVIFSHSSAYS LCPHRRNVPDDVLQLVKNTSSLVMVNFFSNFVSCSDSATLPQVADHLDHIKKVAGAGAVG LGGDYDGVTMLPVGLEDVSKYPDLIAELLRRNWTETEVRGLLADNLIRVFSEVELVSNNM QSPEEVPITLKELDGSCRTYYGYSQAHSIHLQTGALVASLASLLFRLHLL >ENSMUSP00000034430.4 pep:known chromosome:GRCm38:8:111889135:111910199:-1 gene:ENSMUSG00000031952.4 transcript:ENSMUST00000034430.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst5 description:carbohydrate (N-acetylglucosamine 6-O) sulfotransferase 5 [Source:MGI Symbol;Acc:MGI:1931825] MRLPRFSSTVMLSLLMVQTGILVFLVSRQVPSSPAGLGERVHVLVLSSWRSGSSFVGQLF SQHPDVFYLMEPAWHVWDTLSQGSAPALHMAVRDLIRSVFLCDMDVFDAYLPWRRNISDL FQWAVSRALCSPPVCEAFARGNISSEEVCKPLCATRPFGLAQEACSSYSHVVLKEVRFFN LQVLYPLLSDPALNLRIVHLVRDPRAVLRSREQTAKALARDNGIVLGTNGTWVEADPRLR VVNEVCRSHVRIAEAALHKPPPFLQDRYRLVRYEDLARDPLTVIRELYAFTGLGLTPQLQ TWIHNITHGSGPGARREAFKTTSRDALSVSQAWRHTLPFAKIRRVQELCGGALQLLGYRS VHSELEQRDLSLDLLLPRGMDSFKWASSTEKQPES >ENSMUSP00000067002.3 pep:known chromosome:GRCm38:12:112760655:112768986:1 gene:ENSMUSG00000052160.6 transcript:ENSMUST00000063888.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld4 description:phospholipase D family, member 4 [Source:MGI Symbol;Acc:MGI:2144765] MDKKKEHPEMRIPLQTAVEVSDWPCSTSHDPHSGLGMVLGMLAVLGLSSVTLILFLWQGA TSFTSHRMFPEEVPSWSWETLKGDAEQQNNSCQLILVESIPEDLPFAAGSPTAQPLAQAW LQLLDTARESVHIASYYWSLTGLDIGVNDSSSRQGEALLQKFQQLLLRNISVVVATHSPT LAKTSTDLQVLAAHGAQIRQVPMKQLTGGVLHSKFWVVDGRHIYVGSANMDWRSLTQVKE LGAIIYNCSNLAQDLEKTFQTYWVLGTPQAVLPKTWPRNFSSHINRFHPLRGPFDGVPTT AYFSASPPSLCPHGRTRDLDAVLGVMEGARQFIYVSVMEYFPTTRFTHHARYWPVLDNAL RAAALNKGVHVRLLVSCWFNTDPTMFAYLRSLQAFSNPSAGISVDVKVFIVPVGNHSNIP FSRVNHSKFMVTDKTAYVGTSNWSEDYFSHTAGVGLIVSQKTPRAQPGATTVQEQLRQLF ERDWSSHYAMDLDRQVPSQDCVW >ENSMUSP00000044955.5 pep:known chromosome:GRCm38:9:64986985:65021717:-1 gene:ENSMUSG00000033629.5 transcript:ENSMUST00000036615.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacd3 description:3-hydroxyacyl-CoA dehydratase 3 [Source:MGI Symbol;Acc:MGI:1889341] METQVLTPHVYWAQRHRELYLRVELSDVQNPAISITDNVLHFKAQGHGAKGDNVYEFHLE FLDLVKPEPAYRLTQRQVNITVQKKGSHWWERLTKQEKRPLFLAPDFDRWLDESDAEMEL RAKEEERLNKLRLEREGSPETLTNLKKGYLFMYNLVQLLGFSWIFVNLTVRFFILGKESF YDTFHNVADMMYFCQMLALVETLNAAIGVTSTPVLPALIQFLGRNFILFLVFGTMEEMQN KAVVFFVFYSWSAIEIFRYPFYMLSCIDMDWKVLTWLRYTMWIPLYPLGCLSEAVAVIQS IPVFNESGRFSFTLPYPVKMKVRFSFFLQVYLVMLFLGLYINFRHLYKQRRRRYGQKKKK LH >ENSMUSP00000021338.8 pep:known chromosome:GRCm38:12:51690966:51738939:1 gene:ENSMUSG00000020955.8 transcript:ENSMUST00000021338.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap4s1 description:adaptor-related protein complex AP-4, sigma 1 [Source:MGI Symbol;Acc:MGI:1337065] MIKFFLMVNKQGQTRLSKYYEHVDINKRALLETEVSKSCLSRSSEQCSFIEYKDFKLIYR QYAALFVVVGVNDTENEMAIYEFIHNFVEVLDGYFSRVSELDIMFNLDKVHIILDEMVLN GCIVETNRARILAPLLILDKLSES >ENSMUSP00000084100.1 pep:known chromosome:GRCm38:19:53371566:53371766:-1 gene:ENSMUSG00000067085.1 transcript:ENSMUST00000086887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10197 description:predicted gene 10197 [Source:MGI Symbol;Acc:MGI:3704501] PPCTVRPCWVLGREGEFDTGTGITLVTPDTLQAAVVVKVTDAGGTDTVCFSMGELCAFHI HFNLLCG >ENSMUSP00000055862.7 pep:known chromosome:GRCm38:12:69372150:69375979:1 gene:ENSMUSG00000044147.7 transcript:ENSMUST00000050063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf6 description:ADP-ribosylation factor 6 [Source:MGI Symbol;Acc:MGI:99435] MGKVLSKIFGNKEMRILMLGLDAAGKTTILYKLKLGQSVTTIPTVGFNVETVTYKNVKFN VWDVGGQDKIRPLWRHYYTGTQGLIFVVDCADRDRIDEARQELHRIINDREMRDAIILIF ANKQDLPDAMKPHEIQEKLGLTRIRDRNWYVQPSCATSGDGLYEGLTWLTSNYKS >ENSMUSP00000078625.6 pep:known chromosome:GRCm38:10:85313488:85314439:1 gene:ENSMUSG00000050490.7 transcript:ENSMUST00000079684.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8394 description:predicted gene 8394 [Source:MGI Symbol;Acc:MGI:3647964] MFLTRSEYNRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGIQTSEGVCLAVEKRITSPLME PSSIEKIVEIDAHIGCAMSGLIADAKTLIDKARVETQNHWFTYNETMTVESVTQAVSNLA LQFGEEDADPGAMSRPFGVALLFGGVDGKGPQLFHMDPSGTFVQCDARAIGSASEGAQSS LQEVYHKSMTLKEAIKSSLIIFKQVMEEKLNTTNIELATVQPGQNFHMFTKEELEEVIKD I >ENSMUSP00000067443.6 pep:known chromosome:GRCm38:17:30954679:31010708:1 gene:ENSMUSG00000054134.13 transcript:ENSMUST00000066554.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umodl1 description:uromodulin-like 1 [Source:MGI Symbol;Acc:MGI:1929785] MMSRTVRLVLLALACTVDLSQASGFTENGLSLLSYQLCSYPVTRSVQKLQAVQTSHTAYV YCGGWIPWKKCPKTVYRTQYLAMDVPESRNVTDCCAGFEQLGLYCVLSLNRSREFASRPG VCPTAEAEPLSPSCSLDTDCSGLQKCCSWPGGRHCVSPTPTGTEKSMVSWYNVTVLVKVG FEDLQREDPGLRNHTRLLYSLVTSALQPLNPAVHYLTSTGGKDTFTTVSWLLMGFPRLMT VANVSVMLDDMVNRVYEVVSIQVQDVNECLHSELQACSVREQCRNLEGSYQCVSSQRLNH TDEDCPPIRDFVALNVTSSSFHVSWSLNSTQNYNFHIQVYKGKEILRSAWTRGHTMAVSD LEAGVLYRVRTSYLGCGANVSATLVVKTDAQVFQVTIRIMDRNLTEQILDCSSGEFWNFS RQLFHEVQNSFPQAISDLYRQGRLRMQIVSLQAGSLVVTLRLTLQDPDFSVGVHTLTPML PVLSVSNVFQVDQQRTFVQDWDECAHSSEHDCHPSARCINLEGSYTCQCLTARDASPSRA GRVCEGDMVIPTGDELSVTTKVTVPAASTGITTFGPETLTESLSSKHPRSTPARSQTWTP VPPSVRDGGSIVRQDRNSTGQGMEVPNVTPNLGKTHRSTSGVTSSVPWAPGQTHGTHQGT TDAPLHTTRESQELITKDPPFLTATTTGYVVWHSSPTWKTPPNSTRLQNEDPRSSSFPGP PSAPTDVTPESPACVPGPIGKVTVSNVTSTSFSLEWPADIRLSPAFHLTLVSPRGPAMTM ETQNNNVTLSGLEWGTLYLVEIVAKVCGKEGARTQLKVRTVAQKLAGNVRITSMQYSESF LNTSSREHREFVELFFRTVRDSLPATLRQHMDAGRIRVDIINITNGSIVVEFNLLMTADL DVREVSAGFLNALQNTSMLEVVRGKTFMQDYNECDMKEDDCAPGTCRNTFGSFTCSCDEG GPDSQVEYSGRSCDGDPSGNMTQTPGSEWSPTPAGTRGVPVPIASSTAQDLPLRLNLMDA VSVSCEIETVIITIQKRFLQQAAIPEASLYLGEPSCNVSRSNSTHVFLVAGWGECGTILQ SNMTTTVVTTTLRNNLSPEGVIHHPQFLSPIHCAFQNDVLTSSGYTPQWGVYTVIEDLHG TGNFVTEMQLYIGDSPIPQNYSVSASDEIKIEVGLHRQKSSLKVVLTECWATPSSNAKDP VTFSFINNSCPVPNTYTSVIQNGHSSKAQFKLRIFSFINNSIVYLHCKLRVCMENPRNSC RISCNDFRSLRSSEALHQMTWGPLHRTEGAQACTKPVLGTGYIILLAAAALLVVAGATTL LILRYQRVRQKYNLRIQTDDFSYQVFSQ >ENSMUSP00000065470.5 pep:known chromosome:GRCm38:17:30954679:31010708:1 gene:ENSMUSG00000054134.13 transcript:ENSMUST00000066981.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umodl1 description:uromodulin-like 1 [Source:MGI Symbol;Acc:MGI:1929785] MMSRTVRLVLLALACTVDLSQASGFTENGLSLLSYQLCSYPVTRSVQKLQAVQTSHTAYV YCGGWIPWKKCPKTVYRTQYLAMDVPESRNVTDCCAGFEQLGLYCVLSLNRSREFASRPG VCPTAEAEPLSPSCSLDTDCSGLQKCCSWPGGRHCVSPTPTGTEKSMVSWYNVTVLVKVG FEDLQREDPGLRNHTRLLYSLVTSALQPLNPAVHYLTSTGGKDTFTTVSWLLMGFPRLMT VANVSVMLDDMVNRVYEVVSIQVQDVNECLHSELQACSVREQCRNLEGSYQCVSSQRLNH TDEDCPPIRDFVALNVTSSSFHVSWSLNSTQNYNFHIQVYKGKEILRSAWTRGHTMAVSD LEAGVLYRVRTSYLGCGANVSATLVVKTDAQVFQVTIRIMDRNLTEQILDCSSGEFWNFS RQLFHEVQNSFPQAISDLYRQGRLRMQIVSLQAGSLVVTLRLTLQDPDFSVGVHTLTPML PVLSVSNVFQVDQQRTFVQDWDECAHSSEHDCHPSARCINLEGSYTCQCLTARDASPSRA GRVCEGDMVIPTGDELSVTTKVTVPAASTGITTFGPETLTESLSSKHPRSTPARSQTWTP VPPSVRDGGSIVRQDRNSTGQGQTHGTHQGTTDAPLHTTRESQELITKDPPFLTATTTGY VVWHSSPTWKTPPNSTRLQNEDPRSSSFPGPPSAPTDVTPESPACVAQKLAGNVRITSMQ YSESFLNTSSREHREFVELFFRTVRDSLPATLRQHMDAGRIRVDIINITNGSIVVEFNLL MTADLDVREVSAGFLNALQNTSMLEVVRGKTFMQDYNECDMKEDDCAPGTCRNTFGSFTC SCDEGGPDSQVEYSGRSCDGDPSGNMTQTPGSEWSPTPAGTRGVPVPIASSTAQDLPLRL NLMDAVSVSCEIETVIITIQKRFLQQAAIPEASLYLGEPSCNVSRSNSTHVFLVAGWGEC GTILQSNMTTTVVTTTLRNNLSPEGVIHHPQFLSPIHCAFQNDVLTSSGYTPQWGVYTVI EDLHGTGNFVTEMQLYIGDSPIPQNYSVSASDEIKIEVGLHRQKSSLKVVLTECWATPSS NAKDPVTFSFINNSCPVPNTYTSVIQNGHSSKAQFKLRIFSFINNSIVYLHCKLRVCMEN PRNSCRISCNDFRSLRSSEALHQMTWGPLHRTEGAQACTKPVLGTGYIILLAAAALLVVA GATTLLILRYQRVRQKYNLRIQTDDFSYQVFSQ >ENSMUSP00000110202.1 pep:known chromosome:GRCm38:17:30954683:31010708:1 gene:ENSMUSG00000054134.13 transcript:ENSMUST00000114555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Umodl1 description:uromodulin-like 1 [Source:MGI Symbol;Acc:MGI:1929785] MMSRTVRLVLLALACTVDLSQASGFTENGLSLLSYQLCSYPVTRSVQKLQAVQTSHTAYV YCGGWIPWKKCPKTVYRTQYLAMDVPESRNVTDCCAGFEQLGLYCVLSLNRSREFASRPG VCPTAEAEPLSPSCSLDTDCSGLQKCCSWPGGRHCVSPTPTGTEKSMVSWYNVTVLVKVG FEDLQREDPGLRNHTRLLYSLVTSALQPLNPAVHYLTSTGGKDTFTTVSWLLMGFPRLMT VANVSVMLDDMVNRVYEVVSIQVQDVNECLHSELQACSVREQCRNLEGSYQCVSSQRLNH TDEDCPPIRDFVALNVTSSSFHVSWSLNSTQNYNFHIQVYKGKEILRSAWTRGHTMAVSD LEAGVLYRVRTSYLGCGANVSATLVVKTDAQVFQVTIRIMDRNLTEQILDCSSGEFWNFS RQLFHEVQNSFPQAISDLYRQGRLRMQIVSLQAGSLVVTLRLTLQDPDFSVGVHTLTPML PVLSVSNVFQVDQQRTFVQDWDECAHSSEHDCHPSARCINLEGSYTCQCLTARDASPSRA GRVCEGDMVIPTGDELSVTTKVTVPAASTGITTFGPETLTESLSSKHPRSTPARSQTWTP VPPSVRDGGSIVRQDRNSTGQGMEVPNVTPNLGKTHRSTSGVTSSVPWAPGQTHGTHQGT TDAPLHTTRESQELITKDPPFLTATTTGYVVWHSSPTWKTPPNSTRLQNEDPRSSSFPGP PSAPTDVTPESPACVPGPIGKVTVSNVTSTSFSLEWPADIRLSPAFHLTLVSPRGPAMTM ETQNNNVTLSGLEWGTLYLVEIVAKVCGKEGARTQLKVRTVAQKLAGNVRITSMQYSESF LNTSSREHREFVELFFRTVRDSLPATLRQHMDAGRIRVDIINITNGSIVVEFNLLMTADL DVREVSAGFLNALQNTSMLEVVRGKTFMQDYNECDMKEDDCAPGTCRNTFGSFTCSCDEG GPDSQVEYSGRSCDGDPSGNMTQTPGSEWSPTPAGTRGVPVPIASSTAQDLPLRLNLMDA VSVSCEIETVIITIQKRFLQQAAIPEASLYLGEPSCNVSRSNSTHVFLVAGWGECGTILQ SNMTTTVVTTTLRNNLSPEGVIHHPQFLSPIHCAFQNDVLTSSGYTPQWGVYTVIEDLHG TGNFVTEMQLYIGDSPIPQNYSVSASDEIKIEVGLHRQKSSLKVVLTECWATPSSNAKDP VTFSFINNSCPVPNTYTSVIQNGHSSKAQFKLRIFSFINNSIVYLHCKLRVCMENPRNSC RISCNDFRSLRSSEALHQMTWGPLHRTEGAQACTKPVLGTGYIILLAAAALLVVAGATTL LILRYQRVRQKYNLRIQTDDFSYQVFSQ >ENSMUSP00000059586.1 pep:known chromosome:GRCm38:7:102973052:102973990:-1 gene:ENSMUSG00000043354.1 transcript:ENSMUST00000051505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr577 description:olfactory receptor 577 [Source:MGI Symbol;Acc:MGI:3030411] MTPGPLGNGSMSSTFLLSGIPGLEHMHIWISLPLCLMYLVSILGNCTILFIIKTEPSLHE PMYLFLSMLALTDLGLSLCTLPTVLGIFWVGARDISHDACFTQLFFIHCLSFLESSVLLS MAFDRFVAICRPLHYASILTHTVIVRIGLASLGRSVALIFPLPFMLKRFPYCGSLVLSHS YCLHQEVMKLACADIKANSIYGMFVIVSTVGVDSLLILFSYALILRTVLSIASRAERLKA LNTCVSHISAVLLFYTPMIGLSVIHRFGKQAPHLVQVVMGFVYLLFPPVMNPIVYSVKTK QIRDRVAHAFCN >ENSMUSP00000093859.4 pep:known chromosome:GRCm38:15:102503722:102503886:1 gene:ENSMUSG00000071586.4 transcript:ENSMUST00000096145.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10337 description:predicted gene 10337 [Source:MGI Symbol;Acc:MGI:3704459] YQMSTFSDTGSFLITSGAIQATVPAKDILVLLSLSSLEVPKSEIFTTSSYVISML >ENSMUSP00000137938.1 pep:known chromosome:GRCm38:11:6200029:6201643:1 gene:ENSMUSG00000096923.1 transcript:ENSMUST00000181545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A730071L15Rik description:RIKEN cDNA A730071L15Rik gene [Source:MGI Symbol;Acc:MGI:3642498] GRESRRARAESPGHCPRAAGCPTGPGHKAQQPRAPWLCCPRDANTVGTASHRLIGHFRRA RLTAPPGQRRRGTSGPRLLLPAVTDRRSCGSAGSPPPRHLPKGDWYALSTVPTCKNNHGH DAESACQPCLKRAEALW >ENSMUSP00000038681.5 pep:known chromosome:GRCm38:18:77938467:78035027:1 gene:ENSMUSG00000039840.7 transcript:ENSMUST00000044622.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epg5 description:ectopic P-granules autophagy protein 5 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1918673] MAEAVKPRRAKAKASRTKGKEKKKHEALQTCDAGPLPETCREQESPCPASELKGDDLKSS ADPQLHSDVCGWNESEMFDIPLTSLTIGDEGPPVQDTEDLKERGEVTAGDGDDEMELKVD PGDNVIAKGEPCKNFPEVEDHTLIQCGPPESTLQPDFPCTQQAVEGSHAREHPTRKQDEA ALGCSKVFQNVSLHSSYEAKEVSQPPRVKKLYPELPAEIAEVPALVAVKPLLRSERLYPE LPSQPEVTPFTKEQLKLLEPGSWLENVASYVEEFDNIAHQDRHEFYELLLNYSRCRKQLL LAEAELLTLMSDCHSAKSRLWHFKDEQMAVQGICADQVKVYGHHHYQRVEMNENVLGELK KLFDAKSEHLHQTLTLHSYTSVLSRLQVESYIFTLLNSSAALRSLAVYQADQVPKLTESI PSDVCQLKECISVLFMFTRRVSEDAQFHEDILLWLQKLVSVLQRVGCPGDHFFLLNHVLR CPAGIRKWAVPFIQIKVLNNPSGVFHFMQSLALLMSPVKNRAEFMCHMKPSEWKPSSSGP ASGNWTLVDEAGEEDEDPETSWILLNEDDLVTLLSQFPFQELFQHLLGFKAKGDYLPETT RPQEMMKIFAFANSLVELLAVGLDTFNRARYRQFVKRIGYLIRMTLGYVSDHWAQYVSHS TGAGLTPQPYSMEKLQVEFDELFLRAVLHVLKAKRLGIWLFMSEMPFGTLSVQMLWKLLY LMHQVESGDLQQLCASLQPAECKRRLQDPEHFASFEKCLSSINSSEEICLLTAFAQMARA RRTNVDEDFIKIIVLEIYEVSYVTLSTRETFSKVGRELLGAIAAVHPEIISVLLDRVQET IDQVGMVSLYLFKELPLYLWRPSAPEIAVIRDWLLNNNLTAVKNKLACVILEGLNWGFTE QGTLHLDQALHTEVALLVLEAYQKYLAQKPYTGLISESMKQVSYLASIVRYGETPETSFN QWAWNLILRLKLHKNDFGRQNFPVIPFCSTVPDMTESSMFHPLLKAVKSGLPIGCYLALA VTAVGHSLEKFCAEGIPLLGVLVQSRHLRAVVHALDKILPVFYPYQCYLLKNEQFLSNLL LFLQLDSGVPQGVTQQVTHRVAQHLTGAVHGDNVKLLSSMIQAHICVSTQPDGVGPVAVL EFWVQALISQHLWYREQPILFLMDHLCKTAFHLMQEDCVQKLLYQQHKNALGYHCDRSLL SSLVNWIVAGNITPSFVEGLSTSTQVWFAWTVLNMESIFEEDSQLRRVVERELVINAFSP DQALKKAQVQLKLPIVPSLQRLLIYRWAHQALVTPSDHPLLPLIWQKFFLLYLHRPGPQY GLPVDGCIGRRFFQSPSHVNLLKDMKRRLTEVADFHYAASKALRVPAEGSEGTPEGQAGT PGFLTSPELHRELVRLFNVYVLWLEDENFQKGDTYIPSLPKHYDVHRLAKVMQNQQDLWM EYVNMERIQHEFQETVALWTQAKLESHAAPCSSSAQLDFTDPLLAKARVLSNLEKHEAPH PPLLLHPVRPPVPLIPSAALLTQKDSTQLMCTDLNLLQQQARSATLRESQQVALDGELLE TMPKQYVNREDQATLHLECRGSSGKKCQGAAVVTVQFEGMNKNEAVSQQIHVLQKEVRQL QAEAAQPPALNVVEAAVHAENLITALVNTYKLQPTPGVQKLGISLFFTVVDHVSDETQRH PPTRQFFTSCIEILGQVFVSGTKSECRKLLQTILKNRRLCSLLAPFFTPNAAPAEFIQLY ERVVTCLREDNSDVIFMLLTKFDIQQWLNSTKPPLSDRTRLLESIHLALTAWGLEPEEDI LMPFNLFCKHWTHLLLYQFPDQYSDVLRLLVQSSAEQLLSPECWKATLRALGCYAPSSQQ GAASVESSGLHSASRVLLSDKQVMETVQWLSDFFYKLRLSKLDFKSFGLFSKWSPYMADV KTFLGYLVKRLTDLEIASLSQDPTASSKEVLRSLHAQIIQLFKPWILVLEDAESSHQRHY PWLESDTAVASSIVQLFSDCVGSLHTSFKDRLLPGDEGALRLHLLHYCETCTAPKMPEFI LYAFHSAYQRLEWKDLHPDQRLMEAFFKVERGSPKSCFLFLGSVLCRVNWVSVLSDAWNP SPLPETQSMAVCLLFMMVLLAKEAQLVDEPDSPLLSLLGQTSSLSWHLVDLVSYQSVLGY FSSHYPPSVVLANDCSSELIVKLLKVSAGLSAHADGRKHVDIVPKCQAFTHQMVQFLSAL EQTGKITFPALEREISKLLDDIIIFNPPDMDSQTRHMALSSFFVEVLMMMNNAAVPTAEF LAVSIRTWIGQRVHGLIVLPLLTAACQSLASVRHMAEITEACIMAYFKESSLDQNLGWGP VLVSLQVPQLTARDFLEECLALGSCLTLYVYLLQCLNSEQTVKNDMKMLLVVSGWLEQVY PSSAQEEAKLFLWWHQILQLSLIQLEQNDSVLTESVIRILLMLQSRQSLMAEERLSSGIL GAIGLGRRSPLSNRFRVAARSMAAFLLVQVPAEDQIRLKPSSELHLAPKAQQVLTALESM TLSKQYVEYQDQILHALQFIRHPGHCLQNGKSFLALLVNRLYPEVHYLDNIR >ENSMUSP00000095571.2 pep:known chromosome:GRCm38:7:141091175:141100546:-1 gene:ENSMUSG00000025494.7 transcript:ENSMUST00000097958.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sigirr description:single immunoglobulin and toll-interleukin 1 receptor (TIR) domain [Source:MGI Symbol;Acc:MGI:1344402] MAGVCDMAPNFLSPSEDQALGLALGREVALNCTAWVFSRPQCPQPSVQWLKDGLALGNGS HFSLHEDFWVSANFSEIVSSVLVLNLTNAEDYGTFTCSVWNVSSHSFTLWRAGPAGHVAA VLASLLVLVVLLLVALLYVKCRLNMLLWYQDTYGEVEMNDGKLYDAYVSYSDCPEDRKFV NFILKPQLERRRGYKLFLEDRDLLPRAEPSADLLVNLSRCRRLIVVLSDAFLSRPWCSQS FREGLCRLLELTRRPIFITFEGQRREPIHPALRLLRQHRHLVTLVLWKPGSVTPSSDFWK ELQLALPRKVQYRPVEGDPQTRLQDDKDPMLIVRGRAAQGRGMESELDPDPEGDLGVRGP VFGEPPTPLQETRICIGESHGSEMDVSDLGSRNYSARTDFYCLVSEDDV >ENSMUSP00000033184.4 pep:known chromosome:GRCm38:7:105744847:105752207:-1 gene:ENSMUSG00000030894.4 transcript:ENSMUST00000033184.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpp1 description:tripeptidyl peptidase I [Source:MGI Symbol;Acc:MGI:1336194] MGLQARLLGLLALVIAGKCTYNPEPDQRWMLPPGWVSLGRVDPEEELSLTFALKQRNLER LSELVQAVSDPSSPQYGKYLTLEDVAELVQPSPLTLLTVQKWLSAAGARNCDSVTTQDFL TCWLSVRQAELLLPGAEFHRYVGGPTKTHVIRSPHPYQLPQALAPHVDFVGGLHRFPPSS PRQRPEPQQVGTVSLHLGVTPSVLRQRYNLTAKDVGSGTTNNSQACAQFLEQYFHNSDLT EFMRLFGGSFTHQASVAKVVGKQGRGRAGIEASLDVEYLMSAGANISTWVYSSPGRHEAQ EPFLQWLLLLSNESSLPHVHTVSYGDDEDSLSSIYIQRVNTEFMKAAARGLTLLFASGDT GAGCWSVSGRHKFRPSFPASSPYVTTVGGTSFKNPFLITDEVVDYISGGGFSNVFPRPPY QEEAVAQFLKSSSHLPPSSYFNASGRAYPDVAALSDGYWVVSNMVPIPWVSGTSASTPVF GGILSLINEHRILNGRPPLGFLNPRLYQQHGTGLFDVTHGCHESCLNEEVEGQGFCSGPG WDPVTGWGTPNFPALLKTLLNP >ENSMUSP00000106736.1 pep:known chromosome:GRCm38:2:105092018:105093760:1 gene:ENSMUSG00000079175.1 transcript:ENSMUST00000111107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11060 description:predicted gene 11060 [Source:MGI Symbol;Acc:MGI:3779284] VCLSVCLSVCLCVCSQIWGPGTHC >ENSMUSP00000022019.3 pep:known chromosome:GRCm38:13:56479277:56482246:-1 gene:ENSMUSG00000021538.3 transcript:ENSMUST00000022019.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il9 description:interleukin 9 [Source:MGI Symbol;Acc:MGI:96563] MLVTYILASVLLFSSVLGQRCSTTWGIRDTNYLIENLKDDPPSKCSCSGNVTSCLCLSVP TDDCTTPCYREGLLQLTNATQKSRLLPVFHRVKRIVEVLKNITCPSFSCEKPCNQTMAGN TLSFLKSLLGTFQKTEMQRQKSRP >ENSMUSP00000056977.8 pep:known chromosome:GRCm38:14:54567469:54577661:-1 gene:ENSMUSG00000022178.10 transcript:ENSMUST00000054487.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ajuba description:ajuba LIM protein [Source:MGI Symbol;Acc:MGI:1341886] MERLGEKASRLLEKLRLSDSGSAKFGRRKGEASRSGSDGTPGAGKGRLSGLGGPRKSGHR GANGGPGDEPLEPAREQGPLDAERNARGSFEAQRFEGSFPGGPPPTRALPLPLSSPPDFR LETTAPALSPRSSFASSSASDASKPSSPRGSLLLDGAGASGAGGSRPCSNRTSGISMGYD QRHGSPLPAGPCLFGLPLTTAPAGYPGGAPSAYPELHAALDRLCAHRSVGFGCQESRHSY PPALGSPGALTGAVVGTAGPLERRGAQPGRHSVTGYGDCAAGARYQDELTALLRLTVATG GREAGARGEPSGIEPSGLEESPGPFVPEASRSRIREPEAREDYFGTCIKCNKGIYGQSNA CQALDSLYHTQCFVCCSCGRTLRCKAFYSVNGSVYCEEDYLFSGFQEAAEKCCVCGHLIL EKILQAMGKSYHPGCFRCIVCNKCLDGVPFTVDFSNQVYCVTDYHKNYAPKCAACGQPIL PSEGCEDIVRVISMDRDYHFECYHCEDCRMQLSDEEGCCCFPLDGHLLCHGCHMQRLSAR QPSTNYI >ENSMUSP00000041575.7 pep:known chromosome:GRCm38:15:76910851:76918010:-1 gene:ENSMUSG00000054967.6 transcript:ENSMUST00000048854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp647 description:zinc finger protein 647 [Source:MGI Symbol;Acc:MGI:3052806] MAAAGLLPLPAAPQAKVTFEDVAVLLSQEEWARLGPAQRGLYRHVMMETYGNVVSLGLPG SKPVVISQLERGEDPWVLDGQGTELSQSLGSDHSECKAKEENQNTDLNVPPLISDEASAT LTETPLRKVAEERYKTEPKVCPSPKPIGPQNAHGLNPSVPVARPQTAPSVERPYICIECG KCFGRSSHLLQHQRIHTGEKPYVCHVCGKAFSQSSVLSKHRRIHTGEKPYECNECGKAFR VSSDLAQHHKIHTGEKPHECLECGKAFTQLSHLIQHQRIHTGERPYVCPLCGKAFNHSTV LRSHQRVHTGEKPHGCSECGKTFSVKRTLLQHQRVHTGEKPYTCSECGKAFSDRSVLIQH HNVHTGEKPYECSECGKTFSHRSTLMNHERIHTQEKPYACYECGKAFVQHSHLIQHQRVH TGEKPYVCGECGHAFSARRSLIQHERIHTGEKPFQCTECGKAFSLKATLIVHLRTHTGEK PYECNSCGKAFSQYSVLIQHQRIHTGEKPYECGECGRAFNQHGHLIQHQKVHKKL >ENSMUSP00000105663.2 pep:known chromosome:GRCm38:15:73205105:73423191:-1 gene:ENSMUSG00000022607.14 transcript:ENSMUST00000110036.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptk2 description:PTK2 protein tyrosine kinase 2 [Source:MGI Symbol;Acc:MGI:95481] MAAAYLDPNLNHTPSSSTKTHLGTGMERSPGAMERVLKVFHYFESSSEPTTWASIIRHGD ATDVRGIIQKIVDSHKVKHVACYGFRLSHLRSEEVHWLHVDMGVSSVREKYELAHPPEEW KYELRIRYLPKGFLNQFTEDKPTLNFFYQQVKSDYMQEIADQVDQEIALKLGCLEIRRSY WEMRGNALEKKSNYEVLEKDVGLKRFFPKSLLDSVKAKTLRKLIQQTFRQFANLNREESI LKFFEILSPVYRFDKECFKCALGSSWIISVELAIGPEEGISYLTDKGCNPTHLADFNQVQ TIQYSNSEDKDRKGMLQLKIAGAPEPLTVTAPSLTIAENMADLIDGYCRLVNGATQSFII RPQKEGERALPSIPKLANSEKQGMRTHAVSVSETDDYAEIIDEEDTYTMPSTRDYEIQRE RIELGRCIGEGQFGDVHQGVYLSPENPALAVAIKTCKNCTSDSVREKFLQEALTMRQFDH PHIVKLIGVITENPVWIIMELCTLGELRSFLQVRKYSLDLASLILYAYQLSTALAYLESK RFVHRDIAARNVLVSSNDCVKLGDFGLSRYMEDSTYYKASKGKLPIKWMAPESINFRRFT SASDVWMFGVCMWEILMHGVKPFQGVKNNDVIGRIENGERLPMPPNCPPTLYSLMTKCWA YDPSRRPRFTELKAQLSTILEEEKVQQEERMRMESRRQATVSWDSGGSDEAPPKPSRPGY PSPRSSEGFYPSPQHMVQTNHYQVSGYPGSHGIPAMAGSIYQGQASLLDQTELWNHRPQE MSMWQPSVEDSAALDLRGMGQVLPPHLMEERLIRQQQEMEEDQRWLEKEERFLKPDVRLS RGSIDREDGSFQGPTGNQHIYQPVGKPDPAAPPKKPPRPGAPGHLSNLSSISSPADSYNE GVKLQPQEISPPPTANLDRSNDKVYENVTGLVKAVIEMSSKIQPAPPEEYVPMVKEVGLA LRTLLATVDETIPALPASTHREIEMAQKLLNSDLGELISKMKLAQQYVMTSLQQEYKKQM LTAAHALAVDAKNLLDVIDQARLKMLGQTRPH >ENSMUSP00000126764.1 pep:known chromosome:GRCm38:15:73215557:73423191:-1 gene:ENSMUSG00000022607.14 transcript:ENSMUST00000170939.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptk2 description:PTK2 protein tyrosine kinase 2 [Source:MGI Symbol;Acc:MGI:95481] MAAAYLDPNLNHTPSSSTKTHLGTGMERSPGAMERVLKVFHYFESSSEPTTWASIIRHGD ATDVRGIIQKIVDSHKVKHVACYGFRLSHLRSEEVHWLHVDMGVSSVREKYELAHPPEEW KYELRIRYLPKGFLNQFTEDKPTLNFFYQQVKSDYMQEIADQVDQEIALKLGCLEIRRSY WEMRGNALEKKSNYEVLEKDVGLKRFFPKSLLDSVKAKTLRKLIQQTFRQFANLNREESI LKFFEILSPVYRFDKECFKCALGSSWIISVELAIGPEEGISYLTDKGCNPTHLADFNQVQ TIQYSNSEDKDRKGMLQLKIAGAPEPLTVTAPSLTIAENMADLIDGYCRLVNGATQSFII RPQKEGERALPSIPKLANSEKQGMRTHAVSVSETDDYAEIIDEEDTYTMPSTRDYEIQRE RIELGRCIGEGQFGDVHQGVYLSPENPALAVAIKTCKNCTSDSVREKFLQEALTMRQFDH PHIVKLIGVITENPVWIIMELCTLGELRSFLQVRKYSLDLASLILYAYQLSTALAYLESK RFVHRDIAARNVLVSSNDCVKLGDFGLSRYMEDSTYYKASKGKLPIKWMAPESINFRRFT SASDVWMFGVCMWEILMHGVKPFQGVKNNDVIGRIENGERLPMPPNCPPTLYSLMTKCWA YDPSRRPRFTELKAQLSTILEEEKVQQEERMRMESRRQATVSWDSGGSDEAPPKPSRPGY PSPRSSEGFYPSPQHMVQTNHYQVSGYPGSHGIPAMAGSIYQGQASLLDQTELWNHRPQE MSMWQPSVEDSAALDLRGMGQVLPPHLMEERLIRQQQEMEEDQRWLEKEERFLKPDVRLS RGSIDREDGSFQGPTGNQHIYQPVGKPDPAAPPKKPPRPGAPGHLSNLSSISSPADSYNE GVKVGICACAMWSVPC >ENSMUSP00000060855.7 pep:known chromosome:GRCm38:14:62276231:62292979:-1 gene:ENSMUSG00000048281.8 transcript:ENSMUST00000063169.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dleu7 description:deleted in lymphocytic leukemia, 7 [Source:MGI Symbol;Acc:MGI:2447771] MASPAPLVASISHQMVALQTLQLLQQEWGWGDGPSAPGSPRGPDHVPIAQARRPGQLRTR RGLGRGSIGARGSPEAGGLRGAEGGAELLPFPRDRGPCTLARMAMRSALARVVDSTSELV SVEQTLLGPLQQERPFPVHLKDSVEFRNICSHLALQIEGQQFDRDLNAAHQCLKTIVKKL IQSLANLPSDAHVVACASLRQILQNLPDV >ENSMUSP00000020939.8 pep:known chromosome:GRCm38:12:44328885:44601846:1 gene:ENSMUSG00000020598.15 transcript:ENSMUST00000020939.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrcam description:neuronal cell adhesion molecule [Source:MGI Symbol;Acc:MGI:104750] MQLKIMPKKKHLSAGGVPLILFLCQMISALDVPLDLVQPPTITQQSPKDYIIDPRENIVI QCEAKGKPPPSFSWTRNGTHFDIDKDPLVTMKPGSGTLVINIMSEGKAETYEGVYQCTAR NERGAAVSNNIVVRPSRSPLWTKERLEPIVLQNGQSLVLPCRPPIGLPPAIIFWMDNSFQ RLPQSERVSQGLNGDLYFSNVLPEDTREDYICYARFNHTQTIQQKQPISLKVISVDELND TIAANLSDTEFYGAKSSKERPPTFLTPEGNESHKEELRGNVLSLECIAEGLPTPIIYWIK EDGMLPANRTFYRNFKKTLQITHVSEADSGNYQCIAKNALGAVHHTISVTVKAAPYWIVA PQNLVLSPGENGTLICRANGNPKPRISWLTNGVPIEIALDDPSRKIDGDTIIFSNVQESS SAVYQCNASNKYGYLLANAFVNVLAEPPRILTSANTLYQVIANRPALLDCAFFGSPMPTI EWFKGTKGSALHEDIYVLHDNGTLEIPVAQKDSTGTYTCVARNKLGMAKNEVHLEIKDPT RIIKQPEYAVVQRGSKVSFECRVKHDHTLIPTIMWLKDNGELPNDERFSTDKDHLVVSDV KDDDGGTYTCTANTTLDSASASAVLRVVAPTPTPAPIYDVPNPPFDLELTNQLDKSVQLT WTPGDDNNSPITKFIIEYEDAMHDAGLWRHQAEVSGTQTTAQLKLSPYVNYSFRVMAENS IGRSMPSEASEQYLTKAAEPDQNPMAVEGLGTEPDNLVITWKPLNGFQSNGPGLQYKVSW RQKDGDDEWTSVVVANVSKYIVSGTPTFVPYLIKVQALNDVGFAPEPAAVMGHSGEDLPM VAPGNVRVSVVNSTLAEVHWDPVPPKSVRGHLQGYRIYYWKTQSSSKRNRRHIEKKILTF QGTKTHGMLPGLQPYSHYALNVRVVNGKGEGPASTDRGFHTPEGVPSAPSSLKIVNPTLD SLTLEWDPPSHPNGILTEYILQYQPINSTHELGPLVDLKIPANKTRWTLKNLNFSTRYKF YFYAQTSVGPGSQITEEAITTVDEAGIPPPDVGAGKGKEEWRKEIVNGSRSFFGLKGLMP GTAYKVRVGAEGDSGFVSSEDVFETGPAMASRQVDIATQGWFIGLMCAVALLILILLIVC FIRRNKGGKYPVKEKEDAHADPEIQPMKEDDGTFGEYSDAEDHKPLKKGSRTPSDRTVKK EDSDDSLVDYGEGVNGQFNEDGSFIGQYSGKKEKEPAEGNESSEAPSPVNAMNSFV >ENSMUSP00000106376.2 pep:known chromosome:GRCm38:12:44328885:44601846:1 gene:ENSMUSG00000020598.15 transcript:ENSMUST00000110748.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrcam description:neuronal cell adhesion molecule [Source:MGI Symbol;Acc:MGI:104750] MQLKIMPKKKHLSAGGVPLILFLCQMISALDVPLDLVQPPTITQQSPKDYIIDPRENIVI QCEAKGKPPPSFSWTRNGTHFDIDKDPLVTMKPGSGTLVINIMSEGKAETYEGVYQCTAR NERGAAVSNNIVVRPSRSPLWTKERLEPIVLQNGQSLVLPCRPPIGLPPAIIFWMDNSFQ RLPQSERVSQGLNGDLYFSNVLPEDTREDYICYARFNHTQTIQQKQPISLKVISVDELND TIAANLSDTEFYGAKSSKERPPTFLTPEGNESHKEELRGNVLSLECIAEGLPTPIIYWIK EDGMLPANRTFYRNFKKTLQITHVSEADSGNYQCIAKNALGAVHHTISVTVKAAPYWIVA PQNLVLSPGENGTLICRANGNPKPRISWLTNGVPIEIALDDPSRKIDGDTIIFSNVQESS SAVYQCNASNKYGYLLANAFVNVLAEPPRILTSANTLYQVIANRPALLDCAFFGSPMPTI EWFKGTKGSALHEDIYVLHDNGTLEIPVAQKDSTGTYTCVARNKLGMAKNEVHLEIKDPT RIIKQPEYAVVQRGSKVSFECRVKHDHTLIPTIMWLKDNGELPNDERFSTDKDHLVVSDV KDDDGGTYTCTANTTLDSASASAVLRVVDVPNPPFDLELTNQLDKSVQLTWTPGDDNNSP ITKFIIEYEDAMHDAGLWRHQAEVSGTQTTAQLKLSPYVNYSFRVMAENSIGRSMPSEAS EQYLTKAAEPDQNPMAVEGLGTEPDNLVITWKPLNGFQSNGPGLQYKVSWRQKDGDDEWT SVVVANVSKYIVSGTPTFVPYLIKVQALNDVGFAPEPAAVMGHSGEDLPMVAPGNVRVSV VNSTLAEVHWDPVPPKSVRGHLQGYRIYYWKTQSSSKRNRRHIEKKILTFQGTKTHGMLP GLQPYSHYALNVRVVNGKGEGPASTDRGFHTPEGVPSAPSSLKIVNPTLDSLTLEWDPPS HPNGILTEYILQYQPINSTHELGPLVDLKIPANKTRWTLKNLNFSTRYKFYFYAQTSVGP GSQITEEAITTVDEGKKAMASRQVDIATQGWFIGLMCAVALLILILLIVCFIRRNKGGKY PVKEKEDAHADPEIQPMKEDDGTFGEYSDAEDHKPLKKGSRTPSDRTVKKEDSDDSLVDY GEGVNGQFNEDGSFIGQYSGKKEKEPAEGNESSEAPSPVNAMNSFV >ENSMUSP00000025861.1 pep:known chromosome:GRCm38:19:5549137:5560575:-1 gene:ENSMUSG00000024922.1 transcript:ENSMUST00000025861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ovol1 description:OVO homolog-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1330290] MPRAFLVKKPCVSTCKRNWSELPDEERGEIYVPVSLGFCPPQPYREPEASVAEPPSCPLA LDMSLRDSSYSVAPGPCVVAQLPSEDVSHLTDPQSRDQGFLRTKMKVTLGDSPNGDLFTC HICQKSFTHQRMLNRHMKCHNDVKRHLCTYCGKGFNDTFDLKRHVRTHTGVRPYKCSLCD KAFTQRCSLESHLKKIHGVQQKYAYKERRAKLYVCEECGCTSESQEGHVLHLKERHPDSP LLRKTSKKVAVALQNTVTSLLQGSPHL >ENSMUSP00000022609.5 pep:known chromosome:GRCm38:14:65530446:65593112:-1 gene:ENSMUSG00000022031.5 transcript:ENSMUST00000022609.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp3 description:elongator acetyltransferase complex subunit 3 [Source:MGI Symbol;Acc:MGI:1921445] MRQKRKGAKYVGQGRKGGSGFSEITGDLSPAELMMLTIGDVIKQLVEAHEQGKDVDLNKM KTKTAAKYGLASQPRLVDIIAAVPPHYRKILIPKLKAKPVRTASGIAVVAVMCKPHRCPH ISFTGNICIYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPFLQTRHRIEQLKQLGHS VDKVEFIVMGGTFMALPEEYRDYFIRSLHDALSGHTSNNIHEAIKYSERSFTKCVGITIE TRPDYCMKRHLSDMLTYGCTRLEIGVQSVYEDVARDTNRGHTVKAACESFHLAKDSGFKV VTHMMPDLPNVGLERDIEQFIEFFENPAFRPDGLKLYPTLVIRGTGLYELWKSGRYRSYS PSDLIELVARILALVPPWTRVYRVQRDIPMPLVSSGVEHGNLRELAFARMKDLGIQCRDV RTREVGIQEIHHRVRPYQVELVRRDYVANGGWETFLSYEDPDQDILIGLLRLRKCSEETF RFELGGGVSIVRELHVYGSVVPVSSRDPTKFQHQGFGMLLMEEAERIAREEHGSGKMAVI SGVGTRNYYRKIGYRLQGPYMVKMLK >ENSMUSP00000001652.5 pep:known chromosome:GRCm38:12:105563172:105593071:1 gene:ENSMUSG00000021070.5 transcript:ENSMUST00000001652.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdkrb2 description:bradykinin receptor, beta 2 [Source:MGI Symbol;Acc:MGI:102845] MPCSWKLLGFLSVHEPMPTAASFGIEMFNVTTQVLGSALNGTLSKDNCPDTEWWSWLNAI QAPFLWVLFLLAALENLFVLSVFFLHKNSCTVAEIYLGNLAAADLILACGLPFWAITIAN NFDWVFGEVLCRVVNTMIYMNLYSSICFLMLVSIDRYLALVKTMSMGRMRGVRWAKLYSL VIWGCTLLLSSPMLVFRTMREYSEEGHNVTACVIVYPSRSWEVFTNVLLNLVGFLLPLSV ITFCTVRILQVLRNNEMKKFKEVQTERKATVLVLAVLGLFVLCWVPFQISTFLDTLLRLG VLSGCWDEHAVDVITQISSYVAYSNSGLNPLVYVIVGKRFRKKSREVYRVLCQKGGCMGE PVQMENSMGTLRTSISVERQIHKLQDWAGKKQ >ENSMUSP00000136674.1 pep:known chromosome:GRCm38:19:56461637:56486729:1 gene:ENSMUSG00000035818.13 transcript:ENSMUST00000178590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhs1 description:pleckstrin homology domain containing, family S member 1 [Source:MGI Symbol;Acc:MGI:2443041] MEARPPKGPGKQFTFDYENEVHKRDYFIKSPPPQLFFSGTSWKKRLFILSQSRGTGLSLS YYKDHQHRGSIEIDGSTVEVGINCQEKMQSVQKMFKCHPDEVMSIRTANRDYFLIGHDRE KIKDWVSFMTPYCQGVKATHQRAEQEKLSLGDRRPVSDPSPFLGLCSIPEGIRLASPRAS LPEHLIQKSLQRFRQAHLHQDHDFHSEPTQDTEEEYYLTPRSLEACLELENIAGPNDSGD SIESNSPDQGFKRAESNYVSMRSLRTCLLKESTSASADDNDGQAEFQTESELGPPHQDSG TGSDPCLSPPNSKAQTTDDQKGSASLTVVKLSILLNNIPDESQVETLNVFLSPRDAIDYL ALVEAAGQICVARWEGPPRLGCLFYHGDHILAVNDLKPQSLEEVSLFLTRCIQKEKVKLS IGRIPNSEKLHASPCACSLRHQLAESVQRDLPELERTPKRSPAIKKSQKEAAGE >ENSMUSP00000035440.6 pep:known chromosome:GRCm38:19:56461637:56486729:1 gene:ENSMUSG00000035818.13 transcript:ENSMUST00000039666.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhs1 description:pleckstrin homology domain containing, family S member 1 [Source:MGI Symbol;Acc:MGI:2443041] MEARPPKGPGKQFTFDYENEVHKRDYFIKSPPPQLFFSGTSWKKRLFILSQSRGTGLSLS YYKDHQHRGSIEIDGSSTVEVGINCQEKMQSVQKMFKCHPDEVMSIRTANRDYFLIGHDR EKIKDWVSFMTPYCQGVKATHQRAEEKLSLGDRRPVSDPSPFLGLCSIPEGIRLASPRAS LPEHLIQKSLQRFRQAHLHQDHDFHSEPTQDTEEEYYLTPRSLEACLELENIAGPNDSGD SIESNSPDQGFKRAESNYVSMRSLRTCLLKESTSASADDNDGQAEFQTESELGPPHQDSG TGSDPCLSPPNSKAQTTDDQKGSASLTVVKLSILLNNIPDESQVETLNVFLSPRDAIDYL ALVEAAGQICVARWEGPPRLGCLFYHGDHILAVNDLKPQSLEEVSLFLTRCIQKEKVKLS IGRIPNSEKLHASPCACSLRHQLAESVQRDLPELERTPKRSPAIKKSQKEAAGE >ENSMUSP00000086820.1 pep:known chromosome:GRCm38:15:78480553:78495066:-1 gene:ENSMUSG00000068227.8 transcript:ENSMUST00000089398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il2rb description:interleukin 2 receptor, beta chain [Source:MGI Symbol;Acc:MGI:96550] MATIALPWSLSLYVFLLLLATPWASAAVKNCSHLECFYNSRANVSCMWSHEEALNVTTCH VHAKSNLRHWNKTCELTLVRQASWACNLILGSFPESQSLTSVDLLDINVVCWEEKGWRRV KTCDFHPFDNLRLVAPHSLQVLHIDTQRCNISWKVSQVSHYIEPYLEFEARRRLLGHSWE DASVLSLKQRQQWLFLEMLIPSTSYEVQVRVKAQRNNTGTWSPWSQPLTFRTRPADPMKE ILPMSWLRYLLLVLGCFSGFFSCVYILVKCRYLGPWLKTVLKCHIPDPSEFFSQLSSQHG GDLQKWLSSPVPLSFFSPSGPAPEISPLEVLDGDSKAVQLLLLQKDSAPLPSPSGHSQAS CFTNQGYFFFHLPNALEIESCQVYFTYDPCVEEEVEEDGSRLPEGSPHPPLLPLAGEQDD YCAFPPRDDLLLFSPSLSTPNTAYGGSRAPEERSPLSLHEGLPSLASRDLMGLQRPLERM PEGDGEGLSANSSGEQASVPEGNLHGQDQDRGQGPILTLNTDAYLSLQELQAQDSVHLI >ENSMUSP00000127006.1 pep:known chromosome:GRCm38:15:78481325:78493756:-1 gene:ENSMUSG00000068227.8 transcript:ENSMUST00000163494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il2rb description:interleukin 2 receptor, beta chain [Source:MGI Symbol;Acc:MGI:96550] MATIALPWSLSLYVFLLLLATPWASAAVKNCSHLECFYNSRANVSCMWSHEEALNVTTCH VHAKSNLRHWNKTCELTLVRQASWACNLILGSFPESQSLTSVDLLDINVVCWEEKGWRRV KTCDFHPFDNLRLVAPHSLQVLHIDTQRCNISWKVSQVSHYIEPYLEFEARRRLLGHSWE DASVLSLKQRQQWLFLEMLIPSTSYEVQVRVKAQRNNTGTWSPWSQPLTFRTRPADPMKE ILPMSWLRYLLLVLGCFSGFFSCVYILVKCRYLGPWLKTVLKCHIPDPSEFFSQLSSQHG GDLQKWLSSPVPLSFFSPSGPAPEISPLEVLDGDSKAVQLLLLQKDSAPLPSPSGHSQAS CFTNQGYFFFHLPNALEIESCQVYFTYDPCVEEEVEEDGSRLPEGSPHPPLLPLAGEQDD YCAFPPRDDLLLFSPSLSTPNTAYGGSRAPEERSPLSLHEGLPSLASRDLMGLQRPLERM PEGDGEGLSANSSGEQASVPEGNLHGQDQDRGQGPILTLNTDAYLSLQELQAQDSVHLI >ENSMUSP00000025727.4 pep:known chromosome:GRCm38:19:30003790:30006020:1 gene:ENSMUSG00000024815.4 transcript:ENSMUST00000025727.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpd52l3 description:tumor protein D52-like 3 [Source:MGI Symbol;Acc:MGI:1913995] MDQSHLESYPTGQKSEPAGLAVSSTSPNCFSSNQGLDSVYQDLDLDSLNEDLSLSVPDAM TETSASTNESRLASEPDLTEAEQLELKSELTKLEEEILNLRDLLAAKEKRCGELKRKLGC VPLVGLRQNLSKSWHDVQASNTYMKQKTSTALASVGSAICRKLGDVKNSSTFRSLEGLVG TVKSRVAGGRELGSGLLPSPVSGSDPHSALGSGYETVAGLDEQLFSVLKPE >ENSMUSP00000067603.5 pep:known chromosome:GRCm38:19:41482645:41562246:1 gene:ENSMUSG00000025019.15 transcript:ENSMUST00000067795.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcor description:ligand dependent nuclear receptor corepressor [Source:MGI Symbol;Acc:MGI:2443930] MQRMIQQFAAEYTSKTSSTQDPSQPNSTKNQSLPKASPVTTSPTAATTQNPVLSKLLMAD QDSPLDLTVRKSQSEPSEQDGVLDLSTKKSPCASSTSLSHSPGCSSTQGNGRPGRPSQYR PDGLRSGDGVPPRSLQDGTREGFGHSTSLKVPLARSLQISEELLSRNQLSTAASLGPSGL QNHGQHLILSREASWAKPHYEFSLSRMKFRGNGALSNISDLPFLAENSAFPKMAHQTKQD GKRDMSHSSPVDLKIPQVRGMDLSWESRTGDQYSYSSLVMGSQTESALSKKLRAILPKQN RKSMLDAGPDSWGSDAEQSTSGQPYPTSDQEGDPGSKQPRKKRGRYRQYNSEILEEAISV VMSGKMSVSKAQSIYGIPHSTLEYKVKERLGTLKNPPKKKMKLMRSEGPDVSVKIELDPQ GEAAQSANESKTE >ENSMUSP00000126441.1 pep:known chromosome:GRCm38:19:41549639:41559781:1 gene:ENSMUSG00000025019.15 transcript:ENSMUST00000163929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lcor description:ligand dependent nuclear receptor corepressor [Source:MGI Symbol;Acc:MGI:2443930] MQRMIQQFAAEYTSKTSSTQDPSQPNSTKNQSLPKASPVTTSPTAATTQNPVLSKLLMAD QDSPLDLTVRKSQSEPSEQDGVLDLSTKKSPCASSTSLSHSPGCSSTQGNGRPGRPSQYR PDGLRSGDGVPPRSLQDGTREGFGHSTSLKVPLARSLQISEELLSRNQLSTAASLGPSGL QNHGQHLILSREASWAKPHYEFSLSRMKFRGNGALSNISDLPFLAENSAFPKMAHQTKQD GKRDMSHSSPVDLKIPQVRGMDLSWESRTGDQYSYSSLVMGSQTESALSKKLRAILPKQN RKSMLDAGPDSWGSDAEQSTSGQPYPTSDQEGDPGSKQPRKKRGRYRQYNSEILEEAISV VMSGKMSVSKAQSIYGIPHSTLEYKVKERLGTLKNPPKKKMKLMRSEGPDVSVKIELDPQ GEAAQSANESKTE >ENSMUSP00000077524.2 pep:known chromosome:GRCm38:16:89403027:89403774:-1 gene:ENSMUSG00000058368.2 transcript:ENSMUST00000078422.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap21-1 description:keratin associated protein 21-1 [Source:MGI Symbol;Acc:MGI:2157767] MCCNYYGNSCGGCGYGSRYGYGCGYGSGYGCGYGSGYGCGYGSGYGCGYGSGYGCGYGSG YGCGYGSGYGCGYGSSYGCGYGSGYGCGYGSGYGCGYGSGYGCGYGSRYGCGYGSGCCSY RKCYSSCC >ENSMUSP00000136551.1 pep:known chromosome:GRCm38:9:18427543:18428806:1 gene:ENSMUSG00000074501.5 transcript:ENSMUST00000053326.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5612 description:predicted gene 5612 [Source:MGI Symbol;Acc:MGI:3647118] MPSEKTFKQRRSFEQRVEDARLIREQHPTKIPVIIERYKGEKQLPVLDKTKFLVPDYVNM SELIKIIRQRLQINANQAFFLLVNGHSMVSVSMPISEVYESERDEDGFLYMVYVSQETFG TAMAVTYMSAVRTTATGDMLLI >ENSMUSP00000022680.7 pep:known chromosome:GRCm38:14:70100145:70138191:1 gene:ENSMUSG00000022089.8 transcript:ENSMUST00000022680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bin3 description:bridging integrator 3 [Source:MGI Symbol;Acc:MGI:1929883] MSWIPFKIGQPKKQIVSKTVERDFEREYGKLQQLEEQTKRLQKDMKKSTDADLAMSKSAV KISQDLLSNPLCEQDQDFLHMVTALDTAMKRMDAFNQEKVNQIQKTVIEPLKKFSSIFPS LNMAVKRREQALQDYGRLQAKVEKYEEKEKTGPVLAKLHQAREELRPVREDFEAKNKQLL DEMPRFYGSRLDYFQPSFESLIRAQVIYYSEMHKIFGDLTQQLDQPGHSDEQRERENETK LSELRALSIVADD >ENSMUSP00000098840.2 pep:known chromosome:GRCm38:X:111110458:111123874:1 gene:ENSMUSG00000073001.2 transcript:ENSMUST00000101282.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cylc1 description:cylicin, basic protein of sperm head cytoskeleton 1 [Source:MGI Symbol;Acc:MGI:1914657] MSLSKLDSEKLTIEDVQTSSSSCRREINTTTYDDYILSIQTSEKQNQEHFVLTFPKTPMP DKKKRSGPSELEVAVPIQVKRKIEKDQKPTHVWINQFLRDIFLKSSFSRPFITQAPFKYL YNPQNHYTMAESRKSKNDERRKTLKIKFRGKISSCVVNLEPMRTITNGEPEILGNTEKNP SKSSHKIKLPKTSNSTSETNLEYNNSKKTLEMSLRNGNKNSMNFVLKGNAATCCKDNPNT DSKKSVEEFSDDISECINSSNMDLMLRLNEFRAEFTDLDVWSTNCSQNNAKKPLKTGGKK ERDSDIDSGGSKDAKKEGKKKGKRESRKKRNTESSDAESGDSKDGKKKSKHDKKNEIKKK KDTDSTGSGSGASMVSKKGKTEKKSTGKKSTGSTGSESVDSKSTNKVKKQVKKGVMKKAV STDSESDASSKKSKKDEKKENKGRKKKPIKDTESTDADSESEGDSTGKKNEKKDKKITKK GEKKDAKKNTASSESESDLGVNKKKTKIKEIVSFSDSTSDSYSKAGRRKNVRRSDSESED SSGFRVLKSTDDSEASSTDSKTGMPGMRRGFRSLSKKTTFNERGKRSVTGRIPSSRERLP FPPCEPFRASPKPVHVCKCKESPSPKARYAPLVCLLIINT >ENSMUSP00000137805.1 pep:known chromosome:GRCm38:CHR_MG4214_PATCH:37875228:37879394:-1 gene:ENSMUSG00000097126.3 transcript:ENSMUST00000180817.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox8 MLFFLRLNQEVKPRISRSRSTVNSIHAMEPQEVTQSSLLRDDEIKESDDAAAWIVSQEMK EREENAGIQDCPLLGAMAAEGEGENEEEGEEGEEGEEGEEGEEGEEGEEGEEGEEEEEEE EEEEEEEEEEEEEEEEEEEGEEGEEGEEGDEGGEGGEGGEEGEEEGEEEEGGEGEGEEEE EEEEEEEEEEEEEEEEEEEEEAAAAAAARDETTAGSSAPVDDRSHDAGASSNGEDRGQGE ELIPGGTKGLEALPSPSGQLPRNRYRFTKFQLQELERIFERNHYPSAAARRELARWIGVT ESRVENWFKSRRAKYRKCLM >ENSMUSP00000130366.1 pep:known chromosome:GRCm38:13:76001535:76018712:-1 gene:ENSMUSG00000043190.13 transcript:ENSMUST00000167271.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfesd description:Rieske (Fe-S) domain containing [Source:MGI Symbol;Acc:MGI:2145198] MDPEISEQDEEKKKYTSVCVGREEDIRKSERMTAVVHDREVVIFYHKGEYHAMDIRCYHS GGPLHLGEIEDFNGQSCIVCPWHKYKITLATGEGLYQSINPKDPSAKPKWCSKGVKQRIH TVKVDNGNIYVTLSKEPFKCDSDYYATGEFKVIQSSS >ENSMUSP00000136314.1 pep:known chromosome:GRCm38:13:76001535:76018606:-1 gene:ENSMUSG00000043190.13 transcript:ENSMUST00000179078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfesd description:Rieske (Fe-S) domain containing [Source:MGI Symbol;Acc:MGI:2145198] MDPEISEQDEEKKKYTSVCVGREEDIRKSERMTAVVHDREVVIFYHKGEYHAMDIRCYHS GGPLHLGEIEDFNGQSCIVCPWHKYKITLATGEGLYQSINPKDPSAKPKWCSKGVKQRIH TVKVDNGNIYVTLSKEPFKCDSDYYATGEFKVIQSSS >ENSMUSP00000055763.1 pep:known chromosome:GRCm38:13:76001535:76018562:-1 gene:ENSMUSG00000043190.13 transcript:ENSMUST00000050997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfesd description:Rieske (Fe-S) domain containing [Source:MGI Symbol;Acc:MGI:2145198] MDPEISEQDEEKKKYTSVCVGREEDIRKSERMTAVVHDREVVIFYHKGEYHAMDIRCYHS GGPLHLGEIEDFNGQSCIVCPWHKYKITLATGEGLYQSINPKDPSAKPKWCSKGVKQRIH TVKVDNGNIYVTLSKEPFKCDSDYYATGEFKVIQSSS >ENSMUSP00000006094.4 pep:known chromosome:GRCm38:15:82790107:82794245:-1 gene:ENSMUSG00000022445.6 transcript:ENSMUST00000006094.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2d26 description:cytochrome P450, family 2, subfamily d, polypeptide 26 [Source:MGI Symbol;Acc:MGI:1923529] MGLLVGDDLWAVVIFTAIFLLLVDLVHRRQRWTACYPPGPVPFPGLGNLLQVDFENIPYS FYKLQNRYGNVFSLQMAWKPVVVVNGLKAVRELLVTYGEDTSDRPLMPIYNHIGYGHKSK GVILAPYGPEWREQRRFSVSTLRDFGLGKKSLEQWVTEEAGHLCDAFTKEAEHPFNPSPL LSKAVSNVIASLIYARRFEYEDPFFNRMLKTLKESLGEDTGFVGEVLNAIPMLLHIPGLP DKAFPKLNSFIALVNKMLIEHDSTWDPAQPPRDLTDAFLAEVEKAKGNPESSFNDKNLRI VVIDLFMAGMVTTSTTLSWALLLMILHPDVQRRVHQEIDEVIGHVRHPEMADQARMPYTN AVIHEVQRFADIVPTNLPHMTSRDIKFQDFFIPKGTTLIPNLSSVLKDETVWEKPLRFYP EHFLDAQGHFVKHEAFMPFSAGRRSCLGEPLARMELFLFFTCLLQRFSFSVPDGQPRPSD YGIYTMPVTPEPYQLCAVAR >ENSMUSP00000058859.6 pep:known chromosome:GRCm38:8:95559133:95575455:-1 gene:ENSMUSG00000048400.12 transcript:ENSMUST00000052690.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss54 description:protease, serine 54 [Source:MGI Symbol;Acc:MGI:1918243] MAEMRGMLLMLLYISHSSSAICGIQKATIADKLKENLVSSTEFPWVVSIQDKQYTHLAFG CILSEFWILSTASALQHRKEVIAVVGISNMDPRKTDHREYSVNTIIPHENFDNVSMGNNI ALLKTESAMHFNDLVQAICFLGKKLHKPPALKNCWVAGWNPTSATGNHMTMSILRRISVK DIEVCPLRRHQKTECASHTKEPNNVCLGEPGSPMMCQAKKLDLWILRGLLAYGGDSCPGL FLYTSVADYSDWITAKTRKAGPSLSSLHLWEKLVFELPFHESNIALTTNSFSIHTYAEWP HSYSQGQRMSTKSNKQKDAGQNFRVNRQPETSGPSKVAIQPMYYDYYGGEAGEGGAVAGQ NRLHWSQERILMSFVLVFLGSGV >ENSMUSP00000137577.1 pep:known chromosome:GRCm38:8:95559292:95575197:-1 gene:ENSMUSG00000048400.12 transcript:ENSMUST00000180075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss54 description:protease, serine 54 [Source:MGI Symbol;Acc:MGI:1918243] MAEMRGMLLMLLYISHSSSAICGIQKATIADKLKENLVSSTEFPWVVSIQDKQYTHLAFG CILSEFWILSTASALQHRPEVIAVVGISNMDPRKTDHREYSVNTIIPHENFDNVSMGNNI ALLKTESAMHFNDLVQAICFLGKKLHKPPALKNCWVAGWNPTSATGNHMTMSILRRISVK DIEVCPLRRHQKTECASHTKEPNNVCLGEPGSPMMCQAKKLDLWILRGLLAYGGDSCPGL FLYTSVADYSDWITAKTRKAGPSLSSLHLWEKLVFELPFHESNIALTTNSFSIHTYAEWP HSYSQGQRMSTKSNKQKDAGQNFRVNRQPETSGPSKVAIQPMYYDYYGGEAGEGGAVAGQ NRLHWSQERILMSFVLVFLGSGV >ENSMUSP00000127128.1 pep:known chromosome:GRCm38:9:22126731:22135746:-1 gene:ENSMUSG00000001348.14 transcript:ENSMUST00000165735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acp5 description:acid phosphatase 5, tartrate resistant [Source:MGI Symbol;Acc:MGI:87883] MDSWVVLLGLQIIWLPLLTHGTAPTPTLRFVAVGDWGGVPNAPFHTAREMANAKEIARTV QTMGADFIMSLGDNFYFTGVHDASDKRFQETFEDVFSDRALRNIPWYVLAGNHDHLGNVS AQIAYSKISKRWNFPSPYYRLRFKIPRTNITVAIFMLDTVMLCGNSDDFASQQPKMPRDL GVARTQLSWLKKQLAAAKEDYVLVAGHYPIWSIAEHGPTRCLVKNLRPLLATYGVTAYLC GHDHNLQYLQDENGVGYVLSGAGNFMDPSVRHQRKVPNGYLRFHYGSEDSLGGFTHVEIS PKEMTIIYVEASGKSLFKTSLPRRPRP >ENSMUSP00000065425.6 pep:known chromosome:GRCm38:9:22126731:22131865:-1 gene:ENSMUSG00000001348.14 transcript:ENSMUST00000069330.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acp5 description:acid phosphatase 5, tartrate resistant [Source:MGI Symbol;Acc:MGI:87883] MDSWVVLLGLQIIWLPLLTHGTAPTPTLRFVAVGDWGGVPNAPFHTAREMANAKEIARTV QTMGADFIMSLGDNFYFTGVHDASDKRFQETFEDVFSDRALRNIPWYVLAGNHDHLGNVS AQIAYSKISKRWNFPSPYYRLRFKIPRTNITVAIFMLDTVMLCGNSDDFASQQPKMPRDL GVARTQLSWLKKQLAAAKEDYVLVAGHYPIWSIAEHGPTRCLVKNLRPLLATYGVTAYLC GHDHNLQYLQDENGVGYVLSGAGNFMDPSVRHQRKVPNGYLRFHYGSEDSLGGFTHVEIS PKEMTIIYVEASGKSLFKTSLPRRPRP >ENSMUSP00000110970.2 pep:known chromosome:GRCm38:9:22126731:22130598:-1 gene:ENSMUSG00000001348.14 transcript:ENSMUST00000115315.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acp5 description:acid phosphatase 5, tartrate resistant [Source:MGI Symbol;Acc:MGI:87883] MDSWVVLLGLQIIWLPLLTHGTAPTPTLRFVAVGDWGGVPNAPFHTAREMANAKEIARTV QTMGADFIMSLGDNFYFTGVHDASDKRFQETFEDVFSDRALRNIPWYVLAGNHDHLGNVS AQIAYSKISKRWNFPSPYYRLRFKIPRTNITVAIFMLDTVMLCGNSDDFASQQPKMPRDL GVARTQLSWLKKQLAAAKEDYVLVAGHYPIWSIAEHGPTRCLVKNLRPLLATYGVTAYLC GHDHNLQYLQDENGVGYVLSGAGNFMDPSVRHQRKVPNGYLRFHYGSEDSLGGFTHVEIS PKEMTIIYVEASGKSLFKTSLPRRPRP >ENSMUSP00000002400.6 pep:known chromosome:GRCm38:14:55657879:55660508:-1 gene:ENSMUSG00000002329.6 transcript:ENSMUST00000002400.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdp1 description:magnesium-dependent phosphatase 1 [Source:MGI Symbol;Acc:MGI:1915131] MTRLPKLAVFDLDYTLWPFWVDTHVDPPFHKSSDGTVRDRRGQNIQLYPEVPEVLGRLQS LGVPVAAASRTSEIQGANQLLELFDLGKYFIQREIYPGSKVTHFERLHHKTGVPFSQMVF FDDENRNIIDVGRLGVTCIHIRDGMSLQTLTQGLETFAKAQAGL >ENSMUSP00000068450.3 pep:known chromosome:GRCm38:9:21265299:21274368:1 gene:ENSMUSG00000002820.5 transcript:ENSMUST00000065005.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg4d description:autophagy related 4D, cysteine peptidase [Source:MGI Symbol;Acc:MGI:2444308] MNSVSPAAAQYRSGSSEDARRADCRRPRGQTRIPDPSNLGPSGSGVAALGSSGTDPAEPD EVDKFKAKFLTAWNNVKYGWAVKSRTSFSKISTVHLCGRCYHFEGEGDIQRFQRDFVSRL WLTYRRDFPPLAGGSLTSDCGWGCMLRSGQMMLAQGLLLHFLPRDWRWVEGTGLASSEMP GPASPSRCRGPGRRGPPRWTQGALEMEQDRWHRRIVSWFADHPRAPFGLHRLVELGRSSG KKAGDWYGPSVVAHILRKAVESCSEVSRLVVYVSQDCTVYKADVARLLSWPDPTAEWKSV VILVPVRLGGETLNPVYVPCVKELLRSELCLGIMGGKPRHSLYFIGYQDDFLLYLDPHYC QPTVDVSQPSFPLESFHCTSPRKMAFAKMDPSCTVGFYAGNRKEFETLCSELMRILSSSS VTERYPMFTVAEGHAQDHSLDALCTQLSQPTLRLPCTGRLLKAKRPSSEDFVFL >ENSMUSP00000034138.5 pep:known chromosome:GRCm38:8:85537640:85555271:-1 gene:ENSMUSG00000031701.5 transcript:ENSMUST00000034138.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaja2 description:DnaJ heat shock protein family (Hsp40) member A2 [Source:MGI Symbol;Acc:MGI:1931882] MANVADTKLYDILGVPPGASENELKKAYRKLAKEYHPDKNPNAGDKFKEISFAYEVLSNP EKRELYDRYGEQGLREGSGGGGGMDDIFSHIFGGGLFGFMGNQSRSRNGRRRGEDMMHPL KVSLEDLYNGKTTKLQLSKNVLCSACSGQGGKSGAVQKCSACRGRGVRIMIRQLAPGMVQ QMQSVCSDCNGEGEVINEKDRCKKCEGKKVIKEVKILEVHVDKGMKHGQRITFTGEADQA PGVEPGDIVLLLQEKEHEVFQRDGNDLHMTYKIGLVEALCGFQFTFKHLDARQIVVKYPP GKVIEPGCVRVVRGEGMPQYRNPFEKGDLYIKFDVQFPENNWINPDKLSELEDLLPSRPE VPNVIGETEEVELQEFDSTRGSGGGQRREAYNDSSDEESSSHHGPGVQCAHQ >ENSMUSP00000025835.4 pep:known chromosome:GRCm38:19:3323301:3385732:1 gene:ENSMUSG00000024900.4 transcript:ENSMUST00000025835.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpt1a description:carnitine palmitoyltransferase 1a, liver [Source:MGI Symbol;Acc:MGI:1098296] MAEAHQAVAFQFTVTPDGIDLRLSHEALKQICLSGLHSWKKKFIRFKNGIITGVFPASPS SWLIVVVGVISSMHTKVDPSLGMIAKINRTLDTTGRMSSQTKNIVSGVLFGTGLWVAIIM TMRYSLKVLLSYHGWMFAEHGKMSRSTRIWMAMVKVFSGRKPMLYSFQTSLPRLPVPAVK DTVSRYLESVRPLMKEGDFQRMTALAQDFAVNLGPKLQWYLKLKSWWATNYVSDWWEEYI YLRGRGPIMVNSNYYAMEMLYITPTHIQAARAGNTIHAILLYRRTVDREELKPIRLLGST IPLCSAQWERLFNTSRIPGEETDTIQHVKDSRHIVVYHRGRYFKVWLYHDGRLLRPRELE QQMQQILDDTSEPQPGEAKLAALTAADRVPWAKCRQTYFARGKNKQSLDAVEKAAFFVTL DESEQGYREEDPEASIDSYAKSLLHGRCFDRWFDKSITFVVFKNSKIGINAEHSWADAPI VGHLWEYVMATDVFQLGYSEDGHCKGDKNPNIPKPTRLQWDIPGECQEVIETSLSSASFL ANDVDLHSFPFDTFGKGLIKKCRTSPDAFIQLALQLAHYKDMGKFCLTYEASMTRLFREG RTETVRSCTTESCNFVLAMMDPTTTAEQRFKLFKIACEKHQHLYRLAMTGAGIDRHLFCL YVVSKYLAVDSPFLKEVLSEPWRLSTSQTPQQQVELFDFEKYPDYVSCGGGFGPVADDGY GVSYIIVGENFIHFHISSKFSSPETDSHRFGKHLRQAMMDIITLFGLTANSKK >ENSMUSP00000054591.6 pep:known chromosome:GRCm38:9:50575273:50581837:1 gene:ENSMUSG00000039217.12 transcript:ENSMUST00000059081.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il18 description:interleukin 18 [Source:MGI Symbol;Acc:MGI:107936] MAAMSEDSCVNFKEMMFIDNTLYFIRQEAGDLESDNFGRLHCTTAVIRNINDQVLFVDKR QPVFEDMTDIDQSASEPQTRLIIYMYKDSEVRGLAVTLSVKDSKMSTLSCKNKIISFEEM DPPENIDDIQSDLIFFQKRVPGHNKMEFESSLYEGHFLACQKEDDAFKLILKKKDENGDK SVMFTLTNLHQS >ENSMUSP00000137193.1 pep:known chromosome:GRCm38:9:50575273:50581837:1 gene:ENSMUSG00000039217.12 transcript:ENSMUST00000180021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il18 description:interleukin 18 [Source:MGI Symbol;Acc:MGI:107936] MAAMSEDSCVNFKEMMFIDNTLYFIPEENGDLESDNFGRLHCTTAVIRNINDQVLFVDKR QPVFEDMTDIDQSASEPQTRLIIYMYKDSEVRGLAVTLSVKDSKMSTLSCKNKIISFEEM DPPENIDDIQSDLIFFQKRVPGHNKMEFESSLYEGHFLACQKEDDAFKLILKKKDENGDK SVMFTLTNLHQS >ENSMUSP00000034162.6 pep:known chromosome:GRCm38:8:109494027:109542642:1 gene:ENSMUSG00000031727.7 transcript:ENSMUST00000034162.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmfbp1 description:polyamine modulated factor 1 binding protein 1 [Source:MGI Symbol;Acc:MGI:1930136] MLKLKGELRTAKGLKNEAGERDRDVSNLNSKLLSLQLDIKNLHDVCKRQGKTLQENQLCV EEAMLKANHNKKQAQTLVFTDNQMDFRVNKQYHLRQLQQLKKKLLTLQQELEFRTQELQA SYCSLLQYQSILEKQTSDLLVLHRHCKLKEDEVILYEEEMGNHSKSTGEKLHLAQEQLAL AGDKILSLERSLNLYRDKYQTSLSNIELLECQVKMLEGELSGLIGQDPENKGDHPKVRIY TSPCVIQEHQETLKRLSEVWQKVSEQDDLIQELRNKLACSNSLVLEREEALIKLQAEFAS YTATHRHPPTSSEDCEDITKILKHLQEQKDSQCLHVEEYQNLVKDLRMELEAVSEQKKKI MKDMMKLELDLHGLREETSCVIEKKDKETVFLQYRLQDLQQQYTESQKLSLKKDKLLQDK DERLHELEKNLMQVQNSLREKEAELEKLQCTTKELDTSLQEARQSTSKIDCEALRAEIQK LKDSLEEAREQLKVSDQNLTQSKEEAHLSASSLEDAHRKIENCLLQDKQKEEVIKDLQSQ LHKLQKESSKIEEERKHNRQRLQELSSELSEGQRRLSNAEKEKSLLQKTLDEDEKKIDEL FHSTQVSEQKQRELTNSIRKLEEELLEIKGLLEEKREQLKKSKEQEKALEEEIEALRQEA KRKEKMAKEHLRKLDEEKENLQAELTSRSSHLDSSLNKYNSSQKVIQELNAEIARQKDSI MILQTQLDSAIQKEKNCFQNMVSKEAYEELVRKSGNCQDDLTQALEKLTQATSETKSLNR SLQQTQERKAQLEDEIAAYEERMKKLNMELKKLQGFQQQSEQEVHNFDKKLEEMSNQVLQ WQRQHQSDLKMLAAKESQLREFQEEMATLRESLLADEKEPYSPPAKLTPKETCRHHREND QIMNNVEQWAKEQKIANEKLGNKLREQVKYIAKLTGEKDHLHNVMVHLQQENKKLKNEIE EKKLKAGNPRICVKAFPPNKLEPSQKGKLCCALGWRGIPQDLTPKTDHKYMGLPHSSGSS YC >ENSMUSP00000128826.1 pep:known chromosome:GRCm38:9:114057354:114098733:1 gene:ENSMUSG00000086596.1 transcript:ENSMUST00000135338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd5 description:sushi domain containing 5 [Source:MGI Symbol;Acc:MGI:2685972] MSAGPLWPAPGSLPALCAALLLLALQPPPVRAEGKLFMLGSQNGSQGLDLEAARLSCRSR GAHLVSAAELKRVVRDCASAVCTTGWLADGTLGTTVCSKGSGEQPVSRAVDVRIDSHPVP GAKYNALCIKDEERPCGDPPSFPHTILQGRTGLEMGDELLYLCAPGSVPGHRETAFTLLC NSCGEWYGLVQACGKDEAEAHIDYEENFPDDRSVSFRELMEDSRAEGEKEKAQEDASDET PKQDRLVFTSVSKENIAQVKAFVPTTGSPGAGGSVRTDWPRSRLHRKYSLWFPAETFHKP ELGKDEGDETKEPLPARDNHSEEKPAPEESETRLVYATTYSPSEPFVDRNDSKAEDPGVS SSDDSWLDGYPVTDGAWRKVEAGQEDDEDKGDGSVGPDESGLTTPDKEPENVTVTPSESV IHSSISPSQMLDVEALVPGPINVSETESSHTRDVDSTSSQSTIPRRVTTQPSPKVTSPHE LASSTQETVTTTLQPTHKRTPSTDVEATQPPAGVTAPEVQDNFPYLLSEDFLGQEGPGPG ASEKFLPTSAPCVGDECPSFRKGPVIATVVTVLCLLLLLVVAGAVWGYRRCQHKSSVYKL NVGQRQARHYQQQIEMEKV >ENSMUSP00000057354.7 pep:known chromosome:GRCm38:9:42254177:42264300:-1 gene:ENSMUSG00000032018.13 transcript:ENSMUST00000052725.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sc5d description:sterol-C5-desaturase (fungal ERG3, delta-5-desaturase) homolog (S. cerevisae) [Source:MGI Symbol;Acc:MGI:1353611] MDLVLSAADYYFFTPYVYPATWPEDNIIRQTISLLIVTNLGAYILYFFCATLSYYFVYDH SLMKHPQFLKNQVSREIVFTVKSLPWISIPTVSLFLLELRGYSKLYDDIGDFPNGWIHLM VSVVSFLFFTDMLIYWIHRGLHHRLVYKRIHKPHHIWKIPTPFASHAFHPVDGFLQSLPY HIYPFVFPLHKVVYLGLYVLVNVWTISIHDGDFRVPQILRPFINGSAHHTDHHMFFDYNY GQYFTLWDRIGGSFKHPSSFEGKGPHSYVKNMTEKESNSFAENGCKGKKVGNGEFTKNK >ENSMUSP00000130438.1 pep:known chromosome:GRCm38:9:42254733:42264200:-1 gene:ENSMUSG00000032018.13 transcript:ENSMUST00000169609.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sc5d description:sterol-C5-desaturase (fungal ERG3, delta-5-desaturase) homolog (S. cerevisae) [Source:MGI Symbol;Acc:MGI:1353611] MDLVLSAADYYFFTPYVYPATWPEDNIIRQTISLLIVTNLGAYILYFFCATLSYYFVYDH SLMKHPQFLKNQVSREIVFTVKSLPWISIPTVSLFLLELRGYSKLYDDIGDFPNGWIHLM VSVVSFLFFTDMLIYWIHRGLHHRLVYKRIHKPHHIWKIPTPFASHAFHPVDGFLQSLPY HIYPFVFPLHKVVYLGLYVLVNVWTISIHDGDFRVPQILRPFINGSAHHTDHHMFFDYNY GQYFTLWDRIGGSFKHPSSFEGKGPHSYVKNMTEKESNSFAENGCKGKKVGNGEFTKNK >ENSMUSP00000036674.4 pep:known chromosome:GRCm38:14:67751928:67933572:-1 gene:ENSMUSG00000044447.4 transcript:ENSMUST00000039135.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock5 description:dedicator of cytokinesis 5 [Source:MGI Symbol;Acc:MGI:2652871] MARWIPTKRQKYGVAIYNYNASQDVELSLQIGDTVHILEMYEGWYRGYALQNRSKKGIFP ETYIHLKEATVEDGGQHETVIPGELPLVQELTNTLREWAVIWRKLYVNNKVTLFRQLQQM TYSLIEWRSQILSGTLPKDELAELKKKVTAKIDHGNRMLGLDLVVRDDNGNILDPDETST VALFRAHEVASKRIEEKIQEEKSILQNLDLRGQAIFSTVHTYGLYVNFKNFVCNIGEDAE LFIALYDPDQSTFISENYLIRWGSNGMPKEIEKLNNLQAVFTDLSSTDLIRPRISLVCQI VRVGRMELKEGKKHTCGLRRPFGVAVMDISDIVHGKVDDEEKQHFIPFQQIAMETYIRQR QLIMSPLITSHVIGENEPLTSVLNKVIAAKEVNHKGQGLWVSLKLLPGDLTQVQKNFSHL VDRSTAIARKMGFPEIILPGDVRNDIYVTLIHGEFDKGKKKTPKNVEVTMSVFDEEGNLL EKAIHPGAGYEGVSEYKSVVYYQVKQPCWYETVKVFIAIEEVTRCHIRFTFRHRSSQESR DKSERAFGVAFVKLMNADGTTLQDGRHDLVVYKGDNKKMEDAKYYLTLPGTKAELEEKEL QASKNPSVFTPSKDSTKDSFQIATLICSTKLTQNVDLLGLLNWRSNSQNIKHNLKKLMEV DGGEIVKFLQDTLDALFNIMMEMSDNETYDFLVFDALVFIISLIGDIKFQHFNPVLETYI YKHFSATLAHVKLSKVLNFYVANAEDPSKTELLFAALKALKYLFRFIIQSRVLYLRFYGQ SEDGDEFNDSIRQLFLAFNTLMDRPLEEAVKIKGAALKYLPSIINDVKLVFDPMELSVLF CKFIQSIPDNQLVRQKLNCMTKIVESSLFQQAECREVLLPLLTDQLSGQLDDHSTKPDHE ASSQLLSNILEVLDRTDVGPTSAHVQLIMERLLRRINRTVIGMSRQSPHIGSFVACMIAV LRQMEDSHYSHYISTFKTRQDIIDFLMETFIMFKDLIGKNVYAKDWMVMNMTQNRVFLRA INQFAEVLTKSFMDQASFELQLWNNYFHLAVAFLTHESLQLETFSEAKRNKIVKKYGDMR KEIGFRIRDMWYNLGPHKIKFIPSMVGPILEVTLTPEVELRKATIPIFFDMMQCEFNLSG NGNFHMFENELITKLDQEVEGGRGDEQYKVLLEKLLLEHCRKHKYLANSGEAFAFLVSSL LENLLDYRTIIIHDESKENRMSCTVNVLNFYKDKKREDIYIRYLYKLRDLHRDCENYTEA AYTLLLHAELLQWSDKPCVPHLLQRDSYYVYTQQELKEKLYQEIISYFDKGKMWEKAIKL SKELAETYESKVFDYEGLGSLLKKRALFYENIIKAMRPQPEYFAVGYYGQGFPSFLRNKI FIYRGKEYERREDFSLRLLTQFPNAEKMTSTTPPGEDIKSSPKQYLQCFTVKPVMSLPPS YKDKPVPEQILNYYRANEVQQFSYSRPFRKGEKDPENEFATMWIERTTYRTAYTFPGILK WFEAKEISVEEISPLENAIETMELTNERVSNCVQQHAWDHSLSVHPLSMLLSGIVDPAVM GGFSNYEKAFFTEKYLQEHPEDQEKVELLKRLIALQIPLLTEGIRIHGEKLTEQLKPLHA RLSSCFRELKEKVEKLYGVITLPPSMTERKPSRAGSMVLPYILSSTLRRLSVTSVASSVI STSSNSSDNASSRPGSDGSILEPLFERRASSGARVEDLPPKEDSENRISKFKRKDWNLSK SQVIAEKAPEPDVMSPGKKTQRPKSLQLVDSRLTPFHSPSPLQSTALSPPPLTPKATRTL SSPSLQTDGLTASVPPPPPPKSKPYESSQRNSAEIAPPLPVRRDSKAPPPPPPKARKSGI LSSEPGSQ >ENSMUSP00000137146.1 pep:known chromosome:GRCm38:12:87919423:87919857:-1 gene:ENSMUSG00000096619.1 transcript:ENSMUST00000180053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2035 description:predicted pseudogene 2035 [Source:MGI Symbol;Acc:MGI:3780204] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGRLEAMCFDGVRRLCN IRGKLRKKVWINTSDIILIGLRDYQDNKADVILKYNQDEARSLKAYGELPEHAKINETDT FGAGDDDEIVFDDIGDEDEDIDDI >ENSMUSP00000136565.1 pep:novel scaffold:GRCm38:JH584299.1:837364:840451:1 gene:ENSMUSG00000095523.1 transcript:ENSMUST00000180303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC124606.1 MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYIPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000047284.8 pep:known chromosome:GRCm38:10:130322852:130362642:1 gene:ENSMUSG00000034833.9 transcript:ENSMUST00000042586.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tespa1 description:thymocyte expressed, positive selection associated 1 [Source:MGI Symbol;Acc:MGI:1914846] MEASVLSPTSWEKRRAWLRQSRNWQTQVLEEEAAAALQDALDPEPSSLDDVFQEGNPINK IEDWLQGCGCRDTEEGLSEESGQSNYSGYSSHGTSFEDDLSLGAEATLLSTNGNLFSRNF LQTPRLCQLLDLGSSLASSSMTGGTNKTSSSISEILDQVQEDAEDILFSLGFGHENHKDT SRIPARFFSNPSQAKGINFQLFLKSQVQRMEMEDPCLMLASRFKQVQTLAVTADAFFCLY SYVSKTPVQKFTPSNMFWNFDPTDVPSIRILAPEPEPYSPRERLRRAISKMCLYTGSRDR LSSSYNNPKKNSLDQIVWEVMDRVKGEKIQQDPEHRQALGEESVPPIQNTNPSTSSLPCV SYPKEETQGDMCHAHALARPGPQYHINSTQVRRQLWVLQDINEKPRSAENESPWERKSKA RKNLFQRVPVDKNIKSLNLPTIQQKQNQGQARHELTNL >ENSMUSP00000093111.1 pep:known chromosome:GRCm38:13:107031963:107033673:1 gene:ENSMUSG00000071181.1 transcript:ENSMUST00000095459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3830408C21Rik description:RIKEN cDNA 3830408C21 gene [Source:MGI Symbol;Acc:MGI:1917940] MNGILRNISQSYTREAETQGVLQACLVSTGYVTDFFFLMRSQQNSRIRAYTLPSHPASRR LLHTHTHTHQTSLPRHTHTFSFLVCQKGRFLGKDRVLNDRGEASPIRLQGTMG >ENSMUSP00000091375.4 pep:known chromosome:GRCm38:9:48362041:48400025:1 gene:ENSMUSG00000044229.8 transcript:ENSMUST00000093853.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxpe4 description:neurexophilin and PC-esterase domain family, member 4 [Source:MGI Symbol;Acc:MGI:1924792] MKTLASRKSLWMLLFIVIFWVSFTVFRNPVKLRTMFKLPVSFNRWNLVMGPSCPAVPLNL PVSPKETELRIREVLEKLDKQIPPRPFTHLNYTTSATHSTATILNPRDTHCVGDQLDILV EARDHLGNRKGYGGDFMRARMFSPALKAGASGKVTDFNNGTYLVSFTLFWEGTVSLSILL MHPSEGVSALWRSRKQGYDRIIFSGQFVSGASQVHTECALVLNSSVELCQYLDAQDQEAF YCVKPPNVPCAALTYMQSKNKDVSYLSQQERSLFERSNIAVEIMEKSNAISVSKCNTDTA PVKEKCKLGMVSAIPSGHVWKNAWTPASCSLAPIKMKDCLRGKFIYLMGDSTIRQWMEYF KSKVNTLRSVDLHESGKLQHQLAVDLDEKISIQWQKHGYPLIGSLVYSVKEIENIARIID RTGGEKNTVIVISLGQHFRPFPIDLFIRRALNVHKALQRLLLRSPDTVVVLKTENTRELN SDVERFSDFHGYTQYLALKDIFQDLNVGVIDAWDMTVAYGINNVHPPEDVVRSQINIFLN YIC >ENSMUSP00000137501.1 pep:known chromosome:GRCm38:Y:18654482:18655024:1 gene:ENSMUSG00000095927.1 transcript:ENSMUST00000179302.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21822 description:predicted gene, 21822 [Source:MGI Symbol;Acc:MGI:5433986] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMRTTLSHEDSLRHHTFLMRFPDTLLLPRENRGKPLFPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHLKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000136969.1 pep:novel scaffold:GRCm38:JH584293.1:203931:206144:-1 gene:ENSMUSG00000095207.1 transcript:ENSMUST00000177766.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CR974586.1 PQHARRLLEFHLQKRLIHLRWGLPQRIQRSINMLLSSTDLQSLPCGGSRLPNVSISQPGK PEAYGSGDTFLPTAGKGTTPMPHLFAKAQEMLKSHDTKCEQIREGKVPACVQSAWKGRIP GDLAAGTLFPNIPQGQPLELQAENNPDLHQEAVSWKPMDLDQEAQAFSGVFIEHCRRPQA LSEETIKKLETTLRHKYLAFLSGLQALYCMAPTKATSPTVDQSVITTMPWSVKSPQKPLS QKSPLEALCLSGLEPCTQDDKETSANIAEEFQHGAQGHGRTEKVPPERQPLLNRPYSLDT EIMERVSFYLKRKALDIKLGISLKESVFQEPTATDLESESVQEPLGSPRESTLLQGPPTL CHVPVAPDPDKVCLKQPATAVQVVFQEQNQPSSRAVPHRSARQGSQVHRNMMEAQVHYVQ MGTGGEMLNLGEPFSTESQSPGKSKSGYVPTVAGKRKIPGKPKVVGDLGEGDAGLGFSLV SLKTRQDGEQEKRLLHRQLQGSSLQAQTFHLEGACPHSPQESPELQFADPPPEVFMETDS EQDMEDSQSKESIVPEPARTAKAPQPMLSRASQGLPFPRSPTQRKPSQGQPGPGHVPPGH ATPASPYTRPSRLPEAGLKNKMKLFFHSIKLKMKSKAHTEPSTVSTPGKVAKTSKENIDR GLPQAKSPTKKTKPEDFRGPKAQFSVVGPCLTPSYILDSKFWPRPRRVGSVSVLGHSYHC PRHCPRLAYANQQRNPP >ENSMUSP00000079991.7 pep:known chromosome:GRCm38:8:117498291:117635140:1 gene:ENSMUSG00000034330.9 transcript:ENSMUST00000081232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcg2 description:phospholipase C, gamma 2 [Source:MGI Symbol;Acc:MGI:97616] MTTMVNVDTLPEYEKSQIKRALELGTVMTVFNARKSTPERRTVQMIMETRQVAWSKTADK IEGFLDIMEIKEIRPGKNSKDFERAKAVRHKAECCFTILYGTQFVLSTLSLATDSKEDAV KWLSGLKILHQEAMSASTPTMIESWLRKQIYSVDQTRRNSISLRELKTILPLVNFKVSGI KFLKDKLVEIGAQKDELSFEQFHLFYKKLMFDQQKSILDEFKKDSSVFILGNTDRPDASA VYLQDFQRFLLHEQQELWAQDLNKVRERMTKFIDDTMRETAEPFLFVDEFLTYLFSRENS IWDEKYDAVDMQDMNNPLSHYWISSSHNTYLTGDQLRSESSTEAYIRCLRAGCRCIELDC WDGPDGKPIIYHGWTRTTKIKFDDVVQAIRDHAFVTSSFPVILSIEEHCSVEQQRHMAKV FKEVLGDMLLTKPTEASADQLPSPSQLREKIIIKHKKLGPKGDVDVNVEDKKDEHKPQGE LYMWDSIDQKWTRHYCAIADAKLSFGDDIEQAVEEEPVQDTPPTELHFGEKWFHKKVESR TSAEKLLQEYCAETGAKDGTFLVRESETFPNDYTLSFWRSGRVQHCRIRSTMENGVMKYY LTDNLTFNSIYALIQHYREAHLRCAEFELRLTDPVPNPNPHESKPWYYDSLSRGEAEDML MRIPRDGAFLIRKREGTNSYAITFRARGKVKHCRINRDGRHFVLGTSAYFESLVELVSYY EKHALYRKMRLRYPVTPELLERYNMERDINSLYDVSRMYVDPSEINPSMPQRTVKALYDY KAKRSDELTFCRGALIHNVSKEPGGWWKGDYGTRIQQYFPSNYVEDISAGDAEEMEKQII EDNPLGSLCKGILDLNTYNVVKAPQGKNQKAFVFILEPKKQGDPPVEFATDRVEELFEWF QSIREITWKMDTKENNMKYWERNQSIAIELSDLVVYCKPTSKTKDHLENPDFREIRSFVE TKADSIVRQKPVDLLRYNQKGLTRVYPKGQRVDSSNYDPFRLWLCGSQMVALNFQTPDKY MQMNHALFSLNGRTGYVLQPESMRSEKYDPMPLESQRKILMTLTVKVLGARHLPKLGRSI ACPFVEVEICGAEYDSNKFKTTVVNDNGLSPVWAPTQEKVTFEIYDPNLAFLRFVVYEED MFSDPNFLAHATYPIKGIKSGFRSVPLKNGYSEDIELASLLVFCEMRPVLESEEELYSSC RQLRRRQEELNNQLFLYDTHQNLRGANRDALVKEFNVNENQLQLYQEKCNRRLREKRVSN SRFYS >ENSMUSP00000097911.1 pep:known chromosome:GRCm38:11:105146893:105147261:1 gene:ENSMUSG00000075485.1 transcript:ENSMUST00000100338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10842 description:predicted gene 10842 [Source:MGI Symbol;Acc:MGI:3642916] CVLFRHLGNVAQIFIYFENSDLGGIAQTIESALPTWRLYSIPSTEKKKIRKKIDSDENVN ESRLDYGLFGGRRWFCSLQSTMLGKFSSTELCRPGWSRTRRSACLCLPSAGIKSVTSFCC CC >ENSMUSP00000035486.5 pep:known chromosome:GRCm38:19:4825366:4839322:-1 gene:ENSMUSG00000034108.5 transcript:ENSMUST00000037246.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccs description:copper chaperone for superoxide dismutase [Source:MGI Symbol;Acc:MGI:1333783] MASKSGDGGTVCALEFAVQMSCQSCVDAVHKTLKGVAGVQNVDVQLENQMVLVQTTLPSQ EVQALLESTGRQAVLKGMGSSQLQNLGAAVAILEGCGSIQGVVRFLQLSSELCLIEGTID GLEPGLHGLHVHQYGDLTRDCNSCGDHFNPDGASHGGPQDTDRHRGDLGNVRAEAGGRAT FRIEDKQLKVWDVIGRSLVIDEGEDDLGRGGHPLSKITGNSGKRLACGIIARSAGLFQNP KQICSCDGLTIWEERGRPIAGQGRKDSAQPPAHL >ENSMUSP00000135967.1 pep:known chromosome:GRCm38:10:31248140:31251045:1 gene:ENSMUSG00000039684.8 transcript:ENSMUST00000050717.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5422 description:predicted pseudogene 5422 [Source:MGI Symbol;Acc:MGI:3643411] MRQDTRVVPTALRDHPQLRGREVARSEGMRGRGAGEEQELSEVDKQLQDELEMLLERLRE KDTSLYRPALEELRRQIHSSTTSMTSVPKPLKFLRPHYGKLKEIYENMAPGENKCFAADI ISVLAMTMSGERECLKYRLVGSQEELASWGHEYVRHLAGEVTKEWQELDDAEKAQREPLL TLVKEIVPYNMAHNAEHEACDLLMEIEQVDVLEKDIDENAYAKVCLYLTSCVNYLPEPEN SALLRCALRMFRKFSRFPESLRLALMLNDMELVEDIFTSCKDVVVQKQMASMLARRGVFL ELSEDVEEYEDLTEIMSNVQLNSNFLALARELDIMEPKVPDDIYKTHLENNRFGSSGSQV DSARMNLASSFVNGFVNAAFGQDKLLTDDGNKWLYKNKDHGMLSAAASLGMILLWDVDGG LTQIDKYLYSSEDYIKSGALLACGIVNSGVQNECDPALALLSDYVLHNSNTMRLGSIFGL GLAYAGSNREDVLTLLLPVMGDSKSSMEVAGVTALACGMIAVWSCNGDVTSTILQTIMEN YKTELKDTCARWLPLGLGLNHLGKGEAIEAILADLEVVSEPFRSFANTLVDVCAYAGSGN VLTVQQLLHICSEHFDSKEKEEDKNKKVKKDKGKKDVPAAMGAHQGAAVLGIALTALGEE IGAEMALRTFGHLLRYGEPTLCRAVPLALALTSVSNPRLNILDTLSKFSHDADPEVSYNS IFAMSMVGRGTNNARLAAMLRQLAQHHAKDPNNLFMVRLAQGLTHLGKGTLTLCPYHTDR QLMSQVAVAGLLTVLVSFLDVRNIILGKSHYVLYRLVAAMQPRMLVTFDEELRPLPVSVR VGQAVDVVGQAGKPKTITGFQTHTMSVLLAQGERAELATEEFLPVTSILEGFVILRKNPN YDL >ENSMUSP00000026313.3 pep:known chromosome:GRCm38:14:8002966:8055861:1 gene:ENSMUSG00000025277.13 transcript:ENSMUST00000026313.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd6 description:abhydrolase domain containing 6 [Source:MGI Symbol;Acc:MGI:1913332] MDLDVVNMFVIAGGTLAIPILAFVASFLLWPSALIRIYYWYWRRTLGMQVRYAHHEDYQF CYSFRGRPGHKPSILMLHGFSAHKDMWLSVVKFLPKNLHLVCVDMPGHEGTTRSSLDDLS IVGQVKRIHQFVECLKLNKKPFHLIGTSMGGHVAGVYAAYYPSDVCSLSLVCPAGLQYST DNPFVQRLKELEESAAIQKIPLIPSTPEEMSEMLQLCSYVRFKVPQQILQGLVDVRIPHN SFYRKLFLEIVNEKSRYSLHENMDKIKVPTQIIWGKQDQVLDVSGADILAKSISNSQVEV LENCGHSVVMERPRKTAKLIVDFLASVHNTDNKKLN >ENSMUSP00000129169.1 pep:known chromosome:GRCm38:14:8002902:8056555:1 gene:ENSMUSG00000025277.13 transcript:ENSMUST00000166497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd6 description:abhydrolase domain containing 6 [Source:MGI Symbol;Acc:MGI:1913332] MDLDVVNMFVIAGGTLAIPILAFVASFLLWPSALIRIYYWYWRRTLGMQVRYAHHEDYQF CYSFRGRPGHKPSILMLHGFSAHKDMWLSVVKFLPKNLHLVCVDMPGHEGTTRSSLDDLS IVGQVKRIHQFVECLKLNKKPFHLIGTSMGGHVAGVYAAYYPSDVCSLSLVCPAGLQYST DNPFVQRLKELEESAAIQKIPLIPSTPEEMSEMLQLCSYVRFKVPQQILQGLVDVRIPHN SFYRKLFLEIVNEKSRYSLHENMDKIKVPTQIIWGKQDQVLDVSGADILAKSISNSQVEV LENCGHSVVMERPRKTAKLIVDFLASVHNTDNKKLN >ENSMUSP00000126447.1 pep:known chromosome:GRCm38:2:152569338:152569538:1 gene:ENSMUSG00000090485.1 transcript:ENSMUST00000163724.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17416 description:predicted gene, 17416 [Source:MGI Symbol;Acc:MGI:4937050] RCSKACWVLRGHCRKHCRSGERVRKPCSNGDYCCTASKMNHLPQAPKNALSRTGSVPVAV LKSDNK >ENSMUSP00000043077.6 pep:known chromosome:GRCm38:15:80173721:80215519:1 gene:ENSMUSG00000042428.6 transcript:ENSMUST00000044970.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat3 description:mannoside acetylglucosaminyltransferase 3 [Source:MGI Symbol;Acc:MGI:104532] MKMRRYKLFLMFCMAGLCLISFLHFFKTLSYVTFPRELASLSPNLVSSFFWNNAPVTPQA SPEPGGPDLLRTPLYSHSPLLQPLSPSKATEELHRVDFVLPEDTTEYFVRTKAGGVCFKP GTRMLEKPSPGRTEEKPEVSEGSSARGPARRPMRHVLSTRERLGSRGTRRKWVECVCLPG WHGPSCGVPTVVQYSNLPTKERLVPREVPRRVINAININHEFDLLDVRFHELGDVVDAFV VCESNFTAYGEPRPLKFREMLTNGTFEYIRHKVLYVFLDHFPPGGRQDGWIADDYLRTFL TQDGVSRLRNLRPDDVFIIDDADEIPARDGVLFLKLYDGWTEPFAFHMRKSLYGFFWKQP GTLEVVSGCTMDMLQAVYGLDGIRLRRRQYYTMPNFRQYENRTGHILVQWSLGSPLHFAG WHCSWCFTPEGIYFKLVSAQNGDFPRWGDYEDKRDLNYIRSLIRTGGWFDGTQQEYPPAD PSEHMYAPKYLLKNYDQFRYLLENPYREPKSTEEGGRRNQGSDGRPSAVRGKLDTVEG >ENSMUSP00000021738.8 pep:known chromosome:GRCm38:13:13357623:13393624:-1 gene:ENSMUSG00000021306.10 transcript:ENSMUST00000021738.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr137b description:G protein-coupled receptor 137B [Source:MGI Symbol;Acc:MGI:1891463] MEAPPWEPVRNDSLPPTLSPAVPPYVKLGLTAVYTVFYALLFVFIYAQLWLVLRYRHKRL SYQSVFLFLCLFWASLRTVLFSFYFRDFVAANSFSPFVFWLLYCFPVCLQFFTLTLMNLY FTQVIFKAKSKYSPELLKYRLPLYLASLFISLVFLLVNLTCAVLVKTGDWDRKVIVSVRV AINDTLFVLCAISLSICLYKISKMSLANIYLESKGSSVCQVTAIGVTVILLYTSRACYNL FILSFSQIKNVHSFDYDWYNVSDQADLKSQLGDAGYVVFGVVLFVWELLPTTLVVYFFRV RNPTKDLTNPGMVPSHGFSPRSYFFDNPRRYDSDDDLAWNIAPQGLQGSFAPDYYDWGQQ NNSFLAQAGTLHQDSTLDPDKASQG >ENSMUSP00000096150.3 pep:known chromosome:GRCm38:8:85109647:85119637:-1 gene:ENSMUSG00000074194.3 transcript:ENSMUST00000098550.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp791 description:zinc finger protein 791 [Source:MGI Symbol;Acc:MGI:3648473] MDSVTFEDVCVTFTQEEWDLLRPVQKKLYKDVMWETVRNLASVASELEDQDVSNQYRIQE ENVRSYVIQRLYESKEVIEHGESFSQIPNTGAAKITSGGKPYSCSVCGKGFMLCSSLTRH VRAHPGHELAGFKCGEKRFKCKECRATFSYLKSFQRHERTHAGESPYRCKHCGKTFIYFQ PFQTHERTHIGEQLYQCKHCGKGLSSPGSLRIHQRIHTGEKPYVCEKCGKAFSCPSSIQI HERTHTGEKPYKCKECGKAFISRTSVKTHMVTHSGDANKPHKCEHCGKAFLRPCFLRVHT RIHTGEKPYVCKQCGKAFRCSTSMQIHKRTHTGEKPYKCKECGKAFSAHSAFRVHRRVHT GEKPYKCRDCGKAFICLTYCRAHERKHSGEKSYECDQCGKAFVSLENFRRHVKTHAEDTP YKCKECGKAFVFLSALQAHERIHTGEKPYECEQCGKTFRCSSYVQVHRRIHTGEKPYECK ECGKTFIYSTSFRGHMRMHTGEKPYKCKGCEKAFRLGSSLKRHERTHS >ENSMUSP00000044478.5 pep:known chromosome:GRCm38:17:48247777:48258841:1 gene:ENSMUSG00000041754.5 transcript:ENSMUST00000048065.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trem3 description:triggering receptor expressed on myeloid cells 3 [Source:MGI Symbol;Acc:MGI:1930003] MSPLLLWLGLMLCVSGLQAGDEEEHKCFLEGENLTLTCPYNIMLYSLSLKAWQRVRSHGS PETLVLTNTRKADFNVARAGKYLLEDYPTESVVKVTVTGLQRQDVGLYQCVVYLSPDNVI ILRQRIRLAWCQGKPVMVIVLTCGFILNKGLVFSVLFVFLCKAGPKVLQPSKTSKVQGVS EKQ >ENSMUSP00000097324.4 pep:known chromosome:GRCm38:13:17986640:17993351:-1 gene:ENSMUSG00000075054.4 transcript:ENSMUST00000099735.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yae1d1 description:Yae1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1914258] MSWFRAAPENASPGDQDVFDEEADESLLAQREWQGHMRKRVQEGYRDGLDAGKALTLQRG FNQGYKEGADVIINYGLLRGTLSALLSWCHLHGNSSTLISKISNLLDTVDQCEECVLRRL KSVTSQPRVTDLLDAIEDMDLCHVVPSDQAKGDSNAEINTDSDSPNGMGCSHPEHCRPKE HAHLEKPSLSWILEQTSSLVKQLGVPADILQHLRQL >ENSMUSP00000034382.7 pep:known chromosome:GRCm38:8:106415339:106425889:1 gene:ENSMUSG00000031907.8 transcript:ENSMUST00000034382.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp90 description:zinc finger protein 90 [Source:MGI Symbol;Acc:MGI:104786] MAPRPPTAKPQESVTFKDVAVNFTQEEWHHVGPAQRSLYRDVMLENYNHLVSLGYQVSKP EVIFKLEQGEEPWISEKEIQRPFCPDWKTRPESSRSPQQGVSEVFLRTNVLSHTTIGDIW NVAIQGHQESGRRHLGPEASSQKKITTLEKKIEQNKVGEDSSLSTDLVPQLDISSSIRPS DCKTFGNNLEHNSELVTQSNILAKKKPYKCDKCRKSFIHRSSLNKHEKIHKGDPYSNGTD QGAQSGRKHHECADCGKTFLWRTQLTEHQRIHTGEKPFECNVCGKAFRHSSSLGQHENAH TGEKPYQCSLCGKAFQRSSSLVQHQRIHTGEKPYRCNLCGRSFRHSTSLTQHEVTHSGEK PFQCKECGKAFSRCSSLVQHERTHTGEKPFECSICGRAFGQSPSLYKHMRIHKRSKPYQS NNFSLAFVPNTPLPQGEGLLTEVKSYHCNDCGKDFGHITDFSEHQRLHAGENSYGSEQTL LGQQSLSHPREKPYQCNVCGKAFKRSTSFIEHHRIHTGEKPYECNECGEAFSRLSSLTQH ERTHTGEKPYECIDCGKAFSQSSSLIQHERTHTGEKPYECNECGRAFRKKTNLHDHQRTH TGEKPYACKECGRNFSRSSALTKHHRVHARNKLQES >ENSMUSP00000062047.6 pep:known chromosome:GRCm38:9:60794548:60880370:1 gene:ENSMUSG00000034485.9 transcript:ENSMUST00000050183.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uaca description:uveal autoantigen with coiled-coil domains and ankyrin repeats [Source:MGI Symbol;Acc:MGI:1919815] MKSLKSRLWKQDAPGPTSPSSPTAVASTQSAEWNKYDDRLMKAAERGDVEKVSSILAKKG VHPGKLDVEGRSAFHVVASKGNLECLNAILTHGIDVATRDSAGRNALHLAAKYGHALCLQ KLLQYNCPTEHVDLQGRTALHDAAMADCPSSIQLLCDHGASVNAKDIDGRTPLVLATQMC RPTICQLLIDRGADVNSRDKQNRTALMLGCEYGCRDAVEVLVKNGADLTLLDALGHDSSY YARIGDNLDILNLLKTASENTNKGGGRELWRKGPPLQQRNLSHTQDEGSVKSTQREQREP HSFQDLEIENEDLREKLRKIQQEQRILLDKVNGLQLQLNEEVMVADDLESEREKLKSLLA AKEKQHEESLRTIEALKNRFKYFESDHPGPGSYPSNRKEDMLHKQGQMYTTEPQCASPGI PPHMHSRSMLRPLELSLPSQTSYSENEILKKELETLRTYYDSAKQDRLKFQNELAHKVAE CKALALECERVKEDSDEQIKQLEDALKDVQKRMYESEGKVKQMQTHFLALKEHLTNEAAT GSHRIIEELREQLKDLKGKYEGASAEVGKLRSQIKQSEMLVGEFKRDEGRLVEENKRLQK ECGTCEVELERRGRRVVELEGQLKELGAKLALSVPTEKFESMKSSLSNDINEKVKRLAEV GRDYESAQGEIRQLKRDLESVRAQHIRPEEHEQLRSRLEQKSGELGKKVSELTLKNQTLQ KDVEKLHADNKLLNQQVHSLTVEMKTRYVPLRVSEEMKKSHDVNVEDLNKKLSEATQRYT EKKQEAERLLAENDKLTKNVSRLEAVFVAPEKHEKELMGLKSNIAELKKQLSELNKKCGE GQEKIRALMSENSSLKKTLSSQYVPAKTHEEVKASLNSTVEKTNRALLEAKKRFDDTSQE VSKLRDENEVLRRNLENVQNQMKADYVSLEEHSRRMSTVSQSLKEAQEANAAILADHRQG QEEIVSLHAEIKAQKKELDTIQECIKLKYAPLARLEECERKFKATEKGLKEQLSEQTHKC RQRDEEVKKGKQENERLRADLAALQKELQDRNALAEEAREAERALSGKADELSKQLKDLS QKYSDVKSEREKLVEEKAKQASEILAAQNLLQKQPVPLEQVEALKKSLNGTIEQLKEELR SKQRCLEREQQTVSQLQQLLENQKNSSVTLAEHLKLKEALEKEVGIMKASLREKEEESQK KTKEVSKLQTEVQTTKQALKNLETREVVDMSKYKATKNDLETQISNLNDKLASLNRKYDQ ACEEKVSAKDEKELLHLSIEQEIRDQKERCDKSLTTIMELQQRIQESAKQIEAKDNKITE LLNDVERLKQALNGLSQLTYSSGSPTKRQSQLVDTLQQRVRDLQQQLADADRQHQEVIAI YRTHLLSAAQGHMDEDVQAALLQIIQMRQGLVC >ENSMUSP00000126736.1 pep:known chromosome:GRCm38:17:14829331:14934653:-1 gene:ENSMUSG00000046991.9 transcript:ENSMUST00000170386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr27 description:WD repeat domain 27 [Source:MGI Symbol;Acc:MGI:1918932] METPPEMPSTDGHTRAIVTERYVVESKKSAAHVPLACSTQHCAFPLDGSRLCVWSSKDPS HQLLTLQGHHQLITAVVFGNQIDPLLLCSASEDYIIMWNVAECREKTLKGLTPRGTILGS LLQTVLCLRFSLDDRAIAVCAGNKISVMDVEKQSVLVELKGHQGSVTAVEFCPWQAHTLI SVSEDRSFKVWDFCVGSLIYSSSILTAYPLLNLLINEENQQLVTGSADGQLWIFSLMEGH HYHCVAHVDVRKKRETFTTRRMMAEQCSLPEDHQCRCRHEADKRGEAEATFPILSLAPCD LCLPDSQRGAFASECTKCLWIGSSTALFILNLASFELEAALHFKEFQSLSVQVAGSCAMV SEPMSAKAFCMLSSMFGSKIAVLEIDLAALLSTQQYPRAGKVLSVLASSCVLPTSPLYFG IIKEKFPKLANTKQHAVKSVVEDRPLVFHTKVRSSGYTLAPHMAMFSPKTNIKHHNKRSS KYKNNYKCKECSLENFLPRNLSRQVAVAQKPVAVSCLQFSGDGQKLACGLGNHLSLVFNA SLSGPPAAFSGHDGAVSTICWSHDKRWLLSTGRDRTLRVWSVHRTELMLLLAPDAFPKPV TSAQFYYMDTFILLSSGPEFCLLKYHIDLCRDDIRRYKPKSRYKPIFRLPMTSGADITSL SAVNDFYSHIVLTAGRDRAVEVFDLNAGCSAAVLAEVHSRPVHRICQNKGSSFVAQHSLA YNLFLTAAVGDGIRLWDLRNLRLMCMKWAPAAFCTVLQDTQIRLLKWPSTQQLLSLSQPP >ENSMUSP00000055486.7 pep:known chromosome:GRCm38:12:9574442:9581499:1 gene:ENSMUSG00000048387.7 transcript:ENSMUST00000057021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osr1 description:odd-skipped related 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1344424] MGSKTLPAPVPIHPSLQLTNYSFLQAVNGLPTVPSDHLPNLYGFSALHAVHLHQWTLGYP AMHLPRSSFSKVPGAVSSLMDARFQLPAFPWFPHVIHPKPEITAGGSGAALKTKPRFDFA NLALAATQEDPTKLGRGEGPGSPAGGLGALLDVTKLSPEKKPTRGRLPSKTKKEFVCKFC GRHFTKSYNLLIHERTHTDERPYTCDICHKAFRRQDHLRDHRYIHSKEKPFKCQECGKGF CQSRTLAVHKTLHSQVKELKTSKIKC >ENSMUSP00000067217.7 pep:known chromosome:GRCm38:17:79334025:79355091:-1 gene:ENSMUSG00000036533.8 transcript:ENSMUST00000068958.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42ep3 description:CDC42 effector protein (Rho GTPase binding) 3 [Source:MGI Symbol;Acc:MGI:2384718] MPAKTPIYLKAANNKKGKKFKLRDILSPDMISPPLGDFRHTIHIGKEGQHDVFGDISFLQ GNYELLPGNQEKAHSGQFPGHNDFFRANSTSDSMFTETPSPVLKNAISLPTIGGSQALML PLLSPVTFHSKQESFGRPKLPRLSCEPVMEEKVQEQSSLLENGAVHQGDTSWGSSGSGSQ SSQGRDSHSSSLSEQSSDWPADDMFEHPASCELVKSKTKSEESFSDLTGSLLSLQLDLGP SLLDEVLNVMDKNK >ENSMUSP00000024882.6 pep:known chromosome:GRCm38:17:74717750:74863570:1 gene:ENSMUSG00000024078.6 transcript:ENSMUST00000024882.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc27 description:tetratricopeptide repeat domain 27 [Source:MGI Symbol;Acc:MGI:1921446] MPGMMWTPELALLRGFSTEAERLVWKQEGICGSDIGVFLELLLEGSYEALFFHSTTQTIL NSTMMAEEKIDSYLEKQIVNFLDCSTDLEEIERQQLVFLLGVSSLQLFVQSNWTGPLVDL HPQDFLPSGLLEQFSEVKGLDAIIMGLLILDGESVYSLTSKPILLLIARIILVNIRHKLT ALQSLPWWTLRYVNIHQQLLEERSPQLFALAKNCIDQVMKQENLFEGDSGRLLAIQFHLE CAHVFLYYYEYKEAKDQFSTAKDISKLEIDLTGALGKRTRFQENYVAQLIVDVRRKEAVP FSCEFSPAPTPQECLAKNLELNDDTVLNEIKLADSERFQMPDLCAEELAVVLGVCTNFQK NNPVHKLTEEELLAFTSCLLSQPKFWAIQMSALILRTKLERGSTRRVERAMRQTQALADQ FEDKATSVLERLKIFYCCQVPPHWAVQRQLAGLLFELGCTSSALQIFEKLEMWEDVVICH ERAGRHGKAEEILRQELEKKETPGLYCLLGDVLQDHSCYDKAWELSRHRSARAQRSKALL HLRNKEFRECVECFERSVKINPMQLGVWFSLGCAYLALEDYGGSAKAFQRCVTLEPDNAE AWNNLSTSYIRLKQKVKAFRTLQEALKCNYEHWQIWENYILTSTDVGEFGEAIKAYHRLL DLRDKYKDIQVLKILVQAVVNDMTDRSGAVASSLKGKLQELFGRITSRVTNDGEVWRLYA QVHGNGQSEKPDENDKAFQCLSKAYKCDTQSSCWEKDATAFKEVVQRAIGLAHVAMKCAE SKSIPQEAVQTLSSVRLNLRGLLSKAKQNFTDVVSGEVSGELASEIAAVNALEAELQDLS NQLRNRY >ENSMUSP00000083761.1 pep:known chromosome:GRCm38:17:79626677:79628681:1 gene:ENSMUSG00000066944.1 transcript:ENSMUST00000086570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921513D11Rik description:RIKEN cDNA 4921513D11 gene [Source:MGI Symbol;Acc:MGI:1918173] MGKCLSCWKKDQCFQPNHDDPVTPQRMHHGSSRALQTKVALGLITCRPSSTYKIGRKSSS SSSSTTHLCPCKGRHSLLRRFRKRKQQGSRVNFDSQEDLTSSAANSSDQFTLRKSRKYFS SRKLSVVSCHRNSVTYETPRGSLSHENEIQGFSKKSTSTDAPKRISFSDPEHGDRYFANL ETKPGSSGHWSFERTPYPLTLTTSLFSLPTVISSSSQVNVSGADNQEHGQLNKVSEDALH HRSQSLSGNYSPAGLEQHPSSASFLQDELSSLYQTIGSLTVEVTSGSFEEESFATPSLDE DIPSPIETDEIRSSQIFLSLRRAAQIGKFPNNHSPKTIETSIQLS >ENSMUSP00000021467.7 pep:known chromosome:GRCm38:12:69965012:69987002:-1 gene:ENSMUSG00000021067.7 transcript:ENSMUST00000021467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sav1 description:salvador homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1927144] MLSRKKTKNEVSKPAEVQGKYVKKETSPLLRNLMPSFIRHGPTIPRRTDLCLPDSSATAF SASGDGVVSRNQSFLRTAIQRTPHEVMRRESHRLSAPSYLVRSLADVPRECGSSQSFLTE VNFAVENGDSGSRYFFSDNFFDGQRRRPLGDRAQEDYRYYEYNHDLFQRMPQSQGRHTSG IGRVTATSLGNLTNHGSEDLPLPPGWSVDWTMRGRKYYIDHNTNTTHWSHPLEREGLPPG WERVESSEFGTYYVDHTNKRAQYRHPCAPSVPRYDQPPPITYQPQQTERNQSLLVPANPY HTAEIPDWLQVYARAPVKYDHILKWELFQLADLDTYQGMLKLLFMKELEQIVKLYEAYRQ ALLTELENRKQRQQWYAQQHGKTFLS >ENSMUSP00000058522.3 pep:known chromosome:GRCm38:9:62270729:62282179:-1 gene:ENSMUSG00000046846.3 transcript:ENSMUST00000056949.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spesp1 description:sperm equatorial segment protein 1 [Source:MGI Symbol;Acc:MGI:1913962] MKLVVLVALWLWPSSLLAYPTITVLPDEEQNLNHYVHILQNLIMSVPTKEQDLGKKLSSS RTVDSAEPRSLASKVLLTPGLVSAQDVTPESDVLIRPVDETTNSRTRGFTLRRRRTQSTA FWSIRPNNISVVLRTEEPFIEKEPEPELESSRLPTEPEPELEPEPEPVAESRQMSEPEEE LVTSTTPNKELTGTSRISSMATQPANTQATRITVTVKTTSTMDVSTDSEDVPQLSGQSEI PSAEDLPGRHSLNTRHEDILKKISNINAEIQQGLLGGNNSPEFKEFIKASREHLKRSLAL AAAAEHKLEQMYGSNVFPEGRTSDPDNDMEMIINMLYNSRSKLSDYFNIKRVPSELREKA SVVNAELRKILCVDQVEMQSLIKKLLSNNMKILNILNVP >ENSMUSP00000036998.2 pep:known chromosome:GRCm38:17:17527723:17624489:-1 gene:ENSMUSG00000023845.6 transcript:ENSMUST00000041047.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lnpep description:leucyl/cystinyl aminopeptidase [Source:MGI Symbol;Acc:MGI:2387123] MESFTNDRLQLPRNMIENSMFEEEPDVVDLAKEPCLHPLEPDEVEYEPRGSRLLVRGLGE HEMDEDEEDYESSAKLLGMSFMNRSSGLRNSAAGYRQSPDGTCSLPSARTLVICVFVIVV AVSVIMVIYLLPRCTFTKEGCHKTNQSAELIQPVATNGKVFPWAQIRLPTAIIPLCYELS LHPNLTSMTFRGSVTISLQALQDTRDIILHSTGHNISRVTFMSAVSSQEKQVEILEYPYH EQIAVVAPEPLLTGHNYTLKIEYSANISNSYYGFYGITYTDKSNEKKYFAATQFEPLAAR SAFPCFDEPAFKATFIIKITRNEHHTALSNMPKKSSVPAEEGLIQDEFSESVKMSTYLVA FIVGEMRNLSQDVNGTLVSVYAVPEKIGQVHHALDTTIKLLEFYQTYFEIQYPLKKLDLV AIPDFEAGAMENWGLLTFREETLLYDNATSSVADRKLVTKIIAHELAHQWFGNLVTMQWW NDLWLNEGFATFMEYFSVEKIFKELNSYEDFLDARFKTMRKDSLNSSHPISSSVQSSEQI EEMFDSLSYFKGASLLLMLKSYLSEDVFRHAVILYLHNHSYAAIQSDDLWDSFNEVTDKT LDVKKMMKTWTLQKGFPLVTVQRKGTELLLQQERFFLRMQPESQPSDTSHLWHIPISYVT DGRNYSEYRSVSLLDKKSDVINLTEQVQWVKVNSNMTGYYIVHYAHDDWTALINQLKRNP YVLSDKDRANLINNIFELAGLGKVPLRMAFDLIDYLKNETHTAPITEALFQTNLIYNLLE KLGHMDLSSRLVARVHKLLQNQIQQQTWTDEGTPSMRELRSALLEFACAHSLENCTTMAT NLFDSWMASNGTQSLPTDVMVTVFKVGARTEKGWLFLFSMYSSMGSEAEKNKILEALASS EDVHKLYWLMKSSLDGDIIRTQKLSLIIRTVGRHFPGHLLAWDFVKENWNKLVHKFHLGS YTIQSIVAGSTHLFSTKTHLSEVQAFFENQSEATLKLRCVQEALEVIQLNIQWMVRNLKT LSQWL >ENSMUSP00000072087.7 pep:known chromosome:GRCm38:9:75497796:75559657:-1 gene:ENSMUSG00000058587.7 transcript:ENSMUST00000072232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmod3 description:tropomodulin 3 [Source:MGI Symbol;Acc:MGI:1355315] MALPFRKDLGDYKDLDEDELLGKLSESELKQLETVLDDLDPENALLPAGFRQKNQTSKSA TGPFDRERLLSYLEKQALEHKDRDDYVPYTGEKKGKIFIPKQKPAQTLTEETISLDPELE EALTSASDTELCDLAAILGMHNLIADTPFCDVLGSSNGVNQERFPNVVKGEKILPVFDEP PNPTNVEESLKRIRENDARLVEVNLNNIKNIPIPTLKDFAKTLEANTHVKHFSLAATRSN DPVAVAFADMLKVNKTLKSLNMESNFITGAGVLALIDALRDNETLMELKIDNQRQQLGTS VELEMAKMLEENTNILKFGYQFTQQGPRTRAANAITKNNDLVRKRRIEGDHQ >ENSMUSP00000026504.5 pep:known chromosome:GRCm38:10:126868430:126901371:-1 gene:ENSMUSG00000025436.11 transcript:ENSMUST00000026504.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc6bp1 description:XRCC6 binding protein 1 [Source:MGI Symbol;Acc:MGI:1916984] MAGAPGGGELGPAAGEPLLQRPDSGQGSPEPPAHGKPQQGFLSSLFTRDQSCPLMLQKTL DTNPYVKLLLDAMKHSGCAVNRGRHFSCEVCDGNVSGGFDASTSQIVLCENNIRNQAHMG RVVTHELIHAFDHCRAHVHWFTNIRHLACSEIRAASLSGDCSLVNELFRLRFGLKQHHQI ETSCVSRPAMNSQSCLGLVSA >ENSMUSP00000128382.1 pep:known chromosome:GRCm38:10:126887249:126901371:-1 gene:ENSMUSG00000025436.11 transcript:ENSMUST00000168520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc6bp1 description:XRCC6 binding protein 1 [Source:MGI Symbol;Acc:MGI:1916984] MAGAPGGGELGPAAGEPLLQRPDSGQGSPEPPAHGKPQQGFLSSLFTRDQSCPLMLQKTL DTNPYVKLLLDAMKHSGCAVNRGRHFSCEVCDGNVSGGFDASTSQIVLCENNIRNQAHMG RVVTHELIHAFDHCRAHVHWFTNIRHLACSEIRAASLSGDCSLVNELFRLRFGLKQHHQT CVRDRAVLSILAVRNVSREEAQKAVDEVFQTCFNDREPFGRIPHNQTYARYAHRDFQNRD RYYSNI >ENSMUSP00000136327.1 pep:known chromosome:GRCm38:12:77238104:77475996:1 gene:ENSMUSG00000021065.15 transcript:ENSMUST00000177595.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut8 description:fucosyltransferase 8 [Source:MGI Symbol;Acc:MGI:1858901] MRAWTGSWRWIMLILFAWGTLLFYIGGHLVRDNDHPDHSSRELSKILAKLERLKQQNEDL RRMAESLRIPEGPIDQGTATGRVRVLEEQLVKAKEQIENYKKQARNGLGKDHEILRRRIE NGAKELWFFLQSELKKLKHLEGNELQRHADEILLDLGHHERSIMTDLYYLSQTDGAGDWR EKEAKDLTELVQRRITYLQNPKDCSKARKLVCNINKGCGYGCQLHHVVYCFMIAYGTQRT LILESQNWRYATGGWETVFRPVSETCTDRSGLSTGHWSGEVNDKNIQVVELPIVDSLHPR PPYLPLAVPEDLADRLLRVHGDPAVWWVSQFVKYLIRPQPWLEKEIEEATKKLGFKHPVI GVHVRRTDKVGTEAAFHPIEEYMVHVEEHFQLLARRMQVDKKRVYLATDDPTLLKEAKTK YSNYEFISDNSISWSAGLHNRYTENSLRGVILDIHFLSQADFLVCTFSSQVCRVAYEIMQ TLHPDASANFHSLDDIYYFGGQNAHNQIAVYPHKPRTEEEIPMEPGDIIGVAGNHWDGYS KGINRKLGKTGLYPSYKVREKIETVKYPTYPEAEK >ENSMUSP00000130845.2 pep:known chromosome:GRCm38:12:77238104:77475996:1 gene:ENSMUSG00000021065.15 transcript:ENSMUST00000171770.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut8 description:fucosyltransferase 8 [Source:MGI Symbol;Acc:MGI:1858901] MRAWTGSWRWIMLILFAWGTLLFYIGGHLVRDNDHPDHSSRELSKILAKLERLKQQNEDL RRMAESLRIPEGPIDQGTATGRVRVLEEQLVKAKEQIENYKKQARNGLGKDHEILRRRIE NGAKELWFFLQSELKKLKHLEGNELQRHADEILLDLGHHERSIMTDLYYLSQTDGAGDWR EKEAKDLTELVQRRITYLQNPKDCSKARKLVCNINKGCGYGCQLHHVVYCFMIAYGTQRT LILESQNWRYATGGWETVFRPVSETCTDRSGLSTGHWSGEVNDKNIQVVELPIVDSLHPR PPYLPLAVPEDLADRLLRVHGDPAVWWVSQFVKYLIRPQPWLEKEIEEATKKLGFKHPVI GVHVRRTDKVGTEAAFHPIEEYMVHVEEHFQLLARRMQVDKKRVYLATDDPTLLKEAKTK YSNYEFISDNSISWSAGLHNRYTENSLRGVILDIHFLSQADFLVCTFSSQVCRVAYEIMQ TLHPDASANFHSLDDIYYFGGQNAHNQIAVYPHKPRTEEEIPMEPGDIIGVAGNHWDGYS KGINRKLGKTGLYPSYKVREKIETVKYPTYPEAEK >ENSMUSP00000054530.7 pep:known chromosome:GRCm38:12:77239065:77476338:1 gene:ENSMUSG00000021065.15 transcript:ENSMUST00000062804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut8 description:fucosyltransferase 8 [Source:MGI Symbol;Acc:MGI:1858901] MRAWTGSWRWIMLILFAWGTLLFYIGGHLVRDNDHPDHSSRELSKILAKLERLKQQNEDL RRMAESLRIPEGPIDQGTATGRVRVLEEQLVKAKEQIENYKKQARNGLGKDHEILRRRIE NGAKELWFFLQSELKKLKHLEGNELQRHADEILLDLGHHERSIMTDLYYLSQTDGAGDWR EKEAKDLTELVQRRITYLQNPKDCSKARKLVCNINKGCGYGCQLHHVVYCFMIAYGTQRT LILESQNWRYATGGWETVFRPVSETCTDRSGLSTGHWSGEVNDKNIQVVELPIVDSLHPR PPYLPLAVPEDLADRLLRVHGDPAVWWVSQFVKYLIRPQPWLEKEIEEATKKLGFKHPVI GVHVRRTDKVGTEAAFHPIEEYMVHVEEHFQLLARRMQVDKKRVYLATDDPTLLKEAKTK YSNYEFISDNSISWSAGLHNRYTENSLRGVILDIHFLSQADFLVCTFSSQVCRVAYEIMQ TLHPDASANFHSLDDIYYFGGQNAHNQIAVYPHKPRTEEEIPMEPGDIIGVAGNHWDGYS KGINRKLGKTGLYPSYKVREKIETVKYPTYPEAEK >ENSMUSP00000047413.2 pep:known chromosome:GRCm38:17:24632682:24638838:1 gene:ENSMUSG00000041429.2 transcript:ENSMUST00000047611.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nthl1 description:nth (endonuclease III)-like 1 (E.coli) [Source:MGI Symbol;Acc:MGI:1313275] MNSGVRMVTRSRSRATRIASEGCREELAPREAAAEGRKSHRPVRHPRRTQKTHVAYEAAN GEEGEDAEPLKVPVWEPQNWQQQLANIRIMRSKKDAPVDQLGAEHCYDASASPKVRRYQV LLSLMLSSQTKDQVTAGAMQRLRARGLTVESILQTDDDTLGRLIYPVGFWRNKVKYIKQT TAILQQRYEGDIPASVAELVALPGVGPKMAHLAMAVAWGTISGIAVDTHVHRIANRLRWT KKMTKTPEETRKNLEEWLPRVLWSEVNGLLVGFGQQICLPVHPRCQACLNKALCPAAQDL >ENSMUSP00000095758.2 pep:known chromosome:GRCm38:7:105288095:105289060:1 gene:ENSMUSG00000073909.2 transcript:ENSMUST00000098155.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr688 description:olfactory receptor 688 [Source:MGI Symbol;Acc:MGI:3030522] MGTALHETNSSEVHVSEFILLGFPGIHEFQIWLSLPMALLYIVALGANLLILITIYLEPT LHQPMYQFLGILAAVDIGLATTSMPKILAILWFDAKTISLPECFAQIYAIHTFMCMESGV FLCMAIDRYVAICYPLQYPSIVTEAFVIKATLSMLLRNGLLTIPVPVLAAQRQYCSRNEI DHCLCSNLGVISLACDDITVNRFYQLALAWLVVGSDMILVYASYALIIRSVLRLNSTEAA SKALSTCSSHLILIMFYYTAIVIVSVTHLAGRRVPLIPVLLNVMHIVIPPSLNPVVYALR TQELKVGFRKVFSLSEFVSRK >ENSMUSP00000130594.1 pep:known chromosome:GRCm38:8:88272403:88329962:1 gene:ENSMUSG00000031659.12 transcript:ENSMUST00000169037.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy7 description:adenylate cyclase 7 [Source:MGI Symbol;Acc:MGI:102891] MPAKGRYFLNEGDEGPDQAALYEKYRLTSLHGPLLLLLLLVAAATCIALISIAFSHEDLR RHQVVLGTAFLMLTLFVALYVLVYVECLVQRWLRALALLTWACLMVLGSVLMWDSLENEA HAWEQVPFFLFVVFVVYALLPLSRRAAIVAGVTSTVSHLLVFGAVTRAFQTSMSSTQLGL QLLANAVILLGGNFTGAFHKHQLQDASRDLFIYTVKCIQIRRKLRVEKRQQENLLLSVLP AHISMGMKLAIIERLKEGGDRHYMPDNNFHSLYVKRHQNVSILYADIVGFTRLASDCSPK ELVVVLNELFGKFDQIAKANECMRIKILGDCYYCVSGLPVSLPTHARNCVKMGLDICEAI KQVREATGVDISMRVGIHSGNVLCGVIGLRKWQYDVWSHDVSLANRMEAAGVPGRVHITE ATLNHLDKAYEVEDGHGEQRDPYLKEMNIRTYLVIDPRSQQPPPPSHHLSKPKGDATLKM RASVRVTRYLESWGAARPFAHLNHRESVSSSETPISNGRRQKAIPLRRHRAPDRSASPKG RLEDDCDDEMLSAIEGLSSTRPCCSKSDDFHTFGPIFLEKGFEREYRLVPIPRARYDFAC ASLVFVCILLVHLLVMPRMATLGVSFGLVACLLGLVLSFCFATEFSRCFPSRSTLQAISE SVETQPLVRLVLVVLTVGSLLTVAIINMPLTLNPGPEQPGDNKTSPLAAQNRVGTPCELL PYYTCSCILGFIACSVFLRMSLELKAMLLTVALVAYLLLFNLSPCWHVSGNSTETNGTQR TRLLLSDAQSMPSHTLAPGARETAPSPSYLERDLKIMVNFYLILFYATLILLSRQIDYYC RLDCLWKKKFKKEHEEFETMENVNRLLLENVLPAHVAAHFIGDKAAEDWYHQSYDCVCVM FASVPDFKVFYTECDVNKEGLECLRLLNEIIADFDELLLKPKFSGVEKIKTIGSTYMAAA GLSAPSGHENQDLERKHVHIGVLVEFSMALMSKLDGINRHSFNSFRLRVGINHGPVIAGV IGARKPQYDIWGNTVNVASRMESTGELGKIQVTEETCTILQGLGYSCECRGLINVKGKGE LRTYFVCTDTAKFQGLGLN >ENSMUSP00000129252.1 pep:known chromosome:GRCm38:8:88282992:88329962:1 gene:ENSMUSG00000031659.12 transcript:ENSMUST00000168545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy7 description:adenylate cyclase 7 [Source:MGI Symbol;Acc:MGI:102891] MPAKGRYFLNEGDEGPDQAALYEKYRLTSLHGPLLLLLLLVAAATCIALISIAFSHEDLR RHQVVLGTAFLMLTLFVALYVLVYVECLVQRWLRALALLTWACLMVLGSVLMWDSLENEA HAWEQVPFFLFVVFVVYALLPLSRRAAIVAGVTSTVSHLLVFGAVTRAFQTSMSSTQLGL QLLANAVILLGGNFTGAFHKHQLQDASRDLFIYTVKCIQIRRKLRVEKRQQENLLLSVLP AHISMGMKLAIIERLKEGGDRHYMPDNNFHSLYVKRHQNVSILYADIVGFTRLASDCSPK ELVVVLNELFGKFDQIAKANECMRIKILGDCYYCVSGLPVSLPTHARNCVKMGLDICEAI KQVREATGVDISMRVGIHSGNVLCGVIGLRKWQYDVWSHDVSLANRMEAAGVPGRVHITE ATLNHLDKAYEVEDGHGEQRDPYLKEMNIRTYLVIDPRSQQPPPPSHHLSKPKGDATLKM RASVRVTRYLESWGAARPFAHLNHRESVSSSETPISNGRRQKAIPLRRHRAPDRSASPKG RLEDDCDDEMLSAIEGLSSTRPCCSKSDDFHTFGPIFLEKGFEREYRLVPIPRARYDFAC ASLVFVCILLVHLLVMPRMATLGVSFGLVACLLGLVLSFCFATEFSRCFPSRSTLQAISE SVETQPLVRLVLVVLTVGSLLTVAIINMPLTLNPGPEQPGDNKTSPLAAQNRVGTPCELL PYYTCSCILGFIACSVFLRMSLELKAMLLTVALVAYLLLFNLSPCWHVSGNSTETNGTQR TRLLLSDAQSMPSHTLAPGARETAPSPSYLERDLKIMVNFYLILFYATLILLSRQIDYYC RLDCLWKKKFKKEHEEFETMENVNRLLLENVLPAHVAAHFIGDKAAEDWYHQSYDCVCVM FASVPDFKVFYTECDVNKEGLECLRLLNEIIADFDELLLKPKFSGVEKIKTIGSTYMAAA GLSAPSGHENQDLERKHVHIGVLVEFSMALMSKLDGINRHSFNSFRLRVGINHGPVIAGV IGARKPQYDIWGNTVNVASRMESTGELGKIQVTEETCTILQGLGYSCECRGLINVKGKGE LRTYFVCTDTAKFQGLGLN >ENSMUSP00000132528.1 pep:known chromosome:GRCm38:8:88289066:88329962:1 gene:ENSMUSG00000031659.12 transcript:ENSMUST00000171456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy7 description:adenylate cyclase 7 [Source:MGI Symbol;Acc:MGI:102891] MPAKGRYFLNEGDEGPDQAALYEKYRLTSLHGPLLLLLLLVAAATCIALISIAFSHEDLR RHQVVLGTAFLMLTLFVALYVLVYVECLVQRWLRALALLTWACLMVLGSVLMWDSLENEA HAWEQVPFFLFVVFVVYALLPLSRRAAIVAGVTSTVSHLLVFGAVTRAFQTSMSSTQLGL QLLANAVILLGGNFTGAFHKHQLQDASRDLFIYTVKCIQIRRKLRVEKRQQENLLLSVLP AHISMGMKLAIIERLKEGGDRHYMPDNNFHSLYVKRHQNVSILYADIVGFTRLASDCSPK ELVVVLNELFGKFDQIAKANECMRIKILGDCYYCVSGLPVSLPTHARNCVKMGLDICEAI KQVREATGVDISMRVGIHSGNVLCGVIGLRKWQYDVWSHDVSLANRMEAAGVPGRVHITE ATLNHLDKAYEVEDGHGEQRDPYLKEMNIRTYLVIDPRSQQPPPPSHHLSKPKGDATLKM RASVRVTRYLESWGAARPFAHLNHRESVSSSETPISNGRRQKAIPLRRHRAPDRSASPKG RLEDDCDDEMLSAIEGLSSTRPCCSKSDDFHTFGPIFLEKGFEREYRLVPIPRARYDFAC ASLVFVCILLVHLLVMPRMATLGVSFGLVACLLGLVLSFCFATEFSRCFPSRSTLQAISE SVETQPLVRLVLVVLTVGSLLTVAIINMPLTLNPGPEQPGDNKTSPLAAQNRVGTPCELL PYYTCSCILGFIACSVFLRMSLELKAMLLTVALVAYLLLFNLSPCWHVSGNSTETNGTQR TRLLLSDAQSMPSHTLAPGARETAPSPSYLERDLKIMVNFYLILFYATLILLSRQIDYYC RLDCLWKKKFKKEHEEFETMENVNRLLLENVLPAHVAAHFIGDKAAEDWYHQSYDCVCVM FASVPDFKVFYTECDVNKEGLECLRLLNEIIADFDELLLKPKFSGVEKIKTIGSTYMAAA GLSAPSGHENQDLERKHVHIGVLVEFSMALMSKLDGINRHSFNSFRLRVGINHGPVIAGV IGARKPQYDIWGNTVNVASRMESTGELGKIQVTEETCTILQGLGYSCECRGLINVKGKGE LRTYFVCTDTAKFQGLGLN >ENSMUSP00000096122.2 pep:known chromosome:GRCm38:8:88294204:88329962:1 gene:ENSMUSG00000031659.12 transcript:ENSMUST00000098521.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy7 description:adenylate cyclase 7 [Source:MGI Symbol;Acc:MGI:102891] MPAKGRYFLNEGDEGPDQAALYEKYRLTSLHGPLLLLLLLVAAATCIALISIAFSHEDLR RHQVVLGTAFLMLTLFVALYVLVYVECLVQRWLRALALLTWACLMVLGSVLMWDSLENEA HAWEQVPFFLFVVFVVYALLPLSRRAAIVAGVTSTVSHLLVFGAVTRAFQTSMSSTQLGL QLLANAVILLGGNFTGAFHKHQLQDASRDLFIYTVKCIQIRRKLRVEKRQQENLLLSVLP AHISMGMKLAIIERLKEGGDRHYMPDNNFHSLYVKRHQNVSILYADIVGFTRLASDCSPK ELVVVLNELFGKFDQIAKANECMRIKILGDCYYCVSGLPVSLPTHARNCVKMGLDICEAI KQVREATGVDISMRVGIHSGNVLCGVIGLRKWQYDVWSHDVSLANRMEAAGVPGRVHITE ATLNHLDKAYEVEDGHGEQRDPYLKEMNIRTYLVIDPRSQQPPPPSHHLSKPKGDATLKM RASVRVTRYLESWGAARPFAHLNHRESVSSSETPISNGRRQKAIPLRRHRAPDRSASPKG RLEDDCDDEMLSAIEGLSSTRPCCSKSDDFHTFGPIFLEKGFEREYRLVPIPRARYDFAC ASLVFVCILLVHLLVMPRMATLGVSFGLVACLLGLVLSFCFATEFSRCFPSRSTLQAISE SVETQPLVRLVLVVLTVGSLLTVAIINMPLTLNPGPEQPGDNKTSPLAAQNRVGTPCELL PYYTCSCILGFIACSVFLRMSLELKAMLLTVALVAYLLLFNLSPCWHVSGNSTETNGTQR TRLLLSDAQSMPSHTLAPGARETAPSPSYLERDLKIMVNFYLILFYATLILLSRQIDYYC RLDCLWKKKFKKEHEEFETMENVNRLLLENVLPAHVAAHFIGDKAAEDWYHQSYDCVCVM FASVPDFKVFYTECDVNKEGLECLRLLNEIIADFDELLLKPKFSGVEKIKTIGSTYMAAA GLSAPSGHENQDLERKHVHIGVLVEFSMALMSKLDGINRHSFNSFRLRVGINHGPVIAGV IGARKPQYDIWGNTVNVASRMESTGELGKIQVTEETCTILQGLGYSCECRGLINVKGKGE LRTYFVCTDTAKFQGLGLN >ENSMUSP00000005826.7 pep:known chromosome:GRCm38:10:128337832:128362479:1 gene:ENSMUSG00000005683.7 transcript:ENSMUST00000005826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cs description:citrate synthase [Source:MGI Symbol;Acc:MGI:88529] MALLTAATRLLGAKNSSCLVLAARHASASSTNLKDVLSNLIPKEQARIKTFKQQHGKTVV GQITVDMMYGGMRGMKGLVYETSVLDPDEGIRFRGYSIPECQKMLPKAKGGEEPLPEGLF WLLVTGQMPTEEQVSWLSREWAKRAALPSHVVTMLDNFPTNLHPMSQLSAAITALNSESN FARAYAEGMNRAKYWELIYEDCMDLIAKLPCVAAKIYRNLYREGSSIGAIDSRLDWSHNF TNMLGYTDPQFTELMRLYLTIHSDHEGGNVSAHTSHLVGSALSDPYLSFAAAMNGLAGPL HGLANQEVLVWLTQLQKEVGKDVSDEKLRDYIWNTLNSGRVVPGYGHAVLRKTDPRYSCQ REFALKHLPKDPMFKLVAQLYKIVPNILLEQGKAKNPWPNVDAHSGVLLQYYGMTEMNYY TVLFGVSRALGVLAQLIWSRALGFPLERPKSMSTDGLMKFVDSK >ENSMUSP00000109067.1 pep:known chromosome:GRCm38:19:6844623:6858211:-1 gene:ENSMUSG00000047810.8 transcript:ENSMUST00000113440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc88b description:coiled-coil domain containing 88B [Source:MGI Symbol;Acc:MGI:1925567] MEGAKGPRLRGFLSGSLATWALGLAGLVGEAEESAGGTEEEEEEEEEEGALCTEKRFLRL IDGALLLRVLGIIAPSSRGGLRMVRGRDGPAACRMWNLCHLWGRLRDFYQEELQLLILSP PPDLQTMGCDPFSEEAVDELESILRLLLGASVQCEHRELFIRHIRGLSLDVQSELAGAIQ EVTQPGAGVVLALAGPESGELVAEELEMQLRSLTGMMSRLARERDLGAQRLAELLLEREP AHLLLPEAPANASAEGVSHHLALQLTNAKAQLRRLRQEVEEKAEQLLDSQAEVQGLEAEI RRLRQETQALSAQAKRAELYREEAEALRERAGRLPRLQEELRRCREKLQAAEVFKGQLEE ERVLSEALEASKVLLEEQLEVARERSARLHETQRENLLLRTRLGEAHADLDSLRHQLEQL VEENVELELELQRSLEPPPGSPGEASLPGAAPSLQDEVREAEAGRLRAVERENRELRGQL QMLQAQLGSQHPLLEEQRENSRQPPVPNRDPATPSALHHSPQSPACQIGGEGSESLDLPS PASYSDITRSPKCSQAPDSHPELESPLQMVSQDPQTSDQALQESDPTVETHQCLEKSGHR VPLQSPIVWDPPQGPEVRIEVQELLGETGSREAPQGELVHKAQVLKQESPKCRPRSAELT LREPLKDQKALDRELELSKQQKETGRHEQRPKGLESKLGPQKPQQTSEGVPDAWSREEPT PGETLVSAIPEEQALRDEVAQLRREVAGLEVKLQAQAQRLEARSAEALCLSEELAQARRT EAEAHQEAEAQAREQARLREAVDTASLELEAASREREALAEALAAAGRERRQWERDGPRL RAQVEAAEQQVQALESQVRCHLEEAEREHAEKQALREELEKAVLRGQELGDRLEHLQEEL EQAALERQKFLQEQENQHQRYRHLEQRLEAELQAASTSKEEALMELKARALQLEEELIQL RQYPVDLATGARAGPRTVETQNGRLIEVERNNATLVAEKAALQGQLQHLEGQLGSLQGRA QELLLQSQRAQEHSSRLQAEKSMMEMQGQELHRKLGVLEEEVRAARRAQEETRGQQQALL RDHEALVQLQRRQETELEGLLVRHRDLKANMRALELAHRELQGRHEQLQAQRANVEAQEV ALLAERERLMQDGHRQRGLEEELRRLQNEHERAQMLLAEVSRERGELQGERGELRSRLAR LELERAQLEIQSQQLRESNQQLDLSACRLTTQCELLTQLRSAQEEENRQLLAEVQALSRE NRELLERSLESRDHLHREQREYLDQLNALRREKQKLVEKIMDQYRVLEPGPLPRTKKGSW LADKVKRLIRPRREGALHGGPRLGADGAGSTESLGGPLETELPEGREADGTGSSSPAPMR RVQSSLCLGDETLAGGQRRRLSSRFPGGRSSASFSPGDTPRQRFRQRRPGPLGAPSTHSK GSGVEWDGSIKTLSEHEADDTREAFQEQKPEKQFLTPSLSQ >ENSMUSP00000073853.4 pep:known chromosome:GRCm38:16:21953826:21995542:-1 gene:ENSMUSG00000044626.12 transcript:ENSMUST00000074230.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Liph description:lipase, member H [Source:MGI Symbol;Acc:MGI:2388029] MLRLCFFISFMCLVKSDTDETCPSFTRLSFHSAVVGTGLSVRLMLYTQRDQTCAQIINST ALGSLNVTKKTTFIIHGFRPTGSPPVWIEELVQSLISVQEMNVVVVDWNRGATTVIYPHA SSKTRQVASILKEFIDQMLVKGASLDNIYMIGVSLGAHIAGFVGESYEGKLGRVTGLDPA GPLFNGRPPEERLDPSDALFVDVIHSDTDGIKYFKCDHQMSVYLYLASLQNNCSITAYPC DSYRDYRNGKCVSCGAGQIVPCPRVGYYADSWKEYLWDRDPPMTKAFFDTAETKPYCMYH YFVDIVSWNKSVRRGFITIKLRGEDGNITESKIDHEPSAFEKYHQVSLLARFNRDLDKVA EISLLFSTGSVVGPKYKLRVLQMKLRSLAHPDRPHLCRYDLVLMENVETSFQPILCSQQQ M >ENSMUSP00000062310.6 pep:known chromosome:GRCm38:16:21953826:21995542:-1 gene:ENSMUSG00000044626.12 transcript:ENSMUST00000060673.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Liph description:lipase, member H [Source:MGI Symbol;Acc:MGI:2388029] MLRLCFFISFMCLVKSDTDETCPSFTRLSFHSAVVGTGLSVRLMLYTQRDQTCAQIINST ALGSLNVTKKTTFIIHGFRPTGSPPVWIEELVQSLISVQEMNVVVVDWNRGATTVIYPHA SSKTRQVASILKEFIDQMLVKGASLDNIYMIGVSLGAHIAGFVGESYEGKLGRVTGLDPA GPLFNGRPPEERLDPSDALFVDVIHSDTDALGYKEALGHIDFYPNGGLDQPGCPKTIFGG IKYFKCDHQMSVYLYLASLQNNCSITAYPCDSYRDYRNGKCVSCGAGQIVPCPRVGYYAD SWKEYLWDRDPPMTKAFFDTAETKPYCMYHYFVDIVSWNKSVRRGFITIKLRGEDGNITE SKIDHEPSAFEKYHQVSLLARFNRDLDKVAEISLLFSTGSVVGPKYKLRVLQMKLRSLAH PDRPHLCRYDLVLMENVETSFQPILCSQQQM >ENSMUSP00000101724.2 pep:known chromosome:GRCm38:7:137193673:137314445:-1 gene:ENSMUSG00000010476.13 transcript:ENSMUST00000106118.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf3 description:early B cell factor 3 [Source:MGI Symbol;Acc:MGI:894289] MFGIQENIPRGGTTMKEEPLGSGMNPVRSWMHTAGVVDANTAAQSGVGLARAHFEKQPPS NLRKSNFFHFVLALYDRQGQPVEIERTAFVDFVEKEKEPNNEKTNNGIHYKLQLLYSNGV RTEQDLYVRLIDSMTKQAIVYEGQDKNPEMCRVLLTHEIMCSRCCDKKSCGNRNETPSDP VIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVNVDGHVLAVSDNMFVHNNSKH GRRARRLDPSEGTAPSYLENATPCIKAISPSEGWTTGGATVIIIGDNFFDGLQVVFGTML VWSELITPHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFVYTALNEPTIDYGFQRL QKVIPRHPGDPERLPKEVLLKRAADLVEALYGMPHNNQEIILKRAADIAEALYSVPRNHN QIPTLGNTPAHTGMMGVNSFSSQLAVNVSETSQANDQVGYSRNTSSVSPRGYVPSSTPQQ SNYNTVSTSMNGYGSGAMANLGVPGSPGFLNGSSANSPYGIVPSSPTMAASSVTLPSNCS STHGIFSFSPANVISAVKQKSAFAPVVRPQASPPPSCTSANGNGLQAMSGLVVPPM >ENSMUSP00000132563.2 pep:known chromosome:GRCm38:7:137193673:137314445:-1 gene:ENSMUSG00000010476.13 transcript:ENSMUST00000169486.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf3 description:early B cell factor 3 [Source:MGI Symbol;Acc:MGI:894289] MFGIQENIPRGGTTMKEEPLGSGMNPVRSWMHTAGVVDANTAAQSGVGLARAHFEKQPPS NLRKSNFFHFVLALYDRQGQPVEIERTAFVDFVEKEKEPNNEKTNNGIHYKLQLLYSNGV RTEQDLYVRLIDSMTKQAIVYEGQDKNPEMCRVLLTHEIMCSRCCDKKSCGNRNETPSDP VIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVNVDGHVLAVSDNMFVHNNSKH GRRARRLDPSEATPCIKAISPSEGWTTGGATVIIIGDNFFDGLQVVFGTMLVWSELITPH AIRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFVYTALNEPTIDYGFQRLQKVIPRHPG DPERLPKEVLLKRAADLVEALYGMPHNNQEIILKRAADIAEALYSVPRNHNQIPTLGNTP AHTGMMGVNSFSSQLAVNVSETSQANDQVGYSRNTSSVSPRGYVPSSTPQQSNYNTVSTS MNGYGSGAMANLGVPGSPGFLNGSSANSPYGIVPSSPTMAASSVTLPSNCSSTHGIFSFS PANVISAVKQKSAFAPVVRPQASPPPSCTSANGNGLQAMSGLVVPPM >ENSMUSP00000033378.5 pep:known chromosome:GRCm38:7:137193673:137314445:-1 gene:ENSMUSG00000010476.13 transcript:ENSMUST00000033378.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf3 description:early B cell factor 3 [Source:MGI Symbol;Acc:MGI:894289] MFGIQENIPRGGTTMKEEPLGSGMNPVRSWMHTAGVVDANTAAQSGVGLARAHFEKQPPS NLRKSNFFHFVLALYDRQGQPVEIERTAFVDFVEKEKEPNNEKTNNGIHYKLQLLYSNGV RTEQDLYVRLIDSMTKQAIVYEGQDKNPEMCRVLLTHEIMCSRCCDKKSCGNRNETPSDP VIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVNVDGHVLAVSDNMFVHNNSKH GRRARRLDPSEATPCIKAISPSEGWTTGGATVIIIGDNFFDGLQVVFGTMLVWSELITPH AIRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFVYTALNEPTIDYGFQRLQKVIPRHPG DPERLPKEVLLKRAADLVEALYGMPHNNQEIILKRAADIAEALYSVPRNHNQIPTLGNTP AHTGMMGVNSFSSQLAVNVSETSQANDQVGYSRNTSSVSPRGYVPSSTPQQSNYNTVSTS MNGYGSGAMANLGVPGSPGFLNGSSANSPYGMKQKSAFAPVVRPQASPPPSCTSANGNGL QAMSGLVVPPM >ENSMUSP00000130334.1 pep:known chromosome:GRCm38:7:137194698:137314414:-1 gene:ENSMUSG00000010476.13 transcript:ENSMUST00000168203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf3 description:early B cell factor 3 [Source:MGI Symbol;Acc:MGI:894289] MFGIQENIPRGGTTMKEEPLGSGMNPVRSWMHTAGVVDANTAAQSGVGLARAHFEKQPPS NLRKSNFFHFVLALYDRQGQPVEIERTAFVDFVEKEKEPNNEKTNNGIHYKLQLLYSNGV RTEQDLYVRLIDSMTKQAIVYEGQDKNPEMCRVLLTHEIMCSRCCDKKSCGNRNETPSDP VIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVNVDGHVLAVSDNMFVHNNSKH GRRARRLDPSEATPCIKAISPSEGWTTGGATVIIIGDNFFDGLQVVFGTMLVWSELITPH AIRVQTPPRHIPGVVEVTLSYKSKQFCKGAPGRFVYTALNEPTIDYGFQRLQKVIPRHPG DPERLPKEVLLKRAADLVEALYGMPHNNQEIILKRAADIAEALYSVPRNHNQIPTLGNTP AHTGMMGVNSFSSQLAVNVSETSQANDQVGYSRNTSSVSPRGYVPSSTPQQSNYNTVSTS MNGYGSGAMANLGVPGSPGFLNGSSANSPYGIVPSSPTMAASSVTLPSNCSSTHGIFSFS PANVISAVKQKSAFAPVVRPQASPPPSCTSANGNGLQAMSGLVVPPM >ENSMUSP00000100869.3 pep:known chromosome:GRCm38:10:128411616:128441438:1 gene:ENSMUSG00000025373.13 transcript:ENSMUST00000096386.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf41 description:ring finger protein 41 [Source:MGI Symbol;Acc:MGI:1914838] MGYDVTRFQGDVDEDLICPICSGVLEEPVQAPHCEHAFCNACITQWFSQQQTCPVDRSVV TVAHLRPVPRIMRNMLSKLQIACDNAVFGCSAVVRLDNLMSHLSDCEHNPKRPVTCEQGC GLEMPKDELPNHNCIKHLRSVVQQQQSRIAELEKTSAEHKHQLAEQKRDIQLLKAYMRAI RSVNPNLQNLEETIEYNEILEWVNSLQPARVTRWGGMISTPDAVLQAVIKRSLVESGCPA SIVNELIENAHERSWPQGLATLETRQMNRRYYENYVAKRIPGKQAVVVMACENQHMGDDM VQEPGLVMIFAHGVEEI >ENSMUSP00000132751.1 pep:known chromosome:GRCm38:10:128411616:128441438:1 gene:ENSMUSG00000025373.13 transcript:ENSMUST00000171342.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf41 description:ring finger protein 41 [Source:MGI Symbol;Acc:MGI:1914838] MGYDVTRFQGDVDEDLICPICSGVLEEPVQAPHCEHAFCNACITQWFSQQQTCPVDRSVV TVAHLRPVPRIMRNMLSKLQIACDNAVFGCSAVVRLDNLMSHLSDCEHNPKRPVTCEQGC GLEMPKDELPNHNCIKHLRSVVQQQQSRIAELEKTSAEHKHQLAEQKRDIQLLKAYMRAI RSVNPNLQNLEETIEYNEILEWVNSLQPARVTRWGGMISTPDAVLQAVIKRSLVESGCPA SIVNELIENAHERSWPQGLATLETRQMNRRYYENYVAKRIPGKQAVVVMACENQHMGDDM VQEPGLVMIFAHGVEEI >ENSMUSP00000126250.1 pep:known chromosome:GRCm38:X:61183246:61185558:-1 gene:ENSMUSG00000090546.1 transcript:ENSMUST00000166381.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdr1 description:cerebellar degeneration related antigen 1 [Source:MGI Symbol;Acc:MGI:88359] MDFQEDMGFWEDLDFQEDIDFREDLDFRENTDFWKDTDYWEEVDLWEDSDFWEDLDFWED LDFWEDSDFWEDLDFWEDSDFWEDSDFWEDSDFWEDSDFWEDLDFWEDLDFREDLDFRED LDFWEDLDFWEDSDFWEDLDFWEDLDFREDLDFWEDSDFWEDFDFWEDSDFWEDSDFWED LDFREDLDFREDLDFWEDLDFWEDSDFWEDLDFWEDSDFWEDLDFWEDLDFREDLDFRED LDFREDLDFWEDLDFWEDLDFREDLDFREDLDFWEDLDFWEDLDFREDLDFWEDLDFRED LDFREDLDFWEDLDFREDLDFREDLDFWEDLDFREDLDFREDLDFQEDLDFWEDLDFWED LDFWENVDFLEDLDFPEDLDFQEDLDFQEDLDFREDLDFREDFDFWEDFDFPEDLDFWED FDFPEDLDFWEDFDFPEDVDFREDMDLWEDMDFWEDLDFQEDLDFWEDLDFWEDIDFHED VDFWEDMDFREDFDFWEDLDYLEDGGFSGRHGFWGRR >ENSMUSP00000085555.5 pep:known chromosome:GRCm38:19:6996131:6999044:1 gene:ENSMUSG00000047656.8 transcript:ENSMUST00000088223.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpt1 description:tRNA phosphotransferase 1 [Source:MGI Symbol;Acc:MGI:1333115] MNAPGGRRKEGRRTHRPREQDRNVQLSKALSYALRHGALKLGLPMRADGFVPLQALLQLP QFHSFSIEDVQLVVNTNEKQRFTLQPGEPSTGLLIRANQGHSLQVPELELTPLETPQALP LTLVHGTFWKHWPSILLKGLSRQGRTHIHLASGLPGDPGVISGIRPNCEVAVFIDGPLAL TDGIPFFCSANGVILTPGNAEGFLLPKYFKEALQLRPTRKPLSLAGDKETETQSGPKLSS RGGRRKIQQ >ENSMUSP00000093206.2 pep:known chromosome:GRCm38:12:84677278:84698807:-1 gene:ENSMUSG00000071234.2 transcript:ENSMUST00000095550.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syndig1l description:synapse differentiation inducing 1 like [Source:MGI Symbol;Acc:MGI:2685107] MESLSELQNPLLPRSPTHLHRPYPYPEAPPGWSCQEQLYSFLLGGAGPARAHQLLDPGSL QLAVEAWYRPSCLLGRDKVKEPKAGSCETSFTEAREPLAGPAEEGSEPGQAAEDVTIHTV SYGVQEELQGQEDSQEEESDGTSSESECEDAFLTLPPRDHLGLTLFSMLCCFWPLGIAAF YFSQGTSKAISKGDFRLASTTSRRALFLATLSIAVGAGLYVAVVVALAAYMSQNGHG >ENSMUSP00000077990.2 pep:known chromosome:GRCm38:7:140667289:140668248:1 gene:ENSMUSG00000059136.2 transcript:ENSMUST00000078967.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr539 description:olfactory receptor 539 [Source:MGI Symbol;Acc:MGI:3030373] MVDLVLSMMMLNLNQTEVTEFVLEGFSEHPGLRLFLTGCFLSLYMMALMGNILIIALVTF STGLHNPMYFFLCNLATMDIICTSSVIPKALVGLVSEENTISFKGCMSQLFFLTWSASSE LLLLTVMAYDRYVAICFPLHYSSRMSPQLCGALAMVVWFIGLVNACVHTGLMTRLSFCGP KVITHFFCEIPPLLLLSCSPTYVNSILTLVADAFFVGINFMLTLLSYGCIIASILRMRSA EGKRKAFSTCSSHLIVVSVYYSSMFCAYISPASSYSPERSKVTSVLYSILSPTLNPLIYT LRNKDVKLALGRILASFSH >ENSMUSP00000023189.7 pep:known chromosome:GRCm38:16:5013906:5049910:-1 gene:ENSMUSG00000022536.13 transcript:ENSMUST00000023189.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glyr1 description:glyoxylate reductase 1 homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1921272] MAAVSLRLGDLVWGKLGRYPPWPGKIVNPPKDLKKPRGKKCFFVKFFGTEDHAWIKVEQL KPYHAHKEEMIKINKGKRFQQAVDAVEEFLRRAKGKDQTSSHTSADDKNRRNSSEERSRP NSGDEKRKLSLSEGKVKKNMGEGKKRVTSGSADRGSKCLKRAQEQSPRKRGRPPKDEKDL TIPESSTVKGMMAGPMAAFKWQPTATEPVKDADPHFHHFLLSQTEKPAVCYQAITKKLKI CEEETGSTSIQAADSTAVNGSITPTDKKIGFLGLGLMGSGIVSNLLKMGHTVTVWNRTAE KEGARLGRTPAEVVSTCDITFACVSDPKAAKDLVLGPSGVLQGIRPGKCYVDMSTVDADT VTELAQVIVSRGGRFLEAPVSGNQQLSNDGMLVILAAGDRGLYEDCSSCFQAMGKTSFFL GEVGNAAKMMLIVNMVQGSFMATIAEGLTLAQVTGQSQQTLLDILNQGQLASIFLDQKCQ NILQGNFKPDFYLKYIQKDLRLAIALGDAVNHPTPMAAAANEVYKRAKALDQSDNDMSAV YRAYIH >ENSMUSP00000111510.1 pep:known chromosome:GRCm38:16:5013906:5049910:-1 gene:ENSMUSG00000022536.13 transcript:ENSMUST00000115844.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glyr1 description:glyoxylate reductase 1 homolog (Arabidopsis) [Source:MGI Symbol;Acc:MGI:1921272] MAAVSLRLGDLVWGKLGRYPPWPGKIVNPPKDLKKPRGKKCFFVKFFGTEDHAWIKVEQL KPYHAHKEEMIKINKGKRFQQAVDAVEEFLRRAKGKDQTSSHTSADDKNRRNSSEERSRP NSGDEKRKLSLSEGKVKKNMGEGKKRVTSGSADRGSKCLKRAQEQSPRKRGRPPKDEKDL TIPESSTVKGMMAGPMAAFKWQPTATEPVKDADPHFHHFLLSQTEKPAVCYQAITKKLKI CEEETGSTSIQAADSTAVNGSITPTDKKIGFLGLGLMGSGIVSNLLKMGHTVTVWNRTAE KCDLFIQEGARLGRTPAEVVSTCDITFACVSDPKAAKDLVLGPSGVLQGIRPGKCYVDMS TVDADTVTELAQVIVSRGGRFLEAPVSGNQQLSNDGMLVILAAGDRGLYEDCSSCFQAMG KTSFFLGEVGNAAKMMLIVNMVQGSFMATIAEGLTLAQVTGQSQQTLLDILNQGQLASIF LDQKCQNILQGNFKPDFYLKYIQKDLRLAIALGDAVNHPTPMAAAANEVYKRAKALDQSD NDMSAVYRAYIH >ENSMUSP00000024733.7 pep:known chromosome:GRCm38:17:45506841:45520842:1 gene:ENSMUSG00000023938.7 transcript:ENSMUST00000024733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aars2 description:alanyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:2681839] MAVALAAAAGKLRRAIGRSCPWQPFSTEPGPPHGAAVRDAFLSFFRDRHGHRLVPSATVR PRGDPSLLFVNAGMNQFKPIFLGTVDPRSEMAGFRRVVNSQKCVRAGGRHNDLEDVGRDL SHHTFFEMLGNWAFGGEYFKEEACSMAWELLTQVYGIPEDRLWVSYFSGDSQTGLDPDLE TRDIWLSLGVPASRVLSFGPQENFWEMGDTGPCGPCTEIHYDLAGGVGSPQLVELWNLVF MQHYREADGSLQLLPQRHVDTGMGLERLVAVLQGKRSTYDTDLFSPLLDAIHQSCGAPPY SGRVGAADEGRIDTAYRVVADHIRTLSVCIADGVSPGMSGAPLVLRRILRRAVRYSTEVL QAPPGFLGSLVPVVVETLGSAYPELEKNSVKIASLVSEDEAAFLASLQRGRRIIDRTVKR LGPSDLFPAEVAWSLSLSGNLGIPLDLVELMLEEKGVKLDTAGLEQLAQKEAQHRAQQAE ADQEDRLCLDVHALEELHRQGIPTTDDSPKYNYTLHPNGDYEFGLCEARVLQLYSETGTA VASVGAGQRCGLLLDRTNFYAEQGGQASDRGYLVRTGQQDMLFPVAGAQLCGGFILHEAM APERLQVGDQVQLYVDKAWRMGCMVKHTATHLLSWALRQTLGPTTEQRGSHLNPERLRFD VATQTLLTTEQLRTVESYVQEVVGQDKPVFMEEVPLAHTARIPGLRSLDEVYPDPVRVVS VGVPVAHALGPASQAAMHTSVELCCGTHLLSTGAVGDLVIIGERQLVKGITRLLAITGEQ AQQAREVGQSLSQEVEAASERLSQGSRDLPEAHRLSKDIGRLTEVAESAVIPQWQRQELQ TTLKMLQRRANTAIRKLEKGQATEKSQELLKRHSEGPLIVDTVSAESLSVLVKVVRQLCK QAPSISVLLLSPQPTGSVLCACQVAQDATPTFTAEAWALAVCSHMGGKAWGSRVVAQGTG HTADLEAALGTARAYALSQL >ENSMUSP00000071794.5 pep:known chromosome:GRCm38:15:76595809:76607591:-1 gene:ENSMUSG00000034022.7 transcript:ENSMUST00000071898.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf1 description:cleavage and polyadenylation specific factor 1 [Source:MGI Symbol;Acc:MGI:2679722] MYAVYKQAHPPTGLEFTMYCNFFNNSERNLVVAGTSQLYVYRLNRDAEALTKNDGSTEGK AHREKLELVASFSFFGNVMSMASVQLAGAKRDALLLSFKDAKLSVVEYDPGTHDLKTLSL HYFEEPELRDGFVQNVHTPRVRVDPDGRCAAMLIYGTRLVVLPFRRESLAEEHEGLMGEG QRSSFLPSYIIDVRALDEKLLNIIDLQFLHGYYEPTLLILFEPNQTWPGRVAVRQDTCSI VAISLNITQKVHPVIWSLTSLPFDCTQALAVPKPIGGVVIFAVNSLLYLNQSVPPYGVAL NSLTTGTTAFPLRTQEGVRITLDCAQAAFISYDKMVISLKGGEIYVLTLITDGMRSVRAF HFDKAAASVLTTSMVTMEPGYLFLGSRLGNSLLLKYTEKLQEPPASSVREAADKEEPPSK KKRVEPAVGWTGGKTVPQDEVDEIEVYGSEAQSGTQLATYSFEVCDSMLNIGPCANAAVG EPAFLSEEFQNSPEPDLEIVVCSGYGKNGALSVLQKSIRPQVVTTFELPGCYDMWTVIAP VRKEEEETPKAESTEQEPSAPKAEEDGRRHGFLILSREDSTMILQTGQEIMELDTSGFAT QGPTVFAGNIGDNRYIVQVSPLGIRLLEGVNQLHFIPVDLGAPIVQCAVADPYVVIMSAE GHVTMFLLKSDSYGGRHHRLALHKPPLHHQSKVIALCLYRDVSGMFTTESRLGGARDELG GRSGSEAEGLGSETSPTVDDEEEMLYGDSSALFSPSKEEARRSSQPPADRDPAPFKADPT HWCLLVRENGTMEIYQLPDWRLVFLVKNFPVGQRVLVDSSFGQPTTQGEVRKEEATRQGE LPLVKEVLLVALGSRQSRPYLLVHVDQELLIYEAFPHDSQLGQGNLKVRFKKVPHNINFR EKKPKPSKKKAEGCSTEEGSGGRGRVARFRYFEDIYGYSGVFICGPSPHWLLVTGRGALR LHPMGIDGPIDSFAPFHNVNCPRGFLYFNRQGELRISVLPAYLSYDAPWPVRKIPLRCTA HYVAYHVESKVYAVATSTNTPCTRIPRMTGEEKEFEAIERDDRYIHPQQEAFSIQLISPV SWEAIPNARIELEEWEHVTCMKTVSLRSEETVSGLKGYVAAGTCLMQGEEVTCRGRILIM DVIEVVPEPGQPLTKNKFKVLYEKEQKGPVTALCHCNGHLVSAIGQKIFLWSLRASELTG MAFIDTQLYIHQMISVKNFILAADVMKSISLLRYQEESKTLSLVSRDAKPLEVYSVDFMV DNAQLGFLVSDRDRNLMVYMYLPEAKESFGGMRLLRRADFHVGAHVNTFWRTPCRGAAEG PSKKSVVWENKHITWFATLDGGIGLLLPMQEKTYRRLLMLQNALTTMLPHHAGLNPRAFR MLHVDRRILQNAVRNVLDGELLNRYLYLSTMERSELAKKIGTTPDIILDDLLETDRVTAH F >ENSMUSP00000060421.3 pep:known chromosome:GRCm38:19:28990494:29017569:1 gene:ENSMUSG00000024780.6 transcript:ENSMUST00000050148.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc37l1 description:cell division cycle 37-like 1 [Source:MGI Symbol;Acc:MGI:1914322] MEQPWPPPGPWSFPRTGGETEEESDLDVSPSSSHYSPVPDGGAQMYSHGIELACQKQKEF VKSSVACKWNLAEAQQKLGSLALHNSESLDQEHAKAQTAVSELRQREEEWRQKEEALVQR ERMCLWNMDAISKDVFNKSFINQDKRKTEEEDKSQSFMQKYEQKIRHFGMLSRWDDSQRF LSDHPHLVCEETAKYLILWCFHLEAEQKGALMEQIAHQAVVMQFIMEMAKNCNVDPRGCF RLFFQKAKAEEEGYFEAFKNELEAFKSRVRLYAQSQSLQPVTVQNHVPHSGVGCIGSLES LPQNPDSLQCCTPAPLCSVDSVVHKEDDDRMMDTV >ENSMUSP00000084977.4 pep:known chromosome:GRCm38:19:16956118:17223932:1 gene:ENSMUSG00000039126.9 transcript:ENSMUST00000087689.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prune2 description:prune homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1925004] MEEFLQRAKSKLDRSKQLEQVHAVIGPKSCDLDSLISAFTYAYFLDKVSPPGVLCLPVLN IPRTEFNYFTETRFILEELNIPESFHIFRDEINLHQLNDEGKLSITLVGSHVLGSEDRTL ESAVVRVINPGEQSDGELGFPETSSSLVLKELLREAPELITQQLAHLLRGSILFTWMSMD PELPEKQEEILSILEEQFPNLPPRDDIINVLQESQLSAQGLSLEQTMLKDLKELSDGEIK VAISTVNMTLEDYLLHGNITSDLKAFTDKFGFDVLILISSFTWEEQQRQQIAVYSQNLEL CSQICCELEESQNPCLELEPFECGCDEILVYQQEDPSVTSDQVFLLLKEVINRRCAEMVS NSRTSSTEAVAGSAPLSQGSSGIMELYGSDIEPQPSSVNFIENPPELNDSNQAQADGNID LVSPDSGLATIRSSRSSKESSVFLSDDSPVGDGGAPHHSLLPGFDSYSPIPEGIVAEEHA HSGEHSEHFDLFNFDSAPIASEQSQPSSHSADYSPEDDFPNSDSSEGNLSAGPKGLGEMG INMSNYSSSSLLSEAGKDSLVEFDEEFIQRQESSGDNSERNLSLTCFAGEEPSSPERLKN PGKMIPPTPMNSFVEISPSNEEPTPLYPEDIIQNAIDTGHLGPPQARARCRSWWGGLEID SKNVVDTWNASEQESVFQSPEPWKDPKPEPVERRTSDSTFQPKSLEFSKSDPWESEFGQP ELGNKEAQDQKEESLQYQHLPTVRPHLTDASPHGTNHLIEDFAALWHSGHSPTTMPEPWG NPTDAGEAAVTMSFPTWGAFDKEEDNADTLKNTWNLHPTNNETPSGQEPSEWAMGQSGFS FPAADLLDNPLIEVNKDAAPEIWGKNNSSKDTSLTSGSPTSDLGQTWNNSKLPGEDQNGL VDPKATGKVYEKEGSWSLFEESAKKRGADVLAPWEDSFLSYKCSDYSASNIGEDSVPSPL DTNYSTSDSYTSPTYAGDEKEIANKPVDKDNGFEAKDAEFPAEGLEVLATSSQQSQRNRI GSGPGNLDMWALPHTEDKPEGNDAHHPDSDALKTEHAEDKNASMEDDVRESSPSSYDDPS MMRLYEANRQLTLLHSNTNSRQAAPDSLDTWNRVTLEDTQSTATISDMDNDLDWDDCSGG VAISGDGQAEGYIAANSEPETRFSVKQLEPWGTEHQEANQVDWDLSASAEPTGDPGPSEY QTLNEKTGQLIANSIWDSVMGDKNMPSFRLPSPPNTVDMEHGTWPSESPRHSNGKDSHML EASRLSESGGLTSQPVNQDTWGDSQGDTASSVTGLASPEHFAQSDPWTGHTYGQSESEIE GLVASDCEHLDKEAALGSGVNGAPWAFGKKPRDQEFSSSDAFEHQDISSASGKISSLSVT SSPQSEEPGEALEVEKEPFILDSLAVQTETFTWDLQSKDTHEESLVDHRNLGEANTTLDR INPMKNRPLSGMELEKTEACTILKPERANGKLLYESSQDFGVWDGPMDSDVWDSHISYET AMNSTGQRTEERSLEALSPGNYDRDSLSSGCTHSSASSPDLHDSSVALSSWTYGPSAEHQ KENHDDANKQIHQESELFTTEAHVDVITEMKDFVENREDGFGKMSNQEDPQFPEIPNDPF NSGPSSSSSSLGADKYSEYSHAYQEGDLTTKRQEENELGFLEIVEPEGTRIISTSSGSGN DSGGDEELLEKELHLATVAQSEAGACTSLHEPAFSATEHSKPEFSVFVGSLESIEKENKS SPFSDSQQSSPGQWILSPLMQADTQDTCKEETRAAETGTMDTTWHGSASTEAKNGDPDKL EMLGFSADSTEWWNAGAQEGRANAGMSAEELSNSEGELEPTSPVFQNAGPWSLPIQNDSE PVDTGSTNPFRGKLKSPVLDSHGDKSQEKLWNIQPKQLDSDANQLSQLVILDQMKDKDSG QQTAMSPAAGDLPAETLTQGQGRESMLSVWDRAEPALTHRDENGCVSTGVSPTECQQENQ WEPEKPYLSHVTHSSTPTENALESNAPTQLMRKLDSDWNSPSPSEPQHNFVPDILHGNFE EGGQLASASPDLWMDVKQPFSFKVDSENPDILTHCDHDSNSQASSSPDVCHDSEGEQKME KHTAVYLGLEVEPSEFSLTEPNMNDEPTWEPEQESLPHNSELHSEHAMPLPPIDSQNDIN NSSKPASSRSSPEPSDMRGDNNTSVTSMEEDTNPEVEAVDSVTIPGHFPRSEDADTFEAH QEVSVEVDDSWVSKDLCPESQTGTRALLDCEQPFASESPAVLTDIFLTSDTCLDVSEAAL DHSFSDASGLNTSTGTIDDMSKLTLSEGHPETPVDGDAGKQDVCSSEASWGDFEYDAMGQ NIDEELMREPEHFLYGGDLPSEESALKQSLTPYTPPFDLSYLTEPTGCTETAQGAESPGD ESLGSDAAEMLLSALPDHREEDKAETNIRKPRYQMTVLHIHEDPEALSSPVGGTGSNNES SPSNIDWEIETDNSDSPAGGDMKPPNGKEILELEEDEKVIPTKGPKQTELEYKEEKQPEQ SEDHQVLAVDYILVSHEKDSPLKPEAREARENIPELEQLSIGSRETGLPETQLSGTPDTC QSEFLNDVKVHSAERMSSSSNHESASLENPAQDQSWMVLSHSEVGDPPTETRDSGPESPG RTPEPFLSLSLDKGPKSQVLERNKPLNSLALEEVAGLSSQSRNIERQGQAGLDAVPTQAA THDNEWEMLSPQLSRKNRNPPQEMEEETQCPEPGPRKPRLKGPPSEDEGMDIHFEEGVLS PSAADMRPEPPNSLDLNGSHPRRIKLTAPNINLSLDQSEGSILSDDNLDSPDEIDINVDE LDTPDEADSFEYTNHEDPTANKSSGQESESIPEYTAEEEREDNRLWRTVVIGEQEQRIDM KVIEPYRRVISHGGYYGDGLNAIIVFAACFLPDSSRADYHYVMENLFLYVISTLELMVAE DYMIVYLNGATPRRKMPGLGWMKKCYQMIDRRLRKNLKSFIIVHPSWFIRTILAVTRPFI SSKFSSKIKYVTSLSELSGLIPMDCIHIPESIIKYDEEKSFKRSVRLDEELREASEAAKT SCLYNDPEMSSMEKDIDMKLKEKP >ENSMUSP00000074876.6 pep:known chromosome:GRCm38:10:77711457:77712009:1 gene:ENSMUSG00000094012.1 transcript:ENSMUST00000075421.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10024 description:predicted gene 10024 [Source:MGI Symbol;Acc:MGI:3641784] MCHTSCSSGCQPSCCVSSPCQPSCCVSNPCQSSCCVSSSCQSACCRPAICIPVRYQVACC VPVSCRPTVCMAPSCQSSVCMPVSCRPVCVTSSCQSSGGCQPSCPTVVCRPVTCNPSCC >ENSMUSP00000035663.3 pep:known chromosome:GRCm38:15:9436028:9466035:1 gene:ENSMUSG00000039676.3 transcript:ENSMUST00000042360.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capsl description:calcyphosine-like [Source:MGI Symbol;Acc:MGI:1922818] MAGTARHDREMAIQSKKKLSTATDPIERLRLQCLARGSAGIKGLGRVFRIMDDNNNRTLD FKEFLKGLNDYAVVMEKEEAEELFQRFDRDGSGTIDFNEFLLTLRPPMSRARKEVIMKAF RKLDKTGDGVITIEDLREVYNAKHHPKYQNGEWTEEQVFRKFLDNFDSPYDKDGLVTPEE FMNYYAGVSASIDTDVYFIIMMTTAWKL >ENSMUSP00000042987.6 pep:known chromosome:GRCm38:12:100549778:100725028:-1 gene:ENSMUSG00000021180.8 transcript:ENSMUST00000043599.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka5 description:ribosomal protein S6 kinase, polypeptide 5 [Source:MGI Symbol;Acc:MGI:1920336] MEGEGGGSGGAGTSGDSGDGGEQLLTVKHELRTANLTGHAEKVGIENFELLKVLGTGAYG KVFLVRKISGHDAGKLYAMKVLKKATIVQKAKTTEHTRTERQVLEHIRQSPFLVTLHYAF QTETKLHLILDYINGGELFTHLSQRERFTEHEVQIYVGEIVLALEHLHKLGIIYRDIKLE NILLDSNGHVVLTDFGLSKEFVADETERAYSFCGTIEYMAPDIVRGGDSGHDKAVDWWSL GVLMYELLTGASPFTVDGEKNSQAEISRRILKSEPPYPQEMSTVAKDLLQRLLMKDPKKR LGCGPRDAEEIKEHLFFEKIKWDDLAAKKVPAPFKPVIRDELDVSNFAEEFTEMDPTYSP AALPQSSERLFQGYSFVAPSILFKRNAAVIDPLQFHMGVDRPGVTNVARSAMMKDSPFYQ HYDLDLKDKPLGEGSFSICRKCVHKKTNQAFAVKIISKRMEANTQKEITALKLCEGHPNI VKLHEVFHDQVAASAQPPGQVVLCSLLLLALLFNRSLTRKPVTWTWLVHSTSQLPPLPPP MPEIVLFILLSDNGQLHTFLVMELLNGGELFERIKRKKHFSETEASYIMRKLVSAVSHMH DVGVVHRDLKPENLLFTDENDNLEIKVIDFGFARLKPPDNQPLKTPCFTLHYAAPELLTH NGYDESCDLWSLGVILYTMLSGQVPFQSHDRSLTCTSAVEIMKKIKKGDFSFEGEAWKNV SQEAKDLIQGLLTVDPNKRLKMSGLRYNEWLQDGSQLSSNPLMTPDILGSSGAAVHTCVK ATFHAFNKYKREGFCLQNVDKAPLAKRRKMKRTSTSTETRSSSSESSRSSSSQSHGKTTP TKTLQPSNPTEGSNPDTLFQFSD >ENSMUSP00000003360.7 pep:known chromosome:GRCm38:7:45699867:45704659:1 gene:ENSMUSG00000003273.13 transcript:ENSMUST00000003360.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Car11 description:carbonic anhydrase 11 [Source:MGI Symbol;Acc:MGI:1336193] MGGAARLSAPQALVLWAALGAAAHIGPAPDPEDWWSYKENLQGNFVPGPPFWGLVNAAWS LCAVGKRQSPVDVELKRVLYDPFLPPLRLSTGGEKLRGTLYNTGRHVSFLPASRPVVNVS GGPLLYSHRLSELRLLFGARDGAGSEHQINHEGFSAEVQLIHFNQELYGNLSAASRGPNG LAILSLFVNVAGSSNPFLSRLLNRDTITRISYKNDAYFLQDLSLELLFPESFGFITYQGS LSTPPCSETVTWILIDRALNITSLQMHSLRLLSQNPPSQIFQSLSGNGRPLQPLAHRALR GNRDPRHPERRCRGPNYRLHVDGGPHGR >ENSMUSP00000003762.6 pep:known chromosome:GRCm38:17:17843328:17855188:-1 gene:ENSMUSG00000003665.6 transcript:ENSMUST00000003762.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Has1 description:hyaluronan synthase1 [Source:MGI Symbol;Acc:MGI:106590] MRQDMPKPSEAARCCSGLARRALTIIFALLILGLMTWAYAAGVPLASDRYGLLAFGLYGA FLSAHLVAQSLFAYLEHRRVAAAARRSLAKGPLDAATARSVALTISAYQEDPAYLRQCLT SARALLYPHTRLRVLMVVDGNRAEDLYMVDMFREVFADEDPATYVWDGNYHQPWEPAEAT GAVGEGAYREVEAEDPGRLAVEALVRTRRCVCVAQRWGGKREVMYTAFKALGDSVDYVQV CDSDTRLDPMALLELVRVLDEDPRVGAVGGDVRILNPLDSWVSFLSSLRYWVAFNVERAC QSYFHCVSCISGPLGLYRNNLLQQFLEAWYNQKFLGTHCTFGDDRHLTNRMLSMGYATKY TSRSRCYSETPSSFLRWLSQQTRWSKSYFREWLYNALWWHRHHAWMTYEAVVSGLFPFFV AATVLRLFYAGRPWALLWVLLCVQGVALAKAAFAAWLRGCVRMVLLSLYAPLYMCGLLPA KFLALVTMNQSGWGTSGRKKLAANYVPVLPLALWALLLLGGLARSVAQEARADWSGPSRA AEAYHLAAGAGAYVAYWVVMLTIYWVGVRRLCRRRSGGYRVQV >ENSMUSP00000128623.1 pep:known chromosome:GRCm38:13:96490733:96491120:1 gene:ENSMUSG00000091109.1 transcript:ENSMUST00000165358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17622 description:predicted gene, 17622 [Source:MGI Symbol;Acc:MGI:4937256] MLAMLPYSLPVCMSV >ENSMUSP00000020278.5 pep:known chromosome:GRCm38:10:62252438:62265990:1 gene:ENSMUSG00000020081.5 transcript:ENSMUST00000020278.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacr2 description:tachykinin receptor 2 [Source:MGI Symbol;Acc:MGI:98477] MGAHASVTDTNILSGLESNATGVTAFSMPGWQLALWATAYLALVLVAVTGNATVIWIILA HERMRTVTNYFIINLALADLCMAAFNATFNFIYASHNIWYFGSTFCYFQNLFPVTAMFVS IYSMTAIAADRYMAIVHPFQPRLSAPSTKAVIAVIWLVALALASPQCFYSTITVDQGATK CVVAWPNDNGGKMLLLYHLVVFVLIYFLPLVVMFAAYSVIGLTLWKRAVPRHQAHGANLR HLQAKKKFVKAMVLVVVTFAICWLPYHLYFILGTFQEDIYYRKFIQQVYLALFWLAMSST MYNPIIYCCLNHRFRSGFRLAFRCCPWGTPTEEDRLELTHTPSISRRVNRCHTKETLFMT GDMTHSEATNGQVGGPQDGEPAGP >ENSMUSP00000072865.3 pep:known chromosome:GRCm38:9:20212207:20213353:1 gene:ENSMUSG00000061457.3 transcript:ENSMUST00000073122.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr871 description:olfactory receptor 871 [Source:MGI Symbol;Acc:MGI:3030705] MKPENQTNILEFLLLGFSQYPEHQPMLFGLFLLMFVVAVFGNLLIILAVSIDSHLHTPMY FFLSNLSFSDIGFISTTIPKMLVNIQTQSKSISYAECITQIYFFMLFGGMDILLLTVMAY DRFVAICHPLHYSVIMNPQLSGLLVLVSWFISFSYSLIQSLLMLRLSFCTNQIIKHFYCE YSRALTIACSDTLINHILLYILICVLGFIPFSGILYSYCKIVSSILRIPSTDGKYKAFST CGSHLSVVSLFYGTGLGVYLSSDVTSSSGKDVVASVMYTVVTPMLNPFIYSLRNKDIKKA LKTLGRILLLK >ENSMUSP00000041035.8 pep:known chromosome:GRCm38:13:58402597:58411149:1 gene:ENSMUSG00000035367.8 transcript:ENSMUST00000042450.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmi1 description:RMI1, RecQ mediated genome instability 1 [Source:MGI Symbol;Acc:MGI:1921636] MSVASAVLRVETWLLATWHVKVPPMWLEACVNWIQEENNNATLSQAQINKQVLEQWLLTD LRDLEHPLLPDDILELPKGELNGFYALQINSLVDVSQPAYSQIQKLRGKNTTNDLVSAET QSTPKPWEVRPSRMLMLQLTDGVTHIQGMEYQSIPALHSGLPPGTKILVRGCILFRLGVL LLKPENVKVLGGEVDGLSEENAQEKVLARLIGELDPTVPVIPNNSIHNVPKVSGGLDAVL GPSDEELLASLDESEESAANNDVAMERSCFSTGTSSNTTPTNPSGFEPGCNISSRPKEKP PNQPTHFTDGEFDDFSLEEALLLEETVQKEQMETKASQPLTLKENTGKCMEIFSHKPSSL NHTALIHKQGNSNFDEKTSEQMIHEDKFFDCASTRNHHKRFSAHDFTNDSKISEVDDAAQ QTLSSSNVHCLRNKILNRKLDLSEKSSQISKENGHPFQACSSRSFENNTYLSIGMDLHSP PFIYLSVLMARKPKEVTTVTVKAFIVTLTGNLSSSGGFWGVTAKVSDGTAYLDVDFIDEI LTSMIGYSVPEMKQLRKDPLKYKTFLEGLQKCQRDLIDLCCLMTISYDPSSCKGVVLELQ DVGMEHVENLKKRLNK >ENSMUSP00000023713.7 pep:known chromosome:GRCm38:15:101541229:101550659:-1 gene:ENSMUSG00000049548.8 transcript:ENSMUST00000023713.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt82 description:keratin 82 [Source:MGI Symbol;Acc:MGI:2149248] MSCRNFQLSPRCGNRSFSSCSAIMPRMVTHYEVSKAPCRSGGGGGLRALGCLGSRSLCNV GFGRPRVASRCGMPGFGYRAGATCGSSACITPVTINESLLVPLELEIDPTVQRVKRDEKE QIKCLNNRFASFINKVRFLEQKNKLLETKWNFMQQQRSCQSNMEPLFEGYICALRRQLDC VSGDHGRLEAELCSLQEALEGYKKKYEEELSLRPCAENEFVTLKKDVDTAFLVKADLETN LEALEHEIEFLKALFEEEIGLLQSQISETSVIVKMDNSRELDVDGIVAEIKAQYDDIASR SKAEAEAWYQCRYEELRLTAGNHCDNLRNRKNEILEMNKLIQRLQQDIEAVKGQRCKLEG AIAQAEQQGEAALTDAKCKLAGLEEALQKAKQDMACLLKQYQEVMNCKLGLDIEIATYRR LLEGEEHRLCEGIGPVNISVSSSKGAVLYEPCVMGTPMLRTEYCTGTTGVLRNSGGCNVV GTGELYIPCEPQGLLGCGNGRSSSMKVGVGSNSCSR >ENSMUSP00000051065.5 pep:known chromosome:GRCm38:10:70868669:70934324:1 gene:ENSMUSG00000047692.5 transcript:ENSMUST00000058942.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930533K18Rik description:RIKEN cDNA 4930533K18 gene [Source:MGI Symbol;Acc:MGI:1922439] MTGLRTVTRERNNSQRWARRARRMLNADKHQPHLKPNLTWNSNKKGSQQGGETPPPQHTS PTEIVRANAFHKSRGTAAPLHLPPSHRASIVRSVRDSGGHVGSTDANKCMAESTLSSQWS TE >ENSMUSP00000034618.4 pep:known chromosome:GRCm38:9:44247312:44251464:-1 gene:ENSMUSG00000032105.4 transcript:ENSMUST00000034618.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd3 description:PDZ domain containing 3 [Source:MGI Symbol;Acc:MGI:2429554] MEAAADLRDTALLTLKFKFNPRLGIDNPVLSLAEDQDQSDPWNLHRPRFCLLSKEEEKTF GFHLQQHLGKADHVVCRVDPGTSAQRQGLREGDRILAVNNNIVAHEDHAVVVRYIRASGP RVLLTVLAQHVHDVARVLQGSDAFLCPTLPSGVRPRLCHVVKDEGGFGFSVTHGSRGPFW LVLSAGGAAERAGVPPGARLLEVNGASVEKLTYNQLNRKLWQSGDQVTLLVAGLEVEEQC HQLGMPLAAPLAEGWALPAKPRCLNIEKGPEGFGFLLREEKGLDGRLGQFLWDVDPGLPA DKAGMKAGDRLVAVAGESVDGLGHEETVSRIRAQGSCVSLIVVDPEADRFFSMVRLSPLL FLENTEIAAPPLAETKDLPVEDTVEPSGLAGSCQCFLYPGPGGGYGFRLCCVASGPCLFI SQVTPGGSAARAGLQVGDTVLEVNGYPVGGDSELDRLQQLTEAEPPLCLKLGARNPQGLE AWISLESGEDWTLASELL >ENSMUSP00000047797.8 pep:known chromosome:GRCm38:12:101975974:102005993:1 gene:ENSMUSG00000041781.8 transcript:ENSMUST00000047357.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf2 description:cleavage and polyadenylation specific factor 2 [Source:MGI Symbol;Acc:MGI:1861601] MTSIIKLTTLSGVQEESALCYLLQVDEFRFLLDCGWDEHFSVDIIDSLRKHVHQIDAVLL SHPDPLHLGALPFAVGKLGLNCAIYATIPVYKMGQMFMYDLYQSRHNTEDFTLFTLDDVD AAFDKIQQLKFSQIVNLKGKGHGLSITPLPAGHMIGGTIWKIVKDGEEEIVYAVDFNHKR EIHLNGCSLEMLSRPSLLITDSFNATYVQPRRKQRDEQLLTNVLETLRGDGNVLIAVDTA GRVLELAQLLDQIWRTKDAGLGVYSLALLNNVSYNVVEFSKSQVEWMSDKLMRCFEDKRN NPFQFRHLSLCHGLSDLARVPSPKVVLASQPDLECGFSRDLFIQWCQDPKNSIILTYRTT PGTLARFLIDNPTEKVTEIELRKRVKLEGKELEEYVEKEKLKKEAAKKLEQSKEADIDSS DESDVEEDVDQPSAHKTKHDLMMKGEGSRKGSFFKQAKKSYPMFPAPEERIKWDEYGEII KPEDFLVPELQATEEEKSKLESGLTNGEEPMDQDLSDVPTKCVSATESIEIKARVTYIDY EGRSDGDSIKKIINQMKPRQLIIVHGPPEASQDLAECCRAFGGKDIKVYMPKLHETVDAT SETHIYQVRLKDSLVSSLQFCKAKDAELAWIDGVLDMRVSKVDTGVILEEGELKDDGEDS EMQVDAPSDSSAMAQQKAMKSLFGEDEKELGEETEIIPTLEPLPPHEVPGHQSVFMNEPR LSDFKQVLLREGIQAEFVGGVLVCNNQVAVRRTETGRIGLEGCLCQDFYRIRDLLYEQYA IV >ENSMUSP00000025946.5 pep:known chromosome:GRCm38:19:37697808:37701528:1 gene:ENSMUSG00000024987.5 transcript:ENSMUST00000025946.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp26a1 description:cytochrome P450, family 26, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1096359] MGLPALLASALCTFVLPLLLFLAALKLWDLYCVSSRDRSCALPLPPGTMGFPFFGETLQM VLQRRKFLQMKRRKYGFIYKTHLFGRPTVRVMGADNVRRILLGEHRLVSVHWPASVRTIL GAGCLSNLHDSSHKQRKKVIMQAFSREALQCYVPVIAEEVSSCLEQWLSCGERGLLVYPE VKRLMFRIAMRILLGCEPGPAGGGEDEQQLVEAFEEMTRNLFSLPIDVPFSGLYRGVKAR NLIHARIEENIRAKIRRLQATEPDGGCKDALQLLIEHSWERGERLDMQALKQSSTELLFG GHETTASAATSLITYLGLYPHVLQKVREEIKSKGLLCKSNQDNKLDMETLEQLKYTGCVI KETLRLNPPVPGGFRVALKTFELNGYQIPKGWNVIYSICDTHDVADIFTNKEEFNPDRFI VPHPEDASRFSFIPFGGGLRSCVGKEFAKILLKIFTVELARHCDWQLLNGPPTMKTSPTV YPVDNLPARFTHFQGDI >ENSMUSP00000087815.3 pep:known chromosome:GRCm38:15:10568979:10713540:-1 gene:ENSMUSG00000022246.13 transcript:ENSMUST00000090339.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rai14 description:retinoic acid induced 14 [Source:MGI Symbol;Acc:MGI:1922896] MKSLKAKFRKSDTNEWNKNDDRLLQAVENGDAEKVASLLGKKGASATKHDSEGKTAFHLA AAKGHVECLKVMVTHGVDVTAQDSSGHSALHVAAKNGHPECIRKLLQYKSPAENIDNSGK TALHYAAAQGCLQAVQLLCEHKSPINLKDLDGNIPLLVAVQNGHSEACHFLLDHGADVNS RDKNGRTALMLACETGSSNTVDALIKKGADLSLVDSLGHNALHYSKLSENAGIQNLLLSK ISQDADLKTPTKPKQHDQVSKISSERSGTPKKRKAPPPPISPTQLSDVSSPRSITSTPLS GKESVFFAEAPFKAEISSIQENKDRLSDSTAGADSLLDISSEADQQDLLVLLQAKVASLT LHNKELQDKLQAKSPKDKEAEADLSFQSFHSTQTDLAPSPGKASDIPSSDAKSSPPVEHP AGTSTTDNDVIIRQLQDSLHDLQKRLESSEAEKKQLQDELQSQRTDTLCLNNTEISENGS DLSQKLKETQSKYEEAMKEVLSVQKQMKLGLLSQESADGYSHLREAPADEDIDTLKQDLQ KAVEESARNKERVRELETKLAEKEQAEATKPPAEACEELRSSYCSVIENMNKEKAFLFEK YQQAQEEIMKLKDTLKSQMPQEAPDDSGDMKEAMNRMIDELNKQVSELSQLYREAQAELE DYRKRKSLEDAAEYIHKAEHERLMHVSNLSRAKSEEALSEMKSQYSKVLNELTQLKQLVD AHKENSVSITEHLQVITTLRTTAKEMEEKISALTGHLANKEAEVAKLEKQLAEEKAAVSD AMVPKSSYEKLQASLESEVNALATKLKESVREREKAHSEVAQVRSEVSQARREKDNIQTL LKAKEQEVTALVQKFQRAQEELAGMRRCSETSSKLEEDKDEKINEMTREVLKLKEALNSL SQLSYSTSSSKRQSQQLDLLQQQVKQLQNQLAECKKHHQEVISVYRMHLLYAVQGQMDED VQKVLKQILTMCKNQSQKK >ENSMUSP00000126325.1 pep:known chromosome:GRCm38:15:10568979:10714631:-1 gene:ENSMUSG00000022246.13 transcript:ENSMUST00000169385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rai14 description:retinoic acid induced 14 [Source:MGI Symbol;Acc:MGI:1922896] MKSLKAKFRKSDTNEWNKNDDRLLQAVENGDAEKVASLLGKKGASATKHDSEGKTAFHLA AAKGHVECLKVMVTHGVDVTAQDSSGHSALHVAAKNGHPECIRKLLQYKSPAENIDNSGK TALHYAAAQGCLQAVQLLCEHKSPINLKDLDGNIPLLVAVQNGHSEACHFLLDHGADVNS RDKNGRTALMLACETGSSNTVDALIKKGADLSLVDSLGHNALHYSKLSENAGIQNLLLSK ISQDADLKTPTKPKQHDQVSKISSERSGTPKKRKAPPPPISPTQLSDVSSPRSITSTPLS GKESVFFAEAPFKAEISSIQENKDRLSDSTAGADSLLDISSEADQQDLLVLLQAKVASLT LHNKELQDKLQAKSPKDKEAEADLSFQSFHSTQTDLAPSPGKASDIPSSDAKSSPPVEHP AGTSTTDNDVIIRQLQDSLHDLQKRLESSEAEKKQLQDELQSQRTDTLCLNNTEISENGS DLSQKLKETQSKYEEAMKEVLSVQKQMKLGLLSQESADGYSHLREAPADEDIDTLKQDLQ KAVEESARNKERVRELETKLAEKEQAEATKPPAEACEELRSSYCSVIENMNKEKAFLFEK YQQAQEEIMKLKDTLKSQMPQEAPDDSGDMKEAMNRMIDELNKQVSELSQLYREAQAELE DYRKRKSLEDAAEYIHKAEHERLMHVSNLSRAKSEEALSEMKSQYSKVLNELTQLKQLVD AHKENSVSITEHLQVITTLRTTAKEMEEKISALTGHLANKEAEVAKLEKQLAEEKAAVSD AMVPKSSYEKLQASLESEVNALATKLKESVREREKAHSEVAQVRSEVSQARREKDNIQTL LKAKEQEVTALVQKFQRAQEELAGMRRCSETSSKLEEDKDEKINEMTREVLKLKEALNSL SQLSYSTSSSKRQSQQLDLLQQQVKQLQNQLAECKKHHQEVISVYRMHLLYAVQGQMDED VQKVLKQILTMCKNQSQKK >ENSMUSP00000046525.3 pep:known chromosome:GRCm38:14:65919395:65953744:-1 gene:ENSMUSG00000034463.3 transcript:ENSMUST00000042046.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scara3 description:scavenger receptor class A, member 3 [Source:MGI Symbol;Acc:MGI:2444418] MKVRSAGSDRDVLCVTEEDLAGEDEDMPSFPCTQEGRAGPRCNRCQKNLSLHTSVRILYL FLTLLLVAVAVLASLVFRKVDSLSEDISLAQSIYNKKLVSMQENLQGLDPKALINCSFCR EAEQLGQEIRKVQEELEGLQKMLLAQEVQLDQTSQTHELLSTRSSQISQEMGSCSFSIHQ VNQSLGLFLAQVRGWQATTAGMDITLKDLTQECYDVKAAVHQINFTVGQTAEWIHGIQRK TDEETLTLQKIVTDWQNYTRLFGGLRTTSAKTGEIVKTIQTTLGASSQRISQNSESMHDL VLQVMGLQLQLDNISSFLDDHEENMHDLQYHTRYAQNRTVERFESLEGRMASHEIEIGTI FTNINATDNHVHSMLKYLDDVRLSCTLGFHTHAEELYYLNKSVSLMLGTTDLLRERFSLL SARLDFNVRNLSMIMEEMKAVDTHHGEILRNVTVIRGVPGPPGPRGLKGDTGVKGPVGSR GPKGDPGNLGPPGPQGPQGQPGEPGPVGERGPAGPRGFPGLKGSKGSFGTGGPRGQPGPK GDVGPLGPEGPPGSPGPSGPQGKPGISGKTGSPGQRGATGPKGEPGIQGPPGLPGPPGPP GNQSPY >ENSMUSP00000076964.6 pep:known chromosome:GRCm38:8:111643443:111671008:1 gene:ENSMUSG00000055835.9 transcript:ENSMUST00000077791.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp1 description:zinc finger protein 1 [Source:MGI Symbol;Acc:MGI:99154] MMGSQGSVSFTDVTVDFTQEEWEQLDPSQRILYMDVMLENYSNLLSVEVWKADGQVERDP RDLQRQVGSLTTIKNQPPTEERGSRFGKTLTLNTDFVSLRQVPYKYDLYEKTLKYNSDLL SSRNCVRKKGDGCGGFGEPLLYLKQEKPHAGLEYSEYNGNGRALSHKDAIFKHRKIKSLV QPFVCNYCDKTFSFKSLLVSHKRIHTGEKPYECDVCQKTFSHKANLIKHQRIHTGEKPFE CPECGKAFTHQSNLIVHQRAHMEKKPYGCSECGKTFAQKFELTTHQRIHTGERPYECNEC AKTFFKKSNLIIHQKIHTGEKRYECSECGKSFIQNSQLIIHRRTHTGEKPYECTECGKTF SQRSTLRLHLRIHTGEKPYECAECGKAFSRKSRLSVHQRVHMA >ENSMUSP00000052788.2 pep:known chromosome:GRCm38:19:41845978:41848132:-1 gene:ENSMUSG00000047604.2 transcript:ENSMUST00000059231.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frat2 description:frequently rearranged in advanced T cell lymphomas 2 [Source:MGI Symbol;Acc:MGI:2673967] MPCRREEEEEAGDEAEGEEDDDSFLLLQQSVTLGGSTDVDRLIVQIGETLQLDTAHDRPA SPCAAPGPPPAPPRVLAALSADKTGTPARRLLRPTGSAETGDPAPPGAVRCVLGERGRVR GRSAPYCVAEIAPGASALPGPGRRGWLPGSVASHRIQQRRWTAGGARAADDDPHRLLQQL VLSGNLIKEAVRRLQRAVAAVAATSPASAPGSGGGRSGPDSVTLQPSGAWL >ENSMUSP00000082548.4 pep:known chromosome:GRCm38:4:156199424:156200818:-1 gene:ENSMUSG00000035692.6 transcript:ENSMUST00000085425.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isg15 description:ISG15 ubiquitin-like modifier [Source:MGI Symbol;Acc:MGI:1855694] MAWDLKVKMLGGNDFLVSVTNSMTVSELKKQIAQKIGVPAFQQRLAHQTAVLQDGLTLSS LGLGPSSTVMLVVQNCSEPLSILVRNERGHSNIYEVFLTQTVDTLKKKVSQREQVHEDQF WLSFEGRPMEDKELLGEYGLKPQCTVIKHLRLRGGGGDQCA >ENSMUSP00000087661.4 pep:known chromosome:GRCm38:12:116275386:116275612:1 gene:ENSMUSG00000078984.1 transcript:ENSMUST00000090195.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11027 description:predicted gene 11027 [Source:MGI Symbol;Acc:MGI:3779250] ERKKERKKERKKEKREREREREREREREREREREREREREREREREREREREREREKMHY NPILWR >ENSMUSP00000010974.7 pep:known chromosome:GRCm38:15:79516408:79527739:1 gene:ENSMUSG00000010830.7 transcript:ENSMUST00000010974.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdelr3 description:KDEL (Lys-Asp-Glu-Leu) endoplasmic reticulum protein retention receptor 3 [Source:MGI Symbol;Acc:MGI:2145953] MNVFRILGDLSHLLAMILLLVKIWRSKSCAGISGKSQILFALVFTTRYLDLFSNFISIYN TVMKVVFLLCAYVTVYMIYWKFRKTFDIENDTFRLEFLLVPVTGLSFLVNYSYTPMEVLW TFSIYLESVAILPQLFMISKTGEAETITTHYLFFLGLYRLLYLANWIRRYQTENFYDQIS VVSGVVQTIFYCDFFYLYVTKVLKGKKLSLPVPV >ENSMUSP00000039808.6 pep:known chromosome:GRCm38:17:23993535:23998100:-1 gene:ENSMUSG00000045027.6 transcript:ENSMUST00000041649.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss22 description:protease, serine 22 [Source:MGI Symbol;Acc:MGI:1918085] MMISRPPPALGGDQFSILILLVLLTSTAPISAATIRVSPDCGKPQQLNRIVGGEDSMDAQ WPWIVSILKNGSHHCAGSLLTNRWVVTAAHCFKSNMDKPSLFSVLLGAWKLGSPGPRSQK VGIAWVLPHPRYSWKEGTHADIALVRLEHSIQFSERILPICLPDSSVRLPPKTDCWIAGW GSIQDGVPLPHPQTLQKLKVPIIDSELCKSLYWRGAGQEAITEGMLCAGYLEGERDACLG DSGGPLMCQVDDHWLLTGIISWGEGCAERNRPGVYTSLLAHRSWVQRIVQGVQLRGYLAD SGDTGSS >ENSMUSP00000136028.1 pep:known_by_projection scaffold:GRCm38:JH584304.1:52190:59667:-1 gene:ENSMUSG00000095041.7 transcript:ENSMUST00000179505.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PISD description:phosphatidylserine decarboxylase [Source:HGNC Symbol;Acc:HGNC:8999] MCQSEKHPGPELQAAEKWLYFPQLALWRRLGQLSCMSRPALNLRSWLLTILHYLLPFLVL GPHSQVGWRPLSRVSLYKTVPTRLLSRACGRLNQVELPYWLWRPVYSLYIWTFGVNMTEA AVEDLHHYHNLSEFFWRKLKPQAQPV >ENSMUSP00000136649.1 pep:known_by_projection scaffold:GRCm38:JH584304.1:52691:59690:-1 gene:ENSMUSG00000095041.7 transcript:ENSMUST00000178343.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PISD description:phosphatidylserine decarboxylase [Source:HGNC Symbol;Acc:HGNC:8999] MCQSEKHPGPELQAAEKWLYFPQLALWRRLGQLSCMSRPALNLRSWLLTILHYLLPFLVL GPHSQVGWRPLSRVSLYKTVPTRLLSRACGRLNQVELPYWLWRPVYSLYIWTFGVNMTEA AVEDLHHYHNLSEFFWRKLKPQAQPV >ENSMUSP00000000687.7 pep:known chromosome:GRCm38:17:83831356:83846790:-1 gene:ENSMUSG00000000673.8 transcript:ENSMUST00000000687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haao description:3-hydroxyanthranilate 3,4-dioxygenase [Source:MGI Symbol;Acc:MGI:1349444] MERRVRVKSWVEENRASFQPPVCNKLMHQEQLKIMFVGGPNTRKDYHIEEGEEVFYQLEG DMILRVLEQGQHRDVPIRQGEIFLLPARVPHSPQRFANTMGLVIERRRLESELDGLRYYV GDTEDVLFEKWFHCKDLGTQLAPIIQEFFHSEQYRTGKPNPDQLLKELPFPLNTRSIMKP MSLKAWLDGHSRELQAGTSLSLFGDSYETQVIAHGQGSSKGPRQDVDVWLWQQEGSSKVT MGGQCIALAPDDSLLVPAGTSYVWERAQGSVALSVTQDPARKKPWW >ENSMUSP00000033275.2 pep:known chromosome:GRCm38:7:133587024:133602115:-1 gene:ENSMUSG00000030976.2 transcript:ENSMUST00000033275.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex36 description:testis expressed 36 [Source:MGI Symbol;Acc:MGI:1921058] MAKGRRFNPSLNNDGRWFTHTGLTEKTPESITCTSLKETHCPYLSEQVERRLPPIYKIRE KQAANKNFPFSVHDNRHSFQNSGYYFDSGLGRKKFTPDQKQHISRNFNLWACDYIPSNFD GLSNNQTSYVPKKAVVISTFRRFPRYYDEKWSDYKFAYNQNCAKFLGYQPNGELAVDPKV VSPQACAGSLNLPEALDEVCDVIPSGGHKDSDPTLPHDC >ENSMUSP00000043411.8 pep:known chromosome:GRCm38:9:55549883:55919605:-1 gene:ENSMUSG00000034007.9 transcript:ENSMUST00000037408.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scaper description:S phase cyclin A-associated protein in the ER [Source:MGI Symbol;Acc:MGI:1925976] MMASFQRSNSHDKVRRIVAEEGRTARNLIAWSVPLESKDDDGKPKCQTGGKSKRTIQGTH KTTKQNTAVECKITSTTGEKHFDKSPTKTRHPRKIDLRARYWAFLFDNLRRAVDEIYVTC ESDQSVVECKEVLMMLDYYVRDFKALIDWIQLQEKLEKTDAQSRPTSLAWEVKKMSPGRH VIQSPSTDRMNVTSTARRSLNFGSLPGAVTAPCLAPTGVSWADKVKAHHTGSAPPEATPA QSCAPMTVQKTSRKNERKDAEGWETVQRGRPVRSRSTAVIPKVSLVTETLRSKDDSDKEN ICLLPEESIQKGKLEDRCSTVESLSKDSLLHSSDHPLSERTQFTVCILDDVKNSGSSQDS SVHTSEIPAIDSDAVCVAPQNTTALPTDRVPAETTGMVTEMTDPSDISNVSAADWSMAEV LAKKEELADRLEKANEEAIASAIAEEEQLTREIEAEENNDINIETDNDSDFSASMGSGSL SFCGVSLDWNDVLADYEARESWRQNTSWGDIVEEEPARLPGHGIHMHEKLSSPSRKRTIA ESKKKYEEKHMKAQQLREKLREEKTLKLQKLLEREKDVRKWKEELLDQRRRMMEEKLLHA EFKREVQLQAIVKKAQEEEAKVNEIAFINTLEAQNKRHDVLSKLKEYEQRLNELQEERQR RQEEKQARDEAVQERKRALEAERQARVEELLMKRKEQEARIEQQRQEKEKAREDAARERA RDREERLAALTAAQQEAMEELQKKIQLKHDESIRRHMEQIEQRKEKAAELSSGRHASTDY APKLTPYERKKQCSLCNVLIASEVYLFSHIKGKKHQQAVRENSSIQGRELSDEEVEHLSL KKYVVDIVIESAAPPEPVKDGEERQKNKKKAKKIKARMNIRAKEYENLVETKNSGSESPY KAKLQRLTKDLVKQLQVQDSGSWVNNKASALDRTLGEIARILEKENVPDQISFQVAGGLT ALENILQVVTPATNMNTVSRIPPKSLCNAINVYSLACNNCSENCTDVLFSNKITFLMDLL IHQLTVYVPDENNAVLGRNTNKQVFEGLTTGLLRAGAVVFSCLIANRPDGNSRPATPKIS TQEMKNKPSQGDAFNSRVQDLISYVVNMGLIDRLHGCFLSVQGPVDENPKMATFLQHAAG FLRGMCMLCFAVTGRSSSIFDNNHQDPTGLTAALQATDLAGVLHMLYCILFHGTISDAGT SPKDSYTQNTIQVAIQSLRFFNSFAILDLSAFQSVVGAEGLSLAFRHMASSLLGHCSQVS CESLLHEVIVCVGYFTVNHPDNQVIVQSGRHPTVLQKLCQLPFQYFSDPRLIKVLFPSLI TACHNNHQNKLILEQEMSCVLLATFIQDFAQTPGHVDNQSSSLKGKFQNPGNYLELANRF PQQAWEEARQFFLKKEKK >ENSMUSP00000070906.5 pep:known chromosome:GRCm38:13:69702835:69739897:-1 gene:ENSMUSG00000052981.5 transcript:ENSMUST00000065118.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2ql1 description:ubiquitin-conjugating enzyme E2Q family-like 1 [Source:MGI Symbol;Acc:MGI:1924230] MATLLRKIGLIRLHNRDTEDPKHHHNHRGGGGGQQSASLRGKGGGKSSGHKQQQQQQHPG GSGDASPGPGKGKSKRAAELAARDKQPQPAAGAAGAAGAGGPRERAAGARAGPGPAVAAA AAGGSLVPAARQQHCTQVRSRRLMKELQDIARLSDRFISVELVNENLFDWNVKLHQVDKD SVLWQDMKETNTEFILLNLTFPDNFPFSPPFMRVLSPRLENGYVLDGGAICMELLTPRGW SSAYTVEAVMRQFAASLVKGQGRICRKAGKSKKSFSRKEAEATFKSLVKTHEKYGWVTPP VSDG >ENSMUSP00000053802.3 pep:known chromosome:GRCm38:8:25980604:25994121:-1 gene:ENSMUSG00000037251.4 transcript:ENSMUST00000061850.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomk description:protein-O-mannose kinase [Source:MGI Symbol;Acc:MGI:1921903] MGQQHGTRNGLTHRELPRGVGLLLAMALMNVALYLCLDQLFISPGRSTADSRRCPPGYFR MGRMRNCSRWLSCEELRTEVRQLKRVGEGAVKRVFLSEWKEHKVALSRLTRLEMKEDFLH GLQMLKSLQSEHVVTLVGYCEEDGTILTEYHPLGSLSNLEETLNLSKYQDVNTWQHRLQL AMEYVSIINYLHHSPLGTRVMCDSNDLPKTLSQYLLTSNFSIVANDLDALPLVDHDSGVL IKCGHRELHGDFVAPEQLWPYGEDTPFQDDLMPSYNEKVDIWKIPDVSSFLLGHVEGSDM VRFHLFDIHKACKSQIPAERPTAQNVLDAYQRVFHSLRDTVMSQTKEML >ENSMUSP00000050183.7 pep:known chromosome:GRCm38:9:4309743:4331721:1 gene:ENSMUSG00000025893.7 transcript:ENSMUST00000049648.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd3 description:kelch repeat and BTB (POZ) domain containing 3 [Source:MGI Symbol;Acc:MGI:1916399] MDNSYNLNEQSSWNGISSEKKKNYLASEDHGQKILSVLQSFREQNVFYDFKIIMKEEIIP CHRCVLAACSDFFRAMFEVNMKERDDGSVTITNLSSKAVKAFLDYAYTGKTRITDDNVEM FFQLSSFLQVSFLSKACSDFLIKSISLVNCLHLLSLSDSYGSAQLFSHTLYFVQHHFHLL YKSSDFLEMNFGVLQKCLESDELNVPEEEMVLKAVLTWIKYNLESRQKHLPHLITKVRLH QLSEDTLQDYLLNEEYLLKSTNCFDIIVDAIKCVQGSSGLFPDARPSTTEKYIFIHKTEE NGENQYTFCYNIKTDSWKILPQSHLIDLPGSSLSSYGEKIFLTGGCKGKCCRRIRLHIAQ SYHDATDQTWCYCPAKNEFFCVSAMKTPRTMHTSVMALNRLFVIGGKTRGSQDIKSLLDV ESYNPLSREWTSVSPLPRGIYYPEASACQNIIYVLGSEVEIADAFNPSLDCFFKYNATTD QWSELVAEFGQFFHATLIKAVPVNCTLYICDLSTYKVYSFCPDTCVWKGEGSFECAGFNA GAIGIEDKIYILGGDYAPDEITDEVQVYHSSRSEWEEVSPMPRALTEFYCQVIQFNKYRD PWYSNHF >ENSMUSP00000022098.8 pep:known chromosome:GRCm38:13:73331009:73332175:1 gene:ENSMUSG00000021607.8 transcript:ENSMUST00000022098.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl36 description:mitochondrial ribosomal protein L36 [Source:MGI Symbol;Acc:MGI:2137228] MAALLVRSVVASVVDPFLHLSRLAVKPRVFSSFLLGTLPRAKPCAEVRSVLCGRPLPTLL PSLGFKTKGVIKKRCKDCYKVKRRGRWFILCKTNPKHKQRQM >ENSMUSP00000046103.8 pep:known chromosome:GRCm38:8:125995102:126030683:1 gene:ENSMUSG00000033998.8 transcript:ENSMUST00000046765.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk1 description:potassium channel, subfamily K, member 1 [Source:MGI Symbol;Acc:MGI:109322] MLQSLAGSSCVRLVERHRSAWCFGFLVLGYLLYLVFGAVVFSSVELPYEDLLRQELRKLK RRFLEEHECLSEPQLEQFLGRVLEASNYGVSVLSNASGNWNWDFTSALFFASTVLSTTGY GHTVPLSDGGKAFCIIYSVIGIPFTLLFLTAVVQRVTVHVTRRPVLYFHIRWGFSKQVVA IVHAVLLGFVTVSCFFFIPAAVFSVLEDDWNFLESFYFCFISLSTIGLGDYVPGEGYNQK FRELYKIGITCYLLLGLIAMLVVLETFCELHELKKFRKMFYVKKDKDEDLVHIMEHDQLS FSSVTEQVAGLKEEQKQSEPFVASQSPPYEDGSADH >ENSMUSP00000096441.2 pep:known chromosome:GRCm38:8:31150316:31164702:1 gene:ENSMUSG00000031577.9 transcript:ENSMUST00000098842.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tti2 description:TELO2 interacting protein 2 [Source:MGI Symbol;Acc:MGI:2384576] MKLDSAEEKSGVGCSLPAEGSPPALEPAFSKILNRLSRPKSSGQGGARNAALKDLGALIE AAEGDRFFEGSGSGGSLRGMPEILGQVVRALEKFAAPEEKADGVEEHPEVPEKATEVGSL FLKLLGKVEAAKSSPDCPAWKTGLRHMSGPVYIFAITHRLKQPWTSPASQHVAGEVLSLL LRVTECSSVAGFLCGENEDDRGRFAVVLGLLKPHLNKETWKKNPAVKHVFSWTLQQVTQP WLNQHLEKILPPSLLISDDYQTENKILGVQCLHHIVVTVPAADLLQYNRAQVLYHALFNH LYMPEHHLIQAVLLCLLDLFPVLEKALHWKGDTARVTTHCHEVLQLILTHMEPEHRLLLR RTYARHLPAFVKRLGILTVRHLKRLEQVILGYLEVYDEPEDETRLKILETLKLVMQYTWP RIPCRVVVLLKALLKLICDISRDTIPTTEAAKSTMLQEATDCLILLDHCSQGQVKGLLAK IAVSCEDSTVVSCIRKVQQGSADSPGDDTEGD >ENSMUSP00000130201.2 pep:known chromosome:GRCm38:9:113812586:113919697:1 gene:ENSMUSG00000033392.15 transcript:ENSMUST00000166734.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp2 description:CLIP associating protein 2 [Source:MGI Symbol;Acc:MGI:1923749] MRRLICKRICDYKSFDDEESVDGNRPSSAASAFKVPAPKTPGNPVSSARKPGSAGGPKVG GPSKEGGAGAVDEDDFIKAFTDVPSVQIYSSRELEETLNKIREILSDDKHDWDQRANALK KIRSLLVAGAAQYDCFFQHLRLLDGALKLSAKDLRSQVVREACITVAHLSTVLGNKFDHG AEAIVPTLFNLVPNSAKVMATSGCAAIRFIIRHTHVPRLIPLITSNCTSKSVPVRRRSFE FLDLLLQEWQTHSLERHAAVLVETIKKGIHDADAEARVEARKTYMGLRNHFPGEAETLYN SLEPSYQKSLQTYLKSSGSVASLPQSDRSSSSSQESLNRPFSSKWSTANPSTVAGRVSVG GSKANPLPGSLQRSRSDIDVNAAAGAKAHHAAGQAVRSGRLGAGALNPGSYASLEDTSDK MDGTASDDGRVRAKLSTPLVAVGNAKTDSRGRSRTKMVSQSQPGSRSGSPGRVLTTTALS TVSSGAQRVLVNSASAQKRSKIPRSQGCSREASPSRLSVARSSRIPRPSVSQGCSREASR ESSRDTSPVRSFQPLGPGYGISQSSRLSSSVSAMRVLNTGSDVEEAVADALLLGDIRTKK KPARRRYESYGMHSDDDANSDASSACSERSYSSRNGSIPTYMRQTEDVAEVLNRCASSNW SERKEGLLGLQNLLKNQRTLSRVELKRLCEIFTRMFADPHGKRVFSMFLETLVDFIQVHK DDLQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDITRESFPNDLQFNILMRFTVDQTQT PSLKVKVAILKYIETLAKQMDPRDFTNSSETRLAVSRVITWTTEPKSSDVRKAAQSVLIS LFELNTPEFTMLLGALPKTFQDGATKLLHNHLRNTGNGTQSSMGSPLTRPTPRSPANWSS PLTSPTNTSQNTLSPSAFDYDTENMNSEDIYSSLRGVTEAIQNFSFRSQEDMSEPVRRDP KKEDGDTICSGPGMSDPRAGGDAADGSQPALDNKASLLHSMPLHSSPRSRDYNPYNYSDS ISPFNKSALKEAMFDDDADQFPDDLSLDHSDLVAELLKELSNHNERIEERKIALYELMKL TQEESFSVWDEHFKTILLLLLETLGDKEPTIRALALKVLKEILRHQPARFKNYAELTVMK TLEAHKDPHKEVVRSAEEAASVLATSISPEQCIKVLCPIIQTADYPINLAAIKMQTKVIE RVSKETLNMLLPEIMPGLIQGYDNSESSVRKACVFCLVAVHAVIGDELKPHLSQLTGSKM KLLNLYIKRAQTGSAGADPTADVSGQS >ENSMUSP00000107469.2 pep:known chromosome:GRCm38:9:113812586:113919697:1 gene:ENSMUSG00000033392.15 transcript:ENSMUST00000111838.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp2 description:CLIP associating protein 2 [Source:MGI Symbol;Acc:MGI:1923749] MRRLICKRICDYKSFDDEESVDGNRPSSAASAFKVPAPKTPGNPVSSARKPGSAGGPKVG GPSKEGGAGAVDEDDFIKAFTDVPSVQIYSSRELEETLNKIREILSDDKHDWDQRANALK KIRSLLVAGAAQYDCFFQHLRLLDGALKLSAKDLRSQVVREACITVAHLSTVLGNKFDHG AEAIVPTLFNLVPNSAKVMATSGCAAIRFIIRHTHVPRLIPLITSNCTSKSVPVRRRSFE FLDLLLQEWQTHSLERHAAVLVETIKKGIHDADAEARVEARKTYMGLRNHFPGEAETLYN SLEPSYQKSLQTYLKSSGSVASLPQSDRSSSSSQESLNRPFSSKWSTANPSTVAGRVSVG GSKANPLPGSLQRSRSDIDVNAAAGAKAHHAAGQAVRSGRLGAGALNPGSYASLEDTSDK MDGTASDDGRVRAKLSTPLVAVGNAKTDSRGRSRTKMVSQSQPGSRSGSPGRVLTTTALS TVSSGAQRVLVNSASAQKRSKIPRSQGCSREASPSRLSVARSSRIPRPSVSQGCSREASR ESSRDTSPVRSFQPLGPGYGISQSSRLSSSVSAMRVLNTGSDVEEAVADALLLGDIRTKK KPARRRYESYGMHSDDDANSDASSACSERSYSSRNGSIPTYMRQTEDVAEVLNRCASSNW SERKEGLLGLQNLLKNQRTLSRVELKRLCEIFTRMFADPHGKVFSMFLETLVDFIQVHKD DLQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDITRESFPNDLQFNILMRFTVDQTQTP SLKVKVAILKYIETLAKQMDPRDFTNSSETRLAVSRVITWTTEPKSSDVRKAAQSVLISL FELNTPEFTMLLGALPKTFQDGATKLLHNHLRNTGNGTQSSMGSPLTRPTPRSPANWSSP LTSPTNTSQNTLSPSAFDYDTENMNSEDIYSSLRGVTEAIQNFSFRSQEDMSEPVRRDPK KEDGDTICSGPGMSDPRAGGDAADGSQPALDNKASLLHSMPLHSSPRSRDYNPYNYSDSI SPFNKSALKEAMFDDDADQFPDDLSLDHSDLVAELLKELSNHNERIEERKIALYELMKLT QEESFSVWDEHFKTILLLLLETLGDKEPTIRALALKVLKEILRHQPARFKNYAELTVMKT LEAHKDPHKEVVRSAEEAASVLATSISPEQCIKVLCPIIQTADYPINLAAIKMQTKVIER VSKETLNMLLPEIMPGLIQGYDNSESSVRKACVFCLVAVHAVIGDELKPHLSQLTGSKMK LLNLYIKRAQTGSAGADPTADVSGQS >ENSMUSP00000128460.2 pep:known chromosome:GRCm38:9:113812600:113918136:1 gene:ENSMUSG00000033392.15 transcript:ENSMUST00000163895.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clasp2 description:CLIP associating protein 2 [Source:MGI Symbol;Acc:MGI:1923749] MRRLICKRICDYKSFDDEESVDGNRPSSAASAFKVPAPKTPGNPVSSARKPGSAGGPKVG GPSKEGGAGAVDEDDFIKAFTDVPSVQIYSSRELEETLNKIREILSDDKHDWDQRANALK KIRSLLVAGAAQYDCFFQHLRLLDGALKLSAKDLRSQVVREACITVAHLSTVLGNKFDHG AEAIVPTLFNLVPNSAKVMATSGCAAIRFIIRHTHVPRLIPLITSNCTSKSVPVRRRSFE FLDLLLQEWQTHSLERHAAVLVETIKKGIHDADAEARVEARKTYMGLRNHFPGEAETLYN SLEPSYQKSLQTYLKSSGSVASLPQSDRSSSSSQESLNRPFSSKWSTANPSTVAGRVSVG GSKANPLPGSLQRSRSDIDVNAAAGAKAHHAAGQAVRSGRLGAGALNPGSYASLEDTSDK MDGTASDDGRVRAKLSTPLVAVGNAKTDSRGRSRTKMVSQSQPGSRSGSPGRVLTTTALS TVSSGAQRVLVNSASAQKRSKIPRSQGCSREASPSRLSVARSSRIPRPSVSQGCSREASR ESSRDTSPVRSFQPLASRHHSRSTGALYAPDVCGASGPGYGISQSSRLSSSVSAMRVLNT GSDVEEAVADALLLGDIRTKKKPARRRYESYGMHSDDDANSDASSACSERSYSSRNGSIP TYMRQTEDVAEVLNRCASSNWSERKEGLLGLQNLLKNQRTLSRVELKRLCEIFTRMFADP HGKVFSMFLETLVDFIQVHKDDLQDWLFVLLTQLLKKMGADLLGSVQAKVQKALDITRES FPNDLQFNILMRFTVDQTQTPSLKVKVAILKYIETLAKQMDPRDFTNSSETRLAVSRVIT WTTEPKSSDVRKAAQSVLISLFELNTPEFTMLLGALPKTFQDGATKLLHNHLRNTGNGTQ SSMGSPLTRPTPRSPANWSSPLTSPTNTSQNTLSPSAFDYDTENMNSEDIYSSLRGVTEA IQNFSFRSQEDMSEPVRRDPKKEDGDTICSGPGMSDPRAGGDAADGSQPALDNKASLLHS MPLHSSPRSRDYNPYNYSDSISPFNKSALKEAMFDDDADQFPDDLSLDHSDLVAELLKEL SNHNERIEERKIALYELMKLTQEESFSVWDEHFKTILLLLLETLGDKEPTIRALALKVLK EILRHQPARFKNYAELTVMKTLEAHKDPHKEVVRSAEEAASVLATSISPEQCIKVLCPII QTADYPINLAAIKMQTKVIERVSKETLNMLLPEIMPGLIQGYDNSESSVRKACVFCLVAV HAVIGDELKPHLSQLTGSKMKLLNLYIKRAQTGSAGADPTADVSGQS >ENSMUSP00000019382.9 pep:known chromosome:GRCm38:8:83571700:83594491:-1 gene:ENSMUSG00000031708.15 transcript:ENSMUST00000019382.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tecr description:trans-2,3-enoyl-CoA reductase [Source:MGI Symbol;Acc:MGI:1915408] MKHYEVEIRDAKTREKLCFLDKVEPQATISEIKTLFTKTHPQWYPARQSLRLDPKGKSLK DEDVLQKLPVGTTATLYFRDLGAQISWVTVFLTEYAGPLFIYLLFYFRVPFIYGRKYDFT SSRHTVVHLACMCHSFHYIKRLLETLFVHRFSHGTMPLRNIFKNCTYYWGFAAWMAYYIN HPLYTPPTYGVQQVKLALAVFVICQLGNFSIHMALRDLRPAGSKTRKIPYPTKNPFTWLF LLVSCPNYTYEVGSWIGFAILTQCVPVALFSLVGFTQMTIWAKGKHRSYLKEFRDYPPLR MPIIPFLL >ENSMUSP00000131389.1 pep:known chromosome:GRCm38:8:83571700:83594491:-1 gene:ENSMUSG00000031708.15 transcript:ENSMUST00000165740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tecr description:trans-2,3-enoyl-CoA reductase [Source:MGI Symbol;Acc:MGI:1915408] MKHYEVEIRDAKTREKLCFLDKVEPQATISEIKTLFTKTQGKSLKDEDVLQKLPVGTTAT LYFRDLGAQISWVTVFLTEYAGPLFIYLLFYFRVPFIYGRKYDFTSSRHTVVHLACMCHS FHYIKRLLETLFVHRFSHGTMPLRNIFKNCTYYWGFAAWMAYYINHPLYTPPTYGVQQVK LALAVFVICQLGNFSIHMALRDLRPAGSKTRKIPYPTKNPFTWLFLLVSCPNYTYEVGSW IGFAILTQCVPVALFSLVGFTQMTIWAKGKHRSYLKEFRDYPPLRMPIIPFLL >ENSMUSP00000128329.1 pep:known chromosome:GRCm38:8:83571700:83594429:-1 gene:ENSMUSG00000031708.15 transcript:ENSMUST00000163837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tecr description:trans-2,3-enoyl-CoA reductase [Source:MGI Symbol;Acc:MGI:1915408] MKHYEVEIRDAKTREKLCFLDKVEPQATISEIKTLFTKTRESPDTPIPAPDIRVPPLPTH LPPTPASPLDVTWARMPPHAFPSSACCLSAHWNNPQWYPARQSLRLDPKGKSLKDEDVLQ KLPVGTTATLYFRDLGAQISWVTVFLTEYAGPLFIYLLFYFRVPFIYGRKYDFTSSRHTV VHLACMCHSFHYIKRLLETLFVHRFSHGTMPLRNIFKNCTYYWGFAAWMAYYINHPLYTP PTYGVQQVKLALAVFVICQLGNFSIHMALRDLRPAGSKTRKIPYPTKNPFTWLFLLVSCP NYTYEVGSWIGFAILTQCVPVALFSLVGFTQMTIWAKGKHRSYLKEFRDYPPLRMPIIPF LL >ENSMUSP00000129141.1 pep:known chromosome:GRCm38:9:15306214:15312104:1 gene:ENSMUSG00000031939.15 transcript:ENSMUST00000164079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf1d description:TATA box binding protein (Tbp)-associated factor, RNA polymerase I, D [Source:MGI Symbol;Acc:MGI:1922566] MAQSEVNSVCVASDRAGDTGDQSDDSSDGSLFKTQCAPSPIQKQRHPTVKRVTLPASVET DSSSDSSIEPRPLTLKAIFERFKKKKRKKRKKRKYEPKLRPRGRPRGKPSGTRITRRSQI DAKQIKDKGAVFPFLESESGRKPLPWKKILTYEQAVARGFFHHIEKLKYEHHLKECLKQM HAGEDLEKEDLDSRRHKYMDDDGSLSPIEEPLTEDEATNPQAECDIKLVEDSCFIISSEF SRKRNLEQEKIKKESTFSKKAKDATHREKGHRRTLKGNEHVTIEEGKEHR >ENSMUSP00000034415.5 pep:known chromosome:GRCm38:9:15306214:15312104:1 gene:ENSMUSG00000031939.15 transcript:ENSMUST00000034415.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf1d description:TATA box binding protein (Tbp)-associated factor, RNA polymerase I, D [Source:MGI Symbol;Acc:MGI:1922566] MAQSEVNSVCVASDRAGDTGDQSDDSSDGSLFKTQCAPSPIQKQRHPTVKRVTLPASVET DSSSDSSIEPRPLTLKAIFERFKKKKRKKRKKRKYEPKLRPRGRPRGKPSGTRITRRSQI DAKQIKDKGAVFPFLESESGRKPLPWKKILTYEQAVARGFFHHIEKLKYEHHLKECLKQM HAGEDLEKEDLDSRRHKYMDDDGSLSPIEEPLTEDEATNPQAECDIKLVEDSCFIISSEF SRKRNLEQEKIKKESTFSKKAKDATHREKGHRRTLKGNEHVTIEEDSRPQPRPFAHLQSK VMKKGELEYLEVHHLCGLSQPL >ENSMUSP00000034214.6 pep:known chromosome:GRCm38:8:94172618:94173567:1 gene:ENSMUSG00000031762.6 transcript:ENSMUST00000034214.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mt2 description:metallothionein 2 [Source:MGI Symbol;Acc:MGI:97172] MDPNCSCASDGSCSCAGACKCKQCKCTSCKKSCCSCCPVGCAKCSQGCICKEASDKCSCC A >ENSMUSP00000136002.1 pep:known chromosome:GRCm38:7:46098725:46099897:-1 gene:ENSMUSG00000096146.1 transcript:ENSMUST00000180081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj11 description:potassium inwardly rectifying channel, subfamily J, member 11 [Source:MGI Symbol;Acc:MGI:107501] MLSRKGIIPEEYVLTRLAEDPAEPRYRTRERRARFVSKKGNCNVAHKNIREQGRFLQDVF TTLVDLKWPHTLLIFTMSFLCSWLLFAMVWWLIAFAHGDLAPGEGTNVPCVTSIHSFSSA FLFSIEVQVTIGFGGRMVTEECPLAILILIVQNIVGLMINAIMLGCIFMKTAQAHRRAET LIFSKHAVITLRHGRLCFMLRVGDLRKSMIISATIHMQVVRKTTSPEGEVVPLHQVDIPM ENGVGGNGIFLVAPLIIYHVIDSNSPLYDLAPSDLHHHQDLEIIVILEGVVETTGITTQA RTSYLADEILWGQRFVPIVAEEDGRYSVDYSKFGNTIKVPTPLCTARQLDEDRSLLDALT LASSRGPLRKRSVAVAKAKPKFSISPDSLS >ENSMUSP00000096712.2 pep:known chromosome:GRCm38:10:128933813:128958277:1 gene:ENSMUSG00000025348.8 transcript:ENSMUST00000099112.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga7 description:integrin alpha 7 [Source:MGI Symbol;Acc:MGI:102700] MARIPRCDFLRPPGIYYLITSLLAGLFLPPAIAFNLDVMGAIRKEGEPGSLFGFSVALHR QLQPRPQSWLLVGAPQALALPGQQANRTGGLFACPLSLEETDCYRVDIDRGANVQKESKE NQWLGVSVRSQGPGGKIVTCAHRYESRQRVDQALETRDVIGRCFVLSQDLAIRDELDGGE WKFCEGRPQGHEQFGFCQQGTAATFSPDSHYLVFGAPGTYNWKGLLFVTNIDSSDPDQLV YKTLDPADRLTGPAGDLTLNSYLGFSIDSGKGLMRSEELSFVAGAPRANHKGAVVILRKD SASRLIPEVVLSGERLTSGFGYSLAVTDLNNDGWADLIVGAPYFFERQEELGGAVYVYMN QGGHWADISPLRICGSPDSMFGISLAVLGDLNQDGFPDIAVGAPFDGDGKVFIYHGSSLG VVVKPSQVLEGEAVGIKSFGYSLSGGLDVDGNHYPDLLVGSLADTAALFRARPVLHVSQE IFIDPRAIDLEQPNCADGRLVCVDIKICFSYVAVPSSYSPSVALDYMLDGDTDRRLRGQV PRVTFLSRGLDDLRHQSSGTVWLKHQHDRVCGDTVFQLQENVKDKLRAIVVTLSYGLRTP PLGRQAPGQELPTVAPILNAHQPSTQRTEIHFLKQGCGEDKICQSNLQLERYQFCSRISD TEFQALPMDLDGRTALFALSGQPFIGLELTVTNLPSDPARPQADGDDAHEAQLLVTLPAS LRYSGVRALDSVEKPLCLSNDSASHVECELGNPMKRGAQVTFYLILSTSGITIETTELEV KLLLATISEQELDPVSVRAHVFIELPLSISGVATPQQLFFSGEVKGESAMRSERDVGSKV KYEVTVSNQGQSLNTLGSAFLNIMWPHEIANGKWLLYPMRVELEGGQGPGKRGICSPRPN ILQLDVDSRDRRRRELGQPEPQEPPEKVEPSTSWWPVSSAEKRNVTLDCAQGTAKCVVFS CPLYSFDRAAVLHVWGRLWNSTFLEEYMAVKSLEVIVRANITVKSSIKNLLLRDASTVIP VMVYLDPMAVVVEGVPWWVILLAVLAGLLVLALLVLLLWKLGFFKRAKHPEATVPQYHAV KIPREDRQQFKEEKTGTIQRSNWGNSQWEGSDAHPILAADWHPELGPDGHPVPATA >ENSMUSP00000126247.1 pep:known chromosome:GRCm38:5:95106938:95111158:1 gene:ENSMUSG00000092166.1 transcript:ENSMUST00000163216.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7942 description:predicted gene 7942 [Source:MGI Symbol;Acc:MGI:3646599] LFCLSCPTNGHVALDLKKMSVHTPPTLQKLAIQTLVREEALGMSELEEMAHGLFPALFKE AFDGRHIKLIKALVIAWPFHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFQPRRKKLQF LDLRNVHHSFWNIWTDSEDSDYSAEILDEKKALQVRPRYGLRQRLKVTVDLCIRSCLDEA QTWFLKWAQERKGSLYFCCTKMKIWTLPERALRQIFHVFDPEHIMELELNTEWTLIELTH FAPYFGQMRNLRKVFLAPLHKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVH FLRSQMNQVLGCLMTPLKTLSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLM PLRGLLMKVAGTLETLDLQGCRMKDSQLNVLLPVFKQCSQISNINFYNNEFSMPFLKDLL QHTANWSKMNVEQYPAPLECYDEFAQVTVEGFAQLCQDLMDTLRAIRQPKNSSFATDICH TCGERWVFDQVAKLCSCWH >ENSMUSP00000054536.1 pep:known chromosome:GRCm38:9:39510783:39511718:-1 gene:ENSMUSG00000095322.1 transcript:ENSMUST00000051653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr957 description:olfactory receptor 957 [Source:MGI Symbol;Acc:MGI:3030791] MAEGNFSIVTEFILTGLTEKPALQLPLLFLFLGIYVVTVIGNLGMVMLILFSSHLHTPMY FFLSNLSFVDLCQSSVIMPKMLEKFVMVKSVISYAECMAQFYLFDVFAVSECHMLAVMAY DRYVAICNPLLYNVTMSYKVCSWMVVGVYSVGLICATGETVCLLRLLFCKAGDINHYFCD LLPLLEQSCSNTFINEILGLSFSSFNITVPALTILSSYIFIIASILRIPSTEGRSKAFST CSSHILAVAVFFGSLAFMYLQPSSVSSMDQGKVSSVFYTIVVPMLNPLIYSLRNKDVKVA FYKVVGRREFM >ENSMUSP00000025402.7 pep:known chromosome:GRCm38:18:67088336:67226792:1 gene:ENSMUSG00000024524.16 transcript:ENSMUST00000025402.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnal description:guanine nucleotide binding protein, alpha stimulating, olfactory type [Source:MGI Symbol;Acc:MGI:95774] MGLCYSLRPLLFGSPEDTPCAASEPCAEDAQPSAAPAPASIPAPAPVGTLLRRGGGRIVA NARPPGELQSRRRQEQLRAEEREAAKEARKVSRGIDRMLREQKRDLQQTHRLLLLGAGES GKSTIVKQMRILHVNGFNPEEKKQKILDIRKNVKDAIVTIVSAMSTIIPPVPLANPENQF RSDYIKSIAPITDFEYSQEFFDHVKKLWDDEGVKACFERSNEYQLIDCAQYFLERIDSVS LVDYTPTDQDLLRCRVLTSGIFETRFQVDKVNFHMFDVGGQRDERRKWIQCFNDVTAIIY VAACSSYNMVIREDNNTNRLRESLDLFESIWNNRWLRTISIILFLNKQDMLAEKVLAGKS KIEDYFPEYANYTVPEDATPDAGEDPKVTRAKFFIRDLFLRISTATGDGKHYCYPHFTCA VDTENIRRVFNDCRDIIQRMHLKQYELL >ENSMUSP00000075908.7 pep:known chromosome:GRCm38:18:67133826:67226792:1 gene:ENSMUSG00000024524.16 transcript:ENSMUST00000076605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnal description:guanine nucleotide binding protein, alpha stimulating, olfactory type [Source:MGI Symbol;Acc:MGI:95774] MGCLGNSSKTAEDQGVDEKERREANKKIEKQLQKERLAYKATHRLLLLGAGESGKSTIVK QMRILHVNGFNPEEKKQKILDIRKNVKDAIVTIVSAMSTIIPPVPLANPENQFRSDYIKS IAPITDFEYSQEFFDHVKKLWDDEGVKACFERSNEYQLIDCAQYFLERIDSVSLVDYTPT DQDLLRCRVLTSGIFETRFQVDKVNFHMFDVGGQRDERRKWIQCFNDVTAIIYVAACSSY NMVIREDNNTNRLRESLDLFESIWNNRWLRTISIILFLNKQDMLAEKVLAGKSKIEDYFP EYANYTVPEDATPDAGEDPKVTRAKFFIRDLFLRISTATGDGKHYCYPHFTCAVDTENIR RVFNDCRDIIQRMHLKQYELL >ENSMUSP00000064840.6 pep:known chromosome:GRCm38:9:114585878:114640200:-1 gene:ENSMUSG00000056167.6 transcript:ENSMUST00000070117.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot10 description:CCR4-NOT transcription complex, subunit 10 [Source:MGI Symbol;Acc:MGI:1926143] MAADKPADQGAEKHEGAGQSSGVTDQEKELSASALQAFTSGNYDACLQHLACLQDINKDD YKIILNTAVAEFFKNNQTTTDNLRQTLNQLKNQVHSAVEEMDGLDDVENSMLYYNQAVIL YHLRQYTEAISVGEKLYQFIEPFEEKFAQAVCFLLVDLYILTHQAEKALHLLAVLEKMIS QGSGGKNGKNETGNNSSKDGSNPKAESAALIEAAKSKIHQYKVRGYIQMKSLKACKREIK SVMNTAGNSAPSLFLKSNFEYLRGNYRKAVKLLNSSNIAEHPGFMKTGECLRCMFWNNLG CIHFAMSKHNLGIFYFKKALQENDNVCAQLSAGGTDPGKKFSGRPMCTLLTNKRYELLYN CGIQLLHVGRPLAAFECLIEAVQVYHANPRLWLRLAECCIAANKGTSEQETKGLPTKKGI VQSIVGQGYHRKIVLASQSIQNTVYNDGQSSAIPVASVEFAAICLRNALLLLPEEQQDPK QENGSKSSSQLGGNTESSESSETCSSKSHDGDKFIPAPPSSPLRKQELENLKCSILACSA YVALALGDNLMALNHADQLLQQPKLSGSLKFLGHLYAAEALISLDRISDAITHLNPENVT DVSLGISSNEQDQGSDKGENEAMESSGKRAPQCYPSSVNSARTVMLFNLGSAYCLRSEYD KARKCLHQAASMIHPKEVPPEAILLAVYLELQNGNTQLALQMIKRNQLLPAVKAHSDVRK KTVFQPVHPIQPIQMPAFTTVQRK >ENSMUSP00000106784.2 pep:known chromosome:GRCm38:12:4713817:4738383:-1 gene:ENSMUSG00000079177.2 transcript:ENSMUST00000111154.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam228a description:family with sequence similarity 228, member A [Source:MGI Symbol;Acc:MGI:1922105] MADTKTSKCDEHFSVEKLKEWPEPESVSLMELLAREDIDEAVHAVLFRENYVVKRLDTYL QHLAVFKERRKEMLHKKWVENVVQPLQQRITDKITSYRRPGKNQVKYEHCLKQTNKPTKV SSSCLFQKQQEFREAKGTSYQHGRGKTHDTQKEAKETEKGLSFTPFSLRPHCSSPRERQR ASARLMQSKPGGRNRYKGASSEKPVFTLKSHLPKEEKTVSRSQLVFERQFRASRLSQDIK EAEKKGLVVGTGPQRPRSWAAADSVPRPSLVGRRVMTAEILGEHLVSLHQAARSGLQWP >ENSMUSP00000020298.6 pep:known chromosome:GRCm38:10:61089343:61094328:1 gene:ENSMUSG00000020098.6 transcript:ENSMUST00000020298.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbd1 description:pterin 4 alpha carbinolamine dehydratase/dimerization cofactor of hepatocyte nuclear factor 1 alpha (TCF1) 1 [Source:MGI Symbol;Acc:MGI:94873] MAGKAHRLSAEERDQLLPNLRAVGWNEVEGRDAIFKQFHFKDFNRAFGFMTRVALQAEKL DHHPEWFNVYNKVHITLSTHECAGLSERDINLASFIEQVAVSMT >ENSMUSP00000127420.1 pep:known chromosome:GRCm38:9:64960832:64986974:1 gene:ENSMUSG00000034263.12 transcript:ENSMUST00000170517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa9 description:von Willebrand factor A domain containing 9 [Source:MGI Symbol;Acc:MGI:1917132] MPTVVVMDVSLSMTRPVSVEGSEEYQRKHLAAHGLTMLFEHMATNYKLEFTALVVFSSLW ELMVPFTRDYNTLQEALSNMDDYDKTCLESALVGVCNIVQQEWGGAIPCQVVLVTDGCLG IGRGSLRHSLATQNQRSESNRFPLPFPFPSKLYVMCMANLEELQSTDSLECLERLIDLNN GEGQIFTIDGPLCLKNVQSMFGKLIDLAYTPFHAVLKCGHLTADVQVFPRPEPFVIDEEI DPIPKVINTDLEIVGFIDIADISSPPVLSRHLVLPIALNKEGDEVGAGITDDNEDENSAN QIAGKIPNFCVLLHGSLKVEGMVALVQLGPEWHGMLYSQADSKKKSNLMMSLFEPGPEPL PWLGKMAQLGPISDAKENPYGEDDNKSPFPLQPKNKRSYAQNVTVWIKPSGLQTDVQKIL RNARKLPEKTQTFYKELNRLRKAALAFGFLDLLKGVADMLERECTLLPDTAHPDAAFQLT HAAQQLKLASTEYAIYDHNITPLHTDFSGSSTERM >ENSMUSP00000049284.5 pep:known chromosome:GRCm38:9:64960937:64986974:1 gene:ENSMUSG00000034263.12 transcript:ENSMUST00000037504.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa9 description:von Willebrand factor A domain containing 9 [Source:MGI Symbol;Acc:MGI:1917132] MPTVVVMDVSLSMTRPVSVEGSEEYQRKHLAAHGLTMLFEHMATNYKLEFTALVVFSSLW ELMVPFTRDYNTLQEALSNMDDYDKTCLESALVGVCNIVQQEWGGAIPCQVVLVTDGCLG IGRGSLRHSLATQNQRSESNRFPLPFPFPSKLYVMCMANLEELQSTDSLECLERLIDLNN GEGQIFTIDGPLCLKNVQSMFGKLIDLAYTPFHAVLKCGHLTADVQVFPRPEPFVIDEEI DPIPKVINTDLEIVGFIDIADISSPPVLSRHLVLPIALNKEGDEVGAGITDDNEDENSAN QIAGKIPNFCVLLHGSLKVEGMVALVQLGPEWHGMLYSQADSKKKSNLMMSLFEPGPEPL PWLGKMAQLGPISDAKENPYGEDDNKSPFPLQPKNKRSYAQNVTVWIKPSGLQTDVQKIL RNARKLPEKTQTFYKELNRLRKAALAFGFLDLLKGVADMLERECTLLPDTAHPDAAFQLT HAAQQLKLASTEYAIYDHNITPLHTDFSGSSTERM >ENSMUSP00000004072.8 pep:known chromosome:GRCm38:15:76904071:76906318:1 gene:ENSMUSG00000003970.8 transcript:ENSMUST00000004072.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl8 description:ribosomal protein L8 [Source:MGI Symbol;Acc:MGI:1350927] MGRVIRGQRKGAGSVFRAHVKHRKGAARLRAVDFAERHGYIKGIVKDIIHDPGRGAPLAK VVFRDPYRFKKRTELFIAAEGIHTGQFVYCGKKAQLNIGNVLPVGTMPEGTIVCCLEEKP GDRGKLARASGNYATVISHNPETKKTRVKLPSGSKKVISSANRAVVGVVAGGGRIDKPIL KAGRAYHKYKAKRNCWPRVRGVAMNPVEHPFGGGNHQHIGKPSTIRRDAPAGRKVGLIAA RRTGRLRGTKTVQEKEN >ENSMUSP00000043780.4 pep:known chromosome:GRCm38:8:111270944:111300222:-1 gene:ENSMUSG00000033596.4 transcript:ENSMUST00000038739.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfwd3 description:ring finger and WD repeat domain 3 [Source:MGI Symbol;Acc:MGI:2384584] MAEVAVGQASDLVPSEMDHEVIYSHLQGPLEGTIEPATPTEVVSNGAPLQPAPAELANSQ GGAHVQPAPAEVVSSQDGLPTLQPLPPASIDLTEEVQPSEENMEVVNPGTSEEPSQGSGA NPTAGAARSVSMNNFISGLQRLHNMLELLRPPPADHSVGPIRTRRRMAPILRARAGESQR QDNGRYVPHTPLYAYFQVSRSQPYPLPAAHDSETRNPPSGTDSDSDGSAEDEEVVVQAEE PEANIPEQGVIATDQEATSVTGDDAVPKESPQKPNMLSAMEDEEGETCTICLEQWTNAGD HRISALRCGHLFGFRCISKWLKGQTRKCPQCNKKAKHSDIVVIYARSLRALDTSEQERMK SDLLNEQMLRKQAELESAQCRLQLQVLIDKCTKLNSRVQDLEKFVVRHQNSAAQQCSRSK ALCGKCLSSSQSPGKYSSEKTFTISQTGKCRILAYCDGLSCLVASQPSPQASFLPGFGVK MLSTANMKSSQYIPMHNKQIRGLSFSSQSKGLLLSASLDCTIKLTSLETNTVVQTYNTGR PVWSCCWCLDENNYVYAGLASGSILIYDLRNTNTYIQELVPQKARCPLVSLSYLPKAAVA AFPYGGVLAGTLENASFWELKSDFSHKPHVLSLEPGGFVDFQTESSTRHCLVTYRPDKSH NTVRTVLLEMSYKLDDAGEPVCSCLPVQTFLGGPTCKLLTKSAIFQSPENNGNVLVCTGD EASQSTLLWNAGSGLLLQNLKAGEPVLDICPFETNQNSYLATLTEKTVHMYKWE >ENSMUSP00000070493.3 pep:known chromosome:GRCm38:13:4771649:4836485:1 gene:ENSMUSG00000053499.3 transcript:ENSMUST00000065956.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5444 description:predicted gene 5444 [Source:MGI Symbol;Acc:MGI:3644242] MTHASSAWRLLLCCYAILKWPSVSILLVLCLAEIDLVVISCGAEEETQNLFYTSIHHHCY TEIYEPNNPTMNYRERNTYEPYSLSLPLPPLPFSPSLPPSFLPCSMYAPPLLYVHA >ENSMUSP00000022105.7 pep:known chromosome:GRCm38:13:73661750:73678023:-1 gene:ENSMUSG00000021612.14 transcript:ENSMUST00000022105.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a18 description:solute carrier family 6 (neurotransmitter transporter), member 18 [Source:MGI Symbol;Acc:MGI:1336892] MAQASGMDPLVDIEDERPKWDNKLQYLLSCIGFAVGLGNIWRFPYLCQTHGGGAFLIPYF IALVFEGIPLFYIELAIGQRLRRGSIGVWKTISPYLGGVGLGCFSVSFLVSLYYNTVLLW VLWFFLNSFQHPLPWSTCPLDLNRTGFVQECQSSGTVSYFWYRQTLNITSDISNTGTIQW KLFLCLVACWSTVYLCVIRGIESTGKVIYFTALFPYLVLTIFLIRGLTLPGATEGLIYLF TPNMKTLQNPRVWLDAATQIFFSLSLAFGGHIAFASYNPPRNNCEKDAVIIALVNSMTSL YASIAIFSVMGFKASNDYGRCLDRNILSLINEFDLPELSISRDEYPSVLMYLNATQTARV AQLPLKTCHLEDFLDKSASGPGLAFIVFTEAVLHMPGASVWSVLFFGMLFTLGLSSMFGN MEGVITPLLDMGILPKGIPKEVMTGVICFACFLSAICFTLQSGGYWLEIFDSFAASLNLI IFAFMEVVGVIHIYGMKRFCDDIEWMTGRRPGLYWQVTWRVVSPMLLFGIFLSYIVLLIQ TPPSYKAWNPQYEHFPSREEKFYPGWVQVTCVLLSFLPSLWVPGVALAQLLSQYKQRWKA THLESGLKLQESRGC >ENSMUSP00000105302.2 pep:known chromosome:GRCm38:13:73661750:73678023:-1 gene:ENSMUSG00000021612.14 transcript:ENSMUST00000109680.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a18 description:solute carrier family 6 (neurotransmitter transporter), member 18 [Source:MGI Symbol;Acc:MGI:1336892] MAQASGMDPLVDIEDERPKWDNKLQYLLSCIGFAVGLGNIWRFPYLCQTHGGGAFLIPYF IALVFEGIPLFYIELAIGQRLRRGSIGVWKTISPYLGGVGLGCFSVSFLVSLYYNTVLLW VLWFFLNSFQHPLPWSTCPLDLNRTGFVQECQSSGTVSYFWYRQTLNITSDISNTGTIQW KLFLCLVACWSTVYLCVIRGIESTGKVIYFTALFPYLVLTIFLIRGLTLPGATEGLIYLF TPNMKTLQNPRVWLDAATQIFFSLSLAFGGHIAFASYNPPRNNCEKDAVIIALVNSMTSL YASIAIFSVMGFKASNDYGRCLDRNILSLINEFDLPELSISRDEYPSVLMYLNATQTARV AQLPLKTCHLEDFLDKPTWKQISGARVLGEGCARLTSRVCEASVLPGVICFACFLSAICF TLQSGGYWLEIFDSFAASLNLIIFAFMEVVGVIHIYGMKRFCDDIEWMTGRRPGLYWQVT WRVVSPMLLFGIFLSYIVLLIQTPPSYKAWNPQYEHFPSREEKFYPGWVQVTCVLLSFLP SLWVPGVALAQLLSQYKQRWKATHLESGLKLQESRGC >ENSMUSP00000105301.2 pep:known chromosome:GRCm38:13:73661750:73678023:-1 gene:ENSMUSG00000021612.14 transcript:ENSMUST00000109679.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a18 description:solute carrier family 6 (neurotransmitter transporter), member 18 [Source:MGI Symbol;Acc:MGI:1336892] MAQASGMDPLVDIEDERPKWDNKLQYLLSCIGFAVGLGNIWRFPYLCQTHGGGAFLIPYF IALVFEGIPLFYIELAIGQRLRRGSIGVWKTISPYLGGVGLGCFSVSFLVSLYYNTVLLW VLWFFLNSFQHPLPWSTCPLDLNRTGFVQECQSSGTVSYFWYRQTLNITSDISNTGTIQW KLFLCLVACWSTVYLCVIRGIESTGKVIYFTALFPYLVLTIFLIRGLTLPGATEGLIYLF TPNMKTLQNPRVWLDAATQIFFSLSLAFGGHIAFASYNPPRNNCEKDAVIIALVNSMTSL YASIAIFSVMGFKASNDYGRCLDRNILSLINEFDLPELSISRDEYPSVLMYLNATQTARV AQLPLKTCHLEDFLDKSASGPGLAFIVFTEAVLHMPGASVWSVLFFGMLFTLGLSSMFGN MEGVITPLLDMGILPKGIPKEVMTGVICFACFLSAICFTLQSGGYWLEIFDSFAASLNLI IFAFMEVVGVIHIYGMKRNIFPQERRSSTQAGCRSPVCSCPSCPHCGSLELLWLSYCPST NRGGRLRIWKVV >ENSMUSP00000132704.2 pep:known chromosome:GRCm38:13:107413865:107414767:-1 gene:ENSMUSG00000049233.6 transcript:ENSMUST00000061241.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apoo-ps description:apolipoprotein O, pseudogene [Source:MGI Symbol;Acc:MGI:3649039] MFKVIQRSVGPASLSLLTFRVYAAPKKDSPHKSYMKIDELSLYSVPEGQSKYVEEPRTQL EENISQLRHHCEPYTSFCQEIYSHTKPKVDHFVQWGVDNYNYLQNAPPGFFPRLGVIGFA GFVGLLFARGSKIKKLVYPPFFMGLGASVYYPQQAITIAQITGEKLYDWGLRGYIVIEDL WKQNFQKPGNVKNSPGNK >ENSMUSP00000137429.1 pep:known chromosome:GRCm38:12:88329254:88329682:1 gene:ENSMUSG00000069276.1 transcript:ENSMUST00000091715.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10264 description:predicted gene 10264 [Source:MGI Symbol;Acc:MGI:3646909] QNKGKGGKNRHRGKNENESEKRELVFKKYGQEYAQVTKMLGCGLLEAMCFDGVKRLCHIR GKLRKKVWINTSDIILVGLQDYQDNKADVLLKYNPDEARSLKAYGELPEHAKMNKMDTFG PGDDDEIVFDEIGDDDEDIDDL >ENSMUSP00000050754.2 pep:known chromosome:GRCm38:7:107623971:107625161:-1 gene:ENSMUSG00000049740.2 transcript:ENSMUST00000060348.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5330417H12Rik description:RIKEN cDNA 5330417H12 gene [Source:MGI Symbol;Acc:MGI:1923929] MVFKAVKRKAYELAQRRIEEHLGHPRTLQHREKMQPGRFQMRGEEKRVFPEASEETEQNN QVCLRCYVKPGSIFSPGLASGELICFCSLGWLSITSPRGLQKLPLPLPLH >ENSMUSP00000072971.6 pep:known chromosome:GRCm38:14:105681828:105682211:1 gene:ENSMUSG00000060143.6 transcript:ENSMUST00000073238.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10076 description:predicted gene 10076 [Source:MGI Symbol;Acc:MGI:3704451] MRAKWRKKRMRRLKRKRRKMRQRSK >ENSMUSP00000086788.4 pep:known chromosome:GRCm38:14:62676330:62761112:-1 gene:ENSMUSG00000035161.6 transcript:ENSMUST00000053959.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints6 description:integrator complex subunit 6 [Source:MGI Symbol;Acc:MGI:1202397] MPILLFLIDTSASMNQRSHLGTTYLDTAKGAVETFMKLRARDPASRGDRYMLVTFEEPPY AIKAGWKENHATFMNELKNLQAEGLTTLGQSLRTAFDLLNLNRLVTGIDNYGQGRNPFFL EPAIIITITDGSKLTTTSGVQDELHLPLNSPLPGSELTKEPFRWDQRLFALVLRLPGTMS VESEQLTGVPLDDSAITPMCEVTGGRSYSVCSPRMLNQCLESLVQKVQSGVVINFEKAGP DPPPAEEGQPDISRPFGSQPWHSCHKLIYVRPNPKTGVPIGHWPVPESFWPDQNSPTLPP RTSHPVVKFSCTDCEPMVIDKLPFDKYELEPSPLTQFILERKSPQTCWQVYVSNSAKYNE LGHPFGYLKASTALTCVNLFVMPYNYPVLLPLLDDLFKVHKAKPTLKWRQSFESYLKTMP PYYLGPLKKAVRMMGAPNLIADSMEYGLSYSVISYLKKLSQQAKIESDRVIGSVGKKVVQ ETGIKVRSRSHGLSMAHRKGFQVLQGISEDVPHRLLDLNMKEYTGFQVALLNKDLKPQTF RNAYDIPRRNLLDHLTRMRSNLLKSTRKFLKGQDEDQVHSVPIAQMGNYQEYLKQVPSPL RELDPDQPRRLHTFGNPFKLDKKGMMIDEADEFVAGPQNKHKRPGEPSMQGIPKRRRCAS PLLRGRRQSPAVNSHIGGKGPPAPMTQAQPGLIKPLPLHKEATNDSIVDDVVENHVADQL SSDMTPNAMDTEFLTSPPNLLEPSTNHTEALGHEHLGNNDLTVGGFLENHEEPRNKEQSA EENIPASSLNKGKKLMHCRSHEEVNTELKAQIMKEIRKPGRKYERIFTLLKHVQGSLQTR LIFLQNVIKEASRFKKRMLIEQLENFLDEIHRRANQINHINSN >ENSMUSP00000135922.1 pep:novel scaffold:GRCm38:GL456211.1:54469:54840:-1 gene:ENSMUSG00000095787.1 transcript:ENSMUST00000179124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC133103.6 MTQLPLALGFGVSRLWKAAQLRCVYLHPSICCYITQVTLGCSALRSIPACYSSLSPCASA SVTAEVNVNGSCDVYPSSYLLTYKGDVPSSLEVLQPVGYTQKYSMIQHRAGLLSGLSSFS TLT >ENSMUSP00000137209.1 pep:known chromosome:GRCm38:9:39046614:39047549:-1 gene:ENSMUSG00000095194.1 transcript:ENSMUST00000178303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr936 description:olfactory receptor 936 [Source:MGI Symbol;Acc:MGI:3030770] MIILILLSSHLHTPMYFFLSSLSFIDLCQSTVITPKMLVNFVMEKNEISYPECITQLCFF VIFVVSECFMLAAMAYDRYVAICSPLLYSSIMSQHKCLSLVLVVYIIGIICASAHVGCIF RVDFCRFDVINHCFCDLISILKLSCSNIFVNELLILIFSLINTIFPTLTILISYTFIIIS ILRIKSTEGRSKAFSTCSSLISAVAVFFGSAAFMYLKPSSSSSIDEGKICSIFYTIFVPM LNPLIYSLRNKDVNIAVKKIIERRSFF >ENSMUSP00000129167.1 pep:known chromosome:GRCm38:13:31556134:31559333:-1 gene:ENSMUSG00000090863.1 transcript:ENSMUST00000170573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A530084C06Rik description:RIKEN cDNA A530084C06 gene [Source:MGI Symbol;Acc:MGI:3704402] MGAWAAACTACCARPRRRPRRPRTALPRRPAFCCHQLPPDRLGRSARHSNSSRRRHLPDP RRRCPPPGCWLHSPRLSLRSRHQPRTVEMARRCCRPPPDRCPFCPRGLRVGRTPPISCRR WCFQSGTVTPFLRGRRKRVAPGVAIPWPAESVFSWEGPLLRLSERDAFPKGSPPLGFFLG GVLIVG >ENSMUSP00000034406.3 pep:known chromosome:GRCm38:9:14780209:14782964:-1 gene:ENSMUSG00000031931.3 transcript:ENSMUST00000034406.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd49 description:ankyrin repeat domain 49 [Source:MGI Symbol;Acc:MGI:1930842] MEKEKKDDEKPDLENSVDFSEQFNQLELLKTHGHLIPTGTQSLWVGNSDEDEEQEEKNEE WYQLQEKKMEKDPSKLLLWAAEKNRLATVQRLLSEKAAEVNTRDEDEYTPLHRAAYSGHI DVVRELVAKGADVHAVTVDGWTPLHSACKWNNTKVASFLLQHDADINAQTKGLLTPLHLA AGNRDSRDTLELLLMNRYIKPELKNNSQETASDIARRTSIYHYLFEIAEGCTNSSPPS >ENSMUSP00000066500.5 pep:known chromosome:GRCm38:9:19496750:19497688:1 gene:ENSMUSG00000052625.7 transcript:ENSMUST00000064582.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr851 description:olfactory receptor 851 [Source:MGI Symbol;Acc:MGI:3030685] MESANQTGISEFFLIGLIYVPELQPLFFDLFLSMYLITIIGNLLIILAVSKDSRLHTPMY FFLCNLSFTDICTSTTTVPKLLLNIQVHDQSITYIGCLSQVCFILTFCVLESCLLTVMAY DRYVAICQPLRYTIIMNPFLCICLVLLSLIISTINALLHTLLVLPLSFCTEQNVPNFFCE LGQITKLSCSDTFINILFIYTATIVFSVIPLSGIIFSYIQIVSSILKIPSVGGRHKAFST CGSHLSVVSLFYGTGLGVYMNASVSNSSISNVITSMMYSVVPQMLNPFIYSLRSKEIKGS LRQLIIGIICFP >ENSMUSP00000094882.2 pep:known chromosome:GRCm38:17:78752906:78835381:-1 gene:ENSMUSG00000039414.9 transcript:ENSMUST00000097281.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heatr5b description:HEAT repeat containing 5B [Source:MGI Symbol;Acc:MGI:2444098] MELAHSLLLNEEALAQITEAKRPVFIFEWLRFLDKVLVAANKTDVKEKQKKLVEQLTGLI SSSPGPPTRKLLAKNLAALYSIGDTYTVFQTLDKCNDIIRSKDDTAAYLPTKLAAVACVG AFYEKMGRMLGSAFPETVNNLLKSLKSAESQGRSEILMSLQKVLTGLGGAAASSHRDIYK NARSLLTDRSMAVRCAVAKCLLELQNEAVFMWTAELENVATLCFKALENSNYGVRVAVSK LLGTVMATALMPKQATVMRQNVKRATFDEVLELMATGFLRGGSGFLKSGGEMLKVGGSVN REVRVGVTQAYVVFVTTLGGQWLERSFATFLSHVLDLVSHPRATQTHVDAVYSRRCVSFM LRATVGSLLGEKAQIAAAKEICQAIGKQMKAVEAVVNDTSSENKSGTADIAASQHVMVCA LQELGSLVQSLNATASPLIQEASIGLLEIVTSVLLHPSMAARLAAAWCLRCVAVALPFQL TPFLDRCAERLNNLKTSPEAVSGYSFAMAALLGGVHQCPLGIPHAKGKMVVSIAEDLLRT AAQNSRLSLQRTQAGWLLLGALMTLGPSVVRYHLPKMLLLWRNVFPRSLKELEAEKARGD SFTWQVTLEGRAGALCAMRSFVAHCPELLTEDAIRKLMTPIECAMTMMSHIPSVIKAHGA HLKASAAMVRLRLYDILALLPPKTYEGSFNALLRELVAEFTLTDNSANTTTSLLRSLCHY DDSVLLGSWLQETDHKSIEDQLQPNSASGSGALEHDPSSIYLRIPAGEAVPGPLPLGVSV IDASVALFGVVFPHVSYKHRLQMLDHFAECVKQAKGVRQQAVQLNIFTAVLSALKGLAEN KSTLGPEEVRKSALTLVMGALDNPNPILRCAAGEALGRMAQVVGEASFIARMAQYSFDKL KSARDVVSRTGHSLALGCLHRYVGGIGSGQHLKTSVSILLALAQDGTSPEVQTWSLHSLA LIVDSSGPMYRGYVEPTLSLVLTLLLTVPPSHTEVHQCLGRCLGAIITTVGPELQGNAAT ISTIRSSCLVGCAITQDHSDSLVQAAAISCLQQLHMFAPRHVNLSSLVPSLCVHLCSSHL LLRRAAVACLRQLAQREAAEVCEYAMSLAKNAGDKEISGGNVNPFTPGVSSRSDVHCRHQ GVNITDTGLEGLLFGMLDRETDRKLCSDIHDTLGHMLSSLAVEKLSHWLMLCKDVLAASS DMSAATLLSSGKDEESEKKDEMDDDAMFTTLGEEDKSKPFVAPRWATRVFAADCLCRIIN LCENSDQAHFDLALARSAKLRNPKNDLLVLHLSDLIRMAFMAATDHSNQLRMAGLQALED IIKKFASVPEPEFPGHVILEQYQANVGAALRPAFSQDTPSDIIAKACQVCSTWIGSGVVS DLNDLRRVHNLLVSSLDTVQAGKGSSSQLYRESATTMEKLAVLKAWAEVYVVAMNIKKEA ESKPKRAMNNPDDDDDDYGTIDELPPDSLITLVQPELPTLSRLWLAALKDYALLTLPAEF SSQLPPDGGAFYTPETIDTARLHYRNSWAPILHAVALWLNSTGFISQESTEATTVSGVQK RSPAVSLNQVPGAMASAKPLPEVNKDRMHLILGVSIQFLCSPRPEEPIEHVTACLQALHT LLGSPYARIHIAEDQLIGVELLSVLHRLLLTWNPPSIQLLVTGVVQQIVRAAQDYLQEKR NALNEEDMEKESCPTLGEGGDTGGLIPGKSLVFATMELLMFILVRHMPHLSTKMLDSPSH TAMKTQLSEESARLVAATVAILSDLPSLCSPAGCMTILPTILFLIARILKDTAIKSADNQ VPPPVSAALQGIKSIVTLSMAKTEDTQKQWTTLIRSTLACILEYSQPDDCMPAPDEVSTL TAIALFLWSASSEIIGVQSLQNGCMNRFKSALNSCDPWVQAKCYQLLLSVFQHSNRALST PYIHSLAPLVVGKLKAVERHRPASSTELLAVQEGIKVLETLVALGEEQNRVQLLALLVPT LISYLLDENSFASASSISKDLHEFALQNLMHIGPLYPHAFKTVMGAAPELKARLETAVRA SQASKAKAAARQPAPTTHSTPTIKLKTSFF >ENSMUSP00000091405.1 pep:known chromosome:GRCm38:9:20170634:20171569:-1 gene:ENSMUSG00000066899.4 transcript:ENSMUST00000086476.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr870 description:olfactory receptor 870 [Source:MGI Symbol;Acc:MGI:3030704] MKPENQTNILEFLLLGFSQYPEHQPMLFGLFLLMFVVAVLGNLLIILAVSIDSHLHTPMY FFLSNLSFSDIGFISTTVPKMLVNIQTQSKSISYAECITQIYFFMLFGGMDTLLLTVMAY DRFVAICHPLHYSVIMNPQLSGLLVLVSWFISFSYSLIQSLLMLRLSFCTNQIIKHFYCE YAKALTIACSDTLINHILLYIVIWVLGFIPFSGILYSYYKIFSSILRIPSTDGKYKAFST CGSHLSVVSLFYGTGLSVYLSSDATSSSGKGVVASVMYTVVTPMLNPFIYSLRNKDIKKA LKTLGRILLLK >ENSMUSP00000062985.5 pep:known chromosome:GRCm38:9:39942215:39943294:1 gene:ENSMUSG00000051493.5 transcript:ENSMUST00000062833.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr974 description:olfactory receptor 974 [Source:MGI Symbol;Acc:MGI:3030808] MSPGNHSEASLFVLEGLTDQPGLQIPLFSLFLLIYLVSMAGNLGLVFLIRISSQLHTPMY HFLSNLSFIDLCYSSVIIPKMLVNFVSEKNFTAFPECMVQLFLFSFFGIDDSYMLTAMAY DRYVAICNPLLYNVTMSHRVCMLLSTAVYAMGAFGATVHTSYISSRSFCGTNVIHHYFCD ILPLINIACSRDYTKEFWVMILVGFNVFASVFSIFISYAFILASILRIRSADGRSKAFST CSSHLAAVGVFYGSIIFMYFKPSTGNTTQEKVASVFYTTVIPMLNPLIYSLRNKDVKEAI KKALNSGLFS >ENSMUSP00000046748.8 pep:known chromosome:GRCm38:9:57600019:57606281:-1 gene:ENSMUSG00000039714.8 transcript:ENSMUST00000045068.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cplx3 description:complexin 3 [Source:MGI Symbol;Acc:MGI:2384571] MAFMVKSMVGGQLKNLTGSLGGGEDKGDGDKSAAEAQGMSREEYEEYQKQLVEEKMERDA QFTQRKAERATLRSHFRDKYRLPKNETDESQIQLAGGDVELPRELAKMIEEDTEEEEDKA SVLGQLASLPGLDLSSLKDKAQTTLGDLKQSAEKCHIM >ENSMUSP00000034111.8 pep:known chromosome:GRCm38:8:78509335:78734005:1 gene:ENSMUSG00000031684.10 transcript:ENSMUST00000034111.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a7 description:solute carrier family 10 (sodium/bile acid cotransporter family), member 7 [Source:MGI Symbol;Acc:MGI:1924025] MRLLERARKEWFMVGIVVAIGAAKLEPSVGVNGGPLKPEITVSYIAVATIFFNSGLSLKT EELTSALVHLRLHLFIQIFTLAFFPAAIWLFLQLLSVTSINEWLLKGLQTVGCMPPPVSS AVILTKAVGGNEAAAIFNSAFGSFLGIVVTPVLLLLFLGSSSSVPFTSIFSQLFMTVVVP LVIGQIVRRYIKDWLERKKPPFGVVSSSVLLMIIYTTFCDTFSNPNIDLDKFSLILILFI IVSVQLSFMLLTFIFSTRNNSGFTPADTVAIIFCSTHKSLTLGIPMLKIVFAGHEHLSLI SVPLLIYHPAQILLGSVLVPTIKSWMVSRQKGVKLTRPTV >ENSMUSP00000138055.1 pep:known chromosome:GRCm38:CHR_MG4214_PATCH:37827360:37830307:1 gene:ENSMUSG00000097200.2 transcript:ENSMUST00000181916.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox6 description:reproductive homeobox 6 [Source:EntrezGene;Acc:19202] METPQDSRQSIQKPPSPAAEEDKEEQPGGNAVVSGAPEERIDKKELVLNWLAQGEFDQGE GAQGEVAGGEQAQEEPAPLSPAQEATGGEEEGENKEGEMEGRHAGDGASSSEDDSILEEG GENIDQQPPQQEAASPDSIRNPHVLNRLAQLRYRRTRFTHSQLHDLERLFQETRYPSLRA RRDLARWMGVDECDVQNWFRMRRALFQRNRRVLMFCELPPLPQSDSP >ENSMUSP00000138005.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143394428:143400160:1 gene:ENSMUSG00000097880.2 transcript:ENSMUST00000181019.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramel1 MSCKTPPTLQELAENSLLKNQDLAISALDDIPSLFFPSLFKKACRNRYVGIIKAMVQAWP FPCLPLGAMISRKTAYRRILEIILYGLDALLSQKVPHSRCKLQVLDLRVMPLKLWNRLPV FGTAGCSENPAVVGHSGTEVKQPVKVLVDLVLKESPLDSTESFLVQWVDNRNGLVSLCCC KLQIWAMSMYYHRKLLEILDLDSVQELRMYCISNPVCLLNFAPYLGRMRNLRCLILSHLW QTFSMTPVEKQQVITQFTSQFLKLKCLQILHLDTVFFLEGHLDELFWWLKTPLETLSVID CNLSKSDWFHISEFQCTSQLKHLNLKWVKLTHLSPEPLRVLLLKSASTLTSLDLEGCQMM DSQLSAILPALRCCTQLTKFNFHGNYISMPILRELAYNVVKQKSQQSKIRFIPSCSHHSG LEFEAISQPHIVFVDVDRTTGEQEQVLFYAICSGEYVL >ENSMUSP00000058744.3 pep:known chromosome:GRCm38:12:49401277:49401837:1 gene:ENSMUSG00000045946.3 transcript:ENSMUST00000056234.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9804 description:predicted gene 9804 [Source:MGI Symbol;Acc:MGI:3704387] QFRLKSVARLEPARSCALPRLGKQGAGLHGPAGPPRSPRGPWGASRPGLLALDGPWTQGP SRRNPAILQPWLPELGRSSLRRLALSAKCRETLRPRARGSARRNRWERPERAPGEGLRRR RRGLEPSGGGLLGRLAAPPLLRRSSPDLRPARSPAWGVRSSLSLLTTVTWLKGGLGTGRL LPPGWW >ENSMUSP00000022618.5 pep:known chromosome:GRCm38:14:66027329:66077733:-1 gene:ENSMUSG00000022039.5 transcript:ENSMUST00000022618.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam2 description:a disintegrin and metallopeptidase domain 2 [Source:MGI Symbol;Acc:MGI:1340894] MWLILLLLSGLSELGGLSQSQTEGTREKLHVQVTVPEKIRSVTSNGYETQVTYNLKIEGK TYTLDLMQKPFLPPNFRVYSYDNAGIMRSLEQKFQNICYFQGYIEGYPNSMVIVSTCTGL RGFLQFGNVSYGIEPLESSSGFEHVIYQVEPEKGGALLYAEKDIDLRDSQYKIRSIKPQR IVSHYLEIHIVVEKQMFEHIGADTAIVTQKIFQLIGLANAIFAPFNLTVILSSLEFWMDE NKILTTGDANKLLYRFLKWKQSYLVLRPHDMAFLLVYRNTTDYVGATYQGKMCDKNYAGG VALHPKAVTLESLAIILVQLLSLSMGLAYDDVNKCQCGVPVCVMNPEAPHSSGVRAFSNC SMEDFSKFITSQSSHCLQNQPRLQPSYKMAVCGNGEVEEDEICDCGKKGCAEMPPPCCNP DTCKLSDGSECSSGICCNSCKLKRKGEVCRLAQDECDVTEYCNGTSEVCEDFFVQNGHPC DNRKWICINGTCQSGEQQCQDLFGIDAGFGSSECFWELNSKSDISGSCGISAGGYKECPP NDRMCGKIICKYQSENILKLRSATVIYANISGHVCVSLEYPQGHNESQKMWVRDGTVCGS NKVCQNQKCVADTFLGYDCNLEKCNHHGVCNNKKNCHCDPTYLPPDCKRMKDSYPGGSID SGNKERAEPIPVRPYIASAYRSKSPRWPFFLIIPFYVVILVLIGMLVKVYSQRMKWRMDD FSSEEQFESESESKD >ENSMUSP00000022580.6 pep:known chromosome:GRCm38:14:75761999:75787037:-1 gene:ENSMUSG00000022003.6 transcript:ENSMUST00000022580.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a30 description:solute carrier family 25, member 30 [Source:MGI Symbol;Acc:MGI:1914804] MSALNWKPFVYGGLASITAECGTFPIDLTKTRLQIQGQTNDANFREIRYRGMLHALMRIG REEGLKALYSGIAPAMLRQASYGTIKIGTYQSLKRLAVERPEDETLLVNVVCGILSGVIS SAIANPTDVLKIRMQAQNSAVQGGMIDSFMSIYQQEGTRGLWKGVSLTAQRAAIVVGVEL PVYDITKKHLILSGLMGDTVATHFLSSFTCGLVGALASNPVDVVRTRMMNQRALRDGRCA GYKGTLDCLLQTWKNEGFFALYKGFWPNWLRLGPWNIIFFLTYEQLKKLDL >ENSMUSP00000067049.5 pep:known chromosome:GRCm38:18:5210031:5334439:-1 gene:ENSMUSG00000050945.7 transcript:ENSMUST00000063989.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp438 description:zinc finger protein 438 [Source:MGI Symbol;Acc:MGI:2444919] MRNSSSVPPKDQGESNIRSGTTQSGKTLQSKSQFRTIAPKVVPKVLTSRVLPCPSSISDQ GSLTLMPKPLGMPTQNYALMQVAGQEGTFSLVAFPNVASAQPVQKPRMSTHENLKLPIPR YQPLSSQGLRKKQDLSSPKSGSSDPPGPSQLCHLTSSPQAYPDPHHKPSLWKQMPTLNPS PTNINTATLTSGVGQGDLSPLVTNSCGDLELSAMPVYTTEDSSSQQSLPASMQKAGCARK EAPTTPAIASEELKEQLASAQTVLSSAVQLLSVVPKGKLPILPLPRVKTTEVGKVESDAD NAELSLSGCRANCDERLSITEGFDAATEIASKIAALHGSKQSACESAFCPVTKLDLSHKA KPSSGIKRRGRKWKVPDEILALQGKRRKCIIGMCGDGIERARNSPQEARDQKPRVASRKY RNIMPKPVLVLSALAPLASHTAVLSQAPSSLGQDVLNNALPSKCLGSKQSDSSTPKPSSV LRNGFSGIKKPWHMCPVCNYHFQFKHHLLDHMNTHTNRRPYSCGICRKTYVRPGSLSAHM KLHHGDNHPKKLVCCEFCAKVFGHVRVYFGHLKEVHRVVISTEPSPSELQPGDTPKNKNR DPGMQGPDNSLERETKSSLEEDFLLNQADEVKLQIRCGRCQITAQSFAEIKFHLLHVHGE EIQGRLQEMILPGSRSDAPHQKQYTERRKQMKPYASLEDSPAFPRVKRLPAPHQNREEAL VGSEGGQWGMAGSQHPAKLLWSHTGFNCLLCAQMLGRKEDLLLHWVHKHNCEDPSRLWAL LGKFSKQGAPELHSESRL >ENSMUSP00000073618.5 pep:known chromosome:GRCm38:19:5651185:5663707:-1 gene:ENSMUSG00000056917.12 transcript:ENSMUST00000071857.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1 description:signal-induced proliferation associated gene 1 [Source:MGI Symbol;Acc:MGI:107576] MWAGGVGSPRRGMAPAPTDDLFARKLRQPARPPLTPHTFEPRPARGPLLRSGSDAGEVRP PTPASPRARAHSHEDASRPAATPTRLFTDPLALLGLPAEEPEPTFPPVLEPRWFAHYDVQ SLLFDWAPRPRGTGSHIEANSGTLAEGQTTTSDLLLGAPGFVSELGGEGELGLGGPISPP VPPALPNAAVSVLEEPQTRTTAYSLEHADLGAGYYRKYFYGKEHQNFFGLDEALGPVAVS LRREEKEGSGGGTLHSYRVIVRTTQLRTLRGTISEDALPPGPPRGLSPRKLLEHVAPRLS PTCLRLGSASPKVPRTLLTLDEQVLSFQRKVGILYCRAGQGSEEEMYNNQEAGAAFMQFL TLLGDVVRLKGFESYRAQLDTKTDSTGTHSLYTTYQDHEIMFHVSTMLPYTPNNQQQLLR KRHIGNDIVTIVFQEPGSKPFCPTTIRSHFQHVFLVVRAHAPCTPHTSYRVAVSRTQDTP AFGPALPEGGGPFAANADFRAFLLAKALNGEQAAGHARQFHAMATRTRQQYLQDLATNEV TTTSLDSASRFGLPSLGGRRRATPRSPGAELQAAGALMWGVRAAPGARVAAGAETSGPED AEVPCLLGISAETLVLVAPRDGRVVFNCACRDVLAWTFSEHQLDLYHGRGEAITLRLDGA PGQAVGEVVARLQLVSRGCETRELALPRDGQGRLGFEVDAEGFITHVERFTFAETTGLRP GARLLRVCGQTLPKLGPEAAAQMLRSAPKVCVTVLPPDESGRPRRSFSELYMLSLKEPSR RGGPEPVQDETGKLVILPPTKQLLHFCLKDSSSPPGPGDLTEERTEFLRSHNSLSSGSSL SDEAPVLPNTTPDLLLVTTANPSAPGTDRETPPSQDQSGSPSSHEDTSDSGPELRASILP RTLSLRNSISKIMSEAGSETLEDEWQSISEIASTCNTILESLSREGQPISESGDPKEALK CDSEPEPGSLSEKVSHLESMLWKLQEDLQREKADRAALEEEVRSLRHNNQRLLAESESAA TRLLLASKHLGAPTTDLA >ENSMUSP00000079637.5 pep:known chromosome:GRCm38:19:5651185:5663707:-1 gene:ENSMUSG00000056917.12 transcript:ENSMUST00000080824.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1 description:signal-induced proliferation associated gene 1 [Source:MGI Symbol;Acc:MGI:107576] MWAGGVGSPRRGMAPAPTDDLFARKLRQPARPPLTPHTFEPRPARGPLLRSGSDAGEVRP PTPASPRARAHSHEDASRPAATPTRLFTDPLALLGLPAEEPEPTFPPVLEPRWFAHYDVQ SLLFDWAPRPRGTGSHIEANSGTLAEGQTTTSDLLLGAPGFVSELGGEGELGLGGPISPP VPPALPNAAVSVLEEPQTRTTAYSLEHADLGAGYYRKYFYGKEHQNFFGLDEALGPVAVS LRREEKEGSGGGTLHSYRVIVRTTQLRTLRGTISEDALPPGPPRGLSPRKLLEHVAPRLS PTCLRLGSASPKVPRTLLTLDEQVLSFQRKVGILYCRAGQGSEEEMYNNQEAGAAFMQFL TLLGDVVRLKGFESYRAQLDTKTDSTGTHSLYTTYQDHEIMFHVSTMLPYTPNNQQQLLR KRHIGNDIVTIVFQEPGSKPFCPTTIRSHFQHVFLVVRAHAPCTPHTSYRVAVSRTQDTP AFGPALPEGGGPFAANADFRAFLLAKALNGEQAAGHARQFHAMATRTRQQYLQDLATNEV TTTSLDSASRFGLPSLGGRRRATPRSPGAELQAAGALMWGVRAAPGARVAAGAETSGPED AEVPCLLGISAETLVLVAPRDGRVVFNCACRDVLAWTFSEHQLDLYHGRGEAITLRLDGA PGQAVGEVVARLQLVSRGCETRELALPRDGQGRLGFEVDAEGFITHVERFTFAETTGLRP GARLLRVCGQTLPKLGPEAAAQMLRSAPKVCVTVLPPDESGRPRRSFSELYMLSLKEPSR RGGPEPVQDETGKLVILPPTKQLLHFCLKDSSSPPGPGDLTEERTEFLRSHNSLSSGSSL SDEAPVLPNTTPDLLLVTTANPSAPGTDRETPPSQDQSGSPSSHEDTSDSGPELRASILP RTLSLRNSISKIMSEAGSETLEDEWQSISEIASTCNTILESLSREGQPISESGDPKEALK CDSEPEPGSLSEKVSHLESMLWKLQEDLQREKADRAALEEEVRSLRHNNQRLLAESESAA TRLLLASKHLGAPTTDLA >ENSMUSP00000128208.1 pep:known chromosome:GRCm38:19:5651185:5663707:-1 gene:ENSMUSG00000056917.12 transcript:ENSMUST00000164304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1 description:signal-induced proliferation associated gene 1 [Source:MGI Symbol;Acc:MGI:107576] MWAGGVGSPRRGMAPAPTDDLFARKLRQPARPPLTPHTFEPRPARGPLLRSGSDAGEVRP PTPASPRARAHSHEDASRPAATPTRLFTDPLALLGLPAEEPEPTFPPVLEPRWFAHYDVQ SLLFDWAPRPRGTGSHIEANSGTLAEGQTTTSDLLLGAPGFVSELGGEGELGLGGPISPP VPPALPNAAVSVLEEPQTRTTAYSLEHADLGAGYYRKYFYGKEHQNFFGLDEALGPVAVS LRREEKEGSGGGTLHSYRVIVRTTQLRTLRGTISEDALPPGPPRGLSPRKLLEHVAPRLS PTCLRLGSASPKVPRTLLTLDEQVLSFQRKVGILYCRAGQGSEEEMYNNQEAGAAFMQFL TLLGDVVRLKGFESYRAQLDTKTDSTGTHSLYTTYQDHEIMFHVSTMLPYTPNNQQQLLR KRHIGNDIVTIVFQEPGSKPFCPTTIRSHFQHVFLVVRAHAPCTPHTSYRVAVSRTQDTP AFGPALPEGGGPFAANADFRAFLLAKALNGEQAAGHARQFHAMATRTRQQYLQDLATNEV TTTSLDSASRFGLPSLGGRRRATPRSPGAELQAAGALMWGVRAAPGARVAAGAETSGPED AEVPCLLGISAETLVLVAPRDGRVVFNCACRDVLAWTFSEHQLDLYHGRGEAITLRLDGA PGQAVGEVVARLQLVSRGCETRELALPRDGQGRLGFEVDAEGFITHVERFTFAETTGLRP GARLLRVCGQTLPKLGPEAAAQMLRSAPKVCVTVLPPDESGRPRRSFSELYMLSLKEPSR RGGPEPVQDETGKLVILPPTKQLLHFCLKDSSSPPGPGDLTEERTEFLRSHNSLSSGSSL SDEAPVLPNTTPDLLLVTTANPSAPGTDRETPPSQDQSGSPSSHEDTSDSGPELRASILP RTLSLRNSISKIMSEAGSETLEDEWQSISEIASTCNTILESLSREGQPISESGDPKEALK CDSEPEPGSLSEKVSHLESMLWKLQEDLQREKADRAALEEEVRSLRHNNQRLLAESESAA TRLLLASKHLGAPTTDLA >ENSMUSP00000132345.1 pep:known chromosome:GRCm38:19:5651185:5663707:-1 gene:ENSMUSG00000056917.12 transcript:ENSMUST00000169854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1 description:signal-induced proliferation associated gene 1 [Source:MGI Symbol;Acc:MGI:107576] MWAGGVGSPRRGMAPAPTDDLFARKLRQPARPPLTPHTFEPRPARGPLLRSGSDAGEVRP PTPASPRARAHSHEDASRPAATPTRLFTDPLALLGLPAEEPEPTFPPVLEPRWFAHYDVQ SLLFDWAPRPRGTGSHIEANSGTLAEGQTTTSDLLLGAPGFVSELGGEGELGLGGPISPP VPPALPNAAVSVLEEPQTRTTAYSLEHADLGAGYYRKYFYGKEHQNFFGLDEALGPVAVS LRREEKEGSGGGTLHSYRVIVRTTQLRTLRGTISEDALPPGPPRGLSPRKLLEHVAPRLS PTCLRLGSASPKVPRTLLTLDEQVLSFQRKVGILYCRAGQGSEEEMYNNQEAGAAFMQFL TLLGDVVRLKGFESYRAQLDTKTDSTGTHSLYTTYQDHEIMFHVSTMLPYTPNNQQQLLR KRHIGNDIVTIVFQEPGSKPFCPTTIRSHFQHVFLVVRAHAPCTPHTSYRVAVSRTQDTP AFGPALPEGGGPFAANADFRAFLLAKALNGEQAAGHARQFHAMATRTRQQYLQDLATNEV TTTSLDSASRFGLPSLGGRRRATPRSPGAELQAAGALMWGVRAAPGARVAAGAETSGPED AEVPCLLGISAETLVLVAPRDGRVVFNCACRDVLAWTFSEHQLDLYHGRGEAITLRLDGA PGQAVGEVVARLQLVSRGCETRELALPRDGQGRLGFEVDAEGFITHVERFTFAETTGLRP GARLLRVCGQTLPKLGPEAAAQMLRSAPKVCVTVLPPDESGRPRRSFSELYMLSLKEPSR RGGPEPVQDETGKLVILPPTKQLLHFCLKDSSSPPGPGDLTEERTEFLRSHNSLSSGSSL SDEAPVLPNTTPDLLLVTTANPSAPGTDRETPPSQDQSGSPSSHEDTSDSGPELRASILP RTLSLRNSISKIMSEAGSETLEDEWQSISEIASTCNTILESLSREGQPISESGDPKEALK CDSEPEPGSLSEKVSHLESMLWKLQEDLQREKADRAALEEEVRSLRHNNQRLLAESESAA TRLLLASKHLGAPTTDLA >ENSMUSP00000137110.1 pep:novel scaffold:GRCm38:JH584299.1:910289:913083:-1 gene:ENSMUSG00000095475.1 transcript:ENSMUST00000180208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC133095.2 MSVQTPSTLQNLTLKALLRDEALALSCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRKSHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYARRKQLKVVADLCLRPRRDEAQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQVLDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSTPILKDLLQQTANWNKMNVEQYPAPL ECYNELGHVSVERFAQLCQELMDTLRAIRQPKSLSFATRICHKCGEPCVYGQGGRLCFCW R >ENSMUSP00000040370.1 pep:known chromosome:GRCm38:14:20694968:20700197:1 gene:ENSMUSG00000039357.1 transcript:ENSMUST00000048016.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut11 description:fucosyltransferase 11 [Source:MGI Symbol;Acc:MGI:1920318] MAARCTEAVLAALGVLSVCSASSSGSEASGEAEREEPWDGAVFRPPAALGAVGIARGPGS PPPGNREAVDLPVLLWWSPGLFPHFPGDSERIQCAHGACVASRDRRARADPRTRALLFYG TDFRAADAPLPRLAHQSWALLHEESPLNNFLLSHGPGIRLFNLTATFSRHSDYPLPLQWL PGAAYLRRPAPPPRERAEWRRRGYAPLLYLQSHCDVPSDRDRYVRELMRYIPVDSYGKCL QNREPPTVRLQDTATATTEDPELMAFLSRYKFHLALENAICNDYMTEKLWRPMHLGAVPV YRGSPSVRDWMPNNHSVILIDDFESPQKLAEFIDFLDKNDDEYMKYLAYKQPGGITNQFL LDNLEHREWGVNDPMLPNYLNGFECFVCDHELARLNAEKAHASSHGDIPVPEPRIAQSSH MNCPVPTPGFGKVEEIPENDSWKEMWLQDYWQGLYQGEALTAMIHNNETQQRKFWDYVHE IFMKRNKNL >ENSMUSP00000002551.3 pep:known chromosome:GRCm38:18:10617796:10628230:1 gene:ENSMUSG00000002477.4 transcript:ENSMUST00000002551.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpd1 description:small nuclear ribonucleoprotein D1 [Source:MGI Symbol;Acc:MGI:98344] MKLVRFLMKLSHETVTIELKNGTQVHGTITGVDVSMNTHLKAVKMTLKNREPVQLETLSI RGNNIRYFILPDSLPLDTLLVDVEPKVKSKKREAVAGRGRGRGRGRGRGRGRGRGGPRR >ENSMUSP00000040596.6 pep:known chromosome:GRCm38:10:79793572:79820896:1 gene:ENSMUSG00000035863.13 transcript:ENSMUST00000046945.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palm description:paralemmin [Source:MGI Symbol;Acc:MGI:1261814] MEVLATDTASQQERLQAIAEKRRKQAEIESKRRQLEDDRRQLQYLKSKALRERWLLEGTP SSASEGDEDMRKQMQEDEQKARGLEESITRLEKEIDVLEFGESAPAASKENSAAPSPGRP QSASPAKEEQKSETLVNAQQTPLGTPKENRTSTPVRSPGGSTMMKAAMYSVEITVEKDKV TGETRVLSSTTVLPRDPLPQGVKVYEDETKVVHAVDGIAENGIQPLSSSEVDELIHKADE VTLSEAGSTAGPAEPRGLAEDVTRTTPSRREITGVEAQPGEATSGPPGIQPGQEPPVTMV FMGYQNVEDEAETKKVLGLQDTIKAELVVIEDAATPREPAPLNGSAAELPATKEENQTGP TTTPSDTQDLDMKKPRCRCCSVM >ENSMUSP00000101018.2 pep:known chromosome:GRCm38:10:79793572:79820896:1 gene:ENSMUSG00000035863.13 transcript:ENSMUST00000105379.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Palm description:paralemmin [Source:MGI Symbol;Acc:MGI:1261814] MEVLATDTASQQERLQAIAEKRRKQAEIESKRRQLEDDRRQLQYLKSKALRERWLLEGTP SSASEGDEDMRKQMQEDEQKARGLEESITRLEKEIDVLEFGESAPAASKENSAAPSPGRP QSASPAKEEQKSETLVNAQQTPLGTPKENRTSTPVRSPGGSTMMKAVVHAVDGIAENGIQ PLSSSEVDELIHKADEVTLSEAGSTAGPAEPRGLAEDVTRTTPSRREITGVEAQPGEATS GPPGIQPGQEPPVTMVFMGYQNVEDEAETKKVLGLQDTIKAELVVIEDAATPREPAPLNG SAAELPATKEENQTGPTTTPSDTQDLDMKKPRCRCCSVM >ENSMUSP00000087744.1 pep:known chromosome:GRCm38:16:14906637:14925879:1 gene:ENSMUSG00000068617.4 transcript:ENSMUST00000090277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab1 description:EF hand calcium binding domain 1 [Source:MGI Symbol;Acc:MGI:1914043] MNRKKLQKLTDTLTKNCKHFDKFEVKCLITLFYNLVGDVAERPGVVTGLDRNVFRNILHV TFGMTDDMIMDRVFRGFDKDNDGCISVSEWIHGLSLFLRGTLDEKMKYCFEVFDLNGDGF ISKEEMFHMLKNSLLKQPSEEDPDEGIKDLVEITLKKMDHDHDGKLSFVDYEKAVREENL LLEAFGPCLPDPKSQMEFEAQVFKDPNEFNDM >ENSMUSP00000129377.1 pep:known chromosome:GRCm38:17:12888902:12910000:-1 gene:ENSMUSG00000073460.4 transcript:ENSMUST00000163394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnldc1 description:poly(A)-specific ribonuclease (PARN)-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2685159] MDVGADEFEQSLPLLQELVAGADFVGLDIEFTGLRSNLSRPQQISLFDLPSEWYLKTRQS VQQFTICQIGLSMFSSIEGESNKYVAHSCNFFLFPTTFGILDSEFSFQASSVQFLNQYGF DYNKFLKNGIPYMNEEQEKKIKHSILRGNWRVRSSLDKDQIKVVIDKVTQWLDLAEEGDQ MTLPGIAGFQAFEVQLVLRQALPNIWTVLKEEWVIVKKVSQPQRWYLEHASCDQVSCWKE QILLSARGFSVFFQMLVKAQKPLVGHNMMMDLLHLHEKFFRPLPESYDQFKQNIHSLFPV LIDTKNVTKDIWKELRFPRVSNLLEVYEVLSSNLNPTKDSGPVIIHARQCKKYAETKCPH EAAYDAFLCGSVLLKVAHLLLQRVHGNGAVHEPAFPQYLDVLAPYVNQVNLIRAGVPKIN FSGPDYPSIRPPVLILTVKRWPGVSEQQVYREFQNLCKFDVRRFTRSQFLLLTNKFKDAR SVLKEYRNHPTLQVSLYRSWRHSPNITCLLQVCSIVTTWAMIAFLLGRPMP >ENSMUSP00000069549.5 pep:known chromosome:GRCm38:18:10064401:10181792:-1 gene:ENSMUSG00000024290.8 transcript:ENSMUST00000067947.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rock1 description:Rho-associated coiled-coil containing protein kinase 1 [Source:MGI Symbol;Acc:MGI:107927] MSTGDSFETRFEKIDNLLRDPKSEVNSDCLLDGLDALVYDLDFPALRKNKNIDNFLSRYK DTINKIRDLRMKAEDYEVVKVIGRGAFGEVQLVRHKSTRKVYAMKLLSKFEMIKRSDSAF FWEERDIMAFANSPWVVQLFYAFQDDRYLYMVMEYMPGGDLVNLMSNYDVPEKWARFYTA EVVLALDAIHSMGFIHRDVKPDNMLLDKSGHLKLADFGTCMKMNKEGMVRCDTAVGTPDY ISPEVLKSQGGDGYYGRECDWWSVGVFLYEMLVGDTPFYADSLVGTYSKIMNHKNSLTFP DDNDISKEAKNLICAFLTDREVRLGRNGVEEIKRHLFFKNDQWAWETLRDTVAPVVPDLS SDIDTSNFDDLEEDKGDEETFPIPKAFVGNQLPFVGFTYYSNRRYLPSANASENRSSSNV DKSLQESLQKTIYKLEEQLHNEMQLKDEMEQKCRTSNLKLDKIMKELDEEGNQRRNLESA VSQIEKEKMLLQHRINEYQRKVEQENEKRRNIENEVSTLKDQLEDLRKASQTSQLANEKL TQLQKQLEEANDLLRTESDTAVRLRKSHTEMSKSISQLESLNRELQERNRILENSKSQAD KDYYQLQAVLEAERRDRGHDSEMIGDLQARITSLQEEVKHLKHNLERVEGERKEAQDMLN HSEKEKNNLEIDLNYKLKSIQQRLEQEVNEHKVTKARLTDKHQSIEEAKSVAMCEMEKKL KEEREAREKAENRVVETEKQCSMLDVDLKQSQQKLEHLTENKERMEDEVKNLALQLEQES NKRLLLQNELKTQAFEADNLKGLEKQMKQEINTLLEAKRLLEFELAQLTKQYRGNEGQMR ELQDQLEAEQYFSTLYKTQVKELKEEIEEKNRENLRKIQELQSEKETLSTQLDLAETKAE SEQLARGILEEQYFELTQESKKAASRNRQEITDKDHTVSRLEETNSVLTKDIEMLRKENE ELNERMRTAEEEYKLKKEEEINNLKAAFEKNISTERTLKTQAVNKLAEIMNRKDFKIDRK KANTQDLRKKEKENRKLQLELNQEREKFNQMVVKHQKELNDMQAQLVEECTHRNELQMQL ASKESDIEQLRAKLLDLSDSTSVASFPSADETDGNLPESRIEGWLSVPNRGNIKRYGWKK QYVVVSSKKILFYNDEQDKEQSSPSMVLDIDKLFHVRPVTQGDVYRAETEEIPKIFQILY ANEGECRKDIEVEPVQQGEKTNFQNHKGHEFIPTLYHFPANCEACAKPLWHVFKPPPALE CRRCHVKCHRDHLDKKEDLISPCKVSYDVTSARDMLLLACSQDEQKKWVTHLVKKIPKNP PSGFVRASPRTLSTRSTANQSFRKVVKNTSGKTS >ENSMUSP00000127393.1 pep:known chromosome:GRCm38:17:8340739:8344112:1 gene:ENSMUSG00000055945.7 transcript:ENSMUST00000163887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr18 description:proline rich 18 [Source:MGI Symbol;Acc:MGI:2443403] MLRLLGRVMSFLPMPPPPPPPPPPPRTPGGPAARQLSRRPCAPPAPSPPAASAAGGEKKR RPPEMLLSSSWPSATLKRPPVRRGPGLGSGTPQPATSARVPPQPSPGRGGTSTTCSAPRR VACSHIPAGSTASGTSAGAGAGPDDATRFSLNLTPEAILVIQRRHLEKQLLARPRRPFPT PSADPRLPLVPCPRTRASTLRRGGPTSVPNAPLAVAVSSRPPRASLLPGGLQATLPSPCP SSLRPVLKVSLLNEKHKYDDEEYEEEVEVVDEGLVRKCTEWLRGVESAAAARGRTGHLDS LPHLSTL >ENSMUSP00000069019.6 pep:known chromosome:GRCm38:17:8340406:8344112:1 gene:ENSMUSG00000055945.7 transcript:ENSMUST00000069742.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr18 description:proline rich 18 [Source:MGI Symbol;Acc:MGI:2443403] MLRLLGRVMSFLPMPPPPPPPPPPPRTPGGPAARQLSRRPCAPPAPSPPAASAAGGEKKR RPPEMLLSSSWPSATLKRPPVRRGPGLGSGTPQPATSARVPPQPSPGRGGTSTTCSAPRR VACSHIPAGSTASGTSAGAGAGPDDATRFSLNLTPEAILVIQRRHLEKQLLARPRRPFPT PSADPRLPLVPCPRTRASTLRRGGPTSVPNAPLAVAVSSRPPRASLLPGGLQATLPSPCP SSLRPVLKVSLLNEKHKYDDEEYEEEVEVVDEGLVRKCTEWLRGVESAAAARGRTGHLDS LPHLSTL >ENSMUSP00000025204.5 pep:known chromosome:GRCm38:18:36403679:36454495:-1 gene:ENSMUSG00000024346.5 transcript:ENSMUST00000025204.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn1 description:prefoldin 1 [Source:MGI Symbol;Acc:MGI:1914449] MAASVDLELKKAFTELQAKVIDTQQKVKLADIQIEQLNRTKKHAHLTDTEIMTLVDETNM YEGVGRMFILQSKEVIHNQLLEKQKIAEEKIKELEQKKSYLERSVKEAEDNIREMLMARR AQ >ENSMUSP00000025208.5 pep:known chromosome:GRCm38:18:35671103:35703144:-1 gene:ENSMUSG00000024350.5 transcript:ENSMUST00000025208.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc18 description:DnaJ heat shock protein family (Hsp40) member C18 [Source:MGI Symbol;Acc:MGI:1923844] MATTLGSGERWTQAYIDAIRRNKYPEDKRPDSHDPCGCCNCMKAQKEKKSENEWNQTRQG EGNATYTEEQLRGVQRIKKCRNYYDILGVSHNASDEELKKAYKKLALKFHPDKNCAPGAT EAFKAIGNAFAVLSNPDKRLRYDEYGDEQVTFTVPRARSYHYYKDFEADISPEELFNVFF GGHFPSGNIHMFSNVTDDSQYYRRRHRHERTQTHKREEDKSQTPYSAFVQLLPVLVIVTI SVITQLLAANPPYSLFYKSTLGYTISRETQNLQVPYFVDKNFDKAYRGASLRDLEKTIEK DYIDYIQTSCWKEKQQKSELTNLAGLYRDERLRQKAESLKLENCAKLSKLIGLRRAG >ENSMUSP00000056836.7 pep:known chromosome:GRCm38:10:79890853:79892656:1 gene:ENSMUSG00000061780.5 transcript:ENSMUST00000061653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfd description:complement factor D (adipsin) [Source:MGI Symbol;Acc:MGI:87931] MHSSVYFVALVILGAAVCAAQPRGRILGGQEAAAHARPYMASVQVNGTHVCGGTLLDEQW VLSAAHCMDGVTDDDSVQVLLGAHSLSAPEPYKRWYDVQSVVPHPGSRPDSLEDDLILFK LSQNASLGPHVRPLPLQYEDKEVEPGTLCDVAGWGVVTHAGRRPDVLHQLRVSIMNRTTC NLRTYHDGVVTINMMCAESNRRDTCRGDSGSPLVCGDAVEGVVTWGSRVCGNGKKPGVYT RVSSYRMWIENITNGNMTS >ENSMUSP00000037354.7 pep:known chromosome:GRCm38:8:13785615:13798538:1 gene:ENSMUSG00000038398.7 transcript:ENSMUST00000043767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upf3a description:UPF3 regulator of nonsense transcripts homolog A (yeast) [Source:MGI Symbol;Acc:MGI:1914281] MRSEEGAGGLGAALAARGPSWREKLSSSETHCRRESPRKESAAPPAPTLSESGAGKPREE KRTALSKVVLRRLPPGLTKEQLEEQLRPLPAHDYFEVVAADLSLYPHVYSRAYINFRNPD DILLFRDRFDGYIFIGNKGLEYPAVVEFAPFQKIAKKKLKKKDAKTGSIEDDPEYKQFLE SYSLEEEKTSASPETLLGEIEAKTRELLARRTTPLLEYIKNRKLEKQRLREEKREERRRR ELEKKRLREEEKRKRREEDRCKRKEAERHKRAEKDVRIKLLKKLETGEEVATEKPKERGE AVGAGDEKQEDRLVASQPETAGERLQDTSDKEPRDKRSREKDPETSRCHPDTCRKHSSHS EFARFSRRNEDEAKWGKGFAQDRGKKGQDGSVPMDVAERSGKEHKEYDSLGPRKDRLGHK AQ >ENSMUSP00000109010.2 pep:known chromosome:GRCm38:19:7092014:7105729:-1 gene:ENSMUSG00000047787.7 transcript:ENSMUST00000113383.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flrt1 description:fibronectin leucine rich transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:3026647] MVVAHSAATATTTPAATVTATVVMTTATMDLRDWLFLCYGLIAFLTEVIDSTTCPSVCRC DNGFIYCNDRGLTSIPSDIPDDATTLYLQNNQINNAGIPQDLKTKVKVQVIYLYENDLDE FPINLPRSLRELHLQDNNVRTIARDSLARIPLLEKLHLDDNSVSTVSIEEDAFADSKQLK LLFLSRNHLSSIPSGLPHTLEELRLDDNRISTIPLHAFKGLNSLRRLVLDGNLLANQRIA DDTFSRLQNLTELSLVRNSLAAPPLNLPSAHLQKLYLQDNAISHIPYNTLAKMRELERLD LSNNNLTTLPRGLFDDLGNLAQLLLRNNPWFCGCNLMWLRDWVRARAAVVNVRGLMCQGP EKVRGMAIKDITSEMDECFEAGSQGGAANAAAKTTVSNHASATTPQGSLFTLKAKRPGLR LPDSNIDYPMATGDGAKTLVIQVKPLTADSIRITWKAMLPASSFRLSWLRLGHSPAVGSI TETLVQGDKTEYLLTALEPKSTYIICMVTMETGNTYVADETPVCAKAETADSYGPTTTLN QEQNAGPMAGLPLAGIIGGAVALVFLFLVLGAICWYVHRAGELLTRERVYNRGSRRKDDY MESGTKKDNSILEIRGPGLQMLPINPYRSKEEYVVHTIFPSNGSSLCKGAHTIGYGTTRG YREAGIPDVDYSYT >ENSMUSP00000114397.2 pep:known chromosome:GRCm38:7:45721220:45729492:1 gene:ENSMUSG00000054161.11 transcript:ENSMUST00000129507.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83e description:family with sequence similarity 83, member E [Source:MGI Symbol;Acc:MGI:1921063] MAASQLAALEGEELGAGEPALTKASPAVLYSEGQRLALEALLSSGEETFWACVQQERLPP FLSADEAQALATAAEDWLVPSQEPGAAGTGTAITDGDVGSLTYWPRQSEEPAPLLRLGWP EDTAWKGITRAQLYTQPPGEGQPPIKELVHQEIQAARKLVAVVMDVFTDPDLLRDMVDAA TRRWIPVYLLLDHQHLPAFLALAQQLGVNLWTTENLDIRTVQGHTFQSRRRRQVSGHVRE KFVLLDGDRVISGSYSFTWSDSRLHRSLVTLLTGEIADAFNQEFRVLYAASRPLSAAPAR SPLFSPPEGPQLPRSPHRVALRCPVAPVAPLLSDGPLAQRLAACHILERDKQETPTTTGP ALSDILRSVQRTRTTSGPPTRPSRSLWDLSRLSQLSGSSDGENESKKFWVSKDTPARALM RQRGTGGGPRAEMDSRSQPWGGPLPSIPARRLRYLSPAQRRLGDNATTSDWASGSGSGRR R >ENSMUSP00000025632.9 pep:known chromosome:GRCm38:19:18670780:18704788:1 gene:ENSMUSG00000024726.9 transcript:ENSMUST00000025632.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carnmt1 description:carnosine N-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1914633] MQRRQRAPPASQPAQDGGRSEDVEVQFSAGRLGSAAPAGPPARGTAEDEERLEREHFWKV INAFRYYGTSMHERVNRTERQFRSLPENQQKLLPQFPLHLDKIRKCIDHNQEILLTIVND CIHMFENKEYGEDANGKIMPASTFDMDKLKSTLKQFVRDWSETGKAERDACYKPIIKEII KNFPKERWDPSKVNILVPGAGLGRLAWEVAMLGYACQGNEWSFFMLFSSNFVLNRCSEIN KYKLYPWIHQFSNNRRSADQIRPILFPDVDPHSLPPGSNFSMTAGDFQEIYSECNAWDCI ATCFFIDTAHNVIDYIDTIWRILKPGGIWINLGPLLYHFENLANELSIELSYEDIKNVVL QYGFQLEVEKESVLSTYTVNDLSMMKYYYECVLFVVRKPQ >ENSMUSP00000003438.8 pep:known chromosome:GRCm38:10:80685256:80701820:-1 gene:ENSMUSG00000003348.9 transcript:ENSMUST00000003438.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob3a description:MOB kinase activator 3A [Source:MGI Symbol;Acc:MGI:3050117] MSNPFLKQVFNKDKTFRPKRKFEPGTQRFELHKRAQASLNAGLDLRLAVQLPPGEDLNDW VAVHVVDFFNRINLIYGTISDGCTEQSCPVMSGGPKYEYRWQDEQRFRKPTALSAPRYMD LLMDWIEVQINNEDIFPTNVGTPFPKTFLQAVRKILSRLFRVFVHVYIHHFDRIAQMGSE AHVNTCYKHFYYFVTEFNLIDPKELEPLKEMTSRMCH >ENSMUSP00000019684.5 pep:known chromosome:GRCm38:17:24639282:24644957:-1 gene:ENSMUSG00000002504.14 transcript:ENSMUST00000019684.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a3r2 description:solute carrier family 9 (sodium/hydrogen exchanger), member 3 regulator 2 [Source:MGI Symbol;Acc:MGI:1890662] MARSRTSMLPASAPGAPPVNSQLGLTQDVNGPPRELRPRLCHLRRGPQGYGFNLHSDKSR PGQYIRSVDPGSPASHSGLRAQDRLIEVNGQNVEGLRHAEVVARIKAQEDEARLLVVDPE TDEHFKRLRVIPTEEHVEGPLPSPVTNGTSPAQLNGGSVCSSRSDLPGSEKDNEDGSTWK RDPFQESGLHLSPTAAEAKEKARATRVNKRAPQMDWNRKREIFSNF >ENSMUSP00000002572.4 pep:known chromosome:GRCm38:17:24639282:24650305:-1 gene:ENSMUSG00000002504.14 transcript:ENSMUST00000002572.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a3r2 description:solute carrier family 9 (sodium/hydrogen exchanger), member 3 regulator 2 [Source:MGI Symbol;Acc:MGI:1890662] MAALESLRPRLCRLVRGEQGYGFHLHGEKGRRGQFIRRVEPGSPAEAAALRAGDRLVEVN GVNVEGETHHQVVQRIKAVEGQTQLLVVDKETDEELCRRQLTCTEEMAHRGLPPAHNPWE PKPDWACSGSLGSDTGQKDVNGPPRELRPRLCHLRRGPQGYGFNLHSDKSRPGQYIRSVD PGSPASHSGLRAQDRLIEVNGQNVEGLRHAEVVARIKAQEDEARLLVVDPETDEHFKRLR VIPTEEHVEGPLPSPVTNGTSPAQLNGGSVCSSRSDLPGSEKDNEDGSTWKRDPFQESGL HLSPTAAEAKEKARATRVNKRAPQMDWNRKREIFSNF >ENSMUSP00000007980.6 pep:known chromosome:GRCm38:13:58125879:58128556:-1 gene:ENSMUSG00000007836.6 transcript:ENSMUST00000007980.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa0 description:heterogeneous nuclear ribonucleoprotein A0 [Source:MGI Symbol;Acc:MGI:1924384] MENSQLCKLFIGGLNVQTSESGLRGHFEAFGTLTDCVVVVNPQTKRSRCFGFVTYSNVEE ADAAMAASPHAVDGNTVELKRAVSREDSARPGAHAKVKKLFVGGLKGDVAEGDLIEHFSQ FGAVEKAEIIADKQSGKKRGFGFVYFQSHDAADKAAVVKFHPIQGHRVEVKKAVPKEDIH AGGGGARAARGGRGGGRGRGGGGGGGGRDQNGLAKGGGGGGGGYNSYGGYGGYGAYGGGG GGGGSYGGSDYGNGFGGFGSYSQHQSSYGPMKSGGGGGGGGSWGGRSNSGPYRGGYGGGY GGGSF >ENSMUSP00000137303.1 pep:known chromosome:GRCm38:17:6978907:7011299:1 gene:ENSMUSG00000094724.7 transcript:ENSMUST00000179728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaset2b description:ribonuclease T2B [Source:MGI Symbol;Acc:MGI:3702087] MAPAEARGALPGWISVLGWGLALCSLCGAGPLWSGSHEWKKLILTQHWPPTVCKEVNSCQ DSLDYWTIHGLWPDRAEDCNQSWHFNLDEIKDLLRDMKIYWPDVIHRSSNRSQFWKHEWV KHGTCAAQVDALNSEKKYFGKSLDLYKQIDLNSVLQKFGIKPSINYYQLADFKDALTRIY GVVPKIQCLMPEQGESVQTVGQIELCFTKEDLHLRNCTEPGEQLSSRQEAWLAMEASTHG MMVCEDGPIFYPPPTKTQH >ENSMUSP00000086519.5 pep:known chromosome:GRCm38:17:6978860:6998193:1 gene:ENSMUSG00000094724.7 transcript:ENSMUST00000089119.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaset2b description:ribonuclease T2B [Source:MGI Symbol;Acc:MGI:3702087] MAPAEARGALPGWISVLGWGLALCSLCGAGPLWSGSHEWKKLILTQHWPPTVCKEVNSCQ DSLDYWTIHGLWPDRAEDCNQSWHFNLDEIKDLLRDMKIYWPDVIHRSSNRSQFWKHEWV KHGTCAAQVDALNSEKKYFGKSLDLYKQIDLNSVLQKFGIKPSINYYQLADFKDALTRIY GVVPKIQCLMPEQGESVQTVGQIELCFTKEDLHLRNCTEPGEQLSSRQEAWLAMEASTHG MMVCEDGPIFYPPPTKTQH >ENSMUSP00000073462.3 pep:known chromosome:GRCm38:10:122992061:123076505:-1 gene:ENSMUSG00000034602.13 transcript:ENSMUST00000073792.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mon2 description:MON2 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914324] MSCTNSPEAVKKLLENMQSDLRALSLECKKKFPPVKEAAESGIIKVKTIAARNTEILAAL KENSSEVVQPFLMGCGTKEPKITQLCLAAIQRLMSHEVVSETAAGNIINMLWQLMENSLE ELKLLQTVLVLLTTNTVVHDEALSKAIVLCFRLHFTKDNITNNTAAATVRQVVTVVFERM VAEDDRHRDIEPPVPIQGNSNRRSVSTLRPCAKDAYMLFQDLCQLVNADAPYWLVGMTEM TRTFGLELLESVLNDFPQVFLQHQEFSFLLKERVCPLVIKLFSPNIKFRQGSSTSSSPAP VEKPYFPICMRLLRVVSVLIKQFYSLLVTECEIFLSLLVKFLDSDKPQWLRAVAVESIHR LCVQPQLLRSFCQSYDMKQHSTKVFRDIVNALGSFIQSLFLVPPTGNPATANQAGNNNAG GPASAPANSGVVGVGGGVTLLPAFEYRGAWIPILTVTVQGSAKATYLEMLDKVEPPTIPE GYAMSVAFHCLLDLVRGITTMIEGELGEVEAEGPSVTEGASSQSSERRDEQAASDPMDQE TVSRAVWEEMVSACWCGLLAALSLLLDASTDEAATENILKAELTMAALCGRLGLVTSRDA FITAICKGSLPPHYALTVLNATTAATLSNKSYSIQGQSVMMISPSSESHQQVVAVGQPLA VQPQGTVMLTSKNIQCMRTLLNLAHCHGAVLGTSWQLVLATLQHLVWILGLKPSSGGALK PGRAVEGPSTVLTTAVMTDLPVISNILSRLFESSQYLDDVSLHHLINALCSLSLEAMDMA YGNNKEPSLFAVAKLLETGLVNMHRIEILWRPLTGHLLEKVCQHPNSRMREWGAEALTSL IRAGLTFSHEPPLPQNQRLQLLLLNPLKEMSNINHPDIRLKQLECVLQILQSQGDSLGPG WPLVLGVMGAIRNDQGESLIRTAFQCLQLVVTDFLPTMPCSCLQIVVDVAGSFGLHNQEL NISLTSIGLLWNISDYFFQRGETIEKELNKEEAAQQKQAEEKGVSLNRPFHPAPPFDCLW LCLYAKLGELCVDPRPAVRKSAGQTLFSTIGAHGTLLQHSTWHTVIWKVLFHLLDRVRES STTADKEKIESGGGNILIHHSRDTAEKQWAETWVLTLAGVARIFNTRRYLLQPLGDFSRA WDVLLDHIQSAALSKNNEVSLAALKSFQEILQIVSPVRDSEKPEPPAVSVPVPVLLGNLS GPGLSRPFVRTDSIGEKLGRCGSETPVVTDELEDLKLWWAAWNTWHRTGSESTEPPSSVD ELTFIPSQPFLTALVQIFPALYQHIKAGFSMADLQKLGVILHSAVSVPISSDASPFILPS YTEAVLTSLQEAVLTALDVLQKAICVGPENMQIMYPAIFDQLLAFVEFSCKPPQYGQLET KHIANAKYNQIQLFAPAEWVALNYVPFAERSLEVVVDLYQKTACHKAVVNEKVLQNIIKT LRVPLSLKYSCPSESTWKLAVASLLKVLSIGLPVARQHASSGKFDSMWPELASTLEDFLF TKSIPPDNLSIQEFQRNESIDVEVVQLISAEILPYANLIPKAFVGQMMTMLNKGSIHSQP CSFTEAEIDIRLREEFSKMCFETLLQFSFSNKVTTPQEGYISRMALSVLLKRSQDVLHRY IEDERLSGKCPLPRQQVTEIIFVLKAVSTLIDSLKKTQPENVDGNTWSQVIALYPTLVEC ITCSSSDVGSALKEALAPFKDFMQPPASRVQNGES >ENSMUSP00000131052.1 pep:known chromosome:GRCm38:10:122992061:123076505:-1 gene:ENSMUSG00000034602.13 transcript:ENSMUST00000170935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mon2 description:MON2 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914324] MSCTNSPEAVKKLLENMQSDLRALSLECKKKFPPVKEAAESGIIKVKTIAARNTEILAAL KENSSEVVQPFLMGCGTKEPKITQLCLAAIQRLMSHEVVSETAAGNIINMLWQLMENSLE ELKLLQTVLVLLTTNTVVHDEALSKAIVLCFRLHFTKDNITNNTAAATVRQVVTVVFERM VAEDDRHRDIEPPVPIQGNSNRRSVSTLRPCAKDAYMLFQDLCQLVNADAPYWLVGMTEM TRTFGLELLESVLNDFPQVFLQHQEFSFLLKERVCPLVIKLFSPNIKFRQGSSTSSSPAP VEKPYFPICMRLLRVVSVLIKQFYSLLVTECEIFLSLLVKFLDSDKPQWLRAVAVESIHR LCVQPQLLRSFCQSYDMKQHSTKVFRDIVNALGSFIQSLFLVPPTGNPATANQAGNNNAG GPASAPANSGVVGVGGGVTLLPAFEYRGAWIPILTVTVQGSAKATYLEMLDKVEPPTIPE GYAMSVAFHCLLDLVRGITTMIEGELGEVEAEGPSVTEGASSQSSERRDEQAASDPMDQE TAVSRAVWEEMVSACWCGLLAALSLLLDASTDEAATENILKAELTMAALCGRLGLVTSRD AFITAICKGSLPPHYALTVLNATTAATLSNKSYSIQGQSVMMISPSSESHQQVVAVGQPL AVQPQGTVMLTSKNIQCMRTLLNLAHCHGAVLGTSWQLVLATLQHLVWILGLKPSSGGAL KPGRAVEGPSTVLTTAVMTDLPVISNILSRLFESSQYLDDVSLHHLINALCSLSLEAMDM AYGNNKEPSLFAVAKLLETGLVNMHRIEILWRPLTGHLLEVCQHPNSRMREWGAEALTSL IRAGLTFSHEPPLPQNQRLQLLLLNPLKEMSNINHPDIRLKQLECVLQILQSQGDSLGPG WPLVLGVMGAIRNDQGESLIRTAFQCLQLVVTDFLPTMPCSCLQIVVDVAGSFGLHNQEL NISLTSIGLLWNISDYFFQRGETIEKELNKEEAAQQKQAEEKGVSLNRPFHPAPPFDCLW LCLYAKLGELCVDPRPAVRKSAGQTLFSTIGAHGTLLQHSTWHTVIWKVLFHLLDRVRES STTADKEKIESGGGNILIHHSRDTAEKQWAETWVLTLAGVARIFNTRRYLLQPLGDFSRA WDVLLDHIQSAALSKNNEVSLAALKSFQEILQIVSPVRDSEKPEPPAVSVPVPVLLGNLS GPGLSRPFVRTDSIGEKLGRCGSETPVVTDELEDLKLWWAAWNTWHRTGSESTEPPSSVD ELTFIPSQPFLTALVQIFPALYQHIKAGFSMADLQKLGVILHSAVSVPISSDASPFILPS YTEAVLTSLQEAVLTALDVLQKAICVGPENMQIMYPAIFDQLLAFVEFSCKPPQYGQLET KHIANAKYNQAEWVALNYVPFAERSLEVVVDLYQKTACHKAVVNEKVLQNIIKTLRVPLS LKYSCPSESTWKLAVASLLKVLSIGLPVARQHASSGKFDSMWPELASTLEDFLFTKSIPP DNLSIQEFQRNESIDVEVVQLISAEILPYANLIPKAFVGQMMTMLNKGSIHSQPCSFTEA EIDIRLREEFSKMCFETLLQFSFSNKVTTPQEGYISRMALSVLLKRSQDVLHRYIEDERL SGKCPLPRQQVTEIIFVLKAVSTLIDSLKKTQPENVDGNTWSQVIALYPTLVECITCSSS DVGSALKEALAPFKDFMQPPASRVQNGES >ENSMUSP00000037568.7 pep:known chromosome:GRCm38:10:122992061:123076505:-1 gene:ENSMUSG00000034602.13 transcript:ENSMUST00000037557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mon2 description:MON2 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914324] MSCTNSPEAVKKLLENMQSDLRALSLECKKKFPPVKEAAESGIIKVKTIAARNTEILAAL KENSSEVVQPFLMGCGTKEPKITQLCLAAIQRLMSHEVVSETAAGNIINMLWQLMENSLE ELKLLQTVLVLLTTNTVVHDEALSKAIVLCFRLHFTKDNITNNTAAATVRQVVTVVFERM VAEDDRHRDIEPPVPIQGNSNRRSVSTLRPCAKDAYMLFQDLCQLVNADAPYWLVGMTEM TRTFGLELLESVLNDFPQVFLQHQEFSFLLKERVCPLVIKLFSPNIKFRQGSSTSSSPAP VEKPYFPICMRLLRVVSVLIKQFYSLLVTECEIFLSLLVKFLDSDKPQWLRAVAVESIHR LCVQPQLLRSFCQSYDMKQHSTKVFRDIVNALGSFIQSLFLVPPTGNPATANQAGNNNAG GPASAPANSGVVGVGGGVTLLPAFEYRGAWIPILTVTVQGSAKATYLEMLDKVEPPTIPE GYAMSVAFHCLLDLVRGITTMIEGELGEVEAEGPSVTEGASSQSSERRDEQAASDPMDQE TVSRAVWEEMVSACWCGLLAALSLLLDASTDEAATENILKAELTMAALCGRLGLVTSRDA FITAICKGSLPPHYALTVLNATTAATLSNKSYSIQGQSVMMISPSSESHQQVVAVGQPLA VQPQGTVMLTSKNIQCMRTLLNLAHCHGAVLGTSWQLVLATLQHLVWILGLKPSSGGALK PGRAVEGPSTVLTTAVMTDLPVISNILSRLFESSQYLDDVSLHHLINALCSLSLEAMDMA YGNNKEPSLFAVAKLLETGLVNMHRIEILWRPLTGHLLEVCQHPNSRMREWGAEALTSLI RAGLTFSHEPPLPQNQRLQLLLLNPLKEMSNINHPDIRLKQLECVLQILQSQGDSLGPGW PLVLGVMGAIRNDQGESLIRTAFQCLQLVVTDFLPTMPCSCLQIVVDVAGSFGLHNQELN ISLTSIGLLWNISDYFFQRGETIEKELNKEEAAQQKQAEEKGVSLNRPFHPAPPFDCLWL CLYAKLGELCVDPRPAVRKSAGQTLFSTIGAHGTLLQHSTWHTVIWKVLFHLLDRVRESS TTADKEKIESGGGNILIHHSRDTAEKQWAETWVLTLAGVARIFNTRRYLLQPLGDFSRAW DVLLDHIQSAALSKNNEVSLAALKSFQEILQIVSPVRDSEKPEPPAVSVPVPVLLGNLSG PGLSRPFVRTDSIGEKLGRCGSETPVVTDELEDLKLWWAAWNTWHRTGSESTEPPSSVDE LTFIPSQPFLTALVQIFPALYQHIKAGFSMADLQKLGVILHSAVSVPISSDASPFILPSY TEAVLTSLQEAVLTALDVLQKAICVGPENMQIMYPAIFDQLLAFVEFSCKPPQYGQLETK HIANAKYNQAEWVALNYVPFAERSLEVVVDLYQKTACHKAVVNEKVLQNIIKTLRVPLSL KYSCPSESTWKLAVASLLKVLSIGLPVARQHASSGKFDSMWPELASTLEDFLFTKSIPPD NLSIQEFQRNESIDVEVVQLISAEILPYANLIPKAFVGQMMTMLNKGSIHSQPCSFTEAE IDIRLREEFSKMCFETLLQFSFSNKVTTPQEGYISRMALSVLLKRSQDVLHRYIEDERLS GKCPLPRQQVTEIIFVLKAVSTLIDSLKKTQPENVDGNTWSQVIALYPTLVECITCSSSD VGSALKEALAPFKDFMQPPASRVQNGES >ENSMUSP00000040045.5 pep:known chromosome:GRCm38:8:105768308:105827350:-1 gene:ENSMUSG00000037415.5 transcript:ENSMUST00000041400.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp10 description:RAN binding protein 10 [Source:MGI Symbol;Acc:MGI:1921584] MLTAHWLSKANLVALLPAPSDTIAAPSKMAAATADPGAGNPQAGDSSGGDSGGGLPSPGE QELSRRLQRLYPAVNQHETPLPRSWSPKDKYNYIGLSQGNLRVHYKGHGKNHKDAASVRA THPIPAACGIYYFEVKIVSKGRDGYMGIGLSAQGVNMNRLPGWDKHSYGYHGDDGHSFCS SGTGQPYGPTFTTGDVIGCCVNLINGTCFYTKNGHSLGIAFTDLPANLYPTVGLQTPGEI VDANFGQQPFLFDIEDYMREWRAKVQGTVHGFPISARLGEWQAVLQNMVSSYLVHHGYCS TATAFARMTETPIQEEQASIKNRQKIQKLVLEGRVGEAIETTQRFYPGLLEHNPNLLFML KCRQFVEMVNGTDSEVRSLSSRSPKSQDSYPGSPSLSPRHGPSSSHIHNTGADSPSCSNG VASTKNKQNHSKYPAPSSSSSSSSSSSSSSPSSVNYSESNSTDSTKSQPHSSTSNQETSD SEMEMEAEHYPNGVLESVSTRIVNGAYKHDDLQTDESSMDDGHPRRQLCGGNQAATERII LFGRELQALSEQLGREYGKNLAHTEMLQDAFSLLAYSDPWSCPVGHQLDPIQREPVCAAL NSAILESQNLPKQPPLMLALGQASECLRLMARAGLGSCSFARVDDYLH >ENSMUSP00000128621.1 pep:known chromosome:GRCm38:14:33923587:33935280:1 gene:ENSMUSG00000021943.6 transcript:ENSMUST00000168727.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf10 description:growth differentiation factor 10 [Source:MGI Symbol;Acc:MGI:95684] MAPGPARISLGSQLLPMVPLLLLLRGAGCGHRGPSWSSLPSAAAGLQGDRDSQQSPGDAA AALGPGAQDMVAIHMLRLYEKYNRRGAPPGGGNTVRSFRARLEMIDQKPVYFFNLTSMQD SEMILTAAFHFYSEPPRWPRAREVFCKPRAKNASCRLLTPGLPARLHLIFRSLSQNTATQ GLLRGAMALTPPPRGLWQAKDISSIIKAARRDGELLLSAQLDTGEKDPGVPRPSSHMPYI LVYANDLAISEPNSVAVSLQRYDPFPAGDFEPGAAPNSSADPRVRRAAQVSKPLQDNELP GLDERPAPALHAQNFHKHEFWSSPFRALKPRTGRKDRKKKDQDTFTAASSQVLDFDEKTM QKARRRQWDEPRVCSRRYLKVDFADIGWNEWIISPKSFDAYYCAGACEFPMPKIVRPSNH ATIQSIVRAVGIVPGIPEPCCVPDKMNSLGVLFLDENRNAVLKVYPNMSVETCACR >ENSMUSP00000054307.6 pep:known chromosome:GRCm38:18:35829818:35861687:1 gene:ENSMUSG00000046668.8 transcript:ENSMUST00000060722.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxxc5 description:CXXC finger 5 [Source:MGI Symbol;Acc:MGI:1914643] MSSLGGGSQDAGGSSSSSNTNSSSGSGQKAGGTDKSTAVAATTAPTSVADDAPPPERRNK SGIISEPLNKSLRRSRPLSHYSSFGSSGGGGSMMGVESADKAAAAAASLLANGHDLAAAM AVDKSNPTSKHKSGAVASLLSKAERATELAAEGQLTLQQFAQSTEMLKRVVQEHLPLMSE AGAGLPDMEAVAGAEALNGQSDFPYLGAFPINPGLFIMTPAGVFLAESALHMAGLAEYPM QGELASAISSGKKKRKRCGMCAPCRRRINCEQCSSCRNRKTGHQICKFRKCEELKKKPSA ALEKVMLPSGAAFRWFQ >ENSMUSP00000012587.3 pep:known chromosome:GRCm38:19:37376403:37421859:1 gene:ENSMUSG00000012443.3 transcript:ENSMUST00000012587.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif11 description:kinesin family member 11 [Source:MGI Symbol;Acc:MGI:1098231] MASQPSSLKKKEEKGRNIQVVVRCRPFNLAERKANAHSVVECDHARKEVSVRTAGLTDKT SKKTYTFDMVFGASTKQIDVYRSVVCPILDEVIMGYNCTIFAYGQTGTGKTFTMEGERSP NEVYTWEEDPLAGIIPRTLHQIFEKLTDNGTEFSVKVSLLEIYNEELFDLLSPSSDVSER LQMFDDPRNKRGVIIKGLEEITVHNKDEVYQILEKGAAKRTTAATLMNAYSSRSHSVFSV TIHMKETTIDGEELVKIGKLNLVDLAGSENIGRSGAVDKRAREAGNINQSLLTLGRVITA LVERTPHIPYRESKLTRILQDSLGGRTRTSIIATISPASFNLEETLSTLEYAHRAKNIMN KPEVNQKLTKKALIKEYTEEIERLKRDLAAAREKNGVYISEESFRAMNGKVTVQEEQIVE LVEKIAVLEEELSKATELFMDSKNELDQCKSDLQTKTQELETTQKHLQETKLQLVKEEYV SSALERTEKTLHDTASKLLNTVKETTRAVSGLHSKLDRKRAIDEHNAEAQESFGKNLNSL FNNMEELIKDGSAKQKAMLDVHKTLFGNLMSSSVSALDTITTTALESLVSIPENVSARVS QISDMILEEQSLAAQSKSVLQGLIDELVTDLFTSLKTIVAPSVVSILNINKQLQHIFRAS STVAEKVEDQKREIDSFLSILCNNLHELRENTVSSLVESQKLCGDLTEDLKTIKETHSQE LCQLSSSWAERFCALEKKYENIQKPLNSIQENTELRSTDIINKTTVHSKKILAESDGLLQ ELRHFNQEGTQLVEESVGHCSSLNSNLETVSQEITQKCGTLNTSTVHFSDQWASCLSKRK EELENLMEFVNGCCKASSSEITKKVREQSAAVANQHSSFVAQMTSDEESCKAGSLELDKT IKTGLTKLNCFLKQDLKLDIPTGMTPERKKYLYPTTLVRTEPREQLLDQLQKKQPPMMLN SSEASKETSQDMDEEREALEQCTEELVSPETTEHPSADCSSSRGLPFFQRKKPHGKDKEN RGLNPVEKYKVEEASDLSISKSRLPLHTSINL >ENSMUSP00000136129.1 pep:known chromosome:GRCm38:14:41747282:41750595:-1 gene:ENSMUSG00000091740.2 transcript:ENSMUST00000178711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7929 description:predicted gene 7929 [Source:MGI Symbol;Acc:MGI:3646066] QAGMLSMLLRVFQRENRIHTDTRPRQKEADRPSWWERARNNWSWRRHRCAGEASIQAPTI NEQEKRHERLEKLKRELQNIKNARDELQGILANYTNKDLNDRINFETFMLEMQHDQVMTD LKRMPQDISEALSKCKQLTKENQFYCFRNCQLLIESNLIQHKVRMLRKENRQRLREQIAL EECNIETKTLCNEGSQKIKDHYTKQQQV >ENSMUSP00000049970.7 pep:known chromosome:GRCm38:16:7069835:7412479:1 gene:ENSMUSG00000008658.15 transcript:ENSMUST00000056416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox1 description:RNA binding protein, fox-1 homolog (C. elegans) 1 [Source:MGI Symbol;Acc:MGI:1926224] MLASQGVLLHSYGVPMIVPAAPYFPGLMQGNQEAAAAPDTMAQPYASAQFAPPQNGIPAE YTAPHPHPAPEYTGQTTVPDHTLNLYPPTQTHSEQSADTSAQTVSGTATQTDDAAPTDGQ PQTQPSENTESKSQPKRLHVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFV TFENSADADRAREKLHGTVVEGRKIEVNNATARVMTNKKTVNPYTNGWKLNPVVGAVYSP DFYAGTVLLCQANQEGSSMYSGPSSLVYTSAMPGFPYPAATAAAAYRGAHLRGRGRTVYN TFRAAAPPPPIPAYGGVVYQEPVYGNKLLQGGYAAYRYAQPTPATAAAYSDSYGRVYAAD PYHHTLAPAPTYGVGAMNAFAPLTDAKTRSHADDVGLVLSSLQASIYRGGYNRFAPY >ENSMUSP00000111507.3 pep:known chromosome:GRCm38:16:6809222:7409813:1 gene:ENSMUSG00000008658.15 transcript:ENSMUST00000115841.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox1 description:RNA binding protein, fox-1 homolog (C. elegans) 1 [Source:MGI Symbol;Acc:MGI:1926224] MNCEREQLRGNQEAAAAPDTMAQPYASAQFAPPQNGIPAEYTAPHPHPAPEYTGQTTVPD HTLNLYPPTQTHSEQSADTSAQTVSGTATQTDDAAPTDGQPQTQPSENTESKSQPKRLHV SNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSADADRAREKLHGTVV EGRKIEVNNATARVMTNKKTVNPYTNGWKLNPVVGAVYSPDFYAGTVLLCQANQEGSSMY SGPSSLVYTSAMPGFPYPAATAAAAYRGAHLRGRGRTVYNTFRAAAPPPPIPAYGGVVYQ DGFYGADIYGGYAAYRYAQPTPATAAAYSDSYGRVYAADPYHHTLAPAPTYGVGAMNAFA PLTDAKTRSHADDVGLVLSSLQASIYRGGYNRFAPY >ENSMUSP00000034023.3 pep:known chromosome:GRCm38:8:57455923:57477585:1 gene:ENSMUSG00000031610.3 transcript:ENSMUST00000034023.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrg1 description:scrapie responsive gene 1 [Source:MGI Symbol;Acc:MGI:1328308] MMKSVVLVILGLTLLLETQAMPSSRLSCYRKLLKDRNCHNLPEGRADLKLIDANVQHHFW DGKGCEMICYCNFSELLCCPKDVFFGPKISFVIPCNNH >ENSMUSP00000068402.3 pep:known chromosome:GRCm38:15:99084686:99087817:-1 gene:ENSMUSG00000001076.6 transcript:ENSMUST00000064462.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1ql4 description:complement component 1, q subcomponent-like 4 [Source:MGI Symbol;Acc:MGI:3579909] MVLLLLVAIPLLVHSSRGPTHYEMLGRCRMVCDPHASRGQGPDGAPASVPSLPPGAKGEV GRRGKAGLRGPPGPPGPRGPPGEPGRPGPPGPPGPGPGGAAPPAGYVPRIAFYAGLRRPH EGYEVLRFDDVVTNVGNAYEAASGKFTCPMPGVYFFAYHVLMRGGDGTSMWADLMKNGQV RASAIAQDADQNYDYASNSVILHLDVGDEVFIKLDGGKVHGGNTNKYSTFSGFIIYPD >ENSMUSP00000070494.5 pep:known chromosome:GRCm38:14:55878920:55884256:-1 gene:ENSMUSG00000040380.13 transcript:ENSMUST00000063871.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbln3 description:cerebellin 3 precursor protein [Source:MGI Symbol;Acc:MGI:1889286] MGTEWHKPKLSLALVLLTLEAGWAQEGSEPVLLEGECLVVCEPGRPTAGGPGGAALGEAP PGRVAFAAVRSHHHEPAGETGNGTSGAIYFDQVLVNEGEGFDRTSGCFVAPVRGVYSFRF HVVKVYNRQTVQVSLMLNTWPVISAFANDPDVTREAATSSVLLPLDPGDRVSLRLRRGNL LGGWKYSSFSGFLIFPL >ENSMUSP00000127798.1 pep:known chromosome:GRCm38:14:55882061:55884232:-1 gene:ENSMUSG00000040380.13 transcript:ENSMUST00000172378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbln3 description:cerebellin 3 precursor protein [Source:MGI Symbol;Acc:MGI:1889286] MGTEWHKPKLSLALVLLTLEAGWAQEGSEPVLLEGECLVVCEPGRPTAGGPGGAALGEAP PGRVAFAAVRSHHHEPAGETGNGTSGAIYFDQVLVNEGEGFDRTSGCFVAPVRGVYSFRF HVVKVYNRQTVQVSLMLNTWPVISAFANDPDVTREAATSSVLLPLDPGDRVSLRLRRGNL LGGWKYSSFSGFLIFPL >ENSMUSP00000035662.7 pep:known chromosome:GRCm38:18:37972624:37997574:-1 gene:ENSMUSG00000024451.8 transcript:ENSMUST00000042944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap3 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 3 [Source:MGI Symbol;Acc:MGI:2147274] MAAPQDLDIAVWLALVHLEQYADTFRRHGLATAGAAQHLGHEELRHLGISATGHRKRILR LLRAGSAEGFLDSHLDNTMEPTPSPAPDAQPPKPVPKPRTVFGLSNPATAQRPGLSPIFW DPEVSRNSECTQRSSPLLPSSSEQPSVPNTMEMMPNAIYFGLDLRGRAQAAQDVTPDSSQ ATVPTPAFRPTTGTVHIMDPGCLYYGVQPVGIPGASDRRDGRGVCQERAEHRQDLETRED AGYASLELPGDSILSLPTQDAETSDDLISPYASFSSTADRPVPLLSGWLDKLSPQGNYVF QRRFVQFNGRSLMYFGSDKDPFPKGVIPLTAIEMTRSSKDNKFQVITGQRVFVFRTESEA QRDLWCSTLQSCLKEQRLLGHPRPPHPPRPLRTGTLELRGHKAKVFAALIPGELALYKSE QAFSLGIGICFIELQGCSVRETKSRSFDLLTPHRCFSFTAESGGARQSWAAALQEAVTET LSDYEVAEKVWSNPANRHCADCRASRPDWAAVNLGVVICKQCAGQHRALGSGISKVQSLK LDTSVWSNEIVQLFIVLGNDRANCFWAGALPPGEGLHPDSAPGPRGEFISRKYKLGLFRK PHPRHPDHSQLLQALCAAMAGPNLLKNMAQLLCVETSEGEEPLSPSALNGSLLSLLPSDS PGVYNEVVVPATYRGFLYCGSISNKAGAPPLRRGRDAPPRLWCVLGAALEMFASESSPEP LSLLQPQDIVCLGVSPPPADPGDLDRFPFSFELILTGGRIQHFATDGADSLEAWISAVGK WFSPLSCHQLLGPGLLRMGRLWLRSPSHAGLAPGLWLSGFGLLRGDHLFLCPAPGPGPPA PEDMVHLRRLQEISVVSAADTPDKKEHLVLVETGRTLYLQGEGRLDFAAWNTAIGGAAGG GGTGLQEQQMSRGDIPIIVDACISFVTQHGLRLEGVYRKGGARARSLRLLAEFRRDARSV KLRPREHFVEDVTDTLKRFFRELDDPVTSARLLPRWREAAELSQKNQRLEKYKEVISCLP RVNRRTLATLIGHLYRVQKCASLNQMCTRNLALLFAPSVFQTDGRGEHEVRVLQELIDGY ISVFDIDSDQAAQIDLEVSLITTWKDVQLSQAGDLIMEVYIEQQLPDNCVTLKVSPTLTA EELTNQVLEMRGAASGTDLWVTFEILEHGELERPLHPKEKVLEQALQWCQLPEPCSASLL LRKVSMAHAGCLFTGVRRESPRVGLLRCREEPPRLLGNRFQERFFLVRGRCLLLLKEKKS SKPEREWSLEGAKVYLGIRKKLKPPTLWGFTLILEKMHLCLSCMDEEEMWDWTTSILKAQ HDDQQSVVLRRRSSSDLARQKFGTMPLLPIRGDDSGATLLSANQTLRRLHNRRTLSMFFP MKSPQGSVEEQDELEEPVYEEPVYEEVGAFPELTKDTTFSSTWEWSAKSDPSLTSQRSFD QPPLSKASMLGHEERIPDPPPGPPSKSSSQARGSLEEQLLQELNNLILRKGEPASCPESS SQPTSPQAPSPTSLPTPTPSLPTQPPCTSNPPSSQPLT >ENSMUSP00000050412.5 pep:known chromosome:GRCm38:10:81497745:81500132:-1 gene:ENSMUSG00000044199.5 transcript:ENSMUST00000053646.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S1pr4 description:sphingosine-1-phosphate receptor 4 [Source:MGI Symbol;Acc:MGI:1333809] MNISTWSTLVTPESCHRLAASGHSLLIVLHYNHSGRLASRGGSEDGGGLGMLRGPSVAAG CLVVLENAMVLAAIAIYMRSRRWVYYCLLNITLSDLLTGLAYVVNVLLSGTRTFQLSPVH WFLREGLLFMALAASTFSLLFTAGERFATMVRVAESGATKTSRVYGCIGLCWLLAAILGL LPLLGWNCVCAFPRCSSLLPLYSKGYVLFCVVVFALILVAILSLYGAIFRVVRANGQKSP RPPARRKSRRLLNTVLMILVAFVVCWGPLFGLLLADIFGSNVWAQEYLRGMDWILALAVF NSAINPLIYSFRSREVQRAVLAFLCCGCLWLGLRGPGDCLTRITEAHSGASTTDSSLRPR DSFRTSRSLSFRMREPLSSISSVRST >ENSMUSP00000086984.2 pep:known chromosome:GRCm38:14:55971428:55973995:1 gene:ENSMUSG00000068289.5 transcript:ENSMUST00000089555.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cma2 description:chymase 2, mast cell [Source:MGI Symbol;Acc:MGI:88426] MQALLFLMALLLPSRAGAEEIIGGVESEPHSRPYMAYVNTFRRKGYVAICGGFLITPQFV MTAAHCSGRRMTVTLGAHNVRKRECTQQKIKVEKYILPPNYNVSSKFNDIVLLKLKKQAN LTSAVDVVPLPAPSDFAKPGTMCWAAGWGRTGLKKSISRTLREVELRIMGKKACKIFKHY KDSLQICVGSSTKVASVYMGDSGGPLLCAGVAHGIVSSGRGNAKPPAIFTRISPHVPWIN RVIEGK >ENSMUSP00000059587.6 pep:known chromosome:GRCm38:14:57035608:57058030:-1 gene:ENSMUSG00000048582.6 transcript:ENSMUST00000061614.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gja3 description:gap junction protein, alpha 3 [Source:MGI Symbol;Acc:MGI:95714] MGDWSFLGRLLENAQEHSTVIGKVWLTVLFIFRILVLGAAAEEVWGDEQSDFTCNTQQPG CENVCYDRAFPISHIRFWALQIIFVSTPTLIYLGHVLHIVRMEEKKKEREEELLRRDNPQ HGRGREPMRTGSPRDPPLRDDRGKVRIAGALLRTYVFNIIFKTLFEVGFIAGQYFLYGFQ LQPLYRCDRWPCPNTVDCFISRPTEKTIFVIFMLAVACASLVLNMLEIYHLGWKKLKQGV TNHFNPDASEARHKPLDPLPTATSSGPPSVSIGFPPYYTHPACPTVQAKAIGFPGAPLSP ADFTVVTLNDAQGRNHPVKHCNGHHLTTEQNWTRQVAEQQTPASKPSSAASSPDGRKGLI DSSGSSLQESALVVTPEEGEQALATTVEMHSPPLVLLDPGRSSKSSNGRARPGDLAI >ENSMUSP00000022102.7 pep:known chromosome:GRCm38:13:73604002:73620639:1 gene:ENSMUSG00000021610.7 transcript:ENSMUST00000022102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clptm1l description:CLPTM1-like [Source:MGI Symbol;Acc:MGI:2442892] MWSGRSSFTSLVVGVFLVYVVHTCWVMYGIVYTRPCSGDSNCIQPYLALRPKLQLSVYTT TRSSLGAENNVDLILNVEDFDVDSKFERTVNVSVPKKTRNNGTLYAYIFLHHAGILPWQD GKQVHVVSTLTTYMIPKPEEINLLTGESATQQQIEAEKKPSNALDEPVSHWRPRLTLNVM VDDFVFDGSSLPADVHRYMKMIQLGKTVHYLPILFIDQLSNRVKDLMVINRSTTELPLTV SYDKISLGRLRFWIHMQDAVYSLQQFGFSEKDADELKGIFVDTNLYLLALTFFVAAFHLL FDFLAFKSDISFWKKKKSMIGMSTKAVLWRCFSTVVIFLFLLDEQTSLLVLIPAGVGAAI ELWKVKKALKITVAWRGLRPVFQFGTHSESERKTEKYDAQAMKYLSYLLYPLCVGGAVYS LLNIKYKSWYSWLINSFVNGVYAFGFLFMLPQLFVNYKMKSVAHLPWKAFTYKAFNTFID DVFAFIITMPTSHRLACFRDDVVFLVYLYQRWLYPVDKSRVNEFGESYEEQPKRKPHPD >ENSMUSP00000023432.8 pep:known chromosome:GRCm38:16:57156671:57167332:-1 gene:ENSMUSG00000022751.8 transcript:ENSMUST00000023432.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nit2 description:nitrilase family, member 2 [Source:MGI Symbol;Acc:MGI:1261838] MSTFRLALIQLQVSSIKSDNLTRACSLVREAAKQGANIVSLPECFNSPYGTTYFPDYAEK IPGESTQKLSEVAKESSIYLIGGSIPEEDAGKLYNTCSVFGPDGSLLVKHRKIHLFDIDV PGKITFQESKTLSPGDSFSTFDTPYCKVGLGICYDMRFAELAQIYAQRGCQLLVYPGAFN LTTGPAHWELLQRARAVDNQVYVATASPARDDKASYVAWGHSTVVDPWGQVLTKAGTEET ILYSDIDLKKLAEIRQQIPILKQKRADLYTVESKKP >ENSMUSP00000046981.7 pep:known chromosome:GRCm38:10:84917616:85016446:1 gene:ENSMUSG00000035620.14 transcript:ENSMUST00000038523.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ric8b description:resistance to inhibitors of cholinesterase 8 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:2682307] MDEERALYIVRAGEAGAIERVLRDYSDKHRATFKFESADEDKRKKLCEGIFKVLVKEVPT TCQVSCLEVLRILSRDKKILVPVTTKENMQILLRLAKLHESDDSLEKVSEFPVIVESLKC LCNIVFNSQMAQQLSLELNLAAKLCNLLRKCKDRKFINDIKCFDLRLLFVLSLLHTDIRS QLRYELQGLPLLTQILESAFSIKWTDEYESAIDHNGPPLSPQETDCAIEALKALFNVTVD SWKVHKESDSHQFRVMAAVLRHCLLIVGPTEDKTEELHSNAVNLLSNVPVSCLDVLICPL THEETAQEAATLDELPSDKTTEKDTALKNSTMVYNGMNMEAIHVLLNFMEKRIDKGSSYR EGLTPVLSLLTECSRAHRNIRKFLKDQVLPPLRDVTNRPEVGSTVRNKLVRLMTHVDLGV KQIAAEFLFVLCKERVDSLLKYTGYGNAAGLLAARGLLAGGRGDNWYSEDEDTDTEEYKN AKPNINLITGHLEEPMPNPIDEMTEEQKEYEAMKLVNMLDKLSREELLKPMGLKPDGTIT PLEEALSQYSVIEETSSDTD >ENSMUSP00000093032.3 pep:known chromosome:GRCm38:10:84917656:85016446:1 gene:ENSMUSG00000035620.14 transcript:ENSMUST00000095385.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ric8b description:resistance to inhibitors of cholinesterase 8 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:2682307] MDEERALYIVRAGEAGAIERVLRDYSDKHRATFKFESADEDKRKKLCEGIFKVLVKEVPT TCQVSCLEVLRILSRDKKILVPVTTKENMQILLRLAKLHESDDSLEKVSEFPVIVESLKC LCNIVFNSQMAQQLSLELNLAAKLCNLLRKCKDRKFINDIKCFDLRLLFVLSLLHTDIRS QLRYELQGLPLLTQILESAFSIKWTDEYESAIDHNGPPLSPQETDCAIEALKALFNVTVD SWKVHKESDSHQFRVMAAVLRHCLLIVGPTEDKTEELHSNAVNLLSNVPVSCLDVLICPL THEETAQEAATLDELPSDKTTEKDTALKNSTMVYNGMNMEAIHVLLNFMEKRIDKGSSYR EGLTPVLSLLTECSRAHRNIRKFLKDQVLPPLRDVTNRPEVGSTVRNKLVRLMTHVDLGV KQIAAEFLFVLCKERVDSLLKYTGYGNAAGLLAARGLLAGGRGDNWYSEDEDTDTEEYKN AKPKEELLKPMGLKPDGTITPLEEALSQYSVIEETSSDTD >ENSMUSP00000060912.7 pep:known chromosome:GRCm38:14:65986787:66009210:-1 gene:ENSMUSG00000034450.7 transcript:ENSMUST00000059970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gulo description:gulonolactone (L-) oxidase [Source:MGI Symbol;Acc:MGI:1353434] MVHGYKGVQFQNWAKTYGCSPEMYYQPTSVGEVREVLALARQQNKKVKVVGGGHSPSDIA CTDGFMIHMGKMNRVLQVDKEKKQVTVEAGILLTDLHPQLDKHGLALSNLGAVSDVTVGG VIGSGTHNTGIKHGILATQVVALTLMKADGTVLECSESSNADVFQAARVHLGCLGVILTV TLQCVPQFHLLETSFPSTLKEVLDNLDSHLKKSEYFRFLWFPHSENVSIIYQDHTNKEPS SASNWFWDYAIGFYLLEFLLWTSTYLPRLVGWINRFFFWLLFNCKKESSNLSHKIFSYEC RFKQHVQDWAIPREKTKEALLELKAMLEAHPKVVAHYPVEVRFTRGDDILLSPCFQRDSC YMNIIMYRPYGKDVPRLDYWLAYETIMKKFGGRPHWAKAHNCTRKDFEKMYPAFHKFCDI REKLDPTGMFLNSYLEKVFY >ENSMUSP00000094075.3 pep:known chromosome:GRCm38:15:79483718:79505241:-1 gene:ENSMUSG00000044216.6 transcript:ENSMUST00000057801.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj4 description:potassium inwardly-rectifying channel, subfamily J, member 4 [Source:MGI Symbol;Acc:MGI:104743] MHGHNRNGQAHVPRRKRRNRFVKKNGQCNVYFANLSNKSQRYMADIFTTCVDTRWRYMLM IFSAAFLVSWLFFGLLFWCIAFFHGDLEASPSVPAAGGPGGNGGASPNAPKPCIMHVNGF LGAFLFSVETQTTIGYGFRCVTEECPLAVIAVVVQSIVGCVIDSFMIGTIMAKMARPKKR AQTLLFSHHAVISVRDGKLCLMWRVGNLRKSHIVEAHVRAQLIKPYMTQEGEYLPLDQRD LNVGYDIGLDRIFLVSPIIIVHEIDEDSPLYGMGKEELESEDFEIVVILEGMVEATAMTT QARSSYLASEILWGHRFEPVVFEEKSHYKVDYSRFHKTYEVAGTPCCSARELQESKITVL PAPPPPPSAFCYENELALMSQEEEEMEEEAAAAAAVAAGLGLEAGSKEEAGIIRMLEFGS HLDLERMQAATLPLDNISYRRESAI >ENSMUSP00000022169.7 pep:known chromosome:GRCm38:13:97176332:97198357:-1 gene:ENSMUSG00000021665.7 transcript:ENSMUST00000022169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexb description:hexosaminidase B [Source:MGI Symbol;Acc:MGI:96074] MPQSPRSAPGLLLLQALVSLVSLALVAPARLQPALWPFPRSVQMFPRLLYISAEDFSIDH SPNSTAGPSCSLLQEAFRRYYNYVFGFYKRHHGPARFRAEPQLQKLLVSITLESECESFP SLSSDETYSLLVQEPVAVLKANSVWGALRGLETFSQLVYQDSFGTFTINESSIADSPRFP HRGILIDTSRHFLPVKTILKTLDAMAFNKFNVLHWHIVDDQSFPYQSTTFPELSNKGSYS LSHVYTPNDVRMVLEYARLRGIRVIPEFDTPGHTQSWGKGQKNLLTPCYNQKTKTQVFGP VDPTVNTTYAFFNTFFKEISSVFPDQFIHLGGDEVEFQCWASNPNIQGFMKRKGFGSDFR RLESFYIKKILEIISSLKKNSIVWQEVFDDKVELQPGTVVEVWKSEHYSYELKQVTGSGF PAILSAPWYLDLISYGQDWKNYYKVEPLNFEGSEKQKQLVIGGEACLWGEFVDATNLTPR LWPRASAVGERLWSPKTVTDLENAYKRLAVHRCRMVSRGIAAQPLYTGYCNYENKI >ENSMUSP00000106081.2 pep:known chromosome:GRCm38:12:73997761:74267916:1 gene:ENSMUSG00000044912.9 transcript:ENSMUST00000110451.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt16 description:synaptotagmin XVI [Source:MGI Symbol;Acc:MGI:2673872] MATDITPEAIGFLSAIGVFVVLLAVLLLFINKKLCSENLRGHPYPEQRGKRKHSRDKTGG HTGTVNSFGDDEEPSTSSESDEDVTKQFKISVSRSQSFRSGVSEKGKTTELEQKIKCKRL LCTHQEDSAEGSACEGIHCSALHCRQGQVNDLDRTSQLSYSEILSYEDRPISILPQSPFE SRNVRHHGPCRPEMGMVRSLGRPCADGVLETETAFVSRGFEDSYATHSSSLWSPEEQDGT SLQVPHRLLEPISKCGDLDVIFEYRAVTQKLTVTIVRAQGLPDKDRSGVNSWQVHIVLLP SKKQRGKTNIQRGPNPVFKEKVTFTKLEPRDVASCAVRFRLYAARKMTRERMMGEKLFCL SHLHPEGEMKVTLVLEPRSNLSSGESPLSPSVVSHSDSASSTQSLSHGGVPELLVGLSYN ATTGRLSVEMIKGSHFRNLAANRAPDTYGKLFLLNCVGQEMSRCKTSIRRGQPNPVYKET FVFQVALFQLSDVTLMISIYSRRTMKRKEMIGWVALGQNSSGEEEQEHWEEMKESKGQQT CRWHTLLES >ENSMUSP00000040707.6 pep:known chromosome:GRCm38:10:17900466:17948067:-1 gene:ENSMUSG00000039879.8 transcript:ENSMUST00000037879.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heca description:hdc homolog, cell cycle regulator [Source:MGI Symbol;Acc:MGI:2685715] MPNPKNSKGGRKNKRANSSGDEQENGAGALAAAGATGAAAGGALAAAAAGCGAASPGAVG TGGAAGPGGAGTGAANATVAAGAAAAGDAKNGAPCATPLICSFGRPVDLEKDDYQKVVCN NEHCPCSTWMHLQCFYEWESSILVQFNCIGRARSWNEKQCRQNMWTKKGYDLAFRFCSCR CGQGHLKKDTDWYQVKRMQDEKKKKSGSEKNTARPPGEAGEEAKKGRALNKPQKGLNHDL PRRHSMDRQNSQEKTVGSAAYGARSPCGSPGQSPPTGYSILSPAHFSGPRSSRYLGEFLK NAIHLEPHKKAVPGGHVFRNAHFDYSSAGLSVHRAGHFDTPVQFLRRLDLSELLTHIPRH KLNTFHVRMEDDAQVGQGEDLRKFILAALSASHRNVVNCALCHRALPVFEQFPLVDGTLF LSPSRHDEIEYDVPCHLQGRLMHLYAVCVDCLEGVHKIICIKCKSRWDGSWHQLGTMYTY DILAASPCCQARLNCKHCGKPVIDVRIGMQYFSEYSNVQQCPHCGNLDYHFVKPFSSFKV LEAY >ENSMUSP00000023952.8 pep:known chromosome:GRCm38:15:101996711:102004342:-1 gene:ENSMUSG00000049382.9 transcript:ENSMUST00000023952.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt8 description:keratin 8 [Source:MGI Symbol;Acc:MGI:96705] MSIRVTQKSYKMSTSGPRAFSSRSFTSGPGARISSSSFSRVGSSSSSFRGSMGTGVGLGG FGGAGVGGITAVTVNQSLLSPLKLEVDPNIQAVRTQEKEQIKSLNNKFASFIDKVRFLEQ QNKMLETKWSLLQQQKTSRSNMDNMFESYINNLRRQLEALGQEKLKLEAELGNMQGLVED FKNKYEDEINKRTEMENEFVLIKKDVDEAYMNKVELESRLEGLTDEINFLRQIHEEEIRE LQSQISDTSVVLSMDNSRSLDMDGIIAEVRAQYEDIANRSRAEAETMYQIKYEELQTLAG KHGDDLRRTKTEISEMNRNINRLQAEIEALKGQRASLEAAIADAEQRGEMAIKDAQTKLA ELEAALQRAKQDMARQLREYQELMNVKLALDIEITTYRKLLEGEESRLESGMQNMSIHTK TTSGYSGGLSSSYGGLTSPGFSYGMSSFQPGFGSAGGSNTFSRTTKAVVVKKIETRDGKL VSESSDVVSK >ENSMUSP00000136827.1 pep:known chromosome:GRCm38:17:39073691:39077037:-1 gene:ENSMUSG00000096697.1 transcript:ENSMUST00000178532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp23 description:exocrine gland secreted peptide 23 [Source:MGI Symbol;Acc:MGI:5295688] MFVRSLLLLSVLTEGWVLPDPWKEPNTSVDHSTNVKRVVEKIVYKYITDQFEYNEQAFCE ILDRLGVNVISRPLNVRKVNQLSFLKIMANSSSRCRNQKQIFTL >ENSMUSP00000016902.3 pep:known chromosome:GRCm38:15:83526862:83544635:1 gene:ENSMUSG00000016758.3 transcript:ENSMUST00000016902.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bik description:BCL2-interacting killer [Source:MGI Symbol;Acc:MGI:1206591] MSEARLMARDVIKTVPHDQVPQPPVASETPSMKEPVRDVDLMECVEGRNQVALRLACIGD EMDLCLRSPRLVQLPGIAIHRLAVTYSRTGVRGIFRSLIRSLTNLRENIWSWRVLTPGAW VSPDQDPGQLFPMVLLVFLLLGGAWYLQLQ >ENSMUSP00000023133.6 pep:known chromosome:GRCm38:15:103530279:103537992:-1 gene:ENSMUSG00000022490.6 transcript:ENSMUST00000023133.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r1a description:protein phosphatase 1, regulatory (inhibitor) subunit 1A [Source:MGI Symbol;Acc:MGI:1889595] MEPDNSPRKIQFTVPLLEPHLDPEAAEQIRRRRPTPATLVLTSDQSSPEIDEDRIPNSLL KSTLSMSPRQRKKMTRTTPTMKELQTMVEHHLGQQKQGEEPEGATESTGNQESCPPGIPD TGSASRPDTPGTAQKSAESNPKTQEQCGVEPRTEDSSAHMLPLDSQGASLV >ENSMUSP00000089721.4 pep:known chromosome:GRCm38:13:112993868:113000394:1 gene:ENSMUSG00000074651.2 transcript:ENSMUST00000092089.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcidas description:multiciliate differentiation and DNA synthesis associated cell cycle protein [Source:MGI Symbol;Acc:MGI:3648807] MQACEGSAAGRRAFDSICPNRMLDLSRRTLGKPGKPERKFVPSWKSFSGCGGGSPVAVYE DPPDAEPAPLPALTTIDLQDLADCTSLLGTEASPSGDSSASQNPSLQTEEDFNLQNFRDA MDDLIADSSSLMSPPLTNSDFPFSPCDVSSFGSCLSPSLDPPALGSPDLPPPPTEQYWKE VADQNQRALGTALIENNQLHVTLTQKQEEIASLRERNVQLKELASRTRHLASVLDKLMIT QSPAEPFQIKATTKRSLEELFCAAGQAGQGCAEVDAILRDISQRCEEALHNRDPKRPRLQ PEPDSKDCSSRNLHGAFRGLRTDCSASSVNLSHSELEEGGSFSTPIRSHSTIRTLAFPQG KAFTIRTVTGGYKFRWVPS >ENSMUSP00000045283.6 pep:known chromosome:GRCm38:17:46496789:46499618:1 gene:ENSMUSG00000040658.6 transcript:ENSMUST00000046497.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnph1 description:2'-deoxynucleoside 5'-phosphate N-hydrolase 1 [Source:MGI Symbol;Acc:MGI:3039376] MAASGELVPCSVYFCGSIRGGREDQALYSRIVSRLRRYGKVLTEHVADAELEPRGEEAAG GDQFIHERDLAWLRQADVVVAEVTQPSLGVGYELGRAVALGKPILCLFRPQSGRVLSAMI RGAADGSRFQVWDYAEEEVETMLHRYFEAYLPQGTASSSNPSACLNPTVLEKI >ENSMUSP00000065935.7 pep:known chromosome:GRCm38:8:22476700:22569607:1 gene:ENSMUSG00000037656.8 transcript:ENSMUST00000067786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc20a2 description:solute carrier family 20, member 2 [Source:MGI Symbol;Acc:MGI:97851] MAMDGYLWMVILGFIIAFILAFSVGANDVANSFGTAVGSGVVTLRQACILASIFETTGSV LLGAKVGETIRKGIIDVNLYNETVETLMAGEVSAMVGSAVWQLIASFLRLPISGTHCIVG STIGFSLVAIGPKGVQWMELVKIVASWFISPLLSGFMSGVLFILIRMFILTKEDPVPNGL QALPLFYAATIAINVFSIMYTGAPVLGLSLPIWAIALISFGVALLFAFFVWLFVCPWMKR KIAGRLEKESALSRASDESLRKVQEAESPGFKELPGAKPSDDSAVPLTSLAGEAVGASEG TSAGNHPRASYGRALSMTHGSAKSPISNGTFGFEGHMRNDGHVYHTVHKDSGLYKDLLHK IHVDRGSEEKPTQENNYRLLRRNNSYTCYTAAICGMPVHTTFRASDTSSAPEDSEKLVGD SVSYSKKRLRYDSYSSYCNAVAEAEIEAEEGGVEMRLASELADPDRPHEDPTEEEKEEKD SAEVHLLFHFLQVLTACFGSFAHGGNDVSNAIGPLVALWLIYQQGGVTQEAATPVWLLFY GGVGICTGLWVWGRRVIQTMGKDLTPITPSSGFTIELASAFTVVIASNIGLPVSTTHCKV GSVVAVGWIRSRKAVDWRLFRNIFVAWFVTVPVAGLFSAAIMALLMYICGLFSSSR >ENSMUSP00000046512.5 pep:known chromosome:GRCm38:17:56751325:56757530:-1 gene:ENSMUSG00000039481.5 transcript:ENSMUST00000044752.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrtn description:neurturin [Source:MGI Symbol;Acc:MGI:108417] MRRWKAAALVSLICSSLLSVWMCQEGLLLGHRLGPALAPLRRPPRTLDARIARLAQYRAL LQGAPDAVELRELSPWAARIPGPRRRAGPRRRRARPGARPCGLRELEVRVSELGLGYTSD ETVLFRYCAGACEAAIRIYDLGLRRLRQRRRVRRERARAHPCCRPTAYEDEVSFLDVHSR YHTLQELSARECACV >ENSMUSP00000128710.1 pep:known chromosome:GRCm38:8:123996318:124021309:-1 gene:ENSMUSG00000038697.14 transcript:ENSMUST00000165628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf5l description:TAF5-like RNA polymerase II, p300/CBP-associated factor (PCAF)-associated factor [Source:MGI Symbol;Acc:MGI:1919039] MKRVRTEQVQVAVSCYLKRRQYVDSEGPLKQGLRLSQTPEEMAANLTVQSESGCANAVSA APCQAEPQQYEVQFGRLRSFLTDSDSQYSREVMPLLYPLFVYLHLNLVQSGPKSTVESFY SRFHGMFLQNASQKDVIEQLQTTQTIQDILSNFQLRAFLDNKYVVRLQEDSYNYLIRYLQ SDNNTALCKVLAVHIHLDVQPAKRTDYQLYASGGSSRTENSSLEPPEVPSPILQNEAALE VLQESIKRVKDGPPSLTTICFYAFYNTEQLLNTAEISSDSKLLAAGFDNSCIKLWSLRSK KLKSEPHHVDTSRIRLACDTLEEEENEEDNTGTEMKILRGHCGPVYSTRFLADSSGLLSC SEDMSIRYWDLGSFTNTVLYQGHAYPVWDVDISPFSLYFASGSHDRTARLWSFDRTYPLR IYAGHLADVDCVKFHPNSNYLATGSTDKTVRLWSAQQGNSVRLFTGHRGPVLSLSFSPNG KYLASAGEDQRLKLWDLASGTLFKELRGHTDSITSLAFSPDSGLIASASMDNSVRVWDIR STCCNTPADGSSGELVGVYTGQMSNVLSVQFMACNLLLVTGITQENQEH >ENSMUSP00000090726.5 pep:known chromosome:GRCm38:8:123996318:124021008:-1 gene:ENSMUSG00000038697.14 transcript:ENSMUST00000093039.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf5l description:TAF5-like RNA polymerase II, p300/CBP-associated factor (PCAF)-associated factor [Source:MGI Symbol;Acc:MGI:1919039] MKRVRTEQVQVAVSCYLKRRQYVDSEGPLKQGLRLSQTPEEMAANLTVQSESGCANAVSA APCQAEPQQYEVQFGRLRSFLTDSDSQYSREVMPLLYPLFVYLHLNLVQSGPKSTVESFY SRFHGMFLQNASQKDVIEQLQTTQTIQDILSNFQLRAFLDNKYVVRLQEDSYNYLIRYLQ SDNNTALCKVLAVHIHLDVQPAKRTDYQLYASGGSSRTENSSLEPPEVPSPILQNEAALE VLQESIKRVKDGPPSLTTICFYAFYNTEQLLNTAEISSDSKLLAAGFDNSCIKLWSLRSK KLKSEPHHVDTSRIRLACDTLEEEENEEDNTGTEMKILRGHCGPVYSTRFLADSSGLLSC SEDMSIRYWDLGSFTNTVLYQGHAYPVWDVDISPFSLYFASGSHDRTARLWSFDRTYPLR IYAGHLADVDCVKFHPNSNYLATGSTDKTVRLWSAQQGNSVRLFTGHRGPVLSLSFSPNG KYLASAGEDQRLKLWDLASGTLFKELRGHTDSITSLAFSPDSGLIASASMDNSVRVWDIR STCCNTPADGSSGELVGVYTGQMSNVLSVQFMACNLLLVTGITQENQEH >ENSMUSP00000070037.6 pep:known chromosome:GRCm38:12:30884322:30893854:1 gene:ENSMUSG00000054204.6 transcript:ENSMUST00000067087.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam150b description:family with sequence similarity 150, member B [Source:MGI Symbol;Acc:MGI:3697448] MRVSGRPMLLALLLLLSTVGDRGRAQSRGPADRQTLLRLLVELVQELKKFHIGDSKRLQL LGESDFALGRREATDYGADQEEQRVEIVPRDLRMKDKFLKHLTGPLYFSPKCSKHFHRLY HNTRDCTIPAYYKRCARLLTRLAVSPMCMER >ENSMUSP00000135946.1 pep:known chromosome:GRCm38:17:87920977:87921393:1 gene:ENSMUSG00000093922.1 transcript:ENSMUST00000179601.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl36-ps4 description:ribosomal protein L36, pseudogene 4 [Source:MGI Symbol;Acc:MGI:3644086] LGTMKAIIAQASRPDHSPRAAAMALRYPMAVGLSKGHKMTKNISKLRHSQTPHQAHQVCA GHDPGGFEPYERVAQSVQGRALKFVKKTVGTHIRAKRKWEELCNVLAAMRKAAAKKD >ENSMUSP00000039440.5 pep:known chromosome:GRCm38:10:5336949:5550692:-1 gene:ENSMUSG00000096054.2 transcript:ENSMUST00000041639.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne1 description:spectrin repeat containing, nuclear envelope 1 [Source:MGI Symbol;Acc:MGI:1927152] MATSRASSRSHRDITNVMQRLQDEQEIVQKRTFTKWINSHLAKRKPPMVVDDLFEDMKDG IKLLALLEVLSGQKLPCEQGHRVKRIHAVANIGTALKFLEGRKSMYRGSPIKLVNINATD IADGRPSIVLGLMWTIILYFQIEELTSNLPQLQSLSSSASSVDSMVSTETASPPSKRKVA AKIQGNAKKTLLKWVQHTAGKQMGIEVKDFGKSWRTGLAFHSVIHAIQPELVDLEKVKTR SNRENLEDAFTIAETQLGIPRLLDPEDVDVDKPDEKSIMTYVAQFLTQYPDIHGAGCDGQ EDDRDDRLILKETKVWIEQFERDFTRAQMTESSLQDKYQAFKHFRVQYEMKRKQVEHIIQ PLQRDGKLTLDQALVKQCWERVSSRLFDWHIQLDKSLPAPLGTIGAWLYRAEVALREEIT IQQVHEETANTIQRKLEQHKDLLQNTDAHKRAFHEIYQTRSVNGIPMPPDQLEDMAERFH FVSSTSELHLMKMEFLELKYRLLSLLVLAESKLKSWIIKYGRRESVELLLQSYISFIENS KFFEQYEVTYQILKQTADIYVKAEGSVEEAENVMKFMSEATAQWRNLSVEVRSVRSMLEE VISNWDRYGDTVASLQAWLEDAEKMLSQSEHAKKDFFRNLPHWIQQHTAMNDAGNFLIET CDEIVSRDLKQQLLLLNGRWRELFMEVKQYARADEMDRMKKEYIDVTTTLFGFATEAHRK LSEPLEVSFINVKLLIQDLEDLEKRVPVMDAQYKMIAKKAHLFAKESPQEEANEMLTTMS KLKEQLSKVKECCSPLLYEAQQLTVPLEELETQITSFYDSLGKINEILSVLEQEAQSSTL FKQKHQELLASQENCKKSLTLIEKGSQSVQKLVTSSQARKPWDHTKLQKQIADVHHAFQS MIKKTGDWKKHVEANSRLMKKFEESRAELEKVLRVAQEGLEEKGDPEELLRRHTEFFSQL DQRVLNAFLKACDELTDILPEQEQQGLQEAVRKLHKQWKDLQGEAPYHLLHLKIAVEKDR FSAAVEECRAELEQETKLAPQEGSEKIIKEHRVFFSDKGPHHLCEKRLQLIEELCGKLPV QDPVRDTCGACHTALKELKASIDNTYTMLVDDPDKWKDYTSRFSEFSSWVSAKKACLKKI KDEPIDTGNHDEVKHMVDEIRNDITKKGESLSWLKSRLKYLIDISSENEAQKRGDELAEL SSSFKALVALLSEVEKLLSNFGECVQYKEIVKSSLEGLISGPQESKEEAEMILDSKNLLE AQQLLLHHQQKTKMISAKKRDLQEQMEQAQQGGQAGPGQEELRKLESTLTGLEQSRERQE RRIQVSLRKWERFETNKETVVRYLFQTGSSHERFLSFSSLESLSSELEQTKEFSKRTESI ATQAENLVKEAAELPLGPRNKRVLQRQAKSIKEQVTTLEDTLEEEYVLHHF >ENSMUSP00000093587.3 pep:known chromosome:GRCm38:10:5020203:5069063:-1 gene:ENSMUSG00000096054.2 transcript:ENSMUST00000095899.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne1 description:spectrin repeat containing, nuclear envelope 1 [Source:MGI Symbol;Acc:MGI:1927152] MVVAEDLHGPRMAEDSSVDADLPDCDCDVSRVKKLKETLVAVQQLDKNMGSLRTWLAHME SELAKPIVYDSCNSEEIQRKLNEQQELQRDIEKHSTGVASVLNLCEVLLHDCDACATDAE CDSIQQATRNLDRRWRNICAMSMERRLKIEETWRLWQKFLDDYSRFEDWLEVSERTAAFP SSSGVLYTVAKEELKKFEAFQRQVHESLTQLELINKQYRRLARENRTDSACSLRQMVHGG NQRWDDLQKRVTSILRRLKHFISQREEFETARDSILVWLTEMDLQLTNIEHFSECDVQAK IKQLKAFQQEISLNHNKIEQIIAQGEQLIEKSEPLDAAVIEEELDELRRYCQEVFGRVER YHKKLIRLPLPDDHDLSDRELDLEDSTALSDLRWQDPSADGMPSPQPSSNPSLSLPQPLR SERSGRDTPASVDSIPLEWDHDYDLSRDLESASRTLPSEDEEGEEDKEFYLRGAVGLSGD PSSLESQMRQLDKALDDSRFQIQQTANILRSKTPTGPDLDTSYKGYMKLLGECSGSIDSV RRLEHKLAEEESFPGFVNLNSTETQTAGVIDRWELLQAQAMSKELRMKQNLQKWQQFNSD LNNIWAWLGETEEELDRLQHLALSTDIHTIESHIKKLKELQKAVDHRKAIILSINLCSSE FTQADSKESHDLQDRLSQMNGRWDRVCSLLEDWRGLLQDALMQCQEFHEMSHALLLMLEN IDRRKNEIVPIDSTLDPETLQDHHKQLMQIKQELLKSQLRVASLQDMSRQLLVNAEGSDC LEAKEKVHVIGNRLKLLLKEVSHHIKDLEKLLDMSSSQQDLSSWSSADELDTSGSVSPTS GRSTPNRQKSPRGKCSLSQPGPSVSSPKSRSTRDGSDSSRSDPRPERVGRAFLFRILRAA LPFQLLLLLLIGLTCLVPMSEKDYSCALSNNFARSFHPMLRYTNGPPPL >ENSMUSP00000080808.5 pep:known chromosome:GRCm38:9:15910205:16378231:-1 gene:ENSMUSG00000074505.4 transcript:ENSMUST00000082170.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fat3 description:FAT atypical cadherin 3 [Source:MGI Symbol;Acc:MGI:2444314] MGHCMGTKPPSCIILLLLKLFATVSQGLPGTGPLGFHFTHSTYNATVYENSAARTYVNSQ SRMGITLIDLSWDIKYRIVSGDEEGFFKAEEVIIADFCFLRIRTKGGNSAILNREIQDNY LLIIKGSVRGEDLEAWTKVNIQVLDMNDLRPLFSPTTYSVTIAESTPLRTSVAQVTATDA DIGSNGEFYYYFKNKVDLFSVHPTSGVISLSGRLNYDEKNRYDLEILAVDRGMKLYGNNG VSSTAKLYVHIERINEHAPIIHVVSHTPFSLDKEPTYAVVTVDDLDEGANGEIESLSIVD GDPLEQFFLAKEGKWLNEYKVKERRQVDWESFSYGYNLTIQAKDKGSPQKFSELKTVHIA NPRRDNTPVRFEKDVYEVSISEFSPPGVLVAIVKVSPEPLDVEYKLLPGKDSDYFKINPR SGLIVTAQPLNTVKKEVYKLEVSDKEGDAKAQVTIGIEDANDHTPEFQEALYETFVNESV RVGTNVLTVSASDKDKGENGYITYSIASLNLLPFAINQFTGVISTTEELDFESSPETYRF IVRASDWGSPYRHESEVNVTIRVGNVNDNSPLFEKVACQGVISYDFPVGGHITAISAIDI DELELVKYKIISGNELGFFYLNPDSGVLQLKKSLMNSGIKNGNFALRITATDGENFADPM AINISVLHGKVSSKSFSCRETRVAQKLAEKLLIKAKANGKLNLEDGFLDFYSINRQGPHF DKSFPSDVAVKEDMLVGTNILKIKAYDADSGFNGKVLFTISDGNTDSCFNIDMETGQLKV LMPMDREHTDLYVLNITIYDLGKPQKSSWRLLTVNVEDANDNNPVFLQDSYSVSILESSS IGTEIIQVEARDKDLGSNGEVMYSVLTDTHQFIINSSTGIVYIADQLDRESKANYSLKIE ARDKAESGQQLFSVVTLKIFLDDVNDCSPAFIPSSYSVKVLEDLPVGTVIAWLETQDPDL GLGGQVRYSLVNDYNGRFEVDKASGAIRLSKELDYEKQQFYNLTVRAKDKGRPVSLSSVS FVEVEVVDVNENLHTPYFPDFAVVGSVKENSRIGTSVLQVTAHDEDSGRDGEIQYSIRDG SGLGRFNIDDESGVITAADSLDRETTASYWLTVYATDRGVVPLYSTIEVYIEVEDVNDNA PLTSEPIYYPVVMENSPKDVSVIQIQAEDPDSGSNEKLTYRITSGNPQNFFAINIKTGLI TTTSRKLDREQQAEHFLEVTVTDGGSSPKQSTIWVVVQVLDENDNRPQFPEKVYQIKLPE RDRKKRGEPIYRAFAFDKDEGPNAEISYSIVDGNDDGKFFIDPKTGMVSSRKQFTAGSYD ILTIKAVDNGRPQKSSTARLHIEWIKKPPPSPIPLTFDEPFYNFTVMESDKVTEIVGVVS VQPANTPLWFDIVGGNFDSSFDAEKGVGTIVIAKPLDAEQRSVYNMSVEVTDGTNIAVTQ VFIKVLDNNDNGPEFSQPHYDVTISEDVLPDTEILQIEATDRDEKHKLSYTIHSSIDAVS MRKFRMDPSTGVLYTAERLDHEAQDKHILNIMVRDQEFPYRRNLARVIVNVEDANDHSPY FTNPLYEASVFESAALGSVVLQVTALDKDKGENAELIYSIEAGNTGNTFKIEPVLGIITI SKEPDMAAMGQFVLSVKVTDQGSPPMSATAIVRISISMSDNSHPKFTHKDYQAEVNENVD IGTSVILISAISQSTLIYEVKDGNINGVFTINPYSGVITTRRALDYEHTSSYQLIIQATN MAGMASNATISVQIVDENDNPPVFLFSQYSGSLSEAAPINSIVRSLDNSPLVIRATDADS NQNALLVYQIVESTAKKFFTVDSSTGAIRTIANLDHEAIAHFHFHVHVRDSGNPQLTAES PVEVNIEVTDVNDNPPVFTQAVFETVLLLPTYIGVEVLKVSATDPDSEVPPELTYSLMEG SVDNFLMDPNTGVLTIKNNNLSKDHYMLIVRVSDGKFYSTAMVTVMVKEAMDSGLHFTQS FYSTSISENSTNITKVAIVNAVGNRLNEPLKYSILNPGNKFKIKSTSGVIQTTGVPFDRE EQELYELVVEASRELDHLRVARVVVRVNIEDVNDNSPVFVGLPYYAAVQVDAEPGTLIYR VTAIDKDKGANGEVTYVLQDDYGHFEINPNSGNVILREAFNSDLSNIDYGVTILAKDGGN PSLSTFVELPITIVNKAMPVFDKPFYTASINEDITMNTPILSINATSPEGQGIIYLIIDG DPFQQFNIDFDTGVLKVISPLDYEVTSVYKLTVRASDALTGARAEVTVDLLVDDINDNPP VFDQPTYNTTLSESSLIGTPVLQLVSTDADSGNNKLVRYQIVQDTYNSTDYFHIDSSSGL ILTARMLDHELVQHCTLKVTATDNGFPSLSSEVLVQIYISDVNDNPPVFNQLIYESYVSE LAPRGHFVTCVQASDADSSDLDRLEYSILSGNDRASFLMDSKSGVLTLSSHRKQRMEPLY SLNVSVSDGLFTSTAQVHIRVLGANLYSPAFSQSTYVAEVRENAASGTKVIHVRATDGDP GTYGQVSYSIINDFAKDRFLIDSNGQIITTERLDRENPLEGDISIYLRALDGGGRTTFCT VRVIVVDENDNAPQFMTVEYRASVRADVGRGHLVTQVQALDPDDGANSRITYSLYSEASV SVADLLEIDPDNGWMVTKGNFNQLRNTVLSFFVKAVDGGIPVRHSLIPVYIHVLPPETFL PSFTQSQYSFTITEDTSIGSTVDTLRILPNQSVRFSMVNGERPENNKEGVFIIEQETGAI KLDKRLDHEVSPAFHFKVAATIPLDKVDIVFTVDVDVKVLDLNDNKPVFETSTYETIIME GMPVGTKLAQVRAIDMDWGANGQVTYSLHSDSHLEKVIEAFNIDSNTGWISTLKDLDHET DPAFSFFVVASDLGEAFSLSSMALVSVKVTDINDNAPVFAHEVYRGNVKESDPPGEVVAV LSTLDKDTSNINRQVSYHITGGNPRGQFALGMVQSEWKVYVKRPLDREEQDIYFLNITAS DGLFVTQAMVEVTVSDVNDNSPVCDQVAYSASLPEDIPSNKIILKVSAKDADIGSNGDIR YSLYGSGNNEFFLDPESGELKTLAVLDRERVPVYNLIARATDGGGRFCSSSVLLLLEDVN DNPPVFSSNHYTACVYENTATKALLTRVQAMDPDVGINRKVVYSLEDSASGVFSIDSSSG VIVLEQPLDREQQSSYNISVRATDQSPGQSLSSLASVTITVLDINDNPPVFERRDYLVTV PEDTSLGTQVLSVFATSKDIGTNAEITYLIRSGNEQGKFSINPKTGGISVLEALDYETCR RFYLVVEAKDGGTPALSTAATVSIDLTDVNDNPPRFSQDVYSAVISEDALEGDSVILLIA EDVDSKPNGQIRFSIVGGDRDNEFAVDPILGLVKVKKKLDRERVSGYSLLIQAVDSGIPA MSSTTTVNIDISDVNDNSPVFTPANYTAVIQENKPVGTSILQLVVTDRDSFHNGPPFSFS ILSGNEDEEFMLDSHGILRSAVVFRHMESPEYLLCIQAKDSGKPQQVSHTYIRVRVIEES THKPTAIPLEIFIVTMEDDFPGGVIGKIHATDQDMYDVLTFALKSEQKSLFKVNSHDGKI IALGGLDSGKYVLNVSVSDGRFQVPIDVVVHVEQLVHEMLQNTVTIRFEDVSPEDFVGLH MHGFRRILRNAVLTQKQDSLRIISIQPVVGTNQLDMLFAVEMHSSEFYKPAYLIQKLSNA RRHLENVMHIAAILEKNCSGLDCQEQHCEQGLSLDSHALMTYSTARISFVCPRFYRNVRC TCNGGVCPGSNDPCVEKPCPEDMQCVGYEASRRPFLCQCPPGKLGECSGHTSLSFAGNSY IKYRLSENSKEEDFKLALRLRTLQSNGIIMYTRANPCMILKIVEGKLWFQLDCGSGPGIL GISSRAVNDGSWHSVFLELNRNFTSLALDDSYVERRRAPLYFQTLSTDSAIFFGALVQAD NVRSLTDTRVTQVLGGFQGCLDSVVLNHYELPLQNKRSSFAEVVGLTELKLGCVLYPDAC QRSPCLHGGSCSSLPSGGYQCSCLSQFTGTNCESEITACFPNPCRNGGSCDPIGNTFVCS CKAGLTGVTCEDDVDECEREECENGGSCVNLFGSFFCNCTPGYVGQYCGLRPVVVPNIQA GHSYVGKEELIGIAVVLFVIFTLIVLFIVFRKKVFRKNYSRNNITLVQDPATAALLHKSN GIPFRSLRAGDGRNVYQEVGPPQVPVRPMAYTPCFQSDSRSNLDKGLDVLGGEPQEMSTF HPESPRILTARRGVVVCSVAPNLPAVSPCRSDCDSIRKNGWDTGSENKGTEDTGEVTCFT NSNKGSNSEVQSLSSFQSDSGDDNAYHWDTSDWMPGARLSDIEEMPNYESQDGGAAHQGS TRELESDYYLGGYDIDSEYPPPHEEEFLSRDQLPPPLPEDFPDQYEALPPSQPTSLTSTM SPDCRRRPRFHPSQYLPPHPLPGETDLGGPSSSCDFSTFAVNMNQGTEVMAPTDSVSLSL HNSRGTSSSEMSARCGFDDSEVAMSDYESAGELSLTNLHIPFVETQQQTQV >ENSMUSP00000095818.1 pep:known chromosome:GRCm38:7:102877122:102878063:1 gene:ENSMUSG00000073965.1 transcript:ENSMUST00000098216.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr568 description:olfactory receptor 568 [Source:MGI Symbol;Acc:MGI:3030402] MLILNNTHSQLPTFLLTGIPGLRAAQVWISIPFCLLYLSGNSMILLVIVREQSLHEPMYY FLSMLSITDLSLSLCTLSTTLGVLWFEAREINLNACIAQMFFLHGFTFMESGVLLAMAFD RFVAICDPLRYTTILTNARIAQIGTIVLIRNVAVMLPVVLFVKRLSFCSSLVLSHSYCYH VDVIQLSCTDNRINSVLGLFALFSTTGFDCPCILLSYVLIIRSVLSIASSDERQKAFNTC ISHISAVAIFYIPLISLSLVHRYGHSAPAFVHTVMANVFLLIPPVLNPIIYSVKTKQIRK AILKVLNQKQNQL >ENSMUSP00000078723.5 pep:known chromosome:GRCm38:7:113385576:113388573:-1 gene:ENSMUSG00000059077.5 transcript:ENSMUST00000079793.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pth description:parathyroid hormone [Source:MGI Symbol;Acc:MGI:97799] MMSANTVAKVMIIMLAVCLLTQTDGKPVRKRAVSEIQLMHNLGKHLASMERMQWLRRKLQ DMHNFVSLGVQMAARDGSHQKPTKKEENVLVDGNPKSLGEGDKADVDVLVKSKSQ >ENSMUSP00000107868.1 pep:known chromosome:GRCm38:14:30039949:30353486:-1 gene:ENSMUSG00000015968.16 transcript:ENSMUST00000112249.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1d description:calcium channel, voltage-dependent, L type, alpha 1D subunit [Source:MGI Symbol;Acc:MGI:88293] MMMMMMMKKMQHQRQHQEDHANEANYARGTRLPISGEGPTSQPNSSKQTVLSWQAAIDAA RQAKAAQTMSTSAPPPVGSLSQRKRQQYAKSKKQGNSSNSRPARALFCLSLNNPIRRACI SIVEWKPFDIFILLAIFANCVALAIYIPFPEDDSNSTNHNLEKVEYAFLIIFTVETFLKI IAYGLLLHPNAYVRNGWNLLDFVIVIVGLFSVILEQLTKETEGGNHSSGKSGGFDVKALR AFRVLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLVLFVIIIYAIIGLELFIGKMHKT CFFADSDIVAEEDPAPCAFSGNGRQCTANGTECRSGWVGPNGGITNFDNFAFAMLTVFQC ITMEGWTDVLYWVNDAIGWEWPWVYFVSLIILGSFFVLNLVLGVLSGEFSKEREKAKARG DFQKLREKQQLEEDLKGYLDWITQAEDIDPENEEEGGEEGKRNTSMPTSETESVNTENVS GEGETQGCCGTLCQAISKSKLSRRWRRWNRFNRRRCRAAVKSVTFYWLVIVLVFLNTLTI SSEHYNQPDWLTQIQDIANKVLLALFTCEMLVKMYSLGLQAYFVSLFNRFDCFVVCGGIT ETILVELELMSPLGVSVFRCVRLLRIFKVTRHWTSLSNLVASLLNSMKSIASLLLLLFLF IIIFSLLGMQLFGGKFNFDETQTKRSTFDNFPQALLTVFQILTGEDWNAVMYDGIMAYGG PSSSGMIVCIYFIILFICGNYILLNVFLAIAVDNLADAESLNTAQKEEAEEKERKKIARK ESLENKKNNKPEVNQIANSDNKVTIDDYQEDAEDKDPYPPCDVPVGEEEEEEEEDEPEVP AGPRPRRISELNMKEKIAPIPEGSAFFILSKTNPIRVGCHKLINHHIFTNLILVFIMLSS AALAAEDPIRSHSFRNTILGYFDYAFTAIFTVEILLKMTTFGAFLHKGAFCRNYFNLLDM LVVGVSLVSFGIQSSAISVVKILRVLRVLRPLRAINRAKGLKHVVQCVFVAIRTIGNIMI VTTLLQFMFACIGVQLFKGKFYRCTDEAKSNPEECRGLFILYKDGDVDSPVVRERIWQNS DFNFDNVLSAMMALFTVSTFEGWPALLYKAIDSNGENVGPVYNYRVEISIFFIIYIIIVA FFMMNIFVGFVIVTFQEQGEKEYKNCELDKNQRQCVEYALKARPLRRYIPKNPYQYKFWY VVNSSPFEYMMFVLIMLNTLCLAMQHYEQSKMFNDAMDILNMVFTGVFTVEMVLKVIAFK PKGYFSDAWNTFDSLIVIGSIIDVALSEADNSEESNRISITFFRLFRVMRLVKLLSRGEG IRTLLWTFIKSFQALPYVALLIAMLFFIYAVIGMQMFGKVAMRDNNQINRNNNFQTFPQA VLLLFRCATGEAWQEIMLACLPGKLCDPDSDYNPGEEYTCGSNFAIVYFISFYMLCAFLI INLFVAVIMDNFDYLTRDWSILGPHHLDEFKRIWSEYDPEAKGRIKHLDVVTLLRRIQPP LGFGKLCPHRVACKRLVAMNMPLNSDGTVMFNATLFALVRTALKIKTEGNLEQANEELRA VIKKIWKKTSMKLLDQVVPPAGDDEVTVGKFYATFLIQDYFRKFKKRKEQGLVGKYPAKN TTIALQAGLRTLHDIGPEIRRAISCDLQDDEPEDSKPEEEDVFKRNGALLGNHVNHVNSD RRDSLQQTNTTHRPLHVQRPSMPPASDTEKPLFPPAGNSGCHNHHNHNSIGKQAPTSTNA NLNNANMSKAAHGKPPSIGNLEHVSENGHYSCKHDRELQRRSSIKRTRYYETYIRSESGD EQFPTICREDPEIHGYFRDPRCLGEQEYFSSEECCEDDSSPTWSRQNYNYYNRYPGSSMD FERPRGYHHPQGFLEDDDSPTGYDSRRSPRRRLLPPTPPSHRRSSFNFECLRRQSSQDDV LPSPALPHRAALPLHLMQQQIMAVAGLDSSKAQKYSPSHSTRSWATPPATPPYRDWSPCY TPLIQVDRSESMDQVNGSLPSLHRSSWYTDEPDISYRTFTPASLTVPSSFRNKNSDKQRS ADSLVEAVLISEGLGRYARDPKFVSATKHEIADACDLTIDEMESAASTLLNGSVCPRANG DMGPISHRQDYELQDFGPGYSDEEPDPGREEEDLADEMICITTL >ENSMUSP00000107869.3 pep:known chromosome:GRCm38:14:30039949:30491156:-1 gene:ENSMUSG00000015968.16 transcript:ENSMUST00000112250.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1d description:calcium channel, voltage-dependent, L type, alpha 1D subunit [Source:MGI Symbol;Acc:MGI:88293] MNLPTFSSDLILIKSVLSQETDARYKGRVVSAVESTEDFSQAFAEANYARGTRLPISGEG PTSQPNSSKQTVLSWQAAIDAARQAKAAQTMSTSAPPPVGSLSQRKRQQYAKSKKQGNSS NSRPARALFCLSLNNPIRRACISIVEWKPFDIFILLAIFANCVALAIYIPFPEDDSNSTN HNLEKVEYAFLIIFTVETFLKIIAYGLLLHPNAYVRNGWNLLDFVIVIVGLFSVILEQLT KETEGGNHSSGKSGGFDVKALRAFRVLRPLRLVSGVPSLQVVLNSIIKAMVPLLHIALLV LFVIIIYAIIGLELFIGKMHKTCFFADSDIVAEEDPAPCAFSGNGRQCTANGTECRSGWV GPNGGITNFDNFAFAMLTVFQCITMEGWTDVLYWVNDAIGWEWPWVYFVSLIILGSFFVL NLVLGVLSGEFSKEREKAKARGDFQKLREKQQLEEDLKGYLDWITQAEDIDPENEEEGGE EGKRNTSMPTSETESVNTENVSGEGETQGCCGTLCQAISKSKLSRRWRRWNRFNRRRCRA AVKSVTFYWLVIVLVFLNTLTISSEHYNQPDWLTQIQDIANKVLLALFTCEMLVKMYSLG LQAYFVSLFNRFDCFVVCGGITETILVELELMSPLGVSVFRCVRLLRIFKVTRHWTSLSN LVASLLNSMKSIASLLLLLFLFIIIFSLLGMQLFGGKFNFDETQTKRSTFDNFPQALLTV FQILTGEDWNAVMYDGIMAYGGPSSSGMIVCIYFIILFICGNYILLNVFLAIAVDNLADA ESLNTAQKEEAEEKERKKIARKESLENKKNNKPEVNQIANSDNKVTIDDYQEDAEDKDPY PPCDVPVGEEEEEEEEDEPEVPAGPRPRRISELNMKEKIAPIPEGSAFFILSKTNPIRVG CHKLINHHIFTNLILVFIMLSSAALAAEDPIRSHSFRNTILGYFDYAFTAIFTVEILLKM TTFGAFLHKGAFCRNYFNLLDMLVVGVSLVSFGIQSSAISVVKILRVLRVLRPLRAINRA KGLKHVVQCVFVAIRTIGNIMIVTTLLQFMFACIGVQLFKGKFYRCTDEAKSNPEECRGL FILYKDGDVDSPVVRERIWQNSDFNFDNVLSAMMALFTVSTFEGWPALLYKAIDSNGENV GPVYNYRVEISIFFIIYIIIVAFFMMNIFVGFVIVTFQEQGEKEYKNCELDKNQRQCVEY ALKARPLRRYIPKNPYQYKFWYVVNSSPFEYMMFVLIMLNTLCLAMQHYEQSKMFNDAMD ILNMVFTGVFTVEMVLKVIAFKPKGYFSDAWNTFDSLIVIGSIIDVALSEADNSEESNRI SITFFRLFRVMRLVKLLSRGEGIRTLLWTFIKSFQALPYVALLIAMLFFIYAVIGMQMFG KVAMRDNNQINRNNNFQTFPQAVLLLFRCATGEAWQEIMLACLPGKLCDPDSDYNPGEEY TCGSNFAIVYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKRIWSEYD PEAKGRIKHLDVVTLLRRIQPPLGFGKLCPHRVACKRLVAMNMPLNSDGTVMFNATLFAL VRTALKIKTEGNLEQANEELRAVIKKIWKKTSMKLLDQVVPPAGDDEVTVGKFYATFLIQ DYFRKFKKRKEQGLVGKYPAKNTTIALQAGLRTLHDIGPEIRRAISCDLQDDEPEDSKPE EEDVFKRNGALLGNHVNHVNSDRRDSLQQTNTTHRPLHVQRPSMPPASDTEKPLFPPAGN SGCHNHHNHNSIGKQAPTSTNANLNNANMSKAAHGKPPSIGNLEHVSENGHYSCKHDREL QRRSSIKRTRYYETYIRSESGDEQFPTICREDPEIHGYFRDPRCLGEQEYFSSEECCEDD SSPTWSRQNYNYYNRYPGSSMDFERPRGYHHPQGFLEDDDSPTGYDSRRSPRRRLLPPTP PSHRRSSFNFECLRRQSSQDDVLPSPALPHRAALPLHLMQQQIMAVAGLDSSKAQKYSPS HSTRSWATPPATPPYRDWSPCYTPLIQVDRSESMDQVNGSLPSLHRSSWYTDEPDISYRT FTPASLTVPSSFRNKNSDKQRSADSLVEAVLISEGLGRYARDPKFVSATKHEIADACDLT IDEMESAASTLLNGSVCPRANGDMGPISHRQDYELQDFGPGYSDEEPDPGREEEDLADEM ICITTL >ENSMUSP00000033938.5 pep:known chromosome:GRCm38:8:22628119:22653437:-1 gene:ENSMUSG00000031536.5 transcript:ENSMUST00000033938.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polb description:polymerase (DNA directed), beta [Source:MGI Symbol;Acc:MGI:97740] MSKRKAPQETLNGGITDMLVELANFEKNVSQAIHKYNAYRKAASVIAKYPHKIKSGAEAK KLPGVGTKIAEKIDEFLATGKLRKLEKIRQDDTSSSINFLTRVTGIGPSAARKFVDEGIK TLEDLRKNEDKLNHHQRIGLKYFEDFEKRIPREEMLQMQDIVLNEIKKVDSEYIATVCGS FRRGAESSGDMDVLLTHPNFTSESSKQPKLLHRVVEQLQKVHFITDTLSKGETKFMGVCQ LPSEKDGKEYPHRRIDIRLIPKDQYYCGVLYFTGSDIFNKNMRAHALEKGFTINEYTIRP LGVTGVAGEPLPVDSEQDIFDYIQWRYREPKDRSE >ENSMUSP00000006760.2 pep:known chromosome:GRCm38:8:122568015:122573128:1 gene:ENSMUSG00000006585.2 transcript:ENSMUST00000006760.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdt1 description:chromatin licensing and DNA replication factor 1 [Source:MGI Symbol;Acc:MGI:1914427] MAQSRVTDFYACRRPGLTTPRAKSICLTPSPGGLVAPAFTRSSSRKRARPPAEPGSDQPA PLARRRLRLPGLDSCPSSLPEPSSPAEPSPPADPSPPADPGSPVCPSPVKRTKSTTVYVG QQPGKIPSEDSVSELQSCLRRARKLGAQARALRARVQENAVEPSTPDAKVPTEQPCVEKA PAYQRFHALAQPGLPGLVLPYKYQVLVEMFRSMDTIVSMLHNRSETVTFAKVKQGVQEMM RKRFEERNVGQIKTVYPTSYRFRQECNVPTFKDSIKRSDYQLTIEPLLGQEAGGATQLTA TCLLQRRQVFRQNLVERVKEQHKVFLASLNPPMAVPDDQLTRWHPRFNVDEVPDIEPAEL PQPPVTEKLTTAQEVLARARSLMTPKMEKALSNLALRSAEPGSPGTSTPPLPATPPATPP AASPSALKGVSQALLERIRAKEVQKQLARMTRCPEQELRLQRLERLPELARVLRNVFVSE RKPALTMEVVCARMVDSCQTALSPGEMEKHLVLLAELLPDWLSLHRIRTDTYVKLDKAVD LAGLTARLAHHVHAEGL >ENSMUSP00000131624.1 pep:known chromosome:GRCm38:19:4164324:4175479:-1 gene:ENSMUSG00000075289.4 transcript:ENSMUST00000167055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carns1 description:carnosine synthase 1 [Source:MGI Symbol;Acc:MGI:2147595] MLCLDPLGTEWDSKDLDGKEEPWKSGAGLPPTGCFPGPWRQDISLDCKGSPEETEARAWT VYYYGLLQSCLQQAGLPETQDRSQAPRTGCPGAEVTLCILGSPSTFLSLLLEGGVQSPGN MLLCLSPAWLMKVATPGQEGEAVLLVSKAVSFYPGGLTFLDDFVPPRHATYFLAGLGPES GRGKEAAELARNLTCPTGTSSELSQLLENRLLMRWLLSQQSGVAVPATLAFTYRPPGLLR GGDASPGLRLVELSGKEGQETLVKEEVEAFVHSEALGDASQVAVRLSGCRWRGQQALPLH LRVEPSTVVNTVLGLLEKLEEEESVLVEAMCPPVRLPLPGGPAPGPELAVRICAVVCRIQ GDRPLLSKVVCGVGRGDRPVRHHYTLPRTLRVALAQCGLEEEAQVALLEQGIKEAAEGAL AAVLALEAGLSVEQRGGRQVHTDFLGVDLVLTVIGRTLTPVVLKLNSGLCLEACGALEGL WAVPRLRRSAEEAAAAPLVETMLRRSGRHLMDGKQLLVIGAGGVSKKFVWEAARDYGLTL HLVESDPNHFASQLVQTFIHFDVTEHRRDEENALLLAELVRARNLKLDGCFSFWDDCLVL TALLCRELGLPCSPPAAMCLAKQKSRTQLHLLRCQGPPWPSTSLHAVACCPLENEADVER AIYQVPLPGVMKLEFGSGAVGVQLVKDGPQCREHFSRILHDLQGEADHPGIGLGWGNAML LMEFVEGTEHDVDLVVFGGRLLAAFVSDNGPTRLPGFTETAACMPTGLAPEQEAQVVQAA FRCCLGCGLLDGVFNVELKMTGAGPRLIEINPRMGGFYLRDWILELYGVDLLLASTMVAC GLQPALPAHPRARGYLVGIMCLVSQHLQLLSSPSSRETLQTLHDQGQLRLNLLEEALIPG QYEEPYCNVACAGPSPAEACHRLLGICQGLGIDRPNYPVAHFLSHFK >ENSMUSP00000054776.2 pep:known chromosome:GRCm38:18:66857715:66860472:-1 gene:ENSMUSG00000047259.2 transcript:ENSMUST00000057942.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mc4r description:melanocortin 4 receptor [Source:MGI Symbol;Acc:MGI:99457] MNSTHHHGMYTSLHLWNRSSYGLHGNASESLGKGHPDGGCYEQLFVSPEVFVTLGVISLL ENILVIVAIAKNKNLHSPMYFFICSLAVADMLVSVSNGSETIVITLLNSTDTDAQSFTVN IDNVIDSVICSSLLASICSLLSIAVDRYFTIFYALQYHNIMTVRRVGIIISCIWAACTVS GVLFIIYSDSSAVIICLISMFFTMLVLMASLYVHMFLMARLHIKRIAVLPGTGTIRQGTN MKGAITLTILIGVFVVCWAPFFLHLLFYISCPQNPYCVCFMSHFNLYLILIMCNAVIDPL IYALRSQELRKTFKEIICFYPLGGICELSSRY >ENSMUSP00000047718.8 pep:known chromosome:GRCm38:19:4261668:4269172:-1 gene:ENSMUSG00000034616.16 transcript:ENSMUST00000037992.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssh3 description:slingshot homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2683546] MALVTVSRSPPASGHSTPVGPTQDRVVRRRGRLQRRQSFAVLRGAVLGLQDGGDSNVASE ADSEPMEEPSGEEQPTEDQTDKGQGLQSPWKQVQKRHLHLMVELLRPQDDIRLAAQLEAA RPPRLRYLLVVSTGEELSEEAILLGVDFPDSSSHSCTLGLVLPLWSDTQVYLDGDGGFSV TSGGQSRIFKPVSIQTMWATLQVLHQACEVALGSGLVPGGSALAWATYYQEKLNSDQGCL NEWMAMSDLESFRPPNAEPGQASEQEKMEQAILAELWQVLDTSDLDSVTSKEIRQALELR LGCPLQQYRDFIDNQMLLLMAQQDRASRIFPHLYLGSEWNAANLEELQKNRVSHILNMAR EIDNFFPERFTYYNVRVWDEESAQLLPHWKETHRFIEDARAQGTRVLVHCKMGVSRSAAT VLAYAMKQYGWDLEQALIHVQELRPIVRPNHGFLRQLRTYQGILTASRQSHVWEQKVGVV SPEEPLAPEVSTPLPPLPPEPGGSGEVMVMGLEGSQETPKEELGLRPRINLRGVMRSISL LEPSESESTPEAGGLPEVFSSDEEPLHPFSQLSRAKGGQRVRKGPWPALKSRQSVVALHS AALVASRTRAFQEQGQGQEQSEPGMSSTPRLRKVMRQASVDDSREEDKA >ENSMUSP00000109483.4 pep:known chromosome:GRCm38:19:4261673:4269172:-1 gene:ENSMUSG00000034616.16 transcript:ENSMUST00000113852.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssh3 description:slingshot homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2683546] MALVTVSRSPPASGHSTPVGPTQDRVVRRRGRLQRRQSFAVLRGAVLGLQDGGDSNVASE ADSEPMEEPSGEEQPTEDQTDKGQGLQSPWKQVQKRHLHLMVELLRPQDDIRLAAQLEAA RPPRLRYLLVVSTGEELSEEAILLGVDFPDSSSHSCTLGLVLPLWSDTQVYLDGDGGFSV TSGGQSRIFKPVSIQTMWATLQVLHQACEVALGSGLVPGGSALAWATYYQEKLNSDQGCL NEWMAMSDLESFRPPNAEPGQITTRASEQEKMEQAILAELWQVLDTSDLDSVTSKEIRQA LELRLGCPLQQYRDFIDNQMLLLMAQQDRASRIFPHLYLGSEWNAANLEELQKNRVSHIL NMAREIDNFFPERFTYYNVRVWDEESAQLLPHWKETHRFIEDARAQGTRVLVHCKMGVSR SAATVLAYAMKQYGWDLEQALIHVQELRPIVRPNHGFLRQLRTYQGILTASRQSHVWEQK VGVVSPEEPLAPEVSTPLPPLPPEPGGSGEVMVMGLEGSQETPKEELGLRPRINLRGVMR SISLLEPSESESTPEAGGLPEVFSSDEEPLHPFSQLSRAKGGQRVRKGPWPALKSRQSVV ALHSAALVASRTRAFQEQGQGQEQSEPGMSSTPRLRKVMRQASVDDSREEDKA >ENSMUSP00000034728.7 pep:known chromosome:GRCm38:9:21800184:21852635:-1 gene:ENSMUSG00000032198.8 transcript:ENSMUST00000034728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock6 description:dedicator of cytokinesis 6 [Source:MGI Symbol;Acc:MGI:1914789] MAASERRAFAHKINRTVAAEVRKQVSRERSGSPHSSRRSSSSLGVPLTEVIEPLDFEDVL LSRPPEVEPGPLRDLIEFPVDDLELLKQPRECRTTESGVPEDGQLDAQVRAAVEMYSEDW VIVRRRYQHLSTAYSPITTETQREWQKGLTCQVFEQDTPGDERTGPEDVDDPQHCSGSPE DTPRSSGASGIFSLRNLAADSLLPTLLEQAAPEDVDRRNEALRRQHRAPTLLTLYPAPDE DEAVERCSRPEPPREHFGQRILVKCLSLKFEIEIEPIFGTLALYDVREKKKISENFYFDL NSDSVKGLLRAHGTHPAISTLARSAIFSVTYPSPDIFLVVKLEKVLQQGDISECCEPYMV MKEADTAKNKEKLEKLRLAAEQFCTRLGRYRMPFAWTAVHLANIVSRPQDRDSDSEGERR PTWAERRRRGPQDRGYSGDDACSFSSFRPATLTVTNFFKQEAERLSDEDLFKFLADMRRP SSLLRRLRPVTAQLKLDISPAPENLHFCLSPDLLHVKPYPDPRGRPTKEILEFPAREVYA PHSCYRNLLFVYPHSLNFSSRQGSVRNLAVRIQYMAGEDQSQALPVIFGKSSCSEFTREA FTPVVYHNKSPEFYEEFKLRLPACVTENHHLFFTFYHVSCQPRPGTALETPVGFTWIPLL QHGRLRTGPFCLPVSVDQPPPSYSVLTPDVALPGMRWVDGHKGVFSVELTAVSSVHPQDP HLDKFFTLVHVLEEGIFPFRLKETVLSEGTMEQELRASLAALRLASPEPLVAFSHLVLDK LVRLVVRPPIICGQMVNLGRGAFEAMAHVASLVHRNLEAVQDSRGHCPLLASYVHYAFRL PGGDLSLPGEAPPATVQAATLARGSGRPASLYLARSKSISSSNPDLAVVPGSVDDEVSRI LASKGVDRSHSWVNSAYAPGGSKAVLRRVPPYCGADPRQAIDRNSSRASSYLEASSSALP APQSRHTVQKLLHEELALQWVVSGSAVRELVLQHAWFFFQLMVKSMELHLLLGQRLDTPR KLRFPGRFLDDIAALVASVGLEVITRVHKDMKLAERLNASLAFFLSDLLSIADRGYIFSL VRAHYKQVATRLQSAPNPTALLTLRMDFTRILCSHEHYVTLNLPCCPLSPPASPSPSVSS TTSQSSTFSSQAPDPKVTSMFELSGPFRQQHFLSGLLLTELALALDPEAEGASLLHKKAI SAVHSLLCSHDVDSRYAEATVKAKVAELYLPLLSLARDTLPQLHGFAEGSGQRSRLASML DSDTEGEGDIGSTINPSVAMAIAGGPLAPGSRTSISQGPSTAARSGCPLSAESSRTLLVC VLWVLKNAEPTLLQRWAADLALPQLGRLLDLLYLCLAAFEYKGKKAFERINSLTFKKSLD MKARLEEAILGTIGARQEMVRRSRERSPFGNQENVRWRKSATHWRQTSDRVDKTKDEMEH EALVDGNLATEASLVVLDTLETIVQTVMLSEARESILSAVLKVVLYSLGSAQSALFLQHG LATQRALVSKFPELLFEEDTELCADLCLRLLRHCGSRISTIRMHASASLYLLMRQNFEIG HNFARVKMLVTMSLSSLVGTTQNFSEEHLRKSLKTILTYAEEDIGLRDSTFAEQVQDLMF NLHMILTDTVKMKEHQEDPEMLMDLMYRIARGYQGSPDLRLTWLQNMAGKHAELGNHAEA AQCMVHAAALVAEYLALLEDSRHLPVGCVSFQNVSSNVLEESAISDDILSPDEEGFCSGK NFTELGLVGLLEQAAGYFTMGGLYEAVNEVYKNLIPILEAHRDYKKLAAVHGKLQEAFTK IMHQSSGWERVFGTYFRVGFYGTRFGDLDEQEFVYKEPSITKLAEISHRLEEFYTERFGD DVVEIIKDSNPVDKSKLDPQKAYIQITYVEPHFDTYELKDRVTYFDRNYGLRAFLFCTPF TPDGRAHGELAEQHKRKTLLSTEHAFPYIKTRIRVCHREETVLTPVEVAIEDMQKKTREL AFATEQDPPDAKMLQMVLQGSVGPTVNQGPLEVAQVFLSEIPEDPKLFRHHNKLRLCFKD FCKKCEDALRKNKALIGPDQKEYHRELERHYSRLREALQPLLTQRLPQLLAPSSTSLRSS MNRSSFRKADL >ENSMUSP00000036524.3 pep:known chromosome:GRCm38:15:58415468:58457801:1 gene:ENSMUSG00000037119.3 transcript:ENSMUST00000037270.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D15Ertd621e description:DNA segment, Chr 15, ERATO Doi 621, expressed [Source:MGI Symbol;Acc:MGI:1277178] MNIDVEFHIRHNYPWSKLPTNVKQSLGNSQREYEKQVVLYSIRNQLRYRNNLVKHVKKDE RKYYEELLKYSRDHLMLYPYHLSDIMVKGLRITPFSYYAGIMEDIMNSEKSYDSLPNFTA ADCLRLLGIGRNQYIDLMNQCRSSKKFFRRKTARDLLPMKPVEIAIEAWWVVQAGYITED DIKICTFPEKGAIDKIIDSGPQLSGSLDYNVVHSLYNKGFIYLDVPISDDSCIAVPPLEG FVMNRVQGDYFETLLYKIFVSIDEHTNVAELANVLEIDLSLVKNAVSMYCRLGFAHKKGQ VINLDQLHSSWKNVPSVNRLKSTLDPQKMLLSWDGGESRSPVQEASSATDTDTNSQEDPA DTASVSSLSLSTGYTKRIAFLFDSTLTAFLMMGNLSPNLKSHAVTMFEVGKLSDESLDSF LIELEKVQSTGEGEAQRYFDHALTLRNTILFLRHNKDLVAQTSQPDQPNYGFPLDLLRCE SLLGLDPATCSRVLNKNYTLLVSMAPLTNEIRPVSSCTPQHIGPAIPEVSSVWFKLYIYH VTGQGPPSLLLSKGTRLRKLPDIFQGYDRLLITSWGHDPGVVPASNVLTMLNDALTHSAV LIQGHGLHGVGETVHIPFPFDEAELQGEFTRASMGVHKALQILRSRVDLQHFCGYVTMLN ASSQLASRKLSEASDERGEPDLASSSDVNGSTESFEMVIEEASTDLATKPNSGATAEADW VPLELCFGIPLFSSELNRKVCQKIATHGLCRKESLQSLLHSSRKLSLQVLNFVHSFQEGA ATLDLHAEPGFSSVLSQSPCADMGVPLPAKNLMFKDGVLSEWSGRSPSSLLIASLHL >ENSMUSP00000039628.5 pep:known chromosome:GRCm38:17:84511895:84622142:1 gene:ENSMUSG00000040852.5 transcript:ENSMUST00000047206.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhh2 description:pleckstrin homology domain containing, family H (with MyTH4 domain) member 2 [Source:MGI Symbol;Acc:MGI:2146813] MEEPSEPEGLIDWKERCVALEAQLMKFRVQASKIRELLADKMQQLERQVIDAERQAEKAF QEVQVMEEKLKAANIQTSESETRLYKKCQDLESVMQEKDDIIQNLALRLEEQKQVRIQEA KIIEEKAAKIKEWVTVKLNELEVENQNLRFINQTQTEEIRAIQSKLQELQEKKISCVSSP KTSEGQRNLTFGCFLSRAKSPPCVVRCEEVSKMASNEPEITEGRCVEEMEIAEKPADNQV QENSRSQRKLHETSCSSEQNQKTRASFAMDGGTSQNSGVPVSDWSSDEDDGSKGRSKSRC TSTLSSHTSEEGGQCGRLGSEAYLTASDDSSSIFEEETFDGNRPEQKKLCSWQQKAPWKA QGNLAKGRSQSGVKEQDSSSDELNKKFHSQRLDYTSSSSEANTPSPILTPALTPRYPNSL PGKGGAPLVPPPFQPPPKLRVPNVFSISVALTKRHLSQPQLCSDRMFGTNRNAISMIRPL RPQETDLDVVDGDGAEAVNRMDTGCDDGLFSYDSQDPPPCADDQENSEAPKKAPCNKPPT PPLHRFPSWESRIYAVAKSGIRVSEAFNMEHANKNSADILSYSAASLYTSLIYKNMTTPV YTTLKGKATQISSSPFLDDSSGSDEEDSSRSSSRLSESDARSRSGPSSPRAMKRGVSDSS AASESDYAIPPDAYPIDAECSQPEQKLLKTCLASCDNGKNEPLEKSGYLLKMSVRVKTWK RRWFVLKGGELLYYKSPSDVIRKPQGHIELSASCSILRGDNKQTVQLATEKHTYYLTADS PNILEEWIKVLQSVLRVQAANPLCLQPEGKPAVKGLLTKVKHGYSKRVWCMLVGKVLYYF RNQEDKFPLGQLKLWEAKVEEVDRSCDSDEDYETRGCYLLSTHYTIIVHPKDQGPTYLLI GSKHEKEAWLYHLTVAAGSNNINVGSEFEQLVCKLLNIEGEPSSQIWRHPMLCHSKEGIL SPLTTLPSEALQTEAIKLFKTCQLFINAAVDSPAIDYHISLAQSALQVCLTHPELQNEIC CQLIKQTRRRQLQNQPGPLQGWQLLALCVGLFLPHHPFLWLLQLHLQRNADSRTEFGKYA IYCQRCVERTQQNGDREARPSRMEILSTLLRNPYHHSRPFSIPVHFMNGLYQVVGFDAST TVEEFLNTLNQDTGMRKPAQSGFALFTDDPSGRDLEHCLQGNIKICDIISKWEQASKEQQ PGKCEGSRTVRLTYKNRLYFSVQARGETDREKTLLLYQTNDQIINGLFPLNKDLALEMAA LLAQVDIGDFERPFSTPAGPVTNQCKANQTLKQVIERFYPKRYREGCSEEQLRQLYQRLS TKWMALRGHSAADCIRIYLTVARKWPFFGAKLFFAKPIAPSSLGNNCVWLAVHENGLSIL EYTSMRLVTSYMYKGLMTFGGYQEDFMVVVSTQSKDRPTEKLLFAMAKHKILEITLLIAS YINSFHQQKTTFHHLSAPALLSPRTQAPQARVMGSQPPLSNSRPTKGPTLL >ENSMUSP00000021425.6 pep:known chromosome:GRCm38:12:87266746:87273997:1 gene:ENSMUSG00000021037.6 transcript:ENSMUST00000021425.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahsa1 description:AHA1, activator of heat shock protein ATPase 1 [Source:MGI Symbol;Acc:MGI:2387603] MAKWGEGDPRWIVEERADATNVNNWHWTERDASNWSTEKLKTLFLAVRVENEEGKCEVTE VNKLDGEASINNRKGKLIFFYEWTIKLNWTGTSKSGVQYKGHVEIPNLSDENSVDEVEIS VSLAKDEPDTNLVALMKEDGVKLLREAVGIYISTLKTEFTQGMILPTVNGESVDPVGQPA LKTETCKAKSAPSKSQAKPVGVKIPTCKITLKETFLTSPEELYRVFTTQELVQAFTHAPA ALEADRGGKFHMVDGNVTGEFTDLVPEKHIAMKWRFKSWPEGHFATITLTFIDKNGETEL CMEGRGIPAPEEERTRQGWQRYYFEGIKQTFGYGARLF >ENSMUSP00000001416.6 pep:known chromosome:GRCm38:18:36766530:36783205:-1 gene:ENSMUSG00000001380.6 transcript:ENSMUST00000001416.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hars description:histidyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:108087] MADRAALEELVRLQGAHVRGLKEQKASAEQIEEEVTKLLKLKAQLGQDEGKQKFVLKTPK GTRDYSPRQMAVREKVFDVIIRCFKRHGAEVIDTPVFELKETLTGKYGEDSKLIYDLKDQ GGELLSLRYDLTVPFARYLAMNKLTNIKRYHIAKVYRRDNPAMTRGRYREFYQCDFDIAG QFDPMIPDAECLKIMCEILSSLQIGNFLVKVNDRRILDGMFAVCGVPDSKFRTICSSVDK LDKVSWEEVKNEMVGEKGLAPEVADRIGDYVQQHGGVSLVEQLLQDPKLSQNKQAVEGLG DLKLLFEYLILFGIDDKISFDLSLARGLDYYTGVIYEAVLLQMPTQAGEEPLGVGSIAAG GRYDGLVGMFDPKGRKVPCVGLSIGVERIFSIVEQRLEASEEKVRTTETQVLVASAQKKL LEERLKLVSELWDAGIKAELLYKKNPKLLNQLQYCEEAGIPLVAIIGEQELKDGVIKLRS VASREEVDVRREDLVEEIRRRTNQPLSTC >ENSMUSP00000093622.3 pep:known chromosome:GRCm38:14:50789249:50795728:-1 gene:ENSMUSG00000071470.3 transcript:ENSMUST00000095932.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnb1ip1 description:cyclin B1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:2685134] MSLCEDMLLCNYRKCRIKLSGYAWVTACSHIFCDQHGSGEFSRSPAICPACNSTLSGKLD IVRTELSPSEEYKAMVLAGLRPEVVLDISSRALAFWTYQVHQERLYQEYNFSKAENHLKQ MEKMYMQQIQSKNIELTSMKGEVISMKKVLEEYKKKFSDISEKLMERNRQYQKLQGLYDS LRLRNITIASQEGSLEPGMIPQSGVFGFPPGNNSKFSLDHIPVGNQGGGDEDVQFRPFFV CSPTAPEPINNFFSFASPSHEAEQQVCSRAFKAKRI >ENSMUSP00000069024.6 pep:known chromosome:GRCm38:15:32244813:32696341:1 gene:ENSMUSG00000022231.9 transcript:ENSMUST00000067458.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema5a description:sema domain, seven thrombospondin repeats (type 1 and type 1-like), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 5A [Source:MGI Symbol;Acc:MGI:107556] MKGACILAWLFSSLGVWRLARPETQDPAKCQRAEHPVVSYKEIGPWLREFRAENAVDFSR LTFDPGQKELVVGARNYLFRLELEDLSLIQAVEWECDEATKKACYSKGKSKEECQNYIRV LLVGGDRLFTCGTNAFTPVCTIRSLSNLTEIHDQISGMARCPYSPQHNSTALLTASGELY AATAMDFPGRDPAIYRSLGTLPPLRTAQYNSKWLNEPNFVSSYDIGNFTYFFFRENAVEH DCGKTVFSRAARVCKNDIGGRFLLEDTWTTFMKARLNCSRPGEVPFYYNELQGTFFLPEL DLIYGIFTTNVNSIAASAVCVFNLSAISQAFNGPFKYQENSRSAWLPYPNPNPNFQCGTM DQGLYVNLTERNLQDAQKFILMHEVVQPVTTVPSFMEDNSRFSHLAVDVVQGRETLVHII YLATDYGTIKKVRAPLSQSSGSCLLEEIELFPERRSEPIRSLQILHSQSVLFVGLQEHVA KIPLKRCHFHQTRSACIGAQDPYCGWDAVMKKCTSLEESLSMTQWDQSIPTCPTRNLTVD GSFGPWSPWTPCTHTDGTAVGSCLCRSRSCDSPAPQCGGWQCEGPRMEITNCSRNGGWTP WTSWSPCSTTCGIGFQVRQRSCSNPTPRHGGRVCVGQNREERYCNEHLLCPPHVFWTGWG PWERCTAQCGGGIQARRRTCENGPDCAGCNVEYQPCNTNACPELKKTTPWTPWTPVNISD NGGHYEQRFRYTCKARLPDPNLLEVGRQRIEMRYCSSDGTSGCSTDGLSGDFLRAGRYSA HTVNGAWSAWTSWSQCSRDCSRGIRNRKRVCNNPEPKFGGMPCLGPSLEFQECNILPCPV DGVWSCWSSWSKCSATCGGGHYMRTRSCSNPAPAYGGDICLGLHTEEALCNTQTCPESWS EWSDWSVCDASGTQVRARQCILLFPVGSQCSGNTTESRPCVFDSNFIPEVSVARSSSVEE KRCGEFNMFHMMAVGLSSSILGCLLTLLVYTYCQRYQQQSHDATVIHPVSPAALNSSITN HINKLDKYDSVEAIKAFNKNNLILEERNKYFNPHLTGKTYSNAYFTDLNNYDEY >ENSMUSP00000100868.2 pep:known chromosome:GRCm38:10:128459236:128490173:1 gene:ENSMUSG00000025369.14 transcript:ENSMUST00000105235.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcc2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily c, member 2 [Source:MGI Symbol;Acc:MGI:1915344] MAVRKKDGGPNVKYYEAADTVTQFDNVRLWLGKNYKKYIQAEPPTNKSLSSLVVQLLQFQ EEVFGKHVSNAPLTKLPIKCFLDFKAGGSLCHILAAAYKFKSDQGWRRYDFQNPSRMDRN VEMFMTIEKSLVQNNCLSRPNIFLCPEIEPKLLGKLKDIVKRHQGTISEDKSNASHVVYP VPGNLEEEEWVRPVMKRDKQVLLHWGYYPDSYDTWIPASEIEASVEDAPTPEKPRKVHAK WILDTDTFNEWMNEEDYEVSDDKSPVSRRKKISAKTLTDEVNSPDSDRRDKKGGNYKKRK RSPSPSPTPEAKKKNAKKGPSTPYTKSKRGHREEEQEDLTKDMDEPSPVPNVEEVTLPKT VNTKKDSESAPVKGGTMTDLDEQDDESMETTGKDEDENSTGNKGEQTKNPDLHEDNVTEQ THHIIIPSYAAWFDYNSVHAIERRALPEFFNGKNKSKTPEIYLAYRNFMIDTYRLNPQEY LTSTACRRNLAGDVCAIMRVHAFLEQWGLINYQVDAESRPTPMGPPPTSHFHVLADTPSG LVPLQPKPPQQSSASQQMLNFPEKGKEKPADMQNFGLRTDMYTKKNVPSKSKAAASATRE WTEQETLLLLEALEMYKDDWNKVSEHVGSRTQDECILHFLRLPIEDPYLEDSEASLGPLA YQPIPFSQSGNPVMSTVAFLASVVDPRVASAAAKSALEEFSKMKEEVPTALVEAHVRKVE EAAKVTGKADPAFGLESSGIAGTASDEPERIEESGTEEARPEGQAADEKKEPKEPREGGG AVEEEAKEEISEVPKKDEEKGKEGDSEKESEKSDGDPIVDPEKDKEPTEGQEEVLKEVAE PEGERKTKVERDIGEGNLSTAAAAALAAAAVKAKHLAAVEERKIKSLVALLVETQMKKLE IKLRHFEELETIMDREREALEYQRQQLLADRQAFHMEQLKYAEMRARQQHFQQMHQQQQQ QPPTLPPGSQPIPPTGAAGPPTVHGLAVPPAAVASAPPGSGAPPGSLGPSEQIGQAGTTA GPQQPQQAGAPQPGAVPPGVPPPGPHGPSPFPNQPTPPSMMPGAVPGSGHPGVAGNAPLG LPFGMPPPPPAAPSVIPFGSLADSISINLPPPPNLHGHHHHLPFAPGTIPPPNLPVSMAN PLHPNLPATTTMPSSLPLGPGLGSAAAQSPAIVAAVQGNLLPSASPLPDPGTPLPPDPTA PSPGTVTPVPPPQ >ENSMUSP00000096734.3 pep:known chromosome:GRCm38:10:128459236:128490173:1 gene:ENSMUSG00000025369.14 transcript:ENSMUST00000099131.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcc2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily c, member 2 [Source:MGI Symbol;Acc:MGI:1915344] MAVRKKDGGPNVKYYEAADTVTQFDNVRLWLGKNYKKYIQAEPPTNKSLSSLVVQLLQFQ EEVFGKHVSNAPLTKLPIKCFLDFKAGGSLCHILAAAYKFKSDQGWRRYDFQNPSRMDRN VEMFMTIEKSLVQNNCLSRPNIFLCPEIEPKLLGKLKDIVKRHQGTISEDKSNASHVVYP VPGNLEEEEWVRPVMKRDKQVLLHWGYYPDSYDTWIPASEIEASVEDAPTPEKPRKVHAK WILDTDTFNEWMNEEDYEVSDDKSPVSRRKKISAKTLTDEVNSPDSDRRDKKGGNYKKRK RSPSPSPTPEAKKKNAKKGPSTPYTKSKRGHREEEQEDLTKDMDEPSPVPNVEEVTLPKT VNTKKDSESAPVKGGTMTDLDEQDDESMETTGKDEDENSTGNKGEQTKNPDLHEDNVTEQ THHIIIPSYAAWFDYNSVHAIERRALPEFFNGKNKSKTPEIYLAYRNFMIDTYRLNPQEY LTSTACRRNLAGDVCAIMRVHAFLEQWGLINYQVDAESRPTPMGPPPTSHFHVLADTPSG LVPLQPKPPQGRQVDADTKAGRKGKELDDLVPETAKGKPELQSSASQQMLNFPEKGKEKP ADMQNFGLRTDMYTKKNVPSKSKAAASATREWTEQETLLLLEALEMYKDDWNKVSEHVGS RTQDECILHFLRLPIEDPYLEDSEASLGPLAYQPIPFSQSGNPVMSTVAFLASVVDPRVA SAAAKSALEEFSKMKEEVPTALVEAHVRKVEEAAKVTGKADPAFGLESSGIAGTASDEPE RIEESGTEEARPEGQAADEKKEPKEPREGGGAVEEEAKEEISEVPKKDEEKGKEGDSEKE SEKSDGDPIVDPEKDKEPTEGQEEVLKEVAEPEGERKTKVERDIGEGNLSTAAAAALAAA AVKAKHLAAVEERKIKSLVALLVETQMKKLEIKLRHFEELETIMDREREALEYQRQQLLA DRQAFHMEQLKYAEMRARQQHFQQMHQQQQQQPPTLPPGSQPIPPTGAAGPPTVHGLAVP PAAVASAPPGSGAPPGSLGPSEQIGQAGTTAGPQQPQQAGAPQPGAVPPGVPPPGPHGPS PFPNQPTPPSMMPGAVPGSGHPGVADPGTPLPPDPTAPSPGTVTPVPPPQ >ENSMUSP00000026433.7 pep:known chromosome:GRCm38:10:128459236:128490173:1 gene:ENSMUSG00000025369.14 transcript:ENSMUST00000026433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcc2 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily c, member 2 [Source:MGI Symbol;Acc:MGI:1915344] MAVRKKDGGPNVKYYEAADTVTQFDNVRLWLGKNYKKYIQAEPPTNKSLSSLVVQLLQFQ EEVFGKHVSNAPLTKLPIKCFLDFKAGGSLCHILAAAYKFKSDQGWRRYDFQNPSRMDRN VEMFMTIEKSLVQNNCLSRPNIFLCPEIEPKLLGKLKDIVKRHQGTISEDKSNASHVVYP VPGNLEEEEWVRPVMKRDKQVLLHWGYYPDSYDTWIPASEIEASVEDAPTPEKPRKVHAK WILDTDTFNEWMNEEDYEVSDDKSPVSRRKKISAKTLTDEVNSPDSDRRDKKGGNYKKRK RSPSPSPTPEAKKKNAKKGPSTPYTKSKRGHREEEQEDLTKDMDEPSPVPNVEEVTLPKT VNTKKDSESAPVKGGTMTDLDEQDDESMETTGKDEDENSTGNKGEQTKNPDLHEDNVTEQ THHIIIPSYAAWFDYNSVHAIERRALPEFFNGKNKSKTPEIYLAYRNFMIDTYRLNPQEY LTSTACRRNLAGDVCAIMRVHAFLEQWGLINYQVDAESRPTPMGPPPTSHFHVLADTPSG LVPLQPKPPQQSSASQQMLNFPEKGKEKPADMQNFGLRTDMYTKKNVPSKSKAAASATRE WTEQETLLLLEALEMYKDDWNKVSEHVGSRTQDECILHFLRLPIEDPYLEDSEASLGPLA YQPIPFSQSGNPVMSTVAFLASVVDPRVASAAAKSALEEFSKMKEEVPTALVEAHVRKVE EAAKVTGKADPAFGLESSGIAGTASDEPERIEESGTEEARPEGQAADEKKEPKEPREGGG AVEEEAKEEISEVPKKDEEKGKEGDSEKESEKSDGDPIVDPEKDKEPTEGQEEVLKEVAE PEGERKTKVERDIGEGNLSTAAAAALAAAAVKAKHLAAVEERKIKSLVALLVETQMKKLE IKLRHFEELETIMDREREALEYQRQQLLADRQAFHMEQLKYAEMRARQQHFQQMHQQQQQ QPPTLPPGSQPIPPTGAAGPPTVHGLAVPPAAVASAPPGSGAPPGSLGPSEQIGQAGTTA GPQQPQQAGAPQPGAVPPGVPPPGPHGPSPFPNQPTPPSMMPGAVPGSGHPGVADPGTPL PPDPTAPSPGTVTPVPPPQ >ENSMUSP00000040450.4 pep:known chromosome:GRCm38:18:75005900:75009933:1 gene:ENSMUSG00000036299.4 transcript:ENSMUST00000040284.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC031181 description:cDNA sequence BC031181 [Source:MGI Symbol;Acc:MGI:3039614] MVCIPCIVIPVLLWIFKKFLEPYIYPVVSRIWPKKAVQQSGDKNMSKVDCKGAGTNGLPT KGPTEVSDKKKD >ENSMUSP00000025675.9 pep:known chromosome:GRCm38:19:7225668:7241222:-1 gene:ENSMUSG00000024764.9 transcript:ENSMUST00000025675.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa40 description:N(alpha)-acetyltransferase 40, NatD catalytic subunit, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1918249] MGRKSSKAKEKKQKRLEERAAMDAVCAKVDAANRLGDPLEAFPVFKKYDRNGLNVSIECK RVSGLEPATVDWAFDLTKTNMQTMYEQSEWGWKDREKREEMTDDRAWYLIAWENSSIPVA FSHFRFDVECGDEVLYCYEVQLESKVRRKGLGKFLIQILQLMANSTQMKKVMLTVFKHNH GAYQFFREALQFEIDDSSPSMSGCCGEDCSYEILSRRTKFGDSQHSHTGGHCGGCCH >ENSMUSP00000020057.8 pep:known chromosome:GRCm38:10:107271843:107425143:1 gene:ENSMUSG00000019906.14 transcript:ENSMUST00000020057.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin7a description:lin-7 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2135609] MLKPSVTSAPTADMATLTVVQPLTLDRDVARAIELLEKLQESGEVPVHKLQSLKKVLQSE FCTAIREVYQYMHETITVNGCPEFRARATAKATVAAFAASEGHSHPRVVELPKTDEGLGF NVMGGKEQNSPIYISRIIPGGVAERHGGLKRGDQLLSVNGVSVEGEHHEKAVELLKAAKD SVKLVVRYTPKVLEEMEARFEKLRTARRRQQQQLLIQQQQQQQQQQPQQNHMS >ENSMUSP00000100916.3 pep:known chromosome:GRCm38:10:107271843:107425143:1 gene:ENSMUSG00000019906.14 transcript:ENSMUST00000105280.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin7a description:lin-7 homolog A (C. elegans) [Source:MGI Symbol;Acc:MGI:2135609] MGGKEQNSPIYISRIIPGGVAERHGGLKRGDQLLSVNGVSVEGEHHEKAVELLKAAKDSV KLVVRYTPKVLEEMEARFEKLRTARRRQQQQLLIQQQQQQQQQQPQQNHMS >ENSMUSP00000083230.1 pep:known chromosome:GRCm38:9:37854820:37855755:1 gene:ENSMUSG00000066749.1 transcript:ENSMUST00000086063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr877 description:olfactory receptor 877 [Source:MGI Symbol;Acc:MGI:3030711] MAAANTSSVAEFILVGLTDQPQLQIPLFFLFLGFYIVTMVGNLGLITLIGLNSHLHIPMY FFLFNLSFIDFSYSTTLTPKMLVGFVLRKNIISYAGCMTQFFFFCFFVFSESYILSAMAY DRYVAICKPLLYSVTMSPQVCSYLLSGVYGMGVFGAVAHMGNLQFISFCADNIINHYMCD IIPLLELSCNSSYINLLVVFIVVTIGIGVPIVTIFISYGFILSSILHISSKEGRSKAFST CTSHIIVVSLFFGSGAFMYLKPPSSLPLDQGKVSSVFYTAVVPMFNPLIYSLRNKDVKIA LKKTLSRKNFS >ENSMUSP00000074225.4 pep:known chromosome:GRCm38:18:77633281:77714010:-1 gene:ENSMUSG00000047466.9 transcript:ENSMUST00000074653.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:8030462N17Rik description:RIKEN cDNA 8030462N17 gene [Source:MGI Symbol;Acc:MGI:2444951] MKMEEAVGKVEELIESAAPPKASEQETAKEEDGSVELESQVQKDGVADSTVLSSMPCLLM ELRRDSSESQLASTESDKPTTGRVYESDSSNHCMLSPSSSGHLADSDTLSSVEENEPSQA ETTVEGDTSGVSGATVGRKSRRSRSESETSTMAAKKNRQSSDKQNGRVTKVKGHRSQKHK ERIRLLRQKREAAARKKYNLLQDSSTSDSDLTCDSSTSSSDDDDEVSGSSKTITAEIPDG PPVVAHYDMSDTSSDPEVINVDNLLAAAVVQEHSNSVGGQNTGATWRTSELLEAGHLDPG FLASDKTSVGSAPLNEEINIASSDSEVEIVGVQEHARCAHPRGGVIQSVSSWKHGSGPQY VGSRPAQSWTAVTPQQTWASPAEVVDLTLDEDSRRKYLL >ENSMUSP00000096516.2 pep:known chromosome:GRCm38:8:14090461:14092590:1 gene:ENSMUSG00000074461.2 transcript:ENSMUST00000098916.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10699 description:predicted gene 10699 [Source:MGI Symbol;Acc:MGI:3642530] SLALEPARKADGLKALLLWFAVCPCDGRGPRHSWGQYRLCTLAELGVQAGERLLRREGRS GGLKQGKVPQTPAIRSARPSPRLPVVPLHGWRCSHLFRVYESLSLCRLPLCF >ENSMUSP00000137596.1 pep:known chromosome:GRCm38:19:9283238:9284494:1 gene:ENSMUSG00000067608.4 transcript:ENSMUST00000088040.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcna-ps2 description:proliferating cell nuclear antigen pseudogene 2 [Source:MGI Symbol;Acc:MGI:97505] MFEARLIQGSILKKVLEALKDLINEACWDVSSGGVNLQSMDSSHVSLVQLTLRSEGFDTY RCDRNLAMGVNLTSMSKILKCAGNEDIITLRAEDNADTLALVFEAPNQEKVSDYEMKLMD LDVEQLGIPEQEYSCVIKMPSSEFARICRDLSHIGDAVVISCAKNGVKFSASGELGNGNI KLSQTSNVDKEEEAVAIEMNEPVHLTFALRYLNFFTKATPLSPTVTLSMSADVPLVVEYK IADMGHLKYYLAPKIEDEEAS >ENSMUSP00000092806.1 pep:known chromosome:GRCm38:17:91088493:91089391:1 gene:ENSMUSG00000071033.1 transcript:ENSMUST00000095183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10308 description:predicted gene 10308 [Source:MGI Symbol;Acc:MGI:3641943] SRRSPRRRSRARGRGHCASSAGSSSRSRSTRSTWGSASTGPRRPGTPARCPALPSSPAAA ATGRGDSSPRAGPAPSPCSGLGSPFLSPSSLLPTGKRRPQSYGIAAELPGQRGDTLWRQR SEKEGHRGSRAITDPFASDDV >ENSMUSP00000039641.5 pep:known chromosome:GRCm38:14:54932695:54935837:1 gene:ENSMUSG00000040770.5 transcript:ENSMUST00000037863.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il25 description:interleukin 25 [Source:MGI Symbol;Acc:MGI:2155888] MYQAVAFLAMIVGTHTVSLRIQEGCSHLPSCCPSKEQEPPEEWLKWSSASVSPPEPLSHT HHAESCRASKDGPLNSRAISPWSYELDRDLNRVPQDLYHARCLCPHCVSLQTGSHMDPLG NSVPLYHNQTVFYRRPCHGEEGTHRRYCLERRLYRVSLACVCVRPRVMA >ENSMUSP00000136066.1 pep:known chromosome:GRCm38:10:77689957:77690757:-1 gene:ENSMUSG00000095970.1 transcript:ENSMUST00000180297.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm19402 description:predicted gene, 19402 [Source:MGI Symbol;Acc:MGI:5011587] MATSTMSVCSSDLSYDRRVCLPGSCDSCTDSSWQVDDCPESCCEPCCCAPSSCQPSCCVP SCCQSSCCIPSCCQPSCCQSSCCVPSCCAPAPCLTLICTPVSCMSSPCCQSSCCTPSCCQ QSSCQPACCTCSPCQQPCCMTLCCKPVCCTPICSGPCCQQSSCQSSCCQSPCCVPVCCKP VCCTPICSGSSSSCCQPSCCAPVCCKPCSSLSLLCRPVCRPACCVPTSSCCASSCQPSCC RPTSSVSLLCRPACSRQACCGQKSSC >ENSMUSP00000137165.1 pep:known chromosome:GRCm38:4:42240639:42242685:1 gene:ENSMUSG00000083929.3 transcript:ENSMUST00000117202.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10600 description:predicted gene 10600 [Source:MGI Symbol;Acc:MGI:3710628] MQFGISEKEKREYKELGTADLESESIQEFLRSLHMSESTLLQEQPVACPSLPAPNAKGVH PKKQPASAVQDVCQAQRPPPSKAVPHSSAQQSSKASQSQRDKTQVCVDMEAGGKRFNLEK SKVVGDLGEGDAGLGFSLVSQKTRQDGEQEKRLLHRPLQGSSQQGHTFHLEDACPHSPRE SPELQFPDPPPEVFMETDSEQDMEDSQSEESIVPEPEILFSLY >ENSMUSP00000049605.7 pep:known chromosome:GRCm38:15:75916463:75921560:-1 gene:ENSMUSG00000022571.8 transcript:ENSMUST00000053918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pycrl description:pyrroline-5-carboxylate reductase-like [Source:MGI Symbol;Acc:MGI:1913444] MAATMSEPRRVGFVGAGRMAEAIARGLIQAGKVEAKQVLASAPTDNNLCHFRALGCQTTH SNHEVLQNCPLVIFATKPQVLPTVLAEVAPIVTTEHIIVSVAAGISLSTMEGLLPPNTRV LRVSPNLPCVVQEGAMVMARGHHAGNDDAELLQNLLEACGQCIEVPESYVDIHTGLSGSG VAFVCTFSEALAEGAIKMGMPSGLAHRIAAQTLLGTAKMLQQEGKHPAQLRTDVLTPAGT TIHGLHALERGGFRAATMSAVEAATCRAKELSKK >ENSMUSP00000086166.5 pep:known chromosome:GRCm38:17:21567046:21588697:1 gene:ENSMUSG00000067931.5 transcript:ENSMUST00000088787.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp948 description:zinc finger protein 948 [Source:MGI Symbol;Acc:MGI:3040683] MAKSPVNMSKHLLTFRDVAVDFSQKEWECLDSAQRALYIDVMVENYSNLVFVEKYSVRDT VHQHVRTEKESRQCNELGEMLHEPTNCAPYNTSDTTETSNNYRCCNDQDASVDSSNPDRL KSVHTGEERCEYKDCEKSSSIAQDQRTNSANKEQRQEKHGGHFSSTHSLKSSSIAQDQRT NSANKEQGQEKHGGHFSSTHSLMQQAIFNREKRYQCGQCQRCFSTSANLTIHQRIHTGEK PYKCNFCDKSFAQCTTLKTHQRLHTGEKPYKCKECGKSFPQLSALKSHQKMHTGEKPYKC KECDRFFAHCSSFRRHQKTHRAKKHTGPDCGKDLHQLSHFKSDHRLHTGENPYKCSECDR SFPHYELFRIHQKTHSSEIDYECEECGKSFTELLQLRRHYKIHSGATLYKCRDCDKSFTQ YSHLQKHQTIHTGERPYKCKDCEKSFLHNSLLRRHQSVHTGEKPYKCKECDKSFTRNSIL RQHQKIHTKEKPYKCVECDKSFTQLTYLRTHQRVHTKEKPYNCTVCDKSFTTGATLRIHQ RVHTGERPYRCTECGKSFREGTTLRTHKKIHTGEKPYNCTVCDKSFIRYSHLQRHQRVHT GEKPYKCMECDKSFTECFLLRKHQRIHTEEKPYKCKDCDLAFVCISNLRKHQKNHTGEKH TVVNNMT >ENSMUSP00000022952.4 pep:known chromosome:GRCm38:15:35296098:35303304:1 gene:ENSMUSG00000022330.4 transcript:ENSMUST00000022952.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osr2 description:odd-skipped related 2 [Source:MGI Symbol;Acc:MGI:1930813] MGSKALPAPIPLHPSLQLTNYSFLQAVNTFPAAVDHLQGLYGLSAVQTMHMNHWTLGYPS VHEITRSTITEMAAAQGLVDARFPFPSLPFATHLFHPKQGAIAHVLPALHKDRPRFDFAN LAVAATQEDPPKMGDLSKLSPGLGSPISGLSKLNPDRKPSRGRLPSKTKKEFICKFCGRH FTKSYNLLIHERTHTDERPYTCDICHKAFRRQDHLRDHRYIHSKEKPFKCQECGKGFCQS RTLAVHKTLHMQTSSPTAASSAAKCSGETAICGGTA >ENSMUSP00000100528.3 pep:known chromosome:GRCm38:14:34170655:34171168:1 gene:ENSMUSG00000078126.3 transcript:ENSMUST00000104925.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl23a-ps3 description:ribosomal protein L23A, pseudogene 3 [Source:MGI Symbol;Acc:MGI:3781353] MAPKAKKEAPAPPKAEAKAKALKAKKAVLKGVHSHKKKMIRTSPTFRRPKTLRLRRQPKY PRKSAPRRNKLDHYAIIKFPLTTESAMKKIEDNNTLVFIVDVKANKHQIKQAVKKLYDID VAKVNTLIRPDGEKKAYVRLAPDYDALDVANKIGII >ENSMUSP00000086731.3 pep:known chromosome:GRCm38:16:58717435:58718724:-1 gene:ENSMUSG00000047293.6 transcript:ENSMUST00000089318.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr15 description:G protein-coupled receptor 15 [Source:MGI Symbol;Acc:MGI:1918473] MEPATALLIVDYYDYTSPDPPFLETPSHLSYTSVFLPIFYTVVFLTGVVGNFILMIALHF KRGNRRLIDIFIINLAASDFIFLVTVPLWMDKEASLGLWRTGSFLCKGSSYVISVNMHCS VFLLTCMSMDRYLAIMHPALAKRLRRRSSAYAVCAVVWIISCVLGLPTLLSRELTHIEGK PYCAEKKPTSLKLMWGLVALITTFFVPLLSIVTCYCCITRRLCAHYQQSGKHNKKLKKSI KIVIIAVAAFTVSWVPFNTFKLLAIVSGFQPEGLFHSEALQLAMNVTGPLAFASSCVNPL IYYVFDSYIRRAIVRCLCPCLKTHNFGSSTETSDSHLTKALSNFIHAEDFIRRRKRSVSL >ENSMUSP00000095198.3 pep:known chromosome:GRCm38:18:41986201:41999049:-1 gene:ENSMUSG00000073574.3 transcript:ENSMUST00000097591.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grxcr2 description:glutaredoxin, cysteine rich 2 [Source:MGI Symbol;Acc:MGI:2685697] MEDSEKKLNQKSDDKPRKVRFKISSSYSGRVLKQVFEDGQELESPKEEYPHSFLQEALEP MDGVYGSGEVPKPQPYSPKLTAQRISVFRDSGAYTLAGSQPLFNDYKANDHKPPPIIDFG KIIIYTNNLKIIRTPMDKRDFMRKILQKEDVAEEASLMITGENDGDREQGCPLPERNGSP LPESERTFLHSQHTQDGLVPEDCLHCQGSGIATCSLCHGSKFSMLANRFKESYRALRCPA CNENGLQPCRICSP >ENSMUSP00000020316.2 pep:known chromosome:GRCm38:10:121546455:121586794:-1 gene:ENSMUSG00000020115.2 transcript:ENSMUST00000020316.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbk1 description:TANK-binding kinase 1 [Source:MGI Symbol;Acc:MGI:1929658] MQSTSNHLWLLSDILGQGATANVFRGRHKKTGDLYAVKVFNNISFLRPVDVQMREFEVLK KLNHKNIVKLFAIEEETTTRHKVLIMEFCPCGSLYTVLEEPSNAYGLPESEFLIVLRDVV GGMNHLRENGIVHRDIKPGNIMRVIGEDGQSVYKLTDFGAARELEDDEQFVSLYGTEEYL HPDMYERAVLRKDHQKKYGATVDLWSVGVTFYHAATGSLPFRPFEGPRRNKEVMYKIITG KPSGAISGVQKAENGPIDWSGDMPLSCSLSQGLQALLTPVLANILEADQEKCWGFDQFFA ETSDVLHRMVIHVFSLQHMTAHKIYIHSYNTAAVFHELVYKQTKIVSSNQELIYEGRRLV LELGRLAQHFPKTTEENPIFVTSREQLNTVGLRYEKISLPKIHPRYDLDGDASMAKAVTG VVCYACRTASTLLLYQELMRKGVRWLVELVKDDYNETVHKKTEVVITLDFCIRNIEKTVK VYEKLMKVNLEAAELGEISDIHTKLLRLSSSQGTIESSLQDISSRLSPGGLLADTWAHQE GTHPRDRNVEKLQVLLNCITEIYYQFKKDKAERRLAYNEEQIHKFDKQKLYYHATKAMSH FSEECVRKYEAFKDKSEEWMRKMLHLRKQLLSLTNQCFDIEEEVSKYQDYTNELQETLPQ KMLAASGGVKHAMAPIYPSSNTLVEMTLGMKKLKEEMEGVVKELAENNHILERFGSLTMD GGLRNVDCL >ENSMUSP00000054673.4 pep:known chromosome:GRCm38:8:72107040:72110509:1 gene:ENSMUSG00000046881.4 transcript:ENSMUST00000055735.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr374 description:olfactory receptor 374 [Source:MGI Symbol;Acc:MGI:3030208] MEGANLSGVSEFLLLGLSQDPRQQQLLFSAFLSMYLLTGLGNLLIILAIAADPRLHTPMY FFLANLAFVDVCFTSTTIPKMLANHVSGHKGISYSGCLTQMFFFIWFAGIDSFLLTAMAY DRFVAICHPLHYTTSITPRLCGFLVTASWASAFANALTHTVLLTRLLFCGHNQVPHFFCD LSPLLKLACSDTSLNDIMVYTVGALPIITPFVGILTSYTRIFTAVLRIPSTGGKWKAFST CGSHLSVVSLFYGTLIGVYFSPTSSHTAQKDTAAAVMYTVVTPMMNPFIYTLRNKDMKGA LMTFVRRTAVLVR >ENSMUSP00000126749.1 pep:known chromosome:GRCm38:12:110889264:110972394:1 gene:ENSMUSG00000021275.15 transcript:ENSMUST00000169597.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tecpr2 description:tectonin beta-propeller repeat containing 2 [Source:MGI Symbol;Acc:MGI:2144865] MASVSDPVTFREFCPLYYLLNAIPTKIQRGFRSIVVYLTALDTNGDYIAVGSSIGMLYLY CRHLNQMKKYNVDGKMESITVVKLLSCFDDLVAAGTASGRVAVFQLVSSLPGRNKQLRRF DVTGVHKTSITALAWSPNGMKLFSGDDKGKIVYSSLDLDQGLCSSHLVLEEPSSIVQLDY SQKVLLVSTLQRSLLFYTEEKAVKQIGSQPRKSTGKFGACFIPGLCKQSDLTLYAARPGL RLWKADVHGTVQATYILKDVFAGGVTPFELYPRLEPSDGGSCISPEKHLGLVSCFFREGW VLSWNEYSIYLLDTVNQATVAGLEGLGDIVSVSCTENEIFFLKGDRNIIRISSRPEGIAS IVRDGLEMTRCSEQVHGQHLEKSLGATVCETRLRGSSVASSVASEQRSRSSSLNSTDSGS GLLLPGLQAASELGQSGQPSSQRFSVISSEDFDQELIVKPIKVKKRRRKRKTEGGSKSTC PSSLESTPCSELPADSPQSLNTDLLSMTSSALGSSMDQLSTESPEQESNLGGEVNGISQE NSGPEAFHVLELPGPAPGPASTPTDEENCTGKEASQCSHTQDTDLLSGVLTLPLQPEEDV GGADIISGLDEQPGPAADAAVHTEFCLRGQNSSAEEQEADTMEFSDPQSTFSEAPLLDSS MLPSSLSWPPGAEQWLPGIGVYDITTETASPEADILTHVAVPSHLSSNPWHLLTDSNTGQ KETPTFQCDMGNVEGQVTPLASASVASTHAHWLDQPFQDQAVTSSDEEDIYAHGLPSSSS ETSVTELGAGRSLQDLSQPGAEETTLLKADQFAESWMGYSAPGYGILSLAVSEKFLWCLD YKGGLFCSPLPGAGLRWQRFEDAVQQMAVSPSGALLWKIEQKSNRAFACGKVTIKGKRHW YEALPQAVFVALSDDTAWIIRTNGDLYLQTGLSVDRPCARAVKVDCPYPLSQIAARNSVV WALTEQRALLYREGVSSFCPEGEQWKCDIVSERQTLEPVCITLGDQHTLWALDIHGKLWF RTGVVPKKPQGDDDHWWQVSITDYVVFDQCSLFQTIMHATHSVATAAQAPVEKVADKLRM AFWSQQLQCQPSLLGVNKSGVWISSGKNEFHVAKGSLIGTYWNTVVPRGTASATKWAFVL ASPAPTQDGSSLWLCQSSKDLCIISAQNVQCRPSTVQLPPDAEMRTYAACQDALWALDSL GQVFIRTLSKSCPTGMHWTKLDLSQLGTVRLTSLACGNQHIWACDSRGGVYFRVGTQPLN PSLMLPAWIMIEPPVQLAGVTLVSVHSSPNDQMLWALDSRWNVHVRTGITEEMPVGTDWE HVPGLQACQLALSTRTVWARCPNGDLARRYGITDKNPAGDYWKKIPGSVSCFTVTSSDEL WAVGSSGCLLQRLTKTFSHSHNPQNSQVASSHPEELEEEWEVI >ENSMUSP00000127949.1 pep:known chromosome:GRCm38:12:110889264:110972392:1 gene:ENSMUSG00000021275.15 transcript:ENSMUST00000165978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tecpr2 description:tectonin beta-propeller repeat containing 2 [Source:MGI Symbol;Acc:MGI:2144865] MASVSDPVTFREFCPLYYLLNAIPTKIQRGFRSIVVYLTALDTNGDYIAVGSSIGMLYLY CRHLNQMKKYNVDGKMESITVVKLLSCFDDLVAAGTASGRVAVFQLVSSLPGRNKQLRRF DVTGVHKTSITALAWSPNGMKLFSGDDKGKIVYSSLDLDQGLCSSHLVLEEPSSIVQLDY SQKVLLVSTLQRSLLFYTEEKAVKQIGSQPRKSTGKFGACFIPGLCKQSDLTLYAARPGL RLWKADVHGTVQATYILKDVFAGGVTPFELYPRLEPSDGGSCISPEKHLGLVSCFFREGW VLSWNEYSIYLLDTVNQATVAGLEGLGDIVSVSCTENEIFFLKGDRNIIRISSRPEGIAS IVRDGLEMTRCSEQVHGQHLEKSLGATVCETRLRGSSVASSVASEQRSRSSSLNSTDSGS GLLLPGLQAASELGQSGQPSSQRFSVISSEDFDQELIVKPIKVKKRRRKRKTEGGSKSTC PSSLESTPCSELPADSPQSLNTDLLSMTSSALGSSMDQLSTESPEQESNLGGEVNGISQE NSGPEAFHVLELPGPAPGPASTPTDEENCTGKEASQCSHTQDTDLLSGVLTLPLQPEEDV GGADIISGLDEQPGPAADAAVHTEFCLRGQNSSAEEQEADTMEFSDPQSTFSEAPLLDSS MLPSSLSWPPGAEQWLPGIGVYDITTETASPEADILTHVAVPSHLSSNPWHLLTDSNTGQ KETPTFQCDMGNVEGQVTPLASASVASTHAHWLDQPFQDQAVTSSDEEDIYAHGLPSSSS ETSVTELGAGRSLQDLSQPGAEETTLLKADQFAESWMGYSAPGYGILSLAVSEKFLWCLD YKGGLFCSPLPGAGLRWQRFEDAVQQMAVSPSGALLWKIEQKSNRAFACGKVTIKGKRHW YEALPQAVFVALSDDTAWIIRTNGDLYLQTGLSVDRPCARAVKVDCPYPLSQIAARNSVV WALTEQRALLYREGVSSFCPEGEQWKCDIVSERQTLEPVCITLGDQHTLWALDIHGKLWF RTGVVPKKPQGDDDHWWQVSITDYVVFDQCSLFQTIMHATHSVATAAQAPVEKVADKLRM AFWSQQLQCQPSLLGVNKSGVWISSGKNEFHVAKGSLIGTYWNTVVPRGTASATKWAFVL ASPAPTQDGSSLWLCQSSKDLCIISAQNVQCRPSTVQLPPDAEMRTYAACQDALWALDSL GQVFIRTLSKSCPTGMHWTKLDLSQLGTVRLTSLACGNQHIWACDSRGGVYFRVGTQPLN PSLMLPAWIMIEPPVQLAGVTLVSVHSSPNDQMLWALDSRWNVHVRTGITEEMPVGTDWE HVPGLQACQLALSTRTVWARCPNGDLARRYGITDKNPAGDYWKKIPGSVSCFTVTSSDEL WAVGSSGCLLQRLTKTFSHSHNPQNSQVASSHPEELEEEWEVI >ENSMUSP00000022913.4 pep:known chromosome:GRCm38:15:39745932:39760934:1 gene:ENSMUSG00000022303.8 transcript:ENSMUST00000022913.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcstamp description:dentrocyte expressed seven transmembrane protein [Source:MGI Symbol;Acc:MGI:1923016] MRLWTLGTSIFLRLWGTYVFPRSPSWLDFIQHLGVCCFVAFLSVSLFSAAFYWILPPVAL LSSVWMITCVFLCCSKRARCFILLAVLSCGLREGRNALIAAGTGVVIFGHVENIFYNFRG LLDSMTCNLRAKSFSVHFPLLKRYTEAIQWIYGLATPLNLFDDLVSWNQTLVVSLFSPSH ALEAHMNDTRGEVLGVLHHMVVTTELLTSVGQKLLALAGLLLILVSTGLFLKRFLGPCGW KYENVYITKQFVRFDEKERHQQRPCVLPLNKKERKKYVIVPSLQLTPKEKKTLGLFFLPV LTYLYMWVLFAAVDYLLYRLISSMNKQFQSLPGLEVHLKLRGEKQGTQGVVHDSAFNISM FEPSCIPKPRLSVSETWVPLSIILLTLIILGLLSSMLMQLKILVSVSFYPKVERERIEYL HAKLLEKRSKQPLREADGKPSLYFKKIHFWFPVLKMIRKKQTIPANEDDL >ENSMUSP00000074055.7 pep:known chromosome:GRCm38:7:7202122:7209998:-1 gene:ENSMUSG00000066838.6 transcript:ENSMUST00000074455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp772 description:zinc finger protein 772 [Source:MGI Symbol;Acc:MGI:2385265] MATSQGPAGQWSPMVIVEAAGGALPQVSQYSVKPANQEEDCLSFEDVAVYFSWEEWTLLD DSQRLLYQTVMSEILTLMSSLGLIPSGIHEMTQLKSWGEPSVPALRFLTPGCWIKVDSEM SPFEQSPSAEGARPSTLQQHMLCCAETPVLSMDNVMILQTSSGLLRHQVTYNVGDAPMNM ESGEAIQSEKKNCICSDCGKTFTSTSHLNRHRMIHTGEKPFQCSECGMSFSQKAFLIKHF RIHTGEKPFRCGECGKAFKHNISLVSHQRVHTGETPFTCTECGKSYMTRSNLTRHFQVHA AEKPYSCSECGKAFKEKSSLIYHARVHTRERPFQCSECGKSFSQKAFLIKHFRIHTGEKP FRCSECGKTFKHNCFLVAHQRVHTGETPFTCTECGKSYMNRSSLLYHYRVHTGEKP >ENSMUSP00000045200.4 pep:known chromosome:GRCm38:14:63182443:63193541:-1 gene:ENSMUSG00000035121.5 transcript:ENSMUST00000038229.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neil2 description:nei like 2 (E. coli) [Source:MGI Symbol;Acc:MGI:2686058] MPEGPSVRKFHHLVSPFVGQKVVKTGGSSKKLHPAAFQSLWLQDAQVHGKKLFLRFDPDE EMEPLNSSPQPIQGMWQKEAVDRELALGPSAQEPSAGPSGSGEPVPSRSAETYNLGKIPS ADAQRWLEVRFGLFGSIWVNDFSRAKKANKKGDWRDPVPRLVLHFSGGGFLVFYNCQMSW SPPPVIEPTCDILSEKFHRGQALEALSQAQPVCYTLLDQRYFSGLGNIIKNEALYRARIH PLSLGSCLSSSSREALVDHVVEFSKDWLRDKFQGKERHTQIYQKEQCPSGHQVMKETFGP PDGLQRLTWWCPQCQPQLSSKGPQNLPSS >ENSMUSP00000095763.1 pep:known chromosome:GRCm38:7:105069469:105070410:1 gene:ENSMUSG00000073913.1 transcript:ENSMUST00000098160.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr678 description:olfactory receptor 678 [Source:MGI Symbol;Acc:MGI:3030512] MLHSNKTQFHPSSFLLIGIPGLEELHLWIGFPFFAVYLIAVLGNIIILFVIQTERSLHQP MFYFLAMLACTDLGLSTATIPKMLGIFWFNLREIAFGACITQMYIIHTCTGLESVVLTIM AIDRYIAICYPLRYSMILTNKVIAILGIIIIVRTLIFVTPFIFLILRLPFCGVRIIPHTY CEHMGLAKLACANIKVNVIYGLVAFSVGYIDLSVIGFSYIRILQAVFRLPSWDARLKALS TCGSHVSVMLAFYLPALFSFMTHRFGHNIPHYIHILLANLYVVVPPALNPVIYGVRTKQI RERVLRMLNPKMH >ENSMUSP00000042860.7 pep:known chromosome:GRCm38:14:45376334:45388802:-1 gene:ENSMUSG00000037722.7 transcript:ENSMUST00000046191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnpnat1 description:glucosamine-phosphate N-acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1858963] MKPDETPMFDPSLLKEVDWSQNTAIFSPAISPTHPGEGLVLRPLCTADLNKGFFKVLGQL TETGVVSPEQFMKSFEHMKKSGDYYVTVVEDVTLGQIVATATLIIEHKFIHSCAKRGRVE DVVVSDECRGKQLGKLLLSTLTLLSKKLNCYKITLECLPQNVGFYKKFDYTVSEENYMCR RFLK >ENSMUSP00000137758.1 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3217458:3248243:-1 gene:ENSMUSG00000097297.3 transcript:ENSMUST00000181320.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp12 description:NLR family, pyrin domain containing 12 [Source:MGI Symbol;Acc:MGI:2676630] MLPSTARDGLYRLSTYLEELEAGELKKFKLFLGIAEDLSQDKIPWGRMEKAGPLEMAQLM VAHMGTREAWLLALSTFQRIHRKDLWERGQGEDLVRVTPNNGLCLFESQSACPLDVSPNA PRKDLQTTYKDYVRRKFQLMEDRNARLGECVNLSNRYTRLLLVKEHSNPIWTQQKFVDVE WERSRTRRHQTSPIQMETLFEPDEERPEPPHTVVLQGAAGMGKSMLAHKVMLDWADGRLF QGRFDYVFYISCRELNRSHTQCSVQDLISSCWPERGISLEDLMQAPDRLLFIIDGFDKLH PSFHDAQGPWCLCWEEKQPTEVLLGSLIRRLLLPQVSLLITTRPCALEKLHGLLEHPRHV EILGFSEEARKEYFYRYFHNTGQASRVLSFLMDYEPLFTMCFVPMVSWVVCTCLKQQLES GELLRQTPRTTTAVYMFYLLSLMQPKPGTPTFKVPANQRGLVSLAAEGLWNQKILFDEQD LGKHGLDGADVSTFLNVNIFQKGIKCEKFYSFIHLSFQEFFAAMYCALNGREAVRRALAE YGFSERNFLALTVHFLFGLLNEEMRCYLERNLGWSISPQVKEEVLAWIQNKAGSEGSTLQ HGSLELLSCLYEVQEEDFIQQALSHFQVVVVRSISTKMEHMVCSFCARYCRSTEVLHLHG SAYSTGMEDDPPEPSGVQTQSTYLQERNMLPDVYSAYLSAAVCTNSNLIELALYRNALGS QGVRLLCQGLRHASCKLQNLRLKRCQISGSACQDLAAAVIANRNLIRLDLSDNSIGVPGL ELLCEGLQHPRCRLQMIQLRKCLLEAAAGRSLASVLSNNSYLVELDLTGNPLEDSGLKLL CQGLRHPVCRLRTLWLKICHLGQASCEDLASTLKMNQSLLELDLGLNDLGDSGVLLLCEG LSHPDCKLQTLRLGICRLGSVACVGIASVLQVNTCLQELDLSFNDLGDRGLQLLGEGLRH QTCRLQKLWLDNCGLTSKACEDLSSILGISQTLHELYLTNNALGDTGVCLLCKRLRHPGC KLRVLWLFGMDLNKKTHRRMAALRVTKPYLDIGC >ENSMUSP00000052717.7 pep:known chromosome:GRCm38:10:127739538:127747335:1 gene:ENSMUSG00000044617.7 transcript:ENSMUST00000054287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb39 description:zinc finger and BTB domain containing 39 [Source:MGI Symbol;Acc:MGI:2443316] MGMRIKLQSSNHPNNLLKELNKCRLSETMCDVTIVVGSRSFPAHKAVLACAAGYFQNLFL NTGLDAARTYVVDFITPANFEKILSFVYTSELFTDLINVGVIYEVAERLGMEDLLRACHS TFPDLESTAIAKSLTSTSDSQCVTLSCPSVDPTHPLGESRGSGEHFGPDRNYVLPTDAGG SYKEEERSVSGDTNHGLPLPQLPLPPKSEDHDTPVPFTSVPSVATPPPVLGMVSTGIQTS TSSCQPYKVQSNGDFSKSTFFASDNAVDITTQTNSCLSNSDHSRDPSFGQMDELQLDDVG DEDLQFEDPAEDIGTAEEVIELSDDSEDDLAFGDSDNRENKAMPCQVCKKVLEPNIQLIR QHARDHVDLLTGNCKVCETHFQDRNSRVTHVLSHIGIFLFSCDMCETKFFTQWQLTLHRR DGIFDNNVIVHPSEPLSGKLGLFPGAASPELKCAVCGKALAKDFHVVRCHILEHVNLKGQ ACSICDQRHLNLCSLMWHMLSHLGISVFSCSVCASSFVDWHLLEKHMVVHQGLEDVLFRC HLCSQSFRSEAAYRYHVSQHKCSSGLDARPALGLPHLALQKRKLPAEEFLSEELALQGQP GNSKYSCKVCGKRFAHTSEFNYHRRIHTGEKPYQCKVCHKFFRGRSTIKCHLKTHSGALM YRCTVCGHYSSTLNLMSKHVGVHKGSLPPDFTIEQTFMYIIHSKEAEKNPDS >ENSMUSP00000126346.1 pep:known chromosome:GRCm38:19:12427905:12432110:1 gene:ENSMUSG00000040065.8 transcript:ENSMUST00000168148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfpl description:pore forming protein-like [Source:MGI Symbol;Acc:MGI:1860266] MNSFIVTVLIWTTVAYAEEEKALKEIHEYGFQKCQNALNLPVLEVLPGGGWDNLRNMDMG QVMDLTYNNCKTTEDGQYIIPDDVFTIPQKESNLEMNSEILDSWENYRSTTSFSINLNLD YRPRVNGKFSSEFQRIKTTQVRDQAVTTRAQVRNLVYTVKANPNAELNLGFKKELMEICD RLEKNQTKMATYLAELLILNYGTHIITSVDAGATLVQEDHVKSSYLKNNKGNRAAVAASA GFTFAKVVNFKIETGFEYQNNLATGYLQNRTGSRVQSIGGIPFYPGMTIETWQKGIINHL VAVDRAGLPLHFFIKPEKLPAFPRHLVEQLAKTVETAAESYYNFNTYPGCTNINSPNFDF QANTDDGSCDGKLVNAPFGGVYQLCRQLSGHDYDDMCLDFHQKNPLTGDFSCPPDYTPVH LLSQTHEKGYTGMECRDKCILKIFCRTSCKDEFRVAKAEVSSYWCAASSQVPENSGILFG GVFTDKSINPMTNEPSCPTSYFPLKLFENVKVCVSLDHELGPKYSVPFGGFFSCTKGNPL YGSFTSGDLEKSFLPKCPGGFSQQLAAIIDGCQVSYCVKAGVFTRASLAPARLPPYTQLP MSQLSTDSVEMTGESPISWVKDPYTLQWNLEESSKSGRLSGGSTAAITIVVILALGVLTA MAIYGNRRFKKNKPNGLPRQQALLPFPRVRVFPDGVQDPNPA >ENSMUSP00000105918.3 pep:known chromosome:GRCm38:15:44196233:44234163:1 gene:ENSMUSG00000038760.13 transcript:ENSMUST00000110289.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trhr description:thyrotropin releasing hormone receptor [Source:MGI Symbol;Acc:MGI:98824] MENDTVSEMNQTELQPQAAVALEYQVVTILLVVIICGLGIVGNIMVVLVVMRTKHMRTPT NCYLVSLAVADLMVLVAAGLPNITDSIYGSWVYGYVGCLCITYLQYLGINASSCSITAFT IERYIAICHPIKAQFLCTFSRAKKIIIFVWAFTSIYCMLWFFLLDLNISTYKNAVVVSCG YKISRNYYSPIYLMDFGVFYVVPMILATVLYGFIARILFLNPIPSDPKENSKMWKNDSIH QNKNLNLNATNRCFNSTVSSRKQVTKMLAVVVILFALLWMPYRTLVVVNSFLSSPFQENW FLLFCRICIYLNSAINPVIYNLMSQKFRAAFRKLCNCKQKPTEKAANYSVALNYSVIKES DRFSTELEDITVTDTYVSTTKVSFDDTCLASEN >ENSMUSP00000036320.6 pep:known chromosome:GRCm38:15:44196135:44235912:1 gene:ENSMUSG00000038760.13 transcript:ENSMUST00000038856.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trhr description:thyrotropin releasing hormone receptor [Source:MGI Symbol;Acc:MGI:98824] MENDTVSEMNQTELQPQAAVALEYQVVTILLVVIICGLGIVGNIMVVLVVMRTKHMRTPT NCYLVSLAVADLMVLVAAGLPNITDSIYGSWVYGYVGCLCITYLQYLGINASSCSITAFT IERYIAICHPIKAQFLCTFSRAKKIIIFVWAFTSIYCMLWFFLLDLNISTYKNAVVVSCG YKISRNYYSPIYLMDFGVFYVVPMILATVLYGFIARILFLNPIPSDPKENSKMWKNDSIH QNKNLNLNATNRCFNSTVSSRKQVTKMLAVVVILFALLWMPYRTLVVVNSFLSSPFQENW FLLFCRICIYLNSAINPVIYNLMSQKFRAAFRKLCNCKQKPTEKAANYSVALNYSVIKES DRFSTELEDITVTDTYVSTTKVSFDDTCLASEN >ENSMUSP00000109363.2 pep:known chromosome:GRCm38:16:97947435:97962621:-1 gene:ENSMUSG00000046962.15 transcript:ENSMUST00000113734.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb21 description:zinc finger and BTB domain containing 21 [Source:MGI Symbol;Acc:MGI:1927240] MMHSVQRAHKHSGEEERRKMCACQIKCRMEGLLHYINPAHAISLLSALNEERLKGQLCDV LLIVGDQKFRAHKNVLAASSEYFQSLFTNKENEAQTVFQLDFCEPDAFDNVLNYIYSSSL FVEKGSLAAVQELGYSLGISFLTNIVAKAPQAPFPACPNRKRVPVEDDETSSQKRSVIVC QGRSEVPGKASGPAMQDLSHTARASPSASVKTTISKPHVAKPPEQLHSLPLTEKSWTKDS AAVYAKSLEQAGALDDPNRGSLVKRNTVLPPKPSQDREATDDKPGVSSQLPKGKAIELAL KRPRPPVLSLHSSSETPYLLKETSKGGGQGEDRNLLYYSKLGLVVPSGGPASANQSIDRS GPLVKSLLRRSLSMDSQVPVYSPSIDLKSSQGSSTAANEAPGSVFCSMSQKSSLKDCSEK KALDDRPQVLQPHRLRSFSASQSTDREEASPVTEVRIKTEPSSPLSDPSDIIRVTVGGDA AAAAVAAAAAAAATRDLPLKTEEDQRDMSRLPAKRRFQTDRRSPLKKAKANEHGPAVSEE NCEEGRSPPSLDSNFPDSDLNREEFGELEGTRPNKKFKCKHCLKIFRSTAGLHRHVNMYH NPEKPYACDICHKRFHTNFKVWTHCQTQHGIVKNPSPASSSHAVLDEKFQRKLIDIVRER EIKKALIIKLRRSKPGFQGQSSSPAQQVIKRNLRSRAKGAYICAYCGKAYRFLSQFKQHI KMHPGERPLGVSRAAKPKERALARAVENKEVYPCRLCNAKLSSLLEQGNHERLCRNATVC PYCSLRFFSPALKQEHEDRCEYKKLTCLECMRTFKSSFSIWRHQVEVHNQNNMALAENFA LATLDHNGEVAAASRPQTEPSKVNHVATPKEDTAFSDSSEQVNFDSEDSSCLPEDLSLSK QLKVQVKEEPVEEAEEEAPEASAAPREAGPSKETGLWPCEKCGKMFTAHKQLERHQELLC SVKPFICHVCYKAFRTNFRLWSHFQTHMSQATEEPVQKESEVCPVPTNSPSPPPLPPPPP LPKIQPLEPDSPTGLPENPTPATEKLFAPQESDTLFYHAPPLSAITFKRQFMCKLCHRTF KTAFSLWSHEQTHN >ENSMUSP00000068283.7 pep:known chromosome:GRCm38:16:97947435:97962621:-1 gene:ENSMUSG00000046962.15 transcript:ENSMUST00000063605.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb21 description:zinc finger and BTB domain containing 21 [Source:MGI Symbol;Acc:MGI:1927240] MEGLLHYINPAHAISLLSALNEERLKGQLCDVLLIVGDQKFRAHKNVLAASSEYFQSLFT NKENEAQTVFQLDFCEPDAFDNVLNYIYSSSLFVEKGSLAAVQELGYSLGISFLTNIVAK APQAPFPACPNRKRVPVEDDETSSQKRSVIVCQGRSEVPGKASGPAMQDLSHTARASPSA SVKTTISKPHVAKPPEQLHSLPLTEKSWTKDSAAVYAKSLEQAGALDDPNRGSLVKRNTV LPPKPSQDREATDDKPGVSSQLPKGKAIELALKRPRPPVLSLHSSSETPYLLKETSKGGG QGEDRNLLYYSKLGLVVPSGGPASANQSIDRSGPLVKSLLRRSLSMDSQVPVYSPSIDLK SSQGSSTAANEAPGSVFCSMSQKSSLKDCSEKKALDDRPQVLQPHRLRSFSASQSTDREE ASPVTEVRIKTEPSSPLSDPSDIIRVTVGGDAAAAAVAAAAAAAATRDLPLKTEEDQRDM SRLPAKRRFQTDRRSPLKKAKANEHGPAVSEENCEEGRSPPSLDSNFPDSDLNREEFGEL EGTRPNKKFKCKHCLKIFRSTAGLHRHVNMYHNPEKPYACDICHKRFHTNFKVWTHCQTQ HGIVKNPSPASSSHAVLDEKFQRKLIDIVREREIKKALIIKLRRSKPGFQGQSSSPAQQV IKRNLRSRAKGAYICAYCGKAYRFLSQFKQHIKMHPGERPLGVSRAAKPKERALARAVEN KEVYPCRLCNAKLSSLLEQGNHERLCRNATVCPYCSLRFFSPALKQEHEDRCEYKKLTCL ECMRTFKSSFSIWRHQVEVHNQNNMALAENFALATLDHNGEVAAASRPQTEPSKVNHVAT PKEDTAFSDSSEQVNFDSEDSSCLPEDLSLSKQLKVQVKEEPVEEAEEEAPEASAAPREA GPSKETGLWPCEKCGKMFTAHKQLERHQELLCSVKPFICHVCYKAFRTNFRLWSHFQTHM SQATEEPVQKESEVCPVPTNSPSPPPLPPPPPLPKIQPLEPDSPTGLPENPTPATEKLFA PQESDTLFYHAPPLSAITFKRQFMCKLCHRTFKTAFSLWSHEQTHN >ENSMUSP00000052127.7 pep:known chromosome:GRCm38:16:97947435:97962621:-1 gene:ENSMUSG00000046962.15 transcript:ENSMUST00000052089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb21 description:zinc finger and BTB domain containing 21 [Source:MGI Symbol;Acc:MGI:1927240] MMHSVQRAHKHSGEEERRKMCACQIKCRMEGLLHYINPAHAISLLSALNEERLKGQLCDV LLIVGDQKFRAHKNVLAASSEYFQSLFTNKENEAQTVFQLDFCEPDAFDNVLNYIYSSSL FVEKGSLAAVQELGYSLGISFLTNIVAKAPQAPFPACPNRKRVPVEDDETSSQKRSVIVC QGRSEVPGKASGPAMQDLSHTARASPSASVKTTISKPHVAKPPEQLHSLPLTEKSWTKDS AAVYAKSLEQAGALDDPNRGSLVKRNTVLPPKPSQDREATDDKPGVSSQLPKGKAIELAL KRPRPPVLSLHSSSETPYLLKETSKGGGQGEDRNLLYYSKLGLVVPSGGPASANQSIDRS GPLVKSLLRRSLSMDSQVPVYSPSIDLKSSQGSSTAANEAPGSVFCSMSQKSSLKDCSEK KALDDRPQVLQPHRLRSFSASQSTDREEASPVTEVRIKTEPSSPLSDPSDIIRVTVGGDA AAAAVAAAAAAAATRDLPLKTEEDQRDMSRLPAKRRFQTDRRSPLKKAKANEHGPAVSEE NCEEGRSPPSLDSNFPDSDLNREEFEQGNHERLCRNATVCPYCSLRFFSPALKQEHEDRC EYKKLTCLECMRTFKSSFSIWRHQVEVHNQNNMALAENFALATLDHNGEVAAASRPQTEP SKVNHVATPKEDTAFSDSSEQVNFDSEDSSCLPEDLSLSKQLKVQVKEEPVEEAEEEAPE ASAAPREAGPSKETGLWPCEKCGKMFTAHKQLERHQELLCSVKPFICHVCYKAFRTNFRL WSHFQTHMSQATEEPVQKESEVCPVPTNSPSPPPLPPPPPLPKIQPLEPDSPTGLPENPT PATEKLFAPQESDTLFYHAPPLSAITFKRQFMCKLCHRTFKTAFSLWSHEQTHN >ENSMUSP00000062662.5 pep:known chromosome:GRCm38:13:43195519:43304172:-1 gene:ENSMUSG00000051335.5 transcript:ENSMUST00000055341.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfod1 description:glucose-fructose oxidoreductase domain containing 1 [Source:MGI Symbol;Acc:MGI:2145304] MLPGVGVFGTSLTARVIIPLLKDEGFAVKALWGRTQEEAEELAKEMSVPFYTSRIDEVLL HQDVDLVCINLPPPLTRQIAVKTLGIGKNVICDRTATPLDAFRMMSAAHYYPKLMSIMGN VLRFLPAFVRMKQLIEEGYVGELLVCEVQVHSGSLLGKKYNWSCDDLMGGGGLHSVGTYI IDLLTFLTGQKAVKVHGLLKTFVKQTDHIKGIRQITSDDFCTFQMVLEGGVCCTVTLNFN VPGEFKQDVTVVGSAGRLLAVGTDLYGQRNSAPEQELLLQDTTSVSNSLLPEKAFSDIPS PYLRGTMKMMQAVRQAFQDQDDRRTWDGRPLTMAATFDDCLYALCVVDTIKRSSQTGEWQ NIAIMTEEPELSPAYLISEAMRRSRMSLYC >ENSMUSP00000061891.6 pep:known chromosome:GRCm38:12:86082561:86162458:1 gene:ENSMUSG00000007867.8 transcript:ENSMUST00000054565.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift43 description:intraflagellar transport 43 [Source:MGI Symbol;Acc:MGI:1923661] MDDLLDLGEERRRSSATSGAKMGRRAHQESTQAENYLNSKNSSLTQTGEAPPPKPPRRQG GWADDSMKMANHRLRQQNLMGSDDDDIPVIPDLEDVQEEDFVLQVASPPSIQVNRVMTYR DLDNDLMKYSAFQTLDGEIDLKLLTKVLAPEHEVREDDVGWDWDHLYTEVSSELLTEWDL LRAEKEDPVGPSRYI >ENSMUSP00000043554.7 pep:known chromosome:GRCm38:8:94863828:94876312:-1 gene:ENSMUSG00000040631.7 transcript:ENSMUST00000046461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok4 description:docking protein 4 [Source:MGI Symbol;Acc:MGI:2148865] MATNFNDIVKQGYVKMKSRKLGIYRRCWLVFRKSSSKGPQRLEKYPDEKSVCLRGCPKVT EISNVKCVTRLPKETKRQAVAIIFTDDSARTFTCDSELEAEEWYKTLSVECLGSRLNDIS LGEPDLLAPGVQCEQTDRFNVFLLPCPNLDVYGECKLQITHENIYLWDIHNPRVKLVSWP LCSLRRYGRDATRFTFEAGRMCDAGEGLYTFQTQEGEQIYQRVHSATLAIAEQHKRVLLE MEKNVRLLNKGTEHYSYPCTPTAMLPRSAYWHHITGSQNIAEASSYGESYGAAQASSETD LLNRFILLKPKPSQEDSSEAKTPAQ >ENSMUSP00000023464.5 pep:known chromosome:GRCm38:16:31878810:31899020:1 gene:ENSMUSG00000022780.5 transcript:ENSMUST00000023464.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfi2 description:antigen p97 (melanoma associated) identified by monoclonal antibodies 133.2 and 96.5 [Source:MGI Symbol;Acc:MGI:1353421] MRLLSVTFWLLLSLRTVVCVMEVQWCTISDAEQQKCKDMSEAFQGAGIRPSLLCVQGNSA DHCVQLIKEQKADAITLDGGAIYEAGKEHGLKPVVGEVYDQDIGTSYYAVAVVRRNSNVT INTLKGVKSCHTGINRTVGWNVPVGYLVESGHLSVMGCDVLKAVGDYFGGSCVPGTGETS HSESLCRLCRGDSSGHNVCDKSPLERYYDYSGAFRCLAEGAGDVAFVKHSTVLENTDGNT LPSWGKSLMSEDFQLLCRDGSRADITEWRRCHLAKVPAHAVVVRGDMDGGLIFQLLNEGQ LLFSHEDSSFQMFSSKAYSQKNLLFKDSTLELVPIATQNYEAWLGQEYLQAMKGLLCDPN RLPHYLRWCVLSAPEIQKCGDMAVAFSRQNLKPEIQCVSAESPEHCMEQIQAGHTDAVTL RGEDIYRAGKVYGLVPAAGELYAEEDRSNSYFVVAVARRDSSYSFTLDELRGKRSCHPYL GSPAGWEVPIGSLIQRGFIRPKDCDVLTAVSQFFNASCVPVNNPKNYPSALCALCVGDEK GRNKCVGSSQERYYGYSGAFRCLVEHAGDVAFVKHTTVFENTNGHNPEPWASHLRWQDYE LLCPNGARAEVDQFQACNLAQMPSHAVMVRPDTNIFTVYGLLDKAQDLFGDDHNKNGFQM FDSSKYHSQDLLFKDATVRAVPVREKTTYLDWLGPDYVVALEGMLSQQCSGAGAAVQRVP LLALLLLTLAAGLLPRVL >ENSMUSP00000021800.4 pep:known chromosome:GRCm38:13:43538412:43560191:-1 gene:ENSMUSG00000021371.4 transcript:ENSMUST00000021800.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcur1 description:mitochondrial calcium uniporter regulator 1 [Source:MGI Symbol;Acc:MGI:1923387] MDSGSVAAERPRRTPSRQRLPSSGCGVPARPGVSTLPGGRSWLRPRGRAARASPLLFLLL VPSPRLAATATATAPRRTLAERSRPGLVLPAAALGAGRNALGRLRLGARRVAALASSRRE LSLSAKCHQLEHRKENLPLSVSRQLYFDTHALVCLLEANGFTIQQAEIIVSALVKITETN MNIIYKDMVSKMQQEIALQQVLSKIANVKKDMVILEKSEFSALRAENEKIKLELHQLKQQ VMDEVTKVRTDTKLNFNLEKSRVKELYSLNEKKMLELRTEIVSLHAQQDRALTQTDRKIE TEVAGLKTMLEAHKLDTIKYLAGSVFTCLTVALGFYRLWI >ENSMUSP00000025425.5 pep:known chromosome:GRCm38:18:67800107:67885168:1 gene:ENSMUSG00000024542.8 transcript:ENSMUST00000025425.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep192 description:centrosomal protein 192 [Source:MGI Symbol;Acc:MGI:1918049] MEDFRGIAEESFPSFLANSLLGNSEVLGNVTLSSNFGLPIAVSTLARSRPSTDNRCPDVQ ESYLIEERFSVPSASSPSCQSDNPEPRERLRLSFQGDDSALRKKNYIESPDLSHALMKPR AEEKQANAASVQGQSLLGGVSPLQQVPDSALDFHLKSWTNHEEHNIAMPDPKKHFEAVNP NRDFSHTSLLDNEKLLTLTNLDSSSDDDINDEEFYDDHLEAYFEQLAIPGMMYEAGGQES PADDFKFPANEDSILNCEFQSENNSPRLPHDACTSGNSPTAHTESEGGHGCPPGTSGCVG TVDNKMQVDNVMSLYSHAWRNEKEAAHNLKDIVPNQSRGCGGEEIVVKRLCAVDETLRST YRHSTETEDGFDPADYIKQDSESPHQNKHFASDLETVPNVDRCLNTETPTVFIQKDGDIA ASSKTNGDNGINSPDTLWSPTSERRACGLYESDSKTSDKGELSQNVVYKSEDGRWVTDLA YYTSFIDQQDLQMSPNNEMNEDFRSGSDALDLIAKDEEEFNKEHPFIQDEKIDVQNTPVA LGDNSWVATVNYHLLRKSLGTSDFDKDNGSYLRLSLGEFFAERSEALGCLGGGKDVKRPS FGYFIRSPEEREPVALIKSDLSRSDLEKEATQPYRGFSSGDLNEQSQVQLSEGSVMLKAE ELQRNSQVDEDDITLTASRNQTEDTLCSNNKLQEYKNTLSGGEDSVLRISTIASAFADVS VSTDPAQLVTMMKALRNKGRSKPFQDDGTQEDCPNISHVLLNDLEKSNRSNAFDMEKYLT KTEFSSCEGAREPLSRAGTSDMWDLCSPERQTVQDRSMVNVCATNSREAEETTAAIFCAE NIESDTQESLRTIASSDSVLTSTRENRNSAMGRMPPSVDTLLDVRNSEKAASVSIAASRS DSLLRNPRVPSFSIVEQCEEIQDNRDHGRKKECVSETSSSDKHVTFEDGLVVLPKSVDLK TASPESGRSGFQDEESFRLSPLSHSSPSEISGNFAGCVLESLDSAYHQRPHLESELSQLA CSHADMSRLTYVSEQENTFPVTAADESSEDHKSDLTSELSTTIVRASPTPPREQAVEKPR DKIPGQNAEKVSMPWVIQSKSGLANMRETPSLRTGFEDGEPNFTSSQDISSESREPLEAG KMMDSTSGSSEQDLIGQALLDKPALNHRSGPAVPNLPELIQKTVDQGQRLSSTRLSAASS EASSQVPNPGASGTQHNPAPHISAHASVAKVMNQPAVCPALWTGHSLYIAPGAPSGNATL SQCHAGMQVCGISGYSPYPAAAPELVASGLYLGPSLASGLMAPSSLYNLCSTAAPQNLLS TAKPFPMVTNCETEPRDSGMMPAFGNARVPEELRFPHACCVGIASQTLLSVLNPTDHWLQ VSIRVLSIWVNGEKVDLSTQTCLVFKNKVVIRPQATEEIKILFIPTSSGIFRCTFSVASW LFSADADTVGQAEALGSRVTLTAIAETPVIEVETEKKGVLDFGDLTYAGWKALPLKLINR THATVPIRLIINANAVAWRCFTFSKEPIHVSLKAAPHADITAQLAAPSVVSHVMPASYDG KDPEFLVVWVIFHSPKKLLTSEILGSAEEFLARVDIEVDSPNPIPVLRSVALRARAGVAR IHAPRDLQTVYLLADVNSSTKHPLPLKNAGNIEVHLDIKVAEQGSQFSVDPESLFLRPGE EHEVTISFTPKDSKACEERILIIFVQPLGPQYEVVLRGEVISSGSKPLPPGPHCSDIPLI LSNKQFLTWGGIPLGRTQLQKLALRNSSTTTAQNLRLFIKGQDQDCFQIQNTFGSEERLT SNCEIRICPGEDFVISVLFAPTRLSCMLAKLEIKQLGSRSQPGIKFMIPLSGYGGTSNLI LEDVKKLSDSYLITMNDLIPGKESKVVFSIRNTGSRAAFVRAVCFKESQRKVLLDPKVLR IFPDKFVLKERTQEHITLVYTPSSRKNGEKPATELLTVYMFGGDEISRQQYRRAQMHTPG ILKQILPEHSVLQHIDFTEAFQDEPLIDEVCDLPQRPNDIELFYGSMRKITLSVIGEFRG TICSREFLQPSSSAESKSNFSSDSKTSGKQSGNVLLDVLPVKGPQGPPFLSQSAHPAQDT AASEEGWAVHPEHLILVAPSSCDLAKTGCFQIINNSVILLKFELYWPAHCLTVTPQHGCI MPESKIQILVSPNFSVSTKESVCPWTGLIYIHCDNGQKKIVKVQIREDLTQEPLPHLPSS GTSGILAPAPEPSISRLTKLITKPPSTKVEIRSKTVIFPPTEPGRTSENYLELENCGPTD VKWHLSSFAPPYVKGVDETEDVFRATYTTFRCSPISGTLEGHGIQKVSIIFLPRDKGNYA QFWDVECHPAKEPHMKHTLRFQLSGQSIRAENDPEDSCSSRDALIKVDTAVTSRRRAVSE TAAHTPGQLNSSHHGVYAPKDVYTFLPTKLGESRTLKINLRNNSFSTHALKFLSPREPFH IRHSKYTLRARHYIHIPVHFRPEAVGRFEALLVIQTDEGKSIAIRLIGESLGKS >ENSMUSP00000079032.3 pep:known chromosome:GRCm38:8:43519864:43528137:-1 gene:ENSMUSG00000063900.4 transcript:ENSMUST00000080135.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam26b description:a disintegrin and metallopeptidase domain 26B [Source:MGI Symbol;Acc:MGI:3588304] MFLKFCLWTMFFFSAWSPIGHAKYSSLPEVVTPLRVTVTRGNNTSPGWLSYSLNIGGQRH IITMKPKKNLMSRNLILLTYTQQGDLLEQRPFVPNDCYYHGYVDEDPESLVILNTCFGSL QGTLEINGTTYEIMPKSSTSTFEHLAYKMDNGDSEPSPMRCGLSEEEIAQQRKLRERNAP TLLQIPHENWWTHHRFIEYFVVIDHKQYVHRNNNITTCIQDMLQIVNGVNGYYLQIDTDV VLTALEIWNEKNYINVELSIFKVLGDFCTWKQNMFGNRIRHDIIHLLVRQGYGLYLGLAY LADVCTPYNCGVSSFLSDVMSDMAHIVAHEMGHNLGMKHDGIGCTCGLKDCLMAPYKTNS PKFSNCSYEEMYSVVTKRSCLYDIPEALVTNLTVCGNKVVEEGEECDCGSTESCLQDPCC SSDCVLKPGAQCAFGLCCQDCQFLKTGTVCREEKNECDLPEWCNGTSAECPGDVYKADGI PCSGEGYCYKMECHQRDEQCRKIFGNGSRSADEICYMEMNRRGDRFGNCGNDSSKYKICE LADVLCGRIQCENVIQLPQRRNHETVHYTHFSNVTCWTMDYHFGITIDDIGAVSDGTACA PYHICVDRKCVSKSDLVSNCTTKLCHMQGVCNNKNHCHCTNTWEPPDCQLRGHGGSLDSG PPPLHVPNSSWAFYFISFFTILLVITISLVGITKRVKMN >ENSMUSP00000137856.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143349757:143371032:1 gene:ENSMUSG00000097351.2 transcript:ENSMUST00000181564.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc38 description:leucine rich repeat containing 38 [Source:MGI Symbol;Acc:MGI:2442845] MSLCVAPRHPTGAAAALGLGSLLVLLGPGRACPAGCACTDPHTVDCRDRGLPSVPDPFPL DVRKLLVAGNRIQQIPEDFFIFHGDLVYLDFRNNSLRSLEEGTFSGSGKLAFLDLSYNNL TQLGAGAFRSAGRLVKLSLANNHLAGVHEAAFESLESLQVLELNDNNLRSLNVAALDALP ALRTVRLDGNPWLCDCDFAHLFSWIQENTSKLPKGLDAIQCSLPMEDRRVALRELSEASF SECKFSLSLTDLFIIIFSGVAVSIAAIISSFFLATVVQCFQRCAPNKDTEDEDDDEDD >ENSMUSP00000039109.4 pep:known chromosome:GRCm38:19:4192158:4195419:1 gene:ENSMUSG00000040385.6 transcript:ENSMUST00000046094.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1ca description:protein phosphatase 1, catalytic subunit, alpha isoform [Source:MGI Symbol;Acc:MGI:103016] MSDSEKLNLDSIIGRLLEVQGSRPGKNVQLTENEIRGLCLKSREIFLSQPILLELEAPLK ICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIRYPENFFL LRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDL QSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVQGWGENDRGVSFTFGAEVVAKFLHKHD LDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAD KNKGKYGQFSGLNPGGRPITPPRNSAKAKK >ENSMUSP00000003961.8 pep:known chromosome:GRCm38:7:45339127:45367019:-1 gene:ENSMUSG00000003863.17 transcript:ENSMUST00000003961.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppfia3 description:protein tyrosine phosphatase, receptor type, f polypeptide (PTPRF), interacting protein (liprin), alpha 3 [Source:MGI Symbol;Acc:MGI:1924037] MMCEVMPTISEDGRRGSALGPDEAGGELERLMVTMLTERERLLETLREAQDGLATAQLRL RELGHEKDSLQRQLSIALPQEFAALTKELNLCREQLLEREEEIAELKAERNNTRLLLEHL ECLVSRHERSLRMTVVKRQAQSPGGVSSEVEVLKALKSLFEHHKALDEKVRERLRMALER VAVLEEELELSNQEALNLRDQLSRRRSGLEEPGKDGDGQTLANGLGPVGESNRRTAELEE ALERQRAEVCQLRERLAVLCRQMSQLEEELGTAHRELGKAEEANSKLQRDLKEALAQRED MEERITTLEKRYLSAQREATSLHDANDKLENELASKESLYRQSEEKSRQLAEWLDDAKQK LQQTLQKAETLPEIEAQLAQRVAALNKAEERHGNFEERLRQLEAQLEEKNQELQRARQRE KMNDDHNKRLSETVDKLLSESNERLQLHLKERMGALEEKNSLSEEIANMKKLQDELLLNK EQLLAEMERMQMEIDQLRGRPPSSYSRSLPGSALELRYSQAPTLPSGAPLDPYGAGSGRA GKRGRWSGAKDESSKDWDRSAPAGSIPPPFPGELDGSDEEEAEGMFGAELLSPSGQADVQ TLAIMLQEQLEAINKEIKLIQEEKETTEQRAEELESRVSSSGLDSLGRYRSSCSLPPSLT TSTLASPSPPSSGHSTPRLAPPSPAREGTDKTNHVSKEEAGVPRGEGPAVPGDTPPPTPR SARLERMAQALALQAGSPEDGAPPRGSESTPDSLHKAPKRKSIKSSIGRLFGKKEKGRMG PPGRESVSLAGTPSDETLATDPLGLAKLTGPGDKDRRNKRKHELLEEACRQGLPFAAWDG PTVVSWLELWVGMPAWYVAACRANVKSGAIMANLSDTEIQREIGISNPLHRLKLRLAIQE MVSLTSPSAPASSRTPTGNVWMTHEEMESLTAATKPETKEISWEQILAYGDMNHEWVGND WLPSLGLPQYRSYFMESLVDARMLDHLNKKELRGQLKMVDSFHRVSLHYGIMCLKRLNYD RKDLERRREESQTQIRDVMVWSNERVMGWVSGLGLKEFATNLTESGVHGALLALDETFDY SDLALLLQIPTQNAQARQLLEKEFSNLISLGTDRRLDEDSAKSFSRSPSWRKMFREKDLR GVTPDSAEMLPPNFRSAAAGALGSPGLPLRKLQPEGQTSGSSRADGVSVRTYSC >ENSMUSP00000023213.6 pep:known chromosome:GRCm38:15:76368898:76371403:1 gene:ENSMUSG00000022554.6 transcript:ENSMUST00000023213.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hgh1 description:HGH1 homolog [Source:MGI Symbol;Acc:MGI:1930628] MRGPGVGSGFSGERGLLEQEAGADTEAVELLPFLVLGARADLQAAAAQHVLALTGAGSGR TLLAGQPELLRALVDLAVAPAPAPSRDASRALVNLAADPNVHWQLLAADPELPARLLRCV LDPQWPWAEEAAAVLANLSREPAPCAALMEKLMAAEPERLGLERLVNALCTPSYNAAAPL HYLGPLLSNLSQQAEVRAFLLDPDRCVVQRLLPLTQYTDSSVRRGGVVGTLRNCCFEHRH HKWLLGAQVDILPFLLLPLAGPEEFSEEEMDQLPVDLQYLSPDKQREPDADIRKMLIEAV MLLTATAPGRKQVRDQGAYLILRELHSWEPEPDVRMACEKLIQVLIGDEPEAGMENLLEV QVPEDVEQQLQELDQQEQQELAQELRGNGAPHT >ENSMUSP00000066005.6 pep:known chromosome:GRCm38:14:54631992:54641364:-1 gene:ENSMUSG00000059674.6 transcript:ENSMUST00000067784.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh24 description:cadherin-like 24 [Source:MGI Symbol;Acc:MGI:1928330] MWGLVRLLLAWLGGWGCMGRLAAPVPAWAGSRGHSGPTLLRTRRSWVWNQFFVIEEYSGP EPVLIGKLHSDVDRGEGRTKYLLTGEGAGTVFVIDEATGNIHVTKSLDREEKAQYVLLAQ AVDRASNRPLEPPSEFIIKVQDINDNPPVFPLGPYHATVPEMSNVGTSVIQVTAHDADDP SYGNSAKLVYTVLDGLPFFSVDPQTGVVRTAIPNMDRETQEEFLVVIQAKDMGGHMGGLS GSTTVTVTLSDVNDNPPKFPQSLYQFSVVETAGPGTLVGRLKAQDPDLGDNALVAYSILN GEGSEVFSISTDSQGQDGLLTVRKPLDFETRRSYTFRVEATNTLIDPAYLRRGPFKDVAS VRVTVQDAPEPPAFTQATYHLAVPENKAPGTLVGQISASDLDSPASPIRYSILPHSDPER CFSIEPEDGTIRTAVRLDREARVWHNLTILATELDSSAQSSRVQVAIQTLDENDNAPQLA EPYDIFVCDSAAPGQLIKVIRALDRDEVGNSSQVSLQGPVGPDANFTVRDNRDGSASLLL PSRPAPPRQAPYLIPIELWDWGQPALSSTATVTVSVCRCRPDGSMASCWPEAQLSPTGLS TGALLAIVTCMGTLLALVVLFVALRRQKQEALMVLEEEDVRENIITYDDEGGGEEDTEAF DITALQNPDGAAPPAAGPPVRRDVLPRTRAPRQPRPPGPADVVQLLALRLREADEDPSVP PYDSVQVYGYEGRGSSCGSLSSLGSGSEAGGVPGPAEPLDDWGPLFRTLAELYGAKEPPA P >ENSMUSP00000005825.6 pep:known chromosome:GRCm38:10:128303335:128321358:1 gene:ENSMUSG00000005682.8 transcript:ENSMUST00000005825.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pan2 description:PAN2 polyA specific ribonuclease subunit homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1918984] MNFEGLDPGLAEFSPAMHSTLDPVLDAHLNPSLLQNVELDPEGVALEALPVQESVHIMEG VYSELHSVVAEVGVPVSVSHFDLHEEMLWVGSHGGHATSFFGPALERYSSFQVNGGDDIR QIQSLENGILFLTKNNLKYMARGGLIIFDYLLDENEDMHSVLLTDNSTLLVGGLQNHVLE IDLNTVQETQKYAVETPGVTIMRQTNRFFFCGHTSGKVSLRDLRSFKVEHEFDAFSGSLS DFDVHGNLLAACGFSSRLTGLACDRFLKVYDLRMMRAITPLQVHVDPAFLRFIPTYTSRL AIISQSGQCQFCEPTGLANPADIFHVNPVGPLLMTFDVSASKQALAFGDSEGCVHLWTDS PEPSFNPYSRETEFALPCLVDSLPPLDWSQDLLPLSLIPVPLTTDALLSDWPAANSAPAP RRAPPVDAEILRTMKKVGFIGYAPNPRTRLRNQIPYRLKESDHEFDNFSQVTESPTGREE EPLHTVSKKYRKVTIKYSKLGLEDFDFKHYNKTLFAGLEPHIPNAYCNCMIQVLYFLEPV RCLIQNHLCQKEFCLACELGFLFHMLDLSRGDPCQGSNFLRAFRTIPEASALGLILADSD EASGKGSLARLIQRWNRFILTQLHQDMQELEVPQAYRGAGGSFCSSGDSIIGQLFSCEME NCSLCRCGSETVRASSTLLFTLSYPEDKTGKNYDFAQVLKRSICLEQNTQAWCDNCEKYQ PTIQTRNIRHLPDILVINCEVNSSKEADFWRLQAEVAFKIAVKKYGGEMKSKEFALADRK ELRSPEGFLCSSIEELKNVWLPFSIRMKMTKNKGLDVCNWADEHELSSLGAPSQWGPARA EEELGVYVYDLMATVVHILDSRTGGSLVAHIKVGETYHQRKEGVTHQQWYLFNDFLIEPI DKYEAVQFDMNWKVPAILYYVKRNLNSRYNLNIKNPIEASVLLAEASLARKQRKTHTTFI PLMLNEMPQVGDLVGLDAEFVTLNEEEAELRSDGTKSTIKPSQMSVARITCVRGQGPNEG IPFIDDYISTQEQVVDYLTQYSGIKPGDLDAKISSKHLTTLKSTYLKLRFLIDIGVKFVG HGLQKDFRVINLMVPKDQVLDTVYLFHMPRKRMISLRFLAWYFLDLKIQGETHDSIEDAR TALQLYRKYLELSKNGTEPESFHKVLKGLYEKGRKMDWKVPEPESQTSPKNAAVFSVLAL >ENSMUSP00000088845.6 pep:known chromosome:GRCm38:13:100697027:100730942:-1 gene:ENSMUSG00000069089.7 transcript:ENSMUST00000091299.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk7 description:cyclin-dependent kinase 7 [Source:MGI Symbol;Acc:MGI:102956] MAVDVKSRAKRYEKLDFLGEGQFATVYKARDKNTNQIVAIKKIKLGHRSEAKDGINRTAL REIKLLQELSHPNIIGLLDAFGHKSNISLVFDFMETDLEVIIKDNSLVLTPSHIKAYMLM TLQGLEYLHQHWILHRDLKPNNLLLDENGVLKLADFGLAKSFGSPNRAYTHQVVTRWYRA PELLFGARMYGVGVDMWAVGCILAELLLRVPFLPGDSDLDQLTRIFETLGTPTEEQWPDM CSLPDYVTFKSFPGVPLQHIFIAAGDDLLELIQGLFLFNPCTRTTASQALKTKYFSNRPG PTPGCQLPRPNCPVEALKEPANPTVATKRKRAEALEQGILPKKLIF >ENSMUSP00000001975.4 pep:known chromosome:GRCm38:8:84670483:84687862:-1 gene:ENSMUSG00000001910.4 transcript:ENSMUST00000001975.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nacc1 description:nucleus accumbens associated 1, BEN and BTB (POZ) domain containing [Source:MGI Symbol;Acc:MGI:1914080] MAQTLQMEIPNFGNSILECLNEQRLQGLYCDVSVVVKGHAFKAHRAVLAASSSYFRDLFN SSRSAVVELPAAVQPQSFQQILTFCYTGRLSMNMGDQFLLIYTAGFLQIQEIMEKGTEFF LKVSSPSCDSQGLHPEEAPSSEPQSPVAQTLGWPACSTPLPLVSRVKTEQELDSVQCTPM AKRLWDSSQKEAGGSGGNNGSRKMAKFSTPDLALNRMPQPLSMATATAAVAVVAVGGCVS GPSMSERTSPGTSSAYTSDSPSSYHNEEDEEEDAGEEGTDEQYRQICNMYTMYSMLNVGQ TAEKVEALPEQVVLESRSRIRVRQDLASLPAELINQIGNRCHPKLYDEGDPSEKLELVTG TNVYITRAQLMNCHVSAGTRHKVLLRRLLASFFDRNTLANSCGTGIRSSTNDPRRKPLDS RVLHAVKYYCQNFAPNFKESEMNAIAADMCTNARRVVRKSWLPKTKPLHLVEGDNYSSFI SDTCKIEPDMMSMEHSFETASHDGEAGPSAEVLQ >ENSMUSP00000106224.2 pep:known chromosome:GRCm38:13:12996132:13005383:-1 gene:ENSMUSG00000079092.3 transcript:ENSMUST00000110594.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2c2 description:prolactin family 2, subfamily c, member 2 [Source:MGI Symbol;Acc:MGI:97618] MLPSLIQPCSWILLLLLVNSSLLWKNVASFPMCAMRNGRCFMSFEDTFELAGSLSHNISI EVSELFTEFEKHYSNVSGLRDKSPMRCNTSFLPTPENKEQARLTHYSALLKSGAMILDAW ESPLDDLVSELSTIKNVPDIIISKATDIKKKINAVRNGVNALMSTMLQNGDEEKKNPAWF LQSDNEDARIHSLYGMISCLDNDFKKVDIYLNVLKCYMLKIDNC >ENSMUSP00000001242.7 pep:known chromosome:GRCm38:10:78162067:78169768:-1 gene:ENSMUSG00000053329.6 transcript:ENSMUST00000001242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D10Jhu81e description:DNA segment, Chr 10, Johns Hopkins University 81 expressed [Source:MGI Symbol;Acc:MGI:1351861] MAAVRVLVAPRLASALLPLSRYHRAPSQRAALHSSAPRPGARVALVLSGCGVYDGTEIHE ASAILVHLSRGGAEVQIFAPDVPQMHVIDHTKGEPSERESRNVLAESARIARGKITSLAQ LNAANHDAAIFPGGFGAAKNLSTFAVDGKDCKVNKEVERVLKEFHGAKKPIGLCCIAPVL AAKVIKGVEVTVGHEQEEGGKWPYAGTAEAIKALGAKHCVKGVTEAHVDQKNKVVTTPAF MCETALHHIHDGIGAMVKNVLELTGK >ENSMUSP00000091991.3 pep:known chromosome:GRCm38:7:45725107:45725816:-1 gene:ENSMUSG00000070563.5 transcript:ENSMUST00000094424.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spaca4 description:sperm acrosome associated 4 [Source:MGI Symbol;Acc:MGI:1916613] MVLGWPLLLVLVLCPGVTGIKDCVFCELTDSARCPGTHMRCGDDEDCFTGHGVAQGVGPI INKGCVHSTSCGREEPISYMGLTYSLTTTCCSGHLCNKGTGLSTGATSLSLGLQLLLGLL LLLQYWL >ENSMUSP00000020967.4 pep:known chromosome:GRCm38:12:4769295:4778266:1 gene:ENSMUSG00000020639.13 transcript:ENSMUST00000020967.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfn4 description:profilin family, member 4 [Source:MGI Symbol;Acc:MGI:1920121] MSHLQNLLLDTLLGTKHVDSAALIKLQEKTLCVTSPGFSVMPSDVRTLLNGFAKNPLLTR REGLYFKEKDYKCVRADDYSLYAKNENTGVVVVKTNMYLVVATYTAGMYPSVCVEATEKL GEYLRKKGN >ENSMUSP00000136765.1 pep:known chromosome:GRCm38:12:4769451:4778238:1 gene:ENSMUSG00000020639.13 transcript:ENSMUST00000178879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfn4 description:profilin family, member 4 [Source:MGI Symbol;Acc:MGI:1920121] MSHLQNLLLDTLLGTKHVDSAALIKLQEKTLCVTSPGFSVMPSDVRTLLNGFAKNPLLTR REGLYFKEKDYKCVRADDYSLYAKNENTGVVVVKTNMYLVVATYTAGMYPSVCVEATEKL GEYLRKKGN >ENSMUSP00000034363.5 pep:known chromosome:GRCm38:8:105518746:105523984:1 gene:ENSMUSG00000031891.5 transcript:ENSMUST00000034363.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd11b2 description:hydroxysteroid 11-beta dehydrogenase 2 [Source:MGI Symbol;Acc:MGI:104720] MERWPWPSGGAWLLVAARALLQLLRSDLRLGRPLLAALALLAALDWLCQRLLPPPAALVV LAGAGWIALSRLARPPRLPVATRAVLITGCDTGFGKETAKKLDAMGFTVLATVLDLNSPG ALELRDLCSPRLKLLQMDLTKAEDISRVLEITKAHTASTGLWGLVNNAGLNIVVADVELS PVATFRKCMEVNFFGALELTKGLLPLLRHSRGRIVTVGSPAGDMPYPCLAAYGTSKAAIA LLMDTFGCELLPWGIKVSIIKPGCFKTDAVTNVNLWEKRKQLLLANIPRELLQAYGEDYI EHVHGQFLNSLRMALPDLSPVVDAIIDALLAAQPRSRYYPGRGLGLMYFIHHYLPEGLRR CFLQNFFINHLLPRALRPGQHGPAPA >ENSMUSP00000037324.3 pep:known chromosome:GRCm38:8:106935750:107014192:1 gene:ENSMUSG00000041308.5 transcript:ENSMUST00000047425.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sntb2 description:syntrophin, basic 2 [Source:MGI Symbol;Acc:MGI:101771] MAVWTRATKAGLVELLLRERWVRVVAELSGESLSLTGDAAAVEPEPPAAAFNGLPNGGGG ESLPGSPNRGLGPPSPPAPPRGPAGEASASPPVRRVRVVKQEAGGLGISIKGGRENRMPI LISKIFPGLAADQSRALRLGDAILSVNGTDLRQATHDQAVQALKRAGKEVLLEVKFIREV TPYIKKPSLVSDLPWEGASPQSPSFSGSEDSGSPKHQNTTKDRKVIPLKMCFAARNLSMP DLENRLIELHSPDSRNTLILRCKDTATAHSWFVAIHTNIMALLPQVLAELNAMLGATSTA GGSKEVKHIAWLAEQAKLDGGRQQWRPVLMAVTEKDLLLYDCMPWTRDAWASPCHSYPLV ATRLVHSGSGCRSPSLGSDLTFATRTGSRQGIEMHLFRVETHRDLSTWTRILVQGCHAAA ELIKEVSLGCTLSGQEVRFTVHYEHGFTITRDNGGASSILYRYPFERLKMSADDGIRNLY LDFGGPEGELTMDLHSCPKPIVFVLHTFLSAKVTRMGLLV >ENSMUSP00000057996.3 pep:known chromosome:GRCm38:17:56256793:56263608:1 gene:ENSMUSG00000043683.3 transcript:ENSMUST00000060253.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fem1a description:feminization 1 homolog a (C. elegans) [Source:MGI Symbol;Acc:MGI:1335089] MDLHTAVYNAAHDGKLPLLQKLLAGRGREELEELLGEVAGGGTPLLIAARRGHLDVVEYL VDHCGASVEASGSVHFDGETIEGAPPLWAASAAGHLAVVRSLLRRGASVNRTTRTNSTPL RAACFDGHLDVVRYLVGEHKADLEVANRHGHTCLMISCYKGHREIARYLLERGAQVNRRS AKGNTALHDCAESGSLEILQLLLGCHARMERDGYGMTPLLAASVTGHTNIVEYLIQEQPG HEQLSGTELPGEGSSQVAGNHCSTPEEAEPYESCCPTSREAAVEALELLGATYVDKKRDL LGALKHWRRAMELRHQGGGYLPKPEPQQLVLAYDYSREVTTPQELEALITDPDEMRMQAL LIRERILGPSHPDTSYYIRYRGAVYADSGNFERCIRLWKYALDMQQNNLEPLSPMTASSF LSFAELFSYVLQDRSAKGNLGMQLGFADLMGVLSKGVREVERALQLPKEPGDSAQFTKAI AIILHLLYLLEKVECTPSQEHLKHQTVYRLLKCAPRGKNGFTPLHMAVDKETTNVGRYRV GVFPSLQVVKVLLDCGADPDSRDFDNNTPLHIAAQNNCPAIMDALIEAGAHMDATNAFKK TAYELLDSKLLAKSTVQPFNYVTLQCLAARALDRNKVPYKGFIPEELEAFIQLH >ENSMUSP00000111413.1 pep:known chromosome:GRCm38:17:7350904:7352696:-1 gene:ENSMUSG00000079722.1 transcript:ENSMUST00000115747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttll2 description:tubulin tyrosine ligase-like family, member 2 [Source:MGI Symbol;Acc:MGI:3644030] MDGLQSLGDVTAEIFGLPLEGHSVQESKPLKTEDEPQGAPLKPLVFRVDESTPGLVQSVL LERGWDKFDEQRQDVEDWNLYWRSSSFRRAEYVNVKPWQRLNHHPGMTNLTRKDCLAKHL ARMRSRYGESLYEFTPLTFIMPTDYTKFVAKYFKEKQDLGTKPSYWICKPAELSRGRGII IFSDIRDLMFKGTYVVQKYICNPLLVGRYKCDLRIYVCITGFKPLTIYMYQEGLVRFATE KFDLRNLEDYYSHLTNSSINKLGASYQKIKEVVGQGCKWTLSRFFSYLRNWDVDDLLLRQ KISHMVILTVLAMAPSVPVTYNCFELFGFDILIDDNLKPWLLEVNYNPALTLDCSTDESV KRSLVHDVIELLYLNGLRSEEKKCGRTSPGNSVVSLARSHHHEFCATPNSSSYASLIEFT TGSKSDPAVQNICPKHTRTSQLREMMSRRDRLLTKEAAKSKPRHKAWHLPRKMVFPYASQ SQPHKMKGPAGDLPEAGSTPNDHAGNFVLIFPFNKATFRASRNGLNVKRIIQELQKLMNK >ENSMUSP00000110927.2 pep:known chromosome:GRCm38:9:25252439:25308571:1 gene:ENSMUSG00000001833.16 transcript:ENSMUST00000115272.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept7 description:septin 7 [Source:MGI Symbol;Acc:MGI:1335094] MSVSARSAAAEERSVNCGTMVCQPKNLEGYVGFANLPNQVYRKSVKRGFEFTLMVVGESG LGKSTLINSLFLTDLYSPEYPGPSHRIKKTVQVEQSKVLIKEGGVQLLLTIVDTPGFGDA VDNSNCWQPVIDYIDSKFEDYLNAESRVNRRQMPDNRVQCCLYFIAPSGHGLKPLDIEFM KRLHEKVNIIPLIAKADTLTPEECQQFKKQIMKEIQEHKIKIYEFPETDDEEENKLVKKI KDRLPLAVVGSNTIIEVNGKRVRGRQYPWGVAEVENGEHCDFTILRNMLIRTHMQDLKDV TNNVHYENYRSRKLAAVTYNGVDNNKNKGQLTKSPLAQMEEERREHVAKMKKMEMEMEQV FEMKVKEKVQKLKDSEAELQRRHEQMKKNLEAQHKELEEKRRQFEEEKANWEAQQRILEQ QNSSRTLEKNKKKGKIF >ENSMUSP00000127641.2 pep:known chromosome:GRCm38:9:25252439:25308571:1 gene:ENSMUSG00000001833.16 transcript:ENSMUST00000165594.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept7 description:septin 7 [Source:MGI Symbol;Acc:MGI:1335094] MSVSARSAAAEERSVNCGTMVAQPKNLEGYVGFANLPNQVYRKSVKRGFEFTLMVVGESG LGKSTLINSLFLTDLYSPEYPGPSHRIKKTVQVEQSKVLIKEGGVQLLLTIVDTPGFGDA VDNSNCWQPVIDYIDSKFEDYLNAESRVNRRQMPDNRVQCCLYFIAPSGHGLKPLDIEFM KRLHEKVNIIPLIAKADTLTPEECQQFKKQIMKEIQEHKIKIYEFPETDDEEENKLVKKI KDRLPLAVVGSNTIIEVNGKRVRGRQYPWGVAEVENGEHCDFTILRNMLIRTHMQDLKDV TNNVHYENYRSRKLAAVTYNGVDNNKNKGQLTKSPLAQMEEERREHVAKMKKMEMEMEQV FEMKVKEKVQKLKDSEAELQRRHEQMKKNLEAQHKELEEKRRQFEEEKANWEAQQRILEQ QNSSRTLEKNKKKGKIF >ENSMUSP00000098591.2 pep:known chromosome:GRCm38:12:112588784:112615556:1 gene:ENSMUSG00000037679.8 transcript:ENSMUST00000101029.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inf2 description:inverted formin, FH2 and WH2 domain containing [Source:MGI Symbol;Acc:MGI:1917685] MSVKEGAQRKWAALKEKLGPQDSDPTEANLESAEPELCIRLLQMPSVVNYSGLRKRLESS DGGWMVQFLEQSGLDLLLEALARLSGRGVARISDALLQLTCISCVRAVMNSQQGIEYILS NQGYVRQLSQALDTSNVMVKKQVFELLAALCIYSPEGHALTLDALDHYKMVCSQQYRFSV IMSELSDSDNVPYVVTLLSVINAIILGPEDLRSRAQLRSEFIGLQLLDILTRLRDLEDAD LLIQLEAFEEAKAEDEEELQRISDGINMNSHQEVFASLFHKVSCSPASAQLLSVLQGLMH LEPAGRSGQLLWEALENLVNRAVLLASDAQACTLEEVVERLLSIKGRPRPSPLDKAHKSV QTNSVQNQGSSSQNTTTPTTKVEGQQPVVASPCQHVGSIQSSSVDIAPQPVALEQCITAL PLPTPPLSSSTPVLPPTPPPLPGPGATSPLPPPPPPLPPPLPGSGTTSPPPPPPPPPPLP PPLPGSGTISPPPPPPPPPLPGTGAVSPPPPPPLPSLPDSHKTQPPPPPPLPGMCPVPPP PPLPRAGQIPPPPPLPGFSVPSMMGGVEEIIVAQVDHSLGSAWVPSHRRVNPPTLRMKKL NWQKLPSNVARERNSMWATLGSPCTAAVEPDFSSIEQLFSFPTAKPKEPSAAPARKEPKE VTFLDSKKSLNLNIFLKQFKCSNEEVTSMIQAGDTSKFDVEVLKQLLKLLPEKHEIENLR AFTEERAKLSNADQFYVLLLDIPCYPLRVECMMLCEGTAIVLDMVRPKAQLVLTACESLL TSQRLPVFCQLILKIGNFLNYGSHTGDADGFKISTLLKLTETKSQQSRVTLLHHVLEEVE KSHPDLLQLSRDLEPPSQAAGINVEIIHSEASANLKKLLEAERKVSASIPEVQKQYAERL QASIEASQELDKVFDAIEQKKLELADYLCEDPQQLSLEDTFSTMKTFRDLFTRALKENKD RKEQMAKAERRKQQLAEEEARRPRDEDGKPIRKGPGKQEEVCVIDALLADIRKGFQLRKT ARGRGDTEASGRVAPADPPKATEPATASNPTQGTNHPASEPLDTTAADEPQGWDLVDAVT PSPQPSKEEDGPPALERRSSWYVDAIDFLDPEDTPDAQPSEGVWPVTLGDGQALNPLEFS SNKPPGVKSSHQDATDPEALWGVHRTEADSTSEGPEDEAQRGQSTHLPRTGPGEDEDGED TAPESALDTSLDRSFSEDAVTDSSGSGTLPRVQGRVSKGTSKRRKKRPSRNQEEFVPDSD DIKAKRLCVIQ >ENSMUSP00000034300.6 pep:known chromosome:GRCm38:8:119344538:119348927:1 gene:ENSMUSG00000031839.6 transcript:ENSMUST00000034300.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsbp1 description:heat shock factor binding protein 1 [Source:MGI Symbol;Acc:MGI:1915446] MAETDPKTMQDITLVVETLLQQMQDKFQIMSDQIIGRIDDMSSRIDDLEKNIADLMTQAG VEELDPENKIPTAQKS >ENSMUSP00000093076.3 pep:known chromosome:GRCm38:10:80702693:80705382:1 gene:ENSMUSG00000055862.6 transcript:ENSMUST00000095426.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo4 description:IZUMO family member 4 [Source:MGI Symbol;Acc:MGI:1918814] MFGQGRLGQAMALLLFLGMTAALARGCLQCDPNFAEKFSFYRHHVNLKSWWVGDIPVSGM LLSDWIQNTMKELHLAIPAEITRKKLYQVAEAVYQRMDQLYQGKMYFPGYFPNELRAIFR EQVRLIQNAIIESRIDCQRHCGIYQYETISCSNCTDSHVICFGYYCKSSAQWESAVQGLL KYINTWHKQDEKMRTTPAFLSQNIKCLEPQHLVNLTLEKVSECLTQH >ENSMUSP00000000727.2 pep:known chromosome:GRCm38:10:128677193:128696268:-1 gene:ENSMUSG00000000711.2 transcript:ENSMUST00000000727.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab5b description:RAB5B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105938] MTSRSTARPNGQPQASKICQFKLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLTQ SVCLDDTTVKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNQETFARAKTWVKELQR QASPSIVIALAGNKADLANKRMVEYEEAQAYADDNSLLFMETSAKTAMNVNDLFLAIAKK LPKSEPQNLGGAAGRSRGVDLHEQSQQNKSQCCSN >ENSMUSP00000054763.6 pep:known chromosome:GRCm38:8:70016123:70042734:-1 gene:ENSMUSG00000031858.15 transcript:ENSMUST00000050561.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mau2 description:MAU2 chromatid cohesion factor homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1921799] MAAQAAAAAQAAAAAQAAAAQAAQAEAAESWYLALLGFAEHFRTSSPPKIRLCVHCLQAV FPFKPPQRIEARTHLQLGSVLYHHTKNSEQARSHLEKAWLISQQIPQFEDVKFEAASLLS ELYCQENSVDAAKPLLRKAIQISQQTPYWHCRLLFQLAQLHTLEKDLVSACDLLGVGAEY ARVVGSEYTRALFLLSKGMLLLMERKLQEVHPLLTLCGQIVENWQGNPIQKESLRVFFLV LQVTHYLDAGQVKSVKPCLKQLQQCIQTISTLHDDEILPSNPADLFHWLPKEHMCVLVYL VTVMHSMQAGYLEKAQKYTDKALMQLEKLKMLDCSPILSSFQVILLEHIIMCRLVTGHKA TALQEISQVCQLCQQSPRLFSNHAAQLHTLLGLYCVSVNCMDNAEAQFTTALRLTNHQEL WAFIVTNLASVYIREGNRHQELYSLLERINPDHSFPVSSHCLRAAAFYVRGLFSFFQGRY NEAKRFLRETLKMSNAEDLNRLTACSLVLLGHIFYVLGNHRESNNMVVPAMQLASKIPDM SVQLWSSALLRDLNKACGNAMDAHEAAQMHQNFSQQLLQDHIEACSLPEHNLITWTDGPP PVQFQAQNGPNTSLASLL >ENSMUSP00000131966.2 pep:known chromosome:GRCm38:8:70016123:70042685:-1 gene:ENSMUSG00000031858.15 transcript:ENSMUST00000168013.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mau2 description:MAU2 chromatid cohesion factor homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1921799] MAAQAAAAAQAAAAAQAAAAQAAQAEAAESWYLALLGFAEHFRTSSPPKIRLCVHCLQAV FPFKPPQRIEARTHLQLGSVLYHHTKNSEQARSHLEKAVLASSQIPQFEDVKFEAASLLS ELYCQENSVDAAKPLLRKAIQISQQTPYWHCRLLFQLAQLHTLEKDLVSACDLLGVGAEY ARVVGSEYTRALFLLSKGMLLLMERKLQEVHPLLTLCGQIVENWQGNPIQKESLRVFFLV LQVTHYLDAGQVKSVKPCLKQLQQCIQTISTLHDDEILPSNPADLFHWLPKEHMCVLVYL VTVMHSMQAGYLEKAQKYTDKALMQLEKLKMLDCSPILSSFQVILLEHIIMCRLVTGHKA TALQEISQVCQLCQQSPRLFSNHAAQLHTLLGLYCVSVNCMDNAEAQFTTALRLTNHQEL WAFIVTNLASVYIREGNRHQEVLYSLLERINPDHSFPVSSHCLRAAAFYVRGLFSFFQGR YNEAKRFLRETLKMSNAEDLNRLTACSLVLLGHIFYVLGNHRESNNMVVPAMQLASKIPD MSVQLWSSALLRDLNKACGNAMDAHEAAQMHQNFSQQLLQDHIEACSLPEHNLITWTDGP PPVQFQAQNGPNTSLASLL >ENSMUSP00000014913.8 pep:known chromosome:GRCm38:17:15475721:15498276:-1 gene:ENSMUSG00000014769.9 transcript:ENSMUST00000014913.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb1 description:proteasome (prosome, macropain) subunit, beta type 1 [Source:MGI Symbol;Acc:MGI:104884] MLSTAAYRDVERELGMGPHGSAGPVQLRFSPYAFNGGTVLAIAGEDFSIVASDTRLSEGF SIHTRDSPKCYKLTDKTVIGCSGFHGDCLTLTKIIEARLKMYKHSNNKAMTTGAIAAMLS TILYSRRFFPYYVYNIIGGLDEEGKGAVYSFDPVGSYQRDSFKAGGSASAMLQPLLDNQV GFKNMQNVEHVPLTLDRAMRLVKDVFISAAERDVYTGDALRICIVTKEGIREETVPLRKD >ENSMUSP00000051933.2 pep:known chromosome:GRCm38:9:38401720:38403829:1 gene:ENSMUSG00000049098.2 transcript:ENSMUST00000056364.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr147 description:olfactory receptor 147 [Source:MGI Symbol;Acc:MGI:2660712] MISMLAGNGSSVTEFVLAGLTDRPELQLPLFYLFLIIYIITVVGNLGLIILIGLNPHLHT PMYYFLFNLSFIDLCYSSVFSPKMLINFVSEKNSISYAGCMTQLFLFLFFVISECYMLTS MAYDRYVAICNPLLYKVTMSPQICSVISFAAYGMGFAGSSAHTGCMLRLTFCNVNVINHY LCDILPLLQLSCTSTYVNEVVVLIVVGINITVPSFTILISYVFILANILNIKSTQGRAKA FSTCSSHIMAISLFFGSAAFMYLKYSSGSMEQGKISSVFYTNVGPMLNPLIYSLRNKDVK VALRKSLIKFREKTDFN >ENSMUSP00000052017.7 pep:known chromosome:GRCm38:10:8204753:8518825:-1 gene:ENSMUSG00000047712.7 transcript:ENSMUST00000061601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ust description:uronyl-2-sulfotransferase [Source:MGI Symbol;Acc:MGI:2442406] MKKKQQQHPGGGTDPWPHGAPVGGAPPCLGSCKRRIPLLPFLRFSLRDYGFCMATLLVFC LGSLFYQLSGGPPRFLLDLRQYLGNSTYLDDHGPPPSKVLPFPSQVVYNRVGKCGSRTVV LLLRILSEKHGFNLVTSDIHNKTRLTKNEQMELIKNISTAEQPYLFTRHVHFLNFSRFGG DQPVYINIIRDPVSRFLSNYFFRRFGDWRGEQNHMIRTPSMRQEERYLDINECILENYPE CSNPRLFYIIPYFCGQHPRCREPGEWALERAKLNVNENFLLVGILEELEDVLLLLERFLP HYFKGVLSIYKDPEHRKLGNMTVTVRKTVPSPEAVQILYQRMRYEYEFYHYVREQFHLLK RKLGLKSRVSGPPVRPQFFIPTPLETEEPIDDEEQDDEKWLEDIYKR >ENSMUSP00000038188.4 pep:known chromosome:GRCm38:8:105844678:105852008:-1 gene:ENSMUSG00000036672.4 transcript:ENSMUST00000040776.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpt description:centromere protein T [Source:MGI Symbol;Acc:MGI:2443939] MADLSFSDGDPTVRTLLRRVLETADSRTPMRRRSTRINAQRRRSQTPYSNRQGSQTKTSA RKQSHGARSVGRSTRVQGRGRLEEQTPRTLLRNILLTAPESSTVMPDPVVKPAQVPEVAR SSRRESSRGSLELHLPELEPPSTLAPGLTAPGKRKQKLRLSVFQQEVDQGLPLSQEPRRS RSADVSSLASSFNLTFVLPGQPETVERPGLARRRPIRQLVNAGALLQDLEDNSLASALPG DSHRTPVAALPMDVGLEDTQPFSQSLAAFSLSGKHSLPSPSRPGVEDVERVMGPPSSGTR LQSRMSRSGPAASPSPFLEPQPPPAEPREAVGSNEAAEPKDQEGSSGYEETSARPASGEL SSSTHDSLPAEQPPPSPGVAVLSSEPLESVTAKCPSRTQTAGPRRRQDPHKAGLSPYVKF FSFCTKMPVEKTALEIVEKCLDKYFQHLCNDLEVFASHAGRKIVKPEDLLLLMRRQGLVT DQVSQHVLVERYLPLEYRQQLIPCAFSGNSVFPAQ >ENSMUSP00000094321.3 pep:known chromosome:GRCm38:18:43777196:43792878:-1 gene:ENSMUSG00000071858.3 transcript:ENSMUST00000096570.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm94 description:predicted gene 94 [Source:MGI Symbol;Acc:MGI:2684940] MAVSVLRLMVVLGLSALILTCRADDNPNENDNPDSKPDDSSKNPEPGFPKFLSILGSEII ENAVDFILRSMSRGSSFMELEGDPGQQPSKVTS >ENSMUSP00000054990.2 pep:known chromosome:GRCm38:13:47012558:47014850:-1 gene:ENSMUSG00000044231.2 transcript:ENSMUST00000052747.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhlrc1 description:NHL repeat containing 1 [Source:MGI Symbol;Acc:MGI:2145264] MGEEATAVAAAGVRPELVREAEVSLLECKVCFERFGHWQQRRPRNLPCGHVVCLACVAAL AHPRTLGLECPFCRRACRACDTSDCLPVLHLLELLGSTLHASPAALSAAPFAPGTLTCYH AFGGWGTLVNPTGLALCPKTGRVVVVHDGKRRVKIFDSGGGGAHQFGEKGDAAHDVKYPL DVAVTNDCHVVVTDAGDCSLKVFDFFGQIKLVVGKQFSLPWGVEITPHNGVLVTDAEAGT LHLLEADFPEGVLRRIERLQAHLCSPRGLAVSWLTGAIAVLEHPCAFGRTGCNNTRVKVF NSTMQLIGQVDSFGLNLLFPSKVTASAVTFDHQGNVIVADTSGPAIVCLGKPEEFPALKP IITHGLSRPVALAFTKENSLLVLDTASHSIKVFKVMEGNGG >ENSMUSP00000129900.1 pep:known chromosome:GRCm38:12:85234529:85270591:-1 gene:ENSMUSG00000021245.14 transcript:ENSMUST00000166821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlh3 description:mutL homolog 3 (E coli) [Source:MGI Symbol;Acc:MGI:1353455] MIRCLSDDVKTKLRSGLAISSLGQCVEELTLNSIDAEATCVAIRVNMETFQVQVIDNGLG MAGDDVEKVGNRYFTSKCHSVRDLENPAFYGFRGEALASIADMAGAVEISSKKNTTLKTF VKMFQNGKALATHEADLTRPSVGTTVTVYNLFYQFPVRRKSMDPRLEFEKVRQRVEALSL MHPSISFSLRNDVSGSMVLQLPKTKDICSRFCQIYGLGKSQKLREIRFKYKEFEFSGYIS SEAHYNKNMQFLFVNRRLVLRTKLHKLIDFLLRKESIICRPKNGSASRQMNSSPRHRSAS ELHGIYVINVQCPFCEYDVCIEPAKTLIEFQSWDTVLICIQEGVKRFLKQEKLFVELSGE DIKEFNEDNGFSLFGTTLQTHVSTHEKCDQSSFREACNKILDSYEMFNLQSKAVKRIATL ENKTRQNPGDSETIRKKTVGSLYTDASDGPCYSKSVESVLQDSNNSAYLEPRVSEEEVAK TSHSGENEKWKKSFLENKTSGRIHETSPKMFSSPIQMHHLLEEREADLEMQTISSTVNVM AANIPQNNDIPSQLEKWKDAPEVGCQPLPFETTLLRVRGTQRKKERRKKEPSSRGRVNVF SYGQVKLCSTGFITHVVQSEHAKSTETEHSFKNYARPGPVSAQETFGKRTHHAIETPDSS DLTSTLSKESSQPPNKRFCRTNTGYGTENKPVATDDNLALFQESCKESHTDRLLPDASSF PWCRYVSDGCRKIDKRGSFKQVVRRKLSLRSQVGSLEKFKRQYGKVSSSLDTEKDNNTEV RTHLDPQNEPDVLLKDKSHLDMSDGCEITTVEHSETCQPLSPILYPEKILFSKEDRLEQM PHLRESPITLEELSHCNRKADVEKSAASLASKLSKLKDSEKEMQTVGMTGHTSELPDSNP SWKDNSQCTRLDLDFCELLKNKLEKIESDMLPMADSATEDGPINKNSELHPNNTTDDTEK PETPLLFPCNDSKISRDSDVLIRTSEQPTGNPDSVGKVIMSQVEDGIGSQGGVCPQGDES KARSCSKNEPNAHCMDWQQHFDVTLGRMVYINRMTGLSTFVAPTDDLHTACTKDLTTVAV DVLLGNDAVDAAAAAVSEPLQSLFSEWSNPVFARYPEVAVDVSSGQAESLAVKIHNVLYP YRFTKEMIHSVKVLQQVDNKFIACLMSTRMDEDGRTGGNLLVLVDQHAAHERIRLEQLIT DSYEKQDPQSAGRKKLLSSTIIPPLAITVSEEQRRLLRSYHKHLEDLGLELLFPDASDSL ILVGKVPLCFVEREASELRRGRSTVTKSIVEELIREQVELLQTTGGIQGTLPLTVQKVLA SQACHGAIKFNDRLSLEESCRLIEALSLSQLPFQCAHGRPSMLPLADLDHLEQEKQVKPN LAKLRKMVRAWHLFGKTEQNLQQPIRPCEPP >ENSMUSP00000019378.6 pep:known chromosome:GRCm38:12:85234529:85270564:-1 gene:ENSMUSG00000021245.14 transcript:ENSMUST00000019378.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlh3 description:mutL homolog 3 (E coli) [Source:MGI Symbol;Acc:MGI:1353455] MIRCLSDDVKTKLRSGLAISSLGQCVEELTLNSIDAEATCVAIRVNMETFQVQVIDNGLG MAGDDVEKVGNRYFTSKCHSVRDLENPAFYGFRGEALASIADMAGAVEISSKKNTTLKTF VKMFQNGKALATHEADLTRPSVGTTVTVYNLFYQFPVRRKSMDPRLEFEKVRQRVEALSL MHPSISFSLRNDVSGSMVLQLPKTKDICSRFCQIYGLGKSQKLREIRFKYKEFEFSGYIS SEAHYNKNMQFLFVNRRLVLRTKLHKLIDFLLRKESIICRPKNGSASRQMNSSPRHRSAS ELHGIYVINVQCPFCEYDVCIEPAKTLIEFQSWDTVLICIQEGVKRFLKQEKLFVELSGE DIKEFNEDNGFSLFGTTLQTHVSTHEKCDQSSFREACNKILDSYEMFNLQSKAVKRIATL ENKTRQNPGDSETIRKKTVGSLYTDASDGPCYSKSVESVLQDSNNSAYLEPRVSEEEVAK TSHSGENEKWKKSFLENKTSGRIHETSPKMFSSPIQMHHLLEEREADLEMQTISSTVNVM AANIPQNNDIPSQLEKWKDAPEVGCQPLPFETTLLRVRGTQRKKERRKKEPSSRGRVNVF SYGQVKLCSTGFITHVVQSEHAKSTETEHSFKNYARPGPVSAQETFGKRTHHAIETPDSS DLTSTLSKESSQPPNKRFCRTNTGYGTENKPVATDDNLALFQESCKESHTDRLLPDASSF PWCRYVSDGCRKIDKRGSFKQVVRRKLSLRSQVGSLEKFKRQYGKVSSSLDTEKDNNTEV RTHLDPQNEPDVLLKDKSHLDMSDGCEITTVEHSETCQPLSPILYPEKILFSKEDRLEQM PHLRESPITLEELSHCNRKADVEKSAASLASKLSKLKDSEKEMQTVGMTGHTSELPDSNP SWKDNSQCTRLDLDFCELLKNKLEKIESDMLPMADSATEDGPINKNSELHPNNTTDDTEK PETPLLFPCNDSKISRDSDVLIRTSEQPTGNPDSVGKVIMSQVEDGIGSQGGVCPQGDES KARSCSKNEPNAHCMDWQQHFDVTLGRMVYINRMTGLSTFVAPTDDLHTACTKDLTTVAV DVLLGNDAVDAAAAAVSEPLQSLFSEWSNPVFARYPEVAVDVSSGQAESLAVKIHNVLYP YRFTKEMIHSVKVLQQVDNKFIACLMSTRMDEDGRTGGNLLVLVDQHAAHERIRLEQLIT DSYEKQDPQSAGRKKLLSSTIIPPLAITVSEEQRRLLRSYHKHLEDLGLELLFPDASDSL ILVGKVPLCFVEREASELRRGRSTVTKSIVEELIREQVELLQTTGGIQGTLPLTVQKVLA SQACHGAIKFNDRLSLEESCRLIEALSLSQLPFQCAHGRPSMLPLADLDHLEQEKQVKPN LAKLRKMVRAWHLFGKTEQNLQQPIRPCEPP >ENSMUSP00000136452.1 pep:novel scaffold:GRCm38:GL456221.1:30394:32306:-1 gene:ENSMUSG00000094874.1 transcript:ENSMUST00000178199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC132444.3 MFTLTKALEKALLQHFIYMKVNITYAINKPFPFFEALRDKSFITERMYKESLEACQNLVP LSKVVHNILTSLEQTFHPSVLLTLFSKVNLREYPSLVAIFRSFRNGNVVSPILVTCAVVL Q >ENSMUSP00000137274.1 pep:known chromosome:GRCm38:X:39862919:39863604:-1 gene:ENSMUSG00000095240.1 transcript:ENSMUST00000179096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cypt14 description:cysteine-rich perinuclear theca 14 [Source:MGI Symbol;Acc:MGI:3616459] MARVVKRTPKPTAYARATSKPKKCKASCRLKCKYSIKNQHALCCKSCGEITGKGKRVFQL ASKVKGTIKLRIVKDTCHYAQELTAYQ >ENSMUSP00000083840.4 pep:known chromosome:GRCm38:17:71869442:72603709:-1 gene:ENSMUSG00000055471.6 transcript:ENSMUST00000086639.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alk description:anaplastic lymphoma kinase [Source:MGI Symbol;Acc:MGI:103305] MGAAGFLWLLPPLLLAAASYSGAATDQRAGSPASGPPLQPREPLSYSRLQRKSLAVDFVV PSLFRVYARDLLLPQPRSPSEPEAGGLEARGSLALDCEPLLRLLGPLPGISWADGASSPS PEAGPTLSRVLKGGSVRKLRRAKQLVLELGEETILEGCIGPPEEVAAVGILQFNLSELFS WWILHGEGRLRIRLMPEKKASEVGREGRLSSAIRASQPRLLFQIFGTGHSSMESPSETPS PPGTFMWNLTWTMKDSFPFLSHRSRYGLECSFDFPCELEYSPPLHNHGNQSWSWRHVPSE EASRMNLLDGPEAEHSQEMPRGSFLLLNTSADSKHTILSPWMRSSSDHCTLAVSVHRHLQ PSGRYVAQLLPHNEAGREILLVPTPGKHGWTVLQGRVGRPANPFRVALEYISSGNRSLSA VDFFALKNCSEGTSPGSKMALQSSFTCWNGTVLQLGQACDFHQDCAQGEDEGQLCSKLPA GFYCNFENGFCGWTQSPLSPHMPRWQVRTLRDAHSQGHQGRALLLSTTDILASEGATVTS ATFPAPMKNSPCELRMSWLIRGVLRGNVSLVLVENKTGKEQSRTVWHVATDEGLSLWQHT VLSLLDVTDRFWLQIVTWWGPGSRATVGFDNISISLDCYLTISGEEKMSLNSVPKSRNLF EKNPNKESKSWANISGPTPIFDPTVHWLFTTCGASGPHGPTQAQCNNAYQNSNLSVVVGS EGPLKGVQIWKVPATDTYSISGYGAAGGKGGKNTMMRSHGVSVLGIFNLEKGDTLYILVG QQGEDACPRANQLIQKVCVGENNVIEEEIRVNRSVHEWAGGGGGGGGATYVFKMKDGVPV PLIIAAGGGGRAYGAKTETFHPERLESNSSVLGLNGNSGAAGGGGGWNDNTSLLWAGKSL LEGAAGGHSCPQAMKKWGWETRGGFGGGGGGCSSGGGGGGYIGGNAASNNDPEMDGEDGV SFISPLGILYTPALKVMEGHGEVNIKHYLNCSHCEVDECHMDPESHKVICFCDHGTVLAD DGVSCIVSPTPEPHLPLSLILSVVTSALVAALVLAFSGIMIVYRRKHQELQAMQMELQSP EYKLSKLRTSTIMTDYNPNYCFAGKTSSISDLKEVPRKNITLIRGLGHGAFGEVYEGQVS GMPNDPSPLQVAVKTLPEVCSEQDELDFLMEALIISKFNHQNIVRCIGVSLQALPRFILL ELMAGGDLKSFLRETRPRPNQPTSLAMLDLLHVARDIACGCQYLEENHFIHRDIAARNCL LTCPGAGRIAKIGDFGMARDIYRASYYRKGGCAMLPVKWMPPEAFMEGIFTSKTDTWSFG VLLWEIFSLGYMPYPSKSNQEVLEFVTSGGRMDPPKNCPGPVYRIMTQCWQHQPEDRPNF AIILERIEYCTQDPDVINTALPIEYGPVVEEEEKVPMRPKDPEGMPPLLVSPQPAKHEEA SAAPQPAALTAPGPSVKKPPGAGAGAGAGAGAGPVPRGAADRGHVNMAFSQPNPPPELHK GPGSRNKPTSLWNPTYGSWFTEKPAKKTHPPPGAEPQARAGAAEGGWTGPGAGPRRAEAA LLLEPSALSATMKEVPLFRLRHFPCGNVNYGYQQQGLPLEATAAPGDTMLKSKNKVTQPG P >ENSMUSP00000043115.5 pep:known chromosome:GRCm38:12:51875873:51971321:-1 gene:ENSMUSG00000035181.5 transcript:ENSMUST00000040583.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heatr5a description:HEAT repeat containing 5A [Source:MGI Symbol;Acc:MGI:2444133] MELAHSLLLNEEASNQLGAVQKAEFIFEWLRYLEKLLLATNREDVREKQKTLVGQLLSLL NSSPGPPTRKLLAQDLAILYSVGDTVSVYETIDKCNDLIRSKDDSPSYLPTKLAAVVCLG SLYKKLGRILANGFTDTVVNILKAMKSAESQGRYEIMLSLQSILTGLGAAAAPCHRDVYK AARSCLTDRSMAVRCAAAKCLLELQNEAIFMWSTDVDSVATLCFKSFEGSNYDVRISVSK LLGTVLAKAVTAKHPGAGSKQSARRVSLEEVLELLGAGFLRGSSGFLRASGDMLKGNSSV SRDVRVGVTQAYVVFVSTLGGAWLEKNLAAFLSHILSLVSQSNPKATQTQIDAVCCRRCV SFILRATLGGLLGEKAQIAAAKEICQAVWRLKKVMDAALSDGNVETRLSSTDVAASQHVL VCALQELGNLIHNLGTTAAPLLQDSSTGLLDSVISVVLHPSISVRLAAAWCLHCIAVALP SYLTPLLDRCLERLAILKSSPEAVTGFSSAVAALLGSVTHCPLGIPHGKGKIIMTIAEDL LCSAAQNSRLSLQRTQAGWLLVAALMTLGPAVVSHHLTRVLLLWKCVFPASPRDLETEKS RGDSFTWQVTLEGRAGALCAVKSFISHCGDLLTEEVIQRLLPPLPCAVDLLTQLSSILKT YGSSLKTPSIVYRQRLYELLILLPPETYKGNLCVILKELAAELTAPDTQAAASTCLLPAL CHPDDLLILSPLLQETDHRFIEEQLLLGNGVACGSLEYDPYSIYEKDVEGDSVPKPLPPA LSVISSASKLFGVVCATVDEAQRVLILEQLLNSIKHTKGARQQTVQLHVVSAISNLLKYV AGSKQSLGPEVRRLVLTLVLGALESPTPLLRCAASEAWARLAQVADDGAFTAGLAQLSFD KLKSARDVVTRTGHSLALGSLHRYLGGIGPQHLSSCIGVLYTLSQDSTSPDVQTWALHSL SLTIDSAGALYHVHVESTLSLIVMLLLNVPPTHAQVHQSLGRCLNALITTLGPELQGSNT SVSALRTSCLLGCAVMQDHPGCLVQAQAISCLQQLHMFAPRHVNLSSLVSCLCVNLCSPY LLLRRAVLACLRQLVQREAAEVSEHAIMLARDGRDAAADANLREVGLEGALLALLDRETD ESLCQDIRETLHHMLTSMAVGKLTLWLKLCKDVLAASADFTAVTCVDTMQEEEGDRGDDA SVLTRGDDKPHPFSNPRWATRVFAADCVCRIINQCENANRAHFDIALAQEMKKRDSRNDF LVLHLADLIRMAFMAATDHSDQLRLSGLDTLLVVIRRFADIAEPEFPGHVILEQYQANVG AALRPAFTSETPPDITAKACQVCSAWIASGVVSDLSDLRRVHQLLVSSLTKIQAGKEALS QLYNESASTMEILAVLRAWAEVYIIAVQRHKNHKQALKTTVNSEDSMRNGSSSAAGLLDL VCTDLATLSKLWLAALQDFALLTLPAEFASQLPTEGGAFYTAETSKSAKLHYHDSWALIL HAAALWLTSTGFADPDEGGANLSRPVTPTSMCQGSSSSGAAVKSPEDVYTDRFHLILGIS VEFLCSLRSDASLESIMACLRALQALLDVPWPRWRIGSDQDLGIELLNVLHRVILTRESP AIQLASLEVVRQIICAAQEHVKEKRRSAEVDDGASEKETLPEFGEGKDTGGLVPGKSLVF ATLELCVCILVRQLPELNPKLAGSPGGKASKPKTLLEEGSRLVAAALAILAELPAVCSPE GSISILPTVLYLTIGVLRETAVKLPGGQLSCTVTASLQTLKGILTSPMARAEKSHEAWTS LLQSALATVLDCWSPVDGAQEPDEVSLLTAVTVFILSTSPEVTTVPCLQNRCIEKFKAAL ESKDSVVQMKTCQLLHSIFQYPKPAVSYPYIYSLASSIVEKLQDIARRKPEDATELQLCQ EGIKLLEALVAIAEEEHRAQLVACLLPILISFLLDENALGSATSVTRSLHDFALHSLMQI GPRYSSVFKRVMASSPALKARLEAAVKGNQESVRVDPPSKHAKNLARNSSIQLKTNFL >ENSMUSP00000022908.8 pep:known chromosome:GRCm38:15:39094191:39112716:-1 gene:ENSMUSG00000022299.8 transcript:ENSMUST00000022908.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a32 description:solute carrier family 25, member 32 [Source:MGI Symbol;Acc:MGI:1917156] MTGQGQSAAGSAAWSAVFRHVRYENLVAGVSGGVLSNLALHPLDLVKIRFAVSDGLEVRP KYKGILHCLATIWKVDGLRGLYQGVTPNVWGAGLSWGLYFFFYNAIKSYKTEGRAEQLEP LEYLVSAAEAGAMTLCITNPLWVTKTRLMLQYGGVASPSQRQYKGMFDALVKIYKYEGVR GLYKGFVPGLFGTSHGALQFMAYELLKLKYNKHINRLPEAQLSTAEYISVAALSKIFAVA ATYPYQVVRARLQDQHVSYGGVTDVITKTWRKEGIGGFYKGIAPNLIRVTPACCITFVVY ENVSHFLYDLREKKVS >ENSMUSP00000022517.7 pep:known chromosome:GRCm38:14:57275034:57398483:-1 gene:ENSMUSG00000021947.10 transcript:ENSMUST00000022517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryl1 description:crystallin, lambda 1 [Source:MGI Symbol;Acc:MGI:1915881] MASPAAGGVVIVGSGLIGRSWAMLFASGGFKVKLYDIEQQQITDALENIRKEMKSLEQSG SLKGSLSAERQLSLISGCGNLAEAVEGAVHIQECVPENLELKKKIFAQLDRIVDDRVILS SSSSCLLPSKLFSGLAHVKQCIVAHPVNPPYYVPLVELVPHPETAPATMDRTYALMKKIG QSPVRVLKEIDGFVLNRLQYAVISEAWRLVEEEIVSPSDLDLVMSDGLGMRYAFIGPLET MHLNAEGVISYCERYSEGMKHVLSTFGPVPEFSGATVERVSEDMCMKVPDDPEHLAARRQ WRDDCLMKLSILKYQMQPK >ENSMUSP00000022538.3 pep:known chromosome:GRCm38:14:57826239:57828745:1 gene:ENSMUSG00000021967.3 transcript:ENSMUST00000022538.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl57 description:mitochondrial ribosomal protein L53 [Source:MGI Symbol;Acc:MGI:1915090] MFLTAVLLRGRIPGRQWIGKHRRPRTVSFQAKESMIRRLEVEAENHYWLSMPYMTAEQEC GHAAERRAQAFEAIKAAATSKFPKHRYIADQLDHLNISKKWS >ENSMUSP00000022993.5 pep:known chromosome:GRCm38:15:57869502:57892418:-1 gene:ENSMUSG00000022365.5 transcript:ENSMUST00000022993.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Derl1 description:Der1-like domain family, member 1 [Source:MGI Symbol;Acc:MGI:1915069] MSDIGDWFRSIPAITRYWFAATVAVPLIGKLGIISPAYFFLWPEAFLYRFQIWRPFTATF YFPVGPGTGFLYLVNLYFLYQYSTRLEAGAFDGRPADYLFMLLFNWICIVITGLAMDMQL LMIPLIMSVLYVWAQLNRDLIVSFWFGTRFKACYLPWVILGFNYIIGGSVINELIGNLVG HLYFFLMFRYPMDLGGRNFLSTPQFLYRWLPSRRGGVSGFGVPPASMRRAADQNGGGGRH NWGQGFRLGDQ >ENSMUSP00000100862.1 pep:known chromosome:GRCm38:10:128908919:128912816:1 gene:ENSMUSG00000025351.13 transcript:ENSMUST00000105229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd63 description:CD63 antigen [Source:MGI Symbol;Acc:MGI:99529] MAVEGGMKCVKFLLYVLLLAFCACAVGLIAIGVAVQVVLKQAITHETTAGSLLPVVIIAV GAFLFLVAFVGCCGACKENYCLMITFAIFLSLIMLVEVAVAIAGYVFRDQVKSEFNKSFQ QQMQNYLKDNKTATILDKLQKENNCCGASNYTDWENIPGMAKDRVPDSCCINITVGCGND FKESTIHTQGCVETIAIWLRKNILLVAAAALGIAFVEVLGIIFSCCLVKSIRSGYEVM >ENSMUSP00000026407.7 pep:known chromosome:GRCm38:10:128909866:128912816:1 gene:ENSMUSG00000025351.13 transcript:ENSMUST00000026407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd63 description:CD63 antigen [Source:MGI Symbol;Acc:MGI:99529] MAVEGGMKCVKFLLYVLLLAFCACAVGLIAIGVAVQVVLKQAITHETTAGSLLPVVIIAV GAFLFLVAFVGCCGACKENYCLMITFAIFLSLIMLVEVAVAIAGYVFRDQVKSEFNKSFQ QQMQNYLKDNKTATILDKLQKENNCCGASNYTDWENIPGMAKDRVPDSCCINITVGCGND FKESTIHTQGCVETIAIWLRKNILLVAAAALGIAFVEVLGIIFSCCLVKSIRSGYEVM >ENSMUSP00000076575.7 pep:known chromosome:GRCm38:9:44336350:44344228:-1 gene:ENSMUSG00000032126.15 transcript:ENSMUST00000077353.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmbs description:hydroxymethylbilane synthase [Source:MGI Symbol;Acc:MGI:96112] MSGNGGAATTAEENGSKMRVIRVGTRKSQLARIQTDTVVAMLKALYPGIQFEIIAMSTTG DKILDTALSKIGEKSLFTKELENALEKNEVDLVVHSLKDVPTILPPGFTIGAICKRENPC DAVVFHPKFIGKTLETLPEKSAVGTSSLRRVAQLQRKFPHLEFKSIRGNLNTRLRKLDEL QEFSAIVLAVAGLQRMGWQNRVGQILHPEECMYAVGQGALAVEVRAKDQDILDLVSVLHD PETLLRCIAERAFLRHLEGGCSVPVAVHTVMKDGQLYLTGGVWSLDGSDSMQETMQATIQ VPVQQEDGPEDDPQLVGITARNIPRGAQLAAENLGISLASLLLNKGAKNILDVARQLNDV R >ENSMUSP00000095166.3 pep:known chromosome:GRCm38:9:44336350:44342381:-1 gene:ENSMUSG00000032126.15 transcript:ENSMUST00000097558.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmbs description:hydroxymethylbilane synthase [Source:MGI Symbol;Acc:MGI:96112] MRVIRVGTRKSQLARIQTDTVVAMLKALYPGIQFEIIAMSTTGDKILDTALSKIGEKSLF TKELENALEKNEVDLVVHSLKDVPTILPPGFTIGAICKRENPCDAVVFHPKFIGKTLETL PEKSAVGTSSLRRVAQLQRKFPHLEFKSIRGNLNTRLRKLDELQEFSAIVLAVAGLQRMG WQNRVGQILHPEECMYAVGQGALAVEVRAKDQDILDLVSVLHDPETLLRCIAERAFLRHL EGGCSVPVAVHTVMKDGQLYLTGGVWSLDGSDSMQETMQATIQVPVQQEDGPEDDPQLVG ITARNIPRGAQLAAENLGISLASLLLNKGAKNILDVARQLNDVR >ENSMUSP00000071970.6 pep:known chromosome:GRCm38:8:72189668:72200958:1 gene:ENSMUSG00000062007.12 transcript:ENSMUST00000072097.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsh2d description:hematopoietic SH2 domain containing [Source:MGI Symbol;Acc:MGI:2676364] MAEARRLPPPLPPRLDWFVHTQADLLAQSGIPEWFHGTISREAAENMLESQPLGTFLIRV SHSHVGYTLSYKAQTCCRHFMVKLSEDGTCAFAGDHMTHASLHALVTFHQQKPIRPFGEL LTQACGQEDPANVDYEDLFLYSNALVQDAESQILRTEVQRSSCPPEEASERKPSTTTKGE FASASCSPKALFEDSGQKLWKNLRSLPQTSQRVKQRLTSHLLAMNLLGDARQVAQQHHSP VTRAFSWDSTSHSEDSCAATTSLQNPAEPQALRGREATFRDSRPASWRKAFSGVKAWRGK VVRALSAQEPVDFPEAQGWLPEEYLPPPPFAPGY >ENSMUSP00000127575.1 pep:known chromosome:GRCm38:8:72193443:72200995:1 gene:ENSMUSG00000062007.12 transcript:ENSMUST00000165324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsh2d description:hematopoietic SH2 domain containing [Source:MGI Symbol;Acc:MGI:2676364] MAEARRLPPPLPPRLDWFVHTQADLLAQSGIPEWFHGTISREAAENMLESQPLGTFLIRV SHSHVGYTLSYKAQTCCRHFMVKLSEDGTCAFAGDHMTHASLHALVTFHQQKPIRPFGEL LTQACGQEDPANVDYEDLFLYSNALVQDAESQILRTEVQRSSCPPEEASERKPSTTTKGE FASASCSPKALFEDSGQKLWKNLRSLPQTSQRVKQRLTSHLLAMNLLGDARQVAQQHHSP VTRAFSWDSTSHSEDSCAATTSLQNPAEPQALRGREATFRDSRPASWRKAFSGVKAWRGK VVRALSAQEPVDFPEAQGWLPEEYLPPPPFAPGY >ENSMUSP00000093165.4 pep:known chromosome:GRCm38:12:87602688:87608845:1 gene:ENSMUSG00000096576.1 transcript:ENSMUST00000095509.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oog1 description:oogenesin 1 [Source:MGI Symbol;Acc:MGI:2679150] MVICLHCPDQDDSLEEVTEECYSPPTLQNLAIQSLLRDEALAISALTDLPQSLFPVIFEE AFTDGYIGILKAMIPVWPFPYLSLGKQINNCNLETLKAMLEGLDILLAQKVQTSRCKLRV INWREDDLKIWAGSHEGEGLPDFRTEKQPIENSAGCEVKKELKVTTEVLRMKGRLDESTT YLLQWAQQRKDSIHLFCRKLLIEGLTKASVIEIFKTVHADCIQELILRCICIEELAFLNP YLKLMKSLFTLTLDHIIGTFSLGDSEKLDEETIFSLISQLPTLHCLQKLYVNDVPFIKGN LKEYLRCLKKPLETLCISNCDLSQSDLDCLPYCLNICELKHLHISDIYLCDLLLEPLGFL LERVGDTLKTLELDSCCIVDFQFSALLPALSQCSHLREVTFYDNDVSLPFLKQLLHHTAL LSQLIYECYPAPLECYDDSGVILTHRLESFCPELLDILRAKRQLHSVSFQTTKCSKCGGC YIYDRHTQCCRFVELL >ENSMUSP00000058221.7 pep:known chromosome:GRCm38:17:27189601:27204438:-1 gene:ENSMUSG00000044857.7 transcript:ENSMUST00000055117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lemd2 description:LEM domain containing 2 [Source:MGI Symbol;Acc:MGI:2385045] MAGLSDLELRRELQALGFQPGPITDTTRNVYRNKLRRLRGEARLRDDERLREDAGPREDA GPRGPERQREEARLREEAPLRARPAASVLRSEPWPLSPSPPAPSAASDASGPYGNFGASA SPWAASRGLSYPPHAGPGPLRRRASVRGSSEDDEDTRTPDRHAPGRGRHWWAPPSASARP HSALLGADARPGLKGSRTGSAGAGRTRPEVGRWLERCLSRLLLWASLGLLLGFLAILWVK MGKPSAPQEAEDNMKLLPVDCERKTDEFCQAKQKAALLELLHELYNFLAIQAGNFECGNP EKLKSKCIPVLEAQEYIANVTSSPSSRFKAALTWILSSNKDVGIWLKGEDPSELATTVDK VVCLESARPRMGIGCRLSRALLTAVTHVLIFFWCLAFLWGLLILLKYRWRKLEEEEQAMY EMVKKIIDVVQDHYVDWEQDMERYPYVGILHVRDSLIPPQSRRRMKRVWDRAVEFLASNE SRIQTESHRVAGEDMLVWRWTKPSSFSDSER >ENSMUSP00000108056.1 pep:known chromosome:GRCm38:17:79848392:79896123:-1 gene:ENSMUSG00000059811.12 transcript:ENSMUST00000112437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atl2 description:atlastin GTPase 2 [Source:MGI Symbol;Acc:MGI:1929492] MDTQGAFDSQSTIKDCATVFALSTMTSSVQVYNLSQNIQEDDLQHLQLFTEYGRLAMEEI YQKPFQTLMFLIRDWSYPYEHSYGLEGGKQFLEKRLQVKQNQHEELQNVRKHIHNCFSNL GCFLLPHPGLKVATNPSFDGRLKDIDEDFKRELRNLVPLLLAPENLVEKEISGSKVTCRD LVEYFKAYIKIYQGEELPHPKSMLQATAEANNLAAVAGARDVYCKSMEQVCGGDKPYIAP SDLERKHLDLKEVALKQFRSVKKMGGDEFCRRYQDQLEAEIEETYANFIKHNDGKNIFYA ARTPATLFAVMFAMYIISGLTGFIGLNSIAVLCNLVMGLALTSLCTWAYVKYSGEFREIG TMIDQIAETLWEQVLKPLGDNLMEENIRQSVTNSIKAGLTDQVSHHARLKTD >ENSMUSP00000064758.5 pep:known chromosome:GRCm38:17:79848392:79896028:-1 gene:ENSMUSG00000059811.12 transcript:ENSMUST00000068282.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atl2 description:atlastin GTPase 2 [Source:MGI Symbol;Acc:MGI:1929492] MAEGDEAARRQQPQQGLRRRRQTSDSSVGVNHVSSTTSLGEDYEDDDLVNSDEVMKKPCP VQIVLAHEDDHNFELDEEALEQILLQEHIRDLNIVVVSVAGAFRKGKSFLLDFMLRYMYN KDSQSWIGGNNEPLTGFTWRGGCERETTGIQVWNEVFVIDRPNGTKVAVLLMDTQGAFDS QSTIKDCATVFALSTMTSSVQVYNLSQNIQEDDLQHLQLFTEYGRLAMEEIYQKPFQTLM FLIRDWSYPYEHSYGLEGGKQFLEKRLQVKQNQHEELQNVRKHIHNCFSNLGCFLLPHPG LKVATNPSFDGRLKDIDEDFKRELRNLVPLLLAPENLVEKEISGSKVTCRDLVEYFKAYI KIYQGEELPHPKSMLQATAEANNLAAVAGARDVYCKSMEQVCGGDKPYIAPSDLERKHLD LKEVALKQFRSVKKMGGDEFCRRYQDQLEAEIEETYANFIKHNDGKNIFYAARTPATLFA VMFAMYIISGLTGFIGLNSIAVLCNLVMGLALTSLCTWAYVKYSGEFREIGTMIDQIAET LWEQVLKPLGDNLMEENIRQSVTNSIKAGLTDQVSHHARLKTD >ENSMUSP00000059458.3 pep:known chromosome:GRCm38:10:120765774:120778886:1 gene:ENSMUSG00000044544.3 transcript:ENSMUST00000056994.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921513I03Rik description:RIKEN cDNA 4921513I03 gene [Source:MGI Symbol;Acc:MGI:1918124] MNINCCVDTEVLRNRASRSLSLTPTILLSKKTQSLSSFESYFLTCLCLHPVRTPEKADDA KSLLALPSRLLPRPCEGSCQVWVMERAHLCLLEVSQGTLRGGALENFQTTEAP >ENSMUSP00000072894.4 pep:known chromosome:GRCm38:14:48120869:48260883:1 gene:ENSMUSG00000021846.8 transcript:ENSMUST00000073150.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peli2 description:pellino 2 [Source:MGI Symbol;Acc:MGI:1891445] MFSPGQEEPSAPNKEPVKYGELVVLGYNGALPNGDRGRRKSRFALYKRTYASGVKPSTIH MVSTPQASKAISSRGHHSISYTLSRSQTVVVEYTHDKDTDMFQVGRSTESPIDFVVTDTV SGGQNEDAQITQSTISRFACRIVCDRNEPYTARIFAAGFDSSKNIFLGEKAAKWKNPDGH MDGLTTNGVLVMHPQGGFTEESQPGVWREISVCGDVYTLRETRSAQQRGKLVESETNVLQ DGSLIDLCGATLLWRTADGLFHAPTQKHIEALRQEINAARPQCPVGLNTLAFPSINRKEV VEEKQPWAYLSCGHVHGYHSWGHRSDTEANERECPMCRTVGPYVPLWLGCEAGFYVDAGP PTHAFTPCGHVCSEKSAKYWSQIPLPHGTHAFHAACPFCATQLVGEQNCIKLIFQGPVD >ENSMUSP00000062385.3 pep:known chromosome:GRCm38:7:102908869:102909837:-1 gene:ENSMUSG00000043310.3 transcript:ENSMUST00000061738.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr571 description:olfactory receptor 571 [Source:MGI Symbol;Acc:MGI:3030405] MSPLSAQDTSNISSLMAPTFLLVGLPGLEAAPSWWSVPLITIYLLSAFGNGTILWIIALE PTLHRPMYFFLFLLSVSDVGLATVLMPTLLGLAFADAHTVPASACLLQMFFIHVFSVMES SVLLAMAFDRAVAICRPLHYPVILTNGVISKIAVAIAFRCLSLHLPLPILLARMPYCRPQ VLTHSYCLHPDMARLACPEAWGAVYSLVVVLSAMVLDPLLIFFSYGLIGRALQGVGSAED RWKAGQTCAAHLSAVLLFYIPMILLALIDRFKLPLPPPAHTLLSYVHFLLPPLMNPVLYS VKMKEIREKILKRLLPTKVGCA >ENSMUSP00000105845.1 pep:known chromosome:GRCm38:8:69226343:69228980:1 gene:ENSMUSG00000059897.4 transcript:ENSMUST00000110216.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp930 description:zinc finger protein 930 [Source:MGI Symbol;Acc:MGI:2675306] DALTYDDVHINFTPEEWALLDHTQRNLYTDVMLETYKNLVAIGYKPEDKIIEHLQNFRIP GRHKGSLTREKPYEYTQCGKASTRHIGLQRHERIHTGEKPYKCNQCGKAFVDYCTLQKHE RTHTGEKAYECNECGKGFTQQAYLQNHKRTHTGEKPYECYECGKGFAHYSTLRKHGSTHT GEKPYECNECGKAFAQQSHLQNHKRTHTGEKPYECNECGKAFSQHSSLQNHKRTHTGEKP YECNECGKAFSQYSNLRKHKRTHTGEKPYECNECGKAFSQHSSLQQHKRTHTGEIPYECN ECGKAFSQHSSLQMHKRTHTGEKPYECKQCGKAFSQHSSLRKHKRTHTGQKPYECSQCGK AFSRHSSLQQHKRTHTGEKPYECNECGKAFTQQGHLQKHKRTHTGEKPYECNQCGKAFSE PSSLQMHKRTHNGEKLDVCN >ENSMUSP00000112481.1 pep:known chromosome:GRCm38:19:4855129:4860912:1 gene:ENSMUSG00000083282.2 transcript:ENSMUST00000119694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsf description:cathepsin F [Source:MGI Symbol;Acc:MGI:1861434] MAPLLQLLWLLTLLSTVALSPVPAKPWADDEQAWNLSSQELLAPARFALDMYNYGRAAGT RAVLGAVRGRVRRAGQGSLFSLEATLEEPPCNDPLVCPLPETKKTVLCSFEVLEELKEHL LLRRDCSPVNAKVTEFRNATFSSFLPLLDKDPLPQDFSVKMAPLFKDFMTTYNRTYESRE EAQWRLTVFARNMIRAQKIQALDRGTAQYGITKFSDLTEEEFHTIYLNPLLQKESGRKMS PAKSINDLAPPEWDWRKKGAVTEVKNQGMCGSCWAFSVTGNVEGQWFLNRGTLLSLSEQE LLDCDKVDKACLGGLPSNAYAAIKNLGGLETEDDYGYQGHVQTCNFSAQMAKVYINDSVE LSRNENKIAAWLAQKGPISVAINAFGMQFYRHGIAHPFRPLCSPWFIDHAVLLVGYGNRS NIPYWAIKNSWGSDWGEEGYYYLYRGSGACGVNTMASSAVVN >ENSMUSP00000034590.2 pep:known chromosome:GRCm38:9:45929628:45936058:-1 gene:ENSMUSG00000032085.4 transcript:ENSMUST00000034590.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tagln description:transgelin [Source:MGI Symbol;Acc:MGI:106012] MANKGPSYGMSREVQSKIEKKYDEELEERLVEWIVVQCGPDVGRPDRGRLGFQVWLKNGV ILSKLVNSLYPEGSKPVKVPENPPSMVFKQMEQVAQFLKAAEDYGVIKTDMFQTVDLYEG KDMAAVQRTLMALGSLAVTKNDGNYRGDPNWFMKKAQEHKRDFTDSQLQEGKHVIGLQMG SNRGASQAGMTGYGRPRQIIS >ENSMUSP00000106251.1 pep:known chromosome:GRCm38:12:69168814:69179010:1 gene:ENSMUSG00000034883.8 transcript:ENSMUST00000110621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrr1 description:leucine rich repeat protein 1 [Source:MGI Symbol;Acc:MGI:1916956] MRLPCEVEVRSCHLPTLGLKSRGKGVRAVVSLCQAPGRNELQPEARAEPGGHACLLVSTM KDRQGTSYKLRENIEQLFTKFVDEGKATVRLKEPPVDICLSKANPGNLKTLLSAMRLAHR GCDVNTPLSTLKPVKTSEFEKYKTKMVITSKKDYPLSKNFPYFLEHLQASYCSLARVDMR MLCLKNLTKLDLSHNCIKKLPATIGDLTHLQELNLNDNQLETFSVPLCTSTLQKSLHSLD LSKNKIKALPVQFCQFRELTNLNLNDNELIHLPFKIGQLTNLRFLSAARNKLRNLPSEFK MLSLEYLDLFGNTFEKPEVIPIIKLQVPLTLLESCEQAVLFYRIPYGPDIIPYHLCQDLD TAKTCVCGRFCLQSFIQGTTTMNLHSVAHTVVLVDSMGRTEAPVVSYFCSLTCFVKSSDM LN >ENSMUSP00000004494.8 pep:known chromosome:GRCm38:8:72723288:72758203:1 gene:ENSMUSG00000031622.15 transcript:ENSMUST00000004494.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sin3b description:transcriptional regulator, SIN3B (yeast) [Source:MGI Symbol;Acc:MGI:107158] MAHAGSGGSAGRGFGGSRWGRSGSGGHEKLPVHVEDALTYLDQVKIRFGSDPATYNGFLE IMKEFKSQSIDTPGVIRRVSQLFHEHPDLIVGFNAFLPLGYRIDIPKNGKLNIQSPLSSQ DNSHSHGDCGEDFKQMSYKEDRGQVPLESDSVEFNNAISYVNKIKTRFLDHPEIYRSFLE ILHTYQKEQLHTKGRPFRGMSEEEVFTEVANLFRGQEDLLSEFGQFLPEAKRSLFTGNGS CEMNSGQKNEEKSLEHNKKRSRPSLLRPVSAPAKKKMKLRGTKDLSIAAVGKYGTLQEFS FFDKVRRVLKSQEVYENFLRCIALFNQELVSGSELLQLVSPFLGKFPELFAQFKSFLGVK ELSFAPPMSDRSGDGISREIDYASCKRIGSSYRALPKTYQQPKCSGRTAICKEVLNDTWV SFPSWSEDSTFVSSKKTPYEEQLHRCEDERFELDVVLETNLATIRVLESVQKKLSRMAPE DQEKLRLDDCLGGTSEVIQRRAIHRIYGDKAPEVIESLKRNPATAVPVVLKRLKAKEEEW REAQQGFNKIWREQYEKAYLKSLDHQAVNFKQNDTKALRSKSLLNEIESVYDEHQEQHSE GRSAPSSEPHLIFVYEDRQILEDAAALISYYVKRQPAIQKEDQGTIRQLLHRFLPSLFFS QQCPGTSDDSADERDRDRDSAEPERRRPTDEKPPADASPEPPKVLDDVYSLFFANNNWYF FLRLHQTLCARLLKIYRQAQKQLLEHRREQEREQLLCEGRREKAADPAMELRLKQPSEVE LEEYYPAFLDMVRSLLEGSIDPTQYEDTLREMFTIHAYIGFTMDKLVQNIARQLHHLVSD DVCLKVVELYLNEQQRGAAGGNLSSRCVRAARETSYQWKAERCMADENCFKVMFLQRRGQ VIMTIELLDTEEAQTEDPVEVQHLARYVEQYVGSEGASSSSTEGFLLKPVFLQRNLKKFR RWQCEQVRAMRGEAKSSWKRLMGVESACDVDCRFRLGTHKMVFIVNSEDYMYRRGTLCRA KQVQPLVLLRHHRHFEEWHGRWLEDNVTVAAAGLVQDWLMGEEEEDMVPCKTLCETAHVH GLPVTRYRVQYSRRPASP >ENSMUSP00000105576.3 pep:known chromosome:GRCm38:8:72723288:72739910:1 gene:ENSMUSG00000031622.15 transcript:ENSMUST00000109950.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sin3b description:transcriptional regulator, SIN3B (yeast) [Source:MGI Symbol;Acc:MGI:107158] MAHAGSGGSAGRGFGGSRWGRSGSGGHEKLPVHVEDALTYLDQVKIRFGSDPATYNGFLE IMKEFKSQSIDTPGVIRRVSQLFHEHPDLIVGFNAFLPLGYRIDIPKNGKLNIQSPLSSQ DNSHSHGDCGEDFKQMSYKEDRGQVPLESDSVEFNNAISYVNKIKTRFLDHPEIYRSFLE ILHTYQKEQLHTKGRPFRGMSEEEVFTEVANLFRGQEDLLSEFGQFLPEAKRSLFTGNGS CEMNSGQKNEEKSLEHNKKRSRPSLLRPVSAPAKVGLQLKCAVVWFGYCTAEE >ENSMUSP00000025618.8 pep:known chromosome:GRCm38:19:17432832:17837632:-1 gene:ENSMUSG00000024713.15 transcript:ENSMUST00000025618.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk5 description:proprotein convertase subtilisin/kexin type 5 [Source:MGI Symbol;Acc:MGI:97515] MDWDWGNRCSRPGRRDLLCVLALLAGCLLPVCRTRVYTNHWAVKIAGGFAEADRIASKYG FINVGQIGALKDYYHFYHSRTIKRSVLSSRGTHSFISMEPKVEWIQQQVVKKRTKRDYDL SHAQSTYFNDPKWPSMWYMHCSDNTHPCQSDMNIEGAWKRGYTGKNIVVTILDDGIERTH PDLMQNYDALASCDVNGNDLDPMPRYDASNENKHGTRCAGEVAATANNSHCTVGIAFNAK IGGVRMLDGDVTDMVEAKSVSYNPQHVHIYSASWGPDDDGKTVDGPAPLTRQAFENGVRM GRRGLGSVFVWASGNGGRSKDHCSCDGYTNSIYTISISSTAESGKKPWYLEECSSTLATT YSSGESYDKKIITTDLRQRCTDNHTGTSASAPMAAGIIALALEANPFLTWRDVQHVIVRT SRAGHLNANDWKTNAAGFKVSHLYGFGLMDAEAMVMEAEKWTTVPQQHVCVESTDRQIKT IRPNSAVRSIYKASGCSDNPNHHVNYLEHVVVRITITHPRRGDLAIYLTSPSGTRSQLLA NRLFDHSMEGFKNWEFMTIHCWGERAAGDWVLEVYDTPSQLRNFKTPGKLKEWSLVLYGT SVQPYSPTNEFPKVERFRYSRVEDPTDDYGAEDYAGPCDPECSEVGCDGPGPDHCSDCLH YYYKLKNNTRICVSSCPPGHYHADKKRCRKCAPNCESCFGSHGNQCLSCKYGYFLNEETS SCVTQCPDGSYEDIKKNVCGKCSENCKACIGFHNCTECKGGLSLQGSRCSVTCEDGQFFN GHDCQPCHRFCATCSGAGADGCINCTEGYVMEEGRCVQSCSVSYYLDHSSEGGYKSCKRC DNSCLTCNGPGFKNCSSCPSGYLLDLGTCQMGAICKDGEYIDDQGHCQTCEASCAKCWGP TQEDCISCPVTRVLDDGRCVMNCPSWKFEFKKQCHPCHYTCQGCQGSGPSNCTSCRADKH GQERFLYHGECLENCPVGHYPAKGHACLPCPDNCELCYNPHVCSRCMSGYVIIPPNHTCQ KLECRQGEFQDSEYEECMPCEEGCLGCTEDDPGACTSCATGYYMFERHCYKACPEKTFGV KWECRACGTNCGSCDQHECYWCEEGFFLSGGSCVQDCGPGFHGDQELGECKPCHRACENC TGSGYNQCSSCQEGLQLWHGTCLWSTWPQVEGKDWNEAVPTEKPSLVRSLLQDRRKWKVQ IKRDATSQNQPCHSSCKTCNGSLCASCPTGMYLWLQACVPSCPQGTWPSVTSGSCEKCSE DCVSCSGADLCQQCLSQPDNTLLLHEGRCYHSCPEGFYAKDGVCEHCSSPCKTCEGNATS CNSCEGDFVLDHGVCWKTCPEKHVAVEGVCKHCPERCQDCIHEKTCKECMPDFFLYNDMC HRSCPKSFYPDMRQCVPCHKNCLECNGPKEDDCKVCADTSKALHNGLCLDECPEGTYKEE ENDECRDCPESCLICSSAWTCLACREGFTVVHDVCTAPKECAAVEYWDEGSHRCQPCHKK CSRCSGPSEDQCYTCPRETFLLNTTCVKECPEGYHTDKDSQQCVLCHSSCRTCEGPHSMQ CLSCRPGWFQLGKECLLQCRDGYYGESTSGRCEKCDKSCKSCRGPRPTDCQSCDTFFFLL RSKGQCHRACPEHYYADQHAQTCERCHPTCDKCSGKEAWSCLSCVWSYHLLKGICIPECI VGEYREGKGENFNCKKCHESCMECKGPGSKNCTGCSAGLLLDMDDNRCLHCCNASHSRRS QDCCDCQSSTDECILPAREAEFYEHTKTALLVTSGAMLLLLLGAAAVVWRKSRSRPVAKG RYEKLAEPTVSYSSYRSSYLDEDQVIEYRDRDYDEDDEDDIVYMGQDGTVYRKFKYGLLD ETEDDELEYDDESYSYQ >ENSMUSP00000050272.8 pep:known chromosome:GRCm38:19:17558159:17837632:-1 gene:ENSMUSG00000024713.15 transcript:ENSMUST00000050715.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk5 description:proprotein convertase subtilisin/kexin type 5 [Source:MGI Symbol;Acc:MGI:97515] MDWDWGNRCSRPGRRDLLCVLALLAGCLLPVCRTRVYTNHWAVKIAGGFAEADRIASKYG FINVGQIGALKDYYHFYHSRTIKRSVLSSRGTHSFISMEPKVEWIQQQVVKKRTKRDYDL SHAQSTYFNDPKWPSMWYMHCSDNTHPCQSDMNIEGAWKRGYTGKNIVVTILDDGIERTH PDLMQNYDALASCDVNGNDLDPMPRYDASNENKHGTRCAGEVAATANNSHCTVGIAFNAK IGGVRMLDGDVTDMVEAKSVSYNPQHVHIYSASWGPDDDGKTVDGPAPLTRQAFENGVRM GRRGLGSVFVWASGNGGRSKDHCSCDGYTNSIYTISISSTAESGKKPWYLEECSSTLATT YSSGESYDKKIITTDLRQRCTDNHTGTSASAPMAAGIIALALEANPFLTWRDVQHVIVRT SRAGHLNANDWKTNAAGFKVSHLYGFGLMDAEAMVMEAEKWTTVPQQHVCVESTDRQIKT IRPNSAVRSIYKASGCSDNPNHHVNYLEHVVVRITITHPRRGDLAIYLTSPSGTRSQLLA NRLFDHSMEGFKNWEFMTIHCWGERAAGDWVLEVYDTPSQLRNFKTPGKLKEWSLVLYGT SVQPYSPTNEFPKVERFRYSRVEDPTDDYGAEDYAGPCDPECSEVGCDGPGPDHCSDCLH YYYKLKNNTRICVSSCPPGHYHADKKRCRKCAPNCESCFGSHGNQCLSCKYGYFLNEETS SCVTQCPDGSYEDIKKNVCGKCSENCKACIGFHNCTECKGGLSLQGSRCSVTCEDGQFFN GHDCQPCHRFCATCSGAGADGCINCTEGYVMEEGRCVQSCSVSYYLDHSSEGGYKSCKRC DNSCLTCNGPGFKNCSSCPSGYLLDLGTCQMGAICKDATEESWAEGGFCMLVKKNNLCQR KVLQQLCCKTCTFQG >ENSMUSP00000054611.6 pep:known chromosome:GRCm38:12:102743760:102747561:1 gene:ENSMUSG00000046675.6 transcript:ENSMUST00000057416.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem251 description:transmembrane protein 251 [Source:MGI Symbol;Acc:MGI:2443862] MPKAPDCSELSDSCSLAGGTGRFSGPLHRAWRMMNFRQRMGWIGVGLYLLASAAAFYYVF EINETYNRLALEHILQHPEEPREGTTWTHSLKARLLSLPFWLWTIIFLIPYLQMFLFLYS CTRADPKTVGYCIIPICLAVICNRHQAFVKASNQISRLQLIDT >ENSMUSP00000003659.7 pep:known chromosome:GRCm38:8:70373548:70382065:1 gene:ENSMUSG00000031849.8 transcript:ENSMUST00000003659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comp description:cartilage oligomeric matrix protein [Source:MGI Symbol;Acc:MGI:88469] MGPTACVLVLALAILRATGQGQIPLGGDLAPQMLRELQETNAALQDVRELLRQQVKEITF LKNTVMECDACGMQPARTPGLSVRPVPLCAPGSCFPGVVCSETATGARCGPCPPGYTGNG SHCTDVNECNAHPCFPRVRCINTSPGFHCEACPPGFSGPTHEGVGLTFAKSNKQVCTDIN ECETGQHNCVPNSVCVNTRGSFQCGPCQPGFVGDQTSGCQRRGQHFCPDGSPSPCHEKAN CVLERDGSRSCVCAVGWAGNGLLCGRDTDLDGFPDEKLRCSERQCRKDNCVTVPNSGQED VDRDGIGDACDPDADGDGVPNEQDNCPLVRNPDQRNSDSDKWGDACDNCRSKKNDDQKDT DLDGRGDACDDDIDGDRIRNVADNCPRVPNFDQSDSDGDGVGDACDNCPQKDNPDQRDVD HDFVGDACDSDQDQDGDGHQDSRDNCPTVPNSAQQDSDHDGKGDACDDDDDNDGVPDSRD NCRLVPNPGQEDNDRDGVGDACQGDFDADKVIDKIDVCPENAEVTLTDFRAFQTVVLDPE GDAQIDPNWVVLNQGMEIVQTMNSDPGLAVGYTAFNGVDFEGTFHVNTATDDDYAGFIFG YQDSSSFYVVMWKQMEQTYWQANPFRAVAEPGIQLKAVKSSTGPGEQLRNALWHTGDTAS QVRLLWKDPRNVGWKDKTSYRWFLQHRPQVGYIRVRFYEGPELVADSNVVLDTAMRGGRL GVFCFSQENIIWANLRYRCNDTIPEDYESHRLQRV >ENSMUSP00000021370.8 pep:known chromosome:GRCm38:12:69690436:69724874:-1 gene:ENSMUSG00000020988.8 transcript:ENSMUST00000021370.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L2hgdh description:L-2-hydroxyglutarate dehydrogenase [Source:MGI Symbol;Acc:MGI:2384968] MWPTLRYVGGVCGLARYCVAGGFLRASGPASGVPGLLCGGGRRSSSTSSFDIVIVGGGIV GLASARTLILKHPGLSIGVVEKEKDLALHQTGHNSGVIHSGIYYKPESLKAKLCVEGAAL IYEYCNLKGIPYRQCGKLIVAVEQEEIPRLQALYERGLQNGVEGLRLIQQEDIKKKEPYC RGLMAIDCPYTGIVNYQQVALSFAQDFQEAGGSILRDFEVKGIEIAKENSSRSKDGMNYP IAVKNSKGKEIRCRYVVTCAGLYSDRISELSGCNPDPQIVPFRGDYLVLKPEKGYLVKGN IYPVPDSRFPFLGVHFTPRLDGTIWLGPNAVLAFKREGYRPFDFDARDVMEVILKSGFIN LVFQHFSYGVNEMYKACFLSETVKHLQKFIPEITISDVLRGPAGVRAQALDRDGNLVEDF VFDGGTGEIADRVLHVRNAPSPAATSSLAISRMIAEEAQQRFKL >ENSMUSP00000035094.6 pep:known chromosome:GRCm38:9:119444923:119465517:1 gene:ENSMUSG00000042787.13 transcript:ENSMUST00000035094.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exog description:endo/exonuclease (5'-3'), endonuclease G-like [Source:MGI Symbol;Acc:MGI:2143333] MAAKSFASRLRDSRRFLNGFLAGAVVGAAGAGLTALQFFRRPDAESAKLARQPHESAEEA VLEQFGFPLAGTETRRYTNHALSYDQAKRVPRWVLEHISKDKIIGDADRKHCKFKPDPSV PSAFSALNEDYIGSGWSRGHMAPAGNNKFSSEAMAETFYLSNIVPQNFDNNSGYWNRIEM YCRELTERFEDVWIVSGPLTLPHTRNDGTKTVSYQVIGEDNVAVPSHLYKVILARRSPES TEPLALGAFVVPNKAIGFQSQLSEFQVSLHDLEKMSGLVFFPRLDRSRDIRNICSVDTCK LLGFQEFTLYLSTRKIDGARSVARLEKVLEALKSSGVEPDDYFLSRYEKKLEELKAKEQK DAQLEKQS >ENSMUSP00000129273.2 pep:known chromosome:GRCm38:9:119444951:119464230:1 gene:ENSMUSG00000042787.13 transcript:ENSMUST00000164213.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exog description:endo/exonuclease (5'-3'), endonuclease G-like [Source:MGI Symbol;Acc:MGI:2143333] MRGRDKVLAPASHGCKELRFPSQGLAAISEWLLGRGCSGRRGSGADGAAVFPASGRGIRE AGEAATRCSTVPGTELAFKSAEEAVLEQFGFPLAGTETRRYTNHALSYDQAKRVPRWVLE HISKDKIIGDADRKHCKFKPDPSVPSAFSALNEDYIGSGWSRGHMAPAGNNKFSSEAMAE TFYLSNIVPQNFDNNSGYWNRIEMYCRELTERFEDVWIVSGPLTLPHTRNDGTKTVSYQV IGEDNVAVPSHLYKVILARRSPESTEPLALGAFVVPNKAIGFQSQLSEFQVSLHDLEKMS GLVFFPRLDRSRDIRNICSVDTCKLLGFQEFTLYLSTRKIDGARSVARLEKVLEALKSSG VEPDDYFLSRYEKKLEELKAKEQKDAQLEKQS >ENSMUSP00000095816.1 pep:known chromosome:GRCm38:7:102927630:102928586:1 gene:ENSMUSG00000073963.1 transcript:ENSMUST00000098214.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr572 description:olfactory receptor 572 [Source:MGI Symbol;Acc:MGI:3030406] MADHNHSQSQHLYFILTGIPGLEQKYYWMAFPLGAIYVIALFGNGVIISTIKSESSLHIP MYYFLCMLAFADMGLTLCTLPSMLGIFWFNYKFITFDGCLVQMYFIHTFSAIESGVLVAM AIDRVIAIWSPLRYGTILTNGVVCKIGMLILSRAVCVVFPVPFLIKRLPFYRSNILSHSF CLHQDVMRLACASTRVNSLYGLIAVIFTKGSDSLSILISYVFILRTVMAIASGEGRLKAL NTCVSHICAVLIFYVPLIGVSVIHRFGKHLSPLTHALMANAYLLVPPVLNPIVYTVKTKE IRKKIIQIFIRTKITTEG >ENSMUSP00000074064.5 pep:known chromosome:GRCm38:8:47413129:47415359:1 gene:ENSMUSG00000060559.5 transcript:ENSMUST00000074466.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10083 description:predicted gene 10083 [Source:MGI Symbol;Acc:MGI:3642418] LRFEICEDLVPDVVSGELPGLGGNRGRGLNRPGLLLCGAVGRAQDDGQRVRRDLQKLLHL NQASVAQTALTGAASAGCWKEPAPDSGCGESESCLTLGAGGPHPSPPVLPRGSPGAPRRS PREGAAGRLAVVPGRDTDAEPAAPRGPAPVTCSPRPPSGHSSFSSRGTLAFLGSPQLQRG RRAGGKGAEGAGSSPRSGEFPRRDPA >ENSMUSP00000035645.3 pep:known chromosome:GRCm38:10:26078255:26079447:1 gene:ENSMUSG00000039098.3 transcript:ENSMUST00000041011.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9767 description:predicted gene 9767 [Source:MGI Symbol;Acc:MGI:3704352] MNSSPRSRQEEDRGRGRDGLPGASPAAGDPDGANRTAAHDPWELRRPSRQAVQELRAGPT LPPGPQPSYPAPWLQSCTSSAGMAPIQNPFGEQSTASRRSASSEGQRAEADTPTRFSSPS DASSRTGDTCPSCLNKNWGSPADSVFSGRRIHRPLGSTPLGCFLIRCSLGGSGSPWRLHE CCANKVRPGPPRDTALLALRGFKLLGS >ENSMUSP00000061346.5 pep:known chromosome:GRCm38:7:140247301:140297641:1 gene:ENSMUSG00000054672.12 transcript:ENSMUST00000059882.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5830411N06Rik description:RIKEN cDNA 5830411N06 gene [Source:MGI Symbol;Acc:MGI:2443685] MAFSFLRLWPLLLLTCWTLVPDLALENFVSFLGGDNKCEGQVRLRNGGQWGLMCADGLGM QEASVICRELGCGSVKFMPRYVLTPEEMRQPWLYDAQCHGNESTFLECRLGSWGTISGCK CQCVAVITCSGGTTLQMGLVDGGSPCAGTAQATGASNFALRCDLHEKEAGVVCRQLECGT ALQWSRAHDGTNGNQEQKYLTCQGTETNILQCLINVNVLEQCDLLTYTQVVCTGHVEARL LGGVHPCEGRLEVLRGLTWGTVCHDDLDLPMAHVVCRELGCGTAVSILGSSHFGYGSGPL WTEAFRCMGNESLLFHCLREPGHQCGHDQDAALICSGEKFRLVNGSSRCEGRVELLVQDA WQPLCAANWDLADATVLCHQLNCGYAVATPQGGHFGNVEGPIRTDVFHCVGTEPHLLSCP TSTLGAQACALGNSASALCSGECRQP >ENSMUSP00000131905.1 pep:known chromosome:GRCm38:7:140247378:140299791:1 gene:ENSMUSG00000054672.12 transcript:ENSMUST00000164583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5830411N06Rik description:RIKEN cDNA 5830411N06 gene [Source:MGI Symbol;Acc:MGI:2443685] MAFSFLRLWPLLLLTCWTLVPDLALENFVSFLGGDNKCEGQVRLRNGGQWGLMCADGLGM QEASVICRELGCGSVKFMPRYVLTPEEMRQPWLYDAQCHGNESTFLECRLGSWGTISGCK CQCVAVITCSGGTTLQMGLVDGGSPCAGTAQATGASNFALRCDLHEKEAGVVCRQLECGT ALQWSRAHDGTNGNQEQKYLTCQGTETNILQCLINVNVLEQCDLLTYTQVVCTESTRSLE TETGTCSDRVSLGNCTPKEIWHGTVLSWAVAFDATLLEPSSWEQQRVVSAPGVLCMLLQD EEWSKTHQGSQEQKFVSCQGTEADIFYCKINVNFAEQCDLQSYTQVVCTGHVEARLLGGV HPCEGRLEVLRGLTWGTVCHDDLDLPMAHVVCRELGCGTAVSILGSSHFGYGSGPLWTEA FRCMGNESLLFHCLREPGHQCGHDQDAALICSGEKFRLVNGSSRCEGRVELLVQDAWQPL CAANWDLADATVLCHQLNCGYAVATPQGGHFGNVEGPIRTDVFHCVGTEPHLLSCPTSTL GAQACALGNSASALCSGLQDALRLREGQSHCDGRVEVFLDGTWGRVLDSAWDLRDAAVVC RQLGCGEAQLAYDAPAPGHKTIPVGLSLVHCLGSETHLTQCNVSASLLVHAGTLRDAGVV CSGSLHIRLAAGKNRCAGRVEVFYQGTWGTVCDDAWDLQDAHVVCRQLGCGHALSAPRAA HFGAGTGRIWMDELGCMGEEAALWECQSGGWGQQDCGHKEDAGVICSEFIDVRLQEHSQP CTGRLEVFYNGTWGGVCQSLNAASLRVLCEHLGCGSQGQLLARPRGSSTIETVWLKSIQC RDKHDMSLWQCPSEPWNRHSCLRGEEAWLACAEKTEVSQDMEQIANCSSTLSCPEEGALR VLGGENGCSGRVELWHGGSWGTVCDDSWDLADAEVVCRQLGCGPAIAALQNAAFGPGSGP VWLDEVGCRGSELSLGACQAEPWGYGDCSHKEDAGVRCLGIPGTMASGNSSAPPPVPEFW TMPEIACLVLGCLLGIVFLVLAVQWCHSRAIGLGSGAVAELPSEVVYECIETVPMDEKEE PAASQSPVQDEDYDDAEEPKDSPGEDMEAGHH >ENSMUSP00000091520.2 pep:known chromosome:GRCm38:7:140247381:140299791:1 gene:ENSMUSG00000054672.12 transcript:ENSMUST00000093984.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5830411N06Rik description:RIKEN cDNA 5830411N06 gene [Source:MGI Symbol;Acc:MGI:2443685] MAFSFLRLWPLLLLTCWTLVPDLALENFVSFLGGDNKCEGQVRLRNGGQWGLMCADGLGM QEASVICRELGCGSVKFMPRYVLTPEEMRQPWLYDAQCHGNESTFLECRLGSWGTISGCK CQCVAVITCSGGTTLQMGLVDGGSPCAGTAQATGASNFALRCDLHEKEAGVVCRQLECGT ALQWSRAHDGTNGNQEQKYLTCQGTETNILQCLINVNVLEQCDLLTYTQVVCTGHVEARL LGGVHPCEGRLEVLRGLTWGTVCHDDLDLPMAHVVCRELGCGTAVSILGSSHFGYGSGPL WTEAFRCMGNESLLFHCLREPGHQCGHDQDAALICSGEKFRLVNGSSRCEGRVELLVQDA WQPLCAANWDLADATVLCHQLNCGYAVATPQGGHFGNVEGPIRTDVFHCVGTEPHLLSCP TSTLGAQACALGNSASALCSGLQDALRLREGQSHCDGRVEVFLDGTWGRVLDSAWDLRDA AVVCRQLGCGEAQLAYDAPAPGHKTIPVGLSLVHCLGSETHLTQCNVSASLLVHAGTLRD AGVVCSGSLHIRLAAGKNRCAGRVEVFYQGTWGTVCDDAWDLQDAHVVCRQLGCGHALSA PRAAHFGAGTGRIWMDELGCMGEEAALWECQSGGWGQQDCGHKEDAGVICSEFIDVRLQE HSQPCTGRLEVFYNGTWGGVCQSLNAASLRVLCEHLGCGSQGQLLARPRGSSTIETVWLK SIQCRDKHDMSLWQCPSEPWNRHSCLRGEEAWLACAEKTEVSQDMEQIANCSSTLSCPEE GALRVLGGENGCSGRVELWHGGSWGTVCDDSWDLADAEVVCRQLGCGPAIAALQNAAFGP GSGPVWLDEVGCRGSELSLGACQAEPWGYGDCSHKEDAGVRCLGIPGTMASGNSSAPPPV PEFWTMPEIACLVLGCLLGIVFLVLAVQWCHSRAIGLGSGAVAELPSEVVYECIETVPMD EKEEPAASQSPVQDEDYDDAEEPKDSPGEDMEAGHH >ENSMUSP00000079379.6 pep:known chromosome:GRCm38:8:79683442:79711740:-1 gene:ENSMUSG00000058355.6 transcript:ENSMUST00000080536.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abce1 description:ATP-binding cassette, sub-family E (OABP), member 1 [Source:MGI Symbol;Acc:MGI:1195458] MADKLTRIAIVNHDKCKPKKCRQECKKSCPVVRMGKLCIEVTPQSKIAWISETLCIGCGI CIKKCPFGALSIVNLPSNLEKETTHRYCANAFKLHRLPIPRPGEVLGLVGTNGIGKSTAL KILAGKQKPNLGKYDDPPDWQEILTYFRGSELQNYFTKILEDDLKAIIKPQYVDQIPKAA KGTVGSILDRKDETKTQAIVCQQLDLTHLKERNVEDLSGGELQRFACAVVCIQKADIFMF DEPSSYLDVKQRLKAAITIRSLINPDRYIIVVEHDLSVLDYLSDFICCLYGVPSAYGVVT MPFSVREGINIFLDGYVPTENLRFRDASLVFKVAETANEEEVKKMCMYKYPGMKKKMGEF ELAIVAGEFTDSEIMVMLGENGTGKTTFIRMLAGRLKPDEGGEVPVLNVSYKPQKISPKS TGSVRQLLHEKIRDAYTHPQFVTDVMKPLQIENIIDQEVQTLSGGELQRVALALCLGKPA DVYLIDEPSAYLDSEQRLMAARVVKRFILHAKKTAFVVEHDFIMATYLADRVIVFDGVPS KNTVANSPQTLLAGMNKFLSQLEITFRRDPNNYRPRINKLNSIKDVEQKKSGNYFFLDD >ENSMUSP00000021689.6 pep:known chromosome:GRCm38:12:108554720:108688513:1 gene:ENSMUSG00000021262.14 transcript:ENSMUST00000021689.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evl description:Ena-vasodilator stimulated phosphoprotein [Source:MGI Symbol;Acc:MGI:1194884] MSEQSICQARASVMVYDDTSKKWVPIKPGQQGFSRINIYHNTASSTFRVVGVKLQDQQVV INYSIVKGLKYNQATPTFHQWRDARQVYGLNFASKEEATTFSNAMLFALNIMNSQEGGPS TQRQVQNGPSPEEMDIQRRQVMEQQHRQESLERRISATGPILPPGHPSSAASTTLSCSGP PPPPPPPVPPPPTGSTPPPPPPLPAGGAQGTNHDESSASGLAAALAGAKLRRVQRPEDAS GGSSPSGTSKSDANRASSGGGGGGLMEEMNKLLAKRRKAASQTDKPADRKEDESQTEDPS TSPSPGTRATSQPPNSSEAGRKPWERSNSVEKPVSSLLSRTPSVAKSPEAKSPLQSQPHS RVKPAGSVNDVGLDALDLDRMKQEILEEVVRELHKVKEEIIDAIRQELSGISTT >ENSMUSP00000076916.5 pep:known chromosome:GRCm38:12:108554720:108688513:1 gene:ENSMUSG00000021262.14 transcript:ENSMUST00000077735.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evl description:Ena-vasodilator stimulated phosphoprotein [Source:MGI Symbol;Acc:MGI:1194884] MSEQSICQARASVMVYDDTSKKWVPIKPGQQGFSRINIYHNTASSTFRVVGVKLQDQQVV INYSIVKGLKYNQATPTFHQWRDARQVYGLNFASKEEATTFSNAMLFALNIMNSQEGGPS TQRQVQNGPSPEEMDIQRRQVMEQQHRQESLERRISATGPILPPGHPSSAASTTLSCSGP PPPPPPPVPPPPTGSTPPPPPPLPAGGAQGTNHDESSASGLAAALAGAKLRRVQRPEDAS GGSSPSGTSKSDANRASSGGGGGGLMEEMNKLLAKRRKAASQTDKPADRKEDESQTEDPS TSPSPGTRATSQPPNSSEAGRKPWERSNSVEKPVSSLLSRVKPAGSVNDVGLDALDLDRM KQEILEEVVRELHKVKEEIIDAIRQELSGISTT >ENSMUSP00000105480.2 pep:known chromosome:GRCm38:12:108605766:108688513:1 gene:ENSMUSG00000021262.14 transcript:ENSMUST00000109854.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evl description:Ena-vasodilator stimulated phosphoprotein [Source:MGI Symbol;Acc:MGI:1194884] MFAFEEFSEQSICQARASVMVYDDTSKKWVPIKPGQQGFSRINIYHNTASSTFRVVGVKL QDQQVVINYSIVKGLKYNQATPTFHQWRDARQVYGLNFASKEEATTFSNAMLFALNIMNS QEGGPSTQRQVQNGPSPEEMDIQRRQVMEQQHRQESLERRISATGPILPPGHPSSAASTT LSCSGPPPPPPPPVPPPPTGSTPPPPPPLPAGGAQGTNHDESSASGLAAALAGAKLRRVQ RPEDASGGSSPSGTSKSDANRASSGGGGGGLMEEMNKLLAKRRKAASQTDKPADRKEDES QTEDPSTSPSPGTRATSQPPNSSEAGRKPWERSNSVEKPVSSLLSRVKPAGSVNDVGLDA LDLDRMKQEILEEVVRELHKVKEEIIDAIRQELSGISTT >ENSMUSP00000133072.1 pep:known chromosome:GRCm38:12:108635753:108688513:1 gene:ENSMUSG00000021262.14 transcript:ENSMUST00000172409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evl description:Ena-vasodilator stimulated phosphoprotein [Source:MGI Symbol;Acc:MGI:1194884] MVYDDTSKKWVPIKPGQQGFSRINIYHNTASSTFRVVGVKLQDQQVVINYSIVKGLKYNQ ATPTFHQWRDARQVYGLNFASKEEATTFSNAMLFALNIMNSQEGGPSTQRQVQNGPSPEE MDIQRRQVMEQQHRQESLERRISATGPILPPGHPSSAASTTLSCSGPPPPPPPPVPPPPT GSTPPPPPPLPAGGAQGTNHDESSASGLAAALAGAKLRRVQRPEDASGGSSPSGTSKSDA NRASSGGGGGGLMEEMNKLLAKRRKAASQTDKPADRKEDESQTEDPSTSPSPGTRATSQP PNSSEAGRKPWERSNSVEKPVSSLLSRTPSVAKSPEAKSPLQSQPHSRVKPAGSVNDVGL DALDLDRMKQEILEEVVRELHKVKEEIIDAIRQELSGISTT >ENSMUSP00000022108.7 pep:known chromosome:GRCm38:13:89540636:89611832:1 gene:ENSMUSG00000021613.8 transcript:ENSMUST00000022108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hapln1 description:hyaluronan and proteoglycan link protein 1 [Source:MGI Symbol;Acc:MGI:1337006] MRSLLLLVLISVCWADHHLSDSYTPPDQDRVIHIQAENGPRLLVEAEQAKVFSHRGGNVT LPCKFYRDPTAFGSGIHKIRIKWTKLTSDYLREVDVFVSMGYHKKTYGGYQGRVFLKGGS DNDASLVITDLTLEDYGRYKCEVIEGLEDDTAVVALELQGVVFPYFPRLGRYNLNFHEAR QACLDQDAVIASFDQLYDAWRGGLDWCNAGWLSDGSVQYPITKPREPCGGQNTVPGVRNY GFWDKDKSRYDVFCFTSNFNGRFYYLIHPTKLTYDEAVQACLNDGAQIAKVGQIFAAWKL LGYDRCDAGWLADGSVRYPISRPRRRCSPTEAAVRFVGFPDKKHKLYGVYCFRAYN >ENSMUSP00000059461.4 pep:known chromosome:GRCm38:7:142303502:142304503:1 gene:ENSMUSG00000045236.4 transcript:ENSMUST00000061403.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap5-4 description:keratin associated protein 5-4 [Source:MGI Symbol;Acc:MGI:1354758] MTCCGCSGGCGSSCGGCGSSCCKPVCCCVPVCSCSSCGGCKGGCGSCGGCKGGCGSCGGC KGGCGSCGGCKGGCCQSSCCKPCCCQSSCCKPCCSSGCGSSCCQSSCCKPCCCQSSCCKP CCSSGCGSSCCQSSCCKPCCCQSSCCKPCCCQSSCCKPCCSSGCGSSCCQSSCCKPCCCQ SSCCKPCCCQSSCCKPCCCQSSCCKPCCCQSSCCAPVCCQCKI >ENSMUSP00000042302.4 pep:known chromosome:GRCm38:13:104845283:104863893:-1 gene:ENSMUSG00000042655.4 transcript:ENSMUST00000043061.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam159b description:family with sequence similarity 159, member B [Source:MGI Symbol;Acc:MGI:1925053] MSQSSRLCSGYYSLNRSFVEPFQCPQRGDGAALLYCCGFADLKYCCSEPGSYFPYKHSYM WSLSIGALVGLGIAALVLLAFVISVCVLCYLFLYTKPQRLDNGLKLQHLETSSTLEGNIN RKAKGLNAVSNSTNETFYEADDGTQEKTMDITQINIAC >ENSMUSP00000084422.6 pep:known chromosome:GRCm38:19:41062474:41077113:-1 gene:ENSMUSG00000050121.8 transcript:ENSMUST00000087176.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opalin description:oligodendrocytic myelin paranodal and inner loop protein [Source:MGI Symbol;Acc:MGI:2657025] MSFSLNFTLPSNTTSSPVVTSAKATDCGPSIGLAAGIPSLLATALLVALLFTLIQRRRTI DDEPVEETEIPCEISELYDNPKISENPRRSPTHEMNPRGSQEGHIYVKTVSGSEEPLPDT YRPPEELERRRGLWWLVPSLSLE >ENSMUSP00000023048.4 pep:known chromosome:GRCm38:15:80234080:80253366:1 gene:ENSMUSG00000022412.12 transcript:ENSMUST00000023048.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mief1 description:mitochondrial elongation factor 1 [Source:MGI Symbol;Acc:MGI:2146020] MAGAGERKGKKDDNGIGTAIDFVLSNARLVLGVGGAAMLGIATLAVKRMYDRAISAPTSP TRLSHSGKRSWEEPNWMGSPRLLNKDMKAGLSRSLQTLPTDSSAFDTDTFCPPRPKPLAR RGQVDLKKSRLRMSLQEKLLSYYRNRAAIPAGEQARAKQAAVDICAELRSFLRAKLPDMP LRDMYLSGSLYDDLQVVTADHIQLIVPLVLEQNLWSCIPGEDTIMNVPGFFLVRRENPEY FPRGSSYWDRCVVGGYLSPKTVADTFEKVVAGSINWPAIGSLLDYVIRPAPPPEALTLEV QYEKDKHLVIDFLPSVTLGDTVLVARPHRLAQYDNLWRLSLRPAETARLRALDQADSGCR SLCLKILKAICKSTPALGHLTASQLTNVILHLAQEEADWSPDMLADRFLQALRGLISYLE AGVLPSALNPKVNLFAELTPQEIDELGYTLYCSLSEPEVLLQT >ENSMUSP00000129209.1 pep:known chromosome:GRCm38:15:80234108:80250565:1 gene:ENSMUSG00000022412.12 transcript:ENSMUST00000166030.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mief1 description:mitochondrial elongation factor 1 [Source:MGI Symbol;Acc:MGI:2146020] MAGAGERKGKKDDNGIGTAIDFVLSNARLVLGVGGAAMLGIATLAVKRMYDRAISAPTSP TRLSHSGKRSWEEPNWMGSPRLLNKDMKAGLSRSLQTLPTDSSAFDTDTFCPPRPKPLAR RGQVDLKKSRLRMSLQEKLLSYYRNRAAIPAGEQARAKQAAVDICAELRSFLRAKLPDMP LRDMYLSGSLYDDLQVVTADHIQLIVPLVLEQNLWSCIPGEDTIMNVPGFFLVRRENPEY FPRGSSYWDRCVVGGYLSPKTVADTFEKVVAGSINWPAIGSLLDYVIRPAPPPEALTLEV QYEKDKHLVIDFLPSVTLGDTVLVARPHRLAQYDNLWRLSLRPAETARLRALDQADSGCR SLCLKILKAICKSTPALGHLTASQLTNVILHLAQEEADWSPDMLADRFLQALRGLISYLE AGVLPSALNPKVNLFAELTPQEIDELGYTLYCSLSEPEVLLQT >ENSMUSP00000077564.5 pep:known chromosome:GRCm38:13:67863326:67863923:1 gene:ENSMUSG00000064063.5 transcript:ENSMUST00000078471.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048507 description:cDNA sequence BC048507 [Source:MGI Symbol;Acc:MGI:3040680] MCDRKAVIKTVDMSEEMQQDSVRCAIQALEKYSTEKDIAAHIKKEFDKKYNPTWHCIVGR NFGSYVTYETKHFVYFYLGQVAILLFKSG >ENSMUSP00000096648.3 pep:known chromosome:GRCm38:9:3017408:3019022:1 gene:ENSMUSG00000095547.1 transcript:ENSMUST00000099049.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10719 description:predicted gene 10719 [Source:MGI Symbol;Acc:MGI:3641690] MFLIFNDFHFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRDFQFSRHIPGPTVCIS HFFRFFMISSFFTLSSGCFSFSMIFTFLAIFHVLQWTFLNFPPFSVFLAIFHILKCVFLI FRDFQFSCHIPGPTVCISHFSRFSVISSFFKSSSGCFSFSMIFSFLAIFQVLQLTFLNFP HFLSFSPYSRSYSVHFSFFTFFSDFVIFQVVKWLFLIFLDFQFSC >ENSMUSP00000136170.1 pep:known chromosome:GRCm38:9:3018753:3020891:1 gene:ENSMUSG00000095547.1 transcript:ENSMUST00000179272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10719 description:predicted gene 10719 [Source:MGI Symbol;Acc:MGI:3641690] MFLIFHDFQFSCHIPCPTVEISFPTFSVFLAIFHVLKCVFLIFRVFQVSRHIPGPTVCIS HFSRFSVILSFFKLSSGCFSFSLIFSFLAIFHVLLWTFLNFPPFSVFLAIFHVLKCCVFL IFRDFQFSRHIPSPTVCISHFSRFSVISSFFKLSSGCFSFSMIFSFLAIFKVLQWTFLNF RPLSFSPYSRSYSVHFSFFRFFSDFVFFQVVKWMFLIFHDFQFSC >ENSMUSP00000096646.3 pep:known chromosome:GRCm38:9:3020155:3021593:1 gene:ENSMUSG00000095547.1 transcript:ENSMUST00000099047.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10719 description:predicted gene 10719 [Source:MGI Symbol;Acc:MGI:3641690] MFLIFHDFQFSCHIPCPTMDISFPTFSVFLAIFHVLKCVFLIFRDFQFSRHIPGPTVCIS HFSGFSVISSFFKSSSGCFSFSMIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCVFLI FSDFQFSRHIPGPTVCISHFSRFSVISSFFKLSSGCFSFSMIFSFLAIFHVPQWTFLNFP PFSSYSFSPYSRSYSVHFSFFTFFSDFVIFQVVKWMFLIFLDFQFSC >ENSMUSP00000066714.1 pep:known chromosome:GRCm38:9:38430284:38431219:1 gene:ENSMUSG00000052058.1 transcript:ENSMUST00000063716.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr901 description:olfactory receptor 901 [Source:MGI Symbol;Acc:MGI:3030735] MASGNDSTTVKEFILLGLTQQPELQLPFFFLFLGIYVVSIVGNLGLIVLIVLNPHLHTPM YYFLFNLSFIDFCYSSVITPKMLVGFVKQNIISHAECMTQLFFFAFFVIDECCILTAMSY DRYVAICKPLLYKVTMSYQVCFMMTVSVYMMGFVGAIAHTICMLRLTFCDGNIINHYMCD IPPLLKLSCTNTSVNELVVFIVVGVNVIGPTLIIFTSYTLIIFNISHIRSTEGRSKAIST CSSHIIAVSIFFGASAFMYLKPSPVGSVGEDKVSTVFYTIVGPMLNPFIYSLRNKDVHIA LHKTLKKSMLI >ENSMUSP00000021729.7 pep:known chromosome:GRCm38:12:112850876:112860916:-1 gene:ENSMUSG00000021298.7 transcript:ENSMUST00000021729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr132 description:G protein-coupled receptor 132 [Source:MGI Symbol;Acc:MGI:1890220] MRSEPTNAAGNTTLGVTSVLQSTSVPSSETCHVSYEESRVVLVVVYSAVCLLGLPANCLT AWLTLLQVLQRNVLAVYLFCLSLCELLYISTVPLWIIYIQNQHKWNLGPQACKVTAYIFF CNIYISILLLCCISCDRYMAVVYALESRGHRHQRTAVTISACVILLVGLVNYPVFDMKVE KSFCFEPLRMNSKIAGYHYLRFTFGFAIPLGILAFTNHQIFRSIKLSDSLSAAQKNKVKR SAIAVVTIFLVCFAPYHVVLLVKAASFSFYQGDMDAVCAFESRLYTVSMVFLCLSTVNSV ADPIIYVLGTDHSRQEVSRIHTGWKKWSTKTYVTCSKDSEETHLPTELSNTYTFPNPAHP PGSQPAKLGLLCSPERLPEELC >ENSMUSP00000129803.2 pep:known chromosome:GRCm38:10:128092783:128129303:1 gene:ENSMUSG00000040054.15 transcript:ENSMUST00000170054.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz2a description:bromodomain adjacent to zinc finger domain, 2A [Source:MGI Symbol;Acc:MGI:2151152] MEANDHFNFTGLPPAPAASGLKPSPSSGEGLYTNGSPMNFPQQGKSLNGDVNVNGLSTVS HTTTSGILNSAPHSSSTSHLHHPNVAYDCLWNYSQYPSANPGNNLKDPPLLSQFPGGQYP LNGILGGNRQPSSPSHNTNLRAGSQEFWANGTQSPMGLNFDSQELYDSFPDQNFEVMPNG PPSFFTSPQTSPMLGSSIQTFAPSQDVSSDIHPDEAAEKELTSVVAENGTGLVGSLELEE EQPELKMCGYNGSVSSVESLHQEVSVLVPDPTVSCLDDPSHLPDQLEDTPILSEDSLEPF DSLAAAEPVSGSLYGIDDAELMGAEDKLPLEGNPVISALDCPALSNANAFSLLADDSQTS ASIFVSPTSPPVLGESVLQDNSFGLNSCSDSEQEEIETQSSNFQRPLTEPAPDQPPSTQL HPAVSPTASPAASLTASAEISPAVSPVASSPVPPEVFVAVSPASSPALPAISLEASMTTP VTSPQGSPEPSPAAAFQTVSPARKNVSSAPKARADREETTGGAVAVSGSGDVLKRRIATP EEVRLPLQHGWRREVRIKKGSHRWQGETWYYGPCGKRMKQFPEVIKYLSRNVVHSVRREH FSFSPRMPVGDFFEERDTPEGLQWVQLSAEEIPSRIQAITGKRGRPRNNEKAKNKEVPKV KRGRGRPPKIKMPELLNKTDNRLPKKLETQEILSEDDKAKMTKNKKKMRQKVQRGESQTP VQGQARNKRKQDTKSLKQKDTKKKLKAEKEKMKTKQEKLKEKVKREKKEKVKAKGKEGPR ARPSCRADKTLATQKRLEEQQRQQAILEEMKKPTEDMCLSDHQPLPDFTRIPGLTLSSRA FSDCLTIVEFLHSFGKVLGFDLTKDVPSLGVLQEGLLCQGDSLDKVQDLLVRLLKAALHD PGLPPYCQSLKILGEKMSEIPLTRDNVSEILRCFLMAYRVEPSFCDSLRTQPFQAQPPQQ KAAILAFLVHELNSSTIIINEIDKTLESVSSCRKNKWIVEGRLRRLKTALAKRTGRPEVM MEGAEDGLGRRRSSRIMEETSGIEEEEEEENTTAVHGRRGRKEGEIDVAASSIPELERHI EKLSKRQLFFRKKLLHSSQMLRAVSLGQDRYRRHYWVLPYLAGIFVEGSEGSTVTEDEIK QETESLMEVVTSTPSSARASVKRELTGSNASTSPARSRGRPRKPKPGSLQPQHLQSTIRE CDSEQAQTQVHPEPQPQLQAPTQPHLQPSSGFLEPEGSPFSLGQSQHDLSQSAFLSWLSQ TQSHNSLLSSSVLTPDSSPGKLDSAPSQSLEEPEPDEAQSCPGPQGPWFNFSAQIPCDAA PTPPPAVSEDQPTPSLQLLASSKPMNTPGAANPCSPVQLSSTHLPGGTPKRLSGDSEEMS QSPTGLGQPKRRGRPPSKFFKQVEQHYLTQLTAQPIPPEMCSGWWWIRDPETLDVLLKAL HPRGIREKALHKHLSKHKDFLQEVCLQPLTDPIFEPNELPALEEGVMSWSPKEKTYETDL AVLQWVEELEQRVVLSDLQIRGWTCPTPDSTREDLTYCEHLPDSPEDIPWRGRGREGTVP QRQNNNPLDLAVMRLAVLEQNVERRYLREPLWAAHEVVVEKALLSTPNGAPDGTSTEISY EITPRVRVWRQTLERCRSAAQVCLCMGQLERSIAWEKSVNKVTCLVCRKGDNDEFLLLCD GCDRGCHIYCHRPKMEAVPEGDWFCAVCLSQQVEEEYTQRPGFPKRGQKRKSSFPLTFPE GDSRRRMLSRSRDSPAVPRYPEDGLSPPKRRRHSMRSHHSDLTFCEIILMEMESHDAAWP FLEPVNPRLVSGYRRVIKNPMDFSTMRERLLRGGYTSSEEFAADALLVFDNCQTFNEDDS EVGKAGHVMRRFFESRWEEFYQGKQANL >ENSMUSP00000044359.8 pep:known chromosome:GRCm38:10:128092783:128129303:1 gene:ENSMUSG00000040054.15 transcript:ENSMUST00000045621.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baz2a description:bromodomain adjacent to zinc finger domain, 2A [Source:MGI Symbol;Acc:MGI:2151152] MEANDHFNFTGLPPAPAASGLKPSPSSGEGLYTNGSPMNFPQQGKSLNGDVNVNGLSTVS HTTTSGILNSAPHSSSTSHLHHPNVAYDCLWNYSQYPSANPGNNLKDPPLLSQFPGGQYP LNGILGGNRQPSSPSHNTNLRAGSQEFWANGTQSPMGLNFDSQELYDSFPDQNFEVMPNG PPSFFTSPQTSPMLGSSIQTFAPSQDVSSDIHPDEAAEKELTSVVAENGTGLVGSLELEE EQPELKMCGYNGSVSSVESLHQEVSVLVPDPTVSCLDDPSHLPDQLEDTPILSEDSLEPF DSLAAEPVSGSLYGIDDAELMGAEDKLPLEGNPVISALDCPALSNANAFSLLADDSQTSA SIFVSPTSPPVLGESVLQDNSFGLNSCSDSEQEEIETQSSNFQRPLTEPAPDQPPSTQLH PAVSPTASPAASLTASAEISPAVSPVASSPVPPEVFVAVSPASSPALPAISLEASMTTPV TSPQGSPEPSPAAAFQTVSPARKNVSSAPKARADREETTGGAVAVSGSGDVLKRRIATPE EVRLPLQHGWRREVRIKKGSHRWQGETWYYGPCGKRMKQFPEVIKYLSRNVVHSVRREHF SFSPRMPVGDFFEERDTPEGLQWVQLSAEEIPSRIQAITGKRGRPRNNEKAKNKEVPKVK RGRGRPPKIKMPELLNKTDNRLPKKLETQEILSEDDKAKMTKNKKKMRQKVQRGESQTPV QGQARNKRKQDTKSLKQKDTKKKLKAEKEKMKTKQEKLKEKVKREKKEKVKAKGKEGPRA RPSCRADKTLATQKRLEEQQRQQAILEEMKKPTEDMCLSDHQPLPDFTRIPGLTLSSRAF SDCLTIVEFLHSFGKVLGFDLTKDVPSLGVLQEGLLCQGDSLDKVQDLLVRLLKAALHDP GLPPYCQSLKILGEKMSEIPLTRDNVSEILRCFLMAYRVEPSFCDSLRTQPFQAQPPQQK AAILAFLVHELNSSTIIINEIDKTLESVSSCRKNKWIVEGRLRRLKTALAKRTGRPEVMM EGAEDGLGRRRSSRIMEETSGIEEEEEEENTTAVHGRRGRKEGEIDVAASSIPELERHIE KLSKRQLFFRKKLLHSSQMLRAVSLGQDRYRRHYWVLPYLAGIFVEGSEGSTVTEDEIKQ ETESLMEVVTSTPSSARASVKRELTGSNASTSPARSRGRPRKPKPGSLQPQHLQSTIREC DSEQAQTQVHPEPQPQLQAPTQPHLQPSSGFLEPEGSPFSLGQSQHDLSQSAFLSWLSQT QSHNSLLSSSVLTPDSSPGKLDSAPSQSLEEPEPDEAQSCPGPQGPWFNFSAQIPCDAAP TPPPAVSEDQPTPSLQLLASSKPMNTPGAANPCSPVQLSSTHLPGGTPKRLSGDSEEMSQ SPTGLGQPKRRGRPPSKFFKQVEQHYLTQLTAQPIPPEMCSGWWWIRDPETLDVLLKALH PRGIREKALHKHLSKHKDFLQEVCLQPLTDPIFEPNELPALEEGVMSWSPKEKTYETDLA VLQWVEELEQRVVLSDLQIRGWTCPTPDSTREDLTYCEHLPDSPEDIPWRGRGREGTVPQ RQNNNPLDLAVMRLAVLEQNVERRYLREPLWAAHEVVVEKALLSTPNGAPDGTSTEISYE ITPRVRVWRQTLERCRSAAQVCLCMGQLERSIAWEKSVNKVTCLVCRKGDNDEFLLLCDG CDRGCHIYCHRPKMEAVPEGDWFCAVCLSQQVEEEYTQRPGFPKRGQKRKSSFPLTFPEG DSRRRMLSRSRDSPAVPRYPEDGLSPPKRRRHSMRSHHSDLTFCEIILMEMESHDAAWPF LEPVNPRLVSGYRRVIKNPMDFSTMRERLLRGGYTSSEEFAADALLVFDNCQTFNEDDSE VGKAGHVMRRFFESRWEEFYQGKQANL >ENSMUSP00000082680.5 pep:known chromosome:GRCm38:9:60821855:60838200:-1 gene:ENSMUSG00000052143.7 transcript:ENSMUST00000063858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9869 description:predicted gene 9869 [Source:MGI Symbol;Acc:MGI:3642719] LEKPVYRSLFHKIPTEWLEAQGSQQFIIASLLIRAATVNDPALSYKSLQLTKPICRCLAR DSAPHVLGHVAWIPRLNFFIFWLLLHLRKAVKVSSLLPSTGCRAAQVSSPPELSDSCFCY HAGY >ENSMUSP00000086564.2 pep:known chromosome:GRCm38:15:82221744:82224336:-1 gene:ENSMUSG00000068105.10 transcript:ENSMUST00000089161.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf13c description:tumor necrosis factor receptor superfamily, member 13c [Source:MGI Symbol;Acc:MGI:1919299] MGARRLRVRSQRSRDSSVPTQCNQTECFDPLVRNCVSCELFHTPDTGHTSSLEPGTALQP QEGSALRPDVALLVGAPALLGLILALTLVGLVSLVSWRWRQQLRTASPDTSEGVQQESLE NVFVPSSETPHASAPTWPPLKEDADSALPRHSVPVPATELGSTELVTTKTAGPEQ >ENSMUSP00000105161.2 pep:known chromosome:GRCm38:15:82221745:82224339:-1 gene:ENSMUSG00000068105.10 transcript:ENSMUST00000109535.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf13c description:tumor necrosis factor receptor superfamily, member 13c [Source:MGI Symbol;Acc:MGI:1919299] MGARRLRVRSQRSRDSSVPTQCNQTECFDPLVRNCVSCELFHTPDTGHSKQRHGDRRDLP EVSSLPAPHAPLLTLEPMVSHIPHSSSLEPGTALQPQEGSALRPDVALLVGAPALLGLIL ALTLVGLVSLVSWRWRQQLRTASPDTSEGVQQETPHASAPTWPPLKEDADSALPRHSVPV PATELGSTELVTTKTAGPEQ >ENSMUSP00000065746.2 pep:known chromosome:GRCm38:12:118846329:118852578:1 gene:ENSMUSG00000048562.6 transcript:ENSMUST00000063918.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp8 description:trans-acting transcription factor 8 [Source:MGI Symbol;Acc:MGI:2443471] MLAATCNKIGSPSPSPSSLSDSSSSFGKGFHPWKRSSSSSSGSCNVVGSSLSSFGVSGAS RNGGSSSAAAAAAAAAAAAAALVSDSFSCGGSPGSSAFSLTSSSAAAAAAAAAAAASSSP FANDYSVFQAPGVSGGSGGGGGGGGGGSGAHSQDSSHQPVFISKVHTSVDGLQGIYPRVG MAHPYESWFKPSHPGLGAAADVGSAGASSWWDVGAGWIDVQNPNGAAALPGSLHPAAGGL QTSLHSPLGGYNSDYSGLSHSAFSSGASSHLLSPAGQHLMDGFKPVLPGSYPDSAPSPLA GAGSSMLSAGPAAQLGGSPRSSARRYSGRATCDCPNCQEAERLGPAGASLRRKGLHSCHI PGCGKVYGKTSHLKAHLRWHTGERPFVCNWLFCGKRFTRSDELQRHLRTHTGEKRFACPV CNKRFMRSDHLSKHVKTHSGGGGSAGSGGGKKGSDTDSEHSAAGSPPCHSPELLQPPEPG HRNGLE >ENSMUSP00000129245.1 pep:known chromosome:GRCm38:9:53884082:53884561:1 gene:ENSMUSG00000059830.2 transcript:ENSMUST00000077997.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16380 description:predicted pseudogene 16380 [Source:MGI Symbol;Acc:MGI:3643564] MPKRSCGFADSALLQLKVHVGLKELSHGVFAERYSREVFERTKQLLFQGARAYRDHISSE DCSVNHLRESLKSGVVGAPQPARGQMLIGPDGQLTWCQAQASEGGLPRTAPIACSSCMRS VDGKAVCSQCERAGPVWAGCGSLACVLCGLAE >ENSMUSP00000026890.4 pep:known chromosome:GRCm38:9:123150946:123157430:1 gene:ENSMUSG00000025784.4 transcript:ENSMUST00000026890.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec3b description:C-type lectin domain family 3, member b [Source:MGI Symbol;Acc:MGI:104540] MGFWGTYLLFCLFSFLSQVIAESPTPKAKKAANAKKDLVSSKMFEELKNRMDVLAQEVAL LKEKQALQTVCLKGTKVNLKCLLAFTQPKTFHEASEDCISQGGTLGTPQSELENEALFEY ARHSVGNDANIWLGLNDMAAEGAWVDMTGGLLAYKNWETEITTQPDGGKAENCAALSGAA NGKWFDKRCRDQLPYICQFAIV >ENSMUSP00000023085.5 pep:known chromosome:GRCm38:15:82350139:82354291:-1 gene:ENSMUSG00000022450.5 transcript:ENSMUST00000023085.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa6 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 6 (B14) [Source:MGI Symbol;Acc:MGI:1914380] MAAAATGLRQAAAAAASTSVKPIFSRDLNEAKRRVRELYRAWYREVPNTVHLMQLDITVK QGRDKVREMFMKNAHVTDPRVVDLLVIKGKMELQETIKVWKQRTHVMRFFHETETPRPKD FLSKFYMGHDP >ENSMUSP00000079588.6 pep:known chromosome:GRCm38:13:60901297:60904847:-1 gene:ENSMUSG00000062705.6 transcript:ENSMUST00000080766.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpbpb description:trophoblast specific protein beta [Source:MGI Symbol;Acc:MGI:2151721] MTPTVFLVILCLGVASAAIIPEPTLDTEVQEQKDKEGLKKATWNEFVMKLNNSKNDQEKD GIDIELRVFFGQLTDEELMKIMTNIFHPMLEEEKTQPVVDDPEFEDYTESGDGFFVPNQP Q >ENSMUSP00000136284.1 pep:known chromosome:GRCm38:Y:17845724:17846266:-1 gene:ENSMUSG00000094161.1 transcript:ENSMUST00000179522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21904 description:predicted gene, 21904 [Source:MGI Symbol;Acc:MGI:5434068] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMRTTLSHEDSLRHHTFLMRFPDTLLLPKENRGKPLFPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000051794.5 pep:known chromosome:GRCm38:9:55962589:55980914:-1 gene:ENSMUSG00000050702.5 transcript:ENSMUST00000060468.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930563M21Rik description:RIKEN cDNA 4930563M21 gene [Source:MGI Symbol;Acc:MGI:1922508] MKRLGCEDMHSQKEPDINLLQSAEKARSTNVICEQNKMKASQKEDGKSSLVVVQPRKRRI FALLKSLGLRRAQDHEYSGTPQKKSVLRGEKACGTTEDIDTFEESTDDDLDIDHVDDDDV ENELEIHNTYEDNSENDLGSVTSVHTFELDTDSDEMKTVPVGPIQEDKDVEKGPFLTWRD GPACKGQGHKNIIGSDC >ENSMUSP00000047774.3 pep:known chromosome:GRCm38:14:74640840:74706859:1 gene:ENSMUSG00000034997.4 transcript:ENSMUST00000036653.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr2a description:5-hydroxytryptamine (serotonin) receptor 2A [Source:MGI Symbol;Acc:MGI:109521] MEILCEDNISLSSIPNSLMQLGDDSRLYPNDFNSRDANTSEASNWTIDAENRTNLSCEGY LPPTCLSILHLQEKNWSALLTTVVIILTIAGNILVIMAVSLEKKLQNATNYFLMSLAIAD MLLGFLVMPVSMLTILYGYRWPLPSKLCAVWIYLDVLFSTASIMHLCAISLDRYVAIQNP IHHSRFNSRTKAFLKIIAVWTISVGISMPIPVFGLQDDSKVFKEGSCLLADDNFVLIGSF VAFFIPLTIMVITYFLTIKSLQKEATLCVSDLSTRAKLSSFSFLPQSSLSSEKLFQRSIH REPGSYAGRRTMQSISNEQKACKVLGIVFFLFVVMWCPFFITNIMAVICKESCNENVIGA LLNVFVWIGYLSSAVNPLVYTLFNKTYRSAFSRYIQCQYKENRKPLQLILVNTIPTLAYK SSQLQVGQKKNSQEDAEPTANDCSMVTLGNQHSEEMCTDNIETVNEKVSCV >ENSMUSP00000080191.2 pep:known chromosome:GRCm38:13:65512678:65512848:1 gene:ENSMUSG00000063905.2 transcript:ENSMUST00000081471.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10139 description:predicted gene 10139 [Source:MGI Symbol;Acc:MGI:3642815] EKPYECNQCGKAFATPSHLQCHKRTHTGEKPYECNQCGKAFSCHNSLRYHKRTHTGE >ENSMUSP00000048284.3 pep:known chromosome:GRCm38:19:40037941:40073811:-1 gene:ENSMUSG00000067225.1 transcript:ENSMUST00000048959.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c54 description:cytochrome P450, family 2, subfamily c, polypeptide 54 [Source:MGI Symbol;Acc:MGI:3642960] MDPILVLVLTLSCLFLLSLWRQSYERGKLPPGPTPLPIIGNILQIDVKDICQSFTNLSRV YGPVYTLYLGRKPTVVLHGYEAVKEALVDHGDVFAGRGRLPVFDKATNGMGIGFSNGSVW KNTRHFSLMTLRNLGMGKRSIEDRVQEEARCLVEELRKTNGSPCDPTFILGCAPCNVICS IIFQDRFDYKDRDFLNLLEKLDEISKILSTPWLQVCNTFPALLDYCPGSHNQFFKNYAYI KNFLLEKIREHKESLDVTIPRDFIDYFLIKGAQEDDNHPLKNNFEHLAITVTDLFIGGTE SMSTTLRYALLLLLKYPHVTAKVQEEIEHVIGKHRRPCMQDRSHMPYTNAMIHEVQRFID LVPNNLPHEVTCDIKFRNYFIPKGTTVITSLSSVLRDSKEFPNPEKFDPGHFLDENGKFK KSDYFMPFSTGKRICAGEGLARMELFLFLTSILQNFNLKPLVHPKDIDITPMLIGLGSVP PAFQLCFIPS >ENSMUSP00000057999.6 pep:known chromosome:GRCm38:15:83226726:83251774:-1 gene:ENSMUSG00000047878.12 transcript:ENSMUST00000049530.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A4galt description:alpha 1,4-galactosyltransferase [Source:MGI Symbol;Acc:MGI:3512453] MGISCSHLEETMSKPPDCLLRMLRGTPRQRVFTFFIISFKFMFLISILIYWHTVGAPKDQ REYSLPVDFSCPQLAFPRVSAPGNIFFLETSDRTSPNFLFMCSVESAARAHPESQVVVLM KGLPRDTTAQPRNLGISLLSCFPNVWIRPLDLQELFEDTPLAAWYSEARHRWEPYQLPVL SDASRIALLWKFGGIYLDTDFIVLKNLLNLTNTLGIQSRYVLNGAFLAFERKHEFLALCL HDFVANYNGWIWGHQGPQLLTRVFKKWCSIQSLEKSHACRGVTALPPEAFYPIPWQNWKK YFEDISPEELTQLLNATYAVHVWNKKSQGTHLEATSKALLAQLHARYCPTTHRAMKMYL >ENSMUSP00000129719.1 pep:known chromosome:GRCm38:15:83226726:83251731:-1 gene:ENSMUSG00000047878.12 transcript:ENSMUST00000164614.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A4galt description:alpha 1,4-galactosyltransferase [Source:MGI Symbol;Acc:MGI:3512453] MGISCSHLEETMSKPPDCLLRMLRGTPRQRVFTFFIISFKFMFLISILIYWHTVGAPKDQ REYSLPVDFSCPQLAFPRVSAPGNIFFLETSDRTSPNFLFMCSVESAARAHPESQVVVLM KGLPRDTTAQPRNLGISLLSCFPNVWIRPLDLQELFEDTPLAAWYSEARHRWEPYQLPVL SDASRIALLWKFGGIYLDTDFIVLKNLLNLTNTLGIQSRYVLNGAFLAFERKHEFLALCL HDFVANYNGWIWGHQGPQLLTRVFKKWCSIQSLEKSHACRGVTALPPEAFYPIPWQNWKK YFEDISPEELTQLLNATYAVHVWNKKSQGTHLEATSKALLAQLHARYCPTTHRAMKMYL >ENSMUSP00000037035.5 pep:known chromosome:GRCm38:9:70599014:70657174:-1 gene:ENSMUSG00000042444.9 transcript:ENSMUST00000049031.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam63b description:family with sequence similarity 63, member B [Source:MGI Symbol;Acc:MGI:2443086] MENSPDSPQPLELGVAAGRVSPPEGRRRGGREAEDGPAGRAVDSGGQGAAAAAARSSLGD PTSPSQLGCGAGSDLKDGASSSPAASEVPSRGQHKVTASPELAEAAAGRGSGPVGDTGTC RVEQAAEEPSSTGAPSSSCSEPSPPGDSPSLDSLESFSNLHSFPSSSEFNSEEGAETRVP EDVEEGAAGPPRAAPLCKEEEEDPAQVLAASKERFPGQSVYHIKWIQWKEENTPIITQNE NGPCPLLAILNVLLLAWKVKLPPMMEIITAEQLMEYLGDYMLEAKPKEISEIQRVNYEQN MSDAMAILHKLQTGLDVNVRFTGVRVFEYTPECIVFDLLDIPLYHGWLVDPQIDDIVKAV GNCSYNQLVEKIISCKQSDNSQLVSEGFVAEQFLNNTATQLTYHGLCELTSTVQEGELCV FFRNNHFSTMTKYKGQLYLLVTDQGFLTEEKIVWESLHNVDGDGNFCDSEFHLRPPSDPE TVYKGQQDQIDQDYLMALSLQQEQQSQEINWEQIPEGISDLELAKKLQEEEDRRASQYYQ EQEQAQAVVTTTTPSTQAQQGQPAQASPSSIKQPGNSERKRKEPREKDKEKEKEKNSCVI L >ENSMUSP00000110524.3 pep:known chromosome:GRCm38:6:38663069:38680864:1 gene:ENSMUSG00000079598.3 transcript:ENSMUST00000114874.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec2l description:C-type lectin domain family 2, member L [Source:MGI Symbol;Acc:MGI:2141402] MEPAREPPARARPPPPAARPAPAAPRPRSPAEAEARGPEGLLRRSGSGYEGSTSWKAALE DTTTRLLLGAIAVLLFAILVVMSILASKGCIKCETPCPEDWLLYGRKCYYFSEEPRDWNT GRQYCHTHEAALAVIQSQKELEFMFKFTRREPWIGLRRVGDDFHWVNGDPFDPDTFTISG MGECVFVEPTRLVSTECLTTRPWVCSKMAYT >ENSMUSP00000022207.8 pep:known chromosome:GRCm38:13:108214404:108287105:1 gene:ENSMUSG00000021696.8 transcript:ENSMUST00000022207.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elovl7 description:ELOVL family member 7, elongation of long chain fatty acids (yeast) [Source:MGI Symbol;Acc:MGI:1921809] MAFSDLTSRTVRFYDNWIKDADPRVEDYLLMSSPLPQTIILGLYVYFVTSLGPKLMENRK PFELKKAMITYNFFIVLFSVYMCYEFVMSGWGTGYSFRCDIVDYSQSPRAMRMVHTCWLY YFSKFIELLDTIFFVLRKKNSQVTFLHVFHHTIMPWTWWFGVKFAAGGLGTFHAFLNTAV HVVMYSYYGLCAMGPAYQKYLWWKKHLTSLQLVQFVLVTIHIGQIFFMEDCNYQYPVFLY IIMSYGCIFLLLFLHFWYRAYTKGQRLPKTLENGNCKSKRH >ENSMUSP00000131504.1 pep:known chromosome:GRCm38:15:83375607:83464606:-1 gene:ENSMUSG00000016664.14 transcript:ENSMUST00000171436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin2 description:protein kinase C and casein kinase substrate in neurons 2 [Source:MGI Symbol;Acc:MGI:1345153] MSVTYDDSVGVEVSSDSFWEVGNYKRTVKRIDDGHRLCGDLMNCLHERARIEKAYAQQLT EWARRWRQLVEKGPQYGTVEKAWIAVMSEAERVSELHLEVKASLMNEDFEKIKNWQKEAF HKQMMGGFKETKEAEDGFRKAQKPWAKKLKEVEAAKKAHHTACKEEKLAISREANSKADP SLNPEQLKKLQDKIEKCKQDVLKTKDKYEKSLKELDQTTPQYMENMEQVFEQCQQFEEKR LRFFREVLLEVQKHLDLSNVASYKTIYRELEQSIKAADAVEDLRWFRANHGPGMAMNWPQ FEEWSADLNRTLSRREKKKAVDGVTLTGINQTGDQSGQNKPGSNLSVPSNPAQSTQLQSS YNPFEDEDDTGSSISEKEDIKAKNVSSYEKTQTYPTDWSDDESNNPFSSTDANGDSNPFD EDTTSGTEVRVRALYDYEGQEHDELSFKAGDELTKIEDEDEQGWCKGRLDSGQVGLYPAN YVEAIQ >ENSMUSP00000130098.1 pep:known chromosome:GRCm38:15:83375607:83432850:-1 gene:ENSMUSG00000016664.14 transcript:ENSMUST00000165095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin2 description:protein kinase C and casein kinase substrate in neurons 2 [Source:MGI Symbol;Acc:MGI:1345153] MSVTYDDSVGVEVSSDSFWEVGNYKRTVKRIDDGHRLCGDLMNCLHERARIEKAYAQQLT EWARRWRQLVEKGPQYGTVEKAWIAVMSEAERVSELHLEVKASLMNEDFEKIKNWQKEAF HKQMMGGFKETKEAEDGFRKAQKPWAKKLKEVEAAKKAHHTACKEEKLAISREANSKADP SLNPEQLKKLQDKIEKCKQDVLKTKDKYEKSLKELDQTTPQYMENMEQVFEQCQQFEEKR LRFFREVLLEVQKHLDLSNVASYKTIYRELEQSIKAADAVEDLRWFRANHGPGMAMNWPQ FEEWSADLNRTLSRREKKKAVDGVTLTGINQTGDQSGQNKPGSNLSVPSNPAQSTQLQSS YNPFEDEDDTGSSISEKEDIKAKNVSSYEKTQTYPTDWSDDESNNPFSSTDANGDSNPFD EDTTSGTEVRVRALYDYEGQEHDELSFKAGDELTKIEDEDEQGWCKGRLDSGQVGLYPAN YVEAIQ >ENSMUSP00000058320.6 pep:known chromosome:GRCm38:15:83376122:83464570:-1 gene:ENSMUSG00000016664.14 transcript:ENSMUST00000056177.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacsin2 description:protein kinase C and casein kinase substrate in neurons 2 [Source:MGI Symbol;Acc:MGI:1345153] MSVTYDDSVGVEVSSDSFWEVGNYKRTVKRIDDGHRLCGDLMNCLHERARIEKAYAQQLT EWARRWRQLVEKGPQYGTVEKAWIAVMSEAERVSELHLEVKASLMNEDFEKIKNWQKEAF HKQMMGGFKETKEAEDGFRKAQKPWAKKLKEVEAAKKAHHTACKEEKLAISREANSKADP SLNPEQLKKLQDKIEKCKQDVLKTKDKYEKSLKELDQTTPQYMENMEQVFEQCQQFEEKR LRFFREVLLEVQKHLDLSNVASYKTIYRELEQSIKAADAVEDLRWFRANHGPGMAMNWPQ FEEWSADLNRTLSRREKKKAVDGVTLTGINQTGDQSGQNKPGSNLSVPSNPAQSTQLQSS YNPFEDEDDTGSSISEKEDIKAKNVSSYEKTQTYPTDWSDDESNNPFSSTDANGDSNPFD EDTTSGTEVRVRALYDYEGQEHDELSFKAGDELTKIEDEDEQGWCKGRLDSGQVGLYPAN YVEAIQ >ENSMUSP00000080646.1 pep:known chromosome:GRCm38:9:38061322:38062251:1 gene:ENSMUSG00000096424.1 transcript:ENSMUST00000081985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr885 description:olfactory receptor 885 [Source:MGI Symbol;Acc:MGI:3030719] MALANVSSVKEFILLGLTQQPELQLPLFFLFLGIYVVSVMGNLGLIVLIVLNPHLHTPMY YFLFNLSFTDLCYSSAITPRMLVGFVKQNIISHAECLTQLFFFAFFVIDECYILTAMAYD RYAAICKPLLYQVTMSHQVCLLMTMGVYVMGFAGALSHIVCMLRLTFCDGNIINNYVCDV HPLLKLSCSSTSINELVLFIVVGVNITVPSLTLFVSYTLILSNILSIHSGEGRSKAFSTC GSHVIAVSFFFGAAAFMYLKPSSASVDEDKVSTIFYTILGPMLNPFIYSIRNKDVHIALK KTLKKKILT >ENSMUSP00000068009.1 pep:known chromosome:GRCm38:11:53480166:53481097:1 gene:ENSMUSG00000054450.1 transcript:ENSMUST00000067523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9945 description:predicted gene 9945 [Source:MGI Symbol;Acc:MGI:3641652] MLQRMGEAWVFITFSTIYHKIKAIFYIYMSLHCIYCINTSPMSDAFYIGGKKPTRFTKFI ARTVPCVVLSAGYWRLTRGLGSSFLMIDNTF >ENSMUSP00000129220.1 pep:known chromosome:GRCm38:8:71272714:71360712:1 gene:ENSMUSG00000004677.15 transcript:ENSMUST00000170242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo9b description:myosin IXb [Source:MGI Symbol;Acc:MGI:106624] MSAHEAGSSGRRQQATYHLHIYPQLSSAGSQTSCRVTATKDSTTSDVIQDVVASLHLDGS KHYVLVEVKESGGEEWVLDASDSPVHRVLLWPRRAQDEHPQEDGYYFLLQERNADGSIQY LPIQLLAQPTAACRLVERGLLPRPQADFDDLCNLPELTEANLLQNLKLRFMQQKIYTYAG SILVAINPFKFLPIYNPKYVKMYENQQLGKLEPHVFALADVAYYAMLRKHVNQCIVISGE SGSGKTQSTNFLIHCLTALSQKGYASGVERTILGAGPVLEAFGNAKTAHNNNSSRFGKFI QVNYLENGIVRGAVVEKYLLEKSRLVSQEKDERNYHVFYYLLLGVSEEERLEFQLKQPQD YFYLNQHNLNIEDGEDLKHDFERLQQAMEMVGFLPATKKQIFSVLSAILYLGNVTYKKRA TGRDEGLEVGPPEVLDTLSQLLKVKRETLVEVLTKRKTVTVNDKLILPYSLSEAITARDS MAKSLYSALFDWIVLRINHALLNKKDMEEAVSCLSIGVLDIFGFEDFERNSFEQFCINYA NEQLQYYFTQHIFKLEQEEYQGEGISWHNIDYTDNVGCIHLISKKPTGLFYLLDEESNFP HATSHTLLAKFKQQHEDNKYFLGTPVLEPAFIIQHFAGRVKYQIKDFREKNMDYMRPDIV ALLRGSDSSYVRQLIGMDPVAVFRWAVLRAAIRAMAVLREAGRLRAERAEKAAGISSPAT RSHMEELPRGASTPSEKLYRDLHNQIIKSLKGLPWQGEDPRRLLQSLSLFQKPRTSFLKS KGIKQKQIIPKNLLDSKSLRLIISMTLHDRTTKSLLHLHKKKKPPSISAQFQTSLNKLLE ALGKAEPFFIRCIRSNAEKKELCFDDELVLQQLRYTGMLETVRIRRSGYSAKYTFQDFTE QFQVLLPKDVQPCREAIAALLEKLQVDRQNYQIGKTKVFLKETERQTLQEKLHGEVLRRI LQLQSWFRMVLERKHFVQMKHAALTIQACWRSYRVRRALERTQAAVYLQAAWRGYLQRQA YHHQRHSIIRLQSLCRGHLQRRSFSQMVSEKQKAEQAREAAGGKLSEGEPGPVAAGEQLS EHPVEDPESLGVEAETWMNKSPDGMSPKKETPSPEMETAAQKTVPAESHEKVSSSREKRE SRRQRGLEHVERQNKHIQSCREESSTHREPSRRASLEIGESFPEGTKGPREDGLEAWTET TAPSSSKQAQVVGDPPGSPSPVQRPTTLALDSRVSPMLPSSSLEVSPVLPSSSLESPKDK DKDESSTKAQDKPESPSGSTQIQRYQHPDTERLATAVEIWRGKKLASAVLSQSLDLSEKH RATGAALTPTEERRISFSTSDVSKLSPVKVQTSAEIDGDFSSKKPSIHKKKSGDPSAGPD AGLSPGSQGDSKSAFKRLFLHKAKDKKPSLEGVEETESNGGQAAQETPARKTLDVPSSQQ HRHTTGEKPLKGKKNRNRKVGQITVSEKWRESVFRKITNANELKFLDEFLLNKVNDLRSQ KTPIESLFIEATERFRSNIKTMYSVPNGKIHVGYKDLMENYQIVVSNLAAERGEKDTNLV LNVFQSLLDEFTRSYNKTDFERAKQSKAQKKKRKQERAVQEHNGHVFASYQVNIPQSCEQ CLSYIWLMDKALLCSVCKMTCHKKCVHKIQSYCSYTGRRKSELGAEPGHFGVCVDSLTSD KASVPIVLEKLLEHVEMHGLYTEGLYRKSGAANRTRELRQALQTDPAAVKLEDFPIHAIT GVLKQWLRELPEPLMTFAQYGDFLRAVELPEKQEQLSAIYAVLDHLPEANHTSLERLIFH LVKVALLEDVNRMSPGALAIIFAPCLLRCPDNSDPLTSMKDVLKITTCVEMLIKEQMRKY KMKMEEINHLEAAESIAFRRLSLLRQNAPWPLKLGFSSPYEGVRIKSPRTPVVQDLELGA LPEEAAGGDEDREKEILMERIQSIKEEKEDITYRLPELDPRGSDEENLDSETSASTESLL EERGVRGAVEGPPAPALPCPISPTLSPLPEAAAPPRGRPTSFVTVRVKTPRRTPIMPMAN IKLPPGLPLHLTSWAPALQEAVVPVKRREPPARRQDQVHSVYIAPGADLPSQSTLIALDH DTILPGTKRRYSDPPTYCLPPSSGQANG >ENSMUSP00000131635.1 pep:known chromosome:GRCm38:8:71272714:71360712:1 gene:ENSMUSG00000004677.15 transcript:ENSMUST00000168839.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo9b description:myosin IXb [Source:MGI Symbol;Acc:MGI:106624] MSAHEAGSSGRRQQATYHLHIYPQLSSAGSQTSCRVTATKDSTTSDVIQDVVASLHLDGS KHYVLVEVKESGGEEWVLDASDSPVHRVLLWPRRAQDEHPQEDGYYFLLQERNADGSIQY LPIQLLAQPTAACRLVERGLLPRPQADFDDLCNLPELTEANLLQNLKLRFMQQKIYTYAG SILVAINPFKFLPIYNPKYVKMYENQQLGKLEPHVFALADVAYYAMLRKHVNQCIVISGE SGSGKTQSTNFLIHCLTALSQKGYASGVERTILGAGPVLEAFGNAKTAHNNNSSRFGKFI QVNYLENGIVRGAVVEKYLLEKSRLVSQEKDERNYHVFYYLLLGVSEEERLEFQLKQPQD YFYLNQHNLNIEDGEDLKHDFERLQQAMEMVGFLPATKKQIFSVLSAILYLGNVTYKKRA TGRDEGLEVGPPEVLDTLSQLLKVKRETLVEVLTKRKTVTVNDKLILPYSLSEAITARDS MAKSLYSALFDWIVLRINHALLNKKDMEEAVSCLSIGVLDIFGFEDFERNSFEQFCINYA NEQLQYYFTQHIFKLEQEEYQGEGISWHNIDYTDNVGCIHLISKKPTGLFYLLDEESNFP HATSHTLLAKFKQQHEDNKYFLGTPVLEPAFIIQHFAGRVKYQIKDFREKNMDYMRPDIV ALLRGSDSSYVRQLIGMDPVAVFRWAVLRAAIRAMAVLREAGRLRAERAEKAAGISSPAT RSHMEELPRGASTPSEKLYRDLHNQIIKSLKGLPWQGEDPRRLLQSLSLFQKPRTSFLKS KGIKQKQIIPKNLLDSKSLRLIISMTLHDRTTKSLLHLHKKKKPPSISAQFQTSLNKLLE ALGKAEPFFIRCIRSNAEKKELCFDDELVLQQLRYTGMLETVRIRRSGYSAKYTFQDFTE QFQVLLPKDVQPCREAIAALLEKLQVDRQNYQIGKTKVFLKETERQTLQEKLHGEVLRRI LQLQSWFRMVLERKHFVQMKHAALTIQACWRSYRVRRALERTQAAVYLQAAWRGYLQRQA YHHQRHSIIRLQSLCRGHLQRRSFSQMVSEKQKAEQAREAAGGKLSEGEPGPVAAGEQLS EHPVEDPESLGVEAETWMNKSPDGMSPKKETPSPEMETAAQKTVPAESHEKVSSSREKRE SRRQRGLEHVERQNKHIQSCREESSTHREPSRRASLEIGESFPEGTKGPREDGLEAWTET TAPSSSKQAQVVGDPPGSPSPVQRPTTLALDSRVSPMLPSSSLEVSPVLPSSSLESPKDK DKDESSTKAQDKPESPSGSTQIQRYQHPDTERLATAVEIWRGKKLASAVLSQSLDLSEKH RATGAALTPTEERRISFSTSDVSKLSPVKVQTSAEIDGDFSSKKPSIHKKKSGDPSAGPD AGLSPGSQGDSKSAFKRLFLHKAKDKKPSLEGVEETESNGGQAAQETPARKTLDVPSSQQ HRHTTGEKPLKGKKNRNRKVGQITVSEKWRESVFRKITNANELKFLDEFLLNKVNDLRSQ KTPIESLFIEATERFRSNIKTMYSVPNGKIHVGYKDLMENYQIVVSNLAAERGEKDTNLV LNVFQSLLDEFTRSYNKTDFERAKQSKAQKKKRKQERAVQEHNGHVFASYQVNIPQSCEQ CLSYIWLMDKALLCSVCKMTCHKKCVHKIQSYCSYTGRRKSELGAEPGHFGVCVDSLTSD KASVPIVLEKLLEHVEMHGLYTEGLYRKSGAANRTRELRQALQTDPAAVKLEDFPIHAIT GVLKQWLRELPEPLMTFAQYGDFLRAVELPEKQEQLSAIYAVLDHLPEANHTSLERLIFH LVKVALLEDVNRMSPGALAIIFAPCLLRCPDNSDPLTSMKDVLKITTCVEMLIKEQMRKY KMKMEEINHLEAAESIAFRRLSLLRQNAIKSPRTPVVQDLELGALPEEAAGGDEDREKEI LMERIQSIKEEKEDITYRLPELDPRGSDEENLDSETSASTESLLEERGVRGAVEE >ENSMUSP00000071827.5 pep:known chromosome:GRCm38:8:71272714:71360712:1 gene:ENSMUSG00000004677.15 transcript:ENSMUST00000071935.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo9b description:myosin IXb [Source:MGI Symbol;Acc:MGI:106624] MSAHEAGSSGRRQQATYHLHIYPQLSSAGSQTSCRVTATKDSTTSDVIQDVVASLHLDGS KHYVLVEVKESGGEEWVLDASDSPVHRVLLWPRRAQDEHPQEDGYYFLLQERNADGSIQY LPIQLLAQPTAACRLVERGLLPRPQADFDDLCNLPELTEANLLQNLKLRFMQQKIYTYAG SILVAINPFKFLPIYNPKYVKMYENQQLGKLEPHVFALADVAYYAMLRKHVNQCIVISGE SGSGKTQSTNFLIHCLTALSQKGYASGVERTILGAGPVLEAFGNAKTAHNNNSSRFGKFI QVNYLENGIVRGAVVEKYLLEKSRLVSQEKDERNYHVFYYLLLGVSEEERLEFQLKQPQD YFYLNQHNLNIEDGEDLKHDFERLQQAMEMVGFLPATKKQIFSVLSAILYLGNVTYKKRA TGRDEGLEVGPPEVLDTLSQLLKVKRETLVEVLTKRKTVTVNDKLILPYSLSEAITARDS MAKSLYSALFDWIVLRINHALLNKKDMEEAVSCLSIGVLDIFGFEDFERNSFEQFCINYA NEQLQYYFTQHIFKLEQEEYQGEGISWHNIDYTDNVGCIHLISKKPTGLFYLLDEESNFP HATSHTLLAKFKQQHEDNKYFLGTPVLEPAFIIQHFAGRVKYQIKDFREKNMDYMRPDIV ALLRGSDSSYVRQLIGMDPVAVFRWAVLRAAIRAMAVLREAGRLRAERAEKAAGISSPAT RSHMEELPRGASTPSEKLYRDLHNQIIKSLKGLPWQGEDPRRLLQSLSLFQKPRTSFLKS KGIKQKQIIPKNLLDSKSLRLIISMTLHDRTTKSLLHLHKKKKPPSISAQFQTSLNKLLE ALGKAEPFFIRCIRSNAEKKELCFDDELVLQQLRYTGMLETVRIRRSGYSAKYTFQDFTE QFQVLLPKDVQPCREAIAALLEKLQVDRQNYQIGKTKVFLKETERQTLQEKLHGEVLRRI LQLQSWFRMVLERKHFVQMKHAALTIQACWRSYRVRRALERTQAAVYLQAAWRGYLQRQA YHHQRHSIIRLQSLCRGHLQRRSFSQMVSEKQKAEQAREAAGGKLSEGEPGPVAAGEQLS EHPVEDPESLGVEAETWMNKSPDGMSPKKETPSPEMETAAQKTVPAESHEKVSSSREKRE SRRQRGLEHVERQNKHIQSCREESSTHREPSRRASLEIGESFPEGTKGPREDGLEAWTET TAPSSSKQAQVVGDPPGSPSPVQRPTTLALDSRVSPVLPSSSLESPKDKDKDESSTKAQD KPESPSGSTQIQRYQHPDTERLATAVEIWRGKKLASAVLSQSLDLSEKHRATGAALTPTE ERRISFSTSDVSKLSPVKTSAEIDGDFSSKKPSIHKKKSGDPSAGPDAGLSPGSQGDSKS AFKRLFLHKAKDKKPSLEGVEETESNGGQAAQETPARKTLDVPSSQQHRHTTGEKPLKGK KNRNRKVGQITVSEKWRESVFRKITNANELKFLDEFLLNKVNDLRSQKTPIESLFIEATE RFRSNIKTMYSVPNGKIHVGYKDLMENYQIVVSNLAAERGEKDTNLVLNVFQSLLDEFTR SYNKTDFERAKSKAQKKKRKQERAVQEHNGHVFASYQVNIPQSCEQCLSYIWLMDKALLC SVCKMTCHKKCVHKIQSYCSYTGRRKSELGAEPGHFGVCVDSLTSDKASVPIVLEKLLEH VEMHGLYTEGLYRKSGAANRTRELRQALQTDPAAVKLEDFPIHAITGVLKQWLRELPEPL MTFAQYGDFLRAVELPEKQEQLSAIYAVLDHLPEANHTSLERLIFHLVKVALLEDVNRMS PGALAIIFAPCLLRCPDNSDPLTSMKDVLKITTCVEMLIKEQMRKYKMKMEEINHLEAAE SIAFRRLSLLRQNAIKSPRTPVVQDLELGALPEEAAGGDEDREKEILMERIQSIKEEKED ITYRLPELDPRGSDEENLDSETSASTESLLEERGVRGAVEE >ENSMUSP00000091390.2 pep:known chromosome:GRCm38:9:38209052:38209993:1 gene:ENSMUSG00000093901.1 transcript:ENSMUST00000093867.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr893 description:olfactory receptor 893 [Source:MGI Symbol;Acc:MGI:3030727] MHMAMENDSSVTEFVFMGLTEQPELRLPLFFVFLLNYTATVMGNLSLMVLICLNSHLHNP MYFFLFNLSLVDFCYSFVCTPKMLMGFVSEKSIISYTGCMTQLFFFCFFVNSECYVLTAM AYDRYVAICKPLVYAILMSPRMCSLLMIGSYLMGFASAMAHTGCMIRLKFCDSNIINHYM CEIFPLLQLSCSSTYANELVSSLIACIVVIVSGLVILMSYASILLNVVQMSSATGWSKAM GTCGSHIITVSLFYGSGLLTYVKPASAESVDQGKFFSVFYTLMVPMLNPLIYSLRNKDVK LAAKRTMNRITI >ENSMUSP00000130867.1 pep:known chromosome:GRCm38:9:48450400:48450786:1 gene:ENSMUSG00000063543.4 transcript:ENSMUST00000165252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5616 description:predicted gene 5616 [Source:MGI Symbol;Acc:MGI:3646416] MTEADVNPKAYPLADAHLTKKLLDLVQQSCNYKQLRKGANEATKTLNRGISEFIVMAADA EPLEIILHLPLLCEDKNVPYVFVRSKQALGRACGVSRPVIACSVTIKEGSQLKQQIQSIQ QSIERLLV >ENSMUSP00000136886.1 pep:known chromosome:GRCm38:13:73317844:73318143:1 gene:ENSMUSG00000066407.3 transcript:ENSMUST00000085163.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10263 description:predicted gene 10263 [Source:MGI Symbol;Acc:MGI:3642825] MDTSRVQPIKLARVTKVLGRTGSQGQCTQVRVEFMDDTSRSIIRNVKGPVREGDMLTLLE SEREARRLR >ENSMUSP00000137774.1 pep:known chromosome:GRCm38:10:112928501:112931155:1 gene:ENSMUSG00000097185.1 transcript:ENSMUST00000180464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26596 description:predicted gene, 26596 [Source:MGI Symbol;Acc:MGI:5477090] MASSLLVSRLANEISSILTGKRPCGGRWLYSRHTRAPLGLQGLSGPRPPGRQRRRRRRPR RQQPPLRSPNARSAATITQVRDSELHPSPARRENRLGASPSRSGLFLSLTVWARPPTSAR CCACALAPRGGWAWARALAQFAGLGALLVCASQWRQLAFLPVSFSLSRFIKCYRRCYGIL FRALNVH >ENSMUSP00000076437.4 pep:known chromosome:GRCm38:15:101349571:101370125:-1 gene:ENSMUSG00000037185.8 transcript:ENSMUST00000077196.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt80 description:keratin 80 [Source:MGI Symbol;Acc:MGI:1921377] MAYRSCVVGFSSLSGCEMTPAGSPQPGTSGWGSCGLPGPGFSSRSLTSCRPAGTIPKVTV NPSLLVPLDLKVDPAVQQQKNQEKEEMKALNDKFASLIGKVQALEQRNQLLETRWSFLQG QGSATFDLSHHYETFQGRLQEELRKVSQERGQLEANLLQVLEKVEEFRVRYEDEISKRTD LEFTFVQLKKDLDAECLRRTELETKLKGLQGFLELMRTVYEQELKDLTAQVKDVSVTVGL DSRCHIDLSGIVEEVKAQYDAIAARSLEEAEAYSRSQLEERAARSAEFGNSLQSSRCEIA DLNVRIQKLRSQIVSVKSHCLKLEENIKVAEEQGELAFQDAKDKMAQLENALQKAKQDMA RQLREYQDLMNTKLALDIEIATYHKLMEGEESRMDLPSATVVSTVKSGCRTTASKSGLTK TSSRKKKNRRGPVIKITEMSEKYLSQESEASE >ENSMUSP00000026427.6 pep:known chromosome:GRCm38:10:128510248:128525859:-1 gene:ENSMUSG00000025366.7 transcript:ENSMUST00000026427.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esyt1 description:extended synaptotagmin-like protein 1 [Source:MGI Symbol;Acc:MGI:1344426] MEHSPEEGASPEPSGQPPATDSTRDGGSGVPPAGPGAASEALAVLTSFGRRLLVLVPVYL AGAAGLSVGFVLFGLALYLGWRRVRDGKERSLRAARQLLDDEERITAETLYMSHRELPAW VSFPDVEKAEWLNKIVAQVWPFLGQYMEKLLAETVAPAVRGANPHLQTFTFTRVELGEKP LRIIGVKVHPSQRKDQILLDLNVSYVGDVQIDVEVKKYFCKAGVKGMQLHGVLRVILEPL TGDLPIVGAVSMFFIKRPTLDINWTGMTNLLDIPGLSSLSDTMIMDSIAAFLVLPNRLLV PLVPDLQDVAQLRSPLPRGIIRIHLLAARGLSSKDKYVKGLIEGKSDPYALVRVGTQTFC SRVIDEELNPHWGETYEVIVHEVPGQEIEVEVFDKDPDKDDFLGRMKLDVGKVLQAGVLD NWYPLQGGQGQVHLRLEWLSLLPDAEKLDQVLQWNRGITSRPEPPSAAILVVYLDRAQDL PLKKGNKEPNPMVQLSVQDVTRESKATYSTNSPVWEEAFRFFLQDPRSQELDVQVKDDSR ALTLGALTLPLARLLTASELTLDQWFQLSSSGPNSRLYMKLVMRILYLDYSEIRFPTVPG AQDWDRESLETGSSVDAPPRPYHTTPNSHFGTENVLRIHVLEAQDLIAKDRFLGGLVKGK SDPYVKLKVAGKSFRTHVVREDLNPRWNEVFEVIVTSIPGQELEIEVFDKDLDKDDFLGR YKVSLTTVLNSGFLDEWLTLEDVPSGRLHLRLERLTPRPTAAELEEVLQVNSLIQTQKSS ELAAALLSVFLERAEDLPLRKGTKPPSPYATITVGETSHKTKTVSQSSAPVWEESASFLI RKPHAESLELQVRGEGTGTLGSVSLPLSELLQEDQLCLDHWFALSGQGQVLMRAQLGILV SQHSGVEAHSHSYSHSHSSSSLNDEPEALGGPTHPASPVLEVRHRLTHGDSPSEAPVGPL GQVKLTVWYHSDEQKLISIIHSCRALRQNGRDLPDPYVSVLLLPDKNRSTKRKTPQKKRT LNPEFNERFEWDLPLDGTLRRKLDVSVKSNSSFMSRERELLGKVQLDLAEIDLSQGAAQW YDLMDDRDKGGS >ENSMUSP00000041563.8 pep:known chromosome:GRCm38:12:31073968:31079937:1 gene:ENSMUSG00000036136.8 transcript:ENSMUST00000041133.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam110c description:family with sequence similarity 110, member C [Source:MGI Symbol;Acc:MGI:1918813] MRALPTLDSLARMRPPLGDPRAAEDTLTPRPANKSAVERLAADRAKYVRSTLGSSRGPVS EHRVPEAPGVQHRNPIPSALAPAPVARRAIARKPLRPDSLVIYRQKCEFVRGSDADCSRV GLMKKFFQGSGKDKMAVAPETTRVADEDKTTKETEATWTKSSQAAAARPASMLPPPTPVV AVKSPAEKTRVANEDKTTKETEATWTKSSQAAAARPASMLPPPTPVVAVKSPAEKTRVAN EDKTTKETEATWTKSSQAAATRPASMLPPPTPVVAVKSPALPFEVAPRVPVGCSGVQLRV SRSKGLQRSQSDLSSRYSIAKAESDTFFKYCGLDPDVVEALGRENFSAGSDCVTLKVRSV SMAASDSSFSRHSEDGLQEEELLEQVPSTTSVVERNARIIKWLFTCKKAKETPSQKLQGP A >ENSMUSP00000022262.4 pep:known chromosome:GRCm38:14:12341892:12345865:-1 gene:ENSMUSG00000021743.5 transcript:ENSMUST00000022262.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fezf2 description:Fez family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1859823] MASSASLETMVPPACPRAGASPATSKTLAFSIERIMAKTSEPRAPFEPRPAALEADSSQS KKLLNLCSPLPCMIPLQPLGYEVPSKTLLSYSEFWKSSLRAGGGGGGGSGGGAPVCGASG LCKTNCGVCCKAELGLAPSALPAGRVIKPQVINQAVGLPASGSLYYFNYLDSTAYPPSEL LGGHLFPSGLLNAQAPTSLAAHPKLFLLENAKLASLAADKFPHPASYPHKERLHAPLEQV LKENSALTAERGGVKSHSKLPGGSTDSKPKNFTCEVCGKVFNAHYNLTRHMPVHTGARPF VCKVCGKGFRQASTLCRHKIIHTQEKPHKCNQCGKAFNRSSTLNTHIRIHAGYKPFVCEF CGKGFHQKGNYKNHKLTHSGEKQYKCTICNKAFHQVYNLTFHMHTHNDKKPFTCATCGKG FCRNFDLKKHVRKLHDSVGPTATPSAKDLARTVQS >ENSMUSP00000001419.8 pep:known chromosome:GRCm38:18:36793923:36799660:1 gene:ENSMUSG00000001383.8 transcript:ENSMUST00000001419.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmat2 description:zinc finger, matrin type 2 [Source:MGI Symbol;Acc:MGI:1913742] MASGSGTKNLDFRRKWDKDEYEKLAEKRLTEEREKKDGKPVQPVKRELLRHRDYKVDLES KLGKTIVITKTTPQSEMGGYYCNVCDCVVKDSINFLDHINGKKHQRNLGMSMRVERSTLD QVKKRFEVNKKKMEEKQKDYDFEERMKELREEEEKAKAYKKEKQKEKKRRAEEDLTFEED DEMAAVMGFSGFGSTKKSY >ENSMUSP00000083732.4 pep:known chromosome:GRCm38:17:80523550:80563834:-1 gene:ENSMUSG00000033966.7 transcript:ENSMUST00000086545.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl4 description:cyclin-dependent kinase-like 4 [Source:MGI Symbol;Acc:MGI:3587025] MEKYEKLAKIGEGSYGVVFKCRNKSSGQVVAIKKFVESEDDRVVRKIALREIRMLKQLKH PNLVNLIEVFRRKRKMHLVFEYCDHTLLNELERNPNGVSDGVIKSVLWQTLQALNFCHKH NCIHRDVKPENILITKQGMIKICDFGFARILIPGDAYTDYVATRWYRAPELLVGDTKYGS SVDVWAVGCVFAELLTGQPLWPGKSDVDQLYLIIRTLGKLIPRHQSIFRSNQFFRGISIP EPEDMETLEEKFSNVQPVALSFMKGCLKMNPDERLTCAQLLDSAYFESFQEDQMKRKARS EGRSRRRQQNQLLPLIPGSHISPTPDGRKQVVQLKFDHLPNI >ENSMUSP00000042187.8 pep:known chromosome:GRCm38:7:105554360:105558388:1 gene:ENSMUSG00000037049.8 transcript:ENSMUST00000046983.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd1 description:sphingomyelin phosphodiesterase 1, acid lysosomal [Source:MGI Symbol;Acc:MGI:98325] MPHHRASSGQDHLRAGWEQRLERSLPAPRVGLLWMGLGLALVLALFDSTVLWVPARAYPL PSEGHSVKFSAIAPPLQSAFGWQNLTCPACKVLFTALNHGLKKEPNVARVGSVAIKICKM LNIAPLDVCQSAVHLFEDDVVEVWTRSVLSPSEACGLLLGSSCGHWDIFSTWNISLPSVP KPPPKPPSPPAPGAPVSRVLFLTDLHWDHEYLEGTDPYCADPLCCRRGSGWPPNSQKGAG FWGEYSKCDLPLRTLESLLKGLGPAGPFEMVYWTGDIPAHDVWQQSRQDQLRALTTITDL VRKFLGPVPVYPAVGNHESTPVNGFPPPFIKGNQSSQWLYEAMAKAWEPWLPADALHTLR IGGFYALTPRPGLRLISLNMNFCSRENFWLLINSTDPAGQLQWLVEELQAAENRGDKVHI IGHIPPGHCLKSWSWNYYKIIARYENTLAGQFFGHTHVDEFEIFYDEETLSRPLAVAFLA PSATTFINLNPGYRVYQIDGNYPGSSHVVLDHETYILNLTQANAAGGTPSWKRLYRARET YGLPDAMPASWHNLVYRMRDDEQLFQTFWFLYHKGHPPSEPCGTPCRLATLCAQLSARAD SPALCRHLMPNGSLPDANRLWSRPLLC >ENSMUSP00000066737.3 pep:known chromosome:GRCm38:15:11839896:11905674:-1 gene:ENSMUSG00000022206.6 transcript:ENSMUST00000066529.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npr3 description:natriuretic peptide receptor 3 [Source:MGI Symbol;Acc:MGI:97373] MRSLLLFTFSACVLLARVLLAGGASSGAGDTRPGSRRRAREALAAQKIEVLVLLPRDDSY LFSLARVRPAIEYALRSVEGNGTGRKLLPPGTRFQVAYEDSDCGNRALFSLVDRVAAARG AKPDLILGPVCEYAAAPVARLASHWDLPMLSAGALAAGFQHKDTEYSHLTRVAPAYAKMG EMMLALFRHHHWSRAALVYSDDKLERNCYFTLEGVHEVFQEEGLHTSAYNFDETKDLDLD DIVRYIQGSERVVIMCASGDTIRRIMLAVHRHGMTSGDYAFFNIELFNSSSYGDGSWRRG DKHDSEAKQAYSSLQTVTLLRTVKPEFEKFSMEVKSSVEKQGLNEEDYVNMFVEGFHDAI LLYVLALHEVLRAGYSKKDGGKIIQQTWNRTFEGIAGQVSIDANGDRYGDFSVVAMTDTE AGTQEVIGDYFGKEGRFQMRSNVKYPWGPLKLRLDETRIVEHTNSSPCKSSGGLEESAVT GIVVGALLGAGLLMAFYFFRKKYRITIERRNQQEESNIGKHRELREDSIRSHFSVA >ENSMUSP00000136823.1 pep:known chromosome:GRCm38:12:85599105:85639878:1 gene:ENSMUSG00000034271.15 transcript:ENSMUST00000177587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jdp2 description:Jun dimerization protein 2 [Source:MGI Symbol;Acc:MGI:1932093] MMPGQIPDPSVTAGSLPGLGPLTGLPSSALTTEELKYADIRNIGAMIAPLHFLEVKLGKR PQPVKSELDEEEERRKRRREKNKVAAARCRNKKKERTEFLQRESERLELMNAELKTQIEE LKLERQQLILMLNRHRPTCIVRTDSVRTPESEGNPLLEQLDKK >ENSMUSP00000059724.6 pep:known chromosome:GRCm38:12:85599416:85639877:1 gene:ENSMUSG00000034271.15 transcript:ENSMUST00000050687.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jdp2 description:Jun dimerization protein 2 [Source:MGI Symbol;Acc:MGI:1932093] MMPGQIPDPSVTAGSLPGLGPLTGLPSSALTTEELKYADIRNIGAMIAPLHFLEVKLGKR PQPVKSELDEEEERRKRRREKNKVAAARCRNKKKERTEFLQRESERLELMNAELKTQIEE LKLERQQLILMLNRHRPTCIVRTDSVRTPESEGNPLLEQLDKK >ENSMUSP00000129985.2 pep:known chromosome:GRCm38:12:85600018:85639878:1 gene:ENSMUSG00000034271.15 transcript:ENSMUST00000171754.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jdp2 description:Jun dimerization protein 2 [Source:MGI Symbol;Acc:MGI:1932093] MMPGQIPDPSVTAGSLPGLGPLTGLPSSALTTEELKYADIRNIGAMIAPLHFLEVKLGKR PQPVKSELDEEEERRKRRREKNKVAAARCRNKKKERTEFLQRESERLELMNAELKTQIEE LKLERQQLILMLNRHRPTCIVRTDSVRTPESEGNPLLEQLDKK >ENSMUSP00000137383.1 pep:known chromosome:GRCm38:X:33985639:33986816:-1 gene:ENSMUSG00000094564.1 transcript:ENSMUST00000179973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21870 description:predicted gene, 21870 [Source:MGI Symbol;Acc:MGI:5434034] SGLVLSSSVSDPTVVLGDKLKSSNMSNKLEKGKSGNKVGWSSDTIDNEHLGRRSSKCCFV YEKQRAFGESSSESEKEYEDNGDVLCAWGHQKGRHSDLSSNLVNTSSSKPQDPSKSLPSS IID >ENSMUSP00000023562.7 pep:known chromosome:GRCm38:16:21934327:21947552:-1 gene:ENSMUSG00000022856.8 transcript:ENSMUST00000023562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem41a description:transmembrane protein 41a [Source:MGI Symbol;Acc:MGI:1913914] MRALLGLLLVFGGCTFALYLLSTRLPLGPRLAAAGEPEGRSLWFPSDLAELRELSEVLRE YRKEHQAYVFLLFCSAYLYKQGFAIPGSSFLNVLAGALFGPWLGLLLCCVLTSVGATGCY LLSSLFGKQLVISYFPDKVALLQKKVEENRNSLFFFLLFLRLFPMTPNWFLNLSAPILNI PIVQFFFSVLIGLIPYNFICVQTGSILSTLTSLDALFSWETVLKLLAIALVALVPGTLIK KFSQKRLALSETSDIGHPDRRKDA >ENSMUSP00000131649.1 pep:known chromosome:GRCm38:15:79030874:79042531:1 gene:ENSMUSG00000006378.13 transcript:ENSMUST00000171999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcat description:glycine C-acetyltransferase (2-amino-3-ketobutyrate-coenzyme A ligase) [Source:MGI Symbol;Acc:MGI:1349389] MWASFMWHGALSPGRRAHSALAQLRCILDSELEGIRGAGTWKSERVITSRQGPSIRVDGI SGGILNFCANNYLGLSSHPAVIQAGLQTLEEFGAGLSSTRFICGTQSIHKNLEAKIAHFH QREDAILYPSCFDANAGLFEALLTPEDAVLSDELNHASIIDGIRLCKAHKYRYRHLDMAD LEAKLKEAQKHRLRLVATDGAFSMDGDIAPLQDICRLAAQYGALVFVDECHATGFLGPTG RGTDELLGVMDQVTIINSTLGKALGGASGGYTTGPEPLVSLLRQRSRPYLFSNSLPPAVV GCASKALDLLMESNAIIQSMAAKTRRFRSKMEAAGFTVSGADHPICPVMLGDARLSSQMA DDMLKKGKWLTSRTFRWTAQGA >ENSMUSP00000006544.7 pep:known chromosome:GRCm38:15:79030874:79038348:1 gene:ENSMUSG00000006378.13 transcript:ENSMUST00000006544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcat description:glycine C-acetyltransferase (2-amino-3-ketobutyrate-coenzyme A ligase) [Source:MGI Symbol;Acc:MGI:1349389] MWASFMWHGALSPGRRAHSALAQLRCILDSELEGIRGAGTWKSERVITSRQGPSIRVDGI SGGILNFCANNYLGLSSHPAVIQAGLQTLEEFGAGLSSTRFICGTQSIHKNLEAKIAHFH QREDAILYPSCFDANAGLFEALLTPEDAVLSDELNHASIIDGIRLCKAHKYRYRHLDMAD LEAKLKEAQKHRLRLVATDGAFSMDGDIAPLQDICRLAAQYGALVFVDECHATGFLGPTG RGTDELLGVMDQVTIINSTLGKALGGASGGYTTGPEPLVSLLRQRSRPYLFSNSLPPAVV GCASKALDLLMESNAIIQSMAAKTRRFRSKMEAAGFTVSGADHPICPVMLGDARLSSQMA DDMLKKGIFVIGFSYPVVPKGKARIRVQISAVHSEEDIDRCVEAFVEVGRLHGALP >ENSMUSP00000060517.3 pep:known chromosome:GRCm38:15:79041885:79043558:1 gene:ENSMUSG00000006378.13 transcript:ENSMUST00000058004.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcat description:glycine C-acetyltransferase (2-amino-3-ketobutyrate-coenzyme A ligase) [Source:MGI Symbol;Acc:MGI:1349389] MADIQNISLDSPGSVGAVAVPVVFALIFLLGMVGNGLVLAVLLQPGPSAWQEPGSTTDLF ILNLAVADLCFILCCVPFQAAIYTLDAWLFGAFVCKTVHLLIYLTMYASSFTLAAVSVDR YLAVRHPLRSRALRTPRNARAAVGLVWLLAALFSAPYLSYYGTVRYGALELCVPAWEDAR RRALDVATFAAGYLLPVTVVSLAYGRTLCFLWAAVGPAGAAAAEARRRATGRAGRAMLTV AALYALCWGPHHALILCFWYGRFAFSPATYACRLASHCLAYANSCLNPLVYSLASRHFRA RFRRLWPCGHRRHRHHHHRLHRALRRVQPASSGPAGYPGDARPRGWSMEPRGDALRGGET RLTLSARGPQ >ENSMUSP00000043742.5 pep:known chromosome:GRCm38:12:87166242:87200229:-1 gene:ENSMUSG00000034111.5 transcript:ENSMUST00000037418.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed8 description:transmembrane emp24 domain containing 8 [Source:MGI Symbol;Acc:MGI:1923480] MSDRQAAEGPAFWSPAARRGSAGGVGDRRGVEESQAAASEKEDLESTNVSSPLASASDPA AESSPYRPQMVSPASKDTTEDLQNVAGASEGQAPGEQAALPAGQTQVLSEMAKYQAPQRP EDTVMIQSEHTGAIDVLSADLESADLLGDHRKVSPPLMAPPCVWTFAKVKEFKSKLGKEK NSRLVVKRGEVVTIRVPTHPEGKRVCWEFATDDYDIGFGVYFDWTPVTSTDITVQVSDSS EDEEEEEDEEEEIEEPVPVGDVERGSRSSLRGRYGEVMPVYRRDSHRDVQAGSHDYPGEG IYLLKFDNSYSLLRNKTLYFHIYYTS >ENSMUSP00000080221.3 pep:known chromosome:GRCm38:8:83434493:83458391:-1 gene:ENSMUSG00000063253.10 transcript:ENSMUST00000081506.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scoc description:short coiled-coil protein [Source:MGI Symbol;Acc:MGI:1927654] MSKMDGLSTGEEEDSTFTSISLEDDTDHSLKSWRSRAESLLPKMMNADMDAVDAENQVEL EEKTRLINQVLELQHTLEDLSARVDAVKEENLKLKSENQVLGQYIENLMSASSVFQTTDT KSKRK >ENSMUSP00000128210.1 pep:known chromosome:GRCm38:8:83434493:83442648:-1 gene:ENSMUSG00000063253.10 transcript:ENSMUST00000167525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scoc description:short coiled-coil protein [Source:MGI Symbol;Acc:MGI:1927654] MMNADMDAVDAENQVELEEKTRLINQVLELQHTLEDLSARVDAVKEENLKLKSENQVLGQ YIENLMSASSVFQTTDTKSKRK >ENSMUSP00000040890.7 pep:known chromosome:GRCm38:12:103407976:103425867:-1 gene:ENSMUSG00000041645.13 transcript:ENSMUST00000044923.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx24 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 24 [Source:MGI Symbol;Acc:MGI:1351337] MKVKETNSKPKLASRGTFQRKGIKIVGKWKQVTIDPNLFADGQMDDLVCFEELTDYRLVK NPSRLFSSEETKKRKAQAVSEEEEEEEGQSSSPKKKIKLKKQRDAARAAEGAAAQNEYEV KASEPEAQGEVTACSDQKVGGAKSESLAQAAPRKKKNKGKKKLDTFQSTSPKLPKKSKKT WMAEVHDQKADVSAWRDLFVPKAVLRALSFLGFSAPTPIQALTLAPAIRDKLDILGAAET GSGKTLAFAIPMIHSVLQWHKMKAPPIPRSTGMPPREMRFGATAHLGSPCKDRTESGVLP EEARIETEAQPSDSGVQATPETSASASAQTLLVCDDDAGEGPSSLEEKPVPKQNEDGEEK FDAEQAGKLKQELCDQIAIYKVHPRRPLLGLVLTPTRELAIQVRQHIDAVAKFTGINTAI LVGGMSTQKQQRMLNRHPEIVIATPGRLWELVKEKHPHLSNLRQLRCLVIDEADRMVEKG HFAELSQLLEMLNDSQYNPSRQTLVFSATLTLVHQAPARILHKKHVKKMDKTDKLDLLMQ KVGMRGKPKVIDLTRNEGTVETLTETKIHCETDEKDLYLYYFLMQYPGRSLVFANSISCI KRLSGLLKVLDVMPLTLHACMHQKQRLRNLEQFARLQDCVLLATDVAARGLDIPKVQHVI HYQVPRTSEIYIHRSGRTARAASEGLSLMLIGPEDVINFKKIYKTLQKDEDIPLFPVQSK YMDVVKERIRLARQIEKAEYRNFQACLHNSWIEQAAAALEIELEEEMYKGGKADQQEERR RQKQMKMLKQELRHLLSQPLFQENLKTRYPTQSGRPPQPVLASRNIESALSCLSRQKRRR KKPKEPRAPPQPGSSTS >ENSMUSP00000105628.2 pep:known chromosome:GRCm38:12:103407976:103425780:-1 gene:ENSMUSG00000041645.13 transcript:ENSMUST00000110001.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx24 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 24 [Source:MGI Symbol;Acc:MGI:1351337] MLCCGHQGPATSLEALVGLWQKAQVTPPRPISSDVPFTLSRWGRATMKVKETNSKPKLAS RGTFQRKGIKIVGKWKQVTIDPNLFADGQMDDLVCFEELTDYRLVKNPSRLFSSEETKKR KAQAVSEEEEEEEGQSSSPKKKIKLKKQRDAARAAEGAAAQNEYEVKASEPEAQGEVTAC SDQKVGGAKSESLAQAAPRKKKNKGKKKLDTFQSTSPKLPKKSKKTWMAEVHDQKADVSA WRDLFVPKAVLRALSFLGFSAPTPIQALTLAPAIRDKLDILGAAETGSGKTLAFAIPMIH SVLQWHKMKAPPIPRSTGMPPREMRFGATAHLGSPCKDRTESGVLPEEARIETEAQPSDS GVQATPETSASASAQTLLVCDDDAGEGPSSLEEKPVPKQNEDGEEKFDAEQAGKLKQELC DQIAIYKVHPRRPLLGLVLTPTRELAIQVRQHIDAVAKFTGINTAILVGGMSTQKQQRML NRHPEIVIATPGRLWELVKEKHPHLSNLRQLRCLVIDEADRMVEKGHFAELSQLLEMLND SQYNPSRQTLVFSATLTLVHQAPARILHKKHVKKMDKTDKLDLLMQKVGMRGKPKVIDLT RNEGTVETLTETKIHCETDEKDLYLYYFLMQYPGRSLVFANSISCIKRLSGLLKVLDVMP LTLHACMHQKQRLRNLEQFARLQDCVLLATDVAARGLDIPKVQHVIHYQVPRTSEIYIHR SGRTARAASEGLSLMLIGPEDVINFKKIYKTLQKDEDIPLFPVQSKYMDVVKERIRLARQ IEKAEYRNFQACLHNSWIEQAAAALEIELEEEMYKGGKADQQEERRRQKQMKMLKQELRH LLSQPLFQENLKTRYPTQSGRPPQPVLASRNIESALSCLSRQKRRRKKPKEPRAPPQPGS STS >ENSMUSP00000095285.5 pep:known chromosome:GRCm38:18:6765205:6790231:1 gene:ENSMUSG00000073639.5 transcript:ENSMUST00000097680.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab18 description:RAB18, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:102790] MDEDVLTTLKILIIGESGVGKSSLLLRFTDDTFDPELAATIGVDFKVKTISVDGNKAKLA IWDTAGQERFRTLTPSYYRGAQGVILVYDVTRRDTFVKLDNWLNELETYCTRNDIVNMLV GNKIDKENREVDRNEGLKFARKHSMLFIEASAKTCDGVQCAFEELVEKIIQTPGLWESEN QNKGVKLSHREESRGGGACGGYCSVL >ENSMUSP00000025409.7 pep:known chromosome:GRCm38:18:52517238:52529708:-1 gene:ENSMUSG00000024529.13 transcript:ENSMUST00000025409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lox description:lysyl oxidase [Source:MGI Symbol;Acc:MGI:96817] MRFAWAVLLLGPLQLCPLLRCAPQTPREPPAAPGAWRQTIQWENNGQVFSLLSLGAQYQP QRRRDPSATARRPDGDAASQPRTPILLLRDNRTASTRARTPSPSGVAAGRPRPAARHWFQ AGFSPSGARDGASRRAANRTASPQPPQLSNLRPPSHIDRMVGDDPYNPYKYSDDNPYYNY YDTYERPRPGSRNRPGYGTGYFQYGLPDLVPDPYYIQASTYVQKMSMYNLRCAAEENCLA SSAYRADVRDYDHRVLLRFPQRVKNQGTSDFLPSRPRYSWEWHSCHQHYHSMDEFSHYDL LDANTQRRVAEGHKASFCLEDTSCDYGYHRRFACTAHTQGLSPGCYDTYAADIDCQWIDI TDVQPGNYILKVSVNPSYLVPESDYTNNVVRCDIRYTGHHAYASGCTISPY >ENSMUSP00000129247.1 pep:known chromosome:GRCm38:18:52516069:52529867:-1 gene:ENSMUSG00000024529.13 transcript:ENSMUST00000171470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lox description:lysyl oxidase [Source:MGI Symbol;Acc:MGI:96817] MRFAWAVLLLGPLQLCPLLRCAPQTPREPPAAPGAWRQTIQWENNGQVFSLLSLGAQYQP QRRRDPSATARRPDGDAASQPRTPILLLRDNRTASTRARTPSPSGVAAGRPRPAARHWFQ AGFSPSGARDGASRRAANRTASPQPPQLSNLRPPSHIDRMVGDDPYNPYKYSDDNPYYNY YDTYERPRPGSRNRPGYGTGYFQYGLPDLVPDPYYIQASTYVQKMSMYNLRCAAEENCLA SSAYRADVRDYDHRVLLRFPQRVKNQGTSDFLPSRPRYSWEWHSCHQHYHSMDEFSHYDL LDANTQRRVAEGHKASFCLEDTSCDYGYHRRFACTAHTQGLSPGCYDTYAADIDCQWIDI TDVQPGNYILKVSVNPSYLVPESDYTNNVVRCDIRYTGHHAYASGCTISPY >ENSMUSP00000066921.2 pep:known chromosome:GRCm38:13:19749682:19824257:-1 gene:ENSMUSG00000053101.2 transcript:ENSMUST00000065335.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr141 description:G protein-coupled receptor 141 [Source:MGI Symbol;Acc:MGI:2672983] MDGYNTSENSSCDPILAHHLTSIYFIVLIGGLVGLISILFLLVKMNSRSVTTMAVINLVV VHGVFLLTVPFRLAYLIKGTWTFGLPFCKFVSAMLHIHMYLTFLFYVVILVIRYLIFFKR RDKVEFYRKLHAVAASSAMWLLVIVIVVPLVVSQYGNSEEYNEQQCFRFHKELGHDSVRV INYMIVIVVIAVALILLGFQVFITLSMVRKFRHSLLSHQEFWAQLKNLFFIGIIIICFLP YQFFRIYYLYVVAHSKSCKNKVAFYNEILLSTTAISCCDLLLFVFGGSHWVKQKIVDMWN CLLCH >ENSMUSP00000098580.3 pep:known chromosome:GRCm38:12:112722174:112746591:1 gene:ENSMUSG00000072825.10 transcript:ENSMUST00000101018.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170b description:centrosomal protein 170B [Source:MGI Symbol;Acc:MGI:2145043] MSVTSWFLVSSSGTRHRLPRELIFVGRDECELMLQSRSVDKQHAVINYDQDRDEHWVKDL GSLNGTFVNDVRIPDQKYITLKLNDVIRFGYDSNMYVLERVQHRVPEEALKHEKYTSQLQ VSVKVSAPKRGDALPDHTPYCESSQPRPEKGDRRHGAEAVAYRTPLYGQPSWWGEDDSGA PSEDRHQEEPYSERPKDLAQQNGELDSCRAPAEPPDYSFRREPSYFEIPTKETPQPPRLP EVPTQEVPTKDQEAGVGGTAPVVQSHASFTIEFDDCSPGKVKIKDHITKFSLRQRRAPSK ETTPVETVSAETKVADWLVQNDPSLLRRDGPGDDRHSTKSDLPVHTRTLKGHKHEDGTQS DSEDPLAKTASVSGASAEASGEQVRLQRQIKRDPQELLHNQQAFVIEFFDGDTPRKKRSQ SFTHTPPADPKADKRRGPGTSDRDRPGVSVRATGSSSGPQRASSLKREKTEERLGNTSPV PRASTRSFGSVGRRSRLAQDFMAQCMRDSSPATRPAPEKTPPVLPAPLTPRGASPVTPST TPPPPTDPQLTKARKQEEDDSLSDAGTYTIETEAQDQEVEEARRMIDQVFGVFESPELSR VSSATFRPVIRGDKDESSDGGMAQRMALLQEFASRAPGMAPQMEQQSLLVPGSPGGQKWV SRWASLADSYSDAGLPEDGPGRRTGEPEGPLPVRTRRLLPQLPSGRADSPAGLEAARRNG PGPPELGSEPANCLIGQEDLDPDSLSDASGSDGGRGPEPGTERQEDLAWVRGRRSPRAPG ELVPTSFFIGDQNGEATFPKKSFVGPGEVDGPGRVVQTSPSARDGLYVSSNGRMVIQLRS GRSPEPDPAPPKETLTFARQESFTKEPTSGPPAPGKLPHISSHPLLQDLAAARASRLDFH AQDTHLILKETETALAALEARLRSKSADECDGGSTPRPPEDSLSGDSDVDTASTISLLSG KNGPSPTTPQTPGPQKESPLSPPTVPDPGGATPGSARERMSERQHRPTPADLGPGDTSRR AAMRRGHGSRGSLDWPEEERGSGLAHLPSSNHETPEATLAGRQGPRRKPAAPPPSPAARE EQSRSSATAQKVQQALTRSNSLSTPRPTRASRLRRARLGDASDTEAVDGERGTAANPEPA NRAAPEQAKKLTRLDILAMPRKRAGSFTGPSDSETAPARTGFSGRSAELYSTSRKPTIAE ARAAAKKAAATAANTGPRQPFSRARPGSARYSSNTRRRQQGSDYTSTSEEEYGSHHSSPK HTRSHASTATQTPRGSSSTRARSQGPRDTDDDEEEPDPYGFIVQTAEIAEIARLSQTLVK DVAILAREIHDVAGDGDSLGSPGPTRSPSLGNVPNTPASTISAREELVQRIPEASLNFQK VPPGSMNSHNLDQNMNDSRDDALTNKTRPRNREEVIFDNLMLNPVSQLSHAIRENTEHLA EKMKVLFQNTGRAWEDLEARINSENEVPILKTSNKEISSILKELRRVQKQLEVINAIVDP SLNLDLLMGNRAPSGSGQPGLGKARPAAQSSTSPASVDTLLPALPLRSFPQRANCGPPGL PEPAFLPDAERFLI >ENSMUSP00000137331.1 pep:known chromosome:GRCm38:12:112722194:112746587:1 gene:ENSMUSG00000072825.10 transcript:ENSMUST00000179041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170b description:centrosomal protein 170B [Source:MGI Symbol;Acc:MGI:2145043] MSVTSWFLVSSSGTRHRLPRELIFVGRDECELMLQSRSVDKQHAVINYDQDRDEHWVKDL GSLNGTFVNDVRIPDQKYITLKLNDVIRFGYDSNMYVLERVQHRVPEEALKHEKYTSQLQ VSVKVSAPKRGDALPDHTPYCESSQPRPEKGDRRHGAEAVAYRTPLYGQPSWWGEDDSGA PSEDRHQEEPYSERPKDLAQQNGELDSCRAPAEPPDYSFRREPSYFEIPTKETPQPPRLP EVPTQEVPTKDQEAGVGGTAPVVQSHASFTIEFDDCSPGKVKIKDHITKFSLRQRRAPSK ETTPVETVSAETKVADWLVQNDPSLLRRDGPGDDRHSTKSDLPVHTRTLKGHKHEDGTQS DSEDPLAKTASVSGASAEASGEQVRLQRQIKRDPQELLHNQQAFVIEFFDGDTPRKKRSQ SFTHTPPADPKADKRRGPGTSDRDRPGVSVRATGSSSGPQRASSLKREKTEERLGNTSPV PRASTRSFGSVGRRSRLAQDFMAQCMRDSSPATRPAPEKTPPVLPAPLTPRGASPVTPST TPPPPTDPQLTKARKQEEDDSLSDAGTYTIETEAQDQEVEEARRMIDQVFGVFESPELSR VSSATFRPVIRGDKDESSDGGMAQRMALLQEFASRAPGMAPQMEQQSLLVPGSPGGQKWV SRWASLADSYSDAGLPEDGPGRRTGEPEGPLPVRTRRLLPQLPSGRADSPAGLEAARRNG PGPPELGSEPANCLIGQEDLDPDSLSDASGSDGGRGPEPGTERQEDLAWVRGRRSPRAPG ELVPTSFFIGDQNGEATFPKKSFVGPGEVDGPGRVVQTSPSARDGLYVSSNGRMVIQLRS GRSPEPDPAPPKETLTFARQESFTKEPTSGPPAPGKLPHISSHPLLQDLAAARASRLDFH AQDTHLILKETETALAALEARLRSKSADECDGGSTPRPPEDSLSGDSDVDTASTISLLSG KNGPSPTTPQTPGPQKESPLSPPTVPDPGGATPGSARERMSERQHRPTPADLGPGDTSRR AAMRRGHGSRGSLDWPEEERGSGLAHLPSSNHETPEATLAGRQGPRRKPAAPPPSPAARE EQSRSSATAQKVQQALTRSNSLSTPRPTRASRLRRARLGDASDTEAVDGERGTAANPEPA NRAAPEQAKKLTRLDILAMPRKRAGSFTGPSDSETAPARTGFSGRSAELYSTSRKPTIAE ARAAAKKAAATAANTGPRQPFSRARPGSARYSSNTRRRQQGSDYTSTSEEEYGSHHSSPK HTRSHASTATQTPRGSSSTRARSQGPRDTDDDEEEPDPYGFIVQTAEIAEIARLSQTLVK DVAILAREIHDVAGDGDSLGSPGPTRSPSLGNVPNTPASTISAREELVQRIPEASLNFQK VPPGSMNSHNLDQNMNDSRDDALTNKTRPRNREEVIFDNLMLNPVSQLSHAIRENTEHLA EKMKVLFQNTGRAWEDLEARINSENEVPILKTSNKEISSILKELRRVQKQLEVINAIVDP SLNLDLLMGNRAPSGSGQPGLGKARPAAQSSTSPASVDTLLPALPLRSFPQRANCGPPGL PEPAFLPDAERFLI >ENSMUSP00000089930.6 pep:known chromosome:GRCm38:12:112722194:112746587:1 gene:ENSMUSG00000072825.10 transcript:ENSMUST00000092279.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep170b description:centrosomal protein 170B [Source:MGI Symbol;Acc:MGI:2145043] MSVTSWFLVSSSGTRHRLPRELIFVGRDECELMLQSRSVDKQHAVINYDQDRDEHWVKDL GSLNGTFVNDVRIPDQKYITLKLNDVIRFGYDSNMYVLERVQHRVPEEALKHEKYTSQLQ VSVKVSAPKRGDALPDHTPYCESSQPRPEKGDRRHGAEAVAYRTPLYGQPSWWGEDDSGA PSEDRHQEEPYSERPKDLAQQNGELDSCRAPAEPPDYSFRREPSYFEIPTKETPQPPRLP EVPTQEVPTKDQEAGVGGTAPARTGFSGRSAELYSTSRKPTIAEARAAAKKAAATAANTG PRQPFSRARPGSARYSSNTRRRQQGSDYTSTSEEEYGSHHSSPKHTRSHASTATQTPRGS SSTRARSQGPRDTDDDEEEPDPYGFIVQTAEIAEIARLSQTLVKDVAILAREIHDVAGDG DSLGSPGPTRSPSLGNVPNTPASTISAREELVQRIPEASLNFQKVPPGSMNSHNLDQNMN DSRDDALTNKTRPRNREEVIFDNLMLNPVSQLSHAIRENTEHLAEKMKVLFQNTGRAWED LEARINSENEVPILKTSNKEISSILKELRRVQKQLEVINAIVDPSLNLDLLMGNRAPSGS GQPGLGKARPAAQSSTSPASVDTLLPALPLRSFPQRANCGPPGLPEPAFLPDAERFLI >ENSMUSP00000106205.3 pep:novel scaffold:GRCm38:JH584297.1:19740:22248:-1 gene:ENSMUSG00000094303.1 transcript:ENSMUST00000110576.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC165294.1 MNVHTPPTLQKLAIQTLVREQALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRKKLQFLDLRNVHHSFWNIWTDSED SDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFCC TKMKIWTLPVKALRHIFHVFDPEHIMELELNTEWTLLELTRFAPYFGQMRNLHKVFLAPL HKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLKT LSITYSLVSQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGILETLDLQ GCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFPKDLLQHTAN >ENSMUSP00000022682.5 pep:known chromosome:GRCm38:14:70180468:70207637:-1 gene:ENSMUSG00000022091.5 transcript:ENSMUST00000022682.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs3 description:sorbin and SH3 domain containing 3 [Source:MGI Symbol;Acc:MGI:700013] MARILGVGRSSASSLNNKEDNESDVALLSPKDPNRVHTKEQLAHPASSNLDPSMQGLPAG LSLDDFIPGHLRTHIGSSSRGTRVPVIRNGGSNTLNFQFHDPAPRTVCNGCPPPRRDGSL NPDPAWYQTWPGPGSRPSMSPKPPASQHAQNWSATWTKDSKRQDKRWVKYEGIGPVDESG MPIAPRSSVDSPRDWYRRMFQQIHRKMPDLQLDWTLEDPPKVVSARASSAEPRHLGTLQR PASRPGTTETSSGRNWNHSEETSRNTFNYNFRPSSSGLHPPNQVPRHREKVENVWTEDSW NQFLHELETGHKPKKPLVDDPVEKPAQPIEVLLERELAKLSAELDKDLRAIETRLPSPKN SQAPRRPLEQPGLEQQPSARLSSAWRPNSPHAPYFSSSRPLSPHRMADGGGSPFLGRRDF VYPSSAREPSASERGSSPSRKEEKKRKAARLKFDFQAQSPKELSLQKGDIVYIHKEVDKN WLEGEHHGRLGIFPANYVEVLPADEIPKPIKPPTYQVLEYGDAVAQYTFKGDLEVELSFR KGERICLIRKVNEHWYEGRITGTGRQGIFPASYVQINREPRLRLCDDGPQLPASPNPTTT AHLSSHSHPSSIPVDPTDWGGRTSPRRSAFPFPITLQEPRSQTQSLNTPGPTLSHPRATS RPINLGPSSPNTEIHWTPYRAMYQYRPQNEDELELREGDRVDVMQQCDDGWFVGVSRRTQ KFGTFPGNYVAPV >ENSMUSP00000025471.2 pep:known chromosome:GRCm38:18:61687935:61692537:1 gene:ENSMUSG00000024578.2 transcript:ENSMUST00000025471.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17b description:interleukin 17B [Source:MGI Symbol;Acc:MGI:1928397] MDWPHSLLFLLAISIFLAPSHPRNTKGKRKGQGRPSPLAPGPHQVPLDLVSRVKPYARME EYERNLGEMVAQLRNSSEPAKKKCEVNLQLWLSNKRSLSPWGYSINHDPSRIPADLPEAR CLCLGCVNPFTMQEDRSMVSVPVFSQVPVRRRLCPQPPRPGPCRQRVVMETIAVGCTCIF >ENSMUSP00000021714.7 pep:known chromosome:GRCm38:12:111814170:111828388:1 gene:ENSMUSG00000021286.7 transcript:ENSMUST00000021714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve21 description:zinc finger, FYVE domain containing 21 [Source:MGI Symbol;Acc:MGI:1915770] MSSGVAARRDAKKLVRSPSGLRMVPEHRAFGSPFGLEEPQWVPDKECPRCMQCDAKFDFI TRKHHCRRCGKCFCDRCCSQKVPLRRMCFVDPVRQCADCALVSHREAEFYDKQLKVLLSG ATFLVTFGDSEKPETMVCRLSNNQRCLVLDGDSHREIEIAHVCTVQILTEGFTPGAGSTR ATGMLLQYTVPGAEAAAQLRLMAGEDASGSKRQAAAWLAAMHKATKLLYESRDQ >ENSMUSP00000069318.7 pep:known chromosome:GRCm38:16:30256378:30267532:-1 gene:ENSMUSG00000023176.8 transcript:ENSMUST00000064856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpn2 description:carboxypeptidase N, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1919006] MFPGAWLCWVSLLLLARLTQPCPVGCDCFGREVFCSDEQLADIPPDIPPHITDIVFVETA FTTVRTRAFSGSPNLTKVVFLNTQVRHLEPDAFGGLPRLQDLEITGSPVSNLSAHIFSNL SSLEKLTLDFDRLAGLPEDLFCHMDILESLQLQGNQLRTLPGRLFQSLRDLRTLNLAQNL LTQLPKGAFQSLTGLQMLKLSNNMLARLPEGALGSLSSLQELFLDGNAITELSPHLFSQL FSLEMLWLQHNAICHLPVSLFSSLHNLTFLSLKDNALRTLPEGLFAHNQGLLHLSLSYNQ LETIPEGAFTNLSRLVSLTLSHNAITDLPEHVFRNLEQLVKLSLDSNNLTALHPALFHNL SRLQLLNLSRNQLTTLPGGIFDTNYDLFNLALLGNPWQCDCHLSYLTSWLRLYNNQISNT HTFCAGPAYLKGQLVPNLKQEQLICPVNPGHLSFRALGLDEGEPAGSWDLTVEGRAAHSQ CAYSNPEGTVLLACEESRCRWLNIQLSSRDGSDSAAMVYNSSQEWGLRSSCGLLRVTVSI EAPAAGP >ENSMUSP00000101060.1 pep:known chromosome:GRCm38:10:75316943:75334788:1 gene:ENSMUSG00000020178.5 transcript:ENSMUST00000105420.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adora2a description:adenosine A2a receptor [Source:MGI Symbol;Acc:MGI:99402] MGSSVYIMVELAIAVLAILGNVLVCWAVWINSNLQNVTNFFVVSLAAADIAVGVLAIPFA ITISTGFCAACHGCLFFACFVLVLTQSSIFSLLAIAIDRYIAIRIPLRYNGLVTGMRAKG IIAICWVLSFAIGLTPMLGWNNCSQKDENSTKTCGEGRVTCLFEDVVPMNYMVYYNFFAF VLLPLLLMLAIYLRIFLAARRQLKQMESQPLPGERTRSTLQKEVHAAKSLAIIVGLFALC WLPLHIINCFTFFCSTCQHAPPWLMYLAIILSHSNSVVNPFIYAYRIREFRQTFRKIIRT HVLRRQEPFRAGGSSAWALAAHSTEGEQVSLRLNGHPLGVWANGSAPHSGRRPNGYTLGP GGGGSTQGSPGDVELLTQEHQEGQEHPGLGDHLAQGRVGTASWSSEFAPS >ENSMUSP00000048765.2 pep:known chromosome:GRCm38:14:41151456:41158959:1 gene:ENSMUSG00000037780.2 transcript:ENSMUST00000047095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbl1 description:mannose-binding lectin (protein A) 1 [Source:MGI Symbol;Acc:MGI:96923] MLLLPLLPVLLCVVSVSSSGSQTCEDTLKTCSVIACGRDGRDGPKGEKGEPGQGLRGLQG PPGKLGPPGSVGSPGSPGPKGQKGDHGDNRAIEEKLANMEAEIRILKSKLQLTNKLHAFS MGKKSGKKLFVTNHEKMPFSKVKSLCTELQGTVAIPRNAEENKAIQEVATGIAFLGITDE ATEGQFMYVTGGRLTYSNWKKDEPNNHGSGEDCVIILDNGLWNDISCQASFKAVCEFPA >ENSMUSP00000055958.7 pep:known chromosome:GRCm38:16:37916496:38007527:1 gene:ENSMUSG00000046961.7 transcript:ENSMUST00000061274.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr156 description:G protein-coupled receptor 156 [Source:MGI Symbol;Acc:MGI:2653880] MEPEINCSEFCDSFPGQELDRRPLHDLCKTTITESQHSSTAASPLSPALLGIMWTFLSCG LLLVLFFLAFTIRCRKNRIVKMSSPNLNVVTLLGSCLTYISAYLFGIQDALEGSSVEALI QTRLSLLCIGTSLVFGPILGKSWRLYKVFTQRVPDKRVIIKDLQLLGLVAALVVADVILL VTWVLTDPIQCLQMLGVSMKVTGRDVSCSLTNTHFCASRYSDVWIALVLGCKGLLLLYGA YLAGLTNHVSSPPVNQSLTIMVGVNLLLLTAGLLFVVTRYLHSWPNLVFGLTSGGIFVCT TTVNCCVFIPQLKQWKAFEGENQTMRHMAKYFSTPSKSFHGQFDEDPSCHLRDEKSCMER LLTEKNAVIESLQEQVSNAKEKLVKLMSAECTYDSPEWAVPDAASARGLALPGPSECPAV SENESGAAARDSLHVPAACQHVQGPGASRRDTSPSPAQQDNMPLKQYCDHLDTGCNQKPK AEQSEGPERGDQEPMAPSQRLMADGVACEPHKPRQSPEGLPKKLPGVSSVVREKLQEVLQ ELDLGSEAPLSPLPCPQQLWKSTTSRSPQKLSPSKLGFSPYVVRRRRAAQRARSHIPGSV GLNVGHQANSTVSSSQSGLIVQNRDSPRLDHNNARSKVPRSSSVKPSPLSEPRRKQGTLE GSKQCETEPQEAGGACNVAFPCQSSASVQAQSPAAPCLPSSPALPRQRQPRPRLSPGCPS LSSGCYNLDSESSSSDEFFCRCHRPYCEICFQSSLDSNDSDTSDSDLEQASGLASWGKLW ARSKPVVNFKDDLKPTLV >ENSMUSP00000044129.8 pep:known chromosome:GRCm38:8:85026833:85030285:1 gene:ENSMUSG00000041203.8 transcript:ENSMUST00000047281.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310036O22Rik description:RIKEN cDNA 2310036O22 gene [Source:MGI Symbol;Acc:MGI:1922833] MAARGRRAEPPGREAPGPAGSGRSRWAESGPGTSPESGDDEVSGSSPVSSGVNLFANDGS FLELFKRKMEEEQRQRQEEPPPGPQRPDPPASAAAGPGNPKRKGGPGPTLSFVGKRRGGN KLALKTGIVAKKQKTEDEVLTSKGDAWAKYMAEVKKYKAHQCGDDDKTRPLVK >ENSMUSP00000025356.2 pep:known chromosome:GRCm38:15:54571366:54602846:1 gene:ENSMUSG00000024479.2 transcript:ENSMUST00000025356.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mal2 description:mal, T cell differentiation protein 2 [Source:MGI Symbol;Acc:MGI:2146021] MSAGGAVPPPPNPAVSFPAPRVTLPAGPDILRTYSGAFVCLEIVLGGLVWILVASSNVPL PLLQGWVMFVSVTAFFFSLLFLGLFLSGMVTQIDANWNFLDFVYHFIVFVFYFGAFLLEA AATSLHDLQCNTTMTVKPLLNDNQYNINVAATVFAFMTTACYGCSLGLALRRWRP >ENSMUSP00000036614.6 pep:known chromosome:GRCm38:13:38602709:38635109:-1 gene:ENSMUSG00000038982.9 transcript:ENSMUST00000035899.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bloc1s5 description:biogenesis of lysosomal organelles complex-1, subunit 5, muted [Source:MGI Symbol;Acc:MGI:2178598] MSGGGTETPVACDAAQGGKKRDSLGTPGAAHLIIKDLGEIHSRLLDHRPVTQGEIRYFVK EFEEKRGLRELRVLKNLENTIQETNECLLPKCRETMECGLGETLQRLQAANDSICRLQQR EQERKKVINDYLTASEKRRLVQWEEFVSGQPQRRAEVDEEHRRAVERLREQYAAMEKDLA KFSTF >ENSMUSP00000033585.4 pep:known chromosome:GRCm38:17:40207018:40208609:-1 gene:ENSMUSG00000031233.5 transcript:ENSMUST00000033585.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgk2 description:phosphoglycerate kinase 2 [Source:MGI Symbol;Acc:MGI:97563] MALSAKLTLDKVDLKGKRVIMRVDFNVPMKNNQITNNQRIKAAIPSIKHCLDNGAKSVVL MSHLGRPDGIPMPDKYSLEPVADELKSLLNKDVIFLKDCVGPEVEQACANPDNGSIILLE NLRFHVEEEGKGKDSSGKKISADPAKVEAFQASLSKLGDVYVNDAFGTAHRAHSSTVGVN LPQKASGFLMKKELDYFSKALEKPERPFLAILGGAKVKDKIQLIKNMLDKVNFMIIGGGM AYTFLKELKNMQIGASLFDEEGATIVKEIMEKAEKNGVKIVFPVDFVTGDKFDENAKVGQ ATIESGIPSGWMGLDCGPESIKINAQIVAQAKLIVWNGPIGVFEWDAFAKGTKALMDEVV KATSNGCVTIIGGGDTATCCAKWGTEDKVSHVSTGGGASLELLEGKILPGVEALSNM >ENSMUSP00000023329.4 pep:known chromosome:GRCm38:16:48842552:48844461:1 gene:ENSMUSG00000061100.3 transcript:ENSMUST00000023329.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Retnla description:resistin like alpha [Source:MGI Symbol;Acc:MGI:1888504] MAYKSISSGQVLEPFLRFCPRMPTLNRMKTTTCSLLICISLLQLMVPVNTDETIEIIVEN KVKELLANPANYPSTVTKTLSCTSVKTMNRWASCPAGMTATGCACGFACGSWEIQSGDTC NCLCLLVDWTTARCCQLS >ENSMUSP00000130497.1 pep:known chromosome:GRCm38:18:68337603:68339711:1 gene:ENSMUSG00000007480.3 transcript:ENSMUST00000172148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mc5r description:melanocortin 5 receptor [Source:MGI Symbol;Acc:MGI:99420] MQDQSPVNRRFNSQKPPGTREESCLPLRGAEQNGKSDAKKWGHSLPAMNSSSTLTVLNLT LNASEDGILGSNVKNKSLACEEMGIAVEVFLTLGLVSLLENILVIGAIVKNKNLHSPMYF FVGSLAVADMLVSMSNAWETVTIYLLNNKHLVIADTFVRHIDNVFDSMICISVVASMCSL LAIAVDRYITIFYALRYHHIMTARRSGVIIACIWTFCISCGIVFIIYYESKYVIICLISM FFTMLFFMVSLYIHMFLLARNHVKRIAASPRYNSVRQRTSMKGAITLTMLLGIFIVCWSP FFLHLILMISCPQNVYCSCFMSYFNMYLILIMCNSVIDPLIYALRSQEMRRTFKEIVCCH GFRRPCRLLGGY >ENSMUSP00000072170.7 pep:known chromosome:GRCm38:13:44922079:45002096:-1 gene:ENSMUSG00000057531.13 transcript:ENSMUST00000072329.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnbp1 description:dystrobrevin binding protein 1 [Source:MGI Symbol;Acc:MGI:2137586] MLETLRERLLSVQQDFTSGLKTLSDKSREAKVKGKPRTAPRLPKYSAGLELLSRYEDAWA ALHRRAKECADAGELVDSEVVMLSAHWEKKRTSLNELQGQLQQLPALLQDLESLMASLAH LETSFEEVENHLLHLEDLCGQCELERHKQAQAQHLESYKKSKRKELEAFKAELDTEHTQK ALEMEHTQQLKLKERQKFFEEAFQQDMEQYLSTGYLQIAERREPMGSMSSMEVNVDVLEQ MDLMDISDQEALDVFLNSGGEDNIVMSPGVEMESNPNQNEMSLQIPSPSESASQPPASPS ACTDLDTADAPLIQSDEEEVQVDTALVTLHTDRKSTPGVSDDSDQCDSTQDI >ENSMUSP00000105755.4 pep:known chromosome:GRCm38:13:44922085:45002096:-1 gene:ENSMUSG00000057531.13 transcript:ENSMUST00000110128.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnbp1 description:dystrobrevin binding protein 1 [Source:MGI Symbol;Acc:MGI:2137586] MLETLRERLLSVQQDFTSGLKTLSDKSREAKVKGKPRTAPRLPKYSAGLELLSRYEDAWA ALHRRAKECADAGELVDSEVVMLSAHWEKKRTSLNELQGQLQQLPALLQDLESLMASLAH LETSFEEVENHLLHLEDLCGQCELERHKQAQAQHLESYKKSKRKQCDASSAELDTEHTQK ALEMEHTQQLKLKERQKFFEEAFQQDMEQYLSTGYLQIAERREPMGSMSSMEVNVDVLEQ MDLMDISDQEALDVFLNSGGEDNIVMSPGVEMESNPNQNEMSLQIPSPSESASQPPASPS ACTDLDTADAPLIQSDEEEVQVDTALVTLHTDRKSTPGVSDDSDQCDSTQDI >ENSMUSP00000130073.1 pep:known chromosome:GRCm38:17:43667425:43751431:1 gene:ENSMUSG00000023963.9 transcript:ENSMUST00000170988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp39a1 description:cytochrome P450, family 39, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1927096] MGIMELFSPIAIAVLGSCVLFLFSRLKNLLGPPCIQGWIPWIGAGLEFGKAPLEFIEKAR IKYGPVFTIFAMGNRMTFVSEEEGINVLLKSEHVDFESAVQSPVYHTAWIPKNVFSALHE RLYALMKGKMGTFNTHHFTGPLTEELHEQLEGLGTHGTMDLNDFVRYLLYPATLNTLFKK GLFLTDKRTIKEFYQQFKTYDEGFEYGSQLPEWLLRNWSKSKRWLLALFEKNIGNIKAHG SAGHSGTLLQAILEVVETETRQYSPNYGLVVLWAALANAPPIAFWTLGYILSHPDIHRTV LESISSVFGTAGKDKIKVSEDDLKKLLIIKWCILESVRLRAPGVITRKVVKPVKILNHTV PSGDLLMLSPFWLHRNPKYFPEPESFKPERWKEANLDKYIFLDYFMAFGGGKFQCPGRWF ALLEIQLCIILVLYKYECSLLDPLPKQSSRHLVGVPQPAGKCRIEYKQRA >ENSMUSP00000091163.6 pep:known chromosome:GRCm38:8:70539675:70592858:1 gene:ENSMUSG00000070002.6 transcript:ENSMUST00000093454.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ell description:elongation factor RNA polymerase II [Source:MGI Symbol;Acc:MGI:109377] MAALKEARSYGLSCGRVSDGSRVSVFHVKLTDSALKAFESYRAHQDSVSLRPSIRFEGSQ GHISIPQPDCPEEVRAFSFYLSNIGRDSPQGSFDCIQQYVSSYGDVHLDCLGSIQDKVTV CATDDSYQKARQSMAQAEEETRSRSAIVIKAGGRYMGKKVQFRKPAPGAADAVPSRKRAT PINLASAIRKSSGSGASSVVQRPFRDRVLHLLALRPYRKAELLLRLQKDGLTQADKDTLD SLLQQVASVNPKDGTCTLQDCMYKSLQKDWPGYSEGDRQLLKRMLMRKLCQPQNATTDSS PPREHGRSASPSQKRPTDFIDPLASKKPRISHFTQRAQPTLNGKLGAPNGHETLLPAPGP TPSDTLSSSHLPPRLEPPRTHDPLADVSNDLGHSTQDYKHQEATPAPAPHLGLPLLTDFP QAEQPTSSSHTHSRPKKKSKKHKDKERPPEERPPAPQPDAPTAPALPPDAPGLNGACDNE PTSSSETPDYLLKYPAISSSEQRQSYKNDFNAEYSEYRSLHARIEQITRRFTQLDAQLRQ LSQGSDEYETTRGQILQEYRKIKKTNTNYSCEKRRCEYLHRKLAHIKRLIAEYDQRQLQA WP >ENSMUSP00000080936.7 pep:known chromosome:GRCm38:18:31804057:31841642:1 gene:ENSMUSG00000024400.15 transcript:ENSMUST00000082319.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr33 description:WD repeat domain 33 [Source:MGI Symbol;Acc:MGI:1921570] MATEIGSPPRFFHMPRFQHQAPRQLFYKRPDFAQQQAMQQLTFDGKRMRKAVNRKTIDYN PSVIKYLENRIWQRDQRDMRAIQPDAGYYNDLVPPIGMLNNPMNAVTTKFVRTSTNKVKC PVFVVRWTPEGRRLVTGASSGEFTLWNGLTFNFETILQAHDSPVRAMTWSHNDMWMLTAD HGGYVKYWQSNMNNVKMFQAHKEAIREASFSPTDNKFATCSDDGTVRIWDFLRCHEERIL RDRVSLCSPGCPGNCSVDQAGHEPVFSISWD >ENSMUSP00000025264.6 pep:known chromosome:GRCm38:18:31804057:31908987:1 gene:ENSMUSG00000024400.15 transcript:ENSMUST00000025264.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr33 description:WD repeat domain 33 [Source:MGI Symbol;Acc:MGI:1921570] MATEIGSPPRFFHMPRFQHQAPRQLFYKRPDFAQQQAMQQLTFDGKRMRKAVNRKTIDYN PSVIKYLENRIWQRDQRDMRAIQPDAGYYNDLVPPIGMLNNPMNAVTTKFVRTSTNKVKC PVFVVRWTPEGRRLVTGASSGEFTLWNGLTFNFETILQAHDSPVRAMTWSHNDMWMLTAD HGGYVKYWQSNMNNVKMFQAHKEAIREASFSPTDNKFATCSDDGTVRIWDFLRCHEERIL RGHGADVKCVDWHPTKGLVVSGSKDSQQPIKFWDPKTGQSLATLHAHKNTVMEVKLNLNG NWLLTASRDHLCKLFDIRNLKEELQVFRGHKKEATAVAWHPVHEGLFASGGSDGSLLFWH VGVEKEVGGMEMAHEGMIWSLAWHPLGHILCSGSNDHTSKFWTRNRPGDKMRDRYNLNLL PGMSEDGVEYDDLEPNSLAVIPGMGIPEQLKLAMEQEQMGKDESSEIEMTIPGLDWGMEE VMQKDQKKVPQKKVPYAKPIPAQFQQAWMQNKVPIPAPNEVLNDRKEDIKLEEKKKTQAE IEQEMATLQYTNPQLLEQLKIERLAQKQADQIQPPPSSGTPLLGPQPFSGQGPISQIPQG FQQPHPSQQMPLVPQMGPPGPQGQFRAPGPQGQMGPQGPPMHQGGGGPQGFMGPQGPQGP PQGLPRPQDMHGPQGMQRHPGPHGPLGPQGPPGPQGSSGPQGHMGPQGPPGPQGHIGPQG PPASQGHMGPQGPPGTQGMQGPPGPRGMQGPPHPHGIQGGPASQGIQGPLMGLNPRGMQG PPGPRENQGPAPQGLMIGHPPQEMRGPHPPSGLLGHGPQEMRGPQEMRGMQGPPPQGSML GPPQELRGPSGSQGQQGPPQGSLGPPPQGGMQGPPGPQGQQNPARGPHPSQGPIPFQQQK APLLGDGPRAPFNQEGQSTGPPPLIPGLGQQGAQGRIPPLNPGQGPGPNKGDTRGPPNHH LGPMSERRHEQSGGPEHGPDRGPFRGGQDCRGPPDRRGSHPDFPDDFRPDDFHPDKRFGH RLREFEGRGGPLPQEEKWRRGGPGPPFPPDHREFNEGDGRGAARGPPGAWEGRRPGDDRF PRDPDDPRFRGRREESFRRGAPPRHEGRAPPRGRDNFPGPDDFGPEEGFDASDEAARGRD LRGRGRGTPRGGSRKCLLPTPDEFPRFEGGRKPDSWDGNREPGPGHEHFRDAPRPDHPPH DGHSPASRERSSSLQGMDMASLPPRKRPWHDGSGTSEHREMEAQGGPSEDRGSKGRGGPG PSQRVPKSGRSSSLDGDHHDGYHRDEPFGGPPGSSSSSRGARSGSNWGRGSNMNSGPPRR GTSRGSGRGR >ENSMUSP00000130636.1 pep:known chromosome:GRCm38:13:43402673:43480973:-1 gene:ENSMUSG00000038546.8 transcript:ENSMUST00000144326.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp9 description:RAN binding protein 9 [Source:MGI Symbol;Acc:MGI:1928741] MSGQPPPPPPQQQPPPPPPAAGAPGSGVALPAAAAASAGSSPAGSPGGGLGAAAAALLLH PPPPPPPPPATAAPPPPASAAAPATAPPGLAVGPGPAAGVPVPGLAAGSSAAAPFPHGDS ALNEQEKELQRRLKRLYPAVDEQETPLPRSWSPKDKFSYIGLSQNNLRVHYKGHGKTPKD AASVRATHPIPAACGIYYFEVKIVSKGRDGYMGIGLSAQGVNMNRLPGWDKHSYGYHGDD GHSFCSSGTGQPYGPTFTTGDVIGCCVNLINNTCFYTKNGHSLGIAFTDLPPNLYPTVGL QTPGEVVDANFGQHPFVFDIEDYMREWRTKIQAQIDRFPIGDREGEWQTMIQKMVSSYLV HHGYCATAEAFARSTDQTVLEELASIKNRQRIQKLVLAGRMGEAIETTQQLYPSLLERNP NLLFTLKVRQFIEMVNGTDSEVRCLGGRSPKSQDSYPVSPRPFSSPSMSPSHGMSIHSLA PGKSSTAHFSGFESCSNGVISNKAHQSYCHSKHQLSSLTVPELNSLNVSRSQQVNNFTSN DVDMETDHYSNGVGETSSNGFLNGSSKHDHEMEDCDTEMEVDCSQLRRQLCGGSQAAIER MIHFGRELQAMSEQLRRECGKNTANKKMLKDAFSLLAYSDPWNSPVGNQLDPIQREPVCS ALNSAILETHNLPKQPPLALAMGQATQCLGLMARSGVGSCAFATVEDYLH >ENSMUSP00000020284.4 pep:known chromosome:GRCm38:10:61695514:61702773:1 gene:ENSMUSG00000020087.4 transcript:ENSMUST00000020284.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tysnd1 description:trypsin domain containing 1 [Source:MGI Symbol;Acc:MGI:1919017] MGRQWGPSMRVAEQAGCVVSASRAGQPDAGSWSCSGVILSRNPGLVLCHGGIFTPFLRTG SAALTQTGTAFLPGDSCSDDLRLHVQWGPTAASPAGRADQELPGLCTPQCASLGLEPGAP SRARARPLQPPRPAQLLLLLSCPAFRSHFARLFGADAVDQWHFVSSAPDDAVSEEEEEDQ LRALGWFALLRVQRGAAAEERRGPVVTVAPLGAVVKGAPLLACGSPFGAFCPDIFLNTLS RGVLSNAAGPLLLTDARCLPGTEGGGVFAARPAGALVALVAAPLCWKAREWVGLTLLCAA APLLQVARWALARLHPGSASLSVLLPPPDVSTPRGLPLRDLGPPWAAAAVLVECGTVWGS GVVVAPRLVVTCRHVAPREAARVLVHSATPKNVAIWGQVVFATQETSPYDIAVVSLEEEL NGVPTPVPAGHFHEGEPVSVVGFGVFGQACGPSVTSGILSAVVRVDGSPVMLQTTCAVHG GSSGGPLFSSGSGDLLGIVASNTRDNNTGATYPHLNFSIPITVLQPALKQYSQTGDLGGL RELDHTTEPVRVVWRLQRPLSEVPRSKL >ENSMUSP00000101115.2 pep:known chromosome:GRCm38:10:52337024:52382124:-1 gene:ENSMUSG00000019861.14 transcript:ENSMUST00000105475.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gopc description:golgi associated PDZ and coiled-coil motif containing [Source:MGI Symbol;Acc:MGI:2149946] MSAGGPCPAGAGGGPGGSSCPVGVSPGGVSMFRWLEVLEKEFDKAFVDVDLLLGEIDPDQ ADITYEGRQKMTSLSSCFAQLCHKAQTVSQINHKLEAQLVDLRSELTETQAEKVVLEKEV HEQLLQLHSTQLQLHAKTGQSVDSGAIKAKLVSNAERALERELEANKTEKVKEARLEAEV KLLRKENEALRRHIAVLQAEVYGARLAAKYLDKELAGRVQQIQLLGRDMKGPAHDKLWNQ LEAEIHLHRHKTVIRACRGRNDLKRPMQAPPGHDQDSLKKSQGVGPIRKVLLLKEDHEGL GISITGGKEHGVPILISEIHPGQPADRCGGLHVGDAILAVNGVNLRDTKHKEAVTILSQQ RGEIEFEVVYVAPEVDSDDENVEYEDESGHRYRLYLDELEGSGNSGASCKDSSGEMKMLQ GYNKKAVRDAHENGDVGAAGESPLDDTAARAAHLHSLHQKKAY >ENSMUSP00000020008.8 pep:known chromosome:GRCm38:10:52337024:52382124:-1 gene:ENSMUSG00000019861.14 transcript:ENSMUST00000020008.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gopc description:golgi associated PDZ and coiled-coil motif containing [Source:MGI Symbol;Acc:MGI:2149946] MSAGGPCPAGAGGGPGGSSCPVGVSPGGVSMFRWLEVLEKEFDKAFVDVDLLLGEIDPDQ ADITYEGRQKMTSLSSCFAQLCHKAQTVSQINHKLEAQLVDLRSELTETQAEKVVLEKEV HEQLLQLHSTQLQLHAKTGQSVDSGAIKAKLERELEANKTEKVKEARLEAEVKLLRKENE ALRRHIAVLQAEVYGARLAAKYLDKELAGRVQQIQLLGRDMKGPAHDKLWNQLEAEIHLH RHKTVIRACRGRNDLKRPMQAPPGHDQDSLKKSQGVGPIRKVLLLKEDHEGLGISITGGK EHGVPILISEIHPGQPADRCGGLHVGDAILAVNGVNLRDTKHKEAVTILSQQRGEIEFEV VYVAPEVDSDDENVEYEDESGHRYRLYLDELEGSGNSGASCKDSSGEMKMLQGYNKKAVR DAHENGDVGAAGESPLDDTAARAAHLHSLHQKKAY >ENSMUSP00000136941.1 pep:novel scaffold:GRCm38:JH584298.1:90528:93623:1 gene:ENSMUSG00000094722.1 transcript:ENSMUST00000180291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC125178.1 MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYIPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000059391.5 pep:known chromosome:GRCm38:14:59625281:59631658:1 gene:ENSMUSG00000044461.5 transcript:ENSMUST00000053949.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa2 description:shisa family member 2 [Source:MGI Symbol;Acc:MGI:2444716] MWGGRCSPSTSSRHRASLLQLLLAALLAAGARASGEYCHGWLDAQGVWRIGFQCPERFDG GDATICCGSCALRYCCSSAEARLDQGGCDNDRQQGVGEPGRTDREGPDSSAVPIYVPFLI VGSVFVAFIILGSLVAACCCRCLRPKQDPQQSRAPGANRLMETIPMIPSASTSRGSSSRQ SSTAASSSSSANSGARAPPTRSQTNCCLPEGTMNNVYVNMPTNFSVLNCQQATQIVPHQG QYLHTPYVGYAVQHDSVPMTPVPPFMDGLQPGYRPVQPPFAHTNSEQKMFPAVTV >ENSMUSP00000082476.3 pep:known chromosome:GRCm38:7:45282873:45288993:1 gene:ENSMUSG00000070568.11 transcript:ENSMUST00000085364.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700039E15Rik description:RIKEN cDNA 1700039E15 gene [Source:MGI Symbol;Acc:MGI:1923963] MVNLATSLLSSFVIFLVMGFWTTTSGTMCIKRSVSNLINLIANGVLPKAAWPPGDIIHKP PLEYLAWINQLPNNLKSQVIHQTLPCSILIQKEKIMEGPGLSYVAFSQAISLFPGSSFWA IIFFMSLAIMGLGTMLTLLEGIVLPLQKSISTFAKHPNLVQVLVCLGGFLGSLVFSSRPG SYVVFLFDDLLVPMVLIIIVVIQNLSLAWLYGIKRFRAEVFGQLGSLVWSPFTFLWSYVT LPTLLVLLTIYFLNLYYSGSPYYVSWNDSMSHEVKQPYKKIPLGWVTFLSVLALLPILVY PLQHWWYLDDPNICETLEKPLSSKKTVTVPNRVTQWPVHPMRKLTLRNQEKSKALFQSKS PPENFLHPQTLITKKDSENYSTFSLPDATLPSQVGFSTLAIHEVSKYTGHSESFLDSQNT GKK >ENSMUSP00000021898.5 pep:known chromosome:GRCm38:13:51431041:51567084:-1 gene:ENSMUSG00000021448.6 transcript:ENSMUST00000021898.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc3 description:src homology 2 domain-containing transforming protein C3 [Source:MGI Symbol;Acc:MGI:106179] MSATRKSRAGDEPLPRPPRGAPHTSDQVLGPGVTYVVKYLGCIEVLRSMRSLDFSTRTQV TREAISRVCEAVPGAKGALKKRKPPSKMLSSILGKSNLQFAGMSISLTISTASLNLRTPD SKQIIANHHMRSISFASGGDPDTTDYVAYVAKDPVNRRACHILECCDGLAQDVIGSIGQA FELRFKQYLQCPSKVPALQDRMQSLDEPWTEEEGDGPDHPYYNSVPTKMPPPGGFLDARL KGRPHAPEAAQFAGKEQTYYQGRHLGDTFGEDWQRAPTRQGSLDIYSTAEGKTHMVPVGE TPTYVNTQPVPPQVWPAATSSTESSPRKDLFDMKPFEDALRNQPLGPMLSKAASVECISP VTPRAPDARMLEELNAEPWYQGEMSRKEAEALLREDGDFLVRKSTTNPGSFVLTGMHNGQ AKHLLLVDPEGTIRTKDRVFDSISHLINYHLESSLPIVSAGSELCLQQPVERKP >ENSMUSP00000076172.1 pep:known chromosome:GRCm38:16:89031699:89032292:1 gene:ENSMUSG00000058172.1 transcript:ENSMUST00000076906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap6-1 description:keratin associated protein 6-1 [Source:MGI Symbol;Acc:MGI:1330228] MCGYYGNYYGGRGYGCCGYGGLGYGYGGLGCGYGSYYGCGYRGLGCGYGYGCGYGSRSLY GCGYGCGSGYGSGFGYYY >ENSMUSP00000022744.3 pep:known chromosome:GRCm38:15:7811011:7837575:1 gene:ENSMUSG00000022144.3 transcript:ENSMUST00000022744.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdnf description:glial cell line derived neurotrophic factor [Source:MGI Symbol;Acc:MGI:107430] MGFGPLGVNVQLGVYGDRIRGAAAGRDSKMKLWDVVAVCLVLLHTASAFPLPAGKRLLEA PAEDHSLGHRRVPFALTSDSNMPEDYPDQFDDVMDFIQATIKRLKRSPDKQAAALPRRER NRQAAAASPENSRGKGRRGQRGKNRGCVLTAIHLNVTDLGLGYETKEELIFRYCSGSCES AETMYDKILKNLSRSRRLTSDKVGQACCRPVAFDDDLSFLDDNLVYHILRKHSAKRCGCI >ENSMUSP00000129974.1 pep:known chromosome:GRCm38:18:75431224:75697696:-1 gene:ENSMUSG00000052928.8 transcript:ENSMUST00000165559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctif description:CBP80/20-dependent translation initiation factor [Source:MGI Symbol;Acc:MGI:2685518] MENSSAASASSEAGSSRSQEIEELERFIDSYVLEYQVQGLLTDKTEGDGESQRTQSHISQ WTADCREQLDGSCSFSRGRAPPQQNGNKDNSLDMLGTDIWAANTFDSFSGATWDLQPEKL DFTQFHRKVRHTPKQPLPHIDREGCGKGKLEDGDGISLNDIEKVLPTWQGYHPMPHEAEI AHTKKLFRRRRNDRRRQQRPPGGNKPQQHGDHQPGSAKHNRDHQKSYQGGSGPHPSGRPT HHGYSQNRRWHHGNMKHPPGDKGEAGSHRNAKETVTVENPKLEDGPGDTGHSGLEPPCSP DTLTPAASERPTPQLPGGPEAEIKHKDTVLPERLRERPKITLLQSSKDRLRRRLKEKDRD IPNPTETSAPLRCVLCVPHVPQDEVAVETSSPQPSKMDRLMEILNSMRNNSSDVDAKLTS FMEEAQNSTNSEEMLGEIVRTIYQKAVSDRSFAFTAAKLCDKMALFMVEGTKFRSLLLNM LQKDFTVREELQQQDVERWLGFITFLCEVFGTMRSSTGEPFRVLVCPIYTCLRELLQSQD VKEDAVLCCSMELQSTGRLLEEQLPEMMTELLASARDKMLCPSESMLTRSLLLEVIELHA NSWNPLTPPITQYYNRTIQKLTA >ENSMUSP00000077996.7 pep:known chromosome:GRCm38:15:36997031:37007402:-1 gene:ENSMUSG00000062397.7 transcript:ENSMUST00000078976.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp706 description:zinc finger protein 706 [Source:MGI Symbol;Acc:MGI:1915286] MARGQQKIQSQQKNAKKQAGQKKKQGHDQKAAAKAALIYTCTVCRTQMPDPKTFKQHFES KHPKTPLPPELADVQA >ENSMUSP00000061167.7 pep:known chromosome:GRCm38:15:80260614:80264306:-1 gene:ENSMUSG00000051518.7 transcript:ENSMUST00000052499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps19bp1 description:ribosomal protein S19 binding protein 1 [Source:MGI Symbol;Acc:MGI:1913788] MSAALVRRGLELLAASEAPRAVPGQVQASGTPAKRTRRARAKASQALKLRNSAKGKAPKS ALAEYQKRQCRDHLKANLKFMTSMRSTVPESVTQQILQQNQGRKACDRLVAKTKNKKKKK KKAEGTVFTEEDFQKFQREYFGS >ENSMUSP00000026547.7 pep:known chromosome:GRCm38:7:139995955:140036350:-1 gene:ENSMUSG00000025474.8 transcript:ENSMUST00000026547.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubgcp2 description:tubulin, gamma complex associated protein 2 [Source:MGI Symbol;Acc:MGI:1921487] MSEFRIHHDVNELLSLLRIHGGDGAEVYIDLLQKNRTPYVTTTVSAHSAKVKIAEFSRTP EDFLKKYDELKSKNTRNLDPLVYLLSKLTEDKETLQFLQQNAKERAELAASAATSNTTSF SIPVAASKMSTQELEELRKQLGSVSTGSTLQQSLELTRKMLRDKQNKKNSGQPLPVFPAW VYERPTLAGDFLIGSGLSSDTVLPIGTLPLASQESAVVEDLLYVLVGVDGRYITAQPLAG RQNRTFLVDPNLDLSIRELVNRILPVAASYSTVTRFIEEKSSFEYGQVNHALAAAMRTLV KEYLILVTQLEQLHRQGLLSLQKLWFYIQPAMRTIDILASLATSVDKGECVGGSTLSLLH DRSFNYTGDSQAQELCLYLTKAASAPYFEILEKWIYRGIIHDPYSEFMVEEHELRKEKIQ EDYNDKYWDQRYTVLPQQIPSFLQKVAGKILSTGKYLNVVRECGHDVTCPVAKEIIYTLK ERAYVEQIEKAFNYASKVLLDFLMEEKELVAHLRSIKRYFLMDQGDFFVHFMDLTEEELR KPVEDIILTRLEALLELALRMSTANTDPFKDDLKIELMPHDLITQLLRVLAIETKQEKAM THADPTELTLSGLEAFSFDYMVKWPLSLIINRKALTRYQMLFRHMFYCKHVERQLCSVWI SNKTAKQHALHSAKWFAGAFTLRQRMLNFVQNIQYYMMFEVMEPTWHILEKNLKSASNID DVLGHHTSFLDNCLKDCMLTNPELLKVFSKLMSVCVMFTNCMQKFTQSMKLDSELGRLTL DQGSVQGPPTETERIEDRPRKELTRKHLSEHVDAPQLASGFEATINNFDKNFSAHLLDLL ARLSVYSTSDCEHGMASVISRLDFNGFYAERLERLSAERSQKAAPQVPVPRGPSAPAPRV AIPAQ >ENSMUSP00000001256.4 pep:known chromosome:GRCm38:17:56123085:56140343:-1 gene:ENSMUSG00000001227.11 transcript:ENSMUST00000001256.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6b description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6B [Source:MGI Symbol;Acc:MGI:1202889] MWTPRVPPPRPALSFFLLLLLGVTYGLFPEEPPPLSVAPRDYLSHYPVFVGSGPGRLTAA EGAEDLNIQRVLRVNRTLFIGDRDNLYQVELEPSTSTELRYQRKLTWRSNPSDIDVCRMK GKQEGECRNFVKVLLLRDESTLFVCGSNAFNPICANYSMDTLQLLGDSISGMARCPYDPK HANVALFSDGMLFTATVTDFLAIDAVIYRSLGDRPTLRTVKHDSKWFKEPYFVHAVEWGS HVYFFFREIAMEFNYLEKVVVSRVARVCKNDVGGSPRVLEKQWTSFLKARLNCSVPGDSH FYFNVLQAVTGVVSLGGRPVILAVFSTPSNSIPGSAVCAFDMNQVAAVFEGRFREQKSPE SIWTPVPEDQVPRPRPGCCAAPGMQYNASSALPDEILNFVKTHPLMDEAVPSLGHSPWIV RTLMRHQLTRVAVDVGAGPWGNQTIVFLGSEAGTVLKFLVKPNASVSGTTGPSIFLEEFE TYRPDRCGRPSSAGEWGQRLLSLELDAASGGLLAAFPRCVVRVPVARCQLYSGCMKNCIG SQDPYCGWAPDGSCIFLRPGTSATFEQDVSGASTSGLGDCTGLLRASLSDDRAGLVSVNL LVTSSVAAFVVGAVVSGFSVGWFVGLRERRELARRKDKEAILAHGGSEAVLSVSRLGERR GTGPGGRGGAGGGPGGPPEALLAPLMQNGWTKAALLHGGPHDLDTGLLPTPEQTPLPQKR LPTPHPHAHALGSRAWDHSHALLSASASTSLLLLAPARASEQPQVPAEPGPESRLCAPRS CRASHPGDFPLTPHASPDRRRVVSAPTGPLDPSVGDGLPGPWSPPATSSLRRPGPHGPPT AALRRTHTFNSGEARPGGHRPRRHPPADSTHLLPCGTGERTAPPVP >ENSMUSP00000130985.1 pep:known chromosome:GRCm38:17:56123085:56133852:-1 gene:ENSMUSG00000001227.11 transcript:ENSMUST00000167545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema6b description:sema domain, transmembrane domain (TM), and cytoplasmic domain, (semaphorin) 6B [Source:MGI Symbol;Acc:MGI:1202889] MWTPRVPPPRPALSFFLLLLLGVTYGLFPEEPPPLSVAPRDYLSHYPVFVGSGPGRLTAA EGAEDLNIQRVLRVNRTLFIGDRDNLYQVELEPSTSTELRYQRKLTWRSNPSDIDVCRMK GKQEGECRNFVKVLLLRDESTLFVCGSNAFNPICANYSMDTLQLLGDSISGMARCPYDPK HANVALFSDGMLFTATVTDFLAIDAVIYRSLGDRPTLRTVKHDSKWFKEPYFVHAVEWGS HVYFFFREIAMEFNYLEKVVVSRVARVCKNDVGGSPRVLEKQWTSFLKARLNCSVPGDSH FYFNVLQAVTGVVSLGGRPVILAVFSTPSNSIPGSAVCAFDMNQVAAVFEGRFREQKSPE SIWTPVPEDQVPRPRPGCCAAPGMQYNASSALPDEILNFVKTHPLMDEAVPSLGHSPWIV RTLMRHQLTRVAVDVGAGPWGNQTIVFLGSEAGTVLKFLVKPNASVSGTTGPSIFLEEFE TYRPDRCGRPSSAGEWGQRLLSLELDAASGGLLAAFPRCVVRVPVARCQLYSGCMKNCIG SQDPYCGWAPDGSCIFLRPGTSATFEQDVSGASTSGLGDCTGLLRASLSDDRAGLVSVNL LVTSSVAAFVVGAVVSGFSVGWFVGLRERRELARRKDKEAILAHGGSEAVLSVSRLGERR GTGPGGRGGAGGGPGGPPEALLAPLMQNGWTKAALLHGGPHDLDTGLLPTPEQTPLPQKR LPTPHPHAHALGSRAWDHSHALLSASASTSLLLLAPARASEQPQVPAEPGPESRLCAPRS CRASHPGDFPLTPHASPDRRRVVSAPTGPLDPSVGDGLPGPWSPPATSSLRRPGPHGPPT AALRRTHTFNSGEARPGGHRPRRHPPADSTHLLPCGTGERTAPPVP >ENSMUSP00000024981.7 pep:known chromosome:GRCm38:17:24942156:24960636:-1 gene:ENSMUSG00000024165.8 transcript:ENSMUST00000024981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hn1l description:hematological and neurological expressed 1-like [Source:MGI Symbol;Acc:MGI:1196260] MFQGADSQAGKSGSRSMKPPGGESSDLFGSPEEGISSSKPNRMASNIFGPTEEPKNIPKR TNPPGGKGSGIFDESTPVQTRQRLNPPGGKTSDIFGSPVTATAPLAHPNKPKDHVLLCEG EDSKSDLKAATDSTPRGEQSDKGSSKEVEHAKIPEPTPTVDSHEPRLGPRPRSHNKVLNP PGGKSSLSFY >ENSMUSP00000019506.7 pep:known chromosome:GRCm38:8:84246237:84249761:-1 gene:ENSMUSG00000019362.7 transcript:ENSMUST00000019506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D8Ertd738e description:DNA segment, Chr 8, ERATO Doi 738, expressed [Source:MGI Symbol;Acc:MGI:1289231] MAQGQRKFQAQKPKSKAAAAERSRGPRKGGRVIAPKKARVVQQQKLKKSLEVGIRKKIEH DVVMKASSSLPKRLALLKGASKKSEATIPGKTPS >ENSMUSP00000019405.2 pep:known chromosome:GRCm38:8:70905974:70917526:1 gene:ENSMUSG00000019261.2 transcript:ENSMUST00000019405.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map1s description:microtubule-associated protein 1S [Source:MGI Symbol;Acc:MGI:2443304] MAAVMAAPEAVEAPSSLLLLVVGGECGCPGLLAYVMEELERGVRSWEDVDPAVCSLDEQL KAFVSRHSATFSSIVKGQRSLHHRGETLETLVLLNPSDKSLCDELRNLLMDPAPHKLLVL AGPCLEETGELLLQTGGFSAHHFLQVLGDKEVQDALASAPAAPALTVSCPTFGDWALLGP VPGLQLRLNPPAQLPASEGLRAFLEYVAESLEPPSPFELLEPPAAGGFLRLARPCCYVFP GGLGDAAFFAVNGFTVLVNGGSNPKSSFWKLVRHLDRVDAVLVTHAGADSLPGLNSLLRR KLAEREAAAGPQGQHEERLRRLLSPALGVVFLNAREAASRLRGGEDEAVCARSLLRSLGI APLPLQRGPQPSCPTVLFEKLGVGRLELFVLHPPPGDPAAPACALLVWQPAAPGDKVVRV LFPGRTPPARLLDGLQRLQHLPCLRRPVVTTHDLEAPSRANSQDSLASRDSARKEPVRGT VGSIANRSTVRREPALATRDQKKDTRSGPTQPTARDTRRSGPGVVNTKPRVSQNGPRAPV LAAPLTAPVAECPGEAENILESERPPAPSPTLSPAQSPPPTAPGNSPERLSLSPLRPEPA PDASPSATTPTLTTPSLPAELGSPHSTEVDESLSVSFEQVLPAGDPGLSLPLRLARRSTS PHDVDLCLVSPCEFSHRKPPPPASPGSSDSSARSQERPPETPPTSVSESLPTLSDSDPVP VADSDDDAGSESAARDPPPTPRVPPPLPDVPGICMVDPEALPPRARQPLNTTNPSRSRKA PARPSSASATPRAATVAAKTKGPAGDRNRPLSARSEPADRPGRVPLTRKPSVPKTVPKMA SATRLSSGPSGRPAPLAAGSPVYLDLAYLPGGGAGHLDQNFFLRVRALCYVISGQGQRQE EGLRAVLDALLAGKRQWDLDLQVTLIPTFDSAVMHRWYEETHAQHQALGIRVLGSGSLVS MQDEAFPACKVEF >ENSMUSP00000043562.5 pep:known chromosome:GRCm38:19:34810894:34879455:-1 gene:ENSMUSG00000033610.15 transcript:ENSMUST00000036584.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pank1 description:pantothenate kinase 1 [Source:MGI Symbol;Acc:MGI:1922985] MRGRRAPRPGSTEVPAAARDADTLRARAASPVRGAQLAEDVGTPTGGGEERRGHQLPTAA PRLRESKPQGGSEDRGTADRDLQRGCQSRSPRTAPPVPGMGDRGAQHERAALQSPGAPEG AAAAVNGLLHNGFHPSAASSRDPPAPRFQLPSELQPQPLFAQHDSPAKKCRLRRRMDSGR KNRPPFPWFGMDIGGTLVKLVYFEPKDITAEEEQEEVENLKSIRKYLTSNTAYGKTGIRD VHLELKNLTMCGRKGNLHFIRFPTCAMHLFIQMGSEKNFSSLHTTLCATGGGAFKFEEDF RMIADLQLHKLDELDCLIQGLLYVDSVGFNGKPECYYFENPTNPELCQKKPYCLDNPYPM LLVNMGSGVSILAVYSKDNYKRVTGTSLGGGTFLGLCCLLTGCETFEEALDMAAKGDSTN VDKLVKDIYGGDYERFGLQGSAVASSFGNMMSKEKRESISKEDLARATLVTITNNIGSIA RMCALNENIDRVVFVGNFLRINMVSMKLLAYAMDFWSKGQLKALFLEHEGYFGAVGALLE LFKMTDTQ >ENSMUSP00000108079.1 pep:known chromosome:GRCm38:19:34810895:34877917:-1 gene:ENSMUSG00000033610.15 transcript:ENSMUST00000112460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pank1 description:pantothenate kinase 1 [Source:MGI Symbol;Acc:MGI:1922985] MKLVNGRKQTFPWFGMDIGGTLVKLVYFEPKDITAEEEQEEVENLKSIRKYLTSNTAYGK TGIRDVHLELKNLTMCGRKGNLHFIRFPTCAMHLFIQMGSEKNFSSLHTTLCATGGGAFK FEEDFRMIADLQLHKLDELDCLIQGLLYVDSVGFNGKPECYYFENPTNPELCQKKPYCLD NPYPMLLVNMGSGVSILAVYSKDNYKRVTGTSLGGGTFLGLCCLLTGCETFEEALDMAAK GDSTNVDKLVKDIYGGDYERFGLQGSAVASSFGNMMSKEKRESISKEDLARATLVTITNN IGSIARMCALNENIDRVVFVGNFLRINMVSMKLLAYAMDFWSKGQLKALFLEHEGYFGAV GALLELFKMTDTQ >ENSMUSP00000022148.6 pep:known chromosome:GRCm38:13:99948533:100015639:-1 gene:ENSMUSG00000021646.8 transcript:ENSMUST00000022148.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mccc2 description:methylcrotonoyl-Coenzyme A carboxylase 2 (beta) [Source:MGI Symbol;Acc:MGI:1925288] MWGALRSALRPCCRAAVPPQRAYHGDSVARLGTQPDSASSTYQENYEQMKALVSQLHERA QYVRLGGSEKARARHTSRGKLLPRDRIDNLIDPGSPFLEFSQFAGYQLYGDEEVPAGGII TGIGRVSGVECMIVANDATVKGGTYYPVTVKKHVRAQEIALQNRLPCIYLVDSGGANLPR QADTFPDRDHFGRIFYNQAIMSSKNITQIAVVMGSCTAGGAYVPAMADENIIVQKQGTIF LAGPPLVKAATGEEVSAEDLGGADLHCRKSGVTDHYALDDHHALHLTRKVVRSLNYQKKM DVTIEPSEEPLFPADELYGIVGANLKRSFDVREVIARIVDGSRFNEFKALYGDTLVTGFA RIFGYPVGIIGNNGVLFSESAKKGAHFVQLCCQRNIPLLFLQNITGFMVGRDYEAEGIAK DGAKMVAAVACAKVPKITVIIGGSYGAGNYGMCGRAYSPRFLYMWPNARISVMGGEQAAT VLATVARDQKAREGKQFSSAEEAALKEPIIKRFEEEGNPYYSSARLWDDGIIDPVDTRLV LGLSLSAALNAPIQRTDFGIFRM >ENSMUSP00000042746.6 pep:known chromosome:GRCm38:15:44375227:44428307:-1 gene:ENSMUSG00000038736.9 transcript:ENSMUST00000038719.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudcd1 description:NudC domain containing 1 [Source:MGI Symbol;Acc:MGI:1914679] MEAANCSLRVKRPLLDPRFEGYKLSLEPLPCYQLELDAAVAEVKLRDDQYTLEHMHAFGM YNYLHCDAWYQDSVYYIDNLGRIMNLTVMLDTALGKPREVFRLPTDVTAYDNRLCASIHF TSPSWVTLSDGTGRLYVIGTGDRGNSPEKWEIMFNEELGNPFIIIHSISLLKAEEHSVAI LLLRIEKEELDLKGSGFYVSLEWVVVTKKKEDSKKYEITKHHVLRGKSVPHYAAIEPNGN GLMIVSYKSFKVISGDQDLEENMDEDRPEKIKVEPLYYWQQSEDDLTVTVRLPESSTKED IQIQFLPDNINIKLKDIQVLEGKLYSSIDHEGSTWTIKENDSLEISLIKKNEGLMWPELV VGDKQGELLRDPAQCAAIAERLMHLTSDELNPNPDKEKPACNAQELEECDIFFEESSSLC RFDGNTLQTTHVVNLGSNQYLFSVIVDPKEMPCFCLRHDVDALLWQPHCSKQDDMWEHIA TFNALGYVQASKRDKKFFACAPNYSYAALCECLRRVFIYRQPTPMSTVLYNRKEGRHVGQ VAKQQVASLETNDPILGFQATNERLFVLTTKNLFLIRVNTEN >ENSMUSP00000027379.8 pep:known chromosome:GRCm38:1:72307421:72394952:1 gene:ENSMUSG00000026187.8 transcript:ENSMUST00000027379.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc5 description:X-ray repair complementing defective repair in Chinese hamster cells 5 [Source:MGI Symbol;Acc:MGI:104517] MAWSGNKAAVVLCVDVGVAMGNSFPGEESPIEQAKKVMTMFVQRQVFSESKDEIALVLYG TDGTDNALAGKDQYQNITVCRHLMLPDFDLLEDIGNKIQPSSQQADFLDALIVCMDLIQR ETIGKKFGKKHIEVFTDLSSPFSQDQLDVIICNLKKSGISLQFFLPFPIDKNGEPGERGD LDSGLDHLKPSFPQKGLTEQQKEGIRMVTRVMLSLEGEDGLDEIYSFSESLRQLCVFKKI ERRSMPWPCQLTIGPNLSIKIVAYKSIVQEKFKKSWVVVDARTLKKEDIQKETVYCLNDD DETEVSKEDTIQGYRYGSDIIPFSKVDEEQMKYKSEGKCFSVLGFCKSSQVHRRFFMGHQ VLKVFAAKDDEAAAVALSSLVHALDELNMVAIVRYAYDKRSNPQVGVAFPYIKDAYECLV YVQLPFMEDLRQYMFSSLKNNKKCTPTEAQLSAIDDLIDSMSLVKKNEEEDIVEDLFPTS KIPNPEFQRLYQCLLHRALHLQERLPPIQQHILNMLDPPTEMKAKCESPLSKVKTLFPLT EVIKKKNQVTAQDVFQDNHEEGPAAKKYKTEKEEDHISISSLAEGNITKVGSVNPVENFR FLVRQKIASFEEASLQLISHIEQFLDTNETLYFMKSMDCIKAFREEAIQFSEEQRFNSFL EALREKVEIKQLNHFWEIVVQDGVTLITKDEGPGSSITAEEATKFLAPKDKAKEDTTGPE EAGDVDDLLDMI >ENSMUSP00000108276.2 pep:known chromosome:GRCm38:14:12372565:12823079:-1 gene:ENSMUSG00000054423.13 transcript:ENSMUST00000112657.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps description:Ca2+-dependent secretion activator [Source:MGI Symbol;Acc:MGI:1350922] MLDPSSSEEESDEILEEERGKDVLGSAASGARLSPSRTSEGSAGSAGMGGSGAGAGVGAG GGGGSGASSGGGAGGLQPSSRAGGGRPSSPSPSVVSEKEKEELERLQKEEEERKKRLQLY VFVMRCIAYPFNAKQPTDMARRQQKISKQQLQTVKDRFQAFLNGETQIVADEAFMNAVQS YYEVFLKSDRVARMVQSGGCSANDSREVFKKHIEKRVRSLPEIDGLSKETVLSSWMAKFD AIYRGEEDPRKQQARMTASAASELILSKEQLYEMFQNILGIKKFEHQLLYNACQLDNPDE QAAQIRRELDGRLQMADQIARERKFPKFVSKEMENMYIEELKSSVNLLMANLESMPVSKG GEFKLQKLKRSHNASIIDMGEESENQLSKSDVLLSFSLEVVIMEVQGLKSLAPNRIVYCT MEVEGGEKLQTDQAEASKPTWGTQGDFSTTHALPAVKVKLFTESTGVLALEDKELGRVIL HPTPNSPKQSEWHKMTVSKNCPDQDLKIKLAVRMDKPQNMKHSGYLWTIGKNVWKRWKKR FFVLVQVSQYTFAMCSYREKKAEPQELLQLDGYTVDYTDPQPGLEGGRAFFNAVKEGDTV IFASDDEQDRILWVQAMYRATGQSHKPVPPTQVQKLNAKGGNVPQLDAPISQFCVNEADR AQKHGMDEFISSNPCNFDHASLFEMVQRLTLDHRLNDSYSCLGWFSPGQVFVLDEYCARN GVRGCHRHLCYLRDLLERAENGAMIDPTLLHYSFAFCASHVHGNRPDGIGTVTVEEKERF EEIKERLRVLLENQITHFRYCFPFGRPEGALKATLSLLERVLMKDIVTPVPQEEVKTVIR KCLEQAALVNYSRLSEYAKIEENQKDAENVGRLITPAKKLEDTIRLAELVIEVLQQNEEH HAEPHVDKGEAFAWWSDLMVEHAETFLSLFAVDMDAALEVQPPDTWDSFPLFQLLNDFLR TDYNLCNGKFHKHLQDLFAPLVVRYVDLMESSIAQSIHRGFERESWEPVKSLTSNLPNVN LPNVNLPKVPNLPVNIPLGIPQMPTFSAPSWMAAIYDADNGSGTSEDLFWKLDALQTFIR DLHWPEEEFGKHLEQRLKLMASDMIESCVKRTRIAFEVKLQKTSRSTDFRVPQSICTMFN VMVDAKAQSTKLCSMEMGQEHQYHSKIDELIEETVKEMITLLVAKFVTILEGVLAKLSRY DEGTLFSSFLSFTVKAASKYVDVPKPGMDVADAYVTFVRHSQDVLRDKVNEEMYIERLFD QWYNSSMNIICTWLTDRMDLQLHIYQLKTLIRMVKKTYRDFRLQGVLDSTLNSKTYETIR NRLTVEEATASVSEGGGLQGISMKDSDEEDEEDD >ENSMUSP00000108277.1 pep:known chromosome:GRCm38:14:12372565:12823079:-1 gene:ENSMUSG00000054423.13 transcript:ENSMUST00000112658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps description:Ca2+-dependent secretion activator [Source:MGI Symbol;Acc:MGI:1350922] MLDPSSSEEESDEILEEERGKDVLGSAASGARLSPSRTSEGSAGSAGMGGSGAGAGVGAG GGGGSGASSGGGAGGLQPSSRAGGGRPSSPSPSVVSEKEKEELERLQKEEEERKKRLQLY VFVMRCIAYPFNAKQPTDMARRQQKISKQQLQTVKDRFQAFLNGETQIVADEAFMNAVQS YYEVFLKSDRVARMVQSGGCSANDSREVFKKHIEKRVRSLPEIDGLSKETVLSSWMAKFD AIYRGEEDPRKQQARMTASAASELILSKEQLYEMFQNILGIKKFEHQLLYNACQLDNPDE QAAQIRRELDGRLQMADQIARERKFPKFVSKEMENMYIEELKSSVNLLMANLESMPVSKG GEFKLQKLKRSHNASIIDMGEESENQLSKSDVLLSFSLEVVIMEVQGLKSLAPNRIVYCT MEVEGGEKLQTDQAEASKPTWGTQGDFSTTHALPAVKVKLFTESTGVLALEDKELGRVIL HPTPNSPKQSEWHKMTVSKNCPDQDLKIKLAVRMDKPQNMKHSGYLWTIGKNVWKRWKKR FFVLVQVSQYTFAMCSYREKKAEPQELLQLDGYTVDYTDPQPGLEGGRAFFNAVKEGDTV IFASDDEQDRILWVQAMYRATGQSHKPVPPTQVQKLNAKGGNVPQLDAPISQFSGLKDAD RAQKHGMDEFISSNPCNFDHASLFEMVQRLTLDHRLNDSYSCLGWFSPGQVFVLDEYCAR NGVRGCHRHLCYLRDLLERAENGAMIDPTLLHYSFAFCASHVHGNRPDGIGTVTVEEKER FEEIKERLRVLLENQITHFRYCFPFGRPEGALKATLSLLERVLMKDIVTPVPQEEVKTVI RKCLEQAALVNYSRLSEYAKIEENQKDAENVGRLITPAKKLEDTIRLAELVIEVLQQNEE HHAEPHVDKGEAFAWWSDLMVEHAETFLSLFAVDMDAALEVQPPDTWDSFPLFQLLNDFL RTDYNLCNGKFHKHLQDLFAPLVVRYVDLMESSIAQSIHRGFERESWEPVKSLTSNLPNV NLPNVNLPKVPNLPVNIPLGIPQMPTFSAPSWMAAIYDADNGSGTSEDLFWKLDALQTFI RDLHWPEEEFGKHLEQRLKLMASDMIESCVKRTRIAFEVKLQKTSRSTDFRVPQSICTMF NVMVDAKAQSTKLCSMEMGQEHQYHSKIDELIEETVKEMITLLVAKFVTILEGVLAKLSR YDEGTLFSSFLSFTVKAASKYVDVPKPGMDVADAYVTFVRHSQDVLRDKVNEEMYIERLF DQWYNSSMNIICTWLTDRMDLQLHIYQLKTLIRMVKKTYRDFRLQGVLDSTLNSKTYETI RNRLTVEEATASVSEGGGLQGISMKDSDEEDEEDD >ENSMUSP00000136076.1 pep:known chromosome:GRCm38:14:12372565:12823079:-1 gene:ENSMUSG00000054423.13 transcript:ENSMUST00000177814.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps description:Ca2+-dependent secretion activator [Source:MGI Symbol;Acc:MGI:1350922] MLDPSSSEEESDEILEEERGKDVLGSAASGARLSPSRTSEGSAGSAGMGGSGAGAGVGAG GGGGSGASSGGGAGGLQPSSRAGGGRPSSPSPSVVSEKEKEELERLQKEEEERKKRLQLY VFVMRCIAYPFNAKQPTDMARRQQKISKQQLQTVKDRFQAFLNGETQIVADEAFMNAVQS YYEVFLKSDRVARMVQSGGCSANDSREVFKKHIEKRVRSLPEIDGLSKETVLSSWMAKFD AIYRGEEDPRKQQARMTASAASELILSKEQLYEMFQNILGIKKFEHQLLYNACQLDNPDE QAAQIRRELDGRLQMADQIARERKFPKFVSKEMENMYIEELKSSVNLLMANLESMPVSKG GEFKLQKLKRSHNASIIDMGEESENQLSKSDVLLSFSLEVVIMEVQGLKSLAPNRIVYCT MEVEGGEKLQTDQAEASKPTWGTQGDFSTTHALPAVKVKLFTESTGVLALEDKELGRVIL HPTPNSPKQSEWHKMTVSKNCPDQDLKIKLAVRMDKPQNMKHSGYLWTIGKNVWKRWKKR FFVLVQVSQYTFAMCSYREKKAEPQELLQLDGYTVDYTDPQPGLEGGRAFFNAVKEGDTV IFASDDEQDRILWVQAMYRATGQSHKPVPPTQVQKLNAKGGNVPQLDAPISQFYSSVKDA DRAQKHGMDEFISSNPCNFDHASLFEMVQRLTLDHRLNDSYSCLGWFSPGQVFVLDEYCA RNGVRGCHRHLCYLRDLLERAENGAMIDPTLLHYSFAFCASHVHGNRPDGIGTVTVEEKE RFEEIKERLRVLLENQITHFRYCFPFGRPEGALKATLSLLERVLMKDIVTPVPQEEVKTV IRKCLEQAALVNYSRLSEYAKIEGHQKTAENVGRLITPAKKLEDTIRLAELVIEVLQQNE EHHAEPHVDKGEAFAWWSDLMVEHAETFLSLFAVDMDAALEVQPPDTWDSFPLFQLLNDF LRTDYNLCNGKFHKHLQDLFAPLVVRYVDLMESSIAQSIHRGFERESWEPVKSLTSNLPN VNLPNVNLPKVPNLPVNIPLGIPQMPTFSAPSWMAAIYDADNGSGTSEDLFWKLDALQTF IRDLHWPEEEFGKHLEQRLKLMASDMIESCVKRTRIAFEVKLQKTSRSTDFRVPQSICTM FNVMVDAKAQSTKLCSMEMGQEHQYHSKIDELIEETVKEMITLLVAKFVTILEGVLAKLS RYDEGTLFSSFLSFTVKAASKYVDVPKPGMDVADAYVTFVRHSQDVLRDKVNEEMYIERL FDQWYNSSMNIICTWLTDRMDLQLHIYQLKTLIRMVKKTYRDFRLQGVLDSTLNSKTYET IRNRLTVEEATASVSEGGGLQGISMKDSDEEDEEDD >ENSMUSP00000064706.6 pep:known chromosome:GRCm38:14:12372563:12823079:-1 gene:ENSMUSG00000054423.13 transcript:ENSMUST00000067491.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadps description:Ca2+-dependent secretion activator [Source:MGI Symbol;Acc:MGI:1350922] MLDPSSSEEESDEILEEERGKDVLGSAASGARLSPSRTSEGSAGSAGMGGSGAGAGVGAG GGGGSGASSGGGAGGLQPSSRAGGGRPSSPSPSVVSEKEKEELERLQKEEEERKKRLQLY VFVMRCIAYPFNAKQPTDMARRQQKISKQQLQTVKDRFQAFLNGETQIVADEAFMNAVQS YYEVFLKSDRVARMVQSGGCSANDSREVFKKHIEKRVRSLPEIDGLSKETVLSSWMAKFD AIYRGEEDPRKQQARMTASAASELILSKEQLYEMFQNILGIKKFEHQLLYNACQLDNPDE QAAQIRRELDGRLQMADQIARERKFPKFVSKEMENMYIEELKSSVNLLMANLESMPVSKG GEFKLQKLKRSHNASIIDMGEESENQLSKSDVLLSFSLEVVIMEVQGLKSLAPNRIVYCT MEVEGGEKLQTDQAEASKPTWGTQGDFSTTHALPAVKVKLFTESTGVLALEDKELGRVIL HPTPNSPKQSEWHKMTVSKNCPDQDLKIKLAVRMDKPQNMKHSGYLWTIGKNVWKRWKKR FFVLVQVSQYTFAMCSYREKKAEPQELLQLDGYTVDYTDPQPGLEGGRAFFNAVKEGDTV IFASDDEQDRILWVQAMYRATGQSHKPVPPTQVQKLNAKGGNVPQLDAPISQFYADRAQK HGMDEFISSNPCNFDHASLFEMVQRLTLDHRLNDSYSCLGWFSPGQVFVLDEYCARNGVR GCHRHLCYLRDLLERAENGAMIDPTLLHYSFAFCASHVHGNRPDGIGTVTVEEKERFEEI KERLRVLLENQITHFRYCFPFGRPEGALKATLSLLERVLMKDIVTPVPQEEVKTVIRKCL EQAALVNYSRLSEYAKIEGKKREMYEHPVFCLASQVMDLTIQNVGRLITPAKKLEDTIRL AELVIEVLQQNEEHHAEAFAWWSDLMVEHAETFLSLFAVDMDAALEVQPPDTWDSFPLFQ LLNDFLRTDYNLCNGKFHKHLQDLFAPLVVRYVDLMESSIAQSIHRGFERESWEPVKSLT SNLPNVNLPNVNLPKVPNLPVNIPLGIPQMPTFSAPSWMAAIYDADNGSGTSEDLFWKLD ALQTFIRDLHWPEEEFGKHLEQRLKLMASDMIESCVKRTRIAFEVKLQKTSRSTDFRVPQ SICTMFNVMVDAKAQSTKLCSMEMGQEHQYHSKIDELIEETVKEMITLLVAKFVTILEGV LAKLSRYDEGTLFSSFLSFTVKAASKYVDVPKPGMDVADAYVTFVRHSQDVLRDKVNEEM YIERLFDQWYNSSMNIICTWLTDRMDLQLHIYQLKTLIRMVKKTYRDFRLQGVLDSTLNS KTYETIRNRLTVEEATASVSEGGGLQGISMKDSDEEDEEDD >ENSMUSP00000039810.4 pep:known chromosome:GRCm38:13:68560780:68582121:-1 gene:ENSMUSG00000034617.6 transcript:ENSMUST00000045827.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtrr description:5-methyltetrahydrofolate-homocysteine methyltransferase reductase [Source:MGI Symbol;Acc:MGI:1891037] MRRFLLLYATQRGQAKAIAEEISEQAVSHGFSADLHCISESEKYDLKTETGPLVMVVSTT GTGDPPDTARKFVKEIHNKTLPTDYFAHLQYGLLGLGDSEYTYFCNGGKVIDKRLQELGA QRFYDTGHADDCVGLELVVEPWIDGLWAALTKHFKSLGGQENMSDTLSRASDAPLSTAMK PELLHIQSQVELLRLEDMGERDSELREQNETNRGQQGRIEDFDSSLVHSVPPLSQSSLSI PAVPPEYLEVHLQESLGQEENQASVPSGDPSFQVPISKAIRLTTNDAVKSTLLLELDISK IEFSHQPGDSFNVTCPNSDREVEELLQRLQLADKRAHRVILKIKTDTKKKGAALPAHVPE GRSLQFILTWCLEIRAVPKKAFLRALAEHTSSATEKRRLQELCSKQGAADYNRFIRDASV CLLDLLLTFPSCQPPLSLLLEHLPKLQPRPYSCASSSLRHPDKLHFVFNIVEFPPSTTAA SLRKGVCTGWLATLVAPFLQPNTDVSNVDSGDALAPEIRISPRATNAFHLPEDPSAPIIM VGPGTGVAPFVGFLQHREKLQEQHPDGKFGAMWLFFGCRHKDRDYLFREELRHFLKTGVL THLKVSFSRDAAPDGEEAPAKYVQDNLQRHSQQVARTLLQENGYVYVCGDAKNMAKDVND TLIGIISNEAGVDKLEAMKTLATLKQEKRYLQDIWS >ENSMUSP00000104931.1 pep:known chromosome:GRCm38:8:106059603:106130537:1 gene:ENSMUSG00000031902.9 transcript:ENSMUST00000109308.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc3 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 3 [Source:MGI Symbol;Acc:MGI:103296] MTTANCGAHDELDFKLVFGEDGAPAPVSQVSRPADLEPDDCASIYIFNVDPPPSTLNSSL GLPHHGLLQSHSSVLSPSFQLQGYKNYEGTGDISESKYSPLGGPKPFECPSIQITSISPN CHQGTDAHEDDLHINDPEREYLERPSRDHLYLPLEPSYRESSLSPSPASSISSRSWFSDA SSCESLSHIYDDVDSELNEAAARFTLGSPLTSPGGSPGGCPGEESWHQQYGSGHSLSPRQ SPCHSPRSSITDENWLSPRPASGPSSRPTSPCGKRRHSSAEVCYAGSLSPHHSPVPSPGH SPRGSVTEDTWLTAPVHTGSGLSPAPFPFQYCVETDIPLKTRKTSEDQAAILPGKLEICS DDQGNLSPSRETSVDDGLGSQYPLKKDSSGDQFLSVPSPFTWSKPKPGHTPIFRTSSLPP LDWPLPTHFGQCELKIEVQPKTHHRAHYETEGSRGAVKASTGGHPVVKLLGYSEKPINLQ MFIGTADDRYLRPHAFYQVHRITGKTVATASQEIIIASTKVLEIPLLPENNMSASIDCAG ILKLRNSDIELRKGETDIGRKNTRVRLVFRVHIPQPSGKVLSLQIASIPVECSQRSAQEL PHIEKYSINSCSVNGGHEMIVTGSNFLPESKIIFLEKGQDGRPHWEAEGKIIREKCQGAH IVLEVPPYHNPAVTSAVQVHFYLCNGKRKKSQSQRFTYTPVLMKQEQREDTDLPSVPSLP VPHSAQAQRPSSETGHPHDRAMSAPGSLLCQVQPAYTSMVASTHLPQLQCRDEGAGKEQH IATSSVMHQPFQVTPTSPIGSSYQSIQTSMYNGPTCLPVNPASSQEFDPVLFQQDAALSS LVNLGCQPLSPIPFHSSNSDATGHLLAHSPHSVQTPPHLQSMGYHCSNAGQTALSSPVAD QITGQPSSHLQPITYCPSHPGSATAASPAASHPLASSPISGPSSPQLQPMPYQSPSSGTA SSPSPTTRMHSGQHSTQAQSTGQGGLSVPSSLVCHSLCDPASFPPGGATVSIKPEPEDQE PNFATIGLQDITLDDVNEIIGRDMSQISVSQATEVMRDTPLPGPASPDLMTSHSAQ >ENSMUSP00000059575.6 pep:known chromosome:GRCm38:10:75935573:75937725:1 gene:ENSMUSG00000049422.6 transcript:ENSMUST00000058906.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd10 description:coiled-coil-helix-coiled-coil-helix domain containing 10 [Source:MGI Symbol;Acc:MGI:2143558] MPRGSRSAAARPVSRPAPPPAHPPPSAAAPAPAAPGQPGLMAQMASTAAGVAVGSAVGHV MGSALTSAFSGGNSEPAQPAVQQAPARPASHPLQMGPCSYEIKQFLDCSTTQSDLTLCEG FSEALKQCKYNHGLSSLP >ENSMUSP00000037867.3 pep:known chromosome:GRCm38:15:54410774:54466358:1 gene:ENSMUSG00000038591.3 transcript:ENSMUST00000036737.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Colec10 description:collectin sub-family member 10 [Source:MGI Symbol;Acc:MGI:3606482] MNGFRVLLRSNLSMLLLLALLHFQSLGLDVDSRSAAEVCATHTISPGPKGDDGERGDTGE EGKDGKVGRQGPKGVKGELGDMGAQGNIGKSGPIGKKGDKGEKGLLGIPGEKGKAGTICD CGRYRKVVGQLDISVARLKTSMKFIKNVIAGIRETEEKFYYIVQEEKNYRESLTHCRIRG GMLAMPKDEVVNTLIADYVAKSGFFRVFIGVNDLEREGQYVFTDNTPLQNYSNWKEEEPS DPSGHEDCVEMLSSGRWNDTECHLTMYFVCEFVKKKK >ENSMUSP00000096982.2 pep:known chromosome:GRCm38:13:73937838:73960894:1 gene:ENSMUSG00000057649.5 transcript:ENSMUST00000099384.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd9 description:bromodomain containing 9 [Source:MGI Symbol;Acc:MGI:2145317] MGKKHKKHKAEWRSSYEDYTDTPLEKPLKLVLKVGGSEVTELSGSGHDSSYYDDRSDHER ERHREKKKKKKKKSEKEKHLDEEERRKRKEEKKRKREKEHCDSEGEADAFDPGKKVEVEP PPDRPVRACRTQPAENESTPIQRLLEHFLRQLQRKDPHGFFAFPVTDAIAPGYSMIIKHP MDFGTMKDKIVANEYKSVTEFKADFKLMCDNAMTYNRPDTVYYKLAKKILHAGFKMMSKQ AALLGSEDPAAEEPVPEVVPVQVETTKKSKKPSREVISCMFEPEGNACSLTDSTAEEHVL ALVEHAADEARDRINRFLPGGKMGYLKKLGDGSLLYSVVNAPEPDADEEETHPVDLSSLS SKLLPGFTTLGFKDERRNKVTFLSSASTALSMQNNSVFGDLKSDEMELLYSAYGDETGVQ CALSLQEFVKDAGSYSKKMVDDLLDQITGGDHSRMIFQLKQRRSIPMRPADEMKVGDPLG ESGGPVLDFMSMKQYPDVSLDVSMLSSLGKVKKELDHEDSHLNLDETARLLQDLHEAQAE RGGSRPSSNLSSLSTASEREHPPPGSPSRLSVGEQPDVAHDPYEFLQSPEPAAPAKN >ENSMUSP00000093197.3 pep:known chromosome:GRCm38:10:75636619:75644008:-1 gene:ENSMUSG00000006342.14 transcript:ENSMUST00000095541.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd2 description:sushi domain containing 2 [Source:MGI Symbol;Acc:MGI:1918983] MKLALLPWILMLLSTIPGPGFTAGAQGSCSLRCGAQDGLCSCHPTCSGLGTCCEDFLDYC LEILPSSGSMMGGKDFVVQHLKWTDPTDGVICRFKESIQTLGYVDDFYQVHCISPLLYES GYIPFTISMDNGRSFPHAGTWLAAHPYKVSESEKSQLVNETHWQYYGTSDTRGNLNLTWD TSALPTPAVTIELWGYEETGKPYSGNWTSKWSYLYPLATNIPNTGFFTFTPKPASPQYQR WKVGALRISSSRNYPGEKDVRALWTNDHALAWHLGDDFRADSVAWARAQCLAWEALEDQL PNFLTELPDCPCTLAQARADSGRFFTDYGCDIEHGSVCTYHPGAVHCVRSVQASPRYGSG QQCCYTAAGTQLLTSDSTSGSTPDRGHDWGAPPYRTPPRVPGMSHWLYDVISFYYCCLWA PECPRYMKRRPSSDCRNYRPPRLASAFGDPHFVTFDGTSFSFSGNGEYVLLETTLSDLRV QGRAQPGRMPNGTQARGTGLTAVAVQEDNSDVIEVRLAGGSRVLEVLLNQKVLSFTEQNW MDLKGMFLSVAAQDKVSIMLSSGAGLEVGVQGPFLSVSILLPEKFLTHTRGLLGTLNNNP RDDFTLRNGQVLPLNASAQQVFQFGADWAVSNTSSLFTYDSWLLVYQFVYGPKHNPNFKP LFPDETTLSPSQTEDVARLCEGDRFCILDVMSTGSSSVGNATRIAHQLHQHRLKSLQPVV SCGWLPPPANGHKEGLRYLEGSVVRFSCNNGYSLVGPESSTCQADGKWSMPTPECQPGRS YTVLLSIIFGGLAIVALISIIYMMLHRRRKSNMTMWSSQP >ENSMUSP00000076802.4 pep:known chromosome:GRCm38:10:75636619:75644008:-1 gene:ENSMUSG00000006342.14 transcript:ENSMUST00000077610.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd2 description:sushi domain containing 2 [Source:MGI Symbol;Acc:MGI:1918983] MKLALLPWILMLLSTIPGPGFTAAHPYKVSESEKSQLVNETHWQYYGTSDTRGNLNLTWD TSALPTPAVTIELWGYEETGKPYSGNWTSKWSYLYPLATNIPNTGFFTFTPKPASPQYQR WKVGALRISSSRNYPGEKDVRALWTNDHALAWHLGDDFRADSVAWARAQCLAWEALEDQL PNFLTELPDCPCTLAQARADSGRFFTDYGCDIEHGSVCTYHPGAVHCVRSVQASPRYGSG QQCCYTAAGTQLLTSDSTSGSTPDRGHDWGAPPYRTPPRVPGMSHWLYDVISFYYCCLWA PECPRYMKRRPSSDCRNYRPPRLASAFGDPHFVTFDGTSFSFSGNGEYVLLETTLSDLRV QGRAQPGRMPNGTQARGTGLTAVAVQEDNSDVIEVRLAGGSRVLEVLLNQKVLSFTEQNW MDLKGMFLSVAAQDKVSIMLSSGAGLEVGVQGPFLSVSILLPEKFLTHTRGLLGTLNNNP RDDFTLRNGQVLPLNASAQQVFQFGADWAVSNTSSLFTYDSWLLVYQFVYGPKHNPNFKP LFPDETTLSPSQTEDVARLCEGDRFCILDVMSTGSSSVGNATRIAHQLHQHRLKSLQPVV SCGWLPPPANGHKEGLRYLEGSVVRFSCNNGYSLVGPESSTCQADGKWSMPTPECQPGRS YTVLLSIIFGGLAIVALISIIYMMLHRRRKSNMTMWSSQP >ENSMUSP00000092988.3 pep:known chromosome:GRCm38:8:34115030:34125185:1 gene:ENSMUSG00000071113.3 transcript:ENSMUST00000095345.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mboat4 description:membrane bound O-acyltransferase domain containing 4 [Source:MGI Symbol;Acc:MGI:2685017] MDWLQLFFLHPLSFYQGAAFPFALLFNYLCILDTFSTRARYLFLLAGGGVLAFAAMGPYS LLIFIPALCAVALVSFLSPQEVHRLTFFFQMGWQTLCHLGLHYTEYYLGEPPPVRFYITL SSLMLLTQRVTSLSLDICEGKVEAPRRGIRSKSSFSEHLWDALPHFSYLLFFPALLGGSL CSFRRFQACVQRSSSLYPSISFRALTWRGLQILGLECLKVALRSAVSAGAGLDDCQRLEC IYLMWSTAWLFKLTYYSHWILDDSLLHAAGFGAEAGQGPGEEGYVPDVDIWTLETTHRIS LFARQWNRSTALWLRRLVFRKSRRWPLLQTFAFSAWWHGLHPGQVFGFLCWSVMVKADYL IHTFANVCIRSWPLRLLYRALTWAHTQLIIAYIMLAVEGRSLSSLCQLCCSYNSLFPVMY GLLLFLLAERKDKRN >ENSMUSP00000022136.6 pep:known chromosome:GRCm38:13:100617165:100651059:-1 gene:ENSMUSG00000021635.13 transcript:ENSMUST00000022136.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad17 description:RAD17 homolog (S. pombe) [Source:MGI Symbol;Acc:MGI:1333807] MSETFLRPKVSSTKVTDWVAPAFDDFEANTAITTITASSLTFSNSSHRRKYLPSTLESNR LSARKRGRLSLEQTHGLETSRERLSDNEPWVDKYKPETQHELAVHKKKIEEVETWLKAQV LEVKPKQGGSVLLITGPPGCGKTTTIKILSKELGIQVQEWVNPILPDFQKDDYKELLSLE SNFSVVPYQSQIAVFNDFLLRATKYSKLQMLGDDLTTDKKIILVEELPNQFYRDPNALHE ILRKHVQIGRCPLVFIVSDSVSGDNNQRLLFPRNIQEECSVSNISFNPVAPTIMMKFLNR IVTIEASKNGEKIIVPNKTSLELLCQGCSGDIRSAINSLQFSSSKGENSSWSKKKRMSLK SDAAISKSKQKKKHNSTLENQEIQAIGGKDVSLFLFRALGKILYCKRAPLTELDSPRLPA HLSEHDRDTLLVQPEEIVEMSHMPGDFFNLYLHQNYIDFFAEVDDLVPASEFLSFADILG GDWNTRSLLREYSTSVATRGVMHSNKARGFAHCQGGSSFRPLHKPQWFLIQKKYRENCLA AKALFVDFCLPALCLQTQLLPYLALLTIPMRNKAQISFIQDVGRLPLKRSFGRLKMEALT DRELGLIDPDSGDESPHSGGQPAQEAPGEPAQAAQNADPETWSLPLSQNSGSDLPASQPQ PFSSKVDMEEEEEEEEDIIIEDYDSEET >ENSMUSP00000136292.1 pep:known chromosome:GRCm38:13:100617165:100651059:-1 gene:ENSMUSG00000021635.13 transcript:ENSMUST00000177848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad17 description:RAD17 homolog (S. pombe) [Source:MGI Symbol;Acc:MGI:1333807] MSETFLRPKVSSTKVTDWVAPAFDDFEANTAITTITASSLTFSNSSHRRKYLPSTLESNR LSARKRGRLSLEQTHGLETSRERLSDNEPWVDKYKPETQHELAVHKKKIEEVETWLKAQV LEVKPKQGGSVLLITGPPGCGKTTTIKILSKELGIQVQEWVNPILPDFQKDDYKELLSLE SNFSVVPYQSQIAVFNDFLLRATKYSKLQMLGDDLTTDKKIILVEELPNQFYRDPNALHE ILRKHVQIGRCPLVFIVSDSVSGDNNQRLLFPRNIQEECSVSNISFNPVAPTIMMKFLNR IVTIEASKNGEKIIVPNKTSLELLCQGCSGDIRSAINSLQFSSSKGENSSWSKKKRMSLK SDAAISKSKQKKKHNSTLENQEIQAIGGKDVSLFLFRALGKILYCKRAPLTELDSPRLPA HLSEHDRDTLLVQPEEIVEMSHMPGDFFNLYLHQNYIDFFAEVDDLVPASEFLSFADILG GDWNTRSLLREYSTSVATRGVMHSNKARGFAHCQGGSSFRPLHKPQWFLIQKKYRENCLA AKALFVDFCLPALCLQTQLLPYLALLTIPMRNKAQISFIQDVGRLPLKRSFGRLKMEALT DRELGLIDPDSGDESPHSGGQPAQEAPGEPAQAAQNADPETWSLPLSQNSGSDLPASQPQ PFSSKVDMEEEEEEEEDIIIEDYDSEET >ENSMUSP00000034164.4 pep:known chromosome:GRCm38:8:109671322:109693294:-1 gene:ENSMUSG00000031729.5 transcript:ENSMUST00000034164.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ist1 description:increased sodium tolerance 1 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1919205] MLGSGFKAERLRVNLRLVINRLKLLEKKKTELAQKARKEIADYLAAGKDERARIRVEHII REDYLVEAMEILELYCDLLLARFGLIQSMKELDSGLAESVSTLIWAAPRLQSEVAELKIV ADQLCAKYSKEYGKLCRTNQIGTVNDRLMHKLSVEAPPKILVERYLIEIAKNYNVPYEPD SVVMAEAPVGVETDLIDVGFTDDVKKGGPGRGGGGGFTAPVGGPDGIVPMPMPMPMPSPN APFAYPLPKGPSDFSGLPVGTYQAFPNIHPPQIPATPPSYESVDDINGDKTVSSAQIVGP KPEAPAKPPSRPVDNYNTFVLPELPSVPDTLPTASAGASTSASEDIDFDDLSRRFEELKK KT >ENSMUSP00000110389.2 pep:known chromosome:GRCm38:18:77794545:77882007:1 gene:ENSMUSG00000025429.8 transcript:ENSMUST00000114741.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pstpip2 description:proline-serine-threonine phosphatase-interacting protein 2 [Source:MGI Symbol;Acc:MGI:1335088] MTGSLFKGNFWSTDILSTIGYDSIIQHLNNGRKNCKEFEDFLKERASIEEKYGKDLLNLS RKKPCGQSEINTLKRALEVFKQQVDNVAQCHIQLAQTLREEARKMEEFREKQKLQRKKTE TIMDAAHKQRNAQFKKAMDAKKNYEQKCRDKDEAEQAVHRSANVANQRQQEKLFVKLATS KTAVEDSDKAYMLHINMLEKVREDWQSEHIKACEVFEAQECERINFFRNALWLHLNQLSQ QCVANDEMYEQVRKSLETCSIEKDIQYFVNQRKTGQTPPAPIMYENFYSPQRNAAPPGKT TGPNPARRGPLPVPKRIPDDPDYSVVEDYSLLYQ >ENSMUSP00000022591.8 pep:known chromosome:GRCm38:14:77904239:78002656:1 gene:ENSMUSG00000022014.14 transcript:ENSMUST00000022591.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epsti1 description:epithelial stromal interaction 1 (breast) [Source:MGI Symbol;Acc:MGI:1915168] MYTRSKVVGPGLGTSSISRDHAGAGQRRELGLQQNRRQSLEVAAPEGPKMERQGHADQGS AGTYTLIAPNESRRQKIQRIAEQELADLERWKQQNRAKPVYLVPQRLGGSQSEAEVRQKQ QLQQMRSKYQQKLKRDESIRIRKEAEEAKFQKMKAIQREKSNKLEEKKQLQEDIRRATFR EHHQSKTAELLSRLDTERRNRSACLIAPPATQSSRWKLPVLLRDPSWAGSQAHRDSPQKE DNPRLQKTRDGHQKNKLLETKGQHQEEERAQIHQAEHWRVNNAFLDRLQGKSQPGGLEQS GGCCNMNSTDSWGI >ENSMUSP00000130138.1 pep:known chromosome:GRCm38:14:77904407:77964174:1 gene:ENSMUSG00000022014.14 transcript:ENSMUST00000169978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epsti1 description:epithelial stromal interaction 1 (breast) [Source:MGI Symbol;Acc:MGI:1915168] MYTRSKVVGPGLGTSSISRDHAGAGQRRELGLQQNRRQSLEVAAPEGPKMERQGHADQGS AGTYTLIAPNESRRQKIQRIAEQELADLERWKQQNRAKPVYLVPQRLGGSQSEAEVRQKQ QLQQMRSKYQQKLKRDESIRIRKEAEEAKFQKMKAIQREKSNKLEEKKQLQEDIRRATFR EHHQSKTAELLSRLDTERRNRSACLIAPPATQSSRW >ENSMUSP00000077830.2 pep:known chromosome:GRCm38:9:19360415:19361356:-1 gene:ENSMUSG00000058692.3 transcript:ENSMUST00000078774.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr846 description:olfactory receptor 846 [Source:MGI Symbol;Acc:MGI:3030680] MEPGNQTGAYYFYLTELTSDPTMELLIFSLFLFIYLVTILGNLLIIIAVSSDSHLQTPMY HFLSKLSFADICLSTTTIPNMLKNIHTQDQSISYTGCLIQACFVLNFALVESCILAAMAY DRYAAICHPLNYTVIMNPHFCDLLILLSLIISIVNSLLQCLMILRLSFCTNNELPLFFCE LAQVIKLACSDTLINYILIYLATFIFGGIPIFGIIFSYTRIVSSILKISSLRGKYKAFST CGSHLSVVSLFYGAGVGVYISSSIAVFPQTTTVSYIMYTVLPQMLNPFIYSLRNKDMKEA LRKLIAKESRLP >ENSMUSP00000033936.6 pep:known chromosome:GRCm38:8:22624043:22627536:1 gene:ENSMUSG00000031535.6 transcript:ENSMUST00000033936.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dkk4 description:dickkopf WNT signaling pathway inhibitor 4 [Source:MGI Symbol;Acc:MGI:2385299] MVLVTLLGLSWFCSPLAALVLDFNNIKSSADVQGAGKGSLCASDRDCSEGKFCLAFHDER SFCATCRRVRRRCQRSAVCCPGTVCVNDVCTAVEDTRPVMDRNTDGQDGAYAEGTTKWPA EENRPQGKPSTKKSQSSKGQEGESCLRTSDCGPGLCCARHFWTKICKPVLREGQVCSRRG HKDTAQAPEIFQRCDCGPGLTCRSQVTSNRQHSRLRVCQRI >ENSMUSP00000001040.5 pep:known chromosome:GRCm38:9:21029373:21030531:1 gene:ENSMUSG00000001014.5 transcript:ENSMUST00000001040.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icam4 description:intercellular adhesion molecule 4, Landsteiner-Wiener blood group [Source:MGI Symbol;Acc:MGI:1925619] MESALLLPSLLLVAAYPRGGSPQQEWMQSPPAPSVTSAPFWVRLNPELEAVPPGGSAWLN CSHNCPLPVHSSLRTQLRQGKIVNGSGWVSYQLLDVRAWNSKVRCVVTCAGETREATARI TAYKRPRSVILEPPVLVGHKYTLRCYVTHVFPVGFLVVSLRRGGRVIYHESLERFTGSDL ANVTLTYVMRAGLNDLWQPLTCHARLNLDGLVVRSSSAPVMLTVLALSPASIALASTSIA TLVGILLAVGAVYVRKYLAVQT >ENSMUSP00000112338.2 pep:known chromosome:GRCm38:18:51117898:51304641:1 gene:ENSMUSG00000073565.4 transcript:ENSMUST00000116639.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr16 description:proline rich 16 [Source:MGI Symbol;Acc:MGI:1918623] MSAKSKGNPSSSSAAEGPPAASKTKVKEQIKIIVEDLELVLGDLKDVAKELKEVVDQIDT LTSDLQLEDEMTDSSKTDTLNSSSSGTTASSIEKIKEQANAPLIKPPAHPSAILTVLRKP NPPPPPPRLTPVRCEEPQRVVPTANPVKTNGTLLRNGGLAGRPNKIPNGDICCIPNSNLD KAPLQSLMHRPEKDRSPQAGPRERVRFNEKVQYHGYCPDCESRYNIKNREVHLHSEPVHP PGKIPHQGPLLHPPPHLPNFPLENGGLGISHSNSFPPPTPATVPPPAAPKPQKTILRKST TTTV >ENSMUSP00000086167.3 pep:known chromosome:GRCm38:15:89253035:89286261:1 gene:ENSMUSG00000036561.7 transcript:ENSMUST00000088788.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp6r2 description:protein phosphatase 6, regulatory subunit 2 [Source:MGI Symbol;Acc:MGI:1918724] MFWKFDLNTTSHVDKLLDKEHVTLQELMDEDDILQECKAQNQKLLDFLCRQQCMEELVNL ITQDPPQDMEEKVRFKYPNTACELLTCDVPQISDRLGEDESLLNLLYDFLDQEPPLNPLL ASFFSKTIGNLIARKTEQVIMFLKKKEKFISQLLKHIGTSALMDLLLRLVSCVEPVGLRQ EVLHWLNEEKIIQRIVALIHPHQDEDRQSNASQALCDIIRLGRDQGSQLQETVEPDPLLI TLESQDCVEQLLKNMFDGDQTESCLVSGMQVLLALLEPRRVGTEGLVDSFSQGLERSHSV SSSILRGIEPWLKNFHQLLLNPPKKKAILTTIGVLEEPLGNARLHGARLMAALLHTNTPG INQELCRLNTMDLLLDLFFKYTWNNFLHLQVELCIAAILSHAAREEQAEASGSDGKVEPL QGSGDGNGKLETTPSITSPPENTMVTHLFQKCCLVQRILEAWEANDHTQAAGGMRRGNMG HLTRIANAVVQNLEQGPVQAHISEVIRGLPADCRGRWESFVEETLMETNRRNTVDLAFSE YQIQQMTANFVDQFGFNDEEFADQDDNINAPFDRIAEINFNIEADEDSPSAALFEACCSD RIQPFDDDEEEDIWEDDETRCAARVMARARFGAPHVSDNYSKNALEHGGQDRKTGSAVAR NVPGLAAPSSPTQKEGPRSESDSAGTTWTAVFDEPVNPLSATPGAARDVGSSAWAAGPSV VEEKGWAKFTDFQPFCCSETGPRCSSPVDMDHSNAEGGQSPGPEKTFGPTSPCAWNVCVT RKAPLVASDSSSSGGSDSEDDEKAAGAVEAVCTGHTGKVSPPPRTAEAAVGRAECPDSTV LAPACPAPSEVTISPAVATIAPSKAGSPTATIVVSSSVAAAVPPGPIVAVTTAAPAIVAT LGTMTKDRKADALPEGAALNGPV >ENSMUSP00000136303.1 pep:novel scaffold:GRCm38:GL456221.1:32239:47294:1 gene:ENSMUSG00000095500.1 transcript:ENSMUST00000178309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC132444.5 MFTFMYMKCWRRAFSKALVRHFKENKVEIASAITKPFPFLMSLRDRDFISEQKFQEYQGT CRNLLPVERVVYDILSNVQKKFSQDLLKVIFSKTHLK >ENSMUSP00000062120.7 pep:known chromosome:GRCm38:9:22411577:22444677:1 gene:ENSMUSG00000036411.9 transcript:ENSMUST00000058868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9530077C05Rik description:RIKEN cDNA 9530077C05 gene [Source:MGI Symbol;Acc:MGI:1915533] MLESIRVTEKLHWPEHELAKKFVLNAEEALITDSKRSFSSLSSGILKDTFTTGTSSYNVL LQSKEEKKHRSQKRFSSASSKQHRKPSKSPSSSHSKDPSRMTALVPGTGAGTWYCLDGQS AVFVTSSVPSPVKFTRDISITGSGLALPPKPKSKVKRRNLTRLPKPKQQPQLCRSFERGD DISGKKLCILTAIKPINLEKEKLRFFKSDYTYNPQFEYANPTLPGVLAKHSNASDRFLKQ SINIMELTLQKYGSYEKFEQATGGSLLSKTRIWSHVRKYMVKEGCLGEIVVHLTEDLLSR ASMTVVNGCPTLTINISTAREHWLEGMLRHEIGTHYFRGINNLQQPWNSWIGRKKHELKP NNPTEEGLASIHSVLFRKDPFLWRAALLYYTVYKASHMSFCELFKDIGKFVKDPNTRWDY CVRAKRGWTDTSEPGCFSKDQVYLDGVLQILRFRESIDFHLLTALGKVSYEDVDRLKELA VTENMRVPHFLHDHSRYMEHLERIMEVNELTDAELKNLI >ENSMUSP00000044769.4 pep:known chromosome:GRCm38:10:44268358:44364291:1 gene:ENSMUSG00000038160.6 transcript:ENSMUST00000039286.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg5 description:autophagy related 5 [Source:MGI Symbol;Acc:MGI:1277186] MTDDKDVLRDVWFGRIPTCFTLYQDEITEREAEPYYLLLPRVSYLTLVTDKVKKHFQKVM RQEDVSEIWFEYEGTPLKWHYPIGLLFDLLASSSALPWNITVHFKSFPEKDLLHCPSKDA VEAHFMSCMKEADALKHKSQVINEMQKKDHKQLWMGLQNDRFDQFWAINRKLMEYPPEEN GFRYIPFRIYQTTTERPFIQKLFRPVAADGQLHTLGDLLREVCPSAVAPEDGEKRSQVMI HGIEPMLETPLQWLSEHLSYPDNFLHISIVPQPTD >ENSMUSP00000137232.1 pep:known chromosome:GRCm38:3:90775946:90777876:-1 gene:ENSMUSG00000096621.1 transcript:ENSMUST00000180151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5849 description:predicted gene 5849 [Source:MGI Symbol;Acc:MGI:3645655] KSQMEQAMENIIKIFHQHSAKEGDPDTLSQKEFKDLVNDDMPNSFKKEKKDQKAMKYMME DFDTNEDATLSFDEFVELIRKVLFKRHEASHEQASAHGHGHSPRHGAGIRKNDNGHC >ENSMUSP00000133140.1 pep:known chromosome:GRCm38:13:77708690:78166238:1 gene:ENSMUSG00000064138.13 transcript:ENSMUST00000163257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam172a description:family with sequence similarity 172, member A [Source:MGI Symbol;Acc:MGI:1915925] MSISLSSLIFLPIWINMAQMQQGGSNETEQTAALKDLLSRIDLDELMKKDEPPFDFPDTL EGFEYAFNEKGQLRHIKTGEPFVFNYREDLHRWNQKRYEALGEIITRYVYELLESDCNLK KISIPVDATESEPKSFIFMSEDALTNPQKLMVLIHGSGVVRAGQWARRLIINEDLDSGTQ IPFIKRAMDEGYGVIVLNPNENYIEVEKQKMHKQSSSSDGTDEPAGKRERRDKVSKETKK RRDFYEKYRNPQKEKEMMQLFIRENGSPEEHAVYVWDHFIAQAAAENVFFVAHSYGGLAF VELMIQREADVKSKVTAVALTDSVHNVWHQEAGKTIREWMRENCCNWVSSSEPLDTSVES MLPDCPRVSAGTDRHELTSWKSFPSIFKFFAEASEAKSSSQKPALTRRSHRIKHEEL >ENSMUSP00000089038.4 pep:known chromosome:GRCm38:13:77708690:78166238:1 gene:ENSMUSG00000064138.13 transcript:ENSMUST00000091459.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam172a description:family with sequence similarity 172, member A [Source:MGI Symbol;Acc:MGI:1915925] MKKDEPPFDFPDTLEGFEYAFNEKGQLRHIKTGEPFVFNYREDLHRWNQKRYEALGEIIT RYVYELLESDCNLKKISIPVDATESEPKSFIFMSEDALTNPQKLMVLIHGSGVVRAGQWA RRLIINEDLDSGTQIPFIKRAMDEGYGVIVLNPNENYIEVEKQKMHKQSSSSDGTDEPAG KRERRDKVSKETKKRRDFYEKYRNPQKEKEMMQLFIRENGSPEEHAVYVWDHFIAQAAAE NVFFVAHSYGGLAFVELMIQREADVKSKVTAVALTDSVHNVWHQEAGKTIREWMRENCCN WVSSSEPLDTSVESMLPDCPRVSAGTDRHELTSWKSFPSIFKFFAEASEAKSSSQKPALT RRSHRIKHEEL >ENSMUSP00000096960.3 pep:known chromosome:GRCm38:13:77708690:78166238:1 gene:ENSMUSG00000064138.13 transcript:ENSMUST00000099358.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam172a description:family with sequence similarity 172, member A [Source:MGI Symbol;Acc:MGI:1915925] MKKDEPPFDFPDTLEGFEYAFNEKGQLRHIKTGEPFVFNYREDLHRWNQKRYEALGEIIT RYVYELLESDCNLKKISIPEGYGVIVLNPNENYIEVEKQKMHKQSSSSDGTDEPAGKRER RDKVSKETKKRRDFYEKYRNPQKEKEMMQLFIRENGSPEEHAVYVWDHFIAQAAAENVFF VAHSYGGLAFVELMIQREADVKSKVTAVALTDSVHNVWHQEAGKTIREWMRENCCNWVSS SEPLDTSVESMLPDCPRVSAGTDRHELTSWKSFPSIFKFFAEASEAKSSSQKPALTRRSH RIKHEEL >ENSMUSP00000106567.3 pep:known chromosome:GRCm38:12:24831599:24960301:1 gene:ENSMUSG00000020646.16 transcript:ENSMUST00000110942.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mboat2 description:membrane bound O-acyltransferase domain containing 2 [Source:MGI Symbol;Acc:MGI:1914466] MATTSTTGSTLLQPLSNAVQLPIDQVNFVVCQLFALLAAVWFRTYLHSSKTSSFIRHVVA TLLGLYLAFFCFGWYALHFLVQSGISYCIMIIAGVESMQQCCFVFALGYLSVCQITRVYI FDYGQYSADFSGPMMIITQKITSLAYEIHDGMFRKDEELTPSQRGLAVRRMPSLLEYVSY TCNFMGILAGPLCSYKDYIAFIEGRASHVAQPSENGKDEQHGKADPSPNAAVTEKLLVCG LSLLFHLTISNMLPVEYNIDEHFQATASWPTKATYLYVSLLAARPKYYFAWTLADAINNA AGFGFRGYDKNGVARWDLISNLRIQQIEMSTSFKMFLDNWNIQTALWLKRVCYERATFSP TIQTFFLSAIWHGVYPGYYLTFLTGVLMTLAARAVRNNFRHYFLEPPQLKLFYDLITWVA TQITISYTVVPFVLLSIKPSFTFYSSWYYCLHVCSILVLLLLPVKKSQRRTSTQENVHLS QAKKFDERDNPLGQNSFSTMNNVCNQNRDTGSRHSSLTQ >ENSMUSP00000077937.6 pep:known chromosome:GRCm38:12:24831599:24960301:1 gene:ENSMUSG00000020646.16 transcript:ENSMUST00000078902.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mboat2 description:membrane bound O-acyltransferase domain containing 2 [Source:MGI Symbol;Acc:MGI:1914466] MATTSTTGSTLLQPLSNAVQLPIDQVNFVVCQLFALLAAVWFRTYLHSSKTSSFIRHVVA TLLGLYLAFFCFGWYALHFLVQSGISYCIMIIAGVESMQHPMMIITQKITSLAYEIHDGM FRKDEELTPSQRGLAVRRMPSLLEYVSYTCNFMGILAGPLCSYKDYIAFIEGRASHVAQP SENGKDEQHGKADPSPNAAVTEKLLVCGLSLLFHLTISNMLPVEYNIDEHFQATASWPTK ATYLYVSLLAARPKYYFAWTLADAINNAAGFGFRGYDKNGVARWDLISNLRIQQIEMSTS FKMFLDNWNIQTALWLKRVCYERATFSPTIQTFFLSAIWHGVYPGYYLTFLTGVLMTLAA RAVRNNFRHYFLEPPQLKLFYDLITWVATQITISYTVVPFVLLSIKPSFTFYSSWYYCLH VCSILVLLLLPVKKSQRRTSTQENVHLSQAKKFDERDNPLGQNSFSTMNNVCNQNRDTGS RHSSLTQ >ENSMUSP00000033919.4 pep:known chromosome:GRCm38:8:54077532:54186454:1 gene:ENSMUSG00000031520.5 transcript:ENSMUST00000033919.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vegfc description:vascular endothelial growth factor C [Source:MGI Symbol;Acc:MGI:109124] MHLLCFLSLACSLLAAALIPSPREAPATVAAFESGLGFSEAEPDGGEVKAFEGKDLEEQL RSVSSVDELMSVLYPDYWKMYKCQLRKGGWQQPTLNTRTGDSVKFAAAHYNTEILKSIDN EWRKTQCMPREVCIDVGKEFGAATNTFFKPPCVSVYRCGGCCNSEGLQCMNTSTGYLSKT LFEITVPLSQGPKPVTISFANHTSCRCMSKLDVYRQVHSIIRRSLPATLPQCQAANKTCP TNYVWNNYMCRCLAQQDFIFYSNVEDDSTNGFHDVCGPNKELDEDTCQCVCKGGLRPSSC GPHKELDRDSCQCVCKNKLFPNSCGANREFDENTCQCVCKRTCPRNQPLNPGKCACECTE NTQKCFLKGKKFHHQTCSCYRRPCANRLKHCDPGLSFSEEVCRCVPSYWKRPHLN >ENSMUSP00000023101.3 pep:known chromosome:GRCm38:15:96994823:97055956:-1 gene:ENSMUSG00000022464.13 transcript:ENSMUST00000023101.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a4 description:solute carrier family 38, member 4 [Source:MGI Symbol;Acc:MGI:1916604] MDPMELNNVSIEPDGDSCSGDSIQDSYTGMENSDKDAMNSQFANEDAESQKFLTNGFLGK KKLADYADEHHPGMTSFGMSSFNLSNAIMGSGILGLSYAMANTGIILFIIMLLTVAILSL YSVHLLLKTAKEGGSLIYEKLGEKAFGWPGKIGAFISITMQNIGAMSSYLFIIKYELPEV IRAFMGLEENTGEWYLNGNYLVLFVSVGIILPLSLLKNLGYLGYTSGFSLSCMVFFVSVV IYKKFQIPCPLPALDHNNGNLTFNNTLPIHMISLPNDSESSGVNFMMDYAHHNPAGLDEK QVAGPLHSNGVEYEAQGAEKCQPKYFVFNSRTAYAIPILAFAFVCHPEVLPIYSELKDRS RRKMQTVSNISISGMLVMYLLAALFGYLSFYGDVEDELLHAYSKVYTFDTALLMVRLAVL VAVTLTVPIVLFPIRTSVITLLFPRKPFSWLKHFGIAAIIIALNNILVILVPTIKYIFGF IGASSATMLIFILPAAFYLKLVKKEPLRSPQKIGALVFLVTGIIFMMGSMALIILDWIYN PPNPNHH >ENSMUSP00000127676.1 pep:known chromosome:GRCm38:15:96996765:97020322:-1 gene:ENSMUSG00000022464.13 transcript:ENSMUST00000166223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a4 description:solute carrier family 38, member 4 [Source:MGI Symbol;Acc:MGI:1916604] MDPMELNNVSIEPDGDSCSGDSIQDSYTGMENSDKDAMNSQFANEDAESQKFLTNGFLGK KKLADYADEHHPGMTSFGMSSFNLSNAIMGSGILGLSYAMANTGIILFIIMLLTVAILSL YSVHLLLKTAKEGGSLIYEKLGEKAFGWPGKIGAFISITMQNIGAMSSYLFIIKYELPEV IRAFMGLEENTGEWYLNGNYLVLFVSVGIILPLSLLKNLGYLGYTSGFSLSCMVFFVSVV IYKKFQIPCPLPALDHNNGNLTFNNTLPIHMISLPNDSESSGVNFMMDYAHHNPAGLDEK QVAGPLHSNGVEYEAQGAEKCQPKYFVFNSRTAYAIPILAFAFVCHPEVLPIYSELKDRS RRKMQTVSNISISGMLVMYLLAALFGYLSFYGDVEDELLHAYSKVYTFDTALLMVRLAVL VAVTLTVPIVLFPIRTSVITLLFPRKPFSWLKHFGIAAIIIALNNILVILVPTIKYIFGF IGASSATMLIFILPAAFYLKLVKKEPLRSPQKIGALVFLVTGIIFMMGSMALIILDWIYN PPNPNHH >ENSMUSP00000098041.4 pep:known chromosome:GRCm38:14:64652531:64806296:1 gene:ENSMUSG00000060012.7 transcript:ENSMUST00000100473.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif13b description:kinesin family member 13B [Source:MGI Symbol;Acc:MGI:1098265] MGDSKVKVAVRVRPMNRREIDLHTKCVVDVEANKVILNPVNTNLSKGDARGQPKIFAYDH CFWSMDESVREKYAGQEDVFKCLGENILQNAFDGYNACIFAYGQTGSGKSYTMMGTADQP GLIPRLCSGLFERTQKEENEEQSFKVEVSYMEIYNEKVRDLLDPKGSRQTLKVREHSVLG PYVDGLSKLAVTSYKDIESLMSEGNKSRTVAATNMNEESSRSHAVFKITLTHTLYDVKSG TSGEKVGKLSLVDLAGSERATKTGAAGDRLKEGSNINKSLTTLGLVISALADQGAGKNKN KFVPYRDSVLTWLLKDSLGGNSKTAMVATVSPAADNYDETLSTLRYADRAKHIVNHAVVN EDPNARIIRDLREEVEKLREQLTKAEAMKSPELKDRLEESEKLIQEMTVTWEEKLRKTEE IAQERQKQLESLGISLQTSGIKVGDDKCFLVNLNADPALNELLVYYLKEHTLIGSANSQD IQLCGMGILPEHGIIDITPEGQVVLTPQKNTRTFVNGSSVSSPIQLHHGDRILWGNNHFF RLNLPKKKKKAEREDEDREASLKNDSSSEQLDADGDSSSEVSSEINFNFEYAQMEVTMKA LGSNDPMQSILSSLEQQHEEEKRSALERQRLMYEHELEQLRRRLSPERQNCRGVDRLSFH SPSAQQRLKQWAEEREATLNNSLMRLREQIVKANLLVREASYIAEELDKRTEYKVTLQIP TSSLDANRKRGSLLSEPAIQVRRKGKGKQIWSLEKLENRLLDMRDLYQEWKECEEDSPVS RSYFKRADPFYDEQENHSLIGVANVFLETLFYDVKLQYAVPIINQKGEVAGRLHVEVMRL SGAIGERIAGGDDPTEVSSEKEAQENRLVCMVKILQATGLPQHLCHFVFCKYDFWDQQEP VTVAPEVDTSSSPTSKQPQCMVVFDHCSEFSVNITEDFIEYLSEGALAIEVYGHKMNDPR KNPALWDLGIIQAKTRSLRDRWSEVTRKLEFWVQILEQNENGDYCPVEVIAAKDVPTGGI FQLRQGQSRRVQVEVKSVQESGTLPLMEECILSVGIGCVKVRPLRSPKIHENVHEEEEDM DSYQDRDLERLRRKWLNALTKRQEYLDQQLQKLVSKHDKTEDDADREAQLLEMRLTLTEE RNAVMVPSAGSGIPGAPAEWTPVPGMETHIPVIFLDLNADDFSSQDNLDDPEAGWDATLT GEEEEEFFELQIVKQHDGEVKAEASWDSAVHSCPQLSKGTPADERVFLILRVTVQLSHPA DMQLVLRKRICVHVHGRQGFAQSLLKKMSHRSSIPGCGVTFEIVSNIPEDAQGVEEREAL ARMAANVENPASADSEAYIEKYLRSVLAVENLLTLDRLRQEVAVKEQLTGKGKLSRRSIS SPSMNRLSGSRQELSPSHSLGSNKGRWESQQDVSQTLVSRGIAPGPPALSVSPQNNQSPD PGLGGVAASYLNPVKSLVPQMPKLLKSLFPVRDDRRGRHSSPLAHQPVPRILVQPTFSDT WATRTEEVSHQVGAAPLAEVMAAPAVKICDKPVKVSSPPSPMVVTQPPEGQDGPPSPLSE ASSGYFSHSVSSATLSETLTLGLDTTGLGSQTPGSPPALCQVTPEPELAFLSCTQSHPPA PEEAHVPAAPTQSTELEVPRAPLLSEPASAVPTSPFRIRKVRTSELKSFTGMLGGASSGA EEDPLASEDPSNARGQTLGRLEVTSDSEDASEVPEWLREGEYVVVGTNKTGIVRYIGPTD FQEGTWIGVELDLPAGKNDGSIGGKQYFRCNPGYGLLVRPSRVRRAVGTGRRRSSGLQPQ GAPEVRRSATISGSATNLASLTAALAKGDRSYKNPENRKSWAS >ENSMUSP00000034355.4 pep:known chromosome:GRCm38:8:104926260:104934672:1 gene:ENSMUSG00000031886.13 transcript:ENSMUST00000034355.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces2e description:carboxylesterase 2E [Source:MGI Symbol;Acc:MGI:2443170] MPLYKLLGWLNAVACGVLLLVLHVQGQDSASPIRNTHTGQVRGSLVHVKDTDIAVHTFLG IPFAKPPVGPLRFAPPEAPEPWSGVRDGTSHPNMCLQNDNLMGSEDLKMMNLILPPISMS EDCLYLNIYVPAHAHEGSNLPVMVWIHGGALTVGMASMYDGSMLAATEDVVVVAIQYRLG VLGFFSTGDQHAKGNWGYLDQVAALRWVQQNIVHFGGNPDRVTIFGESAGGTSVSSHVVS PMSQGLFHGAIMESGVAVLPDLISSSSEMVHRIVANLSGCAAVNSETLMCCLRGKNEAEM LAINKVFKIIPGVVDGEFLPKHPQELMASKDFHPVPSIIGINNDEYGWILPTIMDPAQKI EEITRKTLPAVLKSTALKMMLPPECGDLLMEEYMGDTEDPETLQAQFREMKGDFMFVIPA LQVAHFQRSHAPVYFYEFQHRPSFFKDFRPPYVKADHGDEIFLVFGYQFGNIKLPYTEEE EQLSRRIMKYWANFARHGNPNSEGLPYWPVMDHDEQYLQLDIQPSVGRALKARRLQFWTK TLPQKIQELKGSQERHKEL >ENSMUSP00000105037.2 pep:known chromosome:GRCm38:8:104926260:104934672:1 gene:ENSMUSG00000031886.13 transcript:ENSMUST00000109410.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces2e description:carboxylesterase 2E [Source:MGI Symbol;Acc:MGI:2443170] MPLYKLLGWLNAVACGVLLLVLHVQGQDSASPIRNTHTGQVRGSLVHVKDTDIAVHTFLG IPFAKPPVGPLRFAPPEAPEPWSGVRDGTSHPNMCLQNDNLMGSEDLKMMNLILPPISMS EDCLYLNIYVPAHAHEGSNLPVMVWIHGGALTVGMASMYDGSMLAATEDVVVVAIQYRLG VLGFFSTGDQHAKGNWGYLDQVAALRWVQQNIVHFGGNPDRVTIFGESAGGTSVSSHVVS PMSQGLFHGAIMESGVAVLPDLISSSSEMVHRIVANLSGCAAVNSETLMCCLRGKNEAEM LAINKVFKIIPGVVDGEFLPKHPQELMASKDFHPVPSIIGINNDEYGWILPTIMDPAQKI EEITRKTLPAVLKSTALKMMLPPECGDLLMEEYMGDTEDPETLQAQFREMKGDFMFVIPA LQVAHFQRSHAPVYFYEFQHRPSFFKDFRPPYVKADHGDEIFLVFGYQFGNIKLPYTEEE EQLSRRIMKYWANFARHGNPNSEGLPYWPVMDHDEQYLQLDIQPSVGRALKARRLQFWTK TLPQKIQELKGSQERHKEL >ENSMUSP00000045214.2 pep:known chromosome:GRCm38:9:122175879:122294374:-1 gene:ENSMUSG00000037949.7 transcript:ENSMUST00000042546.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano10 description:anoctamin 10 [Source:MGI Symbol;Acc:MGI:2143103] MRVTLSTLDTCESSFTPLVVIELAQDVKDETKEWLKNRIIAKKKDGGAQLLFRPLLNKYE KETLENQNLYLVGASNVRLLLGAEAVGLVKECTDAAMRAFTYGTRHNFKGFHDNNNDFLT MAECQFIIKHELENLRARDEKMIPGYPQAKLYPGKSLMRRLLTSGIVTQVFPLHDTEALK KLEDTWYTRFALKYQPIDSIRSYFGETIALYFGFLEYFTFALIPMAIIGLPYYLFVWEDY DKYVIFASFNLIWSTVILEVWKRGCANMTYRWGTLVMKRQFEEPRPGFHGVLGINSVTGR EEPLYSSYKRQLRIYLVSLPFVCLCLYFSLYVMMIYFDMEDWALSLHEDSGSEWTSLLLY VPSIVYAVVIEIMNRLYRYAAEFLTSWENHRLESAYQNHLVLKVLVFNFLNCFASLFYIA FVLKDMKLLRQSLATLLITSQILNQVVESLLPYWLQRKYCARVKRKVQALKSEVDTTLYE QVLLEKEMGTYLGTFDDYLELFLQFGYVSLFSCVYPLAAAFAVLNNFTEVNSDALKMCRV FKRPFAEPSASIGVWQLAFETMSVISVVTNCALIGMSPQVNAVFPESKTDLVLIVVAVEH ALLALKFILAFAIPDKPRHIQQKLARLEFESLEALKQQQMKLVAENLKEEYQEDGKEAT >ENSMUSP00000026027.5 pep:known chromosome:GRCm38:19:47067748:47083479:1 gene:ENSMUSG00000025049.5 transcript:ENSMUST00000026027.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf5 description:TAF5 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:2442144] MAALAEEQTEVAVKLEPEGPPTLLPPQAGDGAGEGSGGTPNNGPNGGGGGNVAVAAAAGG DGGTPKPGVAVSAAAPAGAAPVPAAPAEAGAPHDRQTLLAVLQFLRQSNLREAEEALRRE ARLLEEAVAGSGAPGELDGAGAEAASALLSRVTASVPGSAAPEPPGTGASVTSVFSGSAS GPAAPGKVASVAVEDQPDVSAVLSAYNQQGDPTMYEEYYSGLKHFIECSLDCHRAELSQL FYPLFVHMYLELVYNQHENEAKSFFEKFHGDQECYYQDDLRVLSSLTKKEHMKGNETMLD FRTSKFVLRISRDSYQLLKRHLQEKQNNQIWNIVQEHLYIDIFDGMPRSKQQIDAMVGSL AGEAKREANKSKVFFGLLKEPEIEVPLDDEDEEGENEEGKPKKKKPKKDSIGSKSKKQDP NAPPQNRIPLPELKDSDKLDKIMNMKETTKRVRLGPDCLPSICFYTFLNAYQGLTAVDVT DDSSLIAGGFADSTVRVWSVTPKKLRSVKQASDLSLIDKESDDVLERIMDEKTASELKIL YGHSGPVYGASFSPDRNYLLSSSEDGTVRLWSLQTFTCLVGYKGHNYPVWDTQFSPYGYY FVSGGHDRVARLWATDHYQPLRIFAGHLADVNCTRFHPNSNYVATGSADRTVRLWDVLNG NCVRIFTGHKGPIHSLTFSPNGRFLATGATDGRVLLWDIGHGLMVGELKGHTDTVCSLRF SRDGEILASGSMDNTVRLWDAVKAFEDLETDDFTTATGHINLPENSQELLLGTYMTKSTP VVHLHFTRRNLVLAAGAYSPQ >ENSMUSP00000074211.2 pep:known chromosome:GRCm38:16:89047300:89047899:-1 gene:ENSMUSG00000062400.2 transcript:ENSMUST00000074637.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap6-5 description:keratin associated protein 6-5 [Source:MGI Symbol;Acc:MGI:1915734] MCGYYGNYYGGRGYGCCGCGGLGYGYGGLGCGYGSYYGCGYRGLGCGYGYGCGYGSRSLY GCGYGCGSGYGSGFGYYY >ENSMUSP00000078808.4 pep:known chromosome:GRCm38:10:80602882:80615783:1 gene:ENSMUSG00000078441.10 transcript:ENSMUST00000079883.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp4 description:secretory carrier membrane protein 4 [Source:MGI Symbol;Acc:MGI:1928947] MAGKENNFPPLPPFLPLKPCFYQDFSDEIPVEHQVLVKRIYRLWMFYCATLGVNLVACLA WWIAGGAGANFGLALLWLVLFTPCSYVCWFRPAYKAFRADSSFNFMTFFFIFGAQFVLTV IQAIGFSGWGACGWLAAVGFFGTSVGAAVVMLVPAILFSLSALVMAVTIVKVHRIYRGAG GSLQKAQTEWSAGTWRNPPSREAQFNSFSGNSLPEYPTVPSYSSSGGHWP >ENSMUSP00000137003.1 pep:known chromosome:GRCm38:10:80603253:80614963:1 gene:ENSMUSG00000078441.10 transcript:ENSMUST00000180350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp4 description:secretory carrier membrane protein 4 [Source:MGI Symbol;Acc:MGI:1928947] MAGKENNFPPLPPFLPLKPCFYQDFSDEIPVEHQVLVKRIYRLWMFYCATLGVNLVACLA WWIAGGAGANFGLALLWLVLFTPCSYVCWFRPAYKAFRADSSFNFMTFFFIFGAQFVLTV IQAIGFSGWGACGWLAAVGFFGTSVGAAVVMLVPAILFSLSALVMAVTIVKVHRIYRGAG GSLQKAQTEWSAGTWRNPPSREAQFNSFSGNSLPEYPTVPSYSSSGGHWP >ENSMUSP00000136302.1 pep:known chromosome:GRCm38:19:47151609:47157764:-1 gene:ENSMUSG00000094219.1 transcript:ENSMUST00000178630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calhm3 description:calcium homeostasis modulator 3 [Source:MGI Symbol;Acc:MGI:3645665] MDRFRMLFQHLQSSSESVMNGICLLLAAVTVKIYSSLDFNCPCLERYNALYGLGLLLTPP LALFLCGLLVNRQSVLMVEEWRRPAGHRRKDLGIIRYMCSSVLQRALAAPLVWILLALLD GKCFVCAFSNSVDPEKFLDFANMTPRQVQLFLAKVPCKEDELVKNSPARKAVSRYLRCLS QAIGWSITLLVIVVAFLARCLRPCFDQTVFLQRRYWSNYMDLEQKLFDETCCEHARDFAH RCVLHFFANMQSELRALGLRRDPAGGIPESQESSEPPELREDRDSGNGKAHLRAISSREQ VDQLLSTWYSSKPPLDLAASPRRWGPGLNHRAPIAAPGTKLCHQLNV >ENSMUSP00000097941.3 pep:known chromosome:GRCm38:14:77794823:77798864:-1 gene:ENSMUSG00000075512.3 transcript:ENSMUST00000100372.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1587 description:predicted gene 1587 [Source:MGI Symbol;Acc:MGI:2686433] MQTPPRPEEGEGQQHSSQGWLFSLKVCKHTCRTPPPCVRMETAESLALIEQPTKPIAEKN MWSMKLFLEVDKAFPRILLPRRSSGILRHPMLCLPLCDQVLKLWLRYRDRHSCEAWVEAW GSL >ENSMUSP00000136879.1 pep:known chromosome:GRCm38:17:43534174:43543639:-1 gene:ENSMUSG00000096140.1 transcript:ENSMUST00000178772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd66 description:ankyrin repeat domain 66 [Source:MGI Symbol;Acc:MGI:1925106] MEVSKIADMTKLHQAVAAGDCNSVKKILKKGLCDPNYKDADWNDRTPLHWAAIRGQMEVI HLLIQYGARPCLVTDVGWTAAHFAAESGHLNVLKALHALPSAIDAADFFGDTPKRIAQIY GQKDCVDFLEIAEPECRDHRQRGLLLDERDPDWDLKRRELELSLPAPDQNSNKKKKRIRG STRFNHAHPWRV >ENSMUSP00000126702.1 pep:known chromosome:GRCm38:17:74299474:74323944:-1 gene:ENSMUSG00000024067.13 transcript:ENSMUST00000164832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpy30 description:dpy-30, histone methyltransferase complex regulatory subunit [Source:MGI Symbol;Acc:MGI:1913560] MESEQMLEGQTQVAENPHSEYGLTDSVERIVENEKINAEKSSKQKVDLQSLPTRAYLDQT VVPILLQGLAVLAKERPPNPIEFLASYLLKNKAQFEDRN >ENSMUSP00000108190.3 pep:known chromosome:GRCm38:17:74299474:74316394:-1 gene:ENSMUSG00000024067.13 transcript:ENSMUST00000112571.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpy30 description:dpy-30, histone methyltransferase complex regulatory subunit [Source:MGI Symbol;Acc:MGI:1913560] MESEQMLEGQTQVAENPHSEYGLTDSVERIVENEKINAEKSSKQKVDLQSLPTRAYLDQT VVPILLQGLAVLAKERPPNPIEFLASYLLKNKAQFEDRN >ENSMUSP00000125897.1 pep:known chromosome:GRCm38:17:17911039:17911947:-1 gene:ENSMUSG00000090957.1 transcript:ENSMUST00000164167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7535 description:predicted gene 7535 [Source:MGI Symbol;Acc:MGI:3644434] GAPEPASRLQETPDPWQSLDPFDSLESKVLQKGKPYSVPPGVEEAPGQKHKRKGATKLQN FHKWYKDAYAEHPDGRRARWKGPTFADMEVLYWKHVKEQLETLQKLRRRKINKRWLPGAK QDLWPTEEDLGVADDFLEPEEYVEEPAGVMPKAAADLDAEAMRYKELVRRNVELFIATSQ KCIQETELSQRIRDWEDTIQPLLQKQEQHMPFDIHIYGDQLASRFPQLNEWCPFSELVAG QPAFEVCRSMLASLQLANDYTVEITQQPGLEAAVDTMSLRLLTHQRAHTRFQTYAAQSMA QP >ENSMUSP00000141981.1 pep:known chromosome:GRCm38:3:93281325:93282092:1 gene:ENSMUSG00000102395.1 transcript:ENSMUST00000178008.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg description:filaggrin [Source:MGI Symbol;Acc:MGI:95553] GQHGSGYYYEQEHSEEESDSQHQHGHQHEQQRGHQHQHQHQHEHEQPESGHRQQQSSGRG HQGAHQEQGRDSARSRGSNQGHSSSRHQADSPRVSARSGSGGRGQSPDASGRSSNRRDRP RQPSPSQSSDSQVHSGVQVEGRRGQSSSANRRAGSSSGSGVQGTSAGGLAADASRRSGAR QGQASAQGRAGSQGQAQGRVGSSADRQGRRGVSESQASDSEGHSDFSEGQAVGAHRQSGA GQRHEQRSSRGQHGSG >ENSMUSP00000052720.2 pep:known chromosome:GRCm38:13:60211933:60212934:1 gene:ENSMUSG00000050765.2 transcript:ENSMUST00000060705.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5084 description:predicted gene 5084 [Source:MGI Symbol;Acc:MGI:3647835] MGFWDFRVMLASHVSKHVRPDCGSSMLVAHCTSPRGQEAACVSLPVSDLFLLHRKLLKHE KTVAHRGEQTEMNLSGKWGFPKESQSCTPGEQGVVESGQARESRLTHLEHK >ENSMUSP00000034187.7 pep:known chromosome:GRCm38:8:92827328:92853417:1 gene:ENSMUSG00000031740.7 transcript:ENSMUST00000034187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp2 description:matrix metallopeptidase 2 [Source:MGI Symbol;Acc:MGI:97009] MEARVAWGALAGPLRVLCVLCCLLGRAIAAPSPIIKFPGDVAPKTDKELAVQYLNTFYGC PKESCNLFVLKDTLKKMQKFFGLPQTGDLDQNTIETMRKPRCGNPDVANYNFFPRKPKWD KNQITYRIIGYTPDLDPETVDDAFARALKVWSDVTPLRFSRIHDGEADIMINFGRWEHGD GYPFDGKDGLLAHAFAPGTGVGGDSHFDDDELWTLGEGQVVRVKYGNADGEYCKFPFLFN GREYSSCTDTGRSDGFLWCSTTYNFEKDGKYGFCPHEALFTMGGNADGQPCKFPFRFQGT SYNSCTTEGRTDGYRWCGTTEDYDRDKKYGFCPETAMSTVGGNSEGAPCVFPFTFLGNKY ESCTSAGRNDGKVWCATTTNYDDDRKWGFCPDQGYSLFLVAAHEFGHAMGLEHSQDPGAL MAPIYTYTKNFRLSHDDIKGIQELYGPSPDADTDTGTGPTPTLGPVTPEICKQDIVFDGI AQIRGEIFFFKDRFIWRTVTPRDKPTGPLLVATFWPELPEKIDAVYEAPQEEKAVFFAGN EYWVYSASTLERGYPKPLTSLGLPPDVQQVDAAFNWSKNKKTYIFAGDKFWRYNEVKKKM DPGFPKLIADSWNAIPDNLDAVVDLQGGGHSYFFKGAYYLKLENQSLKSVKFGSIKSDWL GC >ENSMUSP00000130440.2 pep:known chromosome:GRCm38:17:66123520:66152167:1 gene:ENSMUSG00000035842.9 transcript:ENSMUST00000163605.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx11 description:DEAD/H (Asp-Glu-Ala-Asp/His) box helicase 11 [Source:MGI Symbol;Acc:MGI:2443590] MADENQEIGGIHFPFPFPPYPIQKDFMAELYKVLEGGKIGIFESPTGTGKSLSLICGALS WLRDFEKKKLQAEALLLAPGSGPPSSEKNSLLTSSSCQEPTDTPRPAGEPDWVTEFVQKK EERDLVERLREEQKHEEEETEALLRLSREMLDAGTGPEQLEQLECGEEHLVLAEYESDEE RRGSRVDEAEDDLEEEHITKIYYCSRTHSQLAQFVREVLKSPFGKETRLVSLGSRQTLCV NEDVKNLGSVQLMNDRCVDMQRSKREKNGTGEDKPKRKRQKIQTSCPFYNHEQMELLRDE ILLEVKDMEQLVALGKEARACPYYGSRFAIPAAQLVVLPYPMLLHAATRQAAGIRLQGQV VIIDEAHNLIDTITNIHSTEVNGSQLCQAHSQLLQYMERYRKRLKAKNLMYIKQILYLLE KFVAVLGGNVKQNPTTQSLSQTGSELKSINDFLFQSQVDNINLFKVQRYLEKSMLSRKLF GFTECFGVVLPSLSDSQENRGLAGFQQFLKSLQSGPTEDSPEEGQAVALRPASPLMHIEA FLAALTTANQDGRVIVNRQGSVGQSSLKFLLLNPAVHFAQVVKECRAVVIAGGTMQPMSD FREQLLACSGVEAGRVVEFSCGHVIPPDNILPLIICSGPSNQQLEFTYQRRELPQMVEET GRILCNLCNVVPGGVVCFLPSYEYLRQVHAHWDKTGLLTRLSVRKKIFQEPKRASQVEQV LMAYSKCIMSCSHSEGHLTGALLLSVVGGKMSEGINFSDDLGRCVVMVGMPYPNIKSPEL QEKMAYLNQTLPRTQGQPLPGTVLIENLCMKAINQSIGRAIRHQRDFASIVLLDHRYARP SILAKLPAWIRDRVEVKATFGPAFAAVRKFHREKSHPSLV >ENSMUSP00000131751.1 pep:known chromosome:GRCm38:12:36381242:36382181:-1 gene:ENSMUSG00000091007.1 transcript:ENSMUST00000171007.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630036H23Rik description:RIKEN cDNA D630036H23 gene [Source:MGI Symbol;Acc:MGI:3704386] MSGLSRTRQNCFGVRTPILSPQPPAGSTAATVPGRFPGSAPATDNGDSGNAEPAPAGPLT QCPGSAGLLHGPGSIAGRAQKLEVQMETESETPAPALGRDQGSHWARNGAERTRGKWPRV LIAEEASKPCLPSLGPAPLGSNALPALPSIPPGRSSRGCSSSPYRFDSHTQAAMHSTVMG PILDKSEIIVFKVQFKTHMCAPRS >ENSMUSP00000024873.6 pep:known chromosome:GRCm38:17:74489493:74500277:1 gene:ENSMUSG00000024072.8 transcript:ENSMUST00000024873.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf4 description:Yip1 domain family, member 4 [Source:MGI Symbol;Acc:MGI:1915114] MQPPGPPPAYAPANGDFTFVSSADAEDLSGSIAAPDVKLNLGVSGDFIKESTATTFLRQR GYGWLLEVEDEDPEDNKPLLEELDIDLKDIYYKIRCVLMPMPSLGFNRQVVRDNPDFWGP LAVVLFFSMISLYGQFRVVSWIITIWIFGSLTIFLLARVLGGEVAYGQVLGVIGYSLLPL IVIAPILLVVGSFEMVSTLIKLFGVFWAAYSAASLLVGEEFKTKKPLLIYPIFLLYIYFL SLYTGV >ENSMUSP00000110425.2 pep:known chromosome:GRCm38:18:77065208:77155708:1 gene:ENSMUSG00000025423.15 transcript:ENSMUST00000114777.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias2 description:protein inhibitor of activated STAT 2 [Source:MGI Symbol;Acc:MGI:1096566] MADFEELRNMVSSFRVSELQVLLGFAGRNKSGRKHDLLMRALHLLKSGCSPAVQIKIREL YRRRYPRTLEGLCDLSTIKSSVFSLDGSSSPVEPDLPVAGIHSLPSTSITPHSPSSPVGS VLLQDTKPTFEMQQPSPPIPPVHPDVQLKNLPFYDVLDVLIKPTSLVQSSIQRFQEKFFI FALTPQQVREICISRDFLPGGRRDYTVQVQLRLCLAETSCPQEDNYPNSLCIKVNGKLFP LPGYAPPPKNGIEQKRPGRPLNITSLVRLSSAVPNQISISWASEIGKNYSMSVYLVRQLT SAMLLQRLKMKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLMCPLGKMRLTIPCRAV TCTHLQCFDAALYLQMNEKKPTWICPVCDKKAAYESLILDGLFMEILNDCSDVDEIKFQE DGSWCPMRPKKEAMKVTSQPCTKVESSSVFSKPCSVTVASDASKKKIDVIDLTIESSSDE EEDPPAKRKCIFMSETQSSPTKGVLMYQPSSVRVPSVTSVDPAAIPPSLTDYSVPFHHTP VSSMSSDLPGLDFLSLIPVDPQYCPPMFLDSLTSPLTASSTSVTTTSPHESSTHVSSSSS RSETGVITSSGRNIPDIISLD >ENSMUSP00000131485.1 pep:known chromosome:GRCm38:18:77065680:77155708:1 gene:ENSMUSG00000025423.15 transcript:ENSMUST00000168882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias2 description:protein inhibitor of activated STAT 2 [Source:MGI Symbol;Acc:MGI:1096566] MNMVSSFRVSELQVLLGFAGRNKSGRKHDLLMRALHLLKSGCSPAVQIKIRELYRRRYPR TLEGLCDLSTIKSSVFSLDGSSSPVEPDLPVAGIHSLPSTSITPHSPSSPVGSVLLQDTK PTFEMQQPSPPIPPVHPDVQLKNLPFYDVLDVLIKPTSLVQSSIQRFQEKFFIFALTPQQ VREICISRDFLPGGRRDYTVQVQLRLCLAETSCPQEDNYPNSLCIKVNGKLFPLPGYAPP PKNGIEQKRPGRPLNITSLVRLSSAVPNQISISWASEIGKNYSMSVYLVRQLTSAMLLQR LKMKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLMCPLGKMRLTIPCRAVTCTHLQC FDAALYLQMNEKKPTWICPVCDKKAAYESLILDGLFMEILNDCSDVDEIKFQEDGSWCPM RPKKEAMKVTSQPCTKVESSSVFSKPCSVTVASDASKKKIDVIDLTIESSSDEEEDPPAK RKCIFMSETQSSPTKGVLMYQPSSVRVPSVTSVDPAAIPPSLTDYSVPFHHTPVSSMSSD LPGLDFLSLIPVDPQYCPPMFLDSLTSPLTASSTSVTTTSPHESSTHVSSSSSRSETGVI TSSGRNIPDIISLD >ENSMUSP00000110424.2 pep:known chromosome:GRCm38:18:77065680:77146939:1 gene:ENSMUSG00000025423.15 transcript:ENSMUST00000114776.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias2 description:protein inhibitor of activated STAT 2 [Source:MGI Symbol;Acc:MGI:1096566] MNMVSSFRVSELQVLLGFAGRNKSGRKHDLLMRALHLLKSGCSPAVQIKIRELYRRRYPR TLEGLCDLSTIKSSVFSLDGSSSPVEPDLPVAGIHSLPSTSITPHSPSSPVGSVLLQDTK PTFEMQQPSPPIPPVHPDVQLKNLPFYDVLDVLIKPTSLVQSSIQRFQEKFFIFALTPQQ VREICISRDFLPGGRRDYTVQVQLRLCLAETSCPQEDNYPNSLCIKVNGKLFPLPGYAPP PKNGIEQKRPGRPLNITSLVRLSSAVPNQISISWASEIGKNYSMSVYLVRQLTSAMLLQR LKMKGIRNPDHSRALIKEKLTADPDSEIATTSLRVSLMCPLGKMRLTIPCRAVTCTHLQC FDAALYLQMNEKKPTWICPVCDKKAAYESLILDGLFMEILNDCSDVDEIKFQEDGSWCPM RPKKEAMKVTSQPCTKVESSSVFSKPCSVTVASDASKKKIDVIDLTIESSSDEEEDPPAK RKCIFMSETQSSPTKGVLMYQPSSVRVPSVTSVDPAAIPPSLTDYSVPFHHTPVSSMSSD LPGEQRRNDINNEVQLGASSDTVQQ >ENSMUSP00000082175.3 pep:known chromosome:GRCm38:7:81855533:81855794:-1 gene:ENSMUSG00000066378.3 transcript:ENSMUST00000085094.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10160 description:predicted gene 10160 [Source:MGI Symbol;Acc:MGI:3642884] RCTCLCLPSTGIKGMYHHTWLYWYFFKSKLKNIGRQRQANFVRGQPGLQSEFQDSQGYTE KPCLKKT >ENSMUSP00000130949.1 pep:known chromosome:GRCm38:7:46240987:46311434:1 gene:ENSMUSG00000009487.9 transcript:ENSMUST00000164538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otog description:otogelin [Source:MGI Symbol;Acc:MGI:1202064] MGARMPRRCLLLLSCFCLLRVESTAEVQHQASALTWKISAELQQEPAPEPSHTYQEMSLA VEDVTTVMEGQEAEALAASAMSSWERRLHRAKCAPSYLFSCFNGGECVHPALCDCRRFNA TGPRCQLVYNVGPERDSICRTWGQHHVETFDGLYYYFSGKGSYTLVGHHEPEGQSFSIQV HNDPQCGSAHYTCPRSVSLFLSGEREICLAKEVTHGGVRVQLPQVVGGVQLQQLAGYVIA RHPSAFTLAWDGISAIYIKMSPEFLGWTHGLCGNNNADPQDDLVTSYGKVTDDVGEFVHS WQEQVPNSPPGPVTTSLPRPPCLQQSPASMQGVYERCEVLLRPPFDTCHAYVSPLPFAAS CTSDLCQSGGDEATWCRALMEYARACAQAGRPLQGWRTQLSQCTVHCKEKAFIYNECIAC CPASCQSRASCVDSEITCVDGCYCPNGLIFEDGGCMSPAECPCEFHGTLHPPGSVVTEDC NACTCTAGKWVCSSSVCPAECSVTGDIHFTTFDGRRYTFPATCQYILAKSRSSGTFTVTL QNAPCGLNQDGACVQSVSVILHQDPRRQVTLTQAGDVLLFDQYKITPPYSDDAFEIRRLS SVFLRVRTNVGVRILYDREGLRLYLQVDQRWVEDTVGLCGTFNGNTQDDFLSPVGVPEST PQLFGNSWKTLSACVPLVPGSLLDPCDVHLQAASYALQSCSVLTGELFAPCSAYLSPIPY FEQCRRDACRCGQPCLCATLAHYARLCRRHGLPVDFRAHLPACALSCEATKEYSPCVTLC APTCQDLASPDVCGANGGGNFSREECVEGCTCPPDTFLDTQADLCVPRNQCSCHFQGVDY PPGDSDIPSLGHCHCKDGVMSCDSRAPAAACPAGQVFVNCSELHPDPELSRERTCEQQLL NLSVPARGPCLSGCACPQGLLRHGDACFPPEECPCTWKGKEYFPGDQVVSSCHTCVCQRG SFQCTLHPCASTCTAYGDRHYRTFDGLPYDFVGACKVHLVKSTSELSFSVMVEDVNCYGS GVICRKSISINVGSSLIIFDDDSGDPSPESFLDEKQAVHIWRAGFFTLVHFPREHITLLW DQRTTVHVQAGPQWQGQLVGLCGNFDLKTINEMRTPENLELTNPQEFGSSWAAVECPDTP DPRDTCVLNPLREPFARKECGILLSEVFETCHPVVDVTWFYSNCLTDTCGCSRGGDCECF CASVAAYAHQCCQHGVVIDWRTPRICPYDCDFFNKALGKGPYQLSSVAAGGTLVATKAVD SDIALVRAEDLAPGDISSFLLTAALYKAKAHDPDVVSLEAADRPNFFLHTTANGSIGLAK WQRDEAFHQHASFSLHRGTWQAGLVALESLAKPGSFLHSSGLELALRAYEHTEVFRGGAL FRLLDAKPLGAAYPTCEWRYDACASPCFQTCRDPRATSCQDVPRVEGCVPVCPTPKVLDE VTQRCVYLEDCVEPAPRGPTETLGNETLVPGQVPPTTSAEQQLPQGLPGASAYSPAPVPV APPTSAPNPPMAATEGQAPSPGSTQPTLQTPLGLTTSNFPAGHTEATAREEGAASLLTTS HPPGFSSSLPSSLQMPTSGIVSGATETTKVTITFTGSPNTTVASRSPPIPRFPLMTKAVT VPSHDSFPVKTTPLQPSWLWSLSSRPMTSLGATSWPPTSPGSHLSTAVTKVANKTMTSLS VLAQSTSSSSQPLAAVTTAHRAPASPLVTKGLEVVSATEKGEAGHSQLTELPVSPPPSPA PIDLPHPAQHTTTAPGPSALSPGILAAGSPSTGAHRPGATALASLEPTRPPHLLSGLPLD TSLPLAKVGTSAPVATPGSKGYIPTPPPQHQATTLATAMTVSPLTQSLSLTVPLMSAVEE QAHSPSPKPPQGTGMAPDQMLGATLPSFGASSVIAGVPPTVSAAPRKSTTQRAAILSKKV SPPTLISDSVQGGFTELTPIVSHTVTPLATEAEGPRAGTVPLVPTTYSLSRVSARTASRE GPLVLLPQLAEAYGTPAGLQPQEDLVRQATTEQSGRSAPAKSIAEESMEAEVNTSATCVP IAEQDCVRHICLEGQLIRVNQTQHCPQGAVRPRCGVLGLAVRVGGDRCCPQWECACRCSI FPDLSFVTFDGSHAALFKEAIYVLSQSPDETISVHVLDCKSANLGHLNWPPFCLVILNVT HLAHHVSIDRFNRKVTVDSQVVWPPMSRYGFRIEDTGHMYIVRTPSHIQIQWLHSSGLMI LEASKVSKTQGHGLCGICDGDAANDLTLKDGSVLGEAEDPAPFLDSWQVPSSLTSEGQTR FRPDSCATADCSPCLRMVSNRTFSACHSFVSPESFCELWIRDTKYVQQPCVALTVYVAMC HKFHVCIEWRGSDYCPFLCSSDSTYQACVAACEPPDTCQDGILGPLDPEQCQVLGEGCVC TEGTILHRRHSALCIPEDKCACTDSTGVPRALGETWNSSLSGCCQQQCQAPDTIIPVDLD CPGPRPESCPRFGEVILLQPTEDPCCLGSVCVCNQTLCEGLAPTCRPGHSLITHFQEDSC CPSYSCECDPGLCEAEQVPTCREDQILIEGRLGDSCCTSYFCGCGECSDPMPECQEGEAL TVHRNTTELCCPLYQCVCENFRCPQVQCGMGTSLVEVWSPDRCCPYKSCECDCDTIPVPR CHLWEKSQLDEEFMHSVENVCGCAKYECVKAPVCLSRELGVMQPGQTVVELSADGVCHTS RCTDVLDPLTNFYQINITSVLCDMHCEANQEYDHPRDLAACCGSCRNVSCLFTFPNGTTS LFLPGASWIADCARHHCGSTPLGAVLVRSPISCPPFNETECAKVGGSVVPSLEGCCRACK EDGRSCKKVTIRMTIRKNDCRSNTPVNLVSCDGRCPSASIYNHNINTYARFCKCCREVGL QRRSVQLFCATNATWVPYTVQEPTDCACQWS >ENSMUSP00000034469.5 pep:known chromosome:GRCm38:8:124908596:124949254:-1 gene:ENSMUSG00000031987.5 transcript:ENSMUST00000034469.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egln1 description:egl-9 family hypoxia-inducible factor 1 [Source:MGI Symbol;Acc:MGI:1932286] MASDSGGPGVLSASERDRQYCELCGKMENLLRCGRCRSSFYCCKEHQRQDWKKHKLVCQG GEAPRAQPAPAQPRVAPPPGGAPGAARAGGAARRGDSAAASRVPGPEDAAQARSGPGPAE PGSEDPPLSRSPGPERASLCPAGGGPGEALSPGGGLRPNGQTKPLPALKLALEYIVPCMN KHGICVVDDFLGRETGQQIGDEVRALHDTGKFTDGQLVSQKSDSSKDIRGDQITWIEGKE PGCETIGLLMSSMDDLIRHCSGKLGNYRINGRTKAMVACYPGNGTGYVRHVDNPNGDGRC VTCIYYLNKDWDAKVSGGILRIFPEGKAQFADIEPKFDRLLFFWSDRRNPHEVQPAYATR YAITVWYFDADERARAKVKYLTGEKGVRVELKPNSVSKDV >ENSMUSP00000072472.6 pep:known chromosome:GRCm38:19:50143301:50678646:-1 gene:ENSMUSG00000043531.15 transcript:ENSMUST00000072685.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorcs1 description:sortilin-related VPS10 domain containing receptor 1 [Source:MGI Symbol;Acc:MGI:1929666] MGKVGAGDGSSAGLSALLAGAGLLMLLAPGVCSSLSCCPPQHPSSTPRRTLTPRGFPHPG PLGRAPATPPPLFMRPLFAVAPGDRALFLERAGGSRVSVATAARSGRRRRSGTEPEKIEP GEGASRSRRDMLKDGGQQGLGTGARDPDKATRFRMEELRLTSTTFALTGDSAHNQAMVHW SGHNSSVIFILTKLYDYNLGSITESSLWRSTDYGTTYEKLNDKVGLKTILSYLYVCPTNK RKIMLLTDPEIESSLLISSDEGATYQKYRLNFYIQSLLFHPKQEDWILAYSQDQKLYSSA EFGRRWQLIQESVVPNRFYWSVMGSSKEPDLVHLEARTVDGHSIYLTCRMQNCTEANRNK PFPGYIDPDSLIVQDDYVFVQLTSGGRPHYYVSYRRSPFAQMKLPKYALPKDMHVISTDE NQVFAAVQEWNQNDTYNLYISDTRGVYFTLALENVQSSRGPEGNVMIDLYEVAGIKGMFL ANKKIDNQVKTFITYNKGRDWRLLQAPDADLRGDPVHCLLPYCSLHLHLKVSENPYTSGI IASRDTAPSIIVASGNIGSELSDSDISMFVSSDAGNTWRQIFEEEHSILYLDQGGVLVAM KHTSLPIRHLWLSFDEGRSWSKYSFTSIPLFVDGVLGEPGEETLIMTVFGHFSHRSEWQL VKVDYKSIFDRRCAEEDYRPWQLHSQGEACIMGAKRIYKKRKSERKCMQGKYAGAMESEP CVCTEADFDCDYGYERHSNGQCLPAFWFNPSSLSKDCSLGQSYLNSTGYRKVVSNNCTDG VREQYTAKPQKCPGKAPRGLRIVTADGKLTAEQGHNVTLMVQLEEGDVQRTLIQVDFGDG IAVSYVNLSSMEDGIKHVYQNVGIFRVTVQVDNSLGSDSAVLYLHVTCPLEHVHLSLPFV TTKNKEVNATAVLWPSQVGTLTYVWWYGNNTEPLITLEGSISFKFTSEGMNTITVQVSAG NAILQDTKTIAVYEEFRSLRLAFSPNLDDYNPDIPEWRRDISRVIKKSLVEATGIPSQHI LVAVLPGLPTAAELFVLPYQDGTRENKRSPEDLEQISEVLIHKLNQNLVHFELKPGVQVL VHAAHLTAAPLVDLTPTHSGSAMLMLLSVVFVGLAVFVIYKFKRGWRDRSAVKNIGCSCR GPGFNSQHQQGGSQASVTSVPRDPTPSSDLHGHQEHTWCTDIHPGKTPIHIK >ENSMUSP00000132615.2 pep:known chromosome:GRCm38:19:50147660:50678646:-1 gene:ENSMUSG00000043531.15 transcript:ENSMUST00000164039.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorcs1 description:sortilin-related VPS10 domain containing receptor 1 [Source:MGI Symbol;Acc:MGI:1929666] MGKVGAGDGSSAGLSALLAGAGLLMLLAPGVCSSLSCCPPQHPSSTPRRTLTPRGFPHPG PLGRAPATPPPLFMRPLFAVAPGDRALFLERAGGSRVSVATAARSGRRRRSGTEPEKIEP GEGASRSRRDMLKDGGQQGLGTGARDPDKATRFRMEELRLTSTTFALTGDSAHNQAMVHW SGHNSSVIFILTKLYDYNLGSITESSLWRSTDYGTTYEKLNDKVGLKTILSYLYVCPTNK RKIMLLTDPEIESSLLISSDEGATYQKYRLNFYIQSLLFHPKQEDWILAYSQDQKLYSSA EFGRRWQLIQESVVPNRFYWSVMGSSKEPDLVHLEARTVDGHSIYLTCRMQNCTEANRNK PFPGYIDPDSLIVQDDYVFVQLTSGGRPHYYVSYRRSPFAQMKLPKYALPKDMHVISTDE NQVFAAVQEWNQNDTYNLYISDTRGVYFTLALENVQSSRGPEGNVMIDLYEVAGIKGMFL ANKKIDNQVKTFITYNKGRDWRLLQAPDADLRGDPVHCLLPYCSLHLHLKVSENPYTSGI IASRDTAPSIIVASGNIGSELSDSDISMFVSSDAGNTWRQIFEEEHSILYLDQGGVLVAM KHTSLPIRHLWLSFDEGRSWSKYSFTSIPLFVDGVLGEPGEETLIMTVFGHFSHRSEWQL VKVDYKSIFDRRCAEEDYRPWQLHSQGEACIMGAKRIYKKRKSERKCMQGKYAGAMESEP CVCTEADFDCDYGYERHSNGQCLPAFWFNPSSLSKDCSLGQSYLNSTGYRKVVSNNCTDG VREQYTAKPQKCPGKAPRGLRIVTADGKLTAEQGHNVTLMVQLEEGDVQRTLIQVDFGDG IAVSYVNLSSMEDGIKHVYQNVGIFRVTVQVDNSLGSDSAVLYLHVTCPLEHVHLSLPFV TTKNKEVNATAVLWPSQVGTLTYVWWYGNNTEPLITLEGSISFKFTSEGMNTITVQVSAG NAILQDTKTIAVYEEFRSLRLAFSPNLDDYNPDIPEWRRDISRVIKKSLVEATGIPSQHI LVAVLPGLPTAAELFVLPYQDGTRENKRSPEDLEQISEVLIHKLNQNLVHFELKPGVQVL VHAAHLTAAPLVDLTPTHSGSAMLMLLSVVFVGLAVFVIYKFKRRVALPSPPSPSAQPGD SSLRLQRPRPATPPSSPKRGSAGAQFAI >ENSMUSP00000129190.1 pep:known chromosome:GRCm38:19:50150998:50252678:-1 gene:ENSMUSG00000043531.15 transcript:ENSMUST00000168357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorcs1 description:sortilin-related VPS10 domain containing receptor 1 [Source:MGI Symbol;Acc:MGI:1929666] MFLANKKIDNQVKTFITYNKGRDWRLLQAPDADLRGDPVHCLLPYCSLHLHLKVSENPYT SGIIASRDTAPSIIVASGNIGSELSDSDISMFVSSDAGNTWRQIFEEEHSILYLDQGGVL VAMKHTSLPIRHLWLSFDEGRSWSKYSFTSIPLFVDGVLGEPGEETLIMTVFGHFSHRSE WQLVKVDYKSIFDRRCAEEDYRPWQLHSQGEACIMGAKRIYKKRKSERKCMQGKYAGAME SEPCVCTEADFDCDYGYERHSNGQCLPAFWFNPSSLSKDCSLGQSYLNSTGYRKVVSNNC TDGVREQYTAKPQKCPGKAPRGLRIVTADGKLTAEQGHNVTLMVQLEEGDVQRTLIQVDF GDGIAVSYVNLSSMEDGIKHVYQNVGIFRVTVQVDNSLGSDSAVLYLHVTCPLEHVHLSL PFVTTKNKEVNATAVLWPSQVGTLTYVWWYGNNTEPLITLEGSISFKFTSEGMNTITVQV SAGNAILQDTKTIAVYEEFRSLRLAFSPNLDDYNPDIPEWRRDISRVIKKSLVEATGIPS QHILVAVLPGLPTAAELFVLPYQDGTRENKRSPEDLEQISEVLIHKLNQNLVHFELKPGV QVLVHAAHLTAAPLVDLTPTHSGSAMLMLLSVVFVGLAVFVIYKFKRKIPGINVYAQMQN EKEQELINPVSHSESRPSVPHPDLRRPGQLVDEKVESQLLGE >ENSMUSP00000107386.3 pep:known chromosome:GRCm38:19:50152692:50678485:-1 gene:ENSMUSG00000043531.15 transcript:ENSMUST00000111756.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorcs1 description:sortilin-related VPS10 domain containing receptor 1 [Source:MGI Symbol;Acc:MGI:1929666] MGKVGAGDGSSAGLSALLAGAGLLMLLAPGVCSSLSCCPPQHPSSTPRRTLTPRGFPHPG PLGRAPATPPPLFMRPLFAVAPGDRALFLERAGGSRVSVATAARSGRRRRSGTEPEKIEP GEGASRSRRDMLKDGGQQGLGTGARDPDKATRFRMEELRLTSTTFALTGDSAHNQAMVHW SGHNSSVIFILTKLYDYNLGSITESSLWRSTDYGTTYEKLNDKVGLKTILSYLYVCPTNK RKIMLLTDPEIESSLLISSDEGATYQKYRLNFYIQSLLFHPKQEDWILAYSQDQKLYSSA EFGRRWQLIQESVVPNRFYWSVMGSSKEPDLVHLEARTVDGHSIYLTCRMQNCTEANRNK PFPGYIDPDSLIVQDDYVFVQLTSGGRPHYYVSYRRSPFAQMKLPKYALPKDMHVISTDE NQVFAAVQEWNQNDTYNLYISDTRGVYFTLALENVQSSRGPEGNVMIDLYEVAGIKGMFL ANKKIDNQVKTFITYNKGRDWRLLQAPDADLRGDPVHCLLPYCSLHLHLKVSENPYTSGI IASRDTAPSIIVASGNIGSELSDSDISMFVSSDAGNTWRQIFEEEHSILYLDQGGVLVAM KHTSLPIRHLWLSFDEGRSWSKYSFTSIPLFVDGVLGEPGEETLIMTVFGHFSHRSEWQL VKVDYKSIFDRRCAEEDYRPWQLHSQGEACIMGAKRIYKKRKSERKCMQGKYAGAMESEP CVCTEADFDCDYGYERHSNGQCLPAFWFNPSSLSKDCSLGQSYLNSTGYRKVVSNNCTDG VREQYTAKPQKCPGKAPRGLRIVTADGKLTAEQGHNVTLMVQLEEGDVQRTLIQVDFGDG IAVSYVNLSSMEDGIKHVYQNVGIFRVTVQVDNSLGSDSAVLYLHVTCPLEHVHLSLPFV TTKNKEVNATAVLWPSQVGTLTYVWWYGNNTEPLITLEGSISFKFTSEGMNTITVQVSAG NAILQDTKTIAVYEEFRSLRLAFSPNLDDYNPDIPEWRRDISRVIKKSLVEATGIPSQHI LVAVLPGLPTAAELFVLPYQDGTRENKRSPEDLEQISEVLIHKLNQNLVHFELKPGVQVL VHAAHLTAAPLVDLTPTHSGSAMLMLLSVVFVGLAVFVIYKFKRKIPGINVYAQMQNEKE QELINPVSHSESRPSVPHPDLRRPGQLVDEKVESQLLGK >ENSMUSP00000045812.9 pep:known chromosome:GRCm38:10:78170910:78185149:-1 gene:ENSMUSG00000032834.10 transcript:ENSMUST00000042556.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pwp2 description:PWP2 periodic tryptophan protein homolog (yeast) [Source:MGI Symbol;Acc:MGI:1341200] MKFAYRFSNLLGTVYRCGNLNFTHDGNSVISPVGNRVTVFDLKNNRSNTLPLATKYNIKC VGLSPDGRLAIIVDEGGAALLVSLVCRSVLHHFHFKGSVHSVSFSPDGRKFVVTKGNIAQ MYHAPGKKREFNAFVLDKTYFGPYDETTCIDWTDDSKCFVVGSKDMSTWVFGAERWDNLI YYALSGHKDAIVACFFESNSLDLYSLSQDGALCVWQCDTPPEGLRLKAPRGWKADILQRE KEEEEEDEEEGDRETTIRGKTTPAEQERVGKVKYSRLAKYFLNKEGDFNNLTSAAYHKKT HLLVTGFASGIFHLHELPEFNLIHSLSISDQRVASVAINSSGDWIAFGCSGMGQLLVWEW QSESYVLKQQGHFNSMVALAYSPDGQYIVTGGDDGKVKVWNTLSGFCFVTLTEHSSGVTG VTFTTTGHVIVTSSLDGTVRAFDLHRYRNFRTFTSPRPTQFSCVAVDSSGEIVSAGAQDS FEIFVWSMQTGRLLDVLSGHEGPVSGLCFNPMKSILASASWDKTVRLWDMFDSWRTKETL TLTSDALAVTFRPDGAELAVATLNSQITFWDPENAVQVGSIEGRHDLKTGRKELDKITAK HSAKGKAFTTLCYSADGQSILAGGMSKFVCLYHVREQILVKRFELSCNLSLDAMEEFLNR RKMTEFGNLALIDQDAGEENGVAVPLPGVRKGDMSSRHFKPEIRVTSLRFSPTGRCWAAT STEGLLIFSLDAQMLFDPFELDTSVTPGRIREALRQREFTRAILMAFRLNEKKLAQEALE AVPQNEIEVVSTSLPELYVVKVLEFLAASFEESRHLEFYLIWTQKLLMSHGQRLKSRAGQ LLPVVQFLQKGLQRHLDDVSKLCDWNRFNIQYVLAVSKQRGMKRTLEPVDTEEDSDASDE DSLHLLRAAGEEEEEEMLI >ENSMUSP00000053405.1 pep:known chromosome:GRCm38:9:38606467:38607417:1 gene:ENSMUSG00000047050.1 transcript:ENSMUST00000057755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr914 description:olfactory receptor 914 [Source:MGI Symbol;Acc:MGI:3030748] MALANGSFVTEFILLGLTDQPDLQMPLFLIFLIIYLITAFGNLTLIILIVLNSHLHTPMY FFLFNLSFIDLCYSSLITPKMLMNFVLEKNIISYMGCMTQFYFFGFFAISECYVLTAMAY DRYVAICNPLLYSVAMSPKMCSYFILGSYFMGFSGAMIHTGCVMRLTFCDGNTINHYFCD LLPLLQLSCTSTYVNEIELFIVTGKDIIVPTVIIFASYGFILSNILKIRSTSGRSKAFST CSSHIIAVSMFFGSSAFMYLKPSSAVSMNEAKFSSIFYSIVVPMMNPLIYSLRNKDVKVG LKKTLSRMFSHNLISL >ENSMUSP00000129864.1 pep:known chromosome:GRCm38:17:31647082:31658754:-1 gene:ENSMUSG00000061613.12 transcript:ENSMUST00000166526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1 description:U2 small nuclear ribonucleoprotein auxiliary factor (U2AF) 1 [Source:MGI Symbol;Acc:MGI:98884] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTILIQNIYRNPQNSAQ TADGSHCAVSDVEMQEHYDEFFEEVFTEMEEKYGEVEEMNVCDNLGDHLVGNVYVKFRRE EDAEKAVIDLNNRWFNGQPIHAELSPVTDFREACCRQYEMGECTRGGFCNFMHLKPISRE LRRELYGRRRKKHRSRSRSRERRSRSRDRGRGGGGGGGGGGGRERDRRRSRDRERSGRF >ENSMUSP00000014684.4 pep:known chromosome:GRCm38:17:31647082:31658754:-1 gene:ENSMUSG00000061613.12 transcript:ENSMUST00000014684.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1 description:U2 small nuclear ribonucleoprotein auxiliary factor (U2AF) 1 [Source:MGI Symbol;Acc:MGI:98884] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIALLNIYRNPQNSSQ SADGLRCAVSDVEMQEHYDEFFEEVFTEMEEKYGEVEEMNVCDNLGDHLVGNVYVKFRRE EDAEKAVIDLNNRWFNGQPIHAELSPVTDFREACCRQYEMGECTRGGFCNFMHLKPISRE LRRELYGRRRKKHRSRSRSRERRSRSRDRGRGGGGGGGGGGGRERDRRRSRDRERSGRF >ENSMUSP00000051435.4 pep:known chromosome:GRCm38:9:43108653:43116570:-1 gene:ENSMUSG00000048503.6 transcript:ENSMUST00000061833.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem136 description:transmembrane protein 136 [Source:MGI Symbol;Acc:MGI:2685030] MAVGLCVQVLCSLGGWLSLYTSFCCLNKHRSCEWSCRLVTFTHGVLSIGLSAYIGFIDGP WPFTHPGSPNTPLQVHVLCLTLGYFIFDLGWCIYFQSEGPLMLAHHTLSILGIIMALALG ESGTEVNAVLFGSEITNPLLQMRWFLRETGHYHSFTGDVVDFLFVALFTGVRIGVGAHLL FCEMVSPTPKWFVKVGGVAMYAVSWCFMVSIWRFAWKKSIKKYHAWRSRRNEERQLRHNG HLKTH >ENSMUSP00000043957.7 pep:known chromosome:GRCm38:17:67697265:67822645:1 gene:ENSMUSG00000032796.7 transcript:ENSMUST00000035471.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lama1 description:laminin, alpha 1 [Source:MGI Symbol;Acc:MGI:99892] MRGSGTGAALLVLLASVLWVTVRSQQRGLFPAILNLATNAHISANATCGEKGPEMFCKLV EHVPGRPVRHAQCRVCDGNSTNPRERHPISHAIDGTNNWWQSPSIQNGREYHWVTVTLDL RQVFQVAYIIIKAANAPRPGNWILERSVDGVKFKPWQYYAVSDTECLTRYKITPRRGPPT YRADNEVICTSYYSKLVPLEHGEIHTSLINGRPSADDPSPQLLEFTSARYIRLRLQRIRT LNADLMTLSHRDLRDLDPIVTRRYYYSIKDISVGGMCICYGHASSCPWDEEAKQLQCQCE HNTCGESCDRCCPGYHQQPWRPGTISSGNECEECNCHNKAKDCYYDSSVAKERRSLNTAG QYSGGGVCVNCSQNTTGINCETCIDQYYRPHKVSPYDDHPCRPCNCDPVGSLSSVCIKDD RHADLANGKWPGQCPCRKGYAGDKCDRCQFGYRGFPNCIPCDCRTVGSLNEDPCIEPCLC KKNVEGKNCDRCKPGFYNLKERNPEGCSECFCFGVSGVCDSLTWSISQVTNMSGWLVTDL MSTNKIRSQQDVLGGHRQISINNTAVMQRLTSTYYWAAPEAYLGNKLTAFGGFLKYTVSY DIPVETVDSDLMSHADIIIKGNGLTISTRAEGLSLQPYEEYFNVVRLVPENFRDFDTRRE IDRDQLMTVLANVTHLLIRANYNSAKMALYRLDSVSLDIASPNAIDLAVAADVEHCECPQ GYTGTSCEACLPGYYRVDGILFGGICQPCECHGHASECDIHGICSVCTHNTTGDHCEQCL PGFYGTPSRGTPGDCQPCACPLSIDSNNFSPTCHLTDGEEVVCDQCAPGYSGSWCERCAD GYYGNPTVPGGTCVPCNCSGNVDPLEAGHCDSVTGECLKCLWNTDGAHCERCADGFYGDA VTAKNCRACDCHENGSLSGICHLETGLCDCKPHVTGQQCDQCLSGYYGLDTGLGCVPCNC SVEGSVSDNCTEEGQCHCGPGVSGKQCDRCSHGFYAFQDGGCTPCDCAHTQNNCDPASGE CLCPPHTQGLKCEECEEAYWGLDPEQGCQACNCSAVGSTSAQCDVLSGHCPCKKGFGGQS CHQCSLGYRSFPDCVPCGCDLRGTLPDTCDLEQGLCSCSEDGGTCSCKENAVGPQCSKCQ AGTFALRGDNPQGCSPCFCFGLSQLCSELEGYVRTLITLASDQPLLHVVSQSNLKGTIEG VHFQPPDTLLDAEAVRQHIYAEPFYWRLPKQFQGDQLLAYGGKLQYSVAFYSTLGTGTSN YEPQVLIKGGRARKHVIYMDAPAPENGVRQDYEVRMKEEFWKYFNSVSEKHVTHSDFMSV LSNIDYILIKASYGQGLQQSRIANISMEVGRKAVELPAEGEAALLLELCVCPPGTAGHSC QDCAPGYYREKLPESGGRGPRPLLAPCVPCNCNNHSDVCDPETGKCLSCRDHTSGDHCEL CASGYYGKVTGLPGDCTPCTCPHHPPFSFSPTCVVEGDSDFRCNACLPGYEGQYCERCSA GYHGNPRAAGGSCQTCDCNPQGSVHSDCDRASGQCVCKPGATGLHCEKCLPRHILMESDC VSCDDDCVGPLLNDLDSVGDAVLSLNLTGVSPAPYGILENLENTTKYFQRYLIKENAKKI RAEIQLEGIAEQTENLQKELTRVLARHQKVNAEMERTSNGTQALATFIEQLHANIKEITE KVATLNQTARKDFQPPVSALQSMHQNISSLLGLIKERNFTEMQQNATLELKAAKDLLSRI QKRFQKPQEKLKALKEANSLLSNHSEKLQAAEELLKEAGSKTQESNLLLLLVKANLKEFQ EKKLRVQEEQNVTSELIAKGREWVDAAGTHTAAAQDTLTQLEHHRDELLLWARKIRSHVD DLVMQMSKRRARDLVHRAEQHASELQSRAGALDRDLENVRNVSLNATSAAHVHSNIQTLT EEAEMLAADAHKTANKTDLISESLASRGKAVLQRSSRFLKESVSTRRKQQGITMKLDELK NLTSQFQESMDNIMKQANDSLAMLRESPGGMREKGRKARELAAAANESAVKTLEDVLALS LRVFNTSEDLSRVNATVQETNDLLHNSTMTTLLAGRKMKDMEMQANLLLDRLKPLKTLEE NLSRNLSEIKLLISRARKQVASIKVAVSADRDCIRAYQPQTSSTNYNTLILNVKTQEPDN LLFYLGSSSSSDFLAVEMRRGKVAFLWDLGSGSTRLEFPEVSINNNRWHSIYITRFGNMG SLSVKEASAAENPPVRTSKSPGPSKVLDINNSTLMFVGGLGGQIKKSPAVKVTHFKGCMG EAFLNGKSIGLWNYIEREGKCNGCFGSSQNEDSSFHFDGSGYAMVEKTLRPTVTQIVILF STFSPNGLLFYLASNGTKDFLSIELVRGRVKVMVDLGSGPLTLMTDRRYNNGTWYKIAFQ RNRKQGLLAVFDAYDTSDKETKQGETPGAASDLNRLEKDLIYVGGLPHSKAVRKGVSSRS YVGCIKNLEISRSTFDLLRNSYGVRKGCALEPIQSVSFLRGGYVEMPPKSLSPESSLLAT FATKNSSGILLVALGKDAEEAGGAQAHVPFFSIMLLEGRIEVHVNSGDGTSLRKALLHAP TGSYSDGQEHSISLVRNRRVITIQVDENSPVEMKLGPLTEGKTIDISNLYIGGLPEDKAT PMLKMRTSFHGCIKNVVLDAQLLDFTHATGSEQVELDTCLLAEEPMQSLHREHGELPPEP PTLPQPELCAVDTAPGYVAGAHQFGLSQNSHLVLPLNQSDVRKRLQVQLSIRTFASSGLI YYVAHQNQMDYATLQLQEGRLHFMFDLGKGRTKVSHPALLSDGKWHTVKTEYIKRKAFMT VDGQESPSVTVVGNATTLDVERKLYLGGLPSHYRARNIGTITHSIPACIGEIMVNGQQLD KDRPLSASAVDRCYVVAQEGTFFEGSGYAALVKEGYKVRLDLNITLEFRTTSKNGVLLGI SSAKVDAIGLEIVDGKVLFHVNNGAGRITATYQPRAARALCDGKWHTLQAHKSKHRIVLT VDGNSVRAESPHTHSTSADTNDPIYVGGYPAHIKQNCLSSRASFRGCVRNLRLSRGSQVQ SLDLSRAFDLQGVFPHSCPGPEP >ENSMUSP00000024766.6 pep:known chromosome:GRCm38:17:46667458:46674255:-1 gene:ENSMUSG00000023971.8 transcript:ENSMUST00000024766.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrp36 description:ribosomal RNA processing 36 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2385053] MEGGEVTGDRLKADTSDMSFEELLRLQGQGRPKAHKQLVAGNSTRTRSPQQPVCVADKHR PLEMSAKVRVPFLRQVVPISKKVARDPRFDDLSGDYNPEVFDKTYQFLNDIRAKEKQLVK KQLKKHRSGEEHDKLQQLLQRMEQQEMAQQERKQQQELRLALKQERRAQAQQGHRPYFLK KSEQRQLALAEKFKELRRSKKLESFLSRKRRRNAGKDRRHLPLSKE >ENSMUSP00000042183.6 pep:known chromosome:GRCm38:9:44799400:44802951:-1 gene:ENSMUSG00000039438.6 transcript:ENSMUST00000044694.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc36 description:tetratricopeptide repeat domain 36 [Source:MGI Symbol;Acc:MGI:2384760] MGTPNDQAVLQAIFNPDTPFGDVVGLDLEEAEEGDEDGVFPQAQLEHSKALELQGVRAAE AGDLHTALEKFGQAISLLPDRASAYNNRAQARRLQGDVAGALEDLERAVTLSGGRGRAAR QSFVQSGLLARFQGRDDDARRDFEKAARLGSPFARRQLVLLNPYAALCNRMLADMMGQLR APSNGR >ENSMUSP00000020334.7 pep:known chromosome:GRCm38:10:123113244:123196935:-1 gene:ENSMUSG00000020124.9 transcript:ENSMUST00000020334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp15 description:ubiquitin specific peptidase 15 [Source:MGI Symbol;Acc:MGI:101857] MAEGGAADLDTQRSDIATLLKTSLRKGDTWYLVDSRWFKQWKKYVGFDSWDKYQMGDQNV YPGPIDNSGLLKDGDAQSLKEHLIDELDYILLPTEGWNKLVSWYTLMEGQEPIARKVVEQ GMFVKHCKVEVYLTELKLCENGNMNNVVTRRFSKADTIDTIEKEIRKIFNIPDEKEARLW NKYMSNTFEPLNKPDSTIQDAGLYQGQVLVIEQKNEDGTWPRGPSTPKSPGASNFSTLPK ISPSSLSNNYNNINNRNVKNSNYCLPSYTAYKNYDYSEPGRNNEQPGLCGLSNLGNTCFM NSAIQCLSNTPPLTEYFLNDKYQEELNFDNPLGMRGEIAKSYAELIKQMWSGKFSYVTPR AFKTQVGRFAPQFSGYQQQDCQELLAFLLDGLHEDLNRIRKKPYIQLKDADGRPDKVVAE EAWENHLKRNDSIIVDIFHGLFKSTLVCPECAKISVTFDPFCYLTLPLPMKKERSLEVYL VRMDPLAKPMQYKVIVPKIGNILDLCTALSALSGVPADKMIVTDIYNHRFHRIFAVDENL SSIMERDDIYVFEININRAEDTEHVVIPVCLREKFRHSSYTHHTGSSLFGQPFLMAIPRN NTEDKLYNLLLLRMCRYVKMSTETEETDGHLRCCEDQNINGNGPNGLHEEGSPSEMETDE PDDESSQDQELPSENENSQSEDSVGGDNDSENGLCTEETCKGQLTGHKKRLFTFQFNNLG NNDINYIKDDTSHIRFDDRQLRLDERSFLALDWDPDLKKRYFDENAAEDFEKHESVEYKP PKRPFVKLKDCIELFTTKEKLGAEDPWYCPNCKEHQQATKKLDLWSLPPVLVVHLKRFSY SRYMRDKLDTLVDFPISDLDMSEFLINPNAGPCRYNLIAVSNHYGGMGGGHYTAFAKNKD DGKWYYFDDSSVSTASEDQIVSKAAYVLFYQRQDTFSGTGFFPLDRETKGASAATGIPLE SDEDSNDNDNDLENENCMHTN >ENSMUSP00000105073.1 pep:known chromosome:GRCm38:8:104321567:104321787:1 gene:ENSMUSG00000078953.1 transcript:ENSMUST00000109447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11020 description:predicted gene 11020 [Source:MGI Symbol;Acc:MGI:3779240] ILCLSAAVLCVIDALLFTEKRRNKAKISLARETKLSATQLRPKQLKSPSPPITPTRPYLH V >ENSMUSP00000005262.1 pep:known chromosome:GRCm38:9:53405286:53432803:1 gene:ENSMUSG00000005131.3 transcript:ENSMUST00000005262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930550C14Rik description:RIKEN cDNA 4930550C14 gene [Source:MGI Symbol;Acc:MGI:1922561] MSVKHIQDNSNQEIAARIIQRAWKTFLNVSVYQHFKSLIDLRRQGEPRQIVRYINPKEAQ LLDAAAGVQVRFRLGGVRFPPEIYYKIFTHRHIEDLCANSPRDYTKLPARYTSHNKDDPP QVEDNSGWYRRVENNGWRPVSYRFWMPLESGVVDSTKESEFHFSKLKRKQDLEKKRKIKK IDWMRQMYYMGSLEAKATDNETLGLIHKATKGLIKSIEDGGVDSVMEWEVDEVLNWTNTL NFDEYIASWRETATSNSSANLKDVKLQRIQKSLQSNIYGDEAKQAEESLYDDSTYYENAY TKQFTRLTPDSMFGM >ENSMUSP00000025515.6 pep:known chromosome:GRCm38:18:90510154:90543267:1 gene:ENSMUSG00000024614.6 transcript:ENSMUST00000025515.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmx3 description:thioredoxin-related transmembrane protein 3 [Source:MGI Symbol;Acc:MGI:2442418] MANAVGRRSWAALRLCAAVILLDLAVCKGFVEDLNESFKDNRKDDIWLVDFYAPWCGHCK KLEPIWNEVGLEMKSIGSPVKVGKMDATSYSSIASEFGVRGYPTIKLLKGDLAYNYRGPR TKDDIIEFAHRVSGALIRPLPSQQMFDHVRKRHRVFFVYIGGESPLKEKYIDAASELIVY TYFFSASEDVVPEYVTLKEMPAVLVFKDDTYFVYDEYEDGDLSSWISRERFQNYLTMDGF LLYELGDTGKLVAIAVIDEKNTSLEHTRLKSIIQEVARDFRDHFHRDFQFGHMDGNDYIN TLLMDELTVPTIVVLNTSNQQYFLLDRHIKDASDMVQFINSILDGTVPAQGGDSIFQRLK RIVFDAKSTIVSIFKSSPLMGCFLFGLPLGVISIMCYGIYTADTDGGYIEERYEVSKSEM ENQEQIEESKEQESSSGGSLAPTVQEPKDVLEKKKD >ENSMUSP00000038130.6 pep:known chromosome:GRCm38:17:55997081:56005570:-1 gene:ENSMUSG00000038781.6 transcript:ENSMUST00000043785.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stap2 description:signal transducing adaptor family member 2 [Source:MGI Symbol;Acc:MGI:2147039] MATALSPPRGPKLKGAPPSHYYESFLEKKGPCDQDYRKFWAGLQGLAICFYNSNRDLQPL EKLDLRLFSKLRDEALLGSSRDTAYHFSLVLRDQEVKFKVESLESCEMWKGFILTVVELR VPSNLTLLPGHLYMMAEVLTKEEVRRAAEVPWCFLQVSRLEAQLLLERYPECGNLLLRPG GDGKDSVSVTTRQILNGSPVVKHYKVKRAGSKYVIDVEDPFSCPSLEAVVNYFVTHTKRA LVPFLLDEDYEKVLGFVDSDRENGESAWAVPSFRASGPALPANVLKPLPPVPVSVSSQED KLPQLPPLPQLPDTDENYVTPIEDSPAAEYMNQDVSLSSQAVPLKPKKPARLPAKPPKPS VVPKPDLKAITSVWTRKLGGSSSQASSLVTRLGDITAELEEKLQKRRALEH >ENSMUSP00000108831.1 pep:known chromosome:GRCm38:9:85312773:85312860:1 gene:ENSMUSG00000079433.1 transcript:ENSMUST00000113205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11114 description:predicted gene 11114 [Source:MGI Symbol;Acc:MGI:3779366] LFVLVFVCWFLFFVCLLAC >ENSMUSP00000105000.2 pep:known chromosome:GRCm38:8:105305601:105310623:1 gene:ENSMUSG00000014791.9 transcript:ENSMUST00000109375.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo3 description:engulfment and cell motility 3 [Source:MGI Symbol;Acc:MGI:2679007] MAPPRNVVKIAVQMSDAIPQLIQLDQAKPLATVLKEVCDAWSLTHPEHYALQFADGHRKY ITENNRLEIKNGSILCLSTAPDLKAQQLLGRLQNTSREGCCEVLRNLVLLASDMTFAQEV ISRDGLQKLSTIIENGDDLGEMLALGLRAFLELMEHGVVSWETLSISFVRKVISYVNMNL MDASVQPLALRLLESVTLSSPALGQLVKSEVPLDRLLVHLQVMNHQLQTKAMALLTALLQ GASPTERKEMLDHLWKKNLRQFIYKNIIHSATPMGDEMAHHLYVLQALTLGLLEPRMRTP LDPYSQEQRDQLQALRQAAFEPEGESLGTGLSADRRRSLCVREFRKLGFSNSNPAQDLER VPPGLLALDNMLYFSRHAPSAYSRFVLENSSREDKHECPFARSSIQLTALLCELLRVGEP CSETAQDFSPMFFSQDHSFHELFCVAIQLLNKTWKEMRATQEDFDKVMQVVREQLARTLA LKPTSLELFRTKVNALTYGEVLRLRQTERLHQEGTLAPPILELREKLKPELMGLIRQQRL LRLCEGMLFRKISSRRRQDKLWFCCLSPNHKVLQYGDVEEGAKPPTLESLPEQLPVADIR ALLMGKDCPHVREKGSGKQNKDLYELAFSISYDHGEEEAYLNFIAPSKRDFYLWTDGLSA LLGSTMGSELTRLDLEQLLTMETKLRLLELENVPIPEQPPPVPPPPTNFNFCYDYSITEP >ENSMUSP00000129584.2 pep:known chromosome:GRCm38:8:111710485:111743849:-1 gene:ENSMUSG00000031955.9 transcript:ENSMUST00000166232.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcar1 description:breast cancer anti-estrogen resistance 1 [Source:MGI Symbol;Acc:MGI:108091] MTVPNVLAKALYDNVAESPDELSFRKGDIMTVLERDTQGLDGWWLCSLHGRQGIVPGNRL KILVGMYDKKPVGPGPGPPATPPQPQPSLPQGVHAPVPPASQYSPMLPTAYQPQSDNVYL VPTPSKTQQGLYQAPGPNPQFQSPPAKQTSTFSKQTPHHSFPSPATDLYQVPPGPGSPAQ DIYQVPPSAGIGHDIYQVPPSLDTRGWEGTKPPAKVVVPTRVGQGYVYEAAQTEQDEYDT PRHLLAPGPQDIYDVPPVRGLLPNQYGQEVYDTPPMAVKGPNGRDPLLDVYDVPPSVEKG LLSSSHHSVYDVPPSVSKDVPDGPLLREETYDVPPAFAKPKPFDPTRHPLILAAPPPDSP AAEDVYDVPPPAPDLYDVPPGLRRPGPGTLYDVPRERVLPPEVADGSVVDDGVYAVPPPA EREAPTDGKRLSASSTGSTRSSQSASSLEVVVPGREPLELEVAVESLARLQQGVSTTVAH LLDLVGSASGPGGWRGTSEPQEPPAQDLKAAVAAVHGAVHELLEFARGAVSNATHTSDRT LHAKLSRQLQKMEDVYQTLVVHGQVLDSGRGSPGFTPEDLDRLVACSRAVPEDAKQLASF LHGNASLLFRRTKAPGPGPEGSSSLHPNPTDKASSIQSRPLPSPPKFTSQDSPDGQYENS EGGWMEDYDYVHLQGKEEFEKTQKELLERGNIMRQGKGQLELQQLKQFERLEQEVSRPID HDLANWTPAQPLVPGRTGGLGPSDRQLLLFYLEQCEANLTTLTDAVDAFFTAVATNQPPK IFVAHSKFVILSAHKLVFIGDTLSRQAKAADVRSQVTHYSNLLCDLLRGIVATTKAAALQ YPSPSAAQDMVDRVKELGHSTQQFRRVLGQLAAA >ENSMUSP00000007747.8 pep:known chromosome:GRCm38:17:56764751:56770092:1 gene:ENSMUSG00000007603.8 transcript:ENSMUST00000007747.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dus3l description:dihydrouridine synthase 3-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2147092] MAETAAESGGGGDSGVGACERGVAPIKAQYRTTKERFHEYLDGDKQEGACQEVPTGDPAE PGAKRIRLEDGQENGKTEVAIESRERQVPKRARGQNKSRPHVKPAHYDKDRLCPSFLQEP ATPCAFGDRCRFLHDVGRYLETKPADLGPRCVLFETFGRCPFSMTCRFAGAHLGPEGQNL VQEEVVARCAQLPSVRNGLDRALQQQLRKRQVCFERAEQALNRLTQSPMPTVVPETTVAM ATPKQNSCHAQLDTVGGAGTPQSSPVPTCGPLTDEDVIRLRPCEKKRLDISGKLYLAPLT TCGNLPFRRICKRFGADVTCGEMAVCTNLLQGQMSEWALLKRHPCEDIFGVQLEGAFPDT MTKCAELLNRTIDVDFVDINVGCPIDLVYKKGGGCALMNRSAKFQQIVRGVNEVLDVPLT VKMRTGVQERVSLAHRLLPELRDWGVALVTLHGRSREQRYTRLADWPYIEQCAKVASPMP LFGNGDILSFEDANCAMQTGVAGIMVARGALLKPWLFTEIKEQRHWDISSSERLDILRDF THYGLEHWGSDTQGVERTRRFLLEWLSFLCRYVPVGLLERPPQRINERPPYYLGRDYLET LMASQQAADWIRISEMLLGPVPPGFVFLPKHKANAYK >ENSMUSP00000060289.2 pep:known chromosome:GRCm38:7:104959792:104960730:-1 gene:ENSMUSG00000044705.2 transcript:ENSMUST00000050482.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr670 description:olfactory receptor 670 [Source:MGI Symbol;Acc:MGI:3030504] MSSSNGTEFHPSFFLLLGVPGLEKLHVWIGFPFCFVYLIALVGNIIILFVIKSEHSLHQP MFYFLAMLGSIDLGLSTSTIPKMLGIFWFNLREISFGGCVTQMFFIHIFTAMETVVLVAM AFDRYVAICNPLRYSQILTNRTIGLILVVVFGVNFILLIPLVFLILRLPFCGHHIIPHTY CEHMGIARLACANIKVNMIFGLILISMVLADVLLIAISYMRILRAVFCLPSRDARLKALN TCGSHICVILAFFTPAFFSFMTHRFGRNVPAYIHILLANLYVVVPPALNPVIYGVRTKQI RDQVLSIFWKKT >ENSMUSP00000055880.4 pep:known chromosome:GRCm38:7:105156742:105157680:-1 gene:ENSMUSG00000047225.6 transcript:ENSMUST00000060893.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr684 description:olfactory receptor 684 [Source:MGI Symbol;Acc:MGI:3030518] MALSNDSEAPISEFLLICFPNYQTWQHWLSLPLSLLFLLAMGANATLLITIRLEASLHEP MYYLLSLLSLLDIVLCLTVIPKVLAIFWFDNKSIGFSSCFLQMFVMNSFLTMESCTFMVM AYDRYVAICKPLQYPTVITDQFVVRAAIFIISRNALISLPVPILSARLKYCAQNIIKNCI CTNLSVSRLSCDDITLNKLYQLVAGWTLLGSDLILIVLSYSFIFRVVLRIKAEGAVAKAL STCGSHFILILFFSTVLLVLVITNLARERIPPDIPILLNILHHLIPPALNPIVYGVRTRE IKQGIQNLLRRL >ENSMUSP00000034429.7 pep:known chromosome:GRCm38:8:111912018:111933791:-1 gene:ENSMUSG00000031951.7 transcript:ENSMUST00000034429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem231 description:transmembrane protein 231 [Source:MGI Symbol;Acc:MGI:2685024] MALYHLFSHPIERAYRAGLCSKAALFLLLTTALTYIPPLLVAFRSHGFWLKRSSYEEQPN VRFQHQVLLVALLGPEPEAFLAWSTFPTFNRLQGAHLRVPLVSSREEDRNQDGKMDVLYF KLELPLQPTEHVLGVQLILTFSYQLHRMSTFEMQSMAFLQSSFAVPGSQLYVNGDLRLQQ KQPLSYRGLDIRYNVSVINGTSPFAQDYDLTHIVAAYQERNVTTVLSDPNPIWLVGRAAE APFVIHAVIRYPTEVISYQPGFWEMIKFAWIQYVSILLIFLWVFERIKIFVFQNQVVTSI PVAVPQGEIRKEHLS >ENSMUSP00000020947.5 pep:known chromosome:GRCm38:12:10390780:10395562:1 gene:ENSMUSG00000020621.5 transcript:ENSMUST00000020947.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh14 description:retinol dehydrogenase 14 (all-trans and 9-cis) [Source:MGI Symbol;Acc:MGI:1920402] MAVASVAAALLAALGGALWLAARRFSGPRNQRQQGGGDPGLMHGKTVLITGANSGLGRAT AAELLRLGARVIMGCRDRARAEEAAGQLRQELCQAGGAGPDGTDGQLVVKELDLASLRSV RAFCQELLQEEPRLDVLINNAGVFHCPYTKTEDGFEMQFGVNHLGHFLLTNLLLGLLKSS APSRIVVVSSKLYKYGEINFEDLNSEQSYNKSFCYSRSKLANILFTRELARRLEGTNVTV NVLHPGIVRTNLGRHIHIPLLARPLFNLVSWAFFKTPLEGAQTSIYLACSPDVEGVSGRY FGDCKEEELLPKAMDESVARKLWDISEVMVGILK >ENSMUSP00000021552.1 pep:known chromosome:GRCm38:12:80107760:80113013:-1 gene:ENSMUSG00000021127.6 transcript:ENSMUST00000021552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp36l1 description:zinc finger protein 36, C3H type-like 1 [Source:MGI Symbol;Acc:MGI:107946] MTTTLVSATIFDLSEVLCKGNKMLNYSTPSAGGCLLDRKAVGTPAGGGFPRRHSVTLPSS KFHQNQLLSSLKGEPAPSLSSRDSRFRDRSFSEGGERLLPTQKQPGSGQVNSSRYKTELC RPFEENGACKYGDKCQFAHGIHELRSLTRHPKYKTELCRTFHTIGFCPYGPRCHFIHNAE ERRALAGGRDLSADRPRLQHSFSFAGFPSAAATAAATGLLDSPTSITPPPILSADDLLGS PTLPDGTNNPFAFSSQELASLFAPSMGLPGGGSPTTFLFRPMSESPHMFDSPPSPQDSLS DHEGYLSSSSSSHSGSDSPTLDNSRRLPIFSRLSISDD >ENSMUSP00000127522.1 pep:known chromosome:GRCm38:12:80108698:80112998:-1 gene:ENSMUSG00000021127.6 transcript:ENSMUST00000165114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp36l1 description:zinc finger protein 36, C3H type-like 1 [Source:MGI Symbol;Acc:MGI:107946] MTTTLVSATIFDLSEVLCKGNKMLNYSTPSAGGCLLDRKAVGTPAGGGFPRRHSVTLPSS KFHQNQLLSSLKGEPAPSLSSRDSRFRDRSFSEGGERLLPTQKQPGSGQVNSSRYKTELC RPFEENGACKYGDKCQFAHGIHELRSLTRHPKYKTELCRTFHTIGFCPYGPRCHFIHNAE ERRALAGGRDLSADRPRLQHSFSFAGFPSAAATAAATGLLDSPTSITPPPILSADDLLGS PTLPDGTNNPFAFSSQELASLFAPSMGLPGGGSPTTFLFRPMSESPHMFDSPPSPQDSLS DHEGYLSSSSSSHSGSDSPTLDNSRRLPIFSRLSISDD >ENSMUSP00000073302.4 pep:known chromosome:GRCm38:10:112060189:112078510:1 gene:ENSMUSG00000020213.6 transcript:ENSMUST00000073617.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glipr1l1 description:GLI pathogenesis-related 1 like 1 [Source:MGI Symbol;Acc:MGI:1916536] MALKKKLNFLWTLVLYLIASRLPKAFGKDLPRVPTITDPKFIDAFLNIHNELRRKVQPPA ADMNQLFWDQQLAKLAKAWTRECKLAHNPCIKQRYECLEDYDFIGENIYLGRIETQPEDV VINWYNESKYFNFDFNTCSEMCGHYTQVVWAKTVKIGCAVSNCPNLKGFSAGLFVCNYSP AGNFIGFRPYTRGDSCSMCGQKTCENSLCRPMNRKTPHHKAACHVLVLGFILQSLL >ENSMUSP00000093469.1 pep:known chromosome:GRCm38:14:56402697:56525032:1 gene:ENSMUSG00000000365.8 transcript:ENSMUST00000095793.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf17 description:ring finger protein 17 [Source:MGI Symbol;Acc:MGI:1353419] MAAEASSTGLASCHLVESKSGAQGASGCQCTRCGRKVSVASGDHHKFPCGHAFCELCLLA PQEYTTSKCTDCEVHTTVSMNQGHYPVDGFIEEDSSLEALPPKMVNNCSSDLEKTVDQLI NDLEHSSSIHRNVSNPSAVMSETEEIDEALKIAGCNFEQLSNAIKMLDSTQDQTRQETHS LTEAVEKQFDTLLASLDSRKKSLCEELIRRTDDYLSKLVTVKSYIEEKKSDLDAAMKIAK ELRSAPSLRTYCDLTQIIRTLKLTFESELSQVSSIIPRNTPRLDINCSEAICMFSSMGKI EFEDSTKCYPQENEDGQNVQKKFNNRKELCCDVYSSLEKKKVDAAVLTDETPEPPLQAEA PDRHLEGKKKQPTKEMVVVTSPKTIAVLPQLGSSPDVIIEEIIEENLESCFTDDPIETSG YPKKPPQKEQSAPVGSKAGCPELVFVSHVIHPCHFYVRKYSQIKDATILEKKMKQVCNRS LHLDPSDILELGARIFVNSIKNRMWCRGIITEIIPSKTKNIRKPCSPTKFSVCEISLIQI FMVDFGNSEVLIITGVGDTHEGPEHDGEQHITLSDFCLLLMKSEPYSEELLKDIPHLAHL CSLKDIVPYNSTEGWEKEAKVEFLKMVNKKAVLMKVFGEEDDVLIVDLQKPPTNKISSDM PVSLRDALVFMELARFRSQSPRSHSEKNTTLCYHPPILPEEMTEVSVMVCHINSPTDFYL QLMENLDFLSLLKTIEEFYKGEDGENLEILCPLQNQACVAKFEDGIWYRAKVIGLPGHRE VEVKYVDFGNTAKITLKDMRKIKDEFLEPPEKAIKCKLAYVEPSKKSQWSKKAKEKFEEK TQDKFVTCSVIKILENNVLLVELFDSRAPGKSAVSINDQLVKEGLASYEAGYTLKDNSKK HLEVWDPSPEEIITSEINNLSPLSVKSLPNENFQSLYNKELPVNICNVISPEKIYVQWLL TENLLNSLEEKMVAAYEHSEWKPVKWECDMHCAVKVPAKNQWRRGQILRMVTDKLVEVLL YDVGVELVVNIHCLRELQENLKTMGRLSLECSLVDIRPTGGSDKWTATACDCLSLHLTGA IATIILQESNTTWPLPVKIFCRDEKGERVDVSKYLIKKGLALRERRVSKSSNSHSPEKSL EIPLEQGDSVVTKCFKINFDTNKKIADKVNEHKVPDSKGKKSESRSTGCYRPPAVPNTSS FEAIVTCIGDDGTIFVVPKLSEFELIKMMDEIQSNLKCLGLLEPYSWKKGEPCAVRGSDT LWYRGKVMEVVGGTIRVQYLDHGFTEKIPQCHLYPILLYPDTPQFCIPCQLYQTLPVGNT WQPDAIELLQELLSKREVDIHIMELPNNSWGKLSVHLYFDGMSLSHFMAHHKYCIFEHTE EIFKEKPRGQNKKYEDENWKIRFEDLLLPEMEAPVLPPYLSSLLPPPEELFAVQVKHIVS PDEMYICLDSEDSYTQFNHHGDTDDSGVSWESESENLEEALQRFNKNVETFPPLTDFSSE MPCLAEYADGLWYRAKIISIKEFNPLSVLVLFVDYGCTEKLTINRLRQIPVQLMQYPAQA IKVLLAGFKPPLSDSGKTRIPYCPKWSMEALWTMIDCLQGKQLYASSVAQAPEQIVTLYE DEQYPVHMSLVEMGLADKDE >ENSMUSP00000050300.2 pep:known chromosome:GRCm38:10:128267997:128270151:1 gene:ENSMUSG00000047631.2 transcript:ENSMUST00000050901.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apof description:apolipoprotein F [Source:MGI Symbol;Acc:MGI:104539] MAGLYGCSAPDMHSLRLILMSIQLLCYLLLCPVDATSHGEATSVSTVLPPSKLGYQMQTS DKPLSCQMLLPKSLPGFTYMPPVSKFLVGLALRNALEAAGCQAEVWALQLQLYRLGGVEA TQALIHHLQELQKSGHTDREVSVDALSSALQLLAWEQPGPKRAKRSISNTDCDNDQEQSV HNVVDLLPAVGTYYNLGTALYYAIKNCSDKAKERGRDGAIDLGYDLLMAMVGASGGPAGA VITAALKPAMKAGVQRLIQYYYDEKEVTTPQPEVTTHQPETGKDATTDIGVVEEIAMSNF VSEVESTTSNWEWPLLKNYGVLAYKR >ENSMUSP00000095817.2 pep:known chromosome:GRCm38:7:102900342:102901386:1 gene:ENSMUSG00000073964.2 transcript:ENSMUST00000098215.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr570 description:olfactory receptor 570 [Source:MGI Symbol;Acc:MGI:3030404] MILNTSEVEISSFLLIGIPGFEHMHIWISIPICLMYLTAILGNCTILCVIRTEPSLHEPM YYFLSMLAFSDLGLSFSSIPTMLRIFLFNAMGISTDACIAQEFFIHGFTDMESSVLLIMS FDRFVAIRHPLRYSAILTSSRVVQIGLAFSVKSILLVLPLPFTLKRLRYCNKRLLSHSYC LHQDVMKLACSDNRVNFYYGLFVALCMMSDSVFIAVSYVFILKTVLGIASHGERLKALNT CVSHICAVLIFYVPIITLATMHRFAKHKSPLAMILIADAFLLVPPLMNPIVYCVKTRQIR VKVLEKLGLHSK >ENSMUSP00000137260.1 pep:known chromosome:GRCm38:12:31595354:31634658:-1 gene:ENSMUSG00000020650.14 transcript:ENSMUST00000177962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcap29 description:B cell receptor associated protein 29 [Source:MGI Symbol;Acc:MGI:101917] MTIQWAAVASFLYAEIGLILLFCLPFIPPQRWQKIFSFSVWGKIASFWNKAFLTIIILLI ILFLDAVREVRKYSSTNVVEKNSAIRPSAFEHTQMKLFRSQRNLYISGFSLFFWLVLRRL VTLITQLAKEIANKGVLKIQAENTNKAAKKFMEENEKLKLGLRNDNAEEHLLEAENKKLI ESKENLKTELKKASDALLKAQNDVMTMKIQSERLSKEYDRLLKEHSELQNRLEKEKKKGL >ENSMUSP00000020979.7 pep:known chromosome:GRCm38:12:31595354:31634597:-1 gene:ENSMUSG00000020650.14 transcript:ENSMUST00000020979.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcap29 description:B cell receptor associated protein 29 [Source:MGI Symbol;Acc:MGI:101917] MTIQWAAVASFLYAEIGLILLFCLPFIPPQRWQKIFSFSVWGKIASFWNKAFLTIIILLI ILFLDAVREVRKYSSTNVVEKNSAIRPSAFEHTQMKLFRSQRNLYISGFSLFFWLVLRRL VTLITQLAKEIANKGVLKIQAENTNKAAKKFMEENEKLKLGLRNDNAEEHLLEAENKKLI ESKENLKTELKKASDALLKAQNDVMTMKIQSERLSKEYDRLLKEHSELQNRLEKEKKKGL >ENSMUSP00000047865.7 pep:known chromosome:GRCm38:8:109548017:109565601:-1 gene:ENSMUSG00000037993.7 transcript:ENSMUST00000042601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx38 description:DEAH (Asp-Glu-Ala-His) box polypeptide 38 [Source:MGI Symbol;Acc:MGI:1927617] MEDPGEDTSIHRLEGTSLDSQVGGLICKTKSAANEQHVFKAPAPRPSLLGLDLLASLKRR EREEKDDGEDKKKSRVSSYKDWEESKDDQKDAEEESEDQAGRSSRRDRHYRSARVETPSH PGGVSEEFWERSRQRERDRREHGVYASSKEEKDRKKERSRDRDYDRKRDRADERDRSRHS SRSDRDGGSERSSRRNEPESPRHRPKDAATPSRSAWEEDDSGYGSSRRSQWETPSPTPSY RDSERGHRPSTRDRDRSIRSKSSDDTPLPTPSYKYNEWADDRRHLGSTPRLSRGRGRRED GEEGIAFDTEEERQQWEDDQRQADRDWYMMDEGYDEFHNPLAYSSEDYVRRREQHLHKQK QKRISAQRRQINEDNERWETNRMLTSGVVHRLEVDEDFEEDNAAKVHLMVHNLVPPFLDG RIVFTKQPEPVIPVKDATSDLAIIARKGSQTVRKHREQKERRKAQHKHWELAGTKLGDIM GVKKEEEPDKAMTEDGKVDYRTEQKFADHMKEKSEASSEFAKKKSILEQRQYLPIFAVQQ ELLTIIRDNSIVIVVGETGSGKTTQLTQYLHEDGYTDYGMIGCTQPRRVAAMSVAKRVSE EMGGNLGEEVGYAIRFEDCTSENTLIKYMTDGILLRESLREADLDHYSAVIMDEAHERSL NTDVLFGLLREVVARRSDLKLIVTSATMDAEKFAAFFGNVPIFHIPGRTFPVDILFSKTP QEDYVEAAVKQSLQVHLSGAPGDILIFMPGQEDIEVTSDQIVEHLEELENAPALAVLPIY SQLPSDLQAKIFQKAPDGVRKCIVATNIAETSLTVDGIMFVIDSGYCKLKVFNPRIGMDA LQIYPISQANANQRSGRAGRTGPGQCFRLYTQSAYKNELLTTTVPEIQRTNLANVVLLLK SLGVQDLLQFHFMDPPPEDNMLNSMYQLWILGALDNTGGLTSTGRLMVEFPLDPALSKML IVSCDMGCSSEILLIVSMLSVPAIFYRPKGREEESDQIREKFAVPESDHLTYLNVYLQWK NNNYSTIWCNDHFIHAKAMRKVREVRAQLKDIMVQQRMSLASCGTDWDIVRKCICAAYFH QAAKLKGIGEYVNIRTGMPCHLHPTSSLFGMGYTPDYIVYHELVMTTKEYMQCVTAVDGE WLAELGPMFYSVKQAGKSRQENRRRAKEEASAMEEEMALAEEQLRARRQEQEKRSPLGSV RSTKIYTPGRKEQGEPMTPRRTPARFGL >ENSMUSP00000057984.7 pep:known chromosome:GRCm38:12:83950608:83952953:1 gene:ENSMUSG00000046791.7 transcript:ENSMUST00000053744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410016O06Rik description:RIKEN cDNA 2410016O06 gene [Source:MGI Symbol;Acc:MGI:1919202] MDELPNGNGAALLKRGRGRRRRHPQSQPRGASVLALPLRPRKIRRHRKSAAASRVAALRA RALRSEDSDSKVAVASVRGKRKRPAELLEASRSAEPRPVSARPRSASATLPSRVEGWAAL SRNLGTAAPPPPGSHADEPGRPRASPLQQVLTELNGIPSSRRRAARLFEWLLAPLPPDHF YRRLWEREAVLVRRQDRSYYEGLFSTADLDSMLRYEDVQFGQHLDAARYVDGRRETLNPP GRALPAAAWSLYRAGCSLRLLCPQAFSPTVWQFLAVLQEQFGSMAGSNVYLTPPDSQGFA PHYDDIEAFVLQLEGRKLWRVYRPRDPSEELALTSSPNFSQEDLGEPVLQTVLEPGDLLY FPRGFIHQAECQDGVHSLHLTLSTYQRNTWGDFLEAVLPLAVQAAIEENVEFRRGLPRDF MDYMGAQHSDSKDPRRTAFMEKVRVLVARLGHFAPVDAVADQRAKDFIHDSLPPVLTDRE RALSVHGLPVRWEAGEPVNVGAQLTTETQVHMLQDGVARLVGEGGRLFLYHTVENSRVYH LEEPKCLEIHPQQADAMELLLRSYPEFVRVGDLPCDSVEDQLSLATMLYDKGLLLTKTPL VPS >ENSMUSP00000129789.2 pep:known chromosome:GRCm38:10:128747879:128766568:1 gene:ENSMUSG00000064030.14 transcript:ENSMUST00000163377.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pym1 description:PYM homolog 1, exon junction complex associated factor [Source:MGI Symbol;Acc:MGI:1925678] METASTPEATGTGKYIASTQRPDGTWRKQRRVKEGYVPQEEVPVYENKYVKFFKSKPELP PGLSPEATTPVTPSRPEGGETGLSKTAKRNLKRKEKRRQQQEKEAEALSRTLDKVSLGDT AQIPSALQGPQATPLAASDPSDSAATTEKAKKIKNLRKKLRQVEELQQRIQAGEVSQPSR EQLEKLARRRVLEEELEDLELGL >ENSMUSP00000067623.8 pep:known chromosome:GRCm38:10:128748455:128766568:1 gene:ENSMUSG00000064030.14 transcript:ENSMUST00000065210.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pym1 description:PYM homolog 1, exon junction complex associated factor [Source:MGI Symbol;Acc:MGI:1925678] MATPYVTDETGGKYIASTQRPDGTWRKQRRVKEGYVPQEEVPVYENKYVKFFKSKPELPP GLSPEATTPVTPSRPEGGETGLSKTAKRNLKRKEKRRQQQEKEAEALSRTLDKVSLGDTA QIPSALQGPQATPLAASDPSDSAATTEKAKKIKNLRKKLRQVEELQQRIQAGEVSQPSRE QLEKLARRRVLEEELEDLELGL >ENSMUSP00000007482.6 pep:known chromosome:GRCm38:19:6053630:6057751:-1 gene:ENSMUSG00000007338.9 transcript:ENSMUST00000007482.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl49 description:mitochondrial ribosomal protein L49 [Source:MGI Symbol;Acc:MGI:108180] MAAAVLRAALQDWRSCLGRSYGRRKLSQTQGPPDNPGFVESVDEYQFVERLLPPTKIPEP PKHKHYPTPSGWQPPRDPLPSLPYFVRRSRMHNIPVYKEITHGNRQMTLIRKVEGDIWAL QKDVEEFLSPLLGKTPITQVNEVTGTLRIKGYFDEQLKAWLLEKGF >ENSMUSP00000070983.9 pep:known chromosome:GRCm38:17:80200089:80207305:-1 gene:ENSMUSG00000024097.10 transcript:ENSMUST00000063417.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf7 description:serine/arginine-rich splicing factor 7 [Source:MGI Symbol;Acc:MGI:1926232] MSRYGRYGGETKVYVGNLGTGAGKGELERAFSYYGPLRTVWIARNPPGFAFVEFEDPRDA EDAVRGLDGKVICGSRVRVELSTGMPRRSRFDRPPARRPFDPNDRCYECGEKGHYAYDCH RYSRRRRSRSRSRSHSRSRGRRYSRSRSRSRGRRSRSASPRRSRSVSLRRSRSASLRRSR SGSIIGSRYFQSRSRSRSRSRSISRPRSSRSKSRSPSPKRSRSPSGSPHRSASPERMD >ENSMUSP00000085530.6 pep:known chromosome:GRCm38:15:99590849:99594608:1 gene:ENSMUSG00000044217.16 transcript:ENSMUST00000088200.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp5 description:aquaporin 5 [Source:MGI Symbol;Acc:MGI:106215] MKKEVCSVAFFKAVFAEFLATLIFVFFGLGSALKWPSALPTILQISIAFGLAIGTLAQAL GPVSGGHINPAITLALLIGNQISLLRAIFYVAAQLVGAIAGAGILYWLAPGNARGNLAVN ALSNNTTPGKAVVVELILTFQLALCIFSSTDSRRTSPVGSPALSIGLSVTLGHLVGIYFT GCSMNPARSFGPAVVMNRFSPSHWVFWVGPIVGAVLAAILYFYLLFPSSLSLHDRVAVVK GTYEPEEDWEDHREERKKTIELTAH >ENSMUSP00000127611.1 pep:known chromosome:GRCm38:15:99591028:99594829:1 gene:ENSMUSG00000044217.16 transcript:ENSMUST00000169082.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp5 description:aquaporin 5 [Source:MGI Symbol;Acc:MGI:106215] MKKEVCSVAFFKAVFAEFLATLIFVFFGLGSALKWPSALPTILQISIAFGLAIGTLAQAL GPVSGGHINPAITLALLIGNQISLLRAIFYVAAQLVGAIAGAGILYWLAPGNARGNLAVN ALSNNTTPGKAVVVELILTFQLALCIFSSTDSRRTSPVGSPALSIGLSVTLGHLVGIYFT GCSMNPARSFGPAVVMNRFSPSHWVFWVGPIVGAVLAAILYFYLLFPSSLSLHDRVAVVK GTYEPEEDWEDHREERKKTIELTAH >ENSMUSP00000058901.5 pep:known chromosome:GRCm38:12:112820237:112829389:-1 gene:ENSMUSG00000047832.8 transcript:ENSMUST00000062092.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdca4 description:cell division cycle associated 4 [Source:MGI Symbol;Acc:MGI:1919213] MFARGLKRKYGDQEEGVEGFGTVPSYSLQRQSLLDMSLVKLQLCHMLVEPNLCRSVLIAN TVRQIQEEMSQDGVWHGMAPQNVDRAPVERLVSTEILCRTVRGAEEEHPAPELEDAPLQN SVSELPIVGSAPGQRNPQSSLWEMDSPQENRGSFQKSLDQIFETLENKNSSSVEELFSDV DSSYYDLDTVLTGMMSGTKSSLCNGLEGFAAATPPPSSTCKSDLAELDHVVEILVET >ENSMUSP00000128529.2 pep:known chromosome:GRCm38:17:8243807:8257127:1 gene:ENSMUSG00000040899.13 transcript:ENSMUST00000167956.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr6 description:chemokine (C-C motif) receptor 6 [Source:MGI Symbol;Acc:MGI:1333797] MNSTESYFGTDDYDNTEYYSIPPDHGPCSLEEVRNFTKVFVPIAYSLICVFGLLGNIMVV MTFAFYKKARSMTDVYLLNMAITDILFVLTLPFWAVTHATNTWVFSDALCKLMKGTYAVN FNCGMLLLACISMDRYIAIVQATKSFRVRSRTLTHSKVICVAVWFISIIISSPTFIFNKK YELQDRDVCEPRYRSVSEPITWKLLGMGLELFFGFFTPLLFMVFCYLFIIKTLVQAQNSK RHRAIRVVIAVVLVFLACQIPHNMVLLVTAVNTGKVGRSCSTEKVLAYTRNVAEVLAFLH CCLNPVLYAFIGQKFRNYFMKIMKDVWCMRRKNKMPGFLCARVYSESYISRQTSETVEND NASSFTM >ENSMUSP00000128559.2 pep:known chromosome:GRCm38:17:8243807:8257127:1 gene:ENSMUSG00000040899.13 transcript:ENSMUST00000166348.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr6 description:chemokine (C-C motif) receptor 6 [Source:MGI Symbol;Acc:MGI:1333797] MNSTESYFGTDDYDNTEYYSIPPDHGPCSLEEVRNFTKVFVPIAYSLICVFGLLGNIMVV MTFAFYKKARSMTDVYLLNMAITDILFVLTLPFWAVTHATNTWVFSDALCKLMKGTYAVN FNCGMLLLACISMDRYIAIVQATKSFRVRSRTLTHSKVICVAVWFISIIISSPTFIFNKK YELQDRDVCEPRYRSVSEPITWKLLGMGLELFFGFFTPLLFMVFCYLFIIKTLVQAQNSK RHRAIRVVIAVVLVFLACQIPHNMVLLVTAVNTGKVGRSCSTEKVLAYTRNVAEVLAFLH CCLNPVLYAFIGQKFRNYFMKIMKDVWCMRRKNKMPGFLCARVYSESYISRQTSETVEND NASSFTM >ENSMUSP00000137249.1 pep:known chromosome:GRCm38:17:8243807:8257127:1 gene:ENSMUSG00000040899.13 transcript:ENSMUST00000177568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr6 description:chemokine (C-C motif) receptor 6 [Source:MGI Symbol;Acc:MGI:1333797] MNSTESYFGTDDYDNTEYYSIPPDHGPCSLEEVRNFTKVFVPIAYSLICVFGLLGNIMVV MTFAFYKKARSMTDVYLLNMAITDILFVLTLPFWAVTHATNTWVFSDALCKLMKGTYAVN FNCGMLLLACISMDRYIAIVQATKSFRVRSRTLTHSKVICVAVWFISIIISSPTFIFNKK YELQDRDVCEPRYRSVSEPITWKLLGMGLELFFGFFTPLLFMVFCYLFIIKTLVQAQNSK RHRAIRVVIAVVLVFLACQIPHNMVLLVTAVNTGKVGRSCSTEKVLAYTRNVAEVLAFLH CCLNPVLYAFIGQKFRNYFMKIMKDVWCMRRKNKMPGFLCARVYSESYISRQTSETVEND NASSFTM >ENSMUSP00000135945.1 pep:known chromosome:GRCm38:17:8243807:8257127:1 gene:ENSMUSG00000040899.13 transcript:ENSMUST00000180103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr6 description:chemokine (C-C motif) receptor 6 [Source:MGI Symbol;Acc:MGI:1333797] MNSTESYFGTDDYDNTEYYSIPPDHGPCSLEEVRNFTKVFVPIAYSLICVFGLLGNIMVV MTFAFYKKARSMTDVYLLNMAITDILFVLTLPFWAVTHATNTWVFSDALCKLMKGTYAVN FNCGMLLLACISMDRYIAIVQATKSFRVRSRTLTHSKVICVAVWFISIIISSPTFIFNKK YELQDRDVCEPRYRSVSEPITWKLLGMGLELFFGFFTPLLFMVFCYLFIIKTLVQAQNSK RHRAIRVVIAVVLVFLACQIPHNMVLLVTAVNTGKVGRSCSTEKVLAYTRNVAEVLAFLH CCLNPVLYAFIGQKFRNYFMKIMKDVWCMRRKNKMPGFLCARVYSESYISRQTSETVEND NASSFTM >ENSMUSP00000095029.1 pep:known chromosome:GRCm38:17:8242526:8257127:1 gene:ENSMUSG00000040899.13 transcript:ENSMUST00000097418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr6 description:chemokine (C-C motif) receptor 6 [Source:MGI Symbol;Acc:MGI:1333797] MNSTESYFGTDDYDNTEYYSIPPDHGPCSLEEVRNFTKVFVPIAYSLICVFGLLGNIMVV MTFAFYKKARSMTDVYLLNMAITDILFVLTLPFWAVTHATNTWVFSDALCKLMKGTYAVN FNCGMLLLACISMDRYIAIVQATKSFRVRSRTLTHSKVICVAVWFISIIISSPTFIFNKK YELQDRDVCEPRYRSVSEPITWKLLGMGLELFFGFFTPLLFMVFCYLFIIKTLVQAQNSK RHRAIRVVIAVVLVFLACQIPHNMVLLVTAVNTGKVGRSCSTEKVLAYTRNVAEVLAFLH CCLNPVLYAFIGQKFRNYFMKIMKDVWCMRRKNKMPGFLCARVYSESYISRQTSETVEND NASSFTM >ENSMUSP00000131153.2 pep:known chromosome:GRCm38:17:8236043:8257127:1 gene:ENSMUSG00000040899.13 transcript:ENSMUST00000164411.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr6 description:chemokine (C-C motif) receptor 6 [Source:MGI Symbol;Acc:MGI:1333797] MNSTESYFGTDDYDNTEYYSIPPDHGPCSLEEVRNFTKVFVPIAYSLICVFGLLGNIMVV MTFAFYKKARSMTDVYLLNMAITDILFVLTLPFWAVTHATNTWVFSDALCKLMKGTYAVN FNCGMLLLACISMDRYIAIVQATKSFRVRSRTLTHSKVICVAVWFISIIISSPTFIFNKK YELQDRDVCEPRYRSVSEPITWKLLGMGLELFFGFFTPLLFMVFCYLFIIKTLVQAQNSK RHRAIRVVIAVVLVFLACQIPHNMVLLVTAVNTGKVGRSCSTEKVLAYTRNVAEVLAFLH CCLNPVLYAFIGQKFRNYFMKIMKDVWCMRRKNKMPGFLCARVYSESYISRQTSETVEND NASSFTM >ENSMUSP00000021567.5 pep:known chromosome:GRCm38:12:81860030:82000924:1 gene:ENSMUSG00000021140.8 transcript:ENSMUST00000021567.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnx description:pecanex homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1891924] MGSQTLQILRQGVWAALSGGWYYDPHQATFVNALHLYLWLFLLGLPFTLYMALPSSMIIV AVYCPVVAAVFIILKMVNYRLHRALDAGEIVDRSAKEFTDQRAKAEQGNCSTRRKDSNGP SDPGGGIEMSEFIREATPPVGCSSRNSYAGLDPSNQIGSGSSRLGTAATIKGDTDTAKTS DDISLSLGQSSSLCKEGSEEQDLATDRKLFRLVSNDSFISIQPSLSSCGQDLPRDFSDKV SLPSHSQHHRVDQSLCSACDTEVASLVPLHSHSYRKEHRPRGVPRTSSSAVAFPDASLSG LPLYQQQQRRGLDPVTELDSSKPHSGTRESSAGKSCPPAQSQPAADRRKSSSQPPTKCGK SRALNAEKSVDSLRSLSTRSSGSTESYCSGTDRDTNSTLSSYKSEQTSSTHIESILSEHE ESPKVGDKSARKRECGADSVEERSHRADDRRTSSDKTAPEGNTPAGPPEAPDAQASEEMA DQAAPSSSASEDANKNPHANEFTVPGDRPPEQSAESKEEQSEKPSLATDSRVCKDDGGKQ KEGDVRPKSSSLIHRTTSAHKPGRRRTGKKRASSFDSSRHRDYVSFRGVSGTKPHSAVFG HDEDSSDQSDLSRAPSIHSAHQFSSDSSSSATSHSCQSPEGKYGALKTKHGHRDRGTDSD HTHRAHPGPEGTTKKRASRRTSSTSSAKTRARVLSLDSGTVACLNDSNRLLAPDSMKPLT TSKSDLEAKEGEVLDELSLLGRASQLETVTRSRNSLPSQVAFPEGEEQDAATGAAQASEE AVAFRRERSTFRRQAVRRRHNAGSNPTPPTLLIGSPLSLQDGQQGQQSTAQVKVQSRPPS QAAVLSASASLLVRKGSVHLEASHDHASAVGGSSLHDELGKFSSTLYETGGCDMSLVNFE PAARRASNICDTDSHVSSSTSVRFYPHDMLSLPQIRLNRLLTIDTDLLEQQDIDLSPDLA ATYGPTEEAAQKVKHYYRFWVLPQLWIGINFDRLTLLALFDRNREILENILAVVLAILVA FLGSILLIQGFFRDIWVFQFCLVIASCQYSLLKSVQPDSSSPRHGHNRIIAYSRPVYFCL CCGLIWLLDYGSRNLTTSKFKLYGVTFTNPLVLLSARDLVIVFTLCFPIVFFIGLLPQVN TFVMYLCEQLDIHIFGGNATTSLLAALYSFLCSIVAVALLYGLCYGALRDSWDGQHVPVL FSVFCGLLVAVSYHLSRQSSDPSVLFSLMQSKIFPKADEKNPEDPLSEVKDPLPEKLSNS VSERLQSDLVVCVIIGVLYFAIHVSTVFTALQPALKYVLYALVGVVGLVTHYVLPQVRKQ LPWHCFSRPLLRTAEHSQYEVRNAATMMWFEKLHVWLLFVEKNIIYPLIVLNELSSSAET IASPKKLDTELGALMITIAGLKLLRSSFSSPTYQYITVIFTVLFFKFDYEAFSETMLLDL FFMSILFSKLWELLYKLQFVYTYVAPWQITWGSAFHAFAQPFAVPHSAMLFVQAIVSAFF STPLNPFLGSAIFITSYVRPVKFWERDYNTKRVDHSNTRLASQLDRNPGSDDNNLNSIFY EHLTRSLQHSLCGDLLLGRWGNYSTGDCFILASDYLNALVHLIEIGNGLVTFQLRGLEFR GTYCQQREVEAITEGVEEDEGFCCCEPGHVPHVLSFNAAFGQRWLAWEVVVTKYILEGYS ITDNSAASMLQVFDLRRVLTTYYVKGIIYYVTTSSKLEEWLANETMQEGLRLCADRNYVD VDPTFNPNIDEDYDHRLAGISRESFCVIYLSWIEYCSSRRAKPLDVDKDSSLVTLCYGLC VLGRRALGTASHHMSSNLESFLYGLHALFKGDFRISSVRDEWIFADMELLRKVVVPGIRM SIKLHQDHFTSPDEYDDPTVLYEAIVSHEKNLVIAHEGDPAWRSAVLANSPSLLALRHVM DDGTNEYKIIMLNRRYLSFRVIKVNKECVRGLWAGQQQELVFLRNRNPERGSIQNAKQAL RNMINSSCDQPIGYPIFVSPLTTSYSDSHDQLKEILGGPISLGNIRNFIVSTWHRLRKGC GAGCNSGGNIEDSDTGGGTSCPGNSAVTASDPHNNVSQGSTGHPGQGAGSGLHPPTTSYP PTLGTSHSAHSVQSSLVRQSPARASMASQSSYCYSSRHSSLRMSTTGFVPCRRSSTSQIS LRNLPSSIQSRLSMVNQMEAASQGGMGCVQHGLPSSSSSSQSIPACKHHTLVAFLGAEGG QGSATEAQPGNTSSPANISHARKGEVIYRVQIVDLSQILEGINVSKRKELHWPDEGIRLK AGRNSWKDWSPQEGMEGHVVHRWVPCSRDPSTRSHIDKTVLLVQIDDKYVTIIETGVLEL GAEV >ENSMUSP00000021939.6 pep:known chromosome:GRCm38:13:64432553:64439720:1 gene:ENSMUSG00000021483.7 transcript:ENSMUST00000021939.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk20 description:cyclin-dependent kinase 20 [Source:MGI Symbol;Acc:MGI:2145349] MDQYCILGRIGEGAHGIVFKAKHVETGEIVALKKVALRRLEDGIPNQALREIKALQEIED SQYVVQLKAVFPHGAGFVLAFEFMLSDLAEVVRHAQRPLAPAQVKSYLQMLLKGVAFCHA NNIVHRDLKPANLLISASGQLKIADFGLARVFSPDGGRLYTHQVATRWYRAPELLYGARQ YDQGVDLWAVGCIMGELLNGSPLFPGENDIEQLCCVLRILGTPSPRVWPEITELPDYNKI SFKEQAPVPLEEVLPDASPQALDLLGQFLLYPPRQRIAASQALLHQYFFTAPLPAHPSEL PIPQRPGGPAPKAHPGPPHVHDFHVDRPLEESLLNPELIRPFIPEG >ENSMUSP00000024897.8 pep:known chromosome:GRCm38:17:65580056:65613555:-1 gene:ENSMUSG00000024091.8 transcript:ENSMUST00000024897.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vapa description:vesicle-associated membrane protein, associated protein A [Source:MGI Symbol;Acc:MGI:1353561] MASASGAMAKHEQILVLDPPSDLKFKGPFTDVVTTNLKLQNPSDRKVCFKVKTTAPRRYC VRPNSGIIDPGSIVTVSVMLQPFDYDPNEKSKHKFMVQTIFAPPNISDMEAVWKEAKPDE LMDSKLRCVFEMPNENDKLNDMEPSKAVPLNASKQDGPLPKPHSVSLNDTETRKLMEECK RLQGEMMKLSEENRHLRDEGLRLRKVAHSDKPGSTSAVSFRDNVTSPLPSLLVVIAAIFI GFFLGKFIL >ENSMUSP00000021410.8 pep:known chromosome:GRCm38:12:55280814:55303000:-1 gene:ENSMUSG00000021022.8 transcript:ENSMUST00000021410.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3c description:protein phosphatase 2, regulatory subunit B'', gamma [Source:MGI Symbol;Acc:MGI:1930009] MDWKDVLRRRLASPNTDPKRKKSEQELKDEEMDLFTKYYSEWKGGRKNTNEFYKTIPRFY YRLPAEDEVLLQKLREESRAVFLQRKSRELLDNEELQNLWFLLDKHQIPPMIGEEAMINY ENFLKVGEKAGPKCKQFFTAKVFAKLLHTDSYGRISIMQFFNYVMRKVWLHQTRIGLSLY DVAGQGYLRESDLENYILELIPTLPQLDGLEKSFYSFYVCTAVRKFFFFLDPLRTGKIKI QDILACSFLDDLLELRDEELSKESQETNWFSAPSALRVYGQYLNLDKDHNGMLSKEELSR YGTATMTNVFLDRVFQECLTYDGEMDYKTYLDFVLALENRKEPAALQYIFKLLDIENKGY LNVFSLNYFFRAIQELMKIHGQDPVSFQDVKDEIFDMVKPKDPLKISLQDLINSNQGDTV TTILIDLNGFWTYENREALVANDNENSADLDDT >ENSMUSP00000044363.7 pep:known chromosome:GRCm38:15:76703553:76710559:-1 gene:ENSMUSG00000033762.7 transcript:ENSMUST00000036852.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Recql4 description:RecQ protein-like 4 [Source:MGI Symbol;Acc:MGI:1931028] MERLATVRARLQEWERAFARLHGRRPAKGDVEAAPEETRALYREYRNLKQAVRQADDRHR VLEQSLAEAAEEAQEPSCWGPHLSRAATQNTQSMPKQSLLSSVQDYGKRLKANLKNTTQT GPTQSRKLQLQKRSLSTVPAPRPPGSKTESPCPDEADDALPRVPEPRPRLGQLQQLRSSL SRRLTSLDPGWLERCHNRVSDLLEVPGACGLDLSAEESQPQMSGKVNIADPDIQSEVSVQ SPEAIAQQPAQVLSQSPKSINSKGRKRKWNEKGEDFAQDQPSSGAGPLSEGARATVHGQD PPGEPTQVNVPQPCNSSNQARTEKAKGTTHLHASPRPASLDRGNYIRLNMKNKRFVRVGA NRGRLLRKQVWKQKWKKKQAAFGGSGPRATDKDTCFRCGQFGHWASQCSQPGPTLTVQEE GDRDDKQPISTLEEVAQRTGTASCHHSGEETQPAAPELQVPHCPTPMSPLYPPGPLGQVA ETPAEVFQALERLGYRAFRPGQERAIMRILSGISTLLVLPTGAGKSLCYQLPALLYAQRS PCLTLVVSPLLSLMDDQVSDLPSCLKAACLHSGMTKKQRESVLKKVRAAQVHVLIVSPEA LVGCGARGPGSLPQAAQLPPIAFACIDEVHCLSQWSHNFRPCYLRVCKVLREHMGVRCFL GLTATATRSTARDVAQHLGIAGEFELSGSANIPANLHLSVSMDRDSDQALVTLLQGDRFR TLDSVIIYCTRRKDTERVAALLRTCLSMVGDSRPRGCGPEAIAEAYHAGMSSQERRRVQQ AFMRGHLRMVVATVAFGMGLDRPDVRAVLHLGLPPSFESYVQAIGRAGRDGKPAHCHLFM HPQGEDLWELRRHAHADSTDFLAVKRLVQRVFPPCTCSQRPVSKSSPEEVKEHSGQQTYP VLGQACLGHERALPVQSTVQALDMTEEAIETLLCYLELHPRHWLELLPWTYAQCHLHCLG GSAQLQALAHRCPPLAACQAKWPPKDTSQGRSSLEFGVVELADSMGWKLASVRQALHQLK WDPEPKKGAAQGTGVLVKFSELAFHLHSRGDLTDEEKDQICDFLYNRVQAREHKALAHLH QMSKAFRSVAFPSCGPCLEQSNEEHSNQVKTLVSYYFEEEEEEEETMTDTQGPKPGQTQL QDWEDQIRRDVRQLLSLRPEERFSGRAVARIFHGIASPCYPAQVYGLDRRFWRKYLHLDF HALMHLATEELLLRGR >ENSMUSP00000038783.7 pep:known chromosome:GRCm38:13:97241105:97253039:1 gene:ENSMUSG00000041773.7 transcript:ENSMUST00000041623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enc1 description:ectodermal-neural cortex 1 [Source:MGI Symbol;Acc:MGI:109610] MSVSVHENRKSRASSGSINIYLFHKSSYADSVLTHLNLLRQQRLFTDVLLHAGNRTFPCH RAVLAACSRYFEAMFSGGLKESQDSEVNFDNSIHPEVLELLLDYAYSSRVIINEENAESL LEAGDMLEFQDIRDACAEFLEKNLHPTNCLGMLLLSDAHQCTKLYELSWRMCLSNFQTIR KNEDFLQLPQDMVVQLLSSEELETEDERLVYESAMNWISYDLKKRYCYLPELLQTVRLAL LPAIYLMENVAMEELITKQRKSKEIVEEAIRCKLKILQNDGVVTSLCARPRKTGHALFLL GGQTFMCDKLYLVDQKAKEIIPKADIPSPRKEFSACAIGCKVYITGGRGSENGVSKDVWV YDTLHEEWSKAAPMLVARFGHGSAELKHCLYVVGGHTAATGCLPASPSVSLKQVEQYDPT TNKWTMVAPLREGVSNAAVVSAKLKLFAFGGTSVSHDKLPKVQCYDQCENRWSVPATCPQ PWRYTAAAVLGNQIFIMGGDTEFSACSAYKFNSETYQWTKVGDVTAKRMSCHAVASGNKL YVVGGYFGIQRCKTLDCYDPTLDVWNSITTVPYSLIPTAFVSTWKHLPS >ENSMUSP00000040847.4 pep:known chromosome:GRCm38:19:56722372:56724862:1 gene:ENSMUSG00000035283.4 transcript:ENSMUST00000038949.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrb1 description:adrenergic receptor, beta 1 [Source:MGI Symbol;Acc:MGI:87937] MGAGALALGASEPCNLSSAAPLPDGAATAARLLVLASPPASLLPPASEGSAPLSQQWTAG MGLLLALIVLLIVVGNVLVIVAIAKTPRLQTLTNLFIMSLASADLVMGLLVVPFGATIVV WGRWEYGSFFCELWTSVDVLCVTASIETLCVIALDRYLAITSPFRYQSLLTRARARALVC TVWAISALVSFLPILMHWWRAESDEARRCYNDPKCCDFVTNRAYAIASSVVSFYVPLCIM AFVYLRVFREAQKQVKKIDSCERRFLGGPARPPSPEPSPSPGPPRPADSLANGRSSKRRP SRLVALREQKALKTLGIIMGVFTLCWLPFFLANVVKAFHRDLVPDRLFVFFNWLGYANSA FNPIIYCRSPDFRKAFQRLLCCARRAACRRRAAHGDRPRASGCLARAGPPPSPGAPSDDD DDDAGTTPPARLLEPWTGCNGGTTTVDSDSSLDEPGRQGFSSESKV >ENSMUSP00000020974.6 pep:known chromosome:GRCm38:12:25093801:25096092:-1 gene:ENSMUSG00000020644.8 transcript:ENSMUST00000020974.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Id2 description:inhibitor of DNA binding 2 [Source:MGI Symbol;Acc:MGI:96397] MKAFSPVRSVRKNSLSDHSLGISRSKTPVDDPMSLLYNMNDCYSKLKELVPSIPQNKKVT KMEILQHVIDYILDLQIALDSHPTIVSLHHQRPGQNQASRTPLTTLNTDISILSLQASEF PSELMSNDSKVLCG >ENSMUSP00000111048.3 pep:known chromosome:GRCm38:17:24223232:24251409:-1 gene:ENSMUSG00000072082.6 transcript:ENSMUST00000115390.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnf description:cyclin F [Source:MGI Symbol;Acc:MGI:102551] MGSGGVIHCRCAKCFCYPTKRRIKRRPRNLTILSLPEDVLFHILKWLSVGDILAVRAVHS HLKYLVDNHASVWASASFQELWPSPQNLKLFERAAEKGNFEAAVKLGIAYLYNEGLSVSD EACAEVNGLKASRFFSMAERLNTGSEPFIWLFIRPPWSVSGSCCKAVVHDSLRAECQLQR SHKASILHCLGRVLNLFEDEEKRKQARSLLEESSRQGCLISSYLLWESDRKVDMSDPGRC LHSFRKLRDYAAKGCWEAQLALAKACAGGSQLGLEGKACSESVCQLFQASQAVNKQQIFS VQKGLSDTMRYILIDWLVEVATMKDFTSLCLHLTVECVDRYLRRRLVPRYKLQLLGIACM VICTRFISKEILTIREAVWLTDNTYKYEDLVRVMGEIISALEGKIRIPTVVDYKEVLLTL VPVAPRTQHLCSFLCELTLLHTSLSIYAPARLASAALLLARLMHGQTQPWTTHLWDLTGF SYSDLVPCVLSLHKKCFHDDAPKDYRQVSLTAVKQRFEDKCYEEISREEVLSYADLCSTI GVKQESPEPPSFPSSGEIHTFLSSPSGRRSKRKRENSLQEDRGSFVTTPTAELSNQEETL LGSLLDWSLECCSGYEGDQESEGEKEGDVTAPSRLLDVTVVYLNPEEHCCQESSDEEAWP EDKIHPAPGTQAPPASAPRPLLCNRGDRAKDITTSGYSSVSSSSPISSLDGGMGGSPQST SVLSVGSHSSTKPCHHQAKKSCLQCRPPNSPESGVHQQPVKRQNLSVHSDKDMHLAS >ENSMUSP00000131017.1 pep:known chromosome:GRCm38:11:82028224:82038562:-1 gene:ENSMUSG00000092157.1 transcript:ENSMUST00000171515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17268 description:predicted gene, 17268 [Source:MGI Symbol;Acc:MGI:4936902] RCPITLVERLFVVNGWSVSVLA >ENSMUSP00000136267.1 pep:known scaffold:GRCm38:GL456350.1:394:1059:-1 gene:ENSMUSG00000094121.1 transcript:ENSMUST00000177695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl21c description:chemokine (C-C motif) ligand 21C (leucine) [Source:MGI Symbol;Acc:MGI:1891386] MAQMMTLSLLSLVLALCIPWTQGSDGGGQDCCLKYSQKKIPYSIVRGYRKQEPSLGCPIP AILFLPRKHSKPELCANPEEGWVQNLMRRLDQPPAPGKQSPGCRKNRGTSKSGKKGKGSK GCKRTEQTQPSRG >ENSMUSP00000130306.1 pep:known chromosome:GRCm38:8:123232255:123236206:-1 gene:ENSMUSG00000033594.10 transcript:ENSMUST00000166768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata2l description:spermatogenesis associated 2-like [Source:MGI Symbol;Acc:MGI:1926029] MGSSSLSEDYRQCLERELRRGRAGVCGDPSLRAVLWQILVEDFDLHGALQDDALALFTDG LWGRADLAPALQDLARAFELLELAAVHLYLLPWRKEFTTIKTFSGGYVHVLKGVLSEELL TRSFQKMGYVRRDNHRLMVTTPPPACQLVQVALGCFALRLECEILSEVLTQLGTSVLPAE ELLRARRASGDVASCVAWLQQRLAQDEEPPPLPPRGTPATYGAPVDLYQDLQEDESSEAS LYGEPSPGLDSPPVELAYRPPLWEQSAKLWGSGGQPWEPPADDMHRASSPPYGALEEELE PEPSAFSFLSLRRELSRSGDLAPPESPSSPGQASPRHRQAEAAASSAYGPAVEPLSYQAH SCLSPGNLPTLCCDTCRQLHATHCTALSACRPTHSLRILLGDNQRRLWLQRAQVDNLLYD SPGAHP >ENSMUSP00000095932.1 pep:known chromosome:GRCm38:8:123232258:123236209:-1 gene:ENSMUSG00000033594.10 transcript:ENSMUST00000098327.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata2l description:spermatogenesis associated 2-like [Source:MGI Symbol;Acc:MGI:1926029] MGSSSLSEDYRQCLERELRRGRAGVCGDPSLRAVLWQILVEDFDLHGALQDDALALFTDG LWGRADLAPALQDLARAFELLELAAVHLYLLPWRKEFTTIKTFSGGYVHVLKGVLSEELL TRSFQKMGYVRRDNHRLMVTTPPPACQLVQVALGCFALRLECEILSEVLTQLGTSVLPAE ELLRARRASGDVASCVAWLQQRLAQDEEPPPLPPRGTPATYGAPVDLYQDLQEDESSEAS LYGEPSPGLDSPPVELAYRPPLWEQSAKLWGSGGQPWEPPADDMHRASSPPYGALEEELE PEPSAFSFLSLRRELSRSGDLAPPESPSSPGQASPRHRQAEAAASSAYGPAVEPLSYQAH SCLSPGNLPTLCCDTCRQLHATHCTALSACRPTHSLRILLGDNQRRLWLQRAQVDNLLYD SPGAHP >ENSMUSP00000043167.6 pep:known chromosome:GRCm38:10:121365090:121397245:1 gene:ENSMUSG00000034707.6 transcript:ENSMUST00000040344.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gns description:glucosamine (N-acetyl)-6-sulfatase [Source:MGI Symbol;Acc:MGI:1922862] MRLPSAAGPRPGRPRRLPALLLLPLLGGCLGLVGAARRPNVLLLLTDDQDAELGGMTPLK KTKALIGEKGMTFSSAYVPSALCCPSRASILTGKYPHNHHVVNNTLEGNCSSKAWQKIQE PYTFPAILKSVCGYQTFFAGKYLNEYGAPDAGGLEHIPLGWSYWYALEKNSKYYNYTLSI NGKARKHGENYSVDYLTDVLANLSLDFLDYKSNSEPFFMMISTPAPHSPWTAAPQYQKAF QNVIAPRNKNFNIHGTNKHWLIRQAKTPMTNSSIRFLDDAFRRRWQTLLSVDDLVEKLVK RLDSTGELDNTYIFYTSDNGYHTGQFSLPIDKRQLYEFDIKVPLLVRGPGIKPNQTSKML VSNIDLGPTILDLAGYDLNKTQMDGMSLLPILKGDRNLTWRSDVLVEYQGEGRNVTDPTC PSLSPGVSQCFPDCVCEDAYNNTYACVRTLSSLWNLQYCEFDDQEVFVEVYNITADPDQI TNIAKSIDPELLGKMNYRLMMLQSCSGPTCRTPGVFDPGYRFDLRLMFNSHGSVRTRRFS KHPL >ENSMUSP00000075290.6 pep:known chromosome:GRCm38:8:125012997:125034192:1 gene:ENSMUSG00000056820.6 transcript:ENSMUST00000075896.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsnax description:translin-associated factor X [Source:MGI Symbol;Acc:MGI:1855672] MNGKEGPGGFRKRKHDTFPHNQRREGKDASLSSPVMLAFKSFQQELDARHDKYERLVKLS RDITVESKRTIFLLHRITSAPDMEEILTESESKLDGVRQKILQVAQELSGEDMHQFHRAV TTGLQEYVEAVSFQHFIKTRSLISMEEINKQLTFTAEDSGKESKTPPAEGQEKQLVTWRL KLTPVDYLLGVADLTGELMRMCINSVGNGDIDTPFEVSQFLRQVYDGFSFIGNTGPYEVS KKLYTLKQSLAKVENACYALKVRGSEIPKHMLADVFSVKTDMIDQEESIS >ENSMUSP00000022212.7 pep:known chromosome:GRCm38:13:110395044:110400844:1 gene:ENSMUSG00000021701.7 transcript:ENSMUST00000022212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plk2 description:polo-like kinase 2 [Source:MGI Symbol;Acc:MGI:1099790] MELLRTITYQPAAGTKMCEQALGKACGGDSKKKRPQQPSEDGQPQAQVTPAAPHHHHHHS HSGPEISRIIVDPTTGKRYCRGKVLGKGGFAKCYEMTDLTNNKVYAAKIIPHSRVAKPHQ REKIDKEIELHRLLHHKHVVQFYHYFEDKENIYILLEYCSRRSMAHILKARKVLTEPEVR YYLRQIVSGLKYLHEQEILHRDLKLGNFFINEAMELKVGDFGLAARLEPLEHRRRTICGT PNYLSPEVLNKQGHGCESDIWALGCVMYTMLLGRPPFETTNLKETYRCIREARYTMPSSL LAPAKHLIASMLSKNPEDRPSLDDIIRHDFFLQGFTPDRLSSSCCHTVPDFHLSSPAKNF FKKAAAALFGGKKDKARYNDTHNKVSKEDEDIYKLRHDLKKVSITQQPSKHRADEEPQPP PTTVARSGTSAVENKQQIGDAIRMIVRGTLGSCSSSSECLEDSTMGSVADTVARVLRGCL ENMPEADCIPKEQLSTSFQWVTKWVDYSNKYGFGYQLSDHTVGVLFNNGAHMSLLPDKKT VHYYAELGQCSVFPATDAPEQFISQVTVLKYFSHYMEENLMDGGDLPSVTDIRRPRLYLL QWLKSDKALMMLFNDGTFQVNFYHDHTKIIICNQSEEYLLTYINEDRISTTFRLTTLLMS GCSLELKNRMEYALNMLLQRCN >ENSMUSP00000137398.1 pep:known scaffold:GRCm38:JH584293.1:60162:60827:-1 gene:ENSMUSG00000096873.1 transcript:ENSMUST00000178081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl21c description:chemokine (C-C motif) ligand 21C (leucine) [Source:MGI Symbol;Acc:MGI:1891386] MAQMMTLSLLSLVLALCIPWTQGSDGGGQDCCLKYSQKKIPYSIVRGYRKQEPSLGCPIP AILFLPRKHSKPELCANPEEGWVQNLMRRLDQPPAPGKQSPGCRKNRGTSKSGKKGKGSK GCKRTEQTQPSRG >ENSMUSP00000103642.4 pep:known chromosome:GRCm38:17:94873986:94877497:1 gene:ENSMUSG00000095193.1 transcript:ENSMUST00000108007.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20939 description:predicted gene, 20939 [Source:MGI Symbol;Acc:MGI:5434295] DALTYDDVHVNFTQEEWALLNPTQRNLYKDVMLEIYRNLKAVGYNWEDQNTEEYCQSSRK HGRHERSRTGEKPSENTKCGKAFACHSHPQKYERIHTGEKPNDGIQYGEAFVHHCSLQMH KIIHTGKKPYKCNQCGKAFAQPRTLQIHKRAHTGEKPYKCNECGKAFVCNASLQAHKVTH SRVKPYECKQCSKSFASHGQLQAHERIHTGEKPYKCNQCGKAFIEHNTLKRHKITHTGEK PYECNQCSKSFASHGQLRKHERIHTGEKPYKCNQCGKAFAEHGQLLKHERIHTGEKPYKC NQCGKAFAYHYELQMHKRIHTGEKPYECKQCGKFFASHGQLRKHERIHTGEKPYKCNQCG KVFGRHSHLRIHKRIHTGEKPYKCDQCGKAFPYSNTLQVHKRTHTGEKPYACGQCGKAFA NQSYLHVHKRIHTGEKPYECKQCSKSFVSNGQLQRHERIHTGEKPYKCDQCGKAFAYHYH LQMHKRMHTGEKPYECKQCGKFFASHGQLRKHERIHTGEKPYK >ENSMUSP00000095829.1 pep:known chromosome:GRCm38:7:102554286:102555236:1 gene:ENSMUSG00000073977.1 transcript:ENSMUST00000098227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr549 description:olfactory receptor 549 [Source:MGI Symbol;Acc:MGI:3030383] MAPFNYTGTSHSVFILLGIPGLEEQHLWISLPFFISYLVALFGNITLILVIIAERSLHEP MYLFLCMLAAADLILSTTTVPKALAIFWFRAGAISLDGCVTQIFFIHATFIAESGILLAM AFDRYVAICDPLHYSTVLSHVVIVRIGLAVVLRSFCVILPDVFLVKRLPFCRSNVLPHTY CEHMAVARFACADIRVNVWYGLSVLLSTVVLDALLILVSYGLILHTVFRLPSRGARQKAL GTCGSHLGVISMFYLPGIFTIITQRFGQHVPLHTHILLANVCMLAPPMLNPIIYGIKTRQ IRERVLSSLSSQWKLC >ENSMUSP00000095761.2 pep:known chromosome:GRCm38:7:105085714:105086665:1 gene:ENSMUSG00000096029.1 transcript:ENSMUST00000098158.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr679 description:olfactory receptor 679 [Source:MGI Symbol;Acc:MGI:3030513] MTAHKNDTNPTGVSDFLLNCFVRSPSWQLWLSLPLSLLFLLAMGANAILLITIRMEASLH EPMYYLLSLLSMLDIILCLTVIPKVLAIFWFDLRAIGFPACFLQMYIMNSFLAMESCTFM IMAFDRYIAICHPLRYPSIITDQFVVKAATFILVRNVLITLPIPILSARLHYCGRNVIEN CICANMSVSRLSCNDVNVNRLYQFAIGWTLLGSDLFLIFLSYTLILRAVLRLKAEGAVAK ALSTCGSHFILILFFSTILLVFILTHVAKRKVSSDVPILLNVLHHVIPAALNPIVYGVRT QEIKQGIKKLLKKGW >ENSMUSP00000126377.1 pep:known chromosome:GRCm38:10:75060896:75184923:1 gene:ENSMUSG00000009681.9 transcript:ENSMUST00000164107.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcr description:breakpoint cluster region [Source:MGI Symbol;Acc:MGI:88141] MVDSVGFAEAWRAQFPDSEPPRMELRSVGDIEQELERCKASIRRLEQEVNQERFRMIYLQ TLLAKEKKSYDRQRWGFRRAAQPPDGAAEPRASAPRPPPAPADGADPAPVEESEARPDGE GSPSKGRSASARRPAAAASADRDDRGPPTSVAALRSNFEKIRKGPAQPGSADAEKPFYVN VEFHHERGLVKVNDKEVSDRISSLGSQAMQMERKKSQQSAGQGLGEAPRPHYRGRSSESS CGLDGDYEDAELNPRFLKDNLINANGGNRPPWPPLEYQPYQSIYVGGMMVEGEGKSPLLR SQSTSEQEKRLTWPRRSYSPRSFEDSGGGYTPDCSSNENLTSSEEDFSSGQSSRVSPSPT TYRMFRDKSRSPSQNSQQSFDSSSPPTPQCQKRHRQCQVVVSEATIVGVRKTGQIWPSDG DSTFQGEADSSFGTPPGYGCAADQAEEQRRHQDGLPYIDDSPSSSPHLSSKGRGSLASGA LDPTKVSELDLEKGLEMRKWVLSGILASEETYLSHLEALLLPMKPLKAAATTSQPVLTSQ QIETIFFKVPELYEIHKEFYDGLFPRVQQWSHQQRVGDLFQKLASQLGVYRAFVDNYGVA METAEKCCQANAQFAEISENLRARSNKDVKDSTTKNSLETLLYKPVDRVTRSTLVLHDLL KHTPSSHPDHSLLQDALRISQNFLSSINEEITPRRQSMTVKKGEHRQLLKDSFMVELVEG ARKLRHIFLFTDLLLCTKLKKQSGGKTQQYDCKWYIPLTDLSFQMVDELEALPNIPLVPD EELDALKIKISQIKSDIQREKRANKGSKVMERLRKKLSEQESLLLLMSPSMAFRVHSRNG KSYTFLISSDYERAEWRESIREQQKKCFKSFSLTSVELQMLTNSCVKLQTVHHIPLTINK EDDESPGLYGFLHVIVHSATGFKQSSNLYCTLEVDSFGYFVNKAKTRVYRDTTEPNWNEE FEIELEGSQTLRILCYEKCYNKMKMTKEDGESADKLMGKGQVQLDPQTLQDRDWQRTVID MNGIEVKLSVKFTSREFSLKRMPSRKQTGVFGVKIAVVTKRERSKVPYIVRQCVEEIERR GMEEVGIYRVSGVATDIQALKAAFDVNNKDVSVMMSEMDVNAIAGTLKLYFRELPEPLFT DEFYPNFAEGIALSDPVAKESCMLNLLLSLPEANLLTFLFLLDHLKRVAEKETVNKMSLH NLATVFGPTLLRPSEKESKLPANPSQPITMTDSWSLEVMSQVQVLLYFLQLEAIPAPDSK RQSILFSTEV >ENSMUSP00000049678.7 pep:known chromosome:GRCm38:13:3940688:3945349:-1 gene:ENSMUSG00000044988.7 transcript:ENSMUST00000058610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucn3 description:urocortin 3 [Source:MGI Symbol;Acc:MGI:1932970] MLMPTYFLLPLLLLLGGPRTSLSHKFYNTGPVFSCLNTALSEVKKNKLEDVPLLSKKSFG HLPTQDPSGEEDDNQTHLQIKRTFSGAAGGNGAGSTRYRYQSQAQHKGKLYPDKPKSDRG TKFTLSLDVPTNIMNILFNIDKAKNLRAKAAANAQLMAQIGKKK >ENSMUSP00000137969.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143267431:143299564:-1 gene:ENSMUSG00000096951.7 transcript:ENSMUST00000181754.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpn description:podoplanin [Source:MGI Symbol;Acc:MGI:103098] MWTVPVLFWVLGSVWFWDSAQGGTIGVNEDDIVTPGTGDGMVPPGIEDKITTTGATGGLN ESTGKAPLVPTQRERGTKPPLEELSTSATSDHDHREHESTTTVKVVTSHSVDKKTSHPNR DNAGDETQTTDKKDGLPVVTLVGIIVGVLLAIGFVGGIFIVVMKKISGRFSP >ENSMUSP00000137648.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143268346:143299463:-1 gene:ENSMUSG00000096951.7 transcript:ENSMUST00000181012.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpn description:podoplanin [Source:MGI Symbol;Acc:MGI:103098] MWTVPVLFWVLGSVWFWDSAQGGTIGVNEDDIVTPGTGDGMVPPGIEDKITTTGATGGLN ESTGKAPLVPTQRERGTKPPLEELSTSATSDHDHREHESTTTVKVVTSHSVDKKTSHPNR DGLPVVTLVGIIVGVLLAIGFVGGIFIVVMKKISGRFSP >ENSMUSP00000036288.7 pep:known chromosome:GRCm38:10:117050998:117063814:-1 gene:ENSMUSG00000034024.7 transcript:ENSMUST00000047672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct2 description:chaperonin containing Tcp1, subunit 2 (beta) [Source:MGI Symbol;Acc:MGI:107186] MASLSLAPVNIFKAGADEERAETARLSSFIGAIAIGDLVKSTLGPKGMDKILLSSGRDAA LMVTNDGATILKNIGVDNPAAKVLVDMSRVQDDEVGDGTTSVTVLAAELLREAESLIAKK IHPQTIISGWREATKAAREALLSSAVDHGSDEARFWQDLMNIAGTTLSSKLLTHHKDHFT KLAVEAVLRLKGSGNLEAIHVIKKLGGSLADSYLDEGFLLDKKIGVNQPKRIENAKILIA NTGMDTDKIKIFGSRVRVDSTAKVAEIEHAEKEKMKEKVERILKHGINCFINRQLIYNYP EQLFGAAGVMAIEHADFAGVERLALVTGGEIASTFDHPELVKLGSCKLIEEVMIGEDKLI HFSGVALGEACTIVLRGATQQILDEAERSLHDALCVLAQTVKDPRTVYGGGCSEMLMAHA VTQLANRTPGKEAVAMESFAKALRMLPTIIADNAGYDSADLVAQLRAAHSEGHITAGLDM KEGTIGDMAVLGITESFQVKRQVLLSAAEAAEVILRVDNIIKAAPRKRVPDHHPC >ENSMUSP00000100872.3 pep:known chromosome:GRCm38:10:128270576:128292849:1 gene:ENSMUSG00000040033.15 transcript:ENSMUST00000105238.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat2 description:signal transducer and activator of transcription 2 [Source:MGI Symbol;Acc:MGI:103039] MAQWEMLQNLDSLFLDQLHQVYSQSILPMDVRQHLATWIEDQNWREAALGSDDAKANMLY FSILDQLNQWDHYSSDSNHFLLQHNLRKFSRDIQTFPNGPTQLAEMIFNLLLEEQRILNQ AQRAQEVQPPPAPEAVVESQQLEIENRIQGLHVDIEFLVRSIRQLKDEQDVFSFRYTVFS LKKTSSSDPHQSQQAQLVQATANKVDRMRKEVLDISKGLVGRLTTLVDLLLPKLDEWKVQ QQKSCIGAPPPELQLEQLEQWLTAGAKFLFHLRQLLKQLKEMSHMLRYKGDMFGQGVDLQ NAQVMELLQRLLQRSFVVETQPCMPQTLHRPLILKTGNKFTVRTRLLVRLQEGSESLKAE VSVDRNSDLPGFRKFNILTSNQKTLTPEEGQRQGLIWDFGFLTLVEQRAVGAGKGNKQGP LAVTEELHVISFVVEYVYQGLKMKLQTDTLPVVIISNMNQLSIAWASILWFNMLSPNPKN QQFFCQAPKAPWSLLGPVLSWQFSSYVGRGLDSEQLGMLRTKLFGKSCKMEDALLSWVDF CKRESPPGKIPFWTWLDKILELVHDHLKDLWKDGRIMGFVSRNQERRLLKKMLSGTFLLR FSETSEGGITCSWVEHQDDDKVEIYSVQPYTKEVLQSLPLTEIIRHYQVLAEENIPENPL RFLYPRIPRDEAFGCYYQEKVNFEEQRKYLKHKLIVISNRQVDELQQPLELKQDSESLEV NAELLLAHDQELPLMMQTGLVLGTELKVDPILSTAPQVLLEPAPQVLLEPAPQVPLEPAP QVLLEPAPQVLLEPAPQVLLEPAPQVLLEPAPQVQLEPAPQVLLELAPQVLLEPAPQVLL ELAPQVQLEPAHLLQQPSESDLPEDLQQISVEDLKKLSNPSTEYITTNENPMLAGESSGD ETSIPYHSHFDADGLLGWTLDTF >ENSMUSP00000082855.2 pep:known chromosome:GRCm38:10:128270576:128292849:1 gene:ENSMUSG00000040033.15 transcript:ENSMUST00000085708.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat2 description:signal transducer and activator of transcription 2 [Source:MGI Symbol;Acc:MGI:103039] MAQWEMLQNLDSLFLDQLHQVYSQSILPMDVRQHLATWIEDQNWREAALGSDDAKANMLY FSILDQLNQWDHYSSDSNHFLLQHNLRKFSRDIQTFPNGPTQLAEMIFNLLLEEQRILNQ AQRAQEVQPPPAPEAVVESQQLEIENRIQGLHVDIEFLVRSIRQLKDEQDVFSFRYTVFS LKKTSSSDPHQSQQAQLVQATANKVDRMRKEVLDISKGLVGRLTTLVDLLLPKLDEWKVQ QQKSCIGAPPPELQLEQLEQWLTAGAKFLFHLRQLLKQLKEMSHMLRYKGDMFGQGVDLQ NAQVMELLQRLLQRSFVVETQPCMPQTLHRPLILKTGNKFTVRTRLLVRLQEGSESLKAE VSVDRNSDLPGFRKFNILTSNQKTLTPEEGQRQGLIWDFGFLTLVEQRAVGAGKGNKGPL AVTEELHVISFVVEYVYQGLKMKLQTDTLPVVIISNMNQLSIAWASILWFNMLSPNPKNQ QFFCQAPKAPWSLLGPVLSWQFSSYVGRGLDSEQLGMLRTKLFGKSCKMEDALLSWVDFC KRESPPGKIPFWTWLDKILELVHDHLKDLWKDGRIMGFVSRNQERRLLKKMLSGTFLLRF SETSEGGITCSWVEHQDDDKVEIYSVQPYTKEVLQSLPLTEIIRHYQVLAEENIPENPLR FLYPRIPRDEAFGCYYQEKVNFEEQRKYLKHKLIVISNRQVDELQQPLELKQDSESLEVN AELLLAHDQELPLMMQTGLVLGTELKVDPILSTAPQVLLEPAPQVLLEPAPQVPLEPAPQ VLLEPAPQVLLEPAPQVLLEPAPQVLLEPAPQVQLEPAPQVLLELAPQVLLEPAPQVLLE LAPQVQLEPAHLLQQPSESDLPEDLQQISVEDLKKLSNPSTEYITTNENPMLAGESSGDE TSIPYHSHFDADGLLGWTLDTF >ENSMUSP00000055416.6 pep:known chromosome:GRCm38:13:52504375:52530836:-1 gene:ENSMUSG00000047842.6 transcript:ENSMUST00000057442.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diras2 description:DIRAS family, GTP-binding RAS-like 2 [Source:MGI Symbol;Acc:MGI:1915453] MPEQSNDYRVAVFGAGGVGKSSLVLRFVKGTFRESYIPTVEDTYRQVISCDKSICTLQIT DTTGSHQFPAMQRLSISKGHAFILVYSITSRQSLEELKPIYEQICEIKGDVESIPIMLVG NKCDESPNREVQSSEAEALARTWKCAFMETSAKLNHNVKELFQELLNLEKRRTVSLQIDG KKSKQQKRKEKLKGKCVVM >ENSMUSP00000023180.7 pep:known chromosome:GRCm38:16:3707215:3718124:-1 gene:ENSMUSG00000022534.13 transcript:ENSMUST00000023180.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mefv description:Mediterranean fever [Source:MGI Symbol;Acc:MGI:1859396] MAKTLGDHLLNTLEELLPYDFEKFKFKLQNTSLEKGHSKIPRGHMQMARPVKLASLLITY YGEEYAVRLTLQILRATNQRQLAEELRKATGTEHLIEENRVGGSVQSSVENKAKSVKVPD VPEGDGTQQNNDESDTLPSSQAEVGKGPQKKSLTKRKDQRGPESLDSQTKPWTRSTAPLY RRTQGTQSPGDKESTASAQLRRNVSSAGRLQGLYNNAPGRRESKKAEVYVYLPSGKKRPR SLEITTYSREGEPPNSEVLPTQEETRNGSLIRMRTATLNGRTTGALEKGTGIPEHSMVLD EKTFRNMSSKTSLIGEERCPTSWTENGNGSPETTESSGETAGSILSDPEVPLSLCEKPAK TPEDPASLGQAACEGRSQDKAVCPLCHTQEGDLRGDTCVQSSCSCSIAPGDPKASGRCSI CFQCQGLLARKSCEAQSPQSLPQCPRHMKQVLLLFCEDHREPICLICRLSLEHQGHRVRP IEEAALEYKEQIREQLERLREMRGYVEEHRLQGDKKTDDFLKQTEIQKQKISCPLEKLYQ LLEKQEQLFVTWLQELSQTISKVRETYYTRVSLLDEMIEELEAKQDQPEWDLMQDIGITL HRAKMMSASELLDTPPGVKEKLHLLYQKSKSVEKNMQCFSEMLSSEMAFSASDVAKWEGR QPSATQVQGLVPTVHLKCDGAHTQDCDVVFYPEREAGGSEPKDYLHPQPAQDTPELHEIH SRNNKRKFKSFLKWKPSFSRTDWRLRTCCYRDLDQAAAHPNLIFSMI >ENSMUSP00000097795.2 pep:known chromosome:GRCm38:16:3707215:3718124:-1 gene:ENSMUSG00000022534.13 transcript:ENSMUST00000100222.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mefv description:Mediterranean fever [Source:MGI Symbol;Acc:MGI:1859396] MAKTLGDHLLNTLEELLPYDFEKFKFKLQNTSLEKGHSKIPRGHMQMARPVKLASLLITY YGEEYAVRLTLQILRATNQRQLAEELRKATGTEHLIEENRVGGSVQSSVENKAKSVKVPD VPEGDGTQQNNDESDTLPSSQAEVGKGPQKKSLTKRKDQRGPESLDSQTKPWTRSTAPLY RRTQGTQSPGDKESTASAQLRRNVSSAGRLQGLYNNAPGRRESKKAEVYVYLPSGKKRPR SLEITTYSREGEPPNSEVLPTQEETRNGSLIRMRTATLNGRTTGALEKGTGIPEHSMVLD EKTFRNMSSKTSLIGEERCPTSWTENGNGSPETTESSGETAGSILSDPEVPLSLCEKPAK TPEDPASLGQAACEGTLLQVSRKCDAGPEKCTFTHLALQVASNFGRSQDKAVCPLCHTQE GDLRGDTCVQSSCSCSIAPGDPKASGRCSICFQCQGLLARKSCEAQSPQSLPQCPRHMKQ VLLLFCEDHREPICLICRLSLEHQGHRVRPIEEAALEYKEQIREQLERLREMRGYVEEHR LQGDKKTDDFLKQTEIQKQKISCPLEKLYQLLEKQEQLFVTWLQELSQTISKVRETYYTR VSLLDEMIEELEAKQDQPEWDLMQDIGITLHRAKMMSASELLDTPPGVKEKLHLLYQKSK SVEKNMQCFSEMLSSEMAFSASDVAKWEGRQPSATQVQGLVPTVHLKCDGAHTQDCDVVF YPEREAGGSEPKDYLHPQPAQDTPELHEIHSRNNKRKFKSFLKWKPSFIGSTLGEAHRSA LPARHSSSCSYRDLDQAAAHPNLIFSMI >ENSMUSP00000136598.1 pep:known chromosome:GRCm38:9:3003346:3005194:1 gene:ENSMUSG00000096385.7 transcript:ENSMUST00000177722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11168 description:predicted gene 11168 [Source:MGI Symbol;Acc:MGI:3779420] MFLIFHDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFSDFQFSRHIPGPTVCIS HFSRFSVILSFFKLSSGCFSFSLIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCCVFL IFRDFQFPRHIPGPTVCISHFSRFSVISSFFKSSSGCFSFSMIFSFLAIFHVLQWTFLNF PPFSSYSFSPYSRSYSVHFSFFTFFSDFVIFQVVKWMFLIFLDFQFSC >ENSMUSP00000136761.1 pep:known chromosome:GRCm38:9:3003580:3005194:1 gene:ENSMUSG00000096385.7 transcript:ENSMUST00000178077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11168 description:predicted gene 11168 [Source:MGI Symbol;Acc:MGI:3779420] MFLIFLDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFSDFQFSRHIPGPTVCIS HFFRFFMISSFFTLSSGCFSFSIIFTFLAIFHVLQWTFLNFPPFSVFLAIFHILKCCVFL IFRDFQFPRHIPGPTVCISHFSRFSVISSFFKSSSGCFSFSMIFSFLAIFHVLQWTFLNF PPFSSYSFSPYSRSYSVHFSFFTFFSDFVIFQVVKWMFLIFLDFQFSC >ENSMUSP00000137273.1 pep:known chromosome:GRCm38:9:3004457:3005194:1 gene:ENSMUSG00000096385.7 transcript:ENSMUST00000178348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11168 description:predicted gene 11168 [Source:MGI Symbol;Acc:MGI:3779420] MFLIFNDFHFSCHIPRPIVDISFPPFSVFFAIFHVPKCVFLIFRDFQFPRHIPGPTVCIS HFSRFSVISSFFKSSSGCFSFSMIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCVFLI YRDFQFSCYIPSPTVCISHFSRFSLILSLFKLSTACFSFSMIFSFLAIFHVLQWKFLYFP TFSVSPYSRSYSVHFSFFTFFSDFVIFQVVKWMFLIFLDFQFSC >ENSMUSP00000136541.1 pep:known chromosome:GRCm38:9:3005159:3006948:1 gene:ENSMUSG00000096385.7 transcript:ENSMUST00000179881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11168 description:predicted gene 11168 [Source:MGI Symbol;Acc:MGI:3779420] MFLIFLDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFSDFQFSRNIPGPTVCIS HFFRFFMILSFFKLSTACFSFSMIFSFLAIFHVLLWTFLNFPTFSVFLAIFHVLKCVFLI FSDFLFSRHIPGPTVCISNFSRFSVISSFFKLSSGCFSFSMIFSFLAIFHVLQWTFLNFP TFSIFPYFTSYSVHFSFFTFFSDFVIFQVVNCMFLIFHDFQFSC >ENSMUSP00000061773.5 pep:known chromosome:GRCm38:13:59687402:59694101:-1 gene:ENSMUSG00000049902.5 transcript:ENSMUST00000061597.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921517D22Rik description:RIKEN cDNA 4921517D22 gene [Source:MGI Symbol;Acc:MGI:1918150] MSSSRRNTGSARDSRGSLTPHRCLLSDLYTSLQNFNNENKKSNLQKVCGTSFKEAQETLE KTLNVSPLDSSETVSGNERLPVVIQTEVKKKEQRSPSMSDLLHQSLLMGYIAPLDQVYSS QQRLAQAGIPPPILDFPYGLRDEVPLVAPTQHFRKRIQSMTFRKLLLSSVTPGRLFYEEK SVSHVSSEPGKQFLDLADLQWRYFKGLATWGKVPRMFSFMDIEFNSEKRFVGSQGMPGFI FPPLVRKTLVVYPQVEYDDLGHYSVKWKA >ENSMUSP00000041688.7 pep:known chromosome:GRCm38:18:42275353:42341542:1 gene:ENSMUSG00000024491.15 transcript:ENSMUST00000046972.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm27 description:RNA binding motif protein 27 [Source:MGI Symbol;Acc:MGI:2147194] MLIEDVDALKSWLAKLLEPICDADPSALANYVVALVKKDKPEKELKAFCADQLDVFLQKE TSGFVDKLFESLYTKNYLPPLEPVKPEPKPLVQEKEEIKEEVFQEPAEEERDTRKKKYPS PQKSRSESSERRTREKKREDGKWRDYERYYERNELYREKYDWRRGRSKSRSKSRGLSRSR SRSRGRSKDRDPNRNVEHRERSKFKSERNDLESSYVPVSAPPPSSSEQYSSGAQSIPSTV TVIAPAHHSENTTESWSNYYNNHSSSNSFGRNPPPKRRCRDYDERGFCVLGDLCQFDHGN DPLVVDEVALPSMIPFPPPPPGLPPPPPPGMLMPPMPGPGPGPGPGPGPGPGPGPGPGHS MRLPVPQGHGQPPPSVVLPIPRPPISQSSLINSRDQPGTSAVPNLAPVGARLPPPLPQNL LYTVSERQPMYSREHGAAASERLQLGTPPPLLAARLVPPRNLMGSSIGYHTSVSSPTPLV PDTYEPDGYNPEAPSITSSGRSQYRQFFSRAQTQRPNLIGLTSGDMDANPRAANIVIQTE PPVPVSVNSNVTRVVLEPESRKRAISGLEGPLTKKPWLGKQGNNNQSKPGFLRKNHYTNT KLEVKKIPQELNNITKLNEHFSKFGTIVNIQMMGKPQTSGAYVLNKVPVKHRLGHASTNQ SDTSHLLNQTGGSSGEDCPVFSTPGHPKTIYSSSNLKAPSKLCSGSKSHDVQEVLKKKQE AMKLQQDMRKKKQEMLEKQIECQKMLISKLEKNKNMKPEERANIMKTLKELGEKISQLKD ELKTSSTVSTPSKVKTKTEAQKELLDTELDLHKRLSSGEDTTELRKKLSQLQVEAARLGI LPVGRGKTISSQGRGRGRGRGRGRGSLNHMVVDHRPKALPGGGFIEEEKDELLQHFSATN QASKFKDRRLQISWHKPKVPSISTETEEEEVKEEETETSDLFLHDDDDEDEDEYESRSWR R >ENSMUSP00000089540.5 pep:known chromosome:GRCm38:18:42275353:42341542:1 gene:ENSMUSG00000024491.15 transcript:ENSMUST00000091920.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm27 description:RNA binding motif protein 27 [Source:MGI Symbol;Acc:MGI:2147194] MLIEDVDALKSWLAKLLEPICDADPSALANYVVALVKKDKPEKELKAFCADQLDVFLQKE TSGFVDKLFESLYTKNYLPPLEPVKPEPKPLVQEKEEIKEEVFQEPAEEERDTRKKKYPS PQKSRSESSERRTREKKREDGKWRDYERYYERNELYREKYDWRRGRSKSRSKSRGLSRSR SRSRGRSKDRDPNRNVEHRERSKFKSERNDLESSYVPVSAPPPSSSEQYSSGAQSIPSTV TVIAPAHHSENTTESWSNYYNNHSSSNSFGRNPPPKRRCRDYDERGFCVLGDLCQFDHGN DPLVVDEVALPSMIPFPPPPPGLPPPPPPGMLMPPMPGPGPGPGPGPGPGPGPGPGPGHS MRLPVPQGHGQPPPSVVLPIPRPPISQSSLINSRDQPGTSAVPNLAPVGARLPPPLPQNL LYTVSENTYEPDGYNPEAPSITSSGRSQYRQFFSRAQTQRPNLIGLTSGDMDANPRAANI VIQTEPPVPVSVNSNVTRVVLEPESRKRAISGLEGPLTKKPWLGKQGNNNQSKPGFLRKN HYTNTKLEVKKIPQELNNITKLNEHFSKFGTIVNIQVAFKGDPEAALIQYLTNEEARKAI SSTEAVLNNRFIRVLWHRENNEQPALQSSAQILLQQQHTLSHLSQQHHSLPQHLHPQQVM VTQSSPSSVHGGIQKMMGKPQTSGAYVLNKVPVKHRLGHASTNQSDTSHLLNQTGGSSGE DCPVFSTPGHPKTIYSSSNLKAPSKLCSGSKSHDVQEVLKKKQEAMKLQQDMRKKKQEML EKQIECQKMLISKLEKNKNMKPEERANIMKTLKELGEKISQLKDELKTSSTVSTPSKVKT KTEAQKELLDTELDLHKRLSSGEDTTELRKKLSQLQVEAARLGILPVGRGKTISSQGRGR GRGRGRGRGSLNHMVVDHRPKALPGGGFIEEEKDELLQHFSATNQASKFKDRRLQISWHK PKVPSISTETEEEEVKEEETETSDLFLHDDDDEDEDEYESRSWRR >ENSMUSP00000077921.2 pep:known chromosome:GRCm38:8:85230497:85231435:1 gene:ENSMUSG00000051952.3 transcript:ENSMUST00000070849.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr371 description:olfactory receptor 371 [Source:MGI Symbol;Acc:MGI:3030205] MEPENHTGIPEFYLLGLSENPEIQSVLFGLFLSLYLVTVFGNLLIILAIVSDPKLHTPMY LFLSNLSFSDICFTSTTVPKMLLGIQTQSKLITYAGCITQMYFFTVFGLLDNLLLTVMAY DRFVAICHPLHYTVLMNPKLCSQLLLLAWLISILGALPESLTALRLSFCAVVEIPHYFCE LPEVLKLACSDTFINNVVLYIVTGIMGFFPLAGILFSYSQIVTSVLRISTVGGKYKAFST CGSHLSVVSLFYGTCLGVYLSSIWTQASWAGVFASVLYTVVTPMMNPFIYSLRNRDMKRA LNTLLCSVPSSS >ENSMUSP00000038916.6 pep:known chromosome:GRCm38:12:72536357:72580213:1 gene:ENSMUSG00000034501.6 transcript:ENSMUST00000044352.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnxl4 description:pecanex-like 4 (Drosophila) [Source:MGI Symbol;Acc:MGI:1914958] MGSMSSDVPLLNDYKQDFLLKRFPQTVLGGPRLKLGYCAPPYIYVNQIVLFLMPWALGGT GTLLYQLDILRDYTAAALSGGLMVFTAAVIQLISVYARSKSVVVRRMRTRDILAEEDQHE FTSCAGAETVKFLIPGKKYVANTVFHSVLAGLVCGLGTWYLLPNRVTLLYGSPGATAVLF VFGWITLCIGEYSLIVNTATETATFQTQDTYEITPLMRPLYIFFFVSVDLAHRFIVNIPA LEQMNQILHILFVLLPFLWALGTLPPPDALLFWAVEQVLEFGLGGSSMSTHLRLLVMFIV SAGAAVVSYFIPSTVGVVLFMTGLGFLLSLNPSDVSMVFRYGVTRHRVGSSHEARPGNSG HWFPWKECFLFIAVLGMALLEAGLLHHYVSVPQVSRSGAQAVVGYVLMVLLSIVWILRET QSIYIFGIFRNPFYPKDIHAVSVFYDKQNKLLKIGAVRWILLTLVSPLAMVAFLALDSSL HGLHSVSVSIGFTRAFRMVWQNTETALLETVVVSAVHMVSSTDCWWNRSLDTGIRLLLIG IMRDRLIQFITKLQFAVTVLLALWTEKKQHRKTTTTLCVLNTVFAPFVLGIIVLSTLLSS PLLPLFTLPVFLVGFPRPVQSWPGAVGTAACVCTDSVFYHQMVPRLTAALQTAMAAGSLG LLLPGSHYLGRFEDRLIWIMILECGYTYCCINVKGLELQETSCHTAEAQRVDEVFESAFQ REHPQVCSLNEHLENVLTPCTVLPVKLYSDARNVLTGIIDSPDNLKEFKDDLIKVLVWVL IQHCSKRPSTQENKTENTGEASPALPPAANSSPCPESLEDSESANSDWSDGSIFDDEPAI KNRKEKLQSKDLPCTKLPIPGSVDSQNPDDHSAGTGPKNDLYRTVILGLPAVDKGQREDV AYIPLVEFSCSQSRLLSLPEEWRSNSTPRSKILEMSALFPEDWYQFVLRQLECFHSEEKS RVLEEIAKDKALKDLYVHTVMACYIGLFGIDNGVPSPGQLVRVYNGGLPWAGTLDWLSEK PELFHLVRKAFRYTLKLMVDKASLGPIEDFKELTNCLREYERDWYIGLVSEEQWKRAILE EKPCLFCLGYESSMGVYTSRVLMLQEMSVHIGKLNAEAVRGQWANLSWELLYATNDDEER YSIQAHPLLLRNLTVQAADPPLGYPIFSSKPLPIHLC >ENSMUSP00000037614.8 pep:known chromosome:GRCm38:9:26999677:27007330:1 gene:ENSMUSG00000035443.9 transcript:ENSMUST00000039161.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thyn1 description:thymocyte nuclear protein 1 [Source:MGI Symbol;Acc:MGI:1925112] MPRPRKRQTGTAGPDRKKLSGKRTKTENSESTSVKLENSSLEMTTTFKNGGKNLSNYWLM KSEPESRLEKGIDMKFSIEDLKAQPKQTACWDGVRNYQARNFLRAMKLEDEAFFYHSNCK QPGIVGLMKIVKEAYPDHTQFEKSNPHYDPSSKEDDPKWSMVDVQFVRMMKRFIPLEELK TYHQAHKATGGPLKSMTLFTRQRLSVQPLTQEEFDFILSLEETEPS >ENSMUSP00000097949.3 pep:known chromosome:GRCm38:15:82689134:82690499:-1 gene:ENSMUSG00000075517.3 transcript:ENSMUST00000100380.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2d37-ps description:cytochrome P450, family 2, subfamily d, polypeptide 37, pseudogene [Source:MGI Symbol;Acc:MGI:3721937] MVDKLVTEHKRTWNSDQPPRDLTDAFMAEMEKAKGNPESSFNDANLCLVVLDLLGAATVT TSTTLSWALLLMILHPDVQCEPGWGCQERRRRVEANSLNLLGTLVDPSIELTQTAAKYNR KSMRSFGMCGFQRWQTRSACPSPMLSFMRA >ENSMUSP00000023067.2 pep:known chromosome:GRCm38:15:85132099:85144569:1 gene:ENSMUSG00000022431.2 transcript:ENSMUST00000023067.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ribc2 description:RIB43A domain with coiled-coils 2 [Source:MGI Symbol;Acc:MGI:1914997] MEVAMSKDLQQEANLAKKRYIDLCRQGRIFDARNRIIGGDTQAWDFQVRDQKIKEITDKA RHEAFAAEMKHNDKVMCMAHDREQRHRKQLCRAINDFQQNFQKPETRREFDLSDPLALQK ELPARISDNDMRNTISGMQKFMGEDLNFQERRRFQKEQSREWFLQQHGEREKARADHLLA EHLHTQTRLKFDETARELMKLEGSTRKEVCAAVKAFNKNQVVELTERKRQEKQQEQEDNM TEITNLLHGDLLSENPRPVASSFGSHRVVLDRWKGMNREQLEEIWFTQKRQIQEKLRLQE EERQHSMDWDLRRIRKAHASLLHERQQQRLLREQRRALDCSNLNLARQQYLQKKQMNTAS SSQPTEDYFSQFNTRSR >ENSMUSP00000003826.7 pep:known chromosome:GRCm38:9:48899214:48911099:-1 gene:ENSMUSG00000032269.7 transcript:ENSMUST00000003826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr3a description:5-hydroxytryptamine (serotonin) receptor 3A [Source:MGI Symbol;Acc:MGI:96282] MRLCIPQVLLALFLSMLTAPGEGSRRRATQARDTTQPALLRLSDHLLANYKKGVRPVRDW RKPTTVSIDVIMYAILNVDEKNQVLTTYIWYRQYWTDEFLQWTPEDFDNVTKLSIPTDSI WVPDILINEFVDVGKSPNIPYVYVHHRGEVQNYKPLQLVTACSLDIYNFPFDVQNCSLTF TSWLHTIQDINITLWRSPEEVRSDKSIFINQGEWELLEVFPQFKEFSIDISNSYAEMKFY VIIRRRPLFYAVSLLLPSIFLMVVDIVGFCLPPDSGERVSFKITLLLGYSVFLIIVSDTL PATAIGTPLIGVYFVVCMALLVISLAETIFIVRLVHKQDLQRPVPDWLRHLVLDRIAWIL CLGEQPMAHRPPATFQANKTDDCSGSDLLPAMGNHCSHVGGPQDLEKTPRGRGSPLPPPR EASLAVRGLLQELSSIRHFLEKRDEMREVARDWLRVGYVLDRLLFRIYLLAVLAYSITLV TLWSIWHYS >ENSMUSP00000015816.3 pep:known chromosome:GRCm38:13:14610301:14613037:-1 gene:ENSMUSG00000015672.3 transcript:ENSMUST00000015816.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl32 description:mitochondrial ribosomal protein L32 [Source:MGI Symbol;Acc:MGI:2137226] MAPSLLLLSLPWPVRPGPLQRCWELLQRQLQQSWSRFVSPPWAPALAVQRPSILTELAHD TCENKENSSFLDSIFWMAAPKNRRTIEVNRCRRRNPQKLIKIKNNIDICPECGHLKQKHV LCGYCYEKVRQETTKIRQQIGAQEGGPFRAPSVETMVLYTGEKPSEKDQGKRIVERNIKR PSWFTQN >ENSMUSP00000034473.5 pep:known chromosome:GRCm38:9:27396821:27401710:1 gene:ENSMUSG00000031991.9 transcript:ENSMUST00000034473.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata19 description:spermatogenesis associated 19 [Source:MGI Symbol;Acc:MGI:1922719] MIITTWIMYIFARKTVGLPFPPRVNSDIEVEESEAVSVVQHWLNKTEEEASRSIREKMSI NDSPTHGHDIHVTRDLVKHHLSKSDMLTDPSQEVLEERTRIQFIRWSHTRIFQVPSEVMD DVMQERIDQVRRSVSHLMCDSYNDPSFRTSCSEC >ENSMUSP00000135927.1 pep:known chromosome:GRCm38:7:45176349:45179597:-1 gene:ENSMUSG00000095276.1 transcript:ENSMUST00000179443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfy description:golgi-associated olfactory signaling regulator [Source:MGI Symbol;Acc:MGI:2685427] MQPFSPIFFHLLFLLNGLSSRAAPSPGQPVVADLQGMLQPSGMPTGTLENLTRDQPTPGS SASHPPEHSETPPSASPHISTKILRETPSPSPFLSLETPIPDQLTSVAESQGTSQMSPSR ATLGKPSETPKPDPTGISPSDSPETPKPNPSNTSPPESPESVYTDPTPTLHHESPEISKR DTPKLSPGEESKIPSPRPTQFLSSKSLETYDPSATRHLNSALEPTTHPDPTESPQSVFLT THNSNPTVVPQTQFPTSPSQNVTETARTSDLEPSSSLPTQPTTFREEATTPSEPGLSPSP EAPAVTRVATPGLSTSDSPGTKELHVPQNSDPKGPDIPLPSARIAGPPAPLEHPNQVAPA PQRHSRGDTVNTIIVVERVKETGVTLVSRPRGSVGGALCLFFAGTGLLIGIFLLLWCLYR RASRHRSFAHHRLRDSGDEPVLHLDAPKDPLDLYFYAPDAWVPSHIATQPPPSTPPLPPK LPPPPRGPQRLEALSPAALSPNFF >ENSMUSP00000081493.3 pep:known chromosome:GRCm38:7:140637703:140638638:1 gene:ENSMUSG00000096069.1 transcript:ENSMUST00000084455.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr61 description:olfactory receptor 61 [Source:MGI Symbol;Acc:MGI:1333885] MMFSPNQTEVTEFILEGFSEHATLRLLLTGCFLSLYTIALMGNIVIIALVTSSTGLHSPM YFFLCNLATMDIVCTSSVIPKALIGLVSEENTISFKGCMAQLFFLLWSLSSELLLLTVMA YDRYVAICFPLHYSSRMSPQLCGALAVGVWSICAVNASVHTGLMTRLSFCGPKVITHFFC EIPPLLLLSCSPTYINSVMTLVADAFYGCINFVLTLLSYGCIIASVLRMRSAEGKRKAFS TCSSHLIVVSVYYSSVFCAYVSPASSYSPERSKVTSVLYSILSPTLNPLIYTLRNKDVKL ALGRLLPFFPK >ENSMUSP00000022867.3 pep:known chromosome:GRCm38:15:34238026:34284295:1 gene:ENSMUSG00000022257.3 transcript:ENSMUST00000022867.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Laptm4b description:lysosomal-associated protein transmembrane 4B [Source:MGI Symbol;Acc:MGI:1890494] MKMVAPWTRFYSHSCCLCCHVRTGTILLGVWYLIINAVVLLILLSALADPNQYHFSGSEL GGEFEFMDDANMCIAIAISLLMILICAMATYGAYKQHAAWIIPFFCYQIFDFALNTLVAI TVLVYPNSIQEYIRQLPPSFPYRDDIMSVNPTCLVLIILLFIGILLTLKGYLISCVWSCY RYINGRNSSDVLVYVTSNDTTVLLPPYDDATAVPSTAKEPPPPYVSA >ENSMUSP00000033099.4 pep:known chromosome:GRCm38:7:45613907:45615490:-1 gene:ENSMUSG00000030827.4 transcript:ENSMUST00000033099.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf21 description:fibroblast growth factor 21 [Source:MGI Symbol;Acc:MGI:1861377] MEWMRSRVGTLGLWVRLLLAVFLLGVYQAYPIPDSSPLLQFGGQVRQRYLYTDDDQDTEA HLEIREDGTVVGAAHRSPESLLELKALKPGVIQILGVKASRFLCQQPDGALYGSPHFDPE ACSFRELLLEDGYNVYQSEAHGLPLRLPQKDSPNQDATSWGPVRFLPMPGLLHEPQDQAG FLPPEPPDVGSSDPLSMVEPLQGRSPSYAS >ENSMUSP00000128083.1 pep:known chromosome:GRCm38:19:41582370:41586536:1 gene:ENSMUSG00000090673.1 transcript:ENSMUST00000172371.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm340 description:predicted gene 340 [Source:MGI Symbol;Acc:MGI:2685186] SAPAPVQSLAAVEEVAENTEEGGSCIVSQRNSFKALSEETWDSGFMGNSSSTADKENALQ SSSKSSFHQDLETDEQDARPKQENHLHSLGRNKVGFQTYPRDKGHFDHSRGGWLAPSPTP TVHRASNGHSQTKMMSASIKTARKSKRASGLRINDYDNQCDVVYISQPITECHFENQRSI LSSRKTARKSTRGYFFNGDCCELPTVRTLARNLCSQDKGSCSQVASEAVMTPKQTLTVPT SKHTVVGEQPLEDICDDPRKERTSLEGGDEDTSSAKKHQEAEVCLSLREQDPSSSPRPGE TTTSSPACLLPTLLPNDNMSEVPEGSIVVSPPTESVLSFQEQDQPPASQLGPEEMQAQEC HLVPTAGSTPFTSREDSEDLLCRPQSSPKTSIREESSPCSEDEGSTVALDPPLGLELSEH DQSTSTEAETRDIPGETLLLDDSLPLLESSIVTDENPSEMEEGEATSGTGHLEAHDNDTK YSAENDPSEPNSDSPEENVDKKKKARKFPEASDRCLRSQLGDPSSSDRYPGSQGLDSSAA CSEIKVSKNPAVKHSKREGDSGRVTSEGPVIDSIHPDNLEDPEDSGVSEHSSDEETKQET EGVGVITRQTVKNMLAKEIKREEGGTYPNSDPATVGQPLPGDNLETHVWSKIDERDAHVP SESIPCKRDPEQVKENPGHLAIQDTEAAAMSEVDPEDTHHKDDAGLAPCSSSGISASHSD GADGPPKSVTRPKRLPSSTYNLRHAHCSNALDTAKMTSEKQGTQVNPAAKEGGASESAEP LDEEDEDSVVEEQPKFIGWCAEEENQELIASFNAHYLRVQKGWIQLEKEAQPTARARSKS DKLKEIWKSKKRARKCRGSLEVQKFSPVQMLFMTNFKLSNICKWFLETTETRSLVIVKKL NTRLPGDIPLVKHPLQKFPPSTMYPSSLQAERLKKHLKKFPGAIPARNNWKTQKLWAKLR ENPDLIESEDGSDISFGPSSEDSVEEVREGRHSHPPTNLPTPASTRILRKYSNIRGKLRA QRLDSSLCGASEMKQGRKSVCINPLMSPKLALQVGADGFPVNPKRAERSKGRRGKQMPET LLKVEGQNKRKRAEGSGTQDGKDKGPATKVSRGLSAKKLAAKDRANQLSKKMTLKANKVR VCRKGPGRSCLSSRKEKENANRRPGHPAAASEALTKPSKQRGAREASSKPPKVRRRNRKL SSGRGHARPLAKSPENRTAPRKRKLKAKLDSSQGKRRRLEAK >ENSMUSP00000074151.1 pep:known chromosome:GRCm38:9:39795377:39796312:1 gene:ENSMUSG00000094254.1 transcript:ENSMUST00000074566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr969 description:olfactory receptor 969 [Source:MGI Symbol;Acc:MGI:3030803] MLKGNLSEVTEFILAGLTNKPELQLPLFFLFLAIYVVTVVGNLGMITLILFSSQLHTPMY FFLSSLSFIDLCQSTVIIPKMLVNFVTVKNIISYPECMTQLYFFVTFAIAECHMLAVMAY DRYVAIGNPLLYNIMMSYRVCSWMIFGVYIMAFIGATSHTVCMLRVHFCKTDVINHYFCD IYPLLELSCSDTFINEVVLLCFSVFNFLIPTLTILSSYIFIIASILRIKSTEGRYKAFST CSSHISAVAIFFGSTAFMYLQPSSVNSMDQGKVSSVFYSIVVPMLNPLIYSLRNKDVKVA LNKFFERKFFL >ENSMUSP00000086459.5 pep:known chromosome:GRCm38:17:12227621:12318660:-1 gene:ENSMUSG00000014426.8 transcript:ENSMUST00000089058.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k4 description:mitogen-activated protein kinase kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1346875] MRDAIAEPVPPPALADTPAAAMEELRPAPPPQPEPDPECCPAARQECMLGESARKSMESD PEDFSDETNTETLYGTSPPSTPRQMKRLSAKHQRNSAGRPASRSNLKEKMNTPSQSPHKD LGKGVETVEEYSYKQEKKIRATLRTTERDHKKNAQCSFMLDSVAGSLPKKSIPDVDLNKP YLSLGCSNAKLPVSMPMPIARTARQTSRTDCPADRLKFFETLRLLLKLTSVSKKKDREQR GQENTAAFWFNRSNELIWLELQAWHAGRTINDQDLFLYTARQAIPDIINEILTFKVNYGS IAFSSNGAGFNGPLVEGQCRTPQETNRVGCSSYHEHLQRQRVSFEQVKRIMELLEYMEAL YPSLQALQKDYERYAAKDFEDRVQALCLWLNITKDLNQKLRIMGTVLGIKNLSDIGWPVF EIPSPRPSKGYEPEDEVEDTEVELRELESGTEESDEEPTPSPRVPELRLSTDAILDSRSQ GCVSRKLERLESEEDSIGWGTADCGPEASRHCLTSIYRPFVDKALKQMGLRKLILRLHKL MNGSLQRARVALVKDDRPVEFSDFPGPMWGSDYVQLSGTPPSSEQKCSAVSWEELRAMDL PSFEPAFLVLCRVLLNVIHECLKLRLEQRPAGEPSLLSIKQLVRECKEVLKGGLLMKQYY QFMLQEVLGGLEKTDCNMDAFEEDLQKMLMVYFDYMRSWIQMLQQLPQASHSLKNLLEEE WNFTKEITHYIRGGEAQAGKLFCDIAGMLLKSTGSFLESGLQESCAELWTSADDNGAADE LRRSVIEISRALKELFHEARERASKALGFAKMLRKDLEIAAEFVLSASARELLDALKAKQ YVKVQIPGLENLHVFVPDSLAEEKKIILQLLNAATGKDCSKDPDDVFMDAFLLLTKHGDR ARDSEDGWGTWEARAVKIVPQVETVDTLRSMQVDNLLLVVMESAHLVLQRKAFQQSIEGL MTVRHEQTSSQPIIAKGLQQLKNDALELCNRISDAIDRVDHMFTLEFDAEVEESESATLQ QYYREAMIQGYNFGFEYHKEVVRLMSGEFRQKIGDKYISFAQKWMNYVLTKCESGRGTRP RWATQGFDFLQAIEPAFISALPEDDFLSLQALMNECIGHVIGKPHSPVTAIHRNSPRPVK VPRCHSDPPNPHLIIPTPEGFSTRSVPSDARTHGNSVAAAAAVAAAATTAAGRPGPGGGD SVPAKPVNTAPDTRGSSVPENDRLASIAAELQFRSLSRHSSPTEERDEPAYPRSDSSGST RRSWELRTLISQTKDSASKQGPIEAIQKSVRLFEERRYREMRRKNIIGQVCDTPKSYDNV MHVGLRKVTFKWQRGNKIGEGQYGKVYTCISVDTGELMAMKEIRFQPNDHKTIKETADEL KIFEGIKHPNLVRYFGVELHREEMYIFMEYCDEGTLEEVSRLGLQEHVIRLYTKQITVAI NVLHEHGIVHRDIKGANIFLTSSGLIKLGDFGCSVKLKNNAQTMPGEVNSTLGTAAYMAP EVITRAKGEGHGRAADIWSLGCVVIEMVTGKRPWHEYEHNFQIMYKVGMGHKPPIPERLS PEGKAFLSHCLESDPKIRWTASQLLDHAFVKVCTDEE >ENSMUSP00000103622.3 pep:known chromosome:GRCm38:4:42439378:42439966:1 gene:ENSMUSG00000095234.1 transcript:ENSMUST00000107988.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21586 description:predicted gene, 21586 [Source:MGI Symbol;Acc:MGI:5434941] MSRLRRYEVALEAEEEIYWGCFYFFPRLRMWRRERSSAHPREQKLEPLRGLMSCLSSGLR PAPQRSGRGLLCRTPTAAAQTAGALKI >ENSMUSP00000034881.6 pep:known chromosome:GRCm38:9:79755241:79759853:-1 gene:ENSMUSG00000032330.6 transcript:ENSMUST00000034881.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox7a2 description:cytochrome c oxidase subunit VIIa 2 [Source:MGI Symbol;Acc:MGI:1316715] MLRNLLALRQIAQRTISTTSRRHFENKVPEKQKLFQEDNGMPVHLKGGASDALLYRATMA LTLGGTAYAIYLLAMAAFPKKQN >ENSMUSP00000088964.4 pep:known chromosome:GRCm38:13:93771679:93943016:1 gene:ENSMUSG00000042082.6 transcript:ENSMUST00000091403.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arsb description:arylsulfatase B [Source:MGI Symbol;Acc:MGI:88075] MGKLSPCTGRSRPGGPGPQLPLLLLLLQLLLLLLSPARASGATQPPHVVFVLADDLGWND LGFHGSVIRTPHLDALAAGGVVLDNYYVQPLCTPSRSQLLTGRYQIHLGLQHYLIMTCQP SCVPLDEKLLPQLLKEAGYATHMVGKWHLGMYRKECLPTRRGFDTYFGYLLGSEDYYTHE ACAPIESLNGTRCALDLRDGEEPAKEYNNIYSTNIFTKRATTVIANHPPEKPLFLYLAFQ SVHDPLQVPEEYMEPYGFIQDKHRRIYAGMVSLMDEAVGNVTKALKSHGLWNNTVFIFST DNGGQTRSGGNNWPLRGRKGTLWEGGIRGTGFVASPLLKQKGVKSRELMHITDWLPTLVD LAGGSTNGTKPLDGFNMWKTISEGHPSPRVELLHNIDQDFFDGLPCPGKNMTPAKDDSFP LEHSAFNTSIHAGIRYKNWKLLTGHPGCGYWFPPPSQSNVSEIPPVDPPTKTLWLFDINQ DPEERHDVSREHPHIVQNLLSRLQYYHEHSVPSHFPPLDPRCDPKSTGVWSPWM >ENSMUSP00000128914.1 pep:known chromosome:GRCm38:10:127094259:127121160:-1 gene:ENSMUSG00000040462.12 transcript:ENSMUST00000164259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Os9 description:amplified in osteosarcoma [Source:MGI Symbol;Acc:MGI:1924301] MAAEVLLSSLLGLLFLGLLLPARLTGGVGSLNLEELSEMRYGIQILPLPVMGGQSQASDV VVVSSKYKQRYECRLPAGAIHFQREREEETPAYQGPGIPELLSPMRDAPCLLKTKDWWTY EFCYGRHIQQYHMEDSEIKGDVLYLGHYQSSFNWDDETAKASKQHRLKRYHSQTYGNGSK CDLNGKPREAEVRFLCDEGAGISGDYIDRVDEPVSCSYVLTIRTSRLCPHPLLRPPASAA PQAILCHPALQPDEYMAYLQRQAESKQHEEKTTEEVQDTDRQVWSGSKAAGAPPKKEDVS PAKEEKESELWKLQGPEEQAAAREEAQAGEQDLNHEAAADPAPSPPNDFQNNVQVKLIRS PADLIRLIEELKAAEKGKPSVRREQPGDDTTEAPQREAEGTKAKGKDGEPPGLMEEEDGD DEEEEEEEEEDEEEQQLLGEFEKELEGMLLPSNRERLRSEVKAGMERELENIIQETEKEL DPEGLRKESEREQAILALTSTLDKLIKRLQENQSPELVQKYKKRRVVPQKPPPSPHPTEE EPEHRVRVRVTKLRPGGPNRDLTVLEMNRENPQLKQIEGLVTEVLEREGLTAEGKIEIKI VRPGAEGKEEDTRWLTDEDTRNLKEIFFNILVQGAEEANKERQRQSELESNYRRVWGSPG GEDTGDLDEFDF >ENSMUSP00000079770.4 pep:known chromosome:GRCm38:10:127094259:127121160:-1 gene:ENSMUSG00000040462.12 transcript:ENSMUST00000080975.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Os9 description:amplified in osteosarcoma [Source:MGI Symbol;Acc:MGI:1924301] MAAEVLLSSLLGLLFLGLLLPARLTGGVGSLNLEELSEMRYGIQILPLPVMGGQSQASDV VVVSSKYKQRYECRLPAGAIHFQREREEETPAYQGPGIPELLSPMRDAPCLLKTKDWWTY EFCYGRHIQQYHMEDSEIKGDVLYLGHYQSSFNWDDETAKASKQHRLKRYHSQTYGNGSK CDLNGKPREAEVRFLCDEGAGISGDYIDRVDEPVSCSYVLTIRTSRLCPHPLLRPPASAA PQAILCHPALQPDEYMAYLQRQAESKQHEEKTTEEVQDTDRQVWSGSKAAGAPPKKEDVS PAKEEKESELWKLQGPEEQAAAREEAQAGEQDLNHEAAADPAPSPPNDFQNNVQVKLIRS PADLIRLIEELKAAEKGKPSVRREQPGDDTTEAPQREAEGTKAKGKDGEPPGLMEEEDGD DEEEEEEEEEDEEEQQLLGEFEKELEGMLLPSNRERLRSEVKAGMERELENIIQETEKEL DPEGLRKESEREQAILALTSTLDKLIKRLQENQSPELVQKYKKRRVVPQKPPPSPHPTGK IEIKIVRPGAEGKEEDTRWLTDEDTRNLKEIFFNILVQGAEEANKERQRQSELESNYRRV WGSPGGEDTGDLDEFDF >ENSMUSP00000040551.4 pep:known chromosome:GRCm38:10:80602880:80607654:1 gene:ENSMUSG00000035370.7 transcript:ENSMUST00000038411.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adat3 description:adenosine deaminase, tRNA-specific 3 [Source:MGI Symbol;Acc:MGI:1924344] MEPTSGFAEQPGPVKAESEEQEPAQWQALPVLSEQQSGAVELILAYAAPVLDKRQTSRLL REVSAVYPLPAQPHLKRVRPSRSAGGAQSSDLLLCLAGPSAGPRSLAELLPRPAVDPRGL GTPFLVPVPARPPLTRSQFEEARAHWPTSFHEDKQVTSALAGQLFSTQERAAMQTHMERA VCAAQRAAAQGLRAVGAVVVDPASDRVLATGHDCSSVASPLLHAVMVCIDLVAQGQGRGS CDLRSHPACSFTQATATQGARAGSVRKLDEDSLPYVCTGYDLYVTREPCVMCAMALVHAR IQRVFYGAPSPDGALGTLFRVHARPDLNHRFQVFRGILEDQCRQLDPDP >ENSMUSP00000136259.1 pep:known chromosome:GRCm38:10:80606021:80615783:1 gene:ENSMUSG00000035370.7 transcript:ENSMUST00000178231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adat3 description:adenosine deaminase, tRNA-specific 3 [Source:MGI Symbol;Acc:MGI:1924344] MEPTSGFAEQPGPVKAESEEQEPAQWQALPVLSEQQSGAVELILAYAAPVLDKRQTSRLL REVSAVYPLPAQPHLKRVRPSRSAGGAQSSDLLLCLAGPSAGPRSLAELLPRPAVDPRGL GTPFLVPVPARPPLTRSQFEEARAHWPTSFHEDKQVTSALAGQLFSTQERAAMQTHMERA VCAAQRAAAQGLRAVGAVVVDPASDRVLATGHDCSSVASPLLHAVMVCIDLVAQGQGRGS CDLRSHPACSFTQATATQGARAGSVRKLDEDSLPYVCTGYDLYVTREPCVMCAMALVHAR IQRVFYGAPSPDGALGTLFRVHARPDLNHRFQVFRGILEDQCRQLDPDP >ENSMUSP00000026265.6 pep:known chromosome:GRCm38:9:59321966:59353508:-1 gene:ENSMUSG00000025235.7 transcript:ENSMUST00000026265.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs4 description:Bardet-Biedl syndrome 4 (human) [Source:MGI Symbol;Acc:MGI:2143311] MAEVKLGMKTQVPASVESQKPRSKKAPDFPIVEKQNWLIHLHYIRKDYEACKAVIKEQLQ ETQGLCEYAIYVQALIFRLEGNIQESLELFQTCAVLSPQCADNLKQVARSLFLLGKHKAA TEVYNEAAKLNQKDWEICHNLGVCYTYLKQFNKAQDQLHSALQLNKHDLTYIMLGKIHLL QGDLDKAIEIYKKAVEFSPENTELLTTLGLLYLQLGVYQKAFEHLGNALTYDPANYKAIL AAGSMMQTHGDFDVALTKYRVVACAIPESPPLWNNIGMCFFGKKKYVAAISCLKRANYLA PFDWKILYNLGLVHLTMQQYASAFHFLSAAINFQPKMGELYMLLAVALTNLEDIENARRA YVEAVRLDKCNPLVNLNYAVLLYNQGEKKSALAQYQEMEKKVNFLKDNSPLEFDSEMVEM AQKLGAALQVGEALVWTKPVKDPKTKHRTNSGSKSATLQQPLGSIQALGQAMSSAAAYRK ILSGAVGAQLPKPPSLPLEPEPEPTVEASPTEASEQKKEK >ENSMUSP00000086506.2 pep:known chromosome:GRCm38:16:89040921:89041452:-1 gene:ENSMUSG00000068074.2 transcript:ENSMUST00000089105.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10228 description:predicted gene 10228 [Source:MGI Symbol;Acc:MGI:3704467] MCGYYGNYYGGRGYGCCGYGGLGYGYGGLGCGYGSYYGCGYRGLGCGYGYGCGYGSRSLY GCGYGCGSGYGSGFGYYY >ENSMUSP00000137378.1 pep:known chromosome:GRCm38:9:40670613:40671014:1 gene:ENSMUSG00000094204.1 transcript:ENSMUST00000177787.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21915 description:predicted gene, 21915 [Source:MGI Symbol;Acc:MGI:5434079] MTEAAGMETTPHLSCMDRNSGFTCEQYWELRKHFKADANPKEETLETLADASKLAKECIC FFKRRHEMRLKRLSKAHKNWEMCGRQCPSRETTRQNPKETSQNAPGLPEVLEALKSLTLS SGYQSRDDMSQDF >ENSMUSP00000125874.1 pep:known chromosome:GRCm38:16:36071660:36092118:1 gene:ENSMUSG00000075229.10 transcript:ENSMUST00000164916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc58 description:coiled-coil domain containing 58 [Source:MGI Symbol;Acc:MGI:2146423] MAAPSGSVNCEEFAEFQELLKVMRTIDDRIVHELNTTVPTASFAGKIDASQTCKQLYESL MAAHVSRDRVIKNCIAQTSAVVKSLREEREKNLDDLTLLKRLRKEQTKLKWMQSELNVEE VVNDRSWKVFNERCRVHFKPPKNE >ENSMUSP00000131929.1 pep:known chromosome:GRCm38:16:36071660:36092118:1 gene:ENSMUSG00000075229.10 transcript:ENSMUST00000163352.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc58 description:coiled-coil domain containing 58 [Source:MGI Symbol;Acc:MGI:2146423] MAAPSGSVNCEEFAEFQLMAAHVSRDRVIKNCIAQTSAVVKSLREEREKNLDDLTLLKRL RKEQTKLKWMQSELNVEEVVNDRSWKVFNERCRVHFKPPKNE >ENSMUSP00000097520.4 pep:known chromosome:GRCm38:16:36081275:36092118:1 gene:ENSMUSG00000075229.10 transcript:ENSMUST00000099937.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc58 description:coiled-coil domain containing 58 [Source:MGI Symbol;Acc:MGI:2146423] MDSTDANELLKVMRTIDDRIVHELNTTVPTASFAGKIDASQTCKQLYESLMAAHVSRDRV IKNCIAQTSAVVKSLREEREKNLDDLTLLKRLRKEQTKLKWMQSELNVEEVVNDRSWKVF NERCRVHFKPPKNE >ENSMUSP00000146786.1 pep:known chromosome:GRCm38:7:102941688:102942575:-1 gene:ENSMUSG00000052785.5 transcript:ENSMUST00000064830.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr573-ps1 description:olfactory receptor 573, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030407] MINLNGSLTSHPVFILTGIPGMPDKSLWMVFPLGFLYTLTLLGNGTILAVGEVEQSLHEP MYYFLCMLALIDISLSMSTLPSMPPEIPFEACVAQMFFIHVFGLVDSGVLLSIIAFDRFV AIQNPLHYASILTHGVIGKIGLVVLDRAVCVVFPVPFLIKRLPFCHPNILSHSYCLHQDM MRLACASTRVNSLYGLIIVILILGLDAFIILFSYILILKTVLGISSRAERLKALNTCLSH ICTVLLFYIPFIGATMIHRFGKHLSPIVHMLMANIYLLLPPLLNPIVYSVKNKQIR >ENSMUSP00000096043.2 pep:known chromosome:GRCm38:8:105701154:105703493:1 gene:ENSMUSG00000005699.15 transcript:ENSMUST00000098444.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard6a description:par-6 family cell polarity regulator alpha [Source:MGI Symbol;Acc:MGI:1927223] MRAIQFDAEFRRFALPRTSVRGFQEFSRLLCVVHQIPGLDVLLGYTDAHGDLLPLTNDDS LHRALASGPPPLRLLVQKRAEGDSSGLAFASNSLQRRKKGLLLRPVAPLRTRPPLLISLP QDFRQVSSVIDVDLLPETHRRVRLHKHGSDRPLGFYIRDGMSVRVAPQGLERVPGIFISR LVRGGLAESTGLLAVSDEILEVNGIEVAGKTLDQVTDMMVANSHNLIVTVKPANQRNNVV RGASGRLTGPSSVGPGPTDPDSDDDSSDLVIENRHPPCSNGLSQGPLCWDLQPGCLLPGA GSSLPSLDSREQANSGWGNGMRGDVSGFSL >ENSMUSP00000090886.6 pep:known chromosome:GRCm38:8:105701647:105703492:1 gene:ENSMUSG00000005699.15 transcript:ENSMUST00000093195.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard6a description:par-6 family cell polarity regulator alpha [Source:MGI Symbol;Acc:MGI:1927223] MARPQRTPARSPDSIVEVKSKFDAEFRRFALPRTSVRGFQEFSRLLCVVHQIPGLDVLLG YTDAHGDLLPLTNDDSLHRALASGPPPLRLLVQKRAEGDSSGLAFASNSLQRRKKGLLLR PVAPLRTRPPLLISLPQDFRQVSSVIDVDLLPETHRRVRLHKHGSDRPLGFYIRDGMSVR VAPQGLERVPGIFISRLVRGGLAESTGLLAVSDEILEVNGIEVAGKTLDQVTDMMVANSH NLIVTVKPANQRNNVVRGASGRLTGPSSVGPGPTDPDSDDDSSDLVIENRHPPCSNGLSQ GPLCWDLQPGCLLPGAGSSLPSLDSREQANSGWGNGMRGDVSGFSL >ENSMUSP00000071901.4 pep:known chromosome:GRCm38:12:23778912:23780265:-1 gene:ENSMUSG00000071362.2 transcript:ENSMUST00000072014.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10330 description:predicted gene 10330 [Source:MGI Symbol;Acc:MGI:3642221] MNVINVVKPFHNSVVSNVIKVHILERNPMNVINMVKLLQVTIISNIIKESIMERNLRNII NVIKAFPQSYCLYNHKGPIFDRNLMNVVNVLKPVHIPLLFKMLKIPHWKETS >ENSMUSP00000133178.1 pep:known chromosome:GRCm38:10:86539883:86541334:-1 gene:ENSMUSG00000090816.1 transcript:ENSMUST00000165173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6729 description:predicted gene 6729 [Source:MGI Symbol;Acc:MGI:3646339] MASNSKKKRLGSQYRVLSYLGEGAYGTVKLALHLKTEALVAIKMVEISQKTIDVILAELE VLKTLNHPNIIRLFQVLLTSSHVNFILEYASGGSLLDLIEEHGPLQEEEAKKIFGEILAA VKYCHNIDIIHGDIKPENILIDEKGNMKLTDFGLAIKLSPGRLLSQRRGTKSFWAPELML GEPYNGRKTDIWSLGVLLYFITTGHYPFAGITFKEIKNKITTGSYRIPSYTSVKLENLIH QILTVTPEKRPSIEDIERHPWVRKCDVTVPTDSFPDYTIIDMLCGMGFDANKILESLQKK KFDENMGTYLLLKEQVGKGIKHTSTFSPKYVDPCPVPPPSPAHTSTSGLPRKRRGSEPNF GLLHIWPSGQQGPAALPLSGHKLARSVSMPPIALHFPERKSITPSCALHTGAVAAQSVCN IILEDETCLPPEEDVTMETSSPPLKIGFFRRLRNRLRNCLARLCCIPRAPETNTERRSSK KVAP >ENSMUSP00000033326.8 pep:known chromosome:GRCm38:7:110122350:110142112:1 gene:ENSMUSG00000031016.8 transcript:ENSMUST00000033326.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wee1 description:WEE 1 homolog 1 (S. pombe) [Source:MGI Symbol;Acc:MGI:103075] MSFLSRQQPPPTRRVGAAYSLRQKLIFSPGSDCEEEEEEEEEGSGHSTGEDSAFQEPDSP LPSARSPAEAEAERRRRSPGAEPSSPGELEDDLLLQGGGGGAQAAGGGAEGDSWEEEGFG SSSPVKSPSTAYFLSSPFSPVRCGGPGDASPQGCGAPRAMDDPCSPQPDYPSTPPHKTFR KLRLFDTPHTPKSLLSKARVIDSGSVKLRGSSLFMDTEKSGKREFDTRQTPQVNINPFTP DPVLLHSSGRCRGRKRAYFNDSSEDMEASDYEFEDETRPAKRITITESNMKSRYTTEFHE LEKIGSGEFGSVFKCVKRLDGCIYAIKRSKKPLAGSVDEQNALREVYAHAVLGQHPHVVR YFSAWAEDDHMLIQNEYCNGGSLADAISENYRVMSYLTEVELKDLLLQVGRGLRYIHSMS LVHMDIKPSNIFISRTSIPNAVSEEGDEDDWISNKVMFKIGDLGHVTRISSPQVEEGDSR FLANEVLQENYSHLPKADIFALALTVVCAAGAEPLPRNGEQWHEIRQGRLPRIPQVLSQE VTELLRVMIHPDPERRPSAMELVKHSVLLSASRKSAEQLRIELNAEKFKNSLLQKELKKA QMAAKVAAEERALFTDRMATRSTTQSNRTSRLIGKKMNRSVSLTIY >ENSMUSP00000127616.1 pep:known chromosome:GRCm38:15:98255982:98257307:-1 gene:ENSMUSG00000048077.5 transcript:ENSMUST00000060855.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H1fnt description:H1 histone family, member N, testis-specific [Source:MGI Symbol;Acc:MGI:1917319] MAEAVQPSGESQGAELTIQIQQPAERALRTPAKRGTQSVLRVSQLLLRAIAGHQHLTLDA LKKELGNAGYEVRREISSHHEGKSTRLEKGTLLRVSGSDAAGYFRVWKISKPREKAGQSR LTLGSHSSGKTVLKSPRPLRPRSRRKAAKKAREVWRRKARALKARSRRVRTRSTSGARSR TRSRASSRATSRATSRARSRARSRAQSSARSSARSSAKSSAKSSTRSSAKSWARSKARSR ARSRAKDLVRSKAREQAQAREQARARAREQAHARARTQDWVRAKAQEFVSAKEQQYVRAK EQERAKAREQVRIGARDEARIKAKDYNRVRPTKEDTSPRPAEEKSSNSKLREEKGQEPER PVKQTIQKPALDNAPSIQGKACTKSFTKSGQPGDTESP >ENSMUSP00000066363.3 pep:known chromosome:GRCm38:14:55559942:55560406:-1 gene:ENSMUSG00000053588.3 transcript:ENSMUST00000066106.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A730061H03Rik description:RIKEN cDNA A730061H03 gene [Source:MGI Symbol;Acc:MGI:2445099] TQEGRLVVADWNWLRPALDVAELDIKSARWSLKVAWPRVVCLFVCFLLRAQSLLFPQLPA TPVQPFSPGCSASGLSKAVLTGGTWASPPCCVHAYQRGSCLTTPGFLSWSLHTLPKCRGR PRSLSGCLSAHAPLGSGLCRPSLGFCRSPPPVGL >ENSMUSP00000095236.3 pep:known chromosome:GRCm38:18:33464163:33472448:1 gene:ENSMUSG00000073610.3 transcript:ENSMUST00000097634.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10549 description:predicted gene 10549 [Source:MGI Symbol;Acc:MGI:3641986] EGTGTTTALPPSLLCACPEHSRKWCPRQHQDALGGPYPSWFGLPAAIAPARGKPEAGDRE AGAAGSGAAGRRTHTRTVACTITRAHSDTFSPARAQAVRKGLPGTSTVQTQTQAEGVTHE DRGCKELCTRQRDVQKSQKSPGHHHLQFP >ENSMUSP00000063224.2 pep:known chromosome:GRCm38:13:30974204:30975976:1 gene:ENSMUSG00000056257.2 transcript:ENSMUST00000070258.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5447 description:predicted gene 5447 [Source:MGI Symbol;Acc:MGI:3644017] MGKGEKKEGSRKEEREKVEGWNSGRKKRGGVKRIQSVRVTVDVAQESTAVRDQHQVKRQT SVKGLHLARSARLIKISHRSELWGPKGLSGKLICKLTRPPYYRNDCYLQPISPKV >ENSMUSP00000026398.3 pep:known chromosome:GRCm38:10:128958277:128960988:-1 gene:ENSMUSG00000025347.3 transcript:ENSMUST00000026398.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl7b description:methyltransferase like 7B [Source:MGI Symbol;Acc:MGI:1918914] MDALVLFLQLLVLLLTLPLHLLALLGCWQPICKTYFPYFMAMLTARSYKKMESKKRELFS QIKDLKGTSGNVALLELGCGTGANFQFYPQGCKVTCVDPNPNFEKFLTKSMAENRHLQYE RFIVAYGENMKQLADSSMDVVVCTLVLCSVQSPRKVLQEVQRVLRPGGLLFFWEHVAEPQ GSRAFLWQRVLEPTWKHIGDGCHLTRETWKDIERAQFSEVQLEWQPPPFRWLPVGPHIMG KAVK >ENSMUSP00000023775.7 pep:known chromosome:GRCm38:15:100674425:100687920:-1 gene:ENSMUSG00000023031.7 transcript:ENSMUST00000023775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cela1 description:chymotrypsin-like elastase family, member 1 [Source:MGI Symbol;Acc:MGI:95314] MLRFLVFASLVLCGHSTEDVPETDARVVGGAEARRNSWPSQISLQYQYGGSWHHTCGGTL IRSNWVMTAAHCVDSPMTYRVVVGEHNLSQNDGTEQYVNVQKIVSHPYWNKNNVVAGYDI ALLRLAKSVTLNNYVQLGVLPREGTILANNSPCYITGWGRTRTNGELAQTLQQAYLPSVS YSICSSSSYWGSSVKNTMVCAGGDGVRSGCQGDSGGPLHCMVNGQYAVHGVTSFVSSMGC NVARKPTVFTRVSAYISWMNNVIASN >ENSMUSP00000127300.1 pep:known chromosome:GRCm38:17:30215524:30576287:-1 gene:ENSMUSG00000062202.13 transcript:ENSMUST00000168787.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd9 description:BTB (POZ) domain containing 9 [Source:MGI Symbol;Acc:MGI:1916625] MSNSHPLRPFTAVGEIDHVHILSEHIGALLIGEEYGDVTFVVEKKHFPAHRVILAARCQY FRALLYGGMRESQPEAEIPLQDTTAEAFTMLLRYIYTGRATLTDEKEEVLLDFLSLAHKY GFPELEDSTSEYLCTILNIQNVCMTFDVASLYSLPKLTCMCCMFMDRNAQEVLASDGFLS LSKTALLNIVLRDSFAAPEKDIFLALLNWCKHNAKENHAEIMQAVRLPLMSLTELLNVVR PSGLLSPDAILDAIKVRSESRDMDLNYRGMLIPEENIATMKYGAQVVKGELKSALLDGDT QNYDLDHGFSRHPIDDDCRSGIEIKLGQPSIINHIRLLLWDRDSRSYSYFIEVSMDELDW IRVIDHSHYLCRSWQKLYFPARVCRYIRIVGTHNTVNKIFHIVAFECMFTNKAFTLEKGL IVPLENVATIADCASVIEGVSRSRNALLNGDTKNYDWDSGYTCHQLGSGAIVVQLAQPYI IGSIRLLLWDCDDRSYSYYVEVSTNQQQWTMVADRTKVSCKSWQSVTFERQPASFIRIVG THNTANEVFHCVHFECPEQQSNQKEDSSEEPGTGDPSTPNQQLDPHAPRAPSASSLPPSP GPNSRSPNQQNQ >ENSMUSP00000078845.5 pep:known chromosome:GRCm38:17:30215524:30576287:-1 gene:ENSMUSG00000062202.13 transcript:ENSMUST00000079924.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd9 description:BTB (POZ) domain containing 9 [Source:MGI Symbol;Acc:MGI:1916625] MSNSHPLRPFTAVGEIDHVHILSEHIGALLIGEEYGDVTFVVEKKHFPAHRVILAARCQY FRALLYGGMRESQPEAEIPLQDTTAEAFTMLLRYIYTGRATLTDEKEEVLLDFLSLAHKY GFPELEDSTSEYLCTILNIQNVCMTFDVASLYSLPKLTCMCCMFMDRNAQEVLASDGFLS LSKTALLNIVLRDSFAAPEKDIFLALLNWCKHNAKENHAEIMQAVRLPLMSLTELLNVVR PSGLLSPDAILDAIKVRSESRDMDLNYRGMLIPEENIATMKYGAQVVKGELKSALLDGDT QNYDLDHGFSRHPIDDDCRSGIEIKLGQPSIINHIRLLLWDRDSRSYSYFIEVSMDELDW IRVIDHSHYLCRSWQKLYFPARVCRYIRIVGTHNTVNKIFHIVAFECMFTNKAFTLEKGL IVPLENVATIADCASVIEGVSRSRNALLNGDTKNYDWDSGYTCHQLGSGAIVVQLAQPYI IGSIRLLLWDCDDRSYSYYVEVSTNQQQWTMVADRTKVSCKSWQSVTFERQPASFIRIVG THNTANEVFHCVHFECPEQQSNQKEDSSEEPGTGDPSTPNQQLDPHAPRAPSASSLPPSP GPNSRSPNQQNQ >ENSMUSP00000003870.7 pep:known chromosome:GRCm38:19:53310506:53375810:1 gene:ENSMUSG00000025025.13 transcript:ENSMUST00000003870.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxi1 description:MAX interactor 1, dimerization protein [Source:MGI Symbol;Acc:MGI:97245] MGKRGRPRKEARCEGAGLVPVAPPAMPPAAAAPQPPAQPEEPAGAKPRCPFSDIFNTSEN SMEKHINTFLQNVQILLEAASYLEQIEKENKKCEHGYASSFPSMPSPRLQHSKPPRRLSR AQKHSSGSSNTSTANRSTHNELEKNRRAHLRLCLERLKVLIPLGPDCTRHTTLGLLNKAK AHIKKLEEAERKSQHQLENLEREQRFLKRRLEQLQGPQEMERIRMDSIGSTISSDRSDSE REEIEVDVESTEFSHGEADSVSTTSISDLDDHSSLQSVGSDEGYSSASVKLSFAS >ENSMUSP00000025998.7 pep:known chromosome:GRCm38:19:53329413:53375810:1 gene:ENSMUSG00000025025.13 transcript:ENSMUST00000025998.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxi1 description:MAX interactor 1, dimerization protein [Source:MGI Symbol;Acc:MGI:97245] MERVRMINVQRLLEAAEFLERRERECEHGYASSFPSMPSPRLQHSKPPRRLSRAQKHSSG SSNTSTANRSTHNELEKNRRAHLRLCLERLKVLIPLGPDCTRHTTLGLLNKAKAHIKKLE EAERKSQHQLENLEREQRFLKRRLEQLQGPQEMERIRMDSIGSTISSDRSDSEREEIEVD VESTEFSHGEADSVSTTSISDLDDHSSLQSVGSDEGYSSASVKLSFAS >ENSMUSP00000107366.1 pep:known chromosome:GRCm38:19:53330446:53375810:1 gene:ENSMUSG00000025025.13 transcript:ENSMUST00000111737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxi1 description:MAX interactor 1, dimerization protein [Source:MGI Symbol;Acc:MGI:97245] MPSPRLQHSKPPRRLSRAQKHSSGSSNTSTANRSTHNELEKNRRAHLRLCLERLKVLIPL GPDCTRHTTLGLLNKAKAHIKKLEEAERKSQHQLENLEREQRFLKRRLEQLQGPQEMERI RMDSIGSTISSDRSDSEREEIEVDVESTEFSHGEADSVSTTSISDLDDHSSLQSVGSDEG YSSASVKLSFAS >ENSMUSP00000061046.3 pep:known chromosome:GRCm38:12:55598917:55602018:1 gene:ENSMUSG00000045440.3 transcript:ENSMUST00000051857.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Insm2 description:insulinoma-associated 2 [Source:MGI Symbol;Acc:MGI:1930787] MPRGFLVKRTKRSGSSYRARPVEPLFPPPGPLAAQSSPEEPGRGLLGSPCLAPPQDDAEW GAGGGDGPGPSPARPAGPELRRAFLERCLRSPVSAESFPSATAFCSAAPAAVTSGEELVP PQVPVSVPIPVPGPAPHGLQRRGKGAPVCASAPAAVRKPKAVRRLSFADEVTTSPVLGLK IKEEEPGAPARALGGVRTPLGEFICQLCKHQYADPFALAQHRCSRIVRVEYRCPECDKVF SCPANLASHRRWHKPRPTPACAASKPPHAPLTPPDPSLATGKENGRVPRTDDQHPQAPDS SGDGQHRDSAARPGLQALVYPEAARPQAPYPEVILGRHGPGSSGASAGATSEVFVCPYCH KKFRRQAYLRKHLGTHETGSARAPTPGFGSERTAPLTFACPLCGAHFPSADIREKHRLWH AVREELLLPALVGAPSEAGPGGASDGSAQQIFSCKYCPSTFFSSPGLTRHINKCHPSESR QVLLLQMPLRPGC >ENSMUSP00000128308.1 pep:known chromosome:GRCm38:17:14665500:14694262:-1 gene:ENSMUSG00000023885.8 transcript:ENSMUST00000170872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thbs2 description:thrombospondin 2 [Source:MGI Symbol;Acc:MGI:98738] MLWALALLALGIGPRASAGDHVKDTSFDLFSISNINRKTIGAKQFRGPDPGVPAYRFVRF DYIPPVNTDDLNRIVKLARRKEGFFLTAQLKQDRKSRGTLLVLEGPGTSQRQFEIVSNGP GDTLDLNYWVEGNQHTNFLEDVGLADSQWKNVTVQVASDTYSLYVGCDLIDSVTLEEPFY EQLEVDRSRMYVAKGASRESHFRGLLQNVHLVFADSVEDILSKKGCQHSQGAEVNTISEH TETLHLSPHITTDLVVQGVEKAQEVCTHSCEELSNMMNELSGLHVMVNQLSKNLERVSSD NQFLLELIGGPLKTRNMSACVQEGRIFAENETWVVDSCTTCTCKKFKTVCHQITCSPATC ANPSFVEGECCPSCSHSADSDEGWSPWAEWTECSVTCGSGTQQRGRSCDVTSNTCLGPSI QTRTCSLGKCDTRIRQNGGWSHWSPWSSCSVTCGVGNVTRIRLCNSPVPQMGGKNCKGSG RETKPCQRDPCPIDGRWSPWSPWSACTVTCAGGIRERSRVCNSPEPQYGGKDCVGDVTEH QMCNKRSCPIDGCLSNPCFPGAKCNSFPDGSWSCGSCPVGFLGNGTHCEDLDECAVVTDI CFSTNKAPRCVNTNPGFHCLPCPPRYKGNQPFGVGLEDARTEKQVCEPENPCKDKTHSCH KNAECIYLGHFSDPMYKCECQIGYAGDGLICGEDSDLDGWPNNNLVCATNATYHCIKDNC PKLPNSGQEDFDKDGIGDACDEDDDNDGVSDEKDNCQLLFNPRQLDYDKDEVGDRCDNCP YVHNPAQIDTDNNGEGDACSVDIDGDDVFNERDNCPYVYNTDQRDTDGDGVGDHCDNCPL MHNPDQIDQDNDLVGDQCDNNEDIDDDGHQNNQDNCPYISNSNQADHDNDGKGDACDSDD DNDGVPDDRDNCRLVFNPDQEDSDGDGRGDICKDDFDNDNVPDIDDVCPENNAITETDFR NFQMVPLDPKGTTQIDPNWVIRHQGKELVQTANSDPGIAVGFDEFGSVDFSGTFYVNTDR DDDYAGFVFGYQSSSRFYVVMWKQVTQTYWEDKPSRAYGYSGVSLKVVNSTTGTGEHLRN ALWHTGNTEGQVRTLWHDPKNIGWKDYTAYRWHLIHRPKTGYMRVLVHEGKQVMADSGPI YDQTYAGGRLGLFVFSQEMVYFSDLKYECRDA >ENSMUSP00000021514.8 pep:known chromosome:GRCm38:12:72761211:72794940:1 gene:ENSMUSG00000021096.10 transcript:ENSMUST00000021514.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1a description:protein phosphatase 1A, magnesium dependent, alpha isoform [Source:MGI Symbol;Acc:MGI:99878] MGAFLDKPKMEKHNAQGQGNGLRYGLSSMQGWRVEMEDAHTAVIGLPSGLETWSFFAVYD GHAGSQVAKYCCEHLLDHITNNQDFRGSAGAPSVENVKNGIRTGFLEIDEHMRVMSEKKH GADRSGSTAVGVLISPQHTYFINCGDSRGLLCRNRKVHFFTQDHKPSNPLEKERIQNAGG SVMIQRVNGSLAVSRALGDFDYKCVHGKGPTEQLVSPEPEVHDIERSEEDDQFIILACDG IWDVMGNEELCDFVRSRLEVTDDLEKVCNEVVDTCLYKGSRDNMSVILICFPSAPKVSAE AVKKEAELDKYLESRVEEIIKKQVEGVPDLVHVMRTLASENIPSLPPGGELASKRNVIEA VYNRLNPYKNDDTDSASTDDMW >ENSMUSP00000132945.1 pep:known chromosome:GRCm38:13:110054187:110280206:-1 gene:ENSMUSG00000021700.9 transcript:ENSMUST00000167824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3c description:RAB3C, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1914545] MRHEAPMQMASAQDARFGQKDSSDQNFDYMFKLLIIGNSSVGKTSFLFRYADDSFTSAFV STVGIDFKVKTVFKNEKRIKLQIWDTAGQERYRTITTAYYRGAMGFILMYDITNEESFNA VQDWSTQIKTYSWDNAQVILAGNKCDMEDERVVSTERGQRLGEQLGFEFFETSAKDNINV KQTFERLVDIICDKMSESLETDPAITAAKQSTRLKETPPPPQPNCGC >ENSMUSP00000126135.1 pep:known chromosome:GRCm38:8:75109528:75128439:1 gene:ENSMUSG00000005410.5 transcript:ENSMUST00000164309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm5 description:minichromosome maintenance deficient 5, cell division cycle 46 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:103197] MSGFDDPGIFYSDSFGGDPGAEEGQARKSQLQRRFKEFLRQYRVGTDRTGFTFKYRDELK RHYNLGEYWIEVEMEDLASFDEELADHLHKQPAEHLQLLEEAAKEVADEVTRPRPAGDEL LQDIQVMLKSDASPSSIRILKSDMMSHLVKIPGIIISASAVRAKATRISIQCRSCHNTLT NIAMRPGLEGYALPRKCNMDQAGRPKCPLDPYFIMPDKCKCVDFQTLKLQELPDAVPHGE MPRHMQLYCDRYLCDKVVPGNRVTIMGIYSIKKFGLNPSKGRDRVGVGIRSSYIRVLGIQ VDTDGSGRSFAGSVSPQEEEEFRRLAALPNIYELISKSISPSIFGGMDMKKAIACLLFGG SRKRLPDGLTRRGDINLLMLGDPGTAKSQLLKFVEKCSPIGVYTSGKGSSAAGLTASVIR DPSSRNFIMEGGAMVLADGGVVCIDEFDKMREDDRVAIHEAMEQQTISIAKAGITTTLNS RCSVLAAANSVFGRWDETKGEDNIDFMPTILSRFDMIFIVKDEHNEERDMMLAKHVMTLH VSALTQTQAVEGEIDLAKMKKFIAYCRARCGPRLSAEAAEKLKNRYIIMRSGARQHERDS DRRSSIPITVRQLEAIVRIAEALSKMKLQPFATEADVEEALRLFQVSTLDAALSGNLSGV EGFTTQEDQEMLSRIEKQLKRRFAIGSQVSEHSIVQDFTKQKYPEHAIRKVLQLMLRRGE IQHRMQRKVLYRLK >ENSMUSP00000080813.5 pep:known chromosome:GRCm38:7:102677580:102687326:-1 gene:ENSMUSG00000073968.3 transcript:ENSMUST00000082175.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim68 description:tripartite motif-containing 68 [Source:MGI Symbol;Acc:MGI:2142077] MDPAVLMEAIVEEVNCPICMTFLREPVSISCGHTFCHSCLSGLWKLPGESQNLSYTCPLC RAPVKPRKLRPNWQLASVVDKVRLLGFCMEMGLKTDVCDLHKEQLTMFCKEDDMVTCEAC KQSPEHEAHSVVPIKDVAWEYKWKLQQALEHLRKEQEEAWKLEVSEKEQAAIWKTQMERR KQSIRWEFEKYRQLLKEKELPCQQAEEEAAAAQASLEQEKGETASKLELRREAIIRQSQV LWSMIVELEERSQRPVRWMLQGIQEALNRSESWTLQQLEPISLELKTDCRVLGLRETLKT FAVDVRLDPDTAYSRLVVSKDRKSVHYGVTQQNLPDNPERFYRYNIVLGSQCISSGRHYW EVEVGDRSEWGLGVCVENVDRKEVVYLSPRYGFWVIRLRKGTEYRAGTDEYPLLPLTVPP HRVGIFLDYEAHDISFYNVTDGASHIFTFPRYPFPGRLLPYFSPCYSIDTNNTTPLTICT LGGEG >ENSMUSP00000044228.3 pep:known chromosome:GRCm38:9:15505487:15545260:1 gene:ENSMUSG00000058173.11 transcript:ENSMUST00000045620.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smco4 description:single-pass membrane protein with coiled-coil domains 4 [Source:MGI Symbol;Acc:MGI:3039636] MRQLKGKPKKETSKDKKERKQAMQEARQQITTVVLPTLAVVVLLIVVFVYVATRPAVTE >ENSMUSP00000136432.1 pep:known chromosome:GRCm38:9:15520846:15545257:1 gene:ENSMUSG00000058173.11 transcript:ENSMUST00000178999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smco4 description:single-pass membrane protein with coiled-coil domains 4 [Source:MGI Symbol;Acc:MGI:3039636] MRQLKGKPKKETSKDKKERKQAMQEARQQITTVVLPTLAVVVLLIVVFVYVATRPAVTE >ENSMUSP00000035260.4 pep:known chromosome:GRCm38:12:87106866:87147902:-1 gene:ENSMUSG00000034126.4 transcript:ENSMUST00000037788.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomt2 description:protein-O-mannosyltransferase 2 [Source:MGI Symbol;Acc:MGI:2444430] MLYASGRLLAARAATTLSAPPRARGPALRGKRRELQIPWHLETPSYDPLTGQRTRPGVPP ARRVILRKGRMPPAIGGGLAGSELRPRRGRCVPQAARAVSRDVVPQAAARKLKRPAWSSR RFQAAGWWATLAVVTLLSFATRFHRLDQPAHICWDETHFGKMGSYYINRTFFFDVHPPLG KMLIGLAGYLSGYDGTFLFQKPGDRYEHHSYMGMRGFCAFLGSWLIPFAYLTVLDLSKSF PAALLTAALLTFDTGCLTLSQYILLDPILMFFIMAAMLSMVKYNSCANRPFSAPWWFWLS LTGISLAGALGVKFVGLFIIVQVGLNTISDLWHLFGDLSLSLVTVGKHLTARILCLIVLP LVLYVTIFAVHVMVLNKSGPGDGFFSSAFQARLSGNSLHNASIPEHLAYGSVITVKNLRM AIGYLHSHRHLYPEGIGARQQQVTTYLHKDYNNLWIIKKYNANTDPLDPSFPVEFVRHGD IIRLEHKETTRNLHSHYHEAPLTRKHYQVTGYGINGTGDSNDFWRIEVVNRKFGNRIKVL RSRIRLIHLVTGCVLGSSGKILPKWGWEQLEVTCTPYLKETTNSIWNIEEHINPKLPNIS LDVLQPSFPEILLESHMVMIRGNNGLKPKDNEFTSKPWHWPINYQGLRFSGANDTDFRVY LLGNPVVWWLNLVSIVLYLLSGSTIAVAMQRGIQLPAELQGLTKVLLRGGGQLLLGWMLH YFPFFLMGRILYFHHYFPAMLFSSMLTGILWDTLLRLCAWGLAPSPLGRRIHAVGILSLL LTTAYSFYLFHPLAYGMVGPLAQEPESPMAGLRWLESWDF >ENSMUSP00000096472.2 pep:known chromosome:GRCm38:9:39771772:39772798:-1 gene:ENSMUSG00000095903.1 transcript:ENSMUST00000075928.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr968 description:olfactory receptor 968 [Source:MGI Symbol;Acc:MGI:3030802] MFQGNLSGVTEFNLAGLTDKPGLQLPLFLLFLGIYVVTVVGNLSMITLILFSSQLHTPMY YFLSSLSFIDLCQSIVIIPKMLVNFVTVQNIISYPECMTQFCIFATFTIAECHMLAVMAY DRYVAICKPLLYNAVMSYQVCSWMIFGVYIMAFVGATTQTVFMLKVHFCKANVINHYFCD LSPLLELSCSDTFINEVLALCFSVFNIFIPTLTILSSYIFIIASILRIKSTEGRSKAFST CSSHISAVAIFFGSLAFMYLQPSSINSMDQRKVSSVFYTIVVPMLNPLIYSLRNKDVKVA LNKFLERIFSCEQN >ENSMUSP00000055273.6 pep:known chromosome:GRCm38:10:18779813:18785011:-1 gene:ENSMUSG00000044624.6 transcript:ENSMUST00000055107.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4922 description:predicted gene 4922 [Source:MGI Symbol;Acc:MGI:3644318] MYSDSEDESSELSTVLSMFEEKEFTRQYTVLKTLSQHGTTEVRLCSHHLTGVTVAVKALK YQRWWEPKVSEVEIMKMLSHPNIVSLLQVIETEQNIYLIMEVAQGTQLHNRVQEARCLKE DEARSIFVQLLSAIGYCHGEGVVHRDLKPDNVIVDEHGNVKIVDFGLGARFMPGQKLERL CGAFQFIPPEIFLGLPYDGPKVDIWALGVLLYYMVTGIFPFVGSTLSEISKEVLQGRYEI PYNLSKDLRSMIGLLLATNARQRPTAQDLLSHPWLQEGEKTITFHSNGDTSFPDPDIMAA MKNIGFHVQDIRESLKHRKFDETMATYNLLRAEACQDDGNYVQTKLMNPGMPPFPSVTDS GAFSLPPRRRASEPSFKVLVSSTEEHQLRQTGGTNAPFPPKKTPTMGRSQKQKRAMTAPC ICLLRNTYIDTEDSSFCTSSQAEKTSSDPEKSETSTSCPLTPRGWRKWKKRIVACIQTLC CCTLPQKKCPRSVHPQK >ENSMUSP00000014597.3 pep:known chromosome:GRCm38:14:63372837:63417187:-1 gene:ENSMUSG00000014453.3 transcript:ENSMUST00000014597.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blk description:B lymphoid kinase [Source:MGI Symbol;Acc:MGI:88169] MGLLSSKRQVSEKGKGWSPVKIRTQDKAPPPLPPLVVFNHLAPPSPNQDPDEEERFVVAL FDYAAVNDRDLQVLKGEKLQVLRSTGDWWLARSLVTGREGYVPSNFVAPVETLEVEKWFF RTISRKDAERQLLAPMNKAGSFLIRESESNKGAFSLSVKDITTQGEVVKHYKIRSLDNGG YYISPRITFPTLQALVQHYSKKGDGLCQKLTLPCVNLAPKNLWAQDEWEIPRQSLKLVRK LGSGQFGEVWMGYYKNNMKVAIKTLKEGTMSPEAFLGEANVMKTLQHERLVRLYAVVTRE PIYIVTEYMARGCLLDFLKTDEGSRLSLPRLIDMSAQVAEGMAYIERMNSIHRDLRAANI LVSETLCCKIADFGLARIIDSEYTAQEGAKFPIKWTAPEAIHFGVFTIKADVWSFGVLLM EIVTYGRVPYPGMSNPEVIRSLEHGYRMPCPETCPPELYNDIITECWRGRPEERPTFEFL QSVLEDFYTATEGQYELQP >ENSMUSP00000041819.7 pep:known chromosome:GRCm38:12:81714950:81781170:-1 gene:ENSMUSG00000042724.7 transcript:ENSMUST00000035987.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k9 description:mitogen-activated protein kinase kinase kinase 9 [Source:MGI Symbol;Acc:MGI:2449952] MESSRSLLGCLASATAAPPGDDATGAGAEEEEDEEEAAAELGSHAALPYWTAVFEYEAAG EDELTLRLGDVVEVLSKDSQVSGDEGWWTGQLNQRVGIFPSNYVTPRSAFSSRCQPGAED PSCYPPIQLLEIDFAELTLEEIIGIGGFGKVYRAFWAGDEVAVKAARHDPDEDISQTIEN VRQEAKLFAMLKHPNIIALRGVCLKEPNLCLVMEFARGGPLNRVLSGKRIPPDILVNWAV QIARGMNYLHDEAIVPIIHRDLKSSNILILQKVENGDLSNKILKITDFGLAREWHRTTKM SAAGTYAWMAPEVIRASMFSKGSDVWSYGVLLWELLTGEVPFRGIDGLAVAYGVAMNKLA LPIPSTCPEPFAKLMEDCWNPDPHSRPSFTSILDQLTTIEESGFFEMPKDSFHCLQDDWK HEIQEMFDQLRAKEKELRTWEEELTRAALQQKNQEELLRRREQELAEREIDILERELNII IHQLCQEKPRVKKRKGKFRKSRLKLKDGNRISLPSDFQHKFTVQASPTMDKRKSLISNRS SPPASPTIIPRLRAIQLTPGESSKTWGRSSVVPKEEGEEEEKRAPKKKGRTWGPGTLGQK ELTSGDEGLKSLVDGYKQWSSSAPNLGKGPRSSPALPGFTSLMEIEDEDSEGPGSGENHQ QHSPNQSYLCIPFPRGEDGDGPSSDGVHEEPTPVNSATSTPQLTPTNSLKRGGTHHRRCE VALLGCGAVLAATGLGFDLLEAGKCQLLPPEEPEPPAREEKKRREGLFQRASRPRRSTSP PSRKLFKKEEPMTLLGDPSASLTLLSLSSISECNSTRSLLRSDSDEIVVYEMPVSPVEAP PLTQCTHNPLVNVRVERFKRDPNQSLTPTHVTLTAPTQPSGHRRTPSDGALKPTAAPAVL GSRSPSSNGMSPSPGTGMLKTPSPSRDPGEFPRLPDPNVVFPPTPRRWNTQRDSTLERPK TLEFLPRPRPSANRQRLDPWWFVSPSHARSASPANSSSTETPSNLDSCFASSSSTVEERP GLPALLPLQAGPLLPAERTLLDLDAEGQSQDSTVPLCRAELNAHGPSPYEIQQEFWS >ENSMUSP00000137288.1 pep:known chromosome:GRCm38:3:54713176:54714353:-1 gene:ENSMUSG00000094487.1 transcript:ENSMUST00000178832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21958 description:predicted gene, 21958 [Source:MGI Symbol;Acc:MGI:5439427] MLIKISRKCTSEILSDLPKVVMMMEHPVKYVAKSTSMTQI >ENSMUSP00000047508.6 pep:known chromosome:GRCm38:9:45906569:45929692:1 gene:ENSMUSG00000035382.8 transcript:ENSMUST00000039059.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk7 description:proprotein convertase subtilisin/kexin type 7 [Source:MGI Symbol;Acc:MGI:107421] MPKGRQKVPHLDAHLGLPICLWLELAIFFLVPQVMGLSEAGGLDILGTGGLSWAVHLDSL EGERKEESLTQQADAVAQAAGLVNAGRIGELQGHYLFVQPTGHRQAMEVEAMRQQAEAVL ARHEAVRWHSEQTLLKRAKRSIHFNDPKYPQQWHLNNRRSPGRDINVTGVWERNVTGRGV TVVVVDDGVEHTVQDIAPNYSPEGSYDLNSNDPDPMPHPDEENGNHHGTRCAGEIAAVPN NSFCAVGVAYGSRIAGIRVLDGPLTDSMEAVAFNKHYQINDIYSCSWGPDDDGKTVDGPH QLGKAALQHGVMAGRQGFGSIFVVASGNGGQHNDNCNYDGYANSIYTVTIGAVDEEGRMP FYAEECASMLAVTFSGGDKMLRSIVTTDWDLQKGTGCTEGHTGTSAAAPLAAGMIALMLQ VRPCLTWRDVQHIIVFTAIQYEDHHADWLTNEAGFSHSHQHGFGLLNAWRLVNAAKIWTS VPYLASYVSPMLKENKAVPRSPHSLEVLWNVSRTDLEMSGLKTLEHVAVTVSITHPRRGS LELKLFCPSGMMSLIGAPRSMDSDPNGFNAWTFSTVRCWGERARGVYRLVIRDVGDEPLQ MGILQQWQLTLYGSMWSPVDIKDRQSLLESAMSGKYLHDGFTLPCPPGLKIPEEDGYTIT PNTLKTLVLVGCFSVFWTIYYMLEVCLSQRNKASTHGCRKGCCPWAPRRQNSKDAGTALE SMPLCSSKDLDGVDSEHGDCTTASSFLAPELDCPPHQPPDLLQGKSGQIC >ENSMUSP00000072518.7 pep:known chromosome:GRCm38:17:25790508:25792284:-1 gene:ENSMUSG00000057411.8 transcript:ENSMUST00000072735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam173a description:family with sequence similarity 173, member A [Source:MGI Symbol;Acc:MGI:2384888] MDQDDPAEALTELREKRLGLLEIVQAAAGSGLAVYTIWALLLQPGFRRVPLRLQVPYVGA SARQVENVLSLLRGRPGKMVDLGSGDGRIVLAAHQCGLRPAMGYELNPWLVGLARLHAWR AGCSASVCYHRKDLWKVSLRDCHNVSVFLAPSVLQLLEDKLQAELPVGARVVSGRFPLPT WQPVAVVGEGTDRVWAYDVHGSGPTVSSCGVPIKAIPESSSTLVPRAPV >ENSMUSP00000060524.4 pep:known chromosome:GRCm38:15:82759835:82764183:-1 gene:ENSMUSG00000068083.1 transcript:ENSMUST00000055721.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2d40 description:cytochrome P450, family 2, subfamily d, polypeptide 40 [Source:MGI Symbol;Acc:MGI:1919004] MELLTGTDLWPVAIFTVIFILLVDLLHRRQRWTSRYPPGPVPWPVLGNLLQVDLDNMPYS FYKVVKMFPIVLRIPGLADKIFPGQKTFLTMVDKLVTEHKRTWDPDQPPRDLTDAFMAEM ETAKGNPESSFNEANLRLVVLDLFGGGIVTTSATLTWALLLMILHPDVQRRVQEEIDEVI GQARRPEMADQARMPYTNAVIHEVQRFADIAPMTLPHRTSCDIEVQGFLIPKGTTLICNL SSVLKDETVWEKPLRFYPEHFLDAQGHFVKPEAFMPFSAGRRACLGEPLVRMELFLFFTC LLQRFSFSVPDGQPLPSDYGIYSMVVSPAPYQLCAVVR >ENSMUSP00000100863.2 pep:known chromosome:GRCm38:10:128821771:128877638:1 gene:ENSMUSG00000078427.2 transcript:ENSMUST00000105230.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sarnp description:SAP domain containing ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1913368] MAAETVELHKLKLAELKQECLARGLETKGIKQDLINRLQAYLEDHAEEEANEEDVLGDET EEEEPKPIELPVKEEEPPEKAVDMASEKKVVKITSGIPQTERMQKRAERFNVPVSLESKK AARAARFGISSVPTKGLSSDTKPMVNLDKLKERAQRFGLNVSSISRKSEDDEKLKKRKER FGIVTSSAGTGTTEDTEAKKRKRAERFGIA >ENSMUSP00000043949.1 pep:known chromosome:GRCm38:5:99979061:99979663:1 gene:ENSMUSG00000035364.1 transcript:ENSMUST00000046721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930524J08Rik description:RIKEN cDNA 4930524J08 gene [Source:MGI Symbol;Acc:MGI:1922379] DWLSRPAVARRGPLKRTGSGVRKRGARVPSRTRSAGSRARLERPPPPPSLGPALPRIPGV TGRSSALVGRRGRPGGVWFVHPQRRLRGGCPQGATAVGPGTCVGQRAAPVQCSAVPAPGD IEAPPRRCPKAALMVEAASSQSCFPSLKLCLRYYKSLTPATIL >ENSMUSP00000137428.1 pep:known chromosome:GRCm38:X:31117674:31119170:1 gene:ENSMUSG00000094391.1 transcript:ENSMUST00000179532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21447 description:predicted gene, 21447 [Source:MGI Symbol;Acc:MGI:5434802] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHQGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKKVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIIWDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYNEEQIVMKLDSDALTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000015749.5 pep:known chromosome:GRCm38:17:46546839:46556162:-1 gene:ENSMUSG00000015605.5 transcript:ENSMUST00000015749.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srf description:serum response factor [Source:MGI Symbol;Acc:MGI:106658] MLPSQAGAAAALGRGSALGGNLNRTPTGRPGGGGGTRGANGGRVPGNGAGLGQSRLEREA AAAAAPTAGALYSGSEGDSESGEEEELGAERRGLKRSLSEMELGVVVGGPEAAAAAAGGY GPVSGAVSGAKPGKKTRGRVKIKMEFIDNKLRRYTTFSKRKTGIMKKAYELSTLTGTQVL LLVASETGHVYTFATRKLQPMITSETGKALIQTCLNSPDSPPRSDPTTDQRMSATGFEEP DLTYQVSESDSSGETKDTLKPAFTVTNLPGTTSTIQTAPSTSTTMQVSSGPSFPITNYLA PVSASVSPSAVSSANGTVLKSTGSGPVSSGGLMQLPTSFTLMPGGAVAQQVPVQAIHVHQ APQQASPSRDSSTDLTQTSSSGTVTLPATIMTSSVPTTVGGHMMYPSPHAVMYAPTSGLA DGSLTVLNAFSQAPSTMQVSHSQVQEPGGVPQVFLTAPSGTVQIPVSAVQLHQMAVIGQQ AGSSSNLTELQVVNLDATHSTKSE >ENSMUSP00000049749.7 pep:known chromosome:GRCm38:10:11609257:11610438:1 gene:ENSMUSG00000045455.7 transcript:ENSMUST00000052902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9797 description:predicted pseudogene 9797 [Source:MGI Symbol;Acc:MGI:3704349] MESSTSSSGSALGAVDPQLQHFIEVETQKQRFQQLVHQMTELCWEKCMDKPGPKLDSRAE ACFVNCVERFIDTSQFILNRLEQTQKSKPVFSESLSD >ENSMUSP00000039598.3 pep:known chromosome:GRCm38:10:41188172:41303241:-1 gene:ENSMUSG00000038417.3 transcript:ENSMUST00000043814.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fig4 description:FIG4 phosphoinositide 5-phosphatase [Source:MGI Symbol;Acc:MGI:2143585] MPTAAAPIISSVQKLVLYETRARYFLVGSNHAETKYRVLKIDRTEPKDLVVIDDRHVYTQ QEVRELLGRLDLGNRTKMSQKGSSGLFRAVSAFGVVGFVRFLEGYYIVLITKRRKMADIG GHAIYKIEDTSMIYIPNDSVRISHPDEARYLRIFQNVDLSSNFYFSYSYDLSHSLQYNLT VLRMPLEMLKSETSKACQESFDIFEDEGLITQGGSGVFGISSEPYMKYVWNGELLDIIKN TVHRDWLLYIIHGFCGQSKLLIYGRPVYVTLIARRSSRFAGTRFLKRGANCEGDVANEVE TEQILCDASVMSFTAGSYSSYVQVRGSVPLFWSQDISTMMPKPPITLDQADPFAHVAALH FDQMLQRFGSPIIILNLVKEREKRKHERILSEELVAAVTYLNQFLPPEHTIVYIPWDMAK YTKSKLCNVLDRLNVIAESVVKKTGFFVNRPDSYCSILRPDEKWNELGGHVIPTGRLQTG ILRTNCVDCLDRTNTAQFMVGKCALAYQLYSLGLIDKPNLQFDTDAVRLFEELYEDHGDT LSLQYGGSQLVHRVKTYRKIAPWTQHSKDIMQTLSRYYSNAFSDADRQDSINLFLGVFHP TEGKPHLWELPTDFYLHHKNTMSLLPPRRSYTYWWTPEVVKHLPLPYDEVICAANLKKLM VKKFHRWEEEIDIHNEFFRPYELSSFDDTFCLAMTSSARDFMPKTVGIDPSPFTVRKPDE TGKSVLGNKNTREEAVLQRKTAASAPPPPSEEAVSSSSEDDSGTDREDEGSISQRSTPVK MTDTGDSAKATENVVQPMKEVYGVSLSSSLSEEDHSIYARFVQLGQSQHKQDRGNQQLCS RCSDGVIKLTPISAFSQDNIYEVQPPRVDRKSTEIFQAHIQASQGIMQPLGKEDTAMYRE YIRNRYL >ENSMUSP00000132241.2 pep:known chromosome:GRCm38:12:34290274:34291092:-1 gene:ENSMUSG00000095406.1 transcript:ENSMUST00000166546.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm18025 description:predicted gene, 18025 [Source:MGI Symbol;Acc:MGI:5010210] GPGLGGRGSFPGGFGSGLRGLGLGQGLGAPGGKAEDKEWIPVTKLGRLVKDMKIKSLEEI YLFSLPIKESEIIDFFLGASLKDEVLKIMPVQKQTRAGQQTRFKAFVAIGDYSGHVGLDV KCSKEVATAIRGAIILAKLSIVPGRRDYWGNKIDKPHTVLRKVTGCCGSVLVCLIPAPRG TGIVSAPVPKKLLMMAGIDDCYTSARGCTATLGNFAKATFDAISKTYSYLTPDLWKETVF TKSPYQELTDHLVKTHTRVSVQRTQAPAVATT >ENSMUSP00000055304.7 pep:known chromosome:GRCm38:13:46749087:46929718:-1 gene:ENSMUSG00000021375.9 transcript:ENSMUST00000056978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif13a description:kinesin family member 13A [Source:MGI Symbol;Acc:MGI:1098264] MSDTKVKVAVRVRPMNRRELELNTKCVVEMEGNQTVLHPPPSNTKQGERKPPKVFAFDYC FWSMDESNTTKYAGQEVVFKCLGEGILEKAFQGYNACIFAYGQTGSGKSFSMMGHAEQLG LIPRLCCALFQRIALEQNESQTFKVEVSYMEIYNEKVRDLLDPKGSRQSLKVREHKVLGP YVDGLSQLAVTSFEDIESLMSEGNKSRTVAATNMNEESSRSHAVFNIIITQTLYDLQSGN SGEKVSKVSLVDLAGSERVSKTGAAGERLKEGSNINKSLTTLGLVISSLADQAAGKGKNK FVPYRDSVLTWLLKDNLGGNSQTSMIATISPAADNYEETLSTLRYADRAKRIVNHAVVNE DPNAKVIRELREEVEKLREQLSQAEAMKAPELKEKLEESEKLIKELTVTWEEKLRKTEAI AQERQRQLESMGISLETSGIKVGDDKCYLVNLNADPALNELLVYYLKDHTRVGADTSQDI QLFGIGIQPEHCEIDIAADGDITLTPKENARSCVNGTLVCSTTQLWHGDRILWGNNHFFR INLPKRKRRDWLKDFERETSSAEHDLDAASEASSEPDYNYEFAQMEVIMKTLNSNDPVQN VVQVLEKQYLEEKRTALEEQRLMYERELEQLRQQLSPERQPPSSASDRLAYSSQTAQQKV TQWAEERDELFRQSLAKLREQLVKANTLVREANFLAEEMSKLTDYQVTLQIPAANLSANR KRGAIVSEPAIQARRKGKGTQVWTIEKLENKLIDMRDLYQEWKENVPEAKRLYGKRGDPF YEAQENHNLIGVANVFLECLFCDVKLQYAVPIISQQGEVAGRLHVEVTRITGTIPERMAE DDSSENSSESGSLEVVDSSGEVIHRVKKLTCRVIIKEATGLPISLSNFVFCQYTFWDQCE STVAAPVVDPDVPSPQSKDAQYTVTFSHCKDYVVTVTEEFLEFISDGALAIEVWGHRCAG NGSPIWEVDSLHAKTRTLHDRWNEVTRRIEVWISILELNELGDYAAVELHQAKDVNTGGV FQLRQGHSRRVQVTVKPVQHSGTLPLMVEAILSVSIGCVTARSTKLQRGLDSYQEEDLNC VRERWSDALIKRREYLDEQIKKVSNKKEKTEDDMEREARLVEQWVGLTEERNAVLVPAPG SGIPGAPADWVPPPGMETHIPVLFLDLNADDLSANEQLVGPHASGVNSILPKEHGSQFFY LPIIKHSDDEVSATASWDSSVHDSLHLNRVTPQNERIYLIVKTTVQLSHPAAMELVLRKR IAANIYNKQSFTQSLKRRISLINICYSCGVTYEIVSNIPKATEEIEDRETLALLAARSEN EGTLDGETYIEKYTRGVLQVENILSLERLRQAVTVKEALSTKARHIRRSLSTPNVHNVSS SRPDLSGFDEDDKGWPENQLDVSDYSSSYQDVACYGTLPRDSPRRSKEGCPSENPHALTV SPFKAFSPQPPKFFKPLMPVKEEHKKRLALEARPLLSQEDSEEEENELEALSRKLMLTQP YVPVEFADFSVYNASLENREWSSSKADLTDSRALEKAVSRSPTTSSLTSGYFSHSASNAT LSDMAVPSSDSSDQLAVSTKEVECAEPPGPSLAPDVRPASNQELTEVGRGSGKDETIAVP LEENSALPKGTPSPQSIPEESSRMPCRTASCSELDVGPSKDGHQAREFCPGEVTIEHTTN ILEDHSFTEFMGVSDGKDFDGLADCSVGEPSRRRALTNETDHKGIPERPPDADRLHPKIE NDQEATATR >ENSMUSP00000137305.1 pep:known chromosome:GRCm38:12:102469134:102497902:1 gene:ENSMUSG00000021192.15 transcript:ENSMUST00000179218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga5 description:golgi autoantigen, golgin subfamily a, 5 [Source:MGI Symbol;Acc:MGI:1351475] MSWFADLAGRAEDLLNRVDQGAATALRKENTSNIFYSKNTDYPELQQQNTDSNYQTGQKA NYISSAADNIRHQKATILAGTANVKVGSRTVGDATHPTEHASAPRPSSQFVRRKKSEPDD ELLFDFLNSSQKEPTGRVEVKKEKGRAPVSPSSPSGVSSVNTSVTTTKAMGGNAGSQSPG VNSSDSVPEVHKEPSEESTAPSATSEEHSSTPSDGSSRSQELSNLRLENQLLRNEVQSLN QEMASLLQRSKETQEELNKARVRVEKWNVDNSKSDRITRELRAQVDDLTEAVAAKDSQLA VLKVRLQEADQVLSSRTEALEALRSEKSRIMQDHKEGSSLQNQALQTLQERLHEADATLK REQESYKQMQSEFAARLNKMEVDRQNLAEAVTLAERKYSEEKKKVDELQQQVKLHRASLE SAKQELVDYKQKATRILQSKEKLINSLKEGSSFEGLESSTASSMELEELRHEKEMQKEEI QKLMGQMHQLRSELQDMEAQQVSEAESAREQLQDLQDQIAKQRTSKQELETELERMKQEF RYMEEDLHRTKNTLQSRIKDREEEIQKLRNQLTNKTLSNSSQSELESRLHQLTETLIQKQ TMLESLSTEKNSLVFQLERLEQQVHSASSGPNSGSAINMSGVDSGEGTRLRNVPVLFNDT ETNLAGMYGKVRKAASSIDQFSIRLGIFLRRYPIARVFVIIYMALLHLWVMIVLLTYSPE MHHDQPYGK >ENSMUSP00000021609.8 pep:known chromosome:GRCm38:12:102469910:102497902:1 gene:ENSMUSG00000021192.15 transcript:ENSMUST00000021609.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga5 description:golgi autoantigen, golgin subfamily a, 5 [Source:MGI Symbol;Acc:MGI:1351475] MSWFADLAGRAEDLLNRVDQGAATALRKENTSNIFYSKNTDYPELQQQNTDSNYQTGQKA NYISSAADNIRHQKATILAGTANVKVGSRTVGDATHPTEHASAPRPSSQFVRRKKSEPDD ELLFDFLNSSQKEPTGRVEVKKEKGRAPVSPSSPSGVSSVNTSVTTTKAMGGNAGSQSPG VNSSDSVPEVHKEPSEESTAPSATSEEHSSTPSDGSSRSQELSNLRLENQLLRNEVQSLN QEMASLLQRSKETQEELNKARVRVEKWNVDNSKSDRITRELRAQVDDLTEAVAAKDSQLA VLKVRLQEADQVLSSRTEALEALRSEKSRIMQDHKEGSSLQNQALQTLQERLHEADATLK REQESYKQMQSEFAARLNKMEVDRQNLAEAVTLAERKYSEEKKKVDELQQQVKLHRASLE SAKQELVDYKQKATRILQSKEKLINSLKEGSSFEGLESSTASSMELEELRHEKEMQKEEI QKLMGQMHQLRSELQDMEAQQVSEAESAREQLQDLQDQIAKQRTSKQELETELERMKQEF RYMEEDLHRTKNTLQSRIKDREEEIQKLRNQLTNKTLSNSSQSELESRLHQLTETLIQKQ TMLESLSTEKNSLVFQLERLEQQVHSASSGPNSGSAINMSGVDSGEGTRLRNVPVLFNDT ETNLAGMYGKVRKAASSIDQFSIRLGIFLRRYPIARVFVIIYMALLHLWVMIVLLTYSPE MHHDQPYGK >ENSMUSP00000002839.8 pep:known chromosome:GRCm38:17:46682991:46705002:-1 gene:ENSMUSG00000059409.8 transcript:ENSMUST00000002839.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r5d description:protein phosphatase 2, regulatory subunit B', delta [Source:MGI Symbol;Acc:MGI:2388481] MSYKLKKDKEPSKLAKGTAKPSSSSKDGGGENTDEAQPQPQSQSPSSNKRPSNSTPPPTQ LSKIKYSGGPQIVKKERRQSSFPFNLNKNRELQKLPALKDSPTQEREELFIQKLRQCCVL FDFVSDPLSDLKCKEVKRAGLNEMVEYITHSRDVVTEAIYPEAVTMFSVNLFRTLPPSSN PTGAEFDPEEDEPTLEAAWPHLQLVYEFFLRFLESPDFQPNIAKKYIDQKFVLALLDLFD SEDPRERDFLKTILHRIYGKFLGLRAYIRRQINHIFYRFIYETEHHNGIAELLEILGSII NGFALPLKEEHKVFLVRVLLPLHKVKSLSVYHPQLAYCVVQFLEKESSLTEPVIVGLLKF WPKTHSPKEVMFLNELEEILDVIEPSEFSKVMEPLFRQLAKCVSSPHFQVAERALYYWNN EYIMSLISDNAARILPIMFPALYRNSKSHWNKTIHGLIYNALKLFMEMNQKLFDDCTQQY KAEKQKGRFRMKEREEMWQKIEELARLNPQYPMFRAPPPLPPVYSMETETPTAEDIQLLK RTVETEAVQMLKDIKKDKVLLRRKSELPQDVYTIKALEAHKRAEEFLTASQEAL >ENSMUSP00000089225.3 pep:known chromosome:GRCm38:18:35209011:35215024:-1 gene:ENSMUSG00000071862.2 transcript:ENSMUST00000091636.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrtm2 description:leucine rich repeat transmembrane neuronal 2 [Source:MGI Symbol;Acc:MGI:2389174] MGLHFKWPLGAPMLAAIYAMSVVLKMLPALGMACPPKCRCEKLLFYCDSQGFHSVPNATD KGSLGLSLRHNHITALERDQFASFSQLTWLHLDHNQISTVKEDAFQGLYKLKELILSSNK IFYLPNTTFTQLINLQNLDLSFNQLSSLHPELFYGLRKLQTLHLRSNSLRTIPVRLFWDC RSLEFLDLSTNRLRSLARNGFAGLIKLRELHLEHNQLTKINFAHFLRLSSLHTLFLQWNK ISNLTCGMDWTWSTLEKLDLTGNEIKAIDLTVFETMPNLKILLMDNNKLNSLDSKILNSL KSLTTVGLSGNLWECSPRVCALASWLGSFQGRWEHSILCHSPDHTQGEDILDAVHGFQLC WNLSTTVTAMATTYRDPTTEYTKISSSSYHVGDKEIPTTAGIAVTTEEHFPEPDNAIFTQ RVITGTMALLFSFFFIIFIVFISRKCCPPTLRRIRQCSMIQNHRQLRSQTRLHMSNMSDQ GPYNEYEPTHEGPFIIINGYGQCKCQQLPYKECEV >ENSMUSP00000034053.5 pep:known chromosome:GRCm38:8:45885485:45919546:1 gene:ENSMUSG00000031636.6 transcript:ENSMUST00000034053.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim3 description:PDZ and LIM domain 3 [Source:MGI Symbol;Acc:MGI:1859274] MPQNVVLPGPAPWGFRLSGGIDFNQPLVITRITPGSKAAAANLCPGDVILAIDGFGTESM THADAQDRIKAASYQLCLKIDRAETRLWSPQVSEDGKAHPFKINLEAEPQEFKPIGTAHN RRAQPFVAAANIDDKRQVVSASYNSPIGLYSTSNIQDALHGQLRGLIPGSLQNEPTASVP PQSDVYRMLHDNRDDPAAPRQSGSFRVLQDLVNDGPDDRPAGTRSVRAPVTKVHGGAGSA QRMPLCDKCGSGIVGAVVKARDKYRHPECFVCADCNLNLKQKGYFFVEGELYCETHARAR TRPPEGYDTVTLYPKA >ENSMUSP00000039010.7 pep:known chromosome:GRCm38:18:36760239:36763708:1 gene:ENSMUSG00000042660.7 transcript:ENSMUST00000049323.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr55 description:WD repeat domain 55 [Source:MGI Symbol;Acc:MGI:1915186] MDPTCEESPAEDSNNEEEDLDSTKAAPRIRDTPEDIVLEAPASGLAFHPTRDLLAAGDVD GDVFVFAYSCQEGETKELWSSGHHLKSCRAVVFSEDGQKLVTVSKDKAIHILDVEQGQLE RRISKAHSAPINSVLLVDENALVTGDDTGGIRLWDQRKEGPLMDMRQHEEYIADMALDPA KKLLLTASGDGCLGVFNIKRRRFELLSEPQSGDLTSVALMKYGKKVACGSSEGTIYLFNW NGFGATSDRFALRAESIDCIVPVTENLLCTGSTDGIIRAVNILPNRVVGTVGQHAGEPVE ALALSHCGHFLASSGHDQRLKFWDMTQLRTVVVDDYRRRKKKGGPLRALSSKAWSTDDFF AGLREDEEDAKAPEEVVRESDDDDDDSD >ENSMUSP00000067699.6 pep:known chromosome:GRCm38:7:140500856:140507318:-1 gene:ENSMUSG00000052508.8 transcript:ENSMUST00000064392.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr536 description:olfactory receptor 536 [Source:MGI Symbol;Acc:MGI:3030370] MAPVNQSAITMFILQNFVDDPWIQDVLFCLLFALFMAAIAGNGLIIATIHSSPNLHTPMY FFLVNLALMDMICTVTVLPKVLQSLVAENSISYGGCLIQMFVFSWVLGSELLLFSAMAYD RYLAICRPLHYGTLMSGRVCVALATFVWFIGALNSLVLTCLVLPLSFCGSNLIAHFFCEI PSVLILSCSPTFINNVMTVIADMFLTGLNFLLTMTSYVFIISSILRIRSAEGKKRAFSTC SAHLVVVTLYYSTALYTYVRPALGTAGLLDKVIAIPYTTVTPSLNPLIYTLRNKEFKTSF KKLLFPR >ENSMUSP00000132743.1 pep:known chromosome:GRCm38:10:53337686:53345999:1 gene:ENSMUSG00000038583.12 transcript:ENSMUST00000163319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pln description:phospholamban [Source:MGI Symbol;Acc:MGI:97622] MEKVQYLTRSAIRRASTIEMPQQARQNLQNLFINFCLILICLLLICIIVMLL >ENSMUSP00000045709.6 pep:known chromosome:GRCm38:10:53337686:53345999:1 gene:ENSMUSG00000038583.12 transcript:ENSMUST00000046221.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pln description:phospholamban [Source:MGI Symbol;Acc:MGI:97622] MEKVQYLTRSAIRRASTIEMPQQARQNLQNLFINFCLILICLLLICIIVMLL >ENSMUSP00000111197.2 pep:known chromosome:GRCm38:17:22337989:22361400:-1 gene:ENSMUSG00000033972.9 transcript:ENSMUST00000115535.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp944 description:zinc finger protein 944 [Source:MGI Symbol;Acc:MGI:2442394] MDVSLVNAPQGQLTFKDVAVDFSQEEWECLDCAQRALYMDVMLENYNNLFFVENHCVCPK YENVLDQDTQHIFHKHVNIQKKSCKCNDRGKDIYEYTQSVLYQDNFRDTHVKSSDVNRHE TGNTREPCKYKNCVNCLNLCSIIGLNQGIHIGKKEHNGTVLDKVFDSKQILGLKQTNSGK KPYRCSECSRCFTKKCKLRQHQIIHTGEKPYRCSQCDKCFSQKCSHSTHKKIHTGEKPYK CTECDKCFTFKTDLRIHQRIHTGEKPYKCIECDKSFTQKGHLIIHQRIHTGEKPYKCSEC DKCFTQKCSLTIHQRIHTGEKPYKCGKCEKCFTHKGDLRSHQRIHTGEKPYKCSECDKCF THKRSLRSHQRIHTGEKPYKCSECDKCFTHKRSLSIHQIIHTEEKPYRCSGCDKIFNHKG DLRNHQRIHTGQKPYKCSECDKCFVHKYSLSIHQRIHTGEKPYKCNECNEFFRYKCSLRT HQKMHTLEHIYK >ENSMUSP00000081495.2 pep:known chromosome:GRCm38:7:140574155:140575087:1 gene:ENSMUSG00000095901.1 transcript:ENSMUST00000084457.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr538 description:olfactory receptor 538 [Source:MGI Symbol;Acc:MGI:3030372] MVSPNQTVVTEFVLQGFSEHPSLRLFLMGCFLSLYTVALMGNMVIIALITSSTGLHSPMY FFLCNLATMDIICTSSVLPKALVGLLYEENTISFKGCMAQLFFLLWSGSSEVLLLTVMAY DRYVAICCPLHYSSRMSPQLCAALAVAVWSICAVNASVHTGLMTQLSFCGPKVITHFFCE IPPLLLLSCSPTYVNSVMTLVADAFYGGINFLLTLLSYGCIIASILHMRSAEGKRKAFST CSSHLIVVSVYYSSLFCAYISPASSYSPERSKFTSFFYSVLSPTLNPLIYTLRNKDVKLA LRRLLPSLSN >ENSMUSP00000072729.4 pep:known chromosome:GRCm38:13:18717292:18866809:1 gene:ENSMUSG00000041236.7 transcript:ENSMUST00000072961.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps41 description:vacuolar protein sorting 41 (yeast) [Source:MGI Symbol;Acc:MGI:1929215] MAEAEEQETESLEESTDESEEESEEEPKLKYERLSNGVTEILQKDAASCMTVHDKFLALG THYGKVYLLDVQGNITQKFDVSPVKINQISLDDSGEHMGVCSEDGKLQVFGLYSGEEFHE TFDCPIKIIAVHPQFVRSSCKQFVTGGKKLLLFERTWMNRWKSSVLHEGEGNIRSVKWRG HLIAWANNMGVKVFDITSKQRISNVPRDDISLRPDMYPCSLCWKDNVTLIIGWGTSIKIC SVKERHASEMRDLPSRYVEIVSQFETEFYISGLAPLCDQLVVLSYVKEVSEKTEREYCAR PRLDIIQPLPETCEEISSDALTVRGFQENECRDYHLEYSEGESLFYVVSPRDVVVAKERD QDDHIDWLLEKKKYEEALMAAEISQRNIKRHKILDIGLAYVNHLVERGEYDMAARKCQKI LGKNASLWEYEVYKFKEIGQLKAISPYLPRGDPVLKPLIYEMILHEFLESDYEGFATLIR EWPGDLYNNSVIVQAVRDHLKKDSQNKTLLKTLAELYTYDKNYGNALEIYLTLRHKDVFQ LIHKHNLFSSIKDKIVLLMDFDSEKAVDMLLDNEDKISIKKVVEELEDRPELQHVYLHKL FKRDHHKGQRYHEKQISLYAEYDRPNLLPFLRDSTHCPLEKALEICQQRNFVEETVYLLS RMGNSRSALKMIMEELHDVDKAIEFAKEQDDGELWEDLILYSIDKPPFITGLLNNIGTHV DPILLIHRIKEGMEIPNLRDSLVKILQDYNLQILLREGCKKILVADSLSLLKKMHRTQMK GVLVDEENICESCLSPILPTDAAKPFSVVVFHCRHMFHKECLPMPSMNAPAQYCNICSAK NRGPGSAILEMKK >ENSMUSP00000059270.2 pep:known chromosome:GRCm38:16:18620722:18621366:-1 gene:ENSMUSG00000050761.3 transcript:ENSMUST00000051160.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gp1bb description:glycoprotein Ib, beta polypeptide [Source:MGI Symbol;Acc:MGI:107852] MLPPHPSASLSGPRGALSLLLLLLALLSRPASGCPAPCSCAGTLVDCGRRGLTWASLPAA FPPDTTELVLTGNNLTALPPGLLDALPALRAAHLGANPWRCDCRLLPLRAWLAGRPERAP YRDLRCVAPPALRGRLLPYVAEDELRAACAPGLLCWGALVAQLALLVLGLLHALLLALLL GRLRRLRARARARSIQEFSLTAPLVAESARGGAS >ENSMUSP00000126292.1 pep:known chromosome:GRCm38:16:18620319:18622403:-1 gene:ENSMUSG00000050761.3 transcript:ENSMUST00000167388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gp1bb description:glycoprotein Ib, beta polypeptide [Source:MGI Symbol;Acc:MGI:107852] MGSRPRGALSLLLLLLALLSRPASGCPAPCSCAGTLVDCGRRGLTWASLPAAFPPDTTEL VLTGNNLTALPPGLLDALPALRAAHLGANPWRCDCRLLPLRAWLAGRPERAPYRDLRCVA PPALRGRLLPYVAEDELRAACAPGLLCWGALVAQLALLVLGLLHALLLALLLGRLRRLRA RARARSIQEFSLTAPLVAESARGGAS >ENSMUSP00000136325.1 pep:novel scaffold:GRCm38:JH584299.1:173050:176134:1 gene:ENSMUSG00000094474.1 transcript:ENSMUST00000177582.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC123873.2 MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYIPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000026032.5 pep:known chromosome:GRCm38:19:47033619:47050845:-1 gene:ENSMUSG00000025050.7 transcript:ENSMUST00000026032.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf6 description:polycomb group ring finger 6 [Source:MGI Symbol;Acc:MGI:1918291] MDEAETDATENKRASEAKRASAMPPPPPPPPPISPPALIPAPAAGEEGPASLGQAGAAGC SRSRPPALEPERSLGRLRGRFEDYDEELEEEEEMEEEEEEEEEMSHFSLRLESGRADSED EEERLINLVELTPYILCSICKGYLIDATTITECLHTFCKSCIVRHFYYSNRCPKCNIVVH QTQPLYNIRLDRQLQDIVYKLVINLEEREKKQMHDFYKERGLEVPKPAAPQPVPSSKGKT KKVLESVFRIPPELDMSLLLEFIGANEDTGHFKPLEKKFVRVSGEATIGHVEKFLRRKMG LDPACQVDIICGDHLLERYQTLREIRRAIGDTAMQDGLLVLHYGLVVSPLKIT >ENSMUSP00000105796.3 pep:known chromosome:GRCm38:8:69894188:69902558:-1 gene:ENSMUSG00000036199.8 transcript:ENSMUST00000110167.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa13 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 13 [Source:MGI Symbol;Acc:MGI:1914434] MAASKVKQDMPPPGGYGPIDYKRNLPRRGLSGYSMFAVGIGALIFGYWRMMRWNQERRRL LIEDLEARIALMPLFQAEKDRRTLQILRENLEEEAIIMKDVPNWKVGESVFHTTRWVPPL IGEMYGLRTKEEMSNANFGFTWYT >ENSMUSP00000038489.4 pep:known chromosome:GRCm38:18:46732417:46741579:-1 gene:ENSMUSG00000032905.4 transcript:ENSMUST00000035648.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg12 description:autophagy related 12 [Source:MGI Symbol;Acc:MGI:1914776] MSEDSEVVLQLPSAPVGAGGESLPELSPETATPEPPSSAAVSPGTEEPPGDTKKKIDILL KAVGDTPIMKTKKWAVERTRTIQGLIDFIKKFLKLVASEQLFIYVNQSFAPSPDQEVGTL YECFGSDGKLVLHYCKSQAWG >ENSMUSP00000066614.5 pep:known chromosome:GRCm38:13:104947153:105054930:-1 gene:ENSMUSG00000021719.8 transcript:ENSMUST00000063551.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs7bp description:regulator of G-protein signalling 7 binding protein [Source:MGI Symbol;Acc:MGI:106334] MSSAPNGRKKRPSRSTRSSIFQISKPPLQSGDWERRGSGSESAHKTQRALDDCKMLVQEF NTQVALYRELVISIGDVSVSCPSLRAEMHKTRTKGCEMARQAHQKLAAISGPEDGEIHPE ICRLYIQLQCCLEMYTTEMLKSICLLGSLQFHRKGKEASGGAKNLDSKIEENAETPALED SLSSPLESQQQCWQVATDIENTERDMREMKNLLSKLRETMPLPLKNQDDSSLLNLTPYPM VRRRKRRFFGLCCLVSS >ENSMUSP00000023750.7 pep:known chromosome:GRCm38:15:99497005:99528017:-1 gene:ENSMUSG00000023011.7 transcript:ENSMUST00000023750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faim2 description:Fas apoptotic inhibitory molecule 2 [Source:MGI Symbol;Acc:MGI:1919643] MTQGKLSVANKAPGTEGQQHQANGEKKDAPAVPSAPPSYEEATSGEGLKAGTFPQGPTAV PLHPSWAYVDPSGSSGYEGGFPAGHHEHFTTFSWDDQKVRRLFIRKVYTILLVQLLVTLA VVALFTFCDVVKDYVQANPGWYWASYAVFFATYLTLACCSGPRRHFPWNLILLTIFTLSM AYLTGMLSSYYNTTSVLLCLVITALVCLSVTIFSFQTKFDFTSCQGVLFVLLMTLFFSGL LLAVLLPFQYVPWLHAVYAVLGAGVFTLFLAFDTQLLMGNRRHSLSPEEYIFGALNIYLD IIYIFTFFLQLFGTNRE >ENSMUSP00000040074.7 pep:known chromosome:GRCm38:10:34151394:34207551:-1 gene:ENSMUSG00000039497.7 transcript:ENSMUST00000048010.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dse description:dermatan sulfate epimerase [Source:MGI Symbol;Acc:MGI:2443455] MRTHTRGAPSVFFICLLCCVSAFITDENPEVMIPFTNANYDSHPMLYFSRKDVAELQLRA ASSHEHIAARLTEAVHTMLTNPLEYLPPWDPKEYSARWNEIYGNNLGALAMFCVLYPENT EARDMAKDYMERMAAQPSWLVKDAPWDEVPLAHSLVGFATAYDFLYNYLSKTQQETFLEV IANASGYMYETSYRRGWGFQYLHNHQPTNCMALLTGSLILMNQGYLQEAYLWTKQVLSIM EKSLVLLREVTDGSLYEGVAYGSYTTRSLFQYMFLVQRHFDINHFGHPWLKQHFAFMYRT ILPGFQRTVAIADSNYNWFYGPESQLVFLDKFVMRNGSGNWLADQIRRNRVVEGPGTPSK GQRWCTLHTEFLWYDASLKPVPPPDFGTPTLHYFEDWGVVTYGSALPAEINRSFLSFKSG KLGGRAIYDIVHRNKYKDWIKGWRNFNAGHEHPDQNSFTFAPNGVPFITEALYGPKYTYF NNVLMFSPAVSKSCFSPWEGQVTEDCSSKWSKYKHDLAASCQGRVIAADEKDGVVFIRGE GVGAYNPMLNLKHIQRNLILLHPQLLLLVDQIHLGEESPLETAASFFHNVDVPFEETVVD GVHGALIRQRDGLYKMYWMDDTGYSEKANFASVMYPRGYPYNGTNYVNVTMHLRSPITRA AYLFIGPSVDVQSFSIHGDPQRLDVFIATSEHAYATYLWTGENTGHSAFAQVIADHQKIL FDQSSAIKSTAVPEVKDYAAIVEQNLQHFKPVFQLLEKQILSRVQNTASFRKTAERLLRF SDKRQTEEAIDRIFAISQQQRQQRGKSKKSRKAGKHYKFVDAVPDIFAQIEVNEKKIRQK AQVLAQREQPIDEDEEMKDLLDFADVTYEKHKNEGSVKGGFGQVRMVTSHNRAPSLSASY TRLFLILNIAIFFVMLAMQLTYFQRAQSLHGQRCLYAVLLIDSCVLLWLYSSCSQSQC >ENSMUSP00000111587.2 pep:novel scaffold:GRCm38:GL456212.1:128555:150452:-1 gene:ENSMUSG00000079808.3 transcript:ENSMUST00000115924.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC168977.1 MFTLTKALEKALLQHFIYMKVNIAYAINKPFPFFEALRDKSFITERMYKESLEACLNLVP LSKVVYNILSSLEQTFHPSVLLTLFSKVNLREYPSLVAIFRSFRNVGYTYEEKNRPPLTL LEDLANPAEGCSLQTLLPPPRPQISLPSHLSSAPRVCDPRATTQPIIEILDEQPSPSPRA VPLPGCIQEGKTTPVSSRDHQRKDKEDSREMPHSPSGPESVVKDDSPAANDLEMAQEVPC TPANKKARRKKHPNWSNSKRRQQKKKPRQDEMMAGVASPGHGVQEKLKAVSRRTLWKDDS STNVKEVTKTQRARMRHAQTSNSQEISKEASKTSGRKRPSTARRTTQVPEKTKNDAVDFT PTLPVTCGKAKGTLFQEKLKQGASKKCIQNEAGDWLTVKEFLNEGRRATSKAWKGVICCN GATLRHLEQKGLLFCTSKSKPQKKGA >ENSMUSP00000021857.5 pep:known chromosome:GRCm38:13:36117411:36537592:1 gene:ENSMUSG00000021420.12 transcript:ENSMUST00000021857.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fars2 description:phenylalanine-tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1917205] MVCLALVRAAYEHIYLVRKVSHACRCHQHRAWSSKPAASQSAVQGAPGSVLEILGKSYPQ DDHTNLTQKVLSKVGRNLHNQKFHPLWLIKERVKEHFYQQYMVRSRTPLFSVYDQLPPVV TTWQNFDSLLIPADHPSRKKGDNYYLNRAHMLRAHTSAHQWDLLHAGLNAFLVVGDVYRR DQIDCQHYPVFHQLEGVRLFSKHELFAGVKDGESLQLFEEGSRSAHKQETHTMEAVKLVE FDLKQVLTRLVTHLFGDGLEVRWVDCYFPFTHPSFEMEINFRGEWLEVLGCGVMEQQLVN SAGAQDRIGWAFGLGLERLAMVLYDIPDIRLFWSEDERFLKQFLLSDINQSVKFQPLSKY PAVFNDISFWLPSENYTENDFYDIVRTVGGDLVEKVDLIDKFEHPKTHRTSHCYRITYRH MERTLSQREVGNVHQAVQEAAVQLLGVEGRF >ENSMUSP00000097177.2 pep:known chromosome:GRCm38:13:36117411:36537592:1 gene:ENSMUSG00000021420.12 transcript:ENSMUST00000099582.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fars2 description:phenylalanine-tRNA synthetase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1917205] MEAVKLVEFDLKQVLTRLVTHLFGDGLEVRWVDCYFPFTHPSFEMEINFRGEWLEVLGCG VMEQQLVNSAGAQDRIGWAFGLGLERLAMVLYDIPDIRLFWSEDERFLKQFLLSDINQSV KFQPLSKYPAVFNDISFWLPSENYTENDFYDIVRTVGGDLVEKVDLIDKFEHPKTHRTSH CYRITYRHMERTLSQREVGNVHQAVQEAAVQLLGVEGRF >ENSMUSP00000046255.6 pep:known chromosome:GRCm38:18:11657349:11743207:1 gene:ENSMUSG00000041238.15 transcript:ENSMUST00000047322.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp8 description:retinoblastoma binding protein 8 [Source:MGI Symbol;Acc:MGI:2442995] MSISGSGCGSPNSADASNDFKELWTKLKEYHDKEVQGLQVKVTKLKKERILDAQRLEEFF TKNQQLRDQQKVLQETIKILEDRLRAGLCDRCAVTEEHMHKKQQEFENIRQQNLKLITEL MNEKNTLQEENKKLSEQLQQKMENGQQDQVAELACEENIIPDSPVTSFSFSGINRLRKKE NLHVRYVEQTHTKLERSLCTNELRKISKDSAPAPVNSEEHEILVADTCDQNHSPLSKICE TSSYPTDKTSFNLDTVVAETLGLNGQEESEPQGPMSPLGSELYHCLKEDHKKHPFMESAR SKEDSLRFSDSASKTPPQEFTTRASSPVFGATSTVKAHLGLNTSFSPSLLDIGKKNLLKT APFSNIAVSRSEKVRSKSEDNALFTQHSLGSEVKVISQSFSSKQILTNKTVSDSVDEQCS ADHMNTTVADKYLVPLKSLGGKASKRKRTEEESEHAVKCPQACFDKENALPFPMENQFSM NGDHVMDKPLDLSDRFAATQRQEKNHGNETSKNKLKQATIYEALKPIPKGSSSGRKALSG DCMPAKDSWETYCLQPRSLQSSSKFSPDQKTPLQIKEENPVFKTPPCSQESLETENLFGD VKGTGSLVPTKVKSRAVHGGCELASVLQLNPCRVAKTKALPSNQDTSFENIQWSVDPGAD LSQYKMDVTVIDTKDSSHSRLGGETVDMDCTLVSETVLLKMKKQEQKERSPNGDIKMNDS LEDMFDRTTHEEYESCLADSFSQVPDEEELPDTTKKTNIPADKQDGVKQKAFVGPYFKDK ERETSIQNFPHIEVVRKKEERRKLLGHTCKECEIYYADLPAEEREKKLASCSRHRFRYIP PNTPENFWEVGFPSTQTCLERGYIKEDLDLSPRPKRRQPYNAVFSPKGKEQRT >ENSMUSP00000111527.2 pep:known chromosome:GRCm38:18:11633276:11743207:1 gene:ENSMUSG00000041238.15 transcript:ENSMUST00000115861.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbbp8 description:retinoblastoma binding protein 8 [Source:MGI Symbol;Acc:MGI:2442995] MSISGSGCGSPNSADASNDFKELWTKLKEYHDKEVQGLQVKVTKLKKERILDAQRLEEFF TKNQQLRDQQKVLQETIKILEDRLRAGLCDRCAVTEEHMHKKQQEFENIRQQNLKLITEL MNEKNTLQEENKKLSEQLQQKMENGQQDQVAELACEENIIPDSPVTSFSFSGINRLRKKE NLHVRYVEQTHTKLERSLCTNELRKISKDSAPAPVNSEEHEILVADTCDQNHSPLSKICE TSSYPTDKTSFNLDTVVAETLGLNGQEESEPQGPMSPLGSELYHCLKEDHKKHPFMESAR SKEDSLRFSDSASKTPPQEFTTRASSPVFGATSTVKAHLGLNTSFSPSLLDIGKKNLLKT APFSNIAVSRSEKVRSKSEDNALFTQHSLGSEVKVISQSFSSKQILTNKTVSDSVDEQCS ADHMNTTVADKYLVPLKSLGGKASKRKRTEEESEHAVKCPQACFDKENALPFPMENQFSM NGDHVMDKPLDLSDRFAATQRQEKNHGNETSKNKLKQATIYEALKPIPKGSSSGRKALSG DCMPAKDSWETYCLQPRSLQSSSKFSPDQKTPLQIKEENPVFKTPPCSQESLETENLFGD VKGTGSLVPTKVKSRAVHGGCELASVLQLNPCRVAKTKALPSNQDTSFENIQWSVDPGAD LSQYKMDVTVIDTKDSSHSRLGGETVDMDCTLVSETVLLKMKKQEQKERSPNGDIKMNDS LEDMFDRTTHEEYESCLADSFSQVPDEEELPDTTKKTNIPADKQDGVKQKAFVGPYFKDK ERETSIQNFPHIEVVRKKEERRKLLGHTCKECEIYYADLPAEEREKKLASCSRHRFRYIP PNTPENFWEVGFPSTQTCLERGYIKEDLDLSPRPKRRQPYNAVFSPKGKEQRT >ENSMUSP00000034499.8 pep:known chromosome:GRCm38:9:7184566:7207031:1 gene:ENSMUSG00000032002.9 transcript:ENSMUST00000034499.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcun1d5 description:DCN1, defective in cullin neddylation 1, domain containing 5 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1924113] MPVKKKRKAPGVAAAVAEDAGLKKCKIPSYCRSQPPARLISGEEDFSRKKCLAWFYEYAG PDEVVGPEGMEKFCEDIGVEPENIIMLVLAWKLEAESMGFFTKEEWLKGMTSLQCDCTEK LQSRFDFLRSQLNDISSFKNIYRYAFDFARDKDQRSLDIDTAKSMLALLLGRTWPLFSVF YQYLEQSKYRVMNKDQWYNVLEFSRTVHADLSNYDEDGAWPVLLDEFVEWQKIRQTS >ENSMUSP00000046812.4 pep:known chromosome:GRCm38:19:25610537:25623920:1 gene:ENSMUSG00000042372.4 transcript:ENSMUST00000048935.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrt3 description:doublesex and mab-3 related transcription factor 3 [Source:MGI Symbol;Acc:MGI:2449470] MNGYGSPYLYMGGPVSQPPRAPLQRTPKCARCRNHGVLSWLKGHKRYCRFKDCTCEKCIL IIERQRVMAAQVALRRQQANESLESLIPDSLRALPGPPPPGDAAATAATASQSSPASQAS QPPAPPRPTAELAAAAALRWVAEPQPGTLPAQLAKPDLTEERVGDSSSTDNTAEAFSDKD TDQRSSPDVVKSKNCFTPESPEIVSVDEGGYAVQKNGGNPESCPDSPKYHAEQSHLLIEG PSGTVSLPFSLKANRPPLEVLKKIFPNQKPTVLELILKGCGGDLVSAVEVLLSSRSSAAG AERTAEESLVLPSSGHIFEHTLGSYPISSSKWSVGSAFRVPDTLRFSADSSNVVPNPLAV PLQHPFPQPPRYPLMLRNTLARNQSSPFLPNDVTLWNTMTLQQQYQLRSQYVSPFPSNST SVFRSSPVLSSRTTEDPRISIPDDGCPIVTKQSIYTEDDYDERSDSSDSRILNTSS >ENSMUSP00000061282.2 pep:known chromosome:GRCm38:19:38395980:38405607:1 gene:ENSMUSG00000044026.2 transcript:ENSMUST00000054098.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35g1 description:solute carrier family 35, member G1 [Source:MGI Symbol;Acc:MGI:2444789] MGPPESAAELAAEAVELREPELQLADPASPGEEHVDVEAEGAPGRGRCWPCGAWACGSRG EPEAKKKAPCPGLGLFYTVLSAFLFSVASLFVKKVQGVHAVEISAFRCVVQMLVIIPCLI YRKTGFIGPKGQRLFLFLRGVFGSSAMILMYYAFQTTSLADATVIAFSCPVFTSIFAWIF LKEKYSLWDAFFTLFAIAGVILIVRPPFIFGSDTSGMRESYSEHIKGTFAAIGHAVLAAI TLVILRKMGKSVDYFLSIWYYVILGLPEAIIILFVIGEWSLPYCGLDRLFLILIGLLGLG GQIFITKAVQIEKAGLVAIMKTMDIVFAFIFQIAFFDNVPTWWTVGGALCVVVSTTGATI RRWLQGSK >ENSMUSP00000085365.3 pep:known chromosome:GRCm38:15:101473478:101479983:1 gene:ENSMUSG00000067614.4 transcript:ENSMUST00000088049.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt86 description:keratin 86 [Source:MGI Symbol;Acc:MGI:109362] MTCGSYCGGRAFSCASACGPRPGRCCISAAPYRGISCYRGLSGGFGSQSVCGAFRSGSCG RSFGYRSGGICGPSPPCITTVSVNESLLTPLNLEIDPNAQCVKHEEKEQIKCLNSKFAAF IDKVRFLEQQNKLLETKWQFYQNRKCCESNMEPLFEGYIEALRREAECVEADSGRLAAEL NHAQESMEGYKKRYEEEVSLRATAENEFVALKKDVDCAYLRKSDLEANAEALTQETDFLR RMYDEETRILHSHISDTSIVVKMDNSRDLNMDCVVAEIKAQYDDIASRSRAEAESWYRTK CEEIKATVIRHGETLRRTREEINELNRMIQRLTAEIENAKCQNTKLEAAVTQSEQQGEAA LADARCKLAELEGALQKAKQDMACLLKEYQEVMNSKLGLDVEITTYRRLLEGEEQRLCEG VGSVNVCVSSSRGGVVCGDLCVSGTAPAVNTRVCSAPCSGNVVVGTPNACAPCAGAGACS GGCKKC >ENSMUSP00000128421.1 pep:known chromosome:GRCm38:9:44604892:44640731:1 gene:ENSMUSG00000032097.9 transcript:ENSMUST00000170489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx6 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 6 [Source:MGI Symbol;Acc:MGI:104976] MSTARTENPVIMGLSSQNGQLRGPVKASAGPGGGGTQPQPQLNQLKNTSTINNGTPQQAQ SMAATIKPGDDWKKTLKLPPKDLRIKTSDVTSTKGNEFEDYCLKRELLMGIFEMGWEKPS PIQEESIPIALSGRDILARAKNGTGKSGAYLIPLLERLDLKKDNIQAMVIVPTRELALQV SQICIQVSKHMGGAKVMATTGGTNLRDDIMRLDDTVHVVIATPGRILDLIKKGVAKVDHV QMIVLDEADKLLSQDFVQIMEDIILTLPKNRQILLYSATFPLSVQKFMNSHLQKPYEINL MEELTLKGVTQYYAYVTERQKVHCLNTLFSRLQINQSIIFCNSSQRVELLAKKISQLGYS CFYIHAKMRQEHRNRVFHDFRNGLCRNLVCTDLFTRGIDIQAVNVVINFDFPKLAETYLH RIGRSGRFGHLGLAINLITYDDRFNLKSIEEQLGTEIKPIPSNIDKSLYVAEYHSEPAED EKP >ENSMUSP00000061498.7 pep:known chromosome:GRCm38:14:55715873:55722198:-1 gene:ENSMUSG00000040472.14 transcript:ENSMUST00000062861.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabggta description:Rab geranylgeranyl transferase, a subunit [Source:MGI Symbol;Acc:MGI:1860443] MHGRLKVKTSEEQAEAKRLEREQKLKLYQSATQAVFQKREAGELDESVLELTSQILGANP DFATLWNCRREVLQQLETQKSPEELAALVKAELGFLESCLRVNPKSYGTWHHRCWLLSRL PEPNWARELELCARFLEADERNFHCWDYRRFVAAQAAVAPAEELAFTDSLITRNFSNYSS WHYRSCLLPQLHPQPDSGPQGRLPENVLLRELELVQNAFFTDPNDQSAWFYHRWLLGRAE PHDVLCCLHVSREEACLSVCFSRPLIVGSKMGTLLLTVDEAPLSVEWRTPDGRNRPSHVW LCDLPAASLNDHLPQHTFRVIWTGSDTQKECVLLKGHQECWCRDSATDEQLFRCELSVEK STVLQSELESCKELQELEPENKWCLLTIILLMRALDPLLYEKETLEYFSTLKAVDPMRAA YLDDLRSKFLVENSVLKMEYADVRVLHLAHKDLTVLCHLEQLLLVTHLDLSHNRLRALPP ALAALRCLEVLQASDNVLENLDGVANLPRLRELLLCNNRLQQSAALQTLASCPRLVFLNL QGNSLCQEEGIRERLAEMLPSVSSILT >ENSMUSP00000133032.1 pep:known chromosome:GRCm38:14:55715877:55722176:-1 gene:ENSMUSG00000040472.14 transcript:ENSMUST00000169237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabggta description:Rab geranylgeranyl transferase, a subunit [Source:MGI Symbol;Acc:MGI:1860443] MHGRLKVKTSEEQAEAKRLEREQKLKLYQSATQAVFQKREAGELDESVLELTSQILGANP DFATLWNCRREVLQQLETQKSPEELAALVKAELGFLESCLRVNPKSYGTWHHRCWLLSRL PEPNWARELELCARFLEADERNFHCWDYRRFVAAQAAVAPAEELAFTDSLITRNFSNYSS WHYRSCLLPQLHPQPDSGPQGRLPENVLLRELELVQNAFFTDPNDQSAWFYHRWLLGRAE PHDVLCCLHVSREEACLSVCFSRPLIVGSKMGTLLLTVDEAPLSVEWRTPDGRNRPSHVW LCDLPAASLNDHLPQHTFRVIWTGSDTQKECVLLKGHQECWCRDSATDEQLFRCELSVEK STVLQSELESCKELQELEPENKWCLLTIILLMRALDPLLYEKETLEYFSTLKAVDPMRAA YLDDLRSKFLVENSVLKMEYADVRVLHLAHKDLTVLCHLEQLLLVTHLDLSHNRLRALPP ALAALRCLEVLQASDNVLENLDGVANLPRLRELLLCNNRLQQSAALQTLASCPRLVFLNL QGNSLCQEEGIRERLAEMLPSVSSILT >ENSMUSP00000128668.1 pep:known chromosome:GRCm38:14:55716325:55722257:-1 gene:ENSMUSG00000040472.14 transcript:ENSMUST00000163889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rabggta description:Rab geranylgeranyl transferase, a subunit [Source:MGI Symbol;Acc:MGI:1860443] MHGRLKVKTSEEQAEAKRLEREQKLKLYQSATQAVFQKREAGELDESVLELTSQILGANP DFATLWNCRREVLQQLETQKSPEELAALVKAELGFLESCLRVNPKSYGTWHHRCWLLSRL PEPNWARELELCARFLEADERNFHCWDYRRFVAAQAAVAPAEELAFTDSLITRNFSNYSS WHYRSCLLPQLHPQPDSGPQGRLPENVLLRELELVQNAFFTDPNDQSAWFYHRWLLGRAE PHDVLCCLHVSREEACLSVCFSRPLIVGSKMGTLLLTVDEAPLSVEWRTPDGRNRPSHVW LCDLPAASLNDHLPQHTFRVIWTGSDTQKECVLLKGHQECWCRDSATDEQLFRCELSVEK STVLQSELESCKELQELEPENKWCLLTIILLMRALDPLLYEKETLEYFSTLKAVDPMRAA YLDDLRSKFLVENSVLKMEYADVRVLHLAHKDLTVLCHLEQLLLVTHLDLSHNRLRALPP ALAALRCLEVLQASDNVLENLDGVANLPRLRELLLCNNRLQQSAALQTLASCPRLVFLNL QGNSLCQEEGIRERLAEMLPSVSSILT >ENSMUSP00000072509.5 pep:known chromosome:GRCm38:18:63708695:63989760:1 gene:ENSMUSG00000040560.9 transcript:ENSMUST00000072726.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr7 description:WD repeat domain 7 [Source:MGI Symbol;Acc:MGI:1860197] MAGNSLVLPIVLWGRKAPTHCISSILLTDDGGTIVTGCHDGQICLWDVSVELEVNPRALL FGHTASITCLSKACASGDKRYTVSASANGEMCLWDVNDGRCIEFTKLACTHTGIQFYQFS VGNQQEGRLLCHGHYPEILVVDATSLEVLYSLVSKISPDWISSMSIIRSQRTQEDTVVAL SVTGILKVWIVTSEMSGMQDTEPIFEEESKPIYCQNCQSISFCAFTQRSLLVVCSKYWRV FDAGDYSLLCSGPSENGQTWTGGDFVSADKVIIWTENGQSYIYKLPASCLPASDSFRSDV GKAVENLIPPVQHSLLDQKDKELVICPPVTRFFYGCKEYLHKLLIQGDSSGRLNIWNIAD IAEKQEADEGLKMTTCISLQEAFDKLKPCPAGIIDQLSVIPNSNEPLKVTASVYIPAHGR LVCGREDGSIIIVPATQTAIVQLLQGEHMLRRGWPPHRTLRGHRNKVTCLLYPHQVSARY DQRYLISGGVDFSVIIWDIFSGEMKHIFCVHGGEITQLLVPPENCSARVQHCICSVASDH SVGLLSLREKKCIMLASRHLFPIQVIKWRPSDDYLVVGCTDGSVYVWQMDTGALDRCAMG ITAVEILNACDEAVPAAVDSLSHPAVNLKQAMTRRSLAALKNMAHHKLQTLATNLLASEA SDKGNLPKYSHNSLMVQAIKTNLTDPDIHVLFFDVEALIIQLLTEEASRPNTALISPENL QKASGSSDKGGSFLTGKRAAVLFQQVKETIKENIKEHLLDEEEDEEEARRQSREDSDPEY RASKSKPLTLLEYNLTMDTAKLFMSCLHAWGLNEVLDEVCLDRLGMLKPHCTVSFGLLSR GGHMSLMLPGYNQAAGKLLHAKAEVGRKLPAAEGVGKGTYTVSRAVTTQHLLSIISLANT LMSMTNATFIGDHMKKGPTRPPRPGTPDLSKARDSPPPSSNIVQGQIKQAAAPVVSARSD ADHSGSDSASPALPTCFLVNEGWSQLAAMHCVMLPDLLGLERFRPPLLEMLARRWQDRCL EVREAAQALLLAELRRIEQAGRKETIDTWAPYLPQYMDHVISPGVTAEAMQTMAAAPDAS GPEAKVQEEEHDLVDDDITAGCLSSVPQMKKISTSYEERRKQATAIVLLGVIGAEFGAEI EPPKLLTRPRSSSQIPEGFGLTSGGSNYSLARHTCKALTYLLLQPPSPKLPPHSTIRRTA TDLIGRGFTVWEPYMDVSAVLMGLLELCADAEKQLANITMGLPLSPAADSARSARHALSL IATARPPAFITTIAKEVHRHTALAANTQSQQSIHTTTLARAKGEILRVIEILIEKMPTDV VDLLVEVMDIIMYCLEGSLVKKKGLQECFPAICRFYMVSYYERSHRIAVGARHGSVALYD IRTGKCQTIHGHKGPITAVSFAPDGRYLATYSNTDSHISFWQMNTSLLGSIGMLNSAPQL RCIKTYQVPPVQPASPGSHNALKLARLIWTSNRNVILMAHDGKEHRFMV >ENSMUSP00000095034.2 pep:known chromosome:GRCm38:17:7945653:7979824:1 gene:ENSMUSG00000073471.2 transcript:ENSMUST00000097423.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph3a description:radial spoke 3A homolog (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1914082] MAATNIWAALPAKKRPLHQRARRPAGGRGREPEVPFTTDPSGNPAGRNCLEFLPPGGTSG CSATDGGATVPLALRPFLRERRPLGSQHPCPWHYLQVSDYDDSLAPTCFRAHLHRRGSSS TLNQASAMTDPNPRTAEASGLYTYSSRPRAVACQRRRHRDSILQPVEEPMSYGNIMYDRR VIRGNTYALPTGQVPGQPDPLELQRQQQARRRALARKRAQEQLKPRTPEPVEGRKHVDIQ TELYLEEIADRIVEVDMECQTDAFLDRPPTPLFIPAKTGKDVATQILGGELFDFDLEVKP MLEVLVGKTIEQSLLEVMEEEELANLRARQYAYEEIRNVELAEVQRLEEQERRHREEKER RKKQQWEIVHKRNETLQKISALIFARQYLANLLPSVFDKLRNSGFFYDPIERDIEVGFLP WLMNEVEKSMEHSMVGRTVLDMLIRDVVERRINDYEHKEAMPPGQKTNVINGPNTVTDPS VTTLHTQKPVLDRVSSQPAPSQERKPVEEGGHLMAE >ENSMUSP00000020217.5 pep:known chromosome:GRCm38:10:95547007:95564167:-1 gene:ENSMUSG00000020029.5 transcript:ENSMUST00000020217.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt4 description:nudix (nucleoside diphosphate linked moiety X)-type motif 4 [Source:MGI Symbol;Acc:MGI:1918457] MKFKPNQTRTYDREGFKKRAACLCFRSEQEDEVLLVSSSRYPDQWIVPGGGMEPEEEPGG AAVREVYEEAGVKGKLGRLLGIFENQDRKHRTYVYVLTVTEILEDWEDSVNIGRKREWFK VEDAIKVLQCHKPVHAEYLEKLKLGCSPTNGNSSVPSLPDNNALFVTAAPPSGVPSSIR >ENSMUSP00000107439.3 pep:known chromosome:GRCm38:19:47178820:47259440:1 gene:ENSMUSG00000006435.15 transcript:ENSMUST00000111808.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl1a description:neuralized E3 ubiquitin protein ligase 1A [Source:MGI Symbol;Acc:MGI:1334263] MGNNFSSVSSLQRGNPSRASRGHPQNLKDSIGGSFPVPSHRCHHKQKHCPPTLSGGGLPA TPLLFHPHTKGSQILMDLSHKAVKRQASFCNAITFSNRPVLIYEQVRLKITKKQCCWSGA LRLGFTSKDPSRIHPDSLPKYACPDLVSQSGFWAKALPEEFANEGNIIAFWVDKKGRVFY RINESAAMLFFSGVRTVDPLWALVDVYGLTRGVQLLDSELVLPDCLRPRSFTALRRPSLR CEADEARLSVSLCDLNVPGADGDDGAPPAGCPIPQNSLNSQHSRALPAQLDGDLRFHALR AGAHVRILDEQTVARLEHGRDERALVFTSRPVRVAETIFIKVTRSGGGRAGALSFGVTTC DPGTLRPADLPFSPEALVDRKEFWAVCRVPGPLHSGDILGLVVNADGELHLSHNGAAAGM QLCVDASQPLWMLFSLHGAITQVRILGSTIMTERGGPSLPCSPASTPTSPSALGIRLSDP LLSTCGSGPLGGSAGGTAPNSPVSLPESPVTPGLGQWSDECTICYEHAVDTVIYTCGHMC LCYSCGLRLKKALHACCPICRRPIKDIIKTYRSS >ENSMUSP00000107438.3 pep:known chromosome:GRCm38:19:47228843:47259440:1 gene:ENSMUSG00000006435.15 transcript:ENSMUST00000111807.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurl1a description:neuralized E3 ubiquitin protein ligase 1A [Source:MGI Symbol;Acc:MGI:1334263] MGGQITRNTIHDSIGGSFPVPSHRCHHKQKHCPPTLSGGGLPATPLLFHPHTKGSQILMD LSHKAVKRQASFCNAITFSNRPVLIYEQVRLKITKKQCCWSGALRLGFTSKDPSRIHPDS LPKYACPDLVSQSGFWAKALPEEFANEGNIIAFWVDKKGRVFYRINESAAMLFFSGVRTV DPLWALVDVYGLTRGVQLLDSELVLPDCLRPRSFTALRRPSLRCEADEARLSVSLCDLNV PGADGDDGAPPAGCPIPQNSLNSQHSRALPAQLDGDLRFHALRAGAHVRILDEQTVARLE HGRDERALVFTSRPVRVAETIFIKVTRSGGGRAGALSFGVTTCDPGTLRPADLPFSPEAL VDRKEFWAVCRVPGPLHSGDILGLVVNADGELHLSHNGAAAGMQLCVDASQPLWMLFSLH GAITQVRILGSTIMTERGGPSLPCSPASTPTSPSALGIRLSDPLLSTCGSGPLGGSAGGT APNSPVSLPESPVTPGLGQWSDECTICYEHAVDTVIYTCGHMCLCYSCGLRLKKALHACC PICRRPIKDIIKTYRSS >ENSMUSP00000063981.3 pep:known chromosome:GRCm38:9:49518336:49562944:1 gene:ENSMUSG00000079564.2 transcript:ENSMUST00000068730.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11149 description:predicted gene 11149 [Source:MGI Symbol;Acc:MGI:3642683] MTWSLPDGSLISGFHSEASFWEQSAGRTEDTREVIPYIELRGTWTGNPGRWCCWQCRCIL QHLCTGCSSGSRRTHEHGCEPPCAHPESARRKGTASDTEVKRACKWETSREPRSSMGVEG QSALSHTPIHSLSYADSAQVLTGCMEMQPPLLFQGKDQEANTMAPFI >ENSMUSP00000131489.1 pep:known chromosome:GRCm38:9:120571517:120574653:1 gene:ENSMUSG00000025794.8 transcript:ENSMUST00000165532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl14 description:ribosomal protein L14 [Source:MGI Symbol;Acc:MGI:1914365] MVFRRYVEVGRVAYISFGPHAGKLVAIVDVIDQNRALVDGPCTRVRRQAMPFKCMQLTDF ILKFPHSARQKYVRKAWEKADINTKWAATRWAKKIDARERKAKMTDFDRFKVMKAKKMRN RIIKTEVKKLQRAAILKASPKKAAVAKAAIAAAAAAAAAKAKVPAKKATGPGKKAAGQKA PAQKAAGQKAAPPAKGQKGQKTPAQKAPAPKAAGKKA >ENSMUSP00000047529.3 pep:known chromosome:GRCm38:10:107492860:107494729:-1 gene:ENSMUSG00000035923.3 transcript:ENSMUST00000044210.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myf6 description:myogenic factor 6 [Source:MGI Symbol;Acc:MGI:97253] MMMDLFETGSYFFYLDGENVTLQPLEVAEGSPLYPGSDGTLSPCQDQMPQEAGSDSSGEE HVLAPPGLQPPHCPGQCLIWACKTCKRKSAPTDRRKAATLRERRRLKKINEAFEALKRRT VANPNQRLPKVEILRSAISYIERLQDLLHRLDQQEKMQELGVDPYSYKPKQEILEGADFL RTCSPQWPSVSDHSRGLVITAKEGGANVDASASSSLQRLSSIVDSISSEERKLPSVEEVV EK >ENSMUSP00000054083.4 pep:known chromosome:GRCm38:13:92574631:92576232:-1 gene:ENSMUSG00000046957.4 transcript:ENSMUST00000050658.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spz1 description:spermatogenic leucine zipper 1 [Source:MGI Symbol;Acc:MGI:1930801] MSDTDNSAEMPARCPSPNPAPGAKQEPPNSGITISLLEIGSLPTVCYHSFPPPKNSICPV EKRGRVQKFSNLLKDVKDVLKNIAGVEEKSTVGEPFDDAYIPEDLSELNVRGVEKKNKIR FKDDLFIHFDPEREQNTMKQMLLKNQSAKNMVPKFARDLCNAEETRGFDGMLLSVKRPRN GSLHLRGEYRKLRNNMEQLLQEADHWSKQHNELSELMRSYQECQNETQETTDKDRACLQN QPNNGLSTKQKLEEQVKKLSHDTHALHLIAALLENECQVLQQRVDILKDFHLHEAGLGHE KPLQMSCEQDKKCPKLAEADKTDAFKHTTRATEGTIRKPKILRSPDVCFTKKARNNRFNA RVAKKSLVGKRRTVSSFR >ENSMUSP00000137379.1 pep:known chromosome:GRCm38:Y:40651454:40651996:-1 gene:ENSMUSG00000096533.1 transcript:ENSMUST00000179125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21852 description:predicted gene, 21852 [Source:MGI Symbol;Acc:MGI:5434016] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMRTTLSHEDSLRHHTFLMRFPDTLLLPKENRGKPLFPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000100656.2 pep:known chromosome:GRCm38:18:31909094:31911903:-1 gene:ENSMUSG00000044982.6 transcript:ENSMUST00000054984.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sft2d3 description:SFT2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1914408] MADLHRQLQDYLKQGKASRPAAAEPLLGAKAAEEPEAGAWLGSRVLRWPWAQSAAEPPPA GLRCLPSVTRGQRLVAGGLCLLLAALCFGLAALYAPVLLLRARKFALLWSLGSVLAWASA ALLRGGPACGRLLRGEETPSRSTLGYAAALGATLYAALVLRSTVLTALGACAQVAALLYA LIGLLPWGGVTALRLALGRLNRGTGLANALPV >ENSMUSP00000136809.1 pep:known chromosome:GRCm38:13:120214758:120215213:-1 gene:ENSMUSG00000094027.1 transcript:ENSMUST00000177561.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21762 description:predicted gene, 21762 [Source:MGI Symbol;Acc:MGI:5433926] MDKAKAMKWFHLSFVKDTSDSADYELLSAQVLPSLSSQCSKSEPLRFGRQTSHCSEDGGI IDWDLYLDYKRYYRRSNCTMKKGTEVFQSESQRDPQVSRGDVDNNKEKDTEEPDLLLLSL LREKGLELETCDGGDCPDQDSASDSFRCLGF >ENSMUSP00000064961.5 pep:known chromosome:GRCm38:12:80790532:80880832:1 gene:ENSMUSG00000021133.8 transcript:ENSMUST00000068519.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd6 description:sushi domain containing 6 [Source:MGI Symbol;Acc:MGI:2444661] MCHGKIAPKSSSEFVVTSVGHGVFLQLVILCALLGDGLASVCPLPPEPENGGYICHPRPC KDPLTAGSVIEYLCAEGYMLKGDYKYLTCKNGEWTPAMEVSCHLIEDKETHALGVPALSI VASTASSVALILLLVVLFVLLQPKLKSFHHSRREQGVSGDQVSIMVDGVQVALPSYEEAV YGSSGHCMPPADPRVQIVLSEGSAPSGRNMPREQQLQGQEACSSAGGEDEAPGHSGLCEA WGSQGSETVMVHQATTSSWVAGSGSSRPTHKDTADSENSDIQSLLSLTSEEYTDDIPLLK EA >ENSMUSP00000072286.7 pep:known chromosome:GRCm38:9:22225714:22243197:1 gene:ENSMUSG00000057982.7 transcript:ENSMUST00000072465.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp809 description:zinc finger protein 809 [Source:MGI Symbol;Acc:MGI:2143362] MGLVSFEDVAVDFTLEEWQDLDAAQRTLYRDVMLETYSSLVFLDPCIAKPKLIFNLERGF GPWSLAEASSRSLPGVHNVSTLSDTSKKIPKTRLRQLRKTNQKTPSEDTIEAELKARQEV SKGTTSRHRRAPVKSLCRKSQRTKNQTSYNDGNLYECKDCEKVFCNNSTLIKHYRRTHNV YKPYECDECSKMYYWKSDLTSHQKTHRQRKRIYECSECGKAFFRKSHLNAHERTHSGEKP YECTECRKAFYYKSDLTRHKKTHLGEKPFKCEECKKAFSRKSKLAIHQKKHTGEKPYECT ECKKAFSHQSQLTAHRIAHSSENPYECKECNKSFHWKCQLTAHQKRHTGVTYFQEVVFQQ ITVSDWTGNLSENGPHRPTWTWAYGIMDFVKAWSRCIIGGGL >ENSMUSP00000019283.9 pep:known chromosome:GRCm38:8:70594481:70597288:1 gene:ENSMUSG00000019139.9 transcript:ENSMUST00000019283.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isyna1 description:myo-inositol 1-phosphate synthase A1 [Source:MGI Symbol;Acc:MGI:1919030] MEPAAEILVDSPDVVYSPETIEARYEYRTTRVSREGGVLRVQPRATRFTFRTARQVPRLG VMLVGWGGNNGSTLTAAVLANRLRLTWPTRTGRKEANYYGSLTQAGTVNLGLDENGREVF VPFSALLPMVAPNDLVFDGWDISSLNLAEAMRRAQVLDCGLQEQLWPHMESLRPRPSVYI PEFIAANQTARADNLIPGTRAQQLEQIRKDIRDFRSSAGLDKVIVLWTANTERFCEVVPG RNDTAENLLHTIQLGLEVSPSTLFAVASILEDCAFLNGSPQNTLVPGALELASQRHVFVG GDDFKSGQTKVKSVLVDFLIGSGLKTMSIVSYNHLGNNDGQNLSAPLQFRSKEVTKSSVV DDMVHSNHVLYAPGERPDHCVVIKYVPYVGDSKRALDEYTSELMLGGTNTLVLHNTCEDS LLAAPIMLDLVLLTELCQRVSFCTDSDPEPQGFHTVLSLLSFLFKAPLVPPGSPVVNALF RQRSCIENIFRACVGLPPQNHMLLEHKMERPGPGIKPGEVVATSPLPCKKEPTPATNGCT GDANGHPQAPTPKLSTA >ENSMUSP00000137127.1 pep:known chromosome:GRCm38:14:19415857:19418930:-1 gene:ENSMUSG00000095280.1 transcript:ENSMUST00000177817.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21738 description:predicted gene, 21738 [Source:MGI Symbol;Acc:MGI:5433902] MFLIFHDYQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRDFQFSRHIPGPTVCIS HFSRFSVISSFFKSSSGCFSFSMIFSFLAIFHVLQWTFLHFRPFSVFLAIFHVIKCLFLI FRDFQFSRHIPGPTVCISHFSRFSVISSFFKSSSGCFSFSMIFSFLAIFHVLQWKFLNFP SFSSSIFSPYSKAYSLHFLFFMFFSDFVIFQVVKWMFLIFHDFQFSC >ENSMUSP00000137084.1 pep:known chromosome:GRCm38:Y:10616361:10616903:1 gene:ENSMUSG00000094867.1 transcript:ENSMUST00000179612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21900 description:predicted gene, 21900 [Source:MGI Symbol;Acc:MGI:5434064] MTNINFATSDYAKGACRCLPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVGKPSSILTEECMQTTFSHEDSLRHPTFLMRFPDTLLLPRENRGKPLLPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMSKRERKLPEVPNGSP >ENSMUSP00000110642.1 pep:known chromosome:GRCm38:9:39128167:39145072:1 gene:ENSMUSG00000049708.7 transcript:ENSMUST00000057811.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr27 description:olfactory receptor 27 [Source:MGI Symbol;Acc:MGI:109308] MAAGNRCTVTEFFLVGLSEKSELQLPLFLLFTGIYLITVAGNLGMITLIGLSSHLHTPMY YFLSSLSFIDFCQSTVVIPKMLMSFLTEKNIISYSGCMAQLYFFLIFGIAECYTLAAMAY DRYVAICNPLLYNVTMSYQIYSSLISGIYIFAVFCSSLNTGFMLRTQFCNLDVINHYFCD LLPLLNLASSNTFIIEILILVIATLNVFIPVLTIITSYIFIIATILCIHSREGKFKAFST CSSHISAVAIFYGSGAFTYLQPSSLNSMGQAKVSSVFYTTVVPMLNPLIYSLRNKDVSIS LKKILERKKFM >ENSMUSP00000024155.7 pep:known chromosome:GRCm38:14:20262756:20269162:-1 gene:ENSMUSG00000023387.7 transcript:ENSMUST00000024155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk16 description:potassium channel, subfamily K, member 16 [Source:MGI Symbol;Acc:MGI:1921821] MPRAGVCGCWGGQVLPLLLAYICYLLLGATIFQLLEKQAEAQSRDQFQLEKLRFLENYTC LDQQALEQFVQVILEAWVKGVNPKGNSTNPSNWDFGSSFFFAGTVVTTIGYGNLAPSTEA GQVFCVFYALMGIPLNVVFLNHLGTGLRAHLTTLDRWEDHPRHSQLLQVLGLALFLTLGT LVILIFPPMFFSHVEGWSFREGFYFAFITLSTIGFGDYVVGTDPSKHYIAVYRSLAAIWI LLGLAWLAVVLSLGSLLLHRCSRLWQLIRGLDLKDGAAPDSEPRSQKIPISA >ENSMUSP00000136478.1 pep:known chromosome:GRCm38:X:31715660:31717156:-1 gene:ENSMUSG00000095637.1 transcript:ENSMUST00000179086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21608 description:predicted gene, 21608 [Source:MGI Symbol;Acc:MGI:5434963] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGLQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000057416.3 pep:known chromosome:GRCm38:3:97410217:97411179:-1 gene:ENSMUSG00000051392.3 transcript:ENSMUST00000060912.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1402 description:olfactory receptor 1402 [Source:MGI Symbol;Acc:MGI:3031236] MTPFEMTNHTRVTEFIFLGFSNHPNLQGVFFLAFLAIYLTTLLGNTLMIVATRVSPALHT PMYYFLSNLSFLDICYTSTSIPVMLVNFFREKKTISFEGCLSQIFFFVSCAGTECVLLAA MAYDRYVAVCHPLRYPVLMSTRVCISLVTGSWLCGLVNSVTHTVLTSTLTLCGPNQISHF LCDVPLLLKLSCSDTSVNESVLHVSSATVGLSPCLFTAGSYILIISAILRIPSTQGKRKA FSTCASHLTVVVVFFGTANFNYVRPKEGYSLDMGILVSVLYCVVTPLFNPIIYSLRNKEV KGALRKLTGVPSLTIAVARE >ENSMUSP00000040481.2 pep:known chromosome:GRCm38:9:44452716:44454767:-1 gene:ENSMUSG00000041523.2 transcript:ENSMUST00000047740.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upk2 description:uroplakin 2 [Source:MGI Symbol;Acc:MGI:98913] MASTLPVQTLPLILILLAVLAPGTADFNISSLSGLLSPALTESLLIALPPCHLTGGNATL MVRRANDSKVVKSDFVVPPCRGRRELVSVVDSGSGYTVTRLSAYQVTNLTPGTKYYISYR VQKGTSTESSPETPMSTLPRKNMESIGLGMARTGGMVVITVLLSVAMFLLVVGLIVALAL GARK >ENSMUSP00000024701.7 pep:known chromosome:GRCm38:17:23726336:23736729:1 gene:ENSMUSG00000023908.7 transcript:ENSMUST00000024701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkmyt1 description:protein kinase, membrane associated tyrosine/threonine 1 [Source:MGI Symbol;Acc:MGI:2137630] MTMPTEGTPPPLSGTPIPVPAYFRHAEPGFSLKRPGGLSRSLPPRPPAKGCIPVSRLFPP RTPGWHQPQPRRVSFLCETSEPLQSPGYDPSRPESFFQQNFQRLSRLGHGSYGEVFKVRS KEDGRLYAVKRYMSPFRGPKDRTRKLAEVGGHEKVGQHPHCVRLERAWEEGGILYLQTEL CGPSLQQHCEAWGASLPEAQVWGYLRDILLALDHLHSQGLVHLDVKPANIFLGPRGRCKL GDFGLLVELGSAGAGEAQEGDPRYMAPELLQGSYGTAADVFSLGLTILEVACNMELPHGG EGWQQLRQGYLPPEFTAGLSSELRSVLAMMLEPDPQLRATAEALLALPMLRQPRPWNVLW YMAAEALSRGWALWQALVTLLCWLWHGLVHPASWLQPPGPPATPPGSPPCSPLLDSTLSS SWDNDSIGPSLSPETVLSRITRRTSTPRGRYIPRDALDLTDVDSEPPRGPCPTFEPRNLL SLFEDSLDPA >ENSMUSP00000087041.4 pep:known chromosome:GRCm38:11:26593241:26593862:1 gene:ENSMUSG00000068314.4 transcript:ENSMUST00000089614.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6899 description:predicted gene 6899 [Source:MGI Symbol;Acc:MGI:3648261] MAVRSCAEAPAGFRDESVPGAGAEAGPAAGRDNAAAARRALSVGAGVEGRLTCRRSPHSA GLLRPRSQVGLSGLLPGAGRACGPPPGSQPWEPQSLAEAAQWQRPPSGRGCSGGGAVPRE RVRVSKHRTLPRPV >ENSMUSP00000125916.1 pep:known chromosome:GRCm38:17:40904741:40914350:-1 gene:ENSMUSG00000091043.1 transcript:ENSMUST00000166343.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glyatl3 description:glycine-N-acyltransferase-like 3 [Source:MGI Symbol;Acc:MGI:3647683] MLVLKCSTKLFILENMLKSHFPESLKVYGAVMNINRGNPFQKEVVLDSWPNFKVIITRRE REAETDNLDHYTNAYAVFYKDIRAYQQLLEEHDVINWDQVFQIQGLQSELYAASKAVAKA RLLDLDINLASFKAVHFSPVSSVPDHSFLTGPTPRLTYLSVSDADLLNRTWSRGGNQQCL RYLANLIACFPSVCVRDEKGNPVSWGITDQFATMCHGYTLPDHRRKGYSRLVALTLARKL QSRGFPSQGNVLDDNLASINLLKSVQAEFLPCRFHRLILTPAAFSRQAHL >ENSMUSP00000035101.7 pep:known chromosome:GRCm38:9:119971166:119977250:-1 gene:ENSMUSG00000032515.7 transcript:ENSMUST00000035101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrnp1 description:cysteine-serine-rich nuclear protein 1 [Source:MGI Symbol;Acc:MGI:2387989] MTGLLKRKFDQLEEDDSSSSSSSSFSSRLSLSSFPASSASPAWNSDEEGPGGQAPQSDQD SCGLQSFTPPSILKRAPRERPGHVAFNGITVYYFPRCQGFTSVPSRGGCTLGMASRHSTC RLFSLAEFTQEQVRARREKLRRRLKEEKLEMLRWKFSVAGVPESGAGVPLTADAIDDASV EEDLAVAVANGRLEEANFLQPHPPRQRRALLRASGVRRIDREEKRELQVLRQSREDCGCH CDGVCDPETCSCSLAGIKCQMDHTSFPCGCCREGCENPNGRVEFNQTRVQTHFIHTLTRL QMEQGAESLGDLESPVEDTPVEQAALSPFPPSKPPVSSELGDSSCSSDMTDSSTTLSSGS SEPPNHPAHPSLPGPSFRSGVDEDSLEQILNFSDSDLGIEEEEEEGGGVGNLDNLSCFHL ADIFGTGDPGSLASWTHSQSGSSLASGILDENANLDASCFLNSGLGGLREGSLPGSSGSP EGDAVQSSSWDLSLSSCDSFELLQALPDYSLGPHYTSRRVSGSPDSLETFHPLPSFSPPR DASTCFLESLVGLSEPVTEVLAPLLESQFEDAALAPLLEPVPV >ENSMUSP00000101196.2 pep:known chromosome:GRCm38:4:156221456:156228542:-1 gene:ENSMUSG00000078485.2 transcript:ENSMUST00000105571.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhn1 description:pleckstrin homology domain containing, family N member 1 [Source:MGI Symbol;Acc:MGI:2387630] MGNSHCVPQAPRRLRASFSRKPSLKGNREDSARKLAGLFGTEARPDGDTAANRIFHYIPG TDIPGPEHHPENLEQPFLSVFKKGWRRTPVRNLGKVVHYSKVRLRFQHSQDISDCYLELF PSHLYFQAHGSEGLTFQGLLPLTELNICPTDGSREHAFQITGPLPAPLLVLCHSEAELSH WLYHLEKQMALLGLQRCHSAPPQGSLGDKPPWTQLRRAYGCGSMSGAICASRVKLQHLPS QEQWDRLLVLYPASLAIFSEEPEGLSFKGELPLSAIHINLEEKEKEIRSFLIEGHLINTI RVVCASYEDYSQWLLCLRTVSRRDGAHLPPGPESFPGLQKPTQLVGRGRGSLSSNGRSSW KLECPVFPTSQSLPESSVPTTIGFPAPPVPNQTDSNCVSTGQKKMKPSDSSPSPRGRAQR EVSGSTVPLPLPLDLTKMSALNLDSGPEAQDHSLDIPHSPLYADPYTPPATSRHKITDIQ GLDEQFLCAIQTSPGPDLSSPFPPVSVSVPVSESSSGISSSPGPLGSHLLTKKGALQPRA SQRHRGSFKSRGPQPSDFPQLVTPAREGKPSSLPPPPDEEAPIWNKTSSPSHPKWPQPRK PAVEGGFIQWI >ENSMUSP00000136816.1 pep:known chromosome:GRCm38:1:85575676:85577295:1 gene:ENSMUSG00000094127.1 transcript:ENSMUST00000178024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G530012D18Rik description:RIKEN cDNA G530012D1 gene [Source:MGI Symbol;Acc:MGI:3642025] FLFFFFLFFFYIHFNIKIVFKRTETPTALFLLSSIHALCHCKSAVNKDIVSVYHSAGSVV MRTTTTKDLRAGTLRGSKAKFRHTHTHTHTHTHTERERERERERERETEIERDRERQRDR DRVRASTGAEHISGQEFIC >ENSMUSP00000020062.3 pep:known chromosome:GRCm38:10:51614823:51631458:-1 gene:ENSMUSG00000019905.7 transcript:ENSMUST00000020062.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprc6a description:G protein-coupled receptor, family C, group 6, member A [Source:MGI Symbol;Acc:MGI:2429498] MALLITVVTCFMIILDTSQSCHTPDDFVAITSPGHIMIGGLFAIHEKMLSSDDHPRRPQI QKCAGFEISVFLQTLAMIHSIEMINNSTLLSGVKLGYEIYDTCTEVTAAMAATLRFLSKF NCSRETVVFQCDYSSYMPRVKAVIGAGYSETSIAVSRMLNLQLMPQVSYESTAEILSDKI RFPSFLRTVPSDFYQTKAMAHLIRQSGWNWIGAITTDDDYGRLALNTFAIQAAENNVCIA FKEVLPAFLSDNTIEVRINQTLEKIIAEAQVNVIVVFLRKFHVFNLFTKAIERKISKIWI ASDNWSTATKIITIPNVKKLGKVVGFAFRRGNTSSFHSFLQTLHMYPNDNNKPLHEFAML VSACKYIKDGDLSQCISNYSQATLTYDTTKTIENHLFKRNDFLWHYTEPGLIYSIQLAVF ALGHAIRDLCQARDCKKPNAFQPWELLAVLKNVTFTDGRNSFHFDAHGDLNTGYDVVLWK ETNGLMTVTKMAEYDLQRDVFITTNQETKHEFRKLKQILSKCSKECSPGQMKKATGSQHS CCYECVSCPENHYSNETDMDHCLLCNNETHWAPVRSTTCFEKEVEYLDWDDSLALLLIAL SLLGIAFVLAIGIIFTRNLKTPVVKSSGGLVVCYVMLICHALNFASTGFFIGEPQDFACK TRQTLFGVSFTLCVSCILTKSLKILLAFSFDPKLTMFLKCLYRPVPIVLTCTGIQVVICT LWLVLAAPSVEENISLPRVIILECEEGSALAFGTMLGYITVLAFICFVFAFKGRKLPENY NEAKFLTFGMLIYFIAWITFIPVYTTTFGKYLPAVEIIVILISNYGILCCIFFPKCYIIL CKQKTNTKSAFLQMVYNYSAHSVDSLALSHVSLDSTSYDTATTNQSPGNKMTACQNDNHL PAQVLPHTGTAKTIKASKTLRQKRSSSI >ENSMUSP00000044028.1 pep:known chromosome:GRCm38:14:95881266:95882775:1 gene:ENSMUSG00000034689.1 transcript:ENSMUST00000045892.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921530L21Rik description:RIKEN cDNA 4921530L21 gene [Source:MGI Symbol;Acc:MGI:1913982] MDVSGSKQIHKRKILQLFSCFSCTRNQKKLKHKSHEVEKKLCRENKALRDENRALRKDNK FLWGENKALGRENKTFRMDNQFIRERNRILRQQNQLLRKVKRLMSENPKLSGEEYNALST EGKSFWQQNRAMEAQITALRQQEKAFQNEAKALHEEIKSLCEETKALQHQERALRMEEKA LMRDGVAAELAEALTKEGAALEMEEQALWKEEQALREENKALREEHGALQDEEVALQEEA KILQEWNNLLQGKITNNLPGKTQNQDPKKCGPRM >ENSMUSP00000095870.1 pep:known chromosome:GRCm38:9:121938135:121947014:1 gene:ENSMUSG00000043773.4 transcript:ENSMUST00000063103.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700048O20Rik description:RIKEN cDNA 1700048O20 gene [Source:MGI Symbol;Acc:MGI:1920637] PEKSKPAFATELKDLIMEDITIKAEPQEPVIFEDEAVYFTEQELASLTLDQKALHEEVVL ENFAHVALLGCYDMFEEMGLGAGEIT >ENSMUSP00000024939.1 pep:known chromosome:GRCm38:17:23953085:23954919:-1 gene:ENSMUSG00000024125.1 transcript:ENSMUST00000024939.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbpl description:spermine binding protein-like [Source:MGI Symbol;Acc:MGI:3694550] MKSWHLPLGPEPSGAMLLLLTLALLASPTCRAQNVLGNAAGKYFYVQGEDQGQLKGMRIF LSVFKFIKGFQLQFGNNWTDVYGSRSDNFIDFLLEDGEHVIKVEGSAVICLTSLTFTTNK GRVATFGVRRGRYFSDTGGSDKHLVTVNGMHAPGLCVTGMGFKWEDNAKDLGSPEPVKEP KDSSDSSNKKEDEGRGKDDDDNDEDEDDNDEDENNYGNDDDDDDNDDQKDES >ENSMUSP00000146810.1 pep:known chromosome:GRCm38:7:45259031:45272689:1 gene:ENSMUSG00000094152.3 transcript:ENSMUST00000179310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a16 description:solute carrier family 6, member 16 [Source:MGI Symbol;Acc:MGI:2685930] SKSRASPSDVPQASESNMEESVSWDSKLTDMDSHLGAWEVGMLTWSPSTWIAQAQVSETR MAKAQAWETLALARITLQPKPSVTELATVTTLEQESSELVNRMSRESSEGYPPRQLWASK VEYMLAIMSYLLMPSGVLRFASGWVHKGSCSFFIAYILMLLGIGIPLLFLEMAVGQRAQQ SSADMWKNLSPWFGGVGYSMVMVCFITNTYLNVFNSWILFYMSHIFYFVVPWDQCPLQRN SSNFDPECEQATSYTYFWYRKTLKASDRIEDGGQPSFSLGMSLFLSFCLICAFLVNGIKS IGKVLFVLLLVPYSIIVCFLIRTLSMDGAEYGLKHLLILKVASISDLTIWCHAGIQVLFD IGLGFGPIVSLASHVPDFNNCMADAFLMALFKIITLLMTTPFLLSILGFWATTTTHHCCK KNQETLLRLVAQGILPPDAQPPDLSGNPTSNYNSWLSSLPPPLRSAVLSVVPECSVQKQF LKIKDNPRFLFLIFTEVISLLPGSGFWIVLFFLLLLTLGLCSNLMFMLGNVLTLQDTFPF CRRQPRLLIVCVSMAMFLCGLIFIQPSGIYYFSLLSECWVAVPVIIIIICENLAVAWAYG ADRFLADMVALLGRPIFSGCGWLWCYISPMVVLGLLTSVFVYLVKGPLVYFAWDSSTVSV LSHILKLLP >ENSMUSP00000136979.1 pep:known chromosome:GRCm38:11:62753100:62753498:1 gene:ENSMUSG00000096083.1 transcript:ENSMUST00000178750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10428 description:predicted gene 10428 [Source:MGI Symbol;Acc:MGI:3704375] MKTQRRPFRIHYIHKVSLYCDLFMLNEQCLQTKGPFTCAYIYRVFYFSVLGFFSLKINDE VCLIIFLSSVSLTITSPKWVSAIKCKFFVEIPSCVRRCWPGPSVTIPGSGKREASSLEPL RAACFFSLLTSL >ENSMUSP00000097765.2 pep:known chromosome:GRCm38:2:32574184:32575684:-1 gene:ENSMUSG00000075405.3 transcript:ENSMUST00000100190.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430097D07Rik description:RIKEN cDNA 9430097D07 gene [Source:MGI Symbol;Acc:MGI:2441749] MAFIPITLGMSRDCLAHGLVYYIKPPELGVPPEWGLHGPHMPSAQHKLGNCVDWNDVGGG SAEPQETTVAVVMIVPSTQSLGTVGGITQRTRNEQKMLRYAGLRRSIHLYNYTYTRLGTY VCGCQWHPEVSSGARVVGGGHLIRPLTELWPSEIAAETSLQVHMSFFFMFVFLCVDQADL ELTEIPLPPNPECRD >ENSMUSP00000137309.1 pep:known chromosome:GRCm38:15:79028212:79030498:1 gene:ENSMUSG00000096210.1 transcript:ENSMUST00000180086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H1f0 description:H1 histone family, member 0 [Source:MGI Symbol;Acc:MGI:95893] MTENSTSAPAAKPKRAKASKKSTDHPKYSDMIVAAIQAEKNRAGSSRQSIQKYIKSHYKV GENADSQIKLSIKRLVTTGVLKQTKGVGASGSFRLAKGDEPKRSVAFKKTKKEVKKVATP KKAAKPKKAASKAPSKKPKATPVKKAKKKPAATPKKAKKPKVVKVKPVKASKPKKAKTVK PKAKSSAKRASKKK >ENSMUSP00000074978.5 pep:known chromosome:GRCm38:10:53536329:53630439:-1 gene:ENSMUSG00000058298.7 transcript:ENSMUST00000075540.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm9 description:minichromosome maintenance complex component 9 [Source:MGI Symbol;Acc:MGI:1918817] MDQRTTRNGKYCDVEPVSRSNPAPCLRDPPLRRLVRPKPRLQLPESRLSPCSRLPLADSS VRPGARPPASAPGRSPSGRKVEAVRGSGSAGSSSPSEAEREQREEACAPPRKAAPSSGRA HAPPPPTPRGSGWGDHGRSAVPATKTVRVEPYPPFKMNSEQVTLVGQVFESYVSEYHKND ILLILKERDEDAHYPVVVNAMSLFETNMEIGDYFTVFPNEVLTVFDSALRRSALAILQSL PETEGLSMKQNLHARISGLPVCPELVREHIPKTKDVGHFLSVTGTVIRTSLVKVLEFERD YMCNKCKHVFMVEADFEQYYTFSRPSSCPSLASCDSSKFSCLSDLSSSPARCRDYQEIKI QEQVQRLSVGSIPRSMKVILEDDLVDSCKSGDDLTIYGVVMQRWKPFQRDVRCEVEIVLK ANYVQVNNEQSSGMVMDEDTRKEFEDFWEHYKSDPFAGRNEILASLCPQVFGMYLVKLAV AMVLAGGIQRTDAAGTRVRGESHLLLVGDPGTGKSQFLKYAAKITPRSVLTTGIGSTSAG LTVTAVKDSGEWNLEAGALVLADAGLCCIDEFNSLKEHDRTSIHEAMEQQTISVAKAGLV CKLNTRTTILAATNPKGQYDPKESVSVNIALGSPLLSRFDLVLVLLDTRNEDWDRIISSF ILENKGYPSKSENLWSMEKMKTYFCLIRNLHPTLSEVSNQVLLRYYQMQRQSDSRNAART TIRLLESLIRLAEAHARLMFRSAVTLEDAVTAVSVMESSMQGGALLGGVNALHTSFPENP RAQYQRQCELILEKLELQGLLQEELRRLERLQNESVHQCQSHSLEEEVAPGSCRNDPRDK PRLRTSTQQEQSCSWSSTERSGADSPPGPGLNRPTSCNNSAENRDGRGDGLDWLDPTSSP EIAPESTIVSPNVKTTEKNVNLKISNNKSQGKEKHGPQQRSKLLEAGHLPSSGAMNAPLR SHGVKRTKASQAVVVSEAGRGDEEDSVPRRLPKLLKEGSQNVCRSTTRVRPLPPTVPLSL SIPSPGSGKRSGTPKRKRRKSAQVEEPEPEGMETPTVKLAKFTFKQKTKLTHSPEGQGPI PPSASEIAVDSSKIPQQRTRREAAVPVVAPGKSTSTSGDRCSDQLHGKTKELSRQPPDSN PPREEREQGPKRRVIQPKPELGNQAGHSHLACEKDRKEGVSCGNKSSKVHAGTIARLASF SFTSPSESKSESLPPERKDSRDSRDSRDSRDRCHSPPATTAPVLGQQRQTFQLQQPTERA NLSTLSLFTLSELDDEALDFDWEEEMRKKP >ENSMUSP00000103366.2 pep:known chromosome:GRCm38:7:45709463:45718002:-1 gene:ENSMUSG00000057342.14 transcript:ENSMUST00000107737.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk2 description:sphingosine kinase 2 [Source:MGI Symbol;Acc:MGI:1861380] MAPPPLLPVAASTPILHGEFGSYPANGPRFALTLTTQALHIQRLRPKPEARPRDGLVSLD EVSGCGTLQSRSPEDTAAYFCIYTYPRGRRGGRRRATRTFRADGATTYEENRAEAQRWAT ALTCLLRGVPLSGDQEITPELLPRKPRLLILVNPFGGRGLAWQRCMDHVVPMISEAGLSF NLIQTERQNHARELVQGLSLSEWEGIVTVSGDGLLYEVLNGLLDRPDWEDAVRMPIGVLP CGSGNALAGAVNHHGGFEQVVGVDLLLNCSLLLCRGGSHPLDLLSVTLASGSRCFSFLSV AWGFLSDVDIHSERFRALGSARFTLGAVLGLASLHTYRGRLSYLPATTEPALPIPGHSLP RAKSELVLAPAPAPAATHSPLHRSVSDLPLPLPQPALVSPGSPEPLPDLSLNGGGPELTG DWGGAGDAPLSPDPLLPSSPNALKTAQLSPIAEGPPEMPASSGFLPPTHSAPEASTWGPV DHLLPPLGSPLPQDWVTIEGEFVLMLGILPSHLCADLMAAPHARFDDGVVHLCWVRSGIS RAALLRILLAMEHGNHFSLGCPHLGYAAARAFRLEPLTPRGLLTVDGELVEYGPIQAQVH PGLATLLTGPAGQKPQA >ENSMUSP00000072615.4 pep:known chromosome:GRCm38:7:45709463:45715207:-1 gene:ENSMUSG00000057342.14 transcript:ENSMUST00000072836.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sphk2 description:sphingosine kinase 2 [Source:MGI Symbol;Acc:MGI:1861380] MAPPPLLPVAASTPILHGEFGSYPANGPRFALTLTTQALHIQRLRPKPEARPRDGLVSLD EVSGCGTLQSRSPEDTAAYFCIYTYPRGRRGGRRRATRTFRADGATTYEENRAEAQRWAT ALTCLLRGVPLSGDQEITPELLPRKPRLLILVNPFGGRGLAWQRCMDHVVPMISEAGLSF NLIQTERQNHARELVQGLSLSEWEGIVTVSGDGLLYEVLNGLLDRPDWEDAVRMPIGVLP CGSGNALAGAVNHHGGFEQVVGVDLLLNCSLLLCRGGSHPLDLLSVTLASGSRCFSFLSV AWGFLSDVDIHSERFRALGSARFTLGAVLGLASLHTYRGRLSYLPATTEPALPIPGHSLP RAKSELVLAPAPAPAATHSPLHRSVSDLPLPLPQPALVSPGSPEPLPDLSLNGGGPELTG DWGGAGDAPLSPDPLLPSSPNALKTAQLSPIAEGPPEMPASSGFLPPTHSAPEASTWGPV DHLLPPLGSPLPQDWVTIEGEFVLMLGILPSHLCADLMAAPHARFDDGVVHLCWVRSGIS RAALLRILLAMEHGNHFSLGCPHLGYAAARAFRLEPLTPRGLLTVDGELVEYGPIQAQVH PGLATLLTGPAGQKPQA >ENSMUSP00000128798.1 pep:known chromosome:GRCm38:9:13242790:13245741:-1 gene:ENSMUSG00000079083.2 transcript:ENSMUST00000110582.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jrkl description:Jrk-like [Source:MGI Symbol;Acc:MGI:1924782] MSGKRKRVVLTIKDKLDIIKKLEDGGSSKQLAVIYGIGETTVRDIRKNKEKIITYASSSD STSLLAKRKSMKPSMYEELDKAMLEWFNQQRAKGNPISGPICAKRAEFFFYALGMDGDFN PSAGWLTRFKQRHSIREINIRNERLNGDETAVEDFCNNFRDFIEQENLQPEQIYNADETG LFWKCLPSRTTVIKGKCTVPGHNLGEERITVMCCTNATGLHKLKLCVVGKARKPRSFKST DTLNLPVSYFSQKGAWMDLSIFRQWFDKIFVPQVREYLRSKGLQEKAVLLLDNSPTHPNE NVLRSDDGQIFAKYLPPNVASLIQPLGQGVIAAMKRNYRAGLLHNNLEEGNDLKSFWKKL TLLDALYEIAMAWNLVKPVTISRAWKQILPAIEEKEGLDFDEDISGATVATILQHTKGLE NVTPENLEKWLEIDSTEPGYEVLTDSEIIRRAQGQTDESSENDEERIELIPEKHINHTTA LQWTENLLDYLEQQGDMILPDRLVIRKLRATIRNKQKMTNPGQ >ENSMUSP00000021794.6 pep:known chromosome:GRCm38:13:41309916:41487320:-1 gene:ENSMUSG00000021365.14 transcript:ENSMUST00000021794.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd9 description:neural precursor cell expressed, developmentally down-regulated gene 9 [Source:MGI Symbol;Acc:MGI:97302] MWARNLMARALYDNVPECAEELAFRKGDILTVIEQNTGGLEGWWLCSLHGRQGIVPGNRV KLLIGPVQETPGHEQPTPGPMHQTFGQQKLYQVPNSQAASRDTIYQVPPSYQNQGIYQVP TGHGTPEQDVYQVPPSVQRNIGGTNGPLLSKKVITPVRTGHGYVYEYPSRYQKDVYDVPP SHSTQGVYDIPPSSVKGPVFSVPVGEIKPQGVYDIPPTQGVYAIPPSACRDEAGLREKEY DFPPPMKQDGKPDTRPEGVYDIPPTSTKTAGKDLHIKFPCDAPGGVEPMARRHQSFSLHH APSQLGQSGDTQSDAYDVPRGVQFLEVPTETSEKANPEERDGVYDVPLHNPADAKGSRDV VDGINRLSFSSTGSTRSNMSTSSTSSKESSLSASPSQDKRLRLDPDTAIEKLYRLQQTLE MGVCSLMSLVTTDWRCYGYMERHINEIRTAVDKVELFLREYLHFAKGALANASCLPELVL HNKMKRELQRVEDSHQILSQTSHDLNECSWSLNILAINKPQNKCDDLDRFVMVAKTVPDD AKQLTTTISTYAETLFRADPANSHLKNGPNSIMNSSEYTHPGSQMQPLHPGDYKAQVHSK PLPPSLSKDQPPDCGSSDGSERSWMDDYDYVHLQGKEEFERQQKELLEKENIMKQSKAQL EHHQLSQFQLLEQEITKPVENDISKWKPSQSLPTTNNSVGAQDRQLLCFYYDQCETHFIS LLNAIDALFSCVSSAQPPRIFVAHSKFVILSAHKLVFIGDTLTRQVAAQDIRNKVRNSSN QLCEQLKTIVMATKMAALHYPSTTALQEMVHQVTDLSRNAQLFKRSLLEMATF >ENSMUSP00000125773.1 pep:known chromosome:GRCm38:13:41309916:41359001:-1 gene:ENSMUSG00000021365.14 transcript:ENSMUST00000163623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd9 description:neural precursor cell expressed, developmentally down-regulated gene 9 [Source:MGI Symbol;Acc:MGI:97302] MKYKNLMARALYDNVPECAEELAFRKGDILTVIEQNTGGLEGWWLCSLHGRQGIVPGNRV KLLIGPVQETPGHEQPTPGPMHQTFGQQKLYQVPNSQAASRDTIYQVPPSYQNQGIYQVP TGHGTPEQDVYQVPPSVQRNIGGTNGPLLSKKVITPVRTGHGYVYEYPSRYQKDVYDVPP SHSTQGVYDIPPSSVKGPVFSVPVGEIKPQGVYDIPPTQGVYAIPPSACRDEAGLREKEY DFPPPMKQDGKPDTRPEGVYDIPPTSTKTAGKDLHIKFPCDAPGGVEPMARRHQSFSLHH APSQLGQSGDTQSDAYDVPRGVQFLEVPTETSEKANPEERDGVYDVPLHNPADAKGSRDV VDGINRLSFSSTGSTRSNMSTSSTSSKESSLSASPSQDKRLRLDPDTAIEKLYRLQQTLE MGVCSLMSLVTTDWRCYGYMERHINEIRTAVDKVELFLREYLHFAKGALANASCLPELVL HNKMKRELQRVEDSHQILSQTSHDLNECSWSLNILAINKPQNKCDDLDRFVMVAKTVPDD AKQLTTTISTYAETLFRADPANSHLKNGPNSIMNSSEYTHPGSQMQPLHPGDYKAQVHSK PLPPSLSKDQPPDCGSSDGSERSWMDDYDYVHLQGKEEFERQQKELLEKENIMKQSKAQL EHHQLSQFQLLEQEITKPVENDISKWKPSQSLPTTNNSVGAQDRQLLCFYYDQCETHFIS LLNAIDALFSCVSSAQPPRIFVAHSKFVILSAHKLVFIGDTLTRQVAAQDIRNKVRNSSN QLCEQLKTIVMATKMAALHYPSTTALQEMVHQVTDLSRNAQLFKRSLLEMATF >ENSMUSP00000095063.2 pep:known chromosome:GRCm38:1:179803376:179804676:1 gene:ENSMUSG00000073486.2 transcript:ENSMUST00000097454.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10518 description:predicted gene 10518 [Source:MGI Symbol;Acc:MGI:3704205] RAPASLLPCGPSQHLPGDTARRAPRARGSRFSLSLSPVPSGPGCSFAQSRLRTRPTARAA SSPLATRLTGLAPLGPRSAHYPGRTARTPHTQPLRPGKDRGQGGDPIADRAAGLGLLARV PASGADSAGIFKLSLLGLSQREKTETTPGRKIHLRTAGSLRLLGADRRKTTSPIGLCALG VV >ENSMUSP00000097275.1 pep:known chromosome:GRCm38:2:98666547:98667301:-1 gene:ENSMUSG00000075014.1 transcript:ENSMUST00000099683.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10800 description:predicted gene 10800 [Source:MGI Symbol;Acc:MGI:3641657] MFLIFHDFQFSCHISRPTVDISKPPFSVFLAIFHVLKCVFLIFRDFQFSRHIPGPSVCIS HFSRFLVISSFFKSQVDFSLIFSFLAILHVLQWTFLNFPPFSVFLAIFHVLKCVFLIFRD FQVSRHIPGPSVCISHFSRFLVISSFFKSSSRCFSFSMIFSFLAIFHVLQWTFLNIPPFF SPYSRSFSVHFSFFTYFSDFVIFQVVKWMFLIFHDFQFSF >ENSMUSP00000058994.3 pep:known chromosome:GRCm38:12:33928425:33929310:1 gene:ENSMUSG00000046518.3 transcript:ENSMUST00000061035.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ferd3l description:Fer3-like (Drosophila) [Source:MGI Symbol;Acc:MGI:2150010] MAAYPESCLDATVLNFVADLSLASPRHPLLCEFPPGVPFGDRTLGYREGRPGRLSQFDER YQEVEGDEVEYEDPEEEEEEGEGRGRVASLLGRPKRKRVITYAQRQAANIRERKRMFNLN EAFDQLRRKVPTFAYEKRLSRIETLRLAIVYISFMTELLQSKEEKEAS >ENSMUSP00000106068.1 pep:known chromosome:GRCm38:15:25940887:25972340:1 gene:ENSMUSG00000022270.15 transcript:ENSMUST00000110438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam134b description:family with sequence similarity 134, member B [Source:MGI Symbol;Acc:MGI:1913520] MPAGGGCGPGRSWEVINSKPDERARLSQCIAESWMNFSMFLQEMSLFKQQSPGKFCLLVC SVCTFFTILGSYIPGVILSYLLLLFAFLCPLFKCNDIGQKIYSKVKSILLKLDFGIGEYI NQKKRERSEADKEKSHKDDSELDFSALCPKISLTVAAKELSVSDTDVSEVSWTDNGTFNL SEGYTPQTDTSDDLDRPSEEVFSRDLSDFPSLENGTGTNDEDELSLGLPTELKRKKQQLD SAHRPSKERQSAAGLSLPLKSDQALHLMSNLAGDVITAAMTAAIKDQLEGARQALTQVAP TAGEDTDTEEGDDFELLDQAELDQIESELGLTQDQGAEAQQSKKSSGFLSNLLGGH >ENSMUSP00000022881.7 pep:known chromosome:GRCm38:15:25843264:25973687:1 gene:ENSMUSG00000022270.15 transcript:ENSMUST00000022881.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam134b description:family with sequence similarity 134, member B [Source:MGI Symbol;Acc:MGI:1913520] MASPAPEEHATQGCPATEEQPPRPGVPGEEAGPEGAGPQVEEAAGRVAAALTWLLGEPVL WLGWRADELLSWKRPLRSLLTFLGANLLFWFLALTPWRVYHLISVMILGRVIMQIIKEMV LSRTRGAQLWRSLTESWEVINSKPDERARLSQCIAESWMNFSMFLQEMSLFKQQSPGKFC LLVCSVCTFFTILGSYIPGVILSYLLLLFAFLCPLFKCNDIGQKIYSKVKSILLKLDFGI GEYINQKKRERSEADKEKSHKDDSELDFSALCPKISLTVAAKELSVSDTDVSEVSWTDNG TFNLSEGYTPQTDTSDDLDRPSEEVFSRDLSDFPSLENGTGTNDEDELSLGLPTELKRKK QQLDSAHRPSKERQSAAGLSLPLKSDQALHLMSNLAGDVITAAMTAAIKDQLEGARQALT QVAPTAGEDTDTEEGDDFELLDQAELDQIESELGLTQDQGAEAQQSKKSSGFLSNLLGGH >ENSMUSP00000065285.7 pep:known chromosome:GRCm38:17:86944109:86947887:-1 gene:ENSMUSG00000053375.7 transcript:ENSMUST00000065758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1e2 description:ATPase, H+ transporting, lysosomal V1 subunit E2 [Source:MGI Symbol;Acc:MGI:1922165] MALTDIDVQKQIKHMMAFIEQEANEKAEEIDAKAEEEFNIEKGRLVQTQRLKIMDYFEKK EKQIEQQKKIQLSTMRNQARITVLRARDNLILELLKDAKMRLSRIVSDEEIYQDLLDKLV LQALLRLLEPVMIVRCRPQDLHLVESAVLRAIPQYMRLCQKHLEVQVDQTEHLPSNAAGG VEVYSSDQKIKVSNTLESRLNLAAMQKMPEIRGILFGDNTSRKFFT >ENSMUSP00000021938.9 pep:known chromosome:GRCm38:13:64291836:64312710:-1 gene:ENSMUSG00000021482.9 transcript:ENSMUST00000021938.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aaed1 description:AhpC/TSA antioxidant enzyme domain containing 1 [Source:MGI Symbol;Acc:MGI:1913379] MAAPVTRQVSGCAGRVPSPAGSVTERGQPLAAAVAELPVLDASGRRVTFGALFRERRAVV VFVRHFLCYVCKEYVEDLAKIPKSVLREADVTLIVIGQSSYHHIEPFCKLTGYSHEIYVD PEREIYKRLGMKRGEEISSSGQSPHIKSNLLSGSLQSLWRAVTGPLFDFQGDPAQQGGTL ILGPGNNIHFVHRDRNRLDHKPINSVLQLVGVQPVNFMSRPTVIHV >ENSMUSP00000025434.7 pep:known chromosome:GRCm38:18:78146940:78209094:-1 gene:ENSMUSG00000024552.15 transcript:ENSMUST00000025434.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc14a2 description:solute carrier family 14 (urea transporter), member 2 [Source:MGI Symbol;Acc:MGI:1351653] MSDHHPLKEMSDSNSSPLLPEPLSSRYKLYESELSSPTWPSSSQDTHPALPLLEMPEEKD LRSSDEDSHIVKIEKPNERNKRRESEVSRRASAGRGGFSLFQAVSYLTGDMKECKNWLKD KPLVLQFLDWVLRGAAQVMFVNNPISGLIIFIGLLIQNPWWTIAGTLGTVASTLAALALS QDRSAIASGLHGYNGMLVGLLMAVFSEKLDYYWWLLFPVTFTSMACPIISSALSTIFAKW DLPVFTLPFNIALTLYLAATGHYNLFFPTTLIKPASAAPNITWTEIEMPLLLQTIPVGVG QVYGCDNPWTGGMILVALFISSPLICLHAAIGSIVGLLAALTVATPFETIYLGLWSYNCV LSCIAIGGMFYALTWQTHLLALVCALFCAYMGAALSNTMAVVGVPSGTWAFCLSTLTFLL LTSNNTGIYKLPLSKVTYPEANRIYFLTVRRSEEEKSPNGGSGEQSHGSGQWKAEESSET VLPRRRSVFHIEWSSIRRRSKVFGKGEHQERQTKEPLPCPYRKPTVELFDLDTMEESTEI KVEANTARTSWIQSSMVAGGKRVSKALSYITGEMKECGEGLKDKSPVFQFLDWVLRGMSQ VMFVNNPLSGILIVLGLFVQNPWWAILGCLGTVMSTLTALILSQDKSAIAAGLHGYNGVL VGLLMAVFSDKGNYYWWLLLPVIVMSMTCPILSSALSTVFSKWDLPVFTLPFNIAVTLYL AATGHHNLFFPTTLLQPTTTPPNITWSDIQVSLLLRAIPVGIGQVYGCDNPWTGGIFLVA LFISSPLICLHAAIGSTIGMLAALSIATPFDSIYFGLCGFNSTLACIAIGGMFYVITWQT HLLAIACALFAAYLGAALANMLSVFGLPPCTWPFCLSALTFLLLTSNNPAIYKLPLSKVT YPEANRIYFLSQEKNRRASTITKYQAYDVS >ENSMUSP00000126416.1 pep:known chromosome:GRCm38:18:78183241:78206440:-1 gene:ENSMUSG00000024552.15 transcript:ENSMUST00000163367.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc14a2 description:solute carrier family 14 (urea transporter), member 2 [Source:MGI Symbol;Acc:MGI:1351653] MFVNNPISGLIIFIGLLIQNPWWTIAGTLGTVASTLAALALSQDRSAIASGLHGYNGMLV GLLMAVFSEKLDYYWWLLFPVTFTSMACPIISSALSTIFAKWDLPVFTLPFNIALTLYLA ATGHYNLFFPTTLIKPASAAPNITWTEIEMPLLLQTIPVGVGQVYGCDNPWTGGMILVAL FISSPLICLHAAIGSIVGLLAALTVATPFETIYLGLWSYNCVLSCIAIGGMFYALTWQTH LLALVCALFCAYMGAALSNTMAVVGVPSGTWAFCLSTLTFLLLTSNNTGIYKLPLSKVTY PEANRIYFLTVRRSEEEKSPNGD >ENSMUSP00000055956.6 pep:known chromosome:GRCm38:19:40894705:40917947:1 gene:ENSMUSG00000049164.6 transcript:ENSMUST00000050092.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp518a description:zinc finger protein 518A [Source:MGI Symbol;Acc:MGI:1919922] MPFEQTQLFCDEKPTILKKLDTRNEIADTIRSVSTSKISETSFQYVLKDVNICLPKINIP NEILMKHEVEKYRLLFQSKPQTARKSISVKTVSCAEECVLLCKSERAEDETVNMSAKILN FSCSKCQDSTQYSPNDLQKHFEMWHHGELPSFPCEMCSFSASDFQIFKQHRKTHRNTFVK CDICNSERSYTLLDLTKHFTSKHCVNGNFQCEECRFFTQDVGTFVQHIHRHKEVHYKCGK CHHLCFTKGELQKHLRVHSGTLPFTCHYCSYGAIHKDQLIRHVITLHKEHLYAKEKLERD QYDKRVAKTTTTGLKLILKRYKIGPTKTFWKRKTITSGNDESIGKNAQAFNIVSKTQTKS EDQSQEQVNGEKGEKQHCENGDKPVESESEKATVLSTGQYNKADEGASTTSSSVLSAVQG PTVLLVRNNKITIPANYSAKFMGFKMVDGRQHIVIKLLPANKQILPSPVLQSNTEKNSTA NLSPQAVANTGFATGLTTKVNDTDFVKAAPPACSSPVLARKVISEKEAAFISEKNNTLQM VDDSKSLSSLPTTSTTSVRVTTKVEARDNVDLWENDSPQSHPDASDTSISSPDKVSLTPK PNAYSSGDMHNYCINYVNSELPAESSNSFEFSNQGSLPFHNYSKVNNKRRRFSRATLCEN LQKESPNKTVTQQSTSDSDTASPLLRKESSNSDNLFASINPLNGTLKIKTEIEEPYNLEE TQNFNEQSLFTNENQNLLNVTEEPKWNDIPSAGSPMMPRITSVFSLQSEQASEFLTPEVN QLLQDTLKPKSDIKEDSNNIPSKNLPFDCDQTLKKSEEVVIKSSKDFQMQDDIPVPSASV GVNVPANDLNSKCNGQEKQGLSVLQDVRDSEVTTKIPNIITLLKTQSDAIITQQLVKDKL RTTTQNSGPVYVQNPFLTSEQKNPVFVQTPKGFIIPLHVANKPGLHVFSGRPVPLVNTRS VPATLLVNKKPGMLLATNNGKPESVPTVKTENVHSYGTVTKEPCKTPFLKAEHNNSCLTP GLCSSIGNCVNMKTCSENTLPLKGSYIIKTSVNSSVKAVPNILPEQQGPKMNILDTVKQQ NENLPKASLYTLMPDGKQAVFFKCVMPNNTKLLKPKLVQSSTYQHIQPKRPAGAPQKILV KIFNPVLSMSAFNNLSASNSASSFQKEIVPSKPTVHGEQKEPETSRNALPVLVHGLMPAN ETVHSSTTACPGSSEEPVYISERSETRVLRGKANCAVERNFNKRKTCKNKFAKIKTRIDQ DSETAFVSRNRSCKRKYIDNYQEPPRKKSTLNRKGKERASAEDVQEAFGFSRPRLPKDSS RTLRLFPFSSKQLVKCPRRNQPVVVLNHPDADTPEVERVMKTIAKFNGHVLKVSLSQTTI NALLKPVSNTSETTYNDFSKRQKMLKPVNSVKERFVLKLTLKKTSKNNYQIVKTTSEDVL KSQFNCWFCGRVFDNQDVWAGHGQRHLVEATRDWNMLE >ENSMUSP00000061935.8 pep:known chromosome:GRCm38:17:87635979:87651106:1 gene:ENSMUSG00000045394.8 transcript:ENSMUST00000053577.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epcam description:epithelial cell adhesion molecule [Source:MGI Symbol;Acc:MGI:106653] MAGPQALAFGLLLAVVTATLAAAQRDCVCDNYKLATSCSLNEYGECQCTSYGTQNTVICS KLASKCLAMKAEMTHSKSGRRIKPEGAIQNNDGLYDPDCDEQGLFKAKQCNGTATCWCVN TAGVRRTDKDTEITCSERVRTYWIIIELKHKERESPYDHQSLQTALQEAFTSRYKLNQKF IKNIMYENNVITIDLMQNSSQKTQDDVDIADVAYYFEKDVKGESLFHSSKSMDLRVNGEP LDLDPGQTLIYYVDEKAPEFSMQGLTAGIIAVIVVVSLAVIAGIVVLVISTRKKSAKYEK AEIKEMGEIHRELNA >ENSMUSP00000136552.1 pep:known scaffold:GRCm38:JH584294.1:3094:3786:1 gene:ENSMUSG00000093828.7 transcript:ENSMUST00000177905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] MMEGLSPASSLPLLLLLLSPAPEAALPLPSSTSCCTQLYRQPLPSRLLRRIVHMELQEAD GDCHLQAVVLHLARRSVCVHPQNRSLARWLERQGKRLQGTVPSLNLVLQKKMYSHPQQQN >ENSMUSP00000103621.3 pep:novel scaffold:GRCm38:JH584294.1:2012:3786:1 gene:ENSMUSG00000093828.7 transcript:ENSMUST00000107987.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] SPMSPTSQRLSLEAPSLPLRSWHPWNKTKQKQEALPLPSSTSCCTQLYRQPLPSRLLRRI VHMELQEADGDCHLQAVVLHLARRSVCVHPQNRSLARWLERQGKRLQGTVPSLNLVLQKK MYSHPQQQN >ENSMUSP00000131830.1 pep:known chromosome:GRCm38:19:43440436:43497212:1 gene:ENSMUSG00000025189.8 transcript:ENSMUST00000165311.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnnm1 description:cyclin M1 [Source:MGI Symbol;Acc:MGI:1891366] MAAAAAAAAALGVRLRDCCSRGAVLLLFFSLSPRPPAAAAWLLGLRPEDTAGGRVSLEGG TLRAAEGTSFLLRVYFQPGPPVPAAPVPAPSLAPGENGTGDWAPRLVFIEEPPGAGGAAP SAVPTRPPGPQRCREQSDWASDVEVLGPLRPGGVAGSALVQVRVRELRKGEAERGGAGGG GKLFSLCAWDGRAWHHHGAAGGFLLRVRPRLYGPGGDLLPPAWLRALGALLLLALSALFS GLRLSLLSLDPVELRVLRNSGSAAEQEQARRVQAVRGRGTHLLCTLLLGQAGANAALAGW LYASLPPGVGDPGEDSGEAGVHFPWLPALVCTGAVFLGAEICPYSVCSRHGLAIASHSVC LTRLLMAAAFPVCYPLGRLLDWALRQEISTFYTREKLLETLRAADPYSDLVKEELNIIQG ALELRTKVVEEVLTPLGDCFMLRSDAVLDFATVSEILRSGYTRIPVYEGDQRHNIVDILF VKDLAFVDPDDCTPLLTVTRFYNRPLHCVFNDTRLDTVLEEFKKGKSHLAIVQRVNNEGE GDPFYEVMGIVTLEDIIEEIIKSEILDETDLYTDNRKKQRVPHRERRRHDFSLFKLSDSE IRVKISPQLLLATHRFMATEVEPFKSLYLSEKILLRLLKHPNVIQELKFDERNKKAPEHY LYQRNRPVDYFVLLLQGKVEVEVGKEGLRFENGAFTYYGVPAIMTSACSDNDVRKVGSLA GSSVFLNRSPSRCSGLNRSESPNRERSDFGGSNTQLYSSSNNLYTPDYSVHILSDVQFVK ITRQQYQNALTACHMDSSPQSPDMEAFTDGDSTKAPTTRGTPQTPKDDPVLTLLSNRTSL PCSRSDGLRSPGEVVYLRMEEMAFPQEEMPNFEEHRSQQVSLSPVAVPTTAASDPECCNI HLDPEASPCSSDSEENMGKKLLRTLSGRKRKKSADGERASEENSNLTPLIT >ENSMUSP00000048450.6 pep:known chromosome:GRCm38:8:126947175:126971079:-1 gene:ENSMUSG00000033931.8 transcript:ENSMUST00000045994.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm34 description:RNA binding motif protein 34 [Source:MGI Symbol;Acc:MGI:1098653] MALGGEERKRRKGSERRQSSGDGVSCAASDYLVGQVADSLRGGPRPPGGGTGRLAALFST AEPSAPPVFVPVPQETSKKRKLDDDDDDEEESVSQTKKPVLQEPSRKVKVKKLSDADKRL ANRESALASADLEEELHQDQGQGRRRRSQSRGKVADGEALDVALSLAKDGGQRTKIPVNP EEERLKNERTVFVGNLPVTCNKKKLKSFFKEYGQVESVRFRSVMPAEGTLTKKLAAIKRK FHPDQKSINAYVVFKDESAAAKALQRNGAQIAEGFRIRVDLASETASRDKRSVFVGNLPY KIEDSALEEHFLDCGSIVAVRIVRNPLTGVGRGFGYVLFENTDAVHLALKLNNSELMGRK LRVMRSVNKEKLKQQNSNPSLKKDVIKPKQRLNFTSKEGKFHSKEGKFHSKNAFIGEKAV LMKKKKKGQKKKVQMKKPRKQQ >ENSMUSP00000022340.3 pep:known chromosome:GRCm38:14:19751257:19811787:1 gene:ENSMUSG00000021806.3 transcript:ENSMUST00000022340.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nid2 description:nidogen 2 [Source:MGI Symbol;Acc:MGI:1298229] MFRDPTAGWLTPPSPLSLLVMLLLLSRVGALRPDELFPYGESWGDQLLPEGDDESSAAVK LAIPLRFYDAQFSSLYVGTNGIISTQDFPRETQYVDDDFPTDFPAIAPFLADIDTSHSRG RILYREDTSGAVLSLAARYVRTGFPLSGSSFTPTHAFLATWEHVGAYEEVSRGAAPSGEL NTFQAVLASDESDTYALFLYPANGLQFFGTRPKESYNVQLQLPARVGFCRGEADDLKREA LYFSLTNTEQSVKNLYQLSNLGIPGVWAFHIGSRFALDNVRPATVGGDPSTARSSALEHP FSHAAALESYTEDSFHYYDENEEDVEYPPVEPGEAPEGHSRIDVSFNSKADPGLVDVGTS SPGSDRASPWPYPAPGNWPSYRETESASLDPQTKQGRPVGEGEVLDFRDPAELLDQMGTR APAPPEADAALLTPVNEDLGGRNTQSYPEAGPVPSEPDVPVPPLEGEVLPHYPESGHVPP LRGGKYVIGLEDHVGSNDQVFTYNGANLETCEHSHGRCSQHAFCTDYTTGFCCHCQSRFY GNGKHCLPEGAPHRVNGKVSGRLRVGHIPVHFTDVDLHAYIVGNDGRAYTAISHVPQPAA QALLPVLPIGGLFGWLFALEKPGSENGFSLTGATFVHDVEVTFHPGEERVRITQTAEGLD PENYLSIKTNIEGQVPFIPANFTAHITPYKEFYHYRDSVVTSSSSRSFSLTSGSINQTWS YHIDQNITYQACRHAPRHLAIPATQQLTVDRAFALYSEDEGVLRFAVTNQIGPVEVDSAP VGVNPCYDGSHTCDTTARCHPGTGVDYTCECTPGFQGDGRSCVDVNECATGFHRCGPNSV CVNLVGSYRCECRSGYEFADDQHTCILIAPPPNPCLDGSHTCAPEGQARCIHHGGSSFSC ACLPGFIGTGHQCSDVDECAENRCHEAAICYNTPGSFSCRCQPGYRGDGFHCTSDTVPED SISGLKPCEYQQRYAQTQHAYPGSRIHIPQCDDQGNFVPLQCHGSTGFCWCVDRNGHEVP GTQTPPGSTPPHCGPPPEPTQRPRTVCERWRESLLEHYGGTPRDDQYVPQCDDLGHFIPL QCHGKSDFCWCVDKDGRELQGTRSQPGTRPACIPTVAPPVVRPTPRPDVTPPSVGTFLLY AQGQQIGHLPLNGSRLQKDAARTLLSLHGSIVVGIDYDCRERMVYWTDVAGRTISRASLE AGAEPETIITSGLISPEGLAIDHFRRTMYWTDSGLDKIERAELDGSERKVLFHTDLVNPR AITVDPIRGNLYWTDWNREAPKIETSSLDGENRRILINKDIGLPNGLTFDPFSKLLCWAD AGTKKLECTLPDGTGRRVIQNHLNYPFSIVSYADHFYHTDWRRDGVISVNKDSGQFTDEF LPEQRSHLYGITAVYPYCPTGRK >ENSMUSP00000004294.10 pep:known chromosome:GRCm38:15:76660641:76668196:1 gene:ENSMUSG00000004187.10 transcript:ENSMUST00000004294.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifc2 description:kinesin family member C2 [Source:MGI Symbol;Acc:MGI:109187] MYAFYSLLIYIFYSLFRRDGGAAAVSDPGDPTQKSGGQPRGRRRPDQFTSELWSELNSLA GCSESEDGQKGAEGGAAEVSLEEALMRLAEFLSVQLGAEESCGTTPDLGKPGEVPPLLTV TSQLLALLAWTRSPRGRQALLQGTQPTCPVQPSTLDGSLSQEESSSQPTPILDEVPRDET QEHQPLQLEEEQRVWQRLEQLILGQLEELRQQLEQQEEELSRLRLGVGVTDSEKRVQHLT LENEALKQSLSLTRDLLLHWGPGPLPRLSQEEAGALLELQGQLQEAQDTTEALRVQLGAQ ELQLQGLQGALRQLQQETEQNCRQELQQVHGQLAGLRARMASLRQGCGDLRGLVSTFTQS CQGSLSEAQGQVSWALGALSAGKAKTQLSEGNQAPPTGCSGRLLELKGNIRVLCRLRPAE GQPSSLVSVEPGQGGTITTCYRGRQHRFRLDWVFPQDASQEEVFRQLEPAVLSCLQGYSV CIFTYGQTGTGKTYSMEGPPEDPGIAPRALQLLFREMGTGGHHHVTLSMVEIYNEAVRDL LATGPPERLVVRQGPAGQGGIQVAGLTHWDVPNLETLHQMLSLGRSNRATAATVMNQHSS RSHALVTLTLRAASPPRAQGITGTLHLVDLAGSERVWKAGVASPVQRDPNGARRLREAQA INRSLLALGGVMAALRARRPHVPFRDSQLTRLLQPALCAGTTAVLLLQISTRAEDLGETI CSLKFAERVGQVELGPARRRRAPRSGTPSSLSTDTPLTGTSCTPTPSPGSPPSTSPNSCS GLTLEPPGDPPP >ENSMUSP00000136324.1 pep:known chromosome:GRCm38:19:53929677:53944627:-1 gene:ENSMUSG00000084957.2 transcript:ENSMUST00000135402.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbip1 description:BBSome interacting protein 1 [Source:MGI Symbol;Acc:MGI:1913610] MAEVKSMFREVLPKQGQLSVEDVTTMVLCKPKLLPLKSLTLEKLEKMQQAAQDTVRQQEM AEKATSK >ENSMUSP00000020375.6 pep:known chromosome:GRCm38:10:116905784:116950380:-1 gene:ENSMUSG00000064181.5 transcript:ENSMUST00000020375.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab3ip description:RAB3A interacting protein [Source:MGI Symbol;Acc:MGI:105933] MANDPLEGFHEVNLASPTSPDLLGVCDPGTQEQTTSPSVIYRPHPSTLCAAPLQANALDL SDLPTQPVYSSPRHFNCAEVSNISAHAPDPASSVPSAVASGLTKLTSRKDSCNAEREFLQ GATITEASAGNDDIFGLSTDSLSRLRSPSVLEVREKGYERLKEELAKAQREAHKMVREAN VKQATAEKQLKEAQGKIDVLQAEVAALKTLVLSSSPTSPTQEPLAAAKTPFKRGHTRNKS TSSAMGGSHQDLSVIQPIVKDCKEADLSLYNEFRSWKDEPTMDRTCPFLDKIYQEDIFPC LTFAKSELASAVLEAVENNTLSIEPVGLQPIRFVKASAVECGGPKKCALTGQSKPCRHRI RLGDSSCCYYISPFCRYRITSVCNFFTYIRYIQQGLVKQQDVDQMFWEVMQLRKEMSLAK LGYFKEEL >ENSMUSP00000087714.5 pep:known chromosome:GRCm38:15:27730652:28025848:-1 gene:ENSMUSG00000022263.9 transcript:ENSMUST00000090247.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trio description:triple functional domain (PTPRF interacting) [Source:MGI Symbol;Acc:MGI:1927230] MSGSSGGATAPAASSGPAAAASAAGSGCGGGAGEGAEEAAKDLADIAAFFRSGFRKNDEM KAMDVLPILKEKVAYLSGGRDKRGGPILTFPARSNHDRIRQEDLRRLISYLACIPSEEVC KRGFTVIVDMRGSKWDSIKPLLKILQESFPCCIHIALIIKPDNFWQKQRTNFGSSKFEFE TNMVSLEGLTKVVDPSQLTPEFDGCLEYNHEEWIEIRVAFEEYISNAAHMLSRLEELQDV LAKKELPQDLEGARNMIDEHSQLKKKVIKAPIEDLDLEGQKLLQRIQSSDSFPKKNSGSG NADLQNLLPKVSTMLDRLHSTRQHLHQMWHVRKLKLDQCFQLRLFEQDAEKMFDWITHNK GLFLNSYTEIGTSHPHAMELQTQHNHFAMNCMNVYVNINRIMSVANRLVESGHYASQQIK QIANQLEQEWKAFAAALDERSTLLDMSSIFHQKAEKYMSNVDSWCKACGEVDLPSELQDL EDAIHHHQGIYEHITLAYSEVSQDGKSLLDKLQRPLTPGSSDSLTASANYSKAVHHVLDV IHEVLHHQRQLENIWQHRKVRLHQRLQLCVFQQDVQQVLDWIENHGEAFLSKHTGVGKSL HRARALQKRHEDFEEVAQNTYTNADKLLEAAEQLAQTGECDPEEIYQAAHQLEDRIQDFV RRVEQRKILLDMSVSFHTHVKELWTWLEELQKELLDDVYAESVEAVQDLIKRFGQQQQTT LQVTVNVIKEGEDLIQQLRDSAISSNKTPHNSSINHIETVLQQLDEAQSQMEELFQERKI KLELFLQLRIFERDAIDIISDLESWNDELSQQMNDFDTEDLTIAEQRLQHHADKALTMNN LTFDVIHQGQDLLQYVNEVQASGVELLCDRDVDMATRVQDLLEFLHEKQQELDLAAEQHR KHLEQCVQLRHLQAEVKQVLGWIRNGESMLNAGLITASSLQEAEQLQREHEQFQHAIEKT HQSALQVQQKAEAMLQANHYDMDMIRDCAEKVASHWQQLMLKMEDRLKLVNASVAFYKTS EQVCSVLESLEQEYKREEDWCGGADKLGPNSETDHVTPMISKHLEQKEAFLKACTLARRN ADVFLKYLHRNSVSMPGMVTHIKAPEQQVKNILNELFQRENRVLHYWTMRKRRLDQCQQY VVFERSAKQALEWIHDNGEFYLSTHTSTGSSIQHTQELLKEHEEFQITAKQTKERVKLLI QLADGFCEKGHAHAAEIKKCVTAVDKRYRDFSLRMEKYRTSLEKALGISSDSNKSSKSLQ LDIIPASIPGSEVKLRDAAHELNEEKRKSARRKEFIMAELIQTEKAYVRDLRECMDTYLW EMTSGVEEIPPGIVNKELIIFGNMQEIYEFHNNIFLKELEKYEQLPEDVGHCFVTWADKF QMYVTYCKNKPDSTQLILEHAGSYFDEIQQRHGLANSISSYLIKPVQRITKYQLLLKELL TCCEEGKGEIKDGLEVMLSVPKRANDAMHLSMLEGFDENIESQGELILQESFQVWDPKTL IRKGRERHLFLFEMSLVFSKEVKDSSGRSKYLYKSKLFTSELGVTEHVEGDPCKFALWVG RTPTSDNKIVLKASSIENKQDWIKHIREVIQERTVHLRGALKEPIHIPKTAPAARQKGRR DGEDLDSQGDGSSQPDTISIASRTSQNTLDSDKLSGGCELTVVIHDFTACNSNELTIRRG QTVEVLERPHDKPDWCLVRTTDRSPAAEGLVPCGSLCIAHSRSSMEMEGIFNHKDSLSVS SNDASPPASVASLQPHMIGAQSSPGPKRPGNTLRKWLTSPVRRLSSGKADGHAKKLAHKH KKSREVRKSADAGSQKDSDDSAATPQDETIEERGRNEGLSSGTLSKSSSSGMQSCGEEEG EEGADAVPLPPPMAIQQHSLLQPDSQDDKASSRLLVRPTSSETPSAAELVSAIEELVKSK MALEDRPSSLLVDQGDSSSPSFNPSDNSLLSSSSPIDEMEERKCSSLKRRHYVLQELVET ERDYVRDLGCVVEGYMALMKEDGVPDDMKGKDKIVFGNIHQIYDWHRDFFLGELEKCLED PEKLGSLFVKHERRLHMYIVYCQNKPKSEHIVSEYIDTFFEDLKQRLGHRLQLTDLLIKP VQRIMKYQLLLKDFLKYSKKASLDTSELEKAVEVMCIVPKRCNDMMNVGRLQGFDGKIVA QGKLLLQDTFLVTDQDAGLLPRCKERRVFLFEQIVIFSEPLDKKKGFSMPGFLFKNSIKV SCLCLEENVESDPCKFALTSRTGDAVETFVLHSSSPSVRQTWIHEINQILENQRNFLNAL TSPIEYQRNHSGGGGSGSGGSSGGGGGSGGSGASSGGSSSHGSGPSSCSSGPSSSRSRPS RIPQPVRHHPPMLVSSAASSQAEADKMSGMSAPSPSLPTPSSSLALEASLGQPSRLPLSG DSEGHERETEPIPKMKVMESPRKAPGSTSGTSQDGNTKDARGNLGSLPLGKTRPGAVSPL NSPLSTTFPSPFGKEAFPPSSPLQKGGSFWSSIPASPASRPSSFTFPGDSDSLQRQTHRH AAPSKDTDRMSTCSSASEQSVQSTQSNGSEGSSSSNISTMLVTHEYTAVKEDEINVYQGE VVQILASNQQNMFLVFRAATDQCPAAEGWIPGFVLGHTSAVIMENPDGTLKKSTSWHTAL RLRKKSEKKDKDGKRDGKLENGYRKPREGLSNKVSVKLLNPNYIYDVPPEFVIPLSEVTC ETGETVVFRCRVCGRPKASITWKGPEHNTLNNDDHYSISYSDIGEATLKIIGVSTEDDGI YTCIAVNDMGSASSSASLRVLGPGSDGIVVTWKDNFDAFYSEVAELGRGRFAVVKKCDQK GTKRAVATKFVNKKLMKRDQVTHELGILQNLQHPLLVSLLDTFETPTSYVLVLEMADQGR LLDCVVRWGSLTEGKVRAHLGEVLEAVRYLHNCRIAHLDLKPENILVDQSLAKPTIKLAD FGDAVQLNTTYYIHQLLGNPEFAAPEIILGNPVSLTADTWSVGVLTYVLLSGVSPFLDDS VEETCLNICRLDFSFPEDYFQGVSQKAKEFVCFLLQEDPAKRPSAALALQEQWLQAGNGS GKGTGVLDTSRLTSFIERRKHQNDVRPIRSIKNFLQSRLLPRV >ENSMUSP00000136773.1 pep:known chromosome:GRCm38:Y:29655497:29683370:-1 gene:ENSMUSG00000094869.1 transcript:ENSMUST00000180373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21679 description:predicted gene, 21679 [Source:MGI Symbol;Acc:MGI:5435034] MALKKLKVIPKESYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDENISPQVKGHEDRGHEIGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGRNQKLERFCKMNERERKNINNKFCEQYITTFQKSYMDV >ENSMUSP00000086405.4 pep:known chromosome:GRCm38:16:11066298:11074983:1 gene:ENSMUSG00000037972.6 transcript:ENSMUST00000089011.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snn description:stannin [Source:MGI Symbol;Acc:MGI:1276549] MSIMDHSPTTGVVTVIVILIAIAALGALILGCWCYLRLQRISQSEDEESIVGDGETKEPF LLVQYSAKGPCVERKAKLMTANSPEVHG >ENSMUSP00000063166.8 pep:known chromosome:GRCm38:15:5143861:5181899:1 gene:ENSMUSG00000050697.8 transcript:ENSMUST00000051186.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkaa1 description:protein kinase, AMP-activated, alpha 1 catalytic subunit [Source:MGI Symbol;Acc:MGI:2145955] MRRLSSWRKMATAEKQKHDGRVKIGHYILGDTLGVGTFGKVKVGKHELTGHKVAVKILNR QKIRSLDVVGKIRREIQNLKLFRHPHIIKLYQVISTPSDIFMVMEYVSGGELFDYICKNG RLDEKESRRLFQQILSGVDYCHRHMVVHRDLKPENVLLDAHMNAKIADFGLSNMMSDGEF LRTSCGSPNYAAPEVISGRLYAGPEVDIWSSGVILYALLCGTLPFDDDHVPTLFKKICDG IFYTPQYLNPSVISLLKHMLQVDPMKRAAIKDIREHEWFKQDLPKYLFPEDPSYSSTMID DEALKEVCEKFECSEEEVLSCLYNRNHQDPLAVAYHLIIDNRRIMNEAKDFYLATSPPDS FLDDHHLTRPHPERVPFLVAETPRARHTLDELNPQKSKHQGVRKAKWHLGIRSQSRPNDI MAEVCRAIKQLDYEWKVVNPYYLRVRRKNPVTSTFSKMSLQLYQVDSRTYLLDFRSIDDE ITEAKSGTATPQRSGSISNYRSCQRSDSDAEAQGKPSDVSLTSSVTSLDSSPVDVAPRPG SHTIEFFEMCANLIKILAQ >ENSMUSP00000021466.8 pep:known chromosome:GRCm38:12:69893105:69964085:1 gene:ENSMUSG00000021066.8 transcript:ENSMUST00000021466.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atl1 description:atlastin GTPase 1 [Source:MGI Symbol;Acc:MGI:1921241] MAKSRRDRNSWGGFSEKSSDWSSEEEEPVRKAGPVQVLIVKDDHSFELDEAALNRILLSQ AVRDKEVVAVSVAGAFRKGKSFLMDFMLRYMYNQESVDWVGDYNEPLTGFSWRGGSERET TGIQIWSEVFLINKLDGKKVAVLLMDTQGTFDSQSTLRDSATVFALSTMISSIQVYNLSQ NVQEDDLQHLQLFTEYGRLAMEETFLKPFQSLIFLVRDWSFPYEFSYGADGGAKFLEKRL KVSGNQHEELQNVRKHIHSCFTNISCFLLPHPGLKVATNPNFDGKLKEIDDEFIKNLKIL IPWLLSPERLDIKEINGNKITCRGLLEYFKAYIKIYQGEELPHPKSMLQATAEANNLAAV ATAKDTYNKKMEEVCGGDKPFLAPNDLQSKHLQLKEESVKLFRGVKKMGGEEFSRRYLQQ LESEIDELYIQYIKHNDSKNIFHAARTPATLFVVIFITYVIAGVTGFIGLDIIASLCNMI MGLTLITLCTWAYIRYSGEYRELGAVIDQVAAALWDQGSTNEALYKLYSAAATHRHLCHQ AFPAPKSEPTQQPEKKKI >ENSMUSP00000025955.6 pep:known chromosome:GRCm38:19:60761116:60790693:-1 gene:ENSMUSG00000024991.7 transcript:ENSMUST00000025955.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3a description:eukaryotic translation initiation factor 3, subunit A [Source:MGI Symbol;Acc:MGI:95301] MPAYFQRPENALKRANEFLEVGKKQPALDVLYDVMKSKKHRTWQKIHEPIMLKYLELCVD LRKSHLAKEGLYQYKNICQQVNIKSLEDVVRAYLKLAEEKTEAAKEESQQMVLDIEDLDN IQTPESVLLSAVSGEDTQDRTDRLLLTPWVKFLWESYRQCLDLLRNNSRVERLYHDIAQQ AFKFCLQYTRKAEFRKLCDNLRMHLSQIQRHHNQSTAINLNNPESQSMHLETRLVQLDSA ISMELWQEAFKAVEDIHGLFSLSKKPPKPQLMANYYNKVSTVFWKSGNALFHASTLHRLY HLSREMRKNLTQEEMQRMSTRVLLATLSIPITPERTDIARLLDMDGIIVEKQRRLATLLG LQAPPTRIGLINDMVRFSVLQYVVPEVKDLYNWLEVEFNPLKLCERVTKVLNWVREQPEK EPELQQYVPQLQNNTILRLLQQVAQIYQSIEFSRLTSLVPFVDAFQLERAIVDAARHCDL QVRIDHTSRTLSFGSDLNYATREDAPVGPHLQSMPSEQIRNQLTAMSSVLAKALEVIRPA HILQEKEEQHQLAVNAYLKNSRKEHQRILARRQTIEERKERLESLNIQREKEELEQREAE LQKVRKAEEERLRQEAKEREKERILQEHEQIKKKTVRERLEQIKKTELGAKAFKDIDIED LEELDPDFIMAKQVEQLEKEKKELQERLKNQEKKIDYFERAKRLEEIPLIKSAYEEQRVK DMDLWEQQEEERITTMQLEREKALEHKNRMSRMLEDRDLFVMRLKAARQSVYEEKLKQFE ERLAEERHSRLEDRKRQRKEERKITYYREKEEEEQRRAEEQMLKEREERERAERAKREEE LREYQERVKKLEEVERKKRQRELEIEERERRREEERRLGDDPLSRKDSRWGDRDSEGTWR KGPEADSEWRRGPPEKEWRRETRDDERPHRRDEDRLRRLGGDDEERESSLRPDDDRIPRR GLDDDRGPRRGPDEDRFSRRGTDDDRPSWRNADDDRPPRRIGDDDRGSWRHTDDDRPPRR GLDDERGSWRTADEDRGPRRGMDDDRGPRRGGADDERSSWRNADDDRGPRRGMDDDRGPR RGLDDDRGPWRNAAEDRISRRGADDDRGPWRNMDDDRVPRRGDDARPGPWRPFVKPGGWR EKEKAREESWGPPRESRPSEEREWDRDKEKDRDNQDREENDKDLERDRDRERDGDREDRF RRPRDEGGWRRGPAEESSSWRDSSRRDDRDREDRRRDRDDRRDLRDLRDRRDLRDDRDRR GPPLRSEREEASSWRRTDDRKDDRTEERDPPRRVPPPALSRDREREREREGEKEKASWRA EKDRESLRRTKNETDEDGWTTVRR >ENSMUSP00000064469.3 pep:known chromosome:GRCm38:17:7363712:7385305:-1 gene:ENSMUSG00000056133.4 transcript:ENSMUST00000070059.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9992 description:predicted gene 9992 [Source:MGI Symbol;Acc:MGI:3712668] MERSLKNVLVVSCGFLLLFTAYGGLQNLQSSLYSEQGLGVATLSTLYASVLLSSMFLPPI LIKKCGCKWTIVGSMCCYVVFSLGNFHANWYTLIPTSILLGLGAAPLWSAQGTYLTTMGN LQAEKVGKLGKDVVNQYFGIFFLVFQSSGVWGNLISSLVFGKMSMQEAIPEEQLMSCGAK DCLMGPAATNSTHHPSQQLIYTLLGIYTGCGVLAILLVAVFLESLEDKLENEGERRPRPP PLWSTLLSTFMLFRDKRLCLLMFLPLYSGFQQEFLSGEYTKSYVTCALGIHFVGYVMICF SAMTALCSLLYGKISKYTGRAALYALGAAIHFSCVVVFLLWHPNTNQLPVFFVLSGLWGM SDAVWQTQNNALFGVLFEENKEPAFANYRLGEAIGFVIAFGYSSFLCVSTKLYILLGVLS LAMVGYGTVEYLEVKAASKVLGAEKKNQAEEEEMKTKM >ENSMUSP00000089237.2 pep:known chromosome:GRCm38:17:13051321:13052280:-1 gene:ENSMUSG00000071311.1 transcript:ENSMUST00000091648.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr31b description:G protein-coupled receptor 31, D17Leh66b region [Source:MGI Symbol;Acc:MGI:1354372] MERTNCSAASTVVETAVGTMLTLECVLGLMGNAVALWTFFYRLKVWKPYAVYLFNLVVAD LLLATSLPFFAAFYLKGKTWKLGHMPCQVLLFLLAFSRGVGVAFLTTVALDRYLRVVHPR LRVNLLSLRAAWGISSLIWLLMVVLTPQNLLTCRTTQNSTECPSFYPTGGAKAIATCQEV LFFLQVLLPFGLISFCNSGLIRTLQKRLRESDKQPRIRRARVLVAIVLLLFGLCFLPSVL TRVLVHIFQEFKSCSVQQAIVRASDIAGSLTCLHSTLSPAIYCFSNPAFTHSYRKVLKSL RGRRKAAESPSDNLRDSYS >ENSMUSP00000095822.2 pep:known chromosome:GRCm38:7:102669901:102670923:1 gene:ENSMUSG00000073969.2 transcript:ENSMUST00000098219.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr556 description:olfactory receptor 556 [Source:MGI Symbol;Acc:MGI:3030390] MLGPSYNHTMESPGTFFLLGIPGFQSSYLWLAISLSTMYSIAVLGNMLIIIVICMDSTLQ EPMYFFLCVLAAVDIVMASSVVPKMVSIFSSGDSSISFNACFTQMYFVHAATAVETGLLL AMAFDRYVAICKPLHYMRILTRHVMLGISVTITVRAVIFMTPLSWMLSHLPFCASNVVPH SYCEHMAVAKLACADPMPSSLYSLIFSSIIVGSDVAFISASYSLILKAVFGLSSRNAQWK ALSTCGSHVGVMALYYLPGMASIYVAWLGQDRVPLHTQVLLADLYLIIPPTLNPIIYGIR TRQIRERIWSLLTHCFFSQCTQGS >ENSMUSP00000095767.1 pep:known chromosome:GRCm38:7:104938528:104939481:1 gene:ENSMUSG00000073916.1 transcript:ENSMUST00000098164.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr669 description:olfactory receptor 669 [Source:MGI Symbol;Acc:MGI:3030503] MLISNNSYEAPQSFILNGIPGLEAVHIWISLPLCTMYIISLVGNLGLVYLIYYEESLHRP MYFFLAMLSLIDLFTCTTTVPNALFIFWFKLKEINFTACLVQMFFVHGFTGVESGVLMLM ALDRYVAICYPLRYATILTNPVIAKAGLATFLRGVLLMIPFPFLVKRLPFCRSNVISHTY CDHMSVVKLSCASIKINVIYGLMVALLIGVFDICCISVSYTMILRAVVSLSSADARQKAF STCTAHISAIIITYVPAFFTFFTHRFGGHTIPPSLHIIVANLYLLLPPTLNPIVYGMKTK QIRDSIIKFFHGEKGSR >ENSMUSP00000023509.3 pep:known chromosome:GRCm38:16:20097554:20127744:1 gene:ENSMUSG00000062901.2 transcript:ENSMUST00000023509.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl24 description:kelch-like 24 [Source:MGI Symbol;Acc:MGI:1923035] MVLILGRRLNREDLGVRDSPATKRKVFEMDPKSLTGHEYFDFSSGSSHAENILQIFNEFR DSRLFTDVIICVEGKEFPCHRAVLSACSSYFRAMFCNDHRESREMLVEINGILAEAMECF LQYVYTGKVKITTENVQYLFETSSLFQISVLRDACAKFLEEQLDPCNCLGIQRFADTHSL KTLFTKCKTFALQTFEDVSQHEEFLELDKDELIDYICSDELVIGKEEMVFEAVMRWVYRA VDLRRPLLHELLTHVRLPLLHPNYFVQTVEVDQLIQNSPECYQLLHEARRYHILGNEMMS PRTRPRRSTGYSEVIVVVGGCERVGGFNLPYTECYDPVTGEWKSLAKLPEFTKSEYAVCA LRNDILVSGGRINSRDVWIYNSQLNIWIRVASLNKGRWRHKMAVLLGKVYVVGGYDGQNR LSSVECYDSFSNRWTEVAPLKEAVSSPAVTSCIGKLFVIGGGPDDNTCSDKVQSYDPETN SWLLRAAIPIAKRCITAVSLNNLIYVAGGLTKAVYCYDPVEDYWMHVQNTFSRQENCGMS VCNGKIYILGGRRENGEATDTILCYDPATSIITGVAAMPRPVSYHGCVTIHRYNEKCFKL >ENSMUSP00000020258.8 pep:known chromosome:GRCm38:10:63243797:63317881:1 gene:ENSMUSG00000020064.8 transcript:ENSMUST00000020258.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herc4 description:hect domain and RLD 4 [Source:MGI Symbol;Acc:MGI:1914595] MLCWGNASYGQLGLGGIDEEIVLEPRRSDFFVNKKVRDVGCGLRHTVFVLDDGTVYTCGC NDLGQLGHEKSRKKPEQVVALDAQNIVAVACGEAHTLALNDKGQVYAWGLDSDGQLGLQG SEECIRVPRNIKSLSDIQIVQVACGYYHSLALSKASEVFCWGQNKYGQLGLGIDCQKQTS PQLIKSLLGIPFMQVAAGGAHSFVLTLSGAIFGWGRNKFGQLGLNDENDRYVPNLLKSLR SQKIVYICCGEDHTAALTKEGGVFTFGAGGYGQLGHNSTSHEINPRKVFELMGSIVTQVA CGRQHTSAFVPSSGRIYSFGLGGNGQLGTGSTSNRKSPFTVKGNWFSYNGQCPQDIGSED YFCVKRIFSGGDQSFSHYSSPQNCGPPDDFRCSDPSKQIWTVNEALIQKWLSYPSGRFPV EIANEIDGTFSSSGCLNGSFLAISNDDHYRTGTRFSGVDMNAARLLFHKLIQPDHPQISQ QVAASLEKNLIPKLTSSLPDVEALRFYLTLPECPLMSDCNNFTTIAIPFGTALVNLEKAP LKVLENWWSVLEPPLFLKIVELFKEVVVHLLKLYKIGIPPSERRIFNSFLHTALKVLEIL HRVNEKTGQLIQYDKFYIHEVQELIDIRNDYINWVQQQAYGVLADIPVTICTYPFVFDAQ AKTTLLQTDAVLQMQMAIDQAHRQNVSSLFLPVIESVNPCLILVVRRENIVGDAMEVLRK TKNIDYKKPLKVIFVGEDAVDAGGVRKEFFLLIMRELLDPKYGMFRYYEDSRLIWFSDKT FEDSDLFHLIGVICGLAIYNFTIVDLHFPLALYKKLLKRKPSLDDLKELMPAVGRSMQQL LDYPEDDIEETFCLNFTITVENFGATEVKELVLNGADTAVNRQNRQEFVDAYVDYIFNKS VASLFDAFHAGFHKVCGGKVLLLFQPNELQAMVIGNTNYDWKELEKNTEYKGEYWADHPT IKIFWEVFHELPLEKKKQFLLFLTGSDRIPILGMKSLKLVIQSTGGGESYLPVSHTCFNL LDLPKYTEKETLRCKLIQAIDHNEGFSLI >ENSMUSP00000061305.9 pep:known chromosome:GRCm38:15:26543084:26895049:-1 gene:ENSMUSG00000043556.9 transcript:ENSMUST00000059204.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl7 description:F-box and leucine-rich repeat protein 7 [Source:MGI Symbol;Acc:MGI:3052506] MGANNGKQYGSEGKGSSSVSSDVSSSTDHTPTKAQRNVATSEDSDLSMRTLSTPSPALIC PPTLPGFQNGRGSSTSSSSITGETVAMVHSPPPTRLTHPLIRLASRPQKEQASIDRLPDH SMVQIFSFLPTNQLCRCARVCRRWYNLAWDPRLWRTIRLTGETINVDRALKVLTRRLCQD TPNVCLMLETVIVSGCRRLTDRGLYTIAQCCPELRRLEVSGCYNISNEAVFDVVSLCPNL EHLDVSGCSKVTCISLTREASIKLSPLHGKQISIRYLDMTDCFVLEDEGLHTIAAHCTQL THLYLRRCVRLTDEGLRYLVIYCTSIKELSVSDCRFVSDFGLREIAKLESRLRYLSIAHC GRITDVGIRYVAKYCSKLRYLNARGCEGITDHGVEYLAKNCTKLKSLDIGKCPLVSDTGL ESLALNCFNLKRLSLKSCESITGQGLQIVAANCFDLQMLNVQDCEVSVEALRFVKRHCKR CVIEHTNPAFF >ENSMUSP00000137974.1 pep:known chromosome:GRCm38:CHR_MG4214_PATCH:37804851:37808764:1 gene:ENSMUSG00000097306.8 transcript:ENSMUST00000180893.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox5 description:reproductive homeobox 5 [Source:EntrezGene;Acc:18617] MEAEGSSRKVTRLLRLGVKEDSEEQHDVKAEAFFQAGEGRDEQGAQGQPGVGAVGTEGEG EELNGGKGHFGPGAPGPMGDGDKDSGTRAGGVEQEQNEPVAEGTESQENGNPGGRQMPLQ GSRFAQHRLRELESILQRTNSFDVPREDLDRLMDACVSRVQNWFKIRRAAARRNRRRATP VPEHFRGTFECPACRGVRWGERCPFATPRF >ENSMUSP00000139262.1 pep:known chromosome:GRCm38:CHR_MG4214_PATCH:37804842:37808876:1 gene:ENSMUSG00000097306.8 transcript:ENSMUST00000185127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox5 description:reproductive homeobox 5 [Source:EntrezGene;Acc:18617] IQGMEAEGSSRKVTRLLRLGVKEDSEEQHDVKAEAFFQAGEGRDEQGAQGQPGVGAVGTE GEGEELNGGKGHFGPGAPGPMGDGDKDSGTRAGGVEQEQNEPVAEGTESQENGNPGGRQM PLQGSRFAQHRLRELESILQRTNSFDVPREDLDRLMDACVSRVQNWFKIRRAAARRNRRR ATPVPEHFRGTFECPACRGVRWGERCPFATPRF >ENSMUSP00000096508.3 pep:known chromosome:GRCm38:8:19729746:19752236:1 gene:ENSMUSG00000096265.1 transcript:ENSMUST00000098909.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930467E23Rik description:RIKEN cDNA 4930467E23 gene [Source:MGI Symbol;Acc:MGI:1923056] MEEEINYDSQTMNIPRRRRWYTHLWRSCLGLRCMHPQKKKKYPLYLIGYDPIGPLQRAAS VGDLDTTEKLIHSSQHHVDESDRRKRTSLHYACAHNHPDVVTLLLENNSSINIRDDEGCT PLIKATQRDNVDCASVLLTHNADPNLIDSSGNTAFHHAISRGNIRIVKMLLEHNVDIEAK TEYGLTPLQLATFEQKPEMVEFLAAKCAKSSVTPSWSPSPTVSPCPSSTSPLSSLGLPVC PNPGTSSLSEDRKSSSARRIKLSTGSSAQRTEVKHVRFNEEILYFKEERPLSCEARPPGQ LKSILKNTVQYNTVNEKITRRTSLWCSKAEDVRYTSCIKVKCFSVKSSFL >ENSMUSP00000069247.2 pep:known chromosome:GRCm38:18:44071393:44083610:1 gene:ENSMUSG00000055095.2 transcript:ENSMUST00000068473.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink6 description:serine peptidase inhibitor, Kazal type 6 [Source:MGI Symbol;Acc:MGI:3648654] MKVAGVFLLLSLALLCFFSGAFSQGGQDKRGWITRSEGRFPGKGVLRHRLFQINCGEFRD PKVFCTRESDPLCGSDGQTYGNKCAFCKALEKSSGKINLKHRGKC >ENSMUSP00000067694.6 pep:known chromosome:GRCm38:14:16430842:16575472:-1 gene:ENSMUSG00000017491.8 transcript:ENSMUST00000063750.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rarb description:retinoic acid receptor, beta [Source:MGI Symbol;Acc:MGI:97857] MFDCMDVLSVSPGQILDFYTASPSSCMLQEKALKACLSGFTQAEWQHRHTAQSIETQSTS SEELVPSPPSPLPPPRVYKPCFVCQDKSSGYHYGVSACEGCKGFFRRSIQKNMIYTCHRD KNCVINKVTRNRCQYCRLQKCFEVGMSKESVRNDRNKKKKEPSKQECTESYEMTAELDDL TEKIRKAHQETFPSLCQLGKYTTNSSADHRVRLDLGLWDKFSELATKCIIKIVEFAKRLP GFTGLTIADQITLLKAACLDILILRICTRYTPEQDTMTFSDGLTLNRTQMHNAGFGPLTD LVFTFANQLLPLEMDDTETGLLSAICLICGDRQDLEEPTKVDKLQEPLLEALKIYIRKRR PSKPHMFPKILMKITDLRSISAKGAERVITLKMEIPGSMPPLIQEMLENSEGHEPLTPSS SGNIAEHSPSVSPSSVENSGVSQSPLLQ >ENSMUSP00000034413.6 pep:known chromosome:GRCm38:9:15239045:15259393:1 gene:ENSMUSG00000031937.6 transcript:ENSMUST00000034413.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm5 description:V-set and transmembrane domain containing 5 [Source:MGI Symbol;Acc:MGI:1916387] MRPLRCGERTQGIPLGLLAFWVTAARCLQSQGVSLYIPQSAINATVQQDILLSVDYICHG VPTIEWKYTPNWGVQRIVEWKPGTPANVSQSHRDRVCTFDNGSIQLFNVSVKDSGYYIVT VTEHPGSSQSGTILLRVSEIRYEDLHFVAVFFALLAAVAVVLISLMWVCNQCAYKFQRKR RYKLKESTTEEIEMKEVEC >ENSMUSP00000095193.2 pep:known chromosome:GRCm38:18:44201264:44206018:1 gene:ENSMUSG00000073572.2 transcript:ENSMUST00000097586.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10542 description:predicted gene 10542 [Source:MGI Symbol;Acc:MGI:3642604] RFDKISPIWVRSLFILNVVFPPYFVAETAVAHLRRLFKVPNCEPYRSVTICLDTLNPVCG DDGKSYDNHCYFCTETFRKNLSYKHHGVCT >ENSMUSP00000071239.2 pep:known chromosome:GRCm38:9:19338459:19339400:1 gene:ENSMUSG00000061614.2 transcript:ENSMUST00000071259.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr845 description:olfactory receptor 845 [Source:MGI Symbol;Acc:MGI:3030679] MESTNQTDAIEFLLLGLSDDPELQPIIFGLFLFMYLVTFLGNLIITLTISAECHLHTPMY FFLSNLSVADICISSTTVPKMLLNIQTPDHRITYSGCLTQACFVLLFAGLENCLLAAMAY DRYVAICHPLRYTVIMNSCFCSILIVVSLAISAVNALLLSLMVLHLNFCTEVEIPHFFCE LAQIIKLACSDTLINNILIYISAFMFGGIPFFGIFLSYTEIVSSVLKIPSRQGRHKAFST CGSHLSVVSLFYGTGLGVYISSAVTESPRKTAVASVMYSIVTQMLNPFIYSLRNRDMKEA LRKHVGRIASIV >ENSMUSP00000054125.3 pep:known chromosome:GRCm38:15:36150060:36164884:-1 gene:ENSMUSG00000048230.5 transcript:ENSMUST00000058643.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo43 description:F-box protein 43 [Source:MGI Symbol;Acc:MGI:1926053] MDSSAVTVKGLSLIDFCSTSSFKYRGHHELSESCSLNKKEEEPMLTCEHPETPSLGSPNS AVSPSQIMKSVSLRKEKDKSPELCETPKLRRKKCTLRRRLDFSFPLLKGDSDSQSRSLES NISQGVSLEKHLPGSTSGFPKEDNFSPLVTSTIKTEDVVSNSQNSRLHFSQHKTSTIEDS KDNCGLFEVECLSPIEGNDFKDSITHFSDSSLSVSDENTCPELLGSCGSQTTYGADVTTS VTPVSSLIAKIKFNGNQTLDSSGEVRDSLFTPEDSGFCSLSWDKSEDFLSDQEGSFQELL QKHRVTPKVGDQVKKPKHFGRLRRLSTLQEQGSQSEDEMQTVHPNSDSGVLESLQGSEEK RGNLALSFKDLSNTPALQLVQELFMKSKRKRSQQEDDQEFFEDRDEGKIARLQRVLAGLI GKKMGIEQLDILTELQYRNLKHILAMVLESLTSESLYSAWNVSRNWREIVAQDKKANRRR KLYIIQLRASAQGAAVLRVQDAATRLCLLSRLALRSVQAQAQAPSGEQVPTLSPWGDVLT PVASSSLTHLRSKQEQYVKVARTLFTDEALKPCPRCQSPAKYQPHKKRGLCSRLACGFDF CVLCLCAYHGSEDCRRGSAKARGSKDVLPGSAQSKRNLKRL >ENSMUSP00000075513.4 pep:known chromosome:GRCm38:18:48045335:48048378:1 gene:ENSMUSG00000059040.4 transcript:ENSMUST00000076155.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno1b description:enolase 1B, retrotransposed [Source:MGI Symbol;Acc:MGI:3648653] MSILRIHAREIFDSRGNPTVEVDLYTAKGLFRAAVPSGASTGIYEALELRDNDKTRFMGK GVSQAVEHINKTIAPALVSKKVNVVEQEKIDKLMIEMDGTENKSKFGANAILGVSLAVCK AGAVEKGVPLYRHIADLAGNPEVILPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFRE AMRIGAEVYHNLKNVIKEKYGKDATNVGDEGGFAPNILENKEALELLKTAIAKAGYTDQV VIGMDVAASEFYRSGKYDLDFKSPDDPSRYITPDQLADLYKSFVQNYPVVSIEDPFDQDD WGAWQKFTASAGIQVVGDDLTVTNPKRIAKAASEKSCNCLLLKVNQIGSVTESLQACKLA QSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQILRIEEELGSK AKFAGRSFRNPLAK >ENSMUSP00000109271.2 pep:known chromosome:GRCm38:19:5603017:5610094:-1 gene:ENSMUSG00000024926.9 transcript:ENSMUST00000113641.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat5 description:K(lysine) acetyltransferase 5 [Source:MGI Symbol;Acc:MGI:1932051] MAEVGEIIEGCRLPVLRRNQDNEDEWPLAEILSVKDISGRKLFYVHYIDFNKRLDEWVTH ERLDLKKIQFPKKEAKTPTKNGLPGSRPGSPEREVPASAQASGKTLPIPVQITLRFNLPK EREAIPGGEPDQPLSSSSCLQPNHRSTKRKVEVVSPATPVPSETAPASVFPQNGSARRAV AAQPGRKRKSNCLGTDEDSQDSSDGIPSAPRMTGSLVSDRSHDDIVTRMKNIECIELGRH RLKPWYFSPYPQELTTLPVLYLCEFCLKYGRSLKCLQRHLTKCDLRHPPGNEIYRKGTIS FFEIDGRKNKSYSQNLCLLAKCFLDHKTLYYDTDPFLFYVMTEYDCKGFHIVGYFSKEKE STEDYNVACILTLPPYQRRGYGKLLIEFSYELSKVEGKTGTPEKPLSDLGLLSYRSYWSQ TILEILMGLKSESGERPQITINEISEITSIKKEDVISTLQYLNLINYYKGQYILTLSEDI VDGHERAMLKRLLRIDSKCLHFTPKDWSKRGKW >ENSMUSP00000062303.7 pep:known chromosome:GRCm38:16:21649045:21694665:-1 gene:ENSMUSG00000043391.9 transcript:ENSMUST00000053336.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2510009E07Rik description:RIKEN cDNA 2510009E07 gene [Source:MGI Symbol;Acc:MGI:1919440] MSAATAPERGWKSEKVDEAQALARSCAARRPDFQPCDGLSICATHSHGKCFKLHWCCHLG WCHCKYVYQPMTPVEQLPSTEIPAKPREPTNTIQISVSLTEHFLKFASVFQPPLPPDSPR YCMISDLFIDNYQVKCINGKMCYVQKQQAPHSQKMSPEEVSAHDALISKESDTPKLGHCS SPSGSEDSGINAIGAHYVESCDEDTEEGAELSSEEDYSPESSWEPDECTLLSPSQSDLEV IETMETTV >ENSMUSP00000034763.8 pep:known chromosome:GRCm38:9:22448311:22468356:-1 gene:ENSMUSG00000032239.9 transcript:ENSMUST00000034763.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rp9 description:retinitis pigmentosa 9 (human) [Source:MGI Symbol;Acc:MGI:2157166] MSSGAGSRRPREPPEHELQRRREQKRRRHDAQQLQQLKHLESFYEKPPPGFIKEDETKPE DCIPDVPGNEHAREFLAHAPTKGLWMPLGREVKVMQCWRCKRYGHRTGDKECPFFIKGNQ KLEQFRVAHEDPMYDIIRENKRHEKDVRIQQLKQLLEDSTSDDDGSSSSSSGDREKRKKR KKKEKHKKRKKEKKKKKKRKHKASKSSESSDSE >ENSMUSP00000127301.2 pep:known chromosome:GRCm38:7:10900740:10905050:-1 gene:ENSMUSG00000095339.1 transcript:ENSMUST00000168158.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan4b description:zinc finger and SCAN domain containing 4B [Source:MGI Symbol;Acc:MGI:3645447] MASQQAPAKDLQTNNLEFTPTDSSGVQWAEDISNSPSAQLNFSPSNNGCWATQELQSLWK MFNSWLQPEKQTKEQMISQLVLEQFLLTGHCKDKYALTEKWKASGSDMRRFMESLTDECL KPPVMVHVSMQGQEALFSENMPLKEVIKLLKQQQSATRPIPDNAQMPVDTTQDRLLATGQ ENSENECNTSCNATEVNVGESCSGNEKDSLLITQKEQNHEHEEGNVVCQFPRGARRASQD TSSHHVDFPSALTPADVPMEEQPMDLSRENISEDKNNCYNTSRNAATQVYSGDNIPRNKT DSLFINKRIYHPEPEVGDIPYGVPQDSTRASQGTSTCLQESLGECFSEKDPREVPGLQSR QEQPISDPVLGKNHEANLPCESHQKRFHRDAKLYKCEECSRMFKHARSLSSHQRTHLNKK SELLCITCQKIFKRVSDLRTHEIIHMSEKPFKCSTCEKSFSHKTNLKYHEMIHTGEMPYV CSLCSRRFRQSSTYHRHLRNYHRSD >ENSMUSP00000098279.2 pep:known chromosome:GRCm38:15:36870501:36879816:-1 gene:ENSMUSG00000072618.2 transcript:ENSMUST00000100713.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10384 description:predicted gene 10384 [Source:MGI Symbol;Acc:MGI:3641812] MHLPRFPKDAIFIAGVHLKPHTADGTVAQTCIPVTRKCHGSIQSFPNPPREQTVRAWNGR LNVKLQNPFCYRTPAWGESHECMQTSQSEWYR >ENSMUSP00000075857.1 pep:known chromosome:GRCm38:9:38664913:38665842:-1 gene:ENSMUSG00000063225.1 transcript:ENSMUST00000076542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr917 description:olfactory receptor 917 [Source:MGI Symbol;Acc:MGI:3030751] MDSVNVSLVAEFILVGLTDKPYLQIPLFFVFLAMYLVTALGNLSLIILTVLNSYLHTPMY FFLFNLSFVDLCYSSVFTPQMLMNFIRKNTTSYMECMAQLYFSCFFVISECYVLTSMAYD RYVAICKPLLYNLVMSSKLCLNLMLVSYFIAFSESVAHTACMLRLTFCDANTINYYFCDI PPLLQLSCTTTRVNEVVIFVVGSINIIIPTSTIFVSYGFILSSIFRISSSEGRSKAFSTC SSHIIAAFLFFGSGAIRYFKPSSDGSMDEGKISSVFYTNVIPMINPLLYSLRNKDIKVAL RRTLRKRNF >ENSMUSP00000049319.5 pep:known chromosome:GRCm38:17:24882611:24886350:-1 gene:ENSMUSG00000039183.5 transcript:ENSMUST00000044252.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nubp2 description:nucleotide binding protein 2 [Source:MGI Symbol;Acc:MGI:1347072] MEAAAGERAEPGNLAGVRHIILVLSGKGGVGKSTISTELALALRHQGKKVGILDVDLCGP SIPHMLRAQGKAVHQCDNGWVPVFVDQEQSISLMSVGFLLENPDEAVVWRGPKKHALIKQ FVSDVAWGQLDYLVVDTPPGTSDEHMATMEALRPYRPLGALVVTTPQAVSIGDVRRELTF CKKTGLQVIGVIENMSGFTCPHCAECTNVFSSGSGEELARLAGVPFLGSVPLDSQLTRSL EEGRDFIQEFPKSTAYSALTSIAQRVVHRMSALCS >ENSMUSP00000023353.3 pep:known chromosome:GRCm38:16:15623897:15637400:-1 gene:ENSMUSG00000022673.4 transcript:ENSMUST00000023353.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm4 description:minichromosome maintenance deficient 4 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:103199] MSSPASTPSRRSSRRGRVTPTQSLRSEESRSSPNRRRRGEDSSTGELLPMPTSPGADLQS PPAQNALFSSPPQMHSLAIPLDFDVSSPLTYGTPSSRVEGTPRSGVRGTPVRQRPDLGSA RKGLQVDLQSDGAAAEDIVPSEQSLGQKLVIWGTDVNVATCKENFQRFLQCFTDPLAKEE ENVGIDITQPLYMQQLGEINITGEPFLNVNCEHIKSFSKNLYRQLISYPQEVIPTFDMAV NEIFFDRYPDSILEHQIQVRPFNALKTKSMRNLNPEDIDQLITISGMVIRTSQLIPEMQE AFFQCQVCAHTTRVEIDRGRIAEPCSCVHCHTTHSMALIHNRSFFSDKQMIKLQESPEDM PAGQTPHTIVLFAHNDLVDKVQPGDRVNVTGIYRAVPIRVNPRVSNVKSVYKTHIDVIHY RKTDAKRLHGLDEEAEQKLFSEKRVKLLKELSRKPDIYERLASALAPSIYEHEDIKKGIL LQLFGGTRKDFSHTGRGKFRAEINILLCGDPGTSKSQLLQYVYNLVPRGQYTSGKGSSAV GLTAYVMKDPETRQLVLQTGALVLSDNGICCIDEFDKMNESTRSVLHEVMEQQTLSIAKA GIICQLNARTSVLAAANPIESQWNPKKTTIENIQLPHTLLSRFDLIFLMLDPQDEAYDRR LAHHLVSLYYQSEEQVEEEFLDMAVLKDYIAYAHSTIMPRLSEEASQALIEAYVNMRKIG SSRGMVSAYPRQLESLIRLAEAHAKVRFSNKVEAIDVEEAKRLHREALKQSATDPRTGIV DISILTTGMSATSRKRKEELAEALRKLILSKGKTPALKYQQLFEDIRGQSDTAITKDMFE EALRALADDDFLTVTGKTVRLL >ENSMUSP00000050535.5 pep:known chromosome:GRCm38:18:58836764:59053678:1 gene:ENSMUSG00000053441.4 transcript:ENSMUST00000052907.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts19 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 19 [Source:MGI Symbol;Acc:MGI:2442875] MGPEMRLTRICCCCCLLYQLGFLSHGTTSGLQLTPDLEEWEVVFPALWRRESLNATGLSG GSSDPGSGRSSGGGGRGQASGSSREVRSVARAPQEEATRGQSEPWFGSPLEPGAEDEEEL ESQELPRGSSGDTALSSGTPASWQPPLPPQRPSSPPPAQQEEPSAEEVLLRIPALSRDLY LLLRRDGRFLAQRFAVEQWPKPGPDPTRATADPGSSLLPDASCFYTGTVLRHPGSLASFS TCGGGLMGFIQLNEDFLFIEPFNDTMAIIGHPHRLYRQKRSTEEKVTENSAVHRHHCGVI SDKGRPRSKKIADNRREKRYSYKLSQEYNIETVVVADPAMVSYHGADAARRFILTILNMV FNLFQHKSLGVQVNLRVLKLILLHETPADLYIGHHGEKMLESFCKWQHEEFGRRNDVHLE MSTSWGEDIAAVDAAILITRKDFCVHKDEPCDTVGIAYLNGMCSEKRKCIIAEDNGLNLA FTIAHEMGHNMGINHDNDHPSCADGLHIMSGEWIKGQNLGDVSWSRCSKEDLERFLRSKA SSCLLHTDPQSLSSVLVPSKLPGMAYTADEQCQILFGPLASFCQEMQHVICTGLWCKVEG EAECRTKLDPPMDGTDCDPGKWCKAGECTRRTPAPEHLAGEWSPWSSCSRSCSSGVSSRE RKCPGLGSEARDCNGPRKQYRICENPPCPAGLPGFRDWQCQAYSVRTSYPKHALQWQAVF DEEKPCALFCSPVGKEQPVLLSEKVMDGTSCGYQGLDICANGRCQKAGCDGLLGSLARED HCGVCNGNGKSCKVIKGDFNHTRGAGYVEVLVIPAGARRIKVVEEKPAHSFLALRDASKQ SINSDWKIEHSGAFSLAGTTVHYLRRGLWEKISAKGPTTTPLHLLVLLFQDQNYGLHYEY TVPSDPLPDNQSSKEPGPLFMWTHAGWGDCNATCGGGERKTMVSCTKIMSKNISLVDNKK CKDLTKPEPQIRKCNEQPCQTRWMMTEWTTCSRTCGKGVQSRQVACTQQLENGTLIRAWE RDCLGPKPATVQRCEGQDCMTVWEAGVWSECSVKCGKGVRHRTVRCTNPRKKCVLSTRPR EAEDCEDYSKCYVWRVGDWSKCSITCGKGMQSRVIQCMHKITGRHGNECFSSEKPAAYRP CHLQPCNEKINVNTITSPRLAALTFKCLGDQWPVYCRVIREKNLCQDMRWYQRCCETCRD FYAQKLQQKS >ENSMUSP00000038909.5 pep:known chromosome:GRCm38:9:56865104:56899870:1 gene:ENSMUSG00000032911.5 transcript:ENSMUST00000035661.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cspg4 description:chondroitin sulfate proteoglycan 4 [Source:MGI Symbol;Acc:MGI:2153093] MLLGPGHPLSAPALALALTLALLVRSTAPASFFGENHLEVPVPSALTRVDLLLQFSTSQP EALLLLAAGQDDHLLLQLHSGCLQVRLALGQKELKLQTPADTVLSDSAPHTVVLTVSDSW AVLSVDGVLNTSAPIPRASHLKATYGLFVGSSGSLDLPYLKGISRPLRGCLHSAILNGRN LLRPLTSDVHEGCAEEFSAGDEVGLGFSGPHSLAAFPAWSTREEGTLEFTLTTRSQQAPL AFQAGDKRGNFIYVDIFEGHLRAVVEKGQGTMLLRNSVPVADGQPHEVSVHIDVHRLEIS VDQYPTRTFNRGVLSYLEPRGSLLLGGLDTEASRHLQEHRLGLAPGAANISLVGCIEDFS VNGRRQGLRDAWLTRDMSAGCRPEEDEYEEEVYGPYETFSTLAPEAWPAMELPEPCIPEP GLPAVFANFTQLLTISPLVVAEGGTAWLEWRHVQPTLDLTEAELRKSQVLFSVSQSARHG DLELDILGAQTRKMFTLLDVVNRKARFVHDGSEDTSDQLMLEVSVTARAPVPSCLRRGQI YILPIQVNPVNDPPRIIFPHGSLMVILEHTQKPLGPEIFQAYDPDSACEGLTFQLLGVSS GVPVEHRDQPGEPATEFSCRELEVGDIVYVHRGGPAQDLTFRVSDGMQASAPATLKVVAV RPAIQILHNTGLHLAQGSAAAILPANLSVETNAVGQDVSVLFRVTGTLQFGELQKQGAGG VEGTEWWDTLAFHQRDVEQGRVRYLSTDPQHHTQDTVEDLILEVQVGQETLSNLSFPVTI QRATVWMLRLEPLHTQNPHQETLTPAHLEASLEEEEEEGSPQPHTFHYELVQAPRRGNLL LQGTRLSDGESFSQSDLQAGRVTYRATMRTSEAADDSFRFRVTSPPHFSPLYTFPIHIGG DPNAPVLTNVLLMVPEGGEGVLSADHLFVKSLNSASYLYEVMEQPHHGKLAWRDPKGKST PVTSFTNEDLLHGRLVYQHDDSETIEDDIPFVATRQGEGSGDMAWEEVRGVFRVAIQPVN DHAPVQTISRVFHVARGGQRLLTTDDVAFSDADSGFSDAQLVLTRKDLLFGSIVAMEEPT RPIYRFTQEDLRKKQVLFVHSGADHGWLQLQVSDGQHQATAMLEVQASEPYLHVANSSSL VVPQGGQGTIDTAVLQLDTNLDIRSGNEVHYHVTAGPQWGQLLRDGQSVTSFSQRDLLDG AILYSHNGSLSPQDTLAFSVAAGPVHTNTFLQVTIALEGPLAPLQLVQHKKIYVFQGEAA EIRRDQLEVVQEAVLPADIMFSLRSPPNAGYLVMVSHGASAEEPPSLDPVQSFSQEAVNS GRVLYLHSRPGAWSDSFSLDVASGLGDPLEGISVELEVLPTVIPLDVQNFSVPEGGTRTL APPLVQITGPYFPTLPGLVLQVLEPPQHGALQKEDHSQDGSLSTFSWREVEEQLIRYVHD GSETQTDAFVLLANASEMDRQSQPVAFTITILPVNDQPPVLTTNTGLQIWEGAIVPIPPE ALRGTDNDSGPEDLVYTIEQPSNGRIALRVAPDTEVHRFTQAQLDSGLVLFSHRGALEGG FHFDLSDGAHTSPGHFFRVVAQKQALLSLEGTRKLTVCPESVQPLSSQSLSASSSTGADP RHLLYRVVRGPQLGRLLHAQQGSAEEVLVNFTQAEVNAGNILYEHEMSSEPFWEAHDTIG LLLSSPPARDLAATLAVMVSFDAACPQRPSRLWKNKGLWVPEGQRAKITVAALDAANLLA SVPASQRSRHDVLFQVTQFPTRGQLLVSEEPLHARRPYFLQSELAAGQLVYAHGGGGTQQ DGFRFRAHLQGPTGTSVAGPQTSEAFVITVRDVNERPPQPQASIPLRVTRGSRAPVSRAQ LSVVDPDSAPGEIEYEVQRAPHNGFLSLAGDNTGPVTHFTQADVDAGRLAFVANGSSVAG VFQLSMSDGASPPIPMSLAVDVLPSTIEVQLRAPLEVPQALGRTSLSRQQLQVISDREEP DVAYRLTQGPLYGQLLVGGQPASAFSQLQVDQGDVVFVFTNFSSSQDHFKVVALARGVNA SATVNVTVQALLHVWAGGPWPQGTTLRLDPTVLDASELANRTGSMPHFRLLAGPRYGRVV RVSQGRTESRSNQLVEHFTQRDLEEGQLGLEVGKPEGRSTGPAGDRLTLELWAKGVPPAV ALLDFATEPYHAAKSYSVALLSVPEAVRTETEKPGRSVPTGQPGQAASSPVPTAAKGGFL GFLEANMFSIIIPVCLILLLLALILPLLFYLRKRNKTGKHDVQVLTAKPRNGLAGDTETF RKVEPGQAIPLITVPGQGPPPGGQPDPELLQFCRTPNPALRNGQYWV >ENSMUSP00000102895.1 pep:known chromosome:GRCm38:3:94643112:94643600:1 gene:ENSMUSG00000078655.1 transcript:ENSMUST00000107276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10972 description:predicted gene 10972 [Source:MGI Symbol;Acc:MGI:3779183] SGSRNPYTVIQIKPEEETAQKKKTYSL >ENSMUSP00000014640.7 pep:known chromosome:GRCm38:14:31700015:31830415:-1 gene:ENSMUSG00000014496.7 transcript:ENSMUST00000014640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd28 description:ankyrin repeat domain 28 [Source:MGI Symbol;Acc:MGI:2145661] MAFLKLRDQPSLVQAIFNGDPDEVRALIFKKEDVNFQDNEKRTPLHAAAYLGDAEIIELL ILSGARVNAKDSKWLTPLHRAVASCSEEAVQILLKHSADVNARDKNWQTPLHIAAANKAV KCAESLVPLLSNVNVSDRAGRTALHHAAFSGHGEMVKLLLSRGANINAFDKKDRRAIHWA AYMGHIEVVKLLVSHGAEVTCKDKKSYTPLHAAASSGMISVVKYLLDLGVDMNEPNAYGN TPLHVACYNGQDVVVNELIDCGANVNQKNEKGFTPLHFAAASTHGALCLELLVGNGADVN MKSKDGKTPLHMTALHGRFSRSQTIIQSGAVIDCEDKNGNTPLHIAARYGHELLINTLIT SGADTAKRGIHGMFPLHLAALSGFSDCCRKLLSSGFDIDTPDDFGRTCLHAAAAGGNLEC LNLLLNTGADFNKKDKFGRSPLHYAAANCNYQCLFALVGSGASVNDLDERGCTPLHYAAT SDTDGKCLEYLLRNDANPGIRDKQGYNAVHYSAAYGHRLCLQLIASETPLDVLMETSGTD MLSDSDNRATISPLHLAAYHGHHQALEVLVQSLLDLDVRNSSGRTPLDLAAFKGHVECVD VLINQGASILVKDYVLKRTPIHAAATNGHSECLRLLIGNAEPQNAVDIQDGNGQTPLMLS VLNGHTDCVYSLLNKGANVDAKDKWGRTALHRGAVTGHEECVDALLQHGAKCLLRDSRGR TPIHLSAACGHIGVLGALLQSATSVDANPAVVDNHGYTALHWACYNGHETCVELLLEQDV FQKIDGNAFSPLHCAVINDNEGAAEMLIDSLGASIVNATDSKGRTPLHAAAFTDHVECLQ LLLSQNAQVNSADSTGKTPLMMAAENGQTNTVEMLVSSASADLTLQDKSKNTALHLACGK GHETSALLILEKITDRNLINATNAALQTPLHVAARNGLTMVVQELLGKGASVLAVDENGY TPALACAPNKDVADCLALILATMMPVSSSSPLTSLTFNAINRYTNTSKTVSFEALPIMRN EASSYCSFNNIGGEQEYLYTDVDELNDSDSETY >ENSMUSP00000098285.2 pep:known chromosome:GRCm38:14:34041078:34046981:1 gene:ENSMUSG00000072624.2 transcript:ENSMUST00000100719.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5460 description:predicted gene 5460 [Source:MGI Symbol;Acc:MGI:3643519] MTTTPAPETSTVLTTSTTNPIPKPTIPGSPTILPPPPAPPRPPSPAPPPLPPPPPRPPPP LPLPPPPPLPPTSLVPLPPAEPSKEVLLSTVVLLLMLTPVVLWWVWWLCCRPPVKALPAP VPQPTPQKKEKGPPPVPPPVPPPAPPPAPVTGRPIVIICCCTCYDVCVRRGTEGPYINLD LLKDLCSRVPCTSKTGFFPPEEYLCLASCSQCHHPPHSCPRPFPLLPSPAQVSPKAPLSL PPMQRTSQTPQRQY >ENSMUSP00000023089.3 pep:known chromosome:GRCm38:15:82298984:82314558:1 gene:ENSMUSG00000022455.3 transcript:ENSMUST00000023089.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp2nl description:WBP2 N-terminal like [Source:MGI Symbol;Acc:MGI:1921966] MAVNQNHTVDRRWAAIPHGESLLKKCSEVDLSFPQSPPGSNLFSGTKRGALFLTSYRVIF VTSRADNDPMFSFTMPFHLMNNCTVEQPIFGANYIKGTIQAAPDGGWEGSATFKIVFRKG GAIDFAQLMAKAASAAAQGVPLRVASFWMGPLGIYVITGDRNMYAPQAYQVAYGAPPAGY GASPVGYGVPSAGYGAPPAGYGAPPVGYVAPSPGYDVLPPGYGAVRYGSPPPLYVATPMG YGVPPPGYGPPPVRYGSPPPGYEAPTMEYGAQPPRYGTTPMGSGSPPPRYEAPPMGYGTP PSGRESIPPGSRATSVAQEAPPAGSEAGHPMSVAVQNPEFQASFPSTSSSQVHSPRSKM >ENSMUSP00000082118.5 pep:known chromosome:GRCm38:12:105159690:105166622:1 gene:ENSMUSG00000066359.5 transcript:ENSMUST00000085043.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcl1b1 description:T cell leukemia/lymphoma 1B, 1 [Source:MGI Symbol;Acc:MGI:1351601] MAAAAFDPLGPLPVYLVSVRLGIYEDEHHRVWIVANVETSHSSHGNRRRTHVTVHLWKLI PQQVIPFNPLNYDFLPTTWKLESRNIYWATDGTHWRLLDHSQLGDTEQLILMLVLG >ENSMUSP00000081855.4 pep:known chromosome:GRCm38:9:119193002:119209105:-1 gene:ENSMUSG00000074028.3 transcript:ENSMUST00000084797.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a13 description:solute carrier family 22 (organic cation transporter), member 13 [Source:MGI Symbol;Acc:MGI:2143107] MAQFAQVMAEVGDFGRFQVRLTILMGIPNFLAAFFIFGQVFMVLDEAHHCSVSWVKNHTF NLSAAEQLAISIPNDTAGRPESCLMFRPPPDSASLEDILSHRFNETQACDSGWDYPENRP QSLKKEFDLVCDRKNLKKTSQSVFMAGLLVGALVFGPVCDWIGRRPSLLMQVLLSGITSM ATAFVSSFELYLALRFVLATANAGFLLSTNVLISEWVGPSWRTQAVVLAQSNVALGQMVL AGLAYGVRNWRLLQITGTAPVLLLFFYFWVLPESPRWLLSQGRTEEAKQLVQKAALVNGR PLSPELLNQLVPEKTGPSGNALDLFRHPHLRKVTLILIAVWFVDSLVYYSLSFQVGDFGL DIYVTQLIFGAVEMPGRFLSVLMMEKLGRKWSQLCTLTLAGIMYIIIIFIPGDLPTVVTV LAVVGKFASAAAFTISYVYTAELFPTIIRQTGMGLVSIFSRVGGIITPLVMLLEQYHQAI PMVIFGSLPIGAGLLCALLPETRGQTLKDTLQDLEQGLPAGSHKTAPQRQDMEALGRTSS VRVAVVKSSYF >ENSMUSP00000077243.2 pep:known chromosome:GRCm38:7:140322602:140323651:1 gene:ENSMUSG00000061489.2 transcript:ENSMUST00000078103.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr525 description:olfactory receptor 525 [Source:MGI Symbol;Acc:MGI:3030359] MEINNYTTVVEFVLQGLSEDPGLQALFLAFFLLLYILALAGNTLIIIAISLNSSLHTPMY FFLANLALLDIVCTSTVLPKLLEGLVGKSSHISYKGCMTQLFFLTWFLGAELLLLTAMAY DRYVAICRPLHYSMLMSWPICVLLAGSVWVISAASTSVHTGLMARLNFCGPNQIRHFLCE VPTLLLLSCSPTTLNNIMIVIADVYFGVVNFLLTMISYSFIITSILRIRSTEGKKRAFST CSAHLVVVVLYYSTVIYTYLQPGSGSSLENGKVVALLYTAVSPTLNPAIYSLRNKDVKVA LKKLFPCFQ >ENSMUSP00000106317.3 pep:known chromosome:GRCm38:15:4988762:5063773:-1 gene:ENSMUSG00000079105.3 transcript:ENSMUST00000110689.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C7 description:complement component 7 [Source:MGI Symbol;Acc:MGI:88235] MQVTSLLILVCFIAAFQVFSRASSPVNCKWDSYGPWSECNGCTKTQTRRRSVAVYGQYGG YPCEGSAFETQSCKPERGCPTEEGCGDRFRCFSGQCISKSLVCNGDPDCEEDGADEDKCE NVANPSCNIDKPPPNIELTGYGYNVVTGQGKKRVINTKSFGGQCRKVFSGDGKDFYRLSG NILSYTFQVKVDNDFNYEFYNSSWSYIKHTSTEQNTFYSWKGLFSHSRNTYGHGSAKEEI DTKMKSYKLLVVQNTVEVAQFTNNNPEFLQVAEPFWKELSHLPTLYDYSAYRRLIDQYGT HYLQSGSLGGEYRVLFYVDSGSAKETGFQSDQDNACSSADFQFLFTSSADQRCMKQLETE KSTSGNKGRLLRGKPLVRGGDSGFVADLSFLDLDNPAGNKQRYSSWAGSVTRLPQVIKEK LAPLYELVKEVPCASVKRLYLKRAIEEYFDEFDPCHCRPCQNGGLAIVVETQCQCLCKPY TFGSACEQGVLVGDQAGGVDGGWNCWSSWSPCVQGKRTRSRECNNPPPRDDGKSCLGETT ESKQCEDQDLEKLRLLEPHCFHSSLAPKEFCLSPPALKDGFVQGEGTMVPVGQSVVYACD EGYSLIGDPVARCGEDLQWLVGEMHCQKLACVLPGEMNGMQSHPQKPFYMVGEKVTLSCV GGMSLEGPSTLLCGSSLKWSPELKDAKCVQKATSTPPPAAPACQPWEKLQNSKCICKMPY ECGSSLDVCARDESRNKILSLTICKMHVVHCHKRNYTLVGKESCTLPSPAEKVCGACPIW SKCDAQNGKCICREASECQTAGYRICVEVNGKEETMSECEAGILRCRGQSISITAIKPCA EEAAQ >ENSMUSP00000050484.4 pep:known chromosome:GRCm38:13:56250505:56252163:-1 gene:ENSMUSG00000048904.4 transcript:ENSMUST00000058475.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurog1 description:neurogenin 1 [Source:MGI Symbol;Acc:MGI:107754] MPAPLETCISDLDCSSSNSSSDLSSFLTDEEDCARLQPLASTSGLSVPARRSAPALSGAS NVPGAQDEEQERRRRRGRARVRSEALLHSLRRSRRVKANDRERNRMHNLNAALDALRSVL PSFPDDTKLTKIETLRFAYNYIWALAETLRLADQGLPGGSARERLLPPQCVPCLPGPPSP ASDTESWGSGAAASPCATVASPLSDPSSPSASEDFTYGPGDPLFSFPGLPKDLLHTTPCF IPYH >ENSMUSP00000127368.1 pep:known chromosome:GRCm38:16:97855210:97922633:-1 gene:ENSMUSG00000045975.7 transcript:ENSMUST00000170757.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd2 description:C2 calcium-dependent domain containing 2 [Source:MGI Symbol;Acc:MGI:1891883] MGSWLSEVQWLFLVSLFVAALGTVGLYLAQWALAKARPPPRRRAEPDELRRRESDTLLSW ILTRDSWGNQWQAAWVTALNYEAEKRGGPLRLSFQKDPRPQSLQLTVEKVSSVVKSTQEK VVICHVVGETLQFLVSAGPASATGSECQLYDVHLSPFHLKVEFHMEEKREDIQIRWSFTH VPETAIKIQPQAPGEKQALGVNMLSEALEDLFKHLVNAASPSVFLSTKPTQVKEAQSLQC PSSTAQEPCPPKPPRAHELKLQVKNIRVSLINHPGASGLSHVCVAQLNDPEQRFISTLVR NTTDLSWEEEFTFELNAKSKELVLQISQDGCSSEGLLGIATIHLDLFRKQPNGPQTFRLI SGTEPDSLVLGSVTAEFSYVEPGELKSWPAPPPVSAAKIEKDRTVMPCGTVVTTVTAVKT KPRFDTGRATPLNSESPVRTPVTVKVIEKDISVQAISCHSAPVSKTFSSSDTELLVLNGS DPVAEVAIRQLSESSKLKLKSPRKKSTIIISGISKTSLSQDHNAALMLDYAASMDSTNQG DATSALCHPEATEASATTPPEENEPAQTLPALKPRENDLDSWELEKESPVASWSGPALQE PDGDELSESSLSTSELGAMKKHKGGLLRKGAKLFFRRRHQQKDPGLSQSHNDLVFLQQPE GRQKKGATLGRLLNRKLLTRHRGKHTMNGVPREPCI >ENSMUSP00000127859.2 pep:known chromosome:GRCm38:19:32465885:32466575:-1 gene:ENSMUSG00000062456.3 transcript:ENSMUST00000078034.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl9-ps6 description:ribosomal protein L9, pseudogene 6 [Source:MGI Symbol;Acc:MGI:3642682] MKTILSNQTVDIPENVEITLKGRTVIVKGPRGTLRRDFNHINVELSLLGKKKKRLRVDKW WGNRKELATVRTICSHVQNMIKGVTLGFQYKMRSVYAHFPINVVIQENGSLVEIRNFLGE KYIRRVRMRTGVACSVSQAQKDELILEGNDIELVSNSAALIQQATTVKNKDIRKFLDGIY VSEKGTVQQADE >ENSMUSP00000097013.3 pep:known chromosome:GRCm38:13:66352469:66355385:-1 gene:ENSMUSG00000094499.1 transcript:ENSMUST00000099416.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r-ps104 description:vomeronasal 2, receptor, pseudogene 104 [Source:MGI Symbol;Acc:MGI:3761523] MSSQLKEISTLISSWDCHFCTPQSTSEWTALHKCDMALSEDCYSLYKAVYVVVHTYHELM LQQVESQKIAEPKRLFTDCQQMVSLLKAQVFTNPDGELVNMNHREYQCVEYDICIIWNFR QGLGLKVKIGSYFPCFPQTQQLLISEDLEWATGGTSVPFSMCSVACTAGFRKIHQEETAD CCFDCVQCPENEVSNETGTCLHAEEKLLNLNVFSFQTRNIWSR >ENSMUSP00000093559.2 pep:known chromosome:GRCm38:10:3119841:3129546:1 gene:ENSMUSG00000071434.2 transcript:ENSMUST00000095874.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9230019H11Rik description:RIKEN cDNA 9230019H11 gene [Source:MGI Symbol;Acc:MGI:3588256] MTKAADTKHHHSMIQRLLILLSCGYTKLLAQSPTLCCSFDVNNTFNDNVTSGLWNYEVQG EVKTVPFILNRNNKCHVTSDFENRLNATEICEKQLHSLQGQVYHFQDVLLQMRGENNTIR EPLTLQSIVCGWYADERFMGSWKVCLNGSKIFHGDIKRWLHIYSGTNWTEEILEKIKNLN DFLNRTSQGEFKNKFKEYNLHCKENQEPTALSTTADVGRPSSRACTSNPSVLLIMLSCFL LYVF >ENSMUSP00000085634.2 pep:known chromosome:GRCm38:16:48073444:48074187:1 gene:ENSMUSG00000067716.2 transcript:ENSMUST00000088296.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7275 description:predicted gene 7275 [Source:MGI Symbol;Acc:MGI:3643404] MAVLAAEKKPLTDQERGREMDQILDISEDMEKEIENALGPGPQEEILSSRFKLQITRGDI QTLENGQWLKDKVINFYMNLLVERNENQSYPALHAFSTFFYPKLKHNGYSSVKRWTRGIN LFEKELILVPIHQKVHWSLVVINLRKRSIVYLDSMGQTGKFICETIFQYFQNESKTRRSI ELDPLEWKQYSVTSEEIPLQLNGSDCGMFTCKYADYITRDQPVTFSQQHMPTFRKRMVWE ILHSQLL >ENSMUSP00000022331.2 pep:known chromosome:GRCm38:14:34592343:34600142:-1 gene:ENSMUSG00000021799.8 transcript:ENSMUST00000022331.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opn4 description:opsin 4 (melanopsin) [Source:MGI Symbol;Acc:MGI:1353425] MDSPSGPRVLSSLTQDPSFTTSPALQGIWNGTQNVSVRAQLLSVSPTTSAHQAAAWVPFP TVDVPDHAHYTLGTVILLVGLTGMLGNLTVIYTFCRNRGLRTPANMFIINLAVSDFLMSV TQAPVFFASSLYKKWLFGETGCEFYAFCGAVFGITSMITLTAIAMDRYLVITRPLATIGR GSKRRTALVLLGVWLYALAWSLPPFFGWSAYVPEGLLTSCSWDYMTFTPQVRAYTMLLFC FVFFLPLLIIIFCYIFIFRAIRETGRACEGCGESPLRQRRQWQRLQSEWKMAKVALIVIL LFVLSWAPYSTVALVAFAGYSHILTPYMSSVPAVIAKASAIHNPIIYAITHPKYRVAIAQ HLPCLGVLLGVSGQRSHPSLSYRSTHRSTLSSQSSDLSWISGRKRQESLGSESEVGWTDT ETTAAWGAAQQASGQSFCSQNLEDGELKASSSPQVQRSKTPKVPGPSTCRPMKGQGARPS SLRGDQKGRLAVCTGLSECPHPHTSQFPLAFLEDDVTLRHL >ENSMUSP00000126136.1 pep:known chromosome:GRCm38:14:34590618:34600142:-1 gene:ENSMUSG00000021799.8 transcript:ENSMUST00000168444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opn4 description:opsin 4 (melanopsin) [Source:MGI Symbol;Acc:MGI:1353425] MDSPSGPRVLSSLTQDPSFTTSPALQGIWNGTQNVSVRAQLLSVSPTTSAHQAAAWVPFP TVDVPDHAHYTLGTVILLVGLTGMLGNLTVIYTFCRNRGLRTPANMFIINLAVSDFLMSV TQAPVFFASSLYKKWLFGETGCEFYAFCGAVFGITSMITLTAIAMDRYLVITRPLATIGR GSKRRTALVLLGVWLYALAWSLPPFFGWSAYVPEGLLTSCSWDYMTFTPQVRAYTMLLFC FVFFLPLLIIIFCYIFIFRAIRETGRACEGCGESPLRQRRQWQRLQSEWKMAKVALIVIL LFVLSWAPYSTVALVAFAGYSHILTPYMSSVPAVIAKASAIHNPIIYAITHPKYRVAIAQ HLPCLGVLLGVSGQRSHPSLSYRSTHRSTLSSQSSDLSWISGRKRQESLGSESEVGWTDT ETTAAWGAAQQASGQSFCSQNLEDGELKASSSPQTKGHLPSLDLGM >ENSMUSP00000130678.1 pep:known chromosome:GRCm38:15:52477614:52478228:-1 gene:ENSMUSG00000057262.1 transcript:ENSMUST00000081993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10020 description:predicted pseudogene 10020 [Source:MGI Symbol;Acc:MGI:3642192] MGAYKYIQELWRKKQSDVMRFLLRVRCWQYRQLSALHRAPRPTRPDKARRLGYKAKQGYV IYRIRVRSGGRKRPVPKGATYGKPVHHGVNQLKFARSLQSVAEERAGRHCGALRVLNSYW VGEDSTYKFFEVILIDPFHKAIRRNPDTQWITKPVHKHREMRGLTSAGRKSRGLGKGHKF HHTIGGSRRAAWRRRNTLQLHRYR >ENSMUSP00000005185.6 pep:known chromosome:GRCm38:10:78425670:78427619:1 gene:ENSMUSG00000005054.6 transcript:ENSMUST00000005185.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstb description:cystatin B [Source:MGI Symbol;Acc:MGI:109514] MMCGAPSATMPATAETQEVADQVKSQLESKENQKFDVFKAISFKRQIVAGTNLFIKVDVG GDKCVHLRVFQPLPHENKPLTLSSYQTNKERHDELSYF >ENSMUSP00000026008.7 pep:known chromosome:GRCm38:19:46501648:46516444:1 gene:ENSMUSG00000025034.8 transcript:ENSMUST00000026008.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim8 description:tripartite motif-containing 8 [Source:MGI Symbol;Acc:MGI:1933302] MAENWKNCFEEELICPICLHVFVEPVQLPCKHNFCRGCIGEAWAKDSGLVRCPECNQAYN QKPGLEKNLKLTNIVEKFNALHVEKPPTALHCVFCRRGPPLPAQKVCLRCEAPCCQSHVQ THLQQPSTARGHLLVEADDVRAWSCPQHNAYRLYHCEAEQVAVCQYCCYYSGAHQGHSVC DVEIRRNEIRKMLMKQQERLEEREQDIEDQLYKLESDKRLVEEKVSQLKEEVRLQYEKLH QLLDEDLRQTVEVLDKAQAKFCSENAAQALHLGERMQEAKKLLGSLQRLFDKTEDVGFMK NTKSVKILMDRTQTCTGSSLSPPKIGHLNSKLFLNEVAKKEKQLRKMLEGPFSTPVPFLQ SVPLYPCGVNSSGAEKRKHSTAFPEASFLETSSGPVGGQYGAAGTASSEGQSGQPLGPCS STQHLVALPGGTQPVHSSPVFPPSQYPNGSTTQQPMLPQYGGRKILVCSVDNCYCSSVAN HGGHQPYPRSGHFPWTVPSQEYSHPLPPTPSVPQSLPGLAVRDWLDASQQPGHQDFYRVY GQPSTKHYVTS >ENSMUSP00000068236.2 pep:known chromosome:GRCm38:16:91168197:91171401:-1 gene:ENSMUSG00000052471.2 transcript:ENSMUST00000068783.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9881 description:predicted gene 9881 [Source:MGI Symbol;Acc:MGI:3641832] MMSSHRLVVTSHFMSWSNPLRLTHLLPKSLVSFFSLLGPLYVACGGIKPFLQSKICSNFP QHFTLKDIYIMRVFCLHVCICLYPVNTCSYRDQKRPLGPLELYIDGCEPPRGCWVPLKSN KCSNHFAISLSLLYPFNPPSFPPPFTPSLPPSPSSARNFNYILESPQTGCYCVKQRTGTH KVVLTENLDHNLPDRTKQTCPLLCPCVYLRG >ENSMUSP00000049497.3 pep:known chromosome:GRCm38:8:95534100:95558888:1 gene:ENSMUSG00000036598.3 transcript:ENSMUST00000041569.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc113 description:coiled-coil domain containing 113 [Source:MGI Symbol;Acc:MGI:3606076] MSEEDSDSVITDSQAEEEGELPVIQLCGLVEELSYGNSALKTETEMFEKYYSRLEPRDHR MTRLSDIKITAAEFSQLRSRRKSKARGGLDRTLGLTVEQKLELVQKELEDTRDEIRHMRA NAERDLQHHEAIIEEADIRWNEVQKAVHEFEKDILKTISKKKGSILATQKVMKYIEDMNR RRDNIKDKLCLKNVSLKVQRKKMLSQLRQKEEVGEALHDVDFQQLKIENAQFLETIEARN KELIQLKLASGNTLQVLNTYKNKLHRAMEIYVNLDKEILLRNELLGKIEKETIQAEEDRA KAHILNDKLRKQLAEFRAPQVMMYVKEKILNGELEKTIKMWERKVEIAEMSLKGYRKAWN KMKTSDEQLQIIRPPGK >ENSMUSP00000137857.1 pep:known chromosome:GRCm38:9:114515697:114516424:1 gene:ENSMUSG00000097821.1 transcript:ENSMUST00000180393.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C130032M10Rik description:RIKEN cDNA C130032M10 gene [Source:MGI Symbol;Acc:MGI:3642482] RELTGEAVSPFTFHNSSHSRSSRAFLWRAVTALTSDWTTFAFISTCSATVWACSMLSCKS KQNLETLEGTSPPTSHTATSKRPVGLVLAGTRGCVTESCWQRLSLFVSEVAPPVSIRLLR NTL >ENSMUSP00000105896.1 pep:known chromosome:GRCm38:15:44671859:44752458:-1 gene:ENSMUSG00000022340.14 transcript:ENSMUST00000110267.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sybu description:syntabulin (syntaxin-interacting) [Source:MGI Symbol;Acc:MGI:2442392] MGPLRESKKEQRVQHQEKEISRSRIPRLILRPHRPQQQQQQQNKVSPASESPFSEEESRE FNPSSSGRSARTISSNSFCSDDTGCPSSQSVSPVKTPSDTGHSPIGFCPGSDEDFTRKKC RIGMVGEGSIQSARHKKEPKGGIIKPGSEADFSSSSSTGSISAPEVHMSTTGNKRASFSR NRGPHGRSNGASSHKSGSSPPSPREKDLVSMLCRNPLSPSNIHPSYAPSSPSSSNSGSYK GSDCSPVMRRSGRYMSCGENHGVKPPNPEQYLTPLQQKEVTVRHLRTKLKESERRLHERE SEIMELKSQLARMREDWIEEECHRVEAQLALKEARKEIKQLKQVIETMRSSLADKDKGIQ KYFVDINIQNKKLESLLQSMEMAHNSSLRDELCLDFSFDSPEKSLPLSSTFDKLPDGLSL EEQITEEGADSELLVGDSMAEGTDLLDEMVTATTTESSGLEFVHSTPGPQALKALPLVSH EEGIAVMEQAVQTDVVPFSPAISELIQSVLKLQDYCPTSSASPDESGADSMESFSESISA LMLDLTPRSPNSAILLSPVEIPFSKGAMEAHANRLMRELDFAAYTEERLDSVLSLSQGSV VRQYWSSNFLVDLLAVAAPVVPTVLWAFSTQRGGTDPVYNIGALLRGCCVVALHSLRRTA FHMKT >ENSMUSP00000105898.1 pep:known chromosome:GRCm38:15:44671859:44788063:-1 gene:ENSMUSG00000022340.14 transcript:ENSMUST00000110269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sybu description:syntabulin (syntaxin-interacting) [Source:MGI Symbol;Acc:MGI:2442392] MDTVCKGNDDTGCPSSQSVSPVKTPSDTGHSPIGFCPGSDEDFTRKKCRIGMVGEGSIQS ARHKKEPKGGIIKPGSEADFSSSSSTGSISAPEVHMSTTGNKRASFSRNRGPHGRSNGAS SHKSGSSPPSPREKDLVSMLCRNPLSPSNIHPSYAPSSPSSSNSGSYKGSDCSPVMRRSG RYMSCGENHGVKPPNPEQYLTPLQQKEVTVRHLRTKLKESERRLHERESEIMELKSQLAR MREDWIEEECHRVEAQLALKEARKEIKQLKQVIETMRSSLADKDKGIQKYFVDINIQNKK LESLLQSMEMAHNSSLRDELCLDFSFDSPEKSLPLSSTFDKLPDGLSLEEQITEEGADSE LLVGDSMAEGTDLLDEMVTATTTESSGLEFVHSTPGPQALKALPLVSHEEGIAVMEQAVQ TDVVPFSPAISELIQSVLKLQDYCPTSSASPDESGADSMESFSESISALMLDLTPRSPNS AILLSPVEIPFSKGAMEAHANRLMRELDFAAYTEERLDSVLSLSQGSVVRQYWSSNFLVD LLAVAAPVVPTVLWAFSTQRGGTDPVYNIGALLRGCCVVALHSLRRTAFHMKT >ENSMUSP00000087511.4 pep:known chromosome:GRCm38:15:44671859:44788063:-1 gene:ENSMUSG00000022340.14 transcript:ENSMUST00000090057.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sybu description:syntabulin (syntaxin-interacting) [Source:MGI Symbol;Acc:MGI:2442392] MRPHLPVQSLRPPATVPTCSEAPGAAVLAPEVKRPRGPERAGSCRTTCANRAGGAGGAGR GWFLQPQRKPLATRCVAGRRPSPAQASRAFGDTVWTAQWTRSAKETVPPPGRRRRRQRRR GEPAGSSEMGPLRESKKEQRVQHQEKEISRSRIPRLILRPHRPQQQQQQQNKVSPASESP FSEEESREFNPSSSGRSARTISSNSFCSDDTGCPSSQSVSPVKTPSDTGHSPIGFCPGSD EDFTRKKCRIGMVGEGSIQSARHKKEPKGGIIKPGSEADFSSSSSTGSISAPEVHMSTTG NKRASFSRNRGPHGRSNGASSHKSGSSPPSPREKDLVSMLCRNPLSPSNIHPSYAPSSPS SSNSGSYKGSDCSPVMRRSGRYMSCGENHGVKPPNPEQYLTPLQQKEVTVRHLRTKLKES ERRLHERESEIMELKSQLARMREDWIEEECHRVEAQLALKEARKEIKQLKQVIETMRSSL ADKDKGIQKYFVDINIQNKKLESLLQSMEMAHNSSLRDELCLDFSFDSPEKSLPLSSTFD KLPDGLSLEEQITEEGADSELLVGDSMAEGTDLLDEMVTATTTESSGLEFVHSTPGPQAL KALPLVSHEEGIAVMEQAVQTDVVPFSPAISELIQSVLKLQDYCPTSSASPDESGADSME SFSESISALMLDLTPRSPNSAILLSPVEIPFSKGAMEAHANRLMRELDFAAYTEERLDSV LSLSQGSVVRQYWSSNFLVDLLAVAAPVVPTVLWAFSTQRGGTDPVYNIGALLRGCCVVA LHSLRRTAFHMKT >ENSMUSP00000048124.5 pep:known chromosome:GRCm38:13:93147400:93192283:-1 gene:ENSMUSG00000042167.5 transcript:ENSMUST00000048702.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papd4 description:PAP associated domain containing 4 [Source:MGI Symbol;Acc:MGI:2140950] MFPNSILGRPPFTPTHQQHNNFFALSPTLYSHQQLIDAQFNFQNVDLSRAVSLQPLTYGT VSPIQTSTSPLFRGRKRISDEKAFPLDGKRQRFHSPHQEPTIINQLVPLSGDRRYSMPPL FHTHYIPDIVRCVPPLREIPLLEPREITLPEAKDKLSQQILELFETCQQQASDLKKKELC RAQLQREIQLLFPQSRLFLVGSSLNGFGARSSDGDLCLVVKEEPCFFQVNQKTEARHILT LVHKHFCTRLSGYIERPQLIRAKVPIVKFRDKVSCVEFDLNVNNTVGIRNTFLLRTYAYL ENRVRPLVLVIKKWASHHDINDASRGTLSSYSLVLMVLHYLQTLPEPILPSLQKIYPESF STSVQLHLVHHAPCNVPPYLSKNESSLGDLLLGFLKYYATEFDWNTQMISVREAKAIPRP DDMEWRNKYICVEEPFDGTNTARAVHEKQKFDMIKDQFLKSWQRLKNKRDLNSVLPLRAA TLKR >ENSMUSP00000043245.5 pep:known chromosome:GRCm38:14:121878606:122021034:1 gene:ENSMUSG00000041765.5 transcript:ENSMUST00000039803.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubac2 description:ubiquitin associated domain containing 2 [Source:MGI Symbol;Acc:MGI:1916139] MFTSTGSSGLYKAPLSKSLLLVPSALSLLLTLLLPHCQKFFVYDLHAVKHDLQIWRLICG RIICLDLKDAFCSGLLIYNFRIFERRYGSRKFASFLLGSWVLSALFDFILVEAVQYSLGV TVASNLPSGFLAPVFALFVPFHCSIPRVQVAQILGPLSITNKTLIYILGLQLFTSGSYIW IVAMSGLISGMCYDRKVLQVHQVLRIPGRMAEFFSWALEPIFSSSEPTSEARVGMGATVD IQRQQRMEQLDRQLMLSQFAQVRRQRQQQGGMINWNRLFPPLRQRRNINYQDGPRSEQRA SPPLEVSEEQVARLMEMGFSRGDALEALRASNNDLNVATNFLLQH >ENSMUSP00000073910.6 pep:known chromosome:GRCm38:18:36528157:36541293:1 gene:ENSMUSG00000024485.15 transcript:ENSMUST00000074298.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a9 description:solute carrier family 4, sodium bicarbonate cotransporter, member 9 [Source:MGI Symbol;Acc:MGI:2443384] MKLPGQGDFESSDAHENAHSEEPDSGLGPGPGLNGPSGIDIGESQVSKDPLLFIQLNELL GWPQALEWRETGRWLLFEEKLDMGAGRWSAPHVPTLELPSLQKLRSLLAEGIVLLDCQAQ SLLELVEQVVSGESLSPELRGQLQALLLQRPQHHIQTMGIRPCRESNAFRKASRDEDAPL KHQNPLRQKLPAGAEAAAVLAGELGFLEQPLGAFVRLRNPIVLEPLTEMILPSRFFCLLL GPPTLGRSYHEMGRAAAVLLSDPQFQWSVRRASHLPDLLAALDAFLQEVTALPPGRWDRT ARIPPPKYLPSQHKRFPSKLQEVTSLSRQSAALAEDKHHHGPHTPIPELQRTGSVSQLCS TFTWPQSQTPSLLGVFWEMPLKVPRDYSLDYLPFRLWVGIWVTAFCLALVATEASLLVRY FTRFTEEGFCALISLIFIYDAMGKMLNLIRAYPIQRPGSSAYGCFCQYPGTGGNTSEWTS AKLKDTEDILSVPGLVNASFLPPPECIRQGGHPLGPSCHTVPDIAFFSLLLFFTSFLCAI ALKHIKNSRFFPSVVRKVLGDFSSVLAILLGCGLDTFLGLATPKLLVPTEFKPTLSGRGW LVSPFGANPWWLSVAAALPALLLSILIFMDQQITAVILNRAEYRLQKGAGFHLDLFCVAV LMLFTSALGLPWYVSATVISLAHIDSLRRESKACIPGEAPNFLGIREQRLTGLVVFVLTG VSIFLAPVLKFIPMPVLYGIFLYMGVAALSSIQFVKRVQLLLMPRKHQPDMLLLRHVPLS RVHLFTAIQLACLGLLWVVKSTPAAIVFPLMLLGLVAIRKALEWVFSPQELLWLDELMPE EEETIPENRSEPEHLFSGNDSEDSELMYQPKAPEINISVN >ENSMUSP00000111358.2 pep:known chromosome:GRCm38:18:36528157:36541293:1 gene:ENSMUSG00000024485.15 transcript:ENSMUST00000115694.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a9 description:solute carrier family 4, sodium bicarbonate cotransporter, member 9 [Source:MGI Symbol;Acc:MGI:2443384] MKLPGQGDFESSDAHENAHSEEPDSGLGPGPGLNGPSGIDIGESQVSKDPLLFIQLNELL GWPQALEWRETGRWLLFEEKLDMGAGRWSAPHVPTLELPSLQKLRSLLAEGIVLLDCQAQ SLLELVEQVVSGESLSPELRGQLQALLLQRPQHHIQTMGIRPCRESNAFRKASRDEDAPL KHQNPLRQKLPAGAEAAAVLAGELGFLEQPLGAFVRLRNPIVLEPLTEMILPSRFFCLLL GPPTLGRSYHEMGRAAAVLLSDPQFQWSVRRASHLPDLLAALDAFLQEVTALPPGRWDRT ARIPPPKYLPSQHKRFPSKLQEVTSLSRQSAALAEDKHHHGPHTPIPELQRTGRLFGGLI QDVRRKACWYTSDFLDALHPQCFSAVFYIYLATVTNAITFGGLLGDATEGAQGVLESFLG TAVAGAAFCLMAGQPLTILSSTGPVLVFERLLFSFSRDYSLDYLPFRLWVGIWVTAFCLA LVATEASLLVRYFTRFTEEGFCALISLIFIYDAMGKMLNLIRAYPIQRPGSSAYGCFCQY PGTGGNTSEWTSAKLKDTEDILSVPGLVNASFLPPPECIRQGGHPLGPSCHTVPDIAFFS LLLFFTSFLCAIALKHIKNSRFFPSVVRKVLGDFSSVLAILLGCGLDTFLGLATPKLLVP TEFKPTLSGRGWLVSPFGANPWWLSVAAALPALLLSILIFMDQQITAVILNRAEYRLQKG AGFHLDLFCVAVLMLFTSALGLPWYVSATVISLAHIDSLRRESKACIPGEAPNFLGIREQ RLTGLVVFVLTGVSIFLAPVLKFVKRVQLLLMPRKHQPDMLLLRHVPLSRVHLFTAIQLA CLGLLWVVKSTPAAIVFPLMLLGLVAIRKALEWVFSPQELLWLDELMPEEEETIPENRSE PEHLFSGNDSEDSELMYQPKAPEINISVN >ENSMUSP00000103371.1 pep:known chromosome:GRCm38:7:45684414:45694554:1 gene:ENSMUSG00000070564.14 transcript:ENSMUST00000107742.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntn5 description:netrin 5 [Source:MGI Symbol;Acc:MGI:2685330] MTDYRTLFSSPGAGSTVTTPITLSLLLLLSQATSDPCYDPGGRPRFCLPPVTQLVGKAAA PCSQTCALPAASPGPACNSSLTLDLDGSFLLTSVTLRFCTAGPPALVLSAAWATGGPWRP LWRRPAWPGALGGPKKVTFHSPPGPKTRIVASYLRVEFGGKAGLVTTGVRGRCQCHGHAA RCATRAQPPRCRCRHHTTGPGCESCRPSHRDWPWRPATPQHPHPCLPCQCHPIGATGGMC NQTSGQCSCKLGVTGLTCNRCGPGYQQSRSPRMPCQRIPEATTTPATTPVASRSDPQCQG YCNVSVSSVHMSLQRYCQQDYVLHAQVSASSSQPSEAVGPEWWRLAVHVLAVFKQRAWPV RRGGQEAWVPRADLICGCLRLRPGADYLLLGRAAQTHDDDNYDPARLILNRHGLALPWRP RWARPLRRLQQKERGGACRGLLPPTRSPGPRN >ENSMUSP00000061501.7 pep:known chromosome:GRCm38:16:31092413:31201238:-1 gene:ENSMUSG00000049076.9 transcript:ENSMUST00000058033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acap2 description:ArfGAP with coiled-coil, ankyrin repeat and PH domains 2 [Source:MGI Symbol;Acc:MGI:1925868] MKMTVDFEECLKDSPRFRAALEEVEGDVAELELKLDKLVKLCIAMIDTGKAFCVANKQFM NGIRDLAQYSSNDAVVETSLTKFSDSLQEMINFHTILFDQTQRSIKAQLQNFVKEDLRKF KDAKKQFEKVSEEKENALVKNAQVQRNKQHEVEEAANILTATRKCFRHIALDYVLQINVL QSKRRSEILKSMLSFMYAHLAFFHQGYDLFSELGPYMKDLGAQLDRLVVDAAKEKREMEQ KHSTIQQKDFSSDDSKLEYNVDAANGIVMEGYLFKRASNAFKTWNRRWFSIQNNQLVYQK KFKDSPTVVVEDLRLCTVKHCEDIERRFCFEVVSPTKSCMLQADSEKLRQAWIKAVQTSI ATAYREKGDESEKLDKKSSPSTGSLDSGNESKEKLLKGESALQRVQCIPGNTSCCDCGLA DPRWASINLGITLCIECSGIHRSLGVHFSKVRSLTLDTWEPELLKLMCELGNDVINRVYE AKLEKMGVKKPQPGQRQEKEAYIRAKYVERKFVDKYSALLSPSEQEKRIISKSCEDQRLS HARASVHTPVKSNDSGIQQCSEDGRESLPSTVSANSLYEPEGERQESSVFLDSKHLNPGL QLYRASYEKNLPKMAEALAHGADVNWANSDENQATPLIQAVLGGSLVTCEFLLQNGANVN QRDVQGRGPLHHATVLGHTGQVCLFLKRGANQHATDEEGKDPLSIAVEAANADIVTLLRL ARMNEEMRESEGLYGQPGDETYQDIFRDFSQMASNNPEKLNRFQQDSQKF >ENSMUSP00000071370.5 pep:known chromosome:GRCm38:19:56548261:56598846:1 gene:ENSMUSG00000025078.8 transcript:ENSMUST00000071423.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhlrc2 description:NHL repeat containing 2 [Source:MGI Symbol;Acc:MGI:1914116] MAAPGARGASLSGLLPAQTSLEYALLDAVTQQEKDELVYQYLQKVDGWEQDLAVPEFPEG LEWLNTEEPLSIYKDLCGKVVVLDFFTYCCINCIHVLPDLHALERRFSDKDGLLIVGVHS AKFPNEKVLDNIKSAVLRYNITHPVVNDADASLWQELEVSCWPTLVILGPRGNLLFSLIG EGHRDKLFSYTSIALKYYKDRGQIRDGKIGIKLFKESLPPSPLLFPGKVAVDHATGRLVV ADTGHHRILVIQKNGRIQSSIGGPNPGRKDGMFSESSFNSPQGVAIADNVIYVADTENHL IRKIDLEAEKVTTVAGVGIQGTDTEGGEEGDKQPISSPWDVALGTSGSEVQRNDILWIAM AGTHQIWALLLDSGTLPKKSDLKKGTCIRFAGSGNEENRNNAYPHKAGFAQPSGLALASE EPWSCLFVADSESSTVRTVSLRDGAVKHLVGGERDPMNLFAFGDVDGAGINAKLQHPLGV AWDEERQVLYVADSYNHKIKVVDPKTKGCTTLAGTGDASDASSSFAESAFNEPGGLCIGE SGRLLYVADTNNHQIKVMDLEARTVSVLPVCKSDSAVVDGSFPREKQKTVPKVPKSAAHI GLPPVTVHPGQALQLRLKLQLPPGAKLTEGAPSCWFLEAEGNEWLLQEQTPSGDIENISN QPAISLQIPTHCLSLEAVVSVVVFLYYCSADSSACMMKGVVFRQPLQITSTQPACAAPVE LAYAF >ENSMUSP00000059039.9 pep:known chromosome:GRCm38:8:70597491:70608314:-1 gene:ENSMUSG00000070003.6 transcript:ENSMUST00000049908.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssbp4 description:single stranded DNA binding protein 4 [Source:MGI Symbol;Acc:MGI:1924150] MYGKASKGCAPSDGQAREKLALYVYEYLLHVGAQKSAQTFLSEIRWEKNITLGEPPGFLH SWWCVFWDLYCAAPDRREACEHSSEAKVFQDYSAAAAPSPVMGAMTPNDAMAAGPVAPGF FQPFMSPRFPGGARPILRMPGQPPVGLPGSQPLIPAAMDPSPRVQGHPSLGGPMQRVTPP RGMASVGPQGYGTGMRPPPNSLATSQVLPSMNMGPGVRGPWASPSGNSIPYSSSSPGSYS GPAGGGGAPGTPIMPSPGDSTNSSENMYTIMNPIGPGAGRANFPLGPSPEGPMASMSTME PHHVNGSLGSGDMDGLPKNSPGAVGGLSNAPGTPRDDGEMAAAGTFLHPFPSESYSPGMT MSV >ENSMUSP00000002850.5 pep:known chromosome:GRCm38:7:45976380:46030286:-1 gene:ENSMUSG00000030834.6 transcript:ENSMUST00000002850.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc6 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 6 [Source:MGI Symbol;Acc:MGI:1351634] MNRGRSMATPGEQCAGLRVWNQTEQEPAAYHLLSLCFVRAASSWVPPMYLWVLGPIYLLY IHRHGRCYLRMSHLFKTKMVLGLALILLYTFNVAVPLWRIHQGVPQAPELLIHPTVWLTT MSFATFLIHMERRKGVRSSGVLFGYWLLCCILPGINTVQQASAGNFRQEPLHHLATYLCL SLVVAELVLSCLVDQPPFFSEDSQPLNPCPEAEASFPSKAMFWWASGLLWRGYKKLLGPK DLWSLGRENSSEELVSQLEREWRRSCNGLPGHKGHSSVGAPETEAFLQPERSQRGPLLRA IWRVFRSTFLLGTLSLVISDAFRFAVPKLLSLFLEFMGDRNSSAWTGWLLAVLMFAAACL QTLFEQQHMYRAKVLQMRLRTAITGLVYRKVLVLSSGSRKSSAAGDVVNLVSVDIQRLAE SIIYLNGLWLLFLWIFVCFVYLWQLLGPSALTAVAVFLSLLPLNFFITKKRGFHQEEQMR QKASRARLTSSMLRTVRTIKSHGWEHAFLERLLHIRGQELSALKTSTLLFSVSLVSFQVS TFLVALVVFAVHTLVAEDNAMDAEKAFVTLTVLSILNKAQAFLPFSVHCIVQARVSFDRL AAFLCLEEVDPNGMIASNSRRSSKDRISVHNGTFAWSQESPPCLHGINLTVPQGCLLAVV GPVGAGKSSLLSALLGELLKVEGSVSIEGSVAYVPQEAWVQNTSVVENVCFRQELDLPWL QKVLDACALGSDVASFPAGVHTPIGEQGMNLSGGQKQRLSLARAVYKKAAIYLLDDPLAA LDAHVSQQVFKQVIGPSGLLQGTTRILVTHTLHVLPQADRILVLANGTIAEMGSYQDLLQ RNGALVGLLDGARQPAGTHDAATSDDLGGFPGGGRPTCRPDRPRPTEAAPVKGRSTSEVQ MEASLDDPEATGLTAEEDSVRYGRVKTTIYLSYLRAVGTPLCTYTLFLFLCQQVASFSQG YWLSLWADDPVVDGRQMHAALRGWVFGLLGCLQAIGLFASMAAVFLGGARASGLLFRSLL WDVARSPIGFFERTPVGNLLNRFSKETDTVDVDIPDKLRSLLTYAFGLLEVGLAVTMATP LAIVAILPLMVLYAGFQSLYVATSCQLRRLESARYSSVCSHMAETFQGSLVVRAFRAQAS FTAQHDALMDENQRVSFPKLVADRWLATNLELLGNGLVFVAATCAVLSKAHLSAGLVGFS VSAALQVTQTLQWVVRSWTDLENSMVAVERVQDYARIPKEAPWRLPTCAAQPLWPCGGQI EFRDFGLRHRPELPLAVQGVSLKIHAGEKVGIVGRTGAGKSSLAWGLLRLQEAAEGNIWI DGVPITHVGLHTLRSRITIIPQDPVLFPGSLRMNLDLLQEHTDEGIWAALETVQLKAFVT SLPGQLQYECAGQGDDLSVGQKQLLCLARALLRKTQILILDEATASVDPGTEMQMQAALE RWFTQCTVLLIAHRLRSVMDCARVLVMDEGQVAESGSPAQLLAQKGLFYRLAHESGLA >ENSMUSP00000126762.2 pep:known chromosome:GRCm38:4:42629719:42631714:1 gene:ENSMUSG00000091938.2 transcript:ENSMUST00000166898.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2564 description:predicted gene 2564 [Source:MGI Symbol;Acc:MGI:3708691] TAPRVTPLLAFSLLVLWTFPAPTLGGANDAEDCCLSVTQRPIPGNIVKAFRYLLNEDGCR VPAVVFTTLRGYQLCAPPDQPWVDRIIRRLKKSSAKNKGNSTRRSPVS >ENSMUSP00000023065.6 pep:known chromosome:GRCm38:15:79561500:79605084:-1 gene:ENSMUSG00000022429.10 transcript:ENSMUST00000023065.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmc1 description:DNA meiotic recombinase 1 [Source:MGI Symbol;Acc:MGI:105393] MKEDQVVQEESGFQDDEESLFQDIDLLQKHGINMADIKKLKSVGICTIKGIQMTTRRALC NVKGLSEAKVEKIKEAANKLIEPGFLTAFQYSERRKMVFHITTGSQEFDKLLGGGIESMA ITEAFGEFRTGKTQLSHTLCVTAQLPGTGGYSGGKIIFIDTENTFRPDRLRDIADRFNVD HEAVLDNVLYARAYTSEHQMELLDYVAAKFHEEAGIFKLLIIDSIMALFRVDFSGRGELA ERQQKLAQMLSRLQKISEEYNVAVFVTNQMTADPGATMTFQADPKKPIGGHILAHASTTR ISLRKGRGELRIAKIYDSPEMPENEATFAITAGGIGDAKE >ENSMUSP00000034700.7 pep:known chromosome:GRCm38:9:21588709:21592831:-1 gene:ENSMUSG00000032182.14 transcript:ENSMUST00000034700.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf2 description:Yip1 domain family, member 2 [Source:MGI Symbol;Acc:MGI:1922016] MAAADELAFHEFEEATNLLAETPDAATTSQSDELTSREHVAVVVGSGIGYGAEVGEEEDD KTSLLQEEKPQPRFWTFDYYQSFFDVDTSQVLDRIKGSLLPHPGHNFVRHHLRNRPDLYG PFWICATLAFVLAVTGNLTLVLAQRRDPSIHYSPQFHKVTIAGITIYCYAWLVPLALWGF LRWRQGTRERMGLYTFLETVCVYGYSLFVFIPTVVLWLIPVQWIQWLFGALGLALSAAGL VFTLWPVVREDTRLVAAALLSTVVLLHALLALGCKLYFFQPLPLDHVVPAPQATPPSPNV LLPSSIQPMTTS >ENSMUSP00000136463.1 pep:known chromosome:GRCm38:9:21588709:21592831:-1 gene:ENSMUSG00000032182.14 transcript:ENSMUST00000180365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf2 description:Yip1 domain family, member 2 [Source:MGI Symbol;Acc:MGI:1922016] MAAADELAFHEFEEATNLLAETPDAATTSQSDELTSREHVAVVVGSGIGYGAEVGEEEDD KTSLLQEEKPQPRFWTFDYYQSFFDVDTSQVLDRIKGSLLPHPGHNFVRHHLRNRPDLYG PFWICATLAFVLAVTGNLTLVLAQRRDPSIHYSPQFHKVTIAGITIYCYAWLVPLALWGF LRWRQGTRERMGLYTFLETVCVYGYSLFVFIPTVVLWLIPVQWIQWLFGALGLALSAAGL VFTLWPVVREDTRLVAAALLSTVVLLHALLALGCKLYFFQPLPLDHVVPAPQATPPSPNV LLPSSIQPMTTS >ENSMUSP00000077649.7 pep:known chromosome:GRCm38:9:21588709:21592831:-1 gene:ENSMUSG00000032182.14 transcript:ENSMUST00000078572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf2 description:Yip1 domain family, member 2 [Source:MGI Symbol;Acc:MGI:1922016] MAAADELAFHEFEEATNLLAETPDAATTSQSDELTSREHVAVVVGSGIGYGAEVGEEEDD KTSLLQEEKPQPRFWTFDYYQSFFDVDTSQVLDRIKGSLLPHPGHNFVRHHLRNRPDLYG PFWICATLAFVLAVTGNLTLVLAQRRDPSIHYSPQFHKVTIAGITIYCYAWLVPLALWGF LRWRQGTRERMGLYTFLETVCVYGYSLFVFIPTVVLWLIPVQWIQWLFGALGLALSAAGL VFTLWPVVREDTRLVAAALLSTVVLLHALLALGCKLYFFQPLPLDHVVPAPQATPPSPNV LLPSSIQPMTTS >ENSMUSP00000015003.8 pep:known chromosome:GRCm38:8:105297663:105305369:1 gene:ENSMUSG00000014859.8 transcript:ENSMUST00000015003.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f4 description:E2F transcription factor 4 [Source:MGI Symbol;Acc:MGI:103012] MAEAGPQAPPPPGTPSRHEKSLGLLTTKFVSLLQEAKDGVLDLKLAADTLAVRQKRRIYD ITNVLEGIGLIEKKSKNSIQWKGVGPGCNTREIADKLIELKAEIEELQQREQELDQHKVW VQQSIRNVTEDVQNSCLAYVTHEDICRCFAGDTLLAIRAPSGTSLEVPIPEGLNGQKKYQ IHLKSMSGPIEVLLVNKEAWSSPPVAVPVPPPDDLLQSPPAVSTPPPLPKPALAQPQESS PPSSPQLTTPTPVLGSTQVSEVACQTSEIAVSGSPGTENKDSGEVSSLPLGLTALDTRPL QSSALLDSSSSSSSSSSSSSSSSSGPNPSTSFEPIKADPTGVLDLPKELSEIFDPTRECM SSELLEELMSSEVFAPLLRLSPPPGDHDYIYNLDESEGVCDLFDVPVLKL >ENSMUSP00000023044.5 pep:known chromosome:GRCm38:15:80671847:80700420:1 gene:ENSMUSG00000022408.6 transcript:ENSMUST00000023044.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83f description:family with sequence similarity 83, member F [Source:MGI Symbol;Acc:MGI:2146227] MAESQLSCLDEAHVNERVTEAHAAFYYCERRRAALEALLGGGEQAYRELVKKERLRDFLS SQERQALCAAWSPYEEAVSSTRAKAKAKAKVPAQPTESLAYWPDRSDTEVPPLDLGWTDT NFYRGVSRVTLFTHPPKEEKAPHLKQVVRQMIQQAQKVIAVVMDLFTDGDIFQDIVDAAC KRRVPVYIILDEGGVKYFLEMCQGLELADFRIRNIRVRSVTGIGFYMPMGKIKGTLSSKF LMVDGDKVATGSFSFTWSSSYVDRNLLLLLTGQNMEPFDIEFRELYAISEEVNLHQHLGL AGRIGLNYSSTVARKLINPKYALVAGTRRPPGEMMRWAARQQREAGGNVEGQEEGSGGGE SARRLESFLNDLVTVEQILPTVEPISPRLQRPTNGRPVSHAHTDAKHRSREALPQNGKGE AANGEATPAKEGKRFSSRLFSRRVKRPAVPSSMASSPSTETFADVEFPLGKRHNEGSNAN ISGKGITKASNCVIS >ENSMUSP00000047640.4 pep:known chromosome:GRCm38:17:42315947:42507741:1 gene:ENSMUSG00000042256.4 transcript:ENSMUST00000048691.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptchd4 description:patched domain containing 4 [Source:MGI Symbol;Acc:MGI:1920485] MRRPGAPASWIWWRMLRQVLRRGLQSFCHRLGLCVSRHPVFFLTVPAVLTITFGLSALNR FQTEGDLERLVAPSHSLAKIERSLASSLFPLDQSKSQLYSDLHTPGRYGRVILLSSPGDN ILLQAEGILQTHRAVMEMKVNHKGYNYTFSHLCVLRNQDKKCVLDDIISVLEDLRQAAVS NKTTARVQVRYPNTKLKDGRNSFIGHQLGGVVEVPNSKDQRVKSARAIQITYYLQTYGSA TQDLIGEKWENEFCKLMRKLQEEHQDLQLYSLASFSLWRDFHKTSILTRSKVLVSLVLIL TTATLSSSMKDCLRSKPFLGLLGVLTVCISIATAAGIFFITDGKYNSTLLGIPFFAMGHG TKGVFELLSGWRRTKENLPFKDRVADAYSDVMVTYTMTSSLYFITFGMGASPFTNIEAVK IFCQNMCVSILLNYFYIFSFFGSCLVFAGQLEQNRYHSIFCCKIPSAEYLDRKPVWFQTV MSDGHQQTSHHETNPYQHHFIQHFLREHYNEWITNIYVKPFVVILYLIYASFSFMGCLQI SDGASIINLLASDSPSVSYAMVQQKYFSNYSPVIGFYVYEPLEYWNSSVQEDLQRLCSGF TAVSWVEQYYQFLKTSNISANNKTDFISVLQSSFLKKPEFQHFRNDIIFSRAGDENNIIA SRLYLVARTSRDKQKEVIEVLDKLRPLSLSKSIRFIVFNPSFVFTDHYSLSVTVPVLIAG FGVLLVLILTFFLVIHPLGNFWLILSVTSIELGVLGLMTLWNVDMDCISILCLIYTLNFA IDHCAPLLYTFVLATEHTRTQCIKSSLQEHGTAILQNITSFLIGLLPLLFVPSNLTFTLF KCLLLTGGCTLLHCFVILPVFLTFFPPSKKHHKKKKRAKRKEREEIECIEIQENPDHVTT V >ENSMUSP00000071545.3 pep:known chromosome:GRCm38:9:39166310:39185124:1 gene:ENSMUSG00000094970.1 transcript:ENSMUST00000071617.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr943 description:olfactory receptor 943 [Source:MGI Symbol;Acc:MGI:3030777] MEDMTSGNNCTVTEFFLAGLSEEPELQLPLFLLFTGIYLITVAGNLGMITLIGISSHLHT PMYYFLSSLSLIDFCQSTVVIPKMLMSFLMEKNLISYPGCMAQLYFFITFGIAECYTLAA MAYDRYVAICNPLLYNATMSSQIYTSLILGVYIFAVICASVNTGFMSRIKFCKSDVISHY FCDFLPLLKLACSDIYINEMLILSFGTVNICVPLLTVITSYIFIIASILRIRSSEGRSKA FSTCSSHISAVAVFYGSAAFTYLQPSSVSLIDQGKVSSVFYTTVVPMLNPLIYSLRNKDV TVALKTILERKKFM >ENSMUSP00000033929.4 pep:known chromosome:GRCm38:8:34829179:34965690:-1 gene:ENSMUSG00000031529.4 transcript:ENSMUST00000033929.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnks description:tankyrase, TRF1-interacting ankyrin-related ADP-ribose polymerase [Source:MGI Symbol;Acc:MGI:1341087] MAASRRSQHHHHHHQQQLQPAPGASAPPPPPPPPLSPGLAPGPTPASPTAGGLAPFASPR HGLALPEGDGSRDPPDRPRSPDPVDGAVCTVAAPAAVPAASAAVGVAPTPAGGGGGGGNN SASSASSPTSSSSSSPSSPGSSLAESPEAAGVGSTATLGAGAAGLGPGVPAVSGALRELL EACRNGDVSRVKRLVDAANVNAKDMAGRKSSPLHFAAGFGRKDVVEHLLQMGANVHARDD GGLIPLHNACSFGHAEVVSLLLCQGADPNARDNWNYTPLHEAAIKGKIDVCIVLLQHGAD PNIRNTDGKSALDLADPSAKAVLTGEYKKDELLEAARSGNEEKLMALLTPLNVNCHASDG RKSTPLHLAAGYNRVRIVQLLLQHGADVHAKDKGGLVPLHNACSYGHYEVTELLLKHGAC VNAMDLWQFTPLHEAASKNRVEVCSLLLSHGADPTLVNCHGKSAVDMAPTPELRERLTYE FKGHSLLQAAREADLAKVKKTLALEIINFKQPQSHETALHCAVASLHPKRKQVAELLLRK GANVNEKNKDFMTPLHVAAERAHNDVMEVLHKHGAKMNALDSLGQTALHRAALAGHLQTC RLLLSYGSDPSIISLQGFTAAQMGNEAVQQILSESTPMRTSDVDYRLLEASKAGDLETVK QLCSPQNVNCRDLEGRHSTPLHFAAGYNRVSVVEYLLHHGADVHAKDKGGLVPLHNACSY GHYEVAELLVRHGASVNVADLWKFTPLHEAAAKGKYEICKLLLKHGADPTKKNRDGNTPL DLVKEGDTDIQDLLRGDAALLDAAKKGCLARVQKLCTPENINCRDTQGRNSTPLHLAAGY NNLEVAEYLLEHGADVNAQDKGGLIPLHNAASYGHVDIAALLIKYNTCVNATDKWAFTPL HEAAQKGRTQLCALLLAHGADPTMKNQEGQTPLDLATADDIRALLIDAMPPEALPTCFKP QATVVSASLISPASTPSCLSAASSIDNLTGPLTDLAVGGASNAGDGAAGAERKEGEVAGL DMNISQFLKSLGLEHLRDIFETEQITLDVLADMGHEELKEIGINAYGHRHKLIKGVERLL GGQQGTNPYLTFHCVNQGTILLDLAPEDKEYQSVEEEMQSTIREHRDGGNAGGIFNRYNV IRIQKVVNKKLRERFCHRQKEVSEENHNHHNERMLFHGSPFINAIIHKGFDERHAYIGGM FGAGIYFAENSSKSNQYVYGIGGGTGCPTHKDRSCYICHRQMLFCRVTLGKSFLQFSTMK MAHAPPGHHSVIGRPSVNGLAYAEYVIYRGEQAYPEYLITYQIMKPEAPSQTATAAEQKT >ENSMUSP00000042334.5 pep:known chromosome:GRCm38:10:80796100:80798626:-1 gene:ENSMUSG00000035278.8 transcript:ENSMUST00000036805.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhj1 description:pleckstrin homology domain containing, family J member 1 [Source:MGI Symbol;Acc:MGI:1925920] MRYNEKELQALSRQPAEMAAELGMRGPKKGSVAKRRLVKLVVNFLFYFRPDEAEPLGALL LERCRVAQEEPGGFSISFVEDLSRKYHFECCSQEQCQDWMEALQRASYEYMRQSLIFYRN EIRKMTGKDPLEQFGISEEARFQLNSLPKDGRTTCIGSQLNVLD >ENSMUSP00000097149.2 pep:known chromosome:GRCm38:10:77011995:77022214:1 gene:ENSMUSG00000074919.2 transcript:ENSMUST00000099552.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10787 description:predicted gene 10787 [Source:MGI Symbol;Acc:MGI:3642150] MHSGNPESCETDPRVPGTKYSWHWNSTGGEGRVCRTQYSPEQQTSSLILSSAPWGSGRAH LVGCQPLSWAMHSSNDGCYEVGQDVWHSTGHRWHERLEDVTNPCLIATFPPTTAICNRFG TL >ENSMUSP00000137504.1 pep:known chromosome:GRCm38:13:120154627:120155136:1 gene:ENSMUSG00000096323.1 transcript:ENSMUST00000179071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20767 description:predicted gene, 20767 [Source:MGI Symbol;Acc:MGI:5434123] MDKAKKMMQSFSGFVKDTSDTEEHALPNAQVLPALSTRCSKSETLCFSKEQSHCSEDGWI AEWDLYSFCVFESVDYLRSYHRLNSAMKKGTEVFQSESQRKPQVSPGDVENYKDTEEPDQ PFPSLLREKGLDLATCDGGDCPDQDPVSDNSRHLGCWAWLQRAFGQKKK >ENSMUSP00000087202.3 pep:known chromosome:GRCm38:15:72589621:73061204:-1 gene:ENSMUSG00000047921.15 transcript:ENSMUST00000089770.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc9 description:trafficking protein particle complex 9 [Source:MGI Symbol;Acc:MGI:1923760] MSVPDYMQCAEDHQTLLVVVQAVGIVSEENFFRIYKRICSVSQLSVRDTQRALFIRYRHH YPPENNEWGDFQTHRKVVGLITITDCFSPKDWPQTFEKFHVQKEIYGSTLYDSRLFVFGL QGDVAEQPRPDVAFYPNYDDCDSVEKRIEDFIESLFIVLESKRLDRATDKSGDKIPLLCV PFEKKDFVGLDTDSRHYKKRCQGRMRKHVGDLCLQAGMLQDALVHYHMSVELLRSVNDFL WLGAALEGLCSASVIYHYPGGTGGKTGARRLQGSSLPSEAANRHRPGALTTNGINPDTST EIGRAKNCLSPEDIIDKYKEAISYYSKYKNAGVIELEACVKAVRVLAIQKRGMEASEFLQ NAVYINLRQLSEEEKIQRYSILSELYELIGFHRKSAFFKRVAAMQCVAPSIAEPGWRACY KLLLETLPGYSLSLDPKDFSKGTHRGWAAVQMRLLHELVYASRRMGNPALSVRHLSFLLQ TMLDFLSDQEKKDVTQSLENYTAKCPGTMEPITLPDGLTLPPVPFTKLPIVRCVKLLSLP TSLRPHKVKSLLGQSMSTKSPFIYSPIIAHNRGEERNKKIDFQWVQGDVCEVQLMVYNPM PFELRVENMGLLTSGVEFESLPAALSLPAESGLYPVTLVGVPQTTGMITVNGYHTTVFGV FSDCLLDNLPGLKTGGSTVEVIPALPRLQISTSLPRSARSLQPSAGDEIATNVSVQLYNG ETQQLAVTLENIGLEPLEQLEVTSKLLTTKEKLYGDFLSWKLEETLAQFPLQPGKVATFT INIKAKLDFSCQENLLQDLSDDGISVSGFPLSSPFRQVVRPRVESRPTNPSEGSKTGDLG HVKTLEAVLNFKYSGGPGHVEGYYRNLSLGLHVEVEPSVFFTRVSTLPATSTRQCHLLLD VFNSTEHELTVCARNNSELVLHASECQRMAIQVDKFNFESVPESPGEKGHFANLKQLEEE RQEARGLEISSKLDIRWRIPSLKRSGEASVEGLLNQLILEHLQLAPLQWDVLVDGQPCDC EVAAACQVGDPVRLEVRLTNRSPRSVGPFALTVVPFQDHQNGVHNYDLHDVISFVGSSTF YLDTVQPSGQSTCLGALLFLYTGDFFLNIRFHEDCKSKELPPSWVCLPSVHVRALGAQA >ENSMUSP00000023276.8 pep:known chromosome:GRCm38:15:72589621:73055812:-1 gene:ENSMUSG00000047921.15 transcript:ENSMUST00000023276.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc9 description:trafficking protein particle complex 9 [Source:MGI Symbol;Acc:MGI:1923760] MLLGLKRHYKKRCQGRMRKHVGDLCLQAGMLQDALVHYHMSVELLRSVNDFLWLGAALEG LCSASVIYHYPGGTGGKTGARRLQGSSLPSEAANRHRPGAQEVLIDPGALTTNGINPDTS TEIGRAKNCLSPEDIIDKYKEAISYYSKYKNAGVIELEACVKAVRVLAIQKRGMEASEFL QNAVYINLRQLSEEEKIQRYSILSELYELIGFHRKSAFFKRVAAMQCVAPSIAEPGWRAC YKLLLETLPGYSLSLDPKDFSKGTHRGWAAVQMRLLHELVYASRRMGNPALSVRHLSFLL QTMLDFLSDQEKKDVTQSLENYTAKCPGTMEPITLPDGLTLPPVPFTKLPIVRCVKLLSL PTSLRPHKVKSLLGQSMSTKSPFIYSPIIAHNRGEERNKKIDFQWVQGDVCEVQLMVYNP MPFELRVENMGLLTSGVEFESLPAALSLPAESGLYPVTLVGVPQTTGMITVNGYHTTVFG VFSDCLLDNLPGLKTGGSTVEVIPALPRLQISTSLPRSARSLQPSAGDEIATNVSVQLYN GETQQLAVTLENIGLEPLEQLEVTSKLLTTKEKLYGDFLSWKLEETLAQFPLQPGKVATF TINIKAKLDFSCQENLLQDLSDDGISVSGFPLSSPFRQVVRPRVESRPTNPSEGSKTGDL GHVKTLEAVLNFKYSGGPGHVEGYYRNLSLGLHVEVEPSVFFTRVSTLPATSTRQCHLLL DVFNSTEHELTVCARNNSELVLHASECQRMAIQVDKFNFESVPESPGEKGHFANLKQLEE ERQEARGLEISSKLDIRWRIPSLKRSGEASVEGLLNQLILEHLQLAPLQWDVLVDGQPCD CEVAAACQVGDPVRLEVRLTNRSPRSVGPFALTVVPFQDHQNGVHNYDLHDVISFVGSST FYLDTVQPSGQSTCLGALLFLYTGDFFLNIRFHEDCKSKELPPSWVCLPSVHVRALGAQA >ENSMUSP00000131997.1 pep:known chromosome:GRCm38:15:72799524:73061204:-1 gene:ENSMUSG00000047921.15 transcript:ENSMUST00000170633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc9 description:trafficking protein particle complex 9 [Source:MGI Symbol;Acc:MGI:1923760] MSVPDYMQCAEDHQTLLVVVQAVGIVSEENFFRIYKRICSVSQLSVRDTQRALFIRYRHH YPPENNEWGDFQTHRKVVGLITITDCFSPKDWPQTFEKFHVQKEIYGSTLYDSRLFVFGL QGDVAEQPRPDVAFYPNYDDCDSVEKRIEDFIESLFIVLESKRLDRATDKSGDKIPLLCV PFEKKDFVGLDTDSRHYKKRCQGRMRKHVGDLCLQAGMLQDALVHYHMSVELLRSVNDFL WLGAALEGLCSASVIYHYPGGTGGKTGARRLQGSSLPSEAANRHRPGAQEVLIDPGALTT NGINPDTSTEIGRAKNCLSPEDIIDKYKEAISYYSKYKNAGVIELEACVKAVRVLAIQKR GMEASEFLQNAVYINLRQLSEEEKIQRYSILSELYELIGFHRKSAFFKRVAAMQCVAPSI AEPGWRACYKLLLETLPGYSLSLDPKDFSKGTHRGWAAVQMRLLHELVYASRRMGNPALS VRHLSFLLQTMLDFLSDQEKKDVTQSLENYTAKCPGTMEPITLPDGLTLPPVPFTKLPIV RCVKLLSLPTSLRPHKVKSLLGQSMSTKSPFIYSPIIAHNRGEERNKKIDFQWVQGDVCE VQLMVYNPMPFELRVENMGLLTSGVEFESLPAALSLPAESGLYPVTLVGVPQTTGMITVN GYHTTVFGVFSDCLLDNLPGLKTGGSTVEVIPALPRLQISTSLPRSARSLQPSAGDEIAT NVSVQLYNGETQQLAVTLENIGLEPLEQLEVTSKLLTTKEKLYGDFLSWKLEETLAQFPL QPGKVATFTINIKAKLDFSCQENLLQDLSDDGISVSGFPLSSPFRQVVRPRVESRPTNPS EGSKTGDLGHVKWQLFNVRQEEEEEDKEEEEEEEAVAAAAAAAAAAAAAAADCSLMQVYC QHRTHEEQSSCSSEQLLSLLLEGLLEGQCWQMYVMLCVFP >ENSMUSP00000131295.1 pep:known chromosome:GRCm38:15:72852169:73061204:-1 gene:ENSMUSG00000047921.15 transcript:ENSMUST00000168191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc9 description:trafficking protein particle complex 9 [Source:MGI Symbol;Acc:MGI:1923760] MSVPDYMQCAEDHQTLLVVVQAVGIVSEENFFRIYKRICSVSQLSVRDTQRALFIRYRHH YPPENNEWGDFQTHRKVVGLITITDCFSPKDWPQTFEKFHVQKEIYGSTLYDSRLFVFGL QGDVAEQPRPDVAFYPNYDDCDSVEKRIEDFIESLFIVLESKRLDRATDKSGDKIPLLCV PFEKKDFVGLDTDSRHYKKRCQGRMRKHVGDLCLQAGMLQDALVHYHMSVELLRSVNDFL WLGAALEGLCSASVIYHYPGGTGGKTGARRLQGSSLPSEAANRHRPGALTTNGINPDTST EIGRAKNCLSPEDIIDKYKEAISYYSKYKNAGVIELEACVKAVRVLAIQKRGMEASEFLQ NAVYINLRQLSEEEKIQRYSILSELYELIGFHRKSAFFKRVAAMQCVAPSIAEPGWRACY KLLLETLPGYSLSLDPKDFSKGTHRGWAAVQMRLLHELVYASRRMGNPALSVRHLSFLLQ TMLDFLSDQEKKDVTQSLENYTAKCPGTMEPITLPDGLTLPPVPFTKLPIVRCVKLLSLP TSLRPHKVKSLLGQSMSTKSPFIYSPIIAHNRGEERNKKIDFQWVQGDVCEVQLMVYNPM PFELRVENMGLLTSGVEFESLPAALSLPAESGLYPVTLVGVPQTTGMITVNGYHTTVFGV FSDCLLDNLPGLKTGGSTVEVIPALPRLQISTSLPRSARSLQPSAGDEIATNVSVQLYNG ETQQLAVTLENIGLEPLEQLEVTSKLLTTKEKLYGDFLSWKLEETLAQFPLQPGKVATFT INIKAKLDFSCQENLLQDLSDDGISVSGFPLSSPFRQVVRPRVESRPTNPSEGSKTGDLG HVKTRHPQGQCE >ENSMUSP00000111963.3 pep:known chromosome:GRCm38:10:20148920:20281589:1 gene:ENSMUSG00000019996.16 transcript:ENSMUST00000116259.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7 description:microtubule-associated protein 7 [Source:MGI Symbol;Acc:MGI:1328328] MAEQGAGGDGHRGGDGATHSDPASDGYKVQEKRTAPSRPTSTVSGQTSNHSGNKPDPPPV LRVDDRQRLARERREEREKQLAARETVWLEREERARQHYERHLEARKKKLEDQRLKEERR RAAVEEKRRQRLEEDKERHEAVVRRTMERSQKPRQKSNRWSWGSPLHGSSSIHSGDPDRR SVSTMNLSKHVDPVISKRLSSSSATLLNSPDRARRLQLSPWESSVVSRLLTPTHSFLARS KSTAALSGDTVIPICPRSASCSPIIMPFKAAHSRNPVDRPKLFVTPPEGSARRRTIHGLA SHKREREREHVPFHVSPGARRTLSPSNLKARSPAPARLWLPSKSMPHLPGTPRPASSLPP GSVRAASAQAPSSSPGNIRPVKREVKVEPEKKDPLPAVKSRVPLVKVEEVTVEEGTPVKP PEPAAPASAPIATPAPAPATDPAPVPAPSSTVTVGVVPKTSAGTTDPEEATRLLAEKRRL AREQREKEERERKEKEELERQKIEELARRVAEERSRREEEARRLEEEQAREKEELALRLA EEERERWEREEVERVQKQKEEEARAREEAERARQEREKHFQKEEQERLERKKRLEEIMRR TRRTETADKKTTEQRNGDIAKGVLTGEPEVPALPCMASSGNGESAESPHGVALQQSEVTT ESSPDLEKQPNENGMSIQNENFEEVINLPVGSKASRLDVTNENPEIPLKPILAFNDEGTL GPLPQVDGVQTQQTAEVI >ENSMUSP00000020173.8 pep:known chromosome:GRCm38:10:20148471:20278699:1 gene:ENSMUSG00000019996.16 transcript:ENSMUST00000020173.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map7 description:microtubule-associated protein 7 [Source:MGI Symbol;Acc:MGI:1328328] MAEQGAGGDGHRGGDGATHSDPASDGYKVQEKRTAPSRPTSTVSGQTSNHSGNKPDPPPV LRVDDRQRLARERREEREKQLAARETVWLEREERARQHYERHLEARKKKLEDQRLKEERR RAAVEEKRRQRLEEDKERHEAVVRRTMERSQKPRQKSNRWSWGSPLHGSSSIHSGDPDRR SVSTMNLSKHVDPVISKRLSSSSATLLNSPDRARRLQLSPWESSVVSRLLTPTHSFLARS KSTAALSGDTVIPICPRSASCSPIIMPFKAAHSRNPVDRPKLFVTPPEGSARRRTIHGLA SHKREREREHVPFHVSPGARRTLSPSNLKARSPAPARLWLPSKSMPHLPGTPRPASSLPP GSVRAASAQAPSSSPGNIRPVKREVKVEPEKKDPLPAVKSRVPLVKVEEVTVEEGTPVKP PEPAAPASAPIATPAPAPATDPAPVPAPSSTVTVGVVPKTSAGTTDPEEATRLLAEKRRL AREQREKEERERKEKEELERQKIEELARRVAEERSRREEEARRLEEEQAREKEELALRLA EEERERWEREEVERVQKQKEEEARAREEAERARQEREKHFQKEEQERLERKKRLEEIMRR TRRTETADKKTTEQRNGDIAKGVLTGEPEVPALPCMASSGNGESAESPHGVALQQSEVTT ESSPDLEKQPNENGMSIQNENFEEVINLPVGSKASRLDVTNENPEIPLKPILAFNDEGTL GPLPQVDGVQTQQTAVVF >ENSMUSP00000034207.6 pep:known chromosome:GRCm38:8:94137204:94139031:1 gene:ENSMUSG00000031757.6 transcript:ENSMUST00000034207.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mt4 description:metallothionein 4 [Source:MGI Symbol;Acc:MGI:99692] MDPGECTCMSGGICICGDNCKCTTCSCKTCRKSCCPCCPPGCAKCARGCICKGGSDKCSC CP >ENSMUSP00000043153.4 pep:known chromosome:GRCm38:10:80805248:80807648:1 gene:ENSMUSG00000035262.4 transcript:ENSMUST00000036016.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amh description:anti-Mullerian hormone [Source:MGI Symbol;Acc:MGI:88006] MQGPHLSPLVLLLATMGAVLQPEAVENLATNTRGLIFLEDELWPPSSPPEPLCLVTVRGE GNTSRASLRVVGGLNSYEYAFLEAVQESRWGPQDLATFGVCSTDSQATLPALQRLGAWLG ETGEQQLLVLHLAEVIWEPELLLKFQEPPPGGASRWEQALLVLYPGPGPQVTVTGTGLRG TQNLCPTRDTRYLVLTVDFPAGAWSGSGLILTLQPSREGATLSIDQLQAFLFGSDSRCFT RMTPTLVVLPPAEPSPQPAHGQLDTMPFPQPGLSLEPEALPHSADPFLETLTRLVRALRG PLTQASNTQLALDPGALASFPQGLVNLSDPAALGRLLDWEEPLLLLLSPAAATEREPMPL HGPASAPWAAGLQRRVAVELQAAASELRDLPGLPPTAPPLLARLLALCPNDSRSSGDPLR ALLLLKALQGLRAEWHGREGRGRTGRSAGTGTDGPCALRELSVDLRAERSVLIPETYQAN NCQGACAWPQSDRNPRYGNHVVLLLKMQARGAALGRLPCCVPTAYAGKLLISLSEERISA HHVPNMVATECGCR >ENSMUSP00000094869.4 pep:known chromosome:GRCm38:17:91236787:91238116:1 gene:ENSMUSG00000043346.8 transcript:ENSMUST00000057074.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6741 description:predicted gene 6741 [Source:MGI Symbol;Acc:MGI:3643504] MGLSRVRAVFFDLDNTLIDTAGASRRGMLEVIKLLQSKYHYKEEAEIICDKVQVKLSKEC FHPYSTCITDVRTSHWEEAIQETKGGADNRKLAEECYFLWKSTRLQHMILADDVKAMLTE LRKEVRLLLLTNGDRQTQREKIEACACQSYFDAIVIGGEQKEEKPAPSIFYHCCDLLGVQ PGDCVMVGDTLETDIQGGLNAGLKATVWINKSGRVPLTSSPMPHYMVSSVLELPALLQSI DCKVSMSV >ENSMUSP00000136791.1 pep:known chromosome:GRCm38:9:19927896:19928282:-1 gene:ENSMUSG00000091460.2 transcript:ENSMUST00000082002.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7808 description:predicted pseudogene 7808 [Source:MGI Symbol;Acc:MGI:3643360] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGLTLSDYN IQKESTLHLVLRLHGGIIEPSLRQLAQKYNCDKMICRKCYARLHPRAVNCRKKKCGHTNN LRPKKKVK >ENSMUSP00000137135.1 pep:known chromosome:GRCm38:X:170672644:170678054:1 gene:ENSMUSG00000093806.1 transcript:ENSMUST00000178693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asmt description:acetylserotonin O-methyltransferase [Source:MGI Symbol;Acc:MGI:96090] MHRGRSASARQERDFRALMDLAHGFMASQVLFAGCALRVFDAAALGPVDAAALARSSGLS PRGTRLLLDACAGLGLLGRRRGAGPRGPAYTNSPLASTFLVAGSPLSQRSLLLYLAGTTY LCWGHLADGVREGRSQYARAVGVDADDPFTAIYRSEAERLLFMRGLQETWSLCGGRVLTA FDLSPFRVICDLGGGSGALARMAARLYPGSEVTVFETPDVVAAARAHFPPPADEDGAEPR VCFLSGDFFRSPLPPADLYVLARVLHDWADAACVELLRRVRGALRPGGAVLLVESVLSPG GAGPTRTLLLSLTMLLQARGRERTEAEYRALTARAGFSRLRLRRPRGPYHAMMAARGGGA GARSDGGGGDATSQTGSGTGSEVGAQD >ENSMUSP00000094085.4 pep:known chromosome:GRCm38:15:77698889:77719288:1 gene:ENSMUSG00000071716.4 transcript:ENSMUST00000096358.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol7e description:apolipoprotein L 7e [Source:MGI Symbol;Acc:MGI:3704456] MGTPDRECFIETVAEYLLDVISTEDLQLLLTEEETWKHFVAEVDLSREEETALREALAEI FSDPDGEDEDELQNDLQDKNERKEEDALSEALGKTVADTDAEDEEEIQNDPWHKERFLDA YPRVKLELEESIRMLHALADKVDKVHRDCTISRVVASSSSAVSGVLTILGLSLAPVTAGV SLALSATGLGLGAAAAVTSVSTSIVEKVSVVSAEAKASKLVPTNKDTMKGMKEVLDQSGP RLLFLSMNSFKKLKNIKNNIHAIKLTKANPSLVTNAKRLMTTGKTTTETTKQVKEAFGGT ALAMTKGARIMGAATTGFFLLLDIVSFVGDSKHLHEGAKAESAAELRHQAQDPEQKLQEL IRVHDSLIQ >ENSMUSP00000057365.4 pep:known chromosome:GRCm38:14:70647302:70653084:-1 gene:ENSMUSG00000047911.5 transcript:ENSMUST00000062629.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npm2 description:nucleophosmin/nucleoplasmin 2 [Source:MGI Symbol;Acc:MGI:1890811] MSRHSTSSVTETTAKNMLWGSELNQEKQTCTFRGQGEKKDSCKLLLSTICLGEKAKEEVN RVEVLSQEGRKPPITIATLKASVLPMVTVSGIELSPPVTFRLRTGSGPVFLSGLECYETS DLTWEDDEEEEEEEEEEDEDEDADISLEEIPVKQVKRVAPQKQMSIAKKKKVEKEEDETV VRPSPQDKSPWKKEKSTPRAKKPVTKK >ENSMUSP00000137473.1 pep:known chromosome:GRCm38:X:31253894:31255381:1 gene:ENSMUSG00000095754.1 transcript:ENSMUST00000177990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin2f description:spindlin family, member 2F [Source:MGI Symbol;Acc:MGI:3780958] LETPLAATISGSRTPERDMENSKLTKKKAGQKRQRSRSPALPKRNIVGCRISHKWKEGDE HITQWNGTVLDQVPVNPSLYLVKYDEIDSVHALELYKDKRVLSLKVIAKRVVSSGVTDSS FVDAIVGKEVNHLFEGEHGSKEEWRGMVLSQAPILDNSFYITYERDPILYTYQLLDDFKE GNLQIMEGISDPPSLDNDLELVDGLIGKHVENTNDDGSKRDGLIIYQIETKPRVYLIKYE DDVHIHVTHLEKEF >ENSMUSP00000047119.6 pep:known chromosome:GRCm38:14:31012434:31019089:-1 gene:ENSMUSG00000042354.6 transcript:ENSMUST00000037739.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnl3 description:guanine nucleotide binding protein-like 3 (nucleolar) [Source:MGI Symbol;Acc:MGI:1353651] MKRPKLKKASKRMTCHKRYKIQKKVREHHRKLRKEAKKRGHKKPRKDPGVPNSAPFKEAL LREAELRKQQLEELKQQQKLDRQKEQERKRKLEVSPGDEQSNVETREESDEPKRKKAKAG KQNPKKLHCQELKKVIEASDIVLEVLDARDPLGCRCPQIEEAVIQSGSKKLILVLNKSDL VPKENLENWLNYLNKELPTVVFKASTNLKNRKTFKIKKKKVVPFQSKICCGKEALWKLLG DFQQSCGKDIQVGVIGFPNVGKSSVINSLKQEWICNVGISMGLTRSMQIVPLDKQITIID SPCLIISPCNSPTALALRSPASIEELRPLEAASAILSQADNEQVVLKYTVPEYKDSLHFF TKLAQRRGLHQKGGSPNVESAAKLVWSEWTGASLGYYCHPPASWNHSLHFNENIAAVMKK GFNLEELEKNNAHSIQVLKGPHLTNRILFRSSGLTNGILDEKDIVEESPRQTEDKQDADD QENGSGERNAEISDVAPVEETRELSPEQSTAGKPSDGSSALDRASQEDETYDFTTDYI >ENSMUSP00000078878.6 pep:known chromosome:GRCm38:8:94214597:94314857:1 gene:ENSMUSG00000032939.13 transcript:ENSMUST00000079961.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup93 description:nucleoporin 93 [Source:MGI Symbol;Acc:MGI:1919055] MDTEGFGELLQQAEQLAAETEGISELPHVERNLQEIQQAGERLRSRTLTRTSQETADVKA SVLLGSRGLDISHISQRLESLSAATTFEPLEPVKDTDIQGFLKNEKDNALLSAIEESRKR TFGMAEEYHRESMLVEWEQVKQRILHTLLASGEDALDFTQESEPSYIGDVNPPGRSSLDS IEMAYARQIYIYNEKIVSGHLQPNLVDLCASVAELDDKSISDMWAMVKQMTDVVLTPATD ALKSRSSVEVRMDFVKQALGYLEQSYKNYTLVTVFGNLHQAQLGGVPGTYQLVRSFLNIK LPAPSPGLQDGEVEGHPVWALIYYCMRCGDLLAASQVVSRAQHQLGEFKTWFQEYMNSKD RRLSPATENKLRLHYRRALRNNTDPYKRAVYCIIGRCDITDNQSEVADKTEDYLWLKLNQ VCFDDDGTSSPQDRLTLSQFQKQLLEDYGESHFTVNQQPFLYFQVLFLTAQFEAAIAFLF RMERLRCHAVHVALVLFELKLLLKSSGQSAQLLSHEPGDPPCMRRLNFVRLLMLYTRKFE STDPREALQYFYFLRDEKDSQGENMFLRCVSELVIESREFDMILGKLENDGSRKPGVIDK FTSDTKPIINKVASVAENKGLFEEAAKLYDLAKNADKVLELMNKLLSPVVPQISAPQSNK ERLKNMALSIAERYRAQGISANKFVDSTFYLLLDLITFFDEYHSGHIDRAFDIIDRLKLV PLNQESVEERVAAFRNFSDEIRHNLSEVLLATMNILFTQFKRLKGTSPSSATRPQRVIED RDSQLRSQARALITFAGMIPYRTSGDTNARLVQMEVLMN >ENSMUSP00000105174.1 pep:known chromosome:GRCm38:8:94227661:94315066:1 gene:ENSMUSG00000032939.13 transcript:ENSMUST00000109547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup93 description:nucleoporin 93 [Source:MGI Symbol;Acc:MGI:1919055] MDTEGFGELLQQAEQLAAETEGISELPHVERNLQEIQQAGERLRSRTLTRTSQETADVKA SVLLGSRGLDISHISQRLESLSAATTFEPLEPVKDTDIQGFLKNEKDNALLSAIEESRKR TFGMAEEYHRESMLVEWEQVKQRILHTLLASGEDALDFTQESEPSYIGDVNPPGRSSLDS IEMAYARQIYIYNEKIVSGHLQPNLVDLCASVAELDDKSISDMWAMVKQMTDVVLTPATD ALKSRSSVEVRMDFVKQALGYLEQSYKNYTLVTVFGNLHQAQLGGVPGTYQLVRSFLNIK LPAPSPGLQDGEVEGHPVWALIYYCMRCGDLLAASQVVSRAQHQLGEFKTWFQEYMNSKD RRLSPATENKLRLHYRRALRNNTDPYKRAVYCIIGRCDITDNQSEVADKTEDYLWLKLNQ VCFDDDGTSSPQDRLTLSQFQKQLLEDYGESHFTVNQQPFLYFQVLFLTAQFEAAIAFLF RMERLRCHAVHVALVLFELKLLLKSSGQSAQLLSHEPGDPPCMRRLNFVRLLMLYTRKFE STDPREALQYFYFLRDEKDSQGENMFLRCVSELVIESREFDMILGKLENDGSRKPGVIDK FTSDTKPIINKVASVAENKGLFEEAAKLYDLAKNADKVLELMNKLLSPVVPQISAPQSNK ERLKNMALSIAERYRAQGISANKFVDSTFYLLLDLITFFDEYHSGHIDRAFDIIDRLKLV PLNQESVEERVAAFRNFSDEIRHNLSEVLLATMNILFTQFKRLKGTSPSSATRPQRVIED RDSQLRSQARALITFAGMIPYRTSGDTNARLVQMEVLMN >ENSMUSP00000039271.6 pep:known chromosome:GRCm38:8:110882463:110902459:-1 gene:ENSMUSG00000033703.8 transcript:ENSMUST00000041382.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuk description:fucokinase [Source:MGI Symbol;Acc:MGI:1916071] MEQSEGVNWTVIILTCQYKDSVQVFQRELEVRQRREQIPAGTMLLAVEDPQTRVGSGGAT LNALLVAAEHLSARAGFTVVTSDVLHSAWILILHMGRDFPFDDCGRAFTCLPVENPQAPV EALVCNLDCLLDIMTHRLGPGSPPGVWVCSTDMLLSVPPNPGISWDGFRGARVIAFPGSL AYALNHGVYLTDSQGLVLDIYYQGTKAEIQRCVGPDGLVPLVSGVVFFSVETAEHLLATH VSPPLDACTYMGLDSGAQPVQLSLFFDILLCMARNMSRENFLAGRPPELGQGDMDVASYL KGARAQLWRELRDQPLTMVYVPDGGYSYMTTDATEFLHRLTMPGVAVAQIVHSQVEEPQL LEATCSVVSCLLEGPVHLGPRSVLQHCHLRGPIRIGAGCFVSGLDTAHSEALHGLELHDV ILQGHHVRLHGSLSRVFTLAGRLDSWERQGAGMYLNMSWNEFFKKTGIRDWDLWDPDTPP SDRCLLTARLFPVLHPTRALGPQDVLWMLHPRKHRGEALRAWRASWRLSWEQLQPCVDRA ATLDFRRDLFFCQALQKARHVLEARQDLCLRPLIRAAVGEGCSGPLLATLDKVAAGAEDP GVAARALACVADVLGCMAEGRGGLRSGPAANPEWIQPFSYLECGDLMRGVEALAQEREKW LTRPALLVRAARHYEGAEQILIRQAVMTARHFVSTQPVELPAPGQWVVTECPARVDFSGG WSDTPPIAYELGGAVLGLAVRVDGRRPIGAKARRIPEPELWLAVGPRQDEMTMRIVCRSL DDLRDYCQPHAPGALLKAAFICAGIVHLHSELPLLEQLLHSFNGGFELHTWSELPHGSGL GTSSILAGAALAALQRAAGRAVGTEALIHAVLHLEQVLTTGGGWQDQVSGLMPGIKVGRS RAQLPLKVEVEEITVPEGFVQKINDHLLLVYTGKTRLARNLLQDVLRNWYARLPVVVQNA RRLVRQTEKCAEAFRQGNLPLLGQYLTSYWEQKKLMAPGCEPLAVQRMMDVLAPYAYGQS LAGAGGGGFLYLLTKEPRQKETLEAVLAKAEGLGNYSVHLVEVDPQGLSLQLLGHDTRLC GAGPSEVGTT >ENSMUSP00000136780.1 pep:known scaffold:GRCm38:JH584294.1:121048:121713:-1 gene:ENSMUSG00000095320.1 transcript:ENSMUST00000178919.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl21a description:chemokine (C-C motif) ligand 21A (serine) [Source:MGI Symbol;Acc:MGI:1349183] MAQMMTLSLLSLVLALCIPWTQGSDGGGQDCCLKYSQKKIPYSIVRGYRKQEPSLGCPIP AILFSPRKHSKPELCANPEEGWVQNLMRRLDQPPAPGKQSPGCRKNRGTSKSGKKGKGSK GCKRTEQTQPSRG >ENSMUSP00000051335.4 pep:known chromosome:GRCm38:15:40655042:41104592:1 gene:ENSMUSG00000022306.8 transcript:ENSMUST00000053467.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfpm2 description:zinc finger protein, multitype 2 [Source:MGI Symbol;Acc:MGI:1334444] MSRRKQSKPRQIKRPLEDAIDDEEEECPVEEAEVISKGDFPLEGSFPAGFEPENLSCEDV EFFCNKGDDEGIQEPAESDGDSHSDKPGQPGVETDDWDGPGELEVFQRDGERKIQSRQQL PVGTTWGPFAGKMDLNNNSLKTKAQVPMVLTAGPKWLLDVTWQGVEDSKNNCIVYSKGGQ LWCTTTKAISEGEELVAFVVDFDSRLQAASHMTLTEGMYPARLLDSIQLLPQQAAMASIL PTAIVNKDIFPCKSCGIWYRSERNLQAHLMYYCSGRQREAAPVSEENEDNSHQVSSLCPF PQCTKSFSNARALEMHLNSHSGVKMEEFLPPGASLKCTVCSYTADSVINFHQHLFSHLTQ AAFRCNHCHFGFQTQRELLQHQELHVPSGKLPRESDMEHSPSGTEDSLQPATDLLARSDL SQSQKAMPTKDASSDTELDKCEKKTQLFLTNQRPEIQPAANKQNFSYTKIKSEPSSPRLA SSPVQPNIGPSFPVGPFLSQFAFPQDITMVPQASEILAKMSELVHRRLRHGSSSYPPVIY SPLMPKGATCFECNITFNNLDNYLVHKKHYCSSRWQQMAKSPEFPSVSEKMPEAVSPNTG QTSINLLNPAAHSSDPENPLLQTSCINSSTVLDLIGPNGKGHEKDFSTQVKKLPTSNSSD DKINGKPVDVKNPSGPLVDGESDPNKTTCEACNITFSRHETYMVHKQYYCATRHDPPLKR SASNKVPAMQRTMRTRKRRKMYEMCLPEQEQRPPLVQQRFLDVANLSNPCSSTQEPTEGL GECYHPRCDIFPGIVSKHLETSLAMNKCVPVPKCDTTHSNVSCLEMDVPIDLSKKCLSQS ERTTASPKRLLDYHECTVCKISFNKVENYLAHKQNFCPVTAHQRNDLGQLDGKVFPNPES ERSSPEVSFERNMIKCEKNGNPKQPSPNGNLFSSHLATLQGLKVFSEAAQLIATKEENKH LFLPQCLYPGAIKKTKGADQLSPYYGIKPSDYIASSLVIHNTDVEQSTNTENESPKGQAS SNGCAVPKKDSLPLLPKNRGMVIVNGGLKQDERPTANPQQENISQNTQHEDGHKSPSWIS ENPLAANENVSPGIPCAEEQLSSIAKGVNGASQAPSSGKYCRLCDIQFNNLSNFITHKKF YCSSHAAEHVK >ENSMUSP00000129755.2 pep:known scaffold:GRCm38:JH584299.1:90482:93220:1 gene:ENSMUSG00000094383.1 transcript:ENSMUST00000168953.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC123873.1 FPRILLIGDLKKMSVHTPPTLQKLAIQTLVREEALGMSELEEMAHGLFPALFKEAFDGRH IKLIKALVIAWPFHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFQPRRKKLQFLDLRNV HHSFWNIWTDSEDSDYSAEILDEKKALQVRPRYGLRQRLKVTVDLCIRSCLDEAQTWFLK WAQERKGSLYFCCTKMKIWTLPERALRQIFHVFDPEHIMELELNTEWTLIELTHFAPYFG QMRNLRKVFLAPLHKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQM NQVLGCLMTPLKTLSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLL MKVAGTLETLDLQGCRMKDSQLNVLLPVFKQCSQISNINFYNNEFSMPFLKDLLQHTANW SKMNVEQYPAPLECYDEFAQVTVEGFAQLCQDLMDTLRAIRQPKNSSFATDICHTCGERW VFDQVAKLCSCWH >ENSMUSP00000062256.3 pep:known chromosome:GRCm38:18:62177817:62179959:-1 gene:ENSMUSG00000045730.3 transcript:ENSMUST00000053640.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adrb2 description:adrenergic receptor, beta 2 [Source:MGI Symbol;Acc:MGI:87938] MGPHGNDSDFLLAPNGSRAPDHDVTQERDEAWVVGMAILMSVIVLAIVFGNVLVITAIAK FERLQTVTNYFIISLACADLVMGLAVVPFGASHILMKMWNFGNFWCEFWTSIDVLCVTAS IETLCVIAVDRYVAITSPFKYQSLLTKNKARVVILMVWIVSGLTSFLPIQMHWYRATHKK AIDCYTEETCCDFFTNQAYAIASSIVSFYVPLVVMVFVYSRVFQVAKRQLQKIDKSEGRF HAQNLSQVEQDGRSGHGLRRSSKFCLKEHKALKTLGIIMGTFTLCWLPFFIVNIVHVIRD NLIPKEVYILLNWLGYVNSAFNPLIYCRSPDFRIAFQELLCLRRSSSKTYGNGYSSNSNG RTDYTGEPNTCQLGQEREQELLCEDPPGMEGFVNCQGTVPSLSVDSQGRNCSTNDSPL >ENSMUSP00000034052.6 pep:known chromosome:GRCm38:8:62057042:62123112:-1 gene:ENSMUSG00000031635.13 transcript:ENSMUST00000034052.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa10 description:annexin A10 [Source:MGI Symbol;Acc:MGI:1347090] MFCGEYVQGTIFPAPNFNPMMDAQMLGGALQGFDCNKDMLIDILTQRSNAQRQMIAGTYQ SMYGRDLISVLKEQLSSHFKEVMVGLMYPPPSYDAHELWHAMKGPGTDENCLIEILASRT NGEIFQMREAYCLQYSNNLQEDIYSETSGHFRDTLMNLVQANREEGYSDPAMAAQDAMVL WEACQQKTGEHKTMMQMILCNKSYPQLWLVFQEFQNISGQDLVDAINDCYDGYFQELLVA IVRCIQDKPSYFAYKLYRAIHDFGFHNKTVIRILIARSEIDLMTIRKRYKERFGKSLFHD IKNFASGHYEKALLAICAGDVEDY >ENSMUSP00000034054.7 pep:known chromosome:GRCm38:8:62057521:62123118:-1 gene:ENSMUSG00000031635.13 transcript:ENSMUST00000034054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa10 description:annexin A10 [Source:MGI Symbol;Acc:MGI:1347090] MFCGEYVQGTIFPAPNFNPMMDAQMLGGALQGFDCNKDMLIDILTQRSNAQRQMIAGTYQ SMYGRDLISVLKEQLSSHFKEVMVGLMYPPPSYDAHELWHAMKGPGTDENCLIEILASRT NGEIFQMREAYCLRKEIHKYANREEGYSDPAMAAQDAMVLWEACQQKTGEHKTMMQMILC NKSYPQLWLVFQEFQNISGQDLVDAINDCYDGYFQELLVAIVRCIQDKPSYFAYKLYRAI HDFGFHNKTVIRILIARSEIDLMTIRKRYKERFGKSLFHDIKNFASGHYEKALLAICAGD VEDY >ENSMUSP00000129059.1 pep:known chromosome:GRCm38:14:8214502:8223561:1 gene:ENSMUSG00000021752.12 transcript:ENSMUST00000170111.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd6 description:potassium channel tetramerisation domain containing 6 [Source:MGI Symbol;Acc:MGI:1918643] MDNGDWGYMMSDPVTLNVGGHLYTTSLTTLTRYPDSMLGAMFGGDFPTARDPQGNYFIDR DGPLFRYVLNFLRTSELTLPLDFKEFDLLRKEADFYQIEPLIQCLNDPRPLYPMDTFEEV VELSSTRKLSKYSNPVAVIITQLTITTKVHSLLEGISNYFTKWNKHMMDTRDCQVSFTFG PCDYHQEVSLRVHLMEYITKQGFTIRNTRVHHMSERANENTVEHNWTFCRLARKTDD >ENSMUSP00000022272.6 pep:known chromosome:GRCm38:14:8214148:8223567:1 gene:ENSMUSG00000021752.12 transcript:ENSMUST00000022272.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd6 description:potassium channel tetramerisation domain containing 6 [Source:MGI Symbol;Acc:MGI:1918643] MDNGDWGYMMSDPVTLNVGGHLYTTSLTTLTRYPDSMLGAMFGGDFPTARDPQGNYFIDR DGPLFRYVLNFLRTSELTLPLDFKEFDLLRKEADFYQIEPLIQCLNDPRPLYPMDTFEEV VELSSTRKLSKYSNPVAVIITQLTITTKVHSLLEGISNYFTKWNKHMMDTRDCQVSFTFG PCDYHQEVSLRVHLMEYITKQGFTIRNTRVHHMSERANENTVEHNWTFCRLARKTDD >ENSMUSP00000022980.3 pep:known chromosome:GRCm38:15:58933810:58939489:1 gene:ENSMUSG00000022354.3 transcript:ENSMUST00000022980.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb9 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex, 9 [Source:MGI Symbol;Acc:MGI:1913468] MAFCAPPAYLTHQQKVLRLYKRALRHLESWCIHRDKYRYFACLMRARFEEHKNEKDMMRA TQLLREAEEEFWQNQHPQPYIFPDSPGGTSFERYECYKVPEWCLDYWHPSEKAMYPDYFS KREQWKKLRMESWDREVKQLQEETSPDGIMTEALPPARREGDLPPLWWHIVTRPRERPT >ENSMUSP00000091051.4 pep:known chromosome:GRCm38:8:85036915:85057583:1 gene:ENSMUSG00000031691.13 transcript:ENSMUST00000093360.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnpo2 description:transportin 2 (importin 3, karyopherin beta 2b) [Source:MGI Symbol;Acc:MGI:2384849] MDWQPDEQGLQQVLQLLKDSQSPNTATQRIVQDKLKQLNQFPDFNNYLIFVLTRLKSEDE PTRSLSGLILKNNVKAHYQSFPPPVADFIKQECLNNIGDASSLIRATIGILITTIASKGE LQMWPELLPQLCNLLNSEDYNTCEGAFGALQKICEDSSELLDSDALNRPLNIMIPKFLQF FKHCSPKIRSHAIACVNQFIMDRAQALMDNIDTFIEHLFALAVDDDPEVRKNVCRALVML LEVRIDRLIPHMHSIIQYMLQRTQDHDENVALEACEFWLTLAEQPICKEVLASHLVQLIP ILVNGMKYSEIDIILLKGDVEEDEAVPDSEQDIKPRFHKSRTVTLTHEAERPDSSEDAED DDDDDALSDWNLRKCSAAALDVLANVFREELLPHLLPLLKGLLFHPEWVVKESGILVLGA IAEGCMQGMVPYLPELIPHLIQCLSDKKALVRSIACWTLSRYAHWVVSQPPDMHLKPLMT ELLKRILDGNKRVQEAACSAFATLEEEACTELVPYLSYILDTLVFAFGKYQHKNLLILYD AIGTLADSVGHHLNQPEYIQKLMPPLIQKWNELKDEDKDLFPLLECLSSVATALQSGFLP YCEPVYQRCVTLVQKTLAQAMMYTQHPEQYEAPDKDFMIVALDLLSGLAEGLGGHVEQLV ARSNIMTLLFQCMQDSMPEVRQSSFALLGDLTKACFIHVKPCIAEFMPILGTNLNPEFIS VCNNATWAIGEICMQMGAEMQPYVQMVLNNLVEIINRPNTPKTLLENTGRLTSPSAIPAI TIGRLGYVCPQEVAPMLQQFIRPWCTSLRNIRDNEEKDSAFRGICMMIGVNPGGVVQDFI FFCDAVASWVSPKDDLRDMFYKILHGFKDQVGEENWQQFSEQFPPLLKERLAAFYGV >ENSMUSP00000133076.1 pep:known chromosome:GRCm38:8:85037168:85057583:1 gene:ENSMUSG00000031691.13 transcript:ENSMUST00000166592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnpo2 description:transportin 2 (importin 3, karyopherin beta 2b) [Source:MGI Symbol;Acc:MGI:2384849] MDWQPDEQGLQQVLQLLKDSQSPNTATQRIVQDKLKQLNQFPDFNNYLIFVLTRLKSEDE PTRSLSGLILKNNVKAHYQSFPPPVADFIKQECLNNIGDASSLIRATIGILITTIASKGE LQMWPELLPQLCNLLNSEDYNTCEGAFGALQKICEDSSELLDSDALNRPLNIMIPKFLQF FKHCSPKIRSHAIACVNQFIMDRAQALMDNIDTFIEHLFALAVDDDPEVRKNVCRALVML LEVRIDRLIPHMHSIIQYMLQRTQDHDENVALEACEFWLTLAEQPICKEVLASHLVQLIP ILVNGMKYSEIDIILLKGDVEEDEAVPDSEQDIKPRFHKSRTVTLTHEAERPDSSEDAED DDDDDALSDWNLRKCSAAALDVLANVFREELLPHLLPLLKGLLFHPEWVVKESGILVLGA IAEGCMQGMVPYLPELIPHLIQCLSDKKALVRSIACWTLSRYAHWVVSQPPDMHLKPLMT ELLKRILDGNKRVQEAACSAFATLEEEACTELVPYLSYILDTLVFAFGKYQHKNLLILYD AIGTLADSVGHHLNQPEYIQKLMPPLIQKWNELKDEDKDLFPLLECLSSVATALQSGFLP YCEPVYQRCVTLVQKTLAQAMMYTQHPEQYEAPDKDFMIVALDLLSGLAEGLGGHVEQLV ARSNIMTLLFQCMQDSMPEVRQSSFALLGDLTKACFIHVKPCIAEFMPILGTNLNPEFIS VCNNATWAIGEICMQMGAEMQPYVQMVLNNLVEIINRPNTPKTLLENTGRLTSPSAIPAI TIGRLGYVCPQEVAPMLQQFIRPWCTSLRNIRDNEEKDSAFRGICMMIGVNPGGVVQDFI FFCDAVASWVSPKDDLRDMFYKILHGFKDQVGEENWQQFSEQFPPLLKERLAAFYGV >ENSMUSP00000049488.8 pep:known chromosome:GRCm38:7:45522922:45526268:-1 gene:ENSMUSG00000040435.11 transcript:ENSMUST00000042105.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r15a description:protein phosphatase 1, regulatory (inhibitor) subunit 15A [Source:MGI Symbol;Acc:MGI:1927072] MAPSPRPQHVLHWRDAHNFYLLSPLMGLLSRAWSRLRGPEVPEAWLAKTVTGADQIEAAA LLTPTPVSGNLLPHGETEESGSPEQSQAAQRLCLVEAESSPPETWGLSNVDEYNAKPGQD DLREKEMERTAGKATLQPAGLQGADKRLGEVVAREEGVAEPAYPTSQLEGGPAENEEDGE TVKTYQASAASIAPGYKPSTPVPFLGEAEHQATEEKGTENKADPSNSPSSGSHSRAWEYY SREKPKQEGEAKVEAHRAGQGHPCRNAEAEEGGPETTFVCTGNAFLKAWVYRPGEDTEEE DNSDSDSAEEDTAQTGATPHTSAFLKAWVYRPGEDTEEEDSDSDSAEEDTAQTGATPHTS AFLKAWVYRPGEDTEEENSDLDSAEEDTAQTGATPHTSAFLKAWVYRPGEDTEEENSDLD SAEEDTAQTGATPHTSPFLKAWVYRPGEDTEDDTEEEEDSENVAPGDSETADSSQSPCLQ PQRCLPGEKTKGRGEEPPLFQVAFYLPGEKPESPWAAPKLPLRLQRRLRLFKAPTRDQDP EIPLKARKVHFAEKVTVHFLAVWAGPAQAARRGPWEQFARDRSRFARRIAQAEEKLGPYL TPDSRARAWARLRNPSLPQSEPRSSSEATPLTQDVTTPSPLPSETPSPSLYLGGRRG >ENSMUSP00000128497.1 pep:known chromosome:GRCm38:7:45522922:45526146:-1 gene:ENSMUSG00000040435.11 transcript:ENSMUST00000167273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r15a description:protein phosphatase 1, regulatory (inhibitor) subunit 15A [Source:MGI Symbol;Acc:MGI:1927072] MAPSPRPQHVLHWRDAHNFYLLSPLMGLLSRAWSRLRGPEVPEAWLAKTVTGADQIEAAA LLTPTPVSGNLLPHGETEESGSPEQSQAAQRLCLVEAESSPPETWGLSNVDEYNAKPGQD DLREKEMERTAGKATLQPAGLQGADKRLGEVVAREEGVAEPAYPTSQLEGGPAENEEDGE TVKTYQASAASIAPGYKPSTPVPFLGEAEHQATEEKGTENKADPSNSPSSGSHSRAWEYY SREKPKQEGEAKVEAHRAGQGHPCRNAEAEEGGPETTFVCTGNAFLKAWVYRPGEDTEEE DNSDSDSAEEDTAQTGATPHTSAFLKAWVYRPGEDTEEEDSDSDSAEEDTAQTGATPHTS AFLKAWVYRPGEDTEEENSDLDSAEEDTAQTGATPHTSAFLKAWVYRPGEDTEEENSDLD SAEEDTAQTGATPHTSPFLKAWVYRPGEDTEDDTEEEEDSENVAPGDSETADSSQSPCLQ PQRCLPGEKTKGRGEEPPLFQVAFYLPGEKPESPWAAPKLPLRLQRRLRLFKAPTRDQDP EIPLKARKVHFAEKVTVHFLAVWAGPAQAARRGPWEQFARDRSRFARRIAQAEEKLGPYL TPDSRARAWARLRNPSLPQSEPRSSSEATPLTQDVTTPSPLPSETPSPSLYLGGRRG >ENSMUSP00000131065.1 pep:known chromosome:GRCm38:14:51007751:51010758:1 gene:ENSMUSG00000021872.7 transcript:ENSMUST00000164632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase10 description:ribonuclease, RNase A family, 10 (non-active) [Source:MGI Symbol;Acc:MGI:1922269] MKVTLVHLLFMMLLLLLGLGLGLGLGLHMAAAVLEDQPLNEFWPSDSQNTEEGEGIWTTE GLALGYKEMAQPVWPEEAVLSEDEVGGSRMLRAEPRFQSKQDYLKFDLSVRDCNTMMAHK IKEPNQSCINQYTFIHEDPNTVKAVCNGSLVDCDLKGGKCYKSPRPFDLTLCKLAKPGQV TPNCHYLTYITEKVIFMTCNDKKQLETK >ENSMUSP00000022424.6 pep:known chromosome:GRCm38:14:51007929:51010758:1 gene:ENSMUSG00000021872.7 transcript:ENSMUST00000022424.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase10 description:ribonuclease, RNase A family, 10 (non-active) [Source:MGI Symbol;Acc:MGI:1922269] MESSFWVQLTEKDALGEQNWGHRKSQDYLKLLTSVGKMKVTLVHLLFMMLLLLLGLGLGL GLGLHMAAAVLEDQPLNEFWPSDSQNTEEGEGIWTTEGLALGYKEMAQPVWPEEAVLSED EVGGSRMLRAEPRFQSKQDYLKFDLSVRDCNTMMAHKIKEPNQSCINQYTFIHEDPNTVK AVCNGSLVDCDLKGGKCYKSPRPFDLTLCKLAKPGQVTPNCHYLTYITEKVIFMTCNDKK QLETK >ENSMUSP00000095251.3 pep:known chromosome:GRCm38:18:24470861:24477765:1 gene:ENSMUSG00000024273.7 transcript:ENSMUST00000097646.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700062C07Rik description:RIKEN cDNA 2700062C07 gene [Source:MGI Symbol;Acc:MGI:1915296] MRQKYYIEAAARGLVGSCPGQARYLLWAYSSTHEDNSTFQETCPHCFQLLVLDNSRVRLK PKAKLTPKIQKLLNREARNDTLSFKEAKLLRKYKDSTSVLLITCRTCNRTVRHHGKSRSF LWALKSNAATAANKASPKTPKRTAPGSANLGQSTNGSKGKSPSLTIRTPTSGQSTPICSS RNGSKRKKHFSQLKALLSQSASDKNPKLDFRHFLSSL >ENSMUSP00000044993.8 pep:known chromosome:GRCm38:10:80852825:80855209:-1 gene:ENSMUSG00000035215.8 transcript:ENSMUST00000035775.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm7 description:LSM7 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913344] MADKEKKKKESILDLSKYIDKTIRVKFQGGREASGILKGFDPLLNLVLDGTMEYMRDPDD QYKLTEDTRQLGLVVCRGTSVVLICPQDGMEAIPNPFVQQQDT >ENSMUSP00000064536.7 pep:known chromosome:GRCm38:10:56377300:56390419:1 gene:ENSMUSG00000050953.9 transcript:ENSMUST00000068581.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gja1 description:gap junction protein, alpha 1 [Source:MGI Symbol;Acc:MGI:95713] MGDWSALGKLLDKVQAYSTAGGKVWLSVLFIFRILLLGTAVESAWGDEQSAFRCNTQQPG CENVCYDKSFPISHVRFWVLQIIFVSVPTLLYLAHVFYVMRKEEKLNKKEEELKVAQTDG VNVEMHLKQIEIKKFKYGIEEHGKVKMRGGLLRTYIISILFKSVFEVAFLLIQWYIYGFS LSAVYTCKRDPCPHQVDCFLSRPTEKTIFIIFMLVVSLVSLALNIIELFYVFFKGVKDRV KGRSDPYHATTGPLSPSKDCGSPKYAYFNGCSSPTAPLSPMSPPGYKLVTGDRNNSSCRN YNKQASEQNWANYSAEQNRMGQAGSTISNSHAQPFDFPDDSQNAKKVAAGHELQPLAIVD QRPSSRASSRASSRPRPDDLEI >ENSMUSP00000059101.4 pep:known chromosome:GRCm38:15:101624028:101628188:-1 gene:ENSMUSG00000064232.2 transcript:ENSMUST00000062879.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5414 description:predicted gene 5414 [Source:MGI Symbol;Acc:MGI:3646939] MSTQTTIKSQTSHCGSSASSARVPGLNHSGFSCVSLCQSRGISGSGPMCRVASFGSRSLY SEGSSKRISIGGSSCGNREFYGRHYGGDLGIGRGACGCFGFGAGAGFGDGYGGAGFPGYP SGGIQEVTISQSLLIPLNLQIDPTIQRVRTEEREQIKTLNNRFASFIDKVQFLEQQNKVL DTKWALLQEQGTKTVKPEVETLFEDYINILSRRLGFTVAEKGHLDAELRRSQGVLEDYKY KYEDEISKRTAAENEFLTLKKDADAAFMIENELTAKVHSLIDEINFLRTLFDAEMSQMQT PLSDTSVIISMDNNHSLDMESIIAEVKTQYENIAKKSQAEAESWYQTKYEELQVTAGRHG DDLRNTKQEIAEINRMIQRLRSEIDHVKKQCANLQAAIADAEQRGEMALKDARGKLEGLK DAPQKAKQDRTRLQKEYQELLSVNIYLDVEITTYRKLLEGEENRFNGEGIGPVNISVVPS TGSSGYDSAGGANRNHGLGGGSSHSYGRNHGLGGGFSTGRSRGIGGGLGSSSGSSSTIKY TTTSSSRKSNRH >ENSMUSP00000096312.1 pep:known chromosome:GRCm38:8:65075033:65077480:1 gene:ENSMUSG00000074302.1 transcript:ENSMUST00000098716.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10663 description:predicted gene 10663 [Source:MGI Symbol;Acc:MGI:3642419] ELEFTNLCVSFLPFPFFLFFLF >ENSMUSP00000128624.1 pep:known chromosome:GRCm38:14:15357520:15436505:-1 gene:ENSMUSG00000045201.5 transcript:ENSMUST00000163937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc3b description:leucine rich repeat containing 3B [Source:MGI Symbol;Acc:MGI:2384996] MNLVDLWLSRSLSMCLLLQSFVLMILCFHSASMCPKGCLCSSSGGLNVTCSNANLKEIPR DLPPETVLLYLDSNQITSIPNEIFKDLHQLRVLNLSKNGIEFIDEHAFKGVAETLQTLDL SDNRIQSVHKNAFNNLKARARIANNPWHCDCTLQQVLRSMASNHETAHNVICKTSVLDEH AGRPFLNAANDADLCNLPKKTTDYAMLVTMFGWFTMVISYVVYYVRQNQEDARRHLEYLK SLPSRQKKADEPDDISTVV >ENSMUSP00000059463.4 pep:known chromosome:GRCm38:14:15357519:15438987:-1 gene:ENSMUSG00000045201.5 transcript:ENSMUST00000055211.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc3b description:leucine rich repeat containing 3B [Source:MGI Symbol;Acc:MGI:2384996] MNLVDLWLSRSLSMCLLLQSFVLMILCFHSASMCPKGCLCSSSGGLNVTCSNANLKEIPR DLPPETVLLYLDSNQITSIPNEIFKDLHQLRVLNLSKNGIEFIDEHAFKGVAETLQTLDL SDNRIQSVHKNAFNNLKARARIANNPWHCDCTLQQVLRSMASNHETAHNVICKTSVLDEH AGRPFLNAANDADLCNLPKKTTDYAMLVTMFGWFTMVISYVVYYVRQNQEDARRHLEYLK SLPSRQKKADEPDDISTVV >ENSMUSP00000034017.7 pep:known chromosome:GRCm38:8:64739863:64849818:-1 gene:ENSMUSG00000031605.7 transcript:ENSMUST00000034017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl2 description:kelch-like 2, Mayven [Source:MGI Symbol;Acc:MGI:1924363] METPPLPPACTKQGHQKPLDSKDENPEKHCPLTVNPWHMKKAFKVMNELRSQNLLCDVTI VAEDMEIPAHRVVLAACSPYFHAMFTGEMSESRAKRVRIKEVDGWTLRMLVDYVYTAEIQ VTEENVQVLLPAAGLLQLQDVKKTCCEFLESQLHPVNCLGIRAFADMHACTDLLNKANTY AEQHFADVVLSEEFLNLGIEQVCSLISSDKLTISSEEKVFEAVIAWVNHDKDVRQEFMAR LMEHVRLPLLPREYLVQRVEEEALVKNSSACKDYLIEAMKYHLLPTEQRMLMKSVRTRLR TPMNLPKLMVVVGGQAPKAIRSVECYDFKEERWHQVAELPSRRCRAGMVYMAGLVFAVGG FNGSLRVRTVDSYDPVKDQWTSVANMRDRRSTLGAAVLNGLLYAVGGFDGSTGLSSVEAY NIKSNEWFHVAPMNTRRSSVGVGVVGGLLYAVGGYDGASRQCLSTVECYNATANEWTYIA EMSTRRSGAGVGVLNNLLYAVGGHDGPLVRKSVEVYDPTTNAWRQVADMNMCRRNAGVCA VNGLLYVVGGDDGSCNLASVEYYNPTTDKWTVVSSCMSTGRSYAGVTVIDKPL >ENSMUSP00000136698.1 pep:known chromosome:GRCm38:17:39953353:39955244:1 gene:ENSMUSG00000095886.1 transcript:ENSMUST00000178880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp38 description:exocrine gland secreted peptide 38 [Source:MGI Symbol;Acc:MGI:5439397] MASFPLMYFFIILVFPTILPEGRALKRTEEEPHISADLKVDFEIPVCQSKINDINVMLMS RVSDQGGVLFLPFRFVLHTC >ENSMUSP00000086978.6 pep:known chromosome:GRCm38:14:56117619:56120625:-1 gene:ENSMUSG00000022156.7 transcript:ENSMUST00000089549.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzme description:granzyme E [Source:MGI Symbol;Acc:MGI:109265] MPPVLILLTLLLPLGAGAEEIIGGHVVKPHSRPYMAFVKSVDIEGNRRYCGGFLVQDDFV LTAAHCRNRTMTVTLGAHNIKAKEETQQIIPVAKAIPHPDYNATAFFSDIMLLKLESKAK RTKAVRPLKLPRPNARVKPGDVCSVAGWGSRSINDTKASARLREAQLVIQEDEECKKRFR HYTETTEICAGDLKKIKTPFKGDSGGPLVCDNKAYGLLAYAKNRTISSGVFTKIVHFLPW ISRNMKLL >ENSMUSP00000023788.6 pep:known chromosome:GRCm38:15:101689932:101694307:-1 gene:ENSMUSG00000058354.6 transcript:ENSMUST00000023788.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt6a description:keratin 6A [Source:MGI Symbol;Acc:MGI:1100845] MSTKTTIKSQTSHRGYSASSARVPGLNRSGFSSVSVCRSRGSGGSSAMCGGAGFGSRSLY GVGSSKRISIGGGSCGIGGGYGSRFGGSFGIGGGAGSGFGFGGGAGFGGGYGGAGFPVCP PGGIQEVTINQSLLTPLNLQIDPTIQRVRTEEREQIKTLNNKFASFIDKVRFLEQQNKVL DTKWALLQEQGTKTVRQNLEPMFEQYISNLRRQLDSIIGERGRLDSELRNMQDTVEDYKS KYEDEINKRTAAENEFVTLKKDVDAAYMNKVELQAKADSLTDDINFLRALYEAELSQMQT HISDTSVVLSMDNNRSLDLDSIIAEVKAQYEDIAQRSRAEAESWYQTKYEELQVTAGRHG DDLRNTKQEIAEINRMIQRLRSEIDHVKKQCANLQAAIADAEQRGEMALKDARGKLEGLE DALQKAKQDMARLLKEYQELMNVKLALDVEIATYRKLLEGEECRLNGEGVGPVNISVVQS TVSSGYGSAGGASSSLGLGGGSSYSYSSSHGLGGGFSAGSGRAIGGGLSSSGGLSSSTIK YTTTSSSKKSYRQ >ENSMUSP00000024849.9 pep:known chromosome:GRCm38:17:71252176:71310965:-1 gene:ENSMUSG00000024053.10 transcript:ENSMUST00000024849.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emilin2 description:elastin microfibril interfacer 2 [Source:MGI Symbol;Acc:MGI:2389136] MCQETPPRPRAPSRWTPALLALLALGGAGLCHASSQPGYHARPSARNKNWCAYIVNKNVS CTVQEGSESFIQAQYNCPWNQMPCPSALVYRVNFRPRFVTRYKIVTQLEWRCCPGFRGPD CQEGPKDHMKTPRPPSARPKNNLKKATDTDPSQVSQPKKTLSPTNAVEPGQVADAKQGPP ELQQSKVQVLEEKVVRLTRMVLDLQSTVVGLKENLKHTIQDDGRKEPDSWLGPLHPQPTP DSPLAGDAEPSQLPGIPSSKESGMKDIKSELAEVKDTLKTKSDKLEELDGKVKGYEGQLK QLQEAAQGPTVTMTTNELYQAYVDSKIDALREELMEGMDRKLADLKNTCEYKLVGLQQQC DDYGSSYLGVIELIGEKEASLKKDIADLRAQLQDPVAQPSCCNGQKSSDFGPQIKALDQK IERVAEATRMLNGRLDNEFDRLSVPEPDADFDARWTELDARINVTEKNAEEHCFYIEETL RGTINGEVDDLRKLLNEKIHSLEDRLGIVLQAANSSDVELTPMGPALPEQPGAENEQVLM ELSRLKDKVQVVEDFCLQSLPHGIDGALPSVEDLTHVSLSLLESLNDTMHRQFQETSHSI QKLQEDVNALHSQLNHSECTGTYLQNGVSDSRTGDSMEASGFTKTGEQERTVGTVPSPGT PAAPCCGQLEERWQKLQNQMLAELDTCKESAHGVQSGVSAIEGRVFQLEQTCRRLDTISG SLQRIKEGLGKHVGSLWNCIRQMNGTLKSHSRDISGLKNSVQQFYSHVFQISTDLQDLVK FQPSATEEPSEATEGPSGKTPLESTRPSEEAPTEPPRLTPLPEDPAGPPQTGQQPVLPQR PLQPPPLPAWPGRTGLPFLPGSSGVIMETGEAGPPGRMGVSGRGLPRGVDGQMGQGPIHS SEGYAGAPGYPKSPPVTTPGVPLPTLVSFSAGLTQKPFPSDGGVVLFNKVLVNDGDVYNP NTGIFTAPYDGRYLITATLTPERDTYVEAVLSVSNASVAQLHTAGYRREFLEYHRPPGAV HTCGGPGAFHLIVHLKAGDGVNVVVTGGRLAHTDFDEMYSTFSGVFLYPFLSHL >ENSMUSP00000095012.4 pep:known chromosome:GRCm38:17:14995787:15041537:-1 gene:ENSMUSG00000079710.9 transcript:ENSMUST00000097400.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3448 description:predicted gene 3448 [Source:MGI Symbol;Acc:MGI:3809205] MERRGRMAKTPTGQTHQSPVSKRERKPSMFEKESYAQILRERLRESFHDVQYVEPPFDDS IADVGKEWKSALAKLKFANSYRMEPLKKFQAHLVETKIQQILKDSLKDVKYDDKAPHLSL ELADRILAAVKEFAYHRYKFIIQVLFIQKTGQAINIASRWIWDVAWDNWVEAKHETESYV VLALVFALYCE >ENSMUSP00000137218.1 pep:known chromosome:GRCm38:17:14995783:15010184:-1 gene:ENSMUSG00000079710.9 transcript:ENSMUST00000179539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3448 description:predicted gene 3448 [Source:MGI Symbol;Acc:MGI:3809205] MERRGRMAKTPTGQTHQSPVSKRERKPSMFEKESYAQILRERLRESFHDVQYVEPPFDDS IADVGKEWKSALAKLKFANSYRMEPLKKFQAHLVETKIQQILKDSLKDVKYDDKAPHLSL ELADRILAAVKEFAYHRYKFIIQVLFIQKTGQAINIASRWIWDVAWDNWVEAKHETESYV VLALVFALYCE >ENSMUSP00000095011.4 pep:known chromosome:GRCm38:17:14964189:14978812:-1 gene:ENSMUSG00000079710.9 transcript:ENSMUST00000097399.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3448 description:predicted gene 3448 [Source:MGI Symbol;Acc:MGI:3809205] MERRGRMAKTPTGQTHQSPVSKRERKPSMFEKESYAQILRERLRESFHDVQYVEPPFDDS IADVGKEWKSALAKLKFANSYRMEPLKKFQAHLVETKIQQILKDSLKDVKYDDKAPHLSL ELADGILAAVKEFAYHRYKFIIQVLFIQKTGQAINIASRWIWDVAWDNWVEAKHETESYV VLALVFALYCE >ENSMUSP00000107035.1 pep:known chromosome:GRCm38:14:55518978:55524191:-1 gene:ENSMUSG00000040632.15 transcript:ENSMUST00000111404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrl description:neural retina leucine zipper gene [Source:MGI Symbol;Acc:MGI:102567] MAFPPSPLAMEYVNDFDLMKFEIKREPSEGRSGVPTASLGSTPYSSVPPSPTFSEPGMVG GGEAPRPGLEELYWLATLQQQLGSDEVLGLSPDEAVELLQNQGPVSMEGPLGYYSGSPGE TGAQHVQLPERFSDAALVSMSVRELNRQLRGCGRDEALRLKQRRRTLKNRGYAQACRSKR LQQRRGLEAERARLAAQLDALRAEVARLARERDLYKARCDRLTSGGPGSDDHTHLFL >ENSMUSP00000054457.7 pep:known chromosome:GRCm38:14:55519528:55524981:-1 gene:ENSMUSG00000040632.15 transcript:ENSMUST00000062232.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrl description:neural retina leucine zipper gene [Source:MGI Symbol;Acc:MGI:102567] MAFPPSPLAMEYVNDFDLMKFEIKREPSEGRSGVPTASLGSTPYSSVPPSPTFSEPGMVG GGEAPRPGLEELYWLATLQQQLGSDEVLGLSPDEAVELLQNQGPVSMEGPLGYYSGSPGE TGAQHVQLPERFSDAALVSMSVRELNRQLRGCGRDEALRLKQRRRTLKNRGYAQACRSKR LQQRRGLEAERARLAAQLDALRAEVARLARERDLYKARCDRLTSGGPGSDDHTHLFL >ENSMUSP00000136445.1 pep:known chromosome:GRCm38:14:55519528:55524965:-1 gene:ENSMUSG00000040632.15 transcript:ENSMUST00000178694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrl description:neural retina leucine zipper gene [Source:MGI Symbol;Acc:MGI:102567] MAFPPSPLAMEYVNDFDLMKFEIKREPSEGRSGVPTASLGSTPYSSVPPSPTFSEPGMVG GGEAPRPGLEELYWLATLQQQLGSDEVLGLSPDEAVELLQNQGPVSMEGPLGYYSGSPGE TGAQHVQLPERFSDAALVSMSVRELNRQLRGCGRDEALRLKQRRRTLKNRGYAQACRSKR LQQRRGLEAERARLAAQLDALRAEVARLARERDLYKARCDRLTSGGPGSDDHTHLFL >ENSMUSP00000023220.8 pep:known chromosome:GRCm38:15:76538943:76542130:1 gene:ENSMUSG00000022560.8 transcript:ENSMUST00000023220.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc52a2 description:solute carrier protein 52, member 2 [Source:MGI Symbol;Acc:MGI:1289288] MAAPPLGRLVLTHLLVALFGMGSWAAVNGIWVELPVVVKELPEGWSLPSYLSVLVALGNL GLLLVTLWRRLARGKGEQVPIRVVQGLGIVGTGLLASLWNHVAPVAGKPYSVAFLTLAFV LALACCASNVTFLPFLSHLPPPFLRSFFLGQGLSALLPCVLALGQGVGRLECLHVPANRT TGPPIEVSPINFPERFSATTFFWVLTALLGTSAAAFQGLLLLLPSPTSEPTTGTGLRVET PGTEEEEEEEEASPLQEPPGQVAGIVSSPDPKAHQLFSSRSACLLGLLAITNALTNGVLP AVQSFSCLPYGRLAYHLAVVLGSCANPLACFLAMAVLCRSLAGLCGLSLLGMLLGSYLMT LAALSPCPPLVGTSAGVVLVVLSWVLCAGTFSYIKVAISSMLHSGGRPALLAAGVAIQVG SLLGAVAMFPPTSIYRVFRSGKDCVDQCGL >ENSMUSP00000136056.1 pep:known chromosome:GRCm38:10:100351111:100351980:1 gene:ENSMUSG00000095161.1 transcript:ENSMUST00000177847.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4305 description:predicted gene 4305 [Source:MGI Symbol;Acc:MGI:3782485] MTTMADKMDMSLEDIIKLNKMQQGRRDRPDSRVKRGTGPKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAHVHFERKADALKAMREYNGAPLDGRPMNIHLVTSQIDRQGRPALNSDKGGM TRNPGSGVLSGGGTKRWTLGGSQGSGRGTSRNSKLQQQQQQQQQQEEQKHQKQQQQKQQQ QQQKQGQNHQHQQQQKEQQQQQQQKELQQLSVEELDAQLDYYQDMMDTS >ENSMUSP00000095010.4 pep:known chromosome:GRCm38:17:15027157:15041559:-1 gene:ENSMUSG00000079707.9 transcript:ENSMUST00000097398.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcte3 description:t-complex-associated testis expressed 3 [Source:MGI Symbol;Acc:MGI:98642] MERRGRMAKTPTGQTHQSPVSKRERKPSMFEKESYAQILRERLRESFHDVQYVEPPFDDS IADVGKEWKSALAKLKFANSYRMEPLKKFQAHLVETKIQQILKIASRWIWDVAWDNWVEA KHETESYVVLALVFALYCE >ENSMUSP00000036704.6 pep:known chromosome:GRCm38:17:15027157:15041559:-1 gene:ENSMUSG00000079707.9 transcript:ENSMUST00000040746.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcte3 description:t-complex-associated testis expressed 3 [Source:MGI Symbol;Acc:MGI:98642] MERRGRMAKTPTGQTHQSPVSKRERKPSMFEKESYAQILRERLRESFHDVQYVEPPFDDS IADVGKEWKSALAKLKFANSYRMEPLKKFQAHLVETKIQQILKDSLKDVKYDDKAPHLSL ELADRILAAVKEFAYHRYKFIIQVLFIQKTGQAINIASRWIWDVAWDNWVEAKHETESYV VLALVFALYCE >ENSMUSP00000023203.4 pep:known chromosome:GRCm38:15:76696764:76699674:1 gene:ENSMUSG00000022546.4 transcript:ENSMUST00000023203.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpt description:glutamic pyruvic transaminase, soluble [Source:MGI Symbol;Acc:MGI:95802] MASQRNDRIQASRNGLKGKVLTLDTMNPCVRRVEYAVRGPIVQRALELEQELRQGVKKPF TEVIRANIGDAQAMGQRPITFFRQVLALCVYPNLLSSPDFPEDAKRRAERILQACGGHSL GAYSISSGIQPIREDVAQYIERRDGGIPADPNNIFLSTGASDAIVTMLKLLVAGEGRART GVLIPIPQYPLYSAALAELDAVQVDYYLDEERAWALDIAELRRALCQARDRCCPRVLCVI NPGNPTGQVQTRECIEAVIRFAFEEGLFLMADEVYQDNVYAEGSQFHSFKKVLTEMGPPY ATQQELASFHSVSKGYMGECGFRGGYVEVVNMDAEVQKQMAKLMSVRLCPPVPGQALMGM VVSPPTPSEPSFKQFQAERQEVLAELAAKAKLTEQVFNEAPGIRCNPVQGAMYSFPQIQL PLKAVQRAQDLGLAPDMFFCLCLLEETGICVVPGSGFGQQEGTYHFRMTILPPMEKLRVL LEKLRHFHAKFTHEYS >ENSMUSP00000093749.1 pep:known chromosome:GRCm38:16:48283735:48294292:1 gene:ENSMUSG00000058550.14 transcript:ENSMUST00000096045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dppa4 description:developmental pluripotency associated 4 [Source:MGI Symbol;Acc:MGI:2157525] METAGDKKWSAEEPKEEVELQMSSQPSTAPAKAKATGKKQKKSETDNGCKPKEGKPQDTE TPGQTRRKVPIPPIPEYLPPVNLIHRDVLRAWCQKKRVSSKGQKLDAYKRLLARAFPEQM LELRNVPDSAKDARLKTAHKKMKTEPGEESEVTVPLEMVPVPEEQIPALIDPPMLYEEVS TTVVTTPATEAVLASWARIASNAKKYEAVPADASSSSEVKGEMWCVVHGTSLPGNSRGWV RLQFHAGQAWVPDKKGKAIALFLLPACTFPPPHLEDNMLCPKCVHKNKILTKSLEG >ENSMUSP00000093748.1 pep:known chromosome:GRCm38:16:48283735:48294292:1 gene:ENSMUSG00000058550.14 transcript:ENSMUST00000050705.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dppa4 description:developmental pluripotency associated 4 [Source:MGI Symbol;Acc:MGI:2157525] METAGDKKWSAEEPKEEVELQMSSQPSTAPAKAKATGKKQKKSETDNGCKPKEGKPQDTE TPGQTRRKLELRNVPDSAKDARLKTAHKKMKTEPGEESEVTVPLEMVPVPEEQIPALIDP PMLYEEVSTTVVTTPATEAVLASWARIASNAKKYEAVPADASSSSEVKGEMWCVVHGTSL PGNSRGWVRLQFHAGQAWVPDKKGKAIALFLLPACTFPPPHLEDNMLCPKCVHKNKILTK SLEG >ENSMUSP00000093074.4 pep:known chromosome:GRCm38:8:21427456:21428298:1 gene:ENSMUSG00000094662.1 transcript:ENSMUST00000095424.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21498 description:predicted gene, 21498 [Source:MGI Symbol;Acc:MGI:5434853] MKTFVLLSALVLLAYQVQADPIHKTDEETNTEEQPGEEDQAVSISFGGQEGSALHEELSK KLICYCRIRGCKRRERVFGTCRNLFLTFVFCCS >ENSMUSP00000033900.5 pep:known chromosome:GRCm38:8:11453392:11478638:-1 gene:ENSMUSG00000031504.5 transcript:ENSMUST00000033900.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab20 description:RAB20, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:102789] MRKPDGKIVLLGDMNVGKTSLLQRYMERRFPDTVSTVGGAFYLKQWRSFNISIWDTAGRE QFHGLGSLYCRGAAAIILTYDVNHPQSLFELEDRFLGLTETANNDCLFAIVGNKVDLTSE RDTEGGEKEGPASGKVGSCVSTKVPKQVQPEDAVALYKKILKYKMLDEREMPAAEQMCFE TSAKTGYNVDLLFETLFDLVVPMIMRQRAEESDQTVDIASCKTPKQTRSGCCA >ENSMUSP00000034260.7 pep:known chromosome:GRCm38:8:71691719:71701800:-1 gene:ENSMUSG00000031803.7 transcript:ENSMUST00000034260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt3 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 3 [Source:MGI Symbol;Acc:MGI:2152535] MRLPRQSPYEILLLVLVALLVLLLLLTSKSPPSCSAPERSKEPEDNPGWATGHPRTHPAR CRANLSVSSHPDFAGLPLHVRDFLFYRHCRDFPVLREPRVTKCAEPVFLLLAIKSSPANY GRRQMLRTTWARERRVRGAPLRRLFLVGSDRDPQQARKYNRLLELEAQKYGDILQWDFHD SFFNLTLKQVLFLEWQLTYCTNASFVLNGDDDVFAHTDNMVTYLQDHDPDQHLFVGHLIQ NVGPIRVPWSKYFIPALVMAEDRYPPYCGGGGFLLSRFTVAALRRAARVLPMFPIDDVFL GMCLQQQGLAPGTHSGVRTAGVFPPSPRVSSFDPCFYRDLLLVHRFLPFEMLLMWDALNQ PQLLCGRQSPAY >ENSMUSP00000022599.7 pep:known chromosome:GRCm38:14:86655367:87141224:-1 gene:ENSMUSG00000022021.13 transcript:ENSMUST00000022599.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diaph3 description:diaphanous related formin 3 [Source:MGI Symbol;Acc:MGI:1927222] MERHRARALGRDSKSSRRKGLQSAPPAGPYEPGEKRPKLHLNIRTLTDDMLDKFASIRIP GSKKERPPLPHLKTVSGISDSSSLSSETMENNPKALPESEVLKLFEKMMEDMNLNEDKKA PLREKDFGIKKEMVMQYINTASKTGSLRSSRQISPQEFLHELKMGYTDERLFTYLESLRV SLTSHPVSWVQSFGHEGLGLLLDILEKLINGQIQEKVVKKTQHKVIQCLRALMNTQYGLE RIMSDKRSLSLLAKAMDPRQPAMMADVVKLLSAVCIVGEESILEEVLEALTSAGEERKID RFFSIVEGLRHNSVNLQVACMQLINALVTSPDDLDFRLHLRNEFMRCGLKEILPNLKGIK NDGLDIQLKVFDEHKEEDLSEFFHRLEDIRAELDEASDVYSMLWDTVKETRAEGHFLSIL QHLLLIRNDRFIREQYFKLIDECVSQIVLHRDGTDPDFTYRKRLDLDLSQFVDVCIDQAK LDEWEEKASEHCKKFEKECTDHQETQAQLQKREAKINELQAELQAFKSQFGALPPGTKIP LQPSVEGEAGPSALPPAPPALSGGVPPPPPPPPPPPPPLPGMPMPFGGPVPPPPPLGFLG GQSSIPLNLPFGLKPKKEFKPEISMRRLNWLKIGPNEMSENCFWIKVNENKYENRDLLCK LENTFCCQEKEKRNTNDFDEKKVIKKRMKELKFLDPKIAQNLSIFLSSFRVPYEKIRTMI LEVDETQLSESMIQNLIKHLPDEEQLKSLSQFRSDYNSLCEPEQFAVVMSNVKRLRPRLS AILFKLQFEEQVNNIKPDIMAVSTACEEIKKSKGFSKLLELVLLMGNYMNAGSRNAQTFG FDLSSLCKLKDTKSADQKTTLLHFLVDVCEEKHADILHFVDDLAHLDKASRVSVEMLEKN VKQMGRQLQQLEKNLETFPPPEDLHDKFVIKMSIFVISANEQYEKLSTLLGSMTQLYQSI MGYYAVDMKKVSVEEFFNDLNNFRTSFMLALKENIKKREAAEKEKRARIAKERAEKERLE RQQEKKRLLEMKTEGDETGVMDSLLEALQSGAAFRDRRKRTPKLKDIRQSLSPMSQRPVL KVCNHENQKMQLTEGSRPHHSINCNSTRTPVAKELNYNLDTHASTGRIKAVEKEACNAES NKKKEMELLGSVAKSESVPEVEALLARLRAL >ENSMUSP00000129420.1 pep:known chromosome:GRCm38:14:86656323:87141114:-1 gene:ENSMUSG00000022021.13 transcript:ENSMUST00000168889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Diaph3 description:diaphanous related formin 3 [Source:MGI Symbol;Acc:MGI:1927222] MERHRARALGRDSKSSRRKGLQSAPPAGPYEPGEKRPKLHLNIRTLTDDMLDKFASIRIP GSKKERPPLPHLKTVSGISDSSSLSSETMENNPKALPESEVLKLFEKMMEDMNLNEDKKA PLREKDFGIKKEMVMQYINTASKTGSLRSSRQISPQEFLHELKMGYTDERLFTYLESLRV SLTSHPVSWVQSFGHEGLGLLLDILEKLINGQIQEKVVKKTQHKVIQCLRALMNTQYGLE RIMSDKRSLSLLAKAMDPRQPAMMADVVKLLSAVCIVGEESILEEVLEALTSAGEERKID RFFSIVEGLRHNSVNLQVACMQLINALVTSPDDLDFRLHLRNEFMRCGLKEILPNLKGIK NDGLDIQLKVFDEHKEEDLSEFFHRLEDIRAELDEASDVYSMLWDTVKETRAEGHFLSIL QHLLLIRNDRFIREQYFKLIDECVSQIVLHRDGTDPDFTYRKRLDLDLSQFVDVCIDQAK LDEWEEKASEHCKKFEKECTDHQETQAQLQKREAKINELQAELQAFKSQFGALPPGTKIP LQPSVEGEAGPSALPPAPPALSGGVPPPPPPPPPPPPPLPGMPMPFGGPVPPPPPLGFLG GQSSIPLNLPFGLKPKKEFKPEISMRRLNWLKIGPNEMSENCFWIKVNENKYENRDLLCK LENTFCCQEKEKRNTNDFDEKKVIKKRMKELKFLDPKIAQNLSIFLSSFRVPYEKIRTMI LEVDETQLSESMIQNLIKHLPDEEQLKSLSQFRSDYNSLCEPEQFAVVMSNVKRLRPRLS AILFKLQFEEQVNNIKPDIMAVSTACEEIKKSKGFSKLLELVLLMGNYMNAGSRNAQTFG FDLSSLCKLKDTKSADQKTTLLHFLVDVCEEKHADILHFVDDLAHLDKASRVSVEMLEKN VKQMGRQLQQLEKNLETFPPPEDLHDKFVIKMSSFVISANEQYEKLSTLLGSMTQLYQSI MGYYAVDMKKVSVEEFFNDLNNFRTSFMLALKENIKKREAAEKEKRARIAKERAEKERLE RQQEKKRLLEMKTEGDETGVMDSLLEALQSGAAFRDRRKRTPKLKDIRQSLSPMSQRPVL KVCNHENQKMQLTEGSRPHHSINCNSTRTPVAKELNYNLDTHASTGRIKAVEKEACNAES NKKKEMELLGSVAKSESVPEVEALLARLRAL >ENSMUSP00000136438.1 pep:known chromosome:GRCm38:19:6977741:6980461:-1 gene:ENSMUSG00000056629.15 transcript:ENSMUST00000177752.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp2 description:FK506 binding protein 2 [Source:MGI Symbol;Acc:MGI:95542] MRLSWILTILSICLSALAAATGAEGKRKLQIGVKKRVDHCPIKSRKGDVLHMHYTGKLED GTEFDSSLPQNQPFVFSLGTGQVIKGWDQGLLGMCEGEKRKLVIPSELGYGERGAPPKIP GGATLVFEVELLKIERRSEL >ENSMUSP00000066839.8 pep:known chromosome:GRCm38:19:6977741:6980440:-1 gene:ENSMUSG00000056629.15 transcript:ENSMUST00000070878.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp2 description:FK506 binding protein 2 [Source:MGI Symbol;Acc:MGI:95542] MRLSWILTILSICLSALAAATGAEGKRKLQIGVKKRVDHCPIKSRKGDVLHMHYTGKLED GTEFDSSLPQNQPFVFSLGTGQVIKGWDQGLLGMCEGEKRKLVIPSELGYGERGAPPKIP GGATLVFEVELLKIERRSEL >ENSMUSP00000126191.1 pep:known chromosome:GRCm38:15:81235499:81238963:1 gene:ENSMUSG00000050164.10 transcript:ENSMUST00000166855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mchr1 description:melanin-concentrating hormone receptor 1 [Source:MGI Symbol;Acc:MGI:2180756] MDLQASLLSTGPNASNISDGQDNFTLAGPPPRTRSVSYINIIMPSVFGTICLLGIVGNST VIFAVVKKSKLHWCSNVPDIFIINLSVVDLLFLLGMPFMIHQLMGNGVWHFGETMCTLIT AMDANSQFTSTYILTAMAIDRYLATVHPISSTKFRKPSMATLVICLLWALSFISITPVWL YARLIPFPGGAVGCGIRLPNPDTDLYWFTLYQFFLAFALPFVVITAAYVKILQRMTSSVA PASQRSIRLRTKRVTRTAIAICLVFFVCWAPYYVLQLTQLSISRPTLTFVYLYNAAISLG YANSCLNPFVYIVLCETFRKRLVLSVKPAAQGQLRTVSNAQTADEERTESKGT >ENSMUSP00000066256.6 pep:known chromosome:GRCm38:14:32513521:32580989:1 gene:ENSMUSG00000054051.6 transcript:ENSMUST00000066807.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc6 description:excision repair cross-complementing rodent repair deficiency, complementation group 6 [Source:MGI Symbol;Acc:MGI:1100494] MFHEEVPNSTHPQEQDCLPSQHANAYKDMPVGQENGGVSEAGECLSSTSCEYGPSTSAEA CVLAATRRGPTLLHIDRHQIPAVEPSAQALELQGLGVDVYDQAVLEQGVLQQVDSAMHEA SCVAQLADAEKEYQSVLDDLMSCTTSLRQINKIIEQLSPQAASNRDINRKLDSVKRQKYN KEQQLKKITAKQKRLQAILGGAGVQVELDHASLEEDDAEPGPSCLGSMLMPAQETAWEEL IRTGQMTPFGTPAPQKQEKKPRKIMLNEASGFEKYLAEQAQLSFERKKQAATKRTAKKAI VISESSRAAIETKADQRSQVLSQTDKRLKKHSRKLQRRALQFQGKVGLPSGKKPLEPEVR PEAEGDTEGEESGSSPTDGEEEEEQEEEEGVASLSSDDVSYELKPLRKRQKYQKKVPVQE IDDDFFPSSEEEDEAMEGRGGGRKVARRQDDGDEDYYKQRLRRWNRLRLQDKEKRLKLED DSEESDAEFDEGFKVPGFLFKKLFKYQQTGVRWLWELHCQQAGGILGDEMGLGKTIQIIA FLAGLSYSKIRTRGSNYRFEGLGPTIIVCPTTVMHQWVKEFHTWWPPFRVAVLHETGSYT HKKERLIRDIVYCHGVLITSYSYIRLMQDDISRHDWHYVILDEGHKIRNPNAAVTLACKQ FRTPHRIILSGSPMQNNLRELWSLFDFIFPGKLGTLPVFMEQFSVPITMGGYSNASPVQV KTAYKCACVLRDTINPYLLRRMKSDVKMSLSLPDKNEQVLFCRLTDEQHKVYQNFIDSKA VYRILNGENQIFSGLVALRKICNHPDLFSGGPKNASGPPEDELEEEQFGHWRRSGKMIVV ESLLKIWHRQGQRVLLFSQSRQMLHILEVFLRAHKYSYLKMDGTTTIASRQPLITKYNED TSIFVFLLTTRVGGLGVNLTGANRVIIYDPDWNPSTDTQARERAWRIGQKKQVTVYRLLT AGTIEEKIYHRQIFKQFLTNRVLKDPKQRRFFKSNDLYELFTLTSPDASQGTETSAIFAG TGSSIQTPKCQLKKRTSTVLGTDPKCKKPPVSDTPANAATLIGEKPKAAGATGRSVTSGE SGPFKGDHDTNGNRASSVAFGEETDAGSTLEHLSVMSGDGKHSDSPTVDHTSRPPVEAST SEKQGSSYAGARCQAQTEPVPMSEQMEGQFSKYKSKRKHDASEEETTEKRPQPKQKAKNS KHCRDAKFEGTRVPHLVKKRRYRQQTSEQEGGAKDRSSDDYVLEKLFKKSVGVHSVVRHD AIIDGSSPDYVLVEAEANRVAQDALKALRLSRQQCLGAASGVPTWTGHRGISGAPTGVKN RFGQKRDSSLPVQHPSSLTEKTQNNMKKEGKAHTPEHFSGKEDGASVSGAPSSSSLLARM RARNHMILPERLESDSEHLAEAAAVPPCGTEHDDLLVDMRNFIAFQAQVDGQASTQEILQ EFESKLSVAQSCVFRELLRNLCNFHRTPGGEGIWKLKPEYC >ENSMUSP00000021335.5 pep:known chromosome:GRCm38:12:51377580:51450096:1 gene:ENSMUSG00000020952.9 transcript:ENSMUST00000021335.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scfd1 description:Sec1 family domain containing 1 [Source:MGI Symbol;Acc:MGI:1924233] MVGSKMAATASIRERQTVALKRMLNFNVPHVKNSTGEPVWKVLIYDRFGQDIISPLLSVK ELRDMGITLHLLLHSDRDPIPDVPAVYFVMPTEENIDRLCQDLRNQLYESYYLNFISAIS RSKLEDIANAALAASAVTQVAKVFDQYLNFITLEDDMFVLCNQNKELVSYRAINRPDITD TEMETVMDTIVDSLFCFFVTLGAVPIIRCSRGTAAEMVAVKLDKKLRENLRDARNSLFTG DPLGTGQFSFQRPLLVLVDRNIDLATPLHHTWTYQALVHDVLDFHLNRVNLEESTGVENS PAGARPKRKNKKSYDLTPVDKFWQKHKGSPFPEVAESVQQELESYRAQEDEVKRLKSIMG LEGEDEGAISMLSDNTAKLTSAVSSLPELLEKKRLIDLHTNVATAVLEHIKARKLDVYFE YEEKIMSKTTLDKSLLDVISDPDAGTPEDKMRLFLIYYISAQQAPSEVDLEQYKKALTDA GCNLSPLQYIKQWKAFAKMASTPASYGNTTTKPMGLLSRVMNTGSQFVMEGVKNLVLKQQ NLPVTRILDNLMEMKSNPETDDYRYFDPKMLRSNDSSVPRNKSPFQEAIVFVVGGGNYIE YQNLVDYIKAKQGKHILYGCSEIFNATQFIKQLSQLGQK >ENSMUSP00000108003.3 pep:known chromosome:GRCm38:14:24490681:24495432:1 gene:ENSMUSG00000025290.16 transcript:ENSMUST00000112384.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps24 description:ribosomal protein S24 [Source:MGI Symbol;Acc:MGI:98147] MNDTVTIRTRKFMTNRLLQRKQMVIDVLHPGKATVPKTEIREKLAKMYKTTPDVIFVFGF RTHFGGGKTTGFGMIYDSLDYAKKNEPKHRLARHGLYEKKKTSRKQRKERKNRMKKVRGT AKANVGAGKK >ENSMUSP00000125977.1 pep:known chromosome:GRCm38:14:24490681:24496146:1 gene:ENSMUSG00000025290.16 transcript:ENSMUST00000169826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps24 description:ribosomal protein S24 [Source:MGI Symbol;Acc:MGI:98147] MNDTVTIRTRKFMTNRLLQRKQMVIDVLHPGKATVPKTEIREKLAKMYKTTPDVIFVFGF RTHFGGGKTTGFGMIYDSLDYAKKNEPKHRLARHGLYEKKKTSRKQRKERKNRMKKVRGT AKANVGAGKKPKE >ENSMUSP00000068004.5 pep:known chromosome:GRCm38:12:112500184:112511160:-1 gene:ENSMUSG00000054013.5 transcript:ENSMUST00000066791.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem179 description:transmembrane protein 179 [Source:MGI Symbol;Acc:MGI:2144891] MALNNFLFAQCVCYFLAFLFSFVVVVPLSENGHDFRGRCLLFTEGMWLSANLTMQGRERF TVQEWGPPAACRFSLLASLLSLLLAAAHAWRTLFFLCKGHEGSFFYAFLNLLVSAFVVFL VFIASTIVSVGFTMWCDTITEKGSTPHSCEEFQETDLELNVDNSAFYHQFAIAQFGLWAS WLAWLAITTLAFLKVYHNYRQEDLLDSLVHEKELLLARPTSRTSFQGEKSAVI >ENSMUSP00000127539.1 pep:known chromosome:GRCm38:16:49057486:49199104:1 gene:ENSMUSG00000092009.1 transcript:ENSMUST00000168680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh15 description:myosin, heavy chain 15 [Source:MGI Symbol;Acc:MGI:3643515] MDLSEFGDATAFLRRNKADPLFQPPAFDGKKKCWVPDGKNAYIEAEVKESGDDGQVIVET RDGEIMRIKEDKLQQMNPEELEMIEDLSMLLYLNEASVLHTLRRRYDHWMIYTYSGIFCV AINPYKWLPVYQKEVMAAYKRKRRSEVPPHIFAVANRAFQDMLRNGENQSIVFTGESGSG KTVNTKLIIQYFATMAAISEPKKKLGNLEDQIVKMNPLLEAFGNAKTQKNDNSSRFGKLI RIHFGARGTLSFADIQIYFLEKSRVVYQQPGERNYHIFYQILSGNQELRNMLLVSTNPSD FHICSCGVVAVESLDDAKEFLATEKAIDVLGFLPDEKFGCYKLVGAIMHFGNLKFKRNLR EEQLEADGTENADKAAFLMGIHASELLKGLIYPRIKVGNEYVTRSQNLQQVTYAVGALSQ SIYERMFQWLVARMNQVLDAKLTSHFFVGILDTTGFEILDYNSLEQLCINFTNEKLQQFF NQQLFILEQEEYRKEGLDWLSIDYGLDVQACIDFIEKPMGIFSILEEECMLPKATDQMFK TKLFDHHFGKSAYFQTPTSPEKNFEVHFELAHYAGVVPYNISGWIGKNKGLLNETVVALL QKSSNKVLANLFTKDIIAGSASQFGEKTHKKGTSFHLITSLHKENINKLMTDLKSTAPHF VRCINPNKNKIPGVMDPFLVLQQLRCNGVLEGIRVCCEAFPSWMLYDDFKQRYWILNPRI FSKSKFVSSRKATEEVLDFLEIDHPHYQCGVTKVFFKAFILDQLEERRDEKISKVFTLFQ ARARGKLMRITFQKILEERDALALIQENIRAFIAVKNCPWMGLFFKIKPLAKSVGAGEEI AGLKEECAQLQKALESSESQREELKTKQVSLVQEKNDLRLQLQAEQETLANSEEQCESLI KSKVELEVKIKELSRQVEEEEEINSELTARGRKLEDECSELKKEIYDLEAILAKSEKGKC AAEHKVRNLTEEVHSLNEEVSKLSRVVKDAQETQQQTQEQLHIEEEKLSNMSKANLKLAQ QIDVLEGDLERERKARMKCEREKRKLQDELKMNQEGAENLESSRQKLAEQLRKKEFEMGQ MNSKVENEKNQVSQLQKMVKELQTHILNLKEELESERTIRAKVEREKGDLVQDLEDLNER LEEAGGTSLAQMEITKQQEARFQKLHHDMEETTRHFEATSASLKKRHAENLAELEGQVEH LQQVRLVLEQDKSDLQLQVDDLLNRVDQMARAKANAEKLCGLYERRLNEANTKLDEVTQL AHDLTTQKTKLQSESGEFFKRLEEKEALISQLSREKSNFTRQVEELRAQLEEESRSQSAL SHALQSAKHDYDLLREQYEEEQEVKAELHRALSKGNKETVQWRAKYEHDAMQRTEDLEEA KKKLAIRLQEAAEAMEVSNAKNASLERARHRLQLELGDALSDLGKARSVAAALGQKQQHS DKALTSWKQKLDETQELLQASQKETRALSSEVLTFRQACEESTEAQETLKRQNQDLQEQI CSLTNQVREGIKNLAEVEKAKKLIEQEKTEVQVRLEETEGALERNESKILRFQLELSEAK AELERKLSEKEEEAERLREKHQQAMGSLQSNLDLEASSRIEATRLRKKMEGDLKEMEIQL CAANRQVSQMTRALGQLQGQMKDLHQQLDDSIYQNKDLKEQVALAEQRTVLLQSELEELR TLQEQTERGRKLAEKELLEATERINLFHTQNTSLLSQKKKLEADVAQVQKEAGEMLQACQ KAEEKAKKTAAEAANMSEELKKEQDTNAHLERMRKNMEQTIKDLQKRLDEAEQTAVLGSK KQIQKLESRVRDLEGELESEVRRSAEAQREARRLERGIKELTYQAEEDKKNLSRMQALSD KLQLKVQSYKQQVEAAEAQANQYLSKYKKQQHELNEAKERAEAAESQVNKLRAKAKELEK KVREE >ENSMUSP00000070748.4 pep:known chromosome:GRCm38:15:43866695:43870029:-1 gene:ENSMUSG00000054409.4 transcript:ENSMUST00000067469.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem74 description:transmembrane protein 74 [Source:MGI Symbol;Acc:MGI:2443417] MELHSLSKRNSPVDPCNALEWSSGETSGDHIEEATIRDAFCYQKNLVSTPRADVVEVCRL STSPASPTSLLQDSAIQTSFSLSGPPDSGNNQVMADRKVCNCCSQELETSFTYVDENVNL EQRSQRSPSAKGSNHPVDLGWGNPNEWSHETAMSLMSEDDDDTSSEATSSGKSVDYGFIS AILFLVTGILLVIISYIVPREVTVDPNTVAAREMERLEKESAMLGAHLDRCVIAGLCLLT LGGVVLSCLLMMSMWKGELYRRNRFASSKESAKLYGSFNFRMKTSTNEDTLELSLVEEDA LAVQS >ENSMUSP00000022690.9 pep:known chromosome:GRCm38:14:70583296:70599835:-1 gene:ENSMUSG00000022095.9 transcript:ENSMUST00000022690.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam160b2 description:family with sequence similarity 160, member B2 [Source:MGI Symbol;Acc:MGI:3036290] MLSRLGALLQEAVGAREPSIDLLQAFVEHWKGITHYYIESTDENTPAKKTDIPWRLKQML DILVYEEKQQASSGEAGPCLEYLLQHKILETLCTLGKAEYPPGMRQQVFQFFSKVLSQVQ HPLLHYLSVHRPVQKLLRLGGTVPGSLTEKEEVQFTSVLCSKIQQDPELLAYILEGKKII GKKKTARESTAPPKDIAGYRDKDCPHSDALNRDPGLDKEHCGVPALSIHLPAETEGPENG PGESNLITSLLGLCKSKKSRLALKAQENILLLVSVASPAAATYLTQSTSCCMAIAEHLCQ LYRSMPACLDPADIATLEGISWRLPSAPSDETAFPGKEALAAFLGWFDYCDHLITEAHTV VADALAKAVAEKLFVETLQPQLLHVSEQSILTSTALLTALLRQLRSPALLQEAMTFLLGT DQHPAAIEDSPHTLGTHLIMHCDHLSDEISIATLRLFEELLQKPHEQAIRSLVLQNLEGR LYVARGSPEPESYEDTLDLEEDPYFTDGFLDSGLQPSTKPPPAPATSSDGKTAVTEIVNS FLCLVPEEAKTSAFLEENGYDTYVHDAYGLFQECSSRVAHWGWPLGPAPLDSHEPERPFF EGRFLQVLFDRIARILDQPYSLNLQVTSVLSRLALFPHPHIHEYLLDPYISLAPGCRSLF SVLVRVIGDLMQRIQRVPQFSGKLLLVRKQLMGQVPGEHLDHQTLLQGVVVLEEFCKELA AIAFVKFPPHGPYLNFSPPPEGQV >ENSMUSP00000021851.6 pep:known chromosome:GRCm38:13:34909964:34919992:-1 gene:ENSMUSG00000021414.7 transcript:ENSMUST00000021851.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam217a description:family with sequence similarity 217, member A [Source:MGI Symbol;Acc:MGI:1919114] MGRKSNESCSSSLHVSSISQENHSQWNLDAEELFDEKETFSSENDGAAGGKTNKSHLENP AEQLILQLTVSEHAHSRSQNSSQGVFQLWSSPVNKGSTVDKREFKNSSVETGFNAANSPR LFTVNHLLASIPGLADSKQVILYPGQSMPAGLCWPYADGDFLKDRNEFHINSCSTMENNN GDSLSASNWNFKYRNSSVEENVTDESDLSENEKMNDSLLSYFKKMDLNLKPETIEHVERP FPEEAGQVPVYADFLPAPFNTLDLHRFAFSKCESWKAAVEPPESSIERLILRLLELERLQ HMTIQRERPRLQSTFYSSMFSMAERPSSSKAIATKAKAPKIPETSTLQTSGVDKNRDKRK NNSGSGKPEQNVSKWSLSSAGKSKSNSRALLKCSSTSKQCAVAHDDLKNSKNSSLNPCQE PPLKPTTTTQATQPMARVVSRCLPPRSPMPVSPIPLSFPENPREEGKVPRTKKKCHRKSI LLNRAFYIQKRNCLSPSLIARGKCSPTDQK >ENSMUSP00000096231.3 pep:known chromosome:GRCm38:8:72204335:72212837:-1 gene:ENSMUSG00000074240.3 transcript:ENSMUST00000098630.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cib3 description:calcium and integrin binding family member 3 [Source:MGI Symbol;Acc:MGI:2685953] MRLFYRYQDLAPQLVPLDYTTSPKVKVPYELIGSMPELKDNPFRQRIAQVFSQDGDGHMT LENFLDMFSVMSEMAPRDLKAYYAFKIYDFNNDNYICAWDLEQTVTRLTRGELSAEEVTL VCEKVLDEADGDQDGRLSLEDFQNMILRAPDFLSTFHIRI >ENSMUSP00000054490.7 pep:known chromosome:GRCm38:13:90923131:90924942:1 gene:ENSMUSG00000049517.7 transcript:ENSMUST00000051955.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps23 description:ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1913725] MGKCRGLRTARKLRSHRRDQKWHDKQYKKAHLGTALKANPFGGASHAKGIVLEKVGVEAK QPNSAIRKCVRVQLIKNGKKITAFVPNDGCLNFIEENDEVLVAGFGRKGHAVGDIPGVRF KVVKVANVSLLALYKGKKERPRS >ENSMUSP00000056250.2 pep:known chromosome:GRCm38:16:88884786:88885089:-1 gene:ENSMUSG00000043982.2 transcript:ENSMUST00000051103.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap19-4 description:keratin associated protein 19-4 [Source:MGI Symbol;Acc:MGI:2157757] MSYYNSYYGGLGYGSGGYGGLGYGYGCGCGSFRRLGYGCGFGGYGGFGYGSGYGGFSSGS GYGGFGYGYRRPLSYGGYGFSTFY >ENSMUSP00000007046.7 pep:known chromosome:GRCm38:18:36735068:36742391:1 gene:ENSMUSG00000006850.7 transcript:ENSMUST00000007046.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco6 description:transmembrane and coiled-coil domains 6 [Source:MGI Symbol;Acc:MGI:1919233] MWNRRQGRLRTLAFGVEELRRRRREREAALRKARREQQLVSKRLLREDAPEEVGGQSAAV LLGEAEVQQFLRLAQRGTDEKEREKALVSLRRGLQHPDTQQTFIRLEGSMRTLVGILTSN RALLQLEAARCLHELSHSEQSAVAEACLPATSYLLTYLSGHSSDFIELCLYTLGNLIVES EAVRKQLLPQGIVPAFAACIQSPHVAVLEALGYALSQLLQAKEAPEKIIPSILDSSLPQQ MLWLMQPGPKLNLGVAMEFAWCLHYIICSQVNNAVLLTHGALPTLALLLLDLAGTVQRMD DVGLELLACPVLRCLSNLLTEVPAEVMGQQMELRDERLVAALFIFLQFFLQKQPALLPEG LWLLNNLTANSPTFCTSLLSLDLIEPLLQLLPLSNAVCMLVLTVLCNVVEKGPAYCQRLW PGPLLSCVLNTLALSDTEVVGQSLELLQLLFLHQPEAARAFLQQSGLQALEKLQEETQLQ ERIHALQQIAATHG >ENSMUSP00000070137.6 pep:known chromosome:GRCm38:16:57036967:57071346:-1 gene:ENSMUSG00000046748.7 transcript:ENSMUST00000067173.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem45a2 description:transmembrane protein 45A2 [Source:MGI Symbol;Acc:MGI:1916707] MNGSGARVGPYHPKVPGDAGGHILPGVFLVTIGLWWSTKSILKYICKQQKRSSSLITPQF LSRAEILEGIVIIVMVLVAMVGQFYWIVNIKPSPHKEMQEAQLRNWQHIIMYVVLAMLGV TKILCFTIRSLPVSLITLMLSNIFFVEAFLIHNHPKSLIVVDSYVHQLLKFSALLAGLAA FIEFLLTKNNVVMELLRSSLTMLQGACFVQIGFILYPKDMEHAWDLNDVNNTIILSIYFG VYYASTYVIIGVNYVLVSWFIKWKLSKLYPSEIQFLKDYEQQEDSEDGM >ENSMUSP00000022579.1 pep:known chromosome:GRCm38:14:75642259:75667137:1 gene:ENSMUSG00000022002.1 transcript:ENSMUST00000022579.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich6b description:glutamate rich 6B [Source:MGI Symbol;Acc:MGI:1922522] MSDDNLSPRPSSLDLPKAFKDSRRTFSPQKNESSQKERLFDAEDESIGSEDDLEFLDFED EESEEDYLEEDEFLDEEAILQREVYLFQATCMEESAYLKEKKLPKHLGDESKIDSTSGLL DVNARSPVSSSSQRTQLTLSSSGSTHDLKFSTSSYYGLPGISATLRNQSSQTEWAYKTKS VIKLILQEKGLPSVDRPQRALDTSLLSSISVPPSIPNRIT >ENSMUSP00000095825.1 pep:known chromosome:GRCm38:7:102614007:102614987:-1 gene:ENSMUSG00000073972.1 transcript:ENSMUST00000098222.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr553 description:olfactory receptor 553 [Source:MGI Symbol;Acc:MGI:3030387] MYPTLPSMFVSNNACSVPSSFWLTGIPGLESLHMWLSIPFGSMYLVAVVGNITILAVVKT ERSLHQPMYFFLCMLAVIDLVLSTSTMPKLLAIFWFGACSIGLDACLVQMFFVHCFATVE SGIFLAMAFDRYVAICDPLHHTSVLTHAVVGRLGLAALLRGVFYIGPLPLLIRLRLPFFR TQIIAHSYCEHMAVVTLACGDTKVNNLYGMGIGFLVLILDSIAITASYIMIFRAVLGLST SDARFKTLGTCGSHICAILVFYIPIAVSSLTHRFGHNVPSHIHILLANFYLLIPPILNPV VYAVRTKQIRERLLHIIKSGTQHKDM >ENSMUSP00000022665.2 pep:known chromosome:GRCm38:14:69784990:69805530:-1 gene:ENSMUSG00000022075.6 transcript:ENSMUST00000022665.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhobtb2 description:Rho-related BTB domain containing 2 [Source:MGI Symbol;Acc:MGI:2180557] MDSDMDYERPNVETIKCVVVGDNAVGKTRLICARACNATLTQYQLLATHVPTVWAIDQYR VCQEVLERSRDVVDDVSVSLRLWDTFGDHHKDRRFAYGRSDVVVLCFSIANPNSLHHVKT MWYPEIKHFCPRAPVILVGCQLDLRYADLEAVNRARRPLARPIKPNEILPPEKGREVAKE LGIPYYETSVVAQFGIKDVFDNAIRAALISRRHLQFWKSHLRNVQRPLLQAPFLPPKPPP PIIVVPDPPSSSEECPAHLLEDPLCADVILVLQERVRIFAHKIYLSTSSSKFYDLFLMDL SEGELGGPSGSGGPRPEDHRSHPEQHHHHHHHHHGRDFLLRAASFDVCESVDEAGGSGPA GLRASTSDGILRGNGTGYLPGRGRVLSSWSRAFVSIQEEMAEDPLTFKSRLMVVVKMDNS IQPGPFRAVLKYLYTGELGENERDLMHIAHIAELLEVFDLRMMVANILNNEAFMNQEITK AFHVRRTNRVKECLAKGTFSDVTFILDDGTISAHKPLLISSCDWMAAMFGGPFVESSTRE VVFPYTSKSCMRAVLEYLYTGMFTSSPDLDDMKLIVLANRLCLPHLVALTEQYTVTGLME ATQMMVDIDGDVLVFLELAQFHCAYQLADWCLHHICTNYNNVCRKFPRDMKAMSPENQEY FEKHRWPPVWYLKEEDHYQRARKEREKEDYLHLRRQPKRRWLFWNSPSSPSSSAAGSASP SSSSSAVV >ENSMUSP00000095755.2 pep:known chromosome:GRCm38:7:105368230:105369355:1 gene:ENSMUSG00000073906.2 transcript:ENSMUST00000098152.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr692 description:olfactory receptor 692 [Source:MGI Symbol;Acc:MGI:3030526] MRPKLHPYRMAESSQSNSTFQHPAFFILTGIPALGDGQAWLSLVFGLMYLLALLGNATLL TVIRIDSTLHQPMFLLLATLAATDLGLATSIAPELLAVLWLGPQPVQYTACLIQMFFVHA LTAMESGVLLAMACDRAVAVGRPLHYPILVTKARVGYAVLALTLKVLAVIVPFPLLVVRF KHFHAKIIHHAYCAHMAVVELVVGNTWVNNMYGLALSLAVSGVDILGIAGSYGLIAHAVL RLPTQEARVKAFGTCSSHICVILAFYVPGLFSFLTHRFGRHTVPKPVHILLSIIYLLLPP ALNPLIYGVRTKQIRDRFLEMFKFRKKQF >ENSMUSP00000127932.1 pep:known chromosome:GRCm38:19:53944306:54033268:1 gene:ENSMUSG00000024976.13 transcript:ENSMUST00000169861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shoc2 description:soc-2 (suppressor of clear) homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1927197] MSSSLGKEKDSKEKDPKVPSAKEREKESKASGGFGKESKEKEPKAKGKDAKDGKKESSAA QPGVAFSVDNTIKRPNPAPGTRKKSSNAEVIKELNKCREENSMRLDLSKRSIHILPPSVK ELTQLTELYLYSNKLQSLPAEVGCLVNLMTLALSENSLTSLPDSLDNLKKLRMLDLRHNK LREIPSVVYRLDSLTTLYLRFNRITTVEKDIKNLPKLSMLSIRENKIKQLPAEIGELCNL ITLDVAHNQLEHLPKEIGNCTQITNLDLQHNDLLDLPDTIGNLSSLNRLGLRYNRLSAIP RSLAKCSALEELNLENNNISTLPESLLSSLVKLNSLTLARNCFQLYPVGGPSQFSTIYSL NMEHNRINKIPFGIFSRAKVLSKLNMKDNQLTSLPLDFGTWTSMVELNLATNQLTKIPED VSGLVSLEVLILSNNLLKKLPHGLGNLRKLRELDLEENKLESLPNEIAYLKDLQKLVLTN NQLSTLPRGIGHLTNLTHLGLGENLLTHLPEEIGTLENLEELYLNDNPNLHSLPFELALC SKLSIMSIENCPLSHLPPQIVAGGPSFIIQFLKMQGPYRAMV >ENSMUSP00000025932.7 pep:known chromosome:GRCm38:19:53944876:54033268:1 gene:ENSMUSG00000024976.13 transcript:ENSMUST00000025932.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shoc2 description:soc-2 (suppressor of clear) homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1927197] MSSSLGKEKDSKEKDPKVPSAKEREKESKASGGFGKESKEKEPKAKGKDAKDGKKESSAA QPGVAFSVDNTIKRPNPAPGTRKKSSNAEVIKELNKCREENSMRLDLSKRSIHILPPSVK ELTQLTELYLYSNKLQSLPAEVGCLVNLMTLALSENSLTSLPDSLDNLKKLRMLDLRHNK LREIPSVVYRLDSLTTLYLRFNRITTVEKDIKNLPKLSMLSIRENKIKQLPAEIGELCNL ITLDVAHNQLEHLPKEIGNCTQITNLDLQHNDLLDLPDTIGNLSSLNRLGLRYNRLSAIP RSLAKCSALEELNLENNNISTLPESLLSSLVKLNSLTLARNCFQLYPVGGPSQFSTIYSL NMEHNRINKIPFGIFSRAKVLSKLNMKDNQLTSLPLDFGTWTSMVELNLATNQLTKIPED VSGLVSLEVLILSNNLLKKLPHGLGNLRKLRELDLEENKLESLPNEIAYLKDLQKLVLTN NQLSTLPRGIGHLTNLTHLGLGENLLTHLPEEIGTLENLEELYLNDNPNLHSLPFELALC SKLSIMSIENCPLSHLPPQIVAGGPSFIIQFLKMQGPYRAMV >ENSMUSP00000071325.5 pep:known chromosome:GRCm38:14:84443563:84537060:1 gene:ENSMUSG00000035566.6 transcript:ENSMUST00000071370.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh17 description:protocadherin 17 [Source:MGI Symbol;Acc:MGI:2684924] MYLSICCCFLLWAPALTLKNLNYSVPEEQGAGTVIGNIGKDARLQPGLPPAERGSGSGRS KSGSYRVLENSAPHLLDVDADSGLLYTKQRIDRESLCRHNAKCQLSLEVFANDKEICMIK VEIQDINDNAPSFPSDQIEMDISENAAPGTRFPLTSAHDPDAGENGLRTYLLTRDDHGLF ALDVKSRGDGTKFPELVIQKALDRELQNHHTLVLTALDGGEPPRSATVQINVKVIDSNDN SPVFEAPSYLVELPENAPLGTVVIDLNATDADEGPNGEVLYSFSSYVPDRVRELFSIDPK TGLIRVKGNLDYEENGMLEIDVQARDLGPNPIPAHCKVTVKLIDRNDNAPSIGFVSVRQG ALSEAAPPGTVIALVRVTDRDSGKNGQLQCRVLGGGGTGGGLGGPGSVPFKLEENYDNFY TVVTDRPLDRETQDEYNVTIVARDGGSPPLNSTKSFAVKILDENDNPPRFTKGLYVLQVH ENNIPGEYLGSVLAQDPDLGQNGTVSYSILPSHIGDVSIYTYVSVNPTNGAIYALRSFNY EQTKAFEFKVLAKDSGAPAHLESNATVRVTVLDVNDNAPVIVLPTLQNDTAELQVPRNAG LGYLVSTVRALDSDFGESGRLTYEIVDGNDDHLFEIDPSSGEIRTLHPFWEDVTPVVELV VKVTDHGKPTLSAVAKLIIRSVSGSLPEGVPRVNGEQHHWDMSLPLIVTLSTISIILLAA MITIAVKCKRENKEIRTYNCRIAEYSHPQLGGGKGKKKKINKNDIMLVQSEVEERNAMNV MNVVSSPSLATSPMYFDYQTRLPLSSPRSEVMYLKPASNNLTVPQGHAGCHTSFTGQGTN SSETPATRMSIIQTDNFPAEPNYMGSRQQFVQSSSTFKDPERASLRDSGHGDSDQADSDQ DTNKGSCCDMSVREALKMKTTSTKSQPLEQEPEECINCTDECRVLGHSDRCWMPQFPAAN QAENADYRTNLFVPTVEANVETETYETVNPTGKKTFCTFGKDKREHTILIANVKPYLKAK RALSPLLQEVPSASSSPTKACIEPCASTKGSLDGCEAKPGPLAEASSSYLPTDSQYPSPS KQPRDPSFMASDQMARVFADVHSRARRASSEMGAVLEQLEQPNRDLGRESVDAEEVVREI DKLLQDCRGNDPVAVRK >ENSMUSP00000033950.5 pep:known chromosome:GRCm38:8:23226610:23237668:-1 gene:ENSMUSG00000031546.5 transcript:ENSMUST00000033950.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gins4 description:GINS complex subunit 4 (Sld5 homolog) [Source:MGI Symbol;Acc:MGI:1923847] MTEVLDLHGQDSDGGSEEMVLTPAELIEKLEQAWMNEKFAPELLESKAEIVECVMEQLEH MEENLRRAKKGDLKVSIHRMEMERIRYVLSSYLRCRLMKIEKFFPHILEKEKVRSEGEPS SLSPEEFVFAKEYMDHTETHFKNVALKHMPPNLQKVDLLRAVPKPDLDSYVFLRVKERQE NILVEPEADEQRDYVIDLEVGSQHLIRYKTIAPLVASGAVQLI >ENSMUSP00000022590.3 pep:known chromosome:GRCm38:14:77826217:77874917:-1 gene:ENSMUSG00000022013.3 transcript:ENSMUST00000022590.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc15 description:DnaJ heat shock protein family (Hsp40) member C15 [Source:MGI Symbol;Acc:MGI:1913398] MATGGGVTSREGLRYAEYLPPSAQRSDADIDHTAGRRLLAVGLGVAAVAFAGRYAFQIWK PLEQVITATARKISSPSFSSYYKGGFEQKMSKREASLILGVSPSAGKAKIRTAHKRIMIL NHPDKGGSPYLASKINEAKDLLEASSKAN >ENSMUSP00000044808.3 pep:known chromosome:GRCm38:12:99717531:99883442:-1 gene:ENSMUSG00000021176.5 transcript:ENSMUST00000046485.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab11 description:EF-hand calcium binding domain 11 [Source:MGI Symbol;Acc:MGI:1926017] MFLSEAGARPRAGEASASERRKWVKVFKACDEDNKGYLSREDFKVAIVMLFGYKPSKIEA DAVMSSVNPNTSGVSLEGFLSAVKRKKEARLYRNEIRQIFTAFDVHYRGFLTLEDFKRAF SRVAPKLPARTVLEVFREADQDSDGHVSFRDFEYAMNHGQSK >ENSMUSP00000003274.6 pep:known chromosome:GRCm38:17:55952623:55957021:1 gene:ENSMUSG00000003206.6 transcript:ENSMUST00000003274.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebi3 description:Epstein-Barr virus induced gene 3 [Source:MGI Symbol;Acc:MGI:1354171] MSKLLFLSLALWASRSPGYTETALVALSQPRVQCHASRYPVAVDCSWTPLQAPNSTRSTS FIATYRLGVATQQQSQPCLQRSPQASRCTIPDVHLFSTVPYMLNVTAVHPGGASSSLLAF VAERIIKPDPPEGVRLRTAGQRLQVLWHPPASWPFPDIFSLKYRLRYRRRGASHFRQVGP IEATTFTLRNSKPHAKYCIQVSAQDLTDYGKPSDWSLPGQVESAPHKP >ENSMUSP00000043588.4 pep:known chromosome:GRCm38:16:22805203:22811410:-1 gene:ENSMUSG00000033501.4 transcript:ENSMUST00000040592.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crygs description:crystallin, gamma S [Source:MGI Symbol;Acc:MGI:1298216] MSKTGGKISFYEDRNFQGRRYDCDCDCADFRSYLSRCNSIRVEGGTWAVYERPNFSGHMY ILPQGEYPEYQRWMGLNDRLGSCRAVHLSSGGQAKIQVFEKGDFNGQMYETTEDCPSIME QFHLREIHSCKVVEGTWIFYELPNYRGRQYLLDKKEYRKPVDWGAASPAIQSFRRIVE >ENSMUSP00000101032.1 pep:known chromosome:GRCm38:10:78069360:78079525:1 gene:ENSMUSG00000000732.8 transcript:ENSMUST00000105393.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icosl description:icos ligand [Source:MGI Symbol;Acc:MGI:1354701] MQLKCPCFVSLGTRQPVWKKLHVSSGFFSGLGLFLLLLSSLCAASAETEVGAMVGSNVVL SCIDPHRRHFNLSGLYVYWQIENPEVSVTYYLPYKSPGINVDSSYKNRGHLSLDSMKQGN FSLYLKNVTPQDTQEFTCRVFMNTATELVKILEEVVRLRVAANFSTPVISTSDSSNPGQE RTYTCMSKNGYPEPNLYWINTTDNSLIDTALQNNTVYLNKLGLYDVISTLRLPWTSRGDV LCCVENVALHQNITSISQAESFTGNNTKNPQETHNNELKVLVPVLAVLAAAAFVSFIIYR RTRPHRSYTGPKTVQLELTDHA >ENSMUSP00000021610.5 pep:known chromosome:GRCm38:12:102554969:102565027:1 gene:ENSMUSG00000021194.5 transcript:ENSMUST00000021610.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chga description:chromogranin A [Source:MGI Symbol;Acc:MGI:88394] MRSTAVLALLLCAGQVFALPVNSPMTKGDTKVMKCVLEVISDSLSKPSPMPVSPECLETL QGDERILSILRHQNLLKELQDLALQGAKERAQQPLKQQQPPKQQQQQQQQQQQEQQHSSF EDELSEVFENQSPDAKHRDAAAEVPSRDTMEKRKDSDKGQQDGFEATTEGPRPQAFPEPN QESPMMGDSESPGEDTATNTQSPTSLPSQEHVDPQATGDSERGLSAQQQARKAKQEEKEE EEEEEAVAREKAGPEEVPTAASSSHFHAGYKAIQKDDGQSDSQAVDGDGKTEASEALPSE GKGELEHSQQEEDGEEAMVGTPQGLFPQGGKGRELEHKQEEEEEEEERLSREWEDKRWSR MDQLAKELTAEKRLEGEDDPDRSMKLSFRTRAYGFRDPGPQLRRGWRPSSREDSVEARSD FEEKKEEEGSANRRAEDQELESLSAIEAELEKVAHQLQALRRG >ENSMUSP00000128388.1 pep:known chromosome:GRCm38:9:6168612:6377519:1 gene:ENSMUSG00000032006.14 transcript:ENSMUST00000168039.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfd description:platelet-derived growth factor, D polypeptide [Source:MGI Symbol;Acc:MGI:1919035] MQRLVLVSILLCANFSCYPDTFATPQRASIKALRNANLRRDESNHLTDLYQREENIQVTS NGHVQSPRFPNSYPRNLLLTWWLRSQEKTRIQLSFDHQFGLEEAENDICRYDFVEVEEVS ESSTVVRGRWCGHKEIPPRITSRTNQIKITFKSDDYFVAKPGFKIYYSFVEDFQPEAASE TNWESVTSSFSGVSYHSPSITDPTLTADALDKTVAEFDTVEDLLKHFNPVSWQDDLENLY LDTPHYRGRSYHDRKSKVDLDRLNDDVKRYSCTPRNHSVNLREELKLTNAVFFPRCLLVQ RCGGNCGCGTVNWKSCTCSSGKTVKKYHEVLKFEPGHFKRRGKAKNMALVDIQLDHHERC DCICSSRPPR >ENSMUSP00000056240.6 pep:known chromosome:GRCm38:9:6168661:6378843:1 gene:ENSMUSG00000032006.14 transcript:ENSMUST00000058692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfd description:platelet-derived growth factor, D polypeptide [Source:MGI Symbol;Acc:MGI:1919035] MQRLVLVSILLCANFSCYPDTFATPQRASIKALRNANLRRDDLYQREENIQVTSNGHVQS PRFPNSYPRNLLLTWWLRSQEKTRIQLSFDHQFGLEEAENDICRYDFVEVEEVSESSTVV RGRWCGHKEIPPRITSRTNQIKITFKSDDYFVAKPGFKIYYSFVEDFQPEAASETNWESV TSSFSGVSYHSPSITDPTLTADALDKTVAEFDTVEDLLKHFNPVSWQDDLENLYLDTPHY RGRSYHDRKSKVDLDRLNDDVKRYSCTPRNHSVNLREELKLTNAVFFPRCLLVQRCGGNC GCGTVNWKSCTCSSGKTVKKYHEVLKFEPGHFKRRGKAKNMALVDIQLDHHERCDCICSS RPPR >ENSMUSP00000020161.8 pep:known chromosome:GRCm38:10:24915208:24927470:-1 gene:ENSMUSG00000019987.8 transcript:ENSMUST00000020161.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arg1 description:arginase, liver [Source:MGI Symbol;Acc:MGI:88070] MSSKPKSLEIIGAPFSKGQPRGGVEKGPAALRKAGLLEKLKETEYDVRDHGDLAFVDVPN DSSFQIVKNPRSVGKANEELAGVVAEVQKNGRVSVVLGGDHSLAVGSISGHARVHPDLCV IWVDAHTDINTPLTTSSGNLHGQPVSFLLKELKGKFPDVPGFSWVTPCISAKDIVYIGLR DVDPGEHYIIKTLGIKYFSMTEVDKLGIGKVMEETFSYLLGRKKRPIHLSFDVDGLDPAF TPATGTPVLGGLSYREGLYITEEIYKTGLLSGLDIMEVNPTLGKTAEEVKSTVNTAVALT LACFGTQREGNHKPGTDYLKPPK >ENSMUSP00000045073.5 pep:known chromosome:GRCm38:8:110810492:110846803:-1 gene:ENSMUSG00000033732.9 transcript:ENSMUST00000042012.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3b3 description:splicing factor 3b, subunit 3 [Source:MGI Symbol;Acc:MGI:1289341] MFLYNLTLQRATGISFAIHGNFSGTKQQEIVVSRGKILELLRPDPNTGKVHTLLTVEVFG VIRSLMAFRLTGGTKDYIVVGSDSGRIVILEYQPSKNMFEKIHQETFGKSGCRRIVPGQF LAVDPKGRAVMISAIEKQKLVYILNRDAAARLTISSPLEAHKANTLVYHVVGVDVGFENP MFACLEMDYEEADNDPTGEAAANTQQTLTFYELDLGLNHVVRKYSEPLEEHGNFLITVPG GSDGPSGVLICSENYITYKNFGDQPDIRCPIPRRRNDLDDPERGMIFVCSATHKTKSMFF FLAQTEQGDIFKITLETDEDMVTEIRLKYFDTVPVAAAMCVLKTGFLFVASEFGNHYLYQ IAHLGDDDEEPEFSSAMPLEEGDTFFFQPRPLKNLVLVDELDSLSPILFCQIADLANEDT PQLYVACGRGPRSSLRVLRHGLEVSEMAVSELPGNPNAVWTVRRHIEDEFDAYIIVSFVN ATLVLSIGETVEEVTDSGFLGTTPTLSCSLLGDDALVQVYPDGIRHIRADKRVNEWKTPG KKTIVKCAVNQRQVVIALTGGELVYFEMDPSGQLNEYTERKEMSADVVCMSLANVPPGEQ RSRFLAVGLVDNTVRIISLDPSDCLQPLSMQALPAQPESLCIVEMGGTEKQDELGERGSI GFLYLNIGLQNGVLLRTVLDPVTGDLSDTRTRYLGSRPVKLFRVRMQGQEAVLAMSSRSW LSYSYQSRFHLTPLSYETLEFASGFASEQCPEGIVAISTNTLRILALEKLGAVFNQVAFP LQYTPRKFVIHPESNNLIIIETDHNAYTEATKAQRKQQMAEEMVEAAGEDERELAAEMAA AFLNENLPESIFGAPKAGNGQWASVIRVMNPIQGNTLDLVQLEQNEAAFSVAVCRFSNTG EDWYVLVGVAKDLILSPRSVAGGFVYTYKLVNNGEKLEFLHKTPVEEVPAAIAPFQGRVL IGVGKLLRVYDLGKKKLLRKCENKHIANYISGIQTIGHRVIVSDVQESFIWVRYKRNENQ LIIFADDTYPRWVTTASLLDYDTVAGADKFGNICVVRLPPNTNDEVDEDPTGNKALWDRG LLNGASQKAEVIMNYHVGETVLSLQKTTLIPGGSESLVYTTLSGGIGILVPFTSHEDHDF FQHVEMHLRSEHPPLCGRDHLSFRSYYFPVKNVIDGDLCEQFNSMEPNKQKNVSEELDRT PPEVSKKLEDIRTRYAF >ENSMUSP00000016172.7 pep:known chromosome:GRCm38:15:85898758:86033777:-1 gene:ENSMUSG00000016028.9 transcript:ENSMUST00000016172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celsr1 description:cadherin, EGF LAG seven-pass G-type receptor 1 [Source:MGI Symbol;Acc:MGI:1100883] MAPSSPRVLPALVLLAAAALPALELGAAAWELRVPGGARAFALGPGWSYRLDTTRTPREL LDVSREGPAAGRRLGLGAGTLGCARLAGRLLPLQVRLVARGAPTAPSLVLRARAYGARCG VRLLRRSARGAELRSPAVRSVPGLGDALCFPAAGGGAASLTSVLEAITNFPACSCPPVAG TGCRRGPICLRPGGSAELRLVCALGRAAGAVWVELVIEATSGTPSESPSVSPSLLNLSQP RAGVVRRSRRGTGSSTSPQFPLPSYQVSVPENEPAGTAVIELRAHDPDEGDAGRLSYQME ALFDERSNGYFLIDAATGAVTTARSLDRETKDTHVLKVSAVDHGSPRRSAATYLTVTVSD TNDHSPVFEQSEYRERIRENLEVGYEVLTIRATDGDAPSNANMRYRLLEGAGGVFEIDAR SGVVRTRAVVDREEAAEYQLLVEANDQGRNPGPLSASATVHIVVEDENDNYPQFSEKRYV VQVPEDVAVNTAVLRVQATDRDQGQNAAIHYSIVSGNLKGQFYLHSLSGSLDVINPLDFE AIREYTLRIKAQDGGRPPLINSSGLVSVQVLDVNDNAPIFVSSPFQAAVLENVPLGHSVL HIQAVDADAGENARLQYRLVDTASTIVGGSSVDSENPASAPDFPFQIHNSSGWITVCAEL DREEVEHYSFGVEAVDHGSPAMSSSASVSITVLDVNDNDPMFTQPVYELRLNEDAAVGSS VLTLRARDRDANSVITYQLTGGNTRNRFALSSQSGGGLITLALPLDYKQERQYVLAVTAS DGTRSHTAQVFINVTDANTHRPVFQSSHYTVSVSEDRPVGTSIATISATDEDTGENARIT YVLEDPVPQFRIDPDTGTIYTMTELDYEDQAAYTLAITAQDNGIPQKSDTTSLEILILDA NDNAPRFLRDFYQGSVFEDAPPSTSVLQVSATDRDSGPNGRLLYTFQGGDDGDGDFYIEP TSGVIRTQRRLDRENVAVYNLWALAVDRGSPNPLSASVGIQVSVLDINDNPPVFEKDELE LFVEENSPVGSVVARIRANDPDEGPNAQIMYQIVEGNVPEVFQLDLLSGDLRALVELDFE VRRDYMLVVQATSAPLVSRATVHIRLLDQNDNPPELPDFQILFNNYVTNKSNSFPSGVIG RIPAHDPDLSDSLNYTFLQGNELSLLLLDPATGELQLSRDLDNNRPLEALMEVSVSDGIH SVTALCTLRVTIITDDMLTNSITVRLENMSQEKFLSPLLSLFVEGVATVLSTTKDDIFVF NIQNDTDVSSNILNVTFSALLPGGTRGRFFPSEDLQEQIYLNRTLLTTISAQRVLPFDDN ICLREPCENYMKCVSVLRFDSSAPFISSTTVLFRPIHPITGLRCRCPPGFTGDYCETEID LCYSNPCGANGRCRSREGGYTCECFEDFTGEHCQVNVRSGRCASGVCKNGGTCVNLLIGG FHCVCPPGEYEHPYCEVSTRSFPPQSFVTFRGLRQRFHFTVSLAFATQDRNALLLYNGRF NEKHDFIALEIVEEQLQLTFSAGETTTTVTPQVPGGVSDGRWHSVLVQYYNKPNIGHLGL PHGPSGEKVAVVTVDDCDAAVAVHFGSYVGNYSCAAQGTQSGSKKSLDLTGPLLLGGVPN LPEDFPVHSRQFVGCMRNLSIDGRIVDMAAFIANNGTRAGCASQRNFCDGTSCQNGGTCV NRWNTYLCECPLRFGGKNCEQAMPHPQRFTGESVVLWSDLDITISVPWYLGLMFRTRKED GVLMEATAGTSSRLHLQILNSYIRFEVSYGPSDVASMQLSKSRITDGGWHHLLIELRSAK EGKDIKYLAVMTLDYGMDQSTVQIGNQLPGLKMRTIVIGGVTEDKVSVRHGFRGCMQGVR MGETSTNIATLNMNDALKVRVKDGCDVEDPCASSPCPPHSHCRDTWDSYSCICDRGYFGK KCVDACLLNPCKHVAACVRSPNTPRGYSCECGPGHYGQYCENKVDLPCPKGWWGNPVCGP CHCAVSQGFDPDCNKTNGQCQCKENYYKPPAQDACLPCDCFPHGSHSRACDMDTGQCACK PGVIGRQCNRCDNPFAEVTSLGCEVIYNGCPRAFEAGIWWPQTKFGQPAAVPCPKGSVGN AVRHCSGEKGWLPPELFNCTSGSFVDLKALNEKLNRNETRMDGNRSLRLAKALRNATQGN STLFGNDVRTAYQLLARILQHESRQQGFDLAATREANFHEDVVHTGSALLAPATEASWEQ IQRSEAGAAQLLRHFEAYFSNVARNVKRTYLRPFVIVTANMILAVDIFDKLNFTGAQVPR FEDIQEELPRELESSVSFPADTFKPPEKKEGPVVRLTNRRTTPLTAQPEPRAERETSSSR RRRHPDEPGQFAVALVVIYRTLGQLLPEHYDPDHRSLRLPNRPVINTPVVSAMVYSEGTP LPSSLQRPILVEFSLLETEERSKPVCVFWNHSLDTGGTGGWSAKGCELLSRNRTHVTCQC SHSASCAVLMDISRREHGEVLPLKIITYAALSLSLVALLVAFVLLSLVRTLRSNLHSIHK NLITALFFSQLIFMVGINQTENPFLCTVVAILLHYVSMGTFAWTLVENLHVYRMLTEVRN IDTGPMRFYHVVGWGIPAIVTGLAVGLDPQGYGNPDFCWLSLQDTLIWSFAGPVGTVIII NTVIFVLSAKVSCQRKHHYYERKGVVSMLRTAFLLLLLVTATWLLGLLAVNSDTLSFHYL FAAFSCLQGIFVLLFHCVAHREVRKHLRAVLAGKKLQLDDSATTRATLLTRSLNCNNTYS EGPDMLRTALGESTASLDSTTRDEGVQKLSVSSGPARGNHGEPDASFIPRNSKKAHGPDS DSDSELSLDEHSSSYASSHTSDSEDDGGEAEDKWNPAGGPAHSTPKADALANHVPAGWPD ESLAGSDSEELDTEPHLKVETKVSVELHRQAQGNHCGDRPSDPESGVLAKPVAVLSSQPQ EQRKGILKNKVTYPPPLPEQPLKSRLREKLADCEQSPTSSRTSSLGSGDGVHATDCVITI KTPRREPGREHLNGVAMNVRTGSAQANGSDSEKP >ENSMUSP00000034339.8 pep:known chromosome:GRCm38:8:104101625:104144502:1 gene:ENSMUSG00000031871.8 transcript:ENSMUST00000034339.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh5 description:cadherin 5 [Source:MGI Symbol;Acc:MGI:105057] MQRLTELATALGAFLGLLAVAAMAGPNFPQIDTPNMLPAHHRQKRDWIWNQMHIDEEKNE SLPHYVGKIKSNVNRQNAKYVLQGEFAGKIFGVDANTGNVLAYERLDREKVSEYFLTALI VDKNTNKNLEQPSSFTVKVHDINDNWPVFSHQVFNASVPEMSAIGTSVIRVTAVDADDPT VAGHATVLYQIVKGNEYFSIDNSGLIFTKIKNLDREKQAEYKIVVETQDALGLRGESGTA TVMIRLEDINDNFPVFTQSTYTFSVPEDIRVGKPLGFLTVVDPDEPQNRMTKYSIMQGEY RDTFTIETDPKRNEGIIKPTKSLDYEVIQQYTFYIEATDPTIRYEYLSSTSGKNKAMVTI NVLDVDEPPVFQRHFYHFKLPENQKKPLIGTVVAKDPDKAQRSIGYSIRKTSDRGQFFRI TKQGNIYNEKELDRETYAWYNLTVEANELDSRGNPVGKESIVQVYIEVLDENDNPPEFAQ PYEPKVCENAAQGKLVVQISATDKDVVPVNPKFKFALKNEDSNFTLINNHDNTANITVKY GQFNREHAKFHYLPVLISDNGVPSLTGTSTLTVGVCKCNEQGEFTFCEEMAAQAGVSIQA LVAIFLCILTITVITLLIILRRRIRKQAHAHSKSALEIHEQLVTYDEEGGGEMDTTSYDV SVLNSVRGGSTKPLRSTMDARPAVYTQVQKPPRLAPGLHGGPREMATMIDVKKEEADNDG GGPPYDTLHIYGYEGAESIAESLSSLSTNSSDSDIDYDFLNDWGPRFKMLAELYGSDPQE ELII >ENSMUSP00000070759.4 pep:known chromosome:GRCm38:13:16857855:17694732:-1 gene:ENSMUSG00000055137.6 transcript:ENSMUST00000068545.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sugct description:succinyl-CoA glutarate-CoA transferase [Source:MGI Symbol;Acc:MGI:1923221] MLWMLARAVAFRRPGRGLAGGRGLWTGRPQSDCDSMKPLEGVRILDLTRVLAGPFATMNL GDLGAEVIKVERPGAGDDTRSWGPPFVNTESTYFLSVNRNKKSIAVNIKDPRGVRIVKEL AAICDVFVENYVPGKLSEMGLGYEDIDKIAPHIIYCSITGYGQTGPMSHRAGYDAIASAM SGLMHITGPEDGDPVRPGVAMTDLATGLFAYGAIMAGLLQRYRTGKGLFIDCNLLSSQVA CLTQVAANYLIGQKEAKRWGTAHGSIVPYQAFKTKDGYLVIGAGNNQQFAVVCKILNLPE LIDDCKYRTNHLRVQNRKELVKILSARFAEEVTAKWLCLFEGSGIPYGPINSLKDVFSEA QVLHNGLVMEMNHPTVGKISVPGPAVRYSKFKMSEAKPPPLLGQHTRHILKEVLRYDEGA IEKLLCSGVIEQHETK >ENSMUSP00000019977.7 pep:known chromosome:GRCm38:10:40630011:40649931:1 gene:ENSMUSG00000063428.7 transcript:ENSMUST00000019977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddo description:D-aspartate oxidase [Source:MGI Symbol;Acc:MGI:1925528] MDTVCIAVVGAGVIGLSTAACISQLVPGCTVTVISDRFTPDTTSNVAAGMLIPHTCADTP VPTQKRWFRETFEHLSEIAKSAEAADAGVHLVSGWQIFRSVPAEEVPFWADVVLGFRKMT EAELKRFPQYVFGQAFTTLKCETSAYLPWLERRIKGSGGLLLTRRIEDLWELQPSFDIVV NCSGLGSRRLVGDPMISPVRGQVLQARAPWVKHFIRDGGGLTYVYPGMSYVTLGGTRQKG DWNRSPDAELSREIFSRCCTLEPSLHRAYDIKEKVGLRPSRPGVRLQKEILVRGQQTLPV VHNYGHGSGGISVHWGSALEATRLVMECIHTLRTPASLSKL >ENSMUSP00000085799.4 pep:known chromosome:GRCm38:15:96571418:96642913:-1 gene:ENSMUSG00000023169.14 transcript:ENSMUST00000088452.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a1 description:solute carrier family 38, member 1 [Source:MGI Symbol;Acc:MGI:2145895] MMHFKSGLELTELQNMTVPEDDNVSNDSNDFTEVENGQINSKFISDRESRRSLTNSHLEK RKCDEYIPGTTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLILLTSVTLLSIYSIN LLLICSKETGCMVYEKLGEQVFGTTGKLVIFGATSLQNTGAMLSYLFIVKNELPSAIKSL MGEEDAFSAWYVDGRVLVVMVTFGIILPLCLLKNLGYLGYTSGFSLSCMMFFLIVVIYKK FQTPCMSVEQNSTVSANVTDACTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKDR SQKKMQMVSNISFFAMFVMYFLTAIFGYLTFYEKVQSDLLHKYQSTGDILILTVRLAVIV AVILTVPVLFFTVRSSLFELAKKTKFHLCRHVLVTIILLIIINLLVIFIPSMKDIFGVVG VTSANMLIFILPSSLYLKITNQDGDKGTQRIWAALFLGLGVLFSLISIPLVIYDWACSSG TDEGH >ENSMUSP00000085801.5 pep:known chromosome:GRCm38:15:96571418:96642343:-1 gene:ENSMUSG00000023169.14 transcript:ENSMUST00000088454.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a1 description:solute carrier family 38, member 1 [Source:MGI Symbol;Acc:MGI:2145895] MMHFKSGLELTELQNMTVPEDDNVSNDSNDFTEVENGQINSKFISDRESRRSLTNSHLEK RKCDEYIPGTTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLILLTSVTLLSIYSIN LLLICSKETGCMVYEKLGEQVFGTTGKLVIFGATSLQNTGAMLSYLFIVKNELPSAIKSL MGEEDAFSAWYVDGRVLVVMVTFGIILPLCLLKNLGYLGYTSGFSLSCMMFFLIVVIYKK FQTPCMSVEQNSTVSANVTDACTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKDR SQKKMQMVSNISFFAMFVMYFLTAIFGYLTFYEKVQSDLLHKYQSTGDILILTVRLAVIV AVILTVPVLFFTVRSSLFELAKKTKFHLCRHVLVTIILLIIINLLVIFIPSMKDIFGVVG VTSANMLIFILPSSLYLKITNQDGDKGTQRIWAALFLGLGVLFSLISIPLVIYDWACSSG TDEGH >ENSMUSP00000097833.2 pep:known chromosome:GRCm38:15:96571418:96641962:-1 gene:ENSMUSG00000023169.14 transcript:ENSMUST00000100262.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a1 description:solute carrier family 38, member 1 [Source:MGI Symbol;Acc:MGI:2145895] MMHFKSGLELTELQNMTVPEDDNVSNDSNDFTEVENGQINSKFISDRESRRSLTNSHLEK RKCDEYIPGTTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLILLTSVTLLSIYSIN LLLICSKETGCMVYEKLGEQVFGTTGKLVIFGATSLQNTGAMLSYLFIVKNELPSAIKSL MGEEDAFSAWYVDGRVLVVMVTFGIILPLCLLKNLGYLGYTSGFSLSCMMFFLIVVIYKK FQTPCMSVEQNSTVSANVTDACTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKDR SQKKMQMVSNISFFAMFVMYFLTAIFGYLTFYEKVQSDLLHKYQSTGDILILTVRLAVIV AVILTVPVLFFTVRSSLFELAKKTKFHLCRHVLVTIILLIIINLLVIFIPSMKDIFGVVG VTSANMLIFILPSSLYLKITNQDGDKGTQRIWAALFLGLGVLFSLISIPLVIYDWACSSG TDEGH >ENSMUSP00000034876.8 pep:known chromosome:GRCm38:9:56135885:56161070:-1 gene:ENSMUSG00000032324.10 transcript:ENSMUST00000034876.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan3 description:tetraspanin 3 [Source:MGI Symbol;Acc:MGI:1928098] MGQCGITSSKTVLVFLNLIFWGAAGILCYVGAYVFITYDDYDHFFEDVYTLFPAVVIIAV GALLFIIGLIGCCATIRESRCGLATFVFILLLVFVTEVVVVVLGYVYRAKVENEVDRSIQ KVYKTYNGTNSDAASRAIDYVQRQLHCCGIHNYSDWENTDWFKETKNQSVPLSCCRETAK SCNGSLANPSDLYAEGCEALVVKKLQEILMHVIWAALAFAAIQLLGMLCACIVLCRRSRD PAYELLITGGTYA >ENSMUSP00000056681.4 pep:known chromosome:GRCm38:19:6922426:6925380:-1 gene:ENSMUSG00000050623.4 transcript:ENSMUST00000057716.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex40 description:testis expressed 40 [Source:MGI Symbol;Acc:MGI:1914327] MEESVKPVPKHANHRRSSVRSSLYGDVRDLWSTATMSTANVSVSDVCEDFDEEGKSVRNR IRKYSQTISIRDSLNLEPEEIQQQARRELELCHGRSLEHGEDHEESETSLASSTSESLIF SLWKPHRTYWTEQQNRLPLPLMELMETEVLDILKKALITYRSTIGRNHFMTKELQGYIEG IRKRRNKRLYFLDQ >ENSMUSP00000054823.7 pep:known chromosome:GRCm38:8:46292050:46294671:-1 gene:ENSMUSG00000047171.7 transcript:ENSMUST00000058636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Helt description:helt bHLH transcription factor [Source:MGI Symbol;Acc:MGI:3040955] MSDRLKERKRTPVSHKVIEKRRRDRINRCLNELGKTVPMALAKQSSGKLEKAEILEMTVQ YLRALHSADFPRGREKELLAEFANYFHYGYHECMKNLVHYLTTVERMETKDTKYARILAF LQSKARLGAEPTFPPLSLPEPDFSYQLHAASPEFPGHSPGEATMFPQGATPGSFPWPPGA ARSPALPYLSSATVPLPSPAQQHSPFLAPMQGLDRHYLNLIGHGHPNGLNLHTPQHPPVL >ENSMUSP00000125915.1 pep:known chromosome:GRCm38:17:46147390:46153553:-1 gene:ENSMUSG00000034509.8 transcript:ENSMUST00000171172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mad2l1bp description:MAD2L1 binding protein [Source:MGI Symbol;Acc:MGI:1913841] MAASGEEDMSELSPAAAPNLDWYEKPEETHAPEVDLETVIPPAQEPSNPAEPFCPRDLVP VVFPGPVSQEDCCQFTCELLKHILYQRHQLPLPYEQLKHFYRKVPQAEDTARKKAWLATE ARNRKCQQALAELESVLSHLRDFFARTLVPQVLILLGGNALSPKEFYELDLSRLAPFGVD QGLNTAACLRRLFRAIFLADPFSELQTPPLMGTIVMVQGHRDCGEDWFQPKLNYRVPSRG HKLTVTLSCGRPSVPAMASEDYIWFQAPVTLKGFHE >ENSMUSP00000126999.1 pep:known chromosome:GRCm38:13:38345716:38499728:1 gene:ENSMUSG00000039004.5 transcript:ENSMUST00000171970.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp6 description:bone morphogenetic protein 6 [Source:MGI Symbol;Acc:MGI:88182] MPGLGRRAQWLCWWWGLLCSCGPPPLRPPLPVAAAAAGGQLLGAGGSPVRAEQPPPQSSS SGFLYRRLKTHEKREMQKEILSVLGLPHRPRPLHGLQQPQPPVLPPQQQQQQQQQQTARE EPPPGRLKSAPLFMLDLYNALSNDDEEDGASEGVGQEPGSHGGASSSQLRQPSPGAAHSL NRKSLLAPGPGGGASPLTSAQDSAFLNDADMVMSFVNLVEYDKEFSPHQRHHKEFKFNLS QIPEGEAVTAAEFRVYKDCVVGSFKNQTFLISIYQVLQEHQHRDSDLFLLDTRVVWASEE GWLEFDITATSNLWVVTPQHNMGLQLSVVTRDGLHVNPRAAGLVGRDGPYDKQPFMVAFF KVSEVHVRTTRSASSRRRQQSRNRSTQSQDVSRGSGSSDYNGSELKTACKKHELYVSFQD LGWQDWIIAPKGYAANYCDGECSFPLNAHMNATNHAIVQTLVHLMNPEYVPKPCCAPTKL NAISVLYFDDNSNVILKKYRNMVVRACGCH >ENSMUSP00000069764.7 pep:known chromosome:GRCm38:15:97964229:97970286:1 gene:ENSMUSG00000052369.7 transcript:ENSMUST00000064200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem106c description:transmembrane protein 106C [Source:MGI Symbol;Acc:MGI:1196384] MGSQHSSALTFCQRKKDDNPEDLLADRDQEEAIAQFPYVEFTGRNSITCHTCQGAGYIPA EQVNELVALIPHSDQRLRPQRTKQYVLLSVLLCLLASGLVFFFLFPHSVLVDDNGIKVTK VTFNEQDSLVVLDVTATLKIRNSNFYPVAVTNLFSQVQYMKAVVGSYTTTNVSLIAPRSE HLVNFTVKAEVGGPSSYVYFYCTLPAIRVHNIVIFMRTSVKISYIGHISQSTLETQHYVD CGVNSTAAQSLFLVPRGPHL >ENSMUSP00000022815.8 pep:known chromosome:GRCm38:14:55015454:55024135:1 gene:ENSMUSG00000022204.8 transcript:ENSMUST00000022815.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ngdn description:neuroguidin, EIF4E binding protein [Source:MGI Symbol;Acc:MGI:1916216] MAAPEVLESDVSSSITLLKNLQEQVMAVTAQIQALTTKVRAGTYSTEKGLSFLEVKDQLL LMYLMDLSHLILDKASGASLQGHPAVLRLVEIRTVLEKLRPLDQKLKYQIDKLVKTAVTG SLSENDPLRFKPHPSNMVSKLSSEDEEESEAEEGQSEASGKKSAKGSAKKYVPPRLVPVH YDETEAEREQKRLEKAKRRALSSSVIRELKEQYSDAPEEIRDARHPHVTRQSQEDQHRVN YEESMMVRLSVSKREKGLRRRASAMSSQLHSLTHFSDISALTGGTAHLDEDQNPVKKRKK LPKKGRKKKGFRRRW >ENSMUSP00000024749.7 pep:known chromosome:GRCm38:17:46172004:46202625:-1 gene:ENSMUSG00000023953.8 transcript:ENSMUST00000024749.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polh description:polymerase (DNA directed), eta (RAD 30 related) [Source:MGI Symbol;Acc:MGI:1891457] MAPGQNRVVALVDMDCFFVQVEQRQNPHLRNKPCAVVQYKSWKGGGIIAVSYEARAFGVT RNMWADDAKKLCPDLLLAQVRESRGKANLTKYREASVEVMEIMSYFAVIERASIDEAYID LTSAVQERLQKLQGQPISADLLPSTYIEGLPRGPTVEETVQKEAIRKQGLLQWLDSLQSD DPTSPDLRLTVGAMIVEEMRAAIESKTGFQCSAGISHNKVLAKLACGLNKPNRQTLVSHG SVPQLFSQMPIRKIRSLGGKLGASVIEVLGIEYMGDLTQFTESQLQSHFGEKNGSWLYAM CRGIEHDPVKPRQLPKTIGCSKNFPGKTALATREQVQWWLLQLALELEERLTKDRNDNDR VATQLVVSIRFQGDRRLSSLRRCCALPRYDAHKMSQDAFAAIRNCNTSGIQTEWSPPLTM LFLCATKFSAAAPPACTDITAFLSSDSSCQPKVPIASSETRTQGSGPAVPTSKEAATSLA SFFQKAAKKQRMKETSFVPLNTATEKLSSKPSLVFQSSQTTGSQSFFKQKSLLLQHTQLS NSAAPDPPQASPAAQPSCLPAECVDSGPDDGAVKPVSSKAVSTEMNVAGDSPNVLDSPAY NSQEVTQRATEDQVLCEKCDSLVPVWDMPEHTDYHFALELQKSFLQPCTSKPQAIPAVSP QGKRNPKSPSASSSKRLRPHGMQTLESFFKPLTH >ENSMUSP00000068894.1 pep:known chromosome:GRCm38:13:40704005:40707949:1 gene:ENSMUSG00000055732.1 transcript:ENSMUST00000069457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9979 description:predicted gene 9979 [Source:MGI Symbol;Acc:MGI:3642885] MNCWNQFPWNTQLFFSIIFQQRAERSSQLIQFSICITNREKVAEPMNGSLVCCQCECVLG LGFKTCVRGSKPSPVRKSEAHNELKSDSESPYPELLAAIPPTCLQDPS >ENSMUSP00000046604.4 pep:known chromosome:GRCm38:17:56186682:56218889:-1 gene:ENSMUSG00000001229.7 transcript:ENSMUST00000038794.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp9 description:dipeptidylpeptidase 9 [Source:MGI Symbol;Acc:MGI:2443967] MCSGVSPVEQVAAGDMDDTAARFCVQKHSWDGLRSIIHGSRKSSGLIVSKAPHDFQFVQK PDESGPHSHRLYYLGMPYGSRENSLLYSEIPKKVRKEALLLLSWKQMLDHFQATPHHGVY SREEELLRERKRLGVFGITSYDFHSESGLFLFQASNSLFHCRDGGKNGFMVSPMKPLEIK TQCSGPRMDPKICPADPAFFSFINNSDLWVANIETGEERRLTFCHQGSAGVLDNPKSAGV ATFVIQEEFDRFTGCWWCPTASWEGSEGLKTLRILYEEVDESEVEVIHVPSPALEERKTD SYRYPRTGSKNPKIALKLAELQTDHQGKIVSSCEKELVQPFSSLFPKVEYIARAGWTRDG KYAWAMFLDRPQQRLQLVLLPPALFIPAVESEAQRQAAARAVPKNVQPFVIYEEVTNVWI NVHDIFHPFPQAEGQQDFCFLRANECKTGFCHLYRVTVELKTKDYDWTEPLSPTEDEFKC PIKEEVALTSGEWEVLSRHGSKIWVNEQTKLVYFQGTKDTPLEHHLYVVSYESAGEIVRL TTLGFSHSCSMSQSFDMFVSHYSSVSTPPCVHVYKLSGPDDDPLHKQPRFWASMMEAANC PPDYVPPEIFHFHTRADVQLYGMIYKPHTLQPGRKHPTVLFVYGGPQVQLVNNSFKGIKY LRLNTLASLGYAVVVIDGRGSCQRGLHFEGALKNQMGQVEIEDQVEGLQYVAEKYGFIDL SRVAIHGWSYGGFLSLMGLIHKPQVFKVAIAGAPVTVWMAYDTGYTERYMDVPENNQQGY EAGSVALHVEKLPNEPNRLLILHGFLDENVHFFHTNFLVSQLIRAGKPYQLQIYPNERHS IRCRESGEHYEVTLLHFLQEHL >ENSMUSP00000074916.1 pep:known chromosome:GRCm38:7:140345052:140345987:-1 gene:ENSMUSG00000060112.1 transcript:ENSMUST00000075470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr60 description:olfactory receptor 60 [Source:MGI Symbol;Acc:MGI:1333881] MMSRLNQTVVTEFILQGFSEHPSLRLFLTGCFLSLYVMALMGNILIIALVTFSTGLHSPM YFFLCNLATMDIICTSSVLPKALVGLLSEENTISFKGCMAQLFFLVWSLSSELLLLTVMA YDRYVAICFPLHYSSRMSPQLCGALAMGVWSICALNASINTGLMTRLSFCGPKVITHFFC EIPPLLLLSCSPTYVNSIMTLIADVFYGGINFVLTLLSYGCIIASILRMRSAEGKRKAFS TCSSHLIVVSVYYSSVFCAYVSPASSYSPERSKVTSVLYSFLSPTLNPLIYTLRNKDVKL AIGRLLPSFSH >ENSMUSP00000023752.4 pep:known chromosome:GRCm38:15:99579056:99584545:1 gene:ENSMUSG00000023013.4 transcript:ENSMUST00000023752.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp2 description:aquaporin 2 [Source:MGI Symbol;Acc:MGI:1096865] MWELRSIAFSRAVLAEFLATLLFVFFGLGSALQWASSPPSVLQIAVAFGLGIGTLVQALG HVSGAHINPAVTVACLVGCHVSFLRAAFYVAAQLLGAVAGAAILHEITPVEIRGDLAVNA LHNNATAGQAVTVELFLTMQLVLCIFASTDERRSDNLGSPALSIGFSVTLGHLLGIYFTG CSMNPARSLAPAVVTGKFDDHWVFWIGPLVGAVIGSLLYNYLLFPSTKSLQERLAVLKGL EPDTDWEEREVRRRQSVELHSPQSLPRGSKA >ENSMUSP00000056682.3 pep:known chromosome:GRCm38:17:53507460:53539451:-1 gene:ENSMUSG00000044957.3 transcript:ENSMUST00000056198.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pp2d1 description:protein phosphatase 2C-like domain containing 1 [Source:MGI Symbol;Acc:MGI:3612067] MNWELYSSPLRVFWRSKVWDEKTSTFTSDENLKIGKMVGKRRRPRPKRSIDLEDSTEQLI SGDMVTFPCSVCYRELNKARNFLHKKHHNALSMLGFQWMGGRQPKPKLVSLHRECIISNL LRSSTYNEKVLHNVNYAFEFLWKKQVPSYFKLCDKVGETSTYSPNSNHLMIKGIAICSNN NSAWKAEPNCKFTVVNDFGDKANVCFFGLFDSHYGYAAADLASKEFQVLLLHQLSIQDPS YQMTAEQKQLINSFDTVFREEYRAREEAFSSTYKTFRTSRREYEDTHKAFAKAFWRMDRL LRLGRNETSRVRWSGCSALTCILEGGIKNPHANKDWEKTYQQGSTSLPFQKTPQIISGVL HLANAGNVQAVLCRNGKGFCLTKEHSTRNTKERRRVLYSEAVISSDDPYGLLDGHIKTTR GLGFHGNLRLKKSIIPAPQTISVPIDDLCQFLILATNGLWQVLDKKEVTALVITLFHAYK ETHVPRPKSKPWPPIGLLSPPDSNIRVLFQYQPENEDIMSTADGTKGLSDSIYAEAYTHQ GTFSPKVTPYDPCSTKENSSLPTIDSKQENEKELCIKNFYKGAAEYIGCELVSAAIEGGS RDSITVMVMFLNGSEYHRLT >ENSMUSP00000133061.1 pep:known chromosome:GRCm38:7:141160328:141172851:-1 gene:ENSMUSG00000038650.14 transcript:ENSMUST00000167493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnh1 description:ribonuclease/angiogenin inhibitor 1 [Source:MGI Symbol;Acc:MGI:1195456] MSLDIQCEQLSDARWTELLPLIQQYEVVRLDDCGLTEVRCKDISSAVQANPALTELSLRT NELGDGGVGLVLQGLQNPTCKIQKLSLQNCGLTEAGCGILPGMLRSLSTLRELHLNDNPM GDAGLKLLCEGLQDPQCRLEKLQLEYCNLTATSCEPLASVLRVKADFKELVLSNNDLHEP GVRILCQGLKDSACQLESLKLENCGITAANCKDLCDVVASKASLQELDLSSNKLGNAGIA ALCPGLLLPSCKLRTLWLWECDITAEGCKDLCRVLRAKQSLKELSLASNELKDEGARLLC ESLLEPGCQLESLWIKTCSLTAASCPYFCSVLTKSRSLLELQMSSNPLGDEGVQELCKAL SQPDTVLRELWLGDCDVTNSGCSSLANVLLANRSLRELDLSNNCMGGPGVLQLLESLKQP SCTLQQLVLYDIYWTNEVEEQLRALEEERPSLRIIS >ENSMUSP00000101651.3 pep:known chromosome:GRCm38:7:141160328:141168936:-1 gene:ENSMUSG00000038650.14 transcript:ENSMUST00000106033.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnh1 description:ribonuclease/angiogenin inhibitor 1 [Source:MGI Symbol;Acc:MGI:1195456] MSLDIQCEQLSDARWTELLPLIQQYEVVRLDDCGLTEVRCKDISSAVQANPALTELSLRT NELGDGGVGLVLQGLQNPTCKIQKLSLQNCGLTEAGCGILPGMLRSLSTLRELHLNDNPM GDAGLKLLCEGLQDPQCRLEKLQLEYCNLTATSCEPLASVLRVKADFKELVLSNNDLHEP GVRILCQGLKDSACQLESLKLENCGITAANCKDLCDVVASKASLQELDLSSNKLGNAGIA ALCPGLLLPSCKLRTLWLWECDITAEGCKDLCRVLRAKQSLKELSLASNELKDEGARLLC ESLLEPGCQLESLWIKTCSLTAASCPYFCSVLTKSRSLLELQMSSNPLGDEGVQELCKAL SQPDTVLRELWLGDCDVTNSGCSSLANVLLANRSLRELDLSNNCMGGPGVLQLLESLKQP SCTLQQLVLYDIYWTNEVEEQLRALEEERPSLRIIS >ENSMUSP00000013302.5 pep:known chromosome:GRCm38:8:105708291:105710244:1 gene:ENSMUSG00000013158.5 transcript:ENSMUST00000013302.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933405L10Rik description:RIKEN cDNA 4933405L10 gene [Source:MGI Symbol;Acc:MGI:1918296] MASEGAKRQPETQNGAAVGLAQVAESLECPGTEECLVPAHETCRSPGEDKCPVGHSLEPE LQEEGIKVGEEGLNAGVEAGEERGPKPTSSIVRPAHGPKRKSEVELPPGVLQKKEEPEGS HSESSLSSKQHKKAKKRKSGGAPVPPAVASASAPAAETLGLEPFALPWVLAGKAQRLRPL YQYINYCNPELNQEEDGDREPEVEPEAELALVPEEPGVEQLQLQTLLPVAGELGLGLALP CPNPLVPLTHNLPPLVEEVGEEPGGLSSLRVSGSLKAEVDKTTQVDIDKMLSVCAAPLVP PLSPQYK >ENSMUSP00000076418.5 pep:known chromosome:GRCm38:10:84622437:84727177:1 gene:ENSMUSG00000034453.7 transcript:ENSMUST00000077175.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3b description:polymerase (RNA) III (DNA directed) polypeptide B [Source:MGI Symbol;Acc:MGI:1917678] MDVLAEEFGSLTPEQLTAPIPTVEEKWRLLPAFLKVKGLVKQHIDSFNYFINVEIKKIMK ANEKVTSDADPMWYLKYLNIYVGLPDVEESFNVTRPVSPHECRLRDMTYSAPITVDIEYT RGSQRIIRNALPIGRMPIMLRSSNCVLTGKTPAEFAKLNECPLDPGGYFIVKGVEKVILI QEQLSKNRIIVEADRKGAVGASVTSSTHEKKSRTNMAVKQGRFYLRHNTLSEDIPIVIIF KAMGVESDQEIVQMIGTEEHVMAAFGPSLEECQKAQIFTQMQALKYIGNKVRRQRMWGGG PKKTKIEEARELLASTILTHVPVKEFNFRAKCIYTAVMVRRVILAQGDNKVDDRDYYGNK RLELAGQLLSLLFEDLFKKFNSEMKKIADQVIPKQRAAQFDVVKHMRQDQITNGMVNAIS TGNWSLKRFKMDRQGVTQVLSRLSYISALGMMTRISSQFEKTRKVSGPRSLQPSQWGMLC PSDTPEGEACGLVKNLALMTHITTDMEDGPIIKLAGNLGVEDVNLLCGEELSYPNVFLVF LNGNILGVIRDHKKLVSTFRLMRRAGYINEFVSISTNLTDRCVYISSDGGRLCRPYIIVK KQKPAVTNKHMEELAQGYRNFEDFLHESLVEYLDVNEENDCNIALYEHTINKDTTHLEIE PFTLLGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGTIGYNQRNRIDTLMYLLAYPQKPMV KTKTIELIDFEKLPAGQNATVAVMSYSGYDIEDALVLNKASLDRGFGRCLVYKNAKCTLK RYTNQTFDKVMGPMLDAATRKPIWRHEILDADGICSPGEKVENKQVLVNKSMPTVTQIPL EGSNVPQQPQYKDVPITYKGATDSYIEKVMISSNAEDAFLIKMLLRQTRRPEIGDKFSSR HGQKGVCGLIVPQEDMPFCDSGICPDIIMNPHGFPSRMTVGKLIELLAGKAGVLDGRFHY GTAFGGSKVKDVCEDLVRHGYNYLGKDYVTSGITGEPLEAYIYFGPVYYQKLKHMVLDKM HARARGPRAVLTRQPTEGRSRDGGLRLGEMERDCLIGYGASMLLLERLMISSDAFEVDVC GQCGLLGYSGWCHYCKSSCHVSSLRIPYACKLLFQELQSMNIIPRLKLAKYNE >ENSMUSP00000078469.4 pep:known chromosome:GRCm38:8:72460489:72475233:-1 gene:ENSMUSG00000052488.6 transcript:ENSMUST00000079510.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cherp description:calcium homeostasis endoplasmic reticulum protein [Source:MGI Symbol;Acc:MGI:106417] MEMPMPPDDQELRNVIDKLAQFVARNGPEFEKMTMEKQKDNPKFSFLFGGEFYSYYKCKL ALEQQQLICKQQAPELEPTSAMPPLPQPPLAPTASLTPAQGTPSMDELIQQSQWSLQQQE QHLLALRQEQVTTAVAHAVEQQMQKLLEETQLDMSEFDNLLQPIIDTCTKDAISAGKNWM FSNAKSPPHCELMAGHLRNRITADGAHFELRLHLIYLINDVLHHCQRKQARELLAALQKV VVPIYCTSFLAVEEDKQQKIARLLQLWEKNGYFDDSIIQQLQSPALGLGQYQATLINEYS SVVQPVQLAFQQQIQSLKTQHEEFVSSLAQQQQQQQQQQQQQPQPQPQPQIQLPQMEADV KATPPPPAPPPASAPAPTIPPTTQPDDNKPPIQMPGSSEYDTSAGVQDPAAAGPRGPGPH EQIPPNKPPWFDQPHPVAPWGQQQPPEQPPYPHHQGGPPHCPPWNNSHEGMWGEQRGDPG WNGQRDAPWNNQPDPNWNNQFEGPWNNQHEPPPWGGAQREPPFRMQRPPHFRGPFPPHQQ HPQFNQPPHPHNFNRFPPRFMQDDFPPRHPFERPPYPHRFDYPQGDFPADMGPPHHHPGH RMPHPGINEHPPWAGPQHPDFGPPPHGFNGQPPHMRRQGPPHINHDDPSLVPNVPYFDLP AGLMAPLVKLEDHEYKPLDPKDIRLPPPMPPSERLLAAVEAFYSPPSHDRPRNSEGWEQN GLYEFFRAKMRARRRKGQEKRNSGPSRSRSRSKSRGRSSSRSSSRSSKSSRSSSRSHSRS RSRSSSRSRSRSRSRSRSSRSRSRSRSRSRSRSKSYSPGRRRRSRSRSPTPPSAAGLGSN SAPPIPDSRLGEENKGHQMLVKMGWSGSGGLGAKEQGIQDPIKGGDVRDKWDQYKGVGVA LDDPYENYRRNKSYSFIARMKARDEFSTFGTRKEEKED >ENSMUSP00000129393.1 pep:known chromosome:GRCm38:17:75435905:75529043:1 gene:ENSMUSG00000071042.11 transcript:ENSMUST00000164192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp3 description:RAS, guanyl releasing protein 3 [Source:MGI Symbol;Acc:MGI:3028579] MGSNGLGKAATLDELLSTCIEMFDDNGDLNDSYLPRIVLLMHRWYLSSTELAGKLLCMYR NASGESCDEFRLKICYFMRYWILKFPAEFNLDLGLIRMTEEFREVASQLGHEKHISLMDI SSVPSYDWMRRVTQRKKVSKRGKACLLFDHLEPIELAEHLTFLEHKSFRRISFTDYQSYV IHGCLENNPTLERSIALFNGISKWVQLMVLSKPSAQQRAEVITKFINVAQKLLQLKNFNT LMAVVGGLSHSSISRLKDTHSHLSSEVTKNWNEMTELVSSNGNYCNYRKAFADCDGFKIP ILGVHLKDLIAVHVIFPDWMEENKVNVVKMHQLSVTLSELVSLQNASHHLEPNMDLINLL TLSLDLYHTEDDIYKLSLVLEPRNSKSQPTSPTTPNKPVVPLEWASEVVPKPDPTIINKH IRKLVESVFRNYDHDHDGYISQEDFESIAANFPFLDSFCVLDKDQDGLISKDEMMAYFLR AKSQLHCKMGPGFIHNFQEMNYLKPTFCEHCAGFLWGIIKQGYKCKDCGANCHKQCKDLL VLACRRLARAPSLSSNPGSLPGSPALPPVQDEVFEFPGVTAGHRDLDSRAITLVTGSSRK ISVRLQRATTSQATQTEPVWSEAVWGDSGSHTFPKMKSKFHDKAAKDKGFAKWENEKPTV QAGVDVVDRGTAFEPDQDDGQDEAKQGGEDG >ENSMUSP00000092828.4 pep:known chromosome:GRCm38:17:75465538:75529043:1 gene:ENSMUSG00000071042.11 transcript:ENSMUST00000095204.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp3 description:RAS, guanyl releasing protein 3 [Source:MGI Symbol;Acc:MGI:3028579] MGSNGLGKAATLDELLSTCIEMFDDNGDLNDSYLPRIVLLMHRWYLSSTELAGKLLCMYR NASGESCDEFRLKICYFMRYWILKFPAEFNLDLGLIRMTEEFREVASQLGHEKHISLMDI SSVPSYDWMRRVTQRKKVSKRGKACLLFDHLEPIELAEHLTFLEHKSFRRISFTDYQSYV IHGCLENNPTLERSIALFNGISKWVQLMVLSKPSAQQRAEVITKFINVAQKLLQLKNFNT LMAVVGGLSHSSISRLKDTHSHLSSEVTKNWNEMTELVSSNGNYCNYRKAFADCDGFKIP ILGVHLKDLIAVHVIFPDWMEENKVNVVKMHQLSVTLSELVSLQNASHHLEPNMDLINLL TLSLDLYHTEDDIYKLSLVLEPRNSKSQPTSPTTPNKPVVPLEWASEVVPKPDPTIINKH IRKLVESVFRNYDHDHDGYISQEDFESIAANFPFLDSFCVLDKDQDGLISKDEMMAYFLR AKSQLHCKMGPGFIHNFQEMNYLKPTFCEHCAGFLWGIIKQGYKCKDCGANCHKQCKDLL VLACRRLARAPSLSSNPGSLPGSPALPPVQDEVFEFPGVTAGHRDLDSRAITLVTGSSRK ISVRLQRATTSQATQTEPVWSEAVWGDSGSHTFPKMKSKFHDKAAKDKGFAKWENEKPTV QAGVDVVDRGTAFEPDQDDGQDEAKQGGEDG >ENSMUSP00000020227.9 pep:known chromosome:GRCm38:10:85131702:85185054:-1 gene:ENSMUSG00000020038.9 transcript:ENSMUST00000020227.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cry1 description:cryptochrome 1 (photolyase-like) [Source:MGI Symbol;Acc:MGI:1270841] MGVNAVHWFRKGLRLHDNPALKECIQGADTIRCVYILDPWFAGSSNVGINRWRFLLQCLE DLDANLRKLNSRLFVIRGQPADVFPRLFKEWNITKLSIEYDSEPFGKERDAAIKKLATEA GVEVIVRISHTLYDLDKIIELNGGQPPLTYKRFQTLVSKMEPLEMPADTITSDVIGKCMT PLSDDHDEKYGVPSLEELGFDTDGLSSAVWPGGETEALTRLERHLERKAWVANFERPRMN ANSLLASPTGLSPYLRFGCLSCRLFYFKLTDLYKKVKKNSSPPLSLYGQLLWREFFYTAA TNNPRFDKMEGNPICVQIPWDKNPEALAKWAEGRTGFPWIDAIMTQLRQEGWIHHLARHA VACFLTRGDLWISWEEGMKVFEELLLDADWSINAGSWMWLSCSSFFQQFFHCYCPVGFGR RTDPNGDYIRRYLPVLRGFPAKYIYDPWNAPEGIQKVAKCLIGVNYPKPMVNHAEASRLN IERMKQIYQQLSRYRGLGLLASVPSNSNGNGGLMGYAPGENVPSCSSSGNGGLMGYAPGE NVPSCSGGNCSQGSGILHYAHGDSQQTHSLKQGRSSAGTGLSSGKRPSQEEDAQSVGPKV QRQSSN >ENSMUSP00000053270.8 pep:known chromosome:GRCm38:19:34492318:34527474:-1 gene:ENSMUSG00000024781.15 transcript:ENSMUST00000049572.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipa description:lysosomal acid lipase A [Source:MGI Symbol;Acc:MGI:96789] MQLQGLVFVFTIGILLSRVPTGTVSAVDPEVNMNVTEIIMRWGYPGEEHSVLTGDGYILS IHRIPRGRKNHFGKGPRPVVYLQHGLLADSSNWVTNIDNSSLGFLLADAGFDVWMGNSRG NTWSLKHKTLSVSQDEFWAFSFDEMAKYDLPASINYILNKTGQEQIYYVGHSQGCTIGFI AFSQMPELAKKIKMFLVLAPVLSLNFASGPLLQLGRLPDPLLKDMFGQKQFLPQSAMLKW LSIHVCTHVIMKELCANVFFLLCGFNEKNLNMSRVDVYTTHCPAGTSVQNMLHWGQVFKY RKLQAFDWGSSEKNYFHYNQSFPPSYNIKNMRLPTALWSGGRDWLADINDITILLTQIPK LVYHKNIPEWDHLDFIWGLDAPWKLYDEIISLMKKYQ >ENSMUSP00000136967.1 pep:known chromosome:GRCm38:19:34492318:34527474:-1 gene:ENSMUSG00000024781.15 transcript:ENSMUST00000178114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipa description:lysosomal acid lipase A [Source:MGI Symbol;Acc:MGI:96789] MQLQGLVFVFTIGILLSRVPTGTVSAVDPEVNMNVTEIIMRWGYPGEEHSVLTGDGYILS IHRIPRGRKNHFGKGPRPVVYLQHGLLADSSNWVTNIDNSSLGFLLADAGFDVWMGNSRG NTWSLKHKTLSVSQDEFWAFSFDEMAKYDLPASINYILNKTGQEQIYYVGHSQGCTIGFI AFSQMPELAKKIKMFLVLAPVLSLNFASGPLLQLGRLPDPLLKDMFGQKQFLPQSAMLKW LSIHVCTHVIMKELCANVFFLLCGFNEKNLNMSRVDVYTTHCPAGTSVQNMLHWGQVFKY RKLQAFDWGSSEKNYFHYNQSFPPSYNIKNMRLPTALWSGGRDWLADINDITILLTQIPK LVYHKNIPEWDHLDFIWGLDAPWKLYDEIISLMKKYQ >ENSMUSP00000106809.1 pep:known chromosome:GRCm38:12:3962554:4038915:-1 gene:ENSMUSG00000020658.9 transcript:ENSMUST00000111178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efr3b description:EFR3 homolog B [Source:MGI Symbol;Acc:MGI:2444851] MYGVCGCCGALRPRYKRLVDNIFPEDPEDGLVKTNMEKLTFYALSAPEKLDRIGAYLSER LIRDVGRHRYGYVCIAMEALDQLLMACHCQSINLFVESFLKMVAKLLESEKPNLQILGTN SFVKFANIEEDTPSYHRSYDFFVSRFSEMCHSSHDDLEIKTKIRMSGIKGLQGVVRKTVN DELQANIWDPQHMDKIVPSLLFNLQHVEEAESRSPSPLQAPEKEKENPAELAERCLRELL GRAAFGNIKNAIKPVLIHLDNHSLWEPKVFATRCFKIIMYSIQPQHSHLVIQQLLSHLDA NSRSAATVRAGIVEVLSEAAIIAATGSVGPTVLEMFNTLLRQLRLSIDYALTGSYDGAVS LGSKIIKEHEECMFQEAVIKTIGSFASTLPTYQRSEVILFIMSKVPLPSVHHPVETGRTG ENRNRLTQIMLLKSLLQVSTGFQCNNMMSALPSNFLDRLLSTALMEDAEIRLFVLEILIS FIDRHGNRHKFSTISTLGDISVLKLKVDKCSRQDTVFMKKHSQQLYRHIYLSCKEETNIQ KHYEALYGLLALISIELANEEVVVDLIRLVLAVQDVAQVNEENLPTYNRCALYALGAAYL NLISQLTTVPAFCQHIHEVIETRKKEAPYMLPEDVFVEKPRLSQNLDGVVIEFLFRQSKI SEVLGGSGYNSDRLCLPYIPQLTDEDRLSKRKSIGETISLQVEVESRNSPEKEERVPAEE ITYETLKKAIVDSVAVEEQERERQRQVVEKFQKAPFEEIAAHCGARASLLQSKLNQIFEI TIRPPPSPSGTISAAYGQPQNHSIPVYEMKFPDLCVY >ENSMUSP00000020109.3 pep:known chromosome:GRCm38:10:89711973:89732295:-1 gene:ENSMUSG00000019948.3 transcript:ENSMUST00000020109.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr6 description:ARP6 actin-related protein 6 [Source:MGI Symbol;Acc:MGI:1914269] MTTLVLDNGAYNAKIGYSHDSVSVIPNCQFRSKTARLKTFTANQIDEIKDPSGLFYILPF QKGYLVNWDVQRQVWDYLFGKEMYQVDFLDTNIIITEPYFNFTSIQESMNEILFEEYQFQ AVLRVNAGALSAHRYFRDNPSELCCIIVDSGYSFTHIVPYCRSKKKKEAIIRINVGGKLL TNHLKEIISYRQLHVMDETHVINQVKEDVCYVSQDFYRDMDIAKLKGEDNTVMIDYVLPD FSTIKKGFCKPREEMVLSGKYKFGEQILRLANERFAVPEILFNPSDIGIQEMGIPEAIVY SIQNLPEEMQPHFFKNIVLTGGNSLFPGFRERVYSEVRCLTPTDYDVSVVLPENPITYSW EGGKLISENDDFEDMVVTREDYEENGHSVCEEKFDI >ENSMUSP00000058919.9 pep:known chromosome:GRCm38:1:72711260:72713809:1 gene:ENSMUSG00000046330.9 transcript:ENSMUST00000059980.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl37a description:ribosomal protein L37a [Source:MGI Symbol;Acc:MGI:98068] MAKRTKKVGIVGKYGTRYGASLRKMVKKIEISQHAKYTCSFCGKTKMKRRAVGIWHCGSC MKTVAGGAWTYNTTSAVTVKSAIRRLKELKDQ >ENSMUSP00000036913.7 pep:known chromosome:GRCm38:19:43663822:43674881:-1 gene:ENSMUSG00000040414.7 transcript:ENSMUST00000046038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a28 description:solute carrier family 25, member 28 [Source:MGI Symbol;Acc:MGI:2180509] MELEGRSAGGVAGGPAAGPGRSPGESALLDGWLQRGVGRGAGGGEAGAYQPPVRLDPESG PEYEALPAGATVTTHMVAGAVAGILEHCVMYPIDCVKTRMQSLQPDPAARYRNVLEALWR IMRTEGLWRPMRGLNVTATGAGPAHALYFACYEKLKKTLSDVIHPGGNSHIANGAAGCVA TLLHDAAMNPAEVVKQRMQMYNSPYHRVTDCVRAVWQNEGAGAFYRSYTTQLTMNVPFQA IHFMTYEFLQEHFNPQRRYNPSSHVLCGACAGAVAAAATTPLDVCKTLLNTQESLALNSN ITGHITGMASAFRTVYQVGGVTAYFRGVQARVIYQIPSTAIAWSVYEFFKYLITKRQEEW RAGK >ENSMUSP00000090730.5 pep:known chromosome:GRCm38:8:123518835:123536649:1 gene:ENSMUSG00000040220.9 transcript:ENSMUST00000093043.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas8 description:growth arrest specific 8 [Source:MGI Symbol;Acc:MGI:1202386] MAPKKKGKKGKAKGTAIVDGVAPEDMTKEQVEEHVARIREELDREREERNYFQLERDKIH TFWEITRRQLEEKKAELRNKDREMEEAEERHQVEIKVYKQKVKHLLYEHQNNLAEVKAEG TVVMKLAQKEHRTQEGALRKDMRVLKVELKEQELANEVVIKNLCLKQAEEITKMRNDFER QVREIEAKYDKKMKMLRDELDLRRKTEIHEVEERKNGQISTLMQRHEEAFTDIKNYYNDI TLNNLALINSLKEQMEDMRKKEEHMEREMAEVTLQNRRLADPLQKAKDEMNEMQKRLGNH ERDKQILVCTKARLKVAERELKDLKWEHEVLEQRFIKVQQEREELYRKFADAIQEVQQKT GFKNLLLERKLQALNAAVEKREVQFNEVLAASNLDPTALTLVSRKLEDVLESKNTTIKDL QYELARVCKAHNDLLRTYEAKLLAFGIPLDNVGFKPLETAVIGQTLGQGPAGLVGAPT >ENSMUSP00000136078.1 pep:known chromosome:GRCm38:X:123793665:123813765:1 gene:ENSMUSG00000094620.1 transcript:ENSMUST00000178148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34c3 description:claudin 34C3 [Source:MGI Symbol;Acc:MGI:3645501] FISKRAARNRTLAGFHIFDASMVLLNKSANHQIRGFTLATIACIMCNTSMALPEWRNCYL NNSMLSYPSLAFVNIWEAYICHHNHNSSHLRDCHYYTCHNNLVPLDIRVSQILLLVANVV GLVGTVCSVFALQQLNTEELHKNNDYNPFVLSAVLNAIASTFIFLAVMCNHLSVPSKEEV SFLQSFQMPNFSNAQRAGRAMGLAYISAILFLLSAIIFISYCPSMEIKMFPRV >ENSMUSP00000131605.2 pep:known chromosome:GRCm38:10:100340836:100341762:1 gene:ENSMUSG00000091101.2 transcript:ENSMUST00000168769.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4302 description:predicted gene 4302 [Source:MGI Symbol;Acc:MGI:3782482] MTTMADKMDMSLEDIIKLNKMQQGRRDRPDSRVKRGTGPKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAHVHFERKADALKAMREYNGAPLDGRPMNIHLVTSQIDRQGRPALNSDKGGM TRNPGSGVLSGGGTKRWTLGGSQGSGRGTSRNSKLQQQQQQQQQQQQQQQEEQKHQKQQQ QKQQQQQQKQGQNHQHQQQQKEQQQQQQQKELQQLSVEELDAQLDYYQDMMDTS >ENSMUSP00000036936.2 pep:known chromosome:GRCm38:19:44394451:44407709:-1 gene:ENSMUSG00000037071.2 transcript:ENSMUST00000041331.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scd1 description:stearoyl-Coenzyme A desaturase 1 [Source:MGI Symbol;Acc:MGI:98239] MPAHMLQEISSSYTTTTTITAPPSGNEREKVKTVPLHLEEDIRPEMKEDIHDPTYQDEEG PPPKLEYVWRNIILMVLLHLGGLYGIILVPSCKLYTCLFGIFYYMTSALGITAGAHRLWS HRTYKARLPLRIFLIIANTMAFQNDVYEWARDHRAHHKFSETHADPHNSRRGFFFSHVGW LLVRKHPAVKEKGGKLDMSDLKAEKLVMFQRRYYKPGLLLMCFILPTLVPWYCWGETFVN SLFVSTFLRYTLVLNATWLVNSAAHLYGYRPYDKNIQSRENILVSLGAVGEGFHNYHHTF PFDYSASEYRWHINFTTFFIDCMAALGLAYDRKKVSKATVLARIKRTGDGSHKSS >ENSMUSP00000045603.8 pep:known chromosome:GRCm38:17:66666848:67354459:-1 gene:ENSMUSG00000033278.9 transcript:ENSMUST00000037974.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprm description:protein tyrosine phosphatase, receptor type, M [Source:MGI Symbol;Acc:MGI:102694] MRTLGTCLVTLAGLLLTAAGETFSGGCLFDEPYSTCGYSQADEDDFNWEQVNTLTKPTSD PWMPSGSFMLVNTSGKPEGQRAHLLLPQLKENDTHCIDFHYFVSSKSNAAPGLLNVYVKV NNGPLGNPIWNISGDPTRTWHRAELAISTFWPNFYQVIFEVVTSGHQGYLAIDEVKVLGH PCTRTPHFLRIQNVEVNAGQFATFQCSAIGRTVAGDRLWLQGIDVRDAPLKEIKVTSSRR FIASFNVVNTTKRDAGKYRCMICTEGGVGISNYAELVVKEPPVPIAPPQLASVGATYLWI QLNANSINGDGPIVAREVEYCTASGSWNDRQPVDSTSYKIGHLDPDTEYEISVLLTRPGE GGTGSPGPALRTRTKCADPMRGPRKLEVVEVKSRQITIRWEPFGYNVTRCHSYNLTVHYG YQVGGQEQVREEVSWDTDNSHPQHTITNLSPYTNVSVKLILMNPEGRKESQELTVQTDED LPGAVPTESIQGSAFEEKIFLQWREPTQTYGVITLYEITYKAVSSFDPEIDLSNQSGRVS KLGNETHFLFFGLYPGTTYSFTIRASTAKGFGPPATNQFTTKISAPSMPAYEFETPLNQT DNTVTVMLKPAQSRGAPVSVYQIVVEEERPRRTKKTTEILKCYPVPIHFQNASILNSQYY FAAEFPADSLQAAQPFTIGDNKTYNGYWNTPLLPHKSYRIYYQAASRANGETKIDCVRVA TKGAVTPKPVPEPEKQTDHTVKIAGVIAGILLFVIIFLGVVLVMKKRKLAKKRKETMSST RQEMTVMVNSMDKSYAEQGTNCDEAFSFMGTHNLNGRSVSSPSSFTMKTNTLSTSVPNSY YPDETHTMASDTSSLAQPHTYKKREAADVPYQTGQLHPAIRVADLLQHITQMKCAEGYGF KEEYESFFEGQSAPWDSAKKDENRMKNRYGNIIAYDHSRVRLQMLEGDNNSDYINGNYID GYHRPNHYIATQGPMQETIYDFWRMVWHENTASIIMVTNLVEVGRVKCCKYWPDDTEIYK DIKVTLIDTELLAEYVIRTFAVEKRGIHEIREIRQFHFTGWPDHGVPYHATGLLGFVRQV KSKSPPNAGPLVVHCSAGAGRTGCFIVIDIMLDMAEREGVVDIYNCVRELRSRRVNMVQT EEQYVFIHDAILEACLCGDTSIPASQVRSLYYDMNKLDPQTNSSQIKEEFRTLNMVTPTL RVEDCSIALLPRNHEKNRCMDILPPDRCLPFLITIDGESSNYINAALMDSYKQPSAFIVT QHPLPNTVKDFWRLVLDYHCTSVVMLNDVDPAQLCPQYWPENGVHRHGPIQVEFVSADLE EDIISRIFRIYNASRPQDGHRMVQQFQFLGWPMYRDTPVSKRSFLKLIRQVDKWQEEYNG GEGRTVVHCLNGGGRSGTFCAISIVCEMLRHQRTVDVFHAVKTLRNNKPNMVDLLDQYKF CYEVALEYLNSG >ENSMUSP00000020346.4 pep:known chromosome:GRCm38:10:115369966:115384435:-1 gene:ENSMUSG00000020137.4 transcript:ENSMUST00000020346.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap2 description:THAP domain containing, apoptosis associated protein 2 [Source:MGI Symbol;Acc:MGI:1914066] MPTNCAAAGCAATYNKHINISFHRFPLDPKRRKEWVRLVRRKNFVPGKHTFLCSKHFEAS CFDLTGQTRRLKMDAVPTIFDFCTHIKSLKLKSRNLLKTNNSFPPTGPCNLKLNGSQQVL LEHSYAFRNPMEAKKRIIKLEKEIASLRKKMKTCLQRERRATRRWIKATCFVKSLEASNM LPKGISEQILPTALSNLPLEDLKSLEQDQQDKTVPIL >ENSMUSP00000079340.5 pep:known chromosome:GRCm38:17:56613395:56614243:1 gene:ENSMUSG00000057863.5 transcript:ENSMUST00000080492.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl36 description:ribosomal protein L36 [Source:MGI Symbol;Acc:MGI:1860603] MALRYPMAVGLNKGHKVTKNVSKPRHSRRRGRLTKHTKFVRDMIREVCGFAPYERRAMEL LKVSKDKRALKFIKKRVGTHIRAKRKREELSNVLAAMRKAAAKKD >ENSMUSP00000104849.3 pep:known chromosome:GRCm38:13:115088357:115090188:-1 gene:ENSMUSG00000042275.8 transcript:ENSMUST00000109226.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pelo description:pelota homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2145154] MKLVRKDIEKDNAGQVTLVPEEPEDMWHTFNLVQVGDSLRASTIRKVQTESSTGSVGSNR VRTTLTLCVEAIDFDSQACQLRVKGTNIQENEYVKMGAYHTIELEPNRQFTLAKKQWDSV VLERIEQACDPAWSADVAAVVMQEGLAHVCLVTPSMTLTRAKVEVNIPRKRKGNCSQHDR ALERFYEQVVQAIQRHINFEVVKCVLVASPGFVREQFCDYMFQQAVKTDNKVLLENRSKF LQVHASSGHKYSLKEALCDPTVASRLSDTKAAGEVKALDDFYKMLQHEPDRAFYGLKQVE RANEALAIDTLLISDELFRHQDVATRSRYVRLVDSVKENAGTVRIFSSLHVSGEQLGQLT GVAAILRFPVPELSDQEDDSSSEED >ENSMUSP00000021416.7 pep:known chromosome:GRCm38:12:56328306:56345894:-1 gene:ENSMUSG00000021028.7 transcript:ENSMUST00000021416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbip description:MAP3K12 binding inhibitory protein 1 [Source:MGI Symbol;Acc:MGI:1918320] MAAAAELSSSSGSERSLEQCSSPLLTREVLCEVFRSLHTLTRQLNLRDDVVKITIDWNRL QSLSASQPALLLTALEQHVLYLQPFLAKLQSLMKENSTATEIRQTEAETKSELRAIHPTE DLQDEGKPKDCDVGDVKKTQNLFDPEVVQIKAGKAEIDRRISAFIERKQAEINENNVREF CNVIDCNQENSCARTDAVFTPYPGFKSHVKVSRVVNTYGPQTRPEGIAGSGHKPTGMLRD CGNQAVEERLQNIEAHLRLQTGGPVPRDIYQRIKKLEDKILELEGISPEYFQSVNFSGKR RKVQPPQQNYSLAELDEKISALKRALLRKSREADSMAAHLP >ENSMUSP00000026267.8 pep:known chromosome:GRCm38:9:59617284:59650290:1 gene:ENSMUSG00000025237.15 transcript:ENSMUST00000026267.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp6 description:poly (ADP-ribose) polymerase family, member 6 [Source:MGI Symbol;Acc:MGI:1914537] MDIKGQFWNDDDSEGDNESEEFLYGVQGSCAADLYRHPQLDADIEAVKEIYSENSVSIRE YGTIDDVDLDLHINISFLDEEVSTAWKVLRTEPIVLRLRFSLSQYLDGPEPSIEVFQPSN KEGFGLGLQLKKILCMFTSQQWKHLSNDFLKTQQEKRHSWFKASGTIKKFRAGLSIFSPI PKSPSFPIIQDSMLKGKLGVPELRVGRLMNRSISCTMKNPKVEVFGYPPSPQAGLLCPQH VGLPPPARTSPLVSGHCKNIPTLEYGFLVQIMKYAEQRIPTLNEYCVVCDEQHVFQNGSM LKPAVCTRELCVFSFYTLGVMSGAAEEVATGAEVVDLLVAMCRAALESPRKSIIFEPYPS VVDPTDPKTLAFNPKKKNYERLQKALDSVMSIREMTQGSYLEIKKQMDKLDPLAHPLLQW IISSNRSHIVKLPLSRLKFMHTSHQFLLLSSPPAKEARFRTAKKLYGSTFAFHGSHIENW HSILRNGLVNASYTKLQLHGAAYGKGIYLSPISSISFGYSGMGKGQHRMPSKDELVQRYN RMNTIPQTRSIQSRFLQSRNLNCIALCEVITSKDLQKHGNIWVCPVSDHVCTRFFFVYED GQVGDANINTQDPKIQKEIMRVIGTQVYTN >ENSMUSP00000063065.6 pep:known chromosome:GRCm38:9:59617284:59650290:1 gene:ENSMUSG00000025237.15 transcript:ENSMUST00000050483.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp6 description:poly (ADP-ribose) polymerase family, member 6 [Source:MGI Symbol;Acc:MGI:1914537] MDIKGQFWNDDDSEGDNESEEFLYGVQGSCAADLYRHPQLDADIEAVKEIYSENSVSIRE YGTIDDVDLDLHINISFLDEEVSTAWKVLRTEPIVLRLRFSLSQYLDGPEPSIEVFQPSN KEGFGLGLQLKKILCMFTSQQWKHLSNDFLKTQQEKRHSWFKASGTIKKFRAGLSIFSPI PKSPSFPIIQDSMLKGKLGVPELRVGRLMNRSISCTMKNPKVEVFGYPPSPQVSGHCKNI PTLEYGFLVQIMKYAEQRIPTLNEYCVVCDEQHVFQNGSMLKPAVCTRELCVFSFYTLGV MSGAAEEVATGAEVVDLLVAMCRAALESPRKSIIFEPYPSVVDPTDPKTLAFNPKKKNYE RLQKALDSVMSIREMTQGSYLEIKKQMDKLDPLAHPLLQWIISSNRSHIVKLPLSRLKFM HTSHQFLLLSSPPAKEARFRTAKKLYGSTFAFHGSHIENWHSILRNGLVNASYTKLQEWA KDSTGCLPRMSWSRDTTG >ENSMUSP00000129456.1 pep:known chromosome:GRCm38:9:59618672:59650279:1 gene:ENSMUSG00000025237.15 transcript:ENSMUST00000167091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp6 description:poly (ADP-ribose) polymerase family, member 6 [Source:MGI Symbol;Acc:MGI:1914537] MDIKGQFWNDDDSEGDNESEEFLYGVQGSCAADLYRHPQLDADIEAVKEIYSENSVSIRE YGTIDDVDLDLHINISFLDEEVSTAWKVLRTEPIVLRLRFSLSQYLDGPEPSIEVFQPSN KEGFGLGLQLKKILCMFTSQQWKHLSNDFLKTQQEKRHSWFKASGTIKKFRAGLSIFSPI PKSPSFPIIQDSMLKGKLGVPELRVGRLMNRSISCTMKNPKVEVFGYPPSPQAGLLCPQH VGLPPPARTSPLVSGHCKNIPTLEYGFLVQIMKYAEQRIPTLNEYCVVCDEQHVFQNGSM LKPAVCTRELCVFSFYTLGVMSGAAEEVATGAEVVDLLVAMCRAALESPRKSIIFEPYPS VVDPTDPKTLAFNPKKKNYERLQKALDSVMSIREMTQGSYLEIKKQMDKLDPLAHPLLQW IISSNRSHIVKLPLSRLKFMHTSHQFLLLSSPPAKEARFRTAKKLYGSTFAFQNGQRTAQ DAFQG >ENSMUSP00000128854.1 pep:known chromosome:GRCm38:12:11456079:11462928:1 gene:ENSMUSG00000086022.1 transcript:ENSMUST00000124065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51ap2 description:RAD51 associated protein 2 [Source:MGI Symbol;Acc:MGI:3644580] MSLSRPAWPAGPAWPVSSEPPPEDPAAASPSSKRRRLKEPDGGVSEAGWPLLVVPRLSEV EKVWEWSLRPFTAFLIPKSPGSSAGGKQSCDLGCRDRTFQAHSCWQSGILGCSRAPRRSC EAGLQDREVQGVRLGDQAEVSHAPPNSPMPYVQGVKQEPEELPEKETILKEKNSVREPGN PFLDVPFSEETKSALHEIKDRCKVDSVITSEKKENVSSSTLKISKFQNQACLESAKPSYF RDSNTKNFFEFPRDLNSNMSFVYLKETAKKKNDKIVAYVRDFTNIFSSQNRPNAKKQKLQ DDKKNVYVENDFSDYSESYHQSLTIEGKIDLINLNYYRHSSIECDVRDSKKNFTLTLEVA NCEGTERNQEYYIPTRQEFQNLDCDRSILKIKKENCWRIKKIRIICENNMILENNIVSLH DNFDSFIRKKEKKKDDRESEEGCIFKYIVNLNYLKNIKKERYIVYLTKMLTSLRLLECNT KSTAKKRKLFKTEHVLQRVKKQNINSLTMTTKIFLIYKLCENVPPLMGFDNTEELSLTKE SSYESTRCAKLLLNMKNLDYYSFGIDGTHVESDPLFIQNNCGHINEKYYESSMYNQDLDT VRKWKHKTIHFIFKSIFEDVFNVRQLCTLLSQNTSHSDQINAMAITLKISLENLLSETEG KIYDFVLKREMKVTKSSSSFQAHNAIDTEKEEDSFPTMDGMSSVQSASLVSKSINMEETK SLNQNNRTSTKEDGGILQESELANSKHFHPKNESALYANHQFESDSSGENNECFQGLTAT CLSTETLPIAEEFEMKSKFDLVLEELRMFHEISKENEIPSTRMTNNRKENYFGESNDVKE ARMEIGKKLEMVETNTRNAPFLSCDVKAGLNKHKRHQSLFNWKMLPTHGGQAVPNECWPR SEERSLHSTPEEDYKKHLPKSPTFSPDEYKNETLLKGGSHFSHGISRVQPLKTCSRPIRV GLSRRARLKQLHPYLK >ENSMUSP00000104625.2 pep:known chromosome:GRCm38:2:176521056:176532479:1 gene:ENSMUSG00000095199.7 transcript:ENSMUST00000108997.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14431 description:predicted gene 14431 [Source:MGI Symbol;Acc:MGI:3709638] MRDSTGCCERRLVKLRTPNMVTVGKNIQLKTISKLLEVMEAFAGRSDLQRQKIIQKRKST KASNVIKSLQQALISMYINEHIPERNLLNVTNMVKLFQKPIVFTYIKEYILERNALTLTN VVKLFQKAVINKIIKQHV >ENSMUSP00000136521.1 pep:known chromosome:GRCm38:2:176521056:176531745:1 gene:ENSMUSG00000095199.7 transcript:ENSMUST00000178443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14431 description:predicted gene 14431 [Source:MGI Symbol;Acc:MGI:3709638] MRDSTGCCERRLVKLRTPNMDLVTYDDVHVNFTQEEWALLDASQKSLYKGVMVETYRNLT AIGYSWEEHTIEDHFQTSRSHGRHERRSSAEQHSEFIPCGKAFAYQSRSQRHVRIHNGEK HYECNQCGKDFGTRSVLQRLKRTHTGEKPYECNHYGKAFAESSTLQIHKRKHTGEKPYEC NHCVKAFAKMSKLQIHKRIHTGEKPYECKQCGKAFTQSSHLGIHKRTHTGEKPYECKQCG KAFTRSSTLQTHKQTHTGEKPYECKQCDKAFVRRGELQIHKRTHTGEKPYECKQCGKAFA QSGTLQIHKRTHTGEKPYECKQCGKAFAHSSTLQIHKRTHTGEKPYECKQCDKAFVKRGE LQIHKQTHTGEKPYECKQCGKAFAQSGTLQVHERTHTGEKPFECNQCGKAFVQCIALRIH ERTHTGEKPYECKQCGKAFAHSNTLQIHKRTHTGEKPYECKQCGKAFAHSSTLQIHKQTH TGEKP >ENSMUSP00000136622.1 pep:known chromosome:GRCm38:10:127724478:127731767:1 gene:ENSMUSG00000025400.10 transcript:ENSMUST00000179960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tac2 description:tachykinin 2 [Source:MGI Symbol;Acc:MGI:98476] MRSAMLFAAVLALSLAWTFGAVCEEPQGQGGRLSKDSDLYQLPPSLLRRLYDSRPVSLEG LLKVLSKASVGPKETSLPQKRDMHDFFVGLMGKRNSQPDTPTDVVEENTPSFGILK >ENSMUSP00000026466.3 pep:known chromosome:GRCm38:10:127725392:127731767:1 gene:ENSMUSG00000025400.10 transcript:ENSMUST00000026466.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tac2 description:tachykinin 2 [Source:MGI Symbol;Acc:MGI:98476] MRSAMLFAAVLALSLAWTFGAVCEEPQGQGGRLSKDSDLYQLPPSLLRRLYDSRPVSLEG LLKVLSKASVGPKETSLPQKRDMHDFFVGLMGKRNSQPDTPTDVVEENTPSFGILK >ENSMUSP00000023095.6 pep:known chromosome:GRCm38:15:82274935:82294442:1 gene:ENSMUSG00000022456.15 transcript:ENSMUST00000023095.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept3 description:septin 3 [Source:MGI Symbol;Acc:MGI:1345148] MSELVPEPRPKPAVPMKPVSINSNLLGYIGIDTIIEQMRKKTMKTGFDFNIMVVGQSGLG KSTLVNTLFKSQVSRKASSWNREEKIPKTVEIKAIGHVIEEGGVKMKLTVIDTPGFGDQI NNENCWEPIEKYINEQYEKFLKEEVNIARKKRIPDTRVHCCLYFISPTGHSLRPLDLEFM KHLSKVVNIIPVIAKADTMTLEEKSEFKQRVRKELEVNGIEFYPQKEFDEDLEDKTENDK IRQESMPFAVVGSDKEYQVNGKRVLGRKTPWGIIEVENLNHCEFALLRDFVIRTHLQDLK EVTHNIHYETYRAKRLNDNGGLPPVSVDTEESHDSNP >ENSMUSP00000112124.1 pep:known chromosome:GRCm38:15:82275247:82292057:1 gene:ENSMUSG00000022456.15 transcript:ENSMUST00000116423.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept3 description:septin 3 [Source:MGI Symbol;Acc:MGI:1345148] MSELVPEPRPKPAVPMKPVSINSNLLGYIGIDTIIEQMRKKTMKTGFDFNIMVVGQSGLG KSTLVNTLFKSQVSRKASSWNREEKIPKTVEIKAIGHVIEEGGVKMKLTVIDTPGFGDQI NNENCWEPIEKYINEQYEKFLKEEVNIARKKRIPDTRVHCCLYFISPTGHSLRPLDLEFM KHLSKVVNIIPVIAKADTMTLEEKSEFKQRVRKELEVNGIEFYPQKEFDEDLEDKTENDK IRQESMPFAVVGSDKEYQVNGKRVLGRKTPWGIIEVENLNHCEFALLRDFVIRTHLQDLK EVTHNIHYETYRAKRLNDNGGLPPVSVDTEESHDSNP >ENSMUSP00000095506.2 pep:known chromosome:GRCm38:4:123859679:123863165:1 gene:ENSMUSG00000073761.2 transcript:ENSMUST00000097896.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933427I04Rik description:Riken cDNA 4933427I04 gene [Source:MGI Symbol;Acc:MGI:3613760] MREKNLQSLPLQSHKDLLKDIVTGDGNMSLSRGSRAASQYPELDFLEEHVLRGRNIKPKK KELLQATLPICRLERRHEEIQTSKQEKMKSKRVNSREAWIQLFPKKPFLGQKPKDLGPLL MEGASLQHKEKSQALELSSKDHRLKSSPRLHRQNLQSAQDDSDKETPGEGSKTERRKLRT EWWDPTVWGDPVKLVEREQSLRKICSEVHSDQRKLSFPMGRSSYKDIIPTGRSSY >ENSMUSP00000025764.5 pep:known chromosome:GRCm38:19:5344705:5349574:-1 gene:ENSMUSG00000024846.5 transcript:ENSMUST00000025764.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cst6 description:cystatin E/M [Source:MGI Symbol;Acc:MGI:1920970] MERPHFPLAMGLGLLAFCLLTLSPDARAELRSRRTGERQNLSPTDPRVQKAAQAAVASYN MGSDSLYYFRDTKVIDAKYQLVAGIKYYLTLDIESTECRKTRVSGEHMDLTTCPLAAGGQ QEKLRCNFELLEVPWKNTTQLLKHDCVQV >ENSMUSP00000043466.6 pep:known chromosome:GRCm38:10:127078907:127093169:1 gene:ENSMUSG00000025422.8 transcript:ENSMUST00000039259.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agap2 description:ArfGAP with GTPase domain, ankyrin repeat and PH domain 2 [Source:MGI Symbol;Acc:MGI:3580016] MSRGAGALQRRTTTYLISLTLVKLESVPPPPPSPSAAAAGAPGARGSEPRDPGSPRGSEE PGKKRHERLFHRQDALWISTSSAGTGGAEPPALSPAPASPARPVSPAPGRRLSLWAAPPG PPLSGGLSPDSKPGGAPSSSRRPLLSSPSWGGPEPEGRTGGGVPGSSSPHPGTGSRRLKV APPPPAPKPFKTVTTSGAKAGGGKGAGSRLSWPESEGKPRVKGSKSTAGTGASAAAAGGG GSAAVTTSGGVGAGAGTRGKLSPRKGKSKTLDNSDLHPGPSAGSPPLTVPAIPVPATSVT ATSTQPLGPAPPITLEPPAPGLKRGREGGRASTRDRKMLKFISGIFTKSTGGPPGPGPLP GPQGLSSSSGSRELLGAELRTSPKAVVNSQEWTLSRSIPELRLGVLGDVRSGKSSLIHRF LTGSYQVLEKIESEQYKKEMLVDGQTHLVLIREEAGAPDAKFSGWADAVIFVFSLEDESS FQAVSRLHGQLSSLRGEGRGGLALALVGTQDRISASSPRVVGDARARALCTDMKRCSYYE TCATYGLNVDRVFQEVAQKVVTLRKQQQLLAACKSLPSSPSHSAASTPVAGQASNGGHTS DYSSSLPSSPNVGHRELRAEAAAVAGLSTPGSLHRAAKRRTSLFANRRGSDAEKRSLDSR GETTGSGRAIPIKQSFLLKRSGNSLNKEWKKKYVTLSSNGFLLYHPSINDYIHSTHGKEM DLLRTTVKVPGKRPPRAISAFGPSASINGLVKDMSTVQMGEGPEASTPMPSPSPSPSSLQ LPTDQTSKHLLKPDRNLARALSTDCTPSGDLSPLSREPPPSPMVKKQRRKKLSTPSKTEG SAVQAEAKRKMWKLKSFGSLRNIYKAEENFEFLIVSSTGQTWHFEAASFEERDAWVQAIE SQILASLQCCESSKVKLRTDSQSEAVAIQAIRNAKGNSTCVDCGAPNPTWASLNLGALIC IECSGIHRNLGTHLSRVRSLDLDDWPRELTLVLTAIGNDTANRVWESDTRGRAKPTRDSS REERESWIRAKYEQLLFLAPLGTTEEPLGRQLWAAVEAQDVAAVLLLLAHARHGPLDTSV EDPQLRSPLHLAAELAHVVITQLLLWYGADVAARDAQGRTALFYARQAGSQLCADILLQH GCPGEGGSTATTPSAATTPSITATPSPRRRSSAASLGRVDTTIALV >ENSMUSP00000017604.8 pep:known chromosome:GRCm38:8:122424772:122432940:-1 gene:ENSMUSG00000006519.10 transcript:ENSMUST00000017604.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyba description:cytochrome b-245, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1316658] MGQIEWAMWANEQALASGLILITGGIVATAGRFTQWYFGAYSIAAGVLICLLEYPRGKRK KGSTMERCGQKYLTSVVKLFGPLTRNYYVRAALHFLLSVPAGFLLATILGTVCLAIASVI YLLAAIRGEQWTPIEPKPKERPQVGGTIKQPPTNPPPRPPAEVRKKPSEGEEEAASAGGP QVNPMPVTDEVV >ENSMUSP00000070915.4 pep:known chromosome:GRCm38:19:5495278:5510489:-1 gene:ENSMUSG00000056185.4 transcript:ENSMUST00000070172.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx32 description:sorting nexin 32 [Source:MGI Symbol;Acc:MGI:2444704] MEEQHQEAGNESKPSSTSVDLQGDSPLQVEISDAVSERDKVKFTVQTKSGLPHFAQSEFS VVRQHEEFIWLHDTYVENEEYAGLIIPPAPPRPDFEASREKLQKLGEGNSSITREEFSKM KQELEAEYLAIFKKTVAMHEVFLQRLAAHPTLRRDHNFSVFLEYSQDLSVREKNRKEVLG GLLRSIVRSADEVLITGISGLKEVDDFFEHERTFLVEYHTRIRDTCQRADRVMHSHKCLA DNYIPISAALSSLGTQEVNQLKRSFLKLAELFERLRKLEGRVASDEDLKLSDMLRYYMRD SQAAKDLLYRRLRALADYENANKALDKARTRNREVRPAESRQQLCCQRFERLSDSAKQEL MDFKSRRVSSFRKNLIELAELELKHAKASTLLLQNTLVALKGEP >ENSMUSP00000034140.7 pep:known chromosome:GRCm38:8:85717557:85840949:-1 gene:ENSMUSG00000031703.7 transcript:ENSMUST00000034140.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itfg1 description:integrin alpha FG-GAP repeat containing 1 [Source:MGI Symbol;Acc:MGI:106419] MAAGRLPSARAVLAPLFLGLALLSVGPAPARALHNVTAELFGAEAWGTLAAFGDLNSDKQ TDLFVLRERNDLIVFLADQSAPYFKPKVKVSLKTLSALVTSVVPGDYDGDSQMDVLLTYF PQNHTNSELGAVIFWGQNQTLDPKNMTILNRTFHDQPLIMDFNGDLIPDVFGITNESSQP QILLGGDLSWHPALTTKSKMRDPHSHAFIDLTEDFTADLFLTTLTASNAFQFEIWENLGG NFSIHSVFEKPKNLVVVGQSAFADFDGDGHMDHLLPGCEDKDCQKSAIYLMRSGTGQWVP VLQDFSNKGTLWGFVPFVHEEQPTTIPIPLTLHIGDYNMDGYPDALAILKNTSGSNQQAF LLENVPCNNASCEEVHRMFKVYWDLAGLNLIKDAIVATFFDIYEDGILDIIVLSKGYTKN DVAIHTLKNNFEADAYFVKVIVLSGLCSNDCPRKITPFGVNQPGPYIMYTTVDANGYLKN GSAGQLSQSAHLALQLPYNVLGLGRSANFLDHLFVGIPRPSGEKSIRKQEWTAIIPNSQL IVIPYPHNVPRSWSAKLYLTPSNIVLLTAVALIGVCIFILAIIAILHWQEKKADDREKRQ EAHRFHFDAM >ENSMUSP00000041701.8 pep:known chromosome:GRCm38:17:84190056:84466196:-1 gene:ENSMUSG00000024251.9 transcript:ENSMUST00000047524.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thada description:thyroid adenoma associated [Source:MGI Symbol;Acc:MGI:3039623] MGVKKKREMQVAALTVCHQDMETLRSFADMEGKNLASLLLHCVQLTDGVSQIHSIKQIVP LLEKVDKNGVCDPAIQSCLDILAGIYFSLTLKNPLKKVLASSLNGLPEVFLTQATHSFTF HLQEELDTADLYSYRKVMDNISSCMENFNLGRASVVNLLKDVLHFLQKSLIEILEENRKF AGNRIVQTQLMSDLLVGVRVAMTLVQKVQGPQGSLWNDSSSPIWQSMCGLLSIFTKFLND DDLLQTVESTSGLAVILFIKTMFRPSEKLPGLISSLLLRSAECTSIPEWLMNSCRSLCCT DVPASTLLFLCQGTLAMLDWQDGSMGPSGEALLLDIVHVLFTLSSQIKESTLDMFLSRIL ASWTSSAIQILESGSSGLKGHLNGDSCVPRRLLEYVYTHWEHPLDALRHQTKVMFRNLLQ MHRLTMEGADLATDPFCLELTKSLLQLEWHIKGKYACLGCLVETLGIEHILAIDKTIPSQ ILEVMGDQSLVPYASDLLETMFKNHKSHLKSQTVTNTWMDKWHETWVFPVLSVLCGGNLD QRSYVIDYYLPRILNYSPESLHYMVHILQASTDTGTGSCNHRGALGALMACLRTARAHGH LQSATQAWENLVCSARVKQGLIHQHCQVRIDTLGLLCESNRSTEVVSTEEMQWVQFFITY NLNSQSPGVRQQICSLLKKLFCRIQESSQVLYKLEQRKSTPDSENGSIREQPSVTLQQYK NFMSSVCNILFEALFPGSSYSTRFSALTILGSVAEVFPDPEGNIQTVYQLSHDIDAGRYQ ILMECFTSTFEEVKTLAFDLLMKLSSVTAGQFQDSEKLQDLFQAALELSTSTKPYDCVTA SYLLNLLIRQDALPAVLSASSPQQLTRGAGETSAVLERNTLVVIKCLMENLEDEISQAEN SLLQAASSFPMYGRVHCITRAFQRLPLNDLRLASEWRPLLGRLLLLSYRLSTVVAPVIQS SSPEGLIPVDTDSASASRLQLILNEIQPRDTNDYFNHTKILKECDSFDLEDLSTSVSNID SSAEVKGKEEKACDVTAQMVLACCWRSMKEVALLLGTLCQLLPVQPGPESSNVFLTVQQV KEIGDYFKQHLLQSRHRGAFELAYTGFVKLTEILNRCSNVSLQKLPEQWLRSVLEEIKGS DPSSKLCATRRSAGIPFYIQALLASEPKKSRMDLLKITMRELISLALSADDSKGRVPQVH ALNILRALFRDTRLGENIIPYVAGGAKAAILGFTSPVWAVRNSSTLLFSSLITRVFGVKR GKDEVSKTNRMTGREFFSRFPELYPFLLKQLETVASTVDSELGEPDRHPGMFLLLLVLER LYPSPMDGTSSALSLAPFVPFIIRCGRSPIYRSREMAARALVPFIMIDQIPSTLCALLNS LPNSTDQCFRQNHIHGTLLQVFHLLQAYITDCRHRTNADFLQELSDVTACTKAKLWLAMR QNPCLVTRAVYIDILFLLTNCLDRPEEGKQTALESLGFWEDVRRIILESELIKGFPWTFK VPGLPQYLQSLTKLAIPEVWASLAEAKGQATAVPLSFSRLLKSSFPEVRLLALDTLLERA RSSEQEQKEPLPLLCSMGEELLLLAMKEDHPGCFCRVLKILYHLNPSEWLPQTECYAHLS PKEFLMWSMDIASNDRSEIQGVALKLASKIIAYRVQSCEKNKDSLAPELRQWVQLVVWSC GDHLPTASRLAVAEVLTSTAPLFLTSPQPILELQGTLSLWRCVLTLLQSEEQTVREAATE IVTTAMSQGNTCQSTEFAFCQVDASIALTLALAVLCDLLQQWDQLEPGLPILLGWLLEEG DDLEGHVQSPHQGEEEHIFEKSEVNFWAETLTFVKSLCRQLFHLLCQSGWQSPHSQKLCH LQRIASEQSHLISQLFRELPLSAEFLKTVEYTRLRIQEERTLAVLRLLACLEGKEGLRAE DCPREWRQVMAPRTEAAC >ENSMUSP00000095819.2 pep:known chromosome:GRCm38:7:102774495:102775565:1 gene:ENSMUSG00000073966.2 transcript:ENSMUST00000098217.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr561 description:olfactory receptor 561 [Source:MGI Symbol;Acc:MGI:3030395] MPSFNESTAYPPVFFLTGIPGLETSHTWISIPFCCLYAIAISGNSMILFVIITESSLHEP MYYFLSMLSFTDLGLCLSTLVTVLGIFWFNVREISFDACIGQMFFIHGFTFMESSVLLVM AFDRFIAICNPLRYAMILTNSRIIAVGFAIIIRGTTALVPLLLLLKRLSFCRSHVLHHSY CFHPDVMKLSCSDTRINSAFGLAIVISTAGLDSVLILLSYVLIIHSVLCIASKEERKKAF GTCVSHLSAVAIFYIPMISLSLVHRFGKHAPPFVHTLIANVYLLIPPVMNPIIYSVKTKQ IRKAMLKVFFVKPS >ENSMUSP00000126474.1 pep:known chromosome:GRCm38:9:21927471:21935872:1 gene:ENSMUSG00000006241.15 transcript:ENSMUST00000170304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc159 description:coiled-coil domain containing 159 [Source:MGI Symbol;Acc:MGI:1914369] MGEHERVVCCSSDSLLAGLSRDSDDCVSHLCTPPLLAITSWAAKERSQYSPPPGEPGPSS DKALDCMTHWSRVLEPEIVNTASEQAGKSGAWEKEWDSEPQPHEGTPCSSSDVNKDHYHD QDLVKRNHCVAKKSLEPSSAKVKVKNTMIIPDSQKLLRCELESLRSQLQAQSKAFEFLNH SVTMLEKESCLQQIKIQQLEEVLSPTSRQGEKYGRKWSTEQELYGALAQGLQGLQKTLKE GEELQRARTTRCLQLLAREIRDSKKFLWEELELVREEVTFIYQKLQDQEDEISENLLNIQ KMQKTQVKCRKVLTKMKQQAYDSWPEAEGVPTEGNGCCKDDLQKELGDIWSAVHSLQSSI DCLALSMGTRPRASSLRGQKGHQCKSSQCPSWDSDSDWERPFSKSGSYPPA >ENSMUSP00000006403.6 pep:known chromosome:GRCm38:9:21927471:21935872:1 gene:ENSMUSG00000006241.15 transcript:ENSMUST00000006403.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc159 description:coiled-coil domain containing 159 [Source:MGI Symbol;Acc:MGI:1914369] MNGCDSLLAGLSRDSDDCVSHLCTPPLLAITSWAAKERSQYSPPPGEPGPSSDKALDCMT HWSRVLEPEIVNTASEQAGKSGAWEKEWDSEPQPHEGTPCSSSDVNKDHYHDQDLVKRNH CVAKKSLEPSSAKVKVKNTMIIPDSQKLLRCELESLRSQLQAQSKAFEFLNHSVTMLEKE SCLQQIKIQQLEEVLSPTSRQGEKYGRKWSTEQELYGALAQGLQGLQKTLKEGEELQRAR TTRCLQLLAREIRDSKKFLWEELELVREEVTFIYQKLQDQEDEISENLLNIQKMQKTQVK CRKVLTKMKQQAYDSWPEAEGVPTEGNGCCKDDLQKELGDIWSAVHSLQSSIDCLALSMG TRPRASSLRGQKGHQCKSSQCPSWDSDSDWERPFSKSGSYPPA >ENSMUSP00000015160.5 pep:known chromosome:GRCm38:8:122775505:122817880:1 gene:ENSMUSG00000015016.7 transcript:ENSMUST00000015160.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsf3 description:acyl-CoA synthetase family member 3 [Source:MGI Symbol;Acc:MGI:2182591] MPPHLALPFRRLFWSLASSQLIPRRHRGHSLLPTTPEAHTDGSVPVFIRALAFGDRIALI DKYGHHTYRELYDRSLCLAQEICRLQGCKVGDLQEERVSFLCSNDVSYVVAQWASWMSGG VAVPLYWKHPEAQLEYFIQDSRSSLVVVGQEYLERLSPLAQRLGVPLLPLTPAVYHGATE KPTEQPVEESGWRDRGAMIFYTSGTTGRPKGALSTHRNLAAVVTGLVHSWAWTKNDVILH VLPLHHVHGVVNKLLCPLWVGATCVMLPEFSAQQVWEKFLSSEAPQITVFMAVPTVYSKL LDYYDKHFTQPHVQDFVRAVCKERIRLMVSGSAALPVPLLEKWRSATGHTLLERYGMTEI GMALSNPLTEARVPGSVGTPLPGVEVRIISENPQKGSPYIIHAEGNERGTKVTPGFEEKE GELLVRGPSVFREYWDKPEETKSAFTSDGWFRTGDTAVFKDARYWIRGRTSVDIIKTGGY KVSALEIERHLLAHPSITDVAVIGVPDMTWGQRVTAVVALQEGHSLSHGDLKEWARGVLA PYAVPSELLLVEEIPRNQMGKVNKKELLKQLYPSGQRSQPGQG >ENSMUSP00000020552.5 pep:known chromosome:GRCm38:10:79669410:79676126:1 gene:ENSMUSG00000020308.5 transcript:ENSMUST00000020552.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpgs1 description:tubulin polyglutamylase complex subunit 1 [Source:MGI Symbol;Acc:MGI:106618] MAALPVPLPPTKMAAVEKRRPAVAPAANFPDSGRTSVSQAAAAAESEEDFLRQLGVTEML RAALLKVLEIRPEEPIAFLAHYFENMGLRSPTNGGAGEPPGQLLLQQQRLGRALWHLRLA HHSQRTAFNNNVSVAYECLSASGRKKKPGLDGRTYSELLKRVCRDGGAPEEVVAPLLRKI QCRDHEAVPLGIFRTGMLSCFVLLEFVARAGALFQLLEDPGQAVADRRVGQAVLDTLEGA LSAGDMATAPTHYLEAGSRLGPDNLARALDRAASGRRPSVPMAREEFLEKAAALFIAKVK PVG >ENSMUSP00000004913.6 pep:known chromosome:GRCm38:12:85166639:85177296:-1 gene:ENSMUSG00000004791.6 transcript:ENSMUST00000004913.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgf description:placental growth factor [Source:MGI Symbol;Acc:MGI:105095] MLVMKLFTCFLQVLAGLAVHSQGALSAGNNSTEVEVVPFNEVWGRSYCRPMEKLVYILDE YPDEVSHIFSPSCVLLSRCSGCCGDEGLHCVPIKTANITMQILKIPPNRDPHFYVEMTFS QDVLCECRPILETTKAERRKTKGKRKRSRNSQTEEPHP >ENSMUSP00000040859.3 pep:known chromosome:GRCm38:19:20783458:20954202:-1 gene:ENSMUSG00000024749.8 transcript:ENSMUST00000039500.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc1 description:transmembrane channel-like gene family 1 [Source:MGI Symbol;Acc:MGI:2151016] MLQIQVEEKEEDTEESSSEEEEDKLPRRESLRPKRKRTRDVINEDDPEPEPEDEETRKAR EKERRRRLRRGAEEEEEIDEEELERLKALLDENRQMIATVKCKPWKMEKKIEVLKEAKKF VSENEGALGKGKGKKWFAFKMMMAKKWAKFLRDFENFKAACVPWENKIKAIESQFGSSVA SYFLFLRWMYGVNMVLFVLTFSLIMLPEYLWGLPYGSLPRKTVPRAEEASAANFGVLYDF NGLAQYSVLFYGYYDNKRTIGWLNFRLPLSYFLVGIMCIGYSFLVVLKAMTKNIGDDGGG DDNTFNFSWKVFCSWDYLIGNPETADNKFNSITMNFKEAIIEERAAQVEENIHLIRFLRF LANFFVFLTLGASGYLIFWAVKRSQEFAQQDPDTLGWWEKNEMNMVMSLLGMFCPTLFDL FAELEDYHPLIALKWLLGRIFALLLGNLYVFILALMDEINNKIEEEKLVKANITLWEANM IKAYNESLSGLSGNTTGAPFFVHPADVPRGPCWETMVGQEFVRLTVSDVLTTYVTILIGD FLRACFVRFCNYCWCWDLEYGYPSYTEFDISGNVLALIFNQGMIWMGSFFAPSLPGINIL RLHTSMYFQCWAVMCCNVPEARVFKASRSNNFYLGMLLLILFLSTMPVLYMIVSLPPSFD CGPFSGKNRMFEVIGETLEHDFPSWMAKILRQLSNPGLVIAVILVMVLTIYYLNATAKGQ KAANLDLKKKMKQQALENKMRNKKMAAARAAAAAGGQ >ENSMUSP00000052657.1 pep:known chromosome:GRCm38:4:88867882:88868379:-1 gene:ENSMUSG00000054351.1 transcript:ENSMUST00000057837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930553M12Rik description:RIKEN cDNA 4930553M12 gene [Source:MGI Symbol;Acc:MGI:1922496] HLTNKHIPLSAVARNFRFFPVVFHFSKKLRVTRKAKHLCGWAAHGDRKTLRQGNKRILWA RKLVERKGTRAAPVPVGAYWSAPWESPYAGACTSLTAQGSLCQRNGVFSSFQSLTQAFTR FQLQQAERPVTLSRQTLWYSETAQFVEDSLERIYRKDTKITFRGH >ENSMUSP00000034351.6 pep:known chromosome:GRCm38:8:104628066:104631321:-1 gene:ENSMUSG00000031880.6 transcript:ENSMUST00000034351.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrad description:Ras-related associated with diabetes [Source:MGI Symbol;Acc:MGI:1930943] MTLNGGSGASGSRGAGRERDRRRGSTPWGPAPPLHRRSMPVDERDLQAALAPGSLATTAA GTRTQGQRLDWPEGSSDSLSSGGSGSEEGVYKVLLLGAPGVGKSALARIFGGIEDGPEAE AAGHTYDRSITVDGEEASLLVYDIWEQDGGCWLPGHCMAMGDAYVIVYSITDKGSFEKAS ELRVQLRRARQTDDVPIILVGNKSDLVRSREVSVDEGRACAVVFDCKFIETSAALHHNVQ ALFEGVVRQIRLRRDSKEDNARRQAGTRRRESLGKKAKRFLGRIVARNSRKMAFRAKSKS CHDLSVL >ENSMUSP00000077405.2 pep:known chromosome:GRCm38:9:38877126:38878176:1 gene:ENSMUSG00000064333.2 transcript:ENSMUST00000078289.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr926 description:olfactory receptor 926 [Source:MGI Symbol;Acc:MGI:3030760] MNHSSVTDFILEGLTKRPELQLPLFLLFLGIHVITVVGNLGMILLINISSQLHSPMYYFL SHLSFIDLCYSSVITPKMLVNFVCAKNTISFKECMTQLYFFLLLAISEGYLLTAMAYDRY VAICSPLLYNTVMSHKVCSIMMAVVYSLGFFGATVHTTRMTMLSFCGSHIIRHYFCDILP LLTLSCSSTHINEVLLFIIGGVNTLAPTLAVIISYAFILTSILRIRSNEGRSKAFGTCSS HIMAVGIFFGSITFMYFKPPSSNNMEQEKVSSVFYTTVIPMLNPLIYSLRNKDVKTALKK MVGRRQLS >ENSMUSP00000136949.1 pep:known chromosome:GRCm38:12:88321047:88321481:-1 gene:ENSMUSG00000093847.1 transcript:ENSMUST00000177747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5039 description:predicted gene 5039 [Source:MGI Symbol;Acc:MGI:3648085] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGRLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILVGLRDYQDNKADVILKYNPDEARSLKAYGELPEHAKINETDT FGAADDDEIVFDDIGDDDEDIDDI >ENSMUSP00000020999.5 pep:known chromosome:GRCm38:12:3365132:3406494:1 gene:ENSMUSG00000020668.9 transcript:ENSMUST00000020999.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif3c description:kinesin family member 3C [Source:MGI Symbol;Acc:MGI:107979] MASKTKASEALKVVARCRPLSRKEEAAGHEQILTMDVKLGQVTLRNPRAAPGELPKTFTF DAVYDASSKQADLYDETVRPLIDSVLQGFNGTVFAYGQTGTGKTYTMQGTWVEPELRGVI PNAFEHIFTHISRSQNQQYLVRASYLEIYQEEIRDLLSKEPGKRLELKENPETGVYIKDL SSFVTKNVKEIEHVMNLGNQARAVGSTHMNEVSSRSHAIFVITVECSERGSDGQDHIRVG KLNLVDLAGSERQNKAGPNAAGGPATQPTAGGGSGSGSASGSASSGERPKEASKINLSLS ALGNVIAALAGNRSTHIPYRDSKLTRLLQDSLGGNAKTIMVATLGPASHSYDESLSTLRF ANRAKNIKNKPRVNEDPKDTLLREFQEEIARLKAQLEKKGMLGKRPRRKSSRRKKAVSAP AGYPEGSVIEAWVAEEEDDNNNNHHPPQPILEAALEKNMENYLQDQKERLEEEKAAIQDD RSLVSEEKQKLLEEKEKMLEDLRREQQATELLAAKYKAMESKLLIGGRNIMDHTNEQQKM LELKRQEIAEQKRREREMQQEMLLRDEETMELRGTYSSLQQEVEVKTKKLKKLYAKLQAV KAEIQDQHEEYIRVRQDLEEAQNEQTRELKLKYLIIENFIPPEEKNKIMNRLFLDCEEEQ WRFQPLVPAGVNNSQMKKRPTSAVGYKRPISQYARVAMAMGSHPRYRAENIMFLELDVSP PAIFEMEFSHDQEQDPRVLHMERLMRLDSFLERPSTTKVRKSRSWCQSPQRMPPPSTAHA SMTSVPLHPATVVDHD >ENSMUSP00000129767.2 pep:known chromosome:GRCm38:13:14613242:14625671:1 gene:ENSMUSG00000015671.10 transcript:ENSMUST00000170836.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma2 description:proteasome (prosome, macropain) subunit, alpha type 2 [Source:MGI Symbol;Acc:MGI:104885] MAERGYSFSLTTFSPSGKLVQIEYALAAVAGGAPSVGIKAANGVVLATEKKQKSILYDER SVHKVEPITKHIGLVYSGMGPDYRVLVHRARKLAQQYYLVYQEPIPTAQLVQRVASVMQE YTQSGGVRPFGVSLLICGWNEGRPYLFQSDPSGAYFAWKATAMGKNYVNGKTFLEKRYNE DLELEDAIHTAILTLKESFEGQMTEDNIEVGICNEAGFRRLTPTEVRDYLAAIA >ENSMUSP00000084179.2 pep:known chromosome:GRCm38:13:111592785:111592856:1 gene:ENSMUSG00000067122.2 transcript:ENSMUST00000086960.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10198 description:predicted gene 10198 [Source:MGI Symbol;Acc:MGI:3642607] KKKKRRRRKRRRKKERKEEEKREK >ENSMUSP00000128127.2 pep:known chromosome:GRCm38:12:51593341:51605773:1 gene:ENSMUSG00000020953.16 transcript:ENSMUST00000164782.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coch description:cochlin [Source:MGI Symbol;Acc:MGI:1278313] MPSSRIPALCLGAWLLLLLLPRFARAEGAVPIPVTCFTRGLDIRKEKADVLCPGGCSLEE FSVFGNIVYASVSSICGAAVHRGVIGTSGGPVRVYSLPGRENYSSVDANGIQSQMLSRWS ASFAVTKGKSSTQEATGRAVSTAHPPSGKRLKKTPEKKTGNKDCKADIAFLIDGSFNIGQ RRFNLQKNFVGKVALMLGIGTEGPHVGLVQASEHPKIEFYLKNFTSAKDVLFAIKEVGFR GGNSNTGKALKHTAQKFFTADTGVRKGIPKVVVVFIDGWPSDDIEEAGIVAREFGVNVFI VSVAKPIPEELGMVQDVAFVDKAVCRNNGFFSYHMPNWFGTTKYVKPLVQKLCTHEQMMC SKTCYNSVNIAFLIDGSSSVGDSNFRLMLEFVSNIAKTFEISDIGAKIAAVQFTYDQRTE FSFTDYNTKENVLAVLANIRYMSGGTATGDAIAFTVRNVFGPIRDSPNKNFLVIVTDGQS YDDVRGPAAAAHDAGITIFSVGVAWAPLDDLRDMASKPKESHAFFTREFTGLEPIVSDVI RGICRDFLESQQ >ENSMUSP00000082533.5 pep:known chromosome:GRCm38:12:51593341:51605773:1 gene:ENSMUSG00000020953.16 transcript:ENSMUST00000085412.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coch description:cochlin [Source:MGI Symbol;Acc:MGI:1278313] MPSSRIPALCLGAWLLLLLLPRFARAEGAVPIPVTCFTRGLDIRKEKADVLCPGGCSLEE FSVFGNIVYASVSSICGAAVHRGVIGTSGGPVRVYSLPGRENYSSVDANGIQSQMLSRWS ASFAVTKGKSSTQEATGRAVSTAHPPSGKRLKKTPEKKTGNKDCKADIAFLIDGSFNIGQ RRFNLQKNFVGKVALMLGIGTEGPHVGLVQASEHPKIEFYLKNFTSAKDVLFAIKEVGFR GGNSNTGKALKHTAQKFFTADTGVRKGIPKVVVVFIDGWPSDDIEEAGIVAREFGVNVFI VSVAKPIPEELGMVQDVAFVDKAVCRNNGFFSYHMPNWFGTTKYVKPLVQKLCTHEQMMC SKTCYNSVNIAFLIDGSSSVGDSNFRLMLEFVSNIAKTFEISDIGAKIAAVQFTYDQRTE FSFTDYNTKENVLAVLANIRYMSGGTATGDAIAFTVRNVFGPIRDSPNKNFLVIVTDGQS YDDVRGPAAAAHDAGITIFSVGVAWAPLDDLRDMASKPKESHAFFTREFTGLEPIVSDVI RGICRDFLESQQ >ENSMUSP00000137990.1 pep:known chromosome:GRCm38:10:62449489:62451322:1 gene:ENSMUSG00000097129.1 transcript:ENSMUST00000181110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930507D05Rik description:RIKEN cDNA 4930507D05 gene [Source:MGI Symbol;Acc:MGI:1921956] RTCSGLWSHQRQRRRRPSRERVLKPPGTTPREREGRSKGPPGAQPRAPPGAEPTARYTEA RTLRPRLEWSRGKSGPLAPLGDVAPAPAERKDPERHRAAPACA >ENSMUSP00000075235.5 pep:known chromosome:GRCm38:19:31868761:31949406:1 gene:ENSMUSG00000052595.6 transcript:ENSMUST00000075838.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A1cf description:APOBEC1 complementation factor [Source:MGI Symbol;Acc:MGI:1917115] MESNHKSGDGLSGTQKEAALRALVQRTGYSLVQENGQRKYGGPPPGWDSTPPERGCEIFI GKLPRDLFEDELIPLCEKIGKIYEMRLMMDFNGNNRGYAFVTFSNKQEAKNAIKQLNNYE IRTGRLLGVCASVDNCRLFVGGIPKTKKREEILSEMKKVTEGVVDVIVYPSAADKTKNRG FAFVEYESHRAAAMARRRLLPGRIQLWGHPIAVDWAEPEVEVDEDTMSSVKILYVRNLML STSEEMIEKEFNSIKPGAVERVKKIRDYAFVHFSNREDAVEAMKALNGKVLDGSPIEVTL AKPVDKDSYVRYTRGTGGRNTMLQGEYTYPLSHVYDPTTTYLGAPVFYTPQAYAAIPSLH FPATKGHLSNRALIRTPSVREIYMNVPVGAAGVRGLGGRGYLAYTGLGRGYHVKGDKRED KLYDLLPGMELTPMNTVSLKPQGIKLAPQILEEICQKNNWGQPVYQLHSAIGQDQRQLFL YKVTIPALASQNPAIHPFIPPKLSAYVDEAKRYAAEHTLQTLGIPTEGGDAGTTAPTATS ATVFPGYAVPSATAPVSTAQLKQAVTLGQDLAAYTTYEVYPTFALTTRGDAYGTF >ENSMUSP00000034316.4 pep:known chromosome:GRCm38:8:125910450:125947439:1 gene:ENSMUSG00000031853.4 transcript:ENSMUST00000034316.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC021891 description:cDNA sequence BC021891 [Source:MGI Symbol;Acc:MGI:2385307] MALPVAEGTADTPLSPARDDSGSTSSGMWAALYDYEARGEDELSLRRGQLVEVLSQDAAV SGDEGWWAGQVQRRLGIFPASYVAPCGPVPPPAPPPPRPCSPVHVDFERLELKELIGAGG FGQVYRATWQGQEVAVKAARRDPEQDAAAAAESVRREARLFAMLRHPNIIQLRGVCLRQP HLCLVLEFARGGALNRALAAAASDPRAPGPRRARRIPPQVLVNWAVQIARGMLYLHEEAV VPILHRDLKSSNILLLEKIEHDDICNKTLKITDFGLAREWHRTTRMSAAGTYAWMAPEVI RSSLFSKGSDIWSYGVLLWELLTGEVPYRGIDGLAVAYGVAVNKLTLPIPSTCPEPFAKL MKECWEQDPHIRPSFALILQQLTAIEEAVLTNMPQESFHSMQEDWKLEIQQMFSELRTKE KELRSREEELSRAALQQKSQELLLRRREQQLAEREIDVLERELNVLIFQLSQEAPHVKKR KGRFRRGRLRLKDGHRISLPSDFQHKITVQASPTLDKRRSSDSGLCSPPGSPLMLPRLRA IQLTSDENNKTRGRNMVFRQEDFEDVKRSFKKKGCTWGPSSVQTKERPEGRERVRPLSDG NSPWSSLLIKSQKTTPLASLFVDQPGSCEEQKLVPEGLEHRKPKQTKFPGQAHVGLPLCK DSQREDSSEAESREEGSPKGSPVNNVGAPMLRKKTESALCECGMLLASMALGLDVRKLHG AQAPAKPSPKMEKKEEGALQPASRCQSSPSSLLRQPSAGRAPSGGSTLLLPSAPSHSSKS SLSMKCLLQAGKEESSLGNARDLCGPTTLTPDPGSAAPESGCELIPGLRPKTDYGVLRSM PHAILEQTGERLPGCAIVGDKGCHHMQMGSEETPLWLQSAPEDSGLPHSPSPGPQRDLAS QASLVKPEGVLGECQACPALPQRPHTASVRTTSPPTWVCDKDHQVPALACLLGAQERSRC QTPSLLDASIEGQKKDCAMPLCRVKSVMCQPSIYALEKDFLT >ENSMUSP00000020990.5 pep:known chromosome:GRCm38:12:3954951:3960618:1 gene:ENSMUSG00000020660.5 transcript:ENSMUST00000020990.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomc description:pro-opiomelanocortin-alpha [Source:MGI Symbol;Acc:MGI:97742] MPRFCYSRSGALLLALLLQTSIDVWSWCLESSQCQDLTTESNLLACIRACKLDLSLETPV FPGNGDEQPLTENPRKYVMGHFRWDRFGPRNSSSAGSAAQRRAEEEAVWGDGSPEPSPRE GKRSYSMEHFRWGKPVGKKRRPVKVYPNVAENESAEAFPLEFKRELEGERPLGLEQVLES DAEKDDGPYRVEHFRWSNPPKDKRYGGFMTSEKSQTPLVTLFKNAIIKNAHKKGQ >ENSMUSP00000090025.3 pep:known chromosome:GRCm38:10:77706626:77706958:1 gene:ENSMUSG00000069584.3 transcript:ENSMUST00000092371.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10272 description:predicted gene 10272 [Source:MGI Symbol;Acc:MGI:3642183] MCHTSCSSGCQPSCCVSSPCQPSCCVSSPCQSACCRPAICIPVRYQVTCCVPVSYRPTVC MAPSCQSSVCMPVSCRPICVTSSCQSSGCCQPSCPTLVCRPVTCSTPSCC >ENSMUSP00000132817.1 pep:known chromosome:GRCm38:17:56713932:56717699:-1 gene:ENSMUSG00000054723.5 transcript:ENSMUST00000164907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmac description:vimentin-type intermediate filament associated coiled-coil protein [Source:MGI Symbol;Acc:MGI:2146912] MIQQLQPRADLLQDITRHRPPLAALLATLEEAEELGPLPASHSHRAQLLPDGPGPPLGNN MGKEEGQDDQDDQQPAVFGTTV >ENSMUSP00000064120.5 pep:known chromosome:GRCm38:17:56713932:56716921:-1 gene:ENSMUSG00000054723.5 transcript:ENSMUST00000067931.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmac description:vimentin-type intermediate filament associated coiled-coil protein [Source:MGI Symbol;Acc:MGI:2146912] MSAPPPLQIREANAHLAAVHRRAAELERRLLAAERTIGAQAERLACHDQHLRAALDELGR AKDREISALQEQLLSSEATVRSLQAAVDQRDQMIQQLQPRADLLQDITRHRPPLAALLAT LEEAEELGPLPASHSHRAQLLPDGPGPPLGNNMGKEEGQDDQDDQQPAVFGTTV >ENSMUSP00000091685.3 pep:known chromosome:GRCm38:7:102015079:102018155:-1 gene:ENSMUSG00000070427.3 transcript:ENSMUST00000094134.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il18bp description:interleukin 18 binding protein [Source:MGI Symbol;Acc:MGI:1333800] MTMRHCWTAGPSSWWVLLLYVHVILARATSAPQTTATVLTGSSKDPCSSWSPAVPTKQYP ALDVIWPEKEVPLNGTLTLSCTACSRFPYFSILYWLGNGSFIEHLPGRLKEGHTSREHRN TSTWLHRALVLEELSPTLRSTNFSCLFVDPGQVAQYHIILAQLWDGLKTAPSPSQETLSS HSPVSRSAGPGVA >ENSMUSP00000089814.2 pep:known chromosome:GRCm38:10:112271123:112466304:1 gene:ENSMUSG00000035681.6 transcript:ENSMUST00000092175.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnc2 description:potassium voltage gated channel, Shaw-related subfamily, member 2 [Source:MGI Symbol;Acc:MGI:96668] MGKIESNERVILNVGGTRHETYRSTLKTLPGTRLALLASSEPQGDCLTAAGDKLQPLPPP LSPPPRPPPLSPVPSGCFEGGAGNCSSHGGNGGNGGSDHPGGGREFFFDRHPGVFAYVLN YYRTGKLHCPADVCGPLFEEELAFWGIDETDVEPCCWMTYRQHRDAEEALDIFETPDLIG GDPGDDEDLAAKRLGIEDAAGLGGPDGKSGRWRKLQPRMWALFEDPYSSRAARFIAFASL FFILVSITTFCLETHEAFNIVKNKTEPVINGTSPVLQYEIETDPALTYVEGVCVVWFTFE FLVRIVFSPNKLEFIKNLLNIIDFVAILPFYLEVGLSGLSSKAAKDVLGFLRVVRFVRIL RIFKLTRHFVGLRVLGHTLRASTNEFLLLIIFLALGVLIFATMIYYAERVGAQPNDPSAS EHTQFKNIPIGFWWAVVTMTTLGYGDMYPQTWSGMLVGALCALAGVLTIAMPVPVIVNNF GMYYSLAMAKQKLPRKRKKHIPPAPLASSPTFCKTELNMACNSTQSDTCLGKENRLLEHN RSVLSGDDSTGSEPPLSPPERLPIRRSSTRDKNRRGETCFLLTTGDYTCASDGGIRKAST LEPMESTAQTKGDTRPEAHWNCAHLLNFGCPTGSSFPTL >ENSMUSP00000044556.6 pep:known chromosome:GRCm38:19:10592200:10604258:-1 gene:ENSMUSG00000034371.8 transcript:ENSMUST00000037678.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tkfc description:triokinase, FMN cyclase [Source:MGI Symbol;Acc:MGI:2385084] MSSKKMVNSVEGCADDALAGLVASNPDLQLLQGHRVALRSDLDTLKGRVALLSGGGSGHE PAHAGFIGKGMLTGVIAGSVFASPPVGSILAAIRAVAQAGTVGTLLIVKNYTGDRLNFGL AMEQAKAEGISVEMVIVEDDSAFTVLKKAGRRGLCGTVLIHKVAGALAEEGMGLEEITKR VSVIAKTMGTLGVSLSSCSVPGATHTFELAADEIELGLGIHGEAGVRRIKIAPVDQIVTL MLDHMTNTSNIFHVPVRSGSSVVLIVNNLGGLSFLELGIIADAAIRLLEGRGVKVARALV GTFMSALEMPGVSLTLMLVDEPVLKLIDAETTAKAWPHMAKVSVTGRKRIRAAPTEPPEA PEATAAGGVTSKQMALVLDRICTTLIGLEEHLNALDRAAGDGDCGSTHSRAAKAIQGWLK EGPSLTSPAQVLSRLSVLLLERMGGSSGALYGLFLTAAAQPLKAKTDLPTWSAAMDAGLE SMQKYGKAAPGDRTMLDSLWAAAQEFQAWKSPGASLLPVLTKAVKSAEAAAEATKNMEAG AGRASYISSAQLDQPDPGAVAAAAIFRAILEVLQTQGA >ENSMUSP00000069255.7 pep:known chromosome:GRCm38:8:106252548:106337988:-1 gene:ENSMUSG00000031906.8 transcript:ENSMUST00000067512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd3 description:sphingomyelin phosphodiesterase 3, neutral [Source:MGI Symbol;Acc:MGI:1927578] MVLYTTPFPNSCLSALHAVSWALIFPCYWLVDRLLASFIPTTYEKRQRADDPCCLQLFCT VLFTPVYLALLVAALPFAFLGFIFWSPLQSARRPYSYSRLEDKNPAGGAALLSEWKGTGA GKSFCFATANVCLLPDSLARLNNVFNTQARAKEIGQRIRNGAARPQIKIYIDSPTNTSIS AASFSSLVSPQGGDGSRAVPGSIKRTASVEYKGDGGRHPSDEAANGPASGEQADGSLEDS CIVRIGGEEGGRPQEADDPAAGSQARNGAGGTPKGQTPNHNQRDGDSGSLGSPSASRESL VKARAGQDSGGSGEPGANSKLLYKTSVVKKAAARRRRHPDEAFDHEVSAFFPANLDFLCL QEVFDKRAAAKLKEQLHGYFEYILYDVGVYGCHGCCNFKCLNSGLFFASRYPVMDVAYHC YPNGCSFDALASKGALFLKVQVGSTPQDQRIVGYIACTHLHAPPEDSAVRCEQLDLLQDW LADFRKSTSSTSTANPEELVVFDVICGDLNFDNCSSDDKLEQQHSLFTRYKDPCRLGPGE EKPWAIGTLLDTNGLYDEDVCTPDNLQKVLESEEGRREYLAFPTSKSPGAGQKGRKDLLK GNGRRIDYMLHAEEGLCPDWKAEVEEFSFITQLSGLTDHLPVAMRLMVSAGEEEA >ENSMUSP00000131083.1 pep:known chromosome:GRCm38:13:60895351:60897447:-1 gene:ENSMUSG00000074874.9 transcript:ENSMUST00000171347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctla2b description:cytotoxic T lymphocyte-associated protein 2 beta [Source:MGI Symbol;Acc:MGI:88555] MMSAAPSPDPSLDNEWKEWKTTFAKAYSLDEERHRRLMWEENKKKIEAHNADYERGKTSF YMGLNQFSDLTPEEFRTNCCGSSMCRGEMAPDLPEYEDLGKNSYLTPGRAQV >ENSMUSP00000021884.8 pep:known chromosome:GRCm38:13:60895351:60897447:-1 gene:ENSMUSG00000074874.9 transcript:ENSMUST00000021884.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctla2b description:cytotoxic T lymphocyte-associated protein 2 beta [Source:MGI Symbol;Acc:MGI:88555] MMSAAPSPDPSLDNEWKEWKTTFAKAYSLDEERHRRLMWEENKKKIEAHNADYERGKTSF YMGLNQFSDLTPEEFRTNCCGSSMCRGEMAPDLPEYEDLGKNSYLTPGRAQPE >ENSMUSP00000026474.3 pep:known chromosome:GRCm38:10:127329889:127341589:-1 gene:ENSMUSG00000025407.6 transcript:ENSMUST00000026474.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gli1 description:GLI-Kruppel family member GLI1 [Source:MGI Symbol;Acc:MGI:95727] MFNPMTPPQVNSYSEPCCLRPLHSQGVPSMGTEGLSGLPFCHQANFMSGSQGYGAARETS SCTEGSLFPPPPPPRSSVKLTKKRALSISPLSDASLDLQTVIRTSPSSLVAFINSRCTSP GGSYGHLSIGTMSPSLGFPPQMSHQKGTSPPYGVQPCVPHDSTRGSMMLHPQSRGPRATC QLKSELDMMVGKCPEDPLEGDMSSPNSTGTQDHLLGMLDGREDLEREEKPEPESVYETDC RWDGCSQEFDSQEQLVHHINSEHIHGERKEFVCHWGGCSRELRPFKAQYMLVVHMRRHTG EKPHKCTFEGCRKSYSRLENLKTHLRSHTGEKPYMCEQEGCSKAFSNASDRAKHQNRTHS NEKPYVCKLPGCTKRYTDPSSLRKHVKTVHGPDAHVTKRHRGDGPLPRAQPLSTVEPKRE REGGSGREESRLTVPESAMPQQSPGAQSSCSSDHSPAGSAANTDSGVEMAGNAGGSTEDL SSLDEGPCVSATGLSTLRRLENLRLDQLHQLRPIGSRGLKLPSLTHAGAPVSRRLGPPVS LDRRSSSSSSMSSAYTVSRRSSLASPFPPGTPPENGASSLPGLTPAQHYMLRARYASARG SGTPPTAAHSLDRMGGLSVPPWRSRTEYPGYNPNAGVTRRASDPARAADHPAPARVQRFK SLGCVHTPPSVATGRNFDPHHPTSVYSPQPPSITENVAMDTRGLQEEPEVGTSVMGNGLN PYMDFSSTDTLGYGGPEGTAAEPYEARGPGSLPLGPGPPTNYGPGHCAQQVSYPDPTPEN WGEFPSHAGVYPSNKAPGAAYSQCPRLEHYGQVQVKPEQGCPVGSDSTGLAPCLNAHPSE GSPGPQPLFSHHPQLPQPQYPQSGPYPQPPHGYLSTEPRLGLNFNPSSSHSTGQLKAQLV CNYVQSQQELLWEGRNRGGLPNQELPYQSPKFLGGSQVSQSPAKTPAAAAAAYGSGFAPA SANHKSGSYPAPSPCHETFTVGVNRPSHRPAAPPRLLPPLSPCYGPLKVGDTNPSCGHPE VGRLGAGPALYPPPEGQVCNALDSLDLDNTQLDFVAILDEAQGLSPPLSHEQGDSSKNTP SPSGPPNMAVGNMSVLLGSLPGETQFLNSSA >ENSMUSP00000021447.7 pep:known chromosome:GRCm38:12:75450883:75596200:-1 gene:ENSMUSG00000021051.9 transcript:ENSMUST00000021447.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r5e description:protein phosphatase 2, regulatory subunit B', epsilon [Source:MGI Symbol;Acc:MGI:1349473] MSSAPTTPPSVDKVDGFSRKSVRKARQKRSQSSSQFRSQGKPIELTPLPLLKDVPTSEQP ELFLKKLQQCCVIFDFMDTLSDLKMKEYKRSTLNELVDYITISRGCLTEQTYPEVVRMVS CNIFRTLPPSDSNEFDPEEDEPTLEASWPHLQLVYEFFIRFLESQEFQPSIAKKYIDQKF VLQLLELFDSEDPRERDYLKTVLHRIYGKFLGLRAFIRKQINNIFLRFVYETEHFNGVAE LLEILGSIINGFALPLKAEHKQFLVKVLIPLHTVRSLSLFHAQLAYCIVQFLEKDPSLTE PVIRGLMKFWPKTCSQKEVMFLGELEEILDVIEPSQFVKIQEPLFKQIAKCVSSPHFQVA ERALYYWNNEYIMSLIEENSNVILPIMFSSLYRISKEHWNPAIVALVYNVLKAFMEMNST MFDELTATYKSDRQREKKKEKEREELWKKLEDLELKRGLRRDGIIPT >ENSMUSP00000033300.2 pep:known chromosome:GRCm38:7:102101743:102111148:1 gene:ENSMUSG00000030996.7 transcript:ENSMUST00000033300.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art1 description:ADP-ribosyltransferase 1 [Source:MGI Symbol;Acc:MGI:107511] MKIPAMMSLLLVSVGLRDGVQVQSYSISQLDIFSQETPLDMAPASFDDQYAGCLADMTAA LPDLNHSEFQANKVYADGWAQANNQWQERRAWGSVWGSLPPSPPGFRDEHGVALLAYTAN SPLHKEFNAAVREAGRSRAHYLHHFSFKTLHFLLTEALQLLRSHRSRGCQQVYRGVHGLR FRPAGPGATVRLGGFASASLKNVAAQQFGEDTFFGIWTCLGAPIRGYSFFPEEEEVLIPP FETFQVINTSRPTQGPARIYLRALGKRSTYNCEYIKEKKCRSGPCWLGSSAPGSISASCS LLLLLLFLVLSALPENPGLQQLTRC >ENSMUSP00000125787.2 pep:known chromosome:GRCm38:13:67763798:67773629:-1 gene:ENSMUSG00000052909.7 transcript:ENSMUST00000171257.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9894 description:predicted gene 9894 [Source:MGI Symbol;Acc:MGI:3642213] IKKIISYRDMAIDFSAEVWECLEPAQWDLYRDVMLENYSHLVFLGLALSKPQLMTLLEQN QEPWNVKKQALATAMHPEKRPNNCISYSKAFDCNSLTKHQRIHTGEKPYKCEECGKALFS SPKALSIHQRLHTEDKPYKCKECHKAYSTSPSLFIHQKNHTDKNSYKCEDSGRTFYYPSM LKPHQRIHSGEKPYKCEKCGKAFCECSFLNLHKEIHSREKRYKCEECGTSFCYPSLLKQH HRIHSGEKPYKDGYGGKRFYSSPHFQGHQNTHTGEKPYHCEECHKTFRNHSALRRHTAVH TEEKPYKCNLYGKGFTKLYVLNEHKIAHTGEKNMQCEEYGKLFYSTSKLKKHRRLNCQEK LYKCEICGKAF >ENSMUSP00000021828.4 pep:known chromosome:GRCm38:13:51171025:51175187:1 gene:ENSMUSG00000021396.4 transcript:ENSMUST00000021828.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxnl2 description:nucleoredoxin-like 2 [Source:MGI Symbol;Acc:MGI:1922374] MVDVLGGRRLVTREGTVVEAEVALQNKVVALYFAAGRCSPSRDFTPLLCDFYTELVSEAR RPAPFEVVFVSADGSAEEMLDFMRELHGSWLALPFHDPYRHELKKRYEITAIPKLVVIKQ NGAVITNKGRKQIRERGLACFQNWVEAADVFQNFSG >ENSMUSP00000095768.1 pep:known chromosome:GRCm38:7:104880709:104881659:1 gene:ENSMUSG00000073917.1 transcript:ENSMUST00000098165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr665 description:olfactory receptor 665 [Source:MGI Symbol;Acc:MGI:3030499] MPGVNTSSLTPRYFILNGIPGLEAAHIWISLPFFIMYLIAVTGNCGLIYLISHEEALHRP MYYFLAMLSATDISGCNTIVPSMLCIFWFSVKEIDFNACLVQMFFIHMLTGMESGVLMLM ALDRYVAICYPLRYTTILTNTMITKIGLAALVRSVLLMVPFAFLIKRLPYCRGNLIQHTY CDHMAVAKLSCGNIKINAIYGLIIAIFIGGFDIFCISMSYAMIIHAVVKLSSADARHKAF STCTSHICAIVITYVPAFFNFFTHRFGRTTIPHHIHIIIANLYLLLPPTLNPIVYGVKTK QIREGVIKLFARQKVV >ENSMUSP00000095828.2 pep:known chromosome:GRCm38:7:102578469:102579506:1 gene:ENSMUSG00000073975.2 transcript:ENSMUST00000098225.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr550 description:olfactory receptor 550 [Source:MGI Symbol;Acc:MGI:3030384] MFCNTSTPGHSTFLLTGFPGLEASHHWVSIPINLICVVSILGNSVILFLIRTDPALHEPM FIFLSMLAASDLGLCASTFPTMVRLFWLGTRELPFDFCAAQMFFIHAFTYVESGVLLAMA FDRFIAIRDPLHYATILPHSAVAKVGAAVLVRAILLNLPGPILLRRLIFPQISTLSHCYC LHCDLVGLACSDTRINSLVGLVSILLSLGLDSSLIMLSYALILRTVLGIASPGERLKALN TCVSHLCIVLIFYLPKLGLSVLHRVEKHSYPALAVLMANLHFLVPPFMNPVVYCIKSKQI RQGFLRRFQQKRVDIS >ENSMUSP00000097026.3 pep:known chromosome:GRCm38:19:42788947:43388311:-1 gene:ENSMUSG00000074852.3 transcript:ENSMUST00000099428.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpse2 description:heparanase 2 [Source:MGI Symbol;Acc:MGI:2685814] MRVLCAFPEAMASSSSRPPSCLALVALFLALLLHLSLSFHAGNRRPLPVDRATGLKEKTL ILLDVSTKNPVRTVNENFLSLQLDPSIIHDGWLDFLSSKRLVTLARGLSPAFLRFGGKRT DFLQFQNLRNPAKSRGGPGPDYYLKNYEDDIVRSDVALDKQKGCKIAQHPDVMLELQREK ASQMHLVLLKEQYSNTYSNLILTARSLDKLYNFADCSGLHLIFALNALRRNPNNSWNSSS ALSLLKYSASKKYNISWELGNEPNNYRSIHGRAVNGSQLGKDYIQLKSLLQPIRVYSRAS LYGPNIGRPRKNVIALLDGFMKVAGSTVDAVTWQHCYIDGRVVKVMDFLKTRLLDTLSDQ IRKIQKVVNTYTPGKKIWLEGVVTTSAGGTNNLSDSYAAGFLWLNTLGMLANQGIDVVIR HSFFDHGYNHLVDQNFNPLPDYWLSLLYKRLIGPKVLAVHVAGLQRKPRPGRVIRDKLRI YAHCTNHHNHNYVRGSITLFIINLHRSRKKIKLAGTLRDKLVHQYLLQPYGQEGLKSKSV QLNGQPLVMVDDGTLPELKPRPLRAGRTLVIPPVTMGFYVVKNVNALACRYR >ENSMUSP00000089157.5 pep:known chromosome:GRCm38:13:60842621:60864416:-1 gene:ENSMUSG00000050345.8 transcript:ENSMUST00000091569.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930486L24Rik description:RIKEN cDNA 4930486L24 gene [Source:MGI Symbol;Acc:MGI:1922258] MIAVLFLAILCLEIDSTAPTLDPSLDVQWNEWRTKHGKAYNVNEERLRRAVWEKNFKMIE LHNWEYLEGKHDFTMTMNAFGDLTNTEFVKMMTGFRRQKIKRMHVFQDHQFLYVPKYVDW RMLGYVTPVKNQGYCASSWAFSATGSLEGQMFKKTGRLVPLSEQNLLDCMGSNVTHDCSG GFMQNAFQYVKDNGGLATEESYPYIGPGRKCRYHAENSAANVRDFVQIPGREEALMKAVA KVGPISVAVDASHDSFQFYDSGIYYEPQCKRVHLNHAVLVVGYGFEGEESDGNSYWLVKN SWGEEWGMKGYIKIAKDWNNHCGIATLATYPIV >ENSMUSP00000071223.3 pep:known chromosome:GRCm38:7:105126372:105128405:-1 gene:ENSMUSG00000059768.3 transcript:ENSMUST00000071242.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr682-ps1 description:olfactory receptor 682, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030516] VSEFLMICFPGMQDTQHWLSIILAPLLVLALAANFVLLFTIHQEASLHEPMYYLLAILSV LDVILCLTVIPKVLLIFWFNMKPISFVGCFLQMFVMNTFLPMESSTFLVMAYDRYVAICH PLRYPSIITEQFVINAAIFIVARNLLATLPTPVLAARLNYCASNVVENCICANISVAKLS CGDIRLNKLYQFVSVWCLLGSDLVLILLSYCFILRVVMCQQSGGTVTKALSTCGSHLILI LFLTLLLVFIFTNKAGKKIPSEVPILLNVLHHLIPPALNPIVYGVRTQEIKQGIIKLFK >ENSMUSP00000026472.8 pep:known chromosome:GRCm38:10:127356328:127370544:-1 gene:ENSMUSG00000025405.8 transcript:ENSMUST00000026472.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inhbc description:inhibin beta-C [Source:MGI Symbol;Acc:MGI:105932] MASSLLLALLFLTPTTVVNPKTEGPCPACWGAIFDLESQRELLLDLAKKSILDKLHLSQR PILSRPVSRGALKTALQRLRGPRRETLLEHDQRQEEYEIISFADTDLSSINQTRLEFHFS GRMASGMEVRQTRFMFFVQFPHNATQTMNIRVLVLRPYDTNLTLTSQYVVQVNASGWYQL LLGPEAQAACSQGHLTLELVPESQVAHSSLILGWFSHRPFVAAQVRVEGKHRVRRRGIDC QGASRMCCRQEFFVDFREIGWNDWIIQPEGYAMNFCTGQCPLHVAGMPGISASFHTAVLN LLKANAAAGTTGRGSCCVPTSRRPLSLLYYDRDSNIVKTDIPDMVVEACGCS >ENSMUSP00000128803.1 pep:known chromosome:GRCm38:10:128490860:128493875:-1 gene:ENSMUSG00000090841.1 transcript:ENSMUST00000164181.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl6 description:myosin, light polypeptide 6, alkali, smooth muscle and non-muscle [Source:MGI Symbol;Acc:MGI:109318] MCDFTEDQTAEFKEAFQLFDRTGDGKILYSQCGDVMRALGQNPTNAEVLKVLGNPKSDEM NVKVLDFEHFLPMLQTVAKNKDQGTYEDYVEGLRVFDKEGNGTVMGAEIRHVLVTLGEKM TEEEVEMLVAGHEDSNGCINYEELVRMVLNG >ENSMUSP00000098922.2 pep:known chromosome:GRCm38:11:33599302:33625618:1 gene:ENSMUSG00000073052.3 transcript:ENSMUST00000101371.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D130052B06Rik description:RIKEN cDNA D130052B06 gene [Source:MGI Symbol;Acc:MGI:2685092] MGQEMQEEFGEGITEDARVLLTLAAAALSTEHLKEVTHLPRPILATHLHCPVLVTHLHCH VLVTHLRCPVLVTCLHRPVLVTCLHHPVLLTRLHRPVLVTHLHRPVLVICLHCPAQVTHL HCPALVTHLHCPVLVTRLHCPALVTHLHCPVLVTHLHCPALVTHLHCPAQVTRLHCIVAG SIIMLNYSLFIPSGSRQLLIFI >ENSMUSP00000033050.3 pep:known chromosome:GRCm38:7:110850607:110862953:-1 gene:ENSMUSG00000030787.3 transcript:ENSMUST00000033050.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyve1 description:lymphatic vessel endothelial hyaluronan receptor 1 [Source:MGI Symbol;Acc:MGI:2136348] MLQHTSLVLLLASIWTTRHPVQGADLVQDLSISTCRIMGVALVGRNKNPQMNFTEANEAC KMLGLTLASRDQVESAQKSGFETCSYGWVGEQFSVIPRIFSNPRCGKNGKGVLIWNAPSS QKFKAYCHNSSDTWVNSCIPEIVTTFYPVLDTQTPATEFSVSSSAYLASSPDSTTPVSAT TRAPPLTSMARKTKKICITEVYTEPITMATETEAFVASGAAFKNEAAGFGGVPTALLVLA LLFFGAAAVLAVCYVKRYVKAFPFTTKNQQKEMIETKVVKEEKADDVNANEESKKTIKNP EEAKSPPKTTVRCLEAEV >ENSMUSP00000097024.2 pep:known chromosome:GRCm38:13:65033058:65038004:1 gene:ENSMUSG00000074849.2 transcript:ENSMUST00000099427.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata31d1c description:spermatogenesis associated 31 subfamily D, member 1C [Source:MGI Symbol;Acc:MGI:3588241] MENILSFLNRSWLGFGSAFLDIGSNYIFLSGVWSILLYLWYLILKQFFPPPWKSQDIKKY QGSANKERRKSLKGCRITQRKSQEQRELLSVVQSPLGEEYDSSNFRQLLCPDPYCGVCNG ATAKISHLLSQATHQDGAVTMSSLDSTVSVTETALTLSLPLPENTIDYPISDTACEPSLQ SSSIISSHQSEYLEDTLSLSPLGGSLLSESTPPVNAKSPLDHSLHSLASLPLPQQYVTPE TELLLQPTTVLSLVDSPMELFANVPINNGICSSSHAMSEFIQQQTDTGNSSQLESAHPVN QELLDPYSSESYLWGNTSTYLTLPGNLHFSSLGAVALLETQDGRRTDSLKTWEKTSEFDH QNLAVSHSLESSKERLHTPQHLSYSKTSEDQLEDKNTQSFWGLPSLHSESMNSIATVLTD SSLISGCFNRFSDSPMLTHRTTLPLSESQLHNLSQSLSQSQSQPVSQANLQAQLQLPNPV LSPHSQLRICGVYFHSPQNEAQPLEPTAIHCLEYNILKKEQERVWGLPTVVINSQQEFCP PPPNPSLVSQLSKTHVPKSISIEKCFITSELQKKFEHHLRKRLILQRWGLPKRIRESLLW INPQAELPESPSAKSNYGLSWIPFFKQQSKKDLHKTILSQPGSFPAKQLEEKLLKLCSKQ GLEMVQKQQTWSNTKGTLDNGLQSDCETNLQCHSDSLSCKPLGTSEVSQCQKKLETSLKE HLTTRLNETIERQITSTMSGSRYGPFPFTSCVNKEEHKAQMPSDIKDRHVKSISTAKKSV KQQTSFDLDNTSLEESKGNRHSSDVPKMLAEVLGKRLTSGKTVQRPQATKIIDKKIFVSN KVGKGGQLSGLQP >ENSMUSP00000056155.3 pep:known chromosome:GRCm38:12:102899306:102900534:1 gene:ENSMUSG00000043319.4 transcript:ENSMUST00000053611.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox8c description:cytochrome c oxidase subunit VIIIc [Source:MGI Symbol;Acc:MGI:1922733] MSRLLLLCSSLLRHRAVLFSKPGHPGRLSHSESPQKKILSPTESAVGIVVFFTTFYIPAA YVLSSLKYFKGE >ENSMUSP00000039416.5 pep:known chromosome:GRCm38:13:74169805:74208700:-1 gene:ENSMUSG00000034152.5 transcript:ENSMUST00000035934.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc3 description:exocyst complex component 3 [Source:MGI Symbol;Acc:MGI:2443972] MCKDSACFLTMKETDLEAVATAVQRVAGMLQRPDQLDKVEQYRRREARKKASVEARLKAA IQSQLDGVRTGLSQLHNALNDVKDIQQSLADVSKDWRQSINTIESLKDVKDAVVQHSQLA AAVENLKNIFSVPEIVRETQDLIEQGALLQAHRKLMDLECSRDGLMCEQYRMDSGNKRDM TLIHGYFGSTQGLSDELAKQLWMVLQRSLVTVRRDPTLLVSVVRIIEREEKIDRRILDRK KQTGFVPPGRPKNWKEKMFAILDRTVTTRIEGTQADTRESDKMWLVRHLEIIRKYVLDDL IVAKNLMVQCFPPHYEIFKNLLSMYHQALSTRMQDLASEDLEANEIVSLLTWVLNTYTSA EMMGNVELAPEVDVSALEPLLSPNIVSELLDTYMSTLTSNIIAWLRKALETDKKDWSKET EPEADQDGYYQTTLPAIVFQMFEQNLQVAAQISEDLKTKVLVLCLQQMNSFLSRYKDEAQ LYKEEHLRNRQHPHCYVQYMIAIINNCQTFKESIISLKRKYLKTEAEEGLCLSQPSMDGI LDAIAKEGCSSLLEEVFLDLEQHLNELMTKKWLLGSNAVDIICVTVEDYFNDFAKIKKPY KKRMTAEAHRRVVVEYLRAVMQKRISFRSAEERKEGAEKMVREAEQLRFLFRKLASGFGE DADGHCDTIVAVAEVIKLTDPSLLYLEVSTLVSKYPDIRDDHIGALLALRGDASRDMKQT IMETLEQGPMQASPNYVPIFKEIVVPSLNVAKLLK >ENSMUSP00000047308.7 pep:known chromosome:GRCm38:13:48801750:48870885:-1 gene:ENSMUSG00000038025.7 transcript:ENSMUST00000035540.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf2 description:PHD finger protein 2 [Source:MGI Symbol;Acc:MGI:1338034] MATVPVYCVCRLPYDVTRFMIECDACKDWFHGSCVGVEEEEAPDIDIYHCPNCEKTHGKS TLKKKRTWHKHGPGPTPDVKPVQNGSQLFIKELRSRTFPSAEDVVSRVPGSQLTVGYMEE HGFTEPILVPKKDGLGLAVPAPTFYVSDVENYVGPERSVDVTDVTKQKDCKMKLKEFVDY YYSTNRKRVLNVTNLEFSDTRMSSFVEPPDIVKKLSWVENYWPDDALLAKPKVTKYCLIC VKDSYTDFHIDSGGASAWYHVLKGEKIFYLIRPASANISLYERWRSASNHSEMFFADQVD RCYKCTVKQGQTLFIPSGWIYATLTPVDCLAFAGHFLHSLSVEMQMRAYEVERRLKLGSL TQFPNFETACWYMGKHLLEAFKGSHKSGKQLPPHLVQGAKILNGAFRSWTKKQALAEHED ELPEHFRPSQLIKDLAKEIRLSENASKTVRPEVNAAASSDEVCDGDREKEEPPSPVETTP PRSLLEKVSKKKTSKTVKMPKPSKIPKPPKSPKPPKTLKLKDGSKKKGKKCKESASPTIP NLDLLEAHTKEALTKMEPPKKGKTPKSVLSVPNKDTVHTQNDMERLEIREQTKSKSEAKW KYKNSKPDSLLKMEEEQRLEKSPLAGNKDKFSFSFSNRKLLGSKALRPPSSPGVFGALQS FKEDKAKPVRDEYEYVSDDGELKIDEFPIRRKKSAPKRDLSFLLDKKEALLMPTSKPKLD SAVYKSDDSSDEGSLHIDTDTKPGRNAKVKKESGSSAAGILDLLQASEEVGALEYNPNSQ PPASPSTQEAIQGMLSMANLQASDSCLQTTWGTGQAKGGSLAAHGARKIGGGNKGTGKRL LKRTAKNSVDLEDYEEQDHLDACFKDSDYVYPSLESDEDNPVFKSRSKKRKGSDDAPYSP TARVGPSVPRQDRPVREGTRVASIETGLAAAAAKLSQQEEQKNRKKKNTKRKPAPNTASP SISTSASASTGTTSASTTPASTTPASTTPASTTPASTSTASSQASQEGSSPEPPPESHSS SLADHEYTAAGTFSGSQAGRASQPMAPGVFLTQRRPSASSPNNTAAKGKRTKKGMATAKQ RLGKILKIHRNGKLLL >ENSMUSP00000026209.4 pep:known chromosome:GRCm38:19:43847967:43912392:-1 gene:ENSMUSG00000025195.8 transcript:ENSMUST00000026209.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnmbp description:dynamin binding protein [Source:MGI Symbol;Acc:MGI:1917352] MEPGSMVRAIFDFCPSVSEELPLFVGDVIEVLAVVDEFWLLGKKEDVTGQFPSSFVEIVT IPSLKEGERLFVCICEFVSRELNSLSLHRGDLVILDDSAPTAGWLQGRSCWGAWGFFPSS CVQELCLSSRSRRWHAQSALLQAPEYSLGQARALMGLSAQLDEELDFREGDLITIIGVPE PGWFEGELEGRRGIFPEGFVELLGPLRTVDESVNSRSGDDSAVNGEVDVPPEEAESGGDE DDQQSGTYGIALYRFQALETNELDFEVGDRIQILGTLEDGWLEGCLKGKTGVFPHRFVKL CPSNRTEETTAQPQESSFPKDSESSVGKSGDSVVEEARQEPWECEEERPDYDLPGQASVP QDHVAPEWTGDTISGQDKDASGSSPDVDLERPLAKDLSTPDPSEEVNGVSSQPQVPIHPK VQKSQHYLTAGGSHQTSDPFSELVPLEARTRDYSSLPPRRTYAQGWSFQKPASHLQRASS LTASRLDRPSHFCHPAMASYAQKHQTSTENTASLHDPPERPERRPGLQDRGPATDITTAS QGDSLDLDSKLTQQLIEFEKSLSGPSTEPETIVRRFSIMDFYSEKDIVRGSSNSLPSQAF PERRKTLRPPPPRPRTPTPISSHLLVDQSPKPVPTLVVRPSRPAPLPPPAQQRMNTASPK PTSCAHPGWEAPEKEDSEHMEKSPAQTFPCPSMLARIRDVEQDLDTCTRAQEELNLLLEE KQDDPSRAETLETLRSYESTIQSLTLELQQLRDMTLLSSQSSSLAAPFGSVSTENPEQRM LEKRAKVVAELLQTERDYIRDLEMCIERVMVPLQQAQVPNVDFEGLFGNMQTVIKVSKQL LAALEISDAVGMSSCDCLVPGPVFLDHRDELEGTYRVYCQNHDEAISLLEMYEKDEKTQK HLQDYLADLKGCTNYINLGSFLIKPVQRIMRYPLLLMELLNSTPESHPDKVPLTNAVLAV KEINVNINEYKRRKDLVLKYRKGDEDSLMEKISKLNIHSIIKKSSRVSSHLKHLTGFAPQ LKDEVFEETEKNFRMQERLIKSFIRDLSLYLQHIRESACVKVVAAMSIWDLCMERGHHDL EQFEKVHRYISDQLFTRFKERTERLVINPLNQLLNMFTGPYKLVQKRFDKLLDFYNCTER AEKLKDKKTLEELQSARNNYEALNSQLLDELPKFQQYAQSLFTNCIHGYAEAHCDFVQQA LEQLQPLLSLLKATDREGNLIAIFLEEHSRVLQQLQVFTFFPESLPAPRKPFERKTTDRQ SSRKTLLGMPSYMLQSEELRSSLLARYPPEKLFHVQRNFNAAQDLDVSLLEGDLVGVIKK KDPMGSQNRWLVDNGVTKGFVYSSFLKPYNPRCSHSDASVASHSSTESEHSGSSPGCHRQ NSHSALTFNSNNMTVSFTSGLALTQPQDASPLKDCAHETLAVSWNTGHPETGPSTCSSDP GFSCQRRLGNPADGARDISQPASTLRGCQRGSPHSEVVGYSVPGQNDQGSDSIKGSARVC QAPEDRDRGVGSSETEGNQVYFAIYTFKARNPNELSVLANQRLRIHEFKDVTGNTEWWLA EVNGRKGYVPSNYIRKTEYT >ENSMUSP00000098616.4 pep:known chromosome:GRCm38:12:108179738:108203359:1 gene:ENSMUSG00000021258.9 transcript:ENSMUST00000101055.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnk description:cyclin K [Source:MGI Symbol;Acc:MGI:1276106] MKENKENSSPSVTSANLDHTKPCWYWDKKDLAHTPSQLEGLDPATEARYRREGARFIFDV GTRLGLHYDTLATGIIYFHRFYMFHSFKQFPRYVTGACCLFLAGKVEETPKKCKDIIKTA RSLLNDVQFGQFGDDPKEEVMVLERILLQTIKFDLQVEHPYQFLLKYAKQLKGDKNKIQK LVQMAWTFVNDSLCTTLSLQWEPEIIAVAVMYLAGRLCKFEIQEWTSKPMYRRWWEQFVQ DVPVDVLEDICHQILDLYSQGKQQMPHHTPHQLQQPPSLQPTPQVPQGPQSQPSQGSEAA QPPQKDSQQSAQQQQQQAQQPKKPSPQPSPPRQAKRAVVVSPKEENKATEPPPPPKIPKL EATHPPLPPAHPPPDRKPPLAPALGEAEATGPVETSDLPKVQIPPPAHPAPVHQPPPLPH RPPPPPPSSYMTGMSTTSSYMSGEGYQSLQSMMKTEGPSYGALPPAYGPPAHLTYHPHVY PPNPPPPPVPPPPASFPPPTIPPPTPGYPPPPPTYNPNFPPPPPRLPPTHAVPPHPPPGL GLPPASYPPPAVPPGGQPPVPPPIPPPGMPPVGGLGRAAWMR >ENSMUSP00000088938.4 pep:known chromosome:GRCm38:13:97021864:97034362:-1 gene:ENSMUSG00000052075.6 transcript:ENSMUST00000091377.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700029F12Rik description:RIKEN cDNA 1700029F12 gene [Source:MGI Symbol;Acc:MGI:1913729] MSSRQESDIGHDWDVSSDRNLTSGHDKEDSCGAQAAPSVTVKPPLTRRTNVTWTANTACS HCYTAWTPSADTCHHSPRGLSVLLQAMAAAATTAMRLTDFYHDYQPLSTEDQLSTEEPDA NVYQVDPDGESQLHPKTDDAPRQQEAA >ENSMUSP00000021930.8 pep:known chromosome:GRCm38:13:54071845:54108346:1 gene:ENSMUSG00000021474.8 transcript:ENSMUST00000021930.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfxn1 description:sideroflexin 1 [Source:MGI Symbol;Acc:MGI:2137677] MSGEVPPNINIKEPRWDQSTFIGRASHFFTVTDPRNILLTNEQLENARKVVHDYRQGIVP AGLTENELWRAKYAYDSAFHPDTGEKMTLIGRMSAQVPMNMTITGCMMTFYRTTPAVLFW QWINQSFNAVVNYTNRSGDAPLTVNELGTAYVSATTGAVATALGLNALTKRVSPLIGRFV PFAAVAAANCINIPLMRQRELKVGIPVTDENGTRLGESTNAAKQAITQVVISRILMAAPG MAIPPFIMNTLEKKAFLKRFPWMSAPIQVTLVGFCLVFATPLCCALFPQKSSMSVTSLED ELQASIQRTHPEIRRVYFNKGL >ENSMUSP00000127490.1 pep:known chromosome:GRCm38:13:55132962:55133548:-1 gene:ENSMUSG00000091768.1 transcript:ENSMUST00000164344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17617 description:predicted gene, 17617 [Source:MGI Symbol;Acc:MGI:4937251] LRTLGAKTPVGTQ >ENSMUSP00000046235.7 pep:known chromosome:GRCm38:7:113207465:113314122:1 gene:ENSMUSG00000055116.7 transcript:ENSMUST00000047321.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arntl description:aryl hydrocarbon receptor nuclear translocator-like [Source:MGI Symbol;Acc:MGI:1096381] MADQRMDISSTISDFMSPGPTDLLSGSLGTSGVDCNRKRKGSATDYQESMDTDKDDPHGR LEYAEHQGRIKNAREAHSQIEKRRRDKMNSFIDELASLVPTCNAMSRKLDKLTVLRMAVQ HMKTLRGATNPYTEANYKPTFLSDDELKHLILRAADGFLFVVGCDRGKILFVSESVFKIL NYSQNDLIGQSLFDYLHPKDIAKVKEQLSSSDTAPRERLIDAKTGLPVKTDITPGPSRLC SGARRSFFCRMKCNRPSVKVEDKDFASTCSKKKADRKSFCTIHSTGYLKSWPPTKMGLDE DNEPDNEGCNLSCLVAIGRLHSHMVPQPANGEIRVKSMEYVSRHAIDGKFVFVDQRATAI LAYLPQELLGTSCYEYFHQDDIGHLAECHRQVLQTREKITTNCYKFKIKDGSFITLRSRW FSFMNPWTKEVEYIVSTNTVVLANVLEGGDPTFPQLTAPPHSMDSMLPSGEGGPKRTHPT VPGIPGGTRAGAGKIGRMIAEEIMEIHRIRGSSPSSCGSSPLNITSTPPPDASSPGGKKI LNGGTPDIPSTGLLPGQAQETPGYPYSDSSSILGENPHIGIDMIDNDQGSSSPSNDEAAM AVIMSLLEADAGLGGPVDFSDLPWPL >ENSMUSP00000111150.1 pep:known chromosome:GRCm38:9:21074168:21091988:-1 gene:ENSMUSG00000010205.10 transcript:ENSMUST00000115487.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Raver1 description:ribonucleoprotein, PTB-binding 1 [Source:MGI Symbol;Acc:MGI:1919016] MAADVSVTHRPPLSPEAEAEAETPETVDRRAPEQELPPLDPEEIRKRLEHTERQFRNRRK ILIRGLPGDVTNQEVHDLLSDYELKYCFVDKYKGTAFVTLLNGEQAEAAINTFHQSRLRE RELSVQLQPTDALLCVANLPPSLTQAQFEELVRPFGSLERCFLVYSERTGHSKGYGFAEY MKKDSAARAKSDLLGKPLGPRTLYVHWTDAGQLTPALLHSRCLCVDHLPPGFSDVDALRR ALSVVYTPTFCQLACGQDGQLKGFAVLEYETAEMAEAAQERADGQALGDSHLRVSFCAPG PPGRSMLAALIAAQATALNRGKGLLPEPNLLQLLNNLGPSASLQLLLNPLLHGGASGKQG LLGAPPAMPLLSGPALSTALLQLALQSQSQNQSQGQKKPGILGDSPLGTLQAGAQPSNSL LGELSAGGGLAPELPPRRGKPQPLLPPLLGPSGGDREPMGLGPPATQLTPPPAPVGLRGS NHRGLPKDSGPLPTPPGVSLLGEPPKDYRIPLNPYLNLHSLLPSSNLAGKETRGWGGSGR GRRPAEPPLPSPAVPGGGSGSNNGNKAFQMKSRLLSPIASNRLPPEPGLPDSYGFDYPTD VGPRRLFSHPREPTLGAHGPSRHKMSPPPSSFNEPRSGGGSGGPLSHFYSGSPTSYFTSG LQAGLKQSHLNKAVGSSPMGSSEGLLGLGPGPNGHSHLLKTPLGGQKRSFSHLLPSPEPS PEGSYVGQHSQGLGGHYADSYLKRKRIF >ENSMUSP00000137185.1 pep:known scaffold:GRCm38:JH584299.1:587624:625594:1 gene:ENSMUSG00000094887.1 transcript:ENSMUST00000179700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC163611.2 MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRQTNLLKAMVAAWPFPCLPV GSLMKKPNLETLQALLDGIDMRLTREFHPRRAKLQVLDMRNMYHAFWNIRADANDSDYKS KTLDEKQLVKVLPRYARRQRLKVIVNLSISSHFNKSKAYFLNWAKQRIGSLYFCCIKMKI WDPPDQVIRDIFNVFDPEHITELELNTDWTLLQLAHFAPYFGHMKNLQKVFLAPLHKNTS PIINITSATEAKCVHKIISQFSQFNCLQHIFMKHVHFLRDYMNQVLGCLMTPLETLSITQ CLISQRDFDLFSCSQNLFKLKHLEIRGMILYALDLMPLRGLLQKVADTLEILDFQWCRM >ENSMUSP00000071245.6 pep:known chromosome:GRCm38:19:36379067:36456192:1 gene:ENSMUSG00000024805.15 transcript:ENSMUST00000071267.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf5 description:polycomb group ring finger 5 [Source:MGI Symbol;Acc:MGI:1923505] MATQRKHLVKDFNPYITCYICKGYLIKPTTVTECLHTFCKTCIVQHFEDSNDCPRCGNQV HETNPLEMLRLDNTLEEIIFKLVPGLREQELQRELEFWKKNKPQENGQDDISKVDKSKAD EEGDENQDDKDYHRSDPQIAICLDCLRNNGQSGDNVVKGLMKKFIRCSTRVTVGTIKKFL SLKLKLPSSYELDVLCNGEIMGKDHTMEFIYMTRWRLRGENSYPMVLQYRPRIDFG >ENSMUSP00000058730.5 pep:known chromosome:GRCm38:19:36409748:36456192:1 gene:ENSMUSG00000024805.15 transcript:ENSMUST00000062389.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcgf5 description:polycomb group ring finger 5 [Source:MGI Symbol;Acc:MGI:1923505] MATQRKHLVKDFNPYITCYICKGYLIKPTTVTECLHTFCKTCIVQHFEDSNDCPRCGNQV HETNPLEMLRLDNTLEEIIFKLVPGLREQELQRELEFWKKNKPQENGQDDISKVDKSKAD EEGDENQDDKDYHRSDPQIAICLDCLRNNGQSGDNVVKGLMKKFIRCSTRVTVGTIKKFL SLKLKLPSSYELDVLCNGEIMGKDHTMEFIYMTRWRLRGENSYPMVLQYRPRIDFG >ENSMUSP00000126209.1 pep:known chromosome:GRCm38:13:97067287:97129521:1 gene:ENSMUSG00000041817.13 transcript:ENSMUST00000169863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam169a description:family with sequence similarity 169, member A [Source:MGI Symbol;Acc:MGI:2444268] MAFPVDLLDNCTHEELENSSEDYLSSLRCGDPEHPECFSSLNITIPVSLSNVGFVPLYGG NQTQKILALFAPEDSLTAVALYLVGQWWAIDDIVKTSEPSREGLKQVSTLGERVVLYVLN RIIYRKQEMERNEIPFLCHSSTDYAKILWKKGEAVGFYSVKPTGSLCASFLTQNYQLPVL DTMFIRKKYRGKDLGLHMLEDFVDSFTEDALGLRYPLSSLMYTASKQYFEKYPGDHELLW EVEGVGHWHQRVPVTRALQREAIKATDVSQYEATRPVSGEYGLAAVPEHEPGLDDTQSSE LQIHSLKDAFASTSEGPEKTPVSTRTRSSHLKRPKIGKHFQDSEFSSSQGEDENVAKTSP TASVNKIEYAARTSESSEEFLEEEPEQGVIDFEDESGDKDAQPALETQPRLQKQDGDKDS ALEPVNGEVMDAALKPSLTTEDEDSTSEGLEEDLKVPPFNSSGEPGNPVPLVAESSKVPE ATLAKTSPDTDSEMLIDQSPSDDKGHTEENLSPVSKKKTLLGSSDNVATVSNIEKSDGNF PNSVVPEFPEEPVSQNLSPNTTSSVEDQGEEGAPEAQEPSATQSSLIEVELEDAPFPQNA GQKSQSEEQSEASSEHLEQFTQSAEKAVDSSSEEIEVEVPVVDRRNLRRKAKGHKGPGKK KAKLT >ENSMUSP00000043738.6 pep:known chromosome:GRCm38:13:97071643:97129521:1 gene:ENSMUSG00000041817.13 transcript:ENSMUST00000042517.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam169a description:family with sequence similarity 169, member A [Source:MGI Symbol;Acc:MGI:2444268] MAFPVDLLDNCTHEELENSSEDYLSSLRCGDPEHPECFSSLNITIPVSLSNVGFVPLYGG NQTQKILALFAPEDSLTAVALYLVGQWWAIDDIVKTSEPSREGLKQVSTLGERVVLYVLN RIIYRKQEMERNEIPFLCHSSTDYAKILWKKGEAVGFYSVKPTGSLCASFLTQNYQLPVL DTMFIRKKYRGKDLGLHMLEDFVDSFTEDALGLRYPLSSLMYTASKQYFEKYPGDHELLW EVEGVGHWHQRVPVTRALQREAIKATDVSQYEATRPVSGEYGLAAVPEHEPGLDDTQSSE LQIHSLKDAFASTSEGPEKTPVSTRTRSSHLKRPKIGKHFQDSEFSSSQGEDENVAKTSP TASVNKIEYAARTSESSEEFLEEEPEQGVIDFEDESGDKDAQPALETQPRLQKQDGDKDS ALEPVNGEVMDAALKPSLTTEDEDSTSEGLEEDLKVPPFNSSGEPGNPVPLVAESSKVPE ATLAKTSPDTDSEMLIDQSPSDDKGHTEENLSPVSKKKTLLGSSDNVATVSNIEKSDGNF PNSVVPEFPEEPVSQNLSPNTTSSVEDQGEEGAPEAQEPSATQSSLIEVELEDAPFPQNA GQKSQSEEQSEASSEHLEQFTQSAEKAVDSSSEEIEVEVPVVDRRNLRRKAKGHKGPGKK KAKLT >ENSMUSP00000039040.1 pep:known chromosome:GRCm38:9:38488031:38488966:1 gene:ENSMUSG00000039962.1 transcript:ENSMUST00000045493.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr906 description:olfactory receptor 906 [Source:MGI Symbol;Acc:MGI:3030740] MAFGNRSFVTEFILIGLTDQPNLQLPLFFLFLVMYIVTMTGNLGLVILIGLNSHLHTPMY FFLFNLSLIDLCYSSVFTPKMLLNFILNKNIISYTGCMTQLYFYSFFVISECYVLMSMAY DRYVAICNPLLYNIAMTPKICSYLMLGSYLMAFSGAMAHTGCMLRLTFCDANTINHYFCD ILPVMQLSCTSTYVNELEVFIVVGINILVPSITIFISYGFILSSIFHINSNEGRSKAFST CSSHIIAVSLFFGSGAFMYLKPSSVGSMDEGKISSVFYTNVVPMMNPLIYSLRNKDVKVA LRITLSRWKLW >ENSMUSP00000009036.3 pep:known chromosome:GRCm38:8:22577075:22593813:-1 gene:ENSMUSG00000008892.11 transcript:ENSMUST00000009036.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdac3 description:voltage-dependent anion channel 3 [Source:MGI Symbol;Acc:MGI:106922] MCNTPTYCDLGKAAKDVFNKGYGFGMVKIDLKTKSCSGVEFSTSGHAYTDTGKASGNLET KYKVCNYGLTFTQKWNTDNTLGTEISWENKLAEGLKLTLDTIFVPNTGKKSGKLKASYRR DCFSLGSNVDIDFSGPTIYGWAVLAFEGWLAGYQMSFDTAKSKLSQNNFALGYKAADFQL HTHVNDGTEFGGSIYQKVNERIETSINLAWTAGSNNTRFGIAAKYKLDCRTSLSAKVNNA SLIGLGYTQTLRPGVKLTLSALIDGKNFNAGGHKVGLGFELEA >ENSMUSP00000136273.1 pep:known chromosome:GRCm38:8:22577075:22593813:-1 gene:ENSMUSG00000008892.11 transcript:ENSMUST00000179233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdac3 description:voltage-dependent anion channel 3 [Source:MGI Symbol;Acc:MGI:106922] MCNTPTYCDLGKAAKDVFNKGYGFGMVKIDLKTKSCSGVVEFSTSGHAYTDTGKASGNLE TKYKVCNYGLTFTQKWNTDNTLGTEISWENKLAEGLKLTLDTIFVPNTGKKSGKLKASYR RDCFSLGSNVDIDFSGPTIYGWAVLAFEGWLAGYQMSFDTAKSKLSQNNFALGYKAADFQ LHTHVNDGTEFGGSIYQKVNERIETSINLAWTAGSNNTRFGIAAKYKLDCRTSLSAKVNN ASLIGLGYTQTLRPGVKLTLSALIDGKNFNAGGHKVGLGFELEA >ENSMUSP00000046528.8 pep:known chromosome:GRCm38:9:53771535:53818161:1 gene:ENSMUSG00000042195.8 transcript:ENSMUST00000048670.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f2 description:solute carrier family 35, member F2 [Source:MGI Symbol;Acc:MGI:1919272] MEAESPASAGASEPRALGTVDSIGSQLSRIRRKLFTWDILKTIALGQMLSLCICGTAITS QYLAEKYRVNTPMLQSFINYCLLFLVYTLMLAFQSGSDNLLEILRRKWWKYTLLGLADVE ANYLIVRAYQYTTLTSVQLLDCFGIPVLMALSWFILRARYKVIHFIAVFVCLLGVGTMVG ADILAGREDNSGSDVLIGDILVLLGASLYAVSNVCEEYIVKKLSRQEFLGMVGLFGTIIS GIQLLIVEYKDIARIQWDWKIALLFVAFALCMFCLYSFMPLVIKVTSATSVNLGILTADL YSLFFGLFLFEYKFSGLYILSFTVIMVGFILYCSTPTRTVEPPESSVPPVTSIGIDNLGL KLEESGLPETHSAVL >ENSMUSP00000130212.1 pep:known chromosome:GRCm38:10:77776252:77776944:-1 gene:ENSMUSG00000095593.1 transcript:ENSMUST00000167669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7138 description:predicted gene 7138 [Source:MGI Symbol;Acc:MGI:3779678] MAASTMSVCSDALTNSSWQVDDCPESCCEPCCCAPSCCQTSCCQPSCCQTSCCAPAPCLT LICTPVSCVSSPCCQSSCCTPSCCQQSSCQPACCTCSPCQPSCCVTLCCKPVCCTPICSG PCCQQSSCQSSCCQSPCCVPLCCKPVCCTPICSGSSSCCQPSCFAPVCCKPCSSLSLLCR PVCRPACCVPTSSCCASSCQPSCCGPTSSVSLLCRPACCRQACCGQKSSC >ENSMUSP00000126046.1 pep:known chromosome:GRCm38:10:97724874:97726755:-1 gene:ENSMUSG00000079183.2 transcript:ENSMUST00000166373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C030005K15Rik description:RIKEN cDNA C030005K15 gene [Source:MGI Symbol;Acc:MGI:3641867] MQYSYKVHQDRPSSCSFFFFVLTPTDISTAFLPKLKVVTILLVLFYFLYGLPSSLDQGKL HWGPLLKSSSRTFSNLTSSLCYTVSHCHSLLISNDERSPLCDKDIIVRYKAQHDL >ENSMUSP00000095262.1 pep:known chromosome:GRCm38:18:20846145:20896078:-1 gene:ENSMUSG00000033382.13 transcript:ENSMUST00000097658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc8 description:trafficking protein particle complex 8 [Source:MGI Symbol;Acc:MGI:2443008] MAQCVQSVQELIPDSFVPCVAALCSDEAERLTRLNHLSFSELLKPFSRLTSEVHMRDPNN QLHIIKNLKIAVSNIVTQPPQPGAIGKLLNDVVSGSQPAEGLVANVITAGDYDLNISATT PWFESYRETFLQSMPASDHEFLNHYLACMLVASSGEAEPMEQFSKLSQEQHRIQHNNDYS YPKWFIPNTLKYYVLLHDVTSGDEQRAESIYEEMKQKYGTQGCYLLKINSRAPNRASDEQ IPDPWSQYLQKNSIQNQESYEDGPCTMTSSKNSDSNLLSLDGLDNEVKVDGLPNNFRIHP LQIDQCGDPPNNTDGSESKSASSVHETKKASTAVIRGACLTLTDHDRIRQFIQEFTFRGL LPHIEKTIRQLNDQLISRKGLSRSLFSATKKWFSGSKVPEKSINELKNTSGLLYPPEAPE LQIRKMADLCFLVQHYDLAYSCYHTAKKDFLNDQAMLYAAGALEMAAVSAFLQPGAPRPY PAHYMDTAIQTYRDICKNMVLAERCVLLSAEILKSQSKYSEAAALLIRLTSEDSDLRSAL LLEQAAHCFINMKSPMVRKYAFHMILAGHRFSKAGQKKHALRCYCQAMQVYKGKGWSLAE DHINFTIGRQSYTLRQLDNAVSAFRHILINESKQSAAQQGAFLREYLYVYKNVNQLSPDG PLPQLPLPYINSSATRVFFGHDRRPADGEKQAATHISLDQEYDSESSQQWRELEEHVVAV ANKGVIPSSFYPTQYCLNSYSDNSRFPLAVVEEPITVEVAFRNPLKVPLLLSDLSLLWKF QPKDASGKDIEKVKERVSYCRYHDAPDFRCYHSVHLRYKPKV >ENSMUSP00000025177.6 pep:known chromosome:GRCm38:18:20817224:20896078:-1 gene:ENSMUSG00000033382.13 transcript:ENSMUST00000025177.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc8 description:trafficking protein particle complex 8 [Source:MGI Symbol;Acc:MGI:2443008] MAQCVQSVQELIPDSFVPCVAALCSDEAERLTRLNHLSFSELLKPFSRLTSEVHMRDPNN QLHIIKNLKIAVSNIVTQPPQPGAIGKLLNDVVSGSQPAEGLVANVITAGDYDLNISATT PWFESYRETFLQSMPASDHEFLNHYLACMLVASSGEAEPMEQFSKLSQEQHRIQHNNDYS YPKWFIPNTLKYYVLLHDVTSGDEQRAESIYEEMKQKYGTQGCYLLKINSRAPNRASDEQ IPDPWSQYLQKNSIQNQESYEDGPCTMTSSKNSDSNLLSLDGLDNEVKVDGLPNNFRIHP LQIDQCGDPPNNTDGSESKSASSVHETKKASTAVIRGACLTLTDHDRIRQFIQEFTFRGL LPHIEKTIRQLNDQLISRKGLSRSLFSATKKWFSGSKVPEKSINELKNTSGLLYPPEAPE LQIRKMADLCFLVQHYDLAYSCYHTAKKDFLNDQAMLYAAGALEMAAVSAFLQPGAPRPY PAHYMDTAIQTYRDICKNMVLAERCVLLSAEILKSQSKYSEAAALLIRLTSEDSDLRSAL LLEQAAHCFINMKSPMVRKYAFHMILAGHRFSKAGQKKHALRCYCQAMQVYKGKGWSLAE DHINFTIGRQSYTLRQLDNAVSAFRHILINESKQSAAQQGAFLREYLYVYKNVNQLSPDG PLPQLPLPYINSSATRVFFGHDRRPADGEKQAATHISLDQEYDSESSQQWRELEEHVVAV ANKGVIPSSFYPTQYCLNSYSDNSRFPLAVVEEPITVEVAFRNPLKVPLLLSDLSLLWKF QPKDASGKDIEKVKERVTGEPEMIGTEVISEFLINSEESKVARLKLFPHHIGELHILGVV YNLGTIQGSGTVDGIGALPGCHTGKHSLSMSVRGRQDLEIQGPRLNNTKEEKTSVKYGPD RRLDPIVTEEMPLLEVFFIHFPTGLLCGEIRKAYVEFVNVSKCPLTGLKVVSKRPEFFTF GGNTAALTPLSPSTSENCSAYKTVVTASPSLGTALVSTASSMDFGTGTGQQLEAIPVPLP DSVLLPGASIQLPMWLRGPDEEGVHEINFLFYYESVKKQPKKRHRILRHTAVICTSRSLN VRATVCRSNSLEDEEGRGGNMLVFVDVENTNTSEAGVKEFHMVQVSSSSKHWQLHKSVNI SENKDAKLASREKGKFCFKAVRCKQKEGGIQSSEKYTFADIIFGNEQIISSASPCADFFY RSLSSELKKTQDQLSAYPEKHREATEGAVRLVEKCSEVDLSIVILWKAYVVEDNKQLILE GQHHVVVRTVGKEAFSHSQKEEPPEMELLKFFRPENTTVSTRPSVEQLSNLIKTSLHYPE SFHHPFHQKSLCLVPVTLLLSNCSKADVDVIVDLRHKTTSPEALETHGSFTWLGQTQYKL QLKSQEMHSLQLKACFVHTGVYNLGTPRVFAKLSDHVTVFETSQQNSMPALIIINNA >ENSMUSP00000137551.1 pep:known chromosome:GRCm38:12:31184623:31185177:1 gene:ENSMUSG00000095953.1 transcript:ENSMUST00000179160.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6030469F06Rik description:RIKEN cDNA 6030469F06 gene [Source:MGI Symbol;Acc:MGI:3588235] MHNCYQTSILQTESSSVQITEQLELQSKYFEYYSVGKEDVFERLCWQRCGIQTGPRTGRR EHPGALAGTQAELREAEFEQRLSICGGEDTKIINVCYYLQGLDGPGLAFSIEIVRSWSLA SVLGVLCLCAQRQMEEGYPFSMLGQNRYRETSECLLFPCNANRIPKVHTGVFFSLHILDR ISQL >ENSMUSP00000052777.7 pep:known chromosome:GRCm38:19:41274218:41385070:-1 gene:ENSMUSG00000025017.9 transcript:ENSMUST00000059672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3ap1 description:phosphoinositide-3-kinase adaptor protein 1 [Source:MGI Symbol;Acc:MGI:1933177] MAASGWGRGCDILIFYSPDAEEWCQYLQDLFVSCRQVRSQKTQTYRLVPDASFSAQDLWV FRDARCVLVLLSAGLVGCFGQPGLLPMLQRACHPPQRVVRLLCGVQPGDEDFQAFFPDWA HWQEMTCDDEPETYLAAVRKAISEDSGCDSVTDTEPEDERELPFSKQTNLPPEISPGNLM VVQPDRIRCGAETTVYIIVRCKLDEKVSTEAEFSPEDSPSIRVEGTLENEYTVSVKAPDL SSGNVSLKVYSGDLVVCETTVSYYTDMEEIGNLLSSAANPVEFMCQAFKIVPYNTETLDK LLTESLKNNIPASGLHLFGINQLEEDDMMTNQRDEELPTLLHFAAKYGLKNLTALLLTCP GALQAYSVANKHGHYPNTIAEKHGFRDLRQFIDEYVETVDMLKTHIKEELMQGEEADDVY ESMAHLSTDLLMKCSLNPGCDDELYESMAAFAPAATEDLYVEMLQASAGNPVSGESFSRP TKDSMIRKFLEGNSVKPASWEREQHHPYGEELYHIVDEDETFSVDLANRPPVPVPRPEAS APGPPPPPDNEPYISKVFAEKSQERLGNFYVSSESIRKEPLVRPWRDRPPSSIYDPFAGM KTPGQRQLITLQEQVKLGIVNVDEAVLHFKEWQLNQKKRSESFRFQQENLKRLRESITRR RKEKPKSGKHTDLEITVPIRHSQHLPEKVEFGVYESGPRKSVLPARTELRRGDWKTDSMS STASSTSNRSSTRSLLSVSSGMEGDNEDNEIPEITRSRGPGPTQVDGAPVVTGTPVGTLE RPPRVPPRAASQRPLTRESFHPPPPVPPRGR >ENSMUSP00000096386.3 pep:known chromosome:GRCm38:7:16401196:16437694:1 gene:ENSMUSG00000059273.7 transcript:ENSMUST00000098789.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h4 description:zinc finger CCCH-type containing 4 [Source:MGI Symbol;Acc:MGI:2682314] MLRGREDGELEEGELEDDGAEEVQDPPGGQERSRKEKGEKHHSDSEEEKSHRRLKRKRKK EREKEKRRSKKRRKSKHKRHASSSDDFSDFSDDSDFSPSEKSHRKYRDYSPPYAPSHQQY SSSHNAPLPKKSYSKMDSKGYSMYEDYENEQYGEYEGDEEEDMGKEDYDDFTKELNQYRR AKEGSSRGRGSRGRGRGYRGRGSRGGSRGRGMGRGSRGRGRGSMGEHPEDEEDLYEEEIE YGESEEPMGDDDYDDYSKELNQYRRSKDSRGRGLSRGRGRGSRGGRGKGMGRGRGRGGRG GMSKGGMNDDEDFYDDDMGDGGGGSYRRSDHDKPHQQSDKKGKVICKYFVEGRCTWGDHC NFSHDIELPKKRELCKFYITGFCARAENCPYMHGDFPCKLYHTTGNCINGDDCMFSHDPL TEETRELLDKMLADDAEAGAEDEKEVEELKKQGINPLPKPPPGVGLLPTPPRPPGPPAPT SPNGRPMQGGPPPPPPPPPPPPGPPQMSLPTHEPLSPQQLQQDMYNKKIPSLFEIVVRPT GQLAEKLGVRFPGPGGPSGPMGPGPNMGPPGPMGGPMHPDMHPDMHPDMHPDMHPDMHPD MHPDMHPDMHPDMPMGPGMNPGPPMGPGGPPMMPYGPGDSPHSGMMPPIPPAQNFYENFY PQQEGMEMEPGLVGDAEDYGHYEELPGQPGEPLFPEHPLEPDSFPEGGPPGRPKAGAGVP DFLPSAQRALYLRIQQKQQEEERARRLAESSKQDRENEEGDTGNWYSSDEDEGGSSVTSI LKTLRQQTSSRPQASVGEPSSSGLGDPRLQKGHPTGSRLSDPRLSRDPRLSRHAETSGGS GPGDSGPSDPRLARALPTSKAEGSLHSSPAGPSSSKGQPPAEEEEGERALREKAVNIPLD PLPGHPLRDPRSQLQQFSHIKKDVTLSKPSFARTVLWNPEDLIPLPIPKQDVPPVPAALQ SLPALDPRLHRSTPPGPPNTRQRPGSTDPSTSGSNLPDFELLSRILKTVNVNTPGQSEKP SDPRVRKTPTDPRLQKPADPVAASRAAKPCPTEASPPAASPSGDSSPPATAPYDPRVLAA GGLGQGSSSGQSSVLSGISLYDPRTPNAGGKTAEPASDTSAQPKGPEGNGKGSASKAKEP PFVRKSALEQPETGKASTDGATATDRYNSYNRPRPKATAAPTAASSTPPPEGATPQPGVH NLPVPTLFGTVKPAPKTGTGSPFAGNSPAREGEQDAGSLKDVFKGFDPTASPFCQ >ENSMUSP00000025890.8 pep:known chromosome:GRCm38:19:5758427:5771401:-1 gene:ENSMUSG00000024941.8 transcript:ENSMUST00000025890.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scyl1 description:SCY1-like 1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1931787] MWFFARDPVRDFPFELSPEPPEGGPPGPWILHRGRKKATGSAVSIFVYDVKPGAEEQTQV AKAAFKRLKTLRHPNILAYIDGLETEKCLHIVTEAVTPLGTYLKARAEAGGLKEQELSWG LHQIVKALSFLVNDCNLIHNNVCMAAVFVDRAGEWKLGGLDYMYSAQGNGGGPPSKGIPE LEQYDPPELADSSSRAVREKWSADMWRLGCLIWEVFNGSLPRAAALRNPGKIPKSLVTHY CELVGANPKVRPNPARFLQNCRAPGGFMSNRFVETNLFLEEIQIKEPAEKQKFFQELSKS LDSFPEDFCRHKVLPQLLTAFEFGNAGAVVLTPLFKVGKSLRAEEYQEKIIPVVVKMFSS TDRAMRIRLLQQMEQFIQYLDEPTVNTQIFPHVTHGFLDTNPAIREQTVKSMLLLAPKLN EANLNVELMKHFARLQAKDDQGPIRCNTTVCLGKIGSYLSASTRHRVLTSAFSRATKDPF APSRVAGVLGFAATHNLYSMDDCAHKILPVLCGLTVDPEKSVRDQAFKTIRSFLSKLESV SEDPTQLAEVEKDVHAASSPGTGGAAASWAGWAVTGVSSLTSKLIRAHPTPVPSDTTVPQ RPVPEGNPAPAPALAQAIPATSGHWETQEDKDTAEDSATADRWDDEDWGSLEQEAESVLA QQDDWSAKGQGSRAGQINHPDHKSLESHWSSWEVEGSWDQGWQEPSSVEPPPEGTRLASE YNWGGAEPSDKGDPFAALSVRPSAQPRPDPDSWGEDNWEGLEAESRQVKAELARKKREER RREMEAKRAEKKTTKGPMKLGARKLD >ENSMUSP00000040852.8 pep:known chromosome:GRCm38:16:36041190:36042973:1 gene:ENSMUSG00000034379.8 transcript:ENSMUST00000042203.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr5b description:WD repeat domain 5B [Source:MGI Symbol;Acc:MGI:1916794] MATEHLPAERAQSLLSAPRREEEPQKPNYALRLTLAGHSAAISSVKFSPNGEWLASSAAD ALIIIWGAYDGNCKKTLYGHSLEISDVAWSSDSSRLVSASDDKTLKVWDMRSGKCLKTLK GHSDFVFCCDFNPPSNLIVSGSFDESVKIWEVKTGKCLKTLSAHSDPISAVNFNCNGSLI VSGSYDGLCRIWDAASGQCLRTLADEGNPPVSFVKFSPNGKYILTATLDNTLKLWDYSRG RCLKTYTGHKNEKYCLFASFSVTGRKWVVSGSEDNMVYIWNLQTKEIVQRLQGHTDVVIS AACHPTKNIIASAALENDKTIKVWSSDC >ENSMUSP00000106995.2 pep:novel scaffold:GRCm38:GL456210.1:123792:124928:1 gene:ENSMUSG00000079192.2 transcript:ENSMUST00000111364.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC125149.1 MFKHFKENKVEIASAITKPFPFLMSLRDRDFISEQKFQEYQETCKNLVPVERVVYDVLSN VQKKFSRDLLKVIFSKTHLKAYPDL >ENSMUSP00000132226.1 pep:known chromosome:GRCm38:18:32123129:32139570:-1 gene:ENSMUSG00000024386.8 transcript:ENSMUST00000171765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proc description:protein C [Source:MGI Symbol;Acc:MGI:97771] MWQFRVFLLLMSTWGISSIPAHPDPVFSSSEHAHQVLRVRRANSFLEEMRPGSLERECME EICDFEEAQEIFQNVEDTLAFWIKYFDGDQCSAPPLDHQCDSPCCGHGTCIDGIGSFSCS CDKGWEGKFCQQELRFQDCRVNNGGCLHYCLEESNGRRCACAPGYELADDHMRCKSTVNF PCGKLGRWIEKKRKILKRDTDLEDELEPDPRIVNGTLTKQGDSPWQAILLDSKKKLACGG VLIHTSWVLTAAHCVEGTKKLTVRLGEYDLRRRDHWELDLDIKEILVHPNYTRSSSDNDI ALLRLAQPATLSKTIVPICLPNNGLAQELTQAGQETVVTGWGYQSDRIKDGRRNRTFILT FIRIPLVARNECVEVMKNVVSENMLCAGIIGDTRDACDGDSGGPMVVFFRGTWFLVGLVS WGEGCGHTNNYGIYTKVGSYLKWIHSYIGEKGVSLKSQKL >ENSMUSP00000026562.4 pep:known chromosome:GRCm38:7:140948963:140950239:-1 gene:ENSMUSG00000025489.4 transcript:ENSMUST00000026562.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm5 description:interferon induced transmembrane protein 5 [Source:MGI Symbol;Acc:MGI:1934923] MDTSYPREDPRAPSSRKADAAAHTALSMGTPGPTPRDHMLWSVFSTMYLNLCCLGFLALV HSVKARDQKMAGNLEAARQYGSKAKCYNILAAMWTLVPPLLLLGLVVTGALHLSKLAKDS AAFFSTKFDEEDYN >ENSMUSP00000022091.3 pep:known chromosome:GRCm38:13:70882948:70884503:1 gene:ENSMUSG00000021600.3 transcript:ENSMUST00000022091.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:8030423J24Rik description:RIKEN cDNA 8030423J24 gene [Source:MGI Symbol;Acc:MGI:1924416] MLAPKHTARPLRQPQYRDFLSDFRLHHEQHCGPSPCWRSSGVWESHAPACVVPEEEDFYD GQHLSLAAVSPAMRETHTQADELSRDNESMRREQMPWEGSSCRSFSLADSQLQRRSRYSV LTQSCRRLPSESHCIFSRFQNR >ENSMUSP00000129808.1 pep:known chromosome:GRCm38:16:48734690:48771956:-1 gene:ENSMUSG00000030775.9 transcript:ENSMUST00000170861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trat1 description:T cell receptor associated transmembrane adaptor 1 [Source:MGI Symbol;Acc:MGI:1924897] MYPGSSERASMSGSSGCPFFLWGLLAFLGLALVISLIFNISHYVEKQRRDEIYRYSDDYI PRVDEYYVEDAPIYGNLENIIPEPLDENCYEQMKGRPQRSASDPQEVAAPAQVPAEAQMC YASLDHSVKGKRRRPRKQNTNVSDRGKDTQVYTMDANVSQINMVESFPPDNQVVEESIHD DPARLFGLIRAKREPVI >ENSMUSP00000034470.9 pep:known chromosome:GRCm38:9:27004503:27030094:-1 gene:ENSMUSG00000031988.9 transcript:ENSMUST00000034470.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps26b description:vacuolar protein sorting 26 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:1917656] MSFFGFGQSVEVEILLNDAESRKRAEHKTEDGKKEKYFLFYDGETVSGKVSLSLKNPNKR LEHQGIKIEFIGQIELYYDRGNHHEFVSLVKDLARPGEITQSQAFDFEFTHVEKPYESYT GQNVKLRYFLRATISRRLNDVVKEMDIVVHTLSTYPELNSSIKMEVGIEDCLHIEFEYNK SKYHLKDVIVGKIYFLLVRIKIKHMEIDIIKRETTGTGPNVYHENDTIAKYEIMDGAPVR GESIPIRLFLAGYELTPTMRDINKKFSVRYYLNLVLIDEEERRYFKQQEVVLWRKGDIVR KSMSHQAAIASQRFEGTTSLGEVRTPGQLSDNNSRQ >ENSMUSP00000037929.8 pep:known chromosome:GRCm38:8:70758649:70760921:-1 gene:ENSMUSG00000035559.8 transcript:ENSMUST00000038626.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpv17l2 description:MPV17 mitochondrial membrane protein-like 2 [Source:MGI Symbol;Acc:MGI:2681846] MALGGWRWARKALAAGRPLFQGRALLLTNTLGCGVLMAAGDGARQVWEVRARPGQRFSAR RSASMFAVGCSMGPFLHFWYLWLDRLLPASGLRSLPSVMKKVLVDQTVASPILGVWYFLG LGSLEGQTLEESCQELRAKFWDFYKADWCVWPAAQLVNFLFIPSHFRVTYINGLTLGWDT YLSYLKYWVPEPLQTPGCAD >ENSMUSP00000059138.7 pep:known chromosome:GRCm38:13:55399648:55414695:1 gene:ENSMUSG00000021490.10 transcript:ENSMUST00000057167.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc34a1 description:solute carrier family 34 (sodium phosphate), member 1 [Source:MGI Symbol;Acc:MGI:1345284] MMSYSERLGGPAVSPLPVRGRHMVHGATFAYVPSPQVLHRIPGTSTYAISSLSPVTLTEH SCPCGEVLECHDPLPTKLAQEEEQKPEPRLSQKLAQVGTKLLKVPLMLAFLYLFVCSLDV LSSAFQLAGGKVAGDIFKDNAILSNPVAGLVVGILVTVLVQSSSTSTSIIVSMVSSGLLE VSSAIPIIMGSNIGTSVTNTIVALMQAGDRTDFRRAFAGATVHDCFNWLSVLVLLPLEAA TGYLHHVTGLVVASFNIRGGRDAPDLLKVITEPFTRLIIQLDKSVITSIAVGDESLRNHS LIRIWCHPDTTEASTSMSRVEAIGSLANTTMEKCNHIFVDTGLPDLAVGLILLAGSLVVL CTCLILLVKMLNSLLKGQVANVIQKVINTDFPAPFTWVTGYFAMVVGASMTFVVQSSSVF TSAITPLIGLGVISIERAYPLTLGSNIGTTTTAILAALASPREKLSSSFQIALCHFFFNI SGILLWYPLPCTRLPIRMAKALGKRTAKYRWFAVLYLLVCFLLLPSLVFGISMAGWQAMV GVGTPFGALLAFVVLVNVLQSRSPGHLPKWLQTWDFLPRWMHSLQPLDGLITRATLCYAR PEPRSPQLPPRVFLEELPPATPSPRLALPAHHNATRL >ENSMUSP00000096883.4 pep:known chromosome:GRCm38:13:98723407:98815449:-1 gene:ENSMUSG00000041685.15 transcript:ENSMUST00000099277.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcho2 description:FCH domain only 2 [Source:MGI Symbol;Acc:MGI:3505790] MVMAHFVENFWGEKNNGFDVLYHNMKHGQISTKELADFVRERATIEEAYSRSMTKLAKSA SNYSQLGTFAPMWDVFKTSTEKLANCHLDLVRKLQELIKEVQKYGEEQVKSHKKTKEEVA GTLEAVQAIQNITQALQKSKENYTAKCVEQERLKKEGATQREIEKAAVKSKKATDTYKLY VEKYALTKADFEQKMTETAQKFQDIEETHLIHIKEIIGSLSNAVKEIHLQIGQVHEEFIN NMANTTIESLIQKFAESKGTGKERPGLIEFEECDPASAVEGIKPRKRKTFALPGIIKKEK DAESVECPDADSLNIPDVDEEGFSIKPEANQNDILFKNHFYSSSDSDSEDEEPKRYRIEI KPAHPNNLHHTMASLDELKVSIGNITLSPAISYHLCVQMVRRRPNEELTKSKPSSLPTEK GTNDLLAWDPLFGSSLESSSAPLTSSSSARPTTPLSLGTLVPPPRPASRPKLASGKLSGI NEIPRPFSPPVTSNTSPPPTAPLARAESSSSISSSASLSAANTPTVGVSRGPSPVSLGNQ DTLPVAIALTESVNAYFKGADPTKCIVKITGDVTISFPSGIIKVFTSNPSPAVLCFRVKN ISRLEQILPNSQLVFSDPSQCDSNTKDFWMNMQAVTIYLKKLSEQNPAASYYNVDVLKYQ VSSNGIQSTPLNLATYWKCSASTTDLRVDYKYNPEAMVAPSVLSNIQVVVPVDGGVTNMQ SLPPAIWNAEQMKAFWKLSGISEKSDSGGSGSLRAKFDLSEGPSKPTTLAVQFLSEGNTL SGVDIELVGTGYRLSLVKKRFAT >ENSMUSP00000042959.8 pep:known chromosome:GRCm38:13:98723407:98815449:-1 gene:ENSMUSG00000041685.15 transcript:ENSMUST00000040340.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcho2 description:FCH domain only 2 [Source:MGI Symbol;Acc:MGI:3505790] MVMAHFVENFWGEKNNGFDVLYHNMKHGQISTKELADFVRERATIEEAYSRSMTKLAKSA SNYSQLGTFAPMWDVFKTSTEKLANCHLDLVRKLQELIKEVQKYGEEQVKSHKKTKEEVA GTLEAVQAIQNITQALQKSKENYTAKCVEQERLKKEGATQREIEKAAVKSKKATDTYKLY VEKYALTKADFEQKMTETAQKFQDIEETHLIHIKEIIGSLSNAVKEIHLQIGQVHEEFIN NMANTTIESLIQKFAESKGTGKERPGLIEFEECDPASAVEGIKPRKRKTFALPGIIKKEK DAESVECPDADSLNIPDVDEEGFSIKPEANQNDTKENHFYSSSDSDSEDEEPKRYRIEIK PAHPNNLHHTMASLDELKVSIGNITLSPAVSRHSPVQMNRNSSNEELTKSKPSSLPTEKG TNDLLAWDPLFGSSLESSSAPLTSSSSARPTTPLSLGTLVPPPRPASRPKLASGKLSGIN EIPRPFSPPVTSNTSPPPTAPLARAESSSSISSSASLSAANTPTVGVSRGPSPVSLGNQD TLPVAIALTESVNAYFKGADPTKCIVKITGDVTISFPSGIIKVFTSNPSPAVLCFRVKNI SRLEQILPNSQLVFSDPSQCDSNTKDFWMNMQAVTIYLKKLSEQNPAASYYNVDVLKYQV SSNGIQSTPLNLATYWKCSASTTDLRVDYKYNPEAMVAPSVLSNIQVVVPVDGGVTNMQS LPPAIWNAEQMKAFWKLSGISEKSDSGGSGSLRAKFDLSEGPSKPTTLAVQFLSEGNTLS GVDIELVGTGYRLSLVKKRFATGRYLADC >ENSMUSP00000137422.1 pep:known chromosome:GRCm38:13:98751872:98815449:-1 gene:ENSMUSG00000041685.15 transcript:ENSMUST00000179563.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcho2 description:FCH domain only 2 [Source:MGI Symbol;Acc:MGI:3505790] MVMAHFVENFWGEKNNGFDVLYHNMKHGQISTKELADFVRERATIEEAYSRSMTKLAKSA SNYSQLGTFAPMWDVFKTSTEKLANCHLDLVRKLQELIKEVQKYGEEQVKSHKKTKEEVA GTLEAVQAIQNITQALQKSKENYTAKCVEQERLKKEGATQREIEKAAVKSKKATDTYKLY VEKYALTKADFEQKMTETAQKFQDIEETHLIHIKEIIGSLSNAVKEIHLQIGQVHEEFIN NMANTTIESLIQKFAESKGTGKERPGLIEFEECDPASAVEGIKPRKRKTFALPGIIKKEK DAESVECPDADSLNIPDVDEEGFSIKPEANQNDTKENHFYSSSDSDSEDEEPKRYRIEIK PAHPNNLHHTMASLDELKVSIGNITLSPAVSRPHKVQMNRNSSSKFDIGIGYFM >ENSMUSP00000105030.1 pep:known chromosome:GRCm38:13:98753840:98815449:-1 gene:ENSMUSG00000041685.15 transcript:ENSMUST00000109403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcho2 description:FCH domain only 2 [Source:MGI Symbol;Acc:MGI:3505790] MVMAHFVENFWGEKNNGFDVLYHNMKHGQISTKELADFVRERATIEEAYSRSMTKLAKSA SNYSQLGTFAPMWDVFKTSTEKLANCHLDLVRKLQELIKEVQKYGEEQVKSHKKTKEEVA GTLEAVQAIQNITQALQKSKENYTAKCVEQERLKKEGATQREIEKAAVKSKKATDTYKLY VEKYALTKADFEQKMTETAQKFQDIEETHLIHIKEIIGSLSNAVKEIHLQIGQVHEEFIN NMANTTIESLIQKFAESKGTGKERPGLIEFEECDPASAVEGIKPRKRKTFALPGIIKKEK DAESVECPDADSLNIPDVDEEGFSIKPEANQNDTKENHFYSSSDSDSEDEEPKRYRIEIK PAHPNNLHHTMASLDELKVSIGNITLSPAVSGFL >ENSMUSP00000089590.5 pep:known chromosome:GRCm38:18:32377217:32435736:1 gene:ENSMUSG00000024381.15 transcript:ENSMUST00000091967.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bin1 description:bridging integrator 1 [Source:MGI Symbol;Acc:MGI:108092] MAEMGSKGVTAGKIASNVQKKLTRAQEKVLQKLGKADETKDEQFEQCVQNFNKQLTEGTR LQKDLRTYLASVKAMHEASKKLSECLQEVYEPEWPGRDEANKIAENNDLLWMDYHQKLVD QALLTMDTYLGQFPDIKSRIAKRGRKLVDYDSARHHYESLQTAKKKDEAKIAKAEEELIK AQKVFEEMNVDLQEELPSLWNSRVGFYVNTFQSIAGLEENFHKEMSKLNQNLNDVLVSLE KQHGSNTFTVKAQPSDNAPEKGNKSPSPPPDGSPAATPEIRVNHEPEPASGASPGATIPK SPSQLRKGPPVPPPPKHTPSKEMKQEQILSLFDDAFVPEISVTTPSQPAEASEVVGGAQE PGETAASEATSSSLPAVVVETFSATVNGAVEGSAGTGRLDLPPGFMFKVQAQHDYTATDT DELQLKAGDVVLVIPFQNPEEQDEGWLMGVKESDWNQHKELEKCRGVFPENFTERVQ >ENSMUSP00000025239.7 pep:known chromosome:GRCm38:18:32377217:32435736:1 gene:ENSMUSG00000024381.15 transcript:ENSMUST00000025239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bin1 description:bridging integrator 1 [Source:MGI Symbol;Acc:MGI:108092] MAEMGSKGVTAGKIASNVQKKLTRAQEKVLQKLGKADETKDEQFEQCVQNFNKQLTEGTR LQKDLRTYLASVKAMHEASKKLSECLQEVYEPEWPGRDEANKIAENNDLLWMDYHQKLVD QALLTMDTYLGQFPDIKSRIAKRGRKLVDYDSARHHYESLQTAKKKDEAKIAKPVSLLEK AAPQWCQGKLQAHLVAQTNLLRNQAEEELIKAQKVFEEMNVDLQEELPSLWNSRVGFYVN TFQSIAGLEENFHKEMSKLNQNLNDVLVSLEKQHGSNTFTVKAQPSDNAPEKGNKSPSPP PDGSPAATPEIRVNHEPEPASGASPGATIPKSPSQLRKGPPVPPPPKHTPSKEMKQEQIL SLFDDAFVPEISVTTPSQFEAPGPFSEQASLLDLDFEPLPPVASPVKAPTPSGQSIPWDL WEPTESQAGILPSGEPSSAEGSFAVAWPSQTAEPGPAQPAEASEVVGGAQEPGETAASEA TSSSLPAVVVETFSATVNGAVEGSAGTGRLDLPPGFMFKVQAQHDYTATDTDELQLKAGD VVLVIPFQNPEEQDEGWLMGVKESDWNQHKELEKCRGVFPENFTERVQ >ENSMUSP00000075170.6 pep:known chromosome:GRCm38:9:49340662:49407214:1 gene:ENSMUSG00000032259.7 transcript:ENSMUST00000075764.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drd2 description:dopamine receptor D2 [Source:MGI Symbol;Acc:MGI:94924] MDPLNLSWYDDDLERQNWSRPFNGSEGKPDRPHYNYYAMLLTLLIFIIVFGNVLVCMAVS REKALQTTTNYLIVSLAVADLLVATLVMPWVVYLEVVGEWKFSRIHCDIFVTLDVMMCTA SILNLCAISIDRYTAVAMPMLYNTRYSSKRRVTVMIAIVWVLSFTISCPLLFGLNNTDQN ECIIANPAFVVYSSIVSFYVPFIVTLLVYIKIYIVLRKRRKRVNTKRSSRAFRANLKTPL KGNCTHPEDMKLCTVIMKSNGSFPVNRRRMDAARRAQELEMEMLSSTSPPERTRYSPIPP SHHQLTLPDPSHHGLHSNPDSPAKPEKNGHAKIVNPRIAKFFEIQTMPNGKTRTSLKTMS RRKLSQQKEKKATQMLAIVLGVFIICWLPFFITHILNIHCDCNIPPVLYSAFTWLGYVNS AVNPIIYTTFNIEFRKAFMKILHC >ENSMUSP00000137894.1 pep:known chromosome:GRCm38:11:69120054:69122589:-1 gene:ENSMUSG00000097386.1 transcript:ENSMUST00000180487.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130213A22Rik description:RIKEN cDNA 9130213A22 gene [Source:MGI Symbol;Acc:MGI:3642718] MRSRRRFSTNGFSICDQRERKSGPTGPRLSAAAQASDPAAGKTPHAPLSPNPRLLGSGPF VSLSLPATSQSLGSLSVARPLLPALSVFSFLLSSPLYGTLLFSLYLYLVSSPSQCITEEL >ENSMUSP00000128703.1 pep:known chromosome:GRCm38:8:70778117:70792433:-1 gene:ENSMUSG00000031833.9 transcript:ENSMUST00000166004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast3 description:microtubule associated serine/threonine kinase 3 [Source:MGI Symbol;Acc:MGI:2683541] MSDPSYWTAVAAPGHRSRLAKGALLQRSKSCRSGNRKSLVVGTPSPTLSRPLSPLSVPTA GNSPLDSPRNFSAAAAISFPFARRADGRRWSLASLPSSGYGTNTPSSTVSSSSSSRERLH QLPFQPTADELRFLSKHFRSSESVVDEDGGRSPRLRPRSRSLSPGRTSGTFDNEIVMMNH VYRERFPKATAQMEGRLQDFLAAFAPGDRLALADGVLGFIHHQIVELARDCLAKSGEALV TSRYFLEMQDKLERLLQDAHERSDSAEVGFIVQLVRKLLIIISRPARLLECLEFDPEEFY HLLEAAEGQAREDQGVKTDLPRYIIRQLGLAKDPLEEIQPLNDLDESQPPAPGSPESRGL GGPSRRKPCESDFETIKLISNGAYGAVYLVRHRDTRQRFAIKKINKQNLILRNQIQQVFV ERDILTFAENPFVVGMFCSFETRRHLCMVMEYVEGGDCATLLKNMGPLPVDMARMYFAET VLALEYLHNYGIVHRDLKPDNLLITSLGHIKLTDFGLSKIGLMSMATNLYEGHIEKDARE FVDKQVCGTPEYIAPEVIFRQGYGKPVDWWAMGVILYEFLVGCVPFFGDTPEELFGQVVS DEIMWPEGDEALPLDAQDLITRLLRQSPMDRLGTGGTHEVKQHPFFLALDWAGLLRHKAE FVPQLEAEDDTSYFDTRSERYRHLGSEDDETNDEESSTEIPQFSSCSHRFSKVYSSSEFL AVQPTPTFAERSFSEDREDGWGQSLGDRGRRLSADLRLRSWTPASSCQPSSCQTDRGPSP SLLSTISLDVMPKFAFSSEDEGASSGPADPQKPVFILGEPDPPPPTTPVTPKPCNLSADT AVLSHARLRSNSTGARHSTPRPLDAGRGRRLGGSRDPGPEKPRASPGGSGGRVPKSASVS ALSLIITADDGSGGPLMSPLSPRSLSSNPSSRDSSPSRDPSPVCGSLRPPIVIHSSGKKY GFSLRAIRVYMGDSDVYTVHHVVWSVEEGSPAQEAGLRAGDLITHINGESVLGLVHMDVV ELLLKSGNKISLRTTALENTSIKVGPARKNVAKGRMARRSKRSRRRETQDRRKSLFKRIS KPSSVLHTSRSFSSGLQHSLSSSESLPGSPTHSLSPSPTTPCRSPAPDAPTDTASPPNVS PSSSSPASPATGHTRPSSLHGLAAKLGPPRHKSGRRKSTSSIPPSPLACPPVPTPPPRSP SPLPGHIPIPARSPRLRRGQSADKLGLGTSERLDGDGGRRARGAEAELVVMRRLHLSERR DSFKKQEAVQEVSFDEEPGPPRGVPKIAVQGAEATPGTPGHARKD >ENSMUSP00000045036.8 pep:known chromosome:GRCm38:19:37899036:38043577:-1 gene:ENSMUSG00000048612.15 transcript:ENSMUST00000041475.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myof description:myoferlin [Source:MGI Symbol;Acc:MGI:1919192] MLRVIVESATNIPKTKFGKPDPIVSVIFKDEKKKTKKVDNELNPVWNEILEFDLRGIPLD SSSSLVIVVKDFETIGQNKLIGTATVSLKDLIGDQNRSLPYKQTSLLNEKGQDTGATIDL VIGYTPPSAPHPNDPSGTSVPGMGEEEEEDQGDEDRVDGIVRGPGPKGPSGTVSEAQLAR RITKGKSSRRMLSNKPQDFQIRVRVIEGRQLCGNNIRPVVKVHICGQTHRTRIKRGNNPF FDELFFYNVHITPSELMDEIISIRVYNSHSLRADCLMGEFKIDVGFVYDEPGHAVMRKWL LLNDPEDTSSGAKGYMKVSMFVLGTGDEPPPEKRDRDNDSDDVESNLLLPAGIALRWVTF MLKIYRAEDIPQMDDAFSQTVKEIFGGNADKKNLVDPFVEVSFAGKKVCTNIIERNANPE WNQVVNLQIKFPSMCEKIKLTVYDWDRLTKNDVVGTTYLYLSKIAASGGEVEATTGETEV GFVPTFGPCYLNLYGSPREYTGFPDPYDELNSGKGEGVAYRGRIFVELNTFLEKKPPEKK LEPISSDDLLVVEKYQRRRKYSLSAVFHSATMLQDVGEAIQFEVSIGNYGNKFDATCKPL ASTTQYSRAVFDGNYYYYLPWAHTKPVVTLTSYWEDISHRLDAVNTLLVMAERLQSNIEA VKSGIQGKIPANQLAEVWLKLIDEVIEDTRYTLPVTEGKANVTVLDTQIRKLRSRFLSQI HEAALRMRSEATDVKSTLLEIEEWLDKLMQLTEEPQNSMPDIIIWMIRGEKRLAYARIPA HQVLYSTSGGNASGKYCGKTQTILLKYPQEKTNGPKVPVELRVNIWLGLSAVEKKFNSFA EGTFTVFAEMYENQALVFGKWGTSGLVGRHKFSDVTGKIKLKREFFLPPKGWEWEGDWVV DPERSLLTEADAGHTEFTDEVYQNENRYPGGEWKQAEDTYTDANGDKAASPSEMTCPPGW EWEDDAWIYDINRAVDEKGWEYGITIPPDNKPKSWVAAEKMYHTHRRRRLVRKRKKDLTQ TASSTARAMEELEDREGWEYASLIGWKFHWKQRSSDTFRRRRWRRKMAPSETHGAAAIFK LEGALGADTTEDGEEKGPEKQKHSATTVFGANTPIVSCNFDRVYIYHLRCYIYQARNLMA LDKDSFSDPYAHVSFLHRSKTTEIIHSTLNPTWDQTIIFDEVEIFGEPQTVLQNPPNVTI ELFDNDQVGKDEFLGRSICSPLVKLNSETDITPKLLWHPVMNGDKACGDVLVTAELILRN KDGSNLPILPSQRAPNLYMVPQGIRPVVQLTAIEILAWGLRNMKNYQMASVTSPSLVVEC GGERVESVVIKSLKKTPNFPSSVLFMKVFLPKEELYMPPLVIKVIDHRQFGRKPVVGQCT IDHLDRFRCDPYAGKEDIVPQLKASLMSAPPCREVVIEIEDTKPLLASKLSEKEEEIVDW WSKFYASSGEHEKCGQYIQKGYSKLKIYDCELEDVADFEGLTDFSDTFKLYRGKSDENED PSVVGEFKGSFRIYPLPDDPSVPAPPRQFRELPDSVPQECTVRIYIVQGLQLQPQDNNGL CDPYIKITLGKKVIEDRDHYIPNTLNPVFGRMYELSCYLPQEKDLKISVYDYDTFTRDEK VGETTIDLENRFLSRFGSHCGIPEQYCVSGVNTWRDQLRPTQLLQNVARFKGFPPPVLSE DGSRIRYGGRDYHLDEFEANKILHQHLGAPEERLALHILRTQGLVPEHVETRTLHSTFQP NISQGKLQMWVDVFPKSLGPPGPPFNITPRKAKKYYLRVIIWNTKDVILDEKSITGEDMS DIYVKGWISGSEENKQKTDVHYRSLDGEGNFNWRFVFPFDYLPAEQLCIVAKKEHFWSID QTEFRVPPRLIIQIWDNDKFSLDDYLGFLELDLHRTIIPAKTSEKCSLDMIPDLKAMDPL KAKTASLFEQRSMKGWWPCYADKDGTRVMAGKVEMTLEVLNEREADERPAGKGRSEPNMN PKLDPPNRPETSFLWFTNPCKTMRFIVWRRFKWVIIGLLLLLILLLFVAVLLYSLPNYLS MKIVRPNA >ENSMUSP00000129792.2 pep:known chromosome:GRCm38:19:37899036:38043577:-1 gene:ENSMUSG00000048612.15 transcript:ENSMUST00000172095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myof description:myoferlin [Source:MGI Symbol;Acc:MGI:1919192] MLRVIVESATNIPKTKFGKPDPIVSVIFKDEKKKTKKVDNELNPVWNEILEFDLRGIPLD SSSSLVIVVKDFETIGQNKLIGTATVSLKDLIGDQNRSLPYKQTSLLNEKGQDTGATIDL VIGYTPPSAPHPNDPSGTSVPGMGEEEEEDQGDEDRVDGIVRGPGPKGPSGTVSEAQLAR RITKGKSSRRMLSNKPQDFQIRVRVIEGRQLCGNNIRPVVKVHICGQTHRTRIKRGNNPF FDELFFYNVHITPSELMDEIISIRVYNSHSLRADCLMGEFKIDVGFVYDEPGHAVMRKWL LLNDPEDTSSGAKGYMKVSMFVLGTGDEPPPEKRDRDNDSDDVESNLLLPAGIALRWVTF MLKIYRAEDIPQMDDAFSQTVKEIFGGNADKKNLVDPFVEVSFAGKKVCTNIIERNANPE WNQVVNLQIKFPSMCEKIKLTVYDWDRLTKNDVVGTTYLYLSKIAASGGEVEATTGETEV GFVPTFGPCYLNLYGSPREYTGFPDPYDELNSGKGEGVAYRGRIFVELNTFLEKKPPEKK LEPISSDDLLVVEKYQRRRKYSLSAVFHSATMLQDVGEAIQFEVSIGNYGNKFDATCKPL ASTTQYSRAVFDGNYYYYLPWAHTKPVVTLTSYWEDISHRLDAVNTLLVMAERLQSNIEA VKSGIQGKIPANQLAEVWLKLIDEVIEDTRYTLPVTEGKANVTVLDTQIRKLRSRFLSQI HEAALRMRSEATDVKSTLLEIEEWLDKLMQLTEEPQNSMPDIIIWMIRGEKRLAYARIPA HQVLYSTSGGNASGKYCGKTQTILLKYPQEKTNGPKVPVELRVNIWLGLSAVEKKFNSFA EGTFTVFAEMYENQALVFGKWGTSGLVGRHKFSDVTGKIKLKREFFLPPKGWEWEGDWVV DPERSLLTEADAGHTEFTDEVYQNENRYPGGEWKQAEDTYTDANGDKAASPSEMTCPPGW EWEDDAWIYDINRAVDEKGWEYGITIPPDNKPKSWVAAEKMYHTHRRRRLVRKRKKDLTQ TASSTARAMEELEDREGWEYASLIGWKFHWKQRSSDTFRRRRWRRKMAPSETHGAAAIFK LEGALGADTTEDGEEKGPEKQKHSATTVFGANTPIVSCNFDRVYIYHLRCYIYQARNLMA LDKDSFSDPYAHVSFLHRSKTTEIIHSTLNPTWDQTIIFDEVEIFGEPQTVLQNPPNVTI ELFDNDQVGKDEFLGRSICSPLVKLNSETDITPKLLWHPVMNGDKACGDVLVTAELILRN KDGSNLPILPSQRAPNLYMVPQGIRPVVQLTAIEILAWGLRNMKNYQMASVTSPSLVVEC GGERVESVVIKSLKKTPNFPSSVLFMKVFLPKEELYMPPLVIKVIDHRQFGRKPVVGQCT IDHLDRFRCDPYAGKEDIVPQLKASLMSAPPCREVVIEIEDTKPLLASKMSKQLEEIVDW WSKFYASSGEHEKCGQYIQKGYSKLKIYDCELEDVADFEGLTDFSDTFKLYRGKSDENED PSVVGEFKGSFRIYPLPDDPSVPAPPRQFRELPDSVPQECTVRIYIVQGLQLQPQDNNGL CDPYIKITLGKKVIEDRDHYIPNTLNPVFGRMYELSCYLPQEKDLKISVYDYDTFTRDEK VGETTIDLENRFLSRFGSHCGIPEQYCVSGVNTWRDQLRPTQLLQNVARFKGFPPPVLSE DGSRIRYGGRDYHLDEFEANKILHQHLGAPEERLALHILRTQGLVPEHVETRTLHSTFQP NISQGKLQMWVDVFPKSLGPPGPPFNITPRKAKKYYLRVIIWNTKDVILDEKSITGEDMS DIYVKGWISGSEENKQKTDVHYRSLDGEGNFNWRFVFPFDYLPAEQLCIVAKKEHFWSID QTEFRVPPRLIIQIWDNDKFSLDDYLGFLELDLHRTIIPAKTSEKCSLDMIPDLKAMDPL KAKTASLFEQRSMKGWWPCYADKDGTRVMAGKVEMTLEVLNEREADERPAGKGRSEPNMN PKLDPPNRPETSFLWFTNPCKTMRFIVWRRFKWVIIGLLLLLILLLFVAVLLYSLPNYLS MKIVRPNA >ENSMUSP00000095322.2 pep:known chromosome:GRCm38:19:45005014:45006442:-1 gene:ENSMUSG00000025208.8 transcript:ENSMUST00000097715.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl43 description:mitochondrial ribosomal protein L43 [Source:MGI Symbol;Acc:MGI:2137229] MTGRGTSSRFLTSVLHNGLGRYVQQLQRLSLSLSRDAPSSRGAREFVEREVTDFARRNPG VVVYVNPRPCAMPRIVAEYLNGAVREENVNSKSVEEIKSLVQKLADQSGLDVIRIRKPFH TDNPSIQGQWHPFTNKRTALHGLRPRELRDSAPASMQAQ >ENSMUSP00000022218.4 pep:known chromosome:GRCm38:13:92354783:92389053:1 gene:ENSMUSG00000021707.4 transcript:ENSMUST00000022218.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhfr description:dihydrofolate reductase [Source:MGI Symbol;Acc:MGI:94890] MVRPLNCIVAVSQNMGIGKNGDLPWPPLRNEFKYFQRMTTTSSVEGKQNLVIMGRKTWFS IPEKNRPLKDRINIVLSRELKEPPRGAHFLAKSLDDALRLIEQPELASKVDMVWIVGGSS VYQEAMNQPGHLRLFVTRIMQEFESDTFFPEIDLGKYKLLPEYPGVLSEVQEEKGIKYKF EVYEKKD >ENSMUSP00000034843.7 pep:known chromosome:GRCm38:9:54863755:54912534:1 gene:ENSMUSG00000032293.7 transcript:ENSMUST00000034843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ireb2 description:iron responsive element binding protein 2 [Source:MGI Symbol;Acc:MGI:1928268] MDSPSAGYTFEYLIETLNGNSQKKFFNVPKLGGTKYDILPYSIRVLLEAAVRNCDGFLMK KEDVMNILDWKTKQSNVEVPFFPARVVLQDFTGIPAMVDFAAMREAVKTLGGDPKKVHPA CPTDLTVDHSLQIDFSKCAIQNAPNPGGGDLQKAGKLSPLKVQSKKLPCRGQTTCRGSCD SGELSRNSGTFSSQIENTPVLCPFHLQPVPEPETVLKNQEVEFGRNRERLQFFKWSSGAF KNVAVIPPGTGMAHQVNLEYLSRVVFEETDLLFPDSVVGTDSHITMVNGLGILGWGVGGI ETEAVMLGLPVTLTLPEVVGCELTGSSNAFVTSIDIVLGITKHLRQVGVAGKFVEFFGSG VSQLSIVDRTTIANMCPEYGAILSFFPVDNVTLRHLEHTGFDKTKLESMEKYLKAVKLFR NDENSSEPEYSQVIQINLNSIVASVSGPKRPQDRVAVTDMKSDFQACLNEKVGFKGFQVA AEKQSDTVSVRYDGSEYKLSHGSVVIAAVISCTNNCNPSVMLAAGLLAKKAVEIGLRVKP YIRTSLSPGSGMVTHYLSSSGVLPYLSKLGFDIVGYGCSTCVGNTAPLSEAVLNAVKQGD LVTCGVLSGNKHFEGRLCDCVRANYLASPPLVVAYAIAGTVNIDFQTEPLGTDSTGKEIY LHDIWPSREEVHQMEEEHVILSMFKTLKEKVEMGNKRWNSLEAPDSVLFPWDVKSTYIRC PSFFDKLTKEPAASQPIENAHVLLYLGDSVTTDHISPAGSIARSSAAAKYLTNRGLTPRE FNSYGARRGNDAVMTRGTFANIKLFNKFIGKPAPKTIHFPSGQTLDVFEAAELYQKEGIP LIILAGKKYGSGNSRDWAAKGPYLLGVKAVLAESYEKIHKDHLIGIGIAPLEFLPGENAD SLGLSGREVFSLSFPEELFPGITLNIKTSTGKEFSVIASFANDVEITLYKHGGLLNFVAR KFL >ENSMUSP00000007865.5 pep:known chromosome:GRCm38:8:70868227:70873490:-1 gene:ENSMUSG00000007721.5 transcript:ENSMUST00000007865.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc124 description:coiled-coil domain containing 124 [Source:MGI Symbol;Acc:MGI:1916403] MPKKFQGENSKSAAARARRAEAKAAADAKKQKELEDAYWKDEDKHVMRKEQRKEEKEKRR LEQLERKKETQRLLEEEDSRLKGGKAPRVAPAKVTRAQIEDSLRREQRAEPVEKAKSHLE LPLEENLNRRLQEEGSVEARTVEDAIAVLSVAEEADRHPERRMRAAFTAFEEVQLPRLKQ ENPNMRLSQLKQLLKKEWLRSPDNPMNQRALPFNAPK >ENSMUSP00000044774.7 pep:known chromosome:GRCm38:13:95325237:95337841:1 gene:ENSMUSG00000041995.7 transcript:ENSMUST00000045909.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbed3 description:zinc finger, BED type containing 3 [Source:MGI Symbol;Acc:MGI:1919364] MKSKKPLKITMEDSRRLNDPAEQGGLCPAPVGPSYSEAWGYFHLDPAQPRHRMMSAWATC RLCGLQVGGLPNFQMWTRALCQHLSDVHLPELKKSAAPSSPTTMPCPPPPSPTMAAEGDW ARLLEQMGELAMRGSQRELELERREAALMQAELELERKRQALKQEAQSVEQERHQLQVER EALSKWIKKQSPGAQVPEPPSPLPLLPKEDPDIHDNNSDNDMVTKVLL >ENSMUSP00000022518.5 pep:known chromosome:GRCm38:14:57549598:57571569:-1 gene:ENSMUSG00000021951.5 transcript:ENSMUST00000022518.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N6amt2 description:N-6 adenine-specific DNA methyltransferase 2 (putative) [Source:MGI Symbol;Acc:MGI:1915293] MRQWSQTLCEMSESEDDDIPQLSSHTLAALQEFYAEQKQSVNPRGDDKYNVGVIEENWQL SQFWYSQDTALRLAREAIDAAGEGGRIACVSAPSVYQKLRELCREDSSVYIFEYDRRFAI YGDEFIFYDYNHPLELPERIAAHSFDLVVADPPYLSEECLRKTSETIQFLTRGKILLCTG AIMEEQAAQLLGVKMCKFIPEHSRNLANEFRCYTNYDSGLDCEA >ENSMUSP00000067022.6 pep:known chromosome:GRCm38:9:30899155:30922452:-1 gene:ENSMUSG00000033453.7 transcript:ENSMUST00000065112.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts15 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 15 [Source:MGI Symbol;Acc:MGI:2449569] MLLLGISILALAWRPAGSSEPEWEVVVPIRRDPDINGRHYYRRGTEDSGDQGLIFQITAF QQDFYLHLTPDAQFLAPAFATEYLGVPLQRLTGSSLDLRRCFYSGYVNAEPDSFAAVSLC GGLRGAFGYRGAEYVISPLPNTSAPEAQRHSQGAHLLQRRGAPVGPSGDPTSRCGVASGW NPAILRALDPYKPRRTGAGESHNRRRSGRAKRFVSIPRYVETLVVADESMVKFHGADLEH YLLTLLATAARLYRHPSILNPINIVVVKVLLLGDRDTGPKVTGNAALTLRNFCAWQKKLN KVSDKHPEYWDTAILFTRQDLCGATTCDTLGMADVGTMCDPKRSCSVIEDDGLPSAFTTA HELGHVFNMPHDNVKVCEEVFGKLRANHMMSPTLIQIDRANPWSACSAAIITDFLDSGHG DCLLDQPSKPITLPEDLPGTSYSLSQQCELAFGVGSKPCPYMQYCTKLWCTGKAKGQMVC QTRHFPWADGTSCGEGKFCLKGACVERHNPNKYRVDGSWAKWEPYGSCSRTCGGGVQLAR RQCSNPTPANGGKYCEGVRVKYRSCNLEPCPSSASGKSFREEQCEAFNGYNHSTNRLTLA VAWVPKYSGVSPRDKCKLICRANGTGYFYVLAPKVVDGTLCTPDSTSVCVQGKCIKAGCD GNLGSKKKFDKCGVCGGDNKSCKRVTGLFTKPMHGYNFVVAIPAGASSIDIRQRGYKGLI GDDNYLALKNSQGKYLLNGHFVVSAVERDLVVKGSVLRYSGTGTAVESLQASRPILEPLT VEVLSVGKMTPPRVRYSFYLPKEPREDKSTRPKDPRGSPVLRNSVLSLSNQVEQPDNRPP ARWVAGSWGPCSVSCGSGLQKRAVDCRDSPGQQGASACDVDHRPLEKRACGEPCPTWELG NWSPCSKSCGRGFKRRPLKCVGHGGRLLARDQCDLRRKPQELDFCVLRPC >ENSMUSP00000091357.3 pep:known chromosome:GRCm38:9:57236556:57249863:1 gene:ENSMUSG00000070298.5 transcript:ENSMUST00000093837.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trcg1 description:taste receptor cell gene 1 [Source:MGI Symbol;Acc:MGI:3576664] MDKQWFPAAGILLAALLVVSASTLTLLSTNEDPEQFPSAPGTSAQQSSRILLGILTDVTG GINSVEREPEALGRRAGGLSTEGAGGQESPSMPGPSGRVIPEPIPSALTTSASDMASQPV SSGADPIEEIMALGTLETITMSSPQPSPRHESEQKFDKVFRLCGTVPASETLEKNVASKP AWHLIKAPPPPIFSRSPHLLWCTPNSTVYIPVPAWRDGHSRPEASSSVPLAPSTSLGLPI FPWMPNILKATESLLPASPGRSGLDLTSQVGSRASENTVALDTGPVSRGTSVLLLPSATS PSQASSLHSPRPSSASPLSASPSPAALSFFPSPASILVPVSSVTTGASDSPKAPVSVIAP STTDSFIKTSNLGPQIALQPSHPGLWLPTSPIHMPTLSLQHFSSPPSTAHSSGFTESSVH ADPTLASTLPHPGQDMSLQDLSFSTGGRSHTTHSVTFRINSNRFTKAVWNLVPLERWLLN RLICYQLRFIYQEAFPNFRNVSALLFRPGCPEVKASLIFGPPDPSSIEILWTLYRKVKSS RWSLGYLSLADHGLSSDGYSMTDLTQEIINISFTLMRPFLPQLLLPSSQSCILLEKQTIQ LVTHEVSRFYKAELQSQPLLLFSNVKEWVSVYMEYKFKSPIPIRLQGLASHLAHHITDPT LQKSSIMANGEKADLVFYEMWLLILGHPFTKTLENKTSSECQELRGLLTRQLTSVLQPLK NFGQVVVEEFHQEPLTARVQTAFFGAVPAQAIIQDTVLQALGSLQETEGLQLEMLLPVLG TPSSRASRGPRGGAMLNLQRFTSLFVLVALCTAPPFINKQALYLS >ENSMUSP00000128819.1 pep:known chromosome:GRCm38:15:8109313:8159857:1 gene:ENSMUSG00000022142.7 transcript:ENSMUST00000163765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup155 description:nucleoporin 155 [Source:MGI Symbol;Acc:MGI:2181182] MPSVLGSMMVASTSAAASLQEALENAGRLIDRQLQEDRMYPDLSELLMVSAPNSPTVSGM SDMDYPLQGPGLLSVPSLPEISTIRRVPLPPELVEQFGHMQCNCMMGVFPPISRAWLTID SDIFMWNYEDGGDLAYFDGLSETILAVGLVKPKAGIFQPHVRHLLVLATPVDIVILGLSY ANVQTGSGILNDSMCGGMQLLPDPLYSLPTDNTYLLTITSTDNGRIFLAGKDGCLYEVAY QAEAGWFSQRCRKINHSKSSLSFLVPSLLQFTFSEDDPIVQIEIDNSRNILYTRSEKGVI QVYDLGHDGQGMSRVASVSQNAIVSAAGNIARTIDRSVFKPIVQIAVIESSESLDCQLLA VTHAGVRLYFSTCPFRQPLARPNTLTLVHVRLPPGFSASSTVEKPSKVHKALYSKGILLM TASENEDNDILWCVNHDTFPFQKPMMETQMTTRVDGHSWALSAIDELKVDKIITPLNKDH IPITDSPVVVQQHMLPPKKFVLLSAQGSLMFHKLRPVDQLRHLLVSNVGGDGEEIERFFK LHQEDQACATCLILACSTAACDREVSAWATRAFFRYGGEAQMRFPATLPTPSNVGPILGS PMYSSSPVPSGSPYPNPSSLGTPSHGAQPPTMSTPMCAVGSPAMQAASMSGLTGPEIVYS GKHNGICIYFSRIMGNIWDASLVVERVFKSSNREITAIESSVPVQLLESVLQELKGLQEF LDRNSQFSGGPLGNPNTTARVQQRLVGFMRPENGNTQQMQQELQRKFQEAQLSEKISLQA IQQLVRKSYQALALWKLLCEHQFSVIVGELQKEFQEQLKITTFKDLVIRDKEVTGALIAS LINCYIRDNAAVDGISLHLQDTCPLLYSTDDAVCSKANELLQRSRQVQSKTERERMLRES LKEYQKISNQVDLPSVCAQYRQVRFYEGVVELSLTAAEKKDPQGLGLHFYKHGEPEEDVV GLQTFQERLNSYKCITDTLQELVNQSKAAPQSPSVPKKPGPPVLSSDPNMLSNEEAGHHF EQMLKLAQRSKDELFSIALYNWLIQADLADKLLQIASPFLEPHLVRMARVDQNRVRYMDL LWRYYEKNRSFSSAARVLSKLADMHSTEISLQQRLEYIARAILSAKSSTAISSIAADGEF LHELEEKMEVARIQLQIQETLQRQYSHHSSVQDAISQLDSELMDITKLYGEFADPFKLAE CKLAVIHCAGYSDPILVHTLWQDIIEKELNDSVALSSSDRMHALSLKLVLLGKIYAGTPR FFPLDFIVQFLEQQVCTLNWDVGFVIQTMNEIGVPLPRLLEVYDQLFKSRDPFWNRVKSP LHLLDCIHVLLTRYVENPSLVLNCERRRFTNLCLDAVCGYLVELQSMSSSVAVQAITGNF KSLQAKLERLH >ENSMUSP00000022543.8 pep:known chromosome:GRCm38:14:57916280:57999262:-1 gene:ENSMUSG00000021973.8 transcript:ENSMUST00000022543.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micu2 description:mitochondrial calcium uptake 2 [Source:MGI Symbol;Acc:MGI:1915764] MAAAAGRSAWLAAWGGRLRRGLAAGRRAVPTRGPLAAAVAGVALAGAGAAWHHGRVKAAA REGSRTVSAQKNYLGPIEKLSLRKQRFMQFSSLEHDGEYYMTPRDFLFSVMFEQVERKTL VKKLAKKDIEDVLSGIQTARCGSTFFRDLGDKGVISYTEYLFLLTILTKPHSGFHVAFKM LDVDGNEMIERKEFVKLQKIISKQDGFKTVKTNETEYQDPTVKEPGVNTTLQVRFFGKRG EKKLHYKEFRRFMENLQTEVQEMEFLQFSKGLNFMRKEDFAEWLLFFTNTENKDIYWRNV REKLSVGESISLDEFKSFCHFTTHLEDFAIAMQMFSLAHRPVRLAEFKRAVKVATGQELS DNLLDTVFKIFDLDGDECLSHGEFLGVLKNRMHRGLWVSQQQSVQEYWKCVKKESIKGVK EAWRQQAGKGPF >ENSMUSP00000137586.1 pep:known chromosome:GRCm38:X:123246440:123246907:-1 gene:ENSMUSG00000095034.1 transcript:ENSMUST00000179916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17577 description:predicted gene, 17577 [Source:MGI Symbol;Acc:MGI:4937211] PAASSKNGAGDASHKFKEDHTVPGPSSAGQHGQVISPHIQGSPHPSRSSQGDLGSSTSPQ DAQGLSLSTQQTQGLSLSTQDVFELTRYFQESMGHSTSAQASQRLPTCAERKIIHASSSR RSSKRLSPTLHNSNLSNSPPKHVGQDSSGQGDLQQA >ENSMUSP00000137186.1 pep:known chromosome:GRCm38:X:124500563:124501060:1 gene:ENSMUSG00000094044.1 transcript:ENSMUST00000178471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17584 description:predicted gene, 17584 [Source:MGI Symbol;Acc:MGI:4937218] PAASSKNGAGDASHKFKEDHTVPGPSSAGQHGQVISPHIQGSPHPSRSSQGDLGSSTSPQ DAQGLSLSTQHARGLSLSTQQTQGLSLSTQDVFELTRYFQESMGHSTSAQASQRLPTCAE RKIIHASSSRRSSKRLSPTLHNSNLSNSPLKHVGQDSSGQGDLQQA >ENSMUSP00000036784.5 pep:known chromosome:GRCm38:9:37275835:37348392:-1 gene:ENSMUSG00000034303.6 transcript:ENSMUST00000037275.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc15 description:coiled-coil domain containing 15 [Source:MGI Symbol;Acc:MGI:2444488] MLTSGQAFISQKSMPGGMAPLKKPRNPTKLPLALNPTKSKDVLAVLAERNQAIIPVGAWV EPASPNWSGIPAHTSAYVVEEEIKEQQRRKQESLRHFQRQVRHRVNQRVKLRKKQQLHKS YKAAEKEGSIAMQYSDLAHLSSKRTSVFPSNLNAAVGRFRLPTSQVLGDAIEDGENQLFQ QQAQALSQTMKQARHQLASFKTVSEKKTPVLPNGGRKGCPTQEGLGCGKISFVAVSEERD ALFVSCQQDFLSEDKEKAFSKVQKVKFKNPLSVVIKEDERKQLHPHSLQAVLPEAQDYFV EVQSGLPESQSDVIDVQNVEPKASRIEPKTLGVEPDTQATGVECQTTEPEGQAVKTGIQD VPKVQTVELDGNTELEAQDFLPSHQAFLSRDTDCLPNCQYQDSLPKGHCVLPNYWNVLPK YQNQHFLPVDQEFLPRNQLALPKEQNHPLQCQKQDLLPREQFPLLLRHQDQREPHVLPKC QEHDVLSKAQNYLHNYQEQDLQLQNQEVNSKEPLSDITDGKGREIFSLDMFSKKPSTFMR RERGDEELPLDSPQCAPPQIQDQVFLREQSQQPSVRTAERWQEDLYLSGHECLPPRQRRD TCSRQQQVYEEYRSGLSTEQQALLAFQSGVDQEEDKKERQKQYLRHRRLFMDIEREQVKE QNRQRERKRRIEKIKKKKEQQRYAEEQRLLRMNCHEEPYSEEKISDVLAQLQLEEIKGAR EKQQQREKEYIRYVEALRAQVQEKMKLYNITLPPLCCCGPDFWDAHPDTCANNCIFYKNY RAYNRALHSVINSSDISEGNATLRNAIRNFASAHRRTPKQSLH >ENSMUSP00000136067.1 pep:known chromosome:GRCm38:5:67927261:67946421:-1 gene:ENSMUSG00000095346.1 transcript:ENSMUST00000177616.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21905 description:predicted gene, 21905 [Source:MGI Symbol;Acc:MGI:5434069] QEEDLTSSLSSRSIMGEKGEDCWSQRTPR >ENSMUSP00000068508.7 pep:known chromosome:GRCm38:14:76532814:76556687:-1 gene:ENSMUSG00000052584.9 transcript:ENSMUST00000064517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serp2 description:stress-associated endoplasmic reticulum protein family member 2 [Source:MGI Symbol;Acc:MGI:1919911] MVAKQRIRMANEKHSKNITQRGNVAKTLRPQEEKYPVGPWLLALFVFVVCGSAIFQIIQS IRMGM >ENSMUSP00000129593.2 pep:known chromosome:GRCm38:8:21391811:21392698:1 gene:ENSMUSG00000094362.1 transcript:ENSMUST00000120874.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21002 description:predicted gene, 21002 [Source:MGI Symbol;Acc:MGI:5434357] MKTLVLLSALVLLAFQVQADPIQNTDEETNTEEQAGEEDQAVSVSFGDPEGSALHEKSSR DLICYCRKGGCNRGEQVYGTCSGRLLFCCRRRHRH >ENSMUSP00000126199.1 pep:known chromosome:GRCm38:13:112288451:112384002:1 gene:ENSMUSG00000049985.14 transcript:ENSMUST00000165593.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd55 description:ankyrin repeat domain 55 [Source:MGI Symbol;Acc:MGI:1924568] MVYQAASNGDVNSLTSVIREDPSILECCDSEGCTPLMHAVSGRQVDTVKLLLKMGANINT QDAYGRTSLCLATYLGWLEGCVSLLRNGAKHNIPDKNGRLPLHAATAEPDVRLLIVLLQQ SSLSEINHQDTEGMTPLHWAAFHNRPQHTQMLLKKGADPTLVDKDFKTALHWAVQSGNRI LCSIILSHRQGPSIINYDDESGKTCVHIAAASGFGDIINDLAKVPECNLQALDVDDRTPL HWAAASGKAECVQSLLDLGMDSNLRDINESTPLAYALYCGHTACVRLLSREGRAEPARPL PSQNSQPQKKEGRFSMLNQIFCKNKKEEQKAHQKDQSRARPKEEETSEVNDIIATFDSVV DTNCQGQPGDQVDMVVFKKRTSENSKYLLPEKKSLARKGLPPIRTQSLPPITLGGHFLTA SQGAVSHAGLNAGPQHTAQRSQKSRSEQDLLNNRTGCPVSLENPWKGDTRQVFSCKAWTV SSSDKLLDRLFAGQPGHQELSGPPHLPHLHNPSSGQALQHLSPNRPKIRDLPFTRNSLAP LPDQKFLSGEPLRTNRVLPAIPSQRGHDPSPAGESQRGHDPPRAEESGGSSSPTHDEN >ENSMUSP00000022275.6 pep:known chromosome:GRCm38:13:112288451:112384002:1 gene:ENSMUSG00000049985.14 transcript:ENSMUST00000022275.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd55 description:ankyrin repeat domain 55 [Source:MGI Symbol;Acc:MGI:1924568] MRQATMDFSTSSVFDQHKGDSSEEVDLAMVYQAASNGDVNSLTSVIREDPSILECCDSEG CTPLMHAVSGRQVDTVKLLLKMGANINTQDAYGRTSLCLATYLGWLEGCVSLLRNGAKHN IPDKNGRLPLHAATAEPDVRLLIVLLQQSSLSEINHQDTEGMTPLHWAAFHNRPQHTQML LKKGADPTLVDKDFKTALHWAVQSGNRILCSIILSHRQGPSIINYDDESGKTCVHIAAAS GFGDIINDLAKVPECNLQALDVDDRTPLHWAAASGKAECVQSLLDLGMDSNLRDINESTP LAYALYCGHTACVRLLSREGRAEPARPLPSQNSQPQKKEGRFSMLNQIFCKNKKEEQKAH QKDQSRARPKEEETSEVNDIIATFDSVVDTNCQGQPGDQVDMVVFKKRTSENSKYLLPEK KSLARKGLPPIRTQSLPPITLGGHFLTASQGAVSHAGLNAGPQHTAQRSQKSRSEQDLLN NRTGCPVSLENPWKGDTRQVFSCKAWTVSSSDKLLDRLFAGQPGHQELSGPPHLPHLHNP SSGQALQHLSPNRPKIRDLPFTRNSLAPLPDQKFLSGEPLRTNRVLPAIPSQRGHDPSPA GESQRGHDPPRAEESGGSSSPTHDEN >ENSMUSP00000058891.7 pep:known chromosome:GRCm38:13:112288451:112344641:1 gene:ENSMUSG00000049985.14 transcript:ENSMUST00000056047.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd55 description:ankyrin repeat domain 55 [Source:MGI Symbol;Acc:MGI:1924568] MRQATMDFSTSSVFDQHKGDSSEEVDLAMVYQAASNGDVNSLTSVIREDPSILECCDSEG CTPLMHAVSGRQVDTVKLLLKMGANINTQDAYGRTSLCLATYLGWLEGCVSLLRNGAKHN IPDKNGRLPLHAATAEPDVRLLIVLLQQSSLSEINHQDTELSPLECFRGPTKPSREPRTQ TVGKADEGSHLTSNGFHTSCVPEILNKLELTKSRKHLECLL >ENSMUSP00000129726.1 pep:known chromosome:GRCm38:13:112288518:112356181:1 gene:ENSMUSG00000049985.14 transcript:ENSMUST00000168684.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd55 description:ankyrin repeat domain 55 [Source:MGI Symbol;Acc:MGI:1924568] MRQATMDFSTSSVFDQHKGDSSEEVDLAMVYQAASNGDVNSLTSVIREDPSILECCDSEG CTPLMHAVSGRQVDTVKLLLKMGANINTQDAYGRTSLCLATYLGWLEGCVSLLRNGAKHN IPDKNGRLPLHAATAEPDVRLLIVLLQQSSLSEINHQDTEGMTPLHWAAFHNRPQHTQML LKKGADPTLVDKDFKTALHWAVQSGNRILCSIILSHRQGPSIINYDDESGKTCVHIAAAS GFGDIINDLAKVPECNLQALDVDDRYPWQLRKC >ENSMUSP00000042204.7 pep:known chromosome:GRCm38:15:52712445:52730431:1 gene:ENSMUSG00000038622.7 transcript:ENSMUST00000037115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med30 description:mediator complex subunit 30 [Source:MGI Symbol;Acc:MGI:1917040] MSTPPLAPTGMASGPFGGPQAQQAAREVNTATLCRIGQETVQDIVYRTMEIFQLLRNMQL PNGVTYHTGTYQDRLTKLQDHLRQLSILFRKLRLVYDKCNENCGGMDPIPVEQLIPYVDE DGSKNDDRAGPPRFASEERREIVEVNKKLKQKNQQLKQIMDQLRNLIWDINAMLAMRN >ENSMUSP00000107053.2 pep:known scaffold:GRCm38:GL456221.1:17591:30203:-1 gene:ENSMUSG00000079222.2 transcript:ENSMUST00000111423.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC132444.1 MPHSPSGPESMVKDDSPAANALAMAQEVPCTPANKKARRKKCPNWSNSKRGLQKKKPRQE EMMGVASPGHGVQEKLKAVSRRTLWKDDSSTNVKEVTKTLRARMRCAQTSNSQEISKEAS KTSGRKRPSTARRTTQGASRV >ENSMUSP00000048358.4 pep:known chromosome:GRCm38:14:55761428:55763229:1 gene:ENSMUSG00000040432.4 transcript:ENSMUST00000044554.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltb4r2 description:leukotriene B4 receptor 2 [Source:MGI Symbol;Acc:MGI:1888501] MSVCYRPPGNETLLSWKGSRATGTAFLLLAALLGLPGNGFVVWSLAGWRPTAGRPLAATL VLHLALADGAVLLLTPLFVAFLSQEAWPLGQVGCKAVYYVCALSMYASVLLTGLLSLQRC LAVTRPFLAPRLRSPALARRLLLGVWLAALVLAVPAAVYRHLWGGRVCQLCHPSPVHAAA HLSLETLTAFVLPFGTVLGCYGVTLARLRGARWGSGRQGTRVGRLVSAIVLAFGLLWAPY HAVNLLQAVAALAPPEGPLARLGGAGQAARAGTTALAFFSSSVNPVLYVFTAGDLLPRAG PRFLTRLFEGSGEARGGSRSREGTMELRTTPKLKVMGQGRGNGDPGGGDGGKTEKDSQEW >ENSMUSP00000021929.8 pep:known chromosome:GRCm38:13:64161866:64186536:1 gene:ENSMUSG00000021476.8 transcript:ENSMUST00000021929.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Habp4 description:hyaluronic acid binding protein 4 [Source:MGI Symbol;Acc:MGI:1891713] MKGALGSPVAAAGAAMQETFGCVVANRFHQLLDDESDPFDILREAEHRRQQQLQRKRRDE AAAAASGAGHRGGRSPAVASGHRPGAGGRRESQKERKSLAASGAQQPDSPGGPQPPGQKR TPRRGEQQGWNDNRGTDVVLERAERRSYREYRPYETERQADLPVEKFTDEKPVDRFDRDR PLRGRGGPRGGLRSRGRGGPGNRAFDSFDQRGKRDFERYSSNDKTNRMEDSMGGCGIRPW GSGKDTSDTEPPAPMEETSMMEECQGALDEESAAKVPELEVEEENQVQEMTLDEWKNLQE QTRPKPEFNIRKPESTVPSKAVVIHKSRYRDDMVKEDYEDESHVFRKAANDITSQLEINF GNLPRPGRGARGSTRGGRGRMRRTENYGPRAEVVTQDVAPNPDDPEDFPALA >ENSMUSP00000034592.8 pep:known chromosome:GRCm38:9:45430293:45753164:1 gene:ENSMUSG00000032087.9 transcript:ENSMUST00000034592.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dscaml1 description:Down syndrome cell adhesion molecule like 1 [Source:MGI Symbol;Acc:MGI:2150309] MTGAGEPRREEPPPRAGLRSRESGAPSAGGWERAERGRGAAARPATGPPPRRIGPLYGMW LVTFLLLLDSLHKARPEDVGTSLYFVNDSLQHVTFSSSVGVVVPCPAAGSPSAALRWYLA TGDDIYDVPHIRHVHANGTLQLFPFSPSAFNSFIHDNDYFCTAENAAGKIRSPNIRIKAV FREPYTVRVEDQRSMRGNVAVFKCLIPSSVQEYVSVVSWEKDTVSITPENRFFITSHGGL YISDVQKEDALSTYRCITQHKYSGETRQSNGARLSVTDPAESIPTILDGFHSQEVWTGHS VELPCAASGYPIPAIRWLKDGRPLPADSRWAKRITGLTISDLRTEDSGTYICEVTNTFGS AEANGILTVIDPLHVTLTPKKLKTGIGSTVILSCALTGSPEFTIRWYRNTELVLPGEAIS IRGLSNETLLISSAQKSHSGAYQCFATRKAQTAQDFAIIVLEDGTPRIVSSFSEKVVNPG EQFSLMCAAKGAPPPTVTWALDDEPVVRDGSHRTNQYTMSDGTTISHMNVTGPQIRDGGV YRCTARNSVGSAEYQARINVRGPPSIRAMRNITAVAGRDTLINCRVIGYPYYSIKWYKDA LLLPDNHRQVVFENGTLKLTDVQKGMDEGEYLCSVLIQPQLSISQSVHVAVKVPPLIQPF EFPPASIGQLLYIPCVVSSGDMPIRITWRKDGQVIISGSGVTIESKEFMSSLQISSVSLK HNGNYTCIASNAAATVSRERQLIVRVPPRFVVQPNNQDGIYGKAGVLNCSVDGYPPPKVM WKHAKGSGNPQQYHPVPLTGRIQILPNSSLLIRHVLEEDIGYYLCQASNGVGTDISKAMF LTVKIPAMITSHPNTTIAIKGHPKELNCTARGERPIIIRWEKGDTVIDPDRVMRYAIATK DNGDEVVSTLKLKPADRGDSVFFSCHAINSYGEDRGLIQLTVQEPPDPPELEIREVKARS MNLRWTQRFDGNSIITGFDIEYKNKSDSWDFKQSTRNISPTINQANIVDLHPASVYSIRM YSFNKIGRSEPSKELTISTEEAAPDGPPMDVTLQPVTSQSIQVTWKAPKKELQNGVIRGY QIGYRENSPGSNGQYSIVEMKATGDSEVYTLDNLKKFAQYGVVVQAFNRAGTGPSSSEIN ATTLEDVPSQPPENVRALSITSDVAVISWSEPPRSTLNGVLKGYRVIFWSLYVDGEWGEM QNVTTTRERVELRGMEKFTNYSVQVLAYTQAGDGVRSSVLYIQTKEDVPGPPAGIKAVPS SASSVVVSWLPPTKPNGVIRKYTIFCSSPGSGQPAPSEYETSPEQLFYRIAHLNRGQQYL LWVAAVTSAGRGNSSEKVTIEPAGKAPAKIISFGGTVTTPWMKDVRLPCNSVGDPAPAVK WTKDSEDSAIPVSLDGHRLIHTNGTLLLRAVKAEDSGYYTCTATNTGGFDTIIVNLLVQV PPDQPRLTVSKTSASSITLTWIPGDNGGSSIRGFVLQYSVDNSEEWKDVFISSSERSFKL DSLKCGTWYKVKLAAKNSVGSGRISEIIEAKTHGREPSFSKDQHLFTHINSTHARLNLQG WNNGGCPITAIVLEYRPKGTWAWQGVRANSSTEVFLTELREATWYELRMRACNSAGCGNE TAQFATLDYDGSTIPPIKSAQGEGDDVKKLFTIGCPVILATLGVALLFVVRKKRKEKRLK RLRDAKSLAEMLISKNNRSFDTPVKGPPQGPRLHIDIPRVQLLIEDKEGIKQLGDDKATI PVTDAEFSQAVNPQSFCTGVSLHHPALIQSTGPLIDMSDIRPGTNPVSRKNVKSAHSTRN RYSSQWTLTKCQASTPARTLTSDWRTVGSQHGVTVTESDSYSASLSQDTDKGRNSMVSTE SASSTYEELARAYEHAKLEEQLQHAKFEITECFISDSSSDQMTTGTNENADSMTSMSTPS EPGICRFTASPPKPQDADRGKNVAVPIPHRANKSDYCNLPLYTKSEAFFRKADGREPCPV VPPREASMRNLTRAYHTQARHLTLDPASKPLGLPHPGATAATATATLPQRTLAMPAPPAG TAPPAPGPTPSEPSAAPSAAPPAPSTEPPRAGGPHTKMGGSRDSLLEMSTPGVGRSQKQG AGAYSKSYTLV >ENSMUSP00000054054.1 pep:known chromosome:GRCm38:10:62133090:62134763:1 gene:ENSMUSG00000044312.3 transcript:ENSMUST00000050103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurog3 description:neurogenin 3 [Source:MGI Symbol;Acc:MGI:893591] MAPHPLDALTIQVSPETQQPFPGASDHEVLSSNSTPPSPTLIPRDCSEAEVGDCRGTSRK LRARRGGRNRPKSELALSKQRRSRRKKANDRERNRMHNLNSALDALRGVLPTFPDDAKLT KIETLRFAHNYIWALTQTLRIADHSFYGPEPPVPCGELGSPGGGSNGDWGSIYSPVSQAG NLSPTASLEEFPGLQVPSSPSYLLPGALVFSDFL >ENSMUSP00000042313.7 pep:known chromosome:GRCm38:9:31426199:31464238:-1 gene:ENSMUSG00000041737.7 transcript:ENSMUST00000048050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem45b description:transmembrane protein 45b [Source:MGI Symbol;Acc:MGI:2384574] MANFKGHALPGSFFLIVGLWWSVKYPLKYFHHKGLKNNRLSRQQERIEIIEGAVKTLFAI IGILAEQFVPDGPHLHLYHENQWVKLMNWQHSTMYLFFGVSGLMDMITYLYFHIVPLGLD RVVLAMAVFIEGFLFYFHVHNRPPLDQHIHSLLLFGLFGAAVSISLEVILRDNIVLELFR TSLLILQGTWFWQIGFVLFPPFGRPEWDQKDMDNIMFITMCFCWHYLVALCIVAINYSLV YCFLTRVKRRAEGEIIGIQKLKSDHTYQSALLSGSDEE >ENSMUSP00000072979.1 pep:known chromosome:GRCm38:9:39237487:39238431:-1 gene:ENSMUSG00000096109.1 transcript:ENSMUST00000073248.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1537 description:olfactory receptor 1537 [Source:MGI Symbol;Acc:MGI:3031371] MEDMAAGNHCTVTEFFLAGLSEKPELQLPLFLLFTGIYLITMAGNLGMITLIGLSSHLHT PMYYFLSSLSFIDFCQSTVVIPKMLVSFLTEMNIISYSECMAQLYFFLTFGIAECYTLAA MAYDRYVAICNPLLYNVTMSYQIYSSLISGVYIFAVICSSFNTGFMLRTQFCNLDVINHY FCDLLPLLNLASSNTYINEILILFFATLNSFVPVLTIITSYIFIIVTILSIHSREGKFKA FSTCSTHISAVAIFYGSGAFTYLQPSSLNSMGQAKVSSVFYTTVVPMLNPLIYSLRNKDV SIALKKILERKKFM >ENSMUSP00000137522.1 pep:known chromosome:GRCm38:14:19401727:19402599:-1 gene:ENSMUSG00000093814.1 transcript:ENSMUST00000179141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9637 description:predicted gene 9637 [Source:MGI Symbol;Acc:MGI:3804942] VVDTDHKTTDLSAMRWQACQDLRSNTFGEVSLTEHYKILTTLGQGSFGEVKLVSHLLTQT KVAIKILSHSSRNPLVQSKIEIMKSLDHPHIIKLLHIIDTTRNIFIILEHAVGGEVLSRI EEFGYLAEEEFHRLFKQMVYALQYCNQKGIVHRDLKPENILLDHRGNVKLADFGLGTEII MGQKLVEFCGTLPYCAPELFECRGYDGWATDVWSLGVVLYFMAIGCLPFEGYSYEATKQK IFAGKYPRSFSLSPELWDMIAKLLTVNPGERPTVHDIARFKWINMTTKLLP >ENSMUSP00000127423.1 pep:known chromosome:GRCm38:12:23968510:23968845:-1 gene:ENSMUSG00000095329.1 transcript:ENSMUST00000171153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17330 description:predicted gene, 17330 [Source:MGI Symbol;Acc:MGI:4936964] MLDQISVSEFVAETLEDYKAPTASCFTTGTAQCRDTVAAIDEVSGSVARALREARVAGIG LRPGHPLGFRAWCPIPSVLRLRPHPGKVSGPPKPDAAGPAVPDTEALCSLL >ENSMUSP00000086900.3 pep:known chromosome:GRCm38:14:57832702:57890262:-1 gene:ENSMUSG00000021969.7 transcript:ENSMUST00000089473.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc20 description:zinc finger, DHHC domain containing 20 [Source:MGI Symbol;Acc:MGI:1923215] MAPWTLWRCCQRVVGWVPVLFITFVVVWSYYAYVVELCVSTISRTGEKGKTVVYLVAFHL FFVMFVWSYWMTIFTSPASPSKEFYLSNSEKERYEKEFSQERQQDILRRAARDLPIYTTS ASKAIRYCEKCQLIKPDRAHHCSACDRCVLKMDHHCPWVNNCVGFTNYKFFMLFLLYSLL YCLFVAATVLEYFIKFWTNEPTVLNFPSAKFHVLFLFFVSAMFFVSVLSLFSYHCWLVGK NRTTIESFRAPMFSYGIDGNGFSLGCSKNWRQVFGDEKKYWLVPIFSSLGDGCSFPARLV GMDPEQASVANQSDYVRSIGSNQPFPIKPLSESKNRLLDSESQWLENGAEEGVTKSGTNN HVTVEIEN >ENSMUSP00000045110.5 pep:known chromosome:GRCm38:10:3366150:3464975:1 gene:ENSMUSG00000040653.5 transcript:ENSMUST00000043374.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r14c description:protein phosphatase 1, regulatory (inhibitor) subunit 14c [Source:MGI Symbol;Acc:MGI:1923392] MSVVTGGGEAAGGGGGGGARVFFQSPRGGTGGSPGSSSSSGSSREDSAPVTTVAAAGQVQ QQQRRHQQGKVTVKYDRKELRKRLVLEEWIVEQLGQLYGCEEEEMPDVEIDIDDLLDANS EEERASKLQEALVDCYKPTEEFIRELLSRIRGMRKLSPPQKKSV >ENSMUSP00000072859.5 pep:known chromosome:GRCm38:19:46304737:46312090:1 gene:ENSMUSG00000025225.14 transcript:ENSMUST00000073116.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkb2 description:nuclear factor of kappa light polypeptide gene enhancer in B cells 2, p49/p100 [Source:MGI Symbol;Acc:MGI:1099800] MDNCYDPGLDGIPEYDDFEFSPSIVEPKDPAPETADGPYLVIVEQPKQRGFRFRYGCEGP SHGGLPGASSEKGRKTYPTVKICNYEGPAKIEVDLVTHSDPPRAHAHSLVGKQCSELGVC AVSVGPKDMTAQFNNLGVLHVTKKNMMEIMIQKLQRQRLRSKPQGLTEAERRELEQEAKE LKKVMDLSIVRLRFSAFLRASDGSFSLPLKPVISQPIHDSKSPGASNLKISRMDKTAGSV RGGDEVYLLCDKVQKDDIEVRFYEDDENGWQAFGDFSPTDVHKQYAIVFRTPPYHKMKIE RPVTVFLQLKRKRGGDVSDSKQFTYYPLVEDKEEVQRKRRKALPTFSQPFGGGSHMGGGS GGSAGGYGGAGGGGSLGFFSSSLAYNPYQSGAAPMGCYPGGGGGAQMAGSRRDTDAGEGA EEPRTPPEAPQGEPQALDTLQRAREYNARLFGLAQRSARALLDYGVTADARALLAGQRHL LMAQDENGDTPLHLAIIHGQTGVIEQIAHVIYHAQYLGVINLTNHLHQTPLHLAVITGQT RVVSFLLQVGADPTLLDRHGDSALHLALRAGAAAPELLQALLRSGAHAVPQILHMPDFEG LYPVHLAVHARSPECLDLLVDCGAEVEAPERQGGRTALHLATEMEELGLVTHLVTKLHAN VNARTFAGNTPLHLAAGLGSPTLTRLLLKAGADIHAENEEPLCPLPSPSTSGSDSDSEGP ERDTQRNFRGHTPLDLTCSTKVKTLLLNAAQNTTEPPLAPPSPAGPGLSLGDAALQNLEQ LLDGPEAQGSWAELAERLGLRSLVDTYRKTPSPSGSLLRSYKLAGGDLVGLLEALSDMGL HEGVRLLKGPETRDKLPSTEVKEDSAYGSQSVEQEAEKLCPPPEPPGGLCHGHPQPQVH >ENSMUSP00000107512.2 pep:known chromosome:GRCm38:19:46305695:46312090:1 gene:ENSMUSG00000025225.14 transcript:ENSMUST00000111881.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkb2 description:nuclear factor of kappa light polypeptide gene enhancer in B cells 2, p49/p100 [Source:MGI Symbol;Acc:MGI:1099800] MDNCYDPGLDGIPEYDDFEFSPSIVEPKDPAPETADGPYLVIVEQPKQRGFRFRYGCEGP SHGGLPGASSEKGRKTYPTVKICNYEGPAKIEVDLVTHSDPPRAHAHSLVGKQCSELGVC AVSVGPKDMTAQFNNLGVLHVTKKNMMEIMIQKLQRQRLRSKPQGLTEAERRELEQEAKE LKKVMDLSIVRLRFSAFLRASDGSFSLPLKPVISQPIHDSKSPGASNLKISRMDKTAGSV RGGDEVYLLCDKVQKDDIEVRFYEDDENGWQAFGDFSPTDVHKQYAIVFRTPPYHKMKIE RPVTVFLQLKRKRGGDVSDSKQFTYYPLVEDKEEVQRKRRKALPTFSQPFGGGSHMGGGS GGSAGGYGGAGGGGSLGFFSSSLAYNPYQSGAAPMGCYPGGGGGAQMAGSRRDTDAGEGA EEPRTPPEAPQGEPQALDTLQRAREYNARLFGLAQRSARALLDYGVTADARALLAGQRHL LMAQDENGDTPLHLAIIHGQTGVIEQIAHVIYHAQYLGVINLTNHLHQTPLHLAVITGQT RVVSFLLQVGADPTLLDRHGDSALHLALRAGAAAPELLQALLRSGAHAVPQILHMPDFEG LYPVHLAVHARSPECLDLLVDCGAEVEAPERQGGRTALHLATEMEELGLVTHLVTKLHAN VNARTFAGNTPLHLAAGLGSPTLTRLLLKAGADIHAENEEPLCPLPSPSTSGSDSDSEGP ERDTQRNFRGHTPLDLTCSTKVKTLLLNAAQNTTEPPLAPPSPAGPGLSLGDAALQNLEQ LLDGPEAQGSWAELAERLGLRSLVDTYRKTPSPSGSLLRSYKLAGGDLVGLLEALSDMGL HEGVRLLKGPETRDKLPSTEVKEDSAYGSQSVEQEAEKLCPPPEPPGGLCHGHPQPQVH >ENSMUSP00000127531.1 pep:known chromosome:GRCm38:9:44252713:44268599:-1 gene:ENSMUSG00000032109.14 transcript:ENSMUST00000168499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrx1 description:NLR family member X1 [Source:MGI Symbol;Acc:MGI:2429611] MRWGCHLPRTSWGSGLGRTPQLPDEHISFLIQWSWPFKGVHPLRPPRAFIRYHGNSADSA PPPGRHGQLFRSISATEAIQRHRRNLTEWFSRLPREERQFGPTFALDTVHVDPVIRESTP DELLRPSTELATGHQQTQAGLPPLALSQLFDPDSCGRRVQTVVLYGTVGTGKSTLVRKMV LDWCYGRLPAFELLIPFSCEDLSSLGSTPASLCQLVTQRYTPLKEVLPLMTAAGSRLLFV LHGLERLNLDFRLAGTGLCSDPEEPGPPAAIIVNLLRKYMLPEASILVTTRPSTISRIPS KYVGRYGEICGFSDTNLQKLYFQLRLNQPDCGYGAGGASVSVTPAQRDNLIQMLSRNLEG HHQIAAACFLPSYCWLVCATLHFLHAPTPAGQTLTSIYTSFLRLNFSGETLDSTHTSNLS LMSYAARTMGKLAYEGVSSRKTYFSEEDVRGCLEAGIKTEEEFQLLQIFRRDALRFFLAP CVEPGHLGTFVFTVPAMQEYLAALYIVLGLRKTALQRVGKEVVEFVGRVGEDVSLVLGIV AKLLPLRILPLLFNLLKVVPRVFGRMVSKSREAVAQAMVLEMFREEDYYNDDVLDQMGAS ILGVEGPRRHPDEPSEDEVFELFPMFMGGLLSAHNRAVLAQLGCPIKNLDALENAQAIKK KLGKLGRQVLPPSELLDHLFFHYEFQNQRFSAEVLGSLRQLNLAGVRMTPLKCTVVASVL GSGRHPLDEVNLASCQLDPAGLHTLMPVLLRARKLGLQLNNLGPEACRDLRDLLLHDQCQ ITTLRLSNNPLTAAGVGLLMDGLAGNTSVTHLSLLHTDLGDEGLELLAAQLDRNKQLQEL NVAYNGAGDTVALALAKAAREHPSLELLHLYFNELSSEGRQVLRDLGGSGEGGARVVASL TEGTAVSEYWSVILSEVQRNVHSWDPLRVQRHLKLLLRDLEDSRGATLNPWRKAQLLRVE GEVKTLLEQLGGSGH >ENSMUSP00000034621.8 pep:known chromosome:GRCm38:9:44252713:44268491:-1 gene:ENSMUSG00000032109.14 transcript:ENSMUST00000034621.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrx1 description:NLR family member X1 [Source:MGI Symbol;Acc:MGI:2429611] MRWGCHLPRTSWGSGLGRTPQLPDEHISFLIQWSWPFKGVHPLRPPRAFIRYHGNSADSA PPPGRHGQLFRSISATEAIQRHRRNLTEWFSRLPREERQFGPTFALDTVHVDPVIRESTP DELLRPSTELATGHQQTQAGLPPLALSQLFDPDSCGRRVQTVVLYGTVGTGKSTLVRKMV LDWCYGRLPAFELLIPFSCEDLSSLGSTPASLCQLVTQRYTPLKEVLPLMTAAGSRLLFV LHGLERLNLDFRLAGTGLCSDPEEPGPPAAIIVNLLRKYMLPEASILVTTRPSTISRIPS KYVGRYGEICGFSDTNLQKLYFQLRLNQPDCGYGAGGASVSVTPAQRDNLIQMLSRNLEG HHQIAAACFLPSYCWLVCATLHFLHAPTPAGQTLTSIYTSFLRLNFSGETLDSTHTSNLS LMSYAARTMGKLAYEGVSSRKTYFSEEDVRGCLEAGIKTEEEFQLLQIFRRDALRFFLAP CVEPGHLGTFVFTVPAMQEYLAALYIVLGLRKTALQRVGKEVVEFVGRVGEDVSLVLGIV AKLLPLRILPLLFNLLKVVPRVFGRMVSKSREAVAQAMVLEMFREEDYYNDDVLDQMGAS ILGVEGPRRHPDEPSEDEVFELFPMFMGGLLSAHNRAVLAQLGCPIKNLDALENAQAIKK KLGKLGRQVLPPSELLDHLFFHYEFQNQRFSAEVLGSLRQLNLAGVRMTPLKCTVVASVL GSGRHPLDEVNLASCQLDPAGLHTLMPVLLRARKLGLQLNNLGPEACRDLRDLLLHDQCQ ITTLRLSNNPLTAAGVGLLMDGLAGNTSVTHLSLLHTDLGDEGLELLAAQLDRNKQLQEL NVAYNGAGDTVALALAKAAREHPSLELLHLYFNELSSEGRQVLRDLGGSGEGGARVVASL TEGTAVSEYWSVILSEVQRNVHSWDPLRVQRHLKLLLRDLEDSRGATLNPWRKAQLLRVE GEVKTLLEQLGGSGH >ENSMUSP00000126555.1 pep:known chromosome:GRCm38:9:44252713:44268187:-1 gene:ENSMUSG00000032109.14 transcript:ENSMUST00000169651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrx1 description:NLR family member X1 [Source:MGI Symbol;Acc:MGI:2429611] MRWGCHLPRTSWGSGLGRTPQLPDEHISFLIQWSWPFKGVHPLRPPRAFIRYHGNSADSA PPPGRHGQLFRSISATEAIQRHRRNLTEWFSRLPREERQFGPTFALDTVHVDPVIRESTP DELLRPSTELATGHQQTQAGLPPLALSQLFDPDSCGRRVQTVVLYGTVGTGKSTLVRKMV LDWCYGRLPAFELLIPFSCEDLSSLGSTPASLCQLVTQRYTPLKEVLPLMTAAGSRLLFV LHGLERLNLDFRLAGTGLCSDPEEPGPPAAIIVNLLRKYMLPEASILVTTRPSTISRIPS KYVGRYGEICGFSDTNLQKLYFQLRLNQPDCGYGAGGASVSVTPAQRDNLIQMLSRNLEG HHQIAAACFLPSYCWLVCATLHFLHAPTPAGQTLTSIYTSFLRLNFSGETLDSTHTSNLS LMSYAARTMGKLAYEGVSSRKTYFSEEDVRGCLEAGIKTEEEFQLLQIFRRDALRFFLAP CVEPGHLGTFVFTVPAMQEYLAALYIVLGLRKTALQRVGKEVVEFVGRVGEDVSLVLGIV AKLLPLRILPLLFNLLKVVPRVFGRMVSKSREAVAQAMVLEMFREEDYYNDDVLDQMGAS ILGVEGPRRHPDEPSEDEVFELFPMFMGGLLSAHNRAVLAQLGCPIKNLDALENAQAIKK KLGKLGRQVLPPSELLDHLFFHYEFQNQRFSAEVLGSLRQLNLAGVRMTPLKCTVVASVL GSGRHPLDEVNLASCQLDPAGLHTLMPVLLRARKLGLQLNNLGPEACRDLRDLLLHDQCQ ITTLRLSNNPLTAAGVGLLMDGLAGNTSVTHLSLLHTDLGDEGLELLAAQLDRNKQLQEL NVAYNGAGDTVALALAKAAREHPSLELLHLYFNELSSEGRQVLRDLGGSGEGGARVVASL TEGTAVSEYWSVILSEVQRNVHSWDPLRVQRHLKLLLRDLEDSRGATLNPWRKAQLLRVE GEVKTLLEQLGGSGH >ENSMUSP00000043332.7 pep:known chromosome:GRCm38:16:20233310:20241358:-1 gene:ENSMUSG00000041205.7 transcript:ENSMUST00000040880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map6d1 description:MAP6 domain containing 1 [Source:MGI Symbol;Acc:MGI:3607784] MAWPCISRLCCLARRWNQLDRSDVAVPLTLHGYSDPGSEESGADCSVSRGNPSVAGARES SRAVPLTQYQRDFGVRTARAGSRDAAQERPSGPGGRRGQSSAPPTRTVYVLPVGDADAAV VATTSYRQEFQAWTGVKPSRSTKARTARVVTTHSSGWDPSPGASFQVPEVRKFTPNPSAI FQTSAPQTLNV >ENSMUSP00000137580.1 pep:known chromosome:GRCm38:9:46998931:47003338:1 gene:ENSMUSG00000094893.1 transcript:ENSMUST00000178065.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4791 description:predicted gene 4791 [Source:MGI Symbol;Acc:MGI:3779436] MWVSSKPCQQSTYPQASSLIRLQGHWEKAWNTETWLWRLKEAEEKGDPVGGPAVSINLDS RDLSNTGPPNRQHTPADMRPPRHIQQRIASFLLMNCACLWLF >ENSMUSP00000075719.4 pep:known chromosome:GRCm38:2:150254518:150255591:-1 gene:ENSMUSG00000074735.2 transcript:ENSMUST00000063463.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21994 description:predicted gene 21994 [Source:MGI Symbol;Acc:MGI:5439751] CEKAFTRANCLCRHERSHTEEQPSEFIQLGKKAYVFQSHNQRHERIHTGEKPYGCNQCGR DFVSHRSLQRHKGEKPYECNQCGKTFACQGGLQMHKRIHTGEKTHECNQSIKVLGCQSGL RYKRTQTGEKPYECNQCGKTFIYHSYLQKHKRTHTGEKPFECNQCGKAFAGNSHLLRHKR IHTGEKPYECNQCGKDFSRRNSLQRHKRVHTGEKPYECNQCGKGFACQSGLRIHKRTHTG EKPYECSQCDKAFACQSGLLYHKRTHTGERPYECNECGKSFIHHSHFQIHKRTHTGEKPF ECDKCGKAFARNSHLLTHKRIHTGKKPYE >ENSMUSP00000078670.5 pep:known chromosome:GRCm38:18:15832794:15833330:1 gene:ENSMUSG00000058064.5 transcript:ENSMUST00000079733.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10036 description:predicted gene 10036 [Source:MGI Symbol;Acc:MGI:3642334] MAQDQGEKENPMRELRIRKLCLNICVGESGDRLTRAAKVLEQLTGQTPVFSKARYTVRSF GIWRNEKIAVHCTVRGAKAEEILEKGLKVREYELRKNNFSDTGNFGFGIQEHIDPGIKYD PSIGIYGLDFYVVLGRPGFSIADKKRRTGCIGAKHRISKEEAMRWFQQKYDGIILPGK >ENSMUSP00000117028.2 pep:known chromosome:GRCm38:2:150323702:150363071:1 gene:ENSMUSG00000095315.1 transcript:ENSMUST00000133235.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10130 description:predicted gene 10130 [Source:MGI Symbol;Acc:MGI:3642547] GRVTYDDVQVNFTREEWALLDPSQKNLYRDVMLETYRNLAAIGYVWEDHNIEDHFQSSRR RGSPGRIHNSSFRSATCFPFVGSDPVLLCCNFEAVLSSNHS >ENSMUSP00000055313.9 pep:known chromosome:GRCm38:14:63145153:63177793:-1 gene:ENSMUSG00000021273.9 transcript:ENSMUST00000054963.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdft1 description:farnesyl diphosphate farnesyl transferase 1 [Source:MGI Symbol;Acc:MGI:102706] MEFVKCLGHPEEFYNLLRFRMGGRRNFIPKMDQDSLSSSLKTCYKYLNQTSRSFAAVIQA LDGDIRHAICVFYLVLRALDTVEDDMSISVEKKIPLLCNFHTFLYDPEWRFTESKEKDRQ VLEDFPTISLEFRNLAEKYQTVIDDICHRMGCGMAEFVDKDVTSKQDWDKYCHYVAGLVG IGLSRLFSASEFEDPIVGEDIECANSMGLFLQKTNIIRDYLEDQQEGRKFWPQEVWGRYI KKLEDFAKPENVDVAVQCLNELITNTLQHIPDVLTYLSRLRNQSVFNFCAIPQVMAIATL AACYNNQQVFKGVVKIRKGQAVTLMMDATNMPAVKAIIYQYIEEIYHRIPNSDPSSSKTK QVISKIRTQNLPNCQLISRSHYSPIYLSFIMLLAALSWQYLSTLSQVTEDYVQREH >ENSMUSP00000020169.7 pep:known chromosome:GRCm38:10:24773814:24836195:-1 gene:ENSMUSG00000019989.7 transcript:ENSMUST00000020169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enpp3 description:ectonucleotide pyrophosphatase/phosphodiesterase 3 [Source:MGI Symbol;Acc:MGI:2143702] MDSRLALATEEPIKKDSLKKYKILCVVLLALLVIVSLGLGLGLGLRKPEEQGSCRKKCFD SSHRGLEGCRCDSGCTGRGDCCWDFEDTCVKSTQIWTCNLFRCGENRLETALCSCADDCL QRKDCCADYKTVCQGESPWVTEACASSQEPQCPPGFDLPPVILFSMDGFRAEYLQTWSTL LPNINKLKTCGIHSKYMRAMYPTKTFPNHYTIVTGLYPESHGIIDNNMYDVHLNKNFSLS SVEKSNPAWWSGQPIWLTAMYQGLKAACYYWPGSDVAVNGSFPTIYRNYSNSVPYERRIT TLLQWLDLPKADRPSFYTIYVEEPDSAGHSSGPVSAGVIKALQSVDNAFGMLMEGLKQRN LHNCVNIIVLADHGMDQTSCDRVEYMTDYFPKINFYMYQGPAPRIRTRNIPQDFFTFNSE EIVRNLSCRKPDQHFKPYLTPDLPKRLHYAKNVRIDKAHLMVDRQWLAFRSKGSSNCGGG THGYNNEFKSMEAIFLAHGPSFIEKTVIEPFENIEVYNLLCDLLHIEPAPNNGTHGSLNH LLKTPFYKPSHAGELSTPADCGFTTPLPTDPLDCSCPALQNTPGLEEQANQRLNLSEGEV AATVKANLPFGRPRVMQKNGDHCLLYHRDYISGYGKAMKMPMWSSYTVLKPGDTSSLPPT VPDCLRADVRVAPSESQKCSFYLADKNITHGFLYPAIKGTNESRYDALITSNLVPMYKEF KKMWDYFHEVLLIKYAIERNGLNVVSGPIFDYNYDGHFDAPDEITQYVAGTDVPIPTHYF VVLTSCKDQTHTPDSCPGWLDVLPFIVPHRPTNIESCSENKTEDLWVEERFQAHAARVRD VELLTGLDFYQEKAQPVSQILQLKTYLPTFETII >ENSMUSP00000093045.3 pep:known chromosome:GRCm38:10:82954344:82955000:1 gene:ENSMUSG00000071151.3 transcript:ENSMUST00000095396.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4799 description:predicted gene 4799 [Source:MGI Symbol;Acc:MGI:3648850] MSCSGFGADPEAAPACAALVAGPAPPVSAPPALPTSTAAESKASPAGTAGGPVAGVATAG TGPVVARAGEPAELRGPASVAAGGVAPPEGAMSNGVYVLPSAANGEVKPVVSSTPLVDFL MQLEDYTPTIPDAVTGYYLNRAGFEASDPRIIRLISLAAQKFISDIANDALQRCKMKGTA SGSSRSKSKDRKYTLTMEDLTPALSEYGINVKKPHYFT >ENSMUSP00000058951.6 pep:known chromosome:GRCm38:9:21835510:21837346:1 gene:ENSMUSG00000047822.7 transcript:ENSMUST00000058777.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angptl8 description:angiopoietin-like 8 [Source:MGI Symbol;Acc:MGI:3643534] MAVLALCLLWTLASAVRPAPVAPLGGPEPAQYEELTLLFHGALQLGQALNGVYRATEARL TEAGHSLGLYDRALEFLGTEVRQGQDATQELRTSLSEIQVEEDALHLRAEATARSLGEVA RAQQALRDTVRRLQVQLRGAWLGQAHQEFETLKARADKQSHLLWALTGHVQRQQREMAEQ QQWLRQIQQRLHTAALPA >ENSMUSP00000137693.1 pep:known chromosome:GRCm38:4:123917504:123918022:-1 gene:ENSMUSG00000096969.1 transcript:ENSMUST00000181410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930535I16Rik description:RIKEN cDNA 4930535I16 gene [Source:MGI Symbol;Acc:MGI:1922470] GRSSRAVTGTCLLPPASVASWPPSTLGGPGRGPWANRAQALRSQVWTVPGDAAPGLHPLR PPGHPPPERRGPGKTKGRRRLRGAHLLDGGFAAAPEPHKQDPRLGAVSAARAAAAAGPRA SAATRRCLLFLLLHAVAEVLGERVGCAVTAGQGRLLRPVLQGHGAGPPPPAP >ENSMUSP00000071235.6 pep:known chromosome:GRCm38:9:13431361:13432736:1 gene:ENSMUSG00000031802.8 transcript:ENSMUST00000071254.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phxr4 description:per-hexamer repeat gene 4 [Source:MGI Symbol;Acc:MGI:104522] MLCIYVCGVCLCVCFSVCMCVHVLCVYVHVCTYAHIWTTALHLRCLLPKSFSTLLFKAGF GIDTCVIPSFSMGMLVVFMASMLPTEPPPSPWVAH >ENSMUSP00000034533.5 pep:known chromosome:GRCm38:9:32314783:32344237:-1 gene:ENSMUSG00000032034.5 transcript:ENSMUST00000034533.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj5 description:potassium inwardly-rectifying channel, subfamily J, member 5 [Source:MGI Symbol;Acc:MGI:104755] MAGDSRNAMNQDMEIGVTSQDHKKIPKQARDYIPIATDRTRLLTEGKKPRQRYMEKTGKC NVHHGNVQETYRYLSDLFTTLVDLKWRFNLLVFTMVYTITWLFFGFIWWLIAYVRGDLDH VGDQEWIPCVENLSGFVSAFLFSIETETTIGYGFRVITEKCPEGIILLLVQAILGSIVNA FMVGCMFVKISQPKKRAETLMFSNNAVISMRDEKLCLMFRVGDLRNSHIVEASIRAKLIK SRQTKEGEFIPLNQTDINVGFDTGDDRLFLVSPLIISHEINEKSPFWEMSRAQLEQEEFE VVVILEGMVEATGMTCQARSSYMDTEVLWGHRFTPVLTLEKGFYEVDYNTFHDTYETNTP SCCAKELAEMKRSGRLLQYLPSPPLLGGCAEAGNEAEAEKDEEGEPNGLSVSQATRGSM >ENSMUSP00000089300.4 pep:known chromosome:GRCm38:13:51202688:51203065:-1 gene:ENSMUSG00000091383.1 transcript:ENSMUST00000091708.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist1h2al description:histone cluster 1, H2al [Source:MGI Symbol;Acc:MGI:3646032] KQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYAERVGAGAPVYMAAVLEYLTAEILE LAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGKVTIAQGGVLPNIQAVLLPKKTESHH KAKGK >ENSMUSP00000034147.3 pep:known chromosome:GRCm38:8:82763621:82774160:-1 gene:ENSMUSG00000031711.6 transcript:ENSMUST00000034147.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp330 description:zinc finger protein 330 [Source:MGI Symbol;Acc:MGI:1353574] MPKKKTGARKKAENRREREKQLRASRSTVDLAKHPCNASMECDKCQRRQKNRAFCYFCNS VQKLPICAQCGKTKCMMKSSDCVIKHAGVYSTGLAMVGAICDFCEAWVCHGRKCLSTHAC ACPLTDAECVECERGVWDHGGRIFSCSFCHNFLCEDDQFEHQASCQVLEAETFKCVSCNR LGQHSCLRCKACFCDEHTRSKVFKQEKGKQPPCPKCGHETQETKDLSMSTRSLKFGRQTG GEEDGASGYDAYWKNLSSDKYGDTGYHDDDEEEDEAEDEEEEDGKDSDAESSDLFNNLNL GRTYASGYAHYEEQES >ENSMUSP00000052625.3 pep:known chromosome:GRCm38:12:14494561:14495157:1 gene:ENSMUSG00000050974.3 transcript:ENSMUST00000052528.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9847 description:predicted pseudogene 9847 [Source:MGI Symbol;Acc:MGI:3642840] MTLRCLEPSGNGADRTRSQWGTAGLPEEQSPEAARLAKALRELSQTGWYWGSMTVNEAKE KLKEAPEGTFLIRDSSHSDYLLTIFVKTSAGPTNLRIEYQDGKFRLDSIICVKSKLKQFD SVVHLIDYYVQMCKDKRTGPEAPRNGTVHLYLTKPLYTSAPTLQHFCRLAINKCTGTIWG LPLPTRLKDYLEEYKFQV >ENSMUSP00000034537.6 pep:known chromosome:GRCm38:9:35046579:35116810:-1 gene:ENSMUSG00000032038.6 transcript:ENSMUST00000034537.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal4 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 4 [Source:MGI Symbol;Acc:MGI:1316743] MTSKSHWKLLALALVLVVVMVWYSISREDRYIEFFYFPISEKKEPCFQGEAERQASKIFG NRSREQPIFLQLKDYFWVKTPSTYELPFGTKGSEDLLLRVLAITSYSIPESIKSLECRRC VVVGNGHRLRNSSLGGVINKYDVVIRLNNAPVAGYEGDVGSKTTIRLFYPESAHFDPKIE NNPDTLLVLVAFKAMDFHWIETILSDKKRVRKGFWKQPPLIWDVNPKQVRILNPFFMEIA ADKLLSLPIQQPRKIKQKPTTGLLAITLALHLCDLVHIAGFGYPDASNKKQTIHYYEQIT LKSMAGSGHNVSQEAIAIKRMLEMGAVKNLTYF >ENSMUSP00000096450.4 pep:known chromosome:GRCm38:8:27042555:27050240:1 gene:ENSMUSG00000031485.14 transcript:ENSMUST00000098851.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prosc description:proline synthetase co-transcribed [Source:MGI Symbol;Acc:MGI:1891207] MLRGGSMTAELGVGFALRAVNERVQQSVARRPRDLPAIQPRLVAVSKTKPADMVIEAYGH GQRTFGENYILSSCPEIKWHFIGHLQKQNVNKLMAVPNLSMLETVDSVKLADKVNSSWQK KGPTEPLKVMVQINTSGEDSK >ENSMUSP00000033875.8 pep:known chromosome:GRCm38:8:27042555:27056131:1 gene:ENSMUSG00000031485.14 transcript:ENSMUST00000033875.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prosc description:proline synthetase co-transcribed [Source:MGI Symbol;Acc:MGI:1891207] MLRGGSMTAELGVGFALRAVNERVQQSVARRPRDLPAIQPRLVAVSKTKPADMVIEAYGH GQRTFGENYVQELLEKASNPKILSSCPEIKWHFIGHLQKQNVNKLMAVPNLSMLETVDSV KLADKVNSSWQKKGPTEPLKVMVQINTSGEDSKHGLLPSETIAVVEHIKASCPSLEFVGL MTIGSFGHDLSQGPNPDFQRLLTLRRELCEKLGIPVEQVELSMGMSMDFQHAIEVGSTNV RIGSTIFGERDYSKKPALDKTADAKASVPLVQGH >ENSMUSP00000076169.2 pep:known chromosome:GRCm38:9:39257720:39258682:-1 gene:ENSMUSG00000063380.2 transcript:ENSMUST00000076903.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr945 description:olfactory receptor 945 [Source:MGI Symbol;Acc:MGI:3030779] MEDMTSGNNCTVSEFFLAGLSEEPELQLPLFLLFTGIYLITVVGNLGMITLIGISSHLHT AMYFFLSSLSFIDFCQSTVVTPKMLVSFLTEKNIISYLGCMAQLYFFIIFGAAECYTLAA MAYDRYVAICNPLLYTVVMSYQVYSSLISGVYIYAVFCASVHTGVLTRIQFCKLDVINHY FCDILPLLKLACSNTYIDEMLILFFGTLNIFAPTLIIITSYIFIIASIFHIRSREGRSKA FSTCSSHISAVAIFYGSAAFMYLQPSRVNSMDQGKVSSVFYTTVVPMLNPLIYSLRNKDV TVALKKILERKNFMWSEVT >ENSMUSP00000040078.5 pep:known chromosome:GRCm38:8:84210942:84237042:-1 gene:ENSMUSG00000035671.5 transcript:ENSMUST00000039480.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zswim4 description:zinc finger SWIM-type containing 4 [Source:MGI Symbol;Acc:MGI:2443726] MEPPAAKRSRGCPAGDEPGTGARRSRPEPLLDLSAKRVAESWAFEQVEERFSRVPEPVQK RIVFWSFPRSEREICMYSSLGYQPPEGEQDTRVPFTRGLHLLQSGAVDRVLQVGFHLSGN IREVGGPGEPEHLYHVSISFDRCKITSVSCGCDNRDLFYCAHVVALSLYRIRHARQVELR LPISETLSQMNRDQLQKFVQYLISAHHTEVLPTAQRLADEILLLGSEINLVHGAPDPTAG AGTEDANCWHLDEEQIQEQVKQLLSNGGHYGASQQLRSMFSKVREMLRARDSNGARMLIL MTEQFLQDPRLALWRQQGAGMTDKCRQLWDELGALWVCVILSPHCKPDERSGWLQLLGTW DKLDVCPLEEGNYSLDIPSLQPALTSRTGSEDEEEVTAASPRRTVFSRALQAGELHWNDS HLQRILASDSCGPTLTGSMGSDKPTFDPQGHPIWLGEPFPTACARVDTLRAHGYPHKALR LACAIINTLRLQRRHQLESYKQQKKELLQKGATGVTSTEGWVGHPLDPIGCLCRALLEAC RLEEEPHSLFPDSAPEKRKLAYQHVLVPGSPGESYLALALEVALLGLGQQRALPEGLYAQ DKVVRNEEQLLALLEEVELDERLVQVLRKQAGLLLEGGPFSGFGEVIFRESVPMHTCARY LFTALLPHDPDLAFRLALRAMRLPVLETTFPAGESHPNPLDSIMSNRFPRWFILGHLETR QCELASTMLTAAKGDTKWLHAVLGSVQQNIHSPALLFKLAQDACKTATPASAPPDTVLLG IALELGLQVMRMTLNTMTWRRREMVRWLVSCATEIGPPALMSIMKNWYSLFTPVEAVTIV AVTGTTHATLLRLQLDTAGREELWACARTLALQCAMKDPQNCALPALTLCEKNHAAFEAA YQIVLDAAAGGLGHAHLFTVARYMEHRGLPLRAYKLATLALAQLSIAFNQDSHPAVNDVL WACSLSHSLGRHELSAIVPLIIRSIHCAPMLSDILRRWTLSAPGLGPLGARRATKPLGTD RAPLCQLLDAAVAAYITTSHSRLTHISPRHYGDFIEFLGKARETFLLAPDGHLQFAQFLE NLKQTYKGKKKLMLLVRERFG >ENSMUSP00000024104.7 pep:known chromosome:GRCm38:9:78051958:78065624:1 gene:ENSMUSG00000023333.7 transcript:ENSMUST00000024104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcm1 description:glial cells missing homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:108045] MELDDFDPEDKEILSWDINDVKLPQNVKTTDWFQEWPDSYVKHIYSSDDRNAQRHLSSWA MRNTNNHNSRILKKSCLGVVVCSRDCSTEEGRKIYLRPAICDKARQKQQRKSCPNCNGPL KLIPCRGHGGFPVTNFWRHDGRFIFFQSKGEHDHPRPETKLEAEARRAMKKVHMASASNS LRMKGRPAAKALPAEIPSQGSLPLTWSFQEGVQLPGTYSTPLIANAPQQNSLNDCLSFPK SYDLGGSTELEDPTSTLDSMKFYERCKFSSSRIYGSEEQFQPPVPGTYGDYEDLQTWNKN VALGRNPSDDIYYPAYPLPVASWPYDYFPSQNSLEHLPQQVPSEPPAAQPGCHPLWSNPG GEPYEEKVSVDLSSYVPSLTYHPPQQDPFLLTYGSPTQQQHALPGKSNRWDFDEEMACMG LDHFNNEMLLNFCSLR >ENSMUSP00000097965.2 pep:known chromosome:GRCm38:15:82059151:82066538:1 gene:ENSMUSG00000075524.2 transcript:ENSMUST00000100396.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930407I10Rik description:RIKEN cDNA 4930407I10 gene [Source:MGI Symbol;Acc:MGI:2685593] MLLPLLGACAVIGPFQGPEWEPVRGLLSQDQSCKDPRCCGNLLVSCLFLIWQIQQYWHQF SRTRKRNVTKVPPQRWTVLSAPQDTFVGMIPEFFTHGKNRGLDVHIQQWMQKRRWGYQKT VRQQWDTQYLLSLQKPCQDLPWDVHTSTEPIFCTSSFSNTCLLPQDSSWEAWQLPWYPRD SQAHPSLAICQRTEQLLDPSHTLVPAEPVSLRYTSTTLAFSLPNFPPAQSPNVCLREFLP SPLNQQLEMSTKECLEHPQGPLGPWSQTKTVGRECSEATLQYLNKRKSRREDAQEIRASR AFCPIDSGVEEEEQAKALGYRDQRQERRDTDGEISVSEWERQTQVRTAVREQTEKLQRKA QREPGEESSSPQSHIGENQEEFRCKTDIATQIPAWVDQEDAVETPTLGKNEKEARGKEEA EVEAQGLETQDWTGSKAAENSQGLKWGTRDQFGGNTGTETEVEEGRNKDQIGSGDGVKIE TSGRENPGEFKQQDNEKTQALGWEKQRCIRTENDVQTPAGERGGQSSSENDWKIQASKGE NQNLSRQEVELGLKKLREVREEDWVVIQAPCWGSQSLRLIAVKRGPCCRDQSQVRGEYTV DISTLESDQREGGDADAANQVTPEAEEQARSSEPDMETCIVPSQDEEKTEEENGMYALAQ GKRNLRGANGTDGAQTQKLGEENQGQLGNESHKMIHGPKRKNQKQVRGNDHINNQTSETG NWAELTSKKGDMTHGTGYEEAEEAEGEDGTEGMRIVRGAGGEEGTETKAAVEESQSPLGD VGTNTHSSELENQKEMGSEDATEARAPEQRNKTEPGNNDIKTQRPESESQGQLAEAGGIG EQAKGESTSGNGAAEKKNWGEGAGEDCRKLQRPRRKDQRLRLGEVDGKTYQLEWKNQENF RDGNDADIQKQGKRNPLCFTGDDGSDTRASVGEDQRQSVCETDEKSQTPGQRNQGKRRDT ATEIQDVGVQRKRRAEGSKLSHPSGRGDKGRVGRKDAVRPSPPNDSSGKVGPTRQKCSSA QLASLTSGYGTPGHKQPMAGNGVDSAPGSEEHLSCQGRAAAWKHRREVSERTQKAQPGSQ RRQERDKRVDLGKASSPTCQDPYPQSQASSVFPSLLCPQVSQAAPAVPCVPVALKTLHKW PALKKSKHLLLESLMKRRIAHLRWGLPRRILESYLLFHFLESCSLPPAGGRLPGSRTNRE RQRHQERHCESQASLLGLESPVGTQSRPVLEKKSSKLCTQVQAVEKRRPTKPEPTGSSIP PKKPRRIRPPGGAREPQIQEEAPKAKIPAPKIPRPAVEPRSWHNPGGVPEFSIENSRSRE MVRSGVSHVEEKTSSRAKASSSPGGCNHLKKERVPREASGLPANKYLHPTGGKSGSVRPS EDRKRASSAHTSSLKGSIHSAAARLSMTVWSKMAWSTQLAKPQHSTPFLTPRNPTPLNKV GAPHTDVNCSRFPTALEKDLGPPGVTVSRIESYQEHETPGNSMGTPHNPAISQKFGFMRH LRYFLRQYGLKK >ENSMUSP00000096536.1 pep:known chromosome:GRCm38:8:12278819:12280657:1 gene:ENSMUSG00000074473.1 transcript:ENSMUST00000098935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A230072I06Rik description:RIKEN cDNA A230072I06 gene [Source:MGI Symbol;Acc:MGI:3588221] MRGSERRVAGGRERVGLQAWLLQKKEEWCQLTSGRARTVQLGVRDTHISASSSPVLVSAE GTRGMPCMGEEASTCSGREESGKSLASTPQQLLSGPPRRLHGVRALPARQIWTDCRLSGT FRPSASSLCSSSLRSGARSKQALRQEMFLNVSSGFGVQPFSCQGSFEQRGMF >ENSMUSP00000020493.7 pep:known chromosome:GRCm38:10:77259300:77269586:1 gene:ENSMUSG00000020260.8 transcript:ENSMUST00000020493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pofut2 description:protein O-fucosyltransferase 2 [Source:MGI Symbol;Acc:MGI:1916863] MAALSVVCLLLAAASWRPVSASGEEFWPGQSAADILSGAASRRRYLLYDVNPPEGFNLRR DVYIRVASLLKTLLKTEEWVLVLPPWGRLYHWQSPDIHQVRIPWSEFFDLPSLNKNIPVI EYEQFIAESGGPFIDQVYVLQGYAEGWKEGTWEEKVDARPCIDPLLYSQDKHEYYRGWFW GYEETRGLNVSCLSVQGSASIVAPVLLKNTSARSVMLDRAENLLHDHYGGREYWDTRRSM VFAKHLRAVGDEFRSQHLNSTDAADKMAPEEDWTKMKVKLGSALGGPYLGVHLRRKDFIW GHREDVPSLEGAVKKIRSLMKTHQLDKVFVATDAIRKEQEELRKLLPEMVRFEPTWEELE LYKDGGVAIIDQWICAHARFFIGTSVSTFSFRIHEEREILGLDPKTTYNRFCGDQEKACE QPTHWKIAY >ENSMUSP00000138066.1 pep:known chromosome:GRCm38:12:112999964:113001926:1 gene:ENSMUSG00000097100.1 transcript:ENSMUST00000180971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9230104M06Rik description:RIKEN cDNA 9230104M06 gene [Source:MGI Symbol;Acc:MGI:3642845] GRSRSLHRRTGLGGDLAADSRPGPASVSLSDCPRSVTGRPTSVSPPASTPPSDLAHAALP GPLARAAELTVQRHELADRRRAAAAVLHELHLGHDDVVLEHRAAARAHRIAARRIQLDVR AAAAAADAARHGGAAGPHRPPSRSAVRSPPAALSPQGPSRRLRLRRTLARPPAPRLPIRR GRFAQRVRAALPATAAPAGPIKELKNRWDAGTEPPTFRAAGSKAPNLEPGGLAGQKPTTG RDWRAGEKGGAGRGGARSAARDPTRAVGGA >ENSMUSP00000127017.1 pep:known chromosome:GRCm38:1:44055952:44061936:-1 gene:ENSMUSG00000091844.1 transcript:ENSMUST00000168641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8251 description:predicted gene 8251 [Source:MGI Symbol;Acc:MGI:3647616] LSYIEEDGVNIFERISMHPTAKHVNRKKSPLSCAPKIKRFKVDLEEQSKKKHESSRGKVM LLRETCSVITSSDVFKLDISEEVETETMQSHVPYPVLQKPLPVGQVGSTKTIDNNFTKRK LHPPWEEEVQTSAIENLTYLRGPIFMTKISAPPCVSSVKKHRTLSQKTHQWNSNEKTGQK QERTRHRNVVATKRDASIPLLTTGQIASTKQISECSKRKILLPVQEEVQTPTTDGSTYSS DIVLKAKISAPIHVFSLSEHSTPRKRKTPHGKIKKKIRQKQEKARKFNMYVMKTDSSIPS RASKKKDILGKVQFSLPPSKVKDASASDKTTYMKSSGYVLKNIKKPTQEEGRNRFRKDVK DEKIPKSIDQKVKKLPQSCTLTMKELQSKTQGEKLSDLARIVLKLCTSQLQLNKFLDSQI ADRKVYSEIKLLKEHVPQKEKVGKKKHVGVSSVVHPKNIHLKTKSSPILPMQNLSDFQWK ASKQGGKVREDRSDSRRTLTKKLTATSPQPNSGNTRIKEACVSMLTSPSVSMGYFQKSKD SEEGIYIQSIAGSSSISLHSEKHMSEEKGEAGMQIAKPVAHKYQQAKVQKLKDEQGLVLI KSPLLLDLPHPQLCEKTEFSTTKLRLTNPALQSISTEGKTERREVGITVGGTMKDAKQHP MPQREDTYRKEKIEIIDRRGTDITLKSRKSLLSQKLHRSELHMNIKAPQPKKYDDDESES RVLRKMYTSKASLVSITRCERVHVADGDHLLDGLESKPPSPLPQIVTALSDMEKMTHKED MCTNVRKGKQYSKQIEKTVDLTVEMPFKKAKVSPISLLLNTNKFVLNMKVLEKKVHENKS ELTTVASRTFLSIPSPDSRYSQDKTQKDTAGFTKSPHPQENFQEPSDAQDTATSELTEVD YKCIVKATEHNVLLQQNRSQTSKCVTSALRLSHHPHIKSQRKPTFPHHKIDFTRLGIIIG EKVLDMSFKWQKGQLGKYKKELLTKNLNWKTENEIKDNLSYKAKFSVSPIFSLEKIPLKK LSSVHSSKFQGKGDQSVMMIEQGKPKAFPFLNVPVPNRNQINVGRKPVVNISPQTQSRVR MSNKAVCDKRRKLGPPLTVTAKKNLQGQKLFQTNNLNSLYAYAPICPKSQKNRFTVTNLK RELRPKYSTMRIPKYPISKILGSPSRKRKLEYAFNKPKTVVPRSNDIPSEIIISSLSVSM INPNEKIVESKPNSRREFQEKLPDASKIKDILIHRNSFDTGEAAPGTVHIFPDKNNENKA LAEHLFPIYKILKSVFEVPVENKIQTRVLSEILEEVQAYKPGAAKAPPLPETSDTSSKIC ATLLHTPLLKQPTPELKNKLTMHLVSKASEIKLNQIPDMVNTSLQNRDSRPQGTISKDNS YPFYLKHIKMNLKSPKVDTIHANLKNNYESDFPSLSCVKTPTTNVSSSNRKALTEPKDIK KQESVTPLASLSNFPFIHVLNKFSEKEKDSLLGHLCTKTVEIHTMGLPSIATKSYAIANG QDKSKPLFKCIHTATKGPKRTNQVLVLFDEKSFCEIDCDLQHKYLRSLSKPSVTVVSMPN APPKHTSRISKGSGSVCKTGHGSKESSTLSFDKKLLQHVSLPKIIPQESSLCFRKFQEPT NVPDFYPGLRDTEQNDVFLSDLKLQMTPEKNKKCHVWFQETSAYKHSASGTQQNTADLAS SLSSWISDGTNVSLNTETSTDTVECQALEESNSEECVFIEANLYLTQDSQNFLSEVPKGI PLTDIHIGDKETYLKPFSHKDPNDSHTRTHRQHIFPVAQPFYQSQNSRKCRSNSKMQPPD WLSHSSSSTVEIESTSSSIMFSDEKHWTKTTWRRTSYSLTSSTTESSIKLSLAKTHGKSH MYPQLMDRNKARSDLWKKSRIYQNSHYSHLRSKERQTKKKRQTNQMPEPNAHWQNIKFYS ERRENQPFFYVCVPADSMDVIPKTVRWVIPNKILGKRNFQIPQVANISKSWNLWSSSKKL LGSLTGAFNAIRYG >ENSMUSP00000070175.2 pep:known chromosome:GRCm38:3:61362250:61365951:-1 gene:ENSMUSG00000053706.2 transcript:ENSMUST00000066298.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B430305J03Rik description:RIKEN cDNA B430305J03 gene [Source:MGI Symbol;Acc:MGI:3697707] MGTAGSSWLRPLRRLALLKDRHLSPNSGCCAPLRIVHLQGIFQAPEGSRGSCSHLARCSS VSHEELDLSPCPDKELGLGICGELQPSGQELRGHPTSPPSPTPLWLEPKYGLWIEPRGSR TESGHAQSEEQVWGLQVGRFRTAHPHASSNYLSQTPNSLAPGCESHTPKCFSIGCC >ENSMUSP00000075005.7 pep:known chromosome:GRCm38:7:45729984:45759555:-1 gene:ENSMUSG00000003271.16 transcript:ENSMUST00000075571.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult2b1 description:sulfotransferase family, cytosolic, 2B, member 1 [Source:MGI Symbol;Acc:MGI:1926342] MDGPQPRALWSSSEKNVSEMSWNFGGEYFRYKGIPFPVGMYSPESLSLAENTSNVRDDDI FIVTYPKSGTNWMIEIVCLILKDGDPSWIRSEPIWQRAPWCETIISAFNVLDRPSPRIMS SHLPIELFTKAFFSSKAKVIYVGRNPRDVVVSLYYYSKIAGQLKDPGTPDQFLQNFLKGE VQFGSWFDHIKGWIRMQNQENFLFITYEELQQDLRGSVQRICEFLGRPLGEEALSSVVAH SAFAAMKANTMSNYSLLPASLLDHRQGEFLRKGISGDWKNHFTVAQSEAFDSVYREQMHG VQRFPWDTSEEDSSPDGQPDPEPSPSPASDDPNPGSSQ >ENSMUSP00000099077.3 pep:known chromosome:GRCm38:12:24044701:24096968:-1 gene:ENSMUSG00000073158.3 transcript:ENSMUST00000101538.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9030624G23Rik description:RIKEN cDNA 9030624G23 gene [Source:MGI Symbol;Acc:MGI:1914058] MPDPISVSEFVAETLEDYMAPTASSFTTRTAQCRDTAAAIEEDAVTYDDVHVNFTGEEWK LLDPSQKSLYKDVMLETYWNLTVIGYTWETHHIEGHCQSSRRNERFSLHCQLPWASRIAS WVLRCCRICGNTGSSPTMSFRSGRRAPSMTVPLAT >ENSMUSP00000129853.2 pep:known chromosome:GRCm38:18:31819862:31820413:-1 gene:ENSMUSG00000091561.2 transcript:ENSMUST00000165131.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6665 description:predicted gene 6665 [Source:MGI Symbol;Acc:MGI:3643253] SRTPDYDRSQWLSEKFKLGLDFPNLPYLIDGSHKITQSNAILRYLARKHNLPDFEKKKPE YLEGNKSSRFLSKPIFAKMAFWNPK >ENSMUSP00000023786.5 pep:known chromosome:GRCm38:15:101676034:101680289:-1 gene:ENSMUSG00000023041.6 transcript:ENSMUST00000023786.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt6b description:keratin 6B [Source:MGI Symbol;Acc:MGI:1333768] MSTKTTIKSQTSHRGYSASSARVPGLNRSGFSSVSVCRSRGSGGSSAMCGGAGFGSRSLY GVGSSKRISIGGGSCGIGGGYGSRFGGSFGIGGGAGSGFGFGGGVGFGGGYGGAGFPVCP PGGIQEVTINQNLLTPLNVQIDPTIQRVRTEEREQIKTLNNKFASFIDKVRFLEQQNKVL DTKWALLQEQGTKTVRQNLEPMFEQYISNLRRQLDSIIGERGRLDSELRNMQDTVEDYKS KYEDEINKRTKAENEFVTVKKDVDAAYMTKVELQAKADSLADEINFLRVIYEAELSQMQT HISDTSVVLSMDNNRSLDLDSIIAEVKAQYEDIAQRSRAEAESWYQTKYEELQVTAGRHG DDLRNTKQEIAEINRMIQRLRSEIDHVKKQCANLQAAIADAEQRGEMALKDARGKLEGLE DALQKAKQEMARLLKEYQELMNVKLALDVEIATYRKLLEGEECRLNGEGVGPVNISVVQS TVSSGYGSAGGASSSLGLGGSSSYSYGSSHGLGGGFSAGSGRAIGGGLSSSGGLSSSTIK YTTSASSSRKSYRH >ENSMUSP00000037340.6 pep:known chromosome:GRCm38:15:7873055:8099209:-1 gene:ENSMUSG00000039828.6 transcript:ENSMUST00000045766.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr70 description:WD repeat domain 70 [Source:MGI Symbol;Acc:MGI:1921020] MEHSGTSEVTGADTAGPDPQLAVTMGFTGFGKKARTFDLEAMFEQTRRTAVERSRKTLEA REKEEEMNREKELRKQIEDMEPAPSSSSAARERSQSSCRDTSSSDSESDDSSDSSDDELI GPPLPPKMVGESVTTVDEGTLGPLPPPLCEEGEDDDDDELDDEGEEDNPVQRIPDSHEIT LRHGTKTVSALGLDPSGARLVTGGYDYDVKFWDFAGMDASFKAFRSLQPCECHQIKSLQY SNTGDMILVVSGSSQAKVIDRDGFEVMECIKGDQYIVDMANTKGHTAMLHTGSWHPKIKG EFMTCSNDATVRLWEVENPKKQKSVFKPRTMQGKKVIPTTCTYSRDGNLVAAACQNGSIQ IWDRNLTVHPKFHYKQAHDPGTDTSCVAFSYDGNVLASRGGDDTLKLWDVRQFNKPLFSA SDLPTLFPMTDCCFSPDDKLIVTGTSVQRGCGSGKLVFLERRTFQRVYEIHITDASVVRC LWHPKLNQIMVGTGNGLAKVYYDPNKSQRGAKLCVVKTQRKAKQAETLTQDYIITPHALP MFREPRQRSTRKQLEKDRLDPLKSHKPEPPVAGPGRGGRVGTHGGTLSSYIVKNIALDKT DDSNPREAILRHAKAAEDNPYWVSPAYSKTQPKTMFAQVESDDEESKNEPEWKKRKI >ENSMUSP00000034443.5 pep:known chromosome:GRCm38:8:122848374:122867397:1 gene:ENSMUSG00000031962.5 transcript:ENSMUST00000034443.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh15 description:cadherin 15 [Source:MGI Symbol;Acc:MGI:106672] MGSALLLALGLLAQSLGLSWAVPEPKPSTLYPWRRASAPGRVRRAWVIPPISVSENHKRL PYPLVQIKSDKQQLGSVIYSIQGPGVDEEPRNVFSIDKFTGRVYLNATLDREKTDRFRLR AFALDLGGSTLEDPTDLEIVVVDQNDNRPAFLQDVFRGRILEGAIPGTFVTRAEATDADD PETDNAALRFSILEQGSPEFFSIDEHTGEIRTVQVGLDREVVAVYNLTLQVADMSGDGLT ATASAIISIDDINDNAPEFTKDEFFMEAAEAVSGVDVGRLEVEDKDLPGSPNWVARFTIL EGDPDGQFKIYTDPKTNEGVLSVVKPLDYESREQYELRVSVQNEAPLQAAAPRARRGQTR VSVWVQDTNEAPVFPENPLRTSIAEGAPPGTSVATFSARDPDTEQLQRISYSKDYDPEDW LQVDGATGRIQTQRVLSPASPFLKDGWYRAIILALDNAIPPSTATGTLSIEILEVNDHAP ALALPPSGSLCSEPDQGPGLLLGATDEDLPPHGAPFHFQLNPRVPDLGRNWSVSQINVSH ARLRLRHQVSEGLHRLSLLLQDSGEPPQQREQTLNVTVCRCGSDGTCLPGAAALRGGGVG VSLGALVIVLASTVVLLVLILLAALRTRFRGHSRGKSLLHGLQEDLRDNILNYDEQGGGE EDQDAYDINQLRHPVEPRATSRSLGRPPLRRDAPFSYVPQPHRVLPTSPSDIANFISDGL EAADSDPSVPPYDTALIYDYEGDGSVAGTLSSILSSLGDEDQDYDYLRDWGPRFARLADM YGHQ >ENSMUSP00000033828.5 pep:known chromosome:GRCm38:8:13465374:13494535:-1 gene:ENSMUSG00000031451.5 transcript:ENSMUST00000033828.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas6 description:growth arrest specific 6 [Source:MGI Symbol;Acc:MGI:95660] MPPPPGPAAALGTALLLLLLASESSHTVLLRAREAAQFLRPRQRRAYQVFEEAKQGHLER ECVEEVCSKEEAREVFENDPETEYFYPRYQECMRKYGRPEEKNPDFAKCVQNLPDQCTPN PCDKKGTHICQDLMGNFFCVCTDGWGGRLCDKDVNECVQKNGGCSQVCHNKPGSFQCACH SGFSLASDGQTCQDIDECTDSDTCGDARCKNLPGSYSCLCDEGYTYSSKEKTCQDVDECQ QDRCEQTCVNSPGSYTCHCDGRGGLKLSPDMDTCEDILPCVPFSMAKSVKSLYLGRMFSG TPVIRLRFKRLQPTRLLAEFDFRTFDPEGVLFFAGGRSDSTWIVLGLRAGRLELQLRYNG VGRITSSGPTINHGMWQTISVEELERNLVIKVNKDAVMKIAVAGELFQLERGLYHLNLTV GGIPFKESELVQPINPRLDGCMRSWNWLNGEDSAIQETVKANTKMQCFSVTERGSFFPGN GFATYRLNYTRTSLDVGTETTWEVKVVARIRPATDTGVLLALVGDDDVVPISVALVDYHS TKKLKKQLVVLAVEDVALALMEIKVCDSQEHTVTVSLREGEATLEVDGTKGQSEVSTAQL QERLDTLKTHLQGSVHTYVGGLPEVSVISAPVTAFYRGCMTLEVNGKILDLDTASYKHSD ITSHSCPPVEHATP >ENSMUSP00000132838.1 pep:known chromosome:GRCm38:18:64887756:65217826:1 gene:ENSMUSG00000024589.15 transcript:ENSMUST00000163516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd4l description:neural precursor cell expressed, developmentally down-regulated gene 4-like [Source:MGI Symbol;Acc:MGI:1933754] MATGLGEPVYGLSEEEGESRILRVKVVSGIDLAKKDIFGASDPYVKLSLYVADENRELAL VQTKTIKKTLNPKWNEEFYFRVNPSNHRLLFEVFDENRLTRDDFLGQVDVPLSHLPTEDP TMERPYTFKDFLLRPRSHKSRVKGFLRLKMAYMPKNGGQDEENSEQRDDMEHGWEVVDSN DSASQHQEELPPPPLPPGWEEKVDNLGRTYYVNHNNRSTQWHRPSLMDVSSESDNNIRQI NQEAAHRRFRSRRHISEDLEPEASEGGGEGPEPWETISEEMNMAGDSLSLALPPPPASPV SRTSPQELSEEVSRRLQITPDSNGEQFSSLIQREPSSRLRSCSVTDTVAEQAHLPPPSTP TRRARSSTVTGGEESTPSVAYVHTTPGLPSGWEERKDAKGRTYYVNHNNRTTTWTRPIMQ LAEDGASGSATNSNNHLVEPQIRRPRSLSSPTVTLSAPLEGAKDSPIRRAVKDTLSNPQS PQPSPYNSPKPQHKVTQSFLPPGWEMRIAPNGRPFFIDHNTKTTTWEDPRLKFPVHMRSK ASLNPNDLGPLPPGWEERIHLDGRTFYIDHNSKITQWEDPRLQNPAITGPAVPYSREFKQ KYDYFRKKLKKPADIPNRFEMKLHRNNIFEESYRRIMSVKRPDVLKARLWIEFESEKGLD YGGVAREWFFLLSKEMFNPYYGLFEYSATDNYTLQINPNSGLCNEDHLSYFTFIGRVAGL AVFHGKLLDGFFIRPFYKMMLGKQITLNDMESVDSEYYNSLKWILENDPTELDLMFCIDE ENFGQTYQVDLKPNGSEIMVTNENKREYIDLVIQWRFVNRVQKQMNAFLEGFTELLPIDL IKIFDENELELLMCGLGDVDVNDWRQHSIYKNGYCPNHPVIQWFWKAVLLMDAEKRIRLL QFVTGTSRVPMNGFAELYGSNGPQLFTIEQWGSPEKLPRAHTCFNRLDLPPYETFEDLRE KLLMAVENAQGFEGVD >ENSMUSP00000079280.4 pep:known chromosome:GRCm38:18:65023527:65217826:1 gene:ENSMUSG00000024589.15 transcript:ENSMUST00000080418.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd4l description:neural precursor cell expressed, developmentally down-regulated gene 4-like [Source:MGI Symbol;Acc:MGI:1933754] MERPYTFKDFLLRPRSHKSRVKGFLRLKMAYMPKNGGQDEENSEQRDDMEHGWEVVDSND SASQHQEELPPPPLPPGWEEKVDNLGRTYYVNHNNRSTQWHRPSLMDVSSESDNNIRQIN QEAAHRRFRSRRHISEDLEPEASEGGGEGPEPWETISEEMNMAGDSLSLALPPPPASPVS RTSPQELSEEVSRRLQITPDSNGEQFSSLIQREPSSRLRSCSVTDTVAEQAHLPPPSTPT RRARSSTVTGGEESTPSVAYVHTTPGLPSGWEERKDAKGRTYYVNHNNRTTTWTRPIMQL AEDGASGSATNSNNHLVEPQIRRPRSLSSPTVTLSAPLEGAKDSPIRRAVKDTLSNPQSP QPSPYNSPKPQHKVTQSFLPPGWEMRIAPNGRPFFIDHNTKTTTWEDPRLKFPVHMRSKA SLNPNDLGPLPPGWEERIHLDGRTFYIDHNSKITQWEDPRLQNPAITGPAVPYSREFKQK YDYFRKKLKKPADIPNRFEMKLHRNNIFEESYRRIMSVKRPDVLKARLWIEFESEKGLDY GGVAREWFFLLSKEMFNPYYGLFEYSATDNYTLQINPNSGLCNEDHLSYFTFIGRVAGLA VFHGKLLDGFFIRPFYKMMLGKQITLNDMESVDSEYYNSLKWILENDPTELDLMFCIDEE NFGQTYQVDLKPNGSEIMVTNENKREYIDLVIQWRFVNRVQKQMNAFLEGFTELLPIDLI KIFDENELELLMCGLGDVDVNDWRQHSIYKNGYCPNHPVIQWFWKAVLLMDAEKRIRLLQ FVTGTSRVPMNGFAELYGSNGPQLFTIEQWGSPEKLPRAHTCFNRLDLPPYETFEDLREK LLMAVENAQGFEGVD >ENSMUSP00000071973.3 pep:known chromosome:GRCm38:12:74297474:74300468:1 gene:ENSMUSG00000029878.5 transcript:ENSMUST00000072100.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbpht2 description:DNA binding protein with his-thr domain [Source:MGI Symbol;Acc:MGI:2679513] MHTHTHTHIHTERWRLMQVCTHKHTDIQIHIYTHAHMRETDTKRWRSRDTRGYTQMLTHT ETHTDICRYTHRYIHRYACTDTLPTHTHTHTHTHTHTHTHTHEPGNEEHTDTRKGGEKYR ENQGPGEW >ENSMUSP00000038405.7 pep:known chromosome:GRCm38:10:17723228:17725673:1 gene:ENSMUSG00000039910.9 transcript:ENSMUST00000038107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cited2 description:Cbp/p300-interacting transactivator, with Glu/Asp-rich carboxy-terminal domain, 2 [Source:MGI Symbol;Acc:MGI:1306784] MADHMMAMNHGRFPDGTNGLHHHPAHRMGMGQFPSPHHHQQQQPQHAFNALMGEHIHYGA GNMNATSGIRHAMGPGTVNGGHPPSALAPAARFNNSQFMGPPVASQGGSLPASMQLQKLN NQYFNHHPYPHNHYMPDLHPTAGHQMNGTNQHFRDCNPKHSGGSSTPGGAGGSGTPGGSG GTSGGAGGSSAGGSGGGSTMPASVAHVPAAMLPPNVIDTDFIDEEVLMSLVIEMGLDRIK ELPELWLGQNEFDFMTDFVCKQQPSRVSC >ENSMUSP00000026414.7 pep:known chromosome:GRCm38:10:128720141:128744056:-1 gene:ENSMUSG00000025357.7 transcript:ENSMUST00000026414.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgka description:diacylglycerol kinase, alpha [Source:MGI Symbol;Acc:MGI:102952] MAKEKGLISPEDFAQLQKYIEYSTKRVSDVLKVFDDGEMNRFCQGDAIGYLGFEQFMKMY LEMEEVPHHLCWALFWSFHTSQVAAEKTKSKANVICLSDVYCYFTLLEGGRPEDKLEFTF KLYDMDRNGILDSTEVEKIILQMMRVAEYLDWDVSELRPILQEMMREMDQDGSGSVSLDE WVRAGATTVPLLVLLGMDVTMKDDGNHIWRPKRFTRLVYCNLCEQSISLGKQGLSCNFCK YIVHDHCAMKAQPCEVSTYAKSRKDIGVQSHLWVRGGCHSGRCDRCQKKIRTYHSLTGLH CVWCHLEIHDDCLQAVGPECDCGLLRDHILPPCSIYPSVLVSGQECKHKTTDDTSLCTPE AFRIEPVSNTHPLLVFINLKSGGKQGQSVLWKFQYILNPRQVFDLKDGPEPGLRFFKDVP QFRILVCGGDGTVGWVLETIDKANFATVPPVAVLPLGTGNDLARCLRWGRGYEGENLRKI LKDIELSKVVYLDRWFLEVIPQQNGEKSDPVPSQIINNYFSIGVDASIAHRFHLMREKYP EKFNSRMKNKLWYFEFATSESIFSTCKKLEESVTVEICGKLLDLSDLSLEGIAVLNIPST HGGSNLWGDTKRPHGDTCEINQALGSAAKIITDPDILKTCVPDMSDKRLEVVGIEGAIEM GQIYTRLKSAGHRLAKCSEITFQTTKTLPMQIDGEPWMQAPCTIKITHKNQMPMLMGPPS NSYNFFGFWS >ENSMUSP00000025314.5 pep:known chromosome:GRCm38:18:38250249:38262629:1 gene:ENSMUSG00000024442.5 transcript:ENSMUST00000025314.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610009O20Rik description:RIKEN cDNA 0610009O20 gene [Source:MGI Symbol;Acc:MGI:1914089] MWRLTGILGRALPRLLGPGFRGITPKPTSSDGSQTTSPTLPLTRLSFDRSGSHGSKRSRD PKCCGWKDAFHWMSAHVSPNTLRDAISWGTLAVLALHLARQIHFHAPLVAGPQPAERSWH SPLYRFLSSSWWHPHSSLRRHVLPRSDCPAPRNTGLREPRQGQEDHPSAPSQCLPSDSSL RSGLLNLPEEEPSDFDFLHASRDFASQAKAAEAHPPGGKNEQDKAKALPLEEAVTSIQQL FQLSVAITFNFLGTENIKTGDYTAAFSYFQKAADRGYSKAQYNVGLCLEHGRGTPRDLSK AILFYHLAAVQGHSLAQYRYARCLLQSPGSLSDPERERAVSLLKQAADSGLTEAQAFLGV LFTKEPHLDEQRAVKYLWLAASNGDSQSRFHLGICYEKGLGAQRNLGEAVKCYQQAAAMG NEPARERLRTLFNVEAAGPSHLATTGLKSFSSPSLCSLNTLLAGASGLPHASSTGNLGLL CRSGHLGASHGAPSRTIPSLERSLVRLGFG >ENSMUSP00000033012.7 pep:known chromosome:GRCm38:7:114215559:114254680:-1 gene:ENSMUSG00000030754.8 transcript:ENSMUST00000033012.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Copb1 description:coatomer protein complex, subunit beta 1 [Source:MGI Symbol;Acc:MGI:1917599] MTAAENVCYTLINVPMDSEPPSEISLKNDLEKGDVKSKTEALKKVIIMILNGEKLPGLLM TIIRFVLPLQDHTIKKLLLVFWEIVPKTTPDGRLLHEMILVCDAYRKDLQHPNEFIRGST LRFLCKLKEAELLEPLMPAIRACLEHRHSYVRRNAVLAIYTIYRNFEHLIPDAPELIHDF LVNEKDASCKRNAFMMLIHADQDRALDYLSTCIDQVQTFGDILQLVIVELIYKVCHANPS ERARFIRCIYNLLQSSSPAVKYEAAGTLVTLSSAPTAIKAAAQCYIDLIIKESDNNVKLI VLDRLVELKEHPAHERVLQDLVMDILRVLSTPDLEVRKKTLQLALDLVSSRNVEELVIVL KKEVIKTNNVSEHEDTDKYRQLLVRTLHSCSVRFPDMAANVIPVLMEFLSDSNEAAAADV LEFVREAIQRFDNLRMLIVEKMLEVFHAIKSVKIYRGALWILGEYCSTKEDIQSVMTEVR RSLGEIPIVESEIKKEAGELKPEEEITVGPVQKLVTEMGTYATQSALSSSRPTKKEEDRP PLRGFLLDGDFFVAASLATTLTKIALRYVALVQEKKKQNSFVAEAMLLMATILHLGKSSL PKKPITDDDVDRISLCLKVLSECSPLMNDIFNKECRQSLSQMLSAKLEEEKLSQKKESEK RNVTVQPDDPISFMQLTAKNEMNCKEDQFQLSLLAAMGNTQRKEAADPLASKLNKVTQLT GFSDPVYAEAYVHVNQYDIVLDVLVVNQTSDTLQNCTLELATLGDLKLVEKPSPLTLAPH DFANIKANVKVASTENGIIFGNIVYDVSGAASDRNCVVLSDIHIDIMDYIQPATCTDAEF RQMWAEFEWENKVTVNTNMTDLNDYLQHILKSTNMKCLTPEKALSGYCGFMAANLYARSI FGEDALANVSIEKPVHQGPDAAVTGHIRIRAKSQGMALSLGDKINLSQKKTSL >ENSMUSP00000137208.1 pep:novel scaffold:GRCm38:GL456211.1:30483:32396:-1 gene:ENSMUSG00000095250.1 transcript:ENSMUST00000179211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC133103.4 MFTLTKALEKALLQHFIYMKVNITYAINKPFPFFEALRDKSFITERMYKESLEACQNLVP LSKVVHNILTSLEQTFHPSVLLTLFSKVNLREYPSLVAIFRSFRNGNVVSPILVTCAVVL Q >ENSMUSP00000087942.5 pep:known chromosome:GRCm38:16:4968936:4978962:1 gene:ENSMUSG00000022543.8 transcript:ENSMUST00000090457.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930451G09Rik description:RIKEN cDNA 4930451G09 gene [Source:MGI Symbol;Acc:MGI:1921934] MLLEQLALLCATQSRVCNPTWKVSADKLQDTQEQVAGIRNASAEPGFQTEQIQKPAESRR LKTEPPTIFMDLRQTEPSEPPEHQSQESSEHSSSDSEEEEVESAGSAQATSSWEQRYCTG KSQLLQQLRAFRKGAVPPQRSASDSPGSQKTQASEDTAGSQTRRKKHIKPWAEKQNALDL GDPLGTQLLPGLGQL >ENSMUSP00000000080.6 pep:known chromosome:GRCm38:13:5861489:5870393:1 gene:ENSMUSG00000000078.6 transcript:ENSMUST00000000080.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf6 description:Kruppel-like factor 6 [Source:MGI Symbol;Acc:MGI:1346318] MKLSPALPGTVSARTPDRSPPCFPDSEDCLFQPDMDVLPMCSIFQELQIVHETGYFSALP SLEEYWQQTCLELERYLQSEPCYVSASEIKFDSQEDLWTKFILARGEKKEESELKISSSP PEDSLISSSFNYNLETNSLNSDVSSESSDSSEELSPTTKFTSDPIGEVLVNSGNLSSSVI STPPSSPEVNRESSQLWGCGPGDLPSPGKVRSGTSGKSGDKGNGDASPDGRRRVHRCHFN GCRKVYTKSSHLKAHQRTHTGEKPYRCSWEGCEWRFARSDELTRHFRKHTGAKPFKCSHC DRCFSRSDHLALHMKRHL >ENSMUSP00000081560.4 pep:known chromosome:GRCm38:8:25154552:25201449:-1 gene:ENSMUSG00000065954.10 transcript:ENSMUST00000084512.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc1 description:transforming, acidic coiled-coil containing protein 1 [Source:MGI Symbol;Acc:MGI:2443510] MAFSPWQILSPVQWAKWTWSAVRGSGAGEDEAGGPEGDPEEEEDSQAETKSLSFRSGCKV KKYEAQPLDLDACSQDEGAVISKISEIPNRDGHATDEEKLASTSSCAQKSAGAGVKGIEK ETCQKMEKEELAVHGLLESSSEKAPVSVACGGESPLDGICLSEADKTAVLTLIREEIITK EIEANEWKKKYEETREEVLEMRKIVAEYEKTIAQMIEDEQRTSMSSQKSFQQLTMEKEQA LADLNSVERSLSDLFRRYENLKGVLEGFKKNEEALKKCAQDYLARVKQEEQRYQALKVHA EEKLDRANEEIAQVRSKAKAESAALHAGLRKEQMKVESLERALQQKNQEIEELTKICDEL IAKLGKTD >ENSMUSP00000081043.4 pep:known chromosome:GRCm38:8:25154552:25201449:-1 gene:ENSMUSG00000065954.10 transcript:ENSMUST00000084030.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc1 description:transforming, acidic coiled-coil containing protein 1 [Source:MGI Symbol;Acc:MGI:2443510] MAFSPWQILSPVQWAKWTWSAVRGSGAGEDEAGGPEGDPEEEEDSQAETKSLSFSSDSEG NFETPEAETPIRSPLKESCDSSPGLAEPEAKPQESREADEQLVAEVIEKCSPDTCSRSSE NEAPQATVDSHPVKDVRGKAEHDVSKISVVRPFSIETRNCTDDPAALGTAAAHGCVPVLP GMALPSTTPEATQDEPVMDRGMGVTLEAFTEASLKTGGPCPEPVASRSKLRKPKPVSLRK KMAPEPEMLMEGSPLPKASSPWLPDGLDQNANPSVLRGSGAQRSPLNLKETAGVLSNDTS DSGVELQGGSRDPPLQLEDDFTEDGENVKIRSALPKQSGRKPSNKLAPSIRKDGVSKPVG VEQPSDPTVQDALLDQMSPKLDPSKRSHPPANFFGSGPILQNSPPLSSKCSHHFDPNNIN TDDSGDPCKPTPALTSSGFCPATGNHVNEILDSPKKAKSRLITSGCKVKKYEAQPLDLDA CSQDEGAVISKISEIPNRDGHATDEEKLASTSSCAQKSAGAGVKGIEKETCQKMEKEELA VHGLLESSSEKAPVSVACGGESPLDGICLSEADKTAVLTLIREEIITKEIEANEWKKKYE ETREEVLEMRKIVAEYEKTIAQMIEDEQRTSMSSQKSFQQLTMEKEQALADLNSVERSLS DLFRRYENLKGVLEGFKKNEEALKKCAQDYLARVKQEEQRYQALKVHAEEKLDRANEEIA QVRSKAKAESAALHAGLRKEQMKVESLERALQQKNQEIEELTKICDELIAKLGKTD >ENSMUSP00000065783.5 pep:known chromosome:GRCm38:8:40823009:40826861:1 gene:ENSMUSG00000054033.5 transcript:ENSMUST00000066814.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam39 description:a disintegrin and metallopeptidase domain 39 [Source:MGI Symbol;Acc:MGI:3045694] MQTTQRASSFAATEDNIAMDKAVVYTRIPHLYLWLEILNILSSWLLTGYAQHTSLPEVVI PLRVTGNRPMWAMGWLTYSLHFGGQKHFIHIKAKKFLVSRLFSVFTYTKQGALHKDQPYV QNDCYYHGHMDGDPESMVAITTCYGGFQGILQINGTVYEIKPKNLSSTFEHLVHKMDSEE TELYPMRCALTEEIAKQMKLQQNENPTLMQSHYEGWWTHRSFLDLALVVDCERVHYHNNN TSHVLVEVFTIVNIINNIYETLDVELVLLGVEMWNEKNHVQGRNINEFLVEFCDWKARSL NFRIPNDIAHIFVNLDFQIYLGVAYVGGVCLPSYNCGVDCLLGGNLFYFGHIIAHEIGHN LGMEHDSSSCTCGRNVCLMAPADNGISKFSNCSYSYFWTKYPTAKCMHKEKKSIGILRGK LCGDGVVDDGEQCDCGSAKNCADDPCCKRSCTLKDGAACAFGLCCKYCQIMEAGTVCRKR DNECDLPEWCNGHSHKCPNDVYLLDGSPCRDGGYCYEKRCNNRDKQCKQIFGKEARSADH SCYRELNTQGDRFGNCGMIRDAYLRCHDPDILCGRVQCENVTRIPFLRDHSTVHWTHLNG VTCWGTDYHFGMTIPDIGIVKDGTDCGPEHVCINKKCVSKPIWTSQCSPTTCSMKGVCNN LHHCHCNRGWDPPHCLKSGLGGSIDSGPPNYNEKKHKKSIGLVSLFWILFACFSILFILF LFFLRSYIELPMSEEPKVPTPEKKEDGNDVMNTEKE >ENSMUSP00000033920.4 pep:known chromosome:GRCm38:8:53511702:53523421:1 gene:ENSMUSG00000031521.4 transcript:ENSMUST00000033920.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aga description:aspartylglucosaminidase [Source:MGI Symbol;Acc:MGI:104873] MERKSNLSLLLLLLVLGMPLVRGSSPLPLVVNTWPFKNATEAAWWTLLSGGSALDAVENG CAVCEKEQCDGTVGFGGSPDEGGETTLDAMIMDGTAMDVGAVGGLRRIKNAIGVARRVLE HTTHTLLVGDSATKFAESMGFTNEDLSTKTSRDLHSDWLSRNCQPNYWRNVIPDPSKYCG PYKPSGFLKQSISPHKEEVDIHSHDTIGMVVIHKTGHTAAGTSTNGIKFKIPGRVGDSPI PGAGAYADDTAGAAAATGDGDTLLRFLPSYQAVEYMRGGDDPAIACQKVILRIQKYYPNF FGAVICASVNGSYGAACNKLPTFTQFSFMVSNSLHNEPTEKKVDCI >ENSMUSP00000095226.3 pep:known chromosome:GRCm38:18:34759556:34773738:1 gene:ENSMUSG00000034300.16 transcript:ENSMUST00000097622.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53c description:family with sequence similarity 53, member C [Source:MGI Symbol;Acc:MGI:1913556] MITLITEQLQKQTLDELKCTRFSVSLPLPDHADIPNCGDPFQLVSEGASWRGLPHCSCAE FQDSLNFSYHPSGLSLHLRPPSRGNSPKEPPLSQVLSPEPPDPEKLPVPPAPPSKRHCRS LSVPVDLSRWQPVWRPAPSKLWTPIKHRGNAGGGGPQVPQQSPPKRVSSLRFLQAPSASS QCAPAHRPYSPPFFSLALAQDSAQPCATSPQSGSWESDAESLSPCPPQRRFSLSPSLGPQ ASRFLPSARSSPASSPELPWRPRGLRNLPRSRSQPCDLDARKTGVKRRHEEDCRRLRPSL DFDKMNQKPYSGGLCLQETAREEGSNVSPPWFMACSPPPLSASCSPVEGSSQVLSESEEE EEGSVRWERQALSKRTLCQQDFGDLDLNLIEEN >ENSMUSP00000037034.5 pep:known chromosome:GRCm38:18:34758906:34773760:1 gene:ENSMUSG00000034300.16 transcript:ENSMUST00000049281.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53c description:family with sequence similarity 53, member C [Source:MGI Symbol;Acc:MGI:1913556] MITLITEQLQKQTLDELKCTRFSVSLPLPDHADIPNCGDPFQLVSEGASWRGLPHCSCAE FQDSLNFSYHPSGLSLHLRPPSRGNSPKEPPLSQVLSPEPPDPEKLPVPPAPPSKRHCRS LSVPVDLSRWQPVWRPAPSKLWTPIKHRGNAGGGGPQVPQQSPPKRVSSLRFLQAPSASS QCAPAHRPYSPPFFSLALAQDSAQPCATSPQSGSWESDAESLSPCPPQRRFSLSPSLGPQ ASRFLPSARSSPASSPELPWRPRGLRNLPRSRSQPCDLDARKTGVKRRHEEDCRRLRPSL DFDKMNQKPYSGGLCLQETAREEGSNVSPPWFMACSPPPLSASCSPVEGSSQVLSESEEE EEGSVRWERQALSKRTLCQQDFGDLDLNLIEEN >ENSMUSP00000085985.5 pep:known chromosome:GRCm38:15:90933276:91049948:-1 gene:ENSMUSG00000022629.16 transcript:ENSMUST00000088614.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif21a description:kinesin family member 21A [Source:MGI Symbol;Acc:MGI:109188] MLGAADESSVRVAVRIRPQLAKEKIEGCHICTSVTPGEPQVFLGKDKAFTFDYVFDIDSQ QEQIYTQCIEKLIEGCFEGYNATVFAYGQTGAGKTYTMGTGFDVNIMEEEQGIISRAVRH LFKSIDEKKTSAIKNGLPPPEFKVNAQFLELYNEEVLDLFDTTRDIDAKNKKSNIRIHED STGGIYTVGVTTRTVNTEPEMMQCLKLGALSRTTASTQMNVQSSRSHAIFTIHVCQTRVC PQTDAENATDNKLISESSPMNEFETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCG LLALGNVISALGDKSKRATHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLN TLKYANRARNIKNKVMVNQDRASQQINALRSEITRLQMELMEYKTGKRIIDEEGVESIND MFHENAMLQTENNNLRVRIKAMQETVDALRARITQLVSEQANQVLARAGEGNEEISNMIH SYIKEIEDLRAKLLESEAVNENLRKNLTRATARSPYFSASSAFSPTILSSDKETIEIIDL AKKDLEKLKRKEKKKKKRLQKLEESGREERSVAGKDDNADTDQEKKEEKGVSEKENNELD VEENQEVSDHEDEEEEEEDEEEEDDIEGEESSDESDSESDEKANYQADLANITCEIAIKQ KLIDELENSQKRLQTLKKQYEEKLMMLQHKIRDTQLERDQVLQNLGSVESYSEEKAKKVK CEYEKKLHAMNKELQRLQTAQKEHARLLKNQSQYEKQLKKLQQDVMEMKKTKVRLMKQMK EEQEKARLTESRRNREIAQLKKDQRKRDHQLRLLEAQKRNQEVVLRRKTEEVTALRRQVR PMSDKVAGKVTRKLSSSESPAPDTGSSAASGEADTSRPGTQQKMRIPVARVQALPTPTTN GTRKKYQRKGFTGRVFTSKTARMKWQLLERRVTDIIMQKMTISNMEADMNRLLRQREELT KRREKLSKRREKIVKESGEGDKSVANIIEEMESLTANIDYINDSIADCQANIMQMEEAKE EGETLDVTAVINACTLTEARYLLDHFLSMGINKGLQAAQKEAQIKVLEGRLKQTEITSAT QNQLLFHMLKEKAELNPELDALLGHALQDLDGAPPENEEDSSEEDGPLHSPGSEGSTLSS DLMKLCGEVKPKNKARRRTTTQMELLYADSSEVASDTSAGDASLSGPLAPVAEGQEIGMN TETSGTSARDKELLAPSGLPSKIGSISRQSSLSEKKVPEPSPVTRRKAYEKADKPKAKEH KHSDSGASETSLSPPSSPPSRPRNELNVFNRLTVPQGTPSVQQDKSDESDSSLSEVHRGI INPFPACKGVRASPLQCVHIAEGHTKAVLCVDSTDDLLFTGSKDRTCKVWNLVTGQEIMS LGVHPNNVVSVKYCNYTSLVFTVSTSYIKVWDIRESAKCIRTLTSSGQVTLGEACSASTS RTVAIPSGESQINQIALNPTGTFLYAASGNAVRMWDLKRFQSTGKLTGHLGPVMCLTVDQ ISNGQDLIITGSKDHYIKMFDVTEGALGTVSPTHNFEPPHYDGIEALAIQGDNLFSGSRD NGIKKWDLAQKGLLQQVPNAHKDWVCALGLVPGHPVLLSGCRGGILKLWNVDTFVPVGEM RGHDSPINAICVNSTHVFTAADDRTVRIWKAHNLQDGQLSDTGDLGEDIASN >ENSMUSP00000097877.4 pep:known chromosome:GRCm38:15:90933276:91049948:-1 gene:ENSMUSG00000022629.16 transcript:ENSMUST00000100304.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif21a description:kinesin family member 21A [Source:MGI Symbol;Acc:MGI:109188] MLGAADESSVRVAVRIRPQLAKEKIEGCHICTSVTPGEPQVFLGKDKAFTFDYVFDIDSQ QEQIYTQCIEKLIEGCFEGYNATVFAYGQTGAGKTYTMGTGFDVNIMEEEQGIISRAVRH LFKSIDEKKTSAIKNGLPPPEFKVNAQFLELYNEEVLDLFDTTRDIDAKNKKSNIRIHED STGGIYTVGVTTRTVNTEPEMMQCLKLGALSRTTASTQMNVQSSRSHAIFTIHVCQTRVC PQTDAENATDNKLISESSPMNEFETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCG LLALGNVISALGDKSKRATHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLN TLKYANRARNIKNKVMVNQDRASQQINALRSEITRLQMELMEYKTGKRIIDEEGVESIND MFHENAMLQTENNNLRVRIKAMQETVDALRARITQLVSEQANQVLARAGEGNEEISNMIH SYIKEIEDLRAKLLESEAVNENLRKNLTRATARSPYFSASSAFSPTILSSDKETIEIIDL AKKDLEKLKRKEKKKKKRLQKLEESGREERSVAGKDDNADTDQEKKEEKGVSEKENNELD VEENQEVSDHEDEEEEEEDEEEEDDIEGEESSDESDSESDEKANYQADLANITCEIAIKQ KLIDELENSQKRLQTLKKQYEEKLMMLQHKIRDTQLERDQVLQNLGSVESYSEEKAKKVK CEYEKKLHAMNKELQRLQTAQKEHARLLKNQSQYEKQLKKLQQDVMEMKKTKVRLMKQMK EEQEKARLTESRRNREIAQLKKDQRKRDHQLRLLEAQKRNQEVVLRRKTEEVTALRRQVR PMSDKVAGKVTRKLSSSESPAPDTGSSAASGEADTSRPGTQQKMRIPVARVQALPTPTTN GTRKKYQRKGFTGRVFTSKTARMKWQLLERRVTDIIMQKMTISNMEADMNRLLRQREELT KRREKLSKRREKIVKESGEGDKSVANIIEEMESLTANIDYINDSIADCQANIMQMEEAKE EGETLDVTAVINACTLTEARYLLDHFLSMGINKGLQAAQKEAQIKVLEGRLKQTEITSAT QNQLLFHMLKEKAELNPELDALLGHALQALTQKPKQNEEDSSEEDGPLHSPGSEGSTLSS DLMKLCGEVKPKNKARRRTTTQMELLYADSSEVASDTSAGDASLSGPLAPVAEGQEIGMN TETSGTSARDKELLAPSGLPSKIGSISRQSSLSEKKVPEPSPVTRRKAYEKADKPKAKEH KHSDSGASETSLSPPSSPPSRPRNELNVFNRLTVPQGTPSVQQDKSDESDSSLSEVHRGI INPFPACKGVRASPLQCVHIAEGHTKAVLCVDSTDDLLFTGSKDRTCKVWNLVTGQEIMS LGVHPNNVVSVKYCNYTSLVFTVSTSYIKVWDIRESAKCIRTLTSSGQVTLGEACSASTS RTVAIPSGESQINQIALNPTGTFLYAASGNAVRMWDLKRFQSTGKLTGHLGPVMCLTVDQ ISNGQDLIITGSKDHYIKMFDVTEGALGTVSPTHNFEPPHYDGIEALAIQGDNLFSGSRD NGIKKWDLAQKGLLQQVPNAHKDWVCALGLVPGHPVLLSGCRGGILKLWNVDTFVPVGEM RGHDSPINAICVNSTHVFTAADDRTVRIWKAHNLQDGQLSDTGDLGEDIASN >ENSMUSP00000066911.8 pep:known chromosome:GRCm38:15:90933276:91049948:-1 gene:ENSMUSG00000022629.16 transcript:ENSMUST00000067205.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif21a description:kinesin family member 21A [Source:MGI Symbol;Acc:MGI:109188] MLGAADESSVRVAVRIRPQLAKEKIEGCHICTSVTPGEPQVFLGKDKAFTFDYVFDIDSQ QEQIYTQCIEKLIEGCFEGYNATVFAYGQTGAGKTYTMGTGFDVNIMEEEQGIISRAVRH LFKSIDEKKTSAIKNGLPPPEFKVNAQFLELYNEEVLDLFDTTRDIDAKNKKSNIRIHED STGGIYTVGVTTRTVNTEPEMMQCLKLGALSRTTASTQMNVQSSRSHAIFTIHVCQTRVC PQTDAENATDNKLISESSPMNEFETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCG LLALGNVISALGDKSKRATHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLN TLKYANRARNIKNKVMVNQDRASQQINALRSEITRLQMELMEYKTGKRIIDEEGVESIND MFHENAMLQTENNNLRVRIKAMQETVDALRARITQLVSEQANQVLARAGEGNEEISNMIH SYIKEIEDLRAKLLESEAVNENLRKNLTRATARSPYFSASSAFSPTILSSDKETIEIIDL AKKDLEKLKRKEKKKKKSVAGKDDNADTDQEKKEEKGVSEKENNELDVEENQEVSDHEDE EEEEEDEEEEDDIEGEESSDESDSESDEKANYQADLANITCEIAIKQKLIDELENSQKRL QTLKKQYEEKLMMLQHKIRDTQLERDQVLQNLGSVESYSEEKAKKVKCEYEKKLHAMNKE LQRLQTAQKEHARLLKNQSQYEKQLKKLQQDVMEMKKTKVRLMKQMKEEQEKARLTESRR NREIAQLKKDQRKRDHQLRLLEAQKRNQEVVLRRKTEEVTALRRQVRPMSDKVAGKVTRK LSSSESPAPDTGSSAASGEADTSRPGTQQKMRIPVARVQALPTPTTNGTRKKYQRKGFTG RVFTSKTARMKWQLLERRVTDIIMQKMTISNMEADMNRLLRQREELTKRREKLSKRREKI VKESGEGDKSVANIIEEMESLTANIDYINDSIADCQANIMQMEEAKEEGETLDVTAVINA CTLTEARYLLDHFLSMGINKGLQAAQKEAQIKVLEGRLKQTEITSATQNQLLFHMLKEKA ELNPELDALLGHALQDLDGAPPENEEDSSEEDGPLHSPGSEGSTLSSDLMKLCGEVKPKN KARRRTTTQMELLYADSSEVASDTSAGDASLSGPLAPVAEGQEIGMNTETSGTSARDKEL LAPSGLPSKIGSISDSGASETSLSPPSSPPSRPRNELNVFNRLTVPQGTPSVQQDKSDES DSSLSEVHSRSTRRGIINPFPACKGVRASPLQCVHIAEGHTKAVLCVDSTDDLLFTGSKD RTCKVWNLVTGQEIMSLGVHPNNVVSVKYCNYTSLVFTVSTSYIKVWDIRESAKCIRTLT SSGQVTLGEACSASTSRTVAIPSGESQINQIALNPTGTFLYAASGNAVRMWDLKRFQSTG KLTGHLGPVMCLTVDQISNGQDLIITGSKDHYIKMFDVTEGALGTVSPTHNFEPPHYDGI EALAIQGDNLFSGSRDNGIKKWDLAQKGLLQQVPNAHKDWVCALGLVPGHPVLLSGCRGG ILKLWNVDTFVPVGEMRGHDSPINAICVNSTHVFTAADDRTVRIWKAHNLQDGQLSDTGD LGEDIASN >ENSMUSP00000104911.2 pep:known chromosome:GRCm38:15:90933276:91049948:-1 gene:ENSMUSG00000022629.16 transcript:ENSMUST00000109288.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif21a description:kinesin family member 21A [Source:MGI Symbol;Acc:MGI:109188] MLGAADESSVRVAVRIRPQLAKEKIEGCHICTSVTPGEPQVFLGKDKAFTFDYVFDIDSQ QEQIYTQCIEKLIEGCFEGYNATVFAYGQTGAGKTYTMGTGFDVNIMEEEQGIISRAVRH LFKSIDEKKTSAIKNGLPPPEFKVNAQFLELYNEEVLDLFDTTRDIDAKNKKSNIRIHED STGGIYTVGVTTRTVNTEPEMMQCLKLGALSRTTASTQMNVQSSRSHAIFTIHVCQTRVC PQTDAENATDNKLISESSPMNEFETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCG LLALGNVISALGDKSKRATHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLN TLKYANRARNIKNKVMVNQDRASQQINALRSEITRLQMELMEYKTGKRIIDEEGVESIND MFHENAMLQTENNNLRVRIKAMQETVDALRARITQLVSEQANQVLARAGEGNEEISNMIH SYIKEIEDLRAKLLESEAVNENLRKNLTRATARSPYFSASSAFSPTILSSDKETIEIIDL AKKDLEKLKRKEKKKKKSVAGKDDNADTDQEKKEEKGVSEKENNELDVEENQEVSDHEDE EEEEEDEEEEDDIEGEESSDESDSESDEKANYQADLANITCEIAIKQKLIDELENSQKRL QTLKKQYEEKLMMLQHKIRDTQLERDQVLQNLGSVESYSEEKAKKVKCEYEKKLHAMNKE LQRLQTAQKEHARLLKNQSQYEKQLKKLQQDVMEMKKTKVRLMKQMKEEQEKARLTESRR NREIAQLKKDQRKRDHQLRLLEAQKRNQEVVLRRKTEEVTALRRQVRPMSDKVAGKVTRK LSSSESPAPDTGSSAASGEADTSRPGTQQKMRIPVARVQALPTPTTNGTRKKYQRKGFTG RVFTSKTARMKWQLLERRVTDIIMQKMTISNMEADMNRLLRQREELTKRREKLSKRREKI VKESGEGDKSVANIIEEMESLTANIDYINDSIADCQANIMQMEEAKEEGETLDVTAVINA CTLTEARYLLDHFLSMGINKGLQAAQKEAQIKVLEGRLKQTEITSATQNQLLFHMLKEKA ELNPELDALLGHALQENEEDSSEEDGPLHSPGSEGSTLSSDLMKLCGEVKPKNKARRRTT TQMELLYADSSEVASDTSAGDASLSGPLAPVAEGQEIGMNTETSGTSARDKELLAPSGLP SKIGSMSDESDSSLSEVHRGIINPFPACKGVRASPLQCVHIAEGHTKAVLCVDSTDDLLF TGSKDRTCKVWNLVTGQEIMSLGVHPNNVVSVKYCNYTSLVFTVSTSYIKVWDIRESAKC IRTLTSSGQVTLGEACSASTSRTVAIPSGESQINQIALNPTGTFLYAASGNAVRMWDLKR FQSTGKLTGHLGPVMCLTVDQISNGQDLIITGSKDHYIKMFDVTEGALGTVSPTHNFEPP HYDGIEALAIQGDNLFSGSRDNGIKKWDLAQKGLLQQVPNAHKDWVCALGLVPGHPVLLS GCRGGILKLWNVDTFVPVGEMRGHDSPINAICVNSTHVFTAADDRTVRIWKAHNLQDGQL SDTGDLGEDIASN >ENSMUSP00000104910.2 pep:known chromosome:GRCm38:15:90933276:91049948:-1 gene:ENSMUSG00000022629.16 transcript:ENSMUST00000109287.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif21a description:kinesin family member 21A [Source:MGI Symbol;Acc:MGI:109188] MLGAADESSVRVAVRIRPQLAKEKIEGCHICTSVTPGEPQVFLGKDKAFTFDYVFDIDSQ QEQIYTQCIEKLIEGCFEGYNATVFAYGQTGAGKTYTMGTGFDVNIMEEEQGIISRAVRH LFKSIDEKKTSAIKNGLPPPEFKVNAQFLELYNEEVLDLFDTTRDIDAKNKKSNIRIHED STGGIYTVGVTTRTVNTEPEMMQCLKLGALSRTTASTQMNVQSSRSHAIFTIHVCQTRVC PQTDAENATDNKLISESSPMNEFETLTAKFHFVDLAGSERLKRTGATGERAKEGISINCG LLALGNVISALGDKSKRATHVPYRDSKLTRLLQDSLGGNSQTIMIACVSPSDRDFMETLN TLKYANRARNIKNKVMVNQDRASQQINALRSEITRLQMELMEYKTGKRIIDEEGVESIND MFHENAMLQTENNNLRVRIKAMQETVDALRARITQLVSEQANQVLARAGEGNEEISNMIH SYIKEIEDLRAKLLESEAVNENLRKNLTRATARSPYFSASSAFSPTILSSDKETIEIIDL AKKDLEKLKRKEKKKKKSVAGKDDNADTDQEKKEEKGVSEKENNELDVEENQEVSDHEDE EEEEEDEEEEDDIEGEESSDESDSESDEKANYQADLANITCEIAIKQKLIDELENSQKRL QTLKKQYEEKLMMLQHKIRDTQLERDQVLQNLGSVESYSEEKAKKVKCEYEKKLHAMNKE LQRLQTAQKEHARLLKNQSQYEKQLKKLQQDVMEMKKTKVRLMKQMKEEQEKARLTESRR NREIAQLKKDQRKRDHQLRLLEAQKRNQEVVLRRKTEEVTALRRQVRPMSDKVAGKVTRK LSSSESPAPDTGSSAASGEADTSRPGTQQKMRIPVARVQALPTPTTNGTRKKYQRKGFTG RVFTSKTARMKWQLLERRVTDIIMQKMTISNMEADMNRLLRQREELTKRREKLSKRREKI VKESGEGDKSVANIIEEMESLTANIDYINDSIADCQANIMQMEEAKEEGETLDVTAVINA CTLTEARYLLDHFLSMGINKGLQAAQKEAQIKVLEGRLKQTEITSATQNQLLFHMLKEKA ELNPELDALLGHALQDLDGAPPENEEDSSEEDGPLHSPGSEGSTLSSDLMKLCGEVKPKN KARRRTTTQMELLYADSSEVASDTSAGDASLSGPLAPVAEGQEIGMNTETSGTSARDKEL LAPSGLPSKIGSIGIINPFPACKGVRASPLQCVHIAEGHTKAVLCVDSTDDLLFTGSKDR TCKVWNLVTGQEIMSLGVHPNNVVSVKYCNYTSLVFTVSTSYIKVWDIRESAKCIRTLTS SGQVTLGEACSASTSRTVAIPSGESQINQIALNPTGTFLYAASGNAVRMWDLKRFQSTGK LTGHLGPVMCLTVDQISNGQDLIITGSKDHYIKMFDVTEGALGTVSPTHNFEPPHYDGIE ALAIQGDNLFSGSRDNGIKKWDLAQKGLLQQVPNAHKDWVCALGLVPGHPVLLSGCRGGI LKLWNVDTFVPVGEMRGHDSPINAICVNSTHVFTAADDRTVRIWKAHNLQDGQLSDTGDL GEDIASN >ENSMUSP00000111503.2 pep:known chromosome:GRCm38:18:21811298:22166476:-1 gene:ENSMUSG00000024306.12 transcript:ENSMUST00000115837.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc178 description:coiled coil domain containing 178 [Source:MGI Symbol;Acc:MGI:1918200] MPENEKEPAQPTTNEDALDTGKTCLQIKELKDKERALMLAENARMQGLSEFFFSRHEDKR LYESKSTNTEDVNKAIYFSYPSRRHSCTLVNIPKPCVNKMISHIEDVESKIQEHLTQFEA SFEEWTSVTKDKEAGLDVSAPEKQVHPEKGKDEKCPELKKRMETLLSEAIHLIKSLETDR AEAEQALKQHKSRKKKISMKIDSWSIWKLQELPLAVQKEHENFSKINAELRSYLEDIALK VEQLQERKEKLEKANAKLQVDIDYMASHSVLLEKKRKQELGCLKERYHKKFEVMEKFRAI HEELKESVDKCEGAKARLKNMKVENEREIQEELINATSYEKELDKLSVLDAHYTTSIETV NLDIEGDEEAMNEVLRETQSTTNELENLKKTVDDLKRLFDQYCWRQRKYENEYLEAFSNF YSLKKTWDIELSNVSKDAKDLTIVYEAQSEENKRIQSEIQSITDDIEESIKKTAEMEEEV HTFVEMKMKNNNYLKQLYKQAYQVGAVYHLSRHKTEELEDKLADLKRIFKGREELLKKLT RGDIATGIEIQKRLYAIEETQFIEMQEFIRRQVLYNMALLEVEEQLKELEAEAVRIRYLH RQHSKMLHNIRKRKERVKKNVDATKKKLLKKSKKSRMELTRTEGKRSIIHEEIEIARGQT VALHEKCIELSKEIRIMNLERTNYEERLKKLQEEFFKLQFDREHVHGVYDHLMREKQYCE ERIFEEERRFRRLIDMRKNTLKNIRKCQDDLLEENLRLAKEYQSAQLIFLKEKESYFNGY DRLLSLNFSLSDKKKLCQLQKRLDQKWQEYFRLMILFNKTKLAKFQGDSQNSIQKILAVQ EESSSLMQHILDFFKSFPNSSCGEDD >ENSMUSP00000025160.2 pep:known chromosome:GRCm38:18:21810897:22171396:-1 gene:ENSMUSG00000024306.12 transcript:ENSMUST00000025160.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc178 description:coiled coil domain containing 178 [Source:MGI Symbol;Acc:MGI:1918200] MPENEKEPAQPTTNEDALDTGKTCLQIKELKDKERALMLAENARMQGLSEFFFSRHEDKR LYESKSTNTEDVNKAIYFSYPSRRHSCTLVNIPKPCVNKMISHIEDVESKIQEHLTQFEA SFEEWTSVTKDKEAGLDVSAPEKQVHPEKGKDEKCPELKKRMETLLSEAIHLIKSLETDR AEAEQALKQHKSRKKKISMKIDSWSIWKLQELPLAVQKEHENFSKINAELRSYLEDIALK VEQLQERKEKLEKANAKLQVDIDYMASHSVLLEKKRKQELGCLKERYHKKFEVMEKFRAI HEELKESVDKCEGAKARLKNMKVENEREIQEELINATSYEKELDKLSVLDAHYTTSIETV NLDIEGDEEAMNEVLRETQSTTNELENLKKTVDDLKRLFDQYCWRQRKYENEYLEAFSNF YSLKKTWDIELSNVSKDAKDLTIVYEAQSEENKRIQSEIQSITDDIEESIKKTAEMEEEV HTFVEMKMKNNNYLKQLYKQAYQVGAVYHLSRHKTEELEDKLADLKRIFKGREELLKKLT RGDIATGIEIQKRLYAIEETQFIEMQEFIRRQVLYNMALLEVEEQLKELEAEAVRIRYLH RQHSKMLHNIRKRKERVKKNVDATKKKLLKKSKKSRMELTRTEGKRSIIHEEIEIARGQT VALHEKCIELSKEIRIMNLERTNYEERLKKLQEEFFKLQFDREHVHGVYDHLMREKQYCE ERIFEEERRFRRLIDMRKNTLKNIRKCQDDLLEENLRLAKEYQSAQLIFLKEKESYFNGY DRLLSLNFSLSDKKKLCQLQKRLDQKWQEYFRLMILFNKTKLAKFQGDSQNSIQKILAVQ EESSSLMQHILDFFKSFPNSSCGEDD >ENSMUSP00000034326.6 pep:known chromosome:GRCm38:8:69791163:69807749:1 gene:ENSMUSG00000031862.10 transcript:ENSMUST00000034326.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a1 description:ATPase type 13A1 [Source:MGI Symbol;Acc:MGI:2180801] MAVVGNAVPCGARPGGARDNGSPQPGSRLRPGLAAGPALIANGDELVAAVWPYRRLALLR RLTVLPFAGLLYPAWLGAAASGCWGWGSSWTQIPEAALLALATICLAHALTVLSGHWSVH AHCALTCTPEYDPNKVTFVKVVPTPNNGSTELVALHRDKGEDGLEVLSFEFQKIKYSYDA LEKKQFLPVAFPVGNAFSYYQSNRGFQEDSEIRAAEKKFGSNKAEMVVPDFSELFKERAT APFFVFQVFCVGLWCLDEYWYYSVFTLSMLVAFEASLVQQQMRNMSEIRKMGNKPHMIQV YRSRKWRPVASDDIVPGDIVSIGRSPQENLVPCDVLLLRGRCIVDEAMLTGESVPQMKEP IEDLSPDRVLDLQADARLHVIFGGTKVVQHIPPQKATSGLKPVDNGCVAFVLRTGFNTSQ GRLLRTILFGVKRVTANNLETFIFILFLLVFAIAAAAYVWVEGTKDPSRNRYKLFLECTL ILTSVVPPELPIELSLAVNTSLIALAKLYMYCTEPFRIPFAGKVEVCCFDKTGTLTSDSL VVRGVAGLRDGKEVTPVSSIPIETHRALASCHSLMQLDDGTLVGDPLEKAMLTAVDWTLT KDEKVFPRSIKTQGLKIHQRFHFASALKRMSVLASYEKLGSTDLCYIAAVKGAPETLHSM FSQCPPDYHHIHTEISREGARVLALGYKELGHLTHQQAREIKREALECSLKFVGFIVVSC PLKADSKAVIREIQNASHRVVMITGDNPLTACHVAQELHFIDKAHTLILHPPSEKGQPCE WRSIDSSIVLPLTLGSPKALALEHALCLTGDGLAHLQAVDPQQLLCLIPHVQVFARVAPK QKEFVITSLKELGYVTLMCGDGTNDVGALKHADVGVALLANAPERVVERRRRPRDSPVLS NSGPRVSRSTKQKSALLSPEEPPASHRDRLSQVLRDLEEESTPIVKLGDASIAAPFTSKL SSIQCICHVIKQGRCTLVTTLQMFKILALNALILAYSQSVLYLEGVKFSDFQATLQGLLL AGCFLFISRSKPLKTLSRERPLPNIFNLYTILTVMLQFSVHFLSLVYLYREAQARSPEKQ EQFVDLYKEFEPSLVNSTVYIMAMAMQMATFAINYKGPPFMESLPENKPLVWSLAVSLLA IIGLLLGSSPDFNSQFGLVDIPVEFKLVIGQVLALDFCLALLADRVLQFFLGTPKLRVPS >ENSMUSP00000126279.1 pep:known chromosome:GRCm38:10:28074820:28597397:1 gene:ENSMUSG00000019889.9 transcript:ENSMUST00000166468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprk description:protein tyrosine phosphatase, receptor type, K [Source:MGI Symbol;Acc:MGI:103310] MDVAAAALPAFVALWLLYPWPLLGSALGQFSAGGCTFDDGPGACDYHQDLYDDFEWVHVS AQEPHYLPPEMPQGSYMVVDSSNHDPGEKARLQLPTMKENDTHCIDFSYLLYSQKGLNPG TLNILVRVNKGPLANPIWNVTGFTGRDWLRAELAVSTFWPNEYQVIFEAEVSGGRSGYIA IDDIQVLSYPCDKSPHFLRLGDVEVNAGQNATFQCIATGRDAVHNKLWLQRRNGEDIPVA QTKNINHRRFAASFRLQEVTKTDQDLYRCVTQSERGSGVSNFAQLIVREPPRPIAPPQLL GVGPTYLLIQLNANSIIGDGPIILKEVEYRMTSGSWTETHAVNAPTYKLWHLDPDTEYEI RVLLTRPGEGGTGLPGPPLITRTKCAEPMRTPKTLKIAEIQARRIAVDWESLGYNITRCH TFNVTICYHYFRGHNESRADCLDMDPKAPQHVVNHLPPYTNVSLKMILTNPEGRKESEET IIQTDEDVPGPVPVKSLQGTSFENKIFLNWKEPLEPNGIITQYEVSYSSIRSFDPAVPVA GPPQTVSNLWNSTHHVFMHLHPGTTYQFFIRASTVKGFGPATAINVTTNISAPSLPDYEG VDASLNETATTITVLLRPAQAKGAPISAYQIVVEQLHPHRTKREAGAMECYQVPVTYQNA LSGGAPYYFAAELPPGNLPEPAPFTVGDNRTYKGFWNPPLAPRKGYNIYFQAMSSVEKET KTQCVRIATKAAATEEPEVIPDPAKQTDRVVKIAGISAGILVFILLLLVVIVIVKKSKLA KKRKDAMGNTRQEMTHMVNAMDRSYADQSTLHAEDPLSLTFMDQHNFSPRLPNDPLVPTA VLDENHSATAESSRLLDVPRYLCEGTESPYQTGQLHPAIRVADLLQHINLMKTSDSYGFK EEYESFFEGQSASWDVAKKDQNRAKNRYGNIIAYDHSRVILQPVEDDPSSDYINANYIDI WLYRDGYQRPSHYIATQGPVHETVYDFWRMVWQEQSACIVMVTNLVEVGRVKCYKYWPDD TEVYGDFKVTCVEMEPLAEYVVRTFTLERRGYNEIREVKQFHFTGWPDHGVPYHATGLLS FIRRVKLSNPPSAGPIVVHCSAGAGRTGCYIVIDIMLDMAEREGVVDIYNCVKALRSRRI NMVQTEEQYIFIHDAILEACLCGETAIPVCEFKAAYFDMIRIDSQTNSSHLKDEFQTLNS VTPRLQAEDCSIACLPRNHDKNRFMDMLPPDRCLPFLITIDGESSNYINAALMDSYRQPA AFIVTQYPLPNTVKDFWRLVYDYGCTSIVMLNEVDLSQGCPQYWPEEGMLRYGPIQVECM SCSMDCDVINRIFRICNLTRPQEGYLMVQQFQYLGWASHREVPGSKRSFLKLILQVEKWQ EECEEGEGRTIIHCLNGGGRSGMFCAIGIVVEMVKRQNVVDVFHAVKTLRNSKPNMVEAP EQYRFCYDVALEYLESS >ENSMUSP00000057375.5 pep:known chromosome:GRCm38:15:12321496:12351265:1 gene:ENSMUSG00000022200.7 transcript:ENSMUST00000059680.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golph3 description:golgi phosphoprotein 3 [Source:MGI Symbol;Acc:MGI:1913879] MTSLTQRSSGLVQRRTEASRNAADKERAAGGGGGSGEDEAQSRRDEQDDDDKGDSKETRL TLMEEVLLLGLKDREGYTSFWNDCISSGLRGCMLIELALRGRLQLEACGMRRKSLLTRKV ICKSDAPTGDVLLDEALKHVKETQPPETVQNWIELLSGETWNPLKLHYQLRNVRERLAKN LVEKGVLTTEKQNFLLFDMTTHPLTNNNIKQRLIKKVQEAVLDKWVNDPHRMDKRLLALI YLAHASDVLENAFAPLLDEQYDLATKRVRQLLDLDPEVECLKANTNEVLWAVVAAFTK >ENSMUSP00000080427.5 pep:known chromosome:GRCm38:15:30172593:31029311:1 gene:ENSMUSG00000022240.9 transcript:ENSMUST00000081728.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnnd2 description:catenin (cadherin associated protein), delta 2 [Source:MGI Symbol;Acc:MGI:1195966] MFARKQSGAAPFGAMPVPDQPPSASEKNSSLSPGLNTSNGDGSETETTSAILASVKEQEL QFERLTRELEAERQIVASQLERCKLGSETGSMSSISSAEQFHWQTQDGQKDIEDELTTGL ELVDSCIRSLQESGILDPQDYSTSERPSLLSQSALQLNSKPEGSFQYPASYHSNQTLALG DTAPSQLPARSTQARAAGQSFSQGTTGRAGHLAGSEPAPPPPPPREPFAPSLGSAFHLPD APPAAAALYYSSSTLPAPPRGGSPLTTTQGGSPTKLQRGGSAPEGAAYAAPRGSSPKQSP SRLAKSYSTSSPINIVVSSAGLSPIRVTSPPTVQSTISSSPIHQLSSTIGTYATLSPTKR LVHASEQYSKHSQELYATATLQRPGSLAAGSRASYSSQHGHLAPELRALQSPEHHIDPIY EDRVYQKPPMRSLSQSQGDPLPPAHTGTFRTSTAPSSPGVDSVPLQRTGSQHGPQNAAAA TFQRASYAAGPASNYADPYRQLQYCASVDSPYSKSGPALPPEGTLARSPSIDSIQKDPRE FGWRDPELPEVIQMLQHQFPSVQSNAAAYLQHLCFGDNKIKAEIRRQGGIQLLVDLLDHR MTEVHRSACGALRNLVYGKANDDNKIALKNCGGIPALVRLLRKTTDLEIRELVTGVLWNL SSCDALKMPIIQDALAVLTNAVIIPHSGWENSPLQDDRKIQLHSSQVLRNATGCLRNVSS AGEEARRRMRECDGLTDALLYVIQSALGSSEIDSKTVENCVCILRNLSYRLAAETSQGQH MGTDELDGLLCGETNGKDTESSGCWGKKKKKKKSQDQWDGVGPLPDCAEPPKGIQMLWHP SIVKPYLTLLSECSNPDTLEGAAGALQNLAAGSWKGWAEDVAGMAYALRSLPEGAPCLPQ WSVYIRAAVRKEKGLPILVELLRIDNDRVVCAVATALRNMALDVRNKELIGKYAMRDLVH RLPGGNNSNNSGSKAMSDDTVTAVCCTLHEVITKNMENAKALRDAGGIEKLVGISKSKGD KHSPKVVKAASQVLNSMWQYRDLRSLYKKDGWSQYHFVASSSTIERDRQRPYSSSRTPSI SPVRVSPNNRSASAPASPREMISLKERKTDYESAGNNATYHGTKGEHTSRKDTMTAQNTG VSTLYRNSYGAPAEDIKQNQVSTQPVPQEPSRKDYETYQPFPNSTRNYDESFFEDQVHHR PPASEYTMHLGLKSTGNYVDFYSAARPYSELNYETSHYPASPDSWV >ENSMUSP00000061828.2 pep:known chromosome:GRCm38:14:29018208:29033642:1 gene:ENSMUSG00000045776.2 transcript:ENSMUST00000055662.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrtm1 description:leucine-rich repeats and transmembrane domains 1 [Source:MGI Symbol;Acc:MGI:2442106] MLNEGLCCGAWAMKGTLLLVSSVGLLLPGVGSCPMKCLCHPSSNSVDCSGQGLSKVPRDL PPWTVTLLLQDNRIHWLPALAFQSVSLLSTLNLSNNSLSNLAAEAFYGLPHLRVLNVTQN SLLSIESSFAHALPGLRELDLSSNSLRILPTSLGKPWENLTVFAVQQNHLLHLDRELLEA MPKVRLVLLKDNPWICDCHLLGLKLWLERFTFQGGETDGAICRLPEPWQGKALLSIPHEL YQPCSLPSQDLAPSLVQQPGSAPQDAQKSHENSSGQQDPLECEAKPKPKPTNLRHAVATV VITGVVCGIVCLMMLAAAIYGCTYAAITAQYQGRPLASARKSEKMGSKELMDSSSA >ENSMUSP00000127917.1 pep:known chromosome:GRCm38:10:19644750:19660801:1 gene:ENSMUSG00000042940.7 transcript:ENSMUST00000052806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9230106D20Rik description:RIKEN cDNA 9230106D20 gene [Source:MGI Symbol;Acc:MGI:3045371] MFKLQQHLPQVAISATSSMAVIRESYIKKSEQHLTTGSRADIAWEVKHLCCLEGTRSSWC LDSPGISYQSVIISTWFTTGHGSPVASFQLAAHLDKHEPLSADNNYHPERRERELKTIGR ISPLPPEFPHCAQPEEKDLGEKWVTAQEAGPLQRPIHSAMICISSKSRDND >ENSMUSP00000024011.8 pep:known chromosome:GRCm38:14:20140058:20181782:-1 gene:ENSMUSG00000023243.8 transcript:ENSMUST00000024011.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk5 description:potassium channel, subfamily K, member 5 [Source:MGI Symbol;Acc:MGI:1336175] MVDRGPLLTSAIIFYLAIGAAIFEVLEEPHWKEAKKNYYTQKLHLLKEFPCLSQEGLDKI LQVVSDAADQGVAITGNQTFNNWNWPNAMIFAATVITTIGYGNVAPKTPAGRLFCVFYGL FGVPLCLTWISALGKFFGGRAKRLGQFLTRRGVSLRKAQITCTAIFIVWGVLVHLVIPPF VFMVTEEWNYIEGLYYSFITISTIGFGDFVAGVNPSANYHALYRYFVELWIYLGLAWLSL FVNWKVSMFVEVHKAIKKRRRRRKESFESSPHSRKALQMAGSTASKDVNIFSFLSKKEET YNDLIKQIGKKAMKTSGGGERVPGPGHGLGPQGDRLPTIPASLAPLVVYSKNRVPSLEEV SQTLKNKGHVSRPLGEEAGAQAPKDSYQTSEVFINQLDRISEEGEPWEALDYHPLIFQNA NITFENEETGLSDEETSKSSVEDNLTSKEQPEQGPMAEAPLSSTGEFPSSDESTFTSTES ELSVPYEQLMNEYNKADNPRGT >ENSMUSP00000060589.4 pep:known chromosome:GRCm38:10:19847917:19851459:-1 gene:ENSMUSG00000050473.4 transcript:ENSMUST00000059805.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35d3 description:solute carrier family 35, member D3 [Source:MGI Symbol;Acc:MGI:1923407] MRQLCRGRVLGISVAIAHGVFSGSLNILLKFLISRYQFSFLTLVQCLTSSTAALSLELLR RLGLIAVPPFGLSLARSFAGVAVLSTLQSSLTLWSLRGLSLPMYVVFKRCLPLVTMLIGV LVLKNGAPSPGVLAAVLITTCGAALAGAGDLTGDPIGYVTGVLAVLVHAAYLVLIQKASA DTEHGPLTAQYVIAVSATPLLVICSFASTDSIHAWTFPGWKDPAMVSIFVACILIGCAMN FTTLHCTYINSAVTTSFVGVVKSIATITVGMVAFSDVEPTSLFIAGVVVNTLGSIIYCVA KFLETRRQSNYEDLESQAEGEERQPSGDQLPFVMEELPAKSGNSEPESAEGAGDSVQQGG QESRGSIRGISLAARSSRAEGHSDEVGRRSLKDTYLEVWRLVRGTKYMKKDYLMENEALP SP >ENSMUSP00000105708.3 pep:known chromosome:GRCm38:8:70842049:70857008:-1 gene:ENSMUSG00000002908.15 transcript:ENSMUST00000110081.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnn1 description:potassium intermediate/small conductance calcium-activated channel, subfamily N, member 1 [Source:MGI Symbol;Acc:MGI:1933993] MSSHSHNGSVGQPLGSGPGFLGWEPVDPEAGRPLQPTQGPGLQMVAKGQPVRLSPGGSRG HPQEQEEEEEEEEEEEDKTGSGKPPTVSHRLGHRRALFEKRKRLSDYALIFGMFGIVVMV TETELSWGVYTKESLCSFALKCLISLSTVILLGLVILYHAREIQLFLVDNGADDWRIAMT WERVSLISLELVVCAIHPVPGHYRFTWTARLAFSLVPSAAEADLDVLLSIPMFLRLYLLA RVMLLHSRIFTDASSRSIGALNRVTFNTRFVTKTLMTICPGTVLLVFSVSSWIVAAWTVR VCERYHDKQEVTSNFLGAMWLISITFLSIGYGDMVPHTYCGKGVCLLTGIMGAGCTALVV AVVARKLELTKAEKHVHNFMMDTQLTKRVKNAAANVLRETWLIYKHTRLVKKPDQGRVRK HQRKFLQAIHQFQKRLRSVKIEQGKVNDQANTLAELAKAQSIAYEVVSELQAQQEELEAR LAALESRLDVLGASLQALPGLIAQAICPLPPPWPGPGHLATATQSPQSHWLPTMGSDCG >ENSMUSP00000105705.3 pep:known chromosome:GRCm38:8:70842049:70857008:-1 gene:ENSMUSG00000002908.15 transcript:ENSMUST00000110078.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnn1 description:potassium intermediate/small conductance calcium-activated channel, subfamily N, member 1 [Source:MGI Symbol;Acc:MGI:1933993] MSSHSHNGSVGQPLGSGPGFLGWEPVDPEAGRPLQPTQGPGLQMVAKGQPVRLSPGGSRG HPQEQEEEEEEEEEEEDKTGSGKPPTVSHRLGHRRALFEKRKRLSDYALIFGMFGIVVMV TETELSWGVYTKESLCSFALKCLISLSTVILLGLVILYHAREIQLFLVDNGADDWRIAMT WERVSLISLELVVCAIHPVPGHYRFTWTARLAFSLVPSAAEADLDVLLSIPMFLRLYLLA RVMLLHSRIFTDASSRSIGALNRVTFNTRFVTKTLMTICPGTVLLVFSVSSWIVAAWTVR VCERYHDKQEVTSNFLGAMWLISITFLSIGYGDMVPHTYCGKGVCLLTGIMGAGCTALVV AVVARKLELTKAEKHVHNFMMDTQLTKRVKNAAANVLRETWLIYKHTRLVKKPDQGRVRK HQRKFLQAIHQAQKLRSVKIEQGKVNDQANTLAELAKAQSIAYEVVSELQAQQEELEARL AALESRLDVLGASLQALPGLIAQAICPLPPPWPGPGHLATATQSPQSHWLPTMGSDCG >ENSMUSP00000041650.7 pep:known chromosome:GRCm38:18:46958862:47087992:1 gene:ENSMUSG00000042705.8 transcript:ENSMUST00000049388.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd10 description:COMM domain containing 10 [Source:MGI Symbol;Acc:MGI:1916706] MAASAALILPESPSMKKAVPLINAIDTGRFPRLLSRILQKLHLKAESSFSEEEEEKLQAA FSLEKQELHLVLETISFVLEQAVYHNVKPAALQQQLEMIHLRKDKAEAFASAWSAMGQET VEKFRQRILGPHKLETVGWQLNLQMAHSAQAKLQSPQAVLQLGVSKEDAKNVEKVLVEFN HKELFDFYNKLETIQAQLDSLT >ENSMUSP00000048427.6 pep:known chromosome:GRCm38:14:30879257:30881608:1 gene:ENSMUSG00000042485.6 transcript:ENSMUST00000040715.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mustn1 description:musculoskeletal, embryonic nuclear protein 1 [Source:MGI Symbol;Acc:MGI:1913425] MSEAGTPEGPIKKKRPPVKEEDLKGARGTLAKNQDIKSKTYQVMRDYEQAGSAAPSVFSR NRTGTETVFEKPKEGPAKSVFG >ENSMUSP00000022925.8 pep:known chromosome:GRCm38:15:51786558:51865481:-1 gene:ENSMUSG00000022312.10 transcript:ENSMUST00000022925.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3h description:eukaryotic translation initiation factor 3, subunit H [Source:MGI Symbol;Acc:MGI:1915385] MASRKEGTGSTATSSGSAGGAVGKGKGKGGSGDSAVKQVQIDGLVVLKIIKHYQEEGQGT EVVQGVLLGLVVEDRLEITNCFPFPQHTEDDADFDEVQYQMEMMRSLRHVNIDHLHVGWY QSTYYGSFVTRALLDSQFSYQHAIEESVVLIYDPIKTAQGSLSLKAYRLTPKLMEVCKEK DFSPEALKKASITFEHMFEEVPIVIKNSHLINVLMWELEKKSAVADKHELLSLASSNHLG KSLQLLMDRVDEMSQDIIKYNTYMRNTSKQQQQKHQYQQRRQQENMQRQSRGEPPLPEED LSKLFKPHQAPARMDSLLIAGQINTYCQNIKEFTAQNLGKLFMAQALQEYNN >ENSMUSP00000068192.5 pep:known chromosome:GRCm38:18:54888045:54990180:-1 gene:ENSMUSG00000052713.8 transcript:ENSMUST00000064763.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp608 description:zinc finger protein 608 [Source:MGI Symbol;Acc:MGI:2442338] MSVNVSTAGKGVDPNTVDTYDSGDDWEIGVGNLIIDLDADLEKDRQKFEMNNSTNTTTNT TKDCGGPASNGTCSTSALADGLKFASVQPSAPQGNSHKETSKSKVKRAKTSKDANKSLPS AALYGIPEISSTGKRQEVQGRPGEATGMNSALGQSVSGGGSSNPNSNGTSTGTSAATAGA GSCGKSKEEKPGKSHSSRGAKRDKDAARSRKEKHDLLQGHQNGGGGQAPSGGHLYGFGTK SNGSGASPFHCGGAGSGSVGAAGEVSKTAPDSTLMGNSMLVKKEEEEEESHRRIKKLKTE KVDPLFTVPAPPPPIASSLAPQIPPSYFPPSSSNIAAPVEQLLVRTRSVGVNTCEVGVVT EPECLGPCEPGTSVNLEGIVWHETEEGVLVVNVTWRNKTYVGTLLDCTKHDWAPPRFCES PTSDLEMRGGRGRGKRARSAAAAPGSEVSFTESRGLQSKNRGGANGKGRRGSLNASGRRT PPNCAAEDIKASPSSTNKRKNKPPMELDLNSSSEDSKPGKRVRTNSRSTPTTPQGKPETT FLDQGCSSPVLIDCPHPNCNKKYKHINGLRYHQAHAHLDPENKLEFEPDSEDKISDCEEA LSNVALECNESSTSVSSYDQTKAPGSPGAGNPPGTPKGKREHVSNGPGPIIGSKTGKNSG KKRGLNNELNNLPVISNMTAALDICSATDSNLTAEMPKLEAEGLIDKKSLGDKEKGKKAN NCKMDKNLSKLKSARPIAPAPAPTPPQLIAIPTAAFTSTTTGTIPGLPSLTTTVVQATPK SPPLKPIQPKPTIMGEPITVNPALVSLKDRKKKEKRKLKDKEGKETGGPKMDAKLGKLEE AKAASKDLSGHFLKDHLGKSEGLANGLSESQESRMASIKAEADKVYTFTDNAPSPSIGSA SRMECSTLVNGQAPMAPLHVLTQNGAESAAAKTSSPAYSDISDAADDGGSDSRSEGMRSK ASSPSDTFSNKDGVVKGHPSTSAQPSQLKESHSPYYHGYEPYYSPSYMHPGQVGAPAAGN GGSTQGMKIKKESEEDAEKKDKAEQLESKKVDHTSAPLQPQHQSVITQRHPALAQSLYYG QYAYGLYMDQKSLMATSPAYRQQYEKYYEDQRLAEQKMAQSGRGDCERKAELPLKELGKE DNKQKNMPSATISKAPSTPEPNKNHSKLGPSVPNKTEETGKSQLLSSHQQQLQADSFKAK QMENHQLIKEAVEMKSVMDSMKQTGVDPTSRFKQDPESRTWHHYVYQPKYLDQQKPEELD REKKLKEDSPRKTPNKESGVSSLPVSLTNIKEEPKEGKRPDSQSVEENKLKNDDRKTPVN WKDSRGTRVAVSSPMSQHQSYIQYLHAYPYPQMYDPSHPAYRAVSPVLMHSYPGAYLSPG FHYPVYGKMSGREEAEKVNTSPSINTKTASEAKALDLLQHHANQYRSKSPAPVEKASTER EREAERERDRHSPFSQRHLHTHHHTHVGMGYPLIPGQYDPFQGLTSAALVASQQVAAQAS ASGMFPAQRRE >ENSMUSP00000129186.1 pep:known chromosome:GRCm38:16:57121714:57154530:1 gene:ENSMUSG00000022752.8 transcript:ENSMUST00000166897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm70a description:translocase of outer mitochondrial membrane 70 homolog A (yeast) [Source:MGI Symbol;Acc:MGI:106295] MAASKPIEAAMAAAAAPGSGNGVGGGGGTAGPGSGAGTLPRWHVALAIGAPLLLGAGAMY LWSRRRRRREAGGRGDASGLKRNSERKTPEGRASPALGSGHHDGSGDSLEMSSLDRAQAA KNKGNKYFKAGKYEQAIQCYTEAISLCPTEKNVDLSTFYQNRAAAFEQLQKWKEVAQDCT KAVELNPKYVKALFRRAKAHEKLDNKKECLEDVTAVCILEGFQNEQSMLLADKVLKLLGK ENAKEKYKNREPLMPSPQFIKSYFSSFTDDIISQPMLKGEKSDEDKDKEGEALEVKENSG YLKAKQYMEEENYDKIISECSKEIDAQGKYMAEALLLRATFYLLIGSANAAKPDLDKVIS LKEANVKLRANALIKRGTMCMQQQQPMLSTQDFNMAAEIDPMNSDVYHHRGQLKILLDLV EEAVADFDACIRLRPKFALAQAQKCFALYRQAYTANNSSQVQAAMKGFEEIIKKFPRCAE GYALYAQALTDQQQFGKADEMYDKCIDLEPDNATTYVHKGLLQLQWKQDLDKGLELISKA IEIDNKCDFAYETMGTIEVQRGNMEKAIDMFNKAINLAKSEMEMAHLYSLCDAAHAQTEV AKKYGLKPPTL >ENSMUSP00000065004.6 pep:known chromosome:GRCm38:17:24473550:24479078:-1 gene:ENSMUSG00000024142.14 transcript:ENSMUST00000070888.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlst8 description:MTOR associated protein, LST8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1929514] MNTTPGTVGSDPVILATAGYDHTVRFWQAHSGICTRTVQHQDSQVNALEITPDRSMIAAA GYQHIRMYDLNSNNPNPIISYDGVSKNIASVGFHEDGRWMYTGGEDCTARIWDLRSRNLQ CQRIFQVNAPINCVCLHPNQAELIVGDQSGAIHIWDLKTDHNEQLIPEPESSITSAHIDP DASYMAAVNSAGNCYVWNLTGGIGDDVTQLIPKTKIPAHTRYALQCRFSPDSTLLATCSA DQTCKIWRTSNFSLMTELSIKSSNPGESSRGWMWGCAFSGDSQYIVTASSDNLARLWCVE TGEIKREYGGHQKAVVCLAFNDSVLG >ENSMUSP00000136287.1 pep:known chromosome:GRCm38:17:24473550:24479078:-1 gene:ENSMUSG00000024142.14 transcript:ENSMUST00000179163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlst8 description:MTOR associated protein, LST8 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1929514] MNTTPGTVGSDPVILATAGYDHTVRFWQAHSGICTRTVQHQDSQVNALEITPDRSMIAAA GYQHIRMYDLNSNNPNPIISYDGVSKNIASVGFHEDGRWMYTGGEDCTARIWDLRSRNLQ CQRIFQVNAPINCVCLHPNQAELIVGDQSGAIHIWDLKTDHNEQLIPEPESSITSAHIDP DASYMAAVNSAGNCYVWNLTGGIGDDVTQLIPKTKIPAHTRYALQCRFSPDSTLLATCSA DQTCKIWRTSNFSLMTELSIKSSNPGESSRGWMWGCAFSGDSQYIVTASSDNLARLWCVE TGEIKREYGGHQKAVVCLAFNDSVLG >ENSMUSP00000037628.7 pep:known chromosome:GRCm38:18:34777008:34839370:1 gene:ENSMUSG00000038773.10 transcript:ENSMUST00000043775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm3b description:KDM3B lysine (K)-specific demethylase 3B [Source:MGI Symbol;Acc:MGI:1923356] MADAAASPVGKRLLLLFADPTASASASAPTAAAVVSGDPGPALRTRAWRAGTVRAMSGAV PQDLAIFVEFDGCNWKQHSWVKVHAEDVLALLLEGSLVWAPRKDPVLLQGTRVPVAQWPA LTFTPLVDKLGLGSVVPVEYLVDRELRFLSDANGMHLFQMGTDVQNQILLEHAALRETVN ALISDQKLQEIFSRGPYSVQGHRVKVYQPEGEEVWLCGVVSRQDSVTRLMEVSITETGEV KSVDPRLTHVMLMDSSTPQSEGGTIKAVKSSKGKKKRESIEGRDGRRRKSASDSGCDPAT KKLKGDRGEVDSNGSDGGEASRGPWKGGNASGEPGLEQRAKQPPSTFVPQINRNIRFATY TKENGRTLVVQDEPVGGDTPVPFTPYASATGQTPLAPEVGGAENKEAGKTLEQVSQGMVA SAAVVTTASSTPTTVRISDTGLASGTGPEKQKGSWSQASGENSRNSSLASSGFGVSLSSL SQPLTFGSGRSQSNGVLATDNKPLGFSFSCSSASESQKDSDLSKNLFFQCMSQNVPSTNY LSRVSESVADDSSSRDSFTQSLESLTSGLCKGRSVLGADTQPGPKAGSSVDRKVPAESMP TLTPAFPRSLLNTRTPENHENLFLQPPKLSREEPSNPFLAFVEKVEHSPFSSFVSQASGS SSSATSVTSKATASWPESHSSAESAPLAKKKPLFITTDSSKLVSGVLGSALSTGSPSLSA VGNGRSSSPTNSLTQPIEMPTLSSSPTEERPTVGPGQQDNPLLKTFSTVFGRHSGSFLSA PAEFAQENKAPFEAVKRFSLDERSLACRQDSDSSTNSDLSDLSDSEEQLQAKSGLKGIPE HLMGKLGPNGERSAELLLGKGKGKQAPKGRPRTAPLKVGQSVLKDVSKVRKLKQSGEPFL QDGSCINVAPHLHKCRECRLERYRKFKEQEQDDSTVACRFFHFRRLVFTRKGVLRVEGFL SPQQSDPDAMNLWIPSSSLAEGIDLETSKYILANVGDQFCQLVMSEKEAMMMVEPHQKVA WKRAVRGVREMCDVCETTLFNIHWVCRKCGFGVCLDCYRLRKSRPRSETEEMGDEEVFSW LKCAKGQSHEPENLMPTQIIPGTALYNIGDMVHAARGKWGIKANCPCISRQSKSVLRPAV TNGISQLPSVTPSASSGNETTFSSGGGAAAVTNPEPDQVPKGAGTDGRSEEPLKAEGSAS NSNSELKAIRPPCPDTAPPSSALHWLADLATQKAKEETKDAGSLRSVLNKESHSPFGLDS FNSTAKVSPLTPKLFNSLLLGPTASNSKTEGSSLRDLLHSGPGKLPQTPLDTGIPFPPVF SSSSAVAKSKASLPDFLDHIIASVVENKKTSDPSKRSCNLTDTQKEVKEMAMGLNVLDPH TSHSWLCDGRLLCLHDPSNKNNWKIFRECWKQGQPVLVSGVHKKLKSELWKPEAFSQEFG DQDVDLVNCRNCAIISDVKVRDFWDGFEIICKRLRSEDGQPMVLKLKDWPPGEDFRDMMP TRFEDLMENLPLPEYTKRDGRLNLASRLPSYFVRPDLGPKMYNAYGLITAEDRRVGTTNL HLDVSDAVNVMVYVGIPVGEGAHDEEVLKTIDEGDADEVTKQRIHDGKEKPGALWHIYAA KDAEKIRELLRKVGEEQGQENPPDHDPIHDQSWYLDQILRKRLFEEYGVQGWAIVQFLGD AVFIPAGAPHQVHNLYSCIKVAEDFVSPEHVKHCFRLTQEFRHLSNTHTNHEDKLQVKNI IYHAVKDAVGTLKAHESKLARS >ENSMUSP00000072276.4 pep:known chromosome:GRCm38:7:45420946:45421854:1 gene:ENSMUSG00000100916.2 transcript:ENSMUST00000072453.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhb description:luteinizing hormone beta [Source:MGI Symbol;Acc:MGI:96782] MERLQGLLLWLLLSPSVVWASRGPLRPLCRPVNATLAAENEFCPVCITFTTSICAGYCPS MVRVLPAALPPVPQPVCTYRELRFASVRLPGCPPGVDPIVSFPVALSCRCGPCRLSSSDC GGPRTQPMACDLPHLPGLLLL >ENSMUSP00000079546.4 pep:known chromosome:GRCm38:18:59175401:59410446:1 gene:ENSMUSG00000058152.7 transcript:ENSMUST00000080721.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chsy3 description:chondroitin sulfate synthase 3 [Source:MGI Symbol;Acc:MGI:1926173] MAVRSRRPWVSVALGLVLGFTAASWLIAPRVAELSEKRRRGSSLCSYYGRSATGPRADAQ QLLPQPQSRPRLEQSPPPASHELPGPQQPEAAPGGPSFRSSPWQQPALLPQRRRGHTPEG ATALPGAPAAKGEPEEEDGGAADPRKGGRPGSSHNGSGDGGAAVPTSGPGDFLYVGVMTA QKYLGSRALAAQRTWARFIPGRVEFFSSQQSPSAALGQPPPPLPVIALPGVDDSYPPQKK SFMMIKYMHDHYLDKYEWFMRADDDVYIKGDKLEEFLRSLNSSKPLYLGQTGLGNTEELG KLGLEPGENFCMGGPGMIFSREVLRRMVPHIGECLREMYTTHEDVEVGRCVRRFGGTQCV WSYEMQQLFHENYEHNRKGYIQDLHNSKIHAAITLHPNKRPAYQYRLHNYMLSRKISELR YRTIQLHRESALMSKLSNSEVSKEDQQLGRTPSFNHFQPRERNEVMEWEFLTGKLLYSAA ENQPPRQSINSILRSALDDTVLQVMEMINENAKSRGRLIDFKEIQYGYRRVDPMHGVEYI LDLLLLYKRHKGRKLTVPVRRHAYLQQPFSKPFFREVEELDVNRLVESINSGTQSFSVIS NSLKILSSLQEAKDIGGHNEKKVHILVPLVGRYDIFLRFMENFESTCLIPKQNVKLVIIL FSRDAGQESIKHIELIQEYQSRYPSAEMMLIPMKGEFSRGLGLEMASSQFDNDTLLLFCD VDLIFRGDFLQRCRDNTVQGQQVYYPIIFSQYDPKVTHMRNPPTEGDFVFSKETGFWRDY GYGITCIYKSDLLGAGGFDTSIQGWGLEDVDLYNKVILSGLRPFRSQEVGVVHIFHPVHC DPNLDPKQYKMCLGSKASTFASTMQLAELWLEKHLGVRDNRTLS >ENSMUSP00000031914.4 pep:known chromosome:GRCm38:15:98167806:98170134:1 gene:ENSMUSG00000029875.4 transcript:ENSMUST00000031914.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc184 description:coiled-coil domain containing 184 [Source:MGI Symbol;Acc:MGI:2146066] MEDSLLEIMTKDGGDMPAPLEVSTVPAVGDVISGEYNGGMKELMEHLKAQLQALFEDVRA MRGALDEQASHIQVLSDDVCANQRAIVSMCQIMTTAPRQGGLGVAGGKGSFPSVPHEPET PSPGIEDSGLLGRDPDEDEDEEEKETPNPATPTSHCELSESPGLLGEDGPLVEPPDLPDI TLLQLEGEASL >ENSMUSP00000020023.7 pep:known chromosome:GRCm38:10:67009189:67096988:-1 gene:ENSMUSG00000019873.7 transcript:ENSMUST00000020023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep3 description:receptor accessory protein 3 [Source:MGI Symbol;Acc:MGI:88930] MVSWMISRAVVLVFGMLYPAYYSYKAVKTKNVKEYVRWMMYWIVFALYTVIETVADQTLA WFPLYYELKIAFVIWLLSPYTRGASLIYRKFLHPLLSSKEREIDDYIVQAKERGYETMVN FGRQGLNLAAAAAVTAAVKSQGAITERLRSFSMHDLTAIQGDEPVGHRPYQTLPEAKRKG KQATESPAYGIPLKDGSEQTDEEAEGPFSDDEMVTHKALRRSQSMKSVKTIKGRKEVRYG SLKYKVKKRPQVYF >ENSMUSP00000098286.1 pep:known chromosome:GRCm38:14:33941039:33947198:1 gene:ENSMUSG00000072625.1 transcript:ENSMUST00000100720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf2 description:growth differentiation factor 2 [Source:MGI Symbol;Acc:MGI:1321394] MSPGAFRVALLPLFLLVCVTQQKPLQNWEQASPGENAHSSLGLSGAGEEGVFDLQMFLEN MKVDFLRSLNLSGIPSQDKTRAEPPQYMIDLYNRYTTDKSSTPASNIVRSFSVEDAISTA ATEDFPFQKHILIFNISIPRHEQITRAELRLYVSCQNDVDSTHGLEGSMVVYDVLEDSET WDQATGTKTFLVSQDIRDEGWETLEVSSAVKRWVRADSTTNKNKLEVTVQSHRESCDTLD ISVPPGSKNLPFFVVFSNDRSNGTKETRLELKEMIGHEQETMLVKTAKNAYQVAGESQEE EGLDGYTAVGPLLARRKRSTGASSHCQKTSLRVNFEDIGWDSWIIAPKEYDAYECKGGCF FPLADDVTPTKHAIVQTLVHLKFPTKVGKACCVPTKLSPISILYKDDMGVPTLKYHYEGM SVAECGCR >ENSMUSP00000025503.8 pep:known chromosome:GRCm38:18:58659482:58679570:1 gene:ENSMUSG00000024601.8 transcript:ENSMUST00000025503.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isoc1 description:isochorismatase domain containing 1 [Source:MGI Symbol;Acc:MGI:1913557] MAAAEPSVAALAGGGVGAGAPSGGVPVLFCFSVFARPASVPHGAGYDVLIQKFLSLYGDQ LDMHRKFVVQLFAEEWGQYVDLPKGFAVSERCKLRLVPLQIQLTTLGNLTPPSTVFFCCD MQERFRPAIKYFGDIISVGQRLLQGARILGIPVIITEQYPKGLGSTVQEIDLTGVKLVLP KTKFSMVLPEVEAALAEIPGVRSVVLFGVETHVCIQQTALELVGRGIEVHIVADATSSRS MMDRMFALERLARTGIIVTTSEAVLLQLVADKDHPKFKEIQNLIKASAPESGLLSKV >ENSMUSP00000075279.6 pep:known chromosome:GRCm38:17:25748614:25754327:-1 gene:ENSMUSG00000063011.6 transcript:ENSMUST00000075884.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msln description:mesothelin [Source:MGI Symbol;Acc:MGI:1888992] MALPTARPLLGSCGSPICSRSFLLLLLSLGWIPRLQTQTTKTSQEATLLHAVNGAADFAS LPTGLFLGLTCEEVSDLSMEQAKGLAMAVRQKNITLRGHQLRCLARRLPRHLTDEELNAL PLDLLLFLNPAMFPGQQACAHFFSLISKANVDVLPRRSLERQRLLMEALKCQGVYGFQVS EADVRALGGLACDLPGKFVARSSEVLLPWLAGCQGPLDQSQEKAVREVLRSGRTQYGPPS KWSVSTLDALQSLVAVLDESIVQSIPKDVKAEWLQHISRDPSRLGSKLTVIHPRFRRDAE QKACPPGKEPYKVDEDLIFYQNWELEACVDGTMLARQMDLVNEIPFTYEQLSIFKHKLDK TYPQGYPESLIQQLGHFFRYVSPEDIHQWNVTSPDTVKTLLKVSKGQKMNAQAIALVACY LRGGGQLDEDMVKALGDIPLSYLCDFSPQDLHSVPSSVMWLVGPQDLDKCSQRHLGLLYQ KACSAFQNVSGLEYFEKIKTFLGGASVKDLRALSQHNVSMDIATFKRLQVDSLVGLSVAE VQKLLGPNIVDLKTEEDKSPVRDWLFRQHQKDLDRLGLGLQGGIPNGYLVLDFNVREAFS SRASLLGPGFVLIWIPALLPALRLS >ENSMUSP00000021750.7 pep:known chromosome:GRCm38:13:11553103:12106945:-1 gene:ENSMUSG00000021313.15 transcript:ENSMUST00000021750.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryr2 description:ryanodine receptor 2, cardiac [Source:MGI Symbol;Acc:MGI:99685] MADAGEGEDEIQFLRTDDEVVLQCTATIHKEQQKLCLAAEGFGNRLCFLESTSNSKNVPP DLSICTFVLEQSLSVRALQEMLANTVEKSEGQVDVEKWKFMMKTAQGGGHRTLLYGHAIL LRHSYSGMYLCCLSTSRSSTDKLAFDVGLQEDTTGEACWWTIHPASKQRSEGEKVRVGDD LILVSVSSERYLHLSYGNSSWHVDAAFQQTLWSVAPISSGSEAAQGYLIGGDVLRLLHGH MDECLTVPSGEHGEEQRRTVHYEGGAVSVHARSLWRLETLRVAWSGSHIRWGQPFRLRHV TTGKYLSLMEDKNLLLMDKEKADVKSTAFAFRSSKEKLDVGVRKEVDGMGTSEIKYGDSI CYIQHVDTGLWLTYQAVDVKSARMGSIQRKAIMHHEGHMDDGLNLSRSQHEESRTARVIR STVFLFNRFIRGLDALSKKVKLPTIDLPIESVSLSLQDLIGYFHPPDEHLEHEDKQNRLR ALKNRQNLFQEEGMINLVLECIDRLHVYSSAAHFADVAGREAGESWKSILNSLYELLAAL IRGNRKNCAQFSGSLDWLISRLERLEASSGILEVLHCVLVESPEALNIIKEGHIKSIISL LDKHGRNHKVLDVLCSLCVCHGVAVRSNQHLICDNLLPGRDLLLQTRLVNHVSSMRPNIF LGVSEGSAQYKKWYYELMVDHTEPFVTAEATHLRVGWASTEGYSPYPGGGEEWGGNGVGD DLFSYGFDGLHLWSGCIARTVSSPNQHLLRTDDVISCCLDLSAPSISFRINGQPVQGMFE NFNIDGLFFPVVSFSAGIKVRFLLGGRHGEFKFLPPPGYAACYEAVLPKEKLKVEHSREY KQERTYTRDLLGPTVSLTQAAFTPVPVDTSQIVLPPHLERIRERLAENIHELWVMNKIEL GWQYGPVRDDNKRQHPCLVEFCKLPEQERNYNLQMSLETLKTLLALGCHVGIADEHAEEK VKKMKLPKNYQLTSGYKPAPMDLSFIKLTPSQEAMVDKLAENAHNVWARDRIRQGWTYGI QQDVKNRRNPRLVPYTLLDDRTKKSNKDSLREAVRTLLGYGYHLEAPDQDHASRAEVCSG TGERFRIFRAEKTYAVKAGRWYFEFEAVTAGDMRVGWSRPGCQPDLELGSDDRAFAFDGF KAQRWHQGNEHYGRSWQAGDVVGCMVDMNEHTMMFTLNGEILLDDSGSELAFKDFDVGDG FIPVCSLGVAQVGRMNFGKDVSTLKYFTICGLQEGYEPFAVNTNRDITMWLSKRLPQFLQ VPSNHEHIEVTRIDGTIDSSPCLKVTQKSFGSQNNNTDIMFYRLSMPIECAEVFSKSVAG GLPGAGFYGPKNDLEDFDVDSDFEVLMKTAHGHLVPDRIDKDKETPKPEFNNHKDYAQEK PSRLKQRFLLRRTKPDYSTGHSARLTEDVLADDRDDYEYLMQTSTYYYSVRIFPGQEPAN VWVGWITSDFHQYDTGFDLDRVRTVTVTLGDEKGKVHESIKRSNCYMVCAGESMSPGQGR NNSNGLEIGCVVDAASGLLTFIANGKELSTYYQVEPSTKLFPAVFAQATSPNVFQFELGR IKNVMPLSAGLFKSEHKNPVPQCPPRLHVQFLSHVLWSRMPNQFLKVDVSRISERQGWLV QCLDPLQFMSLHIPEENRSVDILELTEQEELLQFHYHTLRLYSAVCALGNHRVAHALCSH VDEPQLLYAIENKYMPGLLRAGYYDLLIDIHLSSYATARLMMNNEFIVPMTEETKSITLF PDENKKHGLPGIGLSTSLRPRMRFSSPSFVSISNDCYQYSPEFPLDILKAKTIQMLTEAV KEGSLHARDPVGGTTEFLFVPLIKLFYTLLIMGIFHNEDLKHILQLIEPSVFKEAAVPEE EGGTPEKEISIEDAKLEGEEEAKGGKRPKEGLLQMKLPEPVKLQMCLLLQYLCDCQVRHR IEAIVAFSDDFVAKLQDNQRFRYNEVMQALNMSAALTARKTREFRSPPQEQINMLLNFKD DKSECPCPEEIRDQLLDFHEDLMTHCGIELDEDGSLDGSNDLTIRGRLLSLVEKVTYLKK KQAEKPVASDSRKCSSLQQLISETMVRWAQESVIEDPELVRAMFVLLHRQYDGIGGLVRA LPKTYTINGVSVEDTINLLASLGQIRSLLSVRMGKEEEKLMIRGLGDIMNNKVFYQHPNL MRALGMHETVMEVMVNVLGGGESKEITFPKMVANCCRFLCYFCRISRQNQKAMFDHLSYL LENSSVGLASPAMRGSTPLDVAAASVMDNNELALALREPDLEKVVRYLAGCGLQSCQMLV SKGYPDIGWNPVEGERYLDFLRFAVFCNGESVEENANVVVRLLIRRPECFGPALRGEGGN GLLAAMEEAIKIAEDPSRDGPSPTSGSSKTLDIEEEEDDTIHMGNAIMTFYAALIDLLGR CAPEMHLIHAGKGEAIRIRSILRSLIPLGDLVGVISIAFQMPTIAKDGKVVEPDMSAGFC PDHKAAMVLFLDRVYGIEVQDFLLHLLEVGFLPDLRAAASLDTAALSATDMALALNRYLC TAVLPLLTRCAPLFAGTEHHASLIDSLLHTVYRLSKGCSLTKAQRDSIEVCLLSICGQLR PSMMQHLLRRLVFDVPLLNEHAKMPLKLLTNHYERCWKYYCLPGGWGNFGAASEEELHLS RKLFWGIFDALSQKKYEQELFKLALPCLSAVAGALPPDYMESNYVSMMEKQSSMDSEGNF NPQPVDTSNITIPEKLEYFINKYAEHSHDKWSMDKLANGWIYGEIYSDSSKIQPLMKPYK LLSEKEKEIYRWPIKESLKTMLAWGWRIERTREGDSMALYNRTRRISQTSQVSIDAAHGY SPRAIDMSNVTLSRDLHAMAEMMAENYHNIWAKKKKLELESKGGGNHPLLVPYDTLTAKE KAKDREKAQDIFKFLQISGYVVSRGFKDLDLDTPSIEKRFAYSFLQQLIRYVDEAHQYIL EFDGGSRSKGEHFPYEQEIKFFAKVVLPLIDQYFKNHRLYFLSAASRPLCTGGHASNKEK EMVTSLFCKLGVLVRHRISLFGNDATSIVNCLHILGQTLDARTVMKTGLDSVKSALRAFL DNAAEDLEKTMENLKQGQFTHTRSQPKGVTQIINYTTVALLPMLSSLFEHIGQHQFGEDL ILEDVQVSCYRILTSLYALGTSKSIYVERQRSALGECLAAFAGAFPIAFLETHLDKHNVY SIYNTRSSRERAALSLPANVEDVCPNIPSLEKLMTEIIELAESGIRYTQMPYMMEVVLPM LCSYMSRWWEHGPENHPERAEMCCTALNSEHMNTLLGNILKIIYNNLGIDEGAWMKRLAV FSQPIINKVKPQLLKTHFLPLMEKLKKKAAMVVSEEDHLKAEARGDMSEAELLILDEFTT LARDLYAFYPLLIRFVDYNRAKWLKEPNPEAEELFRMVAEVFIYWSKSHNFKREEQNFVV QNEINNMSFLITDTKSKMSKAAISDQERKKMKRKGDRYSMQTSLIVAALKRLLPIGLNIC APGDQELIALAKNRFSLKDTEEEVRDIIRSNIHLQGKLEDPAIRWQMALYKDLPNRTEDP SDPERTVERVLGIANVLFHLEQKSKYTGRGYFSLVEHPQRSKKAVWHKLLSKQRKRAVVA CFRMAPLYNLPRHRAVNLFLQGYEKSWIETEEHYFEDKLIEDLAKPGAELPEEDEAMKRV DPLHQLILLFSRTALTEKCKLEEDFLYMAYADIMAKSCHDEEDDDGEEEVKSFEEKEMEK QKLLYQQARLHDRGAAEMVLQTISASKGETGPMVAATLKLGIAILNGGNSTVQQKMLDYL KEKKDVGFFQSLAGLMQSCSVLDLNAFERQNKAEGLGMVTEEGSGEKVLQDDEFTCDLFR FLQLLCEGHNSDFQNYLRTQTGNNTTVNIIISTVDYLLRVQESISDFYWYYSGKDIIDEQ GQRNFSKAIQVAKQVFNTLTEYIQGPCTGNQQSLAHSRLWDAVVGFLHVFAHMQMKLSQD SSQIELLKELMDLQKDMVVMLLSMLEGNVVNGTIGKQMVDMLVESSNNVEMILKFFDMFL KLKDLTSSDTFKEYDPDGKGVISKRDFHKAMESHKHYTQSETEFLLSCAETDENETLDYE EFVKRFHEPAKDIGFNVAVLLTNLSEHMPNDTRLQTFLELAESVLNYFQPFLGRIEIMGS AKRIERVYFEISESSRTQWEKPQVKESKRQFIFDVVNEGGEKEKMELFVNFCEDTIFEMQ LAAQISESDLNERLANKEESEKERPEEQAPRMGFFSLLTIQSALFALRYNVLTLVRMLSL KSLKKQMKRMKKMTVKDMVLAFFSSYWSVFVTLLHFVASVCRGFFRIVSSLLLGGSLVEG AKKIKVAELLANMPDPTQDEVRGDEEEGERKPLESALPSEDLTDLKELTEESDLLSDIFG LDLKREGGQYKLIPHNPNAGLSDLMTNPVPVPEVQEKFQEQKAKEEKEEKEETKSEPEKA EGEDGEKEEKAKDEKSKQKLRQLHTHRYGEPEVPESAFWKKIIAYQQKLLNYFARNFYNM RMLALFVAFAINFILLFYKVSTSSVVEGKELPTRTSSDTAKVTNSLDSSPHRIIAVHYVL EESSGYMEPTLRILAILHTIISFFCIIGYYCLKVPLVIFKREKEVARKLEFDGLYITEQP SEDDIKGQWDRLVINTQSFPNNYWDKFVKRKVMDKYGEFYGRDRISELLGMDKAALDFSD AREKKKPKKDSSLSAVLNSIDVKYQMWKLGVVFTDNSFLYLAWYMTMSVLGHYNNFFFAA HLLDIAMGFKTLRTILSSVTHNGKQLVLTVGLLAVVVYLYTVVAFNFFRKFYNKSEDGDT PDMKCDDMLTCYMFHMYVGVRAGGGIGDEIEDPAGDEYEIYRIIFDITFFFFVIVILLAI IQGLIIDAFGELRDQQEQVKEDMETKCFICGIGNDYFDTVPHGFETHTLQEHNLANYLFF LMYLINKDETEHTGQESYVWKMYQERCWEFFPAGDCFRKQYEDQLN >ENSMUSP00000127991.2 pep:known chromosome:GRCm38:13:11553103:12106945:-1 gene:ENSMUSG00000021313.15 transcript:ENSMUST00000170156.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryr2 description:ryanodine receptor 2, cardiac [Source:MGI Symbol;Acc:MGI:99685] MADAGEGEDEIQFLRTDDEVVLQCTATIHKEQQKLCLAAEGFGNRLCFLESTSNSKNVPP DLSICTFVLEQSLSVRALQEMLANTVEKSEGQLKTSQWPRIINTAQGGGHRTLLYGHAIL LRHSYSGMYLCCLSTSRSSTDKLAFDVGLQEDTTGEACWWTIHPASKQRSEGEKVRVGDD LILVSVSSERYLHLSYGNSSWHVDAAFQQTLWSVAPISSGSEAAQGYLIGGDVLRLLHGH MDECLTVPSGEHGEEQRRTVHYEGGAVSVHARSLWRLETLRVAWSGSHIRWGQPFRLRHV TTGKYLSLMEDKNLLLMDKEKADVKSTAFAFRSSKEKLDVGVRKEVDGMGTSEIKYGDSI CYIQHVDTGLWLTYQAVDVKSARMGSIQRKAIMHHEGHMDDGLNLSRSQHEESRTARVIR STVFLFNRFIRGLDALSKKVKLPTIDLPIESVSLSLQDLIGYFHPPDEHLEHEDKQNRLR ALKNRQNLFQEEGMINLVLECIDRLHVYSSAAHFADVAGREAGESWKSILNSLYELLAAL IRGNRKNCAQFSGSLDWLISRLERLEASSGILEVLHCVLVESPEALNIIKEGHIKSIISL LDKHGRNHKVLDVLCSLCVCHGVAVRSNQHLICDNLLPGRDLLLQTRLVNHVSSMRPNIF LGVSEGSAQYKKWYYELMVDHTEPFVTAEATHLRVGWASTEGYSPYPGGGEEWGGNGVGD DLFSYGFDGLHLWSGCIARTVSSPNQHLLRTDDVISCCLDLSAPSISFRINGQPVQGMFE NFNIDGLFFPVVSFSAGIKVRFLLGGRHGEFKFLPPPGYAACYEAVLPKEKLKVEHSREY KQERTYTRDLLGPTVSLTQAAFTPVPVDTSQIVLPPHLERIRERLAENIHELWVMNKIEL GWQYGPVRDDNKRQHPCLVEFCKLPEQERNYNLQMSLETLKTLLALGCHVGIADEHAEEK VKKMKLPKNYQLTSGYKPAPMDLSFIKLTPSQEAMVDKLAENAHNVWARDRIRQGWTYGI QQDVKNRRNPRLVPYTLLDDRTKKSNKDSLREAVRTLLGYGYHLEAPDQDHASRAEVCSG TGERFRIFRAEKTYAVKAGRWYFEFEAVTAGDMRVGWSRPGCQPDLELGSDDRAFAFDGF KAQRWHQGNEHYGRSWQAGDVVGCMVDMNEHTMMFTLNGEILLDDSGSELAFKDFDVGDG FIPVCSLGVAQVGRMNFGKDVSTLKYFTICGLQEGYEPFAVNTNRDITMWLSKRLPQFLQ VPSNHEHIEVTRIDGTIDSSPCLKVTQKSFGSQNNNTDIMFYRLSMPIECAEVFSKSVAG GLPGAGFYGPKNDLEDFDVDSDFEVLMKTAHGHLVPDRIDKDKETPKPEFNNHKDYAQEK PSRLKQRFLLRRTKPDYSTGHSARLTEDVLADDRDDYEYLMQTSTYYYSVRIFPGQEPAN VWVGWITSDFHQYDTGFDLDRVRTVTVTLGDEKGKVHESIKRSNCYMVCAGESMSPGQGR NNSNGLEIGCVVDAASGLLTFIANGKELSTYYQVEPSTKLFPAVFAQATSPNVFQFELGR IKNVMPLSAGLFKSEHKNPVPQCPPRLHVQFLSHVLWSRMPNQFLKVDVSRISERQGWLV QCLDPLQFMSLHIPEENRSVDILELTEQEELLQFHYHTLRLYSAVCALGNHRVAHALCSH VDEPQLLYAIENKYMPGLLRAGYYDLLIDIHLSSYATARLMMNNEFIVPMTEETKSITLF PDENKKHGLPGIGLSTSLRPRMRFSSPSFVSISNDCYQYSPEFPLDILKAKTIQMLTEAV KEGSLHARDPVGGTTEFLFVPLIKLFYTLLIMGIFHNEDLKHILQLIEPSVFKEAAVPEE EGGTPEKEISIEDAKLEGEEEAKGGKRPKEGLLQMKLPEPVKLQMCLLLQYLCDCQVRHR IEAIVAFSDDFVAKLQDNQRFRYNEVMQALNMSAALTARKTREFRSPPQEQINMLLNFKD DKSECPCPEEIRDQLLDFHEDLMTHCGIELDEDGSLDGSNDLTIRGRLLSLVEKVTYLKK KQAEKPVASDSRKCSSLQQLISETMVRWAQESVIEDPELVRAMFVLLHRQYDGIGGLVRA LPKTYTINGVSVEDTINLLASLGQIRSLLSVRMGKEEEKLMIRGLGDIMNNKVFYQHPNL MRALGMHETVMEVMVNVLGGGESKEITFPKMVANCCRFLCYFCRISRQNQKAMFDHLSYL LENSSVGLASPAMRGSTPLDVAAASVMDNNELALALREPDLEKVVRYLAGCGLQSCQMLV SKGYPDIGWNPVEGERYLDFLRFAVFCNGESVEENANVVVRLLIRRPECFGPALRGEGGN GLLAAMEEAIKIAEDPSRDGPSPTSGSSKTLDIEEEEDDTIHMGNAIMTFYAALIDLLGR CAPEMHLIHAGKGEAIRIRSILRSLIPLGDLVGVISIAFQMPTIAKDGKVVEPDMSAGFC PDHKAAMVLFLDRVYGIEVQDFLLHLLEVGFLPDLRAAASLDTAALSATDMALALNRYLC TAVLPLLTRCAPLFAGTEHHASLIDSLLHTVYRLSKGCSLTKAQRDSIEVCLLSICGQLR PSMMQHLLRRLVFDVPLLNEHAKMPLKLLTNHYERCWKYYCLPGGWGNFGAASEEELHLS RKLFWGIFDALSQKKYEQELFKLALPCLSAVAGALPPDYMESNYVSMMEKQSSMDSEGNF NPQPVDTSNITIPEKLEYFINKYAEHSHDKWSMDKLANGWIYGEIYSDSSKIQPLMKPYK LLSEKEKEIYRWPIKESLKTMLAWGWRIERTREGDSMALYNRTRRISQTSQVSIDAAHGY SPRAIDMSNVTLSRDLHAMAEMMAENYHNIWAKKKKLELESKGGGNHPLLVPYDTLTAKE KAKDREKAQDIFKFLQISGYVVSRGFKDLDLDTPSIEKRFAYSFLQQLIRYVDEAHQYIL EFDGGSRSKGEHFPYEQEIKFFAKVVLPLIDQYFKNHRLYFLSAASRPLCTGGHASNKEK EMVTSLFCKLGVLVRHRISLFGNDATSIVNCLHILGQTLDARTVMKTGLDSVKSALRAFL DNAAEDLEKTMENLKQGQFTHTRSQPKGVTQIINYTTVALLPMLSSLFEHIGQHQFGEDL ILEDVQVSCYRILTSLYALGTSKSIYVERQRSALGECLAAFAGAFPIAFLETHLDKHNVY SIYNTRSSRERAALSLPANVEDVCPNIPSLEKLMTEIIELAESGIRYTQMPYMMEVVLPM LCSYMSRWWEHGPENHPERAEMCCTALNSEHMNTLLGNILKIIYNNLGIDEGAWMKRLAV FSQPIINKVKPQLLKTHFLPLMEKLKKKAAMVVSEEDHLKAEARGDMSEAELLILDEFTT LARDLYAFYPLLIRFVDYNRAKWLKEPNPEAEELFRMVAEVFIYWSKSHNFKREEQNFVV QNEINNMSFLITDTKSKMSKAAISDQERKKMKRKGDRYSMQTSLIVAALKRLLPIGLNIC APGDQELIALAKNRFSLKDTEEEVRDIIRSNIHLQGKLEDPAIRWQMALYKDLPNRTEDP SDPERTVERVLGIANVLFHLEQKSKYTGRGYFSLVEHPQRSKKAVWHKLLSKQRKRAVVA CFRMAPLYNLPRHRAVNLFLQGYEKSWIETEEHYFEDKLIEDLAKPGAELPEEDEAMKRV DPLHQLILLFSRTALTEKCKLEEDFLYMAYADIMAKSCHDEEDDDGEEEVKSFEEKEMEK QKLLYQQARLHDRGAAEMVLQTISASKGETGPMVAATLKLGIAILNGGNSTVQQKMLDYL KEKKDVGFFQSLAGLMQSCSVLDLNAFERQNKAEGLGMVTEEGSGEKVLQDDEFTCDLFR FLQLLCEGHNSDFQNYLRTQTGNNTTVNIIISTVDYLLRVQESISDFYWYYSGKDIIDEQ GQRNFSKAIQVAKQVFNTLTEYIQGPCTGNQQSLAHSRLWDAVVGFLHVFAHMQMKLSQD SSQIELLKELMDLQKDMVVMLLSMLEGNVVNGTIGKQMVDMLVESSNNVEMILKFFDMFL KLKDLTSSDTFKEYDPDGKGVISKRDFHKAMESHKHYTQSETEFLLSCAETDENETLDYE EFVKRFHEPAKDIGFNVAVLLTNLSEHMPNDTRLQTFLELAESVLNYFQPFLGRIEIMGS AKRIERVYFEISESSRTQWEKPQVKESKRQFIFDVVNEGGEKEKMELFVNFCEDTIFEMQ LAAQISESDLNERLANKEESEKERPEEQAPRMGFFSLLTIQSALFALRYNVLTLVRMLSL KSLKKQMKRMKKMTVKDMVLAFFSSYWSVFVTLLHFVASVCRGFFRIVSSLLLGGSLVEG AKKIKVAELLANMPDPTQDEVRGDEEEGERKPLESALPSEDLTDLKELTEESDLLSDIFG LDLKREGGQYKLIPHNPNAGLSDLMTNPVPVPEVQEKFQEQKAKEEKEEKEETKSEPEKA EGEDGEKEEKAKDEKSKQKLRQLHTHRYGEPEVPESAFWKKIIAYQQKLLNYFARNFYNM RMLALFVAFAINFILLFYKVSTSSVVEGKELPTRTSSDTAKVTNSLDSSPHRIIAVHYVL EESSGYMEPTLRILAILHTIISFFCIIGYYCLKVPLVIFKREKEVARKLEFDGLYITEQP SEDDIKGQWDRLVINTQSFPNNYWDKFVKRKVMDKYGEFYGRDRISELLGMDKAALDFSD AREKKKPKKDSSLSAVLNSIDVKYQMWKLGVVFTDNSFLYLAWYMTMSVLGHYNNFFFAA HLLDIAMGFKTLRTILSSVTHNGKQLVLTVGLLAVVVYLYTVVAFNFFRKFYNKSEDGDT PDMKCDDMLTCYMFHMYVGVRAGGGIGDEIEDPAGDEYEIYRIIFDITFFFFVIVILLAI IQGLIIDAFGELRDQQEQVKEDMETKCFICGIGNDYFDTVPHGFETHTLQEHNLANYLFF LMYLINKDETEHTGQESYVWKMYQERCWEFFPAGDCFRKQYEDQLN >ENSMUSP00000100953.2 pep:known chromosome:GRCm38:10:81745983:81747503:-1 gene:ENSMUSG00000094622.1 transcript:ENSMUST00000105316.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3055 description:predicted gene 3055 [Source:MGI Symbol;Acc:MGI:3809197] DAVTYEDVHVNFTKEEWTLLHPSQKSLYRDVMLETCRNLTAIGIISVTLDPSLVSIRDME RSNVLLQVTVFFKLTEESILTRNPVNVISAVNPLQILIVFDSMKEVIVEENSMNVITVVK LFQVEVLFKYMKELIQERSPMGAMNVGKPFQLAVIFKFTKELTLERNPTGAVSVGKPLQV KVIFKRTKELTQERNLIAAMNVGKPLLLTIIFKYMKSFILEKNPMNVMNVVEHLHTAEL >ENSMUSP00000133108.1 pep:novel scaffold:GRCm38:GL456233.1:25190:25788:1 gene:ENSMUSG00000090805.1 transcript:ENSMUST00000167421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01165726.1 MCYKIFSLSLFVIVLDIFFIYI >ENSMUSP00000133176.1 pep:known chromosome:GRCm38:16:88877513:88878038:-1 gene:ENSMUSG00000060469.3 transcript:ENSMUST00000075284.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap19-3 description:keratin associated protein 19-3 [Source:MGI Symbol;Acc:MGI:1925168] MSHYSSYYGGLGYGYGSFGGPGCGCNSIRRLVGFGSGYGGFGYGSGFGGFGYGSGYGGYG YGSGFRGYGCGCRRPSCCGGYGFSSFY >ENSMUSP00000096148.4 pep:known chromosome:GRCm38:7:31116525:31126945:-1 gene:ENSMUSG00000019194.14 transcript:ENSMUST00000098548.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn1b description:sodium channel, voltage-gated, type I, beta [Source:MGI Symbol;Acc:MGI:98247] MGTLLALVVGAALVSSAWGGCVEVDSDTEAVYGMTFKILCISCKRRSETTAETFTEWTFR QKGTEEFVKILRYENEVLQLEEDERFEGRVVWNGSRGTKDLQDLSIFITNVTYNHSGDYE CHVYRLLFFDNYEHNTSVVKKIHLEVVDKANRDMASIVSEIMMYVLIVVLTIWLVAEMVY CYKKIAAATEAAAQENASEYLAITSESKENCTGVQVAE >ENSMUSP00000093356.5 pep:known chromosome:GRCm38:10:53278081:53379851:-1 gene:ENSMUSG00000038594.8 transcript:ENSMUST00000095691.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep85l description:centrosomal protein 85-like [Source:MGI Symbol;Acc:MGI:3642684] MWGRFLAPEAGGRDSPSGARSFPAGSDYSSAWLPGNESLWQATAVPSNHRNNHLRRHSIT SDSGDTGIGTSCSDSVEDHSTSSGTLSFKPSRSLVTLPTAHVMPSNSSASVSKHRESLTP DGSKWSTSLMQTLGDHSRGERDSSLDMKDFRPLRKWSSLSKLTAPDTRNHSGIAYPQELR NSLEMTGKGKPFMSHLRTFGPSCLHDSMELLKLEDKEINKKRSSTLDCKYKFESCNKEDV RVSSSALRRQTLDMTYSALPESKPIVTGSETFESPKYLMLGQQAVGGVPIQPSVRTQMWL TEQLRTNPLEGRTTDDSYSLAPWQQPQTEEFQQGSETPMQVLTGSSRQSYSPPGFQDFSK WESVLKIKEGLLRQKEIVIDRQKQQINHLHERIRDNELRAQHAMLGHYVNCEDSYVSNLQ PQYESSSGQSLFTEQPLSHPHQEELEQKLASTEKEVLQLNEFLKQRISQFSEEKKKLEEK LKTRDRYISSLKKKCQKESEQNKEKQRRIETLEKYLADLPTLDDVQSQSLQLQVLEEKNK NLQETLIDTEKQLEEIKKQCQDKEVQLLCQKKKEKELVTSVQSLQQKVEKCLEDGIRLPM LDAKQLQSENDNLREQNATASKIIESQQDEINRMILEIQSMQGKLCEEKLTARSTVEELG RKEGSLQRLTEALLENQRQMGETYSLLDQGHEAEQSRPQTIHSKWPLFDLTVIDQLFKEM SYCLFDLKALCSILNQRAQGKEPNLSLLLGIRSMNCSAEETENDHSPETLTKKLSDVCQL RRDIDELRTTISDRYAQDMGDNCVTQ >ENSMUSP00000022508.6 pep:known chromosome:GRCm38:14:33589270:33640754:1 gene:ENSMUSG00000021940.9 transcript:ENSMUST00000022508.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn20 description:protein tyrosine phosphatase, non-receptor type 20 [Source:MGI Symbol;Acc:MGI:1196295] MSSPRKVRGKTGRDNDEEEGNSGNLNLRNSLPSSSQKMTPTKPIFGNKMNSENVKPSHHL SFSDKYELVYPEPLESDTDETVWDVSDRSLRNRWNSMDSETAGPSKTVSPVLSGSSRLSK DTETSVSEKELTQLAQIRPLIFNSSARSAMRDCLNTLQKKEELDIIREFLELEQMTLPDD FNSGNTLQNRDKNRYRDILPYDSTRVPLGKNKDYINASYIRIVNHEEEYFYIATQGPLPE TIEDFWQMVLENNCNVIAMITREIECGVIKCYSYWPISLKEPLEFEHFSVFLETFHVTQY FTVRVFQIVKKSTGKSQCVKHLQFTKWPDHGTPASADFFIKYVRYVRKSHITGPLLVHCS AGVGRTGVFICVDVVFSAIEKNYSFDIMNIVTQMRKQRCGMIQTKEQYQFCYEIVLEVLQ NLLALY >ENSMUSP00000034304.7 pep:known chromosome:GRCm38:8:117701946:117759029:1 gene:ENSMUSG00000031844.7 transcript:ENSMUST00000034304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b2 description:hydroxysteroid (17-beta) dehydrogenase 2 [Source:MGI Symbol;Acc:MGI:1096386] MSPFASESAWLCLAAAAVLGGTLLCGCRSGRQLRSQAVCLAGLWGGACLLSLSLLCTLFL LSVACFLLLYMSSSDQDLLPVDQKAVLVTGADSGFGHGLAKHLDKLGFTVFAGVLDKEGP GAEELRKHCSERLSVLQMDVTKPEQIKDAHSKVTEKIQDKGLWAVVNNAGVFHLPIDGEL IPMSIYRKCMAVNFFGTVEVTKAFLPLLRKSKGRLVNVSSMGGTVPLQMTSAYAATKAAL TMFSTIIRQELDKWGVKVVTIKPGGFKTNITGSQDIWDKMEKEILDHFSKDIQENYGQDY VHTQKLIIPTLKERSNPDITPVLRDIQHAISARNPSSFYYPGRMAYLWVCLAAYCPTSLL DYVIKKGFYPQPTPRALRTVH >ENSMUSP00000089498.4 pep:known chromosome:GRCm38:18:61131279:61177050:-1 gene:ENSMUSG00000024622.7 transcript:ENSMUST00000091884.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgxb3 description:HMG box domain containing 3 [Source:MGI Symbol;Acc:MGI:2441817] MDAPYDGAEVTVLMEEIEEAYYTSPGPPKKKKKYKIHGEKAKKPRSAYLLYYYDIYLKVQ QELPHLPQSEINKKISESWRLLSVAERSYYLEKAKLEKEGLDPNSKLSALTAVVPDIPGF RKILPRSEYIIIPKSTLQEDRSCPQLELCVAQNQMSPKGSTLMSNASPDVVASHAGMAEQ CLAVEALAEEVGALPQPGTVQEIATSEILSHDMLLEEASLEVGESHQPYQTSLVIEETLV NGSSDLPTGSLAVPHSQVGESLSVVTVMRDSSESSPSAPATQFIMLPLPAYSVVENPSSI KLTTTYTRRGHGTCTSPGCSFTYVTRHKPPKCPTCGNFLGGKWIPKEKPAKVKVELASGV SSKGSVIKRNQQSVTTEQNPSKENASKLTLENSEAEVPGNRGTAVTKMPVVKSGAQAEIS LGTTENDSPGPDILPSAEGTSSSSLLQAHKKSPGVDLFTTGPKAPELKGRARGKPSLLAA ARPMRAILPAPTSVGRGSGVGLPRARQAFPLDKTPSVRTCGLKPSTLKQLGQPIQQPPST GEVKLSNCASSRTPQLKIVEVKPDLFPPYKYSCTVTLDLGLATSRGRGKCKNPSCSYVYT NRHKPRICPSCGFNLAKDRTEKTPKAIEASSPHPDVPSTTEALNPTQREVQRQSTLQLLR KVLQIPENESELAEVFALIHELNSSRLILSNVSEETVTIEQTSWSNYYESPSTQCLLCSS PLFKGGHNSLAGPQECWLLTANRLQVVTAQVKMCLNPHCLALHSFTDIYTGLFNVGNKLL VSLDLLFAIRNQIKLGEDPRVSVGVVLKSVQEQTEKTLTSEELSQLQELLCNGYWAFECL TVRDYNDMICGICGVAPKVEMAQRNEENVLALKSVEFTWPEFLGSSEVNVEDFWTTMETE VIEQVAFPASIPITKFDASVIAPFFPPLMRGAVVVNTEKDKTQDMQPVPGNGSALVRLLQ EGTCKLEELGSYSGEELQYLLEQCDIPFSPEDSRDQLCFSLLALYESVQNGARARPPPAH FTGGKIYKVCPHQVVCGSKYLVRGESARDHVDLLASSRHWPPVYVVDMATPVALCADLCY PELTSQMWGKNQGCFSNPTEPVVSVSCPELLDQHYSVDVTEAENSVQHPVTKSATRRIVH ANTKPDPSDPSAGHRSLSLCPELAPYASTTDSKLSSVRQRPIAFDNATHYYLYNRLMDFL TSREIVNRQIHDIVQSCQPGEVVIRDTLYRLGVAQIKTEAQEEGEEEEVASVVE >ENSMUSP00000132758.1 pep:known chromosome:GRCm38:10:12939983:12964259:-1 gene:ENSMUSG00000039232.11 transcript:ENSMUST00000163425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx11 description:syntaxin 11 [Source:MGI Symbol;Acc:MGI:1921982] MKDRLAELQELSRSYDQQFPDGDNDFDAPREDIVFETDHILESLYRVIQDIQDENQLLLI DVRRLGRQNVRFLTSMRRLSSIKRDTNSIAKAIKTRGEGIHQKLRSMKELSEQAEARHGA HSAVARISHAQYSALARAFQQAMYEYNQAEMKQRDNCKIRIQRQLEIMGKDMSGEQIEDM FEQGKWDVFSENLLADLKGARAALNEIESRHRELLRLEGRIRDVHELFLQMAVLVEKQED TLNVIELNVQKTLDYTGEAKAQVRKAVQYKKKNPCRTICCFCCPCVN >ENSMUSP00000046243.5 pep:known chromosome:GRCm38:10:12939983:12964259:-1 gene:ENSMUSG00000039232.11 transcript:ENSMUST00000042861.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx11 description:syntaxin 11 [Source:MGI Symbol;Acc:MGI:1921982] MKDRLAELQELSRSYDQQFPDGDNDFDAPREDIVFETDHILESLYRVIQDIQDENQLLLI DVRRLGRQNVRFLTSMRRLSSIKRDTNSIAKAIKTRGEGIHQKLRSMKELSEQAEARHGA HSAVARISHAQYSALARAFQQAMYEYNQAEMKQRDNCKIRIQRQLEIMGKDMSGEQIEDM FEQGKWDVFSENLLADLKGARAALNEIESRHRELLRLEGRIRDVHELFLQMAVLVEKQED TLNVIELNVQKTLDYTGEAKAQVRKAVQYKKKNPCRTICCFCCPCVN >ENSMUSP00000041483.5 pep:known chromosome:GRCm38:10:61297836:61304263:1 gene:ENSMUSG00000037202.5 transcript:ENSMUST00000035419.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prf1 description:perforin 1 (pore forming protein) [Source:MGI Symbol;Acc:MGI:97551] MATCLFLLGLFLLLPRPVPAPCYTATRSECKQKHKFVPGVWMAGEGMDVTTLRRSGSFPV NTQRFLRPDRTCTLCKNSLMRDATQRLPVAITHWRPHSSHCQRNVAAAKVHSTEGVAREA AANINNDWRVGLDVNPRPEANMRASVAGSHSKVANFAAEKTYQDQYNFNSDTVECRMYSF RLVQKPPLHLDFKKALRALPRNFNSSTEHAYHRLISSYGTHFITAVDLGGRISVLTALRT CQLTLNGLTADEVGDCLNVEAQVSIGAQASVSSEYKACEEKKKQHKMATSFHQTYRERHV EVLGGPLDSTHDLLFGNQATPEQFSTWTASLPSNPGLVDYSLEPLHTLLEEQNPKREALR QAISHYIMSRARWQNCSRPCRSGQHKSSHDSCQCECQDSKVTNQDCCPRQRGLAHLVVSN FRAEHLWGDYTTATDAYLKVFFGGQEFRTGVVWNNNNPRWTDKMDFENVLLSTGGPLRVQ VWDADYGWDDDLLGSCDRSPHSGFHEVTCELNHGRVKFSYHAKCLPHLTGGTCLEYAPQG LLGDPPGNRSGAVW >ENSMUSP00000024731.8 pep:known chromosome:GRCm38:17:45448937:45474938:-1 gene:ENSMUSG00000023935.9 transcript:ENSMUST00000024731.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats1 description:spermatogenesis associated, serine-rich 1 [Source:MGI Symbol;Acc:MGI:1918270] MESSKDTQHGDALESKSCLANRTSSRQNKRTSLSSSDGTGPRVTESLGLPRVLTPSDTAA ELGQKTSSSSSSSSSSAQSNRSSKVSLPEIPKEKYPEEFSLLNSQTEDGQRPEWTFYPRF SSNIHTYHIGKQCFFNGVFRGNRRSVAERTVDNSLGKKKYDIDPRNGIPKLTPGDNPYMF PEQSKEFFKAGATLPPVNFSLGPYEKKFDTFIPLEPLPKIPNLPFWEKEKANNLKNEIKE VEELDNWQVPMPFLHGFFSTGASNFSRQQ >ENSMUSP00000034464.6 pep:known chromosome:GRCm38:8:124635766:124663369:-1 gene:ENSMUSG00000031983.6 transcript:ENSMUST00000034464.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310022B05Rik description:RIKEN cDNA 2310022B05 gene [Source:MGI Symbol;Acc:MGI:1916801] MASMAAAIAASRSAVMSGNRPLDDRERKRFTYFSSLSPMARKIMQDKEKIREKYGPEWAR LPPAQQDEIIDRCLVGPRAPAAAADAGDVRDPARFPGLRGPTGQKLVRFGDEDITWQDEH SAPFSWETRSQMEFSISSLSIQEPSAATVTSDARSLAKAPQGTQGSKPAQSSRSSSLDAL GPARKEEEAPFWKINAERSREGPEAEFQSLTPSQIKSMEKGEKVLPACYRQEPTTKDREA KPVPQEQQTLPSVSAEQEVPQPVQAPASLLPKATPTESPEKPPPPAVQRDEDDDALFSEP ALAQISSSNVLLKTGFDFLDNW >ENSMUSP00000045542.3 pep:known chromosome:GRCm38:15:33683876:33687883:-1 gene:ENSMUSG00000038984.3 transcript:ENSMUST00000042021.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspyl5 description:testis-specific protein, Y-encoded-like 5 [Source:MGI Symbol;Acc:MGI:2442458] MSGRSRGRKSSRAKGRGKGRARARVRAAAEDAWHDEKPPQSPRLGEDSAAAQVQAGAAQG GAEPAELREEAACRLPLDCGLALRARAADERGLAAPDPDLERARSLAERLTSDTSFVGTV GALAKLRRGSRIGNRRVPGRKAPDTRSATGRGPQATVSGKPKMASAGLCAAAPVGEEKKM TEKHAGAGSPATVGSMDTLETVQLKLETMNAQADRAYLRLSRKFGQLRLHHLERRNLLIQ SIPGFWGQAFQNHPQLSAFLNTKDKEVLSYLNRLEVEELGLARLGYKIKFYFGRNPYFQN KVLIKEYGCGPSGQVVSRSAPIQWLPGHDLQSLSKENPENNGSFFGWFSNHSSIESDKIV EIINEDLWPNPLQYYLISEEARGEKGKEERPGPAKLSPAPAVRQPN >ENSMUSP00000097600.1 pep:known chromosome:GRCm38:16:28826176:28929698:-1 gene:ENSMUSG00000051065.8 transcript:ENSMUST00000100023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mb21d2 description:Mab-21 domain containing 2 [Source:MGI Symbol;Acc:MGI:1917028] MKMAAPTASKAASLGCNNKPAFPELDFRSGARVEELNKLIQEFTRHDQREYDDQRALEIH TAKDFIFSMLGMVQKLDQKLPVANEYLLLSGGVREGVVDLDLDELNVYARGTDYDMDFTL LVPALKLHDRNQPVTLDMRHSALCHSWLSLRLFDEGTISKWKGCCTIADHINGATNYFFS PTKVADWFYDSISIVLSEIQKKPQRGMPKVEKVEKNGTIISIILGVGSSRMLYDIVPVVS FKGWPAVAQSWLMENHFWDGKITEEEVISGFYLVPACSYKGKKDNEWRLSFARSEVQLKK CISGSLMQAYQACKAIIIKLLSRPKAISPYHLRSMMLWACDRLPASYLAQEDYAAHFLLG LIDDLQHCLVNKMCPNYFIPQCNMLEHLSEETVMLHARKLSSVRSDPAEHLRTAIEHVKA ANRLTLKLQRRGSTTSIPSPQSDGGDPNQPDDRLAKKLQQLVTENPGKSISVFINPDDVT RPHFRIDDKFF >ENSMUSP00000126987.1 pep:known chromosome:GRCm38:14:59244441:59260216:-1 gene:ENSMUSG00000090881.1 transcript:ENSMUST00000168702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6904 description:predicted gene 6904 [Source:MGI Symbol;Acc:MGI:3644962] MAQEKPGCSNPVPNGDSPIIEKMEKRTCALCPEGHEWNHIYFAPSLNIAAHENCLLYSSG LVECEAPDLPNTVRNFDVKSVKKEIGRGRRLKCSFCKNKGATMGCDLQSCTKNYHLSCAM EDNAILQVDEDHGTYKLFCQKHAPEGQDPTQRDATVKAPFLKKCQEAGLLNVLLEYILEK MDSTYGRLLDETASESGTEWVF >ENSMUSP00000137046.1 pep:known chromosome:GRCm38:8:31737054:31739763:-1 gene:ENSMUSG00000093862.1 transcript:ENSMUST00000178878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5117 description:predicted gene 5117 [Source:MGI Symbol;Acc:MGI:3648041] TCCQKWNRVAKMLLFIEEHEEEHKILCLCSWAFVEDRKLYNLGLKGYYVKSSGNNAGDPG TEEEEYDHSNGTAESHSPDESDFDSEAKLMRSMGLPIQFGRMSSHENFAMSMNAKNKAGV KQKRRKHQKRYLDEMVRESWRNDYETDDLVVSDDPSSVEHCENNRTCEIQSKADSEVENL PVENTLAPKLEVPENWEKYWNEYGEGLLWQSWQEKYPDQTLSSEPWNLPDTKEEWEQHYS RLYWYYLEQFQCCEAQGWTFAASQNHDKNVCTSHIEADQNAESSLKAGVMTFSSSPNIVE DEIPGSNDNDHNEIITAIHNITVSAEKVEQSQLDSSQHCDEPLSEITGKECPASGGSDTY NGTPKENDISENRSSDQPSKELQGSSGTNSGKHSPHHNGADGNESEDDPPEHKPSKVKRS HELDVDENPYSEVDDNGFLVGFKHSSGQKYGGIPNFSHRQVRYLEKNVKYKSKYLDLPVK SKRILFSENSGKPFVVCKNKVRSKVEKFLKWVNERVDEETPQESLSQNKMQDTCTSSDSE EQGMSLEKADNLVETRDPEPEKCQTIFSATELEAEKSEVGSLVATVPENCSTEEIPNSPH AETEVEIKKKKKKKNKNNKKKKNKTKKINDLPPEIASVPELAKYWAQRYRLFSRFDDGIK LDKEGWFSVTPEKIAEHIAGRVSRAFRCDVVVDAFCGVGGNTIQFALTGKRVIAIDIDPV KIDLARNNAEVYGVADKIKFICGDFLLLAPCLKADVVFLSPPWGGPDYATAETFDIRTMM SLDGFEIFRLSQKITNNIVYFLPRNVDIDQVASLAGLGGQVEIEQNFLNNKLKTITAYFG DLVRRPALLKTSKDANEV >ENSMUSP00000103649.1 pep:known chromosome:GRCm38:3:53845086:53845278:1 gene:ENSMUSG00000078742.1 transcript:ENSMUST00000108014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10985 description:predicted gene 10985 [Source:MGI Symbol;Acc:MGI:3779200] YQFLSLSLLLSLSLSLSLYIYIYIYIY >ENSMUSP00000098020.1 pep:known chromosome:GRCm38:14:66868850:66871005:1 gene:ENSMUSG00000075553.1 transcript:ENSMUST00000100453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5464 description:predicted gene 5464 [Source:MGI Symbol;Acc:MGI:3643060] MLHLQCRPQWRGDRPGRVRRGRKPATEGLRYSPGGMHTPARAPIRGGRRCSPAWGDCAEP MASLTASARLHRGFPRPAHQARPPPCSGCCGRRLPAGGTRTASGKVLGYRAPDAPPQNCF FFFCDCWLPRRRDGGQRLWGKRARSSALAALLASTPRLSPLCSCGACRSKEEKLL >ENSMUSP00000068511.6 pep:known chromosome:GRCm38:17:25240170:25242798:1 gene:ENSMUSG00000015126.9 transcript:ENSMUST00000063574.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsr3 description:TSR3 20S rRNA accumulation [Source:MGI Symbol;Acc:MGI:1915577] MGRKKVARGSRKESGRVRRPSGRSLDAFAEEVGAALRASVQPEEAEDQGGPGPAALPCAL AMWELGHCDPKRCTGRKLARLGLVRCLRLSQRFGGLVLSPVGTEYVSPADRQLVAQSGVA VIDCSWAKLDDTPFQKMRGSHLRLLPYLVAANPVNYGRPCKLSCVEAFAAAFCIVGFSDL AVILLRKFKWGKGFLDLNRELLDKYAACRGPEEVLQAEQGYLASTRDTPEEDIDPFDVDS GREFVNLNRPVASTRLPEDMDDTDGSEEHSEDSEEDSDECEEPGPGANGGDSNYSGAEET PEQEAQARDSTEIWKGIKKRQRD >ENSMUSP00000137284.1 pep:known chromosome:GRCm38:4:42655251:42656005:1 gene:ENSMUSG00000096826.1 transcript:ENSMUST00000177785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27b description:chemokine (C-C motif) ligand 27b [Source:MGI Symbol;Acc:MGI:1891389] MMEGLSPASSLPLLLLLLSPAPEAALPLPSSTSCCTQLYRQPLPSRLLRRIVHMELQEAD GDCHLQAVVLHLARRSVCVHPQNRSLARWLERQGKRLQGTVPSLNLVLQKKMYSHPQQQN >ENSMUSP00000019379.7 pep:known chromosome:GRCm38:12:85135596:85151264:-1 gene:ENSMUSG00000019235.8 transcript:ENSMUST00000019379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6kl1 description:ribosomal protein S6 kinase-like 1 [Source:MGI Symbol;Acc:MGI:2443413] MSLVACECPPGPGLEPEPCSRARSQACMYLEQIRNRVATGTADVTKRDYLVDAATQIHLA LERDVSEDYEAAFNHYQNGVDVLLRGVHVDPNKERREAVKLKITKYLRRAEEIFNCHLQR TLGSGASPNTGFSSLRLRPIRTLSSALEQLKGCRVVGIIKKVQVVQDPATGGTFIVKSLP RCHMVSRERLTIIPHGVPYMTKLLRYFVSEDSIFLHLEHVQGGTLWSHLLSQDHFQYSGL NSGSVQEKSQAQLSTRLSLMTPAELTPGHTLRQNRIPMEPPRTSQSLPPALQLQKEADAE PSSRPSAVFSSDPTEAPCGHSHSQVRRAGQSSNPAPTQRLHWVREGADRVLGAYGRGRGR NPPSANRASLGSGRAAWSLREGQVKQWAAEMLLALEALHQQGVLCRDLNPQNLLLDQAGH IQLTYFGQWSEVEPRCSQEAVDCLYSAPEVGGISELTEACDWWSYGSLLYELLTGMALSQ SHPSGFQAHTQLQLPEWLSHPAASLLTELLQFEPQRRLGAGGGGTSRLKSHPFFSTIQWS RLMG >ENSMUSP00000022727.8 pep:known chromosome:GRCm38:14:118111911:118132765:-1 gene:ENSMUSG00000022130.9 transcript:ENSMUST00000022727.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgds description:TDP-glucose 4,6-dehydratase [Source:MGI Symbol;Acc:MGI:1923605] MSAASREERSGPPGSFAKRVLVTGGAGFIASHVIVSLVEDYPDYMIVNLDKLDYCASLKN LEPVSNKQNYKFIQGDICDSHFVKLLFEVEKIDIVLHFAAQTHVDLSFVRAFEFTYVNVY GTHVLVNAAYEAGVEKFIYVSTDEVYGGSLDQEFDESSPKQPTNPYASSKAAAECFVQSY WERYKFPVVITRSSNVYGPHQYPEKVIPKFISLLQHNRKCCIHGSGLQRRNFLYAADVVE AFLTVLTKGEPGEIYNIGTNFEMSVVQLAKELIQLIKETNSESETESWVDYVSDRPHNDM RYPMKSEKIHSLGWKPKVPWEEGIKKTVEWYRKNFHNWKNAEKALEPFPVQPPFM >ENSMUSP00000023113.5 pep:known chromosome:GRCm38:15:81915030:81926213:-1 gene:ENSMUSG00000022476.7 transcript:ENSMUST00000023113.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3h description:polymerase (RNA) III (DNA directed) polypeptide H [Source:MGI Symbol;Acc:MGI:1926179] MFVLVEMVDTVRIPPWQFERKLNDSIAEELNKKLANKVVYNVGLCICLFDITKLEDAYVF PGDGASHTKVHFRYVVFHPFLDEILIGKIKGCSPEGVHVSLGFFDDILIPPESLQQPAKF DEAEQVWVWEYETEEGAHDLYMDTGEEIRFRVVDESFVDTSPTGPSSAEAASSSEELPKK EAPYTLVGSISEPGLGLLSWWTSN >ENSMUSP00000097593.3 pep:known chromosome:GRCm38:16:29958493:29962307:-1 gene:ENSMUSG00000075286.3 transcript:ENSMUST00000100016.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1968 description:predicted gene 1968 [Source:MGI Symbol;Acc:MGI:3584525] MTCKTFLSFFRPIWFLMGKQFDQLVAALRNKGPCTQFPATLSWSSESPITPTSGEPNASD LRGHPHSQGHTHSDVLAAWKPVFCLRPSDEDVKLSPLPAPCLPGCCHVCALMIMD >ENSMUSP00000052469.4 pep:known chromosome:GRCm38:16:38773184:38800203:-1 gene:ENSMUSG00000049436.4 transcript:ENSMUST00000057767.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upk1b description:uroplakin 1B [Source:MGI Symbol;Acc:MGI:98912] MAKDDSTVRCFQGLLIFGHVIVGMCGIALTAECIFFVSDQHSLYPLLEATNNDDIFGAAW IGMFVGICLFCLSVLAIVGIMKSNRKILLAYFIMMFIVYGFEVASCITAATQRDFFTTNL FLKQMLMRYQNNSPPTNDDEWKNNGVTKTWDRLMLQDHCCGVNGPSDWQKYTSAFRVENN DADYPWPRQCCVMDKLKEPLNLDACKLGVPGYYHSQGCYELISGPMDRHAWGVAWFGFAI LCWTFWVLLGTMFYWSRIEY >ENSMUSP00000009774.9 pep:known chromosome:GRCm38:8:33599621:33619794:1 gene:ENSMUSG00000009630.9 transcript:ENSMUST00000009774.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2cb description:protein phosphatase 2 (formerly 2A), catalytic subunit, beta isoform [Source:MGI Symbol;Acc:MGI:1321161] MDDKAFTKELDQWVEQLNECKQLNENQVRTLCEKAKEILTKESNVQEVRCPVTVCGDVHG QFHDLMELFRIGGKSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPERITILRGNHES RQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVDGQIFCLHGGLSPSIDTLDHI RALDRLQEVPHEGPMCDLLWSDPDDRGGWGISPRGAGYTFGQDISETFNHANGLTLVSRA HQLVMEGYNWCHDRNVVTIFSAPNYCYRCGNQAAIMELDDTLKYSFLQFDPAPRRGEPHV TRRTPDYFL >ENSMUSP00000105147.3 pep:known chromosome:GRCm38:8:94857450:94864242:1 gene:ENSMUSG00000031783.9 transcript:ENSMUST00000109521.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2c description:polymerase (RNA) II (DNA directed) polypeptide C [Source:MGI Symbol;Acc:MGI:109299] MPYANQPTVRITELTEENVKFIIENTDLAVANSIRRVFIAEVPIIAIDWVQIDANSSVLH DEFIAHRLGLIPLTSDDIVDKLQYSRDCTCEEFCPECSVEFTLDVRCNEDQTRHVTSRDL ISNSPRVIPVTSRNRDNDPNDYVEQDDILIVKLRKGQELRLRAYAKKGFGKEHAKWNPTA GVAFEYDPDNALRHTVYPKPEEWPKSEYSELDEDESQAPYDPNGKPERFYYNVESCGSLR PETIVLSALSGLKKKLSDLQTQLSHEIQSDVLTIN >ENSMUSP00000109980.2 pep:known chromosome:GRCm38:16:59407332:59461889:1 gene:ENSMUSG00000074991.4 transcript:ENSMUST00000114341.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrr3 description:gamma-aminobutyric acid (GABA) receptor, rho 3 [Source:MGI Symbol;Acc:MGI:3588203] MVLAVWLVSFTYTWITLMLDASAIKKPHQQCLSSPKQTRIRETRMRKDDLTKVWHPKREQ LLHIEDHDFSTRPGFGGSPVPVGIDVQVESIDSISEVNMDFTMTFYLRHYWKDERLSFPS TTNKSMTFDRRLLQKIWVPDIFFVHSKRSFIHDTTVENIMLRVHPDGNVLFSLRITVSAM CFMDFSRFPLDTQNCSLELESYAYNEEDLMLYWKHGNKSLNTEERISLSQFFIEEFSASS GLAFYSSTGWYYRLFINFVLRRHVFFFVLQTYFPAMLMVMLSWVSFWIDRRAVPARVSLG ITTVLTMSTVVTGVSASMPQVSYVKAVDVYMWVSSLFVFLSVIEYATVNYLTTVEEWKQL NRRGKISGMYHIDAVQAMAFDGCYHDSETDVDQTSFSLHSEEDSMSTKFTGSPCADSSQI KRKSLGGNVGRIILENNHVIDTYSRIVFPTVYIIFNLFYWGIYV >ENSMUSP00000106041.1 pep:known chromosome:GRCm38:8:43650435:43699487:-1 gene:ENSMUSG00000079058.1 transcript:ENSMUST00000110411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam34 description:a disintegrin and metallopeptidase domain 34 [Source:MGI Symbol;Acc:MGI:2181992] MSGAKALVHKRNMFLKFCLWTMFFFSAWSSIGHAKYSSLPEVVTPLRVTVTRGNNISPGW LSYSLNIEGQRHIITMKPKKNLISRNFLLFTYSDQGDLLEEQPFVQNDCYYHGYVDEDPE SLVIVNTCFGSLQGTLEINGTTYEIMPKSTTSTFEHLAYKMDNGESEPSPMRCGLSEEEI AQQMKLQESNASTLLQIPYENWWTHHRFIDYFVVIDHNRYVHRNNNTTTCIQDMLQVVNG INGYYLQIQTDVVLTKLEVWSQNNLINVEQEIRKVLGAFCNWKINAIGNRVRHDIIHLFV RRSYGTYLGLANVGTVCLTLNCAVNSFLSDSLSDMAFIIAHEMGHNLGMMHDGSSCTCGL SSCIMAPYKSNSPKFSNCSYEEMFSVVTKRSCLYDIPDALKTINLMPTMCGNNLVEEGEE CDCGSTESCLQDPCCSSDCVLKPGAQCAFGLCCKNCQFLKTGTVCREEKNECDLPEWCNG TSAECPGDVYKADGIPCSGEGYCYKMECHQRDEQCRKIFGNGSRSADEICYMEMNRRGDR FGNCGNDSSMYRRCNLADVLCGRIQCENVIQLPQRRNHETVHYTHFSNVTCWTTDYHFGI TIDDVGAVSDGTTCGPDYLCVHRKCVSKSVLVRNCTPQLCHMQGVCNNKDHCHCNNTWEP PDCQLRGHGGSIDSGPPPVPLSPSNWSMYFLAFIIMYVLGFIALYGIRELKKKS >ENSMUSP00000061410.7 pep:known chromosome:GRCm38:14:121911435:121915774:-1 gene:ENSMUSG00000050350.7 transcript:ENSMUST00000055475.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr18 description:G protein-coupled receptor 18 [Source:MGI Symbol;Acc:MGI:107859] MATLSNHNQLDLSNGSHPEEYKIAALVFYSCIFLIGLFVNVTALWVFSCTTKKRTTVTIY MMNVALLDLVFILSLPFRMFYYAKGEWPFGEYFCHILGALVVFYPSLALWLLAFISADRY MAIVQPKYAKELKNTGKAVLACGGVWVMTLTTTVPLLLLYEDPDKASSPATCLKISDITH LKAVNVLNFTRLIFFFLIPLFIMIGCYVVIIHSLLRGQTSKLKPKVKEKSIRIIMTLLLQ VLVCFVPFHICFAVLMLQGQENSYSPWGAFTTFLMNLSTCLDVVLYYIVSKQFQARVISV MLYRNYLRSVRRKSVRSGSLRSLSNMNSEML >ENSMUSP00000034228.8 pep:known chromosome:GRCm38:8:94666755:94674417:1 gene:ENSMUSG00000031776.16 transcript:ENSMUST00000034228.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl2bp description:ADP-ribosylation factor-like 2 binding protein [Source:MGI Symbol;Acc:MGI:1349429] MDALEEESFALSFSSASDAEFDAVVGCLEDIIMDDEFQLLQRNFMDKYYQEFEDTEENKL TYTPIFNEYISLVEKYIEEQLLERIPGFNMAAFTTTLQHHKDEVAGDIFDMLLTFTDFLA FKEMFLDYRAEKEGRGLDLSSGLVVTSLCKSSSTPASQNNLRH >ENSMUSP00000105153.4 pep:known chromosome:GRCm38:8:94667226:94674417:1 gene:ENSMUSG00000031776.16 transcript:ENSMUST00000109527.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl2bp description:ADP-ribosylation factor-like 2 binding protein [Source:MGI Symbol;Acc:MGI:1349429] MRSSASDAEFDAVVGCLEDIIMDDEFQLLQRNFMDKYYQEFEDTEENKLTYTPIFNEYIS LVEKYIEEQLLERIPGFNMAAFTTTLQHHKDEVAGDIFDMLLTFTDFLAFKEMFLDYRAE KEGRGLDLSSGLVVTSLCKSSSTPASQNNLRH >ENSMUSP00000023532.6 pep:known chromosome:GRCm38:16:34690616:34725194:1 gene:ENSMUSG00000022833.6 transcript:ENSMUST00000023532.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc14 description:coiled-coil domain containing 14 [Source:MGI Symbol;Acc:MGI:2443448] MSPGGPRPSSRKRKLRGRDKGGPDISAVNSFFLKYSFPYAWALLRLREMVRSGSRPGQVI SSGRQPGAKLPNGKKGTHVRKIAHFNVDSACPVQSDSESQTDTLQGLDGCASLLRDILKN EDSGSEMVYSENRCNSKPLEGRKNRSKKKGPEKHVPSVVRKEILSSENRKWISNEASTGS DTAQRWSLQDHYRMYSPIIYQALCEHVQTQMSLMNSLALKNGPNGIHAVCHTMSNSGSQA TPHSGFGCSPSASVWPPQQPSCPLMVHSEVQTDADNQFESQSQATSVKYGDVPRDPFSVH PGVACGLPHADSPAVAKSRQLDLTSCILPQQRAPKEAELLKCFQTHTSLFPAHGKDALSD SQAYQSPSQLQPAFLATNEEKCAKEQFEEATNEGKHLSTHVQDAKIAKNVQQAENVSQTA EKIRLAKCLLGELKALMEEDSEVQRLVTEVEACVSGLSAVSGHPNVEVEIALALQPLRSE NAQLRRQLRILNQRLREQEKTEKGPGNLELFSLQSLNMSLQNQLQESLKSQELLQSKNEE LLKVIENQKEENKKFTTLFKDKEQTLLHNKQQFDIEMTRVKMELEEALANEKNLRFKLET AEKESQILGITLRQRDAEVARLRELTRTLQSSMAKLLSDLSMDTARCRMGNSLTKSLLNV YDQQLQQDPAPAQTSIMSYLNKLETNHSFIHSKLLNNEAAIEPDRLCESVLPPQRPPHSD AGTADGGSASGTVSAASVEDSDMDCETLTVIEDGCNVDSTVYIPFARNTSKKHSPLCKRL SPQPKLSVAAAQLVSGSAQTSKGESKPRAPAVCSSRNEAEDAPGDLSSTYDTEDVQLLRK IKEAIGKIPAAAEHAKEQATHHGPSAHPSPGVPVKGSIVPDSSFLNSDLMSDWSISSFST FTSHDEQDFRNGLAALDANIARLQKSLKTGLLEK >ENSMUSP00000062996.6 pep:known chromosome:GRCm38:13:3538075:3566261:1 gene:ENSMUSG00000021218.9 transcript:ENSMUST00000059515.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdi2 description:guanosine diphosphate (GDP) dissociation inhibitor 2 [Source:MGI Symbol;Acc:MGI:99845] MNEEYDVIVLGTGLTECILSGIMSVNGKKVLHMDQNPYYGGESASITPLEDLYKRFKLPG QPPASMGRGRDWNVDLIPKFLMANGQLVKMLLFTEVTRYMDFKVIEGSFVYKGGKIYKVP STEAEALASSLMGLFEKRRFRKFLVYVANFDEKDPRTFEGVDPKKTSMRDVYKKFDLGQD VIDFTGHSLALYRTDDYLDQPCCETINRIKLYSESLARYGKSPYLYPLYGLGELPQGFAR LSAIYGGTYMLNKPIEEIIVQNGKVVGVKSEGEIARCKQLICDPSYVKDRVEKVGQVIRV ICILSHPIKNTNDANSCQIIIPQNQVNRKSDIYVCMISFAHNVAAQGKYIAIVSTTVETK EPEKEIRPALELLEPIEQKFVSISDLFVPKDLGTDSQIFISRAYDATTHFETTCDDIKDI YKRMTGSEFDFEEMKRKKNDIYGED >ENSMUSP00000105181.1 pep:known chromosome:GRCm38:15:81744848:81796269:1 gene:ENSMUSG00000022390.10 transcript:ENSMUST00000109554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h7b description:zinc finger CCCH type containing 7B [Source:MGI Symbol;Acc:MGI:1328310] MERQKRKADIEKGLQFIQSTLPLKQEEYEAFLLKLVQNLFAEGNDLFREKDYKQALVQYM EGLNVADYAASDQVALPRELLCKLHVNRAACYFTMGLYEKALEDSEKALGLDGESIRALF RKARALNELGRHKEAYECSSRCSLALPHDENVTQLGQELAQKLGLRVRKAYKRPQELETF SLLSNGAPAGLTDQGTSNGLGSIDDIETDCYVDLRGSPALLPSTPTMPLFPHVLDLLAPL DGSSRTLPGTEGLDDFSDGDVFGPELDTLLDSLSLVQGGLPGSGMPSELPQLIPVFPGGT PLLPPVVGGSIPVSSPLPPASFGLVMDPSRKLAASVLDALDSPGPTLDPLDLLPYSEARL GALDSFGSARGSLDKPDSFLEETSSQDHRPPSSTQKPAPSPEPSMPNTTLLIKNPLAATH EFKQACQLCYPKTGPRAGDYTYREGLEHKCRRDVLLGRLRSSENQIWKRIRPRPTKTSFV GSYYLCKDMINKQDCKYGDNCTFAYHQEEIDVWTEERKGTLNRDLLFDPLGGVKRGSLTI AKLLKEHQGIFTFLCEICFDSKPRIISKGTKDSPSVCSNLAAKHSFYNNKCLVHIVRSTS LKYSKIRQFQEHFQFDVCRHEVRYGCLREDSCHFAHSFIELKVWLLQQYSGMTHEDIVQE SKKYWQQMEAHAGKASSGLGAPRTHGPSTFDLQMKFVCGQCWRNGQVVEPDKDLKYCSAK ARHCWTKERRVLLVMSKAKRKWVSVRPLPSIRNFPQQYDLCIHAQNGRKCQYVGNCSFAH SPEERDMWTFMKENKILDMQQTYDMWLKKHNPGKPGEGTPISSREGEKQIQMPTDYADIM MGYHCWLCGKNSNSKKQWQQHIQSEKHKEKVFTSDSDASGWAYRFPMGEFRLCDRLQKGK ACPDGDKCRCAHGQEELNEWLDRREVLKQKLAKARKDMLLCPRDDDFGKYNFLLQEDGDT TGATPDAPVAATATAATTTTGE >ENSMUSP00000005067.5 pep:known chromosome:GRCm38:10:81044075:81060178:-1 gene:ENSMUSG00000004937.5 transcript:ENSMUST00000005067.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgta description:small glutamine-rich tetratricopeptide repeat (TPR)-containing, alpha [Source:MGI Symbol;Acc:MGI:1098703] MDNRKRLAYAIIQFLHGQLRHGGLSCDAQESLEVAIQCLETAFGVTLEDSDLALPQTLPE IFEAATSSKQEMPQDPRAPDRTPPSEEDSAEAERLKTEGNEQMKLENFEAAVHLYGKAIE LNPANAVYFCNRAAAYSKLGNYVGAVQDCERAIGIDPGYSKAYGRMGLALSSLNKHAEAV AYYKKALELDPDNDTYKSNLKIAELKLREAPSPTGGVGSLDIAGLLNNPHFITMASSLMN SPQLQQLMSGMISGGHNPLGTPGSSPQQSDLASLIQAGQQFAQQMQQQNPEFVEQIRSQV VRSRTPSASHEEQQE >ENSMUSP00000065118.3 pep:known chromosome:GRCm38:18:70453140:70472480:-1 gene:ENSMUSG00000044906.4 transcript:ENSMUST00000067556.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930503L19Rik description:RIKEN cDNA 4930503L19 gene [Source:MGI Symbol;Acc:MGI:1922045] MAKLNTKLRGCSQESSVSSLLASGSLSGSRSDSAHSAHSSFVYKDQLYSSASEALQAYID DFDLSREHPGANAVKVNTDGEIGNGLQFSSYVHIPDNAFVNLDPKQHFNPLYYRRETVND MDAISLTTDDLLRLPADGCFSFPCVPPDHRPNKKYSGRQDSSDIKNSPSFYVDATSKSKN NIVPPYVYTNINGKKCGRPRTPKPINRENKCVSESSLSFPKESSFTEDSAERRLENYPRW LTSQKSDLSVSGVTSIPDVKYPIWLHNQDLLPEANTPRGYKLLNEDEYRPIHSYQTQRAN QLLNKIDCFEYTFKPSNLTDSFSEYEGLGNEFKCQCDNPLLPGQSQKPFCGDKIELLILK AKKNLKQSAKDLPKPVEKDDSPCSLDKLEAERTWENVPVAFKSPVPVKADDSPQQSSRTQ CVNAFLEDFLNGENQSSTLSGGKHHGPVEALKQMLFNLQTVQESFNKNKTTEPEEEIKQV SEDDLAKLQMKENMMPITRSLHKALQHLSRLRDLVDDTSGRQSPKK >ENSMUSP00000069779.2 pep:known chromosome:GRCm38:17:40875482:40880558:-1 gene:ENSMUSG00000054951.2 transcript:ENSMUST00000068258.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130008F23Rik description:RIKEN cDNA 9130008F23 gene [Source:MGI Symbol;Acc:MGI:1918833] MNYLPSGMELGRPQGRSECSPRREGCGPPLDSGSPNPALAEAGSAQSIASGNLRKQKGKN LDCQSWVREKVLFLLHPERWLGTQADSACAGLVDSENLPPTIGDHYDSKRKPKLSRRRIA TAPGAQPEDPENTPRSLLVRVVDYQVTQEVLWTAWTKGSMTTRTEERSVTAVTFRTQRER E >ENSMUSP00000057983.8 pep:known chromosome:GRCm38:10:43579169:43584262:1 gene:ENSMUSG00000047139.8 transcript:ENSMUST00000058714.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd24a description:CD24a antigen [Source:MGI Symbol;Acc:MGI:88323] MGRAMVARLGLGLLLLALLLPTQIYCNQTSVAPFPGNQNISASPNPSNATTRGGGSSLQS TAGLLALSLSLLHLYC >ENSMUSP00000085728.6 pep:known chromosome:GRCm38:14:121459621:121505254:-1 gene:ENSMUSG00000025557.9 transcript:ENSMUST00000088386.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a1 description:solute carrier family 15 (oligopeptide transporter), member 1 [Source:MGI Symbol;Acc:MGI:1861376] MGMSKSRGCFGYPLSIFFIVVNEFCERFSYYGMRALLVLYFRNFLGWDDNLSTAIYHTFV ALCYLTPILGALIADSWLGKFKTIVSLSIVYTIGQAVISVSSINDLTDHDHNGSPDSLPV HVALSMVGLALIALGTGGIKPCVSAFGGDQFEEGQEKQRNRFFSIFYLAINGGSLLSTII TPILRVQQCGIHSQQACYPLAFGVPAALMAVALIVFVLGSGMYKKFQPQGNIMGKVAKCI GFAIKNRFRHRSKAYPKREHWLDWAKEKYDERLISQIKMVTKVMFLYIPLPMFWALFDQQ GSRWTLQATTMNGKIGAIEIQPDQMQTVNAILIVIMVPIVDAVVYPLIAKCGFNFTSLKK MTVGMFLASMAFVVAAIVQVEIDKTLPVFPGGNQVQIKVLNIGNNNMTVHFPGNSVTLAQ MSQTDTFMTFDIDKLTSINISSSGSPGVTTVAHDFEQGHRHTLLVWNPSQYRVVKDGLNQ KPEKGENGIRFVNTLNEMVTIKMSGKVYENVTSHNASGYQFFPSGEKQYTINTTAVAPTC LTDFKSSNLDFGSAYTYVIRRASDGCLEVKEFEDIPPNTVNMALQIPQYFLLTCGEVVFS VTGLEFSYSQAPSNMKSVLQAGWLLTVAVGNIIVLIVAGAGHFPKQWAEYILFASLLLVV CVIFAIMARFYTYINPAEIEAQFDEDEKKKGIGKENPYSSLEPVSQTNM >ENSMUSP00000130941.2 pep:known chromosome:GRCm38:17:40934685:40961989:1 gene:ENSMUSG00000023921.8 transcript:ENSMUST00000169611.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mut description:methylmalonyl-Coenzyme A mutase [Source:MGI Symbol;Acc:MGI:97239] MLRAKNQLFLLSPHYLKQLNIPSASRWKRLLHQQQPLHPEWAVLAKKQLKGKNPEDLIWH TPEGISIKPLYSRADTLDLPEELPGVKPFTRGPYPTMYTYRPWTIRQYAGFSTVEESNKF YKDNIKAGQQGLSVAFDLATHRGYDSDNPRVRGDVGMAGVAIDTVEDTKILFDGIPLEKM SVSMTMNGAVIPVLATFIVTGEEQGVPKEKLTGTIQNDILKEFMVRNTYIFPPEPSMKII ADIFQYTAQHMPKFNSISISGYHMQEAGADAILELAYTIADGLEYCRTGLQAGLTIDEFA PRLSFFWGIGMNFYMEIAKMRAGRRLWAHLIEKMFQPKNSKSLLLRAHCQTSGWSLTEQD PYNNIVRTAIEAMAAVFGGTQSLHTNSFDEALGLPTVKSARIARNTQIIIQEESGIPKVA DPWGGSYMMESLTNDVYEAALKLIYEVEEMGGMAKAVAEGIPKLRIEECAARRQARIDSG SEVIVGVNKYQLEKEDSVEVLAIDNTSVRKKQIEKLKKIKSSRDQALAEQCLSALTQCAA SGDGNILALAVDAARARCTVGEITDALKKVFGEHKANDRMVSGAYRQEFGESKEITSAIK RVNKFMEREGRRPRLLVAKMGQDGHDRGAKVIATGFADLGFDVDIGPLFQTPREVAQQAV DADVHAVGVSTLAAGHKTLVPELIKELTALGRPDILVMCGGVIPPQDYEFLYEVGVSNVF GPGTRIPRAAVQVLDDIEKCLAEKQQSV >ENSMUSP00000129654.1 pep:known chromosome:GRCm38:12:101039409:101083702:-1 gene:ENSMUSG00000041846.14 transcript:ENSMUST00000163095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smek1 description:SMEK homolog 1, suppressor of mek1 (Dictyostelium) [Source:MGI Symbol;Acc:MGI:1915984] MTDTRRRVKVYTLNEDRQWDDRGTGHVSSGYVERLKGMSLLVRAESDGSLLLESKINPNT AYQKQQDTLIVWSEAENYDLALSFQEKAGCDEIWEKICQVQGKDPSVDITQDLVDESEEE RFDDMSSPGLELPSCELSRLEEIAELVASSLPSPLRREKLALALENEGYIKKLLELFHVC EDLENIEGLHHLYEIIKGIFLLNRTALFEVMFSEECIMDVIGCLEYDPTLSQPRKHREFL TKTAKFKEVIPISDPELKQKIHQTYRVQYIQDMVLPTPSVFEENMLSTLHSFIFFNKVEI VGMLQEDEKFLTDLFAQLTDEATDEEKRQELVNFLKEFCAFSQTLQPQNRDAFFKTLSNM GILPALEVILGMDDTQVRSAATDIFSYLVEYNPSMVREFVMQEAQQNDDVSKKLTEQKIT SKDILLINLIIEHMICDTDPELGGAVQLMGLLRTLVDPENMLATANKTEKTEFLGFFYKH CMHVLTAPLLANTTEDKPSKDDFQTAQLLALVLELLTFCVEHHTYHIKNYIINKDILRRV LVLMASKHAFLALCALRFKRKIIGLKDEFYNRYIMKSFLFEPVVKAFLNNGSRYNLMNSA IIEMFEFIRVEDIKSLTAHVIENYWKALEDVDYVQTFKGLKLRFEQQRERQDNPKLDSMR SILRNHRYRRDARTLEDEEEMWFNTDEDDMEDGEAVVSPSDKTKNDDDIMDPISKFMERK KLKESEEKEVLLKTNLSGRQSPSFKLSLSSGTKTNLTSQSSATSLPGSPGSPGSPGSPGS PGSVPKSTSQTAAITTKGGLVGLVDYPDDDEDDDEDEDKEDTLPVSKKAKFES >ENSMUSP00000041667.8 pep:known chromosome:GRCm38:12:101039409:101083702:-1 gene:ENSMUSG00000041846.14 transcript:ENSMUST00000048305.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smek1 description:SMEK homolog 1, suppressor of mek1 (Dictyostelium) [Source:MGI Symbol;Acc:MGI:1915984] MTDTRRRVKVYTLNEDRQWDDRGTGHVSSGYVERLKGMSLLVRAESDGSLLLESKINPNT AYQKQQDTLIVWSEAENYDLALSFQEKAGCDEIWEKICQVQGKDPSVDITQDLVDESEEE RFDDMSSPGLELPSCELSRLEEIAELVASSLPSPLRREKLALALENEGYIKKLLELFHVC EDLENIEGLHHLYEIIKGIFLLNRTALFEVMFSEECIMDVIGCLEYDPTLSQPRKHREFL TKTAKFKEVIPISDPELKQKIHQTYRVQYIQDMVLPTPSVFEENMLSTLHSFIFFNKVEI VGMLQEDEKFLTDLFAQLTDEATDEEKRQELVNFLKEFCAFSQTLQPQNRDAFFKTLSNM GILPALEVILGMDDTQVRSAATDIFSYLVEYNPSMVREFVMQEAQQNDDDILLINLIIEH MICDTDPELGGAVQLMGLLRTLVDPENMLATANKTEKTEFLGFFYKHCMHVLTAPLLANT TEDKPSKDDFQTAQLLALVLELLTFCVEHHTYHIKNYIINKDILRRVLVLMASKHAFLAL CALRFKRKIIGLKDEFYNRYIMKSFLFEPVVKAFLNNGSRYNLMNSAIIEMFEFIRVEDI KSLTAHVIENYWKALEDVDYVQTFKGLKLRFEQQRERQDNPKLDSMRSILRNHRYRRDAR TLEDEEEMWFNTDEDDMEDGEAVVSPSDKTKNDDDIMDPISKFMERKKLKESEEKEVLLK TNLSGRQSPSFKLSLSSGTKTNLTSQSSATSLPGSPGSPGSPGSPGSPGSVPKSTSQTAA ITTKGGLVGLVDYPDDDEDDDEDEDKEDTLPVSKKAKFES >ENSMUSP00000023732.5 pep:known chromosome:GRCm38:15:98771753:98778150:-1 gene:ENSMUSG00000022996.14 transcript:ENSMUST00000023732.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt10b description:wingless-type MMTV integration site family, member 10B [Source:MGI Symbol;Acc:MGI:108061] MLEEPRSRPPPLGLAGLLFLALFSRALSNEILGLKLPGEPPLTANTVCLTLSGLSKRQLG LCLRSPDVTASALQGLHIAVHECQHQLRDQRWNCSALEGGGRLPHHSAILKRGFRESAFS FSMLAAGVMHAVATACSLGKLVSCGCGWKGSGEQDRLRAKLLQLQALSRGKTFPISQPSP VPGSVPSPGPQDTWEWGGCNHDMDFGEKFSRDFLDSREAPRDIQARMRIHNNRVGRQVVT ENLKRKCKCHGTSGSCQFKTCWRAAPEFRAIGAALRERLSRAIFIDTHNRNSGAFQPRLR PRRLSGELVYFEKSPDFCERDPTLGSPGTRGRACNKTSRLLDGCGSLCCGRGHNVLRQTR VERCHCRFHWCCYVLCDECKVTEWVNVCK >ENSMUSP00000131056.1 pep:known chromosome:GRCm38:15:98772200:98777261:-1 gene:ENSMUSG00000022996.14 transcript:ENSMUST00000166022.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt10b description:wingless-type MMTV integration site family, member 10B [Source:MGI Symbol;Acc:MGI:108061] MLEEPRSRPPPLGLAGLLFLALFSRALSNEILGLKLPGEPPLTANTVCLTLSGLSKRQLG LCLRSPDVTASALQGLHIAVHECQHQLRDQRWNCSALEGGGRLPHHSAILKRGFRESAFS FSMLAAGVMHAVATACSLGKLVSCGCGWKGSGEQDRLRAKLLQLQALSRGKTFPISQPSP VPGSVPSPGPQDTWEWGGCNHDMDFGEKFSRDFLDSREAPRDIQARMRIHNNRVGRQVVT ENLKRKCKCHGTSGSCQFKTCWRAAPEFRAIGAALRERLSRAIFIDTHNRNSGAFQPRLR PRRLSGELVYFEKSPDFCERDPTLGSPGTRGRACNKTSRLLDGCGSLCCGRGHNVLRQTR VERCHCRFHWCCYVLCDECKVTEWVNVCK >ENSMUSP00000021639.6 pep:known chromosome:GRCm38:13:3924695:3935276:1 gene:ENSMUSG00000021216.6 transcript:ENSMUST00000021639.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubal3 description:tubulin, alpha-like 3 [Source:MGI Symbol;Acc:MGI:3588215] MRECLSIHIGQAGVQIGDACWELYCLEHGIQPDGFILDHQHDNLENPKVEHMNASLDTFF HETRAGKHVPRTLFMDLEPTVIDGIRVGRYHSLFHPEQLVNGKEDAANTYARGRYSVGSE VIELVLERIRKLAEQCSGLQGFLIYRSFGGGTGSGFTSLLMERLSVEYCKKIKLEFSVYP SPRISTAVVEPYNAILTTHSTIEYSDCAFMVDNEALYDICQHKLGIERPSYASINRLIAQ VSSSITASLRFEGPLNVDLIEFQTNLVPYPRIHFPITALAPIISAEKAYQEQLSVSDVTA SCFEVSNQLVKCDPRLGKYMACCLLYRGDVVPKDVNEAIAAMKSRTSVQFVDWCPTGFKV GINYQPPAVVPGGDLARVQRAVCMLSNTTAIVEAWARLDHKFDLMYAKKAFLHWYITEGM ELGEFVEAREDLAALEKDYEEVGLSF >ENSMUSP00000036382.7 pep:known chromosome:GRCm38:10:77486656:77515813:-1 gene:ENSMUSG00000032977.8 transcript:ENSMUST00000045454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam207a description:family with sequence similarity 207, member A [Source:MGI Symbol;Acc:MGI:1916334] MGKVRALRARVHRAAVRPDGDSAPGPVPRAVEPALPQSPAGGAGAKDWTFVHNDIFARTQ IDPSALVQRLELDQRSVVSLKRGAEPKAILPKKEKLKLRRERWLQKIEAIKLAEQKLREE RKRKAMVVVGDLHPLRDALPELQELEAGRQRQQARRRVTSKPRPVELSRMTTVQRQQLLE EERTRFQKLLASPTYRASPLLAIGQQLAHQMQLEGGKQL >ENSMUSP00000051033.8 pep:known chromosome:GRCm38:15:99029891:99038105:1 gene:ENSMUSG00000043091.8 transcript:ENSMUST00000058914.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tuba1c description:tubulin, alpha 1C [Source:MGI Symbol;Acc:MGI:1095409] MRECISIHVGQAGVQIGNACWELYCLEHGIQPDGQMPSDKTIGGGDDSFNTFFSETGAGK HVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLITGKEDAANNYARGHYTIGKEIIDLVLD RIRKLADQCTGLQGFLVFHSFGGGTGSGFTSLLMERLSVDYGKKSKLEFSIYPAPQVSTA VVEPYNSILTTHTTLEHSDCAFMVDNEAIYDICRRNLDIERPTYTNLNRLISQIVSSITA SLRFDGALNVDLTEFQTNLVPYPRIHFPLATYAPVISAEKAYHEQLTVAEITNACFEPAN QMVKCDPRHGKYMACCLLYRGDVVPKDVNAAIATIKTKRTIQFVDWCPTGFKVGINYQPP TVVPGGDLAKVQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSE AREDMAALEKDYEEVGADSAEGDDEGEEY >ENSMUSP00000090024.1 pep:known chromosome:GRCm38:10:77720586:77721256:1 gene:ENSMUSG00000069583.1 transcript:ENSMUST00000092370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap12-1 description:keratin associated protein 12-1 [Source:MGI Symbol;Acc:MGI:1328315] MCHTSCSSGCQPSCCVSSSCQPSCCVSSPCQASCFVSSPCQPSCCVSSSCQSACCRPAIC IPVRYQVACCVPVSCGPTVCMAPSCQSSVCVPVSCRPVCVTSSCQSSGCCQPSCPTLVCK PVTCSNPSCC >ENSMUSP00000126870.1 pep:known chromosome:GRCm38:15:100621342:100636865:-1 gene:ENSMUSG00000053559.12 transcript:ENSMUST00000172334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smagp description:small cell adhesion glycoprotein [Source:MGI Symbol;Acc:MGI:2448476] MNNLPATPSPEELMTTPVFQAPETLSPQAEEASTALIAVVITVVFLTLLSVVTLIFFHLY KNKGSYVTYEPAEGEPSAILQMETDSAKGREKEEYFI >ENSMUSP00000066137.5 pep:known chromosome:GRCm38:15:100621342:100636855:-1 gene:ENSMUSG00000053559.12 transcript:ENSMUST00000066068.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smagp description:small cell adhesion glycoprotein [Source:MGI Symbol;Acc:MGI:2448476] MNNLPATPSPEELMTTPVFQAPETLSPQAEEASTALIAVVITVVFLTLLSVVTLIFFHLY KNKGSYVTYEPAEGEPSAILQMETDSAKGREKEEYFI >ENSMUSP00000002518.8 pep:known chromosome:GRCm38:10:81559494:81566362:1 gene:ENSMUSG00000054452.9 transcript:ENSMUST00000002518.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aes description:amino-terminal enhancer of split [Source:MGI Symbol;Acc:MGI:95806] MMFPQSRHSGSSHLPQQLKFTTSDSCDRIKDEFQLLQAQYHSLKLECDKLASEKSEMQRH YVMYYEMSYGLNIEMHKQAEIVKRLNGICAQVLPYLSQEHQQQVLGAIERAKQVTAPELN SIIRQQLQAHQLSQLQALALPLTPLPVGLQPPSLPAVSAGTGLLSLSALGSQTHLSKEDK NGHDGDTHQEDDGEKSD >ENSMUSP00000133250.1 pep:known chromosome:GRCm38:19:8871559:8875655:1 gene:ENSMUSG00000071655.11 transcript:ENSMUST00000166407.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn1 description:UBX domain protein 1 [Source:MGI Symbol;Acc:MGI:1289301] MAELTALESLIEMGFPRGRAEKALALTGNQGIEAAMDWLMEHEDDPDVDEPLETPLSHVL GREPTPSEQVGPEGSGSAAGESRPILTEEERQEQTKRMLELVAQKQREREEREEREALER EKQRRRQGQELSVARQKLQEDEMRRAAEERRREKAEELAARQRVREKIERDKAERAKKYG GSVGSRSSPPATDPGPVPSSPSQEPPTKREYDQCRIQVRLPDGTSLTQTFRAREQLAAVR LYVELHRGEEPGQDQDPVQLLSGFPRRAFSEADMERPLQELGLVPSAVLIVAKKCPS >ENSMUSP00000093974.5 pep:known chromosome:GRCm38:19:8871643:8875663:1 gene:ENSMUSG00000071655.11 transcript:ENSMUST00000096255.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn1 description:UBX domain protein 1 [Source:MGI Symbol;Acc:MGI:1289301] MAELTALESLIEMGFPRGRAEKALALTGNQGIEAAMDWLMEHEDDPDVDEPLETPLSHVL GREPTPSEQVGPEGSGSAAGESRPILTEEERQEQTKRMLELVAQKQREREEREEREALER EKQRRRQGQELSVARQKLQEDEMRRAAEERRREKAEELAARQRVREKIERDKAERAKKYG GSVGSRSSPPATDPGPVPSSPSQEPPTKREYDQCRIQVRLPDGTSLTQTFRAREQLAAVR LYVELHRGEEPGQDQDPVQLLSGFPRRAFSEADMERPLQELGLVPSAVLIVAKKCPS >ENSMUSP00000006444.7 pep:known chromosome:GRCm38:14:50824062:50870560:-1 gene:ENSMUSG00000006281.7 transcript:ENSMUST00000006444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tep1 description:telomerase associated protein 1 [Source:MGI Symbol;Acc:MGI:109573] MEKLCGHVPGHSDILSLKNRCLTMLPDLQPLEKIHGHRSVHSDILSLENQCLTMLSDLQP TERIDGHISVHPDILSLENRCLTMLPDLQPLEKLCGHMSSHPDVLSLENQCLATLPTVKS TALTSPLLQGLHISHTAQADLHSLKTSNCLLPELPTKKTPCFSEELDLPPGPRALKSMSA TAQVQEVALGQWCVSKEKEFQEEESTEVPMPLYSLSLEEEEVEAPVLKLTSGDSGFHPET TDQVLQEKKMALLTLLCSALASNVNVKDASDLTRASILEVCSALASLEPEFILKASLYAR QQLNLRDIANTVLAVAALLPACRPHVRRYYSAIVHLPSDWIQVAEFYQSLAEGDEKKLVS LPACLRAAMTDKFAEFDEYQLAKYNPRKHRSKRRSRQPPRPQKTERPFSERGKCFPKSLW PLKNEQITFEAAYNAMPEKNRLPRFTLKKLVEYLHIHKPAQHVQALLGYRYPATLELFSR SHLPGPWESSRAGQRMKLRRPETWERELSLRGNKASVWEELIDNGKLPFMAMLRNLCNLL RTGISARHHELVLQRLQHEKSVVHSRQFPFRFLNAHDSIDKLEAQLRSKASPFPSNTTLM KRIMIRNSKKNRRPASRKHLCTLTRRQLRAAMTIPVMYEQLKREKLRLHKARQWNCDVEL LERYRQALETAVNLSVKHNLSPMPGRTLLVYLTDANADRLCPKSHSQGPPLNYVLLLIGM MVARAEQVTVCLCGGGFVKTPVLTADEGILKTAIKLQAQVQELEGNDEWPLDTFGKYLLS LAVQRTPIDRVILFGQRMDTELLKVAKQIIWQHVNSKCLFVGVLLQKTQYISPNLNPNDV TLSGCTDGILKFIAEHGASRLLEHVGQLDKLFKIPPPPGKTQAPSLRPLEENIPGPLGPI SQHGWRNIRLFISSTFRDMHGERDLLMRSVLPALQARVFPHRISLHAIDLRWGITEEETR RNRQLEVCLGEVENSQLFVGILGSRYGYIPPSYDLPDHPHFHWTHEYPSGRSVTEMEVMQ FLNRGQRSQPSAQALIYFRDPDFLSSVPDAWKPDFISESEEAAHRVSELKRYLHEQKEVT CRSYSCEWGGVAAGRPYTGGLEEFGQLVLQDVWSMIQKQHLQPGAQLEQPTSISEDDLIQ TSFQQLKTPTSPARPRLLQDTVQQLLLPHGRLSLVTGQAGQGKTAFLASLVSALKVPDQP NEPPFVFFHFAAARPDQCLALNLLRRLCTHLRQKLGELSALPSTYRGLVWELQQKLLLKF AQSLQPAQTLVLIIDGADKLVDRNGQLISDWIPKSLPRRVHLVLSVSSDSGLGETLQQSQ GAYVVALGSLVPSSRAQLVREELALYGKRLEESPFNNQMRLLLAKQGSSLPLYLHLVTDY LRLFTLYEQVSERLRTLPATLPLLLQHILSTLEQEHGHDVLPQALTALEVTRSGLTVDQL HAILSTWLILPKETKSWEEVLAASHSGNPFPLCPFAYLVQSLRSLLGEGPVERPGARLCL SDGPLRTTIKRRYGKRLGLEKTAHVLIAAHLWKTCDPDASGTFRSCPPEALKDLPYHLLQ SGNHGLLAEFLTNLHVVAAYLEVGLVPDLLEAHVLYASSKPEANQKLPAADVAVFHTFLR QQASLLTQYPLLLLQQAASQPEESPVCCQAPLLTQRWHDQFTLKWINKPQTLKGQQSLSL TMSSSPTAVAFSPNGQRAAVGTASGTIYLLNLKTWQEEKAVVSGCDGISSFAFLSDTALF LTTFDGHLELWDLQHGCWVFQTKAHQYQITGCCLSPDRRLLATVCLGGYLKLWDTVRGQL AFQYTHPKSLNCVAFHPEGQVVATGSWAGSITFFQADGLKVTKELGAPGPSVCSLAFNKP GKIVAVGRIDGTVELWAWQEGARLAAFPAQCGCVSAVLFLHAGDRFLTAGEDGKAQLWSG FLGRPRGCLGSLPLSPALSVALNPDGDQVAVGYREDGINIYKISSGSQGPQHQELNVAVS ALVWLSPSVLVSGAEDGSLHGWMFKGDSLHSLWLLSRYQKPVLGLAASRELMAAASEDFT VRLWPRQLLTQPHVHAVELPCCAELRGHEGPVCCCSFSPDGGILATAGRDRNLLCWDMKI AQAPLLIHTFSSCHRDWITGCAWTKDNILVSCSSDGSVGLWNPEAGQQLGQFSGHQSAVS AVVAVEEHIVSVSRDGTLKVWDHQGVELTSIPAHSGPISQCAAALEPRPGGQPGSELLVV TVGLDGATKLWHPLLVCQIRTLQGHSGPVTAAAASEASGLLLTSDDSSVQLWQIPKEADD SYKPRSSVAITAVAWAPDGSMVVSGNEAGELTLWQQAKAVATAQAPGRVSHLIWYSANSF FVLSANENVSEWQVGLRKGSTSTSSSLHLKRVLQEDWGVLTGLGLAPDGQSLILMKEDVE LLEMKPGSIPSSICRRYGVHSSILCTSKEYGLFYLQQGDSGLLSILEQKESGEFEEILDF NLNLNNPNGSPVSITQAKPESESSLLCATSDGMLWNLSECTSEGEWIVDNIWQKKAKKPK TQTLETELSPHSELDFSIDCWIDPTNLKAQQCKKIHLGSVTALHVLPGLLVTASKDRDVK LWERPSMQLLGLFRCEGPVSCLEPWMEPSSPLQLAVGDTQGNLYFLSWE >ENSMUSP00000023619.6 pep:known chromosome:GRCm38:16:36403946:36408363:-1 gene:ENSMUSG00000022902.7 transcript:ENSMUST00000023619.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stfa2 description:stefin A2 [Source:MGI Symbol;Acc:MGI:106197] MTEYTIEIIGGLSEARPATSEIQEIADKVRPLLEEKTNEKYEKFKAIEYKVQVVQGLNYF IKMNVGRGCYLHINVLSGISSENDLELTGYQTNKAKNDELTYF >ENSMUSP00000084234.5 pep:known chromosome:GRCm38:17:46432185:46438477:-1 gene:ENSMUSG00000067144.6 transcript:ENSMUST00000087012.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a7 description:solute carrier family 22 (organic anion transporter), member 7 [Source:MGI Symbol;Acc:MGI:1859559] MGFEELLHKVGGFGPFQLRNLVLLALPRFLLPMHFLLPIFMAAVPAHHCALPDAPANLSH QDLWLKTHLPRETDGSFSSCLRFAYPQALPNVTLGTEVYNSGEPEGEPLTVPCSQGWEYD RSEFSSTIATEWDLVCQQRGLNKVTSTCFFIGVLLGAVVYGYLSDRFGRRRLLLVAYVST LALGLMSAASVNYIMFVTTRMLTGSALAGFTIIVLPLELEWLDVEHRTVAGVISTTFWTG GVLLLTLVGYLIRSWRWLLLAATLPCVPGIISIWWVPESARWLLTQGRVEEAKKYLSICA KLNGRPISEDSLSQEALNKVITMERVSQRPSYLDLFRTSQLRHVSLCCMMMWFGVNFSYY GLTLDASGLGLTVYQTQLLFGAVEVPSKITVFFLVRLVGRRLTEAGMLLATALTFGISLL VSSDTKSWITALVVIGKAFSEAAFTTAYLFTSELYPTVLRQTGMGFTALIGRLGASLAPL VVLLDGVWLLLPKLAYGGISFLAACTVLLLPETKKAQLPETIQDVERKGRKIDRSGTELA >ENSMUSP00000068972.6 pep:known chromosome:GRCm38:19:58512002:58553085:1 gene:ENSMUSG00000025090.8 transcript:ENSMUST00000069419.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc172 description:coiled-coil domain containing 172 [Source:MGI Symbol;Acc:MGI:1922895] MSLESLFQHIIFSEHQAEESRRVMREVRSEITRCRGKIKKATEDLSEEKIKLESKVQQLS EKSFLLELLKTHENALERQLSEIISERDTLLQACEAIKNKTTEEEERFIKEITDFNDNYE ITKKRDTLMKENIEMEMADLDSQADVLRREMKSVERNRGQLWELQKLKNELLQELFTLQK KLKVLKDEETEAICITKQLEAEKTKVRDKPQHDPECVRLKRELDLYKAEDMESVYRALQA EVDLLELALAPKDPQDSNSLSHEPPHT >ENSMUSP00000020877.7 pep:known chromosome:GRCm38:12:33429624:33439380:1 gene:ENSMUSG00000020561.7 transcript:ENSMUST00000020877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Twistnb description:twist basic helix-loop-helix transcription factor 1 neighbor [Source:MGI Symbol;Acc:MGI:106292] MAAGSVESQRSQAASERPVAGQAGVLPCLELPSYAAACALVGSRYSCLVAAPHRRHIALS PRYLSRKRTGIREQLDAELLRYSESLLGVPIAYDNIRVVGELGDIYDDQGHIHLNIEADF VIFCPEPGQTLMGTVNKVSSSHIGCLVHGCFNASIPKPEQMSYEEWQTLEIHVGDELEFD VFRLDSDSAGVFCIRGKLSTTSLQLKHSAVSEDVAETVVEEVVEKTPKKKKKKKDKDTDT CGTVDSVTEVADVTDVTPQEETDIPCSDNVNDFFEEEPKKKKKKKKRHQEDQDPIFQASD SSGYQSDHNKKKKKRKHSEEANFESPKKRQ >ENSMUSP00000082017.5 pep:known chromosome:GRCm38:12:111574510:111656227:1 gene:ENSMUSG00000007411.15 transcript:ENSMUST00000084953.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark3 description:MAP/microtubule affinity regulating kinase 3 [Source:MGI Symbol;Acc:MGI:1341865] MSTRTPLPTVNERDTENHISHGDGRQEVTSRTGRSGARCRNSIASCADEQPHIGNYRLLK TIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPTSLQKLFREVRIMKILNHPNIVKLFE VIETEKTLYLIMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKRIVHRDLK AENLLLDADMNIKIADFGFSNEFTVGSKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLG VILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKRFLVLNPVKRGTLEQ IMKDRWINAGHEEDELKPFVEPELDISDQKRIDIMVGMGYSQEEIQESLSKMKYDEITAT YLLLGRKSAELDASDSSSSSNLSLAKVRPNSDLSNSTGQSPHHKGQRSVSSSQKQRRYSD HAGPAIPSVVAYPKRSQTSTADSDLKEDGIPSRKSSSSAVGGKGIAPASPMLGNAGNPNK ADIPERKKSPAVPSSNTASGGMTRRNTYVCSERCAADRHSVIQNGKENSAIPDERTPVAS THSISSATTPDRIRFPRGTASRSTFHGQPRERRTATYNGPPASPSLSHEATPLSQTRSRG STNLFSKLTSKLTRRLPTEYERNGRYEGSSRNVSSEQKDENREAKPRSLRFTWSMKTTSS MDPSDMMREIRKVLDANNCDYEQRERFLLFCVHGDGHAENLVQWEMEVCKLPRLSLNGVR FKRISGTSIAFKNIASKIANELKL >ENSMUSP00000074757.6 pep:known chromosome:GRCm38:12:111574510:111656227:1 gene:ENSMUSG00000007411.15 transcript:ENSMUST00000075281.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark3 description:MAP/microtubule affinity regulating kinase 3 [Source:MGI Symbol;Acc:MGI:1341865] MSTRTPLPTVNERDTENHISHGDGRQEVTSRTGRSGARCRNSIASCADEQPHIGNYRLLK TIGKGNFAKVKLARHILTGREVAIKIIDKTQLNPTSLQKLFREVRIMKILNHPNIVKLFE VIETEKTLYLIMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKRIVHRDLK AENLLLDADMNIKIADFGFSNEFTVGSKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLG VILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKRFLVLNPVKRGTLEQ IMKDRWINAGHEEDELKPFVEPELDISDQKRIDIMVGMGYSQEEIQESLSKMKYDEITAT YLLLGRKSAELDASDSSSSSNLSLAKVRPNSDLSNSTGQSPHHKGQRSVSSSQKQRRYSD HAGPAIPSVVAYPKRSQTSTADSDLKEDGIPSRKSSSSAVGGKGIAPASPMLGNAGNPNK ADIPERKKSPAVPSSNTASGGMTRRNTYVCSERCAADRHSVIQNGKENSAIPDERTPVAS THSISSATTPDRIRFPRGTASRSTFHGQPRERRTATYNGPPASPSLSHEATPLSQTRSRG STNLFSKLTSKLTRSRNVSSEQKDENREAKPRSLRFTWSMKTTSSMDPSDMMREIRKVLD ANNCDYEQRERFLLFCVHGDGHAENLVQWEMEVCKLPRLSLNGVRFKRISGTSIAFKNIA SKIANELKL >ENSMUSP00000074193.2 pep:known chromosome:GRCm38:9:37992492:37993439:1 gene:ENSMUSG00000060583.2 transcript:ENSMUST00000074611.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr881 description:olfactory receptor 881 [Source:MGI Symbol;Acc:MGI:3030715] MNWGRMALGNDSSVKEFILLGLTQQPELQLPLFFFFLGVYIFSVVGNLGLIVLIVLNPHL QTPMYYFLFNLSFTDLCYSSVITPKMLVSFVKQNIISHAECMTQLFFFCFFVIDECYILT AMAYDRYAAICKPLLYQVTMSHRVCLLMTVGVYVMGFVEAMAHTASMVHLIFCDSNIINH YMCEINALLKLSCTSTSINELVVYIVVGFNVIVPTLTIFITYTLILFNILSIHSAEGRSK AFSTCGSHMIAVSLFFGAAAFMYLKPSSASEDEDKVSTIFYTIMGPMLNPFIYSIRNKDV HIALKKTLKRSIFI >ENSMUSP00000126111.1 pep:known chromosome:GRCm38:17:31295483:31350696:1 gene:ENSMUSG00000024036.15 transcript:ENSMUST00000171233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a1 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 1 [Source:MGI Symbol;Acc:MGI:2446181] MALLPVGIRFIISFSRDQWYRAFIFMLTFLLYASFHLSRKPISIVKGELHKQCTAGDGPE SPFSDPSSSTRHGPTHWLLNNETDCGWAPFDKNNYQQLLGALDYAFLCAYAIGMYLSGII GERLPIRYYLTFGMLASGAFTALFGLGYFYNIHSLGFYVVTQIINGLVQTTGWPSVVTCL SNWFGKGRRGLIMGIWNSHTSVGNILGSLIAGYWVSTCWGLSFIVPGAIVAAMGIVCFLF LIEHPKDVKCSSTLPTHPRASENGINRFRLQKQTTYSEKNGPLDPELQCLLLSDGKNPLH PSHIVVLPADGNMAAISFTGALRIPGVIEFSLCLLFAKLVSYTFLFWLPLYITSVDHLDA KKAGELSTLFDVGGIFGGILAGVISDRLEKRASTCGLMLLLAAPTLYVFSSVSRMGLEAT IAMLLLSGALVSGPYALITTAVSADLGTHKSLKGNSHALSTVTAIIDGTGSVGAALGPLL AGLISPSGWSNVFYMLMFADACALLFLVRLIHKELSCPGPAAGIQAPLKEH >ENSMUSP00000128223.1 pep:known chromosome:GRCm38:17:31296219:31350696:1 gene:ENSMUSG00000024036.15 transcript:ENSMUST00000165149.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a1 description:solute carrier family 37 (glycerol-3-phosphate transporter), member 1 [Source:MGI Symbol;Acc:MGI:2446181] MALLPVGIRFIISFSRDQWYRAFIFMLTFLLYASFHLSRKPISIVKGELHKQCTAGDGPE SPFSDPSSSTRHGPTHWLLNNETDCGWAPFDKNNYQQLLGALDYAFLCAYAIGMYLSGII GERLPIRYYLTFGMLASGAFTALFGLGYFYNIHSLGFYVVTQIINGLVQTTGWPSVVTCL SNWFGKGRRGLIMGIWNSHTSVGNILGSLIAGYWVSTCWGLSFIVPGAIVAAMGIVCFLF LIEHPKDVKCSSTLPTHPRASENGINRFRLQKQTTYSEKNGPLDPELQCLLLSDGKNPLH PSHIVVLPADGNMAAISFTGALRIPGVIEFSLCLLFAKLVSYTFLFWLPLYITSVDHLDA KKAGELSTLFDVGGIFGGILAGVISDRLEKRASTCGLMLLLAAPTLYVFSSVSRMGLEAT IAMLLLSGALVSGPYALITTAVSADLGTHKSLKGNSHALSTVTAIIDGTGSVGAALGPLL AGLISPSGWSNVFYMLMFADACALLFLVRLIHKELSCPGPAAGIQAPLKEH >ENSMUSP00000064494.5 pep:known chromosome:GRCm38:9:65412753:65422773:-1 gene:ENSMUSG00000053862.5 transcript:ENSMUST00000065894.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc51b description:solute carrier family 51, beta subunit [Source:MGI Symbol;Acc:MGI:3582052] MDHSAEKAAANAEVPQELLEEMLWYFRAEDAAPWNYSILVLAVLVVMTSMFLLRRSILAN RNRKKQPQDKETPEDLHLDDSIMKENNSQVFLRETLISEKPDLAPGEPELKEKDSSLVFL PDPQETES >ENSMUSP00000021381.4 pep:known chromosome:GRCm38:12:59066919:59074017:1 gene:ENSMUSG00000020994.4 transcript:ENSMUST00000021381.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnn description:pinin [Source:MGI Symbol;Acc:MGI:1100514] MAVAVRALQEQLEKAKESLKNVDENIRKLTGRDPNDVRPIQARLLALSGPGGGRGRGSLL LRRGFSDSGGGPPAKQRDLEGAVSRLGGERRTRRESRQESDPEDDDVKKPALQSSVVATS KERTRRDLIQDQNMDEKGKQRNRRIFGLLMGTLQKFKQESTVATERQKRRQEIEQKLEVQ AEEERKQVENERRELFEERRAKQTELRLLEQKVELAQLQEEWNEHNAKIIKYIRTKTKPH LFYIPGRMCPATQKLIEESQRKMNALFEGRRIEFAEQINKMEARPRRQSMKEKEHQVVRN EEQKAEQEEGKVAQREEELEETGNQHNDVEVEEAGEEEEKEAGIVHSDAEKEQEEEEQKQ EMEVKTEEEAEVREGEKQQDSQPEEVMDVLEMVESVKHVIAEQEVMETNQVESIEPSENE TSKELEPEMEFDVEPDKECKSLSPGKENINSQEVEKESEEKEEKEEKEPEPQPEPVAQPQ PPPQPLPQSQPHSQPHSQPQPVLQSQPLCQPETLPLAVLQPPPQVIQEQGNLLPERKDFP LESIKLPEVSVEPVLTVHSENKSKNKTRSRSRGRARNKTSKSRSRSSSSSSSSSSSTSSS SGSSSSSGSSSSRSSSSSSSSTSGSSSRDSSSSTSSSSESRSRSRGRGHNRDRKHRRSVD RKRRDTSGLERSHKSSKGGSSRDTKGSKDKSSRPDRKRSISESSRSGKRSSRSERDRKSD RKDKRR >ENSMUSP00000004470.7 pep:known chromosome:GRCm38:10:88746607:88826814:-1 gene:ENSMUSG00000004356.7 transcript:ENSMUST00000004470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp20 description:UTP20, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:1917933] MKPKPLSHKTENTYRFLTFAERLGNVNIDIIHRIDRTASYDEDVETYFFEALLKWRELNL TEHFGKFYKEVIDKCQSFNQLVYHQNEIVQSLKTHLQIRNSLAYQPLLDLVVQLARDLQT DFYPHFEDFFLTITSILETQDTELLEWAFTSLSYLYKYLWRLMVKDMSKIYSLYSTLLAH KKLHIRNFAAESFTFLMRKVSDKNALFNLMFLDLNEHPEKVEGVGQLLFEMCKGVRNMFH SCTGQALKLLLQKLGPVTETETQLPWILVGETLKTMAKSSVVYIYKEHFGVFFDCLQESL LELHNKVTEANCCENSEQMRRLLETYLIVVKHGSGSKITRPADVCGVLSEALQTASLSTS CRKTLLDVVSALLLAENVSLPETLIKETVEKVFESKFERRSVLDFSEVMFAMKQFEQLFL PSFLLYIENCFLMDNSVVSDEALAILAKLILHKAPPPTAGSMAIEKYPLVFSQQTVGSYL KQRKADSKRRKEQFPVLSHLLSIVQLPPNKDATYLSRSWAALVVLPHLRPLEKEKTISLV SCFIESLFLAVDRGSFGKGHLFVLCQAVNTLLSLEESSELLHLVPVGRVKHLVLTFPTEP SVLLLADLYYQRLALCGCKGPLSEEALMELFPKLQANISTGVSKIRLLTIRILNHFDIRL PVSMEDDGLSERQSAFAILRQAELVPATVSDYREKLLHLRKLRHDVVQGAVPQGRLQEVP LRYLLGMLYVNFSALWDPVIELISSHAYGMENKQFWNVCYEHLEKAASHAEKELHKDVRD EESTGDESWEQTQEGDVGDLYQQQLALKTDCRERLDHTNFRFLLWRALAKFPERVEPRSR ELSPLFLRFINNEYYPADLQVAPTQDLRKKGRGAVAEEEEEEEPAAGEDEELEEEAVPTE DAPQKKKTRRAAAKQLIAHLQVFSKFSNPRALYLESKLYELYLQLLLHQDQAVQKITLDC IMTYRHPHILPYRENLQRLLDDRSFKEEIVHFNISEDNTVVKAAHRADLFPILMRILYGR MKNKTGSKTQGKSASGTRMAIVLRFLAGTQPEEIQLFLDLLSEPVKHFKDGDCCSAVIQA VEDLDVSKVLPVGRQHGVLNSLEVVLKNISHLISTYLPKILQILLCMTATVSHILDQREK IQLRFINPLKNLRRLGIKMVTDIFLDWESYQFKAEEIDAVFHGTVWPQICRLGSESQYSP TPLLKLISIWSRNARYFPLLAKQKPGHPEYDILTNVFAVLSAKNLSEATASIIMDIVDDL LNLPDFQPTEAVPSLPVTGCVYADVAEDTEPVTVGGRLVLPHVPAILQYLSKTTISAEKV KKKKNRAQVSKELGILSKISKFMKDREQCSLLITLLLPFLLRGNVAQDTELDILVTVQNL LQHCLHPAHFLRPLAKLFSVIKNKLSRQLLCTVFQSLSDFESRLKYITDIVKLNAFDKRH LDDINFDVRFSAFQTITSNIKAMQTVDADYLIAVMHNCFYNMEIGDMSLSDNASICLTSI IKRLAALNVTEKEYKEIIHRTLLEKLRKGLKSQTESVQHDYTLILSCLIQTFPNQLEFKD LVQLTHCHDPEMDFFENMKHIQIHRRARALKKLAKQLLEGQVVLSSKSLQNYIMPYAMAP ILDEKMLKHENITIAATEVIGAICRHLSWPAYVYYLKHFIHVLQSGQINQKLAVSLLVIV LEAFHFDYKTLEEQMGNVKNEENTVEMAELLEPEAMEVEDMDEAGKEQASERLSDSKEAL GAPEAAASEGTVAKEQECISKSVSFLPRNKEELERTIQTIQGAITGDILPRLHKCLASAT KREEEHKLVKSKVVNDEEVVRVPLAFAMVKLMRSLPREVMEANLPSILLKVCVLLKNRAQ EIRDIARSTLSKIIEDLGVHFLQYVLKELQTTLVRGYQVHVLTFTVYTLLQGLSSKLQVG DLDSCLHIMTEIFNHELFGALAEEKEVKQILSKVMEARRSKSYDSYEILGKFVGKQQVTK LILPLKEILQNTTSLKLARKVHETLRRIIAGLIVNPDMTADALLLLSYGLVSENLPLLTE KEKKPAAPVPDARLPPQSCLLLPATPVRGGPKAVVNKKTNMHIFIESGLRLLHLSLKTSR IKSSSEHVLEMLDPFVSVLINCLGAQDVKVITGALQCLIWVLRFPLPSIASKAEQLTKHL FLLLKNYARVGAARGQNFHLVVNCFKCVTIVVKKVKSHQITEKQLQVLLAYAEEDIYDTS RQATAFGLLKAILSRKLLVPEIDDIMRKVSKLAISAQNEPARVQCRQVFLKYILDYPLGE KLRPNLEFMLAQLNYEHETGRESTLEMIAYLFETFPQGLLHEHCGMFFIPLCLMMVNDDS AMCKRMASMAIKSLLSKVDREKKDWLFGLVTSWFEAKKRLNRQLAALACGLFVESEGVDF ERRLGTLLPVIEKEIDPENFKDIIEETEEKAADRLLFGFLTLMRKLIKECSIIHFTKPSE TLSKIWSHVHSHLRHPHSWVWLTAAQIFGLLFASCQPEELIQKWKGKKTKKKTSDPIAVR FLTSDLGQKMKSISLASCHQLHSKFLDESLGEQVVKNLLFIAKVLYLLELESGNKRGEVK DSEEQDTLADALAREAAEEKAGAGGKMESNREKKEEPSKPATLMWLIQKLSRMAKLEAAY SPRNPLKRTCIFKFLGAVAVDLGVDRVKPYLPLIIAPLFRELNSTFAEQDPVLKNLSQEI IELLKKLVGLESFSLAFASVQKQASEKRALRKKRKALEFVTNPDIAAKKKLKKHKNKSEA KKRKIEFLRPGYKAKRQKSHSLRDLAMVE >ENSMUSP00000127757.1 pep:known chromosome:GRCm38:10:58713606:58718210:-1 gene:ENSMUSG00000091731.1 transcript:ENSMUST00000169859.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17542 description:predicted gene, 17542 [Source:MGI Symbol;Acc:MGI:4937176] FFLSFFLSFFKKGLAFLFPRSHYRKKELPPDVE >ENSMUSP00000087231.2 pep:known chromosome:GRCm38:14:51056698:51057242:-1 gene:ENSMUSG00000068407.2 transcript:ENSMUST00000089798.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase12 description:ribonuclease, RNase A family, 12 (non-active) [Source:MGI Symbol;Acc:MGI:3528588] MVLMVVVFLLLLFWENELTEDVVLTSIEQLHVDYPQNAVPLRYCNYMILQRVIREPDHRC RKVHVFIHERPQKINRVCTSSKKMSCPNDSDLFCFQSETKFRMTVCQLIDGTTYPACRYQ ISPIKGFVLVTCDDLGPVDLQGYVE >ENSMUSP00000095823.1 pep:known chromosome:GRCm38:7:102658823:102659770:1 gene:ENSMUSG00000073970.1 transcript:ENSMUST00000098220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr555 description:olfactory receptor 555 [Source:MGI Symbol;Acc:MGI:3030389] MNSNASQTNHHSFILTGIPGMPDKNPWMAFPLGFLYTLTLLGNGTILAVVKVEQSLHEPM YYFLCILALTDVSLSMSTLPSMLSIFWFNAPEIPFDACITQMFFIHGFGVVESGVLVSMA FDRFVAIRDPLRYASILTHGLIGKIGLVVLARAVCVVFPVPFLIKRLPFCRSNVLSHSYC LHQDAMRLACASTRVNSLYGLIVVILTLGLDALIILFSYVLILKTVLGIASRAERLKALN TCLSHICAVLLFYIPFIGATMIHRFGKHLSPVVHMFMANIYLLLPPVLNPIVYSVKTKQI RRRIIQVFRGRKNMS >ENSMUSP00000072847.1 pep:known chromosome:GRCm38:7:105024025:105024966:-1 gene:ENSMUSG00000096773.1 transcript:ENSMUST00000073102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr675 description:olfactory receptor 675 [Source:MGI Symbol;Acc:MGI:3030509] MSLYNITEVHPSSFLLLGIPGLEYAHIWIGFPFCFLYLIAILGNAAVLFVIQTEHSLHEP MYYFLAMLDSIDLGLTTATIPKMLGIFWFNLREISFGGCLSQMFFIHFFTVMESIMLLAM GFDRYVAICKPLQYTVILTNKVIGVIAGIAVLRSLYMVLPVVFLLLRLPYCGHHIIPHTY CEHMGIARLACASIKVNIMFGLSNIALLLLDVVLIILSYVRILYAVFCLPSREARLKALN TCGSHIGVILTFFTPAFFSFLTHRFGHNVPQCIHIFLANLYVVVPPALNPVIYGVRTKQI REQVMRILFRKDH >ENSMUSP00000044497.6 pep:known chromosome:GRCm38:8:71464926:71465752:1 gene:ENSMUSG00000034880.6 transcript:ENSMUST00000048914.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl34 description:mitochondrial ribosomal protein L34 [Source:MGI Symbol;Acc:MGI:2137227] MAFLARCFGCQACRSVALLSGRYLQSRVWMGLPDSWPLLSLQQARGRARGNEYQPSNIKR KHKHGWVRRLSTPAGVQVILRRMLKGRKSLSH >ENSMUSP00000035093.8 pep:known chromosome:GRCm38:9:119402444:119433501:1 gene:ENSMUSG00000061393.13 transcript:ENSMUST00000035093.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr2b description:activin receptor IIB [Source:MGI Symbol;Acc:MGI:87912] MTAPWAALALLWGSLCAGSGRGEAETRECIYYNANWELERTNQSGLERCEGEQDKRLHCY ASWRNSSGTIELVKKGCWLDDFNCYDRQECVATEENPQVYFCCCEGNFCNERFTHLPEPG GPEAPTLLTVLAYSLLPIGGLSLIVLLAFWMYRHRKPPYGHVDIHEVRQCQRWAGRRDGC ADSFKPLPFQDPGPPPPSPLVGLKPLQLLEIKARGRFGCVWKAQLMNDFVAVKIFPLQDK QSWQSEREIFSTPGMKHENLLQFIAAEKRGSNLEVELWLITAFHDKGSLTDYLKGNIITW NELCHVAETMSRGLSYLHEDVPWCRGEGHKPSIAHRDFKSKNVLLKSDLTAVLADFGLAV RFEPGKPPGDTHGQVGTRRYMAPEVLEGAINFQRDAFLRIDMYAMGLVLWELVSRCKAAD GPVDEYMLPFEEEIGQHPSLEELQEVVVHKKMRPTIKDHWLKHPGLAQLCVTIEECWDHD AEARLSAGCVEERVSLIRRSVNGTTSDCLVSLVTSVTNVDLLPKESSI >ENSMUSP00000126108.1 pep:known chromosome:GRCm38:9:119402501:119433501:1 gene:ENSMUSG00000061393.13 transcript:ENSMUST00000165044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr2b description:activin receptor IIB [Source:MGI Symbol;Acc:MGI:87912] MTAPWAALALLWGSLCAGSGRGEAETRECIYYNANWELERTNQSGLERCEGEQDKRLHCY ASWRNSSGTIELVKKGCWLDDFNCYDRQECVATEENPQVYFCCCEGNFCNERFTHLPEPG GPEVTYEPPPTAPTLLTVLAYSLLPIGGLSLIVLLAFWMYRHRKPPYGHVDIHEVRQCQR WAGRRDGCADSFKPLPFQDPGPPPPSPLVGLKPLQLLEIKARGRFGCVWKAQLMNDFVAV KIFPLQDKQSWQSEREIFSTPGMKHENLLQFIAAEKRGSNLEVELWLITAFHDKGSLTDY LKGNIITWNELCHVAETMSRGLSYLHEDVPWCRGEGHKPSIAHRDFKSKNVLLKSDLTAV LADFGLAVRFEPGKPPGDTHGQVGTRRYMAPEVLEGAINFQRDAFLRIDMYAMGLVLWEL VSRCKAADGPVDEYMLPFEEEIGQHPSLEELQEVVVHKKMRPTIKDHWLKHPGLAQLCVT IEECWDHDAEARLSAGCVEERVSLIRRSVNGTTSDCLVSLVTSVTNVDLLPKESSI >ENSMUSP00000020071.3 pep:known chromosome:GRCm38:10:50895651:50989152:1 gene:ENSMUSG00000019913.3 transcript:ENSMUST00000020071.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sim1 description:single-minded homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:98306] MKEKSKNAARTRREKENSEFYELAKLLPLPSAITSQLDKASIIRLTTSYLKMRVVFPEGL GEAWGHTSRTSPLDNVGRELGSHLLQTLDGFIFVVAPDGKIMYISETASVHLGLSQVELT GNSIYEYIHPADHDEMTAVLTAHQPYHSHFVQEYEIERSFFLRMKCVLAKRNAGLTCGGY KVIHCSGYLKIRQYSLDMSPFDGCYQNVGLVAVGHSLPPSAVTEIKLHSNMFMFRASLDM KLIFLDSRVAELTGYEPQDLIEKTLYHHVHGCDTFHLRCAHHLLLVKGQVTTKYYRFLAK QGGWVWVQSYATIVHNSRSSRPHCIVSVNYVLTDTEYKGLQLSLDQISASKPTFSYTSSS TPTISDNRKGAKSRLSSSKSKSRTSPYPQYSGFHTERSESDHDSQWGGSPLTDTASPQLL DPERPGSQHELSCAYRQFPDRSSLCYGFALDHSRLVEDRHFHTQACEGGRCEAGRYFLGA PPTGRDPWWGSRAALPLTKASPESREAYENSMPHITSIHRIHGRGHWDEDSVVSSPDPGS ASESGDRYRTEQYQNSPHEPSKIETLIRATQQMIKEEENRLQLRKAPPDQLASINGAGKK HSLCFANYQQPPPTGEVCHSSALASTSPCDHIQQREGKMLSPHENDYDNSPTALSRISSP SSDRITKSSLILAKDYLHSDMSPHQTAGDHPAISPNCFGSHRQYFDKHAYTLTGYALEHL YDSETIRNYSLGCNGSHFDVTSHLRMQPDPAQGHKGTSVIITNGS >ENSMUSP00000058317.6 pep:known chromosome:GRCm38:8:71554303:71558871:-1 gene:ENSMUSG00000043664.6 transcript:ENSMUST00000052072.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem221 description:transmembrane protein 221 [Source:MGI Symbol;Acc:MGI:3525074] MGRSYGGRVLAAMTLLGIPAAVLVALAAQLLFQLQAGRAELRRVRTDGLHPELDPDAGLP EAAAGALLPLATALAALAQVLGLSCLLLAALCGHLGAELARGPGPGRSDWFLYDCRLLRH SALGLFCCGVSVYLAALAIYALLLFEIEAGAAAASILGSGALILVAIMTHTLFRAVQATR RGLRELSPPSFEDEPARPSEDSKSGCRAQPPQDEETETPIGAVTHQGSHF >ENSMUSP00000021843.5 pep:known chromosome:GRCm38:13:33964659:33988465:1 gene:ENSMUSG00000046949.15 transcript:ENSMUST00000021843.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nqo2 description:NAD(P)H dehydrogenase, quinone 2 [Source:MGI Symbol;Acc:MGI:104513] MAGKKVLIVYAHQEPKSFNGSLKKVAVEELSKQGCTVTVSDLYSMNFEPRATRNDITGAP SNPDVFSYGIETHEAYKKKALTSDIFEEQRKVQEADLVIFQFPLYWFSVPAILKGWMDRV LCRGFAFDIPGFYDSGFLKGKLALLSLTTGGTAEMYTKDGVSGDFRYFLWPLQHGTLHFC GFKVLAPQISFGLDVSSEEERKVMLASWAQRLKSIWKEEPIHCTPPWYFQE >ENSMUSP00000053809.7 pep:known chromosome:GRCm38:13:33964659:33988465:1 gene:ENSMUSG00000046949.15 transcript:ENSMUST00000058978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nqo2 description:NAD(P)H dehydrogenase, quinone 2 [Source:MGI Symbol;Acc:MGI:104513] MAGKKVLIVYAHQEPKSFNGSLKKVAVEELSKQGCTVTVSDLYSMNFEPRATRNDITGAP SNPDVFSYGIETHEAYKKKALTSDIFEEQRKVQEADLVIFQFPLYWFSVPAILKGWMDRV LCRGFAFDIPGFYDSGFLKGKLALLSLTTGGTAEMYTKDGVSGDFRYFLWPLQHGTLHFC GFKVLAPQISFGLDVSSEEERKVMLASWAQRLKSIWKEEPIHCTPPWYFQE >ENSMUSP00000016781.6 pep:known chromosome:GRCm38:15:78159465:78174108:-1 gene:ENSMUSG00000016637.6 transcript:ENSMUST00000016781.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift27 description:intraflagellar transport 27 [Source:MGI Symbol;Acc:MGI:1914292] MVKLAAKCILAGDPAVGKTALVQMFRSDGTHFQKNYTLTTGVDLVVKTVPVLDTNDSVEL FIFDSAGKELFSEMLDKLWENPNVLCLVYDVTNEQSFISCTKWLEKVRSQTSGISLPGVL VGTKTDLAGRQTVDSAQAQVWALSQGLEFFETSVKEMDNYEAPFHCLAKQFYQLYREKVD IFHTLV >ENSMUSP00000137361.1 pep:known chromosome:GRCm38:Y:90838869:90839177:-1 gene:ENSMUSG00000096850.1 transcript:ENSMUST00000179623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21748 description:predicted gene, 21748 [Source:MGI Symbol;Acc:MGI:5433912] MNKKPSAVCGAEAQAWFLPSIRSKAIPDSSRMFFRHRHRNCRHARISSTMPVLPATVVAL HFHAIPASPKCCSHFTLHRWSPCLLMVYVCSGVSATKDGLYL >ENSMUSP00000024823.6 pep:known chromosome:GRCm38:17:30592866:30612659:-1 gene:ENSMUSG00000024026.12 transcript:ENSMUST00000024823.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glo1 description:glyoxalase 1 [Source:MGI Symbol;Acc:MGI:95742] MAEPQPASSGLTDETAFSCCSDPDPSTKDFLLQQTMLRIKDPKKSLDFYTRVLGLTLLQK LDFPAMKFSLYFLAYEDKNDIPKDKSEKTAWTFSRKATLELTHNWGTEDDETQSYHNGNS DPRGFGHIGIAVPDVYSACKRFEELGVKFVKKPDDGKMKGLAFIQDPDGYWIEILNPNKI ATII >ENSMUSP00000126586.1 pep:known chromosome:GRCm38:17:30592866:30612659:-1 gene:ENSMUSG00000024026.12 transcript:ENSMUST00000167624.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glo1 description:glyoxalase 1 [Source:MGI Symbol;Acc:MGI:95742] MAEPQPASSGLTDETAFSCCSDPDPSTKDFLLQQTMLRIKDPKKSLDFYTRVLGLTLLQK LDFPAMKFSLYFLAYEDKNDIPKDKSEKTAWTFSRKATLELTHNWGTEDDETQSYHNGNS DPRGFGHIGIAVPDVYSACKRFEELGVKFVKKPDDGKMKGLAFIQDPDGYWIEILNPNKI ATII >ENSMUSP00000131606.1 pep:known chromosome:GRCm38:13:117218701:117221075:-1 gene:ENSMUSG00000091423.1 transcript:ENSMUST00000165680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17509 description:predicted gene, 17509 [Source:MGI Symbol;Acc:MGI:4937143] MARAGLSRRSLRHPQLAAIRLRWSLCTLAGNWAPTASPGDRTLENKPSGRGPAALASTPE TLPAQLRRPSINYAARSPLPARPDVLCNLGAESSPAPTLWLTQVGEGPSSWSQPGVCTPS PPGGVATPHRVFFPSVGWVHTFTLM >ENSMUSP00000021554.8 pep:known chromosome:GRCm38:12:80167542:80260371:-1 gene:ENSMUSG00000015143.14 transcript:ENSMUST00000021554.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actn1 description:actinin, alpha 1 [Source:MGI Symbol;Acc:MGI:2137706] MDHYDSQQTNDYMQPEEDWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIENIEEDFR DGLKLMLLLEVISGERLAKPERGKMRVHKISNVNKALDFIASKGVKLVSIGAEEIVDGNV KMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYKNVNIQNFHISWKDGLGFC ALIHRHRPELIDYGKLRKDDPLTNLNTAFDVAERFLDIPKMLDAEDIVGTARPDEKAIMT YVSSFYHAFSGAQKAETAANRICKVLAVNQENEQLMEDYEKLASDLLEWIRRTIPWLENR VPENTMHAMQQKLEDFRDYRRLHKPPKVQEKCQLEINFNTLQTKLRLSNRPAFMPSEGRM VSDINNAWGCLEQAEKGYEEWLLNEIRRLERLDHLAEKFRQKASIHEAWTDGKEAMLRQK DYETATLSEIKALLKKHEAFESDLAAHQDRVEQIAAIAQELNELDYYDSPSVNARCQKIC DQWDNLGALTQKRREALERTEKLLETIDQLYLEYAKRAAPFNNWMEGAMEDLQDTFIVHT IEEIQGLTTAHEQFKATLPDADKERLAILGIHNEVSKIVQTYHVNMAGTNPYTTITPQEI NGKWDHVRQLVPRRDQALTEEHARQQHNERLRKQFGAQANVIGPWIQTKMEEIGRISIEM HGTLEDQLSHLRQYEKSIVNYKPKIDQLECDHQLIQEALIFDNKHTNYNMEHIRVGWEQL LTTIARTINEVENQILTRDAKGISQEQMNEFRASFNHFDRDHSGTLGPEEFKACLISLGY DIGNDPQGEAEFARIMSIVDPNRLGVVTFQAFIDFMSRETADTDTADQVMASFKILAGDK NYITEDELRRELPPDQAEYCIARMAPYAGPDSVPGALDYMSFSTALYGESDL >ENSMUSP00000127176.1 pep:known chromosome:GRCm38:12:80168322:80260091:-1 gene:ENSMUSG00000015143.14 transcript:ENSMUST00000167327.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actn1 description:actinin, alpha 1 [Source:MGI Symbol;Acc:MGI:2137706] MDHYDSQQTNDYMQPEEDWDRDLLLDPAWEKQQRKTFTAWCNSHLRKAGTQIENIEEDFR DGLKLMLLLEVISGERLAKPERGKMRVHKISNVNKALDFIASKGVKLVSIGAEEIVDGNV KMTLGMIWTIILRFAIQDISVEETSAKEGLLLWCQRKTAPYKNVNIQNFHISWKDGLGFC ALIHRHRPELIDYGKLRKDDPLTNLNTAFDVAERFLDIPKMLDAEDIVGTARPDEKAIMT YVSSFYHAFSGAQKAETAANRICKVLAVNQENEQLMEDYEKLASDLLEWIRRTIPWLENR VPENTMHAMQQKLEDFRDYRRLHKPPKVQEKCQLEINFNTLQTKLRLSNRPAFMPSEGRM VSDINNAWGCLEQAEKGYEEWLLNEIRRLERLDHLAEKFRQKASIHEAWTDGKEAMLRQK DYETATLSEIKALLKKHEAFESDLAAHQDRVEQIAAIAQELNELDYYDSPSVNARCQKIC DQWDNLGALTQKRREALERTEKLLETIDQLYLEYAKRAAPFNNWMEGAMEDLQDTFIVHT IEEIQGLTTAHEQFKATLPDADKERLAILGIHNEVSKIVQTYHVNMAGTNPYTTITPQEI NGKWDHVRQLVPRRDQALTEEHARQQHNERLRKQFGAQANVIGPWIQTKMEEIGRISIEM HGTLEDQLSHLRQYEKSIVNYKPKIDQLECDHQLIQEALIFDNKHTNYNMEHIRVGWEQL LTTIARTINEVENQILTRDAKGISQEQMNEFRASFNHFDRKKTGMMDTDDFRACLISMGY NMGEAEFARIMSIVDPNRLGVVTFQAFIDFMSRETADTDTADQVMASFKILAGDKNYITE DELRRELPPDQAEYCIARMAPYAGPDSVPGALDYMSFSTALYGESDL >ENSMUSP00000056958.7 pep:known chromosome:GRCm38:19:10634233:10665210:1 gene:ENSMUSG00000043789.7 transcript:ENSMUST00000055115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwce description:von Willebrand factor C and EGF domains [Source:MGI Symbol;Acc:MGI:1919018] MWARLLLHVAYILIPLLGSSARGYTGRKAPGHYSAERRRLGPHVCLSGFGSGCCPGWAPS MGSGHCTLPLCSFGCGSGICIAPNVCSCQDGEQGATCPEAHGSCGEYGCDLTCNHGGCQE VARVCPVGFLMTETAVGIRCADIDECLSSSCEGHCVNTEGGFVCECGPGMQLSADRHSCQ DTDECLGTPCQQRCKNSIGSYKCSCRAGFHLHGNRHSCIDVNECRRPQERRVCHHTCHNT VGSFLCTCRPGFRLRSDRVSCEAFPKAVLAPSAILQPRQHPAKMSLLLPEAGRPALSPGH SPPPGAPGYPTGVRTISQPSTTQVLPTFFPTQLISTPVPSSSPLGTLGPPSLLQGAVGTP SSPRGPESPKLGAGSSSCWHLGATYESGSRWNQPGCSQCLCQDGEVTCGGVRCDATCSHP VPPRDGGCCPSCTGCFHSGAIRAEGDVFSPPEENCTVCVCLAGNVSCISPECPPGPCKAS PQSDCCTCVPGRCYFHGRWYTDGAVFSGGGDDCTTCVCQNGEVECSFTPCPELECPREEW LLGPGQCCFTCREPTPTTGCSLDDNGVEFPIGQIWSPGDPCELCVCQADGSVSCKRTDCV DSCPHPIRIPGQCCPDCSAGCTYTGRIFYNNETFPSVLDPCLSCICLLGSVACSPVDCPI TCTYPFHPDGECCPVCHDCNFEGRKVVNGQVFTLDDEPCTRCICQLGEVSCETVPCRPIC TDPSCPDSVFPLEEKQQPSPHGELAKAARNARGDTEVPVNCSSCPGPPSASPTRPMVHLL QRLLRTNLSNIQSASPSPPIAQTSSSPLLEPEGISLGKPRASQPPEPSAGSPVSPRLSTL PPAIPGTPLSPVTPESSSSTFGTQTAFQWLLSATPLTEAETPSMTNADLSETLTTSSSSQ RLSAALPDTPNPVPQQSTIDTPKKENSTI >ENSMUSP00000072514.3 pep:known chromosome:GRCm38:9:38377883:38378833:1 gene:ENSMUSG00000096757.1 transcript:ENSMUST00000072731.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr251 description:olfactory receptor 251 [Source:MGI Symbol;Acc:MGI:3030085] MKVMKQMVTESNSSVTEFILMGLTVQKELQLPLFILFLLNYTATVVGNLSLMNLICLNSH LHTPMYFFIFNLSCIDFCYSFVSNPTMLRSFVTEQNTISYEGCMSQLFFFCFFVNSECYV LTAMAYDRYVAICHPLKYTTVMSPKICCLLVFGSYLMGFAGALTHTGFMIRLSFCNSNII NHYMCDIFPLLQLSCTSTYVNELVSSAVVGTIIILSSIIILVSYAMILSNILHMSSSKGW SKALGTCGSHIITVSLFYGSGLLAYIKPTSAETVDQGKFLSIFYTLVVPMLNPLIYSLRN KDVKLALKRTMKRVTT >ENSMUSP00000020004.6 pep:known chromosome:GRCm38:10:53596961:53609213:1 gene:ENSMUSG00000019857.6 transcript:ENSMUST00000020004.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asf1a description:anti-silencing function 1A histone chaperone [Source:MGI Symbol;Acc:MGI:1913653] MAKVQVNNVVVLDNPSPFYNPFQFEITFECIEDLSEDLEWKIIYVGSAESEEYDQVLDSV LVGPVPAGRHMFVFQADAPNAGLIPDADAVGVTVVLITCTYRGQEFIRVGYYVNNEYTET ELRENPPVKPDFSKLQRNILASNPRVTRFHINWEDNTEKLEDAESSNPNLQSLLSTDALP SASKGWSTSENSLNVMLESHMDCM >ENSMUSP00000040230.5 pep:known chromosome:GRCm38:12:36314169:36318452:1 gene:ENSMUSG00000036169.5 transcript:ENSMUST00000041407.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sostdc1 description:sclerostin domain containing 1 [Source:MGI Symbol;Acc:MGI:1913292] MLPPAIHLSLIPLLCILMRNCLAFKNDATEILYSHVVKPVPAHPSSNSTLNQARNGGRHF SSTGLDRNSRVQVGCRELRSTKYISDGQCTSISPLKELVCAGECLPLPVLPNWIGGGYGT KYWSRRSSQEWRCVNDKTRTQRIQLQCQDGSTRTYKITVVTACKCKRYTRQHNESSHNFE SVSPAKPAQHHRERKRASKSSKHSLS >ENSMUSP00000041119.7 pep:known chromosome:GRCm38:7:103460277:103461191:-1 gene:ENSMUSG00000042402.7 transcript:ENSMUST00000045546.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr607 description:olfactory receptor 607 [Source:MGI Symbol;Acc:MGI:3030441] IELNTTPTQLTFFLLTGVPGLEDIQIWMSIPFSFMYVLAVLGNVLVMAVVVYDRSLHEPM YLFLAMLAFNDVLLCTVTVPQMLLIFWQGPLEATFPACLTQMFFVHALFLSESAVLLAMA FDRYVAICIPLHYTSLLTSSIIGKVGLVLIARSVAVVTPGVLLILRLDFCRSNIIHHTYC ENMGIAKLACNSFTLNSIYGLSAALLTTGLDFVFISLSYWLILKTVLQLPSKEARTKAFG TCGAHICVILIFYTLAFFSFFTHRFGHHVSRHTLILLANLYLLIPPTMNPIVYGIKTKEI RMRVV >ENSMUSP00000021522.3 pep:known chromosome:GRCm38:12:105032689:105040910:1 gene:ENSMUSG00000021102.3 transcript:ENSMUST00000021522.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrx5 description:glutaredoxin 5 [Source:MGI Symbol;Acc:MGI:1920296] MSASLSRAAAALLRWGRSAGGGGLPGAGVRAASSGGQAEQLDALVKKDKVVVFLKGTPEQ PQCGFSNAVVQILRLHGVRDYAAYNVLDDPELRQGIKDYSNWPTIPQVYLNGEFVGGCDI LLQMHQNGDLVEELKKLGIRSALVDEKDQDSK >ENSMUSP00000073829.4 pep:known chromosome:GRCm38:10:103367808:103419378:1 gene:ENSMUSG00000019894.13 transcript:ENSMUST00000074204.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a15 description:solute carrier family 6 (neurotransmitter transporter), member 15 [Source:MGI Symbol;Acc:MGI:2143484] MPKNSKVVKRDLDDDVIESVKDLLSNEDSVEEVSKKSELIVDVQEEKDTDAEDGSEADDE RPAWNSKLQYILAQVGFSVGLGNVWRFPYLCQKNGGGAYLLPYLILLLVIGIPLFFLELS VGQRIRRGSIGVWNYISPKLGGIGFASCVVCYFVALYYNVIIGWTLFYFSQSFQQPLPWD QCPLVKNASHTYVEPECEQSSATTYYWYREALDITSSISDSGGLNWKMTVCLLVAWVMVC LAMIKGIQSSGKIMYFSSLFPYVVLICFLIRSLLLNGSIDGIRHMFTPKLEMMLEPKVWR EAATQVFFALGLGFGGVIAFSSYNKRDNNCHFDAVLVSFINFFTSVLATLVVFAVLGFKA NIVNEKCISQNSEMILKLLKMGNISWDVIPHHINLSAVTVEDYRLVYDIIQKVKEEEFAV LHLNACQIEDELNKAVQGTGLAFIAFTEAMTHFPASPFWSVMFFLMLINLGLGSMFGTIE GIITPIVDTFKVRKEILTVICCLLAFCIGLIFVQRSGNYFVTMFDDYSATLPLLIVVILE NIAVSFVYGIDKFIEDLTDMLGFAPSKYYYYMWKYISPLMLLTLLIASIVNMGLSPPGYN AWIKEKASEEFLSYPMWGMVVCFSLMVLAILPVPVVFIIRRCNLIDDSSGNLASVTYKRG RVLKEPVNLEGDDASLIHGKIPSEMSSPNFGKNIYRKQSGSPTLDTAPNGRYGIGYLMAD MPDMPESDL >ENSMUSP00000136676.1 pep:known chromosome:GRCm38:10:103367808:103419378:1 gene:ENSMUSG00000019894.13 transcript:ENSMUST00000179636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a15 description:solute carrier family 6 (neurotransmitter transporter), member 15 [Source:MGI Symbol;Acc:MGI:2143484] MPKNSKVVKRDLDDDVIESVKDLLSNEDSVEEVSKKSELIVDVQEEKDTDAEDGSEADDE RPAWNSKLQYILAQVGFSVGLGNVWRFPYLCQKNGGGAYLLPYLILLLVIGIPLFFLELS VGQRIRRGSIGVWNYISPKLGGIGFASCVVCYFVALYYNVIIGWTLFYFSQSFQQPLPWD QCPLVKNASHTYVEPECEQSSATTYYWYREALDITSSISDSGGLNWKMTVCLLVAWVMVC LAMIKGIQSSGKIMYFSSLFPYVVLICFLIRSLLLNGSIDGIRHMFTPKLEMMLEPKVWR EAATQVFFALGLGFGGVIAFSSYNKRDNNCHFDAVLVSFINFFTSVLATLVVFAVLGFKA NIVNEKCISQNSEMILKLLKMGNISWDVIPHHINLSAVTVEDYRLVYDIIQKVKEEEFAV LHLNACQIEDELNKAVQGTGLAFIAFTEAMTHFPASPFWSVMFFLMLINLGLGSMFGTIE GIITPIVDTFKVRKEILTVICCLLAFCIGLIFVQRSGNYFVTMFDDYSATLPLLIVVILE NIAVSFVYGIDKFIEDLTDMLGFAPSKYYYYMWKYISPLMLLTLLIASIVNMGLSPPGYN AWIKEKASEEFLSYPMWGMVVCFSLMVLAILPVPVVFIIRRCNLIDDSSGNLASVTYKRG RVLKEPVNLEGDDASLIHGKIPSEMSSPNFGKNIYRKQSGSPTLDTAPNGRYGIGYLMAD MPDMPESDL >ENSMUSP00000086363.5 pep:known chromosome:GRCm38:14:74754674:74947877:-1 gene:ENSMUSG00000068015.6 transcript:ENSMUST00000088970.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrch1 description:leucine-rich repeats and calponin homology (CH) domain containing 1 [Source:MGI Symbol;Acc:MGI:2443390] MATPGSEPQAFAPALSVTALHPHLHQHHQHHQHHQHHGGTGGTGFNLPLNRGLERALEEA ANSGGLNLSARKLKEFPRTTAPGHDLSDTVRADLSKNRLVEVPMELCQFVSLEILNLYHN CIRVIPEAIVNLQMLTHLNLSRNQLSALPACLCGLPLKVLIASNNKLGSLPEEIGQLKQL MELDVSCNEITALPQQIGQLKSLRELNVRRNYLKVLPPELVDLPLVKFDFSCNKVLVIPV CFREMKQLQVLLLENNPLQSPPAQICTKGKVHIFKYLSIQACQIKTSDSLYLPTIERPHL HQHVEDSKKDSDSGVGSDNGDKRLSATEPSDEDTVSLNAPMSNIVEEDQTIKEDACHRLT PTKGEFQPKPSILGDSGISGQEREQLAGRADARHSGLMNYIKDQAEDCEELLRIEEDAHW HMEELLNSSKDRELDIAMIEQLREAELLQDPNGLSADIIERSILNLFPMDSGEASEFPDP SLNGQLQLETSPDREVQNDLMLQSNGSQYSPNEIRENSPSVSPTANITAPFGLKPRSGSW CPEEVQGSLQAESSPRRPQLLSRHVFLRPQRNLESIDPQFTIRRKMEQMREEKELVEQLR ESIEMRLKVTLHEDLGAALMDGVVLCHLANHVRPRSVASIHVPSPAVPKLSMAKCRRNVE NFLEACRKLGVPEEKLCLPHHILEEKGLVKVGTTVQALLDVTVTKALFT >ENSMUSP00000021866.8 pep:known chromosome:GRCm38:13:38036989:38061433:1 gene:ENSMUSG00000021428.8 transcript:ENSMUST00000021866.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Riok1 description:RIO kinase 1 (yeast) [Source:MGI Symbol;Acc:MGI:1918590] MDCSLDRMASVVPGQFDDADSSDSENKELQPIHAEDGGVLLKSLQNAAAEVKGDAETDEE DDYDDDDDWYLDDATGKLTKGCTWNGGSNYQANRQTSNYNSAKMSTPIDKSLRKFENKIN LNKLNVTDSVTNKVTVKLRQKEAESYRIKDKADRATVEQVLDPRTRMILFKLLHKDHISE IHGCISTGKEANVYYASTPSGESRAIKIYKTSILMFKDRDKYVTGEFRFRRGYCKGNPRK MVRTWAEKEMRNLCRLKTANIPCPEPIRLRSHVLLMGFIGKDDMPAPLLKNVQLSESKAR ELYLQVIQYMRKMYQDARLVHADLSEFNMLYHGGDVYIIDVSQSVEHDHPHALEFLRKDC TNVNDFFSKHAVAVMTVRELFDFVTDPSITADNMDAYLEKAMEIASQRTKEEKTSQDHVD EEVFKQAYIPRTLNEVKNYERDVDIMMRLKEEDMALNTQQDNILYQTVMGLKKDLSGVQK VPALLESEVKEETCFGSDDAGGSECSDTVSEEQEDQAGCRNHIADPDIDKKERKKMVKEA QREKRKNKIPKHVKKRKEKTAKAKKGK >ENSMUSP00000131581.2 pep:known chromosome:GRCm38:19:6829085:6840601:-1 gene:ENSMUSG00000024952.12 transcript:ENSMUST00000170516.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka4 description:ribosomal protein S6 kinase, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1930076] MGDEDEDEGCAVELQITEANLTGHEEKVSVENFALLKVLGTGAYGKVFLVRKTGGHDAGK LYAMKVLRKAALVQRAKTQEHTRTERSVLELVRQAPFLVTLHYAFQTDAKLHLILDYVSG GEMFTHLYQRQYFKEAEVRVYGGEIVLALEHLHKLGIIYRDLKLENVLLDSEGHIVLTDF GLSKEFLTEEKERTFSFCGTIEYMAPEIIRSKAGHGKAVDWWSLGILLFELLTGASPFTL EGERNTQAEVSRRILKCSPPFPLRIGPVAQDLLQRLLCKDPKKRLGAGPQGAQEVKSHPF FQGLDWVALAARKIPAPFRPQIRSELDVGNFAEEFTRLEPVYSPAGSPPPGDPRIFQGYS FVAPSILFDHNNAVMADVLQAPGAGYRPGRAAVARSAMMQDSPFFQQYELDLREPALGQG SFSVCRRCRQRQSGQEFAVKILSRRLEENTQREVAALRLCQSHPNVVNLHEVLHDQLHTY LVLELLRGGELLEHIRKKRLFSESEASQILRSLVSAVSFMHEEAGVVHRDLKPENILYAD DTPGAPVKIIDFGFARLRPQSPAEPMQTPCFTLQYAAPELLAQQGYDESCDLWSLGVILY MMLSGQVPFQGASGQGGQSQAAEIMCKIREGRFSLDGEAWQGVSEEAKELVRGLLTVDPA KRLKLEGLRSSSWLQDGSARSSPPLRTPDVLESSGPAVRSGLNATFMAFNRGKREGFFLK SVENAPLAKRRKQKLRSAAASRRGSPVPASSGRLPASAAKGTTRRANGPLSPS >ENSMUSP00000025903.5 pep:known chromosome:GRCm38:19:6829085:6840601:-1 gene:ENSMUSG00000024952.12 transcript:ENSMUST00000025903.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6ka4 description:ribosomal protein S6 kinase, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1930076] MGDEDEDEGCAVELQITEANLTGHEEKVSVENFALLKVLGTGAYGKVFLVRKTGGHDAGK LYAMKVLRKAALVQRAKTQEHTRTERSVLELVRQAPFLVTLHYAFQTDAKLHLILDYVSG GEMFTHLYQRQYFKEAEVRVYGGEIVLALEHLHKLGIIYRDLKLENVLLDSEGHIVLTDF GLSKEFLTEEKERTFSFCGTIEYMAPEIIRSKAGHGKAVDWWSLGILLFELLTGASPFTL EGERNTQAEVSRRILKCSPPFPLRIGPVAQDLLQRLLCKDPKKRLGAGPQGAQEVKSHPF FQGLDWVALAARKIPAPFRPQIRSELDVGNFAEEFTRLEPVYSPAGSPPPGDPRIFQGYS FVAPSILFDHNNAVMADVLQAPGAGYRPGRAAVARSAMMQDSPFFQQYELDLREPALGQG SFSVCRRCRQRQSGQEFAVKILSRRLEENTQREVAALRLCQSHPNVVNLHEVLHDQLHTY LVLELLRGGELLEHIRKKRLFSESEASQILRSLVSAVSFMHEEAGVVHRDLKPENILYAD DTPGAPVKIIDFGFARLRPQSPAEPMQTPCFTLQYAAPELLAQQGYDESCDLWSLGVILY MMLSGQVPFQGASGQGGQSQAAEIMCKIREGRFSLDGEAWQGVSEEAKELVRGLLTVDPA KRLKLEGLRSSSWLQDGSARSSPPLRTPDVLESSGPAVRSGLNATFMAFNRGKREGFFLK SVENAPLAKRRKQKLRSAAASRRGSPVPASSGRLPASAAKGTTRRANGPLSPS >ENSMUSP00000128022.1 pep:known chromosome:GRCm38:14:59560896:59597836:-1 gene:ENSMUSG00000021982.13 transcript:ENSMUST00000167100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdadc1 description:cytidine and dCMP deaminase domain containing 1 [Source:MGI Symbol;Acc:MGI:1919141] MKETDQMQSLEGSGAERSVGTQTGSMTGQIPRLSKVNLFTLLSLWMELFPGVEAQGQKSQ KTEEESRGPLGDNEELTRVSTEKKQVKKTGLVVVKNMKIIGLHCSSEDLHTGQIALIKHG SRLKNCDLYFSRKPCSACLKMIVNAGVNRISYWPSDPEISLLTEASSSEDAKLDAKAAER LKSNSRAHVCVLLQPLVCYMVQFVEETSYKCDFIQKTAKALPGADTDFYSECKQERIKEY EMLFLVSNEERHKQILMTIGLESLCEDPYFSNLRQNMKDLILLLATVASSVPNLKHFGFY CSSPEQINEIHNQSLPQEVARHCMVQARLLAYRTEDHKTGVGAVIWAEAKSRSCDGTGAM YFIGCGYNAFPVGSEYADFPHMDDKHKDREIRKFRYIIHAEQNALTFRCQDIKPEERSMI FVTKCPCDECVPLIKGAGIKQIYAGDVDVGKKKADISYMKFGELEGVRKFTWQLNPSEAY SLDPNEPERRENGVLRRRSAKDEQRSSKRPRLETRSAGSATTACF >ENSMUSP00000022555.3 pep:known chromosome:GRCm38:14:59560896:59597836:-1 gene:ENSMUSG00000021982.13 transcript:ENSMUST00000022555.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdadc1 description:cytidine and dCMP deaminase domain containing 1 [Source:MGI Symbol;Acc:MGI:1919141] MKETDQMQSLEGSGAERSVGTQTGSMTGQIPRLSKVNLFTLLSLWMELFPGVEAQGQKSQ KTEEESRGPLGDNEELTRVSTEKKQVKKTGLVVVKNMKIIGLHCSSEDLHTGQIALIKHG SRLKNCDLYFSRKPCSACLKMIVNAGVNRISYWPSDPEISLLTEASSSEDAKLDAKAAER LKSNSRAHVCVLLQPLVCYMVQFVEETSYKCDFIQKTAKALPGADTDFYSECKQERIKEY EMLFLVSNEERHKQILMTIGLESLCEDPYFSNLRQNMKDLILLLATVASSVPNLKHFGFY CSSPEQINEIHNQSLPQEVARHCMVQARLLAYRTEDHKTGVGAVIWAEAKSRSCDGTGAM YFIGCGYNAFPVGSEYADFPHMDDKHKDREIRKFRYIIHAEQNALTFRCQDIKPEERSMI FVTKCPCDECVPLIKGAGIKQIYAGDVDVGKKKADISYMKFGELEGVRKFTWQLNPSEAY SLDPNEPERRERSATTACF >ENSMUSP00000052233.7 pep:known chromosome:GRCm38:14:59564498:59597836:-1 gene:ENSMUSG00000021982.13 transcript:ENSMUST00000056997.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdadc1 description:cytidine and dCMP deaminase domain containing 1 [Source:MGI Symbol;Acc:MGI:1919141] MKETDQMQSLEGSGAERSVGTQTGSMTGQIPRLSKVNLFTLLSLWMELFPGVEAQGQKSQ KTEEESRGPLGDNEELTRVSTEKKQVKKTGLVVVKNMKIIGLHCSSEDLHTGQIALIKHG SRLKNCDLYFSRKPCSACLKMIVNAGVNRISYWPSDPEISLLTEASSSEDAKLDAKAAER LKSNSRAHVCVLLQPLVCYMVQFVEETSYKCDFIQKTAKALPGADTDFYSECKQERIKEY EMLFLVSNEERHKQILMTIGLESLCEDPYFSNLRQNMKDLILLLATVASSVPNLKHFGFY CSSPEQINEIHNQSLPQEVARHCMVQARLLAYRTEDHKTGVGAVIWAEAKSRSCDGTGAM YFIGCGYNAFPVGSEYADFPHMDDKHKDREIRKFRYIIHAEQNALTFRCQDIKPEERSMI FVTKCPCDECVPLIKGAGIKQIYAGDVDVGKKKADISYMKFGELEGVRKFTWQLNPSEAY SLDPNEPERRENGVLRRRSAKDEQRSSKRPRLETRSAGRATLQ >ENSMUSP00000128064.1 pep:known chromosome:GRCm38:14:59567736:59597836:-1 gene:ENSMUSG00000021982.13 transcript:ENSMUST00000171683.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdadc1 description:cytidine and dCMP deaminase domain containing 1 [Source:MGI Symbol;Acc:MGI:1919141] MKETDQMQSLEGSGAERSVGTQTGSMTGQIPRLSKVNLFTLLSLWMELFPGVEAQGQKSQ KTEEESRGPLGDNEELTRVSTEKKQVKKTGLVVVKNMKIIGLHCSSEDLHTGQIALIKHG SRLKNCDLYFSRKPCSACLKMIVNAGVNRISYWPSDPEISLLTEASSSEDAKLDAKAAER LKSNSRAHVCVLLQPLVCYMVQFVEETSYKCDFIQKTAKALPGADTDFYSECKQERIKEY EMLFLVSNEERHKQILMTIGLESLCEDPYFSNLRQNMKDLILLLATVASSVPNLKHFGFY CSSPEQINEIHNQSLPQEVARHCMVQARLLAYRTEDHKTGVGAVIWAEAKSRSCDGTGAM YFIGCGYNAFPVGSEYADFPHMDDKHKDREIRKFRYIIHAEQNALTFRCQDIKPEERSMI FVTKCPCDECVPLIKGAGIKQIYAGDVDVGKKKADISYMKFGELEGVRKFTWQLNPSEAY SLDPNEPERREKHLSIKRSH >ENSMUSP00000040975.5 pep:known chromosome:GRCm38:8:85408759:85432841:-1 gene:ENSMUSG00000036934.8 transcript:ENSMUST00000047749.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921524J17Rik description:RIKEN cDNA 4921524J17 gene [Source:MGI Symbol;Acc:MGI:1913964] MSATRAKKVKMATKSCPECDQQIPVACKSCPCGYIFISRKLLNAKHSEKSPPSTENKHEA KRRRTERVRREKINSTVNKDLENRKRSRSNSHSDHIRRGRGRPKSSSAKKHEEEREKQEK EIDIYANLSDEKAFVFSVALAEINRKIINQRLIL >ENSMUSP00000081544.6 pep:known chromosome:GRCm38:7:132557475:132576398:-1 gene:ENSMUSG00000030934.8 transcript:ENSMUST00000084500.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oat description:ornithine aminotransferase [Source:MGI Symbol;Acc:MGI:97394] MLSKLASLQTIAALRRGVHTSVASATSVATKKTEQGPPSSEYIFERESKYGAHNYHPLPV ALERGKGIYMWDVEGRQYFDFLSAYGAVSQGHCHPKIIDAMKSQVDKLTLTSRAFYNNVL GEYEEYITKLFNYNKVLPMNTGVEAGETACKLARRWGYTVKGIQKYKAKIVFADGNFWGR TLSAISSSTDPTSYDGFGPFMPGFETIPYNDLPALERALQDPNVAAFMVEPIQGEAGVIV PDPGYLTGVRELCTRHQVLFIADEIQTGLARTGRWLAVDHENVRPDMVLLGKALSGGLYP VSAVLCDDEIMLTIKPGEHGSTYGGNPLGCRIAIAALEVLEEENLAENADKMGAILRKEL MKLPSDVVTSVRGKGLLNAIVIRETKDCDAWKVCLRLRDNGLLAKPTHGDIIRLAPPLVI KEDEIRESVEIINKTILSF >ENSMUSP00000023061.5 pep:known chromosome:GRCm38:15:79674250:79687872:-1 gene:ENSMUSG00000022426.5 transcript:ENSMUST00000023061.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Josd1 description:Josephin domain containing 1 [Source:MGI Symbol;Acc:MGI:1921408] MSCVPWKGDKAKAESSDLPQAAPPQIYHEKQRRELCALHALNNVFQDSNAFTRETLQEIF QRLSPNTMVTPHKKSMLGNGNYDVNVIMAALQTKGYEAVWWDKRRDVGVIALTNVMGFIM NLPSSLCWGPLKLPLKRQHWICVREVGGAYYNLDSKLKMPEWIGGESELRKFLKYHLRGK NCELLLVVPEEVEAHQSWRADV >ENSMUSP00000137292.1 pep:known chromosome:GRCm38:17:39640957:39645666:1 gene:ENSMUSG00000095104.1 transcript:ENSMUST00000178929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp15 description:exocrine gland secreted peptide 15 [Source:MGI Symbol;Acc:MGI:3647149] MASFPLMYFLIILVFPTILLEGMALKRTEKEPNISADLNDDFEISFIQTIRDRLDLMRML SGSVIGKIYLNYDVVMYCRECSLTM >ENSMUSP00000136090.1 pep:known chromosome:GRCm38:15:85492736:85503227:-1 gene:ENSMUSG00000096607.1 transcript:ENSMUST00000178942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:7530416G11Rik description:RIKEN cDNA 7530416G11 gene [Source:MGI Symbol;Acc:MGI:3036279] MLTKGTAWIAVYRGSAPSDVLNPGESLARIISAPKKGTWRQTQKELCGQRGPAGLPWERL LIGKGFYWGAKDRRRHPESCLPHPFPPSAWDQLQGRQPQACPGFWRAGEGIGIDIEFHVC WSLVSIPRQTVATKQANGWRRKYGAYTQWRFTESPRGTG >ENSMUSP00000075224.3 pep:known chromosome:GRCm38:12:112908590:112929495:-1 gene:ENSMUSG00000002799.5 transcript:ENSMUST00000075827.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jag2 description:jagged 2 [Source:MGI Symbol;Acc:MGI:1098270] MRARGWGRLPRRLLLLLVLCVQATRPMGYFELQLSALRNVNGELLSGACCDGDGRTTRAG GCGRDECDTYVRVCLKEYQAKVTPTGPCSYGYGATPVLGGNSFYLPPAGAAGDRARARSR TGGHQDPGLVVIPFQFAWPRSFTLIVEAWDWDNDTTPDEELLIERVSHAGMINPEDRWKS LHFSGHVAHLELQIRVRCDENYYSATCNKFCRPRNDFFGHYTCDQYGNKACMDGWMGKEC KEAVCKQGCNLLHGGCTVPGECRCSYGWQGKFCDECVPYPGCVHGSCVEPWHCDCETNWG GLLCDKDLNYCGSHHPCVNGGTCINAEPDQYLCACPDGYLGKNCERAEHACASNPCANGG SCHEVPSGFECHCPSGWNGPTCALDIDECASNPCAAGGTCVDQVDGFECICPEQWVGATC QLDANECEGKPCLNAFSCKNLIGGYYCDCLPGWKGINCQININDCHGQCQHGGTCKDLVN GYQCVCPRGFGGRHCELEYDKCASSPCRRGGICEDLVDGFRCHCPRGLSGLHCEVDMDLC EPSPCLNGARCYNLEGDYYCACPEDFGGKNCSVPRDTCPGGACRVIDGCGFEAGSRARGV APSGICGPHGHCVSLPGGNFSCICDSGFTGTYCHENIDDCMGQPCRNGGTCIDEVDSFRC FCPSGWEGELCDINPNDCLPDPCHSRGRCYDLVNDFYCACDDGWKGKTCHSREFQCDAYT CSNGGTCYDSGDTFRCACPPGWKGSTCTIAKNSSCVPNPCVNGGTCVGSGDSFSCICRDG WEGRTCTHNTNDCNPLPCYNGGICVDGVNWFRCECAPGFAGPDCRINIDECQSSPCAYGA TCVDEINGYRCSCPPGRSGPRCQEVVIFTRPCWSRGMSFPHGSSWMEDCNSCRCLDGHRD CSKVWCGWKPCLLSGQPSDPSAQCPPGQQCQEKAVGQCLQPPCENWGECTAEEPLPPSTP CQPRSSHLDNNCARLTLRFNRDQVPQGTTVGAICSGIRALPATRAAAHDRLLLLLCDRAS SGASAVEVAVSFSPARDLPDSSLIQSTAHAIVAAITQRGNSSLLLAVTEVKVETVVMGGS STGLLVPVLCSVFSVLWLACVVICVWWTRKRRKERERSRLPRDESANNQWAPLNPIRNPI ERPGGSGLGTGGHKDILYQCKNFTPPPRRAGEALPGPAGHGAGGEDEEDEELSRGDGDSP EAEKFISHKFTKDPSCSLGRPARWAPGPKVDNRAVRSTKDVRRAGRE >ENSMUSP00000005279.6 pep:known chromosome:GRCm38:14:99298691:99313405:1 gene:ENSMUSG00000005148.7 transcript:ENSMUST00000005279.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf5 description:Kruppel-like factor 5 [Source:MGI Symbol;Acc:MGI:1338056] MPTRVLTMSARLGPLPQPPAAQDEPVFAQLKPVLGAANPARDAALFSGDDLKHAHHHPPA PPPAAGPRLPSEELVQTRCEMEKYLTPQLPPVPIISEHKKYRRDSASVVDQFFTDTEGIP YSINMNVFLPDITHLRTGLYKSQRPCVTQIKTEPVTIFSHQSESTAPPPPPAPTQALPEF TSIFSSHQTTAPPQEVNNIFIKQELPIPDLHLSVPSQQGHLYQLLNTPDLDMPSSTNQTA VMDTLNVSMAGLNPHPSAVPQTSMKQFQGMPPCTYTMPSQFLPQQATYFPPSPPSSEPGS PDRQAEMLQNLTPPPSYAATIASKLAIHNPNLPATLPVNSPTLPPVRYNRRSNPDLEKRR IHFCDYNGCTKVYTKSSHLKAHLRTHTGEKPYKCTWEGCDWRFARSDELTRHYRKHTGAK PFQCMVCQRSFSRSDHLALHMKRHQN >ENSMUSP00000049315.7 pep:known chromosome:GRCm38:8:104961718:104969537:1 gene:ENSMUSG00000031877.8 transcript:ENSMUST00000043183.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces2g description:carboxylesterase 2G [Source:MGI Symbol;Acc:MGI:1919611] MPRNQMHSWLDAVFFGLLLLLGHVQGHDSPETSPIRSTHSGQVQGRLIHVKDTKAGVHTF LGIPFAKPPVGPLRFAPPEAPEPWSGVRDGTSQPAICPQNVTMNMEGLKELKLTLPPVSM SEDCLYLNIYTPAHAQEGSNLPVMVWIHGGALTVGMASMYDGSVLAATEDVVVVAIQYRL GVLGFFSTGDEHARGNWGFLDQVAALRWVQQNIAHFGGNPDRVTIFGESAGGISVSSHVV SPMSKGLFHRAIMESGVALLPGTIFSFSEVVYQTVAKLSGCEAMDSEALVRCLRGKSEEE ILAISKNFQMIPGVVDGEFLPKHPQELLASADFHPVPSIIGFNNDEYGWIVPKVIGSTQT IKGITRENLQAVLKDTAPQMMLPPECSDLLMEEYMEDIEDPKTLQIQFTEMMEDFMFVIP SLQVAYFQRSHAPVYFYEFQHQSSFLKDVRPPHVNADHGDEVPFVFGSFFWGMKLNLTEE EKLLNRRMMKYWANFARHGNPNSESLPYWPVFDHDEQYLQLNIQPAVGQFQKARKLQFWT KTLPRKIEELKRSQNVHKEL >ENSMUSP00000107924.3 pep:known chromosome:GRCm38:17:84956741:85023991:1 gene:ENSMUSG00000061130.12 transcript:ENSMUST00000112305.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1b description:protein phosphatase 1B, magnesium dependent, beta isoform [Source:MGI Symbol;Acc:MGI:101841] MGAFLDKPKTEKHNAHGAGNGLRYGLSSMQGWRVEMEDAHTAVVGIPHGLDNWSFFAVYD GHAGSRVANYCSTHLLEHITTNEDFRAADKSGSALEPSVESVKTGIRTGFLKIDEYMRNF SDLRNGMDRSGSTAVGVMVSPTHMYFINCGDSRAVLCRNGQVCFSTQDHKPCNPVEKERI QNAGGSVMIQRVNGSLAVSRALGDYDYKCVDGKGPTEQLVSPEPEVYEIVRAEEDEFVVL ACDGIWDVMSNEELCEFVKSRLEVSDDLENVCNWVVDTCLHKGSRDNMSVVLVCFSNAPK VSEEAVKRDSELDKHLESRVEEIMQKSGEEGMPDLAHVMRILSAENIPNLPPGGGLAGKR HVIEAVYSRLNPHKDNDGMADLSTSICKPS >ENSMUSP00000107923.2 pep:known chromosome:GRCm38:17:84958001:85017128:1 gene:ENSMUSG00000061130.12 transcript:ENSMUST00000112304.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1b description:protein phosphatase 1B, magnesium dependent, beta isoform [Source:MGI Symbol;Acc:MGI:101841] MGAFLDKPKTEKHNAHGAGNGLRYGLSSMQGWRVEMEDAHTAVVGIPHGLDNWSFFAVYD GHAGSRVANYCSTHLLEHITTNEDFRAADKSGSALEPSVESVKTGIRTGFLKIDEYMRNF SDLRNGMDRSGSTAVGVMVSPTHMYFINCGDSRAVLCRNGQVCFSTQDHKPCNPVEKERI QNAGGSVMIQRVNGSLAVSRALGDYDYKCVDGKGPTEQLVSPEPEVYEIVRAEEDEFVVL ACDGIWDVMSNEELCEFVKSRLEVSDDLENVCNWVVDTCLHKGSRDNMSVVLVCFSNAPK VSEEAVKRDSELDKHLESRVEEIMQKSGEEGMPDLAHVMRILSAENIPNLPPGGGLAGKR HVIEAVYSRLNPHKDNDGGAGDLEDSLVAL >ENSMUSP00000079107.6 pep:known chromosome:GRCm38:17:84958001:85014776:1 gene:ENSMUSG00000061130.12 transcript:ENSMUST00000080217.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1b description:protein phosphatase 1B, magnesium dependent, beta isoform [Source:MGI Symbol;Acc:MGI:101841] MGAFLDKPKTEKHNAHGAGNGLRYGLSSMQGWRVEMEDAHTAVVGIPHGLDNWSFFAVYD GHAGSRVANYCSTHLLEHITTNEDFRAADKSGSALEPSVESVKTGIRTGFLKIDEYMRNF SDLRNGMDRSGSTAVGVMVSPTHMYFINCGDSRAVLCRNGQVCFSTQDHKPCNPVEKERI QNAGGSVMIQRVNGSLAVSRALGDYDYKCVDGKGPTEQLVSPEPEVYEIVRAEEDEFVVL ACDGIWDVMSNEELCEFVKSRLEVSDDLENVCNWVVDTCLHKGSRDNMSVVLVCFSNAPK VSEEAVKRDSELDKHLESRVEEIMQKSGEEGMPDLAHVMRILSAENIPNLPPGGGLAGKR HVIEAVYSRLNPHKDNDGASDEAEEGGSQGKLVEALRQMRVNHRGNYRQLLEEMLTSYRL AKVEGEESPADPAAAAASSNSDGGNPVAMQERDTEGGPAGLDSRNEDAGTKRSAENI >ENSMUSP00000107926.3 pep:known chromosome:GRCm38:17:84993673:85023991:1 gene:ENSMUSG00000061130.12 transcript:ENSMUST00000112307.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1b description:protein phosphatase 1B, magnesium dependent, beta isoform [Source:MGI Symbol;Acc:MGI:101841] MGAFLDKPKTEKHNAHGAGNGLRYGLSSMQGWRVEMEDAHTAVVGIPHGLDNWSFFAVYD GHAGSRVANYCSTHLLEHITTNEDFRAADKSGSALEPSVESVKTGIRTGFLKIDEYMRNF SDLRNGMDRSGSTAVGVMVSPTHMYFINCGDSRAVLCRNGQVCFSTQDHKPCNPVEKERI QNAGGSVMIQRVNGSLAVSRALGDYDYKCVDGKGPTEQLVSPEPEVYEIVRAEEDEFVVL ACDGIWDVMSNEELCEFVKSRLEVSDDLENVCNWVVDTCLHKGSRDNMSVVLVCFSNAPK VSEEAVKRDSELDKHLESRVEEIMQKSGEEGMPDLAHVMRILSAENIPNLPPGGGLAGKR HVIEAVYSRLNPHKDNDGFYQPSIAYSDNVFLL >ENSMUSP00000021810.1 pep:known chromosome:GRCm38:13:48261427:48264036:1 gene:ENSMUSG00000021379.1 transcript:ENSMUST00000021810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Id4 description:inhibitor of DNA binding 4 [Source:MGI Symbol;Acc:MGI:99414] MKAVSPVRPSGRKAPSGCGGGELALRCLAEHGHSLGGSAAAAAAAAAARCKAAEAAADEP ALCLQCDMNDCYSRLRRLVPTIPPNKKVSKVEILQHVIDYILDLQLALETHPALLRQPPP PAPPLHPAGACPVAPPRTPLTALNTDPAGAVNKQGDSILCR >ENSMUSP00000058575.2 pep:known chromosome:GRCm38:19:24898965:24901309:-1 gene:ENSMUSG00000051490.2 transcript:ENSMUST00000058600.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxd4 description:forkhead box D4 [Source:MGI Symbol;Acc:MGI:1347467] MNSARAGHLRSTPPPSPLSSDQDEVEIDVLAEEEDGDQTEEEDDEEESHKCLERSLQRPG ARTLAGRSAGDCGDLSNSSGFLRKFRAPRTPATTTADGPQPAKPPYSYIALITMAILQSP HKRLTLSGICAFISGRFPYYRRKFPAWQNSIRHNLSLNDCFVKIPREPGHPGKGNYWSLD PASQDMFDNGSFLRRRKRFKRHHPPSGGHPHCPFPPPAVPATLHVSQPSLLLRYSAPPQP NLAAHPAAPPRSHPCAPLHPHPMRYLLLAAPAYGDNPRKAEGADPATPLAIPALQPVLGS QPWERDQSSGTRSGRGCASFTIESIMQGVTGGGTGSAQSPSFAPWSYCHLLQHPPCLLHP QAASPLFHMSAGSRTILPQQPQPPLPLQQEQHHCAISCAPGKGVRLGQHLSAVAALLRQQ PAADDGRLTTLAALSGREGTLPEF >ENSMUSP00000092836.4 pep:known chromosome:GRCm38:8:93020214:93048192:-1 gene:ENSMUSG00000071047.4 transcript:ENSMUST00000095211.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces1a description:carboxylesterase 1A [Source:MGI Symbol;Acc:MGI:3648919] MWLFALALASLNTCMALGHLSSPPVVDTLQGKVMGKYISLEGSAQPVAVFLGVPFAKPPL GPLRFAPPQPAETWSSVKNTTSYPPMCSQITGVGPVLSDVFTNQLENVPLEYSEDCLYLN IYSPTDLTSKDRLPVMVWVHGGGLLSGGASTFDGLALSTHENVVIVVIQYRLGIWGFLST GDEHSRGNWGHLDQVAALQWVQNNIANFGGDPSSVTLFGESAGGESVSVLVLSPLTKNLF QRAISESGVALTPCLFRETTRRAAEQVAIATGCVATTSADIVHCLREKTEEELLATTLKM KFFALDLLGDPRESYPFLTTVIDGVVLPKAPEEILAEKSFNTVPYIVGINKQEFGWFIPM MMGYPLSEGKLDQKSATSLLWKSYPLTNISKELTPVATEKYLGGSDCPDKKKDLFLDMMG DVLFAVPSVIVARYHRDAGAPTYMYEFKYHPSFVSDMRPKTVIADHGDEVYSVWGTPFLK EGASEEEINLSTMMMKFWGNFARNGNPNGEGLPHWPEYGEKESYLQIGATTQQAQRLKDK EVAFWTSLRAMEVGEATKGDTQR >ENSMUSP00000067579.5 pep:known chromosome:GRCm38:19:29101375:29143843:1 gene:ENSMUSG00000024785.6 transcript:ENSMUST00000064393.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcl1 description:RNA terminal phosphate cyclase-like 1 [Source:MGI Symbol;Acc:MGI:1913275] MATQAHSLSYAGCNFLRQRLVLSTLSGRPVKIRRIRARDDNPGLRDFEASFIRLLDKITN GSRIEINQTGTTLYYQPGLLYGGSVEHDCSVLRGIGYYLEALLCLAPFMKHPLKIVLRGV TNDQVDPSVDVLKATALPLLKQFGIDGESFELKILRRGMPPGGGGEVLFSCPVRKVLKPV QLTDPGKIKRIRGMAYSVRVSPQMANRIVDSARSILNKFIPDIYIYTDHMKGVSSGKSPG FGLSLVAETTNGTFLSAELASNPQGQGAAVLPEDLGRNCAKLLLEEIYRGGCVDSTNQSL VLLLMTLGQQDVSKVLLGPLSPYTIEFLRHLKSFFQVMFKVETKPCGEELKGGDKVLMTC VGIGFSNLSKTLK >ENSMUSP00000038958.3 pep:known chromosome:GRCm38:19:10525244:10547735:1 gene:ENSMUSG00000034820.3 transcript:ENSMUST00000038379.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf7 description:cleavage and polyadenylation specific factor 7 [Source:MGI Symbol;Acc:MGI:1917826] MSEGVDLIDIYADEEFNQDSEFNNTDQIDLYDDVLTAASQPSDDRSSSTEPPPPVRQEPA PKPNNKTPAILYTYSGLRSRRAAVYVGSFSWWTTDQQLIQVIRSIGVYDVVELKFAENRA NGQSKGYAEVVVASENSVHKLLELLPGKVLNGEKVDVRPATRQNLSQFEAQARKRECVRV PRGGIPPRAHSRDSSDSADGRATPSENLVPSSARVDKPPSVLPYFNRPPSALPLMGLPPP PIPPPPPLSSSFGVPPPPPGIHYQHLMPPPPRLPPHLAVPPPGAIPPALHLNPAFFPPPN ATVGPPPDTYMKASTPYNHHGSRDSGPPPSTVSEAEFEEIMKRNRAISSSAISKAVSGAS AGDYSDAIETLLTAIAVIKQSRVANDERCRVLISSLKDCLHGIEAKSYSVGASGSSSRKR HRSRERSPSRSRESSRRHRDLLHNEDRHDDYFQERNREHERHRDRERDRHH >ENSMUSP00000034215.6 pep:known chromosome:GRCm38:8:94179089:94180325:1 gene:ENSMUSG00000031765.7 transcript:ENSMUST00000034215.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mt1 description:metallothionein 1 [Source:MGI Symbol;Acc:MGI:97171] MDPNCSCSTGGSCTCTSSCACKNCKCTSCKKSCCSCCPVGCSKCAQGCVCKGAADKCTCC A >ENSMUSP00000021380.8 pep:known chromosome:GRCm38:12:59043093:59061461:-1 gene:ENSMUSG00000020993.8 transcript:ENSMUST00000021380.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc6b description:trafficking protein particle complex 6B [Source:MGI Symbol;Acc:MGI:1925482] MADEALFLLLHNEMVSGVYKSAEQGEVENGRCVTKLESMGFRVGQGLIERFTKDTARFKD ELDIMKFICKDFWTTVFKKQIDNLRTNHQGIYVLQDNKFRLLIQLSAGKQYLEHASKYLA FTCGLIRGGLSNLGIKSIVTAEVSSMPACKFQVMIQKL >ENSMUSP00000034378.3 pep:known chromosome:GRCm38:8:106168875:106198704:1 gene:ENSMUSG00000031904.4 transcript:ENSMUST00000034378.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a6 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 6 [Source:MGI Symbol;Acc:MGI:2142598] MEAQELGSPTPTYHLLPKANQHTVKEDAGSPSQGSPETMQLKKEISLLNGVSLVVGNMIG SGIFVSPKGVLKYTASYGLSLIVWAIGGLFSVVGALCYAELGTTITKSGASYAYILEAFG GFIAFIRLWVSLLIVEPTSQAIIAITFANYIIKPSFPTCDPPYVACRLLAAACVCLLTFV NCAYVKWGTRVQDTFTYAKVLALIAIIIMGLVKLCQGHTEHFQDAFKGSSWNVGDLSLAL YSALFSYSGWDTLNFVTEEIKNPERNLPLAIGISMPIVTLIYILTNVAYYTVLNIQDVHK SDAVAVTFADQTFGMFSWTIPIAVALSCFGGLNASIFASSRLFFVGSREGHLPNLLSMIH IERFTPVPALLFNCTMTLIYLVVKDVFLLINYFSFSYWFFVGLSVVGQLYLRWKEPDWPR PLKLSLFFPIVFCVCSLFLVAVPLFSDTINSLIGIGIALSGVPVYFLGVYLPESRRPLFI RNVLATVTRVTQKLCFCVLTELDVTEEKNVERKTD >ENSMUSP00000108375.2 pep:known chromosome:GRCm38:X:148613253:148615153:-1 gene:ENSMUSG00000079374.2 transcript:ENSMUST00000112755.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8334 description:predicted gene 8334 [Source:MGI Symbol;Acc:MGI:3648921] RDTVEFKGHASGASGPHILKGPSLAGGITRILSLEGEMAAAGGGVKSVAGEDLAVPFDPE GSRGPDIELGHGRPLTRSQRGVSQTPKGQKGGQGGQGGKGNKGLNGPPPPQTQSSSKGKQ PSQNLRAERAGGPPLPGARAAPTRSQPQPSSSRSSGLSSSHHFERSVGNLEAPESTLIST TATAAATSLGFVAFHQALHTGAGPRSHVPGSSHAGRDPSTPAGQEWPQARAHEEDDDSDG DDSEDPRGSSSTEGLVLRSRVVPHPSSAAEVVFLEACPETQRASWNLHPRPTARVPVAHS SSTRSRSQRGSSHATPSQGRSTRSSSQRGSSSATLSRGRSTSSSSQRGSSPATPSRGRST RSSSQRGSSHATPSRGRSTRSSSQRGSSHATPSRGRSTRSSSQRGSSHATLSRGRSTSSS SQRGSSRITPSRGRSTRSSFQIRSSLIRFQGEPPEQPVSPPPRRPVHMGASSSFPSCSLD PFPGQYYKGASSSSSQFSCVSSSYSFPNKSQDRGSSPALSSVLGPSPNTLWQALIPDLDY LDSSSSGESEEEI >ENSMUSP00000014920.6 pep:known chromosome:GRCm38:8:105276447:105281939:1 gene:ENSMUSG00000014776.6 transcript:ENSMUST00000014920.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol3 description:nucleolar protein 3 (apoptosis repressor with CARD domain) [Source:MGI Symbol;Acc:MGI:1925938] MGNVQERPSETIDRERKRLVETLQADSGLLLDALVARGVLTGPEYEALDALPDAERRVRR LLLLVQSKGEAACQELLRCAQQTVRMPDPAWDWQHVGPGYRNRSYDPSCPGHWTPEAPSS GTTCPELPRASEQEEVGGPEGSEALQPRTPEEPELEAEATEGDEPDLEQEMNPEQEPEPE PEPEPEPEPEPEPEPEPEPEPEPEPEPEPDFQEEDESEDS >ENSMUSP00000135921.1 pep:novel scaffold:GRCm38:GL456210.1:108390:110303:-1 gene:ENSMUSG00000095092.1 transcript:ENSMUST00000177562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC125149.5 MFTLTKALEKALLQHFIYMKVNITYAINKPFPFFEALRDKSFITERMYKESLEACQNLVP LSKVVHNILTSLEQTFHPSVLLTLFSKVNLREYPSLVAIFRSFRNGNVVSPILVTCAVVL Q >ENSMUSP00000108963.2 pep:known chromosome:GRCm38:17:46928292:47010532:-1 gene:ENSMUSG00000023977.14 transcript:ENSMUST00000113337.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr2 description:ubiquitin protein ligase E3 component n-recognin 2 [Source:MGI Symbol;Acc:MGI:1861099] MASEMEPEVQAIDRSLLECSAEEIAGRWLQATDLNREVYQHLAHCVPKIYCRGPNPFPQK EDTLAQHILLGPMEWYICAEDPALGFPKLEQANKPSHLCGRVFKVGEPTYSCRDCAVDPT CVLCMECFLGSIHRDHRYRMTTSGGGGFCDCGDTEAWKEGPYCQKHKLSSSEVVEEEDPL VHLSEDVIARTYNIFAIMFRYAVDILTWEKESELPEDLEVAEKSDTYYCMLFNDEVHTYE QVIYTLQKAVNCTQKEAIGFATTVDRDGRRSVRYGDFQYCDQAKTVIVRNTSRQTKPLKV QVMHSSVAAHQNFGLKALSWLGSVIGYSDGLRRILCQVGLQEGPDGENSSLVDRLMLNDS KLWKGARSVYHQLFMSSLLMDLKYKKLFALRFAKNYRQLQRDFMEDDHERAVSVTALSVQ FFTAPTLARMLLTEENLMTVIIKAFMDHLKHRDAQGRFQFERYTALQAFKFRRVQSLILD LKYVLISKPTEWSDELRQKFLQGFDAFLELLKCMQGMDPITRQVGQHIEMEPEWEAAFTL QMKLTHVISMVQDWCALDEKVLIEAYKKCLAVLTQCHGGFTDGEQPITLSICGHSVETIR YCVSQEKVSIHLPISRLLAGLHVLLSKSEVAYKFPELLPLSELSPPMLIEHPLRCLVLCA QVHAGMWRRNGFSLVNQIYYYHNVKCRREMFDKDIVMLQTGVSMMDPNHFLMIMLSRFEL YQLFSTPDYGKRFSSEVTHKDVVQQNNTLIEEMLYLIIMLVGERFNPGVGQVAATDEIKR EIIHQLSIKPMAHSELVKSLPEDENKETGMESVIESVAHFKKPGLTGRGMYELKPECAKE FNLYFYHFSRAEQSKAEEAQRKLKRENKEDTALPPPALPPFCPLFASLVNILQCDVMLYI MGTILQWAVEHHGSAWSESMLQRVLHLIGMALQEEKHHLENAVEGHVQTFTFTQKISKPG DAPHNSPSILAMLETLQNAPSLEAHKDMIRWLLKMFNAIKKIRECSSSSPVAEAEGTIME ESSRDKDKAERKRKAEIARLRREKIMAQMSEMQRHFIDENKELFQQTLELDTSASATLDS SPPVSDAALTALGPAQTQVPEPRQFVTCILCQEEQEVTVGSRAMVLAAFVQRSTVLSKDR TKTIADPEKYDPLFMHPDLSCGTHTGSCGHVMHAHCWQRYFDSVQAKEQRRQQRLRLHTS YDVENGEFLCPLCECLSNTVIPLLLPPRSILSRRLNFSDQPDLAQWTRAVTQQIKVVQML RRKHNAADTSSSEDTEAMNIIPIPEGFRPDFYPRNPYSDSIKEMLTTFGTAAYKVGLKVH PNEGDPRVPILCWGTCAYTIQSIERILSDEEKPVFGPLPCRLDDCLRSLTRFAAAHWTVA LLPVVQGHFCKLFASLVPSDSYEDLPCILDIDMFHLLVGLVLAFPALQCQDFSGSSLATG DLHIFHLVTMAHIVQILLTSCTEENGMDQENPTGEEELAILSLHKTLHQYTGSALKEAPS GWHLWRSVRAAIMPFLKCSALFFHYLNGVPAPPDLQVSGTSHFEHLCNYLSLPTNLIHLF QENSDIMNSLIESWCQNSEVKRYLNGERGAISYPRGANKLIDLPEDYSSLINQASNFSCP KSGGDKSRAPTLCLVCGSLLCSQSYCCQAELEGEDVGACTAHTYSCGSGAGIFLRVRECQ VLFLAGKTKGCFYSPPYLDDYGETDQGLRRGNPLHLCQERFRKIQKLWQQHSITEEIGHA QEANQTLVGIDWQHL >ENSMUSP00000108961.2 pep:known chromosome:GRCm38:17:46928292:47010532:-1 gene:ENSMUSG00000023977.14 transcript:ENSMUST00000113335.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr2 description:ubiquitin protein ligase E3 component n-recognin 2 [Source:MGI Symbol;Acc:MGI:1861099] MASEMEPEVQAIDRSLLECSAEEIAGRWLQATDLNREVYQHLAHCVPKIYCRGPNPFPQK EDTLAQHILLGPMEWYICAEDPALGFPKLEQANKPSHLCGRVFKVGEPTYSCRDCAVDPT CVLCMECFLGSIHRDHRYRMTTSGGGGFCDCGDTEAWKEGPYCQKHKLSSSEVVEEEDPL VHLSEDVIARTYNIFAIMFRYAVDILTWEKESELPEDLEVAEKSDTYYCMLFNDEVHTYE QVIYTLQKAVNCTQKEAIGFATTVDRDGRRSVRYGDFQYCDQAKTVIVRNTSRQTKPLKV QVMHSSVAAHQNFGLKALSWLGSVIGYSDGLRRILCQVGLQEGPDGENSSLVDRLMLNDS KLWKGARSVYHQLFMSSLLMDLKYKKLFALRFAKNYERLQRDYVTDDHDREFSVADLSVQ IFTVPSLARMLLTEENLMTVIIKAFMDHLKHRDAQGRFQFERYTALQAFKFRRVQSLILD LKYVLISKPTEWSDELRQKFLQGFDAFLELLKCMQGMDPITRQVGQHIEMEPEWEAAFTL QMKLTHVISMVQDWCALDEKVLIEAYKKCLAVLTQCHGGFTDGEQPITLSICGHSVETIR YCVSQEKVSIHLPISRLLAGLHVLLSKSEVAYKFPELLPLSELSPPMLIEHPLRCLVLCA QVHAGMWRRNGFSLVNQIYYYHNVKCRREMFDKDIVMLQTGVSMMDPNHFLMIMLSRFEL YQLFSTPDYGKRFSSEVTHKDVVQQNNTLIEEMLYLIIMLVGERFNPGVGQVAATDEIKR EIIHQLSIKPMAHSELVKSLPEDENKETGMESVIESVAHFKKPGLTGRGMYELKPECAKE FNLYFYHFSRAEQSKAEEAQRKLKRENKEDTALPPPALPPFCPLFASLVNILQCDVMLYI MGTILQWAVEHHGSAWSESMLQRVLHLIGMALQEEKHHLENAVEGHVQTFTFTQKISKPG DAPHNSPSILAMLETLQNAPSLEAHKDMIRWLLKMFNAIKKIRECSSSSPVAEAEGTIME ESSRDKDKAERKRKAEIARLRREKIMAQMSEMQRHFIDENKELFQQTLELDTSASATLDS SPPVSDAALTALGPAQTQVPEPRQFVTCILCQEEQEVTVGSRAMVLAAFVQRSTVLSKDR TKTIADPEKYDPLFMHPDLSCGTHTGSCGHVMHAHCWQRYFDSVQAKEQRRQQRLRLHTS YDVENGEFLCPLCECLSNTVIPLLLPPRSILSRRLNFSDQPDLAQWTRAVTQQIKVVQML RRKHNAADTSSSEDTEAMNIIPIPEGFRPDFYPRNPYSDSIKEMLTTFGTAAYKVGLKVH PNEGDPRVPILCWGTCAYTIQSIERILSDEEKPVFGPLPCRLDDCLRSLTRFAAAHWTVA LLPVVQGHFCKLFASLVPSDSYEDLPCILDIDMFHLLVGLVLAFPALQCQDFSGSSLATG DLHIFHLVTMAHIVQILLTSCTEENGMDQENPTGEEELAILSLHKTLHQYTGSALKEAPS GWHLWRSVRAAIMPFLKCSALFFHYLNGVPAPPDLQVSGTSHFEHLCNYLSLPTNLIHLF QENSDIMNSLIESWCQNSEVKRYLNGERGAISYPRGANKLIDLPEDYSSLINQASNFSCP KSGGDKSRAPTLCLVCGSLLCSQSYCCQAELEGEDVGACTAHTYSCGSGAGIFLRVRECQ VLFLAGKTKGCFYSPPYLDDYGETDQGLRRGNPLHLCQERFRKIQKLWQQHSITEEIGHA QEANQTLVGIDWQHL >ENSMUSP00000064570.6 pep:known chromosome:GRCm38:13:104287873:104494763:1 gene:ENSMUSG00000046169.9 transcript:ENSMUST00000065766.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts6 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 6 [Source:MGI Symbol;Acc:MGI:1347348] MEISWKTLTWILSLIMASSEFYSDHRLSYSSQEEFLTYLEHYQLTIPIRVDQNGAFLSFT VKNDKHSRRRRSMDLLDPQQAVSKLFFKLSAYGKHFHLNLTLNTNFVSKHFTVEYWGKDG PQWKHDFLDNCHYTGYLQDQHSTTKVALSNCVGLHGIIATEDEEYFIEPLKNTTEDSKHF SYENGHPHVIYKKSTLQQQHIYDHSHCGVSDLTGSGKPWWLNKTSSFPSLPPINDTHIHH RKRRSVSTERFVETLVVADKMMVGYHGRKDIEHYILSVMNIVAKLYRDSSLGNVVNIIVA RLIVLTEDQPNLEINHHADKSLDSFCKWQKSILSHQSDGNTIPENGIAHHDNAVLITRYD ICTYKNKPCGTLGLASVAGMCEPERSCSINEDIGLGSAFTIAHEIGHNFGMNHDGIGNSC GTKGHEAAKLMAAHITANTNPFSWSACSRDYITSFLDSGRGTCLDNEPPKRDFLYPAVAP GQVYDADEQCRFQYGATSRQCKYGEVCRELWCLSKSNRCVTNSIPAAEGTLCQTGNIEKG WCYQGDCVPFGTWPQSIDGGWGSWSLWGECSRTCGGGVSSSLRHCDSPAPSGGGKYCLGE RKRYRSCNTDPCPLGSRDFREKQCADFDSMPFRGKHYNWKPYTGGGVKPCALNCLAEGYN FYTERAPAVIDGTQCNADSLDICINGECKHVGCDNILGSDAREDRCRVCGGDGSTCDAIE GFFNDSLPRGGYMEVVQIPRGSVHIEVREVSMSKNYIALKSEGDDYYINGAWTIDWPRKF DVSGTAFHYKRPTDEPESLEALGPTSENLIVMVLLQEQNLGIRYKFNVPIVRTGSGDNEV GFMWTYQPWAECSATCAGGVQRQEVVCKRLDDNSIVQNNFCDPDSKPPENQRACNTEPCP PEWFIGDWLECSKTCDGGMRTRAVLCIRKVGPSEEETLDYGDCLTHRPVEKESCNNQSCP PQWVALDWSECTPKCGSGFKHRIVLCKSSDLSKTFPAAQCPEESKPPARIRCSLGRCPPP RWVTGDWGQCSAQCGLGQQMRTVQCLSYTGQASVDCPETVRPPSMQQCDSKCDSTPLSST EECKDVNKVAYCPLVLKFKFCSRAYFRQMCCKTCQGH >ENSMUSP00000006914.9 pep:known chromosome:GRCm38:10:127165156:127172340:1 gene:ENSMUSG00000006731.9 transcript:ENSMUST00000006914.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galnt1 description:beta-1,4-N-acetyl-galactosaminyl transferase 1 [Source:MGI Symbol;Acc:MGI:1342057] MRLDRRALYALVLLLACASLGLLYSSTRNAPSLPNPLALWSPPQGPPRLDLLDLAPEPRY AHIPVRIKEQVVGLLAQNNCSCESKGGSLPLPFLRQVRAVDLTKAFDAEELRAVSVAREQ EYQAFLARSRSLADQLLIAPANSPLQYPLQGVEVQPLRSILVPGLSLQEASVQEIYQVNL SASLGTWDVAGEVTGVTLTGEGQPDLTLASPVLDKLNRQLQLVTYSSRSYQANTADTVRF STKGHEVAFTILVRHPPNPRLYPPSSLPQGAEYNISALVTIATKTFLRYDRLRTLIASIR RFYPTVTIVIADDSDKPERISDPHVEHYFMPFGKGWFAGRNLAVSQVTTKYVLWVDDDFV FTARTRLEKLVDVLEKTPLDLVGGAVREISGYATTYRQLLSVEPGAPGLGNCFRQKQGFH HELVGFPSCVVTDGVVNFFLARTDKVRQVGFDPRLNRVAHLEFFLDGLGFLRVGSCSDVV VDHASKVKLPWTAKDPGAETYARYRYPGSLDQSQVAKHRLLFFKHRLQCMTAE >ENSMUSP00000097134.2 pep:known chromosome:GRCm38:19:26885925:26888639:1 gene:ENSMUSG00000074913.2 transcript:ENSMUST00000099536.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm815 description:predicted gene 815 [Source:MGI Symbol;Acc:MGI:2685661] MMALSESLGTMLLCCVEAHAMGFQNTSFSTFRTASHTFFPHCASREEGQSDTHWGPASPS FLHIDPGGRCQWNSSIGCISPRCHMQNGLWVVARLTSALFVEIQAAYRALPLETT >ENSMUSP00000040412.7 pep:known chromosome:GRCm38:17:57105385:57107757:1 gene:ENSMUSG00000035678.7 transcript:ENSMUST00000039490.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf9 description:tumor necrosis factor (ligand) superfamily, member 9 [Source:MGI Symbol;Acc:MGI:1101058] MDQHTLDVEDTADARHPAGTSCPSDAALLRDTGLLADAALLSDTVRPTNAALPTDAAYPA VNVRDREAAWPPALNFCSRHPKLYGLVALVLLLLIAACVPIFTRTEPRPALTITTSPNLG TRENNADQVTPVSHIGCPNTTQQGSPVFAKLLAKNQASLCNTTLNWHSQDGAGSSYLSQG LRYEEDKKELVVDSPGLYYVFLELKLSPTFTNTGHKVQGWVSLVLQAKPQVDDFDNLALT VELFPCSMENKLVDRSWSQLLLLKAGHRLSVGLRAYLHGAQDAYRDWELSYPNTTSFGLF LVKPDNPWE >ENSMUSP00000074248.1 pep:known chromosome:GRCm38:9:38084838:38085767:1 gene:ENSMUSG00000096167.1 transcript:ENSMUST00000074681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr887 description:olfactory receptor 887 [Source:MGI Symbol;Acc:MGI:3030721] MGFGNDSSVKEFILLGLTQQPELQLPLFFLFLGIYVVSIVGNLGLIVLIVLNPHLHTPMY YFLFNLSFVDFCYSSVITPKMLVSFVTQNIISHAECMTQLFFFAFFVIDECYILTAMAYD RYAAICKPLLYQVTMSHQVCHFMMMGVVVMGSVGAVAHIICMLRLTFCDGNIINHYMCDI PPLLKLSCTSTYINELVVFIIVGFNVTVPILTIFISYTLILSNILSIHSAEGRSKAFSTC GSHVIAVSIFFGSLAFMYLKPSSASVDDDKISTIFYTIVGPMLNPFIYSLRNKDVHIAMR KTLKKGMFA >ENSMUSP00000075824.1 pep:known chromosome:GRCm38:9:38349085:38350035:1 gene:ENSMUSG00000094588.1 transcript:ENSMUST00000076504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr898 description:olfactory receptor 898 [Source:MGI Symbol;Acc:MGI:3030732] MRVMKQMVSESNYSVTEFIFMGLTVQREFQLPLFVLFLLNYTATVVGNLSLMNLICLNSH LHTPMYFFIFNLSCIDFCYSLVCNPTMLMSFVSEHNTISYAGCMSQLFLFCFFANSECYV LTAMAYDRYVAICHPLKYTTVMSPKICSLLVFGSYLMGFAGAMTHTGFMIRLSFCNSNII NHYMCDIFPLLQLSCTSTYVNELVSSAVVGTIIILSSLIILVSYAMILSNILHMSSSKGW SKALGTCGSHIITVSLFYGSGLLAYVKPSSAETVGQGKIFSVFYTLVVPMLNPLIYSLRN KDVKLAVKRTMKRVTS >ENSMUSP00000041809.4 pep:known chromosome:GRCm38:15:99470084:99474730:-1 gene:ENSMUSG00000037525.4 transcript:ENSMUST00000040313.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcdin3d description:BCDIN3 domain containing [Source:MGI Symbol;Acc:MGI:1922534] MAADGTLSRGGVGEAVEEEHPGALEPGAAPFGNFPHYSRFHPPEQRLRLLPPELLRQLFP PEGPEKRPILGLDVGCNSGDLSVALYKHFLSPRDGETCSGASRELRILCCDIDPVLVERA ERDCPFPEALTFITLDIMDQESRKVPLSSFLSQFGRSVFDMVFCMSVTMWIHLNHGDRGL CEFLAHVSSLCSYLLVEPQPWKCYRAAARRLRKLGLHSFDHFRSLAIRGDMAKQIVRILT QDHGMELACCFGNTSWDRSLLLFRAKHTHETQAIPESSTKETRTD >ENSMUSP00000013970.7 pep:known chromosome:GRCm38:10:127197067:127211622:-1 gene:ENSMUSG00000025417.7 transcript:ENSMUST00000013970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pip4k2c description:phosphatidylinositol-5-phosphate 4-kinase, type II, gamma [Source:MGI Symbol;Acc:MGI:2152214] MASSSVPPATAPAAAGGPGPGFGFASKTKKKHFVQQKVKVFRAADPLVGVFLWGVAHSIN ELSQVPPPVMLLPDDFKASSKIKVNNHFFHRENLPSHFKFKEYCPQVFRNLRDRFAIDDH DYLVSLTRSPPSETEGSDGRFLISYDRTLVIKEVSSEDIADMHSNLSNYHQYIVKCHGNT LLPQFLGMYRVSVENEDSYMLVMRNMFSHRLPVHRKYDLKGSLVSREASDKEKVKELPTL KDMDFLNKNQKVYIGEEEKKVFLEKLKRDVEFLVQLKIMDYSLLLGIHDIIRGSEPEEEG PVREEESEWDGDCNLAGPPALVGSYGTSPEGIGGYIHSHRPLGPGEFESFIDVYAIRSAE GAPQKEVYFMGLIDILTQYDAKKKAAHAAKTVKHGAGAEISTVHPEQYAKRFLDFIANIF A >ENSMUSP00000045478.6 pep:known chromosome:GRCm38:19:45015176:45027104:1 gene:ENSMUSG00000035342.13 transcript:ENSMUST00000039016.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzts2 description:leucine zipper, putative tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:2385095] MAIVHTLPVPLEPARETATAPKTPAMGSVSSLISGRPCPGGPAPQRHHGVPGPTFFRQQD GLLPGGYEAQEPLCPAVPPRKAVPGNSFTYVNEDFRTESPPSPSSDVEDPREHQAHNAHL RGPPPKLIPVSGKLEKNMEKILIRPTAFKPVLPKPRGAPSLPGFLGPRAAGLSGSQGSLT QLFGGPASSSSSSSSSSAADKPLALSGWASGCPSGTLSDSGRNSLSSLPTYSTGGAEPTT NSPGGHLPSHGPGRGALPGPARGVPTGPSHSDSGRSSSSKSTGSLGGRVAGGLLGSGARA SPGSSSGGDRSPPPPPPPPPSDEALLHCVLEGKLRDREAELQQLRDSMDESEATVCQAFG ARQRRWPRERGEDCAAQAQQATQRVQRAQQLLQLQVFQLQQEKRQLQDDFAQLLQEREQL ERRCATFEREQRELGPRLEETKWEVCQKSGEISLLKQQLKESQAELVQKGSELVALRVAL REARATLRVSEGRARGLQEAARAREQELEACSQELQRYRQEAERLREKAGHLDAEASGLR DPPVPPATTDPFLLAESDEAKVQRAAAGAGGSLRAQVERLRQELQREQRRGDEQRDSFEG ERLAWQAEKEQVIRYQKQLQHNYIQMYRRNRQLEQELQQLSLELEARELADLGLAESAPC ICLEEITATEI >ENSMUSP00000137571.1 pep:known chromosome:GRCm38:19:45015210:45027104:1 gene:ENSMUSG00000035342.13 transcript:ENSMUST00000179108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzts2 description:leucine zipper, putative tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:2385095] MAIVHTLPVPLEPARETATAPKTPAMGSVSSLISGRPCPGGPAPQRHHGVPGPTFFRQQD GLLPGGYEAQEPLCPAVPPRKAVPGNSFTYVNEDFRTESPPSPSSDVEDPREHQAHNAHL RGPPPKLIPVSGKLEKNMEKILIRPTAFKPVLPKPRGAPSLPGFLGPRAAGLSGSQGSLT QLFGGPASSSSSSSSSSAADKPLALSGWASGCPSGTLSDSGRNSLSSLPTYSTGGAEPTT NSPGGHLPSHGPGRGALPGPARGVPTGPSHSDSGRSSSSKSTGSLGGRVAGGLLGSGARA SPGSSSGGDRSPPPPPPPPPSDEALLHCVLEGKLRDREAELQQLRDSMDESEATVCQAFG ARQRRWPRERGEDCAAQAQQATQRVQRAQQLLQLQVFQLQQEKRQLQDDFAQLLQEREQL ERRCATFEREQRELGPRLEETKWEVCQKSGEISLLKQQLKESQAELVQKGSELVALRVAL REARATLRVSEGRARGLQEAARAREQELEACSQELQRYRQEAERLREKAGHLDAEASGLR DPPVPPATTDPFLLAESDEAKVQRAAAGAGGSLRAQVERLRQELQREQRRGDEQRDSFEG ERLAWQAEKEQVIRYQKQLQHNYIQMYRRNRQLEQELQQLSLELEARELADLGLAESAPC ICLEEITATEI >ENSMUSP00000136405.1 pep:known chromosome:GRCm38:19:45018135:45027104:1 gene:ENSMUSG00000035342.13 transcript:ENSMUST00000178087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lzts2 description:leucine zipper, putative tumor suppressor 2 [Source:MGI Symbol;Acc:MGI:2385095] MAIVHTLPVPLEPARETATAPKTPAMGSVSSLISGRPCPGGPAPQRHHGVPGPTFFRQQD GLLPGGYEAQEPLCPAVPPRKAVPGNSFTYVNEDFRTESPPSPSSDVEDPREHQAHNAHL RGPPPKLIPVSGKLEKNMEKILIRPTAFKPVLPKPRGAPSLPGFLGPRAAGLSGSQGSLT QLFGGPASSSSSSSSSSAADKPLALSGWASGCPSGTLSDSGRNSLSSLPTYSTGGAEPTT NSPGGHLPSHGPGRGALPGPARGVPTGPSHSDSGRSSSSKSTGSLGGRVAGGLLGSGARA SPGSSSGGDRSPPPPPPPPPSDEALLHCVLEGKLRDREAELQQLRDSMDESEATVCQAFG ARQRRWPRERGEDCAAQAQQATQRVQRAQQLLQLQVFQLQQEKRQLQDDFAQLLQEREQL ERRCATFEREQRELGPRLEETKWEVCQKSGEISLLKQQLKESQAELVQKGSELVALRVAL REARATLRVSEGRARGLQEAARAREQELEACSQELQRYRQEAERLREKAGHLDAEASGLR DPPVPPATTDPFLLAESDEAKVQRAAAGAGGSLRAQVERLRQELQREQRRGDEQRDSFEG ERLAWQAEKEQVIRYQKQLQHNYIQMYRRNRQLEQELQQLSLELEARELADLGLAESAPC ICLEEITATEI >ENSMUSP00000047063.1 pep:known chromosome:GRCm38:19:3708333:3717881:1 gene:ENSMUSG00000035372.1 transcript:ENSMUST00000039048.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810055G02Rik description:RIKEN cDNA 1810055G02 gene [Source:MGI Symbol;Acc:MGI:1919306] MWTALVLVWISSVLLPRSHMMSAEPRNIVTNKWPKAVNQSMLRDTVPRTDNTFTERTAIV PPAPVTLTTETWAATLNSTRVTAEVTTHGTNTSTPTTREGTTDRVTSRTLAVPTSSGPSS AEQTRPTTIAGLPSLSTPHAEVPRTNASVSPRTAMAATVAPHTATLAAGTVNTSDPHTRT PSPAKSTPTDTSSKNPIPTSGAQIQGTTVQLTTDQPVHSTAGRSALSPSNATLEPTTTQV QTKEPSASTVPARATSLSPDVDVISPTTQPSPTLPTQGTGGPGTLLTTEQVGTKTTSGTA SAGPTSRSSGDIKVPTTDSCQPSTQGQYLVTIDALTPSLVNKMLLLVVLLVGVTLFIAVL VMFALQAYESYKKKDYTQVDYLINGMYADSEM >ENSMUSP00000040083.4 pep:known chromosome:GRCm38:13:112987802:112990778:1 gene:ENSMUSG00000042417.4 transcript:ENSMUST00000038404.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccno description:cyclin O [Source:MGI Symbol;Acc:MGI:2145534] MVTPCPASPGSPAAGAGRRDSHQNLRAPVKKSRRPCLRRKKPLRPLNACSLPGDSGVCDL FESPSSSSDGADSPAVSAARDCSSLLNPAQPLTALDLQTFREYGQSCYDFRKAQENLFHP RESLARQPQVTAESRCKLLSWLLQVHRQFGLSFESLCLTVNTLDRFLLTTPVAADCFQLL GVTCLLIACKQVEVHPPRLKQLLALCGGAFSRQQLCNLECIVLHKLHFSLGAPTINFFLE HFTQWRMEAGQAEVTEALEAQTLARGVAELSLTDYAFTTYTPSLMAICCLALADGLLQHQ HEMDLRLGEHPEATLQDCLGKLQTLVSINSSSLPRILPPQIWERCSLPQSWQ >ENSMUSP00000037915.5 pep:known chromosome:GRCm38:9:64811340:64919667:1 gene:ENSMUSG00000053641.8 transcript:ENSMUST00000038890.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd4a description:DENN/MADD domain containing 4A [Source:MGI Symbol;Acc:MGI:2142979] MIEDKGPRVADYFVVAGLTDISKPLEEEIHFNDACHKVAKPKEPITDVSVIIKSLGEEVP RDYVCIDVTPTGLSADLNNGSLVGPQIYLCYKRGRDKPPLTDLGVLYDWKERLKQGCEII QSTPYGRPANISGSTSSQRIYITYRRASENTTQNTLAVTDICIIIPSKGESPPHTFCKVD KNLSNSMWGSAVYLCYKKSVAKTNTISYKAGLICRYPQEDYESFSLPESVPLFCLPMGAT IECWPPDSKYPLPVFSTFVLTGASAEKVYGAAIQFYEPYPEENLTEKQRLLLGLTSLVDG KSDSTRTIHTNKCICLLSHWPFFDAFRKFLTFLYRYSISGPHALPIEKHISHFMHKVPFP SPQRPRILVQLSPHDNLILSQPVSSPLPLSGGKFSTLLQNLGPENAVTLLVFAVTEHKIL IHSLRPSVLTSVTEALVSMIFPFHWPCPYVPLCPLALADVLSAPCPFIVGIDSRYFDLYD PPPDVSCVDLDTNTISQTGDKKNVAWKILPKKPCKNLMNTLNNLHQQLAKLQQRPRDDGL MDLAMNDYDFNSGKRLHMIDLEIQEAFLFFMASILKGYRSYLRPITQAPSETATDATSLF ALQAFLRSRDRSHQKFYNMMTKTQMFIRFIEECSFVSDKDASLAFFDDCVDKVDTDKSGE VRLIELDASFKSEHTVFVTPPEIPHLPNGEEPPLQYSYNGFPVLRNNLFERPEGFLQARK NKLPSKPSSPGSPSPMFRRTKQEIKSAHKIAKRYSSIPQMWSRCLLRHCYGLWFICLPAY VKVCHSKVRALKTAYDVLKKMQLKKMDPPDEVCYRILMQLCGQYDQPVLAVRVLFEMQKA GIDPNAITYGYYNKAVLESTWPSRSRSGYFLWTKVRNVVLGVAQFKRALKKHPHLPQTAL SGGRSDLGYNSLSKDNVRREGPSTEDIQGEKEKRGSDSSSLSENESTKGSAECLPTLSYQ RASTIVRLNGAHNDSAGKTSGECEESTPELLLMPSLEDTNEAQTTPSRCFRKRHKSDDGS HLQQQMPWGSRNRNLSGGVLMGFMLNRTNQETSPGEMVEKLGADAKILSNVISKSTRPNS LDIGKPPARSKRDSLEKESSDDDTPFHGSNCLDKVESPVIFDLEDLDTETDGSKVGGVAA QNPKRLQRRNSSFSVKPSEKTDVVTGFDPLSLLVAETEQQQKVEEEEDEDDNKSVSTPSA RRNLAEEIEMYMNNMSSPLTSRTPSIDLQRACDDKLTNKKSPTLVKACRRSSLPPNSPRP VRLTKSKSYTKSEERPRDRLWSSPAFSPTCPFREGSQETLAHSSPSFNLDTLLVPKLDVL RHSVFTAGKGVAEKASKWYSRFTMYTTSSKDQSSDRTSLSSVGAQDSESTSLTDEDVCHE LEGATSSQESSAASGTKGIDVSRASLGSSASLEGSLSKFALPGKSETASSLNTSNANIFQ NYAMEVLISSCSRCRTCDCLVHDEEIMAGWTADDSNLNTTCPFCGNLFLPFLNVEIRDLR RPGRYFLKSSSSTETMHFASRTRQSCISASASGLDTSSLSVQGNFDLNNKSKLQENPCAR SIQIPAHRSKTVVSKCPLFPMARSISTCGPLDKDDPGGQKLIPTGSLPATLQGHTDSLGL EWHLPSPDPVTVPYLSPLVVWKELESLLENEGDHAITVADFVDHHPIVFWNLVWYFRRLD LPSNLPGLILSSEHCNKYSKIPRHCMSEDSKYVLIQMLWDNMKLHQDPGQPLYILWNAHS QNRTLLFETQKYPMVHLLQKGDDSFNQELLKSMVKSIKMNDVYGPMSQILETLNKCPHFK RQRSLYREILFLSLVALGRENIDIDAFDKEYKMAYDRLTPSQVKSTHNCDRPPSTGVMEC RKTFGEPYL >ENSMUSP00000136024.1 pep:known scaffold:GRCm38:JH584293.1:10948:16486:1 gene:ENSMUSG00000095456.1 transcript:ENSMUST00000179253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il11ra2 description:interleukin 11 receptor, alpha chain 2 [Source:MGI Symbol;Acc:MGI:109123] MSSSCSGLTRVLVAVATALVSSSSPCPQAWGPPGVQYGQPGRPVMLCCPGVSAGTPVSWF RDGDSRLLQGPDSGLGHRLVLAQVDSPDEGTYVCQTLDGVSGGMVTLKLGFPPARPEVSC QAVDYENFSCTWSPGQVSGLPTRYLTSYRKKTLPGAESQRESPSTGPWPCPQDPLEASRC VVHGAEFWSEYRINVTEVNPLGASTCLLDVRLQSILRPDPPQGLRVESVPGYPRRLHASW TYPASWRRQPHFLLKFRLQYRPAQHPAWSTVEPIGLEEVITDTVAGLPHAVRVSARDFLD AGTWSAWSPEAWGTPSTGLLQDEIPDWSQGHGQQLEAVVAQEDSLAPARPSLQPDPRPLD HRDPLEQVAVLASLGIFSCLGLAVGALALGLWLRLRRSGKEGPQKPGLLAPMIPVEKLPG IPNLQRTPENFS >ENSMUSP00000059154.3 pep:known chromosome:GRCm38:13:17695413:17699105:1 gene:ENSMUSG00000012429.8 transcript:ENSMUST00000049744.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mplkip description:M-phase specific PLK1 intereacting protein [Source:MGI Symbol;Acc:MGI:1913558] MHRPNFRPPTPPYPSPGIGGWGGGNNFRGALGGGPRPPSPRDGYGSPHHTPPCGPRARPY GSSQSPRHGGNFSGARFGSPSPGGYPGSYSRSPAGSQHQFGYSPGQQQTYPQGSPRTSTP FGSGRGREKRMSNELESYFKPSMLEDPWAGLEPVSVVDISQQYSNTQTFTGKKGRYFS >ENSMUSP00000065987.8 pep:known chromosome:GRCm38:12:13219308:13249213:-1 gene:ENSMUSG00000037149.9 transcript:ENSMUST00000071103.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx1 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 1 [Source:MGI Symbol;Acc:MGI:2144727] MAAFSEMGVMPEIAQAVEEMDWLLPTDIQAESIPLILGGGDVLMAAETGSGKTGAFSIPV IQIVYETLKDQQEGKKGKTTIKTGASVLNKWQMNPYDRGSAFAIGSDGLCCQSREVKEWH GCRGTRGLLKGKHYYEVSCHDQGLCRVGWSTMQASLDLGTDKFGFGFGGTGKKSHNKQFD NYGEEFTMHDTIGCYLDIDKGHVKFSKNGKDLGLAFEIPAHIKNQALFPACVLKNAELKF NFGEEEFKFPPKDGFVALSKAPDNYIVKSQHTGNAQVSQTKFLPNAPKALIVEPSRELAE QTLNNVKQFKKYIDNPKLRELLIIGGVAARDQLSVLDNGVDIVVGTPGRLDDLVSTGKLN LSQVRFLVLDEADGLLSQGYSDFINRMHNQIPQITCDGKRLQVIVCSATLHSFDVKKLSE KIMHFPTWVDLKGEDSVPDTVHHVVVPVNPKTDKLWERLGKNHIRTDDVHAKDNTRPGAN SPEMWSEAIKILKGEYAVRAIKEHKMDQAIIFCRTKIDCDNLEQYFMQQGGGPDKKGHQF SCVCLHGDRKPHERKQNLERFKKGDVRFLICTDVAARGIDIHGVPYVINVTLPDEKQNYV HRIGRVGRAERMGLAISLVATEKEKVWYHVCSNRGKGCYNTRLKEDGGCTIWYNEMQLLS EIEEHLNCTISQVEPDIKVPVDEFDGKVTYGQKRAAGGGNYKGHVDVLAPTVQELAALEK EAQTSFLHLGYLPNQLFRTF >ENSMUSP00000034698.7 pep:known chromosome:GRCm38:9:21507380:21510186:-1 gene:ENSMUSG00000032180.10 transcript:ENSMUST00000034698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed1 description:transmembrane emp24 domain containing 1 [Source:MGI Symbol;Acc:MGI:106201] MMAAGAAVALALWLLLPAVGVGEAGPPPIQDGEFTFLLPAGRKQCFYQSAPANASLETEY QVIGGAGLDVDFTLESPQGVLLVSESRKADGVHTVEPTEAGDYRLCFDNSFSTISEKLVF FELIFDSFQDEEEVEGWAEAVEPEEMLDVKMEDIKESIETMRTRLERSIQMLTLLRAFEA RDRNLQEDNLERVNFWSAANVAVLLLVAVLQVCTLKRFFHDKRPVPT >ENSMUSP00000086520.5 pep:known chromosome:GRCm38:17:7025837:7087467:1 gene:ENSMUSG00000095720.1 transcript:ENSMUST00000089120.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1604b description:predicted gene 1604b [Source:MGI Symbol;Acc:MGI:2686450] MPIAQLLELWKKIEVEPMETETAEEELALDVEPTPEDTTEEGKGDTTSSAETQPASSSSA EPCSSTCSQPDQESLLSWTPLPRSSLACSCAPPWGNMDCGPHLEPPCPVLPEPLYLHN >ENSMUSP00000136687.1 pep:novel scaffold:GRCm38:JH584293.1:86316:87710:-1 gene:ENSMUSG00000096646.1 transcript:ENSMUST00000178723.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CR974586.6 MGLVTCSHSQRAKGTIPMPHLFAKTREMLKSHVDSKCEQIREGKVPAQVWKSWECKIPGS LATVAPFPWIPQGQCRKLQAESKSDPDLLHKVVPWKPKTLSQETQTLSGTLFEHCKKPQS LPKETIKKLETTLHHKYLAFLSGLPALYCVALSRPASPAVTSQPRLREKMPKAVKSPSNA LTQITPLEPCAQDDSGVSADTAEEFQPGAEADRRTEKVPAESQPPPCRPYPINTHILAKL NFHLKKKILAMQFGISEKEKREYKELGTADLESESIQEFLRSLHMSESTLLQEQPVACPS LPAPNAKGVHPKKQPASAVQDVCQAQRPPPSKAVPHSSAQQSSKASQSQRDKTQVCVDME AGGKRFNLEKSKVVGDLGEGDAGLGFSLVSQKTRQDGEQEKRLLHRPLQGSSQQGHTFHL EDACPHSPRESPELQFPDPPPEVFMETDSEQDMEDSQSEESIVPD >ENSMUSP00000071818.3 pep:known chromosome:GRCm38:13:43398376:43402858:1 gene:ENSMUSG00000063200.3 transcript:ENSMUST00000071926.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol7 description:nucleolar protein 7 [Source:MGI Symbol;Acc:MGI:1917328] MVQLRPRLSRIPAPAEAMVDEDQAASEEEEAEHGLLLAQPSSGAAAEPLDEEEDADDEAP EELTFASAQAEAREEELRVRASARRDKTLLKEKRKRREELFIEQKKRKLLPDAVLEQLTT ASEADIKKSPENVKVNLKKKSEQHAKGRNSKKVKVQKVQSVGQIESYMAVRLKDEDLRDS RQEAAKHFIHSCLYGSDSKRTTVNKFLSLNNKRSPVKKAAAQFLTSTWGAQKQQNAKRFK KRWMAKKMKKKTYK >ENSMUSP00000091180.2 pep:known chromosome:GRCm38:8:67514000:67515606:-1 gene:ENSMUSG00000030735.5 transcript:ENSMUST00000032981.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9755 description:predicted pseudogene 9755 [Source:MGI Symbol;Acc:MGI:3642279] MAAATLLRATPRFSGLCASPTPFLQGRLRPLKAPASPFLCRGLAVEAKKTYVRDKPHVNV GTIGHVDHGKTTLTAAITKILAEGGGAKFKKYEEIDNAPEERARGITINAAHVEYSTAAR HYAHTDCPGHADYVKNMITGTAPLDGCILVVAANDSPMPQTREHLLLAKQIGVEHVVVYV NKADAVQDSEMVELVELEIRELLTEFGYKGEETPVIVGSALCALEQRDPELGVKSVQKLL DAVDTYIPVPTRDLDKPFLLPVESVYSIPGRGTVVTGTLERGILKKGDECELLGHNKNIR TVVTGIEMFHKSLERAEAGDNLGALVRGLKREDLRRGLVMVKPGSIQPHQKVEAQVYILS KEEGGRHKPFVSHFMPVMFSLTWDMACRVILPPGKELAMPGEDLKLSLILRQPMILEKGQ RFTLRDGNKTIGTGLVTDVPAMTEEDKNIKWS >ENSMUSP00000034570.5 pep:known chromosome:GRCm38:9:50521618:50528641:-1 gene:ENSMUSG00000032067.5 transcript:ENSMUST00000034570.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pts description:6-pyruvoyl-tetrahydropterin synthase [Source:MGI Symbol;Acc:MGI:1338783] MSAAGDLRRRARLSRLVSFSASHRLHSPSLSDEENLRVFGKCNNPNGHGHNYKVVVTVHG EIDPVTGMVMNLTDLKEYMEEAIMKPLDHKNLDLDVPYFADAVSTTENVAVYIWESLQKL LPVGALYKVKVFETDNNIVVYKGE >ENSMUSP00000049685.4 pep:known chromosome:GRCm38:10:81182605:81183849:-1 gene:ENSMUSG00000053603.4 transcript:ENSMUST00000056086.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930442H23Rik description:RIKEN cDNA 4930442H23 gene [Source:MGI Symbol;Acc:MGI:1922144] MPLAGSLPSLPPSSRVPWVPTQRPGPGPFVPSSAIESPPGASEAPARTSGTNPETASTHA LTAQGHTSAKPENQRSLDYDFNRKLNAHAHWLASDLSAEADVD >ENSMUSP00000137531.1 pep:known chromosome:GRCm38:15:96766761:96767141:-1 gene:ENSMUSG00000095638.1 transcript:ENSMUST00000177677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8888 description:predicted gene 8888 [Source:MGI Symbol;Acc:MGI:3646062] QQESKGELGLPVLPAIAEQQPWPCATPWPWATLNKGHKVMKKNVSKLRHSRQRRALRREP HQAHQVRGGHDPGVCGFMPWELLKVSKDKRVLKFVKKRVGTHIRAKRRREELSNGLAAMR KAAAKD >ENSMUSP00000034554.7 pep:known chromosome:GRCm38:9:51213690:51240079:1 gene:ENSMUSG00000032053.7 transcript:ENSMUST00000034554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2af1 description:POU domain, class 2, associating factor 1 [Source:MGI Symbol;Acc:MGI:105086] MLWQKSTAPEQAPAPPRPYQGVRVKEPVKELLRRKRGHTSVGAAGPPTAVVLPHQPLATY STVGPSCLDMEVSASTVTEEGTLCAGWLSQPAPATLQPLAPWTPYTEYVSHEAVSCPYST DMYVQPVCPSYTVVGPSSVLTYASPPLITNVTPRSTATPAVGPQLEGPEHQAPLTYFPWP QPLSTLPTSSLQYQPPAPTLSGPQFVQLPISIPEPVLQDMDDPRRAISSLTIDKLLLEEE ESNTYELNHTLSVEGF >ENSMUSP00000045639.1 pep:known chromosome:GRCm38:19:23141226:23166911:1 gene:ENSMUSG00000033863.1 transcript:ENSMUST00000036884.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf9 description:Kruppel-like factor 9 [Source:MGI Symbol;Acc:MGI:1333856] MSAAAYMDFVAAQCLVSISNRAAVPEHGGAPEAERLRLPEREVTKEHGDPGDTWKDYCTL VTIAKSLLDLNKYRPIQTPSVCSDSLESPDEDIGSDSDVTTESGSSPSHSPEERQDSGSA PSPLSLLHSGVASKGKHASEKRHKCPYSGCGKVYGKSSHLKAHYRVHTGERPFPCTWPDC LKKFSRSDELTRHYRTHTGEKQFRCPLCEKRFMRSDHLTKHARRHTEFHPSMIKRSKKAL ASPL >ENSMUSP00000129208.1 pep:known chromosome:GRCm38:2:155735810:155735866:-1 gene:ENSMUSG00000092123.1 transcript:ENSMUST00000166589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17581 description:predicted gene, 17581 [Source:MGI Symbol;Acc:MGI:4937215] VTSFVSLFLPLLPSSARCA >ENSMUSP00000050336.3 pep:known chromosome:GRCm38:10:84526305:84533888:-1 gene:ENSMUSG00000046841.4 transcript:ENSMUST00000053871.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckap4 description:cytoskeleton-associated protein 4 [Source:MGI Symbol;Acc:MGI:2444926] MPSAKQRGSKGGHGAASPSDKGAHPSGGADDVAKKPPAAPQQPQPPAPHPPQHPQNQAHR GGHRGRSSAATANASSASCSRRLGRVLNFLFYLSLVAAAAFSGWYVHHVLEEVQQVRRGH QDFSRQRDELGQGLQGVEQKVQSLQATFGTFESLLRNSQHKQDLTEKAVKEGESELNRIS EVLQKLQNEILKDLSDGIHVVKDARERDFTSLENTVEERLTELTKSINDNIAIFTDVQKR SQKEINEVKMKVASLEESKGDRSQDVKTLKDAVKEVQASMMSRERDIEALKSSLQTMESD VYTEVRELVSLKQEQQAFKQAADSERLALQALTEKLLRSEESSSRLPEDIRRLEEELQQL KVGAHGSEEGAVFKDSKALEELQRQIEGLGARLQYVEDGVYSMQVASARHTESLESLLSK SQEYEQRLAMLQEHVGNLGSSSDLASTVRSLGETQLALSSDLKELKQSLGELPGTVESLQ EQVLSLLSQDQAQAEGLPPQDFLDRLSSLDNLKSSVSQVESDLKMLRTAVDSLVAYSVKI ETNENNLESAKGLLDDLRNDLDRLFLKVEKIHEKI >ENSMUSP00000130304.1 pep:known chromosome:GRCm38:10:84526636:84534036:-1 gene:ENSMUSG00000046841.4 transcript:ENSMUST00000167671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckap4 description:cytoskeleton-associated protein 4 [Source:MGI Symbol;Acc:MGI:2444926] MPSAKQRGSKGGHGAASPSDKGAHPSGGADDVAKKPPAAPQQPQPPAPHPPQHPQNQAHR GGHRGRSSAATANASSASCSRRLGRVLNFLFYLSLVAAAAFSGWYVHHVLEEVQQVRRGH QDFSRQRDELGQGLQGVEQKVQSLQATFGTFESLLRNSQHKQDLTEKAVKEGESELNRIS EVLQKLQNEILKDLSDGIHVVKDARERDFTSLENTVEERLTELTKSINDNIAIFTDVQKR SQKEINEVKMKVASLEESKGDRSQDVKTLKDAVKEVQASMMSRERDIEALKSSLQTMESD VYTEVRELVSLKQEQQAFKQAADSERLALQALTEKLLRSEESSSRLPEDIRRLEEELQQL KVGAHGSEEGAVFKDSKALEELQRQIEGLGARLQYVEDGVYSMQVASARHTESLESLLSK SQEYEQRLAMLQEHVGNLGSSSDLASTVRSLGETQLALSSDLKELKQSLGELPGTVESLQ EQVLSLLSQDQAQAEGLPPQDFLDRLSSLDNLKSSVSQVESDLKMLRTAVDSLVAYSVKI ETNENNLESAKGLLDDLRNDLDRLFLKVEKIHEKI >ENSMUSP00000037525.4 pep:known chromosome:GRCm38:9:58359804:58370369:-1 gene:ENSMUSG00000036244.4 transcript:ENSMUST00000040217.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d21 description:TBC1 domain family, member 21 [Source:MGI Symbol;Acc:MGI:1921536] MTTLSPENSLSARRSATFILEKRNPPIDKAEWDSFFDENGHLAKSRDFICINILERGLHP FVRTEAWKFLTGYYSWQSSRDERLMVDSNRRRNYNSLCQMYEKIQPLLENLHGNFTETRN NIAYDIQRLYDKDPLGNVLIDKKKLEKTLLLSYVCNTKAEYQRGFHEMVMLFQLMVEHDH ETFWLFQFFLQKTEHSCVINIGVGKNLDMLNSLITLLDPEFAEHLKGKGSGAVQSLFPWF CLCFQRAFKTFDDVWRLWEVLLTGKPCRNFQVLVAYSMLQMVREQALLECMSGDAILMAC NNLIDLDADELISAACVVYSELMQKEVPQPLKEFLL >ENSMUSP00000006764.7 pep:known chromosome:GRCm38:8:122574637:122576907:-1 gene:ENSMUSG00000006589.7 transcript:ENSMUST00000006764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aprt description:adenine phosphoribosyl transferase [Source:MGI Symbol;Acc:MGI:88061] MSEPELKLVARRIRSFPDFPIPGVLFRDISPLLKDPDSFRASIRLLASHLKSTHSGKIDY IAGLDSRGFLFGPSLAQELGVGCVLIRKQGKLPGPTVSASYSLEYGKAELEIQKDALEPG QRVVIVDDLLATGGTMFAACDLLHQLRAEVVECVSLVELTSLKGRERLGPIPFFSLLQYD >ENSMUSP00000137244.1 pep:known chromosome:GRCm38:19:23675844:23676712:1 gene:ENSMUSG00000051255.5 transcript:ENSMUST00000056396.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6563 description:predicted pseudogene 6563 [Source:MGI Symbol;Acc:MGI:3646907] MAAETVELHKLKLAELKQECLARGLETKGIKQDLINRLQAYLEDHAEEEANEEDVLGDET EEEEPKPIELPVKEEEPPEKAVDMASEKKVVKITSGIPQTERMQKRAERFNVPVSLESKK AARAARFGISSVPTKGLSSDTKPMVNLDKLKERAQRFGLNVSSISRKSEDDEKLKKRKER FGIVTSSAGTGTTEDTEAKKRKRAERFGIA >ENSMUSP00000137730.1 pep:known chromosome:GRCm38:10:79910856:79911140:1 gene:ENSMUSG00000097854.1 transcript:ENSMUST00000181321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26602 description:predicted gene, 26602 [Source:MGI Symbol;Acc:MGI:5477096] YPNTSTRVGSYKASLCDLGHEGARARGFLKGRGGIQILKAWKILLADRGLGRARQLAGVL PSLLVQVGRQQKLWRQEIQVAVADFHLPPALQVST >ENSMUSP00000136737.1 pep:known chromosome:GRCm38:X:125435542:125436039:1 gene:ENSMUSG00000094147.1 transcript:ENSMUST00000178416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21616 description:predicted gene, 21616 [Source:MGI Symbol;Acc:MGI:5434971] PAASSKNGAGDASHKFKEDHTVPGPSSAGQHGQVISPHIQGSPHPSRSSQGDLGSSTSPQ DAQGLSLSTQHARGLSLSTQQTQGLSLSTQDVFELTRYFQESMGHSTSAQASQRLPTCAE RKIIHASSSRRSSKRLSPTLHNSNLSNSPLKHVGQDSSGQGDLQQA >ENSMUSP00000100487.1 pep:known chromosome:GRCm38:2:104065826:104067486:1 gene:ENSMUSG00000078091.1 transcript:ENSMUST00000104891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10912 description:predicted gene 10912 [Source:MGI Symbol;Acc:MGI:3809094] MITSSCPLVILSAVVLEPRATWTKLSINHHMTIPGQEEAPCLDGTYFVSSLDAPCSSSSK VKSVWAPALLFSPSAPEDVLCPPTYVPTPAITAPAQPTQAEGEAAPDSSDFTYSLPYTWA PRRPTLLWPYASGVWGPQMLRGDSS >ENSMUSP00000073697.4 pep:known chromosome:GRCm38:8:95825354:95826133:1 gene:ENSMUSG00000061104.4 transcript:ENSMUST00000074053.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10094 description:predicted gene 10094 [Source:MGI Symbol;Acc:MGI:3704317] MLAAGVGGQGERLPGRRRKMAVESRVTQEEIKKEPEKPIDREKTCPLLLRVFTTNNGRHH RMDEFSRGNVPSSELQIYTWMDATLKELTSLVKEVYPEARKKGTHFNFAIVFMDLKRPGY RVKEIGSTMSGRKGTDDSMTLQSQKFQIGDYLDIAITPPNRAPPSSGRMRPY >ENSMUSP00000130975.2 pep:known chromosome:GRCm38:7:104924806:104925762:-1 gene:ENSMUSG00000057770.4 transcript:ENSMUST00000164391.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr668 description:olfactory receptor 668 [Source:MGI Symbol;Acc:MGI:3030502] MSGANSSSLTPEFFILNGVPGLEDAHVWISLPFCFMYMIAVVGNCGLIYLIGHEEALHRP MFYFLALLSFTDVTWCTTTVPNMLCIFWFNFKKIGFNSCLAQMFFVHMLTGMESGVLMLM ALDRYVAICNPLRYTTILTNPVIAKACLATFLRSVMLIFPFTLLTKRLPYCRSILIPHTY CDHMSVAKVSCGNAKVNAIYGLMVALLIGVFDICCISVSYTMILRAVVSLSSADARHKAF STCTSHICAIVITYVPAFFTFFTHRFGGHTIPHHVHIIVANLYLLLPPTMNPIVYGVKTK QIRESVIKFLLGDKMGFT >ENSMUSP00000065750.4 pep:known chromosome:GRCm38:9:120577346:120578072:1 gene:ENSMUSG00000052658.4 transcript:ENSMUST00000064646.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5830454E08Rik description:RIKEN cDNA 5830454E08 gene [Source:MGI Symbol;Acc:MGI:1923350] VDSSGESDVDLLRPVLGQKCNLPSAFPACHEWTIRCCPPLRAQGRIGPQEASPGRGASFL SPRRQTLVPCKRAVCRVETCVGGRKTLDGDVRGGWGVTVGDTEPTHHRRLYWEW >ENSMUSP00000024847.7 pep:known chromosome:GRCm38:17:71019521:71126855:1 gene:ENSMUSG00000024049.14 transcript:ENSMUST00000024847.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myom1 description:myomesin 1 [Source:MGI Symbol;Acc:MGI:1341430] MSLPFYQRSHQHYDLSYRNKDLRTTMSHYQQEKKRSAVYTHGSTAYSSRSLAARRQESEA FSQASATSYQQQASQTYSLGASSSSRHSQGSEVSRKTASAYDYGYSHGLTDSSLLLEDYS SKLSPQTKRAKRSLLSGEETGSLPGNYLVPIYSGRQVHISGIRDSEEERIKEAAAYIAQK TLLASEEAIAASKQSTASKQSATSKRTTSTLQREETFEKKSRNIAIREKAEELSLKKTLE ETQTYHGKLNEDHLLHAPEFIIKPRSHTVWEKENVKLHCSVAGWPEPRLTWYKNQVPINV HANPGKYIIESRYGMHTLEISKCDFEDTAQYRASAMNVQGELSAYASVVVKRYKGELDES LLRGGVSMPLSFAVTPYGYASKFEIHFDDKFDVSFGREGETMSLGCRVVITPEIKHFQPE VQWYRNGAPVSPSKWVQPHWSGDRATLTFSHLNKEDEGLYTIRVRMGEYYEQYSAYVFVR DADAEIEGAPAAPLDVVSLDANKDYIIISWKQPAVDGGSPILGYFIDKCEVGTDTWSQCN DTPVKFARFPVTGLIEGRSYIFRVRAVNKTGIGLPSRVSEPVAALDPAEKARLKSHPSAP WTGQIIVTEEEPTEGVIPGPPTDLSVTEATRSYVVLSWKPPGQRGHEGIMYFVEKCDVGA ENWQRVNTELPVKSPRFALFDLVEGKSYRFRVRCSNSAGVGEPSETTEVTVVGDKLDIPK APGKIIPSRNTDTSVVVSWEESRDAKELVGYYIEASVVGSGKWEPCNNNPVKGSRFTCHG LTTAQSYIFRVRAVNAAGLSEYSQDSEAIEVKAAIAVPSAPYDITCLESFRDSMVLGWKQ PDTTGGAEITGYYVNYREVVGEVPGKWREANIKAVSDAAYKISNLKENTLYQFQVSAMNI AGLGAPSTVSECFKCEEWTIAVPGPPHSVKLSEVRKNSLVLQWKPPVYSGRTPVTGYFVD LKEASAKDDQWRGLNEAAIVNKYLRVQGLKEGTSYVFRVRAVNQAGVGKPSDLAGPVVAE TRPGTKEVVVSVDDDGVISLNFECDQMTPKSEFVWSKDYVPTEDSPRLEVENKGDKTKMT FKDLGTDDLGTYSCDVTDTDGIASSYLIDEEEMKRLLALSQEHKFPTVPTKSELAVEILE KGQVRFWMQAEKLSSNAKVSYIFNEKEIFEGPKYKMHIDRNTGIIEMFMEKLQDEDEGTY TFQIQDGKATGHSTLVLIGDVYKKLQKEAEFQRQEWIRKQGPHFAEYLSWEVTGECNVLL KCKVANIKKETHIVWYKDEREISVDEKHDFKDGICTLLITEFSKKDAGFYEVILKDDRGK DKSRLKLVDEAFQDLMTEVCKKIALSATDLKIQSTAEGIRLYSFVCYYLDDLKVNWSHNG TGIKYTDRVKSGVTGEQIWLQINEPTPNDKGKYVMELFDGKTGHQKTVDLSGQAFDEAFA EFQRLKKSPLDTVNRARVLGGLPDVVTIQEGKALNLTCNVWGDPPPEVSWLKNEKPLTSD DHCSLKFEAGKTAFFTISGVSTADSGKYGLVVKNKYGSETSDFTVSVFIPEEELRKGAME PPKGNQKSK >ENSMUSP00000072945.5 pep:known chromosome:GRCm38:17:71019568:71126856:1 gene:ENSMUSG00000024049.14 transcript:ENSMUST00000073211.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myom1 description:myomesin 1 [Source:MGI Symbol;Acc:MGI:1341430] MSLPFYQRSHQHYDLSYRNKDLRTTMSHYQQEKKRSAVYTHGSTAYSSRSLAARRQESEA FSQASATSYQQQASQTYSLGASSSSRHSQGSEVSRKTASAYDYGYSHGLTDSSLLLEDYS SKLSPQTKRAKRSLLSGEETGSLPGNYLVPIYSGRQVHISGIRDSEEERIKEAAAYIAQK TLLASEEAIAASKQSTASKQSATSKRTTSTLQREETFEKKSRNIAIREKAEELSLKKTLE ETQTYHGKLNEDHLLHAPEFIIKPRSHTVWEKENVKLHCSVAGWPEPRLTWYKNQVPINV HANPGKYIIESRYGMHTLEISKCDFEDTAQYRASAMNVQGELSAYASVVVKRYKGELDES LLRGGVSMPLSFAVTPYGYASKFEIHFDDKFDVSFGREGETMSLGCRVVITPEIKHFQPE VQWYRNGAPVSPSKWVQPHWSGDRATLTFSHLNKEDEGLYTIRVRMGEYYEQYSAYVFVR DADAEIEGAPAAPLDVVSLDANKDYIIISWKQPAVDGGSPILGYFIDKCEVGTDTWSQCN DTPVKFARFPVTGLIEGRSYIFRVRAVNKTGIGLPSRVSEPVAALDPAEKARLKSHPSAP WTGQIIVTEEEPTEGVIPGPPTDLSVTEATRSYVVLSWKPPGQRGHEGIMYFVEKCDVGA ENWQRVNTELPVKSPRFALFDLVEGKSYRFRVRCSNSAGVGEPSETTEVTVVGDKLDIPK APGKIIPSRNTDTSVVVSWEESRDAKELVGYYIEASVVGSGKWEPCNNNPVKGSRFTCHG LTTAQSYIFRVRAVNAAGLSEYSQDSEAIEVKAAIGGGVSPDVWPQLSDTPGGLTDSRGG MNGASPPTSQKDALLGSNPNKPSPPSSPSSRGQKEVSTVSESVQEPLSSPPQEAAPEEEQ SQSEPPKKKKDPVAVPSAPYDITCLESFRDSMVLGWKQPDTTGGAEITGYYVNYREVVGE VPGKWREANIKAVSDAAYKISNLKENTLYQFQVSAMNIAGLGAPSTVSECFKCEEWTIAV PGPPHSVKLSEVRKNSLVLQWKPPVYSGRTPVTGYFVDLKEASAKDDQWRGLNEAAIVNK YLRVQGLKEGTSYVFRVRAVNQAGVGKPSDLAGPVVAETRPGTKEVVVSVDDDGVISLNF ECDQMTPKSEFVWSKDYVPTEDSPRLEVENKGDKTKMTFKDLGTDDLGTYSCDVTDTDGI ASSYLIDEEEMKRLLALSQEHKFPTVPTKSELAVEILEKGQVRFWMQAEKLSSNAKVSYI FNEKEIFEGPKYKMHIDRNTGIIEMFMEKLQDEDEGTYTFQIQDGKATGHSTLVLIGDVY KKLQKEAEFQRQEWIRKQGPHFAEYLSWEVTGECNVLLKCKVANIKKETHIVWYKDEREI SVDEKHDFKDGICTLLITEFSKKDAGFYEVILKDDRGKDKSRLKLVDEAFQDLMTEVCKK IALSATDLKIQSTAEGIRLYSFVCYYLDDLKVNWSHNGTGIKYTDRVKSGVTGEQIWLQI NEPTPNDKGKYVMELFDGKTGHQKTVDLSGQAFDEAFAEFQRLKQAAIAEKNRARVLGGL PDVVTIQEGKALNLTCNVWGDPPPEVSWLKNEKPLTSDDHCSLKFEAGKTAFFTISGVST ADSGKYGLVVKNKYGSETSDFTVSVFIPEEELRKGAMEPPKGNQKSK >ENSMUSP00000136266.1 pep:known chromosome:GRCm38:17:71019568:71126856:1 gene:ENSMUSG00000024049.14 transcript:ENSMUST00000179759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myom1 description:myomesin 1 [Source:MGI Symbol;Acc:MGI:1341430] MSLPFYQRSHQHYDLSYRNKDLRTTMSHYQQEKKRSAVYTHGSTAYSSRSLAARRQESEA FSQASATSYQQQASQTYSLGASSSSRHSQGSEVSRKTASAYDYGYSHGLTDSSLLLEDYS SKLSPQTKRAKRSLLSGEETGSLPGNYLVPIYSGRQVHISGIRDSEEERIKEAAAYIAQK TLLASEEAIAASKQSTASKQSATSKRTTSTLQREETFEKKSRNIAIREKAEELSLKKTLE ETQTYHGKLNEDHLLHAPEFIIKPRSHTVWEKENVKLHCSVAGWPEPRLTWYKNQVPINV HANPGKYIIESRYGMHTLEISKCDFEDTAQYRASAMNVQGELSAYASVVVKRYKGELDES LLRGGVSMPLSFAVTPYGYASKFEIHFDDKFDVSFGREGETMSLGCRVVITPEIKHFQPE VQWYRNGAPVSPSKWVQPHWSGDRATLTFSHLNKEDEGLYTIRVRMGEYYEQYSAYVFVR DADAEIEGAPAAPLDVVSLDANKDYIIISWKQPAVDGGSPILGYFIDKCEVGTDTWSQCN DTPVKFARFPVTGLIEGRSYIFRVRAVNKTGIGLPSRVSEPVAALDPAEKARLKSHPSAP WTGQIIVTEEEPTEGVIPGPPTDLSVTEATRSYVVLSWKPPGQRGHEGIMYFVEKCDVGA ENWQRVNTELPVKSPRFALFDLVEGKSYRFRVRCSNSAGVGEPSETTEVTVVGDKLDIPK APGKIIPSRNTDTSVVVSWEESRDAKELVGYYIEASVVGSGKWEPCNNNPVKGSRFTCHG LTTAQSYIFRVRAVNAAGLSEYSQDSEAIEVKAAIAVPSAPYDITCLESFRDSMVLGWKQ PDTTGGAEITGYYVNYREVVGEVPGKWREANIKAVSDAAYKISNLKENTLYQFQVSAMNI AGLGAPSTVSECFKCEEWTIAVPGPPHSVKLSEVRKNSLVLQWKPPVYSGRTPVTGYFVD LKEASAKDDQWRGLNEAAIVNKYLRVQGLKEGTSYVFRVRAVNQAGVGKPSDLAGPVVAE TRPGTKEVVVSVDDDGVISLNFECDQMTPKSEFVWSKDYVPTEDSPRLEVENKGDKTKMT FKDLGTDDLGTYSCDVTDTDGIASSYLIDEEEMKRLLALSQEHKFPTVPTKSELAVEILE KGQVRFWMQAEKLSSNAKVSYIFNEKEIFEGPKYKMHIDRNTGIIEMFMEKLQDEDEGTY TFQIQDGKATGHSTLVLIGDVYKKLQKEAEFQRQEWIRKQGPHFAEYLSWEVTGECNVLL KCKVANIKKETHIVWYKDEREISVDEKHDFKDGICTLLITEFSKKDAGFYEVILKDDRGK DKSRLKLVDEAFQDLMTEVCKKIALSATDLKIQSTAEGIRLYSFVCYYLDDLKVNWSHNG TGIKYTDRVKSGVTGEQIWLQINEPTPNDKGKYVMELFDGKTGHQKTVDLSGQAFDEAFA EFQRLKQAAIAEKNRARVLGGLPDVVTIQEGKALNLTCNVWGDPPPEVSWLKNEKPLTSD DHCSLKFEAGKTAFFTISGVSTADSGKYGLVVKNKYGSETSDFTVSVFIPEEELRKGAME PPKGNQKSK >ENSMUSP00000023104.5 pep:known chromosome:GRCm38:15:97675105:97705822:-1 gene:ENSMUSG00000022466.5 transcript:ENSMUST00000023104.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpap3 description:RNA polymerase II associated protein 3 [Source:MGI Symbol;Acc:MGI:1277218] MTSASKAVELQLQVKHNAEELQDFMRDLEHWEKDMKEKDLELRRQGGVAEENLPPIRNGN FRKKKKKGKAKESSRKTKEENTKNRIKSYDYDAWAKLDVDRILDELDKEDSTHDSLSQES ESDEDGIRVDSQKALVLKEKGNKYFKQGKYDEAIECYTKGMDADPYNPVLPTNRASAYFR LKKFAVAESDCNLAIALSRTYTKAYARRGAARFALQKLEDARKDYEKVLELEPDNFEATN ELRKINQALTSKENSGPGAAAAAESKPAAGESKPTGGQQGRQKAIAEKDLGNGFFKEGKY EQAIECYTRGIAADRTNALLPANRAMAYLKIQRYEEAERDCTQAIVLDGSYSKAFARRGT ARTFLGKINEAKQDFETVLLLEPGNKQAATELSRIKKELIEKGHWDDVFLDSTQRHHVVK AVDNPPRGSPKALKKVFIEETGNLIETVDAPDSSATVPESDRATAAVGTGTKKNPSEGVS LPAGDRPRAKVLKIEAVSDTSAPQAQVGVKQDARQPGSEKASVRAEQMPGQLAAAGLPPV PANSFQLESDFRQLRSSPEMLYQYVKNIEPSLYPKLFQKNLDPDVFNQIIKILHDFYIER EKPALIFEVLERLSQLRRFDMAVMFMSGPERKLTNVLFNHLEKSDLKEDSVEELKKRYGG >ENSMUSP00000137237.1 pep:known chromosome:GRCm38:12:20071882:20072217:1 gene:ENSMUSG00000096529.1 transcript:ENSMUST00000180132.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3993 description:predicted gene 3993 [Source:MGI Symbol;Acc:MGI:3782167] MPDQISVSEFVAEALEDYMAPTASSFTTRTAQCRNTVAAIEEVSGSVARAGREARAAGIA LRPGHPLGFRAWCPVPSVLRLRPHPGKVSGTPKPDAARPAVPDTEALCSLF >ENSMUSP00000064394.3 pep:known chromosome:GRCm38:19:29251828:29313080:1 gene:ENSMUSG00000024789.12 transcript:ENSMUST00000065796.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jak2 description:Janus kinase 2 [Source:MGI Symbol;Acc:MGI:96629] MGMACLTMTEMEATSTSPVHQNGDIPGSANSVKQIEPVLQVYLYHSLGQAEGEYLKFPSG EYVAEEICVAASKACGITPVYHNMFALMSETERIWYPPNHVFHIDESTRHDILYRIRFYF PHWYCSGSSRTYRYGVSRGAEAPLLDDFVMSYLFAQWRHDFVHGWIKVPVTHETQEECLG MAVLDMMRIAKEKDQTPLAVYNSVSYKTFLPKCVRAKIQDYHILTRKRIRYRFRRFIQQF SQCKATARNLKLKYLINLETLQSAFYTEQFEVKESARGPSGEEIFATIIITGNGGIQWSR GKHKESETLTEQDVQLYCDFPDIIDVSIKQANQECSNESRIVTVHKQDGKVLEIELSSLK EALSFVSLIDGYYRLTADAHHYLCKEVAPPAVLENIHSNCHGPISMDFAISKLKKAGNQT GLYVLRCSPKDFNKYFLTFAVERENVIEYKHCLITKNENGEYNLSGTKRNFSNLKDLLNC YQMETVRSDSIIFQFTKCCPPKPKDKSNLLVFRTNGISDVQISPTLQRHNNVNQMVFHKI RNEDLIFNESLGQGTFTKIFKGVRREVGDYGQLHKTEVLLKVLDKAHRNYSESFFEAASM MSQLSHKHLVLNYGVCVCGEENILVQEFVKFGSLDTYLKKNKNSINILWKLGVAKQLAWA MHFLEEKSLIHGNVCAKNILLIREEDRRTGNPPFIKLSDPGISITVLPKDILQERIPWVP PECIENPKNLNLATDKWSFGTTLWEICSGGDKPLSALDSQRKLQFYEDKHQLPAPKWTEL ANLINNCMDYEPDFRPAFRAVIRDLNSLFTPDYELLTENDMLPNMRIGALGFSGAFEDRD PTQFEERHLKFLQQLGKGNFGSVEMCRYDPLQDNTGEVVAVKKLQHSTEEHLRDFEREIE ILKSLQHDNIVKYKGVCYSAGRRNLRLIMEYLPYGSLRDYLQKHKERIDHKKLLQYTSQI CKGMEYLGTKRYIHRDLATRNILVENENRVKIGDFGLTKVLPQDKEYYKVKEPGESPIFW YAPESLTESKFSVASDVWSFGVVLYELFTYIEKSKSPPVEFMRMIGNDKQGQMIVFHLIE LLKSNGRLPRPEGCPDEIYVIMTECWNNNVSQRPSFRDLSLRVDQIRDSIAA >ENSMUSP00000025705.6 pep:known chromosome:GRCm38:19:29251828:29313080:1 gene:ENSMUSG00000024789.12 transcript:ENSMUST00000025705.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jak2 description:Janus kinase 2 [Source:MGI Symbol;Acc:MGI:96629] MGMACLTMTEMEATSTSPVHQNGDIPGSANSVKQIEPVLQVYLYHSLGQAEGEYLKFPSG EYVAEEICVAASKACGITPVYHNMFALMSETERIWYPPNHVFHIDESTRHDILYRIRFYF PHWYCSGSSRTYRYGVSRGAEAPLLDDFVMSYLFAQWRHDFVHGWIKVPVTHETQEECLG MAVLDMMRIAKEKDQTPLAVYNSVSYKTFLPKCVRAKIQDYHILTRKRIRYRFRRFIQQF SQCKATARNLKLKYLINLETLQSAFYTEQFEVKESARGPSGEEIFATIIITGNGGIQWSR GKHKESETLTEQDVQLYCDFPDIIDVSIKQANQECSNESRIVTVHKQDGKVLEIELSSLK EALSFVSLIDGYYRLTADAHHYLCKEVAPPAVLENIHSNCHGPISMDFAISKLKKAGNQT GLYVLRCSPKDFNKYFLTFAVERENVIEYKHCLITKNENGEYNLSGTKRNFSNLKDLLNC YQMETVRSDSIIFQFTKCCPPKPKDKSNLLVFRTNGISDVQISPTLQRHNNVNQMVFHKI RNEDLIFNESLGQGTFTKIFKGVRREVGDYGQLHKTEVLLKVLDKAHRNYSESFFEAASM MSQLSHKHLVLNYGVCVCGEENILVQEFVKFGSLDTYLKKNKNSINILWKLGVAKQLAWA MHFLEEKSLIHGNVCAKNILLIREEDRRTGNPPFIKLSDPGISITVLPKDILQERIPWVP PECIENPKNLNLATDKWSFGTTLWEICSGGDKPLSALDSQRKLQFYEDKHQLPAPKWTEL ANLINNCMDYEPDFRPAFRAVIRDLNSLFTPDYELLTENDMLPNMRIGALGFSGAFEDRD PTQFEERHLKFLQQLGKGNFGSVEMCRYDPLQDNTGEVVAVKKLQHSTEEHLRDFEREIE ILKSLQHDNIVKYKGVCYSAGRRNLRLIMEYLPYGSLRDYLQKHKERIDHKKLLQYTSQI CKGMEYLGTKRYIHRDLATRNILVENENRVKIGDFGLTKVLPQDKEYYKVKEPGESPIFW YAPESLTESKFSVASDVWSFGVVLYELFTYIEKSKSPPVEFMRMIGNDKQGQMIVFHLIE LLKSNGRLPRPEGCPDEIYVIMTECWNNNVSQRPSFRDLSLRVDQIRDSIAA >ENSMUSP00000108008.2 pep:known chromosome:GRCm38:17:80580512:80728093:-1 gene:ENSMUSG00000024242.14 transcript:ENSMUST00000112389.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k3 description:mitogen-activated protein kinase kinase kinase kinase 3 [Source:MGI Symbol;Acc:MGI:2154405] MNPGFDLSRRNPQEDFELIQRIGSGTYGDVYKARNVNTGELAAIKVIKLEPGEDFAVVQQ EIIMMKDCKHPNIVAYFGSYLRRDKLWICMEFCGGGSLQDIYHVTGPLSELQIAYVSRET LQGLYYLHSKGKMHRDIKGANILLTDNGHVKLADFGVSAQITATIAKRKSFIGTPYWMAP EVAAVERKGGYNQLCDLWAVGITAIELAELQPPMFDLHPMRALFLMTKSNFQPPKLKDKL KWSNSFHHFVKMALTKNPKKRPNAEKLLQHPFVTQPLTRSLAIELLDKVNNPDHSTYHDF DDDDPEPLVAVPHRIPSTSRNVREEKTRSEINFGQVKFDPPLRKETEPHHELPDSDGFFD SSEEIYYTARSNLDLQLEYGQGHQSHCFLGGNKSLLKSVEEELHQRGHVAHLEDDEGDDD DSKHSTMKAKVPPPLPPKPKSIFIPQDTHSAEDGNQGTIKRCPSSGSPAKPSHVPPRPPP PRLPPQKPAVLGNGVNSFQLNGERDGSLYQQQSEQRGTNLSRKEKKDVPKPISNGLPPTP KVHMGACFSKVFNGCPLKIHCATSWINPDTRDQYLIFGAEEGIYTLNLNELHETSMEQLF PRRCTWLYVMNNCLLSVSGKASQLYSHNLPGLFDYARQMQKLPVAIPAHKLPDRILPRKF AVSAKIPETKWCQKCCVVRNPYTGHKYLCGALQTSIVLLEWVEPMQKFMLIKHIEFPMPC PLRMFEMLVVPEQEYPLVCVGVSRGRDFNQVVRFETVNPNSTSSWFTESGLYAPQTSVTH VTQLERDTILVCLDCCIKIVNLQGRLKSSRKLSSELTFDFQIESIVCLQDSVLAFWKHGM QGRSFRSNEVTQEISDNTRIFRLLGSDRVVVLESRPTDNPTANSNLYILAGHENSY >ENSMUSP00000025089.7 pep:known chromosome:GRCm38:17:80580512:80728093:-1 gene:ENSMUSG00000024242.14 transcript:ENSMUST00000025089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k3 description:mitogen-activated protein kinase kinase kinase kinase 3 [Source:MGI Symbol;Acc:MGI:2154405] MNPGFDLSRRNPQEDFELIQRIGSGTYGDVYKARNVNTGELAAIKVIKLEPGEDFAVVQQ EIIMMKDCKHPNIVAYFGSYLRRDKLWICMEFCGGGSLQDIYHVTGPLSELQIAYVSRET LQGLYYLHSKGKMHRDIKGANILLTDNGHVKLADFGVSAQITATIAKRKSFIGTPYWMAP EVAAVERKGGYNQLCDLWAVGITAIELAELQPPMFDLHPMRALFLMTKSNFQPPKLKDKL KWSNSFHHFVKMALTKNPKKRPNAEKLLQHPFVTQPLTRSLAIELLDKVNNPDHSTYHDF DDDDPEPLVAVPHRIPSTSRNVREEKTRSEINFGQVKFDPPLRKETEPHHELPDSDGFFD SSEEIYYTARSNLDLQLEYGQGHQSHCFLGGNKSLLKSVEEELHQRGHVAHLEDDEGDDD DSKHSTMKAKVPPPLPPKPKSIFIPQDTHSAEDGNQGTIKRCPSSGSPAKPSHVPPRPPP PRLPPQKPAVLGNGVNSFQLNGERDGSLYQQQSEQRGTNLSRKEKKDVPKPISNGLPPTP KVHMGACFSKVFNGCPLKIHCATSWINPDTRDQYLIFGAEEGIYTLNLNELHETSMEQLF PRRCTWLYVMNNCLLSVSGKASQLYSHNLPGLFDYARQMQKLPVAIPAHKLPDRILPRKF AVSAKIPETKWCQKCCVVRNPYTGHKYLCGALQTSIVLLEWVEPMQKFMLIKHIEFPMPC PLRMFEMLVVPEQEYPLVCVGVSRGRDFNQVVRFETVNPNSTSSWFTESDAPQTSVTHVT QLERDTILVCLDCCIKIVNLQGRLKSSRKLSSELTFDFQIESIVCLQDSVLAFWKHGMQG RSFRSNEVTQEISDNTRIFRLLGSDRVVVLESRPTDNPTANSNLYILAGHENSY >ENSMUSP00000006956.7 pep:known chromosome:GRCm38:7:46711998:46715676:-1 gene:ENSMUSG00000040026.7 transcript:ENSMUST00000006956.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saa3 description:serum amyloid A 3 [Source:MGI Symbol;Acc:MGI:98223] MKPSIAIILCILILGVDSQRWVQFMKEAGQGSRDMWRAYSDMKKANWKNSDKYFHARGNY DAARRGPGGAWAAKVISDAREAVQKFTGHGAEDSRADQFANEWGRSGKDPNHFRPAGLPK RY >ENSMUSP00000106693.1 pep:known chromosome:GRCm38:12:16653470:16660236:1 gene:ENSMUSG00000020591.10 transcript:ENSMUST00000111064.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntsr2 description:neurotensin receptor 2 [Source:MGI Symbol;Acc:MGI:108018] METSSLWPPRPSPSAGLSLEARLGVDTRLWAKVLFTALYSLIFALGTAGNALSVHVVLKA RAGRPGRLRYHVLSLALSALLLLLISVPMELYNFVWSHYPWVFGDLGCRGYYFVRELCAY ATVLSVASLSAERCLAVCQPLRARRLLTPRRTRRLLSLVWVASLGLALPMAVIMGQKHEM ERADGEPEPASRVCTVLVSRATLQVFIQVNVLVSFVLPLALTAFLNGITVNHLVALYSQV PSASAQVNSIPSRLELLSEEGLLGFITWRKTLSLGVQASLVRHKDASQIRSLQHSAQVLR AIVAVYVICWLPYHARRLMYCYIPDDGWTDELYDFYHYFYMVTNTLFYVSSAVTPVLYNA VSSSFRKLFLESLSSLCGEQRSVVPLPQEAPESTTSTYSFRLWGSPRNPSLGEIQV >ENSMUSP00000098398.3 pep:known chromosome:GRCm38:14:20734875:20794088:-1 gene:ENSMUSG00000021820.16 transcript:ENSMUST00000100837.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2g description:calcium/calmodulin-dependent protein kinase II gamma [Source:MGI Symbol;Acc:MGI:88259] MATTATCTRFTDDYQLFEELGKGAFSVVRRCVKKTSTQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIHQI LESVNHIHQHDIVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGEQQAWFGFAGTPGY LSPEVLRKDPYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITADQALKHPWVCQRSTVASMMHRQETVECLRKFNARRKL KGAILTTMLVSRNFSAAKSLLNKKSDGGVKEPQTTVVHNATDGIKGSTESCNTTTEDEDL KVRKQEIIKITEQLIEAINNGDFEAYTKICDPGLTSFEPEALGNLVEGMDFHKFYFENLL SKNSKPIHTTILNPHVHVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWL NVHYHCSGAPAAPLQ >ENSMUSP00000079298.6 pep:known chromosome:GRCm38:14:20734875:20794088:-1 gene:ENSMUSG00000021820.16 transcript:ENSMUST00000080440.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2g description:calcium/calmodulin-dependent protein kinase II gamma [Source:MGI Symbol;Acc:MGI:88259] MATTATCTRFTDDYQLFEELGKGAFSVVRRCVKKTSTQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIHQI LESVNHIHQHDIVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGEQQAWFGFAGTPGY LSPEVLRKDPYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITADQALKHPWVCQRSTVASMMHRQETVECLRKFNARRKL KGAILTTMLVSRNFSAAKSLLNKKSDGGVKPQSNNKNSLVSPAQEPAPLQTAMEPQTTVV HNATDGIKGSTESCNTTTEDEDLKVRKQEIIKITEQLIEAINNGDFEAYTKICDPGLTSF EPEALGNLVEGMDFHKFYFENLLSKNSKPIHTTILNPHVHVIGEDAACIAYIRLTQYIDG QGRPRTSQSEETRVWHRRDGKWLNVHYHCSGAPAAPLQ >ENSMUSP00000071720.6 pep:known chromosome:GRCm38:14:20734875:20794088:-1 gene:ENSMUSG00000021820.16 transcript:ENSMUST00000071816.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2g description:calcium/calmodulin-dependent protein kinase II gamma [Source:MGI Symbol;Acc:MGI:88259] MATTATCTRFTDDYQLFEELGKGAFSVVRRCVKKTSTQEYAAKIINTKKLSARDHQKLER EARICRLLKHPNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIHQI LESVNHIHQHDIVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGEQQAWFGFAGTPGY LSPEVLRKDPYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITADQALKHPWVCQRSTVASMMHRQETVECLRKFNARRKL KGAILTTMLVSRNFSAAKSLLNKKSDGGVKKRKSSSSVHLMPQSNNKNSLVSPAQEPAPL QTAMEPQTTVVHNATDGIKGSTESCNTTTEDEDLKVRKQEIIKITEQLIEAINNGDFEAY TKICDPGLTSFEPEALGNLVEGMDFHKFYFENLLSKNSKPIHTTILNPHVHVIGEDAACI AYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWLNVHYHCSGAPAAPLQ >ENSMUSP00000092975.4 pep:known chromosome:GRCm38:10:93831555:93848524:1 gene:ENSMUSG00000020020.7 transcript:ENSMUST00000095333.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp44 description:ubiquitin specific peptidase 44 [Source:MGI Symbol;Acc:MGI:3045318] MDRCKHVEQLQLAQGHSILDPQKWYCMVCNTTESIWACLSCSHVACGKYIQEHALKHFQE SSHPVAFEVNDMYAFCYLCNDYVLNDNAAGDLKSLRSTLSTIKSKKYPCVVPSDSVLHPV DAQDRVYSLLDGTQSLPGNEDPTCAALWHRRRVLMGKAFRTWFEQSAIGRKGQEPTQERM VAKREAKRRQQQELEQQMKAELESTPPRKSLRLQGSSEEAATIEIVPVRAPPPPPASPAK DKAALPTSEDRTFKKLDLNQWLAVAASDKARSYKHSAVTEAAAQQMNEGQEKEKGFVCSR HSGLSSGLSGGASKGRNMELIQPREPSSPYSSLCHELHILFQVMWSGEWALVSPFAMLHS VWRLIPAFRGYAQQDAQEFLCELLDKIQRELETTGTKLPALIPTSQRRLIEQVLNVVNNI FHGQFLSQVWMSCHIFIIFGNSYSAAYNWVFCMDRAWESWWHFSVRIDFFHECVKKSRIS ESLEIVLYLWKQWLYGGESKENCIF >ENSMUSP00000128711.2 pep:known chromosome:GRCm38:7:142257647:142258354:-1 gene:ENSMUSG00000090455.2 transcript:ENSMUST00000171531.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2431 description:predicted gene 2431 [Source:MGI Symbol;Acc:MGI:3780598] MTCCGCSGGCGSSCGGCCGSSCCKPVCCCKPVCCCVPVCSCSSCGGCGSSCGGCGSCGSS CGGCGSSCCKPVCCCVPVCSCSSCGGCKPCCCQSSCCKPCCCQSSCCKPCCCQSCCCKPC CSSDCGSSCCQSSCCKPSCCQSSCCKPCCCQSSCCKPCCSSGCGSSCCQDSC >ENSMUSP00000026449.1 pep:known chromosome:GRCm38:10:128296140:128298084:-1 gene:ENSMUSG00000025383.1 transcript:ENSMUST00000026449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il23a description:interleukin 23, alpha subunit p19 [Source:MGI Symbol;Acc:MGI:1932410] MLDCRAVIMLWLLPWVTQGLAVPRSSSPDWAQCQQLSRNLCMLAWNAHAPAGHMNLLREE EDEETKNNVPRIQCEDGCDPQGLKDNSQFCLQRIRQGLAFYKHLLDSDIFKGEPALLPDS PMEQLHTSLLGLSQLLQPEDHPRETQQMPSLSSSQQWQRPLLRSKILRSLQAFLAIAARV FAHGAATLTEPLVPTA >ENSMUSP00000015171.9 pep:known chromosome:GRCm38:8:122578238:122611487:-1 gene:ENSMUSG00000015027.10 transcript:ENSMUST00000015171.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galns description:galactosamine (N-acetyl)-6-sulfate sulfatase [Source:MGI Symbol;Acc:MGI:1355303] MAACTAAQQLLLVLSALGLLAAGAPQPPNIVLLLMDDMGWGDLGVNGEPSRETPNLDRMA AEGMLFPSFYSANPLCSPSRAALLTGRLPIRNGFYTTNAHARNAYTPQEIMGGIPNSEHL LPELLKKAGYTNKIVGKWHLGHRPQFHPLKHGFDEWFGSPNCHFGPYDNKAKPNIPVYRD WEMVGRFYEEFPINRKTGEANLTQLYTQEALDFIQTQHARQSPFFLYWAIDATHAPVYAS RQFLGTSLRGRYGDAVREIDDSVGKILSLLQNLGISKNTFVFFTSDNGAALISAPNEGGS NGPFLCGKQTTFEGGMREPAIAWWPGHIAAGQVSHQLGSIMDLFTTSLSLAGLKPPSDRV IDGLDLLPTMLKGQMMDRPIFYYRGNTLMAVTLGQYKAHLWTWTNSWEEFTQGTDFCPGQ NVSGVTTHTQEEHTELPLIFHLGRDPGERFPLSFHSDEYQDALSRTTQVVQEHQKSLVPG QPQLNVCNQAVMNWAPPGCEKLGKCLTPPESVPEKCFWAH >ENSMUSP00000022104.7 pep:known chromosome:GRCm38:13:73627001:73649041:1 gene:ENSMUSG00000021611.8 transcript:ENSMUST00000022104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tert description:telomerase reverse transcriptase [Source:MGI Symbol;Acc:MGI:1202709] MTRAPRCPAVRSLLRSRYREVWPLATFVRRLGPEGRRLVQPGDPKIYRTLVAQCLVCMHW GSQPPPADLSFHQVSSLKELVARVVQRLCERNERNVLAFGFELLNEARGGPPMAFTSSVR SYLPNTVIETLRVSGAWMLLLSRVGDDLLVYLLAHCALYLLVPPSCAYQVCGSPLYQICA TTDIWPSVSASYRPTRPVGRNFTNLRFLQQIKSSSRQEAPKPLALPSRGTKRHLSLTSTS VPSAKKARCYPVPRVEEGPHRQVLPTPSGKSWVPSPARSPEVPTAEKDLSSKGKVSDLSL SGSVCCKHKPSSTSLLSPPRQNAFQLRPFIETRHFLYSRGDGQERLNPSFLLSNLQPNLT GARRLVEIIFLGSRPRTSGPLCRTHRLSRRYWQMRPLFQQLLVNHAECQYVRLLRSHCRF RTANQQVTDALNTSPPHLMDLLRLHSSPWQVYGFLRACLCKVVSASLWGTRHNERRFFKN LKKFISLGKYGKLSLQELMWKMKVEDCHWLRSSPGKDRVPAAEHRLRERILATFLFWLMD TYVVQLLRSFFYITESTFQKNRLFFYRKSVWSKLQSIGVRQHLERVRLRELSQEEVRHHQ DTWLAMPICRLRFIPKPNGLRPIVNMSYSMGTRALGRRKQAQHFTQRLKTLFSMLNYERT KHPHLMGSSVLGMNDIYRTWRAFVLRVRALDQTPRMYFVKADVTGAYDAIPQGKLVEVVA NMIRHSESTYCIRQYAVVRRDSQGQVHKSFRRQVTTLSDLQPYMGQFLKHLQDSDASALR NSVVIEQSISMNESSSSLFDFFLHFLRHSVVKIGDRCYTQCQGIPQGSSLSTLLCSLCFG DMENKLFAEVQRDGLLLRFVDDFLLVTPHLDQAKTFLSTLVHGVPEYGCMINLQKTVVNF PVEPGTLGGAAPYQLPAHCLFPWCGLLLDTQTLEVFCDYSGYAQTSIKTSLTFQSVFKAG KTMRNKLLSVLRLKCHGLFLDLQVNSLQTVCINIYKIFLLQAYRFHACVIQLPFDQRVRK NLTFFLGIISSQASCCYAILKVKNPGMTLKASGSFPPEAAHWLCYQAFLLKLAAHSVIYK CLLGPLRTAQKLLCRKLPEATMTILKAAADPALSTDFQTILD >ENSMUSP00000042660.2 pep:known chromosome:GRCm38:19:4184358:4191047:-1 gene:ENSMUSG00000040247.5 transcript:ENSMUST00000045864.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d10c description:TBC1 domain family, member 10c [Source:MGI Symbol;Acc:MGI:1922072] MAQALGEDLLSELQDDSSSLGSDSELSGPSPYRQADRYGFIGGNSGELRLCQPSADLIRQ REMKWVEMTLHWEKTMSRRYKKVKIQCRKGIPSALRARCWPLLCGARMCQKNNPGTYQEL AAAPGDPQWMETIGRDLHRQFPLHEMFVSPQGHGQQGLLQVLKAYTLYRPEQGYCQAQGP VAAVLLMHLPPEEAFWCLVQICEVYLPGYYGPHMEAVQLDAEVFMALLRRQLPRVYKHLQ QVGVGPLLYLPEWFLCLFTRSLPFPTVLRIWDAFLSEGAKVLFRVGLTLMRLALGTVEQR TACPGLLETLGALRAIPPTQLQEEVFMSQVHSVTLSERVLQQEIRIQLAQLSKSLPGPAP LPQARLPGAQAIFESQQLAGVRESTKPEIPRIVVQPPEEPKPPRRKPQTRGKTFHGLLIR ARGPPIEGPSRSQRGSASFLDTRF >ENSMUSP00000089801.7 pep:known chromosome:GRCm38:10:117277334:117282274:-1 gene:ENSMUSG00000069516.7 transcript:ENSMUST00000092163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyz2 description:lysozyme 2 [Source:MGI Symbol;Acc:MGI:96897] MKTLLTLGLLLLSVTAQAKVYERCEFARTLKRNGMAGYYGVSLADWVCLAQHESNYNTRA TNYNRGDQSTDYGIFQINSRYWCNDGKTPRAVNACGINCSALLQDDITAAIQCAKRVVRD PQGIRAWVAWRAHCQNRDLSQYIRNCGV >ENSMUSP00000105567.1 pep:known chromosome:GRCm38:11:4620067:4621698:1 gene:ENSMUSG00000079010.1 transcript:ENSMUST00000109941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11032 description:predicted gene 11032 [Source:MGI Symbol;Acc:MGI:3779255] VEPWLSWNSLCRPGWPRTQKSACLCLPSAGIKGV >ENSMUSP00000066915.5 pep:known chromosome:GRCm38:15:10981756:10996624:1 gene:ENSMUSG00000022244.6 transcript:ENSMUST00000070877.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amacr description:alpha-methylacyl-CoA racemase [Source:MGI Symbol;Acc:MGI:1098273] MVLRGVRVVELAGLAPGPFCGMVLADFGAEVVRVNRLGSTGENFLARGKRSLALDLKRSQ GVTVLRRMCARADVLLEPFRCGVMEKLQLGPETLLQDNPKLIYARLSGFGQSGIFSKVAG HDINYLALSGVLSKIGRSGENPYPPLNLLADFGGGGLMCTLGIVLALFERTRSGRGQVID SSMVEGTAYLSSFLWKTQPMGLWKQPRGQNILDGGAPFYTTYKTADGEFMAVGAIEPQFY ALLLKGLGLESEELPSQMSSADWPEMKKKFADVFAKKTKAEWCQIFDGTDACVTPVLTFE EALHHQHNRERASFITDGEQLPSPRPAPLLSRTPAVPSAKRDPSVGEHTVEVLREYGFSQ EEILQLHSDRIVESDKLKANL >ENSMUSP00000039844.4 pep:known chromosome:GRCm38:19:46376814:46395735:-1 gene:ENSMUSG00000025228.4 transcript:ENSMUST00000040270.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr1a description:ARP1 actin-related protein 1A, centractin alpha [Source:MGI Symbol;Acc:MGI:1858964] MESYDVIANQPVVIDNGSGVIKAGFAGDQIPKYCFPNYVGRPKHVRVMAGALEGDIFIGP KAEEHRGLLSIRYPMEHGIVKDWNDMERIWQYVYSKDQLQTFSEEHPVLLTEAPLNPRKN RERAAEVFFETFNVPALFISMQAVLSLYATGRTTGVVLDSGDGVTHAVPIYEGFAMPHSI MRIDIAGRDVSRFLRLYLRKEGYDFHSSSEFEIVKAIKERACYLSINPQKDETLETEKAQ YYLPDGSTIEIGPSRFRAPELLFRPDLIGEESEGIHEVLVFAIQKSDMDLRRTLFSNIVL SGGSTLFKGFGDRLLSEVKKLAPKDVKIRISAPQERLYSTWIGGSILASLDTFKKMWVSK KEYEEDGARSIHRKTF >ENSMUSP00000025811.4 pep:known chromosome:GRCm38:19:5088538:5092879:1 gene:ENSMUSG00000024875.4 transcript:ENSMUST00000025811.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yif1a description:Yip1 interacting factor homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915340] MAYHSAYGVHGSKHRTRAAPDPPPLFDDTSGGYSSQLGGYPAPGADVAFSVNNLLGDPVA NMAMAYGTSIASQGKDIVHKELHRFVSVNKLKYFFAVDTAYVAKKLGLLVFPYTHQNWKM QYSHDVPLPPRKDLNAPDLYIPTMAFITYVLLAGMALGIQQRFSPEVLGLCASTALVWVF MEVLALLLGLYLATVRSELSTFHLLAYSGYKYVGMILSVLTGLLFGSDGYYVALAWTSSA LMYFIVRSLRTAASGPDSMGGPAPRQRLQLYLTLGAAAFQPLIIYWLTFHLVR >ENSMUSP00000070068.8 pep:known chromosome:GRCm38:10:111473192:111496886:1 gene:ENSMUSG00000058799.14 transcript:ENSMUST00000065917.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l1 description:nucleosome assembly protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1855693] MADIDNKEQSELDQDLEDVEEVEEEETGEETKIKARQLTVQMMQNPQILAALQERLDGLV DTPTGYIESLPKVVKRRVNALKNLQVKCAQIEAKFYEEVHDLERKYAVLYQPLFDKRFEI INAIYEPTEEECEWKPDEEDEVSEELKEKAKIEDEKKDEEKEDPKGIPEFWLTVFKNVDL LSDMVQEHDEPILKHLKDIKVKFSDAGQPMSFVLEFHFEPNDYFTNEVLTKTYRMRSEPD DSDPFSFDGPEIMGCTGCQIDWKKGKNVTLKTIKKKQKHKGRGTVRTVTKTVSNDSFFNF FAPPEVPENGDLDDDAEAILAADFEIGHFLRERIIPRSVLYFTGEAIEDDDDDYDEEGEE ADEEGEEEGDEENDPDYDPKKDQNPAECKQQ >ENSMUSP00000126850.1 pep:known chromosome:GRCm38:10:111480617:111498142:1 gene:ENSMUSG00000058799.14 transcript:ENSMUST00000171797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l1 description:nucleosome assembly protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1855693] MFFLPLSNSVLFLTLSFFLVDIWSSYKMADIDNKEQSELDQDLEDVEEVEEEETGEETKI KARQLTVQMMQNPQILAALQERLDGLVDTPTGYIESLPKVVKRRVNALKNLQVKCAQIEA KFYEEVHDLERKYAVLYQPLFDKRFEIINAIYEPTEEECEWKPDEEDEVSEELKEKAKIE DEKKDEEKEDPKGIPEFWLTVFKNVDLLSDMVQEHDEPILKHLKDIKVKFSDAGQPMSFV LEFHFEPNDYFTNEVLTKTYRMRSEPDDSDPFSFDGPEIMGCTGCQIDWKKGKNVTLKTI KKKQKHKGRGTVRTVTKTVSNDSFFNFFAPPEVPENGDLDDDAEAILAADFEIGHFLRER IIPRSVLYFTGEAIEDDDDDYDEEGEEADEEGEEEGDEENDPDYDPKKDQNPAECKQQ >ENSMUSP00000037113.4 pep:known chromosome:GRCm38:15:13034200:13173639:-1 gene:ENSMUSG00000039385.4 transcript:ENSMUST00000036439.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh6 description:cadherin 6 [Source:MGI Symbol;Acc:MGI:107435] MRTYRYFLLLFWVGQPYPTFSNPLSKRTSGFPAKRKALELSANSRNELSRSKRSWMWNQF FLLEEYTGSDYQYVGKLHSDQDRGDGSLKYILSGDGAGDLFIINENTGDIQATKRLDREE KPVYILRAQAVNRRTGRPVEPESEFIIKIHDINDNEPIFTKDVYTATVPEMADVGTFVVQ VTATDADDPTYGNSAKVVYSILQGQPYFSVESETGIIKTALLNMDRENREQYQVVIQAKD MGGQMGGLSGTTTVNITLTDVNDNPPRFPQSTYQFKTPESSPPGTPIGRIKASDADVGEN AEIEYSITDGEGHEMFDVITDQETQEGIITVKKLLDFEKKKVYTLKVEASNPHVEPRFLY LGPFKDSATVRIVVDDVDEPPVFSKLAYILQIREDARINTTIGSVAAQDPDAARNPVKYS VDRHTDMDRIFNIDSGNGSIFTSKLLDRETLLWHNITVIATEINNPKQSSRVPLYIKVLD VNDNAPEFAEFYETFVCEKAKADQLIQTLRAVDKDDPYSGHQFSFSLAPEAASSSNFTIQ DNKDNTAGILTRKNGYNRHEMSTYLLPVVISDNDYPVQSSTGTVTVRVCACDHHGNMQSC HAEALIHPTGLSTGALVAILLCIVILLVTVVLFAALRRQRKKEPLIISKEDIRDNIVSYN DEGGGEEDTQAFDIGTLRNPEAMEDSKSRRDIVPEALFLPRRTPTARDNTDVRDFINQRL KENDTDPTAPPYDSLATYAYEGTGSVADSLSSLESVTTDGDQDYDYLSDWGPRFKKLADM YGGMDSDKDS >ENSMUSP00000066860.4 pep:known chromosome:GRCm38:19:58795751:58860984:-1 gene:ENSMUSG00000025092.6 transcript:ENSMUST00000066285.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa12a description:heat shock protein 12A [Source:MGI Symbol;Acc:MGI:1920692] MADKEAGGGDAGPRETAPTSTYSSPARSLGDTGITPLSPSHILNDADPVSEQQTFLVVVA IDFGTTSSGYAYSFTKEPECIHVMRRWEGGDPGVSNQKTPTTILLTPERKFHSFGYAARD FYHDLDPSEAKQWLYLEKFKMKLHTTGDLTMDTDLTAANGKKVKALEIFAYALQYFKEQA LKELSDQAGSDFENSDVRWVITVPAIWKQPAKQFMREAAYQAGLASPENSEQLIIALEPE AASIYCRKLRLHQMIELSSKAVVNGYSASDTVGAGFAQAKEHVRRNRQSRTFLVENVIGE IWSELEEGDKYVVVDSGGGTVDLTVHQIRLPEGHLKELYKATGGPYGSLGVDYEFEKLLC KIFGEDFIEQFKIKRPAAWVDLMIAFESRKRAAAPDRTNPLNITLPFSFIDYYKKFRGHS VEHALRKSNVDFVKWSSQGMLRMSPDAMNALFKPTIDSIIEHLRDLFQKPEVSTVKFLFL VGGFAEAPLLQQAVQTAFGDKCRIIIPQDVGLTILKGAVLFGLDPAVIKVRRSPLTYGVG VLNRYVEGKHPPEKLLVKDGTRWCTDVFDKFISADQSVALGELVKRSYTPAKPSQLVIII NIYSSEHDNVSFITDPGVKKCGTLRLDLTGSGGTAVPARREIQTIMQFGDTEIKATAVDI TTSKSVKVGIDFLNY >ENSMUSP00000057893.7 pep:known chromosome:GRCm38:15:27605919:27630693:-1 gene:ENSMUSG00000046034.7 transcript:ENSMUST00000059662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otulin description:OTU deubiquitinase with linear linkage specificity [Source:MGI Symbol;Acc:MGI:3577015] MSRGTMPQPGAWPGASCAETPAREAGAAARDGGKVTAGAQPRAATRCPAEHEEDMYRAAD EIEKEKELLIHERGISEPRLSVAPEMDIMDYCKKEWRGNTQKATCMKKGYEEVSQKFTSI RRVRGDNYCALRATLFQAMSQLAELPPWLQDLELILLPEKLINKYTWIKQWKLGLKFDGK SEDLVEKIKESLALLRKKWVSLAAMKTAEARQTACDELFTNEEEEYSLYEAVKFLMLNRA IELYDDKEKGKEVPFFSVLLFARDTSNDPEQLLRNHLNQVGHTGGLEQVEMFLLAYAVRH SIRVYRLSKYNTEEFITVYPTDPPKDWPMVTLIAEDDRHYNIPVRVCEETSV >ENSMUSP00000106018.2 pep:known chromosome:GRCm38:12:78226655:78684767:1 gene:ENSMUSG00000047454.11 transcript:ENSMUST00000110388.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gphn description:gephyrin [Source:MGI Symbol;Acc:MGI:109602] MATEGMILTNHDHQIRVGVLTVSDSCFRNLAEDRSGINLKDLVQDPSLLGGTISAYKIVP DEIEEIKETLIDWCDEKELNLILTTGGTGFAPRDVTPEATKEVIEREAPGMALAMLMGSL NVTPLGMLSRPVCGIRGKTLIINLPGSKKGSQECFQFILPALPHAIDLLRDAIVKVKEVH DELEDLPSPPPPLSPPPTTSPHKQTEDKGVQCEEEEEEKKDSGVASTEDSSSSHITAAAL AAKKHPFYTSPALFMANHGQPIPGLISYSHHATGSADKRIPDSIISRGVQVLPRDTASLS TTPSESPRAQATSRLSTASCPTPKVQSRCSSKENILRASHSAVDITKVARRHRMSPFPLT SMDKAFITVLEMTPVLGTEIINYRDGMGRVLAQDVYAKDNLPPFPASVKDGYAVRAADGP GDRFIIGESQAGEQPTQTVMPGQVMRVTTGAPIPCGADAVVQVEDTELIRESDDGTEELE VRILVQARPGQDIRPIGHDIKRGECVLAKGTHMGPSEIGLLATVGVTEVEVNKFPVVAVM STGNELLNPEDDLLPGKIRDSNRSTLLATIQEHGYPTINLGIVGDNPDDLLNALNEGISR ADVIITSGGVSMGEKDYLKQVLDIDLHAQIHFGRVFMKPGLPTTFATLDIDGVRKIIFAL PGNPVSAVVTCNLFVVPALRKMQGILDPRPTIIKARLSCDVKLDPRPEYHRCILTWHHQE PLPWAQSTGNQMSSRLMSMRSANGLLMLPPKTEQYVELHKGEVVDVMVIGRL >ENSMUSP00000054064.4 pep:known chromosome:GRCm38:12:78226655:78684767:1 gene:ENSMUSG00000047454.11 transcript:ENSMUST00000052472.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gphn description:gephyrin [Source:MGI Symbol;Acc:MGI:109602] MATEGMILTNHDHQIRVGVLTVSDSCFRNLAEDRSGINLKDLVQDPSLLGGTISAYKIVP DEIEEIKETLIDWCDEKELNLILTTGGTGFAPRDVTPEATKEVIEREAPGMALAMLMGSL NVTPLGMLSRPVCGIRGKTLIINLPGSKKGSQECFQFILPALPHAIDLLRDAIVKVKEVH DELEDLPSPPPPLSPPPTTSPHKQTEDKGVQCEEEEEEKKDSGVASTEDSSSSHITAAAL AAKIPDSIISRGVQVLPRDTASLSTTPSESPRAQATSRLSTASCPTPKQIRRPDESKGVA SRVGSLKARLPSCSSTYSVSEVQSRCSSKENILRASHSAVDITKVARRHRMSPFPLTSMD KAFITVLEMTPVLGTEIINYRDGMGRVLAQDVYAKDNLPPFPASVKDGYAVRAADGPGDR FIIGESQAGEQPTQTVMPGQVMRVTTGAPIPCGADAVVQVEDTELIRESDDGTEELEVRI LVQARPGQDIRPIGHDIKRGECVLAKGTHMGPSEIGLLATVGVTEVEVNKFPVVAVMSTG NELLNPEDDLLPGKIRDSNRSTLLATIQEHGYPTINLGIVGDNPDDLLNALNEGISRADV IITSGGVSMGEKDYLKQVLDIDLHAQIHFGRVFMKPGLPTTFATLDIDGVRKIIFALPGN PVSAVVTCNLFVVPALRKMQGILDPRPTIIKARLSCDVKLDPRPEYHRCILTWHHQEPLP WAQSTGNQMSSRLMSMRSANGLLMLPPKTEQYVELHKGEVVDVMVIGRL >ENSMUSP00000095831.1 pep:known chromosome:GRCm38:7:102534749:102535688:1 gene:ENSMUSG00000073979.1 transcript:ENSMUST00000098229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr547 description:olfactory receptor 547 [Source:MGI Symbol;Acc:MGI:3030381] MTTLNYTVVSHTVFHLLGIPGLEDQHMWISIPFFISYITALLGNSLLIFIILTRPSLHGP MYLFLCMLVGADIVLSTSTVPQALSIFWFHAGEISLDRCITQLFFIHSTFISESGILLVM AFDRYIAICYPLRYTTVLTNSLIGKIRVGIFLRSYGTIFPIIFLLKRLTFCKNNIIPHTY CEHIGLAKYACNSIRVNIWYGFSVLILTVVLDVVLIFVSYVLILRAVFRMPSQDARHKAL NTCGSHVCIIILFYGPGIFTTLTQRFGRHIPPHIHILLANVCILAPPMLNPIIYGIKTKQ IQEQMVHVLFT >ENSMUSP00000072585.3 pep:known chromosome:GRCm38:7:7114685:7121476:-1 gene:ENSMUSG00000062116.9 transcript:ENSMUST00000056246.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp954 description:zinc finger protein 954 [Source:MGI Symbol;Acc:MGI:1917764] MATSQGPTILWSPMVIVEAAGGALPQVSQYSVKPANQEEGCVSFEDVAVYFSWEEWTLLD DSQRLLYQTVMTEIFTLVSSLRLELSGIHDSTQVESCGDLSVPALRFLTPCLWTGCWIKV ESETPPFNQSLSTEGGVSPSTLQQHMLCCAETPVLSTDNVTILQTSSGLLRHQVTSSGGD TPTNTESGEAIQSEKKNCKCTYCGKIFTSISHLNRHWKIHTGEKPFQCTECGKSFSQKAF LIKHFRMHTGEKPYRCSECGKAFKHNISLISHQGLHTGEMPFKCTECGKSYRSKEGLKVH YRFHTGEMPFECSECGKSYRSREGLLNHFYSHTAEKPFSCGECGMYFTQNSELIEHLTVH GKPFKCNECGRVFNSSYNFIRHMKVHVVAKQYACRECDKVYCSSSSLYKHRKIHAR >ENSMUSP00000025649.8 pep:known chromosome:GRCm38:19:10605625:10629813:1 gene:ENSMUSG00000024740.9 transcript:ENSMUST00000025649.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddb1 description:damage specific DNA binding protein 1 [Source:MGI Symbol;Acc:MGI:1202384] MSYNYVVTAQKPTAVNGCVTGHFTSAEDLNLLIAKNTRLEIYVVTAEGLRPVKEVGMYGK IAVMELFRPKGESKDLLFILTAKYNACILEYKQSGESIDIITRAHGNVQDRIGRPSETGI IGIIDPECRMIGLRLYDGLFKVIPLDRDNKELKAFNIRLEELHVIDVKFLYGCQAPTICF VYQDPQGRHVKTYEVSLREKEFNKGPWKQENVEAEASMVIAVPEPFGGAIIIGQESITYH NGDKYLAIAPPIIKQSTIVCHNRVDPNGSRYLLGDMEGRLFMLLLEKEEQMDGTVTLKDL RVELLGETSIAECLTYLDNGVVFVGSRLGDSQLVKLNVDSNEQGSYVVAMETFTNLGPIV DMCVVDLERQGQGQLVTCSGAFKEGSLRIIRNGIGIHEHASIDLPGIKGLWPLRSDPGRE TDDTLVLSFVGQTRVLMLNGEEVEETELMGFVDDQQTFFCGNVAHQQLIQITSASVRLVS QEPKALVSEWKEPQGKNISVASCNSSQVVVAVGRALYYLQIHPQELRQISHTEMEHEVAC LDITPLGDSNGLSPLCAIGLWTDISARILKLPSFELLHKEMLGGEIIPRSILMTTFESSH YLLCALGDGALFYFGLNIETGLLSDRKKVTLGTQPTVLRTFRSLSTTNVFACSDRPTVIY SSNHKLVFSNVNLKEVNYMCPLNSDGYPDSLALANNSTLTIGTIDEIQKLHIRTVPLYES PRKICYQEVSQCFGVLSSRIEVQDSSGGTTALRPSASTQALSSSVSSSKLFSSSTAPHET SFGEEVEVHNLLIIDQHTFEVLHAHQFLQNEYALSLVSCKLGKDPNTYFIVGTAMVYPEE AEPKQGRIVVFQYSDGKLQTVAEKEVKGAVYSMVEFNGKLLASINSTVRLYEWTTEKELR TECNHYNNIMALYLKTKGDFILVGDLMRSVLLLAYKPMEGNFEEIARDFNPNWMSAVEIL DDDNFLGAENAFNLFVCQKDSAATTDEERQHLQEVGLFHLGEFVNVFCHGSLVMQNLGEA STPTQGSVLFGTVNGMIGLVTSLSESWYNLLLDMQNRLNKVIKSVGKIEHSFWRSFHTER KTEPATGFIDGDLIESFLDISRPKMQEVVANLQYDDGSGMKREATADDLIKVVEELTRIH >ENSMUSP00000043416.6 pep:known chromosome:GRCm38:16:23429133:23433960:1 gene:ENSMUSG00000033383.6 transcript:ENSMUST00000038730.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtp1 description:receptor transporter protein 1 [Source:MGI Symbol;Acc:MGI:2685450] MRIFRPWRLRCPALHLPSFPTFSIKCSLPPLPTDEDMCKSVTTGEWKKVFYEKMEEVKPA DSWDFIIDPNLKHNVLAPGWKQYLELHASGRFHCSWCWHTWQSPHVVILFHMYLDKAQRA GSVRMRVFKQLCYECGTARLDESSMLEENIESLVDNLITSLREQCYGERGGHYRIHVASR QDNRRHRGEFCEACQEGIVHWKPSEKLLEEEATTYTFSRAPSPTKPQAETGSGCNFCSIP WCLFWATVLMLIIYLQFSFRTSV >ENSMUSP00000058251.1 pep:known chromosome:GRCm38:18:20944625:20983848:1 gene:ENSMUSG00000033107.5 transcript:ENSMUST00000050004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf125 description:ring finger protein 125 [Source:MGI Symbol;Acc:MGI:1914914] MKSEYQNCAECGTLVCLSDMRAHIRTCEKYIDKYGPLLELGDTTARCVCPFCQRELDEDC LLDHCIIHHRSERRPVFCPLCHSRPDESPSTFNGSLIRHLQVSHTLFYDDFIDFDIIEEA IIRRVLDRSLLEYVNQSNTT >ENSMUSP00000065858.4 pep:known chromosome:GRCm38:12:86241890:86291414:1 gene:ENSMUSG00000021254.8 transcript:ENSMUST00000071106.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch2l description:G patch domain containing 2 like [Source:MGI Symbol;Acc:MGI:1917623] MDELVHDLASALEQTSEQSKLGELWEEMALSPRQQRRQLRKRRGRKRRSDFTHLAEHACC FSEASESSLDEATKDCREVAPLTNFSDSDDTVVAKRHPALSAIIRGKQHSWPESDSFTEN APCRPLRRRRKVKRVTSEVAASLQQKLKVSDWSYERGCRFKSAKKQRLSRWKENTPWTSS GHGLCESAENRTFLSQPGRKERMECEAEEQKHGSDENMSECDTSSVCSSSDTGLFTNDEG RQGDDEQSDWFYEGECVPGFTVHNLLPKWAPDHCTEVERMDSGLDKLSDPTFLLPSRPAQ RGYHGRLNRLPGAAARCLRKGRRRLPGKEASMSSLGTERIGHTISDPRQTDFWLPSAGKR ERNQFNPLSPLYSLDVLADASHRRCSPAHCSARQASVHWGPPCPRDIKRKRKPVASASFS SPSPVHPDVLEPAIPAQKPPDSEWLDGTSAAEKATAFPPATFFKMPQEKNSGCSSSPGTN GC >ENSMUSP00000046506.7 pep:known chromosome:GRCm38:15:5116613:5119140:1 gene:ENSMUSG00000041841.7 transcript:ENSMUST00000045356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl37 description:ribosomal protein L37 [Source:MGI Symbol;Acc:MGI:1914531] MTKGTSSFGKRRNKTHTLCRRCGSKAYHLQKSTCGKCGYPAKRKRKYNWSAKAKRRNTTG TGRMRHLKIVYRRFRHGFREGTTPKPKRAAVAASSSS >ENSMUSP00000111023.2 pep:known chromosome:GRCm38:18:57878678:57946821:1 gene:ENSMUSG00000024597.10 transcript:ENSMUST00000115366.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a2 description:solute carrier family 12, member 2 [Source:MGI Symbol;Acc:MGI:101924] MEPGPAAPSSGAPRPARDGDAPLAAAAGVDLPGTAVPSGQEDATTAGRQAGGGVRGEGTP AAGDGLGRPLGPTPSQSRFQVDPVSENAGRAAAAAAAAAAAAAAAGAAGKETPAAGKAGG ESGVAKGSEEAKGRFRVNFVDPAASSSADDSLSDAAGVGGDGPNVSFQNGGDTVLSEGSS LHSGGGSGHHQQYYYDTHTNTYYLRTFGHNTMDAVPRIDHYRHTAAQLGEKLLRPSLAEL HDELEKEPFEDGFANGEESTPTRDAVVAYTAESKGVVKFGWIKGVLVRCMLNIWGVMLFI RLSWIVGQAGIGLSVVVIAMATVVTTITGLSTSAIATNGFVRGGGAYYLISRSLGPEFGG AIGLIFAFANAVAVAMYVVGFAETVVELLKEHSILMIDEINDIRIIGAITVVILLGISVA GMEWEAKAQIVLLVILLLAIADFVIGTFISLESKKPKGFFGYKSEIFNENFGPDFREEET FFSVFAIFFPAATGILAGANISGDLADPQSAIPKGTLLAILITTVVYIGIAVSVGSCVVR DATGNVNDTITTELTNCTSAACKLNFDFSYCESNTCSYGLMNNFQVMSMVSGFAPLISAG IFSATLSSALASLVSAPKIFQALCKDNIYPAFQMFAKGYGKNNEPLRGYILTFLIALGFI LIAELNVIAPIISNFFLASYALINFSVFHASLAKSPGWRPAFKYYNMWISLIGAILCCIV MFVINWWAALLTYVIVLGLYIYVTYKKPDVNWGSSTQALTYLSALQHSIRLSGVEDHVKN FRPQCLVMTGSPNSRPALLHLVHDFTKNVGLMICGHVHMGPRRQAMKEMSIDQARYQRWL IKNKMKAFYAPVHADDLREGAQYLMQAAGLGRMKPNTLVLGFKKDWLQADMRDVDMYINL FHDAFDIQFGVVVIRLKEGLDISHLQGQEELLSSQEKSPGTKDVVVNVDYSKKSDQDTCK SSGEKSITQKDEEEDGKTPTQPLLKKESKGPIVPLNVADQKLLEASTQFQKKQGKNTIDV WWLFDDGGLTLLIPYLLTTKKKWKDCKIRVFIGGKINRIDHDRRAMATLLSKFRIDFSDI MVLGDINTKPKKENIIAFDDMIEPYRLHEDDKEQDIADKMKEDEPWRITDNELELYKTKT YRQIRLNELLKEHSSTANIIVMSLPVARKGAVSSALYMAWLEALSKDLPPVLLVRGNHQS VLTFYS >ENSMUSP00000074600.6 pep:known chromosome:GRCm38:19:3908870:3912717:-1 gene:ENSMUSG00000059734.6 transcript:ENSMUST00000075092.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs8 description:NADH dehydrogenase (ubiquinone) Fe-S protein 8 [Source:MGI Symbol;Acc:MGI:2385079] MYRLSSSMLPRALAQAMRTGHLNGQSLHSSAVAATYKYVNKKEQESEVDMKSATDNAARI LMWTELIRGLGMTLSYLFREPATINYPFEKGPLSPRFRGEHALRRYPSGEERCIACKLCE AICPAQAITIEAEPRADGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETH EELLYNKEKLLNNGDKWEAEIAANIQADYLYR >ENSMUSP00000010579.6 pep:known chromosome:GRCm38:8:12573049:12600738:1 gene:ENSMUSG00000010435.6 transcript:ENSMUST00000010579.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spaca7 description:sperm acrosome associated 7 [Source:MGI Symbol;Acc:MGI:1925884] MAANRGSRTFLSVFLLCCWQGAELQPIKTTSGPITEGSLNSTTENIPEALDEILAQEILE PKTSAVSETSPRPRSSILTTVQTKEINAGIDENYQEEAFENYHEVLENIEHLPTKEESGK NDRSTVANLHDHSSQTKHEPPSSPEGKGSSNDDVYGKLSVLDKILENIGQSEGSLELTES IF >ENSMUSP00000111488.2 pep:known chromosome:GRCm38:4:155614413:155645408:-1 gene:ENSMUSG00000073682.3 transcript:ENSMUST00000115821.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10563 description:predicted gene 10563 [Source:MGI Symbol;Acc:MGI:3642630] ETERKKERKKERKKERKKERKERKRRRRRRKRRRRRRKKKDRPSKKERKKERKKERKKEE KKRKEKKRERRDEKRRKEK >ENSMUSP00000027020.6 pep:known chromosome:GRCm38:9:4417899:4796234:-1 gene:ENSMUSG00000025892.15 transcript:ENSMUST00000027020.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria4 description:glutamate receptor, ionotropic, AMPA4 (alpha 4) [Source:MGI Symbol;Acc:MGI:95811] MRIICRQIVLLFSGFWGLAMGAFPSSVQIGGLFIRNTDQEYTAFRLAIFLHNTSPNASEA PFNLVPHVDNIETANSFAVTNAFCSQYSRGVFAIFGLYDKRSVHTLTSFCSALHISLITP SFPTEGESQFVLQLRPSLRGALLSLLDHYEWNCFVFLYDTDRGYSILQAIMEKAGQNGWH VSAICVENFNDVSYRQLLEELDRRQEKKFVIDCEIERLQNILEQIVSVGKHVKGYHYIIA NLGFKDISLERFIHGGANVTGFQLVDFNTPMVTKLMDRWKKLDQREYPGSETPPKYTSAL TYDGVLVMAETFRSLRRQKIDISRRGNAGDCLANPAAPWGQGIDMERTLKQVRIQGLTGN VQFDHYGRRVNYTMDVFELKSTGPRKVGYWNDMDKLVLIQDAPTLGNDTAAIENRTVVVT TIMESPYVMYKKNHEMFEGNDKYEGYCVDLASEIAKHIGIKYKIAIVPDGKYGARDADTK IWNGMVGELVYGKAEIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFL DPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEPEDGKEGPSDQPPNEFGIFNSLW FSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAED LAKQTEIAYGTLDSGSTKEFFRRSKIAVYEKMWTYMRSAEPSVFTRTTAEGVARVRKSKG KFAFLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSSLRNAVNLAVLKLNEQGL LDKLKNKWWYDKGECGSGGGDSKDKTSALSLSNVAGVFYILVGGLGLAMLVALIEFCYKS RAEAKRMKLTFSEAIRNKARLSITGSVGENGRVLTPDCPKAVHTGTAIRQSSGLAVIASD LP >ENSMUSP00000066980.7 pep:known chromosome:GRCm38:9:4417899:4796234:-1 gene:ENSMUSG00000025892.15 transcript:ENSMUST00000063508.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria4 description:glutamate receptor, ionotropic, AMPA4 (alpha 4) [Source:MGI Symbol;Acc:MGI:95811] MRIICRQIVLLFSGFWGLAMGAFPSSVQIGGLFIRNTDQEYTAFRLAIFLHNTSPNASEA PFNLVPHVDNIETANSFAVTNAFCSQYSRGVFAIFGLYDKRSVHTLTSFCSALHISLITP SFPTEGESQFVLQLRPSLRGALLSLLDHYEWNCFVFLYDTDRGYSILQAIMEKAGQNGWH VSAICVENFNDVSYRQLLEELDRRQEKKFVIDCEIERLQNILEQIVSVGKHVKGYHYIIA NLGFKDISLERFIHGGANVTGFQLVDFNTPMVTKLMDRWKKLDQREYPGSETPPKYTSAL TYDGVLVMAETFRSLRRQKIDISRRGNAGDCLANPAAPWGQGIDMERTLKQVRIQGLTGN VQFDHYGRRVNYTMDVFELKSTGPRKVGYWNDMDKLVLIQDAPTLGNDTAAIENRTVVVT TIMESPYVMYKKNHEMFEGNDKYEGYCVDLASEIAKHIGIKYKIAIVPDGKYGARDADTK IWNGMVGELVYGKAEIAIAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFSFL DPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHTEEPEDGKEGPSDQPPNEFGIFNSLW FSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIESAED LAKQTEIAYGTLDSGSTKEFFRRSKIAVYEKMWTYMRSAEPSVFTRTTAEGVARVRKSKG KFAFLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSSLRTPVNLAVLKLSEAGV LDKLKNKWWYDKGECGPKDSGSKDKTSALSLSNVAGVFYILVGGLGLAMLVALIEFCYKS RAEAKRMKLTFSEAIRNKARLSITGSVGENGRVLTPDCPKAVHTGTAIRQSSGLAVIASD LP >ENSMUSP00000129316.1 pep:known chromosome:GRCm38:9:4478263:4796234:-1 gene:ENSMUSG00000025892.15 transcript:ENSMUST00000163309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria4 description:glutamate receptor, ionotropic, AMPA4 (alpha 4) [Source:MGI Symbol;Acc:MGI:95811] MRIICRQIVLLFSGFWGLAMGAFPSSVQIGGLFIRNTDQEYTAFRLAIFLHNTSPNASEA PFNLVPHVDNIETANSFAVTNAFCSQYSRGVFAIFGLYDKRSVHTLTSFCSALHISLITP SFPTEGESQFVLQLRPSLRGALLSLLDHYEWNCFVFLYDTDRGYSILQAIMEKAGQNGWH VSAICVENFNDVSYRQLLEELDRRQEKKFVIDCEIERLQNILEQIVSVGKHVKGYHYIIA NLGFKDISLERFIHGGANVTGFQLVDFNTPMVTKLMDRWKKLDQREYPGSETPPKYTSAL TYDGVLVMAETFRSLRRQKIDISRRGNAGDCLANPAAPWGQGIDMERTLKQVRIQGLTGN VQFDHYGRRVNYTMDVFELKSTGPRKVGYWNDMDKLVLIQDAPTLGNDTAAIENRTVVVT TIMPLMKNPILRN >ENSMUSP00000136052.1 pep:known chromosome:GRCm38:3:55461985:55472210:-1 gene:ENSMUSG00000094962.1 transcript:ENSMUST00000179544.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21954 description:predicted gene, 21954 [Source:MGI Symbol;Acc:MGI:5439423] GSQLRTPRSAPSPAPSP >ENSMUSP00000093980.3 pep:known chromosome:GRCm38:19:8793129:8798557:-1 gene:ENSMUSG00000071662.3 transcript:ENSMUST00000096261.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2g description:polymerase (RNA) II (DNA directed) polypeptide G [Source:MGI Symbol;Acc:MGI:1914960] MFYHISLEHEILLHPRYFGPNLLNTVKQKLFTEVEGTCTGKYGFVIAVTTIDNIGAGVIQ PGRGFVLYPVKYKAIVFRPFKGEVVDAVVTQVNKVGLFTEIGPMSCFISRHSIPSEMEFD PNSNPPCYKTMDEDIVIQQDDEIRLKIVGTRVDKNDIFAIGSLMDDYLGLVS >ENSMUSP00000074581.4 pep:known chromosome:GRCm38:12:98268635:98276624:1 gene:ENSMUSG00000021886.6 transcript:ENSMUST00000075072.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr65 description:G-protein coupled receptor 65 [Source:MGI Symbol;Acc:MGI:108031] MAMNSMCIEEQRHLEHYLFPVVYIIVFIVSVPANIGSLCVSFLQAKKENELGIYLFSLSL SDLLYALTLPLWINYTWNKDNWTFSPTLCKGSVFFTYMNFYSSTAFLTCIALDRYLAVVY PLKFSFLRTRRFAFITSLSIWILESFFNSMLLWKDETSVEYCDSDKSNFTLCYDKYPLEK WQINLNLFRTCMGYAIPLITIMICNHKVYRAVRHNQATENSEKRRIIKLLASITLTFVLC FTPFHVMVLIRCVLERDMNVNDKSGWQTFTVYRVTVALTSLNCVADPILYCFVTETGRAD MWNILKLCTRKHNRHQGKKRDILSVSTRDAVELEIID >ENSMUSP00000040249.8 pep:known chromosome:GRCm38:14:33954022:33964216:1 gene:ENSMUSG00000041534.8 transcript:ENSMUST00000035695.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbp3 description:retinol binding protein 3, interstitial [Source:MGI Symbol;Acc:MGI:97878] MMREWVLVLSTLLWVPAGPTHLFQPSLVLDMAKILLDNYCFPENLMGMQAAIEQAMKSHE ILGISDPQTLAQVLTAGVQSSLSDPRLFISYEPSTLEAPQQAPVLTNLTREELLAQIQRN IRHEVLEGNVGYLRVDDLPGQEVLSELGEFLVSHVWRQLMSTSSLVLDLRHCSGGHFSGI PYVISYLHPGNTVMHVDTVYDRPSNTTTEIWTLPEVLGERYSADKDVVVLTSGHTGGVAE DIAYILKQMRRAIVVGERTEGGALDLQKLRIGQSNFFLTVPVSRSLGPLGGGGQTWEGSG VLPCVGTPAEQALEKALAILTLRRALPGVVLRLQEALQDYYTLVDRVPGLLHHLASMDYS AVVSEEDLVTKLNAGLQAVSEDPRLLVRATGPRDSSSRPETGPNESPAATPEVPTEEDAR RALVDSVFQVSVLPGNVGYLRFDRFADAAVLETLGPYVLKQVWEPLQDTEHLIMDLRHNP GGPSSAMPLVLSYFQGPEAGPVRLFTTYDRRTNITQEHFSHRELLGQRYGNQRGVYLLTS HRTATAAEEFAFLMQSLGWATLVGEITAGSLLHTCTVPLLDSPQGGLALTVPVLTFIDNH GEAWLGGGVVPDAIVLAEEALERAQEVLDFHRSLGALVEGTGRLLEAHYARPEIAQRARA LLQSKLAQGAYRTAVDLESLASQLTADLQEVSEDHRLLVFHSPGELVAEEVPLPPPAVPS PEELSYLIEALFKTDVLPGQLGYLRFDAMAELETVKAIGPQLVQLVWQRLVDTAALIVDL RYNPGSYSSAVPLLCSYFFEAEPRQHLYSVFDRATSRVTEIWTLPLVAGQRYGSHKDLYI LMSHTSGSAAEAFAHTMQDLQRATVIGEPTAGGALSVGIYQVGNSPLYASMPTQMALSAS TGEAWDLAGVEPDITVPMSEALSTAQDIVVLRAKVPTVLQTAGKLVADNYASPELGAKMA AKLSGLQSRYARVTSEGALAEMLGADLQILSGDPHLKTAHIPEDAKDRIPGIVPMQIPSP EVFEDLIKFSFHTNVLEDNIGYLRFDMFGDCELLTQVSELLVEHIWKKIVHTDALIIDMR FNLGGPTSSISALCSYFFDEAPPILLDKIYNRPNDSVSELWTHTQLTGERYGSKKSVAIL TSGVTAGAAEEFTYIMKRLGRALVIGEVTSGGCQPPQTYHVDDTHLYITIPTARSVGAED GSSWEGVGVTPNVVVSSELALTRAKEILQQALRG >ENSMUSP00000051973.5 pep:known chromosome:GRCm38:15:41865293:41869720:-1 gene:ENSMUSG00000042895.5 transcript:ENSMUST00000054742.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abra description:actin-binding Rho activating protein [Source:MGI Symbol;Acc:MGI:2444891] MAPGEREREAGPAKSALRKVRTATLVINLARGWQQWANENSTKQAQEPAGWLPGATHDLP NAPKEAGPYQHAPKTLSPKPDRDGEGQHSEEATEVSHIKRKEVTRTVVSKAYERGGDVNY LSHRYENDGGVSEAIQPENDIDRILLSHDSPTRRRKCTNLVSELTKGWKVMEQEEPTWKS DSVDTEDSGYGGDMEERPEQDAAPVAPARIKRPLLSQANRYSETLNCKAHRKYSQVDNLK GRWQQWADEHVQSQKLNPFSDEFDYDLAMSTRLHKGDEGYGRPKEGSKTAERAKRAEEHI YREIMELCFVIRTMARHRRDGKIQVTFGELFDRYVRISDKVVGILMRARKHGLVHFEGEM LWQGRDDHVVITLLE >ENSMUSP00000129054.1 pep:known chromosome:GRCm38:10:26229707:26260804:1 gene:ENSMUSG00000051354.13 transcript:ENSMUST00000164660.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd3 description:sterile alpha motif domain containing 3 [Source:MGI Symbol;Acc:MGI:2685469] METWSVDQVCKWLVEKNLGELVPRFQEEEVSGATLLALNDRMVQQLVKKIGHQAVLMDFI KKYKQGNQELKPTGGPADTSTLTPAQAAPEHEQNPSPTSHGDQTSLYPAVLDNRLIDQRV LKQRRNVKHVLARHKALQWTKSYILPEFPYDVKCMLVEQKRPDHSMRIRIIEFLQADMTK YLEGSLYPTTQQYNDVVNALLQAHPFLDEDGCGFFLWKRALKDRFKYIRRPIEDDEQVMR NKCKFGHRRGQTRKSLADIQSNEIKIVQIKPSA >ENSMUSP00000057805.5 pep:known chromosome:GRCm38:10:26229707:26272172:1 gene:ENSMUSG00000051354.13 transcript:ENSMUST00000060716.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd3 description:sterile alpha motif domain containing 3 [Source:MGI Symbol;Acc:MGI:2685469] METWSVDQVCKWLVEKNLGELVPRFQEEEVSGATLLALNDRMVQQLVKKIGHQAVLMDFI KKYKQGNQELKPTGGPADTSTLTPAQAAPEHEQNPSPTSHGDQTSLYPAVLDNRLIDQRV LKQRRNVKHVLARHKALQWTKSYILPEFPYDVKCMLVEQKRPDHSMRIRIIEFLQADMTK YLEGSLYPTTQQYNDVVNALLQAHPFLDEDGCGFFLWKRALKDRFKYIRRPIEDDEQVMR NKCKFGHRRGQTRKSLADIQSNEIKIVQIKEESAHLDSEVDEHISWFQQEYMKTERDWRE VDKRMSQTLEIRRKMIGGQTPLKDILKMFPFLKCPYQMFREVQILTKTDIYKKTRHILES YSENILTAFSVLDNPINTALQEKMKHYTDEGVLKYMKMTATCLLLPHVFGDEPSLFVVVN GKVHVSTPVLEVKNPFHINGCEFSLYLNKEKLTKVDDCVTALAALVSAFRVFGIECPRRL SQTFNFLETLIFDMQSPQFPSLKEKEIRSQPPIT >ENSMUSP00000043220.8 pep:known chromosome:GRCm38:18:9707648:9877995:1 gene:ENSMUSG00000036103.8 transcript:ENSMUST00000040069.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Colec12 description:collectin sub-family member 12 [Source:MGI Symbol;Acc:MGI:2152907] MKDDFAEEEEVQSFGYKRFGIQEGTQCTKCKNNWALKFSIVLLYILCALLTITVAILGYK VVEKMDNVTDGMETSHQTYDNKLTAVESDLKKLGDQAGKKALSTNSELSTFRSDILDLRQ QLQEITEKTSKNKDTLEKLQANGDSLVDRQSQLKETLQNNSFLITTVNKTLQAYNGYVTN LQQDTSVLQGNLQSQMYSQSVVIMNLNNLNLTQVQQRNLISNLQQSVDDTSLAIQRIKND FQNLQQVFLQAKKDTDWLKEKVQSLQTLAANNSALAKANNDTLEDMNSQLSSFTGQMDNI TTISQANEQSLKDLQDLHKDTENRTAVKFSQLEERFQVFETDIVNIISNISYTAHHLRTL TSNLNDVRTTCTDTLTRHTDDLTSLNNTLVNIRLDSISLRMQQDMMRSKLDTEVANLSVV MEEMKLVDSKHGQLIKNFTILQGPPGPRGPKGDRGSQGPPGPTGNKGQKGEKGEPGPPGP AGERGTIGPVGPPGERGSKGSKGSQGPKGSRGSPGKPGPQGPSGDPGPPGPPGKDGLPGP QGPPGFQGLQGTVGEPGVPGPRGLPGLPGVPGMPGPKGPPGPPGPSGAMEPLALQNEPTP ASEVNGCPPHWKNFTDKCYYFSLEKEIFEDAKLFCEDKSSHLVFINSREEQQWIKKHTVG RESHWIGLTDSEQESEWKWLDGSPVDYKNWKAGQPDNWGSGHGPGEDCAGLIYAGQWNDF QCDEINNFICEKEREAVPSSIL >ENSMUSP00000078568.1 pep:known chromosome:GRCm38:9:19374941:19375879:-1 gene:ENSMUSG00000059821.3 transcript:ENSMUST00000079620.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr847 description:olfactory receptor 847 [Source:MGI Symbol;Acc:MGI:3030681] MEPGNQTGTSYFILMRLNYDPTVEPLIFGFFMFTYLVTIVGNLLIIIAVSSDSHLQTPMY LFLSKLSFTDICLSTTTVPNMLKNIHTQDHSISYTGCLTQACFVLSFAVLESSVLAAMAY DRYAAICHPLNYTVIMNPQFCGLLILLSLIISTANSLLQCLMILRLSFCTNNELPLFFCE LAQVIKLACSDTLINYILIYLATFIFGGIPISGIIFSYTRIVSSILKISSLRGRYKAFST CGSHFVVVSLFYGAAVGVYICSAITVSPQITTVSYMMYTVLPQMLNPFIYSLRNRDMKKA LGKLITKVSCFL >ENSMUSP00000022428.5 pep:known chromosome:GRCm38:14:51091077:51106151:1 gene:ENSMUSG00000021876.14 transcript:ENSMUST00000022428.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase4 description:ribonuclease, RNase A family 4 [Source:MGI Symbol;Acc:MGI:1926217] MMDLQRTQSLLLLLVLTLLGLGLVQPSYGQDRMYQRFLRQHVDPQVTGGNDNYCNVMMQR RKMTSVQCKRFNTFIHEDIWNIRGICSTTNILCKNGQMNCHEGVVKVTDCRETGNSKAPN CRYRARTSTRRVVIACEGDPEVPVHFDR >ENSMUSP00000127274.1 pep:known chromosome:GRCm38:14:51096000:51106151:1 gene:ENSMUSG00000021876.14 transcript:ENSMUST00000169895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase4 description:ribonuclease, RNase A family 4 [Source:MGI Symbol;Acc:MGI:1926217] MMDLQRTQSLLLLLVLTLLGLGLVQPSYGQDRMYQRFLRQHVDPQVTGGNDNYCNVMMQR RKMTSVQCKRFNTFIHEDIWNIRGICSTTNILCKNGQMNCHEGVVKVTDCRETGNSKAPN CRYRARTSTRRVVIACEGDPEVPVHFDR >ENSMUSP00000058810.7 pep:known chromosome:GRCm38:9:19917229:19922510:1 gene:ENSMUSG00000051118.7 transcript:ENSMUST00000057596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr77 description:olfactory receptor 77 [Source:MGI Symbol;Acc:MGI:2153206] MEAENHTAIVHFLLIGLSEDPKIQSVLFGLFLSMFLITMLGNFLIVLVTSCDSHLHTPMY FFLCNLSFVDICLTSTTIPKMLVNIYMHTMKISYTECLTQVYFFNNFLGMDNFLLTIMAY DRFVAICHPLNYTVIMNPRICGLLVLLSWIIMFWVSLIHMLLMKQLNFSTSTEIPHFFCE LTELLRVARSDTHINNIFLYLVTAVLGMFPVIGIAFSYFHIVSALMKMSSIKNKYKAFST CGSHLCVVSMFYGTGFVVHLSSAVAHSSKRNTITSIMYTVVTPMLNPFIYSLRNKDVKGA LVRLLRRVKFCP >ENSMUSP00000074707.6 pep:known chromosome:GRCm38:13:35659862:35874064:1 gene:ENSMUSG00000059288.13 transcript:ENSMUST00000075220.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdyl description:chromodomain protein, Y chromosome-like [Source:MGI Symbol;Acc:MGI:1339956] MGIGNSQPNSQEAQLCTLPEKAEQPTDDNTCQQNNVVPATVSEPDQASPAIQDAETQVES IVDKRKNKKGKTEYLVRWKGYDSEDDTWEPEQHLVNCEEYIHDFNRRHNERQKEGSLARA SRASPSNARKQISRSTHSTLSKTNSKALVVGKDHESKSSQLLAASQKFRKNPAPSLANRK NMDLAKSGIKILVPKSPVKGRTSVDGFQGESPEKLDPVDQGAEDTVAPEVTAEKPTGALL GPGAERARMGSRPRIHPLVPQVSGPVTAAMATGLAVNGKGTSPFMDALAANGTVTIQTSV TGVTAGKRKFIDDRRDQPFDKRLRFSVRQTESAYRYRDIVVRKQDGFTHILLSTKSSENN SLNPEVMKEVQSALSTAAADDSKLVLLSAVGSVFCCGLDFIYFIRRLTDDRKRESTKMAD AIRNFVNTFIQFKKPIIVAVNGPAIGLGASILPLCDVVWANEKAWFQTPYTTFGQSPDGC STVMFPKIMGGASANEMLFSGRKLTAQEACGKGLVSQVFWPGTFTQEVMVRIKELASCNP VVLEESKALVRCNMKMELEQANERECEVLKKIWGSAQGMDSMLKYLQRKIDEF >ENSMUSP00000131784.2 pep:known chromosome:GRCm38:13:35741313:35874058:1 gene:ENSMUSG00000059288.13 transcript:ENSMUST00000163595.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdyl description:chromodomain protein, Y chromosome-like [Source:MGI Symbol;Acc:MGI:1339956] MASEELYEVESIVDKRKNKKGKTEYLVRWKGYDSEDDTWEPEQHLVNCEEYIHDFNRRHN ERQKEGSLARASRASPSNARKQISRSTHSTLSKTNSKALVVGKDHESKSSQLLAASQKFR KNPAPSLANRKNMDLAKSGIKILVPKSPVKGRTSVDGFQGESPEKLDPVDQGAEDTVAPE VTAEKPTGALLGPGAERARMGSRPRIHPLVPQVSGPVTAAMATGLAVNGKGTSPFMDALA ANGTVTIQTSVTGVTAGKRKFIDDRRDQPFDKRLRFSVRQTESAYRYRDIVVRKQDGFTH ILLSTKSSENNSLNPEVMKEVQSALSTAAADDSKLVLLSAVGSVFCCGLDFIYFIRRLTD DRKRESTKMADAIRNFVNTFIQFKKPIIVAVNGPAIGLGASILPLCDVVWANEKAWFQTP YTTFGQSPDGCSTVMFPKIMGGASANEMLFSGRKLTAQEACGKGLVSQVFWPGTFTQEVM VRIKELASCNPVVLEESKALVRCNMKMELEQANERECEVLKKIWGSAQGMDSMLKYLQRK IDEF >ENSMUSP00000005862.7 pep:known chromosome:GRCm38:16:4544661:4559720:-1 gene:ENSMUSG00000005718.7 transcript:ENSMUST00000005862.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap4 description:transcription factor AP4 [Source:MGI Symbol;Acc:MGI:103239] MEYFMVPTQKVPSLQHFRKTEKEVIGGLCSLANIPLTPETQRDQERRIRREIANSNERRR MQSINAGFQSLKTLIPHTDGEKLSKAAILQQTAEYIFSLEQEKTRLLQQNTQLKRFIQEL SGSSPKRRRAEDKDEGIGSPDIWEDEKAEDLRREMIELRQQLDKERSVRMMLEEQVRSLE AHMYPEKLKVIAQQVQLQQQQEQVRLLHQEKLEREQQHLRTQLLPPPAPTHHPTVIVPAP APPSSHHINVVTMGPSSVINSVSTSRQNLDTIVQAIQHIEGTQDKQELEEEQRRAVIVKS VRSCPDAHTSDTASDSEASDSDAMDQSREEPLGDGELP >ENSMUSP00000087727.4 pep:known chromosome:GRCm38:18:60268301:60273267:-1 gene:ENSMUSG00000068606.5 transcript:ENSMUST00000090260.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4841 description:predicted gene 4841 [Source:MGI Symbol;Acc:MGI:3643814] MGQLFSHIPKDEDKGNLESSFTEYFRNYKQETKIISEETTRSIELCLKRGDFQRANSVIS DALKNIDNTPINIAVTGESGAGKSSLINALREVKAEEESAAEVGVTETTMKVSSYKHPKV KNLTLWDLPGIGTMKFQPKDYLEKVEFKKYDFFIIVSSSRFTKLELDLAKATRIMKKNYY FVRSKVDCDLDNEKKSKPRNFNRENTLNQVRNSYLDTFRESKIDEPQVFLISNHDLSDYD FPVLMDTLLKDLPAEKRQNFLLSLPNITEAAIQKKYNSTKQIIWLQATKDGLLATVPVVG ILKDLDKERLKKRLDYYRDLFGVDDESLMFMAKDAQVPVELLIKNLKSPNLLKCKEETLE ELLLNCVEKFASANGGLLAAGLYFRKTYYLQFHFLDTVAEDAKVLLKAAQTHFAHSF >ENSMUSP00000083664.3 pep:known chromosome:GRCm38:9:20313892:20336094:-1 gene:ENSMUSG00000066896.3 transcript:ENSMUST00000086473.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr18 description:olfactory receptor 18 [Source:MGI Symbol;Acc:MGI:109317] MMDRYSFIMHQHRDDTVWCPSKIEEQNITRISEFHLMGLSDDLQLQPILFGLFLSMYLVT LLGNLLIILTVSSDSHLHSPMYFFLSNLSLADVSFTSTTLPKMIVDIQTHNRAISYSGCL TQMSFFMLFGCLDSLLLTAMAYDRFVAICHPLHYQFIMNPRLCGLLVFLSVLISLFVSQL HNSVVLQLTYFKSVDISHFFCDPSQLLNLACSDTFTNNIVMYFVGAISGFLPISGIFFSY YKIVSSILRMPSPGGKYKAFSTCGSHLSVVCLFYGTGLGVYLSSAVSLSPRKGAVASIVY TVVTPMLNPFIYSLRNQDIKRAMWRLLRKTV >ENSMUSP00000083561.6 pep:known chromosome:GRCm38:9:21288463:21291209:-1 gene:ENSMUSG00000096472.1 transcript:ENSMUST00000086374.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn2d description:cyclin-dependent kinase inhibitor 2D (p19, inhibits CDK4) [Source:MGI Symbol;Acc:MGI:105387] MLLEEVCVGDRLSGAAARGDVQEVRRLLHRELVHPDALNRFGKTALQVMMFGSPAVALEL LKQGASPNVQDASGTSPVHDAARTGFLDTLKVLVEHGADVNALDSTGSLPIHLAIREGHS SVVSFLAPESDLHHRDASGLTPLELARQRGAQNLMDILQGHMMIPM >ENSMUSP00000025444.6 pep:known chromosome:GRCm38:18:74216131:74221491:1 gene:ENSMUSG00000024560.6 transcript:ENSMUST00000025444.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxxc1 description:CXXC finger 1 (PHD domain) [Source:MGI Symbol;Acc:MGI:1921572] MEGDGSDLEPPDAGDDSKSENGENAPIYCICRKPDINCFMIGCDNCNEWFHGDCIRITEK MAKAIREWYCRECREKDPKLEIRYRHKKCRERDGSERAGSEPRDEGGGRKRPASDPELQR RAGSGTGVGAMLARGSASPHKSSPQPLVATPSQHHHQQQQQQQQQIKRSARMCGECEACR RTEDCGHCDFCRDMKKFGGPNKIRQKCRLRQCQLRARESYKYFPSSLSPVTPSEALPRPR RPPPTQQQPQQSQKLGRIREDEGTVLSSVVKEPPEATATPEPLSDEDLALDPDLYQDFCA GAFDDHGLPWMSDAEESPFLDPALRKRAVKVKHVKRREKKSEKKKEERYKRHRQKQKHKD KWKHPERADAKDPASLPQCLGPGCVRAAQPGSKYCSDDCGMKLAANRIYEILPQRIQQWQ QSPCIAEEHGKKLLERIRREQQSARTRLQEMERRFHELEAIILRAKQQAVREDEENNEND SDDTDLQIFCVSCGHPINPRVALRHMERCYAKYESQTSFGSMYPTRIEGATRLFCDVYNP QSKTYCKRLQVLCPEHSRDPKVPADEVCGCPLVRDVFELTGDFCRLPKRQCNRHYCWEKL RRAEVDLERVRVWYKLDELFEQERNVRTAMTNRAGLLALMLHQTIQHDPLTTDLRSSADR >ENSMUSP00000039392.7 pep:known chromosome:GRCm38:17:21657582:21662540:1 gene:ENSMUSG00000035868.8 transcript:ENSMUST00000039726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110052M02Rik description:RIKEN cDNA 3110052M02 gene [Source:MGI Symbol;Acc:MGI:1920479] MDNFPVNMSQCLLTFGDVSVDFSQEEWECLDSAQRALYIDVMLENYSNLVSVENYSTCDT VHQHVKTQKESCQRNNLGEMLRDPSHDALYKRGDTTETSNNHRCCKDRDSSVDSSNQCRH RSIHTGEEPCISEDCEKSLNVCSSIIQDKRLYTANKKHREDEYDNYFNCTYNLMQQKVYL EEKSHECGKCGKCFSTSSRLIKHQRIHTGNKPFKCDICDKSYNRCANLKIHQRVHTGEKP YKCKECGKSFRQTSVLKSHQKMHTGEKPYKCKQCDKSFAHSSSFRTHQKIHTSEEHCSCS ECGREFHQLSHLRKHYRLHTGEKPYKCNECDASFTHYASLKWHQKTHTPEIHYECKECGK SFLELSHLKRHSRTHTGEKPYKCEVCDKSFSVNSALKIHSKIHTGEKPYKCMECDKSFTH NSNLRAHQRVHTGEKPYKCTECDKSFTRCSYLRAHQKIHT >ENSMUSP00000093155.4 pep:known chromosome:GRCm38:17:25786580:25790513:1 gene:ENSMUSG00000071202.4 transcript:ENSMUST00000095500.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc78 description:coiled-coil domain containing 78 [Source:MGI Symbol;Acc:MGI:2685784] MDQRPELLSSMEYVASPDPKPGVPLRVAENVAPGAEDWLPSASGHLAWATSLETEHQTHL ELSEEQRLQISKELVDLQIATHHLREQHEAEVFELRREILRLESRVLELELHGNGACQGH KVQPMANLGQHQVPPLEPPGGQQKLQEELKWLLEHHRARQQALETQVGVLSQQLQGAREE ARTTGQQLASQAMVLASCKGQLRQAEAENTQLQLQLKKMNEEYAVRLQHYARETVENASS TNQAALQAFLESTLQDIRAAHRTREQQLAQAARTYRKRLADLNQRQELLLTTCRATFATA INLEPLPMHWATELSHPRENEYGRHRTLLLYPEKGSGETSKENKSQPLALDTASWAQIQQ RLQDFSQDTQAELERERAQLMVRATMAEQQLSELQEYVDQHLGRYKQEILKLRKLVNIGD PQGVEAVSSPGSGGARL >ENSMUSP00000037719.8 pep:known chromosome:GRCm38:13:56609603:56639339:1 gene:ENSMUSG00000035493.9 transcript:ENSMUST00000045173.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbi description:transforming growth factor, beta induced [Source:MGI Symbol;Acc:MGI:99959] MALLMRLLTLALALSVGPAGTLAGPAKSPYQLVLQHSRLRGRQHGPNVCAVQKVIGTNKK YFTNCKQWYQRKICGKSTVISYECCPGYEKVPGEKGCPAALPLSNLYETMGVVGSTTTQL YTDRTEKLRPEMEGPGSFTIFAPSNEAWSSLPAEVLDSLVSNVNIELLNALRYHMVDRRV LTDELKHGMTLTSMYQNSNIQIHHYPNGIVTVNCARLLKADHHATNGVVHLIDKVISTIT NNIQQIIEIEDTFETLRAAVAASGLNTVLEGDGQFTLLAPTNEAFEKIPAETLNRILGDP EALRDLLNNHILKSAMCAEAIVAGMSMETLGGTTLEVGCSGDKLTINGKAVISNKDILAT NGVIHFIDELLIPDSAKTLLELAGESDVSTAIDILKQAGLDTHLSGKEQLTFLAPLNSVF KDGVPRIDAQMKTLLLNHMVKEQLASKYLYSGQTLDTLGGKKLRVFVYRNSLCIENSCIA AHDKRGRFGTLFTMDRMLTPPMGTVMDVLKGDNRFSMLVAAIQSAGLMEILNREGVYTVF APTNEAFQAMPPEELNKLLANAKELTNILKYHIGDEILVSGGIGALVRLKSLQGDKLEVS SKNNVVSVNKEPVAETDIMATNGVVYAINTVLQPPANRPQERGDELADSALEIFKQASAY SRAAQRSVRLAPVYQRLLERMKH >ENSMUSP00000136383.1 pep:known chromosome:GRCm38:15:34349176:34356421:-1 gene:ENSMUSG00000094447.1 transcript:ENSMUST00000179647.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430069I07Rik description:RIKEN cDNA 9430069I07 gene [Source:MGI Symbol;Acc:MGI:1924608] MSITKAAGSLRISRMGRAQCLTTEMYEPGVLRQKWAQLCSKTLHMWTAAKEDKEGFLGAP RQTQVLFGMRSSSGKLSWAMKDTPCPHPFFYKENIFPTVLRLMRGEEVLRL >ENSMUSP00000099088.1 pep:known chromosome:GRCm38:X:56524742:56525432:1 gene:ENSMUSG00000073173.1 transcript:ENSMUST00000101552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10477 description:predicted gene 10477 [Source:MGI Symbol;Acc:MGI:3642464] FSSEYGRLFKILEEVQGPVEVRIQFVEFSIKEAARFKRHHLIQCLEKKREKFLTE >ENSMUSP00000072645.3 pep:known chromosome:GRCm38:15:34837381:34842337:1 gene:ENSMUSG00000050963.6 transcript:ENSMUST00000072868.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcns2 description:K+ voltage-gated channel, subfamily S, 2 [Source:MGI Symbol;Acc:MGI:1197011] MTRQSLWDVSDTDVEDGEIRINVGGFKRRLRSHTLLRFPETRLGRLLLCHSREAILELCD DYDDVQREFYFDRNPELFPYVLHFYHTGKLHVMAELCVFSFSQEIEYWGINEFFIDSCCS YSYHGRKVEPEQEKWDEQSDQESTTSSFDEILAFYNDASKFDGQPLGNFRRQLWLALDNP GYSVLSRVFSVLSILVVLGSIITMCLNSLPDFQIPDSQGNPGEDPRFEIVEHFGIAWFTF ELVARFAVAPDFLKFFKNALNLIDLMSIVPFYITLVVNLVVESSPTLANLGRVAQVLRLM RIFRILKLARHSTGLRSLGATLKYSYKEVGLLLLYLSVGISIFSVVAYTIEKEENEGLAT IPACWWWATVSMTTVGYGDVVPGTTAGKLTASACILAGILVVVLPITLIFNKFSHFYRRQ KQLESAMRSCDFGDGMKEVPSVNLRDYYAHKVKSLMASLTNMSRSSPSELSLDDSLH >ENSMUSP00000008957.5 pep:known chromosome:GRCm38:14:51918425:51920699:1 gene:ENSMUSG00000008813.12 transcript:ENSMUST00000008957.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tppp2 description:tubulin polymerization-promoting protein family member 2 [Source:MGI Symbol;Acc:MGI:2684923] MASEAERTFHRFAVFGESSSSSKEITNKNFSKLCKDCDIMDGKAVTSTDVDIVFSKVKAK NARTINFQQFQEAMKELGQKRFKGKNPDEALQGVFKLMEGKDPATTGVTKSTTVGGVDRL TDTSKYTGTHKERFDESGKGKGIEGREETTDNSGYVSGYKGAGTYDKKNQ >ENSMUSP00000136454.1 pep:known chromosome:GRCm38:14:51918761:51920699:1 gene:ENSMUSG00000008813.12 transcript:ENSMUST00000177625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tppp2 description:tubulin polymerization-promoting protein family member 2 [Source:MGI Symbol;Acc:MGI:2684923] MASEAERTFHRFAVFGESSSSSKEITNKNFSKLCKDCDIMDGKAVTSTDVDIVFSKVKAK NARTINFQQFQEAMKELGQKRFKGKNPDEALQGVFKLMEGKDPATTGVTKSTTVGGVDRL TDTSKYTGTHKERFDESGKGKGIEGREETTDNSGYVSGYKGAGTYDKKNQ >ENSMUSP00000132092.1 pep:known chromosome:GRCm38:9:24557048:24696293:-1 gene:ENSMUSG00000085576.2 transcript:ENSMUST00000133010.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpy19l2 description:dpy-19-like 2 (C. elegans) [Source:MGI Symbol;Acc:MGI:2444662] MVGPTRSKLREGSSDRPQSSCTGQARRRWSAATMEPQQERSAPQERTKWSLLQHFLLGGR KLPSGARNYAARRIQSLNAQNYFQLEEVAKLLLLNRFQFLFTLLDHFREKVQALQMHRFS HRTLFGLAIFVGILHWLHLITLFENDHHFSHLSSLEREMTFRTEMGLYYSYFKTIIEAPS FLEGLWMIMNDRLTEYPLVINTVKRFHLYPEVVIAYWYRTIIGIMNLFGIETKTCWNVTR MEPLNEVQSCEGLGDPACFYIGVIFILNGLMMGLFFIYSTYLSGSQLGGLITVACYFFNH GEATRVMWTPPLRESFSYPFLVLQMYILTIILRTSTVHKKHYMALCFSNVAFMLPWQFAQ FILFTQIASLFPMYVVGYIEPSKFQKIIYVNMSSVALCFILMFGNSMYLSSYYSSCLLVT WAIMQKKSKIQKLGGTELQFWLIQGCFWWCGTIILKFLTSKICGVSDHIRLSDLIAARIL RYTDFDTLIYTCAPEFDFMEQATPLRYIKTLLLPLILVITYLIFKKIVRDIMCVLYTNTY VRKQLLDNAELIFHTLQLLAFTGLAILIMRLKLFLTPHMCIMASLICSQRLFGWLFCRIH FENVVFGILTMMSIQGCANLHNQWSIMGEFTNLPQEELIHWIKHSTRPDAVFAGAMPTMA SIKLSTLRPIVNHPHYEDADLRARTKIVYSVYSRKSAVEVRNNLLKLHVNYYVLEEAWCV VRTKPGCSMLEIWDVEDPSNAANPPLCSILLKDSRPYFTTVFQNSMYRVLKIN >ENSMUSP00000110563.1 pep:known chromosome:GRCm38:16:35154877:35305737:1 gene:ENSMUSG00000022840.8 transcript:ENSMUST00000114913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy5 description:adenylate cyclase 5 [Source:MGI Symbol;Acc:MGI:99673] MSGSKSVSPPGYAAQTAASPAPRGGPEHRAAWGEADSRANGYPHAPGGSTRGSTKRSGGA VTPQQQQRLASRWRGGDDDEDPPLSGDDPLAGGFGFSFRSKSAWQERGGDDGGRGSRRQR RGAAGGGSTRAPPAGGSGSSAAAAAAAGGTEVRPRSVELGLEERRGKGRAAEELEPGTGI VEDGDGSEDGGSSVASGSGTGAVLSLGACCLALLQIFRSKKFPSDKLERLYQRYFFRLNQ SSLTMLMAVLVLVCLVMLAFHAARPPLQIAYLAVLAAAVGVILIMAVLCNRAAFHQDHMG LACYALIAVVLAVQVVGLLLPQPRSASEGIWWTVFFIYTIYTLLPVRMRAAVLSGVLLSA LHLAISLHTNSQDQFLLKQLVSNVLIFSCTNIVGVCTHYPAEVSQRQAFQETRECIQARL HSQRENQQQERLLLSVLPRHVAMEMKADINAKQEDMMFHKIYIQKHDNVSILFADIEGFT SLASQCTAQELVMTLNELFARFDKLAAENHCLRIKILGDCYYCVSGLPEARADHAHCCVE MGMDMIEAISLVREVTGVNVNMRVGIHSGRVHCGVLGLRKWQFDVWSNDVTLANHMEAGG KAGRIHITKATLNYLNGDYEVEPGCGGDRNAYLKEHSIETFLILSCTQKRKEEKAMIAKM NRQRTNSIGHNPPHWGAERPFYNHLGGNQVSKEMKRMGFEDPKDKNAQESANPEDEVDEF LGRAIDARSIDRLRSEHVRKFLLTFREPDLEKKYSKQVDDRFGAYVACASLVFLFICFVQ ITIVPHSLFMLSFYLSCFLLLALVVFVSVIYACVKLFPTPLQTLSRKIVRSKKNSTLVGV FTITLVFLSAFVNMFMCNSKNLVGCLAEEHNITVNQVNACHVMESAFNYSLGDEQGFCGS PQPNCNFPEYFTYSVLLSLLACSVFLQISCIGKLVLMLAIEFIYVLIVEVPGVTLFDNAD LLVTANAIDFSNNGTSQCPEHATKVALKVVTPIIISVFVLALYLHAQQVESTARLDFLWK LQATEEKEEMEELQAYNRRLLHNILPKDVAAHFLARERRNDELYYQSCECVAVMFASIAN FSEFYVELEANNEGVECLRLLNEIIADFDEIISEDRFRQLEKIKTIGSTYMAASGLNDST YDKAGKTHIKAIADFAMKLMDQMKYINEHSFNNFQMKIGLNIGPVVAGVIGARKPQYDIW GNTVNVASRMDSTGVPDRIQVTTDMYQVLAANTYQLECRGVVKVKGKGEMMTYFLNGGPP LS >ENSMUSP00000065810.5 pep:known chromosome:GRCm38:19:7425901:7483289:-1 gene:ENSMUSG00000024758.14 transcript:ENSMUST00000065304.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn3 description:reticulon 3 [Source:MGI Symbol;Acc:MGI:1339970] MAESSAATQSPSVSSSSSGAEPSALGGGGGSPGACPALGAKSCGSSCADSFVSSSSSQPV SIFSTSQAGLSSLCSDEPPSKSMTSSFLSSSEIHNPDPTTPLGEKSETLGSQFVLAKGKD PLVLLDKKKLDSPQGTNKDRVDAPVSLATGIPCSHPSIPDSFPEQPAFLSKEIGPAEEWV VKDQEPKNPNKVPDGEDRSALDFGQSKAEHICTYSLSPSELPVASVEKDSPESPFEVIID KATFDREFKDLYKENPNDLGGWAAHGDRESPADLLEMNDKLFPLRNKEAGRYPSSVLLGR QFSHTTAALEEVSRCVNDMHNFTNEILTWDLDPQAKQQANKTSCTTESTGLDRSELRSEI PVINLKTNPQQKMPVCSFNGSTPITKSTGDWTEAFTEGKPVRDYLSSTKEAGGNGVPGSS QLHSELPGSMPEKWVSGSGAATVEVTLPNLRGAWPNSVMGEVTEVDSSGESDDTVIEDIT EKPDSLPSAAAKTSEREIKETPSRETVRSEMCENSEQPQAQPETPTQKSLEGEVASQVPN TLNEVTPEKLDMTNNPKVCSAAPPSVLNETGFSLTVPASAKLESLLGKYVEDTDGSSPED LMAVLTGAEEKGIVDKEEGDVLEAVLEKIADFKNTLPVELLHESELSGSETKNIKSKYSE DSRETTGGAPTMSPDLEQEQLTIRAIKELGERQAEKVQDEGISSGGKLKQTFAPQSGPQS SSDILEHTDVKTGSDLGIPKNPTIIKNTRIDSISSLTKTEMVNKNVLARLLSDFPVHDLI FWRDVKKTGFVFGTTLIMLLSLAAFSVISVVSYLILALLSVTISFRVYKSVIQAVQKSEE GHPFKAYLDVDITLSSEAFHNYMNAAMVHVNKALKLIIRLFLVEDLVDSLKLAVFMWLMT YVGAVFNGITLLILAELLVFSVPIVYEKYKTQIDHYVGIARDQTKSIVEKIQAKLPGIAK KKAE >ENSMUSP00000085496.4 pep:known chromosome:GRCm38:19:7425901:7483289:-1 gene:ENSMUSG00000024758.14 transcript:ENSMUST00000088171.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn3 description:reticulon 3 [Source:MGI Symbol;Acc:MGI:1339970] MAESSAATQSPSVSSSSSGAEPSALGGGGGSPGACPALGAKSCGSSCAAGLSSLCSDEPP SKSMTSSFLSSSEIHNPDPTTPLGEKSETLGSQFVLAKGKDPLVLLDKKKLDSPQGTNKD RVDAPVSLATGIPCSHPSIPDSFPEQPAFLSKEIGPAEEWVVKDQEPKNPNKVPDGEDRS ALDFGQSKAEHICTYSLSPSELPVASVEKDSPESPFEVIIDKATFDREFKDLYKENPNDL GGWAAHGDRESPADLLEMNDKLFPLRNKEAGRYPSSVLLGRQFSHTTAALEEVSRCVNDM HNFTNEILTWDLDPQAKQQANKTSCTTESTGLDRSELRSEIPVINLKTNPQQKMPVCSFN GSTPITKSTGDWTEAFTEGKPVRDYLSSTKEAGGNGVPGSSQLHSELPGSMPEKWVSGSG AATVEVTLPNLRGAWPNSVMGEVTEVDSSGESDDTVIEDITEKPDSLPSAAAKTSEREIK ETPSRETVRSEMCENSEQPQAQPETPTQKSLEGEVASQVPNTLNEVTPEKLDMTNNPKVC SAAPPSVLNETGFSLTVPASAKLESLLGKYVEDTDGSSPEDLMAVLTGAEEKGIVDKEEG DVLEAVLEKIADFKNTLPVELLHESELSGSETKNIKSKYSEDSRETTGGAPTMSPDLEQE QLTIRAIKELGERQAEKVQDEGISSGGKLKQTFAPQSGPQSSSDILEHTDVKTGSDLGIP KNPTIIKNTRIDSISSLTKTEMVNKNVLARLLSDFPVHDLIFWRDVKKTGFVFGTTLIML LSLAAFSVISVVSYLILALLSVTISFRVYKSVIQAVQKSEEGHPFKAYLDVDITLSSEAF HNYMNAAMVHVNKALKLIIRLFLVEDLVDSLKLAVFMWLMTYVGAVFNGITLLILAELLV FSVPIVYEKYKTQIDHYVGIARDQTKSIVEKIQAKLPGIAKKKAE >ENSMUSP00000025667.6 pep:known chromosome:GRCm38:19:7425901:7483281:-1 gene:ENSMUSG00000024758.14 transcript:ENSMUST00000025667.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn3 description:reticulon 3 [Source:MGI Symbol;Acc:MGI:1339970] MAESSAATQSPSVSSSSSGAEPSALGGGGGSPGACPALGAKSCGSSCAVHDLIFWRDVKK TGFVFGTTLIMLLSLAAFSVISVVSYLILALLSVTISFRVYKSVIQAVQKSEEGHPFKAY LDVDITLSSEAFHNYMNAAMVHVNKALKLIIRLFLVEDLVDSLKLAVFMWLMTYVGAVFN GITLLILAELLVFSVPIVYEKYKTQIDHYVGIARDQTKSIVEKIQAKLPGIAKKKAE >ENSMUSP00000085494.5 pep:known chromosome:GRCm38:19:7427028:7483219:-1 gene:ENSMUSG00000024758.14 transcript:ENSMUST00000088169.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn3 description:reticulon 3 [Source:MGI Symbol;Acc:MGI:1339970] MAESSAATQSPSVSSSSSGAEPSALGGGGGSPGACPALGAKSCGSSCADSFVSSSSSQPV SIFSTSQVHDLIFWRDVKKTGFVFGTTLIMLLSLAAFSVISVVSYLILALLSVTISFRVY KSVIQAVQKSEEGHPFKAYLDVDITLSSEAFHNYMNAAMVHVNKALKLIIRLFLVEDLVD SLKLAVFMWLMTYVGAVFNGITLLILAELLVFSVPIVYEKYKTQIDHYVGIARDQTKSIV EKIQAKLPGIAKKKAE >ENSMUSP00000042229.6 pep:known chromosome:GRCm38:9:75232014:75305451:1 gene:ENSMUSG00000033590.7 transcript:ENSMUST00000036555.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo5c description:myosin VC [Source:MGI Symbol;Acc:MGI:2442485] MAVAELYAQYNRVWIPDPEEVWKSAEIAKDYRAGDRVLRLLLEDGMELEYPVDPGSLPPL RNPDILVGENDLTALSYLHEPAVLHNLRIRFAESKLIYTYSGIILVAMNPYKQLPIYGDA IIHAYSGQNMGDMDPHIFAVAEEAYKQMARNNRNQSIIVSGESGAGKTVSARYAMRYFAT VSKSSSNAHVEDKVLASNPITEAVGNAKTTRNDNSSRFGKYTEISFDERNQIIGANMRTY LLEKSRVVFQSENERNYHIFYQLCASAQQSEFKHLKLGSAEEFNYTRMGGNTVIEGVNDR ADMVETQKTFTLLGFKKDFQMDVFKILAAILHLGNVQVTTVGNERSSVSEDDSHLKVFCE LLGLETSKVAQWLCNRKIVTSSETVVKPMTRPQAINARDALAKKIYAHLFDFIVEQINQA LHFSGKQHTFIGVLDIYGFETFDVNSFEQFCINYANEKLQQQFNLHVFKLEQEEYMKEDI PWTLIDFYDNQPVIDLIEAKMGILELLDEECLLPHGTDENWLQKLYNNFVNKNSLFEKPR MSNSSFIIQHFADKVEYQCEGFLEKNRDTVYDMLVEILRASKFHLCAAFFQESPVPSSPF GAMITVKSAKQVIKPNTKHFRTTVGNKFRSSLYLLMETLNATTPHYVRCIKPNDEKMPFE FDSKRIVQQLRACGVLETIRISAQSYPSRWTYLEFYSRYGILMTQQELSLSDKKEVCKVV LHRLIQDSNQYQFGRTKIFFRAGQVAYLEKLRLDKLRQDCIMIQKHVRGWLQRRKFLRER QAALTIQRYFRGQQTVRKAITATALKEAWAAIILQKYCRGYLVRNLYQLIRVATITIQAH TRGFLARRRYRKLLQEHKAVILQKYARAWLARRRFQNIRRFVLNIQLTYRVQRLQKKLED QNRENHGLVEKLTSLAALRVGDLEKVQKLEAELEKAATHRHSYEEKGRRYRDTVEERLSK LQKHNAELELQRERAEQMLQEKSEELKEKMDKLTRQLFDDVQKEEQQRLVLEKGFELKTQ AYEKQIESLREEIKALKDERSQLHHQLEEGQVTSDRLKGEVARLSKQAKTISEFEKEIEL LQAQKIDVEKHVQSQKREMRERMSEVTKQLLESYDIEDVRSRLSVEDLEHLNEDGELWFA YEGLKKATRVLESHFQSQKDCYEKEIEGLNFKVVHLSQEINHLQKLFREETDINESIRHE VTRLTSENMMIPDFKQQISELERQKQDLESRLKEQAEKIEGKLEEPFSHLNRIREEERMQ GRAVEAQSEMHPEGKERLVGAIHEPHEAIKFPKKQPEAEEEVESILQQEASRLSLEKRDL EEELDMKDRMIRRLQDQVKTLTKTTEKANHVHLPSGSREYLGMLEYKKEDEGKLIQNLIL DLKPRGVVVNMIPGLPAHILFMCVRYADSLNDANMLKSLMNSAINGIKHVVKEHFEDLEM LSFWLSNTCHFLNCLKQYSGEEEFMKYNSPQQNKNCLNNFDLTEYRQILSDVAIRIYHQF IIVMENNLQPIIVPGMLEYESLQGISGLKPTGFRKRSSSIDDTDAYTMTSILQQLSYFYS TMCQNGLDPEIVRQAVKQLFYLVGAVTLNSLLLRKDMCSCRKGMQIRCNISFLEEWLKDK NVQSSLAKETLEPLSQAAWLLQVKKTTDSDAKEIAQCCTSLSAVQIIKILNSYTPIDDFE KRVNPSFVRKVQALLNNRGDSAQLMLDTKYLFQVTFPFTASPHALEMTQIPSSFKLGFLR RL >ENSMUSP00000043281.7 pep:known chromosome:GRCm38:14:31260375:31323896:-1 gene:ENSMUSG00000019027.7 transcript:ENSMUST00000048603.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah1 description:dynein, axonemal, heavy chain 1 [Source:MGI Symbol;Acc:MGI:107721] MEECNKEGPSSSSQGPGYCPVKVPESHDLEKILQESNYHPERNPLNPDPKTPPLPLTDLR QPRKSPLTGTDKKYPLMKQRGFYSDILSPGTLDKLGNVCCGPYMSQNLIRQADLDKFTPK VDSFVIPEDFQERVEQQIIGATTRLLTQTDFPLQSYEPKVQVPFQVLPGQCPRKIEIERR KQQYLRLDIEQLLTSEGIDSNKLMPRHPDLQHPQTIEQGRDPLFPIYLPLKVFDNEEFDC RTPTEWLNMGLEPGSQNRKPVPGKALLPTDDDLGHEDPKNQELDYRWCEVGVLDYDEEKK LYLVQKTDKRGLVRDEMGMPILNGGITPAGRPPLLATQYWVPRIQLLFCAEDPRVFTQRV VQANALRKYTEALLMYNLYVDCMPTEGRRVINEQSLSKIKQWALSTPRMRKGQSVLEHLS CLAREVNLDYERSMNKINFDQIVSSNPETFSYVTLPEKEEEKVPNQGLVSVPEYPFREQK EDFTFVSLLTRPEVITALSKVRAECNKVTSMSLFHSSLSKYSRLEEFEQIQSQTFSQVQM FLKDSWISTLKVAMRGSLRDMSKGWYNLYETNWEVYLMSKLRKLMELIKYMLQDTLRFLV QDSLGSFTQFIGDACCSVLECVDDMDWGEDLVNSPYKPRKNPLFIVDLVLDNSGVHYSTP LEHFEMILLNLFDKGILATHAVPQLEKLVMEDIFISGDPLLESVGLHEPLVEELRANITN AMHKAMMPLQAYAKEYRKYLELNNNDISTFLKTYQTQCPSAEEVREVVITHLKEKEILDN SLPSSIIIGPFYINVDNVKQSLSKKRKALATSMLDILAKNLHKEVDSICEEFRSISRKIY EKPNSIEELAELRDWMKGIPEKLVFLEERIVKVMSDYEVMDEFFYNLTTDDFNDKWAANN WPSKILGQIDMVRQQHVEDEEKFRKIQLMDQNNFQEKLEGLQLVVAGFSIHVEIARAHEI ANEVRRVKKQLKDCQQLAMLYNNRERIFGLPITNYDKLSRMVKEFQPYLDLWTTASDWLR WSESWMNDPLSAIDAEQLEKNVIESFKTMHKCVKQFKDIPACQEVALDIRTRIEEFKPYI PLIQGLRNPGMRNRHWEVLSNEININVRPKANLTFARCLEMNLQDHIESISKVAEVAGKE YAIEQALDKMEKEWSSILFNVLPYKETDTYILKSPDEASQLLDDHIVMTQSMSFSPYKKP FEQRINSWETKLKLTQEVLEEWLNCQRAWLYLEPIFSSEDITRQLPVESKRYQTMERIWR KIMKNAYENREVINVCSDQRLLDSLRDCNKLLDLVQKGLSEYLETKRTAFPRFYFLSDDE LLEILSQTKDPTAVQPHLRKCFENIARLLFQEDLEITHMYSAEGEEVKLSFSIYPSSNVE DWLLEVERSMKASVHDIIEMAIKAYPTMLRTEWVLNWPGQVTIAGCQTYWTMEVAEALEA GNISSKLFPQLSKQLSDLVALVRGKLSRMQRMVLSALIVIEVHAKDVVSKLIDENVVSVH DFEWISQLRYYWTKDDLYIRAVNAEFIYGYEYLGNSGRLVITPLTDRCYLTLTGALHLKF GGAPAGPAGTGKTETTKDLGKALAIQTVVFNCSDQLDFMAMGKFFKGLASAGAWACFDEF NRIDIEVLSVVAQQITTIQKAQQQRVERFMFEGVEIPLVPSCAVFITMNPGYAGRTELPD NLKALFRPVAMMVPDYAMIAEISLYSFGFNEANVLAKKITTTFKLSSEQLSSQDHYDFGM RAVKTVISAAGNLKRENPTMNEELICLRAIRDVNVPKFLQEDLKLFSGIVSDLFPTIKEE ETDYGILDQAIRRSCEKNNLKDVEGFLIKCIQLYETTVVRHGLMLVGPTGSGKSNCYRVL AAAMTLLKGKPSISGGVYEAVNYYVLNPKSITMGQLYGEFDLLTHEWTDGIFSSLIRAGA IASDTNKKWYMFDGPVDAVWIENMNTVLDDNKKLCLSSGEIIKLTEAMTMMFEVQDLAVA SPATVSRCGMVYLEPSILGLMPFVECWLKRLPAIIKPYEEQFKSLFTKYLENSINFVRNT VKEVIASTNSNLTMSLLKLLDCFFRPFLPREGLKKIPSEKLSHIPELIEPWFIFSLVWSV GATGDHTSRISFSQWLRLKMRLEQVKLGFPEDGLVYDYRLDDAGISSTEDDDEEEDENKQ VSWVKWMDYSAPFTMMPDTNYCNIIVPTMDTVQMSYLLGMLLTNHKPVLCIGPTGTGKTL TVSNKLLKYLPLEYISHFLTFSARTSANQTQDLIDSKLDKRRKGVFGPPLGRNFIFFIDD LNMPALETYGAQPPIELLRQWMDHGGWYDRKVIGAFKNLVDINFVCAMGPPGGGRNAITP RLTRHFNYLSFIEMDEVSKKRIFSIILECWMDGLLGEKSYREPVPGAPNIDDLTEPLVDA TINVYGIITSQLLPTPAKSHYTFNLRDLSKVFQGMLMAEPSKVEDKVQLLRLWYHENCRV FRDRLVNEEDRSWFDELLEAQMEEFGVAFNKVCPFQPILYGDFMSPGSDVKSYELITSES KMMQVIEEYMEDYNQINTAKLRLVLFVDAMSHICRISRTLRQALGNALLLGVGGSGRSSL TRLASHMAEYECFQIELSKNYGMSEWREDVKKVLLKAGLQNLPITFLFSDTQIKNESFLE DINNVLNSGDIPNIYSADEQDQIINTMRPYIQEQGLQPTKANLMAAYTGRVRSNIHMVLC MSPIGEVFRARLRQFPSLVNCCTIDWFNEWPAEALKSVATTFLSEIPELECSEEVIQGLI QVCVFIHQSVASKCVEYLAELARHNYVTPKSYLELLNIFSILIGQKKMELKTAKNRMKSG LDKLLRTSEDVAKMQEELEIMRPLLEEAAKDTMLTMEQIKVDTAIAEETRKSVQAEEIKA NEKANKAQAIADDAQKDLDEALPALDAALASLRNLNKNDVTEVRAMQRPPPGVKLVIEAV CIMKGIKPKKVPGEKPGSKVDDYWEPGKGLLQDPGRFLESLFKFDKDNIGEAVIKAIQPY IDNEEFQPAAIAKVSKACTSICQWVRAMHKYHFVAKAVEPKRQALREAQDDLEVTQRILE EAKHHLHEVEDGIATMQAKYRECVAKKEELEMKCEQCEQRLGRADKLINGLADEKVRWQE TVENLENMLDNIFGDVLVAAGFVAYLGPFTGQYRTTLYEYWVNQLTVHHVPHTSKPTLIT TLGNPVKIRSWQIAGLPNDTLSVENGVINQFSQRWTHFIDPQGQANKWIKNMERESGLDV FKLSDRDFLRSMENAIRFGKPCLLENVGEELDPALEPVLLKQTYKQQGNIVLKLGDTVIP YHEDFRMYITTKLPNPHYSPEISTKLTLINFTLSPSGLEDQLLGQVVAEERPDLEEAKNQ LIVSNAKMRQELKDIEDQILYRLSSSEGNPVDDMELIKVLEASKMKAAEIQAKVRIAEQT EKDIDLTRMEYIPVAVRTQILFFCVSDLANVDPMYQYSLEWFLNIFLSGIANSERADNLK KRIVNINRYLTFSLYSNVCRSLFEKHKLMFAFLLCVRIMMNEGKINQAEWRYLLSGGSIQ TMFENPAPQWLSDRAWRDILALSNLPTFATFSNDFVMYLSEFQAIFDSAEPHRELLPGIW NAYLDEFQKLLILRCLRGDKVTNAMQDFVATHLEPRFIEPQTANLSAVFKESNSTTPLIF VLSPGTDPAADLYKFAEEMKFSKKFSAISLGQGQGPRAEAMMRNSIERGKWVFFQNCHLA PSWMPALERLIEHINPDKVHRDFRLWLTSLPSNKFPVSILQNGSKMTIEPPRGVKANLLK SYNSLSDDFLHSCQKVVEFKSLLLSLCLFHGNALERRKFGPLGFNIPYEFTDGDLRICIS QLKMFLDEYEDIPYKVLKYTAGEINYGGRVTDDWDRRCVMNILEDFYNPAVLSSEHSYSN SGIYHQIPPTYDLNGYLSYIKSLPLNDMPEIFGLHDNANITFAQNETFALFNAILQLQPK SSSMGGQSREELVEDVAENILLQVPGPIELQEVTKKFPVLYEESMNTVLVQEVIRYNKLL EVITQTLSDMLKAIKGLVVMSLELELMSISLYNNTVPELWKSKAYPSLKPLASWIMDLLL RLDFMHSWINDGIPPVFWISGFFFPQAFLTGTLQNFARKFVISIDTITFDFKVLPEASSE IKERPQTGCYIHGLFLEGARWDSMNFQLAESRPKELYTEMAVIWLLPEANRKVQNQDFYL CPIYKTLTRAGTLSTTGHSTNYVIAVEIPSNQPQRHWIKRGVALICALDY >ENSMUSP00000078181.4 pep:known chromosome:GRCm38:16:36156811:36161948:1 gene:ENSMUSG00000059657.4 transcript:ENSMUST00000079184.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stfa2l1 description:stefin A2 like 1 [Source:MGI Symbol;Acc:MGI:3524944] MTEYTRKIKGGLSEARPATSEIQEIADKVRLLLEEKTNEKYEKFKAIEYKVQVVQGLNYF IKMDVGRGCYLHINVLSGISSENDLELTGYQTNKAKNDELTYF >ENSMUSP00000089394.3 pep:known chromosome:GRCm38:18:80734143:80934058:-1 gene:ENSMUSG00000024566.8 transcript:ENSMUST00000091790.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp9b description:ATPase, class II, type 9B [Source:MGI Symbol;Acc:MGI:1354757] MADQIPLYPVRSAGAAASHRRAAYYSSAGPGPGADRRGRYQLEDESAHLDEMPLMMSEEG FENDESDYHTLPRARITRRKRGLEWFVCGGWKFLCTSCCDWLINVCQRKKELKARTVWLG CPEKCEEKHPRNSIKNQKYNVFTFIPGVLYEQFKFFLNLYFLVVSCSQFVPALKIGYLYT YWAPLGFVLAVTIAREAIDEFRRFQRDKEMNSQLYSKLTVRGKVQVKSSDIQVGDLIIVE KNQRIPSDMVFLRTSEKAGSCFIRTDQLDGETDWKLKVAVSCTQRLPALGDLFSISAYVY AQKPQLDIHSFEGTFTREDSDPPIHESLSIENTLWASTIVASGTVIGVVIYTGKETRSVM NTSNPKNKVGLLDLELNQLTKALFLALVVLSVVMVTLQGFAGPWYRNLFRFLLLFSYIIP ISLRVNLDMGKAAYGWMIMKDENIPGTVVRTSTIPEELGRLVYLLTDKTGTLTQNEMVFK RLHLGTVSYGTDTMDEIQSHVLNSYLQVHSQPSGHNPSSAPLRRSQSSTPKVKKSVSSRI HEAVKAIALCHNVTPVYEARAGITGETEFAEADQDFSDENRTYQASSPDEVALVRWTESV GLTLISRDLASMQLKTPSGQVLTYCILQMFPFTSESKRMGIIVRDESTAEITFYMKGADV AMSTIVQYNDWLEEECGNMAREGLRTLVVAKRTLTEEQYQDFESRYSQAKLSIHDRALKV AAVVESLEREMELLCLTGVEDQLQADVRPTLEMLRNAGIKIWMLTGDKLETATCIAKSSH LVSRTQDIHVFRPVTSRGEAHLELNAFRRKHDCALVISGDSLEVCLRYYEHELVELACQC PAVVCCRCSPTQKAHIVTLLRQHTRKRTCAIGDGGNDVSMIQAADCGIGIEGKEGKQASL AADFSITQFRHIGRLLMVHGRNSYKRSAALGQFVMHRGLIISTMQAVFSSVFYFASVPLY QGFLMVGYATIYTMFPVFSLVLDQDVKPEMAILYPELYKDLTKGRSLSFKTFLIWVLISI YQGGILMYGALLLFEDEFVHVVAISFTALILTELLMVALTIRTWHWLMVVAEFLSLGCYV ASLAFLNEYFDVAFITTVTFLWKVSAITVVSCLPLYVLKYLKRKLSPPSYSKLSS >ENSMUSP00000110501.1 pep:known chromosome:GRCm38:16:36308045:36312653:1 gene:ENSMUSG00000079595.1 transcript:ENSMUST00000114851.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4758 description:predicted gene 4758 [Source:MGI Symbol;Acc:MGI:3648404] MLGGTSEAKPAILEIQEIADKVRPLLEEKTNEKYEKFEAVEYKSQIVAGQNYFIKVDVGH GRFLHIRLFQGISEEDDWELDDYQVNKTKNDELTYF >ENSMUSP00000081531.4 pep:known chromosome:GRCm38:7:134670687:135173639:1 gene:ENSMUSG00000058325.5 transcript:ENSMUST00000084488.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock1 description:dedicator of cytokinesis 1 [Source:MGI Symbol;Acc:MGI:2429765] MTRWVPTKREEKYGVAFYNYDARGADELSLQIGDTVHILETYEGWYRGYTLRKKSKKGIF PASYIHLKEAIVEGKGQHETVIPGDLPLIQEVTTTLREWSTIWRQLYVQDNREMFRSVRH MIYDLIEWRSQILSGTLPQDELKELKKKVTAKIDYGNRILDLDLVVRDEDGNILDPELTS TISLFRAHEVASKQVEERLQEEKSQKQNMDINRQAKFAATPSLALFVNLKNVVCKIGEDA EVLMSLYDPMESKFISENYLVRWSSSGLPKDIDRLHNLRAVFTDLGSKDLKREKISFVCQ IVRVGRMELRDSNTRKLTSGLRRPFGVAVMDVTDIINGKVDDEDKQHFIPFQAVAGENDF LQTVINKVIAAKEVNHKGQGLWVTLKLLPGDIHQIRKEFPHLVDRTTAVARKTGFPEIIM PGDVRNDIYVTLVQGDFDKGSKTTAKNVEVTVSVYDEDGKRLEHVIFPGAGDEAISEYKS VIYYQVKQPRWFETLKVAIPIEDVNRSHLRFTFRHRSSQDSKDKSEKIFALAFVKLMRYD GTTLRDGEHDLIVYKAEAKKLEDAATYLSLPSTKGELEEKGHSATGKGMQSLGSCTISKD SFQISTLVCSTKLTQNVDLLGLLKWRSNTNLLQQNLRQLMKVDGGEVVKFLQDTLDALFN IMMENSESETFDTLVFDALVFIIGLIADRKFQHFNPVLETYIKKHFSATLAYTKLTKVLR TYVASAEKPGVNEQLYKAIKALEYIFKFIVRSRVLFNQLYENKGEADFVESLLQLFRSIN DMMSSLSELTVRVKGAALKYLPTIVNDVKLVFDPKELSKMFTEFILNVPAGLLTVQKLSC LIEIVHSDLFTQHDCREILLPMMTDQLKYHLERQEELEACCQLLSNILEVLYRKDVGPTQ RHVQIIMETLLRTVNRTVISMGRDSELIGNFVACMTAILRQMEDYHYAHLIKTFGKMRTD VVDFLMETFIMFKNLIGKNVYPFDWVIMNTMQNKVFLRAINQYADMLNKRFLDQANFELQ LWNNYFHLAVAFLTQESLQLENFSSAKRAKILNKYGDMRRQIGFEIRDMWYNLGQHKIKF IPEMVGPILEMTLIPETELRKATLPIFFDMMQCEFHSTRSFQMFENEIITKLDHEVEGGR GDEQYKVLFDKILLEHCRKHKYLAKTGETFVKLVVRLMERLLDYRTIMHDENKDNRMSCT VNVLNFYKEIEREEMYIRYLYKLCDLHKECDNYTEAAYTLLLHAKLLKWSEDVCAAHLTQ RDGFQATTQGQLKEQLYQEIIHYFDKGKMWEEAIALGKELAEQYETEMFDYEQLSELLKK QAQFYENIVKVIRPKPDYFAVGYYGQGFPSFLRGKVFIYRGKEYERREDFEARLLTQFPN AEKMKTTSPPGDDIKTSPGQYIQCFTVKPKLDLPPRFHRPVSEQIVSFYRVNEVQRFEYS RPIRKGEKNPDNEFANMWIERTIYTTAYKLPGILRWFEVKSVFMVEISPLENAIETMQLT NDKISSMVQQHLDDPGLPINPLSMLLNGIVDPAVMGGFANYEKAFFTDRYLQEHPEAHGQ IEKLKDLIAWQIPFLAEGIRIHGDKVTEALRPFHERMEACFKQLKEKVEKQYGVRTMPSG LDDRRGSRPRSMVRSFTMPSSSRPLSVASVSSFSSDSTPSRPGSDGFALEPLLPKKMHSR SQDKLDKDDPDKEKKDKKKEKRNSKHQEIFDKEFKPADSSLQQSEAVILSETISPLRPQR PKSQVINVIGNERRFSVSPASPSSQQTPPPVTPRAKLSFSIQPSLELNGMMGMDVADVPP PLPLKGNMADYGNLMENQDMMVSPTSPPPPPPQRQQPPPLPSKTPPPPPPKTTRKQTSVD SGIVQ >ENSMUSP00000034903.5 pep:known chromosome:GRCm38:9:78191966:78209348:1 gene:ENSMUSG00000032348.5 transcript:ENSMUST00000034903.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsta4 description:glutathione S-transferase, alpha 4 [Source:MGI Symbol;Acc:MGI:1309515] MAAKPKLYYFNGRGRMESIRWLLAAAGVEFEEEFLETREQYEKMQKDGHLLFGQVPLVEI DGMMLTQTRAILSYLAAKYNLYGKDLKERVRIDMYADGTQDLMMMIAVAPFKTPKEKEES YDLILSRAKTRYFPVFEKILKDHGEAFLVGNQLSWADIQLLEAILMVEELSAPVLSDFPL LQAFKTRISNIPTIKKFLQPGSQRKPPPDGPYVEVVRTVLKF >ENSMUSP00000046695.4 pep:known chromosome:GRCm38:13:55523235:55528538:-1 gene:ENSMUSG00000035711.4 transcript:ENSMUST00000047877.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok3 description:docking protein 3 [Source:MGI Symbol;Acc:MGI:1351490] MESVEPPVKDGILYQQHVKFGKKCWRKVWALLYAGGPSGVARLESWDVRDGGLGPAGDRS TGPSRRGERRVIRLADCVSVLPADGESCPRDTGAFLITTTERSHLLAAQHRQSWVDPICQ LAFPGTGECSSGSGQAESPKRGFVPMEENSIYSSWQEVTEFPVIVQRTEATSRCQLKGPY LLVLGQDDIQLRETSKPQACFSWPYRFLRKYGSDKGVFSFEAGRRCDSGEGLFAFSSPRA PDICGVVAAAIARQRERLPELAMSPPCPLPRALSLPSLEPPGELREVAPGFELPTPRKLP LTDPGPQSLPLLLSPTQEGPASGLYASVCKQTSKHTGTAEHLYENVCMLEASPGLTNGGP EAQEGPPGGRSPLGSPIYHNTEDLSWPGSAQDSNLEAQYRRLLELELDEAGSAGRSGAQA GIKAKLVTLLTRERKKGPAPCDRP >ENSMUSP00000066536.4 pep:known chromosome:GRCm38:18:74939322:74961263:-1 gene:ENSMUSG00000053846.4 transcript:ENSMUST00000066532.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipg description:lipase, endothelial [Source:MGI Symbol;Acc:MGI:1341803] MRNTVFLLGFWSVYCYFPAGSITTLRPQGSLRDEHHKPTGVPATARPSVAFNIRTSKDPE QEGCNLSLGDSKLLENCGFNMTAKTFFIIHGWTMSGMFESWLHKLVSALQMREKDANVVV VDWLPLAHQLYTDAVNNTRVVGQRVAGMLDWLQEKEEFSLGNVHLIGYSLGAHVAGYAGN FVKGTVGRITGLDPAGPMFEGVDINRRLSPDDADFVDVLHTYTLSFGLSIGIRMPVGHID IYPNGGDFQPGCGFNDVIGSFAYGTISEMVKCEHERAVHLFVDSLVNQDKPSFAFQCTDS SRFKRGICLSCRKNRCNNIGYNAKKMRKKRNSKMYLKTRAGMPFKVYHYQLKVHMFSYNN SGDTQPTLYITLYGSNADSQNLPLEIVEKIELNATNTFLVYTEEDLGDLLKMRLTWEGVA HSWYNLWNEFRNYLSQPSNPSRELYIRRIRVKSGETQRKVTFCTQDPTKSSISPGQELWF HKCQDGWKMKNKTSPFVNLA >ENSMUSP00000035113.8 pep:known chromosome:GRCm38:9:121710389:121712921:1 gene:ENSMUSG00000032526.10 transcript:ENSMUST00000035113.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Deb1 description:differentially expressed in B16F10 1 [Source:MGI Symbol;Acc:MGI:1349474] MSVIFAPDWLRGKAKVNQETIQRLLEENDQLIRCIVEYQNKGRANECVQYQHVLHRNLIY LATIADANTSSLTKAVE >ENSMUSP00000078222.6 pep:known chromosome:GRCm38:13:66852174:66854872:-1 gene:ENSMUSG00000071295.4 transcript:ENSMUST00000079229.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10323 description:predicted gene 10323 [Source:MGI Symbol;Acc:MGI:3809062] DAVTFDDVHVNFTKEEWNLLDPSQMNLYKDVMLETYWNLTSIGYNWEDHHIEEPCQSSRR HTRHVRSHSWKKLYECNQCGKAFSSHSSLRYHKRTHTGEKPYECNHCGKAFATPSHLQRH KRTHTGEKPYECNQCGKAFSSHSNLKYHKRNHTGKPYECNQCGKAFVATTTLRRHKRTHT GEKPYECNQCGKAFSRQNSLRYHKRTHTGEKPYECNQCGKAFSCHSSLRYHERTHTGEKP YECNQCGKAFAISSHLQCHKRTHTGAKPY >ENSMUSP00000025956.5 pep:known chromosome:GRCm38:19:38132781:38183947:1 gene:ENSMUSG00000024992.12 transcript:ENSMUST00000025956.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6c description:phosphodiesterase 6C, cGMP specific, cone, alpha prime [Source:MGI Symbol;Acc:MGI:105956] MGEISQEAVERYLEKNPCFAKEYFDKKLRVEALGVIFKNSHAGVQTGLSLPEMTQVEESA VCLELLQCMQDEAGSAEQMAHRALQRLAQLLQADCCSMFSCRARNGIPEVASRLLNVTPT SKFEDNLVAPDREVVFPLDIGIVGWVAHVKKALNVSDVKKNSHFSDFMDKQTGYVTRNLL AVPIVAGKEVLAVVMAVNKISAPEFSKQDEEVFSKYLSFVAVALRLQHTSYLYSVESRRS QILMWSANKVFEELTDVERQFHKALYTIRTYLNCDRYSIGLLDMTKEKEFYDEWPIKLGE VEPYKGPKTPDGREIIFYKIIDYILHGKEEINVIPSPPADHWTLVSGLPTYVAENGFICN MLNAPADEYFTFQKGPVDETGWVIKNVLSLPIVNKKEDIVGVATFYNRKDGKPFDEHDEH ITETLTQFLGWSLLNTDTYERVNKLESRKDIAQEMVMNLTKATPDEISSILKFKEKLNVE VIEECEERQLLAILKEDLPDPRTADLYEFCFSDFPITEHELVKCGLRLFLEINVVEKFKV PVEVLTRWMYTVRKGYRPVTYHNWRHGFNVGQTMFTLLMTGRLKKYYTDLEAFAMLAAAF CHDIDHRGTNNLYQMKSTSPLARLHGTSILERHHLEYSKTLLQDESLNIFQNLNKRQFET VIHLFEVAIIATDLALYFKKRTMFQKIVDTCEQMQSEEETIKYVTSDPTKKEVIMAMMMT ACDLSAITKPWEVQSQVALLVANEFWEQGDLERTVLQQQPIPMMDRSKKDELPKLQVGFI DFVCTFVYKEFSRFHGEITPMLNGLQNNRVEWKSLAEEYEAKVKVTEEEAGKQEEEASDG KAATDLGGSAEDKKSKTCLML >ENSMUSP00000107948.1 pep:known chromosome:GRCm38:19:38132781:38183947:1 gene:ENSMUSG00000024992.12 transcript:ENSMUST00000112329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde6c description:phosphodiesterase 6C, cGMP specific, cone, alpha prime [Source:MGI Symbol;Acc:MGI:105956] MGEISQEAVERYLEKNPCFAKEYFDKKLRVEALGVIFKNSHAGVQTGLSLPEMTQVEESA VCLELLQCMQDEAGSAEQMAHRALQRLAQLLQADCCSMFSCRARNGIPEVASRLLNVTPT SKFEDNLVAPDREVVFPLDIGIVGWVAHVKKALNVSDVKKNSHFSDFMDKQTGYVTRNLL AVPIVAGKEVLAVVMAVNKISAPEFSKQDEEVFSKYLSFVAVALRLQHTSYLYSVESRRS QILMWSANKVFEELTDVERQFHKALYTIRTYLNCDRYSIGLLDMTKEKEFYDEWPIKLGE VEPYKGPKTPDGREIIFYKIIDYILHGKEEINVIPSPPADHWTLVSGLPTYVAENGFICN MLNAPADEYFTFQKGPVDETGWVIKNVLSLPIVNKKEDIVGVATFYNRKDGKPFDEHDEH ITETLTQFLGWSLLNTDTYERVNKLESRKDIAQEMVMNLTKATPDEISSILKFKEKLNVE VIEECEERQLLAILKEDLPDPRTADLYEFCFSDFPITEHELVKCGLRLFLEINVVEKFKV PVEVLTRWMYTVRKGYRPVTYHNWRHGFNVGQTMFTLLMTGRLKKYYTDLEAFAMLAAAF CHDIDHRGTNNLYQMKSTSPLARLHGTSILERHHLEYSKTLLQDESLNIFQNLNKRQFET VIHLFEVAIIATDLALYFKKRTMFQKIVDTCEQMQSEEETIKYVTSDPTKKEVIMAMMMT ACDLSAITKPWEVQSQPMMDRSKKDELPKLQVGFIDFVCTFVYKEFSRFHGEITPMLNGL QNNRVEWKSLAEEYEAKVKVTEEEAGKQEEEASDGKAATDLGGSAEDKKSKTCLML >ENSMUSP00000137629.1 pep:known chromosome:GRCm38:X:34450524:34452020:1 gene:ENSMUSG00000094155.1 transcript:ENSMUST00000180004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21699 description:predicted gene, 21699 [Source:MGI Symbol;Acc:MGI:5435054] MGLLVSRVLSCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESRHGVINLIIKNEDIDTRSLHFVFGALYRDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000026227.2 pep:known chromosome:GRCm38:19:45006558:45012762:1 gene:ENSMUSG00000025209.4 transcript:ENSMUST00000026227.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peo1 description:progressive external ophthalmoplegia 1 (human) [Source:MGI Symbol;Acc:MGI:2137410] MWLLLRRAYPLRILLPLRGEWVGRRGLPRSLAPGPPRRRYRKEALPALEMPVSPVTTTEI RQYLRAHGIPFQDGHSCLRAPSPFVVSSDIKNEKKDAPTSFCLFIDKTTGHFLCMTSLAE GSWEDLQASVEGRGDGAKEGVLLREGPEAEVREEVLRIWNRAIPLWELPDPEEAQLARVM FGLTKVTDDTLRRFSVRYLRSARSLVFPWFTPGSSGLRGLKLLGAEGQENGVQYVETTIP RPGVYHNLFGLPLISRRDTEVVVTSRELDSLALSQSTGLPTLSLPRGTVCLPPALLPYLE QFRRIVFWLGDDLRSWEAAKLFARKLNPKRCSLVRPGNQQPRPLEALNQGLSLPRILRTA LPAWHKSIVSFRQLREEVLGELSNVEQAAGVRWSRFPDLNRLLKGHRKGELTVFTGPTGS GKTTFISEYALDLCTQGVNTLWGSFEISNVRLARVMLTQFAVTRLEEQLDKYEEWADRFE DLPLYFMTFHGQQSIRSVIDTMQHAVYVYDVCHVVIDNLQFMMGHEQLSSDRIAAQDYIV GAFRKFATDNSCHVTLVIHPRKEDDDKELQTASIFGSAKASQEADNVLILQDRKLVTGPG KRYLQVSKNRFDGDVGVFPLEFNKNSLTFSIPPKSKARLKKIKDDNGLVAKKSSSGKKGA AHQNPEICLGQDPSPAQPDTSKSSG >ENSMUSP00000130735.1 pep:known chromosome:GRCm38:9:19845623:19849747:-1 gene:ENSMUSG00000066905.2 transcript:ENSMUST00000086482.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr860 description:olfactory receptor 860 [Source:MGI Symbol;Acc:MGI:3030694] MELYNLTSNLEFLLLGLSEDPELQPVLFALFLLIYLLTVLGNVLIILAISCDSHLHSPMY FFLYNLSLSDMGFSSTTIPKMLINLHAHNRTITYAECLTQVSFFFLFGCMDSVLLAVMAY DRWVAICHPLHYQVILNPRLCRYLVVMSFCISLIDSQVHCFMVSQLKFCTNIKIPHFFCD VPELLKLACSDTSINSIVIFLVSIIVGFLPASGIFYSYYKIISSIVRVPSSGGKCKAFST CGSHLSVVCLFYGTGLGVYLSSSISSSSKESVVTSVMYTMVVPMINPFIYSLRNKDIKKA LQKIFSQIIMLPTYIIP >ENSMUSP00000129499.1 pep:known chromosome:GRCm38:9:22454290:22462062:1 gene:ENSMUSG00000091159.1 transcript:ENSMUST00000168332.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17545 description:predicted gene, 17545 [Source:MGI Symbol;Acc:MGI:4937179] EKTNKTKNKQTNKKRNKEKKKKNK >ENSMUSP00000129964.1 pep:known chromosome:GRCm38:17:32413100:32420975:-1 gene:ENSMUSG00000079563.9 transcript:ENSMUST00000170392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pglyrp2 description:peptidoglycan recognition protein 2 [Source:MGI Symbol;Acc:MGI:1928099] MKAWGALWIVLGLLLWPEPGAASSLPLLMDSIIQALAELEQKVPVTEASITASAWILSAK NSSTHNSLHQRLLLKAPSHNTTEPDPHSLSPELQALISEVAQHDVQNGREYGVVLAPDGS TVAVKPLLFGLEAGLQAHSVANLPSDCLAIPCDTGDTLANIRATWPGLMDAFPNASSPDV GATLPNDKAKTPTTVDRLLAITLAGDLGLTFLHRSQTWSPPGLGTEGCWDQLTAPRVFTL LDPQASRLTMAFLNGALDGALLGNHLSQIPRPHPPLSHLLREYYGAGVNGDPVFRSNFRR QNGAALTSAPTLAQQVWEALVLLQKLEPEHLQLQNISQEQLAQVATLATKEFTEAFLGCP AIHPRCRWGAAPYRGHPTPLRLPLGFLYVHHTYVPAPPCTTFQSCAADMRSMQRFHQDVR KWDDIGYSFVVGSDGYLYQGRGWHWVGAHTRGYNSRGFGVAFVGNYTGSLPNEAALNTVR DALPSCAIRAGLLRPDYKLLGHRQLVLTHCPGNALFNLLRTWPHFTEVEN >ENSMUSP00000110099.1 pep:known chromosome:GRCm38:17:32413361:32424167:-1 gene:ENSMUSG00000079563.9 transcript:ENSMUST00000114455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pglyrp2 description:peptidoglycan recognition protein 2 [Source:MGI Symbol;Acc:MGI:1928099] MKAWGALWIVLGLLLWPEPGAASSLPLLMDSIIQALAELEQKVPVTEASITASAWILSAK NSSTHNSLHQRLLLKAPSHNTTEPDPHSLSPELQALISEVAQHDVQNGREYGVVLAPDGS TVAVKPLLFGLEAGLQAHSVANLPSDCLAIPCDTGDTLANIRATWPGLMDAFPNASSPDV GATLPNDKAKTPTTVDRLLAITLAGDLGLTFLHRSQTWSPPGLGTEGCWDQLTAPRVFTL LDPQASRLTMAFLNGALDGALLGNHLSQIPRPHPPLSHLLREYYGAGVNGDPVFRSNFRR QNGAALTSAPTLAQQVWEALVLLQKLEPEHLQLQNISQEQLAQVATLATKEFTEAFLGCP AIHPRCRWGAAPYRGHPTPLRLPLGFLYVHHTYVPAPPCTTFQSCAADMRSMQRFHQDVR KWDDIGYSFVVGSDGYLYQGRGWHWVGAHTRGYNSRGFGVAFVGNYTGSLPNEAALNTVR DALPSCAIRAGLLRPDYKLLGHRQLVLTHCPGNALFNLLRTWPHFTEVEN >ENSMUSP00000132097.1 pep:known chromosome:GRCm38:15:73723145:73758766:1 gene:ENSMUSG00000059895.12 transcript:ENSMUST00000165541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptp4a3 description:protein tyrosine phosphatase 4a3 [Source:MGI Symbol;Acc:MGI:1277098] MARMNRPAPVEVSYRHMRFLITHNPSNATLSTFIEDLKKYGATTVVRVCEVTYDKTPLEK DGITVVDWPFDDGAPPPGKVVEDWLSLLKAKFYNDPGSCVAVHCVAGLGRAPVLVALALI ESGMKYEDAIQFIRQKRRGAINSKQLTYLEKYRPKQRLRFKDPHTHKTRCCVM >ENSMUSP00000131036.1 pep:known chromosome:GRCm38:15:73723145:73758766:1 gene:ENSMUSG00000059895.12 transcript:ENSMUST00000167582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptp4a3 description:protein tyrosine phosphatase 4a3 [Source:MGI Symbol;Acc:MGI:1277098] MVRHLQVSEALAGNLQDLKKYGATTVVRVCEVTYDKTPLEKDGITVVDWPFDDGAPPPGK VVEDWLSLLKAKFYNDPGSCVAVHCVAGLGRAPVLVALALIESGMKYEDAIQFIRQKRRG AINSKQLTYLEKYRPKQRLRFKDPHTHKTRCCVM >ENSMUSP00000131281.1 pep:known chromosome:GRCm38:15:73724930:73758766:1 gene:ENSMUSG00000059895.12 transcript:ENSMUST00000163582.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptp4a3 description:protein tyrosine phosphatase 4a3 [Source:MGI Symbol;Acc:MGI:1277098] MARMNRPAPVEVSYRHMRFLITHNPSNATLSTFIEDLKKYGATTVVRVCEVTYDKTPLEK DGITVVDWPFDDGAPPPGKVVEDWLSLLKAKFYNDPGSCVAVHCVAGLGRAPVLVALALI ESGMKYEDAIQFIRQKRRGAINSKQLTYLEKYRPKQRLRFKDPHTHKTRCCVM >ENSMUSP00000060956.6 pep:known chromosome:GRCm38:15:73748026:73758766:1 gene:ENSMUSG00000059895.12 transcript:ENSMUST00000053232.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptp4a3 description:protein tyrosine phosphatase 4a3 [Source:MGI Symbol;Acc:MGI:1277098] MARMNRPAPVEVSYRHMRFLITHNPSNATLSTFIEDLKKYGATTVVRVCEVTYDKTPLEK DGITVVDWPFDDGAPPPGKVVEDWLSLLKAKFYNDPGSCVAVHCVAGLGRAPVLVALALI ESGMKYEDAIQFIRQKRRGAINSKQLTYLEKYRPKQRLRFKDPHTHKTRCCVM >ENSMUSP00000074447.7 pep:known chromosome:GRCm38:19:46689906:46703382:1 gene:ENSMUSG00000062376.7 transcript:ENSMUST00000074912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Borcs7 description:BLOC-1 related complex subunit 7 [Source:MGI Symbol;Acc:MGI:1913689] MATGTPDSQARFGQSVKGLLTEKVNTCGTDVIALTKQVLKGSRSSELLGQAARNMVLQED AILHSEDSLRKMAIITTHLQYQQEAIQKNVEQSPDLQDQLSHLLK >ENSMUSP00000034777.6 pep:known chromosome:GRCm38:9:62858104:62875918:1 gene:ENSMUSG00000032246.13 transcript:ENSMUST00000034777.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calml4 description:calmodulin-like 4 [Source:MGI Symbol;Acc:MGI:1922850] MAKFLSQDQINEYKECFSLYDKQQRGKIKATDLLVSMRCLGASPTPGEVQRHLQTHGIDK NGELDFSTFLTIMHMQIKQEDPKKEILLAMLMADKEKKGYIMASELRSKLMKLGEKLTHK EVDDLFKEAGIEPNGQVKYDTFIQRITIPVRDY >ENSMUSP00000132298.1 pep:known chromosome:GRCm38:9:62858104:62875918:1 gene:ENSMUSG00000032246.13 transcript:ENSMUST00000163820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calml4 description:calmodulin-like 4 [Source:MGI Symbol;Acc:MGI:1922850] MAKFLSQDQINDKNGELDFSTFLTIMHMQIKQEDPKKEILLAMLMADKEKKGYIMASELR SKLMKLGEKLTHKEVDDLFKEAGIEPNGQVKYDTFIQRITIPVRDY >ENSMUSP00000130492.1 pep:known chromosome:GRCm38:14:55662265:55671873:-1 gene:ENSMUSG00000010376.14 transcript:ENSMUST00000163750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd8 description:neural precursor cell expressed, developmentally down-regulated gene 8 [Source:MGI Symbol;Acc:MGI:97301] MLIKVKTLTGKEIEIDIEPTDKVERIKERVEEKEGIPPQQQRLIYSGKQMNDEKTAADYK ILGGSVLHLVLALRGGGGLGQ >ENSMUSP00000010520.8 pep:known chromosome:GRCm38:14:55662267:55671906:-1 gene:ENSMUSG00000010376.14 transcript:ENSMUST00000010520.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd8 description:neural precursor cell expressed, developmentally down-regulated gene 8 [Source:MGI Symbol;Acc:MGI:97301] MLIKVKTLTGKEIEIDIEPTDKVERIKERVEEKEGIPPQQQRLIYSGKQMNDEKTAADYK ILGGSVLHLVLALRGGGGLGQ >ENSMUSP00000004965.6 pep:known chromosome:GRCm38:16:65539133:65562697:-1 gene:ENSMUSG00000004843.6 transcript:ENSMUST00000004965.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp2b description:charged multivesicular body protein 2B [Source:MGI Symbol;Acc:MGI:1916192] MASLFKKKTVDDVIKEQNRELRGTQRAIIRDRAALEKQEKQLELEIKKMAKIGNKEACRV LAKQLVHLRKQKTRTFAVSSKVTSMSTQTKVMNSQMKMAGAMSTTAKTMQAVNKKMDPQK TLQTMQNFQKENMKMEMTEEMINDTLDDIFDGSDDEEESQDIVNQVLDEIGIEISGKMAK APSAARSLPSASTSKATISDEEIERQLKALGVD >ENSMUSP00000024832.7 pep:known chromosome:GRCm38:17:31255019:31277356:-1 gene:ENSMUSG00000024033.9 transcript:ENSMUST00000024832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph1 description:radial spoke head 1 homolog (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1194909] MSDLGSEELEEEGENDLGEYEGERNEVGERHGHGKARLPNGDTYEGSYEFGKRHGQGTYK FKNGARYTGDYVKNKKHGQGTFIYPDGSRYEGEWADDQRHGQGVYYYVNNDTYTGEWFNH QRHGQGTYLYAETGSKYVGTWVHGQQEGAAELIHLNHRYQGKFMNKNPVGPGKYVFDIGC EQHGEYRLTDTERGEEEEEEETLVNIVPKWKALNITELALWTPTLSEEQPPPEGQGQEEP QGLTGVGDPSEDIQAEGFEGELEPRGADEDVDTFRQESQENSYDIDQGNLNFDEEPSDLQ D >ENSMUSP00000034150.8 pep:known chromosome:GRCm38:8:80764434:80880479:-1 gene:ENSMUSG00000031714.8 transcript:ENSMUST00000034150.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gab1 description:growth factor receptor bound protein 2-associated protein 1 [Source:MGI Symbol;Acc:MGI:108088] MSGGEVVCSGWLRKSPPEKKLKRYAWKRRWFVLRSGRLTGDPDVLEYYKNDHAKKPIRII DLNLCQQVDAGLTFNKKEFENSYIFDINTIDRIFYLVADSEEDMNKWVRCICDICGFNPT EEDPVKPLTGSSQAPVDSPFAISTAPASSQMEASSVALPPPYQVISLPPHPDTLGLQDDP QDYLLLINCQSKKPEPNRTLFDSAKPTFSETDCNDNVPSHQTPASSQSKHGMNGFFQQQM MYDCPPSRLTSVSGESSLYNLPRSYSHDVLPKESPSSTEADGELYTFNTPSGTAGVETQM RHVSISYDIPPTPGNTYQIPRTFPESTLGQSSKLDTIPDIPPPRPPKPHPTHDRSPVETC GVPRTASDTDSSYCIPPPAGMTPSRSNTISTVDLNKLRKDASSQDCYDIPRTFPSDRSSS LEGFHSQYKIKSVLTAGGVSGEELDENYVPMNPNSPPRQHSGSFTEPIQEPNYVPMTPGT FDFSSFGMQVPPPAHMGFRSSPKTPPRRPVPVADCEPPPVDRNLKPDRKVKPAPLDIKPL SEWEELQAPVRSPITRSFARDSSRFPMSPRPDSVHSTTSSSDSHDSEENYVPMNPNLSGE DPNLFASNSLDGGSSPMNKPKGDKQVEYLDLDLDSGKSTPPRKQKSSGSGSSMADERVDY VVVDQQKTLALKSTREAWTDGRQSTESETPTKNVK >ENSMUSP00000033310.7 pep:known chromosome:GRCm38:7:135689788:135716379:-1 gene:ENSMUSG00000031004.7 transcript:ENSMUST00000033310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mki67 description:antigen identified by monoclonal antibody Ki 67 [Source:MGI Symbol;Acc:MGI:106035] MASSAHLVTIKRSGDDGAHFPLSLSSCLFGRSIECDIRIQLPVVSKRHCKIEVKEQEAIL YNFSSTNPTQVNGVTIDEPVRLRHGDIITIIDRSFRYEDGNHEDGSKPTEFPGKSLGKEP SRRASRDSFCADPDGEGQDTKASKMTASRRSFVYAKGLSADSPASDGSKNSVSQDSSGHV EQHTGRNIVEPTSGDLFKKSRSTGSSYREPKSSPTQSLSNSNEKESPFEKLYQSMKEELD VKSQKSCRKSEPQPDRAAEESRETQLLVSGRARAKSSGSTPVTAASSPKVGKIWTERWRG GMVPVQTSTETAKMKTPVRHSQQLKDEDSRVTGRRHSVNLDEGESAQAVHKTVTPGKLAT RNQAAVEAGDVASPADTPEHSSSKKRSIPAKVEAPSAETQKRLSLTQRLVPGEKKTPKGS FSKPEKLATAAEQTCSGLPGLSSVDISNFGDSINKSEGMPMKRRRVSFGGHLRPELFDEN LPPNTPLKRGETPTKRKSLGTHSPAVLKTIIKERPQSPGKQESPGITPPRTNDQRRRSGR TSSGSKFLCETDIPKKAGRKSGNLPAKRASISRSQHGILQMICSKRRSGASEANLIVAKS WADVVKLGVKQTQTKVAKHVPQKQTSKRQRRPSTPKKPTSNLHNQFTTGHANSPCTIVVG RAQIEKVSVPARPYKMLNNLMLNRKVDFSEDLSGLTEMFKTPVKEKQQQMSDTGSVLSNS ANLSERQLQVTNSGDIPEPITTEILGEKVLSSTRNAAKQQSDRYSASPTLRRRSIKHENT VQTPKNVHNITDLEKKTPVSETEPLKTASSVSKLRRSRELRHTLVETMNEKTEAVLAENT TARHLRGTFREQKVDQQVQDNENAPQRCKESGELSEGSEKTSARRSSARKQKPTKDLLGS QMVTQTADYAEELLSQGQGTIQNLEESMHMQNTSISEDQGITEKKVNIIVYATKEKHSPK TPGKKAQPLEGPAGLKEHFETPNPKDKPITEDRTRVLCKSPQVTTENITTNTKPQTSTSG KKVDMKEESSALTKRIHMPGESRHNPKILKLECEDIKALKQSENEMLTSTVNGSKRTLEK SKKKAQPLEDLTCFQELFISPVPTNIIKKIPSKSPHTQPVRTPASTKRLSKTGLSKVDVR QEPSTLGKRTKSPGRAPGTPAPVQEENDSTAFMETPKQKLDFAGNSSGSKRRSRTSKNRS QPLEDLDGFQELFQTPAGASDSVTVEESAKISLESSQAEPVKTPASTKRRSKMSLMKVDM KELSILEKQTQSRGRDAGTPAPMQEGNGTTAIMETPKQKLDFTGNSTGHKRRPRTPKIRA QPLEDLDGFQELFQTPAGANDSVTVEESAKMSLESSQAEPVKTPASTKRLSKTDLSKVDV REDPSILGKKTKSPGRAPGTPAPVQEENDCTAYMETPKQKLESIENLTGLRKQSRTPKDI TGFQDSFQIPDHANGPLVVVKTKKMFFNSPQPESAITRKSRERQSRASISKIDVKEELLE SEEHLQLGEGVDTFQVSTNKVIRSSRKPAKRKLDSTAGMPNSKRMRCSSKDNTPCLEDLN GFQELFQMPGYANDSLTTGISTMLARSPQLGPVRTQINKKSLPKIILRKMDVTEEISGLW KQSLGRVHTTQEQEDNAIKAIMEIPKETLQTAADGTRLTRQPQTPKEKVQPLEDHSVFQE LFQTSRYCSDPLIGNKQTRMSLRSPQPGFVRTPRTSKRLAKTSVGNIAVREKISPVSLPQ CATGEVVHIPIGPEDDTENKGVKESTPQTLDSSASRTVSKRQQGAHEERPQFSGDLFHPQ ELFQTPASGKDPVTVDETTKIALQSPQPGHIINPASMKRQSNMSLRKDMREFSILEKQTQ SRGRDAGTPAPMQEENGTTAIMETPKQKLDFIGNSTGHKRRPRTPKNRAQPLEDLDGFQE LFQTPAGASDPVSVEESAKISLASSQAEPVRTPASTKRRSKTGLSKVDVRQEPSTLGKRM KSLGRAPGTPAPVQEENDSTAFMETPKQKLDFTGNSSGHKRRPQTPKIRAQPLEDLDGFQ ELFQTPAGANDSVTVEESVKMSLESSQAEPVKTPASTKRLSKTGLSKVDVREDPSILEKK TKSPGTPAPVQEENDCTAFMETPKQKLDFTGNSSGHKRRPRTPKIRAQPLEDLDGFQELF QTPAGASDSVTVEESAKMSLESSQAKPVKTPASTKRLSKTGLSKVDVREDPSTLGKKTKS PGRAPGTPAPVQEENDSTAFMETPKQKLDFAENSSGSKRRSRTSKNRSQPLEDLDGFQEL FQTPAGASNPVSVEESAKISLESSQAEPVRTRASTKRLSKTGLNKMDVREGHSPLSKSSC ASQKVMQTLTLGEDHGRETKDGKVLLAQKLEPAIYVTRGKRQQRSCKKRSQSPEDLSGVQ EVFQTSGHNKDSVTVDNLAKLPSSSPPLEPTDTSVTSRRQARTGLRKVHVKNELSGGIMH PQISGEIVDLPREPEGEGKVIKTRKQSVKRKLDTEVNVPRSKRQRITRAEKTLEDLPGFQ ELCQAPSLVMDSVIVEKTPKMPDKSPEPVDTTSETQARRRLRRLVVTEEPIPQRKTTRVV RQTRNTQKEPISDNQGMEEFKESSVQKQDPSVSLTGRRNQPRTVKEKTQPLEELTSFQEE TAKRISSKSPQPEEKETLAGLKRQLRIQLINDGVKEEPTAQRKQPSRETRNTLKEPVGDS INVEEVKKSTKQKIDPVASVPVSKRPRRVPKEKAQALELAGLKGPIQTLGHTDESASDKG PTQMPCNSLQPEQVDSFQSSPRRPRTRRGKVEADEEPSAVRKTVSTSRQTMRSRKVPEIG NNGTQVSKASIKQTLDTVAKVTGSRRQLRTHKDGVQPLEVLGDSKEITQISDHSEKLAHD TSILKSTQQQKPDSVKPLRTCRRVLRASKEDPKEVLVDTRDHATLQSKSNPLLSPKRKSA RDGSIVRTRALRSLAPKQEATDEKPVPEKKRAASSKRHVSPEPVKMKHLKIVSNKLESVE EQVSTVMKTEEMEAKRENPVTPDQNSRYRKKTNVKQPRPKFDASAENVGIKKNEKTMKTA SQETELQNPDDGAKKSTSRGQVSGKRTCLRSRGTTEMPQPCEAEEKTSKPAAEILIKPQE EKGVSGESDVRCLRSRKTRVALDSEPKPRVTRGTKKDAKTLKEDEDIVCTKKLRTRS >ENSMUSP00000034699.6 pep:known chromosome:GRCm38:9:75643264:75683996:-1 gene:ENSMUSG00000032181.6 transcript:ENSMUST00000034699.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scg3 description:secretogranin III [Source:MGI Symbol;Acc:MGI:103032] MGFLWTGSWILVLVLNSGPIQAFPKPEGSQDKSLHNRELSAERPLNEQIAEAEADKIKKA FPSESKPSESNYSSVDNLNLLRAITEKETVEKERQSIRSPPFDNQLNVEDADSTKNRKLI DEYDSTKSGLDHKFQDDPDGLHQLDGTPLTAEDIVHKIATRIYEENDRGVFDKIVSKLLN LGLITESQAHTLEDEVAEALQKLISKEANNYEETLDKPTSRTENQDGKIPEKVTPVAAVQ DGFTNRENDETVSNTLTLSNGLERRTNPHREDDFEELQYFPNFYALLTSIDSEKEAKEKE TLITIMKTLIDFVKMMVKYGTISPEEGVSYLENLDETIALQTKNKLEKNTTDSKSKLFPA PPEKSQEETDSTKEEAAKMEKEYGSLKDSTKDDNSNLGGKTDEATGKTEAYLEAIRKNIE WLKKHNKKGNKEDYDLSKMRDFINQQADAYVEKGILDKEEANAIKRIYSSL >ENSMUSP00000091177.3 pep:known chromosome:GRCm38:8:69372145:69373383:-1 gene:ENSMUSG00000057924.4 transcript:ENSMUST00000072427.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10033 description:predicted gene 10033 [Source:MGI Symbol;Acc:MGI:3642803] MNVTNVVKALHCPDISENIEEHILERNPMNVISVVKPLHRAVVFKIMKEFILERNPMNVI NVVVKPLHIPDIFRNMKEHILERNPMNVINVVKPLHITVISRNMKEFILERNPMNVINVV KPLHITVTSKTMKEHTLERNPMNVINVVKLFQSRLFSNYIKEHILERNRMCVINVVKPLH ITVILKFMEELILERNPMNVISAAEPLHITVVFKYMR >ENSMUSP00000023083.7 pep:known chromosome:GRCm38:15:82370528:82380260:-1 gene:ENSMUSG00000061740.7 transcript:ENSMUST00000023083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2d22 description:cytochrome P450, family 2, subfamily d, polypeptide 22 [Source:MGI Symbol;Acc:MGI:1929474] MRLPTGAELWPIAIFTVIFLILVNLMHWRQRWTAHYPPGPMPWPVLGNLLHMDFQNMPAG FQKLRGRYGDLFSLQLASESVVVLNGLTALREALVKHSEDTADRPPLHFNDLLGFGPRSQ GIVLARYGPAWRQQRRFSVSTMHHFGLGKKSLEQWVTEEARCLCAAFADHTGHPFSPNTL LDKAVCNVIASLLYACRFEYDDPRFIRLLGLLKETLKEEAGFLPMFLNVFPMLLRIPGLV GKVFPGKRAFVTMLDELLAEHKTTWDPTQPPRDLTDAFLAEVEKAKGNPESSFNDENLRT VVGDLFSAGMVTTSTTLSWALMLMILHPDVQRRVQQEIDEVIGQVQCPEMADQARMPYTN AVIHEVQRFADILPLGVPHKTSRDIELQGFLIPKGTTLITNLSSALKDETVWEKPLCFHP EHFLDAQGHFVKPEAFMPFSAGRRSCLGEPLARMELFLFFTCLLQRFSISVPDGQPQPSD HGVFRALTTPCPYQLCALPR >ENSMUSP00000022137.7 pep:known chromosome:GRCm38:13:100595957:100616971:-1 gene:ENSMUSG00000021636.14 transcript:ENSMUST00000022137.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marveld2 description:MARVEL (membrane-associating) domain containing 2 [Source:MGI Symbol;Acc:MGI:2446166] MSSSDARSRIRDRGYSEVPRDTSCPDGTIRTFQSLHSSELAVSADPLPPPPLPLQPPFGP SFYSSDTEEPAVAPDLKPVRRFVPDSWKNFFRGKKKDPEWDNPVSDIRYISDGVECSPPA SPARANHHPYKDPSRGSQGTFNSQHEADAMFAHDPYASLDRRTQTARTYSEKVEEYNLRY AYMKSWAGLLRILGVVELLLGAGVFACVTAYIHKDNEWYNLFGYTQPYGMGGLGSLGNTY GGYYYSGPKTPFVLVVAGLAWITTIIILVLGMSMYYRTILLDSNWWPLTEFGVNVALFIL YMAAAIVYVNDTNRGGLCYYPLFNTPMNAMFCRVEGGQIAAMIFLFVTMIVYLVSALVCL KLWRHEAARRHREFLEQQEINDPSLSSKRKMCEAAISDRQRDQEVNVKDLRTTTKMTPEL LSGHIPPGHIPKPIVMPDYVAKYPVIQTDDDRERYKAVFQDQFSEYKELSAEVQAILRKF DELDTVMSRLPHHSENRQEHERISRIHEEFRKKKNDPSFLEKKERCDYLKNKLSHIKQRI QEYDKVMNWDTQGYP >ENSMUSP00000129990.1 pep:known chromosome:GRCm38:13:100595957:100616944:-1 gene:ENSMUSG00000021636.14 transcript:ENSMUST00000163163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marveld2 description:MARVEL (membrane-associating) domain containing 2 [Source:MGI Symbol;Acc:MGI:2446166] MRRRDAEPAGWAFRPGHTSPEPPPSRGQAEAGARGRAGLRGRRGRVSLQRQVRPAGPPPS FRAPGGAALATFPAGLTETTATLGRKPEWIFQRRKRRRDTHRINDPSLSSKRKMCEAAIS DRQRDQEVNVKDLRTTTKMTPELLSGHIPPGHIPKPIVMPDYVAKYPVIQTDDDRERYKA VFQDQFSEYKELSAEVQAILRKFDELDTVMSRLPHHSENRQEHERISRIHEEFRKKKNDP SFLEKKERCDYLKNKLSHIKQRIQEYDKVMNWDTQGYP >ENSMUSP00000126438.1 pep:known chromosome:GRCm38:13:100597230:100616911:-1 gene:ENSMUSG00000021636.14 transcript:ENSMUST00000168772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marveld2 description:MARVEL (membrane-associating) domain containing 2 [Source:MGI Symbol;Acc:MGI:2446166] MSSSDARSRIRDRGYSEVPRDTSCPDGTIRTFQSLHSSELAVSADPLPPPPLPLQPPFGP SFYSSDTEEPAVAPDLKPVRRFVPDSWKNFFRGKKKDPEWDNPVSDIRYISDGVECSPPA SPARANHHPYKDPSRGSQGTFNSQHEADAMFAHDPYASLDRRTQTARTYSEKVEEYNLRY AYMKSWAGLLRILGVVELLLGAGVFACVTAYIHKDNEWYNLFGYTQPYGMGGLGSLGNTY GGYYYSGPKTPFVLVVAGLAWITTIIILVLGMSMYYRTILLDSNWWPLTEFGVNVALFIL YMAAAIVYVNDTNRGGLCYYPLFNTPMNAMFCRVEGGQIAAMIFLFVTMIVYLVSALVCL KLWRHEAARRHREFLEQQEINDPSLSSKRKMCEAAISDRQRDQEVNVKDLRTTTKMTPEL LSGHIPPGHIPKPIVMPDYVAKYPVIQTDDDRERYKAVFQDQFSEYKELSAEVQAILRKF DELDTVMSRLPHHSENRQEHERISRIHEEFRKKKNDPSFLEKKERCDYLKNKLSHIKQRI QEYDKVMNWDTQGYP >ENSMUSP00000126306.1 pep:known chromosome:GRCm38:14:55784996:55788857:-1 gene:ENSMUSG00000022221.13 transcript:ENSMUST00000168716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripk3 description:receptor-interacting serine-threonine kinase 3 [Source:MGI Symbol;Acc:MGI:2154952] MVNLRNENVLLLLGVTEDLQWDFVSGQALVTRFMENGSLAGLLQPECPRPWPLLCRLLQE VVLGMCYLHSLNPPLLHRDLKPSNILLDPELHAKLADFGLSTFQGGSQSGSGSGSGSRDS GGTLAYLDPELLFDVNLKASKASDVYSFGILVWAVLAGREAELVDKTSLIRETVCDRQSR PPLTELPPGSPETPGLEKLKELMIHCWGSQSENRPSFQDCEPKTNEVYNLVKDKVDAAVS EVKHYLSQHRSSGRNLSAREPSQRGTEMDCPRETMVSKMLDRLHLEEPSGPVPGKCPERQ AQDTSVGPATPARTSSDPVAGTPQIPHTLPFRGTTPGPVFTETPGPHPQRNQGDGRHGTP WYPWTPPNPMTGPPALVFNNCSEVQIGNYNSLVAPPRTTASSSAKYDQAQFGRGRGWQPF HK >ENSMUSP00000022830.6 pep:known chromosome:GRCm38:14:55784996:55788857:-1 gene:ENSMUSG00000022221.13 transcript:ENSMUST00000022830.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripk3 description:receptor-interacting serine-threonine kinase 3 [Source:MGI Symbol;Acc:MGI:2154952] MSSVKLWPTGASAVPLVSREELKKLEFVGKGGFGVVFRAHHRTWNHDVAVKIVNSKKISW EVKAMVNLRNENVLLLLGVTEDLQWDFVSGQALVTRFMENGSLAGLLQPECPRPWPLLCR LLQEVVLGMCYLHSLNPPLLHRDLKPSNILLDPELHAKLADFGLSTFQGGSQSGSGSGSG SRDSGGTLAYLDPELLFDVNLKASKASDVYSFGILVWAVLAGREAELVDKTSLIRETVCD RQSRPPLTELPPGSPETPGLEKLKELMIHCWGSQSENRPSFQDCEPKTNEVYNLVKDKVD AAVSEVKHYLSQHRSSGRNLSAREPSQRGTEMDCPRETMVSKMLDRLHLEEPSGPVPGKC PERQAQDTSVGPATPARTSSDPVAGTPQIPHTLPFRGTTPGPVFTETPGPHPQRNQGDGR HGTPWYPWTPPNPMTGPPALVFNNCSEVQIGNYNSLVAPPRTTASSSAKYDQAQFGRGRG WQPFHK >ENSMUSP00000137278.1 pep:known chromosome:GRCm38:14:55784996:55788857:-1 gene:ENSMUSG00000022221.13 transcript:ENSMUST00000178399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripk3 description:receptor-interacting serine-threonine kinase 3 [Source:MGI Symbol;Acc:MGI:2154952] MVNLRNENVLLLLGVTEDLQWDFVSGQALVTRFMENGSLAGLLQPECPRPWPLLCRLLQE VVLGMCYLHSLNPPLLHRDLKPSNILLDPELHAKLADFGLSTFQGGSQSGSGSGSGSRDS GGTLAYLDPELLFDVNLKASKASDVYSFGILVWAVLAGREAELVDKTSLIRETVCDRQSR PPLTELPPGSPETPGLEKLKELMIHCWGSQSENRPSFQDCEPKTNEVYNLVKDKVDAAVS EVKHYLSQHRSSGRNLSAREPSQRGTEMDCPRETMVSKMLDRLHLEEPSGPVPGKCPERQ AQDTSVGPATPARTSSDPVAGTPQIPHTLPFRGTTPGPVFTETPGPHPQRNQGDGRHGTP WYPWTPPNPMTGPPALVFNNCSEVQIGNYNSLVAPPRTTASSSAKYDQAQFGRGRGWQPF HK >ENSMUSP00000078877.1 pep:known chromosome:GRCm38:14:58893506:58894129:1 gene:ENSMUSG00000059835.1 transcript:ENSMUST00000079960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl13-ps3 description:ribosomal protein L13, pseudogene 3 [Source:MGI Symbol;Acc:MGI:3646682] MAPSRNGMILKPHFHKDWQQRVDTWFNQPARKIRRHKARQAKARRIAPRPATSPIRPIVR CPTVRYHTKVRAGRGFSLEELRVAGIHKKMVRTIGISVDPRRRNKSTESLQANVQRLKEY RSKLILFPRKPSAQKKGDSSAEELKLTGPVMPIRNVYKKEKARVITEEEKNFKAFASLRM ARANARLFGIRAKRAKEAAEQDVEKKK >ENSMUSP00000007733.6 pep:known chromosome:GRCm38:14:55679083:55681817:-1 gene:ENSMUSG00000007589.8 transcript:ENSMUST00000007733.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tinf2 description:Terf1 (TRF1)-interacting nuclear factor 2 [Source:MGI Symbol;Acc:MGI:107246] MAPPPGVGPASLRFAAAASWLVVRRRRVEHFPKVVEFLQSLRAAAPGLVCYRHHERLCMS LKAKVVVELILQARPWDQVLNALKHHFPAESRTTKEDRKLLEARENFCLLVKHLSEDPPS SLQELEQDYGESFLVAMEKLLFEYLCQLEKALPPVRAQELQDALSWSQPGSFITSSVALH QYGMDMGWTFPESSTSGSGNLIEPMEESPHQQTRPAFHSPLPKAKLGPHQPASLEHPEHL AGHRFNLAPLGKRKSRSHWTSAKACHKERPTVMLLPFRNMGLPAQDLSNPKSREEPGAAS AASVGTEPVCTEEAKTPSRPLGKRALEETPPDSPAAEQENSVNCVDPLRHSSPPLTVKKP VLSPTPCSSVITIGDLVLDSDEEENNQKEGKEFLKNYQKTKFDTYIPMFCDYIP >ENSMUSP00000136818.1 pep:novel scaffold:GRCm38:GL456211.1:66955:67326:1 gene:ENSMUSG00000096100.1 transcript:ENSMUST00000179890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC133103.7 MTQLPLALGFGVSRLWKVVQLRCVYLHPSICCYITQVTLGCSALRSIPACYSSLSPCASA SVTAEVNVNGSCDVYPSSYLLTYKGDVPSSLEVLQPVGYTQKYSMIQHRAGLLSGLSSFS TLT >ENSMUSP00000128780.1 pep:known chromosome:GRCm38:4:108847399:108848619:-1 gene:ENSMUSG00000090551.1 transcript:ENSMUST00000164855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A730015C16Rik description:RIKEN cDNA A730015C16 gene [Source:MGI Symbol;Acc:MGI:3704235] MTTSCRLRRRSTAARSAARRAFSPAESPYTVGSCAPSTEASSTTYTARPSLASARRSSSV RWLLPLDGSPQTTTSGMISRGIHVRMFGVNFRQEPEEKTELPVPLHSYGTFCFYGKPEL >ENSMUSP00000132093.1 pep:known chromosome:GRCm38:17:47016696:47016956:-1 gene:ENSMUSG00000091775.1 transcript:ENSMUST00000165525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16494 description:predicted gene 16494 [Source:MGI Symbol;Acc:MGI:3641930] MPKRKAEEDAKGDKTKVKDEPQKRSARLSAKPAPPKPEPKPKKAPAKKGEKVPKGKVDAG KDANNPAENGDAKTDQAQKAEDAEDAK >ENSMUSP00000086795.5 pep:known chromosome:GRCm38:15:78926725:78930465:1 gene:ENSMUSG00000068220.5 transcript:ENSMUST00000089377.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals1 description:lectin, galactose binding, soluble 1 [Source:MGI Symbol;Acc:MGI:96777] MACGLVASNLNLKPGECLKVRGEVASDAKSFVLNLGKDSNNLCLHFNPRFNAHGDANTIV CNTKEDGTWGTEHREPAFPFQPGSITEVCITFDQADLTIKLPDGHEFKFPNRLNMEAINY MAADGDFKIKCVAFE >ENSMUSP00000127439.1 pep:known chromosome:GRCm38:14:75582834:75593116:-1 gene:ENSMUSG00000034913.9 transcript:ENSMUST00000164082.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spert description:spermatid associated [Source:MGI Symbol;Acc:MGI:1915176] MSPLECSECFGDQLLHKTYTWHLTLHSRPNFTRKRDTRSESLEIPVNVILPQRGTEPFLR LHNLYSTPRCSRQAALPRMSRRVASQHSYPLNRFSSMPFDPMERPTSQADLELDYNPPRV QLSDEMFVFQDGRWVNESCRLQPPYFSPPSSFHHKLHHRRLAKEYQLQEENKSLRDENRA LRDENKALRKENKILQVFWEEHKVTLGHEESQTSSLLHKDTTSQEVVKRDNTTLPAQRSK ESTLQLIREENRALQQLLEQRQAYWAQAEENAASTEEGKSTSSPKEESHNSGLLPDQSTN HSSPFEDPKVPPTTQEDSKTLRALREMVNNLSGASGEEDGKVGPNLPDSAQPLQLLREMN QALQALQEENRLLQEENRALHILREEHRVFQEENKALWENNKLKLQQRLVIDTVTEVTAR MEMLIEELYAFMPAKNNKDPKKPSRV >ENSMUSP00000129616.1 pep:known chromosome:GRCm38:14:75582834:75593116:-1 gene:ENSMUSG00000034913.9 transcript:ENSMUST00000169658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spert description:spermatid associated [Source:MGI Symbol;Acc:MGI:1915176] MSPLECSECFGDQLLHKTYTWHLTLRGTEPFLRLHNLYSTPRCSRQAALPRMSRRVASQH SYPLNRFSSMPFDPMERPTSQADLELDYNPPRVQLSDEMFVFQDGRWVNESCRLQPPYFS PPSSFHHKLHHRRLAKEYQLQEENKSLRDENRALRDENKALRKENKILQVFWEEHKVTLG HEESQTSSLLHKDTTSQEVVKRDNTTLPAQRSKESTLQLIREENRALQQLLEQRQAYWAQ AEENAASTEEGKSTSSPKEESHNSGLLPDQSTNHSSPFEDPKVPPTTQEDSKTLRALREM VNNLSGASGEEDGKVGPNLPDSAQPLQLLREMNQALQALQEENRLLQEENRALHILREEH RVFQEENKALWENNKLKLQQRLVIDTVTEVTARMEMLIEELYAFMPAKNNKDPKKPSRV >ENSMUSP00000131347.1 pep:known chromosome:GRCm38:14:75582834:75591985:-1 gene:ENSMUSG00000034913.9 transcript:ENSMUST00000165569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spert description:spermatid associated [Source:MGI Symbol;Acc:MGI:1915176] MSRRVASQHSYPLNRFSSMPFDPMERPTSQADLELDYNPPRVQLSDEMFVFQDGRWVNES CRLQPPYFSPPSSFHHKLHHRRLAKEYQLQEENKSLRDENRALRDENKALRKENKILQVF WEEHKVTLGHEESQTSSLLHKDTTSQEVVKRDNTTLPAQRSKESTLQLIREENRALQQLL EQRQAYWAQAEENAASTEEGKSTSSPKEESHNSGLLPDQSTNHSSPFEDPKVPPTTQEDS KTLRALREMVNNLSGASGEEDGKVGPNLPDSAQPLQLLREMNQALQALQEENRLLQEENR ALHILREEHRVFQEENKALWENNKLKLQQRLVIDTVTEVTARMEMLIEELYAFMPAKNNK DPKKPSRV >ENSMUSP00000046259.3 pep:known chromosome:GRCm38:14:75582834:75591985:-1 gene:ENSMUSG00000034913.9 transcript:ENSMUST00000035243.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spert description:spermatid associated [Source:MGI Symbol;Acc:MGI:1915176] MQPEGVKCRMEGPKAQRGTEPFLRLHNLYSTPRCSRQAALPRMSRRVASQHSYPLNRFSS MPFDPMERPTSQADLELDYNPPRVQLSDEMFVFQDGRWVNESCRLQPPYFSPPSSFHHKL HHRRLAKEYQLQEENKSLRDENRALRDENKALRKENKILQVFWEEHKVTLGHEESQTSSL LHKDTTSQEVVKRDNTTLPAQRSKESTLQLIREENRALQQLLEQRQAYWAQAEENAASTE EGKSTSSPKEESHNSGLLPDQSTNHSSPFEDPKVPPTTQEDSKTLRALREMVNNLSGASG EEDGKVGPNLPDSAQPLQLLREMNQALQALQEENRLLQEENRALHILREEHRVFQEENKA LWENNKLKLQQRLVIDTVTEVTARMEMLIEELYAFMPAKNNKDPKKPSRV >ENSMUSP00000073437.3 pep:known chromosome:GRCm38:9:19883309:19884343:-1 gene:ENSMUSG00000063842.3 transcript:ENSMUST00000073765.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr862 description:olfactory receptor 862 [Source:MGI Symbol;Acc:MGI:3030696] MEPYNLTGALEFLLLGLSEDPELQPVLFALFLLIYLLTVLGNVLIILAISSDSHLHSPMY FFLYNLSLSDMGFSSTTIPKMLINMQTHNKSITYAACLTQVSFFTLFGCMDSLLLTVMAY DRWVAICRPLYYQVILNPGLCRRLVLMLFFISYMNSLVHYFIVSQLKFCTNMEIPHFFCD IPELLKLACSDTSINNLFRFLLSIIFGFLPVSGIFYSYYKIISSIIRVPSLLGKYKAFST CGSHLSVVCLFYGTGLEAYLSSTISRSTRENMLASVIYTMRVPMINPFIYSLRNRAMKKA LQKIFS >ENSMUSP00000054778.4 pep:known chromosome:GRCm38:9:20300129:20301190:1 gene:ENSMUSG00000049028.4 transcript:ENSMUST00000053919.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr873 description:olfactory receptor 873 [Source:MGI Symbol;Acc:MGI:3030707] MFIFKSCSNNIELQNLTLVSEFHLMRISDDPELQPILFGLFLSMYLVTVLGNLIIILAVN SDSNLHTPMYFFLCNLSLADICFISTTVPKMIVNIQTHSKEIIYVGCLTQMSFLILFGCM DGLLLTVMAYDRFVAVCHPLHYSLIMNPRLCGSLVCLSLLISLVDSQAHNLIALQIIYFK DVKISNFFCDPAQLLNLACFNTFINNIVMYFVGAISGLLPISGIFFSYYKIVFSILKIPS KGGRYKAFSTCGSHLSVVCLFYGTAIGVYLGSAVSHSPRSTAVASLIYTVVTPMLNPFIY SLRNKDIKRAVKRLHRRML >ENSMUSP00000022614.5 pep:known chromosome:GRCm38:14:65837302:65866604:1 gene:ENSMUSG00000022035.5 transcript:ENSMUST00000022614.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc25 description:coiled-coil domain containing 25 [Source:MGI Symbol;Acc:MGI:1914429] MVFYFTSSSVNSSTYTIYMGKDKYENEDLIKYGWPEDIWFHVDKLSSAHVYLRLQKGEKI EDIPKEVLMDCAHLVKANSIQGCKMNNVNVVYTPWSNLKKTADMDVGQIGFHRQKDVKIV TVEKKVNEILNRLEKTKLEKFPDLAAEKEGRDREERNEKKAQIQEMKRKEKEEMKKKREM DELRSYSSLMKVENMSSNQDGNDSDEFM >ENSMUSP00000127292.1 pep:known chromosome:GRCm38:7:141269085:141276786:-1 gene:ENSMUSG00000025497.14 transcript:ENSMUST00000167263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr5 description:cadherin-related family member 5 [Source:MGI Symbol;Acc:MGI:1919290] MGAPALLWPSLLLPWLTVLFGQPPGTLAQTQVCSVNQTIFRVEENTTVSEPLVNIFVPDG LHVTLGPLSTPYAFRIEGKDLFLNVTPDYEENSLLQADVECKRGDAVVVRLEVFVAVLDI NDNAPKFSFEIKTFNVSEDTKVNTTVIPETQLKATDADINDILVYTLQEVTPNASKFFSL EGVNYPALKLDQTLDYFKNQNMTFMLLARDTWEENVEPSHTATATLVLNTLPADLRTPWF LPCSFTDGYVCIHAQYSAVVPTGHKLPSPLIMSPGPIYAVDGDQAINQSIIYSIIAGNTD GTFIINAHDGNLTMTKSIPSPMKFTLLIRADQEDMAQYSVTQAIVEARSVTGNPLQFSQS LYYGTVVLGSEAGTAVKDKTFPSEILRIQAQYPGFPDLNSAVTYRVTNSSEFMMNKDIML TAVPMEEARTIRVEVEASNTVTKDTATAVVEIQVSERELPSTEFPTPPEAGGTTGPSSNT TMEAPLTSGTSQRPATTSSGGSVGPFPPGGTTLRPPTPASSIPGGSPTLGTSTSPQTTTP GGDSAQTPKPGTSHPTAPTSRTSTSLMTTSSRSDSTQTPKPGTSQPMVPIPGASTSSQPA TPSGSSPQTPKPGTSQSTATGPISGAGEQGDGQRFSTVDMAVLGGVLGALLLLALICLVI LVHKHYRHRLACCSGKASEPQPSGYDNLTFLPDHKAKWSPTPNRKPEPSPKLAQPPLRPP SPMSSSPTPPSSTPPSPQPKASGSPKTVQAGDSPSAVRSILTKERRPEGEGGYKAVWFGK DIGAEADVVVLNEPTADVDSASASGSEGSDDDDPDQKKTLRFGVDADNTYI >ENSMUSP00000079484.5 pep:known chromosome:GRCm38:7:141269085:141276786:-1 gene:ENSMUSG00000025497.14 transcript:ENSMUST00000080654.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr5 description:cadherin-related family member 5 [Source:MGI Symbol;Acc:MGI:1919290] MGAPALLWPSLLLPWLTVLFGQPPGTLAQTQVCSVNQTIFRVEENTTVSEPLVNIFVPDG LHVTLGPLSTPYAFRIEGKDLFLNVTPDYEENSLLQADVECKRGDAVVVRLEVFVAVLDI NDNAPKFSFEIKTFNVSEDTKVNTTVIPETQLKATDADINDILVYTLQEVTPNASKFFSL EGVNYPALKLDQTLDYFKNQNMTFMLLARDTWEENVEPSHTATATLVLNTLPADLRTPWF LPCSFTDGYVCIHAQYSAVVPTGHKLPSPLIMSPGPIYAVDGDQAINQSIIYSIIAGNTD GTFIINAHDGNLTMTKSIPSPMKFTLLIRADQEDMAQYSVTQAIVEARSVTGNPLQFSQS LYYGTVVLGSEAGTAVKDKTFPSEILRIQAQYPGFPDLNSAVTYRVTNSSEFMMNKDIML TAVPMEEARTIRVEVEASNTVTKDTATAVVEIQVSERELPSTGAGEQGDGQRFSTVDMAV LGGVLGALLLLALICLVILVHKHYRHRLACCSGKASEPQPSGYDNLTFLPDHKAKWSPTP NRKPEPSPKLAQPPLRPPSPMSSSPTPPSSTPPSPQPKASGSPKTVQAGDSPSAVRSILT KERRPEGEGGYKAVWFGKDIGAEADVVVLNEPTADVDSASASGSEGSDDDDPDQKKTLRF GVDADNTYI >ENSMUSP00000073984.7 pep:known chromosome:GRCm38:15:78378400:78395912:-1 gene:ENSMUSG00000062154.13 transcript:ENSMUST00000074380.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex33 description:testis expressed 33 [Source:MGI Symbol;Acc:MGI:1920626] MELSHRQGTTTLTRTHPNDKEGQQDMNSFRANHSSLDNSKFKYHARLSQSPLGSSLGQGY LETPPLPPTPTCRTSLAMNSHPEDLKKGASRSSSRDARETFREGCVGEEGQDSRSPEQRT VPLSKKDSVIPENIRHKFGSKMVDQLISEDQARQAIGEMFEGQKRPSSWPSRTQSPMQAS SIFSDYYDLGYHMRSNLFQGPPQETKSLMKASYTPEVIEKSVRDVEHWHGRKTDDLGRWH RKNAMNMNLQKALEEKYGEKSRSKAK >ENSMUSP00000133264.1 pep:known chromosome:GRCm38:15:78378400:78395912:-1 gene:ENSMUSG00000062154.13 transcript:ENSMUST00000165170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex33 description:testis expressed 33 [Source:MGI Symbol;Acc:MGI:1920626] MELSHRQGTTTLTRTHPNDKEGQQDMNSFRANHSSLDNSKFKYHARLSQSPLGSSLGQGY LETPPLPPTPTCRTSLAMNSHPEDLKKGASRSSSRDARETFREGCVGEEGQDSRSPEQRT VPLSKKDSVIPENIRHKFGSKMVDQLISEDQARQAIGEMFEGQKRPSSWPSRTQSPMQAS SIFSDYYDLGYHMRSNLFQGPPQETKSLMKASYTPEVIEKSVRDVEHWHGRKTDDLGRWH RKNAMNMNLQKALEEKYGEKSRSKAK >ENSMUSP00000130849.1 pep:known chromosome:GRCm38:15:78378400:78388769:-1 gene:ENSMUSG00000062154.13 transcript:ENSMUST00000169575.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex33 description:testis expressed 33 [Source:MGI Symbol;Acc:MGI:1920626] MNSFRANHSSLDNSKFKYHARLSQSPLGSSLGQGYLETPPLPPTPTCRTSLAMNSHPEDL KKGASRSSSRDARETFREGCVGEEGQDSRSPEQRTVPLSKKDSVIPENIRHKFGSKMVDQ LISEDQARQAIGEMFEGQKRPSSWPSRTQSPMQASSIFSDYYDLGYHMRSNLFQGPPQET KSLMKASYTPEVIEKSVRDVEHWHGRKTDDLGRWHRKNAMNMNLQKALEEKYGEKSRSKA K >ENSMUSP00000023295.2 pep:known chromosome:GRCm38:15:89568326:89574585:1 gene:ENSMUSG00000022622.4 transcript:ENSMUST00000023295.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acr description:acrosin prepropeptide [Source:MGI Symbol;Acc:MGI:87884] MVEMLPTVAVLVLAVSVVAKDNTTCDGPCGLRFRQNSQAGTRIVSGQSAQLGAWPWMVSL QIFTSHNSRRYHACGGSLLNSHWVLTAAHCFDNKKKVYDWRLVFGAQEIEYGRNKPVKEP QQERYVQKIVIHEKYNVVTEGNDIALLKITPPVTCGNFIGPCCLPHFKAGPPQIPHTCYV TGWGYIKEKAPRPSPVLMEARVDLIDLDLCNSTQWYNGRVTSTNVCAGYPEGKIDTCQGD SGGPLMCRDNVDSPFVVVGITSWGVGCARAKRPGVYTATWDYLDWIASKIGPNALHLIQP ATPHPPTTRHPMVSFHPPSLRPPWYFQHLPSRPLYLRPLRPLLHRPSSTQTSSSLMPLLS PPTPAQPASFTIATQHMRHRTTLSFARRLQRLIEALKMRTYPMKHPSQYSGPRNYHYRFS TFEPLSNKPSEPFLHS >ENSMUSP00000023040.7 pep:known chromosome:GRCm38:15:81318921:81360765:-1 gene:ENSMUSG00000022404.7 transcript:ENSMUST00000023040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a17 description:solute carrier family 25 (mitochondrial carrier, peroxisomal membrane protein), member 17 [Source:MGI Symbol;Acc:MGI:1342248] MASVLSYESLVHAVAGAVGSVTAMTVFFPLDTARLRLQVDEKRKSKTTHAVLLEIIKEEG LLAPYRGWFPVISSLCCSNFVYFYTFNSLKAVWVKGQRSSTGKDLVVGFVAGVVNVLLTT PLWVVNTRLKLQGAKFRNEDIIPTNYKGIIDAFHQIIRDEGILALWNGTFPSLLLVFNPA IQFMFYEGLKRQLLKKRMKLSSLDVFIIGAIAKAIATTVTYPMQTVQSILRFGRHRLNPE NRTLGSLRNVLSLLHQRVKRFGIMGLYKGLEAKLLQTVLTAALMFLVYEKLTAATFTVMG LKSTHKH >ENSMUSP00000023064.7 pep:known chromosome:GRCm38:15:79659227:79667660:1 gene:ENSMUSG00000022428.7 transcript:ENSMUST00000023064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cby1 description:chibby homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1920989] MPLFGSIFSPKKTPPRKSASLSNLHSLDRSTRELELGLDYGTPTMNLAGQSLKFENGQWV ADSVISGGVDRRETQRLRKRNQQLEEENNLLRLKVDILLDMLSETTAESHLKDKELDELK VTNRRRK >ENSMUSP00000068940.6 pep:known chromosome:GRCm38:15:91145884:91191807:-1 gene:ENSMUSG00000055782.8 transcript:ENSMUST00000069511.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcd2 description:ATP-binding cassette, sub-family D (ALD), member 2 [Source:MGI Symbol;Acc:MGI:1349467] MIHMLNAAAYRVKWTRSGAAKRAACLVAAAYALKTLYPIIGKRLKQPGHRKAKAEAYSPA ENREILHCTEIICKKPAPGLNAAFFKQLLELRKILFPKLVTTETGWLCLHSVALISRTFL SIYVAGLDGKIVKSIVEKKPRTFIIKLIKWLMIAIPATFVNSAIRYLECKLALAFRTRLV DHAYETYFANQTYYKVINMDGRLANPDQSLTEDIMMFSQSVAHLYSNLTKPILDVILTSY TLIRTATSRGASPIGPTLLAGLVVYATAKVLKACSPKFGSLVAEEAHRKGYLRYVHSRII ANVEEIAFYRGHKVEMKQLQKCYKALAYQMNLILSKRLWYIMIEQFLMKYVWSSCGLIMV AIPIITATGFADGDLEDGPKQAMVSDRTEAFTTARNLLASGADAIERIMSSYKEITELAG YTARVYNMFWVFDEVKRGIYKRTVTQEPENHSKRGGNLELPLSDTLAIKGTVIDVDHGII CENVPIITPAGEVVASRLNFKVEEGMHLLITGPNGCGKSSLFRILSGLWPVYEGVLYKPP PQHMFYIPQRPYMSLGSLRDQVIYPDSADDMREKGYTDQDLERILHSVHLYHIVQREGGW DAVMDWKDVLSGGEKQRMGMARMFYHKPKYALLDECTSAVSIDVEGKIFQAAIGAGISLL SITHRPSLWKYHTHLLQFDGEGGWRFEQLDTAIRLTLSEEKQKLESQLAGIPKMQQRLNE LCKILGEDSVLKTIQTAEKTS >ENSMUSP00000083587.4 pep:known chromosome:GRCm38:9:21015960:21028797:1 gene:ENSMUSG00000037405.7 transcript:ENSMUST00000086399.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icam1 description:intercellular adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:96392] MASTRAKPTLPLLLALVTVVIPGPGDAQVSIHPREAFLPQGGSVQVNCSSSCKEDLSLGL ETQWLKDELESGPNWKLFELSEIGEDSSPLCFENCGTVQSSASATITVYSFPESVELRPL PAWQQVGKDLTLRCHVDGGAPRTQLSAVLLRGEEILSRQPVGGHPKDPKEITFTVLASRG DHGANFSCRTELDLRPQGLALFSNVSEARSLRTFDLPATIPKLDTPDLLEVGTQQKLFCS LEGLFPASEARIYLELGGQMPTQESTNSSDSVSATALVEVTEEFDRTLPLRCVLELADQI LETQRTLTVYNFSAPVLTLSQLEVSEGSQVTVKCEAHSGSKVVLLSGVEPRPPTPQVQFT LNASSEDHKRSFFCSAALEVAGKFLFKNQTLELHVLYGPRLDETDCLGNWTWQEGSQQTL KCQAWGNPSPKMTCRRKADGALLPIGVVKSVKQEMNGTYVCHAFSSHGNVTRNVYLTVLY HSQNNWTIIILVPVLLVIVGLVMAASYVYNRQRKIRIYKLQKAQEEAIKLKGQAPPP >ENSMUSP00000046705.6 pep:known chromosome:GRCm38:14:70530821:70538323:1 gene:ENSMUSG00000033595.6 transcript:ENSMUST00000047331.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgi3 description:leucine-rich repeat LGI family, member 3 [Source:MGI Symbol;Acc:MGI:2182619] MAGLRARRGPGRRLLVLSTLGFCLMLQVSAKRPPKTPPCPPSCSCTRDTAFCVDSKSVPK NLPSEVISLTLVNAAFSEIQDGAFSHLPLLQFLLLNSNKFTLIGDNAFIGLSHLQYLFIE NNDIWALSKFTFRGLKSLTHLSLANNNLQTLPRDIFRPLDILSDLDLRGNALNCDCKVKW LVEWLAHTNTTVAPIYCASPPRFQEHKVQDLPLREFDCITTDFVLYQTLSFPAVSAEPFL YSSDLYLALAQPGASACTILKWDYVERQLRDYDRIPAPSAVHCKPMVVDGQLYVVVAQLF GGSYIYHWDPNTTRFTKLQDIDPQRVRKPNDLEAFRIDGDWFFAVADSSKAGATSLYRWH QNGFYSHQALHAWHRDTDLEFVDGEGKPRLIVSSSSQAPVIYQWSRSQKQFVAQGEVTQV PDAQAVKHFRAGRDSYLCLSRYIGDSKILRWEGTRFSEVQALPSRGSLALQPFLVGGHRY LALGSDFSFTQIYQWDEGRQKFVRFQELAVQAPRAFCYMPAGDAQLLLAPSFKGQTLVYR HVVVDLSA >ENSMUSP00000026569.4 pep:known chromosome:GRCm38:7:141292006:141294999:1 gene:ENSMUSG00000025496.4 transcript:ENSMUST00000026569.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drd4 description:dopamine receptor D4 [Source:MGI Symbol;Acc:MGI:94926] MGNSSATEDGGLLAGRGPESLGTGAGLGGAGAAALVGGVLLIGLVLAGNSLVCVSVASER TLQTPTNYFIVSLAAADLLLAVLVLPLFVYSEVQGGVWLLSPRLCDTLMAMDVMLCTASI FNLCAISVDRFVAVTVPLRYNQQGQCQLLLIAATWLLSAAVASPVVCGLNDVPGRDPAVC CLENRDYVVYSSVCSFFLPCPLMLLLYWATFRGLRRWEAARHTKLHSRAPRRPSGPGPPV SDPTQGPFFPDCPPPLPSLRTSPSDSSRPESELSQRPCSPGCLLADAALPQPPEPSSRRR RGAKITGRERKAMRVLPVVVGAFLVCWTPFFVVHITRALCPACFVSPRLVSAVTWLGYVN SALNPIIYTIFNAEFRSVFRKTLRLRC >ENSMUSP00000063542.6 pep:known chromosome:GRCm38:17:42556783:42611313:-1 gene:ENSMUSG00000043972.7 transcript:ENSMUST00000068355.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opn5 description:opsin 5 [Source:MGI Symbol;Acc:MGI:2662912] MALNHTALPQDERLPHYLRDEDPFASKLSWEADLVAGFYLTIIGILSTFGNGYVLYMSSR RKKKLRPAEIMTINLAVCDLGISVVGKPFTIISCFCHRWVFGWFGCRWYGWAGFFFGCGS LITMTAVSLDRYLKICYLSYGVWLKRKHAYICLAVIWAYASFWTTMPLVGLGDYAPEPFG TSCTLDWWLAQASGGGQVFILSILFFCLLLPTAVIVFSYAKIIAKVKSSSKEVAHFDSRI HSSHVLEVKLTKVAMLICAGFLIAWIPYAVVSVWSAFGRPDSIPIQLSVVPTLLAKSAAM YNPIIYQVIDYRFACCQAGGLRGTKKKSLEDFRLHTVTAVRKSSAVLEIHPESSSRFTSA HVMDGESHSNDGDCGKK >ENSMUSP00000005976.6 pep:known chromosome:GRCm38:17:57189492:57194189:-1 gene:ENSMUSG00000005824.6 transcript:ENSMUST00000005976.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf14 description:tumor necrosis factor (ligand) superfamily, member 14 [Source:MGI Symbol;Acc:MGI:1355317] MESVVQPSVFVVDGQTDIPFRRLEQNHRRRRCGTVQVSLALVLLLGAGLATQGWFLLRLH QRLGDIVAHLPDGGKGSWEKLIQDQRSHQANPAAHLTGANASLIGIGGPLLWETRLGLAF LRGLTYHDGALVTMEPGYYYVYSKVQLSGVGCPQGLANGLPITHGLYKRTSRYPKELELL VSRRSPCGRANSSRVWWDSSFLGGVVHLEAGEEVVVRVPGNRLVRPRDGTRSYFGAFMV >ENSMUSP00000078042.4 pep:known chromosome:GRCm38:19:44139266:44146446:-1 gene:ENSMUSG00000057506.4 transcript:ENSMUST00000079033.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bloc1s2 description:biogenesis of lysosomal organelles complex-1, subunit 2 [Source:MGI Symbol;Acc:MGI:1920939] MAAAAEGVPATRREEQPPRDDAAVETAEEAKEPAEADINELCRDMFSKMATYLTGELTAT SEDYKLLENMNKLTSLKYLEMKDIAINISRNLKDLNQKYAELQPYLDQINMIEEQVAALE QAAYKLDAYSKKLEAKYKKLEKR >ENSMUSP00000093110.4 pep:known chromosome:GRCm38:13:108046424:108049146:1 gene:ENSMUSG00000071180.4 transcript:ENSMUST00000095458.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim15 description:small integral membrane protein 15 [Source:MGI Symbol;Acc:MGI:1922866] MLDIKAWAEYVVEWAAKDPYGFLTTVILALTPLFLASAVLSWKLAKMIEAREKEQKKKQK RQENIAKAKRLKKD >ENSMUSP00000071135.5 pep:known chromosome:GRCm38:17:57080066:57087782:-1 gene:ENSMUSG00000062591.5 transcript:ENSMUST00000071135.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubb4a description:tubulin, beta 4A class IVA [Source:MGI Symbol;Acc:MGI:107848] MREIVHLQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGNYV PRAVLVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDAVLDVV RKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEFPDRIMNTFSVVPSPKVSDTVV EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCL RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDAKNMM AACDPRHGRYLTVAAVFRGRMSMKEVDEQMLSVQSKNSSYFVEWIPNNVKTAVCDIPPRG LKMAATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS EYQQYQDATAEEGEFEEEAEEEVA >ENSMUSP00000034086.5 pep:known chromosome:GRCm38:8:88521344:88594887:1 gene:ENSMUSG00000031661.11 transcript:ENSMUST00000034086.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkd1 description:naked cuticle 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2135954] MGKLHSKPAAVCKRRESPEGDSFAVSAAWARKGIEEWIGRQRCPGSVSGPRQLRLAGTVG RGTRELVGDTSREALGEEDEDDFPLEVALPPEKIDSLGSGDEKRMERLSEPGQASKKQLK FEELQCDVSVEEDSRQEWTFTLYDFDNNGKVTREDITSLLHTIYEVVDSSVNHSPTSSKT LRVKLTVAPDGSQSKRSVLFNHTDLQSTRPRADTKPAEELRGWEKKQRAPLRFQGDSHLE QPDCYHHCVDENIERRNHYLDLAGIENYTSQFGPGSPSVAQKSELPPRISNPTRSRSHEP EAAHIPHRRPQGVDPGSFHLLDTPFAKASELQQRLRGTQDGSKHFVRSPKAQGKNMGMGH GARGARSKPPLVPTTHTVSPSAHLATSPALLPTLAPLGHKKHKHRAKESQASCRGLQGPL AAGGSTVMGREQVRELPAVVVYESQAGQAVQRHEHHHHHEHHHHYHHFYQP >ENSMUSP00000125935.1 pep:known chromosome:GRCm38:8:88527633:88594887:1 gene:ENSMUSG00000031661.11 transcript:ENSMUST00000169179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkd1 description:naked cuticle 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2135954] MERLSEPGQASKKQLKFEELQCDVSVEEDSRQEWTFTLYDFDNNGKVTREDITSLLHTIY EVVDSSVNHSPTSSKTLRVKLTVAPDGSQSKRSVLFNHTDLQSTRPRADTKPAEELRGWE KKQRAPLRFQGDSHLEQPDCYHHCVDENIERRNHYLDLAGIENYTSQFGPGSPSVAQKSE LPPRISNPTRSRSHEPEAAHIPHRRPQGVDPGSFHLLDTPFAKASELQQRLRGTQDGSKH FVRSPKAQGKNMGMGHGARGARSKPPLVPTTHTVSPSAHLATSPALLPTLAPLGHKKHKH RAKESQASCRGLQGPLAAGGSTVMGREQVRELPAVVVYESQAGQAVQRHEHHHHHEHHHH YHHFYQP >ENSMUSP00000058372.5 pep:known chromosome:GRCm38:9:40126924:40128039:-1 gene:ENSMUSG00000049073.5 transcript:ENSMUST00000060345.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr985 description:olfactory receptor 985 [Source:MGI Symbol;Acc:MGI:3030819] MSIRNHSTVTEFLLLGLTEEPALQLPLFCLFLGIYIVTMVGNLGMIAVIKLNSQLHTPMY YFLSSLSFLDFCYSSVVTPKMLVGFLSRDKAISYSDCMAQLFFFCIFVISECYMLAAMAY DRYVAICSPLLYAVIMSPRVCSLLVAAVFSVGFTDAVIHGGCILRLSFCKSNIIKHYFCD IVPLIQLSCSSTYIDELLIFVIGGFNMIATSLTIVISYGFILSSILRIHSKEGRSKAFST CSSHLTAVLIFYGSLMSMYLKPASNGSVIHEKVTSVFYTTVIPMLNPLIYSLRNKEVKHA LVKLVRRKISS >ENSMUSP00000043706.3 pep:known chromosome:GRCm38:9:114789345:114844152:-1 gene:ENSMUSG00000041012.3 transcript:ENSMUST00000047013.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm8 description:CKLF-like MARVEL transmembrane domain containing 8 [Source:MGI Symbol;Acc:MGI:2447167] MEEQQRARSHTVTTTTSSFAENFSTTSSSFAYDREFLRTPPGLLIVAEIVLGLLVWTLIA GTEYFRVPAFGWVMFVAVFYWVLTVFFLIVYITLTYTRIPQVPWTTVGLCFNGSAFVLYF SAAIVDASSVSPEKEGHNFNSWAASSFFAFLVTICYAGNTYFSFIAWRSRTAQ >ENSMUSP00000070488.5 pep:known chromosome:GRCm38:10:121263824:121311189:-1 gene:ENSMUSG00000052302.5 transcript:ENSMUST00000064107.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d30 description:TBC1 domain family, member 30 [Source:MGI Symbol;Acc:MGI:1921944] MRQDKLTGSLRRGGRCLKRQGGGGVGTILSNVLKKRSCISRTAPRLLCTLEPGVDTKLKF TLEPSLGQNGFQQWYDALKAVARLSTGIPKEWRRKVWLTLADHYLHSIAIDWDKTMRFTF NERSNPDDDSMGIQIVKDLHRTGCSSYCGQEAEQDRVVLKRVLLAYARWNKNVGYCQGFN ILAALILEVMEGNEGDALKIMIYLIDKVLPESYFVNNLRALSVDMAVFRDLLRLKLPELS QHLDTLQRTANKESGGGYEPPLTNVFTMQWFLTLFATCLPNHTVLKIWDSVFFEGSEIIL RVSLAIWAKLGEQIECCETADEFYGTMGRLTQEMLEQDLLQSHELMQTVYSMAPFPFPQL AELREKYTYNITPFPATIKPTSVSGRHSKARDSDDENGPDDEDAVASAVGCLGPLSGLLA PELQKYQKQIKEATEEQTLRSNNIAELSPGAINSCRSEYHAAFNSMMMERMTTDINALKR QYSRIKKKQQQQLHQVYIRADKGPVTSILPSQANSSPVINHLLLGKKMKITNRAAKNAVI HVPGHPGGKISPVPYEDIKTKLNSPWRTHIRVHKKNMPRTKSHLGCGDTVGLIEEQSEGC KASSLGAAEEFPSGRTVTAHSEGSSGDGDGGGSTPRTIEGQSPEPVFGDADVDVAAVQVK LEALELNQRDAAAETEPKVHFPCQRHASELADAPGENQTAIKLLPGSTSKTPIFSPFPSV KPLRKSATARNLGLYGPTERTPNVHFPQMSRGFNKSGIGNSSTKKR >ENSMUSP00000045474.6 pep:known chromosome:GRCm38:15:101284301:101290934:1 gene:ENSMUSG00000037204.6 transcript:ENSMUST00000048393.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg101 description:autophagy related 101 [Source:MGI Symbol;Acc:MGI:1915368] MNCRSEVLEVSVEGRQVEEAMLAVLHTVLLHRSTGKFHYKKEGTYSIGTVGIQDVDCDFI DFTYVRVSSEELDRALRKVVGEFKDALRNSGGDGLGQMSLEFYQKKKSRWPFSDECIPWE VWTVKVHVVALATEQERQICREKVGEKLCEKIINIVEVMSRHEYLPKMPTQSEVDNVFDT GLRDVQPYLYKISFQITEALGTSVTTTMRRLIKDTLAL >ENSMUSP00000021482.4 pep:known chromosome:GRCm38:12:71111428:71123222:1 gene:ENSMUSG00000021078.4 transcript:ENSMUST00000021482.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm20l description:translocase of outer mitochondrial membrane 20 homolog (yeast)-like [Source:MGI Symbol;Acc:MGI:1922516] MPSVRLGVGLLAGLAAGGAVVLLSYCVYLDWRRHRDPAFRRRLQDKRRAGQPKAQAPARQ LWDPVKKEELQEYFFREVQMGKLCLIRGERGMGFEHLTNALLVCEQPKELLMFFKKTLPP EVFQMLLDKIPLICQQLEETCRSTEHLKDDPD >ENSMUSP00000034448.5 pep:known chromosome:GRCm38:9:26817953:26860823:-1 gene:ENSMUSG00000031966.6 transcript:ENSMUST00000034448.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1l3 description:galactosidase, beta 1 like 3 [Source:MGI Symbol;Acc:MGI:1918143] MIVGGSIHYFRVPREYWKDRLLKLQACGFNTVTTYIPWNLHEQERGKFDFSEILDLEAYV LLAKTIGLWVILRPGPYICAEVDLGGLPSWLLRNPVTDLRTTNKGFIEAVDKYFDHLIPK ILPLQYRHGGPVIAVQVENEYGSFQKDRNYMNYLKKALLKRGIVELLLTSDDKDGIQIGS VNGALTTINMNSFTKDSFIKLHKMQSDKPIMIMEYWTGWYDSWGSKHIEKSAEEIRHTVY KFISYGLSFNMYMFHGGTNFGFINGGRYENHHISVVTSYDYDAVLSEAGDYTEKYFKLRK LFASGSARPLPPLPPLIPKAIYPSVNLSFYLPLFDILPYLNQPVMLHTPVTMENLPINNG SGQPFGLVLYETSICSGGSLFASVHDSAQVFLNDQSIGILDENHEVLQIPKIQQGCQLLR ILVENQGRINYSWRIQSEQKGLKEVVSIDGLLLTNFTIYSLDMKMSFFKRLRSASWRLAP KTYNGPAFYWGILNAGSSPTDTFLHLPNWHYGFVFINGRNLGRYWDIGPQRTLYLPGPWL HPEDNEVIVFEKIEKGFDIQTRKKPQLQECYETGSGLPDAAGDADPC >ENSMUSP00000037583.7 pep:known chromosome:GRCm38:12:85340614:85374717:-1 gene:ENSMUSG00000021248.8 transcript:ENSMUST00000040766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed10 description:transmembrane emp24-like trafficking protein 10 (yeast) [Source:MGI Symbol;Acc:MGI:1915831] MSGLFGPLSRPGPLPSAWLFLLLLGPSSVLGISFHLPVNSRKCLREEIHKDLLVTGAYEI TDQSGGAGGLRTHLKITDSAGHILYAKEDATKGKFAFTTEDYDMFEVCFESKGTGRIPDQ LVILDMKHGVEAKNYEEIAKVEKLKPLEVELRRLEDLSESIVNDFAYMKKREEEMRDTNE STNTRVLYFSIFSMFCLIGLATWQVFYLRRFFKAKKLIE >ENSMUSP00000044482.6 pep:known chromosome:GRCm38:9:121366958:121474916:1 gene:ENSMUSG00000032536.9 transcript:ENSMUST00000045903.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trak1 description:trafficking protein, kinesin binding 1 [Source:MGI Symbol;Acc:MGI:1914345] MALAIQLRQPSRAQPLPGLSHTLAGTDSCDVCNSTNLPEVEIISLLEEQLPHYKLRADTI YGYDHDDWLHTPLISPDANIDLTTEQIEETLKYFLLCAERVGQMTKTYNDIDAVTRLLEE KERDLELAARIGQSLLKKNKTLTERNELLEEQVEHIREEVSQLRHELSMKDELLQFYTSA AEESEPESVCSTPLKRNESSSSVQNYFHLDSLQKKLKDLEEENVVLRSEACQLKTETITY EEKEQQLVNDCVKELRDANVQIASISEELAKKTEDAARQQEEITHLLSQIVDLQKKAKSC AVENEELVQHLGAAKDAQRQLTAELRELEDKYAECMEMLHEAQEELKNLRNKTMPTSRRY HSLGLFPMDSLAAEIEGTMRKELQLEELESPDITHQKRVFETVRNVNQVVKQRSLTPSPM NIPGSNQSSAMNSLLSSCVSTPRSSFYGSDVSNVVLDNKTNSILLETEAADLGNEDHNKK PGTPGTPGSHDLETALRRLSLRRENYLSERRFFEEEQERKLRELAEKGELHSGSLTPTES IMSLGTHSRFSEFTGFSGMSFSSRSYLPEKLQIVKPLEGSATLHHWQQLAQPHLGGILDP RPGVVTKGFRTLDVDLDEVYCLNDFEEDDTGDHISLAGLATSTPIQHPETSAHHPGKCMS QTNSTFTFTTCRILHPSDELTRVTPSLNSAPAPACSSTSHLKSTPVATPCTPRRLSLAES FTNVRESTTTMSTSLGLVWLLKERGISAAVYDPQSWDRAGRGSLLHSYTPRMAVIPSTPP NSPMQTPSASPPSFEFKCTSPPYNNFLASKPASSILREVREKRPVRSSESQTDVSVSNLN LVDKVRRFGVARVVNSGRARIPTLTEEQGPLLCGPTGPAQALVPGGLVPEGLPLGCPSGI RRNRSFPTMVGSSVQMRAPVILTSGILMGAKLPKQTSLR >ENSMUSP00000089709.4 pep:known chromosome:GRCm38:18:12066671:12121480:-1 gene:ENSMUSG00000049411.13 transcript:ENSMUST00000092075.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem241 description:transmembrane protein 241 [Source:MGI Symbol;Acc:MGI:2442435] MNVRRSLLGLTFCTCYLASHLTNKYVLSVLKFTYPTLFQGWQTFIGGLLLHMSWKLGWVE LHSSPRSDVLIWLPASALFVGIIYAGSKALSRLAVPVFFILHNVAEVLTCGYQKCVWKEK TSLSKICSALFLLAAAGCLPFQDSQFDPDGYFWALIHIFCVGSYKILRKSRKPTVLRGPA CWGLPLPS >ENSMUSP00000052001.6 pep:known chromosome:GRCm38:18:11981303:12121480:-1 gene:ENSMUSG00000049411.13 transcript:ENSMUST00000055447.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem241 description:transmembrane protein 241 [Source:MGI Symbol;Acc:MGI:2442435] MNVRRSLLGLTFCTCYLASHLTNKYVLSVLKFTYPTLFQGWQTFIGGLLLHMSWKLGWVE LHSSPRSDVLIWLPASALFVGIIYAGSKALSRLAVPVFFILHNVAEVLTCGYQKCVWKEK TSLSKICSALFLLAAAGCLPFQDSQFDPDGYFWALIHIFCVGSYKILRKSRKPTVLSDID QQYLNYIFSMVLLAFASHPTGDLFGALDFPFLYFYRFHGSCCASGVLGFFLMLSTVRLRS ILAPGQCAAWILCAKVVTAGLSMLLFDMALTKATVG >ENSMUSP00000062148.7 pep:known chromosome:GRCm38:18:11981303:12121480:-1 gene:ENSMUSG00000049411.13 transcript:ENSMUST00000050228.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem241 description:transmembrane protein 241 [Source:MGI Symbol;Acc:MGI:2442435] MNVRRSLLGLTFCTCYLASHLTNKYVLSVLKFTYPTLFQGWQTFIGGLLLHMSWKLGWVE LHSSPSALSDSARMADHQAPRILLSPQCWDYRCALPHPDSPWVLGILLRSPSLHIRSDVL IWLPASALFVGIIYAGSKALSRLAVPVFFILHNVAEVLTCGYQKCVWKEKTSLSKICSAL FLLAAAGCLPFQDSQFDPDGYFWALIHIFCVGSYKILRKSRKPTVL >ENSMUSP00000035569.3 pep:known chromosome:GRCm38:12:85746539:85813585:1 gene:ENSMUSG00000034258.3 transcript:ENSMUST00000040461.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd7c description:major facilitator superfamily domain containing 7C [Source:MGI Symbol;Acc:MGI:2384974] MVNESLNQEESNDRPAPESEFQMDTSYSTQPSGSIHPSVSGHPSVSGHPSVSGHPSVSIH PSVSIDPSVSVRPSSSALPSTLAQPSGLTHHSSLVREDSVIKVSKRRWVVVLVFSCYSLC NAFQWIQYGSINNIFMNFYGVSAFAIDWLSMCYMLTYIPLLLPVAWMLEKFGLRTIAITG SALNCLGAWVKLGSLEPHLFPVTMVGQVICSVAQVFILGMPSRIASVWFGADEVSTACSV AVFGNQLGIAIGFLVPPVLVPNIKDPEKLAYHISIMFYIIGGVATFLFILVIIVFKEKPK HPPSRAQSLSYALATTDASYLSSIVRLFKNLNFVLLVITYGLNAGAFYALSTLLNRMVIL HFPGEEVNAGRIGLTIVIAGMFGAMISGIWLDKSKTYKETTLVVYIMTLVGMVVYTFTLN LNHLWVVFITAGTLGFFMTGYLPLGFEFAVELTYPESEGVSSGLLNVSAQVFGIVFTISQ GQIIDNHGTMFGNIFLCVFLALGSALTAFIKSDLRRQRANKDAPETKVQEEEEEEEGSNT SKVPVVSEAHL >ENSMUSP00000034219.4 pep:known chromosome:GRCm38:8:113643213:113655533:1 gene:ENSMUSG00000033409.13 transcript:ENSMUST00000034219.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syce1l description:synaptonemal complex central element protein 1 like [Source:MGI Symbol;Acc:MGI:1922247] MAAELEPLMAEWLGAEKAEDTRGQAASLNTMEDLLETVKKLQKEGSLEPQIEDLIHRINE LQQGPAKKRSSEELGEAQALQEAMHRELDSLNEERVHLEEVLRKKQEAVSILKKHPQERD SDTPQKCTVQFSGFRPKKLGLLLSLQLGCSTTGGEAGGSGKATQGPLGVPCPAAATGPGD QHDGAQERSASCREDSAASQAGEGGAAAAGGQRDLGQPRELWAEDRAGGIGGAVPAQPRS SE >ENSMUSP00000092796.1 pep:known chromosome:GRCm38:8:113643213:113655533:1 gene:ENSMUSG00000033409.13 transcript:ENSMUST00000095173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syce1l description:synaptonemal complex central element protein 1 like [Source:MGI Symbol;Acc:MGI:1922247] MAAELEPLMAEWLGAEKAEDTRGQAASLNTMEDLLETVKKLQKEGSLEPQIEDLIHRINE LQQGPAKKRSSEELGEAQALQEAMHRELDSLNEERVHLEEVLRKKQEAVSILKKHPQERD SDTPHLDAQQLEERLADLARQHKDLWEFHVLQQRLAQEISTMEHRKDQLLAERTLLRARL EKVEQRLQEARETWDSPGNCGLKTELEELEGQSQRSPEAQNDKGEASQEEQHHLETSEEL PRTGTLC >ENSMUSP00000083206.2 pep:known chromosome:GRCm38:9:40092017:40093558:-1 gene:ENSMUSG00000044205.5 transcript:ENSMUST00000050996.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr983 description:olfactory receptor 983 [Source:MGI Symbol;Acc:MGI:3030817] MTPGMVSENNSSVKEFILLGLTQLPELQLPLFFLFLGIYVFSMVGNLGLIVLIVLNPPLH TPMYYFLFNLSFTDLCYSSVITPKMLVGFVKQNIISHAECMTQLFFFAFFVIDECCILTA MAYDRYAAICKPLLYKVIMSHQVCFVLMVGGYTVGFVGATAHTVCMLRLTFCDGNIINHY MCDIPPLLKLSCTSTSINELVVFIVVGVSIIVPSLTVFISYTLILSNILRIHSAKGRSKA LSTCSSHMIAVSLFFGSSSFIYFKSSPVGSVDKDKISTVFYTVVVPMMNPFIYSLRNKDV QIALRKTLKKNCSLK >ENSMUSP00000090082.3 pep:known chromosome:GRCm38:9:44240677:44247306:1 gene:ENSMUSG00000070306.2 transcript:ENSMUST00000092426.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc153 description:coiled-coil domain containing 153 [Source:MGI Symbol;Acc:MGI:2448587] MPPKTKGRGRKAEARKKKKNSSPGVEAEAKHRLVLLEKELLQDRLALQREEARRAKASED RLKQRLQGLEAELERTQSEGKAIYAEMSRQRQALKEELGTRSKQLEEEVRSLKEQLETCQ REAKTAKEEAERALRKQDGTLAQLHAHVADMEAKYEEILHDNLDCLLAKLRVVKPHWDAN VLRLHTRLKEQLRQFGLNPLDL >ENSMUSP00000025915.5 pep:known chromosome:GRCm38:19:6987911:6992503:-1 gene:ENSMUSG00000024963.11 transcript:ENSMUST00000025915.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc4 description:DnaJ heat shock protein family (Hsp40) member C4 [Source:MGI Symbol;Acc:MGI:1927346] MPSLLLQLPLRLCRLWPHSLSIRLLTAATGQRSVPTNYYELLGVHPGASAEEIKRAFFTK SKELHPDRDPGNPALHSRFVELNEAYRVLSREESRRNYDHQLHSASPPKSSGSTAEPKYT QQTHSSSWEPPNAQYWAQFHSVRPQGPESRKQQRKHNQRVLGYCLLLMVAGMGLHYVAFR KLEQVHRSFMDEKDRIITAIYNDTRARARANRARIQQERQQRQQPRAEPSLPPESSRIMP QDTSP >ENSMUSP00000136062.1 pep:known chromosome:GRCm38:19:6987911:6992272:-1 gene:ENSMUSG00000024963.11 transcript:ENSMUST00000179118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc4 description:DnaJ heat shock protein family (Hsp40) member C4 [Source:MGI Symbol;Acc:MGI:1927346] MPSLLLQLPLRLCRLWPHSLSIRLLTAATGQRSVPTNYYELLGVHPGASAEEIKRAFFTK SKELHPDRDPGNPALHSRFVELNEAYRVLSREESRRNYDHQLHSASPPKSSGSTAEPKYT QQTHSSWEPPNAQYWAQFHSVRPQGPESRKQQRKHNQRVLGYCLLLMVAGMGLHYVAFRK LEQVHRSFMDEKDRIITAIYNDTRARARANRARIQQERQQRQQPRAEPSLPPESSRIMPQ DTSP >ENSMUSP00000091286.3 pep:known chromosome:GRCm38:9:121760033:121771742:1 gene:ENSMUSG00000013419.6 transcript:ENSMUST00000093772.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp651 description:zinc finger protein 651 [Source:MGI Symbol;Acc:MGI:2670992] MLLVEKTTDSPAAEFSLVEDVALHFACLMGRLNEQRLFQPDLCDVDLVLVPQHSVFPAHK GVLAAYSQFFHSLFTQNKQLQRVELSLEALAPSGLQQILNFIYTSKLLVNAANVHEVLSA ASLLQMADIAASCQELLDARSLAPSGPVALAQPATSCAPVPPPPYYCDIKQEADAPGLPK IYAREGPDPYSVRVEDGAGAAGDVGSATAGPAQTLFKEEKEGAPEEAEAPGSLCKLESGE GLEPELDASGTYGHQEQSQIIVEVNLNNQTLHVSTGPEGKPGSGANPATVVLGQEDGMQG HSEEEEEEGGGSGGGEEEEEEEEEEEGSQGEEEEEEEEGPSDHREDEDEEDGPSEQDAES SEEEREAEGRQDPAGPAGCQGSQVDPPPHSRMSTRSRGQNTRRRATPEPEEAGRRGGKRP KASGAVPASQAADGLGAKVKLEEKQQHPCQKCPRVFNNRWYLEKHMNVTHSRMQICGQCG KRFLLESELQLHRQTDCERNIQCMTCGKAFKKLWSLHEHNKIVHGYAEKKFSCEICEKKF HTMAHVRKHMVAHTKDMPFTCETCGKSFKRSMSLKVHSLQHSGEKPFRCENCNERFQYKY QLRSHMSIHIGHKQFMCQWCGKDFNMKQYFDEHMKTHTGEKPYICEICGKSFTSRPNMKR HRRTHTGEKPYPCDVCGQRFRFSNMLKAHKEKCFRVSHPLPGDPATLPATHLQPTAPLFP TAPPRLDTN >ENSMUSP00000050112.2 pep:known chromosome:GRCm38:8:70863127:70865428:1 gene:ENSMUSG00000020887.3 transcript:ENSMUST00000050921.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A230052G05Rik description:RIKEN cDNA A230052G05 gene [Source:MGI Symbol;Acc:MGI:3045239] MSLLGSGVLKERESRAWVWRGSETLVVILARPKTQFLQSILRWLSKTEFVGCWLCPALSS KCRPPPQPPSQPFPPSPTPEHPPTFCHGLRQGDFLLGVWRPLRVSIIFVVVIFVFVFFLP YVLKIAVPPRQSPSPAALREQRRAPAVAGDSSDGRGWDAPATGAGCERPSHRGAAGGR >ENSMUSP00000066488.6 pep:known chromosome:GRCm38:8:124556534:124569706:-1 gene:ENSMUSG00000031980.9 transcript:ENSMUST00000063278.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agt description:angiotensinogen (serpin peptidase inhibitor, clade A, member 8) [Source:MGI Symbol;Acc:MGI:87963] MHRSEMTPTGAGLKATIFCILTWVSLTAGDRVYIHPFHLLYHNKSTCAQLENPSVETLPE STFEPVPIQAKTSPVNEKTLHDQLVLAAEKLEDEDRKRAAQVAMIANFVGFRMYKMLNEA GSGASGAILSPPALFGTLVSFYLGSLDPTASQLQTLLDVPVKEGDCTSRLDGHKVLAALR AIQGLLVTQGGSSSQTPLLQSIVVGLFTAPGFRLKHSFVQSLALFTPALFPRSLDLSTDP VLATEKINRFIKAVTGWKMNLPLEGVSTDSTLLFNTYVHFQGTMRGFSQLPGVHEFWVDN SISVSVPMISGTGNFQHWSDTQNNFSVTCVPLGERATLLLIQPHCTSDLDRVEALIFRND LLTWIENPPPRAIRLTLPQLEIRGSYNLQDLLAEDKLPTLLGAEANLNNIGDTNPRVGEV LNSILLELKAGEEEQPTTSVQQPGSPEALDVTLSSPFLFAIYEQDSGTLHFLGRVNNPQS VV >ENSMUSP00000023400.2 pep:known chromosome:GRCm38:16:8409276:8425136:-1 gene:ENSMUSG00000022715.2 transcript:ENSMUST00000023400.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem114 description:transmembrane protein 114 [Source:MGI Symbol;Acc:MGI:1921970] MRVRLGALAGAAALSGALSFVLLAAAIGTDFWYIIDTERLERSSQRMRDQGPANRSQQEP LSSHSGLWRTCRVQSSCTPLMNPFWQENVTVSDSSRQLLTMHGTFVILLPLSLIVMVFGG MTGFLSFLLRAHLLLLLTGILFLFGAMVTLTGISIYIAYSAVAFREAVCLLEERALLDQV DIRFGWSLALGWISFVSELLTGVVFLAAARALSLSQRQDQAI >ENSMUSP00000098103.2 pep:known chromosome:GRCm38:11:95723587:95726710:1 gene:ENSMUSG00000075596.2 transcript:ENSMUST00000100534.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B130006D01Rik description:RIKEN cDNA B130006D01 gene [Source:MGI Symbol;Acc:MGI:2444371] MISFPHILKSLFSHGPISVSVNIYLYHKCRWFCNGSHSIHTILLLLFKVLVTVNNATQMS PLIMLVVVSSSLLGSKSRYSSDLGKALTTLRQVVPDSQFTSCRQQCQLMPKVSQVILASV TWGFNTIKRIYPCWYMDSGEKTKPICSLIVFTK >ENSMUSP00000054387.4 pep:known chromosome:GRCm38:16:88924050:88924217:-1 gene:ENSMUSG00000048643.4 transcript:ENSMUST00000062005.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap19-9a description:keratin associated protein 19-9A [Source:MGI Symbol;Acc:MGI:3704466] MSYYHGNYYGGLGYGLGGFGGLSCGYGSSYGLGGYGGYGYFRPSFYGRYFSSGFY >ENSMUSP00000058264.9 pep:known chromosome:GRCm38:12:29528384:29923209:1 gene:ENSMUSG00000061911.14 transcript:ENSMUST00000049784.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myt1l description:myelin transcription factor 1-like [Source:MGI Symbol;Acc:MGI:1100511] MDVDSEEKRHRTRSKGVRVPVEPAIQELFSCPTPGCDGSGHVSGKYARHRSVYGCPLAKK RKTQDKQPQEPAPKRKPFAVKADSSSVDECYESDGTEDMDDKEEDDDEEFSEDNDEQGDD DDEDEVDREDEEEIEEEDDEEDDDDEDGDDVEEEEEDDDEEEEEEEEEEENEDHQMSCTR IMQDTDKDDNNNDEYDNYDELVAKSLLNLGKIAEDAAYRARTESEMNSNTSNSLEDDSDK NENLGRKSELSLDLDSDVVRETVDSLKLLAQGHGVVLSENISDRSYAEGMSQQDSRNMNY VMLGKPMNNGLMEKMVEESDEEVCLSSLECLRNQCFDLARKLSETNPQDRSQPPNMSVRQ HVRQEDDFPGRTPDRSYSDMMNLMRLEEQLSPRSRTFSSCAKEDGCHERDDDTTSVNSDR SEEVFDMTKGNLTLLEKAIALETERAKAMREKMAMDAGRRDNLRSYEDQSPRQLAGEDRK SKSSDSHVKKPYYGKDPSRTEKRESKCPTPGCDGTGHVTGLYPHHRSLSGCPHKDRVPPE ILAMHENVLKCPTPGCTGRGHVNSNRNSHRSLSGCPIAAAEKLAKAQEKHQSCDVSKSNQ ASDRVLRPMCFVKQLEIPQYGYRNNVPTTTPRSNLAKELEKYSKTSFEYNSYDNHTYGKR AIAPKVQTRDISPKGYDDAKRYCKNASPSSSTTSSYAPSSSSNLSCGGGSSASSTCSKSS FDYTHDMEAAHMAATAILNLSTRCREMPQNLSTKPQDLCTARNPDMEVDENGTLDLSMNK QRPRDSCCPVLTPLEPMSPQQQAVMSSRCFQLSEGDCWDLPVDYTKMKPRRVDEDEPKEI TPEDLDPFQEALEERRYPGEVTIPSPKPKYPQCKESKKDLITLSGCPLADKSIRSMLATS SQELKCPTPGCDGSGHITGNYASHRSLSGCPRAKKSGIRIAQSKEDKEDQEPIRCPVPGC DGQGHITGKYASHRSASGCPLAAKRQKDGYLNGSQFSWKSVKTEGMSCPTPGCDGSGHVS GSFLTHRSLSGCPRATSAMKKAKLSGEQMLTIKQRASNGIENDEEIKQLDEEIKELNESN SQMEADMIKLRTQITTMESNLKTIEEENKVIEQQNESLLHELANLSQSLIHSLANIQLPH MDPINEQNFDAYVTTLTEMYTNQDRYQSPENKALLENIKQAVRGIQV >ENSMUSP00000021009.8 pep:known chromosome:GRCm38:12:29534905:29923209:1 gene:ENSMUSG00000061911.14 transcript:ENSMUST00000021009.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myt1l description:myelin transcription factor 1-like [Source:MGI Symbol;Acc:MGI:1100511] MDVDSEEKRHRTRSKGVRVPVEPAIQELFSCPTPGCDGSGHVSGKYARHRSVYGCPLAKK RKTQDKQPQEPAPKRKPFAVKADSSSVDECYESDGTEDMDDKEEDDDEEFSEDNDEQGDD DDEDEVDREDEEEIEEEDDEEDDDDEDGDDVEEEEEDDDEEEEEEEEEEENEDHQMSCTR IMQDTDKDDNNNDEYDNYDELVAKSLLNLGKIAEDAAYRARTESEMNSNTSNSLEDDSDK NENLGRKSELSLDLDSDVVRETVDSLKLLAQGHGVVLSENISDRSYAEGMSQQDSRNMNY VMLGKPMNNGLMEKMVEESDEEVCLSSLECLRNQCFDLARKLSETNPQDRSQPPNMSVRQ HVRQEDDFPGRTPDRSYSDMMNLMRLEEQLSPRSRTFSSCAKEDGCHERDDDTTSVNSDR SEEVFDMTKGNLTLLEKAIALETERAKAMREKMAMDAGRRDNLRSYEDQSPRQLAGEDRK SKSSDSHVKKPYYDPSRTEKRESKCPTPGCDGTGHVTGLYPHHRSLSGCPHKDRVPPEIL AMHENVLKCPTPGCTGRGHVNSNRNSHRSLSGCPIAAAEKLAKAQEKHQSCDVSKSNQAS DRVLRPMCFVKQLEIPQYGYRNNVPTTTPRSNLAKELEKYSKTSFEYNSYDNHTYGKRAI APKVQTRDISPKGYDDAKRYCKNASPSSSTTSSYAPSSSSNLSCGGGSSASSTCSKSSFD YTHDMEAAHMAATAILNLSTRCREMPQNLSTKPQDLCTARNPDMEVDENGTLDLSMNKQR PRDSCCPVLTPLEPMSPQQQAVMSSRCFQLSEGDCWDLPVDYTKMKPRRVDEDEPKEITP EDLDPFQEALEERRYPGEVTIPSPKPKYPQCKESKKDLITLSGCPLADKSIRSMLATSSQ ELKCPTPGCDGSGHITGNYASHRSLSGCPRAKKSGIRIAQSKEDKEDQEPIRCPVPGCDG QGHITGKYASHRSASGCPLAAKRQKDGYLNGSQFSWKSVKTEGMSCPTPGCDGSGHVSGS FLTHRSLSGCPRATSAMKKAKLSGEQMLTIKQRASNGIENDEEIKQLDEEIKELNESNSQ MEADMIKLRTQITTMESNLKTIEEENKVIEQQNESLLHELANLSQSLIHSLANIQLPHMD PINEQNFDAYVTTLTEMYTNQDRYQSPENKALLENIKQAVRGIQV >ENSMUSP00000050792.2 pep:known chromosome:GRCm38:17:9666497:9669704:-1 gene:ENSMUSG00000046173.2 transcript:ENSMUST00000057190.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc6 description:poly(A) binding protein, cytoplasmic 6 [Source:MGI Symbol;Acc:MGI:1914793] MNPSDPSYSLASLYVGDLHPDVTEAMLYEKFSPAGPILSIRVYRDRITRRSLGYASVNFQ QLEDAERALDTMNFDVIKGKPVRIMWSQRDPSLRKSGVGNIFVKNLDRSIDSKTLYDTFS AFGNILSCKVVCDENGSKGYGFVHFETQEEAERAIEKMNGMFLNDHKVFVGRFKSRRDRQ AELGARAKEFTNVYIKNLGEDMDDERLQDLFGRFGPALSVKVMTDESGKSKGFGFVSFER HEDARKAVEEMNGKDLNGKQIYVGRAQKKVERQTELKHKFGQMKQDKHKIERVPQDRSVR CKGVNLYVKNLDDGIDDERLRKEFSPFGTITSAKVTMEGGRSKGFGFVCFSSPEEATKAV TEMNGKIVATKPLYVALAQRKEERQAHLSNQYMQRMASTSAGPNPVVSPFQPAQGPSGYC MTATPTQSRRAYCAPNQTTQQGPSARGSAHGTRAHPFQNMSSTIHPSHTMPSFSTSGPTT SQAIRHRTASTSTQMMGPHPAAAAAAAAAPATRTITQYKYTAGVRNPPQHPNTQPHVSTQ RSAVPVQGKESLTASMLASAPPQAQKQMLGEWLFSLIQAMHPALAGKITGMLLEIDNIEL RHMLESPECLHTKVDEAIAVLQAHQAKETSQKAVSSVAGVPNA >ENSMUSP00000066621.6 pep:known chromosome:GRCm38:7:137437648:137468594:1 gene:ENSMUSG00000031068.7 transcript:ENSMUST00000064404.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glrx3 description:glutaredoxin 3 [Source:MGI Symbol;Acc:MGI:1353653] MAAGAAEAGEAAVAVVEVGSAQQFEELLRLKTKSLLVVHFWAPWAPQCVQMNDVMAELAK EHPHVSFVKLEAEAVPEVSEKYEISSVPTFLFFKNSQKVDRLDGAHAPELTKKVQRHVSS GAFPPSTNEHLKEDLSLRLKKLTHAAPCMLFMKGTPQEPRCGFSKQMVEILHKHNIQFSS FDIFSDEEVRQGLKTYSNWPTYPQLYVSGELIGGLDIIKELEASEELDTICPKAPKLEER LKVLTNKASVMLFMKGNKQEAKCGFSKQILEILNSTGVEYETFDILEDEEVRQGLKTFSN WPTYPQLYVRGDLVGGLDIVKELKDNGELLPILKGEN >ENSMUSP00000137011.1 pep:known chromosome:GRCm38:16:97490047:97491120:1 gene:ENSMUSG00000096156.1 transcript:ENSMUST00000177820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9242 description:predicted pseudogene 9242 [Source:MGI Symbol;Acc:MGI:3645172] MEGHDPKEPEQLRKLFIGGLSFETTDDSLREHFEKWGTLTDCVVMRDPQTKRSRGFGFVT YSCVEEVDAAMCARPHKVDGRVVEPKRAVSREDSVKPGAHLTVKKIFVGGIKEDTEEYNL RDYFEKYGKIETIEVMEDRQSGKKRGFAFVTFDDHDTVDKIVVQKYHTINGHNCEVKKAL SKQEMQSAGSQRGRGGGSGNFMGRGGNFGGGGGNFGRGGNFGGRGGYGGGGGGNRGSYGG GDGGYNGFGGDGGNYGGGPGYSSRGGYGGGGPGYGNQGGGYGGGGGGYDGYNEGGNFGGG NYGGGGNYNDFGNYNGQQQSNYGPMKGGSFGGRSSGSPYGGGYGSGGGSGGYGSRRF >ENSMUSP00000038591.6 pep:known chromosome:GRCm38:17:87107679:87137583:1 gene:ENSMUSG00000037104.8 transcript:ENSMUST00000041369.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs5 description:suppressor of cytokine signaling 5 [Source:MGI Symbol;Acc:MGI:2385459] MDKVGKMWNNLKYRCQNLFSHEGGSRNENVEMNPNRCPSVKEKSISLGEAAPQQESSPLR ENVALQLGLSPSKTFSRRNQNCAAEIPQVVEISIEKDSDSGATPGTRLARRDSYSRHAPW GGKKKHSCSTKTQSSLDTEKKFGRTRSGLQRRERRYGVSSMQDMDSVSSRAVGSRSLRQR LQDTVGLCFPMRTYSKQSKPLFSNKRKIHLSELMLEKCPFPAGSDLAQKWHLIKQHTAPV SPHSTFFDTFDPSLVSTEDEEDRLRERRRLSIEEGVDPPPNAQIHTFEATAQVNPLYKLG PKLAPGMTEISGDGSAIPQTNCDSEEDSTTLCLQSRRQKQRQVSGDSHAHVSRQGAWKVH TQIDYIHCLVPDLLQITGNPCYWGVMDRYEAEALLEGKPEGTFLLRDSAQEDYLFSVSFR RYNRSLHARIEQWNHNFSFDAHDPCVFHSSTVTGLLEHYKDPSSCMFFEPLLTISLNRTF PFSLQYICRAVICRCTTYDGIDGLPLPSMLQDFLKEYHYKQKVRVRWLEREPVKAK >ENSMUSP00000036710.7 pep:known chromosome:GRCm38:9:123366940:123462664:1 gene:ENSMUSG00000035202.7 transcript:ENSMUST00000038863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lars2 description:leucyl-tRNA synthetase, mitochondrial [Source:MGI Symbol;Acc:MGI:2142973] MASTCQRLSFYVSPLKRQLVSRPPVILWERLIPGCSRSIYSATGKWTKEYTLQTRKDVEK WWHQQIKEQASRVSEEDKLKPKFYLLSMFPYPSGKLHMGHVRVYTLSDTIARFQKMRGMQ VINPMGWDAFGLPAENAAIERNLHPESWTQSNIKHMRKQLDRLGLCFSWDREITTCLPDY YKWTQYLFIKLYEAGLAYQKEALVNWDPVDQTVLANEQVNEYGCSWRSGAKVEKKYLRQW FIKTTAYAKAMQDALADLPEWYGIKGMQAHWIGDCVGCHLDFTLKVDGEDTGEKLTAYTA TPEAIYGISHVAISPSHGLLHGCSSVKKALQKALVPGRDCLTPVMAVSMLTLQEVPIVIM ANPDLEGSLDSKIGIPSTSSEDTRLAQALGLPYSEVIEASPDGTERLSGSAEFTGMTRQD AFVALTRKARGMRVGGHVTSNKLKDWLISRQRYWGTPIPIVHCPACGPVPVPLQDLPVIL PSIASLTGRGGSPLATALEWVNCSCPRCKGSAKRETDTMDTFVDSAWYYFRYTDPHNTQS PFGSALADFWMPVDLYIGGKEHAVMHLFYARFLSHFCHDQKMVKHREPFHKLLAQGLIKG QTFRLPSGQCLKKEDIDFTGPAPVCAKTKEKLEVTWEKMSKSKHNGVDPEEIVAQYGIDT IRLYILFAAPPEKDILWDVKTDALPGVLRWQQRLWSLTTRFIEARTSGTVPQPQLLNSKE KTKAQNLWEYKNAVIAQVTTHFTEDFALNSVVSQLMGLSSALSQASQRVVLHSPEFEDAL CALLVMAAPLAPHVTSELWAGLTLVPSKLCDHYAWDSGVMLQAWPTVDSQFLQKPDMVQM AVLINNKACGKIPVPQHVAQDQDKVHELVLQSELGMKLLQGRSIKKAFLSPRTALINFLV QE >ENSMUSP00000096872.2 pep:known chromosome:GRCm38:2:149015732:149017237:-1 gene:ENSMUSG00000074739.2 transcript:ENSMUST00000099266.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10750 description:predicted gene 10750 [Source:MGI Symbol;Acc:MGI:3641996] MTLGPACCCVSGVSPCASFLGGDSALPQPRFFLDGMQQHSVLMAFPTLLVHRMMPLHQPL LRMGLSSARALWERFSSLFSLTFSSLMLLVESMQGTLMAHMWCWSCVGVIWFGRKTRPCP VDLLPALCLTFWP >ENSMUSP00000079048.1 pep:known chromosome:GRCm38:7:140400130:140401044:-1 gene:ENSMUSG00000062712.1 transcript:ENSMUST00000080153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr531 description:olfactory receptor 531 [Source:MGI Symbol;Acc:MGI:3030365] MNGTLVTEFLILGFSDMPHLRILLFLSFLCLYMAAVSGNLLIMVTISASPTLHTPMYFFL VNLAVVDILCTSTILPKLLDTMVGGRTISYGGCMAQLFFFTWSLGVELLLFSAMAYDRFV AICCPLHYSTWMGPRVCAFLAGIVWAISLTNTSINSSLVLRLPFCSSNVVEHFFCEIPPL LKLSCAPTQLNEAMAFAADVFLAVGNFSVIILSYGFIVASILKIRSAEGKQRAFSTCSAH LIVVTMYYSTVIYTYIRPSSSYSLNKDKVVSIIYTSVAPTLNPLIYTLRNKDVKVALRRL FSCC >ENSMUSP00000136196.1 pep:known scaffold:GRCm38:JH584294.1:138344:144557:-1 gene:ENSMUSG00000096237.1 transcript:ENSMUST00000177565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CT868723.1 MLIPACSFWDIGYLLCTYTSIFAIFLTAWQVRRSYQRLSLEPKESCYRHHRKVRQRARDA ASRARRLSREEAEKPWELLSIMKSQSWVPKQGNVRQLLCLDPSCQICEAATEEIQQLVQS EKSQLSPAFLGLNQGSAHSAHLEMLPMSFEQNMELCSRHTRPHPRVPGNQTLTQLTGHLT QLTNTFGVQECWTDRLQLDQNFHLANMPVVSETVTSSRLKEPMVLMIEETIVQSKAKLDQ ESQDLHHVKSSVSLLSLDPNLTHTMSLHMDSMLSSHLPLLSPKVRGLLELHVKKWIHFQK WGLPRRVEESLRQLMPDPTLFRRSRENPSSCTLSSSSKVTEDRTGIVSHKTSSLYSAGQP VQTFWVSKWPVINLQQGRPWHQIHTCLPSHEGEHLRNFYPLPRAKANDSGDNLQSEYYSQ LFCGLPSLHSESLDVTSLSSQGICKKKDMSKPSTDAQPSGVLSGLFLPKTPCKSAFPSSP TSLNGKTPCEREGAQITVPFLSLAECEALERHLLKKQLSKLQWGLPALLLQNQRRMPCEA LSEAKTVKTSLARKPFLHPTRDIFPEHAGRLLEFHLQKQLIHLRWGLPQRIQRCMNMLLS STDPQSLPCGSSSLTNVSISQPGKPEADGPGDMFLLTAGKGTIPMPHLFAKTREMLKSHV DSKCEQIQEGKVPAQVWKSWECKIPGSLATVAPFPWIPQGQCRKLQAESKSDPDLLHKVV PWKPKTLSQETQTLSGTLFEHCKKPQSLPKETIKKLETTLHHKYLAFLSGLPALYCVALS RPASPAVTSQPRLREKMPKAVKSPSRALTQITPLESCTQDDSGVSADTAEEFQPGAEADG RTEKVPAESQPPPCRPYPINTHILAKLNFHLKKKILAMQFGISEKEKREHKELGTADLES ESIQEFLRSLHTSESTLLQEQPVACPSLPAPNAKGVHPKKQPASAVQDVCQAQRPPPSKA VPHSSAQQSSKASHSQRDKIQVCVDTEAGGKRFNLEKSKVVGDLGEGDAGLGFSLVGQKT RQDEEQEKRLLHRPLQGSSQQGHTFHLEDACPHSPWESPELQFPDPPPEVFMETDSEQDM DSQSEESIVPEPPVLSRASQGLPFPRSPTQRRPSQGQPGPGHFPPGHEMPASPYMRPSHL PEAGLKNKMKFFFHSINPKIKSKAHTEPSTVSTPGKVAKTSKENVERGLPQAKSPTKKTK PEDSRGPKAQFSSSEKSVMASLLTAPHILDSKLRPRSRQLGSVSVLGNSRHCPRHCPRLA YASHHRN >ENSMUSP00000126705.1 pep:known chromosome:GRCm38:8:22073616:22125053:-1 gene:ENSMUSG00000037738.9 transcript:ENSMUST00000169834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek5 description:NIMA (never in mitosis gene a)-related expressed kinase 5 [Source:MGI Symbol;Acc:MGI:2142824] MDNFHLIKIIGEGTFGKVYLAKDKSESSHCVIKEISLTKEKEASKNEVILLARMEHPNIV TFFSSFQENGRLFIVMEYCDGGDLMQRIQRQRGVMFSEDQILCWFVQISLGLKHIHDRKI LHRDIKSQNIFLSKNGMVAKLGDFGTARTLNDSMELAQTCAGTPYYLSPEICQNRPYNNK TDIWSLGCVLYELCTLKHPFESNNFHHLVLKICQGRVAPISPHFSRDLQSLIPQLFRVSP QDRPSVTSLLKRPFLETLIARSLYPEVCSRRIQSHAHMENMAIGPTACWRVSPWSAAYLQ RKFEAQQYKLKVERQLGLRPSSVEPHPNEGEKLQSHWEETKFQELQYRKNKMKDQEYWKQ LEEIRQQYHNDMKEIKKKMGRELKRVVKFEISLDKCISEEDTVQENEAVDKLNATLSFED GTKFQEHRCKEEHEDYTDRAFEELCGPEAEGFFQDVIAAENRRQWDAGAPHTLLRIMAMA DVTSTCPTMPDDGQVIVMEGSVENGKQWWLDVPGTPCALAAECACSGSLSASKGETVMIK PQLPKEDQEKVEIATGIMVDDEQLEPGSDEDDMQEGRGIKNSMPSSATSKIKASPGCMAS CPNSVTPPPLQRKI >ENSMUSP00000111088.1 pep:known chromosome:GRCm38:17:23917458:23919441:1 gene:ENSMUSG00000057417.6 transcript:ENSMUST00000115428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcpp3 description:demilune cell and parotid protein 3 [Source:MGI Symbol;Acc:MGI:3646420] MFQLEAMLALLILAFLGTPTVLTQDYHGPEVGKHSCTSTPEEKNITSIRVFLQARSIVGI QFNYDDKDGQVYGSTAGKEMVARLSKEEHITGVEGSYSPSALTQIVFTTTQPRQLMVGYH VGIYQYSSYPDDPSFVLKGACVSWRAGGIKSILFLWGSENSSCVKYGHSG >ENSMUSP00000041519.6 pep:known chromosome:GRCm38:7:141278331:141279133:-1 gene:ENSMUSG00000038580.12 transcript:ENSMUST00000046156.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sct description:secretin [Source:MGI Symbol;Acc:MGI:99466] MEPPLPTPMLLLLLLLLSSSAALPAPPRTPRHSDGMFTSELSRLQDSARLQRLLQGLVGK RSEQDTENIPENSLARSKPLEDQLCLLWSNTQTLQDCPLSFHRLLPRLSLDGSLSLWLPP GPRSAVDRSEWTETTRPPR >ENSMUSP00000128729.1 pep:known chromosome:GRCm38:7:141278354:141279131:-1 gene:ENSMUSG00000038580.12 transcript:ENSMUST00000167790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sct description:secretin [Source:MGI Symbol;Acc:MGI:99466] MEPPLPTPMLLLLLLLLSSSAALPAPPRTPRHSDGMFTSELSRLQDSARLQRLLQGLVGK RSEQDTENIPENSLARSKPLEDQLCLLWSNTQTLQDWLLPRLSLDGSLSLWLPPGPRSAV DRSEWTETTRPPR >ENSMUSP00000037477.7 pep:known chromosome:GRCm38:10:116776545:116896879:-1 gene:ENSMUSG00000034057.7 transcript:ENSMUST00000048229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myrfl description:myelin regulatory factor-like [Source:MGI Symbol;Acc:MGI:2685085] MDVLGENEALQQFFEAQGASGTLENPALDTSLLEEFLGNDFDLGALQRQLPDTPPYSASD PRSPPQVKGACCRTPRPPAGRIPAAFLHSTTAPGPLPEHPSQSMAGQTHSSFQNGYPESS HPATCRHQTGPSRLGTSCSFHQQPLCHVPGSSLPPTKKRKHSQIQEDSWDCSSWAHYFRP MTTRSQSIEVQDHDREGRNGMPVDQCSPALKWQPYQSVPWHSLLNSRYEKLPEVGYQVVT DKGFTFSPVDEAFVCQKKNHFQITVHIQVWGSPKFVKTQVGLKPIEKFYLKAFGIKVEAT NQVIAIEQSQADRSKKTFDPVKIDLLTDQVTKVTLGRLHFSETTANNMRKKGKPNPDQRY FMLVVGLYAANQDQFYLLAAHISERIIVRASNPGQFENDSDALWQRGQVPESIVCHGRVG INTDTPDEALVVCGNMKVMGTVMHPSDSRVKENIQEVDTNEQLRRIAQMRIVQYDYKPEF ASAMGINTAHQTGMIAQEVQEILPRAVREVGDVTGGNGETLENFLMVDKDQIFMENVGAV KQLCKLTNNLEERIEELEIWNKKLARLKRLSSSWKSSISEASSISKLSRAVSASSARRTG SKKPTKVSFSGRKQSCPNWVFQTLVVVLIAVMAFCALTIVALYILSLKDQDRRSPNLPLS NMTSSPEPALSSTAPTSAPHTTPETTQTSLQVPEITFCEILPCQETYCCPVWGPRILFSS PAQRQLEAEREIHQRQWAEDKSKSFLTSSALISPDWESDWIDTTIASIQIVEIQQMIDRR YCSRMLHCGPGHYNYNIPVNKNTPTNVKFSLEINTTEPLIVFQCKYTLGNICFRSQRERT QSDGEDAQMTQGYQHIWRLPVARFSDSAYHFRVAAPDLADCSTDPFFAGIFFTDYFFYFY RRCN >ENSMUSP00000135965.1 pep:known chromosome:GRCm38:X:32560055:32562009:1 gene:ENSMUSG00000094309.1 transcript:ENSMUST00000178070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmcl1l description:germ cell-less, spermatogenesis associated-like [Source:MGI Symbol;Acc:MGI:1919097] MGLLVSRVLSCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKKVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTTKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000026269.2 pep:known chromosome:GRCm38:9:123478701:123521548:1 gene:ENSMUSG00000025239.2 transcript:ENSMUST00000026269.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limd1 description:LIM domains containing 1 [Source:MGI Symbol;Acc:MGI:1352502] MDKYDDLGLEASKFIEDLNMYEASKDGLFRVDKGAGNNPEFEETRRVFATKMAKIHLQQQ QQQQLLQEEALPRAGRSPVNGGNRQGASGKLAADGAAKPPLAVPTVAPGLATTTAAAQPS YPSQEQRIRPSAHGARPGSQNCGSREGPVSSQRPALHGLSPSCEDPSCLTHGDYYDNFSL ASPQWGDKPEGCPSVSLGVGSGWPGCPGNDSTLPKSCGDHHPYQPQLSTVCSGRSFESGI SGQDGGIGGHSSEKPTGLWSTASSQRVNLGFSSMGLENGTSAQPKGTTVSAPMVPSSASQ GACPKRDSGLGYEASGRVFKPLVDTQPWLQDGPKSYLSVSAPLSSTAGKDSTQPGMTTGL DPKFGCVESGTSPKPSPTSNVHPVMSTPSELSCKESSPSWSTDSSLEPVLPGSPTPSRVR LPCQTLAPGPELGPSTAELKLEALTQRLEREMDAHPKADYFGSCVKCSKGVFGAGQACQA MGDLYHDACFTCAACSRKLRGKAFYFVNGKVFCEEDFLYSGFQQSADRCFLCGHLIMDMI LQALGKSYHPGCFRCVICNECLDGVPFTVDSENKIYCVRDYHKVLAPKCAACGLPILPPE GSDETIRVVSMDRDYHVECYHCEDCGLELNDEDGHRCYPLEDHLFCHSCHVKRLEKGPSP APLHQHHF >ENSMUSP00000039518.7 pep:known chromosome:GRCm38:8:22168152:22185819:-1 gene:ENSMUSG00000037725.7 transcript:ENSMUST00000046916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckap2 description:cytoskeleton associated protein 2 [Source:MGI Symbol;Acc:MGI:1931797] MAESRKRFLGRAARNPLPVTRDLQLPPTRRDQPAFREQRKQKLKEYLLIRKTVFPYKQEN QISRDQKMITSEDRVQEGKKVVKLKTEVADKENIESTVEKNCIPLKAGEVTSSEIHNSKD NVQAVQLLSTRDDLPGQTVTLDPACHHKDNKKMQMTAEKPKQDSNVSKKRVLGYYHGQIV QSKINSFRKLPSVKGESLTTTKKLPTTVSKAMKAQSEPANTVSVKASTTAAATKFADAKP VSTASKDTLVRPPIRSLHSSSHGAAKQGLSRPLANVTVRKGMLDKESHRSEPVVSVVKAG SSQAPSRSIASKDAARTDSSNTRLMVKPKDTDQRRYTIAGASVHRSAQLKDTTAERKAQM TEWRTGKGKGLKRPPHSVVTQAEPKGQSENPVGSFWTTMAEEDEQRLFTEKVNKTISECL NLINEGCPKEEILATLNDLIHNIPDAKKLVKYWICLVRIEPITSPIENIISIYEKAILAG AQPIEEMRHIIIDILTTKSQEKVNLGENIEEAHATKEPIQEVNADANVGSGKPGEENEHH GKVEVYEDDQDNKIKDPDLTTPDSKTEAGCIIRYNVSSTPRLQSMKKMQHDKNSTLKELK FLTPVRRSRRIQDKTSRLPAMLKDHDPSVSSLEQLSELGGDAFVCRPNAALCPLFFETDV AEEE >ENSMUSP00000106071.1 pep:known chromosome:GRCm38:12:74315110:74316394:-1 gene:ENSMUSG00000079061.3 transcript:ENSMUST00000110441.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11042 description:predicted gene 11042 [Source:MGI Symbol;Acc:MGI:3779266] THTHTHTNITTRDHTYVHIYICIRALITHTHTHTHTHTHT >ENSMUSP00000094334.3 pep:known chromosome:GRCm38:18:14424868:14425311:1 gene:ENSMUSG00000055795.5 transcript:ENSMUST00000069552.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5160 description:predicted gene 5160 [Source:MGI Symbol;Acc:MGI:3648528] MVNPTVFFDITANDETSGRDSLELFADKVPKTAENFRALSTGEKGFGYKGSSFHRIIPGF MCQGGDFTRHNGTGGRSIYGEKFEDENFILKFLASCPCTAKTEWLDGKHVVFGKVKEVMN IVEAMECFGSRNGKTSKKITISDSEQF >ENSMUSP00000136330.1 pep:known chromosome:GRCm38:X:32973897:32975846:1 gene:ENSMUSG00000094727.1 transcript:ENSMUST00000179538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2825 description:predicted gene 2825 [Source:MGI Symbol;Acc:MGI:3780997] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000039285.6 pep:known chromosome:GRCm38:18:60474193:60501983:-1 gene:ENSMUSG00000038059.6 transcript:ENSMUST00000042710.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim3 description:small integral membrane protein 3 [Source:MGI Symbol;Acc:MGI:1917088] MDAITQSPVDAVLPKHILDIWAIVLIILATIVIMTSLFLCPATAVIIYRMRTHPVLNGAA >ENSMUSP00000052396.3 pep:known chromosome:GRCm38:7:102493823:102494773:-1 gene:ENSMUSG00000044824.5 transcript:ENSMUST00000058750.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr545 description:olfactory receptor 545 [Source:MGI Symbol;Acc:MGI:3030379] MLGWSNGTYNESYTSFLLMGFPGMQEARALLVLPFLSLYLVILFTNALVIHTVASQRSLH QPMYLLIALLLAVNICAATTVLPPMLFSFSTRFNRISLPRCLGQMFCIYFLVSMDCNILL VMALDRYVAICYPLRYPEIVTGQLLAGLVVLAVTRSTSIVAPVVVLASRVRFCRSDVIRH FACEHMALMKLSCGDISLNKTAGLIIRTFNRVLDMLLLGTSYSRIIHAAFRISSGGARSK ALNTCGSHLLVIFTVYSSTMSSSIVYRVARTASQDVHNLLSAFYLLLPCLVNPIIYGART KEIRQHLVRSFLSAGP >ENSMUSP00000095827.2 pep:known chromosome:GRCm38:7:102587741:102588819:-1 gene:ENSMUSG00000073974.2 transcript:ENSMUST00000098224.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr551 description:olfactory receptor 551 [Source:MGI Symbol;Acc:MGI:3030385] MQHTNHSHQNPSSFLLMGIPGLEASHFWIAFPFCSMYALAVLGNMAVLLVVRSEPSLHQP MYLFLCMLSTIDLILCTSTVPKLLALFWANAAEIAFGACATQMFFIHGFSAVESGILLSM AFDRYLAICRPLHYGSLLSSESVSKLGAAALLRGLGLMTPLTCLLARLSYCGRVVAHSYC EHMAVVKLACGGTQPNNIYGITAATLVVGTDSICIAISYALILRAVLGLSSKEARAKTFG TCGSHLGVILLFYTPGLFSFYTQRFGQHVPRHVHILLADLYLVVPPMLNPIIYGMKTKQI RDGALRLLKRGPAQS >ENSMUSP00000063892.2 pep:known chromosome:GRCm38:11:79296179:79296906:-1 gene:ENSMUSG00000055072.2 transcript:ENSMUST00000068448.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9964 description:predicted gene 9964 [Source:MGI Symbol;Acc:MGI:3642605] MSFLATQFKASQEVQEILSKKKIKQINKILNLQVTLKKQKTKQNHHHQQQQNKQTNKQTT QAVQYQTLPAEVRRSPLKLRSTFFLICSILGLFFLFLCLALYCVLFTLFVKHKTFTPLMG EGNCLVAGDMWGGFILRVSVNKET >ENSMUSP00000060305.3 pep:known chromosome:GRCm38:17:63863300:63863791:-1 gene:ENSMUSG00000045506.3 transcript:ENSMUST00000050753.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930002H24Rik description:RIKEN cDNA A930002H24 gene [Source:MGI Symbol;Acc:MGI:2443151] EWAEAGVAGVRRRGPWPPPCVLFPVEACSCGLSRRRSRFSEPSRRPERWDGRGDRGSLTG NSGDSRGQSREVACPGDKLRPPGRVSPAALPERRCDETWSARGGPARAGSPSHPELAVQR TLPSRALLACAVTWIPLNRLCVSAVCSELPFPLLCLQCQTLTS >ENSMUSP00000053977.4 pep:known chromosome:GRCm38:10:127349402:127351772:-1 gene:ENSMUSG00000047492.4 transcript:ENSMUST00000059718.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inhbe description:inhibin beta-E [Source:MGI Symbol;Acc:MGI:109269] MKLPKAQLWLILLWALVWVQSTRSACPSCGGPTLAPQGERALVLELAKQQILEGLHLTSR PRITRPLPQAALTRALRRLQPKSMVPGNREKVISFATIIDKSTSTYRSMLTFQLSPLWSH HLYHARLWLHVPPSFPGTLYLRIFRCGTTRCRGFRTFLAEHQTTSSGWHALTLPSSGLRS EDSGVVKLQLEFRPLDLNSTAAGLPRLLLDTAGQQRPFLELKIRANEPGAGRARRRTPTC EPETPLCCRRDHYVDFQELGWRDWILQPEGYQLNYCSGQCPPHLAGSPGIAASFHSAVFS LLKANNPWPAGSSCCVPTARRPLSLLYLDHNGNVVKTDVPDMVVEACGCS >ENSMUSP00000129589.1 pep:known chromosome:GRCm38:19:46329812:46338660:-1 gene:ENSMUSG00000036748.15 transcript:ENSMUST00000167861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cuedc2 description:CUE domain containing 2 [Source:MGI Symbol;Acc:MGI:1914366] MELERIVGSALLTFVQAHLPEADLSGLDEVIFSYVLGVLEDLGPSGPSEENFDMEAFTEM MEAYVPGFAHIPRGIIGDMMQKLSVQLSDARNKENLHPQSSCVQGQVPIFPETPRQAEKL EEESRPPAAPGNTLDEAAAAEELPGVDVLLEVFPTCSMEQAQWVLAKARGDLEEAVHMLV EGKEEGPPGWDGPSQDLPRRLRGPQKDDLKSFILQKYMMVDRAEDQKTHRPMAPKEAPKK LIRYIDNQVVSTKGERFKDVRNPEAEEMKATYINLKPARKYRFH >ENSMUSP00000053469.8 pep:known chromosome:GRCm38:19:46329812:46338660:-1 gene:ENSMUSG00000036748.15 transcript:ENSMUST00000051234.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cuedc2 description:CUE domain containing 2 [Source:MGI Symbol;Acc:MGI:1914366] MELERIVGSALLTFVQAHLPEADLSGLDEVIFSYVLGVLEDLGPSGPSEENFDMEAFTEM MEAYVPGFAHIPRGIIGDMMQKLSVQLSDARNKENLHPQSSCVQGQVPIFPETPRQAEKL EEESRPPAAPGNTLDEAAAAEELPGVDVLLEVFPTCSMEQAQWVLAKARGDLEEAVHMLV EGKEEGPPGWDGPSQDLPRRLRGPQKDDLKSFILQKYMMVDRAEDQKTHRPMAPKEAPKK LIRYIDNQVVSTKGERFKDVRNPEAEEMKATYINLKPARKYRFH >ENSMUSP00000061570.4 pep:known chromosome:GRCm38:9:19318536:19319465:1 gene:ENSMUSG00000049229.4 transcript:ENSMUST00000054106.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr844 description:olfactory receptor 844 [Source:MGI Symbol;Acc:MGI:3030678] TEYKNQTFASEFILLGLTDDPELQLILFGIFLFMYLVTVLGNLIIICAVSLHPKLHTPMY FFLSNLSFTDICFITSTVPKMLVNIQKQNNAISYPDCLTQMYFVIFLGGMENFLLAAMAY DRYVAICHPLRYTVIMNPSICILLTLLSVLSSTIDALLHSLLVLRLSFCTYLKIPHFFCE LAHIIKLSCSDTLINNIVVYLATFIFGGLPFWGIILSYVQIVYSVLKKPSVRGSYKALST CGSHLSVVSLFYGSVIGVYISFAVTDSSRKAAVASVLYTVVPQMLNPFVYSLRNKDMKEA VRKLCGGIL >ENSMUSP00000108208.2 pep:known chromosome:GRCm38:2:58859434:58907618:1 gene:ENSMUSG00000079353.2 transcript:ENSMUST00000112589.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11099 description:predicted gene 11099 [Source:MGI Symbol;Acc:MGI:3779335] ISQHKWSQFPKNELKRTEKKKKNQKETYNKDRERKKQRK >ENSMUSP00000026009.8 pep:known chromosome:GRCm38:19:46531109:46573085:-1 gene:ENSMUSG00000025035.8 transcript:ENSMUST00000026009.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl3 description:ADP-ribosylation factor-like 3 [Source:MGI Symbol;Acc:MGI:1929699] MGLLSILRKLKSAPDQEVRILLLGLDNAGKTTLLKQLASEDISHITPTQGFNIKSVQSQG FKLNVWDIGGQRKIRPYWRSYFENTDILIYVIDSADRKRFEETGQELTELLEEEKLSCVP VLIFANKQDLLTAAPASEIAEGLNLHTIRDRVWQIQSCSALTGEGVQDGMNWVCKNVNAK KK >ENSMUSP00000132233.1 pep:known chromosome:GRCm38:15:36179530:36235161:1 gene:ENSMUSG00000037617.11 transcript:ENSMUST00000171205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag1 description:sperm associated antigen 1 [Source:MGI Symbol;Acc:MGI:1349387] MTAKAKDCPSLWGFGTTKTFKIPIEHLDFKYIENCSDVKHLEKILYVLRSGEEGYYPELT EFCEKCLTNLAPKSRALRKDKPAETASSFSAEEWEKIDSDLKSWVSEIKREENTCHFHDP ENHPGVEDPLPPVRGSTCCPHSGKETYSKSKTAKKRIPRDYAEWDKFDVEKECSKIDEDY KEKTVINNKAHLSKIETKIETAGLTEKEKSFLANREKGKGNEAFYSGDYEEAVMYYTRSL SALPTAIAYNNRAQAEIKLQRWSSALEDCEKALELDPGNVKALLRRATTYKHQNKLQEAV DDLRKVLQVEPDNDLAKKTLSEVERDLKNSEPVSELQTKGKRMVIEEVENSGDEGGKGSA DEREDGGSDEAAMGNIQKKLMVRRSEGGRRSRRGRTPGPRAEQQGGLRETATASTGDSHY PEEPRAADNPSGLKRRGNELFRGGQFAEAAAQYSVAIAQLEPTGSANADELSILYSNRAA CYLKEGNCRDCIQDCNRALELHPFSVKPLLRRAMAYETLEQYRNAYVDYKTVLQIDCGIQ LASDSANRIARILTELDGSKWRERLPPIPAVPTSEPLRVWLPAAETPDQDPCPNNCMPSI TDEKMFQALKEEGNQLVKDKNYKDAISKYNECLKINSKACAIYTNRALCYLKLGQFEEAK LDCEQALQIDGENVKASHRLALAQKGLENCRESGVDPSQVLLSPDSSEAARHLDTKNDTA PPSKGRERRRIQVQEVDGSSDEEPERPAEASATSAPARDGVEDGGSAEPAEKLDVSKPTN AYEFGQVLSTISARKDEEACAHLLAITAPKDLPLLLSNKLEGDTFLLLIQSLKSHLVAKD PSLVYEHLLYLSKAERFKTMLTLINKGQKEQMAQLFDGLSDTQSDGLTAEDVQALRRQYE L >ENSMUSP00000047335.3 pep:known chromosome:GRCm38:15:36179368:36235610:1 gene:ENSMUSG00000037617.11 transcript:ENSMUST00000047348.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag1 description:sperm associated antigen 1 [Source:MGI Symbol;Acc:MGI:1349387] MTAKAKDCPSLWGFGTTKTFKIPIEHLDFKYIENCSDVKHLEKILYVLRSGEEGYYPELT EFCEKCLTNLAPKSRALRKDKPAETASSFSAEEWEKIDSDLKSWVSEIKREENTCHFHDP ENHPGVEDPLPPVRGSTCCPHSGKETYSKSKTAKKRIPRDYAEWDKFDVEKECSKIDEDY KEKTVINNKAHLSKIETKIETAGLTEKEKSFLANREKGKGNEAFYSGDYEEAVMYYTRSL SALPTAIAYNNRAQAEIKLQRWSSALEDCEKALELDPGNVKALLRRATTYKHQNKLQEAV DDLRKVLQVEPDNDLAKKTLSEVERDLKNSEPVSELQTKGKRMVIEEVENSGDEGGKGSA DEREDGGSDEAAMGNIQKKLMVRRSEGGRRSRRGRTPGPRAEQQGGLRETATASTGDSHY PEEPRAADNPSGLKRRGNELFRGGQFAEAAAQYSVAIAQLEPTGSANADELSILYSNRAA CYLKEGNCRDCIQDCNRALELHPFSVKPLLRRAMAYETLEQYRNAYVDYKTVLQIDCGIQ LASDSANRIARILTELDGSKWRERLPPIPAVPTSEPLRVWLPAAETPDQDPCPNNCMPSI TDEKMFQALKEEGNQLVKDKNYKDAISKYNECLKINSKACAIYTNRALCYLKLGQFEEAK LDCEQALQIDGENVKASHRLALAQKGLENCRESGVDPSQVLLSPDSSEAARHLDTKNDTA PPSKGRERRRIQVQEVDGSSDEEPERPAEASATSAPARDGVEDGGSAEPAEKLDVSKPTN AYEFGQVLSTISARKDEEACAHLLAITAPKDLPLLLSNKLEGDTFLLLIQSLKSHLVAKD PSLVYEHLLYLSKAERFKTMLTLINKGQKEQMAQLFDGLSDTQSDGLTAEDVQALRRQYE L >ENSMUSP00000008991.6 pep:known chromosome:GRCm38:19:4711208:4752353:1 gene:ENSMUSG00000067889.4 transcript:ENSMUST00000008991.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptbn2 description:spectrin beta, non-erythrocytic 2 [Source:MGI Symbol;Acc:MGI:1313261] MSSTLSPTDFDSLEIQGQYSDINNRWDLPDSDWDNDSSSARLFERSRIKALADEREAVQK KTFTKWVNSHLARVTCRVGDLYSDLRDGRNLLRLLEVLSGETLPKPTKGRMRIHCLENVD KALQFLKEQKVHLENMGSHDIVDGNHRLTLGLVWTIILRFQIQDISVETEDNKEKKSAKD ALLLWCQMKTAGYPNVNVHNFTTSWRDGLAFNAIVHKHRPDLLDFESLKKCNAHYNLQNA FNLAEKELGLTKLLDPEDVNVDQPDEKSIITYVATYYHYFSKMKALAVEGKRIGKVLDHA MEAEHLVEKYESLASELLQWIEQTIVTLNDRQLANSLSGVQNQLQSFNSYRTVEKPPKFT EKGNLEVLLFTIQSKLRANNQKVYTPREGRLISDINKAWERLEKAEHERELALRTELIRQ EKLEQLAARFDRKAAMRETWLSENQRLVSQDNFGLELAAVEAAVRKHEAIETDIVAYSGR VQAVDAVAAELAAEHYHDIKRIAARQNNVARLWDFLRQMVAARRERLLLNLELQKVFQDL LYLMDWMAEMKGRLQSQDLGKHLAGVEDLLQLHELVEADIAVQAERVRAVSASALRFCDP GKEYRPCDPQLVSERVATLEQSYEALCELAATRRARLEESRRLWRFLWEVGEAEAWVREQ QHLLASADTGRDLTGVLRLLNKHAALRGEMSGRLGPLKLTLEQGQQLVAEGHPGANQAST RAAELQAQWERLEALAEERAQQLAQAASLYQFQADANDMEAWLVDALRLVSSPEVGHDEF STQALARQHRALEEEIRAHRPTLDALREQAAALPPALSHTPEVQGRVPTLEQHYEELQAR AGERARALEAALAFYTMLSEAGACGLWVEEKEQWLNGLALPERLEDLEVVQQRFETLEPE MNALAARVTAVNDIAEQLLKASPPGKDRIIGTQEQLNQRWQQFRSLADGKKAALTSALSI QNYHLECTETQAWMREKTKVIESTQGLGNDLAGVLALQRKLAGTERDLEAISARVGELTQ EANALAAGHPAQAPAINTRLGEVQAGWEDLRATMRRREESLGEARRLQDFLRSLDDFQAW LGRTQTAVASEEGPATLPEAEALLAQHAALRGEVERAQSEYSRLRTLGEEVTRDQADPQC LFLRQRLEALGTGWEELGRMWESRQGRLAQAHGFQGFLRDARQAEGVLSSQEYVLSHTEM PGTLQAADAAIKKLEDFMSTMDANGERIRGLLEAGRQLVSKGNIHAEKIQEKADSIEKRH RKNQEAVQQLLGRLRDNREQQHFLQDCQELRLWIDEKMLTAQDVSYDEARNLHTKWQKHQ AFMAELAANKDWLDKVDKEGRELTLEKPELKVVVSEKLEDLHRRWDELETTTQAKARSLF DANRAELFAQSCSALESWLESLQAQLHSDDYGKDLTSVNILLKKQQMLEREMAVREKEVE AIQAQAQALAQEDQSAGEVERTSRAVEEKFRALCQPMKERCRRLHASREQHQFHRDVEDE ILWVTERLPMASSLEHGKDLPSVQLLMKKNQTLQKEIQGHEPRIADLKERQRTLGTAAAG PELAELQEMWKRLSHELELRGKRLEEALRAQQFYRDAAEAEAWMGEQELHMMGQEKAKDE LSAQAEVKKHQVLEQALADYAQTIKQLAASSQDMIDHEHPESTRLTIRQAQVDKLYASLK ELAGERRERLQEHLRLCQLRRELDDLEQWIQEREVVAASHELGQDYEHVTMLRDKFREFS KDTSTIGQERVDSANALANGLIAGGHAARATVAEWKDSLNEAWADLLELLDTRGQVLAAA YELQRFLHGARQALARVQHKQQQLPDGTGRDLNAAEALQRRHCAYEHDIQALSTQVQQVQ DDGQRLQKAYAGDKAEEIGRHMQAVAEAWAQLQGSSAARRQLLLDTTDKFRFFKAVRELM LWMDGINLQMDAQERPRDVSSADLVIKNQQGIKAEIEARADRFSSCIDMGQELLARSHYA AEEISEKLSQLQSRRQETADKWQEKMDWLQLVLEVLVFGRDAGMAEAWLCSQEPLVRSAE LGCTVDEVESLIKRHEAFQKSAVAWEERFSALEKLTALEERENERKRKREEEERRKQPPT SEPMASQPEGSLVDGQRVPDTAWDGTQSKLPPSTQAPSVNGVCTDTDSSQPLLEQQRLEQ SNVPEGPGSGTGDESSGPRGERQTLPRGPAPSPMPQSRSSEAAHGATLPTRGPELSAQEQ MEGMLCRKQEMEAFNKKAANRSWQNVYCVLRRGSLGFYKDARAASAGVPYHGEVPVSLAR AQGSVAFDYRKRKHVFKLGLQDGKEYLFQAKDEAEMSSWLRVVNAAIATASSAPGESEEP VVPSASRGLTRAMTMPPVSQPEGSIVLRSKDGREREREKRFSFFKKNK >ENSMUSP00000097362.3 pep:known chromosome:GRCm38:19:6938069:6941507:-1 gene:ENSMUSG00000024958.12 transcript:ENSMUST00000099774.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr137 description:G protein-coupled receptor 137 [Source:MGI Symbol;Acc:MGI:2147529] MVQRSDGVSLLPGGVQGQGEASARDEPRLGTSVCQAAAIGGAMVLLYASRACYNLAALAL APRSRLDAFDYDWYNVSDQADLVNDLGNKGYLVFGLILFVWELLPTTLLVGFFRVHRPPQ DLSTSRILNGQVFGSRSYFFDRAGHCEDEGCSWEHSRSESTSMSGSLGSGSWYGAIGREP GWGGASQTRTTPLLFSQVPGPGSHHHSLYSTPQT >ENSMUSP00000097370.3 pep:known chromosome:GRCm38:19:6938070:6942450:-1 gene:ENSMUSG00000024958.12 transcript:ENSMUST00000099782.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr137 description:G protein-coupled receptor 137 [Source:MGI Symbol;Acc:MGI:2147529] MQWSLRWLLLPLDRVTGMGRPAPSSRSWRPCTARLGDNPPRHFGSSFTAGWPPGCGRRDG APRKASRGAPGASAARIGVTTGTGVVGVGGQSQWSKGVMGYLCSQVVFKAKAKRRPEMSR GLLAVRGAFVGASLLFLLVNVLCAVLSRQRQAQPWVLLLVRVLVSDSLFVICALSLAACL CLVARRAPSTSIYLEAKGTSVCQAAAIGGAMVLLYASRACYNLAALALAPRSRLDAFDYD WYNVSDQADLVNDLGNKGYLVFGLILFVWELLPTTLLVGFFRVHRPPQDLSTSRILNGQV FGSRSYFFDRAGHCEDEGCSWEHSRSESTSMSGSLGSGSWYGAIGREPGWGGASQTRTTP LLFSQVPGPGSHHHSLYSTPQT >ENSMUSP00000025909.4 pep:known chromosome:GRCm38:19:6938070:6941479:-1 gene:ENSMUSG00000024958.12 transcript:ENSMUST00000025909.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr137 description:G protein-coupled receptor 137 [Source:MGI Symbol;Acc:MGI:2147529] MESNLSGLVPAAGLVPALPPTVTLGLTAAYTALYALLFFSVYAQLWLVLLYGHKRLSYQT VFLALCLLWAALRTTLFSFYFRDTPRANRLGPLPFWLLYCCPVCLQFFTLTLMNLYFVQV VFKAKAKRRPEMSRGLLAVRGAFVGASLLFLLVNVLCAVLSRQRQAQPWVLLLVRVLVSD SLFVICALSLAACLCLVARRAPSTSIYLEAKGTSVCQAAAIGGAMVLLYASRACYNLAAL ALAPRSRLDAFDYDWYNVSDQADLVNDLGNKGYLVFGLILFVWELLPTTLLVGFFRVHRP PQDLSTSRILNGQVFGSRSYFFDRAGHCEDEGCSWEHSRSESTSMSGSLGSGSWYGAIGR EPGWGGASQTRTTPLLFSQVPGPGSHHHSLYSTPQT >ENSMUSP00000130969.1 pep:known chromosome:GRCm38:19:6938070:6941193:-1 gene:ENSMUSG00000024958.12 transcript:ENSMUST00000166115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr137 description:G protein-coupled receptor 137 [Source:MGI Symbol;Acc:MGI:2147529] MESNLSGLVPAAGLVPALPPTVTLGLTAAYTALYALLFFSVYAQLWLVLLYGHKRLSYQT VFLALCLLWAALRTTLFSFYFRDTPRANRLGPLPFWLLYCCPVCLQFFTLTLMNLYFVQV VFKAKAKRRPEMSRGLLAVRGAFVGASLLFLLVNVLCAVLSRQRQAQPWVLLLVRVLVSD SLFVICALSLAACLCLVARRAPSTSIYLEAKGTSVCQAAAIGGAMVLLYASRACYNLAAL ALAPRSRLDAFDYDWYNVSDQADLVNDLGNKGYLVFGLILFVWELLPTTLLVGFFRVHRP PQDLSTSRILNGQVFGSRSYFFDRAGHCEDEGCSWEHSRSESTSMSGSLGSGSWYGAIGR EPGWGGASQTRTTPLLFSQVPGPGSHHHSLYSTPQT >ENSMUSP00000097364.4 pep:known chromosome:GRCm38:19:6938070:6941193:-1 gene:ENSMUSG00000024958.12 transcript:ENSMUST00000099776.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr137 description:G protein-coupled receptor 137 [Source:MGI Symbol;Acc:MGI:2147529] MESNLSGLVPAAGLVPALPPTVTLGLTAAYTALYALLFFSVYAQLWLVLLYGHKRLSYQT VFLALCLLWAALRTTLFSFYFRDTPRANRLGPLPFWLLYCCPVCLQFFTLTLMNLYFVQG TSVCQAAAIGGAMVLLYASRACYNLAALALAPRSRLDAFDYDWYNVSDQADLVNDLGNKG YLVFGLILFVWELLPTTLLVGFFRVHRPPQDLSTSRILNGQVFGSRSYFFDRAGHCEDEG CSWEHSRSESTSMSGSLGSGSWYGAIGREPGWGGASQTRTTPLLFSQVPGPGSHHHSLYS TPQT >ENSMUSP00000027027.5 pep:known chromosome:GRCm38:9:3404085:3479236:1 gene:ENSMUSG00000025898.5 transcript:ENSMUST00000027027.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwf19l2 description:CWF19-like 2, cell cycle control (S. pombe) [Source:MGI Symbol;Acc:MGI:1918023] MEAFSVRFESASSIEERKEQTRNARAEVLRQAKHNFEKEQRGEERKRLRDEDTWMLPDVH ERIEQFSQEHSEKKKKKKDKHSKKVKKEKKKKRKKQKCQKQSESTDSSASSEDEWVEAAP SQISDKEKTWKVKDKRTEEECDSHDIQRDEWMTIDFMSIKTVSSSSLKAEKETLRQIERE KTQVLEQSKLLERELNPYWKDGGTGLPSKTCILPVTKAKGVEDGGLSWLRKSCQRMKEQA QKENRNFEDIVAEKYGSMEIFQSKLKEAEKIAYKKEDCGWERWRKPTYSDRAQCSQASGT SDLVKCKNLSEDRHLEMEPANSSNYKFSGPDTGKRSGTLQTCRRESALRKNQDSSGNLRS KFLRPSDEDELSFHKRKNFESSSSYSPLVAQASLHCDFRKLTENSEESSASCSRSDRRQE NRKPSDKKPLETWSYNANQHSTGGRREQLQAESMSCDPPGRGLQQDMTLTIAGPEAESTY ILNVDEKNKLGAKIIKAEMMGNMELAEQLKAQLKEANKFKETQMPAKRLGVEHEDEQEVI LIQTDKSGRMWPVSSPRETLDMKAERRKRKRVSTHEDKERVRYFPDDDHLSLKDLVKNEK IGTDINQNRLFMKMASKFMGKSDEDNYTLDDMFVSKAAEKEHLGKKEESQRRRAIAEHQS LAAKMAKCLYCFDSSQFPKHLIVAIGVKVYLCLPSFQSLTEGHCFIVPLQHHQAATVLDE DVWEEIQMFRKSLVKMFEDKELDCIFLETNMGLKKHYHMVYECIPLPKEVGDMAPIYFKK AIMESDEEWSMNKKLIDLSSKDIRKSVPRGLPYFAVDFGLQGGFAHIIEDQYRFPHYFGK EIIGGMLDLEPRLWRKGIRESFEDQRKKSLQFAQWWKPYDITKSKSS >ENSMUSP00000004576.6 pep:known chromosome:GRCm38:16:22813215:22857569:-1 gene:ENSMUSG00000004462.6 transcript:ENSMUST00000004576.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbccd1 description:TBCC domain containing 1 [Source:MGI Symbol;Acc:MGI:1917823] MDQSGVLLWVKAEPFLVGALQEPPPSKFSLHYLRKIATYVRTRATEGAYPHLYWPTWRHI ACGKLQLAKDLAWLYFEMFDNLSVRTPEERLEWSEILSNCATEEEVEKQRSQLYVDTLQF LLFLYIQQLNRISLRTSLIGEEWPSRRSRPQSPSPAERPSCHNKNWNDYSHQAFVCNHLS ELLELLLDPEQLTASFHSTHSSLLSRDAVTALSFLIEGTVSRAKKVYPLHQLALWQPLHV ASGFSKSSKTFSLYKLEAWLRASLTTNPFGPSACLKSGKKLAWAHQVEGSTKRAKIACNA HMAPRLHRIVVMSQVYKQTLAKSSETLVGAHVRAHRCSESFIYLLSPLRSMTIEKCRNST FVLGPVETTLHLHDCENLKVIAVCHRLSISSTTSCTFHIMTPSRPLILSGNQTVTFAPFH THYPMLEDHMARTGLATVPNYWDNPMVVCKEGSVTSLFRLLPPSEFYIFVIPFEMEGDTA EIPGGLPSAYQKALAQREESIHIWQKTVKEARLTKEQRKQFQALVENKFYEWLVSTGHRQ QLDSLVPTPAAS >ENSMUSP00000021719.5 pep:known chromosome:GRCm38:12:111961376:111966977:-1 gene:ENSMUSG00000021290.5 transcript:ENSMUST00000021719.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010107E04Rik description:RIKEN cDNA 2010107E04 gene [Source:MGI Symbol;Acc:MGI:1917507] MFQTLIQKVWVPMKPYYTQVYQEIWVGVGLMSLIVYKIRSADKRSKALKGPAPAHGHH >ENSMUSP00000021596.7 pep:known chromosome:GRCm38:12:100125452:100159653:-1 gene:ENSMUSG00000021179.7 transcript:ENSMUST00000021596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrde2 description:nrde-2 necessary for RNA interference, domain containing [Source:MGI Symbol;Acc:MGI:2670969] MALFPAFADVSEASGDGAFRKELDWLSNPSFRVGNLTSLSRQTEEVTALASEGSPPPRYS FIRSPLKSELSGESNTSEKLAQTSRKKKKEKKKRRKHQHHRKTKRRHEQLSSSGSESDTE AGKDRASRSIRDDQKEAEKPCQGSNAAAAVAAAAGHRSIWLEDIHDLTDVFRTDKKPDPA NWEYKSLYRGDIARYKRKGDSCLGINPKKQCISWEGASAAKKHSHRHLERYFTKKNVGLM RTEGIAVCSNPEPASSEPVTFIPVKDSAEAATPVTSWLNPLGIYDQSTTQWLQGQGPAEQ ESKQPDSQQDRENAALKARVEEFNRRVRENPWDTQLWMAFVAFQDEVMRSPGIYALGEGE QEKHRKSLKLLLEKKLAVLERAIESNPGSVELKLAKLQLCSEFWEPSALAKEWQKLLFLH PNNTSLWQRYLSFCQSQFGTFSVSKLHSLYGKCLSTLSAVKDGSMLSHPVLPGTEEAMFG LFLQQCHFLRQAGHSEKVISLFQAMVDFTFFKPDSVKELPTKVQVEFFEPFWDSGEPRVG EKGARGWRAWMHQQERGGWVLITPDEDDEEPEEEDQEIKDKTLPRWQIWLAVERSRDQRH WRPWRPDKTKKQTEEDCEDPERQVLFDDIGQSLIRLSSPDLQFQLIQAFLQFLGVPSGFL PPASCLYLAMDESSIFESELYDEKPLTYFNPSFSGISCVGSMEQLGRPRWTKGHNREGEE FVRNVFHLVLPLLAGKQKSQLSLSWLRYEIAKVIWCLHTKKKRLKSQGKSCKKLAKNLLK EPENRNNFCLWKQYAHLEWLLGNTEDARKVFDTALSMAGSSELKDRELCELSLLYAELEM ELSPDSRGATTGRAVHILTRLTESSPYGPYTGQVSSTQVLKARKAYELALQDCLGQSCAS SPAPAEALDCLGSLVRCFMLFQYLTVGIDAAVQIYGRVFAKLKGSARLEDPGPEDSTSSQ SLTNVLEAVSMMHTSLLRFHMNVCVYPLAPLRETLSDALKLYPGNQVLWRAYVQIQNKSH SANKTRRFFDTVTRSAKHLEPWLFAIEAEKLRKKLVESVQRVGGREVHATIPETGLTHRI RALFENAIRSDKGNQCPLLWRMYLNFLVSLGNKERSKGVFYKALQSCPWAKVLYMDAMEY FPDELQEILDVMTEKELRVRLPLEELELLLED >ENSMUSP00000088203.3 pep:known chromosome:GRCm38:2:88540124:88540510:-1 gene:ENSMUSG00000068810.4 transcript:ENSMUST00000090702.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1187-ps1 description:olfactory receptor 1187, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031021] MLNHTSSEFILLGLRENQDLRKLFSAVFLVILSSSVVLGNMLIMVTMIKSQSLRSPMYIF LFLSLVDGMFSSVIAPKLILYSLSENTIISFEGCTAHLFA >ENSMUSP00000137535.1 pep:known chromosome:GRCm38:2:88540127:88540429:-1 gene:ENSMUSG00000068810.4 transcript:ENSMUST00000177576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1187-ps1 description:olfactory receptor 1187, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031021] VTEFILLGLRENQDLRKLFSAVFLIIVTLVLGNMLIMVTMIKSQSLRSPMYIFLTFSLVD GMFSSVIAPKLILYSLSENTIISFEGCTAHLF >ENSMUSP00000131437.1 pep:known chromosome:GRCm38:18:60293380:60303016:1 gene:ENSMUSG00000090942.1 transcript:ENSMUST00000171297.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F830016B08Rik description:RIKEN cDNA F830016B08 gene [Source:MGI Symbol;Acc:MGI:3588218] MGQLLSDTSKTEDNEDLVSSFNEYFKNIKTEKIISQETIDLIKLYLNKGNIHGANSLISD ALRNIDNAPINIAVTGESGAGKSSLINALIGIGPEEEGAAEVGVIETTMKRTSYKHPKIE TLTLWDLPGIGTQKFPPKTYLEEVKFKEYDFFIIVSATRFTKLELDLAKAITNMKKNYYF VRTKVDIDVENERKSKPRTFEREKALKQIQSYSVKIFNDNNMAVPPIFLISNYDLSDYDF PFLVDTLIKELHVQKRHNFMLSLPNFTDQAIDRKYKATQQFIWLEAFKIGVVAIFPVLGN LRNKDMKKIKNTLNYYQKIFGVDDESLELVAKDFQVPVEQVKKTMKTPHLLKKYREETFR NDFKKLVSTFGRLLAVGLYFPAIYYLQLHILDTVTEDAKVLLRWKYSKPRSNSTYP >ENSMUSP00000079467.3 pep:known chromosome:GRCm38:9:37772637:37773640:1 gene:ENSMUSG00000058628.3 transcript:ENSMUST00000080634.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr875 description:olfactory receptor 875 [Source:MGI Symbol;Acc:MGI:3030709] MTAKNSSVTEFILAGLTNQPGLRMPLFFLFLGFYMVTVVGNLGLISLIGLNSHLHTPMYF FIFNLSVIDFCYSSTIIPKMLTSFISKTNIISHSGCMTQLFFFCFFVFSESFILSAMAYD RYVAICNPLMYTVTMSPQVCLLLLLGVYVMGFSGGIAHTGNLMNLTFCADNLINHFMCDI LPLLELSCSNTFTNELVVFIVVAFGIGVPIVTIFISYALILSSILHMHSTEGRSKAFSTC SSHLIVVCLFFGSGAFMYLKPPSILPLDQGKVSSLFYTIVVPMLNPLIYSLRNKDVKVAL RKTLGKRILS >ENSMUSP00000125757.1 pep:known chromosome:GRCm38:12:108206345:108275417:-1 gene:ENSMUSG00000084883.1 transcript:ENSMUST00000136175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc85c description:coiled-coil domain containing 85C [Source:MGI Symbol;Acc:MGI:3644008] MAKPPAVAAAAAAAASEELSQVPDEELLRWSKEELARRLRRAEGEKVGLMLEHGGLMRDV NRRLQQHLLEIRGLKDVNQRLQDDNQELRELCCFLDDDRQKGRKLAREWQRFGRHAAGAV WHEVARSQQKLRELEARQEALLRENLELKELVLLLDEERAALAAAGGAGGGGGGAGSRSS IDSQASLSGPLAGSAAGSGARDVGDGSSTSSAGSGGSPDHHHHVPAALLPPGPHKVPDGK AGATRRSLDDLSAPPHHRSIPNGLHDPSSTYIRPLETKVKLLDGDKLPPQQAGSGEFRTL RKGFSPYHSESQLASLPPSYQEVLQNGPACPVPELPSPPSTVYSSAGQKPEAVVHAMKVL EVHENLDRQLQDSCEEDLSEKEKAIVREMCNVVWRKLGDAASTKPSIRQHLSGNQFKGPL >ENSMUSP00000110804.3 pep:known chromosome:GRCm38:16:32332252:32393747:1 gene:ENSMUSG00000053774.8 transcript:ENSMUST00000115151.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn7 description:UBX domain protein 7 [Source:MGI Symbol;Acc:MGI:2146388] MAAHGGSAASSALKGLIQQFTAITGASESVGKHMLEACNNNLEMAVTMFLDGGGIAEEPS TSSASVSTVRPHTEEEVRAPIPQKQEILVEPEPLFGAPKRRRPARSIFDGFRDFQTETIR QEQELRNGGAIDKKLTTLADLFRPPIDLMHKGSFETAKECGQMQNKWLMINIQNVQDFAC QCLNRDVWSNEAVKNIIREHFIFWQVYHDSEEGQRYIQFYKLGDFPYVSILDPRTGQKLV EWHQLDVSSFLDQVTGFLGEHGQLDGLSSSPPKKCARSESLIDASEDSQLEAAIRASLQE THFDSAQAKQDSRSDEESESELFSGSEEFISVCGSDEEEEVENLAKSRKSPHKDLGHRKE ENRRPLTEPPARTEPGTATNHQGLPSMDSEVLEMSPEKSDGIVEGIDVNGPKAQLMLRYP DGKREQITLPEQAKLLALVKHVQSKGYPNERFELLTNFPRRKLSHLDYDITLQEAGLCPQ ETVFVQERN >ENSMUSP00000025951.6 pep:known chromosome:GRCm38:19:38116620:38125321:-1 gene:ENSMUSG00000024990.12 transcript:ENSMUST00000025951.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbp4 description:retinol binding protein 4, plasma [Source:MGI Symbol;Acc:MGI:97879] MDPLGWRVWLHRDHPERSSEHRRRGTARLTEGPRVRSGGRLRGEMEWVWALVLLAALGGG SAERDCRVSSFRVKENFDKARFSGLWYAIAKKDPEGLFLQDNIIAEFSVDEKGHMSATAK GRVRLLSNWEVCADMVGTFTDTEDPAKFKMKYWGVASFLQRGNDDHWIIDTDYDTFALQY SCRLQNLDGTCADSYSFVFSRDPNGLSPETRRLVRQRQEELCLERQYRWIEHNGYCQSRP SRNSL >ENSMUSP00000107954.2 pep:known chromosome:GRCm38:19:38116620:38124811:-1 gene:ENSMUSG00000024990.12 transcript:ENSMUST00000112335.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbp4 description:retinol binding protein 4, plasma [Source:MGI Symbol;Acc:MGI:97879] MEWVWALVLLAALGGGSAERDCRVSSFRVKENFDKARFSGLWYAIAKKDPEGLFLQDNII AEFSVDEKGHMSATAKGRVRLLSNWEVCADMVGTFTDTEDPAKFKMKYWGVASFLQRGND DHWIIDTDYDTFALQYSCRLQNLDGTCADSYSFVFSRDPNGLSPETRRLVRQRQEELCLE RQYRWIEHNGYCQSRPSRNSL >ENSMUSP00000026322.7 pep:known chromosome:GRCm38:14:24448694:24487046:-1 gene:ENSMUSG00000025280.7 transcript:ENSMUST00000026322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3a description:polymerase (RNA) III (DNA directed) polypeptide A [Source:MGI Symbol;Acc:MGI:2681836] MVKEQFRETDVAKKISHICFGMKSPEEMRQQAHIQVVSKNLYSQDNNHAPLLYGVLDHRM GTSEKDRPCETCGKNLADCLGHYGYIDLELPCFHVGYFRAVIGILQMICKTCCHIMLSQE EKQQFLDFLKRPGLTYLQKRGLKKKISDKCRKKSTCHYCGAFNGTVKKCGLLKIIHEKYK TNKKVVDPIVSNFLQSFETAIEHNKEVEPLLGRAQENLNPLVVLNLFKRIPAEDVPLLLM NPESGKPSDLILTRLLVPPLCIRPSVVSDLKSGTNEDDLTMKLTEIIFLNDVIKKHRISG AKTQMIMEDWDFLQLQCALYINSELSGIPLNMAPKKWTRGFVQRLKGKQGRFRGNLSGKR VDFSGRTVISPDPNLRIDEVAVPVHVAKILTFPEKVNKANINFLRKLVRNGPDVHPGANF IQQRHMQMKRFLKYGNREKMAQELKFGDIVERHLIDGDVVLFNRQPSLHKLSIMAHLARV KPHRTFRFNECVCTPYNADFDGDEMNLHLPQTEEAKAEALVLMGTKANLVTPRNGEPLIA AIQDFLTGAYLLTLKDTFFDRAKACQIIASILVGKDEKIKVRLPPPTILKPVTLWTGKQI FSVILRPSDDNPVRANLRTKGKQYCGKGEDLCVNDSYVTIQNSELMCGSMDKGTLGSGSK NNIFYILLRDWGQNEAADAMSRLARLAPVYLSNRGFSIGIGDVTPGQGLLKAKYELLNAG YKKCDEYIEALNTGKLQQQPGCTAEETLEALILKELSVIRDHAGSACLRELDKSNSPLTM ALCGSKGSFINISQMIACVGQQAISGSRVPDGFENRSLPHFEKHSKLPAAKGFVANSFYS GLTPTEFFFHTMAGREGLVDTAVKTAETGYMQRRLVKSLEDLCSQYDLTVRSSTGDIIQF IYGGDGLDPAAMEGKDEPLEFKRVLDNIKAVYPCQTEPALSKNDLTLTAEAIMKKNEFLC CQDSFLQEIKTFIKGVSEKIKKTRDKYGINDNGTTEPRVLYQLDRITPTQIEKFLETCRD KYMRAQMEPGSAVGALCAQSIGEPGTQMTLKTFHFAGVASMNITLGVPRIKEIINASKAI STPIITAQLDKDDDADYARLVKGRIEKTLLGEISEYIEEVFLPDDCFILVKLSLERIRLL RLEVNAETVRYSICTSKLRVKPGDVAVHGEAVVCVTPRENSKSSMYYVLQFLKEDLPKVV VQGIPEVSRAVIHIDEQSGKEKFKLLVEGDNLRAVMATHGVKGTRTTSNNTYEVEKTLGI EAARTTIINEIQYTMVNHGMSIDRRHVMLLSDLMTYKGEVLGITRFGLAKMKESVLMLAS FEKTADHLFDAAYFGQKDSVCGVSECIIMGIPMNIGTGLFKLLHKANRDPKPPRRPLIFD THEFHIPLVT >ENSMUSP00000059947.6 pep:known chromosome:GRCm38:9:57589452:57596233:1 gene:ENSMUSG00000032308.8 transcript:ENSMUST00000053230.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ulk3 description:unc-51-like kinase 3 [Source:MGI Symbol;Acc:MGI:1918992] MAGPSWGLPRLDGFILTERLGSGTYATVYKAYAKKDTREVVAIKCVAKKSLNKASVENLL TEIEILKGIRHPHIVQLKDFQWDNDNIYLIMEFCAGGDLSRFIHTRRILPEKVARVFMQQ LASALQFLHERNISHLDLKPQNILLSSLEKPHLKLADFGFAQHMSPWDEKHVLRGSPLYM APEMVCRRQYDARVDLWSVGVILYEALFGQPPFASRSFSELEEKIRSNRVIELPLRPQLS LDCRDLLQRLLERDPARRISFKDFFAHPWVDLEHMPSGESLAQARALVVEAVKKDQEGDA AAALSLYCKALDFFVPALHYEVDAQRKEAIKAKVGQYVSRAEELKAIVSSSNQALLRQGT TVQELLREMARDKPRLLAALEVASAALAKEEEAGKEQDALDLYQHSLGELLVLLAAEAPG RRRELLHTEVQNLMARAEYLKEQIKIRESHWEAESLDKEGLSESVRSSCTLQ >ENSMUSP00000129855.1 pep:known chromosome:GRCm38:12:108894272:109028452:1 gene:ENSMUSG00000040877.15 transcript:ENSMUST00000167816.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr25 description:WD repeat domain 25 [Source:MGI Symbol;Acc:MGI:3045255] MASLVAYDDSDSETEADPARSGDAAGQISDASGMSRPSGMGFASSTVGVTKEGAQHTGNS PNEDPGMQRLPLARLWRSDPGSCPSQRLQWPSKEPDTTFPPSEPPRPSLWMSRAPVGHVP LAAACLKPLKPAWDVLKPSHDQSTFESTAGNASSSQRKRGEDCVLPYIPKRLRQLQALNP EAGGGKDGEPPGPPAGCAPAPLCVAPTVSEFIQPYLNSQYRETTVPKKVLFHLRGHRGPV NSIQWCPVFCKSHMLLSASMDKTFKVWNAVDSGHCLQTYSVHSEAVRAARWSPCGRRILS GGFDFALHLTDLETGTQVFSGQSDFRVTTLKFHPKDHNVFLCGGFSSEIKAWDMRTGKVV KGYKATIQQTLDILFLQEGSEFLSSTDASTRDSADRTIIAWDFRTAAKISNQIFHERYTC PSLALHPREPVFLAQTNGNYLALFSSVWPYRMSRRRRYEGHKVEGYAVGCECSPCGDLLV TGSADGRVLMFSFRTASRACTLQGHTQACLGTTYHPVLPSVLGTCSWGGDIKIWH >ENSMUSP00000035553.7 pep:known chromosome:GRCm38:12:108894317:109028325:1 gene:ENSMUSG00000040877.15 transcript:ENSMUST00000047115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr25 description:WD repeat domain 25 [Source:MGI Symbol;Acc:MGI:3045255] MASLVAYDDSDSETEADPARSGDAAGQISDASGMSRPSGMGFASSTVGVTKEGAQHTGNS PNEDPGMQRLPLARLWRSDPGSCPSQRLQWPSKEPDTTFPPSEPPRPSLWMSRAPVGHVP LAAACLKPLKPAWDVLKPSHDQSTFESTAGNASSSQRKRGEDCVLPYIPKRLRQLQALNP EAGGGKDGEPPGPPAGCAPAPLCVAPTVSEFIQPYLNSQYRETTVPKKVLFHLRGHRGPV NSIQWCPVFCKSHMLLSASMDKTFKVWNAVDSGHCLQTYSVHSEAVRAARWSPCGRRILS GGFDFALHLTDLETGTQVFSGQSDFRVTTLKFHPKDHNVFLCGGFSSEIKAWDMRTGKVV KGYKATIQQTLDILFLQEGSEFLSSTDASTRDSADRTIIAWDFRTAAKISNQIFHERYTC PSLALHPREPVFLAQTNGNYLALFSSVWPYRMSRRRRYEGHKVEGYAVGCECSPCGDLLV TGSADGRVLMFSFRTASRACTLQGHTQACLGTTYHPVLPSVLGTCSWGGDIKIWH >ENSMUSP00000058858.3 pep:known chromosome:GRCm38:8:43624951:43627270:-1 gene:ENSMUSG00000050190.3 transcript:ENSMUST00000056023.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5346 description:predicted gene 5346 [Source:MGI Symbol;Acc:MGI:3647273] MTGAKVVVHKRNMFLKFCLWTMFFFSAYSPIGHAKYSSLPEVVTPLRVTVTRGNNSSPGW LSYSLSIGGQRHIITMKPKENLISRNFLLFTYSDQGDLLEEHPFVQNDCYYHGYVDEDPE SLVIVNTCFGSLQGTLEINGTIYEIMPRSKTSTFEHLAYKMDSGESEPSPMRCGLSEEEI AQQMNLQESNASTLLQIPYENWWTHHRFIDYFVVIDHNCYVHRNNNTTTCIQDMLQVVNG INGYFLQIQTDVVLTKVEVWSQNNLINVEEEMSAALDAFCSWKKNAIGNRVRHDIIHLFV RHNYVKFLGMAKLGQVCLTLNCAVNSFLTDSLSYLAFIVAHEMGHNLGMKHDGSACTCGL HTCIMYPYAYISPKFSNCSYEEMFSVVNRRSCLYNIPDALKTITLVPTMCGNNLVEEGEQ CDCGNSESCLQDPCCSSDCVLKPGAKCAFGLCCKDCQFLQTGTVCRQEKNECDLPEWCNG TSGECPGDVYKADGIRCSRGGYCYKMECQRHDRQCREIFGKRSRSADEICYMEMNRRGDR FGNCGNDSSMYKRCELTDVLCGRIQCENVIKLPQRRNHETVHYTHFSNNTCWTMDYHFGI TIDDVGAVSDGTPCAPDHICLDRKCVSKSVLVSNCTPHLCHMQGVCNNKHHCHCTNTWEP PDCQLHGHGGSIDSGPPPVPLSPSNWSMYFLAFIIMYVLGFIALYGIRELRKKLLKESVP ESDSGDKNLTRKQQDL >ENSMUSP00000049068.9 pep:known chromosome:GRCm38:16:36277148:36285371:1 gene:ENSMUSG00000071562.5 transcript:ENSMUST00000042097.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stfa1 description:stefin A1 [Source:MGI Symbol;Acc:MGI:106198] MSLGGVSEASRATPEIQMIANKVRPQLEAKTNKKYEKFEAVEYKTQVVAGENIFIKMDVG HGCFIHIKVFNGPTGKDNYELHGYQTDKTMDEELTYF >ENSMUSP00000034775.8 pep:known chromosome:GRCm38:9:62791832:62811648:-1 gene:ENSMUSG00000032244.8 transcript:ENSMUST00000034775.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fem1b description:feminization 1 homolog b (C. elegans) [Source:MGI Symbol;Acc:MGI:1335087] MEGLAGYVYKAASEGKVLTLAALLLNRSESDIRYLLGYVSQQGGQRSTPLIIAARNGHAK VVRLLLEHYRVQTQQTGTVRFDGYVIDGATALWCAAGAGHFEVVKLLVSHGANVNHTTVT NSTPLRAACFDGRLDIVKYLVENNANISIANKYDNTCLMIAAYKGHTDVVRYLLEQRADP NAKAHCGATALHFAAEAGHIDIVKELIKWRAAIVVNGHGMTPLKVAAESCKADVVELLLS HADCDRRSRIEALELLGASFANDRENYDIMKTYHYLYLAMLERFQDGDNILEKEVLPPIH AYGNRTECRNPQELEAIRQDRDALHMEGLIVRERILGADNIDVSHPIIYRGAVYADNMEF EQCIKLWLHALHLRQKGNRNTHKDLLRFAQVFSQMIHLNEAVKAPDIECVLRCSVLEIEQ SMNRVKNISDADVHSAMDNYECNLYTFLYLVCISTKTQCSEEDQCRINKQIYNLIHLDPR TREGFSLLHLAVNSNTPVDDFHTNDVCSFPNALVTKLLLDCGAEVNAVDNEGNSALHIIV QYNRPISDFLTLHSIIISLVEAGAHTDMTNKQNKTPLDKSTTGVSEILLKTQMKMSLKCL AARAVRANDINYQDQIPRTLEEFVGFH >ENSMUSP00000090307.5 pep:known chromosome:GRCm38:15:67102875:67113992:-1 gene:ENSMUSG00000013846.9 transcript:ENSMUST00000092640.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St3gal1 description:ST3 beta-galactoside alpha-2,3-sialyltransferase 1 [Source:MGI Symbol;Acc:MGI:98304] MRRKTLKYLTFFLLFIFLTSFVLNYSNTGVPSAWFPKQMLLELSENFRRFIKSQPCTCRH CISQDKVSYWFDQRFNKTMQPLLTVHNALMEEDTYRWWLRLQRERKPNNLSDTVKELFRL VPGNVDPMLNKRLVGCRRCAVVGNSGNLKDSSYGPEIDSHDFVLRMNKAPTVGFEADVGS RTTHHLVYPESFRELGENVNMVLVPFKTTDLQWVISATTTGTITHTYVPVPPKIKVKQEK ILIYHPAFIKYVFDNWLQGHGRYPSTGILSIIFSIHICDEVDLYGFGADSKGNWHHYWEN NPSAGAFRKTGVHDGDFEYNITTTLAAINKIRIFKGR >ENSMUSP00000049734.4 pep:known chromosome:GRCm38:12:103406822:103407820:-1 gene:ENSMUSG00000055271.4 transcript:ENSMUST00000056140.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9330161L09Rik description:RIKEN cDNA 9330161L09 gene [Source:MGI Symbol;Acc:MGI:1924475] MALYSLKNRAQCSGLPALQCWPHLCCRAPGLEVSRLALGPSVHAVQEHWSMLPSSQSVWL SNSLRPREAQLSLDVETGVHGPASDARFGESCGLEYSTQASLNILIAKKCGVPQLSARLG GCHETWILMSLLTAWY >ENSMUSP00000133166.1 pep:known chromosome:GRCm38:13:74639872:74691875:1 gene:ENSMUSG00000021583.6 transcript:ENSMUST00000169114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erap1 description:endoplasmic reticulum aminopeptidase 1 [Source:MGI Symbol;Acc:MGI:1933403] MPSLLPLVLTFLSVSSPSWCQNSDIESLKASNGDSFPWNNMRLPEYMTPIHYDLMIHANL STLTFWGKTEVEIIASRPTSTIIMHSHHLQISKATLRRGAGEMLSEEPLKVLEYPAHEQV ALLAAQPLLAGSLYTVIIDYAANLSESFHGFYKSTYRTQEGEMRILAATQFEPTAARMAF PCFDEPALKASFSIKIKRDPRHLAISNMPLVKSVNVAEGLIEDHFDITVKMSTYLVAFII SDFKSVSKMTKSGVKVSVYAVPDKINQADYALDAAVTLLEFYEDYFNIPYPLPKQDLAAI PDFQSGAMENWGLTTYRESSLLYDKEKSSASSKLGITMIVSHELAHQWFGNLVTMEWWND LWLNEGFAKFMEFVSVTVTHPELKVEDYFFGKCFNAMEVDALNSSHPVSTPVENPAQIRE MFDDVSYEKGACILNMLRDYLSADTFKRGIVQYLQKYSYKNTKNEDLWNSMMHICPTDGT QTMDGFCSRSQHSSSTSHWRQEVVDVKTMMNTWTLQKGFPLITITVSGRNVHMKQEHYMK GSERFPETGYLWHVPLTFITSKSDSVQRFLLKTKTDVLILPEAVQWIKFNVGMNGYYIVH YADDGWASLSGLLKEAHTTISSNDRASLINNAFQLVSIEKLSIEKALDLTLYLKNETEIM PIFQALNELIPMYKLMEKRDMIEVETQFKDFLLKLLKDLIDKQTWTDEGSVSERMLRSQL LLLACVRNYQPCVQRAERYFREWKSSNGNMSIPIDVTLAVFAVGAQNTEGWDFLYSKYQS SLSSTEKSQIEFSLCTSKDPEKLQWLLDQSFKGEIIKTQEFPHILTLIGRNPVGYPLAWK FLRENWNKLVQKFELGSSSIAHMVMGTTDQFSTRARLEEVKGFFSSLKENGSQLRCVQQT IETIEENIRWMDKNFDKIRLWLQKEKPELL >ENSMUSP00000132140.1 pep:known chromosome:GRCm38:14:54625310:54629556:1 gene:ENSMUSG00000072423.4 transcript:ENSMUST00000097177.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb11 description:proteasome (prosome, macropain) subunit, beta type, 11 [Source:MGI Symbol;Acc:MGI:1921152] MALQDVCKWQTPDTPRPSIHLPQAGGWAVPRGCDPQTFLQIHGPRLAHGTTTLAFRFRHG VIAAADTRSSCGSYVACPASRKVIPVHQRLLGTTSGTSADCATWYRVLRRELRLRELREG QLPSVAGTAKLLAAMMSCYRGLDLCVATALCGWDHSGPALFYVYSDGTCLQGDIFSVGSG SPYAYGVLDRGYHYDMTIQEAYTLARCAVAHATHRDAYSGGSVDLFHVRESGWEYVSRSD ACVLYRELQKARSLEQELEAKACGIYPEPATPQGARECKELFVEQEEVTPEDCAIIMKTE TM >ENSMUSP00000022330.7 pep:known chromosome:GRCm38:14:34561253:34588681:-1 gene:ENSMUSG00000021798.13 transcript:ENSMUST00000022330.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb3 description:LIM domain binding 3 [Source:MGI Symbol;Acc:MGI:1344412] MSYSVTLTGPGPWGFRLQGGKDFNMPLTISRITPGSKAAQSQLSQGDLVVAIDGVNTDTM THLEAQNKIKSASYNLSLTLQKSKRPIPISTTAPPIQSPLPVIPHQKDPALDTNGSLATP SPSPEARASPGALEFGDTFSSSFSQTSVCSPLMEASGPVLPLGSPVAKASSEGAQGSVSP KVLPGPSQPRQYNNPIGLYSAETLREMAQMYQMSLRGKASGAGLLGGSLPVKDLAVDSAS PVYQAVIKTQSKPEDEADEWARRSSNLQSRSFRILAQMTGTEYMQDPDEEALRRSRERFE TERNSPRFAKLRNWHHGLSAQILNVKS >ENSMUSP00000087494.4 pep:known chromosome:GRCm38:14:34526699:34588607:-1 gene:ENSMUSG00000021798.13 transcript:ENSMUST00000090040.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb3 description:LIM domain binding 3 [Source:MGI Symbol;Acc:MGI:1344412] MSYSVTLTGPGPWGFRLQGGKDFNMPLTISRITPGSKAAQSQLSQGDLVVAIDGVNTDTM THLEAQNKIKSASYNLSLTLQKSKRPIPISTTAPPIQSPLPVIPHQKVVANSPANADYQE RFNPSVLKDSALSTHKPIEVKGLGGKATIIHAQYNTPISMYSQDAIMDAIAGQAQAQGSD FSGASPLASLPVKDLAVDSASPVYQAVIKTQSKPEDEADEWARRSSNLQSRSFRILAQMT GTEYMQDPDEEALRRSSTPIEHAPVCTSQATSPLLPASAQSPAAASPIAASPTLATAAAT HAAAASAAGPAASPVENPRPQASAYSPAAAASPAPSAHTSYSEGPAAPAPKPRVVTTASI RPSVYQPVPASSYSPSPGANYSPTPYTPSPAPAYTPSPAPTYTPSPAPTYSPSPAPAYTP SPAPNYTPTPSAAYSGGPSESASRPPWVTDDSFSQKFAPGKSTTTVSKQTLPRGAPAYNP TGPQVTPLARGTFQRAERFPASSRTPLCGHCNNVIRGPFLVAMGRSWHPEEFNCAYCKTS LADVCFVEEQNNVYCERCYEQFFAPICAKCNTKIMGEVMHALRQTWHTTCFVCAACKKPF GNSLFHMEDGEPYCEKDYINLFSTKCHGCDFPVEAGDKFIEALGHTWHDTCFICAVCHVN LEGQPFYSKKDKPLCKKHAHAINV >ENSMUSP00000022328.6 pep:known chromosome:GRCm38:14:34526699:34588681:-1 gene:ENSMUSG00000021798.13 transcript:ENSMUST00000022328.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb3 description:LIM domain binding 3 [Source:MGI Symbol;Acc:MGI:1344412] MSYSVTLTGPGPWGFRLQGGKDFNMPLTISRITPGSKAAQSQLSQGDLVVAIDGVNTDTM THLEAQNKIKSASYNLSLTLQKSKRPIPISTTAPPIQSPLPVIPHQKDPALDTNGSLATP SPSPEARASPGALEFGDTFSSSFSQTSVCSPLMEASGPVLPLGSPVAKASSEGAQGSVSP KVLPGPSQPRQYNNPIGLYSAETLREMAQMYQMSLRGKASGAGLLGGSLPVKDLAVDSAS PVYQAVIKTQSKPEDEADEWARRSSNLQSRSFRILAQMTGTEYMQDPDEEALRRSRPQAS AYSPAAAASPAPSAHTSYSEGPAAPAPKPRVVTTASIRPSVYQPVPASSYSPSPGANYSP TPYTPSPAPAYTPSPAPTYTPSPAPTYSPSPAPAYTPSPAPNYTPTPSAAYSGGPSESAS RPPWVTDDSFSQKFAPGKSTTTVSKQTLPRGAPAYNPTGPQVTPLARGTFQRAERFPASS RTPLCGHCNNVIRGPFLVAMGRSWHPEEFNCAYCKTSLADVCFVEEQNNVYCERCYEQFF APICAKCNTKIMGEVMHALRQTWHTTCFVCAACKKPFGNSLFHMEDGEPYCEKDYINLFS TKCHGCDFPVEAGDKFIEALGHTWHDTCFICAVCHVNLEGQPFYSKKDKPLCKKHAHAIN V >ENSMUSP00000066784.6 pep:known chromosome:GRCm38:14:34526699:34588681:-1 gene:ENSMUSG00000021798.13 transcript:ENSMUST00000064098.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb3 description:LIM domain binding 3 [Source:MGI Symbol;Acc:MGI:1344412] MSYSVTLTGPGPWGFRLQGGKDFNMPLTISRITPGSKAAQSQLSQGDLVVAIDGVNTDTM THLEAQNKIKSASYNLSLTLQKSKRPIPISTTAPPIQSPLPVIPHQKVVANSPANADYQE RFNPSVLKDSALSTHKPIEVKGLGGKATIIHAQYNTPISMYSQDAIMDAIAGQAQAQGSD FSGSLPVKDLAVDSASPVYQAVIKTQSKPEDEADEWARRSSNLQSRSFRILAQMTGTEYM QDPDEEALRRSSTPIEHAPVCTSQATSPLLPASAQSPAAASPIAASPTLATAAATHAAAA SAAGPAASPVENPRPQASAYSPAAAASPAPSAHTSYSEGPAAPAPKPRVVTTASIRPSVY QPVPASSYSPSPGANYSPTPYTPSPAPAYTPSPAPTYTPSPAPTYSPSPAPAYTPSPAPN YTPTPSAAYSGGPSESASRPPWVTDDSFSQKFAPGKSTTTVSKQTLPRGAPAYNPTGPQV TPLARGTFQRAERFPASSRTPLCGHCNNVIRGPFLVAMGRSWHPEEFNCAYCKTSLADVC FVEEQNNVYCERCYEQFFAPICAKCNTKIMGEVMHALRQTWHTTCFVCAACKKPFGNSLF HMEDGEPYCEKDYINLFSTKCHGCDFPVEAGDKFIEALGHTWHDTCFICAVCHVNLEGQP FYSKKDKPLCKKHAHAINV >ENSMUSP00000022327.5 pep:known chromosome:GRCm38:14:34526699:34588681:-1 gene:ENSMUSG00000021798.13 transcript:ENSMUST00000022327.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldb3 description:LIM domain binding 3 [Source:MGI Symbol;Acc:MGI:1344412] MSYSVTLTGPGPWGFRLQGGKDFNMPLTISRITPGSKAAQSQLSQGDLVVAIDGVNTDTM THLEAQNKIKSASYNLSLTLQKSKRPIPISTTAPPIQSPLPVIPHQKDPALDTNGSLATP SPSPEARASPGALEFGDTFSSSFSQTSVCSPLMEASGPVLPLGSPVAKASSEGAQGSVSP KVLPGPSQPRQYNNPIGLYSAETLREMAQMYQMSLRGKASGAGLLGGSLPVKDLAVDSAS PVYQAVIKTQSKPEDEADEWARRSSNLQSRSFRILAQMTGTEYMQDPDEEALRRSSTPIE HAPVCTSQATSPLLPASAQSPAAASPIAASPTLATAAATHAAAASAAGPAASPVENPRPQ ASAYSPAAAASPAPSAHTSYSEGPAAPAPKPRVVTTASIRPSVYQPVPASSYSPSPGANY SPTPYTPSPAPAYTPSPAPTYTPSPAPTYSPSPAPAYTPSPAPNYTPTPSAAYSGGPSES ASRPPWVTDDSFSQKFAPGKSTTTVSKQTLPRGAPAYNPTGPQVTPLARGTFQRAERFPA SSRTPLCGHCNNVIRGPFLVAMGRSWHPEEFNCAYCKTSLADVCFVEEQNNVYCERCYEQ FFAPICAKCNTKIMGEVMHALRQTWHTTCFVCAACKKPFGNSLFHMEDGEPYCEKDYINL FSTKCHGCDFPVEAGDKFIEALGHTWHDTCFICAVCHVNLEGQPFYSKKDKPLCKKHAHA INV >ENSMUSP00000136043.1 pep:known chromosome:GRCm38:X:32650716:32651426:1 gene:ENSMUSG00000094601.1 transcript:ENSMUST00000177740.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5926 description:predicted gene 5926 [Source:MGI Symbol;Acc:MGI:3647272] MESSKLTKKRAGRKRQRSRSPALPKRNILGCRISHKWKEGDESITQWNGTVLDQVPVNPS LYLVKYDEIDAVHALELYKDKRVLSLKVIAKRVVSSGVTDSSFVDAIVGKEVNHLFEGEH GSKEEWRGMVLSQAPILDNSFYITYERDPILYTYQLLDDFKEGDLQIMEGISDPPSLDID LELVDGLIGKHVENTNDDGSKRDGLIIYQIETKPRVYLIKYEDDVHIHVTHLEKEF >ENSMUSP00000131860.2 pep:known chromosome:GRCm38:14:54640952:54648293:1 gene:ENSMUSG00000091306.2 transcript:ENSMUST00000169818.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17606 description:predicted gene, 17606 [Source:MGI Symbol;Acc:MGI:4937240] ERKGEREKRRKVKEEKGRRGGKRQGKREREGAERRKDFARSFRRRSRDRDRERARGDRER ETLTDRDQNRSSKRQKTK >ENSMUSP00000038471.4 pep:known chromosome:GRCm38:18:43207697:43317481:1 gene:ENSMUSG00000039954.8 transcript:ENSMUST00000045477.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk32a description:serine/threonine kinase 32A [Source:MGI Symbol;Acc:MGI:2442403] MGANTSSKAPVFDENEDVNFDHFEILRAIGKGSFGKVCIVRKNDTKKMYAMKYMNKQKCV ERNEVRNVFKELQIMQGLEHPFLVNLWYSFQDEEDMFMVVDLLLGGDLRYHLQQNVHFQE DTVKLFICELAMALDYLQSQRIIHRDMKPDNILLDEHGHVHITDFNIAAMLPKETRITTV AGTKPYMAPEMFTSRKETGYSFAVDWWSLGVTAYELLRGRRPYHIRSSTSSKEIVNMFET AIVTYPSAWSQEMVSLLKKLLEPNPDQRFSHLTDIQNFPYMSDMNWDAVLQKRLIPGFIP TKGRLNCDPTFELEEMILESKPLHKKKKRLAKREKEMKKSDSSQTCLLQEHLDAVQKEFI IFNREKVKSDFNQRQANLALEQTKNNTEEEEDGQNNNL >ENSMUSP00000136344.1 pep:known chromosome:GRCm38:X:32894625:32896121:1 gene:ENSMUSG00000094361.1 transcript:ENSMUST00000179069.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21657 description:predicted gene, 21657 [Source:MGI Symbol;Acc:MGI:5435012] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHQGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKKVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIIWDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYNEEQIVMKLDSDALTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000086921.4 pep:known chromosome:GRCm38:14:57524829:57543165:1 gene:ENSMUSG00000050222.10 transcript:ENSMUST00000089494.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17d description:interleukin 17D [Source:MGI Symbol;Acc:MGI:2446510] MLGTLVWMLAVGFLLALAPGRAAGALRTGRRPARPRDCADRPEELLEQLYGRLAAGVLSA FHHTLQLGPREQARNASCPAGGRAADRRFRPPTNLRSVSPWAYRISYDPARFPRYLPEAY CLCRGCLTGLYGEEDFRFRSTPVFSPAVVLRRTAACAGGRSVYAEHYITIPVGCTCVPEP DKSADSANSSMDKLLLGPADRPAGR >ENSMUSP00000132619.1 pep:known chromosome:GRCm38:19:7494040:7538608:1 gene:ENSMUSG00000024759.13 transcript:ENSMUST00000170373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atl3 description:atlastin GTPase 3 [Source:MGI Symbol;Acc:MGI:1924270] MDPTFQYAVTGDAMENGKPGPVQVVLVHKEQHSFELEERALASVLLQDHIRDLDVVVVSV AGAFRKGKSFILDFMLRYLYSQKEGGHSDWLGDPEEPLTGFSWRGGSDPETTGIQIWSEV FTVKKPCGKKVAVVLMDTQGAFDSQSTVKDCATIFALSTMTSSVQIYNLSQNIQEDDLQQ LQLFTEYGRLAMDEIFQKPFQTLMFLIRDWSFPYEYNYGLQGGMAFLDKRLHVKEHQHEE IQNVRNHIHSCFSDVTCFLLPHPGLQVATSPNFDGKLKDIASEFKEQLQALIPYVLNPSK LMEKEINGSKVTCRGLLEYFKAYIKIYQGEDLPHPKSMLQATAEANNLAAAASAKDIYYN NMEEICGGEKPYLSPDILEEKHLEFKQLALDHFKKIKKMGGKDFSFRYQQELEEEIKELY ENFCKHNGSKNVFSTFRTPAVLFTGIAALYIASGFTGFIGLEVVAQLFNCMVGLLLIALL TWGYIRYSGQYRELGGAIDSGAAYVLEQASSHIGNSTQAAVRDAVVGRPPADKKSQ >ENSMUSP00000025668.7 pep:known chromosome:GRCm38:19:7494438:7538608:1 gene:ENSMUSG00000024759.13 transcript:ENSMUST00000025668.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atl3 description:atlastin GTPase 3 [Source:MGI Symbol;Acc:MGI:1924270] MLSPQRTAAVASRGAGDAMENGKPGPVQVVLVHKEQHSFELEERALASVLLQDHIRDLDV VVVSVAGAFRKGKSFILDFMLRYLYSQKEGGHSDWLGDPEEPLTGFSWRGGSDPETTGIQ IWSEVFTVKKPCGKKVAVVLMDTQGAFDSQSTVKDCATIFALSTMTSSVQIYNLSQNIQE DDLQQLQLFTEYGRLAMDEIFQKPFQTLMFLIRDWSFPYEYNYGLQGGMAFLDKRLHVKE HQHEEIQNVRNHIHSCFSDVTCFLLPHPGLQVATSPNFDGKLKDIASEFKEQLQALIPYV LNPSKLMEKEINGSKVTCRGLLEYFKAYIKIYQGEDLPHPKSMLQATAEANNLAAAASAK DIYYNNMEEICGGEKPYLSPDILEEKHLEFKQLALDHFKKIKKMGGKDFSFRYQQELEEE IKELYENFCKHNGSKNVFSTFRTPAVLFTGIAALYIASGFTGFIGLEVVAQLFNCMVGLL LIALLTWGYIRYSGQYRELGGAIDSGAAYVLEQASSHIGNSTQAAVRDAVVGRPPADKKS Q >ENSMUSP00000022494.8 pep:known chromosome:GRCm38:14:61339763:61360445:-1 gene:ENSMUSG00000021928.8 transcript:ENSMUST00000022494.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebpl description:emopamil binding protein-like [Source:MGI Symbol;Acc:MGI:1915427] MGEHWALGPEAGSSLLLCSALLAVGCALGLRLGRGRSAVERWVLAWLCYDSLVHFVLEGA FVYLSIVGNVADSQGLIASLWKEYGKADTRWLYSDPTVVSLEILTVVLDGLLALVLIYAI VKEKYYRHFVQIVLCVCELYGCWMTFFPEWLVGSPSLNTSSWLYLWVYLVFFNGLWVLIP GLLLWQSWVELKKRDSQEANLAKKHK >ENSMUSP00000096473.2 pep:known chromosome:GRCm38:9:39613544:39614560:1 gene:ENSMUSG00000048299.6 transcript:ENSMUST00000050807.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr148 description:olfactory receptor 148 [Source:MGI Symbol;Acc:MGI:2660713] MDNYTLLNEFILLGIPQTQGLETLLFVVFLFIYFFTLLGNSLIFTAIISSSTLHTPMYFF LGLLSVFDMLFPSVTCPKMLFYLSVRSPAISYKGCAAQLFFYHLLGSTEGCLYSVMAYDR YVAICHPLRYMLIMKPGVCVSLVIIAWLVGCLHATILTSLTFQLVYCASNQVDYFFCDLP AVLPLACTDSKLARKVGSINVGFLALMLLFSVCVSYVHIGVAILRIRSAEGRQKAFSTCS AHLTAILCAYGPVIIIYLQRTPNPLLGAVVQILNNIVSPMLNSLIYSLRNKEVKRSLRRV FQNITFHGQK >ENSMUSP00000055327.8 pep:known chromosome:GRCm38:14:61607482:61611916:1 gene:ENSMUSG00000046168.8 transcript:ENSMUST00000051184.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnrg description:potassium channel regulator [Source:MGI Symbol;Acc:MGI:2685591] MSGQDLVTLNVGGRIFTTRPSTLKQFPASRLAGMLDGRDQEFKTVDGQIFVDRDGALFSF ILDFLRNHELLLPSDFADHHRLQREALFYELDSLVDLLSQFLLQSRSAVMEVHFLNQNTQ AFFRVFGSCSKTIEMLSGRITMFVERPTALTGNRNSPLALPPQRPSHHDLLFHCGSDGAA ENQAGVRYISIKPDNRKLANGTNVLGLLVDTLLKEGFHLVSTRTPASGEKSECYVFERIT TPQVLGMSKTPKSETTTMPAPSQK >ENSMUSP00000071132.1 pep:known chromosome:GRCm38:9:39819641:39820576:1 gene:ENSMUSG00000095774.1 transcript:ENSMUST00000071132.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr970 description:olfactory receptor 970 [Source:MGI Symbol;Acc:MGI:3030804] MLKGNLSEVTEFILAGLTNKPELQLPLFLLFLAIYVVTVVGNLGMIILILLSSHLHTPMY YFLSSLSFIDLCQSTVIIPKMLVNFVTVKNIISYPECMTQLYFFVTFAIAECHMLAVMAY DRYVAICNPLLYNAVMSFQVCSSMIFGVYSIALIGATTHTVCMLRVNFCKANVINHYFCD LFPLLELPCSDTFINEVVVLCFSVFNIFIPTLTILTSYIFIIASILRIKSTEGRSKAFST CSSHISAVAIFFGSLAFMYLQPSSVSSMDQGKVSSVFYTIVVPMLNPLIYSLRNKDVKVA LNKFFERKFFL >ENSMUSP00000075107.4 pep:known chromosome:GRCm38:10:67544512:67548955:-1 gene:ENSMUSG00000057134.4 transcript:ENSMUST00000075686.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ado description:2-aminoethanethiol (cysteamine) dioxygenase [Source:MGI Symbol;Acc:MGI:2685083] MPRDNMASLIQRIARQACLTFRGSSTGSEGPAPGFPENLSLLKSLLTQVRAEDLNIAPRK ALPQPLPRNLPPVTYMHIYETEGFSLGVFLLKSGTCIPLHDHPGMHGMLKVLYGTVRISC MDKLDTGAGHRRPPPEQQFEPPLQPLEREAVRPGVLRSRAEYTEASGPCVLTPHRDNLHQ IDAVDGPAAFLDILAPPYDPEDGRDCHYYRVVEPIRPKEASGSACDLPREVWLLETPQAD DFWCEGEPYPGPKVLP >ENSMUSP00000136930.1 pep:novel scaffold:GRCm38:JH584298.1:179693:182203:1 gene:ENSMUSG00000095076.1 transcript:ENSMUST00000177668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC125178.2 MNVHTPSTLQKLAIQTLVRQALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWPF HCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRKKLQFLDLRNVHHSFWNIWTDSEDS DYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQSWFLKWAQERKGSLYFCCT KMKIWTLPVKALRHIFHVFDPEHIMELELNTEWTLLELTRFAPYFGQMRNLHKVFLAPLH KIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLKTL SITYSLVSQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGILETLDLQG CRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFPKDLLQHTAN >ENSMUSP00000043826.4 pep:known chromosome:GRCm38:15:84167816:84189512:1 gene:ENSMUSG00000041653.4 transcript:ENSMUST00000045289.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla3 description:patatin-like phospholipase domain containing 3 [Source:MGI Symbol;Acc:MGI:2151796] MYDPERRWSLSFAGCGFLGFYHVGATLCLSERAPHLLRDARTFFGCSAGALHAVTFVCSL PLGRIMEILMDLVRKARSRNIGTLHPFFNINKCIRDGLQESLPDNVHQVISGKVHISLTR VSDGENVLVSEFHSKDEVVDALVCSCFIPLFSGLIPPSFRGERYVDGGVSDNVPVLDAKT TITVSPFYGEHDICPKVKSTNFFHVNITNLSLRLCTGNLQLLTRALFPSDVKVMGELCYQ GYLDAFRFLEENGICNGPQRSLSLSLVAPEACLENGKLVGDKVPVSLCFTDENIWETLSP ELSTALSEAIKDREGYLSKVCNLLPVRILSYIMLPCSLPVESAIAAVHRLVTWLPDIQDD IQWLQWATSQVCARMTMCLLPSTR >ENSMUSP00000038350.9 pep:known chromosome:GRCm38:9:57560944:57588797:1 gene:ENSMUSG00000040188.9 transcript:ENSMUST00000045791.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp2 description:secretory carrier membrane protein 2 [Source:MGI Symbol;Acc:MGI:1346518] MSAFDTNPFADPVDVNPFQDPSVTQLTNAPQSGLAEFNPFSETNAATTVPATQAPGPSQP AVLQPSVEPAQPTPQAVAAAAQAGLLRQQEELDRKAAELERKERELQNTAANLHVRDNNW PPLPSWCPVKPCFYQDFSTEIPADYQRICKMLYYLWMLHSVTLFLNLLACLAWFTSDAAN GTAFGLSILWFLIFTPCAFLCWYRPIYKAFRSDNSFSFFVFFFVFFCQIGIYFIQLIGLP NLGTSGWLAALSTMKNGPLAVTIIMMVVAGFFTLCAGLSLFLLQRVHAFYRRTGASFQQA QEEFSQGIFSSRTFRGAASSAARGAFQGN >ENSMUSP00000093878.4 pep:known chromosome:GRCm38:19:23972751:24031019:-1 gene:ENSMUSG00000071604.4 transcript:ENSMUST00000096164.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam189a2 description:family with sequence similarity 189, member A2 [Source:MGI Symbol;Acc:MGI:2685813] MSLPVVLPGSCCPVAGLSGGPQAGGPGAATAAAAQEPPLPPLRPRWPRGALQPPARPRCA PAAVLAPPPALASRRPAAPGSALLPARPLLSLGLLQLILGCCMVALSFGALSLSSSPQVK NSCPFWAGSSVILSGIIGLTTWKRPMILLVNLFVLLSVVCILLNLAGFILGCQGAQFVSS VPRCDLVDLGEGKICFCCEEFQPAKCTDKENALKLFPVQPCSAVHLLLKKVLFALCALNA LTTTVCLVAAALRYLQIFASRRPCIDESQMSAEDVEEHGRIPDPDDFVPPVPPPSYFATF YSCTPRMNRRMVGSDVIPLPHIYGARIKGVEVFCPLDPPPPYEAVVSQTDQEQESSFQMP EGPETAASPAEPVCTQITQGGALTNTTGEENTSGSTPILTLVQPPRSRRALPLLRTRSKS DPVLHHSEERATPVLSCEAATQTERRLDLATVTLRRGARPRASRCRPRSLIDYRSYIDTK LLVARFLEQSSCSMTPDIHELVENIKSVLKSDEGHMEEAITSASFLEQIMAPSQPSTSQA QELSWRRQPGLLHLRSCGDLSTFSLTARPRAERRPQQRAEAERPHSLIGVIRETVL >ENSMUSP00000128443.2 pep:known chromosome:GRCm38:8:107262817:107263248:-1 gene:ENSMUSG00000057657.3 transcript:ENSMUST00000080443.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps18-ps3 description:ribosomal protein S18, pseudogene 3 [Source:MGI Symbol;Acc:MGI:3642474] MSLVIPEKFQHILRVLNTNIDGRRKIAFAITAIKGVGRRYAHVVLRKADIDLTKRAGELT QDEVERVITIMQNPRQYKIPDWFLNRQKDVKDGKYSQVLANGLDNKLREDLERLKKIRAH RGLRHFWGLRVRGQHTKTTGRRG >ENSMUSP00000127043.2 pep:known chromosome:GRCm38:10:21040820:21080428:1 gene:ENSMUSG00000019986.16 transcript:ENSMUST00000163505.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahi1 description:Abelson helper integration site 1 [Source:MGI Symbol;Acc:MGI:87971] MVVALYDYTASRSDELTIHRGDIIRVYFKDNEDWWYGSVRKGQEGFFPANHVASETLYRD SPPKVKERSPPLTPKEKTKPEKPLASQKQSLSKGRPLDPRLGPQPVGHSEKGKDQNVEDR GHKVDMETKKSEPVVRKVTLIE >ENSMUSP00000101164.4 pep:known chromosome:GRCm38:10:20952547:21080428:1 gene:ENSMUSG00000019986.16 transcript:ENSMUST00000105525.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahi1 description:Abelson helper integration site 1 [Source:MGI Symbol;Acc:MGI:87971] MEPETPEKVDSAQEKVRGKTPTADDSDDSREKTGIEEKGELTDAYQLQVAEEMAKEIKKK IRKKLKEQLTYFPPDTLLHDDKLASEKRKKKKKKVPVPTKPESSPSDVCDSAVEGEQKKE GTPEDSQHMEGICSREQDVDATVPENAKPKPKKTKKKTKAVSNDNEDTNGDGVHEITSRD SPVHPKCLLDDDLVMGVYIHRTDRLKSDFMISHPMVKIHVVDEHTGQYVKKDDSERPVSS YYEKDNVDYILPIMTQPYDFKKLKSRLPEWEEQVIFNENFPYLLREFEECPKVILFFEIL DFLSMDEIKNNSEVQNQECGFRKIAWAFLKLLGANGNANINSKLRLQLYYPPTKPRSQLN VVEVFEWWSKCPRNRYPSTLYVTVRGLKVPDCIKPSYRSMMALQEERGTPVYCERHRETS SVDTEPGLEDSKEEVKWKRLPGQACRIPNKHLFSLNAGERGCFCLDFSHNGRILAAACAS RDGYPIILYEIPSGRFMRELCGHLNIIYDLDWSKDDRYLVTSSSDGTARVWKNEINSTST FRVLPHPSFVYTAKFHPATRELVVTGCYDSMIRIWKIDAREDAAILVRQLDVHKSFVNSI CFDDEGHHMYSGDCIGVIVVWDTYVKVNDVQHSVRHWTINKEIKETEFRGVPISYLEVHP NGKRLLIHTKDSTLRIMDLRILAARKFVGAANYREKIHSTLTPCGTLLFSGSEDGIVYVW NPETGEQVAMYSDLPFKSTIRDISYHPLENMVAFCAFGQSEPILLYIYDFQVAQQEAEML KRYSGTLPLPGIHQSEDALCTCPKLPQQGSFQIDEFVNTENSSSRKIQLVKQRLETVTEV IRSCAAKVNKNLSMTSPPPGPAKKPRVKQSFVLTTDEIIHQFGLPQTAFISIERGPFVRH VDPPPMVVALYDYTASRSDELTIHRGDIIRVYFKDNEDWWYGSVRKGQEGFFPANHVASE TLYRDSPPKVKERSPPLTPKEKTKPEKPLASQKQSLSKGRPLDPRLGPQPVGHSEKGKDQ NVEDRGHKVDMETKKSEPVVRKVTLIE >ENSMUSP00000129952.1 pep:known chromosome:GRCm38:10:86655939:86657381:1 gene:ENSMUSG00000090308.1 transcript:ENSMUST00000171131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5174 description:predicted gene 5174 [Source:MGI Symbol;Acc:MGI:3646116] MASNSKKKSLESQYRVLFYLGEGTFGTVKLALHLKTEALVAVKMIEVNEKTLKAILVEQE ILATLNHPNIIRLFQVILTPGCVNFILEYASGGNLFDLIQEHGPLQEEEAKHIFGQLVAS VKYCHNLNIVHRDIKPQNVLLDEERNVKLTDFGLAIKCNPGRLLSRKCGTKRFWAPEILL GEPYDSRKTDVWSLGVLLFFITTGDYPFAGSTLIEFEQKLTTGSYCIPPYISVKLENLIH QILTVPPEKRPSIEDIEKHPWVTKCDVTVPTDSFPDYTIIEMLCGMGFEANKILESLQKK KFDENMGTYLLLKEQVGKGIEYTPTPSPKPVDLCPAPPPSPAHTSGLPQKRRASEPNFGL VCIWPSGQQRPAALPLSGQKLTRSDSMPPIALYFPEKKSTTPSCAIYTGAVAAPWISDIL LEDETSLPPEEDVTMETSPPKKIGFFRRLRNRLRNCLSRLCCIPRAPETNTQRRSSKKVA P >ENSMUSP00000022656.6 pep:known chromosome:GRCm38:14:99046427:99074537:1 gene:ENSMUSG00000022070.6 transcript:ENSMUST00000022656.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bora description:bora, aurora kinase A activator [Source:MGI Symbol;Acc:MGI:1924994] MGDVSELKMQITPETPGRIPVLNPFESPSDYSNLHEQTLASPSIFKSTKLPTPGKFRWSI DQLAIINPVEIDPEEIHRQASYLRLSRIDKDVEDKRQKAIEEFFTKDVIVPSPWTDHDGK QPSELHPSKCLSSHDDSPDGKKPSLPSQKCNAACQTLLSLPVDFNLEAILGDYFREEDFV AHTPGNLSSSSLRRKLFLDGNGSICDPLPSPSPGSPPCSARGSLEGQFSSSPIQNSVKKY SLGSVTTSPSAISSPTFSPIALQGGKTPLSEPRKLTFHSPEASCATASTGIVNPSIRSPY IDGCSPIKNWSPRRLRGGPQCLSSLVRIPFTLEAHSEDEEADVSCTGAAPLSTNACGEPR VVTAMSVTQSHSGIAEKERAVLDDAESERENDTVDMVDPTDTVAESTWIKEPVDDGNSPM TDSASGIAFSIENSHMCMSPLAESSVLPYESSAIQMNSDYNTQTCVSNITDIVGTERYCK ENVTHTNVPVPFEVEMKSQVNNVTPGHTAQRCWMKSPRPSQCSRP >ENSMUSP00000051809.6 pep:known chromosome:GRCm38:15:6708381:6800398:1 gene:ENSMUSG00000050310.8 transcript:ENSMUST00000061656.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rictor description:RPTOR independent companion of MTOR, complex 2 [Source:MGI Symbol;Acc:MGI:1926007] MAAIGRGRSLKNLRIRGRNDSGEENVPLDLTREPSDNLREILQNVAKLQGVSNMRKLGHL NNFTKLLCDIGHSEEKLGFNYEDIIICLRLALLNEAKEVRAAGLRALRYLIQDSSILQKV LKLKVDYLIARCIDIQQSNEVERTQALRLVRKMITVNASLFPSSVANSLIAVGNDGLQER DRMVRACIAIICELALQNPEVVALRGGLNTILKNVIDCQLSRINEALITTILHLLNHPKT RQYVRADVELERILAPYTDFHYRHSPDTAEGQLKEDREARFLASKMGIIATFRSWAGIIN LCKPGNSGIQSLIGVLCIPNMEIRRGLLEVLYDIFRLPLPVVTDEFIEALLSVDPGRFQD SWRLSDGFVAAEAKTILPHRARSRPDLMDNYLALILSAFIRNGLLEGLVEVITNSDDHIS VRATILLGELLHMANTILPHSHSHHLHCLPTLMNMAASFDIPKEKRLRASAALNCLNRFH EMKKRGPKPYSLHLDHIIQKAIATHHKRDQYLRVQKDIFVLKDTEEALLINLRDSQVLQH KENLDWDWNLIGTILKWPNVNLRNYKDEQLHRFVRRLLYFYKPSSKLYASLDLDLAKSKQ LTVVGCQFTEFLLESEEDGQGYLEDLVKDIVQWLNASSGVKPERSLQNNGLLTTLSQHYF LFIGTLSCHPHGVKMLEKCSVFQCLLNLCSLKNQDHLIKLTVSSLDYSRDGLARVILSKI LTAATDACRLYATKHLRVLLRANVEFFNNWGIELLVTQLHDKNKTISSEALDILDEACED KANLHALIQMKPALSHLGDKGLLLLLRFLSIPKGFSYLNERGYVAKQLEKWHKEYNSKYV DLIEEQLNEALTTYRKPIDGDNYVRRSNQRLQRPHVYLPVHLYGQLVHHKTGCHLLEVQS IITELCHNVRTPDLDKWEDIKKLKASLWALGNIGSSNWGLNLLQEENVIPDILKLAKQCE VLSIRGTCVYVLGLIAKTKQGCDILKCHSWDSVRHSRKHLWPVVPDDVEQLCNELSSVPS TLSLNSESTSSRHNSESESAPSSMFMLEDDRFGSTSTSTFFLDINEDAEPAFYDRPGPIK DKNSFPFFGSSKLVKNRILNSLTLPTKKHRSSSDPKGGKLSSENKTSNRRIRTLTEPSVD LNHSEDFTSSSAQKSLQLEPSFVGNKHLEDAGSTPSIGENDLKFPKSFGTETHRENTSRE RLVVEGSASSHIKIRSQSFNTDTTTSGISSMSSSPSRETVAVDPTAMDTDCGSLSTVVST KTVKTSHYLTPQSNHLSLSKSNSVSLVPPGSSHTLPRRAQSLKAPSIATIKSLADCNFSY TSSRDAFGYATLKRLQQQRMHPSLSHSEALASPAKDVLFTDTITMKANSFESRLTPSRFM KALSYASLDKEDLLSPINHNTLQRSSSVRSMVSSATYGGSDDYIGLALPVDINDIFQIKD VPYFQSKHVPPPDDRGARMFSHDGAGLSSGAGGLVKNSFHLLRQQMSLTEIMNSVHSDAS LFLESTEDTGLQEHTDDNCLYCVCIELLGFQPSNQLSSICSHSDLQDIPYSDWCEQTIHN PLEVVPSKFSGISGCSDGASQEEGSASSTKSTELLLGVKTIPDDTPMCRILLRKEVLRLV VNLSSSVSTKCHETGLLTIKEKYPQTFDDICLYSEVSHLLSHCTFRLQCRRFIQELFQDV QFLQMHEEAEAVLAIPPIQPIVDESAES >ENSMUSP00000137433.1 pep:known chromosome:GRCm38:X:125705444:125705941:1 gene:ENSMUSG00000095965.1 transcript:ENSMUST00000178004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17693 description:predicted gene, 17693 [Source:MGI Symbol;Acc:MGI:4937327] PAASSKNGAGDASHKFKEDHTVPGPSSAGQHGQVISPHIQGSPHPSRSSQGDLGSSTSPQ DAQGLSLSTQHARGLSLSTQQTQGLSLSTQDVFELTRYFQESMGHSTSAQASQRLPTCAE RKIIHASSSRRSSKRLSPTLHNSNLSNSPLKHVGQDSSGQGDLQQA >ENSMUSP00000097050.2 pep:known chromosome:GRCm38:13:61536444:61541839:-1 gene:ENSMUSG00000074871.2 transcript:ENSMUST00000099451.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsm description:cathepsin M [Source:MGI Symbol;Acc:MGI:1927229] MTSAIFLAMLCLGMALPSPAPDPILDVEWQKWKIKYGKAYSLEEEGQKRAVWEDNMKKIK LHNGENGLGKHGFTMEMNAFGDMTLEEFRKVMIEIPVPTVKKGKSVQKRLSVNLPKFINW KKRGYVTPVQTQGRCNSCWAFSVTGAIEGQMFRKTGQLIPLSVQNLVDCSRPQGNWGCYL GNTYLALHYVMENGGLESEATYPYEEKDGSCRYSPENSTANITGFEFVPKNEDALMNAVA SIGPISVAIDARHASFLFYKRGIYYEPNCSSSVVTHSMLLVGYGFTGRESDGRKYWLVKN SMGTQWGNKGYMKISRDKGNHCGIATYALYPRV >ENSMUSP00000025483.9 pep:known chromosome:GRCm38:18:64499665:64516557:-1 gene:ENSMUSG00000024587.9 transcript:ENSMUST00000025483.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nars description:asparaginyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1917473] MSSEVIRGTAEMVLAELYVSDREGNDATGDGTKEKPFKTGLKALMTVGKEPFPTIYVDSQ KENERWDVISKSQMKNIKKMWHREQMKNDSREKKEAEDNLRREKNLEEAKKIIIKNDPSL PEPACVKISALEGYRGQRVKVFGWVHRLRRQGKNLMFLVLRDGTGYLQCVLSDDLCQCYN GVVLSTESSVAVYGTLNLTPKGKQAPGGHELSCDFWELVGLAPAGGADNLINEESDVDVQ LNNRHMMIRGENMSKILKARSMITRCFRDHFFDRGYCEVTTPTLVQTQVEGGATLFKLDY FGEEAFLTQSSQLYLETCLPALGDVFCIAQSYRAEQSRTRRHLAEFTHVEAECPFLTFED LLNRLEDLVCDVVDRVLKSPVASIVYELNPNFKPPKRPFRRMNYSDAIEWLKEHDVKKED GTFYEFGDDIPEAPERLMTDTINEPILLCRFPVEIKSFYMQRCPEDPRLTESVDVLMPNV GEIVGGSMRSWDSEEILEGYKREGIDPAPYYWYTDQRKYGTCPHGGYGLGLERFLSWILN RYHIRDVCLYPRFLQRCRP >ENSMUSP00000003313.8 pep:known chromosome:GRCm38:19:60889749:61092553:1 gene:ENSMUSG00000003228.8 transcript:ENSMUST00000003313.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grk5 description:G protein-coupled receptor kinase 5 [Source:MGI Symbol;Acc:MGI:109161] MELENIVANTVLLKAREGGGGKRKGKSKKWKEILKFPHISQCEDLRRTIDRDYYSLCDKQ PIGRLLFRQFCETRPGLECYIQFLDLVAEYEITPDENLGAKGKEIMTKYLTPKSPVFIAQ VGQDLVSQTEKKLLQSPCKELFSACAQSVHDYLKGDPFHEYLDSMYFDRFLQWKWLERQP VTKNTFRQYRVLGKGGFGEVCACQVRATGKMYACKRLEKKRIKKRKGESMALNEKQILEK VNSQFVVNLAYAYETKDALCLVLTIMNGGDLKFHIYNMGNPGFEEERALFYAAEILCGLE DLHRENTVYRDLKPENILLDDYGHIRISDLGLAVKIPEGDLIRGRVGTVGYMAPEVLNNQ RYGLSPDYWGLGCLIYEMIEGQSPFRGRKEKVKREEVDRRVLETEEVYSSKFSEEAKSIC NMLLTKDSKQRLGCQEEGAAEVKRHPFFRNMNFKRLEAGMLDPPFVPDPRAVYCKDVLDI EQFSTVKGVNLDHTDDDFYSKFSTGSVPIPWQNEMIETECFKELNVFGPNGTLSPDLNRS QPPEPPKKGLFHRLFRRQHQSNSKSSPTPKTSCNHRINSNHINSNSTGSS >ENSMUSP00000025388.5 pep:known chromosome:GRCm38:18:52331536:52332986:1 gene:ENSMUSG00000024510.5 transcript:ENSMUST00000025388.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ftmt description:ferritin mitochondrial [Source:MGI Symbol;Acc:MGI:1914884] MLSCFWFFSKHISSALMSLPRVLHRFTAPQCLASRYPLGPLLASPRRLLASVASSQDSTR PSRVRQNFHPDSEAAINRQINLELYASYVYLSMAYYFSRDDVALYNFSKYFLRQSLEERE HAEKLMKLQNQRGGRICLQDIKKPDKDDWECGLRAMECALLLEKNVNQSLLDLHTLASEK GDPHLCDFLETHYLHEQVKSIKELGDHVHNLVTMGAPAAGLAEYLFDKHTLGSESKH >ENSMUSP00000044305.7 pep:known chromosome:GRCm38:10:40831626:40883143:-1 gene:ENSMUSG00000038446.7 transcript:ENSMUST00000044166.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc40 description:cell division cycle 40 [Source:MGI Symbol;Acc:MGI:1918963] MSAAIAALAASYGSGSGSESDSDSEGSRCPLPAADSLMHLTKSPSAKLSLTVAVDSAPEV AVKEDLETGVHLDPAVKEVQYNPTYETMFAPEFGPENPFRTQQMAAPRNMLSGYAEPAHI NDFMFEQQRRTFATYGYALDPSLDNHQVSAKYIGSVEEAEKNQGLTVFETGQKKTEKRKK FKENDASNIDGFLGPWAKYVDEKDVAKPSEEEQKELDEITAKRQKKGKQEEEKPGEEKTI LHVKEMYDYQGRSYLHIPQDVGVNLRSSVPPEKCYLPKKQIHVWSGHTKGVSAVRLFPLS GHLLLSCSMDCKIKLWEVYGDRRCLRTFIGHSKAVRDICFNTAGTQFLSAAYDRYLKLWD TETGQCISRFTNRKVPYCVKFNPDEDKQNLFVAGMSDKKIVQWDIRSGEIVQEYDRHLGA VNTIVFVDENRRFVSTSDDKSLRVWEWDIPVDFKYIAEPSMHSMPAVTLSPNGKWLACQS MDNQILIFGAQNRFRLNKKKIFKGHMVAGYACQVDFSPDMSYVISGDGNGKLNIWDWKTT KLYSRFKAHDKVCIGAVWHPHETSKVITCGWDGLIKLWD >ENSMUSP00000023897.5 pep:known chromosome:GRCm38:13:113093825:113100979:-1 gene:ENSMUSG00000023132.7 transcript:ENSMUST00000023897.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzma description:granzyme A [Source:MGI Symbol;Acc:MGI:109266] MRNASGPRGPSLATLLFLLLIPEGGCERIIGGDTVVPHSRPYMALLKLSSNTICAGALIE KNWVLTAAHCNVGKRSKFILGAHSINKEPEQQILTVKKAFPYPCYDEYTREGDLQLVRLK KKATVNRNVAILHLPKKGDDVKPGTRCRVAGWGRFGNKSAPSETLREVNITVIDRKICND EKHYNFHPVIGLNMICAGDLRGGKDSCNGDSGSPLLCDGILRGITSFGGEKCGDRRWPGV YTFLSDKHLNWIKKIMKGSV >ENSMUSP00000022904.6 pep:known chromosome:GRCm38:15:38661904:38692443:1 gene:ENSMUSG00000022295.7 transcript:ENSMUST00000022904.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1c1 description:ATPase, H+ transporting, lysosomal V1 subunit C1 [Source:MGI Symbol;Acc:MGI:1913585] MTEFWLISAPGEKTCQQTWEKLHAATTKNNNLAVSSKFNIPDLKVGTLDVLVGLSDELAK LDAFVEGVVKKVAQYMADVLEDSKDKVQENLLASGVDLVTYITRFQWDMAKYPIKQSLKN ISEIIAKGVTQIDNDLKSRASAYNNLKGNLQNLERKNAGSLLTRSLAEIVKKDDFVLDSE YLVTLLVVVPKLNHNDWIKQYETLAEMVVPRSSNVLSEDQDSYLCNVTLFRKAVDDFRHK ARENKFIVRDFQYNEEEMKADKEEMTRLSTDKKKQFGPLVRWLKVNFSEAFIAWIHIKAL RVFVESVLRYGLPVNFQAMLLQPNKKSVKKLREVLHELYKHLDSSAAAIIDAPMDIPGLN LSQQEYYPYVYYKIDCNLLEFK >ENSMUSP00000144054.1 pep:known chromosome:GRCm38:5:114855758:114858682:-1 gene:ENSMUSG00000107108.1 transcript:ENSMUST00000201635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9936 description:predicted gene 9936 [Source:MGI Symbol;Acc:MGI:3641854] MGGVQCEDNPASVCSPLAPYSPPQKRFCSSSVLYIVSALKLISKDRNQRNNTSVSDKGNV TFIIGRSSSSRGVISTWTFSEQGRKQRKCQYVALASGASLVGTWGSLVDGRNQLTQGDLV AAHTYFHHEGVKNRSQTRKEKQIQKWSGWDAPLQVDEATI >ENSMUSP00000136491.1 pep:known chromosome:GRCm38:X:33955044:33956540:-1 gene:ENSMUSG00000096915.1 transcript:ENSMUST00000179466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2964 description:predicted gene 2964 [Source:MGI Symbol;Acc:MGI:3781142] MGLLVSRVLSCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYRDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLQTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000040299.7 pep:known chromosome:GRCm38:8:64998457:65018688:-1 gene:ENSMUSG00000053490.7 transcript:ENSMUST00000048565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim60 description:tripartite motif-containing 60 [Source:MGI Symbol;Acc:MGI:2387430] MDSTALKILQDKCICYICSDFMEDPVTSRCGHNFCFACLRLLWDDLQGNIFCPVCQTPFP PKSFSRNYQFRNMTETIRLLQKRQSKRKRQEEHTVCPKHDQPLVLFCVRDRDVLCTQCSL SVEHQGHYTCPIKKASSYHRKVLESAIATLKFGVKQVEEKLAVQHRRVLGLREEAQYQKI EIRYEIGQIKLFLQSEYEAHLNESHMEELRSFSELNGYLETLLDHVSTAKDLLKEVEAIH ERSDVTLLRAYHKLQNLKSPKPWLFRTKQYGLSLPAQYSGLSRIIKQFQADVTFDRDTAH PQLVISEDRKSVFYKEAWPCVCASPQKFHLWPALLGCKGFDSGRQYWEVKVGDKPRWTLG VCQAHFSGDWSNQSSGFWAIGRYAENSYVTYGPLRTEFLPVVRPSKVGIFLDYELGELSF YNMNDRSLLYTFRNSFTSTLWPYFYIGTDSESLEILTHPTPDTGSY >ENSMUSP00000045672.2 pep:known chromosome:GRCm38:17:25298394:25300161:1 gene:ENSMUSG00000056399.1 transcript:ENSMUST00000037453.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss34 description:protease, serine 34 [Source:MGI Symbol;Acc:MGI:2681414] MCLGMLWLLFLSLPCLGNTMPLTLDLGSGQGLVGIVGGCPVSASRFPWQVSLRLYDMEHS RWEHECGGSLIHPQWVLTAAHCVRPKEVEAYGVRVQVGQLRLYENDQLMKVVKIIRHPKF SEKLSARGGADIALLKLDTRVVLSEHVYPVSLPAASLRISSKKTCWVAGWGVIENYMPLP PPYHLREVAVPIVENNDCEQKYQTNSSSDSTTRIIKDDMLCAGKEGRDSCKADSGGPLVC RWNCSWVQVGVVSWGIGCGLPDFPGVYTRVMSYVSWIKCYVPTFLEPLKGPDGIHTTEET PATHIDPFTPPSGPASLS >ENSMUSP00000092249.1 pep:known chromosome:GRCm38:4:132074697:132076992:1 gene:ENSMUSG00000070717.1 transcript:ENSMUST00000094662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10300 description:predicted gene 10300 [Source:MGI Symbol;Acc:MGI:3641670] GDSRATLTGRARAAARGSRVRKLKIQLRKDPSRAPGVPLLPPSGSPGARGCAGWGWRVGL GLRRGAESCCGRTPTTGLQVRSEQLHAVSGAPHPLDFVTPEPLGTSSLEHAAQDLQHPRR GSCDPRLAAPGTIGLLRSGLLGLAPCPSQAPGPPPKAVQAHLGCTGQGPGRDLAGPGRAA SSLSAALSL >ENSMUSP00000051333.4 pep:known chromosome:GRCm38:15:20665219:20666750:-1 gene:ENSMUSG00000047565.4 transcript:ENSMUST00000052910.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot10 description:acyl-CoA thioesterase 10 [Source:MGI Symbol;Acc:MGI:1928940] MKRAAMRLWTLNKGLLTHGRGLSQGSQYKISEPLHIHQVQVKLREIVGISTVWRDHVQAM EERKLLHSFLPKSQKVLPPRKIRDSYIEVLLPLGTDPELRDKYVTVQNTVRFGRILEDLD SLGVLVCYMHNHNHSTNMSLLSIVTVLVDKIDMCKHSLSPEQDIKFTGHVSWVGNTTMEV KMKMFQLHDDETYWPVLDATFVMVAQDSENKRPAFVNPLIPENKEEEELFTQGELNKSRR IAFSTSSLLKVAPSSEERNIIHELFLSTLDPKTISFQSRILPPKAVWMEDTKLKSLDICH PQERNVFNRIFGGFLMRKAYELAWATACSFGGSRPYVVTVDDIMFQKPVEVGSLLFLSSQ VCFTQGNYIQVRVHSEVFSLDSREHMTTNVFHFTFMSEKEVPLIFPKTYGESMLYLDGQR HFKSMSTPVTLKKDYPVEP >ENSMUSP00000039689.5 pep:known chromosome:GRCm38:14:118875520:118923167:-1 gene:ENSMUSG00000042156.15 transcript:ENSMUST00000047208.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzip1 description:DAZ interacting protein 1 [Source:MGI Symbol;Acc:MGI:1914311] MPFQKHVYYPLANSPEGPDASAIGAAPMAFVPPSAASGPLPFFQFRPRLESVDWRRLSAI DVDKVAGAVDVLTLQENIMNITFCKLEDEKCPHCQSGVDPVLLKLIRLAQLTIEYLMHSQ EFLTSQLNLVEERLRLSLLDYEQSKQLLTKQAGEIKLLKEECKRRKKMLSTQQLMIEAKA SYYQCHFCDKAFMNQAFLQSHIQRRHTEDSHLEYNTKAQTDRLQKEIDMLKEQLQLTRSQ LESAQHSHAVRFSKDYEMQKSKEEDFLKLFDRWKEEEKEKLLEEMEKVKGMFMREFKELT SKNSALEYQLLEIQKSNIQIKSNIGTLRDVTELREDHLPCPQDFQNMLQLLDSQASKWTD RFQVLNEEHSKEKGQLLSHIEKLRSSMMKDLSADNVFYKRRVEELGQKLQEQNELIISQK QQIREFASKPYSSISELKGTPLTRQTLEPKSAAPTTPMTASATQNLDGASSLTMVHEQVF SSHILEPIEELSSEEEKGRENEQKLNKKTSLRKPSSTSPSPQELRTNLERELGNKLRSFG IGANIQGIPCEILNRSLKAMQVARHDLAKQMPDIQQIRESLEHQLICKMEEKVSLSSDRH HVPSMTTFPPEEVPKATQLPHKSRPLVRQRTVFTDKVSVPKLKKNTKESHFLRRFPSTKT PPFSSEEEPDEEDLLHAYLSPDSLATAATQPPKSSMSHFGKSAVKSDTDWTEGSEMDDSD FSPKLTGTSITIQTDTVETMALPQGSGNKAVPGMNPADTVIKKESLQELKCTDADDEDWD ISSLEEEKSLGSKIEQREPPPAKRDPSCTQVQRAWGPVNPREFKEEGLHENEPSTLKSNL VTVTDWSDVLDV >ENSMUSP00000004055.8 pep:known chromosome:GRCm38:14:118876108:118925314:-1 gene:ENSMUSG00000042156.15 transcript:ENSMUST00000004055.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dzip1 description:DAZ interacting protein 1 [Source:MGI Symbol;Acc:MGI:1914311] MPFQKHVYYPLANSPEGPDASAIGAAPMAFVPPSAASGPLPFFQFRPRLESVDWRRLSAI DVDKVAGAVDVLTLQENIMNITFCKLEDEKCPHCQSGVDPVLLKLIRLAQLTIEYLMHSQ EFLTSQLNLVEERLRLSLLDYEQSKQLLTKQAGEIKLLKEECKRRKKMLSTQQLMIEAKA SYYQCHFCDKAFMNQAFLQSHIQRRHTEDSHLEYNTKAQTDRLQKEIDMLKEQLQLTRSQ LESAQHSHAVRFSKDYEMQKSKEEDFLKLFDRWKEEEKEKLLEEMEKVKGMFMREFKELT SKNSALEYQLLEIQKSNIQIKSNIGTLRDVTELREDHLPCPQDFQNMLQLLDSQASKWTD RFQVLNEEHSKEKGQLLSHIEKLRSSMMKDLSADNVFYKRRVEELGQKLQEQNELIISQK QQIREFASKPYSSISELKGTPLTRQTLEPKSAAPTTPMTASATQNLDGASSLTMVHEQVF SSHILEPIEELSSEEEKGRENEQKLNKKTSLRKPSSTSPSPQELRTNLERELGNKLRSFG IGANIQGIPCEILNRSLKAMQVARHDLAKQMPDIQQIRESLEHQLICKMEEKVSLSSDRH HVPSMTTFPPEEVPKATQLPHKSRPLVRQRTVFTDKVSVPKLKKNTKESHFLRRFPSTKT PPFSSEEEPDEEDLLHAYLSPDSLATAATQPPKSSMSHFGKSAVKSDTDWTEGSEMDDSD FSPKLTGTSITIQTDTVETMALPQGSGNKAVPGMNPADTVIKKESLQELKCTDADDEDWD ISSLEEEKSLGSKIEQREPPPAKRDPSCTQVQRAWGPVNPREFKEEGLHENEPSTLKSNL VTVTDWSDVLDV >ENSMUSP00000137057.1 pep:known chromosome:GRCm38:4:42091207:42092287:1 gene:ENSMUSG00000094293.1 transcript:ENSMUST00000178882.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3893 description:predicted gene 3893 [Source:MGI Symbol;Acc:MGI:3782066] MPKAVKSPSNALTQITPLEPCAQDDSGVSADTAEEFQPGAEADRRTEKVPAESQPPPCRP YPINTHILAKLNFHLKKKILAMQFGISEKEKREYKELGTADLESESIQEFLRSLHMSEST LLQEQPVACPSLPAPNAKGVHPKKQPASAVQDVCQAQRPPPSKAVPHSSAQQSSKASQSQ RDKTQVCVDMEAGGKRFNLEKSKVVGDLGEGDAGLGFSLVSQKTRQDGEQEKRLLHRPLQ GSSQQGHTFHLEDACPHSPRESPELQFPDPPPEVFMETDSEQDMEDSQSEESIVPERPLR SQ >ENSMUSP00000019991.7 pep:known chromosome:GRCm38:10:39134023:39151058:1 gene:ENSMUSG00000019845.7 transcript:ENSMUST00000019991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tube1 description:epsilon-tubulin 1 [Source:MGI Symbol;Acc:MGI:1919174] MTQSVVVQVGQCGNQIGCCFWDLALREHAAVNQKGIYDDAISSFFRNVDTRAVGDGGSIS KGRISSLKARAVLIDMEEGVVNEILQGPLRDVFDSKQLITDISGSGNNWAVGHKVFGCLY REQILEKLRKSAEQCDCLQCFFIIHSMGGGTGSGLGTFLLKVLEDEFPEVYRFVTAVYPS SEDDVITSPYNSMLAMKELNEHADCVLPIDNQSLFDIISKIDLVVNSGKLGSAVKPKSLI TSNMGAVKKHHKKPFDAMNNIVANLLLSLTSSARFEGSLNMDLNEISMNLVPFPKLHYLV SSLTPLYTLADVNIPPRRLDQMFSDAFSKDHQLIQADPRHSLYLACALIVRGNVQISDLR RNIERLKPALQFVSWNQEGWKTSLCSVPPVGHSHSLLALANNTCVKPTFMELRERFTRLY KKKAHLHHYLQVDGMEESTFTEAVSSLSALIQEYSDLDATKSLPVPDVPRLSVAL >ENSMUSP00000110115.1 pep:known chromosome:GRCm38:16:52031549:52208047:1 gene:ENSMUSG00000022637.10 transcript:ENSMUST00000114471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cblb description:Casitas B-lineage lymphoma b [Source:MGI Symbol;Acc:MGI:2146430] MANSMNGRNPGGRGGNPRKGRILGIIDAIQDAVGPPKQAAADRRTVEKTWKLMDKVVRLC QNPKLQLKNSPPYILDILPDTYQHLRLILSKYDDNQKLAQLSENEYFKIYIDSLMKKSKR AIRLFKEGKERMYEEQSQDRRNLTKLSLIFSHMLAEIKAIFPNGQFQGDNFRITKADAAE FWRKFFGDKTIVPWKVFRQCLHEVHQISSGLEAMALKSTIDLTCNDYISVFEFDIFTRLF QPWGSILRNWNFLAVTHPGYMAFLTYDEVKARLQKYSTKPGSYIFRLSCTRLGQWAIGYV TGDGNILQTIPHNKPLFQALIDGSREGFYLYPDGRSYNPDLTGLCEPTPHDHIKVTQEQY ELYCEMGSTFQLCKICAENDKDVKIEPCGHLMCTSCLTAWQESDGQGCPFCRCEIKGTEP IIVDPFDPRDEGSRCCSIIDPFSIPMLDLDDDDDREESLMMNRLASVRKCTDRQNSPVTS PGSSPLAQRRKPQPDPLQIPHLSLPPVPPRLDLIQKGIVRSPCGSPTGSPKSSPCMVRKQ DKPLPAPPPPLRDPPPPPERPPPIPPDNRLSRHFHHGESVPSRDQPMPLEAWCPRDAFGT NQVMGCRILGDGSPKPGVTANSSLNGRHSRMGSEQVLMRKHRRHDLPSEGAKVFSNGHLA TEEYDVPPRLSPPPPVTTLLPSIKCTGPLANCLSEKTRDTVEDDDDEYKIPSSHPVSLNS QPSHCHNVKAPVRSCDNGHCILNGTHGAPSEMKKSNIPDLGIYLKGEDAFDALPPSLPPP PPPARHSLIEHSKPPGSSSRPSSGQDLFLLPSDPFFDPTSGQVPLPPARRAAGDSGKANR ASQDYDQLPSSSDGSQAPARPPKPRPRRTAPEIHHRKPHGPEAALENVDAKIAKLMGEGY AFEEVKRALEIAQNNVEVARSILREFAFPPPVSPRLNL >ENSMUSP00000087694.4 pep:known chromosome:GRCm38:15:31455899:31531037:-1 gene:ENSMUSG00000039100.9 transcript:ENSMUST00000090227.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March6 description:membrane-associated ring finger (C3HC4) 6 [Source:MGI Symbol;Acc:MGI:2442773] MDTAEEDICRVCRSEGTPEKPLYHPCVCTGSIKFIHQECLVQWLKHSRKEYCELCKHRFA FTPIYSPDMPSRLPIQDIFAGLVTSIGTAIRYWFHYTLVAFAWLGVVPLTACRIYKCLFT GSVSSLLTLPLDMLSTENLLADCLQGCFVVTCTLCAFISLVWLREQIVHGGAPIWLEHAA PPFNAAGHHQNEAPVGGNGAENPAADQPANPAGENAVLGENPDAQDGQAEEEEEDNEEED DAGVEDAADANNGAQDDMNWNALEWDRAAEELTWERMLGLDGSLVFLEHVFWVVSLNTLF ILVFAFCPYHIGHFSLVGLGFEEHVQASHFEGLITTIVGYILLAITLIICHALATLVKFH RSRRLLGVCYIVVKVSLLVVVEIGVFPLICGWWLDICSLEMFDATLKDRELSFQSAPGTT MFLHWLVGMVYVFYFASFILLLREVLRPGVLWFLRNLNDPDFNPVQEMIHLPIYRHLRRF ILSVIVFGSIVLLMLWLPIRIIKSLLPNFLPYNVMLYSDAPVSELSLELLLLQVVLPALL EQGHTRQWLKGLVRAWTVTAGYLLDLHSYLLGDQEENENSANQQVNNNQPARNNNAVPAG EGLHAAHQAILQQGGPVGFQPYRRPLNFPLRIFLLIVFMCITLLIASLICLTLPVFAGRW LMSFWTGTAKIHELYTAACGLYVCWLTIRAVTVLVAWMPQGRRVIFQKVKEWSLMIMKTL IVAVLLAGVVPLLLGLLFELVIVAPLRVPLDQTPLFYPWQDWALGVLHAKIIAAITLMGP QWWLKTVIEQVYANGIRNIDLHYIIRKLAAPVISVLLLSLCVPYVIASGAVPLLGVTAEM QNLVHRRIYPFLLMVVVLMGILSFQVRQFKRLYEHIKNDKYLVGQRLVNYERKSGKQGPS TPPPVSSQE >ENSMUSP00000025411.7 pep:known chromosome:GRCm38:18:67464849:67480581:1 gene:ENSMUSG00000024530.7 transcript:ENSMUST00000025411.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slmo1 description:slowmo homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2442865] MKIWSSEHVFGHPWDTVIKAAMRKYPNPMNPCVVGVDVLERSVDGCGRLHSLRLLSTEWG LPGLVRAILGANRTLTYIKERSVVDPAARKMELCSTNITLTNLVSVNERLVYTPHPENPE KTVLTQEAIITVKGISLGSYLESLMATTISSNAKKGWAAIEWIIEHSESAIS >ENSMUSP00000136300.1 pep:novel scaffold:GRCm38:JH584293.1:21235:21820:-1 gene:ENSMUSG00000095247.7 transcript:ENSMUST00000179008.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] SRLRRYEVALEAEEDIYWGCFYFFPWLRMWRRERSSAHPREQKLEPLRGLMSCLSSGLRP APQRSGRGLLCRTPTAAAQTAGALKS >ENSMUSP00000136040.1 pep:known scaffold:GRCm38:JH584293.1:16864:17556:-1 gene:ENSMUSG00000095247.7 transcript:ENSMUST00000179281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] MMEGLSPASSLPLLLLLLSPAPEAALPLPSSTSCCTQLYRQPLPSRLLRRIVHMELQEAD GDCHLQAVVLHLARRSVCVHPQNRSLARWLERQGKRLQGTVPSLNLVLQKKMYSHPQQQN >ENSMUSP00000137101.1 pep:known scaffold:GRCm38:JH584293.1:16864:21525:-1 gene:ENSMUSG00000095247.7 transcript:ENSMUST00000179871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl27a description:chemokine (C-C motif) ligand 27A [Source:MGI Symbol;Acc:MGI:1343459] MWRRERSPMSPTSQRLSLEAPSLPLRSWHPWNKTKQKQEALPLPSSTSCCTQLYRQPLPS RLLRRIVHMELQEADGDCHLQAVVLHLARRSVCVHPQNRSLARWLERQGKRLQGTVPSLN LVLQKKMYSHPQQQN >ENSMUSP00000126629.1 pep:known chromosome:GRCm38:18:82910879:83005314:1 gene:ENSMUSG00000058881.12 transcript:ENSMUST00000171238.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp516 description:zinc finger protein 516 [Source:MGI Symbol;Acc:MGI:2443957] MDRSREAEMELRRGPSPPRAGRSHEVDGDKAACHSCCICGKSFPFQSSLSQHMRKHTGEK PYKCPYCDHRASQKGNLKIHIRSHRTGTLIQGHEPEAGEAQLGEMRVSEGLDGCASPTKS TSACNRVLNGAVPMDGSKILLRSSRKEVEGAASAQEDTEATVPCSFCKSRFERKKDLELH VHQAHKPFKCRLCSYVTLREESLLSHIERDHITAQVPNGSEACVENGKPELSPGEFPCEV CGQAFSQTWFLKAHMKKHRGSFDHGCHICGRRFKEPWFLKNHMKAHGPKAGSKNRPKSEL DPIATINNVVQEEVIVAGLSLYEVCTKCGNLFTNLDSLNAHNAIHRKVEASRIRAPAEEG DSEDPLDTKQFFLQCLNLTPYVAGDVSPGGQAGRRVAELDPVNSYQAWQLATRGKVAEPA EYLKYGTWDEALAGDVAFDKDKREYILVSQEKRKREQDAPATQAPPRKRASVPGDPMLSG HLDPRPTSRPNRRASATTGQGKSSECFECGKIFRTYHQMVLHSRVHRRARRDRDPEGDRA ARARCGSLSEGDSASQPSSPGSACAIADSPGLAEEVVDDSGEEAVPEPASGGQPRHCCSS GEVTPTALSNGDQNHKLGNNLPEKDISEPKVGSAMPSVSILENSSRETTKGPEQHRYSLD LKMPAFHPKQEVPSTTDRVDFPASMEITSLQHTLDSQAGHSKEKLSDLHKEHCGVGKRAS APDLVPLDLSMRSSRDEPSGKEACSLQAALVIHPCPYCTHKTYYPEVLWMHKRIWHRVSC SSVAPPWTQPSGHKSIRSNLVFLTRSGRTGPPPALGGKECQPLLLSRFARTQVPGGAPGS KGSSSPLGVTTKAASMPKNKESHSGGPCALWASGPDGYRQTRAGHGQEPPSAAVQGPLAK PKQEGSSRLAPSPGSGSLSRSTTPTPSVITRVGAQPSANSKPVEKLGGPAVGTGFTPPNK HSAPDSLKAKFSPQPQGQPPLKGEGGSPLPPREPSVKAAQELRTLATCAAGSRGEAALQA PPGAPPTLNSAKQEPAAEGQEKRLDILSIFKTYIPKDFATLYQGWGVSSPGPEHRGTSLT GTPRTQAHQGDFVCVECGKSFHQPSQLRAHLRAHTVVFECDGPRDSEVHTASTDAPKQGR DHTTPGTVPAGPLRKGI >ENSMUSP00000071216.6 pep:known chromosome:GRCm38:18:82914632:83005314:1 gene:ENSMUSG00000058881.12 transcript:ENSMUST00000071233.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp516 description:zinc finger protein 516 [Source:MGI Symbol;Acc:MGI:2443957] MDRSREAEMELRRGPSPPRAGRSHEVDGDKAACHSCCICGKSFPFQSSLSQHMRKHTGEK PYKCPYCDHRASQKGNLKIHIRSHRTGTLIQGHEPEAGEAQLGEMRVSEGLDGCASPTKS TSACNRVLNGAVPMDGSKILLRSSRKEVEGAASAQEDTEATVPCSFCKSRFERKKDLELH VHQAHKPFKCRLCSYVTLREESLLSHIERDHITAQVPNGSEACVENGKPELSPGEFPCEV CGQAFSQTWFLKAHMKKHRGSFDHGCHICGRRFKEPWFLKNHMKAHGPKAGSKNRPKSEL DPIATINNVVQEEVIVAGLSLYEVCTKCGNLFTNLDSLNAHNAIHRKVEASRIRAPAEEG DSEDPLDTKQFFLQCLNLTPYVAGDVSPGGQAGRRVAELDPVNSYQAWQLATRGKVAEPA EYLKYGTWDEALAGDVAFDKDKREYILVSQEKRKREQDAPATQAPPRKRASVPGDPMLSG HLDPRPTSRPNRRASATTGQGKSSECFECGKIFRTYHQMVLHSRVHRRARRDRDPEGDRA ARARCGSLSEGDSASQPSSPGSACAIADSPGLAEEVVDDSGEEAVPEPASGGQPRHCCSS GEVTPTALSNGDQNHKLGNNLPEKDISEPKVGSAMPSVSILENSSRETTKGPEQHRYSLD LKMPAFHPKQEVPSTTDRVDFPASMEITSLQHTLDSQAGHSKEKLSDLHKEHCGVGKRAS APDLVPLDLSMRSSRDEPSGKEACSLQAALVIHPCPYCTHKTYYPEVLWMHKRIWHRVSC SSVAPPWTQPSGHKSIRSNLVFLTRSGRTGPPPALGGKECQPLLLSRFARTQVPGGAPGS KGSSSPLGVTTKAASMPKNKESHSGGPCALWASGPDGYRQTRAGHGQEPPSAAVQGPLAK PKQEGSSRLAPSPGSGSLSRSTTPTPSVITRVGAQPSANSKPVEKLGGPAVGTGFTPPNK HSAPDSLKAKFSPQPQGQPPLKGEGGSPLPPREPSVKAAQELRTLATCAAGSRGEAALQA PPGAPPTLNSAKQEPAAEGQEKRLDILSIFKTYIPKDFATLYQGWGVSSPGPEHRGTSLT GTPRTQAHQGDFVCVECGKSFHQPSQLRAHLRAHTVVFECDGPRDSEVHTASTDAPKQGR DHTTPGTVPAGPLRKGI >ENSMUSP00000024783.8 pep:known chromosome:GRCm38:17:47599331:47611492:-1 gene:ENSMUSG00000023988.8 transcript:ENSMUST00000024783.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bysl description:bystin-like [Source:MGI Symbol;Acc:MGI:1858419] MPKFKVTRGASNREKHAPLAEQILAGNAVRAGTREKRRGREVEEEEEYVGPRLSRRILQQ ARQQQEELETDHGAGDRSAPPRERATRLGPGLPQDGSDEEDEEWPTLEKAAKMAGVDHQA EVIVDPEDERAIEMFMNKNPPVRRTLADIIMEKLTEKQTEVETVMSEVSGFPMPQLDPRV LEVYRGVREVLCKYRSGKLPKAFKVIPALSNWEQILYVTEPEAWTAAAMYQATRIFASNL KERMAQRFYNLVLLPRVRDDIAEYKRLNFHLYMALKKALFKPGAWFKGILIPLCESGTCT LREAIIVGSIITKCSIPVLHSSAAMLKIAEMEYSGANSIFLRLLLDKKYALPYRVLDALV FHFLAFRTEKRQLPVLWHQCLLTLAQRYKADLATEQKEALLELLRLQPHPQLSPEIRREL QSAVPRDVEDGGVTME >ENSMUSP00000129014.1 pep:known chromosome:GRCm38:16:10812915:10812994:1 gene:ENSMUSG00000079740.1 transcript:ENSMUST00000115822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11172 description:predicted gene 11172 [Source:MGI Symbol;Acc:MGI:3779427] RRRRRRRRRRRRKEKRRRRRR >ENSMUSP00000097255.1 pep:known chromosome:GRCm38:16:58613686:58616978:-1 gene:ENSMUSG00000075002.1 transcript:ENSMUST00000099663.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm813 description:predicted gene 813 [Source:MGI Symbol;Acc:MGI:2685659] MGPKRRKPIPEEALAYIHRIACMQMNLSDAYMFLACLYSDDTTMTSFGAYSQDKASVKWF YAKRILAYITERGNKVCIPEIQRPEIDTQGCIQCAIDILNMENELTEILHDLQDVALTVK DNTTITFTKELIYTQRRNEDRLALEIVELRRKEKLAQEEDDKNKRRLKGTRKKPRR >ENSMUSP00000022831.3 pep:known chromosome:GRCm38:14:55884969:55896781:1 gene:ENSMUSG00000047153.3 transcript:ENSMUST00000022831.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khnyn description:KH and NYN domain containing [Source:MGI Symbol;Acc:MGI:2451333] MSTWGFASPTPDRFAVSAEAEDKVREQQTRLERIFNVGMSVLSKDCPENPHIWLQLEGPK ENVCRAKEYLKGLCSPELQSEIHYPPRLHCIFLGAHGFFLDCLAWSTSAHLVPLLPGSLM ISGLTEAFVMAQSRVEELVQRLSWDLQLQSCPGAPDNGGVLRDFSALLQTREDAYTEALL RLPLAVQEELLSLVQEASRGQGPSREVGSSGLLSPQFQGVRAPLNEGREFVGTRVAGSGK SPAVRGQSHTVEKEERKQDAVRDMGSGRKELSGEEVWEPGVAYRSQLAGGGAEEVAPLKG KASGKQEVPQQRGGFSVQGEPSGAHVPCQRAAPIRGASLLQRLHNGSASPPRVPSPPPAP EPPWPCGDRDRDRDRGDRGDKQQAGARGRGSPWKRGTRGGNLVTGTQRFQEALQDPFTLC LANVPGQPDLRHIVIDGSNVAMVHGLQHYFSSRGIALAVQYFWDRGHRDITVFVPQWRFS KDSKVRESHFLQKLYSLSLLSLTPSRVMDGKRISSYDDRFMVKLAEETDGIIVSNDQFRD LAEESDKWMAIIRERLLPFTFVGNLFMVPDDPLGRNGPTLDEFLKKPVRKQGSSKTQQPS KGSTEQANQQQGKDADRSNGGIRKTRETERLRRQLLEVFWGQDHKVDFILQREPYCRDIN QLSEALLSLNF >ENSMUSP00000065337.8 pep:known chromosome:GRCm38:8:85017934:85025278:-1 gene:ENSMUSG00000052456.8 transcript:ENSMUST00000064314.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asna1 description:arsA arsenite transporter, ATP-binding, homolog 1 (bacterial) [Source:MGI Symbol;Acc:MGI:1928379] MAAGVAGWGVEAEEFEDAPDVEPLEPTLSNIIEQRSLKWIFVGGKGGVGKTTCSCSLAVQ LSKGRESVLIISTDPAHNISDAFDQKFSKVPTKVKGYDNLFAMEIDPSLGVAELPDEFFE EDNMLSMGKKMMQEAMSAFPGIDEAMSYAEVMRLVKGMNFSVVVFDTAPTGHTLRLLNFP TIVERGLGRLMQIKNQISPFISQMCNMLGLGDMNADQLASKLEETLPVIRSVSEQFKDPE QTTFICVCIAEFLSLYETERLIQELAKCKIDTHNIIVNQLVFPDPEKPCKMCEARHKIQA KYLDQMEDLYEDFHIVKLPLLPHEVRGADKVNTFSALLLEPYKPPSTQ >ENSMUSP00000025354.3 pep:known chromosome:GRCm38:18:46239949:46280850:-1 gene:ENSMUSG00000024477.3 transcript:ENSMUST00000025354.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pggt1b description:protein geranylgeranyltransferase type I, beta subunit [Source:MGI Symbol;Acc:MGI:1917514] MATTEDDRLAGSGEGERLDFLRDRHVRFFQRCLQVLPERYSSLETSRLTIAFFALSGLDM LDSLDVVNKDDIIEWIYSLQVLPTEDRSNLSRCGFRGSSYLGIPFNPSKNPGAAHPYDSG HIAMTYTGLSCLIILGDDLGRVDKEACLAGLRALQLEDGSFCAVPEGSENDMRFVYCASC ICYMLNNWSGMDMKKAISYIRRSMSYDNGLAQGAGLESHGGSTFCGIASLCLMGKLEEVF SEKELNRIKRWCIMRQQNGYHGRPNKPVDTCYSFWVGATLKLLKIFQYTNFEKNRNYILS TQDRLVGGFAKWPDSHPDALHAYFGICGLSLMEESGICKVHPALNVSTRTSERLRDLHQS WKTKDSKQCSDNVHIAT >ENSMUSP00000132724.1 pep:known chromosome:GRCm38:8:72057660:72058675:1 gene:ENSMUSG00000069998.4 transcript:ENSMUST00000093434.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr372 description:olfactory receptor 372 [Source:MGI Symbol;Acc:MGI:3030206] MGTSNVSSNSDFILMGLFSYTGPHLVLFFLMATVFIIGLLGNTTLLFLIATDSRLHTPMY FLLSQLSLLDVGFPLVTIPKVVAEFLQGQNVISFGGCATQMFFLMLMGVSEGVLLSLMSY DRYVAVCHPLHYQVLMRNQVCLVMVGASWFSGALVASIQTSITLQFPYCASHTVDHFFCE LPALLKLSCADTSAYELALSISGVLILLLPLSLIFISYGHVLGAVLHMRSAEARHKAFTT CSSHVTVVGLFYGAAVFMYMVPGSYHSPKQDNVVSLFYSLITPTLNPLIYSLRNREVRMS LVKVMGRSDFRVKR >ENSMUSP00000034365.4 pep:known chromosome:GRCm38:8:105827744:105844676:1 gene:ENSMUSG00000031893.6 transcript:ENSMUST00000034365.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsnaxip1 description:translin-associated factor X (Tsnax) interacting protein 1 [Source:MGI Symbol;Acc:MGI:1919486] MANLQERKSFSKPRISIQASGGTPEAKGIEKRKLSQKRRTLPLQLSLGGHLSPWPTYTSG QTVLHNRKPCSDDSRNRANSCQQQSMSISKPKYLEQLENYLRKELLLLDLSTDSAQELRL QPYREIFEFFIEDFKTYKPLLSSIKNAYEVMLAHQKERIRSLEPLKAKIVTMNEDCSERV LAMRAEERYEISVLKKEKMNLLKLIDKKNEEKISLQSEVAKLRRSLAEEYLRYLTERDAR KILIGDLNELRYQQEDMSLAQTPGVWGEDPVKLTLALKMTRQDLTRTQMELNTMKANSGD VVPRRDLEMQEKTNMELQEQLESLKADYEEVQKEHELLLQLHMSTLKERDQFYNELQEIQ RTSTPRPDWTKCESIIAGGPERWLVLAEGKNSDQLVDVLLEEIGMGLLREKDFFPGLGFG DAIPPFLRFDGPVKNKKPSKKEVVNLLKDAWKERIAEEQKEPFPDFFFNFLERRFGVNDA MAWAYTIFENIKLFRSSEIMNQFYAVLMGKNLESVYINQKKTLSHLLKELLSVDTQNEGS ITMEQFSTILKTTFPLKKEEQIQELMEAVGWGPDSSNTDMLNYRSLFNEDEEGQSEPFVQ RLWEQYESDKEAYLEELKQELDLDPLEDVTLLKMRGTLMNIDPTMDKQTLSAYLSQAYQI PAIDVPLEDEEKQGIISIKVETALDRLRMADTKRVGPREPDPAS >ENSMUSP00000137109.1 pep:known chromosome:GRCm38:14:34051132:34102754:-1 gene:ENSMUSG00000095493.1 transcript:ENSMUST00000178958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630023A22Rik description:RIKEN cDNA A630023A22 gene [Source:MGI Symbol;Acc:MGI:2145657] MWIQLSATLPDLKTKEIYVKPGPWYRRLPPLKVGGSNRSRSRKSAEQGMEGLWLIMLTVP GTLLAPGMLWKVTRNLSLPPLPELRASSQHQWAWTSLAEAQSLRRQCLQCGASDRGALYD ITPPGMVSFMLFSGRSFTRLSSFREECGWAPQCLHPSSSKNLEWQRGRRCGEMVPHNCIQ KESRPLRHSYIHQPHQMLT >ENSMUSP00000022550.7 pep:known chromosome:GRCm38:14:65052060:65098106:-1 gene:ENSMUSG00000021978.8 transcript:ENSMUST00000022550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Extl3 description:exostoses (multiple)-like 3 [Source:MGI Symbol;Acc:MGI:1860765] MTGYTMLRNGGVGNGGQTCMLRWSNRIRLTWLSFTLFIILVFFPLIAHYYLTTLDEADEA GKRIFGPRAGSELCEVKHVLDLCRIRESVSEELLQLEAKRQELNSEIAKLNLKIEACKKS IENAKQDLLQLKNVISQTEHSYKELMAQNQPKLSLPIRLLPEKDDAGLPPPKVTRGCRLH NCFDYSRCPLTSGFPVYVYDSDQFAFGSYLDPLVKQAFQATVRANVYVTENAAIACLYVV LVGEMQEPTVLRPADLEKQLFSLPHWRTDGHNHVIINLSRKSDTQNLLYNVSTGRAMVAQ STFYAAQYRAGFDLVVSPLVHAMSEPNFMEIPPQVPVKRKYLFTFQGEKIESLRSSLQEA RSFEEEMEGDPPADYDDRIIATLKAVQDSKLDQVLVEFTCKNQPKPSLPTEWALCGERED RLELLKLSTFALIITPGDPHLLISSGCATRLFEALEVGAVPVVLGEQVQLPYHDMLQWNE AALVVPKPRVTEVHFLLRSLSDSDLLAMRRQGRFLWETYFSTADSIFNTVLAMIRTRIQI PAAPIREEVAAEIPHRSGKAAGTDPNMADNGDLDLGPVETEPPYASPKYLRNFTLTVTDC YRGWNSAPGPFHLFPHTPFDPVLPSEAKFLGSGTGFRPIGGGAGGSGKEFQAALGGNVQR EQFTVVMLTYEREEVLMNSLERLNGLPYLNKVVVVWNSPKLPSEDLLWPDIGVPIMVVRT EKNSLNNRFLPWNEIETEAILSIDDDAHLRHDEIMFGFRVWREARDRIVGFPGRYHAWDI PHQSWLYNSNYSCELSMVLTGAAFFHKYYAYLYSYVMPQAIRDMVDEYINCEDIAMNFLV SHITRKPPIKVTSRWTFRCPGCPQALSHDDSHFHERHKCINFFVKVYGYMPLLYTQFRVD SVLFKTRLPHDKTKCFKFI >ENSMUSP00000132450.1 pep:known chromosome:GRCm38:15:85336381:85463836:1 gene:ENSMUSG00000016541.9 transcript:ENSMUST00000163242.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn10 description:ataxin 10 [Source:MGI Symbol;Acc:MGI:1859293] MAAPRMPPSRLSGIMVPAPIQDLEALRALTALFKEQRNRETAPRTIFQRVLDILKKSTHA VELACRDPSQVEHLASSLQLITECFRCLRNACIECSVNQNSIRNLDTIGVAVDLVLLFRE LRVEQDSLLTAFRCGLQFLGNVASRNEESQSIVWVHAFPELFMSCLNHPDKKIVAYCSMI LFTSLNAERMKDLEENLNIAINVIEAHQKHPASEWPFLIISDHFLKSPELVEAMYGKLSN QERITLLDIVIAKLVGEEQLTKDDISIFVRHAELIANSFMDQCRNVLKLTSEPHTEDKEA LVTIRLLDVLCEMTSNTELLGYLQVFPGLMERVIDVLRVIHEVGKESTNIFSPSDSLKAE GDIEHMTEGFKSHLIRLIGNLCYKNKENQDKVNELDGIPLILDSSNIDDNNPFMMQWVVY AVRNLTEDNSQNQDVIAKMEEQGLADASLLKKMGFEIEKSGDKLILKSNNDIPPP >ENSMUSP00000111028.1 pep:known chromosome:GRCm38:17:24414675:24425895:1 gene:ENSMUSG00000034681.16 transcript:ENSMUST00000115371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnps1 description:ribonucleic acid binding protein S1 [Source:MGI Symbol;Acc:MGI:97960] MAPSPTKRKDRSDEKSKDRSKDKGATKESSEKDRGRDKTRKRRSASSGSSSTRSRSSSTS SSGSSTSTGSSSGSSSSSASSRSGSSSTSRSSSSSSSSGSPSPSRRRHDNRRRSRSKSKP PKRDEKERKRRSPSPKPTKVHIGRLTRNVTKDHIMEIFSTYGKIKMIDMPVERMHPHLSK GYAYVEFENPDEAEKALKHMDGGQIDGQEITATAVLAPWPRPPPRRFSPPRRMLPPPPMW RRSPPRMRRRSRSPRRRSPVRRRSRSPGRRRHRSRSSSNSSR >ENSMUSP00000085867.5 pep:known chromosome:GRCm38:17:24414675:24425895:1 gene:ENSMUSG00000034681.16 transcript:ENSMUST00000088512.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnps1 description:ribonucleic acid binding protein S1 [Source:MGI Symbol;Acc:MGI:97960] MDLSGVKKKSLLGVKENNKKSSTRAPSPTKRKDRSDEKSKDRSKDKGATKESSEKDRGRD KTRKRRSASSGSSSTRSRSSSTSSSGSSTSTGSSSGSSSSSASSRSGSSSTSRSSSSSSS SGSPSPSRRRHDNRRRSRSKSKPPKRDEKERKRRSPSPKPTKVHIGRLTRNVTKDHIMEI FSTYGKIKMIDMPVERMHPHLSKGYAYVEFENPDEAEKALKHMDGGQIDGQEITATAVLA PWPRPPPRRFSPPRRMLPPPPMWRRSPPRMRRRSRSPRRRSPVRRRSRSPGRRRHRSRSS SNSSR >ENSMUSP00000126345.1 pep:known chromosome:GRCm38:17:24414675:24425895:1 gene:ENSMUSG00000034681.16 transcript:ENSMUST00000163717.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnps1 description:ribonucleic acid binding protein S1 [Source:MGI Symbol;Acc:MGI:97960] MDLSGVKKKSLLGVKENNKKSSTRAPSPTKRKDRSDEKSKDRSKDKGATKESSEKDRGRD KTRKRRSASSGSSSTRSRSSSTSSSGSSTSTGSSSGSSSSSASSRSGSSSTSRSSSSSSS SGSPSPSRRRHDNRRRSRSKSKPPKRDEKERKRRSPSPKPTKVHIGRLTRNVTKDHIMEI FSTYGKIKMIDMPVERMHPHLSKGYAYVEFENPDEAEKALKHMDGGQIDGQEITATAVLA PWPRPPPRRFSPPRRMLPPPPMWRRSPPRMRRRSRSPRRRSPVRRRSRSPGRRRHRSRSS SNSSR >ENSMUSP00000052170.8 pep:known chromosome:GRCm38:8:112011359:112020528:1 gene:ENSMUSG00000033430.9 transcript:ENSMUST00000052138.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Terf2ip description:telomeric repeat binding factor 2, interacting protein [Source:MGI Symbol;Acc:MGI:1929871] MAEAMDLGKDPNGPTHSSTLFVREDGSAMSFYVRPSSAKRRLSTLILHGGGTVCRVQEPG AVLLAQPGEALAEASGDFISTQYILDCVDRNEKLDLEAYRLGLTEQASDPKPGASTEGST EPEPQPLTGRIAYTDAEDVAILTYVKENARSPSSVTGNALWKAMEKSSLTQHSWQSLKDR YLKHLRGQEHKYLLGNAPVSPSSQKLKRKAEQDPEAADSGEPQNKRAPDLPEEECVKGEI KENGEADNKLFEEAAPEFGEAVVDESPDFEIHITMCDGDPPTPEEDSETQPDEEEEEPKV STQEVGTAIKVIRQLMEKFNLDLSTVTQALLKNSGELEATSSFLESGRRPDGYPIWCRQD DLDLQKDDDDTKNALVKKFGAQNVARRIEFRKK >ENSMUSP00000033098.7 pep:known chromosome:GRCm38:7:45570153:45589699:1 gene:ENSMUSG00000030826.18 transcript:ENSMUST00000033098.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcat2 description:branched chain aminotransferase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1276534] MAAATLGQVWARKLLPVPWLLCGSKRCVSSIFKAADLQIQMTKEPQKKPAPSQALLFGKT FTDHMLMVEWNNKAGWGPPRIQPFQNLTLHPACSGLHYSLQLFEGLKAYKGGDQQVRLFR PWLNMDRMLRSARRLCLPDFDKQELLECIRQLIEVDKDWVPDGNGTSLYVRPVLIGNEPS LGVGMVTQALLYVILCPVGSYFPGDSMTPVSLLADPSFVRAWIGGVGDCKLGGNYGPTVA VQREAQKRGCEQVLWLYGPDHQLTEVGTMNIFVYWTHEDGVLELVTPPLNGVILPGVVRQ SLLDLARTWGEFRVAERKVTMKELKRALEEGRVREVFGSGTACQVCPVHQILYEGKQLHI PTMENGPELILRFQKELKAIQYGASAHDWMFRV >ENSMUSP00000037121.4 pep:known chromosome:GRCm38:10:33926936:33951212:-1 gene:ENSMUSG00000039531.7 transcript:ENSMUST00000048222.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zufsp description:zinc finger with UFM1-specific peptidase domain [Source:MGI Symbol;Acc:MGI:1919830] MLSCNICGETVNSEPDMKAHLIVHMENEIICPFCKLSGINYNEICFHIETVHFEQNAPEK NSEKLAAVQYGHSDRKNTNLQSTAEVTSGIHSACASSFPKESSESLPKDRTVKHEAFYTE NITESRKYQKSREKKPGLSEAQGSIYETTYSPPECPFCGKIEGCSQDMEIHVKTKHASLL ESPLKDCHQPLYDCPMCGLVCTNYHILQEHVDLHLEESSFQQGMDRVQCSSDRELAHRLQ QEEDRKRKSEESRQEREEFQKLQRQYGLDNSGGYKQQQLRHMELEVNRGRMHPSEFHSRK ADMLESIAIGIDDGKTKTSGIIEALHRYYQNTATDVRCVWLSTVVDHFHSSFGDKGWGCG YRNFQMLLSSLLQSDVYGDCLKGMAVPCIPKIQSMIEDAWNEGFDPQGASQLNNKLQGTK AWIGACEIYTLLTSLRVKCRIIDFHKSTGPLGTHPRLFEWILNYYSSETEGTPKIVCTSK PPIYLQHQGHSRTVVGIEEKKNRTLCLLVFDPGCPSREMQKLLKQDMEASSLRQLRKSVG NLKHKQYQIVAVEGVLSPEEKVVSIG >ENSMUSP00000035472.3 pep:known chromosome:GRCm38:18:9278607:9282809:-1 gene:ENSMUSG00000036855.3 transcript:ENSMUST00000041007.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gjd4 description:gap junction protein, delta 4 [Source:MGI Symbol;Acc:MGI:2444990] MEKLNLLGFLIITLNCNVTIMGMIWLIVEVLLRMLVVVLAGSPIYEDEQERFICNTLQPG CANVCYDLFSPVSPLRFWLVQSLALLLPSVVFGTYTLHRGAKLAAVGGACRPQVPDLSTA YLVHLLLRMLLEAGLAFLHYFLFGFSVPARVSCSHVPCSGAVDCYVSRPTEKSLLILFFW AVSALSFLLSLADLLWILPRRKTLRTTQWVNGEARPVCEVPAPPPCLLQNPQGYLSQGQV DQEDRQEEQVVPEFPCMWTAGQSDNSNVGQACVSGLLEHSDQDASEATSSAGDRLTVAHT AHELRFHRETSLDLGGKNTQADELSLATQSHLARHSSASKPQAPCRLTTSGSAPHLRTKK SEWV >ENSMUSP00000023285.4 pep:known chromosome:GRCm38:15:89371931:89377039:-1 gene:ENSMUSG00000022615.7 transcript:ENSMUST00000023285.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tymp description:thymidine phosphorylase [Source:MGI Symbol;Acc:MGI:1920212] MAAPGTPPPSASGGGGGEPRQLPELIRLKRDGGHLREADIRNFVHAVIDGRAQDTQIGAM LMAIRLQGMNLEETSVLTRALAESGQQLEWPKAWHQQLVDKHSTGGVGDKVSLVLAPALA ACGCKVPMISGRSLGHTGGTLDKLESIPGFGVTQSPEQMLHILEEVGCCIVGQSAKLVPA DGILYAARDVTATVDSVPLITASILSKKAVEGLSTLVVDVKFGGAAVFPDQEKARELAKM LVRVGVSLGLKVAAALTAMDNPLGRSVGHTLEVEEALLCLDGAGPPDLRDLVIRLGGAIL WISGQAETQDQGAARVAAALDDGSARRRFQLMLSAQGVDPGLAKALCSGSPTQRRQLLPH AREQEELLAPADGIVECVRALPLARVLHDLGAGRSRAGQPIRPGVGAEVLVDVGQCLSRG TPWLRVHLDGPALSSQQRRTLQGALVLSDRAPFKVPSPFAELVLPPTIAQP >ENSMUSP00000025417.8 pep:known chromosome:GRCm38:18:53245769:53390823:1 gene:ENSMUSG00000024535.15 transcript:ENSMUST00000025417.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx24 description:sorting nexing 24 [Source:MGI Symbol;Acc:MGI:1916476] MEVYIPSFRHEDSDLERGYTVFKIEVLMNGRKHFVEKRYSEFHALHKKLKKCIKTPEIPS KHVRNWVPKVLEQRRQGLETYLQAVILENEELPKLFLDFLNVRHLPSLPKAESCGSFDET ESEESSKLSHQPVLLFLGDPYVLPAASDFPNVVIEGVLHGIFFSHLQPR >ENSMUSP00000131423.1 pep:known chromosome:GRCm38:18:53245662:53390823:1 gene:ENSMUSG00000024535.15 transcript:ENSMUST00000165032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx24 description:sorting nexing 24 [Source:MGI Symbol;Acc:MGI:1916476] MEVYIPSFRHEDSDLERGYTVFKIEVLMNGRKHFVEKRYSEFHALHKKLKKCIKTPEIPS KHVRNWVPKVLEQRRQGLETYLQAVILENEELPKLFLDFLNVRHLPSLPKAESCGSFDET ESEESSKLSHQPVLLFLGDPYVLPAASDFPNVVIEGVLHGIFFSHLQPR >ENSMUSP00000079038.4 pep:known chromosome:GRCm38:15:94629185:95096097:1 gene:ENSMUSG00000063296.4 transcript:ENSMUST00000080141.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem117 description:transmembrane protein 117 [Source:MGI Symbol;Acc:MGI:2444580] MGKDFRYYFQHPWSRMIVAYLVIFFNFLIFAEDPVSHSQTEANVIVVGNCFSFVTNKYPR GVGWRILKVLLWLLAILIGLIAGKFLFHQRLFGQLLRLKMFREDHGSWMTMFFSTILFLF IFSHIYNTILLMDGNMGAYLITDYMGIRNESFMKLAAVGTWMGDFVTAWMVTDMMLQDKP YPDWGKSARAFWKKGNVRIILFWTVLFTLTSVVVLVITTDWISWDKLNRGFLPSDEVSRA FLASFILVFDLLIVMQDWEFPHFMGDVDVNLPGLHTPHMQFKIPFFQKIFKEEYRIHITG KWFNYGIIFLVLILDLNMWKNQIFYKPHEYGQYIGPGQKIYTVKDSESLKDLNRTKLSWE WRSNHTNPQTNKTYVEGDMFLHSRFIGASLDVKCLAFVPSLIAFVWFGFFIWFFGRFLKN EQGMENQDKTYTRMKRKSPSEHSKDMGITRENTQVSVEDPLNDPALVCIRSDFNEIVYKS SHLTSENLSLHLKESTSEVEAEQEPAASQRMRTN >ENSMUSP00000092821.3 pep:known chromosome:GRCm38:8:104591468:104596836:1 gene:ENSMUSG00000048371.7 transcript:ENSMUST00000059588.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdp2 description:pyruvate dehyrogenase phosphatase catalytic subunit 2 [Source:MGI Symbol;Acc:MGI:1918878] MSSTVSYWIFNSARNRIALLRGGRRLYSRAATSRNLLKWRPFSPALASSALKCGSPPGGF ALRKAYRHTSTEEEDFHLQLSPEQVSDLLRAGESSHKVLDFNNGVPNSVLRFESNQLAAN SPVEDRQGVATCVQTNGMMFGIFDGHGGHACAQAVSERLFYYMAVSLMSHQTLEQMEEAT ENMKPLLPILRWLKHPGDSIYKDVTSVHLDHLRVYWQELLDLHMEMGLSIEEALMYSFQR LDSDISLEIQAPLEDEVTRNLSLQVAFSGATACMAHVNGVHLHVANAGDCRAILGVQEEN GAWSCLPLTCDHNAWNEAELSRLKREHPESEDRTLIIDDRLLGVLMPCRAFGDVQLKWSK ELQRNVLARGFDTEALNIYQFTPPHYYTPPYLTAKPEVTYHRLRRQDKFLVLASDGLWDM LGNEDVVRLVVGHLSKVGRHKPDLDQRPANLGLMQSLLLQRKASGLHAADQNTATHLIRH AIGSNEYGEMEPERLAAMLTLPEDVARMYRDDITVMVVFFNSDSIDTYCKEG >ENSMUSP00000019302.8 pep:known chromosome:GRCm38:7:16452779:16455489:1 gene:ENSMUSG00000019158.8 transcript:ENSMUST00000019302.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem160 description:transmembrane protein 160 [Source:MGI Symbol;Acc:MGI:1916344] MGGGWWWARVARLARLRFRGSLQPPQRPRSGGARGSFAPGHGPRAGASPPPVSELDRADA WLLRKAHETAFLSWFRNGLLSSGIGVISFMQSDMGREAAYGFFLLGGLCVVWGGASYAVG LAALRGPMQLSLAGAAAGVGAVLAASLLWACAVGLYMGQLELDVELVPEDDGAASTEGPD EAGRPPPE >ENSMUSP00000023128.6 pep:known chromosome:GRCm38:15:103344289:103366763:-1 gene:ENSMUSG00000000555.6 transcript:ENSMUST00000023128.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga5 description:integrin alpha 5 (fibronectin receptor alpha) [Source:MGI Symbol;Acc:MGI:96604] MGSWTPRSPRSPLHAVLLRWGPRRLPPLLPLLLLLWPPPLQVGGFNLDAEAPAVLSGPPG SLFGFSVEFYRPGRDGVSVLVGAPKANTSQPGVLQGGAVYVCPWGTSPIQCTTIQFDSKG SRILESSLYSAKGEEPVEYKSLQWFGATVRAHGSSILACAPLYSWRTEKDPQNDPVGTCY LSTENFTRILEYAPCRSDFGSAAGQGYCQGGFSAEFTKTGRVVLGGPGSYFWQGQILSAT QEQISESYYPEYLINPVQGQLQTRQASSVYDDSYLGYSVAVGEFSGDDTEDFVAGVPKGN LTYGYVTVLNGSDIHSLYNVSGEQMASYFGYAVAATDTNGDGLDDLLVGAPLLMERTADG RPQEVGRVYIYLQRPAGIDPTPTLTLTGQDEFSRFGSSLTPLGDLDQDGYNDVAIGAPFG GEAQQGVVFIFPGGPGGLSTKPSQVLQPLWAAGRTPDFFGSALRGGRDLDGNGYPDLIVG SFGVDKALVYRGRPIISASASLTIFPSMFNPEERSCSLEGNPVSCINLSFCLNASGKHVP NSIGFEVELQLDWQKQKGGVRRALFLTSKQATLTQTLLIQNGAREDCREMKIYLRNESEF RDKLSPIHIALNFSLDPKAPMDSHGLRPVLHYQSKSRIEDKAQILLDCGEDNICVPDLQL DVYGEKKHVYLGDKNALNLTFHAQNLGEGGAYEAELRVTAPLEAEYSGLVRHPGNFSSLS CDYFAVNQSRQLVCDLGNPMKAGTSLWGGLRFTVPHLQDTKKTIQFDFQILSKNLNNSQS NVVSFPLSVEAQAQVSLNGVSKPEAVIFPVSDWNPQDQPQKEEDLGPAVHHVYELINQGP SSISQGVLELSCPQALEGQQLLYVTKVTGLSNCTSNYTPNSQGLELDPETSPHHLQKREA PGRSSTASGTQVLKCPEAKCFRLRCEFGPLHRQESRSLQLHFRVWAKTFLQREYQPFSLQ CEAVYEALKMPYQILPRQLPQKKLQVATAVQWTKAEGSNGVPLWIIILAILFGLLLLGLL IYVLYKLGFFKRSLPYGTAMEKAQLKPPATSDA >ENSMUSP00000019913.7 pep:known chromosome:GRCm38:10:34483400:34611226:1 gene:ENSMUSG00000019779.14 transcript:ENSMUST00000019913.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frk description:fyn-related kinase [Source:MGI Symbol;Acc:MGI:103265] MGSVCVRLWAYLQPFLPCWSQEADKSVVIENPGAFCPPEAPRSQEPERSHGQYFVALFDY QARTAEDLSFRAGDKLQVLDTSHEGWWLARHLEKKGTGLGQQLQGYIPSNYVAEDRSLQA EPWFFGAIKRADAEKQLLYSENQTGAFLIRESESQKGDFSLSVLDEGVVKHYRIRRLDEG GFFLTRRKVFSTLNEFVNYYTTTSDGLCVKLEKPCLKIQVPTPFDLSYKTADQWEIDRNS IQLLKRLGSGQFGEVWEGLWNNTTPVAVKTLKPGSMDPNDFLREAQIMKSLRHPKLIQLY AVCTLEDPIYIITELMRHGSLQEYLQNDGGSKIHLIQQVDMAAQVASGMAYLESQNYIHR DLAARNVLVGEHNIYKVADFGLARVFKVDNEDIYESKHEIKLPVKWTAPEAIRTNKFSIK SDVWSFGILLYEIITYGKMPYSGMTGAQVIQMLSQNYRLPQPSNCPQQFYSIMLECWNVE PKQRPTFETLHWKLEDYFETDCSYSDTNNFIN >ENSMUSP00000130289.1 pep:known chromosome:GRCm38:10:34483400:34611226:1 gene:ENSMUSG00000019779.14 transcript:ENSMUST00000170771.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frk description:fyn-related kinase [Source:MGI Symbol;Acc:MGI:103265] MGSVCVRLWAYLQPFLPCWSQEADKSVVIENPGAFCPPEAPRSQEPERSHGQYFVALFDY QARTAEDLSFRAGDKLQVLDTSHEGWWLARHLEKKGTGLGQQLQGYIPSNYVAEDRSLQA EPWFFGAIKRADAEKQLLYSENQTGAFLIRESESQKGDFSLSVLDEGVVKHYRIRRLDEG GFFLTRRKVFSTLNEFVNYYTTTSDGLCVKLEKPCLKIQVPTPFDLSYKTADQWEIDRNS IQLLKRLGSGQFGEVWEGLWNNTTPVAVKTLKPGSMDPNDFLREAQIMKSLRHPKLIQLY AVCTLEDPIYIITELMRHGSLQEYLQNDGGSKIHLIQQVDMAAQVASGMAYLESQNYIHR DLAARNVLVGEHNIYKVADFGLARVFKVDNEDIYESKHEIKLPVKWTAPEAIRTNKFSIK SDVWSFGILLYEIITYGKMPYSGMTGAQVIQMLSQNYRLPQPSNCPQQFYSIMLECWNVE PKQRPTFETLHWKLEDYFETDCSYSDTNNFIN >ENSMUSP00000021001.8 pep:known chromosome:GRCm38:12:3247430:3309969:-1 gene:ENSMUSG00000020671.8 transcript:ENSMUST00000021001.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab10 description:RAB10, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105066] MAKKTYDLLFKLLLIGDSGVGKTCVLFRFSDDAFNTTFISTIGIDFKIKTVELQGKKIKL QIWDTAGQERFHTITTSYYRGAMGIMLVYDITNGKSFENISKWLRNIDEHANEDVERMLL GNKCDMDDKRVVPKGKGEQIAREHGIRFFETSAKANINIEKAFLTLAEDILRKTPVKEPN SENVDISSGGGVTGWKSKCC >ENSMUSP00000051895.6 pep:known chromosome:GRCm38:16:30307686:30310779:-1 gene:ENSMUSG00000047953.6 transcript:ENSMUST00000061190.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gp5 description:glycoprotein 5 (platelet) [Source:MGI Symbol;Acc:MGI:1096363] MLRSALLSAVLALLRAQPFPCPKTCKCVVRDAAQCSGGSVAHIAELGLPTNLTHILLFRM DQGILRNHSFSGMTVLQRLMLSDSHISAIDPGTFNDLVKLKTLRLTRNKISRLPRAILDK MVLLEQLFLDHNALRDLDQNLFQQLRNLQELGLNQNQLSFLPANLFSSLRELKLLDLSRN NLTHLPKGLLGAQVKLEKLLLYSNQLTSVDSGLLSNLGALTELRLERNHLRSVAPGAFDR LGNLSSLTLSGNLLESLPPALFLHVSSVSRLTLFENPLEELPDVLFGEMAGLRELWLNGT HLSTLPAAAFRNLSGLQTLGLTRNPRLSALPRGVFQGLRELRVLALHTNALAELRDDALR GLGHLRQVSLRHNRLRALPRTLFRNLSSLESVQLEHNQLETLPGDVFAALPQLTQVLLGH NPWLCDCGLWPFLQWLRHHPDILGRDEPPQCRGPEPRASLSFWELLQGDPWCPDPRSLPL DPPTENALEAPVPSWLPNSWQSQTWAQLVARGESPNNRLYWGLYILLLVAQAIIAAFIVF AMIKIGQLFRTLIREKLLLEAMGKSCN >ENSMUSP00000054960.7 pep:known chromosome:GRCm38:10:80832807:80844039:-1 gene:ENSMUSG00000051067.7 transcript:ENSMUST00000053986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lingo3 description:leucine rich repeat and Ig domain containing 3 [Source:MGI Symbol;Acc:MGI:3609246] MTCWLHMLGLHLLLLPTAPLAAGCPARCECSASTRTVACGRRRLTAIPEGIPAETRMLEL SRNRIRCLNPGDLASLPTLEELDLNHNVIAHVEPGAFANLPRLRVLRLRGNQLKLIPPGV FTHLDSLTLLDLSENKLVILLDFSFQDLRSLQRLEVGDNDLVFISRRAFAGLLGLAELTL ERCNLTSLSPESLGHLRGLGALRLRHLAIAALEDQNFQKLPGLSHLEIDNWPLLEEVAPG SLRGLNLTSLSITHTNITAVPAAALRQQAHLTCLNLSHNPISMVPRGSFRDLVRLRELHL AGALLAVIEPQAFVGLRQIRLLNLSDNLLSTLEENTFHSVNTLETLRVDGNPLACDCRLL WIVQRRKTLNFDGRLPACATPAEVRGDALHNLPDSVLFEYFVCRKPKIRERRLQHVTATE GDDVRFLCRAEGEPAPTVAWVTPQHHSVTAASRGRARVLPGGTLTIADTRPQDSGTYTCV ASNAGGNDTYFATLTVQPAANRTQGDGHNETQVGVRFPLDLTTILVSTAMGCITFLGVVL FCFLLLFVWSRGRGQHKNNFSVEYSFRKVDGPAAAAGQGGARKFNMKMI >ENSMUSP00000056642.3 pep:known chromosome:GRCm38:14:37068049:37073735:1 gene:ENSMUSG00000043418.3 transcript:ENSMUST00000057176.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrit2 description:leucine-rich repeat, immunoglobulin-like and transmembrane domains 2 [Source:MGI Symbol;Acc:MGI:2444885] MAFVFYCFLQVLVSWVIHAVQPFCLPECTCSEESFGRSLQCMSMSLGKIPDNFPEELKQV RIENSPLFELSQGFFTNMSSLEYLWLNFNNVTVIHLGALEDLPELRELRLEGNKLRSVPW TAFRATPLLRVLDLKHNRIDSVPELALQFLTNLIYLDISSNRLTVVSKGVFLNWPAYQKR QQLGCGAEFLSNMVLSLHNNPWLCDCRLRGLAQFVKSVGPPFILVNSYLVCQGPVSKAGQ LLHETELGVCMKPTISTPSVNVTIQVGKNVTLQCFAQASPSPTIAWKYPLSTWREFDVLA SPIAEGIILSQLVIPAAQLVDGGNYTCMAFNSIGRSSLVILLYVQPAQAMPGLHFLSTSS EVSAYVDLRVVKQTVHGILLQWLTVTNLAEEQWFTLYITSDEALRKKVVHIGPGINTYAV DDLLPATKYKACLSLRNQPPSQGQCVVFVTGKDSGGLEGREHLLHVTVVLCAVLLALPVG AYVWVSQGPYNFSEWCWRRCPLHRKTLRCPQAVPQCKDNSFKDPSGVYEDGESHRVMEED EEVEKEGIS >ENSMUSP00000025404.8 pep:known chromosome:GRCm38:18:67343564:67367794:1 gene:ENSMUSG00000024526.8 transcript:ENSMUST00000025404.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cidea description:cell death-inducing DNA fragmentation factor, alpha subunit-like effector A [Source:MGI Symbol;Acc:MGI:1270845] METARDYAGALIRPLTFMGLQTKKVLLTPLIHPARPFRVSNHDRSSRRGVMASSLQELIS KTLDVLVITTGLVTLVLEEDGTVVDTEEFFQTLRDNTHFMILEKGQKWTPGSKYVPVCKQ PKKSGIARVTFDLYRLNPKDFLGCLNVKATMYEMYSVSYDIRCTSFKAVLRNLLRFMSYA AQMTGQFLVYAGTYMLRVLGDTEEQPSPKPSTKGWFM >ENSMUSP00000076224.5 pep:known chromosome:GRCm38:16:18220754:18235136:-1 gene:ENSMUSG00000060166.5 transcript:ENSMUST00000076957.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc8 description:zinc finger, DHHC domain containing 8 [Source:MGI Symbol;Acc:MGI:1338012] MPRSPGTRLKPAKYIPVATAAALLVGSSTLFFVFTCPWLTRAVSPAIPVYNGILFLFVLA NFSMATFMDPGVFPRADEDEDKEDDFRAPLYKNVDVRGIQVRMKWCATCHFYRPPRCSHC SVCDNCVEDFDHHCPWVNNCIGRRNYRYFFLFLLSLSAHMVGVVAFGLLYVLNHSEGLGA AHTTITMAVMCVAGLFFIPVIGLTGFHVVLVTRGRTTNEQVTGKFRGGVNPFTRGCYGNV EHVLCSPLAPRYVVEPPRMPLSVSLKPPFLRPELLERAVPLKVKLSDNGLKAGRSKSKGS LDQLDEKPLDLGPPLPPKIEAGTFGRDLKTPRPGSAESALSVQRTSPPTPAMYKFRPAFS TGPKTPFCGPNEQVPGPDSLTLADDSTHSLDFVSEPSLDLPDHGPGGLRPPYPPSPPLNT TDAFSGALRSLSLKAASRRGGDHMTLQPLRSEGGPPTPHRSLFAPHALPNRNGSLSYDSL LNPGSPSGHACPTHPSVGIASYHSPYLHPGPSDPPRPPPRSFSPVLGPRPREPSPVRYDN LSRTIMASIQERKDREERERLLRSQTDSLFGDSGVYDTPSSYSLQQASVLTEGPRGSVLR YGSRDDLVAGPGFGGARNPALQTSLSSLSSSMSRAPRTSSSSLQADQANNNAPGPRPGSG SHRSPARQGLPSPPGTPRSPSYTGSKAVAFIHTDLPDRQPSLAMQRDHPQLKTPPSKLNG QSPGMARLGPAASPMGPNASPARHTLVKKVSGVGGTTYEISV >ENSMUSP00000049020.5 pep:known chromosome:GRCm38:17:25736040:25748330:1 gene:ENSMUSG00000041062.6 transcript:ENSMUST00000047098.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mslnl description:mesothelin-like [Source:MGI Symbol;Acc:MGI:3607710] MSRTLRPSAMGSRVGALASPGLALLLSLTAHCSGPQAKGLPKGGLNASEANTWVRNNTSL LQNFWCLPASQLPREQLSSLIRSLASQRVALKAWQLSCLANLAAQLGLQDDFEFHPPNLL LFYDLSQVGDTNCRAFIHHAAQGDTELLTNLPNQRVALQRTALACLGGPHLQLSASDLWL LGVLVCDMEAAQIVTADPSVLRNLLRCPRLTVMQTAALNTLLASGKTQIGPPGSWNLQGL QALGLLATYISPHLWEKVQEAVGLDFFRSVVAACRAGQLSRHDARRFVDNFLESKATSVS SRPKRRTGRSCVRGNITAATLHDDLFLVHYDCTQLESCLGTRVLRANLDPLLQHPLPAEC QRVVKAKLTQIYPHGIPEDQLHLIPSLVYLYSLAEIGQWNITSGDTVMILLASDAALDNQ TEAVLQRFLDHNGKVTGALLVAIGGSRLCWMSLKQLQFIQPSEFRLAGAPDISPCPQSRK DALFVKAHEVFRNTSNVGEYYYLIRPYLGGAPLEELQYLAQANISMDIDTFTNLNPLTLK SMGVDSVRNLLGQNLGDLRKARNHPNVILWMHSHNMTDLSDMGLYTSPTQAYVANRPPNT AYPPSSLIHSLDPPGNDGVSHTSGSPPVHLGYLSLAVALPSSLLWLLLCQLPSGQMATAH RTLGPMALAQGSWTPEHQIPEKRSC >ENSMUSP00000023555.4 pep:known chromosome:GRCm38:16:35770386:35828446:1 gene:ENSMUSG00000022849.4 transcript:ENSMUST00000023555.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspbap1 description:Hspb associated protein 1 [Source:MGI Symbol;Acc:MGI:1913917] MAAGCEGIAPPTLGERTVGEEGEPVKPFTPEKAKEIIMSLQQPAIFCNMVFDWPSRHWTA KHLSKVLEGKQIRFRMGLRGTGTVPQYETECSYVDATLEEFLTWNCDQSSISGPFKDYEH SKFWAYADYKYFVTLFEDKTDVFQEVVWSDFGFPGRNGQESTLWIGSFGAHTPCHLDSYG CNLVFQVQGRKRWHLFPPEDTPFLYPTRIPYEESSVFSKINVVNPDLKCFPQFQKARRHM VTLSPGQVLFVPRHWWHYVESLDPVTVSINSWIELEEDHLARVEEAITRMLVCTLKTAED PHHPRTWLNPTEVEETSHEVNSCYLNSAVCAFFDHCEKAKAVELQVLSANGAEPRVQEEH MEVEQAQGPSLTSRAEKQEAVSLFGPDLIPVTPASEERGGALEGDSEESVSSNGGHFAEL PCARRQQTSKGASALTEPLAPRGPMAHSRVFVSTDDLLDCLVNPQVTRMVAQLLIQGKSC DTF >ENSMUSP00000011623.7 pep:known chromosome:GRCm38:17:57066056:57078510:-1 gene:ENSMUSG00000002668.7 transcript:ENSMUST00000011623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd1c description:DENN/MADD domain containing 1C [Source:MGI Symbol;Acc:MGI:1918035] MGSTETRHPPAMFDWFFEAGCPNSLEEDPPILRQFPPDFQEQEAMQMVPRFCFPFDIERE PPSPAVQHFTFALTDLVGNRRFGFCRLRAGARSCLCILSHFPWFEVFYKILNNVGDLLAQ NQVAEAEELLQNLQQHPLLGPRFSGRSEMDSSITVRSECGILPPALGNSKLLSCFVAPDA ASLPSIPENRNLTELVVAVTDENIVGLFAALLAERRVLLTASKLSTLTACVHASCALLYP MRWEHVLIPTLPPHLLDYCCAPMPYLIGVHGSLAERVREKALEDVVVLNADSNTLETPFD DVQALPPDVVSLLRLRLRKVALSPGEGVSRLFLKVQALLFGGYRDALVCIPGQPVTFSEE AFLAQKPGAPLQAFHKKAVHLQLFKQFIESRLEKLNAGEGFSDQFEQEIIACRGASSGTL RSYQLWVDSLKKGSDALLHSMKTKTQPAVRNMYRSGDSLQEYCASKAKSGLKGMQNLLTI KDGDSGLQRGGSLRTPSLTSRSDRLQQRLPISQHFGQNRPLRPSRRLKTEEGPSEPLRER SPTLSPGDTQNPWAEDTLDGSFLGSGEELDLLSEILDSLNVETKSGDLQRASQSLDCCQR GAASESCSSLPDIPVGLPWQLEEDKRSQDPQPWSLPGDLSLLQDTPFSEVVSYSKNSCSQ PFQQSPPSQGDPGPSLSKLDPRPSQSPCPKLLRVPTRHSPPESPQLLVSTEPNSDAVQRL QSISSPSCSHSAENPRNQPPQVLLGQACVQPLEELGAPTYVSHVSTQQRPQDKQPRVADL KKCFEN >ENSMUSP00000068314.8 pep:known chromosome:GRCm38:16:4886100:4938296:1 gene:ENSMUSG00000022517.16 transcript:ENSMUST00000070658.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgrn1 description:mahogunin, ring finger 1 [Source:MGI Symbol;Acc:MGI:2447670] MGSILSRRIAGVEDIDIQANSAYRYPPKSGNYFASHFFMGGEKFDTPHPEGYLFGENMDL NFLGSRPVQFPYVTPAPHEPVKTLRSLVNIRKDSLRLVRYKEDADSPTEDGEKPRVLYSL EFTFDADARVAITIYCQAVEELVNGVAVYSCKNPSLQSETVHYKRGVSQQFSLPSFKIDF SEWKDDELNFDLDRGVFPVVIQAVVDEGDVVEVTGHAHVLLAAFEKHVDGSFSVKPLKQK QIVDRVSYLLQEIYGIENKNNQETKPSDDENSDNSSECVVCLSDLRDTLILPCRHLCLCT SCADTLRYQANNCPICRLPFRALLQIRAVRKKPGALSPISFSPVLAQSVDHDEHSSSDSI PPGYEPISLLEALNGLRAVSPAIPSAPLYEEITYSGISDGLSQASCPLAGLDRIMESGLQ KGKTQSKSPDSTLRSPSFPIHEEDEEKLSEDSDAPLPPSGVELVLRESSSPESFGTEEGD EPSLKQGSRVPSIDDVLQDGSPQHHGCSQPVPPADIYLPALGPESCSVGIEE >ENSMUSP00000023159.8 pep:known chromosome:GRCm38:16:4886100:4938296:1 gene:ENSMUSG00000022517.16 transcript:ENSMUST00000023159.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgrn1 description:mahogunin, ring finger 1 [Source:MGI Symbol;Acc:MGI:2447670] MGSILSRRIAGVEDIDIQANSAYRYPPKSAGNYFASHFFMGGEKFDTPHPEGYLFGENMD LNFLGSRPVQFPYVTPAPHEPVKTLRSLVNIRKDSLRLVRYKEDADSPTEDGEKPRVLYS LEFTFDADARVAITIYCQAVEELVNGVAVYSCKNPSLQSETVHYKRGVSQQFSLPSFKID FSEWKDDELNFDLDRGVFPVVIQAVVDEGDVVEVTGHAHVLLAAFEKHVDGSFSVKPLKQ KQIVDRVSYLLQEIYGIENKNNQETKPSDDENSDNSSECVVCLSDLRDTLILPCRHLCLC TSCADTLRYQANNCPICRLPFRALLQIRAVRKKPGALSPISFSPVLAQSVDHDEHSSSDS IPPGYEPISLLEALNGLRAVSPAIPSAPLYEEITYSGISDGLSQASCPLAGLDRIMESGL QKGKTQSKSPDSTLRSPSFPIHEEDEEKLSEDSDAPLPPSGVELVLRESSSPESFGTEEG DEPSLKQGSRVPSIDDVLQDGSPQHHGCSQPVPPADIYLPALGPESCSVGIEE >ENSMUSP00000082288.5 pep:known chromosome:GRCm38:12:84430723:84450950:-1 gene:ENSMUSG00000021238.10 transcript:ENSMUST00000085192.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh6a1 description:aldehyde dehydrogenase family 6, subfamily A1 [Source:MGI Symbol;Acc:MGI:1915077] MAAAVAAAAAMRSRILQVSSKVNATWYPASSFSSSSVPTVKLFIDGKFVESKSDKWIDIH NPATNEVVGRVPQSTKAEMDAAVESCKRAFPAWADTSILSRQQVLLRYQQLIKENLKEIA RLITLEQGKTLADAEGDVFRGLQVVEHACSVTSLMLGETMPSITKDMDLYSYRLPLGVCA GIAPFNFPAMIPLWMFPMAMVCGNTFLMKPSERVPGATMLLAKLLQDSGAPDGTLNIIHG QHDAVNFICDHPDIKAISFVGSNQAGEYIFERGSRNGKRVQANMGAKNHGVVMPDANKEN TLNQLVGAAFGAAGQRCMALSTAILVGEAKKWLPELVDRAKNLRVNAGDQPGADLGPLIT PQAKERVCNLIDSGTKEGASILLDGRRIKVKGYENGNFVGPTIISNVKPSMTCYKEEIFG PVLVVLETETLDEAIKIVNDNPYGNGTAIFTTNGATARKYAHMVDVGQVGVNVPIPVPLP MFSFTGSRSSFRGDTNFYGKQGIQFYTQLKTITSQWKEEDATLSSPAVVMPTMGR >ENSMUSP00000050727.3 pep:known chromosome:GRCm38:8:40675094:40682199:1 gene:ENSMUSG00000046723.3 transcript:ENSMUST00000051614.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam24 description:a disintegrin and metallopeptidase domain 24 (testase 1) [Source:MGI Symbol;Acc:MGI:105984] MVAMSEALVHARITLLQAWLRMLLFSSVWPPTWCAEYKGPPETVKPLRVIVSSKDMSLAG WMSYSLYFGGQRHIISMKSKNFLESRQLPVFTYNDQGVLFEDRPFVQNDCYYLGFVDGDL ESMAALTTCFGGFQGILQINDTAYEIKPKSPSSTFEHLLYKIDSEKTQLRPMRCGLTDEE IAGQVRLQENGKSTRMQSIYGSWWSHGLYIKLALVIDHEQYLYRKKNTSLVIRDVLSIMQ GINLFLLSVDINVVLLGLTIWTNGNPIPVQDIYALLPAFCTWKGTNLDSQIPYDIAHLFV NYTFSNYFGIAYVGTVCDKTFGCGIDSIAEDDFLTIGHIVAHEIGHNLGMSHDGILCTCG EESCLMSATMDSSQKLSNCSYEVLWAHMINKSCIHREPRPSDIFQLKVCGNGIVEEGEQC DCGSSENCRRNRCCMPSCTLRSKAKCDTGLCCNRKCQIQPSGTLCRARENECDLPEWCNG TSHECPEDLFVQDGTSCPGDGYCYEKRCNSHDVHCQRVFGQLAMKASDSCYKELNTRGDR FGNCGFINNEYVRCEISDILCGRIQCDKVGTLPILQNHYTIHWTHFNSVSCWSTDYHLGM KIADLGDIKDGTNCGPQHVCIARKCVNKPSWVNDCTPETCNMKGVCNNKQHCHCDVGWSP PNCQETGTGGSIDSGSPGNEVYEDEVVSKKDAPEKPNVIIWLLPIICVAVVLSVLFCLSG ATKKSREAAASQPAEERVKPPYEGAEPSYETVKPPDEWANP >ENSMUSP00000020904.6 pep:known chromosome:GRCm38:12:16894978:16988274:1 gene:ENSMUSG00000020580.9 transcript:ENSMUST00000020904.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rock2 description:Rho-associated coiled-coil containing protein kinase 2 [Source:MGI Symbol;Acc:MGI:107926] MSRPPPTGKMPGAPETAPGDGAGAGRQRKLEALIRDPRSPINVESLLDGLNSLVLDLDFP ALRKNKNIDNFLNRYEKIVKKIRGLQMKAEDYDVVKVIGRGAFGEVQLVRHKASQKVYAM KLLSKFEMIKRSDSAFFWEERDIMAFANSPWVVQLFCAFQDDRYLYMVMEYMPGGDLVNL MSNYDVPEKWAKFYTAEVVLALDAIHSMGLIHRDVKPDNMLLDKHGHLKLADFGTCMKMD ETGMVHCDTAVGTPDYISPEVLKSQGGDGYYGRECDWWSVGVFLFEMLVGDTPFYADSLV GTYSKIMDHKNSLCFPEDTEISKHAKNLICAFLTDREVRLGRNGVEEIKQHPFFKNDQWN WDNIRETAAPVVPELSSDIDSSNFDDIEDDKGDVETFPIPKAFVGNQLPFIGFTYFRENL LLSDSPPCRENDAIQTRKSEESQEIQKKLYALEEHLSSEVQAKEELEQKCKSINTRLEKT AKELEEEITLRKSVESTLRQLEREKALLQHKNAEYQRKADHEADKKRNLENDVNSLKDQL EDLKKRNQSSQISTEKVNQLQKQLDEANALLRTESDTAARLRKTQAESSKQIQQLESNNR DLQDKNCLLETAKLKLEKEFINLQSALESERRDRTHGSEIINDLQGRISGLEEDLKTGKA LLAKVELEKRQLQEKLTDLEKEKSNMEIDMTYQLKVIQQSLEQEEAEHKTTKARLADKNK IYESIEEAKSEAMKEMEKKLLEERSLKQKVENLLLEAEKRCSILDCDLKQSQQKLNELLK QKDVLNEDVRNLTLKIEQETQKRCLMQNDLKMQTQQVNTLKMSEKQIKQENNHLMEMKMN LEKQNTELRKERQDADGQMKELQDQLEAEQYFSTLYKTQVRELKEENEEKTKLCKELQQK KQDLQDERDSLAAQLEITLTKADSEQLARSIAEEQYSDLEKEKIMKELEIKEMMARHKQE LTEKDTTIASLEETNRTLTSDVANLANEKEELNNKLKDSQEQLSKLKDEEMSAAAIKAQF EKQLLNERTLKTQAVNKLAEIMNRKEPVKRGSDTDVRRKEKENRKLHMELKSEREKLTQQ MIKYQKELNEMQAQIAEESQIRIELQMTLDSKDSDIEQLRSQLQALHIGMDSSSIGSGPG DAEPDDGFPESRLEGWLSLPVRNNTKKFGWVKKYVIVSSKKILFYDSEQDKEQSNPYMVL DIDKLFHVRPVTQTDVYRADAKEIPRIFQILYANEGESKKEPEFPVEPVGEKSNYICHKG HEFIPTLYHFPTNCEACMKPLWHMFKPPPALECRRCHIKCHKDHMDKKEEIIAPCKVYYD ISSAKNLLLLANSTEEQQKWVSRLVKKIPKKPPAPDPFARSSPRTSMKIQQNQSIRRPSR QLAPNKPS >ENSMUSP00000045530.5 pep:known chromosome:GRCm38:8:66697422:66706798:1 gene:ENSMUSG00000036437.5 transcript:ENSMUST00000039303.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npy1r description:neuropeptide Y receptor Y1 [Source:MGI Symbol;Acc:MGI:104963] MNSTLFSKVENHSIHYNASENSPLLAFENDDCHLPLAVIFTLALAYGAVIILGVSGNLAL IIIILKQKEMRNVTNILIVNLSFSDLLVAVMCLPFTFVYTLMDHWVFGETMCKLNPFVQC VSITVSIFSLVLIAVERHQLIINPRGWRPNNRHAYIGITVIWVLAVASSLPFVIYQILTD EPFQNVSLAAFKDKYVCFDKFPSDSHRLSYTTLLLVLQYFGPLCFIFICYFKIYIRLKRR NNMMDKIRDSKYRSSETKRINIMLLSIVVAFAVCWLPLTIFNTVFDWNHQIIATCNHNLL FLLCHLTAMISTCVNPIFYGFLNKNFQRDLQFFFNFCDFRSRDDDYETIAMSTMHTDVSK TSLKQASPVAFKKISMNDNEKV >ENSMUSP00000022821.6 pep:known chromosome:GRCm38:14:55478758:55490338:1 gene:ENSMUSG00000022210.6 transcript:ENSMUST00000022821.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs4 description:dehydrogenase/reductase (SDR family) member 4 [Source:MGI Symbol;Acc:MGI:90169] MQKAGRLLGGWTQAWMSVRMASSGLTRRNPLSNKVALVTASTDGIGFAIARRLAEDGAHV VVSSRKQQNVDRAVATLQGEGLSVTGIVCHVGKAEDREKLITTALKRHQGIDILVSNAAV NPFFGNLMDVTEEVWDKVLSINVTATAMMIKAVVPEMEKRGGGSVVIVGSVAGFTRFPSL GPYNVSKTALLGLTKNFAAELAPKNIRVNCLAPGLIKTRFSSVLWEEKAREDFIKEAMQI RRLGKPEDCAGIVSFLCSEDASYINGETVVVGGGTPSRL >ENSMUSP00000076827.5 pep:known chromosome:GRCm38:17:32621319:32636184:1 gene:ENSMUSG00000062464.5 transcript:ENSMUST00000077639.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f37 description:cytochrome P450, family 4, subfamily f, polypeptide 37 [Source:MGI Symbol;Acc:MGI:3780112] MLRLSVSGLDLGSVVTLSWHLLLLGAASWILARILAWTYSFCENCSRLRCFPQPPKRNWF LGHLGMIEHNEEGMQLMTEAGQTYRDVHLCWLGPVIPVLRIVDPAFVAPLLLASALVAPK DTTFHTFVKPWLGDGLFLNSGDKWSRHRRLLTPAFHFDILKPYVKIFNQSVNIMHAKWKH LSSEGSARLEMFEHISLMTLDSLQKCLFGFDSNCQESPSEYISAILELSSLVIKRSHQLF LFVDFLYYHTADGRRFRKACDLVHNFTDAVIRERRHTLSSQNHDEFLKSKTKSKTLDFID VLLLAKDEHGKELSDEDIRAEADTFMFGGHDTTASALSWILYNLARHPEYQERCRQEVQE LLRGREPQEIEWDDLAQLPFLTMCIKESLRLHPPVIDLLRRCTRDIVLPDGRVIPKGNIC VISIFGIHHNPSVWPDPEVYDPFRFDPENAHKRPPLAFIPFSAGPRNCIGQTFAMNEMMV ALALTLLRFRILPDDKEPRRKPEIILRAEGGLWLRVEPLSTVLKDSKPDLEASLPHPPIV LSGSQN >ENSMUSP00000128661.1 pep:known chromosome:GRCm38:12:17544873:17551502:1 gene:ENSMUSG00000011179.7 transcript:ENSMUST00000171737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odc1 description:ornithine decarboxylase, structural 1 [Source:MGI Symbol;Acc:MGI:97402] MSSFTKDEFDCHILDEGFTAKDILDQKINEVSSSDDKDAFYVADLGDILKKHLRWLKALP RVTPFYAVKCNDSRAIVSTLAAIGTGFDCASKTEIQLVQGLGVPAERVIYANPCKQVSQI KYAASNGVQMMTFDSEIELMKVARAHPKAKLVLRIATDDSKAVCRLSVKFGATLKTSRLL LERAKELNIDVIGVSFHVGSGCTDPETFVQAVSDARCVFDMATEVGFSMHLLDIGGGFPG SEDTKLKFEEITSVINPALDKYFPSDSGVRIIAEPGRYYVASAFTLAVNIIAKKTVWKEQ PGSDDEDESNEQTFMYYVNDGVYGSFNCILYDHAHVKALLQKRPKPDEKYYSSSIWGPTC DGLDRIVERCNLPEMHVGDWMLFENMGAYTVAAASTFNGFQRPNIYYVMSRPMWQLMKQI QSHGFPPEVEEQDDGTLPMSCAQESGMDRHPAACASARINV >ENSMUSP00000098098.3 pep:known chromosome:GRCm38:14:55854036:55874291:1 gene:ENSMUSG00000075592.9 transcript:ENSMUST00000100529.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nynrin description:NYN domain and retroviral integrase containing [Source:MGI Symbol;Acc:MGI:2652872] MLLSGGKPPAQEWFMVQTKSKPRVHRQRLQVQRIFRVKVTAFQSRPDTPYFWLQLEGPRE NTGKAKEYLKGLCNPELWKEVRYPPVLHCAFLGAQGLFLDCLCWSTLAYLVPGPPGSLMV GGLTESFTMTQNWLEELVARLRWGPAPMITPRGVWETEVTRAFGALVWIRCDKYAGDLLQ LPPAVQELLLSLVRDAAGKEDIIEWLGHFGISGTCPNPEILICLARQQKESASLVTIKES PGTLQEIGALNRASENSKKTTSSGAAGSLTQAQSPPAQETADQLARDQSNKQGDETNSVG EEGTATQDTSSQDSENPTQALLQQKQVPKNEERISLLLPVSALSAYTSWKVWAPGTAFGP SWPGTIAATFWKINELQSLHLAWLLSQACLNFPFWQRPTGPIQLKLPGRNPLPLKLEWKQ KELVPLSSAGSPACRPGGDLGRETALKHSPRPEIPSKIISLSVVPGGCGIKEKVSPGLLQ VGQSSTSVGDKGISLSDCKGLEKPFSLALSTEQGGSTAQERPLAQVPEAPTVSETLQVAT AAEVSNVEHPPTGEGLPATPKVPTALKKPAVYTEPTAPKVPSAPTEPAAPATPTAPQTPT AQKTPSVKTLAGLQTPKVQSETIATAGSEVPKAPAASAVAGPTVDVAQLLSEVQASKNRA IMLKVQGKPGRQGFQPSSTVPSRSKHQFLKEGLLGAWEGSQRLSPHSQGTNIVTSFQRYN EALNTPFEMNLSEEPGNPGLRRVVIDGSSVAMVHGLQHFFSCRGIAMAVQYFWNRGHREI TVFVPTWQLKKNRRVRESHFLTKLHRLKMLSITPSQLENGKKITTYDYRFMVKLAEETDG VIVTNEQIHILMNNSKKLMVKDRLLPFTFAGSLFMVPDDPLGRDGPTLEEFLKKPNRLDM DIGNFLKVWKTLPPSSASISELSDDADAEPLEDPQDVEEAGKEEGSLEEEPGIPKPDEED EQDTNPVSVFGVECPSFSEEILQCLSLHDPSEGTLDIDLLPVVSSPYLDVPWDGKAPCQQ VLAQLAQLNIPSNFTALSFFMGFMDSHRDVISDYEDLVGPLHGLLKQKPDWQWNQEHEKS FLALKRALVCALCLSTPNPNLPFYLEVTVSQVSLTASLHQEHSGRKHPIAYTSKPLLPDE DSEGPQSGGDSPYAVAWALKHFARCVGDNPVVLRLSYASRTTVDNEAWDSRRASKAWLIR WSLLLQDKGKRELELSLLQGLLGENQLLTPPSSMPRVFQPLPPSSDLSTFICVHVSGYCF YRDDELCAGFGLYILSPTSPPVSLAFSCSPYTTTYAHLAAVACGLERFGQSQHPVVFLTH CNWIFSVLWELLPLWRVRGFLSSDGASLPHPSLLSYIISLTSGFSPLPFIYRTSYRGSLF AVTVDTLAKQGAQGGGQWWDLPKDVPVPMVTPHPKGRKPNLLALQLSDTTLADIIAKLQA GQKLSGPSPFSSAFNSLSLDQDSGLLMFKGERHPRVWVVPRQLRRDLIFSVHDSPIGEHQ GLEDTYKTVRLLGWWPGMQDHVRDYCRSCLFCIPRNLIGGELKVIESPWPLRSTAPWSSL QIEVVGPVTVSEEGHKHVLIVADANTRWVEAFPLKPYTHVAVAQVLLQHVFARWGVPIRL EAAQGPQFARHVLVSCGLALGAQVTTLSRALQFPCLMSSEAYWEFKRALKEFIFLYGKKW AASLPLLHLAFRASTTEATPFQVLTGGEMKLMEPVWWEMSRANIEGLKMDAFLLQLMREL LDLHWRVAEKASEKAENRRFKRESQENEWSVGDQVLLLSLPRNGSSAKWMGPFYIGDRLS LSLYRVWGFPVPDKLGCVYPSSLMKAFPKHDTPLSLDVEQ >ENSMUSP00000129557.1 pep:known chromosome:GRCm38:14:55854115:55874735:1 gene:ENSMUSG00000075592.9 transcript:ENSMUST00000168479.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nynrin description:NYN domain and retroviral integrase containing [Source:MGI Symbol;Acc:MGI:2652872] MLLSGGKPPAQEWFMVQTKSKPRVHRQRLQVQRIFRVKVTAFQSRPDTPYFWLQLEGPRE NTGKAKEYLKGLCNPELWKEVRYPPVLHCAFLGAQGLFLDCLCWSTLAYLVPGPPGSLMV GGLTESFTMTQNWLEELVARLRWGPAPMITPRGVWETEVTRAFGALVWIRCDKYAGDLLQ LPPAVQELLLSLVRDAAGKEDIIEWLGHFGISGTCPNPEILICLARQQKESASLVTIKES PGTLQEIGALNRASENSKKTTSSGAAGSLTQAQSPPAQETADQLARDQSNKQGDETNSVG EEGTATQDTSSQDSENPTQALLQQKQVPKNEERISLLLPVSALSAYTSWKVWAPGTAFGP SWPGTIAATFWKINELQSLHLAWLLSQACLNFPFWQRPTGPIQLKLPGRNPLPLKLEWKQ KELVPLSSAGSPACRPGGDLGRETALKHSPRPEIPSKIISLSVVPGGCGIKEKVSPGLLQ VGQSSTSVGDKGISLSDCKGLEKPFSLALSTEQGGSTAQERPLAQVPEAPTVSETLQVAT AAEVSNVEHPPTGEGLPATPKVPTALKKPAVYTEPTAPKVPSAPTEPAAPATPTAPQTPT AQKTPSVKTLAGLQTPKVQSETIATAGSEVPKAPAASAVAGPTVDVAQLLSEVQASKNRA IMLKVQGKPGRQGFQPSSTVPSRSKHQFLKEGLLGAWEGSQRLSPHSQGTNIVTSFQRYN EALNTPFEMNLSEEPGNPGLRRVVIDGSSVAMVHGLQHFFSCRGIAMAVQYFWNRGHREI TVFVPTWQLKKNRRVRESHFLTKLHRLKMLSITPSQLENGKKITTYDYRFMVKLAEETDG VIVTNEQIHILMNNSKKLMVKDRLLPFTFAGSLFMVPDDPLGRDGPTLEEFLKKPNRLDM DIGNFLKVWKTLPPSSASISELSDDADAEPLEDPQDVEEAGKEEGSLEEEPGIPKPDEED EQDTNPVSVFGVECPSFSEEILQCLSLHDPSEGTLDIDLLPVVSSPYLDVPWDGKAPCQQ VLAQLAQLNIPSNFTALSFFMGFMDSHRDVISDYEDLVGPLHGLLKQKPDWQWNQEHEKS FLALKRALVCALCLSTPNPNLPFYLEVTVSQVSLTASLHQEHSGRKHPIAYTSKPLLPDE DSEGPQSGGDSPYAVAWALKHFARCVGDNPVVLRLSYASRTTVDNEAWDSRRASKAWLIR WSLLLQDKGKRELELSLLQGLLGENQLLTPPSSMPRVFQPLPPSSDLSTFICVHVSGYCF YRDDELCAGFGLYILSPTSPPVSLAFSCSPYTTTYAHLAAVACGLERFGQSQHPVVFLTH CNWIFSVLWELLPLWRVRGFLSSDGASLPHPSLLSYIISLTSGFSPLPFIYRTSYRGSLF AVTVDTLAKQGAQGGGQWWDLPKDVPVPMVTPHPKGRKPNLLALQLSDTTLADIIAKLQA GQKLSGPSPFSSAFNSLSLDQDSGLLMFKGERHPRVWVVPRQLRRDLIFSVHDSPIGEHQ GLEDTYKTVRLLGWWPGMQDHVRDYCRSCLFCIPRNLIGGELKVIESPWPLRSTAPWSSL QIEVVGPVTVSEEGHKHVLIVADANTRWVEAFPLKPYTHVAVAQVLLQHVFARWGVPIRL EAAQGPQFARHVLVSCGLALGAQVTTLSRALQFPCLMSSEAYWEFKRALKEFIFLYGKKW AASLPLLHLAFRASTTEATPFQVLTGGEMKLMEPVWWEMSRANIEGLKMDAFLLQLMREL LDLHWRVAEKASEKAENRRFKRESQENEWSVGDQVLLLSLPRNGSSAKWMGPFYIGDRLS LSLYRVWGFPVPDKLGCVYPSSLMKAFPKHDTPLSLDVEQ >ENSMUSP00000007340.2 pep:known chromosome:GRCm38:14:56365068:56388551:1 gene:ENSMUSG00000022229.2 transcript:ENSMUST00000007340.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp12a description:ATPase, H+/K+ transporting, nongastric, alpha polypeptide [Source:MGI Symbol;Acc:MGI:1926943] MRRKTEIYSVELNGTKDVELADQKDDKKFKGGKNKDSEPNKSQEEELKKELDLDDHRLSN TDLEQKYGTNIIQGLSSIRAAELLARDGPNALTPPKQTPEIIKFLKQMVGGFSILLWIGA ALCWIAYVIQYVSSTASLDNVYLGAILVLVVILTGIFAYYQEAKSTNIMASFSKMIPQQA LVIRDAEKKIIPAEQLVVGDVVEIKGGDQIPADIRLVFSQGCKVDNSSLTGESEPQARST EFTHENPLETKNIGFYSTTCLEGTATGIVINTGDRTIIGRIASLASGVGSEKTPIAIEIE HFVHIVAAVAVSVGVIFFITAVCMKYYVLDAIIFLISIIVANVPEGLLATVTVTLSLTAK RMAKKNCLVKNLEAVETLGSTSIICSDKTGTLTQNRMTVAHLWFDNQIFVADTSENQTKQ AFDQSSGTWASLSKIITLCNRAEFRPGQESVPIMKRVVVGDASETALLKFSEVILGDVMD IRKRNHKVAEIPFNSTNKFQLSIHETEDPNDKRFLMVMKGAPERILEKCSTIMINGQEQP LDKSSADAFHTAYMELGGLGERVLGFCHLYLPADKFPQSYTFDVDSINFPTSNLCFVGLL SMIDPPRSTVPDAVSKCRSAGIKVIMVTGDHPITAKAIAKSVGIISANNETVEDIAKRRN IAVEQVNKREAKAAVVTGMELKDMTPEQLDELLINYQEIVFARTSPQQKLIIVEGCQRQD AVVAVTGDGVNDSPALKKADIGIAMGIAGSDAAKNAADMVLLDDNFASIVTGVEEGRLIF DNLKKTIAYTLTKNIAELCPFLIYIVAGLPLPIGTITILFIDLGTDIIPSIALAYEKAES DIMNRKPRHKKKDRLVNKQLAIYSYLHIGLMQALGGFLVYFTVYAQQGFWPTSLINLRVS WETDDINDLEDSYGQEWTRYQRKYLEWTGSTAFFVAIMVQQIADLIIRKTRRNSIFQQGL FRNKVIWVGIISQIIVALVLSYGLGSVTALSFTMLRAQYWFVAVPHAILIWVYDEMRKLF IRLYPGSWWDKNMYY >ENSMUSP00000058002.6 pep:known chromosome:GRCm38:8:123212858:123222045:1 gene:ENSMUSG00000048478.6 transcript:ENSMUST00000060133.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata33 description:spermatogenesis associated 33 [Source:MGI Symbol;Acc:MGI:2444920] MGQSKSKPREKKEEEKSTTTLVTKSKEKVMEKEAKQSDKESQPAESLLFATSKHSRPSSS SEDKPETKQRSSKKRSVIPQIIITRASNETLISYGIPDNDEQRTIREHADWGPYHRHRSP STIAAYDVHNTE >ENSMUSP00000041915.6 pep:known chromosome:GRCm38:10:7691247:7713586:1 gene:ENSMUSG00000040021.12 transcript:ENSMUST00000040043.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lats1 description:large tumor suppressor [Source:MGI Symbol;Acc:MGI:1333883] MKRGEKPEGYRQMRPKTFPASNYPGSSRQMLQEIRESLRNLSKPSDASKAEHNLNKMSTE DPRQVRNPPKFGTHHKALQEIRNSLLPFANETSSSRSPSEVNPQMFQDLQAAGFDEDMVI QALQKTNNRSIEAAVEFISKMSYQDPRREQMSAAAARPINATMKPGNVQHSINRKQSWKG SKESLVPQRHGPSLGENVVYRSESPNSQADVGRPLSGSGIAAFAQAHPSNGQRVNPPPPP QVRSVTPPPPPRGQTPPPRGTTPPPPSWEPSSQTKRYSGNMEYVISRISPVPPGAWQEGY PPPPLTTSPMNPPSQAQRAISSVPVGRQPIIMQSTSKFNFTPGRPGVQNGGGQSDFIVHQ NVPTGSVTRQPPPPYPLTPANGQSPSALQTGASAAPPSFANGNVPQSMMVPNRNSHNMEL YNINVPGLQTAWPQSSSAPAQSSPSGGHEIPTWQPNIPVRSNSFNNPLGSRASHSANSQP SATTVTAITPAPIQQPVKSMRVLKPELQTALAPTHPSWMPQPVQTVQPTPFSEGTASSVP VIPPVAEAPSYQGPPPPYPKHLLHQNPSVPPYESVSKPCKDEQPSLPKEDDSEKSADSGD SGDKEKKQITTSPITVRKNKKDEERRESRIQSYSPQAFKFFMEQHVENVLKSHQQRLHRK KQLENEMMRVGLSQDAQDQMRKMLCQKESNYIRLKRAKMDKSMFVKIKTLGIGAFGEVCL ARKVDTKALYATKTLRKKDVLLRNQVAHVKAERDILAEADNEWVVRLYYSFQDKDNLYFV MDYIPGGDMMSLLIRMGIFPENLARFYIAELTCAVESVHKMGFIHRDIKPDNILIDRDGH IKLTDFGLCTGFRWTHDSKYYQSGDHPRQDSMDFSNEWGDPSNCRCGDRLKPLERRAARQ HQRCLAHSLVGTPNYIAPEVLLRTGYTQLCDWWSVGVILFEMLVGQPPFLAQTPLETQMK VINWQTSLHIPPQAKLSPEASDLIIKLCRGPEDRLGKNGADEIKAHPFFKTIDFSSDLRQ QSASYIPKITHPTDTSNFDPVDPDKLWSDGSEEENISDTLNGWYKNGKHPEHAFYEFTFR RFFDDNGYPYNYPKPIEYEYIHSQGSEQQSDEDDQHTSSDGNNRDLVYV >ENSMUSP00000132078.1 pep:known chromosome:GRCm38:10:7681209:7716458:1 gene:ENSMUSG00000040021.12 transcript:ENSMUST00000165952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lats1 description:large tumor suppressor [Source:MGI Symbol;Acc:MGI:1333883] MKRGEKPEGYRQMRPKTFPASNYPGSSRQMLQEIRESLRNLSKPSDASKAEHNLNKMSTE DPRQVRNPPKFGTHHKALQEIRNSLLPFANETSSSRSPSEVNPQMFQDLQAAGFDEDMVI QALQKTNNRSIEAAVEFISKMSYQDPRREQMSAAAARPINATMKPGNVQHSINRKQSWKG SKESLVPQRHGPSLGENVVYRSESPNSQADVGRPLSGSGIAAFAQAHPSNGQRVNPPPPP QVRSVTPPPPPRGQTPPPRGTTPPPPSWEPSSQTKRYSGNMEYVISRISPVPPGAWQEGY PPPPLTTSPMNPPSQAQRAISSVPVGRQPIIMQSTSKFNFTPGRPGVQNGGGQSDFIVHQ NVPTGSVTRQPPPPYPLTPANGQSPSALQTGASAAPPSFANGNVPQSMMVPNRNSHNMEL YNINVPGLQTAWPQSSSAPAQSSPSGGHEIPTWQPNIPVRSNSFNNPLGSRASHSANSQP SATTVTAITPAPIQQPVKSMRVLKPELQTALAPTHPSWMPQPVQTVQPTPFSEGTASSVP VIPPVAEAPSYQGPPPPYPKHLLHQNPSVPPYESVSKPCKDEQPSLPKEDDSEKSADSGD SGDKEKKQITTSPITVRKNKKDEERRESRIQSYSPQAFKFFMEQHVENVLKSHQQRLHRK KQLENEMMRVGLSQDAQDQMRKMLCQKESNYIRLKRAKMDKSMFVKIKTLGIGAFGEVCL ARKVDTKALYATKTLRKKDVLLRNQVAHVKAERDILAEADNEWVVRLYYSFQDKDNLYFV MDYIPGGDMMSLLIRMGIFPENLARFYIAELTCAVESVHKMGFIHRDIKPDNILIDRDGH IKLTDFGLCTGFRWTHDSKYYQSGDHPRQDSMDFSNEWGDPSNCRCGDRLKPLERRAARQ HQRCLAHSLVGTPNYIAPEVLLRTGYTQLCDWWSVGVILFEMLVGQPPFLAQTPLETQMK VINWQTSLHIPPQAKLSPEASDLIIKLCRGPEDRLGKNGADEIKAHPFFKTIDFSSDLRQ QSASYIPKITHPTDTSNFDPVDPDKLWSDGSEEENISDTLNGWYKNGKHPEHAFYEFTFR RFFDDNGYPYNYPKPIEYEYIHSQGSEQQSDEDDQHTSSDGNNRDLVYV >ENSMUSP00000096994.2 pep:known chromosome:GRCm38:10:86779005:86838389:1 gene:ENSMUSG00000054027.7 transcript:ENSMUST00000099396.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5dc3 description:5'-nucleotidase domain containing 3 [Source:MGI Symbol;Acc:MGI:3513266] MATVAAAARGAGARAAAGLRSCGGAVARERPRSGCARRLCSAPAAPAAVDMKSYLWARYH EAKRSTDELVPSIMNNLLNPDAIFSNNEMSLSDIEIYGFDYDYTLVFYSKHLHTLIFNAA RDLLINEHRYPVEIRKYEYDPSFAIRGLHYDVQRAVLMKIDAFHYIQMGTVYRGLSVVPD EEVIDMYEGSHVPLEQMSDFYGKSSHGNTMKQFMDIFSLPEMTLLSCVNEHFLKNNIDYE PVHLYKDVKDSIRDVHIKGIMYRAIEADIEKYICYADQTRAVLAKLAAHGKKMFLITNSP SSFVDKGMRYIVGKDWRDLFDVVIVQAEKPNFFNDKRRPFRKVNEKGVLLWDKIHKLQKG QIYKQGNLYEFLKLTGWRGSKVLYFGDHIYSDLADLTLKHGWRTGAIIPELRSELRIMNT EQYIQTMTWLQTLTGLLEQMQVHRDAESQLVLQEWKKERKEMREMTKSFFNAQFGSLFRT DQNPTYFLRRLSRFADIYMASLSCLLNYDVHHTFYPRRTPLQHELPAWSDSPSAFKAPLL QEAQAK >ENSMUSP00000105965.2 pep:known chromosome:GRCm38:15:37967328:38078853:-1 gene:ENSMUSG00000037487.6 transcript:ENSMUST00000110336.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr5 description:ubiquitin protein ligase E3 component n-recognin 5 [Source:MGI Symbol;Acc:MGI:1918040] MTSIHFVVHPLPGTEDQLNDRLREVSEKLNKYNLNSHPPLNVLEQATIKQCVVGPNHAAF LLEDGRICRIGFSVQPDRLELGKPDNNDGSKLNSSSGTGRTSRPGRTSDSPWFLSGSETL GRLAGNTLGSRWSSGVGGSGGGSSGRSSAGARDSRRQTRVIRTGRDRGSGLLGSQPQPVI PASVIPEELISQAQVVLQGKSRSVIIRELQRTNLDVNLAVNNLLSRDDEDGDDGDDTASE SYLPGEDLMSLLDADIHSAHPSVIIDADAMFSEDISYFGYPSFRRSSLSRLGSSRVLLLP LERDSELLRERESVLRLRERRWLDGASFDNERGSTSKEGESNPDKKNTPVQSPVSLGEDL QWWPDKDGTKFTCIGALYSELLAVSSKGELYQWKWSESEPYRNAQNPSLHHPRATFLGLT NEKIVLLSANSIRATVATENNKVATWVDETLSSVASKLEHTAQTYSELQGERIVSLHCCA LYTCAQLENNLYWWGVVPFSQRKKMLEKARAKNKKPKSSAGISSMPNITVGTQVCLRNNP LYHAGAVAFSISAGIPKVGVLMESVWNMNDSCRFQLRSPESLKSMEKASKTLETKPESKQ EPVKTEMGPPPSPASTCSDASSIASSASMPYKRRRSTPAPREEEKVNEEQWPLREVVFVE DVKNVPVGKVLKVDGAYVAVKFPGTSTNTTCQNSSGPDADPSSLLQDCRLLRIDELQVVK TGGTPKVPDCFQRTPKKLCIPEKTEILAVNVDSKGVHAVLKTGSWVRYCVFDLATGKAEQ ENNFPTSSVAFLGQDERSVAIFTAGQESPIVLRDGNGTIYPMAKDCMGGIRDPDWLDLPP ISSLGMGVHSLINLPANSTIKKKAAIIIMAVEKQTLMQHILRCDYEACRQYLVNLEQAVV LEQNLQMLQTFISHRCDGNRNILHACVSVCFPTSNKETKEEEEAERSERNTFAERLSAVE AIANAISVVSSNGPGNRAGSSNSRSLRLREMMRRSLRAAGLGRHEAGASSSDHQDPVSPP IAPPSWVPDPPSMDPDGDIDFILAPAVGSLTTAATGSGQGPSTSTIPGPSTEPSVVESKD RKANAHFILKLLCDSAVLQPYLRELLSAKDARGMTPFMSAVSGRAYPAAITILETAQKIA KAEVSASEKEEDVFMGMVCPSGTNPDDSPLYVLCCNDTCSFTWTGAEHINQDIFECRTCG LLESLCCCTECARVCHKGHDCKLKRTSPTAYCDCWEKCKCKTLIAGQKSARLDLLYRLLT ATNLVTLPNSRGEHLLLFLVQTVARQTVEHCQYRPPRIREDRNRKTASPEDSDMPDHDLE PPRFAQLALERVLQDWNALRSMIMFGSQENKDPLSASSRIGHLLPEEQVYLNQQSGTIRL DCFTHCLIVKCTADILLLDTLLGTLVKELQNKYTPGRREEAIAVTMRFLRSVARVFVILS VEMASSKKKNNFIPQPIGKCKRVFQALLPYAVEELCNVAESLIVPVRMGIARPTAPFTLA STSIDAMQGSEELFSVEPLPPRPSSDQASSSSQSQSSYIIRNPQQRRISQSQPVRGRDEE QDDIVSADVEEVEVVEGVAGEEDHHDEQEEHGEENAEAEGHHDEHDEDGSDMELDLLAAA ETESDSESNHSNQDNASGRRSVVTAATAGSEAGASSVPAFFSEDDSQSNDSSDSDSSSSQ SDDIEQETFMLDEPLERTTNSSHANGAAQAPRSMQWAVRNPQHQRAASTAPSSTSTPAAS SAGLIYIDPSNLRRSGTISTSAAAAAAALEASNASSYLTSASSLARAYSIVIRQISDLMG LIPKYNHLVYSQIPAAVKLTYQDAVNLQNYVEEKLIPTWNWMVSVMDSTEAQLRYGSALA SAGDPGHPNHPLHASQNSARRERMTAREEASLRTLEGRRRATLLSARQGMMSARGDFLNY ALSLMRSHNDEHSDVLPVLDVCSLKHVAYVFQALIYWIKAMNQQTTLDTPQLERKRTREL LELGIDNEDSEHENDDDTSQSATLNDKDDDSLPAETGQNHPFFRRSDSMTFLGCIPPNPF EVPLAEAIPLADQPHLLQPNARKEDLFGRPSQGLYSSSAGSGKCIVEVTMDRNCLEVLPT KMSYAANLKNVMNMQNRQKKEGEEQSLLAEEADSSKPGPSAPDVAAQLKSSLLAEIGLTE SEGPPLTSFRPQCSFMGMVISHDMLLGRWRLSLELFGRVFMEDVGAEPGSILTELGGFEV KESKFRREMEKLRNQQSRDLSLEVDRDRDLLIQQTMRQLNNHFGRRCATTPMAVHRVKVT FKDEPGEGSGVARSFYTAIAQAFLSNEKLPNLDCIQNANKGTHTSLMQRLRNRGERDRER EREREMRRSSGLRAGSRRDRDRDFRRQLSIDTRPFRPASEGNPSDDPDPLPAHRQALGER LYPRVQAMQPAFASKITGMLLELSPAQLLLLLASEDSLRARVDEAMELIIAHGRENGADS ILDLGLLDSSEKVQENRKRHGSSRSVVDMDLEDTDDGDDNAPLFYQPGKRGFYTPRPGKN TEARLNCFRNIGRILGLCLLQNELCPITLNRHVIKVLLGRKVNWHDFAFFDPVMYESLRQ LILASQSSDADAVFSAMDLAFAIDLCKEEGGGQVELIPNGVNIPVTPQNVYEYVRKYAEH RMLVVAEQPLHAMRKGLLDVLPKNSLEDLTAEDFRLLVNGCGEVNVQMLISFTSFNDESG ENAEKLLQFKRWFWSIVEKMSMTERQDLVYFWTSSPSLPASEEGFQPMPSITIRPPDDQH LPTANTCISRLYVPLYSSKQILKQKLLLAIKTKNFGFV >ENSMUSP00000073502.4 pep:known chromosome:GRCm38:10:117045341:117046768:1 gene:ENSMUSG00000060187.4 transcript:ENSMUST00000073834.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc10 description:leucine rich repeat containing 10 [Source:MGI Symbol;Acc:MGI:2448063] MGNTIRAFVAFIPTDRCQSYVVGDLREMPLDRMVDLSGSQLRRFPLHVCSFTELVKLYLS DNHLHSLPPDLAQLQNLQILALDFNNFKALPRVVCTLKQLCILYLGNNKLCDLPDELSLL QNLRTLWLESNCLTRLPDVVCELSLLKTLHAGSNALRLLPGQLRRLRELRTIWLSGNQLA DFPSVLLRMPFLEVIDVDRNSIRYFPSLAHLTNLKLVIYDHNPCRNAPKVGKGVRRVGRW AEETPEPDPRKARRYALAKEENQEPPPPLLPSSS >ENSMUSP00000020002.7 pep:known chromosome:GRCm38:10:18011263:18023252:-1 gene:ENSMUSG00000078453.2 transcript:ENSMUST00000020002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abracl description:ABRA C-terminal like [Source:MGI Symbol;Acc:MGI:1920362] MNVEHEVNLLVEEIHRLGSRNADGKLSVKFGVLFQDDRCANLFEALVGTLKAAKRRKIVT YAGELLLQGVHDDVDIVLLQD >ENSMUSP00000036591.4 pep:known chromosome:GRCm38:15:76668284:76669948:-1 gene:ENSMUSG00000033837.4 transcript:ENSMUST00000037824.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxh1 description:forkhead box H1 [Source:MGI Symbol;Acc:MGI:1347465] MASGWDLASTYTPTTPSPQLALAPAQGYLPCMGPRDNSQLRPPEAESLSKTPKRRKKRYL RHDKPPYTYLAMIALVIQAAPFRRLKLAQIIRQVQAVFPFFRDDYEGWKDSIRHNLSSNR CFHKVPKDPAKPQAKGNFWAVDVSLIPAEALRLQNTALCRRWQNRGTHRAFAKDLSPYVL HGQPYQPPSPPPPPREGFSIKSLLGDPGKESTWPQHPGLPGQSTAAQAGTLSKGEEGMGT GPSSSSETPLWPLCSLPGPTIIEGESSQGEVIRPSPVTPDQGSWPLHLLEDSADSRGVPR RGSRASLWGQLPTSYLPIYTPNVVMPLATLPTTSCPQCPSSASPAYWSVGTESQGSQDLL CDLDSLFQGVPPNKSIYDVWVSHPRDLAAPAPGWLLSWYSM >ENSMUSP00000063825.6 pep:known chromosome:GRCm38:19:4510472:4621752:1 gene:ENSMUSG00000024892.15 transcript:ENSMUST00000068004.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcx description:pyruvate carboxylase [Source:MGI Symbol;Acc:MGI:97520] MMLKFQTVRGGLRLLGVRRSSSAPVASPNVRRLEYKPIKKVMVANRGEIAIRVFRACTEL GIRTVAVYSEQDTGQMHRQKADEAYLIGRGLAPVQAYLHIPDIIKVAKENGVDAVHPGYG FLSERADFAQACQDAGVRFIGPSPEVVRKMGDKVEARAIAIAAGVPVVPGTDSPISSLHE AHEFSNTYGFPIIFKAAYGGGGRGMRVVHSYEELEENYTRAYSEALAAFGNGALFVEKFI EKPRHIEVQILGDQYGNILHLYERDCSIQRRHQKVVEIAPATHLDPQLRSRLTSDSVKLA KQVGYENAGTVEFLVDKHGKHYFIEVNSRLQVEHTVTEEITDVDLVHAQIHVSEGRSLPD LGLRQENIRINGCAIQCRVTTEDPARSFQPDTGRIEVFRSGEGMGIRLDNASAFQGAVIS PHYDSLLVKVIAHGKDHPTAATKMSRALAEFRVRGVKTNIPFLQNVLNNQQFLAGTVDTQ FIDENPELFQLRPAQNRAQKLLHYLGHVMVNGPTTPIPVNVSPSPVDPAVPVVPIGPPPA GFRDILLREGPEGFARAVRNHQGLLLMDTTFRDAHQSLLATRVRTHDLKKIAPYVAHNFN KLFSMENWGGATFDVAMRFLYECPWRRLQELRELIPNIPFQMLLRGANAVGYTNYPDNVV FKFCEVAKENGMDVFRVFDSLNYLPNMLLGMEAAGSAGGVVEAAISYTGDVADPSRTKYS LEYYMGLAEELVRAGTHILCIKDMAGLLKPAACTMLVSSLRDRFPDLPLHIHTHDTSGAG VAAMLACAQAGADVVDVAVDSMSGMTSQPSMGALVACTKGTPLDTEVPLERVFDYSEYWE GARGLYAAFDCTATMKSGNSDVYENEIPGGQYTNLHFQAHSMGLGSKFKEVKKAYVEANQ MLGDLIKVTPSSKIVGDLAQFMVQNGLSRAEAEAQAEELSFPRSVVEFLQGYIGIPHGGF PEPFRSKVLKDLPRIEGRPGASLPPLNLKELEKDLIDRHGEEVTPEDVLSAAMYPDVFAQ FKDFTATFGPLDSLNTRLFLQGPKIAEEFEVELERGKTLHIKALAVSDLNRAGQRQVFFE LNGQLRSILVKDTQAMKEMHFHPKALKDVKGQIGAPMPGKVIDIKVAAGDKVAKGQPLCV LSAMKMETVVTSPMEGTIRKVHVTKDMTLEGDDLILEIE >ENSMUSP00000109456.2 pep:known chromosome:GRCm38:19:4594319:4621752:1 gene:ENSMUSG00000024892.15 transcript:ENSMUST00000113825.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcx description:pyruvate carboxylase [Source:MGI Symbol;Acc:MGI:97520] MLKFQTVRGGLRLLGVRRSSSAPVASPNVRRLEYKPIKKVMVANRGEIAIRVFRACTELG IRTVAVYSEQDTGQMHRQKADEAYLIGRGLAPVQAYLHIPDIIKVAKENGVDAVHPGYGF LSERADFAQACQDAGVRFIGPSPEVVRKMGDKVEARAIAIAAGVPVVPGTDSPISSLHEA HEFSNTYGFPIIFKAAYGGGGRGMRVVHSYEELEENYTRAYSEALAAFGNGALFVEKFIE KPRHIEVQILGDQYGNILHLYERDCSIQRRHQKVVEIAPATHLDPQLRSRLTSDSVKLAK QVGYENAGTVEFLVDKHGKHYFIEVNSRLQVEHTVTEEITDVDLVHAQIHVSEGRSLPDL GLRQENIRINGCAIQCRVTTEDPARSFQPDTGRIEVFRSGEGMGIRLDNASAFQGAVISP HYDSLLVKVIAHGKDHPTAATKMSRALAEFRVRGVKTNIPFLQNVLNNQQFLAGTVDTQF IDENPELFQLRPAQNRAQKLLHYLGHVMVNGPTTPIPVNVSPSPVDPAVPVVPIGPPPAG FRDILLREGPEGFARAVRNHQGLLLMDTTFRDAHQSLLATRVRTHDLKKIAPYVAHNFNK LFSMENWGGATFDVAMRFLYECPWRRLQELRELIPNIPFQMLLRGANAVGYTNYPDNVVF KFCEVAKENGMDVFRVFDSLNYLPNMLLGMEAAGSAGGVVEAAISYTGDVADPSRTKYSL EYYMGLAEELVRAGTHILCIKDMAGLLKPAACTMLVSSLRDRFPDLPLHIHTHDTSGAGV AAMLACAQAGADVVDVAVDSMSGMTSQPSMGALVACTKGTPLDTEVPLERVFDYSEYWEG ARGLYAAFDCTATMKSGNSDVYENEIPGGQYTNLHFQAHSMGLGSKFKEVKKAYVEANQM LGDLIKVTPSSKIVGDLAQFMVQNGLSRAEAEAQAEELSFPRSVVEFLQGYIGIPHGGFP EPFRSKVLKDLPRIEGRPGASLPPLNLKELEKDLIDRHGEEVTPEDVLSAAMYPDVFAQF KDFTATFGPLDSLNTRLFLQGPKIAEEFEVELERGKTLHIKALAVSDLNRAGQRQVFFEL NGQLRSILVKDTQAMKEMHFHPKALKDVKGQIGAPMPGKVIDIKVAAGDKVAKGQPLCVL SAMKMETVVTSPMEGTIRKVHVTKDMTLEGDDLILEIE >ENSMUSP00000047088.5 pep:known chromosome:GRCm38:7:12768092:12775658:-1 gene:ENSMUSG00000070822.4 transcript:ENSMUST00000046245.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan18 description:zinc finger and SCAN domain containing 18 [Source:MGI Symbol;Acc:MGI:3643810] MLPLERVLASPRSSPPQPEPSTLRSKDTVQQEEPGQQEEPIQQEGLIKQQEPSQQEECRR QDELIRKEEPIQLLETSQREELSEQQEPSQQDEPSQEEESVQLQEPSQWGEPGQKDVPMQ QEEPMQQDEPSQWEEPMQQDEPMQQEELMQQTEPIEQDKPIQQEELTQQEEPIQQDASIQ RELIHQVRPIQKELILREEFIQQDKCTQKEEFIQQEKPIQQVRFSEQEEPSQQEESSQQE ESSQQEELSQQKESSQKAQPYQQEEPSQQQEPSQKDQPSQQEEPSQQQEPSQKDQPSQQE ELSQQEEPSQKDQCNQQEEPSQQQEPSQKDQPNQQAELSQQEEPSQKDQCNQQEEPSQKD QCKQQEEPSQKDQPNQQEEPSQLKETETITERSAADLEFSRLRFREFVYQEAAGPHQTLA RLHELCRQWLRPEACSKEQILELLVLEQFLGILPDRVRPWVVAQYPENCKKAASLVEGIS DILEEPGMMLCSPGGSSSSFSEGDYERCPEPLLLPCGLLSPNRNLRPRDILVRRDTSPLL PAWPTLESVHLDQERTEGEKSKEANAATAELKGPLQSEWDHLDPTEDNLTSYSKLLLWGC QFSQAGPTSMLETEEPCAVENLPGGSLPDSTRQQESKGNVCEEVSLGETLMESLSGDVPV SASIDTALEEEQQPQKALDAEGEDSSPASPQRQSVIQQSAQDKDRPHQGTGTKRPHPDDE DEMAPECLSSTSSYQLPFDAGKVLHVDGHDSGQDSGTSAGGCSSADKSDASQGKPYTCSE CGEAFAWISNLMEHHKSHGSETCYVSQGC >ENSMUSP00000039466.4 pep:known chromosome:GRCm38:15:79154913:79164490:-1 gene:ENSMUSG00000033006.9 transcript:ENSMUST00000040019.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox10 description:SRY (sex determining region Y)-box 10 [Source:MGI Symbol;Acc:MGI:98358] MAEEQDLSEVELSPVGSEEPRCLSPGSAPSLGPDGGGGGSGLRASPGPGELGKVKKEQQD GEADDDKFPVCIREAVSQVLSGYDWTLVPMPVRVNGASKSKPHVKRPMNAFMVWAQAARR KLADQYPHLHNAELSKTLGKLWRLLNESDKRPFIEEAERLRMQHKKDHPDYKYQPRRRKN GKAAQGEAECPGGEAEQGGAAAIQAHYKSAHLDHRHPEEGSPMSDGNPEHPSGQSHGPPT PPTTPKTELQSGKADPKRDGRSLGEGGKPHIDFGNVDIGEISHEVMSNMETFDVTELDQY LPPNGHPGHVGSYSAAGYGLGSALAVASGHSAWISKPPGVALPTVSPPGVDAKAQVKTET TGPQGPPHYTDQPSTSQIAYTSLSLPHYGSAFPSISRPQFDYSDHQPSGPYYGHAGQASG LYSAFSYMGPSQRPLYTAISDPSPSGPQSHSPTHWEQPVYTTLSRP >ENSMUSP00000075658.4 pep:known chromosome:GRCm38:8:77250366:77517907:-1 gene:ENSMUSG00000037148.7 transcript:ENSMUST00000076316.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap10 description:Rho GTPase activating protein 10 [Source:MGI Symbol;Acc:MGI:1925764] MGLQPLEFSDCYLDSPWFRERIRAHEAELERTNKFIKELIKDGKNLISATKSLSAAQRKF AHSLRDFKFEFIGDAETDDERCIDASLREFSNFLKNLEEQREIMALSVTETLIKPLEKFR KEQLGAVKEEKKKFDKETEKNYSLIDKHLTLSARKKDSHLQEADLQVEQNRQHFYELSLE YVCKLQEIQERKKFEFVEPMLSFFQGMFTFYHQGHELSKDFNHYKMELQINIQNTRNRFE GTRSEVEELMNKIRQNPKDQKRASQFTAEGYLYVQEKRPAPFGSSWVKHYCMYRKTAKKF NMIPFEHRSGGKLGDGEAFFLKECTKRHMDSTDRRFCFDIEAADRPGVPLTVQAFSEEER KQWLEALGGKEALFHTFNRAIVPRPEGGAQLDKMGFTILRKCISAVETRGINDQGLYRVV GVSSKVQRLLSMLMDVKMCNELDLENSADWEVKTVTSALKQYLRSLPEPLMTYELHRDFI VPAKSGSPESRVNAIHFLVHKLPEKNKEMLDILVKHLTNVSSHSKQNLMTVANLGVVFGP TLMRPQEETVAAIMDLKFQNIVVEILIENHEKIFRTSPDTTFAEPTCLSASPPNAPPRQS KRQGQRTKRPVAVYNLCLELEEGDSPSPLKEDPPSSSQDSLSTPSPTTSAAHGPPGLDGN HLAADGGSCGDATATTPSQTRPSMVQWLNMQSPTTPSSNPAGTPPSPRMSPFPLSPAASI VDKLPECVINRKARAVYPCEAEHSSELSFEIGAIFEDVQTSREPGWLEGTLNGKRGLIPQ NYVKLL >ENSMUSP00000103641.3 pep:known chromosome:GRCm38:4:42656355:42661893:-1 gene:ENSMUSG00000078735.3 transcript:ENSMUST00000108006.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il11ra2 description:interleukin 11 receptor, alpha chain 2 [Source:MGI Symbol;Acc:MGI:109123] MSSSCSGLTRVLVAVATALVSSSSPCPQAWGPPGVQYGQPGRPVMLCCPGVSAGTPVSWF RDGDSRLLQGPDSGLGHRLVLAQVDSPDEGTYVCQTLDGVSGGMVTLKLGFPPARPEVSC QAVDYENFSCTWSPGQVSGLPTRYLTSYRKKTLPGAESQRESPSTGPWPCPQDPLEASRC VVHGAEFWSEYRINVTEVNPLGASTCLLDVRLQSILRPDPPQGLRVESVPGYPRRLHASW TYPASWRRQPHFLLKFRLQYRPAQHPAWSTVEPIGLEEVITDTVAGLPHAVRVSARDFLD AGTWSAWSPEAWGTPSTGLLQDEIPDWSQGHGQQLEAVVAQEDSLAPARPSLQPDPRPLD HRDPLEQVAVLASLGIFSCLGLAVGALALGLWLRLRRSGKEGPQKPGLLAPMIPVEKLPG IPNLQRTPENFS >ENSMUSP00000038568.7 pep:known chromosome:GRCm38:8:84097075:84104773:-1 gene:ENSMUSG00000037103.7 transcript:ENSMUST00000041367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf15 description:DDB1 and CUL4 associated factor 15 [Source:MGI Symbol;Acc:MGI:2684420] MAPSSKSERNSGAGSAGGGPGGTGGKRAVGRRREHVLKQLERVKISGQLSPRLFRKLPPR VCVSLKNIVDEDFLYAGHIFLGFSKCGRYVLSYTSSSGDDDFSFYIYHLYWWEFNVHSKL KLVRQVRLFQDEEIYSDLYLTVCEWPSDASKVIVFGFNTRSANGMLMNMMMMSDENHRDI YISTVAVPPRGRCAACQDASRAHPGDPSAQCLRHGFMLHTKYQVVYPFPTFQPAFQLKKD QVVLLNTSYSLVACAVSVHSAGDSSFCQILYDHTALPPAPPSSPGPWSPEAAPAFPSLGV EVVPAQPSGAPEPSPAIAKAKEFVADIFRRAKEAKGSPLEETRLPSSLGPSSSRCRPSLE PQAPSGEVVPRDSPPAAETTAPEPGYINYTKLHYVLQSGEGTEPEDEFEDDKISLPFVVT DLRGRNLRPMRERTDMQGQYLTVEQLTLDFEYVINEVIRHDATWGHQFCSFSDYDIVILE VCPETNQVLINIGLLLLAFPAPTEEGQLRPKTYHTSLKVAWDLNTGIFETVSVGDLTEVK GQTSGSVWSSYRKSCVDMVMKWLVPESSGRYVNRMTNEALHKGRKCPSWAGHLILPWIPG MLDVRSVLGSEWHPAMAGEAGCSLKVLADSERYTWIVL >ENSMUSP00000002989.9 pep:known chromosome:GRCm38:8:70835155:70839720:-1 gene:ENSMUSG00000002910.10 transcript:ENSMUST00000002989.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrdc2 description:arrestin domain containing 2 [Source:MGI Symbol;Acc:MGI:1918057] MLFDKVKAFVVEIDGARTGTEPVFHGGQAVAGRVLLELAGAARVGALRLRARGRARAHWT ESRSAGSSTAYTQSYSERVEVVNHRATLLAPDSGDIATLPAGRHEFPFSFQLPISLVTSF EGKHGSVRYSIKATLHRPWVPARCARKVFTVIEPVDINTPALLEPQAGAREKVARSWYCT RGLVSLSAKIDRKGYTPGEVIPIFAEIDNGSTRAVQPRAALVQTQTFMARGARKQKRAVV ASVDGEPVGPNRRALWPGRALRIPPVGPSILQCRVLSVDYSLKVFVDIPGSSKLLLELPL VIGTVPLHPLGSRSASVGSRASFLQDWGLCTMMDRPEAPPEYSEVVRESQLVCASPGPSS LLHDLGVTTEGPYFACLQEFRYCPPPLYSEEDPNPPSEAVRPRCMTC >ENSMUSP00000021368.8 pep:known chromosome:GRCm38:12:69311544:69357176:-1 gene:ENSMUSG00000020982.8 transcript:ENSMUST00000021368.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nemf description:nuclear export mediator factor [Source:MGI Symbol;Acc:MGI:1918305] MKSRFSTVDLRAVLAELNASLLGMRVNNVYDVDNKTYLIRLQKPDFKATLLLESGIRIHT TEFEWPKNMMPSSFAMKCRKHLKSRRLVSAKQLGVDRIVDFQFGSDEAAYHLIIELYDRG NIVLTDYEYLILNILRFRTDEADDVKFAVRERYPIDHARAAEPLLTLERLTEVIAAAPKG EVLKRVLNPLLPYGPALIEHCLIESGFSGNAKVDEKLESKDIEKILVCVQRAEDYLRKTS NFNGKGYIIQKREAKPSLDADKPAEDILTYEEFHPFLFSQHLQCPYIEFESFDKAVDEFY SKIEGQKIDLKALQQEKQALKKLDNVRKDHENRLEALQQAQEIDKLKGELIEMNLQIVDR AIQVVRSALANQIDWTEIGVIVKEAQAQGDPVACAIKELKLQTNHVTMLLRNPYLLSEEE DGDGDASIENSDAEAPKGKKKKQKNKQLQKPQKNKPLLVDVDLSLSAYANAKKYYDHKRY AAKKTQRTVEAAEKAFKSAEKKTKQTLKEVQTVTSIQKARKVYWFEKFLWFISSENYLII GGRDQQQNEIIVKRYLTPGDIYVHADLHGATSCVIKNPTGEPIPPRTLTEAGTMALCYSA AWDARVITSAWWVYHHQVSKTAPTGEYLTTGSFMIRGKKNFLPPSYLMMGFSFLFKVDES CVWRHRGERKVRVQDEDMETLTSCTSELMAEEMEQLEGGDSSEEETEELHGMPGDVELMT QVDQEDIAVHSGRDELSSEDGEAKAVTKDQEPIGEMKEEEEDTFEYPDTTIDLSHLQSQR PLQKLAPREESLNSNDSKSQGRRHLSAKERREMKKKKLPCESGDLEVIEEKDKERESAVH TEAYQNTSKNVAAGQPMKRGQKSKMKKMKEKYKDQDDEDRELIMKLLASAGSNKEEKGKK GKKGKPKDEPVKKPPQKPRGGQRVLDVVKEPPSLQVLAHDLQDLAVDDPHDDKEEHDLDQ QGNEENLFDSLTGQPHPEDVLMFAIPICAPYTIMTNYKYKVKLTPGVQKKGKAAKTALNS FMHSKEATAREKDLFRSVKDTDLSRNIPGKVKVSAPNLLHVKRK >ENSMUSP00000025850.5 pep:known chromosome:GRCm38:19:5447703:5455945:1 gene:ENSMUSG00000024912.5 transcript:ENSMUST00000025850.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fosl1 description:fos-like antigen 1 [Source:MGI Symbol;Acc:MGI:107179] MYRDYGEPGPSSGAGSPYGRPAQPPQAQAQTAQQQKFHLVPSIDSSSQELHWMVQPHFLG PTGYPRPLAYPQYSPPQPRPGVIRALGPPPGVRRRPCEQISPEEEERRRVRRERNKLAAA KCRNRRKELTDFLQAETDKLEDEKSGLQREIEELQKQKERLELVLEAHRPICKIPEGDKK DPGGSGSTSGASSPPAPGRPVPCISLSPGPVLEPEALHTPTLMTTPSLTPFTPSLVFTYP STPEPCSSAHRKSSSSSGDPSSDPLGSPTLLAL >ENSMUSP00000020954.8 pep:known chromosome:GRCm38:12:28690629:28750453:-1 gene:ENSMUSG00000020628.15 transcript:ENSMUST00000020954.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc12 description:trafficking protein particle complex 12 [Source:MGI Symbol;Acc:MGI:2445089] METAKDGEQSPSEASPSAQAGPENIPEPMSREEESQPLYHEETIDLGGDEFASEENEPTS EGSHNFGDKLNEHMMESVLISDSPNNSEGDVGDLGCLQDVGEPPRGATDHRLPSSTDKEV VDTLSNGSETDGDDTPRDISDMTPDSRASLKEDSTQEDVTSMPALENAATEEVGPKDSLA PREEQTSEVSSNQSSSKDEPLPVCTIFSQATATPSQPHLFLQDGFESQMVKSPSFSSTSE TSAKTPPPMVQPSPSLSTFFGDTMSSNSLASDFFDSFTTSTFISVSNPNAGSPVPEKLSS LTAPVGEKSPDSTSPSYSTRMDRSESGVSRAPLDVPESPKPFSQIQAVFAGSDDPFATAL SMSEMDRRNDAWLPSQATREVLMSVATQQYGTVFIDKENLTMPGLKFDNIQGDAVKDLML RFLGEKAAAKRQVLNASSVEQSFVGLKQLISCRNWRAAVDLCGRLLTAHGQGYGKNGLPT SHTTDSLQLWFVRLALLVKLGLFQNAEMEFEPFGNLDQPDLYYEYYPHVYPGRRGSMVPF SMRILHAELQQYLGNPQESLDRLHRVKTVCSKILANLEQGLAEDGGLSSVTQESRQASVQ LWRSRLGRVLYSMANCLLLMKDYVLAVDAYLTVIKYYPEQEPQLLSGIGRILLQIGDIKT AEKYFQDVEKVTQKLDGLQGKIMVLMNRAFLYLGQNNFAEAHKFFTEILRMDPTNAVANN NAAVCLLYLGKLKDSLRQLEAMVQQDPRHYLHESVLFNLTTMYELESSRSMQKKQSLLEA VASKEGDSFNTQCLKLA >ENSMUSP00000127752.2 pep:known chromosome:GRCm38:12:28690629:28750394:-1 gene:ENSMUSG00000020628.15 transcript:ENSMUST00000168129.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc12 description:trafficking protein particle complex 12 [Source:MGI Symbol;Acc:MGI:2445089] METAKDGEQSPSEASPSAQAGPENIPEPMSREEESQPLYHEETIDLGGDEFASEENEPTS EGSHNFGDKLNEHMMESVLISDSPNNSEGDVGDLGCLQDVGEPPRGATDHRLPSSTDKEV VDTLSNGSETDGDDTPRDISDMTPDSRASLKEDSTQEDVTSMPALENAATEEVGPKDSLA PREEQTSEVSSNQSSSKDEPLPVCTIFSQATATPSQPHLFLQDGFESQMVKSPSFSSTSE TSAKTPPPMVQPSPSLSTFFGDTMSSNSLASDFFDSFTTSTFISVSNPNAGSPVPEKLSS LTAPVGEKSPDSTSPSYSTRMDRSESGVSRAPLDVPESPKPFSQIQAVFAGSDDPFATAL SMSEMDRRNDAWLPSQATREVLMSVATQQYGTVFIDKENLTMPGLKFDNIQGDAVKDLML RFLGEKAAAKRQVLNASSVEQSFVGLKQLISCRNWRAAVDLCGRLLTAHGQGYGKNGLPT SHTTDSLQLWFVRLALLVKLGLFQNAEMEFEPFGNLDQPDLYYEYYPHVYPGRRGSMVPF SMRILHAELQQYLGNPQESLDRLHRVKTVCSKILANLEQGLAEDGGLSSVTQESRQASVQ LWRSRLGRVLYSMANCLLLMKDYVLAVDAYLTVIKYYPEQEPQLLSGIGRILLQIGDIKT AEKYFQDVEKVTQKLDGLQGKIMVLMNRAFLYLGQNNFAEAHKFFTEILRMDPTNAVANN NAAVCLLYLGKLKDSLRQLEAMVQQDPRHYLHESVLFNLTTMYELESSRSMQKKQSLLEA VASKEGDSFNTQCLKLA >ENSMUSP00000132009.1 pep:known chromosome:GRCm38:12:28709508:28750453:-1 gene:ENSMUSG00000020628.15 transcript:ENSMUST00000170994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc12 description:trafficking protein particle complex 12 [Source:MGI Symbol;Acc:MGI:2445089] METAKDGEQSPSEASPSAQAGPENIPEPMSREEESQPLYHEETIDLGGDEFASEENEPTS EGSHNFGDKLNEHMMESVLISDSPNNSEGDVGDLGCLQDVGEPPRGATDHRLPSSTDKEV VDTLSNGSETDGDDTPRDISDMTPDSRASLKEDSTQEDVTSMPALENAATEEVGPKDSLA PREEQTSEVSSNQSSSKDEPLPVCTIFSQATATPSQPHLFLQDGFESQMVKSPSFSSTSE TSAKTPPPMVQPSPSLSTFFGDTMSSNSLASDFFDSFTTSTFISVSNPNAGSPVPEKLSS LTAPVGEKSPDSTSPSYSTRMDRSESGVSRAPLDVPESPKPFSQIQAVFAGSDDPFATAL SMSEMDRRNDAWLPSQATREVLMSVATQQYGTVFIDKENLTMPGLKFDNIQGDAVKDLML RFLGEKAAAKRQVLNASSVEQSFVGLKQLISCRNWRAAVDLCGRLLTAHGQGYGKNGLPT SHTTDSLQLWFVRLALLVKLGLFQNAEMEFEPFGNLDQPDLYYEYYPHVYPGRRGSMVPF SMRILHAELQQYLGNPQESLDRLHRVKTVCSKHMHPLRLV >ENSMUSP00000015583.1 pep:known chromosome:GRCm38:14:56099881:56102574:-1 gene:ENSMUSG00000040314.1 transcript:ENSMUST00000015583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsg description:cathepsin G [Source:MGI Symbol;Acc:MGI:88563] MQPLLLLLTFILLQGDEAGKIIGGREARPHSYPYMAFLLIQSPEGLSACGGFLVREDFVL TAAHCLGSSINVTLGAHNIQMRERTQQLITVLRAIRHPDYNPQNIRNDIMLLQLRRRARR SGSVKPVALPQASKKLQPGDLCTVAGWGRVSQSRGTNVLQEVQLRVQMDQMCANRFQFYN SQTQICVGNPRERKSAFRGDSGGPLVCSNVAQGIVSYGSNNGNPPAVFTKIQSFMPWIKR TMRRFAPRYQRPANSLSQAQT >ENSMUSP00000022704.7 pep:known chromosome:GRCm38:14:73362231:73385271:-1 gene:ENSMUSG00000022108.7 transcript:ENSMUST00000022704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itm2b description:integral membrane protein 2B [Source:MGI Symbol;Acc:MGI:1309517] MVKVTFNSALAQKEAKKDEPKSSEEALIVPPDAVAVDCKDPGDVVPVGQRRAWCWCMCFG LAFMLAGVILGGAYLYKYFALQPDDVYYCGLKYIKDDVILNEPSADAPAARYQTIEENIK IFEEDAVEFISVPVPEFADSDPANIVHDFNKKLTAYLDLNLDKCYVIPLNTSIVMPPKNL LELLINIKAGTYLPQSYLIHEHMVITDRIENVDNLGFFIYRLCHDKETYKLQRRETIRGI QKREASNCFTIRHFENKFAVETLICS >ENSMUSP00000132865.2 pep:known chromosome:GRCm38:19:10455371:10457447:-1 gene:ENSMUSG00000090291.2 transcript:ENSMUST00000171400.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc10b description:leucine rich repeat containing 10B [Source:MGI Symbol;Acc:MGI:2685551] MGIAESTPDELPSDAEEQLRSGEQQLELSGRRLRRLPSAVCALSRLQKLYVSGTGLRELP EEIEELRELRILALDFNKLERLPDGLCRLPRLTRLYLGGNRLLALPPDFAQLQSLRCLWI EGNFLRRFPRPLLRLVALQSLQMGDNRLRALPAELPRMTGLRGLWLYGNRFEEFPPALLR MGRLHILDLDRNRLGGFPDLHPLRALRVFSYDHNPVTGPPRVADTVFLVGEGAVERMAER DEPIPRQPARRPTRAFDDEEEEDLLIGGAGPRALGPTRDSLRALEAPPGLGT >ENSMUSP00000132788.1 pep:known chromosome:GRCm38:15:38933142:38949405:1 gene:ENSMUSG00000022296.8 transcript:ENSMUST00000163313.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baalc description:brain and acute leukemia, cytoplasmic [Source:MGI Symbol;Acc:MGI:1928704] MPVTPVASGWLLSAHLADPFFDPWAFFSYKSGVLEDGLSSNGVLRPAAPGGIANPEKKMN CGTQCPNSQNLSSGPLTQKQNGLWATEAKRDAKRMSAREVAINVTENIRQMDRSKRVTKN CIN >ENSMUSP00000034146.3 pep:known chromosome:GRCm38:8:83290348:83298456:1 gene:ENSMUSG00000031710.3 transcript:ENSMUST00000034146.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucp1 description:uncoupling protein 1 (mitochondrial, proton carrier) [Source:MGI Symbol;Acc:MGI:98894] MVNPTTSEVQPTMGVKIFSAGVSACLADIITFPLDTAKVRLQIQGEGQASSTIRYKGVLG TITTLAKTEGLPKLYSGLPAGIQRQISFASLRIGLYDSVQEYFSSGRETPASLGNKISAG LMTGGVAVFIGQPTEVVKVRMQAQSHLHGIKPRYTGTYNAYRVIATTESLSTLWKGTTPN LMRNVIINCTELVTYDLMKGALVNNKILADDVPCHLLSALVAGFCTTLLASPVDVVKTRF INSLPGQYPSVPSCAMSMYTKEGPTAFFKGFVASFLRLGSWNVIMFVCFEQLKKELMKSR QTVDCTT >ENSMUSP00000137159.1 pep:known chromosome:GRCm38:4:42318334:42323929:1 gene:ENSMUSG00000093996.1 transcript:ENSMUST00000178192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam205a3 description:family with sequence similarity 205, member A3 [Source:MGI Symbol;Acc:MGI:5434953] MLSTMCFLWDTECPLYVYFCFFIIVLIVWQVRQNYQGLKCENRRSCCRRHQKVRQRAKDA ASRARRLSREEDEKPCELLSIMKSQSWVPKQGNVRQLLCLDPSCQICEATTLEIRQLLQS KKSQISPALLGLPQRAACLEMPISSESFEWNQDFYSRYSTNSPVVPGNQTLTQLTEELTE STNADGVLLCWTDPLQIGQEFHLADMPMASETLVSPGLEEPVVLMNEEDTVHSNLNYIQQ LQDHEALNSQIPFQTLTPQLTVTHPMAVSIVTDAPQPFLSPEVLRLLEIHVKKLMHFQRW GLPRRVEESLKQFMPNPPVYLPPEHNQPVSFILNTSSQDCVHRFEGISPETWYSYMDGQP IQTFWVSEWSSGDQGQRLSCKPIPSPVEKPLLTPDYELLHDLCLSPEGQVDGSQSNLQKK FTQLFCGLPSMHSESLGSTFLCTQGVSKNTLKPPYKEPHFLKVSPPIPLPEAAPPPSSTS PNESLDEPQRAQIGGVPFLTLSECKTLEWHLLQRQLQLQWGLPAVIARPPRVQSHTQYKH KPWNKAKPRETLKFFGPGKPFSALTRELFFIPQHARRLLEFHLQKRLIHLRWGLPQRIQR SINMLLSSTDLQSLPCGGSRLPNVSISQPGKPEAYGSGDTFLPTAGKGTTPMPHLFAKAQ EMLKSHDTKCEQIREGKVPACVQSAWKGRIPGDLAAGTLFPNIPQGQPLELQAENNPDLH QEAVSWKPMDLDQEAQAFSGVFIEHCRRPQALSEETIKKLETTLRHKYLAFLSGLQALYC MAPTKATSPTVDQSVITTMPWSVKSPQKPLSQKSPLEALCLSGLEPCTQDDKETSANIAE EFQHGAQGHGRTEKVPPERQPLLNRPYSLDTEIMERVSFYLKRKALDIKLGISLKESVFQ EPTATDLESESVQEPLGSPRESTLLQGPPTLCHVPVAPDPDKVCLKQPATAVQVVFQEQN QPSSRAVPHRSARQGSQVHRNMMEAQVHYVQMGTGGEMLNLGEPFSTESQSPGKSKSGYV PTVAGKRKIPGKPKVVGDLGEGDAGLGFSLVSLKTRQDGEQEKRLLHRQLQGSSLQAQTF HLEGACPHSPQESPELQFADPPPEVFMETDSEQDMEDSQSKESIVPEPARTAKAPQPMLS RASQGLPFPRSPTQRKPSQGQPGPGHVPPGHATPASPYTRPSRLPEAGLKNKMKLFFHSI KLKMKSKAHTEPSTVSTPGKVAKTSKENIDRGLPQAKSPTKKTKPEDFRGPKAQFSVVGP CLTPSYILDSKFWPRPRRVGSVSVLGHSYHCPRHCPRLAYANQQRNPP >ENSMUSP00000049347.8 pep:known chromosome:GRCm38:5:72965808:72974702:-1 gene:ENSMUSG00000063656.6 transcript:ENSMUST00000043711.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10135 description:predicted gene 10135 [Source:MGI Symbol;Acc:MGI:3710643] AGAEFCTTGGPGEEVVCVNWVVSWLPEPEPWLLNAFTGCRRANGDGAAGERNLPGEGTLP LFVDEVGSNCLALREVRGKLFSL >ENSMUSP00000009236.4 pep:known chromosome:GRCm38:10:75893398:75895941:1 gene:ENSMUSG00000009092.4 transcript:ENSMUST00000009236.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Derl3 description:Der1-like domain family, member 3 [Source:MGI Symbol;Acc:MGI:1917627] MAGQRLAAGFLQVPAVTRAYTAACVLTTAAVQLELLSPFQLYFNPHLVFRKFQVWRLITT FLFFGPLGFGFFFNMLFVFRYCRMLEEGSFRGRKADFVFMFLFGGVLMTLLGFLGSLFFL GQALMAMLVYVWSRRSPHVRVNFFGLLNFQAPFLPWALMGFSLLLGNSVVTDLLGILVGH IYYFLEDVFPNQPGGKRLLLTPSVLKLLLDDPQEDPDYLPLPEEQPEL >ENSMUSP00000075074.3 pep:known chromosome:GRCm38:14:51162260:51163018:1 gene:ENSMUSG00000059606.3 transcript:ENSMUST00000075648.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase2b description:ribonuclease, RNase A family, 2B (liver, eosinophil-derived neurotoxin) [Source:MGI Symbol;Acc:MGI:1858598] MGLKLLESRLCLLLLLGLVLTLVSCQRPTPSQKFDIQHIYKKSSPKCDDAMRVVNKYTGK CKDLNTFLHTTFADVVRVCHNPPKTCKDGTSPNCHDSSSKVSVTICKLTKRARNYTHCRY KTTGAKKSYTVACNPRTPKDRPTYPVVPVHLDRLF >ENSMUSP00000062217.7 pep:known chromosome:GRCm38:13:40001882:40288011:-1 gene:ENSMUSG00000047094.8 transcript:ENSMUST00000054635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ofcc1 description:orofacial cleft 1 candidate 1 [Source:MGI Symbol;Acc:MGI:2658851] MDKEKFQQKAVKQTKQKKSTSAEFLMVKEYTDATEGAGNPGFNMSSPELPAHQTPQEKVV RHDMLDHTLATHQQKSRLPASAGPKGNEYSRNYFDPLTEEEINSRQCRMEVSRQDGRSKV ETLDQESPRRSTESLDTCKEDEEDAPGYTEDSERQAQRGAVLLAGSSSGQDLEFERKGLS QNIPMSCLKKEKAFKKAPSQLCTADGNPYTKASDKGQRSFPEVTKESGRTEGTCWKGEQP QLPSLQIQIRCIRGLKDKAPQGSYLLRVSLLSQLGSCASQECQAEQLKTRTCPVLHGGNF YDVGLYFHESVSVVLPQRKAVKPGLSFLFELFLLHGTYAYNDLIMGWAVFPVCDNNFHIV EGKFKCPLLRGPYDQKLDSFRKIENLICQDLDQWLCNLYFKVIKTPLHLDDQKSPESRRQ LSPERPVCLMVEAENTEFDLDNTAGPSKKEPPGNVHAVMGGAIHSSQGNISNKTDSCPRG CDLCLFKEARDLQAMGNSLAAQSVKERSTVWRPEDPEDYPGDVSYLEELEKYQFSVCCPS GVDGCGSGRLSKHLHFTLMALFSELELAQWQSQSFWYILLMMASLWFVRLYLHYLGQWLF LWVLSTPVTKFQFHSYTVELCYLTSSLHVGEELAVIVLGPLALNTITFPLLLIRWGCQLL FSSCPDALSKLIITMGLWTVLDPLAVFLVDAFLGRLAHNGETPIADAAKLYWMFLRTKQP AILGALITVVVYTLLFVISSLILYLYCLRLYNDSWVLDAFQRIHSEEGKFFIPHDLEISN QELSHIVKRSEQWRGIHGERRKVAVHDYICKSHDTESKVSSCDPHHQDESFESAVGPRRV TSHISVHTVYPNGFQELYRHFLRLPDGAIIEVFGDISALRFVPSEVITAIEEHIREMDTV QREIFASYQEKEVSEHKRRSLTRVEK >ENSMUSP00000070601.5 pep:known chromosome:GRCm38:8:116969599:116978943:-1 gene:ENSMUSG00000031847.7 transcript:ENSMUST00000070577.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700030J22Rik description:RIKEN cDNA 1700030J22 gene [Source:MGI Symbol;Acc:MGI:1916778] MDVCEESETFLENTENQKIEATEETAPTLHCPDEKSERSHVCCLLGVSDLTLEEDGRASE CAISTGWEEAVHGWGRTSPTACIWSKKKVKRGRAREGTNGGNDCLFCMSLSQGSLEPRSL LEVGKLEAGAEAEVSTQKSWSSEKNWSGLSQGPGTASREQSNKLCIPTDVHGEKKSLQLK EFIWCMEEWPMPETVSSKAGRNPSGSPEQGLSTPDSLAAKALVVLPPLKSSPHNLDVLSK KSRNIFWQPEEKVLRVEKDDCMACADGLKGVDGKGEKRHFELASHVKVTNVLPFPPTAAQ THLLSAESQRCCLHWSLLPQKSTVFPPNPSDIHYLATLQVLGQQGKQSCRTRLKTKDTKP PRTTAKHIITEAKQQNRPHVLESKVFPKPLLPSLTVSRVVIPVSTHRVL >ENSMUSP00000137520.1 pep:known chromosome:GRCm38:8:94977109:95014208:1 gene:ENSMUSG00000031785.15 transcript:ENSMUST00000179619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg1 description:adhesion G protein-coupled receptor G1 [Source:MGI Symbol;Acc:MGI:1340051] MAVQVLRQMVYFLLSLFSLVQGAHSGSPREDFRFCGQRNQTQQSTLHYDQSSEPHIFVWN TEETLTIRAPFLAAPDIPRFFPEPRGLYHFCLYWSRHTGRLHLRYGKHDYLLSSQASRLL CFQKQEQSLKQGAPLIATSVSSWQIPQNTSLPGAPSFIFSFHNAPHKVSHNASVDMCDLK KELQQLSRYLQHPQKAAKRPTAAFISQQLQSLESKLTSVSFLGDTLSFEEDRVNATVWKL PPTAGLEDLHIHSQKEEEQSEVQAYSLLLPRAVFQQTRGRRRDDAKRLLVVDFSSQALFQ DKNSSQVLGEKVLGIVVQNTKVTNLSDPVVLTFQHQPQPKNVTLQCVFWVEDPASSSTGS WSSAGCETVSRDTQTSCLCNHLTYFAVLMVSSTEVEATHKHYLTLLSYVGCVISALACVF TIAAYLCSRRKSRDYTIKVHMNLLSAVFLLDVSFLLSEPVALTGSEAACRTSAMFLHFSL LACLSWMGLEGYNLYRLVVEVFGTYVPGYLLKLSIVGWGFPVFLVTLVALVDVNNYGPII LAVRRTPERVTYPSMCWIRDSLVSYVTNLGLFSLVFLFNLAMLATMVVQILRLRPHSQNW PHVLTLLGLSLVLGLPWALVFFSFASGTFQLVILYLFSIITSFQGFLIFLWYWSMRFQAQ GGPSPLKNNSDSAKLPISSGSTSSSRI >ENSMUSP00000090959.6 pep:known chromosome:GRCm38:8:94984399:95014208:1 gene:ENSMUSG00000031785.15 transcript:ENSMUST00000093271.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg1 description:adhesion G protein-coupled receptor G1 [Source:MGI Symbol;Acc:MGI:1340051] MAVQVLRQMVYFLLSLFSLVQGAHSGSPREDFRFCGQRNQTQQSTLHYDQSSEPHIFVWN TEETLTIRAPFLAAPDIPRFFPEPRGLYHFCLYWSRHTGRLHLRYGKHDYLLSSQASRLL CFQKQEQSLKQGAPLIATSVSSWQIPQNTSLPGAPSFIFSFHNAPHKVSHNASVDMCDLK KELQQLSRYLQHPQKAAKRPTAAFISQQLQSLESKLTSVSFLGDTLSFEEDRVNATVWKL PPTAGLEDLHIHSQKEEEQSEVQAYSLLLPRAVFQQTRGRRRDDAKRLLVVDFSSQALFQ DKNSSQVLGEKVLGIVVQNTKVTNLSDPVVLTFQHQPQPKNVTLQCVFWVEDPASSSTGS WSSAGCETVSRDTQTSCLCNHLTYFAVLMVSSTEVEATHKHYLTLLSYVGCVISALACVF TIAAYLCSRRKSRDYTIKVHMNLLSAVFLLDVSFLLSEPVALTGSEAACRTSAMFLHFSL LACLSWMGLEGYNLYRLVVEVFGTYVPGYLLKLSIVGWGFPVFLVTLVALVDVNNYGPII LAVRRTPERVTYPSMCWIRDSLVSYVTNLGLFSLVFLFNLAMLATMVVQILRLRPHSQNW PHVLTLLGLSLVLGLPWALVFFSFASGTFQLVILYLFSIITSFQGFLIFLWYWSMRFQAQ GGPSPLKNNSDSAKLPISSGSTSSSRI >ENSMUSP00000022586.1 pep:known chromosome:GRCm38:14:76110891:76137379:1 gene:ENSMUSG00000022009.1 transcript:ENSMUST00000022586.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nufip1 description:nuclear fragile X mental retardation protein interacting protein 1 [Source:MGI Symbol;Acc:MGI:1351474] MAEPAPAVWPSAPDLTPAPGTPSEAAPPRDNWVYWAMLPPPPPPLSSPVAGSEQSRKGQP HVLPQPPSGALPPFDAQILPAAQPPFDAQAPPDAQSQFSGQQAWNLQASTPWYWGLSPNG FSTYHTSYQSPVTHSYFPRSHDAKFNLPQNRKQKTKKRKEPVFHFFCDTCDRGFKNQEKY DTHMSEHTKCPEVDCSFSAHEKIVQFHWRNMHAPGMKKIKLDTPEEIARWREERRKNYPT LANIERKKKLQLEKAKRGEVLTTTQYGKMKGMSRHSQMAKIRSPGKHHKWRRGGARQRAV VGLGNHARDSKPEVPSKANVDPLGALIHSDSESDKEEKAQRTVVPKEVTPALCSLMSSYG DVSGSDSEPEEAPIKTEAEVLAENHVLHSSPPKSPKQNVQTTGRTVSRSKWENQRNGLRK ISLKRKKSHCHPLFEPRTHHPYLLEMLLAPDIRHERNVILQCVRYIIKKDFFGLNTDSVK TEEV >ENSMUSP00000127286.1 pep:known chromosome:GRCm38:12:112976482:112978930:1 gene:ENSMUSG00000002803.13 transcript:ENSMUST00000165079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd6 description:BTB (POZ) domain containing 6 [Source:MGI Symbol;Acc:MGI:3026623] MAAELYPPASPSAATATDIANSNAAGAAESTKVGLCCPPCLAPAPLPPLPAPPLPDNNNP ESPNWQSFHPTLRERNALMFNNELMADVHFIVGALGAARRVPAHKYVLAVGSSVFYAMFY GDLAEVKSEIHIPDVEPAAFLVLLKYMYSDEIDLEADTVLATLYAAKKYIVPALAKACVN FLETSLEAKNACVLLSQSRLFEEPELTQRCWEVIDAQAEMALRSEGFCEIDRQTLEIIVT REALNTKEAVVFEAVLNWAEAECKRQGLPVTPHNKRHVLGRALYLVRIPTMTLEEFANGA AQSDILTLEETHNIFLWYTAAKKPLLDFPLTKRKGLAPQRCHRFQSSAYRSNQWRYRGRC DSIQFAVDRRVFIAGLGLYGSSSGKAEYSVKIELKRLGMVLAQNLTKFVSDGSSNTFPVW FEHPVQVEQDTFYTASAVLDGSELSYFGQEGMTEVQCGKVAFQFQCSSDSTNGTGVQGGQ IPELIFYA >ENSMUSP00000002880.6 pep:known chromosome:GRCm38:12:112976563:112978930:1 gene:ENSMUSG00000002803.13 transcript:ENSMUST00000002880.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd6 description:BTB (POZ) domain containing 6 [Source:MGI Symbol;Acc:MGI:3026623] MLLPLACLHGRVAQCLTSLLVLAEPFPRPRRGAKARGAAPTSAEPAPAVAKMAAELYPPA SPSAATATDIANSNAAGAAESTKVGLCCPPCLAPAPLPPLPAPPLPDNNNPESPNWQSFH PTLRERNALMFNNELMADVHFIVGALGAARRVPAHKYVLAVGSSVFYAMFYGDLAEVKSE IHIPDVEPAAFLVLLKYMYSDEIDLEADTVLATLYAAKKYIVPALAKACVNFLETSLEAK NACVLLSQSRLFEEPELTQRCWEVIDAQAEMALRSEGFCEIDRQTLEIIVTREALNTKEA VVFEAVLNWAEAECKRQGLPVTPHNKRHVLGRALYLVRIPTMTLEEFANGAAQSDILTLE ETHNIFLWYTAAKKPLLDFPLTKRKGLAPQRCHRFQSSAYRSNQWRYRGRCDSIQFAVDR RVFIAGLGLYGSSSGKAEYSVKIELKRLGMVLAQNLTKFVSDGSSNTFPVWFEHPVQVEQ DTFYTASAVLDGSELSYFGQEGMTEVQCGKVAFQFQCSSDSTNGTGVQGGQIPELIFYA >ENSMUSP00000131144.1 pep:known chromosome:GRCm38:13:41606216:41641347:1 gene:ENSMUSG00000087370.2 transcript:ENSMUST00000129449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem170b description:transmembrane protein 170B [Source:MGI Symbol;Acc:MGI:3647046] MRAEGADHSMINLSVQQVLSLWAHGTVLRNLTEMWYWIFLWALFSSLFVHGAAGVLMFVM LQRHRQGRVISIIAVSIGFLASVTGAMITSAAVAGIYRVAGKNMAPLEALVWGVGQTVLT LIISFSRILATL >ENSMUSP00000024944.7 pep:known chromosome:GRCm38:17:85028347:85064243:1 gene:ENSMUSG00000024131.7 transcript:ENSMUST00000024944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc3a1 description:solute carrier family 3, member 1 [Source:MGI Symbol;Acc:MGI:1195264] MDEDKGKRDPIQMSLKGCRTNNGFVQNEDIPEQDPDPGSRDTPQPNAVSIPAPEEPHLKA VRPYAGMPKEVLFQFSGQARYRVPREILFWLTVVSVFLLIGATIAIIVISPKCLDWWQAG PIYQIYPRSFKDSDKDGNGDLKGIQEKLDYITALNIKTLWITSFYKSSLKDFRYAVEDFK EIDPIFGTMKDFENLVAAIHDKGLKLIIDFIPNHTSDKHPWFQSSRTRSGKYTDYYIWHN CTHVNGVTTPPNNWLSVYGNSSWHFDEVRKQCYFHQFLKEQPDLNFRNPAVQEEIKEIIT FWLSKGVDGFSFDAVKFLLEAKDLRNEIQVNTSQIPDTVTHYSELYHDFTTTQVGMHDIV RDFRQTMNQYSREPGRYRFMGAEASAESIERTMMYYGLPFIQEADFPFNKYFTTIGTLSG HTVYEVITSWMENMPEGKWPNWMTGGPETPRLTSRVGSEYVNAMHMLLFTLPGTPITYYG EEIGMGDISVTNFNESYDSTTLVSKSPMQWDNSSNAGFTEANHTWLPTNSDYHTVNVDVQ KTQPSSALRLYQDLSLLHATELVLSRGWFCLLRDDSHSVVYTRELDGIDNVFLVVLNFGE SSTVLNLQGIISDLPPELRIRLSTNSASKGSAVDTRAISLEKGEGLVLEHSTKAPLHQQA AFRDRCFVSSRACYSSALDILYSSC >ENSMUSP00000042283.7 pep:known chromosome:GRCm38:14:52160419:52197239:-1 gene:ENSMUSG00000035726.7 transcript:ENSMUST00000046709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Supt16 description:suppressor of Ty 16 [Source:MGI Symbol;Acc:MGI:1890948] MAVTLDKDAYYRRVKRLYSNWRKGEDEYASIDAIVVSVGVDEEIVYAKSTALQTWLFGYE LTDTIMVFCDDKIIFMASKKKVEFLKQIANTKGNENANGAPAITLLVREKNESNKSSFDK MIDAIKESKSGKKIGVFSKDKFPGEFMKSWSDCLNKEGFDKVDISAVVAYTIAVKEDGEL NLMKKAASITSEVFNKFFKERVMEIVDADEKVRHSKLAESVEKAIEEKKYLAGADPSTVE MCYPPIIQSGGNYNLKFSVVSDKNHMHFGAITCAMGIRFKSYCSNLVRTLMVDPTQEVQE NYNFLLQLQEELLKELRHGVKICDVYNSVMDVVKKQKPELLNKITKNLGFGMGIEFREGS LVINSKNQYKLKKGMVFSINLGFSDLTNKEGKKPEEKTYALFIGDTVLVDEDGPATILTS VKKKVKNVGIFLKNEDDEEEEEEKDEAEDLLGRGSRAALLTERTRNEMTAEEKRRAHQKE LAAQLNEEAKRRLTEQKGEQQIQKARKSNVSYKNPSLMPKEPHIREMKIYIDKKYETVIM PVFGIATPFHIATIKNISMSVEGDYTYLRINFYCPGSALGRNEGNIFPNPEATFVKEITY RASNMKAPGEQTVPALNLQNAFRIIKEVQKRYKTREAEEKEKEGIVKQDSLVINLNRSNP KLKDLYIRPNIAQKRMQGSLEAHVNGFRFTSVRGDKVDILYNNIKHALFQPCDGEMIIVL HFHLKNAIMFGKKRHTDVQFYTEVGEITTDLGKHQHMHDRDDLYAEQMEREMRHKLKTAF KNFIEKVEALTKEELEFEVPFRDLGFNGAPYRSTCLLQPTSSALVNATEWPPFVVTLDEV ELIHFERVQFHLKNFDMVIVYKDYSKKVTMINAIPVASLDPIKEWLNSCDLKYTEGVQSL NWTKIMKTIVDDPEGFFEQGGWSFLEPEGEGSDAEDGDSESEIEDETFNPSEDDYEEEEE DSDEDYSSEAEESDYSKESLGSEEESGKDWDELEEEARKADRESRYEEEEEQSRSMSRKR KASVHSSGRGSNRGSRHSSAPPKKKRK >ENSMUSP00000024042.3 pep:known chromosome:GRCm38:15:88819646:88826681:1 gene:ENSMUSG00000023272.3 transcript:ENSMUST00000024042.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creld2 description:cysteine-rich with EGF-like domains 2 [Source:MGI Symbol;Acc:MGI:1923987] MHLLLAAAFGLLLLLPPPGAVASRKPTMCQRCRTLVDKFNQGMANTARKNFGGGNTAWEE KTLSKYEFSEIRLLEIMEGLCDSSDFECNQLLEQQEEQLEAWWQTLKKEHPNLFEWFCVH TLKACCLPGTYGPDCQECQGGSERPCSGNGYCSGDGSRQGDGSCQCHTGYKGPLCIDCTD GFFSLQRNETHSICSACDESCKTCSGPSNKDCIQCEVGWARVEDACVDVDECAAETSPCS DGQYCENVNGSYTCEDCDSTCVGCTGKGPANCKECIAGYTKESGQCTDIDECSLEEKACK RKNENCYNVPGSFVCVCPEGFEETEDACVQTAEGKVTEENPTQPPSREDL >ENSMUSP00000021864.6 pep:known chromosome:GRCm38:13:37971401:37994190:-1 gene:ENSMUSG00000021427.9 transcript:ENSMUST00000021864.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr1 description:signal sequence receptor, alpha [Source:MGI Symbol;Acc:MGI:105082] MRLLPRLLLLFLLAFPAAVLLRGGPGGSLALAQDPTEDEEIVEDSIIEDEDDEAEVEEDE PTDLAEDKEEEDVSSEPEASPSADTTILFVKGEDFPANNIVKFLVGFTNKGTEDFIVESL DASFRYPQDYQFYIQNFTALPLNTVVPPQRQATFEYSFIPAEPMGGRPFGLVINLNYKDL NGNVFQDAVFNQTVTVIEREDGLDGETIFMYMFLAGLGLLVVVGLHQLLESRKRKRPIQK VEMGTSSQNDVDMSWIPQETLNQINKASPRRQPRKRAQKRSVGSDE >ENSMUSP00000025601.6 pep:known chromosome:GRCm38:19:12798606:12833807:1 gene:ENSMUSG00000024696.8 transcript:ENSMUST00000025601.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpxn description:leupaxin [Source:MGI Symbol;Acc:MGI:2147677] MEELDALLEELERCTFQDSEEYSNPVSCHLDQQSTEESKIPQTPKTLSSQGNTSPLKVQL VYATNIQEPNVYSEVQEPKESVLPPKTSAAAQLDELMAHLSEMQAKVSVKADTSRKPLPD QQDHKASLDSMLGDLEQELQDLGIATVPKGYCASCQKPIAGKVIHALGQSWHPEHFVCTH CKEELGSSPFFERSGLAYCSKDYHRLFSPRCAYCAAPITDKVLTAMNKTWHPEHFFCSHC GEVFGAEGFHEKDKKPYCRKDFLAMFSPKCGGCNRPVLENYLSAMNTVWHPECFVCGDCF SSFSSGSFFELDGRPFCELHYHHRRGTLCHDCGQPITGRCISAMGHKFHPEHFVCAFCLT QLPKGIFKEQNNKTYCEKCFTKLFSQ >ENSMUSP00000106189.1 pep:known chromosome:GRCm38:12:69963473:69969721:1 gene:ENSMUSG00000079076.1 transcript:ENSMUST00000110560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3086 description:predicted gene 3086 [Source:MGI Symbol;Acc:MGI:3781262] MIIRTMLYTPQEMKQITEIQAQTEGINISEEALSHLGEIGTKTTPTYSVQLLTPANLLAK INWRDKH >ENSMUSP00000130129.1 pep:known chromosome:GRCm38:13:41273803:41273977:-1 gene:ENSMUSG00000090853.1 transcript:ENSMUST00000163881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17364 description:predicted gene, 17364 [Source:MGI Symbol;Acc:MGI:4936998] LWHVPGITETCFILHLFHVLKLAV >ENSMUSP00000034227.4 pep:known chromosome:GRCm38:8:94674895:94696242:-1 gene:ENSMUSG00000031775.4 transcript:ENSMUST00000034227.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pllp description:plasma membrane proteolipid [Source:MGI Symbol;Acc:MGI:1915051] MAEFPSKVSTRTSSPAQGVGASVSALRPDLGFVRSALGVLALLQLALGLLVWALIADTPY HLYPAYGWVMFVAVFLWLVTIVFFIIYLFQLHMKLYMVPWPLVLLIFFVAATVLYITAFI ACAAAVDLTSLRGSRPYNQRSAASFFACLVMIAYGVSAFFSFQAWRGVGSNAATSQMAGG YS >ENSMUSP00000021479.5 pep:known chromosome:GRCm38:12:70937857:70964718:1 gene:ENSMUSG00000021076.5 transcript:ENSMUST00000021479.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr10 description:ARP10 actin-related protein 10 [Source:MGI Symbol;Acc:MGI:1891654] MPLYEGLGSGGEKTAVVIDLGEAFTKCGFAGETGPRCIIPSVIKRAGMSKPIKVVQYNIN TEELYSYLKEFIHILYFRHLLVNPRDRRVVVIESVLCPSHFRETLTRVLFKYFEVPSVLL APSHLMALLTLGINSAMVLDCGYRESLVLPIYEGIPILNCWGALPLGGKALHKELETQLL EQCTVDTGAAKGQSLPSVMGSVPEGVLEDIKVRTCFVSDLKRGLQIQAAKFNIDGNNERP TPPPNVDYPLDGEKILHVLGSIRDSVVEILFEQDNEEKSVATLILDSLLQCPIDTRKQLA ENLVIIGGTSMLPGFLHRLLAEIRYLVEKPKYKKTLGTKNFRIHTPPAKANCVAWLGGAV FGALQDILGSRSISKEYYNQTGRIPDWCSLNNPPLEMMFDVGKAQPPLMKRAFSTEK >ENSMUSP00000052085.6 pep:known chromosome:GRCm38:17:49456022:49564337:-1 gene:ENSMUSG00000040260.7 transcript:ENSMUST00000057610.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daam2 description:dishevelled associated activator of morphogenesis 2 [Source:MGI Symbol;Acc:MGI:1923691] MALRKRSPHGLGFLCCFGGSDLPEIDLRDSHPLQYLEFSGPIPNPEELNVRFAELVDELD LTDKNREAVFALPPEKKWQIYCSKRKEQEDPNKLATSWPEYYIDRINAMAAMQNLYETED EETDKRNQVVEDLKTALRTQPMRFVTRFIDLEGLTCLLNFLRGMDHTTCESRIHTSLIGC IKALMNNSQGRAHVLAQPEAISIIAQSLRTENSKTKVAVLEILGAVCLVPGGHKKVLQAM LHYQAYAAERTRFQTLLNELDRSLGRYRDEVNLKTAIMSFINAVLNAGAGEDNLEFRLHL RYEFLMLGIQPVIDKLRQHENAILDKHLDFFEMVRNEDDLELARRFDMVHIDTKSASQMF ELIHKKLKHTEAYPCLLSVLHHCLQMPYKRNGGYFQQWQLLDRILQQIVLQDERGVDPDL APLENFNVKNIVNMLINENEVKQWRDQAEKFRKEHMELMSRLERKERECETKTLEKEEMM RTLNKMKDKLARESQELRQARGQVAELVARHNESSTGPVSSPPPPGGPLTLSSSRTTNDL PPPPPPLPFDSCPPPPAPPLPPGGPPIPPGAPPCFSSGPPPSHDPFSSNEAPLRKKRIPQ PSHPLKSFNWVKLNEERVSGTVWNEIDDSQVFRILDLEDFEKMFSAYQRHQACMQEGPQR ERGNVRDGGAASRPLPAVEASAHRTEKASRSMVSATGAKKELGSTEDIYITSRKVKELSV IDGRRAQNCIILLSKLKLSNDEIRQAILRMDEQEDLAKDMLEQLLKFIPEKSDIDLLEEH KHEIERMARADRFLYEMSRIDHYQQRLQALFFKKKFQERLAEAKPKVEAILLASRELTLS QRLKQMLEVVLAIGNFMNKGQRGGAYGFRVASLNKIADTKSSIDRNISLLHYLIMILEKH FPDILNMPSELKHLSEAAKVNLAELEKEVSILRRGLRAVEVELEYQRHQARDPNDKFVPV MSDFITVSSFSFSELEDQLNEARDKFAKALTHFGEQESKMQPDEFFGIFDTFLQAFLEAR QDLEAMRRRKEEDERRARMEFMLKEQREKERWQRQRKVLAGGALEESGEFDDLVSALRSG EVFDKDLSKFKRNRKRPGSQVPEVTRERAINRLNY >ENSMUSP00000020554.6 pep:known chromosome:GRCm38:10:79664574:79668536:1 gene:ENSMUSG00000020310.8 transcript:ENSMUST00000020554.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Madcam1 description:mucosal vascular addressin cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:103579] MESILALLLALALVPYQLSRGQSFQVNPPESEVAVAMGTSLQITCSMSCDEGVARVHWRG LDTSLGSVQTLPGSSILSVRGMLSDTGTPVCVGSCGSRSFQHSVKILVYAFPDQLVVSPE FLVPGQDQVVSCTAHNIWPADPNSLSFALLLGEQRLEGAQALEPEQEEEIQEAEGTPLFR MTQRWRLPSLGTPAPPALHCQVTMQLPKLVLTHRKEIPVLQSQTSPKPPNTTSAEPYILT SSSTAEAVSTGLNITTLPSAPPYPKLSPRTLSSEGPCRPKIHQDLEAGWELLCEASCGPG VTVRWTLAPGDLATYHKREAGAQAWLSVLPPGPMVEGWFQCRQDPGGQVTNLYVPGQVTP NSSSTVVLWIGSLVLGLLALVFLAYRLWKCYRPGPRPDTSSCTHL >ENSMUSP00000053913.6 pep:known chromosome:GRCm38:19:34008254:34047903:1 gene:ENSMUSG00000024771.6 transcript:ENSMUST00000054260.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipk description:lipase, family member K [Source:MGI Symbol;Acc:MGI:2679259] MWWLLATTCCVLLSGPIDGYKQESITNPEANMNIVCVFQSELISYWGYPYEKHDVITEDG YILGTYRIPHGKGCSRKTAPKAVVYLQHGLIASANNWICNLPNNSLAFLLADSGYDVWLG NSRGNTWSRNHLRLSPKSPQYWAFSWDEMAKYDLPATVNLILEKSGQKQLFYVGHSQGTT IAFIAFSTNPELAKKIRLFFALAPVATVKYTRSPMKKLTTLSRKAVKVLFGDKMFSTHTW FEQFIATKVCNRKLFHQLCSNFLFSLSGFDPQNLNMSRLDVYLSQSPAGTSVQNMLHWAQ AVNSGQLQAFDWGNPDQNMMHFNQLTPPVYNISKMRVPTAMWSGGQDVVADAKDTKNLLP KIANLIYYKEIPHYNHMDFYLGQDAPQEVYGDLIRMIEESLQN >ENSMUSP00000021970.9 pep:known chromosome:GRCm38:13:56288647:56296551:-1 gene:ENSMUSG00000021508.10 transcript:ENSMUST00000021970.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl14 description:chemokine (C-X-C motif) ligand 14 [Source:MGI Symbol;Acc:MGI:1888514] MRLLAAALLLLLLALCASRVDGSKCKCSRKGPKIRYSDVKKLEMKPKYPHCEEKMVIVTT KSMSRYRGQEHCLHPKLQSTKRFIKWYNAWNEKRRVYEE >ENSMUSP00000137439.1 pep:known chromosome:GRCm38:13:45549758:45964991:-1 gene:ENSMUSG00000046876.15 transcript:ENSMUST00000180110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn1 description:ataxin 1 [Source:MGI Symbol;Acc:MGI:104783] MKSNQERSNECLPPKKREIPATSRPSEEKATALPSDNHCVEGVAWLPSTPGIRGHGGGRH GSAGTSGEHGLQGMGLHKALSAGLDYSPPSAPRSVPTANTLPTVYPPPQSGTPVSPVQYA HLSHTFQFIGSSQYSGPYAGFIPSQLISPSGNPVTSAVASAAGATTPSQRSQLEAYSTLL ANMGSLSQAPGHKVEPPPQQHLSRAAGLVNPGSPPPPTQQNQYIHISSSPQSSGRATSPP PIPVHLHPHQTMIPHTLTLGPSSQVVVQYSDAGGHFVPRESTKKAESSRLQQAMQAKEVL NGEMEKSRRYGASSSVELSLGKASSKSVPHPYESRHVVVHPSPADYSSRDTSGVRGSVMV LPNSSTPSADLEAQQTTHREASPSTLNDKSGLHLGKPGHRSYALSPHTVIQTTHSASEPL PVGLPATAFYAGTQPPVIGYLSGQQQAITYAGGLPQHLVIPGNQPLLIPVGSPDMDTPGA ASAIVTSSPQFAAVPHTFVTTALPKSENFNPEALVTQAAYPAMVQAQIHLPVVQSVASPT TASPTLPPYFMKGSIIQLANGELKKVEDLKTEDFIQSAEISNDLKIDSSTVERIEESHSP GVAVIQFAVGEHRAQVTLTRVIQVSVEVLVEYPFFVFGQGWSSCCPERTSQLFDLPCSKL SVGDVCISLTLKNLKNGSVKKGQPVDPASVLLKQAKTDSLAGSRHRYAEQENGINQGSAQ VLSENGELKFPEKIGLPAAPFLSKIEPSKPTATRKRRWSAPETRKLEKSEDEPPLTLPKP SLIPQEVKICIEGRSNVGK >ENSMUSP00000089217.3 pep:known chromosome:GRCm38:13:45549758:45964991:-1 gene:ENSMUSG00000046876.15 transcript:ENSMUST00000091628.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn1 description:ataxin 1 [Source:MGI Symbol;Acc:MGI:104783] MKSNQERSNECLPPKKREIPATSRPSEEKATALPSDNHCVEGVAWLPSTPGIRGHGGGRH GSAGTSGEHGLQGMGLHKALSAGLDYSPPSAPRSVPTANTLPTVYPPPQSGTPVSPVQYA HLSHTFQFIGSSQYSGPYAGFIPSQLISPSGNPVTSAVASAAGATTPSQRSQLEAYSTLL ANMGSLSQAPGHKVEPPPQQHLSRAAGLVNPGSPPPPTQQNQYIHISSSPQSSGRATSPP PIPVHLHPHQTMIPHTLTLGPSSQVVVQYSDAGGHFVPRESTKKAESSRLQQAMQAKEVL NGEMEKSRRYGASSSVELSLGKASSKSVPHPYESRHVVVHPSPADYSSRDTSGVRGSVMV LPNSSTPSADLEAQQTTHREASPSTLNDKSGLHLGKPGHRSYALSPHTVIQTTHSASEPL PVGLPATAFYAGTQPPVIGYLSGQQQAITYAGGLPQHLVIPGNQPLLIPVGSPDMDTPGA ASAIVTSSPQFAAVPHTFVTTALPKSENFNPEALVTQAAYPAMVQAQIHLPVVQSVASPT TASPTLPPYFMKGSIIQLANGELKKVEDLKTEDFIQSAEISNDLKIDSSTVERIEESHSP GVAVIQFAVGEHRAQVSVEVLVEYPFFVFGQGWSSCCPERTSQLFDLPCSKLSVGDVCIS LTLKNLKNGSVKKGQPVDPASVLLKQAKTDSLAGSRHRYAEQENGINQGSAQVLSENGEL KFPEKIGLPAAPFLSKIEPSKPTATRKRRWSAPETRKLEKSEDEPPLTLPKPSLIPQEVK ICIEGRSNVGK >ENSMUSP00000129890.2 pep:known chromosome:GRCm38:13:45549758:45964991:-1 gene:ENSMUSG00000046876.15 transcript:ENSMUST00000167708.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn1 description:ataxin 1 [Source:MGI Symbol;Acc:MGI:104783] MKSNQERSNECLPPKKREIPATSRPSEEKATALPSDNHCVEGVAWLPSTPGIRGHGGGRH GSAGTSGEHGLQGMGLHKALSAGLDYSPPSAPRSVPTANTLPTVYPPPQSGTPVSPVQYA HLSHTFQFIGSSQYSGPYAGFIPSQLISPSGNPVTSAVASAAGATTPSQRSQLEAYSTLL ANMGSLSQAPGHKVEPPPQQHLSRAAGLVNPGSPPPPTQQNQYIHISSSPQSSGRATSPP PIPVHLHPHQTMIPHTLTLGPSSQVVVQYSDAGGHFVPRESTKKAESSRLQQAMQAKEVL NGEMEKSRRYGASSSVELSLGKASSKSVPHPYESRHVVVHPSPADYSSRDTSGVRGSVMV LPNSSTPSADLEAQQTTHREASPSTLNDKSGLHLGKPGHRSYALSPHTVIQTTHSASEPL PVGLPATAFYAGTQPPVIGYLSGQQQAITYAGGLPQHLVIPGNQPLLIPVGSPDMDTPGA ASAIVTSSPQFAAVPHTFVTTALPKSENFNPEALVTQAAYPAMVQAQIHLPVVQSVASPT TASPTLPPYFMKGSIIQLANGELKKVEDLKTEDFIQSAEISNDLKIDSSTVERIEESHSP GVAVIQFAVGEHRAQVSVEVLVEYPFFVFGQGWSSCCPERTSQLFDLPCSKLSVGDVCIS LTLKNLKNGSVKKGQPVDPASVLLKQAKTDSLAGSRHRYAEQENGINQGSAQVLSENGEL KFPEKIGLPAAPFLSKIEPSKPTATRKRRWSAPETRKLEKSEDEPPLTLPKPSLIPQEVK ICIEGRSNVGK >ENSMUSP00000049683.4 pep:known chromosome:GRCm38:19:34473786:34475135:-1 gene:ENSMUSG00000050370.4 transcript:ENSMUST00000050562.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ch25h description:cholesterol 25-hydroxylase [Source:MGI Symbol;Acc:MGI:1333869] MGCYNGSELQDLGCSSQLLLQPLWDTIRTREAFTRSPIFPVTFSIITYVGFCLPFVVLDV LYPWVPILRRYKIHPDFSPSVKQLLPCLGLTLYQHLVFVFPVTLLHWVRSPALLPQEAPE LVQLLSHVLICLLLFDTEIFAWHLLHHKVPWLYRTFHKVHHQNSSSFALATQYMSFWELL SLTFFDVLNVAVLRCHPLTIFTFHVINIWLSVEDHSGYDFPWSTHRLVPFGWYGGVAHHD MHHSQFNCNFAPYFTHWDKMLGTLRSAPLPESLCACGERCVNSRERCAVHLIQKKKQT >ENSMUSP00000113616.2 pep:known chromosome:GRCm38:7:45183676:45204892:-1 gene:ENSMUSG00000038292.13 transcript:ENSMUST00000121017.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc155 description:coiled-coil domain containing 155 [Source:MGI Symbol;Acc:MGI:2687329] MHSILRSSLSSREALRMRQLKGLRKERPGRHPLGVRLRAIWTSFLFPNPPHSGGKLRAST AAVEEHQEWSMDLPEGQAGGPTAQMYLWEQPEEASSRPLLSLEEQILNSTFEACDPHKTG TVTVAHLLAYLEAVTGQGPQDVRLQTLARSLDPYGEGAGATVELDTFLVVMRDWIAACQL QGGLERAEETAYEGALASPHLPSVCPEAEESANLESFGGEDPRPEGPATAELLSNLEDLE LSNRRLAGENAKLQRSVETAEEGSARLGEEITALRKQLRSTQQALQVAKALDEELEDLKT LAKSLEEQNRSLMAQARHTEKEQQHLAAEVETLQEENEKLLAERDGVKRRSEELATEKDA LKRQLCECERLICQREAVLSERTRHAESLARTLEEYRTTTQELRQEISNLEEQLSQSQEG PEELLEGAEAGRVGWIMALPPSLDLEIQAIRQEQDVASAGLSSPLYGVWQWEEVEPEPEP EPEPEPEPEPQEVEFPSEDPARQQTDLQREPVRALEGSRAPCLRLSRSQEEEEEEEESWV LADPSSPLGTYHHKLAPGSSRESCHIVPEMHQALMPVVRDLVPVERSRTQHCLHPQHSPG IRISQHPLVPTPVLGLLLLLLLSILLFSQSPPPTWPHLQLYYLQPPPV >ENSMUSP00000052908.5 pep:known chromosome:GRCm38:17:56607452:56609771:-1 gene:ENSMUSG00000049760.5 transcript:ENSMUST00000052832.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2410015M20Rik description:RIKEN cDNA 2410015M20 gene [Source:MGI Symbol;Acc:MGI:2442174] MVARVWSLMRFLIKGSVAGGAVYLVYDQELLGPSDKSEAALRKAEEVVPPAMYQFSQYVC QQTGLEMPQLPTPPKIKFPNFRDSWNSGIISVMSALSVAPSKAREYSKEGWEYLKEHSK >ENSMUSP00000129222.1 pep:known chromosome:GRCm38:7:134881926:134938430:-1 gene:ENSMUSG00000073805.4 transcript:ENSMUST00000171394.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam196a description:family with sequence similarity 196, member A [Source:MGI Symbol;Acc:MGI:3605068] MVSKDSGRCILTTPEREVEPAACLALEMRYALDPNRQIKKRNKALQVRFKDICEAQNEQR DTQLSSGPLGEKREAKAVSCRVAYRKYMTVPARRSIPNVTKSTGVQTSPDLRKCYQTFPL DRKKGSLKGLPAADAFKSQNNGFLADSKEKSEAGPMEEPRPCSAGRIHKTTALVFHSNEH VNALGQPSGVNCAELCKSPDVLGYPEAVLQNSRPPSEEPIHQLQGRAKLDRGTLDSEEPA PLTHGRVFKTEVATVYPPAISTRAPEPGLSNSAAASQWSLCPADEEQRRAAHLNGLQAST GSAVACSTPVQYLSPECSEQPLQNQPSPTAGIGDEEHQQIVPHTEVVDLKAQLQVMENLI SSSQETIKVLLGVIQELEKGEAHREGLSYRTGQDTANCDTCRNSACIIYSVELDFKQQED KLQPVLRKLHPVEETQVIPSPYPQETHSSTPKQKSKTESKKHGRWKLWFL >ENSMUSP00000075587.5 pep:known chromosome:GRCm38:14:55491093:55508246:1 gene:ENSMUSG00000022211.8 transcript:ENSMUST00000076236.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc16b description:leucine rich repeat containing 16B [Source:MGI Symbol;Acc:MGI:2448573] MAKASVELTRELQDSIRRCLSQGAVLQQHRVKLETKPKKFEDRVLALTSWRLHLFPLKVP AKVESSFNVLEIRAFNTLSQNQILVETERGTVSMRLPSAESVDQVTRHVSSALSKVCPGP GCLIRRGNADTPEGPRDTSPNSETSTSTTHSVCGGFSETYAALCDYNGLHCREEVQWDVD TIYHAEDNREFNLLDFSHLESRDLALMVAALAYNQWFTKLYCKDLRLGSEVLEQVLHTLS KSGSLEELVLDNAGLKTDFVQKLAGVFGENGSCVLHALILSHNPIEDKGFLSLSQQLLCF PTGLTKLCLAKTAISPRGLQALGQTFGANPAFASSLRYLDLSKNPGLLATDEANALYSFL AQPNALVHLDLSGTDCAVDMLLGALLHGCCSHLTYLNLARNSCSHRKGREAPPAFKQFFS SVYTLSHVNLSATRLPLEALRALLQGLSLNSHLSDLHLDLSSCELRSAGAQALQEQLGAV TCIGSLDLSDNGFDSDLLTLVPALGKNKSLKHLFLGKNFNVKAKTLEEILHKLVQLIQEE DCSLQSLSVADSRLKLRTSILINALGSNTCLAKVDLSGNGMEDIGAKMLSKALQINSSLR TILWDRNNTSALGFLDIARALESNHTLRFMSFPVSDISQAYRSAPERTEDVWQKIQWCLV RNNHSQTCPQEQAFRLQQGLVTSSAEQMLQRLCGRVQEEVRALRLCPLEPVQDELLYARD LIKDAKNSRALFPSLYELGHVLANDGPVRQRLESVASEVSKAVDKELQVILESMVSLTQE LCPVAMRVAEGHNKMLSNVAERVTVPRNFIRGALLEQAGQDIQNKLDEVKLSVVTYLTNS IVDEILQELYHSHKSLARHLTQLRTLSDPPGGASQGQDPSSRGRGRNHDHEETDDELGTN IDTMAIKKQKRCRKIRPVSAFISGSPQDMESQLGSLGIPPGWFSGLGASQTTASGSWEGL SELPTHGYKLRHQTQGRPRPPRTTPPGPGRPSVPVPGPRQENGMATRLDEGLEDFFSRRV MDESSSYPRTLRTMRPGLSEPPLPPLQKKRRRGLFHFRRPRSFKGDRGPGSPTAGLLLPP PPPPPPTQESPPSPDPPSLGNNSSPCWSPEEESSLLPGFGGARGSSFCRKMGTERLEAGE GAPAPGTAQQPRVHGGVALPGLGRTKGWSFDGKREGTDPDQEDSTQAWQKRRSSDDAGPG AWKPPPPPQSSKPSFSAMRRAEATWHIAEESAANHSCQSPSPASQDGDEEKQGALFPERM VPTRNAKLQEPPIGPRPPKPVAVPRGRRAPQVPGGREETESSSAAPGANKPRLRLGSQQD QEEPEGQGPTDQGRRTAPLKPKRTRRAQSCDKLEPDRRQPPDPTGVCGTSEPGTD >ENSMUSP00000137818.1 pep:known chromosome:GRCm38:15:95787856:95791642:-1 gene:ENSMUSG00000097643.1 transcript:ENSMUST00000180649.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A130051J06Rik description:RIKEN cDNA A130051J0 gene [Source:MGI Symbol;Acc:MGI:3642574] MSPSSSSSSSSSMFSRTFLVIICMSPGDRHSAALGSARLRSARAASSALPSRHCPAALRP RSASAGAAQPATEHAQRDAAAPAPDQTAPAARSLGGSRAGGVGGRGVAEAGRAAPRLRGA LQPQRQAGFYELTRVFNLQNDETLQGARLVWGRKTTHVRTESPCAPARRSTCGEWSRGAL QAPELGRTKHAIATCKLFNKTIWETVVPGRTKKRKGEMTVESEGMPP >ENSMUSP00000003445.6 pep:known chromosome:GRCm38:15:98724373:98728198:-1 gene:ENSMUSG00000003355.6 transcript:ENSMUST00000003445.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp11 description:FK506 binding protein 11 [Source:MGI Symbol;Acc:MGI:1913370] MTLSPLLLPLQLLLLLLFSGAVCRAEAGPETESPVRTLQVETLVQPPESCTESAAIGDTL HIHYTGSLVDGRIIDTSLTRDPLVIELGQKQVIPGLEQSLLDMCVGEKRRAVIPSHLAYG KRGYPPSIPADAVVQYDVELIALIRANYWQKLLKSILPLVGIAMVPALLGLIGYHLYRKA SRPKVSKKKLKEEKRNKSKKK >ENSMUSP00000105243.2 pep:known chromosome:GRCm38:8:90828835:91054508:1 gene:ENSMUSG00000056608.13 transcript:ENSMUST00000109614.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd9 description:chromodomain helicase DNA binding protein 9 [Source:MGI Symbol;Acc:MGI:1924001] MTDPMMDFFDDANLFGETLEGLSDDTFVQPGPVSLVDELNLGAEFEPLHIDSLNHVQGTP THQKMADFEQLSQFDSMKFHPVNQSFGSPVEHVLSPHSQFNCSPIHPPNQPNGLFQDVAD GSPMWGHQTATGLANQNGSPFHQPGHSHSLHQNKSFVAHPDFALFQASEHQTQCSSLHSQ QSRSNLNPGQNSLGQAKNFLDANVSGAHRVNVNHLATAPSSQQTLPVQFSPTANPPAHFL KCSSHQEGNYNRPSPSMTSCSVSNSQQFPSHYSFSSGHVSPSSLLQSSAGLAPGHTNQAL SDFAGSNSFSPHRGMKQEPTQHLLNPTPSLNSNNFQILHSSHPQGNYSNSKLSPVHMNFP DPVDAGPPVGHFNDHAETNGFSSLEENLLHHVDSHAEPFAGLDPEDLLQEGLLPQFDESP FGQDNSNHVLDHDLDRQFTSHLVSRPSDMAQTQLQYQARGWPSPLSTNHQHLHSRNHLCL QRQPPSSKKSDGSGTYTKLQNTQVRVMSEKKPRKRVESESKQEKANRIISEAIARAKERG ERNIPRVMSPENFPSASVEGKEEKRGRRMKSKPKDRDNKKPKTYSKLKEKTKIGKLIITL GKKHKRRNESSDELSDAEQRSQHTFKEQHSQKRRSNRQIKRKKYAEDAEGKQSEEEVKGS LRVKRNSAPPPGEQPLQLFVENPSEEDAAIVDKILACRTVKKEVSPGVMLDIEEFFVKYK NYSYLHCEWATEQQLLKDKRIQQKIKRFKLRQAQRAHFLADMEEEPFNPDYVEVDRILEV SFCEDKDTGESVIYYLVKWCSLPYEDSTWELKEDVDLAKIEEFEQLQASRPDTRHLDRPP SNIWKKIEQSREYKNGNQLREYQLEGLNWLLFNWYNRRNCILADEMGLGKTIQSITFLYE ILLTGIRGPFLIIAPLSTIANWEREFRTWTDINVVVYHGSLISRQMIQQYEMYFRDSQGR IIRGAYRFQAIITTFEMILGGCGELNAIDWRCVIIDEAHRLKNKNCKLLEGLKLMNLEHK VLLTGTPLQNTVEELFSLLHFLEPLRFPSESTFMQEFGDLKTEEQVQKLQAILKPMMLRR LKEDVEKKLAPKEETIIEVELTNIQKKYYRAILEKNFSFLSKGAGQTNVPNLVNTMMELR KCCNHPYLIKGAEEKILGEFRDTYNPSASDFHLQAMIQSAGKLVLIDKLLPKMKAGGHKV LIFSQMVRCLDILEDYLIHKRYLYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAG GLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQNKAVKVYRLVTRNSYEREMFDRAS LKLGLDKAVLQSMSGRDSNVSGIQQLSKKEIEDLLRRGAYGAIMEEEDEGSKFCEEDIDQ ILLRRTKTITIESEGRGSTFAKASFVASGNRTDISLDDPNFWQKWAKKAELDIDTISGRN SLVIDTPRIRKQTRPFSATKDELAELSEAESEGEEKPKLRRPCDRSGGYGRTECFRVEKN LLVYGWGRWREILSHGRFKRQLNEHDVEVICRALLAYCLIHYRGDEKIKGFIWDLITPTE DGQTRELQNHLGLSAPVPRGRKGKKVKTQTSSFDIQKAEWLRKYNPEQLLQDEGYKKHVK HHCNKVLLRVRMLYYLKQEVIGNESQKVFDGVDASDIDVWVPEPDHSEVPAAWWDFDADK SLLIGVFKHGYEKYNTIRADPALCFLERVGKPDDKAVAAEQRANDYMDGDVEDPEYKPAP AIFKDDIEDDVSSPGDLVIADGEGQLMEGDKVYWPTPSALTTRLRRLITAYQRTNKNRHI QQMQPTFSLPANAMQPLYEEATLNPKMAAKIERQQRWTRREEADFYRVVSTFGVVFDPDR GQFDWTKFRALARLHKKTDNSLEKYLCAFMSMCRRVCRLPSKEELVDPNIFIQPITEERA SRTLYRIELLRKVREQALRHPQLFERLKLCHPNPDLPIWWECGSHDRDLLIGAAKHGVSR TDYHILRDPELSFMAAQRNYNQSKAAHSRTSAPLLQQYQVALSASPLTSLPRLLGAKGTL LEDMKVKSESLTEEPQSSEEESMSSMETRTRVKSEPVSPKNGVLSQATGDQKSGGKSETD RRMVAARTEPLTPNPASKKPRVHKRGSQSSSDSDSDSARSSCSSRSSSSSSSSSSCSHSR SGSSSSSSSSCSSASSSSSSSSSSSSSSSSSSSEESDSEEDVQKREGTPHRKAYDEESVA SLSTTQDETQDSFQANNGTPESAYLLQGGYMLAASYWPKDRVMINRLDSICQTVLKGKWP SARRHYDANTVASFYTTKLLDSPGAATERGEPSVPTPPAVAVREEHEQSAQMSKVKKHVR EKEFTVKIKDEGGLKLTFQKQGLAQKRPFDGEDGALGQQQYLTRLRELQSTSETSLVNLP KAVPASGTSIQPTLGANGAILDSQPIVKKRRGRRRNVEGADILFLNRNKPPNHIPTGMNP ALSYPQPQRIPDTESPVPVINLKDGTRLAGDDAPKRKDLDRWLKEHPGYVEDLGAFIPRV QLHEGRPKQKRHRCRNPNKLDINSLTGEERVQLINRRNARKVGGAFAPPLKDLCRFLKEN SEYGVAPEWGDVVKQSGFLPESMFERILTGPVVREEVSRRGRRPKSGIAKATTAAAVPAG SVPGNPLLANGLLPGVDLTALQALQQNLQNLQSLQVTAGLMGMPAGLSSGGETKNMAAMF PMLFSGMAGLPNLLGMGGLLSKTAESGAEEKRGNDSKELEGKKERTESQSPENGGERCVP GSPSTSSTAALSSAAAAKPIALNPLLLSNILYPGMLLTPGLNLHLPTLSQSNAFDVQKNK SDDLDSSKSVEIKEENSRVRDQEEKGGTEPSPLNENSTDEGSERASSGSDSSSSSSEDSD SSNED >ENSMUSP00000046356.6 pep:known chromosome:GRCm38:8:90828835:91054508:1 gene:ENSMUSG00000056608.13 transcript:ENSMUST00000048665.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chd9 description:chromodomain helicase DNA binding protein 9 [Source:MGI Symbol;Acc:MGI:1924001] MTDPMMDFFDDANLFGETLEGLSDDTFVQPGPVSLVDELNLGAEFEPLHIDSLNHVQGTP THQKMADFEQLSQFDSMKFHPVNQSFGSPVEHVLSPHSQFNCSPIHPPNQPNGLFQDVAD GSPMWGHQTATGLANQNGSPFHQPGHSHSLHQNKSFVAHPDFALFQASEHQTQCSSLHSQ QSRSNLNPGQNSLGQAKNFLDANVSGAHRVNVNHLATAPSSQQTLPVQFSPTANPPAHFL KCSSHQEGNYNRPSPSMTSCSVSNSQQFPSHYSFSSGHVSPSSLLQSSAGLAPGHTNQAL SDFAGSNSFSPHRGMKQEPTQHLLNPTPSLNSNNFQILHSSHPQGNYSNSKLSPVHMNFP DPVDAGPPVGHFNDHAETNGFSSLEENLLHHVDSHAEPFAGLDPEDLLQEGLLPQFDESP FGQDNSNHVLDHDLDRQFTSHLVSRPSDMAQTQLQYQARGWPSPLSTNHQHLHSRNHLCL QRQPPSSKKSDGSGTYTKLQNTQVRVMSEKKPRKRVESESKQEKANRIISEAIARAKERG ERNIPRVMSPENFPSASVEGKEEKRGRRMKSKPKDRDNKKPKTYSKLKEKTKIGKLIITL GKKHKRRNESSDELSDAEQRSQHTFKEQHSQKRRSNRQIKRKKYAEDAEGKQSEEEVKGS LRVKRNSAPPPGEQPLQLFVENPSEEDAAIVDKILACRTVKKEVSPGVMLDIEEFFVKYK NYSYLHCEWATEQQLLKDKRIQQKIKRFKLRQAQRAHFLADMEEEPFNPDYVEVDRILEV SFCEDKDTGESVIYYLVKWCSLPYEDSTWELKEDVDLAKIEEFEQLQASRPDTRHLDRPP SNIWKKIEQSREYKNGNQLREYQLEGLNWLLFNWYNRRNCILADEMGLGKTIQSITFLYE ILLTGIRGPFLIIAPLSTIANWEREFRTWTDINVVVYHGSLISRQMIQQYEMYFRDSQGR IIRGAYRFQAIITTFEMILGGCGELNAIDWRCVIIDEAHRLKNKNCKLLEGLKLMNLEHK VLLTGTPLQNTVEELFSLLHFLEPLRFPSESTFMQEFGDLKTEEQVQKLQAILKPMMLRR LKEDVEKKLAPKEETIIEVELTNIQKKYYRAILEKNFSFLSKGAGQTNVPNLVNTMMELR KCCNHPYLIKGAEEKILGEFRDTYNPSASDFHLQAMIQSAGKLVLIDKLLPKMKAGGHKV LIFSQMVRCLDILEDYLIHKRYLYERIDGRVRGNLRQAAIDRFSKPDSDRFVFLLCTRAG GLGINLTAADTCIIFDSDWNPQNDLQAQARCHRIGQNKAVKVYRLVTRNSYEREMFDRAS LKLGLDKAVLQSMSGRDSNVSGIQQLSKKEIEDLLRRGAYGAIMEEEDEGSKFCEEDIDQ ILLRRTKTITIESEGRGSTFAKASFVASGNRTDISLDDPNFWQKWAKKAELDIDTISGRN SLVIDTPRIRKQTRPFSATKDELAELSEAESEGEEKPKLRRPCDRSGGYGRTECFRVEKN LLVYGWGRWREILSHGRFKRQLNEHDVEVICRALLAYCLIHYRGDEKIKGFIWDLITPTE DGQTRELQNHLGLSAPVPRGRKGKKVKTQTSSFDIQKAEWLRKYNPEQLLQDEGYKKHVK HHCNKVLLRVRMLYYLKQEVIGNESQKVFDGVDASDIDVWVPEPDHSEVPAAWWDFDADK SLLIGVFKHGYEKYNTIRADPALCFLERVGKPDDKAVAAEQRANDYMDGDVEDPEYKPAP AIFKDDIEDDVSSPGDLVIADGEGQLMEGDKVYWPTPSALTTRLRRLITAYQRTNKNRHI QQMQPTFSLPANAMQPLYEEATLNPKMAAKIERQQRWTRREEADFYRVVSTFGVVFDPDR GQFDWTKFRALARLHKKTDNSLEKYLCAFMSMCRRVCRLPSKEELVDPNIFIQPITEERA SRTLYRIELLRKVREQALRHPQLFERLKLCHPNPDLPIWWECGSHDRDLLIGAAKHGVSR TDYHILRDPELSFMAAQRNYNQSKAAHSRTSAPLLQQYQVALSASPLTSLPRLLGAKGTL LEDMKVKSESLTEEPQSSEEESMSSMETRTRVKSEPVSPKNGVLSQATGDQKSGGKSETD RRMVAARTEPLTPNPASKKPRVHKRGSQSSSDSDSDSARSSCSSRSSSSSSSSSSCSHSR SGSSSSSSSSCSSASSSSSSSSSSSSSSSSSSSEESDSEEDVQKREGTPHRKAYDEESVA SLSTTQDETQDSFQANNGTPESAYLLQGGYMLAASYWPKDRVMINRLDSICQTVLKGKWP SARRHYDANTVASFYTTKLLDSPGAATERGEPSVPTPPAVAVREEHEQSAQMSKEGGLKL TFQKQGLAQKRPFDGEDGALGQQQYLTRLRELQSTSETSLVNLPKAVPASGTSIQPTLGA NGAILDSQPIVKKRRGRRRNVEGADILFLNRNKPPNHIPTGMNPALSYPQPQRIPDTESP VPVINLKDGTRLAGDDAPKRKDLDRWLKEHPGYVEDLGAFIPRVQLHEGRPKQKRHRCRN PNKLDINSLTGEERVQLINRRNARKVGGAFAPPLKDLCRFLKENSEYGVAPEWGDVVKQS GFLPESMFERILTGPVVREEVSRRGRRPKSGIAKATTAAAVPAGSVPGNPLLANGLLPGV DLTALQALQQNLQNLQSLQVTAGLMGMPAGLSSGGETKNMAAMFPMLFSGMAGLPNLLGM GGLLSKTAESGAEEKRGNDSKELEGKKERTESQSPENGGERCVPGSPSTSSTAALSSAAA AKPIALNPLLLSNILYPGMLLTPGLNLHLPTLSQSNAFDVQKNKSDDLDSSKSVEIKEEN SRVRDQEEKGGTEPSPLNENSTDEGSERASSGSDSSSSSSEDSDSSNED >ENSMUSP00000022281.3 pep:known chromosome:GRCm38:13:112867780:112927380:-1 gene:ENSMUSG00000016018.3 transcript:ENSMUST00000022281.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skiv2l2 description:superkiller viralicidic activity 2-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919448] MADAFGDELFSVFEDDSTSAAGAKKDKEKEKWKGPPGSADKAGKRLDTKLQSESASGGKN KRDLDVEGTDEPIFGKKPRIEDSINEDLSLADLMPRVKVQSVETVEGCTHEVALPADEDY IPLKPRVGKAAKEYPFILDAFQREAIQCVDNNQSVLVSAHTSAGKTVCAEYAIALALREK QRVIFTSPIKALSNQKYREMYEEFQDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEV MREVAWVIFDEIHYMRDSERGVVWEETIILLPDNVHYVFLSATIPNARQFAEWICHLHKQ PCHVIYTDYRPTPLQHYIFPAGGDGLHLVVDENGDFREDNFNTAMQVLRDAGDLAKGDQK GRKGGTKGPSNVFKIVKMIMERNFQPVIIFSFSKKDCEAYALQMTKLDFNTDEEKKMVEE VFNNAIDCLSDEDKKLPQVEHVLPLLKRGIGIHHGGLLPILKETIEILFSEGLIKALFAT ETFAMGINMPARTVLFTNARKYDGKDFRWISSGEYIQMSGRAGRRGMDDRGIVILMVDEK MSPTIGKQLLKGSADPLNSAFHLTYNMVLNLLRVEEINPEYMLEKSFYQFQHYRAIPGVV EKVKNSEEQYNKIVIPNEENVVIYYKIRQQLAKLGKEIEEYIHKPKYCLPFLQPGRLVKV KNEGDDFGWGVVVNFSKKSNVKPNSGELDPLYVVEVLLRCSKESLKNSATEAAKPAKPDE KGEMQVVPVLVHLLSAISTVRLYIPKDLRPVDNRQSVLKSIQEVQRRFPDGVPLLDPIDD MGIQDQGLKKVIQKVEAFEHRMYSHPLHNDPNLETVYTLCERKAQIALDIKSAKRELKKA RTVLQMDELKCRKRVLRRLGFATSSDVIEMKGRVACEISSADELLLTEMMFNGLFNDLSS EQATALLSCFVFQENSSEMPKLTEQLAGPLRQMQECAKRIAKVSAEAKLEIDEETYLSSF KPHLMDVVYTWATGATFAHICKMTDVFEGSIIRCMRRLEELLRQMCQAAKAIGNTELENK FAEGITKIKRDIVFAASLYL >ENSMUSP00000047656.6 pep:known chromosome:GRCm38:17:55970467:55976617:1 gene:ENSMUSG00000039154.6 transcript:ENSMUST00000044216.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shd description:src homology 2 domain-containing transforming protein D [Source:MGI Symbol;Acc:MGI:1099461] MAKWLRDYLNLGSRRPPPQPPTPDYTESDILRAYREQKDLDFEDPYEDSNGRAEPEVTGS GDPKYNSPRHRLIKVEAADMARAKALLGSPGEEQPEAETEYSDPFDAQPQPPAPNSGYME PYDARSVSSEQPSRAVQLYDTPYEEQATKPEDGGSSGQSRRPLEDERPADEYDQPWEWKK DHISRAFAVQFDGPDWERTPCSTKEPWRPQPAERVDTALALEKQPWFHGPLSRAEAENLL SLCKEGSYLVRLSETRAQDCILSLRSNQGSMHLKFARTRENQVVLGQHSGPFPSIPELVL HYSARPLPVQGAEHLALLYPVTSSQSSQGPCTLAAKPERGQGDP >ENSMUSP00000093729.5 pep:known chromosome:GRCm38:19:46312087:46327156:-1 gene:ENSMUSG00000037126.15 transcript:ENSMUST00000096029.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd description:pleckstrin and Sec7 domain containing [Source:MGI Symbol;Acc:MGI:1920978] MAQGAMRFCSEGDCAISPPRCPRRWLPEGPVPQSPPASMYGSTGSLIRRVVGPGPRGRDL GRVTAPCTPLRAPPSPHIAPSPWGPSSPTGQPPPGAQSSVVIFRFVEKASVRPLNGLPAS GGLSRSWDLGGISAPRPTPALGPGCNRKLRLEASTSDPLPAGGGSVLPGSRDPSRGPLVP PQIGADGLYSSLPNGLGGTPEHLAMHFRGPADTGFLNQGDTWSSPREVSSHAQRIARAKW EFFYGSLDAPSSGAKPPEQVLPSRGVGSKQGSGVAVGRAAKYSETDLDKVPLRCYRETDI DEVLAEREEADSAIESQPSSEGPHGTAQPPASRPSPCPGPSSSLGSGNEDDEAGGEEDVD DEVFEASEGARPGDHMPHSGLLKSPVPFLLGTSPSADGPDSFSCVFEAILESHRAKGTSY SSLASLEALASPGPTQSPFFTFEMPPQPPAPRPDPPAPAPLAPLEPDSGTSSAADGPWTQ RREVEESDAGATLAPRKELPSPSHSEDSFGLGAAPLGSSEPPLSQLVSDSDSELDSTERL ALGSTDTLSNGQKADLEAAQRLAKRLYRLDGFRKADVARHLGKNNDFSKLVAGEYLKFFV FTGMTLDQALRVFLKELALMGETQERERVLAHFSQRYFQCNPEALSSEDGAHTLTCALML LNTDLHGHNIGKRMTCGDFIGNLEGLNDGGDFPRELLKALYSSIKNEKLQWAIDEEELRR SLSELADPNPKVIKRVSGGSGSSSSPFLDLTPEPGAAVYKHGALVRKVHADPDCRKTPRG KRGWKSFHGILKGMILYLQKEEYQPGKALSEAELKNAISIHHALATRASDYSKRPHVFYL RTADWRVFLFQAPSLEQMQSWITRINVVAAMFSAPPFPAAVSSQKKFSRPLLPSAATRLS QEEQVRTHEAKLKAMASELREHRAAHLGKKARGKEADEQRQKEAYLEFEKSRYGTYAALL RVKMKAASEELDTIEAALAQAGSTEDGCPPPHSSPSLRPKPTSQPRAQRPGSETRAGAGS TRPKP >ENSMUSP00000039728.4 pep:known chromosome:GRCm38:19:46312087:46327156:-1 gene:ENSMUSG00000037126.15 transcript:ENSMUST00000041391.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psd description:pleckstrin and Sec7 domain containing [Source:MGI Symbol;Acc:MGI:1920978] MAQGAMRFCSEGDCAISPPRCPRRWLPEGPVPQSPPASMYGSTGSLIRRVVGPGPRGRDL GRVTAPCTPLRAPPSPHIAPSPWGPSSPTGQPPPGAQSSVVIFRFVEKASVRPLNGLPAS GGLSRSWDLGGISAPRPTPALGPGCNRKLRLEASTSDPLPAGGGSVLPGSRDPSRGPLVP PQIGADGLYSSLPNGLGGTPEHLAMHFRGPADTGFLNQGDTWSSPREVSSHAQRIARAKW EFFYGSLDAPSSGAKPPEQVLPSRGVGSKQGSGVAVGRAAKYSETDLDKVPLRCYRETDI DEVLAEREEADSAIESQPSSEGPHGTAQPPASRPSPCPGPSSSLGSGNEDDEAGGEEDVD DEVFEASEGARPGDHMPHSGLLKSPVPFLLGTSPSADGPDSFSCVFEAILESHRAKGTSY SSLASLEALASPGPTQSPFFTFEMPPQPPAPRPDPPAPAPLAPLEPDSGTSSAADGPWTQ RREVEESDAGATLAPRKELPSPSHSEDSFGLGAAPLGSEPPLSQLVSDSDSELDSTERLA LGSTDTLSNGQKADLEAAQRLAKRLYRLDGFRKADVARHLGKNNDFSKLVAGEYLKFFVF TGMTLDQALRVFLKELALMGETQERERVLAHFSQRYFQCNPEALSSEDGAHTLTCALMLL NTDLHGHNIGKRMTCGDFIGNLEGLNDGGDFPRELLKALYSSIKNEKLQWAIDEEELRRS LSELADPNPKVIKRVSGGSGSSSSPFLDLTPEPGAAVYKHGALVRKVHADPDCRKTPRGK RGWKSFHGILKGMILYLQKEEYQPGKALSEAELKNAISIHHALATRASDYSKRPHVFYLR TADWRVFLFQAPSLEQMQSWITRINVVAAMFSAPPFPAAVSSQKKFSRPLLPSAATRLSQ EEQVRTHEAKLKAMASELREHRAAHLGKKARGKEADEQRQKEAYLEFEKSRYGTYAALLR VKMKAASEELDTIEAALAQAGSTEDGCPPPHSSPSLRPKPTSQPRAQRPGSETRAGAGST RPKP >ENSMUSP00000074895.6 pep:known chromosome:GRCm38:15:98803782:98807925:-1 gene:ENSMUSG00000059213.6 transcript:ENSMUST00000075444.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddn description:dendrin [Source:MGI Symbol;Acc:MGI:108101] MLDGPLFSEGPDSPRELQDEESGSCLWVQKSKLLVIEVKTISCHYSRRAPSRQSMDIQAS YWARGPQSRTCRLRPGSPEPPPRRPWASRVLQEATNWRAGPPAEVRAREQEKRKAASQER EAKETERKRRKAGGARRSPLGQPRPEPRNALRAAQPTGFPVFSRPERFGQVGRAPRPSVL PQGDPGVAWAGPWGGRRPGPPSYEAHLLLRGSAGTAPRRRWDRPPPYVAPPSYEGPHRTL GTKRGPELSRAPTSSAPVPATTRTEGGRTKKRLDPRIYRDVLGAWGLRQGRGLLGGAPGC TAARARPESCKGAIEKSSGLVAAGLNSAGDSHSQGKTTGGPGTDAALSRSAISSPPRPVP RSRQHLRGSRKGKEGSEQIWLPTCWLASPKKPPVRHSQTLPRPWAPGGTGWKESLGQREG AEHETLEVWKVTRRAHTLPRISRGPAGREGIFVIDATCVVIKSQYVPTPRTQQGQLVPSG ESCSVSDSLSQPKPCHEEEGEGAAANPSVCQKRLLSSRVLNQPSEGRECEAEVGQQGDSS LEERSSSGLGFPVGEVNPRDAPTQPGSQEHPTLGPAAPVCAGSLKGSEAAGVPRRAGGGW ARTPGPYAGALREAVSRIRRHTAPDSDSDEAEDLSVHSGSSDGSDTDAPGASWRNERTLP ALGNTRPREGGKTAGLSDSIREIVDVISQTEEGFIREDTRKTPQGNRERE >ENSMUSP00000000129.6 pep:known chromosome:GRCm38:17:63896018:64139494:1 gene:ENSMUSG00000000127.14 transcript:ENSMUST00000000129.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fer description:fer (fms/fps related) protein kinase [Source:MGI Symbol;Acc:MGI:105917] MGFGSDLKNSQEAVLKLQDWELRLLETVKKFMALRIKSDKEYAYTLQNLCNQVDKESTVQ VNYVSNVSKSWLLMIQQTEQLSRIMKTHAEDLNSGPLHRLTMMIKDKQQVKKSYVGIHQQ IEAEMIKVTKTELEKLKSSYRQLIKEMNSAKEKYKEALAKGKETEKAKERYDKATMKLHM LHNQYVLALKGAQLHQSQYYDTTLPLLLDSVQKMQEEMIKALKGIFDDYSQITSLVTEEI VNVHKEIQMSVEQIDPSTEYNNFIDVHRTTAAKEQEIEFDTSLLEENENLQANEIMWNNL TADSLQVMLKTLAEELTQTQQMLLHKEAAVLELEKRIEESFETCEKKSDIVLLLGQKQAL EELKQSVQQLRCTEAKCAAQKALLEQKVQENDGKEPPPVVNYEEDARSVTSMERKERLSK FESIRHSIAGIIKSPKSVLGSSTQVCDVISVGERPLAEHDWYHGAIPRIEAQELLKQQGD FLVRESHGKPGEYVLSVYSDGQRRHFIIQFVDNLYRFEGTGFSNIPQLIDHHFNTKQVIT KKSGVVLLNPIPKDKKWVLNHEDVSLGELLGKGNFGEVYKGTLKDKTPVAIKTCKEDLPQ ELKIKFLQEAKILKQYDHPNIVKLIGVCTQRQPVYIIMELVPGGDFLTFLRKRKDELKLK QLVRFSLDVAAGMLYLESKNCIHRDLAARNCLVGENNTLKISDFGMSRQEDGGVYSSSGL KQIPIKWTAPEALNYGRYSSESDVWSFGILLWETFSLGVCPYPGMTNQQAREQVERGYRM SAPQNCPEEVFTIMMKCWDYKPENRPKFNDLHKELTVIKKMIT >ENSMUSP00000037418.5 pep:known chromosome:GRCm38:17:63937972:64139494:1 gene:ENSMUSG00000000127.14 transcript:ENSMUST00000038080.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fer description:fer (fms/fps related) protein kinase [Source:MGI Symbol;Acc:MGI:105917] MDKSMECPHCEGVLEPESDPQFSKKCSIPLSPGPSSSEILRYKERKERLSKFESIRHSIA GIIKSPKSVLGSSTVCDVISVGERPLAEHDWYHGAIPRIEAQELLKQQGDFLVRESHGKP GEYVLSVYSDGQRRHFIIQFVDNLYRFEGTGFSNIPQLIDHHFNTKQVITKKSGVVLLNP IPKDKKWVLNHEDVSLGELLGKGNFGEVYKGTLKDKTPVAIKTCKEDLPQELKIKFLQEA KILKQYDHPNIVKLIGVCTQRQPVYIIMELVPGGDFLTFLRKRKDELKLKQLVRFSLDVA AGMLYLESKNCIHRDLAARNCLVGENNTLKISDFGMSRQEDGGVYSSSGLKQIPIKWTAP EALNYGRYSSESDVWSFGILLWETFSLGVCPYPGMTNQQAREQVERGYRMSAPQNCPEEV FTIMMKCWDYKPENRPKFNDLHKELTVIKKMIT >ENSMUSP00000045925.9 pep:known chromosome:GRCm38:18:84935158:84981376:1 gene:ENSMUSG00000034391.10 transcript:ENSMUST00000037718.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo15 description:F-box protein 15 [Source:MGI Symbol;Acc:MGI:1354755] MEESELEIFRSKFVRGSSVTKQHAWRNQHSEKRCSSSISSISLDRMPSEILVKILSYLDA VTLVCIGCVSRRFYHLADDNLIWVRKYAAAFRSKRSRWKATSVEETATSLSLLSVWDKED GYWKKEYITKQISSVKAALTNSLSPVKRRTSLPSKTKESLRISGLGWTIILREASGKEHI MQHSNLSVNDNSVTVFWHDKNWPHVDTLSTLDLYGATPIFMEQYKGPNTSCPRWLSLIEK YDLSNLRKSAMIGCDRHVRVFCVNPGLLVGLWQENGGLAFVMANIHSHGLFERSIMGSDT IPYTLPPDTTFVDNYPDSMTFYGDKGFQLHIDIHGSKTYFLCSTFHNLFCRRAGINNGYV KFLMINLKNNREHLPLVGKVGLEWRTDCLNGRIESCIVVDMTLLDEDKKPIWYVSSPVCL RSACLPDFPQPAYSFEYMDSVGGVCADLGWFENTDEYFIVRLDIYLSVAKLQQWFGRQ >ENSMUSP00000137012.1 pep:known chromosome:GRCm38:13:119606650:119610458:1 gene:ENSMUSG00000094114.1 transcript:ENSMUST00000178948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21967 description:predicted gene, 21967 [Source:MGI Symbol;Acc:MGI:5439436] MQGMRTRIACWFLTAFTILTQLTMASKVEKTHALPPCCSTESLISSIGLGIFCLVADRLL RFPIIQHNDWLRAISDNIVHGVIGMWSWAVVTGIRKKSDFGEVLLAGFLASVIDVDHFFQ ARSLSLQAALTLPRRPFLHCSTVIPIAVLSVKLAVHLFKLRDSWRFLPWMILVSWTSHHI RDGIRHGLWICPFGKTPPLPSSFYVISTLSLPHLCSFLMYLTGTRQTVSSKYGMRIDV >ENSMUSP00000009877.6 pep:known chromosome:GRCm38:15:100502799:100552008:-1 gene:ENSMUSG00000009733.8 transcript:ENSMUST00000009877.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfcp2 description:transcription factor CP2 [Source:MGI Symbol;Acc:MGI:98509] MAWALKLPLADEVIESGLVQDFDASLSGIGQELGAGAYSMSDVLALPIFKQEESSLPPDN ENEILPFQYVLCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKLGELPELNGKLVKSIF RVVFHDRRLQYTEHQQLEGWRWNRPGDRILDIDIPMSVGVIDPRANPTQLNTVEFLWDPS KRTSVFIQVHCISTEFTMRKHGGEKGVPFRVQIDTFKENGNGEYTEHLHSASCQIKVFKP KGADRKQKIDREKMEKRTPHEKEKYQPSYETTILTECSPWPEITYVNNSPSPGFNSSHSS FSLGEGNGSPNHQPEPPPPVTDNLLPTTTPQEAQQWLHRNRFSTFTRLFTNFSGADLLKL TRDDVIQICGPADGIRLFNALKGRMVRPRLTIYVCQESLQLREQQPQPQPQPQKQEDGDS NGTFFVYHAIYLEELTAVELTEKIAQLFSISPHQISQIYKQGPTGIHVVISDEMVQNFQE EACFILDTMEAETSDSYHVILK >ENSMUSP00000075140.7 pep:known chromosome:GRCm38:8:70130812:70139197:-1 gene:ENSMUSG00000036120.9 transcript:ENSMUST00000075724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfxank description:regulatory factor X-associated ankyrin-containing protein [Source:MGI Symbol;Acc:MGI:1333865] MEPTQVAENLVPNQQPPVPDLEDPEDTRDESPENSDTVVLSLFPCTPDAVNPEADASASS LQGSFLKHSTTLTNRQRGNEVSALPATLDSLSIHQLAAQGELSQLKDHLRKGACPACTCL SGNNLINKPDERGFTPLIWASAFGEIETVRFLLDWGADPHILAKERESALSLASMGGYTD IVRLLLDRDVDINIYDWNGGTPLLYAVRGNHVKCVEALLARGADLTTEADSGYTPMDLAV ALGYRKVQQVMESHILRLFQSTLGPVDPE >ENSMUSP00000048204.2 pep:known chromosome:GRCm38:13:98246845:98262992:-1 gene:ENSMUSG00000041747.2 transcript:ENSMUST00000040972.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp15 description:UTP15, U3 small nucleolar ribonucleoprotein, homolog (yeast) [Source:MGI Symbol;Acc:MGI:2145443] MAGYKPVAIQTYPVLGEKITQDTLYWNNYKTPVQIKEFGAVSKVDFSPQLPYNYAVTASS RIHIYGRYSQEPVKTFSRFKDTAYCATFRQDGQLLVAGSEDGVVQLFDINGRAPLRQFEG HTKAVHTVDFTADNYHVVSGADDYTVKLWDIPNSKEILTFKEHSDYVRCGCASKLNPDLF VTGSYDHTVKIFDARTNKNVLCVEHGQPVESVLLFPSGGLLVSAGGRYVKVWDMLKGGQL LVSLKNHHKTVTCLCLSSSGQRLLSGSLDRKVKVYSTTSYKVVHSFDYAASILSLALSHQ DETIVVGMTNGILSVKHRKSEAKKTSLPRRRRPAYRTFIKGKNYLKQRDDIMVSRPAKKH LEGYDKDLKSFRVSQALDRVLEPKCVIRTPEVTVSIIKELTRRGVLANALAGRDEKEVTR VLNFLIRNLSQPRFAPVLINAAEIIIDIYLPVIGQSSVVDKKFIVLQELVEKEIDYQREL LETLGMMDMLFATMTRNDSDPVPEHVPAELPEEKTESPTQPSDTDKNS >ENSMUSP00000000543.4 pep:known chromosome:GRCm38:15:101224207:101232755:1 gene:ENSMUSG00000000531.4 transcript:ENSMUST00000000543.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grasp description:GRP1 (general receptor for phosphoinositides 1)-associated scaffold protein [Source:MGI Symbol;Acc:MGI:1860303] MTLRRLRKLQQKEEATAAPDPAGRAPDSEAARAAPLPSGPPAAAAPPGAPGEELYAALED YHPAELYRALAVSGGTLPRRKGSGFRWKNFTQSPEQQRKVLTLEKGDNQTFGFEIQTYGL HHREEQRVEMVTFVCRVHESSPAQLAGLTPGDTIASVNGLNVEGIRHREIVDIIKASGNV LRLETLYGTSIRKAELEARLQYLKQTLYEKWGEYRSLMVQEQRLVHGLVVKDPSIYDTLE SVRSCLYGAGLLPGSLPFGPLLAAPGSARGGARRAKGDTDDAVYHTCFFGGAEPQALPPP PPPARALGPSSAETPASVLFPAPRSTLSRSASVRCAGPGGGGGAPGALWTEAREQALCGA GLRKTKYRSFRRRLLKFIPGLNRSLEEEESQL >ENSMUSP00000044580.7 pep:known chromosome:GRCm38:17:46442448:46487675:-1 gene:ENSMUSG00000015599.8 transcript:ENSMUST00000047034.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttbk1 description:tau tubulin kinase 1 [Source:MGI Symbol;Acc:MGI:2147036] MQCLAAALKDETNMSGGGEQADILPANYVVKDRWKVLKKIGGGGFGEIYEAMDLLTRENV ALKVESAQQPKQVLKMEVAVLKKLQGKDHVCRFIGCGRNEKFNYVVMQLQGRNLADLRRS QPRGTFTLSTTLRLGKQILESIEAIHSVGFLHRDIKPSNFAMGRLPSTYRKCYMLDFGLA RQYTNTTGDVRPPRNVAGFRGTVRYASVNAHKNREMGRHDDLWSLFYMLVEFAVGQLPWR KIKDKEQVGMIKEKYEHRMLLKHMPSEFHLFLDHIASLDYFTKPDYQLIMSVFENSMKER GIAENEAFDWEKAGTDALLSTSTSTPPQQNTRQTAAMFGVVNVTPVPGDLLRENTEDVLQ GEHLSDQENAPPILPGRPPEGLGPGPHLVPHPGGPEAEVWEETDVNRNKLRINIGKTPCV EEEQSRGVGVPSSPVRAPPDSPTTPVRSLCYRRVNSPESERLSTAADGRVELQERRSRMD LPGSPSRQACSSQPAQMLSVDTGHADRQASGRMDVSASVEQEALSNAFRSVPLAEEEDFD SKEWVIIDKETELKDFPPGAEPSTSGTTDEEPEELRPLPEEGEERRRLGTEPTVRPRGRG MHTLTEEDPRQMLPQPAPPQLSQADGRSETSQPPTPGSPSHSPLHSGPRPRRRESDPTGP QRQVFSVAPPFEVNGLPRAVPLALPYQDFKRDLSDYRERARLLNRVRRVGFSHMLLTAPQ VPLAPFQPQANGKEGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEAGALGEVLGPRS GSSSEGSERSTERSQEGAPSTLLADDQKEARGRASMADGDLEPEEGSKTLVLVSPGDMKK SPVTAELAPDPDLGTLAALTPQHERPQPTGSQLDVSEPGTLSSILKSEPKPSGPGAGGGV GLVAPGAGVTAVTSPFTKVERTFVHIAEKSHLNVMSSGGQASRPEELSTGGELGLEVLSE GGIAEEGAPAPLENGMALAGLDGTEMESCALSGPPGETPSEVVTDSLPNGPALADGPAPA SQQEPVTKKGTTISPSRHAMPGSRPRSRIPVLLSEEDTGSEPSGSLSAKERWSKRARPQQ DLARLVMEKRQGRLLLRLASGASSSSSEEQRRASETLSGTGSEEDTPASEPTTALPRKAV RAATTRSRIPRPISVSMPVEGQQLPGRPHGAASATDLAITSRLQLQKPSGLAPAADLRPK QSASRGPGPGRAQVSKPAAPRSPGLPASTARHPSGSPRSQSLSRKESSSPSHQARPGVPP SRGVLQVRSQPEASPVAPKKGPKGKQLQTQRAATKGRAVVSEGRPGAR >ENSMUSP00000001115.8 pep:known chromosome:GRCm38:13:55445334:55460925:1 gene:ENSMUSG00000074886.10 transcript:ENSMUST00000001115.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grk6 description:G protein-coupled receptor kinase 6 [Source:MGI Symbol;Acc:MGI:1347078] MELENIVANTVLLKAREGGGGNRKGKSKKWRQMLQFPHISQCEELRLSLERDYHSLCERQ PIGRLLFREFCATRPELTRCTAFLDGVSEYEVTPDEKRKACGRRLMQNFLSHTGPDLIPE VPRQLVSNCAQRLEQGPCKDLFQELTRLTHEYLSTAPFADYLDSIYFNRFLQWKWLERQP VTKNTFRQYRVLGKGGFGEVCACQVRATGKMYACKKLEKKRIKKRKGEAMALNEKQILEK VNSRFVVSLAYAYETKDALCLVLTLMNGGDLKFHIYHMGQAGFPEARAVFYAAEICCGLE DLHRERIVYRDLKPENILLDDHGHIRISDLGLAVHVPEGQTIKGRVGTVGYMAPEVVRNE RYTFSPDWWALGCLLYEMIAGQSPFQQRKKKIKREEVERLVKEVAEEYTDRFSSQARSLC SQLLSKDPAERLGCRGGGAREVKEHPLFKKLNFKRLGAGMLEPPFKPDPQAIYCKDVLDI EQFSTVKGVDLEPTDQDFYQKFATGSVSIPWQNEMVETECFQELNVFGLDGSVPPDLDWK GQPTAPPKKGLLQRLFSRQRIAVGTAATVRKSSPPASSPQAEAPTGGWR >ENSMUSP00000097081.3 pep:known chromosome:GRCm38:13:55445334:55459577:1 gene:ENSMUSG00000074886.10 transcript:ENSMUST00000099482.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grk6 description:G protein-coupled receptor kinase 6 [Source:MGI Symbol;Acc:MGI:1347078] MELENIVANTVLLKAREGGGGNRKGKSKKWRQMLQFPHISQCEELRLSLERDYHSLCERQ PIGRLLFREFCATRPELTRCTAFLDGVSEYEVTPDEKRKACGRRLMQNFLSHTGPDLIPE VPRQLVSNCAQRLEQGPCKDLFQELTRLTHEYLSTAPFADYLDSIYFNRFLQWKWLERQP VTKNTFRQYRVLGKGGFGEVCACQVRATGKMYACKKLEKKRIKKRKGEAMALNEKQILEK VNSRFVVSLAYAYETKDALCLVLTLMNGGDLKFHIYHMGQAGFPEARAVFYAAEICCGLE DLHRERIVYRDLKPENILLDDHGHIRISDLGLAVHVPEGQTIKGRVGTVGYMAPEVVRNE RYTFSPDWWALGCLLYEMIAGQSPFQQRKKKIKREEVERLVKEVAEEYTDRFSSQARSLC SQLLSKDPAERLGCRGGGAREVKEHPLFKKLNFKRLGAGMLEPPFKPDPQAIYCKDVLDI EQFSTVKGVDLEPTDQDFYQKFATGSVSIPWQNEMVETECFQELNVFGLDGSVPPDLDWK GQPTAPPKKGLLQRLFSRQR >ENSMUSP00000131939.1 pep:known chromosome:GRCm38:12:48554182:48559971:-1 gene:ENSMUSG00000091277.1 transcript:ENSMUST00000169406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1818 description:predicted gene 1818 [Source:MGI Symbol;Acc:MGI:3037676] MRGPAMRLPPRLALSALARGPSCILGSGAATQKDWQTRNRRGFSDFNIEPLPDSDLEESS PWTSRNRSEPKRHIACKKAARNLVRDLLEHQNPSRQIILECNPGPGILTGALLKAGARVV AFESEKTFIPHLEPLQRNMDGELQVVHCDFFKMDPRYQEVVRPDVSSQAIFQNLGIKAVP WSAGVPIKVFGILPYKHERRILWKILFDLYSCESIYRYGRVELNMFVSEKEFRKLIATPK RPDLYQVMAVLWQVACDVKFLHMEPWSSFSVHTENGHLEKSKHGESVNLLKQNLYLVRMT PRRTLFTENLSPLNYDIFFHLVKHCFGKRNAPIIRHLRSLSTVDPINILRQIRKNPGDTA ARMYPHDFKKLFETIEQSEDSVFKWIYDYCPEDMEF >ENSMUSP00000034739.5 pep:known chromosome:GRCm38:9:70425429:70503725:-1 gene:ENSMUSG00000032217.12 transcript:ENSMUST00000034739.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf111 description:ring finger 111 [Source:MGI Symbol;Acc:MGI:1934919] MSQWTPEFNELYTLKVAMKSGTPDAPTTQESLKAVLLHPQPLGATKSFPAEVEMINSKVG NEFSHLCDDSQKQEKDMTGNQQEQEKSGVVRKKRKSQQAGPSYVQNCVKENQEILGRRQQ LETPSDEDNDSSLSECLSSPSSSLHFGGSDTVTSDEDKEVSVRHTQPVLSAKSRSHSARS HKWPRTEADPVPSLLMKRPCFHGSALRRVTCRKRLVKSSSSQRTQKQKERMLVQRKKREA LAQRKYALLSSSSSSSENDLSSDSSSSSSTDGEEDLCASASENPSNPAAPSGSIDEDVVV IEASFTPQVTANEEINVTSTDSEVEIVTVGESYRSRSTLGHSRSHWSQGSSSHTGRPQES RNRSRISTVIQPLRQNAAEVVDLTVDEDEPTIVPTTSARMDSQTTSASINNSNPSTSEQA SDTTSTVASSQPSTVSETEATLTSNSATGSSVGDDVRRTASSAVPESGPPAMPRLPSCCP QHSPCGGTSQSHHALAHPHSSCFQQHGHHFQHHHHHHHTPHPAVPVSPSFSDPACPVERP QVQAPCGANSSSGSSYHDQQALPVDLSNSALRTHGSGGFHGASAFDPCCPVTSSRAAVFG HQAAAAPTQPLSIDGYGSSMVAQPQPQPPPQPSLSSCRHYMPPPYASLTRPLHHQASACH HSHGNAPPQTQPPPQVDYVIPHPVHAFHSQISSHAASHPVAPPPPTHLGSTAAPIPQHLP PAHQPISHHIPAPAPSAQRLHPHEVMQRMEVQRRRMMQHPTRAHERPPPHPHRMHPNYGH GHHIHVPQTMSSHPRQAPERTAWELGIEAGVTAATYTPGALHPHLAHYHAPPRLHHLQLG ALPLMVPDMAGYPHIRYISSGLDGASFRGPFRGNFEELIHLEERLGNVNRGASQGTIERC TYPHKYKKVTTDWFSQRKLHCKQDGEEGTEEDTEEKCTICLSILEEGEDVRRLPCMHLFH QVCVDQWLITNKKCPICRVDIEAQLPSES >ENSMUSP00000109225.1 pep:known chromosome:GRCm38:9:70425430:70503354:-1 gene:ENSMUSG00000032217.12 transcript:ENSMUST00000113595.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf111 description:ring finger 111 [Source:MGI Symbol;Acc:MGI:1934919] MSQWTPEFNELYTLKVAMKSGTPDAPTTQESLKAVLLHPQPLGATKSFPAEVEMINSKVG NEFSHLCDDSQKQEKDMTGNQQEQEKSGVVRKKRKSQQAGPSYVQNCVKENQEILGRRQQ LETPSDEDNDSSLSECLSSPSSSLHFGGSDTVTSDEDKEVSVRHTQPVLSAKSRSHSARS HKWPRTEADPVPSLLMKRPCFHGSALRRVTCRKRLVKSSSSQRTQKQKERMLVQRKKREA LAQRKYALLSSSSSSSENDLSSDSSSSSSTDGEEDLCASASENPSNPAAPSGSIDEDVVV IEASFTPQVTANEEINVTSTDSEVEIVTVGESYRSRSTLGHSRSHWSQGSSSHTGRPQES RNRSRISTVIQPLRQNAAEVVDLTVDEDEPTIVPTTSARMDSQTTSASINNSNPSTSEQA SDTTSTVASSQPSTVSETEATLTSNSATGSSVGDDVRRTASSAVPESGPPAMPRLPSCCP QHSPCGGTSQSHHALAHPHSSCFQQHGHHFQHHHHHHHTPHPAVPVSPSFSDPACPVERP QVQAPCGANSSSGSSYHDQQALPVDLSNSALRTHGSGGFHGASAFDPCCPVTSSRAAVFG HQAAAAPTQPLSIDGYGSSMVAQPQPQPPPQPSLSSCRHYMPPPYASLTRPLHHQASACH HSHGNAPPQTQPPPQVDYVIPHPVHAFHSQISSHAASHPVAPPPPTHLGSTAAPIPQHLP PAHQPISHHIPAPAPSAQRLHPHEVMQRMEVQRRRMMQHPTRAHERPPPHPHRMHPNYGH GHHIHVPQTMSSHPRQAPERTAWELGIEAGVTAATYTPGALHPHLAHYHAPPRLHHLQLG ALPLMVPDMAGYPHIRYISSGLDGASFRGPFRGNFEELIHLEERLGNVNRGASQGTIERC TYPHKYKKVTTDWFSQRKLHCKQDGEEGTEEDTEEKCTICLSILEEGEDVRRLPCMHLFH QVCVDQWLITNKKCPICRVDIEAQLPSES >ENSMUSP00000092907.5 pep:known chromosome:GRCm38:8:70131333:70133739:1 gene:ENSMUSG00000071078.5 transcript:ENSMUST00000095273.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2c2ap description:nuclear receptor 2C2-associated protein [Source:MGI Symbol;Acc:MGI:1922942] MTQSLVCPDTVSRVSSVLNRNSRQFGKKHLFDQDEETCWNSDQGPSQWVSLEFPQCVQIT QLQVQFQGGFSSRHSCLEGSRGGEALSKIVDFYPEDTNALQTFSIPTMEVDRLKLTFEDT TDFFGRVVIYHLRVLGEKAG >ENSMUSP00000096874.2 pep:known chromosome:GRCm38:19:60755987:60759913:1 gene:ENSMUSG00000072437.3 transcript:ENSMUST00000088237.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nanos1 description:nanos homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2669254] MEAFPWAPRSPRRARAPAPMALVPSARYVSASGPVHPQPFSSWNDYLGLATLITRASDRG SPHEGPGPTAAGPTMGPPEDDEDDDGEEPEAGGRYLGGALELRALELCAGPAEPGLLEER FAELNPFAGRAAAVLLGCAPTASTTAAAASTAEVTPREEPSPAWAAEPRLHAASGATAAR LLKPELQVCVFCRNNKEAVALYTTHILKGPDGRVLCPVLRRYTCPLCGASGDNAHTIKYC PLSKVPPPTVRPPPRSNRDSLPSKKLR >ENSMUSP00000106353.1 pep:known chromosome:GRCm38:12:52516077:52567852:1 gene:ENSMUSG00000035133.8 transcript:ENSMUST00000110725.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap5 description:Rho GTPase activating protein 5 [Source:MGI Symbol;Acc:MGI:1332637] MMAKNKEPRPPSYTVSVVGLSGTEKDKGNCGVGKSCLCNRFVRSKADEYYPEHTSVLSTI DFGGRVVNNDHFLYWGDITQNGEDGVECKIHVIEQTEFIDDQTFLPHRSTNLQPYIKRAA ASKLQSAEKLMYICTDQLGLEQDFEQKQMPEGKLNVDGFLLCIDVSQGCNRKFDDQLKFV NNLFVQLSKSKKPVIIAATKCDECVDHYLREVQAFASNKKNLLVVETSARFNVNIETCFT ALVQMLDKTRGKPKIIPYLDAYKTQRQLVVTATDKFEKLVQTVRDYHATWKTVSNKLKNH PDYEEYINLEGTRKARNTFSKHIEQLKQEHIRKRREEYISTLPRAFNTLLPDLEEIEHLN WLEALKLMEKRADFQLCFVVLEKTPWDETDHIDKINDRRIPFDLLSTLEAEKVYQNHVQH LISEKRRIEMKEKFKKTLEKIQFISPGQPWEEVMCFVMEDEAFKYITEADSKEVYGRHQR EIVEKAKEEFQEMLFEHSELFYDLDLNATPSSDKMSEIHTVLSEEPRYKALQKLAPDRES LLLKHIGFVYHPTKETCLSGQYCTDIKVENLLATSLLEMDHNRVRLYHDSTNIDKVNLFI LGKDGLAQELANEIRTQSTDDEYALDGKIYELDLRPVDAKSPYILSQLWTAAFKPHGCFC VFNSIESLSFIGEFIGKIRTEASQIRKDKYMTNLPFTLILANQRDSISKNLPILRHQGQQ LANKLQCPFVDVPTGTYPRKFNESQIKQALRGVLESVKHNLDVVSPVPINKDVSEADLRI VMCAMCGDPFSVDLILSPFLDSHSCSAAQAGQNNSLMLDKIIGEKRRRIQITILSYHSSI GVRKDELVHGYILVYSAKRKASMGMLRAFLSEVQDTIPVQLVAVTDSQADFFENEAIKEL MTEGEHIATEITAKFTALYSLSQYHRQTEVFTLFFSDVLEKKNMIENSYLSDNTRESTHQ SEDVFLPSPRDCFPYNNYPDSDDDTEAPPPYSPIGDDVQLLPTPSDRSRYRLDLEGNEYP VHSTPNCHDHERNHKVPPPIKPKPVVPKTNVKKLDPNLLKTIEAGIGKNPRKQTSRVPLA HPEDMDSSDNYVEPLDTIFKQKGYSDEIYVVPDDSQNRIIKIRNSFVNNTQGDEENGFSD RTSKGHGERRPSKYKYKSKTLFSKAKSYYRRTHSDASDDEAFTTSKTKRKGRHRGSEEDP LLSPVETWKGGIDNPAITSDQEVDDKKIKKKTHKVKEDKKQKKKTKTFNPPTRRNWESNY FGMPLQDLVTAEKPIPLFVEKCVEFIEDTGLCTEGLYRVSGNKTDQDNIQKQFDQDHNIN LASMEVTVNAVAGALKAFFADLPDPLIPYSLHPELLEAAKIPDKTERFHALKEIVKKFHP VNYDVFRYVITHLNRVSQQNKINLMTADNLSICFWPTLMRPDFENREFLSTTKIHQSVVE TFIQQCQFFFYNGEIVETANTVAPPPTSNPGQLVESMVPLQLPPPLQPQLIQPQLQTDPL GII >ENSMUSP00000092901.4 pep:known chromosome:GRCm38:8:70697739:70700616:1 gene:ENSMUSG00000071076.5 transcript:ENSMUST00000095267.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jund description:jun D proto-oncogene [Source:MGI Symbol;Acc:MGI:96648] METPFYGEEALSGLAAGASSVAGATGAPGGGGFAPPGRAFPGAPPTSSMLKKDALTLSLA EQGAAGLKPGSATAPSALRPDGAPDGLLASPDLGLLKLASPELERLIIQSNGLVTTTPTS TQFLYPKVAASEEQEFAEGFVKALEDLHKQSQLGAATAATSGAPAPPAPADLAATPGATE TPVYANLSSFAGGAGPPGGAATVAFAAEPVPFPPPPGALGPPPPPHPPRLAALKDEPQTV PDVPSFGDSPPLSPIDMDTQERIKAERKRLRNRIAASKCRKRKLERISRLEEKVKTLKSQ NTELASTASLLREQVAQLKQKVLSHVNSGCQLLPQHQVPAY >ENSMUSP00000127452.1 pep:known chromosome:GRCm38:2:174330319:174346712:-1 gene:ENSMUSG00000090625.1 transcript:ENSMUST00000168292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20721 description:predicted gene, 20721 [Source:MGI Symbol;Acc:MGI:5313030] MHALDDVTAVVEDTADVLSVHGAGKVRVAVVAPISTSSADSQKLIPNEVLGPGHAWVLSR LGSRILRSGVASELWKVVLNLRFASEDFLSKQVLLVEEENHRDGAQPSVVPDALEEVQSL LQAVSLVVLPNDHVVAAAGHHEDDGSHIIEALDPLAALIALAAHIEHVEVDFVHLELGLK DSRGQDTAAKQVLVTWHVVGLLDHINLVQEVLGTVNQLVLIGALVAGTHSLILPQSLGML IEFLWEVKVRHVHHAQNVVHSELVLRVGQLHRGHQVAHGGHNGFNGLLQVVFDVLHFSGL LTTAVAPCSLRVLFDTLR >ENSMUSP00000047445.5 pep:known chromosome:GRCm38:12:4917404:5044047:1 gene:ENSMUSG00000052812.4 transcript:ENSMUST00000045664.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atad2b description:ATPase family, AAA domain containing 2B [Source:MGI Symbol;Acc:MGI:2444798] MVNTRKSSLRLLGSKSPGPGPGPGAGAGAEPGATGGSSHFISSRTRSSKTRAASCPAAKA GGSGGALDEARKAEVDGSLSDSHVSPPAKRTLKQPDSVCKDKSKSRSTGQREEWNIPSGQ TRLTSQPGATLPNGHSSLSLRSHPLRGEKKGDGDLSCINGDIEVRKSCRSRKNRFESVNQ SLLFDQLVNSTAEAVLQEMDNINIRRNRRSGEVERLRMWTDTEFENMDMYSRVKRRRKSL RRNSYGIQNHHEVSTEGEEEESQEEDGDIEVEEAEGEENDRPYNLRQRKTVDRYQAPPIV PAHQKKRENTLFDIHRSPARRSHIRRKKHAIHSSDTTSSDEERFERRKSKSMARARNRCL PMNFRAEDLASGILRERVKVGASLADVDPMNIDKSVRFDSIGGLSHHIHALKEMVVFPLL YPEIFEKFKIQPPRGCLFYGPPGTGKTLVARALANECSQGDKKVAFFMRKGADCLSKWVG ESERQLRLLFDQAYLMRPSIIFFDEIDGLAPVRSSRQDQIHSSIVSTLLALMDGLDNRGE IVVIGATNRLDSIDPALRRPGRFDREFLFNLPDQRARKHILQIHTRDWNPKLSDAFLGEL AEKCVGYCGADIKALCTEAALTALRRRYPQIYASSHKLQLDVTSIVLSAHDFYHAMQNIV PASQRAVMSSGQALSPIIRPLLERSFNNILAVLQKIFPHAETSQNFVAGSDLNCPSLEIS SDYEETSIPEVKSSGKCFLGKKLCSKDSQGSTFVHLNFNMAFGPTSYRPRLLLSGERGSG QTSHLAPALLHTLERFSVHRLDLPALYSVSAKTPEESCAQIFREARRTVPSIVYMPHIGD WWDAVSDTVRATFLTLLQDIPSFSPIFLLSTSETMYSELPEEVKCIFRIQYEEVLYIQRP IEEDRRKFFQELILHQASMAPPRRKHTALRAMEVLPLALPSPPRQLSESEKNRMEDQEEN TLRELRLFLRDVTKRLATDKRFNIFSKPVDIEEVSDYLEVIKEPMDLSTVITKIDKHNYL TAKDFLQDIDLICSNALEYNPDKDPGDKIIRHRACTLKDTAHAIIAAELDPEFNKLCEEI KEARIKRGLSVTAEQITPHGAGARKTETRVEEAFRHKQRNPMDAWHNSANKCAFRVRRKS RRRSQWGKGIIKKRKVNNLKKDEEDTKFTDYDHTEDRKLLENGEFEVSTDCHEENGEETG DLSMTNDESSCDIMDMDQGQRLNSGAGTKENFASTEEESSNESLLVHSSSSLNPEQTSKK EPFLKGTCLNGEASTDSSEGIPVLECQNGRVLEVVPLPDGGEKSSSEQKIALEEQLKDKP ETWNENRGDAAEKLEVLECSSSEKPEPGPDAEGKETELDREGASKVKKYRKLLLEQAKPT NLELVPEEPSEPAPPLVVDHERLQKLLDLLVDKSNNLTVDQLERLYSLLSQSIYRHRKDY DKSQLVEEMERTVHMFETFL >ENSMUSP00000077262.7 pep:known chromosome:GRCm38:12:54858817:54862877:-1 gene:ENSMUSG00000062929.7 transcript:ENSMUST00000078124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfl2 description:cofilin 2, muscle [Source:MGI Symbol;Acc:MGI:101763] MASGVTVNDEVIKVFNDMKVRKSSTQEEIKKRKKAVLFCLSDDKRQIIVEEAKQILVGDI GDTVEDPYTSFVKLLPLNDCRYALYDATYETKESKKEDLVFIFWAPESAPLKSKMIYASS KDAIKKKFTGIKHEWQVNGLDDIKDRSTLGEKLGGSVVVSLEGKPL >ENSMUSP00000106443.2 pep:known chromosome:GRCm38:12:34371503:34528889:-1 gene:ENSMUSG00000004698.9 transcript:ENSMUST00000110819.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdac9 description:histone deacetylase 9 [Source:MGI Symbol;Acc:MGI:1931221] MHSMISSVDVKSEVPMGLEPISPLDLRTDLRMMMPVVDPVVREKQLQQELLLIQQQQQIQ KQLLIAEFQKQHENLTRQHQAQLQEHIKELLAIKQQQELLEKEQKLEQQRQEQEVERHRR EQQLPPLRGKDRGRERAVASTEVKQKLQEFLLSKSATKDTPTNGKNHSVGRHPKLWYTAA HHTSLDQSSPPLSGTSPSYKYTLPGAQDSKDDFPLRKTASEPNLKVRSRLKQKVAERRSS PLLRRKDGNLVTSFKKRVFEVAESSVSSSSPGSGPSSPNNGPAGNVTENEASALPPTPHP EQLVPQQRILIHEDSMNLLSLYTSPSLPNITLGLPAVPSPLNASNSLKDKQKCETQMLRQ GVPLPSQYGSSIAASSSHVHVAMEGKPTSSHQALLQHLLLKEQMRQQKLLVAGGVPLHPQ SPLATKERISPGIRGTHKLPRHRPLNRTQSAPLPQSTLAQLVIQQQHQQFLEKQKQYQQQ IHMNKLLSKSIEQLKQPGSHLEEAEEELQGDQSMEDRAASKDNSARSDSSACVEDTLGQV GAVKVKEEPVDSDEDAQIQEMECGEQAAFMQQVIGKDLAPGFVIKVII >ENSMUSP00000073134.5 pep:known chromosome:GRCm38:15:76612385:76616852:-1 gene:ENSMUSG00000063354.6 transcript:ENSMUST00000073428.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a4 description:solute carrier family 39 (zinc transporter), member 4 [Source:MGI Symbol;Acc:MGI:1919277] MLPKSVTQGLVLALLVGTVAVARPRNLLSLLALGQGALDRLELDGLLNTLVARVHCTDGP CEKCLSVENVLALGKPDKPQPAPESVLESRHIIYLSAAAALYLNNPEKTCKDIQAGLLAS HVDDYLATLESPEAMTLGLSQLLQKIEAHAASQPTGEKTCVDLPQLLEEAEAAGVSKSAG LVLTALLDHVINGSCFQGLPSPQYFVDFVFRLHSSDPPNITLHELENLMHHLGVGGEDHS DHDDHGDHADHSHPDRKASHQDSELHTPHNSNSSVWDTLCLSAKDIMAVYGLSEEAGVSP QAWAQLTPALVQQQLSGACSPYPTIRIQDQLSQTERYLYGSLATLLICLCAVFGLLLLTC AKCSTATHYIMQTFLSLAVGALTGDALLHLIPKVLGLHTHGGEGHTHEEEVGVGGQATWR LLAVLGGFYIFFLFESFFNLLLPRDQDSEKDGPCSHGGHSHGISLQLAPSNLRQSKQTHE SSRSDLVAEETPELLNPETRRLRAELRLLPYLITLGDAVHNFADGLAVGAAFSSSWKTGL ATSLAVFCHELPHELGDFAALLHAGLSVKRALLLNLASALTAFAGLYVALAVGVGEEGEA WILAVATGLFLYVALCDMLPAMMNVRDQRPWLLFLLHNVGLLGGWTVLLLLSLYEDNITF >ENSMUSP00000136943.1 pep:known chromosome:GRCm38:12:82495419:82496537:-1 gene:ENSMUSG00000094374.1 transcript:ENSMUST00000179295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5435 description:predicted gene 5435 [Source:MGI Symbol;Acc:MGI:3643292] MAYSANTNTVPKLYRSVIEDVINDVRDIFLNDGVDEQVLTELKALWEKNLLQSRAVDGFR SEEQQLLLQVQQQQQQQHYHHQHQQAQLQQTVPQQAQTQQVLIPASQQATAPQVIVPDSK LLQHMNASSIPSAAATAATLALPAGVTPVQLLTNSSQLLQVVRAANGAQYILQPQQSVVL QQVIPQMQPGGVQVPVIQQVLAPLPGRMSPQMGVIIQPQQILFPGNKTQVIPTTVAAPAP AQAPMPAAGQQQPQAQPAQQQAPLVLQVDGTGDTSSEEDEDEDEEEDYDDEEEEDKEKDG AEDGQVEEEPLNSDDDLSDEEGQEPFDTENVVVCQYDKIHRSKNKWKFHLKDGVMNLNGR DYIFSKALEMQSG >ENSMUSP00000131850.2 pep:known chromosome:GRCm38:19:53588204:53589067:-1 gene:ENSMUSG00000071497.3 transcript:ENSMUST00000095978.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nutf2-ps1 description:nuclear transport factor 2, pseudogene 1 [Source:MGI Symbol;Acc:MGI:108008] MGDKPIWEQIGSSFIQHYYQLFDNDRTQLGAIYIDASCLTWEGQQFQGKAAIVEKLSSLP FQKIQHSITAQDHQPTPDSCIISMVVGQLKADEDPIMGFHQMFLLKNINDAWVCTNDMFR LALHNFG >ENSMUSP00000074360.6 pep:known chromosome:GRCm38:10:125966219:126015359:1 gene:ENSMUSG00000020105.8 transcript:ENSMUST00000074807.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrig3 description:leucine-rich repeats and immunoglobulin-like domains 3 [Source:MGI Symbol;Acc:MGI:2443955] MGAPGLRAATAALGLLLCAGLGRAGPAGSGGHGAPGQLLDDDAQRPCPAACHCLGDLLDC SRRRLVRLPDPLPAWVTRLDLSHNRLSFIQTSSLSHLQSLQEVKLNNNELETIPNLGSIS ANIRQLSLAGNAIDKILPEQLEAFQSLETLDLSNNNISELRTAFPPLQLKYLYINNNRVS SMEPGYFDNLASTLLVLKLNRNRISAIPPKMFKLPQLQHLELNRNKIKNVDGLTFQGLGA LKSLKMQRNGVTKLMDGAFWGLSNMEVLQLDHNNLTEITKGWLYGLLMLRELHLSQNAIN RISPDAWEFCQKLSELDLTFNHLSRLDDSSFLGLSLLNALHIGNNKVSYIADCAFRGLTS LKTLDLRNNEISWTIEDMSGAFSGLDRLRQLILQGNRIRSITKKAFAGLDTLEHLDLSGN AIMSLQSNAFSQMKKLQQLHLNTSSLLCDCQLRWLPQWVAENNFQSFVNASCAHPQLLKG RSIFTVSPDGFVCDDFPKPQITVQPETQSAIKGSDVSFTCSAASSSDSPMTFAWKKDNEA LQDAEMENYAHLRAQGGELMEYTTILRLRNVEFTSEGKYQCVISNHFGSSYSVKAKLTIN MLPSFTKTPMDLTIRAGAMARLECAAVGHPAPQIAWQKDGGTDFPAARERRMHVMPEDDV FFIVDVKIEDIGVYSCTAQNSAGSVSANATLTVLETPSFLRPLLDRTVTKGETAVLQCIA GGSPPPRLNWTKDDSPLVVTERHFFAAGNQLLIIVDSDVSDAGKYTCEMSNTLGTERGNV RLSVIPTPTCDSPHMTAPSLDGDGWATVGVVIIAVVCCVVGTSLVWVVIIYHTRRRNEDC SITNTDETNLPADIPSYLSSQGTLADRQDGYISSESGSHHQFVTSSGGGFFLPQHDGAGT CHFDDSSEADVEAASDPFLCPFVGSTGPVYLQGNLYSPDPFEVYLPGCSSDPRTALMDHC ESSYVKQDRFSCARPSEEPCERSLKSIPWPHSRKLTDSTYPPNEGHTVQTLCLNKSSVDF STGPEPGSATSSNSFMGTFGKPLRRPHLDAFSSSAQPPDCQPRPCHGKSLSSPELDSESE ENDKERTDFREENHRCTYQQIFHTYRTPDCQPCDSDT >ENSMUSP00000136748.1 pep:known scaffold:GRCm38:GL456219.1:149261:150163:-1 gene:ENSMUSG00000096244.1 transcript:ENSMUST00000177979.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC102264.1 description:vomeronasal 1 receptor Vmn1r187 (Vmn1r187), mRNA [Source:RefSeq mRNA;Acc:NM_001167568] MEMLALQILLLCHVVVGTVGNILLFVHNFSQILTDSRLRPIQVILINLAVANAFMLLLFA YSYDLTDIVPRKPPTDLKCKLAYFFHLVARGTIMCSTCVLSTYQFVTLVPGTWARVMFSE ISPKVVSYCCYSCWLFSVLNNAYMLMNVSGPQKSHNDSDSKGNSICSISGVSVDMNFLRF SHDIIFLSIMAWTSVSMVIHLNRHHQRMNHIHKVNQNNRGHAETRAAHTILMLVVTFVSS YTLNCISISFHISFVESCLWLRYVTKLLALSFPTISPLLLIFRDCKGHCSLRIMSVWKSI >ENSMUSP00000020381.3 pep:known chromosome:GRCm38:10:117070129:117148474:-1 gene:ENSMUSG00000020170.3 transcript:ENSMUST00000020381.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frs2 description:fibroblast growth factor receptor substrate 2 [Source:MGI Symbol;Acc:MGI:1100860] MGSCCSCPDKDTVPDNHRNKFKVINVDDDGNELGSGVMELTDTELILYTRKRDSVKWHYL CLRRYGYDSNLFSFESGRRCQTGQGIFAFKCARAEELFNMLQEIMQNNSINVVEEPVVER SSHQTELEVPRTPRTPTTPGLGAQNLPNGYPRYPSFGDASSHPSSRHPSVGSARLPSVGE ESTHPLLVAEEQVHTYVNTTGVQEERKNRASVHVPPEARVSNAESNTPKEEPSNPEDRDP QVLLKPEGVRFVLGPTPVQKQLMEKEKLEQLGKDPVSGSGAGNTEWDTGYDSDERRDVPP VNKLVYENINGLSIPSASGVRRGRLTSTSTSDTQNINNSAQRRPALLNYENLPSLPPVWE ARKLSRDEDDNLGPKTPSLNGYHNNLDPMHNYVNTENVTVPASAHKIDYSKRRDCTPTVF NFDIRRPSLEHRQLNYIQVDLEGGSDSDNPQTPKTPTTPLPQTPTRRTELYAVIDIERTA AMSNLQKALPRDDGTSRKTRHNSTDLPM >ENSMUSP00000136822.1 pep:known chromosome:GRCm38:Y:13223386:13223928:-1 gene:ENSMUSG00000095759.1 transcript:ENSMUST00000178050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21828 description:predicted gene, 21828 [Source:MGI Symbol;Acc:MGI:5433992] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMRTTLSHEDSLRHHTFLMRFPDTLLLPKENRGKPLFPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000034697.7 pep:known chromosome:GRCm38:9:21337828:21355025:1 gene:ENSMUSG00000057193.7 transcript:ENSMUST00000034697.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc44a2 description:solute carrier family 44, member 2 [Source:MGI Symbol;Acc:MGI:1915932] MGKDSQNYYGKHGTPQKYDPTFKGPIYNRGCTDVICCVLLFLAIVGYVAVGIIAWTHGDP RKVIYPTDSRGEFCGQKGTKNADKPFLFYFNIVKCANPLVLLEFHCPTPQICVKQCPDRY LTLLSARNTRDFDYYKQFCVPGFQNNKGVTEILRDGECPAVITPSKPLAQRCFPAIHASK GVLMVGNETTYEDGHGARKNITDLVEGAKKANKILEARQLAMQIFEDYTVSWYWIIIGLV IAMVLSLLFIVLLRFLAGIMVWVMIVMVILVLGYGIFHCYMEYSRLRGEAGSDVSLVDLG FQTDLRVYLHLRQTWMAFMIILSILEVVIILLLIFLRKRILIAIALIKEASRAVGHVMCS LLYPLVTFFLLCLCIAYWASTSVFLSTSNTAVYKVVDDTACPLLRKTCNPETFPLRNESL QCPTARCQFAFYGGESTYHRALLGLQIFNAFMFFWLANFVLALGQVTLAGAFASYYWAMR KPDDMPAFPLFSAFGRALRYHTGSLAFGSLILAIVQIIRVMLEYLDQRLKAAQNKFAKFL MVCLKCCFWCLEKFIKFLNRNAYIMIAIYGTNFCTSARNAFFLLMRNIIRVAVLDKVTDF LFLLGKLLIVGSVGILAFFFFTHRIRIVQDTAPPLNYYWVPILTVIIGSYLIAHGFFSVY GMCVDTLFLCFLEDLERNDGSAERPYFMSSTLKKLLNKTNKKVAES >ENSMUSP00000136869.1 pep:known chromosome:GRCm38:10:96136603:96136914:1 gene:ENSMUSG00000096486.1 transcript:ENSMUST00000074615.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5426 description:predicted pseudogene 5426 [Source:MGI Symbol;Acc:MGI:3646788] MAKFIHNFSEKALSMVAAAVTYLKPQLVTFWHYAKVELVPPTPGEIPTAIQNVKKIIQSA KTGSFKHLTVKEAVLNGLVATEVLMWFYIGEIMGKRGIVGYDV >ENSMUSP00000089140.4 pep:known chromosome:GRCm38:13:64737591:64903888:-1 gene:ENSMUSG00000033063.7 transcript:ENSMUST00000091554.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap3 description:contactin associated protein-like 3 [Source:MGI Symbol;Acc:MGI:3588199] MARVNGAFLDALLLLLLLSVQTLPLVAAGDPHNCDAPLASSLPQLSFSSSSQLSSSHGPG FARLNRRDGAGGWTPLVSNKYQWLQIDLGERMEVTAVATQGGYGSSDWVTSYLLMFSDGG RNWKQYHQEESISGFPGNTNADSVVQYSLQPSFHTRFLRFLPLTWNPKGRIGMRIEVYGC SYKSEVVYFDGQSSLLYKFDNGAIRPVREVISLKFKAMQNSGIIFHGKGQHGTHIVLELN KGKLVVYLNSGDGNLPPVNSIMNFTLGSLLDDRHWHSVLIELHNMHMNFTLDKYMHHVHV NKETSYLDLNFEISFGEILMPGGRFLTFPHKNFHGCLENIYYNGVNIIKLAKKHESQILI KGNVSFTCPQPQTIPVTFLSSGSYLALPSSLREDKMSLAFQLRTWNKAGHVFFSQVGHGS GSLVLFLKNGKLILNLSEPGQPLQSITTGAVLNDGLWHSVSVSAKGSYLSLLVDGDTAQT LMSVEIHLGDTYYFGGCPNNSSSFGCEHSFGGFQGCLRLISVGDKVVDPIAVQQGVLGSF SDLQIDSCGIIDRCLPSYCEHGSRCTQSWDTFSCDCEGTGYTGTTCHSSIYEQSCEAHRH RGSPSGLYYIDVDGSGPLAPFLVYCNMTADTAWTVVQHGGPDVVTVRGGPEGHMHSATFS YAANAAQLHAVVNVAEHCEQQVDLQCRTSGPSDTGDGTSLSWWVGRSNETYTYWGGSLPN PQKCTCGFEGNCIDSQYHCNCDADRNEWTSDTIVLSHKEHLPVTEMVVTDTGRPYSEAAY TLGPLLCQGDKSFWNSASFNTEASYLHFPTFRGELTADVHFLFKTTISSGVFMENLGITD FIRIELRAPTEVTFSFDVGNGPCELTIQSPTPFNDNRWHHVRAERNVKGASLQVDQLPQK TQSTPADGHVRLQLNSQLFIGGTASRQKGFLGCIRSLQVNGMALDLEERAVMTPGVEPGC SGHCSSYGHLCRNGGMCQEKHRGIACDCAFSAYDGPLCSYEISAYFETGSSVTYNFQEYS TLSANTSYLASSLHGDVILSRETITLSFRTTQTPCLLLYVTSFYEEYLSIILANNGSLQV RYKLDRHRNADAFNFDLRNLADGHFHQLTINREEAVVSVEVNQSTKRQVILSSGTEFNAV KSLVLGKVLEPLDADPETQQAAAQGFTGCLSSVRFGLEVPLKAALNQNRARVTIQGSVAA AAHCAEGPGSAERKLSPGPSMDAGHSGSAEDRQPLPNTDRSDPGVIGGITAVVIFILLCV SAIAIRVHQQRRLHQKNESTVSKTEEC >ENSMUSP00000051716.7 pep:known chromosome:GRCm38:7:104768160:104777470:-1 gene:ENSMUSG00000043073.9 transcript:ENSMUST00000053464.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp17le description:ubiquitin specific peptidase 17-like E [Source:MGI Symbol;Acc:MGI:107697] MVVSLSFPEETGGENLPSAPLEDSSKFFEEVFGDMVFARSFPEADPALSSPDAPELHQDE AQVVEELTTNGKHSLSWESPQGPGCGLQNTGNSCYLNAALQCLTHTPPLADYMLSQEHSQ TCCSPEGCKMCAMEAHVTQSLLHSHSGDVMKPSQILTSAFHKHQQEDAHEFLMFTLETMH ESCLQVHRQSDPTPQDTSPIHDIFGGWWRSQIKCLHCQGTSHTFDPFLDVPLDISSAQSV NQALWDTGKSEELLGENAYYCGRCRQKMPASKTLHVHIAPKVLLLVLKRFSAFTGNKLDR KVSYPEFLDLKPYLSEPTGGPLPYALYAVLVHDGATSNSGHYFCCVKAGHGKWYKMDDTK VTRCDVTSVLNENAYVLFYVQQTDLKQVSIDMPEGRVHEVLDPKYQLKKSRRKKRKKQCH CTDDAGEACENREKRAKKETSLGEGKVPQEVNHEKAGQKHGNTKLVPQEQNHQRAGQNLR NTEVELDLPVDAIVIHQPRSTANWGTDAPDKENQPWHNGDRLLTSQGLMSPGQLCSQGGR CR >ENSMUSP00000051348.5 pep:known chromosome:GRCm38:7:102476773:102477902:-1 gene:ENSMUSG00000044814.5 transcript:ENSMUST00000061482.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr543 description:olfactory receptor 543 [Source:MGI Symbol;Acc:MGI:3030377] MGEDGNTSIFNLSYSSFLLVGFPGLQEGRPLLVLPLTFLYVSIVSANALVIHTVVAQRSL HQPMYVLIALLLAVNICASTAVRPKMLEGFVHYANPISLRGCLTQMFFIYFTLLLDYNLL LAMALDRYVAICHPLRYTDLMTSHLLGLMATFAITRSLGVAVPLVVLTAKAQFCKTSVIR HFTCEYIALLSIACGDLTFNNRLGLAMRLVTVTFDLALLGTSYTRIIYAAFRISSGGARA KALHTCGSHLLVILTIYLSGLSTSIVFRVAKTVSQDVQNLLSAIYLLLPGALNPLIYGVR TKEIRQHIEKMLCGMQSPQDSREKSQNVRGERDLPG >ENSMUSP00000043901.9 pep:known chromosome:GRCm38:15:99242818:99251961:-1 gene:ENSMUSG00000037570.15 transcript:ENSMUST00000041190.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcrs1 description:microspherule protein 1 [Source:MGI Symbol;Acc:MGI:1858420] MDKDSQGLLDSSLMASGTASRSEDEESLAGQKRASSQALGTIPKRRSSSRFIKRKKFDDE LVESSLAKSSTRVKGAGGVESGRCSGSEPSSSEKKKVSKAPSTPVPPSPAPTPGLTKRVK KSKQPLQVTKDLGRWKPADDLLLINAVLQTNDLTSVHLGVKFSCRFTLREVQERWYALLY DPVISKLACQAMRQLHPEAIAAIQSKALFSKAEEQLLSKVGSSSQPTLETFQDLLHTHPD AFYLARTAKALQAHWQLMKQYYLLEDQTVQPLPKGDQVLNFSDAEDLIDDSKLKDMRDEV LEHELTVADRRQKREIRQLEQELHKWQVLVDSITGMGSPDFDNQTLAVLRGRMVRYLMRS REITLGRATKDNQIDVDLSLEGPAWKISRKQGVIKLKNNGDFFIANEGRRPIYIDGRPVL CGSKWRLSNNSVVEIASLRFVFLINQDLIALIRAEAAKITPQ >ENSMUSP00000131407.1 pep:known chromosome:GRCm38:15:99242818:99251961:-1 gene:ENSMUSG00000037570.15 transcript:ENSMUST00000163506.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcrs1 description:microspherule protein 1 [Source:MGI Symbol;Acc:MGI:1858420] MASGTASRSEDEESLAGQKRASSQALGTIPKRRSSSRFIKRKKFDDELVESSLAKSSTRV KGAGGVESGRCSGSEPSSSEKKKVSKAPSTPVPPSPAPTPGLTKRVKKSKQPLQVTKDLG RWKPADDLLLINAVLQTNDLTSVHLGVKFSCRFTLREVQERWYALLYDPVISKLACQAMR QLHPEAIAAIQSKALFSKAEEQLLSKVGSSSQPTLETFQDLLHTHPDAFYLARTAKALQA HWQLMKQYYLLEDQTVQPLPKGDQVLNFSDAEDLIDDSKLKDMRDEVLEHELTVADRRQK REIRQLEQELHKWQVLVDSITGMGSPDFDNQTLAVLRGRMVRYLMRSREITLGRATKDNQ IDVDLSLEGPAWKISRKQGVIKLKNNGDFFIANEGRRPIYIDGRPVLCGSKWRLSNNSVV EIASLRFVFLINQDLIALIRAEAAKITPQ >ENSMUSP00000087974.2 pep:known chromosome:GRCm38:15:5120602:5121172:-1 gene:ENSMUSG00000068706.2 transcript:ENSMUST00000090488.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10250 description:predicted pseudogene 10250 [Source:MGI Symbol;Acc:MGI:3642408] MAGRKLALKTIDWVSFVEVMPQNQKAIGNALKSWNETFHARLASLSEKPPAIDWAYYRAN VAKPGLVDDFEKKYNALKIPVPEDKYTALVDQEEKEDVKSCAEFVSGSQLRIQEYEKQLE KMRNIIPFDQMTIDDLNEIFPETKLDKKYPYWPHQPIENL >ENSMUSP00000022496.7 pep:known chromosome:GRCm38:14:61365186:61439947:-1 gene:ENSMUSG00000021929.8 transcript:ENSMUST00000022496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna3 description:karyopherin (importin) alpha 3 [Source:MGI Symbol;Acc:MGI:1100863] MAENPGLENHRIKSFKNKGRDVETMRRHRNEVTVELRKNKRDEHLLKKRNVPQEESLEDS DVDADFKAQNVTLEAILQNATSDNPVVQLSAVQAARKLLSSDRNPPIDDLIKSGILPILV KCLERDDNPSLQFEAAWALTNIASGTSAQTQAVVQSNAVPLFLRLLHSPHQNVCEQAVWA LGNIIGDGPQCRDYVISLGVVKPLLSFINPSIPITFLRNVTWVIVNLCRNKDPPPPMETV QEILPALCVLIYHTDINILVDTVWALSYLTDGGNEQIQMVIDSGVVPFLVPLLSHQEVKV QTAALRAVGNIVTGTDEQTQVVLNCDVLSHFPNLLSHPKEKINKEAVWFLSNITAGNQQQ VQAVIDAGLIPMIIHQLAKGDFGTQKEAAWAISNLTISGRKDQVEYLVQQNVIPPFCNLL SVKDSQVVQVVLDGLKNILIMAGDEASTIAEIIEECGGLEKIEVLQQHENEDIYKLAFEI IDQYFSGDDIDEDPSLIPEATQGGTYNFDPTANLQTKEFNF >ENSMUSP00000002885.6 pep:known chromosome:GRCm38:13:19591709:19619830:-1 gene:ENSMUSG00000002808.6 transcript:ENSMUST00000002885.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epdr1 description:ependymin related protein 1 (zebrafish) [Source:MGI Symbol;Acc:MGI:2145369] MPARAPRRLVQGPRGTWLLGSLWVWVLCGLGMAGSLGTPQPCQAPQQWEGRQVLYQQSSG HNNRALVSYDGLNQRVRVLDERKALIPCKRLFEYILLYKEGVMFQIEQATKQCAKIPLVE SWDPLDIPQNSTFEDQYSIGGPQEQILVQEWSDRRTARSYETWIGVYTAKDCYPVQETFI RNYTVVMSTRFFDVQLGIKDPSVFTPPSTCQAAQPEKMSDGCSL >ENSMUSP00000026428.3 pep:known chromosome:GRCm38:10:128494157:128498685:-1 gene:ENSMUSG00000039824.3 transcript:ENSMUST00000026428.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl6b description:myosin, light polypeptide 6B [Source:MGI Symbol;Acc:MGI:1917789] MPPKKDAPVKKPAGPSISKPAAKSTPGTPLAKAKAEPAAPQAPAKSQEPPVDLSKVVIEF NKDQLEEFREAFELFDRVGDGKILYSQCGDLMRALGQNPTNAEVLKVLGNPKNEELKSRR VDFETFLPMLQAVAKNRDQGTYEDYLEGLRVFDKEGNGKVMGAELRHVLTTLGEKMTEEE VETVLAGHEDSNGCINYEAFLKHILSL >ENSMUSP00000096870.1 pep:known chromosome:GRCm38:2:149830524:149831213:-1 gene:ENSMUSG00000074737.1 transcript:ENSMUST00000099264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C530025M09Rik description:RIKEN cDNA C530025M09 gene [Source:MGI Symbol;Acc:MGI:2442090] RRQVAPWAGITSSCPLCIFPVRRHLPSLGATLCLPSPATATLQDLPRPAHHHRRLVCALR GGAGHPPAPGHPLAPATPAHSGGPGSSRAALVLGTYLRDKVTRLVRPGGRPRVSRAGKRE GRGAVRSPPLLLGSWLSASALPCAQLPPPLPSLDHCQRPGLPRAHSSREPNTGLRRHPSR TRSSWPLGAQRARAELGVTRDVPFHSLVSRSLATCVQEYAAAVPGRRWP >ENSMUSP00000036203.5 pep:known chromosome:GRCm38:19:54045182:54048982:1 gene:ENSMUSG00000033717.5 transcript:ENSMUST00000036700.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adra2a description:adrenergic receptor, alpha 2a [Source:MGI Symbol;Acc:MGI:87934] MFRQEQPLAEGSFAPMGSLQPDAGNSSWNGTEAPGGGTRATPYSLQVTLTLVCLAGLLML FTVFGNVLVIIAVFTSRALKAPQNLFLVSLASADILVATLVIPFSLANEVMGYWYFGKVW CEIYLALDVLFCTSSIVHLCAISLDRYWSITQAIEYNLKRTPRRIKAIIVTVWVISAVIS FPPLISIEKKGAGGGQQPAEPSCKINDQKWYVISSSIGSFFAPCLIMILVYVRIYQIAKR RTRVPPSRRGPDACSAPPGGADRRPNGLGPERGAGPTGAEAEPLPTQLNGAPGEPAPAGP RDGDALDLEESSSSEHAERPPGPRRPDRGPRAKGKTRASQVKPGDSLPRRGPGAAGPGAS GSGHGEERGGGAKASRWRGRQNREKRFTFVLAVVIGVFVVCWFPFFFTYTLIAVGCPVPS QLFNFFFWFGYCNSSLNPVIYTIFNHDFRRAFKKILCRGDRKRIV >ENSMUSP00000093177.2 pep:known chromosome:GRCm38:12:86983381:86988676:-1 gene:ENSMUSG00000048483.5 transcript:ENSMUST00000095521.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc22 description:zinc finger, DHHC-type containing 22 [Source:MGI Symbol;Acc:MGI:2685108] MLALRLLNVVAPAYFLCISLVTFVLQLFLFLPSMREDPTATPLFSPAVLHGALFLFLSAN ALGNYVLVIQNSPDDLGTCQGTMSQRPQCPPPSTHFCRVCSRVTLRHDHHCFFTGNCIGS RNMRNFILFCLYTSLACLYSMVAGVAYISAVLSISFAHPLAFLTLLPTSISQFFSGAVLG SDMFVILMLYLWFAVGLACAGFCCHQLLLILRGQTRYQVRKGMAVRARPWRKNLQEVFGK RWLLGLLVPMFNVGTESSKQQDK >ENSMUSP00000130863.1 pep:known chromosome:GRCm38:6:129862649:129864393:1 gene:ENSMUSG00000092047.1 transcript:ENSMUST00000171980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17631 description:predicted gene, 17631 [Source:MGI Symbol;Acc:MGI:4937265] IREMQIKTTLRFHRSMGSQNQIR >ENSMUSP00000071372.1 pep:known chromosome:GRCm38:9:38697429:38698376:-1 gene:ENSMUSG00000056961.1 transcript:ENSMUST00000071425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr919 description:olfactory receptor 919 [Source:MGI Symbol;Acc:MGI:3030753] MQVQMADTNHSTVTEFILAGLTDKPELQLPLFLLFLGIYLLTVLGNLGMIILILLSSHLH TPMYFFLSSLSFIDLCYSTVITPKMLVNFVAKKNVISYEECMTQLYFFLAFVISECHMLA AMAYDRYVAICNPLLYNVTMSYQICSWMVGGVYGMGLIGAAVHTLCMLRVVFCKANIINH YFCDLFPLMELACSSTYVNEVVLLCLSAFNIFIPTLTILGSYIFIIISILRIKSTEGRFK AFSTCSSHFSAVSVFFGSLAFMYLQPFSVSSKDKGKVSSVFYTTIVPMLNPMIYSLRNRD VKLALNKLFQKKFHV >ENSMUSP00000100468.1 pep:known chromosome:GRCm38:9:37711348:37712277:-1 gene:ENSMUSG00000061165.6 transcript:ENSMUST00000104875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr160 description:olfactory receptor 160 [Source:MGI Symbol;Acc:MGI:1931271] MAAENQSTVTEFILRGLTNRPELQLPLLLLFLGIYIITMVGNLGMITLIGLNSQLHTPMY FFLSNLSLVDLCYSSVITPKMLINFVSQRNLISYVGCMSQLYFFLVFVIAECYMLTVMAY DRYVAICQPLLYNIIMSPALCSLLVVFVYAMGLIGSTIETSLMLKLNYCEDLISHYFCDI LPLMKLSCSSTYDIEMAVFFLAGFNIIVTSLTVLISYAFILSSILRISSNEGRSKAFSTC SSHFAAVGLFYGSTAFMYLKPSTASSLAQENVASVFYTTVIPMLNPLIYSLRNKEVKTAL DKTLRRRVF >ENSMUSP00000043145.5 pep:known chromosome:GRCm38:12:105705982:105782447:1 gene:ENSMUSG00000041323.5 transcript:ENSMUST00000040876.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak7 description:adenylate kinase 7 [Source:MGI Symbol;Acc:MGI:1926051] MAEEEVPATTEKVLKIQRVFINHLDTYSSGNIGKFLANCVAGASLEEIGEEEEEEDETKS AVAPEAPTTKVKEGTFQIVGTLVKPESVKPDFAVEAYKGISREDLLSHLMECDAVIYNIT ENVQQVEEALWAVSALNEEISHFEKRKVFILLSTVMTWARSKPLDPDDNEVPFTEEDYRR RKHHPNFLDHINAEKIVLKFGKNAKKFATYVVASGLQYGAEGGILHTFFKMAWLGEVPAL PVFGDGTNCIPAIHVVDLAGVIQNIIDHVPKLHYLVAVDEAVHTLEDLVKCISKNTGPGK IQKVPKENAFLTKDLTQEYLDHLLVNLRMEALFVKENFNIRWVAQTGFVENINSILKEYK QSRGLLPIKICILGPPAVGKSSISEELAKYYKLHHIKMKDVIAEAIAKLEAIVAPKDSVE GEEEGEEEEEEENVDDAQELLDGIKESMEQNAGRLEDQYIIRFVKEKLKSMPCRNQGFIL DGFPKTYDQAKDLFNQEEEEEEEEIRGKIFPYDKLITPEFVCGLDASDEFLKERVMNLPE SVVAGTHYSQDRFLRSLSHYRDINTDDETVFNYFDELEIHPIHIDVGKLEDAQNRLAIKQ LIKEIGKPRNYGLTDEEKAEEEKKAAEERLAKEAAQTAELEHKEAMEMAEKIARWEEWNK RLEEVKREERELLEVQSVPLRNYLMTYVMPTLMQGLNECCKVRPEDPVDFLAEYLFKNNP EMQ >ENSMUSP00000065754.7 pep:known chromosome:GRCm38:14:64122623:64455903:-1 gene:ENSMUSG00000054733.8 transcript:ENSMUST00000067927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msra description:methionine sulfoxide reductase A [Source:MGI Symbol;Acc:MGI:106916] MLSASRRALQLLSSANPVRRMGDSASKVISAEEALPGRTEPIPVTAKHHVSGNRTVEPFP EGTQMAVFGMGCFWGAERKFWVLKGVYSTQVGFAGGHTRNPTYKEVCSEKTGHAEVVRVV YRPEHISFEELLKVFWENHDPTQGMRQGNDFGTQYRSAVYPTSAVQMEAALRSKEEYQKV LSKHNFGPITTDIREGQVFYYAEDYHQQYLSKNPDGYCGLGGTGVSCPMAIKK >ENSMUSP00000070098.7 pep:known chromosome:GRCm38:9:65214690:65239219:1 gene:ENSMUSG00000032392.10 transcript:ENSMUST00000069000.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp16 description:poly (ADP-ribose) polymerase family, member 16 [Source:MGI Symbol;Acc:MGI:2446133] MQLSNRAAAREAASRDVLAADLRCSLFASALQSYKRDSVLRPFPASYARHDCKDFEALLA DTGRLPNLKELLQSSRDTDKQAWDLVSWILSSKILTIHSAKKAEFEKIQQLTGAPHTPVP TPDFLFEIEYFDPANSRFYETKGERDLIYAFHGSRLENFHSIIHNGLHCHLNKTSLFGEG TYLTSDLSLALIYSPHGHGWQHSLLGPILSCVAVCEVIDHPDVKCQIKKKDSKEIDRSRA RIKHSEGGEIPPKYFVVTNNQLLRVKYLLVYSQKQPKRASSQLSWLSSHWFVIMMSLYLL LLLIVSVTNSSVFHHFWNRVKR >ENSMUSP00000061493.6 pep:known chromosome:GRCm38:10:95940663:96045518:1 gene:ENSMUSG00000036499.8 transcript:ENSMUST00000053484.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eea1 description:early endosome antigen 1 [Source:MGI Symbol;Acc:MGI:2442192] MFRRILQRTPGRVGSQGSDLDSSATPINTVDVNNESSSEGFICPQCMKSLGSADELFKHY QAVHDAGNDSGHGGEAGLALTRDDITLLRQEVQDLQASLKEEKWYSEELKKELEKYQGLQ QQEAKSDGLVTDSSAELQALEQQLEEAQTENFNIKQMKDLFEQKAAQLATEIADIKSKYD EEKSLRAAAEQKVTHLTEDLNKQTTVIQDLKTELLQRPGIEDVAVLKKELVQVQTLMDNM TLERERESEKLKDECKKLQSEHAHLEATINQLRSELAKGPQEVAVYVQEIQKLKGSINEL TQKNQNLTEKLQKKDLDYTHLEEKHNEESASRKTLQASLHQRDLDCQQLQARLTASESSL QRAQGELSEKAEAAQKLREELREVESTRQHLKVEVKQLQQQREEKEQHGLQLQGEVSQLH CKLLETERQLGEAHGRLKEQRQLSSEKLMEKEQQVADLQLKLSRLEEQLKEKVTNSTELQ HQLEKSKQQHQEQQALQQSATAKLREAQNDLEQVLRQIGDKDQKIQNLEALLQKGKESVS LLEKEREDLYAKIQAGEGETAVLNQLQEKNHALQQQLTQLTEKLKNQSESHKQAEENLHD QVQEQKAHLRAAQDRVLSLETSVSELSSQLNESKEKVSQLDIQIKAKTELLLSAEAAKAA QRADLQNHLDTAQHALQDKQQELNKVSVQLDQLTAKFQEKQEHCIQLESHLKDHKEKHLS LEQKVEDLEGHIKKLEADALEVKASKEQALQSLQQQRQLSTDLELRNAELSRELQEQEEV VSCTKLDLQNKSEILENIKQTLTKKEEENVVLKQEFEKLSQDSKTQHKELGDRMQAAVTE LTAVKAQKDALLAELSTTKEKLSKVSDSLKNSKSEFEKENQKGKAAVLDLEKACKELKHQ LQVQAESALKEQEDLKKSLEKEKETSQQLKIELNSVKGEVSQAQNTLKQKEKDEQQLQGT INQLKQSAEQKKKQIEALQGEVKNAVSQKTVLENKLQQQSSQAAQELAAEKGKLSALQSN YEKCQADLKQLQSDLYGKESELLATRQDLKSVEEKLTLAQEDLISNRNQIGNQNKSIQEL QAAKASLEQDSAKKEALLKEQSKALEDAQREKSVKEKELVAEKSKLAEMEEIKCRQEKEI TKLNEELKSHKQESIKEITNLKDAKQLLIQQKLELQGRVDSLKAALEQEKESQQLMREQV KKEEEKRKEEFSEKEAKLHSEIKEKEAGMKKHEENEAKLTMQVTTLNENLGTVKKEWQSS QRRVSELEKQTDDLRGEIAVLEATVQNNQDERRALLERCLKGEGEIEKLQTKALELQRKL DNTTAAVQELGRENQSLQIKHTQALNRKWAEDNEVQNCMSCGKCFSVTVRRHHCRQCGNI FCAECSTKNALTPSSKKPVRVCDACFNDLQG >ENSMUSP00000025826.5 pep:known chromosome:GRCm38:19:5024006:5031971:1 gene:ENSMUSG00000024891.5 transcript:ENSMUST00000025826.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc29a2 description:solute carrier family 29 (nucleoside transporters), member 2 [Source:MGI Symbol;Acc:MGI:1345278] MARGNAPRDSYHLVGISFFILGLGTLLPWNFFITAIPYFQGRLAGTNSSAETMGTNHTSP TDTFNFNNWVTLLSQLPLLLFTLLNSFLYQCIPESVRILGSLLAILLLFALTAALVKVDL SPGLFFSVTMASVWFINSFCAVLQGSLFGQLGTMPSTYSTLFLSGQGLAGIFAALAMLMS LASGVDAQTSALGYFITPCVGILLSIVCYLSLPHLKFARYYLTEKLSQAPTQELETKAEL LQADEKNGVPISPQQASPTLDLDPEKEPEPEEPQKPGKPSVFVVFRKIWLTALCLVLVFT VTLSVFPAITAMVTTSSNSPGKWGLFFNPICCFLLFNVMDWLGRSLTSYFLWPDEDSQQL LPLLVCLRFLFVPLFMLCHVPQHARLPIIFRQDAYFITFMLLFAVSNGYLVSLTMCLAPR QVLPHEREVAGALMTFFLALGLSCGASLSFLFKALL >ENSMUSP00000034511.5 pep:known chromosome:GRCm38:9:43310763:43336125:1 gene:ENSMUSG00000032013.5 transcript:ENSMUST00000034511.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim29 description:tripartite motif-containing 29 [Source:MGI Symbol;Acc:MGI:1919419] MEGADACRSNGASPEARDTRSPPGPSGSLENGTKADSKDTKTTNGHSGEVTEGKTLGSAL KSGEGKSGLFSSNEWRRPIIQFVESVDDKGSSYFSMDSAEGRRSPYAGLQLGASKKPPVT FAEKGELRKSIFSEPRKPTVTIVEPGEVRRNSYPRADSSLLARAKSGSEEVLCDSCIGNK QKAVKSCLVCQASFCELHLKPHLEGAAFRDHQLLEPIRDFEARKCPLHGKTMELFCQTDQ TCICYLCMFQEHKNHSTVTVEEAKAEKETELSLQKEQLQLKIIEIEDDVEKWQKEKDRIK SFTTNEKAILEQNFRDLVRELEKQKEEVRAALEQREQDAVDQVKVIVDALDERAKVLHED KQTREQLHNISDSVLFLQEFGALMSNYSLPPPLPTYHVLLEGEGLGQSLGNCKDDLLNVC MRHVEKMCKADLSRNFIERNHMENGGDHRYMNSYTSSYGNEWSTPDTMKRYSMYLTPKGG GRTSYQPSSPSRLSKETNQKNFNNLYGTKGNYTSRVWEYTSTVQNSEDMPTVQGNSSFSL KGFPSLLRSQVPKAQPQTWKSGKQTLLSHYRPFYVNKGSGIGSNEAP >ENSMUSP00000057040.5 pep:known chromosome:GRCm38:9:65501453:65516999:-1 gene:ENSMUSG00000066510.4 transcript:ENSMUST00000061766.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankdd1a description:ankyrin repeat and death domain containing 1A [Source:MGI Symbol;Acc:MGI:2686319] LVLPLERQLHEASRWNQVERMKELFEKRVNIRARNHVGRVALHWAAGAGHEQAVRLLLER GAAVDDVDSFGMNSLLLSAWFGHLQIVQILVNAGAKVHCESKDGLTLLHCAAQKGHVPVL AFVMEDLEDVALDHADKLGRTAFHRAAEHGQLDALDFLVGSGCDHSVKDKGGNTALHLAA SQGHVDVLQRLVDIGLDLEEQNTEGLTALHAAAEGIHADCVMLLLGAGSNVNALTQKRLS CLHYAALGGSEDLSRALIKAGGCTNVADKQGTTPMHLAVKHNFPGLVQLLIDGHSDLDAV DIRRQTPLHLAAEHAWQDVADMLLIAGADLSLRDKQGKTALAVAARSNHVSLVDMIIKAD RFYRWEKQDHRQETQQLRSVLWRLASRYLRPNEWKKLAYSWQFTEAHVCAIEQQWTGTRS YQEHGHRMLLIWLHGVAAAGENPSKALFEGLVAIGRRDLAESTRNMANGEPTAPRRCTAM >ENSMUSP00000086507.2 pep:known chromosome:GRCm38:16:89015277:89015846:1 gene:ENSMUSG00000068075.2 transcript:ENSMUST00000089106.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10229 description:predicted gene 10229 [Source:MGI Symbol;Acc:MGI:3711943] MCGYYGNYYGGRGYGCCGYGGLGYGYGGLGCGYGSYYGCGYRGLGCGYGYGCGYGSGSLY GCGYGCGSGYGSGFGYY >ENSMUSP00000127603.1 pep:known chromosome:GRCm38:9:52111985:52168111:-1 gene:ENSMUSG00000035164.7 transcript:ENSMUST00000165519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h12c description:zinc finger CCCH type containing 12C [Source:MGI Symbol;Acc:MGI:3026959] MEAGPGAAEGNGPTAVILPLGPSRARGEYGVLCIQEYRKSSKVESSARNSFMGLKDHLGH DLGHLYMESTDPQMSAAVPWPMVEKPTMDTVNSGKEGKGVSEENVSSGDSEGSTSSDHES EQLSSLSVEPCSLTKTHRQLCRSPCLEPRLLKHSDILQDFKPEESQTPSKEVKKPPDVVR EYQTKLEFALKLGYSEEQVQLVLNKLGTDALINDILGELVKLGNKSEADQTVSTINSVMR ETSSLESQRSESPMQEVVVDDGENLRPVVIDGSNVAMSHGNKEVFSCRGIKLAVDWFLER GHKDITVFVPAWRKEQSRPDALITDQEILRKLEKEKILVFTPSRRVQGRRVVCYDDRFIV KLAFESDGIIVSNDNYRDLANEKPEWKKFIDERLLMYSFVNDKFMPPDDPLGRHGPSLDN FLRKKPIVPEHKKQPCPYGKKCTYGHKCKYYHPERGSQPQRSVADELRAMSRNTAAKTTN EGGLVKSNSVPCSTKADSTSDVKRGAPKRQSDPSIRTHVYQDIEEKLPTKNKLETRSVPS LVSIPATSTAKPQSTTPLSNGLPSGVHFPPQDQRPQGQYPPMMMATKNHGTPMPYEQYPK CDSPVDVGYYSMLNAYSNLSISGPRSPERRFSLDTDYRVNSVASDCSSEGSMSCGSSDSY VGYNDRSYVSSPDPQLEESLKCQHMHPHSRLNSQPFLQNFHDPLTRVQSYSHEEPKFHPK RPLPHLAMHLQHPAVGARSSCPGDYPSPPSSAHSKAPHLGRSLVATRIDSISDSRLYDSS PSRQRKPYSRQEGLGSWGRPSYGLEAYGYRQTYSLPDNSTPPCYESITFQSLPEQQEPTW RIPYCGMPHDPPRYQDNREKIFINLCNIFPPDLVRLVMKRNPHMTDAQQLAAAILVEKSQ LGY >ENSMUSP00000023050.7 pep:known chromosome:GRCm38:15:80133154:80161701:1 gene:ENSMUSG00000022414.7 transcript:ENSMUST00000023050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tab1 description:TGF-beta activated kinase 1/MAP3K7 binding protein 1 [Source:MGI Symbol;Acc:MGI:1913763] MAAQRRSLLQSEQQPSWTDDLPLCHLSGVGSASNRSYSADGKGTESHPPEDNWLKFRSEN NCFLYGVFNGYDGNRVTNFVAQRLSAELLLGQLNTEHTEADVRRVLLQAFDVVERSFLES IDDALAEKASLQSQLPEGVPQHQLPPQYQKILERLKALEREISGGAMAVVAVLLNSKLYV ANVGTNRALLCKSTVDGLQVTQLNMDHTTENEDELFRLSQLGLDAGKIKQMGVICGQEST RRIGDYKVKYGYTDIDLLSAAKSKPIIAEPEIHGAQPLDGVTGFLVLMSEGLYKALEAAH GPGQANQEIAAMIDTEFAKQTSLDAVAQAVVDRVKRIHSDTFASGGERAKFCPRHEDMTL LVRNFGYPLGEMSQPTPTPAPGGRVYPVSVPYSSAQSTSKTSVTLSLVMPSQGQMVNGSH SASTLDEATPTLTNQSPTLTLQSTNTHTQSSSSSSDGGLFRSRPAHSLPPGEDGRVEPYV DFAEFYRLWSVDHGEQSVMTAP >ENSMUSP00000135909.1 pep:known chromosome:GRCm38:Y:10553453:10553992:1 gene:ENSMUSG00000095860.1 transcript:ENSMUST00000178669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21775 description:predicted gene, 21775 [Source:MGI Symbol;Acc:MGI:5433939] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTENPSEKAPSDQWDTKASN SEHEVDKPSSILTEECMRTTLSHEDSLRHPTFLMRFPDTLRLPRENRGKPLLPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHRKRKIGIIFLLRRTQNIFLMSKRERNLPEVPNGSP >ENSMUSP00000137280.1 pep:known chromosome:GRCm38:13:43151744:43171501:-1 gene:ENSMUSG00000021368.14 transcript:ENSMUST00000179852.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d7 description:TBC1 domain family, member 7 [Source:MGI Symbol;Acc:MGI:1914296] MTDDSQRNFRSVYYEKVGFRGVEEKKSLEILLKDDRLDIEKLCTFSQRFPLPSMYRALVW KALLGILPPHHDTHSQVMAYRKDQYHDILHALTVVRFISDATPQAEVYLRMYQLESGKLP RSPSFPLEPEDEVFLAIAKAMEEMVEDSVDCYWISRCFVKQLNNKYRDALPQLPKAFEQY LNLEDSRLLSHLKTCSAVSKLPYDLWFQRCFAGCLPESSLQRVWDKVVSGSCKILVFVAV EILLTFKIKVMALNSAEKITKFLENIPQDSSDAIVSKAIDLWHKHCGTPVHSA >ENSMUSP00000021797.8 pep:known chromosome:GRCm38:13:43151744:43171501:-1 gene:ENSMUSG00000021368.14 transcript:ENSMUST00000021797.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d7 description:TBC1 domain family, member 7 [Source:MGI Symbol;Acc:MGI:1914296] MTDDSQRNFRSVYYEKVGFRGVEEKKSLEILLKDDRLDIEKLCTFSQRFPLPSMYRALVW KALLGILPPHHDTHSQVMAYRKDQYHDILHALTVVRFISDATPQAEVYLRMYQLESGKLP RSPSFPLEPEDEVFLAIAKAMEEMVEDSVDCYWISRCFVKQLNNKYRDALPQLPKAFEQY LNLEDSRLLSHLKTCSAVSKLPYDLWFQRCFAGCLPESSLQRVWDKVVSGSCKILVFVAV EILLTFKIKVMALNSAEKITKFLENIPQDSSDAIVSKAIDLWHKHCGTPVHSA >ENSMUSP00000137920.1 pep:known chromosome:GRCm38:4:56740070:56741377:1 gene:ENSMUSG00000096930.1 transcript:ENSMUST00000181745.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26657 description:predicted gene, 26657 [Source:MGI Symbol;Acc:MGI:5477151] MHGRDAEGLEQELSVLLPVAGGAERRVGHQHRMLFRHDLHSGVEDVLPDVLDTIPVHHNS VLQWVNQLQRCLHVEQLMAYVCLLEVVSSIRSHLSAALAHGRGDEIGGPRLSCIAALTVL GTQVNDQ >ENSMUSP00000100924.3 pep:known chromosome:GRCm38:10:97479500:97518162:1 gene:ENSMUSG00000019929.15 transcript:ENSMUST00000105287.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcn description:decorin [Source:MGI Symbol;Acc:MGI:94872] MKATLIFFLLAQVSWAGPFEQRGLFDFMLEDEASGIIPYDPDNPLISMCPYRCQCHLRVV QCSDLGLDKVPWDFPPDTTLLDLQNNKITEIKEGAFKNLKDLHTLILVNNKISKISPEAF KPLVKLERLYLSKNQLKELPEKMPRTLQELRVHENEITKLRKSDFNGLNNVLVIELGGNP LKNSGIENGAFQGLKSLSYIRISDTNITAIPQGLPTSLTEVHLDGNKITKVDAPSLKGLI NLSKLGLSFNSITVMENGSLANVPHLRELHLDNNKLLRVPAGLAQHKYIQVVYLHNNNIS AVGQNDFCRAGHPSRKASYSAVSLYGNPVRYWEIFPNTFRCVYVRSAIQLGNYK >ENSMUSP00000131431.2 pep:known chromosome:GRCm38:10:97482350:97518162:1 gene:ENSMUSG00000019929.15 transcript:ENSMUST00000163448.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcn description:decorin [Source:MGI Symbol;Acc:MGI:94872] MKATLIFFLLAQVSWAGPFEQRGLFDFMLEDEASGIIPYDPDNPLISMCPYRCQCHLRVV QCSDLGLDKVPWDFPPDTTLLDLQNNKITEIKEGAFKNLKDLHTLILVNNKISKISPEAF KPLVKLERLYLSKNQLKELPEKMPRTLQELRVHENEITKLRKSDFNGLNNVLVIELGGNP LKNSGIENGAFQGLKSLSYIRISDTNITAIPQGLPTSLTEVHLDGNKITKVDAPSLKGLI NLSKLGLSFNSITVMENGSLANVPHLRELHLDNNKLLRVPAGLAQHKYIQVVYLHNNNIS AVGQNDFCRAGHPSRKASYSAVSLYGNPVRYWEIFPNTFRCVYVRSAIQLGNYK >ENSMUSP00000130338.1 pep:known chromosome:GRCm38:15:82389154:82394022:-1 gene:ENSMUSG00000068085.6 transcript:ENSMUST00000170255.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2d11 description:cytochrome P450, family 2, subfamily d, polypeptide 11 [Source:MGI Symbol;Acc:MGI:88603] MELLTGAGLWSVAIFTVIFILLVDLMHRHQHWTSRCPPGPVPWPVLGNLLQVDLGNMPYS LYKLQNRYGDVFSLQMGWKPMVVINGLKAMKEVLLTCGEDTADRPQVPIFEYLGVKPGSQ GVVLAPYGPEWQEQRRFSVSTLRNFGLGKKSLEDWVTKEARHLCDAFTAQAGQSINPNTM LNNAVCNVIASLIFARRFEYEDPYLIRMLKMLKECFTEISGFIPGVLNEFPIFLRIPGLA DMVFQGQKSFMAILDNLLTENRTTWDPDQPPRNLTDAFLAEIEKAKGNPESSFNDENLRM VVGDLFTAGMVTTSTTLSWALLLMILHPDVQRRVQQEIDAVIGQVQHPEMADQARMPYTN AVIHEVQRFGDIAPLPLPRITSRDIEVQDFLVTKGSTLIPNMSSVLKDETVWEKPLRFHP EHFLDAQGHFVKPEAFMPFSAGHRSCLGEALARMELFLFFTCLLQRFSISVPDGQPQPSN YRVHAIPVAPFPYQLCAVMREQGH >ENSMUSP00000052089.3 pep:known chromosome:GRCm38:12:101404673:101626009:1 gene:ENSMUSG00000047014.6 transcript:ENSMUST00000055156.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsperb description:catsper channel auxiliary subunit beta [Source:MGI Symbol;Acc:MGI:2443988] MESPLIYVMLVLLNVFVFSSGVIHNKGKERTYFSCSGEGILTGLHTIKLFLTMDNLKVRC FFRNENQSPSKEILGLFTSGGLAPNMIITNSTFYGGYYFKLTPFSNRLEWLIDIPRQNIT VNTDIAAVEQWMIKITMHEGLNIYDTEGTLLDLVREPILQWNLGRVLTEMEVRDLYPEVN DIKVTKSPCANDVALIGFMMKPSSNGVFIGKTISGFWTYKECIWHDLTEIIYAELKDEHQ GLTVIDLVLTNHFLVILTSLGLYVSSDLRYPTTSQIKLSRAEFCGFERVDYIRGNLWYNE KCFANRESFEVDYVTITFNRNRTLSESSSCFFSKEPFLHWLPCVFSTIKNEKSIPRVITF LIDQETDSGIYLFNVQDTKETYVTVAMLKDGKPSPRPKFPSFHFPSTFTLPLGMIFHPRS HFLYVYGSQIWVSMDGGNTFEMLCNLFSHHVTKTSNSFYTSDIVFIVEDGRILTTKAGLT TYSELGILKDAIFTLYYDQLGYIHKLTPENFDAGSKLLGHGNSGSIFGKRPDLGFEAILV PQYISTNEMYFFAHVPLTMPTNIQWKKRFKTIHLGKTIEFSKTGLANIKNVYMHKTEPVG FQTSIHTEIIVPFGIENSKDSPCLLSDLEITYSGKLYYTIKLLSKNPLHELKSTDVEKSV LIPGYSSFLIMNITDKWTASALATMPQAIKSNLKFLTGSWFLYNFGTAGGRKWSISTRQC NYWIQQDSLDFMSLNLVKYIDVGNTIDFQFKIIPKAMSTFPIPPVSMVVGNPGLVEVKTQ GVFDLNENYYLDIHVSGRFFQKGSTSIALVLWEGSSKCYAITLLPTIKSSCSYLRTMHHT PGRHIPPEDWISGVHKDSQGFNMIKTLPINYRPPSHMGISIPLTDNFYHADPSKPIPRNQ FHKSKETGKYKQCANVTSRAMCNCSEHQKFSHAVAFSDCKEKVHRFKFPVTQYPVVLEIF NERDKISAEPPYLVTMTEVNMRKNWQLKHNEPENVKKMKHYLEPLLKTPVYNPLGLNLTI QGSELFHFKVSVVPGVSFCELSEEFQIYVDEVPLPFPGHALIAVATSVVLGVLIFIAFVF QLRNIHPLKALKKSIRGNPGLTSSTTVSS >ENSMUSP00000137310.1 pep:known chromosome:GRCm38:17:89908511:89910449:-1 gene:ENSMUSG00000066878.4 transcript:ENSMUST00000086423.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10184 description:predicted pseudogene 10184 [Source:MGI Symbol;Acc:MGI:3704480] MSTNENANLPAARLNRFKNKGKDSTEMRRRRIEVNVELRKAKKDEQMLKRRNVSSFPDDA TSPLQENRNNQGTVNWSVEDIVKGINSNNLESQLQATQAARKLLSREKQPPIDNIIRAGL IPKFVSFLGKTDCSPIQFESAWALTNIASGTSEQTKAVVDGGAIPAFISLLASPHAHISE QAVWALGNIAGDGSAFRDLVIKHGAIDPLLALLAVPDLSTLACGYLRNLTWTLSNLCRNK NPAPPLDAVEQILPTLVRLLHHNDPEVLADSCWAISYLTDGPNERIEMVVKKGVVPQLVK LLGATELPIVTPALRAIGNIVTGTDEQTQKVIDAGALAVFPSLLTNPKTNIQKEATWTMS NITAGRQDQIQQVVNHGLVPFLVGVLSKADFKTQKEAAWAITNYTSGGTVEQIVYLVHCG IIEPLMNLLSAKDTKIIQVILDAISNIFQAAEKLGETEKLSIMIEECGGLDKIEALQRHE NESVYKASLNLIEKYFSVEEEEDQNVVPETTSEGFAFQVQDGAPGTFNF >ENSMUSP00000135963.1 pep:known chromosome:GRCm38:12:19954505:19954840:1 gene:ENSMUSG00000095470.1 transcript:ENSMUST00000179133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21863 description:predicted gene, 21863 [Source:MGI Symbol;Acc:MGI:5434027] MPDQISVSEFLAETLEDYKAPTASSFTTRTAQCRNTVAAVEEVSGSFARAGREARASGIA LSPGARLGFRAWCPISSALRLRPHPGKVSGPPKPDAAGPAVPDTEALCSLL >ENSMUSP00000097156.3 pep:known chromosome:GRCm38:19:23687400:23721129:1 gene:ENSMUSG00000074925.3 transcript:ENSMUST00000099560.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptar1 description:protein prenyltransferase alpha subunit repeat containing 1 [Source:MGI Symbol;Acc:MGI:1921875] MAESNEEVAVLVQRVVKDITNAFRRNPHIDEIGLIPCPEARYNRSPIVLVENKLGVESWC VKFLLPYVHNKLLLYRTRKQWLNKDELADVTCTLLLLNPDFTTAWNVRKELILSGTLSPI KDLHLGKLALTKFPKSPETWIHRRWVLQQLSQETFLPSSVAKGSLGAVPAERTQRIIQEE MEVCSEAAGRYPSNYNAWSHRIWVLQNVAKLDLKILLDELSSTKHWASMHVSDHSGFHYR QFLLKSLISQTTIDSAVPQHNSLKSEPKDEAAAASTEEPSVNLPQLLEEEVEFCTDLIDS YPGHETLWCHRRHVFYLQHHLNGRLPPNLTHLSPADCPGGALNDSLQIPTSPQLSQAMEV DGLSDSSKQGYSQETKRLKRTPAPDSLGLEMEHRFIDQVLSTCRNVEQVRYANAYRKWLV TLSQ >ENSMUSP00000092951.3 pep:known chromosome:GRCm38:10:108332189:108414391:1 gene:ENSMUSG00000035873.7 transcript:ENSMUST00000095313.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pawr description:PRKC, apoptosis, WT1, regulator [Source:MGI Symbol;Acc:MGI:2149961] MATGGYRSGGSTTTDFLEEWKAKREKMRAKQNPAGPGSSGGDPAAKSPAGSLTPTAVAGT SELNHGPAGAAAPAAPAPGALNCAHGSSTLPRAAPGSRRAEDECPSAAAASGAPGSRGDE EEPDSAREKGRSSGPSARKGKGQIEKRKLREKRRSTGVVNIPAAECLDEYEDDEAGQKER KREDAITQQNTIQNEAATLPDPGTSYLPQDPSRTVPGRYKSTTSAPEDEISNRYPRTDRS GFSRHNRDANAPASFSSSSTLEKRIEDLEKEVVRERQENLRLVRLMQDKEEMIGKLKEEI DLLNRDLDDMEDENEQLKQENKTLLKVVGQLTR >ENSMUSP00000089063.2 pep:known chromosome:GRCm38:13:74371426:74376566:-1 gene:ENSMUSG00000069184.2 transcript:ENSMUST00000091481.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp72 description:zinc finger protein 72 [Source:MGI Symbol;Acc:MGI:3033356] MLENYSNLVSLGLAFSKPYLVTFLEKRQQSWDVKQQATVATYPGTKPYKYKECNKTFVRK SLLIQHQRVHTGEKPYKCEQCGKAFSCSSSLTPHQRIHTGEKPYKCEQCGQAFNCSSHLY KHQRIHTGEKPYKCPECGKAFNWSSSLTQHQRIHSGEKPYKCEECGLSFNCSHLYRHQRI HTGEKLYKCEECGKAFNCSSYLNYHQILHTGDKPYKCRECGKAFTMVSYLTRHQRIHTGE KPYKCKECDKAFSNCSALIQHQRIHTGEKPYKCSECGKAFNNSSSLTHHQRIHTGEKPYE CKECGKAFNSSSHLNYHHRIHTGEKPYKCEECSKAFNNFSALIQHQRIHTGEKPYKCEKC SKAFNNCSALSQHQRIHTGEKPYKCEKCGQGFNCSSNLKQHQRIHTREKLYSCEDSGKAF NNGEALPQYHRIHTY >ENSMUSP00000048218.8 pep:known chromosome:GRCm38:19:34241090:34255336:-1 gene:ENSMUSG00000035783.8 transcript:ENSMUST00000039631.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acta2 description:actin, alpha 2, smooth muscle, aorta [Source:MGI Symbol;Acc:MGI:87909] MCEEEDSTALVCDNGSGLCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEA QSKRGILTLKYPIEHGIITNWDDMEKIWHHSFYNELRVAPEEHPTLLTEAPLNPKANREK MTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRL DLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEK SYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNV LSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWIS KQEYDEAGPSIVHRKCF >ENSMUSP00000000384.6 pep:known chromosome:GRCm38:10:78186725:78244642:-1 gene:ENSMUSG00000000374.7 transcript:ENSMUST00000000384.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc10 description:trafficking protein particle complex 10 [Source:MGI Symbol;Acc:MGI:1336209] MDAPEEPQPPVVYTMENKPIVTCAGDQNLFTSIYPTLSQQLPREPMEWRRSYGRAPKMIH LESNFVQFKEELLPKEGNKALLTFPFLHIYWTECCDTEVYKATVKDDLTKWQNVLKAHSS VDWLIVVVENDAKKKNKTNILPRTSIVDKIRNDFCNKQSDRCVVLSDPLKDSSRTQESWN AFLTKLRTLLLMSFTKNLGKFEDDMRTLREKRTEPGWSFCEYFMVQEELAFVFEMLQQFE DALVQYDELDALFSQYVVNFGAGDGANWLTFFCQPVKSWNGLVLRKPIDMEKRELIQKQE ATLLDLRSYLFSRQCTLLLFLQRPWEVAQRALELLHSCVQELKLLEVSVPPGALDCWVFL SCLEVLQRIEGCCDRAQIDSNIAHMVGLWSYAMEKLKSLGYLCGLVSEKGPNSEDLNRTV DLLAGLGAERPETANTAQSPYKKLQEALSSVEAFEKHYLDLSHATIEMYTSIGRIRSAKL VGKDLAEFYMRKRSPQKAEMYLQGALKNYLAEGWALPVTHTRKQLAECQKHLGQMENYLQ TSSLLASDHHLTEEERKYFCQEILSFASQQEDNPGHKVVLPMQFARLKDLHFDPPNAVVH AGGVLTVEITVCSQMPIPVHVDQIAVNVHFSIEKNNYRKTAEWLTKHKTSNGIITFPAEA SLFPASQNSLPALELSEMLERSPSDNSLNTTGIICRNVHMLLRRQESGSSLEPPSGLALE DGAHVLRCSSVTLQPGANKIAFKTQAKEPGTYTLRQLRASVGPVWFVLAHIHPIVQYDVY SQEPQLHVEPLADSLLAGIPQKVKFTVTTGHYTVKNGDSLQLSNVEAMLILCQAENRAVV YSNSREECSTALLRIQSSDKVTSIGLPTAPAYHVIEFELEVLSLPSAPASGGDTSVPGTP ELHRKQKDSQRAGHCMVTTDHKVSIDCPWSIYSTVIALTFSVPFRTEHSLLSAGTRKYVQ VCVQNLSELDFELSDSNLEDKGHATDLRLAPLNTQSQQLIHSKQSVFFVWELTWTQEPPP PLHCQFSVGFSPASEEQLTVSLKPYTYEFQVENFFTLYSVRAEILPASGAEYCKTGSLCS LEVSITRLADLLDVDKDEALVESEDYFSTKLMYEVVDNSSNWAVCGKSCGVIAMPLAAQA THRVHMEVMPLFAGYLPLPDVRLFKYLPHHSAHASQLDADSWIENDSLSVDKHLDDQLDC SSLRSRGSTHSTSSSEHKGLPMPRLQALPAGQVFNSSTGMQVLVIPSQDDHVLEVSVT >ENSMUSP00000041118.6 pep:known chromosome:GRCm38:12:57540631:57546121:-1 gene:ENSMUSG00000035451.6 transcript:ENSMUST00000044380.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxa1 description:forkhead box A1 [Source:MGI Symbol;Acc:MGI:1347472] MLGTVKMEGHESNDWNSYYADTQEAYSSVPVSNMNSGLGSMNSMNTYMTMNTMTTSGNMT PASFNMSYANTGLGAGLSPGAVAGMPGASAGAMNSMTAAGVTAMGTALSPGGMGSMGAQP ATSMNGLGPYAAAMNPCMSPMAYAPSNLGRSRAGGGGDAKTFKRSYPHAKPPYSYISLIT MAIQQAPSKMLTLSEIYQWIMDLFPYYRQNQQRWQNSIRHSLSFNDCFVKVARSPDKPGK GSYWTLHPDSGNMFENGCYLRRQKRFKCEKQPGAGGGSGGGGSKGGPESRKDPSGPGNPS AESPLHRGVHGKASQLEGAPAPGPAASPQTLDHSGATATGGASELKSPASSSAPPISSGP GALASVPPSHPAHGLAPHESQLHLKGDPHYSFNHPFSINNLMSSSEQQHKLDFKAYEQAL QYSPYGATLPASLPLGSASVATRSPIEPSALEPAYYQGVYSRPVLNTS >ENSMUSP00000022286.6 pep:known chromosome:GRCm38:13:114287795:114388094:-1 gene:ENSMUSG00000021764.6 transcript:ENSMUST00000022286.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufs4 description:NADH dehydrogenase (ubiquinone) Fe-S protein 4 [Source:MGI Symbol;Acc:MGI:1343135] MAAVSMSVSLRQAMLGRRAMATAAVSVCRVPSRLLSTSTWKLADNQTRDTQLITVDEKLD ITTLTGVPEEHIKTRKVRIFVPARNNMQSGVNNTKKWKMEFDTRERWENPLMGWASTADP LSNMVLTFSAKEDAIAFAEKNGWSYDVEEKKVPKPKSKSYGANFSWNKRTRVSTK >ENSMUSP00000058762.4 pep:known chromosome:GRCm38:12:72069618:72070991:-1 gene:ENSMUSG00000043398.4 transcript:ENSMUST00000050649.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr135 description:G protein-coupled receptor 135 [Source:MGI Symbol;Acc:MGI:2676315] MEEQARPPGRPAASATLQGSAHPGGAASTATAAALSFSSVATVTLGNQSDAGRPEAAGSR GPAPLLWHGAAVAAQALVLLLIFLLSSLGNCAVMGVIVKHRQLRTVTNAFILSLSLSDLL TALLCLPAAFLDLFAPPGDSGPWRSFCAASRFFSSCFGIVSTFSVALISLDRYCAIVRPP RDKLGRRRALQLLAGAWLAALGFSLPWDLLRAPREPPAPQSFHRCLYRTSPDPAQLGVAY SVGLVVACYLLPFLLMCFCRYHICKTVRLSDVRVRPMTTYARVLRFFSEVRTATTVLIMI IFVMCCWGPYCFLVLLAATRQGQATQAPSLLNVAAVWLTWANGAINPVIYAIRNPNISML LGRNREEGYRTRNMDAFLPSQGLGFQARSRNRLRNGCANRLGACSRMPSSNPASGSGGEV VMWARKNPVVLFFREGPPDSVMEVGKLHNSETRDSSI >ENSMUSP00000026239.6 pep:known chromosome:GRCm38:19:45552275:45560531:-1 gene:ENSMUSG00000025218.6 transcript:ENSMUST00000026239.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poll description:polymerase (DNA directed), lambda [Source:MGI Symbol;Acc:MGI:1889000] MDPQGIVKAFPKRKKSHADLSSKALAKIPKREVGEARGWLSSLRAHIMPAGIGRARAELF EKQIIHHGGQVCSAQAPGVTHIVVDEDMDYERALRLLRLPQLPPGAQLVKSTWLSLCLQE GRLTDTEGFSLPMPKRSLDEPQPSKSGQDASAPGTQRDLPRTTLSLSPPHTRAVSPPPTA EKPSRTQAQLSSEDETSDGEGPQVSSADLQALITGHYPTPPEEDGGPDPAPEALDKWVCA QPSSQKATNYNLHITEKLEVLAKAYSVQGDKWRALGYAKAINALKSFHKPVSSYQEACSI PGIGKRMAEKVMEILESGHLRKLDHISDSVPVLELFSNIWGAGTKTAQMWYHQGFRNLED LQSLGSLTAQQAIGLKHYDDFLDRMPREEAAEIEQTVRISAQAFNPGLLCVACGSYRRGK MTCGDVDVLITHPDGRSHRGIFSCLLDSLRQQGFLTDDLVSQEENGQQQKYLGVCRLPGP GKRHRRLDIIVVPYCEFACALLYFTGSAHFNRSMRALAKTKGMSLSEHALSAAVVRNSQG VKVGPGQVLPTPTEKDVFKHLGLPYREPAERDW >ENSMUSP00000082343.5 pep:known chromosome:GRCm38:12:80644215:80683341:1 gene:ENSMUSG00000048833.8 transcript:ENSMUST00000085245.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a9 description:solute carrier family 39 (zinc transporter), member 9 [Source:MGI Symbol;Acc:MGI:1914820] MDDFLSISLLSVAMLVGCYVAGIIPLAVNFSEERLKLVTVLGAGLLCGTALAVIVPEGVH ALYEEVLEGKHHQTSEMKQNGIASDKAAEISSVHEHEHSHDHTQLHAYIGVSLVLGFVFM LLVDQIGSSHVHSSDDPETARPSSSKITTTLGLVVHAAADGVALGAAASTSQTSVQLIVF VAIMLHKAPAAFGLVSFLMHAGLERNRIRKHLLVFALAAPAMSMLTYLGLSKSSKEALSE VNATGVAMLFSAGTFLYVATVHVLPEVGGMGHSHKPDTTGGRGLSRLEVAALVLGCLIPL ILSIGHQH >ENSMUSP00000132394.1 pep:known chromosome:GRCm38:9:3000922:3002330:1 gene:ENSMUSG00000091028.1 transcript:ENSMUST00000151376.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10722 description:predicted gene 10722 [Source:MGI Symbol;Acc:MGI:3642024] SCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRDFQFYRQIPGPTVCIFHISRFSVILS FFKLSTACFSFSMIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCVFLIFSDFLFSRHI PGPTVCISHFSRFSVISSFFKLSSGCFSFSMIFSFLAIFHVLQWTFLNFPPFSSSIFSPY SRSYSVHFSFFTFFSDFVIFQVVKWMFLIFHDFQFSC >ENSMUSP00000137702.1 pep:known chromosome:GRCm38:CHR_MG4214_PATCH:37899547:37902220:-1 gene:ENSMUSG00000097436.3 transcript:ENSMUST00000181131.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox9 description:reproductive homeobox 9 [Source:EntrezGene;Acc:104384] METPQDSRQSIQKPPSPGAEEDKEEQHGGNAVVSGAGEEGIDKKELVMSGLAQGGLDQGE GAQGEVAGGEQAQEEPAPLSPAQEATGGEEEGENKEGEMEGRHAGDGASGPEDDNIQEEG GENIDQQPPQQEAAIPEGMRNPQAGNYLAHQRTRRTRFTHSQLRDLERLFQENRFPSLRV RRDLARWMGVDESDVQEWFKMRRALFRRHSRLMMFCELPPITENNSP >ENSMUSP00000138059.1 pep:known chromosome:GRCm38:CHR_MG4214_PATCH:37899547:37902154:-1 gene:ENSMUSG00000097436.3 transcript:ENSMUST00000180665.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox9 description:reproductive homeobox 9 [Source:EntrezGene;Acc:104384] METPQDSRQSIQKPPSPGAEEDKEEQHGGNAVVSGAGEEGIDKKELVMSGLAQGGLDQGE GAQGEVAGGEQAQEEPAPLSPAQEATGGEEEGENKEGEMEGRHAGDGASGPEDDNIQEEG GENIDQQPPQQEAAIPEGMRNPQAGNYLAHQRTRRTRFTHSQLRDLERLFQENRFPSLRV R >ENSMUSP00000064123.3 pep:known chromosome:GRCm38:9:19440915:19441853:1 gene:ENSMUSG00000052182.3 transcript:ENSMUST00000063923.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr849 description:olfactory receptor 849 [Source:MGI Symbol;Acc:MGI:3030683] METVNQTIISEFILLGLSDDPTLQPFIFTLFLTIYLITTLGNLLIILAVSSDSQLHTPMY FFLCNLSFNDICLINTTIPKMLVNIQREDHTITYTACLSQVYLILNFAGIENCLLAVMAY DRYVAICHPLKYTVIMNQYVCAMLLLFSLFLSIVHALFHTLMILLLSFCTEIEIPHFFCE LAQIIRLACSDNFINYLLVYTVSVLFFGVPVFGIILSYIHIISSVLKMSSLGGKYKAFST CGSHLSVVSLFYGTGFGVHISSAFTDSPKKTVVASVMYTIITQMLNPFIYSLRNKEMKKA FRKITSKIPFLL >ENSMUSP00000115722.1 pep:known chromosome:GRCm38:7:45125565:45128745:-1 gene:ENSMUSG00000074129.12 transcript:ENSMUST00000150350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl13a description:ribosomal protein L13A [Source:MGI Symbol;Acc:MGI:1351455] MAEGQVLVLDGRGHLLGRLAAIVAKQVLLGRKVVVVRCEGINISGNFYRNKLKYLAFLRK RMNTNPSRGPYHFRAPSRIFWRTVRGMLPHKTKRGQAALERLKVLDGIPPPYDKKKRMVV PAALKVVRLKPTRKFAYLGRLAHEVGWKYQAVTATLEEKRKEKAKMHYRKKKQILRLRKQ AEKNVEKKICKFTEVLKTNGLLV >ENSMUSP00000073352.2 pep:known chromosome:GRCm38:9:39018559:39019619:-1 gene:ENSMUSG00000058820.2 transcript:ENSMUST00000073671.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr146 description:olfactory receptor 146 [Source:MGI Symbol;Acc:MGI:2660711] MEKGNQSTVNKFFLSGLTEQPELQLPLFLLFLGIYLLTVLGNLGMIILILLSSYLHTPMY FFLSSLSFIDFCQSTVITPKMLVKFVREKNEISYPECITQLCFFVIFAVSESYMLAAMAY DRYVAICSPLLYSSIMSQHKCLSLVLGVYILGIVCASAHVGCIFRIDFCKSDLINHYFCD LISILNLSCSNIFVNDLVILIFSLINTIFPTLTILSSYAFIIISILRIKSTEGRSKAFST CSSHISAVAIFYISAGFTYLNPSSSHSMDEGKVSSIFYTIIVPMLNPLIYSLRNKDVKIA LKKMIE >ENSMUSP00000081946.5 pep:known chromosome:GRCm38:9:113930934:113977199:1 gene:ENSMUSG00000009741.14 transcript:ENSMUST00000084885.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubp1 description:upstream binding protein 1 [Source:MGI Symbol;Acc:MGI:104889] MAWVLSMDEVIESGLVHDFDSSLSGIGQELGAGAYSMSDVLALPIFKQEDSSLSLEDEAK HPPFQYVMCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKMGDMPELSGKLVKSIIRVV FHDRRLQYTEHQQLEGWKWNRPGDRLLDLDIPMSVGIIDTRTNPSQLNAVEFLWDPAKRT SAFIQVHCISTEFTPRKHGGEKGVPFRIQVDTFKQNENGEYTDHLHSASCQIKVFKPKGA DRKQKNDREKMEKRTAHEKEKYQPSYDTTILTEMRLEPIIEDAVEHEQKKSSKRTLPADY GDSLAKRGSCSPWPDTPTAYVNNSPSPAPTFTSSQPSTCSVPDSNSSSPNHQGDGAAQAS GEQIQPSATTQETQQWLLKNRFSSYTRLFSNFSGADLLKLTKEDLVQICGAADGIRLYNS LKSRSVRPRLTIYVCQEQPSSTALQGQPQAAGSGGESGGGTPSVYHAIYLEEMVASEVAR KLASVFNIPFHQINQVYRQGPTGIHILVSDQMVQNFQDETCFLFSTVKAENNDGIHIILK >ENSMUSP00000009885.7 pep:known chromosome:GRCm38:9:113930934:113977199:1 gene:ENSMUSG00000009741.14 transcript:ENSMUST00000009885.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubp1 description:upstream binding protein 1 [Source:MGI Symbol;Acc:MGI:104889] MAWVLSMDEVIESGLVHDFDSSLSGIGQELGAGAYSMSDVLALPIFKQEDSSLSLEDEAK HPPFQYVMCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKMGDMPELSGKLVKSIIRVV FHDRRLQYTEHQQLEGWKWNRPGDRLLDLDIPMSVGIIDTRTNPSQLNAVEFLWDPAKRT SAFIQVHCISTEFTPRKHGGEKGVPFRIQVDTFKQNENGEYTDHLHSASCQIKVFKPKGA DRKQKNDREKMEKRTAHEKEKYQPSYDTTILTECSPWPDTPTAYVNNSPSPAPTFTSSQP STCSVPDSNSSSPNHQGDGAAQASGEQIQPSATTQETQQWLLKNRFSSYTRLFSNFSGAD LLKLTKEDLVQICGAADGIRLYNSLKSRSVRPRLTIYVCQEQPSSTALQGQPQAAGSGGE SGGGTPSVYHAIYLEEMVASEVARKLASVFNIPFHQINQVYRQGPTGIHILVSDQMVQNF QDETCFLFSTVKAENNDGIHIILK >ENSMUSP00000112192.2 pep:known chromosome:GRCm38:9:113931564:113976817:1 gene:ENSMUSG00000009741.14 transcript:ENSMUST00000116492.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubp1 description:upstream binding protein 1 [Source:MGI Symbol;Acc:MGI:104889] MAWVLSMDEVIESGLVHDFDSSLSGIGQELGAGAYSMSDVLALPIFKQEDSSLSLEDEAK HPPFQYVMCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKMGDMPELSGKLVKSIIRVV FHDRRLQYTEHQQLEGWKWNRPGDRLLDLDIPMSVGIIDTRTNPSQLNAVEFLWDPAKRT SAFIQVHCISTEFTPRKHGGEKGVPFRIQVDTFKQNENGEYTDHLHSASCQIKVFKPKGA DRKQKNDREKMEKRTAHEKEKYQPSYDTTILTECSPWPDTPTAYVNNSPSPAPTFTSSQP STCSVPDSNSSSPNHQGDGAAQASGEQIQPSATTQETQQWLLKNRFSSYTRLFSNFSGAD LLKLTKEDLVQICGAADGIRLYNSLKSRSVRPRLTIYVCQEQPSSTALQGQPQAAGSGGE SGGGTPSVYHAIYLEEMVASEVARKLASVFNIPFHQINQVYRQGPTGIHILVSDQMVQNF QDETCFLFSTVKAENNDGIHIILK >ENSMUSP00000026011.6 pep:known chromosome:GRCm38:19:46573365:46596898:1 gene:ENSMUSG00000025036.6 transcript:ENSMUST00000026011.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfxn2 description:sideroflexin 2 [Source:MGI Symbol;Acc:MGI:2137678] MEGDLSGFNIDAPRWDQCTFLGRVKHFFNITDPRTVFASEQELDWAKAVVEKSRMGLVPP GTQMEQLLYAKKLYDSAFHPDTGEKMNVIGRMSFQVPGGMLITGFMLQFYRTMPAVIFWQ WVNQSFNALVNYTNRNAASPTSVRQMALSYFTATTTAVATAVGMNMWTKRAPPLVGRWVP FAAVAAANCVNIPMMRQQELIQGICVKDRNQNELGHSQRAAAVGIAQVVISRITMAAPGM ILLPVIMERLERLHLMKKVKVMHAPLQVLLCGCFLLFMVPVACGLFPQECELSVSYLEPE LRDTIKAKYGEQVLFVYFNKGL >ENSMUSP00000047894.6 pep:known chromosome:GRCm38:13:16011851:16027188:1 gene:ENSMUSG00000041324.13 transcript:ENSMUST00000042603.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inhba description:inhibin beta-A [Source:MGI Symbol;Acc:MGI:96570] MPLLWLRGFLLASCWIIVRSSPTPGSEGHGSAPDCPSCALATLPKDGPNSQPEMVEAVKK HILNMLHLKKRPDVTQPVPKAALLNAIRKLHVGKVGENGYVEIEDDIGRRAEMNELMEQT SEIITFAESGTARKTLHFEISKEGSDLSVVERAEVWLFLKVPKANRTRTKVTIRLFQQQK HPQGSLDTGDEAEEMGLKGERSELLLSEKVVDARKSTWHIFPVSSSIQRLLDQGKSSLDV RIACEQCQESGASLVLLGKKKKKEVDGDGKKKDGSDGGLEEEKEQSHRPFLMLQARQSED HPHRRRRRGLECDGKVNICCKKQFFVSFKDIGWNDWIIAPSGYHANYCEGECPSHIAGTS GSSLSFHSTVINHYRMRGHSPFANLKSCCVPTKLRPMSMLYYDDGQNIIKKDIQNMIVEE CGCS >ENSMUSP00000132085.1 pep:known chromosome:GRCm38:13:16014475:16027211:1 gene:ENSMUSG00000041324.13 transcript:ENSMUST00000164993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inhba description:inhibin beta-A [Source:MGI Symbol;Acc:MGI:96570] MPLLWLRGFLLASCWIIVRSSPTPGSEGHGSAPDCPSCALATLPKDGPNSQPEMVEAVKK HILNMLHLKKRPDVTQPVPKAALLNAIRKLHVGKVGENGYVEIEDDIGRRAEMNELMEQT SEIITFAESGTARKTLHFEISKEGSDLSVVERAEVWLFLKVPKANRTRTKVTIRLFQQQK HPQGSLDTGDEAEEMGLKGERSELLLSEKVVDARKSTWHIFPVSSSIQRLLDQGKSSLDV RIACEQCQESGASLVLLGKKKKKEVDGDGKKKDGSDGGLEEEKEQSHRPFLMLQARQSED HPHRRRRRGLECDGKVNICCKKQFFVSFKDIGWNDWIIAPSGYHANYCEGECPSHIAGTS GSSLSFHSTVINHYRMRGHSPFANLKSCCVPTKLRPMSMLYYDDGQNIIKKDIQNMIVEE CGCS >ENSMUSP00000034623.6 pep:known chromosome:GRCm38:9:44403761:44407548:-1 gene:ENSMUSG00000032112.9 transcript:ENSMUST00000034623.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc4 description:trafficking protein particle complex 4 [Source:MGI Symbol;Acc:MGI:1926211] MAIFSVYVVNKAGGLIYQWDSYSPRAEAEKTFSYPLDLLLKLHDERVLVAFGQRDGIRVG HAVLAINGMDVNGKYTADGKEVLEYLGNPANYPVSIRFGRPRLTSNEKLMLASMFHSLFA IGSQLSPEQGSSGIEMLETDTFKLHCFQTLTGIKFVVLADPRQAGIDSLLRKIYEIYSDF ALKNPFYSLEMPIRCELFDQNLKLALEVAEKAGTFGPGS >ENSMUSP00000060418.6 pep:known chromosome:GRCm38:9:56848659:56851963:-1 gene:ENSMUSG00000045620.6 transcript:ENSMUST00000055036.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf3l1 description:outer dense fiber of sperm tails 3-like 1 [Source:MGI Symbol;Acc:MGI:2681875] MKQSKGSRNYVFYAQHPEKEEEVPSWHEIKQTPVIMATIKGPGPAKYLRSSCTGYIAHDI SMFQEPAYSLHTRHTKKRIIDINSPGPCYFLNPKVTRFGISTCPQVPMEERISNPRINCM PASCKYNLEKTRPSGERQPPQYTFGYRCPYRVMDPNPAPNQYQLPVTLGTNIPVFRAAPS YSLASTNKNWFHKENIAGGPGPAMHTRPEPSVYQNRSPLFSMAKRFGCPLDHTHRPGPGS HDIQPVTVHKPRIPAFTMGIKHSPHLCPLIVDICD >ENSMUSP00000045024.7 pep:known chromosome:GRCm38:13:92449622:92484031:-1 gene:ENSMUSG00000034334.7 transcript:ENSMUST00000040106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam151b description:family with sequence similarity 151, member B [Source:MGI Symbol;Acc:MGI:1921192] MAACAGGPGSWSENILKYFLRNNQITAEDGAEILWSHAANHKSQMNEALKSAAHMIEADV LLPSDGSEHGQPIMAHPPETSSDNTLQEWLAEVVKSNKGIKLDFKSLAAVRASMLFLDNM KQHLQRPVWINADILPGPNGSSKVVDAKAFLDTVTSFFPDVTFSLGWTTGWHPEKVNEGY SWSMVKEMDYICSELTQPVTFPVRAALVRQSCPQLLWLLTKSNRYSLTVWTGKDDIYSTE DLLYIRDYFNKTQVFYDISEPQNHEFKQAIGIRGHSLRI >ENSMUSP00000109838.2 pep:known chromosome:GRCm38:9:57537528:57543187:1 gene:ENSMUSG00000032305.14 transcript:ENSMUST00000114200.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam219b description:family with sequence similarity 219, member B [Source:MGI Symbol;Acc:MGI:1925573] MATEEFRGHAVRMSTQGSQPGAAPDSVAGTAGLPSGQSGGAGLRLGERPPPAMEKRGPYL VTRAPSIQAKLKKHRDHAKAVLRRKGMLGALTNRPDSSGKRSVKFNKGYTALSQSPDENL VSLDSDSDGELESRYSSGYSSAEQVNQDVSRQLLQDGYHLDEIPDDEDLDLIPPKPIASS ACSCCWCCLGDSSCTLQ >ENSMUSP00000091353.4 pep:known chromosome:GRCm38:9:57537528:57543187:1 gene:ENSMUSG00000032305.14 transcript:ENSMUST00000093833.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam219b description:family with sequence similarity 219, member B [Source:MGI Symbol;Acc:MGI:1925573] MATEEFRGHAVRMSTQGSQPGAAPDSVAGTAGLPSGQSGGAGLRLGERPPPAMEKRGPYL VTRAPSIQAKLKKHRDHAKAVLRRKGMLGALTNRPDSSGKRSVKFNKGYTALSQSPDENL VSLDSDSR >ENSMUSP00000045955.8 pep:known chromosome:GRCm38:14:20674321:20694850:1 gene:ENSMUSG00000039367.9 transcript:ENSMUST00000048657.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec24c description:Sec24 related gene family, member C (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919746] MNVNQSAPPVPPYGQNQPIYPGYHQSSYGGQPGPAAPATPYGAYNGPVPGYQQAPPQGVP RAPPSSGAPPASAAQVPCGQTTYGQFGQGDIQNGPSSTAQMQRVPGSQQFGPPLAPVVSQ PAVLQPYGPPPTSTQVTAQLAGMQISGAVAQAPPPSGLGYGPPTSLASASGNFPNSGPYG SYPQSQAPPLSQAQGHPGVQPPLRSAPPLASSFTSPASGGPQMPSMTGLLPPGQGFGSLP VNQANHVSSPPAPALPPGTQMTGPPVPPPPPMHSPQQPGYQLQQNGSFGPARGPQPNYES PYPGAPTFGSQPGPPQPLPPKRLDPDAIPSPIQVIEDDRNNRGSEPFVTGVRGQVPPLVT TNFLVKDQGNASPRYIRCTSYNIPCTSDMAKQAQVPLAAVIKPLARLPPEEASPYVVDHG ESGPLRCNRCKAYMCPLMTFIEGGRRFQCSFCSCVNDVPPQYFQHLDHTGKRVDAYDRPE LSLGSYEFLATVDYCKNNKFPSPPAFIFMIDVSYNAIRTGLVRLLCEELKSLLDYLPREG GAEESAIRVGFVTYNKVLHFYNVKSSLAQPQMMVVSDVADMFVPLLDGFLVNVSESRAVI TSLLDQIPEMFADTRETETVFAPVIQAGMEALKAAECAGKLFLFHTSLPIAEAPGKLKNR DDRKLINTDKEKTLFQPQTGTYQTLAKECVAQGCCVDLFLFPNQYVDVATLSVVPQLTGG SVYKYACFQVENDQERFLSDLRRDVQKVVGFDAVMRVRTSTGIRAVDFFGAFYMSNTTDV ELAGLDGDKTVTVEFKHDDRLNEENGALLQCALLYTSCAGQRRLRIHNLALNCCTQLADL YRNCETDTLINYMAKFAYRAVLNSPVKTVRDTLITQCAQILACYRKNCASPSSAGQLILP ECMKLLPVYLNCVLKSDVLQPGAEVTTDDRAYVRQLVSSMDVAETNVFFYPRLLPLTKSP LDSTAEPPAVRASEERLSSGDIYLLENGLNLFVWVGASVQQGVVQSLFNVSSFSQITSGL SVLPVLDNPLSKKVRGLIDSLRAQRMRYMKLIVVKQEDKLEMLFKHFLVEDKSLSGGASY VDFLCHMHKEIRQLLS >ENSMUSP00000096954.4 pep:known chromosome:GRCm38:19:47731756:47735588:1 gene:ENSMUSG00000025066.9 transcript:ENSMUST00000099353.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfr1 description:SWI5 dependent recombination repair 1 [Source:MGI Symbol;Acc:MGI:1915038] MAEEGNQEFTSKMENSSDSASTSPDAPQPSENPPSPPTSPAAPQTSENPPSPPTSPAVPQ TRENPPSPPTSPAAPQPRENPPSPPTSPAAPQPRENPPSPPTSPAAPQPRENPPSPHSNS SGKQPLSGTPKERLKKARSSSHSFCSVVKRMKVENDENNETLSEPGESSKEENCSKAQES LKNKDSEPGEKSSEEKNTCESKSSDTGSSNALPKESENAIIREKLKQEKIRLIRQVEEKE DLLRRLKLVKMYRIKNDVTELENLIKKWRKCGQRLLCELQSIMSEDEDEKLTLTELIDFY GIDDNLLHYNRSEEEFTGV >ENSMUSP00000136486.1 pep:known chromosome:GRCm38:12:88011848:88012282:1 gene:ENSMUSG00000096049.1 transcript:ENSMUST00000180285.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2075 description:predicted gene 2075 [Source:MGI Symbol;Acc:MGI:3780242] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGWLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNKADIILKYSPDEARSLKAYGELPEHAKINEMDT FGAGDDDEIMFDDIGEDDEDIDDI >ENSMUSP00000136321.1 pep:known chromosome:GRCm38:Y:41406498:41407040:1 gene:ENSMUSG00000096120.1 transcript:ENSMUST00000178559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21800 description:predicted gene, 21800 [Source:MGI Symbol;Acc:MGI:5433964] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMQTTLSHEDSLRHHTFLMRFPDTLLLPKENRGKPLFPKEPCKLP LQIKNALSHIQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000037441.7 pep:known chromosome:GRCm38:12:105613539:105685241:-1 gene:ENSMUSG00000041341.9 transcript:ENSMUST00000041055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg2b description:autophagy related 2B [Source:MGI Symbol;Acc:MGI:1923809] MPWPFSESIKKRACRYLLQRYLGHFLQEKLSLEQLSLDLYQGTGSLAQVPLDKWCLNEIL ESADAPLEVTEGFIQSISLSVPWGSLLQDNCALEVRGLEMVFRPRPRVATGSEPMYWSSF MTSSMQLAKECLSQKLTDEQGEASQPFEGLEKFAETIETVLRRVKVTFIDTVLRIEHVPE NSKTGAALEIRVDRTVYCDETADESSGVNVHQPTAFAHKLLQLSGVSLFWDEFSASAKSS PVCSTAPVETEPKLSPSWNPKIVYEPHPQLTRTLPEIAPSDPVQIGRLLGRLELSLTLKQ NEVLPGAKLDVDGQIDSFHLFLSPRQVHLLLDMLAAIAGPENSSKIGLANKDRKNRPMQQ EDEYRIQMELNRYYLRKDSLSMGVSSEKSFYETETARTPSSREEEVFFSMADMDMSHSLS SLPPLGDPPHMDLELSLTSTYTNTPAGSPLSATVLQPTWGEFADHKEQPVRGPAFQSDVV HPASLQKAALSSRSASVDESRPEFICRLALGIFSVSVLHIDPLSPAETSLNVNPLTRMAT DFFSCVEKMDPAIFSTGDFKSFRAVFAEACSHDHLRFIGTGIKVSYEQRQRSASRHFSTD MSVGQMEFLECLFPTDCHSVPSHYTELLTFHSKEGTDAHLPVCLQLHYKHSETRGPQGNQ ARLSSVPQKAELQIKLNPVCCELDISIVDRLNSLLQPQKLTTVEMMASHMYASYNKHISL HKAFTEVFLDDSHSPANRRVSVQVATPALHLSVRFPIPDLRSDQERGPWFKKSLQKETLH LEFTDLESKTEFVGGSTPEQTKLELTFRELSGSFQEEKGGPSVKFFHVSGGVDGDTASSD DFDWPRMVLKINPPAMHSILERIAAEEEEENDGHYQEEEDGGAHSLKDVCDLRRPAPSPF SSRRVMFENEQMVMPGDPVEMTEFQDKAISNSHYVLELLLPNIHLTLPNKGFYEKLYNRI FNDLLLWEPTAPSPVETLENVSYGIGLSVASQLINTFSKDSFSPFKSAVHYDEDSGSEEE TLQYFSAVDPNYRSRRKKKLDSQNKNSQSFLSVLLSINHGLMAVFTDVKQENGDPMESKH GEFWLEFNSGSFFCVTKYEGFEDKHYICLHSSSLRLYHKGIVDGAILPTETRLPCSTRPH WLEPTIYSSEEDGLSRTASDGVGGDNLNMLSVAVKILSDKSESNTKEFLVAVGLKGATLQ HRVLPAGLSWHEQILNFLNIADEPVLGYNPPTSFTTFHVHLWSCALDYRPLHLPLRSLLT VETFSISSSVALDKSSSTLRIIMDEAALHLSDKCNTVTVNLNRDYVRVMDMGLLELTITA VKSDSDGEQTAPRFELHCSSDVVHIRTCSDSCAALMNLIQYVASYGDLHGPHKAEMKPGV PQRKPKVDSSARSSSHGPVLPEADQQILRDLMSDAMEEIDLQQASAPVGPQANGVLDEKS HTQEPCCSDLFLFPDESGNVSQESSPAYPSLTHHLISDAVTGVTAENDDFCILFAPKTVV QEKEEEPVIKIMVDDAIVIKDDYFSLPITRTDSSKAPLHFPIPAVRYVVKEVSLVWHLYG GKDFATAPPTSPAKSYIPHSSPSQTPTRHGRHTVCGGKGRNHDFLMEIQLSKVKFQHEVY PPCKPECESSLLEHPVSRQVFIVQDLEIRDRLATSQMNKFLYLYCSKDMPRKAHSNMLTI KALHVRPESGRSPQECCLRVSLMPLRLNIDQDALFFLKDFFTSLSTEVELLLTPDPEVTK SPGADVTCSLPRHLSTSKEPNLVVSFPGPKQASPNHRANSAEGGNGLEEDVSAEETSFSD QPVFFREFRFTAEVPIRLDYHGKHVSMDQGTLAGILIGLAQLNCSELKLKRLFYRHGLLG IDKLFSYAISEWLSDIKKNQLPGILGGVGPMHSLVQLVQGLKDLVWLPIEQYRKDGRIVR GFQRGAASFGTSTAMAALELTNRMVQTIQAAAETAYDMVSPSTLSIEPKKAKRFPHHRLA HQPVDLREGVAKAYSVVKEGITDTAQTIYETAAREHESRGVTGAVGEVLRQIPPAVVRPL IVATEATSNVLGGMRNQIRPDVRQDESQKWRHGED >ENSMUSP00000064777.2 pep:known chromosome:GRCm38:12:104474488:104477489:1 gene:ENSMUSG00000056606.2 transcript:ENSMUST00000070814.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10000 description:predicted gene 10000 [Source:MGI Symbol;Acc:MGI:3641968] MKMCLLGDSLVPVIALIFKLLKTRSVDSTCIRYICCLGSFEDSGTIIISELIRSVEFNCF SNLKPRAQVWSAHLAIFQRAVSPLSLYPSLIAFLFPWGWGWGGGGGRVRGPNSSGFIS >ENSMUSP00000063756.3 pep:known chromosome:GRCm38:14:101729380:101729814:-1 gene:ENSMUSG00000053821.3 transcript:ENSMUST00000066461.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9922 description:predicted gene 9922 [Source:MGI Symbol;Acc:MGI:3642123] SLQPPLWSELSGRGDAPQPASFPPLPAFPVPGSLGRRRPFCLRPDIPGIAAHNCTFPGQE TSPAGTRSHQASTPLPSGSTELADRSQMLRGPRYCPGFRPQVRVPAWALAAGPLVWAGVA PPPIAGGSVGKTCGFISPLASRVR >ENSMUSP00000022185.8 pep:known chromosome:GRCm38:13:95511732:95525240:-1 gene:ENSMUSG00000021678.8 transcript:ENSMUST00000022185.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F2rl1 description:coagulation factor II (thrombin) receptor-like 1 [Source:MGI Symbol;Acc:MGI:101910] MRSLSLAWLLGGITLLAASVSCSRTENLAPGRNNSKGRSLIGRLETQPPITGKGVPVEPG FSIDEFSASILTGKLTTVFLPVVYIIVFVIGLPSNGMALWIFLFRTKKKHPAVIYMANLA LADLLSVIWFPLKISYHLHGNNWVYGEALCKVLIGFFYGNMYCSILFMTCLSVQRYWVIV NPMGHPRKKANIAVGVSLAIWLLIFLVTIPLYVMKQTIYIPALNITTCHDVLPEEVLVGD MFNYFLSLAIGVFLFPALLTASAYVLMIKTLRSSAMDEHSEKKRQRAIRLIITVLAMYFI CFAPSNLLLVVHYFLIKTQRQSHVYALYLVALCLSTLNSCIDPFVYYFVSKDFRDHARNA LLCRSVRTVNRMQISLSSNKFSRKSGSYSSSSTSVKTSY >ENSMUSP00000083731.4 pep:known chromosome:GRCm38:1:132229048:132229767:-1 gene:ENSMUSG00000066936.4 transcript:ENSMUST00000086544.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10188 description:predicted gene 10188 [Source:MGI Symbol;Acc:MGI:3704196] MCMIYAALCSVLAGAMATVSKVKEFQGPPLPTHPLVSKAAISSACVRSSEKYKLVHLFCL GMKSPNPKKIIVKCISLRQSRARDLTEGRNLDSSRKAGTSLILRTPNTRSDSGLGKGCAH WPVLPSVSHPAVSLI >ENSMUSP00000137283.1 pep:known chromosome:GRCm38:13:120240670:120241158:1 gene:ENSMUSG00000063846.7 transcript:ENSMUST00000079524.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21731 description:predicted gene, 21731 [Source:MGI Symbol;Acc:MGI:5433895] MKRFCPCLVQDTSHSEEHALQTSQELPALRPRYSRSEPQCFCGEPNHCHEDDWIVDWEPY YLPCVLESWDCLRYHSGLNCAMKKGTEVFQIESQRGPQVFPGDMDNDKDTEEPDQPLPSL LREKGLELETCDGGDCPDQDPASDSPKHLGCCLWLQRAFGQKK >ENSMUSP00000132343.1 pep:known chromosome:GRCm38:8:34327910:34328353:1 gene:ENSMUSG00000056797.6 transcript:ENSMUST00000075321.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4889 description:predicted gene 4889 [Source:MGI Symbol;Acc:MGI:3647233] TAKSKNHTTHNQSRKWHRNGIKKPRSQRYKSLKGVDPKFLRNMRFAKKHIKKGLKKMQAN NAKAVSVRAEAIKALVKPQAVKPKMPKGRSHKLNRLAFIAHPKLGKQIRSYMAKGQRLCQ PKPKVQTKAEAKAPAQAPEGAQAPVKAP >ENSMUSP00000101221.1 pep:known chromosome:GRCm38:10:6740629:6761761:-1 gene:ENSMUSG00000078488.1 transcript:ENSMUST00000105596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10945 description:predicted gene 10945 [Source:MGI Symbol;Acc:MGI:3779155] QQGFQYLRVPVGILFLQLSS >ENSMUSP00000127751.1 pep:known chromosome:GRCm38:9:14353990:14381242:-1 gene:ENSMUSG00000037419.8 transcript:ENSMUST00000167549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Endod1 description:endonuclease domain containing 1 [Source:MGI Symbol;Acc:MGI:1919196] MGCARWLALGGLLALAGLLQARLLLPQQAGFGECDRFFYKGTPPAGLATEAHVRICQRFA GSERFATLYSPGHRIPVFSAFRAARPASRSAEQRGLLEPQIDDPDSNLEEVIDEANALTS VDNLGSKQALNADYIDSDYEIGQLYPFPLNSDLQMATFPLTNSVPMTQSFRERWHMNLNS LMDRALIPHCSEGKDLYILTGAVPSEHRVKGKVTIPEFVWLAACCAVPGEGWAMGFIKHT QDIDVIEDVMLRDLEKLLPHKPQLFQDNCGEMEQDTEKMKKILEVVNQVQDEERSLQSQE RMSPLASTQSQRSALLSPEAPPEGGSSFLGQVLGFLATPFIKLFQLIYYLVTAVLRNIVH LLWLVAKQAINTVESCLYHLGEATVSYLVAIGQELVSIPWKVLKVVAKVIRAFLRILCCL LKAVCRALSIPLRVLVDVATFPVYTVGAIPIVCKDIAVGLGGTLSLLFDTAFGTVGGLFQ IVFSVFKRIGYKVTLDNSGEF >ENSMUSP00000136023.1 pep:known chromosome:GRCm38:Y:5248359:5249441:-1 gene:ENSMUSG00000095693.1 transcript:ENSMUST00000179056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21820 description:predicted gene, 21820 [Source:MGI Symbol;Acc:MGI:5433984] MSQRPSLSAQGVLETSISSQSLTENSLFPQEPPGPCLEKKALGYSPSNPEDLGLSDSSQD TLETRACMQNSAEIPPFTQEVIESLSATQDSPKLPASSPLSPEAEEPLTYTQSISKSSES VPGTPEALASVLGSLCLLKPNEGAMGPLVSEQGILRTTAFPQESLELSQPAKGPLKPVPS PQETVGNSFSTEFKGLSLCAEGDIIPTTPHEDGRRNSSYLKKSPRRFKSNQRILKHVPFP EGDIRYCLSELDALKCISSAESSLTSSKYAEKKSSPTSTPQRSISPLKSSQLSFRSSILC KRGLSHSPPPGDCPTPSKLRKTRPSPSCVDQEGLKSVCSKHEGWIHCHSSKMWVRACKSK K >ENSMUSP00000111875.1 pep:known chromosome:GRCm38:8:70699538:70700080:-1 gene:ENSMUSG00000080058.1 transcript:ENSMUST00000116172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11175 description:predicted gene 11175 [Source:MGI Symbol;Acc:MGI:3809055] VRRDLVLGQQLAAAVDVAEDFLFELRHLLAQQAGGGRQLGVLAFEGLDFLLQARDTLQLA LAAFGGGDAVAQPLALRLDAFLRVHVDGRQRRAVAEARHVRHGLRLVLERGQARWMRRWR RPQRARGRREGHWLRGESHGGRAPRGPGATRETAQVGVDRGLRGPGGGGQVGGRGRRGSP >ENSMUSP00000074515.2 pep:known chromosome:GRCm38:9:38523730:38524669:1 gene:ENSMUSG00000062621.2 transcript:ENSMUST00000074987.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr911-ps1 description:olfactory receptor 911, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030745] MGLENGSLVTEFILLGLTNDPDLQLPLFLLFLLIYTTTAVGNLALITLIALNSHLHTPMY FFLLNLSCIDLCYSSVITPKMLMNFLVRKNIISYMGCMTQLYFFCFFAICECCVLTSMAY DRYVAICNPLLYNITMSPKVCSYLMLGSYIMGFSGAMIHTGCILRLTFCDRNIINHYFCD LFPLLQLSCTSTYANEIEILIVGGKDIIVPSVIIFTSYGFILSNILQMRSTAGMSKAFST CSSHILAVSLFFGSCAFMYLQPSSPGSMDQGKVSSVFYTIVVPMMNPLIYSFRNKDVKIA LRKIFGKRRFS >ENSMUSP00000068675.4 pep:known chromosome:GRCm38:10:9532531:9535681:-1 gene:ENSMUSG00000053980.4 transcript:ENSMUST00000066742.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9930 description:predicted gene 9930 [Source:MGI Symbol;Acc:MGI:3642400] MKLRNLVTGLFSKLYNNKCIPSGGCGVCQPLDEHISVSRDPSGHALYWGGTIQSERPWFA ASNEVQFGARAVSLIVPEHDSIELPALLHSVLHAFNRLNLLSAPLQAMCIIGYMYCNKKI YSDTCHFKKQVQGKKGEYYNSQMYLF >ENSMUSP00000129330.1 pep:known chromosome:GRCm38:17:29519281:29519790:-1 gene:ENSMUSG00000091614.1 transcript:ENSMUST00000168877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17657 description:predicted gene, 17657 [Source:MGI Symbol;Acc:MGI:4937291] MNSRMISITVGIFSMLNTIQFFIFELNQMTHIGFEDKYSIYLDTESDVASWVVVYRHNIS TGLSIATIMVSCFFLFCLDKNMFIGLLIYTVWITVYELLSFIMVLLIHGTIKEQFKELGY LYLLLQISRMLLHFAALPFVVKHGYTLYRDPKIMSLAGRRKRSSISTVDS >ENSMUSP00000057086.4 pep:known chromosome:GRCm38:9:38815408:38816539:1 gene:ENSMUSG00000043911.4 transcript:ENSMUST00000051004.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr922 description:olfactory receptor 922 [Source:MGI Symbol;Acc:MGI:3030756] MDTKNISFITEFILVGLTEYTETHFPFFFLFLGIYAITVAGNLGLLTLIGMNSPLHTPMY YFLFNLSFIDLCYSTVITPKLLVNFVSERNTISYEGCMTQLYFYCFFVSAECYVLTVMAY DRYVAICKPLLYTVTMSPQVCSLLTLIVYVGAFIGAWAHTGCMMRLTFCKDNTVNHYMCD ILPLLELSCSSTYINELVVFIVVGFDVGVPSITIVVSYTFILSSILHIRSTEGRSKAFST CSSHIIVVSVFFGSGAFMYLHPSSVLSMDQGKVSTVFYTIVVPMLNPLIYSFRNKEVKIA LRKTLRMKISS >ENSMUSP00000131574.1 pep:known chromosome:GRCm38:17:23675447:23677449:-1 gene:ENSMUSG00000023905.14 transcript:ENSMUST00000167059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf12a description:tumor necrosis factor receptor superfamily, member 12a [Source:MGI Symbol;Acc:MGI:1351484] MASAWPRSLPQILVLGFGLVLMRAAAGEQAPGAAAPPAHFRLLWPILGGALSLVLVLALV SSFLVWRRCRRREKFTTPIEETGGEGCPGVALIQ >ENSMUSP00000024698.8 pep:known chromosome:GRCm38:17:23675447:23677449:-1 gene:ENSMUSG00000023905.14 transcript:ENSMUST00000024698.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf12a description:tumor necrosis factor receptor superfamily, member 12a [Source:MGI Symbol;Acc:MGI:1351484] MASAWPRSLPQILVLGFGLVLMRAAAGEQAPGTSPCSSGSSWSADLDKCMDCASCPARPH SDFCLGCAAAPPAHFRLLWPILGGALSLVLVLALVSSFLVWRRCRRREKFTTPIEETGGE GCPGVALIQ >ENSMUSP00000135910.1 pep:known chromosome:GRCm38:10:5069192:5071975:1 gene:ENSMUSG00000096553.1 transcript:ENSMUST00000180100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10097 description:predicted gene 10097 [Source:MGI Symbol;Acc:MGI:3642295] LRQPRLQAQTLSFFLCAAGYNSSAPWKRQSSCVQKYQHNSHKHSRLQQQTEADTNTADRS SKQKEIQTLQIAAANRSRYKHCRSQQQTETDSSSLPVSRPAQWYE >ENSMUSP00000045142.7 pep:known chromosome:GRCm38:9:58156265:58159221:-1 gene:ENSMUSG00000037206.14 transcript:ENSMUST00000041477.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Islr description:immunoglobulin superfamily containing leucine-rich repeat [Source:MGI Symbol;Acc:MGI:1349645] MRALCLLCWAVLLNLVRACPEPCDCGEKYGFQIADCAYRDLEGVPPGFPANVTTLSLSAN RLPGLPEGAFREVPLLQSLWLAHNEIRSVAIGALAPLSHLKSLDLSHNLLSEFAWSDLHN LSALQLLKMDSNELAFIPRDAFSSLSALRSLQLNHNRLHALAEGTFAPLTALSHLQINDN PFDCTCGIVWFKTWALASAVSIPEQDNIACTTPHVLKGIPLGRLPPLPCSAPSVQLSYQP SQDGAELRPGFVLALHCDVDGQPVPQLHWHIHTPGGTVEIASPNVGTDGRALPGALATSG QPRFQAFANGSLLIPDFGKLEEGTYSCLATNELGSAESSVNVALATPGEGGEDAVGHKFH GKAVEGKGCYTVDNEVQPSGPEDNVVIIYLSRAGPPEAAIAADGRPAQQFSGILLLGQSL LVLSFFYF >ENSMUSP00000126963.2 pep:known chromosome:GRCm38:9:58156265:58158554:-1 gene:ENSMUSG00000037206.14 transcript:ENSMUST00000168864.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Islr description:immunoglobulin superfamily containing leucine-rich repeat [Source:MGI Symbol;Acc:MGI:1349645] MRALCLLCWAVLLNLVRACPEPCDCGEKYGFQIADCAYRDLEGVPPGFPANVTTLSLSAN RLPGLPEGAFREVPLLQSLWLAHNEIRSVAIGALAPLSHLKSLDLSHNLLSEFAWSDLHN LSALQLLKMDSNELAFIPRDAFSSLSALRSLQLNHNRLHALAEGTFAPLTALSHLQINDN PFDCTCGIVWFKTWALASAVSIPEQDNIACTTPHVLKGIPLGRLPPLPCSAPSVQLSYQP SQDGAELRPGFVLALHCDVDGQPVPQLHWHIHTPGGTVEIASPNVGTDGRALPGALATSG QPRFQAFANGSLLIPDFGKLEEGTYSCLATNELGSAESSVNVALATPGEGGEDAVGHKFH GKAVEGKGCYTVDNEVQPSGPEDNVVIIYLSRAGPPEAAIAADGRPAQQFSGILLLGQSL LVLSFFYF >ENSMUSP00000137150.1 pep:known chromosome:GRCm38:9:124493731:124493793:-1 gene:ENSMUSG00000096734.1 transcript:ENSMUST00000178787.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21836 description:predicted gene, 21836 [Source:MGI Symbol;Acc:MGI:5434000] EKPYTCSQCGKAFVHQYDLQR >ENSMUSP00000078369.4 pep:known chromosome:GRCm38:12:112106683:112127573:1 gene:ENSMUSG00000037686.5 transcript:ENSMUST00000079400.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspg description:asparaginase [Source:MGI Symbol;Acc:MGI:2144822] MARAMGPERRLLAIYTGGTIGMRSEGGVLVPGRGLAAVLKTLHMFHDEEYAQAHSLPEDT LVLPPASPDQRIIYTVLECQPLFDSSDMTITEWVQIAQTIERHYAQYQGFVVIHGTDTMA FAASVLSFMLENLQKPVVLTGAQVPIHALWSDGRENLLGALLMAGQYVIPEVCLFFQNQL FRGNRTTKVDARRFAAFCSPNLPPLATVGADVTINRELVRKACGKSHLVVHSSMEPDVGL LRLYPGIPASLVRTFLQPPLKGVVMETFGSGNGPTKPDLLQELRVAAEQGLIIVNCTHCL QGAVTSDYASGMAMAGAGIVSGFDMTSEAALAKLSYVLGQPGLSLNDRKKLLAKDLRGEM TLPATDVLLQDGMLGCRVAWLLSMNGSQEADTMKDVLLPGLALAAAHAGDLDTLQAFVEL DRDLNLKDYSGQTPLHVAARRGHAAVVTMLLQRGADVDARNEDGQSPLLLAVRGRHQSVI GLLRAAGARLSPQELEDVGTELCRLASRGDSEGLRAWWQAGADLGQPDYDGHCALQVAEA AGNADVVALLQSFKDSVCAQPQPH >ENSMUSP00000136615.1 pep:known chromosome:GRCm38:X:32047829:32049325:1 gene:ENSMUSG00000093857.1 transcript:ENSMUST00000178747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21645 description:predicted gene, 21645 [Source:MGI Symbol;Acc:MGI:5435000] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDMKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYIDNIS FLESEEGLIFQPVFKKLRFQHIIWDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYNEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000126784.1 pep:known chromosome:GRCm38:8:95099832:95142540:-1 gene:ENSMUSG00000031788.13 transcript:ENSMUST00000169748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifc3 description:kinesin family member C3 [Source:MGI Symbol;Acc:MGI:109202] MNVENTGGRLFGIGRCSSLSGAPGPDPVVHRTVEAMSQLQEELVVLRERLALHDSDRQAT TTQLQNQVENLKEKLISQAQEVSRLRSELGGTDAEKHRDRLMVENEQLRQELRRCEVELQ ELRAQPVVPCEGCEHSQESSQLRDKLSQLQLEVAENKGMLSELNLEVQQKTDRLAEVELR LKDCLAEKAQEEERLSRRLRDSHETIASLRAQSPPVKYVIKTVEVESSKTKQALSESQTR NQHLQEQVAMQRQVLKEMEQQLQNSHQLTVQLRAQIAMYEAELERAHGQMLEEMQSLEED KNRAIEEAFARAQVEMKAVHENLAGVRTNLLTLQPALRTLTNDYNGLKRQVRGFPLLLQE ALRSVKAEIGQAIEEVNSNNQELLRKYRRELQLRKKCHNELVRLKGNIRVIARVRPVTKE DGEGPEATNAVTFDPDDDSIIHLLHKGKPVSFELDKVFQEVQALITSCIDGFNVCIFAYG QTGAGKTYTMEGTPENPGINQRALQLLFSEVQEKASDWQYNITVSAAEIYNEVLRDLLGK EPQEKLEIRLCPDGSGQLYVPGLTEFQVQSVDDINKVFEFGYNNRTTEFTNLNEHSSRSH ALLIVTVRGVDCSTGLRTTGKLNLVDLAGSERVGKSGAEGNRLREAQHINRSLSALGDVI AALRSRQGHVPFRNSKLTYLLQDSLSGDSKTLMVVQVSPVEKNTSETLYSLRFAERVRSV ELGPGSRRTELGSWSSQEHLEWEPACQTPQPTARAHSAPGSGTSSRPGSIRRKLQPSA >ENSMUSP00000034240.7 pep:known chromosome:GRCm38:8:95099832:95142540:-1 gene:ENSMUSG00000031788.13 transcript:ENSMUST00000034240.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifc3 description:kinesin family member C3 [Source:MGI Symbol;Acc:MGI:109202] MVPSRRTWNLGATPSLRGLWRVGRVQEPKPGMARPAPASPAARPFPHTGQGRLRTGRGKD ILPSGEEDSTSRTAARPSLAQCRALSVDWPGPRSPHRLYLTVQVENLKEKLISQAQEVSR LRSELGGTDAEKHRDRLMVENEQLRQELRRCEVELQELRAQPVVPCEGCEHSQESSQLRD KLSQLQLEVAENKGMLSELNLEVQQKTDRLAEVELRLKDCLAEKAQEEERLSRRLRDSHE TIASLRAQSPPVKYVIKTVEVESSKTKQALSESQTRNQHLQEQVAMQRQVLKEMEQQLQN SHQLTVQLRAQIAMYEAELERAHGQMLEEMQSLEEDKNRAIEEAFARAQVEMKAVHENLA GVRTNLLTLQPALRTLTNDYNGLKRQVRGFPLLLQEALRSVKAEIGQAIEEVNSNNQELL RKYRRELQLRKKCHNELVRLKGNIRVIARVRPVTKEDGEGPEATNAVTFDPDDDSIIHLL HKGKPVSFELDKVFSPWASQQDVFQEVQALITSCIDGFNVCIFAYGQTGAGKTYTMEGTP ENPGINQRALQLLFSEVQEKASDWQYNITVSAAEIYNEVLRDLLGKEPQEKLEIRLCPDG SGQLYVPGLTEFQVQSVDDINKVFEFGYNNRTTEFTNLNEHSSRSHALLIVTVRGVDCST GLRTTGKLNLVDLAGSERVGKSGAEGNRLREAQHINRSLSALGDVIAALRSRQGHVPFRN SKLTYLLQDSLSGDSKTLMVVQVSPVEKNTSETLYSLRFAERVRSVELGPGSRRTELGSW SSQEHLEWEPACQTPQPTARAHSAPGSGTSSRPGSIRRKLQPSA >ENSMUSP00000127427.1 pep:known chromosome:GRCm38:8:95099832:95113342:-1 gene:ENSMUSG00000031788.13 transcript:ENSMUST00000169353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifc3 description:kinesin family member C3 [Source:MGI Symbol;Acc:MGI:109202] MVENEQLRQELRRCEVELQELRAQPVVPCEGCEHSQESSQLRDKLSQLQLEVAENKGMLS ELNLEVQQKTDRLAEVELRLKDCLAEKAQEEERLSRRLRDSHETIASLRAQSPPVKYVIK TVEVESSKTKQALSESQTRNQHLQEQVAMQRQVLKEMEQQLQNSHQLTVQLRAQIAMYEA ELERAHGQMLEEMQSLEEDKNRAIEEAFARAQVEMKAVHENLAGVRTNLLTLQPALRTLT NDYNGLKRQVRGFPLLLQEALRSVKAEIGQAIEEVNSNNQELLRKYRRELQLRKKCHNEL VRLKGNIRVIARVRPVTKEDGEGPEATNAVTFDPDDDSIIHLLHKGKPVSFELDKVFSPW ASQQDVFQEVQALITSCIDGFNVCIFAYGQTGAGKTYTMEGTPENPGINQRALQLLFSEV QEKASDWQYNITVSAAEIYNEVLRDLLGKEPQEKLEIRLCPDGSGQLYVPGLTEFQVQSV DDINKVFEFGYNNRTTEFTNLNEHSSRSHALLIVTVRGVDCSTGLRTTGKLNLVDLAGSE RVGKSGAEGNRLREAQHINRSLSALGDVIAALRSRQGHVPFRNSKLTYLLQDSLSGDSKT LMVVQVSPVEKNTSETLYSLRFAERVRSVELGPGSRRTELGSWSSQEHLEWEPACQTPQP TARAHSAPGSGTSSRPGSIRRKLQPSA >ENSMUSP00000125806.2 pep:known chromosome:GRCm38:9:50344240:50344981:-1 gene:ENSMUSG00000058443.4 transcript:ENSMUST00000076364.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl10-ps3 description:ribosomal protein L10, pseudogene 3 [Source:MGI Symbol;Acc:MGI:3704336] MGRRPARCYRYCKNKPYPKSRFCRGVPDAKIRIFDLGRKKAKVDEFPLCGHMVSDEYEQL SSEALEAARICANKYMVKSCGKDGFHIRVRLHPFHVIRINKMLSCAGADRLQTGMRGAFG KPQGTVARVHIGQVIMSIRTKLQNKEHVIEALRRAKFKFPGRQKIHISKKWGFTKFNADE FEDMVAEKRLIPDGCGVKYIPNRGPLDKWRALHS >ENSMUSP00000025797.5 pep:known chromosome:GRCm38:19:30232928:30239685:1 gene:ENSMUSG00000024863.5 transcript:ENSMUST00000025797.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbl2 description:mannose-binding lectin (protein C) 2 [Source:MGI Symbol;Acc:MGI:96924] MSIFTSFLLLCVVTVVYAETLTEGVQNSCPVVTCSSPGLNGFPGKDGRDGAKGEKGEPGQ GLRGLQGPPGKVGPTGPPGNPGLKGAVGPKGDRGDRAEFDTSEIDSEIAALRSELRALRN WVLFSLSEKVGKKYFVSSVKKMSLDRVKALCSEFQGSVATPRNAEENSAIQKVAKDIAYL GITDVRVEGSFEDLTGNRVRYTNWNDGEPNNTGDGEDCVVILGNGKWNDVPCSDSFLAIC EFSD >ENSMUSP00000076435.1 pep:known chromosome:GRCm38:8:22283441:22371418:1 gene:ENSMUSG00000031481.7 transcript:ENSMUST00000077194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpte description:transmembrane phosphatase with tensin homology [Source:MGI Symbol;Acc:MGI:2446460] MYGEKKSHLYLWMEHYGYDMPANIYKMYSQPSRKTDDANKKVSVSASRTIKLNGSTGYDT NEQITLITNGSSLSYPDEIKSASYADPISTKAYTNDSSVYDPGGASSSTTLYELNSLSEV SKEIITQGESALLRDKEATSELKIPSTLQTQTSMSTNTLSLSDLSSDYQEEQMNKCKLNQ MSKLYDDDERTDIQKSYWNVVKKFVRILVSSVAFRIFGIFLVILDVFLVVVDLNVSEKKI YIPLDYRSISLAIALFFLVDVLLRVSVEGRRRYFSDVLNTLDAVVIGVTVVVAVIYALYD KHFLRDIPRLAVLLRPLRLLILIRILQLAHQKRQLERLTRKLVSGNKRRYKKDGFDLDLT YVTERIIAMSFPSSGRESFYRNPIKEVVRFLDTKHPNHYQVYNLCSERAYDPKHFHYRVR RIMIDDHNVPTLEEMLLFSKEVNNWMAQDPENVVAIHCKGGKGRTGTMVCACLIASEIVL NAKESLYFFGERRTDKSNSSKFQGIETPSQNRYVKYFEKLKINYQLTLPPKKVLVIKRLV VYSIHGVGKGDGSDLEVQIIMWQETVFSFCNSRNCMIFHDPETDRAIINVFHCPALYDDV KVKFLSPNLPKYYDDCPFFFWFHTSFIKNNRLYLPRNELDNTHKPKTWKIYGEKFAVEVD FGEN >ENSMUSP00000034488.2 pep:known chromosome:GRCm38:9:7502352:7510238:1 gene:ENSMUSG00000047562.2 transcript:ENSMUST00000034488.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp10 description:matrix metallopeptidase 10 [Source:MGI Symbol;Acc:MGI:97007] MEPLAILALLSLPICSAYPLHGAVTQGHPSMDLAQQYLEKYYNFKKNEKQIFKRKDSSPV VKKIQEMQKFLGLEMTGKLDSNTMELMHKPRCGVPDVGGFSTFPGSPKWRKSHITYRIVN YTPDLPRQSVDSAIEKALKVWEEVTPLTFSRISEGEADIMISFAVGEHGDFYPFDGPGQS LAHAYPPGPGFYGDVHFDDDEKWTLAPSGTNLFLVAAHELGHSLGLFHSDKKESLMYPVY RFSTSPANFHLSQDDIEGIQSLYGAGPSSDATVVPVLSVSPRPETPDKCDPALSFDSVST LRGEVLFFKDRYFWRRSHWNPEPEFHLISAFWPTLPSDLDAAYEAHNTDSVLIFKGSQFW AVRGNEVQAGYPKGIHTLGFPPTVKKIDAAVFEKEKKKTYFFVGDKYWRFDETRHVMDKG FPRQITDDFPGIEPQVDAVLHEFGFFYFFRGSSQFEFDPNARTVTHILKSNSWLLC >ENSMUSP00000034249.6 pep:known chromosome:GRCm38:8:95420252:95434869:-1 gene:ENSMUSG00000031796.6 transcript:ENSMUST00000034249.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap20 description:cilia and flagella associated protein 20 [Source:MGI Symbol;Acc:MGI:107428] MFKNTFQSGFLSILYSIGSKPLQIWDKKVRNGHIKRITDNDIQSLVLEIEGTNVSTTYIT CPADPKKTLGIKLPFLVMIIKNLKKYFTFEVQVLDDKNVRRRFRASNYQSTTRVKPFICT MPMRLDDGWNQIQFNLSDFTRRAYGTNYIETLRVQIHANCRIRRVYFSDRLYSEDELPAE FKLYLPVQNKAKQ >ENSMUSP00000136466.1 pep:novel scaffold:GRCm38:JH584292.1:3573:11934:1 gene:ENSMUSG00000096730.7 transcript:ENSMUST00000178970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r122 description:vomeronasal 2, receptor, 122 [Source:MGI Symbol;Acc:MGI:1316661] MKQLCTFTISLLFLKFSLILCCWSEPSCFWRIKKSEDNDGDLQRECHFYLWKTDEPIEDS FYNYDLSFRIAGSEYELLLVMFFATDEINKNPYLLPNMSLMFSIIGGNCHDLLRSLDQEY AQIDGHMNFVNYFCYLDDSCATGLTGPSWKTSLKLAMHSSMPLVFFGPFNPNLRDHDRLP HVHQVAPKDTHLSHGMVSLMFHFRWTWIGLVISDDDQGIQFLSDLREESQRHGICLAFVN MIPENMQIYMTRATIYDTQIMTSSAKVVIIYGDMNSTLEASFRRWEELGARRIWITTTQW DVITNKRLHP >ENSMUSP00000136683.1 pep:known scaffold:GRCm38:JH584292.1:3539:11606:1 gene:ENSMUSG00000096730.7 transcript:ENSMUST00000099422.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r122 description:vomeronasal 2, receptor, 122 [Source:MGI Symbol;Acc:MGI:1316661] MEQCVRCPDNKYANLEQTHCLQRTVSFLAYEDPLGMALGCMALSFSAITILVLVTFVKYK DTPIVKANNRILSYILLISLVFCFLCSLLFIGHPDQVTCILQQTTFGVLFTVSVSTVLAK TITVVMAFKLTTPGRRMRGMMMTGAPKLVIPICTLIQLVLCGIWLVTSPPFIDRDIQSEH GKIVILCNKGSVVAFHVVLGYLGSLALGSFTLAFLARNLPDTFNEAKFLTFSMLVFCSVW ITFLPVYHSTRGKVMVVVEVFSILASSAGLLMCIFVPKCYVILIRPDSNFIQNHKGKLLY >ENSMUSP00000094553.5 pep:known scaffold:GRCm38:JH584292.1:3536:11932:1 gene:ENSMUSG00000096730.7 transcript:ENSMUST00000096791.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r122 description:vomeronasal 2, receptor, 122 [Source:MGI Symbol;Acc:MGI:1316661] MKQLCTFTISLLFLKFSLILCCWSEPSCFWRIKKSEDNDGDLQRECHFYLWKTDEPIEDS FYNYDLSFRIAGSEYELLLVMFFATDEINKNPYLLPNMSLMFSIIGGNCHDLLRSLDQEY AQIDGHMNFVNYFCYLDDSCATGLTGPSWKTSLKLAMHSSMPLVFFGPFNPNLRDHDRLP HVHQVAPKDTHLSHGMVSLMFHFRWTWIGLVISDDDQGIQFLSDLREESQRHGICLAFVN MIPENMQIYMTRATIYDTQIMTSSAKVVIIYGDMNSTLEASFRRWEELGARRIWITTTQW DVITNKKDFTLNLFHGTITFAHHKDEIPKFRNFMQTKKTAKYLVDISHTILEWNYFNCSI SKNSSKMGHFTFNNTLQWTALHNYDMALSDEGYNLYNAVYAVAHTYHEYILQQVESQKKA KPKRYFTACQQVSSLMKTRVFMNPVGELVNMKHRENQCTEYDIFIIWNFPQGLGLKVKVG SYLPCFPKSQQLHIADDLEWAMGGTSVDMEQCVRCPDNKYANLEQTHCLQRTVSFLAYED PLGMALGCMALSFSAITILVLVTFVKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIG HPDQVTCILQQTTFGVLFTVSVSTVLAKTITVVMAFKLTTPGRRMRGMMMTGAPKLVIPI CTLIQLVLCGIWLVTSPPFIDRDIQSEHGKIVILCNKGSVVAFHVVLGYLGSLALGSFTL AFLARNLPDTFNEAKFLTFSMLVFCSVWITFLPVYHSTRGKVMVVVEVFSILASSAGLLM CIFVPKCYVILIRPDSNFIQNHKGKLLY >ENSMUSP00000071252.7 pep:known scaffold:GRCm38:JH584292.1:3536:11935:1 gene:ENSMUSG00000096730.7 transcript:ENSMUST00000071277.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r122 description:vomeronasal 2, receptor, 122 [Source:MGI Symbol;Acc:MGI:1316661] MKQLCTFTISLLFLKFSLILCCWSEPSCFWRIKKSEDNDGDLQRECHFYLWKTDEPIEDS FYNYDLSFRIAGSEYELLLVMFFATDEINKNPYLLPNMSLMFSIIGGNCHDLLRSLDQEY AQIDGHMNFVNYFCYLDDSCATGLTGPSWKTSLKLAMHSSMPLVFFGPFNPNLRDHDRLP HVHQVAPKDTHLSHGMVSLMFHFRWTWIGLVISDDDQGIQFLSDLREESQRHGICLAFVN MIPENMQIYMTRATIYDTQIMTSSAKVVIIYGDMNSTLEASFRRWEELGARRIWITTTQW DVITNKKDFTLNLFHGTITFAHHKDEIPKFRNFMQTKKTAKYLVDISHTILEWNYFNCSI SKNSSKMGHFTFNNTLQWTALHNYDMALSDEGYNLYNAVYAVAHTYHEYILQQVESQKKA KPKRYFTACQQVPSSVCSVACTAGFRKIHQKETADCCFDCVQCLENEVSNETDMEQCVRC PDNKYANLEQTHCLQRTVSFLAYEDPLGMALGCMALSFSAITILVLVTFVKYKDTPIVKA NNRILSYILLISLVFCFLCSLLFIGHPDQVTCILQQTTFGVLFTVSVSTVLAKTITVVMA FKLTTPGRRMRGMMMTGAPKLVIPICTLIQLVLCGIWLVTSPPFIDRDIQSEHGKIVILC NKGSVVAFHVVLGYLGSLALGSFTLAFLARNLPDTFNEAKFLTFSMLVFCSVWITFLPVY HSTRGKVMGGCGGFLHLGF >ENSMUSP00000137068.1 pep:known scaffold:GRCm38:JH584292.1:3536:11935:1 gene:ENSMUSG00000096730.7 transcript:ENSMUST00000179115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r122 description:vomeronasal 2, receptor, 122 [Source:MGI Symbol;Acc:MGI:1316661] MKQLCTFTISLLFLKFSLILCCWSEPSCFWRIKKSEDNDGDLQRECHFYLWKTDEPIEDS FYNYDLSFRIAGSEYELLLVMFFATDEINKNPYLLPNMSLMFSIIGGNCHDLLRSLDQEY AQIDGHMNFVNYFCYLDDSCATGLTGPSWKTSLKLAMHSSMPLVFFGPFNPNLRDHDRLP HVHQVAPKDTHLSHGMVSLMFHFRWTWIGLVISDDDQGIQFLSDLREESQRHGICLAFVN MIPENMQIYMTRATIYDTQIMTSSAKVVIIYGDMNSTLEASFRRWEELGARRIWITTTQW DVITNKKDFTLNLFHGTITFAHHKDEIPKFRNFMQTKKTAKYLVDISHTILEWNYFNCSI SKNSSKMGHFTFNNTLQWTALHNYDMALSDEGYNLYNAVYAVAHTYHEYILQQVESQKKA KPKRYFTACQQVSSLMKTRVFMNPVGELVNMKHRENQCTEYDIFIIWNFPQGLGLKVKVG SYLPCFPKSQQLHIADDLEWAMGGTSVDMEQCVRCPDNKYANLEQTHCLQRTVSFLAYED PLGMALGCMALSFSAITILVLVTFVKYKDTPIVKANNRILSYILLISLVFCFLCSLLFIG HPDQVTCILQQTTFGVLFTVSVSTVLAKTITVVMAFKLTTPGRRMRGMMMTGAPKLVIPI CTLIQLVLCGIWLVTSPPFIDRDIQSEHGKIVILCNKGSVVAFHVVLGYLGSLALGSFTL AFLARNLPDTFNEAKFLTFSMLVFCSVWITFLPVYHSTRGKVMGGCGGFLHLGF >ENSMUSP00000034717.5 pep:known chromosome:GRCm38:9:21766773:21798546:-1 gene:ENSMUSG00000032194.8 transcript:ENSMUST00000034717.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kank2 description:KN motif and ankyrin repeat domains 2 [Source:MGI Symbol;Acc:MGI:2384568] MAQVLHVPAPFPGTPGQASPAAFPSKEPDPPYSVETPYGYRLDLDFLKYVDDIEKGHTLR RVAVQRRPRLGSLPRGPGSWWTSTESLCSDASGDSRHSAYSYCGRGFYPQYGALETRIGS NPRVERTLLDARRRLEDQAAAPSSGGLGSLTPSAAGSTSSLAGVGLLPPTPRSSGLSTPV APSAGHLAHVREQMAGALRKLRQLEEQVKLIPVLQVKLSVLQEEKRQLTVQLKSQKFLGH PSGTRSRSELCLDLPEAPDDPAALETRSVGTWVRERDLGIPDGEAALVAKVAVLETQLKK ALQELRAAQTQQVDLQPQAWPPPDTQVRVDTVRVVEGPREVEVAASTAAGALAQRAQSLE PYGTGLKALTTSGGPENTLVFRSHEVVETMCPLPTATTGNVHTAKKISITERSCTGAPRM TEPSSVNPRPAAASVVQPENPVPAAQDTTDKKPTRPAAASQDSQAADGAGRASLATKRKE DPADPEVNQRNLQFVGVNGGYESPSEDSSTAENSEHESTENEGPEPPARVLSPAECPQLR PPGAAVATTSLEGPQLSQESQRVPAPEVASGPDPEEEIRMDLSPDLISACLALEKYLENP NALTERELKVAYTTVLQEWLRLACRSDAHPELVRRHLVTFRAMSARLLDYVVNIADSNGN TALHYSVSHANFPVVRQLLDSGVCHVDKLNRAGYSPIMLTALATLKTQDDIETILQLFRL GNVNAKASQAGQTALMLAVSHGRVDVVRALLACEADVNIQDEDGSTALMCACEHGHKEIT GLLLAVPSCDISLTDRDGSTALMVALDAGQSEIASMLYSRMNIKCSFAPMSDYESPASSS AEE >ENSMUSP00000108882.1 pep:known chromosome:GRCm38:5:88110567:88111530:-1 gene:ENSMUSG00000079439.1 transcript:ENSMUST00000113257.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11116 description:predicted gene 11116 [Source:MGI Symbol;Acc:MGI:3779368] KGRFCKFVVWIILGCFL >ENSMUSP00000136476.1 pep:novel scaffold:GRCm38:GL456211.1:160465:162404:-1 gene:ENSMUSG00000095672.1 transcript:ENSMUST00000178603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC133103.5 MFTLTKALEKALLQHFIYMKVNIAYAINKPFPFFEALRDKSFITERMYKESLEACQNLVP LSKVVHNILTSLEQTFHPSVLLTLFSKVNLREYPSLVAIFRSFRNGNVVSPILVTCAVVL Q >ENSMUSP00000133159.2 pep:known chromosome:GRCm38:15:92396915:92771819:1 gene:ENSMUSG00000036218.15 transcript:ENSMUST00000169942.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzrn4 description:PDZ domain containing RING finger 4 [Source:MGI Symbol;Acc:MGI:3056996] MGFALERLAEAVDPAFQCQLLCGQVLEEPACTPCGHVFCASCLLPWAAGRRWCPLQCQPL APGELYRVLPLRSLVQQLRVQCNYSGQGCGHRGDCGCWQCTSSAAPSALPAAVTATAQAA AEAAGRKSTRRPRPAGVGTRVRGHPDLEVGLWLSPAVGVGKTRRAQVSLLQRRYQEKLAL YMAHTRNFVGNPGGRGQDGEQKPFTVVLEREDDTLGFNIIGGRPYQNSQKQSAPEGIYVS KILENGPADRADGLEVHDKIIAVNGRDLSKATHEEAVEAFRTAKEPIVVQVLRRTPLSRP TYGMTPEVQLTNASTQTDITFEHIMALAKLRPLTPPVPDTCPFLLSDSCHSLHPMEHGFY EDHEYVSSLPADADRADDFEYEEVELCRVSSQEKLGLTVCYRTDDEEDTGIYVSEVDPNS IAAKDGRIREGDRILQINGEDIQNREEAVALLSSDECKRIVLLIARPDMQLDEGWLEDER NEFLEELNSELLEEDHNEGAQRTASELQQPKKQEEEEGTTDTATSSSNNQEKDSGVGRTD ESLRNDESSEQENAVEEPHSTTLKSKRELGKSQDTLGSLEHQCSESCAGGECLDSDCASN QEVCEGFQQLLELKIRNHGDYDLYYSSSTIECNRGEQDGVEHELQLLNEELRNIELECQN IMQAHRLQKVTDQYGDIWALHDGGFRNYNTSLDVQRGKLDDIMEHPEKSDKDSSSAYNTA ESCRSTPLTVDRSPDSSLPRMINLTNKKNLRSMMTAHQSPPRQSTREYTSTKVKATDEGC SVESLEKGLESSQLLDQEHTVSEHPPYLSPYHSSSYRYANIPAHARHYQSYMQLIQQKSA VEYAQSQLSLVSMCKESQRGSEPKMEWKVKIRSDGTRYITKRPVRDRLLKERALKIKEER SGMTTDDDTMSEMKMGRYWSKEERKQHLVRAKEQRRRREFMMRSRLESLKESPQSSGEGK KELSIIELSHKKMMKKRNKKILDNWMTIQELMTHGAKSPDGTRVHNAFLSVTTV >ENSMUSP00000040456.8 pep:known chromosome:GRCm38:15:92597109:92771819:1 gene:ENSMUSG00000036218.15 transcript:ENSMUST00000035399.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzrn4 description:PDZ domain containing RING finger 4 [Source:MGI Symbol;Acc:MGI:3056996] MGCNLCTFQKREEHYKLLYEVSQVNGRDLSKATHEEAVEAFRTAKEPIVVQVLRRTPLSR PTYGMTPEVQLTNASTQTDITFEHIMALAKLRPLTPPVPDTCPFLLSDSCHSLHPMEHGF YEDHEYVSSLPADADRADDFEYEEVELCRVSSQEKLGLTVCYRTDDEEDTGIYVSEVDPN SIAAKDGRIREGDRILQINGEDIQNREEAVALLSSDECKRIVLLIARPDMQLDEGWLEDE RNEFLEELNSELLEEDHNEGAQRTASELQQPKKQEEEEGTTDTATSSSNNQEKDSGVGRT DESLRNDESSEQENAVEEPHSTTLKSKRELGKSQDTLGSLEHQCSESCAGGECLDSDCAS NQEVCEGFQQLLELKIRNHGDYDLYYSSSTIECNRGEQDGVEHELQLLNEELRNIELECQ NIMQAHRLQKVTDQYGDIWALHDGGFRNYNTSLDVQRGKLDDIMEHPEKSDKDSSSAYNT AESCRSTPLTVDRSPDSSLPRMINLTNKKNLRSMMTAHQSPPRQSTREYTSTKVKATDEG CSVESLEKGLESSQLLDQEHTVSEHPPYLSPYHSSSYRYANIPAHARHYQSYMQLIQQKS AVEYAQSQLSLVSMCKESQRGSEPKMEWKVKIRSDGTRYITKRPVRDRLLKERALKIKEE RSGMTTDDDTMSEMKMGRYWSKEERKQHLVRAKEQRRRREFMMRSRLESLKESPQSSGEG KKELSIIELSHKKMMKKRNKKILDNWMTIQELMTHGAKSPDGTRVHNAFLSVTTV >ENSMUSP00000034512.5 pep:known chromosome:GRCm38:9:43221278:43239816:-1 gene:ENSMUSG00000032014.5 transcript:ENSMUST00000034512.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oaf description:OAF homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:94852] MRPPGCRDVPSARPALPLLLLLLSPLLLGALHGVGAGSGAPAELRVRVRLPDSQVIEESL QADSDADSISLDLRKPDGTLISFIADFKKDVKIFRALILGELEKGQSQFQALCFVTRLHH NDIIPSEAMAKLRQKNPRAVRQAEEVRGLEQLHMDIAVNFSQGGLLSPHLHNVCAEATDA IYTRQEDVQFWTERGVDSSVFEALPKALEQAELPRCGRVGDRGKPCTCHYSLSLAWYPCM LKYCHSRDRPAPYKCGIRSCRKSYTFDFYVPQKQLCLWDEDP >ENSMUSP00000034524.3 pep:known chromosome:GRCm38:9:48468514:48480611:-1 gene:ENSMUSG00000032026.6 transcript:ENSMUST00000034524.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rexo2 description:REX2, RNA exonuclease 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1888981] MLGVSLGARLLRGVGGRRGQFGARGVSEGSAAMAAGESMAQRMVWVDLEMTGLDIEKDQI IEMACLITDSDLNILAEGPNLIIKQPDELLDSMSDWCKEHHGKSGLTKAVKESTVTLQQA EYEFLSFVRQQTPPGLCPLAGNSVHADKKFLDKHMPQFMKHLHYRIIDVSTVKELCRRWY PEDYEFAPKKAASHRALDDISESIKELQFYRNNIFKKKTDEKKRKIIENGETEKPVS >ENSMUSP00000039313.3 pep:known chromosome:GRCm38:9:53384023:53401867:1 gene:ENSMUSG00000034487.3 transcript:ENSMUST00000037853.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdelc2 description:KDEL (Lys-Asp-Glu-Leu) containing 2 [Source:MGI Symbol;Acc:MGI:1923765] MQALPLGLQLALLVAAGAGARVSAPRSLAWGPGLQAAAVLPVRYFFLQSVDSDGRNFTSS PPGQTQFKVVVKSLSPKELVRIYVPKPLDRNDGTFLVRYRMHETAHKGLKIEILHGSEHV AHSPYILKGPVYHEYCDCPEDDPQVWQETLSCPASEPQIEQDFVSFPSINLQQMLKEVPT RFGDERGAVVHYTILNNHIYRRSLGKYTDFKMFSDEILLSLARKVTLPDLEFYINLGDWP LEHRKVNDTPGPIPIISWCGSLDSRDIILPTYDVTHSTLEAMRGVTNDLLSVQGNTGPSW INKTEKAFFRGRDSREERLQLVLLSKENPQLLDAGITGYFFFQEKEKELGKAKLMGFFDF FKYKYQVNVDGTVAAYRYPYLMLGDSLVLKQESPYYEHFYVALKPWKHYVPIKRNLGDLL EKVKWAKENDEEAKKIAKEGQLTARDLLQPPRLYCYYYRVLQKYAERQASKPMIRDGMEL VPQPDDGTSICQCHRRRPEREEL >ENSMUSP00000074729.4 pep:known chromosome:GRCm38:12:76533560:76579039:1 gene:ENSMUSG00000052609.8 transcript:ENSMUST00000075249.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhg3 description:pleckstrin homology domain containing, family G (with RhoGef domain) member 3 [Source:MGI Symbol;Acc:MGI:2388284] MPVSTALHQDGSQERPRSLVSTTSSSGSSRDSHSAMEEPTGSEASAQNGTGSPWDRHVPN SNNNSSGWLNMKGPLSPFNGRAGTSPAYHKLSYLGRVVREIVETERMYVQDLRSIVEDYL LKIIDTPGLLKPEQVSALFGNIESIYALNSQLLRDLDSCNSDPVAVASCFVERSQEFDIY TQYCNNYPNSVAALTECMQDKQQAKFFRDRQELLQHSLPLGSYLLKPVQRVLKYHLLLQE IAKHFDEEEDGFEVVEDAIDTMTCVAWYINDMKRRHEHAVRLQEIQSLLINWKGPDLTTY GELVLEATFRVHRVRNDRTFFLFDKILLITKKRGDHFVYKGHIPCSSLMLIESTRDSLCF TVTHYKHSKQQYSIQAKTVEEKRSWTHHIKRLILENHHATIPQKAKEAILEMDSYYPSRY RCSPERMKKAWSSQDEVSSHVRQGRRQSEPGHTLFSRATLPSRQQGFEMPGLKGRRKSEP TRHLLRQLSEKARAVGMKHAGSAGALLDFGQPAHAQKQQPEAERAAREELEEEEELVEEE EQRQQSFSGSLEGLAGHDGSEKVPGPELPGSEEEEEEEESLAVAEQGKRHRESEGSKGCR RPSNRSPTSAEKRMSFESVSSLPEVETDPEPGAEQEAFAALEGPSTEEMPSDPEFPEALE TQLHAPKGLLGVDNPAAVVDFVEPEGSEDLKPLSSEEEEEEEMEAAQEPESLLPPSVLDQ ASVIAERFASSFSRRSSLAIEDGKSSGLGTPRLISRSSSVLSLEGSDKGLARWSSIGDSL SNPPTPEVIIGADMVTDNGPSVNGTESPSAGSGCPTEQDRSSCKKKESALSTRDRQLLDK IKNYYENAEHHDAGFSIRRRESLSYIPKGLVRSSVSRFNSLPKPDSEPAAPVGYKRPGSS RPASWTLFDLPGPRTDKGDPAPITDAEFCPSSEIAKIWERMESSERSPRTGSGQSQANGF ELQEPLFILEEHELGAITEESAVASPESASPTEQPSPAHLARELKELVKELSSSVQGELV TPLHPRIVQLSHVMDSHVSERVKNKVYQLARQYSLRIKNIKAARPPLQWEKVTPDQEEQV PSISGLPEEAGELSGGKARRKPVLSLLSYEQLVAQEHGTSKSSAAVETSPRRFSFSPSAV SPRTTSPGARSSARSPLSPFDTETFNWPDVRELCSKYTSHDKTAQVESSWPRSLLVNRSR SLPENIVEPPMSGKADRCCGLNTHRRLGDGEASQPPLPESPPQSQLNGGDALYVTADLTL ENNQRVIIMEKGPHPSSTVGLEEDSGKESSSPVALKGQGQGFQASAEYQPKEHGPRDSAD TNKQGRVRNLREKFQALNSVG >ENSMUSP00000071763.1 pep:known chromosome:GRCm38:19:50030124:50030735:-1 gene:ENSMUSG00000062083.1 transcript:ENSMUST00000071866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl13a-ps1 description:ribosomal protein 13A, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3648883] MAEGQVLVLDGRDHLLGRLAAIVAKPVLLGRKVVVVCFEGINISGNFYRNKLKYLAFLQK RMDTNPSRGPYHFRAPSRIFWHTVRGMLPHKTKRGQAALERLEVLDGIPPLYDKKKQMVV PAALKVVRLKPTRKFAYLGRLAHEVGWKYQAVTATLEEKQKEKAKVHYQKKKQILRLWKQ AEKNVEKKICKFTEVLNTNGLLV >ENSMUSP00000137125.1 pep:novel scaffold:GRCm38:GL456221.1:58743:59114:1 gene:ENSMUSG00000094728.1 transcript:ENSMUST00000180119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC132444.2 MTQLPLALGFGVSRLWKAAQLRCVYLHPSICCYITQVTLGCSALRSIPACYSSLSPCASA SVTAEVNVNGSCDVYPSSYLLTYKGDVPSSLEVLQPVGYTQKYSMIQHRAGLLSGLSSFS TLT >ENSMUSP00000058313.6 pep:known chromosome:GRCm38:14:14703025:14799943:1 gene:ENSMUSG00000021733.9 transcript:ENSMUST00000057015.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a7 description:solute carrier family 4, sodium bicarbonate cotransporter, member 7 [Source:MGI Symbol;Acc:MGI:2443878] MEADGAGEQMRPLLTRGPDEEAVVDLGKTSSTVNTKFEKEELESHRAVYVGVHVPFSKES RRRHKHRGHKHHHRRRKDKDSDKEDGRESPSYDTPSQRVQFILGTEDDDEEHIPHDLFTE MDELCYRDGEEYEWKETARWLKFEEDVEDGGDRWSKPYVATLSLHSLFELRSCILNGTVM LDMRASTLDEIADMVLDNMIASGQLDDSIRENVREALLKRHHHQNEKRFTSRIPLVRSFA DIGKKHSDPHLLERNGILASPQSAPGNLDNSKSGEMKGNGSGGSRENSTVDFSKVDMNFM RKIPTGAEASNVLVGEVDFLERPIIAFVRLAPAVLLSGLTEVPVPTRFLFLLLGPAGKAP QYHEIGRSIATLMTDEIFHDVAYKAKDRNDLLSGIDEFLDQVTVLPPGEWDPSIRIEPPK SVPSQEKRKIPVFPNGSAAMSVDPPKEDDHHAGPELQRTGRLFGGLILDIKRKAPFFLSD FKDALSLQCLASILFLYCACMSPVITFGGLLGEATEGRISAIESLFGASLTGIAYSLFAG QPLTILGSTGPVLVFEKILFKFCRDYHLSYLSLRTSIGLWTSFLCIVLVATDASSLVCYI TRFTEEAFAALICIIFIYEALEKLFHLGEIYAFNMHNNLDELTSYTCVCAEPSNPSNETL ELWKRKNITAYSVSWGNLTVSECKTFHGMFVGSACGPHGPYVPDVLFWCVVLFFTTFFLS SFLKQFKTKRYFPTKVRSTISDFAVFLTIVIMVAIDYLVGIPSPKLHVPEKFEPTDPSRG WIISPLGDNPWWTLLIAAVPALLCTILIFMDQQITAVIINRKEHKLKKGAGYHLDLLMVG VMLGVCSIMGLPWFVAATVLSISHVNSLKVESECSAPGEQPKFLGIREQRVTGLMIFILM GLSVFMTSVLKFIPMPVLYGVFLYMGVSSLKGIQFFDRIKLFGMPAKHQPDLIYLRYVPL WKVHVFTVVQLTCLVLLWVIKASAAAVVFPMMVLALVFVRKLMDLCFTKRELSWLDDLMP ESKKKKEDDKKKKEKEEAERMLQDDEDTVHLPFERGSLLQIPVKTLKYSIDPSVVNISDE MAKTAQWKALSMNTENAKVTRPNTSPEKPVSVTINFEDEPSKKYMDAETSL >ENSMUSP00000028069.1 pep:known chromosome:GRCm38:2:18998343:18999801:1 gene:ENSMUSG00000026736.1 transcript:ENSMUST00000028069.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930426L09Rik description:RIKEN cDNA 4930426L09 gene [Source:MGI Symbol;Acc:MGI:1921879] MHGAARNVREPPLPVPRAGEFVGPRALLRGPGLPQHLGKGPWLLFVNCLPGSPRLELPNL LYPGWAFPCYRILECHSQPTLGFFTETPALVKTAMPQSGPFAWNPSFCRPPLPSLILDMH LLI >ENSMUSP00000048719.9 pep:known chromosome:GRCm38:15:39198363:39681764:1 gene:ENSMUSG00000037386.15 transcript:ENSMUST00000042917.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims2 description:regulating synaptic membrane exocytosis 2 [Source:MGI Symbol;Acc:MGI:2152972] MSAPLGPRGRPAPTPAASQPPPQPEMPDLSHLTEEERKIILAVMDRQKKEEEKEQSVLKK LHQQFEMYKEQVKKMGEESQQQQEQKGDAPTCGICHKTKFADGCGHNCSYCQTKFCARCG GRVSLRSNKVMWVCNLCRKQQEILTKSGAWFYNSGSNTLQQPDQKVPRGLRNEEAPQEKK AKLHEQPQFQGAPGDLSVPAVEKGRAHGLTRQDTIKNGSGVKHQIASDMPSDRKRSPSVS RDQNRRYEQSEEREDYSQYVPSDGTMPRSPSDYADRRSQREPQFYEEPGHLNYRDSNRRG HRHSKEYIVDDEDVESRDEYERQRREEEYQARYRSDPNLARYPVKPQPYEEQMRIHAEVS RARHERRHSDVSLANAELEDSRISLLRMDRPSRQRSVSERRAAMENQRSYSMERTREAQG QSSYPQRTSNHSPPTPRRSPIPLDRPDMRRADSLRKQHHLDPSSAVRKTKREKMETMLRN DSLSSDQSESVRPPPPRPHKSKKGGKMRQVSLSSSEEELASTPEYTSCDDVELESESVSE KGDSQKGKRKTSEQGVLSDSNTRSERQKKRMYYGGHSLEEDLEWSEPQIKDSGVDTCSST TLNEEHSHSDKHPVTWQPSKDGDRLIGRILLNKRLKDGSVPRDSGAMLGLKVVGGKMTES GRLCAFITKVKKGSLADTVGHLRPGDEVLEWNGRLLQGATFEEVYNIILESKPEPQVELV VSRPIGDIPRIPDSTHAQLESSSSSFESQKMDRPSISVTSPMSPGMLRDVPQFLSGQLSI KLWFDKVGHQLIVTILGAKDLPSREDGRPRNPYVKIYFLPDRSDKNKRRTKTVKKTLEPK WNQTFIYSPVHRREFRERMLEITLWDQARVREEESEFLGEILIELETALLDDEPHWYKLQ THDVSSLPLPRPSPYLPRRQLHGESPTRRLQRSKRISDSEVSDYDCEDGVGVVSDYRHNG RDLQSSTLSVPEQVMSSNHCSPSGSPHRVDVIGRTRSWSPSAPPPQRNVEQGHRGTRATG HYNTISRMDRHRVMDDHYSSDRDSHFLTLPRSRHSQTIDHHHRDGRDCEAADRQPYHRSR STEQRPLLERTTTRSRSSERPDTNLMRSMPSLMTGRSAPPSPALSRSHPRTGSVQTSPSS TPGTGRRGRQLPQLPPKGTLERSAMDIEERNRQMKLNKYKQVAGSDPRLEQDYHSKYRSG WDPHRGADTVSTKSSDSDVSDVSAVSRTSSASRFSSTSYMSVQSERPRGNRKISVFTSKM QNRQMGVSGKNLTKSTSISGDMCSLEKNDGSQSDTAVGALGTSGKKRRSSIGAKMVAIVG LSRKSRSASQLSQTEGGGKKLRSTVQRSTETGLAVEMRNWMTRQASRESTDGSMNSYSSE GNLIFPGVRLASDSQFSDFLDGLGPAQLVGRQTLATPAMGDIQVGMMDKKGQLEVEIIRA RGLVVKPGSKTLPAPYVKVYLLDNGVCIAKKKTKVARKTLEPLYQQLLSFEESPQGRVLQ IIVWGDYGRMDHKSFMGVAQILLDELELSNMVIGWFKLFPPSSLVDPTLAPLTRRASQSS LESSTGPSYSRS >ENSMUSP00000080711.5 pep:known chromosome:GRCm38:15:39198332:39681940:1 gene:ENSMUSG00000037386.15 transcript:ENSMUST00000082054.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims2 description:regulating synaptic membrane exocytosis 2 [Source:MGI Symbol;Acc:MGI:2152972] MSAPLGPRGRPAPTPAASQPPPQPEMPDLSHLTEEERKIILAVMDRQKKEEEKEQSVLKI KEEHKAQPTQWFPFSGITELVNNVLQPQQKQPNEKEPQTKLHQQFEMYKEQVKKMGEESQ QQQEQKGDAPTCGICHKTKFADGCGHNCSYCQTKFCARCGGRVSLRSNKVMWVCNLCRKQ QEILTKSGAWFYNSGSNTLQQPDQKVPRGLRNEEAPQEKKAKLHEQPQFQGAPGDLSVPA VEKGRAHGLTRQDTIKNGSGVKHQIASDMPSDRKRSPSVSRDQNRRYEQSEEREDYSQYV PSDGTMPRSPSDYADRRSQREPQFYEEPGHLNYRDSNRRGHRHSKEYIVDDEDVESRDEY ERQRREEEYQARYRSDPNLARYPVKPQPYEEQMRIHAEVSRARHERRHSDVSLANAELED SRISLLRMDRPSRQRSVSERRAAMENQRSYSMERTREAQGQSSYPQRTSNHSPPTPRRSP IPLDRPDMRRADSLRKQHHLDPSSAVRKTKREKMETMLRNDSLSSDQSESVRPPPPRPHK SKKGGKMRQVSLSSSEEELASTPEYTSCDDVELESESVSEKGDSQKGKRKTSEQGVLSDS NTRSERQKKRMYYGGHSLEEDLEWSEPQIKDSGVDTCSSTTLNEEHSHSDKHPVTWQPSK DGDRLIGRILLNKRLKDGSVPRDSGAMLGLKVVGGKMTESGRLCAFITKVKKGSLADTVG HLRPGDEVLEWNGRLLQGATFEEVYNIILESKPEPQVELVVSRPIGDIPRIPDSTHAQLE SSSSSFESQKMDRPSISVTSPMSPGMLRDVPQFLSGQLSIKLWFDKVGHQLIVTILGAKD LPSREDGRPRNPYVKIYFLPDRSDKNKRRTKTVKKTLEPKWNQTFIYSPVHRREFRERML EITLWDQARVREEESEFLGEILIELETALLDDEPHWYKLQTHDVSSLPLPRPSPYLPRRQ LHGESPTRRLQRSKRISDSEVSDYDCEDGVGVVSDYRHNGRDLQSSTLSVPEQVMSSNHC SPSGSPHRVDVIGRTRSWSPSAPPPQRNVEQGHRGTRATGHYNTISRMDRHRVMDDHYSS DRDRSHPRTGSVQTSPSSTPGTGRRGRQLPQLPPKGTLERSAMDIEERNRQMKLNKYKQV AGSDPRLEQDYHSKYRSGWDPHRGADTVSTKSSDSDVSDVSAVSRTSSASRFSSTSYMSV QSERPRGNRKISVFTSKMQNRQMGVSGKNLTKSTSISGDMCSLEKNDGSQSDTAVGALGT SGKKRRSSIGAKMVAIVGLSRKSRSASQLSQTEGGGKKLRSTVQRSTETGLAVEMRNWMT RQASRESTDGSMNSYSSEGNLIFPGVRLASDSQFSDFLDGLGPAQLVGRQTLATPAMGDI QVGMMDKKGQLEVEIIRARGLVVKPGSKTLPAPYVKVYLLDNGVCIAKKKTKVARKTLEP LYQQLLSFEESPQGRVLQIIVWGDYGRMDHKSFMGVAQILLDELELSNMVIGWFKLFPPS SLVDPTLAPLTRRASQSSLESSTGPSYSRS >ENSMUSP00000053997.7 pep:known chromosome:GRCm38:17:50698525:50699880:1 gene:ENSMUSG00000044645.7 transcript:ENSMUST00000061681.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7334 description:predicted gene 7334 [Source:MGI Symbol;Acc:MGI:3647393] MKNEIAAVVFFFTRLVRKHDKLKKEAVERFAEKLTQILQEKYKNHWYPEKPSKGQAYRCI RVNKFQRVDPDVLKACENSCILYSDLGLPKELTLWVDPCEVCCRYGEKNNAFIVASFENE DENKDEISKKVSRALDKVTSDYHSGSSSSDEDTSKEVDVKPSSVAATPSPVYQISELIFP PLPMWHPLPRKKPGMYRGSGHQTHYPPPVPFAYPNPGRKNKPFRPIPVTWVPPPGMHCDR NHWINPHMLAPH >ENSMUSP00000094206.2 pep:known chromosome:GRCm38:15:25414192:25414844:1 gene:ENSMUSG00000056089.5 transcript:ENSMUST00000069992.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5468 description:predicted gene 5468 [Source:MGI Symbol;Acc:MGI:3648948] MPPLKPSRQISAGFRVSPKASIWDASGICSPSGPDQEAPALQGATAQSSGLGWKGGRHRL RVRSPDFGEKERKKCTLGKGASTFCACAFMEVTSSRLLKMLLRSAPSQAVAIRAKSSCPG RRALWVAPRHTIATTVRRYARYAYLLAKIKCKIKI >ENSMUSP00000052107.6 pep:known chromosome:GRCm38:12:100300771:100520822:-1 gene:ENSMUSG00000033530.7 transcript:ENSMUST00000062957.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc7b description:tetratricopeptide repeat domain 7B [Source:MGI Symbol;Acc:MGI:2144724] MATRKAGSRLETEIERCRSECQWERIPELVKQLSAKLIANDDMAELLLGESKLEQHLKEK PLRQGASPRGPRPQLTEVRKHLTAALDRGNLKSEFLQESNLVMAKLTYVEGDYKEALNIY ARVGLDDLPLTAVPPYRLRMIAEAYATKGLCLEKLPVSSSTSNLHVDREQDVITCYEKAG DIALLYLQEIERVMLTNIQNRSPKPGPAPHDQELGFFLETGLQRAHVLYFKNGNLTRGVG RFRELLRAVETRTTQNLRMTIARQLAEILLRGMCEQSYWSPLEEPPYQSPLDDPLRKGAN TKAYTLPRRARVYSGENIFCPQENTEEALLLLLISESMANRDAVLSRIPEHKSDRLISLQ SASVVYDLLTIALGRRGQYEMLSECLERAMKFAFEEFHLWYQFALSLMAAGKSARAVKVL KECIRLKPDDATIPLLAAKLCVGSLHWLEEAEKFAKTVVDVGEKTSEFKAKGYLALGLTY SLQATDASLRGMQEGLQRKALLAFQRAHSLSPTDHQAAFYLALQLAISRQIPEALGYVRQ ALQLQGDDANSLHLLALLLSAQKHYHDALNIIDMALSEYPENFILLFSKVKLESLCRGPD EALLTCKHMLQIWKSCYNLTNPSDSGRGSSLLDRTIADRRQLNTITLPDFSDPETGSVHA TSVAASRVEQALSEVASSLQSSAPKQGPLHPWMTLAQIWLHAAEVYIGIGKPAEATACTQ EAANLFPMSHNVLYMRGQVAELRGHFDEARRWYEEALSISPTHVKSMQRLALVLHQLGRY SLAEKILRDAVQVNSTAHEVWNGLGEVLQAQGNDAAATECFLTALELEASSPAVPFTVIP RVL >ENSMUSP00000137582.1 pep:known scaffold:GRCm38:JH584293.1:41602:43114:-1 gene:ENSMUSG00000095585.1 transcript:ENSMUST00000179075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CR974586.3 VTPLLAFSLLVLWTFPAPTLGGANDAEDCCLSVTQRPIPGNIVKAFRYLLNEDGCRVPAV VFTTLRGYQLCAPPDQPWVDRIIRRLKKSSAKASLTLLSPASTL >ENSMUSP00000136158.1 pep:known chromosome:GRCm38:13:12471209:12520450:-1 gene:ENSMUSG00000095105.1 transcript:ENSMUST00000179308.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edaradd description:EDAR (ectodysplasin-A receptor)-associated death domain [Source:MGI Symbol;Acc:MGI:1931001] MASPDDPLRSDHMAKEPVEDTDPSTLSFAMSDKYPIQDTGLPKAKECDTVNSNCPPNSDD QPQGEENDFPDSTKDPLSGVSRNQPCKDGKGSCSCPSCSPRAPTISDLLNDQDLLDTIRI KLDPCHPTVKNWRNFASKWGMPYDELCFLEQRPQSPTLEFLFRNSQRTVGQLMELCRLYH RADVEKILRRWVDEEWPHRGHSDSSMHF >ENSMUSP00000136105.1 pep:known chromosome:GRCm38:17:22197265:22225614:-1 gene:ENSMUSG00000096433.1 transcript:ENSMUST00000179996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4944 description:predicted gene 4944 [Source:MGI Symbol;Acc:MGI:3643318] MNASLLNAPQGQLTFKDVAVDFSQEEWQCLDCAQQALYMDVMLENYNNLFFVENHCMCPK FEKMLDQDLQHIVLEHVNTQVKSYECHELDKIIHEFTGSTPYKTNLRATSVESSNLNRHE TENNREPCKYENHVNCFNLCSTISLNQGINIGKKEYNGAKLDKVFDSKEILMLKQTKSVK KPYKCSECAKCFAKKCWFRKHQTTHTGEKFYKCNECDKSFTQKGSLSIHQKYHTGKKPYK CSECEKCFIQVGDLRRHQRIHTGEKPYKCNECEKCFSYKSSLRTHQRIHTGEKPYKCSEC DKCFSHKGSLSIHLRIHTGEKPYKCSECDKSFTQKGSLSIHQRIHAGEKPYRCSECDKGF TLKCSLIIHQIIHTGEKAYKCSECDKCFSLKSDLSIHQRIHTGEKLYRCSECDKCFTKKY RLRSHQTIHTGEKPYKCSECDKSFTLKGHLRIHQRIHTGEKPYKCNECDKCFTQKCSLII HHRIHAGEKPYKCSECDKYYTRKSHLIVHQRIHIGEKPYKCSECNKCFTNKYCLRTHQRI HSANKPYKCSECDKSFALNARLRCHRIIHTGEKPYKCSKCGKYFTQKGSLIIHQRIHTGE KPYKCSECDKYFTRKSSLNVHQRVHTGEKPYKCSECDKCFSHKGDLRKHQRIHTGERTLQ I >ENSMUSP00000057253.4 pep:known chromosome:GRCm38:18:23954688:23964671:1 gene:ENSMUSG00000024276.6 transcript:ENSMUST00000060762.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp397 description:zinc finger protein 397 [Source:MGI Symbol;Acc:MGI:1916506] MAVESRAISTLKPQDHQEELILVKIEDSSFSWSQKCKQNGSAQSCQELFRQQFRKFCYHE TPGPREALGRLQELCHQWLMPELHTKEQILELLVLEQFLSILPEELKIWVQQHGPKSGEE AVTLLEDLEKEFDDPGQQVPDNLQGPSVSWKDLTYLKTSQDSTSIQVRPLKTQLKSWNPC LSSKSDAKNNESTAKEDISEEKAPELSWDPSCRGVSEHKSNLEWQQRSSPVHRGSFSQVI FTHKSLAKRDHLDESQRCLIISTNSVTCQKVSTDDRPYRCDVCGHSFKQHFSLTQHQRIH TGEKPYKCNQCGKAFSLRSYLIIHQKIHSGEKAYECNECGKAFNQSSALTRHRKIHTGEK ACKCNECGKAFSQSSYLIIHQRIHTGEKPYGCSECGKTFSQSSKLIRHQRIHTGERPYEC NECGKAFKQSSELITHQRIHSGEKPYECNECGKAFSLNSNLIRHQRIHSGEEPYQCNDCG KTFKRSSALVQHQRIHSGDEAYICNECGKAFRHRSVLMRHQRVHTIK >ENSMUSP00000126623.1 pep:known chromosome:GRCm38:18:60685978:60713389:-1 gene:ENSMUSG00000054008.8 transcript:ENSMUST00000169273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst1 description:N-deacetylase/N-sulfotransferase (heparan glucosaminyl) 1 [Source:MGI Symbol;Acc:MGI:104719] MPALACLRRLCRHLSPQAVLFLLFVFCLFSVFVSAYYLYGWNRGLEPSADASESDCGDPP PVAPSRLLPIKPVQAVAPSRTDPLVLVFVESLYSQLGQEVVAILESSRFKYRTEIAPGKG DMPTLTDKGRGRFALIIYENILKYVNLDAWNRELLDKYCVAYGVGIIGFFKANENSLLSA QLKGFPLFLHSNLGLKDCSINPKSPLLYVTRPSEVEKGVLPGEDWTVFQSNHSTYEPVLL AKTRSSESIPHLGADAGLHAALHATVVQDLGLHDGIQRVLFGNNLNFWLHKLVFVDAVAF LTGKRLSLPLDRYILVDIDDIFVGKEGTRMKVEDVKALFDTQNELRTHIPNFTFNLGYSG KFFHTGTDAEDAGDDLLLSYVKEFWWFPHMWSHMQPHLFHNQSVLAEQMALNKKFAVEHG IPTDMGYAVAPHHSGVYPVHVQLYEAWKQVWGIRVTSTEEYPHLKPARYRRGFIHNGIMV LPRQTCGLFTHTIFYNEYPGGSSELDKIINGGELFLTVLLNPISIFMTHLSNYGNDRLGL YTFKHLVRFLHSWTNLRLQTLPPVQLAQKYFQIFSEEKDPLWQDPCEDKRHKDIWSKEKT CDRFPKLLIIGPQKTGTTALYLFLGMHPDLSSNYPSSETFEEIQFFNGHNYHKGIDWYME FFPIPSNTTSDFYFEKSANYFDSEVAPRRAAALLPKAKILSILINPADRAYSWYQHQRAH DDPVALKYTFHEVITAGPDASSKLRALQNRCLVPGWYATHIERWLSAFHANQILVLDGKL LRTEPAKVMDTVQKFLGVTSTVDYHKTLAFDPKKGFWCQLLEGGKTKCLGKSKGRKYPEM DLDSRAFLKDYFRDHNIELSKLLYKMGQTLPTWLREDLQNTR >ENSMUSP00000019906.4 pep:known chromosome:GRCm38:10:5639218:5647614:1 gene:ENSMUSG00000019772.4 transcript:ENSMUST00000019906.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vip description:vasoactive intestinal polypeptide [Source:MGI Symbol;Acc:MGI:98933] MEARSKPQFLAFLILFSVLFSQSLAWPLFGPPSVVSRLDDRMPFEGAGDPDQVSLKADSD ILQNPLAENGTPYYDVSRNARHADGVFTSDYSRLLGQISAKKYLESLIGKRISSSISEDP VPIKRHSDAVFTDNYTRLRKQMAVKKYLNSILNGKRSSEGDSADFLEELEK >ENSMUSP00000025109.7 pep:known chromosome:GRCm38:18:31634383:31723061:1 gene:ENSMUSG00000024260.13 transcript:ENSMUST00000025109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap130 description:Sin3A associated protein [Source:MGI Symbol;Acc:MGI:1919782] MSSQQFPRLGTPSPGLSQPPSQIASSGSAGLINQVATVNDEAGRDADVGTREHVGPSSSL PPREEKQEPVVVRPYPQVQMLPAHHAVASATPVAVTAPPAHLTPAVPLSFSEGLMKPPPK PTMPSRPIAPAPPSTMSLPPKVPGQVTVTMESSIPQASAIPVATISGQQGHPSNLHHIMT TNVQMSIIRSNAPGPPLHIGASHLPRGAAAAAVMSSSKVTTVLRPTSQLPNAATAQPAVQ HLIHQPIQSRPPVTTSSTIPPAVVATVSATRAQSPVITTTAAHAADSTLSRPTLSIQHPP SAAISIQRPAQSRDVTTRITLPSHPALGTPKQQLHTMAQKTIFSTGTPVAAATVAPILAT NTLPSTTTAGSVSHTQAPTSTIVTMTMPSHSSHATAVTTSNIPVAKVVPQQITHTSPRIQ PDYPPERSSLIPISGHRASPNPVAMETRNDNRPSVPVQFQYFLPTYPPSAYPLAAHTYTP ITSSVSTIRQYPVSAQAPNSTITAQTGVGVASTVHLNPMQLMTVDASHARHIQGIQPAPI STQGIQPAPIGTSGIQPAPIGTPGIHSAAPINTQGLQPAAMANQQPQPEGKTSVVLADGA TIVANPISNPFSAAPAATTVVQTHSQSASTNTPAQGSSPRPSILRKKPATDGMAVRKTLL PPQPPDVATPRVESSMRSASGSPRPAGAKPKSEVHVSIATPVTVSLETISNQNAEQPTVA VPPTAQQPPPTIPSMIAAASPPSQPAIALSTIPGAVPVTPPITTIAATPTLSAPVGGTPS TVLGPPVPEIKVKEEAEPVDITRPVSTVPPLATNTVSPSLALLASNLSMPPSDLPPGASP RKKPRKQQHVISTEEGDMMETNSTDDEKSAAKSLLVKAEKRKSPPKEYIDEEGVRYVPVR PRPPITLLRHYRNPWKAAYHHFQRYSDVRVKEEKKAMLQEIANQKGVSCRAQGWKVHLCA AQLLQLTNLEHDVYERLTNLQEGIIPKKKAATDDDLHRINELIQGNMQRCKLVMDQISEA RDSMLKVLDHKDRVLKLLNKNGTVKKVSKLKRKEKV >ENSMUSP00000136842.1 pep:known chromosome:GRCm38:18:31634371:31723061:1 gene:ENSMUSG00000024260.13 transcript:ENSMUST00000178164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap130 description:Sin3A associated protein [Source:MGI Symbol;Acc:MGI:1919782] MSSQQFPRLGTPSPGLSQPPSQIASSGSAGLINQVATVNDEAGRDADVGTREHVGPSSSL PPREEKQEPVVVRPYPQVQMLPAHHAVASATPVAVTAPPAHLTPAVPLSFSEGLMKPPPK PTMPSRPIAPAPPSTMSLPPKVPGQVTVTMESSIPQASAIPVATISGQQGHPSNLHHIMT TNVQMSIIRSNAPGPPLHIGASHLPRGAAAAAVMSSSKVTTVLRPTSQLPNAATAQPAVQ HLIHQPIQSRPPVTTSSTIPPAVVATVSATRAQSPVITTTAAHAADSTLSRPTLSIQHPP SAAISIQRPAQSRDVTTRITLPSHPALGTPKQQLHTMAQKTIFSTGTPVAAATVAPILAT NTLPSTTTAGSVSHTQAPTSTIVTMTMPSHSSHATAVTTSNIPVAKVVPQQITHTSPRIQ PDYPPERSSLIPISGHRASPNPVAMETRNDNRPSVPVQFQYFLPTYPPSAYPLAAHTYTP ITSSVSTIRQYPVSAQAPNSTITAQTGVGVASTVHLNPMQLMTVDASHARHIQGIQPAPI STQGIQPAPIGTSGIQPAPIGTPGIHSAAPINTQGLQPAAMANQQPQPEGKTSAVVLADG ATIVANPISNPFSAAPAATTVVQTHSQSASTNTPAQGSSPRPSILRKKPATDGMAVRKTL LPPQPPDVATPRVESSMRSASGSPRPAGAKPKSEVHVSIATPVTVSLETISNQNAEQPTV AVPPTAQQPPPTIPSMIAAASPPSQPAIALSTIPGAVPVTPPITTIAATPTLSAPVGGTP STVLGPPVPEIKVKEEAEPVDITRPVSTVPPLATNTVSPSLALLASNLSMPPSDLPPGAS PRKKPRKQQHVISTEEGDMMETNSTDDEKSAAKSLLVKAEKRKSPPKEYIDEEGVRYVPV RPRPPITLLRHYRNPWKAAYHHFQRYTQVKIQKEKKAMLQEIANQKGVSCRAQGWKVHLC AAQLLQLTNLEHDVYERLTNLQEGIIPKKKAATDDDLHRINELIQGNMQRCKLVMDQISE ARDSMLKVLDHKDRVLKLLNKNGTVKKVSKLKRKEKV >ENSMUSP00000128204.2 pep:known chromosome:GRCm38:10:7445391:7473361:-1 gene:ENSMUSG00000079685.9 transcript:ENSMUST00000169796.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ulbp1 description:UL16 binding protein 1 [Source:MGI Symbol;Acc:MGI:1925027] MELTASNKVLSCCLSLLCLLSVCLCPRIEEPLTLQAEVCGQYEVDKHFTGYAIVSLNGKN IFRVDTSTGNWTQLDHEFEKFIEMCKEDKVLAAFLKKTTEGDCRTWLDELMLHWKEHLEP AGSFSTLMIILCVIAIAFLGLIFGVSCKLRHLRTKKIGLQSSPPPLLDDSLTVPTSPQSS VCGTMIQCLCPRKLKSPVFMQIDLQSSAPPLLDDSLTVPETCSVKKEDEFPTASQNSVLL TSDDIDGIP >ENSMUSP00000136637.1 pep:known chromosome:GRCm38:10:7444873:7473477:-1 gene:ENSMUSG00000079685.9 transcript:ENSMUST00000177585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ulbp1 description:UL16 binding protein 1 [Source:MGI Symbol;Acc:MGI:1925027] MELTASNKVLSCCLSLLCLLSVCLCPRIEETASLCNIYKVNRSESGQHSHEVQGLLNRQP LFVYKDKKCHAIGAHRNSMNATKICEKEVDTLKDGIDIFKGLLLHIVQETNTTGKPLTLQ AEVCGQYEVDKHFTGYAIVSLNGKNIFRVDTSTGNWTQLDHEFEKFIEMCKEDKVLAAFL KKTTEGDCRTWLDELMLHWKEHLEPAGSFSTLMIILCVIAIAFLGLIFGVSCKLRHLRTK KIGLQSSPPPLLDDSLTVPTSPQSSVCGTMIQCLCPRKLKSPVFMQIDLQSSAPPLLDDS LTVPETCSVKKEDEFPTASQNSVLLTSDDIDGIP >ENSMUSP00000126177.1 pep:known chromosome:GRCm38:17:15806253:15826586:-1 gene:ENSMUSG00000048027.7 transcript:ENSMUST00000170578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgmb description:repulsive guidance molecule family member B [Source:MGI Symbol;Acc:MGI:1916049] MGVRAAPSCAAAPAAAGAEQSRRPGLWPPSPPPPLLLLLLLSLGLLHAGDCQQPTQCRIQ KCTTDFVALTAHLNSAADGFDSEFCKALRAYAGCTQRTSKACRGNLVYHSAVLGISDLMS QRNCSKDGPTSSTNPEVTHDPCNYHSHGGVREHGGGDQRPPNYLFCGLFGDPHLRTFKDH FQTCKVEGAWPLIDNNYLSVQVTNVPVVPGSSATATNKVTIIFKAQHECTDQKVYQAVTD DLPAAFVDGTTSGGDGDVKSLHIVEKESGRYVEMHARYIGTTVFVRQLGRYLTLAIRMPE DLAMSYEESQDLQLCVNGCPMSECIDDGQGQVSAILGHSLPHTTSVQAWPGYTLETASTQ CHEKMPVKDIYFQSCVFDLLTTGDANFTAAAHSALEDVEALHPRKERWHIFPSSCGGCRD LPVGLGLTCLILIMFL >ENSMUSP00000126205.1 pep:known chromosome:GRCm38:18:38296805:38317847:1 gene:ENSMUSG00000060450.13 transcript:ENSMUST00000171461.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf14 description:ring finger protein 14 [Source:MGI Symbol;Acc:MGI:1929668] MSAEDLEAQEDELLALASIYDADEFRKAESVQGGETRIYLDLPQNFKIFVSGNSNESLQN SGFEYTICFLPPLVLNFELPPDYPSSSPPSFTLSGKWLSPTQLSALCKHLDNLWEEHRGR VVLFAWMQFLKEETLTYLNIVSPFELKMGSQKKVQRRATAQASSSTELGVGGAAAADVDQ EETVDERAVQDVESLSSLIQEILDFNQARQTKCFNSKLFLCSICFCEKLGSDCMYFLECK HVYCKACLKDYFEIQIKDGQVKCLNCPEPQCPSVATPGQVKELVEADLFARYDRLLLQST LDLMADVVYCPRPCCQLPVMQEPGGTMAICSSCNFAFCTLCRLTYHGLSPCKVTAEKLID LRNEYLQADEATKRFLEQRYGKRVIQKALEEMESKDWLEKNSKSCPCCGTPIQKLDGCNK MTCTGCMQYFCWICMGSLSRANPYRHFTDSESPCFNRLFHAVDINGDMWEDEIEEDDDDE DDDDD >ENSMUSP00000133070.1 pep:known chromosome:GRCm38:18:38296635:38317847:1 gene:ENSMUSG00000060450.13 transcript:ENSMUST00000170811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf14 description:ring finger protein 14 [Source:MGI Symbol;Acc:MGI:1929668] MQFLKEETLTYLNIVSPFELKMGSQKKVQRRATAQASSSTELGVGGAAAADVDQEETVDE RAVQDVESLSSLIQEILDFNQARQTKCFNSKLFLCSICFCEKLGSDCMYFLECKHVYCKA CLKDYFEIQIKDGQVKCLNCPEPQCPSVATPGQVKELVEADLFARYDRLLLQSTLDLMAD VVYCPRPCCQLPVMQEPGGTMAICSSCNFAFCTLCRLTYHGLSPCKVTAEKLIDLRNEYL QADEATKRFLEQRYGKRVIQKALEEMESKDWLEKNSKSCPCCGTPIQKLDGCNKMTCTGC MQYFCWICMGSLSRANPYRHFTDSESPCFNRLFHAVDINGDMWEDEIEEDDDDEDDDDD >ENSMUSP00000072212.5 pep:known chromosome:GRCm38:18:38296635:38317847:1 gene:ENSMUSG00000060450.13 transcript:ENSMUST00000072376.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf14 description:ring finger protein 14 [Source:MGI Symbol;Acc:MGI:1929668] MSAEDLEAQEDELLALASIYDADEFRKAESVQGGETRIYLDLPQNFKIFVSGNSNESLQN SGFEYTICFLPPLVLNFELPPDYPSSSPPSFTLSGKWLSPTQLSALCKHLDNLWEEHRGR VVLFAWMQFLKEETLTYLNIVSPFELKMGSQKKVQRRATAQASSSTELGVGGAAAADVDQ EETVDERAVQDVESLSSLIQEILDFNQARQTKCFNSKLFLCSICFCEKLGSDCMYFLECK HVYCKACLKDYFEIQIKDGQVKCLNCPEPQCPSVATPGQVKELVEADLFARYDRLLLQST LDLMADVVYCPRPCCQLPVMQEPGGTMAICSSCNFAFCTLCRLTYHGLSPCKVTAEKLID LRNEYLQADEATKRFLEQRYGKRVIQKALEEMESKDWLEKNSKSCPCCGTPIQKLDGCNK MTCTGCMQYFCWICMGSLSRANPYRHFTDSESPCFNRLFHAVDINGDMWEDEIEEDDDDE DDDDD >ENSMUSP00000016115.4 pep:known chromosome:GRCm38:14:29978337:29993221:1 gene:ENSMUSG00000015971.4 transcript:ENSMUST00000016115.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actr8 description:ARP8 actin-related protein 8 [Source:MGI Symbol;Acc:MGI:1860775] MTQAEKGDAENGKEKGGEKEKEQRGVKRPIVPALVPESLQEQIQSNFIVVIHPGSTTLRL GRATDTLPVSVPHVIARRHKQQGQPLYKDNWLLREGLNKPESNEQRQNGLKMVDQAIWSK KMSNGTRRIPVSPEQTRSYNKQMRPAILDHCSGNKWTNTSQQPEYLVGEEALYVNPLDCY NIHWPIRRGQLNIHPGPGGSLTAVLADIEVIWSHAIQKYLEIPLKDLKYYRCILLIPDIY NKQHVKELVHMILMKMGFAGIVVHQESVCATFGSGLSSTCVVDVGDQKTSVCCVEDGVSH RNTRLCLAYGGSDVSRCFYWLMQRAGFPYRECQLTNKMDCLLLQHLKETFCHLDQDISGL QDHEFQIRHPDSPALLYQFRLGDEKLQAPMALFYPATFGIVGQKMTTLQHRSQGDPEDPH DEHYLLATQSKQEQSAKATADRKSASKPIGFEGDLRGQSSDLPERLHSQEVDLASSQGDC LMAGNESEEALTALMSRKTAISLFEGKALGLDKAILHSVDCCSSDDTKKKMYSSILVVGG GLMFHKAQEFLQHRILNKMPPSFRRIIENVDVITRPKDMDPRLIAWKGGAVLACLDTTQE LWIYQREWQRFGVRMLRERAAFVW >ENSMUSP00000049296.3 pep:known chromosome:GRCm38:17:24549950:24596514:1 gene:ENSMUSG00000032855.5 transcript:ENSMUST00000035565.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd1 description:polycystic kidney disease 1 homolog [Source:MGI Symbol;Acc:MGI:97603] MPLGAPALLALALGLGLWLGALAGDPGRGCGPCPLPCFCGPAPDAACRVNCSGRWLQTLG PSLRIPADATALDLSHNLLQTLDIGLLVNLSALVELDLSNNRISTLEEGVFANLFNLSEI NLSGNPFECNCGLAWLPRWAKEHQVHVVQSEATTCRGPIPLAGQPLLSIPLLDNACGEEY VACLPDNSSGAVAAVPFYFAHEGPLETEACSAFCFSAGEGLAALSEQNQCLCGAGQASNS SAACSSWCSSISLSLNSACGGPTLLQHTFPASPGATLVGPHGPLASGQPADFHITSSLPI SSTRWNFGDGSPEVDMASPAATHFYVLPGSYHMTVVLALGAGSALLETEVQVEATPTVLE LVCPSFVHSNESLELGIRHRGGSALEVTYSILALDKEPAQVVHPLCPLDTEIFPGNGHCY RLVAEKAPWLQAQEQCRTWAGAALAMVDSPAIQHFLVSKVTRSLDVWIGFSSVEGTEGLD PRGEAFSLESCQNWLPGEPHPATAEHCVRLGPAGQCNTDLCSAPHSYVCELRPGGPVWDT ENFVMGMSGGGLSGPLHPLAQQETVQGPLRPVEVMVFPGLSPSREAFLTAAEFSTQKLEE PAQMRLQVYRPSGGAAAVPEGSSEPDNRTEPAPKCVPEELWCPGANVCIPFDASCNSHVC INGSVSRLGLSRASYTLWKEFFFSVPAGPPTQYLVTLHSQDVPMLPGDLIGLQHDAGPGT LLQCPLASSCPGQALYLSTNASDWMTNLPVHLEEAWAGPVCSLQLLLVTERLTPLLGLGP NPGLQHPGHYEVRATVGNSVSRQNLSCSFSVVSPIAGLRVIHPIPLDGHIYVPTNGSVLV LQVDSGANATATAQWFGGNISAPFEDACPPEVDFLKQDCTEEANGTLFSVLMLPRLKEGD HTVEIVAQNGASQANLSLRVTAEEPICGLRAVPSPEARVLQGILVRYSPMVEAGSDVAFR WTIDDKQSLTFHNTVFNVIYQSAAIFKLSLTASNHVSNITVNYNVTVERMNKMHGLWVSA VPTVLPPNATLALTGGVLVDSAVEVAFLWNFGDGEQVLRQFKPPYDESFQVPDPTVAQVL VEHNTTHIYTTPGEYNLTVLVSNTYENLTQQVTVSVRTVLPNVAIGMSSNVLVAGQPITF SPYPLPSTDGVLYTWDFGDGSPVLIQSQPVLNHTYSMTGAYRITLEVNNTVSSVTAHADI RVFQELHGLTVYLSPSVEQGAPMVVSASVESGDNITWTFDMGDGTVFTGPEATVQHVYLR AQNFTVTVEAANPAGHLSQSLHVQVFVLEVLHIEPSTCIPTQPSAQLMAHVTGDPVHYLF DWTFGDGSSNVTVHGHPSVTHNFTRSGIFPLALVLSSHVNKAHYFTSICVEPEIRNITLQ PERQFVKLGDEARLVAYSWPPFPYRYTWDFGTEDTTHTQTGGSEVKFIYREPGSYLVIVT VSNNISSTNDSAFVEVQEPVLVTGIRINGSHVLELQQPYLLSAMGSGSPATYLWELGDGS QSEGPEVTHIYSSTGDFTVRVSGWNEVSRSEAQLNITVKQRVRGLTINASRTVVPLNGSV SFSTLLEVGSDVHYSWVLCDRCTPIPGGPTISYTFRSVGTFNIIVTAENEVGSAQDSIFI YVLQFIEGLQVAGGDNGCCFPTNYTLQLQAAVRDGTNISYSWTAQQEGSLITLFGSGKCF SLTSLKASTYYVHLRATNMLGSAAANRTIDFVEPVESLILSASPNPAAVNMSLTLCAELA GGSGVVYTWYLEEGLSWKTSMPSTTHTFAAPGLHLVRVTAENQLGSVNATVEVAIQVPVG GLSIRTSEPDSIFVAAGSTLPFWGQLAEGTNVTWCWTLPGGSKDSQYIAVRFSTAGSFSL QLNASNAVSWVSAMYNLTVEEPIVNLMLWASSKVVAPGQPVHFEILLAAGSALTFRLQVG GSVPEVLPSPHFSHSFFRVGDHLVNVQAENHVSHAQAQVRILVLEAVVGLQVPNCCEPGM ATGTEKNFTARVQRGSRVAYAWYFSLQKVQGDSLVILSGRDVTYTPVAAGLLEIHVRAFN ELGGVNLTLMVEVQDIIQYVTLQSGRCFTNRSARFEAATSPSPRRVTYHWDFGDGTPVQK TEEFWADHYYLRPGDYHVEVNATNLVSFFVAQATVTVQVLACREPEVEVALPLQVLMRRS QRNYLEAHVDLRNCVSYQTEYRWEIYRTASCQRPGRMAQMVLPGVDVSRPQLVVPRLALP VGHYCFVFVVSFGDTPLARSIQANVTVAAERLVPIIEGGSYRVWSDTQDLVLDGSKSYDP NLEDGDQTPLNFHWACVASTQSETGGCVLNFGPRGSSVVTIPLERLEAGVEYTFNLIVWK AGRKEEATNQTVLIRSGRVPIVSLECVSCKAQAVYEVSRSSYVYLEGHCHNCSRGYKQGC WAARTFSNKTLVLNETTTSTGSTGMNLVVRPGALRDGEGYIFTLTVLGHSGEEEGCASIR LSPNRPPLGGSCRLFPLDSVRGLTTKVHFECTGWRDAEDGGAPLVYALLLKRCRQSYCEN FCIYKGSLSTYGAVLPPGFQPLFVVSLAVVVQDQLGAAVVALNRSLTIVLPEPSGNPADL VPWLHSLTASVLPGLLKQADPQHVIEYSLALITVLNEYEQAPDVSEPNVEQQLRAQMRKN ITETLISLRVNTVDDIQQITAALAQCMVSSRELMCRSCLKKMLQKLEGMMRILQAETTEG TLTPTTIADSILNITGDLIHLASLDMQGPQPLELGVEPPSLMVASKAYNLSSALMRILMR SRVLNEEPLTLAGEEIVALGKRSDPLSLLCYGKALGPSCHFSIPEAFSGALSNLSDVVQL IFLVDSNPFPFGYISNYTVSTKVASMAFQTQTGTQIPIEQLAAERAITVKVPNNSDQAAQ SSHNPVGSTIVQPQTSVSAVVTADNSNPQAGLHLRITYTVLNERYLSAEPEPYLAVYLHS VSQPNEYNCSASRRISLEVLEGADHRLYTFFIAPGTGTLDRSYYLNLTSHFHWSALEVSV GLYTSLCQYFSEEMMMWRTEGIVPLEETSPSQAVCLTRHLTAFGASLFVPPSHVQFIFPE PSASINYIVLLTCVICLVTYVVMAMILRKLDQLDVSRVRVIPFCGKGGRFKYEILVKTGW SRGSGTTAHVGIMLYGEDNRSGHRHLDGDRAFHRNSLDIFQIATPHSLGSVWKIRVWHDN KGLSPAWFLQHIIVRDLQSARSTFFLVNDWLSVETEANGGLVEKEVLAANEAALWQFQRL LVAELQRGFFDKHIWLSIWDRPPRSRFTRVQRVTCCVLLLCLFLAANAVWYGVVRDTTYS MGPVSSLISPGVDTVAIGLVSSVVVYPVYLAVLFLFRMSRSKVSGDQNPTPTGQQALDVD SYLDPSVLDSSLLTLSGLTEAFAGQVKNDLFLEDAKSLVCWPSSEGTLSWPDLLSDPSVV SSTLQRLTQGRPGCMLGSEEDGASLVSPSLPAKYLSASDEDLIHQVLADGANNLVPTQDT LLETDLLTSLSSVPGEKTETLILQTVGEERPASMGLSWEQSPVTRLSRTGLVEGFQKRLL PAWCAPLAHGLSLLLVAVAVAVSGWIGASFPPSVSVMWLLSSSSSFLASFLGWEPLKVLL EALYFSLVAKRLHPDEDDTLVESPAVTPVSERVPRVRPPHGFALFLAKEEARKVKRLHDM LKRLLVYMLFLLVTLLANYGDASCHGHAYRLQSAIKQELDSQAFLAITRSDEFWPWMSHV FLPYVHGNQSSPELGPPRLRQVRLQEAFCPDPSSSEHMCSAAGSLSTSDYGIGWQSVVQN GSETWAYSAPDLLGAWYWGYCAVYDSGGYIQELGLSLEESRARLGFLQLHNWLDSRSRAV FVELTRYSPAVGLHAAVTLRLEFPVAGHALAAFSVRPFALRRLSTGLSLPLLTSVCLLLF ALYFSMAEVQTWRKDGCACTARPDTWARCLLVILTAATGLVRLAQLGIADRQWTHFVQDH PRHFTSFDQVAQLGSVARGLAASLLFLLLVKAAQQLRFVRQWSVFGKTLCRALPELMGAT LGLVLLGVAYAQMAILLISSGADTLYNMARAFLVLCPGARVPTLCPSESWYLSPLLCVGL WALRVWGALRLGAILLRWRYHALRGELYRPAWEPQDYEMVELFLRRLRLWMGFSKVKEFR HKVRFEGMDPLPSRSSRGSKSSPVVLPPSSGSEASHPSTSSSQPDGPSASLSRSTLKLEP EPSRLHAVFESLLVQFDRLNQATEDVYQLEQQLQSLQGHGHNGPPSSPSPGCFPGSQPAL PSRLSRASQGLDQTVGPNRVSLWPNNKVHPSST >ENSMUSP00000051035.6 pep:known chromosome:GRCm38:16:17898637:17900023:1 gene:ENSMUSG00000045521.6 transcript:ENSMUST00000055374.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssk2 description:testis-specific serine kinase 2 [Source:MGI Symbol;Acc:MGI:1347559] MDDAAVLRKKGYIVGINLGKGSYAKVKSAYSERLKFNVAVKIIDRKKTPTDFVERFLPRE MDILATVNHRSIIKTYEIFETSDGRIYIVMELGVQGDLLEFIKCRGALHEDVARKMFRQL SSAVKYCHDLDVVHRDLKCENLLLDKDFNIKLSDFGFSKRCLRDGSGRIVLSKTFCGSAA YAAPEVLQGIPYQPKVYDIWSLGVILYIMVCGSMPYDDSDIKKMLRIQKEHRVDFPRSKN LTGECKDLIYRILQPDVNRRLHIDEILSHSWLQPPKPKAMSSASFKREGEGKYRADCKLD TRPGSRPEHRPDHKLATKPQQRMLVTPENEDRMEDRLAETSRAKDHHISGAEVEKAST >ENSMUSP00000024702.3 pep:known chromosome:GRCm38:17:23736186:23740330:-1 gene:ENSMUSG00000023909.3 transcript:ENSMUST00000024702.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr4 description:progestin and adipoQ receptor family member IV [Source:MGI Symbol;Acc:MGI:1923748] MAFLTGPRLLDWASSPPHLQFNKFVLTGYRPASSGSGCLRSLFYLHNELGNIYTHGLALL GFLVLVPMTMPWSQLGKDGWLGGTHCVACLVPPAASVLYHLFMCHQGGSPVYTRLLALDM CGVCLVNTLGALPIIHCTLACRPWLRPAALMGYTALSGVAGWRALTAPSTSARLRAFGWQ AGARLLVFGARGVGLGSGAPGSLPCYLRMDALALLGGLVNVARLPERWGPGRFDYWGNSH QIMHLLSVGSILQLHAGVVPDLLWAAHHACPPD >ENSMUSP00000082177.3 pep:known chromosome:GRCm38:12:100912700:101028983:-1 gene:ENSMUSG00000021182.14 transcript:ENSMUST00000085096.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc88c description:coiled-coil domain containing 88C [Source:MGI Symbol;Acc:MGI:1915589] MDVTVSQLVELFLQSPLVTWVKTFGSFGSGHQDNLTLYMDLVDGIFLNQIMLQIDPRPSN QRINKHVNNDVNLRIQNLSILVRNIKTYYQEVLQQLIVMNLPNVLMIGKDPLSGKSMEEI KKVLLLVLGCAVQCERKEEFIERIKQLDIETQAGIVAHIQEVTHNQENVFDLQWLDLPDV APEELEALSRNMVFHLRRLIDERDECTELIVDLTQERDYLQTQQPPSPGKFSSPDSTPSP TSSLSSEDKQHLAVELADTKARLRRVRQELEEKTEQLADTRHEVDQLVLELQKAKQDNIQ LAADARSARAYRDELDSLREKANRVERLEMDLVRCKEKLHDVDFYKARMEELREDNIILI ETKAMLEEQLTASRARSDKVHELEKENLQLKSKLHDLELDRDADKKQIEKLLEEYMVLEM AQKQSMKESAHLGWELEQLSKNADLSDASRKSFVFELNECASSRILKLEKENQSLQSTIQ GLRDTSLALEESSLKYGELEKENQQLSKKAGSLHLQIEKLQTQLEREKQSNQDLETLSEE LIREKEQLQSGMEALKADRARQIKDLEQEKGHLHQAVWSLRERPQVNSTKDVEKENRALH QAVTEAGSKLSQLELEKQQLHRDLEEAKEKGEQAEALEKELHRLEKENEQLTKEVTSLKA ATEKVEALEHQSQGLELENRSLRKSLDTLQNVSVQLEGLERDKQQLGQENLELRKMVEAM RFTSAKMAQIETENRQLEREKEELRRDVELLKTLSKKSERLELSYQSVSAENLQLQHSLE SSTHKSQALQRELSQLEAERQALRRDLETLQLTHKQLEGAEEDRKALEQEVAQLEKDKKL LEKEARRLWQQVELKDAILDDSAAKLSAAEKESRALDKELARCRDVGSKLKELEKDNRDL TKQVTMHTRTLTTLREDLVLEKLKSQQLSSELDKLSQELEKVGLSKDLLLQEDDGHGDGK GKTESALKTTLAMKEEKIVFLEAQVEEKESLSRQLQIELQMIKKEHEQLRQTQEGGDKAQ NALKRPPGKVTSHQEKEAWEPSHKEATMELLRVKDRAIELERSNAALQAERQLLKEQLQH LETQNVSFSSQILTLQKQSAFLQEHTTTLQTQTAKLQVENSTLSSQNAALSAQYTVLQSQ QAAKEAEHEGLQQQQEQLAAVYEALLQDHKHLGTLYECQSSEYEALIRQHSCLKTLHRNL ELEHKELGERHGDLQQRKAELEELEKVLSTEREALEREQKTNAIATSENQRLRGELDRIS FLHQQLKGEYEELHAHTKELKTSLNNSQLELSRWQVRFDELKEQHQSMDISLTKMDNHCE LLSRLKGNLEEENHHLLSQIQLLSQQNQMLLEQNMESKEQYHEEQKQYIDKLNALRRHKE KLEEKIMDQYKFYDPAPKKKNHWIGAKALVKLIKPKKEGSRERLKSTTDSPPWQLEPSDP ASPSPSQALRSQTENPDNPPSGPNCVEERDTHNGPVGKGPGDLKPKRGSPRGGSVDRTDT STDPAVKSWPSEPGSRTFSTSATTAALSSSTPIPKHLGRTKGCNSDDNLCEPSSEPDGPY HRQQASRPNSLESSRNASSNSSPLSLKGSSDHLHSRCESFSSADLIPSRDPATLSRDGNT SGRGLLGRHEYPPPRNGPVSQETIQKKGAASTHTGVRPHSASPSSEMVTLEEFLEESNRG GSPTHDTPSCRDDLLSDYFRKAHDPPALGGQPGPPARKDGAKMPTSFVAPTIKMSINTSE GQQLKPGHYVKPNLRPSEAEALAGMPSRQVQPPQSLSLGRPRQTTMTQNCHMPVSRSASL SRAFSLASADLLRASGPEACRPESPQKPGGHEAAGARETSTHSLQGSHILARERTPIVGK ADSPSPGQGTRGRPLDTRRFSLAPPKEERLAPLQQSATAPALATGCSSGSNPQIQHFSPT VAPAVRTKSKVPQHSGEVATVAPVRPGLGTSEGDGGPGHGYSEGLLTKSPGRSSDLPPHV KRGPDDFSQGSSSKSTPASPEPGGDPQTVWYEYGCV >ENSMUSP00000068629.3 pep:known chromosome:GRCm38:12:100912700:101028983:-1 gene:ENSMUSG00000021182.14 transcript:ENSMUST00000068411.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc88c description:coiled-coil domain containing 88C [Source:MGI Symbol;Acc:MGI:1915589] MDVTVSQLVELFLQSPLVTWVKTFGSFGSGHQDNLTLYMDLVDGIFLNQIMLQIDPRPSN QRINKHVNNDVNLRIQNLSILVRNIKTYYQEVLQQLIVMNLPNVLMIGKDPLSGKSMEEI KKVLLLVLGCAVQCERKEEFIERIKQLDIETQAGIVAHIQEVTHNQENVFDLQWLDLPDV APEELEALSRNMVFHLRRLIDERDECTELIVDLTQERDYLQTQQPPSPGKFSSPDSTPSP TSSLSSEDKQHLAVELADTKARLRRVRQELEEKTEQLADTRHEVDQLVLELQKAKQDNIQ LAADARSARAYRDELDSLREKANRVERLEMDLVRCKEKLHDVDFYKARMEELREDNIILI ETKAMLEEQLTASRARSDKVHELEKENLQLKSKLHDLELDRDADKKQIEKLLEEYMVLEM AQKQSMKESAHLGWELEQLSKNADLSDASRKSFVFELNECASSRILKLEKENQSLQSTIQ GLRDTSLALEESSLKYGELEKENQQLSKKIEKLQTQLEREKQSNQDLETLSEELIREKEQ LQSGMEALKADRARQIKDLEQEKGHLHQAVWSLRERPQVNSTKDVEKENRALHQAVTEAG SKLSQLELEKQQLHRDLEEAKEKGEQAEALEKELHRLEKENEQLTKEVTSLKAATEKVEA LEHQSQGLELENRSLRKSLDTLQNVSVQLEGLERDKQQLGQENLELRKMVEAMRFTSAKM AQIETENRQLEREKEELRRDVELLKTLSKKSERLELSYQSVSAENLQLQHSLESSTHKSQ ALQRELSQLEAERQALRRDLETLQLTHKQLEGAEEDRKALEQEVAQLEKDKKLLEKEARR LWQQVELKDAILDDSAAKLSAAEKESRALDKELARCRDVGSKLKELEKDNRDLTKQVTMH TRTLTTLREDLVLEKLKSQQLSSELDKLSQELEKVGLSKDLLLQEDDGHGDGKGKTESAL KTTLAMKEEKIVFLEAQVEEKESLSRQLQIELQMIKKEHEQLRQTQEGGDKAQNALKRPP GKVTSHQEKEAWEPSHKEATMELLRVKDRAIELERSNAALQAERQLLKEQLQHLETQNVS FSSQILTLQKQSAFLQEHTTTLQTQTAKLQVENSTLSSQNAALSAQYTVLQSQQAAKEAE HEGLQQQQEQLAAVYEALLQDHKHLGTLYECQSSEYEALIRQHSCLKTLHRNLELEHKEL GERHGDLQQRKAELEELEKVLSTEREALEREQKTNAIATSENQRLRGELDRISFLHQQLK GEYEELHAHTKELKTSLNNSQLELSRWQVRFDELKEQHQSMDISLTKMDNHCELLSRLKG NLEEENHHLLSQIQLLSQQNQMLLEQNMESKEQYHEEQKQYIDKLNALRRHKEKLEEKIM DQYKFYDPAPKKKNHWIGAKALVKLIKPKKEGSRERLKSTTDSPPWQLEPSDPASPSPSQ ALRSQTENPDNPPSGPNCVEERDTHNGPVGKGPGDLKPKRGSPRGGSVDRTDTSTDPAVK SWPSEPGSRTFSTSATTAALSSSTPIPKHLGRTKGCNSDDNLCEPSSEPDGPYHRQQASR PNSLESSRNASSNSSPLSLKGSSDHLHSRCESFSSADLIPSRDPATLSRDGNTSGRGLLG RHEYPPPRNGPVSQETIQKKGAASTHTGVRPHSASPSSEMVTLEEFLEESNRGGSPTHDT PSCRDDLLSDYFRKAHDPPALGGQPGPPARKDGAKMPTSFVAPTIKMSINTSEGQQLKPG HYVKPNLRPSEAEALAGMPSRQVQPPQSLSLGRPRQTTMTQNCHMPVSRSASLSRAFSLA SADLLRASGPEACRPESPQKPGGHEAAGARETSTHSLQGSHILARERTPIVGKADSPSPG QGTRGRPLDTRRFSLAPPKEERLAPLQQSATAPALATGCSSGSNPQIQHFSPTVAPAVRT KSKVPQHSGEVATVAPVRPGLGTSEGDGGPGHGYSEGLLTKSPGRSSDLPPHVKRGPDDF SQGSSSKSTPASPEPGGDPQTVWYEYGCV >ENSMUSP00000125888.2 pep:known chromosome:GRCm38:8:21378560:21379402:1 gene:ENSMUSG00000096295.1 transcript:ENSMUST00000170275.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Defa2 description:defensin, alpha, 2 [Source:MGI Symbol;Acc:MGI:94882] MKTLVLLSALVLLAFQVQADPIQNTDEETNTEEQAGEEDQAVSVSFGDPEGSALHEKSSR DLICYCRKGGCNRGEQVYGTCSGRLLFCCRRRHRH >ENSMUSP00000041022.6 pep:known chromosome:GRCm38:8:25785591:25803975:1 gene:ENSMUSG00000037296.6 transcript:ENSMUST00000038421.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm1 description:LSM1 homolog, U6 small nuclear RNA associated (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914457] MNYMPGTASLIEDIDKKHLVLLRDGRTLIGFLRSIDQFANLVLHQTVERIHVGKKYGDIP RGIFVVRGENVVLLGEIDLEKESDTPLQQVSIEEILEEQRVQQQTRLEAEKLKVQTLKDR GLSIPRADTLDEY >ENSMUSP00000068453.5 pep:known chromosome:GRCm38:18:56432132:56503792:1 gene:ENSMUSG00000001700.9 transcript:ENSMUST00000070166.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd3 description:GRAM domain containing 3 [Source:MGI Symbol;Acc:MGI:1914815] MTELQQEVEDAKPAKVLTKREGKVGPAHSEAENGAEDRRRVSKSPTAQSPTSSVEAESPD QKRSLGLWSKSSFDGSSLLSDKNDCKTESKTDSKTERKKSSSSSQYKANMHFHKLFLDVP TEEPLRQSFTCALQKEILYQGKLFVSENWICFHSKVFGKDTKISIPAFSVTLIKKTKTAL LVPNALIIATVTDRYIFVSLLSRDSTYKLIKSVCGHLENTSVGNSPNPSSAENSFRADRP SSLPLDFNDEFSDLDGVVRQRRQDLEGYSSSGSQTPESENSRDFHVTESQTVLNVTKGET KPPRTDAHGSRAPDGKAKILPAHGQSETIGILHKMESRKCPTLHHILIVYAIIVCALIIS TFYMRYRINTLEERLGTLTSIMDPHSTEQTAPSGLGSQMQLNVEVLCQELTANIVKLEKI QNNLQKLLENGD >ENSMUSP00000026828.5 pep:known chromosome:GRCm38:17:25863698:25868738:-1 gene:ENSMUSG00000025732.5 transcript:ENSMUST00000026828.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam195a description:family with sequence similarity 195, member A [Source:MGI Symbol;Acc:MGI:1915491] MYTITKGPSKLVAQRRTGPTQQQVESRLGELLKCRQPVPPTALPAHLQPSAQTQGPWPLA SSGPRLVFNRVNGRRPLTTSPSLEGTQETYTVAHEENVRFVSEAWQQVERQLDGGPADES GPRPVQYVESTPDPRLQNFVPIDLDEWWAQQFLAKITNCS >ENSMUSP00000046517.7 pep:known chromosome:GRCm38:18:46713205:46728342:-1 gene:ENSMUSG00000033022.7 transcript:ENSMUST00000035804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdo1 description:cysteine dioxygenase 1, cytosolic [Source:MGI Symbol;Acc:MGI:105925] MERTELLKPRTLADLIRILHELFAGDEVNVEEVQAVLEAYESNPAEWALYAKFDQYRYTR NLVDQGNGKFNLMILCWGEGHGSSIHDHTDSHCFLKLLQGNLKETLFDWPDKKSNEMIKK SERTLRENQCAYINDSIGLHRVENVSHTEPAVSLHLYSPPFDTCHAFDQRTGHKNKVTMT FHSKFGIRTPFTTSGSLENN >ENSMUSP00000033991.6 pep:known chromosome:GRCm38:8:33234384:33385527:-1 gene:ENSMUSG00000031583.12 transcript:ENSMUST00000033991.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wrn description:Werner syndrome homolog (human) [Source:MGI Symbol;Acc:MGI:109635] METTSLQRKFPEWMSMQSQRCATEEKACVQKSVLEDNLPFLEFPGSIVYSYEASDCSFLS EDISMRLSDGDVVGFDMEWPPIYKPGKRSRVAVIQLCVSESKCYLFHISSMSVFPQGLKM LLENKSIKKAGVGIEGDQWKLLRDFDVKLESFVELTDVANEKLKCAETWSLNGLVKHVLG KQLLKDKSIRCSNWSNFPLTEDQKLYAATDAYAGLIIYQKLGNLGDTAQVFALNKAEENL PLEMKKQLNSISEEMRDLANRFPVTCRNLETLQRVPVILKSISENLCSLRKVICGPTNTE TRLKPGSSFNLLSSEDSAAAGEKEKQIGKHSTFAKIKEEPWDPELDSLVKQEEVDVFRNQ VKQEKGESENEIEDNLLREDMERTCVIPSISENELQDLEQQAKEEKYNDVSHQLSEHLSP NDDENDSSYIIESDEDLEMEMLKSLENLNSDVVEPTHSTWLEMGTNGRLPPEEEDGHGNE AIKEEQEEEDHLLPEPNAKQINCLKTYFGHSSFKPVQWKVIHSVLEERRDNVVVMATGYG KSLCFQYPPVYTGKIGIVISPLISLMEDQVLQLELSNVPACLLGSAQSKNILGDVKLGKY RVIYITPEFCSGNLDLLQQLDSSIGITLIAVDEAHCISEWGHDFRSSFRMLGSLKTALPL VPVIALSATASSSIREDIISCLNLKDPQITCTGFDRPNLYLEVGRKTGNILQDLKPFLVR KASSAWEFEGPTIIYCPSRKMTEQVTAELGKLNLACRTYHAGMKISERKDVHHRFLRDEI QCVVATVAFGMGINKADIRKVIHYGAPKEMESYYQEIGRAGRDGLQSSCHLLWAPADFNT SRNLLIEIHDEKFRLYKLKMMVKMEKYLHSSQCRRRIILSHFEDKCLQKASLDIMGTEKC CDNCRPRLNHCLTANNSEDASQDFGPQAFQLLSAVDILQEKFGIGIPILFLRGSNSQRLP DKYRGHRLFGAGKEQAESWWKTLSHHLIAEGFLVEVPKENKYIKTCSLTKKGRKWLGEAS SQSPPSLLLQANEEMFPRKVLLPSSNPVSPETTQHSSNQNPAGLTTKQSNLERTHSYKVP EKVSSGTNIPKKSAVMPSPGTSSSPLEPAISAQELDARTGLYARLVEARQKHANKMDVPP AILATNKVLLDMAKMRPTTVENMKQIDGVSEGKAALLAPLLEVIKHFCQVTSVQTDLLSS AKPHKEQEKSQEMEKKDCSLPQSVAVTYTLFQEKKMPLHSIAENRLLPLTAAGMHLAQAV KAGYPLDMERAGLTPETWKIIMDVIRNPPINSDMYKVKLIRMLVPENLDTYLIHMAIEIL QSGSDSRTQPPCDSSRKRRFPSSAESCESCKESKEAVTETKASSSESKRKLPEWFAKGNV PSADTGSSSSMAKTKKKGLFS >ENSMUSP00000033990.5 pep:known chromosome:GRCm38:8:33234384:33385527:-1 gene:ENSMUSG00000031583.12 transcript:ENSMUST00000033990.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wrn description:Werner syndrome homolog (human) [Source:MGI Symbol;Acc:MGI:109635] METTSLQRKFPEWMSMQSQRCATEEKACVQKSVLEDNLPFLEFPGSIVYSYEASDCSFLS EDISMRLSDGDVVGFDMEWPPIYKPGKRSRVAVIQLCVSESKCYLFHISSMSVFPQGLKM LLENKSIKKAGVGIEGDQWKLLRDFDVKLESFVELTDVANEKLKCAETWSLNGLVKHVLG KQLLKDKSIRCSNWSNFPLTEDQKLYAATDAYAGLIIYQKLGNLGDTAQVFALNKAEENL PLEMKKQLNSISEEMRDLANRFPVTCRNLETLQRVPVILKSISENLCSLRKVICGPTNTE TRLKPGSSFNLLSSEDSAAAGEKEKQIGKHSTFAKIKEEPWDPELDSLVKQEEVDVFRNQ VKQEKGESENEIEDNLLREDMERTCVIPSISENELQDLEQQAKEEKYNDVSHQLSEHLSP NDDENDSSYIIESDEDLEMEMLKSLENLNSDVVEPTHSTWLEMGTNGRLPPEEEDGHGNE AIKEEQEEEDHLLPEPNAKQINCLKTYFGHSSFKPVQWKVIHSVLEERRDNVVVMATGYG KSLCFQYPPVYTGKIGIVISPLISLMEDQVLQLELSNVPACLLGSAQSKNILGDVKLGKY RVIYITPEFCSGNLDLLQQLDSSIGITLIAVDEAHCISEWGHDFRSSFRMLGSLKTALPL VPVIALSATASSSIREDIISCLNLKDPQITCTGFDRPNLYLEVGRKTGNILQDLKPFLVR KASSAWEFEGPTIIYCPSRKMTEQVTAELGKLNLACRTYHAGMKISERKDVHHRFLRDEI QCVVATVAFGMGINKADIRKVIHYGAPKEMESYYQEIGRAGRDGLQSSCHLLWAPADFNT SRNLLIEIHDEKFRLYKLKMMVKMEKYLHSSQCRRRIILSHFEDKCLQKASLDIMGTEKC CDNCRPRLNHCLTANNSEDASQDFGPQAFQLLSAVDILQEKFGIGIPILFLRGSNSQRLP DKYRGHRLFGAGKEQAESWWKTLSHHLIAEGFLVEVPKENKYIKTCSLTKKGRKWLGEAS SQSPPSLLLQANEEMFPRKVLLPSSNPVSPETTQHSSNQNPAGLTTKQSNLERTHSYKVP EKVSSGTNIPKKSAVMPSPGTSSSPLEPAISAQELDARTGLYARLVEARQKHANKMDVPP AILATNKVLLDMAKMRPTTVENMKQIDGVSEGKAALLAPLLEVIKHFCQVTSVQTDLLSS AKPHKEQEKSQEMEKKDCSLPQSVAVTYTLFQEKKMPLHSIAENRLLPLTAAGMHLAQAV KAGYPLDMERAGLTPETWKIIMDVIRNPPINSDMYKVKLIRMLVPENLDTYLIHMAIEIL QSGSDSRTQPPCDSSRKRRFPSSAESCESCKESKEAVTETKASSSESKRKLPEWFAKGNV PSADTGSSSSMAKTKKKGLFS >ENSMUSP00000060462.8 pep:known chromosome:GRCm38:16:35022421:35109175:1 gene:ENSMUSG00000035376.9 transcript:ENSMUST00000061156.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacd2 description:3-hydroxyacyl-CoA dehydratase 2 [Source:MGI Symbol;Acc:MGI:1918007] MAAAAATAATKGNGGGSGRVGAGDSSGARKKKGPGPVATAYLVIYNVVMTAGWLVIAVGL VRAYLAKGSYHSLYYSIERPLKFFQTGALLEILHCAIGIVPSSVVLTSFQVMSRVFLIWA VTHSVKEVQSEDSVLLFVIAWTITEIIRYSFYTFSLLNHLPYIIKWARYTLFIVLYPMGV TGELLTIYAALPFVRQAGLYSISLPNKYNFSFDYHAFLILIMISYIPLFPQLYFHMIHQR RKVLSHTEEHKKFE >ENSMUSP00000033961.5 pep:known chromosome:GRCm38:8:25017211:25023259:1 gene:ENSMUSG00000031556.5 transcript:ENSMUST00000033961.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm2d2 description:TM2 domain containing 2 [Source:MGI Symbol;Acc:MGI:1916992] MVLGGCPVSYLLLCGQAALLLGNLLLLHCVSRSHSFNATAELDLTPSGAAHLEGPAASSW EYSDPNSPVILCSYLPDEFVDCDAPVDHVGNATASQELGYGCLKFGGQAYSDVQHTAVQC RALEGIECASPRTFLRENKPCIKYTGHYFITTLLYSFFLGCFGVDRFCLGHTGTAVGKLL TLGGLGIWWFVDLILLITGGLMPSDGSNWCTVY >ENSMUSP00000094420.3 pep:known chromosome:GRCm38:8:40752208:40756175:1 gene:ENSMUSG00000071937.3 transcript:ENSMUST00000096663.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam25 description:a disintegrin and metallopeptidase domain 25 (testase 2) [Source:MGI Symbol;Acc:MGI:1345157] MQTRQRASSFAATEDNIAMDKAVVYTRIPHLYVWLEILNILSSWPLTGYAQHTSLPEVVI PLRVTGNRPMWAMGWLTYSLHFGGQKHFIHIKAKKFLVSRLFSVFTYTKQGALHKDQPYV QNDCYYHGHMDGDPESMVAITTCYGGFQGILQINGTVYEIKPKNLSSTFEHLVQKMDSEE TELLPMRCALTEEIARQMKLQQNENPTLMQSHYEGWWTHKSFLDLALVVERERIRYHNNN TSRVLVEVFTIINIINNIYETLDVELVLLGVEMWNERNHVQVRSIEELLDEFCMWKARSL NFRIPNDIAHIFVNHEFGIYLGLAYIGSVCVPSHNCGVDRLLGGNLFYFGRIIAHEMGHN LGMEHDSSSCTCGRKICLMAPADNGIPKFSNCSYSYYWATYATAKCMRKEKKSKGILRGK LCGDGVVDDGEQCDCGSAKSCADDPCCKPSCTLKDGAACAFGLCCLYCQIMPAGTVCRQE VNECDLPEWCNGHSHKCPNDVYLLDGSPCRDGGYCYEKRCNNRDEQCKQIFGKEARSADH SCYRELNTQGDRFGNCGVIRDAYLRCHDPDILCGRVQCENVAHIPFLRDHSTVHWTHLNG VTCWGTDYHFGMTIPDIGIVKDGTDCGPEHVCINKKCVSKSIWRSQCSPKTCNMKGVCNN LHHCHCNLGWDPPHCLKSGLGGSIDSGPPNYTENYTEKKHKKSIGLVILFWILFACFSVL FIVFLFFLRSYVELPMSEEPKVPTPENKEDTNEVMNTETE >ENSMUSP00000091181.5 pep:known chromosome:GRCm38:8:67494867:67502576:1 gene:ENSMUSG00000051147.9 transcript:ENSMUST00000093470.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat2 description:N-acetyltransferase 2 (arylamine N-acetyltransferase) [Source:MGI Symbol;Acc:MGI:109201] MDIEAYFERIGYQSTRSKLDLKTLTEILQHQIRAIPFENLNIHCGESMELSLEAIFDQIV RKKRGGWCLQVNHLLYWALTKLGFETTMLGGYVFNTPANKYSSGMIHLLVQVTISGKDYI VDAGFGRSYQMWEPLELTSGKDQPQVPAIFRLTEENGTWYLDQIRREQYVPNQEFINSDL LEKNKYRKIYSFTLEPRTIEDFESMNTYLQTSPASVFTSKSFCSLQTPEGVHCLVGSTLT YRRFSYKDNVDLVEFKSLTEEEIEDVLRTIFGVSLERKLVPKHGDRFFTI >ENSMUSP00000130065.1 pep:known chromosome:GRCm38:8:67494948:67502580:1 gene:ENSMUSG00000051147.9 transcript:ENSMUST00000163856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat2 description:N-acetyltransferase 2 (arylamine N-acetyltransferase) [Source:MGI Symbol;Acc:MGI:109201] MDIEAYFERIGYQSTRSKLDLKTLTEILQHQIRAIPFENLNIHCGESMELSLEAIFDQIV RKKRGGWCLQVNHLLYWALTKLGFETTMLGGYVFNTPANKYSSGMIHLLVQVTISGKDYI VDAGFGRSYQMWEPLELTSGKDQPQVPAIFRLTEENGTWYLDQIRREQYVPNQEFINSDL LEKNKYRKIYSFTLEPRTIEDFESMNTYLQTSPASVFTSKSFCSLQTPEGVHCLVGSTLT YRRFSYKDNVDLVEFKSLTEEEIEDVLRTIFGVSLERKLVPKHGDRFFTI >ENSMUSP00000070865.4 pep:known chromosome:GRCm38:14:32408203:32465909:-1 gene:ENSMUSG00000021919.7 transcript:ENSMUST00000070125.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chat description:choline acetyltransferase [Source:MGI Symbol;Acc:MGI:88392] MPILEKVPPKMPVQASSCEEVLDLPKLPVPPLQQTLATYLQCMQHLVPEEQFRKSQAIVK RFGAPGGLGETLQEKLLERQEKTANWVSEYWLNDMYLNNRLALPVNSSPAVIFARQHFQD TNDQLRFAASLISGVLSYKALLDSQSIPTDWAKGQLSGQPLCMKQYYRLFSSYRLPGHTQ DTLVAQKSSIMPEPEHVIVACCNQFFVLDVVINFRRLSEGDLFTQLRKIVKMASNEDERL PPIGLLTSDGRSEWAKARTVLLKDSTNRDSLDMIERCICLVCLDGPGTGDLSDTHRALQL LHGGGCSLNGANRWYDKSLQFVVGRDGTCGVVCEHSPFDGIVLVQCTEHLLKHMMTGNKK LVRADSVSELPAPRRLRWKCSPETQGHLASSAEKLQRIVKNLDFIVYKFDNYGKTFIKKQ KCSPDGFIQVALQLAYYRLYQRLVPTYESASIRRFQEGRVDNIRSATPEALAFVQAMTDH KAAVLASEKLQLLQRAIQAQTEYTVMAITGMAIDNHLLALRELARDLCKEPPEMFMDETY LMSNRFILSTSQVPTTMEMFCCYGPVVPNGYGACYNPQPEAITFCISSFHGCKETSSVEF AEAVGASLVDMRDLCSSRQPAEGKPPTAKERARGPTKPSNLDYSH >ENSMUSP00000132971.1 pep:known chromosome:GRCm38:8:43183119:43193881:1 gene:ENSMUSG00000091490.2 transcript:ENSMUST00000163869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Triml2 description:tripartite motif family-like 2 [Source:MGI Symbol;Acc:MGI:3642989] MSQAPKPQLPGNTEDPNCGTHPEPSQFFSDDDQVIVCSKCFQSPEHKQHGAYGIQEAAEY YRTLLQETLVTLREKRDAATSLLAEERERMVTIQEEEQRFKEMIEAEYKMRFQLLTEEEE LAAYKVDLNLNGPNPDQLMKCGTELMQKSQEMLQRLSHLGRENMEKLKASEVRLGEHLRS LQTMITDLEKNCGESAVALLQNAKCYLKRNESILLQSLEPAQITDLSFCLIIETSRVLLR LQRHITLDPGTAHPSLVLSEDLRSVGFRETPKTGPGTTRRLDFSASVLGAESFTTGRHYW EVAVGQATQWQVGICDCTERKDNIPGASGDKVLLMGSMMGTDCTLWVFPPLRKVCLRNQM YKVGVFLDCECGQVSFYNVTEQSLIYSFSDLTFRGAIKPIFSLCIPNGDMSSDSLTVCLP QTHP >ENSMUSP00000097514.2 pep:known chromosome:GRCm38:2:85162334:85196699:-1 gene:ENSMUSG00000075224.8 transcript:ENSMUST00000099930.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc55 description:leucine rich repeat containing 55 [Source:MGI Symbol;Acc:MGI:2685197] MGSLQHCCCQLPKMGDTWAQLPWPGPPHSALLLVFFLLAAGVMHSDAGTSCPVLCTCRNQ VVDCSNQRLFSVPPDLPMDTRNLSLAHNRIAAVPPGYLTCYMELRVLDLRNNSLMELPPG LFLHAKRLAHLDLSYNNLSHVPADMFREAHGLVHIDLSHNPWLRRVHPQAFQGLVHLRDL DLSYGGLAFLSLEALEGLPGLVTLQIGGNPWVCGCTMEPLLKWLRNRIQRCTADSQLAEC RGPPEVEGAPLFSLTEESFKACHLTLTLDDYLFIAFVGFVVSIASVATNFLLGITANCCH RWSKANEEEEI >ENSMUSP00000107228.1 pep:known chromosome:GRCm38:2:85188071:85196699:-1 gene:ENSMUSG00000075224.8 transcript:ENSMUST00000111601.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc55 description:leucine rich repeat containing 55 [Source:MGI Symbol;Acc:MGI:2685197] MGSLQHCCCQLPKMGDTWAQLPWPGPPHSALLLVFFLLAAGVMHSDAGTSCPVLCTCRNQ VVDCSNQRLFSVPPDLPMDTRNLSLAHNRIAAVPPGYLTCYMELRVLDLRNNSLMELPPG LFLHAKRLAHLDLSYNNLSHVPADMFREAHGLVHIDLSHNPWLRRVHPQAFQGLVHLRDL DLSYGGLAFLSLEALEGLPGLVTLQIGGNPWVCGCTMEPLLKWLRNRIQRCTADSQLAEC RGPPEVEGAPLFSLTEESFKACHLTLTLDDYLFIAFVGFVVSIASVATNFLLGITANCCH RWSKANEEEEI >ENSMUSP00000025428.5 pep:known chromosome:GRCm38:18:68944633:68950141:-1 gene:ENSMUSG00000024546.5 transcript:ENSMUST00000025428.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930546C10Rik description:RIKEN cDNA 4930546C10 gene [Source:MGI Symbol;Acc:MGI:1926181] MMLVYSMEDEQEIRPSPRSLSTKEVPIKCSHLHSVFLSDWKCGAQRLEPRTGQVGQTLPA SPRDSPVSASVEPGLKHVPPHLSFLQGGGIHLFMNPSQLEDPGDAKMFWGSRESSLNLSP T >ENSMUSP00000111568.3 pep:known scaffold:GRCm38:JH584293.1:103128:104629:1 gene:ENSMUSG00000096506.1 transcript:ENSMUST00000115904.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CR974586.5 VTPLLAFSLLVLWTFPAPTLGGANDAEDCCLSVTQRPIPGNIVKAFRYLLNEDGCRVPAV VFTTLRGYQLCAPPDQLWVDRIIRRLKTSSAKASLTLLSPASTL >ENSMUSP00000101087.3 pep:known chromosome:GRCm38:10:62454843:62486805:-1 gene:ENSMUSG00000020078.15 transcript:ENSMUST00000105447.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps26a description:vacuolar protein sorting 26 homolog A (yeast) [Source:MGI Symbol;Acc:MGI:1353654] MSFLGGFFGPICEIDVALNDGETRKMAEMKTEDGKVEKHYLFYDGESVSGKVNLAFKQPG KRLEHQGIRIEFVGQIELFNDKSNTHEFVNLVKELALPGELTQSRSYDFEFMQVEKPYES YIGANVRLRYFLKVTIVRRLTDLVKEYDLIVHQLATYPDVNNSIKMEVGIEDCLHIEFEY NKSKYHLKDVIVGKIYFLLVRIKIQHMELQLIKKEITGIGPSTTTETETIAKYEIMDGAP VKGESIPIRLFLAGYDPTPTMRDVNKKFSVRYFLNLVLVDEEDRRYFKQQEIILWRKAPE KLRKQRTNFHQRFESPDSQASAEQPEM >ENSMUSP00000090130.3 pep:known chromosome:GRCm38:10:62454843:62486598:-1 gene:ENSMUSG00000020078.15 transcript:ENSMUST00000092473.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps26a description:vacuolar protein sorting 26 homolog A (yeast) [Source:MGI Symbol;Acc:MGI:1353654] MSEPLPAFLDRLWGPWLGTRSPPSRSSAASPSKSFLGGFFGPICEIDVALNDGETRKMAE MKTEDGKVEKHYLFYDGESVSGKVNLAFKQPGKRLEHQGIRIEFVGQIELFNDKSNTHEF VNLVKELALPGELTQSRSYDFEFMQVEKPYESYIGANVRLRYFLKVTIVRRLTDLVKEYD LIVHQLATYPDVNNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIVGKIYFLLVRIKIQHME LQLIKKEITGIGPSTTTETETIAKYEIMDGAPVKGESIPIRLFLAGYDPTPTMRDVNKKF SVRYFLNLVLVDEEDRRYFKQQEIILWRKAPEKLRKQRTNFHQRFESPDSQASAEQPEM >ENSMUSP00000057392.6 pep:known chromosome:GRCm38:18:9615524:9619478:-1 gene:ENSMUSG00000050996.6 transcript:ENSMUST00000062769.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn1 description:centrin 1 [Source:MGI Symbol;Acc:MGI:1347086] MASTFRKSNVASTSYKRKVGPKPELTEDQKQEVREAFDLFDSDGSGTIDVKELKVAMRAL GFEPRKEEMKKMISEVDKEATGKISFNDFLAVMTQKMAEKDTKEEILKAFRLFDDDETGK ISFKNLKRVANELGESLTDEELQEMIDEADRDGDGEVNEEEFLKIMKKTNLY >ENSMUSP00000035684.3 pep:known chromosome:GRCm38:19:10474257:10482897:-1 gene:ENSMUSG00000035179.3 transcript:ENSMUST00000038842.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r32 description:protein phosphatase 1, regulatory subunit 32 [Source:MGI Symbol;Acc:MGI:1915002] MMGKLPLGVVSPYVKMSSGGCSDPLKFYATSYCTAYGREEFKPRLGSHVGTGYKSNYRPL VSYQPHLDTLDNPAIGQQIRDTSKSVTSQSYSPLEVPDGKQPLPWNLHQTTSSYGREKLN PGPHSKEVRKVHFDTQDHGPQTITGLEPKEVPLIHQQQGKGSTEWENSHYGPRFMTSEYN SKYIKESPNHPDLLLKKTIGSKEETGFTEESTKNPIVFQPPSQAFPGDPVLHPGRSITKS DYLPVTHPQGSDFLPVLSRGSDRDTGFSRVNERTLNPRVPTPAPQSASMSHRSYQPPQRM QQTNVALLGRESVGNKEPTGFTLNNPSYVRSSYEQDRDQRYLTTYNQGYFENIPKGLDRE GWTRGGIQPQKAGAYALSELNNHTLMDSTPNPTETLRHLHPHVGRTLASVDPFYRDMPHS SRYPASS >ENSMUSP00000033933.5 pep:known chromosome:GRCm38:8:34154563:34170845:1 gene:ENSMUSG00000031532.5 transcript:ENSMUST00000033933.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saraf description:store-operated calcium entry-associated regulatory factor [Source:MGI Symbol;Acc:MGI:1915137] MVGSCGRCAAAGRLPQRVSGHRAPSSPSAMAVAAVGRPRALRCPLLLLLSLLLVAGPALG WNDPDRILLRDVKALTLYSDRYTTSRRLDPIPQLKCVGGTAGCEAYTPRVIQCQNKGWDG YDVQWECKTDLDIAYKFGKTVVSCEGYESSEDQYVLRGSCGLEYNLDYTELGLKKLKESG KHQGFSDYYHKLYSSDSCGFITIAVLFVLAFAVYKLFLSDGQGSPPPYSEHPPYSEHSQR FASAAGAPPPGFKSEFTGPQNTGYGASSGFGSAFGGQGYGSSGPGFWSGLGAGGLLGYLF GSNRAATPFSDSWYHPAYPPSHSGAWNSRAYSPLGGGAGSYCASSNADSRTRTASGYGGT RRR >ENSMUSP00000055094.5 pep:known chromosome:GRCm38:18:61953075:62015715:1 gene:ENSMUSG00000045629.7 transcript:ENSMUST00000051720.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3tc2 description:SH3 domain and tetratricopeptide repeats 2 [Source:MGI Symbol;Acc:MGI:2444417] MGGCFCIPGERSLPWGPGKEGSSKDPPGLAEDTSSLENKRKCFLPQNMTQDLVLSFCVKS RSRRCVNAALQEAARRRLWALENEAQEVHALFKDLSARLVSVQSQKDQFLITFKTLEEIW KFSTYLNLGYVSICLEHLFFDHTYWLNSRLVDDTEIQVSVDDNHLENIYLGLLLQEGHFF CRAVCSVAQPADKEGEYLTLCKNELISVLSGGESECEAMSLVTGQRGLVPMSALEPLPVP FHQWFLKNHPGICGLPRKRDWTGSGQIGRGRCKALMDYEQEERDELCFLQGESIDVIGFV IPGLQWFIGKSVSSGEVGFVPTRSIDLDSCSPMSKNSAFFSDEERSSLWSPGSERKAECS GFLCSLAHTDITSIYRLSEFEAIQNLQNDLSASQPEGFREARSGGTWMERQTIGSRRSSG SGDSSPEEDELISASSDSYHLPEPEDLDDPELFMDLSTSLEEDDVEHFAPILAFLDHEGY TDHFKSLYDFSFSFLTSSFYSFSEEDELVAYLETSRKWAKMSHMTWAHARLCFLLGRLSI RKTKLSQARVYFEEAIRVLDGAFEDLSLVAALYINLAAIYLRQRLRHKGPTLLEKAGALL ACLPDHEFSTKNELDVVTYVLRQGIVVGSGLLEARSCFLAIRLLLSLGRHEEVVPFAERL QLLSGHPPASEATATMLSSLYDKKYLPHLAVASVQKRGPQSARGMSLSIWQAYLVLQNAT KILGVPSSNWCEVSALACPTLRQALAACEELSDQDIQRTLCLILSKMYLQHQSPDGSVHY LSQAHVLGKLLGEEEAFESSLCLAWAYLLGRQTEKALEILEPLLCSLRETECVTQRGVVH NLLGLAFEDEGRTSRAAKSYLRALIRAREMGNIRNQAVSMANLGHLTLKSCMQQSARGYL LQAVRLYSELQASKETDMELVQVLLWLGQASVSGHQLVHSRLCYEMALLFGLRHQHLSSQ LQVTKSLCHFYSSVSPNPDACITYHEHWLALAQQLRDREMEGQLLESLGQLYRNLNTSRS LRRSLACIKESLRIFVDLGERDKAAEAWLGAGRLHYLMQEDELVELYLQEAIQTALRSEE PSLALKLYEEAGDVFFNGTRHRHRAVEYYRAGAVPLARRMKALRTELRIFNKLTELQISL EGYEKALEFATLAARLSVLTGDQKQELVAFHRLATVYFSLNMYEMAEDCYLKTLSLCPPW LQSPKEALYYAKVYCRLGRLTFYQLKDAHDATEYFLLALAAAVLMGDEELQNTIKNRLDS ICQSPLWHSNPFGCSSERARWLSGGSLAL >ENSMUSP00000070560.4 pep:known chromosome:GRCm38:8:64014770:64205993:-1 gene:ENSMUSG00000053626.4 transcript:ENSMUST00000066166.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tll1 description:tolloid-like [Source:MGI Symbol;Acc:MGI:106923] MGLQALSPRMLLWLVVSGIVFSRVLWVCAGLDYDYTFDGNEEDKTEPIDYKDPCKAAVFW GDIALDDEDLNIFQIDRTIDLTQSPFGKLGHITGGFGDHGMPKKRGALYQLIERIRRIGS GLEQNNTMKGKAPPKLSEQSEKNRVPRAATSRTERIWPGGVIPYVIGGNFTGSQRAMFKQ AMRHWEKHTCVTFTERSDEESYIVFTYRPCGCCSYVGRRGNGPQAISIGKNCDKFGIVVH ELGHVIGFWHEHTRPDRDNHVTIIRENIQPGQEYNFLKMEPGEVNSLGERYDFDSIMHYA RNTFSRGMFLDTILPSRDDNGIRPAIGQRTRLSKGDIAQARKLYRCPACGETLQESSGNL SSPGFPNGYPSYTHCIWRVSVTPGEKIVLNFTTMDLYKSSLCWYDYIEVRDGYWRKSPLL GRFCGDKVAGVLTSTDSRMWIEFRSSSNWVGKGFAAVYEAICGGEIRKNEGQIQSPNYPD DYRPMKECVWKIMVSEGYHVGLTFQAFEIERHDSCAYDHLEVRDGASENSPLIGRFCGYD KPEDIRSTSNTLWMKFVSDGTVNKAGFAANFFKEEDECAKPDRGGCEQRCLNTLGSYQCA CEPGYELGPDRRSCEAACGGLLTKLNGTITTPGWPKEYPPNKNCVWQVIAPSQYRISVKF EFFELEGNEVCKYDYVEIWSGLSSESKLHGKFCGADIPEVMTSHFNNMRIEFKSDNTVSK KGFKAHFFSDKDECSKDNGGCQHECVNTMGSYTCQCRNGFVLHENKHDCKEAECEQKIHS PSGLITSPNWPDKYPSRKECTWVISAIPGHRITLAFNEFEVEQHQECAYDHLEIFDGETE KSPILGRLCGSKIPDPLMATGNEMFIRFISDASVQRKGFQATHSTECGGRLKAESKPRDL YSHAQFGDNNYPGQLDCEWLLVSERGSRLELSFQTFEVEEEADCGYDYVEVFDGLSSKAV GLGRFCGSGPPEEIYSIGDVALIHFHTDDTINKKGFYIRYKSIRYPETMHAKN >ENSMUSP00000110011.3 pep:known chromosome:GRCm38:16:57302199:57606825:-1 gene:ENSMUSG00000022748.7 transcript:ENSMUST00000114371.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmss1 description:cms small ribosomal subunit 1 [Source:MGI Symbol;Acc:MGI:1913747] MADDLGDEWWENQPAAASSPEQSGGEEGGDTEMTQQDTAPVPEKTQQPTECFLTQTKEPK AEDSRKTRKWKKKKISDILAKSEPKPGTPEDLQKLIRDHYSSSRSVIELEELHLPDSCFL KANDLTHSLSSYLKEICPKWVKLRKTHNEKKSVLMLILCSSAVRALELIRSLTAFKGDAK VMKLFAKHIKVQEQVKLLEKRVIHLGVGTPGRIKELVKQDGLHLNPLKFLVFDWNWRDQK LRRMMDIPEIRKEVFELLDMGVFSLCKSDSLKLGLF >ENSMUSP00000022368.2 pep:known chromosome:GRCm38:14:20836662:20843388:1 gene:ENSMUSG00000021822.2 transcript:ENSMUST00000022368.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plau description:plasminogen activator, urokinase [Source:MGI Symbol;Acc:MGI:97611] MKVWLASLFLCALVVKNSEGGSVLGAPDESNCGCQNGGVCVSYKYFSRIRRCSCPRKFQG EHCEIDASKTCYHGNGDSYRGKANTDTKGRPCLAWNAPAVLQKPYNAHRPDAISLGLGKH NYCRNPDNQKRPWCYVQIGLRQFVQECMVHDCSLSKKPSSSVDQQGFQCGQKALRPRFKI VGGEFTEVENQPWFAAIYQKNKGGSPPSFKCGGSLISPCWVASAAHCFIQLPKKENYVVY LGQSKESSYNPGEMKFEVEQLILHEYYREDSLAYHNDIALLKIRTSTGQCAQPSRSIQTI CLPPRFTDAPFGSDCEITGFGKESESDYLYPKNLKMSVVKLVSHEQCMQPHYYGSEINYK MLCAADPEWKTDSCKGDSGGPLICNIEGRPTLSGIVSWGRGCAEKNKPGVYTRVSHFLDW IQSHIGEEKGLAF >ENSMUSP00000095555.2 pep:known chromosome:GRCm38:7:142228795:142229971:-1 gene:ENSMUSG00000073785.2 transcript:ENSMUST00000097942.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap5-5 description:keratin associated protein 5-5 [Source:MGI Symbol;Acc:MGI:2149673] MTCCGCSGGCGSSCGGCGCSGGCGSSCGGCGSSCCCKPVCCCKPVCCCVPACSCSSCGGC KGGCGSCGGCKGSCGSCGGCKGGCGSCGGCGSCGGCKPSCCQSSCCKPCCCQSSCCKPCC SSGCGSSCCQSSCCKPCCCQSSCCKPCCSSGCGSSCCQSSCCKPCCCQSSCCKPCCCQSS CCKPCCCQSSCCKPCCCQSSCCKPCCCQSSCCKPCCCQSSCCKPCCCQSSCCAPVCCQCK I >ENSMUSP00000071457.4 pep:known chromosome:GRCm38:13:61000278:61005916:-1 gene:ENSMUSG00000055298.5 transcript:ENSMUST00000071526.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsj description:cathepsin J [Source:MGI Symbol;Acc:MGI:1349426] MTPTVLLLILCFGVASGAQAHDPKLDAEWKDWKTKYAKSYSPEEALRRAVWEENMRMIKL HNKENSLGKNNFTMKMNKFGDQTSEEFRKSIDNIPIPAAMTDPHAQNHVSIGLPDYKDWR EEGYVTPVRNQGKCGSCWAFAAAGAIEGQMFWKTGNLTPLSVQNLLDCSKTVGNKGCQSG TAHQAFEYVLKNKGLEAEATYPYEGKDGPCRYRSENASANITDYVNLPPNELYLWVAVAS IGPVSAAIDASHDSFRFYNGGIYYEPNCSSYFVNHAVLVVGYGSEGDVKDGNNYWLIKNS WGEEWGMNGYMQIAKDHNNHCGIASLASYPNIF >ENSMUSP00000093266.3 pep:known chromosome:GRCm38:17:23556769:23564226:-1 gene:ENSMUSG00000071256.3 transcript:ENSMUST00000095606.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp213 description:zinc finger protein 213 [Source:MGI Symbol;Acc:MGI:3053094] MASLQELQDPAPGEEEGLMIVKVEDCSWEQEPVQPVDSRDSEACRQRFRQFCFRDAGGPH EAFSQLWELCCRWLRPELHSKEQILELLVLEQFLAVLPGEIQAQLQRQHLGSGEEAVALV EDIQNQSLKAWPQDELSEAEPKAAVQGLQATGSPQKTGVQKQLPALQGQRSHAQLPVLKE RQTGEVLDGCFDSAVDLPGRPELGRISPFQKSGSLGDIPFYCSREEWSSLDPAQRNLFWN IKRKNSRDAALGLRPKSQKPPAEEAATALLGQTEVPMSWNPEEAETEVWESENPMRTALD PLVGARRGRPPTHRRQFQNLLAEKPHSCAQCGKRFRWGSDLARHQRTHTGEKPHKCPECD KSFRSSSDLVRHQGVHTGEKPFSCSECGKSFSRSAYLADHQRIHTGEKPFSCSECGKSFA LRSYLLDHRRVHTGERPFGCGECDKSFKQRAHLIAHQSLHSKMAQPVG >ENSMUSP00000107466.2 pep:known chromosome:GRCm38:14:45351473:45373585:1 gene:ENSMUSG00000053205.8 transcript:ENSMUST00000111835.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Styx description:serine/threonine/tyrosine interaction protein [Source:MGI Symbol;Acc:MGI:1891150] MEDVKLEFPSLPQCKDDAEEWTYPMRREMQEVLPGLFLGPYSSAMKSKLPILQKHGITHI ICIRQNIEANFIKPNFQQLFRYLVLDIADNPVENIIRFFPMTKEFIDGSLQNGGKVLVHG NAGISRSAAFVIAYIMETFGMKYRDAFAYVQERRFCINPNAGFVHQLQEYEAIYLAKLTI QMMSPLQIERSLAVHSGTTGSVKRTHEEDDDFGNMQVATAQNG >ENSMUSP00000042691.7 pep:known chromosome:GRCm38:10:62580248:62602298:-1 gene:ENSMUSG00000020075.7 transcript:ENSMUST00000045866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx21 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 21 [Source:MGI Symbol;Acc:MGI:1860494] MPGKLRSGAKLGSDGAEESMETLPKPSEKKTRKEKTKSKTEEATEGMEEAVSSKAKKTNK KGPSEDDVDPPKSRKAKKQEEEPQDDTASTSKTSKKKKEPLEKQADSETKEIITEEPSEE EADMPKPKKMKKGKEANGDAGEKSPKLKNGLSQPSEEEADIPKPKKMKKGKEANGDAGEK SPKLKNGLSQPSEEEVDIPKPKKMKKGKEASGDAGEKSPRLKDGLSQPSEPKSNSSDAPG EESSSETEKEIPVEQKEGAFSNFPISEETVKLLKARGVNFLFPIQAKTFHHVYSGKDLIA QARTGTGKTFSFAIPLIEKLQGGLQERKRGRAPQVLVLAPTRELANQVSKDFSDITKKLS VACFYGGTPYGGQIERMRSGIDILVGTPGRIKDHLQNGKLDLTKLKHVVLDEVDQMLDMG FADQVEEILCVAYKKDSEDNPQTLLFSATCPHWVFNVAKKYMKSTYEQVDLIGKKTQKAA ITVEHLAIKCHWTERAAVIGDVIRVYSGHQGRTIIFCETKKDAQELSQNTCIKQDAQSLH GDIPQKQREITLKGFRNGNFGVLVATNVAARGLDIPEVDLVVQSCPPKDVESYIHRSGRT GRAGRTGVCICFYQNKEEYQLAQVEQKAGIKFKRIGVPSATEIIKASSKDAIRLLDSVPP TAISHFKQSAEKLIEEKGAVEALAAALAHISGATSVDQRSLINSQAGFVTMILRCSIEMP NISYAWKELKEQLGESIDAKVKGMVFLKGKLGVCFDVRTEAVTEIQEKWHDSRRWQLTVA TEQPELEGPPDGYRGRMGQRDGSRGAFRGQRGGSRNFRGQGQRGGSRNFRGQRPGGGNRG QKRSFSKAFGQ >ENSMUSP00000003655.7 pep:known chromosome:GRCm38:19:46707443:46741095:1 gene:ENSMUSG00000003559.7 transcript:ENSMUST00000003655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:As3mt description:arsenic (+3 oxidation state) methyltransferase [Source:MGI Symbol;Acc:MGI:1929882] MAASRDADEIHKDVQNYYGNVLKTSADLQTNACVTRAKPVPSYIRESLQNVHEDVSSRYY GCGLTVPERLENCRILDLGSGSGRDCYVLSQLVGEKGHVTGIDMTEVQVEVAKTYLEHHM EKFGFQAPNVTFLHGRIEKLAEAGIQSESYDIVISNCVINLVPDKQQVLQEVYRVLKHGG ELYFSDVYASLEVPEDIKSHKVLWGECLGGALYWKDLAIIAQKIGFCPPRLVTADIITVE NKELEGVLGDCRFVSATFRLFKLPKTEPAERCRVVYNGGIKGHEKELIFDANFTFKEGEA VAVDEETAAVLKNSRFAPDFLFTPVDASLPAPQGRSELETKVLIRDPFKLAEDSDKMKPR HAPEGTGGCCGKRKNC >ENSMUSP00000023219.7 pep:known chromosome:GRCm38:15:76535730:76538746:-1 gene:ENSMUSG00000022559.7 transcript:ENSMUST00000023219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl6 description:F-box and leucine-rich repeat protein 6 [Source:MGI Symbol;Acc:MGI:1354705] MAPVASRRVRRRVRSSKRPDARGRSAEDWWWDRLAPRGSGYHLLQADSMLLVLPDLEPPR ARAHRRARRRAPRSLARGPTAVAKPRTKPRPEPSLDQGLDSGWGDRIPLEVLVHIFGLLV AAHGPMPFLGRAARVCRHWHEATSHPSLWHTVTLSPSLVGRAGKGNLKGEKKLLACLEWL VPNRFSQLQSLTLIHWKSQVHSVLELVSKFCPRLTFLKLSDCHTVTAETLVMLARACCQL HSLDLHHSMVESTAVVSFLEEAGSRMRKLWLTYSSQTTAILGALLDNCCPQLQVLQVSTG MNCNNTPLQLPVEALQKGCPQLQVLRLLNLIWLPKPCGRGVPQGPGFPSLEELCLAGSTC NFVSNEVLGRLLHRSPKLRMLDLRGCARVTPSGLCHLPCQELEQLYLGLYGISDGLTLAK DGSPLLTRKWYHTLRELDFSGQGFSEKDLEQALAVFSGTPGGLHPALCSLNLRGTRVTPS TVSSVISGCPGLLYLNLESCRCLPRGLKRVYRGLEEVQWCLEQLLTSPPSAKEPT >ENSMUSP00000041337.6 pep:known chromosome:GRCm38:8:27197460:27202547:-1 gene:ENSMUSG00000039720.6 transcript:ENSMUST00000038174.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Got1l1 description:glutamic-oxaloacetic transaminase 1-like 1 [Source:MGI Symbol;Acc:MGI:1923865] MTSLSVFRDVPTAQKLEGSLLKIYRQDGYPSKLFLAYKVCMTEEGHPWVSLVVHKTRLQI AEDPSLDYEYLPLVGLKSFIQSSLELLFGKHSEAIAEKRVGGVHIVGESGAFQLGAQFLK TWRKNVKIVCIVSCQKEQCGLIFQDMGFIVYEYSIWNASDLCSDPSMFVEVLQHIPVGSI LVIGNITDCKFTQNQWTKLMSIIKSKQIFPFFDIPCQGLSTGDLEEDTKILQYFVSLGLE FFCSQSLSKNFGIYDEGVGILVVAALSNQHLLCVLSQLMDYVQALWGNPPATGARIITSI LCNPALFGEWKQSLKGVVENMMLIKEKVKEKLRLLGTPGSWDHITRQSGTHGYLGLTYQQ VEFLVKKKHIYLPKTSRINFTCINARNIDYITQSIHEAVMLTEG >ENSMUSP00000131500.1 pep:known chromosome:GRCm38:16:65815633:65863057:1 gene:ENSMUSG00000091243.1 transcript:ENSMUST00000168064.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgll3 description:vestigial like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1920819] MSCAEVMYHPQPYGAPQYLPNPVAAATCPTACYHPAPQPGQQKLAVYSKMQDSLEVTLPS KQEEEEEEEEDEEEEEKDQPAEMEYLNSRCVLFTYFQGDIGSVVDEHFSRALGQANTLHP ESAISKSKMGLTPLWRDSSALSSQRSNFPTSFWTSSYQPPPAPCLGGVHPDFQVTAPHGT FTTADPNSWPGHGLHQTGPAPPPTASESWHYPLASQVSPSYSHMHDMYLRHHHPHAHVHH RHHHHHHPTAGSALDPAYGHLLMPSVRAARIPAPQCDITKTDLTTVTTATSAWAGAFHGT VDIVPSVGFDTGLQHQDKSKESTWY >ENSMUSP00000026021.6 pep:known chromosome:GRCm38:8:39581700:39642678:-1 gene:ENSMUSG00000025044.15 transcript:ENSMUST00000026021.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msr1 description:macrophage scavenger receptor 1 [Source:MGI Symbol;Acc:MGI:98257] MTKEMTENQRLCPHEQEDADCSSESVKFDARSMTASLPHSTKNGPSLQEKLKSFKAALIA LYLLVFAVLIPVVGIVTAQLLNWEMKNCLVCSLNTSDTSQGPMEKENTSKVEMRFTIIME HMKDMEERIESISNSKADLIDTERFQNFSMATDQRLNDILLQLNSLISSVQEHGNSLDAI SKSLQSLNMTLLDVQLHTETLNVRVRESTAKQQEDISKLEERVYKVSAEVQSVKEEQAHV EQEVKQEVRVLNNITNDLRLKDWEHSQTLKNITFIQGPPGPQGEKGDRGLTGQTGPPGAP GIRGIPGVKGDRGQIGFPGGRGNPGAPGKPGRSGSPGPKGQKGEKGSVGGSTPLKTVRLV GGSGAHEGRVEIFHQGQWGTICDDRWDIRAGQVVCRSLGYQEVLAVHKRAHFGQGTGPIW LNEVMCFGRESSIENCKINQWGVLSCSHSEDAGVTCTS >ENSMUSP00000132535.1 pep:known chromosome:GRCm38:8:39603452:39642678:-1 gene:ENSMUSG00000025044.15 transcript:ENSMUST00000170091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msr1 description:macrophage scavenger receptor 1 [Source:MGI Symbol;Acc:MGI:98257] MTKEMTENQRLCPHEQEDADCSSESVKFDARSMTASLPHSTKNGPSLQEKLKSFKAALIA LYLLVFAVLIPVVGIVTAQLLNWEMKNCLVCSLNTSDTSQGPMEKENTSKVEMRFTIIME HMKDMEERIESISNSKADLIDTERFQNFSMATDQRLNDILLQLNSLISSVQEHGNSLDAI SKSLQSLNMTLLDVQLHTETLNVRVRESTAKQQEDISKLEERVYKVSAEVQSVKEEQAHV EQEVKQEVRVLNNITNDLRLKDWEHSQTLKNITFIQGPPGPQGEKGDRGLTGQTGPPGAP GIRGIPGVKGDRGQIGFPGGRGNPGAPGKPGRSGSPGPKGQKGEKGSVGGSRSV >ENSMUSP00000016144.4 pep:known chromosome:GRCm38:13:112800894:112867881:1 gene:ENSMUSG00000021759.13 transcript:ENSMUST00000016144.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp1 description:phospholipid phosphatase 1 [Source:MGI Symbol;Acc:MGI:108412] MFDKTRLPYVALDVICVLLAAMPMTILKLGKVYPFQRGFFCTDNSVKYPYHDSTIPSRIL AILGLGLPIFSMSIGESLSVYFNVLHSNSFVGNPYIATIYKAVGAFLFGVSASQSLTDIA KYTIGSLRPHFLAICNPDWSKINCSDGYIEDYICQGNEEKVKEGRLSFYSGHSSFSMYCM LFVALYLQARMKGDWARLLRPMLQFGLIAFSIYVGLSRVSDYKHHWSDVTVGLIQGAAMA ILVALYVSDFFKDTHSYKERKEEDPHTTLHETASSRNYSTNHEP >ENSMUSP00000064423.6 pep:known chromosome:GRCm38:13:112800923:112867642:1 gene:ENSMUSG00000021759.13 transcript:ENSMUST00000070951.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plpp1 description:phospholipid phosphatase 1 [Source:MGI Symbol;Acc:MGI:108412] MFDKTRLPYVALDVICVLLAGLPFAILTSRHTPFQRGIFCNDDSIKYPYKEDTIPYALLG GIVIPFCIIVMSIGESLSVYFNVLHSNSFVGNPYIATIYKAVGAFLFGVSASQSLTDIAK YTIGSLRPHFLAICNPDWSKINCSDGYIEDYICQGNEEKVKEGRLSFYSGHSSFSMYCML FVALYLQARMKGDWARLLRPMLQFGLIAFSIYVGLSRVSDYKHHWSDVTVGLIQGAAMAI LVALYVSDFFKDTHSYKERKEEDPHTTLHETASSRNYSTNHEP >ENSMUSP00000004673.7 pep:known chromosome:GRCm38:14:51905271:51913488:-1 gene:ENSMUSG00000004558.14 transcript:ENSMUST00000004673.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg2 description:N-myc downstream regulated gene 2 [Source:MGI Symbol;Acc:MGI:1352498] MAELQEVQITEEKPLLPGQTPETAKEAELAARILLDQGQTHSVETPYGSVTFTVYGTPKP KRPAIFTYHDVGLNYKSCFQPLFRFGDMQEIIQNFVRVHVDAPGMEEGAPVFPLGYQYPS LDQLADMIPCILQYLNFSTIIGVGVGAGAYILSRYALNHPDTVEGLVLINIDPNAKGWMD WAAHKLTGLTSSIPDMILGHLFSQEELSGNSELIQKYRGIIQHAPNLENIELYWNSYNNR RDLNFERGGETTLKCPVMLVVGDQAPHEDAVVECNSKLDPTQTSFLKMADSGGQPQLTQP GKLTEAFKYFLQGMGYMASSCMTRLSRSRTASLTSAASIDGSRSRSRTLSQSSESGTLPS GPPGHTMEVSC >ENSMUSP00000107259.3 pep:known chromosome:GRCm38:14:51905271:51913488:-1 gene:ENSMUSG00000004558.14 transcript:ENSMUST00000111632.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg2 description:N-myc downstream regulated gene 2 [Source:MGI Symbol;Acc:MGI:1352498] MAELQEVQITEEKPLLPGQTPETAKTHSVETPYGSVTFTVYGTPKPKRPAIFTYHDVGLN YKSCFQPLFRFGDMQEIIQNFVRVHVDAPGMEEGAPVFPLGYQYPSLDQLADMIPCILQY LNFSTIIGVGVGAGAYILSRYALNHPDTVEGLVLINIDPNAKGWMDWAAHKLTGLTSSIP DMILGHLFSQEELSGNSELIQKYRGIIQHAPNLENIELYWNSYNNRRDLNFERGGETTLK CPVMLVVGDQAPHEDAVVECNSKLDPTQTSFLKMADSGGQPQLTQPGKLTEAFKYFLQGM GYMASSCMTRLSRSRTASLTSAASIDGSRSRSRTLSQSSESGTLPSGPPGHTMEVSC >ENSMUSP00000061716.2 pep:known chromosome:GRCm38:16:88750747:88751628:-1 gene:ENSMUSG00000050224.2 transcript:ENSMUST00000053149.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap13 description:keratin associated protein 13 [Source:MGI Symbol;Acc:MGI:1330835] MVYSCCSGNFSSRSLRSCLPSSGSCRGSSYPSNLVYTTTSCSPSTCQLSSSVRSGCQESC IEPIRCQESCIEPIRCQETCIEPIRCQETCIEPISCQRSCVVPSPCPKPCYYPSSSTPCQ GTYAGSLGFGSRSCSSLGYGSRSCYPVGCGSSGFRSLNYGVFSFPTRYHRSVVCTPLSFP SRDFYSCYQPLYTSRFC >ENSMUSP00000064761.5 pep:known chromosome:GRCm38:15:89322972:89324728:1 gene:ENSMUSG00000054136.5 transcript:ENSMUST00000066991.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adm2 description:adrenomedullin 2 [Source:MGI Symbol;Acc:MGI:2675256] MAQLLMVTVTLGCISLLYLLPGTLSGSLGKGLRHSRPREPPAKIPSSNLQPGHPSLQPVV WKSRRHAPQPQGRGNRALAMVHLPQGGGSRHPGPQRPTGSRRPHAQLLRVGCVLGTCQVQ NLSHRLWQLVRPAGRRDSAPVDPSSPHSYG >ENSMUSP00000025476.2 pep:known chromosome:GRCm38:18:63662801:63692359:-1 gene:ENSMUSG00000024583.2 transcript:ENSMUST00000025476.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnl1 description:thioredoxin-like 1 [Source:MGI Symbol;Acc:MGI:1860078] MVGVKPVGSDPDFQPELSGAGSRLAVVKFTMRGCGPCLRIAPAFSSMSNKYPQAVFLEVD VHQCQGTAATNNISATPTFLFFRNKVRIDQYQGADAVGLEEKIKQHLENDPGSNEDADIP KGYMDLMPFINKAGCECLNESDEHGFDNCLRKDMSFLESDCDEQLLITVAFNQPVKLYSM KFQGPDNGQGPKYVKIFINLPRSMDFEEAERSEPTQALELTEDDIKEDGIVPLRYVKFQN VNSVTLFVQSNQGEEETTRISYFTFIGTPVQATNMNDFKRVVGKKGESH >ENSMUSP00000079268.5 pep:known chromosome:GRCm38:15:76852148:76871435:-1 gene:ENSMUSG00000022526.7 transcript:ENSMUST00000080406.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp251 description:zinc finger protein 251 [Source:MGI Symbol;Acc:MGI:1918841] MADKSCSPTPGETPLTFQDVAVYFSRAEGQQLSPQERALYRDVMLENYGNVASLGFPGPK PELISQLEQEEELWVLDLLGAEEPEVLRRCQTDSEIEAEKELSILNQKCFEEVKTPEFIS PKFPRAYSQASEPQEACAHEGQGDGSHGSSATQGLKSIAKKDAAVCREQFPKNAQTSVFD KHLNPSQSVVTVQRNKAGQRIFKCDICNKTFKYNSDLSRHRRSHTGEKPYECGPCGRAFT HSSNLILHQRIHTGNKPFKCEECGKTFGLNSYLRLHQRIHTGEKPFGCKECGKAFSRSSS LIQHRIIHTGEKPYKCDECGKAFSQSPQLTQHQRIHTGEKPHGCTWCGKAFSRNASLIQH QRIHTGEKPHKCAQCGKAFSQSSSLFLHHRVHTGEKPYVCGECGRAFGFNSHLTEHVRIH TGEKPYVCGECGKAFSRSSTLMQHRRVHTGEKPYQCAECGKAFIQSSQLTLHQRVHTGEK PYECGLCGKAFSRRSALTQHQRVHMGENPQEFECGPDFVYDSSHLSAGERHGRAFSHSAK LVLQWTIRSDEKSRGCHECGKTYSTSSQSMDYQKSQAGEKPYKCQECGGKAGSGVSPLTP HHVTRVGEKPQLKDGSERYLIQIKKIFQERDF >ENSMUSP00000055511.2 pep:known chromosome:GRCm38:16:88895968:88896450:-1 gene:ENSMUSG00000051802.2 transcript:ENSMUST00000053460.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap19-5 description:keratin associated protein 19-5 [Source:MGI Symbol;Acc:MGI:1330295] MSYYGSYYGGLGSGIRGFGNLGYGYGCGCGFGGYGYGSGYGRYGYGYRRPLYYGGYGFSR FY >ENSMUSP00000002008.5 pep:known chromosome:GRCm38:9:37539255:37544205:1 gene:ENSMUSG00000001943.7 transcript:ENSMUST00000002008.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsig2 description:V-set and immunoglobulin domain containing 2 [Source:MGI Symbol;Acc:MGI:1928009] MAWPLVGAFLCGHLLGFVCLSGLAVEVTVPTEPLSVPKGKTAELSCSYKTSVGDNFALEW SFVQPGKPISASVPVLYFTNGHLYPTGSKADRAILLHDPPTGGLATLKLTDLRPSDTGTY LCNVNNPPDFYTNGLGLINLTVLVPPSHPLCSQSGQTSVGGSAALGCRSSEGAPKPVYNW ERLGSSPTPPPGSMVQDEVSGQLILTNLSLTSSGTYRCVASNQMGSASCELNLSVTDSSE GRVAGTLIGVLLGVLLLSVAAFCLIRFQKERKKEPKETYGGSDLREDATAPGVFEQASMR ADHSKELLEKSPCASTMTTTKSKLSMVV >ENSMUSP00000034343.4 pep:known chromosome:GRCm38:8:104340594:104347672:1 gene:ENSMUSG00000031875.6 transcript:ENSMUST00000034343.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm3 description:CKLF-like MARVEL transmembrane domain containing 3 [Source:MGI Symbol;Acc:MGI:2447162] MWPPDAEPEPDPESAHGPRSGRTVPGLRALLPARAFLCSLKGRLLLAESGLSFITFICYV VSSASAFLTVPLLEFLLAVYFLFADAMQLNDKWQGLCWPMMDFLRCVTAALIYFVISITA VAKYSDGAYKAAGVFGFFATIVFAIDFYLIFNEVAKFLKQGDSGNETTAHRTEEENSNSD SDSD >ENSMUSP00000036988.5 pep:known chromosome:GRCm38:15:44457553:44597137:1 gene:ENSMUSG00000038725.11 transcript:ENSMUST00000038336.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkhd1l1 description:polycystic kidney and hepatic disease 1-like 1 [Source:MGI Symbol;Acc:MGI:2183153] MGHLWLSGTWFLFGLLWCAADSHKGSSETIPKVTEVIPKYGSINGATRLTIKGEGFSQAS QFNYGADNTELGNHVQLVSSFQSITCDVEKDSSHSTQITCYTRAMPEDTYSVRVSVDGVP VAENNTCKGVASSWACSFSTKSFRTPTIRSITPLSGTPGTLITIKGRLFTDVYGSNTALS SNGRNVRILRIYIGGMPCELLIPHSDDLYGLKLDHANGDTGSVTCKTTGTYIGHHNVSFI LDSDYGRSFPEKMTYFVSSLNKISMFQTYPEVVMVSPSKGSTEGGTLLTIHGHFFDQTDL PVRVLVGGQACAILNVTENTIYCKTPPKPHILKATYPGGRGLKVEVWNNSRPAHLEDILE YNEHTPGYMGATWTDSASYVWPIEQDTFVARISGFLVPPDSDVYRFYIRGDDRYAIYFSQ TGRTEDKVRIAYYSGNANTYFSNSTQRSDEIHLQKGKEYYIEILLQEYTLSAFVDVGLYQ YKNVFTEQQTGDALNEEQVIKSQSTVVPEVQIITLENWETADVTNEVQQVTVTSPCVGAN SCSLSQYRFIYNMEKTVWLPADASDFTLKSALNDLWSIKPDSVQVTSKRDLQSYIYTITF VSTRGDFDLLGYEVFEGSNVTLSITEQTKGKPNLETFTLNWDGIASKPLTPESSEAEFQV AVEEMVSAKCPPEIAHLEEGFLVKYFRDYETDFELEHINRGQKTAETDAYCGRYSLKNPA VLFDSTDVKPNKSPYGDILLFPYNQLCLAYKGSLANFIDLKFKYQDSGKIIRSADVQFEY NFASGNKWTYTCIDLLDFLQTKYAGTSFSLQRITLQKSSEFQSIYVDAVYIGQTPTVSVL DDMPKRRPPALANKGIFLKHFQVNRTKLNGSAMTIQYSVTITSYNCSHNIPMMAVSFGQI ITNETKNELVYRGNNWPGESKIRIQKIQEASPPISGSFDVQAYGHTLKGIPAAVPAADLQ FALQSLEEIEQVSVNREGTCAGYSWSIRWTSPRGKQPLLQINDSNIIGEKANVTVTTIKE GGLFRQRIPGDMLRTLNQQPQVEVYVNGIPAKCSGDCGFTWDAMITPLILTTTPSEGSYA ESTILTIAGSGFSPTSAVSVSVGSTRCSLLSVEENEIKCQILNGSAGHVPVAVSIADVGL AQNLEGEGSHFIYRSQISHVWPDSGSLAGGTLLTISGFGFSENSTVLVGNETCNVIEGDL NRITCRTSKRIEGTVDISVITNGIQVTAKDSFSYSCLQTPVVTDFSPKERTVLGKVNLTI KGYNFGNELAQNTVYVGRKHCQVLHSNFTDITCLLPTLPPGKHDIYVKVRNWGLASTRNK LNASILYILEVIHMFPQRGSLYGGTEITIMGFGFSTIPTENSVLLGSFPCDITSSSENVI KCTLHSTGTVFRITNNGSHLVHGLGYAWSPSVLNVTVGDTVVWSWQAHPFLRGIGYRIFS VSSPGSVTYDDKGFTNGRQKSASGSFSYQFTSPGIYYYSSGYVDEAHSISLQGVINVFPA EARHIPLYLFVGNIEATYVPAGPAHLQLASTAAGCLATEPLCGLNDTRVKHSNKLFFELS NCISPSIINITPSTGTANELITIIGHGFSSLPCANKVTIGSYPCVVEESSENSIICHIDP QNSMNVGIREIVTLIVYNLGTAINTLTKAFDRRFVLLPNIDMVMPKAGSTTGMTRVTIQG SGFMSSPEGVEVFMGDFPCKVLSVTYTAIECETSPAPQQLVLVDILIHGVPAQCQSNCSF SYLENIAPYVTGIFPNSIQGYGNVLIKGERFGTVLEEISIFIGSQQFRVIDVNENNITVL MTPLEAGLHSLSVVVGSKGLALGNLTISSPAVASVSPTSGSIAGGTTLMITGNGFSPGNT TVTVGDQPCQITFISSSEVYCSTPAGRAGTANLKISVNAIIYPPLSFTYAMEDTPFLKRI IPNRGLPGTEVEITGSNLGFAISDVSVMIKESVCNVTTVNDTVLQCTVGEHAGGIFPVTM LHKTKGSAVSSVAFEYPLSIQNIYPTQGSFGGGQTLTVTGMGFDPWNSTILVCNSECAVD KLRSNSTTLFCVIPPNNGKGHDQVCGVSVVNGKDSSHSTKLFTYTLSLTPLITEISPRRG STAGGTRLTVTGSGFSENTQGVQVFVGNSKCDIQYSNKTHIVCMTSVHVPSGWVPVHVNI KNIGLAKLENADFLYADVWSANSSWGGSPPPEEGSLAVITKGQIILLDQSTPILKMLLIQ GGTLIFDEANIELQAENILITDGGVLQIGTEASPFQHRAVITLHGHLRSPELPVYGAKTL GVREGTLDLHGLPIPVVWTRLTHTANAGEWTLTVQEAVTWKAGDNIVIASTGHRHSQAEN EKRTIASVSADGMHITLTKPLNYTHLGITTTLPDGTVFEARAEVGILTRNILIRGSDNVE WNDKIPSCPDGFDTGEFATQTCLQGKFGEEMGSDQFGGCIMLHAPLPGADMVTGRIEYVE VFHAGQSFRLGRYPIHWHLLGDLQFKSYVKGCAIHQSYNRAITIHNTHHLLVERNIIYDI KGGAFFIEDGIEHGNILQYNLAVFVQQSTSLLNDDVTPAAFWVTNPNNTIRHNAAAGGTH FGFWYRMNDHPDGPSFDRNICQKRIPLGEFFNNTVHSQGWFGLWIFEEYFPMQTGSCTST VPVPAIFNSLTVWNCQKGAEWVNGGALQFHNFVMVNNNEAGIETKRILAPYVGGWGESNG AVIKNAKIVGHLDELGMGPTFCTSKGLVLPFSQGLTVSSVHFMNFDRHACVALGVTSITG VCNDRCGGWSAKFVGIRYFHAPNKGGFRWEHEAVLIDVDGSLTGHRGHTVVPHSSLLDPS HCTQEPAWSIGFPGSICDASVSFHRLAFNKPSPVSLLEKDVVLSDSFGTSIVPFQKKRLT HMSGWMALIPNANHINWYFKGVEHLTNISYTSTFYGFKEEDYVIISHNFTQNPDMFNVVD MRNGSANPLNWNSSKNGDWHLEANTSTLYYLVSGRSDLPQSQPISGTLDPGVKDVIINFQ AYCCVLQDCFPVHPPSRKPIPRKRPAAYNLWSNESFWQSSPENNYTVPRPGANVIIPEGT WIVADVDIPPVERLIIWGVLEMEDKSEIGVAGPTYRRVVLNATYISVQGGRLIGGWEDNP FKGELQIVLRGNHSTPEWAFPDGPNQGAKVLGVFGELDLHGLPHSVYKTKLLETAEAGSK ILSLVDAVDWQEGEDVVITTTSYDLHQTEIRRIAKILHGHKILILNDSLSYTHLAERQWI SGTAQSYTLSADVGILSRNIKIVGDDYSVLSKDSFGARILVGSFTGNMMTFKGNARISNV EFHHSGQEGYRDSTDPRYAVTFLNLGQIQDHGLSYVRGCAFHHVFSPAIGVFGTDGVDID DNIIYFTVGEGIRIWGDANRVRGNLVTLSVWPGTYQNRKDLSSTLWHAAIEINRGTNTVL QNNVVAGFGRVGYRIDGEPCSSQANSMENWFNNEAHGGLYGIYMNQDGLPGCSLIQGFTI WTCWDYGIYFQTTESVHIYNVTLVNNGMSIFSMVYMPPSVSHKISSKTVKIKNSLIVGSS PEFNCSDVLTNDSPDVELTSAHRSSRPPSGGRSGICWPTFASAHNMAPRKPHAGIMSYNA ISGLLHVSDSTFVGFKDVCSGETNVIFITNPLNEDLQHPIHVKNVQLIDTIEQSKVFIHR PDISKVNPSDCVDMVCDAKRKSFLRDLDGSFLGNSGSVIPQAEYEWDGNSQLGIGDYRIP KAMLTYLNGSRIPVTEKAPHKGIIRDATCKYIPEWQSYQCSGMEYAMMVLESLDSDTETR RLSPVAIMSNGYVDLINGPQDHGWCAGYTCQRRLSLFHGIVALNKKYEVYFTGTSPQNLR LMLLNVEHNKAVLVGIFFSTLQRLDVYVNNSLVCPKNTAWNAQKKHCELERHLSTEQFLP NLGSTVPGENYFDRTYQMLYLFLKGTTPVEVHTATVIFVSFHLPVMTADEFFSSHNLVRN LALFLKIPSDKIRVSRIIGASLRKKRSTGHIMEFEIGAAPTQFLSNSTTGQMQLSELQEI TDSLGQAVVLGKISTILGFNISSMSITSPIPQPTDSGWIKVTAQPVERSAFPVHYLALVS SLSVVAQPVAAQPGQPFPQQPSVKAVDPEGNCVSVGITSLTLKAILKDSNNNQVGGLSGN TTIPFSTCWANYTDLTPHRTGKNYKIEFVLDNTVRVDSRPFSLSAQSVPGGSGSSPGSGS SSSGHSKASSVGTPVQTLAVITACLVGRLLLLEVFMAAVFILNTTVG >ENSMUSP00000129522.1 pep:known chromosome:GRCm38:15:44457553:44597143:1 gene:ENSMUSG00000038725.11 transcript:ENSMUST00000166957.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkhd1l1 description:polycystic kidney and hepatic disease 1-like 1 [Source:MGI Symbol;Acc:MGI:2183153] MGHLWLSGTWFLFGLLWCAADSHKGSSETIPKVTEVIPKYGSINGATRLTIKGEGFSQAS QFNYGADNTELGNHVQLVSSFQSITCDVEKDSSHSTQITCYTRAMPEDTYSVRVSVDGVP VAENNTCKGVASSWACSFSTKSFRTPTIRSITPLSGTPGTLITIKGRLFTDVYGSNTALS SNGRNVRILRIYIGGMPCELLIPHSDDLYGLKLDHANGDTGSVTCKTTGTYIGHHNVSFI LDSDYGRSFPEKMTYFVSSLNKISMFQTYPEVVMVSPSKGSTEGGTLLTIHGHFFDQTDL PVRVLVGGQACAILNVTENTIYCKTPPKPHILKATYPGGRGLKVEVWNNSRPAHLEDILE YNEHTPGYMGATWTDSASYVWPIEQDTFVARISGFLVPPDSDVYRFYIRGDDRYAIYFSQ TGRTEDKVRIAYYSGNANTYFSNSTQRSDEIHLQKGKEYYIEILLQEYTLSAFVDVGLYQ YKNVFTEQQTGDALNEEQVIKSQSTVVPEVQIITLENWETADVTNEVQQVTVTSPCVGAN SCSLSQYRFIYNMEKTVWLPADASDFTLKSALNDLWSIKPDSVQVTSKRDLQSYIYTITF VSTRGDFDLLGYEVFEGSNVTLSITEQTKGKPNLETFTLNWDGIASKPLTPESSEAEFQV AVEEMVSAKCPPEIAHLEEGFLVKYFRDYETDFELEHINRGQKTAETDAYCGRYSLKNPA VLFDSTDVKPNKSPYGDILLFPYNQLCLAYKGSLANFIDLKFKYQDSGKIIRSADVQFEY NFASGNKWTYTCIDLLDFLQTKYAGTSFSLQRITLQKSSEFQSIYVDAVYIGQTPTVSVL DDMPKRRPPALANKGIFLKHFQVNRTKLNGSAMTIQYSVTITSYNCSHNIPMMAVSFGQI ITNETKNELVYRGNNWPGESKIRIQKIQEASPPISGSFDVQAYGHTLKGIPAAVPAADLQ FALQSLEEIEQVSVNREGTCAGYSWSIRWTSPRGKQPLLQINDSNIIGEKANVTVTTIKE GGLFRQRIPGDMLRTLNQQPQVEVYVNGIPAKCSGDCGFTWDAMITPLILTTTPSEGSYA ESTILTIAGSGFSPTSAVSVSVGSTRCSLLSVEENEIKCQILNGSAGHVPVAVSIADVGL AQNLEGEGSHFIYRSQISHVWPDSGSLAGGTLLTISGFGFSENSTVLVGNETCNVIEGDL NRITCRTSKRIEGTVDISVITNGIQVTAKDSFSYSCLQTPVVTDFSPKERTVLGKVNLTI KGYNFGNELAQNTVYVGRKHCQVLHSNFTDITCLLPTLPPGKHDIYVKVRNWGLASTRNK LNASILYILEVIHMFPQRGSLYGGTEITIMGFGFSTIPTENSVLLGSFPCDITSSSENVI KCTLHSTGTVFRITNNGSHLVHGLGYAWSPSVLNVTVGDTVVWSWQAHPFLRGIGYRIFS VSSPGSVTYDDKGFTNGRQKSASGSFSYQFTSPGIYYYSSGYVDEAHSISLQGVINVFPA EARHIPLYLFVGNIEATYVPAGPAHLQLASTAAGCLATEPLCGLNDTRVKHSNKLFFELS NCISPSIINITPSTGTANELITIIGHGFSSLPCANKVTIGSYPCVVEESSENSIICHIDP QNSMNVGIREIVTLIVYNLGTAINTLTKAFDRRFVLLPNIDMVMPKAGSTTGMTRVTIQG SGFMSSPEGVEVFMGDFPCKVLSVTYTAIECETSPAPQQLVLVDILIHGVPAQCQSNCSF SYLENIAPYVTGIFPNSIQGYGNVLIKGERFGTVLEEISIFIGSQQFRVIDVNENNITVL MTPLEAGLHSLSVVVGSKGLALGNLTISSPAVASVSPTSGSIAGGTTLMITGNGFSPGNT TVTVGDQPCQITFISSSEVYCSTPAGRAGTANLKISVNAIIYPPLSFTYAMEDTPFLKRI IPNRGLPGTEVEITGSNLGFAISDVSVMIKESVCNVTTVNDTVLQCTVGEHAGGIFPVTM LHKTKGSAVSSVAFEYPLSIQNIYPTQGSFGGGQTLTVTGMGFDPWNSTILVCNSECAVD KLRSNSTTLFCVIPPNNGKGHDQVCGVSVVNGKDSSHSTKLFTYTLSLTPLITEISPRRG STAGGTRLTVTGSGFSENTQGVQVFVGNSKCDIQYSNKTHIVCMTSVHVPSGWVPVHVNI KNIGLAKLENADFLYADVWSANSSWGGSPPPEEGSLAVITKGQIILLDQSTPILKMLLIQ GGTLIFDEANIELQAENILITDGGVLQIGTEASPFQHRAVITLHGHLRSPELPVYGAKTL GVREGTLDLHGLPIPVVWTRLTHTANAGEWTLTVQEAVTWKAGDNIVIASTGHRHSQAEN EKRTIASVSADGMHITLTKPLNYTHLGITTTLPDGTVFEARAEVGILTRNILIRGSDNVE WNDKIPSCPDGFDTGEFATQTCLQGKFGEEMGSDQFGGCIMLHAPLPGADMVTGRIEYVE VFHAGQSFRLGRYPIHWHLLGDLQFKSYVKGCAIHQSYNRAITIHNTHHLLVERNIIYDI KGGAFFIEDGIEHGNILQYNLAVFVQQSTSLLNDDVTPAAFWVTNPNNTIRHNAAAGGTH FGFWYRMNDHPDGPSFDRNICQKRIPLGEFFNNTVHSQGWFGLWIFEEYFPMQTGSCTST VPVPAIFNSLTVWNCQKGAEWVNGGALQFHNFVMVNNNEAGIETKRILAPYVGGWGESNG AVIKNAKIVGHLDELGMGPTFCTSKGLVLPFSQGLTVSSVHFMNFDRHACVALGVTSITG VCNDRCGGWSAKFVGIRYFHAPNKGGFRWEHEAVLIDVDGSLTGHRGHTVVPHSSLLDPS HCTQEPAWSIGFPGSICDASVSFHRLAFNKPSPVSLLEKDVVLSDSFGTSIVPFQKKRLT HMSGWMALIPNANHINWYFKGVEHLTNISYTSTFYGFKEEDYVIISHNFTQNPDMFNVVD MRNGSANPLNWNSSKNGDWHLEANTSTLYYLVSGRSDLPQSQPISGTLDPGVKDVIINFQ AYCCVLQDCFPVHPPSRKPIPRKRPAAYNLWSNESFWQSSPENNYTVPRPGANVIIPEGT WIVADVDIPPVERLIIWGVLEMEDKSEIGVAGPTYRRVVLNATYISVQGGRLIGGWEDNP FKGELQIVLRGNHSTPEWAFPDGPNQGAKVLGVFGELDLHGLPHSVYKTKLLETAEAGSK ILSLVDAVDWQEGEDVVITTTSYDLHQTEIRRIAKILHGHKILILNDSLSYTHLAERQWI SGTAQSYTLSADVGILSRNIKIVGDDYSVLSKDSFGARILVGSFTGNMMTFKGNARISNV EFHHSGQEGYRDSTDPRYAVTFLNLGQIQDHGLSYVRGCAFHHVFSPAIGVFGTDGVDID DNIIYFTVGEGIRIWGDANRVRGNLVTLSVWPGTYQNRKDLSSTLWHAAIEINRGTNTVL QNNVVAGFGRVGYRIDGEPCSSQANSMENWFNNEAHGGLYGIYMNQDGLPGCSLIQGFTI WTCWDYGIYFQTTESVHIYNVTLVNNGMSIFSMVYMPPSVSHKISSKTVKIKNSLIVGSS PEFNCSDVLTNDSPDVELTSAHRSSRPPSGGRSGICWPTFASAHNMAPRKPHAGIMSYNA ISGLLHIVNSTFVGFKDVCSGETNVIFITNPLNEDLQHPIHVKNVQLIDTIEQSKVFIHR PDISKVNPSDCVDMVCDAKRKSFLRDLDGSFLGNSGSVIPQAEYEWDGNSQLGIGDYRIP KAMLTYLNGSRIPVTEKAPHKGIIRDATCKYIPEWQSYQCSGMEYAMMVLESLDSDTETR RLSPVAIMSNGYVDLINGPQDHGWCAGYTCQRRLSLFHGIVALNKKYEVYFTGTSPQNLR LMLLNVEHNKAVLVGIFFSTLQRLDVYVNNSLVCPKNTAWNAQKKHCELERHLSTEQFLP NLGSTVPGENYFDRTYQMLYLFLKGTTPVEVHTATVIFVSFHLPVMTADEFFSSHNLVRN LALFLKIPSDKIRVSRIIGASLRKKRSTGHIMEFEIGAAPTQFLSNSTTGQMQLSELQEI TDSLGQAVVLGKISTILGFNISSMSITSPIPQPTDSGWIKVTAQPVERSAFPVHYLALVS SLSVVAQPVAAQPGQPFPQQPSVKAVDPEGNCVSVGITSLTLKAILKDSNNNQVGGLSGN TTIPFSTCWANYTDLTPHRTGKNYKIEFVLDNTVRVDSRPFSLSAQSVPGGSGSSPGSGS SSSGHSKASSVGTPVQTLAVITACLVGRLLLLEVFMAAVFILNTTVGKC >ENSMUSP00000026595.5 pep:known chromosome:GRCm38:8:64947185:64969034:1 gene:ENSMUSG00000025521.14 transcript:ENSMUST00000026595.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem192 description:transmembrane protein 192 [Source:MGI Symbol;Acc:MGI:1920317] MAAAGRLEDSSLDILQSMDDDPLLDTQPLPHHSLQAQFRPRFHPLPTVIIANLLLLIHVV FVVLAFLTGVPCLYPNPTEDKCPENYTSPLKVQTAIILGKLILWILHLLFERYVQYHHRK VRSRGYSQIYRSTRHLKTLALTIHSSGNTALLLLLCVQHSFPEPSKLYLELILAVLALEL ICSLSCLILYIVKIRRFNRAKPLPDVLEEEKIYAYPSNTASETGFRTVSSLEEIVEKQED IIVYLKRHNALLSKRLLELATQPART >ENSMUSP00000078819.7 pep:known chromosome:GRCm38:8:64947185:64969034:1 gene:ENSMUSG00000025521.14 transcript:ENSMUST00000079896.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem192 description:transmembrane protein 192 [Source:MGI Symbol;Acc:MGI:1920317] MAAAGRLEDSSLDILQSMDDDPLLDTQPLPHHSLQAQFRPRFHPLPTVIIANLLLLIHVV FVVLAFLTGVPCLYPNPTEDKCPENYTSPLKVQTAIILGKLILWILHLLFERYVQYHHRK VRSRGYSQIYRSTRHLKTLALTIHSSVKIRRFNRAKPLPDVLEEEKIYAYPSNTASETGF RTVSSLEEIVEKQEDIIVYLKRHNALLSKRLLELATQPART >ENSMUSP00000023707.9 pep:known chromosome:GRCm38:16:90220742:90226322:1 gene:ENSMUSG00000022982.9 transcript:ENSMUST00000023707.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sod1 description:superoxide dismutase 1, soluble [Source:MGI Symbol;Acc:MGI:98351] MAMKAVCVLKGDGPVQGTIHFEQKASGEPVVLSGQITGLTEGQHGFHVHQYGDNTQGCTS AGPHFNPHSKKHGGPADEERHVGDLGNVTAGKDGVANVSIEDRVISLSGEHSIIGRTMVV HEKQDDLGKGGNEESTKTGNAGSRLACGVIGIAQ >ENSMUSP00000034562.7 pep:known chromosome:GRCm38:9:50752758:50756633:1 gene:ENSMUSG00000032060.9 transcript:ENSMUST00000034562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryab description:crystallin, alpha B [Source:MGI Symbol;Acc:MGI:88516] MDIAIHHPWIRRPFFPFHSPSRLFDQFFGEHLLESDLFSTATSLSPFYLRPPSFLRAPSW IDTGLSEMRLEKDRFSVNLDVKHFSPEELKVKVLGDVIEVHGKHEERQDEHGFISREFHR KYRIPADVDPLTITSSLSSDGVLTVNGPRKQVSGPERTIPITREEKPAVAAAPKK >ENSMUSP00000069840.7 pep:known chromosome:GRCm38:14:60784566:60903610:1 gene:ENSMUSG00000021993.9 transcript:ENSMUST00000063562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mipep description:mitochondrial intermediate peptidase [Source:MGI Symbol;Acc:MGI:1917728] MLLAAGARYARRLCGRGAAAALQGRTGRSCARDVSTSWSPVGAAFNVKPQSHLWNLLGER RGLFGVPELSTPEGFQVAQEEALKKTEWLVERACSTPPGPQTVLIFDELSDCLCRVADLA DFVKIGHPDPAFREAAQEACRSIGTMVEKLNTNVELYQSLQRLLGDKKLMESLDAETRRV AELFMFDFEISGIHLDEEKRRRAVDLNVKILDLSNAFLMRTNFPNKIRKSLLPEHIQHHF ARDGSHLIIDGLHAEASDDLVREAAYKIFLYPNADQLKCLEELLSSRDLLAKLVGYSTFS HRALQGTIAQTPETVMQFLEKLSEKLSERTRKDFKMMQGMKTKLNPQNSKLMPWDPPYYS GVIRAERYNIEPSLYCPFLSLGACMEGLNVLFNKLLGITLYAEQTFKGEVWCNDIRKLAV VHESEGLLGYIYCDFFQRANKPQQDCHFTIRGGRLKEDGSYQLPVVVLMLNLPHASRDFP TLLTPGMMENLFHEMGHAMHSMLGRTRYQHVTGTRCPTDFAEVPSILMEYFSNDYRVVSQ FAKHYQTGQPLPKAMVSRLCESKKVCTAAEMQLQVFYAALDQIYHGQHPLKKSTTDILME TQEQFYGLPYVPDTAWQLRFSHLVGYGAKYYSYLMSRAVASMIWKECFLQDPFNRAAGER YRREMLAHGGGKEPMLMIQGMLQKCPSIDDFVDALVSDMNLDFETFFLDSK >ENSMUSP00000022678.3 pep:known chromosome:GRCm38:14:69840420:70059886:1 gene:ENSMUSG00000022085.3 transcript:ENSMUST00000022678.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pebp4 description:phosphatidylethanolamine binding protein 4 [Source:MGI Symbol;Acc:MGI:1920773] MTMKLVAAALCLSLLAAGLWVGLSLTAESIEEGKPGGEKPGGGKPGGSGRGCFLPPLPKE DVSLCRNLEVFYMEMGNISCKIVPKCNLYRQKITAWQAPIVKFHTALDGALYLLVMVDPD APSRSNPVMKYWRHWLVSNITGADMKSGSIRGNVLSDYSPPTPPPETGLHRYQFFVYLQG DRDISLSVEEKADLGGWNLDKFLQQYGLRDPDTSTQFMTQFDEELSSEFGRINDDQEQFN QK >ENSMUSP00000034026.8 pep:known chromosome:GRCm38:8:56294552:56321046:1 gene:ENSMUSG00000031613.8 transcript:ENSMUST00000034026.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpgd description:hydroxyprostaglandin dehydrogenase 15 (NAD) [Source:MGI Symbol;Acc:MGI:108085] MHVNGKVALVTGAAQGIGKAFAEALLLHGAKVALVDWNLEAGVKCKAALDEQFEPQKTLF VQCDVADQKQLRDTFRKVVDHFGRLDILVNNAGVNNEKNWEQTLQINLVSVISGTYLGLD YMSKQNGGEGGIIINMSSLAGLMPVAQQPVYCASKHGIIGFTRSAAMAANLMKSGVRLNV ICPGFVDTPILESIEKEENMGQYIEYKDQIKAMMKFYGVLHPSTIANGLINLIEDDALNG AIMKITASKGIHFQDYDISPLLVKAPLTS >ENSMUSP00000137167.1 pep:known chromosome:GRCm38:4:60838256:60839649:1 gene:ENSMUSG00000094948.1 transcript:ENSMUST00000098035.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21286 description:predicted gene, 21286 [Source:MGI Symbol;Acc:MGI:5434641] ECLKVFLVVDNIEKAGYSVTYDGFSTFTLLKTEYDNYIMFHLINEMNGETFQLMLLYDLE PDLRSDIKEEFAKLFEEHGIVRENSIDLSNANHCLQALE >ENSMUSP00000003268.9 pep:known chromosome:GRCm38:17:56016753:56036637:-1 gene:ENSMUSG00000003200.9 transcript:ENSMUST00000003268.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3gl1 description:SH3-domain GRB2-like 1 [Source:MGI Symbol;Acc:MGI:700010] MSVAGLKKQFYKASQLVSEKVGGAEGTKLDDDFKDMEKKVDVTSKAVAEVLVRTIEYLQP NPASRAKLTMLNTVSKIRGQVKNPGYPQSEGLLGECMVRHGKELGGESNFGDALLDAGES MKRLAEVKDSLDIEVKQNFIDPLQNLCDKDLKEIQHHLKKLEGRRLDFDYKKKRQGKIPD EELRQALEKFEESKEVAETSMHNLLETDIEQVSQLSALVDAQLDYHRQAVQILEELADKL KRRVREASSRPKREFKPRPREPFELGELEQPNGGFPCAPAPKITASSSFRSSDKPIRMPS KSMPPLDQPSCKALYDFEPENDGELGFREGDLITLTNQIDENWYEGMLHGQSGFFPLSYV QVLVPLPQ >ENSMUSP00000064680.5 pep:known chromosome:GRCm38:8:84976909:84978748:-1 gene:ENSMUSG00000052837.5 transcript:ENSMUST00000064922.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Junb description:jun B proto-oncogene [Source:MGI Symbol;Acc:MGI:96647] MCTKMEQPFYHDDSYAAAGYGRSPGSLSLHDYKLLKPTLALNLADPYRGLKGPGARGPGP EGSGAGSYFSGQGSDTGASLKLASTELERLIVPNSNGVITTTPTPPGQYFYPRGGGSGGG TGGGVTEEQEGFADGFVKALDDLHKMNHVTPPNVSLGASGGPQAGPGGVYAGPEPPPVYT NLSSYSPASAPSGGSGTAVGTGSSYPTATISYLPHAPPFAGGHPAQLGLSRGASAFKEEP QTVPEARSRDATPPVSPINMEDQERIKVERKRLRNRLAATKCRKRKLERIARLEDKVKTL KAENAGLSSAAGLLREQVAQLKQKVMTHVSNGCQLLLGVKGHAF >ENSMUSP00000064422.6 pep:known chromosome:GRCm38:14:65358676:65398930:1 gene:ENSMUSG00000034522.9 transcript:ENSMUST00000066994.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp395 description:zinc finger protein 395 [Source:MGI Symbol;Acc:MGI:2682318] MLSRRLGKRSLLGARVLGPSAAEVPSGATLPLEPQIEVPEGAMSLSPLTSKDPVCQEQPK ELLKALGTSGHPQVAFQPGQKVCVWYGGQECKGLVEQHSWAEDKVTVRLLDQKLQIRCKV EEVWLAELQGSASHVPALEPGAQVPAYRPVSRNIDVPKRKSDAVEMDEMMAAMVLTSLSC SPVVQSPPGAEPIFSVSRAACGDLWKESGDVSDSGSSGHWSGSSGSSTPSPPHPQASPKY LGDAFGSPQTDHGFETDPDPFLLDEPAPRKRRNSVKVMYKCLWPSCGKVLRSIVGIKRHV KALHLGDTVDSDQFKREEDFYYTEMQMKEESAQAVAAPPAPGTPMGEPASTSRVTSPSLA ALSLPPAKVQSSGPEHPGLESSLPSVALSKSAPGSFWHIQADHAYQALPSFQIPVSPHIY TSISWAAAPTTTSSLSPVRSRSLSFSEPQQPPPTVKSHLIVTSPPRAQSSTRKARGEAKK CRKVYGIEHRDQWCTACRWKKACQRFLD >ENSMUSP00000043918.6 pep:known chromosome:GRCm38:16:97356728:97439012:1 gene:ENSMUSG00000040605.6 transcript:ENSMUST00000047275.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bace2 description:beta-site APP-cleaving enzyme 2 [Source:MGI Symbol;Acc:MGI:1860440] MGALLRALLLPVLAQWLLSAVPALAPAPFTLPLQVARATNHRASAVPGLGTPELPRADGL ALALEPVRATANFLAMVDNLQGDSGRGYYLEMLIGTPPQKVQILVDTGSSNFAVAGAPHS YIDTYFDSESSSTYHSKGFDVTVKYTQGSWTGFVGEDLVTIPKGFNSSFLVNIATIFESE NFFLPGIKWNGILGLAYAALAKPSSSLETFFDSLVAQAKIPDIFSMQMCGAGLPVAGSGT NGGSLVLGGIEPSLYKGDIWYTPIKEEWYYQIEILKLEIGGQNLNLDCREYNADKAIVDS GTTLLRLPQKVFDAVVEAVARTSLIPEFSDGFWTGAQLACWTNSETPWAYFPKISIYLRD ENASRSFRITILPQLYIQPMMGAGFNYECYRFGISSSTNALVIGATVMEGFYVVFDRAQR RVGFAVSPCAEIEGTTVSEISGPFSTEDIASNCVPAQALNEPILWIVSYALMSVCGAILL VLILLLLLPLHCRHAPRDPEVVNDESSLVRHRWK >ENSMUSP00000035110.4 pep:known chromosome:GRCm38:9:121784016:121792507:-1 gene:ENSMUSG00000032523.10 transcript:ENSMUST00000035110.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhatl description:hedgehog acyltransferase-like [Source:MGI Symbol;Acc:MGI:1922020] MGIKTALPAAELGLYSLVLSGALAYAGRGLLEASQDGAHRKAFRESVRPGWEYLGRKMDV ADFEWVMWFTNFRNVIVFALSGHVLFAKLCTMVAPQLRSWMYAVYGVLAVVGTMGPWYLL LLLGHCMVLYVASLLGQRWLCLALGLASLASFKVDPGISWQSGFVTGTFDLQDVLFHGGS SFTVLRCTSFALESCAHPDRRYSLADLLKYNFYLPFFFFGPIMTFDRFHAQVSQEPVRPE GELWHIQAQAGLSAAAIVAVDVFFHFFYILTIPSDLKFASRLPDSALAGLAYSNLVYDWV KAAVLFGVVNTVARLDHLDPPQPPKCITALYVFGETHFDRGINDWLCKYVYDHIGGDHST VIPELAASVATFVVTTLWLGPCDIVYLWSVLNCFGLNFELWVQKLAERGPLAQIEARLSE QMSRRVRALCGAVNFWAIIMYNLVSLNSLEFTELVARRLILTGFPQTTLAVLFVTYCGVQ LVKERERSLALEEEQRQDREKLE >ENSMUSP00000131971.1 pep:known chromosome:GRCm38:9:121784022:121791036:-1 gene:ENSMUSG00000032523.10 transcript:ENSMUST00000163981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhatl description:hedgehog acyltransferase-like [Source:MGI Symbol;Acc:MGI:1922020] MGIKTALPAAELGLYSLVLSGALAYAGRGLLEASQDGAHRKAFRESVRPGWEYLGRKMDV ADFEWVMWFTNFRNVIVFALSGHVLFAKLCTMVAPQLRSWMYAVYGVLAVVGTMGPWYLL LLLGHCMVLYVASLLGQRWLCLALGLASLASFKVDPGISWQSGFVTGTFDLQDVLFHGGS SFTVLRCTSFALESCAHPDRRYSLADLLKYNFYLPFFFFGPIMTFDRFHAQVSQEPVRPE GELWHIQAQAGLSAAAIVAVDVFFHFFYILTIPSDLKFASRLPDSALAGLAYSNLVYDWV KAAVLFGVVNTVARLDHLDPPQPPKCITALYVFGETHFDRGINDWLCKYVYDHIGGDHST VIPELAASVATFVVTTLWLGPCDIVYLWSVLNCFGLNFELWVQKLAERGPLAQIEARLSE QMSRRVRALCGAVNFWAIIMYNLVSLNSLEFTELVARRLILTGFPQTTLAVLFVTYCGVQ LVKERERSLALEEEQRQDREKLE >ENSMUSP00000056340.6 pep:known chromosome:GRCm38:10:76032654:76043234:1 gene:ENSMUSG00000049764.7 transcript:ENSMUST00000061617.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280b description:zinc finger protein 280B [Source:MGI Symbol;Acc:MGI:1927865] MEQPFTVDDEDEQDPEPQPRSGEPIQVLDDDAAEDAELIFVGVEKVKEDAELIFVGVTSA SQPANSNILNRVTPGSRLKRKHDRLRATTTQRLQPSRPAAPTSEAVVVLPASPAESRSTD SPIAIEPLSESDYRSSSPQVVPSSSSKCCSPLVTLSSSENSPVKAALSGGDLNENLYVSK CHFSINPQRPDHSIEIVEPLSSALPPSGTFHTSNMQQRTPSFDAPNSLSRLVNGAPPSED SAHPRQDSGLAEADFSSPASQETFDPKKGSLTLLLSDFYYGQYKGDGKPEQKTHTTFKCP SCLKALKNVKFMNHTKHHLELERQGSEDAWESHTTCLRCHRQFDSPFQLECHIDRVHTSP DPSVVCKICELSFETDQVLLQHMKDNHKPGEMPYVCQVCKYRSSGFADVETHFTKCHVNT KNLLCPFCLKIFKTGMPYMCHYRGHWERSGHQCSKCRLQFLTFKEKMEHKTQCHQMFKKP KQLEGLPPETKVVIQVSLQPVESESVKVASITVSTTDWEPSNPRPQGRLSQKPH >ENSMUSP00000078761.4 pep:known chromosome:GRCm38:10:57486385:57513133:1 gene:ENSMUSG00000019878.7 transcript:ENSMUST00000079833.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf2 description:heat shock factor 2 [Source:MGI Symbol;Acc:MGI:96239] MKQSSNVPAFLSKLWTLVEETHTNEFITWSQNGQSFLVLDEQRFAKEILPKYFKHNNMAS FVRQLNMYGFRKVVHIESGIIKQERDGPVEFQHPYFKQGQDDLLENIKRKVSSSKPEENK IRQEDLTKIISSAQKVQIKQETIESRLSELKSENESLWKEVSELRAKHAQQQQVIRKIVQ FIVTLVQNNQLVSLKRKRPLLLNTNGAPKKNLYQHIVKEPTDNHHHKVPHSRTEGLKSRE RISDDIIIYDVTDDNVDEENIPVIPETNEDVVVDSSNQYPDIVIVEDDNEDEYAPVIQSG EQSEPAREPLRVGSAGSSSPLMSSAVQLNGSSSLTSEDPVTMMDSILNDNINLLGKVELL DYLDSIDCSLEDFQAMLSGRQFSIDPDLLVDSENKGLEATKSSVVQHVSEEGRKSKSKPD KQLIQYTAFPLLAFLDGNSASAIEQGSTTASSEVVPSVDKPIEVDELLDSSLDPEPTQSK LVRLEPLTEAEASEATLFYLCELAPAPLDSDMPLLDS >ENSMUSP00000005620.8 pep:known chromosome:GRCm38:8:83608175:83611902:1 gene:ENSMUSG00000005483.9 transcript:ENSMUST00000005620.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajb1 description:DnaJ heat shock protein family (Hsp40) member B1 [Source:MGI Symbol;Acc:MGI:1931874] MGKDYYQTLGLARGASDDEIKRAYRRQALRYHPDKNKEPGAEEKFKEIAEAYDVLSDPRK REIFDRYGEEGLKGGSPSGGSSGGANGTSFSYTFHGDPHAMFAEFFGGRNPFDTFFGQRN GEEGMDIDDTFSSFPMGMGGFTNMNFGRSRPSQEPTRKKQDPPVTHDLRVSLEEIYSGCT KKMKISHKRLNPDGKSIRNEDKILTIEVKRGWKEGTKITFPKEGDQTSNNIPADIVFVLK DKPHNIFKRDGSDVIYPARISLREALCGCTVNVPTLDGRTIPVVFKDVIRPGMRRKVPGE GLPLPKTPEKRGDLVIEFEVIFPERIPVSSRTILEQVLPI >ENSMUSP00000084089.5 pep:known chromosome:GRCm38:17:55652025:55712628:-1 gene:ENSMUSG00000024174.8 transcript:ENSMUST00000086876.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pot1b description:protection of telomeres 1B [Source:MGI Symbol;Acc:MGI:1920086] MSSAPVAPSTYTPLNLLKEGTVVNVCGVVKFFKPPYLSKGTDYCSVVTIVDQTNVKLTCM LFSRDYDTLPSVYKVGDIVCFQGLKIQAYKNELQAISKFGFASLTFDGTLGAPIILRTLS KRFNFTAQDYSMVEALRTWASTHISASSNLVQLSDAQPMQYYDLTCQLLGKAQVDGVSFL LKVWDGTRTKIPSWRVCIQDVAFEGDLSHILQLQNLVVDIVVYDNHVQVAKSLKIGSFLR IYSLHTKLQPINSESTTSLVRLEFHLHGGTSYGRGIRVLPESNYDVDQLKKALESVDLEA IQTSDDTYQSDNVENCLTSSSSESDLVSLYEVERCQPLCATTLTGYHHLEKTSLCDILKQ RAPRQYRIRAKLRSYKPRRLPQSVKLFCPKCHLLQEIPSEENVDKILQDATATAPDCKLQ DTPLYDSEVWTTEGQGGRQVAVHFVKNDGVLPHSSECLVLIEGGRLCEISRLSSMFHSVI PVRSGPEDLELVDLAAPFLIRGKVCHYGCKQCSNLKTIQNLSIIPNKRLWIPSSVAEVLG IVPLQYVFVMTFMFDDGTGVLDVYLKDSEKFFQIPASEVLTDDDLQRNLEQIMNVICPPG IKIDAHPWLECLIKSYNVTSGTEHQICYQIFDTMIAEDII >ENSMUSP00000045016.7 pep:known chromosome:GRCm38:14:75702351:75754493:-1 gene:ENSMUSG00000034893.7 transcript:ENSMUST00000049168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog3 description:component of oligomeric golgi complex 3 [Source:MGI Symbol;Acc:MGI:2450151] MAEAALLLPPEAAAERDAREKLSLWDGRPDSMAPLTDRQTDSVLELKAAVENLPVPAELP IEDVCSLASQSLPIELTAVVPDSTEDILLKGFTSLGMEEERIETAQQFFSWFAKLQTQMD QDEGTKYRQMRDYLSGFQEQCDAILNDVNSALQHQESLQKQYLFVSNKTGTLHEACEQLL KEQSELADLAEHIQQKLSYFNELETINTKLNSPTLSVNSEGFIPMLAKLDDCITYISSHP NFKDYPVYLLKFKQCLSKALHLMKTYTVNTLQTLTNQLLKRDPSSVPNADNAFTLFYVKF RAAAPKVRTLIEQIEQRSEKIPEYQHLLNDIHQCYLDQRELLLGPSIAYTVTELTSQNNR DHCALVRSGCAFMVHVCQDEHQLYNEFFTKPTSKLDELLEKLCVSLYDVFRPLIIHVIHL ETLSELCGILKNEVLEDHVQHNAEQLGAFAAGVKQMLEDVQERLVYRTHIYIQTDITGYK PAPGDLAYPDKLVMMEQIAQSLKDEQKKAPSEASFSDVRLEEGEASGLRKSGSTDSLNPR PQTTISPADLHGMWYPTVRRTLVCLSKLYRCIDRAVFQGLSQEALSACIQSLLGASESIS KNKTQIDGQLFLIKHLLILREQIAPFHTEFTIKEISLDLKKTRDAAFKILNPMTVPRFFR LNSNNALIEFLLEGTPEIREHYLDSKKDVDRHLKSACEQFIQQQTRLFVEQLEEFMTKVS ALKTMASQGGPKYTLSQQPWAQPAKVNDLVATAYKTIKTKLPLTLRSMALYLSNKDTEFI LFKPVRNNIQQVFQKFHALLKEEFSSEDIQIIACPSMEQLNLLLSVSK >ENSMUSP00000046101.9 pep:known chromosome:GRCm38:10:81176631:81182507:1 gene:ENSMUSG00000034994.9 transcript:ENSMUST00000047864.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef2 description:eukaryotic translation elongation factor 2 [Source:MGI Symbol;Acc:MGI:95288] MVNFTVDQIRAIMDKKANIRNMSVIAHVDHGKSTLTDSLVCKAGIIASARAGETRFTDTR KDEQERCITIKSTAISLFYELSENDLNFIKQSKDGSGFLINLIDSPGHVDFSSEVTAALR VTDGALVVVDCVSGVCVQTETVLRQAIAERIKPVLMMNKMDRALLELQLEPEELYQTFQR IVENVNVIISTYGEGESGPMGNIMIDPVLGTVGFGSGLHGWAFTLKQFAEMYVAKFAAKG EGQLSAAERAKKVEDMMKKLWGDRYFDPANGKFSKSANSPDGKKLPRTFCQLILDPIFKV FDAIMNFRKEETAKLIEKLDIKLDSEDKDKEGKPLLKAVMRRWLPAGDALLQMITIHLPS PVTAQKYRCELLYEGPPDDEAAMGIKSCDPKGPLMMYISKMVPTSDKGRFYAFGRVFSGV VSTGLKVRIMGPNYTPGKKEDLYLKPIQRTILMMGRYVEPIEDVPCGNIVGLVGVDQFLV KTGTITTFEHAHNMRVMKFSVSPVVRVAVEAKNPADLPKLVEGLKRLAKSDPMVQCIIEE SGEHIIAGAGELHLEICLKDLEEDHACIPIKKSDPVVSYRETVSEESNVLCLSKSPNKHN RLYMKARPFPDGLAEDIDKGEVSARQELKARARYLAEKYEWDVAEARKIWCFGPDGTGPN ILTDITKGVQYLNEIKDSVVAGFQWATKEGALCEENMRGVRFDVHDVTLHADAIHRGGGQ IIPTARRCLYASVLTAQPRLMEPIYLVEIQCPEQVVGGIYGVLNRKRGHVFEESQVAGTP MFVVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQILPGDPFDNSSRPSQVVAETRK RKGLKEGIPALDNFLDKL >ENSMUSP00000086560.3 pep:known chromosome:GRCm38:15:82233779:82244747:-1 gene:ENSMUSG00000068101.10 transcript:ENSMUST00000089157.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpm description:centromere protein M [Source:MGI Symbol;Acc:MGI:1913820] MSVLRSMDKLPDLNRATVLLVSTEDALLQQLAESMLKDDCASELRVHLANSLPLPSNVNR PRIDLIVFVINLHSKYSLQKVEEFLQHVDSSFFLGKVCFLVTGAGQESHCSVHQNTVIKL AHTYRSPLLLCDLQVESFRAAMARRLVRILQICAGHVPGVSALNLMSLLRSPENPPSKEL >ENSMUSP00000086558.4 pep:known chromosome:GRCm38:15:82233779:82244747:-1 gene:ENSMUSG00000068101.10 transcript:ENSMUST00000089155.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpm description:centromere protein M [Source:MGI Symbol;Acc:MGI:1913820] MSVLRSMDKLPDLNRATVLLVSTEDALLQQLAESMLKDDCASELRVHLANSLPLPSNVNR PRIDLIVFVINLHSKYSLQKVEEFLQHVDSSFFLGKVCFLVTGGGKLSCSHGAASGAHSA DLRWPCARRLRAEPDVLAAEPREPPIQGAVSITALHTFAASSKSSHCW >ENSMUSP00000000808.7 pep:known chromosome:GRCm38:8:70808449:70821424:1 gene:ENSMUSG00000000791.8 transcript:ENSMUST00000000808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il12rb1 description:interleukin 12 receptor, beta 1 [Source:MGI Symbol;Acc:MGI:104579] MDMMGLAGTSKHITFLLLCQLGASGPGDGCCVEKTSFPEGASGSPLGPRNLSCYRVSKTD YECSWQYDGPEDNVSHVLWCCFVPPNHTHTGQERCRYFSSGPDRTVQFWEQDGIPVLSKV NFWVESRLGNRTMKSQKISQYLYNWTKTTPPLGHIKVSQSHRQLRMDWNVSEEAGAEVQF RRRMPTTNWTLGDCGPQVNSGSGVLGDIRGSMSESCLCPSENMAQEIQIRRRRRLSSGAP GGPWSDWSMPVCVPPEVLPQAKIKFLVEPLNQGGRRRLTMQGQSPQLAVPEGCRGRPGAQ VKKHLVLVRMLSCRCQAQTSKTVPLGKKLNLSGATYDLNVLAKTRFGRSTIQKWHLPAQE LTETRALNVSVGGNMTSMQWAAQAPGTTYCLEWQPWFQHRNHTHCTLIVPEEEDPAKMVT HSWSSKPTLEQEECYRITVFASKNPKNPMLWATVLSSYYFGGNASRAGTPRHVSVRNQTG DSVSVEWTASQLSTCPGVLTQYVVRCEAEDGAWESEWLVPPTKTQVTLDGLRSRVMYKVQ VRADTARLPGAWSHPQRFSFEVQISRLSIIFASLGSFASVLLVGSLGYIGLNRAAWHLCP PLPTPCGSTAVEFPGSQGKQAWQWCNPEDFPEVLYPRDALVVEMPGDRGDGTESPQAAPE CALDTRRPLETQRQRQVQALSEARRLGLAREDCPRGDLAHVTLPLLLGGVTQGASVLDDL WRTHKTAEPGPPTLGQEA >ENSMUSP00000025004.6 pep:known chromosome:GRCm38:17:55749984:55853662:1 gene:ENSMUSG00000032915.6 transcript:ENSMUST00000025004.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgre4 description:adhesion G protein-coupled receptor E4 [Source:MGI Symbol;Acc:MGI:1196464] MLMGATRDMGSRCLLHASVPGMLLIWSILQMMNISASCPQCNENASCFNSTHCVCKEGFW TGSENRRIIEPHEKCQDINECLLKELVCKDVSYCRNKIGTYICSCVVKYPLFNWVAGIIN IDHPDCYVNKSKNTGSKTHTLGVLSEFKSKEEVAKGATKLLRKVEHHILNENSDIPKKDE NPLLDIVYETKRCKTMTLLEAGNNTMKVDCTSGFKEHNSGGETAVAFIAYKSLGNLLNGS FFSNEEGFQEVTLNSHIVSGAIRSEVKPVLSEPVLLTLQNIQPIDSRAEHLCVHWEGSEE GGSWSTKGCSHVYTNNSYTICKCFHLSSFAVLMALPHEEDGVLSALSVITYVGLSLSLLC LFLAAITFLLCRPIQNTSTTLHLQLSICLFLADLLFLTGINRTKPKVLCSIIAGMLHYLY LASFMWMFLEGLHLFLTVSNLKVANYSNSGRFKKRFMYPVGYGLPAFIVAVSAIAGHKNY GTHNHCWLSLHRGFIWSFLGPAAAIILINLVFYFLIIWILRSKLSSLNKEVSTLQDTKVM TFKAIVQLFVLGCSWGIGLFIFIEVGKTVRLIVAYLFTIINVLQGVLIFMVHCLLNRQVR MEYKKWFHRLRKEVESESTEVSHSTTHTKMGLSLNLENFCPTGNLHDPSDSILPSTEVAG VYLSTPRSHMGAEDVNSGTHAYWSRTISD >ENSMUSP00000127027.1 pep:known chromosome:GRCm38:7:16272014:16273692:-1 gene:ENSMUSG00000091811.1 transcript:ENSMUST00000169612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inafm1 description:InaF motif containing 1 [Source:MGI Symbol;Acc:MGI:1913550] MRGSSCVGSGGESPGGTGLSEGPRGRWLRLAPVCAYFLCVSLAAVLLAVYYGLIWVPTRP PAGPADPLPSAAAAPCARAAPPAPPPAAPASCLLGASGGPRPQPGLPRSRRHSRRPAPGA TRETARRAPG >ENSMUSP00000065002.4 pep:known chromosome:GRCm38:8:33386325:33387531:1 gene:ENSMUSG00000049184.5 transcript:ENSMUST00000070340.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Purg description:purine-rich element binding protein G [Source:MGI Symbol;Acc:MGI:1922279] MERARRRGGGGSGGGRGRGGKNVGGPGLSKSRLYPQAQHSHYPHYSASATPNQSGGTSEI QELASKRVDIQKKRFYLDVKQSSRGRFLKIAEVWIGRGRQDNIRKSKLTLSLSVAAELKD CLGDFIEHYAHLGLKGHRQEHGQSKEQVSRRRQKHSAPSPPVSVGSEEHPHSVLKTDYIE RDNRKYYLDLKENQRGRFLRIRQTMMRGTGMIGYFGHSLGQDQTIVLPAQGMIEFRDALV QLIEDYGEGDIEERRCGDDDPLELPEGTSFRVDNKRFYFDVGSNKYGIFLKVSEVRPPYR NTITVPFKAWTRFGENFIKYEEEMRKICNSHKEKRMDGRRASGEEQECLD >ENSMUSP00000077205.3 pep:known chromosome:GRCm38:8:33386325:33417468:1 gene:ENSMUSG00000049184.5 transcript:ENSMUST00000078058.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Purg description:purine-rich element binding protein G [Source:MGI Symbol;Acc:MGI:1922279] MERARRRGGGGSGGGRGRGGKNVGGPGLSKSRLYPQAQHSHYPHYSASATPNQSGGTSEI QELASKRVDIQKKRFYLDVKQSSRGRFLKIAEVWIGRGRQDNIRKSKLTLSLSVAAELKD CLGDFIEHYAHLGLKGHRQEHGQSKEQVSRRRQKHSAPSPPVSVGSEEHPHSVLKTDYIE RDNRKYYLDLKENQRGRFLRIRQTMMRGTGMIGYFGHSLGQDQTIVLPAQGMIEFRDALV QLIEDYGEGDIEERRCGDDDPLELPEGTSFRVDNKRFYFDVGSNKYGIFLKLTNYPKSRE NLNLFHCCQTKHKEQPYDTPKTVEE >ENSMUSP00000015594.7 pep:known chromosome:GRCm38:14:56082166:56085216:-1 gene:ENSMUSG00000022157.7 transcript:ENSMUST00000015594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcpt8 description:mast cell protease 8 [Source:MGI Symbol;Acc:MGI:1261780] MFLLLVLLVAALPVNAEGGEIIWGTESKPHSRPYMAYIRFNDSKSVYRCGGFLVARDIVM TAAHCNGKVINVTLGIHNLKKKKNTQLIPVSEAIPHESFDNETLVNDIMLLKLERKAQLN SAVDTIALPKSKDWVKPGQVCTVAGWGKLANCTLSDTLQEVNLEVQKGQKCRSMSQTYND SIQLCVGNPSENKATGKGDSGGPFVCNGVVQGIVSCRLCTGTLPRVFTRISSFMPWIRKT MKLLQQP >ENSMUSP00000045566.7 pep:known chromosome:GRCm38:14:75016027:75052532:1 gene:ENSMUSG00000034959.7 transcript:ENSMUST00000036072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5031414D18Rik description:RIKEN cDNA 5031414D18 gene [Source:MGI Symbol;Acc:MGI:2685590] MNSRVTPSGTTAIGELCFEHVHSIPGKMVSQSIGWGDSPVDLCEGSNRAFQDTDQPACQL DVRLLRHKASWINPLCVQQPLQELCPQRPTVQSSENHVVLDTPSPLRLSILSYRDSLAEM PLSENTADVICSNSAHCSGGKEGDFFLATEEQEVHLQQESLLKNPKTVATSPSPKEGSAR SESPHLTASTDDGDARSSSRSHAWNFFPLETFMLPADVEKENLHFYAADIIISVIENMKC NLPNQQQPERWDTEDASRLRGTGAEMTFYTHIKQEPGSSASSHTGCEGCAALQVSPVAET LSYCPVAGEACKHDLNKLVMLELGKYNDITKGCRCSYNSSKSATCESNLSPAGCLARELF RGFCKCWMLSEVNCQLPGSPTTASSGVGDEEYAEEDFDSSVDAAREVMLKSRVPGTEDWV LPRCQIILTVHPPIKRDIAVVAQNFFCAGCGTPIQPKFVKRLRYCEYLGKYFCASCHSSA ESCIPARILTMWDFRKYQVSDFSKWLLDSVWHQPVFKLLGGHHSLYAKAKELDRVKDLQE QLFHIKKLLKTCRFADSVLKEFEQVPSHLTDECHIFSMDDFLRTKKGLLAPLLKDILRAS LAHVDSCELCQGKGFICEFCQSTTVIFPFQTTTCRRCAACRACFHKQCFQSSRCPRCARI IARRQHLESLPTAAT >ENSMUSP00000105234.3 pep:known chromosome:GRCm38:15:80255184:80257540:1 gene:ENSMUSG00000042406.7 transcript:ENSMUST00000109605.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf4 description:activating transcription factor 4 [Source:MGI Symbol;Acc:MGI:88096] MTEMSFLNSEVLAGDLMSPFDQSGLGAEESLGLLDDYLEVAKHLKPHGFSSDKAGSSEWP AMDDGLASASDTGKEDAFSGTDWMLEKMDLKEFDFDALFRMDDLETMPDELLTTLDDTCD LFAPLVQETNKEPPQTVNPIGHLPESLIKVDQVAPFTFLQPFPCSPGVLSSTPEHSFSLE LGSEVDISEGDRKPDSAAYITLIPPCVKEEDTPSDNDSGICMSPESYLGSPQHSPSTSRA PPDNLPSPGGSRGSPRPKPYDPPGVSLTAKVKTEKLDKKLKKMEQNKTAATRYRQKKRAE QEALTGECKELEKKNEALKEKADSLAKEIQYLKDLIEEVRKARGKKRVP >ENSMUSP00000056525.6 pep:known chromosome:GRCm38:15:101459061:101463765:-1 gene:ENSMUSG00000067615.3 transcript:ENSMUST00000061185.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt81 description:keratin 81 [Source:MGI Symbol;Acc:MGI:1928858] MTCGSGFCGRAFSCASACGPRPGRCCISAAPYRGISCYRGLSGGFGSQSVCGAFRSGSCG RSFGYRSGGICGPSPPCITTVSVNESLLTPLNLEIDPNAQCVKHEEKEQIKCLNSKFAAF IDKVRFLEQQNKLLETKWQFYQNRKCCESNMEPLFEGYIEALRREAECVEADSGRLAAEL NHAQESMEGYKKRYEEEVSLRATAENEFVALKKDVDCAYLRKSDLEANAEALTQETDFLR RMYDEETRILHSHISDTSIVVKMDNSRDLNMDCVVAEIKAQYDDIASRSRAEAESWYRTK CEEIKATVIRHGETLRRTREEINELNRMIQRLTAEIENAKCQNTKLEAAVTQSEQQGEAA LADARCKLAELEGALQKAKQDMACLLKEYQEVMNSKLGLDVEITTYRRLLEGEEQRLCEG VGAVNVCVSSSRGGVVCGDLCVSGSRPVIGSACSAPCSGNLAVNTGLCAPCGSAVSCGRK C >ENSMUSP00000022927.9 pep:known chromosome:GRCm38:15:51962605:51991760:-1 gene:ENSMUSG00000022314.9 transcript:ENSMUST00000022927.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad21 description:RAD21 homolog (S. pombe) [Source:MGI Symbol;Acc:MGI:108016] MFYAHFVLSKRGPLAKIWLAAHWDKKLTKAHVFECNLESSVESIISPKVKMALRTSGHLL LGVVRIYHRKAKYLLADCNEAFIKIKMAFRPGVVDLPEENREAAYNAITLPEEFHDFDQP LPDLDDIDVAQQFSLNQSRVEEITMREEVGNISILQENDFGDFGMDDREIMREGSAFEDD DMLVSTSASNLLLEPEQSTSNLNEKMNHLEYEDQYKDDNFGEGNDGGILDDKLISNNDGG IFDDPPALSEAGVMLPEQPAHDDMDEDDNGSLGGPDSPDSVDPVEPMPTMTDQTTLVPNE EEAFALEPIDITVKETKAKRKRKLIVDSVKELDSKTIRAQLSDYSDIVTTLDLAPPTKKL MMWKETGGVEKLFFLPAQPLWNNRLLKLFTRCLTPLVPEDLRKRRKGGEADNLDEFLKEF ENPEVPREEQQPQQQQPQPQRDVIDEPIIEEPSRLQDSVMEASRTTIEESAMPPPPPQGV KRKAGQIDPEPSIPPQQVEQMEIPPVELPPEEPPNICQLIPELELLPEKEKEKEKEKEEE EEEEDEDASGGDQDQEERRWNKRTQQMLHGLQRALAKTGAESISLLELCRNTNRKQAAAK FYSFLVLKKQQAIELTQEEPYSDIIATPGPRFHII >ENSMUSP00000020420.7 pep:known chromosome:GRCm38:10:80706978:80742211:-1 gene:ENSMUSG00000020198.7 transcript:ENSMUST00000020420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3d1 description:adaptor-related protein complex 3, delta 1 subunit [Source:MGI Symbol;Acc:MGI:107734] MALKMVKGSIDRMFDKNLQDLVRGIRNHKEDEAKYISQCIDEIKQELKQDNIAVKANAVC KLTYLQMLGYDISWAAFNIIEVMSASKFTFKRVGYLAASQCFHEGTDVIMLTTNQIRKDL SSPSQYDTGVALTGLSCFVTPDLARDLANDIMTLMSHTKPYIRKKAVLIMYKVFLKYPES LRPAFPRLKEKLEDPDPGVQSAAVNVICELARRNPKNYLSLAPLFFKLMTSSTNNWVLIK IIKLFGALTPLEPRLGKKLIEPLTNLIHSTSAMSLLYECVNTVIAVLISLSSGMPNHSAS IQLCVQKLRILIEDSDQNLKYLGLLAMSKILKTHPKSVQSHKDLILQCLDDKDESIRLRA LDLLYGMVSKKNLMEIVKKLMTHVDKAEGTTYRDELLTKIIDICSQSNYQHITNFEWYIS ILVELTRLEGTRHGHLIAAQMLDVAIRVKAIRKFAVSQMSSLLDSAHLVASSTQRNGICE VLYAAAWICGEFSEHLQGPQQTLEAMLRPKVTTLPGHIQAVYVQNVVKLYASILQQKEQA ADTEAAQEVTQLLVERLPQFVQSADLEVQERASCILQLVKHVQKLQAKGVPVAEEVSALF AGELNPVAPKAQKKVPVPEGLDLDAWINEPPSDSESEDEKPKAIFHEEEPRHTRRRQPEE DEEELARRREARKQEQANNPFYIKSSPSPQKRYQDAPGVEHIPVVQIDLSVPLKVPGMPM SDQYVKLEEQRRHRQRLEKDKKRKKKEKGKRRHSSLPTESDEDIAPAQRVDIITEEMPEN ALPSDEDDKDPNDPYRALDIDLDKPLADSEKLPVQKHRNAEAVKSPEKEGVLGVEKKSKK PKKKEKKTKEREREKKDKKGEDLDFWLSTTPPPAAAPIPAPSTEELAASTITSPKDECEV LKGEEEDHVDHDQERKSSRHKKKKHRKEKEKEERPRDKKKAKKKQVAPLENGAAAEEEEE PIPPMSSYCLLAESPYIKVTYDIQASLQKDSQVTVSIILENQSSSFLKNMELNVLDSLNT KMTRPEGSSVHDGVPVPFQLPPGVSNEAQFVFTIQSIVMAQKLKGTLSFIAKDDEGATHE KLDFRLHFSCSSYLITTPCYSDAFAKLLESGDLSMNSIKVDGISMSFQNLLAKICFYHHF SVVERVDSCASMYSRSIQGHHVCLLVKKGESSVSVDGKCSDATLLSSLLEEMKTTLAQC >ENSMUSP00000103445.2 pep:known chromosome:GRCm38:7:45141842:45154538:-1 gene:ENSMUSG00000007833.14 transcript:ENSMUST00000107815.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh16a1 description:aldehyde dehydrogenase 16 family, member A1 [Source:MGI Symbol;Acc:MGI:1916998] MAATRVQPSTREIFTTLEYGPVPESHACALAWLDTHNRLLGHHVNGMWLKPEHRNPAPCQ DPITGENLASCLQAEAEDIAAAVEAAKIAFKAWSQLPGAARGQHLTRLAKVVQKHQRLLW TLESLVTGRAVREVRDGDVPLAQQLLQYHAVQAHAQGDALADWQPVGVIGLILPTPFSFL DMMWRVCPALAMGCTVVALVPPAFPTPLLLAQLAGELGSFPGILNVVCGPASLGPVLASQ PGVQKVAFCGAVEEGRVLRRTLAGRGAELGLALGTESLLLLMDSADVDSAVEGVVDAVWS DRSLGGLRLLIQESVWDEAMRRLQARMAQIRSGRGLDGAVDMGARGAAARDLAQSFVDEA QSQGGQVFQAGDVPSSSPFFSPALVSGLPPAAPCAQAEVPWPVVMASPFRTVKEALALAN GTPRGGSASVWSERLGQALELGYGLQVGTVWINAHGLRDPAVPTGGCKESGSSWHGGPDG LYEYLQPLGTPSQESFLCENINYDTFGLAASSILPSGPETGPSPAPPYGLFVGGRFQSPG TQSSRPIQDSSGKVSSYVAEGGAKDIRGAVEAAHQAAPGWGAQSPRARAGLLWALAAALE RRKPVLTSQLERHGAAPTVAKIEVELSVRRLQTWGTRVQDQGQTLQVTGLRGPVLRLREP LGVLAVVCPDEWPLLAFVSLLAPALAHGNAVVLVPSGACPLLALEVCQDIAPLFPAGLVS VVTGDRDHLTRCLALHQDVQALWYFGSAQGSQFVEWASAGNLKSVWVNRGFPRAWDVEVQ GAGQELSLHAARTKALWLPMGD >ENSMUSP00000022692.3 pep:known chromosome:GRCm38:14:70520941:70524081:-1 gene:ENSMUSG00000022097.3 transcript:ENSMUST00000022692.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sftpc description:surfactant associated protein C [Source:MGI Symbol;Acc:MGI:109517] MDMSSKEVLMESPPDYSAGPRSQFRIPCCPVHLKRLLIVVVVVVLVVVVIVGALLMGLHM SQKHTEMVLEMSIGAPETQKRLAPSERADTIATFSIGSTGIVVYDYQRLLTAYKPAPGTY CYIMKMAPESIPSLEAFARKLQNFQAKPSTPTSKLGQEEGHDTGSESDSSGRDLAFLGLA VSTLCGELPLYYI >ENSMUSP00000037764.5 pep:known chromosome:GRCm38:17:72836704:72851195:1 gene:ENSMUSG00000039770.5 transcript:ENSMUST00000045174.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel5 description:yippee-like 5 (Drosophila) [Source:MGI Symbol;Acc:MGI:1916937] MGRIFLDHIGGTRLFSCANCDTILTNRSELISTRFTGATGRAFLFNKVVNLQYSEVQDRV MLTGRHMVRDVSCKNCNSKLGWIYEFATEDSQRYKEGRVILERALVRESEGFEEHVPSDN S >ENSMUSP00000068195.7 pep:known chromosome:GRCm38:17:65651726:65772752:-1 gene:ENSMUSG00000056515.8 transcript:ENSMUST00000070673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab31 description:RAB31, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1914603] MMAIRELKVCLLGDTGVGKSSIVCRFVQDHFDHNISPTIGASFMTKTVPCGNELHKFLIW DTAGQERFHSLAPMYYRGSAAAVIVYDITKQDSFHTLKKWVKELKEHGPENIVMAIAGNK CDLSDIREVPLKDAKEYAESIGAIVVETSAKNAINIEELFQGISRQIPPLGPQENGNSGG IKLGNQSLQASRRCC >ENSMUSP00000065679.6 pep:known chromosome:GRCm38:8:35375741:35388124:1 gene:ENSMUSG00000046794.8 transcript:ENSMUST00000070481.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r3b description:protein phosphatase 1, regulatory (inhibitor) subunit 3B [Source:MGI Symbol;Acc:MGI:2177268] MAVDIQYSYSSMAPSLRRERFTFKISPKLSKPLRPCIQLGSKDEASGMVAPAVQEKKVKK RVSFADNQGLALTMVKVFSEFDDPLDIPFNITELLDNIVSLTTAESESFVLDFPQPSADY LDFRNRLQTNHVCLENCVLKDKAIAGTVKVQNLAFEKVVKIRMTFDTWKSFTDFPCQYVK DTYAGSDRDTFSFDISLPEKIQSYERMEFAVCYECNGQAYWDSNKGKNYRITRAELRSSP GKIEPYNGPDFGISFDQFGSPRCSFGLFPEWPSYLGYEKLGPYY >ENSMUSP00000068158.7 pep:known chromosome:GRCm38:15:103438965:103448459:-1 gene:ENSMUSG00000053508.7 transcript:ENSMUST00000065978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC048502 description:cDNA sequence BC048502 [Source:MGI Symbol;Acc:MGI:2652828] MELESIELCPYDPNHRIPASRLQYHLASCKKKNPKIAKKMANCKYNACHVVPIKRLKEHE ANCINRTAVDDEPLNLQKITHPVFEENENFSSAGSQFPDPDVWNVDHAHHFPSFVLETFA PKKLVCESDSRDLQEAMADKHPNSFKSWGRGQKN >ENSMUSP00000092888.4 pep:known chromosome:GRCm38:17:46773907:46782656:-1 gene:ENSMUSG00000063888.6 transcript:ENSMUST00000078286.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl7l1 description:ribosomal protein L7-like 1 [Source:MGI Symbol;Acc:MGI:1913479] MAEEGERKKIPLVPENLLKKRKAYQALKATQAKQALLAKRERKGKQFRFRRLESFVHDSW RQQRDKVRVQRLEVKPRALEVPDKHPLAFVIRMERIEGVSLLVKSTIMKLGLKKLFSGVF VKVTPQSVRMLRTVEPYVTWGFPNLKSVRELILKRGQAKINNKTVPLTDNTVIEEHLGRF GVICLEDLIHEIAFPGKHFQEVSSFLCPFLLSVARHATRNRVGFRKEMGSPGYRGDRINQ LIRQLN >ENSMUSP00000003513.3 pep:known chromosome:GRCm38:7:45062429:45078503:1 gene:ENSMUSG00000003421.12 transcript:ENSMUST00000003513.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nosip description:nitric oxide synthase interacting protein [Source:MGI Symbol;Acc:MGI:1913644] MTRHGKNCTAGAVYTYHEKKKDTAASGYGTQNIRLSRDAVKDFDCCCLSLQPCHDPVVTP DGYLYEREAILEYILHQKREIARQVKAYEKQRGARREEQKELQRAAAQDQVRGFLEKEAA IVSRPLNPFMPKAATLPNTEGEQPGPSVGPVGKDKDKALPSFWIPSLTPEAKATKLEKPS RTVTCPMSGKPLRMSDLTSVRFTQLDDSVDRVGLITRSERYVCAVTRDSLSNATPCAVLR PSGAVVTLECVEKLIRKDMVDPVNGDTLTERDIIVLQRGGTGFAGSGVKLQAEMSRPVMQ A >ENSMUSP00000103460.2 pep:known chromosome:GRCm38:7:45062429:45078503:1 gene:ENSMUSG00000003421.12 transcript:ENSMUST00000107829.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nosip description:nitric oxide synthase interacting protein [Source:MGI Symbol;Acc:MGI:1913644] MTRHGKNCTAGAVYTYHEKKKDTAASGYGTQNIRLSRDAVKDFDCCCLSLQPCHDPVVTP DGYLYEREAILEYILHQKREIARQVKAYEKQRGARREEQKELQRAAAQDQVRGFLEKEAA IVSRPLNPFMPKAATLPNTEGEQPGPSVGPVGKDKDKALPSFWIPSLTPEAKATKLEKPL DDSVDRVGLITRSERYVCAVTRDSLSNATPCAVLRPSGAVVTLECVEKLIRKDMVDPVNG DTLTERDIIVLQRGGTGFAGSGVKLQAEMSRPVMQA >ENSMUSP00000105996.2 pep:known chromosome:GRCm38:8:47180048:47352348:-1 gene:ENSMUSG00000038143.14 transcript:ENSMUST00000110367.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stox2 description:storkhead box 2 [Source:MGI Symbol;Acc:MGI:1918319] MSPISQSQFIPLGEILCLAISAMNSARKPVTQEALMEHLTTCFPGVPTPSQEILRHTLNT LVRERKIYPTPDGYFIVTPQTYFITPSLIRTNSKWYHLDERVPDRSQCTSPQPGTITPSA SGCVRERTLPRKHCDSCHCCREDVHSMHASTLQRKSAKDCKDPYCPPPLCQVPPTEKSKS TINFSYKTETLSKPKDGEKQSKKFGLKLFRLSFKKDKTKQLANFSAQFPPEEWPLRDEDT PTTIPREVEMEIIRRINPDLTVENVMRHTALMKKLEEEKAHRSKAGSSAHHSGRSKKSRT HRKSHGKSRSHSKTRVSKGDPSDGSHLDIPGEREYEFCDPLTRAPREGCFIIEHKGDNFI MHSNTNVIESHFPMTPEWDVSGELAKRRTEMPFPEPSRGSSHSKVHRSHSHTQDRRSRNE RSNKAKERSRSMDNSKGPLGASSLGTPEDLAEGCSQDDQTPSQSYIDDSTLRPAQTIGHQ RAHIPSASYKEVCIPEIVGGSKEPSSACSLLEPGKTPESMPSYGELSPCPAKTAVDDYFQ CNTSSETVLTAPSPLGKNKEDHDTLTLVEGVKKLSPSERQTPHSSREPVGHKEESPKGPG GGPAASGGVAEGLANGRLVQHHSAEPSSLDKRKEIFSKDTLFKPLHSTLSVNSYHKSSLS LLKSHPKSPVDTLPGRCEKLEPSLGTSAAQAMPPSQRQQEPGGNQEASFDYYNVSDDDDS EEGANKNAEEEKNRDDVGTMQWLLEREKERDLQRKFEKNLTLLTPKETDSSSNQRATHSA RLDSMDSSSITVDSGFNSPRN >ENSMUSP00000078190.5 pep:known chromosome:GRCm38:8:47180048:47289402:-1 gene:ENSMUSG00000038143.14 transcript:ENSMUST00000079195.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stox2 description:storkhead box 2 [Source:MGI Symbol;Acc:MGI:1918319] MKKTRSTTLRRAWPSSDFSDRASDRMRSRSEKDYRLHKRFPAAFAPQASRGYMTSGDVSP ISMSPISQSQFIPLGEILCLAISAMNSARKPVTQEALMEHLTTCFPGVPTPSQEILRHTL NTLVRERKIYPTPDGYFIVTPQTYFITPSLIRTNSKWYHLDERVPDRSQCTSPQPGTITP SASGCVRERTLPRKHCDSCHCCREDVHSMHASTLQRKSAKDCKDPYCPPPLCQVPPTEKS KSTINFSYKTETLSKPKDGEKQSKKFGLKLFRLSFKKDKTKQLANFSAQFPPEEWPLRDE DTPTTIPREVEMEIIRRINPDLTVENVMRHTALMKKLEEEKAHRSKAGSSAHHSGRSKKS RTHRKSHGKSRSHSKTRVSKGDPSDGSHLDIPGEREYEFCDPLTRAPREGCFIIEHKGDN FIMHSNTNVIESHFPMTPEWDVSGELAKRRTEMPFPEPSRGSSHSKVHRSHSHTQDRRSR NERSNKAKERSRSMDNSKGPLGASSLGTPEDLAEGCSQDDQTPSQSYIDDSTLRPAQTIG HQRAHIPSASYKEVCIPEIVGGSKEPSSACSLLEPGKTPESMPSYGELSPCPAKTAVDDY FQCNTSSETVLTAPSPLGKNKEDHDTLTLVEGVKKLSPSERQTPHSSREPVGHKEESPKG PGGGPAASGGVAEGLANGRLVQHHSAEPSSLDKRKEIFSKDTLFKPLHSTLSVNSYHKSS LSLLKSHPKSPVDTLPGRCEKLEPSLGTSAAQAMPPSQRQQEPGGNQEASFDYYNVSDDD DSEEGANKNAEEEKNRDDVGTMQWLLEREKERDLQRKFEKNLTLLTPKETDSSSNQRATH SARLDSMDSSSITVDSGFNSPRTRESLASNTSSIVESNRRQNPALSPAHGGAGPTFNFRA STDPPTSEAEKLQKPSNCLQASVTSV >ENSMUSP00000001253.7 pep:known chromosome:GRCm38:12:31519827:31559969:-1 gene:ENSMUSG00000020651.7 transcript:ENSMUST00000001253.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a4 description:solute carrier family 26, member 4 [Source:MGI Symbol;Acc:MGI:1346029] MAARGGRSEPPQLAEYSCSYTVSRPVYSELAFQQQRERRLPERRTLRDSLARSCSCSRKR AFGVVKTLLPILDWLPKYRVKEWLLSDIISGVSTGLVGTLQGMAYALLAAVPVQFGLYSA FFPILTYFVFGTSRHISVGPFPVVSLMVGSVVLSMAPDDHFLVPSGNGSALNSTTLDTGT RDAARVLLASTLTLLVGIIQLVFGGLQIGFIVRYLADPLVGGFTTAAAFQVLVSQLKIVL NVSTKNYNGILSIIYTLIEIFQNIGDTNIADFIAGLLTIIVCMAVKELNDRFKHRIPVPI PIEVIVTIIATAISYGANLEKNYNAGIVKSIPSGFLPPVLPSVGLFSDMLAASFSIAVVA YAIAVSVGKVYATKHDYVIDGNQEFIAFGISNVFSGFFSCFVATTALSRTAVQESTGGKT QVAGLISAVIVMVAIVALGRLLEPLQKSVLAAVVIANLKGMFMQVCDVPRLWKQNKTDAV IWVFTCIMSIILGLDLGLLAGLLFALLTVVLRVQFPSWNGLGSVPSTDIYKSITHYKNLE EPEGVKILRFSSPIFYGNVDGFKKCINSTVGFDAIRVYNKRLKALRRIQKLIKKGQLRAT KNGIISDIGSSNNAFEPDEDVEEPEELNIPTKEIEIQVDWNSELPVKVNVPKVPIHSLVL DCGAVSFLDVVGVRSLRMIVKEFQRIDVNVYFALLQDDVLEKMEQCGFFDDNIRKDRFFL TVHDAILHLQNQVKSREGQDSLLETVARIRDCKDPLDLMEAEMNAEELDVQDEAMRRLAS >ENSMUSP00000094906.1 pep:known chromosome:GRCm38:17:56294113:56300286:-1 gene:ENSMUSG00000073380.1 transcript:ENSMUST00000097303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrdc5 description:arrestin domain containing 5 [Source:MGI Symbol;Acc:MGI:1924170] MSVVKSIEVVLPQDAVYLAGSIIDGQVVLTLNSTLVDPVVKVELVGRGYVEWNEEIGETR DYSRDVICNNKADYVHKTKTFPIKDNWLRAGSHTFDFHFNLPPRLPSTFNSKIGHISYFV QALCLDREHILAKKKLYLLVQGISEFRQRNLSENSVSVEAEKKVSYNCCSQGWVSLHVQM SKNTYVPGEKVTFTSEIRNHTGKYIKTVVFALYAHVQYEGFTPSAERRRRADSSELLRQM ANARIPAFNSTTVVSAFNLPLVLSVSSGSQENEIMRTSYELVVTIHLPWSLSTVKARLPI IITSTREGQADCPRLDELPYEDHMV >ENSMUSP00000024880.9 pep:known chromosome:GRCm38:17:78508063:78627409:1 gene:ENSMUSG00000024076.9 transcript:ENSMUST00000024880.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vit description:vitrin [Source:MGI Symbol;Acc:MGI:1921449] MGIVVPTMKASVIEVLLVLLVTGIHSNKETPKKTKRPKLTVPQINCDVKAGKIINPEFMV KCPAGCQDPKYHVYGTGVYASYSSVCGAAIHSGVLDNSGGKILVRKVAGQSGYKGSYSNG VQSLSLPRWRESFIVAESKPQKGVAYPSTLTYSSSKTAAAKAGETTKAYEKPSIPGTTIQ PVTLTQAQATPVAEVTHRSTSKPFAASVTNSPRPQPVGHRSQEMEEVDGWKPGPVLLDSG FVPKEELSTQSSEPVPQGDPNCKIDLSFLIDGSTSIGKRRFRIQKQFLADVVQALDIGPA GPLVGVVQYGDNPATQFNLKTHMNSQDLKTAIEKITQRGGLSNVGRAISFVTKTFFSKAN GNRGGAPNVAVVMVDGWPTDKVEEVSRVARESGINVFFITVEGAAERDIQHVVEPGFASK AVCRTNGFYSFNVQSWLSLHKTVQPLVKRVCDTDRLACSKTCLNSADIGFVIDGSSSMGT SNFRTVLQFVANLSKEFEISDTDTRVGAVQYTYEQRLEFGFDKYNSKADILSAIRRVGYW SGGTSTGAAIQYALEQLFKKSKPNKRKVMIIITDGRSYDDVRIPAMAAYQKGVITYAIGI AWAAQDELEVMATHPAKDHSFFVDDFDNLYKIAPRIIQNICTEFNSQPRN >ENSMUSP00000132224.1 pep:known chromosome:GRCm38:8:107436398:107558594:1 gene:ENSMUSG00000031930.10 transcript:ENSMUST00000166615.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwp2 description:WW domain containing E3 ubiquitin protein ligase 2 [Source:MGI Symbol;Acc:MGI:1914144] MASASSSRAGVALPFEKSQLTLKVVSAKPKVHNRQPRINSYVEVAVDGLPSETKKTGKRI GSSELLWNEIIVLNVTAQSHLDLKVWSCHTLRNELLGTASVNLSNVLKNNGGKMENTQLT LNLQTENKGSVVSGGELTIFLDGPTVDLGSVPNGSAVTDGSQPPSRESSGTAIAPETRHQ PPSTNCFGGRSRTHRHSGGSARTATAASEQSPGARNRHRQPVKNSSSSGLANGTVNEEPT PASEPEESSVVGVTSLPAAALSVSSNPNTTSLPAQSTPAEGEEASTSGTQQLPAAAQAPD ALPAGWEQRELPNGRVYYVDHNTKTTTWERPLPPGWEKRTDPRGRFYYVDHNTRTTTWQR PTAEYVRNYEQWQSQRNQLQGAMQHFSQRFLYQSSSASTDHDPLGPLPPGWEKRQDNGRV YYVNHNTRTTQWEDPRTQGMIQEPALPPGWEMKYTSEGVRYFVDHNTRTTTFKDPRPGFE SGTKQGSPGAYDRSFRWKYHQFRFLCHSNALPSHVKISVSRQTLFEDSFQQIMNMKPYDL RRRLYIIMRGEEGLDYGGIAREWFFLLSHEVLNPMYCLFEYAGKNNYCLQINPASSINPD HLTYFRFIGRFIAMALYHGKFIDTGFTLPFYKRMLNKRPTLKDLESIDPEFYNSIVWIKE NNLEECGLELFFIQDMEILGKVTTHELKEGGENIRVTEENKEEYIMLLTDWRFTRGVEEQ TKAFLDGFNEVAPLEWLRYFDEKELELMLCGMQEIDMSDWQKNAIYRHYTKSSKQIQWFW QVVKEMDNEKRIRLLQFVTGTCRLPVGGFAELIGSNGPQKFCIDRVGKETWLPRSHTCFN RLDLPPYKSYEQLKEKLLYAIEETEGFGQE >ENSMUSP00000072145.5 pep:known chromosome:GRCm38:12:11325247:11436649:-1 gene:ENSMUSG00000054459.6 transcript:ENSMUST00000072299.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsnl1 description:visinin-like 1 [Source:MGI Symbol;Acc:MGI:1349453] MGKQNSKLAPEVMEDLVKSTEFNEHELKQWYKGFLKDCPSGRLNLEEFQQLYVKFFPYGD ASKFAQHAFRTFDKNGDGTIDFREFICALSITSRGSFEQKLNWAFNMYDLDGDGKITRVE MLEIIEAIYKMVGTVIMMKMNEDGLTPEQRVDKIFSKMDKNKDDQITLDEFKEAAKSDPS IVLLLQCDIQK >ENSMUSP00000129722.1 pep:known chromosome:GRCm38:X:90892142:90893134:1 gene:ENSMUSG00000091497.1 transcript:ENSMUST00000172155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm44 description:predicted gene 44 [Source:MGI Symbol;Acc:MGI:2684890] MPRGQKSKLNARERRHRARNYAQASEGAEETVVATEESSPKSCGTSEMPEKKSVSTVTVD LFSISDDSFIDLEDAEDLFRDYHTISHQDILTQKVFVLVQILLKNYKTKQLTTIEDMMQV IDEEEMDDFPEILRKAAERLADVFAVELREVESSRRVYDLISKLKLPNNGRVRAGQGFPK TGFLMTVLGIIFMNGNCAGEEDIWRTLRSMGIYSGKKHQIFGEPRKLITQNFVKLKYVEC RQVANSKPPRYEFLWGPKAYAETNKMAILKFVAKVNEISPSYFKDLYKEALKDDQGKNQG NHNVSSAIHLKDRAFSFVMCPRLAFSNVEV >ENSMUSP00000125974.1 pep:known chromosome:GRCm38:8:71042645:71043040:-1 gene:ENSMUSG00000091388.1 transcript:ENSMUST00000170101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17576 description:predicted gene, 17576 [Source:MGI Symbol;Acc:MGI:4937210] GSVSFQDVAVTFTVEEWALLDPSQKKLHKDVMEETFRNLAAIGKTQEGQNIDEDHRYSRR NQR >ENSMUSP00000039484.3 pep:known chromosome:GRCm38:12:36157124:36197291:1 gene:ENSMUSG00000036188.3 transcript:ENSMUST00000041640.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankmy2 description:ankyrin repeat and MYND domain containing 2 [Source:MGI Symbol;Acc:MGI:2144755] MGHIKKGELTQEEKELLEVIGKGTVQEAGRLLSSKNVHVNCLDENGMTPLMHAAYKGKLE MCKLLLRHGADASCHQHEHGYTALMFAALSGNKDITWVMLEAGAETDVVNSVGRTAAQMA AFVGQHDCVAIINNFFPRERLDYYTKPQGLDKEPKLPPKLAGPLHKIITTTNLHPVKIVM LVSENPLLADAAALGKCYRVMDLICEKCMKQRDMNEVLAMKMHYISCIFQKCITFLKEGE NKLDTLIRSLLKGRASDGFPVYQEKIIRESIRKFPYCEATLLQQLVRSIAPVEIGSDPTA FSVLTQAITGQVGFVDVEFCTTCGEKGASKRCSVCKMVIYCDQTCQKTHWFAHKKMCKSL KDVYEKQQIEAAKHKRQEEKNGNPNVSSNHVNEDQPEAEEGITQENSIPSDSVEGEKEAA NDTGLASAQDAPTGPQLSEE >ENSMUSP00000103400.3 pep:known chromosome:GRCm38:7:45421898:45434464:-1 gene:ENSMUSG00000003868.13 transcript:ENSMUST00000107771.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ruvbl2 description:RuvB-like protein 2 [Source:MGI Symbol;Acc:MGI:1342299] MATVAATTKVPEIRDVTRIERIGAHSHIRGLGLDDALEPRQASQGMVGQLAARRAAGVVL EMIREGKIAGRAVLIAGQPGTGKTAIAMGMAQALGPDTPFTAIAGSEIFSLEMSKTEALT QAFRRSIGVRIKEETEIIEGEVVEIQIDRPATGTGSKVGKLTLKTTEMETIYDLGTKMIE SLTKDKVQAGDVITIDKATGKISKLGRSFTRARDYDAMGSQTKFVQCPDGELQKRKEVVH TVSLHEIDVINSRTQGFLALFSGDTGEIKSEVREQINAKVAEWREEGKAEIIPGVLFIDE VHMLDIESFSFLNRALESDMAPVLIMATNRGITRIRGTSYQSPHGIPIDLLDRLLIVSTS PYSEKDTKQILRIRCEEEDVEMSEDAYTVLTRIGLETSLRYAIQLITAASLVCRKRKGTE VQVDDIKRVYSLFLDESRSTQYMKEYQDAFLFNELKGETMDTS >ENSMUSP00000023720.7 pep:known chromosome:GRCm38:15:101525026:101532820:-1 gene:ENSMUSG00000044294.7 transcript:ENSMUST00000023720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt84 description:keratin 84 [Source:MGI Symbol;Acc:MGI:96700] MSCRSYRVSSGRRVGSFSSCSAMAPQHLNRFRSSSVSCRSGPGFRGLGGFGSRSVINFGS SSPRIAVGCSRPVRCGVGFGAGSGMAFGSGDGLGFRASSGVGLGFGAGGCPSYGFGGPGF GGPGFGGPGFGYRIGGIGGPSAPAITAVTVNQSLLTPLNLEIDPNAQRVKRDEKEQIKTL NNKFASFIDKVRFLEQQNKLLETKWSFLQEQKCARSNLEPLFDNYITNLRRQLDVLSSDQ ARLQAERNHLQDILEGFKKKYEEEVVFRANAENEFVALKKDVDAAFLNKSDLEANVDTLI QETEFLKALYHEEIEMLQSHISETSVIVKMDNSRDLNLDGIIAEVKAQYEEVARRSRADV ESWYQTKYEEMRVTAGQHCDNLRSTRDEINELTRLIQRLKAEIEHTKAQCAKLEAAVAEA EQQGEAALNDAKCKLADLEGALQQAKQDMARQLREYQELMNVKLALDIEIVTYRRLLEGE EIRICEGVGPVNISVSSSRGGVLCGPELVSGSSLSHNGGVTFSTSSSIRATGGVLASSSL RAGGDLLSSGSRGGSVLVGDACAPSIPCALPTEGGFSSCSGGRGNRSSSVRFSSTTTSRR TRY >ENSMUSP00000081891.1 pep:known chromosome:GRCm38:7:102111266:102116713:-1 gene:ENSMUSG00000066279.2 transcript:ENSMUST00000084830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna10 description:cholinergic receptor, nicotinic, alpha polypeptide 10 [Source:MGI Symbol;Acc:MGI:3609260] MGTRSHHLGPGFLLLLFLPAECLGAEGRLAHKLFRDLFANYTSALRPVADTDQTLNVTLE VTLSQIIDMDERNQVLTLYLWIRQEWTDAYLHWDPKAYGDLDAIRIPSSLVWRPDIVLYN KADTQPPASASTNVVVRHDGAVRWDAPAITRSSCRVDVSAFPFDAQRCGLTFGSWTHGGH QLDVRPRGTSASLADFVENVEWRVLGMPARRRVLTYGCCSEPYPDVTFTLLLRRRAAAYV CNLLLPCVFISLLAPLAFHLPADSGEKVSLGVTVLLALTVFQLILAESMPPAESVPLIGK YYMATMTMVTFSTALTILIMNLHYCGPNAHPVPAWARVLLLGHLAKGLCVRERGEPCGQS KPLESAPSLQPPAGPPAGPCHEPRCLCHQEALLHHVASIAGTFRSHRAAQRRHEDWKRLA RVMDRFFLGIFFCMALVMSLLVLVQAL >ENSMUSP00000077301.5 pep:known chromosome:GRCm38:8:116505015:116515896:1 gene:ENSMUSG00000034467.6 transcript:ENSMUST00000078170.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynlrb2 description:dynein light chain roadblock-type 2 [Source:MGI Symbol;Acc:MGI:1922715] MTEVEETLKRIQSHKGVIGTMVVNAEGIPIRTTLDNSTTVQYAGLLHQLTMKAKSTVRDI DPQNDLTFLRIRSKKHEIMVAPDKEYLLIVIQNPCE >ENSMUSP00000095015.3 pep:known chromosome:GRCm38:17:13354572:13377223:1 gene:ENSMUSG00000052469.8 transcript:ENSMUST00000097403.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp10c description:t-complex protein 10c [Source:MGI Symbol;Acc:MGI:98543] MHEPSLLQPESLLVTWRLNSQQRQAMLEDLSQGKGSNHKKRKMESTAQITEEDSKLDEVV GLQKQICDLGTELTRQSSWWCVAHKDLQSQIDALIKENQEIRAELKTLKKQDAEATKACI GSPTSARASNTLPVYIKIEGIDSERTTSWDERDELSGSPPNRSTMATGGTDSQDERLSFT SVDEKPVYIKIEGIDSERTTSWDERDELSGSPPNRSTMATGGTDSQDERLSFTSVDEKVI HMSSKFLQRSFGRMSPEPLSDSTFLDTESLADIWSSNSETSDGELLLHAQASRVIPCFSP NALWVQVERMLSDGRTIITFPNGTRKEISADKKTTLIRFFNGDMKIKSDQKAIYYYADAQ TMHTTYPDGVEVVQFPNKWTEKFYPDGSKETVFPDGTVKQLKDGCEETVFPDGTFVTVKR NGDKTIMFSNGEKEIHTARFKRKEFPDGTTKTVYCNGCQETKYASGRVRVKDEKGTVILD WK >ENSMUSP00000022028.4 pep:known chromosome:GRCm38:17:48089632:48090920:1 gene:ENSMUSG00000021545.4 transcript:ENSMUST00000022028.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700067P10Rik description:RIKEN cDNA 1700067P10 gene [Source:MGI Symbol;Acc:MGI:1915474] MSLGKMENEFEVRKARLYKGLRWKTQTQGDPHSHSPGNELCPSTEQASKVCLCGCSLRTK AVLHPRPDPVPLLVSRGPSSLPSERKTLVSKETVFPAQLSHHSPKEKAEGKSQLLSWRDP VPLRQLVPMSVWPKGFIHPLPKPLPGQALSTFIHVEGVHIPRAVSPVTSLVEDNKSSMPP LLK >ENSMUSP00000033185.8 pep:known chromosome:GRCm38:7:105591613:105600116:-1 gene:ENSMUSG00000030895.8 transcript:ENSMUST00000033185.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpx description:hemopexin [Source:MGI Symbol;Acc:MGI:105112] MARTAVALNILVLLGLCWSLAVASPLPTANGRVAEVENGTKPDSDVPEHCLDTWSFDAAT MDHNGTMLFFKGEFVWRGHSGTRELISARWKNPITSVDAAFRGPDSVFLIKEDKVWVYPP EKKENGYPKLFQEEFPGIPYPPDAAVECHRGECQSEGVLFFQGNRKWFWDFATRTQKERS WSTVGNCTAALRWLERYYCFQGNKFLRFNPVTGEVPPRYPLDARDYFVSCPGRGHGRPRN GTAHGNSTHPMHSRCSPDPGLTALLSDHRGATYAFTGSHYWRLDSSRDGWHSWPIAHHWP QGPSTVDAAFSWDDKVYLIQGTQVYVFLTKGGNNLVSGYPKRLEKELGSPPGISLETIDA AFSCPGSSRLYVSSGRRLWWLDLKSGAQATWTEVSWPHEKVDGALCLDKSLGPNTCSSNG SSLYFIHGPNLYCYSSIDKLNAAKSLPQPQKVNSILGCSQ >ENSMUSP00000093434.2 pep:known chromosome:GRCm38:12:40176386:40199315:-1 gene:ENSMUSG00000071342.4 transcript:ENSMUST00000095760.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsmem1 description:leucine-rich single-pass membrane protein 1 [Source:MGI Symbol;Acc:MGI:2685735] MTHSSQDAGSHGIQEEGRLYVVDSINDLNKLSLCPMESQHLFSLEDKIPNAGTAPGNGRR GLFFVGLLLVLTVSLALVFFAIFLIIQTGNQMEDVSRRLTAEGKDIDDLKKINNMIVKRL NQLDSEQN >ENSMUSP00000129815.1 pep:known chromosome:GRCm38:8:126426652:126475065:-1 gene:ENSMUSG00000090290.1 transcript:ENSMUST00000170518.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17296 description:predicted gene, 17296 [Source:MGI Symbol;Acc:MGI:4936930] MERVLADALLTQSREPGELLGALCGGEASAERAETLRLVLQRLEERGAGAGALAKAAHEV ARDHLVPLLHASQGGGPARPRVLRAASAALRSCARLAGPELAVTLAEEALRELPSAPAVE LLAAVAPCLRAPEDAPLLRRLGRASVELALAGDAPPAVGARLLPALAQSAEPALRAAWDA LSSAGPGAEGSTGPELLVLSALAEKLLTNHERHGDLDARLCGRFWRTVQAGLGRAQDGLT RKRARYLLQRAVQVSAELAVDCSCSPQDTKGPSLFWWSEKRKDELLKFWENYILIMEILE GNQIHVIKPALPKLNRLFECAVSEENGCWLFHPSWHTCIYKRMFESENKILAKEGVIHFL ELYDVKSLPYSPELSEFITGPLMDALSESCLYSRSPGQPLGSDSPLGLKLQKFLVTYTSL LPEETKSCFLLKLIQRMADRHWCAVPVLFLSRALASIPSCKALGGEGLLALRDVLQRTMI THQVLLRGAAQCYLLQTAMRLVDVEKVSLSDISAFLLSLRQEESLGRGTVLWTELCDWLR VNERYFKQSSLGGSDGQEASLNAYVKNLVQEFVKSPGWEKESSFMPDWLDARLTALMVLL AVDVEGLKTKFREKQRTQNVLRIFLDPLLDALGKLGTNAYMPLLRTDRCLQLLVRLLHSC VPRRPGAQDDEVSTALQGSIMSASESVSQFVLRRLTMNELQDVADLDRCQLYLTVLSELM SLQVKLGWKAGNPISRVLSPLKNACVRHLQEAEDRQEPTLSHQVQRVVSMAALAALCEAV DQYPVLQPDSPNAEPVDRFLSALPLNHVLQKPRSEEQSIGVCPLENGSVFEESLSSKGWG KVVAQYLHDQWVCLSFLLRKHHHLIPSTESDVLEGFLPTAETPVQALQAALDVLTVLPAG RILPVFRCMEVLVPKLLTSEETLCIESFDVAWKIISSLSNTQLTFWPNLKAFVHFVFDHE ILTIAAKLKGQVYFKIKEIMCKMIEMSSIKSGVFNILIRHCCQSWLVAASGVSQGSFSSA KDYSELVLEACVFGTVFRRDQRLIQDVQTFIENLGQGCAANVIIENAKREDYYVRICAIK FLCLLDGSDVSHKLFLEALAIKLLDKDESASRSRTRYHENSLQHRVKNRVWQTLLVLFPA FDQNFLHGIIDKVFHAGFTNNQASIKYFIEWLIILILHKFPEFLPKFWACFSYGEEKIKA SICTFLSVLSHLDIIVQNIPEKKLVLKQALTVALQWCLSHNFSVRLYALVALKKAWHLCK TLQFEECGAWTAVIECSLSQAESMHGAGNARKNWQRIQDHFFFSTFHPLKDYCLETIFYT LPRLSGVTGEEWIALDKFANFTDIPSNAGSQWYLSGTALGELSPGDWSQQDQGSTLGEAD SQSEWADVQKKIIPWGQSALESDLELEFQDRAAKLGKSISRLIVVASLIDKPTNLGGLCR TCEVFGAAVLVVGSLQCVSDRQFQHLSVSAEQWLPLVEVRPSQLMNYLQQKKAEGYTVIG VEQTAQSSDLAQYRFPEKSLLLLGNEREGIPANLIQQLDVCVEIPQQGIIRSLNVHVSGA LLIWEYTRQQLLGCAEPPS >ENSMUSP00000033283.9 pep:known chromosome:GRCm38:7:102441695:102469771:1 gene:ENSMUSG00000030978.9 transcript:ENSMUST00000033283.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrm1 description:ribonucleotide reductase M1 [Source:MGI Symbol;Acc:MGI:98180] MHVIKRDGRQERVMFDKITSRIQKLCYGLNMDFVDPAQITMKVIQGLYSGVTTVELDTLA AETAATLTTKHPDYAILAARIAVSNLHKETKKVFSDVMEDLYNYINPHNGRHSPMVASST LDIVMANKDRLNSAIIYDRDFSYNYFGFKTLERSYLLKINGKVAERPQHMLMRVSVGIHK EDIDAAIETYNLLSEKWFTHASPTLFNAGTNRPQLSSCFLLSMKDDSIEGIYDTLKQCAL ISKSAGGIGVAVSCIRATGSYIAGTNGNSNGLVPMLRVYNNTARYVDQGGNKRPGAFAIY LEPWHLDIFEFLDLKKNTGKEEQRARDLFFALWIPDLFMKRVETNQDWSLMCPNECPGLD EVWGEEFEKLYESYEKQGRVRKVVKAQQLWYAIIESQTETGTPYMLYKDSCNRKSNQQNL GTIKCSNLCTEIVEYTSKDEVAVCNLASLALNMYVTPEHTYDFEKLAEVTKVIVRNLNKI IDINYYPIPEAHLSNKRHRPIGIGVQGLADAFILMRYPFESPEAQLLNKQIFETIYYGAL EASCELAKEYGPYETYEGSPVSKGILQYDMWNVAPTDLWDWKPLKEKIAKYGIRNSLLIA PMPTASTAQILGNNESIEPYTSNIYTRRVLSGEFQIVNPHLLKDLTERGLWNEEMKNQII ACNGSIQSIPEIPDDLKQLYKTVWEISQKTVLKMAAERGAFIDQSQSLNIHIAEPNYGKL TSMHFYGWKQGLKTGMYYLRTRPAANPIQFTLNKEKLKDKEKALKEEEEKERNTAAMVCS LENREECLMCGS >ENSMUSP00000072330.1 pep:known chromosome:GRCm38:7:46376474:46379092:1 gene:ENSMUSG00000009471.3 transcript:ENSMUST00000072514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myod1 description:myogenic differentiation 1 [Source:MGI Symbol;Acc:MGI:97275] MELLSPPLRDIDLTGPDGSLCSFETADDFYDDPCFDSPDLRFFEDLDPRLVHMGALLKPE EHAHFPTAVHPGPGAREDEHVRAPSGHHQAGRCLLWACKACKRKTTNADRRKAATMRERR RLSKVNEAFETLKRCTSSNPNQRLPKVEILRNAIRYIEGLQALLRDQDAAPPGAAAFYAP GPLPPGRGSEHYSGDSDASSPRSNCSDGMMDYSGPPSGPRRQNGYDTAYYSEAARESRPG KSAAVSSLDCLSSIVERISTDSPAAPALLLADAPPESPPGPPEGASLSDTEQGTQTPSPD AAPQCPAGSNPNAIYQVL >ENSMUSP00000062593.4 pep:known chromosome:GRCm38:8:11556066:11563250:1 gene:ENSMUSG00000045969.7 transcript:ENSMUST00000054399.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ing1 description:inhibitor of growth family, member 1 [Source:MGI Symbol;Acc:MGI:1349481] MLSPANGEQIHLVNYVEDYLDSIESLPFDLQRNVSLMREIDAKYQEILKELDDYYEKFKR ETDGTQKRRVLHCIQRALIRSQELGDEKIQIVSQMVELVENRSRQVDSHVELFEAHQDIS DGTGGSGKAGQDKSKSEAITQADKPNNKRSRRQRNNENRENASNNHDHDDITSGTPKEKK AKTSKKKKRSKAKAEREASPADLPIDPNEPTYCLCNQVSYGEMIGCDNDECPIEWFHFSC VGLNHKPKGKWYCPKCRGESEKTMDKALEKSKKERAYNR >ENSMUSP00000038716.6 pep:known chromosome:GRCm38:14:60219204:60251507:-1 gene:ENSMUSG00000063895.6 transcript:ENSMUST00000041905.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nupl1 description:nucleoporin like 1 [Source:MGI Symbol;Acc:MGI:1919094] MATGFSFGSGTLGSTTVAPGGTGAGSGFSFGTVASSTPSVGLNFGSLGSSVTPASTSASA GGFGTGLFGSKPATGFTLGGTSAGTAATTSASTTGFSLGFSKPAASATPFALPVTSTSAS GLTLSSALTSTPAASTGFTLNNLGATPATTTTASTGLSLGGALAGLGGSLFQSGNTATSG LGQNALSLSLGTTAPTSAASNEGLGGIDFSTSSDKKSDKTGTRPEDSKALKDENLPPVIC QDVENLQKFVKEQKQVQEEISRMSSKAMLKVQEDIKALKQLLSLAASGLQRNTLNIDKLK LETAQELKNAEIALRTQKTPPGLQHENTAPADYFRILVQQFEVQLQQYRQQIEELENHLA TQASNSHITPQDLSMAMQKIYQTFVALAAQLQSIHENVKVLKEQYLGYRKMFLGDAVDVF EARRTEAKKWQNAPRVTTGPTPFSTMPNAAAVAMAATLTQQQQPATGPQPSLGVSFGTPF GSGIGTGLQSSGLGSSNLGGFGTSSGFGCGTTGASTFGFGTTDKPSGSLSAGFGSSSTSG FNFSNPGITASAGLTFGVSNPASAGFGTGGQLLQLKRPPAGNKRGKR >ENSMUSP00000061997.4 pep:known chromosome:GRCm38:15:102279456:102281744:1 gene:ENSMUSG00000045665.4 transcript:ENSMUST00000051341.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd5 description:major facilitator superfamily domain containing 5 [Source:MGI Symbol;Acc:MGI:2145901] MLVTAYLSFVGLLASCLGLELSRCRARPPGRACSNPSFLQFQLDFYQVYFLALAADWLQA PYLYKLYQHYHFLEGQIAILYVCGLASTVLFGLVASSLVDWLGRKKSCVLFSLTYSLCCI TKLSQDYFVLLVGRALGGLSTALLFSAFEAWYIHEHVERHDFPAEWIPATFARAAFWNHV LAVAAGVAAEAVASWIGLGPVAPFVAAIPLLALTGALALRNWGENYDRQRAFSKTCAGGL RCLLSDRRVLLLGVIQALFESVIFIFVFLWTPVLDPHGAPLGIVFSSFMAASLLGSSLYR IATSKRYHLQPMHLLSLAVLIVVFSLFMLTFSTSPGQENPVESFIAFLLIELACGLYFPS MSFLRRKVIPETEQAGVLNWFRVPLHLLACLGLLVLHDSDRKTGTRNMFSICSAVMVTTL LAVAGLFTVVRHDAELRVPSPTGEPYAPEL >ENSMUSP00000020107.7 pep:known chromosome:GRCm38:10:98915152:99026143:1 gene:ENSMUSG00000019943.9 transcript:ENSMUST00000020107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2b1 description:ATPase, Ca++ transporting, plasma membrane 1 [Source:MGI Symbol;Acc:MGI:104653] MGDMANNSVAYSGVKNSLKEANHDGDFGITLTELRALMELRSTDALRKIQESYGDVYGIC TKLKTSPNEGLSGNPADLERREAVFGKNFIPPKKPKTFLQLVWEALQDVTLIILEIAAIV SLGLSFYQPPEGDNALCGEVSVGEEEGEGETGWIEGAAILLSVVCVVLVTAFNDWSKEKQ FRGLQSRIEQEQKFTVIRGGQVIQIPVADITVGDIAQVKYGDLLPADGILIQGNDLKIDE SSLTGESDHVKKSLDKDPLLLSGTHVMEGSGRMVVTAVGVNSQTGIIFTLLGAGGEEEEK KDEKKKEKKNKKQDGAIENRNKAKAQDGAAMEMQPLKSEEGGDGDEKDKKKANLPKKEKS VLQGKLTKLAVQIGKAGLLMSAITVIILVLYFVIDTFWVQKRPWLAECTPIYIQYFVKFF IIGVTVLVVAVPEGLPLAVTISLAYSVKKMMKDNNLVRHLDACETMGNATAICSDKTGTL TMNRMTVVQAYINEKHYKKVPEPEAIPPNILSYLVTGISVNCAYTSKILPPEKEGGLPRH VGNKTECALLGFLLDLKRDYQDVRNEIPEEALYKVYTFNSVRKSMSTVLKNSDGSFRIFS KGASEIILKKCFKILSANGEAKVFRPRDRDDIVKTVIEPMASEGLRTICLAFRDFPAGEP EPEWDNENDVVTGLTCIAVVGIEDPVRPEVPEAIKKCQRAGITVRMVTGDNINTARAIAT KCGILHPGEDFLCLEGKDFNRRIRNEKGEIEQERIDKIWPKLRVLARSSPTDKHTLVKGI IDSTVSEQRQVVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVK AVMWGRNVYDSISKFLQFQLTVNVVAVIVAFTGACITQDSPLKAVQMLWVNLIMDTLASL ALATEPPTESLLLRKPYGRNKPLISRTMMKNILGHAFYQLVVVFTLLFAGEKFFDIDSGR NAPLHAPPSEHYTIVFNTFVLMQLFNEINARKIHGERNVFEGIFNNAIFCTIVLGTFVVQ IIIVQFGGKPFSCSELSIEQWLWSIFLGMGTLLWGQLISTIPTSRLKFLKEAGHGTQKEE IPEEELAEDVEEIDHAERELRRGQILWFRGLNRIQTQIRVVNAFRSSLYEGLEKPESRSS IHNFMTHPEFRIEDSEPHIPLIDDTDAEDDAPTKRNSSPPPSPNKNNNAVDSGIHLTIEM NKSATSSSPGSPLHSLETSL >ENSMUSP00000075226.2 pep:known chromosome:GRCm38:10:95480806:95501927:-1 gene:ENSMUSG00000062981.4 transcript:ENSMUST00000075829.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl42 description:mitochondrial ribosomal protein L42 [Source:MGI Symbol;Acc:MGI:1333774] MAAAVKWAISNRTIWKHLLPIQNGALSSACHKSTYSSLPDDYNCQVDLALTADGRTIVCY HPSVDIPYEHTKPIPQPDLLHNNEETHEQILKAKLEVRKSKQLEQGPMIEQLSKVFYTTK HRWYPHGQYHNRRKKLNPPRDR >ENSMUSP00000127142.1 pep:known chromosome:GRCm38:17:94727080:94749892:-1 gene:ENSMUSG00000055660.8 transcript:ENSMUST00000171284.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl4 description:methyltransferase like 4 [Source:MGI Symbol;Acc:MGI:1924031] MSVVHHLPPGWLLDHLSFINKVNYQLCQHQESFCSKNNPTSSVYMDSLQLDPGSPFGAPA MCFAPDFTTVSGNDDEGSCEVITEKYVFRSELFNVTKPYIVPAVHKERQQSNKNENLVTD YKQEVSVSVGKKRKRCIAFNQGELDAMEYHTKIRELILDGSSKLIQEGLRSGFLYPLVEK QDGSSGCITLPLDACNLSELCEMAKHLPSLNEMELQTLQLMGDDVSVIELDLSSQIIENN SSFSKMITLMGQKYLLPPQSSFLLSDISCMQPLLNCGKTFDAIVIDPPWENKSVKRSNRY SSLSPQQIKRMPIPKLAAADCLIVTWVTNRQKHLCFVKEELYPSWSVEVVAEWYWVKITN SGEFVFPLDSPHKKPYECLVLGRVKEKTPLALRNPDVRIPPVPDQKLIVSVPCVLHSHKP PLTEVLRDYIKPGGQCLELFARNLQPGWMSWGNEVLKFQHMDYFIALESGC >ENSMUSP00000089803.4 pep:known chromosome:GRCm38:10:116956824:116972609:-1 gene:ENSMUSG00000069518.5 transcript:ENSMUST00000092165.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10271 description:predicted gene 10271 [Source:MGI Symbol;Acc:MGI:3642827] FLLFLFLLLLLLLLLLLCVCGFLLLLLFLILSLYLKMSLTLRPLYFYFILFY >ENSMUSP00000057796.7 pep:known chromosome:GRCm38:15:11064790:11346867:1 gene:ENSMUSG00000047497.9 transcript:ENSMUST00000061318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts12 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 12 [Source:MGI Symbol;Acc:MGI:2146046] MPCARGSWLAKLSIVAQLINFGAFCHGRQTQPWPVRFPDPRQEHFIKSLPEYHIVSPVQV DAGGHVLSYGLHHPVTSSRKKRAAGGSGDQLYYRISHEEKDLFFNLTVNWEFLSNGYVVE KRYGNLSHVKMVASSGQPCHLRGTVLQQGTTVGIGTAALSACQGLTGFFHLPHGDFFIEP VKKHPLTEEGSYPHVVYRRQSIRAPETKEPICGLKDSLDNSVKQELQREKWERKTLRSRS LSRRSISKERWVETLVVADTKTVEYHGSENVESYILTIMNMVTGLFHSPSIGNLVHIVVV RLILLEEEEQGLKIVHHAEKTLSSFCKWQKSINPKSDLNPVHHDVAVLITRKDICAGVNR PCETLGLSQLSGMCQPHRSCNINEDSGLPLAFTIAHELGHSFGIQHDGKENDCEPVGRHP YIMSQQIQYDPTPLTWSKCSKEYITRFLDRGRGFCLDDIPSKKGLKSNVIAPGVIYDVHH QCQLQYGPNATFCQEVENVCQTLWCSVKGFCRSKLDAAADGTRCGEKKWCMAGKCITVGK KPESIPGGWGRWSPWSHCSRTCGAGAQSAERLCNNPEPKFGGKYCTGERKRYRLCNVHPC RSDTPTFRQMQCSEFDTVPYKNQFYRWFPVFNAAHPCELYCRPIDEQFSERMLEAVIDGT PCFEGGNSRNVCINGICKRVGCDYEIDSNATEDRCGVCLGDGSACQTVKKLFRQKEGSGY VDIGLIPKGARDIRVMEIKAAGNFLAIRSEDPEKYYLNGGFIIQWNGNYKLAGTVFQYDR KGDLEKLIAPGPTNESVWLQLLFQVTNPGIKYEYTVRKDGLDNDVEKLLYFWQFGRWTEC SVTCGTGIRRQAAHCVKKGHGIVKTTFCNPETQPSVRQKKCHEKDCPPRWWAGEWEACST TCGPYGEKKRTVLCIQTMGSDEQALPATDCQHLLKPKALVSCNRDILCPSDWTVGNWSEC SVSCGGGVRIRSVTCAKNLNEPCDKTRKPNSRALCGLQQCPFSRRVLKPNKDIAPSGKNQ STAEHDPFKPIPAPTSRPTPLSTPTVPESMSTSTPTINSLGSTIASQEDANGMGWQNNST QAEEGSHFPTSSGSTSQVPVTSWSLSIQPDDENVSSSAIGPTSEGDFWATTTSDSGLSSS DAMTWQVTPFYSTMTTDPEVEIHSGSGEDSDQPLNKDKSNSVIWNKIGVPEHDAPMETDA ELPLGPPPTSYMGEEPSWPPFSTKMEGSLPAWSFKNETPRDDGMIAEKSRKIPLPLAGDH HPATSEKLENHDKLALPNTTNPTQGFGPVLTEEDASNLIAEGFLLNASDYKHLMKDHSPA YWIVGNWSKCSTTCGLGAYWRSVECSSGVDADCTTIQRPDPAKKCHLRPCAGWRVGNWSK CSRNCSGGFKIREVQCMDSLDHHRSLRPFHCQFLAGAPPPLSMSCNLEPCGEWQVEPWSQ CSRSCGGGVQERGVSCPGGLCDWTKRPATTVPCNRHLCCHWATGNWELCNTSCGGGSQKR TIHCIPSENSTTEDQDQCLCDHQVKPPEFQTCNQQACRKSADLTCLKDRLSISFCQTLKS MRKCSVPSVRAQCCLSCPQAPSIHTQRQRKQQLLQNHDML >ENSMUSP00000112121.2 pep:known chromosome:GRCm38:12:73280410:73286711:-1 gene:ENSMUSG00000034442.10 transcript:ENSMUST00000116420.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt5 description:TRM5 tRNA methyltransferase 5 [Source:MGI Symbol;Acc:MGI:1923607] MRIVWKLFGFSRRLLQVEWCHPSESILLFTLVPRLRKAPSVFLLGQRQGLSTMPEIEASV RDSELFSPPSDVRGMRELDRTAFKKTVSIPVLKARKEVVNRLMRALRRVALQRPGIKRVI EDPKDEDSRLIMLDPYRMLTADSFDKAELGVLKELDVSPQLSQYNLELTYENFKSEEILK AVLPEGQDVTSGFSRVGHIAHLNLRDHQLPFKHLIGQVMVDKNPGITSAVNKTSNIDNTY RNFQMEVLCGEENMLTKVRENNYTYEFDFSKVYWNPRLSTEHGRITELLNPGDVLFDVFA GVGPFAIPAARKNCTVFANDLNPESHKWLLHNCKLNKVDQKVKVFNMDGKDFIQGPVREE LMLRLGLSAEAKPSVHIVMNLPAKAIEFLSVFRSLLDGQPCSTELLPTVHCYCFSKDSDP AKDVRQQAEAVLGVSLETSSSVHLVRNVAPNKEMLCITFQIPTATLYRNQSLSLQNDQEP PLKRQKTGDPFSGEPQIASDS >ENSMUSP00000045550.10 pep:known chromosome:GRCm38:12:84316859:84343830:1 gene:ENSMUSG00000042472.10 transcript:ENSMUST00000045931.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp410 description:zinc finger protein 410 [Source:MGI Symbol;Acc:MGI:1289280] MLSDELESKPELLVQFVQNTSIPLGQGLVESEAKDITCLSLLPVTEASECSRLMLPDETP NHANSSKEVPSSAVLRSLQVNVGPDGEETRAQTVQKSPEFLTTPESPSLLQDLQPSDSTS FILLNLTRAGLGSSAEHFVFVQDETEDSGADFLSAESTDSSIPWFLRVQELAHDSLIAAT RAQLAKNAKTGSNGENVHLGSGDGQPKDSGPLPQAEKKLKCTVEGCDRTFVWPAHFKYHL KTHRNERSFICPAEGCGKSFYVLQRLKVHMRTHNGEKPFMCHESGCGKQFTTAGNLKNHR RIHTGEKPFLCEAQGCGRSFAEYSSLRKHLVVHSGEKPHQCQVCGKTFSQSGSRNVHMRK HHLQLGTTGSQEQDQTAEPLMGSSLLEDASVPNKNLVSMNSQSSLGGESLNLSNTNSILG VDDEVLTERASRPLSSVPDVTHHLVTMQSGRQSYEVSVLTAVNPQELLNQGDLTERQT >ENSMUSP00000094072.3 pep:known chromosome:GRCm38:15:80452240:80560470:-1 gene:ENSMUSG00000050439.6 transcript:ENSMUST00000096347.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enthd1 description:ENTH domain containing 1 [Source:MGI Symbol;Acc:MGI:2686088] MSFRRQVKNFVKNYSDAEKKVREATSNDPWGPSSSLMLAISDMTFNAASLSEIMHMLWQR LSDHGKNWRHVYKSLALMDYLIKNGSRKVIQYCREGVCNLQMLKDFQHIDEAGKDQGHYI RERSKQVITLLMDEQLLHKEREVATWTRQRTSYSMSFPSRLSATGTSPTVCTSVLIPESL TSEKKHSQDCKKTAGLCSKKNTSKARLRLEQCQDVPSPAGTSLPLYFPSLGMKAWKSTED LTLLYSYEHPKQPVTRLPPSFTSPASWLSEGEAEICNLWDTEAVSTPPEKNPSMQTSVSL GKKLESAIANTITESPPQTPQEKQMAAKSFEMPTPLPAFWPSGKDEFVSLALRMSKSESI FHTQSSVETLYVSPTFKTISPLNETSQDLQTPAQFSICQMEDVCLKPLAMRGEWTPKQAS EAVSAASEVASSFSTLSISPPDLAHPEKSARCFIPVMASSSFWTLPQPQSPSDPLTDQNE AVRVRHPFAPTSTASSDNEEYPSLPDNSDSTMKEPSYSFSSGRGAFSTLTRAHFPGLPQA SFRFQESAGLSRDSESRAICTLLGEVKSAVVRLHDDLSLVIQELSVINSRLGNLSGSSPT VSETLQDPQSPRGSPDPV >ENSMUSP00000095820.2 pep:known chromosome:GRCm38:7:102723489:102724510:-1 gene:ENSMUSG00000066272.4 transcript:ENSMUST00000084816.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr559 description:olfactory receptor 559 [Source:MGI Symbol;Acc:MGI:3030393] MIPSGPFINISFFQPQSFLMIGIPGLEFAHGWISIPFSFMYTVALTGNCLILLAVRRTHS LHQPMYYFLSMLALSDVGLSLSTLPSTLAVLWFDYRSIDFNACLVQMFFLHSFSVVESSV LLAMSFDRFVAISNPLRYASVLTNNVIIRIGVAIVARATLSLFPVPFLLKRLNYCPGKIL LSHSFCFHADVMKLACADITVNILYGLYVVLSTVGVDSLLIVMSYSLILHTVMGLASPRE RVRTLNTCVSHILAVLVFYIPVIGVSMIHRFGKHLPHIVHALVAYVYLVVPPVLNPIIYS VKSKPIRGAMFKVLRGKD >ENSMUSP00000092810.3 pep:known chromosome:GRCm38:17:85984665:86145175:-1 gene:ENSMUSG00000024135.9 transcript:ENSMUST00000095187.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srbd1 description:S1 RNA binding domain 1 [Source:MGI Symbol;Acc:MGI:1925836] MPSLRKRVKIQAENAEPKDECSFFSELSSGSEEDNKEDSIWEPQKKVPRNRRQPASKGSK RKQGPRAKKSSQQVDDESKDVAVKEELNSPVAIVDADLEEKSHKLQTTKTLKTAAKKQKK PVPRAKKRLKVDEETSQASPLEGGGSNVETPSTSACGNVCKKEESEDSFTFGQSPVKRIR TESCPQGRPARVPDGVSDIKEEVEMNWDVVQVLSERTNIEPWVCANIIRLFNDDNTIPFI VRYRKELINNLDADFLREVRQTLDELRDVAKKVHSRIQKIKKEGKMSECLLQALLNCKTF EELEHVSAPYKMGSKGTKAQRAKQLGLEGAAWTLLENPGQLNLLSYIKPDVKGLSELNDI ETGVQHILADMIAKDKDTLDFIRGLCKHRYICIQSSLAKVSSKKVNEKEVDKFQLYQNFS CNIRTIHHHQILAINRGENLKILTVKVNISDGVKNEFCRWCIQNRWRPRGFARPELMKIL HNSLDDSFKRLIVPLLCREFRAKLTSDAEKQSVMMFGQNLRQLLLTSPVPGRTLMGVDPG YKHGCKLAIISPTSQILHTDVVYLHCGQGFREAEKIKRLLLHFNCRTVVIGNGTACRETE AYFADLIMKNYFAPLDVVYCIVSEAGASIYSVSPEANKEMPGLDPNLRSAVSIARRVQDP LAELVKFDPKHIGVGMYQHDVSQTLLKATLDSVVEECVSFVGVDINICSEVLLRHIAGLN ANRAKNIIEWREKNGPFINREQLKKVKGLGPKSFQQCAGFVRINQDYIRTFCSQHTDSSG QSQETAMVTNEKLGKKKNKADATLIPNPLDQTCIHPESYDIAVRFLSFIGGTMCEIGKPE MQQKINVSLGKEGIEETAERLQTTVHTLQVIIDGLSQPKTFDIRTDFDKPDFKRSIVCLE DLQVGTVLTGKVENATLFGVFVDIGVGKAGLIPIRFITEAKLSKTKRRRSLGLGPGEKVE VKVLNVDIPRSRISLDLLRVL >ENSMUSP00000078540.5 pep:known chromosome:GRCm38:10:127705170:127720887:1 gene:ENSMUSG00000025401.8 transcript:ENSMUST00000079590.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1a description:myosin IA [Source:MGI Symbol;Acc:MGI:107732] MPLLEGPVGVEDLILLEPLDEESLIKNLQLRYENKEIYTYIGNVVISMNPYEQLPIYGPE FIAKYRDYTFYELKPHIYALANVAYQSLKDRDRDQCILITGESGAGKTEASKLVMSYVAA VCGKGEQVNSVKEQLLQSNPVLEAFGNAKTIRNNNSSRFGKYMDIEFDFKGSPLGGVITN YLLEKSRVVKQLKGERNFHIFYQLLAGADAQLLKALKLEEDTSVYGYLNGEVSKVNGMDD ASNFRAVQHAMSVIGFSEEEIRQVLEVTALVLKLGNVKLTDEFQANGIPASGICDGKGIQ EIGEMMGLNSTELERALCSRTMETGKEKVVTVLNVTQAQYARDALAKNIYSRLFDWIVKR INESIKVGTGEKKKVMGVLDIYGFEILEDNSFEQFVINYCNERLQQVFIELTLKEEQEEY KREGIPWTKVEYFDNGIICNLIEHSQRGILAMLDEECLRPGVVSDSTFLAKLNQLFSKHS HYESKVSQNAQRQYDRTMGLSCFRISHYAGKVTYNVTGFIDKNNDLLFRDLSQTMWKAQH PLLKSLFPEGNPKEASLKRPPTAGTQFKNSVAVLMKNLYSKNPNYIRCIKPNDQQQKGRF TSEMVMVQARYLGLLENVRVRRAGYAFRQGYKPFLERYRLLSRSTWPRWNGDDREGVEKV LGSLTLSSEELAYGKTKIFIRSPKTLFYLEEQRRLRLQQLATLIQKVYRGWRCRTHYQQM RKSQILISAWFRGNKQKKHYGKIRSSVLLIQAFVRGWRARKNYRKYFRSGAALTLANFIY QSMAQKFLLNLKKNLPSTKVLDNTWPAAPYRCFNTANQELQRLFYQWKCKKFRDQLSPKQ VQTLREKLCASELFKGKKASYPQSVPIPFRGDYIGLQGNPKLQRLKGREEGPVLVADTVK KVNRGNGKTSARILLLTKGHVILTDAKKSQAQIVIGLEDVAGVSVSSLQDGLFSLHLSEM SSAVSKGDILLVSDHVVELLTKMYQAVLDATQRQLSVTVTEKFSVRFKEGSVAVKVIQGP EGGGNRKLICKKKGSNAMEVTVR >ENSMUSP00000081012.3 pep:known chromosome:GRCm38:12:76792335:76795554:-1 gene:ENSMUSG00000042808.7 transcript:ENSMUST00000082431.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx2 description:glutathione peroxidase 2 [Source:MGI Symbol;Acc:MGI:106609] MAYIAKSFYDLSAVGLDGEKIDFNTFRGRAVLIENVASLUGTTTRDYNQLNELQCRFPRR LVVLGFPCNQFGHQENCQNEEILNSLKYVRPGGGYQPTFSLTQKCDVNGQNEHPVFAYLK DKLPYPYDDPFSLMTDPKLIIWSPVRRSDVSWNFEKFLIGPEGEPFRRYSRSFQTINIEP DIKRLLKVAI >ENSMUSP00000046532.7 pep:known chromosome:GRCm38:15:80623505:80650559:1 gene:ENSMUSG00000042351.8 transcript:ENSMUST00000043149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grap2 description:GRB2-related adaptor protein 2 [Source:MGI Symbol;Acc:MGI:1333842] MEATAKFDFMASGEDELSFRTGDILKILSNQEEWLKAELGSQEGYVPKNFIDIEFPEWFH EGLSRHQAENLLMGKDIGFFIIRASQSSPGDFSISVRHEDDVQHFKVMRDTKGNYFLWTE KFPSLNKLVDYYRTTSISKQKQVFLRDGTQDQGHRGNSLDRRSQGGPHPSGTVGEEIRPS VNRKLSDHLPLGPQQFHPHQQPSPQFTPGPQPPQQQRYLQHFHQDRRGGSLDINDGHCGL GSEVNATLMHRRHTDPVQLQAAGRVRWARALYDFEALEEDELGFRSGEVVEVLDSSNPSW WTGRLHNKLGLFPANYVAPMMR >ENSMUSP00000076880.3 pep:known chromosome:GRCm38:13:3802893:3804318:-1 gene:ENSMUSG00000063130.3 transcript:ENSMUST00000077698.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calml3 description:calmodulin-like 3 [Source:MGI Symbol;Acc:MGI:1917655] MADQLTEEQIAEFKEAFSLFDKDGDGSITTQELGTVMRSLGQNPTEAELQGMVNEIDKDG NGTVDFPEFLTMMSRKMKDTDSEEEIREAFRVFDKDGNGFVSAAELRHVMTKLGEKLSDE EVDEMIQAADTDGDGQVNYEEFVHMLVSK >ENSMUSP00000025364.4 pep:known chromosome:GRCm38:18:40204865:40219399:-1 gene:ENSMUSG00000024487.4 transcript:ENSMUST00000025364.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf5 description:Yip1 domain family, member 5 [Source:MGI Symbol;Acc:MGI:1914430] MSGFDNLNSGFYQTSYSIDEQSQQSYDYGGSGGPYSKQYAGCDYSQQGRFVPPDMMQPQQ TYTGQIYQPTQAYPPTTPQPFYGDSFEEEPPLLEELGINFDHIWQKTLTVLHPLRAADGS IMNETDLAGPVVFCLAFGATLLLAGKIQFGYVYGISAIGCLGMFCLLNLMSMTGVSFGCV ASVLGYCLLPMILLSSFAVVFSLQGMVGILLTATIIGWCSFSASKIFISALAMDGQQLLV AYPCALLYGVFALISVF >ENSMUSP00000021603.8 pep:known chromosome:GRCm38:12:101746565:101819055:-1 gene:ENSMUSG00000021186.8 transcript:ENSMUST00000021603.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbln5 description:fibulin 5 [Source:MGI Symbol;Acc:MGI:1346091] MPGLKRILTVTILALWLPHPGNAQQQCTNGFDLDRQSGQCLDIDECRTIPEACRGDMMCV NQNGGYLCIPRTNPVYRGPYSNPYSTSYSGPYPAAAPPVPASNYPTISRPLVCRFGYQMD EGNQCVDVDECATDSHQCNPTQICINTEGGYTCSCTDGYWLLEGQCLDIDECRYGYCQQL CANVPGSYSCTCNPGFTLNDDGRSCQDVNECETENPCVQTCVNTYGSFICRCDPGYELEE DGIHCSDMDECSFSEFLCQHECVNQPGSYFCSCPPGYVLLDDNRSCQDINECEHRNHTCT SLQTCYNLQGGFKCIDPISCEEPYLLIGENRCMCPAEHTSCRDQPFTILYRDMDVVSGRS VPADIFQMQATTRYPGAYYIFQIKSGNEGREFYMRQTGPISATLVMTRPIKGPRDIQLDL EMITVNTVINFRGSSVIRLRIYVSQYPF >ENSMUSP00000053641.5 pep:known chromosome:GRCm38:7:135410367:135413615:1 gene:ENSMUSG00000048377.5 transcript:ENSMUST00000060356.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxi2 description:forkhead box I2 [Source:MGI Symbol;Acc:MGI:3028075] MSFSTEPPAPAQAGGELDMAGFCDSLGSCSVPHGLTRAIAHPPSYGRTDLSSGRRLWVNS AALSPAPYATGPGPAPSYAAATLAVPGSLLGASGGLAGADLAWLSLSGQQELLRLVRPPY SYSALIAMAIQSAPLRRLTLSQIYQYVAGNFPFYKRSKAGWQNSIRHNLSLNDCFKKVPR DENDPGKGNYWTLDPNCEKMFDNGNFRRKRRRRGETSEAAVPGASSPEGTALEPRGSTPQ DPQTSPSPSEATTTCLSGFSTAMGALAGGFGALPDGLAHDFSLRRPPPTAAAHSPQIPNT APGFAPGHQTGATGFRMGHLIYSRDGTEV >ENSMUSP00000047388.6 pep:known chromosome:GRCm38:16:21891969:21931877:1 gene:ENSMUSG00000033618.6 transcript:ENSMUST00000042065.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k13 description:mitogen-activated protein kinase kinase kinase 13 [Source:MGI Symbol;Acc:MGI:2444243] MANPQEHLSCSSLPHLPLTENKTSGGRNELAAMGNHPSPKLPEDPQERGAIQSELMEITG SPISTTVLTSVSEDSRGQFENSVLQLREQDESEMTLSLGNSNTVDGENTNGPEDIKIQFS RSGSGSGGFLEGLFGCLRPVWNIIGKAYSTDYKLQQQDTWEVPFEEISELQWLGSGAQGA VFLGKFRAEEVAIKKVREQNETDIKHLRKLKHPNIIAFKGVCTQAPCYCIIMEYCAHGQL YEVLRAGRKITPRLLVDWSTGIASGMNYLHLHKIIHRDLKSPNVLVTHTDAVKISDFGTS KELSDKSTKMSFAGTVAWMAPEVIRNEPVSEKVDIWSFGVVLWELLTGEIPYKDVDSSAI IWGVGSNSLHLPVPSTCPDGFKILMKQTWQSKPRNRPSFRQTLMHLDIASADVLATPQET YFKSQAEWREEVKKHFEKIKSEGTCIHRLDEELIRRRREELRHALDIREHYERKLERANN LYMELSAIMLQLEMREKELLKREQAVEKKYPGTYKRHPVRPIIHPNAMEKLMKRKGVPHK AGVQTKRPDLLRSEGIPSTEAVPTASPLSGSPKMSTASSRSRYRSKPRHRRGNSRGSHSD FAAILKTQPAQENSPHPTYMHHTQAQCASVHQHNPLQQQYQQIPPAQPQSRHPRLNAHGQ DIATCANNLRYFGPAAALRSPLSNHAQRQMPGSSPDLISTAMAADWRNSELDQDQVGPWG CCQAEPYDPCFQCRPEHSGSLDVPTTEPVGRSPDLSSSPAHNPLSGNAQGSERTGANGFS GCQSGISHQFTPPMLPQKTRPLQKSGDDSSEEEGEVDSEVEFPRRQRPHRCISSYQSYST FSSENFSVSDGEEGNTSDHSNSPDESANRRQDRLAETLDDLLSQTPEAPIEISSHSDGLS DKECAVRRVKTQMSLGKLCAEERGYENPVQFGDSDCDSSEGECSDATVRTSKNYSSATW >ENSMUSP00000022577.5 pep:known chromosome:GRCm38:14:75284373:75344426:1 gene:ENSMUSG00000022000.10 transcript:ENSMUST00000022577.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h13 description:zinc finger CCCH type containing 13 [Source:MGI Symbol;Acc:MGI:1914552] MSKIRRKVTVENTKTISESTSRRPSVFERLGPSTGSTTETQCRNWLKTGSCLYGNTCRFI HGPSPRGKGYSSNYRRSPERPTGDLRERMKNKRQDVDSESQKRNTEEPSSPVRKESSRGR HRDKEDIKIVKERTPESEEENVEWETNRDDSDNGDINYDYVHELSLEMKRQKIQRELMKL EQENMDKREEIIIQKEVSPEVVRSKLSPSPSLRKSSKSPKRKSSPKASSAGKKERKAAVV ASPLLDQQRNSKGNQSKKKGPRTPSPPPPILEDIILGKKYKEKYKVKDRIEEKPRDGKDR GRDFEKQREKRDKPRSSSPGQHHSPLSSRHHSSSSQSGSSIQRHSPSPRRKRTPSPSYQR TLTPSLRRSASPYPTHCLSSPQRKQSPPRHRSPMREKGRHDHERTSQSHDRRHERREETR GKRDREKDTREERESEHDHRDDREPRDSRDRRDTRDRRELRDSRDMRDSREMRDYSRDAK ESRDPRDSRSARDVHDYRDREARDAHARDVRDARDARDARDARDIRDVRDVRDVRDVRDV RDVRDVRDVRDVRDARDVRDVRDARDVRDVRDVRDGHRKEDVYQEEARSYGRNHLREESS RVELRNDSRNESRSEIRNDRMGRSRGRGPELPEKGSRGTRGSQMDSHSSGSNYHDSWETR SSYPERDRYPERDTRDPARDSSFERRHGERDRRDNRERDQRPSSPIRHQGRSEELERDER REERRIDRVDERRDDRVRDRDRDREREREREREREREREKERERELERERAREREREREK ERERERERERDQRDRDHDREREREREREREKEREREREERERERERERERERERERERER ERERERERERAREREKERERQREWEDKDKGRDDRREKREDIHVREDRIPRDSHEERKSKK RYRNEGSPSPRQSPKRRREHSPDSDTYHSGDDKNEKHRLLSQVVRPQESRSLSPSHLTED RQGRWKEEDRKSERKESSRRYEEQELKEKLSCGDRQREQAESVDSSRVRAQDLLSHRQAE DRDRDGSDRAHDEKKKAKAPKKPVKKKKEEDVGVERGNLETHEDSQVFSPKKGQKKKNIE KKRKRSKGDSDVSDEEAAPQNKKKRGPRTPPLAIKEELADISTDKDGVLEDPLKKENTAF SDWSDEDVPDRTEGLEAEHTAATATPGSTPSPLSSLLPPPPPVAAASTAATALASSAVSA TTSATSSSSAATSNTNGSEDSHRKCHRARGEKVEVSHVTLEDTPHRKLVDQKRSSSLGSN RSHRSHTSGRLRSPSNDSAHRSGDDQGSRKRVLHSGSRDREKTKSLEITGERKSRIDQLK RGEPSRSTSSDRQDSRSHSSRRSSPESDRQVHSRSGSFDSRDRLQERDRYEHDRERERDR RDPRQREWDREAEKEWPRTRDRDRLRERDRDRDRRRDLDRERERLISDPMERDRERERTF ETSQLESGKRSEVKLESEHERDLEGSSRDSVALDKERMDRDLGSVQGFEDVSKAERTESL EGDDESKLDDAHSLGSGAGEGYEPISDDELDEILAGDAEKREDQQEEEKMPDPLDVIDVD WSGLMPKHPKEPREPGAALLKFTPGAVLLRVGISKKLAGSELFTKVKETCQQLVEKPKDA DSLFEHELGALNMAALLRKEERASLLSDLGPCCKALCFRRDSAIRKQLVKNEKGTVKQAY TNTPMVDNELLRLSLRLFKKKATCHAPGQEKTEDGKLGPCSIQQELCVS >ENSMUSP00000106025.3 pep:known chromosome:GRCm38:12:76937269:76962248:-1 gene:ENSMUSG00000059436.12 transcript:ENSMUST00000110395.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Max description:Max protein [Source:MGI Symbol;Acc:MGI:96921] MSDNDDIEVESDEEQPRFQSAADKRAHHNALERKRRDHIKDSFHSLRDSVPSLQGEKASR AQILDKATEYIQYMRRKNHTHQQDIDDLKRQNALLEQQVRALEKARSSAQLQTNYPSSDN SLYTNAKGGTISAFDGGSDSSSESEPEEPQSRKKLRMEAS >ENSMUSP00000080778.5 pep:known chromosome:GRCm38:12:76937269:76962248:-1 gene:ENSMUSG00000059436.12 transcript:ENSMUST00000082136.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Max description:Max protein [Source:MGI Symbol;Acc:MGI:96921] MSDNDDIEVESDADKRAHHNALERKRRDHIKDSFHSLRDSVPSLQGEKASRAQILDKATE YIQYMRRKNHTHQQDIDDLKRQNALLEQQVRALEKARSSAQLQTNYPSSDNSLYTNAKGG TISAFDGGSDSSSESEPEEPQSRKKLRMEAS >ENSMUSP00000043315.9 pep:known chromosome:GRCm38:19:8735839:8740623:1 gene:ENSMUSG00000042729.9 transcript:ENSMUST00000049424.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr74 description:WD repeat domain 74 [Source:MGI Symbol;Acc:MGI:2147427] MATASARWNHVWVGTETGILKGVNLQRKHAANFTPSGQPRREEAVNALCWGTGGETQILV GCADRTVRYFNAEEGTFLSQRYCPGGEGTFRGLAQADGTLITCVDSGILRVWCENDKEAS SDPLLELKVGPGVCRMRQDPTHTHVVATCGKENALKVWDLQGSEEPVFRAKNVRNDWLDL RVPIWDQDTQFLPGSQKLVTCTGYHQVRVYDPVSPQRRPVLEATYGEYPLTAMTLTPEGN SVIVGNTHGQLAEIDFRQGRLLGCLKGLAGSVRGLQCHPSKPLLASCGLDRVLRIHRIRN PRGLEHKVYLKSQLNCLLLSGRDNWEDEPQEPQEPNQVPSEDTETDELWASLEAAAKRKL PDLDQTQGALQRRKKKKRPGSTSP >ENSMUSP00000063719.4 pep:known chromosome:GRCm38:7:45648595:45666308:-1 gene:ENSMUSG00000055978.4 transcript:ENSMUST00000069800.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut2 description:fucosyltransferase 2 [Source:MGI Symbol;Acc:MGI:109374] MASAQVPFSFPLAHFLIFVFVTSTIIHLQQRIVKLQTLSEKELQAVQMSSPNAARTDMQQ SAKLQGIFTINSIGRLGNQMGEYATLFALARMNGRLAFIPESMHNALAPIFRISLPVLHS DTARRIPWQNYHLNDWMEERYRHIPGQYVRFTGYPCSWTFYHHLRPEILKEFTLHDHVRE EAQAFLRGLRVNGSQPSTFVGVHVRRGDYVHVMPKVWKGVVADRGYLEKALDRFRARYSS PVFVVTSNGMAWCRENINTSLGDVVFAGNGIEGSPAKDFALLTQCNHTIMTIGTFGIWAA YLAGGDTIYLANYTLPDSPFLKIFKPAAAFLPEWMGIPADLSPLLKH >ENSMUSP00000136315.1 pep:known chromosome:GRCm38:2:67413725:67433086:1 gene:ENSMUSG00000096240.1 transcript:ENSMUST00000178898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21830 description:predicted gene, 21830 [Source:MGI Symbol;Acc:MGI:5433994] LYVFSSFSSSYVYIHIHTHTYIYMYIYVCVCVCFILAMVILFSLV >ENSMUSP00000060346.8 pep:known chromosome:GRCm38:12:85110833:85134091:1 gene:ENSMUSG00000004789.9 transcript:ENSMUST00000053811.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlst description:dihydrolipoamide S-succinyltransferase (E2 component of 2-oxo-glutarate complex) [Source:MGI Symbol;Acc:MGI:1926170] MLSRSRCVSRAFSRSLSAFQKGNCPLGRRSLPGVSLCRGPGYPDNRKMVINSGSVFRVRF FQTTAVCKNDVITVQTPAFAESVTEGDVRWEKAVGDAVAEDEVVCEIETDKTSVQVPSPA NGIIEALLVPDGGKVEGGTPLFTLRKTGAAPAKAKPAETPAPAHKAEPAAPAAPPPPAAP VLTQMPPVPSPSQPPSSKPVSAIKPTAAPPLAEAGAAKGLRSEHREKMNRMRQRIAQRLK EAQNTCAMLTTFNEVDMSNIQEMRARHKDAFLKKHNLKLGFMSAFVKASAFALQEQPVVN AVIDDATKEVVYRDYIDISVAVATPRGLVVPVIRNVETMNYADIERTINELGEKARKNEL AIEDMDGGTFTISNGGVFGSLFGTPIINPPQSAILGMHAIFDRPVAVGGKVEVRPMMYVA LTYDHRLIDGREAVTFLRKIKAAVEDPRVLLLDL >ENSMUSP00000021824.7 pep:known chromosome:GRCm38:13:49653350:49679015:1 gene:ENSMUSG00000021392.7 transcript:ENSMUST00000021824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol8 description:nucleolar protein 8 [Source:MGI Symbol;Acc:MGI:1918180] MERPLENVSSNLDRCPTSMQGNREMKRLFVGGLGQGISETDLQNQFGRFGEVSDVEIITR KDDQGNSQKVFAYVNIQITEADLKKCMSILNKTKWKGGTLQIQLAKESFLHRLAQEREDA KAKKEKSTTGNPTLLEKMGAVDFHMKAVPGTEVPGHKNWVVSKFGRVLPVLHLKNQQKHK IMKYDPSKYCHNIKKIPENLTETTPIAELTWELEGGNDPMSKKRRGEFSDFHIPPQKVKK VQKSNDPMESKVSNIGLRTNQVMEKNKSTHPVTAHGTAPSTVNPSKQLLVSSSGTQKPKH VVFHNSDFEIIWNKSSMSDDDVDSEDELKMMIAKEENREKPGHSSVNESEHDTFEVVRDD FKSNIHKLSSSVSLGNNHEYDSSDTDEIIAMKTKNAKVKNSAESSQPERTVSKKSSFQKI EPSNDCIKVQGINSNKESALCHGVKFVNPKFPPDSSGSDSEESEEDEEYKVLMENCPRVS LTLADLEQLAGSHRKFPGKDSETNGPQNDSHCKFDTTSKNPKTSGDLYNGRQQCILPEEI VASLLEDENTYSKQKSEEDILKPKFQAFKGIGCLYAKESVDKTLKENIAFNTGGGHHSSL KHEDHNRSLMENGSKCVNGSSSKLTSCQPAKKVNDPNHIQPPKRQCTFENQNHKVMSSTS CDKGSTNPLPGPLPLKAKTSLHLSANSHKVDSDGDACHWPESRKALEKERTNLSNLESLE KSSKVSPREDPQKSPAGFSLSDSNASCINAKDKQAEDNQKRLAALAAWQKAREVQKKLVH SALANLDGHPEDKKTHIVFASDNESETEETSTQEQSCPEKELMKESVSKSPGKLFDSSDD EDSDSKEDSTRFSIKPQFEGRAGQKLMDLQSQFGSDERFRMDSRFLESDSEDEKKELNED KVNEDELAAEKKKTLNVVQSVLNINVNNPTNKGSVAAKKFKDIVHYDPTKHDHAIYERKQ EDKEKESKATRKKKKEEAEKLPEVSQDMYYNIAADLKEIFQSMSNTDEKEEDVPRTEAGA REGTGKIRNAETLACEPEQTTGFTFSFFDSATKDEKDATYRIELVKHGKIVCPNDPRFQD SSSEEEDIAEEADHSKPSPGEAVPENEAIRFFFFSENDDRLRGSNLFWSGMGGSISRNSW EARTSSLLLECRKKHKEAKRKVKAN >ENSMUSP00000033975.6 pep:known chromosome:GRCm38:8:25725324:25754280:-1 gene:ENSMUSG00000061313.7 transcript:ENSMUST00000033975.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddhd2 description:DDHD domain containing 2 [Source:MGI Symbol;Acc:MGI:1919358] MSSGESHQEQLSQSDPSPSPNSCSSFELIDMDASSSYEPVSPHWFYCKVLDSKELWIPFN SEDSQQLEDAYGSGKDCNERIVPTDGGRYDVHLGERMRYAVYWDELPSEVRRCTWFYKGD KDNKYVPYSESFSQVLEDTYMLAVTLDEWKKKIESPNREIIVLHNPKLMVHYQPIAGSDE WGSTSTEQGRPRSVKRGVENIPVDIHCGEPLQIDHLVFVVHGIGPACDLRFRSIVQCVND FRSVSLNLLQTHFKKAQENEQIGRVEFLPVNWHSPLHSTGVDIDLQRITLPSINRLRHFT NDTILDVFFYNSPTYCQTIVDTVASEMNRIYTLFLQRNPDFKGGVSIAGHSLGSLILFDI LTNQKNSIGDIDSEKGSLSSAEDRGDASTLEEDLKKLQLSEFVTVFEKEKVDREALALCT DRDLQEMGIPLGPRKKILNHFSARKNSVSINRPAMSASEVNISKENGDYLDVGIGQVSVK YPRLNYKPEIFFAFGSPIGMFLTVRGLRRIDPNYKFPTCKGFFNIYHPFDPVAYRIEPMV APGIEFEPMLIPHHKGRKRMHLELREGLTRMSMDLKNNLLGSLRMAWKSFTRGPYPALQA SETAEETEAEPESSSEKSNEANTEEPPVEVKEEAPISVGMLNGGQRIDYVLQEKPIESFN EYLFALQSHLCYWESEDTVLLVLKEIYQTQGVFLDQPLQ >ENSMUSP00000105732.2 pep:known chromosome:GRCm38:12:98746968:98787774:1 gene:ENSMUSG00000021012.15 transcript:ENSMUST00000110105.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h14 description:zinc finger CCCH type containing 14 [Source:MGI Symbol;Acc:MGI:1919824] MEIGTEISRKIRSAIKGKLQELGAYVDEELPDYIMVMVANKKSQDQMTEDLSLFLGNNTI RFTVWLHGVLDKLRSVTTEPSSLKSPDASIFDSHVPSNKSSFSRGDERRHEAAVPPLAVS SSRPEKRDSRVSTSSQEQKSTNVRHSYDDGASTRLMSTVKPLREPAPSEDVIDIKPEPDD LIDEDLNFVQENPLSQKKPTVTLTYGSSRPSIEIYRPPASRNADTGTHLNRLQLHPQQSS AHAAKQLDVQSSQVSEAGRLCEPPVLSSVEDTYSPFFRNNLDKMSIEDENFRKRKLPVVS SVVKVKRFSHDGEEEEEDEDYGTRIGSLSSSVSVPAKPERRPSLPPSKQANKNLILKAIS EAQESVTKTTNYSAVPQKQTLPVAPRTRTSQEELLAEMVQGQNRAPRISPPVKEEEAKGD NTGKSQGTQQRQLLSRLQIDPVMVETMEMSQDYYDMESMVHADTRSFILKKPKLSEEIVV TPNQDSGMKTADALRVLSGHLMQTRDLVQPDKPASPKFIVTLDGVPSPPGYMSDQEEEMC FEGMKPVNQTSASNKGLRGLLHPQQLHLLSRQLEDPDGSFSNAEMTDLSVAQKPEKLLER CKYWPACKNGDECVYHHPISPCKAFPNCKFAEKCLFVHPNCKYDTKCTKADCPFTHMSRR ASILTPKPVSSPAPSSNGQLCRYFPACKKMECPFYHPKHCRFNTQCTRPDCTFYHPTITV PPRHALKWIRPQSSE >ENSMUSP00000105731.2 pep:known chromosome:GRCm38:12:98746968:98787774:1 gene:ENSMUSG00000021012.15 transcript:ENSMUST00000110104.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h14 description:zinc finger CCCH type containing 14 [Source:MGI Symbol;Acc:MGI:1919824] MEIGTEISRKIRSAIKGKLQELGAYVDEELPDYIMVMVANKKSQDQMTEDLSLFLGNNTI RFTVWLHGVLDKLRSVTTEPSSLKSPDASIFDSHVPSNKSSFSRGDERRHEAAVPPLAVS SSRPEKRDSRVSTSSQEQKSTNVRHSYDDGASTRLMSTVKPLREPAPSEDVIDIKPEPDD LIDEDLNFVQENPLSQKKPTVTLTYGSSRPSIEIYRPPASRNADTGTHLNRLQLHPQQSS AHAAKQLDVQSSQVSEAGRLCEPPVLSSVEDTYSPFFRNNLDKMSIEDENFRKRKLPVVS SVVKVKRFSHDGEEEEEDEDYGTRIGSLSSSVSVPAKPERRPSLPPSKQANKNLILKAIS EAQESVTKTTNYSAVPQKQTLPVAPRTRTSQEELLAEMVQGQNRAPRISPPVKEEEAKGD NTGKSQGTQQRQLLSRLQIDPVMVETMEMSQAEMTDLSVAQKPEKLLERCKYWPACKNGD ECVYHHPISPCKAFPNCKFAEKCLFVHPNCKYDTKCTKADCPFTHMSRRASILTPKPVSS PAPSSNGQLCRYFPACKKMECPFYHPKHCRFNTQCTRPDCTFYHPTITVPPRHALKWIRP QSSE >ENSMUSP00000055879.9 pep:known chromosome:GRCm38:12:98746968:98787774:1 gene:ENSMUSG00000021012.15 transcript:ENSMUST00000057000.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h14 description:zinc finger CCCH type containing 14 [Source:MGI Symbol;Acc:MGI:1919824] MEIGTEISRKIRSAIKGKLQELGAYVDEELPDYIMVMVANKKSQDQMTEDLSLFLGNNTI RFTVWLHGVLDKLRSVTTEPSSLKSPDASIFDSHVPSNKSSFSRGDERRHEAAVPPLAVS SSRPEKRDSRVSTSSQEQKSTNVRHSYDDGASTRLMSTVKPLREPAPSEDVIDIKPEPDD LIDEDLNFVQENPLSQKKPTVTLTYGSSRPSIEIYRPPASRNADTGTHLNRLQLHPQQSS AHAAKQLDVQSSQVSEAGRLCEPPVLSSVEDTYSPFFRNNLDKMSIEDENFRKRKLPVVS SVVKVKRFSHDGEEEEEDEDYGTRIGSLSSSVSVPAKPERRPSLPPSKQANKNLILKAIS EAQESVTKTTNYSAVPQKQTLPVAPRTRTSQEELLAEMVQGQNRAPRISPPVKEEEAKGD NTGKSQAEMTDLSVAQKPEKLLERCKYWPACKNGDECVYHHPISPCKAFPNCKFAEKCLF VHPNCKYDTKCTKADCPFTHMSRRASILTPKPVSSPAPSSNGQLCRYFPACKKMECPFYH PKHCRFNTQCTRPDCTFYHPTITVPPRHALKWIRPQSSE >ENSMUSP00000021399.7 pep:known chromosome:GRCm38:12:98771022:98787774:1 gene:ENSMUSG00000021012.15 transcript:ENSMUST00000021399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h14 description:zinc finger CCCH type containing 14 [Source:MGI Symbol;Acc:MGI:1919824] MKMSSRFSSPSLPVFLSPEPADLGSLTSASCSLNELGNISYLLRKIATDINEMKGMKAAI LTVEANLFDLNVRVSQNEAKISSLEVKMNEYLTSTSECNRQLEDFQERLEFESQSETTDA NLIGFLTEVEKGTQQRQLLSRLQIDPVMVETMEMSQAEMTDLSVAQKPEKLLERCKYWPA CKNGDECVYHHPISPCKAFPNCKFAEKCLFVHPNCKYDTKCTKADCPFTHMSRRASILTP KPVSSPAPSSNGQLCRYFPACKKMECPFYHPKHCRFNTQCTRPDCTFYHPTITVPPRHAL KWIRPQSSE >ENSMUSP00000025805.6 pep:known chromosome:GRCm38:19:5092871:5098418:-1 gene:ENSMUSG00000024873.6 transcript:ENSMUST00000025805.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnih2 description:cornichon family AMPA receptor auxiliary protein 2 [Source:MGI Symbol;Acc:MGI:1277225] MAFTFAAFCYMLTLVLCASLIFFVIWHIIAFDELRTDFKNPIDQGNPARARERLKNIERI CCLLRKLVVPEYSIHGLFCLMFLCAAEWVTLGLNIPLLFYHLWRYFHRPADGSEVMYDAV SIMNADILNYCQKESWCKLAFYLLSFFYYLYSMVYTLVSF >ENSMUSP00000136605.1 pep:novel scaffold:GRCm38:GL456354.1:82993:86051:-1 gene:ENSMUSG00000094337.7 transcript:ENSMUST00000179140.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3286 description:predicted gene 3286 [Source:MGI Symbol;Acc:MGI:3781464] MSGQTPPTLQKLARQTLLRDEALAISSLEELPAVVFPPLFQEAFAGRLNKLIKAMVAAWP FHDLPVGPLINMHNLETLQALLDGVDMRLTRKFHPWRPKLQVLDMRNVDHVFWNIWSDAN DSDSDAETLDEKQVVKAPRRYALRQRLKIIVDLSISSQLNEQKAYFLNWAKQRKGSINFC CTKMKIWDAPDEVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLERVFLAP LHKNTSPIMNLTRDSKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLESLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTANWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000127988.2 pep:known scaffold:GRCm38:GL456354.1:82993:86051:-1 gene:ENSMUSG00000094337.7 transcript:ENSMUST00000164489.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3286 description:predicted gene 3286 [Source:MGI Symbol;Acc:MGI:3781464] MSGQTPPTLQKLARQTLLRDEALAISSLEELPAVVFPPLFQEAFAGRLNKLIKAMVAAWP FHDLPVGPLINMHNLETLQALLDGVDMRLTRKFHPWCLRTPLQTLSITHCLISQTDLDSF SCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLESLDFQWCSMKDSQLIVLLPAL SQCSQLNQINFYSNDFSMAILKDLLQHTANWSKMNVEQYPVPLECYDALGHVSRERFVEL CQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCLQ >ENSMUSP00000006397.5 pep:known chromosome:GRCm38:9:21958899:21963576:-1 gene:ENSMUSG00000006235.5 transcript:ENSMUST00000006397.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epor description:erythropoietin receptor [Source:MGI Symbol;Acc:MGI:95408] MDKLRVPLWPRVGPLCLLLAGAAWAPSPSLPDPKFESKAALLASRGSEELLCFTQRLEDL VCFWEEAASSGMDFNYSFSYQLEGESRKSCSLHQAPTVRGSVRFWCSLPTADTSSFVPLE LQVTEASGSPRYHRIIHINEVVLLDAPAGLLARRAEEGSHVVLRWLPPPGAPMTTHIRYE VDVSAGNRAGGTQRVEVLEGRTECVLSNLRGGTRYTFAVRARMAEPSFSGFWSAWSEPAS LLTASDLDPLILTLSLILVLISLLLTVLALLSHRRTLQQKIWPGIPSPESEFEGLFTTHK GNFQLWLLQRDGCLWWSPGSSFPEDPPAHLEVLSEPRWAVTQAGDPGADDEGPLLEPVGS EHAQDTYLVLDKWLLPRTPCSENLSGPGGSVDPVTMDEASETSSCPSDLASKPRPEGTSP SSFEYTILDPSSQLLCPRALPPELPPTPPHLKYLYLVVSDSGISTDYSSGGSQGVHGDSS DGPYSHPYENSLVPDSEPLHPGYVACS >ENSMUSP00000129403.1 pep:known chromosome:GRCm38:8:104170513:104219092:1 gene:ENSMUSG00000031872.13 transcript:ENSMUST00000171018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bean1 description:brain expressed, associated with Nedd4, 1 [Source:MGI Symbol;Acc:MGI:1929597] MEDVETASRGASPLQEVRAVLVGRLAPLFCSKDYMSFKRPCPLARYNRTSYFYPTTFSES SEHSHLLVSPVLVASAVIGVVITLSCITIIVGSIRRDRQARIQRHHHRHRRHHHHHRHRR RRHREYVAGVTSMRAGPVCGPPHLQPRGGFSEAMEGLTFTSLCASGGHTHSRSSPRMPYA CSPAEDWPPPLDVSSEGDVDVTVLWELYPDSPPGYEECMGPGATQLYVPTDAPPPYSMTD SCPRLNGALDSDSGQSRSHRQQEQRTQGQSRLHTVSMDTLPPYEAVCGTGSPSDLLPLPG PEPWPSNSQGSPIPTQAPMPSPERIV >ENSMUSP00000131530.1 pep:known chromosome:GRCm38:8:104170513:104219092:1 gene:ENSMUSG00000031872.13 transcript:ENSMUST00000167633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bean1 description:brain expressed, associated with Nedd4, 1 [Source:MGI Symbol;Acc:MGI:1929597] MSFKRPCPLARYNRTSYFYPTTFSESSEHSHLLVSPVLVASAVIGVVITLSCITIIVGSI RRDRQARIQRHHHRHRRHHHHHRHRRRRHREYASGGHTHSRSSPRMPYACSPAEDWPPPL DVSSEGDVDVTVLWELYPDSPPGYEECMGPGATQLYVPTDAPPPYSMTDSCPRLNGALDS DSGQSRSHRQQEQRTQGQSRLHTVSMDTLPPYEAVCGTGSPSDLLPLPGPEPWPSNSQGS PIPTQAPMPSPERIV >ENSMUSP00000090931.5 pep:known chromosome:GRCm38:8:104170513:104219092:1 gene:ENSMUSG00000031872.13 transcript:ENSMUST00000093245.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bean1 description:brain expressed, associated with Nedd4, 1 [Source:MGI Symbol;Acc:MGI:1929597] MSFKRPCPLARYNRTSYFYPTTFSESSEHSHLLVSPVLVASAVIGVVITLSCITIIVGSI RRDRQARIQRHHHRHRRHHHHHRHRRRRHREYASGGHTHSRSSPRMPYACSPAEDWPPPL DVSSEGDVDVTVLWELYPDSPPGYEECMGPGATQLYVPTDAPPPYSMTDSCPRLNGALDS DSGQSRSHRQQEQRTQGQSRLHTVSMDTLPPYEAVCGTGSPSDLLPLPGPEPWPSNSQGS PIPTQAPMPSPERIV >ENSMUSP00000132056.1 pep:known chromosome:GRCm38:8:104170513:104219092:1 gene:ENSMUSG00000031872.13 transcript:ENSMUST00000164076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bean1 description:brain expressed, associated with Nedd4, 1 [Source:MGI Symbol;Acc:MGI:1929597] MRAGPVCGPPHLQPRGGFSEAMEGLTFTSLCASGGHTHSRSSPRMPYACSPAEDWPPPLD VSSEGDVDVTVLWELYPDSPPGYEECMGPGATQLYVPTDAPPPYSMTDSCPRLNGALDSD SGQSRSHRQQEQRTQGQSRLHTVSMDTLPPYEAVCGTGSPSDLLPLPGPEPWPSNSQGSP IPTQAPMPSPERIV >ENSMUSP00000071667.2 pep:known chromosome:GRCm38:7:140466158:140467209:1 gene:ENSMUSG00000056883.2 transcript:ENSMUST00000071755.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr533 description:olfactory receptor 533 [Source:MGI Symbol;Acc:MGI:3030367] MAPVNQSVVTMFILQRFVDDPRVQDVLFCLFFALFVAAIAGNGLIIATIHSSPNLHTPMY FFLVNLALMDVICTVTVLPKVLQSLVAENSISYGGCLTQMFVFSWVLGSELLLFSAMAYD RYLAICRPLHYGTLMSGRVCVALATFVWFTGAFNSLVLTCLMLPLSFCGPNLITHFFCEI PSVLILSCSPTFINDIMTVIADMFLTGLNFLLTMTSYGFIISSILRIRSAEGKKRAFSTC SAHLVVVTLYYSTVLYTYVRPALGTAGFLDKLIAVLYTTVTPSLNPLIYTLRNKEFKTSF KKLISLLRTLSLEMNSKSNG >ENSMUSP00000074713.1 pep:known chromosome:GRCm38:9:38108688:38109632:1 gene:ENSMUSG00000095527.1 transcript:ENSMUST00000075228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr888 description:olfactory receptor 888 [Source:MGI Symbol;Acc:MGI:3030722] MMLGRMAFSNDSSVKEFILLGLTQQPELQMPLFFLFLGIYVVSMVGNLGLIVLIVLNPHL HTPMYYFLFNLSFIDLCYSSVITPRMLVGFVKQNIISHAECMTQLFFFCFFVIDECYILT AMAYDRYAAICKPLLYQVTMSHQVCHLMMVGVYVMGLVGAMAHTGSMLSLTFCDGNIINH YMCDIPPLQKLSCTSTSINELVVFIVVGVNVIIPSLTVFISYTLILSNILSIQSAEGRSK AFSTCGSHVIAVSLFFGASAFMYLKPSSASVDDDKISTIFYTIVGPMLNPFIYSLRNKDV HIALRKTLKKSMFI >ENSMUSP00000093713.3 pep:known chromosome:GRCm38:19:47083471:47090625:-1 gene:ENSMUSG00000071528.3 transcript:ENSMUST00000096014.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usmg5 description:upregulated during skeletal muscle growth 5 [Source:MGI Symbol;Acc:MGI:1891435] MAGAESDGQFQFTGIKKYFNSYTLTGRMNCVLATYGGIALLVLYFKLRPKKTPAVKAT >ENSMUSP00000071393.2 pep:known chromosome:GRCm38:9:38329492:38330559:1 gene:ENSMUSG00000058270.2 transcript:ENSMUST00000071449.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr25 description:olfactory receptor 25 [Source:MGI Symbol;Acc:MGI:109310] MKQMATKNDSSVSEFILMGLTDQPELQLPLFFLFLLNHTVIVVGNLSLMSLIILNSNLHT PMYFFLFNLSFIDFCYSFVFTPKMLMSFVSEKNIIPFTGCMTQLFFFCFFAHSESWVLTV MAYDRYVAICKPLLYKAIMLPRICCLLMFVSYLIGFASAMVLAGLMIRLNFCNNNIINHY MCDIFPVLRISCSNTYLNELVSTAVVGTAIILCSLIIFISYAMILFNIVHMSSGKGWSKA LGTCGSHIITVSFFYGSGLLAYVKPSSAETVGQGKFFSVFYTFLVPMLNPLIYSLRNKDV KVAVKKTIKRITS >ENSMUSP00000065643.6 pep:known chromosome:GRCm38:12:98786604:98901484:-1 gene:ENSMUSG00000051166.9 transcript:ENSMUST00000065716.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml5 description:echinoderm microtubule associated protein like 5 [Source:MGI Symbol;Acc:MGI:2442513] MAARSAPSCHLRLEWVYGYRGHQCRNNLYYTAAKEIVYFVAGVGVVYSPREHRQKFFRGH SDDIISLALHPERVLVATGQVGKEPYICVWDSYTVQTVSVLKDVHTHGIACLAFDLDGQR LVSVGLDSKNAVCVWDWKRGRMLSMAPGHTDRIFDISWDLYQPNKLVSCGVKHIKFWSLC GNALTPKRGVFGKTGDLQTILCLACARDELTYSGALNGDIYVWKGINLIRTIQGAHTAGI FSMNSCEEGFATGGRDGCIRLWDLTFKPITVIDLRETEQGYKGLSVRSVCWRGDHILVGT QDSEIFEIVVHERNKPFLIMQGHCEGELWALAVHPTKPLAVTGSDDRSVRIWSLVDHALI ARCNMEEPIRCAAVNVDGIHLALGMKDGSFTVLRVRDMTEVVHIKDRKEAIHELKYSPDG AYLAVGCNDSSVDIYGVAQRYKKVGECVGSLSFITHLDWSSDSRYLQTNDGSGKRLLYKM PGGKEVTSKEEIKGMHWASWTCVAGLEVNGIWPKYSDINDINSVDGNYVGQVLVTADDYG VVKLFRYPCLRKGAKFRKYIGHSAHVTNVRWSHDYQWVISIGGADHSVFQWKFIPERKLK DALHIAPQESLAESNSDESDSDLSDVPELDSEIEQETQLTYHRQVYKEDLPQLKEQCKEK QKSATSKRRERTPGTSIRLHFIHGYRGYDCRSNLFYTQIGEIVYHVAAVGVIYNRQQNTQ RFYLGHDDDILCLAIHPLKDYVATGQVGRDPSIHVWDTETIKPLSILKGYHQYGICAVDF SADGKRLASVGIDDSHTIVLWDWKKGEKLSVTRGSKDKIFVVKMNPYVPDKLITAGIKHM KFWRRAGGGLIGKKGYVGTLGKNDTMMCAVYGWTEEMAFSGTSTGDVCIWRDVFLVKTVK AHDGPVFSMHALEKGFVTGGKDGMVALWDDSFERCLKTYAIKRADLAPGSKGLLLEDNPS IRAISLGHGHILVGTKNGEILEVDKSGPITLLVQGHMEGEVWGLATHPYLPICATVSDDK TLRIWDLSPSHCMLAVRKLKKGGRCCCFSPDGKALAVGLNDGSFLMANADTLEDLVSFHH RKDIISDIRFSPGSGKYLAVASHDSFVDIYNVTSSKRVGVCKGATSYITHIDWDSRGKLL QVNTGAKEQLFFEAPRGKRQTIPSVEVEKISWATWTSVLGLCCEGIWPVIGEVTEVTASC LTSDKMVLATGDDLGFVKLFRYPAKGKFGKFKKYVAHSTHVTNVRWTYDDSMLVTLGGAD MSLMVWTNEVESHREKKYCDSEESDIDSEEDGGYDSDVTRENEISYTIRALSTNIRPMFG VKPHLQQKEPSVDERQGVVRGSRPPVSRAPPQPEKLQSNNVGKKKRPIEDLVLELAFGYR GRDCRNNVHYLNDGDDIIYHTASIGILHNVATGTQSFYQEHNDDILCLTVNQHPKFINIV ATGQVGDSADMSATAPSVHIWDAVNKQTLSILRCSHSKGVCSVSFSATGKLLLSVGLDPE HTVTIWRWQEGAKIASRGGHNQRIFVAEFRPDSDTQFVSVGIKHVKFWTLAGRALLSKKG LLSSLEDARMQTMLAVAFGANNLTFTGTISGDVCVWKDHILCRVVARAHNGPVFAMYTTL RDGLIVTGGKERPSKEGGAVKLWDQELRRCRAFRLETGQVTDCVRSVCRGKGKILVGTRN SEIIEVGEKNAACNILVNGHVDGPIWGLATHPSRDFFLSAAEDGTVRLWDIADKKMLNKV NLGHAARTVCYSPEGDMVAIGMKNGEFIILLVSSLKIWGKKRDRRCAIHDIRFSPDSRYL AVGSSENSVDFYDLTLGPTLNRISYCKDIPSFVIQMDFSADSRHLQVSSGCYKRHVYEVP SGKHLVDHAAIDRITWATWTSILGDEVMGIWSRHAEKADVTCACVSHSGISLVTGDDFGM VKLYDFPCPEKFAKHKRFLGHSPHVTNIRFTSGDRHVVSAGGDDCSLFVWKCVHMPH >ENSMUSP00000036726.8 pep:known chromosome:GRCm38:10:50592669:50851202:1 gene:ENSMUSG00000038774.8 transcript:ENSMUST00000035606.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascc3 description:activating signal cointegrator 1 complex subunit 3 [Source:MGI Symbol;Acc:MGI:1925237] MALPRLTGALRSFSNVTKQDNYNEEVADLKLKRSKLHEQVLDFGLTWKKIVKFLNEKLEK NKMQNINEDLKDILQAAKQIVGTDNGREAIESGAAFLFMTFHMTDSVGYMETKAIRQTFG PFPSSSATSACNATNRIISHFSQDDLTAFVQMAENPCNDRVVFGKNLAFSFDMYDLDHFD ELPINGESQKTISLDYKKFLNEQFQEPYTPELKPVEKTNGSLLWCEVEKYLNATLKEMTE AARVEDLCCTLYDMLASAKSGDELQDELFELLGPEGLDLIEKLLQNRITIVDRFLNSSSD HKFQVLQDSCKKILGENSKPNYGCQVTIQSEQEKQLMKQYRREEKRIARREKKAGEDGEV SGEGVLPFDPKELRIQREHALLNARNAPILGRQRDVEFEKIRYPHVYDSQAQARETSAFI AGAKMILPEGIQRENTKLYEEVRIPYGEPMPVGFEEKPVYIKDLDEVGQLAFKGMKRLNR IQSIVFETAYNTNENMLICAPTGAGKTNIAMLTILHEIRQHFHQGVIKKNEFKIVYVAPM KALAAEMTNYFSKRLEPLGIVVKELTGDMQLSKSEILRTQMLVTTPEKWDVVTRKSVGDV ALSQIVKLLILDEVHLLHEDRGPVLESIVARTLRQVESTQSMIRILGLSATLPNYLDVAT FLHVNPYIGLFYFDGRFRPVPLGQTFLGIKSTNKMQQLNNMDEVCYESVLKQVKAGHQVM VFVHARNATVRTAMSLIERAKNSGQISCFLPTEGPEYGHALKQVQKSRNKQVRELFSDGF SIHHAGMLRQDRNLVENLFSNGHIKVLVCTATLAWGVNLPAHAVVIKGTQIYAAKRGSFV DLGILDVMQIFGRAGRPQFDKFGEGIIITTHDKLSHYLSLLTQQNPIESQFLESLADNLN AEIALGTVTNVEEAVRWMSYTYLYVRMRANPLAYGISHKAYQIDPTLRKHREQLLIEVGQ KLDKAKMIRFEERTGYFSSTDLGRTASHFYIKYNTIETFNELFDAHKTEGDIFAIVSKAE EFDQIKVREEEIEELDALLNNFCELSAPGGVENSYGKINILLQTYISRGEMDSFSLISDS AYVAQNAARIVRALFEIALRKRWPTMTYRLLNLSKVIDKRLWGWASPLRQFSVLPPHILT RLEEKNLTVDKLKDMRKDEIGHILHHVNIGLKVKQCVHQIPSVTMEASIQPITRTVLRVS LNIHPDFSWNDQVHGTVGEPWWIWVEDPTNDHIYHSEYFLALKKQVINKEAQLLVFTIPI FEPLPSQYYIRAVSDRWLGAEAVCIINFQHLILPERHPPHTELLDLQPLPITALGCKAYE ALYNFSHFNPVQTQIFHTLYHTDCNVLLGAPTGSGKTVAAELAIFRVFNKYPTSKAVYIA PLKALVRERMDDWKIRIEEKLGKKVIELTGDVTPDMKSIAKADLIVTTPEKWDGVSRSWQ NRSYVQQVNILIIDEIHLLGEERGPVLEVIVSRTNFISSHTEKPVRIVGLSTALANARDL ADWLNIKQMGLFNFRPSVRPVPLEVHIQGFPGQHYCPRMASMNKPAFQAIRSHSPAKPVL IFVSSRRQTRLTALELIAFLATEEDPKQWLNMDEQEMDNIIGTVRDSNLKLTLAFGIGMH HAGLHERDRKTVEELFVNCKVQVLIATSTLAWGVNFPAHLVIIKGTEYYDGKTRRYVDFP ITDVLQMMGRAGRPQFDDQGKAVILVHDIKKDFYKKFLYEPFPVESSLLGVLSDHLNAEI AGGTITSKQDAMDYITWTYFFRRLIMNPSYYSLGDVSQDSINKFLSHLIGQSLVELELSH CIEVGEDNRTIEPLTCGRIASYYYLKHKTVKMFKDRLKPECSTEELLSILSDAEEYTDLP VRHNEDHTNNELAKCLPIELNPHSFDSPHTKAHLLLQAHLSRAMLPCPDYDTDTKTVLDQ ALRVCQAMLDVAASQGWLVTVLNITHLIQMVIQGRWLKDSSLLTIPNIEQHHLHLFRKWK PPVKSSHAKCRTSIECLPELIHACEGKDHVFSSMVEKELQPAKTKQAWNFLSRLPVINVG ISVKGSWDDLVEGHNELSISTLTADKRDENKWIKLHADQEYVLQVSLQRVHFGLPKGKHE NHAVTPRFPKLKDEGWFLILGEVDKRELMAVKRVGFVRTHHDASISFFTPETPGRYIFTL YLMSDCYLGLDQQYDIYLNVIKANISTKDSDVFTDLSV >ENSMUSP00000077615.1 pep:known chromosome:GRCm38:9:39393793:39394737:1 gene:ENSMUSG00000094269.1 transcript:ENSMUST00000078531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr951 description:olfactory receptor 951 [Source:MGI Symbol;Acc:MGI:3030785] MEDMTAGNHCTVTVFFLAGLSEQSELQLPLFLFFTGIYLITVSGNLGMIILIGLSSNLHT PMYYFLSSLSFIDFGQSTVVTPKMLVSFLTEKNFITYPGCMTQLYFVIIFGTAESYTLAA MAYDHYVAICNTLVYNIAMSSQIYCSLISGVYIFAVFCASVNMGFMFRIQFCKSDVINHY FCDFLPLLKLACSNTYVSEMLILFFGTLNIFVPMLTIITSYISIISSILRIHSREGRSKA FSTCSSHISAVAIFYGSGVFVYLQPSQVSSMDQGKVSSVFYTTVVPMLNPLIYSLRNKDV SDALKKILERKTFM >ENSMUSP00000037788.6 pep:known chromosome:GRCm38:13:74036495:74062285:-1 gene:ENSMUSG00000021572.8 transcript:ENSMUST00000036456.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep72 description:centrosomal protein 72 [Source:MGI Symbol;Acc:MGI:1921720] MAPGQRLVLCEETVRERSGLGPHRDLAELRSLSIPGTYQEKITHLGNSLMHLTALKSLDL SRNSLVSLEGIQYLVSLESLNLYYNCISSLAEVFRLHTLLELQDVDFRLNPVVKNESDYR LFVVHMLPKLRQLDDRPVRESERKASQLHFAPEDSLNSKENFSTTLTVGRPHHLRNRCTE TSAKKCLVMDADDEAVLNLIAECEWDLSNPPGNMSSSQKEHEADLHYAQESRHLLSPLSI QHQCGDSARRGHEKKKVTSRGCPGHSPQDQLCGELPLQHGLPEACHMHVQHARITSQPDS VDVEDCASSAQKSSLSSQKTVNPLPVPEKYRKRRMPGGRFQVPSDRECLSFLERADGPSS LEDSLSRQDGLEGQSQVALSHSEALEAEERTSHGTSDPRVLSPKLCSAAVPERRSTLEVA LLEALLDLIDRCSSGSGSLHGNEAFLAQAKHVLSSLQEFTATRDSSALEKEGIGYLSLEN KTLQSRLAEQQQQYTATVTKMTAELNNTKRELDTLRQHLDKSLEENSHLKSLLYNVKKEV KTADTSTALTLQITGLQASMKQLSGEVVELKQHVEHYDKIQELTQMLQESHSSLVSTNEH LLQELSRTRAQHRAEVEQMRWSFQEFKKTTALIPHRSSRRGGRQSC >ENSMUSP00000073139.1 pep:known chromosome:GRCm38:9:39669059:39669994:1 gene:ENSMUSG00000064110.1 transcript:ENSMUST00000073433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr963 description:olfactory receptor 963 [Source:MGI Symbol;Acc:MGI:3030797] MTNHTMVTEFTLLGIPETEGLENVLLFLFSTLYACALLGNLLLLTAVISSPQLHTPMYFF LGNLSIFDMGFCSTTAPKMLSYLSGQGGGISFQGCVVQHFFYHCLGCTECFLYTVMAYDR FVAICFPLRYTIIMNHRVCCVLATGTWMSGCVHATILTCLTFQLPYCGPSNVGYYFCDMP AVLPLACEDHSLAQRVGFTNVGLLSLICFFLILVSYTRIGISISKIRSTEGRQRAFSTCS AHLTAIICAYGPVIVIYLQPNPSPLLGAVIQILNNLVTPTINPLIYSLRNKDVKAALRHV FLKRSLSLESK >ENSMUSP00000042772.4 pep:known chromosome:GRCm38:7:45928398:45948956:1 gene:ENSMUSG00000040189.16 transcript:ENSMUST00000038720.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc114 description:coiled-coil domain containing 114 [Source:MGI Symbol;Acc:MGI:2446120] MMELERRAYSKEVHQRLRKQVEEIRQLEMLRAKLQTQINVAQSQVKRLGDKKHLAEMECL LKSRAQVQVEIEALQEQNRALDKQIQDWETHVLTQSKEASAPDLIMYQKMKIQRRIRILE DQLDRVTCHFDIHLVRNAALREELELLRIERGRYLNMDRKLKKEIHLLREMVGALSTSST SAYTAREEAKTKMGMLQERAEKELAQSDTEAQILLRQISHLEQLHRFLKLKNDERQPDPR VVQKAEQRDWEVSEGLRKTSQEKLVLRYEDTLGKLAQLTGESDPDLLVEKYLELEERNFA EFNFINEQNSEIHHLQEEIKEMQEALVSEHASQDKQRMQQEQQCKMLQQDVDKMCSESEQ LEGRFQVLRGQLEKIKTDIQVLFDKAKCDSSVIKDLLGVKTYMRDRDIGLFLSTIERRLV QLLTVQAFLQVQNLAPLADAALLALGQSLQEPSKKTTPLKPPDTMEDSSGAVIKEDYPMS KEELLSQVMKSLQLQDEEESAKKLDSSPSLTLSSPQISLVTVPKHSKKTSVVPESILSHK TNRGRGTGSVSHVTFGDSASAAGPVAMASASASGAPVSSRSSQGGRGGFKPTSSSSYLGS TGYLETSRGRESTAGGVHSQSMGSELSRGLSSSSGHASSPAPPSRPSSSTSKDSRGYN >ENSMUSP00000060106.3 pep:known chromosome:GRCm38:9:39993812:39994747:1 gene:ENSMUSG00000044292.3 transcript:ENSMUST00000057161.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr978 description:olfactory receptor 978 [Source:MGI Symbol;Acc:MGI:3030812] MSNTSIVTTFFLSGLPHPPVLDSMLFGIFLVIYILTVLGNLLILTVIRVDSHLHTPMYYF LTNLSFIDMWFSTVTVPKMLMTLVSTGGGAISFHSCVAQLYCFHFLGSTECFLYTVMSYD RYLAISYPLRYSSMMGGRMCALLAAGTWFTGSLHSAVQTTLTFHLPYCGPNQIQHYFCDA PPILKLACADTSANEMVIFVNIGVVASGCFFLISLSYVSIVCSILRIRTSEGRHRAFQTC ASHCIVVLCFFGPGLFIYLRPGSRDAVDGIVAVFYTVLTPLLNPVVYTLRNKEVKKALLK IKYGSVLPQDK >ENSMUSP00000126725.1 pep:known chromosome:GRCm38:9:51081313:51102078:-1 gene:ENSMUSG00000032057.8 transcript:ENSMUST00000170947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4833427G06Rik description:RIKEN cDNA 4833427G06 gene [Source:MGI Symbol;Acc:MGI:1921013] METGPRGCPSGRKESQEICSPGLLVFTGCSEQDANLAKQFWLGASMYPTTESQLVLTRGS SQRLPVARNSKVVLREKSSVQPFPFDQDKDAIIFAKAQRIQESEERAKYLQKAKTRDEIL QLLRKQREERISKELISLPYKPKDKVPKSKEVLSESGLRDQEEVKALE >ENSMUSP00000069182.7 pep:known chromosome:GRCm38:18:80046895:80119640:1 gene:ENSMUSG00000056214.8 transcript:ENSMUST00000070219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pard6g description:par-6 family cell polarity regulator gamma [Source:MGI Symbol;Acc:MGI:2135606] MNRSFHKSQTLRFYDCSAVEVKSKFGAEFRRFSLDRHKPGKFEDFYQLVVHTHHISNTEV TIGYADVHGDLLPINNDDNFCKAVSSANPLLRVFIQKREEADHYSFGAGTLSRKKKVLVT LRDDGLRRRPHLNISMPHDFRPVSSIIDVDILPETHRRVRLYRHGYEKPLGFYIRDGTSV RVTPHGLEKVPGIFISRMVPGGLAESTGLLAVNDEVLEVNGIEVAGKTLDQVTDMMIANS HNLIVTVKPANQRNNVVRSSRTSGSSVHSTDSTTSHHSLPGAHVLQNSEDVESDEEADIV IEGALEPQHIPKTQAVPPGSLSRANGTSLAHGLHRRDMSLHSSGRESNGSIHRFLSSLKP DPRHSLVLPQGGVEEHGPAITL >ENSMUSP00000096991.2 pep:known chromosome:GRCm38:19:46003478:46006173:1 gene:ENSMUSG00000074811.2 transcript:ENSMUST00000099393.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps6 description:Hermansky-Pudlak syndrome 6 [Source:MGI Symbol;Acc:MGI:2181763] MKRAGTLRLLSDLSNFTGAARLRELLAGDPAVLVRCSPDGRHLLLLRPPGSPAPQLLVAV RGPGLPLERAWPEGDPSPLDVFFVPWLARPALILVWESGLAEVWGVGMEPGWKLLQSTEL CPDGGARVMAVAATRGRLVWCEERQPGVKDQPEQLSTAFSHRVCFKTLETSGEAGTKLGC THILLHHCPLFGLIASRKDLFLVPTTNTWSGVAHLLLIWSPSKGKVIVAAPSLGLSHSKS LNPKQGDTWDFRTLLRGLPGFLSPREPLAVHTWAPSSQGLLLLDLKGKVSLVQCHGGTRT VGILQEAPVSLKGSAALGTFHGTLACVLGSTLELLDMSSGRLLEKKVLSTDRVHLLEPPA PGMKNEEELETRGALRLLSALGLFCVCWETPQGLELPSDKDLVFEEACGYYQRRSLRGTQ LTPEELRHNSMFRAPQALASILQGHLPPSTLLTTLRAELRDYRSIEQLKAQLVAGDDEEA GWTELAEHEVARLLRTQLTGDQLAQFNTIFQALPTAAWGATLQALQLQPDRSGRLRSQAP PDVWKKVLRAPTAGKEHPNGILPPFELLCQCLGQLEPQWLPPFVKLAQQQGGPGWGAEGP SLPLYRRALAVLGEEGKRPEALELELLLGSGRPKAVLQAVRQLIKKEEWERALEAGLALD ASSPLLRSEIFKLLLAEFAQHRRLDAHLPLLCRLCPPEVAPHELLLLLRTHLPDDEGTTP FPEPGAEPPLTVGLVRALLEQTGAQGRPSGPVQSTYEDILWDPGTPPPTPPRGPTASLPA SDHPGQEAWVPPGQGLGAADVGVHL >ENSMUSP00000137525.1 pep:known chromosome:GRCm38:12:18514738:18534191:1 gene:ENSMUSG00000073197.8 transcript:ENSMUST00000177778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730507C01Rik description:RIKEN cDNA 5730507C01 gene [Source:MGI Symbol;Acc:MGI:1917882] MPDQISVSEFVAETLEDNKAPTASSFTTSTAQCRATVAAIEEVSGSVARAGQETSVAGIA LRPGHPDAVTYDDVHVNFTGEEWNLLDPSQKSLYKDVMLETYWNLAVIGYTWENHHIERH CQSSRRNERYVSNHSGEKLYECNERSKAFSCPSHLQSNIRRQIGEKIHEHNQCCKAFPTP SHLQYHKRIHTGEKPYECHQCGQDFKKCSHLQCHKRTHTGEKSYECNQCGKPFAQLGSLN RHKRTHTGEKPYECHQCGKAFARLSSLHCHKRTHTGEKPYECNQCGKAFSQPSHLQSHKR THTGEKPYECNQCGKAFAELSNLQRHKRTHTGEKPYECNQCGKAFAGHNVLQSHQRTHTG EKPYECNQCGKAFAGHICLQYHKRTHNGEKPRNVINVIKDFSQSCCLYNHKRTHIR >ENSMUSP00000099112.1 pep:known chromosome:GRCm38:12:18514738:18534191:1 gene:ENSMUSG00000073197.8 transcript:ENSMUST00000063216.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730507C01Rik description:RIKEN cDNA 5730507C01 gene [Source:MGI Symbol;Acc:MGI:1917882] MPDQISVSEFVAETLEDNKAPTASSFTTSTAQCRATVAAIEEDAVTYDDVHVNFTGEEWN LLDPSQKSLYKDVMLETYWNLAVIGYTWENHHIERHCQSSRRNERYVSNHSGEKLYECNE RSKAFSCPSHLQSNIRRQIGEKIHEHNQCCKAFPTPSHLQYHKRIHTGEKPYECHQCGQD FKKCSHLQCHKRTHTGEKSYECNQCGKPFAQLGSLNRHKRTHTGEKPYECHQCGKAFARL SSLHCHKRTHTGEKPYECNQCGKAFSQPSHLQSHKRTHTGEKPYECNQCGKAFAELSNLQ RHKRTHTGEKPYECNQCGKAFAGHNVLQSHQRTHTGEKPYECNQCGKAFAGHICLQYHKR THNGEKPRNVINVIKDFSQSCCLYNHKRTHIR >ENSMUSP00000127498.1 pep:known chromosome:GRCm38:19:5988546:6015825:-1 gene:ENSMUSG00000024942.16 transcript:ENSMUST00000164843.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn1 description:calpain 1 [Source:MGI Symbol;Acc:MGI:88263] MTEELITPVYCTGVSAQVQKKRDKELGLGRHENAIKYLGQDYETLRARCLQSGVLFQDEA FPPVSHSLGFKELGPHSSKTYGIKWKRPTELMSNPQFIVDGATRTDICQGALGDCWLLAA IASLTLNETILHRVVPYGQSFQDGYAGIFHFQLWQFGEWVDVVIDDLLPTKDGKLVFVHS AQGNEFWSALLEKAYAKVNGSYEALSGGCTSEAFEDFTGGVTEWYDLQKAPSDLYQIILK ALERGSLLGCSINISDIRDLEAITFKNLVRGHAYSVTGAKQVTYQGQRVNLIRMRNPWGE VEWKGPWSDSSYEWNKVDPYEREQLRVKMEDGEFWMSFRDFIREFTKLEICNLTPDALKS RTLRNWNTTFYEGTWRRGSTAGGCRNYPATFWVNPQFKIRLEEVDDADDYDNRESGCSFL LALMQKHRRRERRFGRDMETIGFAVYQVPRELAGQPVHLKRDFFLANASRAQSEHFINLR EVSNRIRLPPGEYIVVPSTFEPNKEGDFLLRFFSEKKAGTQELDDQIQANLPDEKVLSEE EIDDNFKTLFSKLAGDDMEISVKELQTILNRIISKHKDLRTNGFSLESCRSMVNLMDRDG NGKLGLVEFNILWNRIRNYLTIFRKFDLDKSGSMSAYEMRMAIEAAGFKLNKKLHELIIT RYSEPDLAVDFDNFVCCLVRLETMFRFFKLLDTDLDGVVTFDLFKWLQLTMFA >ENSMUSP00000025891.8 pep:known chromosome:GRCm38:19:5988546:6015247:-1 gene:ENSMUSG00000024942.16 transcript:ENSMUST00000025891.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn1 description:calpain 1 [Source:MGI Symbol;Acc:MGI:88263] MTEELITPVYCTGVSAQVQKKRDKELGLGRHENAIKYLGQDYETLRARCLQSGVLFQDEA FPPVSHSLGFKELGPHSSKTYGIKWKRPTELMSNPQFIVDGATRTDICQGALGDCWLLAA IASLTLNETILHRVVPYGQSFQDGYAGIFHFQLWQFGEWVDVVIDDLLPTKDGKLVFVHS AQGNEFWSALLEKAYAKVNGSYEALSGGCTSEAFEDFTGGVTEWYDLQKAPSDLYQIILK ALERGSLLGCSINISDIRDLEAITFKNLVRGHAYSVTGAKQVTYQGQRVNLIRMRNPWGE VEWKGPWSDSSYEWNKVDPYEREQLRVKMEDGEFWMSFRDFIREFTKLEICNLTPDALKS RTLRNWNTTFYEGTWRRGSTAGGCRNYPATFWVNPQFKIRLEEVDDADDYDNRESGCSFL LALMQKHRRRERRFGRDMETIGFAVYQVPRELAGQPVHLKRDFFLANASRAQSEHFINLR EVSNRIRLPPGEYIVVPSTFEPNKEGDFLLRFFSEKKAGTQELDDQIQANLPDEKVLSEE EIDDNFKTLFSKLAGDDMEISVKELQTILNRIISKHKDLRTNGFSLESCRSMVNLMDRDG NGKLGLVEFNILWNRIRNYLTIFRKFDLDKSGSMSAYEMRMAIEAAGFKLNKKLHELIIT RYSEPDLAVDFDNFVCCLVRLETMFRFFKLLDTDLDGVVTFDLFKWLQLTMFA >ENSMUSP00000061517.6 pep:known chromosome:GRCm38:19:5298331:5308738:1 gene:ENSMUSG00000047658.6 transcript:ENSMUST00000061169.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal3st3 description:galactose-3-O-sulfotransferase 3 [Source:MGI Symbol;Acc:MGI:3617843] MPPILQRLQQSTKMMSHRKILLLVLGCSTVSLLIHQGSQLSWYPKLFPLSCPPLRESPPR AKHMAVAFLKTHKTAGTTVQNILFRFAERHNLTVALPHPSCEHQFCYPRNFSAHFVHPAT RPPHMLASHLRFDRAELERLMPPDTIYVTILREPAAMFESLFSYYNQYCPAFRRVPNASL ETFLRAPEAYYRPGEHFAMFAHNTLAYDLGGDNERSPRDDAAYLAGLIRQVEEVFSLVMI AEYFDESLVLLRRLLAWDLDDVLYAKLNARAASSRLATIPEALARAARTWNALDAGLYDH FNATFWRRVARAGRACVEREARELREARQRLLRRCFGDEPVLRPAAQIRTKQLQPWQPSR KVDIMGYDLPSGGAGPTTEACLKLAMPEVQYSNYLLRKQKRRGGVRSRPESVLDNPPPRP IRALPRIPQGT >ENSMUSP00000065713.6 pep:known chromosome:GRCm38:19:59219648:59237370:1 gene:ENSMUSG00000040901.7 transcript:ENSMUST00000065204.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk18 description:potassium channel, subfamily K, member 18 [Source:MGI Symbol;Acc:MGI:2685627] MEAEEPPEARRCCPEALGKARGCCPEALGKLLPGLCFLCCLVTYALVGAALFSAVEGRPD PEAEENPELKKFLDDLCNILKCNLTVVEGSRKNLCEHLQHLKPQWLKAPQDWSFLSALFF CCTVFSTVGYGHMYPVTRLGKFLCMLYALFGIPLMFLVLTDIGDILATILSRAYSRFQAL LCLPHDIFKWRSLPLCRKQPDSKPVEEAIPQIVIDAGVDELLNPQPSKDPPSPSCNVELF ERLVAREKKNKLQPPTRPVERSNSCPELVLGRLSCSILSNLDEVGQQVERLDIPLPVIAL VVFAYISCAAAILPFWETELGFEDAFYFCFVTLTTIGFGDIVLVHPHFFLFFSIYIIVGM EILFIAFKLMQNRLLHTYKTLMLFVCQREVSLPW >ENSMUSP00000058697.5 pep:known chromosome:GRCm38:8:72494558:72548310:-1 gene:ENSMUSG00000045248.5 transcript:ENSMUST00000058534.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med26 description:mediator complex subunit 26 [Source:MGI Symbol;Acc:MGI:1917875] MTAAPASPQQMRDRLLQAIDSQSNIRNMVAVLEVISSLERYPITKEALEETRLGKLINDV RKKTKNEELAKRAKRLLRSWQKLIEPVHQNEVALRALAGAAGSANGGAHNCRPEMGVAGA PKSIHDLKNRNDIQRLPGQRLDRLGSRKRRGDQRDLGHPGPPHKVSKGSPDPLVPNASPL PTNGISGSPESLPSPLDGSGHLGPDGSRLEPSDNEKHSTKIPVNAVRPRPSSPGLGKPPV PCLQTKAAQLQQLDRADESPGPPYPRGSSRCSFSPRNSRHEGSFSRHRSSYIPKGQVSSP SPWPQPPDNTQVPSPLPLAQPPTPPVRRQELLPNAESPVHWPEQSEGHPRLTGPACRAGF SPDSSKADSDATSSGGSDSKKKKRYRPRDYTVNLDGQVAEAGVKPVRLKERKLTFDPMTR QIRPLTQKEPVRADSPVPTEQLPRTELEQQEVKASLQSPFEQTNWKELSRNEIIQSYLSR QSSLLSSSGAQTPGAHHFMAEYLKQEESSRQGARQPHVLLPLPTPTDLPGLTREVTQDDL DRIQAQQWPGVNGCEDTQGNWYDWTQCISLDPHGDDGRLNILPYVCLD >ENSMUSP00000080325.2 pep:known chromosome:GRCm38:19:47260174:47464411:-1 gene:ENSMUSG00000053617.11 transcript:ENSMUST00000081619.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3pxd2a description:SH3 and PX domains 2A [Source:MGI Symbol;Acc:MGI:1298393] MLAYCVQDATVVDVEKRRSPSKHYVYIINVTWSDSTSQTIYRRYSKFFDLQMQLLDKFPI EGGQKDPKQRIIPFLPGKILFRRSHIRDVAVKRLKPIDEYCRALVRLPPHISQCDEVFRF FEARPEDVNPPKEDYGSSKRKSVWLSSWAESPKKDVTGADTNAEPMILEQYVVVSNYKKQ ENSELSLQAGEVVDVIEKNESGWWFVSTSEEQGWVPATYLEAQNGTRDDSDINTSKTGEV SKRRKAHLRRLDRRWTLGGMVNRQHSREEKYVTVQPYTSQSKDEIGFEKGVTVEVIRKNL EGWWYIRYLGKEGWAPASYLKKAKDDLPTRKKNLAGPVEIIGNIMEISNLLNKKASGDKE APAEGEGSEAPITKKEISLPILCNASNGSALAIPERTTSKLAQGSPAVARIAPQRAQISS PNLRTRPPPRRESSLGFQLPKPPEPPSVEVEYYTIAEFQSCISDGISFRGGQKAEVIDKN SGGWWYVQIGEKEGWAPASYIDKRKKPNLSRRTSTLTRPKVPPPAPPSKPKEAEENPVGA CESQGSPLKVKYEEPEYDVPAFGFDSEPEMNEEPSGDRGSGDKHPAQPRRISPASSLQRA HFKVGESSEDVALEEETIYENEGFRPYTEDTLSARGSSGDSDSPGSSSLSLAVKNSPKSD SPKSSSLLKLKAEKNAQAELGKNQSNISFSSSVTISTTCSSSSSSSSLSKNNGDLKPRSA SDAGIRDTPKVGTKKDPDVKAGLASCARAKPSVRPKPVLNRAESQSQEKMDISSLRRQLR PTGQLRGGLKGSRSEDSELPPQMASEGSRRGSADIIPLTATTPPCVPKKEWEGQGATYVT CSAYQKVQDSEISFPEGAEVHVLEKAESGWWYVRFGELEGWAPSHYLVAEENQQPDTASK EGDTGKSSQNEGKSDSLEKIEKRVQALNTVNQSKRATPPIPSKPPGGFGKTSGTVAVKMR NGVRQVAVRPQSVFVSPPPKDNNLSCALRRNESLTATDSLRGVRRNSSFSTARSAAAEAK GRLAERAASQGSESPLLPTQRKGIPVSPVRPKPIEKSQFIHNNLKDVYISIADYEGDEET AGFQEGVSMEVLEKNPNGWWYCQILDEVKPFKGWVPSNYLEKKN >ENSMUSP00000107430.2 pep:known chromosome:GRCm38:19:47260174:47464411:-1 gene:ENSMUSG00000053617.11 transcript:ENSMUST00000111800.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3pxd2a description:SH3 and PX domains 2A [Source:MGI Symbol;Acc:MGI:1298393] MLAYCVQDATVVDVEKRRSPSKHYVYIINVTWSDSTSQTIYRRYSKFFDLQMQLLDKFPI EGGQKDPKQRIIPFLPGKILFRRSHIRDVAVKRLKPIDEYCRALVRLPPHISQCDEVFRF FEARPEDVNPPKEDYGSSKRKSVWLSSWAESPKKDVTGADTNAEPMILEQYVVVSNYKKQ ENSELSLQAGEVVDVIEKNESGWWFVSTSEEQGWVPATYLEAQNGTRDDSDINTSKTGEE EKYVTVQPYTSQSKDEIGFEKGVTVEVIRKNLEGWWYIRYLGKEGWAPASYLKKAKDDLP TRKKNLAGPVEIIGNIMEISNLLNKKASGDKEAPAEGEGSEAPITKKEISLPILCNASNG SALAIPERTTSKLAQGSPAVARIAPQRAQISSPNLRTRPPPRRESSLGFQLPKPPEPPSV EVEYYTIAEFQSCISDGISFRGGQKAEVIDKNSGGWWYVQIGEKEGWAPASYIDKRKKPN LSRRTSTLTRPKVPPPAPPSKPKEAEENPVGACESQGSPLKVKYEEPEYDVPAFGFDSEP EMNEEPSGDRGSGDKHPAQPRRISPASSLQRAHFKVGESSEDVALEEETIYENEGFRPYT EDTLSARGSSGDSDSPGSSSLSLAVKNSPKSDSPKSSSLLKLKAEKNAQAELGKNQSNIS FSSSVTISTTCSSSSSSSSLSKNNGDLKPRSASDAGIRDTPKVGTKKDPDVKAGLASCAR AKPSVRPKPVLNRAESQSQEKMDISSLRRQLRPTGQLRGGLKGSRSEDSELPPQMASEGS RRGSADIIPLTATTPPCVPKKEWEGQGATYVTCSAYQKVQDSEISFPEGAEVHVLEKAES GWWYVRFGELEGWAPSHYLVAEENQQPDTASKEGDTGKSSQNEGKSDSLEKIEKRVQALN TVNQSKRATPPIPSKPPGGFGKTSGTVAVKMRNGVRQVAVRPQSVFVSPPPKDNNLSCAL RRNESLTATDSLRGVRRNSSFSTARSAAAEAKGRLAERAASQGSESPLLPTQRKGIPVSP VRPKPIEKSQFIHNNLKDVYISIADYEGDEETAGFQEGVSMEVLEKNPNGWWYCQILDEV KPFKGWVPSNYLEKKN >ENSMUSP00000131837.1 pep:known chromosome:GRCm38:9:65398488:65414853:1 gene:ENSMUSG00000041696.13 transcript:ENSMUST00000165682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasl12 description:RAS-like, family 12 [Source:MGI Symbol;Acc:MGI:1918034] MSSVFGKPRAGSGPHSVPLEVNLAILGRRGAGKSALTVKFLTKRFISEYDPNLEDTYSSE ETVDHQPVHLRVMDTADLDTPRNCERYLNWAHAFLVVYSVDSRASFEGSSSYLELLALHA KETQRGYPALLLGNKLDMAQYRFTHTTGHACKTQSYAWLPLPPQPDSPSNNP >ENSMUSP00000082580.4 pep:known chromosome:GRCm38:9:65398488:65412708:1 gene:ENSMUSG00000041696.13 transcript:ENSMUST00000085453.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasl12 description:RAS-like, family 12 [Source:MGI Symbol;Acc:MGI:1918034] MSSVFGKPRAGSGPHSVPLEVNLAILGRRGAGKSALTVKFLTKRFISEYDPNLEDTYSSE ETVDHQPVHLRVMDTADLDTPRNCERYLNWAHAFLVVYSVDSRASFEGSSSYLELLALHA KETQRGYPALLLGNKLDMAQYRQVTKAEGAALAGRFGCLFFEVSACLDFEHVQHVFHEAV REVRRELDKSPLARPLFISEEKTLSHQTPLTARHGLASCTFNTLSTASLKEMPTVAQAKL VTVKSSRAQSKRKAPTLTLLKGFKIF >ENSMUSP00000053181.6 pep:known chromosome:GRCm38:1:185454848:185468762:1 gene:ENSMUSG00000026614.6 transcript:ENSMUST00000061093.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a10 description:solute carrier family 30, member 10 [Source:MGI Symbol;Acc:MGI:2685058] MGRYSGKTCRLLFMLVLTAAFFVAELVSGYLGNSIALLSDSFNMLSDLISLCVGLGSGYI ARRGPRGSSATYGYVRAEVVGALSNAVFLTALCFTIFVEAVLRLARPERIDDPELVLIVG ALGLAVNVVGLLIFQDCGACFSRCTRGRRTRPSQQPSQGDPRGALGCPQEAATATAPGSG TAVTLRGSSAGRKQQEGATVFSNVAGDSLNTENEPEETTKKEKKSEALNIRGVLLHVMGD ALGSVVVVITAIIFYVQPLRREDPCNWQCYIDPSLTVVMVIIILSSAFPLIKETAVILLQ MVPKGVNMEELMSQLSTVPGISSVHEVHIWELISGKIIATLHIKHQKGTEYQDASRKIRE IFHHAGIHNVTIQFETLDLKEALEQKDFLLTCSAPCITQSCAKKLCCPPGTLPLALVNGC AEHNGRSSRESYRSIEAPEVAIDVDGCPREQGQTLSKTQERQHYENSTHF >ENSMUSP00000074196.5 pep:known chromosome:GRCm38:13:103741615:103764504:-1 gene:ENSMUSG00000032621.7 transcript:ENSMUST00000074616.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srek1 description:splicing regulatory glutamine/lysine-rich protein 1 [Source:MGI Symbol;Acc:MGI:2145245] MTSLVPGAGLLPIPTSSPLTAVSSLGVSLSSLGAIPAAALDPNITTLGEIPQPPLMGNVD PSKIDEIRRTVYVGNLNSQTTTADQLLEFFKQVGEVKFVRMAGDETQPTRFAFVEFADQN SVPRALAFNGVMFGDRPLKINHSNNAIVKPPEMTPQAAAKELEEVMKRVREAQSFISAAI EPESGKSNERKGGRSRSHTRSKSRSSSKSHSRRKRSQSKHRSRSHNRSRSRQKDRRRSKS PHKKRSKSRERRKSRSRSRSRDKRKDTREKVKERVKEKEREKEREREKDREKDKERGKNK DKDREKEKDHEKERDKEKEKEQDKDKEREKDRSKETDEKRKKEKKSRTPPRSYNASRRSR STSRERRRRRSRSSSRSPRTSKTVKRKSSRSPSPRGRNKKEKKREKERDHISDRRERERS TSTKKSSGDRDGKEKVEKSTTPVKEKEHSKESDATVSKAADEKGSPRTEDEGKVQHNGNC QPNEESPCSKADAV >ENSMUSP00000059883.4 pep:known chromosome:GRCm38:19:5917556:5924816:-1 gene:ENSMUSG00000045664.4 transcript:ENSMUST00000055458.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42ep2 description:CDC42 effector protein (Rho GTPase binding) 2 [Source:MGI Symbol;Acc:MGI:1929744] MSTKVPIYLKRGSRKGKKEKLRDLLSSDMISPPLGDFRHTIHIGSGGGDDMFGDISFLQG KFHLLPGTAVEEAEEDGSFDLPFQFTRTTTVCGRELPDGLSPLLKNAISLPVIGGPQALT LPTAQAPPKPPRLHLESPQPSPQPSPQGAGNVDVWRIPEAGSPHNGMSPEPEAEEPFLSH ASSLLSLHVDLGPSILDDVLQIMDHDLGRVQIPT >ENSMUSP00000130493.1 pep:known chromosome:GRCm38:15:78406712:78414002:1 gene:ENSMUSG00000071711.11 transcript:ENSMUST00000167140.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpst description:mercaptopyruvate sulfurtransferase [Source:MGI Symbol;Acc:MGI:2179733] MAAPQLFRALVSAQWVAEALKAPRSSQPLKLLDASWYLPKLGRDARREFEERHIPGAAFF DIDRCSDHTSPYDHMLPNATHFADYAGSLGVSAATHVVIYDDSDQGLYSAPRVWWMFRAF GHHSVSLLDGGFRHWLNQNLPISSGKSHSEPAEFSAQLDPSFIKTHEDILENLDARRFQV VDARAAGRFQGTQPEPRDGIEPGHIPGSVNIPFTEFLTNEGLEKSPEEIKRLFKEKKVDL SKPLVATCGSGVTACHVVLGAFLCGKSDVPVYDGSWVEWYMRAQPEHIISEGRGKTQ >ENSMUSP00000128075.1 pep:known chromosome:GRCm38:15:78406801:78414002:1 gene:ENSMUSG00000071711.11 transcript:ENSMUST00000169133.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpst description:mercaptopyruvate sulfurtransferase [Source:MGI Symbol;Acc:MGI:2179733] MAAPQLFRALVSAQWVAEALKAPRSSQPLKLLDASWYLPKLGRDARREFEERHIPGAAFF DIDRCSDHTSPYDHMLPNATHFADYAGSLGVSAATHVVIYDDSDQGLYSAPRVWWMFRAF GHHSVSLLDGGFRHWLNQNLPISSGKSHSEPAEFSAQLDPSFIKTHEDILENLDARRFQV VDARAAGRFQGTQPEPRDGIEPGHIPGSVNIPFTEFLTNEGLEKSPEEIKRLFKEKKVDL SKPLVATCGSGVTACHVVLGAFLCGKSDVPVYDGSWVEWYMRAQPEHIISEGRGKTQ >ENSMUSP00000043061.6 pep:known chromosome:GRCm38:15:78407104:78414002:1 gene:ENSMUSG00000071711.11 transcript:ENSMUST00000043865.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpst description:mercaptopyruvate sulfurtransferase [Source:MGI Symbol;Acc:MGI:2179733] MAAPQLFRALVSAQWVAEALKAPRSSQPLKLLDASWYLPKLGRDARREFEERHIPGAAFF DIDRCSDHTSPYDHMLPNATHFADYAGSLGVSAATHVVIYDDSDQGLYSAPRVWWMFRAF GHHSVSLLDGGFRHWLNQNLPISSGKSHSEPAEFSAQLDPSFIKTHEDILENLDARRFQV VDARAAGRFQGTQPEPRDGIEPGHIPGSVNIPFTEFLTNEGLEKSPEEIKRLFKEKKVDL SKPLVATCGSGVTACHVVLGAFLCGKSDVPVYDGSWVEWYMRAQPEHIISEGRGKTQ >ENSMUSP00000041857.7 pep:known chromosome:GRCm38:9:66843672:66919705:-1 gene:ENSMUSG00000036943.8 transcript:ENSMUST00000041139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab8b description:RAB8B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2442982] MAKTYDYLFKLLLIGDSGVGKTCLLFRFSEDAFNTTFISTIGIDFKIRTIELDGKKIKLQ IWDTAGQERFRTITTAYYRGAMGIMLVYDITNEKSFDNIKNWIRNIEEHASSDVERMILG NKCDMNDKRQVSKERGEKLAIDYGIKFLETSAKSSTNVEEAFFTLARDIMTKLNRKMNDS NSSGAGGPVKITESRSKKTSFFRCSLL >ENSMUSP00000079876.2 pep:known chromosome:GRCm38:9:38592803:38595161:1 gene:ENSMUSG00000059189.2 transcript:ENSMUST00000081095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr913 description:olfactory receptor 913 [Source:MGI Symbol;Acc:MGI:3030747] MTFENASMVIEFILLGITDQPDLKIPFFLLFFVGYMITVLGNLTLIILIGLNSHLHTPMY FLLFNLSFIDLCYSSVITPKMLMSFIQKKNIISYTGCMIQLYFFCFFVISECYVLTSMAY DRYVAICNPLLYNVTLSSKVCCYLMLGSYFMGFSGAMIHTGCILRLTFCDGNTINHYFCD LLPLLQISCTSTYINEIELFIVAGKDIIVPTIIIFISYGFILFSVLKIKSTESRSKAFST CSSHMLAVSLFFGSGAFMYLKPTSALSINKGKFSSLFYTIVVPMMNPLIYSLRNKDVKAA LRKTLNRRIFSS >ENSMUSP00000042658.9 pep:known chromosome:GRCm38:15:103240432:103244955:1 gene:ENSMUSG00000046434.15 transcript:ENSMUST00000036004.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa1 description:heterogeneous nuclear ribonucleoprotein A1 [Source:MGI Symbol;Acc:MGI:104820] MSKSESPKEPEQLRKLFIGGLSFETTDESLRSHFEQWGTLTDCVVMRDPNTKRSRGFGFV TYATVEEVDAAMNARPHKVDGRVVEPKRAVSREDSQRPGAHLTVKKIFVGGIKEDTEEHH LRDYFEQYGKIEVIEIMTDRGSGKKRGFAFVTFDDHDSVDKIVIQKYHTVNGHNCEVRKA LSKQEMASASSSQRGRSGSGNFGGGRGGGFGGNDNFGRGGNFSGRGGFGGSRGGGGYGGS GDGYNGFGNDGGYGGGSPGYSGGSRGYGSGGQGYGNQGSGYGGSGSYDSYNNGGGGGGFG GGSGSNFGGGGSYNDFGNYNNQSSNFGPMKGGNFGGRSSGPYGGGGQYFAKPRNQGGYGG SSSSSSYGSGRRF >ENSMUSP00000084609.7 pep:known chromosome:GRCm38:15:103240432:103244955:1 gene:ENSMUSG00000046434.15 transcript:ENSMUST00000087351.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpa1 description:heterogeneous nuclear ribonucleoprotein A1 [Source:MGI Symbol;Acc:MGI:104820] MSKSESPKEPEQLRKLFIGGLSFETTDESLRSHFEQWGTLTDCVVMRDPNTKRSRGFGFV TYATVEEVDAAMNARPHKVDGRVVEPKRAVSREDSQRPGAHLTVKKIFVGGIKEDTEEHH LRDYFEQYGKIEVIEIMTDRGSGKKRGFAFVTFDDHDSVDKIVIQKYHTVNGHNCEVRKA LSKQEMASASSSQRGRSGSGNFGGGRGGGFGGNDNFGRGGNFSGRGGFGGSRGGGGYGGS GDGYNGFGNDGSNFGGGGSYNDFGNYNNQSSNFGPMKGGNFGGRSSGPYGGGGQYFAKPR NQGGYGGSSSSSSYGSGRRF >ENSMUSP00000131994.1 pep:known chromosome:GRCm38:16:77846693:77853020:1 gene:ENSMUSG00000091193.1 transcript:ENSMUST00000169531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17333 description:predicted gene, 17333 [Source:MGI Symbol;Acc:MGI:4936967] MLLNPGLAFRVCWGAQDRARWVCCILMMMSTSYLTSGVIQMVEGFEDSHKAGYRMFLFHH VQMPFLDGVINPQKKERKKEEKRREEKRRERKEKRKKKEKKRKEKGRKEKNEKKKEKQEL SSVQK >ENSMUSP00000126825.1 pep:known chromosome:GRCm38:12:111538101:111546753:1 gene:ENSMUSG00000021282.16 transcript:ENSMUST00000166123.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5 description:eukaryotic translation initiation factor 5 [Source:MGI Symbol;Acc:MGI:95309] MSVNVNRSVSDQFYRYKMPRLIAKVEGKGNGIKTVIVNMVDVAKALNRPPTYPTKYFGCE LGAQTQFDVKNDRYIVNGSHEANKLQDMLDGFIKKFVLCPECENPETDLHVNPKKQTIGN SCKACGYRGMLDTHHKLCTFILKNPPENSDIGTGKKEKEKKNRKGKDKENGSVSTSETPP PPPPNEISPPHAVEEEEDDDWGEDTTEEAQRRRMDEISDHAKGLTLSDDLERTVEERVNI LFDFVKKKKEEGIIDSSDKEIVAEAERLDVKAMGPLVLTEVLFDEKIREQIKKYRRHFLR FCHNNKKAQRYLLHGLECVVAMHQAQLISKIPHILKEMYDADLLEEEVIISWSEKASKKY VSKELAKEIRVKAEPFIKWLKEAEEESSGGEEEDEDENIEVVYSKTASVPKVETVKSDNK DDDIDIDAI >ENSMUSP00000061616.9 pep:known chromosome:GRCm38:12:111538853:111544923:1 gene:ENSMUSG00000021282.16 transcript:ENSMUST00000050993.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5 description:eukaryotic translation initiation factor 5 [Source:MGI Symbol;Acc:MGI:95309] MSVNVNRSVSDQFYRYKMPRLIAKVEGKGNGIKTVIVNMVDVAKALNRPPTYPTKYFGCE LGAQTQFDVKNDRYIVNGSHEANKLQDMLDGFIKKFVLCPECENPETDLHVNPKKQTIGN SCKACGYRGMLDTHHKLCTFILKNPPENSDIGTGKKEKEKKNRKGKDKENGSVSTSETPP PPPPNEISPPHAVEEEEDDDWGEDTTEEAQRRRMDEISDHAKGLTLSDDLERTVEERVNI LFDFVKKKKEEGIIDSSDKEIVAEAERLDVKAMGPLVLTEVLFDEKIREQIKKYRRHFLR FCHNNKKAQRYLLHGLECVVAMHQAQLISKIPHILKEMYDADLLEEEVIISWSEKASKKY VSKELAKEIRVKAEPFIKWLKEAEEESSGGEEEDEDENIEVVYSKTASVPKVETVKSDNK DDDIDIDAI >ENSMUSP00000097258.1 pep:known chromosome:GRCm38:10:63421401:63421739:-1 gene:ENSMUSG00000048185.4 transcript:ENSMUST00000054760.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7075 description:predicted gene 7075 [Source:MGI Symbol;Acc:MGI:3646561] MADVEDGEEPCVLSSHSSSGSKSGGNKMFSLKKWNAVAMWSWDVECDTCATCRVQVMDAC LRCQAENKQKDCVVVWGECNHSFHNCCMSLWVKQNNRCPLCQQDWVVQRIGK >ENSMUSP00000014957.8 pep:known chromosome:GRCm38:14:69029289:69041401:1 gene:ENSMUSG00000014813.8 transcript:ENSMUST00000014957.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stc1 description:stanniocalcin 1 [Source:MGI Symbol;Acc:MGI:109131] MLQNSAVILALVISAAAAHEAEQNDSVSPRKSRVAAQNSAEVVRCLNSALQVGCGAFACL ENSTCDTDGMYDICKSFLYSAAKFDTQGKAFVKESLKCIANGITSKVFLAIRRCSTFQRM IAEVQEDCYSKLNVCSIAKRNPEAITEVIQLPNHFSNRYYNRLVRSLLECDEDTVSTIRD SLMEKIGPNMASLFHILQTDHCAQTHPRADFNRRRTNEPQKLKVLLRNLRGEGDSPSHIK RTSQESA >ENSMUSP00000008734.4 pep:known chromosome:GRCm38:9:48935008:48964990:-1 gene:ENSMUSG00000008590.4 transcript:ENSMUST00000008734.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr3b description:5-hydroxytryptamine (serotonin) receptor 3B [Source:MGI Symbol;Acc:MGI:1861899] MILLWSCLLVAVVGILGTATPQPGNSSLHRLTRQLLQQYHKEVRPVYNWAEATTVYLDLC VHAVLDVDVQNQKLKTSVWYREVWNDEFLSWNSSLFDEIQEISLPLSALWAPDIIINEFV DVERSPDLPYVYVNSSGTIRNHKPIQVVSACSLQTYAFPFDIQNCSLTFNSILHTVEDID LGFLRNREDIENDKRAFMNDSEWQLLSVSSTYHIRQSSAGDFAQIRFNVVIRRCPLAYVV SLLIPSIFLMLVDLGSFYLPPNCRARIVFKTNVLVGYTVFRVNMSDEVPRSAGCTPLIGV FFTVCMALLVLSLSKSILLIKFLYEERHSGQERPLMCLQGDSDAEESRLYLGAPRADVTE SPVHQEHRVPSDTLKDFWFQFRSINNSLRTRDQIHQKEVEWLAILYRFDQLLFRIYLAVL GLYTVTLCSLWALWSRM >ENSMUSP00000129915.1 pep:known chromosome:GRCm38:10:77758990:77759682:-1 gene:ENSMUSG00000094120.1 transcript:ENSMUST00000168997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3233 description:predicted gene 3233 [Source:MGI Symbol;Acc:MGI:3781411] MAASTMSVCSDALTNSSWQVDDCPESCCEPCCCTPSCCQTSCCQPSCCQTSCCAPGPCLT LVCTPVSCVSSPCCQSSCCTPSCCQQSSCQPACCTCSPCQPSCCVTLCCKPVCCTPICSG PCCQQSSCQSSCCQSPCCVPLCCKPVCCTPICSGSSSCCQPSCCAPVCCKPCSSLSLLCR PVCRPACCVPTSSCCASSCQPSCCRPTSSVSLLCRPACCRQACCGQKSSC >ENSMUSP00000025912.8 pep:known chromosome:GRCm38:19:6953714:6969759:-1 gene:ENSMUSG00000024960.8 transcript:ENSMUST00000025912.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcb3 description:phospholipase C, beta 3 [Source:MGI Symbol;Acc:MGI:104778] MAGARPGVHALQLEPPTVVETLRRGSKFIKWDEEASSRNLVTLRVDPNGFFLYWTGPNME VDTLDISSIRDTRTGRYARLPKDPKIREVLGFGGPDTRLEEKLMTVVAGPDPVNTTFLNF MAVQDDTVKVWSEELFKLAMNILAQNASRNTFLRKAYTKLKLQVNQDGRIPVKNILKMFS ADKKRVETALESCGLNFNRSESIRPDEFPLEIFERFLNKLCLRPDIDKILLEIGAKGKPY LTLEQLMDFINQKQRDPRLNEVLYPPLRSSQARLLIEKYETNKQFLERDQMSMEGFSRYL GGEENGILPLEALDLSMDMTQPLSAYFINSSHNTYLTAGQLAGPSSVEMYRQALLWGCRC VELDVWKGRPPEEEPFITHGFTMTTEVPLRDVLEAIAEAAFKTSPYPVILSFENHVDSAK QQAKMAEYCRSIFGDALLIDPLDKYPLSAGIPLPSPQDLMGRILVKNKKRHRPSTGVPDS SVRKRPLEQSNSALSESSAATEPSSPQLGSPSSDSCPGLSNGEEVGLEKTSLEPQKSLGE ESLSREPNVPMPDRDREDEEEDEEEEETTDPKKPTTDEGTASSEVNATEEMSTLVNYVEP VKFKSFEAARKRNKCFEMSSFVETKAMEQLTKSPMEFVEYNKQQLSRIYPKGTRVDSSNY MPQLFWNVGCQLVALNFQTLDLPMQLNAGVFEYNGRSGYLLKPEFMRRPDKSFDPFTEVI VDGIVANALRVKVISGQFLSDKKVGIYVEVDMFGLPVDTRRKYRTRTSQGNSFNPVWDEE PFDFPKVVLPTLASLRIAAFEEGGKFVGHRILPVSAIRSGYHYVCLRNEANQPLCLPALL IYTEASDYIPDDHQDYAEALINPIKHVSLMDQRAKQLAALIGESEAQASTETYQETPCQQ PGSQLPSNPTPNPLDASPRWPPGPTTSSTSSSLSSPGQRDDLIASILSEVTPTPLEELRS HKAMVKLRSRQDRDLRELHKKHQRKAVALTRRLLDGLAQARAEGKCRPSPSALGKATNSE DVKEEEEAKQYREFQNRQVQSLLELREAQADVETKRKLEHLRQAHQRLKEVVLDTHTTQF KRLKELNEREKKELQKILDRKRNNSISEAKTREKHKKEVELTEINRRHITESVNSIRRLE EAQKQRHERLVAGQQQVLQQLEEEEPKLLAQLTQECQEQRERLPQEIRRCLLGETAEGLG DGPLVACASNGHAPGSGGHLSSADSESQEENTQL >ENSMUSP00000035726.6 pep:known chromosome:GRCm38:9:21971527:21989453:-1 gene:ENSMUSG00000040146.8 transcript:ENSMUST00000045726.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgl3 description:ral guanine nucleotide dissociation stimulator-like 3 [Source:MGI Symbol;Acc:MGI:1918996] MERTAGKELALAPLQDWGEETEDGAVYSVSLRRQRSQRSTPERSGEGQTPIPATDTFLHY RTSKVRALRAARLERLVHELVSGDREQDPGFVPAFLATHRAFVPTARVLGFLLPPPPPPP PPPAGVDSKRTEGQDLNFSKNLRAVVSVLGSWLRNHPQDFRDPPDHQNLGNVRIFLGWAA PGGAEAREAEKLLEDFLKEAKGEQTEEEKRLAWSGPPRIAQTPGSEFAEDCVEEEGPSSE GPELLDFSVDDVAEQLTLMDVELFLRVRSCECLGSMWSQRDRPGAAGISPTVRATVAQFN TVTGCVLGSVLAAPGLAASQRAQRIEKWIRIAQRCRELRNFSSLRAILSALQSNPIYRLK RSWGAVSREPLSVFRKLSQIFSDEDNHLSSRAILSQEETTEDDDCPSGSLPSKLPPGPVP YLGTFLTDLVMLDTALPDTLKGNLINFEKRRKEWEILARIQQLQQRCQRYSLSPRPPILA ALRAQRQLSEEQSYRVSRVIEPPAASCPSSPRIRRRISLTKRLSAKLSREKNSSPGGSPG DPSSPTSSVSPGSPPSSPRNREPPPPGSPPASPGPQSPSTKLSLTMDPPGPWPVTLTPSS SRVPLLGQQTSEARVIRVSINNNHGNLYRSILLTCQDKAPSVVQRALEKHNVPQPWARDY QLFQVLPGDRELLIPDGANVFYAMSPAAPGDFLLRRKEGTGHTLSASPT >ENSMUSP00000040229.7 pep:known chromosome:GRCm38:19:12466341:12501996:-1 gene:ENSMUSG00000039982.7 transcript:ENSMUST00000045521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtx4 description:deltex 4, E3 ubiquitin ligase [Source:MGI Symbol;Acc:MGI:2672905] MLLASAVVVWEWLNEHGRWRPYSPAVSHHIEAVVRAGPRAGGSVVLGQVDSRLAPYIIDL QSMNQFRQDTGTLRPVRRNYYDPSSAPGKGVVWEWENDNGSWTPYDMEVGITIQYAYEKQ HPWIDLTSIGFSYIIDFSTMGQINRQTQRQRRVRRRLDLIYPMVTGTMPKTQSWPVSPGP ATSSPAPPCSCPQCVLVMSVKAAVVHGGTGPPAVRKNMALSGVGKLPQPPGPGAKPLDTT GTIRGPGKTAPSQVIRRQVSNAPAGATVGSPASPQGSNRKTGRVALATLNRSNLQRLAIA QSRVLIASGVPTVPVKNLNGSSPVNPALAGITGILMSAAGLPVCLTRPPKLVLHPPPVSK SEIKSIPGVSNTSRKTTKKQAKKGKTPEEVLKKYLQKVRHPPEEDCTICMERLTAPSGYK GPQPTVKPDLVGKLSRCGHIYHIYCLVAMYNNGNKDGSLQCPTCKTIYGVKTGTQPPGKM EYHLIPHSLPGHPDCKTIRIIYSIPPGIQGPEHPNPGKSFSARGFPRHCYLPDSEKGRKV LKLLLVAWDRRLIFAIGTSSTTGESDTVIWNEVHHKTEFGSNLTGHGYPDANYLDNVLAE LAAQGISEDSTSHEKD >ENSMUSP00000026917.8 pep:known chromosome:GRCm38:8:128359073:128505462:1 gene:ENSMUSG00000025810.8 transcript:ENSMUST00000026917.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrp1 description:neuropilin 1 [Source:MGI Symbol;Acc:MGI:106206] MERGLPLLCATLALALALAGAFRSDKCGGTIKIENPGYLTSPGYPHSYHPSEKCEWLIQA PEPYQRIMINFNPHFDLEDRDCKYDYVEVIDGENEGGRLWGKFCGKIAPSPVVSSGPFLF IKFVSDYETHGAGFSIRYEIFKRGPECSQNYTAPTGVIKSPGFPEKYPNSLECTYIIFAP KMSEIILEFESFDLEQDSNPPGGMFCRYDRLEIWDGFPEVGPHIGRYCGQKTPGRIRSSS GVLSMVFYTDSAIAKEGFSANYSVLQSSISEDFKCMEALGMESGEIHSDQITASSQYGTN WSVERSRLNYPENGWTPGEDSYKEWIQVDLGLLRFVTAVGTQGAISKETKKKYYVKTYRV DISSNGEDWISLKEGNKAIIFQGNTNPTDVVLGVFSKPLITRFVRIKPVSWETGISMRFE VYGCKITDYPCSGMLGMVSGLISDSQITASNQADRNWMPENIRLVTSRTGWALPPSPHPY TNEWLQVDLGDEKIVRGVIIQGGKHRENKVFMRKFKIAYSNNGSDWKTIMDDSKRKAKSF EGNNNYDTPELRTFSPLSTRFIRIYPERATHSGLGLRMELLGCEVEAPTAGPTTPNGNPV DECDDDQANCHSGTGDDFQLTGGTTVLATEKPTIIDSTIQSEFPTYGFNCEFGWGSHKTF CHWEHDSHAQLRWSVLTSKTGPIQDHTGDGNFIYSQADENQKGKVARLVSPVVYSQSSAH CMTFWYHMSGSHVGTLRVKLRYQKPEEYDQLVWMVVGHQGDHWKEGRVLLHKSLKLYQVI FEGEIGKGNLGGIAVDDISINNHISQEDCAKPTDLDKKNTEIKIDETGSTPGYEGEGEGD KNISRKPGNVLKTLDPILITIIAMSALGVLLGAVCGVVLYCACWHNGMSERNLSALENYN FELVDGVKLKKDKLNPQSNYSEA >ENSMUSP00000022699.8 pep:known chromosome:GRCm38:14:70890130:70979838:1 gene:ENSMUSG00000022103.9 transcript:ENSMUST00000022699.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra2 description:glial cell line derived neurotrophic factor family receptor alpha 2 [Source:MGI Symbol;Acc:MGI:1195462] MILANAFCLFFFLDETLRSLASPSSPQGSELHGWRPQVDCVRANELCAAESNCSSRYRTL RQCLAGRDRNTMLANKECQAALEVLQESPLYDCRCKRGMKKELQCLQIYWSIHLGLTEGE EFYEASPYEPVTSRLSDIFRLASIFSGTGADPVVSAKSNHCLDAAKACNLNDNCKKLRSS YISICNREISPTERCNRRKCHKALRQFFDRVPSEYTYRMLFCSCQDQACAERRRQTILPS CSYEDKEKPNCLDLRSLCRTDHLCRSRLADFHANCRASYRTITSCPADNYQACLGSYAGM IGFDMTPNYVDSNPTGIVVSPWCNCRGSGNMEEECEKFLKDFTENPCLRNAIQAFGNGTD VNMSPKGPTFSATQAPRVEKTPSLPDDLSDSTSLGTSVITTCTSIQEQGLKANNSKELSM CFTELTTNISPGSKKVIKLYSGSCRARLSTALTALPLLMVTLAQ >ENSMUSP00000034815.7 pep:known chromosome:GRCm38:9:61917280:61946799:-1 gene:ENSMUSG00000032254.9 transcript:ENSMUST00000034815.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif23 description:kinesin family member 23 [Source:MGI Symbol;Acc:MGI:1919069] MKSAKAKTVRKPVIKKGSQTNLKDPVGVYCRVRPLSFPDQECCVEVINSTTLQLHTPEGY RLNRNGDYKETQYSFKRVFGTHTTQKELFDVVANPLVDDLIHGKNGLLFTYGVTGSGKTY TMTGSPGSGGLLPRCLNMIFNSIGSFQAKRYVFKSNDRNSMEIQCEVDALLERQKREALP IPKTPSSKRQADPEFADMINVQEFCKAEEVDEDSVYGVFVSYIEIYNNYIYDLLEEVQFD PIKPKLPQSKTLREDKNHNMYVAGCTEVEVKSTEEAFEVFWRGQKKRRIANTHLNRESSR SHSVFSIKLVQAPLDADGDNVLQEKEQITISQLSLVDLAGSERTNRTKAEGNRLREAGNI NQSLMTLRTCMEVLRENQTYGTNKMVPYRDSKLTHLFKNYFDGEGKVRMIVCVNPKAEDY EESLQVMRFAEVTQEVEVARPVDKVICGLTPGRRYRNLPRGGPVGDEPLVPEVILQSFPP LPPCKLLDINDEETLPKLADTLEKRHHLRQLMTEDLNKKCLAFKALLKEFDNSLSNKENY VQEKLNEREKVISGQKLEIERLEKKNKTLEYKIEILEKTTTIYEEDKRNLQQELESQNQK LQRQFSDKRRLEARLQGMVTETSMKWQKECERRVAATQLEMQNKLWVKDEKLKQLKAIVT EPKPEKPERPSRERDREKIIPRSVSPSPLPLSSNNIAQISNGQQLMSQPQLHRRSNSCSS ISVASCISEWEQKLSPFSTPVNVTSLARHRQQEPGQSKTCIVSDRRRGMCWTEGREMVPT FSSEIGVEEDHCRRNTPIPVRHRRSRSAGSRWVDHKPASNVQTETVMQPHVPHAITVSVA NEKALAKCEKYMLTHQELASDGEIQTKVIKGDVYKTRGGGQSVQFTDIETLKQELPTGSR KRRSSTLAPAQPDGTESEWTDVETRCSVAVEMRAGSQLGPGYQHHAQPKRKKP >ENSMUSP00000073808.2 pep:known chromosome:GRCm38:7:140372587:140373666:-1 gene:ENSMUSG00000060974.2 transcript:ENSMUST00000074177.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr530 description:olfactory receptor 530 [Source:MGI Symbol;Acc:MGI:3030364] MALVNQSVVTMFILQRFVDDPWIQDVLFCLFFALFMAAIAGNGLIIATIHSSPNLHTPMY FFLVNLSLMDVICTVTVLPKVLQSLVAENSISYGGCLTQMFVFSWVLGSELLLFSAMAYD RYLAICRPLHYGTLMSGKVCVALATFVWFTGALNSLVLTCLMLPLSFCGPNLITHFFCEI PSVLILSCSPTFINDIMTVITDMFLTGLNFLLTMTSYVFIIASILRIRSAEGKKRAFSTC SAHLVVVTLYYSTVLYTYVRPALGTAGFLDKLIAVLYTTVTPSLNPLIYTLRNKEFKISF KKLLFPH >ENSMUSP00000128173.1 pep:known chromosome:GRCm38:9:22112989:22114169:-1 gene:ENSMUSG00000013822.6 transcript:ENSMUST00000166335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elof1 description:ELF1 homolog, elongation factor 1 [Source:MGI Symbol;Acc:MGI:1913376] MGRRKSKRKPPPKKKMTGTLETQFTCPFCNHEKSCDVKMDRARNTGVISCTVCLEEFQTP ITYLSEPVDVYSDWIDACEAANQ >ENSMUSP00000013966.6 pep:known chromosome:GRCm38:9:22112990:22117148:-1 gene:ENSMUSG00000013822.6 transcript:ENSMUST00000013966.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elof1 description:ELF1 homolog, elongation factor 1 [Source:MGI Symbol;Acc:MGI:1913376] MGRRKSKRKPPPKKKMTGTLETQFTCPFCNHEKSCDVKMDRARNTGVISCTVCLEEFQTP ITYLSEPVDVYSDWIDACEAANQ >ENSMUSP00000108465.1 pep:known chromosome:GRCm38:19:18713193:18718428:1 gene:ENSMUSG00000047044.7 transcript:ENSMUST00000062753.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D030056L22Rik description:RIKEN cDNA D030056L22 gene [Source:MGI Symbol;Acc:MGI:3583960] MAKRRAAEPLTFRVPWKRLLLSDFPEEPPLWVPPSGTARPLKRQGDAGIMAEPASAPRKR RGGGDDRQELQGCSREPGEPPPGEQEEPRAAGGGDRVESAGSPQVADGVHSQQPEEFWQY NTFQYWRNPLPPLDLAALEDVSANSLTETLEDKNEGVEIDMES >ENSMUSP00000061933.7 pep:known chromosome:GRCm38:19:18713236:18718428:1 gene:ENSMUSG00000047044.7 transcript:ENSMUST00000055792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D030056L22Rik description:RIKEN cDNA D030056L22 gene [Source:MGI Symbol;Acc:MGI:3583960] MAKRRAAEPLTFRVPWKRLLLSDFPEEPPLWVPPSGTARPLKRQGDAGIMAEPASAPRKR RGGGDDRQELQGCSREPGEPPPGEQEEPRAAGGGDRVESAGSPQVADGVHSQPEEFWQYN TFQYWRNPLPPLDLAALEDVSANSLTETLEDKNEGVEIDMES >ENSMUSP00000136935.1 pep:known chromosome:GRCm38:12:88284535:88286055:-1 gene:ENSMUSG00000094635.1 transcript:ENSMUST00000179741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7104 description:predicted gene 7104 [Source:MGI Symbol;Acc:MGI:3779672] YLRAQQALRELASWLQATPGSTEGLSKRVLPASSSQVSHSPKPGSQPLKTPTLSRMASKI TTNFTPKCIVHSPSLQSLKKPVIPKEFGGTVPIVVPQGYLHLFIEEFLKFCSSKQEAIEK ALNGEKVAYDLSSSKNIYLNVAVNILKKLRGLAPNTMLNLSKASGRRVVSHEVVLGGKLA AKTSFSLNSPSSQQVEELRALIPSASAGYALYCHLREYLLTQEQLKENGYPFPHPERPGA AVIFTAKEKKPKDPSRRICHRCGIEFPVSSAGLCVSSAECYYHWGRLQLNRVAGGWESQY VCCSALVGSVGCQVAKQHVLDGRTENLEGFVRTFQKELPKDAHAGVFALDCEMSYTTYGL EVTRVTVVDTDLQVKYDTFVKPDNEVVDYNTRFSGVTEADLVDTSITLRDVQAVLLSMFS ADTILIGHSLESDLLALKVIHCTVVDTAVLFPHHRGLPYKRSLQNLMADYLRQIIQDNVN GHSSSEDASACMQLVIWKIREDAKTKP >ENSMUSP00000129933.2 pep:known chromosome:GRCm38:17:40520022:40530702:1 gene:ENSMUSG00000090747.2 transcript:ENSMUST00000171813.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp8 description:exocrine gland secreted peptide 8 [Source:MGI Symbol;Acc:MGI:4937129] MEKVSAMTSFPVMLFLIILLLPSICSEERTQADPTISADNKKNFKTVVDLTDCQCERNFI ESLESTLPASNQDQTLFENMTNQHKLNLSKMLSVLSKCCTQKQQVDTVHFRRMPHRIQST KQNNYKKQVLYPMQEFMNLTRDVLVSRYV >ENSMUSP00000089808.5 pep:known chromosome:GRCm38:10:115340739:115362262:-1 gene:ENSMUSG00000069520.5 transcript:ENSMUST00000092170.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem19 description:transmembrane protein 19 [Source:MGI Symbol;Acc:MGI:1914476] MTDSDDTTCKRYIKMITNIVILSLIICISLAFWIMSMTASTYYGNFRPVSPWRWLFSVVV PVVIACNGFKKKSLDHSGALGGLVVGFILTIANFSFFTSLMTFFLSSSKLTKWRGNIKKQ LDSEYKEGGQRNWVQVFCNGAVPTELALLYMIENGPGEMPIDFSKQHTASWMCLSLLAAL ASSAGDTWASEVAPVLSKSSPRLITTWEKVPVGTNGGVTAVGLASSLLGGTFVGLAYFLT QLVFVNDLDISAPQWPIIAFGGVAGLFGSLVDSFLGATMQFSGLDERTGLVVSSPTQETK HIAGKPILDNNAVNLFSSVLVALLLPTAASGFWPRE >ENSMUSP00000096471.3 pep:known chromosome:GRCm38:14:73661225:73662721:1 gene:ENSMUSG00000096463.1 transcript:ENSMUST00000098874.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21750 description:predicted gene, 21750 [Source:MGI Symbol;Acc:MGI:5433914] KKQESNPSTNQKEDSHKNRMPTLTTEIKGSNNYFSLISLNINGLNSPIKRHRLTDWLHKQ DPTFCCLQETHLREKDRHYLRVKGWKTIFQAKGLKKQAGVAILISNKIDFQPKVIKKDKE GHFILIKGKILQKELSILNIYAPNARAATFIKDTLVKLKAHIAPHTIIVGDFNTPLSSKD RSWKQKLNRDTVKLTEVMKQMDLTDIYRTFYPKTKGYTFFSAPHGTFSKIDHIIGHKTGL NIYKDIEIVPCILSDHHGLRVIFNNNINNGKPTFTWKLNNTLLNDTLVKEGIKKEIKDFL EFNENEATTYPNLWDTMKAFLRGKLISLSASKKKRERAHTSSLTTHLKALEKKEANSPKR SRRQEIIKLRVQINQVETRTIQKINQTRSWFFEKINKIDKPLARLTRGHRDNILINKIRN EKGDITTDPEEIQNTIRSFYKRLYSTKLENLDEMDKFLDRYQVPKLNQGQVNDLNSPISP KEIEAVINSLPVKKGPGPD >ENSMUSP00000130905.1 pep:known chromosome:GRCm38:7:141210043:141214082:-1 gene:ENSMUSG00000025500.11 transcript:ENSMUST00000170841.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmntd2 description:lamin tail domain containing 2 [Source:MGI Symbol;Acc:MGI:1919250] MAPKSCQESEDKQVSPAPAGVQPDSSDLGSPVGTPVDRVAPSYSQSAKLYTSTPMGCSVK QQLAPETLDPRTLRLLWEQRELEIQALRWAVQNGHNARYSSILQEVAGVPSERLRGMIAP PTRNSKSQDKFLRNQVQKLTLELKAQKEQAQQEKQQLEEKLQQNLWAKQQLEAELQTFQK SCLLQLARSSWVGRVLRSQTGSVEVVTTEVLRDPSDFSESAEIPTSGEGFPLEDVDWNSI AQRYPNLFSNLNFYSDQKQSQPPTSETYTLDSEGATKHTEKPTKILEWSALPLLDTSSSE RTQSDTSSCPIALHSGAKKTTGHPSQGTNLASSEQMQEHTRSFSGYTEDLCKSHSPSCSK TVLESYTDLHHPYTRPQLNPFGCCLKIAAVSHREKFIRVINQSQAETIDLGGFVLQQLVR DFPVCMYRFPPGTLLAPQHHITVWGEGTSRTKKQLPVASGQDPFQFQSSRGCVTVLVNPQ GQVLSEHQATPCVTLGSKIFTDNTDWSIDCFPLSESEPDVHPGEQQCRPSSPQKGRAKDA GARRKKPGPGVRQHRHSSTSGLRASRTLHPTETRDILPLLSSRKLLPSGEVLTQQEGVKA ETSELLPVIPECPSRLCLGEDSLGRQEYKVQVCRKSVDLSCPMVALSVQNTAESRYGFRF LCYPPITEELCRRL >ENSMUSP00000026573.5 pep:known chromosome:GRCm38:7:141210043:141214080:-1 gene:ENSMUSG00000025500.11 transcript:ENSMUST00000026573.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmntd2 description:lamin tail domain containing 2 [Source:MGI Symbol;Acc:MGI:1919250] MAPKSCQESEDKQVSPAPAGVQPDSSDLGSPVGTPVDRVAPSYSQSAKLYTSTPMGCSVK QQLAPETLDPRTLRLLWEQRELEIQALRWAVQNGHNARYSSILQEVAGVPSERNSKSQDK FLRNQVQKLTLELKAQKEQAQQEKQQLEEKLQQNLWAKQQLEAELQTFQKSCLLQLARSS WVGRVLRSQTGSVEVVTTEVLRDPSDFSESAEIPTSGEGFPLEDVDWNSIAQRYPNLFSN LNFYSDQKQSQPPTSETYTLDSEGATKHTEKPTKILEWSALPLLDTSSSERTQSDTSSCP IALHSGAKKTTGHPSQGTNLASSEQMQEHTRSFSGYTEDLCKSHSPSCSKTVLESYTDLH HPYTRPQLNPFGCCLKIAAVSHREKFIRVINQSQAETIDLGGFVLQQLVRDFPVCMYRFP PGTLLAPQHHITVWGEGTSRTKKQLPVASGQDPFQFQSSRGCVTVLVNPQGQVLSEHQAT PCVTLGSKIFTDNTDWSIDCFPLSESEPDVHPGEQQCRPSSPQKGRAKDAGARRKKPGPG VRQHRHSSTSGLRASRTLHPTETRDILPLLSSRKLLPSGEVLTQQEGVKAETSELLPVIP ECPSRLCLGEDSLGRQEYKVQVCRKSVDLSCPMVALSVQNTAESRYGFRFLCYPPITEEL CRRL >ENSMUSP00000137265.1 pep:known chromosome:GRCm38:1:85100164:85100535:1 gene:ENSMUSG00000073631.1 transcript:ENSMUST00000097669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10553 description:predicted gene 10553 [Source:MGI Symbol;Acc:MGI:3642178] MTQLPLALGFGESRLWKAAQLRCVYLHPSICCYITQVTLGCSALRSIPAYYSSLSPCASA SVTAEVNVNGSCDVYPSSYLLTYNGDVPSSLEVLQSVGCTQKYSMIQHRAGLLSGLSSFS TLT >ENSMUSP00000021820.6 pep:known chromosome:GRCm38:13:49544443:49567557:1 gene:ENSMUSG00000021388.13 transcript:ENSMUST00000021820.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspn description:asporin [Source:MGI Symbol;Acc:MGI:1913945] MKEYVMLLLLAVCSAKPFFSPSHTALKNMMLKDMEDTDDDDNDDDDNSLFPTKEPVNPFF PFDLFPTCPFGCQCYSRVVHCSDLGLTSVPNNIPFDTRMVDLQNNKIKEIKENDFKGLTS LYALILNNNKLTKIHPKTFLTTKKLRRLYLSHNQLSEIPLNLPKSLAELRIHDNKVKKIQ KDTFKGMNALHVLEMSANPLENNGIEPGAFEGVTVFHIRIAEAKLTSIPKGLPPTLLELH LDFNKISTVELEDLKRYRELQRLGLGNNRITDIENGTFANIPRVREIHLEHNKLKKIPSG LQELKYLQIIFLHYNSIAKVGVNDFCPTVPKMKKSLYSAISLFNNPMKYWEIQPATFRCV LGRMSVQLGNVGK >ENSMUSP00000136728.1 pep:known chromosome:GRCm38:13:49544443:49567557:1 gene:ENSMUSG00000021388.13 transcript:ENSMUST00000177948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aspn description:asporin [Source:MGI Symbol;Acc:MGI:1913945] MKEYVMLLLLAVCSAKPFFSPSHTALKNMMLKDMEDTDDDDNDDDDNSLFPTKEPVNPFF PFDLFPTCPFGCQCYSRVVHCSDLGLTSVPNNIPFDTRMVDLQNNKIKEIKENDFKGLTS LYALILNNNKLTKIHPKTFLTTKKLRRLYLSHNQLSEIPLNLPKSLAELRIHDNKVKKIQ KDTFKGMNALHVLEMSANPLENNGIEPGAFEGVTVFHIRIAEAKLTSIPKGLPPTLLELH LDFNKISTVELEDLKRYRELQRLGLGNNRITDIENGTFANIPRVREIHLEHNKLKKIPSG LQELKYLQIIFLHYNSIAKVGVNDFCPTVPKMKKSLYSAISLFNNPMKYWEIQPATFRCV LGRMSVQLGNVGK >ENSMUSP00000128232.1 pep:known chromosome:GRCm38:18:67562387:67562851:1 gene:ENSMUSG00000091695.1 transcript:ENSMUST00000163749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17669 description:predicted gene, 17669 [Source:MGI Symbol;Acc:MGI:4937303] INKSKNHTTHNQSRKWHRNGIKKPRSQRYESLKGVDPKFLRSVRIAKKHNKKGLKKMQAN NAKAVSARAEAIKALVKPQAIKPKMPKGPKLKRLAFIAHPKLGKRIRSYMAKGQRLCQPK PKVQTKAGAKAPAKAQASAPAQAPKGAQAPVKAP >ENSMUSP00000045873.7 pep:known chromosome:GRCm38:9:22331214:22389206:-1 gene:ENSMUSG00000036777.7 transcript:ENSMUST00000040912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anln description:anillin, actin binding protein [Source:MGI Symbol;Acc:MGI:1920174] MDPFTEKLLERTRARRENLQRKMAERPTAVARSAPHAKRGREPLSEASNQQQPLPGGEEK SCTKPSPSKKRCSDKIEVGAPDLENTEPIDVAKPCSPMPAPRQAKPPAPAAISESVAAPA ALLSADRGLNSGSEASATSSVKTRMQRLAEQRRHWDSDLTDDVSESSYFAPVPTEDKAAS PSKPPISNASATPVGRRGRLANLAATICSWEDDVSHSSAKQNSVQEQPGTACLSKSSSAS GASASINSSSVQQEATCCSPRDGNASVRKDPSSNAAHGPLLSASVSSSVKASSPVTAATF ITENREAQNPELLHKTASPLKTEARKPCEKPTLSQGAQPKEEANREVCLQSQSKDKLATP GGRGIKPFLERFGERCQEHSKESPSYRASHKTPNITPNTKAIQERLFKQNTCSSTTHLAQ QLKQEREKELACLRGRLDKGNLWSAEKNEKSRSKHLETKQEVHCQNTPLKKHQTVASTPL TSVTDKVAENEPAVKLSSTEPAGSTESEMTKSSPLKITLFLEEEKSLKVASDLEVEQNTE AVREVEMSVDDEDINSSRVINDIFSDVLEEGELDVEKSQEEMDQVGAENSEEQEDALNIS SMSLLAPLAQTVGVVSLENVISSPPSELRDSNLSAASPKPGKFQRTRVPRAESADSLGSE DRDLLYSIDAYRSQRFKETERPSIKQVIVRKEDVTSKLGEKKNVFSGQVNIKQKMQELNN DINLQQTVIYQASQALNCCVDEEHGKGSLEEAEAERLLLIATEKRALLIDELNKLKSEGP QRRNKTSVISQSEFAPSKGSVTLSEICLPLKADFVCSTAQKTDASNYYYLIMLKAGAEQM VATPLASTANSLSGDALTFPTTFTLHDVSNDFEINIEVYSLVQKKDSLGPDKKKKASKSK AITPKRLLTSITSKSSLHSSVMASPGGLGAVRTSNFTLVGSHTLSLSSVGDTKFALDKVP FLSPLEGHICLKISCQVNSAVEEKGFLTIFEDVSGFGAWHRRWCVLSGNCISYWTYPDDE RRKNPIGRINLANCISHQIEPANREFCARRNTLELITVRPQREDDRETLVSQCRDTLCVT KNWLSADTKEERDLWMQKLNQVIVDIRLWQPDACYKPVGKP >ENSMUSP00000095704.3 pep:known chromosome:GRCm38:7:113707715:113708200:-1 gene:ENSMUSG00000073862.3 transcript:ENSMUST00000098100.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5600 description:predicted gene 5600 [Source:MGI Symbol;Acc:MGI:3645703] MEIIMKRKTGDAFGMRSVFLQPPLAIHNPLVTSIKFPGHSTSWCLYCEWRSMAVFLKLWA ALPTLRDVACRKNARPHLSLSPSLCPSGSLLWVGQNMDSLTHNTTICTAWFSPFQINCFI NFLAKKEKKSWPLARVNGILLSDFVACNEPSFYPVLICRAP >ENSMUSP00000081452.4 pep:known chromosome:GRCm38:7:142189473:142190389:-1 gene:ENSMUSG00000066101.4 transcript:ENSMUST00000084415.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10153 description:predicted gene 10153 [Source:MGI Symbol;Acc:MGI:3642359] MSCCGCSGGCGSSCGGCGSSCCKPVCCCVPVCSCSSCGGCKGGCSSCGGCGSCGGCKGGC GSCGGCKGGCSSCGGCKGGCSSCGGCGSCGGCKGGCSSCGGCGSCGGCKGGCSSCGGCGS CGGCKGGCSSCGGCGSCGGCNPVAASPAAASPALWIILLPVQLLQALLLPVQLL >ENSMUSP00000099118.3 pep:known chromosome:GRCm38:12:18853872:18854207:1 gene:ENSMUSG00000094947.1 transcript:ENSMUST00000101582.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3944 description:predicted gene 3944 [Source:MGI Symbol;Acc:MGI:3782118] MPDQISVSEFVAETLEDYKAPTASSFTMRTAQCRDTVAAIEEVSGSVARAGREARVAGIA LRPGHPVGFRAWCRIPSALRLRPHPGKVSGTPKPDAAGPAVPDTEALCSLL >ENSMUSP00000026220.5 pep:known chromosome:GRCm38:19:44203288:44244016:1 gene:ENSMUSG00000025202.7 transcript:ENSMUST00000026220.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scd3 description:stearoyl-coenzyme A desaturase 3 [Source:MGI Symbol;Acc:MGI:1353437] MPGHLLQEEMTPSYTTTTTITAPPSGSLQNGREKVKTVPLYLEEDIRPEMKEDIYDPTYQ DEEGPPPKLEYVWRNIILMALLHVGALYGITLVPSCKLYTCLFAFVYYVISIEGIGAGVH RLWSHRTYKARLPLRIFLIIANTMAFQNDVYEWARDHRAHHKFSETHADPHNSRRGFFFS HVGWLLVRKHPAVKEKGGKLDMSDLKAEKLVMFQRRYYKPGILLMCFILPTLVPWYCWGE TFLNSFYVATLLRYAVVLNATWLVNSAAHLYGYRPYDKNIDPRQNALVSLGSMGEGFHNY HHAFPYDYSASEYRWHINFTTFFIDCMAALGLAYDRKRVSKATVLARIKRTGDGSHKSG >ENSMUSP00000069962.4 pep:known chromosome:GRCm38:19:32672563:32712298:-1 gene:ENSMUSG00000013662.5 transcript:ENSMUST00000070210.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atad1 description:ATPase family, AAA domain containing 1 [Source:MGI Symbol;Acc:MGI:1915229] MVHAEAFSRPLSRNEVVGLIFRLTIFGAVTYFTIKWMVDAIDPTRKQKVEAQKQAEKLMK QIGVKNVKLSEYEMSIAAHLVDPLNMHVTWSDIAGLDDVITDLKDTVILPIKKKHLFENS RLLQPPKGVLLYGPPGCGKTLIAKATAKEAGCRFINLQPSTLTDKWYGESQKLAAAVFSL AIKLQPSIIFIDEIDSFLRNRSSSDHEATAMMKAQFMSLWDGLDTDHSCQVIVMGATNRP QDLDSAIMRRMPTRFHINQPALKQREAILKLILKNENVDRHVDLLEVAQETDGFSGSDLK EMCRDAALLCVREYVNSTSEESHDEDEIRPVQQQDLHRAIEKMKKSKDAAFQNVLTHVCL D >ENSMUSP00000038275.6 pep:known chromosome:GRCm38:13:81657806:81671888:1 gene:ENSMUSG00000035840.6 transcript:ENSMUST00000049055.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lysmd3 description:LysM, putative peptidoglycan-binding, domain containing 3 [Source:MGI Symbol;Acc:MGI:1915906] MAGRNQNRTVSLPGIQASGHVLAFGNCTDNDMLEEDAEVYELRSRGKEKVRRSASRDRLD DIVILTKDIQEGDTLNAVALQYCCTVADIKRVNNLISDQDFFALRSIKIPVKRFSSLTET LHPLKGRHILHPPPVPYFQEQDIVPADGSLSSSESAGSFLKEVDRDIEQIVKCTDTKKEN LNEVVSALTAQQVRFEPDNKSIHRKDPYYGADWGIGWWTAVVIMLIVGIITPVFYLLYYE ILAKVDVSHHSTVGSSHLHPGLTPPTQHREMENEIGPTKGIPVGQQDDHKLYRQDPQAHD AQHKT >ENSMUSP00000074300.2 pep:known chromosome:GRCm38:9:38752796:38756863:1 gene:ENSMUSG00000061039.3 transcript:ENSMUST00000074740.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr920 description:olfactory receptor 920 [Source:MGI Symbol;Acc:MGI:3030754] MALINGSVVTEFILLGLTDQPDLQVPLFLVFLLMYMITALGNLTLIILIVLNSHLHTPMY FFLFNLSFVDFCYSSVIIPKMLMNFILKKNFISYVGCMTQFYLFGFCVILECYILTSMAY DRYVAICNPLLYNIVMSPKMCSYLMLGSYLMGFSGAMIHTGCVLRLSFCDGNIINHYFCD LLPLLQLSCTSTYVNEIEVLIVAGKDIIVPTVIIFISYGFILSSIFQMKSTKGMSKAFST CSSHIIAVSLFFGSGAFMYLKPNSTGTMNNGKIPSIIYTILIPMMNPLIYSLRNKDVKVA LRKTLRKKIL >ENSMUSP00000048925.5 pep:known chromosome:GRCm38:14:78849178:79202310:1 gene:ENSMUSG00000058997.7 transcript:ENSMUST00000040990.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa8 description:von Willebrand factor A domain containing 8 [Source:MGI Symbol;Acc:MGI:1919008] MQSRLLLLGAPGGLGDVASRRVRLLLRQVLRGRPGGDQQRLEVRLLHSGATDSGETVSIG DVSYKLKTPKNPELVPQNYISDSPAQSIVQHLRWLMQKDLLGQDVFLIGPPGPLRRSVAM QYLELTKREVEYIALSRDTTETDLKQRREIRAGTAFYIDQCAVRAATEGRTLVLEGLEKA ERNVLPVLNNLLENREMQLEDGRFLMSAERYDKLLQDHTKEELDAWKIVRVSENFRVIAL GLPVPRYSGNPLDPPLRSRFQARDIYFLPFQDQLKLLYSVGANVSAEKISQLLSFATTLC SQESSTLGLPDFPLDSLPEAVQILDSFPMMSIEHALQWVYPYTLLLGHEGKMAVEGVLKR FELQGSGHSLLPKEIVRVERMTDSHGSYAHVTIRVAGKEVTIKVPAGTRAVNQPCAPDHF IQTVSHKQLLAEMVQSHMVKDICLIGGKGCGKTVIAKNFAALLGYSIEPIMLYQDMTARD LLQQRYTLPNGDTAWRSSPLVSAAREGKLVLLDGIHRVNAGTLAVLQRLIHDRELSLYDG SRLLREDRYLSLKEKLQLTDEQLQNRSIFPIHPSFRIIALAEPPIVGSTTQQWLGPEFLT MFFFHHMKPLVKSEEIQVIKETVPNVPQEALEKLLSVTHKLRETQDPTAQSLAASLSTRQ LLRISRRLSKYPSENLHDAITKACLSRFLPSLAQSALEKNLADAAIETNTEDSLEPELEN YKCEVVAGSLKIGAVSVPVHNAHEKMKVPDVLFYDNVQHMVVMEDMLKDFVLGEHLLLVG NQGVGKNKIVDRFLHLLNRPREYIQLHRDTTVQSLTLQPTVKGGLIVYEDSPLVKAVKLG HILVVDEADKAPTNVTCILKTLVENGEMILADGRRIVADAANVDGRENLVAIHPDFRMLA LANRPGFPFLGNDFFGTLGDIFSCHAIDNPKPHSELSMLKQYGPDVPEPVLQKLVAAFGE LRNLADQGIINYPYSTREVVNIVKHLQKFPTEGLSSVVRNVFDFDSYNNDMREILMNTLH KYGIPIGAKPTNVQLAKEFPLPEKTFMGYWIVGQTGNGMQKVLCPAETNHVDIKGPVLVN MEKYPIEKHEARFLSFTEECTSWKFPLDEVNLICDIAVSHENGEQTLYVAACNPVSLYFM NMTGKNGFFVDFFDIFPRMASGSWRPFVTVAPLGSPLRGQVVLHEEQSNAVLLLDTTGSA IRRLVLPTEEFTSKKSSWWSKEEGETYRMCKEFSHKNWVVFYKQTGNSLTVLDVLEGLAH TISLPINLRTVFLVAEDKWLLVENETNQKYLLTKPAHIGSEDTGACQLYMLKEELPSTGF GVTQETEFCIPDKVSSDQLSSENLTSAVGQKIASPNRILSDENSHATIVVGFPDLMSPSE VYSWKRSSSLRQPSVTSMTMYTGKRTNATPRHNNCVTLTHTNQVVRILPPGEVPLKDLYP KDVTPPQTAGYIEVTDLQAKKLRYIPVPSAESLSPYTAWISAISDTDALLAEWDKSSVVT VDMGGRVRLWETGLERLQQSLMEWRNMIGQDSDKHVQITIERDSNEDVSDPKHGKEDPDN MPHVGGNTWAGGTGGRDTAGLGGKGGPYRLDAGHPVYQVSEVEKDAVPEDVKRAAREMAQ KAFQQRLKEIQMSEYDAATYERFSSAVQRQVHALRIILDNLQAKGKERQWLRHQATGELD DAKIIDGLAGEKSIYKRRGDLEPQLGSPQQKPKRLRLVVDVSGSMYRFNGVDRRLERSME AVCMVMEAFENYEEKFKYDIAGHSGDGYNIKLVPVNQIPKNNKQRLEILKTMHEHSQFCM SGDHTLEGTEHAIKDITTEEADEYFVIILSDANLSRYGINPARFAQILTSDPQVNAFAIF IGSLGDQAARLQRTLPAGRSFIAMDTKKIPQILQQIFTSTMLSSI >ENSMUSP00000080686.2 pep:known chromosome:GRCm38:9:39701793:39702822:-1 gene:ENSMUSG00000062121.2 transcript:ENSMUST00000082027.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr149 description:olfactory receptor 149 [Source:MGI Symbol;Acc:MGI:2660716] MKNLSVVTQFILLGIPHTEGVETMLFVLFFSFYIFTLVGNLLILLAIVSSSRLHTPMYFF LCQLSVCDIFFPSVSSPKMLFYLSGNTPAISYAGCVSQLFFYHFLGGTECFLYTVMAYDR FVAICYPLRYSVIMSHRICAFLAMGTAVFGCIHSTFLTTLTFQLPYCGPKDVNYYFCDIP VVMKLACADTSTLEMVGFISVGLMPLSCFFFILTSYSCIVRSILQIRSTEGRHRAFSTCS AHFTAILLFYMPVIFIYLRPTPSPWLDATVQILNNLVTPMLNPLIYSLRNKEVKSSLWTV LHLLCFLPKHL >ENSMUSP00000022153.6 pep:known chromosome:GRCm38:13:99319649:99344678:-1 gene:ENSMUSG00000021650.6 transcript:ENSMUST00000022153.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptcd2 description:pentatricopeptide repeat domain 2 [Source:MGI Symbol;Acc:MGI:1916177] MATVFRPLERLRVPRPSLHPGVTGSGSACCRCTLGAKRYLLTDNIVKLKEFQHKKIAIAY NLPGTKEIYFRNLEEKLTQNKLILKEELKTLLYLCQSLEDVQLAKAVIYRYHAENKNFTL GEYKFGPVFMRLCYELDLEDSAVELVRDKHLQGFFLDSTSFNILMDMLFTKGKYERALQV LIEMKNQDVRFSKETYILAFAICYKLNTLESLKICTTLREEALIKGDIICRRAYCFVVAL ALNKNQLKNAVSIFSQIVNPESIVCINLNILIHIQSNMLESLLKILEDSLDTNLSKFVRR HTFSEEVLAKVREKLKDSPALIARFDEVYGKLHVNGQITVHSLDALLCHVPRDKRSNLLL LKKRAVSHRTLQPLSRSLLTE >ENSMUSP00000034692.7 pep:known chromosome:GRCm38:9:20667986:20728219:-1 gene:ENSMUSG00000032172.7 transcript:ENSMUST00000034692.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm2 description:olfactomedin 2 [Source:MGI Symbol;Acc:MGI:3045350] MRKLRQTGTTIAGGQTLFQSPEEGWQLYTSAQAPDGKCVCTAVIPAQSTCARDGRSRELR QLMEKVQNVSQSMEVLELRTFRDLQYVRSMETLMRSLDARLRAADGSVSAKSFQELKDRM TELLPLSSVLEQYKADTRTIVRLREEVRNLSGNLAAIQEEMGAYGYEDLQQRVMALEARL HACAQKLGCGKLTGVSNPITIRAMGSRFGSWMTDTMAPSADSRVWYMDGYYKGRRVLEFR TLGDFIKGQNFIQHLLPQPWAGTGHVVYNGSLFYNKYQSNVVVKYHFRSRSVLVQRSLPG AGYNNTFPYSWGGFSDMDFMVDESGLWAVYTTNQNAGNIVVSRLDPHTLEVVRSWDTGYP KRSAGEAFMICGVLYVTNSHLAGAKVYFAYFTNTSSYEYTDVPFHNQYSHISMLDYNPRE RALYTWNNGHQVLYNVTLFHVISTAGDP >ENSMUSP00000091388.2 pep:known chromosome:GRCm38:9:38253419:38254360:1 gene:ENSMUSG00000094778.1 transcript:ENSMUST00000093865.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr143 description:olfactory receptor 143 [Source:MGI Symbol;Acc:MGI:2177526] MMQMTMENKSSVSEFILMGLTDQPELQLPLFVLFLMNYTATVMGNLTLMNLICLNSNLHT PMYFFLFNLSFIDFCYSMVFTPKMLMSFILEKNTISFGGCMAQLFFFLFFVNSESYVLTA MAYDRYVAICKPLTYKVIMSPKICCLLIFSSYLMGFASAMAHTGCMIRLSFCDSNIINHY MCDIFPLLPLSCSSTYVNELMSSVVVGSAIILCCLIILISYAMILFNIIHMSSGKGWSKA LGTCGSHIITVSLFYGSGLLAYVKPSSAKTVGQGKFFSVFYTLLVPMLNPLIYSLRNKDV KLAVKKTWKRITS >ENSMUSP00000041378.7 pep:known chromosome:GRCm38:19:58973358:59076069:-1 gene:ENSMUSG00000041362.16 transcript:ENSMUST00000047511.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shtn1 description:shootin 1 [Source:MGI Symbol;Acc:MGI:1918903] MNSSDEEKQLQLITSLKEQAIGEYEDLRAENQKTKEKCDKIRQERDEAVKKLEEFQKISH MVIEEVNFMQNHLEIEKTCRESAEALATKLNKENKTLKRISMLYMAKLGPDVITEEINID DDDPATDTDAAAETCVSVQCQKQIKELRDQIVSVQEEKKVLAIELENLKSKLGEVMEEVN KVKQEKAVLNSEVLEQRKVLEKCNRVSMLAVEEYEELQVNLELEKDLRKKAESFAQEMFI EQNKLKRQSHLLLQSSLPDQQLLKALDENAKLIQQLEEERIQHQKKVKELEERLENEALH KEIHNLRQQLELLEDDKRELEQKYQSSEEKARNLKHSVDELQKRVNQSENSVPPPPPPPP PLPPPPPNPIRSLMSMIRKRSHPSGNSAKKEKTTQPETAEEVTDLKRQAVEEMMDRIKKG VHLRPVNQTARPKAKPDSLKGSESAVDELKGILGTLNKSTSSRSLKSLGPENSETELERI LRRRKLTAEADSSSPTGILATSESKSMPVLGSVSSVTKSALNKKTLEAEFNNPCPLTPEP GEGPRKLEGCTNPKVTFQPPSKGGYRRKCVGSENQAEPVVVLDPVSTHEPQTKDQAAEKD PTQFEEEGGETQPEYKEDSGGKTGETDSSNC >ENSMUSP00000126227.1 pep:known chromosome:GRCm38:19:58973358:59076069:-1 gene:ENSMUSG00000041362.16 transcript:ENSMUST00000163821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shtn1 description:shootin 1 [Source:MGI Symbol;Acc:MGI:1918903] MNSSDEEKQLQLITSLKEQAIGEYEDLRAENQKTKEKCDKIRQERDEAVKKLEEFQKISH MVIEEVNFMQNHLEIEKTCRESAEALATKLNKENKTLKRISMLYMAKLGPDVITEEINID DDDPATDTDAAAETCVSVQCQKQIKELRDQIVSVQEEKKVLAIELENLKSKLGEVMEEVN KVKQEKAVLNSEVLEQRKVLEKCNRVSMLAVEEYEELQVNLELEKDLRKKAESFAQEMFI EQNKLKRQSHLLLQSSLPDQQLLKALDENAKLIQQLEEERIQHQKKVKELEERLENEALH KEIHNLRQQLELLEDDKRELEQKYQSSEEKARNLKHSVDELQKRVNQSENSVPPPPPPPP PLPPPPPNPIRSLMSMIRKRSHPSGNSAKKEKTTQPETAEEVTDLKRQAVEEMMDRIKKG VHLRPVNQTARPKAKPDSLKGSESAVDELKGILASQ >ENSMUSP00000076878.6 pep:known chromosome:GRCm38:9:65101486:65137940:1 gene:ENSMUSG00000032816.14 transcript:ENSMUST00000077696.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igdcc4 description:immunoglobulin superfamily, DCC subclass, member 4 [Source:MGI Symbol;Acc:MGI:1858497] MARADTGRGLLVLTFCLLSARGELPLPQETTVKLSCDEGPLQVILGPEQAVVLDCTLGAT AAGPPTRVTWSKDGDTVLEHENLHLLPNGSLWLSSPLEQEDSDDEEALRIWKVTEGSYSC LAHSPLGVVASQVAVVKLATLEDFSLHPESQIVEENGTARFECHTKGLPAPIITWEKDQV TVPEESRLITLPNGVLQILDVQDSDAGSYRCVATNSARQRFSQEASLTVALRGSLEATRG QDVVIVAAPENTTVVSGQSVVMECVASADPTPFVSWVRQGEDQSKKEGIQTSGCDAALQG CSGNLVVGEGVETVPASPSSLLLLCHQMESLSPRMSSFWAGPIYSSPARSLGTLESMSAE PTSPARVISPLRLLSSECLLPQPSRRRPRRSRGRGPAPRASCAGRPGSHGPRCTGCTTGS RCDPMRVKVQGGGGSLVITQIGLQDAGYYQCVAENSAGTACAAAPLAVVVREGLPSAPTR VTATPLSSSSVLVAWERPELHSEQIIGFSLHYQKARGVDNVEYQFAVNNDTTELQVRDLE PNTDYEFYVVAYSQLGASRTSSPALVHTLDDVPSAAPQLTLSSPNPSDIRVAWLPLPSSL SNGQVLKYKIEYGLGKEDQVFSTEVPGNETQLTLNSLQPNKVYRVRISAGTGAGYGVPSQ WMQHRTPGVHNQSHVPFAPAELKVRAKMESLVVSWQPPPHPTQISGYKLYWREVGTEEEA DGDRPPGGRGDQAWDVGPVRLKKKVKQYELTQLVPGRLYEVKLVAFNKHEDGYAAVWKGK TEKAPTPDLPIQRGPPLPPAHVHAESNSSTSIWLRWKKPDFTTVKIVNYTVRFGPWGLRN ASLVTYYTSSGEDILIGGLKPFTKYEFAVQSHGVDMDGPFGSVVERSTLPDRPSTPPSDL RLSPLTPSTVRLHWCPPTEPNGEIVEYLILYSNNHTQPEHQWTLLTTEGNIFSAEVHGLE SDTRYFFKMGARTEVGPGPFSRLQDVITLQKTFSDSLDVHAVTGIIVGVCLGLLCLLACM CAGLRRSSHREALPGLSSSGTPGNPALYTRARLGPPSVPAAHELESLVHPRPQDWSPPPS DVEDKAEVHSLMGGSVSDCRGHSKRKISWAQAGGPNWAGSWAGCELPQGSGPRPALTRAL LPPAGTGQTLLLQALVYDAIKSNGRKKPSPACRNQVEAEVIVHSDFGASKGCPDLHLQDL EPEEPLTAETLPSTSGAVDLSQGADWLGRELGGCQPTTSGPERLTCLPEAASASCSCSDL QPSTAIEEAPGKSCQPKALCPLTVSPSLPRAPVSSAQVP >ENSMUSP00000045387.3 pep:known chromosome:GRCm38:9:65101486:65137940:1 gene:ENSMUSG00000032816.14 transcript:ENSMUST00000035499.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igdcc4 description:immunoglobulin superfamily, DCC subclass, member 4 [Source:MGI Symbol;Acc:MGI:1858497] MARADTGRGLLVLTFCLLSARGELPLPQETTVKLSCDEGPLQVILGPEQAVVLDCTLGAT AAGPPTRVTWSKDGDTVLEHENLHLLPNGSLWLSSPLEQEDSDDEEALRIWKVTEGSYSC LAHSPLGVVASQVAVVKLATLEDFSLHPESQIVEENGTARFECHTKGLPAPIITWEKDQV TVPEESRLITLPNGVLQILDVQDSDAGSYRCVATNSARQRFSQEASLTVALRGSLEATRG QDVVIVAAPENTTVVSGQSVVMECVASADPTPFVSWVRQDGKPISTDVIVLGRTNLLIAS AQPRHSGVYVCRANKPRTRDFATAAAELRVLAAPAISQAPEALSRTRASTARFVCRASGE PRPALHWLHDGIPLRPNGRVKVQGGGGSLVITQIGLQDAGYYQCVAENSAGTACAAAPLA VVVREGLPSAPTRVTATPLSSSSVLVAWERPELHSEQIIGFSLHYQKARGVDNVEYQFAV NNDTTELQVRDLEPNTDYEFYVVAYSQLGASRTSSPALVHTLDDVPSAAPQLTLSSPNPS DIRVAWLPLPSSLSNGQVLKYKIEYGLGKEEDQVFSTEVPGNETQLTLNSLQPNKVYRVR ISAGTGAGYGVPSQWMQHRTPGVHNQSHVPFAPAELKVRAKMESLVVSWQPPPHPTQISG YKLYWREVGTEEEADGDRPPGGRGDQAWDVGPVRLKKKVKQYELTQLVPGRLYEVKLVAF NKHEDGYAAVWKGKTEKAPTPDLPIQRGPPLPPAHVHAESNSSTSIWLRWKKPDFTTVKI VNYTVRFGPWGLRNASLVTYYTSSGEDILIGGLKPFTKYEFAVQSHGVDMDGPFGSVVER STLPDRPSTPPSDLRLSPLTPSTVRLHWCPPTEPNGEIVEYLILYSNNHTQPEHQWTLLT TEGNIFSAEVHGLESDTRYFFKMGARTEVGPGPFSRLQDVITLQKTFSDSLDVHAVTGII VGVCLGLLCLLACMCAGLRRSSHREALPGLSSSGTPGNPALYTRARLGPPSVPAAHELES LVHPRPQDWSPPPSDVEDKAEVHSLMGGSVSDCRGHSKRKISWAQAGGPNWAGSWAGCEL PQGSGPRPALTRALLPPAGTGQTLLLQALVYDAIKSNGRKKPSPACRNQVEAEVIVHSDF GASKGCPDLHLQDLEPEEPLTAETLPSTSGAVDLSQGADWLGRELGGCQPTTSGPERLTC LPEAASASCSCSDLQPSTAIEEAPGKSCQPKALCPLTVSPSLPRAPVSSAQVP >ENSMUSP00000132576.1 pep:known chromosome:GRCm38:9:65101535:65135580:1 gene:ENSMUSG00000032816.14 transcript:ENSMUST00000166273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igdcc4 description:immunoglobulin superfamily, DCC subclass, member 4 [Source:MGI Symbol;Acc:MGI:1858497] MARADTGRGLLVLTFCLLSARGELPLPQETTVKLSCDEGPLQVILGPEQAVVLDCTLGAT AAGPPTRVTWSKDGDTVLEHENLPPAGTGQTLLLQALVYDAIKSNGRKKPSPACRNQVEA EVIVHSDFGASKGCPDLHLQDLEPEEPLTAETLPSTSGAVDLSQGADWLGRELGGCQPTT SGPERLTCLPEAASASCSCSDLQPSTAIEEAPGKSCQPKALCPLTVSPSLPRAPVSSAQV P >ENSMUSP00000101022.2 pep:known chromosome:GRCm38:10:78746924:78753067:-1 gene:ENSMUSG00000078442.2 transcript:ENSMUST00000105383.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc105 description:coiled-coil domain containing 105 [Source:MGI Symbol;Acc:MGI:1918226] MPVLLPSTDPGQDSRVGAPEWRQAAKATSRKAHLLTDRCGQEAVTMWQPKDSVLDPNVAH HLGRAAYMEPWRFRVEMLKGGGTLEKPPPGEGVTLWKGKMKPPAWYARLPLPMHRDARAQ QTAEVVHAHARGARLTAARLGRAQHQINGQLRLLLRQREATDRRLSEVRKGLLINQQSVK LRGYRPKCEKIPDKADSLLVWEKKELKSMKRKMEKDMEISEDLLKALASCRDTLDFYCQE RLQAVELMNQPLDKVLEQAGRHSWVDITRPPTPRTQGLKTPPPDPIGTYTPACAKALFEA KRLLMESKDILTEMAKNEVDIQNQQQEISNRVCSSLAQKMRETLELKERMTMTLGLMRGT IHRCMKFNQEMYVTRGIIKGPLLKRNLEAREKLNRPLVRMYQRHVGTQLPEATRLAQGTD LLTRHNLHMEKNLKELRTTHDNLAWGLNCKKIGHDVDYDVVRLRLRQRHPHVCYEQAQRL VNDWDPPTPARSQTNTASK >ENSMUSP00000126598.1 pep:known chromosome:GRCm38:9:42963842:43105718:-1 gene:ENSMUSG00000059495.13 transcript:ENSMUST00000165665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef12 description:Rho guanine nucleotide exchange factor (GEF) 12 [Source:MGI Symbol;Acc:MGI:1916882] MSGTQSTITDRFPLKKPIRHGSILNRESPTDKKQKVERSSSHDFDPTDSSSKKTKSSSEE SRSEIYGLVQRCVIIQKDDNGFGLTVSGDNPVFVQSVKEDGAAMRAGVQTGDRIIKVNGT LVTHSNHLEVVKLIRSGSYVALTVQGRPPGSPQIPLADSEVEPSVTGHMSPIMTSPHSPG AAGNMERITSPVLVGEENNVVHNQKVEILRKMLQKEQERLQLLQEDYNRTATQRLLKEIQ EAKKHIPQLQEQLSKATGSAQDGAVIAPSRPLGDALTLSEAEADPGDGLCRTDWSSGDAS RPSSDSADSPKSSLRERSYLEEAPERSEGVQDAQEPQSLVGSPSTRGAPHIIGAEDDDFG TEHEQINGQCSCFQSIELLKSRPAHLAVFLHHVVSQFDPATLLCYLYSDLYKQTNSKETR RVFLEFHQFFLDRSAHLKVPVPEEISVDLEKRRPELIPEDLHRLYIQTMQERVHPEVQRH LEDFRQKRSMGLTLAESELTKLDAERDKDRGTLEKERACAEQIVTKIEEVLMTAQAVEEE RSSTMQYVILMYMKYLGVKVKEPRNLEHKRGRIGFLPKIKQSMKKDREGEEKGKRRGFPS ILGPPRRPSRHDNSAIGRAMEIQKSRHPKHLSTPSSVSPEPQDPAKLRQSGVANEGTDTG YLPASSMSSATSGTALSQEGGRENDTGTKQVGEASAPGDCLDSTPRVPTTVFDFPPPLLD QVQEEECEVERVAEHGTPKPFRKFDSIAFGESQSEDEQFENDLETDPPNWQQLVSREVLL GLKPSEIKRQEVINELFYTERAHVRTLKVLDQVFYQRVSREGILSPSELRKIFSNLEDIL QLHVGLNEQMKAVRKRNETSVIDHIGEDLLIWFSGPGEEKLKHAAATFCSNQPFALEMIK SRQKKDSRFHTFVQDAESNPLCRRLQLKDIIPTQMQRLTKYPLLLDNIAKYTEWPPEREK VKKAADHCRQILNYVNQAVREAENKQRLEDYQRRLDTSNLKLSEYPNVDELRNLDLTKRK MIHEGPLVWKVNRDKSIDLYTLLLEDILVLLQKQDDRLVLRCHSKILASTADSKHTFSPV IKLSTVLVRQVATDNKALFVISMSDNGAQIYELVAQTVSEKTVWQDLICRMAASVKEQST KPIPLPQPPPCEGDNDEEEPAKLKVEHHDLSVAGLQSPDRVLGLESPLISSKPQSHSLNT PGKSAAEHLFVTATQFAKEQHANGALKEGDGGYPVTIPGPHLPVSEERWALDALRNLGLL KQLLVQQLGLTEKSTQEDWQSFSRYGPASEEVQADSGIRDLENVKACHAREGQMSFKTGT GDIATCDSPRTSTESCAAQDSVILASQDSQASNVLVMDHMILTPEMPPAEPEGGLDESGE HFFDAREAHSDDNPSEGDGAVKKEEKDVNLRISGNCLILDGYDAVQESSTDEEVASSFPL QPVTGIPAVDSSHQQQHSPQNVHPEGPVSPFTPEFLVQRHWRAMEDTCFEIQSPSCTDSQ SQILEYIHKIEADLEHLKKVEESYALLCQRLAGSALPDKLSDKS >ENSMUSP00000072547.6 pep:known chromosome:GRCm38:9:42963843:43105486:-1 gene:ENSMUSG00000059495.13 transcript:ENSMUST00000072767.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef12 description:Rho guanine nucleotide exchange factor (GEF) 12 [Source:MGI Symbol;Acc:MGI:1916882] MSGTQSTITDRFPLKKPIRHGSILNRESPTDKKQKVERSSSHDFDPTDSSSKKTKSSSEE SRSEIYGLVQRCVIIQKDDNGFGLTVSGDNPVFVQSVKEDGAAMRAGVQTGDRIIKVNGT LVTHSNHLEVVKLIRSGSYVALTVQGRPPGSPQIPLADSEVEPSVTGHMSPIMTSPHSPG AAGNMERITSPVLVGEENNVVHNQKVEILRKMLQKEQERLQLLQEDYNRTATQRLLKEIQ EAKKHIPQLQEQLSKATGSAQDGAVIAPSRPLGDALTLSEAEADPGDGLCRTDWSSGDAS RPSSDSADSPKSSLRERSYLEEAPERSEGVQDAEPQSLVGSPSTRGAPHIIGAEDDDFGT EHEQINGQCSCFQSIELLKSRPAHLAVFLHHVVSQFDPATLLCYLYSDLYKQTNSKETRR VFLEFHQFFLDRSAHLKVPVPEEISVDLEKRRPELIPEDLHRLYIQTMQERVHPEVQRHL EDFRQKRSMGLTLAESELTKLDAERDKDRGTLEKERACAEQIVTKIEEVLMTAQAVEEER SSTMQYVILMYMKYLGVKVKEPRNLEHKRGRIGFLPKIKQSMKKDREGEEKGKRRGFPSI LGPPRRPSRHDNSAIGRAMEIQKSRHPKHLSTPSSVSPEPQDPAKLRQSGVANEGTDTGY LPASSMSSATSGTALSQEGGRENDTGTKQVGEASAPGDCLDSTPRVPTTVFDFPPPLLDQ VQEEECEVERVAEHGTPKPFRKFDSIAFGESQSEDEQFENDLETDPPNWQQLVSREVLLG LKPSEIKRQEVINELFYTERAHVRTLKVLDQVFYQRVSREGILSPSELRKIFSNLEDILQ LHVGLNEQMKAVRKRNETSVIDHIGEDLLIWFSGPGEEKLKHAAATFCSNQPFALEMIKS RQKKDSRFHTFVQDAESNPLCRRLQLKDIIPTQMQRLTKYPLLLDNIAKYTEWPPEREKV KKAADHCRQILNYVNQAVREAENKQRLEDYQRRLDTSNLKLSEYPNVDELRNLDLTKRKM IHEGPLVWKVNRDKSIDLYTLLLEDILVLLQKQDDRLVLRCHSKILASTADSKHTFSPVI KLSTVLVRQVATDNKALFVISMSDNGAQIYELVAQTVSEKTVWQDLICRMAASVKEQSTK PIPLPQPPPCEGDNDEEEPAKLKVEHHDLSVAGLQSPDRVLGLESPLISSKPQSHSLNTP GKSAAEHLFVTATQFAKEQHANGALKEGDGGYPVTIPGPHLPVSEERWALDALRNLGLLK QLLVQQLGLTEKSTQEDWQSFSRYGPASEEVQADSGIRDLENVKACHAREGQMSFKTGTG DIATCDSPRTSTESCAAQDSVILASQDSQASNVLVMDHMILTPEMPPAEPEGGLDESGEH FFDAREAHSDDNPSEGDGAVKKEEKDVNLRISGNCLILDGYDAVQESSTDEEVASSFPLQ PVTGIPAVDSSHQQQHSPQNVHPEGPVSPFTPEFLVQRHWRAMEDTCFEIQSPSCTDSQS QILEYIHKIEADLEHLKKVEESYALLCQRLAGSALPDKLSDKS >ENSMUSP00000127919.1 pep:known chromosome:GRCm38:10:118141787:118168997:1 gene:ENSMUSG00000020212.13 transcript:ENSMUST00000163238.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm1 description:transformed mouse 3T3 cell double minute 1 [Source:MGI Symbol;Acc:MGI:96951] MPVRFKGLSEYQRNFLWKKSYLSESYNPSVGQKYSWAGLRSDQLGITKEPGFISKRRVPY HDPQISKYLEWNGTVRKKDTLVPPEPQAFGTPKPQEAEQGEDANQEAVLSLEASRVPKRT RSHSADSRAEGVSDTVEKHQGVTRSHAPVSADVELRPSSKQPLSQSIDPRLDRHLRKKAG LAVVPTNNALRNSEYQRQFVWKTSKESAPVFASNQVFRNKSQIIPQFQGNTFTHETEYKR NFKGLTPVKEPKSREYLKGNSSLEMLTPVKKADEPLDLEVDMASEDSDQSVKKPASWRHQ RLGKVNSEYRAKFLSPAQYFYKAGAWTRVKENLSNQGSLNAMWYAEVKELREKAESYRKR VQGTHFSRDHLNQIMSDSNCCWDVSSVTSSEGTVSSNIRALDLAGDLTNHRTPQKHPPTK LEERKVASGEQPLKNSTRRLEMPEPAASVRRKLAWDAEESTKEDTQEEPRAEEDGREERG QDKQTCAVELEKPDTQTPKADRLTEGSETSSVSSGKGGRLPTPRLRELGIQRTHHDLTTP AVGGAVLVSPSKVKPPGLEQRRRASSQDGLETLKKDITKKGKPRPMSLLTSPAAGMKTVD PLPLREDCEANVLRFADTLPVSKILDRQPSTPGQLPPCAPPYCHPSSRIQGRLRDPEFQH NMGKPRTNNLQLHPHDAFNDEDADRLSEISARSAVSSLRAFQTLARAQKRKENFWGKP >ENSMUSP00000020437.5 pep:known chromosome:GRCm38:10:118141787:118168997:1 gene:ENSMUSG00000020212.13 transcript:ENSMUST00000020437.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm1 description:transformed mouse 3T3 cell double minute 1 [Source:MGI Symbol;Acc:MGI:96951] MPVRFKGLSEYQRNFLWKKSYLSESYNPSVGQKYSWAGLRSDQLGITKEPGFISKRRVPY HDPQISKYLEWNGTVRKKDTLVPPEPQAFGTPKPQEAEQGEDANQEAVLSLEASRVPKRT RSHSADSRAEGVSDTVEKHQGVTRSHAPVSADVELRPSSKQPLSQSIDPRLDRHLRKKAG LAVVPTNNALRNSEYQRQFVWKTSKESAPVFASNQVFRNKSQIIPQFQGNTFTHETEYKR NFKGLTPVKEPKSREYLKGNSSLEMLTPVKKADEPLDLEVDMASEDSDQSVKKPASWRHQ RLGKVNSEYRAKFLSPAQYFYKAGAWTRVKENLSNQVKELREKAESYRKRVQGTHFSRDH LNQIMSDSNCCWDVSSVTSSEGTVSSNIRALDLAGDLTNHRTPQKHPPTKLEERKVASGE QPLKNSTRRLEMPEPAASVRRKLAWDAEESTKEDTQEEPRAEEDGREERGQDKQTCAVEL EKPDTQTPKADRLTEGSETSSVSSGKGGRLPTPRLRELGIQRTHHDLTTPAVGGAVLVSP SKVKPPGLEQRRRASSQDGLETLKKDITKKGKPRPMSLLTSPAAGMKTVDPLPLREDCEA NVLRFADTLPVSKILDRQPSTPGQLPPCAPPYCHPSSRIQGRLRDPEFQHNMGKPRTNNL QLHPHDAFNDEDADRLSEISARSAVSSLRAFQTLARAQKRKENFWGKP >ENSMUSP00000132966.1 pep:known chromosome:GRCm38:10:118141787:118168997:1 gene:ENSMUSG00000020212.13 transcript:ENSMUST00000164077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm1 description:transformed mouse 3T3 cell double minute 1 [Source:MGI Symbol;Acc:MGI:96951] MPVRFKGLSEYQRNFLWKKSYLSESYNPSVGQKYSWAGLRSDQLGITKEPGFISKRRVPY HDPQISKYLEWNGTVRKKDTLVPPEPQAFGTPKPQEAEQGEDANQEAVLSLEASRVPKRT RSHSADSRAEGVSDTVEKHQGVTRSHAPVSADVELRPSSKQPLSQSIDPRLDRHLRKKAG LAVVPTNNALRNSEYQRQFVWKTSKESAPVFASNQVFRNKSQIIPQFQGNTFTHETEYKR NFKGLTPVKEPKSREYLKGNSSLEMLTPVKKADEPLDLEVDMASEDSDQSVKKPASWRHQ RLGKVNSEYRAKFLSPAQYFYKAGAWTRVKENLSNQVKELREKAESYRKRVQGTHFSRDH LNQIMSDSNCCWDVSSVTSSEGTVSSNIRALDLAGDLTNHRTPQKHPPTKLEERKVASGE QPLKNSTRRLEMPEPAASVRRKLAWDAEESTKEDTQEEPRAEEDGREERGQDKQTCAVEL EKPDTQTPKADRLTEGSETSSVSSGKGGRLPTPRLRELGIQRTHHDLTTPAVGGAVLVSP SKVKPPGLEQRRRASSQDGLETLKKDITKKGKPRPMSLLTSPAAGMKTVDPLPLREDCEA NVLRFADTLPVSKILDRQPSTPGQLPPCAPPYCHPSSRIQGRLRDPEFQHNNADRLSEIS ARSAVSSLRAFQTLARAQKRKENFWGKP >ENSMUSP00000126258.1 pep:known chromosome:GRCm38:10:118141787:118168997:1 gene:ENSMUSG00000020212.13 transcript:ENSMUST00000169817.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdm1 description:transformed mouse 3T3 cell double minute 1 [Source:MGI Symbol;Acc:MGI:96951] MPVRFKGLSEYQRNFLWKKSYLSESYNPSVGQKYSWAGLRSDQLGITKEPGFISKRRVPY HDPQISKYLEWNGTVRKKDTLVPPEPQAFGTPKPQEAEQGEDANQEAVLSLEASRVPKRT RSHSADSRAEGVSDTVEKHQGVTRSHAPVSADVELRPSSKQPLSQSIDPRVFRNKSQIIP QFQGNTFTHETEYKRNFKGLTPVKEPKSREYLKGNSSLEMLTPVKKADEPLDLEVDMASE DSDQSVKKPASWRHQRLGKVNSEYRAKFLSPAQYFYKAGAWTRVKENLSNQGSLNAMWYA EVKELREKAESYRKRVQGTHFSRDHLNQIMSDSNCCWDVSSVTSSEGTVSSNIRALDLAG DLTNHRTPQKHPPTKLEERKVASGEQPLKNSTRRLEMPEPAASVRRKLAWDAEESTKEDT QEEPRAEEDGREERGQDKQTCAVELEKPDTQTPKADRLTEGSETSSVSSGKGGRLPTPRL RELGIQRTHHDLTTPAVGGAVLVSPSKVKPPGLEQRRRASSQDGLETLKKDITKKGKPRP MSLLTSPAAGMKTVDPLPLREDCEANVLRFADTLPVSKILDRQPSTPGQLPPCAPPYCHP SSRIQGRLRDPEFQHNMGKPRTNNLQLHPHDAFNDEDADRLSEISARSAVSSLRAFQTLA RAQKRKENFWGKP >ENSMUSP00000003971.7 pep:known chromosome:GRCm38:7:45367892:45370564:-1 gene:ENSMUSG00000003872.8 transcript:ENSMUST00000003971.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin7b description:lin-7 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:1330858] MAALVEPLGLERDVSRAVELLERLQRSGELPPQKLQALQRVLQSRFCSAIREVYEQLYDT LDITGSAEVRAHATAKATVAAFTASEGHAHPRVVELPKTDEGLGFNIMGGKEQNSPIYIS RVIPGGVADRHGGLKRGDQLLSVNGVSVEGEHHEKAVELLKAAQGSVKLVVRYTPRVLEE MEARFEKMRSARRRQQHHSYTSLESRG >ENSMUSP00000111514.3 pep:known chromosome:GRCm38:18:19960930:20002097:-1 gene:ENSMUSG00000059898.8 transcript:ENSMUST00000115848.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsc3 description:desmocollin 3 [Source:MGI Symbol;Acc:MGI:1194993] MVVPEFRSPQCRALCTKLLLTLWVFSFVGEACKKVTFHVPSTLEADKIIGRVSLKECLSS ADGIMPSDPDFRVLDDGSVYPTRAVVLSDEKRSFTIQLSDSKMQTQKEIPVILEHKKKVL KKRHTKETVLRRSKRRWAPIPCSMQENSLGPFPLFLQQVQSDAAQNYTVFYSISGRGADQ EPLNWFFIERDTGNLYCTRPVDREEYDVFDLIAYASTADGYSADLPLPLPIKIEDENDNY PLFTEAIYAFEVPEGSRLGTVVGTVCATDKDEPDTMHTRLKYSILEQTPPSPGLFSVHPD TGVITTVSHYMDREVVDKYKLIMKVQDMNGQFFGLISTSTCIITVQDSNDNAPTFRQNTY ETAVEENTYNVEILRIPVDDKDMINTANWKANFTILKGNENGWFKITTDPVTNEGVLCVV KPLDYEENRQVTLEIGVNNEAPFIKDVANRIPTMNRAMVTVHVKDQNEGPECKPPEQYVR IKENSAVGSKINGYKAYDPETKNSNGLRYKKLQDPKDWVSIEEVSGLLTISKTLDREIMA PRNDMYNITVMAIDQEGKSCTGTLAVNIEDVNDNAPEIIQDYIVICKPKMGYTDISAVDP DEPIHGPPFQFNLANTSPEVNRIWTLNQVNDTAARLSYQKTADVQIYNVPVTVKDRAGQS ATKILRVNLCDCTHPSQCPLRSRSAGITLGKWAILAILLGIALLFSVLLTLVCGVVTARK GKHFPEDLAQQNLIISNTEAPGDDRVCSANGFTTHTANNSSQGFCGTMGSGMRNGGQETI EMMKGHQTLDSCRVAGHHHTLDSGRGGHMDTDNCRYTYSEWHSFTQPRLGEKLHVCNQNE DHIPSQDYVLTYNYEGRGSPAGSVGCCSEKQEEEGLDFLNNLEPKFLTLAETCTKR >ENSMUSP00000079959.1 pep:known chromosome:GRCm38:9:38657458:38658390:-1 gene:ENSMUSG00000094701.1 transcript:ENSMUST00000081196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr916 description:olfactory receptor 916 [Source:MGI Symbol;Acc:MGI:3030750] MDSVNISLVTEFILVGLTDKPYLQIPLFFIFLAMYLVTALGNLSLIILTVLNSHLHTPMY FFLFNLSFVDFCYSSVFTPQMLMNFITRKNTISYMECMSQLYFFCFFVISECYVLTSMAY DRYVAICKPLLYNLVMSSKLCLNLMLVSYFIAFSESVAHTVCIMRLNFCDANKINHYFCD IPPLLQLSCTTTYINKLVVFVASSINIIVPISTIFISYGFILSSIFHIHSSEGRSKAFST CSSHIIAAFLFFGSGAFMYFQPSSAESMDEGKISSVFYTNVIPMMNPLLYSLRNKDIKVA LRKTLSKRNI >ENSMUSP00000075365.2 pep:known chromosome:GRCm38:7:46751833:46754314:1 gene:ENSMUSG00000057465.4 transcript:ENSMUST00000075982.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saa2 description:serum amyloid A 2 [Source:MGI Symbol;Acc:MGI:98222] MKLLTSLVFCSLLLGVCHGGFFSFIGEAFQGAGDMWRAYTDMKEAGWKDGDKYFHARGNY DAAQRGPGGVWAAEKISDARESFQEFFGRGHEDTMADQEANRHGRSGKDPNYYRPPGLPA KY >ENSMUSP00000110070.3 pep:known chromosome:GRCm38:9:51270258:51278554:-1 gene:ENSMUSG00000079559.3 transcript:ENSMUST00000114427.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm684 description:predicted gene 684 [Source:MGI Symbol;Acc:MGI:2685530] MTVKELLQQRRAHQATSGANLSGSSGLHLPDTTMPSSAGLYFEPEPTSSTPSYFQTREFS TCVSCEEIPSCLDQIFESYLQTDTLPEPLLNSAQIAPHYFPESCQVAPFCHNQSLIPGSP SDSSSLSGSFDCSYSPTQLPSYTPENYSSPPSLDSLHSSLPEEGYFCQHWPSHPQYNHSS PATPSSVCYYASCEAEHLDALRTTEFFSYSGMDCADFAPPVATTGDFYKRETSCDACYS >ENSMUSP00000038301.4 pep:known chromosome:GRCm38:12:8297934:8301954:-1 gene:ENSMUSG00000037660.4 transcript:ENSMUST00000037313.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdf7 description:growth differentiation factor 7 [Source:MGI Symbol;Acc:MGI:95690] MDLSAAAALCLWLLSACRPRDGLEAAAVLRAAGAGPAWSPGGGGGGRTLARAPGPSALQA AAVPGPRAVRRAAGSGFRNGSVVPHHFMMSLYRSLAGRAPVAAASGHGRVDTITGFTDQA TQDETAAAEPGQSFLFDVSSLSEADEVVNAELRVLRRRSPEPDRDSATLLPRLLLSTCPD EAGTAHLLHSRAAEPLGGARWEAFDVTDAVQSHRRWPRASRKFCLVLRAVTASESSPLAL RRLGFGWPGGGDGGGTAAEERALLVISSRTQRKESLFREIRAQARALRAAAEPPPDPGPG AGSRKANLGGRRRRRTALAGTRGAQGSGGGGGGGGGGGGGGGGGGGGAGRGHGRRGRSRC SRKSLHVDFKELGWDDWIIAPLDYEAYHCEGVCDFPLRSHLEPTNHAIIQTLLNSMAPDA APASCCVPARLSPISILYIDAANNVVYKQYEDMVVEACGCR >ENSMUSP00000034644.8 pep:known chromosome:GRCm38:9:44348106:44361670:-1 gene:ENSMUSG00000032127.8 transcript:ENSMUST00000034644.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps11 description:vacuolar protein sorting 11 (yeast) [Source:MGI Symbol;Acc:MGI:1918982] MAAYLQWRRFVFFEKELVKEPLGNDGAAPGAAPVSGSAASKFLCLPPGITVCDSGRGSLV FGDMEGQIWFLPRSLQLTGFQAYKLRVTHLYQLKQHNILASVGEDEEGINPLVKIWNLEK RDGGNPLCTRIFPAIPGTEPTVVSCLTVHENLNFMAIGFTDGSVTLNKGDITRDRHSKTQ ILHKGNYPVTGLAFRQAGKTTHLFVVTTENVQSYIVSGKDYPRVELDTHGCGLRCSALSD PSQDLQFIVAGDECVYLYQPDERGPCFAFEGHKLIVHWFRGYLVIVSRDRKVSPKSEFTS RDSQNSDKQILNIYDLCNKFIAYSAGFEDIVDVLAEWGSLYVLTRDGRVHALQEKDTQTK LEMLFKKNLFEMAINLAKSQHLDSDGLAQIFMQYGDHLYSKGNHDGAVQQYIRTIGKLEP SYVIRKFLDAQRIHNLTAYLQTLHRQSLANADHTTLLLNCYTKLKDSSKLEEFIKTKSES EVHFDVETAIKVLRQAGYYSHALYLAENHAHHEWYLKIQLEDIKNYQEALRYIGKLPFEQ AESNMKRYGKTLMHHIPEQTTQLLKGLCTDYRPSLEGRGDREALSCRASSEEFIPIFANN PRELKAFLEHMSEVQPDSPQGIYDTLLELRLQNWAHEKDPQAKEKLHAEAISLLKSGRFC DVFDKALVLCQMHDFQDGVLYLYEQGKLFQQIMHYHMQHEQYRQVIAVCERHGEQEPSLW EQALSYFARKEEDCKEYVAAVLRHIENKSLMPPLLVVQTLAHNSTATLSIIRDYLVQKLQ KQSQQIAQDELRVRRYREETTRIRQEIQELKASPKIFQKTKCSICNSALELPSVHFLCGH SFHQHCFESYSESDADCPTCLPENRKVMDMIRAQEQKRDLHDQFQHQLKCSNDSFSVIAD YFGRGVFNKLTLLTDPPTARLTPSLEAGLQRDLLMHSRRGT >ENSMUSP00000024696.7 pep:known chromosome:GRCm38:17:23629458:23645269:-1 gene:ENSMUSG00000023903.7 transcript:ENSMUST00000024696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp25 description:matrix metallopeptidase 25 [Source:MGI Symbol;Acc:MGI:2443938] MCFPGSQISPARLYYLVSAPWICTGSLTSSRLPRRRESGPLRVPPRSVQAERILRLPAFG LPLLALLLVPLLPVRAQNPDAKVVSMGVEWLTRYGYLPPADPVHAQMQSLEKLQDAIKVM QRFAGLPETGQMDPMTIKTMRKPRCSLPDVLGAAGLVRRRRRYSLSGSVWKKRTLTWSIR SFSQKSQLSPQIVRTLLSYALAVWATESGLTFQEVNSQYQEPDIIIHFARAYHQDSYPFD GSGGTLAHAFFPGEHPISGDTHFDDEETWTFGSTDDNGIDLFAVAVHEFGHALGLGHSSA PNSIMRPFYQGPVGDPATYRLPQDDRDGLQQLYGRVSQNPNARPTRKPLVPPPQPPAMPP DSPATPVPDRCEGNFDAVANIRGEIFLFKGPWFWRLQPSGQLVSPRPAGLHRFWEGLPTH VKVIQAAYARPLDGRIILFSGPQFWVFQERQLEGAARPLVEFGLPPGEDVDAVFSWPHNG KTYLIRGQKYWRYDEVAARPDPGYPRALSLWDGAPFAPDDVTISNTGDTYFFKGTHFWRF AEGSVKAESDSPQPIGPKWLDCPAPNSDPRVTSPPKTTSKTRSCDCHCELNQASEQLSPL LLPLLPLVAGEVFSY >ENSMUSP00000035515.2 pep:known chromosome:GRCm38:9:65346068:65359642:1 gene:ENSMUSG00000041837.3 transcript:ENSMUST00000048184.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd7 description:programmed cell death 7 [Source:MGI Symbol;Acc:MGI:1859170] MALPPFFAQSRQGPPPPQPPPSAPFGCPPPPLPSPAFPPPLPQRPGPFPGASAPFLQPPL ALQPRAPAEASRGGGGGGAFFPVPPPPLPPPPPQCRPFPGPDAVERPRPPPPGPGPPWSP RWAEAPPPPDVLGDAALQRLRDRQWLEAVFGNPRRPGGLRTPRTPAGPSLGEVRARLRSA LRLVRRLRSLGQSLREAEADGAAWASLHAQAAPLRAELAERLQPLAQAAYVGEARRRLER VRRRRLRLRERARDREAEREAEAAQATEREQEIDRWRVKCVQEVEEKKREQELKAAADGV LAEVRKKQSDTKRMVDILRALEKLRKLRKEAAARKGVCPPASADETFEHHLQRLRKLIKK RSELYEAEERALRVMLEGEQEEERRRELEKKQRKEKEKLLLQKREIESKLFGDPDEFPLA HLLQPFRQYYLQAEHSLPALIQIRHDWDQYLVPSDHPKGNSVPQGWVLPPLPSNDIWATA IQLH >ENSMUSP00000020908.7 pep:known chromosome:GRCm38:12:16810965:16826743:1 gene:ENSMUSG00000057469.7 transcript:ENSMUST00000020908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f6 description:E2F transcription factor 6 [Source:MGI Symbol;Acc:MGI:1354159] MSQQRTARRQPSLLVDPAQETVRRRCRDPINVENLLPSKIRINLEENVQYVSMRKALKVK RPRFDVSLVYLTRKFMDLVRSAPGGILDLNKVATKLGVRKRRVYDITNVLDGIELVEKKS KNHIRWIGSDLNNFGAAPQQKKLQAELSDLSAMEDALDELIKDCAQQLLELTDDKENERL AYVTYQDIHGIQAFHEQIVIAVKAPEETRLDVPAPREDSITVHIRSTKGPIDVYLCEVEQ NHSNGKTNDGIGASPSKSSHPQCPEKEDEPPQ >ENSMUSP00000007139.4 pep:known chromosome:GRCm38:9:120492606:120495327:1 gene:ENSMUSG00000006941.4 transcript:ENSMUST00000007139.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif1b description:eukaryotic translation initiation factor 1B [Source:MGI Symbol;Acc:MGI:1916219] MSTIQNLQSFDPFADATKGDDLLPAGTEDYIHIRIQQRNGRKTLTTVQGIADDYDKKKLV KAFKKKFACNGTVIEHPEYGEVIQLQGDQRKNICQFLLEVGIVKEEQLKVHGF >ENSMUSP00000055984.6 pep:known chromosome:GRCm38:17:25120760:25125268:1 gene:ENSMUSG00000044172.6 transcript:ENSMUST00000054930.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptx4 description:pentraxin 4 [Source:MGI Symbol;Acc:MGI:1915759] MRCLKKKTLLFLLIFVSLNVHRTPSQEAHPARQRKPFFERLRRLEEQFQRFQQVTLTHLQ NIANNYNVYHNMDVRFQSLVEQSQAVALAMNQSQAAIQGDVAHLKTWYRKSQRRSQKVDA RLQALDLSLSTKSRQWVEKEGEQKAQREAIASLALSVQALQDALASLTQQVHSQGARLAA LEGQTQRASSGTVALGLTTAPNPTQLAQRGPGSLQLWRDRQVAKSSPQHRSSPHDVTVHV QEMQKFQTPSSHQAAPPRTYQGPGNICNTDPVLIFPNTSTENVIFLSPGFLMPLRALSFC SWVRMATSHLGTLLSYATKDNDNKLVLHGRNSLVPGSIHFVIGDPDFRELSLKPLLDGQW HHICIIWTSVEGKYWLHIDRRLVATGSRFREGYEIPPGGSLVLGQEQDTVGGEFDSSEAF VGSISGLAIWDRALLPREVANLASGKELPTGAILTLTNVTSVGGFVQRAKCTCLEQCPYA TP >ENSMUSP00000095826.1 pep:known chromosome:GRCm38:7:102604356:102605309:1 gene:ENSMUSG00000073973.3 transcript:ENSMUST00000098223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr552 description:olfactory receptor 552 [Source:MGI Symbol;Acc:MGI:3030386] MLVNNITSTHPVAFLLMGIPGLEHLHIWISIPFCSAYTLAVLGNCTLLFIIRVDAALHEP MYLFLAMLAAIDLVLSSSTLPKMLSLFWFRDREINFHACLIQMFFLHSFAIMESAMLLAM AFDRYVAICKPLHYTTILTKPLIIKIGLAAVTRAVTLMTPLPFLLRRFHYCRGTVIAHCY CEHMAVVRLACGDTRFNNIYGIAVAMFIVVLDLLFVILSYIFILRAVLQLASQEARYKAF GTCVSHIGAILAFYTPVVISSVMHRVARRAAPHVHILLANFYLLFPPMVNPIIYGVKTKQ IRERVLGLFLRKDLKGE >ENSMUSP00000034303.1 pep:known chromosome:GRCm38:8:117791645:117801929:-1 gene:ENSMUSG00000031843.1 transcript:ENSMUST00000034303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mphosph6 description:M phase phosphoprotein 6 [Source:MGI Symbol;Acc:MGI:1915783] MASERKTKLSKNLLRMKFMQRGLDSETKKQLEEEERKMISDEHWYLDLPELKEKESFIVE EQSFSLCEDLLYGRMSFRGFNPEVEKLMLQMNSKNRAEAAEEDETVEVDVSDEEMARRYE TLVGTIGKKFVKKRDRANYEEDENGTIKAIKPKKMFLKPQD >ENSMUSP00000100549.2 pep:known chromosome:GRCm38:13:12702362:12702589:1 gene:ENSMUSG00000078141.2 transcript:ENSMUST00000104944.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2399 description:predicted gene 2399 [Source:MGI Symbol;Acc:MGI:3780567] MLDASGCSWAMWTWALLQLLLLVGPGGCLNRQELFPFGPGQGDLELEAGDDVVSPSLELI GELSFYDRTDITSVYV >ENSMUSP00000095813.1 pep:known chromosome:GRCm38:7:103041481:103042440:1 gene:ENSMUSG00000073961.1 transcript:ENSMUST00000098212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr582 description:olfactory receptor 582 [Source:MGI Symbol;Acc:MGI:3030416] MMHSLMLASRNNSSHSTFFILLGIPGLENYQFWVAFPFCVMYIVAVTGNITILHIIRIDH TLHEPMYLFLAMLATTDLVLSSSTQPKMLAILWFHDHKIEYHACLIQVFFIHAFSSVESG VLMDMALDRYVAICFPLRHSSILTTSVVIKLGVAVMVRGLLWVSPFCFMVSRMPFCPNKV IPQSYCEHMAVLKLVCADTRVNRGYGLFVAFSVAVFDLAVISVSYAMILRAVLRLPSGEA RLKAFGTCASHIGVILTLYIPALFTFLTHRFGHHVPRIVHIMFANVYLLVPPMLNPIIYG VRTKQIRDRVTQAFCGKGS >ENSMUSP00000000175.4 pep:known chromosome:GRCm38:9:50596338:50603849:-1 gene:ENSMUSG00000000171.4 transcript:ENSMUST00000000175.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdhd description:succinate dehydrogenase complex, subunit D, integral membrane protein [Source:MGI Symbol;Acc:MGI:1914175] MAVLLKLGVLCSGQGARALLLRSRVVRPAYVSAFLQDQPTQGRCGTQHIHLSPSHHSGSK AASLHWTSERVVSVLLLGLIPAGYLNPCSVVDYSLAAALTLHSHWGLGQVVTDYVHGDTL PKAARAGLLALSALTFAGLCYFNYHDVGICRAVAMLWKL >ENSMUSP00000044371.4 pep:known chromosome:GRCm38:8:119561979:119575200:-1 gene:ENSMUSG00000034189.4 transcript:ENSMUST00000036049.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsdl1 description:hydroxysteroid dehydrogenase like 1 [Source:MGI Symbol;Acc:MGI:1919802] MAAVDSFYLLYREIARSCNCYMEALALVGAWYTARKSITVICDFYSLVRLHFIPRLGSRP DLIKQYGRWAVISGATDGIGKAYAEELASHGLNVILISQEEEKLQAAAKHIADTYRVETL VLVADFSRGREIYAPIREALRDRDIGILVNDVGAFYPYPQYFSQVPEDTLWDIVNVNIAA ASLMVHIVLPGMVERKKGAIVTVSSGSCCKPTPQLAAFSASKAYLDHFSRALQYEYASKG IFVQSLIPFYVTSSGAAPASFLHRCPWLAPSPRVYAQHAVSTLGISKRTTGYWSHSIQFL FAQYMPEWLWVWGANLLNRSLRKEALSCQA >ENSMUSP00000065295.7 pep:known chromosome:GRCm38:15:58341874:58364148:-1 gene:ENSMUSG00000055114.7 transcript:ENSMUST00000068515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa13 description:annexin A13 [Source:MGI Symbol;Acc:MGI:1917037] AKERSHHHGFDADRDAKKLYKACKGMGTDEAAIIEVLSSRTSEERQQIKQKYKEKYGKDL EEVLNSELSGNFKKTALALLDRPNEYAARQLQKAMKGVGTDEAMLIEILCTRSNKEIVAI KEAYQRLFGRSLESDVKEDTSGNLRKILVSLLQSTPSSESPLLSRGMTIPPCDARGRTGT LKVLALNLAPFLRKLRLTATRALIGKDMEETIEEETSGDLKKAYLTIVRCAQDLEGYFAD LLYKAMKGMGTDEETLIRIIVTRAEVDLQGIKAKFQEKYQKSLSDMVHSDTSGDFRKLLV ALLH >ENSMUSP00000078339.4 pep:known chromosome:GRCm38:17:78491565:78493541:1 gene:ENSMUSG00000061062.4 transcript:ENSMUST00000079363.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10093 description:predicted pseudogene 10093 [Source:MGI Symbol;Acc:MGI:3704479] MAQTQGTKRKVCYYYDGDVGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEIYRPHKAN AEEMTKYHSDDYIKFLRSIRPDNMSEYSKQMQRFNVGEDCPVFDGLFEFCQLSTGGSVAS AVKLNKQQTDIAVNWAGGLHHAKKSEASGFCYVDDIVLAIPELLKYHQRVLYIDIDIHHG DGVEEAFYTTDRVMTVSFHKYGEYFPGTGDLRDIGAGKGKYYAVNYPLRDGIDDESYEAT FKPVMSKVMEMFQPSAVVLQCGSDSLSGDRLGCFNLTIKGHAKCVEFVKSFNLPMLMLGG GGYTIRNVARCWTYETAVALDTEIPNELPYNDYFEYFGPDFKLHISPSNMTNQNTNEYLE KIKQRLFENLRMLPHAPGVQMQAIPEDAIPEESGDEDEEDPDKRISICSSDKRIACEEEF SDSDEEGEGGRKNSSNFKKAKRVKTEDEKEKDPEEKKEVTEEEKTKEEKPEAKGVKEEVK LA >ENSMUSP00000055803.9 pep:known chromosome:GRCm38:9:114401076:114474376:1 gene:ENSMUSG00000045594.9 transcript:ENSMUST00000063042.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1 description:galactosidase, beta 1 [Source:MGI Symbol;Acc:MGI:88151] MLRVPLCTPLPLLALLQLLGAAHGIYNVTQRTFKLDYSRDRFLKDGQPFRYISGSIHYFR IPRFYWEDRLLKMKMAGLNAIQMYVPWNFHEPQPGQYEFSGDRDVEHFIQLAHELGLLVI LRPGPYICAEWDMGGLPAWLLEKQSIVLRSSDPDYLVAVDKWLAVLLPKMKPLLYQNGGP IITVQVENEYGSYFACDYDYLRFLVHRFRYHLGNDVILFTTDGASEKMLKCGTLQDLYAT VDFGTGNNITQAFLVQRKFEPKGPLINSEFYTGWLDHWGKPHSTVKTKTLATSLYNLLAR GANVNLYMFIGGTNFAYWNGANTPYEPQPTSYDYDAPLSEAGDLTKKYFALREVIQMFKE VPEGPIPPSTPKFAYGKVALRKFKTVAEALGILCPNGPVKSLYPLTFTQVKQYFGYVLYR TTLPQDCSNPKPIFSSPFNGVRDRAYVSVDGVPQGILDRNLMTALNIRGKAGATLDILVE NMGRVNYGRFINDFKGLISNMTINSTVLTNWTVFPLNTEAMVRNHLWGREASDEGHLDGR STSNSSDLILPTFYVGNFSIPSGIPDLPQDTFIQFPGWSKGQVWINGFNLGRYWPTMGPQ KTLFVPRNILTTSAPNNITVLELEFAPCSEGTPELCTVEFVDTPVIS >ENSMUSP00000026411.6 pep:known chromosome:GRCm38:10:128790953:128800824:1 gene:ENSMUSG00000025355.6 transcript:ENSMUST00000026411.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp19 description:matrix metallopeptidase 19 [Source:MGI Symbol;Acc:MGI:1927899] MDWQQLWLAFLLPMTVSGRALGPTEKEAVLDYLLQYGYLQKPLEGADDFRLEDITEALRT FQEASGLPISGQMDDATRARMKQPRCGLEDPFNQKSLKYLLLGHWRKKNLTFRIFNVPST LSLPRVRAALHQAFKYWSSVAPLTFREVKAGWADIRLSFHGRQSLYCSNTFDGPGKVLAH ADIPELGSIHFDKDELWTEGTYQGVNLRIIAAHEVGHALGLGHSRYTQALMAPVYAGYQP FFKLHPDDVAGIQALYGKRSPETRDEEEETEMLTVSPVTAKPGPMPNPCSGEVDAMVLGP RGKTYAFKGDYVWTVTDSGPGPLFQISALWEGLPGNLDAAVYSPRTRRTHFFKGNKVWRY VDFKMSPGFPMKFNRVEPNLDAALYWPVNQKVFLFKGSGYWQWDELARTDLSRYPKPIKE LFTGVPDRPSAAMSWQDGQVYFFKGKEYWRLNQQLRVAKGYPRNTTHWMHCGSQTPDTNS STGDVTPSTTDTVLGTTPSTMGSTLDIPSATDSASLSFSANVTLLGA >ENSMUSP00000096744.2 pep:known chromosome:GRCm38:2:156856616:156857946:-1 gene:ENSMUSG00000074629.2 transcript:ENSMUST00000099141.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930518I15Rik description:RIKEN cDNA 4930518I15 gene [Source:MGI Symbol;Acc:MGI:1921954] MTSQLKPLCPGKKVPKAHQFATVFFFFFNCRAFMRHFTSKPHTEYYLSDGITSCCVA >ENSMUSP00000024894.1 pep:known chromosome:GRCm38:17:79706953:79715041:-1 gene:ENSMUSG00000024087.3 transcript:ENSMUST00000024894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp1b1 description:cytochrome P450, family 1, subfamily b, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88590] MATSLSADSPQQLSSLSTQQTTLLLLFSVLAAVHLGQWLLRQWQRKPWSSPPGPFPWPLI GNAAAVGQASHLYFARLARRYGDVFQIRLGSCPVVVLNGESAIHQALVQQGSIFADRPPF ASFRVVSGGRSLAFGHYSEHWKTQRRSAYSTMRAFSTRHPRSRGLLEGHALAEARELVAV LVRRCAGGAFLDPTQPVIVAVANVMSAVCFGCRYNHDDAEFLELLSHNEEFGRTVGAGSL VDVLPWLQLFPNPVRTTFRKFEQLNRNFSNFVLDKFLRHRESLVPGAAPRDMTDAFILSA EKKASGAPGDDSSGLDLEDVPATITDIFGASQDTLSTALLWLLILFTRYPDVQARVQAEL DQVVGRDRLPCMSDQPNLPYVMAFLYESMRFSSFLPVTIPHATTANTFVLGYYIPKNTVV FVNQWSVNHDPAKWPNPEDFDPARFLDKDGFINKALASSVMIFSVGKRRCIGEELSKMLL FLFISILAHQCNFKANQNESSNMSFSYGLTIKPKSFRIHVSLRESMELLDNAVKKLQTEE GCK >ENSMUSP00000098210.3 pep:known chromosome:GRCm38:14:51114565:51117791:1 gene:ENSMUSG00000072575.3 transcript:ENSMUST00000100645.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eddm3b description:epididymal protein 3B [Source:MGI Symbol;Acc:MGI:2684921] MSLVPALMSSLKAWALLLLLLCLQHSLLAQSTSRRQFMEHHHLSPNKMFSDYNCDVLMTD KAVKPKLSHMFVYMTWYKVEHICIGSNWRDRYKNMYIWAQTPIKVLRCQWESLKNRYTER RSYSYVQFHCNADGYVDSIEDIKALEPIL >ENSMUSP00000081877.2 pep:known chromosome:GRCm38:7:102713455:102714411:-1 gene:ENSMUSG00000066273.5 transcript:ENSMUST00000084817.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr33 description:olfactory receptor 33 [Source:MGI Symbol;Acc:MGI:109302] MISSKAFVNITFFQPQSFLMTGIPGLEFAHGWISIPFSSMYTVALTGNCLILLAVRRTHS LHQPMYYFLSMLALSDVGLSLSTLPSTLAVLWFDYRFIDFNACLIQMFFLHFFSVVESSV LLAMSFDRFVAISNPLRYASVLTNNVIIRIGVAITTRATLSLLPLPFLLKRLNYCPGKIL LSHSFCFHADVMKLACADITVNILYGLYVVLSTVGIDSLLIVMSYSLILHTVMGLASPRE RVRTLNTCVSHILAVLVFYIPVIGVSMIHRFGKHLPHIVHALVAYVYLVVPPVLNPIIYS VKSKPIRGAMFRVLSRKG >ENSMUSP00000077321.2 pep:known chromosome:GRCm38:7:102887207:102888151:-1 gene:ENSMUSG00000062142.4 transcript:ENSMUST00000078191.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr569 description:olfactory receptor 569 [Source:MGI Symbol;Acc:MGI:3030403] MVASSNSSSHPLFFMLLGIPGLENYQFWIAFPFCVMYIVALTGNITILYIIRIDHTLHEP MYLFLALLAITDLVLSSSTQPKMLAILWFHSHEIEYNACLIQVFFIHAFSSVESGVLMTM ALDRYVAICFPLRHSSILTTSVVIKLGAAVMVRGLLWVSPFCFMVSRMPFCPNKVIPQSY CEHMAVLKLVCADTRVNRGYGLFVAFSVVGFDIIVISVSYVMILRAVLRLPSGEARLKAF GTCASHVCVILAFYIPALFTFLTHRFGHHVPRVVHIMFANFYLLVPPMLNPIIYGVRTKQ IRDRVIRGFRRKDP >ENSMUSP00000128249.1 pep:known chromosome:GRCm38:9:52047150:52088737:1 gene:ENSMUSG00000032050.16 transcript:ENSMUST00000163153.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdx description:radixin [Source:MGI Symbol;Acc:MGI:97887] MPKPINVRVTTMDAELEFAIQPNTTGKQLFDQVVKTVGLREVWFFGLQYVDSKGYSTWLK LNKKVTQQDVKKENPLQFKFRAKFFPEDVSEELIQEITQRLFFLQVKEAILNDEIYCPPE TAVLLASYAVQAKYGDYNKEIHKPGYLANDRLLPQRVLEQHKLTKEQWEERIQNWHEEHR GMLREDSMMEYLKIAQDLEMYGVNYFEIKNKKGTELWLGVDALGLNIYEHDDKLTPKIGF PWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILALCMGNHELYMRRRKPDTI EVQQMKAQAREEKHQKQLERAQLENEKKKREIAEKEKERIEREKEELMERLRQIEEQTVK AQKELEEQTRKALELEQERQRAKEEAERLDRERRAAEEAKSAIAKQAADQMKNQEQLAAE LAEFTAKIALLEEAKKKKEEEATEWQHKAFAAQEDLEKTKEELKTVMSAPPPPPPPPVIP PTENEHDEQDENSAEASAELSSEGVMNHRSEEERVTETQKNERVKKQLQALSSELAQARD ETKKTQNDVLHAENVKAGRDKYKTLRQIRQGNTKQRIDEFEAM >ENSMUSP00000000590.8 pep:known chromosome:GRCm38:9:52047941:52088737:1 gene:ENSMUSG00000032050.16 transcript:ENSMUST00000000590.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdx description:radixin [Source:MGI Symbol;Acc:MGI:97887] MPKPINVRVTTMDAELEFAIQPNTTGKQLFDQVVKTVGLREVWFFGLQYVDSKGYSTWLK LNKKVTQQDVKKENPLQFKFRAKFFPEDVSEELIQEITQRLFFLQVKEAILNDEIYCPPE TAVLLASYAVQAKYGDYNKEIHKPGYLANDRLLPQRVLEQHKLTKEQWEERIQNWHEEHR GMLREDSMMEYLKIAQDLEMYGVNYFEIKNKKGTELWLGVDALGLNIYEHDDKLTPKIGF PWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILALCMGNHELYMRRRKPDTI EVQQMKAQAREEKHQKQLERAQLENEKKKREIAEKEKERIEREKEELMERLRQIEEQTVK AQKELEEQTRKALELEQERQRAKEEAERLDRERRAAEEAKSAIAKQAADQMKNQEQLAAE LAEFTAKIALLEEAKKKKEEEATEWQHKAFAAQEDLEKTKEELKTVMSAPPPPPPPPVIP PTENEHDEQDENSAEASAELSSEGVMNHRSEEERVTETQKNERVKKQLQALSSELAQARD ETKKTQNDVLHAENVKAGRDKYKTLRQIRQGNTKQRIDEFEAM >ENSMUSP00000055303.9 pep:known chromosome:GRCm38:9:52047941:52075177:1 gene:ENSMUSG00000032050.16 transcript:ENSMUST00000061352.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdx description:radixin [Source:MGI Symbol;Acc:MGI:97887] MPKPINVRVTTMDAELEFAIQPNTTGKQLFDQVVKTVGLREVWFFGLQYVDSKGYSTWLK LNKKVTQQDVKKENPLQFKFRAKFFPEDVSEELIQEITQRLFFLQVKEAILNDEIYCPPE TAVLLASYAVQAKYGDYNKEIHKPGYLANDRLLPQRVLEQHKLTKEQWEERIQNWHEEHR GMLREDSMMEYLKIAQDLEMYGVNYFEIKNKKGTELWLGVDALGLNIYEHDDKLTPKIGF PWSEIRNISFNDKKFVIKPIDKKAPDFVFYAPRLRINKRILALCMGNHELYMRRRKPDTI EVQQMKAQAREEKHQKQLERAQLENEKKKREIAEKEKERIEREKEELMERLRQIEEQTVK AQKGCIIKVLMVYIQNSERSTEEYKADRA >ENSMUSP00000025290.5 pep:known chromosome:GRCm38:18:12972252:12992948:1 gene:ENSMUSG00000024423.5 transcript:ENSMUST00000025290.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impact description:impact, RWD domain protein [Source:MGI Symbol;Acc:MGI:1098233] MAEEEVGNSQRQSEEIEAMAAIYGEEWCVIDENAKIFCIRVTDFMDDPKWTLCLQVMLPS EYPGTAPPSYQLNAPWLKGQERADLSNSLEEIYVHNMGESILYQWVEKIRDALIQKSQIT EPDPDVKKKTEEVEVESEEDPILEHPPENPVKTLDLKISEETQPETEELPPVAHGVPITD RRSTFQAHVAPVVCPEQVKLVLAKLYENKKIASATHNIYAYRIFCEDKQTFLQDCEDDGE TAAGGRLLHLMEILNVKNVMVVVSRWYGGILLGPDRFKHINNCARNILVEKNFTNTPDES TKNLGKKKVKKDKKKNDH >ENSMUSP00000025439.3 pep:known chromosome:GRCm38:18:73770040:73815392:-1 gene:ENSMUSG00000024556.3 transcript:ENSMUST00000025439.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Me2 description:malic enzyme 2, NAD(+)-dependent, mitochondrial [Source:MGI Symbol;Acc:MGI:2147351] MFSRLRAVTTPCTLTCRRVHLKEKGKPLMLNPRTNKGMAFTLQERQMLGLQGLLPPKIET QDIQALRFHRNLKKMTSPLEKYIYIMGIQERNEKLFYRILQDDIESLMPIVYTPTVGLAC CQYGHIFRRPKGLFISISDRGHVRSIVDNWPENHVKAVVVTDGERILGLGDLGVYGMGIP VGKLCLYTACAGIQPEKCLPVCIDVGTDNMALLKDPFYMGLYQKRDRSQLYDDLMDEFMK AITDRYGRNTLIQFEDFGNHNAFRFLRKYQQKYCTFNDDIQGTAAVALSGLLAAQRVINK PVSEHKILFLGAGEAALGIANLIVLSMVESGLSEEEAQRKIWMFDKSGLLVKGRTASIDS NQEPYAHAAPESIPATFEDAVNKLKPSVIIGVAGAGPLFTHGVIKAMASINERPIIFALS NPTAQAECTAEDAYTLTEGRCLFASGSPFEPVKLQDGRVFTPGQGNNAYIFPGVALAVIL CEARHISDTVFLEAAKALTTQLTDAELAQGRLYPSLANIQEVSANIAIKLAEYLYANKMA FRYPEPEDKARYVRERIWRSNYVSLLPDVYDWPESSLTPPQITEEKLPH >ENSMUSP00000136515.1 pep:known chromosome:GRCm38:19:4625841:4698668:-1 gene:ENSMUSG00000071691.10 transcript:ENSMUST00000177696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm960 description:predicted gene 960 [Source:MGI Symbol;Acc:MGI:2685806] MWEVEPGPRHRPQNRRQSHLGSRASLGILHYAAAAFQGAALENAEGGQSALKRAEGRVLA RPWESLRSSRRPASSRLASNLGRCATCPPAHPPTDAGRLGQLPQCAGARAGGGADARSGG KGLPGVGALRVEALAEEEAVTNWQSQSCSRSPYRMERTALAVCEILRYLIIHWKCEAGTA KGTLLDGQLVISIEALRSKHLPDSLHCIITIASTRSVYGGLNFKKFLQEIQPALPRLSAK LALASEEGGRSQDASGIAPCQVTFEVDENSQSLMTDCLVIKHFLRKIIIVHHKLKFSFSV AVNGTLSAETFGAENEPTLRLDNGVTLVVGFQRYVSKPKLNWSEAHCSRIHPVLGHPAPL FIPDAKADTGLLGELTLTPAAALCPSPKGFSSQLCRISSVSIFLYGPLGLPLLSSDQDQP STAVFRDTSYFIDWKKYNLFMVPNLDLNLDTQSVLPDVNYKAESPEGNQSQNMNAQGPAL LLFLFVDFQSDVPVQQAKIWGLHTLLTAHLSAILSESRSTVQQSIQSAVDQVWQLYHHDA KTQQRLQASLSVAVNSIMSVLTGSTRSSFRKTCLQALEAADTQEFGVKLHRIFYDITQHQ FLKHCSCDTEQHLTPEKNISAQNTKDQHKNIAQEFPEESIGQAENKRPKRGSPNHGREES RVLGSARDRSPPKSATRDRELTEVSLTARGSQTQAAHGRAQAAEAASPAGGLEVPQREQG GCGPELPPPGPHHRLLCSRRTCGYRRYPICPSG >ENSMUSP00000094049.3 pep:known chromosome:GRCm38:19:4648317:4698315:-1 gene:ENSMUSG00000071691.10 transcript:ENSMUST00000096325.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm960 description:predicted gene 960 [Source:MGI Symbol;Acc:MGI:2685806] MERTALAVCEILRYLIIHWKCEAGTAKGTLLDGQLVISIEALRSKHLPDSLHCIITIAST RSVYGGLNFKKFLQEIQPALPRLSAKLALASEEGGRSQDASGIAPCQVTFEVDENSQSLM TDCLVIKHFLRKIIIVHHKLKFSFSVAVNGTLSAETFGSGRE >ENSMUSP00000085399.2 pep:known chromosome:GRCm38:8:9864251:9870315:1 gene:ENSMUSG00000067627.2 transcript:ENSMUST00000088080.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10217 description:predicted gene 10217 [Source:MGI Symbol;Acc:MGI:3642099] LSLSLSLSLSVCVCVCVCVCVCVCVKNKLCVCVCVCVCVCVCVCV >ENSMUSP00000058286.5 pep:known chromosome:GRCm38:9:67830532:67832330:-1 gene:ENSMUSG00000047990.5 transcript:ENSMUST00000054500.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd4a description:C2 calcium-dependent domain containing 4A [Source:MGI Symbol;Acc:MGI:3645763] MWCLERLLRDRDGFLPGRVHRSKARSSAACANVLTPDRIPEFFIPPRLEPSTAWAALREA WAADTEADDGAGRTDWDPRSQAALSLPHLPRARTAYGFCALLESPHTRRKESLFLGHPGV PVRRPGLRHRTHTYASPRRVPDAPRPAPGDPDAARVPTPTPAPSRRRLLRSPGKLLSRAL RAPRRLASARPPCRGDEHERAASCAPPVPSSPDPERLQAEASVALGRGGCTLRLAAEYCP RSACLRLRLLRAEGPAAALEPRALGCRLSLVLRPSGQQRASVVRRSRKAALDQDCCFDRL PEEQLRRLAVRIKAESKGRRLGQGELLLGSLLLL >ENSMUSP00000136395.1 pep:known chromosome:GRCm38:13:49945096:49945335:-1 gene:ENSMUSG00000094886.1 transcript:ENSMUST00000178715.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10784 description:predicted pseudogene 10784 [Source:MGI Symbol;Acc:MGI:3642059] MAFTLYSLMQAALLCISVLQEEHFLKNIGWGTDQGISGFGEESGIKSQLMNLIRSVRTVM RVPLTIVSSITIVLLLLFG >ENSMUSP00000021645.7 pep:known chromosome:GRCm38:12:83520466:83541988:1 gene:ENSMUSG00000021222.8 transcript:ENSMUST00000021645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf4 description:DDB1 and CUL4 associated factor 4 [Source:MGI Symbol;Acc:MGI:1921078] MDRNIWKSRRRRGRSRHQSPALGQCDSSERYATGASQSSQDSGHHDAESPSTSSSRTGES SVPELPGFYFDPEKNRYFRLLPGHNNCNPLTKEGIQQKEMESRRLQLLQQEDMQKKKITR VGFNASSILRKNQLGFLNFSSYCRLSHELRVSCMERKKVEIQSSDPSALASDRFNFIMAD TTSDRLFTVNDVKIGGSKYGIINLQGLKAPTFEVQMHENLYFTNRKVNSVCWASLNHLDS HILLCLMGLAETPGCATLLPASLFVSNHQAGTDQPGMLCSFRIPGAWSCAWSLNVQANNC FSTGLSRRVLLTNVVTGHRQSYRINSDVLAQQFAVKTPLLFNGCRSGEIFAIDLRSPSQA KGWKATQIFHDSAVTSVQVFKEEQHLMASDMSGKIKLWDLRATKCVRQYEGHVNEYAYLP LHMHEEEGILVAVGQDCYTRIWSLHDARLLRTIPSPCPTSKANIPSVAFSPRLGGSRGAP GLLMAVQQDLYCFAYSSSCPDSQEEGRWELPSVSNEDIL >ENSMUSP00000107693.1 pep:known chromosome:GRCm38:2:72971548:72986716:-1 gene:ENSMUSG00000079286.1 transcript:ENSMUST00000112062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11084 description:predicted gene 11084 [Source:MGI Symbol;Acc:MGI:3779315] VQGRGVEFIPGEVFLQIGLSCHK >ENSMUSP00000025363.5 pep:known chromosome:GRCm38:18:36504929:36515805:-1 gene:ENSMUSG00000024486.5 transcript:ENSMUST00000025363.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbegf description:heparin-binding EGF-like growth factor [Source:MGI Symbol;Acc:MGI:96070] MKLLPSVMLKLFLAAVLSALVTGESLERLRRGLAAATSNPDPPTGSTNQLLPTGGDRAQG VQDLEGTDLNLFKVAFSSKPQGLATPSKERNGKKKKKGKGLGKKRDPCLRKYKDYCIHGE CRYLQEFRTPSCKCLPGYHGHRCHGLTLPVENPLYTYDHTTVLAVVAVVLSSVCLLVIVG LLMFRYHRRGGYDLESEEKVKLGVASSH >ENSMUSP00000035404.4 pep:known chromosome:GRCm38:8:71497754:71511769:-1 gene:ENSMUSG00000034845.5 transcript:ENSMUST00000048452.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plvap description:plasmalemma vesicle associated protein [Source:MGI Symbol;Acc:MGI:1890497] MGLSMDRSPYARTGDQQRGCWYYLRYFFLFVSLIQFLIILGLVLFMIYGNVHATTESSLR ATEIRADSLYSQVVGLSASQANLSKQLNISLLVKETVMQQLLTTRREMERINASFRQCQG DLITYINYNRFIAAIILSEKQCQEQLKEVNKTCEALLFKLGEKVKTLEMEVAKEKAVCSK DKESLLAGKRQAEEQLEACGKARERQQQEQQVTEENLRKVQSLCIPLDQEKFQADVLSAW RDSLIYRTLETLPYHYQLMPEYASLRRTCESLPGIMTTKIEELARGLRAGIERVTRENAE LRRQKLELERAAQAAQEARARAGTEAQARETQLRAECARQTQLALEEKAALRAQRDNLER ELEARKRELEQLRTEVDVRISALDTCVKAKSLPAVPPRVSGPPPNPPPIDPASLEEFKKR ILESQRLPVVNPAAQPSG >ENSMUSP00000081013.3 pep:known chromosome:GRCm38:12:90724554:90738438:-1 gene:ENSMUSG00000007682.5 transcript:ENSMUST00000082432.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dio2 description:deiodinase, iodothyronine, type II [Source:MGI Symbol;Acc:MGI:1338833] MGLLSVDLLITLQILPVFFSNCLFLALYDSVILLKHVALLLSRSKSTRGEWRRMLTSEGL RCVWNSFLLDAYKQVKLGEDAPNSSVVHVSNPESGNNYASEKTADGAECHLLDFASAERP LVVNFGSATUPPFTRQLPAFRQLVEEFSSVADFLLVYIDEAHPSDGWAVPGDSSLSFEVK KHRNQEDRCAAAHQLLERFSLPPQCQVVADRMDNNANVAYGVAFERVCIVQRRKIAYLGG KGPFSYNLQEVRSWLEKNFSKRUILD >ENSMUSP00000035910.2 pep:known chromosome:GRCm38:18:50278369:50283019:1 gene:ENSMUSG00000035420.6 transcript:ENSMUST00000039121.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam170a description:family with sequence similarity 170, member A [Source:MGI Symbol;Acc:MGI:2684939] MKRRQKRKHLEIEESKEAGISKSQEDISHPESTGVPKAQSPGVGEVSSASEYFSCVSSPQ KLIHRSKGTWKLLQDSSKPRSPLDQVPEGEATTAPSQQASSSCPSYKTCVSSLCMNKEER GMKIYYMQVQMKKGVAISWDTKETSESLEKQPRMEEATLPEGVWVGTPPSDVSTRNLLSD SEPIGEEKEHEEKPESDSPPGSPAVEERPRAKTPDWLVTMENGFRCMACCRVFATMESLQ EHVQYGIREGFSCHVFHLTMAQLIGSMESESTQEEEEDHTEETEKPKEEKAEEQQPTEED VGMKKPWSQCPGCVFDSPKDRRRRKDHCDNSGS >ENSMUSP00000062464.7 pep:known chromosome:GRCm38:12:111828458:111908055:-1 gene:ENSMUSG00000021285.14 transcript:ENSMUST00000054815.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r13b description:protein phosphatase 1, regulatory (inhibitor) subunit 13B [Source:MGI Symbol;Acc:MGI:1336199] MMPMILTVFLSNNEQILTEVPITPETTCRDVVEFCKEPGEGGCHLAEVWRGSERPIPYDH MMYEHLQKWGPRREEVKFFLRHEDSPTESSEQGARQTQEQRTQRSVVNVPGEKRTENGVG NPRVELTLSELQDMAARQQQQIENQQQMLVAKEQRLHFLKQQERRQQQSVSENEKLQKLK ERVEAQENKLKKIRAMRGQVDYSKIMNGNLSAEIERFSAMFQEKKQEVQTAILRVDQLSQ QLEDLKKGKLNGFQSYNGRLTGPAAVELKRLYQELQIRNQLNQEQNSKLQQQKELLNKRN MEVAMMDKRISELRERLYGKKIQLNRVNGTSSPQSPLSTSGRVAAVGPYIQVPSTGGFPL PGDPVKPQSLTIASSAAHGRSKSANDGNWPPLKQNSASVKSTQMTGDWKDSGMEGTLKQG AISSQPLPLSALGATEKLGIEIGKGPPPIPGVGKPLPPSYGTYPSSGPLGPGSTSSLERR KEGSLPRPGAGPPSRQKPAPLPPASNAPQPGSSQQIQQRISVPPSPTYPPAGPPAFPTGD GKPELPLTVAIRPFLADKGSRPQSPRKGPQTVNSSSIYSMYLQQATPPKNYQPPAHGTLN KSVKAVYGKPVLPSGSASPSPLPFLHGSLGTGTAQPQPPSDSAEKEPEQEGPSVPGEGST VESLPRPLSPTKLTPIVHSPLRYQSDADLEALRRKLANAPRPLKKRSSITEPEGPGGPNI QKLLYQRFNTLAGGMEGTPFYQPSPSQDFVGTLADMDNGNTNANGNLDEPFPPRPTAPLP EELAPSSDANDNELPSPEPEELICPQTTHQTAEPTEDNNNNVAPVPSTEQIPSPVAEAPS EEDQVPPAPLSPVIHPPAASASKRTNLKKPNSERTGHGLRVRFNPLALLLDASLEGEFDL VQRIIYEVEDPSKPNDEGITPLHNAVCAGHHHIVKFLLDFGVNVNAADSDGWTPLHCAAS CNSVHLCKQLVESGAAIFASTISDIETAADKCEEMEEGYIQCSQFLYGVQEKLGVMNKGT VYALWDYEAQNSDELSFHEGDAITILRRKDENETEWWWARLGDREGYVPKNLLGLYPRIK PRQRTLA >ENSMUSP00000130017.2 pep:known chromosome:GRCm38:12:111866013:111908040:-1 gene:ENSMUSG00000021285.14 transcript:ENSMUST00000163747.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r13b description:protein phosphatase 1, regulatory (inhibitor) subunit 13B [Source:MGI Symbol;Acc:MGI:1336199] MMPMILTVFLSNNEQILTEVPITPETTCRDVVEFCKEPGEGGCHLAEVWRGSERPIPYDH MMYEHLQKWGPRREEVKFFLRHEDSPTESSEQA >ENSMUSP00000008594.7 pep:known chromosome:GRCm38:8:105860634:105880402:1 gene:ENSMUSG00000008450.7 transcript:ENSMUST00000008594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nutf2 description:nuclear transport factor 2 [Source:MGI Symbol;Acc:MGI:1915301] MGDKPIWEQIGSSFIQHYYQLFDNDRTQLGAIYIDASCLTWEGQQFQGKAAIVEKLSSLP FQKIQHSITAQDHQPTPDSCIISMVVGQLKADEDPIMGFHQMFLLKNINDAWVCTNDMFR LALHNFG >ENSMUSP00000129319.1 pep:known chromosome:GRCm38:9:74953053:75032468:1 gene:ENSMUSG00000034858.15 transcript:ENSMUST00000170846.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam214a description:family with sequence similarity 214, member A [Source:MGI Symbol;Acc:MGI:2387648] MKPDRDALDEYFEYDAEEFLVSLALLITEGRTPECSVKGRAESFHCPPAQSRFPGTARHE CSDKLAQCRQARRTRSEVTLLWKNNLPIMVEVMLLPDCCYSDEGPSTEGADLNDPAIKQD ALLLERWILEPVPRQNGDRFIEEKALLLAVRSFVFFSQLSAWLSVSHGAIPRNILYRISA ADVDLQWSFSQTPTEHVFPVPNVSHNVALKVSVQSLPRQAHYPVLTCSIHTNIGLYEKRI QEQELRACQHHGPGEVEYRCPSSSQSLCSKHTWTMAPVSALHVRSGMPPEYTAAIRNVRL CPGTGSKSDQGAPQASVLGFSGTGGEVRSQEASVRTFKSLPVVDSSVSSCQSSRQPVGEP NPLMDSLIQDRQEVIARIAQHLIHCDPSSSRMSELPFNTQESTSLSPKLHPVSQESGCVR RYKEAFSVSFGSPEFGSPGDSREGKVREKSETRPGETCTSHSLYPRQPAGEANPLIGSLL QERQDVIARIAQHLEHIDPAAHIPRPAFSKHDSNSIPSKVFRSSFDDKTLLKKGRENVSV SVSHTEVSLLGDRGDGESLKPSKCLRSFKYSPQEKPLKPEVRTQHQNHPDSITPTARQEL LNKAAGLLTSSNTALCKESSLGLVSRLESTSCKLQLKEQEMSHETEKQCLHCNSIDKQIC TNTCTEKINDEHSPGSLRHLQCDDSKGIDSKLKVTLLEMPDSLNKNKTNCSSKDSKRSKT CEQSIRVGTENDLSEENEGPGSTVSDRLKTEQEAKRDSGAGKPHSVKHCLSAGERLHSAD MLRTTLKHSSVWRKHNFHSLDGTSTRAFHPQTGLPLLSSPVPQRKTQSGCFDLDASLLHL RSLSSKSSRPCLNIDEDPDVHEKPFLSSSAPPITSLSLLGNFEESVLNYRLDPLGIVDGF TAEVGASGTFCPTHLTLPVEVSFYSVSDDNAPSPYMGVITLESLGKRGYRVPPSGTIQVT LFNPNKTVVKMFVVVYDLRGMPANHQTFLRQRTFSVPVKQEMKRSINKENVQHTAQLLRY LIHLRFQSSKSGKIYLHRDVRLLFSRKSMEVDSGAAYELKSYTESPTNPQFSPRC >ENSMUSP00000080442.5 pep:known chromosome:GRCm38:9:74976111:75032468:1 gene:ENSMUSG00000034858.15 transcript:ENSMUST00000081746.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam214a description:family with sequence similarity 214, member A [Source:MGI Symbol;Acc:MGI:2387648] MVFSGNKGLRRQDALDEYFEYDAEEFLVSLALLITEGRTPECSVKGRAESFHCPPAQSRF PGTARHECSDKLAQCRQARRTRSEVTLLWKNNLPIMVEVMLLPDCCYSDEGPSTEGADLN DPAIKQDALLLERWILEPVPRQNGDRFIEEKALLLAVRSFVFFSQLSAWLSVSHGAIPRN ILYRISAADVDLQWSFSQTPTEHVFPVPNVSHNVALKVSVQSLPRQAHYPVLTCSIHTNI GLYEKRIQEQELRACQHHGPGEVEYRCPSSSQSLCSKHTWTMAPVSALHVRSGMPPEYTA AIRNVRLCPGTGSKSDQGAPQASVLGFSGTGGEVRSQEASVRTFKSLPVVDSSVSSCQSS RQPVGEPNPLMDSLIQDRQEVIARIAQHLIHCDPSSSRMSELPFNTQESTSLSPKLHPVS QESGCVRRYKEAFSVSFGSPEFGSPGDSREGKVREKSETRPGETCTSHSLYPRQPAGEAN PLIGSLLQERQDVIARIAQHLEHIDPAAHIPRPAFSKHDSNSIPSKVFRSSFDDKTLLKK GRENVSVSVSHTEVSLLGDRGDGESLKPSKCLRSFKYSPQEKPLKPEVRTQHQNHPDSIT PTARQELLNKAAGLLTSSNTALCKESSLGLVSRLESTSCKLQLKEQEMSHETEKQCLHCN SIDKQICTNTCTEKINDEHSPGSLRHLQCDDSKGIDSKLKVTLLEMPDSLNKNKTNCSSK DSKRSKTCEQSIRVGTENDLSEENEGPGSTVSDRLKTEQEAKRDSGAGKPHSVKHCLSAG ERLHSADMLRTTLKHSSVWRKHNFHSLDGTSTRAFHPQTGLPLLSSPVPQRKTQSGCFDL DASLLHLRSLSSKSSRPCLNIDEDPDVHEKPFLSSSAPPITSLSLLGNFEESVLNYRLDP LGIVDGFTAEVGASGTFCPTHLTLPVEVSFYSVSDDNAPSPYMGVITLESLGKRGYRVPP SGTIQVTLFNPNKTVVKMFVVVYDLRGMPANHQTFLRQRTFSVPVKQEMKRSINKENVQH TAQLLRYLIHLRFQSSKSGKIYLHRDVRLLFSRKSMEVDSGAAYELKSYTESPTNPQFSP RC >ENSMUSP00000024972.5 pep:known chromosome:GRCm38:17:24804382:24839787:1 gene:ENSMUSG00000024155.7 transcript:ENSMUST00000024972.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meiob description:meiosis specific with OB domains [Source:MGI Symbol;Acc:MGI:1922428] MAKFFALKNFTALSDLHPNMANLKIIGIVIGKTDVKGFPDRKNIGSERYTFSFTIRDSPC HFVNVSSWGSEDYIRSLSESFRVAECVIIENPLIQRKDTEREEKFSPATPSNYKLLLSEN HSMVKVCSPYEVDTKLLSLIHLPVKESRDYYSLADIVANGHSLDGRIINVLAAVRSVGEP KYFTTSDRRKGQRCEVKLFDETEPSFTMTCWDNESILLAQSWMARETVIFASDVRINFNK FQNCMAATVISKTIITVNPDTPEANILLNYIRENKETNVADEIDSYLKESVNLNTIVDVY TVEQLKVKALKSEGKADPFYGILYAYISTLNIDDETTKVVRNRCSSCGYIVNEASNTCTI CNQDSSRLKSFFLSFDVLVDLTDHTGTLHSCSLSGSIAEETLGCTINEFLTMTSEQKTKL KWQLLLERSKIYLKLILSHRARGGLKVTILSCKLADPTEASRNLARQGHT >ENSMUSP00000129412.1 pep:known chromosome:GRCm38:12:11090202:11150842:-1 gene:ENSMUSG00000043673.10 transcript:ENSMUST00000164495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcns3 description:potassium voltage-gated channel, delayed-rectifier, subfamily S, member 3 [Source:MGI Symbol;Acc:MGI:1098804] MVFGEFFHRPGQDEELVNLNVGGFKQSVDQSTLLRFPHTRLGKLLTCHSEEAILELCDDY SVADKEYYFDRNPFLFRYVLNFYYTGKLHVMEELCVFSFCQEIEYWGINELFIDSCCSSR YQERKEESHDKDWDQKSNDVSTDSSFEESSLFEKELEKFDELRFGQLRKKIWIRMENPAY CLSAKLIAISSLSVVLASIVAMCVHSMSEFQNEDGEVDDPVLEGVEIACIAWFTGELAIR LVAAPSQKKFWKNPLNIIDFVSIIPFYATLAVDTKEEESEDIENMGKVVQILRLMRIFRI LKLARHSVGLRSLGATLRHSYHEVGLLLLFLSVGISIFSVLIYSVEKDEHKSSLTSIPIC WWWATISMTTVGYGDTHPVTLAGKIIASTCIICGILVVALPITIIFNKFSKYYQKQKDME VDQCSEDPPEKCHELPYFNIRDVYAQQVHAFITSLSSIGIVVSDPDSTDASSVEDNEDAY NTASLENCTGK >ENSMUSP00000060706.1 pep:known chromosome:GRCm38:12:11090202:11150373:-1 gene:ENSMUSG00000043673.10 transcript:ENSMUST00000055673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcns3 description:potassium voltage-gated channel, delayed-rectifier, subfamily S, member 3 [Source:MGI Symbol;Acc:MGI:1098804] MVFGEFFHRPGQDEELVNLNVGGFKQSVDQSTLLRFPHTRLGKLLTCHSEEAILELCDDY SVADKEYYFDRNPFLFRYVLNFYYTGKLHVMEELCVFSFCQEIEYWGINELFIDSCCSSR YQERKEESHDKDWDQKSNDVSTDSSFEESSLFEKELEKFDELRFGQLRKKIWIRMENPAY CLSAKLIAISSLSVVLASIVAMCVHSMSEFQNEDGEVDDPVLEGVEIACIAWFTGELAIR LVAAPSQKKFWKNPLNIIDFVSIIPFYATLAVDTKEEESEDIENMGKVVQILRLMRIFRI LKLARHSVGLRSLGATLRHSYHEVGLLLLFLSVGISIFSVLIYSVEKDEHKSSLTSIPIC WWWATISMTTVGYGDTHPVTLAGKIIASTCIICGILVVALPITIIFNKFSKYYQKQKDME VDQCSEDPPEKCHELPYFNIRDVYAQQVHAFITSLSSIGIVVSDPDSTDASSVEDNEDAY NTASLENCTGK >ENSMUSP00000085264.5 pep:known chromosome:GRCm38:19:10688815:10714419:1 gene:ENSMUSG00000048832.8 transcript:ENSMUST00000087951.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps37c description:vacuolar protein sorting 37C (yeast) [Source:MGI Symbol;Acc:MGI:2147661] MEGLKDKTLQELEEMQNDPEAIARLALESPEVQDLQLEREMALATNRSLAEQNLEFQGPL EISRSNLSDKYQELRKLVERCQEQKAKLEKFSSALQPGTLLDLLQIEGMKIEEESEAMAE KFLEGEVPLETFLESFSSMRTLLHLRRVRVEKLQDVVRRPRALPELAGDVPPKRPPPPRP VPQATPPETEEQPPQPSVVTPYPLPYSPSPGLPVGPTAQGALQPAPFPVVAQPSSYGGPL GPYPSPHPGPRAMVGYSWSPQRSGPPQPGYPTAPTSTSGPGYPLVGGRTPGPGYPQQSPY LPSGNKPPYPTQPQLPGFPGQPQPPVPPQPPYPPGTTPSYGFHPPGPAWPRY >ENSMUSP00000137246.1 pep:known chromosome:GRCm38:17:68837136:68843138:1 gene:ENSMUSG00000095407.1 transcript:ENSMUST00000178545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem200c description:transmembrane protein 200C [Source:MGI Symbol;Acc:MGI:3646281] MIATGGLLRISARKQDPLRPPSQVPKRKRKAKKRRKNDVVVVKGKLKLCSISGLIALCGI LVLLVGIAMAVVGYWPKANAANRGGSKQLLPVGSSHRIGAISNSSNGNKNPAKSHSGTPG GANSSSVGGPWSPPPARSAATSSSSSSSSSSSSSTSVGFFFRIFSGYLHSDKLKVFGPLI MGIGIFLFICANAVLHENRDKKTKIINLRDLYSTVIDVHSLRAKDLAAAAAAAAAAAASS SAAAPGSASHGAAPLNGFLSYVQSRGLELKPGSCAGSADAFGAAAMLARGSWPHPTGLDG GSGETQEAGSPPDLASSPRCPREPPSLTEAVYSIYRERSSRAGHRRTTVAAVAAATATVT AAASGGSSPAPCSPTGSWGRQSTTSSLVGSSLSAFALLPLQGDRDRDGDSEGASCSWHRP PGERGSRDLPRGELDLSLTDLRGAEGGARWASCEPGEPEGAKTARTARGQGGRLPRTRRY AAWRRRSTSGLPDYRAPPSPEPPPSSSAENLDSGPSATAATPSLPLRPEEDSPRVRRDSH SSQSDDQSSSNKGYTPLREADTSVESVVDVVAKKRLDCEDVTDPSTEHSSPEGPSPGPTR AEPLSVQRQFTNKEKLFLISRSHTPGVEDPELKKSSN >ENSMUSP00000023803.6 pep:known chromosome:GRCm38:15:102028216:102032026:1 gene:ENSMUSG00000023043.6 transcript:ENSMUST00000023803.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt18 description:keratin 18 [Source:MGI Symbol;Acc:MGI:96692] MSFTTRSTTFSTNYRSLGSVRTPSQRVRPASSAASVYAGAGGSGSRISVSRSVWGGSVGS AGLAGMGGIQTEKETMQDLNDRLASYLDKVKSLETENRRLESKIREHLEKKGPQGVRDWG HYFKIIEDLRAQIFANSVDNARIVLQIDNARLAADDFRVKYETELAMRQSVESDIHGLRK VVDDTNITRLQLETEIEALKEELLFMKKNHEEEVQGLEAQIASSGLTVEVDAPKSQDLSK IMADIRAQYEALAQKNREELDKYWSQQIEESTTVVTTKSAEIRDAETTLTELRRTLQTLE IDLDSMKNQNINLENSLGDVEARYKAQMEQLNGVLLHLESELAQTRAEGQRQAQEYEALL NIKVKLEAEIATYRRLLEDGEDFSLNDALDSSNSMQTVQKTTTRKIVDGRVVSETNDTRV LRH >ENSMUSP00000136057.1 pep:known scaffold:GRCm38:GL456219.1:94186:95088:-1 gene:ENSMUSG00000096776.1 transcript:ENSMUST00000177783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r186 description:vomeronasal 1 receptor Vmn1r186 [Source:EntrezGene;Acc:100039479] MEVLALQILLLCHVVVGTVGNILLFVHNFSPILTDSRLRPIQVILINLAVANAFMLLLFA YSYDLTDIVPRKPPTDLKCKLAYFFHLVARGTIMCSTCVLSTYQFVTLVPGTWARVMFSE ISPKVVSYCCYSCWLFSVLNNAYMLMNVSGPQKSHNDSDSKSNSICSISGVSVDMNILRF SHDIIFLSIMAWTSVSMVIHLHRHHQRMNHIHKVNQNNRGHAETRAAHTILMLVVTFVSL YILNCISILFYISFVESRLWLRYVTKLLALSFPTISPLLLIFRDSKGHCSLHIMSVWKST >ENSMUSP00000125904.1 pep:known chromosome:GRCm38:15:31276491:31276907:1 gene:ENSMUSG00000055416.5 transcript:ENSMUST00000068987.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam136b-ps description:family with sequence similarity 136, member B, pseudogene [Source:MGI Symbol;Acc:MGI:3648078] MAEVQQLRVQEAVDTMVKSVERENIWKMQGLMFLCSANCCEDTQASMQQVHQCMERCHAP LTQAQALVTSKLERFQDRLARCTMHCNDKAKDSMDAGTKELQVKRQLDSCVAKCVDDHMH LIPTMTKKMKESLSSMGK >ENSMUSP00000104149.1 pep:known chromosome:GRCm38:7:16071942:16096328:1 gene:ENSMUSG00000078796.3 transcript:ENSMUST00000108509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp541 description:zinc finger protein 541 [Source:MGI Symbol;Acc:MGI:3647699] MEPYSLGEEGALPSEGHLPSFSESQVLNCSDTLNRDLGPSTRDLLYAGLSGLDLDPSLST SDMPSEVLEDNLDTLSLYSGKDSDSVKLLEEYADSESQTSLQDLGLGALKVPKEADEGGR ATGSTRKGKRQHSSPQNPLLDCSLCGKVFSSASSLSKHYLTHSQERKHVCKVCSKAFKRQ DHLTGHMLTHQKTKPFVCIEQGCSKSYCDYRSLRRHYEVQHGVCILKETPPEEEAYGDPT HNHDVANQPPPSGLRSLGPPEARSPGSVLPNRDLLRCIVSSIVHQKIPSPGPAVGPSDTE ARSSACACPTSLGSSSCTPASTPVALGTLGSEIPEETHPPRKEAATEVFTPVQSRAAENG VPDPPESELESESPRLQRPSSLEGWPEGSSLPACLPLFRGHSVPSGSQPSSHNFQWLRNL PGCPKNKGSNVFMVHKPPAVASREGSEGGGSGPSSTPTSVEPSPSLGTTQEELLPFPPAL LKAPGEASSEVRQAAGEDETWAPKKCKPDCESFPWQSPTELGLQDAQNPGGLPSDATPLF RQLFMKSQESLVSHEQMQVLQMIAKSQRIFSHTQVATASAQRPGPEGKQSTLKPLQGPWP PQTLPPAPTVDSFQIGPGHSEPEGSPVRRRKTMPAVSRETSPGGPRRDTKGGPKVASAPP SLTGPGLLPSRNPDSSSLAKGTLDLGDIIPNAGSRQSQLGGDEPAGTQLVGKQGQGENGL ASGAMRGEKGPACPRGGGYRLFSGHPRAQRFSGFRKEKVKMDVCCAASPSQVAMASFSSA GPLADPPRDMKSKLTIFNRIQGGNIYRLPHPVKEESLAGGCHQPNGGPTDWMESKSTFVC KNCSQMFYTEKGLSSHMCFHSDQWPSPRGKQEQQVKGQMVASVKRKAGREEGAVEDMKRH YDCSSSEPQDVTILSMLVSSGSCGVTPVVLSSLLQGQEKDGEERDSKESCQYRKRKKRPQ PKALFAPPAPSALGEPGPGGCHQSCLHSPVFLVDHLLKGLFQCSPYTPPPMLSPIREGSG LYFNTLCSTSRAGPHLISPVLDQVDSSFGICVVKDDTKISIEPHINVGSRFQAEIPELQE RLLARVDENVASLVWKPWGDVMTNPETQDRVMELCNVACSSVMPGGGTNLELALHCLHDA QGSVQVALETLLLRGPQKPRTHPLADYRYTGSDIWTPMEKRLFKKAFCAHKKDFYLIHKM IQTKSVAQCVEYYYIWKKMVKFDCGRAPGLEKRGRRELDEVERTEDKVTCSPRERPTHRP TPELKIKTKSYRRESILHSSPSAAPKRTPEPPGSVESQGVFPCRECERVFDKIKSRNAHM KRHRLQEHVEPVRVKWPVKPYPLKEEEEEEEEELGADMGPLQW >ENSMUSP00000086499.1 pep:known chromosome:GRCm38:16:89169490:89169648:1 gene:ENSMUSG00000068068.1 transcript:ENSMUST00000089098.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7735 description:predicted gene 7735 [Source:MGI Symbol;Acc:MGI:3649168] MCYYRGYYGGLGYGYGGLGCGYGCGYGCGYGGYGYGCCRPLCCGRYWSYGFY >ENSMUSP00000137736.1 pep:known chromosome:GRCm38:1:170885065:170885881:1 gene:ENSMUSG00000096968.1 transcript:ENSMUST00000180542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26620 description:predicted gene, 26620 [Source:MGI Symbol;Acc:MGI:5477114] MKATQRSRWLSRSVSGLSSAGTKRLRRPSNPAPAGKAGSESTVSTAVMPASLRWPGSATA AAPPRYSPGRTSTACPAELAGLAVGAWRSQPWLEPCASNMAEPGSQAAAARHQVTG >ENSMUSP00000075573.4 pep:known chromosome:GRCm38:19:27388702:27429820:-1 gene:ENSMUSG00000041360.7 transcript:ENSMUST00000076219.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum3 description:pumilio RNA-binding family member 3 [Source:MGI Symbol;Acc:MGI:106253] MMEVKGKKKFTGKSPQTSQGKNKFHKNSESSSSKTFPRKAVKEGGPKVTSKNFEKGATKP GKKGVKQFKNKPQGGKGPKDKFQKANKFSKKRKFQPDGESDESGAKKPKWDDFKKKKKEL KQSRQLSDKTNYDIVVRAKHIWESLRRKDCDKEKRVKLMSDLQKLIQGKIKTIAFAHDST RVIQCFIQYGNEEQRKQAFQELQGDLVELSKAKYSRNIVKKFLMYGSKPQVAEIIRSFKG HVRKMLRHSEASAIVEYAYNDKAILEQRNMLTEELYGNTFQLYKSADHPTLDKVLELQPA KLELIMDEMKQILTPMAQKEAVIKHSLVHKVFLDFFTYAPPKPRSELIEAIREAVVYLAH THDGARVAMHCLWHGTPKDRKVIVKTMKTYVEKVANGQYSHLVLLAAFDCIDDTKLVKQI IISEIISSLPSIVNDKYGRKVLLYLMSPRDPAHTVPELIELLQKGDGNAHSKKDTAIRRR ELLESISPALLSYLQGHTQEVVLDKSACVLVSDMLGSATGDVQPAMDAIASLAAAELHPG GKDGELHVAEHPAGHLVLKWLLEQDKKMKESGKEGCFAKTLVERVGMKNLKSWASINRGA IILSSLLQSCDQEVVNKVKGGLKPLIPTLEKNKSSSRGIQTLLEKLTA >ENSMUSP00000025752.7 pep:known chromosome:GRCm38:19:5940543:5964206:-1 gene:ENSMUSG00000024833.14 transcript:ENSMUST00000025752.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pola2 description:polymerase (DNA directed), alpha 2 [Source:MGI Symbol;Acc:MGI:99690] MAVSTQQLAEELQIFGLDYEDSLLEKLAELCVLYRQTEDGMVSELIAFCTSAGKTCLTVD ILNSFEYEVLNKKLSKAWHSASKDSGHAGTRDIVSIQELIEAEEEEETLLSSYTTPSKGP LKRVSSTPETPLTKRSVAARSPRQLLSPSSFSPSATPSQKYTSRTNRGEVVTTFGSAQGL SWSGRGGSGSVSLKVVGDPEPLTGSYKAMFQQLMGVREVLTSKIEELGSELKEHHKIEAF TPLLVPAQEPVILLGQIGCDSNGKLNSKSVILEGDQEHSYGAQIPVDLSELKEYSLFPGQ VVIMEGFNTTGRRLTATKLYEGVPLPFYQPTEEEGASEQTMVVVACGPYTTSDSITYDPL LDLIAIINRDQPDVCILFGPFLDAKHEQVENCKLTSPFEDVFKQCLRTVIEGTRSSGSHL VFVPSLRDVHHEPVYPQPPFTFSELSREDKKRVQFVSEPCSLSINGVMFGLTSTDLLFHI GAEEIFSSSGTSDRFSRVLKHILTQRSYYPLYPPHEDMAIDYENFYTYAQLPVTPDVFIV PSELRYFVKDIFGCVCVNPGRLTKGQVGGTFGRLYLRRQPKAMDGGGRQGLSVAAQVVRI >ENSMUSP00000128866.1 pep:known chromosome:GRCm38:19:5941105:5964206:-1 gene:ENSMUSG00000024833.14 transcript:ENSMUST00000165143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pola2 description:polymerase (DNA directed), alpha 2 [Source:MGI Symbol;Acc:MGI:99690] MAVSTQQLAEELQIFGLDYEDSLLEKLAELCVLYRQTEDGMVSELIAFCTSAGKTCLTVD ILNSFEYEVLNKKLSKAWHSASKDSGHAGTRDIVSIQELIEAEEEEETLLSSYTTPSKGP LKRVSSTPETPLTKRSVAARSPRQLLSPSSFSPSATPSQKYTSRTNRGEVVTTFGSAQGL SWSGRGGSGSVSLKVVGDPEPLTGSYKAMFQQLMGVREVLTSKIEELGSELKEHHKIEAF TPLLVPAQEPVILLGQIGCDSNGKLNSKSVILEGDQEHSYGAQIPVDLSELKEYSLFPGQ VVIMEGFNTTGRRLTATKLYEGVPLPFYQPTEEEGASEQTMVVVACGPYTTSDSITYDPL LDLIAIINRDQPDVCILFGPFLDAKHEQVENCKLTSPFEDVFKQCLRTVIEGTRSSGSHL VFVPSLRDVHHEPVYPQPPFTFSELSREDKKRVQFVSEPCSLSINGVMFGLTSTDLLFHI GAEEIFSSSGTSDRFSRVLKHILTQRSYYPLYPPHEDMAIDYENFYTYAQLPVTPDVFIV PSELRYFVKGQHFEAGSLQPRMFWNP >ENSMUSP00000126511.2 pep:known chromosome:GRCm38:13:66227319:66227573:-1 gene:ENSMUSG00000091347.2 transcript:ENSMUST00000167981.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10772 description:predicted gene 10772 [Source:MGI Symbol;Acc:MGI:3704404] EKPYECNQCGKAFSCHNSLIYHKRTHTGEKPYECNQCGKAFARPAHLHRHKRTHTGEKPY ECNQCGKAFSCHSGLRYHKSTHTGE >ENSMUSP00000022786.4 pep:known chromosome:GRCm38:14:54583663:54605908:-1 gene:ENSMUSG00000022179.4 transcript:ENSMUST00000022786.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931414P19Rik description:RIKEN cDNA 4931414P19 gene [Source:MGI Symbol;Acc:MGI:1921609] MSFSATILFSPPSGSEARCCCCACKSETSQGSTGSQGGNPPASTPITVTGHGLAVQSSEQ LLHIIYQRVDKAVGLAEAALGLARANNELLKQLQEEVGELRQGKVCTADEDRESRARTPP PEEPGVLKGSPGEASNSLPAMEEECDSVGSGVQVVIEELRQLGAASTVGQGPLGFFAAPQ RDARLPGCTLAAVEGAPLLNPMADDYVASEGSIQRVLVPAYAKQLSPATQLAIQRASSET GPESGTKLPATRPEGVLGSAALDSALDESGAGGAGELSRSLGFVGSPCRIRGTGQKNSRR KRDLVLSKLVHNVHNHITNDKRFNGSESIKSSWNISVVKFLLEKLKQELMVSPHNYTDKE LKGACVAYFLTKRREYRNSLNPFKGLKEKEEKKLRSRRYRLFANRSSIMRHFGPEDQHLW KDVTEELMSDEEDSLNEPGVWVARSPRFRAQRLTELCYHLDANSKHGTKANRVYGPPSDR LPSVEAQLLPPELYNPNFQEDEGGGNEKGPVSPSYDQPHKTSCPDLNSFIEIKVEKDEYT L >ENSMUSP00000068927.6 pep:known chromosome:GRCm38:14:54710363:54712174:-1 gene:ENSMUSG00000052435.6 transcript:ENSMUST00000064290.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cebpe description:CCAAT/enhancer binding protein (C/EBP), epsilon [Source:MGI Symbol;Acc:MGI:103572] MSHGTYYECEPRGGQQPLEFSGGRAGPGELGDMCEHEASIDLSAYIESGEEQLLSDLFAM KPTPEARSLKGPGAPSFPHYLPADPRPFAYPSHTFGPDRKALGPGIYSNPGSYDPRAVAV KEEPRGPEGNRGTSRGSYNPLQYQVAHCGQTAVHLPPTLAAPGQPLRVLKAPVAAAAPPC SPLLKAPSPAGPSHKGKKAVNKDSLEYRLRRERNNIAVRKSRDKAKRRIMETQQKVLEYM AENERLRNRVDQLTQELDTLRNLFRQIPEAASLIKGVGGCS >ENSMUSP00000022834.6 pep:known chromosome:GRCm38:14:55941453:55944661:-1 gene:ENSMUSG00000022225.6 transcript:ENSMUST00000022834.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cma1 description:chymase 1, mast cell [Source:MGI Symbol;Acc:MGI:96941] MKPAAALRRPSERMHLLTLHLLLLLLGSSTKAGEIIGGTECIPHSRPYMAYLEIVTSENY LSACSGFLIRRNFVLTAAHCAGRSITVLLGAHNKTSKEDTWQKLEVEKQFLHPKYDENLV VHDIMLLKLKEKAKLTLGVGTLPLSANFNFIPPGRMCRAVGWGRTNVNEPASDTLQEVKM RLQEPQACKHFTSFRHNSQLCVGNPKKMQNVYKGDSGGPLLCAGIAQGIASYVHRNAKPP AVFTRISHYRPWINKILREN >ENSMUSP00000025963.7 pep:known chromosome:GRCm38:19:38788128:38819237:-1 gene:ENSMUSG00000024999.7 transcript:ENSMUST00000025963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noc3l description:nucleolar complex associated 3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1932610] MKARRNKKQVPSFRKLIKTSKVKLENKLKNKQFKQQSTIKKYRKEQRKLRQAVKDAVSKK PIPLEDPKSKRPVKRMEREEDEEEEALPLDMMDEDDLQLMKDLGQKASFLTRDLSSSEPV HIKKRKHESVIEKYEKVPRTLQTAPEKELIHLLPIKDKSGIIPQAREKPVTDVQQEEEAE EELEDEEEVIEDPRKELTIEEHVIERKKKLQDKKIQIAALASAILSDPESHIKKLKELRS MLMEQDPDVAVTVRKLVIISLMELFKDITPSYKIRPLTEAEKSTKIRKETQKLREFEEGL VSQYKFYLENLEQIVKDWKQRKLKKSNVVSLKAYKGLAEVAVKSLCELLVALPHFNFHNN IIVLIVPLMNDGSKPVSEMCCEAVKKLFKQDKLGQASLGVIKVISGFVKGRNYEVRPEML KTFLCLRIKEVEVKKDTEDINKPKRFMTFKEKRKTLSRMQRKWKKAEEKLERELREAEAS ESTEKKLKLHTETLNIVFVTYFRILKKAQKSPLLPAVLEGLAKFAHLINVEFFDDLLVVL HTLIESGELSYQESLHCVQTAFHILSGQGDVLNIDPMKFYTHLYKTLFTLHAGATNDGIE IVLHCLDVMLSKRRKQVSHQRALAFIKRLCTLALQVLPNSSIGLLATTRILMHTFPRTDL LLDNESQGSGVFLPELEEPEYCNAQNTALWELHTLRRHYHPIVRRFAAHLLAGAPSEGSE ALKPELSRRSAVELFETYSMAAMTFNPPVESSHSKRKDKFLPGDSFLNEDLNQLIKRYCN EAAPETPLDFAKCLESSSRQYRVNGLS >ENSMUSP00000130264.1 pep:known chromosome:GRCm38:8:117257019:117461503:1 gene:ENSMUSG00000034390.15 transcript:ENSMUST00000166750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmip description:c-Maf inducing protein [Source:MGI Symbol;Acc:MGI:1921690] MDVTSSSGGGDPRQIEETKPLLGSDVSGPEGTKVMGAVPCRRALLLCNGMRYKLLQEGDI QVCVIRHPRTFLSKILTSKFLRRWEPHHLTLADNSLASATPSGYMENSVSYSAIEDVQPL SWENAPKYCLQLTIPGGTVLLQAANSYLRDQWFHSLQWKKKIYKYKKVLSNPSRWEVVLK EIRTLVDMALTSPLQDDSINQAPLEIVSKLLSENTNLTTQEHENIIVAIAPLLENNHPPP DLCEFFCKHCRERPRSMVVIEVFTPVVQRILKHNMDFGKCPRLRLFTQEYILALNELNAG MEVVKKFIQSMHGPTGHCPHPRVLPNLVAVCLAAIYSCYEEFINSRDNSPSLKEIRNGCQ QPCDRKPTLPLRLLHPSPDLVSQEATLSEPRLKSVVVASSEVHVEVERTSTAKPALTAST GNDSEPNLIDCLMVSPACGTMSIELGPQAGRTLGCHVEILKLLSDYDDWRPSLASLLQPI PFPKEALAHEKFTKELKYVIQRFAEDPRQEVHSCLLSVRAGKDGWFQLYSPGGVACDDDG ELFASMVHILMGSCYKTKKFLLSLAENKLGPCMLLALRGNQTMAEILCLMLEYNIIDNND TQLQIISTLESTDVGKRMYEQLCDRQRELKELQRKGGPTRLTLPSKSTDADLARLLSSGS FGNLENLSLAFTNVTSACAEHLIKLPSLKQLNLWSTQFGDAGLRLLSEHLTMLQVLNLCE TPVTDAGLLALSSMKSLCSLNMNSTKLSADTYEDLKAKLPNLKEVDVRYTEAW >ENSMUSP00000092795.4 pep:known chromosome:GRCm38:8:117349170:117461503:1 gene:ENSMUSG00000034390.15 transcript:ENSMUST00000095172.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmip description:c-Maf inducing protein [Source:MGI Symbol;Acc:MGI:1921690] MKTFGPGDEHPEPSGYMENSVSYSAIEDVQPLSWENAPKYCLQLTIPGGTVLLQAANSYL RDQWFHSLQWKKKIYKYKKVLSNPSRWEVVLKEIRTLVDMALTSPLQDDSINQAPLEIVS KLLSENTNLTTQEHENIIVAIAPLLENNHPPPDLCEFFCKHCRERPRSMVVIEVFTPVVQ RILKHNMDFGKCPRLRLFTQEYILALNELNAGMEVVKKFIQSMHGPTGHCPHPRVLPNLV AVCLAAIYSCYEEFINSRDNSPSLKEIRNGCQQPCDRKPTLPLRLLHPSPDLVSQEATLS EPRLKSVVVASSEVHVEVERTSTAKPALTASTGNDSEPNLIDCLMVSPACGTMSIELGPQ AGRTLGCHVEILKLLSDYDDWRPSLASLLQPIPFPKEALAHEKFTKELKYVIQRFAEDPR QEVHSCLLSVRAGKDGWFQLYSPGGVACDDDGELFASMVHILMGSCYKTKKFLLSLAENK LGPCMLLALRGNQTMAEILCLMLEYNIIDNNDTQLQIISTLESTDVGKRMYEQLCDRQRE LKELQRKGGPTRLTLPSKSTDADLARLLSSGSFGNLENLSLAFTNVTSACAEHLIKLPSL KQLNLWSTQFGDAGLRLLSEHLTMLQVLNLCETPVTDAGLLALSSMKSLCSLNMNSTKLS ADTYEDLKAKLPNLKEVDVRYTEAW >ENSMUSP00000002473.8 pep:known chromosome:GRCm38:8:71396855:71404772:1 gene:ENSMUSG00000031820.8 transcript:ENSMUST00000002473.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Babam1 description:BRISC and BRCA1 A complex member 1 [Source:MGI Symbol;Acc:MGI:1915501] MEVAEANSPTEEEEEEEEEGEETISEPRPHTRSNPEGAEDRALGAQASVGSRSEGEGEAA TADGGAASVPGAGPKPWQVPASASEVQIRTPRVNCPEKVIICLDLSEEMSVPKLESFNGS RTNALNVSQKMVEMFVRTKHKIDKSHEFALVVVNDDSAWLSGLTSDPRELCSCLYDLETA SCSTFNLEGLFSLIQQKTELPVTENVQTIPPPYVVRTILVYSRPPCQPQFSLTEPMKKMF QCPYFFFDIVYIHNGTEEKEEDMSWKDMFAFMGSLDTKGASYKYEVALAGPALELHNCMA KLLAHPLQRPCQTHASYSLLEEDEEAGEEEATV >ENSMUSP00000036013.7 pep:known chromosome:GRCm38:13:17715962:17805097:-1 gene:ENSMUSG00000041297.7 transcript:ENSMUST00000042365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk13 description:cyclin-dependent kinase 13 [Source:MGI Symbol;Acc:MGI:1916812] MPSSSDTALGGGGGLSWAEKKLEERRKRRRFLSPQQPPLLLPLLQPQLLQPPPPPPPLLF LAAPGAAAAAAAAAAASSSCFSPGPPLEVKRLARGKRRPGGRQKRRRGPRAGQEAEKRRV FSLPQPQQDGGGGASSGGGVTPLVEYEDVSSQSEQGLLLGGASAATAATAAGGTGGNGGS PASSSGTQRRAEGSERRPRRDRRSSSGRSKERHREHRRRDGTRSGSEASKARSRHGHSGE ERAEAAKSGSSSSSGGRRKSASATSSSSSSRKDRDLKAHRSRTKSSKEPPSAYKEPPKAY REDKSEPKAYRRRQRSLSPLGGRDESPVSHRASQSLRSRKSPSPAGGGSSPYSRRLPRSP SPYSRRRSPSYSRHSSYERGGDVSPSPYSSSSWRRSRSPYSPVLRRSAKSRSRSPYSSRH SRSRSRHRLSRSRSRHSSISPSTLTLKSSLAAELNKNKKARAAEAARAAEAAKAAEAAKA AEAAAKAAKASNASTPTKGNTETGASVSQTNHVKEVKKLKTEHAPSPSSGGTVKSDKAKT KPPLQVTKVDNNLTVEKATKKTVVGKESKPAATKEEPVSTKEKSKPLTPSTGAKEKEQHV ALVTSTLPPLPLPPMLPEDKDADSLRGNISVKAVKKEVEKKLRCLLADLPLPPELPGGDD LSKSPEEKKTAAQLHSKRRPKICGPRYGEIKEKDIDWGKRCVDKFDIIGIIGEGTYGQVY KARDKDTGEMVALKKVRLDNEKEGFPITAIREIKILRQLTHQSIINMKEIVTDKEDALDF KKDKGAFYLVFEYMDHDLMGLLESGLVHFNENHIKSFMRQLMEGLDYCHKKNFLHRDIKC SNILLNNRGQIKLADFGLARLYSSEESRPYTNKVITLWYRPPELLLGEERYTPAIDVWSC GCILGELFTKKPIFQANQELAQLELISRICGSPCPAVWPDVIKLPYFNTMKPKKQYRRKL REEFVFIPAAALDLFDYMLALDPSKRCTAEQALQCEFLRDVEPSKMPPPDLPLWQDCHEL WSKKRRRQKQMGMTDDLSTIKAPRKDLSLGLDDSRTNTPQGVLPPAQLKSQSNSNVAPVI TGPGQPLNHSELAILLNLLQSKSSVNMADFVQVLNIKVNSETQQQLNKINLPAGILATGE KQTDPSTPQQESSKSLGGVQPSQTIQPKVETDAAQAAVQSAFAVLLTQLIKAQQSKQKDA MLEERENGSGHEAPLQLRPPLEPSTPGSGQDDLIQHQDRRILELTPEPDRPRILPPDQRP PEPPEPPPVTEEDLDYRTENQHVPTTSSSLTDPHAGVKAALLQLLAQHQPQDDPKREGGI DYPTGDTYVPSSDYKDNFGSSFSAAPYVSSDGLGSSSAAAPLEARSFIGNSDIQSLDNYS TASSHTGGPPQTSAFTESFASSVAGYGDIYLNAGPMLFSGDKDHRFEYSHGPITVLTNSN DPSTGPESTHPLPAKMHNYNYGGNLQENPGGPSLMHGQTWTSPAQGPGYSQGYRGHISTS AGRGRGRGLPY >ENSMUSP00000022226.4 pep:known chromosome:GRCm38:13:104205121:104228844:-1 gene:ENSMUSG00000021713.8 transcript:ENSMUST00000022226.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppwd1 description:peptidylprolyl isomerase domain and WD repeat containing 1 [Source:MGI Symbol;Acc:MGI:2443069] MATESGSDSQLRRRRRRDPEGSEKTELSEREPALAVAGSEENDDENEERWVGPLPVEATL AKKRKVLEFERVYLDNLPSASMYERSYMHRDVITHVVCTKTDFIITASHDGHVKFWKKIE EGIEFVKHFRSHLGVIESIAVSSEGALFCSVGDDKAMKVFDVVNFDMINMLKLGYFPGQC EWIYCPGDAISSVAASEKSTGKIFIYDGRGDNQPLHIFDKLHVSPLTQIRLNPVYKAVVS SDKSGMIEYWTGPPHEYKFPKNVNWEYKTDTDLYEFAKCKAYPTSICFSPDGKKIATIGS DRKVRIFRFLTGKLMRVFDESLSMFTELQQMRQQLPDMEFGRRMAVERELEKVDAVRLVN IVFDETGHFVLYGTMLGIKVINVETNRCVRILGKQENIRVMQLALFQGIAKKHRAAATIE MKASENPVLQNIQADPTIVCTSFKKNRFYMFTKREPEDTKTADSDRDVFNEKPSKEEVMA ATQAEGPKRVSDSAIVHTSMGDIHIKLFPVECPKTVENFCVHSRNGYYNGHTFHRIIKGF MIQTGDPTGTGMGGESIWGGEFEDEFHSTLRHDRPYTLSMANAGSNTNGSQFFITVVPTP WLDNKHTVFGRVTKGMEVVQRISNVKVNPKTDKPYEDVSIINITVK >ENSMUSP00000022511.8 pep:known chromosome:GRCm38:14:56887795:56962579:1 gene:ENSMUSG00000021945.8 transcript:ENSMUST00000022511.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmym2 description:zinc finger, MYM-type 2 [Source:MGI Symbol;Acc:MGI:1923257] MDTSSVGTLELTDQTPVLLGSTAMATSLTNVGNSFSGPPNPLVSRSSKFQNSSVEDDDDV VFIEPVQPPPSSAPLVADQRPITFTSSKNEELQGNDPKILPSSKELAPQKGSVSETIVID DEEDMETNQGQEKSSSNFIERRPSETKNRTNDVDFSSSTFSRSKVNAGVSNSGITTEPDS EIQIANVTTLETGVSSVSDGQLESTDGRDMNLMITHVTSLHNTSLGDGSNGLQSSNFGVN IQTYTPSLTSQTKAGVGPFNPGRMNVAGDVFQNGESAPHHNPDSWISQSASFPRNQKQQG VDSLSPVASLPKQIFQPSNQQPTKPVKVTCANCKKPLQKGQTAYQRKGSAHLFCSTTCLS SFSHKPAPKKLCVMCKKDITTMKGTIVAQVDSSESFQEFCSTSCLSLYEDKQSPAKGALN KSRCTICGKLTEIRHEVSFKNMTHKLCSDHCFNRYRMANGLIMNCCEQCGEYLPSKGAGN NVLVVDGQQKRFCCQSCVTEYKQVGSHPSFLKEVRDHMQDSFLMQPEKYGKLTTCTGCRT QCRFFDMTQCIGPNGYMEPYCSTACMNSHKTKYAKSQSLGIICHFCKRNSLPQYQATMPD GKLYNFCNSSCVAKFQALSMQSSPNGQFVAPSDIQLKCNYCKNSFCSKPEILEWENKVHQ FCSKTCSDDYKKLHCIVTYCEYCQEEKTLHETVNFSGVKRPFCSEGCKLLYKQDFARRLG LRCVTCNYCSQLCKKGATKELDGVVRDFCSEDCCKKFQEWYYKAARCDCCKSQGTLKERV QWRGEMKHFCDQHCLLRFYCQQNEPNMTTQKGPENLHYDQGCQTSRTKMTGSAPPPSPTP NKEMKNKAILCKPLTMTKATYCKPHMQTKSCQTDENWKTEYVPVPIPVPVYVPVPMHMYS QNIPVPTTVPVPVPVPVFLPAPLDSSEKIPATVEDLKSKVSSDPLDSELLTMTDMMTEEE GKAEASNINSVIIETDIIGSDLTKNSDPDIQSNMPDVPYEPDLDIEIDFPRAAEELDMEN EFLLPPVFGEEYEEQPRPRSKKKGTKRKAVSGYQSHDDSSDNSECSFPFKYTYGVNAWKH WVKTRQLDEDLLVLDELKSSKSVKLKEDLLSHTTAELNYGLAHFVNEIRRPNGENYAPDS IYYLCLGIQEYLCGSNRKDNIFIDPGYQMFEQELNKILRSWQPSILPDGSIFSRVEEDYL WRIKQLGSHSPVALLNTLFYFNTKYFGLKTVEQHLRLSFGTVFRHWKKNPLTMENKACLR YQVSSLCGTDNEDKIATGKRKHEDDEPVFEQVENTANPSRCPVKMFECYLSKSPQNLNQR MDVFYLQPECSSSTDSPVWYTSTSLDRNTLENMLVRVLLVKDIYDKDNYELDEDTD >ENSMUSP00000021520.1 pep:known chromosome:GRCm38:12:104865330:104871524:1 gene:ENSMUSG00000021101.1 transcript:ENSMUST00000021520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930408O17Rik description:RIKEN cDNA 4930408O17 gene [Source:MGI Symbol;Acc:MGI:1921178] GGPGDASQGAPSAGPGCLPLPYPVPSSRSRNSSYAWIISLLKAAKPCPSLIPGPWKSQEA QGKAGISSMSKQQARGHRGCTATERHFLLPQLGVGCVMPSHFSASLDFCPLLTVSACWGV NSDVYLQMVRH >ENSMUSP00000053971.7 pep:known chromosome:GRCm38:9:4294793:4309494:-1 gene:ENSMUSG00000025894.10 transcript:ENSMUST00000051589.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aasdhppt description:aminoadipate-semialdehyde dehydrogenase-phosphopantetheinyl transferase [Source:MGI Symbol;Acc:MGI:1914868] MVFPAKRLCVVPSMEGVRWAFSCGTWLPSRAEWLLAMRSIQPEEKERIGKFVFARDAKAA LAGRLMIRKLVAEKLNIPWDHIRLQRTSKGKPVLAKDSLNPYPNFNFNISHQGDYAVLAA EPEVQVGIDIMKTSFPGRGSIPEFFHIMKRKFTKKEWETIRSFNDEWTQLDMFYRHWALK ESFIKAIGVGLGFEMQRLEFDVSPLNMDIGQVYKETCLILDGEEEKEWAFEESKIDEHHF VAVAVRKPDGSRHQNVSYQDDSKLSQRKFTILNFNDLVASAIPMTPEDPSFWDCFCFTEE ILIRNGTKS >ENSMUSP00000137674.1 pep:known chromosome:GRCm38:10:93589413:93605245:1 gene:ENSMUSG00000097407.1 transcript:ENSMUST00000181835.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933408J17Rik description:RIKEN cDNA 4933408J17 gene [Source:MGI Symbol;Acc:MGI:1921704] MNGRRRGRRAPRGRPSSSPRGAHRPYHRDHRRALHPASRRLRRCPPLVTGAAAATTKRPA LREMADKSGLTFHLTPRCLGFCDWPPKTCLLIGGDGGTVS >ENSMUSP00000022528.4 pep:known chromosome:GRCm38:14:63860312:63919859:1 gene:ENSMUSG00000021958.4 transcript:ENSMUST00000022528.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pinx1 description:PIN2/TERF1 interacting, telomerase inhibitor 1 [Source:MGI Symbol;Acc:MGI:1919650] MSMLAERRRKQKWTVDPRNTAWSNDDSKFGQKMLEKMGWSKGKGLGAQEQGATEHIKVKV KNNHLGLGATNNNEDNWIAHQDDFNQLLAALNTCHGQETADSSDKKEKKSFSLEEKSKIS KNRVHYMKFTKGKDLSSRSETDLDCIFGKRRNKKLAQDGCSNSSADEVNTSLTTTTTTTS AFTIQEYFAKRMAQLKNKPQASAPGSDLSETPVERKKGKKKNKEAADTDVENSPQHKAKR HKKKKRVEAERGPVAKKRDRAELQPGGPSEDECSDASVEAAEDCVQTPDIQDDVPKPKKR KAKKKLQRPEGVEIDATLDRAPVKKKKKKVSR >ENSMUSP00000025918.7 pep:known chromosome:GRCm38:19:7020696:7040026:-1 gene:ENSMUSG00000024966.8 transcript:ENSMUST00000025918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stip1 description:stress-induced phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:109130] MEQVNELKEKGNKALSAGNIDDALQCYSEAIKLDPQNHVLYSNRSAAYAKKGDYQKAYED GCKTVDLKPDWGKGYSRKAAALEFLNRFEEAKRTYEEGLKHEANNLQLKEGLQNMEARLA ERKFMNPFNLPNLYQKLENDPRTRSLLSDPTYRELIEQLQNKPSDLGTKLQDPRVMTTLS VLLGVDLGSMDEEEEAATPPPPPPPKKEPKPEPMEEDLPENKKQALKEKELGNDAYKKKD FDKALKHYDRAKELDPTNMTYITNQAAVHFEKGDYNKCRELCEKAIEVGRENREDYRQIA KAYARIGNSYFKEEKYKDAIHFYNKSLAEHRTPDVLKKCQQAEKILKEQERLAYINPDLA LEEKNKGNECFQKGDYPQAMKHYTEAIKRNPRDAKLYSNRAACYTKLLEFQLALKDCEEC IQLEPTFIKGYTRKAAALEAMKDYTKAMDVYQKALDLDSSCKEAADGYQRCMMAQYNRHD SPEDVKRRAMADPEVQQIMSDPAMRLILEQMQKDPQALSEHLKNPVIAQKIQKLMDVGLI AIR >ENSMUSP00000072744.4 pep:known chromosome:GRCm38:9:38848116:38849042:1 gene:ENSMUSG00000062103.4 transcript:ENSMUST00000072977.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr924 description:olfactory receptor 924 [Source:MGI Symbol;Acc:MGI:3030758] MNHSSVIDFILEGLTKRPELQLPLFLLFLAIYVITVVGNLGMILLITISSQLHSPMYYFL SHLSFIDLCYSSVITPKMLVNFVCEKNTISFLECMTQLYFFLIFVIAEGYLLTAMAYDRY VAICSPLLYNIVMSHKVCSIMMAVVYSLGFFGATVHTTRMTMLSFCGSHIVSHYFCDILP LLTLSCSSTHINEVLLFIIGGVNTLAPTLAVIISYAFILTSILRIRSNEGRSKAFGTCSS HIMAVGIFFGSITFMYFKPPSSNNMEQEKVSSVFYTTVIPMLNPLIYSLRNKDVKNALKK MVGGRQLS >ENSMUSP00000053078.7 pep:known chromosome:GRCm38:16:10787936:10788655:-1 gene:ENSMUSG00000043050.7 transcript:ENSMUST00000051297.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnp2 description:transition protein 2 [Source:MGI Symbol;Acc:MGI:98785] MDTKMQSLPTTHPHPHSSSRPQSHTSNQCNQCTCSHHCRSCSQAGHAGSSSSPSPGPPMK HPKPSVHSRHSPARPSHRGSCPKNRKTFEGKVSKRKAVRRRKRTHRAKRRSSGRRYK >ENSMUSP00000070057.4 pep:known chromosome:GRCm38:8:84815405:84822823:-1 gene:ENSMUSG00000053226.6 transcript:ENSMUST00000065539.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dand5 description:DAN domain family member 5, BMP antagonist [Source:MGI Symbol;Acc:MGI:1344365] MFRSQFTTLLGLFSGAWLPTGSGRPGAPATPVQSGTAINQSWTLDPLVPISALGSWEAFL GLQNKQQGTGELQGGGQRVAAGVPLPLAPQEVLQETCKALSFVQVISRPGCTSARVLNHL CFGRCSSFYIPSSDPTPVVFCNSCVPARKRWTSVTLWCGAGQLASPRRVRISTVLVQKCQ CRPKL >ENSMUSP00000067786.5 pep:known chromosome:GRCm38:17:80393752:80480453:-1 gene:ENSMUSG00000024241.6 transcript:ENSMUST00000068714.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sos1 description:son of sevenless homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:98354] MQAQQLPYEFFSEENAPKWRGLLVPALKKVQGQVHPTLESNDDALQYVEELILQLLNMLC QAQPRSASDVEERVQKSFPHPIDKWAIADAQSAIEKRKRRNPLSLPAERIHHLLREVLGY KIDHQVSVYIVAVLEYISADILKLVGNYVRNIRHYEITKQDIKVAMCADKVLMDMFHQDV EDINILSLTDEEPSTSGEQTYYDLVKAFMAEIRQYIRELNLIIKVFREPFVSNSKLFSSN DVENIFSRIVDIHELSVKLLGHIEDTVEMTDEGSPHPLVGSCFEDLAEELAFDPYESYAR DILRPGFHGHFLSQLSKPGAALYLQSIGEGFKEAVQYVLPRLLLAPVYHCLHYFELLKQL EEKSEDQEDKECMKQAITALLNVQSGMEKICSKSLAKRRLSESACRFYSQQMKGKQLAIK KMNEIQKNIDGWEGKDIGQCCNEFIMEGTLTRVGAKHERHIFLFDGLMICCKSNHGQPRL PGASSAEYRLKEKFFMRKVQINDKDDTSEYKHAFEIILKDGNSVIFSAKSAEEKNNWMAA LISLQYRSTLERMLDVTVLQEEKEEQMRLPSAEVYRFAEPDSEENILFEENVQPKAGIPI IKAGTVLKLIERLTYHMYADPNFVRTFLTTYRSFCRPQELLSLLIERFEIPEPEPTEADR IAIENGDQPLSAELKRFRKEYIQPVQLRVLNVCRHWVEHHFYDFERDADLLQRMEEFIGT VRGKAMKKWVESITKIIQRKKIARDNGPGHNITFQSSPPTVEWHISRPGHIETFDLLTLH PIEIARQLTLLESDLYRAVQPSELVGSVWTKEDKEINSPNLLKMIRHTTNLTLWFEKCIV ETENLEERVAVVSRIIEILQVFQELNNFNGVLEVVSAMNSSPVYRLDHTFEQIPSRQKKI LEEAHELSEDHYKKYLAKLRSINPPCVPFFGIYLTNILKTEEGNPEVLRRHGKELINFSK RRRVAEITGEIQQYQNQPYCLRVEPDIKRFFENLNPMGNSMEKEFTDYLFNKSLEIEPRH PKPLPRFPKKYSYPLKSPGVRPSNPRPGTMRHPTPLQQEPRKISYSRIPESETESTASAP NSPRTPLTPPPASGTSSNTDVCSVFDSDHSASPFHSRSASVSSISLSKGTDEVPVPPPVP PRRRPESAPAESSPSKIMSKHLDSPPAIPPRQPTSKAYSPRYSISDRTSISDPPESPPLL PPREPVRTPDVFSSSPLHLQPPPLGKKSDHGNAFFPNSPSPFTPPPPQTPSPHGTRRHLP SPPLTQEMDLHSIAGPPVPPRQSTSQLIPKLPPKTYKREHTHPSMHRDGPPLLENAHSS >ENSMUSP00000034865.4 pep:known chromosome:GRCm38:9:57697613:57703822:1 gene:ENSMUSG00000032315.5 transcript:ENSMUST00000034865.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp1a1 description:cytochrome P450, family 1, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88588] MPSMYGLPAFVSATELLLAVTVFCLGFWVVRATRTWVPKGLKTPPGPWGLPFIGHMLTVG KNPHLSLTRLSQQYGDVLQIRIGSTPVVVLSGLNTIKQALVRQGDDFKGRPDLYSFTLIT NGKSMTFNPDSGPVWAARRRLAQNALKSFSIASDPTSASSCYLEEHVSKEANYLVSKLQK VMAEVGHFDPYKYLVVSVANVICAICFGQRYDHDDQELLSIVNLSNEFGEVTGSGYPADF IPVLRYLPNSSLDAFKDLNDKFYSFMKKLIKEHYRTFEKGHIRDITDSLIEHCQDRKLDE NANVQLSDDKVITIVLDLFGAGFDTVTTAISWSLMYLVTNPRVQRKIQEELDTVIGRDRQ PRLSDRPQLPYLEAFILETFRHSSFVPFTIPHSTTRDTSLNGFYIPKGCCVFVNQWQVNH DRELWGDPNEFRPERFLTPSGTLDKRLSEKVTLFGLGKRKCIGETIGRSEVFLFLAILLQ QIEFKVSPGEKVDMTPTYGLTLKHARCEHFQVQMRSSGPQHLQA >ENSMUSP00000037475.7 pep:known chromosome:GRCm38:18:23415415:23604230:1 gene:ENSMUSG00000024302.15 transcript:ENSMUST00000047954.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtna description:dystrobrevin alpha [Source:MGI Symbol;Acc:MGI:106039] MIEDSGKRGNTMAERRQLFAEMRAQDLDRIRLSTYRTACKLRFVQKKCNLHLVDIWNVIE ALRENALNNLDPNIELNVARLEAVLSTIFYQLNKRMPTTHQIHVEQSISLLLNFLLAAFD PEGHGKISVFAVKMALATLCGGKIMDKLRYIFSMISDSSGVMVYGRYDQFLREVLKLPTA VFEGPSFGYTEQSARSCFSQQKKVTLNGFLDTLMSDPPPQCLVWLPLLHRLANVENVFHP VECSYCHSESMMGFRYRCQQCHNYQLCQDCFWRGHAGGSHSNQHQMKEYTSWKSPAKKLT NALSKSLSCASSREPLHPMFPDQPEKPLNLAHIVPPRPVTSMNDTLFSHSVPSSGSPFIT RSSDGAHGGCV >ENSMUSP00000111498.2 pep:known chromosome:GRCm38:18:23415415:23659714:1 gene:ENSMUSG00000024302.15 transcript:ENSMUST00000115832.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtna description:dystrobrevin alpha [Source:MGI Symbol;Acc:MGI:106039] MIEDSGKRGNTMAERRQLFAEMRAQDLDRIRLSTYRTACKLRFVQKKCNLHLVDIWNVIE ALRENALNNLDPNIELNVARLEAVLSTIFYQLNKRMPTTHQIHVEQSISLLLNFLLAAFD PEGHGKISVFAVKMALATLCGGKIMDKLRYIFSMISDSSGVMVYGRYDQFLREVLKLPTA VFEGPSFGYTEQSARSCFSQQKKVTLNGFLDTLMSDPPPQCLVWLPLLHRLANVENVFHP VECSYCHSESMMGFRYRCQQCHNYQLCQDCFWRGHAGGSHSNQHQMKEYTSWKSPAKKLT NALSKSLSCASSREPLHPMFPDQPEKPLNLAHIVPPRPVTSMNDTLFSHSVPSSGSPFIT RSMLESSNRLDEEHRLIARYAARLAAESSSSQPTQQRSAPDISFTIDANKQQRQLIAELE NKNREILQEIQRLRVEHEQASQPTPEKAQQNPTLLAELRLLRQRKDELEQRMSALQESRR ELMVQLEGLMKLLKTQGASSPRSSPSHTISRPIPMPIRSASACPTPTHTPQDSLTGVGGD VQEAFAQSSRRNLRSDLLVAADSITNTMSSLVKELNSEVASETESTVDSEFSRPQFEDLA PSPTSEKAFLAQIHSRKPGYIHGGAASTTHGDMVPEDGDPYTQPEDGNYENESVRQLENE LQLEEYLKQKLQDEAYQVSLQG >ENSMUSP00000025243.3 pep:known chromosome:GRCm38:18:32067734:32104331:1 gene:ENSMUSG00000024384.3 transcript:ENSMUST00000025243.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iws1 description:IWS1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1920723] MDSEYYSGDQSDDGGATPVQDERDSGSDGEDGVTEQHSGSDTGSVDHHSENETSDREDGL AKIHNGTDSENDEPSNANASDSESEELHRPKDSDSDSEEHAESPASDSENEPVNQHGSDS ENEELLNGHASDSEKEEVSKHAASDSEAEDTLQPQVSESDSEDPPRPQASDSENEEPPKP RISDSESEELPKPRVSDSESEDPPRPQASDSESEELPKPRVSDSESEDPPRPQASDSESE ELPKPRVSDSESEDPQKGPASDSEAEDASRHKEKPDSDDSDGENKREDSEVQNESDGHTD RKGLHSSDSEEEEPKRQKIDSDDDEEKEGDEEKVAKRKAAVLSDSEDDAGNASAKKSRVV CDADDSDSDVVSDKSGKRETTVASDSEEEAGKEESSVKKKEDKDLFGSDSESGNEEENLI ADIFGESGDEEEEEFTGFNQEDLEEEKNETQLKEAEDSDSDDNIKRGKHMDFLSDFEMML QRKKSMCGKRRRNRDGGTFISDADDVVSAMIVKMNEAAEEDRQLNNQKKPALKKLTLLPT VVMHLKKQDLKETFIDSGVMSAIKEWLSPLPDRSLPALKIREELLKILQELPSVSQETLK HSGIGRAVMYLYKHPKESRSNKDMAGKLINEWSRPIFGLTSNYKGMTREEREQRDLEQMP QRRRLSSTGGQTPRRDLEKVLTGEEKALRPGDPGFCARARVPMPSNKDYVVRPKWNVEME SSRFQASSKKGISRLDKQMRKFTDIRKKSRSAHAVKISIEGNKMPL >ENSMUSP00000034965.6 pep:known chromosome:GRCm38:9:64179297:64182684:1 gene:ENSMUSG00000032398.6 transcript:ENSMUST00000034965.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc5 description:small nuclear RNA activating complex, polypeptide 5 [Source:MGI Symbol;Acc:MGI:1914282] MLSRLQELRKEEETLLRLKAALHDQLNRLKVEELALQSMINSRGRTETLSSQPAPEQLCD MSLHVDNEVTINQTTLKLSTRSPMEEEEEEEEEEEEEEESDS >ENSMUSP00000130606.1 pep:known chromosome:GRCm38:X:123029202:123029669:-1 gene:ENSMUSG00000094864.1 transcript:ENSMUST00000165345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17521 description:predicted gene, 17521 [Source:MGI Symbol;Acc:MGI:4937155] PATSSKHGAGDSSQKFKEDHTVPGPSSARQHGQVISPQIQGSSHPSRSSQGYLGSSTSPQ DAQGLSLSTQHAQGLSLSTQDVLELTRYFQESMGHSTSAHASERLPTCAERKIIHASSSR RSSKRLPPTLHNSNLYNSPTKHVGQDSSGQGDLQQA >ENSMUSP00000093233.3 pep:known chromosome:GRCm38:14:103513341:103613346:1 gene:ENSMUSG00000022123.8 transcript:ENSMUST00000095576.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scel description:sciellin [Source:MGI Symbol;Acc:MGI:1891228] MSNFSSRKKSPTGNDLKSARGAELRQQGFQDVNKRRAFLQDNSWIKKPPEEEQDGNYGRV VLNRHNSHDALDRKLIERDEPKATISRYRSEDMLDRTLSSFRTPQSTKTPAVSSFNANTT ATASTPATTPVKKKRQSWFPPPPPGHNASPSTAASRRDPALHPPLPPKPCSPIASPKPLG RTNRQIHAATAGACGETERHAERNIRTEDLDDIIRVAAALQKTDKGEELDNLIRMNKSLN RNQGLDGLFRANLKAQQLDKRAQSLESLIYMNTQTDRDGKGNQAFGSLKKINQRADPDRR SQDLRSVIRTHATAERIGRRKQDLDGLIKVNPDTNKNMKRGKSLDNLIKVTPEVNRSNKG GPSLDNFTKGVPARSRANQRDQDLDSLIKVTPSANRSSQHSLDELINTSPQTIKTTARHQ DLDKFIKVNPDVLTNNQRNHDVDSTIRGNPTGTRCEQSEELDNLIKVKPSALRNTNGGQD LESLTEVNSHVAEKNGRIDGQANGLTNSLFKESTRASVYSYEARNSLSSNSGNKNGGPKD TVVYTRTYVENSKSPKDGYQENISGKYIQTVYSTSDRSVIERDMCTYCRKPLGVETKMIL DELQICCHSTCFKCEICKRPLENLQAGDSIWIYRQTIHCEPCYSKVMAKWIQ >ENSMUSP00000100531.1 pep:known chromosome:GRCm38:14:26514554:26514910:1 gene:ENSMUSG00000078128.1 transcript:ENSMUST00000104927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2178 description:predicted gene 2178 [Source:MGI Symbol;Acc:MGI:3780348] MVQRLTYCQRLSYNTASNKTRLSRTPDNRIVYLYTKKVGKAPKSACGVCPLRLQGLPAVR ARVVLMRLSKTKMQVSRAYSCSMCAKCVCDRIKQAFFIEEQKIIVNVLKVQAQSQKAK >ENSMUSP00000025562.7 pep:known chromosome:GRCm38:19:9872297:9899533:-1 gene:ENSMUSG00000024660.8 transcript:ENSMUST00000025562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Incenp description:inner centromere protein [Source:MGI Symbol;Acc:MGI:1313288] MGTTAPGPICLLDLCDQKLLDFVCNVDNKDFMWLKEIEEEAERMFIREFSNEPELMPKTP SQKNRRKKRRVSNIQDENRDPVRKRLSRRKSRSSQVGTRHLRSKPVTIVEENGFPVLQRI TRATAAAAAAAAAASVASASSSSTAGSPTVLTKKAVVEISTSERLSAELQLTKLKGSLPP SPVSQGTLTSEEELTPKKSEAGKLDSVTVNSLKATPQSPKNRGVGEGRSVSKLKIARASW GLQDSPGSTDSPWQERVLSPILLNNILPTTAKSPLGNIRSVRRSLISQDSQVPLASKYNL VAKQENGSRRSSRRIAKKAGKEPEASARIICHSYLERLLNVEVPQNVGLEQEPVEVAEPE EAEEEQEVSKNSGCPSKPRSATKIAISTPTSKPAAAGQTTTVEEQEAELDQTDGHREPPQ SVRRKRSYKQAISEPDEEQLEDEELQPCQNKTPSPPCPANKVVRPLRTFLHTVQKNQMLM TPTLASRSSVMKSFIKRNTPLRVDPKEKERQRLESLRRKEEAEQRRRQKVEEDKRRRLEE VKLKREERLRKVLQARERVEQMKEEKKKQIEQKFAQIDEKTEKAKEERLAEKAKKKATAK KMEEVEARRKQEEEARRLRWLQQEEEERRHQEMLQRKKEEEQERRKAAEARRLAEQREQE RRREQERREQERREQERREQERKEQERREQEQERLRAKREMQEREKALRLQKERLQKELE EKKRKEEQQRLAEQQLQEEQAKKAKEVAAARKVLNMTVDVQSPVCTSYQMTPQGPKSIPK ISVDDYGMDLNSDDSTDDESHPRKPIPSWAKGTQLSQAIVHQYYHPPNILELFGSILPLD LEDIFKKRKTRYHKRTSSAVWNSPPLKATMVPSSGD >ENSMUSP00000040639.7 pep:known chromosome:GRCm38:18:11839274:11945627:1 gene:ENSMUSG00000040957.14 transcript:ENSMUST00000046948.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cables1 description:CDK5 and Abl enzyme substrate 1 [Source:MGI Symbol;Acc:MGI:1927065] MAAATATAGTAACSSSSSSRGGSTDAAATSGVQPPPPPPATAPPEPLRKPRMDPRRRQAA LSFLTNISLDGRPPLQDHEWGGGEEGGGTKPGARARLSLLAAGCNAFSAPGTAAAPWTAG SGSSPCPLPPSLVPRVLGEPSQPPRSAPAVTGAQLQLPDGPGGAGQEELEEDDAFTNVQV PSASFLGSGTPGSTSGSRGRLNSFTQGILPIAFSRQNSQNYCALEQSGQGGSTSALEQLQ RSRRRLISQRSSLETLEDIEENAPLRRCRTLSGSPRPKNFKKIHFIKNMRQHDTKNGRDL KLDGGRQSAGAMSLKEIIGLEGVELGADGKTVSYTQFLLPTNAFGNRRNTIDSTASFSQF RSLSHRSLSMGRAGSTQGSLDAGSDLGDFMDYDPNLLDDPQWPCGKHKRVLTFPSYMTTV IDYVKPSDLKKDMNETFKEKFPHIKLTLSKIRSLKREMRKLAQEDCGFEEPTVAMAFVYF EKLALRGKLNKQNRKLCAGACVLLAAKVGSDLRKHEVKHLIDKLEEKFRLNRRELIAFEF PVLVALEFALHLPEHEVMPHYRRLIQSS >ENSMUSP00000129463.1 pep:known chromosome:GRCm38:18:11839220:11945621:1 gene:ENSMUSG00000040957.14 transcript:ENSMUST00000171109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cables1 description:CDK5 and Abl enzyme substrate 1 [Source:MGI Symbol;Acc:MGI:1927065] MAAATATAGTAACSSSSSSRGGSTDAAATSGVQPPPPPPATAPPEPLRKPRMDPRRRQAA LSFLTNISLDGRPPLQDHEWGGGEEGGGTKPGARARLSLLAAGCNAFSAPGTAAAPWTAG SGSSPCPLPPSLVPRVLGEPSQPPRSAPAVTGAQLQLPDGPGGAGQEELEEDDAFTNVQV PSASFLGSGTPGSTSGSRGRLNSFTQGILPIAFSRQNSQNYCALEQSGQGGSTSALEQLQ RSRRRLISQRSSLETLEDIEENAPLRRCRTLSGSPRPKNFKKIHFIKNMRQHDTKNGRIV LISGRRSFCSIFSVLPYRDSTQVGDLKLDGGRQSAGAMSLKEIIGLEGVELGADGKTVSY TQFLLPTNAFGNRRNTIDSTASFSQFRSLSHRSLSMGRAGSTQGSLDAGSDLGDFMDYDP NLLDDPQWPCGKHKRVLTFPSYMTTVIDYVKPSDLKKDMNETFKEKFPHIKLTLSKIRSL KREMRKLAQEDCGFEEPTVAMAFVYFEKLALRGKLNKQNRKLCAGACVLLAAKVGSDLRK HEVKHLIDKLEEKFRLNRRELIAFEFPVLVALEFALHLPEHEVMPHYRRLIQSS >ENSMUSP00000046740.7 pep:known chromosome:GRCm38:17:10210179:10319361:-1 gene:ENSMUSG00000062078.14 transcript:ENSMUST00000042296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qk description:quaking [Source:MGI Symbol;Acc:MGI:97837] MVGEMETKEKPKPTPDYLMQLMNDKKLMSSLPNFCGIFNHLERLLDEEISRVRKDMYNDT LNGSTEKRSAELPDAVGPIVQLQEKLYVPVKEYPDFNFVGRILGPRGLTAKQLEAETGCK IMVRGKGSMRDKKKEEQNRGKPNWEHLNEDLHVLITVEDAQNRAEIKLKRAVEEVKKLLV PAAEGEDSLKKMQLMELAILNGTYRDANIKSPALAFSLAATAQAAPRIITGPAPVLPPAA LRTPTPAGPTIMPLIRQIQTAVMPNGTPHPTAAIVPPGPEAGLIYTPYEYPYTLAPATSI LEYPIEPSGVLEWIEMPVMPDISAH >ENSMUSP00000095025.3 pep:known chromosome:GRCm38:17:10206471:10319361:-1 gene:ENSMUSG00000062078.14 transcript:ENSMUST00000097414.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qk description:quaking [Source:MGI Symbol;Acc:MGI:97837] MVGEMETKEKPKPTPDYLMQLMNDKKLMSSLPNFCGIFNHLERLLDEEISRVRKDMYNDT LNGSTEKRSAELPDAVGPIVQLQEKLYVPVKEYPDFNFVGRILGPRGLTAKQLEAETGCK IMVRGKGSMRDKKKEEQNRGKPNWEHLNEDLHVLITVEDAQNRAEIKLKRAVEEVKKLLV PAAEGEDSLKKMQLMELAILNGTYRDANIKSPALAFSLAATAQAAPRIITGPAPVLPPAA LRTPTPAGPTIMPLIRQIQTAVMPNGTPHPTAAIVPPGPEAGLIYTPYEYPYTLAPATSI LEYPIEPSGVLGAVATKVRRHDMRVHPYQRIVTADRAATGN >ENSMUSP00000002881.3 pep:known chromosome:GRCm38:12:112934733:112942118:-1 gene:ENSMUSG00000002804.3 transcript:ENSMUST00000002881.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt14 description:nudix (nucleoside diphosphate linked moiety X)-type motif 14 [Source:MGI Symbol;Acc:MGI:1913424] MERIDGVAVGLCAHSPYLRPFTLHYRQDGVQKSWDFMKTHDSVTILMFNSSRRSLVLVKQ FRPAVYAGEVERHFPGSLTAVNQDQPQELQQALPGSAGVMVELCAGIVDQPGLSLEEAAC KEAWEECGYRLVPTDLRRVATYMSGVGLTSSRQTMFYAEVTDAQRGGPGGGLAEEGELIE VIHLNLDDAQAFADNPDIPKTLGVIYAISWFFSQVVPHLSLQ >ENSMUSP00000005490.8 pep:known chromosome:GRCm38:10:78780496:78814825:1 gene:ENSMUSG00000005357.8 transcript:ENSMUST00000005490.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a6 description:solute carrier family 1 (high affinity aspartate/glutamate transporter), member 6 [Source:MGI Symbol;Acc:MGI:1096331] MSSHGNSLFLRESGAGGGCLQGLQDSLQQRALRTRLRLQTMTREHVRRFLRRNAFILLTV SAVIIGVSLAFALRPYQLSYRQIKYFSFPGELLMRMLQMLVLPLIVSSLVTGMASLDNKA TGRMGMRAAVYYMVTTVIAVFIGILMVTIIHPGKGSKEGLHREGRIETVPTADAFMDLVR NMFPPNLVEACFKQFKTQYSTRVVTRTIVRTDNGSELGASMSPTSSVENETSILENVTRA LGTLQEVISFEETVPVPGSANGINALGLVVFSVAFGLVIGGMKHKGRVLRDFFDSLNEAI MRLVGIIIWYAPVGILFLIAGKILEMEDMAVLGGQLGMYTLTVIVGLFLHAGGVLPLIYF LVTHRNPFPFIGGMLQALITAMGTSSSSATLPITFRCLEEGLGVDRRITRFVLPVGATVN MDGTALYEALAAIFIAQVNNYELNLGQITTISITATAASVGAAGIPQAGLVTMVIVLTSV GLPTEDITLIIAVDWFLDRLRTMTNVLGDSIGAAVIEHLSQRELELQEAELTLPSLGKPY KSLMAQEKGASRGRGGNESVM >ENSMUSP00000074783.3 pep:known chromosome:GRCm38:18:20665250:20674324:1 gene:ENSMUSG00000061808.3 transcript:ENSMUST00000075312.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttr description:transthyretin [Source:MGI Symbol;Acc:MGI:98865] MASLRLFLLCLAGLVFVSEAGPAGAGESKCPLMVKVLDAVRGSPAVDVAVKVFKKTSEGS WEPFASGKTAESGELHGLTTDEKFVEGVYRVELDTKSYWKTLGISPFHEFADVVFTANDS GHRHYTIAALLSPYSYSTTAVVSNPQN >ENSMUSP00000040227.5 pep:known chromosome:GRCm38:14:20723739:20730327:-1 gene:ENSMUSG00000039308.5 transcript:ENSMUST00000047490.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndst2 description:N-deacetylase/N-sulfotransferase (heparan glucosaminyl) 2 [Source:MGI Symbol;Acc:MGI:97040] MLQLWKVVRPARQLELHRLILLLIGFSLVSMGFLAYYVSTSPKAKEPLPLPLGDCSSSGA AGPGPARPPVPPRPQRPPETTRTEPVVLVFVESAYSQLGQEIVAILESSRFRYSTELAPG RGDMPTLTDHTHGRYVLVIYENLLKYVNLDAWSRELLDRYCVEYGVGIIGFFRAREHSLL SAQLKGFPLFLHSNLGLRDYQVNPSAPLLHLTRPSRLEPGPLPGDDWTIFQSNHSTYEPV LIASHRPAELSMPGPVLRRARLPTVVQDLGLHDGIQRVLFGHGLSFWLHKLVFVDAVAYL TGKRLCLDLDRYILVDIDDIFVGKEGTRMKVADVEALLTTQNKLRTLVPNFTFNLGFSGK FYHTGTEEEDAGDDMLLKHRREFWWFPHMWSHMQPHLFHNRSVLADQMRLNKQFALEHGI PTDLGYAVAPHHSGVYPIHSQLYEAWKSVWGIQVTSTEEYPHLRPARYRRGFIHNGIMVL PRQTCGLFTHTIFYNEYPGGSRELDRSIRGGELFLTVLLNPISVFMTHLSNYGNDRLGLY TFESLVRFLQCWTRLRLQTLPPVPLAQKYFELFPQERSPLWQNPCDDKRHKDIWSKEKTC DRLPKFLIVGPQKTGTTAIHFFLSLHPAVTSSFPSPSTFEEIQFFNGPNYHKGIDWYMDF FPVPSNASTDFLFEKSATYFDSEVVPRRGAALLPRAKIITVLINPADRAYSWYQHQRAHG DPIALNYTFYQVISASSQAPLLLRSLQNRCLVPGYYSTHLQRWLTYYPSGQLLIMDGQEL RVNPAASMEIIQKFLGITPFLNYTRTLRFDEDKGFWCQGLEGGKTRCLGRSKGRRYPDMD MESRLFLTDFFRNHNLELSKLLSRLGQPAPLWLREELQHSSVG >ENSMUSP00000022725.2 pep:known chromosome:GRCm38:14:118012792:118052246:-1 gene:ENSMUSG00000022129.3 transcript:ENSMUST00000022725.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dct description:dopachrome tautomerase [Source:MGI Symbol;Acc:MGI:102563] MGLVGWGLLLGCLGCGILLRARAQFPRVCMTLDGVLNKECCPPLGPEATNICGFLEGRGQ CAEVQTDTRPWSGPYILRNQDDREQWPRKFFNRTCKCTGNFAGYNCGGCKFGWTGPDCNR KKPAILRRNIHSLTAQEREQFLGALDLAKKSIHPDYVITTQHWLGLLGPNGTQPQIANCS VYDFFVWLHYYSVRDTLLGPGRPYKAIDFSHQGPAFVTWHRYHLLWLERELQRLTGNESF ALPYWNFATGKNECDVCTDELLGAARQDDPTLISRNSRFSTWEIVCDSLDDYNRRVTLCN GTYEGLLRRNKVGRNNEKLPTLKNVQDCLSLQKFDSPPFFQNSTFSFRNALEGFDKADGT LDSQVMNLHNLAHSFLNGTNALPHSAANDPVFVVLHSFTDAIFDEWLKRNNPSTDAWPQE LAPIGHNRMYNMVPFFPPVTNEELFLTAEQLGYNYAVDLSEEEAPVWSTTLSVVIGILGA FVLLLGLLAFLQYRRLRKGYAPLMETGLSSKRYTEEA >ENSMUSP00000072626.5 pep:known chromosome:GRCm38:18:21001341:21028223:1 gene:ENSMUSG00000024317.14 transcript:ENSMUST00000072847.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf138 description:ring finger protein 138 [Source:MGI Symbol;Acc:MGI:1929211] MSEELSAATSYTEDDFYCPVCQEVLKTPVRTAACQHVFCRKCFLTAMRESGIHCPLCRGS VTRRERACPERALDLENIMRRFSGSCRCCSKKIKFYRMRHHYKSCKKYQDEYGVSSVIPN FKISQDSVRSSNRSETSASDNTETYQEDTSSSGHPTFKCPLCQESNFTRQRLLDHCNSNH LFQIVPVNLQLDEETQYQTAVEESFQVNM >ENSMUSP00000056641.5 pep:known chromosome:GRCm38:18:21001341:21028223:1 gene:ENSMUSG00000024317.14 transcript:ENSMUST00000052396.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf138 description:ring finger protein 138 [Source:MGI Symbol;Acc:MGI:1929211] MSEELSAATSYTEDDFYCPVCQEVLKTPVRTAACQHVFCRKCFLTAMRESGIHCPLCRGS VTRRERACPERALDLENIMRRFSGSCRCCSKKIKFYRMRHHYKSCKKYQDEYGVSSVIPN FKISQDSVRSSNRSETSASDNTETYQEDTSSSGHPTFKCPLCQESNFTRQRLLDHCNSNH LFQIVPVTCPICVSLPWGDPSQITRNFVSHLNQRHQFDYGEFVNLQLDEETQYQTAVEES FQVNM >ENSMUSP00000033934.3 pep:known chromosome:GRCm38:8:22411340:22429665:1 gene:ENSMUSG00000031533.3 transcript:ENSMUST00000033934.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps31 description:mitochondrial ribosomal protein S31 [Source:MGI Symbol;Acc:MGI:1913153] MLHRIPAFLRPRPFSGLPLSCGNRDVSVAVLPAAQSGAVRTENNIQRHFCTSRSICSKKV DQSVPANEISQKAAESQGRGKETLKKDLLDIIKDMKVDLSTANVKTPKPRGRKPSASLEA TVDRLQKAPEDPPKKRNEFLSPELVAAASAVADSLPFDKQTTKSELLRQLQQHEEELRAQ KDREKRRISFTHIISNMKIAKSPSGRASTRPQHQIQFDEDMDSSLKQEKPTDFRKRKYLF KGKRLSIFADKAFADEPPEPEASPSLWEIEFAKQLASVADQPFENGFEEMIQWTKEGKLW EFPVNNEAGLDDDGSEFHEHIFLDKYLEDFPKQGPIRLFMELVTCGLSKNPYLSVKQKVE HIEWFRNYFNEKRDILKENNIAFT >ENSMUSP00000020550.5 pep:known chromosome:GRCm38:10:79682195:79688394:1 gene:ENSMUSG00000020307.14 transcript:ENSMUST00000020550.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc34 description:cell division cycle 34 [Source:MGI Symbol;Acc:MGI:102657] MARPLVPSSQKALLLELKGLQEEPVEGFRVTLVDEGDLYNWEVAIFGPPNTYYEGGYFKA RLKFPIDYPYSPPAFRFLTKMWHPNIYETGDVCISILHPPVDDPQSGELPSERWNPTQNV RTILLSVISLLNEPNTFSPANVDASVMYRKWKESKGKDREYTDIIRKQVLGTKVDAERDG VKVPTTLAEYCVKTKAPAPDEGSDLFYDDYYEDGEVEEADSCFGDEEDDSGTEES >ENSMUSP00000128806.1 pep:known chromosome:GRCm38:10:79682304:79688394:1 gene:ENSMUSG00000020307.14 transcript:ENSMUST00000166603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc34 description:cell division cycle 34 [Source:MGI Symbol;Acc:MGI:102657] MARPLVPSSQKALLLELKGLQEEPVEGFRVTLVDEGDLYNWEVAIFGPPNTYYEGGYFKA RLKFPIDYPYSPPAFRFLTKMWHPNIYETGDVCISILHPPVDDPQSGELPSERWNPTQNV RTILLSVISLLNEPNTFSPANVDASVMYRKWKESKGKDREYTDIIRKQVLGTKVDAERDG VKVPTTLAEYCVKTKAPAPDEGSDLFYDDYYEDGEVEEADSCFGDEEDDSGTEES >ENSMUSP00000132189.1 pep:known chromosome:GRCm38:18:32837225:32866350:1 gene:ENSMUSG00000038299.15 transcript:ENSMUST00000166214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr36 description:WD repeat domain 36 [Source:MGI Symbol;Acc:MGI:1917819] MAEMESAVEGRTASVLFAGFRALGLFSNEVPHVVRYSALKRRFYVTTCVGKSFHTYDVQK LSLVAVSNSVPQDICCMAADGRLVFAAYGNVFSAFARNKEIVHTFKGHKAEIHLLQPFGD HVISVDTDSVLIIWHIYSEEEYLQLTFDKSVFKISTILHPSTYLNKVLLGSEQGSLQLWN IKSNKLLYTFPGWKVGVTALQQAPAVDVVAIGLVSGQVVIHNIKYDETLMKFHQDWGPIT SISFRTDGHPIMAAGSPCGHIGLWDLEDKKLINQMRNAHSTAISGLTFLHREPLLVTNGA DNALRIWIFDGPAGEGRLLRFRMGHSASLTKIRYYGQNGQQILSASQDGTLQSFSTVHEK FNKSLGHGLVNKKIVKRKGLQNTMSVRLPPITQFAAEEARQSDWDGIIACHQGKRSCSTW NYQRSTIGAYFLKPRGVKTNSNLTATAVDITSCGNFAIIGLSSGAVDVYNMQSGIHRGNF GDDKAHTGSVRGVAVDGLNQLVVTAGSERLLKFWNFKSKVLIHSLGLDSSPNMMLLHRDS GILGLAMDDFSIAVLDIETRKIVREFSGHHGQINDMTFSPDGRWLISAAMDCSVRTWDLP SGCLIDCFLLDSAPLNVTMSPTGDFLATSHVDHLGIYLWSNISLYSVVSLRPLPPDYVPS IVMLPGTCQTQGMEDLEEKTEPSDEMIEYESPEQLSEQLVTLSLLPESRWKNLLNLDVIK KKNKPKEPPKVPQSAPFFIPTVPGLVPRFAVPEPSSDPQQSKVVNLGILAQKSNFYLKLE EGLLNNQYEAALNLLKELGPSGIETELRNLSPDDGGSVEVMRSFLSMIGMMLDRKRDFEL AQAYLALFLKLHLRTLPSEPALLEELVKLSSQKLCTSRELKTT >ENSMUSP00000052465.9 pep:known chromosome:GRCm38:18:32837225:32866420:1 gene:ENSMUSG00000038299.15 transcript:ENSMUST00000053663.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr36 description:WD repeat domain 36 [Source:MGI Symbol;Acc:MGI:1917819] MAEMESAVEGRTASVLFAGFRALGLFSNEVPHVVRYSALKRRFYVTTCVGKSFHTYDVQK LSLVAVSNSVPQDICCMAADGRLVFAAYGNVFSAFARNKEIVHTFKGHKAEIHLLQPFGD HVISVDTDSVLIIWHIYSEEEYLQLTFDKSVFKISTILHPSTYLNKVLLGSEQGSLQLWN IKSNKLLYTFPGWKVGVTALQQAPAVDVVAIGLVSGQVVIHNIKYDETLMKFHQDWGPIT SISFRTDGHPIMAAGSPCGHIGLWDLEDKKLINQMRNAHSTAISGLTFLHREPLLVTNGA DNALRIWIFDGPAGEGRLLRFRMGHSASLTKIRYYGQNGQQILSASQDGTLQSFSTVHEK FNKSLGHGLVNKKIVKRKGLQNTMSVRLPPITQFAAEEARQSDWDGIIACHQGKRSCSTW NYQRSTIGAYFLKPRGVKTNSNLTATAVDITSCGNFAIIGLSSGAVDVYNMQSGIHRGNF GDDKAHTGSVRGVAVDGLNQLVVTAGSERLLKFWNFKSKVLIHSLGLDSSPNMMLLHRDS GILGLAMDDFSIAVLDIETRKIVREFSGHHGQINDMTFSPDGRWLISAAMDCSVRTWDLP SGCLIDCFLLDSAPLNVTMSPTGDFLATSHVDHLGIYLWSNISLYSVVSLRPLPPDYVPS IVMLPGTCQTQGMEDLEEKTEPSDEMIEYESPEQLSEQLVTLSLLPESRWKNLLNLDVIK KKNKPKEPPKVPQSAPFFIPTVPGLVPRFAVPEPSSDPQQSKVVNLGILAQKSNFYLKLE EGLLNNQYEAALNLLKELGPSGIETELRNLSPDDGGSVEVMRSFLSMIGMMLDRKRDFEL AQAYLALFLKLHLRTLPSEPALLEELVKLSSQVEKDWTHLQSLFNQSMCVLNYIKSAFL >ENSMUSP00000127990.1 pep:known chromosome:GRCm38:17:6310547:6317474:-1 gene:ENSMUSG00000092074.1 transcript:ENSMUST00000169415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynlt1a description:dynein light chain Tctex-type 1A [Source:MGI Symbol;Acc:MGI:3807506] MEDFQASEETAFVVDEVSSIVKEAIESAIGGNAYQHSKVNQWTTNVLEQTLSQLTKLGRP FKYIVTCVIMQKNGAGLHSASSCFWDSSTDGSCTVRWENKTMYCIVSTFGLSI >ENSMUSP00000063376.3 pep:known chromosome:GRCm38:18:44166358:44175073:-1 gene:ENSMUSG00000053729.3 transcript:ENSMUST00000066328.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spinkl description:serine protease inhibitor, Kazal type-like [Source:MGI Symbol;Acc:MGI:1924674] MSSTWIKFLFILTLVLLPYSVFSVNIFAGPENVIKEPNCTMYKSKSECSNIAENPVCADD RNTYYNECYFCIEKVVEKLKYRYHGICIYK >ENSMUSP00000073581.6 pep:known chromosome:GRCm38:10:23785211:23787195:-1 gene:ENSMUSG00000061983.6 transcript:ENSMUST00000073926.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps12 description:ribosomal protein S12 [Source:MGI Symbol;Acc:MGI:98105] MAEEGIAAGGVMDVNTALQEVLKTALIHDGLARGIREAAKALDKRQAHLCVLASNCDEPM YVKLVEALCAEHQINLIKVDDNKKLGEWVGLCKIDREGKPRKVVGCSCVVVKDYGKESQA KDVIEEYFKCKK >ENSMUSP00000025110.3 pep:known chromosome:GRCm38:18:31437808:31447415:-1 gene:ENSMUSG00000024261.5 transcript:ENSMUST00000025110.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt4 description:synaptotagmin IV [Source:MGI Symbol;Acc:MGI:101759] MAPITTSRVEFDEIPTVVGIFSAFGLVFTVSLFAWICCQRRSAKSNKTPPYKFVHVLKGV DIYPENLSSKKKFGGDDKSEVKGKAALPNLSLHLDLEKRDLNGNFPKANPKAGSSSDLEN VTPKLFTETEKEANSPESLKSSTSLTSEEKQEKLGTLFLSLEYNFEKKAFVVNIKEAQGL PAMDEQSMTSDPYIKMTILPEKKHRVKTRVLRKTLDPVFDETFTFYGIPYPHIQELSLHF TVLSFDRFSRDDVIGEVLIPLSGIELSDGKMLMTREIIKRNAKKSSGRGELLVSLCYQST TNTLTVVVLKARHLPKSDVSGLSDPYVKVNLYHAKKRISKKKTHVKKCTPNAVFNELFVF DIPCESLEEISVEFLVLDSERGSRNEVIGRLVLGATAEGSGGGHWKEICDFPRRQIAKWH MLCDG >ENSMUSP00000044570.7 pep:known chromosome:GRCm38:10:79910053:79916930:-1 gene:ENSMUSG00000035781.13 transcript:ENSMUST00000045628.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm4 description:R3H domain containing 4 [Source:MGI Symbol;Acc:MGI:1924814] MVALDNSEGGPEATPSGETRLSLPGCLPPLSGSQVKRVSASRRKQHFINQAVRNSDLVPR AKGRKSLQRLENTQYLLTLLETAGGPPGVEDGDLTPAAPGIFAEACSNATYVEVWNDFMN RSGEEQERVLRYLEDESQGKRRRGPGRGEDRRREDPVFTPHECFRRISRRLRSVLKRSRI PMETLESWEERLLAFFSVSPQAVYTAMLDNSYERLLLHAVCQYMDLISASADLEGRRQMK VSNRHLDFLPPELLLSAYLDQQ >ENSMUSP00000132266.1 pep:known chromosome:GRCm38:10:79910238:79917006:-1 gene:ENSMUSG00000035781.13 transcript:ENSMUST00000171416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:R3hdm4 description:R3H domain containing 4 [Source:MGI Symbol;Acc:MGI:1924814] MVALDNSEGGPEATPSGETRLSLPGCLPPLSGSQVKRVSASRRKQHFINQAVRNSDLVPR AKGRKSLQRLENTQYLLTLLETAGGPPGVEDGDLTPAAPGIFAEACSNATYVEVWNDFMN RSGEEQERVLRYLEDESQGKRRRGPGRGEDRRREDPVFTPHECFRRISRRLRSVLKRSRI PMETLESWEERLLAFFSVSPQAVYTAMLDNSYERLLLHAVCQYMDLISASADLEGRRQMK VSNRHLDFLPPELLLSAYLDQQ >ENSMUSP00000129615.1 pep:known chromosome:GRCm38:13:41025120:41079706:-1 gene:ENSMUSG00000021363.13 transcript:ENSMUST00000165087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mak description:male germ cell-associated kinase [Source:MGI Symbol;Acc:MGI:96913] MNRYTTMKQLGDGTYGSVLMGKSNESGELVAIKRMKRKFYSWDECMNLREVKSLKKLNHA NVIKLKEVIRENDHLYFVFEYMKENLYQLMKDRNKLFPESVIRNIMYQILQGLAFIHKHG FFHRDMKPENLLCMGPELVKIADFGLARELRSQPPYTDYVSTRWYRAPEVLLRSSVYSSP IDVWAVGSIMAELYTFRPLFPGTSEVDEIFKICQVLGTPKKSDWPEGYQLASSMNFRFPQ CIPINLKTLIPNASSEAIQLMTEMLNWDPKKRPTASQALKHPYFQVGQVLGSSAHHLDTK QTLHKQLQPLEPKPSSSERDPKPLPNILDQPAGQPQPKQGHQPLQTIQPPQNTVTHPPPK QQGHQKPPQTMFPSIIKTIPVNSVSTLGHKGARRRWGQTVFKSGDSCDDIEDDLGASHSK KPSMEACKEKKKESPFRFPDSGLPVSNHFKGENRNLHASVSLKSDPNLSTASTAKQYYLK QSRYLPGVNPKNVSLVAGGKDINSHSWNNQLFPKSLGSMGADLSFKRSNAEDSIIKPIEN LSCTGKSAEQLEDPQGNLGSYTTYNQTGYMPSFLKKEVGSAGQRIQLAPLGASASDYTWS TKTGRGQFSGRTYNPTAKNLNIVNRTQPVPSVHGRTDWVAKYGGHR >ENSMUSP00000064750.6 pep:known chromosome:GRCm38:13:41025120:41079706:-1 gene:ENSMUSG00000021363.13 transcript:ENSMUST00000070193.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mak description:male germ cell-associated kinase [Source:MGI Symbol;Acc:MGI:96913] MNRYTTMKQLGDGTYGSVLMGKSNESGELVAIKRMKRKFYSWDECMNLREVKSLKKLNHA NVIKLKEVIRENDHLYFVFEYMKENLYQLMKDRDMKPENLLCMGPELVKIADFGLARELR SQPPYTDYVSTRWYRAPEVLLRSSVYSSPIDVWAVGSIMAELYTFRPLFPGTSEVDEIFK ICQVLGTPKKSDWPEGYQLASSMNFRFPQCIPINLKTLIPNASSEAIQLMTEMLNWDPKK RPTASQALKHPYFQVGQVLGSSAHHLDTKQTLHKQLQPLEPKPSSSERDPKPLPNILDQP AGQPQPKQGHQPLQTIQPPQNTVTHPPPKQQGHQKPPQTMFPSIIKTIPVNSVSTLGHKG ARRRWGQTVFKSGDSCDDIEDDLGASHSKKPSMEACKEKKKESPFRFPDSGLPVSNHFKG ENRNLHASVSLKSDPNLSTASTAKQYYLKQSRYLPGVNPKNVSLVAGGKDINSHSWNNQL FPKSLGSMGADLSFKRSNADYTWSTKTGRGQFSGRTYNPTAKNLNIVNRTQPVPSVHGRT DWVAKYGGHR >ENSMUSP00000021792.4 pep:known chromosome:GRCm38:13:41025120:41070465:-1 gene:ENSMUSG00000021363.13 transcript:ENSMUST00000021792.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mak description:male germ cell-associated kinase [Source:MGI Symbol;Acc:MGI:96913] MNRYTTMKQLGDGTYGSVLMGKSNESGELVAIKRMKRKFYSWDECMNLREVKSLKKLNHA NVIKLKEVIRENDHLYFVFEYMKENLYQLMKDRNKLFPESVIRNIMYQILQGLAFIHKHG FFHRDMKPENLLCMGPELVKIADFGLARELRSQPPYTDYVSTRWYRAPEVLLRSSVYSSP IDVWAVGSIMAELYTFRPLFPGTSEVDEIFKICQVLGTPKKSDWPEGYQLASSMNFRFPQ CIPINLKTLIPNASSEAIQLMTEMLNWDPKKRPTASQALKHPYFQVGQVLGSSAHHLDTK QTLHKQLQPLEPKPSSSERDPKPLPNILDQPAGQPQPKQGHQPLQTIQPPQNTVTHPPPK QQGHQKPPQTMFPSIIKTIPVNSVSTLGHKGARRRWGQTVFKSGDSCDDIEDDLGASHSK KPSMEACKEKKKESPFRFPDSGLPVSNHFKGENRNLHASVSLKSDPNLSTASTAKQYYLK QSRYLPGVNPKNVSLVAGGKDINSHSWNNQLFPKSLGSMGADLSFKRSNAAGNLGSYTTY NQTGYMPSFLKKEVGSAGQRIQLAPLGASASDYTWSTKTGRGQFSGRTYNPTAKNLNIVN RTQPVPSVHGRTDWVAKYGGHR >ENSMUSP00000046453.5 pep:known chromosome:GRCm38:8:11514021:11550771:-1 gene:ENSMUSG00000056228.9 transcript:ENSMUST00000049461.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cars2 description:cysteinyl-tRNA synthetase 2 (mitochondrial)(putative) [Source:MGI Symbol;Acc:MGI:1919191] MLRARGPGPGALLLRAALGLGRRGRSWQRPQGQDTGVQVHNSLTGRKEPLIVARSDAVSW YSCGPTVYDHAHLGHACSYVRFDIIRRILTRVFGCNVVMAMSITDVDDKIIKRANEMNVT PASLASLFEEEFKQDMAALKVLPPTVYLRVTENIPQIIAFIEGIIAHGHAYSTATGSVYF DLHARGDKYGKLVNTVPSATAEPAGDSDKRHSSDFALWKAAKPQEVFWASPWGDGRPGWH IECSTMASEVFGSHLDIHTGGIDLAFPHHENEIAQSEVFHQCQQWGNYFLHSGHLHVKGT EEKMSKSLKNYITIKDFLKTFSPDVFRLFCLRTNYRSAIEYSDSTLVEAKHLLLGLASFV EDARAYVKGQLTCGPVEEDVLWERLTSTKKAVKAALANDFDTPRAVNTILDLVHHANRQL RAVSKEASGPRSPTVFGAIISYVEQFFETVGISLANRQCVSGDSSTVTLRCVVDELVRFR LKVRQYALDTPGAAGEARKRQLQERQPLLEACDTLRQDLVTHGINVKDRGSAASTWELLD PRTRHQKPGDRG >ENSMUSP00000002733.6 pep:known chromosome:GRCm38:17:57003405:57011288:-1 gene:ENSMUSG00000002658.9 transcript:ENSMUST00000002733.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2f1 description:general transcription factor IIF, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1923848] MAALGSSSQNVTEYVVRVPKNTAKRYNIMAFNAADKVNFATWNQARLERDLSNKKIYQEE EMPESGAGSEFNRKLREEARRKKYGIVLKEFRPEDQPWLLRVNGKSGRKFKGIKKGGVTE NTAYYIFTQCADGAFEAFPVQNWYNFTPLARHRTLTAEEAEEEWERRNKVLNHFSIMQQR RLKDQDQDEDEEEKEKRSRKKPSELRIHDLEDDLEMSSDASDASGEEGSRTSKAKKKAPV TKAGRKKKKKKGSDDEAFEDSDDGDFEGQEVDYMSDGSSSSPDETEGKPKVPQQEDGPKG VDEQSESSEESEEEKPPEEDKEEEEEKKAPTPQEKKRRKDSSDDSDSSEESDIDSETSSA LFMAKKKTPPKRERKPSGGSSKGTSRPGTPSAEAASTSSTLRAAASKLEQGKRTSETPAA KRLRMDTGPQSLSGKSTPSSGDVQVTEDAVRRYLTRKPMTTKDLLKKFQTKKTGLSSEQT VNVLAQILKRLNPERKMIGDKMHFSLKE >ENSMUSP00000043733.7 pep:known chromosome:GRCm38:15:55015129:55072152:-1 gene:ENSMUSG00000037343.7 transcript:ENSMUST00000041733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf2 description:TAF2 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:2443028] MPPTGLESSRMNRKKGDKGFESPRPYKLTHQVVCINNINFQRKSVVGFVELTIFPTVANL NRIKLNSKQCRIYRVRINDLEAAFIYNDPTLEVCHSESKQRNLNYFSNAYAAAVSAVDPD AGNGELCIKVPSELWKHVDELKVLKIHINFSLDQPKGGLHFVVPSVEGSMAERGAHVFSC GYQNSTRFWFPCVDSYSELCTWKLEFTVDAAMVAVSNGDLVETVYTHDMRKKTFHYMLTI PTAASNISLAIGPFEILVDPYMHEVTHFCLPQLLPLLKHTTSYIHEVFEFYEEILTCRYP YSCFKTVFIDEAYVEVAAYASMSIFSTNLLHSAMIIDETPLTRRCLAQALAQQFFGCFIS RMSWSDEWVLKGISGYIYGLWMKKTFGVNEYHHWIKEELDKIVAYELKTGGVLLHPIFGG GKEKDNPASHLHFSIKHPHTLSWEYYTMFQCKAHLVMRLIENRISMEFMLQVFNKLLSLA STASSQKFQSHMWSQMLVSTYGFLKSISNVSGKDIQPLIKQWVDQSGVVKFYGSFAFNRK RNVLELEIKQDYTSPGTQKYVGPLKVTVQELDGSFNHTLQIEENSLKHDIPCHSKSRRNK KKKIPLMNGEEVDMDLSAMDADSPLLWIRIDPDMSVLRKVEFEQADFMWQYELRYERDVV AQQESILALEKFPTPASRLALTDILEQEQCFYRVRMSACFCLAKIANSMVSTWTGPPAMK SLFTRMFCCKTCPNIVKTNNFMSFQSYFLQKTMPVAMALLRDVHNLCPKEVLTFILDLIK YNDNRKNKFSDNYYRAEMIDALANSVTPAVSVNNEVRTLDNLNPDVRLILEEITRFLNME KLLPSYRHTITVSCLRAIRVLQKNGHVPSDASLFKSYAEYGHFVDIRIAALEAVVDYTKV DRSYEELQWLLNMIQTDPVPYVRHKILNMLTKNPPFTKNMESPLCNEALVDQLWKLMNSG TAHDWRLRCGAVDLYFTLFGLSRPSCLPLPELGLVLNLKEKKAVLNPTIIPEAGVGNQES ASNPGCHAQLAGFQNPFSSSQDEEEVDMDTVHDSQAFISHHLNMLERPSTPGLSKYRPSG SRSSLMPQHSLGCDITPPTKPQWSMELSRKGAGKEQPLEMGVHSMVAAPLSMFAKEALSS RHSEHHHHHHHEHKKKKKKHKHKHKHKHKHDSKDKDREPFAFSSPASGRSVRSPSLSD >ENSMUSP00000026062.9 pep:known chromosome:GRCm38:19:56397129:56442344:1 gene:ENSMUSG00000025076.9 transcript:ENSMUST00000026062.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp7 description:caspase 7 [Source:MGI Symbol;Acc:MGI:109383] MTDDQDCAAELEKVDSSSEDGVDAKPDRSSIISSILLKKKRNASAGPVRTGRDRVPTYLY RMDFQKMGKCIIINNKNFDKATGMDVRNGTDKDAGALFKCFQNLGFEVTVHNDCSCAKMQ DLLRKASEEDHSNSACFACVLLSHGEEDLIYGKDGVTPIKDLTAHFRGDRCKTLLEKPKL FFIQACRGTELDDGIQADSGPINDIDANPRNKIPVEADFLFAYSTVPGYYSWRNPGKGSW FVQALCSILNEHGKDLEIMQILTRVNDRVARHFESQSDDPRFNEKKQIPCMVSMLTKELY FSR >ENSMUSP00000066035.9 pep:known chromosome:GRCm38:14:20455261:20480133:-1 gene:ENSMUSG00000021814.16 transcript:ENSMUST00000065504.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa7 description:annexin A7 [Source:MGI Symbol;Acc:MGI:88031] MSYPGYPPTGYPPFPGYPPAGQESSFPTAGQYPYPSGFPPMGGGAYPPAPSGGYPGAGGY PAPGGYPAPGGYPGALSPGGPPAYPGGQGFGAPPGGAGFSGYPQPPAQSYGGGPAQVPVP GGFPGGQMPSQYPGGQAPYPSQPASMTQGTQGTILPASNFDAMRDAEILRKAMKGFGTDE QAIVDVVSNRSNDQRQQIKAAFKTMYGKDLIKDLKSELSGNMEELILALFMPSTYYDAWS LRKAMQGAGTQERVLIEILCTRTNQEIRDIVRCYQLEFGRDLEKDIRSDTSGHFERLLVS MCQGNRDERQSVNHQMAQEDAQRLYQAGEGRLGTDESCFNMILATRSFPQLKATMEAYSR MANRDLLSSVSREFSGYVESGLKTILQCALNRPAFFAERLYYSMKGAGTDDSTLVRIVVT RSEIDLVQIKQMFTQMYQKTLSTMIASDTSGDYRKLLLAIVGQ >ENSMUSP00000098405.4 pep:known chromosome:GRCm38:14:20455261:20480133:-1 gene:ENSMUSG00000021814.16 transcript:ENSMUST00000100844.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa7 description:annexin A7 [Source:MGI Symbol;Acc:MGI:88031] MSYPGYPPTGYPPFPGYPPAGQESSFPTAGQYPYPSGFPPMGGGAYPPAPSGGYPGAGGY PAPGGYPAPGGYPGALSPGGPPAYPGGQGFGAPPGGAGFSGYPQPPAQSYGGGPAQVPVP GGFPGGQMPSQYPGGQAPYPSQPASMTQGTQGTILPASNFDAMRDAEILRKAMKGFGTDE QAIVDVVSNRSNDQRQQIKAAFKTMYGKDLIKDLKSELSGNMEELILALFMPSTYYDAWS LRKAMQGAGTQERVLIEILCTRTNQEIRDIVRCYQLEFGRDLEKDIRSDTSGHFERLLVS MCQGNRDERQSVNHQMAQEDAQRLYQAGEGRLGTDESCFNMILATRSFPQLKATMEAYSR MANRDLLSSVSREFSGYVESGLKTILQCALNRPAFFAERLYYSMKGAGTDDSTLVRIVVT RSEIDLVQIKQMFTQMYQKTLSTMIASDTSGDYRKLLLAIVGQ >ENSMUSP00000050995.8 pep:known chromosome:GRCm38:10:81268172:81273246:1 gene:ENSMUSG00000004931.10 transcript:ENSMUST00000057798.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apba3 description:amyloid beta (A4) precursor protein-binding, family A, member 3 [Source:MGI Symbol;Acc:MGI:1888527] MEFLPGPQHPPGPPTMDLEEPKGPEVPSENHPSNTQSALGPGGPVTLSEMELDTSSVQEL VQQLEALPSDLGGPFPDGAPCPLHIATGQGLATQENPDAGGLLSAEAGGDDLLGLLRDEA SSPAQSVPQDPAQTAPRLLQPPEDPDGDPGWMEGASAEPADSRSSSSSPEPWLETAPLVT QQEPPVGTQSRETLASCPAVTEVPGPCGPEELMDGVIFGAKYLGSTQLLSERSPAPSTRM GQAQEAMDRVKAPEGETQPMVEVDIFISTKRVKVLAADSQDALMDHALQTISYIADIGPV LVLMARRRLARRTTPQDRQRRLYKMLCHVFHSEDAQLIAQAIGQAFSIAYSQFLQENRID PSQVGTQPSTAASHPHNGDLDHFCNSQNCREVCIQKRPGEGLGVALVESGWGSLLPTAVI ANLLHGGPAERCGALSIGDRVTAINGTSLVGLSLAACQAAVREVRRLSSVTLSIIHCPPV TTAVIRRPHVREQLGFCVEDGIICSLLRGGAAERGGVRVGHRIIEVNGQSVVAMPHARII QLLTETREIHIKTMPAATYRLLTGQEQPVYL >ENSMUSP00000106315.1 pep:known chromosome:GRCm38:12:55602929:55821167:-1 gene:ENSMUSG00000021027.15 transcript:ENSMUST00000110687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgapa1 description:Ral GTPase activating protein, alpha subunit 1 [Source:MGI Symbol;Acc:MGI:1931050] MFSKKPHGDVKKSTQKVLDTKKDALTRLKHLRIVIENAESIDLKQFFDQHFSHIYYVFFE NFVTIEASLKQKGHKSQREELDAILFIFEKILQLLPERIHQRWQFHSIGLILKKLLHTGN SLKIRREGVRLFLLWLQALQDNCSKEQLWMFSCLIPGFSAPQSEYGPRTLDNLINPPLSL QETQVTIEEVTPLVPPQSGDKGQEDLTSYFLEALLKYIVIQVKSLEWKNKENQERGFSFL FSHFKKFYLPYIFPNICKENSLYHPVLDIPQIRPKPHYVMIKKDAETNETIYCTKEPFIQ ARVIVIRWLVSFWLEPKPHSGPNIPGMEGEVLPKNIQRAAASLVSREESKNDTVDKVDKS AEPEQSHSNTSTLTEREPSSSSLCSIDEEHLTDIEIVRRVFSSKRSNVNFVTEIFRQAFL LPICEAAAMRKVVKVYQEWIQQEEKPLFMQEPEDTAITCSDIPCSETVADHDSAIEDGEK REEENGTSTSEHVRNSSWTKNGSYQEAFHVCEEATEQNIQAGTQAVLQVFIINSSNIFLL EPANEIKNLLDEHTDMCKRILNIYRYMVVQVSMDKKTWEQMLLVLLRVTESVLKMSSQAF LQFQGKKSMTLAGRLAGPLFQTLIVAWIKANLNVYISRELWDDLLSVLSSLTYWEELATE WSLTMETLTKVLARNLYSLDLSDLPLDKLSEQKQKKHKGKGVGHEFQKVSVDKSFSRGWS RDQPGQAPMRQRSATTTGSPGTEKARSIVRQKTVDIDDAQILPRSTRVRHFSQSEDTGNE VFGALHEEQPLPRSSSTSDILEPFTVERAKVNKEDTSPKLPPLNSETGGNSANVPDLMDE FIAERLRSGNASTMTRRGSSPGSLEIPKDLPDILNKQNQMRPVDDPGVPSEWTSPASAGS SDLMSSDSHSDSFSAFQCEGRKFDNFGFGTDIGIPSSADVDLGSGHHQSTEEQEVASLTT LHLDSETSSLNQQAFSAEVATVTGSESASPVHSALGSRSQTPSPSTLSRAHIEQKDLQLD EKLHHSVLQTPDDLEISEFPSECCSVMAGGTLTGWHADVATVMWRRMLGILGDVNAIMDP EIHAQVFDYLCELWQNLAKIRDNLGISADNLTSPSPPVLIPPLRILTPWLFKATMLTDKY KQGKLHAYKLICNTMKRRQDVSPNRDFLTHFYNIMHCGLLHIDQDIVNTIIKHCSPQFFS LGLPGATMLIMDFIIAAGRVASSAFLNAPRVEAQVLLGSLVCFPNLYCELPALHPNIPDI AVSQFTDVKELIIKTVLSSARDEPSGPARCVALCSLGIWICEELVHESHHPQIKEALNVI CVSLKFTNKTVAHVACNMLHMLVHYVPRLQIHQPQSPLKIIQILIATITHLLPSTEASSY EMDKRLVVSLLLCLLDWIMALPLKTLLQPVHATGAENDKTEKSVLNCIYKVLHGCVYGAQ SFSNPKYFPISLSDLASVDYDPFMHLESLKEPEPLHSPDSERSSKLQPVTEVKTQMQQGL ISIAARTVITHLVNHLGHYPMSGGPAMLTSQVCENHDNHYSESTELSPELFESPNIQFFV LNNTTLVSCIQIRSEESMPGGGLAAGLVSANSNVRIIVRDLSGKYSWDSAILYGPPIVSG LPEPTSFILSMSDQEKPEEPPTSNECLEDIAVKDGLSLQLRRFRETVPTWSTIREEEDVL DELLQYLGTTSPECLQRTGISLNVPAPQPLCISEKQENDVINAILKQYTEEKEFVEKHFN DLNMKASEQDEPTPQKPQSAFYYCRLLLSILGMNSWDKRRSFHLLKKNEKLLRELRNLDS RQCRETHKIAVFYVAEGQEDKYSILTNIGGSQAYEDFVAGLGWEVNLTNHCGFMGGLQKN RSTGLTTPYFATSTVEVIFHVSTRMPSDSDDSLTKKLRHLGNDEVHIVWSEHTRDYRRGI IPTEFGDVLIVIYPMKNHMFSIQIMKKPEVPFFGPLFDGAIVNGKVLPIMVRSTAINASR ALKSLIPLYQNFYEERARYLQTIVQHHLEPTTFEDFAAQVFSPAPYHHFPADADH >ENSMUSP00000082503.5 pep:known chromosome:GRCm38:12:55602929:55821167:-1 gene:ENSMUSG00000021027.15 transcript:ENSMUST00000085385.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgapa1 description:Ral GTPase activating protein, alpha subunit 1 [Source:MGI Symbol;Acc:MGI:1931050] MFSKKPHGDVKKSTQKVLDTKKDALTRLKHLRIVIENAESIDLKQFFDQHFSHIYYVFFE NFVTIEASLKQKGHKSQREELDAILFIFEKILQLLPERIHQRWQFHSIGLILKKLLHTGN SLKIRREGVRLFLLWLQALQDNCSKEQLWMFSCLIPGFSAPQSEYGPRTLDNLINPPLSL QETQVTIEEVTPLVPPQSGDKGQEDLTSYFLEALLKYIVIQVKSLEWKNKENQERGFSFL FSHFKKFYLPYIFPNICKENSLYHPVLDIPQIRPKPHYVMIKKDAETNETIYCTKEPFIQ ARVIVIRWLVSFWLEPKPHSGPNIPGMEGEVLPKNIQRAAASLVSREESKNDTVDKVDKS AEPEQSHSNTSTLTEREPSSSSLCSIDEEHLTDIEIVRRVFSSKRSNVNFVTEIFRQAFL LPICEAAAMRKVVKVYQEWIQQEEKPLFMQEPEDTAITCSDIPCSETVADHDSAIEDGEK REEENGTSTSEHVRNSSWTKNGSYQEAFHVCEEATEQNIQAGTQAVLQVFIINSSNIFLL EPANEIKNLLDEHTDMCKRILNIYRYMVVQVSMDKKTWEQMLLVLLRVTESVLKMSSQAF LQFQGKKSMTLAGRLAGPLFQTLIVAWIKANLNVYISRELWDDLLSVLSSLTYWEELATE WSLTMETLTKVLARNLYSLDLSDLPLDKLSEQKQKKHKGKGVGHEFQKVSVDKSFSRGWS RDQPGQAPMRQRSATTTGSPGTEKARSIVRQKTVDIDDAQILPRSTRVRHFSQSEDTGNE VFGALHEEQPLPRSSSTSDILEPFTVERAKVNKEDTSPKLPPLNSETGGNSANVPDLMDE FIAERLRSGNASTMTRRGSSPGSLEIPKDLPDILNKQNQMRPVDDPGVPSEWTSPASAGS SDLMSSDSHSDSFSAFQCEGRKFDNFGFGTDIGIPSSADVDLGSGHHQSTEEQEVASLTT LHLDSETSSLNQQAFSAEVATVTGSESASPVHSALGSRSQTPSPSTLSRAHIEQKDLQLD EKLHHSVLQTPDDLEISEFPSECCSVMAGGTLTGWHADVATVMWRRMLGILGDVNAIMDP EIHAQVFDYLCELWQNLAKIRDNLGISADNLTSPSPPVLIPPLRILTPWLFKATMLTDKY KQGKLHAYKLICNTMKRRQDVSPNRDFLTHFYNIMHCGLLHIDQDIVNTIIKHCSPQFFS LGLPGATMLIMDFIIAAGRVASSAFLNAPRVEAQVLLGSLVCFPNLYCELPALHPNIPDI AVSQFTDVKELIIKTVLSSARDEPSGPARCVALCSLGIWICEELVHESHHPQIKEALNVI CVSLKFTNKTVAHVACNMLHMLVHYVPRLQIHQPQSPLKIIQILIATITHLLPSTEASSY EMDKRLVVSLLLCLLDWIMALPLKTLLQPVHATGAENDKTEKSVLNCIYKVLHGCVYGAQ SFSNPKYFPISLSDLASVDYDPFMHLESLKEPEPLHSPDSERSSKLQPVTEVKTQMQQGL ISIAARTVITHLVNHLGHYPMSGGPAMLTSQVCENHDNHYSESTELSPELFESPNIQFFV LNNTTLVSCIQIRSEESMPGGGLAAGLVSANSNVRIIVRDLSGKYSWDSAILYGPPIVSG LPEPTSFILSMSDQEKPEEPPTSNECLEDIAVKDGLSLQLRRFRETVPTWSTIREEEDVL DELLQYLGTTSPECLQRTGISLNVPAPQPLCISEKQENDVINAILKQYTEEKEFVEKHFN DLNMKASEQDEPTPQKPQSAFYYCRLLLSILGMNSWDKRRSFHLLKKNEKLLRELRNLDS RQCRETHKIAVFYVAEGQEDKYSILTNIGGSQAYEDFVAGLGWEVNLTNHCGFMGGLQKN RSTGLTTPYFATSTVEVIFHVSTRMPSDSDDSLTKKLRHLGNDEVHIVWSEHTRDYRRGI IPTEFGDVLIVIYPMKNHMFSIQIMKKPEVPFFGPLFDGAIVNGKVLPIMVRSTAINASR ALKSLIPLYQNFYEERARYLQTIVQHHLEPTTFEDFAAQVFSPAPYHHFPADADH >ENSMUSP00000045684.5 pep:known chromosome:GRCm38:17:21450352:21465591:1 gene:ENSMUSG00000023892.7 transcript:ENSMUST00000039577.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp51 description:zinc finger protein 51 [Source:MGI Symbol;Acc:MGI:99198] MEETVTKDPASQAGESLYLKEKFKEDKQKEMAYSPVNMPQGLLTFRDVAVDFPQEEWECL DSDQRALYIDVMLENYRNLVSVENYCICDTVHQHVKTEKESCQGNKLGEMLHEPSNCALY NRSDTTEASNKFRCCNNEDVSVDSSNQNRYKSTHTGEEPCESKDCEKSLTLCSDISQDQR LYNAKQHRQEVYDDYFSSAYSLMQPTAYIKEKPHQCGKCGKCFNTSSSLTVHHRIHTKEK PYKCSVCDKSFTQCTHLKIHQRRHTGEKPYKCKECEKSFVQLSALKSHQKLHTGEKPYKC KECDKSFAHYPNFRTHQKIHTSEEHCSCPECGREFHQLSHLRKHYRLHTGEKPYKCNECD RSFTHYASLRWHQKTHTPEIHYECKECGKSFIELSHLKRHYRIHTGEKPYKCEICDKSFT TTTTLKTHQKIHTGEKPYKCRECDKSFIHSSHLRRHQNVHTGERPYRCKECDKSFHESAT LREHEKSHTGEKTYKCRECDKSFTQRAYLRNHHNRVHTGERPYECKECGKSFTTCSTLRI HQTIHTGKKPYKCIECGKSFTNNSYLRTHQKVHSGEKPYRCKECDKSFTSCSTLKAHQNI HTGEKPYKCIECDKSFTQVSHLRTHQRVHTGERPFRCTECDKSFIRSSHLREHQKIHSGE KPYKCRDCDISFSQISNLRRHQKLHTGEKPYKCMECDKSFTHNSNFRTHQRVHTGEKPYR CAECDKSFTRCSYLRAHQKIHTGEKPYKCMEYDKPNTQDSNLKRHQRVHTGVKHTFLNNV T >ENSMUSP00000035514.3 pep:known chromosome:GRCm38:18:6603633:6638966:1 gene:ENSMUSG00000039540.8 transcript:ENSMUST00000044829.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921524L21Rik description:RIKEN cDNA 4921524L21 gene [Source:MGI Symbol;Acc:MGI:1918151] MNGTLSQSDVKFASQEEQLPFGNMESLRFERQRSPQKLDVTLTGICPWIETEAKTWNELR GSLAMLKAAKKMRCRIQRIELENSNLVVTIEKQAREMKALGEKLLNTGISVVEAAPEGEP GATREDVAASWSQMELMELTSELAKARMQNDSCKMEVQKYKELYLKELRSNNALLSRLQN RTCKCPEQSCSNHGEEMRWKTSSMVALVGPHPECPQVPYLTRSTMEPQGNVPQPSQCPEA RCEFMENPRSWSEDKEKGWLELISSIRFLHCALHQETRRTKELQKELAEMKKIFNMPPQE GRGHEGRRHSCREVSKVSQAEMGVPVAMLRLEGEATTAENLVCIHKTPTASFLTQMELEM KSIKSAIAEVSTQECLVKRELEVMKHLYRGELEHIDSVSAIGSEYVNGERGGTEREPGPF YKSRASENPAHDSRRLQK >ENSMUSP00000040919.6 pep:known chromosome:GRCm38:17:24753003:24758683:1 gene:ENSMUSG00000039628.8 transcript:ENSMUST00000044922.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs3st6 description:heparan sulfate (glucosamine) 3-O-sulfotransferase 6 [Source:MGI Symbol;Acc:MGI:3580487] MAGSGGLGGGAGDLQGAGTGQGTALRALRAPLALVVLLLSAYCLFALPGRCPPAARAPAP VPAPAEPPHTSLRLRAPGLPVASGPGRRRFPQALIVGVKKGGTRALLEFLRLHPDVRALG SEPHFFDRCYDRGLAWYRGLMPRTLDGQITMEKTPSYFVTQEAPRRIHGMSPDTKLIVVV RNPVTRAISDYAQTLSKTPGLPSFRALAFRHGLGPVDTAWSAVRIGLYAQHLDNWLRYFP LSHFLFVSGERLVSDPAGEVGRVQDFLGLKRVVTDKHFYFNATKGFPCLKKAQGSGRPRC LGKSKGRPHPRVPEAVVQRLQAFYRPFNRKFYQMTGQDFGWD >ENSMUSP00000022986.6 pep:known chromosome:GRCm38:15:58175879:58214892:-1 gene:ENSMUSG00000022358.6 transcript:ENSMUST00000022986.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo32 description:F-box protein 32 [Source:MGI Symbol;Acc:MGI:1914981] MPFLGQDWRSPGQSWVKTADGWKRFLDEKSGSFVSDLSSYCNKEVYSKENLFSSLNYDVA AKKRKKDIQNSKTKTQYFHQEKWIYVHKGSTKERHGYCTLGEAFNRLDFSTAILDSRRFN YVVRLLELIAKSQLTSLSGIAQKNFMNILEKVVLKVLEDQQNIRLIRELLQTLYTSLCTL VQRVGKSVLVGNINMWVYRMETILHWQQQLNSIQISRPAFKGLTITDLPVCLQLNIMQRL SDGRDLVSLGQAAPDLHVLSEDRLLWKRLCQYHFSERQIRKRLILSDKGQLDWKKMYFKL VRCYPRREQYGVTLQLCKHCHILSWKGTDHPCTANNPESCSVSLSPQDFINLFKF >ENSMUSP00000022446.5 pep:known chromosome:GRCm38:14:31495079:31509858:1 gene:ENSMUSG00000021890.5 transcript:ENSMUST00000022446.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eaf1 description:ELL associated factor 1 [Source:MGI Symbol;Acc:MGI:1921677] MNGTANPLLDREEHCLRLGESFEKRPRASFHTIRYDFKPASIDTSCEGELQVGKGDEVTI TLPHIPGSTPPMTVFKGNKRPYQKDCVLIINHDTGEYVLEKLSSSIQVKKTRAEGSSKIQ ARMEQQPARPPQPSQPPPPPPPMPFRAPTKPPAGPKTSPLKDNPSPEPQLDDIKRELRAE VDIIEQMSSSSGSSSSDSESSSGSDDDSSSSAGEDNGPASPPQPSHQQPYNSRPAVANGT SRPQGSSQLMNTLRNDLQLSESGSDSDD >ENSMUSP00000136867.1 pep:known chromosome:GRCm38:13:119765187:119790805:-1 gene:ENSMUSG00000094870.7 transcript:ENSMUST00000177916.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp131 description:zinc finger protein 131 [Source:MGI Symbol;Acc:MGI:1919715] MEAEETMECLQEFPEHHKMILDRLNEQREQDRFTDITLIVDGHHFKAHKAVLAACSKFFY KFFQEFTQEPLVEIEGVSKMAFRHLIEFTYTAKLMIQGEEEANDVWKAAEFLQMLEAIKA LEVRNKENSAPLEENTTGKNEAKKRKIAETSNVITESLPSAESEPVEIEVEIAEGTIEVE DEGIEALEEMASAKQSIKYIQSTGSSDDSALALLADITSKYRQGESKGQISEDDCASDPI SKQVEGIEIVELQLSHVKDLFHCEKCNRSFKLFYHFKEHMKSHSTESFKCEICNKRYLRE SAWKQHLNCYHLEEGGVSKKQRTGKKIHICQYCDKQFDHFGHFKEHLRKHTGEKPFECSN CHERFARNSTLKCHLTACQTGVGAKKGRKKLYECQVCNSVFNSWDQFKDHLVIHTGDKPN HCTLCDLWFMQGNELRRHLSDAHNISERIVTEEVLSVETHLQTEPVTSMTIIEQVGKVHV LPLLQVQVDSAQVTVEQVHPDLLQDSQVHDSQMTGLPEQVQVSYLEVGRIQTEEGTEVHV EELHVERVNQMPVEVQTELLEADLDHMTPEIMSQEEREPNHADAAMEEHEDAEGLETKPS EYSQARKTENDRTSLPVLE >ENSMUSP00000136019.1 pep:known chromosome:GRCm38:13:119765187:119790805:-1 gene:ENSMUSG00000094870.7 transcript:ENSMUST00000178271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp131 description:zinc finger protein 131 [Source:MGI Symbol;Acc:MGI:1919715] MEAEETMECLQEFPEHHKMILDRLNEQREQDRFTDITLIVDGHHFKAHKAVLAACSKFFY KFFQEFTQEPLVEIEGVSKMAFRHLIEFTYTAKLMIQGEEEANDVWKAAEFLQMLEAIKA LEVRNKENSAPLEENTTGKNEAKKRKIAETSNVITESLPSAESEPVEIEVEIAEGTIEVE DEGIEALEEMASAKQSIKYIQSTGSSDDSALALLADITSKYRQGESKGQISEDDCASDPI SKQEHMKSHSTESFKCEICNKRYLRESAWKQHLNCYHLEEGGVSKKQRTGKKIHICQYCD KQFDHFGHFKEHLRKHTGEKPFECSNCHERFARNSTLKCHLTACQTGVGAKKGRKKLYEC QVCNSVFNSWDQFKDHLVIHTGDKPNHCTLCDLWFMQGNELRRHLSDAHNISERIVTEEV LSVETHLQTEPVTSMTIIEQVGKVHVLPLLQVQVDSAQVTVEQVHPDLLQDSQVHDSQMT GLPEQVQVSYLEVGRIQTEEGTEVHVEELHVERVNQMPVEVQTELLEADLDHMTPEIMSQ EEREPNHADAAMEEHEDAEGLETKPSEYSQARKTENDRTSLPVLE >ENSMUSP00000135978.1 pep:known chromosome:GRCm38:17:6648941:6655939:-1 gene:ENSMUSG00000095677.1 transcript:ENSMUST00000179554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynlt1f description:dynein light chain Tctex-type 1F [Source:MGI Symbol;Acc:MGI:3780996] MEDFQASEETAFVVDEVSSIVKEAIESAIGGNAYQHSKVNQWTTNVLEQTLSQLTKLGRP FKYIVTCVIMQKNGAGLHSASSCFWDSSTDGSCTVRWENKTMYCIVSTFGLSI >ENSMUSP00000047831.7 pep:known chromosome:GRCm38:15:58872649:58876781:1 gene:ENSMUSG00000037085.7 transcript:ENSMUST00000036937.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt12 description:tRNA methyltranferase 12 [Source:MGI Symbol;Acc:MGI:1915510] MERECEESVVVAVVTEPRFTQRYRDYLEEQKLLDRLHRVAKLRDGAVALPVLAESLSEQH LQELRDRVAPGSTCVLTRLPDPLPSKKARVRSPAQILCLEVRRWVEDRGVTWSAELEADL PRSWQRHGDLMLLSEDCFQATLWKGLEPELWETVASALGVQRLAKRGRVLPDGTRTPSVT LLLGDHGWVEHMDNGIRYKFDVTQCMFSFGNITEKLRVASLSCAGEVLVDLYAGIGYFTL PFLVHAGAAFVHACEWNPHAVVALRNNLEINGVADRCQIHFGDNRKLKLSDIADRVNLGL IPSSKEGWPVACQVLRKDVGGILHIHQNVESFSGKTPQPPGSNNVEKEHWPRPQKITTDT QGNGTTENFRGEISSANKPEWWRWAESAETQIASLLHQVHGKPWRTRILHVHPVKSYAPH VDHIVLDLECRPLTSSWPEGVDLLTQ >ENSMUSP00000071202.3 pep:known chromosome:GRCm38:14:20703027:20704417:1 gene:ENSMUSG00000063787.3 transcript:ENSMUST00000071215.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd1 description:coiled-coil-helix-coiled-coil-helix domain containing 1 [Source:MGI Symbol;Acc:MGI:1913371] MATPSLRGRLARFANPGKPILKPNKPLILANRVGNRRREKGEATCITEMSMMMACWKQNE FRDEACRKEIQDFFDCSSRAQEARKMRSIQESLGQSESLSPHKMTKLLQRFPNKSHLI >ENSMUSP00000058256.2 pep:known chromosome:GRCm38:8:43568278:43576707:-1 gene:ENSMUSG00000048516.2 transcript:ENSMUST00000049577.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam26a description:a disintegrin and metallopeptidase domain 26A (testase 3) [Source:MGI Symbol;Acc:MGI:105985] MFLKFCLWTMFFFSAWSPIGHAKYSSLLEVVTPLRVTVTRGNNISPGWLSYSLNIGGQRH IITMKPKKNLISRNFLLFTYSDQGDLLEQHHFVQNDCYYHGYVDEDLESPVIVNTCFGSL QGTLEINGTSYEIMPKSSTSTFEHLVYKMDSGDSESSPMRCGLSEEETAQQTKLQESNAP TLLQIPYENWWTHHRFIEYFVVLDHKQYVHRNNNITTCIQDMLQIVNGVNGYYLQIDTDV VLTTLEVWNEKNYINVELSIFKVLGDFCTWKQNMFGNRIRHDIIHLLVRQGYGLYLGLAY LADVCTPYNCGVSSVLSDVMSDMAHIVAHEMGHNFGMKHDGIGCTCGLKDCLMAPYKTNS PKFSNCSYEEMYSVVTKRSCLYDIPEALVTNLTVCGNKVVEEGEQCDCGNSESCLQDPCC SSDCVLKPGAQCAFGLCCKNCQFLKAGTVCRKEKNECDLPEWCNGTSAECPGDVYKADGI PCSGEGYCYKMECHQRDEQCRKIFGNGSRSADEICYMEMNRQGDRFGNCGNDSSTYRTCQ IADVLCGQIQCENVIQLPQRRNHETVHYTHFSNITCWTMDYHFGITIDDIGAVSDGTAYA PDHICVDRKCVSKSVLVSNCSPQLYHMQGICNNKQHCHCGVTWKPPDCQKRGHGGSIDSG PPPLPLSHSKWIVYILIVLDVCIVIIIYLFSFYKLSK >ENSMUSP00000131657.1 pep:known chromosome:GRCm38:8:11614151:11635754:-1 gene:ENSMUSG00000031508.13 transcript:ENSMUST00000169782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd10 description:ankyrin repeat domain 10 [Source:MGI Symbol;Acc:MGI:1921840] MSAGAGAAVEAGFSSEELLSLRFPLHRACRDGDLVALCSLLPHTPRAHLAAEDSFYGWTP VHWAAHFGKLECLMQLVRAGASLNVSTTRYAQTPAHIAAFGGHPQCLVWLIQAGANINKP DCEGETPIHKAARSGSLECITALVGSGAHTDLRNASGLTAADIAQTQGFQECTQFLLSLQ NHQMSRFCHNGTLSGGHESILPTHVSLGTNRKRCLEDSESLGVKKARTRVPSLDHAMPLA NGEAEDDADRMHVDRECAAVSGGTGQFPVSCNNTPVVEDTKQQESSSVGPKEIEIYTVSA MQTPCRCKNQYAYYF >ENSMUSP00000033905.5 pep:known chromosome:GRCm38:8:11611583:11635754:-1 gene:ENSMUSG00000031508.13 transcript:ENSMUST00000033905.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd10 description:ankyrin repeat domain 10 [Source:MGI Symbol;Acc:MGI:1921840] MSAGAGAAVEAGFSSEELLSLRFPLHRACRDGDLVALCSLLPHTPRAHLAAEDSFYGWTP VHWAAHFGKLECLMQLVRAGASLNVSTTRYAQTPAHIAAFGGHPQCLVWLIQAGANINKP DCEGETPIHKAARSGSLECITALVGSGAHTDLRNASGLTAADIAQTQGFQECTQFLLSLQ NHQMSRFCHNGTLSGGHESILPTHVSLGTNRKRCLEDSESLGVKKARTRVPSLDHAMPLA NGEAEDDADRMHVDRECAAVSDMKNSSSVLNTLTNGSVVNGHLDFPCANQLNGMESRSHP CLTGSNGVSNGQPLSSGQASVSANGTEEPEKTMGINPEMCGSLHLNGSPSSCVASRPSWV GDIGESLHYGHYHGFGDTAESIPELSSVLEHTSCVRVEQRYDSAVLGAMQLHHGS >ENSMUSP00000043953.4 pep:known chromosome:GRCm38:8:106683068:106851439:1 gene:ENSMUSG00000041949.5 transcript:ENSMUST00000048359.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tango6 description:transport and golgi organization 6 [Source:MGI Symbol;Acc:MGI:2142786] MAASGPAAAAPSGVLVTCGLEQVLEALKLLLSPGGSGSSSLQNTKHDVLLQTLKSNLSAL EAKFLKDAQWKKLKALRDELADKAEWPQSSEDITWSFTSQTLLLLLCLKEVLARLVADFN PGKPNPRTPEAAPALSPDTLSVSQQKTFQSVLQFVVTLGVCPYLIPGVGVPLRDRTEFGA VVQDVVRLEAAPHATRRLYICCRVLLDLAQHASLGSLIFCRHFGDIAAGLCQLGFCPTKR KPPGPVEEVLTEEERTLSRRALRDILDQVYQPLAVRELLTLQGGPRQPCTDVKTQLRCRA QAPAWLRRLCGQLLSERLMRPNGVQAVVRGILEGAGAGAAGGSDAEATAADWRKCDLIAK ILASCPQQSLSPESYYKDICPQILDLFHLQDKLTARQFQRVATTTFITLSRERPELAAKY LLQPMLAPLQRCLSTAEIPESDMVPGAILVTEEELSRCVEDVFKVYVVANEPVPVLLDSL LPLLRVFFSLYCFTQQSVSHIRSLCQEILLWILVKLERKKAIASLKGFSGLDKTVPTLHP QCQFRAATHGGIVITAKEAISDDEDEALYQKVSSEQSQVEHLGDLLLHCQQCGLAGDFFI FCLKELSHLLEDREAEFTPKPSCYASLLELEHHQTLLIEDQERKLQVLQLLAVLCEKMSE QIFTHVTQVVDFVAATLQRACAGLAHEAESAVGSQTLSMSMGLVAVMLGGAVQLKSSDFA VLKQLLPLLERVSNTYPDPVIQELAADLRITISTHGAFSTDAVSTAAQSTLNQKDPGQKI EEQRQTSPDISTEGAQKPPRTGQGSSGPCTATSQPPGSITTQQFREVLLSACDPEVPTRA AALRTLARWVEQREARALEEQKKLLQIFLENLEHEDSFVYLSAIQGIALLSDVYPEEILV DLLAKYDSGKDKHTPETRMKVGEVLMRVVRALGDMVSKYREPLIHTFLRGVRDPDAAHRA SSLANLGELCQCLHFLLGPVVHEVTACLIAVAKTDNDVQVRRAAVHVVVLLLRGLSQKAT EVLSDVLRDLYHLLKHVVRLEPDDVAKLHAQLALEELDEIMRNFLFPPQKLEKKIVVLP >ENSMUSP00000132852.1 pep:known chromosome:GRCm38:18:80229758:80247102:-1 gene:ENSMUSG00000078963.2 transcript:ENSMUST00000166219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsbp1l1 description:heat shock factor binding protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1913505] MDTRTPEVPCGDLLQNAAENLLLEVEEHFQALTTTLNLRMEEMGSRIEDLQRNVDDLMTQ AGIENSIKEPAT >ENSMUSP00000007012.4 pep:known chromosome:GRCm38:17:13007839:13018119:1 gene:ENSMUSG00000006818.4 transcript:ENSMUST00000007012.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sod2 description:superoxide dismutase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:98352] MLCRAACSTGRRLGPVAGAAGSRHKHSLPDLPYDYGALEPHINAQIMQLHHSKHHAAYVN NLNATEEKYHEALAKGDVTTQVALQPALKFNGGGHINHTIFWTNLSPKGGGEPKGELLEA IKRDFGSFEKFKEKLTAVSVGVQGSGWGWLGFNKEQGRLQIAACSNQDPLQGTTGLIPLL GIDVWEHAYYLQYKNVRPDYLKAIWNVINWENVTERYTACKK >ENSMUSP00000087327.5 pep:known chromosome:GRCm38:16:23451785:23520590:-1 gene:ENSMUSG00000022887.8 transcript:ENSMUST00000089883.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Masp1 description:mannan-binding lectin serine peptidase 1 [Source:MGI Symbol;Acc:MGI:88492] MRFLSFWRLLLYHALCLALPEVSAHTVELNEMFGQIQSPGYPDSYPSDSEVTWNITVPEG FRIKLYFMHFNLESSYLCEYDYVKVETEDQVLATFCGRETTDTEQTPGQEVVLSPGTFMS VTFRSDFSNEERFTGFDAHYMAVDVDECKEREDEELSCDHYCHNYIGGYYCSCRFGYILH TDNRTCRVECSGNLFTQRTGTITSPDYPNPYPKSSECSYTIDLEEGFMVSLQFEDIFDIE DHPEVPCPYDYIKIKAGSKVWGPFCGEKSPEPISTQTHSVQILFRSDNSGENRGWRLSYR AAGNECPKLQPPVYGKIEPSQAVYSFKDQVLVSCDTGYKVLKDNEVMDTFQIECLKDGAW SNKIPTCKIVDCGAPAGLKHGLVTFSTRNNLTTYKSEIRYSCQQPYYKMLHNTTGVYTCS AHGTWTNEVLKRSLPTCLPVCGVPKFSRKQISRIFNGRPAQKGTMPWIAMLSHLNGQPFC GGSLLGSNWVLTAAHCLHQSLDPEEPTLHSSYLLSPSDFKIIMGKHWRRRSDEDEQHLHV KRTTLHPLYNPSTFENDLGLVELSESPRLNDFVMPVCLPEQPSTEGTMVIVSGWGKQFLQ RFPENLMEIEIPIVNSDTCQEAYTPLKKKVTKDMICAGEKEGGKDACAGDSGGPMVTKDA ERDQWYLVGVVSWGEDCGKKDRYGVYSYIYPNKDWIQRITGVRN >ENSMUSP00000015394.8 pep:known chromosome:GRCm38:9:7272514:7283333:1 gene:ENSMUSG00000050578.9 transcript:ENSMUST00000015394.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp13 description:matrix metallopeptidase 13 [Source:MGI Symbol;Acc:MGI:1340026] MHSAILATFFLLSWTPCWSLPLPYGDDDDDDLSEEDLVFAEHYLKSYYHPATLAGILKKS TVTSTVDRLREMQSFFGLEVTGKLDDPTLDIMRKPRCGVPDVGEYNVFPRTLKWSQTNLT YRIVNYTPDMSHSEVEKAFRKAFKVWSDVTPLNFTRIYDGTADIMISFGTKEHGDFYPFD GPSGLLAHAFPPGPNYGGDAHFDDDETWTSSSKGYNLFIVAAHELGHSLGLDHSKDPGAL MFPIYTYTGKSHFMLPDDDVQGIQFLYGPGDEDPNPKHPKTPEKCDPALSLDAITSLRGE TMIFKDRFFWRLHPQQVEAELFLTKSFWPELPNHVDAAYEHPSRDLMFIFRGRKFWALNG YDILEGYPRKISDLGFPKEVKRLSAAVHFENTGKTLFFSENHVWSYDDVNQTMDKDYPRL IEEEFPGIGNKVDAVYEKNGYIYFFNGPIQFEYSIWSNRIVRVMPTNSILWC >ENSMUSP00000050430.8 pep:known chromosome:GRCm38:10:5593728:5606787:1 gene:ENSMUSG00000046916.8 transcript:ENSMUST00000051809.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myct1 description:myc target 1 [Source:MGI Symbol;Acc:MGI:1915882] MANNTTSLGSPWPENFWEDLIMSFTVSVAIGLAIGGFLWALFVFLSRRRRASAPISQWSP TRRPRSSYNHGLNRTGFYRHSGYERRSNLSLASLTFQRQASMELVNSFPRKSSFRASTFH PFLQCPPLPVETESQLMTLSASTTPSTLSTAHSPSRPDFRWSSNSLRMGLSTPPPPAYES IIKAFPDS >ENSMUSP00000002053.8 pep:known chromosome:GRCm38:7:16455721:16476780:-1 gene:ENSMUSG00000001988.8 transcript:ENSMUST00000002053.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npas1 description:neuronal PAS domain protein 1 [Source:MGI Symbol;Acc:MGI:109205] MATPYPRSGGRGEVKCGGGRGAGVPWDFLPGLMVKAPPGPCLQAQRKEKSRNAARWRRGK ENLEFFELAKLLPLPGAISSQLDKASIVRLSVTYLRLRRFAALGAPPWGLRAVGPPAGLA PGRRGPVALVSEVFEQHLGGHILQSLDGFVFALNQEGKFLYISETVSIYLGLSQVELTGS SVFDYIHPGDHSEVLEQLGLRAASIGPPTPPSVSSSSSSSSSSLVDTPEIEASPTEASPA FRAQERSFFVRMKSTLTKRGLNVKASGYKVIHVTGRLRARALGLVALGHTLPPAPLAELP LHGHMIVFRLSLGLTILACESRVSDHMDMGPSELVGRSCYQFVHGQDATRIRQSHLDLLD KGQVVTGYYRWLQRAGGFVWLQSVATVAGNGKSTGEHHVLWVSHVLSNAEGSQTPLDAFQ LPAIVSQEEPSRPGPEPTEEEPPVDGKQAVPADQDKDKDPQARGKRIKVEASPKEARGSE DSGEEELSDPPAPPRPEFTSVIRAGALKHDPVLPWGLTTPGDPSPALLHAGFLPPVVRGL CTPGTIRYGPAELSLMYPHLHRLGAGPSLPEAFYPTLGLPYPGPTGTRVQRKGD >ENSMUSP00000091157.4 pep:known chromosome:GRCm38:8:71476023:71486067:-1 gene:ENSMUSG00000034863.7 transcript:ENSMUST00000093450.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano8 description:anoctamin 8 [Source:MGI Symbol;Acc:MGI:2687327] MAEAASGAGDVTLEGERGKRPPPEGEPAAPASGVLDKLFGKRLLQAGRYLVSHKAWMKTV PTEDCDVLMTFPDTTDDHTLLWLLNHIRVGIPELIVQVRHHRHTRAYAFFVTATYESLLR GADELGLRKAVKAEFGGGTRSFSCEEDFIYENVESELRFFTSQERQSIIRFWLQNLRAKQ GEALHNVRFLEDQPIIPELAARGIIQQVFPVHEQRILNRLMKSWVQAVCENQPLDDICDY FGVKIAMYFAWLGFYTSAMVYPAVFGSVLYTFTEADQTSRDVSCVVFALFNVIWSTLFLE EWKRRGAELAYKWGTLDSPGEAVEEPRPQFRGIRRISPITRAEEFYYPPWKRLLFQLLVS LPLCLACLICVFILMLGCFQLQELVLSVKGLPRLVRFLPKVMLALLVSVSAEGYKKLAVW LNDMENYRLESTYERHLIIKVVLFQFVNSYLSLFYIGFYLKDMDRLKEMLATLLITRQLL QNVREVLQPHLYRRLGSGELGLRTILELARALLGLLNPLRPDPRRHLEAQADEGGAGSRR CLGGGCGAPEEENEEEEEAAVERRPAGEGGEVPEGPRGGKEEDEEEDDDEDEDEEYEGEE GSLLDCGLRLKKVSFAERGAGRRRPGPSPDGLLEEGSPTMVEKGLEPGVFTLAEEDDEPE GPPGSPGPEPQTVLLRRARGEGRDQGPDGDRDTETGSGDAAGRQKRHNRSSWIDPPEEEH SPQLTQAELESCMKKYEDTFQDYQEMFVQFGYVVLFSSAFPLAALCALVNNLIEIRSDAF KLCTGLQRPFGRRVESIGQWQKVMEAMGVLAIVVNCYLIGQCGQLQRLFPWLSPEAAIVS VVVLEHLALLVKYLIHVAIPDIPGWVAEEMAKLEYQRREAFKRHERQAQQRFQQQQRRRR EEEERQRHAEQQARRERDTGGREEARAEAPGPDPVAERGAAKAKGSERPRRPGALLPPGP VLRLKQIIPLQTRPPAPTGCAPPPRSPADTRLPAFLSLRFLKAPERGPSPPRPGKLFAFS AREPSANGAPGGGARAHRSAGDEPAAAEPEPRPEDAGHRP >ENSMUSP00000054958.6 pep:known chromosome:GRCm38:9:24625185:24625827:1 gene:ENSMUSG00000047995.6 transcript:ENSMUST00000053956.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cypt4 description:cysteine-rich perinuclear theca 4 [Source:MGI Symbol;Acc:MGI:1916573] MTRVAKKVHWSRAATAAAAAAKAKRSKLKKKRNPRSKLPKRSRHSLIRSHSRSCCCCLRS RSRTTLKITKKGEQSLRRRIRRRIKRATELRLMRSQMEQSQRELIEPEPTEPLEPNEITV ALFSHENANVSEPEEVPPYLDRDLAPNGELANS >ENSMUSP00000046486.5 pep:known chromosome:GRCm38:14:27238039:27403904:1 gene:ENSMUSG00000021895.9 transcript:ENSMUST00000049206.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef3 description:Rho guanine nucleotide exchange factor (GEF) 3 [Source:MGI Symbol;Acc:MGI:1918954] MRSERPMVWCCFFLRAQRKRKQSSQDEDAVSLCSLDISEPSNKRVKPLSRVTSLANLIPP VKTTPLKRFSQTLQRSISFRSESRPDILAPRAWSRNATSSSTKRRDSKLWSETFDVCVNQ VLTAKEIKRQEAIFELSQGEEDLIEDLKLAKKAYHDPMLKLSIMTEQELNQIFGTLDSLI PLHEELLSQLRDVRKPDGSTEHVGPILVGWLPCLSSYDSYCSNQVAAKALLDHKKQDHRV QDFLQRCLESPFSRKLDLWNFLDIPRSRLVKYPLLLREILRHTPNDNPDQQHLEEAINII QGIVAEINTKTGESECRYYKERLLYLEEGQKDSLIDSSRVLCCHGELKNNRGVKLHVFLF QEVLVITRAVTHNEQLCYQLYRQPIPVKDLTLEDLQDGEVRLGGSLRGAFSNNERVKNFF RVSFKNGSQSQTHSLQANDTFNKQQWLNCIRQAKETVLSAAGQAGLLDSEGLVQGPGTEN REPQGETKLEQMDQSDSESDCSMDTSEVSLECERMEQTDASCANSRPEESV >ENSMUSP00000090293.2 pep:known chromosome:GRCm38:10:29143996:29199630:1 gene:ENSMUSG00000038916.6 transcript:ENSMUST00000092629.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Soga3 description:SOGA family member 3 [Source:MGI Symbol;Acc:MGI:1914662] MSQPPSGGAAPAATSASAAAAATEARMHPEGCSRKQQRAQSPARPRDNSLRQTAGATRSP LGVGPKLNSVRQQQLQQQQQQGNKITGRSTSGTGSRGLGGGAEKAVPSIPKGAVPGAVQP APGAEGSPAAILASVSFRRSGQPEEAPREIESGPSKVGEPPPLGGVGGGGEGGGAGGGPG DREGGAPQPPPPRGWRGKGVRATQRGSSVAEGVSPSPPTAATSKTPGPGSRNSGSGSTGS GSGGGGSYWKEGCLQSELIQFHLKKERAAAAAAAAQMHTKNGGGGSRSSPVAGAPAICEP LVVPSSSPMAAAAEGPQQSAEGNGSGGAMQAAAPPSSQPHSQQLQEQEDMQEEMEKLREE NETLKNEIDELRTEMDEMRDTFFEEDACQLQEMRHELERANKNCRILQYRLRKAERKRLR YAQTGEIDGELLRSLEQDLKVAKDVSVRLHHELENVEEKRTTTEDENEKLRQQLIEVEIA KQALQNELEKMKELSLKRRGSKDLPKSEKKAQQTPTEDDNEDLKCQLQFVKEEAALMRKK MAKIDKEKDRFEHELQKYRSFYGDLDSPLPKGEAGGPPSTREAELKLRLRLVEEEANILG RKIVELEVENRGLKAELDDLRGEDFNGSSNPLMREQSESLSELRQHLQLVEDETELLRRN VADLEEQNKRITAELNKYKYKSSGHDSSRHHDNAKTEALQEELKAARLQINELSGKVMQL QYENRVLMSNMQRYDLASHLGIRGSPRDSDAESDAGKKESDDDSRPPHRKREGPIGGESD SEEVRNIRSLTPTRSFYPTPGPWPKSFSDRQQMKDIRSEAERLGKTIDRLIADTSTIITE ARIYVANGDLFGLMDEEDDGSRIREHELLYRINAQMKAFRKELQTFIDRLEVPKSADDRG AEEPISVSQMFQPIILLILILVLFSSLSYTTIFKLVFLFTLFFVL >ENSMUSP00000137434.1 pep:known chromosome:GRCm38:8:20615097:20639412:1 gene:ENSMUSG00000095294.1 transcript:ENSMUST00000178438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21119 description:predicted gene, 21119 [Source:MGI Symbol;Acc:MGI:5434474] MEEEINYDSQTMNIPRRRRWYTHLWRSCLGLRCMHPQKKKKYPLYLIGYDPIGPLQRAAS VGDLDTTEKLIHSSQHHVDESDRRKRTSLHYACAHNHPDVVTLLLENNSSINIRDDEGCT PLIKATQRDNVDCASVLLTHNADPNLIDSSGNTAFHHAISRGNIRIVKMLLEHNVDIEAK TEYGLTPLQLATFEQKPEMVEFLAAKCAKSSVTPSWSPSPTVSPCPSSTSPLSSLGLPVC PNPGTSSLSEDRKSSSARRIKLSTGSSAQRTEVKHVRFNEEVLYFKEERPLSCEARPPRQ LKSILKNTVQYNTVNEKITRRTSLWCSKAEDVRYTSCIKVKCYSVKSSFL >ENSMUSP00000097404.2 pep:known chromosome:GRCm38:16:38458933:38486933:1 gene:ENSMUSG00000075122.4 transcript:ENSMUST00000099816.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd80 description:CD80 antigen [Source:MGI Symbol;Acc:MGI:101775] MACNCQLMQDTPLLKFPCPRLILLFVLLIRLSQVSSDVDEQLSKSVKDKVLLPCRYNSPH EDESEDRIYWQKHDKVVLSVIAGKLKVWPEYKNRTLYDNTTYSLIILGLVLSDRGTYSCV VQKKERGTYEVKHLALVKLSIKADFSTPNITESGNPSADTKRITCFASGGFPKPRFSWLE NGRELPGINTTISQDPESELYTISSQLDFNTTRNHTIKCLIKYGDAHVSEDFTWEKPPED PPDSKNTLVLFGAGFGAVITVVVIVVIIKCFCKHRSCFRRNEASRETNNSLTFGPEEALA EQTVFL >ENSMUSP00000023179.5 pep:known chromosome:GRCm38:15:76879259:76892392:1 gene:ENSMUSG00000033669.7 transcript:ENSMUST00000023179.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp7 description:zinc finger protein 7 [Source:MGI Symbol;Acc:MGI:99208] MEAVTFGDVAVHFSREEWQCLDSGQRALYKEVMLENHSSVAGLAGFLVFKPELISRLEQG QEPWVLDLQGAEGTEAPRICQTDSAIRTDRKQTCEYTSLLQRQIPGFGDNLDSKVWSENC PRSLGLSVSGSLFQKHRLNSEAVMPKNSTKDAVQERKELQATDVGYRPDDQRDHLSSKLI RRQSVPTGENRYPCEECGKAFRWRSRLNQHKLSHTGEKSYQCNKCTKVFASSSRLIRHQR AHTGEKPFKCDQCGKRFVLASVLTQHQRIHTGERPFKCAECGKGFHLSAKLVQHQRIHTG EKPYRCEECGKTFGQSSSLVHHQRIHTGERPFICQECGKAFCQRSQLSRHRRTHTGERPY SCQECGKAFCQRATLAQHQKMMHTAEKSQMPRASESPSLIACQGNTTEEKPFKCEQCGKA FRWLSRLNQHQVVHSGEKPYQCNKCSKAFGCTSRLIRHQRTHTGEKPFKCDECGKRFVQS SHLIQHQRIHTGEKPYVCDDCGRAFTQSSSLIYHQRIHKGEKPYKCSQCGKAFSMSTQLT SHQRTHTGERPYTCNECGKTFRQNSTLFQHQIIHVRVKPYECNECGKAFSRSSYLIEHQR IHTRAQGGHEFGDTPESPTVLNHKKVHTVKKLYQCDDCDKVFRWRSYLIIHQRIHTGERP YKCNACGKAFHQIAKLTQHQKLHMR >ENSMUSP00000052591.4 pep:known chromosome:GRCm38:9:24720812:24774303:-1 gene:ENSMUSG00000031965.14 transcript:ENSMUST00000052946.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx20 description:T-box 20 [Source:MGI Symbol;Acc:MGI:1888496] MEFTASPKPQLSSRANAFSIAALMSSGGPKEKEAAENTIKPLEQFVEKSSCAQPLGELTS LDAHAEFGGGGGSPSSSSLCTEPLIPTTPIIPSEEMAKIACSLETKELWDKFHELGTEMI ITKSGRRMFPTIRVSFSGVDPESKYIVLMDIVPVDNKRYRYAYHRSSWLVAGKADPPLPA RLYVHPDSPFTGEQLLKQMVSFEKVKLTNNELDQHGHIILNSMHKYQPRVHIIKKKDHTA SLLNLKSEEFRTFIFPETVFTAVTAYQNQLITKLKIDSNPFAKGFRDSSRLTDIERESVE SLIQKHSYARSPIRTYGEEDVLGEESQTTQSRGSAFTTSDNLSLSSWVSSSSSFPGFQHP QPLTALGTSTASIATPIPHPIQGSLPPYSRLGMPLTPSAIASSMQGSGPTFPSFHMPRYH HYFQQGPYAAIQGLRHSSAVMTPFV >ENSMUSP00000126318.2 pep:known chromosome:GRCm38:9:24740256:24774303:-1 gene:ENSMUSG00000031965.14 transcript:ENSMUST00000166018.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx20 description:T-box 20 [Source:MGI Symbol;Acc:MGI:1888496] MEFTASPKPQLSSRANAFSIAALMSSGGPKEKEAAENTIKPLEQFVEKSSCAQPLGELTS LDAHAEFGGGGGSPSSSSLCTEPLIPTTPIIPSEEMAKIACSLETKELWDKFHELGTEMI ITKSGRRMFPTIRVSFSGVDPESKYIVLMDIVPVDNKRYRYAYHRSSWLVAGKADPPLPA RLYVHPDSPFTGEQLLKQMVSFEKVKLTNNELDQHGHIILNSMHKYQPRVHIIKKKDHTA SLLNLKSEEFRTFIFPETVFTAVTAYQNQLITKLKIDSNPFAKGFRDSSRLTDIERE >ENSMUSP00000002765.7 pep:known chromosome:GRCm38:12:50341231:50649223:-1 gene:ENSMUSG00000002688.7 transcript:ENSMUST00000002765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkd1 description:protein kinase D1 [Source:MGI Symbol;Acc:MGI:99879] MSVPPLLRPPSPLLPAAAAVAAAAAALVPGSGPAPFPAPGAAPAGGISFHLQIGLSREPV LLLQDSSGDYSLAHVREMACSIVDQKFPECGFYGLYDKILLFRHDPASDNILQLVKIASD IQEGDLIEVVLSASATFEDFQIRPHALFVHSYRAPAFCDHCGEMLWGLVRQGLKCEGCGL NYHKRCAFKIPNNCSGVRRRRLSNVSLTGLGTVRTASAEFSTSVPDEPLLSPVSPGFEQK SPSESFIGREKRSNSQSYIGRPIQLDKLLMSKVKVPHTFVIHSYTRPTVCQFCKKLLKGL FRQGLQCKDCRFNCHKRCAPKVPNNCLGEVTINGELLSPGAESDVVMEEGSDDNDSERNS GLMDDMDEAMVQDTEMALAEGQSGGAEMQDPDADQEDSNRTISPSTSNNIPLMRVVQSVK HTKRRSSTVMKEGWMVHYTSKDTLRKRHYWRLDSKCITLFQNDTGSRYYKEIPLSEILCL EPAKPSALTPVGATPHCFEITTANVVYYVGENVVNPSSSPPNNSVLPSGIGPDVARMWEV AIQHALMPVIPKGSSVGSGSNSHKDISVSISVSNCQIQENVDISTVYQIFPDEVLGSGQF GIVYGGKHRKTGRDVAIKIIDKLRFPTKQESQLRNEVAILQNLHHPGVVNLECMFETPER VFVVMEKLHGDMLEMILSSEKGRLPEHITKFLITQILVALRHLHFKNIVHCDLKPENVLL ASADPFPQVKLCDFGFARIIGEKSFRRSVVGTPAYLAPEVLRNKGYNRSLDMWSVGVIIY VSLSGTFPFNEDEDIHDQIQNAAFMYPPNPWKEISHEAIDLINNLLQVKMRKRYSVDKTL SHPWLQDYQTWLDLRELECRIGERYITHESDDSRWEQYAGEQGLQYPAHLISLSASHSDS PEAEEREMKALSERVSIL >ENSMUSP00000073690.5 pep:known chromosome:GRCm38:15:38294413:38300707:-1 gene:ENSMUSG00000037465.9 transcript:ENSMUST00000074043.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf10 description:Kruppel-like factor 10 [Source:MGI Symbol;Acc:MGI:1101353] MLNFGASLQQASEGKMELISEKPREGMHPWDKAEQSDFEAVEALMSMSCDWKSHFKKYLE NRPVTPVSDTSEDDSLLPGTPDLQTVPAFCLTPPYSPSDFEPSQGSNLTASAPSTGHFKS FSDAAKPPGATPFKEEEKNPLAAPPLPKAQATSVIRHTADAQLCNHQSCPVKAASILNYQ DNSFRRRTHGNVEATRKNIPCAAVSPNRSKPEPSTVSDGDEKAGAALYDFAVPSSETVIC RSQPAPSSPVQKSVLVSSPTVSTGGVPPLPVICQMVPLPANNSLVSTVVPSTPPSQPPAV CSPVLFMGTQVPEGTVVFVVPQPVVQSPRPPVVSPSGTRLSPIAPAPGFSPSAARVTPQI DSSRVRSHICSHPGCGKTYFKSSHLKAHVRTHTGEKPFSCSWKGCERRFARSDELSRHRR THTGEKKFACPMCDRRFMRSDHLTKHARRHLSAKKLPNWQMEVSKLNDIALPPTPASAQ >ENSMUSP00000048244.7 pep:known chromosome:GRCm38:8:79711820:79777321:1 gene:ENSMUSG00000036977.7 transcript:ENSMUST00000048147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc10 description:anaphase promoting complex subunit 10 [Source:MGI Symbol;Acc:MGI:1916249] MTTPNKTPPGADPKQLERTATVREIGSQAVWSLSSCKPGFGVDQLRDDNLETYWQSDGSQ PHLVNIQFRRKTTVKTLCIYADYKSDESYTPSKISVRVGNNFHNLQEIRQLELVEPSGWI HVPLTDNHKKPTRTFMIQIAVLANHQNGRDTHMRQIKIYTPVEESSIGKFPRCTTIDFMM YRSIR >ENSMUSP00000136197.1 pep:known chromosome:GRCm38:17:32879228:32886083:-1 gene:ENSMUSG00000095325.1 transcript:ENSMUST00000178401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp870 description:zinc finger protein 870 [Source:MGI Symbol;Acc:MGI:3029586] ESVSFEDVAVKFTQEEWAVLDPSQKKLYKDVMQETLKNLASIGNKSGKQKVINEYGKLWR KLSSHLAGKFSDYNENHQCADIFNCSPGHSVSLQSCPGRATFEKNLCEEGRIGHSSLGVP LNHLVGPYEDQEHGQPLYKHKEFESSSNSLSLQMHTNTHTGEKPSKNKGFEEDLPCVRSG QTYKQNDYSKKSYVHRPCGKGFTHPSPLQRHEKSHSVKKPYVCELCGKGFARFGNLLRHQ RTDTGEKIFLPGHYARTLPRSACLRRRTSVRNGEKPYVCEQCGKTFLDSTYFRIHRRIHT GVKPYVCKQCGKAFTASRYLRSHELTHTREKSYVCELCGGAFTFWYQFQKHKVAHSGVNP YVCKQCGKGFPYSSSLKTHERIHTGQKPYVCKHCGKTFGSSGNLKRHGSTHTGERLYICK QCGKSFNNHSSFQFHNRMHTGANPYKCKQCGKDLASSSSLQSHERNHSGEKPYACLQCGK AFSSDSSLRKHKIMHSEEKPYVCKHCGKSFRRFFEVRVHERIHSSERPYECETCGRGFLS STHLRRHVPIHSRAEKDASK >ENSMUSP00000132718.1 pep:known chromosome:GRCm38:16:88868918:88869410:-1 gene:ENSMUSG00000060691.3 transcript:ENSMUST00000081334.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap19-1 description:keratin associated protein 19-1 [Source:MGI Symbol;Acc:MGI:2157755] MSYYSGYYGGLGYGYGSSFGGLGCGCNSIRRLGCGSGYGGFGYGSGYGGYGYGSDYGGYG YGSSYGGYGCGCRRPSCCGRYGFSNFY >ENSMUSP00000022757.3 pep:known chromosome:GRCm38:14:56205266:56211407:-1 gene:ENSMUSG00000015441.3 transcript:ENSMUST00000022757.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzmf description:granzyme F [Source:MGI Symbol;Acc:MGI:109254] MPPILILLTLLLPLRAGAEEIIGGHEVKPHSRPYMARVRFVKDNGKRHSCGGFLVQDYFV LTAAHCTGSSMRVILGAHNIRAKEETQQIIPVAKAIPHPAYDDKDNTSDIMLLKLESKAK RTKAVRPLKLPRPNARVKPGHVCSVAGWGRTSINATQRSSCLREAQLIIQKDKECKKYFY KYFKTMQICAGDPKKIQSTYSGDSGGPLVCNNKAYGVLTYGLNRTIGPGVFTKVVHYLPW ISRNMKLL >ENSMUSP00000000090.6 pep:known chromosome:GRCm38:9:57521232:57532424:1 gene:ENSMUSG00000000088.6 transcript:ENSMUST00000000090.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox5a description:cytochrome c oxidase subunit Va [Source:MGI Symbol;Acc:MGI:88474] MLAAALRRCTAAAAARGLLHPASAPSPAAAVCSIRCYSHGSHETDEEFDARWVTYFNKPD IDAWELRKGMNTLVGYDLVPEPKIIDAALRACRRLNDFASAVRILEVVKDKAGPHKEIYP YVIQELRPTLNELGISTPEELGLDKV >ENSMUSP00000102427.2 pep:known chromosome:GRCm38:7:104840257:104842603:-1 gene:ENSMUSG00000062369.2 transcript:ENSMUST00000106814.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp17lb description:ubiquitin specific peptidase 17-like B [Source:MGI Symbol;Acc:MGI:3051498] MVVALSFPEDPAMSPPSAPELHQDEAQVVEELAANGKHSLSWESPQGPGCGLQNTGNSCY LNAALQCLTHTPPLADYMLSQEHSQTCCSPEGCKMCAMEAHVTQSLLHTHSGDVMKPSQN LTSAFHKRKQEDAHEFLMFTLETMHESCLQVHRQSEPTSEDSSPIHDIFGGWWRSQIKCH HCQGTSYSYDPFLDIPLDISSVQSVKQALQDTEKAEELCGENSYYCGRCRQKKPASKTLK LYSAPKVLMLVLKRFSGSMGKKLDRKVSYPEFLDLKPYLSQPTGGPLPYALYAVLVHEGA TCHSGHYFCCVKAGHGKWYKMDDTKVTSCDVTSVLNENAYVLFYVQQNDLKKGSINMPEG RIHEVLDAKYQLKKSGEKKHNKSPCTEDAGEPCENREKRSSKETSLGEGKVLQEQDHQKA GQKQENTKLTPQEQNHEKGGQNLRNTEGELDRLSGAIVVYQPICTAN >ENSMUSP00000075822.1 pep:known chromosome:GRCm38:7:104840312:104842504:-1 gene:ENSMUSG00000062369.2 transcript:ENSMUST00000076501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp17lb description:ubiquitin specific peptidase 17-like B [Source:MGI Symbol;Acc:MGI:3051498] MVVALSFPEADPAMSPPSAPELHQDEAQVVEELAANGKHSLSWESPQGPGCGLQNTGNSC YLNAALQCLTHTPPLADYMLSQEHSQTCCSPEGCKMCAMEAHVTQSLLHTHSGDVMKPSQ NLTSAFHKRKQEDAHEFLMFTLETMHESCLQVHRQSEPTSEDSSPIHDIFGGWWRSQIKC HHCQGTSYSYDPFLDIPLDISSVQSVKQALQDTEKAEELCGENSYYCGRCRQKKPASKTL KLYSAPKVLMLVLKRFSGSMGKKLDRKVSYPEFLDLKPYLSQPTGGPLPYALYAVLVHEG ATCHSGHYFCCVKAGHGKWYKMDDTKVTSCDVTSVLNENAYVLFYVQQNDLKKGSINMPE GRIHEVLDAKYQLKKSGEKKHNKSPCTEDAGEPCENREKRSSKETSLGEGKVLQEQDHQK AGQKQENTKLTPQEQNHEKGGQNLRNTEGELDRLSGAIVVYQPICTAN >ENSMUSP00000072043.7 pep:known chromosome:GRCm38:16:94085260:94127032:1 gene:ENSMUSG00000062713.7 transcript:ENSMUST00000072182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sim2 description:single-minded homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:98307] MKEKSKNAAKTRREKENGEFYELAKLLPLPSAITSQLDKASIIRLTTSYLKMRAVFPEGL GDAWGQPSRTGPLDSVAKELGSHLLQTLDGFVFVVASDGKIMYISETASVHLGLSQVELT GNSIYEYIHPSDHDEMTAVLTAHPPLHHHLLQEYEIERSFFLRMKCVLAKRNAGLTCSGY KVIHCSGYLKIRQYMLDMSLYDSCYQIVGLVAVGQSLPPSAITEIKLHSNMFMFRASLDL KLIFLDSRVTELTGYEPQDLIEKTLYHHVHGCDTFHLRYAHHLLLVKGQVTTKYYRLLSK LGGWVWVQSYATVVHNSRSSRPHCIVSVNYVLTDVEYKELQLSLDQVSTSKSQESWRTTL STSQETRKSAKPKNTKMKTKLRTNPYPPQQYSSFQMDKLECSQVGNWRTSPPTNAVAPPE QQLHSEASDLLYGPPYSLPFSYHYGHFPLDSHVFSSKKPGLPAKFGQPQGSPCEVARFFL STLPASSECQWHCANSLVPSSSSPAKNLSEPSPVNAARHGLVPNYEAPSAAARRFCEDPA PPSFPSCGHYREEPALGPAKAPRQASRDAARLALARAPPECCAPPAPEPQAPAQLPFVLL NYHRVLARRGPLGSAAPGAPEAAGSLRPRHPGPVAASAPGAPRPHYLGASVIITNGR >ENSMUSP00000132565.1 pep:known chromosome:GRCm38:15:77508271:77517319:1 gene:ENSMUSG00000091650.1 transcript:ENSMUST00000172191.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol11a description:apolipoprotein L 11a [Source:MGI Symbol;Acc:MGI:3649094] MDWNEILEGIKKVERRIVEKAIDNFTEKFLRTDLRSLITEDGAWNGFVEAAELSREEGEA LRDALKERLAQDPTDENDRPQREQQKERFLREFPQLKKKLEDHIRKLRELADKFDQVHKD CTISNVVSTSASAASGVLALLGLALSTVTAGASLALSASSVALGATSSVSSFTTTAVEES MRLSYESEARNLIGASMNVLEEILKIMPKITYKFYSTVADLAEAFKTLKDQIQTIRRARS ISRRGAQARNLPSTGRSSGQVVPQMTRGARFQKGVFTSVLLGWDVYDLVNQSKDLYYGAK TKSGGALRDLARKLEENLQEFEQKCKALQSDLPQ >ENSMUSP00000025482.8 pep:known chromosome:GRCm38:18:64528979:64661000:-1 gene:ENSMUSG00000039529.8 transcript:ENSMUST00000025482.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b1 description:ATPase, class I, type 8B, member 1 [Source:MGI Symbol;Acc:MGI:1859665] MSTERDSETTFDEESQPNDEVVPYSDDETEDELEDQGSTVEPEQNRVNREAEKKRETFRK DCTWQVKANDRKFHEQPHFMNTKFFCIKESKYASNAIKTYKYNGFTFLPMNLFEQFKRAA NFYFLILLILQAIPQISTLAWYTTLVPLLLVLGITAIKDLVDDVARHKMDKEINNRTCEV IKDGRFKIIKWKDIQVGDVIRLKKNDFIPADILLLSSSEPNSLCYVETAELDGETNLKFK MALEITDQYLQIEDNLATFDGFIECEEPNNRLDKFTGTLFWKNQSFPLDADKILLRGCVI RNTDVCHGLVIFAGADTKIMKNSGKTRFKRTKIDYLMNYMVYTIFIVLILVSAGLAIGHA YWEAQVGNYSWYLYDGENATPSYRGFLNFWGYIIVLNTMVPISLYVSVEVIRLGQSHFIN WDLQMYYAEKDTPAKARTTTLNEQLGQIHYIFSDKTGTLTQNIMTFKKCCINGTIYGDHR DASQHSHSKIELVDFSWNTFADGKLAFYDHYLIEQIQSGKEPEVRQFFFLLSICHTVMVD RIDGQINYQAASPDEGALVNAARNFGFAFLARTQNTITVSELGSERTYNVLAILDFNSDR KRMSIIVRTPEGSIRLYCKGADTVIYERLHRMNPTKQETQDALDIFASETLRTLCLCYKE IEEKEFTEWNNKFMAASVASSNRDEALDKVYEEIEKDLILLGATAIEDKLQDGVPETISK LAKADIKIWVLTGDKKETAENIGFACELLTEDTTICYGEDINSLLHTRMENQRNRGGVSA KFAPPVYEPFFPPGENRALIITGSWLNEILLEKKTKRSKILKLKFPRTEEERRMRSQSRR RLEEKKEQRQKNFVDLACECSAVICCRVTPKQKAMVVDLVKRYKKAITLAIGDGANDVNM IKTAHIGVGISGQEGMQAVMSSDYSFAQFRYLQRLLLVHGRWSYIRMCKFLRYFFYKNFA FTLVHFWYSFFNGYSAQTAYEDWFITLYNVLYSSLPVLLMGLLDQDVSDKLSLRFPGLYV VGQRDLLFNYKRFFVSLLHGVLTSMVLFFIPLGAYLQTVGQDGEAPSDYQSFAVTVASAL VITVNFQIGLDTSYWTFVNAFSIFGSIALYFGIMFDFHSAGIHVLFPSAFQFTGTASNAL RQPYIWLTIILTVAVCLLPVVAIRFLSMTIWPSESDKIQKHRKRLKAEEQWKRRQSVFRR GVSSRRSAYAFSHQRGYADLISSGRSIRKKRSPLDAIIADGTAEYRRTVES >ENSMUSP00000057406.8 pep:known chromosome:GRCm38:9:58287723:58313212:-1 gene:ENSMUSG00000032334.9 transcript:ENSMUST00000061799.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Loxl1 description:lysyl oxidase-like 1 [Source:MGI Symbol;Acc:MGI:106096] MALAGAGSQLRTLVWSACLCVLVHGQQAQPGQGSDPGRWRQLIQWENNGQVYSLLNSGSE YVPAGPQRGETSSRVLLAGAPQTSQRRSQGGPRRRQAPSLPLPGRVGSDTVRGQTRHPFG FGQVPDNWREVAVGDSTGMARARTSVSQQRHGGSASSSVSASAFATTYRQPSSYPQQFPY PQAPFVNQYENYDPASRTYEQGYVYYRGAGGGMGAGAAAVASAGVIYPFQPRARYEDYGG GGGEEQPEYPAQGFYPAPERPYVPQPQPQPQPQPQPQPQPSDGLDRRYSHSLYNEGTPGF EQAYPDPSTDVSQAPAGAGGTYGGAGDPRLGWYPPYAANVPPEAYVPPRAVEPQPPFRVL EPPYLPVRSSDAPSQGGERNGAQQGRLSVGSVYRPNQNGRGLPDLVPDPNYVQASTYVQR AHLYSLRCAAEEKCLASTAYAPEATDYDLRVLLRFPQRVKNQGTADFLPNRPRHTWEWHS CHQHYHSMDEFSHYDLLDASTGKKVAEGHKASFCLEDSTCDFGNLKRYACTSHTQGLSPG CYDTYNADIDCQWIDITDVQPGNYILKVHVNPKYIVLESDFTNNVVRCNIHYTGRYVSTT NCKIVQS >ENSMUSP00000022976.4 pep:known chromosome:GRCm38:15:59331998:59374167:-1 gene:ENSMUSG00000022350.6 transcript:ENSMUST00000022976.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E430025E21Rik description:RIKEN cDNA E430025E21 gene [Source:MGI Symbol;Acc:MGI:2146110] MLDFLAENNLCGQAILRIVSCGNAIIAEVLRLSEFIPAVFLLKDRADQQRYGDIIFDFSY FKGPEFWESKLEAKPELQDLDEEFRENNIEIVTRFYLAFQSVHKYIVDLNRYLDDLNEGV YIQQTLETVLLSEDGKQLLCEALYLYGVMLLVIDQKIEGEVRERMLVSYYRYSAARSSAD SNMDDICKLLRSTGYSSQPGAKRPPNYPESYFQRVPINETFISMVIGRLRSDDIYNQVSA YPLPEHRSTALANQAAMLYVILYFEPSILHTHQAKMREIVDKYFPDNWVISIYMGITVNL ADAWEPYKAAKTALNNTLDLANVKEQASRYASVSDRVRAQVQQFLKEGYLREEVLLDNIP RLLNCLRDCNVAIRWLMLHTADSACDPNNKRLRQIKDQILADSRYNPKILFQLLLDTAQF EFILKEMFKQMLSEKQSKWEHYKKEGSERMTELADVFSGVKPLTRVEKNENLQAWFREIS KQILSLNYDDSTAAGRKTVQLIQALEEVQEFHQLESNLQVCQFLADTRKFLHQMIRTINI KEEVLITVQIIGDLSFAWQLIDSFTSIMQESIRVNPSMVTKLRATFLKLASALDLPLLRI NQANSPDLLSVSQYYSGELVSYVRKVLQIIPESMFTSLLKIIKLQTHDIMEVPTRLDKDK LRDYAQLGPRYEVAKLTHAISIFTEGILMMKTTLVGIIKVDPKQLLEDGIRKELVKRVAF ALHRGLIFNPRAKPSELMPKLKELGATMDGFHRSFEYIQDYVSIYGLKIWQEEVSRIINY NVEQECNNFLRTKIQDWQSMYQSTHIPIPKFAPVDESITFIGRLCREILRITDPKMTCYI DQLNTWYDVKTHQEVTSSRLFSEIQTTLGTFGLNGLDRLLCFMIVKELQNFLSMFQKIIL KERTVQETLKMLMSAVNPLKSIVANSSKVYLSAITKTQKIWSAYLEAIMKVGQMQILRQQ IANELNSSCRFDSRHLAAALDNLNKALLADIEAHYRDPSLPYPKEDNTLLYEITAYLEAA GIHNPLNKIYITTKRLPYFPIVNFLFLIAQLPKLQYNKNLGMVCRKPADPVDWPPLVLGL LTLLKQFHSRYTEQFLALIGQFIRSTMEQCTSQKMPEMPADAVGALLFLEDYVRYTKLPR RVAEAHVPNFIFDEFRTVL >ENSMUSP00000093406.3 pep:known chromosome:GRCm38:12:52699383:53151015:1 gene:ENSMUSG00000061603.7 transcript:ENSMUST00000095737.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap6 description:A kinase (PRKA) anchor protein 6 [Source:MGI Symbol;Acc:MGI:3050566] MLTMSVTLSPLRSQDPDPMATDASPMAINMTPTVEQEEGEGEEAVKDVGAEQQYGKPPPL HTAADWKIVLHLPEIETWLRMTSERVRDLTYSVQQDADSKHVDVHLVQLKDICEDISDHV EQIHALLETEFSLKLLSYSVNVIVDIHAVQLLWHQLRVSVLVLRERILQGLQDANGNYTR QTDILQAFSEETTEGRLDSLTEVDDSGQLTIKCSQNYLSLDCGITAFELSDYSPSEDLLG GLGDMTTSQAKTKSFDSWSYSEMEKEFPELIRSVGLLTVATEPVPSSCGAANEDSSQVIL SEDHRGGHEEDGALEPGEQLGSTLETSSLGDTLTNAAEHPPETANQDSTSSPQLGAKKSQ PGPCEMMTPKRSIRDCFNYNEDSPTQPTLPKRGLFLKETLKNERRGSDGKGRVVDLKPEL SRSTPSLVEPPDRSKLCLVLQSSYPSSPSAASQSYECLHKVGIGNLENIVRSHIKEISSS LGRLTDCHKEKPRLKKPHKTLAEVSLCKIPKRGTGSGKQSENTRSSVVPTMVSPGAPKAT ARPATDSASTTSGDTCHQRNRGGKLPAQSKASSSSPCSHSSESSLGSDNIKSPLPLLSKS QKGSPPAPCHATQNGQVVEAWYGSDEYLALPSHLKQTEVLALKLENLTKLLPQKPRGETI QDIDDWELSEMNSDSEIYPTYHIKKKHTRLGTVSPSSSSDIASSLGESIESGPLSDILSD EDLCMPLSGMKKFTDEKSERPSSSEKNESHSATKSALIQKLMKDIQHQDNYEAIWERIEG FVNKLDEFIQWLNEAMETTENWTPPKAETDSLRLYLETHLSFKLNVDSHCALKEAVEEEG HQLLELIASHKAGLKDMLKMIASQWKELQRQIKRQHSWILRALDTIKAEILATDVSVEDE EGTGSPKAEAQLCYLEAQRDAVEQMSLKLYSEQYTSGSKRKEEFADMSKAHSVGSNGLLD FDSEYQELWDWLIDMESLVMDSHDLMMSEEQQQHLYKRYSVEMSIRHLKKTELLSKVEAL KKGGLSLPNDILEKVDSINEKWELLGKTLREKIQDTMAGHSGSGPRDLLSPESGSLVRQL EVRIKELKRWLRDTELFIFNSCLRQEKEGTSAEKQLQYFKSLCHEIKQRRRGVASILRLC QHLLDDRDTCNLNADHQPMQLIIVNLERRWEAIVMQAVQWQTRLRKKMGKESETLNVIDP GLMDLNGMSEDALEWDETDISNKLISVHEESNDLDQDLEPMVPTVKLEETHHKDSGYEEE AGDCGGSQYTSNITAPSSPHIYQVYSLHNVEFHEDSHTQFLKSSPKFTGTVQPTVLTKSL SKDSSFSSTKSLPDLLGGSSLVRPYSCQSGDLSQNSGSESGIVSEGDNEMPTNSDMSLFS MVDGSPSNPETQHLDPQMGDAANVLEQKFKDEGECIKLSSVSQASVSPVGCVNGKAGDLN SITKHTTDCLGDELQGKHEVFTFYDYSYLQGSKLKFPMIMKQPQSEKAHLEDPLLRGFYF DKKSCKPKHQTSESQPDAPLHEKILASAPHEMGRSSYKSSDIEKALTGIQNARQLSLLSR SSSVESLSPGGDLFGLGIFKNGSDSLQRSTSLESWLTSYKSNEDLFSCHSSGDISVSSGS VGELSKRTLDLLNRLENIQSPSEQKIKRSVSDITLQSSSQKMSFSGQMSLDVASSINEDS PASLTELSSSDELSLCSEDIVLHKNKVPESNASFRKRLNRSVADESDVNVSMIVNVSCTS ACTDDEDDSDLLSSSTLTLTEEELCLKDEDDDSSIATDDEIYEESNLMSGLDYIKNELQT WIRPKLSLSREKKRPSVTDEIKVNKDGGSTERANPSDTLDIEALLNCSIKRLSENNGNGK NPPRTHDLGTKGENKKNTYDVTKDPHVADMENGNVESTPEREKEKPEIPEASANLASNVK KISESKHDEYEALMDGSDDSSVTGKEFGPPNDRHPPQIGADPQHPERGDCTSVQNSCSGL LLETGGGSRQDSDGLKSLSNDAPSVARNAAGCCLLEQNETEESASISSSTSCCNCKPDVF HQKDDEDCSVHDFVKEIIDMASTALKSKSQPESEVAAPTSLTQIKEKVLEHSHRPIHLRK GDFYSYLSLSSHDSDCGEVTNYIDEKSNTPLPPDTVDSGLDDKEDVDCFFEACVEDEPAD EEARLSSALPNESEVQDEAAKPEQMTASSSVFRDETDTVPLSGLSPQKGADDAKEGDGAS HTSQGCVESAEPTTPPGKAKREGSSRKQSVSGTPEENAASAKPKIQAFSLNAKQPKGKAA LYPSPQTLTCKEKLVSFHEDRHSNMHR >ENSMUSP00000128205.1 pep:known chromosome:GRCm38:17:40561507:40579549:1 gene:ENSMUSG00000090897.1 transcript:ENSMUST00000167713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp6Esp5 description:Esp6-Esp5 readthrough transcript [Source:MGI Symbol;Acc:MGI:5529083] MTPLPVMFFLLTLLLPSMHTDGRVLTQTQNESTKSSNHKKNHKAVLDKTDRQDKGNKQAP EKVFCASIDDQTLVEDLATANQHKLILSKNKISQSNCCTQKHQVDPVNLNHMASRLQRTR VRHQEDSSKRKVIVGLDEFLDLVKKILISH >ENSMUSP00000128509.1 pep:known chromosome:GRCm38:14:61598226:61605946:1 gene:ENSMUSG00000035235.12 transcript:ENSMUST00000165015.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim13 description:tripartite motif-containing 13 [Source:MGI Symbol;Acc:MGI:1913847] MELLEEDLTCPICCSLFDDPRVLPCSHNFCKKCLEGLLEGNVRNSLWRPSPFKCPTCRKE TSATGVNSLQVNYSLKGIVEKYNKIKISPKMPVCKGHLGQPLNIFCVTDMQLICGICATR GEHTKHVFSSIEDAYAREKNAFESLFQSFETWRRGDALSRLDTLETNKRKALQLLTKDSD KVKEFFEKLQHTLDQKKNEILSDFETMKLAVMQTYDPEINKINTILQEQRMAFNIAEAFK DVSEPIIFLQQMQEFREKIKVIKETPLPHSNLPTSPLMKNFDTSQWGDIKLVDVDKLSLP QDTGVFTSKIPWYPYLLLMMVVLLGLLIFFGPTVFLEWSPLDELATWKDYLSSFNSYLTK SADFIEQSVFYWEQMTDGFFIFGERVKNVSLVALNNVAEFICKYKLL >ENSMUSP00000045009.6 pep:known chromosome:GRCm38:14:61599512:61605946:1 gene:ENSMUSG00000035235.12 transcript:ENSMUST00000039562.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim13 description:tripartite motif-containing 13 [Source:MGI Symbol;Acc:MGI:1913847] MELLEEDLTCPICCSLFDDPRVLPCSHNFCKKCLEGLLEGNVRNSLWRPSPFKCPTCRKE TSATGVNSLQVNYSLKGIVEKYNKIKISPKMPVCKGHLGQPLNIFCVTDMQLICGICATR GEHTKHVFSSIEDAYAREKNAFESLFQSFETWRRGDALSRLDTLETNKRKALQLLTKDSD KVKEFFEKLQHTLDQKKNEILSDFETMKLAVMQTYDPEINKINTILQEQRMAFNIAEAFK DVSEPIIFLQQMQEFREKIKVIKETPLPHSNLPTSPLMKNFDTSQWGDIKLVDVDKLSLP QDTGVFTSKIPWYPYLLLMMVVLLGLLIFFGPTVFLEWSPLDELATWKDYLSSFNSYLTK SADFIEQSVFYWEQMTDGFFIFGERVKNVSLVALNNVAEFICKYKLL >ENSMUSP00000026911.4 pep:known chromosome:GRCm38:9:123962126:123968692:-1 gene:ENSMUSG00000025804.4 transcript:ENSMUST00000026911.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr1 description:chemokine (C-C motif) receptor 1 [Source:MGI Symbol;Acc:MGI:104618] MEISDFTEAYPTTTEFDYGDSTPCQKTAVRAFGAGLLPPLYSLVFIIGVVGNVLVILVLM QHRRLQSMTSIYLFNLAVSDLVFLFTLPFWIDYKLKDDWIFGDAMCKLLSGFYYLGLYSE IFFIILLTIDRYLAIVHAVFALRARTVTFGIITSIITWALAILASMPALYFFKAQWEFTH RTCSPHFPYKSLKQWKRFQALKLNLLGLILPLLVMIICYAGIIRILLRRPSEKKVKAVRL IFAITLLFFLLWTPYNLSVFVSAFQDVLFTNQCEQSKQLDLAMQVTEVIAYTHCCVNPII YVFVGERFWKYLRQLFQRHVAIPLAKWLPFLSVDQLERTSSISPSTGEHELSAGF >ENSMUSP00000089680.5 pep:known chromosome:GRCm38:10:128035346:128048637:1 gene:ENSMUSG00000061315.13 transcript:ENSMUST00000092048.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naca description:nascent polypeptide-associated complex alpha polypeptide [Source:MGI Symbol;Acc:MGI:106095] MPGEATETVPATEQELPQPQAETAVLPMSSALKVAAVGQPGPTPPSSLGPQQSPIVTAHQ PSPLPSSVSSTPFEVPFAQPITAETALPSGTAPPTPTFLPHLIGPPISPAALALASPMIG LAQKGARSSSAPLSLVALAPHSVQKSSVCPPHPLTSPPSAAGAELGALTASIPPLEPKTS TSQVPSQGTLNLKGTAPCPPDVVRAFPSHLENPLASVQPGLMSCPQTLSNTSPVKGVPIS SALTQSRLSLNLKGPVSPPARNTAAPSIPLAPSTSLGCHLPLLHHSSVDSPIQPPGQSGL AVSNPTSVGHSGIAASCPPERCVVPALPSRLLAVDSGAAPSDDKGSSAVTNELCSPPGSS NVAGTSLSPKASLVPKGSNVALQPLVTQVPASQKTGLKEIPVSCIGATHHALDNPSAISV APATHVPPPTSSGLVSSKDPASPVTSLVVPAAHKQFPAPPASATLGVPVSPLPATEGLKN LPISALVNVGAPVSPAQAGLPTRKDTTLQPLAPIALKESPSSQSASSLEVLSEDTVTKKT TGGPAPVVRPAIAGVATTTSLRADSPPAVIRADSCVSPNTVSQPLKRSVTDPAMAPRTAK NTAPSTTSPLVPLASEGCPVASSMALSPQNASVSETALALSPEIPKSVPFPDPPLAEISF SNARKVDAVSHMESSGSSRQGHPDASVTAKGTVVCLADSSLDTSVSASKGSALSGASSPL YPLEVSFLPEAGLAVQGPKGSLNKLSPTPPSSKGAPVPSTGAPPSPKGAPIVPTESSISS KQVPAEILPSPQKTPEVTASRLISAVQSPKVDPIMSDVTPTSPKKTSATAVPKDTSATLS LKSVPAVTSLSPPKAPVAPSNEATIVPTEIPTSLKNALAAATPKETLATSIPKVTSPSPQ KTPKSVSLKGAPAMTSKKATEIAASKDVSPSQFPKEVPLLPHVPPTSPPKSPVSDTLSGA LTSPPPKGPPATLAETPTYPKKSPKPAASKKTPATPSPEGVTAVPLEIPPCSKKAPKTAA PKESSATSSSKRAPKTAVSKEIPSKGVTAVPLEISLPLKETSKSATPGEKSASSPKRSPK TAGPKETPPGGVTAVPPEISLPPKETPQNATPNESLAASSQKRSPKTSVPKETPPGGVTA MPLEIPSAPQKAPKTAVPKQIPTPEDAVTILAGSPLSPKKASKTAAPKEAPATPSVGVIA VSGEISPSPKKTSKTAAPKENSATLPPKRSPKTAAPKETPATSSEGVTAVPSEISPSPPT PASKGVPVTLTPKGAPNALAESPASPKKVPKTAAPEETSTTPSPQKIPKVAGPKEASATP PSKKTPKTAVPKETSAPSEGVTAVPLEIPPSPRKAPKTAAPKETPAPSPEGATTAPVQIP PSPRKGSKKAGSKETPTTPSPEGVTAAPLEIPISSKKTSKMASPKETLVTPSSKKLSQTV GPKETSLEGATAVPLEIPPSHKKAPKTVDPKQVPLTPSPKDAPTTLAESPSSPKKAPKTA APPSERVTTVPPEKPATPQKASATTASKVPVPAETQEVAVSSRETPVTPAVPPVKNPSSH KKTSKTIELKEAPATLPPSPTKSPKIPSSKKAPRTSAPKEFPASPSIKPVTTSLAQTAPP SLQKAPSTTIPKENLAAPAVLPVSSKSPAAPAAASASLSPATAAPQTAPKEATTIPSCKK AAATETPIETSTAPSLEGAPKETSETSVSKVLMSSPPKKASSSKRASTLPATTLPSLKEA SVLSPTATSSGKDSHISPVSDACSTGTTTPQASEKLPSKKGPTAFTEMLAAPAPESALAI TAPIQKSPGANSNSASSPKCPDPSSKKDTKGLPSAVALAPQTVPVEKDTSKAIETLLVSP AKGSDCLHSPKGPVGSQVATPLAAFTSDKVPPEAVSASVAPKPAPAASLTLAPSPVAPLP PKQPLLESAPGSVLESPSKLPVPAEEDELPPLIPPEAVSGGEPFQPILVNMPAPKPAGTP APAPSAKQPVLKNNKGSGTESDSDESVPELEEQDSTQTATQQAQLAAAAEIDEEPVSKAK QSRSEKKARKAMSKLGLRQVTGVTRVTIRKSKNILFVITKPDVYKSPASDTYIVFGEAKI EDLSQQAQLAAAEKFKVQGEAVSNIQENTQTPTVQEESEEEEVDETGVEVKDIELVMSQA NVSRAKAVRALKNNSNDIVNAIMELTM >ENSMUSP00000073532.7 pep:known chromosome:GRCm38:10:128035346:128048637:1 gene:ENSMUSG00000061315.13 transcript:ENSMUST00000073868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naca description:nascent polypeptide-associated complex alpha polypeptide [Source:MGI Symbol;Acc:MGI:106095] MPGEATETVPATEQELPQPQAETGSGTESDSDESVPELEEQDSTQTATQQAQLAAAAEID EEPVSKAKQSRSEKKARKAMSKLGLRQVTGVTRVTIRKSKNILFVITKPDVYKSPASDTY IVFGEAKIEDLSQQAQLAAAEKFKVQGEAVSNIQENTQTPTVQEESEEEEVDETGVEVKD IELVMSQANVSRAKAVRALKNNSNDIVNAIMELTM >ENSMUSP00000061390.7 pep:known chromosome:GRCm38:15:81848270:81858326:-1 gene:ENSMUSG00000048546.7 transcript:ENSMUST00000050467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tob2 description:transducer of ERBB2, 2 [Source:MGI Symbol;Acc:MGI:1888525] MQLEIKVALNFIISYLYNKLPRRRADLFGEELERLLRKKYEGHWYPEKPLKGSGFRCVHI GEVVDPVVELAAKRSGLAVEDVRANVPEELSVWIDPFEVSYQIGEKGVVKVLYLGDSEAS GTPELDKEIKSSFNPDAQVFVPIGSQDSSLSSSPSPSFGQSPSPTFIPRSAQPITFTTAS FAATKFGSTKMKKGGGASGGVSVGGSGAGGQQPPPQQPRMARSPTKNLLKHKSLSLSMHS LNLIPANPAPQSQLSPNAKEFVYNGGGSPSLFFDGVEGPSTSTTAPFGSGGASTCNSSSF DVSQVFGGGANSLFLEKSPFVEGLSYNLNTMQYPNQPFQPVVLAN >ENSMUSP00000137074.1 pep:known chromosome:GRCm38:10:100306571:100307185:-1 gene:ENSMUSG00000093795.1 transcript:ENSMUST00000179109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9476 description:predicted gene 9476 [Source:MGI Symbol;Acc:MGI:3779885] MADKMDMSLEDIIKLNKMQQGRHGRPDYRMNRGTGPKRYRAAFTHGGRNRLAPYCRPKQL PDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYDRCG RSLGTAHVHFERKADALKAMREYNGASLDGRPMNIQLVTSQTDKQSRPAQCRNRGGMRRN PGFGGIGARVSRRGKLGCSQGRGKG >ENSMUSP00000057323.6 pep:known chromosome:GRCm38:10:41069989:41072285:-1 gene:ENSMUSG00000046922.6 transcript:ENSMUST00000061796.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr6 description:G protein-coupled receptor 6 [Source:MGI Symbol;Acc:MGI:2155249] MNASAAALNESQVVAVAAEGAAAAATAAGAPDTGEWGPPAASAALGGGGGPNGSLELSSQ LPAGPSGLLLSAVNPWDVLLCVSGTVIAGENALVVALIASTPALRTPMFVLVGSLATADL LAGCGLILHFVFQYVVPSETVSLLMVGFLVASFAASVSSLLAITVDRYLSLYNALTYYSR RTLLGVHLLLAATWTVSLGLGLLPVLGWNCLADRTSCSVVRPLTRSHVALLSTSFFVVFG IMLHLYVRICQVVWRHAHQIALQQHCLAPPHLAATRKGVGTLAVVLGTFGASWLPFAIYC VVGSQEDPAIYTYATLLPATYNSMINPIIYAFRNQEIQRALWLLFCGCFQSKVPFRSRSP SEV >ENSMUSP00000136543.1 pep:novel scaffold:GRCm38:JH584303.1:81607:82689:1 gene:ENSMUSG00000095019.1 transcript:ENSMUST00000178569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC234645.1 MSWRPSMSAQGVLETSISSQGLTETSLLPQEPPGHWLEKEALGYLPSNPEDLGLSDSSQD TLEIWACMQNSTEIPSVTQEVIESLSATQDSPKLPAPSPLSPETEEPLTYTQSISKSSES VPGTPEALASVLGSLCLLKPNEDAMGPLVSEQGILRTPAFPQESLELSQPAQGPLKSVTS HQETVDTSSSTEFQGLSLCAEGDIIPTPPREDGWRNFSYLKKNPRRLKFNQRILKHVPIP ERDIRYCLSELVALKCISSAVSSLTSSKYAEKESSPTSTPQRSISPLKSSQLSFRSSILC KKVLSHSPPPGDCPTPSKLRKTRSSPSSVDQEGLKYVRSKHAGWKHCHSSKIWVRVCKFK K >ENSMUSP00000101048.3 pep:known chromosome:GRCm38:10:77257776:77259223:-1 gene:ENSMUSG00000078444.3 transcript:ENSMUST00000105408.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10941 description:predicted gene 10941 [Source:MGI Symbol;Acc:MGI:3779151] MWAELAAGLVLQDRARGQSTGLQEWGWMQDLKVLSVPCRALALEQRAA >ENSMUSP00000129198.1 pep:known chromosome:GRCm38:12:4689402:4689926:-1 gene:ENSMUSG00000091732.1 transcript:ENSMUST00000080062.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17541 description:predicted gene, 17541 [Source:MGI Symbol;Acc:MGI:4937175] ARRLREYKVVGCCLPTPKCHTPPLYRMRIFAPNHVVAKSHFWYFVLQLKRMKKSPGEIVY CGQVFEKSPLRVKNFGIWPRCDSRSGTHNMYREYGDLTTAGAVSQCYRDMGTRHRARAHS IQIMKVEEIEAGKCRRPAVKQFHDSKIKFPLPHRVLLQHQHKPHFTTKRPNTFF >ENSMUSP00000033999.6 pep:known chromosome:GRCm38:8:41397457:41417118:-1 gene:ENSMUSG00000031590.7 transcript:ENSMUST00000033999.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frg1 description:FSHD region gene 1 [Source:MGI Symbol;Acc:MGI:893597] MAEYSYVKSTKLVLKGTKAKSKKKKSKDKKRKREEDEETQLDIVGIWWTVSNFGEISGTI AIEMDKGAYIHALDNGLFTLGAPHREVDEGPSPPEQFTAVKLSDSRIALKSGYGKYLGIN SDGLVVGRSDAIGPREQWEPVFQDGKMALLASNSCFIRCNEAGDIEAKNKTAGEEEMIKI RSCAERETKKKDDIPEEDKGSVKQCEINYVKKFQSFQDHKLKISKEDSKILKKARKDGFL HETLLDRRAKLKADRYCK >ENSMUSP00000136788.1 pep:known chromosome:GRCm38:17:45732864:45733844:-1 gene:ENSMUSG00000094690.1 transcript:ENSMUST00000178179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1600014C23Rik description:RIKEN cDNA 1600014C23 gene [Source:MGI Symbol;Acc:MGI:1919490] MEIISWALNRELSSLSIRHTVPSSTHLQSGTEGGLSFGQCPPPQSTHWESRKGGRLAEHP PARNPACAPGPFGSPQVLALQKDLPWPDSGNSVTWSYSGGN >ENSMUSP00000039473.5 pep:known chromosome:GRCm38:17:43801851:44039516:1 gene:ENSMUSG00000039601.15 transcript:ENSMUST00000044895.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcan2 description:regulator of calcineurin 2 [Source:MGI Symbol;Acc:MGI:1858219] MRGDAYFIGMRSLGQQASIPEDGGLFFLCCIDRDWAVTQCFAEEAFQALTDFSDLPNSLF ACNVHQSVFEEEESKEKFEGLFRTYDECVTFQLFKSFRRVRINFSHPKSAARARIELHET QFRGKKLKLYFAQVQTPETDGDKLHLAPPQPAKQFLISPPSSPPVGWKPISDATPVLNYD LLYAVAKLGPGEKYELHAGTESTPSVVVHVCDSDMEEEEDPKTSPKPKIIQTRRPGLPPS VSN >ENSMUSP00000137211.1 pep:known chromosome:GRCm38:17:43952999:44037393:1 gene:ENSMUSG00000039601.15 transcript:ENSMUST00000177857.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcan2 description:regulator of calcineurin 2 [Source:MGI Symbol;Acc:MGI:1858219] MPAPSMDCDVSTLVACVVDVEVFTNQEVKEKFEGLFRTYDECVTFQLFKSFRRVRINFSH PKSAARARIELHETQFRGKKLKLYFAQVQTPETDGDKLHLAPPQPAKQFLISPPSSPPVG WKPISDATPVLNYDLLYAVAKLGPGEKYELHAGTESTPSVVVHVCDSDMEEEEDPKTSPK PKIIQTRRPGLPPSVSN >ENSMUSP00000048013.4 pep:known chromosome:GRCm38:17:43953191:44039516:1 gene:ENSMUSG00000039601.15 transcript:ENSMUST00000044792.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcan2 description:regulator of calcineurin 2 [Source:MGI Symbol;Acc:MGI:1858219] MPAPSMDCDVSTLVACVVDVEVFTNQEVKEKFEGLFRTYDECVTFQLFKSFRRVRINFSH PKSAARARIELHETQFRGKKLKLYFAQVQTPETDGDKLHLAPPQPAKQFLISPPSSPPVG WKPISDATPVLNYDLLYAVAKLGPGEKYELHAGTESTPSVVVHVCDSDMEEEEDPKTSPK PKIIQTRRPGLPPSVSN >ENSMUSP00000079254.7 pep:known chromosome:GRCm38:8:93499213:93506768:-1 gene:ENSMUSG00000058019.12 transcript:ENSMUST00000080391.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces5a description:carboxylesterase 5A [Source:MGI Symbol;Acc:MGI:1915185] MSSMVLFISITCLYVFSSFSVRTSTCLIVFSCFSLRTCNSLAVFSCISLSDLLKSFLMSS TIIMRYAFKSRSRFSGVLVCPGLGEVGVLGSDDDSGGPVYFYEFQHRPHCFQNSRPAFVK ADHTDEIRFVFGGPFLKGDVVMFEEATEEEKLLSRKMMKYWANFARSGDPNGADLPPWPV YDENEQYLELDVNISTGRRLKDQRVEFWTDTLPLILSASKALLSPTFSLILLSLLSPVLL SAAS >ENSMUSP00000076988.6 pep:known chromosome:GRCm38:8:93499378:93535707:-1 gene:ENSMUSG00000058019.12 transcript:ENSMUST00000077816.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces5a description:carboxylesterase 5A [Source:MGI Symbol;Acc:MGI:1915185] MSGDWVRPGQALIWVIWIFGAIIEGSVTEEPHRYTKLGWVQGKQATVLGRLEPVNVFLGI PFAAPPLGPLRFSKPQPPIPWDNLREATAYPNLCFQNLEWLFIYQNLLKVSYPILGMSED CLYLNIYAPCHANNGSSLPVMVWIPGGGFETGSASIFDGSALAVYEDVLVVTIQYRLGIF GFFTTQNQHAPGNWAFWDQLAALLWVRENIKYFGGNPDSVTIFGNSAGAISISSLILSPL SADLFHRAIMQSGVAIIPSLKSSDNDLKHDLQVVANVCDCNVSDSKALLKCLREKSSLEL MSLSQKAKSFTRVVDGSFFSEEPLELLSQKTLKIVPSIIGVNNQECGYILPVRDTPEILL GSNESTALTLIHTLLHIPTQHLYIVTKEYFHGKHSPTDIRDTLLDLFGDVFFVVPGLVTA RYHRDSGGPVYFYEFQHRPHCFQNSRPAFVKADHTDEIRFVFGGPFLKGDVVMFEEATEE EKLLSRKMMKYWANFARSGDPNGADLPPWPVYDENEQYLELDVNISTGRRLKDQRVEFWT DTLPLILSASKALLSPTFSLILLSLLSPVLLSAAS >ENSMUSP00000068471.3 pep:known chromosome:GRCm38:18:67933257:68255549:1 gene:ENSMUSG00000024544.8 transcript:ENSMUST00000063775.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldlrad4 description:low density lipoprotein receptor class A domain containing 4 [Source:MGI Symbol;Acc:MGI:1277150] MPEAGFQATNAFTECKFTCTSGKCLYLGSLVCNQQNDCGDNSDEENCLLVTEHPPPGIFN SELEFAQILIIVVVVTVMVVVVVCLLNHYKVSTRSFINRPNQSQRQEDGLQPEGSLWPSD SSVQRPGASEIMCAPRGRDRFTTPSFIQRDPFSRFQPTYPYVQHEIDLPPTISLSDGEEP PPYQGPCTLQLRDPEQQMELNRESVRAPPNRTVFDSDLIDISMYNGGPCPPSSHSGISAA TCSSNGRMEGPPPTYSEVMGHYPGTSFFHHQHSNTHRGSRPQFQPNNSEGTIVPIKGKDR KPGDLV >ENSMUSP00000109175.1 pep:known chromosome:GRCm38:17:45523434:45542679:1 gene:ENSMUSG00000023949.6 transcript:ENSMUST00000113547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcte1 description:t-complex-associated testis expressed 1 [Source:MGI Symbol;Acc:MGI:98640] MQETPSVPSNSSSHSQSVLTIQRQVSALGSSSTGPTSLKTSSTPTPGQLKTKVPNVRRMR RIISEDAEWSLAIVPLLTELCIQHIVKNFQNNPILKQLPLEHQKKVLSNLPPELPLTVTA NLIDDENYWHRCCIKRWSVCHVSRHGGSWKRMFFERHLENLLKLFIPGTTDPNVILDLLP LCRNYVRRIHVDQFLPPVRMPTPLQGEEQSDSGSEGEGSEPEKDHYQLQTLVGGLKHLEE LDLVYGVKDCGMNFEWNLFLFTYRDCYSLAATIKACHTLKIFKLTRSKVDDDKARILIRS LLDHPALEELDLSHNLIGDRGARAAAKLLSHSRLRVLNLANNQLQAPGAQSLAHALAHNT NLVFLNLRLNCIEDEGGQAIAHALETNKCLSVLHLGGNKLSEPTATLLSQMLTVNTTLVS LNLSCNHIGQDGGKQLLEGISDNKTILEFDLRLSDVSQESEYLIGQVLHANREAARQRTL NPGHFSSPTNNCTENSVV >ENSMUSP00000078361.6 pep:known chromosome:GRCm38:10:45376620:45470201:-1 gene:ENSMUSG00000063804.7 transcript:ENSMUST00000079390.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin28b description:lin-28 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:3584032] MAEGGASKGEEPEKLPGLAEDEPQVLHGTGHCKWFNVRMGFGFISMISREGNPLDIPVDV FVHQSKLFMEGFRSLKEGEPVEFTFKKSPKGLESIRVTGPGGSPCLGSERRPKGKTLQKR KPKGDRWRRQDLLMDQMWTVREEESRMIPRCYNCGGLDHHAKECSLPPQPKKCHYCQSIM HMVANCPHKLAAQLPASSQGRQEAESQPCSSAAPREVGGGHGCTVLFPQEVKSEMAEHSD RSPQEVSSTKAFAAIGEQNKKGPLIQKRKKT >ENSMUSP00000053397.6 pep:known chromosome:GRCm38:8:95326137:95331950:-1 gene:ENSMUSG00000046556.6 transcript:ENSMUST00000057717.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp319 description:zinc finger protein 319 [Source:MGI Symbol;Acc:MGI:1890618] MSESWQQPPQTQPQQPQAPQPQHHAETPPALAEHTLPPGSAENPLGCAVYGILLQPDPGL QPPQHAPLQAGEPGPKCGVCGHDLAHLSSPHEHQCLAGHDRSFQCTQCLKIFHQATDLLE HQCVQAEQKPFVCGVCKMGFSLLTSLAQHHSSHTGMVKCSICDKTYKPAEAAEPATTTAP SLPSAPPPANIAPVEQPEKPYSCPVCQKPFKHLSELSRHERIHTGEKPYKCTLCDKSFSQ SSHLVHHKRTHSSERPYKCAVCEKTFKHRSHLVRHMYAHSGEHHLFRCNVCELHFKESSE LLQHPCTPSGERPFRCGECQKAFKRPSDLRQHERTHSAERPFKCDLCPMGFKQQYALMRH RRTHKTEEPFKCGLCEKGFGQPSHLLYHQHVHTLETLFKCPVCQKGFDQSAELLRHKCLP TSTERPFKCPVCNKAYKRASALQKHQLSHCAAAEKPLRCTLCERRFFSSSEFVQHRCDPA REKPLKCPDCEKRFKYASDLQRHRRVHTGEKPYKCPSCDKAFKQREHLNKHQGVHAREQQ FKCVWCGERFLDVALLQEHSAQHSAAAAAAEGAYQVAACLP >ENSMUSP00000022953.8 pep:known chromosome:GRCm38:15:71445678:71727838:-1 gene:ENSMUSG00000036800.7 transcript:ENSMUST00000022953.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam135b description:family with sequence similarity 135, member B [Source:MGI Symbol;Acc:MGI:1917613] MSEVQGTVEFSVELHKFYNVDLFQRGYYQIRVTLKVSSRIPHRLSASIVGQSESSSLHSA CVHESAVHSRVFQILYRNEEVSINDAMLFRVHLLLDGERVEDALSEVEFQLKVDLHFTDS EQQLRDVTGTPMISSRTLGLHFHPRRGLHHQVPVMFDYFHLSVISVAIHAALVALQQPLI SFTRPGRGSWLGKGGPDTGPEQPTISLENLVFGAGYCKPTSSEGSFYVPSENCIQHAHKW HRDLCLLLLHAYQGLRLYFLVIMRDIPELPTMELEALAVEETLSQLCSELQMLNNPEKIA EQISKDLAWLASHLMALWTQFLDTVTLHSQVTTYLTQEHHTLRVRRFSEAFFYMEHQKLA VLTFQENLIQTHSQLSLDIRNSEYLTSMPPLPAECLDIDGDWNTLPVIFEDRYVDCPVSG HNLSVYPNFDVPVTSPAIMNLKGKEKNLINQNSSSRKDIPLSTTEAPQLGSDEDVTRRPE VQENVSTWNPIDVCSESQVYLTIGEFQNRAGIPEDECWTGPRPDAVKDSLTDTDICSRSP GPDEGQTPALTYIDVQSSNKYCPRAELVQGINVQHEHRSSRESYGIVKTVPSKVVAGTSQ NNSTSLNQTAALELRTLGRGVNQDGKPVLLSLKLTPAEPCDPPSTALREALDTKPSQPDH AEEPEDLSALSGVIKRSASIISDSGIESEPSSVAWSEARSRALELPSDRDVLHQVVRRHA HHRNSLEGGHTESNTSLPSGIQASLSSISSLPFEEEERELALNKLTKSVSAPQISSPEES AEGADTIKNTAGFSEDLDPSSKENSPPRHTSLSYGGSRVQDVRAGHSLADIALDSDRPQG PGYMDIPNDKGNHPELQEPCCLDGMAETPLHVETKGLNLKIPCTIVLENSKSRSFHRAAG ETAKGKPEELSMSKCVLSNNSISEVRAASHHRVPEISCSPAVEAVNLNSTGVQNSSLSVN DTMTLNRRHNASLEAKHEAGTVCPTVTHTIASQVSRNQELKTGTSISGSHLNSTEAFTLD SLKAVEVVNLSVSCTATCLPFSSVPKETPARAGLSSKQNPAPITHQPLGSFGVVSTYSSK LEEEVSERMFSFYQAKEKFKKELKIEGFLYSDLSVLASDIPYFPPEEEEENLEDGIHLVV CVHGLDGNSADLRLVKTFIELGLPGGKLDFLMSEKNQTDTFADFDTMTDRLLDEIIQHIQ LYNLSISRISFIGHSLGNIIIRSVLTRPRFRYYLNKLHTFLSLSGPHLGTLYNNSTLVST GLWLMQKLKKSGSLLQLTFRDNADLRKCFLYQLSQKTGLQYFKNVVLVASPQDRYVPFHS ARIEMCKTALKDRHTGPVYAEMINNLLGPLVEAKDCTLIRHNVFHALPNTANTLIGRAAH IAVLDSELFLEKFFLVAGLNYFK >ENSMUSP00000081778.3 pep:known chromosome:GRCm38:7:110061702:110095392:1 gene:ENSMUSG00000061079.13 transcript:ENSMUST00000084727.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp143 description:zinc finger protein 143 [Source:MGI Symbol;Acc:MGI:1277969] MLLAQINRDSQGMTEFPGGGMEAQHVTLCLTEAVTVADGDNLENMEGVSLQAVTLADGST AYIQHNSKDGRLIDGQVIQLEDGSAAYVQHVPIPKSRDSLRLEDGQAVQLEDGTTAFIHH TSKDSYDQSSLQAVQLEDGTTAYIHHAVQVPQSDTILAIQADGTVAGLHTGDATIDPDTI SALEQYAAKVSIDGSDGVTSTGMIGENEQEKKMQIVLQGHATRVTPKSQQSGEKAFRCKY DGCGKLYTTAHHLKVHERSHTGDRPYQCEHSGCGKAFATGYGLKSHFRTHTGEKPYRCSE DNCTKSFKTSGDLQKHIRTHTGERPFKCPIEGCGRSFTTSNIRKVHIRTHTGERPYYCTE PGCGRAFASATNYKNHVRIHTGEKPYVCTVPGCDKRFTEYSSLYKHHVVHTHSKPYNCNH CGKTYKQISTLAMHKRTAHNDTEPIEEEQEAFFEPPPGQGDDVLKGSQITYVTGVDGEDI VSTQVATVTQSGLSQQVTLISQDGTQHVNISQADMQAIGNTITMVTQDGTPITVPTHDAV ISSAGTHSVAMVTAEGTEGQQVAIVAQDLAAFHTASSEMGHQQHSHHLVTTETRPLTLVA TSNGTQIAVQLGEQPSLEEAIRIASRIQQGETPGLDD >ENSMUSP00000126015.2 pep:known chromosome:GRCm38:7:110061710:110095387:1 gene:ENSMUSG00000061079.13 transcript:ENSMUST00000169638.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp143 description:zinc finger protein 143 [Source:MGI Symbol;Acc:MGI:1277969] MLLAQINRDSQGMTEFPGGGMEAQHVTLCLTEAVTVADGDNLENMEGVSLQAVTLADGST AYIQHNSKDGRLIDGQVIQLEDGSAAYVQHVPIPKSNSYDQSSLQAVQLEDGTTAYIHHA VQVPQSDTILAIQADGTVAGLHTGDATIDPDTISALEQYAAKVSIDGSDGVTSTGMIGEN EQEKKMQIVLQGHATRVTPKSQQSGEKAFRCKYDGCGKLYTTAHHLKVHERSHTGDRPYQ CEHSGCGKAFATGYGLKSHFRTHTGEKPYRCSEDNCTKSFKTSGDLQKHIRTHTGERPFK CPIEGCGRSFTTSNIRKVHIRTHTGERPYYCTEPGCGRAFASATNYKNHVRIHTGEKPYV CTVPGCDKRFTEYSSLYKHHVVHTHSKPYNCNHCGKTYKQISTLAMHKRTAHNDTEPIEE EQEAFFEPPPGQGDDVLKGSQITYVTGVDGEDIVSTQVATVTQSGLSQQVTLISQDGTQH VNISQADMQAIGNTITMVTQDGTPITVPTHDAVISSAGTHSVAMVTAEGTEGQQVAIVAQ DLAAFHTASSEMGHQQHSHHLVTTETRPLTLVATSNGTQIAVQLGEQPSLEEAIRIASRI QQGETPGLDD >ENSMUSP00000078746.7 pep:known chromosome:GRCm38:14:121286343:121379334:-1 gene:ENSMUSG00000063410.7 transcript:ENSMUST00000079817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk24 description:serine/threonine kinase 24 [Source:MGI Symbol;Acc:MGI:2385007] MAHSPVQSGLPGMQNLKADPEELFTKLEKIGKGSFGEVFKGIDNRTQKVVAIKIIDLEEA EDEIEDIQQEITVLSQCDSPYVTKYYGSYLKDTKLWIIMEYLGGGSALDLLEPGPLDEIQ IATILREILKGLDYLHSEKKIHRDIKAANVLLSEHGEVKLADFGVAGQLTDTQIKRNTFV GTPFWMAPEVIKQSAYDSKADIWSLGITAIELAKGEPPHSELHPMKVLFLIPKNNPPTLE GNYSKPLKEFVEACLNKEPSFRPTAKELLKHKFIIRNAKKTSYLTELIDRYKRWKAEQSH EDSSSEDSDVETDGQASGGSDSGDWIFTIREKDPKNLENGTLQLSDLERNKMKDIPKRPF SQCLSTIISPLFAELKEKSQACGGNLGSIEELRGAIYLAEEACPGISDTMVAQLVQRLQR YSLSGGGASAH >ENSMUSP00000129532.1 pep:known chromosome:GRCm38:5:88154023:88154099:-1 gene:ENSMUSG00000079438.1 transcript:ENSMUST00000113255.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11115 description:predicted gene 11115 [Source:MGI Symbol;Acc:MGI:3779367] IPQMYPVYVGWIFIGCFI >ENSMUSP00000051220.7 pep:known chromosome:GRCm38:10:56106917:56116880:1 gene:ENSMUSG00000047669.7 transcript:ENSMUST00000063138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msl3l2 description:male-specific lethal 3-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1920640] MATLGCAPKDDGEGKDEGGSDRGDGDSKPKGKKEVEPHTRREADERAMRIPIPEVLQQRL ADDCYYINRRRRLVRLPCQTNVGAILECYVRHFSASVLALGDRRPQPQRAAPERSVGLCR EMADGLRITFDHALPLVLLYPQEQAQYEMVTSSTFFFPTEERASDAGRSQEAPWPGPSPP QPSESQAVAGPAAPKRRRAEAEATRAPRRSTRHSTHCHWQAEDRASPQAKRSVPKLFPHL QKTPVHSAAPSPIALTPGKEGSAMFAGFEGTTEEINEILSWKLVPDNYPPGHQPPPPSYI YGAQHLLRLFVKLPEILGKMSFSEKNLKALLKHLDLFLRFLAEYQADFFLESAYVSACEA HYSSKNPRTLC >ENSMUSP00000130967.1 pep:known chromosome:GRCm38:17:85063477:85090267:-1 gene:ENSMUSG00000024127.14 transcript:ENSMUST00000171795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prepl description:prolyl endopeptidase-like [Source:MGI Symbol;Acc:MGI:2441932] MLQTAKFSLRALKHSIPHLGNCMQKQSYRNVAGPYYSRVRLKKYHLTKCLQNKPRIAGLA RNIPSRSFSCKDLLPIKPESEKPISENMDAFEKVRTRLETQPQEEYEVVNAEIKHGGFVY YQEGCCLVRSKDEEADSDNYEVLFNLEELKLDQPFIDCIRVAPDEKYVAAKIRTEDSETS TLVVVKLSDQPVMEASFPNVSSFEWVKDEEDEDVLFYTFQRNLRCHDVYRATFGDNKRNE RFYTEKDPSYFVFLYLTKDSRFLTLNIMNKTTSEVWLIDGLSPWDPPVLIQKRIHGMLYY VEHRDDELYILTNVGEPTEFKLMRTAADAPAIMNWDLFFTMKRNTKVVDLDMFKDHCVLF LKHSNLLYVNVIGLADDSVRSLKLPPWACGFIMDTNSDPKNCPFQLCSPIRPPKYYTYKF AEGKLFEETGHEDPITKTSRVLRIEAKSKDGKLVPMTVFHKTDSEDLQRKPLLVHVYGAY GMDLKMNFRPEKRVLVDDGWILAYCHVRGGGELGLQWHADGRLTKKLNGLADLVACIKTL HSQGFSQPSLTTLSAFSAGGVLVGALCNSKPELLRAVTLEAPFLDVLNTMLDTTLPLTLE ELEEWGNPSSDEKHKNYIKRYCPCQNIKPQHYPSVHITAYENDERVPLKGIVNYTEKLKE AVAEHTKGAGEGYQPPNIILDIQPGGNHVIEDSHKKITTQMKFLYEELGLDSTDAFEALK KYLKF >ENSMUSP00000072239.3 pep:known chromosome:GRCm38:17:85063477:85090267:-1 gene:ENSMUSG00000024127.14 transcript:ENSMUST00000072406.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prepl description:prolyl endopeptidase-like [Source:MGI Symbol;Acc:MGI:2441932] MDAFEKVRTRLETQPQEEYEVVNAEIKHGGFVYYQEGCCLVRSKDEEADSDNYEVLFNLE ELKLDQPFIDCIRVAPDEKYVAAKIRTEDSETSTLVVVKLSDQPVMEASFPNVSSFEWVK DEEDEDVLFYTFQRNLRCHDVYRATFGDNKRNERFYTEKDPSYFVFLYLTKDSRFLTLNI MNKTTSEVWLIDGLSPWDPPVLIQKRIHGMLYYVEHRDDELYILTNVGEPTEFKLMRTAA DAPAIMNWDLFFTMKRNTKVVDLDMFKDHCVLFLKHSNLLYVNVIGLADDSVRSLKLPPW ACGFIMDTNSDPKNCPFQLCSPIRPPKYYTYKFAEGKLFEETGHEDPITKTSRVLRIEAK SKDGKLVPMTVFHKTDSEDLQRKPLLVHVYGAYGMDLKMNFRPEKRVLVDDGWILAYCHV RGGGELGLQWHADGRLTKKLNGLADLVACIKTLHSQGFSQPSLTTLSAFSAGGVLVGALC NSKPELLRAVTLEAPFLDVLNTMLDTTLPLTLEELEEWGNPSSDEKHKNYIKRYCPCQNI KPQHYPSVHITAYENDERVPLKGIVNYTEKLKEAVAEHTKGAGEGYQPPNIILDIQPGGN HVIEDSHKKITTQMKFLYEELGLDSTDAFEALKKYLKF >ENSMUSP00000102314.1 pep:known chromosome:GRCm38:3:107631322:107633090:1 gene:ENSMUSG00000078604.1 transcript:ENSMUST00000106703.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10961 description:predicted gene 10961 [Source:MGI Symbol;Acc:MGI:3779172] LPGAVAAASLANCTVPGKKPPSPRSRYKTLGHWPQPSRPWPVHPGGER >ENSMUSP00000022782.8 pep:known chromosome:GRCm38:14:54464164:54471497:1 gene:ENSMUSG00000022175.8 transcript:ENSMUST00000022782.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp10 description:low-density lipoprotein receptor-related protein 10 [Source:MGI Symbol;Acc:MGI:1929480] MLSALPLLFLLLGGALARPDRITFPRSACEAPPAVLSEVQGTLQRPLGRDSRSSPANCTW VILGSKDQTVTVRFQKLHLACGSEHLILHSPLQPPISLCEAPSGPLQLPGGNVTITYSYA GARAPMGQGFLLTYSQDWLLCLQEEFQCLNHRCIPAAQRCDGIDACGDGSDEAGCSSDPF PNLNPAPAPTLACNLTLEDFYGVFSSPGYSHLASVSHPQSCLWLLDPHDGRRLAVRFTAL DLSYGDAVHVYDGAGPPETPRLLRSLTHFSNGKAVTVETLSGQAVVSYHTVAWSSGRGFN ATYHVRGYCLPWDRPCGLGSGLGASENLGERCYSEAQRCDGSWDCADGTDEEGCPGCPPG HFPCGAAGTPGATACYLPADRCNYQTFCADGADERRCRHCQPGNFRCRDEKCVYETWVCD GQPDCTDGSDEWDCSYALPRKVITAAVIGSLVCGLLLVIALGCTCKLYAIRTQEYSIFAP LSRMEAEIVQQQAPPSYGQLIAQGAIPPVEDFPTENPNDNSVLGNLRSLLQILRQDMTPG GTSGGRRRQRGRSIRRLVRRLRRWGLLPRTNTPARAPETRSQVTPSVPSEALDDSTGQAC EGGAVGGQDGEQAPPLPIKTPIPTPSTLPALATVSEPPGPLPSVPVESSLLSGVVQVLRG RLLPSLWSPGPTWTQTGTHTTVLSPEDEDDVLLLPLAEPEVWVVEAEDEPLLA >ENSMUSP00000091206.5 pep:known chromosome:GRCm38:8:60430024:60503198:-1 gene:ENSMUSG00000070023.5 transcript:ENSMUST00000093494.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10283 description:predicted gene 10283 [Source:MGI Symbol;Acc:MGI:3647628] MNFKGRWKQFIALREQKSFLLHICFCLCVIDCNSMPETSLLTRTLLVLDYGLPRCCFTCA LYIKPYLQAIGTGSQEKSGWFGFAACGGLSMLSPRSDTIGKCGPVGVEEVYHCGCGV >ENSMUSP00000040548.3 pep:known chromosome:GRCm38:15:99224976:99242817:1 gene:ENSMUSG00000037579.6 transcript:ENSMUST00000041415.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh3 description:potassium voltage-gated channel, subfamily H (eag-related), member 3 [Source:MGI Symbol;Acc:MGI:1341723] MPAMRGLLAPQNTFLDTIATRFDGTHSNFVLGNAQVAGLFPVVYCSDGFCDLTGFSRAEV MQRGCACSFLYGPDTSELVRQQIRKALDEHKEFKAELILYRKSGLPFWCLLDVIPIKNEK GEVALFLVSHKDISETKNRGGPDNWKERGGGRRRYGRAGSKGFNANRRRSRAVLYHLSGH LQKQPKGKHKLNKGVFGEKPNLPEYKVAAIRKSPFILLHCGALRATWDGFILLATLYVAV TVPYSVCVSTAREPSAARGPPSVCDLAVEVLFILDIVLNFRTTFVSKSGQVVFAPKSICL HYVTTWFLLDVIAALPFDLLHAFKVNVYVGAHLLKTVRLLRLLRLLPRLDRYSQYSAVVL TLLMAVFALLAHWVACVWFYIGQQEIESSESELPEIGWLQELARRLETPYYLVSRSPDGG NSSGQSENCSSSSSSSGSGGGRGSEANGTGLELLGGPSLRSAYITSLYFALSSLTSVGFG NVSANTDTEKIFSICTMLIGALMHAVVFGNVTAIIQRMYARRFLYHSRTRDLRDYIRIHR IPKPLKQRMLEYFQATWAVNNGIDTTELLQSLPDELRADIAMHLHKEVLQLPLFEAASRG CLRALSLALRPAFCTPGEYLIHQGDALQALYFVCSGSMEVLKGGTVLAILGKGDLIGCEL PQREQVVKANADVKGLTYCVLQCLQLAGLHESLALYPEFAPRFSRGLRGELSYNLGAGGV SAEVDTSSLSGDNTLMSTLEEKETDGEQGHTVSPAPADEPSSPLLSPGCTSSSSAAKLLS PRRTAPRPRLGGRGRPSRAGVLKPEAGPSAHPRSLDGLQLPPMPWNVPPDLSPRVVDGIE DGCSSDQPKFSFRVGQSGPECSSSPSPGTESGLLTVPLGPSEARNTDTLDKLRQAVMELS EQVLQMREGLQSLRQAVQLILVPQGEGQCPRGSGEEPCPATASGLLQPLRVDTGASSYCL QPPAGSVLSGTWPHPRPGQPPPLMAPWPWGPPASQSSPWPRATALWTSTSDSEPPGSGDL CSEPSTPASPPPSEEGARTGTPAPVSQAEATSTGEPPPGPGGRALPWDPHSLEMVLIGCH GPGTVQWTQEEGTGV >ENSMUSP00000129183.1 pep:known chromosome:GRCm38:11:34314822:34422640:1 gene:ENSMUSG00000069911.10 transcript:ENSMUST00000165963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam196b description:family with sequence similarity 196, member B [Source:MGI Symbol;Acc:MGI:3643491] MAQQSMKVRPVLLKRSSLESVELVKQPHHRRSKSQQVRFKEDGNIKNPTGVTEVNTQTPE DPGVMGKAQASRHHHPTTYSLSFPRSHKAGGFRSISIQTSPSLRKHFPVFKRKKLTTSKS LVEMPTASPSAIQVNGNLSEQDIVSSDLAFLRLAQHLEDGPRRLKIPHPFLPRMPKVQSN GPVSFCLESGTWMSSEKATAAIQVPDDICHSPTWEARESALSPESSAEESNSIPALISMC PGDGQRVMTSELERMPPCSNTNSSASNMPGTEKLTPELLLPKDNPDDKDLGLPSSQSKKM CVPSPPRTHSSPEPGSRSQPVHLGRSSDCPASGDNHQDLESLRSSSASKSVPVCWEHVTK LPSQSDTPELQTGVGSEQLPASIPRQENRAQSSREIGGSNHSHLAQGELCDLQGRLQSVE ESLHSNQEKIKVLLNVIQDLEKAHALTEGRNFYRTGQDLNNCSTCQNTACIIYSVEYDFR QQEGRFHEVLQSLEEAEPTEEAPSPPKSPAEAPVPEKQDLRRKSKKVKKKCFWWI >ENSMUSP00000090883.3 pep:known chromosome:GRCm38:11:34314822:34422639:1 gene:ENSMUSG00000069911.10 transcript:ENSMUST00000093192.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam196b description:family with sequence similarity 196, member B [Source:MGI Symbol;Acc:MGI:3643491] MAQQSMKVRPVLLKRSSLESVELVKQPHHRRSKSQQVRFKEDGNIKNPTGVTEVNTQTPE DPGVMGKAQASRHHHPTTYSLSFPRSHKAGGFRSISIQTSPSLRKHFPVFKRKKLTTSKS LVEMPTASPSAIQVNGNLSEQDIVSSDLAFLRLAQHLEDGPRRLKIPHPFLPRMPKVQSN GPVSFCLESGTWMSSEKATAAIQVPDDICHSPTWEARESALSPESSAEESNSIPALISMC PGDGQRVMTSELERMPPCSNTNSSASNMPGTEKLTPELLLPKDNPDDKDLGLPSSQSKKM CVPSPPRTHSSPEPGSRSQPVHLGRSSDCPASGDNHQDLESLRSSSASKSVPVCWEHVTK LPSQSDTPELQTGVGSEQLPASIPRQENRAQSSREIGGSNHSHLAQGELCDLQGRLQSVE ESLHSNQEKIKVLLNVIQDLEKAHALTEGRNFYRTGQDLNNCSTCQNTACIIYSVEYDFR QQEGRFHEVLQSLEEAEPTEEAPSPPKSPAEAPVPEKQDLRRKSKKVKKKCFWWI >ENSMUSP00000043040.8 pep:known chromosome:GRCm38:10:58497970:58576242:1 gene:ENSMUSG00000038010.8 transcript:ENSMUST00000036576.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc138 description:coiled-coil domain containing 138 [Source:MGI Symbol;Acc:MGI:1923388] MERRVVKPPGQDMVVERLKSRYGLAGRCPVEENDMTGVWAALMNQQHELSDFDQTKYKRR IVTSPDGLDTYSSGDKVGSSPRYYSDGRNHPTPPFCSSFKHLNVNCLDDELDSFHDLKKW ETEKELMEDDHRDGASKITKQSFKEMETDALMTSMASGLETECCSGSIDSPLKQAVYPRP KVSKKQGLLPHEINQIYDELYHIHMKLQYETTAQKKFAEELQKREQFLAEREQLLFSHET ALSKIKGVKEEVLTRFQILKEQHGTEIEHLTEALKEKNKENKRMRSSFDTLRELNDNLRK QLNEVSEENKKMEIQAKRVQARLDNLQRKYEFMTVQRLKGDSHAAHEVKSSKQEKAPAPK PFKAALNGQVYELLTVFMDWISDCHLSKVEPEEPGVDGGKPPAKPSQRSDIQEKCVKLLP MMTEQLQWMPLVSAKLHEPFVRFIYWSLRQLDASARQSTMASTLRRLGEDVFKGVTMKGT QDNSLEHSVENKAKTAVFFKSSSLPLRFLSTLIVLRTVTQADYLAQAFDSLCLDLKTDEG KTLFLEYQAIPVILKHLRISSKGLLSNVIDSLLQMTVESKSLQPFLEACSNSLFFRTCSV LLRTPKLDLHILEKLSIILQKLSKIKSNKKLFEVFTIHLMLQEIQRTTHPEHAFLCINLN STLFNLGLTKCNSLVTSTSH >ENSMUSP00000043668.7 pep:known chromosome:GRCm38:15:76457438:76459468:1 gene:ENSMUSG00000034161.7 transcript:ENSMUST00000043089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scx description:scleraxis [Source:MGI Symbol;Acc:MGI:102934] MSFAMLRSAPPPGRYLYPEVSPLSEDEDRGSESSGSDEKPCRVHAARCGLQGARRRAGGR RAAGSGPGPGGRPGREPRQRHTANARERDRTNSVNTAFTALRTLIPTEPADRKLSKIETL RLASSYISHLGNVLLVGEACGDGQPCHSGPAFFHSGRAGSPLPPPPPPPPLARDGGENTQ PKQICTFCLSNQRKLSKDRDRKTAIRS >ENSMUSP00000127774.1 pep:known chromosome:GRCm38:15:102073773:102097172:1 gene:ENSMUSG00000058655.8 transcript:ENSMUST00000169681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4b description:eukaryotic translation initiation factor 4B [Source:MGI Symbol;Acc:MGI:95304] MAASAKKKNKKGKTISLTDFLAEDGGTGGGSTYVPKPVSWADETDDLEGDVSTTWHSNDD DVYRAPPIDRSILPTAPRAAREPNIDRSRLPKSPPYTAFLGNLPYDVTEDSIKDFFRGLN ISAVRLPREPSNPDRLKGFGYAEFEDLDSLLSALSLNEESLGNRRIRVDVADQAQDKDRD DRSFGRDRNRDSDKTDTDWRARPTTDSFDDYPPRRGDDSFGDKYRDRYDSDRYRDGYRDG YRDGPRRDMDRYGGRDRYDDRGSRDYDRGYDSRIGSGRRAFGSGYRRDDDYRGGGDRYED RYDRRDDRSWSSRDDYSRDDYRRDDRGPPQRPRLNLKPRSAPKEDDASASTSQSSRAASI FGGAKPVDTAAREREVEERLQKEQEKLQRQLDEPKLDRRPRERHPSWRSEETQERERSRT GSESSQTGASATSGRNTRRRESEKSLENETLNKEEDCHSPTSKPPKPDQPLKVMPAPPPK ENAWVKRSSNPPARSQSSDTEQPSPTSGGGKVAAVQPPEEGPSRKDGNKVDVVGATQGQA GSCSRGPGDGGSRDHWKDLDRKDGKKDQDSRSAPEPKKPEENPASKFSSASKYAALSVDG EDEDEGDDCTE >ENSMUSP00000060246.8 pep:known chromosome:GRCm38:13:34074301:34078008:-1 gene:ENSMUSG00000058672.6 transcript:ENSMUST00000056427.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubb2a description:tubulin, beta 2A class IIA [Source:MGI Symbol;Acc:MGI:107861] MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGSYHGDSDLQLERINVYYNEAAGNKYV PRAILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVV RKESESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVV EPYNATLSVHQLVENTDETYSIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCL RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDSKNMM AACDPRHGRYLTVAAIFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRG LKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS EYQQYQDATADEQGEFEEEEGEDEA >ENSMUSP00000036049.6 pep:known chromosome:GRCm38:15:75940952:75949377:1 gene:ENSMUSG00000050846.8 transcript:ENSMUST00000037260.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp623 description:zinc finger protein 623 [Source:MGI Symbol;Acc:MGI:1926084] MMSDELTVLEPSASESREFHEDRLAQLLGNPDKQSLESPSSQDGGFTQMTVTHWKIQTGD TAQTCSKSGRNPILNSNLLILQRELIDAEARSCGGGGKGFPFNSDVVPHQISHTGEKPYK CDHCGKGFSQTSLLTEHQRVHTGDRLCVCHVCGKDFVHYASLREHQHVHTGEKPFKCAQC GKAFCHSSDLLRHQRVHTRERPFECKECGKGFSQSSLLIRHQRIHTGERPYECNECGKSF IRSSSLIRHYQIHTEVKQYECRDCGKAFRHRSDLIEHQRIHTGERPFECQECGKAFIRSS KLIQHQRIHTGERPYVCNECGKRFSQTSNFTQHQRIHSGEKLYECNECGKAFFLSSYLIR HQKIHTGERVYECKECGKAFLQKAHLTEHQKIHTGDRPFECKDCGKAFIQSSKLLLHQIV HTGEKPYVCSYCGKGFIQRSNFLQHQKMHTEEKRYTYSQLGKDLTPPPDLVHQEDLSLSE TSVHLGERSTDRECCGNNL >ENSMUSP00000080468.3 pep:known chromosome:GRCm38:15:77403789:77411080:-1 gene:ENSMUSG00000057346.11 transcript:ENSMUST00000081776.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol9a description:apolipoprotein L 9a [Source:MGI Symbol;Acc:MGI:3606001] MASSGYLYSLVAEDGVWQAFVSKAKLSRERAVALHKALRELTALLAIADRGRLQKGLKGR EKFLKVFPRLKAELVEHISQLHALADHAEKLHRGCTISNVVADSFSAASDILSLLGLFLA PVTAEGSLVLSATGLGLGVAATVTDVATSIVEETSRVLDEVEAAPTGTHVLGEAGTSVDR IASKVPQATRDITRDLEALEQHMNALRLVRANPRLEEDARILATTGSISAQRAMQVRASL KGTPLAMSNEARIQRATAAGAALWSDVDSLVKESKHLYEGSASESAEALRKLARELEEKL EGLMEFYKTI >ENSMUSP00000086875.5 pep:known chromosome:GRCm38:15:77403789:77411034:-1 gene:ENSMUSG00000057346.11 transcript:ENSMUST00000089452.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol9a description:apolipoprotein L 9a [Source:MGI Symbol;Acc:MGI:3606001] MASSGYLYSLVAEDGVWQAFVSKAKLSRERAVALHKALRELTALLAIADRGRLQKGLKGR EKFLKVFPRLKAELVEHISQLHALADHAEKLHRGCTISNVVADSFSAASDILSLLGLFLA PVTAEGSLVLSATGLGLGVAATVTDVATSIVEETSRVLDEVEAAPTGTHVLGEAGTSVDR IASKVPQATRDITRDLEALEQHMNALRLVRANPRLEEDARILATTGSISAQRAMQVRASL KGTPLAMSNEARIQRATAAGAALWSDVDSLVKESKHLYEGSASESAEALRKLARELEEKL EGLMEFYKTI >ENSMUSP00000036384.6 pep:known chromosome:GRCm38:15:78559169:78572783:-1 gene:ENSMUSG00000033220.6 transcript:ENSMUST00000043214.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rac2 description:RAS-related C3 botulinum substrate 2 [Source:MGI Symbol;Acc:MGI:97846] MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMVDSKPVNLGLWDTAG QEDYDRLRPLSYPQTDVFLICFSLVSPASYENVRAKWFPEVRHHCPSTPIILVGTKLDLR DDKDTIEKLKEKKLAPITYPQGLALAKDIDSVKYLECSALTQRGLKTVFDEAIRAVLCPQ PTRQQKRPCSLL >ENSMUSP00000130888.1 pep:known chromosome:GRCm38:7:139248482:139267253:1 gene:ENSMUSG00000060260.12 transcript:ENSMUST00000172136.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pwwp2b description:PWWP domain containing 2B [Source:MGI Symbol;Acc:MGI:2142008] MEPRAGCRLPVRVEQVVNGALVVTVSCGERSFAGILLDCTKKSGLFGLSPSTLLPLADNS SAVSCHGQAPEEGTGEVMQLETGPLHPHHKDPEKDQPPKTAVSEPPPPLIPPVPAGNLPP FPPYFEGAPFPHPLWLRNTYQQWVPQPPPRTIKRTRRRLSRNRDPGRLILSTIRLRPRQV LCEKCKSTVSPQEASPSPLNTPKPRRRLGSGPDSEHRKPEEPEDSAVIATAAPRRSKREK REEDRVAGERVPRSPVIKISYSTPQGKGEVVKIPSRVHGSVEPFCPQQSLQNGSQDSEVS RDVEPRGGGDRPPSGSSASIPKLKLTRPVPPISDLPPPKIRLKPHRLGDGEHEPLYRAEL VEELNGCPRGPLVSSPALFADGSSHGLEDLSSGSSGEDDDLKRFPQGKHGRDGLAFLVDC PGRRTDCTSESVCSTDSLDELKSSGSEVTSPDTGDLSSGDSASVPSSSADTRQTVPPLTV RLHTQSVSRCVTEDGRTVAVGDIVWGKIHGFPWWPARVLDISLGQKEDGEPSWQEAKVSW FGSPTTSFLSISKLSPFSEFFKLRFNRKKKGMYRKAITEAANATQHVAPEIRELLTQFEM >ENSMUSP00000091529.3 pep:known chromosome:GRCm38:7:139248482:139267253:1 gene:ENSMUSG00000060260.12 transcript:ENSMUST00000093993.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pwwp2b description:PWWP domain containing 2B [Source:MGI Symbol;Acc:MGI:2142008] MEPRAGCRLPVRVEQVVNGALVVTVSCGERSFAGILLDCTKKSGLFGLSPSTLLPLADNS SAVSCHGQAPEEGTGEVMQLETGPLHPHHKDPEKDQPPKTAVSEPPPPLIPPVPAGNLPP FPPYFEGAPFPHPLWLRNTYQQWVPQPPPRTIKRTRRRLSRNRDPGRLILSTIRLRPRQV LCEKCKSTVSPQEASPSPLNTPKPRRRLGSGPDSEHRKPEEPEDSAVIATAAPRRSKREK REEDRVAGERVPRSPVIKISYSTPQGKGEVVKIPSRVHGSVEPFCPQQSLQNGSQDSEVS RDVEPRGGGDRPPSGSSASIPKLKLTRPVPPISDLPPPKIRLKPHRLGDGEHEPLYRAEL VEELNGCPRGPLVSSPALFADGSSHGLEDLSSGSSGEDDDLKRFPQGKHGRDGLAFLVDC PGRRTDCTSESVCSTDSLDELKSSGSEVTSPDTGDLSSGDSASVPSSSADTRQTVPPLTV RLHTQSVSRCVTEDGRTVAVGDIVWGHRQ >ENSMUSP00000021407.10 pep:known chromosome:GRCm38:12:55089202:55112891:1 gene:ENSMUSG00000073079.5 transcript:ENSMUST00000021407.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp54a description:signal recognition particle 54A [Source:MGI Symbol;Acc:MGI:1346087] MVLADLGRKITSALRSLSNATIINEEVLNAMLKEVCTALLEADVNIKLVKQLRENVKSAI DLEEMASGLNKRKMIQHAVFKELVKLVDPGVKAWTPTKGKQNVIMFVGLQGSGKTTTCSK LAYYYQRKGWKTCLICADTFRAGAFDQLKQNATKARIPFYGSYTEMDPVIIASEGVEKFK NENFEIIIVDTSGRHKQEDSLFEEMLQVSNAIQPDNIVYVMDASIGQACEAQAKAFKDKV DVASVIVTKLDGHAKGGGALSAVAATKSPIIFIGTGEHIDDFEPFKTQPFISKLLGMGDI EGLIDKVNELKLDDNEALIEKLKHGQFTLRDMYEQFQNIMKMGPFSQILGMIPGFGTDFM SKGNEQESMARLKKLMTIMDSMNDQELDSTDGAKVFSKQPGRIQRVARGSGVSTRDVQEL LTQYTKFAQMVKKMGGIKGLFKGGDMSKNVSQSQMAKLNQQMAKMMDPRVLHHMGGMAGL QSMMRQFQQGAAGNMKGMMGFNNM >ENSMUSP00000077610.5 pep:known chromosome:GRCm38:8:82863356:83091271:1 gene:ENSMUSG00000047747.9 transcript:ENSMUST00000078525.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf150 description:ring finger protein 150 [Source:MGI Symbol;Acc:MGI:2443860] MTMSLIQACRSLALSTWLLSFCFVHLLCLDFTVAEKEEWYTAFVNITYLEPEPGAAVAGS GGGAELHTEKSECGRYGEHSPKQDARGEVVMASSAQDRLACDPNTKFAAPAHGKHWIALI PKGNCTYRDKIRNAFLQNASAVVIFNVGSNTNETITMPHAGVEDIVAIMIPEPKGKEIVS LLERNITVTMYITIGTRNLQKYVSRTSVVFVSISFIVLMIISLAWLVFYYIQRFRYANAR DRNQRRLGDAAKKAISKLQVRTIRKGDKETESDFDNCAVCIEGYKPNDVVRILPCRHLFH KSCVDPWLLDHRTCPMCKMNILKALGIPPNADCMDDLPIDFEGSLGGPPTNQITGASDTT VNESSVTLDPAVRTVGALQVVQDPDPAPQEGEAIFTTNSGQEPALSSDSDISLIMALEVG LSDVELSTDQDCEEVKS >ENSMUSP00000052005.2 pep:known chromosome:GRCm38:16:88610709:88612279:-1 gene:ENSMUSG00000050239.2 transcript:ENSMUST00000052512.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap24-1 description:keratin associated protein 24-1 [Source:MGI Symbol;Acc:MGI:2685158] MSLRGYPRLCSATSHRNHCYIPVTPSITVCSDDVNPTFGPYLPSSYQGNLWLLDHCQDSY CEASFCDSPSCVLKTCAPSCDPTDSCVPCNSLSANQVVSDCETTKIRARPSCSSSTGTKG YVSNCTTATRSASKACQTLQNGSGFIGQFNSFPKNLQAPHHCILGNNGYRSYGNFGFITN GFSPSCYTISSYHPRSYLMRYCQYPNYVPSSFPYRSYLCRNFQSLSRIPSTFPPLRYLCS SNRPLHCY >ENSMUSP00000021942.6 pep:known chromosome:GRCm38:13:55322055:55325271:1 gene:ENSMUSG00000021486.6 transcript:ENSMUST00000021942.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prelid1 description:PRELI domain containing 1 [Source:MGI Symbol;Acc:MGI:1913744] MVKYFLGQSVLRSSWDQVFAAFWQRYPNPYSKHVLTEDIVHREVTPDQKLLSRRLLTKTN RMPRWAERLFPANVAHSVYILEDSIVDPQNQTMTTFTWNINHARLMVVEERCVYCVNSDN SGWTEIRREAWVSSSLFGVSRAVQEFGLARFKSNVTKTMKGFEYILAKLQGEAPSKTLVE TAKEAKEKAKETALAATEKAKDLANKAATKQQQRQLV >ENSMUSP00000094930.3 pep:known chromosome:GRCm38:17:40561507:40565622:1 gene:ENSMUSG00000092043.1 transcript:ENSMUST00000097324.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp6 description:exocrine gland secreted peptide 6 [Source:MGI Symbol;Acc:MGI:3643294] MTSLPMMIFLVILLLPSMPTEGRVLTQTQEQSTISADQKTNQETFLDKIESKGNPGNPSI KEAIEMFSCAFDQDKTLLEDQSNSDQPSLNLSKLMTTLNKCGAQKYKVAEYVPEDGLAGH EWPEGSLVLRLLDNPV >ENSMUSP00000077553.6 pep:known chromosome:GRCm38:17:74200706:74294883:-1 gene:ENSMUSG00000058704.7 transcript:ENSMUST00000078459.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Memo1 description:mediator of cell motility 1 [Source:MGI Symbol;Acc:MGI:1924140] MSNRVVCREASHAGSWYTASGPQLNAQLEGWLSQVQSTKRPARAIIAPHAGYTYCGSCAA HAYKQVDPSVTRRIFILGPSHHVPLSRCALSSVDIYRTPLYDLRIDQKIYGELWKTGMFE RMSLQTDEDEHSIEMHLPYTAKAMESHKDEFTIIPVLVGALSESKEQEFGKLFSKYLADP SNLFVVSSDFCHWGQRFRYSYYDESQGEIYRSIEHLDKMGMSIIEQLDPVSFSNYLKKYH NTICGRHPIGVLLNAITELQKNGMNMSFSFLNYAQSSQCRSWQDSSVSYAAGALTVH >ENSMUSP00000137299.1 pep:known chromosome:GRCm38:12:55124528:55142078:1 gene:ENSMUSG00000095595.1 transcript:ENSMUST00000177768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam177a description:family with sequence similarity 177, member A [Source:MGI Symbol;Acc:MGI:1920635] MERESGCAAAGETEAAAATAFRDATRQISNERGFENVELGVMGKKKKVPRRVIHFVSGET MEEYSTDEDEVDGLDKKDVLPTVDPTKLTWGPYLWFYMLRAATSTLSVCDFLGEKIASVL GISTPKYQYAIDEYYRMKKEEEEEEEENRMSEEAERQYQQNKLQADSIVQTDQPETVSSS FVNINFEMEEDCEAIKENKQRPVSVPP >ENSMUSP00000020931.5 pep:known chromosome:GRCm38:12:11265886:11319785:1 gene:ENSMUSG00000020608.6 transcript:ENSMUST00000020931.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc6 description:structural maintenance of chromosomes 6 [Source:MGI Symbol;Acc:MGI:1914491] MAKRKEENFCSPENAKRPRQEELEDFDKDGDEDECTISFTNGTSTLTAAEVGIIESIQLR NFMCHSMLGPFKFGSNVNFVVGNNGSGKSAVLTALIVGLGGKAVATNRGSSLKGFVKAGQ NSADISITLRNRGDDAFRANVYGDSIVVQQHISVDGSRSYKLKSEKGTVVSTRKEELIAI LDHFNIQVDNPVSVLTQEMSKQFLQSKNEGDKYKFFMKATQLEQMKEDYSYIMETKERTK EQINQGEERLTELKRQCLEKEERFQNIAGLSTMKTNLEYLKHEMAWAVVNEIEKQLNAIR DNIKIGEERAAKLDRKMEEQQVRLNDAEKKYKDIQDKLEKISEETNARAPECMALKTDVI ARTRAFNDAEVLYNRSLNEYKALKKDGEQLCKRIEELKKSTDQSLEPERLERQKRICWLK EKVKALQDQEHTVNQEAEQFEQAIEKDKQEHGRVRKEDIEVRHALNYNQRQLKELKDSKT DRLKRFGPHVPALLEAIDDAYRRRQFTHKPIGPLGACIHLRDPELALAIESCLKGLLQAY CCHNHADERVLQSLMKKFYPPGTSRPQIIVSEFRDEVYDVRLRAAYHPEFPTVLTALEID NAVVANSLIDMRSIETVLLIKNNSVARAVMQSQKPPKNCREAFTADGDQVFAGRYYSSES TRPKFLSRDVDSEISDLETEIENKKGHIITLQQRLSALEKDIKRNEELLKRCQLHYKEIK MKIRKNISEIRELENIEEHQSVDIATLEDEAEENKIKMQMVEKNMEQQKENMENLKSLKI EAENKYDTIKLKINQLSELADPLKDELNLADSEVDSQKRGKQHYEDKQKEHLDTLNKKRR ELDMKEKELQEKMSQARQICPERIEVKKSASILDKEINRLRQKIQAEHASHGDREEIMKQ YQEARETYLDLDNKVRTLRRFIKLLEEIMTHRYKTYQQFRRCLTLRCKLYFDNLLSQRAY CGKMNFDHKNETLSITVQPGEGNKASFNDMRALSGGERSFSTVCFILSLWSIAESPFRCL DEFDVYMDMVNRRIAMDMILKMADSQRFRQFILLTPQSMSSLPSSKLIRILRMSDPERGQ TTLPFRPVTQEEDDSAS >ENSMUSP00000024708.4 pep:known chromosome:GRCm38:17:43016555:43089188:1 gene:ENSMUSG00000023915.4 transcript:ENSMUST00000024708.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf21 description:tumor necrosis factor receptor superfamily, member 21 [Source:MGI Symbol;Acc:MGI:2151075] MGTRASSITALASCSRTAGQVGATMVAGSLLLLGFLSTITAQPEQKTLSLPGTYRHVDRT TGQVLTCDKCPAGTYVSEHCTNMSLRVCSSCPAGTFTRHENGIERCHDCSQPCPWPMIER LPCAALTDRECICPPGMYQSNGTCAPHTVCPVGWGVRKKGTENEDVRCKQCARGTFSDVP SSVMKCKAHTDCLGQNLEVVKPGTKETDNVCGMRLFFSSTNPPSSGTVTFSHPEHMESHD VPSSTYEPQGMNSTDSNSTASVRTKVPSGIEEGTVPDNTSSTSGKEGTNRTLPNPPQVTH QQAPHHRHILKLLPSSMEATGEKSSTAIKAPKRGHPRQNAHKHFDINEHLPWMIVLFLLL VLVLIVVCSIRKSSRTLKKGPRQDPSAIVEKAGLKKSLTPTQNREKWIYYRNGHGIDILK LVAAQVGSQWKDIYQFLCNASEREVAAFSNGYTADHERAYAALQHWTIRGPEASLAQLIS ALRQHRRNDVVEKIRGLMEDTTQLETDKLALPMSPSPLSPSPMPSPNVKLENSTLLTVEP SPLDKNKCFFVDESEPLLRCDSTSSGSSALSRNGSFITKEKKDTVLRQVRLDPCDLQPIF DDMLHILNPEELRVIEEIPQAEDKLDRLFEIIGVKSQEASQTLLDSVYSHLPDLL >ENSMUSP00000081455.2 pep:known chromosome:GRCm38:7:142008553:142061034:-1 gene:ENSMUSG00000025147.6 transcript:ENSMUST00000084418.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob2 description:MOB kinase activator 2 [Source:MGI Symbol;Acc:MGI:1919891] MDWLMGKSKAKPNGKKPAAEEKKVYLEPEHTKSRITDFEFKELVVLPREIDLNEWLASNT TTFFHHINLQYSTISEFCTGETCQTMAVCNTQYYWYDERGKKVKCTAPQYVDFVMSSVQK LVTDEDVFPTKYGREFPSSFESLVKKICKYLFHVLGHIYWAHFKETLALELHGHLNTLYV HFILFAREFNLLDPKETAVMDDLTEVLCSSPGNSGATGDGANSGASGAQNHVKER >ENSMUSP00000069209.1 pep:known chromosome:GRCm38:14:66084377:66124500:-1 gene:ENSMUSG00000022040.7 transcript:ENSMUST00000070515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ephx2 description:epoxide hydrolase 2, cytoplasmic [Source:MGI Symbol;Acc:MGI:99500] MALRVAAFDLDGVLALPSIAGAFRRSEEALALPRDFLLGAYQTEFPEGPTEQLMKGKITF SQWVPLMDESYRKSSKACGANLPENFSISQIFSQAMAARSINRPMLQAAIALKKKGFTTC IVTNNWLDDGDKRDSLAQMMCELSQHFDFLIESCQVGMIKPEPQIYNFLLDTLKAKPNEV VFLDDFGSNLKPARDMGMVTILVHNTASALRELEKVTGTQFPEAPLPVPCNPNDVSHGYV TVKPGIRLHFVEMGSGPALCLCHGFPESWFSWRYQIPALAQAGFRVLAIDMKGYGDSSSP PEIEEYAMELLCKEMVTFLDKLGIPQAVFIGHDWAGVMVWNMALFYPERVRAVASLNTPF MPPDPDVSPMKVIRSIPVFNYQLYFQEPGVAEAELEKNMSRTFKSFFRASDETGFIAVHK ATEIGGILVNTPEDPNLSKITTEEEIEFYIQQFKKTGFRGPLNWYRNTERNWKWSCKGLG RKILVPALMVTAEKDIVLRPEMSKNMEKWIPFLKRGHIEDCGHWTQIEKPTEVNQILIKW LQTEVQNPSVTSKI >ENSMUSP00000053540.8 pep:known chromosome:GRCm38:12:87147707:87164721:1 gene:ENSMUSG00000021033.10 transcript:ENSMUST00000063117.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstz1 description:glutathione transferase zeta 1 (maleylacetoacetate isomerase) [Source:MGI Symbol;Acc:MGI:1341859] MQAGKPILYSYFRSSCSWRVRIALALKGIDYEIVPINLIKDGGQQFTEEFQTLNPMKQVP ALKIDGITIVQSLAIMEYLEETRPIPRLLPQDPQKRAIVRMISDLIASGIQPLQNLSVLK QVGQENQMQWAQKVITSGFNALEKILQSTAGKYCVGDEVSMADVCLVPQVANAERFKVDL SPYPTISHINKELLALEVFQVSHPRRQPDTPAELRT >ENSMUSP00000026211.8 pep:known chromosome:GRCm38:19:44005022:44029247:-1 gene:ENSMUSG00000025197.8 transcript:ENSMUST00000026211.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c44 description:cytochrome P450, family 2, subfamily c, polypeptide 44 [Source:MGI Symbol;Acc:MGI:1888897] MELLGLPTLALLVLVMSLSLLSVWTKMRTGGRLPPGPTPLPIIGNILQLDLKDIPASLSK LAKEYGPVYTLYFGSWPTVVLHGYDVVKEALLNQGDEFLGRGPLPIIEDSQKGHGIVFSE GERWKLLRRFSLMTLKNFGMGKRSLEERVQEEARCLVEELHKTEAQPFDPTFILACAPCN VICSILFNERFPYNDKTFLNLMDLLNKNFYQLNSIWIQMYNLWPTIMKYIPGKHREFSKR LGGVKNFILEKVKEHQESLDPANPRDYIDCFLSKIEEEKHNLKSDFNLENLAICGSNLFT AGTETTSTTLRFGLLLLVKHPEVQAKVHEELDRVIGRHQPPSMKDKMKLPYTDAVLHEIQ RYITLLPSSLPHAVVQDTKFRHYVIPKGTAVFPFLSSILLDQKEFPNPEKFDPGHFLDKN GCFKKTDYFVPFSLGKRSCVGEGLARMELFLFFTTILQKFSLKALVEPKDLDIKPVTTGL FNLPPPYKLRLVPR >ENSMUSP00000000395.6 pep:known chromosome:GRCm38:16:97564684:97611195:-1 gene:ENSMUSG00000000385.7 transcript:ENSMUST00000000395.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss2 description:transmembrane protease, serine 2 [Source:MGI Symbol;Acc:MGI:1354381] MALNSGSPPGIGPCYENHGYQSEHICPPRPPVAPNGYNLYPAQYYPSPVPQYAPRITTQA STSVIHTHPKSSGALCTSKSKKSLCLALALGTVLTGAAVAAVLLWRFWDSNCSTSEMECG SSGTCISSSLWCDGVAHCPNGEDENRCVRLYGQSFILQVYSSQRKAWYPVCQDDWSESYG RAACKDMGYKNNFYSSQGIPDQSGATSFMKLNVSSGNVDLYKKLYHSDSCSSRMVVSLRC IECGVRSVKRQSRIVGGLNASPGDWPWQVSLHVQGVHVCGGSIITPEWIVTAAHCVEEPL SSPRYWTAFAGILRQSLMFYGSRHQVEKVISHPNYDSKTKNNDIALMKLQTPLAFNDLVK PVCLPNPGMMLDLDQECWISGWGATYEKGKTSDVLNAAMVPLIEPSKCNSKYIYNNLITP AMICAGFLQGSVDSCQGDSGGPLVTLKNGIWWLIGDTSWGSGCAKALRPGVYGNVTVFTD WIYQQMRANS >ENSMUSP00000095965.3 pep:known chromosome:GRCm38:8:119508156:119558761:-1 gene:ENSMUSG00000031835.15 transcript:ENSMUST00000098362.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtps1 description:membrane-bound transcription factor peptidase, site 1 [Source:MGI Symbol;Acc:MGI:1927235] MKLVSTWLLVLVVLLCGKRHLGDRLGTRALEKAPCPSCSHLTLKVEFSSTVVEYEYIVAF NGYFTAKARNSFISSALKSSEVENWRIIPRNNPSSDYPSDFEVIQIKEKQKAGLLTLEDH PNIKRVTPQRKVFRSLKFAESNPIVPCNETRWSQKWQSSRPLKRASLSLGSGFWHATGRH SSRRLLRAIPRQVAQTLQADVLWQMGYTGANVRVAVFDTGLSEKHPHFKNVKERTNWTNE RTLDDGLGHGTFVAGVIASMRECQGFAPDAELHIFRVFTNNQVSYTSWFLDAFNYAILKK MDVLNLSIGGPDFMDHPFVDKVWELTANNVIMVSAIGNDGPLYGTLNNPADQMDVIGVGG IDFEDNIARFSSRGMTTWELPGGYGRVKPDIVTYGAGVRGSGVKGGCRALSGTSVASPVV AGAVTLLVSTVQKRELVNPASVKQALIASARRLPGVNMFEQGHGKLDLLRAYQILSSYKP QASLSPSYIDLTECPYMWPYCSQPIYYGGMPTIVNVTILNGMGVTGRIVDKPEWRPYLPQ NGDNIEVAFSYSSVLWPWSGYLAISISVTKKAASWEGIAQGHIMITVASPAETELHSGAE HTSTVKLPIKVKIIPTPPRSKRVLWDQYHNLRYPPGYFPRDNLRMKNDPLDWNGDHVHTN FRDMYQHLRSMGYFVEVLGAPFTCFDATQYGTLLLVDSEEEYFPEEIAKLRRDVDNGLSL VIFSDWYNTSVMRKVKFYDENTRQWWMPDTGGANIPALNELLSVWNMGFSDGLYEGEFVL ANHDMYYASGCSIAKFPEDGVVITQTFKDQGLEVLKQETAVVENVPILGLYQIPSEGGGR IVLYGDSNCLDDSHRQKDCFWLLDALLQYTSYGVTPPSLSHSGNRQRPPSGAGLAPPERM EGNHLHRYSKVLEAHLGDPKPRPLPACPHLSWAKPQPLNETAPSNLWKHQKLLSIDLDKV VLPNFRSNRPQVRPLSPGESGAWDIPGGIMPGRYNQEVGQTIPVFAFLGAMVALAFFVVQ ISKAKSRPKRRRPRAKRPQLAQQAHPARTPSV >ENSMUSP00000080117.4 pep:known chromosome:GRCm38:8:119508156:119558761:-1 gene:ENSMUSG00000031835.15 transcript:ENSMUST00000081381.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtps1 description:membrane-bound transcription factor peptidase, site 1 [Source:MGI Symbol;Acc:MGI:1927235] MKLVSTWLLVLVVLLCGKRHLGDRLGTRALEKAPCPSCSHLTLKVEFSSTVVEYEYIVAF NGYFTAKARNSFISSALKSSEVENWRIIPRNNPSSDYPSDFEVIQIKEKQKAGLLTLEDH PNIKRVTPQRKVFRSLKFAESNPIVPCNETRWSQKWQSSRPLKRASLSLGSGFWHATGRH SSRRLLRAIPRQVAQTLQADVLWQMGYTGANVRVAVFDTGLSEKHPHFKNVKERTNWTNE RTLDDGLGHGTFVAGVIASMRECQGFAPDAELHIFRVFTNNQVSYTSWFLDAFNYAILKK MDVLNLSIGGPDFMDHPFVDKVWELTANNVIMVSAIGNDGPLYGTLNNPADQMDVIGVGG IDFEDNIARFSSRGMTTWELPGGYGRVKPDIVTYGAGVRGSGVKGGCRALSGTSVASPVV AGAVTLLVSTVQKRELVNPASVKQALIASARRLPGVNMFEQGHGKLDLLRAYQILSSYKP QASLSPSYIDLTECPYMWPYCSQPIYYGGMPTIVNVTILNGMGVTGRIVDKPEWRPYLPQ NGDNIEVAFSYSSVLWPWSGYLAISISVTKKAASWEGIAQGHIMITVASPAETELHSGAE HTSTVKLPIKVKIIPTPPRSKRVLWDQYHNLRYPPGYFPRDNLRMKNDPLDWNGDHVHTN FRDMYQHLRSMGYFVEVLGAPFTCFDATQYGTLLLVDSEEEYFPEEIAKLRRDVDNGLSL VIFSDWYNTSVMRKVKFYDENTRQWWMPDTGGANIPALNELLSVWNMGFSDGLYEGEFVL ANHDMYYASGCSIAKFPEDGVVITQTFKDQGLEVLKQETAVVENVPILGLYQIPSEGGGR IVLYGDSNCLDDSHRQKDCFWLLDALLQYTSYGVTPPSLSHSGNRQRPPSGAGLAPPERM EGNHLHRYSKVLEAHLGDPKPRPLPACPHLSWAKPQPLNETAPSNLWKHQKLLSIDLDKV VLPNFRSNRPQVRPLSPGESGAWDIPGGIMPGRYNQEVGQTIPVFAFLGAMVALAFFVVQ ISKAKSRPKRRRPRAKRPQLAQQAHPARTPSV >ENSMUSP00000093972.5 pep:known chromosome:GRCm38:19:8880016:8880933:-1 gene:ENSMUSG00000071654.5 transcript:ENSMUST00000096253.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcc3 description:ubiquinol-cytochrome c reductase complex assembly factor 3 [Source:MGI Symbol;Acc:MGI:2147553] MEVARKALVAVAVLGGGAGVGSILFALVTPGELQKQSMLQEMPERDSRRRDEAVRTTELV MATLKDAAATKENVAWRRNWTVSGDGRSA >ENSMUSP00000089075.4 pep:known chromosome:GRCm38:13:73963851:73992989:1 gene:ENSMUSG00000069189.4 transcript:ENSMUST00000091493.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc11 description:zinc finger, DHHC domain containing 11 [Source:MGI Symbol;Acc:MGI:1918414] MKEMNICGINKNWVLPEAQENNVKKFLPRPLSRVNGWSPPLHSFQAISWITYLAMSIVTF GIFIPFLPYSWKYAANIVMGGVFIFHLIVHLIAITIDPADTNVRLKKDYTQPVPAFDRSK HTHVIQNQYCHLCEVTASKKAKHCSACNKCVSGFDHHCKWLNNCVGRRNYWFFFWSVASA AVGILGVMIILCYICIQYFVNPDELRTDPLYKEIISENTWLLFLSLWPVPVKTPIVLSIA VMALLLAIASFVMLGHLLIFHLYLITKNMSTFDYLMKTRFKKNLHPAEEKELPLQKKGDL PQEKSDNWAWPKSPPRVGSQKFPVSTLSPKSSVCFVASPPKICHSED >ENSMUSP00000003642.6 pep:known chromosome:GRCm38:17:46630631:46645144:-1 gene:ENSMUSG00000003546.9 transcript:ENSMUST00000003642.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc4 description:kinesin light chain 4 [Source:MGI Symbol;Acc:MGI:1922014] MSGLVLGQRDEPAGHRLSQEEILGSTKVVSQGLEALHSEHQAVLQSLSHTIECLQQGGHE EGLVHEKARQLRRSMENIELGLSEAQVMLALASHLSTVESEKQKLRAQVRRLCQENQWLR DELAGTQQRLQRSEQAVAQLEEEKKHLEFLRQLRQYDEDGHGMEEKEGEATKDSLDDLFP NEEEEDSGNDLSRGQGAAAAQQGGYEIPARLRTLHNLVIQYAAQGRYEVAVPLCKQALED LERTSGRGHPDVATMLNILALVYRDQNKYKEAAHLLNDALSIRESTLGRDHPAVAATLNN LAVLYGKRGKYKEAEPLCQRALEIREKVLGTDHPDVAKQLNNLALLCQNQGKYEAVERYY QRALAIYESQLGPDNPNVARTKNNLASCYLKQGKYSEAEALYKEILTCAHVQEFGSVDDD HKPIWMHAEEREEMSRSRPRDSSAPYAEYGGWYKACRVSSPTVNTTLKNLGALYRRQGKL EAAETLEECALRSRKQGTDPISQTKVAELLGEGDGRKAIQEGPGDSVKFEGGEDASVAVE WSGDGSGTLQRSGSLGKIRDVLRRSSELLVRKLQGTEPRPSSSSMKRAASLNYLNQPNAA PLQVSRGLSASTVDLSSSS >ENSMUSP00000021728.4 pep:known chromosome:GRCm38:12:112644828:112649152:1 gene:ENSMUSG00000064326.12 transcript:ENSMUST00000021728.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siva1 description:SIVA1, apoptosis-inducing factor [Source:MGI Symbol;Acc:MGI:1353606] MPKRSCPFADAAPLQLKVHVGLKELSHGVFAERYSREVFERTKQLLFQGARAYRDHISSE DCSVNHLQESLKSGVVGAPQPARGQMLIGPDGRLTRCQAQASEGGLPRTAPIACSSCMRS VDGKAVCSQCERALCGQCVYTCWGCGALACVLCGLADYADDGEKTLCTSCAMFEA >ENSMUSP00000105377.3 pep:known chromosome:GRCm38:12:112644828:112649152:1 gene:ENSMUSG00000064326.12 transcript:ENSMUST00000109755.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siva1 description:SIVA1, apoptosis-inducing factor [Source:MGI Symbol;Acc:MGI:1353606] MPKRSCPFADAAPLQLKVHVGLKELSHGVFAERYSREVFGLPRTAPIACSSCMRSVDGKA VCSQCERALCGQCVYTCWGCGALACVLCGLADYADDGEKTLCTSCAMFEA >ENSMUSP00000034076.8 pep:known chromosome:GRCm38:8:87468854:87472592:-1 gene:ENSMUSG00000031654.15 transcript:ENSMUST00000034076.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbln1 description:cerebellin 1 precursor protein [Source:MGI Symbol;Acc:MGI:88281] MLGVVELLLLGTAWLAGPARGQNETEPIVLEGKCLVVCDSNPTSDPTGTALGISVRSGSA KVAFSAIRSTNHEPSEMSNRTMIIYFDQVLVNIGNNFDSERSTFIAPRKGIYSFNFHVVK VYNRQTIQVSLMLNGWPVISAFAGDQDVTREAASNGVLIQMEKGDRAYLKLERGNLMGGW KYSTFSGFLVFPL >ENSMUSP00000126575.1 pep:known chromosome:GRCm38:8:87469238:87472386:-1 gene:ENSMUSG00000031654.15 transcript:ENSMUST00000169693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbln1 description:cerebellin 1 precursor protein [Source:MGI Symbol;Acc:MGI:88281] MLGVVELLLLGTAWLAGPARGQNETEPIVLEGKCLVVCDSNPTSDPTGTALGISVRSGSA KVAFSAIRSTNHEPSEMSNRTMIIYFDQVLVNIGNNFDSERSTFIAPRKGIYSFNFHVVK VYNRQTIQVSLMLNGWPVISAFAGDQDVTREAASNGVLIQMEKGDRAYLKLERGNLMGGW KYSTFSGFLVFPL >ENSMUSP00000044797.4 pep:known chromosome:GRCm38:12:31654869:31937630:1 gene:ENSMUSG00000035933.4 transcript:ENSMUST00000036862.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cog5 description:component of oligomeric golgi complex 5 [Source:MGI Symbol;Acc:MGI:2145130] MEGGDSTISVAGRGASGSAVVAATVQAILQDDCYSEFLNEDFDVKTYTSQSIHQAVIAEQ LAKLAQGISQLDKELHLQVVARHEDLLAQATGIESLEGVLQMMQTRIGALQGAVDRMKSK IVEPYNKIVARTAQLARLQVACDLLRRIIRILYLSKRLQGQLQGGSREITKAAQSLNELD YLSQGIDLSGIEVIENDLLFIARARLEVENQAKRLLEQGVETQNPTQVGTALQVFHNLGT LKETVTSVVDGYCAALEDSINNALDVKVLTQPSQSAVRGGPGRAAMPTPGSTAGFRASLW TNMEKLMDHICAACGQVQHLQKVLTKKRDPVSHICFIEEIIKDGQPEILYMFWNAVTLAL SSHFHSATNSSMFLKQAFEGEYPKLLRLYNDLWKRLQQSSQNTQGTFSPSGTPDLCVDLP HMEDDTQDMFRLKRPDYDPEKALKDSLQPYEAAYLSKSLSRLFDPINLVFPPGGRNPPSS DELDGITKTITSELNVAAVDANLTLAVSKNVAKTIQLYAVKSEQLLSTQGDASQVIGPLT EGQKRNVGVVNSLFKLHQSVTKVVASQSSFSATAEQTIMSALKTIHDLMGNAIQPLLTSV ADAIEAIIITMHQEDFSGASSSSGKPDVPCSLYMKELQGFIARVMNDYFKHFECLDFVFD NTEAIAQRAIELFIRNASLIRPLGEGGKLRLAADFAQMELAVGPLCRRVSDLGKSYRMLR SFRPLLFQTSEHVADSPAVGDIIPFSIIIQFLFTRAPAELKSPFQRAEWSHARFSQWLDD HPSEKDRLLLLRGALEAYVQSVRSRDGKEFAPVYPIMVQLLQKAMSALQ >ENSMUSP00000070533.7 pep:known chromosome:GRCm38:19:47937712:48035379:1 gene:ENSMUSG00000046585.8 transcript:ENSMUST00000066308.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap58 description:cilia and flagella associated protein 58 [Source:MGI Symbol;Acc:MGI:2685815] MTEDKAEKVMPEETAFEEIEKDFQEVLSELSGDKSLEKFRTEYEKLHSIMKKSYENEKRL MAKCRELNAEIVVNSAKVATALKLSQDDQTTIASLKKEIEKAWKMVDSAYDKEQKAKETI LALKEEIVNLTKLVEQGSGLSMDQDSNIRDLLKFKEEVTKERDQLLSEVVKLRENLAQTI EKQQAAEHAKEEAEMAISQFQQEIQHRQNEASRESRKKEKLEKELRQIQTDMDGRQAEIK AMQQYMHKSKEELQRLEQQLKEQKILNERAAKEVEQFQMRNAKLQQENDQHTLTCEQLSQ ENQQKALELKAKEDEIHQMRLDLGKLNKIREQIHKKLHQLDDQKAEVEQQKDTLKNQILG LEREVESSKKQAELDKKAMEELLRERDILNKNMLKAVSATQKQVDLVKLHEQAKKNLEEE IQNYKDEAQKQRKIIFQLEKERDRYINEASDLTQRVLANMEDIKVREIQIFDYRKKIAES ETKLKQQQNLYEAVRSDRNLYSKNLVEAQDEITEMKRKLKIMTHQVDQLKEEISAKEAAL VKLHLEQQRIEKEKETLKAELQKLRQQALETKHFIEKQEVEERKLLRIIAEADGERVRQK KELDQVISERDILGSQLVRRNDELALLYEKIKIQQSVLNKGETQYNQRVEDMRILKLEIK KLRREKGILARSVANVEELRQELYHMQREFLKERTRCRALEEELENPMNVHRWRKLEASD PSTFELIQKIHTLQKRLISKTEEVVEKELLLQEKEKLYVELKHILARQPGPEAAEQLQIY RHTLREKTKQLKVLSSELNMYESQSQEYKYEIERLGNELMSLKKKYLAQKRKELVLKNKD RMSMNNIFSETKKSVPRFTGGGFPLHQATKVKF >ENSMUSP00000103381.3 pep:known chromosome:GRCm38:7:45554928:45567321:1 gene:ENSMUSG00000030825.18 transcript:ENSMUST00000107752.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b14 description:hydroxysteroid (17-beta) dehydrogenase 14 [Source:MGI Symbol;Acc:MGI:1913315] MAAVTRYSGKVVVVTGGSRGIGAAIVRAFVDSGAQVVFCDKDEAGGRALEQELSGTVFIP GDVTQERDLQTLVSETLSRFGHLDCVVNNAGYHPPAQLPEETSAQGFRQLLEVNLLGTYT LIKLALPHLRKSRGNIINISSLVGAIGQSQALTYVATKGAVTAMTKALALDESRHGVRVN CISPGNIWTPLWEELAASTSDPRATILEGTLAQPLGRMGQPAEVAAAAVFLASEATFCTG LELLVTGGAELGYGRKASKSSLGEVPTLPPNVH >ENSMUSP00000048696.7 pep:known chromosome:GRCm38:17:46890621:46892463:1 gene:ENSMUSG00000036430.7 transcript:ENSMUST00000040434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbcc description:tubulin-specific chaperone C [Source:MGI Symbol;Acc:MGI:1919976] MEGVDCSMALADAAAGSPRDLSLVPERLQRREQERQIEVERRKQKRQDQEVEEEKSGFFA AAFARERAAVEELLRGEASAERLEEAANRLQGLRKLLNDSVLFLAAYDLRQGQAALAQLQ AVLTERRQELQPKKRFAFKARKKDAAGTAQVDAAPVASAAPSPPVTKEEEGAPGASWACG FSNLESQDLEKRAEELHQRDVLLSDLTNCTVKLCGNPNTLRLAKARGCKVLCGPVTTSVF LEDCRDCVLAVACQQLRVHTTKDTRVFLQVTSRAIVEDCSGIQFAPYTWSYPGIDKDFQD SGLDRSKNNWDQVDDFNWLARNVASPNWSILPEEERDIQWD >ENSMUSP00000090801.4 pep:known chromosome:GRCm38:8:113697126:113848615:-1 gene:ENSMUSG00000053399.8 transcript:ENSMUST00000093113.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts18 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 18 [Source:MGI Symbol;Acc:MGI:2442600] MECALLCLCALRAAGPGPPWGPAGLGRLAKALQLCCFCCASVAVALASDSGSSGGSGLND DYVFVVPVEVDSGGSYISHDILHHRKRRSAHGASNSLHYRVSAFGQDLHLELKPSAILSS HFRVQVLGKDGASETREPEVPQCLYQGFIRNDSSSSVAVSTCAGLSGLIRTRDNEFLISP LPQLLAQEHNYSSPAGHHPHVLYKRTAEKRVRWYQDYPGSQRTYPGHSPSHTPPASQSQE PEYSHRRWQKRHFCGRRKKYAPKPPAEDAYLRFDEYGGTGRPRRSAGKSQNGLNVETLVV ADAKMVEKHGKDDVTTYILTVMNMVSSLFKDGTIGSDINIVVVSLILLEEEPEGLLINHH ADQSLNSFCQWQSALVGKNGKRHDHAILLTGFDICSWKNEPCDTLGFAPISGMCSKYRSC TINEDTGLGLAFTIAHESGHNFGMVHDGEGNPCRKAEGNIMSPTLTGNNGVFSWSSCSRQ YLKKFLSTPQAGCLVDEPKQTGQYKYPDKLPGQIYDADMQCKWQFGAKAKLCSLGVMKDI CKSLWCHRVGHRCETKFMPAAEGTACGLSMWCRQGQCVKLGELGPRPIHGQWSAWSKWSE CSRTCGGGVKFQERHCSNPKPQYGGKYCPGSSRIYKLCNINPCPENSLDFRAQQCAEYNN KPFRGWLYRWKPYTKVEEEDRCKLYCKAENFEFFFAMSGKVKDGTPCSPHRNDVCIDGIC ELVGCDHELGSKAVSDACGVCKGDNSTCKFYKGLYLSQHKANEYYPVVTIPAGARSIEIQ ELQLSSSYLAVRSLSQKYYLTGGWSIDWPGDFTFAGTTFEYQRSFNRPERLYAPGPTNET LVFEILTQGKNPGIAWKYALPKVMNVTQPATKRYHHTWRTVQSDCSVTCGGGYISIKAIC LRDQHTQVNSSFCSVRTKPATEPKICNAFSCPAYWLPGEWSACSKSCAGGQQSRKIRCVQ KKPFQKEEAVLHSLCPVSTPTQVQVCNSHACPPEWSPSPWSQCSKTCGRGVRRREVLCKS PAAETLPESLCSSSPRPEAQEGCVLGRCPKNNRLQWIASAWSECSATCGLGVRKRELKCV EKTLQGKLITFPERRCRNIKKPSLELEEACNQRTCPVYSMAVASWYSSPWQQCTVTCGGG VQTRSVHCMQQGRPSSSCLLHQKPPVLRACNTNFCPAPEKKDDPSCVDFFSWCHLVPQHG VCNHKFYGKQCCRSCTRKS >ENSMUSP00000097882.1 pep:known chromosome:GRCm38:15:90224311:90230554:1 gene:ENSMUSG00000075470.1 transcript:ENSMUST00000100309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg10b description:asparagine-linked glycosylation 10B (alpha-1,2-glucosyltransferase) [Source:MGI Symbol;Acc:MGI:2146159] MAQLEGYYFSAALSCTFLVSCLLFSAFSRALREPYMDEIFHLPQAQRYCEGRFSLSQWDP MITTLPGLYLVSVGVVKPASWLLGWSEHVICSIGVLRFVNLLFSVGNFYLLYLLFRKVQP RNKASSSIQRILSTLTLAVFPTLYFFNFLYYTEAGSVFFTLFAYLMCLYGNHRTSALLGF CGFMFRQTNIIWAAFCAGHLIAQKCSEAWKIELQKKKEERLAPTKGPLSELRRVLQFLLV YAMSLKNLRMLFLLTWPYVLLLLAFFAFVVVNGGIVVGDRSSHEACLHFPQLFYFFSFTA FFSFPHLLSLTKVKTFLSLVWKRRVQFSVVTLVSILLVWKFTYVHKYLLADNRHYTFYVW KRVFQRHEVVKYLLVPAYIFAGWAIADSLKAKSIFWNLMFFVCLVASTVPQKLLEFRYFI LPYIIYRLNIPLPPISRLVCELGCYTVVNFVTFYIFLNKTFQWPNSQDIQRFMW >ENSMUSP00000049095.4 pep:known chromosome:GRCm38:19:52264323:52265456:1 gene:ENSMUSG00000035804.4 transcript:ENSMUST00000039652.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ins1 description:insulin I [Source:MGI Symbol;Acc:MGI:96572] MALLVHFLPLLALLALWEPKPTQAFVKQHLCGPHLVEALYLVCGERGFFYTPKSRREVED PQVEQLELGGSPGDLQTLALEVARQKRGIVDQCCTSICSLYQLENYCN >ENSMUSP00000021870.4 pep:known chromosome:GRCm38:13:38932140:38960875:-1 gene:ENSMUSG00000021432.14 transcript:ENSMUST00000021870.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35b3 description:solute carrier family 35, member B3 [Source:MGI Symbol;Acc:MGI:1913978] MDRTLAQSTQEQGGEAQPAEERQDEASEKSRDQVAGLDCGRAETMDLKFNNSRKYVSISV PSKTQAMSPHIKSVEDVVVLGVNLSKFSKLTQFLICVAGVFVFYLIYGYLQELIFSVEGF KPYGWYLTLVQFAFYSVFGLIELQLTQDRRRRIPGKTYMLIAFLTVGTMGLSNTSLGYLN YPTQVIFKCCKLIPVMLGGVFIQGKRYNLADVSAAVCMSLGLIWFTLADSTIAPNFNLTG VMLISLALCADAVIGNVQEKAMKLHNASNSEMVLYSYSIGFVYILLGLSCTSGLGPAVAF CSKNPVGTYGYAFLFSLTGYFGISFVLALIKIFGALLAVTVTTGRKAMTVVLSFLFFAKP FTFQYIWSGLLVVLGIFLNVYSKNMDKIRLPSVYNMIKKAMDMKKSRTLAQTV >ENSMUSP00000126016.1 pep:known chromosome:GRCm38:13:38932140:38960537:-1 gene:ENSMUSG00000021432.14 transcript:ENSMUST00000167513.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35b3 description:solute carrier family 35, member B3 [Source:MGI Symbol;Acc:MGI:1913978] MDLKFNNSRKYVSISVPSKTQAMSPHIKSVEDVVVLGVNLSKFSKLTQFLICVAGVFVFY LIYGYLQELIFSVEGFKPYGWYLTLVQFAFYSVFGLIELQLTQDRRRRIPGKTYMLIAFL TVGTMGLSNTSLGYLNYPTQVIFKCCKLIPVMLGGVFIQGKRYNLADVSAAVCMSLGLIW FTLADSTIAPNFNLTGVMLISLALCADAVIGNVQEKAMKLHNASNSEMVLYSYSIGFVYI LLGLSCTSGLGPAVAFCSKNPVGTYGYAFLFSLTGYFGISFVLALIKIFGALLAVTVTTG RKAMTVVLSFLFFAKPFTFQYIWSGLLVVLGIFLNVYSKNMDKIRLPSVYNMIKKAMDMK KSRTLAQTV >ENSMUSP00000021413.7 pep:known chromosome:GRCm38:12:55489411:55492647:-1 gene:ENSMUSG00000021025.7 transcript:ENSMUST00000021413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbia description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, alpha [Source:MGI Symbol;Acc:MGI:104741] MFQPAGHGQDWAMEGPRDGLKKERLVDDRHDSGLDSMKDEEYEQMVKELREIRLQPQEAP LAAEPWKQQLTEDGDSFLHLAIIHEEKPLTMEVIGQVKGDLAFLNFQNNLQQTPLHLAVI TNQPGIAEALLKAGCDPELRDFRGNTPLHLACEQGCLASVAVLTQTCTPQHLHSVLQATN YNGHTCLHLASIHGYLAIVEHLVTLGADVNAQEPCNGRTALHLAVDLQNPDLVSLLLKCG ADVNRVTYQGYSPYQLTWGRPSTRIQQQLGQLTLENLQMLPESEDEESYDTESEFTEDEL PYDDCVFGGQRLTL >ENSMUSP00000063114.2 pep:known chromosome:GRCm38:19:60466733:60468304:-1 gene:ENSMUSG00000045052.2 transcript:ENSMUST00000051277.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prlhr description:prolactin releasing hormone receptor [Source:MGI Symbol;Acc:MGI:2135956] MTSLSTETTGDPDLSSGLLPASSTPANQSAEASEGNLSATVPRAAAVTPFQSLQLVHQLK GLIVMLYSIVVVVGLVGNCLLVLVIARVRRLHNVTNFLIGNLALSDVLMCAACVPLTLAY AFEPRGWVFGGGLCHLVFFLQPVTVYVSVFTLTTIALDRYVVLVHPLRRRISLRLSAYAV LGIWALSAVLALPAAVHTYHVELKPHDVSLCEEFWGSQERQRQIYAWGLLLGTYLLPLLA ILLSYVRVSVKLRNRVVPGSVTQSQADWDRARRRRTFCLLVVVVVVFAVCWLPLHIFNLL RDLDPRAIDPYAFGLVQLLCHWLAMSSACYNPFIYAWLHDSFREELRKMLLSWPRKIVPH GQNMTVSVVI >ENSMUSP00000067013.4 pep:known chromosome:GRCm38:12:8497763:8499985:-1 gene:ENSMUSG00000054364.4 transcript:ENSMUST00000067384.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhob description:ras homolog gene family, member B [Source:MGI Symbol;Acc:MGI:107949] MAAIRKKLVVVGDGACGKTCLLIVFSKDEFPEVYVPTVFENYVADIEVDGKQVELALWDT AGQEDYDRLRPLSYPDTDVILMCFSVDSPDSLENIPEKWVPEVKHFCPNVPIILVANKKD LRSDEHVRTELARMKQEPVRTDDGRAMAVRIQAYDYLECSAKTKEGVREVFETATRAALQ KRYGSQNGCINCCKVL >ENSMUSP00000053616.5 pep:known chromosome:GRCm38:8:104226691:104248558:-1 gene:ENSMUSG00000035824.6 transcript:ENSMUST00000050211.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tk2 description:thymidine kinase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1913266] MLLRSLRSWAARSLRSVGPGSSGSPGSLDSGAGPLWAPRRAWPPDKDRENDKEKKAVVCI EGNIASGKTTCLEFFSNTTDVEVLMEPVLKWRNVHGHNPLSLMYHDASRWGLTLQTYVQL TMLDQHTRPQMSPVRLMERSIYSARYIFVENLYRSGKMPEVDYAILSEWFDWIVRNIDVS VDLIVYLRTTPEICYQRLKMRCREEEKVIPMEYLHAIHRLYEEWLVNGSLFPAAAPVLVI EADHNLEKMLELFEQNRARILTPENWKHGP >ENSMUSP00000100610.2 pep:known chromosome:GRCm38:2:140152043:140153532:1 gene:ENSMUSG00000078197.2 transcript:ENSMUST00000104994.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17374 description:predicted gene, 17374 [Source:MGI Symbol;Acc:MGI:4937008] LEKKKKKKKRKEKKKKKDYTEKPCLEKQKQNETN >ENSMUSP00000137004.1 pep:novel scaffold:GRCm38:JH584295.1:66:1479:-1 gene:ENSMUSG00000095742.1 transcript:ENSMUST00000179436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01147332.1 SASDDFNLGDALEDPNMPLSLAPTPKPSGGFDLEDALPGGGGGGAGEKPGNRPQPDPKPP RPHGDSGGISDSDLADAAGQG >ENSMUSP00000096847.2 pep:known chromosome:GRCm38:13:119623819:119654354:1 gene:ENSMUSG00000074715.2 transcript:ENSMUST00000099241.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl28 description:chemokine (C-C motif) ligand 28 [Source:MGI Symbol;Acc:MGI:1861731] MQQAGLTLMAVAVCVAFQTSEAILPMASSCCTEVSHHVSGRLLERVSSCSIQRADGDCDL AAVILHVKRRRICISPHNRTLKQWMRASEVKKNGRENVCSGKKQPSRKDRKGHTTRKHRT RGTHRHEASR >ENSMUSP00000108392.2 pep:known chromosome:GRCm38:19:21555674:21557657:1 gene:ENSMUSG00000079382.2 transcript:ENSMUST00000112772.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3443 description:predicted gene 3443 [Source:MGI Symbol;Acc:MGI:3781620] PHQYFPIVVVFGDVGGVICKFCNLSIPFHGCVLDFGTCRTKPGQYCIKEVLIKGGIEWYS VKGCTEDKSECFKRIIKNYEIRTSHCCHRPLCNF >ENSMUSP00000137332.1 pep:known chromosome:GRCm38:8:104348191:104395807:-1 gene:ENSMUSG00000096188.1 transcript:ENSMUST00000179802.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm4 description:CKLF-like MARVEL transmembrane domain containing 4 [Source:MGI Symbol;Acc:MGI:2142888] MRGGEELDGFEGEASSTSMISGASSPYQPTTEPVSQRRGLAGLRCDPDYLRGALGRLKVA QVILALIAFICIETIMECSPCEGLYFFEFVSCSAFVVTGVLLILFSLNLHMRIPQINWNL TDLVNTGLSTFFFFIASIVLAALNHKTGAEIAAVIFGFLATAAYAVSTFLAMQKWRVSVR QQSTNDYIRARTESRDVDSRPEIQRLDT >ENSMUSP00000129630.1 pep:known chromosome:GRCm38:15:95824459:95830072:-1 gene:ENSMUSG00000078648.2 transcript:ENSMUST00000168960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17546 description:predicted gene, 17546 [Source:MGI Symbol;Acc:MGI:4937180] MGERREEELVSLPLPPSHVKLRRQPDARGCW >ENSMUSP00000057965.6 pep:known chromosome:GRCm38:9:8544196:8680565:1 gene:ENSMUSG00000031997.8 transcript:ENSMUST00000050433.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc6 description:transient receptor potential cation channel, subfamily C, member 6 [Source:MGI Symbol;Acc:MGI:109523] MSQSPRFVTRRGGSLKAAPGAGTRRNESQDYLLMDELGDDGYPQLPLPPYGYYPSFRGNE NRLTHRRQTILREKGRRLANRGPAYMFNDHSTSLSIEEERFLDAAEYGNIPVVRKMLEEC HSLNVNCVDYMGQNALQLAVANEHLEITELLLKKENLSRVGDALLLAISKGYVRIVEAIL NHPAFAEGKRLATSPSQSELQQDDFYAYDEDGTRFSHDVTPIILAAHCQEYEIVHTLLRK GARIERPHDYFCKCTECSQKQKHDSFSHSRSRINAYKGLASPAYLSLSSEDPVMTALELS NELAVLANIEKEFKNDYRKLSMQCKDFVVGLLDLCRNTEEVEAILNGDAETRQPGDFGRP NLSRLKLAIKYEVKKFVAHPNCQQQLLSIWYENLSGLRQQTMAVKFLVVLAVAIGLPFLA LIYWCAPCSKMGKILRGPFMKFVAHAASFTIFLGLLVMNAADRFEGTKLLPNETSTDNAR QLFRMKTSCFSWMEMLIISWVIGMIWAECKEIWTQGPKEYLFELWNMLDFGMLAIFAASF IARFMAFWHASKAQSIIDANDTLKDLTKVTLGDNVKYYNLARIKWDPTDPQIISEGLYAI AVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVIFIMVFVAFMIGMFNLYSYYIG AKQNEAFTTVEESFKTLFWAIFGLSEVKSVVINYNHKFIENIGYVLYGVYNVTMVIVLLN MLIAMINSSFQEIEDDADVEWKFARAKLWFSYFEEGRTLPVPFNLVPSPKSLLYLLLKFK KWMCELIQGQKQGFQEDAEMNKRNEEKKFGISGSHEDLSKFSLDKNQLAHNKQSSTRSSE DYHLNSFSNPPRQYQKIMKRLIKRYVLQAQIDKESDEVNEGELKEIKQDISSLRYELLEE KSQNTEDLAELIRKLGERLSLEPKLEESRR >ENSMUSP00000034463.3 pep:known chromosome:GRCm38:8:124722139:124734123:1 gene:ENSMUSG00000031982.4 transcript:ENSMUST00000034463.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arv1 description:ARV1 homolog, fatty acid homeostasis modulator [Source:MGI Symbol;Acc:MGI:1916115] MGTGGRRGTRSGKGTEGAAATSSSCLYRCIECNREAQELYRDYSHGVLKITICKSCQKPV DKYIEYDPVIILINAILCKTQAYRHILFNTKINIHGKLCMFCLLCEAYLRWWQLQDSSQS PAPDDVIRYAKEWDFYRMFVIASFEQAAFLTGIFAFLWVQQPMTAKRAPDFVLLLKALLL SSYGKLLLIPAVIWEHDYTPLCLRLIKVFVLTSNFQAVRVTLNTNRRLSLLVVLSGLLLE SIVVFFFQRMEWDVSSDCALYKSQDF >ENSMUSP00000020163.6 pep:known chromosome:GRCm38:10:92684746:92722420:-1 gene:ENSMUSG00000019988.6 transcript:ENSMUST00000020163.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nedd1 description:neural precursor cell expressed, developmentally down-regulated gene 1 [Source:MGI Symbol;Acc:MGI:97293] MQENLRFASSGDDVKIWDASFLTLVDKFNPHTSPHGISSICWSSNNNFLVTASSSGDKIV VSSCKCKPVPLLELAEGQKQTCVDLNSTSMYLASGGLNNTVNIWDLKSKRLHRSLKDHKC EVTCVAYNWNDCYIASGSLSGEIILHSVTTNTSSTPFGHGSKQPIRHIKYSLFRKSLLGS VSDNGVVTLWDVNSQSSYHTFDSTHKAPASGICFSPVNELLFVTIGLDKRIILYDTSSKK LVKTLVADTPLTAVDFMPDGATLAIGSSRGKIYQYDLRMLKSPVKTISAHKTSVQCIAFQ YSTSLTKASLSKGSSNKATAVNKRSVPVSSSSGAAQNSGIVREAPSPSIATVLPQPVTTA LGKGSGAAQDEAGLARSKSTDIFSKETDAGKSQDFSSFDDTGKNSLGDMFSPIRDDAVVS KGGDESIGKGDGLDFLPQLNSVFPLRKNAGASSSLVLHSSPLNVLMGSSGKEENESHEPS AESKRAYLGKQEPKDAMKQFTKLISSGAEPGILNTCPSSNQARNLEKFEKPEKDIEAQLI HEPSVNGSSTTVPKAASSVTAGVASSLSEKIVDTLGNSRPGAPLTSVQIRFIQNMIQETL DDFREACHRDIVNLQVEMIKQFHIQLNEMHSLLERYSVNEGLVAEIERLREENKRLRAHF >ENSMUSP00000023726.3 pep:known chromosome:GRCm38:15:98480400:98482683:-1 gene:ENSMUSG00000022991.4 transcript:ENSMUST00000023726.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lalba description:lactalbumin, alpha [Source:MGI Symbol;Acc:MGI:96742] MMHFVPLFLVCILSLPAFQATELTKCKVSHAIKDIDGYQGISLLEWACVLFHTSGYDTQA VVNDNGSTEYGLFQISDRFWCKSSEFPESENICGISCDKLLDDELDDDIACAKKILAIKG IDYWKAYKPMCSEKLEQWRCEKP >ENSMUSP00000025815.8 pep:known chromosome:GRCm38:19:24919916:24961616:-1 gene:ENSMUSG00000024878.8 transcript:ENSMUST00000025815.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cbwd1 description:COBW domain containing 1 [Source:MGI Symbol;Acc:MGI:2385089] MLPAVKTVEAEEEYAEDCPELVPIETKNQEEENLDFITKIPVTIVTGYLGAGKTTLLNYI LTEQHNRKIAVILNEFGEGSAVEKSLAVSQGGELYEEWLELRNGCLCCSVKDSGLRAIEN LMQKKGKFDYILLETTGLADPGAVASMFWVDAELGSDIYLDGIITVVDSKYGLKHLTEEK PDGLVNEATRQVALADMILINKTDLVSEEELNNLRTTIRSINGLGKVLETQRSRVHLSNI LDLHAYDILSGISLQKKLQHVSTAPHLDQSIVTVTFEVPGSAKEECLNVFIQNLLWEKNV KNKDGHCMEVIRLKGLVSIKDKPQQMIVQGIHELYDLEESLVNWKDDAERACQLVFIGRN LDKDVLQQLFLTAVAEAEEQRTAPGRDGVCPSH >ENSMUSP00000023147.6 pep:known chromosome:GRCm38:16:10488178:10527564:1 gene:ENSMUSG00000022504.9 transcript:ENSMUST00000023147.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ciita description:class II transactivator [Source:MGI Symbol;Acc:MGI:108445] MRCLVPGPSGSYLPELQDHSLCATMDLGSPEGSYLELLNSDADPLHLYHLYDQMDLAGEE EIELSSEPDTDTINCDQFSKLLQDMELDEETREAYANIAELDQYVFQDTQLEGLSKDLFI EHIGAEEGFGENIEIPVEAGQKPQKRRFPEEHAMDSKHRKLVPTSRTSLNYLDLPTGHIQ IFTTLPQGLWQISGAGTGLSSVLIYHGEMPQVNQVLPSSSLSIPSLPESPDRPGSTSPFT PSAADLPSMPEPALTSRVNETEDTSPSPCQEGPESSIKLPKWPEAVERFQHSLQDKYKAL PQSPRGPLVAVELVRARLERGSNKSQERELATPDWTERQLAHGGLAEVLQVVSDCRRPGE TQVVAVLGKAGQGKSHWARTVSHTWACGQLLQYDFVFYVPCHCLDRPGDTYHLRDLLCPP SLQPLAMDDEVLDYIVRQPDRVLLILDAFEELEAQDGLLHGPCGSLSPEPCSLRGLLAGI FQRKLLRGCTLLLTARPRGRLAQSLSKADAIFEVPSFSTKQAKTYMRHYFENSGTAGNQD KALGLLEGQPLLCSYSHSPVVCRAVCQLSKALLEQGTEAQLPCTLTGLYVSLLGPAAQNS PPGALVELAKLAWELGRRHQSTLQETRFSSVEVKTWAVTQGLMQQTLETTEAQLAFSSFL LQCFLGAVWLAQCNEIKDKELPQYLALTPRKKRPYDNWLEGVPRFLAGLVFQPRAHCLGA LVEPAVAAVADRKQKVLTRYLKRLKLGTLRAGRLLELLHCAHETQQPGIWEHVAHQLPGH LSFLGTRLTPPDVYVLGRALETASQDFSLDLRQTGVEPSGLGNLVGLSCVTSFRASLSDT MALWESLQQQGEAQLLQAAEEKFTIEPFKAKSPKDVEDLDRLVQTQRLRNPSEDAAKDLP AIRDLKKLEFALGPILGPQAFPTLAKILPAFSSLQHLDLDSLSENKIGDKGVSKLSATFP QLKALETLNLSQNNITDVGACKLAEALPALAKSLLRLSLYNNCICDKGAKSLAQVLPDMV SLRVMDVQFNKFTAAGAQQLASSLQKCPQVETLAMWTPTIPFGVQEHLQQLDARISLR >ENSMUSP00000063800.3 pep:known chromosome:GRCm38:10:118441047:118445892:1 gene:ENSMUSG00000055170.3 transcript:ENSMUST00000068592.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifng description:interferon gamma [Source:MGI Symbol;Acc:MGI:107656] MNATHCILALQLFLMAVSGCYCHGTVIESLESLNNYFNSSGIDVEEKSLFLDIWRNWQKD GDMKILQSQIISFYLRLFEVLKDNQAISNNISVIESHLITTFFSNSKAKKDAFMSIAKFE VNNPQVQRQAFNELIRVVHQLLPESSLRKRKRSRC >ENSMUSP00000096248.4 pep:known chromosome:GRCm38:9:62880077:62980879:-1 gene:ENSMUSG00000032405.9 transcript:ENSMUST00000098651.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pias1 description:protein inhibitor of activated STAT 1 [Source:MGI Symbol;Acc:MGI:1913125] MADSAELKQMVMSLRVSELQVLLGYAGRNKHGRKHELLTKALHLLKAGCSPAVQMKIKEL YRRRFPQKIMTPADLSIPNVHSSPMPPTLSPSTIPQLTYDGHPASSPLLPVSLLGPKHEL ELPHLTSALHPVHPDIKLQKLPFYDLLDELIKPTSLASDNSQRFRETCFAFALTPQQVQQ ISSSMDISGTKCDFTVQVQLRFCLSETSCPQEDHFPPNLCVKVNTKPCSLPGYLPPTKNG VEPKRPSRPINITSLVRLSTTVPNTIVVSWTAEIGRTYSMAVYLVKQLSSTVLLQRLRAK GIRNPDHSRALIKEKLTADPDSEIATTSLRVSLLCPLGKMRLTIPCRALTCSHLQCFDAT LYIQMNEKKPTWVCPVCDKKAPYEHLIIDGLFMEILKYCTDCDEIQFKEDGSWAPMRSKK EVQEVTASYNGVDGCLSSTLEHQVASHNQSSNKNKKVEVIDLTIDSSSDEEEEEPPAKRT CPSLSPTSPLSNKGILSLPHQASPVSRTPSLPAVDTSYINTSLIQDYRHPFHMTPMPYDL QGLDFFPFLSGDNQHYNTSLLAAAAAAVSDDQDLLHSSRFFPYTSSQMFLDQLSAGGSTS LPATNGSSSGSNSSLVSSNSLRESHGHGVASRSSADTASIFGIIPDIISLD >ENSMUSP00000019611.7 pep:known chromosome:GRCm38:10:127182521:127190054:-1 gene:ENSMUSG00000019467.13 transcript:ENSMUST00000019611.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef25 description:Rho guanine nucleotide exchange factor (GEF) 25 [Source:MGI Symbol;Acc:MGI:1277173] MKPPDRPTPGRTDRILGVMGGMLRACAVPGQEGPPERDPLGPGSTKTESDCIEEDQTGQR EPEVLAWAPQPESYSIAVSEGSMSASAVSGLAALSGPSSGLSSDPCSPIPPGPVTGLRRW LDHSKHCLSVETEAESGQTGQCENWTLEPTLTTGQELPELTLLTTLLEGPGDKAQPAEEE TLSQAPKNEEEQKKMALERSMFVLGELVETERTYVDDLGQIVEGYMATMATQGVPESLRG RDRIVFGNIQQIYEWHRDYFLQELQQCLKDPDWLAQLFIKHERRLHMYVVYCQNKPKSEH VLSEFGDSYFEELRQQLGHRLQLNDLLIKPVQRIMKYQLLLKDFLKYYRRAGKDTEELEQ AVEVMCFVPKRCNDMMSLGRLRGFEGKLTAQGKLLGQDTFLVTEPEAGGLLSSRGRERRV FLFEQIIIFSEALGGGGRGGAQPGYVYKNSIKVSCLGLEGNLQGNPCRFALTSRGPEGGI QRYVLQASDPAVSQAWIKQVAQILESQRDFLNALQSPIEYQRRESQTNSLGRPGGPWVGS PGRMRPGDLAQASMHTPINGSLPSLLLLPRGEVSRVLLPLDTQALSDTPQTPHDSPALPT VNTPPCQARLAKLDEDEL >ENSMUSP00000126339.1 pep:known chromosome:GRCm38:10:127182521:127189823:-1 gene:ENSMUSG00000019467.13 transcript:ENSMUST00000167353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef25 description:Rho guanine nucleotide exchange factor (GEF) 25 [Source:MGI Symbol;Acc:MGI:1277173] MEQSQGSINSVTRAICQVLVDAFRPPERDPLGPGSTKTESDCIEEDQTGQREPEVLAWAP QPESYSIAVSEGSMSASAVSGLAALSGPSSGLSSDPCSPIPPGPVTGLRRWLDHSKHCLS VETEAESGQTGQCENWTLEPTLTTGQELPELTLLTTLLEGPGDKAQPAEEETLSQAPKNE EEQKKMALERSMFVLGELVETERTYVDDLGQIVEGYMATMATQGVPESLRGRDRIVFGNI QQIYEWHRDYFLQELQQCLKDPDWLAQLFIKHERRLHMYVVYCQNKPKSEHVLSEFGDSY FEELRQQLGHRLQLNDLLIKPVQRIMKYQLLLKDFLKYYRRAGKDTEELEQAVEVMCFVP KRCNDMMSLGRLRGFEGKLTAQGKLLGQDTFLVTEPEAGGLLSSRGRERRVFLFEQIIIF SEALGGGGRGGAQPGYVYKNSIKVSCLGLEGNLQGNPCRFALTSRGPEGGIQRYVLQASD PAVSQAWIKQVAQILESQRDFLNALQSPIEYQRRESQTNSLGRPGGPWVGSPGRMRPGDL AQASMHTPINGSLPSLLLLPRGEVSRVLLPLDTQALSDTPQTPHDSPALPTVNTPPCQAR LAKLDEDEL >ENSMUSP00000104405.1 pep:known chromosome:GRCm38:8:125418063:125492710:-1 gene:ENSMUSG00000001995.8 transcript:ENSMUST00000108775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1l2 description:signal-induced proliferation-associated 1 like 2 [Source:MGI Symbol;Acc:MGI:2676970] MSDPRPSQAEKHKLGRAAAKLKDPSRTMQADDYFARKFKAINGSMGPATLNTSSSSEGGG GGGGPANGTPAVPKMGVRARVSEWPPKKDCSKDLACKTLWESRSQSSYESVTSIIQNGQN DQGDRQPEEQLDLDFVEAKYTIGDIFVHSPQRGLHPIRQRSNSDITISDIDTEDVLDQHA VNPNTGAALHREYGSTSSIDRQGLSGENVFAMLRGYRIESYDPKVTGSFGFPDFFPCDTA ISPSLHAAAQISRGEFVRISGLDYMDGGLLMGRDRDKPFKRRLKSESVETSLFRKLRAVK SEHETFKFTSDLEEGRLDRGIRPWSCQRCFAHYDVQSILFNINEAMATRASVGKRKNITT GASAASQTPVPVGPAGGCESPLGSKEDLNSKENPDADEGDGKSNDLVLSCPYFRNETGGE GDRRIALSRANSASFSSGESCSFESSLSSHCTNAGVSVLEVPRESQPIHREKVKRYIIEH VDLGAYYYRKFFYGKEHQNYFGIDENLGPVAVSIRREKVEDPREKEGSQFNYRVAFRTSE LTTLRGAILEDAVPSTARHGTARGLPLKEVLEYVIPELSIQCLRQAANSPKVPEQLLKLD EQGLSFQHKIGILYCRAGQSTEEEMYNNETAGPAFEEFLDLLGQRVRLKGFSKYRAQLDN KTDSTGTHSLYTTYKDFELMFHVSTLLPYMPNNRQQLLRKRHIGNDIVTIVFQEPGALPF TPKNIRSHFQHVFVIVKVHNPCTENVCYSVGVSRSKDVPPFGPPIPKGVTFPKSAVFRDF LLAKVINAENAAHKSEKFRAMATRTRQEYLKDLAENFVTTATVDTSAKFSFITLGAKKKE RVKPRKDAHLFSIGAIMWHVVARDFGQSADIECLLGISNEFIMLIEKDSKNVVFNCSCRD VIGWTSGLVSIKAFYERGECLLLSSVDNRSEDIREIVQRLLIVTRGCETVEMTLRRNGLG QLGFHVNFEGIVADVEPFGFAWKAGLRQGSRLVEICKVAVATLTHEQMIDLLRTSVTVKV VIIQPHEDGSPRRGCSELCRIPMVEYKLDSEGTPCEYKTPFRRNTTWHRVPTPALQPVSR ASPVPGTPDRLQCQPLLQQAQAAIPRSTSFDRKLPDGTRSSPSNQSSSSDPGPGGSGPWR PQVGYDGCPSPLLLEHQGPGSVECDGTGEQEDLLEGGRLPETKWHGPPSKVLSSYKERVL QKDGSCKESPNKLSHIGDKSCSSHSSSNTLSSNTSSNSDDKHFGSGDLMDPELLGLTYIK GASTDSGIDTTPCMPATILGPVHLTGSRSLMHSRAEQWADAADVSVADDDPAKMYALHGY ASAISSSAADGSMGDLSEVSSHSSGSQHSGSPSAHCSKSTGSLDSSKVYIVTHGGGQQAP GAVTKPYHRQGAANKYVIGWKKSEGSPPPEEPEVTECPRIYGEMDIMSTATQHPAVVGDS VSETQHVLSKDDFLKLMLPDSPLVEEGRRKFSFYGNVSPRRSLYRTLSDESVCSNRRGSS FASSRSSILEQALPNDILFSTTPPYHSTLPPRTHPAPSMGSLRNEFWFSDGSLSDKSKCA DPGLMPLPDTAAGLDWSHLVDAARAFEGLDSDEELGLLCHHASYLDQRVASFCTLTDLQH GQELEGAPELSLCVDPTSGKEFMDTPGERSPSTLTGKVNQLELILRQLQTDLRKEKQDKA VLQAEVQHLRQDNMRLQEESQTATAQLRKFTEWFFSTIDKKA >ENSMUSP00000136709.1 pep:known chromosome:GRCm38:12:88249666:88250100:-1 gene:ENSMUSG00000095799.1 transcript:ENSMUST00000179468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8332 description:predicted pseudogene 8332 [Source:MGI Symbol;Acc:MGI:3644018] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGRLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNKADVILKYNPDEARSLKAYGELPEHAKINEMDT FGPGDDDEIVFDDIGDEDEDIDDI >ENSMUSP00000052755.6 pep:known chromosome:GRCm38:12:80335847:80436601:-1 gene:ENSMUSG00000049106.6 transcript:ENSMUST00000054145.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf5 description:DDB1 and CUL4 associated factor 5 [Source:MGI Symbol;Acc:MGI:2444785] MKRRAGLGGSMRSVVGFLSQRGLHGDPLLTQDFQRRRLRGCRNLYKKDLLGHFGCVNAIE FSNNGGQWLVSGGDDRRVLLWHMEQAIHSRVKPIQLKGEHHSNIFCLAFNSGNTKVFSGG NDEQVILHDVESSETLDVFAHEDAVYGLSVSPVNDNIFASSSDDGRVLIWDIRESPHGEP FCLANYPSAFHSVMFNPVEPRLLATANSKEGVGLWDIRKPQSSLLRYGGNLSLQSAMSVR FNSNGTQLLALRRRLPPVLYDIHSRLPVFQFDNQGYFNSCTMKSCCFAGDRDQYILSGSD DFNLYMWKIPADPEAGGIGRVVNGAFMVLKGHRSIVNQVRFNPHTYMICSSGVEKIIKIW SPYKQPGCTGDLDGRIEDDSRCLYTHEEYISLVLNSGSGLSHDYANQSVQEDPRMMAFFD SLVRREIEGWSSDSDSDLSESTILQLHAGVSERSGYTDSESSASLPRSPPPTVDESADNA FHLGPLRVTTTNAVASTPATPTCEDASSRQQRLSALRRYQDKRLLALSNESDSEENVCEA ELDTDLFPRPRSPSPEDGSSSPSSSTSSEDEEELNQRRATTRQRNAMRRRQKTARDERPT GPAKSTNTYIGEDNYDYPQIKVDDLSPSPDSSPERSASTLDVQPSRAPPAANMESVERKI YKAYKWLRCSYISYSNNKDGETSLMAEEADEGRAGTSHKDNPTPSSSKEACLTATAQRDQ DLPSEGCSSDACKEGTSAGNPNSGAGHEHSSHPWAEVPEGTSQDTNNSGPLEHSFETKKL NGKALSKALSSRAEEPPASPGPKASGSTLNSASGNCPRTQSDDSEERSLDTVCANHNNGR LHPRPLHPHNNGQSSGELETVACSSPGHLDTDHDSPSLTGTFLHKDCCGSEMACETPSAG MREDPPDPSGLDSSKVVHGQSGLKRHRIELEDTESENSSSEKKLKT >ENSMUSP00000093293.3 pep:known chromosome:GRCm38:17:20570362:20571198:1 gene:ENSMUSG00000071273.3 transcript:ENSMUST00000095633.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5145 description:predicted pseudogene 5145 [Source:MGI Symbol;Acc:MGI:3645482] SHTMATMPVPETRSNDTIYINNLNEKIKKDELKKSLYAIFSQFGQILDILVSRSMKMRGQ AFVIFKEVMKPMQGFPFYKPMHIQYAKTDSDIIAKMKGTFEERDRKREKRKPKSQETPAA KKAVQGGAAAPMVGAVQPVLGMPPMPQAPRVMHHTLGQSPYMPPPDMIPPPGLAPGQILP GAMPPQQLMPGQMPPAQPLSENPPNHILFLTNLPEETNELMLSMLFNQFPGFKEVRLVRH DIAFVEFDNEVQAGAARDALQGFKITQNNAMKISLAKK >ENSMUSP00000010298.6 pep:known chromosome:GRCm38:8:123332713:123369515:1 gene:ENSMUSG00000010154.6 transcript:ENSMUST00000010298.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spire2 description:spire homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:2446256] MARAGGGGAAAPERAGGAARPEPWELSLEEVLKVYEQPINEEQAWAVCFQGCRGLRGEPG GVRRIRDTADILLRRDGSVGARLEPEPTTMVVPPASSEAQMVQSLGFAIYRALDWGLDEN EERELSPQLERLIDLMANSDCEDSSCGAADEGYVGPEEEEEAEGGPRAVRTFAQAMRLCA LRLTDPHGAQAHYQAVCRALFVETLELRAFLARVREAKEMLKKLGEEEPREKPLAELDHL GHTDWARLWVQLMRELRHGVKLKKVQEKEFNPLPTEFQLTPFEMLMQDIRARNYKLRKVM VDGDIPPRVKKDAHELILDFIRSRPPLKQVSERQLRPVPQKQRTLHEKILEEIKQERRLR PVGAQHLGGRGFGSLPCILNACSGDIKSTSCINLSVTDTGSGSQRPRPRVLLKAPTLAEM EEMNTSEEEESPCGEVALKRDRSFSEHDLAQLRSEMASGLQSAAQPPGGTEPPRARAGSM HSWRPSSRDQGFCPVSGQSQPLPSSALPSSLSSVDGPEAASPDTRHLWLEFSHPVESLAL TVEEVVDVRRVLVKAEMERFLQDKELFSSLKRGKICCCCRAKFPLFSWPPTCLFCKRAVC TSCSVKMKMPSKKYGHIPVYTLGFESLQRVPTTKATPTLRRDAFQSLQGPKWRSVEEEFP HIYAHGCVLKDVCSDCTSFVADVVCSSRKSVDVLNATPRRSRQTQSLYIPNTRTLNFQ >ENSMUSP00000126892.2 pep:known chromosome:GRCm38:13:97760130:97760588:-1 gene:ENSMUSG00000069117.3 transcript:ENSMUST00000074072.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10260 description:predicted gene 10260 [Source:MGI Symbol;Acc:MGI:3642298] MSLVIPEKFQHILRVLNTNIDGRRKIAFAITAIKGVGRRYAHVVLRKADIDLTKRAGELT EDEVERVITIMQNPRQYKIPDWFLNRQKDVKDGKYSQVLANGLDNKLREDLERLKKIRAH RGLHHFWGLRVRGQHTKTTGRRGQTVGVSKKK >ENSMUSP00000094062.3 pep:known chromosome:GRCm38:19:4139799:4145738:1 gene:ENSMUSG00000044724.8 transcript:ENSMUST00000096338.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr152 description:G protein-coupled receptor 152 [Source:MGI Symbol;Acc:MGI:2685519] MDTAVEANLGAAGHGPRTELSDEDYYPQGSWDTVFLVALLLLGLPANGLMAWLAGSQARH GAGTRLALLLLSLALSDFLFLAAATFQILEIQHGGHWPLGTAACRFYYFLWGVSYSSGLF LLTALSLDRCLLALCPRWYPGHRPARLPLWVCAGVWVLATLFSVPWLVFPEAAVWWYDLV ICLDFWDTEELPLRMLEILGGFLPFLLLLVCHVLTQATACRTCCGHQPRRMACHGFARVA KTILSAYVVLRLPYQLAQLLYLAFLWDVYPGYLLWEALVYSDYLILLNSCLSPFLCLAAS ADLRALLRTVLSSFAAAVCEERPGSFIPAEPQTLPGPTSEGQSRLDSVVQPQVNPSVQLQ SDSVVQPEVSPSAQPQSDSVAQPTVGSLIQPPLDTVVQLEVNPLTQPQLDPMAQPQVNPS AQPQSKSVVQPQVDPLTQPQLDPVAQPQSNTETPIPAFGDESASNPGEENSSGPCPDPTP GTPENLDRPAVPQEKSPSNVPPEEAPSAGPT >ENSMUSP00000061176.7 pep:known chromosome:GRCm38:8:33428709:33429548:1 gene:ENSMUSG00000048544.8 transcript:ENSMUST00000059351.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5930422O12Rik description:RIKEN cDNA 5930422O12 gene [Source:MGI Symbol;Acc:MGI:2442538] MKLWVQSSELWGKKSLPHSLVLFSLSPLPASGNHQSIFYQMSLRLNKCTFSTTLFKQIHV MYIFAQFLLLTVIILRFSHIIMCKNETISHSFIFMSFHHMGALELFVLFLCRWVPRVVSS LWH >ENSMUSP00000026410.1 pep:known chromosome:GRCm38:10:128805676:128819446:1 gene:ENSMUSG00000025354.4 transcript:ENSMUST00000026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc14 description:DnaJ heat shock protein family (Hsp40) member C14 [Source:MGI Symbol;Acc:MGI:1921580] MAQKHPGERRLCGAHRSGGTSLSTSGSSVDPEILSFSGLRDSAETAPNGTRCLKEHSGPK YTQPPNPAHWSDPSHGPPRGPGPPRGGGYPDESETGSEESGVDQELSRENETGYQEDGSP SFLSIPSACNCQGSPGVPEGTYSEEGDGSSSSLCHHCTSPALGEDEELEEEYDDEEPLKF PSDFSRVSSGKKPLSRRQKHRFLIKEDVRDSGRREPKAPGRHRLARKRSQTDKRRGLGLW GVEELCQLGQAGFWWLIELLVLVGEYVETCGHLIYACRKLKGSDLDLFRVWVGVWARRLG GWARMMFQFLSQSFFCVVGLLIRILRVVGAFLLLALALFLGCLQLGWRFSVGLGNRLGWR DKTAWLFSWLGSPALHHCLTLLKDSRPWQQLVRLIQWGWQELPWVKQRTKKQGNAPVASG RYCQPEEEVTRLLTMAGVPEDELNPFHVLGVEATASDTELKKAYRQLAVMVHPDKNHHPR AEEAFKILRAAWDIVSNPERRKEYEMKRMAENELSRSVNEFLSKLQDDLKEAMNTMMCSR CQGKHRRFEMDREPKSARYCAECNRLHPAEEGDFWAESSMLGLKITYFALMDGKVYDITE WAGCQRVGISPDTHRVPYHISFGSRVPGTSGRQRATPESPPADLQDFLSRIFQVPPGPMS NGNFFAAPHPGPGTTSTSRPNSSVPKGEAKPKRRKKVRRPFQR >ENSMUSP00000092253.3 pep:known chromosome:GRCm38:4:131921771:131923140:1 gene:ENSMUSG00000070720.3 transcript:ENSMUST00000094666.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem200b description:transmembrane protein 200B [Source:MGI Symbol;Acc:MGI:3646343] MTAGSPGDGGARRSPEGRVSRLGRRLGRRRRPRSPPEPLRVRARLRLRSPSGAFAALGAL VVLVGMGIAVAGYWPGRASHTHAPRTGRAHGPHERLRLLGPVIMGVGLFVFICANTLLYE NRDLETRRLRRGMLRAQALRPPDGPGWDALLPSPAHGSPGAVAEPETWDLAPRRGPSPVP SVRSLRSEPANPRSGLPALLHSYPLKGPGLPPPWGPRTQTGHVIITVQPSGSCIEHSKSL DLGLGELLLGAPATRDCAHRSWPRLDRLSLGGYAKLGGDLGARV >ENSMUSP00000050191.5 pep:known chromosome:GRCm38:13:58176156:58215653:-1 gene:ENSMUSG00000005312.14 transcript:ENSMUST00000058735.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubqln1 description:ubiquilin 1 [Source:MGI Symbol;Acc:MGI:1860276] MAESAESGGPPGAQDSAADGGPAEPKIMKVTVKTPKEKEEFAVPENSSVQQFKEEISKRF KSHIDQLVLIFAGKILKDQDTLSQHGIHDGLTVHLVIKTQNRPQDNSAQQTNAPGSTVTS SPAPDSNPTSGSAANSSFGVGGLGGLAGLSSLGLNTTNFSELQSQMQRQLLSNPEMMVQI MENPFVQSMLSNPDLMRQLIMANPQMQQLIQRNPEISHMLNNPDIMRQTLELARNPAMMQ EMMRNQDRALSNLESIPGGYNALRRMYTDIQEPMLNAAQEQFGGNPFASLVSSSSSAEGT QPSRTENRDPLPNPWAPQTSQSSPASGTTGSTTNTMSTSGGTATSTPAGQSTSGPSLVPG AGASMFNTPGMQSLLQQITENPQLMQNMLSAPYMRSMLQSLSQNPDLAAQMMLNNPLFAG NPQLQEQMRQQLPTFLQQMQNPDTLSAMSNPRAMQALLQIQQGLQTLATEAPGLIPGFTP GLAAGNSGGSSGTNAPSTAPSEDTNPQGGTAEPGHQQFIQQMLQALAGVNPQLQSPEVRF QQQLEQLSAMGFLNREANLQALIATGGDINAAIERLLGSQPS >ENSMUSP00000075782.6 pep:known chromosome:GRCm38:13:58176156:58215653:-1 gene:ENSMUSG00000005312.14 transcript:ENSMUST00000076454.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubqln1 description:ubiquilin 1 [Source:MGI Symbol;Acc:MGI:1860276] MAESAESGGPPGAQDSAADGGPAEPKIMKVTVKTPKEKEEFAVPENSSVQQFKEEISKRF KSHIDQLVLIFAGKILKDQDTLSQHGIHDGLTVHLVIKTQNRPQDNSAQQTNAPGSTVTS SPAPDSNPTSGSAANSSFGVGGLGGLAGLSSLGLNTTNFSELQSQMQRQLLSNPEMMVQI MENPFVQSMLSNPDLMRQLIMANPQMQQLIQRNPEISHMLNNPDIMRQTLELARNPAMMQ EMMRNQDRALSNLESIPGGYNALRRMYTDIQEPMLNAAQEQFGGNPFASLVSSSSSAEGT QPSRTENRDPLPNPWAPQTSQSSPASGTTGSTTNTMSTSGGTATSTPAGQSTSGPSLVPG AGASMFNTPGMQSLLQQITENPQLMQNMLSAPYMRSMLQSLSQNPDLAAQMQNPDTLSAM SNPRAMQALLQIQQGLQTLATEAPGLIPGFTPGLAAGNSGGSSGTNAPSTAPSEDTNPQG GTAEPGHQQFIQQMLQALAGVNPQLQSPEVRFQQQLEQLSAMGFLNREANLQALIATGGD INAAIERLLGSQPS >ENSMUSP00000021685.6 pep:known chromosome:GRCm38:12:108306270:108328300:1 gene:ENSMUSG00000021260.7 transcript:ENSMUST00000021685.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hhipl1 description:hedgehog interacting protein-like 1 [Source:MGI Symbol;Acc:MGI:1919265] MAGRRAVARIGPGALLVLRALLAAAHPQCLDFRPPFRPPQPLSFCAQYSAFGCCTAEQDA ALARRFRVLETRMDAGVWATCAGYALDLLCQECSPYAAHLYDAEDPATPLRTVPGLCEDY CLDMWQTCRGLFRLLSPDRELWALESNRAKLCRYLSLDDTDYCFPSLLVNENLNSNLGRV VADAKGCLQLCLEEVANGLRNPVAMVHAGDGSHRFFVAEQVGLVWTYLPDRSRLEKPFLN VSQAVLTSPWEGDERGFLGLAFHPRFPHPSKLYVYYSVGVGFREWIRISEFRVSEGDENT VDHGSERIILEIEEPASNHNGGQLLFGDDGFLYIFTGDGGMAGDPFGKFGNAQNKSALLG KVLRIDVDRKERGLHYGIPPDNPFVDDPGARPEVYALGVRNMWRCSFDRGDPMSGTGRGR LFCGDVGQNKYEEVDLVERGRNYGWRAREGFECYDRKLCANTSLDDVLPIFAYPHKLGKS VTGGYVYRGCEYPNLNGLYIFGDFMSGRLMSLRENPETGQWKYSEVCMGRGQTCAFPGLI NNYYPYIISFAEDEAGELYFMSTGVPSATAAHGVIYKVIDPSRRAPPGKCKIRPAQVKVR SHLIPFVPKEKFIRTPESTPRPTARAPTKAPRRRRPTAAPPAPTPRPTKPARPTRRPGAR KGGGRRRGRPSTAVPEPENGSVRLVRPAGLSPGRGRVEVFIGGRWGTVCDDAWDTKAAAV VCRQLGFAHAVRAAKRAEFGEGRALRILLDDVRCAGSERNLLECAHAGVGTHNCKHDEDA GVECSHQDPNL >ENSMUSP00000058156.5 pep:known chromosome:GRCm38:8:124740257:124751809:-1 gene:ENSMUSG00000043068.5 transcript:ENSMUST00000055257.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam89a description:family with sequence similarity 89, member A [Source:MGI Symbol;Acc:MGI:1916877] MSGTGSAGMARGLRVDGLPPLPKSLSGLLHSAAGGAAGGWRHLERLYAQKSRIQDELNRG GAGGGGARAAGMRTKPPNLDAALALLRKEMVGLRQLDMSLLCQLYSLYESIQEYKGACQA ASSLDCTYALENGFFDDEEDFQEQGSLQDGQHHGSPRDQSPLTHLSSSDWILESI >ENSMUSP00000126169.1 pep:known chromosome:GRCm38:17:3532554:3533213:1 gene:ENSMUSG00000091530.1 transcript:ENSMUST00000168560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn20 description:claudin 20 [Source:MGI Symbol;Acc:MGI:3646757] MASAGLQLLAFILAVSGVSGVLAATLLPNWKVNAYAGPNIVTAVVQVQGLWVDCTWYSTG MFSCTLKYSILSLPVHVQTARATMVLACVLSAWGICTSIAGMNCTHLGGDTHTKSKISFA GGVCFITAGISGLIPTVWYTKEIIANFLDLTIPESHKYEPGGALYIGFISAMLLLISGVI FCTSYIQKNQEPWIYPPKQKLSTTWQPKNRRAHNLKDYM >ENSMUSP00000082011.3 pep:known chromosome:GRCm38:12:111678105:111683902:1 gene:ENSMUSG00000060950.11 transcript:ENSMUST00000084947.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt61a description:tRNA methyltransferase 61A [Source:MGI Symbol;Acc:MGI:2443487] MSFVAYEELIKEGDTAILSLGHGSMVAVRVQRGAQTQTRHGVLRHSVDLIGRPFGSKVIC SRGGWVYVLHPTPELWTVNLPHRTQILYSTDIALITMMLELRPGSVVCESGTGSGSVSHA IIRSVAPTGHLHTVEFHQQRADKAREEFQEHRLSQWVTVHTQDVCCSGFGVVHVADAVFL DIPSPWEAVGHAWDALKVEGGRFCSFSPCIEQVQRTCQALAAHGFTELSTLEVLPQVYNV RTVSLPLPDLGANNLETNMGSDASPFRSGTPMKETVGHTGYLTFATKTPG >ENSMUSP00000133128.1 pep:known chromosome:GRCm38:12:111678105:111683902:1 gene:ENSMUSG00000060950.11 transcript:ENSMUST00000168338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt61a description:tRNA methyltransferase 61A [Source:MGI Symbol;Acc:MGI:2443487] MSFVAYEELIKEGDTAILSLGHGSMVAVRVQRGAQTQTRHGVLRHSVDLIGRPFGSKVIC SRGGWVYVLHPTPELWTVNLPHRTQILYSTDIALITMMLELRPGSVVCESGTGSGSVSHA IIRSVAPTGHLHTVEFHQQRADKAREEFQEHRLSQWVTVHTQDVCCSGFGVVHVADAVFL DIPSPWEAVGHAWDALKVEGGRFCSFSPCIEQVQRTCQALAAHGFTELSTLEVLPQVYNV RTVSLPLPDLGANNLETNMGSDASPFRSGTPMKETVGHTGYLTFATKTPG >ENSMUSP00000053595.7 pep:known chromosome:GRCm38:17:87745837:87797994:-1 gene:ENSMUSG00000050138.7 transcript:ENSMUST00000055221.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk12 description:potassium channel, subfamily K, member 12 [Source:MGI Symbol;Acc:MGI:2684043] MSSRSPRPPPRRCRRRLPRPSCCCCCCRRSHLNEDTGRFVLLAALIGLYLVAGATVFSAL ESPGEAEARARWGATLRNFSAAHGVAEPELRAFLRHYEAALAAGVRADALRPRWDFPGAF YFVGTVVSTIGFGMTTPATVGGKAFLIAYGLFGCAGTILFFNLFLERIISLLAFIMRACR ERQLRRSGLLPATFRRGSALSEADSLAGWKPSVYHVLLILGLFAVLLACCASAMYTSVEG WDYVDSLYFCFVTFSTIGFGDLVSSQHAAYRNQGLYRLGNFLFILLGVCCIYSLFNVISI LIKQVLNWMLRKLSCRCCTRCCPAPGAPLARRNAITPGSRLRRRLAALGADPATRDSDAE GRRLSGELISMRDLTASNKVSLALLQKQLSETANGYPRSVCVNTRQNGFSGGVGALGIMN NRLAETSASR >ENSMUSP00000137753.1 pep:known chromosome:GRCm38:12:69197211:69199868:1 gene:ENSMUSG00000097061.1 transcript:ENSMUST00000181850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9330151L19Rik description:RIKEN cDNA 9330151L19 gene [Source:MGI Symbol;Acc:MGI:3041168] ARVGQRHRQRDHQLPPRLVVLCLAAAVVHRVRQREPQPVVGVSRVQAQQLPLHLQLRPLD RAQQRQLDGDHQLVRHGARGRVARAAVILEVYVVALHHGAARLRGLWALHGLGSGGRGRA GSVRRRCRGVAVWIWVLAFLRSSLTPNCCSTASRAVASSISRKRSWLRASASASGWKTTS >ENSMUSP00000137219.1 pep:known chromosome:GRCm38:13:49899119:49904597:-1 gene:ENSMUSG00000093976.1 transcript:ENSMUST00000179367.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8674 description:predicted gene 8674 [Source:MGI Symbol;Acc:MGI:3645762] MGKFLALMNSIIDSWMGPSSMDIAMDIGIAFMCGAGLFFLLQRFLKDCPVSPPPERERDI PKDVKRGPSKTRKKTVTVKGGRGGRKHVEETQTPSQPMKMVLEGLIHKEFSQISWGTSSM FSNSVVATAHVLRTSSLAEHKTMRFRDTCGPAHTLSEAQGPPQLSQDLPLPLEIVTQCLE NETWFHEMEMVPSSTPNQMPPCFKSRTCGIACPTIERGTQTSLPTENQPWPHGLYWKDTN GYDIQKLDTDISIPTGNFSKGTMHRKATMPASILSEHYQMVQNNEEPQNEDNTTNGGEQQ GTPSRFLLSQKLTQLQECFPENDNHYCKNKTQLSQPAHPSIFNFKICKCNKMMGSVPLGI SVKKDIATCDIHNSIKKGLGPKGLDLPCTSNSSPGKVLKPRKSALKTDKLSHVNPDEHCS CLDSNTERKLTSSIMKLPMKRRKRPYLQILDATDFTPPGVPASNLHQFVFPSSPIYDSKA AMVLENLHHQDPGGTRVESLSDARLESAVSINSLAEVQETQRTPSPAASHRVSETHIDQC QRCLSVPQPAICFQVKPPESKNVPATGTGSLQPSSGTQMAKLPLQKRFQNIESGHCWLGP VIDPEDRVPPLAAKPNNTLEVKEEPTPSWRLSLGSSNTHNDQAISISPREFGSLETKRRP CHLQTPTPQHSQYSGLIPQAYSKFDLISKEQPQPWLVRHDPDGPSTLHIAKAILPSQHSL PIFQTRCQNPKTSQGLCNVFRRRHERVYTQENSVPKDEIKVENVKGVDPREEREGTLRSR AIGQRERLERLRHSIRSYTQLKAAEKTWITEKGEATSQNPWKNITRNALQERNLSTEHTR QGESLKNDQPPLPAEHTKKVPTRKSKIYSMIAELHSLVDILFQILENTGGDPSKFQGCEV ESLTSHLRGSSQSCESLYDTNYSRPASRRICGHASPEMHNYPFTYKGIGDKLQSGTEYQR ACDQHVNQEKRGMCFDQLHMPTGKEIRCGHIRIGPMQEPAPADQRASGSGRPYQNRSRSR WLRPSMPSHTRIF >ENSMUSP00000127952.1 pep:known chromosome:GRCm38:8:13339674:13377702:1 gene:ENSMUSG00000038482.10 transcript:ENSMUST00000170909.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfdp1 description:transcription factor Dp 1 [Source:MGI Symbol;Acc:MGI:101934] MAKDASLIEANGELKVFIDQNLSPGKGVVSLVAVHPSTVNTLGKQLLPKTFGQSNVNITQ QVVIGTPQRPAASNTIVVGSPHTPNTHFVSQNQTSDSSPWSAGKRNRKGEKNGKGLRHFS MKVCEKVQRKGTTSYNEVADELVAEFSAADNHILPNESAYDQKNIRRRVYDALNVLMAMN IISKEKKEIKWIGLPTNSAQECQNLEVERQRRLERIKQKQSQLQELILQQIAFKNLVQRN RQAEQQARRPPPPNSVIHLPFIIVNTSRKTVIDCSISNDKFEYLFNFDNTFEIHDDIEVL KRMGMACGLESGNCSAEDLKVARSLVPKALEPYVTEMAQGSIGGVFVTTTGSTSNGTRLS ASDLSNGADGMLATSSNGSQYSGSRVETPVSYVGEDDDDDDDFNENDEED >ENSMUSP00000070382.7 pep:known chromosome:GRCm38:12:64965742:65022573:1 gene:ENSMUSG00000035614.10 transcript:ENSMUST00000066296.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam179b description:family with sequence similarity 179, member B [Source:MGI Symbol;Acc:MGI:2684313] MAAAPSELLPLPPPATPGSYRLLSRCRPYAPGTDGRRSGGTMRGEKNYYCRGAAGDHGSC PATPSPLASTLLLPAEAVSTSWSGPGSGLSGGDEEETRLLQLLRTAPDPSEAFQALQAAL PRRGGRLGFPRRKEALYRALGRVLVEGGSEEKRLCLQLLSDVLRGQGEAGQLEEAFSLAL LPQLVVSLREDNPALRKDALQILHICLRRSSGQVLRTLIQGLESPDARLRASTALLLPIL FTPEDLLQGLDLTEVIISLARKLGDQEMEEESETAFSSLQQIGERLGQERFHSYISRLPS ALRRHYNRRLESQYGSQVPYYLELEASGFSEDAAPCVVNLSSSNLKFEIIPQELHARLLD QEDYKNRTQAVEELKQLLGKFNPSSTPHASLVGFISLLYNLLDDSNFKVVHGTLQVLHLL VIRLGEQVQQFLGPVIAASVKVLADNKLVIKQEYMKIFLKLMKEVGPQRVLSLLLENLKH KHSRVREEVVNICICSLLTYPSEDFDLPKLSFDLAPALVDSKRRVRQAALEAFAVLASSM GSGKTNVLFKAVDTVELQDNGDGVMNAVQARLARKTLPRLTEQGFVEYAILMPSSAQGRS SHLAHGADTDWLMSGNRTQSAHCYCGDHTRDSMQLYGSYSPTICTRRVLSAGKGKNKLPW ENEQPGVMGENQTSNSKDIKDTEQFSAHDLIPSPKLKPSQGMPASDDLCFSKKRSSRNLF QSSRDFNSESVPTCGAGNTADLQTNLPGKCGQLGLSQIGCRTGSVGSDLQFLGTANGHQD KVYASIDFGSKTQQTFGSQSERTSSYSGSNASPGSFILPSYPLASPRTSPKHTSPLSVAP KKSQDNSISFSNSWPLKSFEGLSKPSPQKKLANQKSSDPTGENFQEKTTAVQLTPALVRS PSSRRGLNGTKPVPPIPRGINLLPDKADLSTMGHMKKQPDDIWKSEKDNLTIDLSELNFR DKDLDQEEMHSSLRSLRNSAAKKRAKLSGSSSTSDVDSPDSAMKLELTIDSPSRASSPNI SSYSESGVYSQESLTSSLSTTPQGKRIMSDIFPTFGSKPCSTRLSSAKKTSHAAEQSPSA GFTRSSNLQQISSFDFTSTNTLSEDSVVIVGKGVFGNPNSAPTTCSQPVISSVESEDTFP VKPSIEPPSGVYGRAVQHNAPLYPEVENDKDTKVSIAKSTYEKMRQKRKEEKELLDAKDC ERKETNPWERIKHLGSEKMTSENEPSSGVIPQYKERMSSVTHSPEIMDSLELRPFSKPDI ALTEALRLLADEDWEKKMEGLNFVRCLAAFHSDLLNTKLHETTFAVVQEVKNLRSGVSRA AVVCLGDLFTYLKKSMDQELDSAVRALLHKAGESNTFIREDVDKALKAMVNNVTPARAVT SLINGGQSHLHIAVRRCTAQHLADVVECMDPERISSGTKDMADRLLPAAAKFAQDSSQET RYYGRKMLFLMMGHPNFEKLLEKYIPSKDLPYIKESVKNLRLKGLGEIPLDTASAKGRRS HPGSVGNTRSSSVSRDAFSSSEREVTEVREVPRKSAPRNSLESAEYIKVITGLLNAKDFR DRINGIKQLLSDTENNQELVVGNIVKIFDAFKSRLHDSNSKVNLVALETMHKMIPLLRDN LSPIINMLIPAIVDNNLNSKNPGIYAAATNVVHALSQHVDNYLLLQPFCTKAQFLNGKAK QDMTEKLADIVTELYQRKPHATEQKVLVVLWHLLGNMTHSGSLPGAGGNIRTATAKLSKA LFTQMGQNLLNQAASQPPHIKKSLEELLDVTVLSEL >ENSMUSP00000021891.3 pep:known chromosome:GRCm38:13:61246747:61255348:-1 gene:ENSMUSG00000057446.2 transcript:ENSMUST00000021891.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cts8 description:cathepsin 8 [Source:MGI Symbol;Acc:MGI:1860275] MGPAVLLAILCLGVAEVTQSSDPSLDSEWQEWKRKFNKNYSMEEEGQKRAVWEENMKLVK QHNIEYDQGKKNFTMDVNAFGDMTGEEYRKMLTDIPVPNFRKKKSIHQPIAGYLPKFVDW RKRGCVTPVKNQGTCNSCWAFSAAGAIEGQMFRKTGKLVPLSTQNLVDCSRLEGNFGCFK GSTFLALKYVWKNRGLEAESTYPYKGTDGHCRYHPERSAARITSFSFVSNSEKDLMRAVA TIGPISVGIDARHKSFRLYREGIYYEPKCSSNIINHSVLVVGYGYEGKESDGNKYWLIKN SHGEQWGMNGYMKLARGRNNHCGIASYAVYPRV >ENSMUSP00000021494.4 pep:known chromosome:GRCm38:12:72101292:72185029:-1 gene:ENSMUSG00000021086.4 transcript:ENSMUST00000021494.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc175 description:coiled-coil domain containing 175 [Source:MGI Symbol;Acc:MGI:1921186] MAIRSWTPEGFVNKKLVRPASVSTNLSLELCTFPTTLGSSVAANALEQLFVVEKSLQGDY FTCSEEVKTFLKDITVAVKKLEEMRKNTVELLEIESMELSRLYFLLETVPNSIHRELEEC IADARKLNIIEISQIKRKIETMNNEVKFLTNKISELKSMNEVLGAKQAELAKRHEQYVLL LNQTLEEKAAATIYINDTYTRMNFEREEIELQKQCMQETTQLIEKQKQDYLEKKEYLAIR IKETKQSCDDKRKETYYKKKELTRLQNKIIKMKQTVTSGSVMISDQSIEINILHEAITIW KKKVEDMRRLCESLEEKLSFFVTQKQNLDTTSTEKKNAFVNKIQKLGEKIYKLNTENEDL REKLSTLLKQYKATLKEEEAVSLKKQMLSEEHQKQMMVITQKEAFLSQREHDIKFMENGF GVLNDLNRASREAYGRQIEVMAKNRQREIQRCVINQWRIFCTRKRHAHWLQKIKLSLKKI IIQIEIVEQKRFQLLEETKHRKKEINHFVHLIETLKEQLAQDKKDYVKKEERLIEELGTY ETLILNEIQINKVKEEELGETLPQLQVAEEDFREKNRMLRSLHSDVSAKKQDEKTMSNTI FRYRKDIIRCTDGTENMKREIKHLRDLESEKTHKHFEILKNLENEIYVNDQKMALLILEN QKLREYLAYLKKEINEYASKQVVTVQHSGDLSWQLIVQHSHYSDLLSGFQIIIKELVGTG EDTMQEIKSLVAKLQYRDEKIESISTWLVGGFERLRRLMEEDSPASLSKEDLQKLGKKQK NQEILRFSPSSHARRLTLSRICKMLKKQSRSRKKKHRPRTII >ENSMUSP00000039758.5 pep:known chromosome:GRCm38:7:141061274:141072119:1 gene:ENSMUSG00000055629.4 transcript:ENSMUST00000048002.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galnt4 description:beta-1,4-N-acetyl-galactosaminyl transferase 4 [Source:MGI Symbol;Acc:MGI:2652891] MPWFPVKKVRKQMKLLLLLLLLTCAAWLTYVHRSLVRPGRALRQRLGYGRDGEKLTGVTD SRGVRVPSSTQRSEDSSESHEEEQAPEGRGPNMLFPGGPRKPPPLNLTHQTPPWREEFKG QVNLHVFEDWCGGAVGHLRRNLHFPLFPHTRTTVTKLAVSPKWKNYGLRIFGFIHPARDG DIQFSVASDDNSEFWLSLDESPAAAQLVAFVGKTGSEWTAPGEFTKFSSQVSKPRRLMAS RRYYFELLHKQDDKGSDHVEVGWRAFLPGLKFEIIDSAHISLYTDESSLKMDHVAHVPQS PASHIGGFPPQGEPSADMLHPDPRDTFFLTPRMEPLSLENVLEPCAYAPTYILKDFPIAR YQGLQFVYLSFIYPNDHTRLTHMETDNKCFYRESPLYLERFGFYKYMKMDKEEGEEDEEE EVQRRAFLFLNPDDFLDEEDEQDLLDSLEPTDASVQQSHRTPTPAASTGTTASPTPPTTS PLDEQTLRHSRALNWAPRPLPLFLGRAPPPRTVEKSPSKVYVTRVRPGQRASPRALRDSP WPPFPGVFLRPKPLPRVQLRVPPHPPRTQGYRTSGPKVTELKPPVRAQTSQGGREGQLHG QGLMVPTVDLNSSVETQPVTSFLSLSQVSRPQLPGEGEEGEEDGAPGDEATSEDSEEEEE PAAGRPLGRWREDAINWQRTFSVGAMDFELLRSDWNDLRCNVSGNLQLPEAEAVDVVAQY MERLNAKHGGRFSLLRIVNVEKRRDSARGSRFLLELELQERGGSRQRLSEYVFLRLPGAR VGDEDGESPEPPPAASIHPDSRPELCRPLHLAWRQDVMVHFIVPVKNQARWVVQFLADMT ALHVHTGDSYFNIILVDFESEDMDVERALRAAQLPRYQYLKRTGNFERSAGLQTGVDAVE DPSSIVFLCDLHIHFPPNILDSIRKHCVEGKLAFAPVVMRLGCGSSPWDPHGYWEVNGFG LFGIYKSDFDRVGGMNTEEFRDQWGGEDWELLDRVLQAGLEVERLRLRHFYHHYHSKRGM WATRSRKGARAQRS >ENSMUSP00000078705.3 pep:known chromosome:GRCm38:15:54250619:54278484:-1 gene:ENSMUSG00000063727.3 transcript:ENSMUST00000079772.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf11b description:tumor necrosis factor receptor superfamily, member 11b (osteoprotegerin) [Source:MGI Symbol;Acc:MGI:109587] MNKWLCCALLVLLDIIEWTTQETLPPKYLHYDPETGHQLLCDKCAPGTYLKQHCTVRRKT LCVPCPDHSYTDSWHTSDECVYCSPVCKELQSVKQECNRTHNRVCECEEGRYLEIEFCLK HRSCPPGSGVVQAGTPERNTVCKKCPDGFFSGETSSKAPCIKHTNCSTFGLLLIQKGNAT HDNVCSGNREATQKCGIDVTLCEEAFFRFAVPTKIIPNWLSVLVDSLPGTKVNAESVERI KRRHSSQEQTFQLLKLWKHQNRDQEMVKKIIQDIDLCESSVQRHLGHSNLTTEQLLALME SLPGKKISPEEIERTRKTCKSSEQLLKLLSLWRIKNGDQDTLKGLMYALKHLKTSHFPKT VTHSLRKTMRFLHSFTMYRLYQKLFLEMIGNQVQSVKISCL >ENSMUSP00000033264.4 pep:known chromosome:GRCm38:7:102557922:102565469:-1 gene:ENSMUSG00000030966.12 transcript:ENSMUST00000033264.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim21 description:tripartite motif-containing 21 [Source:MGI Symbol;Acc:MGI:106657] MSLEKMWEEVTCSICLDPMVEPMSIECGHCFCKECIFEVGKNGGSSCPECRQQFLLRNLR PNRHIANMVENLKQIAQNTKKSTQETHCMKHGEKLHLFCEEDGQALCWVCAQSGKHRDHT RVPIEEAAKVYQEKIHVALEKLRKGKELAEKMEMDLTMQRTDWKRNIDTQKSRIHAEFAL QNSLLAQEEQRQLQRLEKDQREYLRLLGKKEAELAEKNQALQELISELERRIRGSELELL QEVRIILERSGSWNLDTLDIDAPDLTSTCPVPGRKKMLRTCWVHITLDRNTANSWLIISK DRRQVRMGDTHQNVSDNEERFSNYPMVLGAQRFSSGKMYWEVDVTQKEAWDLGVCRDSVQ RKGQFSLSPENGFWTIWLWQDSYEAGTSPQTTLHIQVPPCQIGIFVDYEAGVVSFYNITD HGSLIYTFSECVFAGPLRPFFNVGFNYSGGNAAPLKLCPLKM >ENSMUSP00000102526.1 pep:known chromosome:GRCm38:7:102557922:102565469:-1 gene:ENSMUSG00000030966.12 transcript:ENSMUST00000106913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim21 description:tripartite motif-containing 21 [Source:MGI Symbol;Acc:MGI:106657] MSLEKMWEEVTCSICLDPMVEPMSIECGHCFCKECIFEVGKNGGSSCPECRQQFLLRNLR PNRHIANMVENLKQIAQNTKKSTQETHCMKHGEKLHLFCEEDGQALCWVCAQSGKHRDHT RVPIEEAAKVYQEKIHVALEKLRKGKELAEKMEMDLTMQRTDWKRNIDTQKSRIHAEFAL QNSLLAQEEQRQLQRLEKDQREYLRLLGKKEAELAEKNQALQELISELERRIRGSELELL QEVRIILERSGSWNLDTLDIDAPDLTSTCPVPGRKKMLRTCWVHITLDRNTANSWLIISK DRRQVRMGDTHQNVSDNEERFSNYPMVLGAQRFSSGKMYWEVDVTQKEAWDLGVCRDSVQ RKGQFSLSPENGFWTIWLWQDSYEAGTSPQTTLHIQVPPCQIGIFVDYEAGVVSFYNITD HGSLIYTFSECVFAGPLRPFFNVGFNYSGGNAAPLKLCPLKM >ENSMUSP00000046536.4 pep:known chromosome:GRCm38:14:49066495:49087723:1 gene:ENSMUSG00000036291.5 transcript:ENSMUST00000037473.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap5m1 description:adaptor-related protein complex 5, mu 1 subunit [Source:MGI Symbol;Acc:MGI:1921635] MALRAVWLIRHEPGTPLGGTVRFSRRYPTVEKRAKAFNGMTYVPVPEDGPFLRALLFQLR LLDDDKDFMERRDGCSRINKTSIYGLSVGGEELWPVIAFLRDSMIYASVPLVEQALSPRP PLISISGVSQGLELLLGIQDFLYSSQKNDTDLHTKLSQLPDLLLQACPLGTLLDANLQNS LNSINSVSVTQPQKQPAWKVGAYKGKAQISISITETVKCMQYGKQDIADTWQVAGTVACK CDLEGVMPAVTISLSLPTNGSPLQDIIVHPCVTSLDSAILTSSSIDTMEDSAFSGPYKFP FTPPLESFNLCHYTSQVPVPPILGSYHMKEEGVQLKVTVNFKLHESVRNNFEVCEAHIPF YNRGPITHLEYKASFGQLEVFREKSLLVWIIGQKFPKSMEISLSGTLTFGVKGHNKQPFD HICIGNTAYIKLNFRIADYTLTGCYADQHSVQVFASGKPKISAYRKLISSDYYIWNSKAP APVTYASLLP >ENSMUSP00000137387.1 pep:known chromosome:GRCm38:13:3361029:3361533:1 gene:ENSMUSG00000096107.1 transcript:ENSMUST00000179981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16505 description:predicted gene 16505 [Source:MGI Symbol;Acc:MGI:3642261] GCARLAPAGEEVGPSSPGVPEACKAASAHPGSTVPRRQPEASMEKDSLSRADQQYECVAE IGEGAYGKVFKAHDLKNGGRFLALKREHSQTSEEGMPLSTIHEVAVLRHLETFEQPNVVR AEKETCGGF >ENSMUSP00000097259.2 pep:known chromosome:GRCm38:2:104068047:104068550:-1 gene:ENSMUSG00000075006.2 transcript:ENSMUST00000099666.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10799 description:predicted gene 10799 [Source:MGI Symbol;Acc:MGI:3642868] ICAICPLVQVKAVVLEPRATWTKLSIYHHMAIPGQEEAPCLDGTYFVSSLDAPCSSSSKV KSVWAPALLFSPSAPEEVLCPPTYVPTPAITAPAQPTQAEGEAA >ENSMUSP00000086718.1 pep:known chromosome:GRCm38:6:95322112:95322210:1 gene:ENSMUSG00000068181.1 transcript:ENSMUST00000089304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10234 description:predicted gene 10234 [Source:MGI Symbol;Acc:MGI:3642207] CDGPQVLRNRSSEDSPLWLH >ENSMUSP00000049930.2 pep:known chromosome:GRCm38:9:39549928:39550869:-1 gene:ENSMUSG00000050853.2 transcript:ENSMUST00000062545.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr958 description:olfactory receptor 958 [Source:MGI Symbol;Acc:MGI:3030792] MEIKNCSVVTEFILLGIPHTEGFETLLFVLFLPFYACTLVGNVSILVAVISSTRLHTPMY FFLGNLSVFDMGFSSVTCPKMLFYLMGLSRLISYQDCVSQLFFFHFLGSIECFLYTVMAY DRFAAICHPLRYSVIMNSKICVALAVGTWLLGCFHSSVLTSLTFTLPYCGPNEVDHFFCD IPAILPLASADTSLAQRVSFTNVGLVSLVCFLLILLSYTRITISILSIQSTEGRQRAFST CSAHLIAILCAYGPIITIYLQPTPNPMLGTVVQILMNLVGPMLNPLIYTLRNKEVKIALK KILHGKGSVSEG >ENSMUSP00000097393.1 pep:known chromosome:GRCm38:13:12790822:12800078:-1 gene:ENSMUSG00000056457.5 transcript:ENSMUST00000099805.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2c3 description:prolactin family 2, subfamily c, member 3 [Source:MGI Symbol;Acc:MGI:1341833] MLPSSIQPCSWILLLLLVNSSLLWKNVASFPMCAMRNGRCFMSFEDTFELAGSLSHNISI EVSELFNEFEKHYSNVSGLRDKSPMRCNTSFLPTPENKEQARLTHYAALLKSGAMILDAW ESPLDDLVSELSTIKNVPDIIISKATDIKKKINAVRNGVNALMSTMLQNGDEEKKNPAWF LQSDNEDARIHSLYGMISCLDNDFKKVDIYLNVLKCYMLKIDNC >ENSMUSP00000069849.7 pep:known chromosome:GRCm38:12:76417599:76439473:1 gene:ENSMUSG00000052221.7 transcript:ENSMUST00000063977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r36 description:protein phosphatase 1, regulatory subunit 36 [Source:MGI Symbol;Acc:MGI:2684916] MVKSEAMFTVPEFYSRRKQFVGQSSTRLDQCGLRLGMWYWKDETKTLEFKSFTPAIELKE KGKKGKAVHFAEMDSGASERLTDKRFASRDEKAAKALEKRSQQGNVTLDDVKFVALLSLQ DTEMQRICSFTTFLRNKNLDSFLMALLYYLSYYLQRLSMEKNPQSRVVGLIEKKEVELVV NKLEDAQKYLAQKYCILVLGLGMADKHHLSCGKEKISDTQKDWKFFESFYTFCTCIAWIV FRRQYLTEIEEEVGRLFRTNMFNIPRRKHEDEASGGEKKRMTLVQFRRMMAKRPAIKKAV DMRSPVLSTLLPSLREKAQHITEKKYVAGIKLQPREENIITDLESVAMPIVGILGEPRNL FNPNTLLPLELEENTKPSGRSSSIVETNNTKIQNTLSLVMSKLASPSTCPK >ENSMUSP00000062078.4 pep:known chromosome:GRCm38:19:41029275:41059523:1 gene:ENSMUSG00000025014.12 transcript:ENSMUST00000051806.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dntt description:deoxynucleotidyltransferase, terminal [Source:MGI Symbol;Acc:MGI:98659] MDPLQAVHLGPRKKRPRQLGTPVASTPYDIRFRDLVLFILEKKMGTTRRAFLMELARRKG FRVENELSDSVTHIVAENNSGSDVLEWLQLQNIKASSELELLDISWLIECMGAGKPVEMM GRHQLVVNRNSSPSPVPGSQNVPAPAVKKISQYACQRRTTLNNYNQLFTDALDILAENDE LRENEGSCLAFMRASSVLKSLPFPITSMKDTEGIPCLGDKVKSIIEGIIEDGESSEAKAV LNDERYKSFKLFTSVFGVGLKTAEKWFRMGFRTLSKIQSDKSLRFTQMQKAGFLYYEDLV SCVNRPEAEAVSMLVKEAVVTFLPDALVTMTGGFRRGKMTGHDVDFLITSPEATEDEEQQ LLHKVTDFWKQQGLLLYCDILESTFEKFKQPSRKVDALDHFQKCFLILKLDHGRVHSEKS GQQEGKGWKAIRVDLVMCPYDRRAFALLGWTGSRQFERDLRRYATHERKMMLDNHALYDR TKGKTVTISPLDGKVSKLQKALRVFLEAESEEEIFAHLGLDYIEPWERNA >ENSMUSP00000107819.1 pep:known chromosome:GRCm38:19:41029275:41059523:1 gene:ENSMUSG00000025014.12 transcript:ENSMUST00000112200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dntt description:deoxynucleotidyltransferase, terminal [Source:MGI Symbol;Acc:MGI:98659] MDPLQAVHLGPRKKRPRQLGTPVASTPYDIRFRDLVLFILEKKMGTTRRAFLMELARRKG FRVENELSDSVTHIVAENNSGSDVLEWLQLQNIKASSELELLDISWLIECMGAGKPVEMM GRHQLVVNRNSSPSPVPGSQNVPAPAVKKISQYACQRRTTLNNYNQLFTDALDILAENDE LRENEGSCLAFMRASSVLKSLPFPITSMKDTEGIPCLGDKVKSIIEGIIEDGESSEAKAV LNDERYKSFKLFTSVFGVGLKTAEKWFRMGFRTLSKIQSDKSLRFTQMQKAGFLYYEDLV SCVNRPEAEAVSMLVKEAVVTFLPDALVTMTGGFRRGKMTGHDVDFLITSPEATEDEEQQ LLHKVTDFWKQQGLLLYCDILESTFEKFKQPSRKVDALDHFQKCFLILKLDHGRVHSEKS GQQEGKGWKAIRVDLVMCPYDRRAFALLGWTGSRQFERDLRRYATHERKMMLDNHALYDR TKRVFLEAESEEEIFAHLGLDYIEPWERNA >ENSMUSP00000127351.1 pep:known chromosome:GRCm38:10:43479140:43515396:1 gene:ENSMUSG00000038214.14 transcript:ENSMUST00000167488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend3 description:BEN domain containing 3 [Source:MGI Symbol;Acc:MGI:2677212] MNSTEISEDVEEVLKNNPVKAEGSDATLDCSRNSRASEKHLLESVLTALHDSSKRKQLDS DGQPDSVPSVKRRRLIPEALLAGMRTRENSSPCQGNGEPASRGRSGSCAWPAEEEPSTEA TVPSYKKPLYGISHKIMEKKNPPSGDLLSPYELFEKANSSSGPSPLRLLSESQKRECGVG VATDGDLNIYFLIQKMFYMLNGLTTNMSQLHSKMDLLSLEVSRVKKQVSPSELVAKFQPP PEYQLTASELKQIAEQSLSCGDLACRLLLQLFPELFSDVDFSRGCSACGFAAKRKLESLH LQLIRNYVEVYYPNVKDTAVWQAECLPQLNDFFSRFWAQREMEDSQPGGQVTNFFEADQV DAGHFLDNKDQEEALSLDRSSTIASDHVVDTQDLTEFLDEASSPGEFAVFLLHRLFPELF DHRKLGEQYSCYGDGGKQELDPQRLQIIRNYTEIYFPDMQEEEAWLQQCAQRINDELEGL GLEGGSEGEAPRDDCYDSSSLPDDISVVKVEDNFEGERPGRRSKKIWLVPIDFDKLEIPQ PDFEMPGSDCLLSKEQLRSIYESSLSIGNFASRLLVHLFPELFTHENLRKQYNCSGSLGK KQLDPARIRLIRHYVQLLYPRAKNDRVWTLEFVGKLDERCRRRDTEQRRSYQQQRKVHVP GPECRDLASYAINPERFREEFEGPPLPPERSSKDFCKIPLDELVVPSPDFPVPSPYLLSD KEVREIVQQSLSVGNFAARLLVRLFPELFTTENLRLQYNHSGACNKKQLDPTRLRLIRHY VEAVYPVEKMEEVWHYECIPSIDERCRRPNRKKCDILKKAKKVEK >ENSMUSP00000047251.7 pep:known chromosome:GRCm38:10:43479140:43515396:1 gene:ENSMUSG00000038214.14 transcript:ENSMUST00000040147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bend3 description:BEN domain containing 3 [Source:MGI Symbol;Acc:MGI:2677212] MNSTEISEDVEEVLKNNPVKAEGSDATLDCSRNSRASEKHLLESVLTALHDSSKRKQLDS DGQPDSVPSVKRRRLIPEALLAGMRTRENSSPCQGNGEPASRGRSGSCAWPAEEEPSTEA TVPSYKKPLYGISHKIMEKKNPPSGDLLSPYELFEKANSSSGPSPLRLLSESQKRECGVG VATDGDLNIYFLIQKMFYMLNGLTTNMSQLHSKMDLLSLEVSRVKKQVSPSELVAKFQPP PEYQLTASELKQIAEQSLSCGDLACRLLLQLFPELFSDVDFSRGCSACGFAAKRKLESLH LQLIRNYVEVYYPNVKDTAVWQAECLPQLNDFFSRFWAQREMEDSQPGGQVTNFFEADQV DAGHFLDNKDQEEALSLDRSSTIASDHVVDTQDLTEFLDEASSPGEFAVFLLHRLFPELF DHRKLGEQYSCYGDGGKQELDPQRLQIIRNYTEIYFPDMQEEEAWLQQCAQRINDELEGL GLEGGSEGEAPRDDCYDSSSLPDDISVVKVEDNFEGERPGRRSKKIWLVPIDFDKLEIPQ PDFEMPGSDCLLSKEQLRSIYESSLSIGNFASRLLVHLFPELFTHENLRKQYNCSGSLGK KQLDPARIRLIRHYVQLLYPRAKNDRVWTLEFVGKLDERCRRRDTEQRRSYQQQRKVHVP GPECRDLASYAINPERFREEFEGPPLPPERSSKDFCKIPLDELVVPSPDFPVPSPYLLSD KEVREIVQQSLSVGNFAARLLVRLFPELFTTENLRLQYNHSGACNKKQLDPTRLRLIRHY VEAVYPVEKMEEVWHYECIPSIDERCRRPNRKKCDILKKAKKVEK >ENSMUSP00000034792.5 pep:known chromosome:GRCm38:9:49415222:49427021:-1 gene:ENSMUSG00000032257.5 transcript:ENSMUST00000034792.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankk1 description:ankyrin repeat and kinase domain containing 1 [Source:MGI Symbol;Acc:MGI:3045301] MVPHRARRLLNPMAVGPLAQQLGSLTVFTRDDFEEEWHLVASGGFSKVFQARHKRWRTQY AIKCSPCLQKETTSSEVTCLFEEAVKMEKIKFQHIVSIYGVCKQPLGIVMEFMASGSLEK TLPTHSLCWPLKLRIIHETSLAMNFLHSIKPPLLHLDLKPGNILLDNNMHVKISDFGLSK WMEQSTQKQYIERSALRGTLSYIPPEMFLENNKAPGPEYDVYSFAIVIWEILTQKKPYAG LNMMTIIIRVAAGMRPSLQDVSDEWPEEVHQMVNLMKRCWDQDPKKRPCFLNVAVETDML LSLFQSPMTDPGCEALTQKVSCKPSLSQPHKVSKEVNQEIADSVSSDSLKWILQLSDSKS LVASDVYENRVTPLHFLVAGGSLEQVRLLLSHDVDVDCQTASGYTPLLIATQDQQPDLCA LLLAHGADTNLADEDGWAPLHFAAQNGDDHTARLLLDHGALVNAREHEGWTPLHLAAQNN FENVARLLVSRQADLSPHEAEGKTPLHVAAYFGHIGLVKLLSGQGAELDAQQRNLRTPLH LAVERGKVRAIQHLLKCGALPDALDHSGYSPLHIAAARGKDLIFKMLLRYGASLELRTQQ GWTPLHLATYKGHLEIIHQLAKSHVDLDALGSMQWTPLHLAAFQGEEGVMLALLQCGANP NAAEQSGWTPLHLAVHKGTFLGITHLLEYGADIHACNKVGWTPAHLAALKGNTAILKVLV KAAAQVDVKGGVSCTPLQLAIHSPK >ENSMUSP00000041676.6 pep:known chromosome:GRCm38:8:47824482:47825475:1 gene:ENSMUSG00000038064.6 transcript:ENSMUST00000038693.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn22 description:claudin 22 [Source:MGI Symbol;Acc:MGI:1922927] MGLVFRTATQAAALLLSLLGWVLSCLTNYLPHWKNLNLELNEMENWTMGLWKSCVIQEEV GRQCKDFDSFLALPAELQVSRVLMSLCNGLGLLGLLASGCGLDCLRLGETQEGLKKRLLT LGGTLLWTSGVMVLVPVSWVAHKTVREFWDETMPEIVPRWEFGEALFLGWFAGFCLVLGG CVLHCAACWSPAPAASSHYAVAGPRDHQQHLELKQANPEI >ENSMUSP00000136252.1 pep:known chromosome:GRCm38:18:3471630:3474315:1 gene:ENSMUSG00000096528.1 transcript:ENSMUST00000179907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G430049J08Rik description:RIKEN cDNA G430049J08 gene [Source:MGI Symbol;Acc:MGI:3645649] MPQLTAVNTGEFGPPPQKTFFLIISRVSRLLQGLMVTPTVVDTDYHGEIKILVTATQEPL TLRAGERVAQALPLPLFGHFPYMKEERGPSSLGSSEVYWAQKITDSWPMLTLFLEDKQFQ GLLDTGADVTVISSTHWPAAWPLQPTKGWCNPLIIKFTAKGRNLEA >ENSMUSP00000039507.5 pep:known chromosome:GRCm38:19:7056768:7198061:1 gene:ENSMUSG00000036278.6 transcript:ENSMUST00000040261.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Macrod1 description:MACRO domain containing 1 [Source:MGI Symbol;Acc:MGI:2147583] MSLQSQVSGRLAQLRAAGQLLVSLRPWPGRSAGGPRPRGSACGPLVALGEHGYCAWLSAG VGAWGAAGRGAWVRTWAPLAMAAKVDLSTSTDWKEAKSFLKGLSDKQREEHYFCKDFIKL KKIPTWKETAKGLAVKVEDPKYKKDKQLNEKISLYRGDITKLEVDAIVNAANSSLLGGGG VDGCIHRAAGSLLTDECRTLQNCETGKAKITCGYRLPAKYVIHTVGPIAVGQPTASQAAE LRSCYLSSLDLLLEHRLRSVAFPCISTGVFGYPNEEAAEVVLASLREWLEQHKDKVDRLI ICVFLEKDEGIYRERLPHYFPVA >ENSMUSP00000052544.2 pep:known chromosome:GRCm38:18:84088158:84097514:1 gene:ENSMUSG00000049090.2 transcript:ENSMUST00000060223.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zadh2 description:zinc binding alcohol dehydrogenase, domain containing 2 [Source:MGI Symbol;Acc:MGI:2444835] MLRLAAAGARAIVDMSYARHFLDFQGSAIPRTMQKLVVTRLSPNFHEAVTLRRDCPVPLP GDGDLLVRNRFVGINASDINYSAGRYDPSLKPPFDIGFEGIGEVVALGLSASARYTVGQA VAYMAPGSFAEYTVVPASIAIPMPSVKPEYLTMLVSGTTAYLSLEELGELSEGKKVLVTA AAGGTGQFAVQLSKIAKCHVIGTCSSDEKAAFLKSIGCDRPINYRTEPVETVLKQEYPEG VDVVYESVGGAMFDLAVDALATKGRLIVIGFISGYQSPTGLSPIKAGVLPTKLLKKSASL RGFFLNHYFSKYQAAMERLLELYARGDLVCEVDLGHLAPDGRFIGLESVFQAVDYMYTGK NTGKLVVELPHPVSSKL >ENSMUSP00000068716.6 pep:known chromosome:GRCm38:19:16616763:16780822:-1 gene:ENSMUSG00000046230.9 transcript:ENSMUST00000068156.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps13a description:vacuolar protein sorting 13A (yeast) [Source:MGI Symbol;Acc:MGI:2444304] MVFESVVVEVLNRFLGDYVVNLDESQLSLGIWKGAVALKNLVIKENALHELDVPFKVKVG HIGSLKLKIPWKNLYTQPVEAVLEEIFLLIVPSSRIQYDPIKEEKQLMETKQQELKRIEK AKQKVFDKEKPREEKQDTFTEKLVTQIIQNLQVQISSIHIRYEDDITNGDKPLSFGISLQ NISLQTTDQYWIPCLHDNTEKLVRKLIRLDNLFAYWNVNSEMFYLNDYDESLKALKNGIV NENIVPEGYDFVFRPISASAKLQMNRRSDFDFSDPKINLAVDLHTIAIEFNKPQYFSLME LLESIDMMTQNQPYRKFKPSVPLHLHAKEWWAYAIHSILEVNVCPSLRMWSWEHIRNHRY KMKRYREFYKKKLTSKKPSPEILMSLEELEKTLDVFNITIARQQAEVEAKKAGYKIYKEG VKDPEDNAGWFGWLWTWSESNANQQQDVKPGILEEMLTPEEKSLLYEAIGYSETAVDPTL PKTFEALKFFVHLKSMSIVLRENHQKPELLNVVVEGLSTSVVQRPGAQAIKFETKIDSFH ITGLPDDFKKPHLLSSLDDTSLLQITFEINPLNETVAQRCTIEAEPLEIIYDARTVNSIV EFFRPPKDVHLAQLTSVTLTKLEEFRAKTATGLLYVIETQKVLDLRINVKASYVIVPQYG NFSPTSNLLLLDLGHLKVSSKRRSLLPDVRPSEASLEDIMHRAYDSFDIQLTSIQLLYSR VGDNWKEARKLNVSTQHILIPMHVNVELSKAMVFMDIKMPKFKISGKLPLVSLRISDKKL QGIMELLGSIPKPEPVTDVSAPARSFQIQASALPVSHISQKLIPLLEQPVTEDDSEEEFF DAPCSPLEECPQVSCRDKCTRQKKLQKKDCVMNLIQLRMRFEVAEVSIQFYHLVGDCELP VLEMGALGLGTEAEFRTFDLKGSAFLKELWLKCPEYLDENKKPVYLITTLDNTMEDLLTL EFMKVEKNAPNLNSTYNNVLQLIKVNFSSLDIHLHTEALLNTMNYLNNILPELREKSASV SAAEPEDKGDIIKKLALKLPTNEDIITLQLLAELSCLQIFIQDQKQNISEIKIEGLDSEM IMKPLVTEINAKLRNIIVLDSDKMAIYKKALYITGKEVFSFKMISYMDATAGYAYTDMSV VDIRVHLTVGCIEVVFITKFLYSILAFIDNFQAVKDALAEATVQAAEMAADGVKELARKS SRFALDVNIKAPVVLIPQSPVSQNVFVADFGLITMKNIFVTVTETQSNIPPVIDLITIKL SKMRLYRSQFRNDTYQEVLDLLLPLNLEVIVERNLSWEWYKEVPCFNIKAQLKPMEFILS QEDLTTVFQTLHGNIWYGQDLSAPSSANKDPETMTSGVTSPPDHSPATVVTAAVVEVHPQ ASQAHTMLNVSFQTDYLTMALYSPGPDEASFTDVRDPSLELAEFKLENIISSLKIYTDDS TVFSFSVKNCILDDKRSHVMKATPRMIGLTVGFDKKDMVDIKYRKIKTFVVTDAVVQEMY VCASVEFLMTVAHIFFDAYMTSTALETSVQTRTTREAPAQELGKWEMNILIKNPEIVFVA DMTRNDAPALVITTQCEICCKGEPTSNTVTAAIKDLQVRACPFLPVKRKGKVTTVLQPCD LFYQATQLGRDPQMIDISVKSLTLKVSPVIINTIITITSALYTTKETVPEENTSNIAHLW DKKDTKNLKMWFLEESNESEKVVPTNEVMPGGETLNLRIDSIFIVLEAGIGHRTVPMLLA KACFSGESKNWLSLINLHCHLELEVHYYNEMFGVWEPLLEPLEIDQTDDFRPWNLGIKMK KKAKEAIVESDSEAENYKVPEYKTAISFYSRDQLNITLSKCGLVMLNNLVEAFTEAATGS SSVFLRDLAPFMIFNSLGLTVSVSPSDSFSVLNVPLAKSYELKNDESLSMDYVRTKDNDH FNAMTSLSSKLFFILLTPANHSVADKIPLTKVGRRLYTVRHRESGVERSIICQIDTVEGS KKVTIRSPVQIKNHFSIPISVFEGDTLLGIASPENEFNIPLASYRSSLSLVPEDQDYQLC EGIDFEEIIKYDGQLLKKKCRSTNPSKKSFVINIVPEKDNLASLSVYSEDGWDLPYVLHL WPPILIRNLLPYKVAYYIEGIENTVVTLSEGHSSQIYNVEMDQAKLHLKLLDYLNHDWKS EFYIRSSQQDINFINFTCLTEMEKSDLDIAIHMTYNTGQTVVAFHSPYWMVNKTNRMLQY KADGIHRKHPPNYTKPVLFSFQPNHFFNNNKVQLMVTDSELSDQFSIDTVGSHGAIRCKG LKMEYQVGVTINLSSFNITRIVTFIPFYMIKNKSKYHISVAEEGSDKWLSLDLEQSIPFW PENASNILLIQVERSEDPPKRIYFNKQDNCILLRLNNELGGIIAEVNLAEHSTVITFSDY HDGAATFLLINHTKSDPVQYNQSSLGEIEDSLPPGKAVYYTWADPVGSRKLKWSCGQSYG EVTHKDDMMTPISVGKKTIYLVSFFEGLQRIILFTEDPRVFKVTYESEKAELAELEVVLA LQDVGISLVNNYTKQEVAYIGITSSDVVWEAKPKKKARWKPMSVKHTEKLEKEFREYTEA SPLEDKVVELDNIPVRLTPSGNDMKILQPHVIPVRRNYLPALKVEYNTSAHQSSFRIQIY RIQIQNQIHGAIFPFVFYPIKPPRSVTMDSAPKPFTDVSIVMRSAGHSQISRIKYFKVLI QEMDLSLDLGFVYALADLVTKAEVTEKTEVEHFHKDVEAFEQEYEVVSSVDQSQVNLFEY FHISPIKLHLSVSLSSGRDEAKDSEQHGGLIPVHSLNLLLKSIGATLTDVQDVVFKLAFF ELNYQFHTTSELQSEVIRHYSKQAIKQMYVLILGLDVLGNPFGLIREFSEGVEAFFYEPY QGAIQGPEEFVEGMALGLKALVGGAVGGLAGAASKITSAMAKGVAAMTMDEDYQQKRREA MNKQPAGLREGITRGGKGLVSGFVSGITGIVTKPIKGAQKEGAAGFFKGVGKGLVGAVTR PTGGIIDMASSTFQGIKRATETSEVESLRPPRFFNEDGVIRPYRLRDGSGNQMLQVMENG RFAKYKYFTHVMINKTDMFMITRRGVLFVTKGTFGQLTCEWQYTFDEFTKEPFIVHGRRL RIEAKERVKSVFHAKEFGKIVNFKTPEDARWILTKLEEAREPSPRL >ENSMUSP00000136135.1 pep:known chromosome:GRCm38:X:31381959:31383918:-1 gene:ENSMUSG00000094352.1 transcript:ENSMUST00000178444.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2777 description:predicted gene 2777 [Source:MGI Symbol;Acc:MGI:3780946] MGLLVSRVLRCRDSSLLQPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSVYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKMRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTLKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000025989.8 pep:known chromosome:GRCm38:19:41210842:41264004:-1 gene:ENSMUSG00000025016.10 transcript:ENSMUST00000025989.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm9sf3 description:transmembrane 9 superfamily member 3 [Source:MGI Symbol;Acc:MGI:1914262] MRPLPGAPGVAAAAALLLLLLPRARSDEHEHTYQDKEEVVLWMNTVGPYHNRQETYKYFS LPFCVGSKKSISHYHETLGEALQGVELEFSGLDIKFKDDVMPGTYCEIDLDKEKRDAFVY AIKNHYWYQMYIDDLPIWGIVGEADENGEDYYLWTYKKLEIGFNGNRIVDVNLTSEGKVK LVPNTKIQMSYSVKWKKSDVKFEDRFDKYLDPSFFQHRIHWFSIFNSFMMVIFLVGLVSM ILMRTLRKDYARYSKEEEMDDMDRDLGDEYGWKQVHGDVFRPSSHPLIFSSLIGSGCQIF AVSLIVIIVAMIEDLYTERGSMLSTAIFVYAATSPVNGYFGGSLYARQGGRRWIKQMFIG AFLIPAMVCGTAFFINFIAIYYHASRAIPFGTMVAVCCICFFVILPLNLVGTILGRNLSG QPNFPCRVNAVPRPIPEKKWFMEPAVIVCLGGILPFGSIFIEMYFIFTSFWAYKIYYVYG FMMLVLVILCIVTVCVTIVCTYFLLNAEDYRWQWTSFLSAASTAIYVYMYSFYYYFFKTK MYGLFQTSFYFGYMAVFSTALGIMCGAIGYMGTSAFVRKIYTNVKID >ENSMUSP00000050235.1 pep:known chromosome:GRCm38:13:32802457:32802849:-1 gene:ENSMUSG00000042874.1 transcript:ENSMUST00000057911.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D930007J09Rik description:RIKEN cDNA D930007J09 gene [Source:MGI Symbol;Acc:MGI:3686989] MRAARADSERGVGGVRIPVPGIVLTVHVRVAIAVHPRLLLLLRLRLIPAARGCAACGGCS RWSLPHTLSGGAAGAGHREVRDKARTAGRGRCVVALTALGLAAVIALLALAFAAFGRRRG GGLLQRRALRQ >ENSMUSP00000020288.7 pep:known chromosome:GRCm38:10:61432497:61452669:-1 gene:ENSMUSG00000020091.14 transcript:ENSMUST00000020288.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4ebp2 description:eukaryotic translation initiation factor 4E binding protein 2 [Source:MGI Symbol;Acc:MGI:109198] MSASAGGSHQPSQSRAIPTRTVAISDAAQLPQDYCTTPGGTLFSTTPGGTRIIYDRKFLL DRRNSPMAQTPPCHLPNIPGVTSPGALIEDSKVEVNNLNNLNNHDRKHAVGDEAQFEMDI >ENSMUSP00000131952.1 pep:known chromosome:GRCm38:10:61432820:61452658:-1 gene:ENSMUSG00000020091.14 transcript:ENSMUST00000167087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4ebp2 description:eukaryotic translation initiation factor 4E binding protein 2 [Source:MGI Symbol;Acc:MGI:109198] MSASAGGSHQPSQSRAIPTRTVAISDAAQLPQDYCTTPGGTLFSTTPGGTRIIYDRKFLL DRRNSPMAQTPPCHLPNIPGVTSPGALIEDSKVEVNNLNNLNNHDRKHAVGDEAQFEMDI >ENSMUSP00000071652.4 pep:known chromosome:GRCm38:13:73988963:73989148:-1 gene:ENSMUSG00000063180.4 transcript:ENSMUST00000071737.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10126 description:predicted gene 10126 [Source:MGI Symbol;Acc:MGI:3809072] ASEGKMGHQQLYWSHPRKFGQGSRSCRICSNRHGLIRKYGLNVCRQCFRQYAKDIGFIKL D >ENSMUSP00000089464.4 pep:known chromosome:GRCm38:13:3882565:3918220:-1 gene:ENSMUSG00000021215.14 transcript:ENSMUST00000091853.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Net1 description:neuroepithelial cell transforming gene 1 [Source:MGI Symbol;Acc:MGI:1927138] MEPEPAAQKQPRPRRRSRRVSMLSEEPAAGLPADTPGPAANERCSLRRGSSFTFLTPGPH WDFTLKRKRREKDDDAVSLSSLDLKEPSNKRVRPLARVTSLANLISPVRNGAVRRFGQTI QSFTLRGDHRSPASAQKSFSRSTVPTPTKRRSSALWSEMLDINMKESLTTREIKRQEAIY ELSRGEQDLIEDLKLARKAYHDPMLKLSIMSEEELTHIFGDLDAYIPLHEDLLARIGEAT KPDGTVEQIGHILVNWLPGLNAYRGYCSNQLAAKALLDQKKQDPRVQDFLQRCLESPFSR KLDLWSFLDIPRSRLVKYPLLLKEILRHTPKDHRDVQLLEEAILIIQGVLSDINLKKGES ECQYYINKLEYLDEKQKDPRIEASKVLLCHGELKNKSGHKLYIFLFQDILVLTRPVTRNE RHLYQVYRQPIPVQELVLEDLQDGDVRMGGSFRGAFGNSDKAKNIFRVRFQDPSPGHSHT LQANDVFHKQQWFNCIRAAIAPFQRAASPLELQGLPDLHEECEENNPSAGNLRAQRRSCV VPGVMQIDEESALDCGSSVQTVEDTRNMKAQRPQPGLRRARDKAQSGGKKKETLV >ENSMUSP00000097529.4 pep:known chromosome:GRCm38:13:3882565:3893578:-1 gene:ENSMUSG00000021215.14 transcript:ENSMUST00000099946.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Net1 description:neuroepithelial cell transforming gene 1 [Source:MGI Symbol;Acc:MGI:1927138] MVAHDEIGGLLPIKRTIRVLDVNNQPFREQEEPSNKRVRPLARVTSLANLISPVRNGAVR RFGQTIQSFTLRGDHRSPASAQKSFSRSTVPTPTKRRSSALWSEMLDINMKESLTTREIK RQEAIYELSRGEQDLIEDLKLARKAYHDPMLKLSIMSEEELTHIFGDLDAYIPLHEDLLA RIGEATKPDGTVEQIGHILVNWLPGLNAYRGYCSNQLAAKALLDQKKQDPRVQDFLQRCL ESPFSRKLDLWSFLDIPRSRLVKYPLLLKEILRHTPKDHRDVQLLEEAILIIQGVLSDIN LKKGESECQYYINKLEYLDEKQKDPRIEASKVLLCHGELKNKSGHKLYIFLFQDILVLTR PVTRNERHLYQVYRQPIPVQELVLEDLQDGDVRMGGSFRGAFGNSDKAKNIFRVRFQDPS PGHSHTLQANDVFHKQQWFNCIRAAIAPFQRAASPLELQGLPDLHEECEENNPSAGNLRA QRRSCVVPGVMQIDEESALDCGSSVQTVEDTRNMKAQRPQPGLRRARDKAQSGGKKKETL V >ENSMUSP00000052610.3 pep:known chromosome:GRCm38:9:19035125:19036060:1 gene:ENSMUSG00000045204.3 transcript:ENSMUST00000059315.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr835 description:olfactory receptor 835 [Source:MGI Symbol;Acc:MGI:3030669] MGGKNQTDVSHFFLLGLTDDPTVKPVIFCIFLLMYMVTILGNLLIILAVCSYSHLQTPMY FFISNLSINDICLSTTVIPNMLRTTQTQDQSISYAGCLTQLCFVLLFAGFESCLLAAMAY DRYVAICYPLSYTVMMNFHSCALLILFSVLISVLNMGLLGLMVLRLSFCTNLEIPLFFCE LSQVMKLACSDTLINDILIYLATFIFGGIPISGIIFSYVQIASSVLRISSVKGRCKAFST CGSHLSVTSLSYGSGLWVYITSSVAILPKKTSVACIMYTVVPQMLNPFIFSLRNKDMKGT MKKFISKVASL >ENSMUSP00000034244.7 pep:known chromosome:GRCm38:8:72572103:72587282:1 gene:ENSMUSG00000031791.7 transcript:ENSMUST00000034244.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem38a description:transmembrane protein 38A [Source:MGI Symbol;Acc:MGI:1921416] MDLMSALSLGELALSFSRVPLFPVFDLSYFIVSIIYLKYEPGAVELSRRHPVASWLCAML HCFGSYILADLLLGEPIIDYFSNSSSILLASGVWYLIFFCPLDLFYKCVCFLPVKLIFVA MKEVVRVRKIAVGIHHAHHHYHHGWFIMIATGWVKGSGVALLSNVEQLLRGVWKPETNEI LHMSFPTKASLYGAILFTLQQTRWLPVSKASLIFVFTMFMVSCKVFLTATHSHSSPFDIL EGYICPVLFGATWGGDHHHDNHGAPHGMGLGTQHSGLPAKAKEELGEGSRKKKTKKAD >ENSMUSP00000025590.9 pep:known chromosome:GRCm38:19:11965844:11994105:1 gene:ENSMUSG00000024687.10 transcript:ENSMUST00000025590.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbp description:oxysterol binding protein [Source:MGI Symbol;Acc:MGI:97447] MAATELRGVVGPGPAAIAAPGGGGAGPPAVGGGGGRGDAGPGPGVAAATAATAGGPGPGA GGVAAGGPGSAPPAAGSGGSGAGGSGSAREGWLFKWTNYIKGYQRRWFVLSNGLLSYYRS KAEMRHTCRGTINLATANITVEDSCNFIISNGGAQTYHLKASSEVERQRWVTALELAKAK AVKMLAESDDSGDEESVSQTDKTELQSTLRTLSSKVEDLSTCNDLIAKHGTALQRSLSEL ESLKLPAESNEKIKQVNERATLFRITSNAMINACRDFLMLAQTHSKKWQKSLQYERDQRI RLEETLEQLAKQHNHLERAFRGATVLPANPPGSAGSGKDQCCSGKGDMSDEDDENEFFDA PEIITMPENLGHKRTGSNISGASSDVSLDEQYKHQLEETKKEKRTRIPYKPNYSLNLWSI MKNCIGKELSKIPMPVNFNEPLSMLQRLTEDLEYHELLDRAAKCENSLEQLCYVAAFTVS SYSTTVFRTSKPFNPLLGETFELDRLEENGYRSICEQVSHHPPAAAHHAESKNGWTLRQE IKITSKFRGKYLSIMPLGTIHCIFHSTGHHYTWKKVTTTVHNIIVGKLWIDQSGEIDIVN HKTGDKCNLKFVPYSYFSRDVARKVTGEVTDPSGKVHFALLGTWDEKMDCFKVQAASGEN GGDARQRGHEAEDSRVMLWKRNPLPKNAENMYYFSELALTLNAWEGGTAPTDSRLRPDQR LMENGRWDEANAEKQRLEEKQRLSRKKREAEAAKATEDGTPHDPYKALWFERKKDPVTRE LTHIYSGEYWECKEKQDWGSCPDIF >ENSMUSP00000043566.6 pep:known chromosome:GRCm38:15:79141351:79151774:1 gene:ENSMUSG00000033020.6 transcript:ENSMUST00000040077.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2f description:polymerase (RNA) II (DNA directed) polypeptide F [Source:MGI Symbol;Acc:MGI:1349393] MSDNEDNFDGDDFDDVEEDEGLDDLENAEEEGQENVEILPSGERPQANQKRITTPYMTKY ERARVLGTRALQIAMCAPVMVELEGETDPLLIAMKELKARKIPIIIRRYLPDGSYEDWGV DELIISD >ENSMUSP00000074435.2 pep:known chromosome:GRCm38:7:140492617:140493607:1 gene:ENSMUSG00000063230.2 transcript:ENSMUST00000074897.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr535 description:olfactory receptor 535 [Source:MGI Symbol;Acc:MGI:3030369] MTMLSPNQTVVTEFVLEGFSEHPSLRLFLMGCFLSLYTVALMGNILIIALVTSSTGLHSP MYFFLCNLATMDIVCTSSVIPKALIGLVFEENTISFKGCMAQLFFLVWSASSELLLLTVM AYDRYVAICYPLHYSSRMSPQLCGVLAMSVWSVCALNASINTGLMTRLSFCGPKVITHFF CEIPPLLLLSCSPTYVNSVMTLVADAFYGGINFMLTLLSYGYIIASILRMRSAEGRRKAF STCSSHLIVVSVYYSSVFCAYVSPASSYSPERSKVSSVLYSVLSPTLNPLIYTLRNKDVK LALGRRLPSFYH >ENSMUSP00000111093.3 pep:known chromosome:GRCm38:9:21295457:21312333:-1 gene:ENSMUSG00000003309.13 transcript:ENSMUST00000115433.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1m2 description:adaptor protein complex AP-1, mu 2 subunit [Source:MGI Symbol;Acc:MGI:1336974] MSASAVFILDVKGKPLISRNYKGDVPMTEIDHFMPLLMQREEEGVLAPLLSHGRVHFLWI KHSNLYLVATTLKNANASLVYSFLYKTVEVFCEYFKELEEESIRDNFVIVYELLDELMDF GFPQTTDSKILQEYITQQGNKLETGKSRVPPTVTNAVSWRSEGIKYKKNEVFIDVIESVN LLVNANGSVLLSEIVGTIKLKVFLSGMPELRLGLNDRVLFELTGLSGSKNKSVELEDVKF HQCVRLSRFDNDRTISFIPPDGDFELMSYRLSTQVKPLIWIESVIEKFSHSRVEIMVKAK GQFKKQSVANGVEISVPVPSDADSPRFKTSVGSAKYVPEKNVVIWSIKSFPGGKEYLMRA HFGLPSVETEEVEGRPPIGVKFEIPYFTVSGIQVRYMKIIEKSGYQALPWVRYITQSGDY QLRTS >ENSMUSP00000003397.7 pep:known chromosome:GRCm38:9:21295457:21312333:-1 gene:ENSMUSG00000003309.13 transcript:ENSMUST00000003397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1m2 description:adaptor protein complex AP-1, mu 2 subunit [Source:MGI Symbol;Acc:MGI:1336974] MSASAVFILDVKGKPLISRNYKGDVPMTEIDHFMPLLMQREEEGVLAPLLSHGRVHFLWI KHSNLYLVATTLKNANASLVYSFLYKTVEVFCEYFKELEEESIRDNFVIVYELLDELMDF GFPQTTDSKILQEYITQQGNKLETGKSRVPPTVTNAVSWRSEGIKYKKNEVFIDVIESVN LLVNANGSVLLSEIVGTIKLKVFLSGMPELRLGLNDRVLFELTGRSKNKSVELEDVKFHQ CVRLSRFDNDRTISFIPPDGDFELMSYRLSTQVKPLIWIESVIEKFSHSRVEIMVKAKGQ FKKQSVANGVEISVPVPSDADSPRFKTSVGSAKYVPEKNVVIWSIKSFPGGKEYLMRAHF GLPSVETEEVEGRPPIGVKFEIPYFTVSGIQVRYMKIIEKSGYQALPWVRYITQSGDYQL RTS >ENSMUSP00000105822.2 pep:known chromosome:GRCm38:13:40715675:40733823:-1 gene:ENSMUSG00000021359.15 transcript:ENSMUST00000110193.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap2a description:transcription factor AP-2, alpha [Source:MGI Symbol;Acc:MGI:104671] MLWKLTDNIKYEDCEDRHDGTSNGTARLPQLGTVGQSPYTSAPPLSHTPNADFQPPYFPP PYQPIYPQSQDPYSHVNDPYSLNPLHAQPQPQHPGWPGQRQSQESGLLHTHRGLPHQLSG LDPRRDYRRHEDLLHGPHGLGSGLGDLPIHSLPHAIEDVPHVEDPGINIPDQTVIKKGPV SLSKSNSNAVSAIPINKDNLFGGVVNPNEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSP PECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAANVTLLTSLVEGEAVHL ARDFGYVCETEFPAKAVAEFLNRQHSDPNEQVARKNMLLATKQICKEFTDLLAQDRSPLG NSRPNPILEPGIQSCLTHFNLISHGFGSPAVCAAVTALQNYLTEALKAMDKMYLSNNPNS HTDNSAKSSDKEEKHRK >ENSMUSP00000021787.4 pep:known chromosome:GRCm38:13:40715675:40730418:-1 gene:ENSMUSG00000021359.15 transcript:ENSMUST00000021787.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfap2a description:transcription factor AP-2, alpha [Source:MGI Symbol;Acc:MGI:104671] MLVHSFSAMDRHDGTSNGTARLPQLGTVGQSPYTSAPPLSHTPNADFQPPYFPPPYQPIY PQSQDPYSHVNDPYSLNPLHAQPQPQHPGWPGQRQSQESGLLHTHRGLPHQLSGLDPRRD YRRHEDLLHGPHGLGSGLGDLPIHSLPHAIEDVPHVEDPGINIPDQTVIKKGPVSLSKSN SNAVSAIPINKDNLFGGVVNPNEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNA SLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGY VCETEFPAKAVAEFLNRQHSDPNEQVARKNMLLATKQICKEFTDLLAQDRSPLGNSRPNP ILEPGIQSCLTHFNLISHGFGSPAVCAAVTALQNYLTEALKAMDKMYLSNNPNSHTDNSA KSSDKEEKHRK >ENSMUSP00000026552.7 pep:known chromosome:GRCm38:7:140763739:140774975:1 gene:ENSMUSG00000025479.8 transcript:ENSMUST00000026552.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2e1 description:cytochrome P450, family 2, subfamily e, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88607] MAVLGITVALLVWIATLLLVSIWKQIYRSWNLPPGPFPIPFFGNIFQLDLKDIPKSLTKL AKRFGPVFTLHLGQRRIVVLHGYKAVKEVLLNHKNEFSGRGDIPVFQEYKNKGIIFNNGP TWKDVRRFSLSILRDWGMGKQGNEARIQREAHFLVEELKKTKGQPFDPTFLIGCAPCNVI ADILFNKRFDYDDKKCLELMSLFNENFYLLSTPWIQAYNYFSDYLQYLPGSHRKVMKNVS EIRQYTLGKAKEHLKSLDINCPRDVTDCLLIEMEKEKHSQEPMYTMENISVTLADLFFAG TETTSTTLRYGLLILMKYPEIEEKLHEEIDRVIGPSRAPAVRDRMNMPYMDAVVHEIQRF INLVPSNLPHEATRDTVFRGYVIPKGTVVIPTLDSLLFDNYEFPDPETFKPEHFLNENGK FKYSDYFKAFSAGKRVCVGEGLARMELFLLLSAILQHFNLKSLVDPKDIDLSPVTIGFGS IPREFKLCVIPRS >ENSMUSP00000128063.1 pep:known chromosome:GRCm38:16:5147109:5183934:1 gene:ENSMUSG00000091712.1 transcript:ENSMUST00000165810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec14l5 description:SEC14-like lipid binding 5 [Source:MGI Symbol;Acc:MGI:3616084] MVQKYQSPVRVYKYPFELVMAAYEKRFPTCPLIPVFLGSEVLGEWRSTDGAVHTVERSCR LRVDAPRLLRKIAGVEHVVFIQRNVLNWRERTLLIDAHNETFASRVTVKESCRYTVHPEN EDWTCFEQSASLDVRSFFGFESTLEKIAMKQYTANVKRGKEVIEHYLNELISQGTSHIPR WTPAPVQEEDVRSQLVPQCPSSLDMEGPSDAPGPASEMAIADGDKLDADYIERCLGHLSP MQESCLVQLRHWLQETHKGKIPKDEHILRFLRARDFHLDKARDMLCQSLSWRKQHQVDLL LQTWRPPPPLQEFYAGGWHYQDIDGRPLYILRLGQMDTKGLMKAVGEEALLQHVLSVNEE GQKRCEGNTRQFGRPISSWTCLLDLEGLNMRHLWRPGVKALLRMIEVVEDNYPETLGRLL IVRAPRVFPVLWTLVSPFINENTRRKFLIYSGSNYQGPGGLVDYLDKDVIPDFLGGESVC NVPEGGMVPKSLYLTEEEQEQADQLQQWSETYHSASVLRGTPYEVAMEIPEGESVITWDF DILRGDVVFSLYHAKQAPKLSPQEPGVRASGQLIDKSWILGVDYSRVEAPLICREGQSIQ GSHVTQWPGIYLLQWQIHSSPESVACSLPGVDDVLTALHSPGPKCKLLYYCEVLASEDFR GSMSSLESCASRFSQLSATTSSSSSGQSHSGSMVSR >ENSMUSP00000079648.3 pep:known chromosome:GRCm38:9:40000206:40001250:-1 gene:ENSMUSG00000059473.3 transcript:ENSMUST00000080835.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr979 description:olfactory receptor 979 [Source:MGI Symbol;Acc:MGI:3030813] MSNVTLVTTFFLSGIPHPPALDTMLFVAFLVIYILTVLGNFLILMVIRVDSHLHTPMYYF LTNLSFIDMWFSTVTVPKMLMTLVSPRGGAISFHSCVAQLYCFHFLGSTECFLYTVMSYD RYLAISYPLRYSSMMSGRVCALLAAGTWITGSLHSAVQTTLTFRLPYCGPNQIQHYFCDA PPILKLACADTSANEMVIFVNIGVVASGCFLLISLSYVSIVCSILRIRTSEGRHRAFQTC ASHCIVVLCFFVPCVFIYLRPGSRDAVDGVVAVFYTVLTPLLNPVVYTLRNKEVKKALVK LKDKVAYSQSQ >ENSMUSP00000128533.1 pep:known chromosome:GRCm38:13:59700083:59706197:-1 gene:ENSMUSG00000050876.8 transcript:ENSMUST00000066510.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata31d1a description:spermatogenesis associated 31 subfamily D, member 1A [Source:MGI Symbol;Acc:MGI:1919469] MEKILSALNGLSETWLTLGSASYHIDLNYTLLSGLGLLLLYISYLILKLLIHLLGRKKYT PKRQNSIRRKQRKAFKRRKVGPRDPEEGRRLQTVLQSPDKPYDPTGFRQALCPDPRCRVC NKASTQVRHLLSQAPLEDGAASVSSLPSTAPLIEASLALPPHFPANRPGHPAPETPPHTS RSIHLQNQITPLDGVSSPPISLSASLSPQAAASLNPAVLPAHTPRRTLASFPHLHPNATQ EAQLALQAEVPQPPVESPRERSPSVSTAKSTVYEPASSRPQFTAEDQSLTNSTHVINHQP PPSDSYASQGSYQGLTKAYAVEPGDHLSPSPDVLALFTKQEEGDADGTVYKLKREKAVSY IMPSNTSGEISASTAGLENLEVSHLLGNEKGKPEDPHLLQQPSHLKNSEDQSEIKDIQLF WGLPSLHSESLKHEAANTGNSYPGRDYFNKVAQASTGSHSSNLTYSTPLPLPESDQQGQI VPQSQIMPDSLANSKAQPQSLAQLLSPSPQSQLGICGVRFHSLKNEAQPLTPCEIQQLEY NLLQKALQSFLGLPTLTETFQESFCPPPPKISSTRNSSKVHAPKTILPGDFPLSHTLKRK LEHHLRKRLIQRHWGLPHRILESLSFLSPHSEIPEAPKPRDTHGLSRVSLYKFNANTNLP SSGVSQSERSCKKPLESHPPQEKDINVQRHRPGINQRDPIQCKSCGATKSTVHSNSETDP ELQLGNMSGNISKASWVRQCQKECEAYLQKHLGKDTKEISGSERPSAVDSSRHSRNMTQP PPETCPRQMEPLACEEDALTRHPHSLAIGPSKEKTLEEHITAFRRRMAFGLPKRVEESLE SDLTKAEPSQPFPQLHIQAHNVSRADPAKSSRSLRRNTTGDRMGTMTSVPTQQSPLPAAS LVGHSQPASENKKVGVDRDLSIAPRDREPTQHWTPRRADKGDVQQSGSHNRPGPELPMSP GGPTHERLASSTNTQGSQEERRSREDGSEAEGSTELHKGEQLPGLHPQSTKSLKGTQGLC SPGSPVTACQSPQGMSFLHNSESPDSKSQVSTEVQLNSEGGTHNQVPDLPATPFAPQEMT SKPQGPSGGDMAVSQVLRVHMPTVGISMESRQGPWVPAYVSGKSKNKDCLPAARGLPQLA TEAGKFGGGDAGLGTSQTTGKGHCVQARAPEETQGLTASPALTPKSQPQENQFTSQVKGF WQRLSPGKKHKGQEKSLAKGCSPLASGKGTSPIKGRSEFCGNPEAQNCVREPGMVLRKQL GHRHGTVTPCPQVPVSPLMGSEGAQQEVPLQAQAEPVQRLPHLCCRGSCSPVPRAESCSP GQGQTAPERCGPTGKS >ENSMUSP00000097152.1 pep:known chromosome:GRCm38:19:24476502:24477356:-1 gene:ENSMUSG00000074922.1 transcript:ENSMUST00000099556.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam122a description:family with sequence similarity 122, member A [Source:MGI Symbol;Acc:MGI:1915284] MAQEKMELDLELPAGASPAEGGGPGGGGLRRSNSAPLIHGLSDSSPVFQAEAPSARRNST TFPSRHGLLLPASPVRMHSSRLHQIKQEEGMDLINRETVHEREVQTAMQISHSWEESFSL SDNDVEKSASPKRIDFIPVSPAPSPTRGIGKQCFSPSLQSFVSSNGLPPSPIPSPTTRFT TRRSQSPINCIRPSVLGPLKRKCEMETDYQPKRFFQGITNMLSSDVAQLSDPGVCVSSDT LDGNSSSAGSSCNSPAKVSTTTDSPVSPAQAASPFIPVDELSSK >ENSMUSP00000073105.4 pep:known chromosome:GRCm38:19:37685581:37693398:1 gene:ENSMUSG00000062432.4 transcript:ENSMUST00000073391.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp26c1 description:cytochrome P450, family 26, subfamily c, polypeptide 1 [Source:MGI Symbol;Acc:MGI:2679699] MISWGLSCLSVLGAAGTTLLCAGLLLGLAQQLWTLRWTLSRDWASTLPLPKGSMGWPFFG ETLHWLVQGSRFHSSRRERYGTVFKTHLLGRPVIRVSGAENVRTILLGEHRLVRSQWPQS AHILLGSHTLLGAVGEPHRQRRKVLARVFSRSSLEQFVPRLQGALRREVRSWCAAQRPVA VYQAAKALTFRMAARILLGLQLDEARCTELAHTFEQLVENLFSLPLDVPFSGLRKGIRAR DQLYEHLDEAVAEKLQEKQTAEPGDALLLIINSARELGHEPSVQELKELAVELLFAAFFT TASASTSLILLLLQHPAAITKIQQELSAQGLGRACTCTPRASGSPPDCGCEPDLSLAMLG RLRYVDCVVKEVLRLLPPVSGGYRTALRTFELDGYQIPKGWSVMYSIRDTHETAAVYRSP PEGFDPERFGVESGDARGSGGRFHYIPFGGGARSCLGQELAQAVLQLLAVELVRTARWEL ATPAFPVMQTVPIVHPVDGLLLFFHPLPTSGAGDGLPF >ENSMUSP00000126849.1 pep:known chromosome:GRCm38:15:81704248:81729919:-1 gene:ENSMUSG00000022391.15 transcript:ENSMUST00000170134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rangap1 description:RAN GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:103071] MASEDIAKLAETLAKTQVAGGQLSFKGKGLKLNTAEDAKDVIKEIEEFDGLEALRLEGNT VGVEAARVIAKALEKKSELKRCHWSDMFTGRLRSEIPPALISLGEGLITAGAQLVELDLS DNAFGPDGVRGFEALLKSPACFTLQELKLNNCGMGIGGGKILAAALTECHRKSSAQGKPL ALKVFVAGRNRLENDGATALAEAFGIIGTLEEVHMPQNGINHPGVTALAQAFAINPLLRV INLNDNTFTEKGGVAMAETLKTLRQVEVINFGDCLVRSKGAVAIADAVRGGLPKLKELNL SFCEIKRDAALVVAEAVADKAELEKLDLNGNALGEEGCEQLQEVMDSFNMAKVLASLSDD EGEDEDEEEEGEEDDEEEEDEEDEEDDDEEEEEQEEEEEPPQRGSGEEPATPSRKILDPN SGEPAPVLSSPTPTDLSTFLSFPSPEKLLRLGPKVSVLIVQQTDTSDPEKVVSAFLKVAS VFRDDASVKTAVLDAIDALMKKAFSCSSFNSNTFLTRLLIHMGLLKSEDKIKAIPSLHGP LMVLNHVVRQDYFPKALAPLLLAFVTKPNGALETCSFARHNLLQTLYNI >ENSMUSP00000057771.5 pep:known chromosome:GRCm38:15:81704248:81729919:-1 gene:ENSMUSG00000022391.15 transcript:ENSMUST00000052374.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rangap1 description:RAN GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:103071] MASEDIAKLAETLAKTQVAGGQLSFKGKGLKLNTAEDAKDVIKEIEEFDGLEALRLEGNT VGVEAARVIAKALEKKSELKRCHWSDMFTGRLRSEIPPALISLGEGLITAGAQLVELDLS DNAFGPDGVRGFEALLKSPACFTLQELKLNNCGMGIGGGKILAAALTECHRKSSAQGKPL ALKVFVAGRNRLENDGATALAEAFGIIGTLEEVHMPQNGINHPGVTALAQAFAINPLLRV INLNDNTFTEKGGVAMAETLKTLRQVEVINFGDCLVRSKGAVAIADAVRGGLPKLKELNL SFCEIKRDAALVVAEAVADKAELEKLDLNGNALGEEGCEQLQEVMDSFNMAKVLASLSDD EGEDEDEEEEGEEDDEEEEDEEDEEDDDEEEEEQEEEEEPPQRGSGEEPATPSRKILDPN SGEPAPVLSSPTPTDLSTFLSFPSPEKLLRLGPKVSVLIVQQTDTSDPEKVVSAFLKVAS VFRDDASVKTAVLDAIDALMKKAFSCSSFNSNTFLTRLLIHMGLLKSEDKIKAIPSLHGP LMVLNHVVRQDYFPKALAPLLLAFVTKPNGALETCSFARHNLLQTLYNI >ENSMUSP00000130046.1 pep:known chromosome:GRCm38:15:81704618:81729866:-1 gene:ENSMUSG00000022391.15 transcript:ENSMUST00000171115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rangap1 description:RAN GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:103071] MASEDIAKLAETLAKTQVAGGQLSFKGKGLKLNTAEDAKDVIKEIEEFDGLEALRLEGNT VGVEAARVIAKALEKKSELKRCHWSDMFTGRLRSEIPPALISLGEGLITAGAQLVELDLS DNAFGPDGVRGFEALLKSPACFTLQELKLNNCGMGIGGGKILAAALTECHRKSSAQGKPL ALKVFVAGRNRLENDGATALAEAFGIIGTLEEVHMPQNGINHPGVTALAQAFAINPLLRV INLNDNTFTEKGGVAMAETLKTLRQVEVINFGDCLVRSKGAVAIADAVRGGLPKLKELNL SFCEIKRDAALVVAEAVADKAELEKLDLNGNALGEEGCEQLQEVMDSFNMAKVLASLSDD EGEDEDEEEEGEEDDEEEEDEEDEEDDDEEEEEQEEEEEPPQRGSGEEPATPSRKILDPN SGEPAPVLSSPTPTDLSTFLSFPSPEKLLRLGPKVSVLIVQQTDTSDPEKVVSAFLKVAS VFRDDASVKTAVLDAIDALMKKAFSCSSFNSNTFLTRLLIHMGLLKSEDKIKAIPSLHGP LMVLNHVVRQDYFPKALAPLLLAFVTKPNGALETCSFARHNLLQTLYNI >ENSMUSP00000025288.7 pep:known chromosome:GRCm38:18:13687013:13972733:-1 gene:ENSMUSG00000024420.8 transcript:ENSMUST00000025288.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp521 description:zinc finger protein 521 [Source:MGI Symbol;Acc:MGI:95459] MSRRKQAKPRSLKDPNCKLEDKIEDGEAVDCKKRPEDGEELEEDAVHSCDSCLQVFESLS DITEHKIHQCQLTDGVDVEDDPSCSWPASSPSSKDQTSPSHGEGCDFGEEEGGPGLPYPC QFCDKSFSRLSYLKHHEQSHSDKLPFKCTYCSRLFKHKRSRDRHIKLHTGDKKYHCSECD AAFSRSDHLKIHLKTHTSNKPYKCAVCRRGFLSSSSLHGHMQVHERNKDGSQSGSRMEDW KMKDTQKCSQCEEGFDFPEDLQKHIAECHPECSPNEDRAALQCMYCHELFVEETSLMNHI EQVHGGEKKNSCSICSESFLTVEELYSHMDSHQQPESCNHSNSPSLVTVGYTSVSSTTPD SNLSVDSSTMVEAAPPIPKSRGRKRAAQQTSDMTGPSSKQAKVTYSCIYCNKQLFSSLAV LQIHLKTMHLDKPEQAHICQYCLEVLPSLYNLNEHLKQVHEAQDPGLIVSAMPAIVYQCN FCSEVVNDLNTLQEHIRCSHGFANPAAKDSNAFFCPHCYMGFLTDSSLEEHIRQVHCDLS GSRFGSPVLGTPKEPVVEVYSCSYCTNSPIFNSVLKLNKHIKENHKNIPLALNYIHNGKK SRALSPLSPVAIEQTTLKMMQTVGGGPARASGEYICNQCGAKYTSLDSFQTHLKTHLDTV LPKLTCPQCNKEFPNQESLLKHVTIHFMITSTYYICESCDKQFTSVDDLQKHLLDMHTFV FFRCTLCQEVFDSKVSIQLHLAVKHSNEKKVYRCTSCNWDFRNETDLQLHVKHNHLENQG KVHKCIFCGESFGTEVELQCHITTHSKKYNCRFCSKAFHAVILLEKHLREKHCVFETKTP NCGTNGASEQVQKEEAELQTLLTNSQESHNSHDGSEEDVDSSEPMYGCDICGAAYTMETL LQNHQLRDHNIRPGESAIVKKKAELIKGNYKCNVCSRTFFSENGLREHMQTHLGPVKHYM CPICGERFPSLLTLTEHKVTHSKSLDTGNCRICKMPLQSEEEFLEHCQMHPDLRNSLTGF RCVVCMQTVTSTLELKIHGTFHMQKTGNGSSVQTTGRGQHVQKLYKCASCLKEFRSKQDL VKLDINGLPYGLCAGCVNLSKSSSPGLSLPPGASRPGLGQNESLSAMEGKGKAGGLKTRC SSCNVKFESESELQNHIQTVHRELVPDANSTQLKTPQVSPMPRISPSQSDEKKTYQCIKC QMVFYNEWDIQVHVANHMIDEGLNHECKLCSQTFDSPAKLQCHLIEHSFEGMGGTFKCPV CFTVFVQANKLQQHIFSAHGQEDKIYDCTQCPQKFFFQTELQNHTMTQHSS >ENSMUSP00000093410.2 pep:known chromosome:GRCm38:13:59634996:59675784:-1 gene:ENSMUSG00000021556.11 transcript:ENSMUST00000095739.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golm1 description:golgi membrane protein 1 [Source:MGI Symbol;Acc:MGI:1917329] MMGLGNGRRSMKSPPLILAALVACVIVLGFNYWIASSRSVELQTRIVELEGRVRRAAAER GAVELKKNEFQGELQKQREQLDRIQSSHSFQLENVNKLHQDEKAVLVNNITTGEKLIRDL QDQLKALQRSYSSLQQDIFQFQKNQTSLEKKFSYDLNQCISQMTEVKEQCDERIEEVIRK RNEAPGSRDLAETNNQHQQALKPQPKLQEEVPSEEQMPQEKGDVPRNKSQIPAPNSESLG LKPQVQNEETNEIQAVGEEHQQASIQGQAVADGTRVGAEKLDQHTQLPAGLLARPEEDSQ YPEREQLVIRDRQEQQRASEEGGGQKNPGDEYDMDENEAESEREKQAALAGNDRNINVLN ADAQKRGIINVPVGSERQSHILNQVGIHIPQQA >ENSMUSP00000022039.5 pep:known chromosome:GRCm38:13:59634996:59675784:-1 gene:ENSMUSG00000021556.11 transcript:ENSMUST00000022039.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golm1 description:golgi membrane protein 1 [Source:MGI Symbol;Acc:MGI:1917329] MMGLGNGRRSMKSPPLILAALVACVIVLGFNYWIASSRSVELQTRIVELEGRVRRAAAER GAVELKKNEFQGELQKQREQLDRIQSSHSFQLENVNKLHQDEKAVLVNNITTGEKLIRDL QDQLKALQRSYSSLQQDIFQFQKNQTSLEKKFSYDLNQCISQMTEVKEQCDERIEEVIRK RNEAPGSRDLAETNNQHQQALKPQPKLQEEVPSEEQMPQEKGDVPRNKSQIPAPNSESLG LKPQVQNEETNEIQAVGEEHQQASIQGQAVADGTRVGAEKLDQHTQLPAGLLARPEEDSQ YPEREQLVIRDRQEQQRASEEGGGQKNPGDEYDMDENEAESEREKQAALAGNDRNINVLN ADAQKRGIINVPVGSERQSHILNQVGIHIPQQA >ENSMUSP00000049112.7 pep:known chromosome:GRCm38:9:70542920:70592237:1 gene:ENSMUSG00000032212.9 transcript:ENSMUST00000049263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sltm description:SAFB-like, transcription modulator [Source:MGI Symbol;Acc:MGI:1913910] MAAAAGAVVASAASGPAEGKKITELRVIDLRSELKRRNLDINGVKTVLVSRLKQAIEEEG GDPDNIELTVSTDTPNKKPTKGKGKKQEADELSGDASVEDDSFVKDCELENQETHDQDGN EELKDLEEFGENEEEIVHSQELLSTEENKTTQEFVEAEAIEDREKEDIESQETEAQEGED DTFLTAQDGEEEENEKDIAGSGDGTQEVSKPLPSEGSLAEADHTAHEEMEANATGKEAED DNISVTIQAEDAITLDFDGDDLLETGKNVKITDSEASKPKDVQDAIAQSPEKEAKDYEMN PNHKDGKKEDSVKGEPVEKEARESAKKAESGDKEKDTLKKGPSSTGASGQAKSSSKESKD SKTSSKDDKGSTGSAGGSSGSSTKNIWVSGLSSNTKAADLKNLFGKYGKVLSAKVVTNAR SPGAKCYGIVTMSSSTEVSRCVAHLHRTELHGQLISVEKVKGDPSKKEMKKENDEKSSSR SAGDKKNASDRSAKTQASIKKEEKRSSEKSEKKESKDTKKIEKDEKNDDGPSGQTSESLK KSEEKKRISSKSPGHMVILNQTKGDHCRPSRRGRYEKGHGRSKEKERASLDKKRDKDYRR KEILPFEKMKEQRLREHLVRFERLKQAVEFRRRKEIAERERRERERIRIIREREERERLQ RERERLEIERQKLERERMERERLERERIRIEQERRREAERIAREREELRRQQQQLRYEQE KRNSLKRPRDVDHRRDDPYWSENKKLSLDTEARFGHGSDYRQQSRFLDFSHRERARFPDT ASVQSSFERRERFVGQSEGKKPRPAARREEPSFERYPKNFSDSRRNEPPPPRNELRETDR REVRGERDERRTVILHDRPEVAHPRHPRETVPNPSRPTSWKSEANMSTEKRESRVERPER SGREVSGHTVRGAPPGNRSSASGYGTREGERGVIADRGSGTQHYPEERHVVERHGRDTSG PRKEWHGPPSQGPSYHDTRRMGDGRAGAGMITQHSSTASPVNRIVQMSGNSLPRGSSSGF KPFKSGPPRRF >ENSMUSP00000137492.1 pep:known chromosome:GRCm38:11:69876684:69878018:-1 gene:ENSMUSG00000094845.1 transcript:ENSMUST00000178597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem95 description:transmembrane protein 95 [Source:MGI Symbol;Acc:MGI:3779488] MWVLALGGAFLAVAKACIFCRLQDHALANRLAQLNNQTKPKWKWKEWASPDFSAFALDEV SMKQVTEKTHRVLRVIEKKGSTSLIPLYWQWLQKTRIPQYTREALCAPVCRGSTILYNCS TCEGKEESCWPQKHCYPDSHDLWDARILLLCIFGIVLLSGVVSLQVEYLNLQAKDL >ENSMUSP00000032622.7 pep:known chromosome:GRCm38:7:7130678:7136755:-1 gene:ENSMUSG00000063535.6 transcript:ENSMUST00000032622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp773 description:zinc finger protein 773 [Source:MGI Symbol;Acc:MGI:1923623] MLVSGQRKTGFTALCPELEGARGARLCPLADRFEVYEDPVWLVAGLERIAMSTDPTAQQN QTAVALQGGQAQGGMAFSDVAIYFLRGEWRLLDDSQRRLYHQVMMEVFVLMSSLGLIPSG TDITQLGSSGNRFIPALRFLTPRVELAKIPCEQTLSTTMQQQQQQQQLSHAEKPLQRAEC RISVPKRNRSDPSEKTLQSTDNTMDCHTLSGLHRHHVTHSAVERPKSTKRGKATPSEKRN CKCYDCGKSFNCKSLLIRHRRIHTGEKPFKCSECEKSFIQKTDLNQHLKVHTGEKPFRCS ECGKDFKHNRSLVGHQRLHTGEKPYKCNQCGESYMNRSSLICHYLVHTGEKPYKCGECGK LFKEKSSLVYHTRVHTGERPFECSQCRKCFKKNSHLVKHQKVHSRGRPFKCNVCGRYFTM RYSLIKHQRFHTAAKHHECKECGKVFCYRTGLSRHRKIHAEKSIECNEHGK >ENSMUSP00000064332.6 pep:known chromosome:GRCm38:19:37550418:37683245:1 gene:ENSMUSG00000053799.6 transcript:ENSMUST00000066439.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc6 description:exocyst complex component 6 [Source:MGI Symbol;Acc:MGI:1351611] MAESCEALGTVPEHERILQEIESTDTACVGPTLRSVYDDQPNAHKKFMEKLDACIRNHDK EIEKMCNFHHQGFVDAITELLKVRADAEKLKVQVTDTNRRFQDAGKEVIIQTEDIIRCRI QQRNITTVVEKLQLCLPVLEMYSKLKEQMSMKRYYSALKTMEQLENVYFPRVSQYRFCQL MMETLPKLREDIKDISMSDLKDFLESIRKHSDKIGEAAMKQAQQQKSFSVALQKQNNMRF GKNMHVNNDRILEEKSDVIPKHALEEEAENDEEVLTVQDLVDFSPVYRCLHIYSALGDEE TFENYYRKQRKKQARLVLQPQSSVHETVDGYRRYFTQIVGFFVVEDHILHVTQGLVTRVY TEELWNMALSKIIAVLRAHSSYCTDPDLVLELKNLIVIFADTLQGYGFPVNRLFDLLFEI RDQYNETLLKKWAGIFRDIFEEDNYSPIPIGSEEEYKVVISRFPFQDPDLEKQSFPKKFP MSQSVPLIYIQVKEFIYASLKFSESLHRSSTEIDDMLRKSTNLLLTRILSSCLLNLIRKP HIGLTELVQIIINTTHLEQACKYLEDFITNITNISQETVHTTRLYGLSTFKDARHAAEGE IYTKLNQKIDEFVQLADYDWTMAESDGRASGYLMDLINFLRSIFQVFTHLPGKVAQTACM SACQHLSTSLMQMLLDSELKQISMGAVQQFNLDVIQCELFASSEPVPGFQGDTLQLAFID LRQLLDLFMVWDWSTYLADYGQPASKYLRVNPHAALTLLEKMKDTSKKNNIFAQFRKNDR DRQKLIETVVRQLRGLVTGMSQHT >ENSMUSP00000022089.8 pep:known chromosome:GRCm38:13:69809882:69816094:1 gene:ENSMUSG00000021598.8 transcript:ENSMUST00000022089.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med10 description:mediator complex subunit 10 [Source:MGI Symbol;Acc:MGI:106331] MAEKFDHLEEHLEKFVENIRQLGIIVSDFQPSSQAGLSQKLNFIVTGLQDIDKCRQQLHD ITVPLEVFEYIDQGRNPQLYTKECLERALAKNEQVKGKIDTMKKFKSLLIQELSKVFPED MAKYRSIRGEDHPPS >ENSMUSP00000076162.5 pep:known chromosome:GRCm38:8:85171322:85173622:1 gene:ENSMUSG00000062687.5 transcript:ENSMUST00000076896.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cks1brt description:CDC28 protein kinase 1b, retrogene [Source:MGI Symbol;Acc:MGI:3643620] MPACCWELSGGQRRGAIMSHKQIYYSDKYDDEEFEYRHVMLPKDIDKLVPKTHLMSESEW RKLGVQQSQGWVHYMIHEPELHILLFQWPLPKKPKK >ENSMUSP00000051569.8 pep:known chromosome:GRCm38:15:83546797:83555711:-1 gene:ENSMUSG00000048755.8 transcript:ENSMUST00000061882.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcat description:malonyl CoA:ACP acyltransferase (mitochondrial) [Source:MGI Symbol;Acc:MGI:2388651] MSARVARAGWAWRSWGRRAASSLREPPPDAVDVAELLRDSSVAEEGAQEAVARRRPPSQC SVLLFPGQGCQAVGMGSGLLHLPRVRQLYEAAHRVLGYDLLELCLRGPQEDLDRTVHCQP AVFVASLAAVEKLHHLQPAVIDNCVAAAGFSVGEFAALVFAGAMDFSEGLYAVKARAEAM QEASEAVPSGMLSVLGQRQSNFSFACLEAQEHCKSLGIENPVCQVSNYLFPDCRVISGHL EALQFLRRNSAKYHFRRTKMLPVSGGFHTCLMEPAVDPLMKVLGSINIKKPLVAVHSNVS GQKYTHPQHIRKLLGQQVVSPVKWEQTMHSIYERKKGMEFPSTYEVGPGQQLGSILKCCN RQAWKSYSHVDVMQNIMDPDP >ENSMUSP00000022119.4 pep:known chromosome:GRCm38:13:90935349:91223987:-1 gene:ENSMUSG00000021619.5 transcript:ENSMUST00000022119.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg10 description:autophagy related 10 [Source:MGI Symbol;Acc:MGI:1914045] MEDEFFGEKSFQHYCAEFIRHSQQIGDGWEWRTAKECSDGYMCKTQFRIKNETLTPHASV LTCLPTEENLELPMDDSEVTRPAAVAEVIKHEYHVLYSCSYQVPVLYFRASFLDGRPLAL EDIWEGVHECYKPRLLQGPWDTITQQEHPILGQPFFVLHPCKTNEFMTAVLKNSQKINRN VNYITSWLSLVGPVVGLNLPLSYAKATSQSE >ENSMUSP00000128331.1 pep:known chromosome:GRCm38:19:46075863:46085528:1 gene:ENSMUSG00000015176.9 transcript:ENSMUST00000165017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nolc1 description:nucleolar and coiled-body phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:1918019] MADTGLRRVVPSDLYPLVLRFLRDSQLSEVASKFAKATGATQQDANASSLLDIYSFWLNR STKAPKVKLQSNGPVTKKAKKETSSSDSSEDSSEDEDKKAQGLPTQKAAAQVKRASVPQH AGKAAAKASESSSSEESSEEEEEDKKKKPVQQKAAKPQAKAVRPPAKKAESSESDSDSDS DSSSEEETPQTQKPKAAVAAKAQTKAEAKPGTPAKAQPKVANGKAAASSSSSSSSDDSEE EKKAAAPPKKTVPKKQVVAKAPVKVAAAPTQKSSSSEDSSSEEEEGQRQPMKKKAGPYSS VPPPSVPLPKKSPGTQAPKKAAAQTQPADSSDDSSDDSDSSSEEEKKPPAKTVVSKTPAK AAPVKKKAESSSDSSDSDSSEDEAPAKPVSTTKSPKPAVTPKPSAAKAVTTPKQPAGSNQ KPQSRKADSSSSEEESSSSEEEEASKKSATTPKAKVTAKAAPAKQAPQAAGDSSSDSDSS SSEEEEKTPKPPAKKKAAGGAVSTPAPGKKAEAKSSSSSSSSSSEDSSEEEKKKKPKATT PKIQASKANGTPASLNGKAAKESEEEEEEEETEEKKKAAGTKPGSGKKRKQNETADEATT PQAKKVKLETPNTFPKRKKGERRASSPFRRVREEEIEVDSRVADNSFDAKRGAAGDWGER ANQVLKFTKGKSFRHEKTKKKRGSYRGGSISVQVNSVKFDSE >ENSMUSP00000035010.8 pep:known chromosome:GRCm38:9:115242607:115310421:-1 gene:ENSMUSG00000032437.9 transcript:ENSMUST00000035010.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stt3b description:STT3, subunit of the oligosaccharyltransferase complex, homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915542] MAEPSAPESKHKSSLNSSPWSGLMALGNSRHGHHGPGTQSASRAAAPKPGPPAGLSGGLS QPAGWQSLLSFTILFLAWLAGFSSRLFAVIRFESIIHEFDPWFNYRSTHHLASHGFYEFL NWFDERAWYPLGRIVGGTVYPGLMITAGLIHWILNTLNITVHIRDVCVFLAPTFSGLTSI STFLLTRELWNQGAGLLAACFIAIVPGYISRSVAGSFDNEGIAIFALQFTYYLWVKSVKT GSVFWTMCCCLSYFYMVSAWGGYVFIINLIPLHVFVLLLMQRYSKRVYIAYSTFYIVGLI LSMQIPFVGFQPIRTSEHMAAAGVFALLQAYAFLQYLRDRLTKQEFQTLFFLGVSLAAGA VFLSVIYLTYTGYIAPWSGRFYSLWDTGYAKIHIPIIASVSEHQPTTWVSFFFDLHILVC TFPAGLWFCIKNINDERVFVALYAISAVYFAGVMVRLMLTLTPVVCMLSAIAFSNVFEHY LGDDMKRENPPVEDSSDEDDKRNPGNLYDKAGKVRKHVTEQEKPEEGLGPNIKSIVTMLM LMLLMMFAVHCTWVTSNAYSSPSVVLASYNHDGTRNILDDFREAYFWLRQNTDEHARVMS WWDYGYQIAGMANRTTLVDNNTWNNSHIALVGKAMSSNETAAYKIMRSLDVDYVLVIFGG VIGYSGDDINKFLWMVRIAEGEHPKDIREGDYFTQQGEFRVDKAGSPTLLNCLMYKMSYY RFGEMQLDFRTPPGFDRTRNAEIGNKDIKFKHLEEAFTSEHWLVRIYKVKAPDNRETLGH KPRVTNIVPKQKYLSKKTTKRKRGYVKNKLVFKKGKKTSKKTV >ENSMUSP00000039243.4 pep:known chromosome:GRCm38:18:53176365:53220860:1 gene:ENSMUSG00000034484.7 transcript:ENSMUST00000037850.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx2 description:sorting nexin 2 [Source:MGI Symbol;Acc:MGI:1915054] MAAEREPPPLGDVKPTDFEELEDGEDLFTSTVSTLESSPSSPEPASLPAEDISANSNGSK PVEVVLDDDREDLFAEATEEVSLDSPERELILSSEPSPAVTPVTPTTLIAPRIESKSISA PVIFDRSRDEIEEEANGDIFDIEIGVSDPEKVGDGMNAYMAYRVTTKTSLSMFSKSEFSV KRRFSDFLGLHSKLASKYLHVGYIVPPAPEKSIVGMTKVKVGKEDSSSTEFVEKRRAALE RYLQRTVKHPTLLQDPDLRQFLESSELPRAVNTQALSGAGILRMVNKAADAVNKMTIKMN ESDAWFEEKQQQFENLDQQLRKLHASVEALVCHRKELSANTAAFAKSAAMLGNSEDHTAL SRALSQLAEVEEKIDQLHQEQAFADFYMFSELLSDYIRLIAAVKGVFDHRMKCWQKWEDA QITLLKKRETEAKMMVANKPDKIQQAKNEIREWEAKVQQGERDFEQISKTIRKEVGRFEK ERVKDFKAVIIKYLESLVQTQQQLIKYWEAFLPEAKAIA >ENSMUSP00000083231.2 pep:known chromosome:GRCm38:9:37803889:37804936:1 gene:ENSMUSG00000066750.2 transcript:ENSMUST00000086064.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr876 description:olfactory receptor 876 [Source:MGI Symbol;Acc:MGI:3030710] MTAKNSSVTEFILAGLTDQPGLRMPLFFLFLGFYMVTVVGNLGLISLIGLNSHLHTPMYF FLFNLSLIDFCYSSTISPKMLMSFISKKNIISHPGCMAQLFFFCFFVISESFILSAMAYD RYVAICNPLMYMVTMSPQVCLLLLFGVYLMGFVGAMAHTISMARLTFCADNIVNHYMCDI LPLLEHSCTSTYVNELVVFIFVSFDIGVPIVTIFISYALILSSILHMHSTEGRSKAFSTC SSHMIVVCLFFGSGAFMYLQPPSVLSLDQGKVSSLFYTIVVPMLNPLIYSLRNKDVKVTV RKTLDRRIFS >ENSMUSP00000131943.2 pep:known chromosome:GRCm38:15:89371639:89373818:-1 gene:ENSMUSG00000091780.2 transcript:ENSMUST00000167643.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sco2 description:SCO cytochrome oxidase deficient homolog 2 (yeast) [Source:MGI Symbol;Acc:MGI:3818630] MLLALGPKAWPKLSQFKPLLRISGGETLHRNSRHWAGQGQRQGPGLRTRLLITALFGAGL GWAWLAARAEKEQWRQQQRTEALRQAAVGQGDFSLLDHKGQPRCKADFRGQWVLMYFGFT HCPDICPDELEKLVQVVRKLEAEPDLPLVQPVFITVDPERDDVAAMARYVQEFHPRLLGL TGSTEQVAHASRNYRVYYSAGPKDEDQDYIVDHSIAIYLLNPDGLFTDYYGRSRSAEQIV ESIRRHIAAFHSVLP >ENSMUSP00000054193.4 pep:known chromosome:GRCm38:13:113662896:113663676:-1 gene:ENSMUSG00000051456.4 transcript:ENSMUST00000054650.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb3 description:heat shock protein 3 [Source:MGI Symbol;Acc:MGI:1928479] MAKIILRHLIETPVRYQEEFEARGLEDCRLDHTLYALPGPTIEDLSKARGAGTPQALAED SASTEKPPGEGKSRFQILLDVVQFLPEDIIIQTFEGWLLIKAQHGTRMDEHGFISRSFTR QYKLPDGVETKDLSAILCHDGILVVEVKDSLGTK >ENSMUSP00000107475.1 pep:known chromosome:GRCm38:6:140729284:140756053:1 gene:ENSMUSG00000079264.1 transcript:ENSMUST00000111844.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11077 description:predicted gene 11077 [Source:MGI Symbol;Acc:MGI:3779304] IHINKNKNNHVTKLKCTGRMLYTFFLMD >ENSMUSP00000127997.1 pep:known chromosome:GRCm38:18:8694077:8694541:1 gene:ENSMUSG00000092097.1 transcript:ENSMUST00000171469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5819 description:predicted gene 5819 [Source:MGI Symbol;Acc:MGI:3643122] MRPPTLPGSALDLRLSDINIASLDKDLEEQDLGLTGLNGVPGSIWDFVSGSFSPSPSPIL NSGPSASSSASPNSAELARVRRQLDEAKRKIRQWEESWQQVKQVIFQLRAKQCVACQERA HGTVLRPCQHRVLCEPCAASTPECPYCKGQPLPW >ENSMUSP00000126826.1 pep:known chromosome:GRCm38:9:45117876:45130070:1 gene:ENSMUSG00000070304.11 transcript:ENSMUST00000170998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn2b description:sodium channel, voltage-gated, type II, beta [Source:MGI Symbol;Acc:MGI:106921] MHRDAWLPRPAFSLTGLSLFFSLVPPGRSMEVTAPTTLSVLNGSDTRLPCTFNSCYTVNH KQFSLNWTYQECNNCTEEMFLQFRMKIINLKLERFGDRVEFSGNPSKYDVSVTLKNVQLE DEGIYNCYITNPPDRHRGHGKIYLQVLLEVPPERDSTVAVIVGASVGGFLAVVILVLMVV KCVRRKKEQKLSTDDLKTEEEGKMDGEGNAEDGTK >ENSMUSP00000091377.3 pep:known chromosome:GRCm38:9:45117881:45130068:1 gene:ENSMUSG00000070304.11 transcript:ENSMUST00000093855.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn2b description:sodium channel, voltage-gated, type II, beta [Source:MGI Symbol;Acc:MGI:106921] MHRDAWLPRPAFSLTGLSLFFSLVPPGRSMEVTAPTTLSVLNGSDTRLPCTFNSCYTVNH KQFSLNWTYQECNNCTEEMFLQFRMKIINLKLERFGDRVEFSGNPSKYDVSVTLKNVQLE DEGIYNCYITNPPDRHRGHGKIYLQVLLEVPPERDSTVAVIVGASVGGFLAVVILVLMVV KCVRRKKEQKLSTDDLKTEEEGKMDGEGNAEDGTK >ENSMUSP00000024792.6 pep:known chromosome:GRCm38:17:48359916:48367174:1 gene:ENSMUSG00000023993.6 transcript:ENSMUST00000024792.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Treml1 description:triggering receptor expressed on myeloid cells-like 1 [Source:MGI Symbol;Acc:MGI:1918576] MDCYLLLLLLLLGLAGQGSADSHPEVLQAPVGSSILVQCHYRLQDVRALKVWCQFLQEGC HPLVTSAVDRRAPGNGRIFLTDLGGGLLQVEMVTLQEEDTGEYGCVVEGAAGPQTLHRVS LLVLPPVPGPREGEEAEDEKETYRIGTGSLLEDPSLDPSASAGPHEFRRRENRCQKQCIP LIWGAVLLLALVVVAVVIFAVMARKKGNRLVVCGPSQSTGVPGMDPPSAAHRSSDSGLPS DIPHVRLDSPPSFDSIYTGSSLDPPSSEPPAPPSQPPLPPKVLMSSKSVTYATVVFPGGD KGKIASCEPVQDPPNSQTPPSK >ENSMUSP00000091387.1 pep:known chromosome:GRCm38:9:38268515:38269465:1 gene:ENSMUSG00000096427.1 transcript:ENSMUST00000093864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr895 description:olfactory receptor 895 [Source:MGI Symbol;Acc:MGI:3030729] MSLNAQKTMENDSSVSEFILMGLTDQPELQLPLFVLFLVNYTVTVMGNLSLMNLICLNSN LHTPMYFFIFNLSFIDFCYSMVFTPKMLMGFVVEKNIISFRGCMTQLFFFLFFVNSESYV LTAMAYDRYVAICQPLLYKAVMSPGICFLLIFCTYLMGLVSALFHTGFMIRLNFCDSNVI NHYMCDIFPLFRLSCSSTYLTELVSSAVVGTAIILCCLIILISYGMILYNIIHMSSGKGW SKALGTCGSHIITVSLFYVTGMLAYVKPSSAETVGQGKIFSVFYTFLVPMLNPLIYSLRN KDVKLAVKKTWKRLTC >ENSMUSP00000136752.1 pep:known chromosome:GRCm38:Y:7405010:7405552:1 gene:ENSMUSG00000095602.1 transcript:ENSMUST00000177878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21788 description:predicted gene, 21788 [Source:MGI Symbol;Acc:MGI:5433952] MTNINFTTSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEVCMRTTLSHEDSLRHPTFLMRFPDMLLLPRENRGKPLLPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHPKRISAFLFLLRRTQNIFLMSKRERKLSELPNGSP >ENSMUSP00000132997.1 pep:known chromosome:GRCm38:14:32785963:32817968:1 gene:ENSMUSG00000041707.7 transcript:ENSMUST00000039191.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810011H11Rik description:RIKEN cDNA 1810011H11 gene [Source:MGI Symbol;Acc:MGI:1916319] MSSGVPLLRVLLFLLGIGGAQVLATGKPAETEIDFKYAIIGMAVGVAISAGFLALKICMI RRHLSDNDSADLKNTPQDTILLKKKSPRDAREIEL >ENSMUSP00000136464.1 pep:known chromosome:GRCm38:7:42578466:42578588:-1 gene:ENSMUSG00000094462.1 transcript:ENSMUST00000179470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21028 description:predicted gene, 21028 [Source:MGI Symbol;Acc:MGI:5434383] EKPYECILNFKAFGNFCSLCDHESIHRGVNLCECKQCGKPF >ENSMUSP00000136288.1 pep:known chromosome:GRCm38:Y:13465037:13465579:-1 gene:ENSMUSG00000093883.1 transcript:ENSMUST00000178428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21866 description:predicted gene, 21866 [Source:MGI Symbol;Acc:MGI:5434030] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMRTTLSHEDSLRHHTFLMRFPDTLLLPKENRGKPLFPKEPCKLP LQIKNALSHIQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000096378.2 pep:known chromosome:GRCm38:8:47675391:47677055:1 gene:ENSMUSG00000074357.2 transcript:ENSMUST00000098781.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA386476 description:expressed sequence AA386476 [Source:MGI Symbol;Acc:MGI:3034595] PFDRSPDGCPREAGRPLSSFLFPYRDRKRRLGSLGPELPTLPPSGGSSASLASLQDSSER PLGAAGRVRPEGELRRPRVQRARLRACAATSRRAPRGHGPGAPGRTAEV >ENSMUSP00000137987.1 pep:known chromosome:GRCm38:2:67432816:67433181:-1 gene:ENSMUSG00000097112.1 transcript:ENSMUST00000180773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26727 description:predicted gene, 26727 [Source:MGI Symbol;Acc:MGI:5477221] MHFIFREGKYLSRFCPLLIISFILSLLYFQVDTKLNSITMAKMKLYNFSSFISAYIYTHT HTHTYIYIYIYVCVCVKYILTIIILLIMIINYSVKIHCFLSKCIKVMVLCRYCLPFKTTS W >ENSMUSP00000038048.7 pep:known chromosome:GRCm38:17:56119680:56121946:-1 gene:ENSMUSG00000037095.7 transcript:ENSMUST00000041357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrg1 description:leucine-rich alpha-2-glycoprotein 1 [Source:MGI Symbol;Acc:MGI:1924155] MVSWQHQGSLQDLKTCLARTLFLLALLGRVSSLKECLILQSAEGSTVSCHGPTEFPSSLP ADTVHLSVEFSNLTQLPAAALQGCPGLRELHLSSNRLQALSPELLAPVPRLRALDLTRNA LRSLPPGLFSTSANLSTLVLRENQLREVSAQWLQGLDALGHLDLAENQLSSLPSGLLASL GALHTLDLGYNLLESLPEGLLRGPRRLQRLHLEGNRLQRLEDSLLAPQPFLRVLFLNDNQ LVGVATGSFQGLQHLDMLDLSNNSLSSTPPGLWAFLGRPTRDMQDGFDISHNPWICDKNL ADLCRWLVANRNKMFSQNDTRCAGPEAMKGQRLLDVAELGSL >ENSMUSP00000016138.9 pep:known chromosome:GRCm38:8:25998729:26015601:-1 gene:ENSMUSG00000015994.9 transcript:ENSMUST00000016138.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fnta description:farnesyltransferase, CAAX box, alpha [Source:MGI Symbol;Acc:MGI:104683] MAATEGVGESAAGGEPGQPEQPPPPPPPPPAQQPQEEEMAAEAGEAAASPMDDGFLSLDS PTYVLYRDRAEWADIDPVPQNDGPNPVVQIIYSEKFRDVYDYFRAVLQRDERSERAFKLT RDAIELNAANYTVWHFRRVLLRSLQKDLQEEMNYITAIIEEQPKNYQVWHHRRVLVEWLK DPSQELEFIADILSQDAKNYHAWQHRQWVIQEFRLWDNELQYVDQLLKEDVRNNSVWNQR HFVISNTTGYSDRAVLEREVQYTLEMIKLVPHNESAWNYLKGILQDRGLSRYPNLLNQLL DLQPSHSSPYLIAFLVDVYEDMLENQCDNKEDILNKALELCEILAKEKDTIRKEYWRYIG RSLQSKHCRESDIPASV >ENSMUSP00000131746.1 pep:known chromosome:GRCm38:12:24251846:24252181:-1 gene:ENSMUSG00000096279.1 transcript:ENSMUST00000169148.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9312 description:predicted gene 9312 [Source:MGI Symbol;Acc:MGI:3647775] MLDQISVSEFVAETLEDYKAPTASSFTTRSAQCRDTAAAIEEVSGSVARNGREARVAGIA LRPGHLVGFRAWCPIPSALRLRPHLGKVSGTPKQDAAGPAVPDTEALCSLL >ENSMUSP00000137122.1 pep:known chromosome:GRCm38:17:25184561:25187661:1 gene:ENSMUSG00000067722.3 transcript:ENSMUST00000088307.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC003965 description:cDNA sequence BC003965 [Source:MGI Symbol;Acc:MGI:2670966] MNSVLCSRAAGAVRALRLVGWASRSLHPPPRGRSPAQPADREEEDDDPNLPIQFSGSKAT PIRWTVEHSLGKPQQRPWWKVLPLTLTLVALVVWCYQREESGMDLWLRQVLEEEDEEEPE GPPEELEAPALYGART >ENSMUSP00000109781.2 pep:known chromosome:GRCm38:9:58196298:58204319:-1 gene:ENSMUSG00000051243.13 transcript:ENSMUST00000114144.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Islr2 description:immunoglobulin superfamily containing leucine-rich repeat 2 [Source:MGI Symbol;Acc:MGI:2444277] MGPFGALCLAWALLGVVRACPEPCACVDKYAHQFADCAYKELREVPEGLPANVTTLSLSA NKITVLRRGAFVNVTQVTSLWLAHSEVRTVESGALAVLSQLKNLDLSHNLISNFPWSDLR NLSALQLLKMNHNRLGSLPRDALGALPDLRSLRINNNRLRTLEPGTFDALSALSHLQLYH NPFHCSCGLVWLQAWAASTRVSLPEPDSIACASPPELQGVPVHRLPALPCAPPSVRLSAE PPPEAPGTPLRAGLAFMLHCVAEGHPTPRLQWQLQIPGGTVVLVPPVLSKEEDGGDKVED GEGDGDEDLPTQTEAPTPTPAPAWPAPPATPRFLALANGSLLVPLLSAKEAGIYTCRAHN ELGTNSTSLRVTVAAAGPPKHAPGTGEEPDAQVPTSERKATTKGRSNSVLPFKPEGKTKG QGLARVSVLGEIEAELEETDEGEQMEGQIPADPMGEKHCGHGDPSRYVSNHAFNQSSDLK PHVFELGVIALDVAEREARVQLTPLAARWGPGPDGASGARRPGRRPLRLLYLCPAGGGTA VQWSRVEEGVNAYWFRGLRPGTNYSVCLALAGEACHVQVVFSTKKELPSLLVIVTVSVFL LVLATVPLLGAACCHLLAKHPGKPYRLILRPQAPDPMEKRIAADFDPRASYLESEKSYPA RGEAGGEEPEEVPEEGLDEDVEQGDPSGDLQREESLAGCSLVESQSKANQEEFEAGSEYS DRLPLGAEAVNIAQEINGNYRQTAG >ENSMUSP00000127228.1 pep:known chromosome:GRCm38:9:58196298:58202534:-1 gene:ENSMUSG00000051243.13 transcript:ENSMUST00000170421.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Islr2 description:immunoglobulin superfamily containing leucine-rich repeat 2 [Source:MGI Symbol;Acc:MGI:2444277] MGPFGALCLAWALLGVVRACPEPCACVDKYAHQFADCAYKELREVPEGLPANVTTLSLSA NKITVLRRGAFVNVTQVTSLWLAHSEVRTVESGALAVLSQLKNLDLSHNLISNFPWSDLR NLSALQLLKMNHNRLGSLPRDALGALPDLRSLRINNNRLRTLEPGTFDALSALSHLQLYH NPFHCSCGLVWLQAWAASTRVSLPEPDSIACASPPELQGVPVHRLPALPCAPPSVRLSAE PPPEAPGTPLRAGLAFMLHCVAEGHPTPRLQWQLQIPGGTVVLVPPVLSKEEDGGDKVED GEGDGDEDLPTQTEAPTPTPAPAWPAPPATPRFLALANGSLLVPLLSAKEAGIYTCRAHN ELGTNSTSLRVTVAAAGPPKHAPGTGEEPDAQVPTSERKATTKGRSNSVLPFKPEGKTKG QGLARVSVLGEIEAELEETDEGEQMEGQIPADPMGEKHCGHGDPSRYVSNHAFNQSSDLK PHVFELGVIALDVAEREARVQLTPLAARWGPGPDGASGARRPGRRPLRLLYLCPAGGGTA VQWSRVEEGVNAYWFRGLRPGTNYSVCLALAGEACHVQVVFSTKKELPSLLVIVTVSVFL LVLATVPLLGAACCHLLAKHPGKPYRLILRPQAPDPMEKRIAADFDPRASYLESEKSYPA RGEAGGEEPEEVPEEGLDEDVEQGDPSGDLQREESLAGCSLVESQSKANQEEFEAGSEYS DRLPLGAEAVNIAQEINGNYRQTAG >ENSMUSP00000130322.1 pep:known chromosome:GRCm38:9:58196298:58202285:-1 gene:ENSMUSG00000051243.13 transcript:ENSMUST00000163897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Islr2 description:immunoglobulin superfamily containing leucine-rich repeat 2 [Source:MGI Symbol;Acc:MGI:2444277] MGPFGALCLAWALLGVVRACPEPCACVDKYAHQFADCAYKELREVPEGLPANVTTLSLSA NKITVLRRGAFVNVTQVTSLWLAHSEVRTVESGALAVLSQLKNLDLSHNLISNFPWSDLR NLSALQLLKMNHNRLGSLPRDALGALPDLRSLRINNNRLRTLEPGTFDALSALSHLQLYH NPFHCSCGLVWLQAWAASTRVSLPEPDSIACASPPELQGVPVHRLPALPCAPPSVRLSAE PPPEAPGTPLRAGLAFMLHCVAEGHPTPRLQWQLQIPGGTVVLVPPVLSKEEDGGDKVED GEGDGDEDLPTQTEAPTPTPAPAWPAPPATPRFLALANGSLLVPLLSAKEAGIYTCRAHN ELGTNSTSLRVTVAAAGPPKHAPGTGEEPDAQVPTSERKATTKGRSNSVLPFKPEGKTKG QGLARVSVLGEIEAELEETDEGEQMEGQIPADPMGEKHCGHGDPSRYVSNHAFNQSSDLK PHVFELGVIALDVAEREARVQLTPLAARWGPGPDGASGARRPGRRPLRLLYLCPAGGGTA VQWSRVEEGVNAYWFRGLRPGTNYSVCLALAGEACHVQVVFSTKKELPSLLVIVTVSVFL LVLATVPLLGAACCHLLAKHPGKPYRLILRPQAPDPMEKRIAADFDPRASYLESEKSYPA RGEAGGEEPEEVPEEGLDEDVEQGDPSGDLQREESLAGCSLVESQSKANQEEFEAGSEYS DRLPLGAEAVNIAQEINGNYRQTAG >ENSMUSP00000129328.1 pep:known chromosome:GRCm38:9:58196298:58201799:-1 gene:ENSMUSG00000051243.13 transcript:ENSMUST00000165276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Islr2 description:immunoglobulin superfamily containing leucine-rich repeat 2 [Source:MGI Symbol;Acc:MGI:2444277] MGPFGALCLAWALLGVVRACPEPCACVDKYAHQFADCAYKELREVPEGLPANVTTLSLSA NKITVLRRGAFVNVTQVTSLWLAHSEVRTVESGALAVLSQLKNLDLSHNLISNFPWSDLR NLSALQLLKMNHNRLGSLPRDALGALPDLRSLRINNNRLRTLEPGTFDALSALSHLQLYH NPFHCSCGLVWLQAWAASTRVSLPEPDSIACASPPELQGVPVHRLPALPCAPPSVRLSAE PPPEAPGTPLRAGLAFMLHCVAEGHPTPRLQWQLQIPGGTVVLVPPVLSKEEDGGDKVED GEGDGDEDLPTQTEAPTPTPAPAWPAPPATPRFLALANGSLLVPLLSAKEAGIYTCRAHN ELGTNSTSLRVTVAAAGPPKHAPGTGEEPDAQVPTSERKATTKGRSNSVLPFKPEGKTKG QGLARVSVLGEIEAELEETDEGEQMEGQIPADPMGEKHCGHGDPSRYVSNHAFNQSSDLK PHVFELGVIALDVAEREARVQLTPLAARWGPGPDGASGARRPGRRPLRLLYLCPAGGGTA VQWSRVEEGVNAYWFRGLRPGTNYSVCLALAGEACHVQVVFSTKKELPSLLVIVTVSVFL LVLATVPLLGAACCHLLAKHPGKPYRLILRPQAPDPMEKRIAADFDPRASYLESEKSYPA RGEAGGEEPEEVPEEGLDEDVEQGDPSGDLQREESLAGCSLVESQSKANQEEFEAGSEYS DRLPLGAEAVNIAQEINGNYRQTAG >ENSMUSP00000130879.1 pep:known chromosome:GRCm38:9:58196298:58201715:-1 gene:ENSMUSG00000051243.13 transcript:ENSMUST00000163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Islr2 description:immunoglobulin superfamily containing leucine-rich repeat 2 [Source:MGI Symbol;Acc:MGI:2444277] MHSPFLPTATATDARSSLRLSPESGDRLAAPQHHTASQRAAGVTMGPFGALCLAWALLGV VRACPEPCACVDKYAHQFADCAYKELREVPEGLPANVTTLSLSANKITVLRRGAFVNVTQ VTSLWLAHSEVRTVESGALAVLSQLKNLDLSHNLISNFPWSDLRNLSALQLLKMNHNRLG SLPRDALGALPDLRSLRINNNRLRTLEPGTFDALSALSHLQLYHNPFHCSCGLVWLQAWA ASTRVSLPEPDSIACASPPELQGVPVHRLPALPCAPPSVRLSAEPPPEAPGTPLRAGLAF MLHCVAEGHPTPRLQWQLQIPGGTVVLVPPVLSKEEDGGDKVEDGEGDGDEDLPTQTEAP TPTPAPAWPAPPATPRFLALANGSLLVPLLSAKEAGIYTCRAHNELGTNSTSLRVTVAAA GPPKHAPGTGEEPDAQVPTSERKATTKGRSNSVLPFKPEGKTKGQGLARVSVLGEIEAEL EETDEGEQMEGQIPADPMGEKHCGHGDPSRYVSNHAFNQSSDLKPHVFELGVIALDVAER EARVQLTPLAARWGPGPDGASGARRPGRRPLRLLYLCPAGGGTAVQWSRVEEGVNAYWFR GLRPGTNYSVCLALAGEACHVQVVFSTKKELPSLLVIVTVSVFLLVLATVPLLGAACCHL LAKHPGKPYRLILRPQAPDPMEKRIAADFDPRASYLESEKSYPARGEAGGEEPEEVPEEG LDEDVEQGDPSGDLQREESLAGCSLVESQSKANQEEFEAGSEYSDRLPLGAEAVNIAQEI NGNYRQTAG >ENSMUSP00000137243.1 pep:known scaffold:GRCm38:GL456211.1:226405:229375:-1 gene:ENSMUSG00000094514.1 transcript:ENSMUST00000178645.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC133103.3 QPFSHGIFSSRMSTEQENTEMHLIECMLKHFKTQKVAISNAIRSTFPFLESLRDREFITG KMYEDLLDSCRSLVPVDKVIYRALEELEKKFDMTVLCELFNEVNMEKYPNLNLIRRSFEC GN >ENSMUSP00000035263.5 pep:known chromosome:GRCm38:14:40906445:40966807:-1 gene:ENSMUSG00000037824.5 transcript:ENSMUST00000047652.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan14 description:tetraspanin 14 [Source:MGI Symbol;Acc:MGI:1196325] MHYYRYSNAEVSCWYKYLLFSYNIVFWLAGVVFLGVGLWAWSEKGVLSDLTKVTRLHGID PVVLVLMVGVVMFTLGFAGCVGALRENICLLKFFCGAIVLIFFLELAVAVLAFLFQDWVR DRFREFFESNIKSYRDDIDLQNLIDSLQKANQCCGAYGPEDWDLNVYFNCSGASYSREKC GVPFSCCVPDPAQKVVNTQCGYDVRIQLKSKWDEFIFTKGCIQALEGWLPRNIYIVAGVF IAISLLQIFGIFLARTLISDIEAVKAGHHF >ENSMUSP00000137436.1 pep:known chromosome:GRCm38:Y:13941738:13942280:1 gene:ENSMUSG00000094227.1 transcript:ENSMUST00000178689.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21767 description:predicted gene, 21767 [Source:MGI Symbol;Acc:MGI:5433931] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMRTTLSHEDSLRHHTFLMRFPDTLLLPRENRGKPLFPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHLKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000022345.6 pep:known chromosome:GRCm38:14:20384638:20388910:-1 gene:ENSMUSG00000021811.6 transcript:ENSMUST00000022345.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc9 description:DnaJ heat shock protein family (Hsp40) member C9 [Source:MGI Symbol;Acc:MGI:1915326] MGLLELCEQVFGTADLYQVLGVRREASDGEVRRGYHKVSLQVHPDRVEEDQKEDATRRFQ ILGRVYAVLSDKEQKAVYDEQGTVDEDSAGLNQDRDWDAYWRLLFKKISLEDIQAFEKTY KGSEEELNDIKQAYLDFKGDMDQIMESVLCVQYTDEPRIRNIIQKAIESKEIPAYSAFVK ESKQKMNARKRRAQEEAKEAELSRKELGLEEGVDNLKALIQSRQKDRQKEMDSFLAQMEA KYCKPSKGGKRTALKKEKK >ENSMUSP00000049725.5 pep:known chromosome:GRCm38:8:35824709:35826559:-1 gene:ENSMUSG00000055976.5 transcript:ENSMUST00000060128.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn23 description:claudin 23 [Source:MGI Symbol;Acc:MGI:1919158] MRTPVVMTLGMVLTPCGLLLNLVSTLAPGWRLVKGFLDQPVDVVLYQGLWDICREQSSRE RECGQPDEWNYFQTQPVQVARGLMITSLATTALGLLLASLGVRCWQDEPHYGLAGLSGVV FFVAGLFSLIPVSWYNHFLSDPDVLAAPSSPVTVQVSYSLVLGYLGSCLLLLGGFSLALS FAPWCEERCRRCRKAPPAGPRRSSISTVYVDWPEPALTPAIKYYSDGQHRPPPTAEHRDT SKLKVGFPMPRPPPKSYTNPMDVLEGEEKKTATSQGGSSSRSTRPCQNSLPCDSDL >ENSMUSP00000083879.3 pep:known chromosome:GRCm38:17:46889216:46890405:-1 gene:ENSMUSG00000073388.2 transcript:ENSMUST00000086675.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A330017A19Rik description:RIKEN cDNA A330017A19 gene [Source:MGI Symbol;Acc:MGI:3045380] MEGGVIAPPGPRRRKLQLEQLAREPLACLWVFFFLSLSLFFFPFPFSLPSPLCSAGPCRP GNPHPSCPPPSTAARRGLGASGSPWAVTGAGRKRKSPLWERLGSSLERAPGFLGESKNRL FFLMANCCSRRGGGGG >ENSMUSP00000083927.3 pep:known chromosome:GRCm38:17:65256005:65540782:-1 gene:ENSMUSG00000045036.14 transcript:ENSMUST00000086722.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem232 description:transmembrane protein 232 [Source:MGI Symbol;Acc:MGI:2685786] MKAYKPPVVNKFGVISSTYHEELLKSIFESSNRRKSQKPKPSFSISKEFILRFNHTDNPA EEEELLEQARRLIVRSKRKLGLKTLGSGKHVHLPTAWAEVIYLAQCKGEIQDEALNMLHA SLDHVSFDHDQLPALFFLAESVLYRLCCDAFMKGYLYSVEIKLVKIGYLIFLRLFVFFLH GHLESFKQHLLRLQPYLYALHFSEPSYYKYPNIISNVQFILKTSEIICKRELHSEPFVES PDETEDPYSDLNHLQLNKRGYEVNHLLWHSVAAWSCVQNNRPQLTEVLEHLLFYKTQLQT KCWLDSALALMVLGEAAKLDMACLKTLMDLVTDFLENILSAQNQEENYNIYDTSWASEIV FTYTTIIAEVCLYAATSDLRKTALIGFCACKSPQQGISLTDKSEELPELDGASILTLLKY FSSRISDNCEKVIWIGYYGIVYNLVKMSWELQGEQDQDGLRNMIWQTLQKIKDYEQDPRI RCALVIAQAELNGPSDPFCTKATPNSGEEVFSKYIGWRIATTLSRLFFPSLDVAPPKTPV EVDLPRKHTIRERQPAKKRVLRFILKDHSSVVEVSMTPYPNFFTKADKKLEEIIDHHWQK DMEARKREEEAYKAQNQKDKEEKEKIHFQEIMKQRERKLNKQTKPYEIILSEKESGSEKK CGFFELKPSTAPNAK >ENSMUSP00000055652.6 pep:known chromosome:GRCm38:17:65256007:65540776:-1 gene:ENSMUSG00000045036.14 transcript:ENSMUST00000062161.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem232 description:transmembrane protein 232 [Source:MGI Symbol;Acc:MGI:2685786] MKAYKPPVVNKFGVISSTYHEELLKSIFESSNRRKSQKPKPSFSISKEFILRFNHTDNPA EEEELLEQARRLIVRSKRKLGLKTLGSGKHVHLPTAWAEVIYLAQCKGEIQDEALNMLHA SLDHVSFDHDQLPALFFLAESVLYRLCCDAFMKGYLYSVEIKLVKIGYLIFLRLFVFFLH GHLESFKQHLLRLQPYLYALHFSEPSYYKYPNIISNVQFILKTSEIICKRELHSEPFVES PDETEDPYSDLNHLQLNKRGYEVNHLLWHSVAAWSCVQNNRPQLTEVLEHLLFYKTQLQT KCWLDSALALMVLGEAAKLDMACLKTLMDLVTDFLENILSAQNQEENYNIYDTSWASEIV FTYTTIIAEVCLYAATSDLRKTALIGFCACKSPQQGISLTDKSEELPELDGASILTLLKY FSSRISDNCEKVIWIGYYGIVYNLVKMSWELQGEQDQDGLRNMIWQTLQKIKDYEQDPRI RCALVIAQAELNGPSDPFCTKATPNSGEEVFSKYIGWRIATTLSRLFFPSLDVAPPKTPV EVDLPRKHTIRERQPAKKRVLRFILKDHSSVVEVSMTPYPNFFTKADKKLEEIIDHHWQK DMEARKREEEAYKAQNQKDKEEKEKIHFQEIMKQRERKLNKQTKPYEIILSEKESGSEKK CGFFELKPSTAPNAK >ENSMUSP00000022380.7 pep:known chromosome:GRCm38:14:45329824:45349071:1 gene:ENSMUSG00000021832.7 transcript:ENSMUST00000022380.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc6 description:proteasome (prosome, macropain) 26S subunit, ATPase, 6 [Source:MGI Symbol;Acc:MGI:1914339] MADPRDKALQDYRKKLLEHKEIDGRLKELREQLKELTKQYEKSENDLKALQSVGQIVGEV LKQLTEEKFIVKATNGPRYVVGCRRQLDKSKLKPGTRVALDMTTLTIMRYLPREVDPLVY NMSHEDPGNVSYSEIGGLSEQIRELREVIELPLTNPELFQRVGIIPPKGCLLYGPPGTGK TLLARAVASQLDCNFLKVVSSSIVDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGG RRFSEGTSADREIQRTLMELLNQMDGFDTLHRVKMIMATNRPDTLDPALLRPGRLDRKIH IDLPNEQARLDILKIHAGPITKHGEIDYEAIVKLSDGFNGADLRNVCTEAGMFAIRADHD FVVQEDFMKAVRKVADSKKLESKLDYKPV >ENSMUSP00000023779.6 pep:known chromosome:GRCm38:15:101266846:101274792:1 gene:ENSMUSG00000023034.6 transcript:ENSMUST00000023779.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr4a1 description:nuclear receptor subfamily 4, group A, member 1 [Source:MGI Symbol;Acc:MGI:1352454] MPCIQAQYGTPATSPGPRDHLTGDPLALEFGKPTMDLASPETAPAAPATLPSFSTFMDGY TGEFDTFLYQLPGTTQPCSSACSSASSTSSSSSSATSPASASFKFEDFQVYGCYPGTLSG PLDETLSSSGSEYYGSPCSAPSPSTPNFQPSQLSPWDGSFGHFSPSQTYEGLWAWTEQLP KASSGPPPPPTFFSFSPPTGPSPSLAQSSLKLFPPPATHQLGEGESYSMPAAFPGLAPTS PNRDTSGILDAPVTSTKSRSGASGGSEGRCAVCGDNASCQHYGVRTCEGCKGFFKRTVQK SAKYICLANKDCPVDKRRRNRCQFCRFQKCLAVGMVKEVVRTDSLKGRRGRLPSKPKQPP DASPTNLLTSLIRAHLDSGPSTAKLDYSKFQELVLPRFGKEDAGDVQQFYDLLSGSLDVI RKWAEKIPGFIELCPGDQDLLLESAFLELFILRLAYRSKPGEGKLIFCSGLVLHQLQCAR GFGDWIDNILAFSRSLHSLGVDVPAFACLSALVLITDRHGLQDPRRVEELQNRIASCLKE HMATVAGDPQPASCLSRLLGKLPELRTLCTQGLQRIFCLKLEDLVPPPPIVDKIFMDTLS F >ENSMUSP00000025234.5 pep:known chromosome:GRCm38:18:33796327:34007206:-1 gene:ENSMUSG00000024376.6 transcript:ENSMUST00000025234.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epb41l4a description:erythrocyte membrane protein band 4.1 like 4a [Source:MGI Symbol;Acc:MGI:103007] MGCFCAVPEEFYCEVLLLDESKLTLTTQQQGIKKSTKGSVVLDHVFRHINLVEIDYFGLR YCDRSHQTYWLDPAKTLAEHKELINTGPPYTLYFGIKFYAEDPCKLKEEITRYQFFLQVK QDALQGRLPCPVNIAAQMGAYAIQAELGDHDPYKHTAGYVSEYRFVPDQKEELEEAIERI HKTLMGQAPSEAELNYLRTAKSLEMYGVDLHPVYGENKSEYFLGLTPSGVVVYKNKKQVG KYFWPRITKVHFKETQFELRVLGKDCNETSFFFEARSKTACKHLWKCSVEHHTFFRMPDT ESNSLSRKLSKFGSISYKHRYSGRTALQMSRDLSIQLPRPNQNVVRSRSKTYPKRVAQTQ PTGSNNINRITANTENGENEGTTKIIAPSPVKSFKKAKNENSPDPQRSKSHAPWEENGPQ SGLYNSSSDRTKSPKFPCARQRNLSCGSDNDSSQLMRRRKAHNSGEDSDLKQRRRSRSRC NTSSGSESENSNREHRKKRNRTRQENDMVDSGPQWEAVLRRQKEKNQADPNNRRSRHRSR SRSPDIQAKEELWKHIQKELVDPSGLSEEQLKEIPYTKVETQGDPVRIRHSHSPRSYRQY RRSQCSDGERSVLSEVNSKTDLVPPLPVTRSSDAQGSGGSTVHQRRNGSKDSLIEEKSQL STINPAGKPTAKTIKTIQAARLKAET >ENSMUSP00000091238.5 pep:known chromosome:GRCm38:8:46617449:46638706:1 gene:ENSMUSG00000031628.8 transcript:ENSMUST00000093517.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp3 description:caspase 3 [Source:MGI Symbol;Acc:MGI:107739] MENNKTSVDSKSINNFEVKTIHGSKSVDSGIYLDSSYKMDYPEMGICIIINNKNFHKSTG MSSRSGTDVDAANLRETFMGLKYQVRNKNDLTREDILELMDSVSKEDHSKRSSFVCVILS HGDEGVIYGTNGPVELKKLTSFFRGDYCRSLTGKPKLFIIQACRGTELDCGIETDSGTDE EMACQKIPVEADFLYAYSTAPGYYSWRNSKDGSWFIQSLCSMLKLYAHKLEFMHILTRVN RKVATEFESFSLDSTFHAKKQIPCIVSMLTKELYFYH >ENSMUSP00000044430.5 pep:known chromosome:GRCm38:8:119760587:119778416:-1 gene:ENSMUSG00000034105.8 transcript:ENSMUST00000049156.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tldc1 description:TBC/LysM associated domain containing 1 [Source:MGI Symbol;Acc:MGI:1921597] MGNAKSLSGQKMASRFLPEEQAEVDKLFDVLSSSEGGVATGTFSLEAMKSHVKEALPPAM VTRLYNGMQRVKPTDRTLGSCRSVSREQFTAFLSQLLRGSCEEKGLMVMNMISDAEGPTK TRDVQKFTEDLVASVAHVLTHRHELRGWTCRKSEVPPDSMQAMVAQLLSEMKFQDGYKFQ GPQCLDQVCDQAMIEEWVFHVPHVGMFLSVVVHRGLCLLGSSFDPSTLVPECLADQGGRF ESILDVLSVIYLSSHLAPEHRQRWRLLFSTQLHGQSFSQLCSHITSQGPSLLVLEDRDGY VFGGFASCSWEVKPQFQGDNRCFLFSIAPRMATHLHTGYNNHFMYLNYGQQTMPNGLGMG GQHHYFGLWVAADFGKGHSKAKPACTTYNSPQLSAQEDFLFDKMEVWGLGNLLEEYEGKN KKSVLDSNPEARSLLEISGRARHSEGLREVPRDED >ENSMUSP00000093382.3 pep:known chromosome:GRCm38:10:45335762:45369708:1 gene:ENSMUSG00000071317.3 transcript:ENSMUST00000095715.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bves description:blood vessel epicardial substance [Source:MGI Symbol;Acc:MGI:1346013] MNSTESIPLAQSTVAGFTSELESLTPVPSNETTCENWREIHHLVFHVANVCFAVGLLIPT TLHLHMILLRVMLSLGCTLYVVWATLYRCALDVMIWNSVFLGINILHLSYLLYKKRPVKI EKELGGVYHRLFEPLRVPPDLFRRLTGQFCMIQTLKRGQVYATEDKTSVDDRLSILLKGR MKVSYRGHFLHNIYPCAFIDSPEFRSTQMHKGEKFQVTIVADDNCRFLCWSRERLTYFLE SEPFLYEIFRYLIGKDITNKLYSLNDPTLNDKKVKKLEPQMSLCTQISMLEMRNSITSSS DGEDGLHHFLRGSSSTASLPMSSPQQRASAKMKPIEEGVEDDDEVFVSPDALKVHQLP >ENSMUSP00000107370.2 pep:known chromosome:GRCm38:19:53140445:53247399:1 gene:ENSMUSG00000025026.14 transcript:ENSMUST00000111741.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add3 description:adducin 3 (gamma) [Source:MGI Symbol;Acc:MGI:1351615] MSSDTSPAVVTTPPPPSMPHKERYFDRINESDPEYLRERNMSPDLRQDFNMMEQRKRVTQ ILQSPAFREDLECLIQEQMKKGHNPSGLLALQQIADYIVTSSFSGFSSPSLSLGMVTPIN DLPGADTSSYVKGEKLTRCKLASLYRLADLFGWAHLANTYISVRISKEQDHIIIIPRGLS FSEATASTLVKVNIIGEVVDQGSTDLKIDHTGFSPHAAIYSTRPDVKCVIHIHTLATAAV SSMKCGILPISQESLILGDVAYYDYQGSLDEEEERIELQKVLGPSCKVLVLRNHGMVALG ETLEEAFHYIFNVQMACEIQVQAVAGAGGVDNLLVLDLQKYKAFTHGVAMSGGGGVNMAS HQKWKVGEIEFEGLMRTLDNLGYRTGYAYRHPLVREKPRHKSDVEIPATVTAFSFEDDSA PLSPLKFMAQRQQREKTRWLNSPNTYMKVNVPEESRNGETSPRTKITWMKAEDSSKVSSG TPIKIEDPNQFVPLNTNPTEVLEKRNKIREQNRYDLKTAGPQSQLLAGIVVDKPPSTMQF DDDDQGPPAPPNPFSHLLEGELEEYTKTIERKQQGLDDAEQGSLSDDAASVSQIQSQTQS PQSVPERLEENHELFSKSFTSMDAPVMIMNGKDEMHDVEDELAQRVSRLTTSTTIENIEI TIKSPERTEEVLSPDGSPSKSPSKKKKKFRTPSFLKKNKKKEKVEA >ENSMUSP00000052245.7 pep:known chromosome:GRCm38:19:53142756:53247399:1 gene:ENSMUSG00000025026.14 transcript:ENSMUST00000050096.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add3 description:adducin 3 (gamma) [Source:MGI Symbol;Acc:MGI:1351615] MSSDTSPAVVTTPPPPSMPHKERYFDRINESDPEYLRERNMSPDLRQDFNMMEQRKRVTQ ILQSPAFREDLECLIQEQMKKGHNPSGLLALQQIADYIVTSSFSGFSSPSLSLGMVTPIN DLPGADTSSYVKGEKLTRCKLASLYRLADLFGWAHLANTYISVRISKEQDHIIIIPRGLS FSEATASTLVKVNIIGEVVDQGSTDLKIDHTGFSPHAAIYSTRPDVKCVIHIHTLATAAV SSMKCGILPISQESLILGDVAYYDYQGSLDEEEERIELQKVLGPSCKVLVLRNHGMVALG ETLEEAFHYIFNVQMACEIQVQAVAGAGGVDNLLVLDLQKYKAFTHGVAMSGGGGVNMAS HQKWKVGEIEFEGLMRTLDNLGYRTGYAYRHPLVREKPRHKSDVEIPATVTAFSFEDDSA PLSPLKFMAQRQQREKTRWLNSPNTYMKVNVPEESRNGETSPRTKITWMKAEDSSKVSSG TPIKIEDPNQFVPLNTNPTEVLEKRNKIREQNRYDLKTAGPQSQLLAGIVVDKPPSTMQF DDDDQGPPAPPNPFSHLLEGELEEYTKTIERKQQGLDENHELFSKSFTSMDAPVMIMNGK DEMHDVEDELAQRVSRLTTSTTIENIEITIKSPERTEEVLSPDGSPSKSPSKKKKKFRTP SFLKKNKKKEKVEA >ENSMUSP00000025999.6 pep:known chromosome:GRCm38:19:53143997:53247399:1 gene:ENSMUSG00000025026.14 transcript:ENSMUST00000025999.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Add3 description:adducin 3 (gamma) [Source:MGI Symbol;Acc:MGI:1351615] MSSDTSPAVVTTPPPPSMPHKERYFDRINESDPEYLRERNMSPDLRQDFNMMEQRKRVTQ ILQSPAFREDLECLIQEQMKKGHNPSGLLALQQIADYIVTSSFSGFSSPSLSLGMVTPIN DLPGADTSSYVKGEKLTRCKLASLYRLADLFGWAHLANTYISVRISKEQDHIIIIPRGLS FSEATASTLVKVNIIGEVVDQGSTDLKIDHTGFSPHAAIYSTRPDVKCVIHIHTLATAAV SSMKCGILPISQESLILGDVAYYDYQGSLDEEEERIELQKVLGPSCKVLVLRNHGMVALG ETLEEAFHYIFNVQMACEIQVQAVAGAGGVDNLLVLDLQKYKAFTHGVAMSGGGGVNMAS HQKWKVGEIEFEGLMRTLDNLGYRTGYAYRHPLVREKPRHKSDVEIPATVTAFSFEDDSA PLSPLKFMAQRQQREKTRWLNSPNTYMKVNVPEESRNGETSPRTKITWMKAEDSSKVSSG TPIKIEDPNQFVPLNTNPTEVLEKRNKIREQNRYDLKTAGPQSQLLAGIVVDKPPSTMQF DDDDQGPPAPPNPFSHLLEGELEEYTKTIERKQQGLDDAEQGSLSDDAASVSQIQSQTQS PQSVPERLEENHELFSKSFTSMDAPVMIMNGKDEMHDVEDELAQRVSRLTTSTTIENIEI TIKSPERTEEVLSPDGSPSKSPSKKKKKFRTPSFLKKNKKKEKVEA >ENSMUSP00000136829.1 pep:known chromosome:GRCm38:15:8518683:8519953:-1 gene:ENSMUSG00000095663.1 transcript:ENSMUST00000179217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2310 description:predicted gene 2310 [Source:MGI Symbol;Acc:MGI:3780481] CACAVTVCLCCQSVPVLSQCACAVRVCLYCHSVPVLSQCACAVTVCLCCQSVPVLSQCAC AVRVCLCCHSVPVLSECACAVTVCLCCQSVPVLSQCACAVRVCLCCQSVPVLSECACAVT VCLYCQSVPVLSECACAVRVCLCCHSVPVLSECACAVTVCLCCQSVPVLSECACTVTVCL CCQSVPVLSECACAVTVCLYCHSVPVLSECACAVRVCLCCHSVPVLSECACTVTVCLCCQ SVPVLSECACAVTVCLYCQSVPVLSQCACAVTVCLCCHSVPVLSQCACAVTVCLYCHSVP VLSECACTVTVCLCCHSMPVLSECACTVTVCLCCHSVPVLSQCACTCACAVTVCLCCRSV PVLSQCACAVAVCLCCH >ENSMUSP00000020064.3 pep:known chromosome:GRCm38:10:51585420:51590480:-1 gene:ENSMUSG00000019909.3 transcript:ENSMUST00000020064.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam162b description:family with sequence similarity 162, member B [Source:MGI Symbol;Acc:MGI:1924546] MLWVSRSVLRLGLGFTTHRAPQIISRWPRWGPRVACHPCSSSGQNPSGFEPPEKVHRIPA QYKPSKFDKKILLWTGRFKSIEDIPPLVPPEMIAVSRNKARVKACYIMIGLTIVACFAVI VSAKRAVERHESLTSWNLAKKAKWREEAALAAQSKSK >ENSMUSP00000094211.5 pep:known chromosome:GRCm38:X:25277605:25301455:-1 gene:ENSMUSG00000096620.1 transcript:ENSMUST00000096469.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5169 description:predicted gene 5169 [Source:MGI Symbol;Acc:MGI:3804938] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAYGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEHKCDMDIQKFNEEQEKSVNNYQKEQQALNLSECSQSQTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000074128.4 pep:known chromosome:GRCm38:8:72099762:72100706:1 gene:ENSMUSG00000061561.4 transcript:ENSMUST00000074540.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr373 description:olfactory receptor 373 [Source:MGI Symbol;Acc:MGI:3030207] MGTSNVSSNSDFILMGLFSYTGPHLVLFFLMATVFIIGLLGNTTLLFLIATDSRLHTPMY FLLSQLSLLDVGFPLVTIPKVVAEFLQGQNVISFGGCATQMFFLMLMGVSEGVLLSLMSY DRYVAVCHPLHYQVLMRNQVCLVMVGASWFSGALVASILTSITLQFPYCASHTVDHFFCE MPALLKLSCADTSAYELALSISGVLILLLPLSLIFISYGHVLGAVLLMRSAEARHKAFTT CSSHVTVVGLFFGAAVFIYMVPGSYHSPKQDNVVSLFYSLITPTLNPLIYSLRNREVRMS LVKFMGRSDFKVKG >ENSMUSP00000130899.1 pep:known chromosome:GRCm38:10:100488289:100573655:1 gene:ENSMUSG00000019971.9 transcript:ENSMUST00000164751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep290 description:centrosomal protein 290 [Source:MGI Symbol;Acc:MGI:2384917] MPPNIKWKELIKVDPDDLPRQEELADKLLISLSKVEVNELKNEDQENMIHLFRITQSLMK MKAQEVELALEEVEKAGEEQAKFENQLKTKVMKLENELEMAQQSAGGRDTRFLRDEIRQL EKQLEQKDRELEDMEKELDKEKKVNEQLALRNEEAENENSKLRRENKRLKKKNEQLRQDI IDYQKQIDSQKESLLSRRGEDSDYRSQLSKKNYELVQYLDEIQTLTEANEKIEVQNQEMR KNLEESVQEMEKMTDEYNRMKALVHQSDAVMDQIKKENEHYRLQVRELTDLLKAKDEEDD PVMMAVNAKVEEWKLILSSKDDEIIEYQQMLQSLRGKLKNAQLDADKSNIMALKQGIQER DSQIKMLTEQVEQYTKEMEKNTFIIEDLKNELQKDKGTSNFYQQTHYMKIHSKVQILEEK TKEAERIAELAEADAREKDKELVEALKRLKDYESGVYGLEDAVIEIKNCKAQIKIRDGEM EVLTKEINKLEMKINDILDENEALRERAGLEPKTMIDLTEFRNSKRLKQQQYRAENQVLL KEIESLEEERLDLKRKIRQMAQERGKRNAASGLTIDDLNLSETFSHENKIEGRKLNFMSL NNMNETQSKNEFLSRELAEKEKDLERSRTVIAKFQSKLKELVEENKQLEEGMKEILQAIK DMPKDSDVKGGETSLIIPSLERLVNAMESKNAEGIFDASLHLKAQVDQLTGRNEELRQEL RQSRKEAVNYSQQLVKANLKIDHLEKETDLLRQSAGSNVVYKGIDLPDGIAPSSAYIINS QNEYLIHLLQELDNKEKKLKHLEDSLEDYNRKFAVIRHQQSLLYKEYLSEKDIWKTDSEM IREEKRKLEDQAEQDAVKVKEYNNLLSALQMDSNEMKKMLSENSRKITVLQVNEKSLIRQ YTTLVEMERHLRKENGKHRNDVIAMEAEVTEKLGSLQRFKEMAIFKIAALQKVIDNSVSL SELELANKQYNELTTKYRDILQKDNMLVQRTSNLEHLECENASLKEQMEAISKELEITKE KLHTIEQAWEQETKLGNDSNMDKAKKSMTNSDIVSISKKITVLEMKELNERQRAEHCQKM YEHLRTSLKQMEERNFELETKFTELTKINLDAQKVEQMLRDELADSVTKAVSDADRQRIL ELEKSEVELKVEVSKLREISDIAKRQVDFLNSQQQSREKEVESLRTQLLDFQAQSDEKAL IAKLHQHVVSLQISEATALGKLESVTSKLQKMEAYNLRLEQKLDEKEQALYYARLEGRNR AKHLRQTIQSLRRQFSGALPLAQQEKFSKTMIQLQNDKLKIMQEMKNSQQEHRNMENKTL ELELKLKGLEELISTLKDARGAQKVINWHVKIEELRLQELKLNRELVKGKEEIKYLNNII SEYEHTINSLEEEIVQQSKFHEERQMAWDQREVELERQLDIFDHQQNEILSAAQKFEDST GSMPDPSLPLPNQLEIALRKIKENIQVILKTQATCKSLEEKLKEKESALRLAEQNILSRD KVINELRLRLPATADREKLIAELERKELEPKSHHTMKIAHQTIANMQARLNHKEEVLKKY QHLLEKAREEQREIVKKHEEDLHVLHHKLEQQADNSLNKFRQTAQDLLKQSPAPVPTNKH FIRLAEMEQTVAEQDDSLSSLLTKLKKVSKDLEKQKEITELKVREFENTKLRLQETHASE VKKVKAEVEDLRHALAQAHKDSQSLKSELQAQKEANSRAPTTTMRNLVDRLKSQLALKEK QQKALSRALLELRSEMTAAAEERIIAVTSQKEANLNVQQVVERHTRELKSQIEDLNENLL KLKEALKTSKNKENSLADDLNELNNELQKKQKAYNKILREKDGIDQENDELRRQIKRLSS GLQSKTLIDNKQSLIDELQKKVKKLESQLERKVDDVDIKPVKEKSSKEELIRWEEGKKWQ TKVEGLRNRLKEKEGEAHGLAKQLNTLKELFAKADKEKLTLQKKLKTTGMTVDQVLGVRA LESEKELEELKKKNLDLENDILYMRTQQALPRDSVVEDLHLQNKYLQEKLHTLEKKLSKE KYSQSLTSEIESDDHCQKEQELQKENLKLSSENIELKFQLEQANKDLPRLKNQVKDLKEM CEFLKKGKLELERKLGQVRGAGRSGKTIPELEKTIGLMKKVVEKVQRENEQLKKASGILT SEKMATIEEENRNLKAELEKLKAHFGRQLSMQFESKNKGTEKIVAENERLRKELKKEIEA SEKLRIAKNNLELVNDKMAAQLEETGKRLQFAESRAPQLEGADSKSWKSIVVSRVYETKM KELESDIAKKNQSITDLKQLVREATEREQKAKKYTEDLEQQIEILKNVPEGAETEQELIR ELQLLRLANNQMDKERAELIHQIEINKDQTRADSSIPDSDQLKEKINDLETQLRKLELEK QHSKEEVKKLKKELENFDPSFFEEIEDLKYNYKEEVKKNILLEEKLKKLSEQFGFELPSP LAASEHSEDGESPHSFPIY >ENSMUSP00000060169.6 pep:known chromosome:GRCm38:17:24878770:24882008:1 gene:ENSMUSG00000046070.8 transcript:ENSMUST00000050714.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfals description:insulin-like growth factor binding protein, acid labile subunit [Source:MGI Symbol;Acc:MGI:107973] MALRTGSPALVVLLAFWVALGPCYLQGTDPGASADAEGPQCPVTCTCSYDDYTDELSVFC SSRNLTQLPDSIPVSTRALWLDGNNLSSIPSAAFQNLSSLDFLNLQGSWLRSLEPQALLG LQNLYHLHLERNLLRSLAAGLFRHTPSLASLSLGNNLLGRLEEGLFRGLSHLWDLNLGWN SLVVLPDTVFQGLGNLHELVLAGNKLTYLQPALLCGLGELRELDLSRNALRSVKANVFIH LPRLQKLYLDRNLITAVAPRAFLGMKALRWLDLSHNRVAGLLEDTFPGLLGLHVLRLAHN AITSLRPRTFKDLHFLEELQLGHNRIRQLGEKTFEGLGQLEVLTLNDNQIHEVKVGAFFG LFNVAVMNLSGNCLRSLPEHVFQGLGRLHSLHLEHSCLGRIRLHTFAGLSGLRRLFLRDN SISSIEEQSLAGLSELLELDLTANQLTHLPRQLFQGLGQLEYLLLSNNQLTMLSEDVLGP LQRAFWLDLSHNRLETPAEGLFSSLGRLRYLNLRNNSLQTFVPQPGLERLWLDANPWDCS CPLKALRDFALQNPGVVPRFVQTVCEGDDCQPVYTYNNITCAGPANVSGLDLRDISETLF VHC >ENSMUSP00000086873.3 pep:known chromosome:GRCm38:15:77747799:77753072:-1 gene:ENSMUSG00000056656.5 transcript:ENSMUST00000089450.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol8 description:apolipoprotein L 8 [Source:MGI Symbol;Acc:MGI:2444921] LSSGNLRLLLTEDGAWEAFVAEAELSRADADTLRDALHALTANLAVEDQERLQRDLQDME RFMDAFPQVKLELEGHIGKLRTLADKVDKVHRDCTISKLVAGSTSTVSGILTLLGLTLVP VTAGISLVLLATGMGLGAAAAVTSVSSGIVDYTSRSLAKTEASHLVSTGMAKVKMVADAV VHSGPQVLSLSENCCRVLRCIEQSIYAIKLTKANPALAASAMGSTSAQSGKHVKKAFKGT ALAISRRARIMGIATAGVSLVGDVISLVKQSKNLHKGTKAKSAEELRQQARELEEKLEAL IQMYEGL >ENSMUSP00000064237.2 pep:known chromosome:GRCm38:15:77748613:77755229:-1 gene:ENSMUSG00000056656.5 transcript:ENSMUST00000070911.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol8 description:apolipoprotein L 8 [Source:MGI Symbol;Acc:MGI:2444921] MDPSDCEDAPGDRTFIEEAAEYLQHTSGREDLRLLLTEDGAWEAFVAEAELSRADADTLR DALHALTANLAVEDQERLQRDLQDMERFMDAFPQVKLELEGHIGKLRTLADKVDKVHRDC TISKLVAGSTSTVSGILTLLGLTLVPVTAGISLVLLATGMGLGAAAAVTSVSSGIVDYTS RSLAKTEASHLVSTGMAKVKMVADAVVHSGPQVLSLSENCCRVLRCIEQSIYAIKLTKAN PALAASAMGSTSAQSGKHVKKAFKGTALAISRRARIMGIATAGVSLVGDVISLVKQSKNL HKGTKAKSAEELRQQARELEEKLEALIQMYEGLQAGSRR >ENSMUSP00000098289.2 pep:known chromosome:GRCm38:14:32376502:32388373:-1 gene:ENSMUSG00000072473.3 transcript:ENSMUST00000100723.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700024G13Rik description:RIKEN cDNA 1700024G13 gene [Source:MGI Symbol;Acc:MGI:1914335] MPAQAVVTLRYGPYSAVGLSVEHRTYRLQGLQAVLAKDGHQIILEQIEDWNLVELVVNEE TVFQCDIQELEFGGDGKLDPLCEEARIAVLNAF >ENSMUSP00000125769.1 pep:known chromosome:GRCm38:14:62663667:62692243:1 gene:ENSMUSG00000091155.1 transcript:ENSMUST00000171692.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpine3 description:serpin peptidase inhibitor, clade E (nexin, plasminogen activator inhibitor type 1), member 3 [Source:MGI Symbol;Acc:MGI:2442020] MLPLLQVTFFLLSSCFSAGGGSPLSEGLWLLKTEFALHLYRSAAAERNGTNFVISPASVS LSLEILQFGARGNTGWQLAGALGYTVQDPRVKEFLHAVYTTRHNSSQGVGMELACTLFMQ TGTSLSPCFVEQVSRWANSSLEAADFSEPNSTTTEASKVTSRQSTGEGPDSPLWGRADAL STQLSIMSTMTFQSTWQKRFSVVLQPLPFTHAHGLVLQVPAMHQVAEVSYGQFQDAAGHE IAVLELLYLGRVASLLLVLPQDKGTPLDHIEPHLTARVLHLWTTRLKRARMDVFLPRFKI QNQFDVKSILRSWGITDLFDPLKANLKGISGQDGFYVSQLTHKAKMELSEEGTRSSAATA VLLLRRSRTSAFKADRPFIFLLREHSTGFVFSIGRVSNPLD >ENSMUSP00000075551.4 pep:known chromosome:GRCm38:18:62592413:62596264:-1 gene:ENSMUSG00000060201.4 transcript:ENSMUST00000076194.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink7 description:serine peptidase inhibitor, Kazal type 7 (putative) [Source:MGI Symbol;Acc:MGI:3644691] MKLVGGLLLLFAATYVCNCSEVTSHPSATVDCDIYKKYPVVAIPCPIVNIPVCGSDYITY GNKCKLCTEILRSNGKIQFLHEGHC >ENSMUSP00000046467.6 pep:known chromosome:GRCm38:15:58889229:58902390:1 gene:ENSMUSG00000037075.6 transcript:ENSMUST00000036904.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf139 description:ring finger protein 139 [Source:MGI Symbol;Acc:MGI:1923091] MAAVGPPQQQVRMAQQQVWAALEVALRVPCLYIIDAIFNSYYDSSQSRFCIGLQIFLRLL GIVVSSIVLILSQRSLFKFYMYSSAFLLAATSVLVNYYAALHIDFYGAYNTSAFGIELLP RKGPSLWMALIVLQLTFGIGYVTLLQIQSIYSQLMILNILVPIIGLITELPLHIRETVVL MSSLILIFNTVLVLAVKLKWFYYSTRYVYLLVRHMYRIYGLQLLMEDTWKRIRFPDILRV FWLTRITAQATVLMYILRMANETESFFISWDDFWDVICNLIISGCDSTLTVLGMSAVISS IAHYLGLGILAFIGSTEEDDRRLGFVAPVLFFILALQTGLSGLRPEERLIRLSRNMCLLL TAVLHFIHGMTDPVLMSLSASHVSSFHRHFPVLFVSACLFILPVLLSYVLWHHYALNTWL FAVTAFCVELCLKVIVSLTVYTLFMIDGYYNVLWEKLDDYVYFVRSTGNIIEFIFGVVMF GNGAYTMMFESGSKIRACMMCLHAYFNIYLQVKNGWKTFMNRRTAVKKINSLPEIKGSHL QEIDDVCAICYHEFTTSARITPCNHYFHALCLRKWLYIQDTCPMCHQKVYIEDEIKDNSN ASNNNGFIAPNENPNPEEALREDAAGSDRELNEDDSTDCDDDAQRERNGGIQHTGAAAAA AEFNDDTD >ENSMUSP00000130532.1 pep:known chromosome:GRCm38:13:108052590:108158625:-1 gene:ENSMUSG00000068184.6 transcript:ENSMUST00000163558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf2 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, assembly factor 2 [Source:MGI Symbol;Acc:MGI:1922847] MSWWSGVWRSVWSALSREVREHVGTDHLGNKYYYVAEYKNWRGQTIREKRIVEAANRKEV DYEAGDIPTEWEAWIRRTRKTPPTMEEILKNEKYREEIKIKSQDFYEKDKLGKETSEELL PSPTATQVKGHASAPYFGREEPSVAPTSTGKTFQPGSWTPEDGKRQSQ >ENSMUSP00000083228.3 pep:known chromosome:GRCm38:9:37918548:37919612:1 gene:ENSMUSG00000066747.3 transcript:ENSMUST00000086061.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr878 description:olfactory receptor 878 [Source:MGI Symbol;Acc:MGI:3030712] MSQKRMAPRNSSSVTEFILVGFSNQPALQLPLFFVFLGIYVLTVIGNLGLITLIGLNSSL HTPMYFFLFNLSFIDFCYSCVFTPKMLSDFVSENIISYMGCMTQLFFFCFFVNSECYVLV SMAYDRYVAICNPLLYTVTMSPQVCTLLMFCSYVIGFAGAMAHTGSMLTLTFCDSNMIHH YLCEVLPLLQLSCTSTYANELVFFIVVGVVITASSISIFISYALILSNILKIPSAEGRSK AFGTCGSHVVAVALFFGSGAFTYLTTSFPGSMEEGRFASVFYTNVVPMLNPLIYSLRNKD VKLALNKTLKRVLF >ENSMUSP00000023580.6 pep:known chromosome:GRCm38:16:77014069:77116779:1 gene:ENSMUSG00000022867.9 transcript:ENSMUST00000023580.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp25 description:ubiquitin specific peptidase 25 [Source:MGI Symbol;Acc:MGI:1353655] MTVEQNVLQQSAAQKHQQTFLNQLREITGINDAQILQQALKDSNGNLELAVAFLTAKNAK TPPQEETGYYQTALPGNDRYISVGSQADANVIDLTGDDKDDLQRAIALSLAESNRAFRET GITDEEQAISRVLEASIAENKACLKRTPIEVWRDSRNPYDRKRQEKAPVGLKNVGNTCWF SAVIQSLFNLLEFRRLVLNYKPPSNAQDLPRNQKEHRNLPFMRELRYLFALLVGTKRKYV DPSRAVEILKDAFKSNDSQQQDVSEFTHKLLDWLEDAFQMKAEEETDEEKPKNPMVELFY GRFLAMGVLEGKKFENTEMFGQYPLQVNGFKDLHECLEAAMIEGEIESLHSDNSGKSGQE HWFTELPPVLTFELSRFEFNQALGRPEKIHNKLEFPQVLYLDRYMHRNREITRIKREEIK RLKDYLTVLQQRLERYLSYGSGPKRFPLVDVLQYALEFASSKPVCTSPVDDIDASSSASG PLPSQSLPSTTEQQGPCASDLPGSSSPASGAALPLRSVIHKPFTQSRIPPDLPMHPAPRH ITEEELCVLESCLHRWRTEIENDTRDLQESISRIHRTIELMYSDKSMIQVPYRLHAVLVH EGQANAGHYWAYIFDHRESRWMKYNDIAVTKSSWEELVRDSFGGYRNASAYCLMYIDDKA QFLIQEEFNKETGQALVGMETLPPDLRDFVEEDNQRFEKELEEWDTQLAQRSLQEKLLAA PKLREAEASATTAQAGGADYLEQPSRSDLSKHWKEETLRVIAKASHDLEDKGPETVLQSA IKLEYSRLVKLAQEDTPPETDYRLHHVLVYFIQNQAPKKIIEKTLLEQFGDRNLSFDERC HNIMKVAQAKLEMIKPEEVNLEEYEEWHADYKKFRETTMYLITGLENFQRESYIDSLLFL LCAYQNNKELLSKGPYRGHDGELISHYRRECLLKLNEQAAELFESGEDGDVNNGLIIMNE FIVPFLPLLLVDDMEEKDILAVEDMRNRWCSYLGQEMEANLQEKLTDFLPKLLDCSTEIK GFHEPPKLPSYSAHELCERFARIMLSLSRTPADGR >ENSMUSP00000054451.2 pep:known chromosome:GRCm38:12:58264720:58269258:-1 gene:ENSMUSG00000045930.2 transcript:ENSMUST00000062254.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec14a description:C-type lectin domain family 14, member a [Source:MGI Symbol;Acc:MGI:1914114] MRPALALCLLCPAFWPRPGNGEHPTADRAACSASGACYSLHHATFKRRAAEEACSLRGGT LSTVHSGSEFQAVLLLLRAGPGPGGGSKDLLFWVALERSISQCTQEKEPLRGFSWLHPDS EDSEDSPLPWVEEPQRSCTVRKCAALQATRGVEPAGWKEMRCHLRTDGYLCKYQFEVLCP APRPGAASNLSFQAPFRLSSSALDFSPPGTEVSAMCPGDLSVSSTCIQEETSAHWDGLFP GTVLCPCSGRYLLAGKCVELPDCLDHLGDFTCECAVGFELGKDGRSCETKVEEQLTLEGT KLPTRNVTATPAGAVTNRTWPGQVYDKPGEMPQVTEILQWGTQSTLPTIQKTPQTKPKVT GTPSGSVVLNYTSSPPVSLTFDTSSTVVFILVSIAVIVLVVLTITVLGLFKLCFHKSRSS RTGKGALDSPGVECDAEATSLHHSSTQCTDIGVKSGTVA >ENSMUSP00000094151.3 pep:known chromosome:GRCm38:15:60917589:60921270:-1 gene:ENSMUSG00000022347.7 transcript:ENSMUST00000096418.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A1bg description:alpha-1-B glycoprotein [Source:MGI Symbol;Acc:MGI:2152878] MSLLATVLLLWGFTLGPGNTLMLDSGSEPKLWAEPQSLLEPWANLTLVCAVDLPTKVFEL IQNGWFLSQVRLETQVLSYRFSLGAITSNNSGIYRCRCGVEPPVDIHLPALNKWTMLSNA VEVTGKEPLPRPLAHADPVDWITPGGLPVYVMCQVAMRGVTYLLRQEGVDGVQKPDVQHK GTAGFLIYKPGNYSCSYLTHAAGEPSEPSDIVTIKMYASQAPPTLCLMGNYLMIYPQKTY ETLACKAPRNAAEFQLRQGGKVLKIHGFSPTRDAILYYVNLKELDNPGPFTCRYRMHKYM HVWSEDSKPVELMWSDETLQAPVLTAEPSSRDLEPGSTVQLRCTAPVSGLRFGLQRQGKP ELVVVQMLNSSGTEAVFELHNISTIDSGNYSCIYMEQAPPFSGSSSSEPVELRVNGPPPK PRLEALWKSTVHLGQEAIFRCHGHVPRVSMELVREGFKTPFAVASTRSTSAYLKLLFVGP QHAGNYSCRYTALPPFTFESGISDPVEVIVEG >ENSMUSP00000051531.1 pep:known chromosome:GRCm38:9:38498671:38499603:1 gene:ENSMUSG00000094810.1 transcript:ENSMUST00000052901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr907 description:olfactory receptor 907 [Source:MGI Symbol;Acc:MGI:3030741] MALENASLVTEFILMGLTNRPDLQIPLFLLFLVMYVIATLGNLALIMLIILNSHLHTPMY FFLLNLSCIDLFYCSVITPKMLMNFVLKKNVISYEGCMAQFYFFAFFAISECYVLTTMAY DRYVAICNPLLYNIVMSPKLCSYLMMGTYLMGFSGAMIHTGCILRLTFCDKNTINHYFCD ILPLLQISCTSTYVNEIELFIVAGKDIIVPTVIIFTSYGFILSSILKISSTAGMSKAFST CSSHIIALCLFFGSCTFMYLKPSSVESMDQGKISSVFYNIVVPLMNPLIYSLRNKDVKIA IKKTITKGKF >ENSMUSP00000131130.2 pep:known chromosome:GRCm38:13:8029828:8038106:-1 gene:ENSMUSG00000091053.2 transcript:ENSMUST00000165220.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9742 description:predicted gene 9742 [Source:MGI Symbol;Acc:MGI:3780137] MVLFISITCLYVFSSFSVRTSTCLIVFSCFSLRTCNSLAVFSCISLSDLLKSLFMSSTII MRYALNLGLGFRVCWGALDWANWECSVLMMASVTKSLVGPASYELEMRLLKKRKAYEIQA TSQARTRMDTRAAGRPQKPKALSAPPVDPSYCKGEQASWSRLSMNRAAFPAQSPELNPTV TVEGLGHTATATGTGVS >ENSMUSP00000064129.6 pep:known chromosome:GRCm38:19:6975048:6977324:1 gene:ENSMUSG00000056612.6 transcript:ENSMUST00000070850.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r14b description:protein phosphatase 1, regulatory (inhibitor) subunit 14B [Source:MGI Symbol;Acc:MGI:107682] MADSGPAGGAALAAPAPGPGSGSTGPRVYFQSPPGAAGEGPGGADDDGPVRRQGKVTVKY DRKELRKRLNLEEWILEQLTRLYDCQEEEIPELEIDVDELLDMESDDTRAARVKELLVDC YKPTEAFISGLLDKIRGMQKLSTPQKK >ENSMUSP00000071453.2 pep:known chromosome:GRCm38:14:66784529:66793758:1 gene:ENSMUSG00000057913.2 transcript:ENSMUST00000071522.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10032 description:predicted gene 10032 [Source:MGI Symbol;Acc:MGI:3642901] MAAALQKAKLRASVSILHPQLRARLAVSKNIDRFLLQSIDSSSGNQMRGAIQCLTPLLSG YLYSSAHGYVNHLRTVCLASLHPPSSLKTGVSYYPKRSVTLNLSGQTAPYNFPENNHQVE QACILK >ENSMUSP00000002926.6 pep:known chromosome:GRCm38:16:38396119:38433145:-1 gene:ENSMUSG00000002847.6 transcript:ENSMUST00000002926.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla1a description:phospholipase A1 member A [Source:MGI Symbol;Acc:MGI:1934677] MRPGLWETCFWLWGPLLWLSIGSSGNVPPTTQPKCTDFQSANLLRGTNLKVQFLLFTPSD PSCGQLVEEGSDIRSSEFNASLGTKVIIHGFRALGTKPSWIDKFISAVLRAADANVIAVD WVYGSTGVYYSAVENVVKLSLEISRFLSKLLELGVSESSIHIIGVSLGAHVGGMVGHFYK GQLGQITGLDPAGPEYTRASLEERLDAGDALFVEAIHTDTDNLGIRIPVGHVDYFVNGGQ DQPGCPAFFHAGYNYLICDHMRAVHLYISALENTCPLMAFPCASYKAFLAGDCLDCFNPF LLSCPRIGLVERGGVMIEPLPKEVKVYLLTTSSAPYCVHHSLVEFYLKEKRKKDTSIEVT FLSNNVTSSVKITIPKQQLEGRGVMAHPNPQCQINQVKLKFQVSSRVWRKDRTPVVGTFC TAPLPVNDSKKTVCIPEPVRLQAGVPAFQDLKIACV >ENSMUSP00000127744.2 pep:known chromosome:GRCm38:3:97673031:97674694:-1 gene:ENSMUSG00000090441.2 transcript:ENSMUST00000166227.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17651 description:predicted gene, 17651 [Source:MGI Symbol;Acc:MGI:4937285] KKYVPTSLYKSV >ENSMUSP00000046191.7 pep:known chromosome:GRCm38:9:53911460:53975301:-1 gene:ENSMUSG00000041986.15 transcript:ENSMUST00000048409.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmod1 description:ELMO/CED-12 domain containing 1 [Source:MGI Symbol;Acc:MGI:3583900] MKHFLRMLIQVCLYFYCKFLWRCMKFVMRKLTGRCELQRICYGTKPGASRTMKIETSLRD SKSKLLQTSVSVHPDAIEKTIDDIMELKKINPDINPQLGISLQACLLQIVGYRNLIADVE KLRREPYDSDNPQHEEMLLKLWELLKPNTPLESRVSKQWCEIGFQGDDPKTDFRGMGLLG LYNLQYFAERDATVAQQVLSDSVHPKCSKFSKIEWEKKKMDKAIGYSFAIVGINITDLAY NLLVSGALKTHFYNIAPEAPTLSHFQQTFCYLMHEFHKFWIEEDPMDIMEFNRVREKFRK RIIKQLQNPDMALCPHFAASEGLINM >ENSMUSP00000129082.1 pep:known chromosome:GRCm38:9:53911460:53936834:-1 gene:ENSMUSG00000041986.15 transcript:ENSMUST00000166580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmod1 description:ELMO/CED-12 domain containing 1 [Source:MGI Symbol;Acc:MGI:3583900] MGHIIKMLIQVCLYFYCKFLWRCMKFVMRKLTGRCELQRICYGTKPGASRTMKIETSLRD SKSKLLQTSVSVHPDAIEKTIDDIMELKKINPDINPQLGISLQACLLQIVGYRNLIADVE KLRREPYDSDNPQHEEMLLKLWELLKPNTPLESRVSKQWCEIGFQGDDPKTDFRGMGLLG LYNLQYFAERDATVAQQVLSDSVHPKCSKFSKIEWEKKKMDKAIGYSFAIVGINITDLAY NLLVSGALKTHFYNIAPEAPTLSHFQQTFCYLMHEFHKFWIEEDPMDIMEFNRVREKFRK RIIKQLQNPDMALCPHFAASEGLINM >ENSMUSP00000038002.3 pep:known chromosome:GRCm38:12:112808975:112816245:1 gene:ENSMUSG00000037594.10 transcript:ENSMUST00000037014.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC022687 description:cDNA sequence BC022687 [Source:MGI Symbol;Acc:MGI:2443738] MQGGQEVGRESVSDLAEEPGEGSPHQTARGQSGDGLERRRICCDGPVVLPDTNANSSRLD EGLPTSCPHPGELSGGWGEFEGFRESSAKSEQFSQSFELLGRATECQPLRTPSVPEEGSS CQVQQGGPWVTGTAAGPSSESIPSYEKVFRLAFQEVAVEQAPEDVCSLDHFLERSNEGAA SVPRLCSESRKLWRALQNTDTASASRCLWGESHCRENLLPVLGVDAAQKSPPGGQGHVLE GSDLRKPEELLAVSGFHLHHCKALIQTKLSGTSSSRQGSLITYSLFLKTPLQGNGRYITI PQKKIFTPRNLKMAFFNNDVC >ENSMUSP00000137263.1 pep:known chromosome:GRCm38:12:112808975:112815710:1 gene:ENSMUSG00000037594.10 transcript:ENSMUST00000177808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC022687 description:cDNA sequence BC022687 [Source:MGI Symbol;Acc:MGI:2443738] MQGGQEVGRESVSDLAEEPGEGSPHQTARGQSGDGLERRRICCDGPVVLPDTNANSSRLD EGLPTSCPHPGELSGGWGEFEGFRESSAKSEQFSQSFELLGRATECQPLRTPSVPEEGSS CQVQQGGPWVTGTAAGPSSESIPSYEKVFRLAFQEVAVEQAPEDVCSLDHFLERSNEGAA SVPRLCSESRKLWRALQNTDTASASRCLWGESHCRENLLPVLGVDAAQKSPPGGQGHVLE GSDLRKPEELLAVSGFHLHHCKALIQTKLSGTSSSRQGSLITYSLFLKTPLQGNGRYITI PQKKIFTPRNLKMAFFNNDVC >ENSMUSP00000063609.7 pep:known chromosome:GRCm38:18:24653691:24701556:1 gene:ENSMUSG00000039616.9 transcript:ENSMUST00000068006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mocos description:molybdenum cofactor sulfurase [Source:MGI Symbol;Acc:MGI:1915841] MACGAAERGPEPPAFQRHLEASTQRLAHGYGLRSMSELRDQEFGRLAGTVYLDHAGATLF PQSQLTNFTKDLMENVYGNPHSQNITSKLTHDTVEQVRYRILTHFHTTPEDYIVIFTAGS TAALRLVAEAFPWVSRSPENSGSHFCYLTDNHTSVVGMRKVAAAMSVTSIPVKPEDMWSA EGKDAGACDPDCQLPHLFCYPAQSNFSGTRYPLSWVEEVKSGRRSPVNAPGKWFVLLDAA SYVSTSPLDLSAHQADFIPISFYKIFGLPTGLGALLVNKHVAPLLRKGYFGGGTAAAYLA GEDFYVPRSSVAERFEDGTISFLDVIALKHGFDALEHLTGGMVNIQQHTFALVQYTHSAL SSLRYLNGAPVVRIYSDSEFSSPDVQGPIINFNVLDDGGKIIGYSQVDKMASLYNIHLRT GCFCNLGACQRHLGLSDEMVKKHFQAGHVCGDDVDIIDGRPTGSVRISFGYMSTLEDAQA FLRFISTIYLRSPSDQPVPQASISDAGALTSKSDCHSPQEGSCTDPSVCNGSYPDTNIMD LHPSLSKASSAQQTPQDKAAGILNGDPGSHIVTNIYLYPIKSCAAFEVTKWPVGSQGLLY DRSWMVVNHNGICMSQKQEPRLCLIQPFIDLQQRIMVIKAEGMEPIQVPLEEDGEQTQIC QSRVCADRVNTYDCGENVSRWLSKFLGRLCHLIKQSPHFQRNARKTPKKGQPPGTTVALS LVNEAQYLLVNTSSILELQRQLNASDEHGKEESFSMKDLISRFRANIITKGARAFEEEKW DEISIGSLHFQVLGPCHRCQMICINQQTGQRNQDVFQTLSESRGRKVNFGVYLMHSYLDL SSPCFLSVGSEVLPVLKDCGVS >ENSMUSP00000023069.7 pep:known chromosome:GRCm38:15:85037062:85062828:1 gene:ENSMUSG00000022434.7 transcript:ENSMUST00000023069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam118a description:family with sequence similarity 118, member A [Source:MGI Symbol;Acc:MGI:1920475] MESVEKTTNRSEQKCRKFLKSLIRKQPQDLLLVIGTGVSAAVAPGIRALCSWRSCIEAVI EAAEQLEVLHPGDVAEFRRKVMKDRDLLVVAHDLIRKMSPRTGDTKPNFFQDCLMEVFDS LEQHIQNPVVLRSILSLMDRGTMVLTTNYDNLLEIFGQQQSKPMESLDLKDKTKVLQWAR GHIKYGVLHIHGLYTDPCGMVLDPSGYKDVTQDPEVMEVLQNLYRTKSFLFVGCGETLRD QIFQALFLYSVPNKVDLEHYMVVLKENEDHFFKHQADMLLHGIKVVSYGDCFDLFPGYVQ DLATQICKQRSPDAERVDSTTLLGNACQDCAKRKLEENGIEVTKKVRQSDTDDAGGS >ENSMUSP00000025568.2 pep:known chromosome:GRCm38:19:10570478:10577362:-1 gene:ENSMUSG00000024666.5 transcript:ENSMUST00000025568.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem138 description:transmembrane protein 138 [Source:MGI Symbol;Acc:MGI:1920232] MLQTGNYSLVLSLQFLLLSYDLFVNSFSELLRMAPVIQLVLFIIQDIAILFNIIIIFLMF FNTFVFQAGLVNLLFHKFKGTIILTSVYLALSISLHVWVMNVRWKNSSSFSWTNGLQTLF VFQRLAAVLYCYFYKRTAVRLGDPRFYQDSLWLRKEFMQVRR >ENSMUSP00000080543.3 pep:known chromosome:GRCm38:18:35536583:35536951:1 gene:ENSMUSG00000062742.3 transcript:ENSMUST00000081864.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5239 description:predicted pseudogene 5239 [Source:MGI Symbol;Acc:MGI:3648788] MQIFMKTLTGKTITLEVKPSDTIENVKAKIQDKEGIPPDQQRLIFTGKQLEDGRTLSDYN IQKESTLHLVLHLPSLRQLAQKYNCDKMICRKCYARLHPHAVNCCKKKCGHTNNLRPKKK VK >ENSMUSP00000022269.5 pep:known chromosome:GRCm38:14:8348948:8378763:-1 gene:ENSMUSG00000021749.5 transcript:ENSMUST00000022269.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oit1 description:oncoprotein induced transcript 1 [Source:MGI Symbol;Acc:MGI:1201784] MRVAGLIRVVVFIFTIVTMWVFLRSYTSFSRKTIRLPRWLGITPKDIQTPKSKCGLSKIC PNNFFAFKISSGAANVVGPSMCFEDEIIMSPVRNNVGRGLNVALVNGSTGQVMKKDSFDM YSGDPQLLLNFLTEIPDSTLVLVASYDDPGTKMNDKIKTLFSNLGSSYAKQLGFRDSWVF VGAKDLKSKSPYEQFLKNNPETNKYDGWPELLELEGCVPRKVM >ENSMUSP00000023237.6 pep:known chromosome:GRCm38:15:75890964:75894481:-1 gene:ENSMUSG00000022574.6 transcript:ENSMUST00000023237.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naprt description:nicotinate phosphoribosyltransferase [Source:MGI Symbol;Acc:MGI:2442664] MEMELDSEGRMVVRPLLTDLYQATMALGYWRAGRACEAAEFELFFRHCPFGGSFALSAGL QDCMRFLRAFRLRDADVQFLASVLPPDTDPAFFEHLRALDCSGVTVRALPEGSLAFPGVP LLQVSGPLLLVQLLETPLLCLVSYASLVATNAARLRLIAGPDKKLLEMGLRRAQGPDGGF TASIYSYLGGFDSSSNTLAGQLRGVPVAGTLAHSFVTSFSGSEVPPDPMLAPASSEGPTV DLPARVNLWLKRVCLYLGLEEQEPHPGERAAFVAYALAFPRAFQGLLDSYSVRRSGLPNF LAVALALGELGYRAVGVRLDSGDLLQQAKEIRGIFRTAGAQFQMPWLESVPIAVSNNIDE SELMRLAQKGSEVNVIGIGTSVVTCPKQPSMGCVYKLVSVGGQPRIKLTEDPEKQTLPGS KAAFRFLGPDGSLLLDLLQLAEEPPPKAGQELRVWPRGTQEPCTVKPAQVEPLLRLYLQQ GQLCEPLPSLDESRRFAQQSLSLLRPAHKQLQSPAVYPVALSEKLRALVDSLSARGPL >ENSMUSP00000079520.2 pep:known chromosome:GRCm38:16:49296648:49297394:-1 gene:ENSMUSG00000058176.2 transcript:ENSMUST00000080694.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5407 description:predicted gene 5407 [Source:MGI Symbol;Acc:MGI:3644612] MAVLVAEEKPLTDQERGREMDPILDNTEDMEKEIENALGPGPQEEILSSRFKLQITRRDI QTLENSQWLNDEVINFYMNLPVERNENQGYPPLHVFSTFFYPKLKHSSYSSVKRWTRGIS LFEKELILMPIHQMVQHWSLVVIDLRKRSIVYLDSLGQTGKSICETIFQYLQNESKTRRS IELDPLEWKQYSMTSEVIPLQLNGSDCGMFTCKYADYIARDQPVTFSQQHMPTFRKRMVW EILHSQLL >ENSMUSP00000136853.1 pep:known chromosome:GRCm38:X:33234869:33235393:1 gene:ENSMUSG00000094115.1 transcript:ENSMUST00000178459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin2-ps6 description:spindlin family, member 2, pseudogene 6 [Source:MGI Symbol;Acc:MGI:3781041] LVKYDEIDAVHALELYKDKRVLSLKVIAKRVVSSGVTDSSFVDAIVGKEVNHLFEGEHGS KEEWRGMVLSQAPILDNSFYITYERDPILYTYQLLDDFKEGDLQIMEGISDPPSLDIDLE LVDGLIGKHVENTNDDGSKRDGLIIYQIETKPRVYLIKYEDDVHIHVTDLEKEF >ENSMUSP00000026541.7 pep:known chromosome:GRCm38:7:140069880:140082548:-1 gene:ENSMUSG00000025468.14 transcript:ENSMUST00000026541.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caly description:calcyon neuron-specific vesicular protein [Source:MGI Symbol;Acc:MGI:1915816] MVKLGCSFSGKPGKEAGDQDGAAMDSVPLISPLDVSQLQPSFSDQVVINTQTEYQLTSAD QPKKFADLEGQRLACSHSEEGRRLPTARMIAFAMALLGCVLIMYKAIWYDQFTCPDGFLL RHKICTPLTLEMYYTEMDPERHRSILAAIGAYPLSRKHGTEMPAVWGNNYRTAKEEHKGT TPAAMAVSTAAAAAAAEGTEPSGKSLDTREKEDPQKAEGVPSQPPK >ENSMUSP00000130779.2 pep:known chromosome:GRCm38:7:140069880:140082301:-1 gene:ENSMUSG00000025468.14 transcript:ENSMUST00000166758.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caly description:calcyon neuron-specific vesicular protein [Source:MGI Symbol;Acc:MGI:1915816] MVKLGCSFSGKPGKEAGDQDGAAMDSVPLISPLDVSQLQPSFSDQVVINTQTEYQLTSAD QPKKFADLEGQRLACSHSEEGRRLPTARMIAFAMALLGCVLIMYKAIWYDQFTCPDGFLL RHKICTPLTLEMYYTEMDPERHRSILAAIGAYPLSRKHGTEMPAVWGNNYRTAKEEHKGT TPAAMAVSTAAAAAAAEGTEPSGKSLDTREKEDPQKAEGVPSQPPK >ENSMUSP00000127421.1 pep:known chromosome:GRCm38:8:13435459:13461452:1 gene:ENSMUSG00000038457.16 transcript:ENSMUST00000167071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem255b description:transmembrane protein 255B [Source:MGI Symbol;Acc:MGI:2685533] MQPLPPPVPGPLALLDTTEGFARRKKISLWFVGSLLLVSTLILTIGLAATTRTENVTVGG YYPGIILVAAIVFLSFGVVAAFCCAIVDSVFAARHIEPRPLSAGRCQFYSSGAGYLYDVY QTEVTCYSLNGRCQLKVRSNTCYCCDLYACGSTEPSPAYYEFVGVRSCQDVVHLYRLLWV STVLNVLGLCLGIVTAAVLGAFKDMVPLSQLAYGPSPPPQILYNPAQQILAYTGLCPPSM GVPTCSSYPLPLQPSSAPPASASADLSLPEDTESPSQCQPSRGCSHAPSPCTPAYFLPGE KPPPYAP >ENSMUSP00000130504.1 pep:known chromosome:GRCm38:8:13435459:13461452:1 gene:ENSMUSG00000038457.16 transcript:ENSMUST00000167505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem255b description:transmembrane protein 255B [Source:MGI Symbol;Acc:MGI:2685533] MQPLPPPVPGPLALLDTTEGFARRKKISLWFVGSLLLVSTLILTIGLAATTRTENVTVGG YYPGIILGFGSFLGIIGIHLVENRRQMLVAAIVFLSFGVVAAFCCAIVDSVFAARHIEPR PLSAGRCQFYSSGAGYLYDVYQTEVTCYSLNGRCQLKVRSNTCYCCDLYACGSTEPSPAY YEFVGVRSCQDVVHLYRLLWVSTVLNVLGLCLGIVTAAVLGAFKDMVPLSQLAYGPSPPP QILYNPAQQILAYTGLCPPSMGVPTCSSYPLPLQPSSAPPASASADLSLPEDTESPSQCQ PSRGCSHAPSPCTPAYFLPGEKPPPYAP >ENSMUSP00000136113.1 pep:known chromosome:GRCm38:17:82539258:82539545:1 gene:ENSMUSG00000073371.3 transcript:ENSMUST00000097278.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6594 description:predicted pseudogene 6594 [Source:MGI Symbol;Acc:MGI:3643873] MPKRKAEGDGKGDKTKVKDEPQRRSARLSAKPAPPKPEPKPKKAPAKKGEKVPKGRKGKA GKPTLGRMQDANNPAENGDAKTDQAQKDEGAGDAK >ENSMUSP00000136399.1 pep:known chromosome:GRCm38:8:70718543:70722635:1 gene:ENSMUSG00000095026.1 transcript:ENSMUST00000179347.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3336 description:predicted gene 3336 [Source:MGI Symbol;Acc:MGI:3781514] MASSWLLPLALALLLLGDSSVILASRDVNFTLFLKSLIAKKASDTISPSPETTPVPTSTS PETTPDSTYTSLETISPPDSTTPNPGSASPDPETASLPTSGFPSSEPTTTSQSTNLPPQG LSTVSSPHQDAGSLWTPTSYRNPGVVIAVCLLVSVLLVGSAFVAVRHYNRDAPAFHNLDT VSMGSVSQRLPFADRLQS >ENSMUSP00000022257.2 pep:known chromosome:GRCm38:14:14012491:14107296:1 gene:ENSMUSG00000021738.4 transcript:ENSMUST00000022257.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn7 description:ataxin 7 [Source:MGI Symbol;Acc:MGI:2179277] MSERAADDVRGEPRRAAGGAAAARQQQQQPQPLQPQRQHPPLRRPRAEDGGTGDTTTSAA AMATVGERRPLPSPEAMLGQSWNLWVEASKLPGKDGTELDESFKEFGKNREVMGLCREDM PIFGLCPAHDDFYLVVCNDCNQVVKPQAFQSHYERRHSSSSKPALAVPHTSVFSLLPSLS KSKGSGAGGSSRPPSGGVLCASSSSKLLRLPKEKLPLRGNMKPMHPVQQIKVPHGRVMTP SVKVEKMHPKMDGTLLKSTVGPACPATMSSAVKPGLNCPSIPKPTLPSPGQILNGKGLPA MPTLEKKSEDSSNNRKFLNKRLSEREFDPDIHCGVIDLDTKKPCTRSLTCKTHSLTQRRA VQGRRKRFDVLLAEHKNKAREKELIRHDSQQVPHPLRDPHPTPPRTPQEPQLPAESKPFL ASKPKPQTPSLPRPPGCPAQQGGSTPIDPPPGQESPHPPLPATEPASRLSSEEGEGDDRE ESVEKLDCHYSGRHPQPASFCTFGSRQIGRGYYVFDSRWNRLRCALNLMVEKHLNAQLWK KIPPVPCTTSPVSARVPHRTNSVPTSQGGISYLAATTVSAPPVLLSSTCISPNSKSVPAH GTTLNAQPAGSGAMDPVCSVQSRQVSASSSPPSTPSGLSSVPSSPLSRKPQKWKPSKSIR PKESSALSTNCHNASSSTSGGSGKKRKNSSPLLVPSSSSSSSSSSSSSHSVNSFRKNCVA HSGTPYLSTAPSSHSIGLNCVTNKTHSVSLRHEQAGRGPAGVSSAEPIKRMSVMVNSSDS TLSLGPFIHQASELPVNPHSHTPLDKLIGKKRKCSPGSSTVGNSGSKPTKVAKLPAMNNV HMKHTGNISGAQGLTNNSLLHQPKARP >ENSMUSP00000073402.5 pep:known chromosome:GRCm38:17:26933127:26937890:1 gene:ENSMUSG00000024193.7 transcript:ENSMUST00000073724.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf1 description:PHD finger protein 1 [Source:MGI Symbol;Acc:MGI:98647] MAQLPRLSRLGAPSLWDPASPAPTSGPRPRLWEGQDVLARWTDGLLYLGTIKKVDSAREV CLVQFEDDSQFLVLWKDISPAALPGEELLCCVCRSETVVPGNRLVSCEKCRHAYHQDCHV PRAPAPGEGEGASWVCRQCVFAIATKRGGALKKGPYARAMLGMKLSLPYGLKGLDWDAGH LSNRQQSYCYCGGPGEWNLKMLQCRSCLQWFHEACTQCLSKPLLYGDRFYEFECCVCRGG PEKVRRLQLRWVDVAHLVLYHLSVCCKKKYFDFDREILPFTSENWDSLLLGELSDTPKGE RSSQLLSALNSHKDRFISGREIKKRKCLFGLHARTPPPVELLTGDGAPTSFPSGQGPGGG VSRPLGKRWRSEPEPLRRRQKGKVEELGPPTAAHSRHGSREQRALQASVSPPPPSPNQSY EGSSGYNFRPTDARCLPSSPIRMFASFHPSASTAGTSGDSEPPDRSPLGLHIGFPTDTPK SSPHSVTASSSSVPALTPGFSRHSPPSPLCRSLSPGTGGGVRGGVSYLSRGDPVRVLARR VRPDGSVQYLVEWGGGGIF >ENSMUSP00000137256.1 pep:known chromosome:GRCm38:15:101050194:101054399:-1 gene:ENSMUSG00000095440.1 transcript:ENSMUST00000178140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fignl2 description:fidgetin-like 2 [Source:MGI Symbol;Acc:MGI:3646919] MHWTPEHAQPLNQWPEQHLDVSSTTPSPAHKLELPPGGRQRCHYAWAHDDISALTASNLL KRYAEKYSGVLDYERPGLGSYGDAAFLNGAKGDPEPWPGPEPPYPLASLHEGLPGAKAAG AGGSAGLGGSPVVAGNLTEPLYTGNACGGPSAATEYAAGYGGGYLASGYCAQTSAALAPP PPPALLQPAPPPGYGPSAPLYNYPAAGYAAQPGYGALPPPAAPPAPYLPSGLAAPTPLPA PAPPRPAPYGFPAAAEGVSLKRKAVDEGAEARYRKYAYEPAKAPAADGASYPAADDTECR GNGFRSKPPGATEDGTGKYGGGGPLKVLGSPAYAPQLEPFDKFPERVPAAHGGFAEPSGE PAKGVDPGALELVSSKMVDCGPPVQWADVAGQGALKAALEEELLWPLLRPPACPGSALPP RTVLFFGPRGCGKALLGRCLATRLGATLLRLRGAGLAASGAVEGARLLQAAFAAARCRPP AVLLISELDALLPARDDGASLRAPLLTCLDGSCGARADGVLVVGTTSRPAALDEATRRRF ALRFYVALPDGAARGQILQRALAQQGCALNERELAALVQGTQGFSGGELGQLCQQAAAEA GISGLQRPLSYKDVEAALAKVGSRAPSKELDSLVEWDKMYGSGH >ENSMUSP00000058785.9 pep:known chromosome:GRCm38:10:78400362:78413043:-1 gene:ENSMUSG00000061032.8 transcript:ENSMUST00000062678.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrp1 description:ribosomal RNA processing 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1203500] MVPGVPLPPEIQLAQRLAGNEQVTRDRALRKLRKYIEARSQRATGGFTPDELLKVWKGLF YCMWMQDKPLQQEELGRTIAQLVHAFHTTEAQHQFLKAFWQTMIREWVGIDRLRLDKFYM LMRMVLSESLKAVKARGWDERQIEQLLELLTTEILNPDSQAPSGVKSHFLEIFLEELAKV GAAELTADQNLQFIDPFCQIAARTKDSQVLHKIIQSIFQTIVEQAPLAIEDIMNELDTQS GEGEASDGDDGEASDGDDGEASDDDDGEASDGGDGDVADSDDSDGADDDDGDVSDGDGGD NDEGDSNKSSEGEQDLQDTPPKKLPAGTAHRAGPEADKEQAWDDEENAGPVLQFDYEALA NRLFKLASRQSTPSQNRKRLYKVIQKLRELAGGTFPEDDVPEKAYKKMLEGRRERKKKKK RLPKPQPQNKEAGSEAESSSADPGPGRKRKRNRKTDEKAGQGGPPGKRRKPGARAKGAGA QQPKKRIQSSQSAE >ENSMUSP00000062902.1 pep:known chromosome:GRCm38:14:51195486:51195923:-1 gene:ENSMUSG00000047894.1 transcript:ENSMUST00000051274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ang2 description:angiogenin, ribonuclease A family, member 2 [Source:MGI Symbol;Acc:MGI:104984] MAMSPGPLFLVFLLGLVVIPPTLSQDDSRYTKFLTQHYDAKPKGRDDRYCESMMVKRKLT SFCKDVNTFIHDTKNNIKAICGKKGSPYGRNLRISKSHFQVTTCTHKGRSPRPPCRYRAS KGFRYIIIGCENGWPVHFDESFISP >ENSMUSP00000077138.2 pep:known chromosome:GRCm38:14:31478320:31495030:-1 gene:ENSMUSG00000021891.7 transcript:ENSMUST00000055303.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl6 description:methyltransferase like 6 [Source:MGI Symbol;Acc:MGI:1914261] MASFQRKGLQARILSTEEEEKLKRDQALVSAFKQQKLEKEAQKNWDLFYKRNSTNFFKDR HWTTREFEELRSCREYEGQKLTLLEAGCGVGNCLFPLLEEDLNLFAYACDFSPRAVDYVK QHPLYNAERCKVFQCDLTRDDLLDHVPPESVDAVTLIFVLSAVHPEKMRLVLLNVYKVLK PGRSVLFRDYGLNDHAMLRFKAGSKLGENFYVRQDGTRSYFFTDEFLAQLFVDAGYEEVV NEYVFRETVNKKEGLCVPRVFLQSKFRKPPKDPAPTSDSASL >ENSMUSP00000022563.7 pep:known chromosome:GRCm38:14:60265205:60302370:1 gene:ENSMUSG00000021987.8 transcript:ENSMUST00000022563.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr6 description:myotubularin related protein 6 [Source:MGI Symbol;Acc:MGI:2145637] MEHIRTTKVEQVKLLDRFSTNNKSLTGTLYLTATHLLFIDAQQKETWILHHHIASVEKLA LTTSGCPLVIQCKNFRIVHFIVPRERDCHDIYNSLLQLSKQAKYEDLYAFSYNPKQNDTE RRNGWQLIDLAAEYERMGVPNANWQLSDANREYKVCETYPRELYVPRTASRPVIVGSSNF RSKGRLPVLSYCRQGTEAAICRCSQPLSGFSARCLEDEHLLQAISKANPGNRYMYVVDTR PKLNAIANRAAGKGYENEDNYSNIRFQFVGIENIHVMRSSLQKLLEVNGSKGLSVNDFYS GLESSGWLRHIKAVLDAAIFLAKAIVVENASVLVHCSDGWDRTSQVCSLGSLLLDSYYRT MKGFMVLIEKDWISFGHKFSERCGHLDGDPREVSPVFTQFLECVWHLTQQFPQAFEFNEA FLLQIHEHIHSCQFGNFLGNCQKEREELRLKEKTYSLWPFLLDDKKKYLNPLYSSKSQRL TVLEPNTASFNFKFWRNMYHQFDRTLHPRQSVLSIIMNMNEQSKQLEEDIKDLEAKIKQC KNGILTKELLHAVHPESPALKTSLCLKEPSLLPVKDTLRAIEGSSPADNRYCDYAEEFSK SEPTVVSLEYGVARMTC >ENSMUSP00000136734.1 pep:known chromosome:GRCm38:10:62071015:62072609:1 gene:ENSMUSG00000046687.5 transcript:ENSMUST00000053865.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5424 description:predicted gene 5424 [Source:MGI Symbol;Acc:MGI:3643173] MSSKGSVVLAYSGGLDTSCILVWLKEQGYDVIAYLANIGQKEDFEEARKKALKLGAKKVF IEDVSKEFVEEFIWPAVQSSALYEDRYLLGTSLARPCIARRQVEIAQREGAKYVSHGATG KGNDQVRFELTCYSLAPQIKVIAPWRMPEFYNRFKGRNDLMEYAKQHGIPIPVSPKSPWS MDENLMHISYEAGILENPKNQAPPGLYTKTQDPAKAPNTPDVLEIEFKKGVPVKVTNIKD GTTRTTSLELFMYLNEVAGKHGVGRIDIVENRFIGMKSRGIYETPAGTILYHAHLDIEAF TMDREVRKIKQGLGLKFAELVYTGFWHSPECEFVRHCIQKSQERVEGKVQVSVFKGQVYI LGRESPLSLYNEELVSMNVQGDYEPIDATGFININSLRLKEYHRLQSKVTAK >ENSMUSP00000024596.8 pep:known chromosome:GRCm38:17:12648874:12675838:-1 gene:ENSMUSG00000023829.8 transcript:ENSMUST00000024596.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a1 description:solute carrier family 22 (organic cation transporter), member 1 [Source:MGI Symbol;Acc:MGI:108111] MPTVDDVLEHVGEFGWFQKQAFLLLCLISASLAPIYVGIVFLGFTPDHHCRSPGVAELSQ RCGWSPAEELNYTVPGLGSAGEASFLSQCMKYEVDWNQSTLDCVDPLSSLAANRSHLPLS PCEHGWVYDTPGSSIVTEFNLVCGDAWKVDLFQSCVNLGFFLGSLVVGYIADRFGRKLCL LVTTLVTSLSGVLTAVAPDYTSMLLFRLLQGMVSKGSWVSGYTLITEFVGSGYRRTTAIL YQVAFTVGLVGLAGVAYAIPDWRWLQLAVSLPTFLFLLYYWFVPESPRWLLSQKRTTQAV RIMEQIAQKNRKVPPADLKMMCLEEDASERRSPSFADLFRTPSLRKHTLILMYLWFSCAV LYQGLIMHVGATGANLYLDFFYSSLVEFPAAFIILVTIDRIGRIYPIAASNLVAGAACLL MIFIPHELHWLNVTLACLGRMGATIVLQMVCLVNAELYPTFIRNLGMMVCSALCDLGGIF TPFMVFRLMEVWQALPLILFGVLGLSAGAVTLLLPETKGVALPETIEEAENLGRRKSKAK ENTIYLQVQTGKSPHT >ENSMUSP00000129023.1 pep:known chromosome:GRCm38:10:59164113:59221847:-1 gene:ENSMUSG00000019917.9 transcript:ENSMUST00000165971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept10 description:septin 10 [Source:MGI Symbol;Acc:MGI:1918110] MASCDEIKEHPRSLSMCGHVGFESLPDQLVDRSIEQGFCFNILCVGETGIGKSTLINTLF NTNFEELESSHFCPCVRLRAQTYELQESNVRLKLTIVNTVGFGDQINKEDSYQPIVDYID DQFEAYLQEELKIKRALFNYHDSRIHVCLYFIAPTGHSLRTLDLLTMKSLDNKVNIIPLI AKADTISKSELQKFKMKLMNELVINGVQIYQFPTDDDTTSKINGAMNGHLPFAVVGSMDE IKVGNKMVKGRQYPWGIVQVENENHCDFVKLREMLICTNMEDLREQTHMRHYELYRRCKL QEMGFVDMGPENKPLSLQETYEAKRHEFYGERQRKEEQMKQMFVQRVKEKEAILKEAERE LQAKFEHLKRIHQEERMKLEEKRRMLEEESVAFAKKKATCELFPNQSFLASGSSIRKDKD RKNSNFM >ENSMUSP00000073975.5 pep:known chromosome:GRCm38:19:53892231:53929861:1 gene:ENSMUSG00000024975.12 transcript:ENSMUST00000074371.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd4 description:programmed cell death 4 [Source:MGI Symbol;Acc:MGI:107490] MDIENEQTLNVNPTDPDNLSDSLFSGDEENAGTEEIKNEINGNWISASTINEARINAKAK RRLRKNSSRDSGRGDSVSDNGSEAVRSGVAVPTSPKGRLLDRRSRSGKGRGLPKKGGAGG KGVWGTPGQVYDVEEVDVKDPNYDDDQENCVYETVVLPLDETAFEKTLTPIIQEYFEHGD TNEVAEMLRDLNLGEMKSGVPVLAVSLALEGKASHREMTSKLLSDLCGTVMSTNDVEKSF DKLLKDLPELALDTPRAPQLVGQFIARAVGDGILCNTYIDSYKGTVDCVQARAALDKATV LLSMSKGGKRKDSVWGSGGGQQPVNHLVKEIDMLLKEYLLSGDISEAEHCLKELEVPHFH HELVYEAIVMVLESTGESAFKMILDLLKSLWKSSTITIDQMKRGYERIYNEIPDINLDVP HSYSVLERFVEECFQAGIISKQLRDLCPSRGRKRFVSEGDGGRLKPESY >ENSMUSP00000025931.6 pep:known chromosome:GRCm38:19:53903351:53929861:1 gene:ENSMUSG00000024975.12 transcript:ENSMUST00000025931.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd4 description:programmed cell death 4 [Source:MGI Symbol;Acc:MGI:107490] MDIENEQTLNVNPTDPDNLSDSLFSGDEENAGTEEIKNEINGNWISASTINEARINAKAK RRLRKNSSRDSGRGDSVSDNGSEAVRSGVAVPTSPKGRLLDRRSRSGKGRGLPKKGGAGG KGVWGTPGQVYDVEEVDVKDPNYDDDQENCVYETVVLPLDETAFEKTLTPIIQEYFEHGD TNEVAEMLRDLNLGEMKSGVPVLAVSLALEGKASHREMTSKLLSDLCGTVMSTNDVEKSF DKLLKDLPELALDTPRAPQLVGQFIARAVGDGILCNTYIDSYKGTVDCVQARAALDKATV LLSMSKGGKRKDSVWGSGGGQQPVNHLVKEIDMLLKEYLLSGDISEAEHCLKELEVPHFH HELVYEAIVMVLESTGESAFKMILDLLKSLWKSSTITIDQMKRGYERIYNEIPDINLDVP HSYSVLERFVEECFQAGIISKQLRDLCPSRGRKRFVSEGDGGRLKPESY >ENSMUSP00000133135.1 pep:known chromosome:GRCm38:19:53903351:53929861:1 gene:ENSMUSG00000024975.12 transcript:ENSMUST00000165617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd4 description:programmed cell death 4 [Source:MGI Symbol;Acc:MGI:107490] MDIENEQTLNVNPTDPDNLSDSLFSGDEENAGTEEIKNEINGNWISASTINEARINAKAK RRLRKNSSRDSGRGDSVSDNGSEAVRSGVAVPTSPKGRLLDRRSRSGKGRGLPKKGGAGG KGVWGTPGQVYDVEEVDVKDPNYDDDQENCVYETVVLPLDETAFEKTLTPIIQEYFEHGD TNEVAEMLRDLNLGEMKSGVPVLAVSLALEGKASHREMTSKLLSDLCGTVMSTNDVEKSF DKLLKDLPELALDTPRAPQLVGQFIARAVGDGILCNTYIDSYKGTVDCVQARAALDKATV LLSMSKGGKRKDSVWGSGGGQQPVNHLVKEIDMLLKEYLLSGDISEAEHCLKELEVPHFH HELVYEAIVMVLESTGESAFKMILDLLKSLWKSSTITIDQMKRGYERIYNEIPDINLDVP HSYSVLERFVEECFQAGIISKQLRDLCPSRGRKRFVSEGDGGRLKPESY >ENSMUSP00000033827.7 pep:known chromosome:GRCm38:8:13405081:13421945:1 gene:ENSMUSG00000031450.7 transcript:ENSMUST00000033827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grk1 description:G protein-coupled receptor kinase 1 [Source:MGI Symbol;Acc:MGI:1345146] MDFGSLETVVANSAFIAARGSFDGSSTPSSRDKKYLAKLRLPPLSKCEGLRDNLSLEFES LCSEQPIGKRLFQQFLKTDERHVPALELWKDIEDYDTADDDLRPQKAQAILAEYLDPQGT LFCNFLDQGMVTRVKEGPTGSQDGLFQPLLQATMEHLSQAPFQEYLESLYFLRFLQWKWL EAQPIGEDWFLDFRVLGKGGFGEVSACQMKATGKMYACKKLNKKRLKKRKGYQGAIVEKR ILTKVHSRFIVSLAYAFETKTDLCLVMTIMNGGDVRYHIYNVDEDNPGFSEPRAIYYTAQ IISGLEHLHQRRIVYRDLKPENVLLDNDGNIRISDLGLAVELKEGQNKTKGYAGTPGFMA PELLRGEEYDFSVDYFALGVTLYEMIAARGPFRARGEKVENKELKQRIISEPVKYPEKFS QASKDFCEQLLEKDPEKRLGFRDGTCDALRANVLFKDISWRQLEAGMLIPPFIPDSRTVY AKNIQDVGAFSTVKGVVFDKADTEFFQEFASGNCSIPWQEEMIETGLFGDLNVWRADGQM PDDMKGITTEEAAPTAKSGMCLIS >ENSMUSP00000098053.4 pep:known chromosome:GRCm38:15:77958998:77970824:-1 gene:ENSMUSG00000016554.8 transcript:ENSMUST00000100484.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3d description:eukaryotic translation initiation factor 3, subunit D [Source:MGI Symbol;Acc:MGI:1933181] MAKFMTPVIQDNPSGWGPCAVPEQFRDMPYQPFSKGDRLGKVADWTGATYQDKRYTNKYS SQFGGGSQYAYFHEEDETSFQLVDTARTQKTAYQRNRMRFAQRNLRRDKDRRNMVQFNLQ TLPKSAKQKERERIRLQKKFQKQFGVRQKWDQKSQKPRDSSVEVRSDWEVKEEMDFPQLM KMRYLEVSEPQDIECCGALEYYDKAFDRITTRSEKPLRSIKRIFHTVTTTDDPVIRKLAK TQGNVFATDAILATLMSCTRSVYSWDIVVQRVGSKLFFDKRDNSDFDLLTVSETANEPPQ DEGNSFNSPRNLAMEATYINHNFSQQCLRMGRERYNFPNPNPFVEDDMDKNEIASVAYRY RRWKLGDDIDLIVRCEHDGVMTGANGEVSFINIKTLNEWDSRHCNGVDWRQKLDSQRGAV IATELKNNSYKLARWTCCALLAGSEYLKLGYVSRYHVKDSSRHVILGTQQFKPNEFASQI NLSVENAWGILRCVIDICMKLEEGKYLILKDPNKQVIRVYSLPDGTFSSEEDEEDEEEEE EEEEEEET >ENSMUSP00000072320.6 pep:known chromosome:GRCm38:7:45718071:45720835:1 gene:ENSMUSG00000059070.15 transcript:ENSMUST00000072503.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl18 description:ribosomal protein L18 [Source:MGI Symbol;Acc:MGI:98003] MGVDIRHNKDRKVRRKEPKSQDIYLRLLVKLYRFLARRTNSTFNQVVLKRLFMSRTNRPP LSLSRMIRKMKLPGRENKTAVVVGTVTDDVRILEVPKLKVCALRVSSRARSRILKAGGKI LTFDQLALESPKGRGTVLLSGPRKGREVYRHFGKAPGTPHSHTKPYVRSKGRKFERARGR RASRGYKN >ENSMUSP00000045668.7 pep:known chromosome:GRCm38:8:71384274:71395801:-1 gene:ENSMUSG00000034911.7 transcript:ENSMUST00000049184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ushbp1 description:Usher syndrome 1C binding protein 1 [Source:MGI Symbol;Acc:MGI:1922920] MSARATRPRSRRGRHPLPGELDPVAESSEEVDANNGRFETKPELHQEYLGPGLQSPRNWI KEGCDVGSHAVLSLSPEEQCEPEVEAHQVLQEAPLDSGPAEISVPSVYETLQCRLSSLEA VVAALRHHSLSFPKSVEAEDRDQGAPGPFGDEKEDAGPGQQEAARLIERNAWLRLALCNR EDELACTQASLQDAQAEKETLQRQVQELEDSLMQMEASPPTPILRAGRRNSNSSTSGAER RPWVPQDSSMAHPFLQRLRSDSSTQSFGCLSTQHPAPETYLMEDQMGQLQGSIEKLKCFN RLLLAVLQGYKGRCESLSIKLAKREAEATALRLALQYSEDCEEVYEVLLALKMAGLGTGV GTTKGDLQAAEKEASRLLMKKEATMEVETPQPSSEGSGVDKPTPEELASQLHGYVQHLRE RWALVKIPEELGPVTAPKATMPHAEATVQAILEIQPGPTLPHLEKSQIQQDLAATRDRLA DLVLRLQLTQREKRGLELREAALRAQGPAHQLLLQQLRWERAHFAGDGSSGGSSEDPSSE EEAGEDRQQHYQGPLALLDGQMGKVWDSENVSQELSASLARAIDLRAQLQSLRQQLEQVT QKGRTRRVQSAELSRELCKAHSALALAFRGAHRKQEEQRLKLEQQVARLQAQQAEELAVL TATARALGKPGAPQPAQTFL >ENSMUSP00000068374.4 pep:known chromosome:GRCm38:13:99421464:99516602:-1 gene:ENSMUSG00000052727.5 transcript:ENSMUST00000064762.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map1b description:microtubule-associated protein 1B [Source:MGI Symbol;Acc:MGI:1306778] MATVVVEATEPEPSGSIGNPAASTSPSLSHRFLDSKFYLLVVVGETVTEEHLRRAIGNIE LGIRSWDTNLIECNLDQELKLFVSRHSARFSPEVPGQKILHHRSDVLETVVLINPSDEAV STEVRLMITDAARHKLLVLTGQCFENTGELILQSGSFSFQNFIEIFTDQEIGELLSTTHP ANKASLTLFCPEEGDWKNSNLDRHNLQDFINIKLNSASILPEMEGLSEFTEYLSESVEVP SPFDILEPPTSGGFLKLSKPCCYIFPGGRGDSALFAVNGFNMLINGGSERKSCFWKLIRH LDRVDSILLTHIGDDNLPGINSMLQRKIAELEEERSQGSTSNSDWMKNLISPDLGVVFLN VPENLKDPEPNIKMKRSIEEACFTLQYLNKLSMKPEPLFRSVGNTIEPVILFQKMGVGKL EMYVLNPVKSSKEMQYFMQQWTGTNKDKAELILPNGQEVDIPISYLTSVSSLIVWHPANP AEKIIRVLFPGNSTQYNILEGLEKLKHLDFLKQPLATQKDLTGQVPTPPVKQVKLKQRAD SRESLKPATKPVASKSVRKESKEETPEVTKTSQVEKTPKVESKEKVLVKKDKPVKTESKP SVTEKEVSSKEEQSPVKAEVAEKQATESKPKVTKDKVVKKEIKTKLEEKKEEKPKKEVVK KEDKTPLKKDEKPRKEEVKKEIKKEIKKEERKELKKEVKKETPLKDAKKEVKKEEKKEVK KEEKEPKKEIKKISKDIKKSTPLSDTKKPSALKPKVAKKEESTKKEPLAAGKLKDKGKVK VIKKEGKTTEAAATAVGTAATTAAVVAAAGIAASGPVKELEAERSLMSSPEDLTKDFEEL KAEEIDVAKDIKPQLELIEDEEKLKETQPGEAYVIQKETEVSKGSAESPDEGITTTEGEG ECEQTPEELEPVEKQGVDDIEKFEDEGAGFEESSETGDYEEKAETEEAEEPEEDGEDNAS GSASKHSPTEDDESAKAEADVHLKEKRESVVSGDDRAEEDMDDVLEKGEAEQSEEEGEEE DKAEDAREEGYEPDKTEAEDYVMAVADKAAEAGVTEEQYGYLGTSAKQPGIQSPSREPAS SIHDETLPGGSESEATASDEENREDQPEEFTATSGYTQSTIEISSEPTPMDEMSTPRDVM SDETNNEETESPSQEFVNITKYESSLYSQEYSKPAVASFNGLSEGSKTDATDGKDYNASA STISPPSSMEEDKFSKSALRDAYCSEEKELKASAELDIKDVSDERLSPAKSPSLSPSPPS PIEKTPLGERSVNFSLTPNEIKVSAEGEARSVSPGVTQAVVEEHCASPEEKTLEVVSPSQ SVTGSAGHTPYYQSPTDEKSSHLPTEVTEKPQAVPVSFEFSEAKDENERASLSPMDEPVP DSESPVEKVLSPLRSPPLLGSESPYEDFLSADSKVLGRRSESPFEGKNGKQGFPDRESPV SDLTSTGLYQDKQEEKSTGFIPIKEDFGPEKKTSDVETMSSQSALALDERKLGGDVSPTQ IDVSQFGSFKEDTKMSISEGTVSDKSATPVDEGVAEDTYSHMEGVASVSTASVATSSFPE PTTDDVSPSLHAEVGSPHSTEVDDSLSVSVVQTPTTFQETEMSPSKEECPRPMSISPPDF SPKTAKSRTPVQDHRSEQSSMSIEFGQESPEHSLAMDFSRQSPDHPTLGASVLHITENGP TEVDYSPSDIQDSSLSHKIPPTEEPSYTQDNDLSELISVSQVEASPSTSSAHTPSQIASP LQEDTLSDVVPPREMSLYASLASEKVQSLEGEKLSPKSDISPLTPRESSPLYSPGFSDST SAAKETAAAHQASSSPPIDAATAEPYGFRSSMLFDTMQHHLALNRDLTTSSVEKDSGGKT PGDFNYAYQKPENAAGSPDEEDYDYESQEKTIRTHDVGGYYYEKTERTIKSPCDSGYSYE TIEKTIKTPEDGGYTCEITEKTTRTPEEGGYSYEISEKTTRTPEVSGYTYEKTERSRRLL DDISNGYDDTEDGGHTLGDCSYSYETTEKITSFPESESYSYETSTKTTRSPDTSAYCYET MEKITKTPQASTYSYETSDRCYTTEKKSPSEARQDVDLCLVSSCEFKHPKTELSPSFINP NPLEWFAGEEPTEESEKPLTQSGGAPPPSGGKQQGRQCDETPPTSVSESAPSQTDSDVPP ETEECPSITADANIDSEDESETIPTDKTVTYKHMDPPPAPMQDRSPSPRHPDVSMVDPDA LAVDQNLGKALKKDLKEKTKTKKPGTKTKSSSPVKKGDGKSKPLAASPKPGALKESSDKV SRVASPKKKESVEKATKTTTTPEVKATRGEEKDKETKNAANASASKSAKTATAGPGTTKT AKSSTVPPGLPVYLDLCYIPNHSNSKNVDVEFFKRVRSSYYVVSGNDPAAEEPSRAVLDA LLEGKAQWGSNMQVTLIPTHDSEVMREWYQETHEKQQDLNIMVLASSSTVVMQDESFPAC KIEL >ENSMUSP00000072453.3 pep:known chromosome:GRCm38:18:52615915:52639830:1 gene:ENSMUSG00000046886.5 transcript:ENSMUST00000072666.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp474 description:zinc finger protein 474 [Source:MGI Symbol;Acc:MGI:1914008] MESGNERISSQSQGTIHLSKEPTFLIQQATLPSDLHSTLLQETQCGGLTKNIKANTQKRR PGTVILSKRSSRIMSETQPRPPVIPSRRPGFRICYICGREFGSQSLAIHEPQCLEKWRTE NSKLPKHLRRPEPSKPQPIGGTDSHSLQAANEEAFQSAQAQLLPCENCGRTFLPDRLLVH QRSCKPKGENPGPPSMGSSNVPTGLKKASSGIPARPRTLICYICGREFGTLSLPIHEPKC LEKWKIENDQLPRELRRPQPQKPQPLPAGQSSQEGASQAALVPCPNCGRTFAVDRLPVHQ RSCKSQPSGPKTSNSNIERKGGPNPPTNSKQQRNMEAPNGDKVTGVI >ENSMUSP00000079239.6 pep:known chromosome:GRCm38:15:58941244:59082026:-1 gene:ENSMUSG00000022353.9 transcript:ENSMUST00000080371.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtss1 description:metastasis suppressor 1 [Source:MGI Symbol;Acc:MGI:2384818] MEAVIEKECSALGGLFQTIISDMKGSYPVWEDFINKAGKLQSQLRTTVVAAAAFLDAFQK VADMATNTRGGTREIGSALTRMCMRHRSIEAKLRQFSSALIDCLINPLQEQMEEWKKVAN QLDKDHAKEYKKARQEIKKKSSDTLKLQKKAKKVDAQGRGDIQPQLDSALQDVNDKYLLL EETEKQAVRKALIEERGRFCTFISMLRPVIEEEISMLGEITHLQTISEDLKSLTMDPHKL PSSSEQVILDLKGSDYSWSYQTPPSSPSTTMSRKSSVCSSLNSVNSSDSRSSGSHSHSPS SHYRYRSSNLAQQAPVRLSSVSSHDSGFISQDAFQSKSPSPMPPEAANQLSNGFSHCSLS SESHAGPVGAGPFPHCLPASRLLPRVTSVHLPDYAHYYTIGPGMFPSSQIPSWKDWAKPG PYDQPLVNTLQRRKEKREPDSNGGGPTTTGGPPAGAEEAQRPRSMTVSAATRPGEEMAAC EELTLALSRGLQLDVQRSSRDSLQCSSGYSTQTTTPCCSEDTIPSQVSDYDYFSVSGDQE AEQQEFDKSSTIPRNSDISQSYRRMFQAKRPASTAGLPTTLGPAMVTPGVATIRRTPSTK PSVRRGTIGAGPIPIKTPVIPVKTPTVPDLPGVLPSPPDGPEERGEHSPESPSAGEGPQG VSNIPSSLWSGQAPVNPPLPGPKPSIPEEHRQAIPESEAEDQERDPPSATVSPGPIPESD PADLSPRESPQGEDMLNAIRRGVKLKKTTTNDRSAPRFS >ENSMUSP00000067385.5 pep:known chromosome:GRCm38:8:84173733:84176552:-1 gene:ENSMUSG00000056155.5 transcript:ENSMUST00000070102.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nanos3 description:nanos homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2675387] MGTFNLWTDYLGLARLVGALHKEEELDVRLDPKPEPKPSSESQQASKESSAAPERLCSFC KHNGESRAIYQSHVLKDEAGRVLCPILRDYVCPQCGATQEHAHTRRFCPLTSQGYTSVYC YTTRNSAGKKLTRPDKAKTQDAGHRLGGEAAAGVYAGSKSGRKPPGPSPSACCPSTTA >ENSMUSP00000137149.1 pep:known chromosome:GRCm38:4:42255767:42256432:1 gene:ENSMUSG00000095675.1 transcript:ENSMUST00000178864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl21b description:chemokine (C-C motif) ligand 21B (leucine) [Source:MGI Symbol;Acc:MGI:1349182] MAQMMTLSLLSLVLALCIPWTQGSDGGGQDCCLKYSQKKIPYSIVRGYRKQEPSLGCPIP AILFLPRKHSKPELCANPEEGWVQNLMRRLDQPPAPGKQSPGCRKNRGTSKSGKKGKGSK GCKRTEQTQPSRG >ENSMUSP00000045465.5 pep:known chromosome:GRCm38:19:58728887:58744169:1 gene:ENSMUSG00000042179.5 transcript:ENSMUST00000048644.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnliprp1 description:pancreatic lipase related protein 1 [Source:MGI Symbol;Acc:MGI:97723] MLILWTIPLFLLGAAQGKEVCYDNLGCFSDAEPWAGTAIRPLKLLPWSPEKINTRFLLYT NENPTAFQTLQLSDPSTIEASNFQVARKTRFIIHGFIDKGEENWVVDMCKNMFQVEEVNC ICVDWKRGSQTTYTQAANNVRVVGAQVAQMIDILVRNFNYSASKVHLIGHSLGAHVAGEA GSRTPGLGRITGLDPVEANFEGTPEEVRLDPSDADFVDVIHTDAAPLIPFLGFGTNQMVG HFDFFPNGGQYMPGCKKNALSQIVDIDGIWSGTRDFVACNHLRSYKYYLESILNPDGFAA YPCASYRDFESNKCFPCPDQGCPQMGHYADKFANNTSVEPQKFFLNTGEAKNFARWRYRV SLTFSGRTVTGQVKVSLFGSNGNTRQCDIFRGIIKPGATHSNEFDAKLDVGTIEKVKFLW NNHVVNPSFPKVGAAKITVQKGEERTEHNFCSEETVREDILLTLLPCKTSDTM >ENSMUSP00000006235.7 pep:known chromosome:GRCm38:14:63122462:63145919:1 gene:ENSMUSG00000021939.7 transcript:ENSMUST00000006235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsb description:cathepsin B [Source:MGI Symbol;Acc:MGI:88561] MWWSLILLSCLLALTSAHDKPSFHPLSDDLINYINKQNTTWQAGRNFYNVDISYLKKLCG TVLGGPKLPGRVAFGEDIDLPETFDAREQWSNCPTIGQIRDQGSCGSCWAFGAVEAISDR TCIHTNGRVNVEVSAEDLLTCCGIQCGDGCNGGYPSGAWSFWTKKGLVSGGVYNSHVGCL PYTIPPCEHHVNGSRPPCTGEGDTPRCNKSCEAGYSPSYKEDKHFGYTSYSVSNSVKEIM AEIYKNGPVEGAFTVFSDFLTYKSGVYKHEAGDMMGGHAIRILGWGVENGVPYWLAANSW NLDWGDNGFFKILRGENHCGIESEIVAGIPRTDQYWGRF >ENSMUSP00000051575.6 pep:known chromosome:GRCm38:10:34126069:34127972:-1 gene:ENSMUSG00000046031.6 transcript:ENSMUST00000062784.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam26f description:family with sequence similarity 26, member F [Source:MGI Symbol;Acc:MGI:2443082] MEKFKAVLDLQRKHRNALGYSLVTLLTAGGEKIFSSVVFQCPCTATWNLPYGLVFLLVPA LALFLLGYALSARTWRLLTGCCSRSARFSSGLRSAFVCAQLSMTAAFAPLTWVAVALLEG SFYQCAVSGSARLAPYLCKGRDPNCNATLPQAPCNKQKVEMQEILSQLKAQSQVFGWILI AAVIILLLLVKSVTRCFSPVSYLQLKFWEIYWEKEKQILQNQAAENATQLAEENVRCFFE CSKPKECNTPSSKDWQEISALYTFNPKNQFYSMLHKYVSREEMSGSVRSVEGDAVIPALG FVDDMSMTNTHEL >ENSMUSP00000080385.3 pep:known chromosome:GRCm38:9:38930173:38931099:1 gene:ENSMUSG00000063221.4 transcript:ENSMUST00000058789.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr930 description:olfactory receptor 930 [Source:MGI Symbol;Acc:MGI:3030764] MATGNHSAAVVFVLVGLTQQPELLLPLFILFLGIYVVTAVGNLGMILLITVSPLLHTPMY YFLSSLSFVDLSYSTVITPKMLVNFLGKKNFITYSECMAQFFFFAVFVVTEGYLLTVMAY DHYVAICRPLLYNVMMSSKHCLLLVLVAFTLGLFSAVVHTSAMMSLNFCKTYIISHYFCD ALPLLKLSCSNTHLNELLIFIIGGINTLVPTLAVAISYVFIFCSIRHIKSSKSRSKAFGT CSSHLMAVGIFFGSITFMYLKPSSSNSLEQEKVSSVFYTTVIPMLNPLIYSLRNKDVKKA LGRFSVRR >ENSMUSP00000077378.6 pep:known chromosome:GRCm38:8:69300776:69303162:1 gene:ENSMUSG00000079038.2 transcript:ENSMUST00000078257.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D130040H23Rik description:RIKEN cDNA D130040H23 gene [Source:MGI Symbol;Acc:MGI:2444324] MILKNIFVKILEYIEGMKEVLERNPMNILSVVKPLHNTVIFKGMKEFLLERNPMNVINVV KPLYVTVVFQIMKSIILERNHTNVLNVVKPFHNRVTSRYIKEYILERSPMNVISVLKPFH NRAISRNIKEHILERSPTNVINVIKTFHNKVISKSIEEHILERNPTNVINVIKSFHNKLI SKDIKEHILERSPTNVINVVKPLLITVILKNIKEHTLERNLINATNVVKPLHITVISKNI KEHTLERNLINAINVVKLLHVTEVFKFMKEFILERNLMNVVNVVRPLHITIISETIKEHI LERTPTNVRNAVKPLHVTVIFKGMKEFILERNPMSVVNVVKPLHVTAVFKIMKKIILKRN SMNYVSNMVTPLYITVISENIKHKRIYSTEYNQDFKVMSQLSLRT >ENSMUSP00000002445.8 pep:known chromosome:GRCm38:17:56673225:56711769:1 gene:ENSMUSG00000002372.8 transcript:ENSMUST00000002445.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp3 description:RAN binding protein 3 [Source:MGI Symbol;Acc:MGI:1919060] MADLANEEKPAVAPSVFVFQKDKGQKRSAGSSSPEAGEDSDHEDGNYCPPVKRERTSSLT HSEEKSSGFRLKPPTLIHGQAPSAGLPSQKPREQQRGVLRPAVLQAPQPKVLSQTVPSSG TNGVSMPADCTGPATSVSPENLTQRSPSESAEETHTLEEKVPQKTPHGTSEEGHCEEEQA APQAFVFGQNLRDRVKLMNENASVADVDSAAHPSSETPSATNYFLQYISSSADNATHSAD NSTKFVFGQNMSERVLSPPKLNEANSDTSRETTHAQSGSESSSQEAAPKKESLAESAAAY TKATAWTCLLEKVEVITGEEAESNVLQIQCKLFVFDKTSQSWVERGRGLLRLNDMASTDD GTLQSRLVMRTQGSLRLILNTKLWAQMQMDKASEKSIRITATDAEDQGVKVFLISASSKD TGQLYAALHHRILALRSRAEQEQEAKAPPPEPGATRATEEEDSDEDAVLAPSGVTGAGTG DEGDGQAPGST >ENSMUSP00000056713.5 pep:known chromosome:GRCm38:9:40187079:40188094:1 gene:ENSMUSG00000051095.5 transcript:ENSMUST00000062229.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr986 description:olfactory receptor 986 [Source:MGI Symbol;Acc:MGI:3030820] MRNGTAITEFILLGFPGIQGSEALLFIVIFLIYILTLSGNGLIIVIVWVEPRLQTPMYFF LCNLAFLEIWYTTTVIPKLLETFVVSKTVICTACCLLQAFFHFFLGTTEFLILGSMSFDR YLAICKPLRYPTIMTSNLCLQLALSSWLAGFTIVFCQTMLIVQLPFCGNNVINHFYCDVG PILKAACADTSILELLGLLATILVIPGSLLFTIISYIYILSTILRIPSATGRQKAFSTCA SHLTVVSLLYGAVLFMYLRPTAHSSFKINKVVSVLNTILTPLLNPFIYTIRNKEVKGALR KAITCANRHHAK >ENSMUSP00000048180.6 pep:known chromosome:GRCm38:8:105698159:105701095:-1 gene:ENSMUSG00000038000.9 transcript:ENSMUST00000042608.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acd description:adrenocortical dysplasia [Source:MGI Symbol;Acc:MGI:87873] MSDSGLLALQPWIRELILGSETLSSPRTGQLLKVLQDSETPGPSSAPDTPDTGAVLLVSD GTHSVRCVVTRNAIDTSDWEEKELGFRGTEGRLLLLQACGLRVQVAQDHAPAEFYLQVDR FNLLPTEQPRIQVTGCNQDSDVQRKLNECLEDHLSESASSSAGLTLSQLLDEVREDQDHR GALVCLAKSCLVLKGPCTTTPLTDWITSGSQALGKAVFTVSGSLLHIPEGEEQILSSTGS SQKARGTSASPSHMPLEESGASVSLLSALATSDPGQMDSSQSPPAVGSTSPRAQAPTSPP CNSTPSSLLLNCSPSLSPLHPAPRSHQSCETRAQAPKLEFQCSFKKRQLLPRTSAQELCS VWEPPERHRDTSAFQYKYETPSASLHTQVQTARLSPQLVAWALNIVMESESELTQV >ENSMUSP00000097897.2 pep:known chromosome:GRCm38:14:108909991:108914239:-1 gene:ENSMUSG00000075478.2 transcript:ENSMUST00000100322.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slitrk1 description:SLIT and NTRK-like family, member 1 [Source:MGI Symbol;Acc:MGI:2679446] MLLWILLLETSLCFAAGNVTGDVCKEKICSCNEIEGDLHVDCEKKGFTSLQRFTAPTSQF YHLFLHGNSLTRLFPNEFANFYNAVSLHMENNGLHEIVPGAFLGLQLVKRLHINNNKIKS FRKQTFLGLDDLEYLQADFNLLRDIDPGAFQDLNKLEVLILNDNLISTLPANVFQYVPIT HLDLRGNRLKTLPYEEVLEQIPGIAEILLEDNPWDCTCDLLSLKEWLENIPKNALIGRVV CEAPTRLQGKDLNETTEQDLCPLKNRVDSSLPAPPAQEETFAPGPLPTPFKTNGQDEHAT PGAVPNGGTKIPGNWQLKIKPTPPIATGSARNKPPVHGLPCPGGCSCDHIPGSGLKMNCN NRNVSSLADLKPKLSNVQELFLRDNKIHSIRKSHFVDYKNLILLDLGNNNIANIENNTFK NLLDLRWLYMDSNYLDTLSREKFAGLQNLEYLNVEYNAIQLILPGTFNAMPKLRILILNN NLLRSLPVDVFAGVSLSKLSLHNNYFMYLPVAGVLDQLTSIIQIDLHGNPWECSCTIVPF KQWAERLGSEVLMSDLKCETPVNFFRKDFMLLSNEEICPQLYARISPTLTSHSKNSTGLA ETGTHSNSYLDTSRVSISVLVPGLLLVFVTSAFTVVGMLVFILRNRKRSKRRDANSSASE INSLQTVCDSSYWHNGPYNADGSHRVYDCGSHSLSD >ENSMUSP00000079780.6 pep:known chromosome:GRCm38:8:69706332:69710724:-1 gene:ENSMUSG00000054648.7 transcript:ENSMUST00000080987.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp869 description:zinc finger protein 869 [Source:MGI Symbol;Acc:MGI:1914119] MEAAIVGLSRPDEGLVSFEDLVVHFTQDEWDLLAPSQKTLYGDVMLETFRNFTAVGYEWE DEAIEDHCEDPEVNLRNIVTHSEYTQYEHEEYEEKPPDSNFLTSVEGLEETKTSSGPSVC EVCLKTFGLYHLTYNGHHNYDYKELRGGHTEENDSEGNQCEKTSGSLQKEDKIHTGKKLY VCQECGKAFRYPSALQLHERIHTGEKPYECKDCGKAFRGLSALHLHERIHTGEKPYECKQ CGRAFTYLSALQLHERIHTEERPCECKQCGKTFRYSRALKLHERIHTGEKPYECKQCGKF FRSHRTLKLHKRIHTGEKPYECKECGKAFRWLTSLKLHEKIHTGEKPYECQECGKAFRCQ ASYHRHKITHGGETLYECKECGKSFIYPSLLQVHERTHTGEKPFECKLCGKAFRCQSSLR LHERTHTGEKPYECKHCGKAFSSYNYLRFHERSHTGEKPYECKECGKTFTHRSYLRSHER RHTGEKPYQCGQCGRSFSRHSSFKRHQSVHGESPYEQYLIPLSPFPSKE >ENSMUSP00000039047.6 pep:known chromosome:GRCm38:14:47540894:47568434:-1 gene:ENSMUSG00000037526.6 transcript:ENSMUST00000042988.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg14 description:autophagy related 14 [Source:MGI Symbol;Acc:MGI:1261775] MASPSGKGSWTPEAPGFGPRALARDLVDSVDDAEGLYVAVERCPLCNTTRRRLTCAKCVQ SGDFVYFDGRDRERFIDKKERLSQLKNKQEEFQKEVLKAMEGKRLTDQLRWKIMSCKMRI EQLKQTICKGNEEMKKNSEGLLKNKEKNQKLYSRAQRHQEKKEKIQRHNRKLGDLVEKKT IDLKSHYERLARLRRSHILELTSIIFPIDEVKTSGRDPADVSSETDSAMTSSMVSKLAEA RRTTYLSGRWVCDDHNGDTSISITGPWISLPNNGDYSAYYNWVEEKKTTQGPDMEHNNPA YTISAALGYATQLVNIVSHILDINLPKKLCNSEFCGENLSKQKLTRAVRKLNANILYLCS SQHVNLDQLQPLHTLRNLMHLVSPRSEHLGRSGPFEVRADLEESMEFVDPGVAGESDASG DERVSDEETDLGTDWENLPSPRFCDIPSQPVEVSQSQSTQVSPPIASSSAGGMISSAAAS VTSWFKAYTGHR >ENSMUSP00000065580.7 pep:known chromosome:GRCm38:9:49268572:49278783:1 gene:ENSMUSG00000054156.7 transcript:ENSMUST00000067007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4894 description:predicted gene 4894 [Source:MGI Symbol;Acc:MGI:3646156] MSEWTATRHLSTGGWLQRRNSRTNAEEPLVSLVQESLPRNWCCLQWAVSSHIYQHLRQLT IDQPDLDNSSTETLPSAEVWQIHSTVNEISHQKKNLQRQNSVIISGHCPDAPCLLLDA >ENSMUSP00000055137.5 pep:known chromosome:GRCm38:14:120019585:120042435:-1 gene:ENSMUSG00000044819.5 transcript:ENSMUST00000058213.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxgr1 description:oxoglutarate (alpha-ketoglutarate) receptor 1 [Source:MGI Symbol;Acc:MGI:2685145] MIEPLDSPASDSDFLDYPSALGNCTDEQISFKMQYLPVIYSIIFLVGFPGNTVAISIYIF KMRPWRGSTVIMLNLALTDLLYLTSLPFLIHYYASGENWIFGDFMCKFIRFGFHFNLYSS ILFLTCFSLFRYVVIIHPMSCFSIQKTRWAVVACAGVWVISLVAVMPMTFLITSTTRTNR SACLDLTSSDDLTTIKWYNLILTATTFCLPLVIVTLCYTTIISTLTHGPRTHSCFKQKAR RLTILLLLVFYICFLPFHILRVIRIESRLLSISCSIESHIHEAYIVSRPLAALNTFGNLL LYVVVSNNFQQAFCSIVRCKASGDLEQGKKDSCSNNP >ENSMUSP00000034854.6 pep:known chromosome:GRCm38:9:55028156:55048544:-1 gene:ENSMUSG00000035200.6 transcript:ENSMUST00000034854.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrnb4 description:cholinergic receptor, nicotinic, beta polypeptide 4 [Source:MGI Symbol;Acc:MGI:87892] MRGTPLLLVSLFALLQPGDCRLANAEEKLMDDLLNKTRYNNLIRPATSSSQLISIRLELS LSQLISVNEREQIMTTSIWLKQEWTDYRLAWNSSCYEGVNILRIPAKRVWLPDIVLYNNA DGTYEVSVYTNVIVRSNGSIQWLPPAIYKSACKIEVKHFPFDQQNCTLKFRSWTYDHTEI DMVLKSPTAIMDDFTPSGEWDIVALPGRRTVNPQDPSYVDVTYDFIIKRKPLFYTINLII PCVLITSLAILVFYLPSDCGEKMTLCISVLLALTFFLLLISKIVPPTSLDIPLIGKYLLF TMVLVTFSIVTTVCVLNVHHRSPSTHTMASWVKECFLHKLPTFLFMKRPGLEVSPARVPH SSQLHLTTAEATSTSALGPSSPSNLYGNSMYFVNPVPATPKSAVSSHTAGLPRDARLRSS GRFRQDLQEALEGVSFIAQHLESDDRDQSVIEDWKFVAMVVDRLFLWVFVIVCILGTMGL FLPPLFQIHAPSKGL >ENSMUSP00000073805.3 pep:known chromosome:GRCm38:15:76268089:76292572:1 gene:ENSMUSG00000049653.4 transcript:ENSMUST00000074173.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spatc1 description:spermatogenesis and centriole associated 1 [Source:MGI Symbol;Acc:MGI:1921531] MSLLTSYEGLRHQIERLVRENEELKKLVRLIRENQELKSAIKTQAGGLCISGFTGGLGEA AAGPPQHQGVFLPPASAAAKEPCSEDLGMVALAPLADMLNTPQLSPAAGSLVNPLAATLN PLLSGQIPLLQNNQFANLVPCSMSNQLTNPTTVSPGVTLASSLGLPSTGPLNSQMTSPMT VPPGTTLASSLGLTSTGSLTTSSRLVGPLAVSQSSPIMAPLAGTVAVSLSSPLLSSTATP LGVAQNVVPNPINNIGQPETPRVRLAEPTRGNFSGTSAYAGPAPTSKVNDTRGSRVMEQS RKNVVEMERKTPHRKSNKLPDNPRDTKQLVCERLVGEIAFQLDRRILSSIFPERVRLYGF TVSNIPEKIIQASLNPSNHKLDEDLCQTLTQRYVSIMNKLQSLGYNGRVHPALTEQLVNE YGILRERPELAASEGGCYTVDFLQRVLLETVHPSKLTDALLLLSCLHQLSHDDGKPMFIW >ENSMUSP00000034392.5 pep:known chromosome:GRCm38:8:107056877:107060926:1 gene:ENSMUSG00000031917.11 transcript:ENSMUST00000034392.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nip7 description:nuclear import 7 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913414] MRPLTEEETRVMFEKIAKYIGENLQLLVDRPDGTYCFRLHNDRVYYVSEMMLKLAANISG DKLVSLGTCFGKFTKTHKFRLHVTALDYLAPYAKYKVWVKPGAEQSFLYGNHVLKSGLGR ITENTSQYQGVVVYSMADIPLGFGVAAKSTQDCRKVDPMAIVVFHQADIGEYVRHEETLT >ENSMUSP00000126153.1 pep:known chromosome:GRCm38:8:107056877:107060926:1 gene:ENSMUSG00000031917.11 transcript:ENSMUST00000170962.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nip7 description:nuclear import 7 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913414] MRPLTEEETRVMFEKIAKYIGENLQLLVDRPDGTYCFRLHNDRVYYVSEMMLKLAANISG DKLVSLGTCFGKFTKTHKFRLHVTALDYLAPYAKGFGVAAKSTQDCRKVDPMAIVVFHQA DIGEYVRHEETLT >ENSMUSP00000070138.7 pep:known chromosome:GRCm38:17:48125605:48146307:-1 gene:ENSMUSG00000073386.4 transcript:ENSMUST00000063481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9830107B12Rik description:RIKEN cDNA 9830107B12 gene [Source:MGI Symbol;Acc:MGI:3608415] MAWEPTYLLSPVLLLLLASGSWTQNPELLRVLEGKTVSVTCMYDPLYNSDEKIWCKQIDN LCYPLVSKGAEKPRFSILQSSKFNFFTVTMSKLRMSDSGIYHCGIAANTRITYLRGIQLV VSKGALYPVLLFPWSVDSSARHWYSRSYSLSHRSHLDDRP >ENSMUSP00000072808.3 pep:known chromosome:GRCm38:18:67225530:67245830:-1 gene:ENSMUSG00000062526.3 transcript:ENSMUST00000073054.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mppe1 description:metallophosphoesterase 1 [Source:MGI Symbol;Acc:MGI:2661311] MALVRWGLKRQNFHPLRRRRRALLLKLTVVIISVLLFCEYFIYYLVLFRCHWPEVKTLAH GGRQEPVLKAMFLADTHLLGEIRGHWLDKLRREWQMERAFQTALWLLQPEVVFILGDIFD EGKWSSDQAWADDVQRFQRMFRHDSHVQLKVVIGNHDVGFHYQMSKYRIKRFEKVFGSER LLSLKGVNFVMVNSVAMEGDGCIICSEEEAELREISRKLNCSQEQVPGSSQCDREPEPRL PLSAPVLLQHYPLYRASDANCSGEDAAPPEERNVPFEEKYDVLSREASQKLLWWLRPRLV LSGHTHSACEVLHPGGAPEVSVPSFSWRNRNNPSFIMGSLTSRDYALSKCYLPFEDTVLT MYGAAAGFLMILILVHFEHLPSPFLCGWKLCRLHMRR >ENSMUSP00000034860.3 pep:known chromosome:GRCm38:9:57676937:57683655:-1 gene:ENSMUSG00000032310.3 transcript:ENSMUST00000034860.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp1a2 description:cytochrome P450, family 1, subfamily a, polypeptide 2 [Source:MGI Symbol;Acc:MGI:88589] MAFSQYISLAPELLLATAIFCLVFWMVRASRTQVPKGLKNPPGPWGLPFIGHMLTVGKNP HLSLTRLSQQYGDVLQIRIGSTPVVVLSGLNTIKQALVRQGDDFKGRPDLYSFTLITNGK SMTFNPDSGPVWAARRRLAQDALKSFSIASDPTSASSCYLEEHVSKEANHLVSKLQKAMA EVGHFEPVSQVVESVANVIGAMCFGKNFPRKSEEMLNIVNNSKDFVENVTSGNAVDFFPV LRYLPNPALKRFKTFNDNFVLFLQKTVQEHYQDFNKNSIQDITSALFKHSENYKDNGGLI PEEKIVNIVNDIFGAGFDTVTTAITWSILLLVTWPNVQRKIHEELDTVVGRDRQPRLSDR PQLPYLEAFILEIYRYTSFVPFTIPHSTTRDTSLNGFHIPKERCIYINQWQVNHDEKQWK DPFVFRPERFLTNNNSAIDKTQSEKVMLFGLGKRRCIGEIPAKWEVFLFLAILLQHLEFS VPPGVKVDLTPNYGLTMKPGTCEHVQAWPRFSK >ENSMUSP00000093030.4 pep:known chromosome:GRCm38:10:85102627:85117747:1 gene:ENSMUSG00000060935.5 transcript:ENSMUST00000095383.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem263 description:transmembrane protein 263 [Source:MGI Symbol;Acc:MGI:2143652] MNQADKNQEIPSYLSDEPPEGSMKDHPQQQPGMLSRVTGGIFSVTKGAVGATIGGVAWIG GKSLEVTKTAVTTVPSMGIGLVKGGVSAVAGGVTAVGSAVVNKVPLSGKKKDKSD >ENSMUSP00000023210.6 pep:known chromosome:GRCm38:15:76343523:76345934:1 gene:ENSMUSG00000022551.7 transcript:ENSMUST00000023210.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyc1 description:cytochrome c-1 [Source:MGI Symbol;Acc:MGI:1913695] MAAAAASLRRTVLGPRGVGLPGASAPGLLGGARSRQLPLRTPQAVSLSSKSGPSRGRKVM LSALGMLAAGGAGLAVALHSAVSASDLELHPPSYPWSHRGLLSSLDHTSIRRGFQVYKQV CSSCHSMDYVAYRHLVGVCYTEEEAKALAEEVEVQDGPNDDGEMFMRPGKLSDYFPKPYP NPEAARAANNGALPPDLSYIVRARHGGEDYVFSLLTGYCEPPTGVSLREGLYFNPYFPGQ AIGMAPPIYTEVLEYDDGTPATMSQVAKDVATFLRWASEPEHDHRKRMGLKMLLMMGLLL PLTYAMKRHKWSVLKSRKLAYRPPK >ENSMUSP00000025053.8 pep:known chromosome:GRCm38:17:56892612:56935388:-1 gene:ENSMUSG00000024212.8 transcript:ENSMUST00000025053.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mllt1 description:myeloid/lymphoid or mixed-lineage leukemia (trithorax homolog, Drosophila); translocated to, 1 [Source:MGI Symbol;Acc:MGI:1927238] MDNQCTVQVKLELGHRAQLRKKPTTEGFTHDWMVFVRGPEQCDIQHFVEKVIFRLHDSFP KPKRVCKEPPYKVEESGYAGFIMLIEVYFKNKEEPRKVCFTYDLFLNLEGNPPVNHLRCE KLTFNNPTTEFRCKLLMAGGVMVMPEGADTVSRPSPDYPMLPTIPLSAFSDPKKNKPSHG SKDANKESGKASKPHKVAREHRERPRKDSESRSCSKEPEREPKSAKDAARKLPKEEKAPV PKAAFKEPKMALKETKLESLSPKGVPQPPTLPKASSKRPAATDSPKLSAKKPKKSGSKGT RRAPGTSPRASSFPDKKVARDKNSKGDKGKAESEPREAKRTLQTEDSNSEDEASFRSESA QSSPANASSSSDSSSDSDFEPSQNHSQGPLRSMVEDLQSEGSDEDDSSSGEENTGKANPG RESRLSFSDSESDNSADSCLPGREPLPPQKPPPPSSKVSGRRSPEPCSKPEKMLKKATYD KAYTDELVELHRRLMALRERNVLQQIVNLIEETGHFNVTNTTFDFDLFSLDESTVRKLQS CLEAVAT >ENSMUSP00000059880.7 pep:known chromosome:GRCm38:10:88146992:88178388:1 gene:ENSMUSG00000035351.15 transcript:ENSMUST00000052355.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup37 description:nucleoporin 37 [Source:MGI Symbol;Acc:MGI:1919964] MKQDATRNAAYTVDCEDYVHVVEFNPFESGDSGNLIAYGGSNYVVVGMCTFQEEETDIEG IQYKTLRTFHHGVRVDGIAWSPETKLDSLPPVIKFCTSAADLKIRLFTSDLQDKNEYKVL EGHSDFINDLVFHPKEGQELASVSDDHTCRIWNLEGKQTAHFLLHSPGMSVCWHPEETFK LMVAEKNGTIRFYDLMAQQAILSLQSEQTPLMSAHWCLKNTFKVGAVAGNDWIIWDITRS SYPQETRPVHMDRAHLFRWSAISENLFATTGYPGKMASQFQIHHLGHSQPVLIGSVAVGS GLSWHRTLPLCAVGGDHKLLFWVTEI >ENSMUSP00000129728.1 pep:known chromosome:GRCm38:10:88147071:88178388:1 gene:ENSMUSG00000035351.15 transcript:ENSMUST00000169309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup37 description:nucleoporin 37 [Source:MGI Symbol;Acc:MGI:1919964] MKQDATRNAAYTVDCEDYVHVVEFNPFESGDSGNLIAYGGSNYVVVGMCTFQEEETDIEG IQYKTLRTFHHGVRVDGIAWSPETKLDSLPPVIKFCTSAADLKIRLFTSDLQDKNEYKVL EGHSDFINDLVFHPKEGQELASVSDDHTCRIWNLEGKQTAHFLLHSPGMSVCWHPEETFK LMVAEKNGTIRFYDLMAQQAILSLQSEQTPLMSAHWCLKNTFKVGAVAGNDWIIWDITRS SYPQETRPVHMDRAHLFRWSAISENLFATTGYPGKMASQFQIHHLGHSQPVLIGSVAVGS GLSWHRTLPLCAVGGDHKLLFWVTEI >ENSMUSP00000034432.5 pep:known chromosome:GRCm38:8:111768473:111854310:-1 gene:ENSMUSG00000031954.5 transcript:ENSMUST00000034432.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfdp1 description:craniofacial development protein 1 [Source:MGI Symbol;Acc:MGI:1344403] MEEFDSEDFSTSDEDEDYLPSGGEYSEDDVNELVKEDEVDGEEQAEKTKGKRRKAQGIPA RKRKQSGLLLEEEEDGKEDSGGSSSEEDEEEQEGGLGSENARKKKEDELWASFLNDVGPK SKAAPGSQTKVAEETEEISSNKPLVKADELDKPRESEKVKITKVFDFAGEEVRVTKEVDA ASKEAKSFLKQTEREKPQALVTSPATPLPAGSGIKRASGMSSLLGKIGAKKQKMSTLEKS KLDWESFKEEEGIGEELAIHNRGKEGYIERKAFLDRVDHRQFEIERDLRLSKMKP >ENSMUSP00000053782.6 pep:known chromosome:GRCm38:9:59518686:59525501:-1 gene:ENSMUSG00000049526.7 transcript:ENSMUST00000055345.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem202 description:transmembrane protein 202 [Source:MGI Symbol;Acc:MGI:1921143] MERKEQTMTFYSPEVIKIKGDLRYQRPTLPTNQQSVSTQKRQQYVNEACTYIRMFCGSLS GFSVLLLACTSPLNLVQFLVNNNGLELKAGLWTLCYHELCWSHTPKPPYYLQYSRALFLI SILFMLISLGLLLSSCRPAERMMSAELDLKVSMLSFCSAVSLLLCLNLFLAQVELYTKNA MEYEFLWTYYLSWCSEVLYICVGIISFLNFITFQFHPPDEGVSADLWQKSRLGIGPVPKT LSATAERSRSEMQFLSGRQEKLQNVRKGKLATTRL >ENSMUSP00000132084.1 pep:known chromosome:GRCm38:14:51091077:51102007:1 gene:ENSMUSG00000072115.10 transcript:ENSMUST00000171688.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ang description:angiogenin, ribonuclease, RNase A family, 5 [Source:MGI Symbol;Acc:MGI:88022] MAISPGPLFLIFVLGLVVIPPTLAQDDSRYTKFLTQHHDAKPKGRDDRYCERMMKRRSLT SPCKDVNTFIHGNKSNIKAICGANGSPYRENLRMSKSPFQVTTCKHTGGSPRPPCQYRAS AGFRHVVIACENGLPVHFDESFFSL >ENSMUSP00000067434.7 pep:known chromosome:GRCm38:14:51096000:51102007:1 gene:ENSMUSG00000072115.10 transcript:ENSMUST00000069011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ang description:angiogenin, ribonuclease, RNase A family, 5 [Source:MGI Symbol;Acc:MGI:88022] MAISPGPLFLIFVLGLVVIPPTLAQDDSRYTKFLTQHHDAKPKGRDDRYCERMMKRRSLT SPCKDVNTFIHGNKSNIKAICGANGSPYRENLRMSKSPFQVTTCKHTGGSPRPPCQYRAS AGFRHVVIACENGLPVHFDESFFSL >ENSMUSP00000023262.5 pep:known chromosome:GRCm38:1:82233112:82235933:1 gene:ENSMUSG00000022591.5 transcript:ENSMUST00000023262.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9747 description:predicted gene 9747 [Source:MGI Symbol;Acc:MGI:3710571] MEVFLLLLTRLCLLTHLEGHPASFKTFKQPEQVRRASPPANIHLVMTALAPLSCHYQETS SYLVPRVVLHMPSKKSFSPQCQFPGIGPLCMTISVSELSQGSMR >ENSMUSP00000054426.8 pep:known chromosome:GRCm38:8:72761880:72763874:1 gene:ENSMUSG00000050147.8 transcript:ENSMUST00000058099.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F2rl3 description:coagulation factor II (thrombin) receptor-like 3 [Source:MGI Symbol;Acc:MGI:1298207] MCWPLLYPLVLGLSISLAEGIQTPSIYDDVESTRGSHEGPLGPTVELKEPKSSDKPNPRG YPGKFCANDSDTLELPASSQALLLGWVPTRLVPALYGLVVAVGLPANGLALWVLATRVPR LPSTILLMNLAVADLLLALVLPPRLAYHLRGQRWPFGEAACRVATAALYGHMYGSVLLLA AVSLDRYLALVHPLRARALRGQRLTTGLCLVAWLSAATLALPLTLHRQTFRLAGSDRMLC HDALPLTEQTSHWRPAFICLAVLGCFVPLLAMGLCYGATLRALAANGQRYSHALRLTALV LFSAVASFTPSNVLLVLHYSNPSPEAWGNLYGAYVPSLALSTLNSCVDPFIYYYVSHEFR EKVRAMLCRQPEASSSSQASREAGSRGTAICSSTLL >ENSMUSP00000132645.2 pep:known chromosome:GRCm38:13:97098237:97099296:-1 gene:ENSMUSG00000057762.4 transcript:ENSMUST00000071118.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6169 description:predicted gene 6169 [Source:MGI Symbol;Acc:MGI:3646298] MADSERLSAPGCWLACTSFSRTKKGILLFAEIILCLVILICFSASTTSAYSSLSVIEMIC AAVLLVFYTCDLHSKISFINWPWTDFFRSLIATILYLITSIVVLVEGRGSSRVVAGILGL LATLLFGYDAYITFPLKQQRHTAAPTDPTDGP >ENSMUSP00000000793.5 pep:known chromosome:GRCm38:14:70438748:70443471:-1 gene:ENSMUSG00000000776.12 transcript:ENSMUST00000000793.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3d description:polymerase (RNA) III (DNA directed) polypeptide D [Source:MGI Symbol;Acc:MGI:1914315] MSEGNAAGEPSNPGGPRPLLSGGRGLIGRRPAPPLTPGRLPSIRSRDLTLGGVKKKTFTP NIISRKIKEEPKEEVTMKKEKRERDRDRQREGHGRGRGRPEVIQSHSIFEQGPAEMMKKK GNWDKTVDMSDMGPSHIINIKKEKRETDEETKQILRMLEKDDFIDDPGLKNDTRNMPVQL PLAHSGWLFKEESEEPEAKPFSAGPKEEDMEVDVPAVKVKEEPRDEEEEAKVKAPPRAAR KTPGLPKDVSVAELLRELSLMKDEELLFLQLPDTLPGQPPTQDIKPVKTEVQGEDGQMVV IKQEKDREARLAENACTLADLTEGQVGKLLIRKSGKVQLLLGKVTLDVTMGTTCSFLQEL VSVGLGDSRTGEMTVLGHVKHKLVCSPDFESLLDHKHR >ENSMUSP00000137614.1 pep:known chromosome:GRCm38:14:70438748:70443227:-1 gene:ENSMUSG00000000776.12 transcript:ENSMUST00000180358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3d description:polymerase (RNA) III (DNA directed) polypeptide D [Source:MGI Symbol;Acc:MGI:1914315] MSEGNAAGEPSNPGGPRPLLSGGRGLIGRRPAPPLTPGRLPSIRSRDLTLGGVKKKTFTP NIISRKIKEEPKEEVTMKKEKRERDRDRQREGHGRGRGRPEVIQSHSIFEQGPAEMMKKK GNWDKTVDMSDMGPSHIINIKKEKRETDEETKQILRMLEKDDFIDDPGLKNDTRNMPVQL PLAHSGWLFKEESEEPEAKPFSAGPKEEDMEVDVPAVKVKEEPRDEEEEAKVKAPPRAAR KTPGLPKDVSVAELLRELSLMKDEELLFLQLPDTLPGQPPTQDIKPVKTEVQGEDGQMVV IKQEKDREARLAENACTLADLTEGQVGKLLIRKSGKVQLLLGKVTLDVTMGTTCSFLQEL VSVGLGDSRTGEMTVLGHVKHKLVCSPDFESLLDHKHR >ENSMUSP00000095964.2 pep:known chromosome:GRCm38:8:119612747:119616926:1 gene:ENSMUSG00000024266.7 transcript:ENSMUST00000098361.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adad2 description:adenosine deaminase domain containing 2 [Source:MGI Symbol;Acc:MGI:1923023] MASVDEGGRRRPRLAASLQISPGPWKPSGGQEPTEAGDAAPRTAEHGVAGAQEAHREACK ALGGSVLSPGPAGDFPGALHGLSMLPKDPPPAQAVALLTQCMANLGVSLTFLEDQTAGPG SSFSVCADLDGLVCPAGTGSSKLEAKQQAALSALQYIQKQLERPEPLVTPRQPLLTSLSI ETILTHEQRCAAVVSAGLDRLLSESSPYQACKGTVAAVILEREVQGSIGHSKETYELVAL GTGSSSCAGWLEFSGRRLHDCHGLVIARRALLRFFFRQLLLVTQGGPKGQERSVLTPQPG PGPPFALKPGVFLHLYVSNTPKGAAHDIYLPLASEDSVLHSPAFRLQAHVCGQLKPVSYV APALRDTHVGCLSASDKLARWAILGLGGGLLAHFLPPLYATSLVLADPCHDPSTLNRVIH SRPRLDSVLGSCLPCPYVRTTLHLFAGPLVAPSDPGPSTCHSLSLNWSLGDPDIEVVDVA TGRVKTDSSVGPPSRLCKAAFLSAFRQVARALEKPQLLSLQTYEAAKAVPYREARQQLSL LLDQQGLGAWPSKPLVGKFRH >ENSMUSP00000111141.3 pep:known chromosome:GRCm38:13:120308146:120308649:1 gene:ENSMUSG00000094796.1 transcript:ENSMUST00000081558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC147527 description:cDNA sequence BC147527 [Source:MGI Symbol;Acc:MGI:4840510] MEKAKKMMLSFPGFVKDTSDTEEHALPSAHALAAQSTRCSKSETFCLSKEQSHCSEDGWV LEWEICVFESVDYLDSYHKFNCTMKKSTEVFQSECQRESQVSPGDVENNKDKDTEEPDQP SPSLLREKGLEVETCDGGDCPDKDPASYSARHLGCWAWLQRAFRQKK >ENSMUSP00000039943.6 pep:known chromosome:GRCm38:8:80980734:81014906:-1 gene:ENSMUSG00000038250.8 transcript:ENSMUST00000042724.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp38 description:ubiquitin specific peptidase 38 [Source:MGI Symbol;Acc:MGI:1922091] MDKILEGLVSSSHPLPLKRMIVRKVVEFAEHWLDEAQCEAMFDLTTRLILEGQDPFQRQV GHQVLEAYARYHRPEFESFFNKTFVLGLLQQGYHSVDRKDVAILDYIHNGLKLIMSCPSV LDLFSLLQVEVLRMVCERPEPVLCARLSDLLTDFVQCVPKGKLSVTFCQQLVRTIGHFQC VSTQEKELREYVSQVTKVSTLLQNIWKAEPSTLLPSLQEVFASISSTDASFEPSVALASL VQHIPLQMITVLIRSLTTDPNVKDASMTQALCRMIDWLSWPLAQHVDTWVIALLKGLAAV QKFTILIDVTLLKIELVFNRLWFPLVRPGALAVLSHMLLSFQHSPEAFHVIVPHIVNLVH SFRSDGLPSSTAFLVQLTELVHCMMYHYSGFPDLYEPILEAVKDFPKPSEEKIKLILNQS AWTSQSNALASCLSRLSGKSETGKTGLINLGNTCYMNSVLQALFMATEFRRQVLSLNLNG CNSLMKKLQHLFAFLAHTQREAYAPRIFFEASRPPWFTPRSQQDCSEYLRFLLDRLHEEE KILRVQSSHKPSEGLDCAETCLQEVTSKVAVPTESPGTGDSEKTLIEKMFGGKLRTHICC LNCGSTSHKVEAFTDLSLAFCPSPSVEDLSFQDTASLPSAQDDGLMQTSVADPEEEPVVY NPATAAFVCDSVVNQRVLGSPPVEFHCAESSSVPEESAKILISKDVPQNPGGESTTSVTD LLNYFLAPEVLTGENQYYCESCASLQNAEKTMQITEEPEYLILTLLRFSYDQKYHVRRKI LDNVSLPLVLELPVKRTASFSSLSQSWSVDVDFTDINENLPKKLKPSGTEEAFCPKLVPY LLSSVVVHSGVSSESGHYYSYARNITGTESSYQMCPQSESLALAPSQSCLLGVESPNTVI EQDLENKEMSQEWFLFNDSRVTFTSFQSVQKITSRFPKDTAYVLLYKKQSRANGIDSDNP ASGVWANGDPPLQKELMDAITKDNKLYLQEQELNARARALQAASASCSFRPNGFDDNDPP GSCGPTGGGGGGGFNTVGRLVF >ENSMUSP00000137612.1 pep:novel scaffold:GRCm38:JH584296.1:130715:133448:-1 gene:ENSMUSG00000096680.1 transcript:ENSMUST00000177991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC164084.3 MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPAHFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRKKLQYLDLRNVHHSFWNIWTDSED SDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFCC TKMKIWTLPVKALRHIFHIFDPEHIMELELNTEWTLLELTHFAPYFGQMRNLCKVFLAPL HKIDFHLPNRTRVTEVKCINKFVSQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLKT FSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGTLETLDLQ GCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPLE CYDEFAQVSVERFVRLCQDLMDTLRAIRQPKNTSFATDICHTCGERWVFDQVANLCRCWQ >ENSMUSP00000136374.1 pep:known chromosome:GRCm38:Y:13348521:13349063:-1 gene:ENSMUSG00000095462.1 transcript:ENSMUST00000178519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21725 description:predicted gene, 21725 [Source:MGI Symbol;Acc:MGI:5433889] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMRTTLSHEDSLRHHTFLMRFPDTLLLPKENRGKPLFPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000020112.5 pep:known chromosome:GRCm38:10:89744991:89819869:1 gene:ENSMUSG00000019951.9 transcript:ENSMUST00000020112.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uhrf1bp1l description:UHRF1 (ICBP90) binding protein 1-like [Source:MGI Symbol;Acc:MGI:2442888] MAGIIKKQILKHLSRFTKNLSPDKINLSTLKGEGELKNLELDEEVLQNMLDLPTWLAISK VFCNKASIRIPWTKLKTQPICLSLDKVIMEMSTCEEPRAPNGPSPIATASGQSEYGFAEK VVEGITVSVNSIVIRIGAKAFNASFELSQLRIYSVNAQWEHGDLRFTRIQDPQRGEVLTF KEINWQMIRIEADATQSSHLEIMCAPVRLITNQSKIRVTLKRRLKDCNVIATKLVLILDD LLWVLTDSQLKAMVQYAKSLSEAIEKSTEQRKSMAPEPTQSSTVTSSAQHVKTPQAANAP DLSDAIVKLFNDFDVKETSHHLVISHLDLHICDDIHAKEKESNRRVSGGAMQLSFTQLTI DYYPYHKAGDSCSHWMYFSDATKTKNGWANELLHEFECNVEMLKQAMKDRNLGSPPKSPT HASPQHTQTEKDSTLKGTPKTPSVLPQPSKAKLMSSSVVVRLADFNIYQVSTAEQCRSSP KSMISCNKKSLYLPQEMSAIYIEFTEYYYPDGKDFPIPSPNLYSQLNALQFTVDERSILW LNQFLLDLKQSLNQFMAVYKLNDSSKSDEHVDIRVDGLMLKFVIPSEVKAGCHQDQPHTV SIQSSEMIATNTRHCPNCRHSDLEALCQDFKECDFFSKTYTRFPKSCDSFNLLHPIFQRH AHEQDTKMHEVYKGNIIPKLNKNTLKTSAATDVWAVYFSQFWIDYEGMKSGKGRPVSFVD AFPLSIWICQPTRYAELQKEFQTCDQVTLNTSQSESSDLAGRMKRKKLLKEYYSTESEPL TNGGQRPSSDTFLRFSSSSSDADVHVLVRVHKHVSMQINHYQYLLLLFIHESLVLLSDTL RRDVEAVIGSPASQTSVCVGILLRSAELALLLHPVNPTSALRSPASESGSPLLPDFLPAE NGGFLSSKRKQGGSGIHRIRNATLNHMSDNRSMSVDLSHAPLKDPLLFKSASDTNLQKGT SFLDYLSDKHLGKISEDESSGLSHKSGSGEMTSEGSHTKDVASTDSDSVLNYRDGSTRLS LDDDGNHNPPSNPVTGKGIDAIHSIFRAEDFLPEAASLSENPESSKEEAPPARAPKSQTS LSAKSKERCPPSPAPLSVSYKNMKRSASQVSLDTLSLDSMVLEEQAESDGSDSHVLLGKA MKRNSNTSCQSPAESVNTSANTQTCGEASPEAVSTNSEGTQENRDDLMSVVVFRITGVNG EIDIRGEDTEVCLQVNQVTPSQLGNVSLRHYLGNRPVGSDQKAIIHPKSSPEISLRFESG PGAVVHSLLAEKNGFLQCHIENFTTEFLTSSLLNIQHFLEDETVATVMPMKIQVSNTKIN LKDDSPRGSTVSLQPSPVTVHIDRLVVERSDDGSFHIRDSHLFNTGTDFKDGASSDSVVR TRGMCDVRMHSSVTQATQTSPEVPLPSQSANFLDITREQLMEENECLRQRLAQAKMELAE AHSARDELLHQMKRMGL >ENSMUSP00000037546.8 pep:known chromosome:GRCm38:15:100469034:100479252:1 gene:ENSMUSG00000037353.8 transcript:ENSMUST00000037001.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Letmd1 description:LETM1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1915864] MALSRVCWARAALWGSTVAPGPFVTRRLQLGRSGPAWRAPRSSKLHLSPKADVKNLISYV VTKTRAINGSYHRFLGRHFPRFYALYTTFMKGIQMLWADGKKARRIKADMWKQNLKFHQL SYREMEHLRQFRRDITKCLFVGLISIPPFANYLVFLLMYLFPRQLLVKHFWTPKQQIDFL DVYHGLRRRSHSEVITHLRRASTFVSHEKLRRQLTDLCTKVQSGTHPAAQDVLALRDCFS TYPLGFSQLQASQMRALSQAMLLTPYLPPPLLRQRLKSHTTVIHQLDRALAKLGIGQLTA QEVKSACYLRGLNSTHIADDRCRAWLGEWLHISCSLKEPELSLLLHNVVLLSTNYLETRR >ENSMUSP00000068775.1 pep:known chromosome:GRCm38:5:25222848:25223153:-1 gene:ENSMUSG00000054116.1 transcript:ENSMUST00000066954.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130116L18Rik description:RIKEN cDNA E130116L18 gene [Source:MGI Symbol;Acc:MGI:1925120] SSSGLPGHQACSSSRVPSGRSPRSGADVGRAGSSAAGRLLTATPAGRDSGAPGHGLRHLR SRHSPGRAARAAARDAAHDTQPCGALASRHASPHARPRLRG >ENSMUSP00000046324.6 pep:known chromosome:GRCm38:10:75831845:75834881:-1 gene:ENSMUSG00000033318.6 transcript:ENSMUST00000038257.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstt2 description:glutathione S-transferase, theta 2 [Source:MGI Symbol;Acc:MGI:106188] MGLELYLDLLSQPSRAVYIFAKKNGIPFQTRTVDILKGQHMSEQFSQVNCLNKVPVLKDG SFVLTESTAILIYLSSKYQVADHWYPADLQARAQVHEYLGWHADNIRGTFGVLLWTKVLG PLIGVQVPQEKVERNRDRMVLVLQQLEDKFLRDRAFLVGQQVTLADLMSLEELMQPVALG YNLFEGRPQLTAWRERVEAFLGAELCQEAHSTILSILGQAAKKMLPVPPPEVHASMQLRI ARIP >ENSMUSP00000008537.8 pep:known chromosome:GRCm38:16:8658587:8672153:-1 gene:ENSMUSG00000008393.8 transcript:ENSMUST00000008537.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Carhsp1 description:calcium regulated heat stable protein 1 [Source:MGI Symbol;Acc:MGI:1196368] MSSEPPPPPLQPPTHQTSVGLLDTPRTRDRSPSPLRGNVVPSPLPTRRTRTFSATVRASQ GPVYKGVCKCFCRSKGHGFITPADGGPDIFLHISDVEGEYVPVEGDEVTYKMCSIPPKNE KLQAVEVVITHLAPGTKHETWSGHVISN >ENSMUSP00000136904.1 pep:known chromosome:GRCm38:X:31618216:31618920:-1 gene:ENSMUSG00000096645.1 transcript:ENSMUST00000179716.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin2e description:spindlin family, member 2E [Source:MGI Symbol;Acc:MGI:5434847] SSKLTKKRAGRKRQRSRSPALPKRNIVGCRIFHKWKEGDESITQWNGTVLDQVPVNPSLY LVKYDGIDSVHALELYKDKRVLSLKVIAKRVVSSGVTDSSFVDAIVGKEVNHLFEGEHGS KEEWRGMVLSQAPILDNSFYITYERDPILYTYQLLDDFKEGDLQIMEGFSDPPSLDIDLE FVDGLIGKHVENTNDDGSKRDGLIIYQIETKPRVYLIKYEDDVHIHVTHLEKEF >ENSMUSP00000131256.1 pep:known chromosome:GRCm38:X:56447965:56448366:1 gene:ENSMUSG00000058932.6 transcript:ENSMUST00000079663.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2174 description:predicted gene 2174 [Source:MGI Symbol;Acc:MGI:3780344] SQQRNIGYFNHLKEDSRDITYSMTFSTKSSNWIIIFLNEVQAAIIGHERCDLLPVLNELH PDALPDGRIWLFGLNPYFFQHNSLCMRGAPKRIGLQGCAQVGFLVLFVMPLLVPSVTAEL PGSSETTTLAHSP >ENSMUSP00000066238.7 pep:known chromosome:GRCm38:10:117814471:117845935:-1 gene:ENSMUSG00000052681.7 transcript:ENSMUST00000064667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1b description:RAS related protein 1b [Source:MGI Symbol;Acc:MGI:894315] MREYKLVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDAQQCMLEILDTAG TEQFTAMRDLYMKNGQGFALVYSITAQSTFNDLQDLREQILRVKDTDDVPMILVGNKCDL EDERVVGKEQGQNLARQWNNCAFLESSAKSKINVNEIFYDLVRQINRKTPVPGKARKKSS CQLL >ENSMUSP00000138054.1 pep:known chromosome:GRCm38:5:111733924:111734574:1 gene:ENSMUSG00000096949.1 transcript:ENSMUST00000180627.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26897 description:predicted gene, 26897 [Source:MGI Symbol;Acc:MGI:5477391] SFTVRDARRQRLLGEVSEQGERQNQKVRVFW >ENSMUSP00000111495.1 pep:known chromosome:GRCm38:18:23970975:23981555:-1 gene:ENSMUSG00000024274.8 transcript:ENSMUST00000115829.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan30 description:zinc finger and SCAN domain containing 30 [Source:MGI Symbol;Acc:MGI:2685600] MFRETTALACHASKGQNRLNFVKVEEENGVWKQDLSLQGNLQSQEVFRQQFRQFGYSDFT GPREALNRLQKLCQQWLRPEEHSKEQILELLVLEQFMTILPMELKAWVQEHRPRSGEEAV TLLEELEREFDEPKHQGFSLSCTQSHYWYLLL >ENSMUSP00000071080.4 pep:known chromosome:GRCm38:10:56745134:56748254:1 gene:ENSMUSG00000054758.4 transcript:ENSMUST00000067972.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9956 description:predicted gene 9956 [Source:MGI Symbol;Acc:MGI:3641872] MLVSSLPIILPPTRLFFSHTTVPLYYNRLLPCFPNNWSSTTFRYFAEVIVVHRRSSLIRP MTQTSFSSKTLLKTLAPAGFPNQLLACRIRLHLWNVYWCYCSLAYIVCLFSFSSLGVQRS CFKFFTVHQCN >ENSMUSP00000022576.8 pep:known chromosome:GRCm38:14:75242287:75283551:1 gene:ENSMUSG00000021999.8 transcript:ENSMUST00000022576.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpb2 description:carboxypeptidase B2 (plasma) [Source:MGI Symbol;Acc:MGI:1891837] MKLHGLGILVAIILYEQHGFAFQSGQVLSALPRTSRQVQLLQNLTTTYEVVLWQPVTAEF IEKKKEVHFFVNASDVDSVKAHLNVSRIPFNVLMNNVEDLIEQQTFNDTVSPRASASYYE QYHSLNEIYSWIEVITEQHPDMLQKIYIGSSFEKYPLYVLKVSGKEQRIKNAIWIDCGIH AREWISPAFCLWFIGYVTQFHGKENLYTRLLRHVDFYIMPVMNVDGYDYTWKKNRMWRKN RSAHKNNRCVGTDLNRNFASKHWCEKGASSSSCSETYCGLYPESEPEVKAVADFLRRNID HIKAYISMHSYSQQILFPYSYNRSKSKDHEELSLVASEAVRAIESINKNTRYTHGSGSES LYLAPGGSDDWIYDLGIKYSFTIELRDTGRYGFLLPERYIKPTCAEALAAISKIVWHVIR NT >ENSMUSP00000129872.1 pep:known chromosome:GRCm38:12:24493207:24493656:-1 gene:ENSMUSG00000057160.2 transcript:ENSMUST00000073088.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16372 description:predicted pseudogene 16372 [Source:MGI Symbol;Acc:MGI:3648102] MPKNTVSSARFRKVDVDEYEENKFVDEEDGGDGQAGPDEGEVDSCLPQGNMTAALKSPPP PTINTKSQAVKDCAGSYVLKVLISFKANDIEKAVQSLDKNGVDLLMKCIYKGSESPSDNS SAVLLQWHEKALAEGGVGSIVRVLTARKTV >ENSMUSP00000136490.1 pep:known chromosome:GRCm38:13:13964487:13965860:-1 gene:ENSMUSG00000096192.1 transcript:ENSMUST00000178096.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm18856 description:predicted gene, 18856 [Source:MGI Symbol;Acc:MGI:5011041] MGSPEDDLIGIPFPDHSSELLSCLNEQRRLGQLCDLTIRTQGIEYHTHRAVLAACSHYFK KLFTEGGGGTVMGTGGGGAASGGAGASVCELNFVGPEALGALLEFAYTAILTTSIANIPA VLQAARLLEIPCVTAACMELLQGSGLEVPSPDEDDCERARQYLKAFPTATTTASTSGVPN GEDSLPQVPLLPLPPPPSPVAHRSRKPRKAFLQTKGARETHPVPEAPTVLTHPLTYKEEM VGRVGNSGGSGLGAQSNEPSLSPQELGSNEDPIDPNLMAYLSLLHQDALAPGPDGQNKLV RKRNSQMPQECPVCHKIIHKLPRHMRTHTGEKPYACGVCSIGFTRNDKLKIHMRKHTGER PYSCPHCPARFQHSYDLKNHMHLYTGDRPHECHLCHKAFAKKYHLQRHLKGQNCLEVRT >ENSMUSP00000002289.5 pep:known chromosome:GRCm38:14:101653967:101696124:1 gene:ENSMUSG00000022111.8 transcript:ENSMUST00000002289.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uchl3 description:ubiquitin carboxyl-terminal esterase L3 (ubiquitin thiolesterase) [Source:MGI Symbol;Acc:MGI:1355274] MEGQRWLPLEANPEVTNQFLKQLGLHPNWQFVDVYGMEPELLSMVPRPVCAVLLLFPITE KYEVFRTEEEEKIKSQGQDVTSSVYFMKQTISNACGTIGLIHAIANNKDKMHFESGSTLK KFLEESVSMSPEERAKFLENYDAIRVTHETSAHEGQTEAPSIDEKVDLHFIALVHVDGHL YELDGRKPFPINHGKTSDETLLEDAIEVCKKFMERDPDELRFNAIALSAA >ENSMUSP00000068148.8 pep:known chromosome:GRCm38:12:21286297:21315056:1 gene:ENSMUSG00000054309.8 transcript:ENSMUST00000067284.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpsf3 description:cleavage and polyadenylation specificity factor 3 [Source:MGI Symbol;Acc:MGI:1859328] MSAIPAEESDQLLIRPLGAGQEVGRSCIILEFKGRKIMLDCGIHPGLEGMDALPYIDLID PAEIDLLLISHFHLDHCGALPWFLQKTSFKGRTFMTHATKAIYRWLLSDYVKVSNISADD MLYTETDLEESMDKIETINFHEVKEVAGIKFWCYHAGHVLGAAMFMIEIAGVKLLYTGDF SRQEDRHLMAAEIPNIKPDILIIESTYGTHIHEKREEREARFCNTVHDIVNRGGRGLIPV FALGRAQELLLILDEYWQNHPELHDIPIYYASSLAKKCMAVYQTYVNAMNDKIRKQININ NPFVFKHISNLKSMDHFDDIGPSVVMASPGMIQNGLSRELFESWCTDKRNGVIIAGYCVE GTLAKHIMSEPEEITTMSGQKLPLKMSVDYISFSAHTDYQQTSEFIRALKPPHVILVHGE QNEMARLKAALIREYEDNDEVHIEVHNPRNTEAVTLNFRGEKLAKVMGFLADKKPEQGQR VSGILVKRNFNYHILSPCDLSNYTDLAMSTVKQTQAIPYTGPFYLLYYQLQKLTGDVEEL EIQEKPALKVFKSITVVQEPGMVVLEWLANPSNDMYADTVTTVILEVQSNPKIRKGAVQK VSKKLEMHVYSKRLEVMLQDIFGEDCVSVKDDSVLSVTVDGKTANINLETRAVECEEGSE DDESLREMVELAAQRLYEALTPVH >ENSMUSP00000070355.7 pep:known chromosome:GRCm38:10:93160876:93241342:1 gene:ENSMUSG00000020015.9 transcript:ENSMUST00000069965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk17 description:cyclin-dependent kinase 17 [Source:MGI Symbol;Acc:MGI:97517] MKKFKRRLSLTLRGSQTIDESLSELAEQMTIEESSSKDNEPIVKNGRPPTSHSVHSFLHQ YTGSFKKPPLRRPHSVIGGSLGSFMAMPRNGSRLDIVHENLKMGSDGESDQASGTSSDEV QSPTGVCLRNRIHRRISMEDLNKRLSLPADIRIPDGYLEKLQISSPPFDQPMSRRSRRAS LSEIGFGKMETYIKLEKLGEGTYATVYKGRSKLTENLVALKEIRLEHEEGAPCTAIREVS LLKDLKHANIVTLHDIVHTDKSLTLVFEYLDKDLKQYMDDCGNIMSMHNVKLFLYQILRG LAYCHRRKVLHRDLKPQNLLINERGELKLADFGLARAKSVPTKTYSNEVVTLWYRPPDVL LGSSEYSTQIDMWGVGCIFFEMASGRPLFPGSTVEDELHLIFRLLGTPSQETWPGVSSND EFKNYNFPKYKPQPLINHAPRLDSEGIELITKFLQYESKKRVPAEEAMKHVYFRSLGPRI HALPESVSIFSLKEIQLQKDPGFRNSSYPETGHGKNRRQSMLF >ENSMUSP00000046951.6 pep:known chromosome:GRCm38:12:102784656:102878406:-1 gene:ENSMUSG00000041702.6 transcript:ENSMUST00000045652.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btbd7 description:BTB (POZ) domain containing 7 [Source:MGI Symbol;Acc:MGI:1917858] MGANASNYPHSCSPRVGGNSQAQQTFIGTSSYSQQGYGCESKLYSLDHGHEKPQDKKKRT SGLATLKKKFIKRRKSNRSADHAKQMRELLSGWDVRDVNALVEEYEGTSALKELSLQASL ARPEARTLQKDMADLYEDKYCTDVDLIFQETCFPVHRAILAARCPFFKTLLSSSPEYGAE IIMDISTAGIDMPMFSALLHYLYTGEFGMEDSRFQNVDILVQLSEEFGTPNPLDVDMRGL FDYMCYYDVVLSFSSDSELVEAFGGNQNCLDEELKAHKAIISARSPFFRNLLQRRIRTGE EITDRTLRTPTRIILDESIIPKKYAKVILHCMYTDVVDLSVLHCSPSVGSLSEVQALVAG KPNMTRAEEAMELYHIALFLEFNMLAQGCEDIIAESISLDTLIAVLKWSSHPYGSKWVHR QAVHFLCEELSQVMTSDVFYELSKDHLLTAIQSDYLQASEQDILKYLIKWGEHQLMKRIA DREPNLLSGTAHSVNKRGVKRRDLDIEELREILSSLLPFVRIEHILPINSEVLSDAMKRG LISTPPSDMLPTAEGGKSNAWLRQKNAGIYVRPRLFSPYVEEAKSVLDEMMVEQTDLVRL RMVRMSNVPDTLYMVSNAMPQCCHMISHQQISSNQSSPPSVVANEIPVPRLLIMKDMVRR LQELRHTEQVQRAYALNCGEGATVSYEIQIRVLREFGLADAAAELLQNPHKFFPDERFGD ESPLLTMRQPGRCRVNSTPTAETMFTDLDSFVAFHPPLPPPPPPYHPPATPIHNQLKAGW KQRPPSHHPSRSFSYPCNHSLFHCRTAPKPGPPPVYLPGVKVAPPDCTNTTGLGRQTVAA AAAAAAASAAIIPEKQVCPQPVLNDLMPDIAMGVSTLSLKDRRLPELAADTELCQTVSEA GTGPPQHLSCIPQRHTNTSRKKPTLEQKADGRENQQEYPDLYDFSNAACRPSTPAPGRHS PSPAHGRYFGPDLYSHNKASPNGLKSVYLPGQTSPKKQEDPRREYPPSPDGHPHRQKREP IRLDVVEQPPQRPDFPSAASENASHGPAHVRARTAVETDLTFGLTSNRPPSHSACSSEVL EERSSRRLTDSEPLGHGAHQRNADLERGDSISRGRRSPSKPDFLYKKSAL >ENSMUSP00000101061.2 pep:known chromosome:GRCm38:10:75212073:75312399:1 gene:ENSMUSG00000033444.13 transcript:ENSMUST00000105421.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1l description:sperm antigen with calponin homology and coiled-coil domains 1-like [Source:MGI Symbol;Acc:MGI:1921642] MKKANRSAGSVPKVSGISKPQTVEKSKPENSSSAPTGVKPVRPGAAAALSKTKSNDDLLA GMAGGVNVTNGIKAKKSTCSSAAPSAPAPAMTISENKSKISTGTSSSAKRSTSAGNKESS STRERLRERTRLNQSKKLPSVSQGANDVALAKRSRSRTAAEGDIRMSKSKSDNQISDKAA LEAKVKDLLTLAKTKDVEILHLRNELRDMRAQLGISEDHCEGEDRSEVKETIIAHQPTDV ESTLLQLQEQNTAIREELNQLKNENRMLKDRLNALGFSLEQRLDNSEKLFGYQSLSPEIT PGNQSDGGGTLTSSVEGSAPGSVEDLLSQDENTLMDHQHSNSMDNLDSECSEVYQPLTSS DDALDAPSSSESEGVPSIERSRKGSSGNASEVSVACLTERIHQMEENQHSTSEELQATLQ ELADLQQITQELNSENERLGEEKVILMESLCQQSDKLEHFGRQIEYFRSLLDEHHISYVI DEDVKSGRYMELEQRYMDLAENARFEREQLLGVQQHLSNTLKMAEQDNKEAQEMIGALKE RSHHMERIIESEQKGKAALAATLEEYKATVASDQIEMNRLKAQLENEKQKVAELYSIHNS GDKSDIQDLLESVRLDKEKAETLASSLQEDLAHTRNDANRLQDTIAKVEDEYRAFQEEAK KQIEDLNMTLEKLRSELEEKDTERSDMKETIFELEDEVEQHRAVKLHDNLIISDLENTVK KLQDQKHDMEREIKTLHRRLREESAEWRQFQADLQTAVVIANDIKSEAQEEIGDLKRRLH EAQEKNEKLTKELEEIKSRKQEEERGRVYNYMNAVERDLAALRQGMGLSRRSSTSSEPTP TVKTLIKSFDSASQVPNAAAAAIPRTPLSPSPMKTPPAAAVSPMQRHSISGPISTSKPLT ALSDKRSNYGELPVQEHLLRTSSTSRPASLPRVPAMESAKTISVSRRSSEEMKRDISASE GASPASLMAMGTTSPQLSLSSSPTASVTPSTRSRIREERKDPLSALAREYGGSKRNALLK WCQKKTEGYQNIDITNFSSSWNDGLAFCALLHTYLPAHIPYQELNSQDKKRNFTLAFQAA ESVGIKSTLDINEMARTERPDWQNVMLYVTAIYKYFET >ENSMUSP00000045099.7 pep:known chromosome:GRCm38:10:75229855:75312399:1 gene:ENSMUSG00000033444.13 transcript:ENSMUST00000040105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Specc1l description:sperm antigen with calponin homology and coiled-coil domains 1-like [Source:MGI Symbol;Acc:MGI:1921642] MLELRFGCKCITKRQPRMKKANRSAGSVPKVSGISKPQTVEKSKPENSSSAPTGVKPVRP GAAAALSKTKSNDDLLAGMAGGVNVTNGIKAKKSTCSSAAPSAPAPAMTISENKSKISTG TSSSAKRSTSAGNKESSSTRERLRERTRLNQSKKLPSVSQGANDVALAKRSRSRTAAEGD IRMSKSKSDNQISDKAALEAKVKDLLTLAKTKDVEILHLRNELRDMRAQLGISEDHCEGE DRSEVKETIIAHQPTDVESTLLQLQEQNTAIREELNQLKNENRMLKDRLNALGFSLEQRL DNSEKLFGYQSLSPEITPGNQSDGGGTLTSSVEGSAPGSVEDLLSQDENTLMDHQHSNSM DNLDSECSEVYQPLTSSDDALDAPSSSESEGVPSIERSRKGSSGNASEVSVACLTERIHQ MEENQHSTSEELQATLQELADLQQITQELNSENERLGEEKVILMESLCQQSDKLEHFGRQ IEYFRSLLDEHHISYVIDEDVKSGRYMELEQRYMDLAENARFEREQLLGVQQHLSNTLKM AEQDNKEAQEMIGALKERSHHMERIIESEQKGKAALAATLEEYKATVASDQIEMNRLKAQ LENEKQKVAELYSIHNSGDKSDIQDLLESVRLDKEKAETLASSLQEDLAHTRNDANRLQD TIAKVEDEYRAFQEEAKKQIEDLNMTLEKLRSELEEKDTERSDMKETIFELEDEVEQHRA VKLHDNLIISDLENTVKKLQDQKHDMEREIKTLHRRLREESAEWRQFQADLQTAVVIAND IKSEAQEEIGDLKRRLHEAQEKNEKLTKELEEIKSRKQEEERGRVYNYMNAVERDLAALR QGMGLSRRSSTSSEPTPTVKTLIKSFDSASQVPNAAAAAIPRTPLSPSPMKTPPAAAVSP MQRHSISGPISTSKPLTALSDKRSNYGELPVQEHLLRTSSTSRPASLPRVPAMESAKTIS VSRRSSEEMKRDISASEGASPASLMAMGTTSPQLSLSSSPTASVTPSTRSRIREERKDPL SALAREYGGSKRNALLKWCQKKTEGYQNIDITNFSSSWNDGLAFCALLHTYLPAHIPYQE LNSQDKKRNFTLAFQAAESVGIKSTLDINEMARTERPDWQNVMLYVTAIYKYFET >ENSMUSP00000022734.7 pep:known chromosome:GRCm38:14:118937932:118981702:1 gene:ENSMUSG00000022136.7 transcript:ENSMUST00000022734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc3 description:DnaJ heat shock protein family (Hsp40) member C3 [Source:MGI Symbol;Acc:MGI:107373] MVAPGSVGSRLGAVFPFLLVLVDLQYEGAECGVNADVEKHLELGKKLLAAGQLADALSQF HAAVDGDPDNYIAYYRRATVFLAMGKSKAALPDLTKVIALKMDFTAARLQRGHLLLKQGK LDEAEDDFKKVLKSNPSEQEEKEAESQLVKADEMQRLRSQALDAFDGADYTAAITFLDKI LEVCVWDAELRELRAECFIKEGEPRKAISDLKAASKLKSDNTEAFYKISTLYYQLGDHEL SLSEVRECLKLDQDHKRCFAHYKQVKKLNKLIESAEELIRDGRYTDATSKYESVMKTEPS VAEYTVRSKERICHCFSKDEKPVEAIRICSEVLQMEPDNVNALKDRAEAYLIEEMYDEAI QDYEAAQEHNENDQQIREGLEKAQRLLKQSQKRDYYKILGVKRNAKKQEIIKAYRKLALQ WHPDNFQNEEEKKKAEKKFIDIAAAKEVLSDPEMRKKFDDGEDPLDAESQQGGGGNPFHR SWNSWQGFNPFSSGGPFRFKFHFN >ENSMUSP00000100923.2 pep:known chromosome:GRCm38:10:97607205:97613688:1 gene:ENSMUSG00000019932.7 transcript:ENSMUST00000105286.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kera description:keratocan [Source:MGI Symbol;Acc:MGI:1202398] MATPNCLILWVLLIADTVWTQSVRQAYEIQDPEDWDVHDDFYCPRECFCPPSFPTALYCE NRGLTEIPPIPSRIWYLYLENNLIESIPEKPFENATQLRWINLNKNKITNYGIEKGALSQ LKKLLFLFLEDNELEEVPSPLPRSLEQLQLARNKVSRIPQGTFSNLENLTLLDLQHNKLL DNAFQRDTFKGLKNLMQLNMAKNALRNMPPRLPANTMQLFLDNNSIEGIPENYFNVIPKV AFLRLNHNKLSDAGLPSRGFDVSSILDLQLSYNQLTNFPRINANLQHLHLDHNKIKNVNM SVICPTTLRAEQDAFIHGPQLSYLRLDGNEIKPPIPIDLVACFKLLQAFII >ENSMUSP00000025908.6 pep:known chromosome:GRCm38:19:6925710:6934515:-1 gene:ENSMUSG00000024957.8 transcript:ENSMUST00000025908.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk4 description:potassium channel, subfamily K, member 4 [Source:MGI Symbol;Acc:MGI:1298234] MRSTTLLALLALVLLYLVSGALVFQALEQPHEQQAQKKMDHGRDQFLRDHPCVSQKSLED FIKLLVEALGGGANPETSWTNSSNHSSAWNLGSAFFFSGTIITTIGYGNIVLHTDAGRLF CIFYALVGIPLFGMLLAGVGDRLGSSLRRGIGHIEAIFLKWHVPPGLVRSLSAVLFLLIG CLLFVLTPTFVFSYMESWSKLEAIYFVIVTLTTVGFGDYVPGDGTGQNSPAYQPLVWFWI LFGLAYFASVLTTIGNWLRAVSRRTRAEMGGLTAQAASWTGTVTARVTQRTGPSAPPPEK EQPLLPSSLPAPPAVVEPAGRPGSPAPAEKVETPSPPTASALDYPSENLAFIDESSDTQS ERGCALPRAPRGRRRPNPSKKPSRPRGPGRLRDKAVPV >ENSMUSP00000041070.6 pep:known chromosome:GRCm38:12:86880703:86884814:-1 gene:ENSMUSG00000034168.6 transcript:ENSMUST00000038422.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irf2bpl description:interferon regulatory factor 2 binding protein-like [Source:MGI Symbol;Acc:MGI:2442463] MSAAQVSSSRRQSCYLCDLPRMPWAMIWDFSEPVCRGCVNYEGADRIEFVIETARQLKRA HGCFQDGRSPGPPPPVGVKTVALSAKEAAAAAAAAQQQQQQQQQQQQQLNHVDGSTKPAV LAAPSGLERYGLSAAAAAAAAAAAVEQRSRFEYPPPPVSLGSSSHAARLPNGLGGPNGFP KPAPEEGPPELNRQSPNSSSAATSVASRRGTHSGLVTGLPNPGGGGGPQLTVPPNLLPQT LLNGPASAAVLPPPHGLGGSRGPPTPAPPGAPGGPACLGGPPGVSATVSSAPSSTSSTVA EVGVGAAGKRPGSVSSTDQERELKEKQRNAEALAELSESLRNRAEEWANKPKMVRDTLLT LAGCTPYEVRFKKDHSLLGRVFAFDAVSKPGMDYELKLFIEYPTGSGNVYSSASGVAKQM YQDCMKDFGRGLSSGFKYLEYEKKHGSGDWRLLGDLLPEAVRFFKEGVPGADMLPQPYLD ASCPMLPTALVSLSRAPSAPPGTGALPPAAPTGRGAASSLRKRKASPEPPDSAESALKLG EEQQRQQWMANQSEALKLTMSAGGFAAPGHSAGGPPPPPPPLGPHSNRTTPPESAPQNGP SPMAALMSVADTLGTAHSPKDGSSVHSTTASARRNSSSPVSPASVPGQRRLASRNGDLNL QVAPPPPSAHPGMDQVHPQNIPDSPMANSGPLCCTICHERLEDTHFVQCPSVPSHKFCFP CSRESIKAQGATGEVYCPSGEKCPLVGSNVPWAFMQGEIATILAGDVKVKKERDP >ENSMUSP00000108603.2 pep:known chromosome:GRCm38:17:56628143:56664456:1 gene:ENSMUSG00000040828.9 transcript:ENSMUST00000112979.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsperd description:catsper channel auxiliary subunit delta [Source:MGI Symbol;Acc:MGI:2147030] MLVLMLAAAVATMVRAHTLCRVHTVRTGKVFKSNIQLQGDPLFYAFPNTFVLKNVCKADI SVYLGQKVFLTIDNFESSLLPLTVPKSLAVGVPSITSAHFVSGSLVLFVISGKGYSYDYY ENTWRKLEGISEPVSHISGDVCCFKGSFCLELSNNLFAYLRGGQIPGTNIYFSDNGGFSF QLMNTDKLSHLTGTLGGIFHLHSMSQVGVLMVENNLGTFHYMEYPLNHSMGIAFSYKNLL EVIMKPYQRGFMVLWNQKSILVSSNSGQIVEHVRLIDQKIFTDLDVEHANINIYSVASNA YELAFLVAEDHLYYGSQSYMGTYVIKLPHQPLWSTHTSIYFEDIGILQVLTPVADPHFAA YDFDKCTVNVQSSLMDEKLALQPCNVELLESTMINTMFTIDMNSKLKLSALMIPRKGENP TPLVMVSNPHALGFKANLNEFGNTFDGNSKYKLDIELKQQHHWGNSDFNFTASIKRHAIS SVTVDIADKTLSCVDLKPLSTLISVGCDMTKKIVVQNKISACTMGILNPVQLQKNYTYTI EKEAYDPINHNGEAQDDLIVFYEYKDLGCPRLVYYDKPWKPVVELWKNGIVEEIMNAEYV ISEINGLVTYSYSLTAATANCRSQPQNWSTFESDIENEEPFLWNRENYVSCHEDNKDNPL LWPNVEYQVLGGQTNNKIIFGQRNGIYTFHLSVVDPYYSYCNLNTIFSVYVHGALPVTKF QPLLTILLMVTTTLLTAWLAYAIPKQLRSEKGQRLLGFCYQILQLCLGVCFCTWLRGKLR QWLRPRRVKDQNRGKVRVAQKHPET >ENSMUSP00000092832.3 pep:known chromosome:GRCm38:17:73306464:73399296:-1 gene:ENSMUSG00000043705.6 transcript:ENSMUST00000095208.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn13 description:calpain 13 [Source:MGI Symbol;Acc:MGI:2685789] MAHDAETSVVKFKNQDFRTLRDHCLSRGQLFIDDTFPAAASSIGEKLLRGKHLSKLEWKR PTSKDLPFYPPHFILEGASRFDIHQGIAGDCWFLAALGSLTQNPQCLQKILMDQSYSHQY AGIFQFRFWQCGQWVEVVIDDQLPVIGDNFLFVHPRGGNKEFWPCLMEKAYAKLLGSYSQ LHYGYLPDALVDLTGGVVTIINLHSSPFDLLTTVKTAIQAGSMVACATENGLTEEAKVME NGLVSQHAYTVTGAEKIQYQGRWEDIIRLWNPWGKTEWKGRWKDGSKEWRETHDPRKSQL YENKEDGEFWMSCQDFQENFSCLFICNQIPITMDHGVTPNESWRQMRFTNQVISRNRAGG HGRDMQYVFSVQEHTAGNNVVVAFTIMPQSLNTEEERFPLQFQVFKVPQFQNVQGRLPPA FFSPFRSAAQGTKYVSKCNFTKSFHLNPGTYVVVTTANGKEVEFLLRIFLKMPDKHRDPS SNFNLRALKESLPENGSRNSISYTYMDQGLDIDATQLQSLLNQEFLTGPPGDTFSLDQCQ SIMALMDLKVNGRLDQEEFARLRSRLIHCQHIFQSIQRRPGVLLSSDLWKVIENTDFLVG IFISSELLSLMALRYSDSSGRVSFPTLVCFLIRLETMAKAFRNLSKDGKGIYLTETEWMN LVMYS >ENSMUSP00000094844.4 pep:known chromosome:GRCm38:14:99874486:100149764:-1 gene:ENSMUSG00000072294.4 transcript:ENSMUST00000097079.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf12 description:Kruppel-like factor 12 [Source:MGI Symbol;Acc:MGI:1333796] MNIHMKRKTIKNLSALENRMLMLDGMPAVRVKTELVESEQGSPNVHNYPDMEAVPLLLNN VKGEPPEDSLPVDHFQTQTEPVDLSINKARTSPTAASSSPVSMTASASSPSSTSTSSSSS SRPASSPTVITSVSSASSSSTVLSPGPLVASASGVGGQQFLHIIHPVPPSSPMNLQSNKL SHVHRIPVVVQSVPVVYTAVRSPGNVNNTIVVPLLEDGRSHGKAQMEPRGLSPRQSKSDS DDDDLPNVTLDSVNETGSTALSIARAVQEVHPSPVSRVRGNRMNNQKFACSISPFSIEST RRQRRSESPDSRKRRIHRCDFEGCNKVYTKSSHLKAHRRTHTGEKPYKCTWEGCTWKFAR SDELTRHYRKHTGVKPFKCADCDRSFSRSDHLALHRRRHMLV >ENSMUSP00000025570.6 pep:known chromosome:GRCm38:19:10500513:10525209:-1 gene:ENSMUSG00000024668.7 transcript:ENSMUST00000025570.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdhaf2 description:succinate dehydrogenase complex assembly factor 2 [Source:MGI Symbol;Acc:MGI:1913322] MAVVTLIPTLARALSKHSLLSPLPSVTSFRRFYRGDSPTDSQKDMIEIPLPPWQERTDES IETKRARLLYESRKRGMLENCILLSLFAKEYLHNMTEKQLNLYDRLINEPSNDWDIYYWA TEAKPAPEIFENEVMELLREFAKNKNKEQRLRAPDLEYLFEKPH >ENSMUSP00000022358.7 pep:known chromosome:GRCm38:14:20707552:20723618:1 gene:ENSMUSG00000021819.10 transcript:ENSMUST00000022358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zswim8 description:zinc finger SWIM-type containing 8 [Source:MGI Symbol;Acc:MGI:1919156] MELMFAEWEDGERFSFEDSDRFEEDSLCSFISEAESLCQNWRGWRKQSAGPNSPTGGGGG GGSGGTRTRDGLVIPLVELSAKQVAFHIPFEVVEKVYPPVPEQLQLRIAFWSFPENEEDI RLYSCLANGSADEFQRGDQLFRMRAVKDPLQIGFHLSATVVPPQMVPPKGAYNVAVMFDR CRVTSCSCTCGAGAKWCTHVVALCLFRIHNASAVCLRAPVSESLSRLQRDQLQKFAQYLI SELPQQILPTAQRLLDELLSSQSTAINTVCGAPDPTAGPSASDQSTWYLDESTLTDNIKK TLHKFCGPSPVVFSDVNSMYLSSTEPPAAAEWACLLRPLRGREPEGVWNLLSIVREMFKR RDSNAAPLLEILTDQCLTYEQITGWWYSVRTSASHSSASGHTGRSNGQSEVAAHACASMC DEMVTLWRLAVLDPALSPQRRRELCAQLRQWQLKVIENVKRGQHKKTLERLFPGFRPAVE ACYFNWEEAYPLPGVTYSGTDRKLALCWARALPARPGASRSGGLEESRPRPLPTEPAVRP KEPGAKRKGLGEGISSQRGPRRLSAEGGDKALHKMGPSGGKAKVLGGTGSGGKSSAGSGS KRRLSSEDSSLEPDLAEMSLDDSSLALGAEASTFGGFPESPPPCPSSVGSRGPSTFLPEP PDTYEEDAGVYFSEGPEPPTASADHPGLLPGEVCTRDDLPSTDDSGSGLHKTKEAAPAVG EEDDDYQAYYLNAQDGAGGEEEKAEGGTGEEHDLFAGLKPLEQESRMEVLFACAEALHAH GYSNEASRLTVELAQDLLANPPDLKVEPPPAKGKKNKVSTSRQTWVATNTLTKAAFLLTV LSERPEHHSLAFRVGMFALELQRPPASTKALEVKLAYQESEVAALLKKIPRGPSEMSTIR CRAEELREGTLCDYRPVLPLMLASFIFDVLCAPVVSLTGSRPPSRNWTNEMPGDEELGFE AAVAALGMKTTVSEAEHPLLCEGTRREKGDLALALMITYKDDQAKLKKILDKLLDRESQT HKPQTLSSFYSSSRPATANQRSPSKHGAPSAPGALQPLTSSSAGPAQPGNVAGAGPGPTE GFTEKNVPESSPHSPCEGLPPEAALTPRPEGKVPSRLALGSRGGYNGRGWGSPGRPKKKH TGMASIDSSAPETTSDSSPTLSRRPLRGGWAPTSWGRGQDSDSISSSSSDSLGSSSSSGS RRASASGGARAKTVDVGRCYKGRRPESHAPHVPNQPSEAAAHFYFELAKTVLIKAGGNSS TSIFTHPSSSGGHQGPHRNLHLCAFEIGLYALGLHNFVSPNWLSRTYSSHVSWITGQAME IGSAALTILVECWDGHLTPPEVASLADRASRARDSNMVRAAAELALSCLPHAHALNPNEI QRALVQCKEQDNLMLEKACMAVEEAAKGGGVYPEVLFEVAHQWFWLYEETAGGSSTAREG ATSCSGSGMRAAGEAGRGLPEGRGAPGTEPVTVAAAAVTAAATVVPVISVGSSLYPGPGL GHGHSPGLHPYTALQPHLPCSPQYLTHPAHPAHPMPHMPRPAVFPVPSSAYPQGVHPAFL GAQYPYSVTPPSLAATAVSFPVPSMAPITVHPYHTEPGLPLPTSVALSSVHPASTFPAIQ GASLPALTTQPSPLVSGGFPPPEEETHSQPVNPHSLHHLHAAYRVGMLALEMLGRRAHND HPNNFSRSPPYTDDVKWLLGLAAKLGVNYVHQFCVGAAKGVLSPFVLQEIVMETLQRLNP IHAHNHLRAPAFHQLVQRCQQAYMQYIHHRLIHLTPADYDDFVNAIRSARSAFCLTPMGM MQFNDILQNLKRSKQTKELWQRVSLEITTFSP >ENSMUSP00000047397.4 pep:known chromosome:GRCm38:19:5377523:5388703:-1 gene:ENSMUSG00000039148.4 transcript:ENSMUST00000044207.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sart1 description:squamous cell carcinoma antigen recognized by T cells 1 [Source:MGI Symbol;Acc:MGI:1309453] MGSSKKHRGEKEAAGTTAAAGTGGTTEQPPRHREHKKHKHRSSGGGSSGGERRKRSRERG SERGSGRRGAEAEARSGAHGRERSQAEPSERRVKREKRDDGYEAAASSKASSGDASSLSI EETNKLRAKLGLKPLEVNAVKKEAGTKEEPVAADVINPMALRQREELREKLAAAKEKRLL NQKLGKIKTLGEDDPWLDDTAAWIERSRQLQKEKDLAEKRAKLLEEMDQEFGVSTLVEEE FEQRRQDLYSARDLQGLTVEHAIDSFREGETVVLTLKDKGVLQDGEDVLVNVNMVDKERA DKNVELRKKKPDYLPYAEDESVDDLAQQKPRSILAKYDEELEGERPHSFRLEQGGMADGL RERELEEIRTKLRLQAQSLSSVGPRLASEYLSPEEMVTFKKTKRRVKKIRKKEKEVIMRA DDLLPLGDQTQDGDFGSRLRGRGRRRVPEVEEEALEDEEKDPVAQPPPSDDTRVENMDIS DEEDGGALPPGSPEGLEEDEAELELQKQLEKGRRLRQLQQLQQLRDSGEKVLEIVKKLES RQRGWEEEEDPERKGTIVFNATSEFCRTLGEIPTYGLAGNREEQEELMDFERDEERSANG GSESDGEENIGWSTVNLDEEKQHQDFSASSTTILDEEPIVNRGLAAALLLCQNKGLLETT VQKVARVKAPNKSLPSAVYCIEDKMAIDDKYSRREEYRGFTQDFKEKDGYKPDVKIEYVD ETGRKLTPKEAFRQLSHRFHGKGSGKMKTERRMKKLDEEALLKKMSSSDTPLGTVALLQE KQKAQKTPYIVLSGSGKSMNANTITK >ENSMUSP00000102465.1 pep:known chromosome:GRCm38:3:103739366:103746376:1 gene:ENSMUSG00000078620.1 transcript:ENSMUST00000106852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10964 description:predicted gene 10964 [Source:MGI Symbol;Acc:MGI:3779175] YAPCSWRCPQSPEGLASPRAAVIQAVVCCLMWVTEIKFRS >ENSMUSP00000026367.9 pep:known chromosome:GRCm38:12:118234933:118301440:-1 gene:ENSMUSG00000025323.9 transcript:ENSMUST00000026367.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp4 description:trans-acting transcription factor 4 [Source:MGI Symbol;Acc:MGI:107595] MSDQKKEEEEEAAAAMATEGGKTSEPENNNKKPKTSGSQDSQPSPLALLAATCSKIGTPG ENQATGQQQIIIDPSQGLVQLQNQPQQLELVTTQLAGNAWQLVASTPPASKENNVSQPAS SSSSSSSSNNGSSSPTKTKSGNPSTPNQFQVIQVQNPSGSVQYQVIPQLQTVEGQQIQIN PTSSSSLQDLQGQIQLISAGNNQAILTAANRTASGNILAQNLANQTVPVQIRPGVSIPLQ LQTLPGTQAQVVTTLPINIGGVTLALPVINNVTAGGGTGQVGQPTTTTDSGTSNGNQLVS TPTTSTAPASTMPESPSSSTTCTTTASTTLTSSDTLVSSADTGQYASTSASSSERTIEEP QTPAATESEAQSSSQLQSNGIQNAQDQSNSLQQVQIVGQPILQQIQIQQPQQQIIQAIPP QSFQLQSGQTIQTIQQQPLQNVQLQAVNPTQVLIRAPTLTPSGQISWQTVQVQNIQSLSN LQVQNAGLSQQLTITPVSSSGGTTLAQIAPVAVAGAPITLNTAQLASVPNLQTVSVANLG AAGVQVQGVPVTITSVAGQQQGQDGVKVQQATIAPVTVAVGGIANATIGAVSPDQLTQVH LQQGQQTSDAEVQPGKRLRRVACSCPNCREGEGRGSSEPGKKKQHVCHIEGCGKVYGKTS HLRAHLRWHTGERPFICNWMFCGKRFTRSDELQRHRRTHTGEKRFECPECSKRFMRSDHL SKHVKTHQNKKGGGTALAIVTSGELDSSVTEVLGSPRIVTVAAISQDSNPATPNVSTNME EF >ENSMUSP00000074681.3 pep:known chromosome:GRCm38:8:102632995:102785111:-1 gene:ENSMUSG00000031673.5 transcript:ENSMUST00000075190.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh11 description:cadherin 11 [Source:MGI Symbol;Acc:MGI:99217] MKENYCLQAALVCLSMLYHSQAFALERRSHLHPSFHGHHEKGKEGQVLQRSKRGWVWNQF FVIEEYTGPDPVLVGRLHSDIDSGDGNIKYILSGEGAGTIFVIDDKSGNIHATKTLDREE RAQYTLMAQAVDRDTNRPLEPPSEFIVKVQDINDNPPEFLHEIYHANVPERSNVGTSVIQ VTASDADDPTYGNSAKLVYSILEGQPYFSVEAQTGIIRTALPNMDREAKEEYHVVIQAKD MGGHMGGLSGTTKVTITLTDVNDNPPKFPQSVYQMSVSEAAVPGEEVGRVKAKDPDIGEN GLVTYNIVDGDGIELFEITTDYETQDGVVKLKKPVDFETKRAYSLKIEAANVHIDPKFIS NGPFKDTVTVKISVEDADEPPMFLAPSYIHEVQENAAAGTVVGRVHAKDPDAANSPIRYS IDRHTDLDRFFTINPEDGFIKTTKPLDREETAWLNISVFAAEIHNRHQETKVPVAIRVLD VNDNAPKFAAPYEGFICESDHPKALSNQPIVTVSADDQDDTANGPRFIFSLPPEIMHNPN FTVRDNRDNTAGVYARRGGFSRQKQDFYLLPIVISDGGIPPMSSTNTLTIKVCGCDVNGA LLSCNAEAYILNAGLSTGALIAILACIVILLVIVVLFVTLRRQKKEPLIVFEEEDVRENI ITYDDEGGGEEDTEAFDIATLQNPDGINGFIPRKDIKPEYQYMPRPGLRPAPNSVDVDDF INTRIQEADNDPTAPPYDSIQIYGYEGRGSVAGSLSSLESATTDSDLDYDYLQNWGPRFK KLADLYGSKDTFDDDS >ENSMUSP00000094042.3 pep:known chromosome:GRCm38:19:5568074:5571261:1 gene:ENSMUSG00000049562.5 transcript:ENSMUST00000096318.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap5b1 description:adaptor-related protein complex 5, beta 1 subunit [Source:MGI Symbol;Acc:MGI:2685808] MGPLGREAWAQRLGAFRASPSAFLAGAEGEDLGRDLLSDLRSEKLSEQTKVSLLTLSLEY SDKLWPDAPAAEAAATSLLDTLVLLPTRPSALRRLLLLAATTALVSGDALGPTSGASCRL LPLLLGLASGRDLGRSFGTPSEQRHLQATACECLGELERCKPGLLAGALGMLRSLPGQTG PIQPVSLLLALVLHDTLVVQSRSGAGLQGLLVAEDFSTGSCPWDWTLAEEWDAHLKPQGP SWPTAGEEERGFPVLEPSPEDARELKAAVAQLLDTSYLLTPVAQAQLLWLLGWALRGLRG QPPVLFKPQLVRLLGTAQLTLLHSVLSLKAAFGEALFTAQDEALLLRRLTLGAQHPALPS PTHLFYLHCILSFPENCPLGPEGEEAAPLLLGPQLCRGLMPSLLHDPTVLLARLHLLCLL CADDEEEEKDQTQGPQWFLQEVLAGLQQRAALDGGPRALATLCFQASYLVTNCLTRHSTV QTFLIRGLAQLYRARPSLAPHFVDLLDQVSPELREPLREVLLQEAVARPGESEALCWHLQ MLAKVAEGATQSTTLSFLQAAAVHCTDWGLHQALLRVCRALLRTGGGDGLANLLQELARQ LENADGRDHARLYYVLLSHLSSSKLGMALGPSLAAPALASSLMAENQGFSSALMVQETSA PIQLSVGPQQAKGPLPVLCLQVQALDAPVYSLELRFRVEGQLYEPLEAVHIPCLRPGQPA HPLYLLLQPRCPAPARLHVRALYSTSAGLTCHARLPPLSVNFADLFLPFPRLPKGSELRF FDELWNSCLPKGVESRVWCPLGQQGLEALVSQYLEPFVVLAQPPTTYLIAIRLPPASMLL LRLEKAQVDGVPVALRTDDWAVLPLVGDYLRGLAAH >ENSMUSP00000128915.1 pep:known chromosome:GRCm38:19:11770415:11774944:1 gene:ENSMUSG00000024683.5 transcript:ENSMUST00000167199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl16 description:mitochondrial ribosomal protein L16 [Source:MGI Symbol;Acc:MGI:2137219] MWRLLTRVPAPLLRMHFSDSWAALPTSAGLKTLLPVPTFENVSIPERSKLKFVERVPLVP KVRREPKNLKDIRGPSTEATDFTEGNFAILALGGGYLHWGHFEMMRLTINRFMDPKNMFA IWRVPAPFKPITRKGVGQRMGGGKGAIDHYVTPVKTGCLVVEMGGRCEFEEVKGILNQVA HKLPFPAKAVSRKTLERMHQNQRERELNNQNPWTFEHIATANMFGIRKFLSPYDLTQKGR YWGKFYMPKRV >ENSMUSP00000126603.1 pep:known chromosome:GRCm38:13:14052449:14063401:-1 gene:ENSMUSG00000021302.9 transcript:ENSMUST00000170957.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggps1 description:geranylgeranyl diphosphate synthase 1 [Source:MGI Symbol;Acc:MGI:1341724] MEKTKEKAERILLEPYRYLLQLPGKQVRSKLSQAFNHWLKVPEDKLQIIIEVTEMLHNAS LLIDDIEDSSKLRRGFPVAHSIYGVPSVINSANYVYFLGLEKVLTLDHPDAVKLFTRQLL ELHQGQGLDIYWRDTYTCPTEEEYKAMVLQKTGGLFGLAVGLMQLFSDYKEDLKPLLDTL GLFFQIRDDYANLHSKEYSENKSFCEDLTEGKFSFPTIHAIWSRPESTQVQNILRQRTEN IDIKKYCVQYLEDVGSFAYTRHTLRELEAKAYKQIEACGGNPSLVALVKHLSKMFTEENK >ENSMUSP00000093939.4 pep:known chromosome:GRCm38:15:98589994:98607633:-1 gene:ENSMUSG00000022994.8 transcript:ENSMUST00000096224.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy6 description:adenylate cyclase 6 [Source:MGI Symbol;Acc:MGI:87917] MSWFSGLLVPKVDERKTAWGERNGQKRPRHANRASGFCAPRYMSCLKNAEPPSPTPAAHT RCPWQDEAFIRRAGPGRGVELGLRSVALGFDDTEVTTPMGTAEVAPDTSPRSGPSCWHRL VQVFQSKQFRSAKLERLYQRYFFQMNQSSLTLLMAVLVLLMAVLLTFHAAPAQPQPAYVA LLTCASVLFVVLMVVCNRHSFRQDSMWVVSYVVLGILAAVQVGGALAANPHSPSAGLWCP VFFVYITYTLLPIRMRAAVLSGLGLSTLHLILAWQLNSSDPFLWKQLGANVVLFLCTNAI GVCTHYPAEVSQRQAFQETRGYIQARLHLQHENRQQERLLLSVLPQHVAMEMKEDINTKK EDMMFHKIYIQKHDNVSILFADIEGFTSLASQCTAQELVMTLNELFARFDKLAAVRENHC LRIKILGDCYYCVSGLPEARADHAHCCVEMGVDMIEAISLVREVTGVNVNMRVGIHSGRV HCGVLGLRKWQFDVWSNDVTLANHMEAGGRAGRIHITRATLQYLNGDYEVEPGRGGERNA YLKEQCIETFLILGASQKRKEEKAMLAKLQRTRANSMEGLMPRWVPDRAFSRTKDSKAFR QMGIDDSSKDNRGAQDALNPEDEVDEFLGRAIDARSIDQLRKDHVRRFLLTFQREDLEKK YSRKVDPRFGAYVACALLVFCFICFIQLLVFPYSTLILGIYAAIFLLLLVTVLICAVCSC GSFFPKALQRLSRNIVRSRVHSTAVGIFSVLLVFISAIANMFTCNHTPIRTCAARMLNLT PADVTACHLQQLNYSLGLDAPLCEGTAPTCSFPEYFVGNVLLSLLASSVFLHISSIGKLA MTFILGFTYLVLLLLGPPAAIFDNYDLLLGVHGLASSNETFDGLDCPAVGRVALKYMTPV ILLVFALALYLHAQQVESTARLDFLWKLQATGEKEEMEELQAYNRRLLHNILPKDVAAHF LARERRNDELYYQSCECVAVMFASIANFSEFYVELEANNEGVECLRLLNEIIADFDEIIS EERFRQLEKIKTIGSTYMAASGLNASTYDQVGRSHITALADYAMRLMEQMKHINEHSFNN FQMKIGLNMGPVVAGVIGARKPQYDIWGNTVNVSSRMDSTGVPDRIQVTTDLYQVLAAKG YQLECRGVVKVKGKGEMTTYFLNGGPSS >ENSMUSP00000097121.1 pep:known chromosome:GRCm38:13:50245181:50250308:-1 gene:ENSMUSG00000095300.1 transcript:ENSMUST00000099521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm906 description:predicted gene 906 [Source:MGI Symbol;Acc:MGI:2685752] MENFLSLMNSIIDSWMSNSSMDIAMDMTIGFMCGVGLFFLLIPFLKEYPVSPASENEWSK PQDVKRWQKKTSKKTATGKGCTDGGKNAEETKTPSQPMEIPNKQRYKNLSRFFWGTYSIN SESVVATVHELRSPSLGKCKSVRFSDDSGPDKAPSKAKGLPQHSQHQPLPHHRVIPNLVD EKQVQEKKILTKSTRKQTPPCFKSRTYVVPHPTTERRKQASLPAGSLPGKHGPYSKETKD YDERKHQKAISKPTDNLRWDTLHCKAISPVIIHPEHCQRLQLHKEPENNEKTTRVKEQQG TPFRFLPYRKPTHVQEHFPANTVHYCKNRPQLSQPAQASIFNTKPYKCSKWKGSVPTGLP LKKDIAKSDMHNTIKKDLGVGAQKVPGTASSSPGKEVRPKKPALRTEKVSSMKPAGHYSL PEAKTASNFTELPVKQRRPYLQMLVARDLTPPGVPASNLPQVVYPSSPICNSKAARVLEK LHHQDPGGTRIKSASVARPERAEFIHSLPEAQETHRAPPFAASHGATKSHLDPLPRYLSV QRPACYFQAANPQQIRTLWGTGKGSLKPSTNLKMAKHAPQKNFQEVDSRHRPWCMTMEGP GQRVLPSGAKQTTVEVKEEPPHAWRVSLGSSESHIGKHIDIRPRDFGSLWANRLPAHLQI PTSQHSQDSSESIFSSNHQPRAWPVSHHPVGPSRVIPADVNLPSKDSLPSFQNTVQNTKP SQGLCDVLMRRHQRSDTQENRVLADKIKVCDHDGVHPHVESQSIKSRAIRLAERLEEIRP SILSSIKLKDTAKSRIAEKGEATSNTSRKNTLLNNLLERNLSKKYSEQGDSLRIDQPTPA AEQTKVLTIKMVIYRMIAALKSLVDVLFQILEDSEGDTSEVQGSEVESLTTQLTSHSSES LYDTNYSRPASRRSCGHSTSEMHNYSLTYRRMGDKLQSGIKAQRACDPYMNQEMRGMCFD QLHMPKGKELPCEYRRNGAKQEPGLVAQQASDSRYTLQNRLRSRWLRPSMPSHTRIF >ENSMUSP00000026553.4 pep:known chromosome:GRCm38:7:140777229:140787854:-1 gene:ENSMUSG00000025480.4 transcript:ENSMUST00000026553.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syce1 description:synaptonemal complex central element protein 1 [Source:MGI Symbol;Acc:MGI:1921325] MATRPQPLGMEPEGSADLLHGPEGARGQYGSTQKIEDLMDMVKKLQKVGSLEPRIEVLIN RINEVQQAKKKASEELGEAQTVWDNLQKELDLLREEKVRLKDILNRKEETLRIMQLHCQE KESEAQRKHSMLQECKERISFLNSQIDKEKAKLRKLRLDFEEHLETLMSQHKDTLEFHKP EHLTKEMCVLDSSKEQLLKEEKLMKVKLEDVRQRLCALGGPEGSSSLIEGLFLRSHEAAA AMQMFKDENKKAEEFLEAAAQQHEQLQQRCHQLQQKRQRLKEELEKHGVQILAHSTQNEE DSSWRMASPKPVEVHEETAQDQERPSSRT >ENSMUSP00000111659.2 pep:known chromosome:GRCm38:19:10041548:10059671:1 gene:ENSMUSG00000024664.4 transcript:ENSMUST00000115995.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fads3 description:fatty acid desaturase 3 [Source:MGI Symbol;Acc:MGI:1928740] MGGVGEPGGGPGPREGPAPLGAPLPIFRWEQIRQHDLPGDKWLVIERRVYDISRWAQRHP GGSRLIGHHGAEDATDAFHAFHQDLHFVRKFLKPLLIGELAPEEPSQDGAQNAQLIEDFR ALRQAAEDMKLFEADTTFFALLLGHILAMELLAWLIIYLLGPGWVSSILAALILAISQAQ CWCLQHDLGHASIFTKSRWNHVAQQFVMGQLKGFSAHWWNFRHFQHHAKPNIFHKDPDVT VAPVFLLGESSVEYGKKKRRYLPYNHQHLYFFLIGPPLLTLVNFEVENLAYMLVCMQWTD LLWAASFYSRFFLSYSPFYGATGTLLLFVAVRVLESHWFVWITQMNHIPKEIGHEKHRDW ASSQLAATCNVEPSLFIDWFSGHLNFQIEHHLFPTMPRHNYRRVAPLVKAFCAKHGLHYE VKPFLTALVDIIGSLKKSGDIWLDAYLHQ >ENSMUSP00000094893.2 pep:known chromosome:GRCm38:17:67630965:67632723:-1 gene:ENSMUSG00000073375.2 transcript:ENSMUST00000097290.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc30 description:leucine rich repeat containing 30 [Source:MGI Symbol;Acc:MGI:2685172] MGTKQSRASSNDKDPKRLLLGKRQKFSSWDDTLLLGKDPRSLLKRGMRHVSFSLVTKGMT DIPDFLWGLLEVQKLNLSHNQLRVLPPEVGRLTRIVVLNLCGNCLKSLPREVSLLQSLKV LFLNMNCLAEVPAELSLCRNLEVLSMSHNCLSQLPASFADLSRLRKLNLSNNYFAHIPLC VFSLKELDFLHVGSNRLENIAESIQCLASLQIFIAESNNIHSFPRSLCLVTSLELLNLNN NDIQTLPDELYLLCRLGRIAWNPMDKGLHISHNPLSKPLPELVEGGLEMLYSYLKDKKHN >ENSMUSP00000078753.4 pep:known chromosome:GRCm38:15:103308236:103310438:-1 gene:ENSMUSG00000063234.4 transcript:ENSMUST00000079824.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr84 description:G protein-coupled receptor 84 [Source:MGI Symbol;Acc:MGI:1934129] MWNSSDANFSCYHESVLGYRYFAIIWGVAVAVTGTVGNVLTLLALAIRPKLRTRFNLLIA NLTLADLLYCTLLQPFSVDTYLHLHWRTGAVFCRIFGLLLFTSNSVSILTLCLIALGRYL LIAHPKLFPQVFSAKGIVLALVGSWVVGVTSFAPLWNVFVLVPVVCTCSFDRMRGRPYTT ILMGIYFVLGLSSVGVFYCLIHRQVKRAARALDQYGLHQASIRSHQVAGTQEAMPGHFQE LDSGVASRGPSEGISSEPVSAATTQTLEGDSSEAGGQGIRKAAQQIAERSLPEVHRKPRE TAGARRATDAPSEFGKVTRMCFAVFLCFALSYIPFLLLNILDARGRAPRVVHMVAANLTW LNSCINPVLYAAMNRQFRHAYGSILKRGPQSFRRFH >ENSMUSP00000041774.7 pep:known chromosome:GRCm38:18:11052510:11085635:1 gene:ENSMUSG00000005836.8 transcript:ENSMUST00000047762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata6 description:GATA binding protein 6 [Source:MGI Symbol;Acc:MGI:107516] MALTDGGWCLPKRFGAAAADAGDSGPFPAREPSSPLSPISSSSSSCSRGGDRGPCGASNC RTPQLDAEAVAGPPGRSLLLSPYASHPFAAAHGAAAPGVAGPGSALSTWEDLLLFTDLDQ AATASKLLWSSRGAKLSPFAAEQPEEMYQTLAALSSQGPAAYDGAPGGFVHSAAAAAAAA AAASSPVYVPTTRVGSMLSGLPYLQGAGSGPSNHAGGAGAHPGWSQASADSPPYGGGGAA GGGAAGPGGAGSATAHASARFPYSPSPPMANGAARDPGGYVAAGGTGAGSVSGGGGSLAA MGGREHQYSSLSAARPLNGTYHHHHHHHPTYSPYMAAPLTPAWPAGPFETPVLHSLQGRA GAPLPVPRGPSTDLLEDLSESRECVNCGSIQTPLWRRDGTGHYLCNACGLYSKMNGLSRP LIKPQKRVPSSRRLGLSCANCHTTTTTLWRRNAEGEPVCNACGLYMKLHGVPRPLAMKKE GIQTRKRKPKNINKSKACSGNSSGSVPMTPTSSSSNSDDCTKNTSPSTQATTSGVGASVM SAVGENANPENSDLKYSGQDGLYIGVSLSSPAEVTSSVRQDSWCALALA >ENSMUSP00000069906.8 pep:known chromosome:GRCm38:13:41655697:41656524:1 gene:ENSMUSG00000054258.8 transcript:ENSMUST00000067176.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5082 description:predicted gene 5082 [Source:MGI Symbol;Acc:MGI:3644232] MISSSPFFLPSPFSPCLSPQASVPVPFCASLSSSLAWLCWTWVVCFAMILSELSAYCVLL SSLIVSLFSKFSAVSHIKSIPNNIMGWTRLGSALQSLYIHCLFACFCRLLSSSSSPLHPK IPRTKRFHDPRVHHIVKT >ENSMUSP00000074756.4 pep:known chromosome:GRCm38:19:41922980:41933314:-1 gene:ENSMUSG00000034321.13 transcript:ENSMUST00000075280.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc1 description:exosome component 1 [Source:MGI Symbol;Acc:MGI:1913833] MAPPVRYCIPGERLCNLEEGSPGSGTYTRHGYIFSSLAGCLMKTSENGAVPVVSVMRETE SQLLPDVGAVVTCKVSSINSRFAKVHILYVGSTPLKNAFRGTIRKEDIRATEKDKVEIYK SFRPGDIVLAKVISLGDAQSNYLLTTAENELGVVVAHSESGVQMVPISWCEMQCPKTHTK EFRKVARVQPEFLQT >ENSMUSP00000107751.2 pep:known chromosome:GRCm38:19:41922980:41933314:-1 gene:ENSMUSG00000034321.13 transcript:ENSMUST00000112123.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc1 description:exosome component 1 [Source:MGI Symbol;Acc:MGI:1913833] MAPPVRYCIPGERLCNLEEGSPGSGTYTRHGYIFSSLAGCLMKTSENGAVPVVSVMRETE SQLLPDVGAVVTCKVEIYKSFRPGDIVLAKVISLGDAQSNYLLTTAENELGVVVAHSESG VQMVPISWCEMQCPKTHTKEFRKVARVQPEFLQT >ENSMUSP00000131162.1 pep:known chromosome:GRCm38:13:56173703:56178885:-1 gene:ENSMUSG00000049625.5 transcript:ENSMUST00000169652.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tifab description:TRAF-interacting protein with forkhead-associated domain, family member B [Source:MGI Symbol;Acc:MGI:2385852] MERPLTVLQVSLYHPTQGPVAFAHVPQQLQHDASRLLVGRGQNTHLQLQLPQLSRYHLSL EPYLEKGSSLLAFCLKVLTRKSCVWVNGLPLRYLEQVPLGTINRISFSGIQMLVRKEGGA SLETFVCYFHLSPSPLIYRPKAQETDE >ENSMUSP00000047387.6 pep:known chromosome:GRCm38:12:40446053:40846486:1 gene:ENSMUSG00000035954.9 transcript:ENSMUST00000037488.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock4 description:dedicator of cytokinesis 4 [Source:MGI Symbol;Acc:MGI:1918006] MWIPTEHEKYGVVIASFRGTVPYGLSLEIGDTVQILEKCDGWYRGFALKNPNIKGIFPSS YVHLKNACVKNKGQFEMVIPTEDSVITEMTSTLRDWGTMWKQLYVRNEGDLFHRLWHIMN EILDLRRQVLVGHLTHDRMKDVKRHITARLDWGNEQLGLDLVPRKEYAMVDPEDISITEL YRLMEHRHRKKDTPVQASSHHLFVQMKSLMCSNLGEELEVIFSLFDSKENRPISERFFLR LNRNGLPKAPDKPERHCSLFVDLGSSELRKDIYITVHIIRIGRMGAGEKKNACSVQYRRP FGCAVLSIADLLTGETKDDLVLKVYMCNTESEWYQIHENIIKKLNARYNLTGSNAGLAVS LQLLHGDIEQIRREYSSVFSHGVSITRKLGFSDIIMPGEMRNDLYITVERGEFEKGGKSV ARNVEVTMFIVDSNGQPLKDFISFGSGEPPASEYHSFVLYHNNSPRWSELLKLPIPVDKF RGSHIRFEFRHCSTKEKGEKKLFGFSFVPLMQEDGRTLPDGTHELIVHKCEENTNLQDTT RYLKLPFSKVIFLGNNNQTMKATKESFWITSFLCSTKLTQNGDMLDLLKWRTHPDKITGC LSKLKEIDGSEIVKFLQDTLDTLFGILDENSQKYGSKVFDSLVHIINLLQDSKFHHFKPV MDTYIESHFAGALAYRDLIKVLKWYVDRITEAERQEHIQEVLKAQEYIFKYIVQSRRLFS LATGGQNEEEFRCCIQELLMSVRFFLSQESKGTGALSQSQAVFLSSFPAVYSELLKLFDV REVANLVQDTLGSLPTIMHVDDSLQAIKLQCIGKTVESQLYTNPDSRYILLPVVLHHLHI HLQEQKDLIMCARILSNVFCLIKKNSSEKSVLEEIDVIVASLLDILLRTILEITSRPQAS SSAMRLQFQDVTGEFVACLLSLLRQMTDRHYQQLLNSFSTKEELRDFLLQIFTVFRILIR PEMFPKDWTVMRLVANNVIITTVLYLSDALRKNFLNENFDYKIWDSYFYLAVIFINQLCL QLEMFTPSKKKKVLEKYGDMRVTMGCEIFSMWQNLGEHKLHFIPALIGPFLEVTLIPQPD LRNVMIPIFHDMMDWEQRRSGNFKQVEAKLIDKLDSLMSEGKGDETYRELFNSIIPLFGP YPSLLKKIERETWRESGVSLIATVTRLMERLLDYRDCMKIGEVDGKKIGCTVSLLNFYKT ELNKEEMYIRYIHKLYDLHLKAQNFTEAAYTLLLYDELLEWSDRPLREFLTYPMQTEWQR KEHLHLTIIQNFDRGKCWENGIILCRKIAEQYESYYDYRNLSKMRMMEASLYDKIMDQQR LEPEFFRVGFYGKKFPFFLRNKEFVCRGHDYERLEAFQQRMLNEFPHAIAMQHANQPDET IFQAEAQYLQIYAVTPIPESQEVLQREGVPDNIKSFYKVNHIWKFRYDRPFHKGAKDKEN EFKSLWVERTSLYLVQSLPGISRWFEVEKREVVEMSPLENAIEVLENKNQQLKTLISQCQ TRQMQNINPLTMCLNGVIDAAVNGGVSRYQEAFFVKDYILSHPEDGEKIARLRELMLEQA QILEFGLAVHEKFVPQDMRPLHKKLVDQFFVMKSSFGIQEFPACIQASPVHFPNGSPRVC RNSAPASMSPDGTRVIPRRSPLSYPAVNRYSSSSLSSQASAEVSNITGQSESSDEVFNMQ PSPSTSSLSSTHSASPNVTSSAPSSARASPLLSDKHKHSRENSCLSPRDRPCSAIYPTPV EPSQRMLFNHIGDGALPRSDPNLSAPEKAVNPTPSSWSLDSGKEAKNMSDSGKLISPPVP PRPTQTASPARHTTSVSPSPAGRSPLKGSVQSFTPSPVEYNSPGLSSNSPVLSGSYSSGI SSLSRCSTSETSGFENQANEQSVPVPVPVPVPVPVPSFSGSEEPVRKESKTPPPYSVYER TLRRPVPLPHSLSIPVTSEPPALPPKPLAARSSHLENGTRRTEPGPRPRPLPRKVSQL >ENSMUSP00000126373.2 pep:known chromosome:GRCm38:17:81388691:81649607:-1 gene:ENSMUSG00000054640.14 transcript:ENSMUST00000163680.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8a1 description:solute carrier family 8 (sodium/calcium exchanger), member 1 [Source:MGI Symbol;Acc:MGI:107956] MLRLSLPPNVSMGFRLVALVALLFSHVDHITADTEAETGGNETTECTGSYYCKKGVILPI WEPQDPSFGDKIARATVYFVAMVYMFLGVSIIADRFMSSIEVITSQEKEITIKKPNGETT KTTVRIWNETVSNLTLMALGSSAPEILLSVIEVCGHNFTAGDLGPSTIVGSAAFNMFIII ALCVYVVPDGETRKIKHLRVFFVTAAWSIFAYTWLYIILSVSSPGVVEVWEGLLTFFFFP ICVVFAWVADRRLLFYKYVYKRYRAGKQRGMIIEHEGDRPASKTEIEMDGKVVNSHVDNF LDGALVLEVDERDQDDEEARREMARILKELKQKHPEKEIEQLIELANYQVLSQQQKSRAF YRIQATRLMTGAGNILKRHAADQARKAVSMHEVNMEMAENDPVSKIFFEQGTYQCLENCG TVALTIMRRGGDLSTTVFVDFRTEDGTANAGSDYEFTEGTVIFKPGETQKEIRVGIIDDD IFEEDENFLVHLSNVRVSSDVSEDGILESNHASSIACLGSPSTATITIFDDDHAGIFTFE EPVTHVSESIGIMEVKVLRTSGARGNVIIPYKTIEGTARGGGEDFEDTCGELEFQNDEIV KTISVKVIDDEEYEKNKTFFIEIGEPRLVEMSEKKGGLSNVLCGFTLTGKEMYGQPIFRK VHARDHPIPSTVITISEEYDDKQPLTSKEEEERRIAEMGRPILGEHTKLEVIIEESYEFK STVDKLIKKTNLALVVGTNSWREQFIEAITVSAGEDDDDDECGEEKLPSCFDYVMHFLTV FWKVLFAFVPPTEYWNGWACFIVSILMIGLLTAFIGDLASHFGCTIGLKDSVTAVVFVAL GTSVPDTFASKVAATQDQYADASIGNVTGSNAVNVFLGIGVAWSIAAIYHAANGEQFKVS PGTLAFSVTLFTIFAFINVGVLLYRRRPEIGGELGGPRTAKLLTSSLFVLLWLLYIFFSS LEAYCHIKGF >ENSMUSP00000083725.3 pep:known chromosome:GRCm38:17:81388691:81649607:-1 gene:ENSMUSG00000054640.14 transcript:ENSMUST00000086538.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8a1 description:solute carrier family 8 (sodium/calcium exchanger), member 1 [Source:MGI Symbol;Acc:MGI:107956] MLRLSLPPNVSMGFRLVALVALLFSHVDHITADTEAETGGNETTECTGSYYCKKGVILPI WEPQDPSFGDKIARATVYFVAMVYMFLGVSIIADRFMSSIEVITSQEKEITIKKPNGETT KTTVRIWNETVSNLTLMALGSSAPEILLSVIEVCGHNFTAGDLGPSTIVGSAAFNMFIII ALCVYVVPDGETRKIKHLRVFFVTAAWSIFAYTWLYIILSVSSPGVVEVWEGLLTFFFFP ICVVFAWVADRRLLFYKYVYKRYRAGKQRGMIIEHEGDRPASKTEIEMDGKVVNSHVDNF LDGALVLEVDERDQDDEEARREMARILKELKQKHPEKEIEQLIELANYQVLSQQQKSRAF YRIQATRLMTGAGNILKRHAADQARKAVSMHEVNMEMAENDPVSKIFFEQGTYQCLENCG TVALTIMRRGGDLSTTVFVDFRTEDGTANAGSDYEFTEGTVIFKPGETQKEIRVGIIDDD IFEEDENFLVHLSNVRVSSDVSEDGILESNHASSIACLGSPSTATITIFDDDHAGIFTFE EPVTHVSESIGIMEVKVLRTSGARGNVIIPYKTIEGTARGGGEDFEDTCGELEFQNDEIV KTISVKVIDDEEYEKNKTFFIEIGEPRLVEMSEKKALLLNELGGFTLTGKEMYGQPIFRK VHARDHPIPSTVITISEEYDDKQPLTSKEEEERRIAEMGRPILGEHTKLEVIIEESYEFK STVDKLIKKTNLALVVGTNSWREQFIEAITVSAGEDDDDDECGEEKLPSCFDYVMHFLTV FWKVLFAFVPPTEYWNGWACFIVSILMIGLLTAFIGDLASHFGCTIGLKDSVTAVVFVAL GTSVPDTFASKVAATQDQYADASIGNVTGSNAVNVFLGIGVAWSIAAIYHAANGEQFKVS PGTLAFSVTLFTIFAFINVGVLLYRRRPEIGGELGGPRTAKLLTSSLFVLLWLLYIFFSS LEAYCHIKGF >ENSMUSP00000132809.1 pep:known chromosome:GRCm38:17:81388691:81649607:-1 gene:ENSMUSG00000054640.14 transcript:ENSMUST00000163123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8a1 description:solute carrier family 8 (sodium/calcium exchanger), member 1 [Source:MGI Symbol;Acc:MGI:107956] MLRLSLPPNVSMGFRLVALVALLFSHVDHITADTEAETGGNETTECTGSYYCKKGVILPI WEPQDPSFGDKIARATVYFVAMVYMFLGVSIIADRFMSSIEVITSQEKEITIKKPNGETT KTTVRIWNETVSNLTLMALGSSAPEILLSVIEVCGHNFTAGDLGPSTIVGSAAFNMFIII ALCVYVVPDGETRKIKHLRVFFVTAAWSIFAYTWLYIILSVSSPGVVEVWEGLLTFFFFP ICVVFAWVADRRLLFYKYVYKRYRAGKQRGMIIEHEGDRPASKTEIEMDGKVVNSHVDNF LDGALVLEVDERDQDDEEARREMARILKELKQKHPEKEIEQLIELANYQVLSQQQKSRAF YRIQATRLMTGAGNILKRHAADQARKAVSMHEVNMEMAENDPVSKIFFEQGTYQCLENCG TVALTIMRRGGDLSTTVFVDFRTEDGTANAGSDYEFTEGTVIFKPGETQKEIRVGIIDDD IFEEDENFLVHLSNVRVSSDVSEDGILESNHASSIACLGSPSTATITIFDDDHAGIFTFE EPVTHVSESIGIMEVKVLRTSGARGNVIIPYKTIEGTARGGGEDFEDTCGELEFQNDEIV KTISVKVIDDEEYEKNKTFFIEIGEPRLVEMSEKKGGFTLTGQPIFRKVHARDHPIPSTV ITISEEYDDKQPLTSKEEEERRIAEMGRPILGEHTKLEVIIEESYEFKSTVDKLIKKTNL ALVVGTNSWREQFIEAITVSAGEDDDDDECGEEKLPSCFDYVMHFLTVFWKVLFAFVPPT EYWNGWACFIVSILMIGLLTAFIGDLASHFGCTIGLKDSVTAVVFVALGTSVPDTFASKV AATQDQYADASIGNVTGSNAVNVFLGIGVAWSIAAIYHAANGEQFKVSPGTLAFSVTLFT IFAFINVGVLLYRRRPEIGGELGGPRTAKLLTSSLFVLLWLLYIFFSSLEAYCHIKGF >ENSMUSP00000080866.4 pep:known chromosome:GRCm38:18:21072344:21100199:1 gene:ENSMUSG00000024313.7 transcript:ENSMUST00000082235.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mep1b description:meprin 1 beta [Source:MGI Symbol;Acc:MGI:96964] MDARHQPWFLVFATFLLVSGLPAPEKFVKDIDGGIDQDIFDINQGLGLDLFEGDIKLEAN GKNSIIGDHKRWPHTIPYVLEDSLEMNAKGVILNAFERYRLKTCIDFKPWSGEANYISVF KGSGCWSSVGNIHAGKQELSIGTNCDRIATVQHEFLHALGFWHEQSRADRDDYVIIVWDR IQPGKEHNFNIYNDSVSDSLNVPYDYTSVMHYSKTAFQNGTESTIVTRISEFEDVIGQRM DFSDYDLLKLNQLYNCTSSLSFMDSCDFELENICGMIQSSGDSADWQRVSQVLSGPESDH SKMGQCKDSGFFMHFNTSILNEGATAMLESRLLYPKRGFQCLEFYLYNSGSGNDQLNIYT REYTTGQQGGVLTLQRQIKEVPIGSWQLHYVTLQVTKKFRVVFEGLRGPGTSSGGLSIDD INLSETRCPHHIWHIQNFTQILGGQDTSVYSPPFYSSKGYAFQIYMDLRSSTNVGIYFHL ISGANDDQLQWPCPWQQATMTLLDQNPDIRQRMFNQRSITTDPTMTSDNGSYFWDRPSKV GVTDVFPNGTQFSRGIGYGTTVFITRERLKSREFIKGDDIYILLTVEDISHLNSTSAVPD PVPTLAVHNACSEVVCQNGGICVVQDGRAECKCPAGEDWWYMGKRCEKRGSTRDTVIIAV SSTVTVFAVMLIITLVSVYCTRRKYRKKARANTAAMTLENQHAF >ENSMUSP00000055656.3 pep:known chromosome:GRCm38:15:12808177:12824657:-1 gene:ENSMUSG00000022195.6 transcript:ENSMUST00000057256.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6030458C11Rik description:RIKEN cDNA 6030458C11 gene [Source:MGI Symbol;Acc:MGI:1925127] MSDTGGDRARLRRYTKLPVWVVEDHQEVLPFIYRAIGSKHLPDSNISFLHLDSHPDLLIP VNMPADTVFDKEALFGELSIENWIMPAVYAGHFSQVIWLHPTWAQQIREGKHCFLVGKDI STTTIRVTSTDSYFLSDGLFVPEDQLENRRPLQLDVILVEPYTLCSKQDDSDSVSSTKKP KLALGSGESSAAADGHSCSEGRRGDAVTPRSDHACQEPSCSRSGGQQSQNTATAGAILDI LKTGDAFVLDIDLDFFSVKNPFKEMFTQDEYKILQELYQFKKPDSNLPEDGLVDVVEART HQLEDLEAVFADLCDGDSEETVQSWASNPGMESLVPLVQSLKKRMEVPDYEMVHQAGLTC DYSELPHHISTEEEIEYLIQSVYFLLKSLPKPTLVTIARSSLDDYCPPEQVDMIQGKVLD VLHSLYGTLDTHLVYSEESPLS >ENSMUSP00000133186.2 pep:known chromosome:GRCm38:9:13444034:13446753:-1 gene:ENSMUSG00000090592.2 transcript:ENSMUST00000167906.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17571 description:predicted gene, 17571 [Source:MGI Symbol;Acc:MGI:4937205] LCMCVCVCVCVCVCVCVCVRVCVYEHICSY >ENSMUSP00000070534.5 pep:known chromosome:GRCm38:19:38188481:38224138:-1 gene:ENSMUSG00000054237.5 transcript:ENSMUST00000067167.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fra10ac1 description:FRA10AC1 homolog (human) [Source:MGI Symbol;Acc:MGI:1917817] MHGHGGYDSDFSDDEQGGGSSKKRKKTVEDELLLTKPFQKERHGKVAHKQVAADLLDREE ARNRRFHLIAMDAYQRHTKFVNDYILYYGGKREDFKRLGENDKTDLDVIRENHRFLWNEE DEADMTWEKRLAKKYYDKLFKEYCIADLSRYKENKFGFRWRIEKEVISGKGQFFCGNKCC NEKEGLRSWEVNFGYTEHGEKRNALVKLRLCQECSFKLNFHHRRKEIKSTKKKSKTTPEC DESPRKKSRSPPSEEASKGKDEGHSSSKKSEDSRNRNAEEEDSASDSELWKGPLPETDEK SQEEEFDDYFQDLFL >ENSMUSP00000042762.8 pep:known chromosome:GRCm38:15:93398350:93491913:1 gene:ENSMUSG00000036167.15 transcript:ENSMUST00000049122.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pphln1 description:periphilin 1 [Source:MGI Symbol;Acc:MGI:1917029] MWSEGRYDYDRLPRERVPPRSHPSDGYHRVVNVVPKRPPLLDKRPPLLDKRPPLLARPDE GGYSRYYSHVDCRVCDEGRSFSHDRRSGPSHSGDESGYRWLRDDHSTSRQPDYRDMRDGF RRKSFYSSHYSRDRSPHKRDAPFFRESPVGRKDSPHSRSGSSVSSRSYSPERSRTHSFHQ SQHRKSSRVGASYKRQNEAIRGRGKERSIQSVKTSRDASPSSSSAVASSKALDKPSRLTE KELAEAESKWANETLEKSDESNLAEMNEFEAGSTAPLFIDQTEEPESNTVDGTELYEDSQ LSNRSKAIASKTKEIEQVYRQDCETFGMVVKMLIEKDPSLEKSVQFALRQNLHEIGERCV EELKRFITEYDNSAQDFGDPF >ENSMUSP00000068165.7 pep:known chromosome:GRCm38:15:93398350:93491913:1 gene:ENSMUSG00000036167.15 transcript:ENSMUST00000068457.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pphln1 description:periphilin 1 [Source:MGI Symbol;Acc:MGI:1917029] MWSEGRYDYDRLPRERVPPRSHPSDESGYRWLRDDHSTSRQPDYRDMRDGFRRKSFYSSH YSRDRSPHKRDAPFFRESPVGRKDSPHSRSGSSVSSRSYSPERSRTHSFHQSQHRKSSRV GASYKRQNEAIRGRGKERSIQSVKTSRDASPSSSSAVASSKALDKPSRLTEKELAEAESK WANETLEKSDESNLAEMNEFEAGSTAPLFIDQTEEPESNTVDGTELYEDSQLSNRSKAIA SKTKEIEQVYRQDCETFGMVVKMLIEKDPSLEKSVQFALRQNLHEIGERCVEELKRFITE YDNSAQDFGDPF >ENSMUSP00000104879.3 pep:known chromosome:GRCm38:15:93398350:93491913:1 gene:ENSMUSG00000036167.15 transcript:ENSMUST00000109256.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pphln1 description:periphilin 1 [Source:MGI Symbol;Acc:MGI:1917029] MWSEGRYDYDRLPRERVPPRSHPSDESGYRWLRDDHSTSRQPDYRDMRDGFRRKSFYSSH YSRDRSPHKRDAPFFRESPVGRKDSPHSRSGSSVSSRSYSPERSRTHSFHQSQHRSKERS IQSVKTSRDASPSSSSAVASSKALDKPSRLTEKELAEAESKWANETLEKSDESNLAEMNE FEAGSTAPLFIDQTEEPESNTVDGTELYEDSQLSNRSKAIASKTKEIEQVYRQDCETFGM VVKMLIEKDPSLEKSVQFALRQNLHEIGERCVEELKRFITEYDNSAQDFGDPF >ENSMUSP00000131121.1 pep:known chromosome:GRCm38:15:93398464:93491498:1 gene:ENSMUSG00000036167.15 transcript:ENSMUST00000165935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pphln1 description:periphilin 1 [Source:MGI Symbol;Acc:MGI:1917029] MWSEGRYDYDRLPRERVPPRSHPSDESGYRWLRDDHSTSRQPDYRDMRDGFRRKSFYSSH YSRDRSPHKRDAPFFRESPVGRKDSPHSRSGSSVSSRSYSPERSRTHSFHQSQHRSKERS IQSVKTSRDASPSSSSAVASSKALDKPSRLTEKELAEAESKWANETLEKSDESNLAEMNE FEAGSTAPLFIDQTEEPESNTVDGTELYEDSQLSNRSKAIASKTKEIEQVYRQDCETFGM VVKMLIEKDPSLEKSVQFALRQNLHEIGERCVEELKRFITEYDNSAQDFGDPF >ENSMUSP00000052373.4 pep:known chromosome:GRCm38:10:99757705:99759658:-1 gene:ENSMUSG00000046934.4 transcript:ENSMUST00000056085.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csl description:citrate synthase like [Source:MGI Symbol;Acc:MGI:1919082] MALLTAAAWFLGTKNPPCLVLAARHASASSTNLKDVLRNLIPKEQARIKTFRKKHGKTVV GQITVDMMYGGMRGMKGLVYETSVLDPDEGIRFRGYSIPECQKLLPKAKGGKEPLPEGLF WLLVTGQMPTEEQVSWLSQEWVKRAALPSHVVTMLDNFPTKLHPMSQLSAAITVLNNESN FARAYAQGMNRTKYWELTYEDCMDLLAKLPCVAAKIYRNLYREDRNIEAIDSKLDWSHNF TNMLGYTDPQFTELMRLYLTIHSDHEGGNVSAHTSHLVGSALSDPYLSFAAALNGLAGPL HGLANQEVLVWLTQLQKEVGEDASDEKLKNYIWNTLNSGRVVPGYGHAVLRKTDPRYSCQ REFALKHLPKDPMFKLVGQLYKIVPDILLEQGKAKNPWPNVDAHSGVLLQYYGMREMNYY TVLFGVSRALGVLSQLIWSRALGFPLERPKSMSTDALMKFVNSESG >ENSMUSP00000054607.4 pep:known chromosome:GRCm38:7:104995964:104996902:-1 gene:ENSMUSG00000051172.4 transcript:ENSMUST00000050599.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr672 description:olfactory receptor 672 [Source:MGI Symbol;Acc:MGI:3030506] MSPGNSSWIHPSSFLLLGIPGLEELQFWLGLPFGTVYLIAVLGNVIILFVIYLEHSLHQP MFYLLAILAVTDLGLSTATVPRALGIFWFGFHKIAFRDCVAQMFFIHLFTGIETFMLVAM AFDRYIAICNPLRYNTILTNRTICIIVGVGLFKNFILVFPLIFLILRLSFCGHNIIPHTY CEHMGIARLACVSIKVNVLFGLILISMILLDVVLIALSYAKILHAVFKLPSWEARLKALN TCGSHVCVILAFFTPAFFSFLTHRFGHNIPRYIHILLANLYVIIPPALNPLIYGVRTKQI RDRVVIFFCKEV >ENSMUSP00000064661.4 pep:known chromosome:GRCm38:18:41875696:41951194:-1 gene:ENSMUSG00000056671.6 transcript:ENSMUST00000070949.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prelid2 description:PRELI domain containing 2 [Source:MGI Symbol;Acc:MGI:1924869] MGVTVDVHQVFQYPFEQVVACFLRKYPNPMDKNVISVKTVEEKKDESTGLIYRKRIAICQ NVVPEILRKVSILKVPDIQLEEESWLSLQKRNMAIRSHCLTWTQYASMREESVFRESVEN PNWTEFIQTGRISITGAGFLNCILETFASTFLRQGAQKGIRIMEMLLKEQCGSPLVE >ENSMUSP00000095760.3 pep:known chromosome:GRCm38:7:105121459:105122406:1 gene:ENSMUSG00000095248.1 transcript:ENSMUST00000098157.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr681 description:olfactory receptor 681 [Source:MGI Symbol;Acc:MGI:3030515] MTAHKNDTNPTGVSDFLLNCFVRSPSWQLWLSLPLSLLFLLAMGANAILLITIRMEASLH EPMYYLLSLLSMLDIILCLTVIPKVLAIFWFDLRAIGFPACFLQMYIMNSFLAMESCTFM IMAFDRYIAICHPLRYPSIITDQFVVKAATFILVRNVLIPLPIPILSGRLHYCGRNVIEN CICANMSVSRLSCDDVTVNRLYQFAGGWTLLGSDLVLIFLSYTLILRAVLRLKAEGAVAK ALSTCGSHFILILFFSTILLVFILTHVAKRKVSSDVPILLNVLHHVIPAALNPIVYGVRT QEIKQGIKKLLKRGW >ENSMUSP00000136429.1 pep:known chromosome:GRCm38:X:32278394:32280342:1 gene:ENSMUSG00000096194.1 transcript:ENSMUST00000179991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2799 description:predicted gene 2799 [Source:MGI Symbol;Acc:MGI:3780968] MGLLVSRVLSCRDSSLLEPQPEDIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKKVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000034961.4 pep:known chromosome:GRCm38:9:65141154:65185857:1 gene:ENSMUSG00000032394.5 transcript:ENSMUST00000034961.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igdcc3 description:immunoglobulin superfamily, DCC subclass, member 3 [Source:MGI Symbol;Acc:MGI:1202390] MAEPRTASPRRLPALRRPGFLPPLLPPPPPPLLLLLLLLPLPAPSLGLGHSAELAFSVEP NDDIANPGQPIVLGCKVEGTPPVQVSWRKNGAELPEGTHTTLLANGSLLIHHFRLEQGGS PSDEGDYECVAQNRFGLLVSRKARLQAATMSDFHVHPQAVTGEEGGVARFQCQIHGLPKP LITWEKNRVPIDTDDERYTLLPKGVLQITGLRAEDSGIFHCVASNIASVRVSHGARLTVS GSGSGTYKEPTILVGPENLTLTVHQTAVLECVATGNPRPIVSWSRLDGRPIGVEGIQVLG TGNLIISDVTVQHSGVYVCAANRPGTRVRRTAQGRLVVQAPAEFVQHPQSISRPAGTTAM FTCQAQGEPPPHVTWLKNGQVLGAGGHVRLKNNNSTLSISGVGPEDEAIYQCVAENIAGS SQASARLTVLWAEGLPGPPRNVRAVSVSSTEVRVSWSEPLAHTKEIIGYVLHIRKAADSP KLEYQEAVSKSTFQHLVRDLEPSTAYSFYIKAYTPRGASLASVPTLASTLGEAPVPPPLS VRLLGSSSLQLLWKPWPRLAQHNGGFKLFYRPVSATSFTGPILLPGTVSSYNLSQLDPST VYEVKLLAYNQHGDGNATVRFVSLKGASERTGIVIGIHIGVTCIIFCVLFLLFGQRGRVL LCKDVENQLSPPQGPRSQRDPGILALNGLSRGEGGQLSRDEKPVDAKELEQLFPTAGSAA QPGSTPTDPAAPAPCEETQLSMVQLQGFNLVAGRTTEATSPCAGPGPVPAPQDIGPVPLS EGQTQPPAVAAPQ >ENSMUSP00000125891.1 pep:known chromosome:GRCm38:12:108686792:108702306:-1 gene:ENSMUSG00000021263.10 transcript:ENSMUST00000167978.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Degs2 description:delta(4)-desaturase, sphingolipid 2 [Source:MGI Symbol;Acc:MGI:1917309] MGNSAARSDFEWVYSDQPHTQRRKEMLAKYPAIKALMRPDPHIKWTVSGMVLVQVLACWL VRGLSWRWLLFWAYAFGGCINHSLTLAIHDISHNTAFGTSCVSRNRWFAIFANLPIGLPY ATSFKKYHVDHHRYLGGDGLDVDIPTNFEGWFFCTPARKLLWLVLQPFFYSLRPLCVNPK VVTRMEILNALVQLAFDVTIFALWGIKPIVYLLGSSLLGLGLHPISGHFVAEHYMFLKGH ETYSYYGPLNWITFNVGYHMEHHDFPSIPGYYLPLDDWNPVRRDVL >ENSMUSP00000021691.4 pep:known chromosome:GRCm38:12:108690222:108702306:-1 gene:ENSMUSG00000021263.10 transcript:ENSMUST00000021691.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Degs2 description:delta(4)-desaturase, sphingolipid 2 [Source:MGI Symbol;Acc:MGI:1917309] MGNSAARSDFEWVYSDQPHTQRRKEMLAKYPAIKALMRPDPHIKWTVSGMVLVQVLACWL VRGLSWRWLLFWAYAFGGCINHSLTLAIHDISHNTAFGTSCVSRNRWFAIFANLPIGLPY ATSFKKYHVDHHRYLGGDGLDVDIPTNFEGWFFCTPARKLLWLVLQPFFYSLRPLCVNPK VVTRMEILNALVQLAFDVTIFALWGIKPIVYLLGSSLLGLGLHPISGHFVAEHYMFLKGH ETYSYYGPLNWITFNVGYHMEHHDFPSIPGYYLPLVRKIAPEYYDHLPQHHSWVKVLWDF VFEDSMGPYSRVKRKCKLAKDHL >ENSMUSP00000070259.6 pep:known chromosome:GRCm38:18:53862113:53955684:1 gene:ENSMUSG00000073563.2 transcript:ENSMUST00000069597.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g3 description:casein kinase 1, gamma 3 [Source:MGI Symbol;Acc:MGI:1917675] MDNKKKDKDKSDDRMARPSGRSGHSTRGTGSSSSGVLMVGPNFRVGKKIGCGNFGELRLG KNLYTNEYVAIKLEPMKSRAPQLHLEYRFYKQLGSGDGIPQVYYFGPCGKYNAMVLELLG PSLEDLFDLCDRTFSLKTVLMIAIQLISRMEYVHSKNLIYRDVKPENFLIGRPGNKAQQV IHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGHMFM YFLRGSLPWQGLKADTLKERYQKIGDTKRATPIEVLCENFPEEMATYLRYVRRLDFFEKP DYDYLRKLFTDLFDRKGYMFDYEYDWIGKQLPTPVGAVQQDPALSSNREAHQHRDKIQQS KNQVVSSTNGELNTDDPTAGRSNAPITAPTEVEVMDETNCQKVLNMWCCCFFKRRKRKTI QRHK >ENSMUSP00000038922.7 pep:known chromosome:GRCm38:15:34572799:34678706:-1 gene:ENSMUSG00000038879.7 transcript:ENSMUST00000040791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipal2 description:NIPA-like domain containing 2 [Source:MGI Symbol;Acc:MGI:1924488] MAAPARTAPEDDASAVLDELSRNFTYWAPGPGNGSLSSAWYRRNQIHLFGVLLAILGNLV ISISLNIQKYSHLHLAQKEHPKPYFKSVLWLSGVLLTALGETGNFAAYGVAPITLIAPLG CMSVTGSAIISVIFLKENLRASDLLGMTLAFAGTYLLVNFAPNVTQAISARTVQYYFVGW QFLVYVILEILVFCILLYFHKRKGMKHIVVLLTLVALLASLTVISVKAVSGMITLSVTGK MQLTYAIFYIMLVIMIASCVFQVKFLNQATELYTMTTVVPVNHVFFTTSAIIAGIIFYQE FLGAAFLTVFIYLFGCFLSFLGVFLVTRNREKEHLQQSFVDLGDIPGKQMLDKVQPDSNG LSYGTLPDGGDSTRGQCGEKKES >ENSMUSP00000055485.6 pep:known chromosome:GRCm38:15:97247107:97385689:1 gene:ENSMUSG00000044250.7 transcript:ENSMUST00000059433.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pced1b description:PC-esterase domain containing 1B [Source:MGI Symbol;Acc:MGI:2446270] MVRLLASEVQQLLHNKFVVVLGDSVHRAVYKDLVLLLQKDCLLTNKQLRTKGELSFEKDQ LKMGGELDTLHNRTDYREVREFCSDHHLVRFYFLTRVYSEYMESVLEELQSGNHAPDVII MNSCLWDVSRYGRNSLSSYKQNLENLFGRMDQVLPKSCLLVWNTAMPLGDKIKAAFLPQK CKGQYPRISVATLKRKVTQANLYSHAEATKHYFDVLDLNFHFRQARKHLQGDGVHWNEHA HRKLSYLLLAHMADAWGVELPQRDSWEPDFEAWESSGQVEERQPQDNLGPQVFAPSPHCP FRPPPLLPSPGLPIRPPPLLGCPLPQPQQMPPFPLYPQVSYFSSDPVFQSDEFYIHSDSP PPTHTGYAFEGDFSFYPQPPVPNFRPPCHQRQAPVVHRGFPRHFARGPYSNPWRDRPRRP PKHSPAGLESRPQ >ENSMUSP00000076157.5 pep:known chromosome:GRCm38:19:55298301:55316032:-1 gene:ENSMUSG00000024982.9 transcript:ENSMUST00000076891.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc6 description:zinc finger, DHHC domain containing 6 [Source:MGI Symbol;Acc:MGI:1914230] MGIFCSVIKFENLQDLRRLCHWGPIIALGVIAICSTMAMIDSVLWYWPLHTTGGSVNFIM LINWTVMILYNYFNAMFAGPGFVPRGWKPEKSQDSMYLQYCKVCQAYKAPRSHHCRKCNR CVMKMDHHCPWINNCCGHQNHASFTLFLLLAPLGCTHAAFIFVMTMYTQLYNRLSFGWNT VKIDMSAARRDPPPIVPFGLAAFAATLFALGLALGTTIAVGMLFFIQIKIILRNKTSIES WIEEKAKDRIQYYQLDEVFIFPYDMGSKWKNFKQVFTWSGVPEGDGLEWPIREGCDQYSL TIEQLKQKADKRVRSVRYKVIEDYNGACCPLNRGVRTFFTSPCTEEPRIRLQKGEFILAT RGLRYWLYGDKILDDSFIEGTSRVRGWFPRNCVEKCPCDGDSDPAPEGEKKNR >ENSMUSP00000081494.3 pep:known chromosome:GRCm38:7:140646452:140652919:1 gene:ENSMUSG00000094819.1 transcript:ENSMUST00000084456.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr53 description:olfactory receptor 53 [Source:MGI Symbol;Acc:MGI:1333749] MMLLSLNQTGVTEFVLEGFSEHPGLRLFLTGCFLTLYMMALMGNIVIIALVTSSTGLHNP MYFFLCNLATTDIVCTSSVIPKALIGLVSEENIITFKGCMAQLFFLAWATSAELLLLTVM AYDRYVAICFPLHYSSRMSPQLCGALAVGVWSISAVNASVHTGLMTRLSFCGPKVITHFF CEIPPLLLLSCSSTYINSVMTLVADVFLGGINFMLTLLSYGFIIASILRMRSAEGKRKAF STCSSHLIVVSVYYSSVFCAYISPASSYSPERSKFTSVLYSVVSPTLNPLIYTLRNKDVK LALGRMLASFSH >ENSMUSP00000043662.7 pep:known chromosome:GRCm38:12:4817608:4827658:1 gene:ENSMUSG00000037361.7 transcript:ENSMUST00000046207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3b6 description:splicing factor 3B, subunit 6 [Source:MGI Symbol;Acc:MGI:1913305] MAMQAAKRANIRLPPEVNRILYIRNLPYKITAEEMYDIFGKYGPIRQIRVGNTPETRGTA YVVYEDIFDAKNACDHLSGFNVCNRYLVVLYYNANRAFQKMDTKKKEEQLKLLKEKYGIN TDPPK >ENSMUSP00000078160.6 pep:known chromosome:GRCm38:8:25578492:25597487:-1 gene:ENSMUSG00000037363.8 transcript:ENSMUST00000079160.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Letm2 description:leucine zipper-EF-hand containing transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:2444979] MAFYSYNSFLAIFWTRLPGHSVYPSCSHFPSLAFLHLPDSHLRTAYIKNCGSRKYSYPSL TGNNKVHPLRTRLPQKLHTTCWLQHVPGKPQLEQTGKPKAASPQPTKEAKTETTEEKRSL RQKIVNELKYYYKGFSLLWIDTKVAARIVWRLLHGNALTRRERRRLLRTCADVFRLVPFM VFIIVPFMEFLIPVFLKLFPDMLPSTFESESKKEEKQKKTMAAKLEIAKFLQETMTEMAR RNRAKLGDASSQLSSYVKQVQTGHKPSTKEIVRFSKLFKDQLALEHLDRPQLVALCKLLE LQTFGTNNLLRFQLLMTLKSIKADDEIIAKEGVKALSVSELQSACRARGMRSLGLTEEQL CQQLTGWLDLHLKENVPPSLLLLSRTFYLIDVKPKPIELPPNIETPKPNLGIPTPPPPES KENLTDSAPQLKGTKDEEFIQLPPVPSSLIAPAATISKEAILQAKSQETSQNSKADSKGA >ENSMUSP00000026221.5 pep:known chromosome:GRCm38:19:44293676:44306864:1 gene:ENSMUSG00000025203.5 transcript:ENSMUST00000026221.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scd2 description:stearoyl-Coenzyme A desaturase 2 [Source:MGI Symbol;Acc:MGI:98240] MPAHILQEISGAYSATTTITAPPSGGQQNGGEKFEKSSHHWGADVRPELKDDLYDPTYQD DEGPPPKLEYVWRNIILMALLHLGALYGITLVPSCKLYTCLFAYLYYVISALGITAGAHR LWSHRTYKARLPLRLFLIIANTMAFQNDVYEWARDHRAHHKFSETHADPHNSRRGFFFSH VGWLLVRKHPAVKEKGGKLDMSDLKAEKLVMFQRRYYKPGLLLMCFVLPTLVPWYCWGET FVNSLCVSTFLRYAVVLNATWLVNSAAHLYGYRPYDKNISSRENILVSMGAVGEGFHNYH HAFPYDYSASEYRWHINFTTFFIDCMALLGLAYDRKRVSRAAVLARIKRTGDGSCKSG >ENSMUSP00000040877.4 pep:known chromosome:GRCm38:10:97565501:97572703:1 gene:ENSMUSG00000036446.4 transcript:ENSMUST00000038160.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lum description:lumican [Source:MGI Symbol;Acc:MGI:109347] MNVCAFSLALALVGSVSGQYYDYDIPLFMYGQISPNCAPECNCPHSYPTAMYCDDLKLKS VPMVPPGIKYLYLRNNQIDHIDEKAFENVTDLQWLILDHNLLENSKIKGKVFSKLKQLKK LHINYNNLTESVGPLPKSLQDLQLTNNKISKLGSFDGLVNLTFIYLQHNQLKEDAVSASL KGLKSLEYLDLSFNQMSKLPAGLPTSLLTLYLDNNKISNIPDEYFKRFTGLQYLRLSHNE LADSGVPGNSFNISSLLELDLSYNKLKSIPTVNENLENYYLEVNELEKFDVKSFCKILGP LSYSKIKHLRLDGNPLTQSSLPPDMYECLRVANEITVN >ENSMUSP00000022480.7 pep:known chromosome:GRCm38:14:32322019:32347820:1 gene:ENSMUSG00000021913.7 transcript:ENSMUST00000022480.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogdhl description:oxoglutarate dehydrogenase-like [Source:MGI Symbol;Acc:MGI:3616088] MSQLRLLPFRLGPRATKLLATRAIPVFSGCRRSSGPPTTIPRSRSGVSSSYVEEMYFAWL ENPQSVHKSWDSFFQRASKEASVGPAQPQLPAVLQESRTSVSSCTKTSKLVEDHLAVQSL IRAYQIRGHHVAQLDPLGILDADLDSFVPSDLITTIDKLGKSWDPSLLSFYAALASFPAF YDLQEADLDKEFRLPTTTFIGGPENTLSLREIIRRLESTYCQHIGLEFMFINDVEQCQWI RQKFETPGVMQFSVEEKRTLLARLVRSMRFEDFLARKWSSEKRFGLEGCEVMIPALKTII DKSSEMGIENVILGMPHRGRLNVLANVIRKDLEQIFCQFDPKLEAADEGSGDVKYHLGMY HERINRVTNRNITLSLVANPSHLEAVDPVVQGKTKAEQFYRGDAQGRKVMSILVHGDAAF AGQGVVYETFHLSDLPSYTTNGTVHVVVNNQIGFTTDPRMARSSPYPTDVARVVNAPIFH VNADDPEAVIYVCSVAAEWRNTFNKDVVVDLVCYRRRGHNEMDEPMFTQPLMYKQIHKQV PVLKKYADKLIAEGTVTLQEFEEEIAKYDRICEEAYGRSKDKKILHIKHWLDSPWPGFFN VDGEPKSMTCPTTGIPEEMLTHIGSVASSVPLEDFKIHTGLSRILRGRADMTKKRTVDWA LAEYMAFGSLLKEGIHVRLSGQDVERGTFSHRHHVLHDQEVDRRTCVPMNHLWPDQAPYT VCNSSLSEYGVLGFELGYAMASPNALVLWEAQFGDFHNTAQCIIDQFISTGQAKWVRHNG IVLLLPHGMEGMGPEHSSARPERFLQMSNDDSDAYPVFTEDFEVSQLYDCNWIVVNCSTP ASYFHVLRRQILLPFRKPLIVFTPKSLLRHPDAKSSFDQMVSGTSFQRLIPEDGPAAHSP EQVQRLIFCTGKVYYDLVKERSSQGLEQQVAITRLEQISPFPFDLIMREAEKYSGAELVW CQEEHKNMGYYDYISPRFMTLLGHSRPIWYVGRDPAAAPATGNKNAHLVSLRRFLDTAFN LKAFEGKTF >ENSMUSP00000072410.5 pep:known chromosome:GRCm38:9:114276683:114317028:-1 gene:ENSMUSG00000064299.5 transcript:ENSMUST00000072612.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921528I07Rik description:RIKEN cDNA 4921528I07 gene [Source:MGI Symbol;Acc:MGI:1924299] MGNCCDTRVLPQECTNTPFSTDDRPRTKSSLTVGSRKRRNIFRIIRNIHHKNPVVPMEAE EHQAPTSSSIQKPQNETKAKHGDGTTSEEDEEKSPLRRVKVASKRSRHRIPFLRNKCRRG SLLPMDAQECDFIDTSCEGKPQDNLEVVAECSDGAALSVDKGKGPVKMAEATSKKRRCVS AILKKFSRRRVVAPIKLRRPVVLPGTSKETAPVTTEVVPENADSAPSARGQKEPPVEMMK EETKKRRHSMYYRIS >ENSMUSP00000129972.1 pep:known chromosome:GRCm38:7:16246745:16259338:-1 gene:ENSMUSG00000049130.5 transcript:ENSMUST00000168818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C5ar1 description:complement component 5a receptor 1 [Source:MGI Symbol;Acc:MGI:88232] MDPIDNSSFEINYDHYGTMDPNIPADGIHLPKRQPGDVAALIIYSVVFLVGVPGNALVVW VTAFEARRAVNAIWFLNLAVADLLSCLALPVLFTTVLNHNYWYFDATACIVLPSLILLNM YASILLLATISADRFLLVFKPIWCQKVRGTGLAWMACGVAWVLALLLTIPSFVYREAYKD FYSEHTVCGINYGGGSFPKEKAVAILRLMVGFVLPLLTLNICYTFLLLRTWSRKATRSTK TLKVVMAVVICFFIFWLPYQVTGVMIAWLPPSSPTLKRVEKLNSLCVSLAYINCCVNPII YVMAGQGFHGRLLRSLPSIIRNALSEDSVGRDSKTFTPSTTDTSTRKSQAV >ENSMUSP00000060003.5 pep:known chromosome:GRCm38:7:16246743:16259540:-1 gene:ENSMUSG00000049130.5 transcript:ENSMUST00000050770.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C5ar1 description:complement component 5a receptor 1 [Source:MGI Symbol;Acc:MGI:88232] MDPIDNSSFEINYDHYGTMDPNIPADGIHLPKRQPGDVAALIIYSVVFLVGVPGNALVVW VTAFEARRAVNAIWFLNLAVADLLSCLALPVLFTTVLNHNYWYFDATACIVLPSLILLNM YASILLLATISADRFLLVFKPIWCQKVRGTGLAWMACGVAWVLALLLTIPSFVYREAYKD FYSEHTVCGINYGGGSFPKEKAVAILRLMVGFVLPLLTLNICYTFLLLRTWSRKATRSTK TLKVVMAVVICFFIFWLPYQVTGVMIAWLPPSSPTLKRVEKLNSLCVSLAYINCCVNPII YVMAGQGFHGRLLRSLPSIIRNALSEDSVGRDSKTFTPSTTDTSTRKSQAV >ENSMUSP00000096752.2 pep:known chromosome:GRCm38:13:119487261:119488383:-1 gene:ENSMUSG00000074635.2 transcript:ENSMUST00000099148.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110070M22Rik description:RIKEN cDNA 3110070M22 gene [Source:MGI Symbol;Acc:MGI:1914554] MLTCGGRDGEAPRRLQGTPWVGGSTAQTFLTSCSGRHRADDAGSRDLSDQTHRSASPPPW GFLKALLLTGGGDTGDGRRLISGHRGQSKKAQRLRTLTALHTMHPESLCWARLQNRFR >ENSMUSP00000034465.7 pep:known chromosome:GRCm38:8:124839355:124863029:-1 gene:ENSMUSG00000031984.7 transcript:ENSMUST00000034465.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810004N23Rik description:RIKEN cDNA 2810004N23 gene [Source:MGI Symbol;Acc:MGI:1913773] MAAEHDSDSVEPPGSEALLDAVLRTLYDLGETEGETEQKRIRKKKAKKRDSETIEDVAVE PLPLPGSPVRGQKKSASSFFQKLREELQSAPAAPSEVPVTTAVSLSPPKNGSKLVEVVEF QSKSKKRKLKSDEDEPAKNKTKVVKKDVDIQEFNLEKARLEVHRFGITGYGKGKERVLER ERAIMLGAKPPKNTYVNYKVLQKQIKEKKIAVEEEKRAARETDIFKKKKKKGRGQEDRRS KKSAPSILSSGQVGQVGKFRNGTLILSPTDIKKINSSRVAK >ENSMUSP00000022282.3 pep:known chromosome:GRCm38:13:113042763:113046388:-1 gene:ENSMUSG00000021760.3 transcript:ENSMUST00000022282.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx8 description:glutathione peroxidase 8 (putative) [Source:MGI Symbol;Acc:MGI:1916840] MEPFAAYPLKCSGPKAKIFAVLLSMVLCTVMLFLLQLKFLKPRTNSFYSFEVKDAKGRTV SLEKFKGKASLVVNVASDCRFTDKSYQTLRELHKEFGPYHFNVLAFPCNQFGESEPKSSK EVESFARQNYGVTFPIFHKIKILGPEAEPAFRFIVDSSKKEPRWNFWKYLVNPEGQVVKF WRPEEPLEAIRPHVSQMIGQIILKKKEDL >ENSMUSP00000093432.1 pep:known chromosome:GRCm38:10:34037597:34109813:1 gene:ENSMUSG00000071340.1 transcript:ENSMUST00000095758.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc3l description:trafficking protein particle complex 3 like [Source:MGI Symbol;Acc:MGI:3642034] MSRPAHKRPEYHKINKDLFVLTYGALVAQLCKDYEKDEDVNKYLDKMGYNIGTRLVEDFL ARSCVRRCHSYSEIINIIAQVAFKMYLGITPSVTCHNSSRNEFSLILHKNPLAEFVEELP AGRSALCYCNLLCGIIRGALEMVHLAANVTFLQDRLKGDSVTEIGITFLKKLDEKKYRRK K >ENSMUSP00000049303.7 pep:known chromosome:GRCm38:15:99055174:99058978:1 gene:ENSMUSG00000023484.13 transcript:ENSMUST00000047104.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prph description:peripherin [Source:MGI Symbol;Acc:MGI:97774] MPSSASMSHHHSSGLRSSISSTSYRRTFGPPPSLSPGAFSYSSSSRFSSSRLLGSGSPSS SARLGSFRAPRAGALRLPSERLDFSMAEALNQEFLATRSNEKQELQELNDRFANFIEKVR FLEQQNAALRGELSQARGQEPARADQLCQQELRELRRELELLGRERDRVQVERDGLAEDL AALKQRLEEETRKREDAEHNLVLFRKDVDDATLSRLELERKIESLMDEIEFLKKLHEEEL RDLQVSVESQQVQQVEVEATVKPELTAALRDIRAQYENIAAKNLQEAEEWYKSKVREHWG NPGGPRVGRHWEWRCASQPGLSATAQYADLSDAANRNHEALRQAKQEMNESRRQIQSLMC EVDGLRGTNEALLRQLRELEEQFALEAGGYQAGAARLEEELRQLKEEMARHLREYQELLN VKMALDIEIATYRKLLEGEESRISVPVHSFASLSLKTTVPEMEPLQDSHSKKMVLIRTIE TRDGEKVVTESQKEQHSDLDKSSIHSY >ENSMUSP00000024249.3 pep:known chromosome:GRCm38:15:99055174:99058978:1 gene:ENSMUSG00000023484.13 transcript:ENSMUST00000024249.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prph description:peripherin [Source:MGI Symbol;Acc:MGI:97774] MPSSASMSHHHSSGLRSSISSTSYRRTFGPPPSLSPGAFSYSSSSRFSSSRLLGSGSPSS SARLGSFRAPRAGALRLPSERLDFSMAEALNQEFLATRSNEKQELQELNDRFANFIEKVR FLEQQNAALRGELSQARGQEPARADQLCQQELRELRRELELLGRERDRVQVERDGLAEDL AALKQRLEEETRKREDAEHNLVLFRKDVDDATLSRLELERKIESLMDEIEFLKKLHEEEL RDLQVSVESQQVQQVEVEATVKPELTAALRDIRAQYENIAAKNLQEAEEWYKSKYADLSD AANRNHEALRQAKQEMNESRRQIQSLMCEVDGLRGTNEALLRQLRELEEQFALEAGGYQA GAARLEEELRQLKEEMARHLREYQELLNVKMALDIEIATYRKLLEGEESRISVPVHSFAS LSLKTTVPEMEPLQDSHSKKMVLIRTIETRDGEKVVTESQKEQHSDLDKSSIHSY >ENSMUSP00000135928.1 pep:known chromosome:GRCm38:Y:74623896:74624978:1 gene:ENSMUSG00000094507.1 transcript:ENSMUST00000179880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21913 description:predicted gene, 21913 [Source:MGI Symbol;Acc:MGI:5434077] MSWRPSMSAQGVLETSISSQGLTETSLLPQEPPGHWLEKEALGYLPSNPEDLGLSDSSQD TLEIWACMQNSTEIPSVTQEVIESLSATQDSPKLPAPSPLSPETEEPLTYTQSISKSSES VPGTPEALASVLGSLCLLKPNEDAMGPLVSEQGILRTPAFPQESLELSQPAQGPLKSVTS HQETVDTSSSTEFQGLSLCAEGDIIPTPPREDGWRNFSYLKKNPRRLKFNQRILKHVPIP ERDIRYCLSELVALKCISSAVSSLTSSKYAEKESSPTSTPQRSISPLKSSQLSFRSSILC KKVLSHSPPPGDCPTPSKLRKTRSSPSSVDQEGLKYVRSKHAGWKHCHSSKIWVRVCKFK K >ENSMUSP00000092809.2 pep:known chromosome:GRCm38:17:86497732:86505232:-1 gene:ENSMUSG00000071036.2 transcript:ENSMUST00000095186.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10309 description:predicted gene 10309 [Source:MGI Symbol;Acc:MGI:3641941] MSLRKKTTAHHQGQNSLEERNLKSLFEFSLVLQQAAAGKLNWAIMVVTNGSAWPSLLDAR KGTGPYFTLSQALPANLYMAPSLKFCIIDQDALKAASRRAIPPCILKPESVSDFSNCCN >ENSMUSP00000078261.3 pep:known chromosome:GRCm38:10:63924866:63927434:-1 gene:ENSMUSG00000062561.3 transcript:ENSMUST00000079279.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10118 description:predicted gene 10118 [Source:MGI Symbol;Acc:MGI:3642340] MFSFMATAQLSKTRKIAVCLPVAVENWSLPITVTTVAKARQCFKVHKSHELSLLAVLNNP VKIHSCLISRDSSKDTELMAGDGLNCFQCLKVVFHYTKSSPISLARDPPEMADRSY >ENSMUSP00000128521.2 pep:known chromosome:GRCm38:8:28646717:29219338:-1 gene:ENSMUSG00000063626.5 transcript:ENSMUST00000168630.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5d description:unc-5 homolog D (C. elegans) [Source:MGI Symbol;Acc:MGI:2389364] MGTGAADGSRGARRWLPWLGLFFWAAGAAAARGADGSEILPDSIPSAPGTLPHFIEEPED AYIIKSNPIALRCKARPAMQIFFKCNGEWVHQNEHVSEESLDESSGLKVREVFINVTRQQ VEDFHGPEDYWCQCVAWSHLGTSKSRKASVRIAYLRKNFEQDPQGREVPIEGMIVLHCRP PEGVPAAEVEWLKNEEPIDSEQDENIDTRADHNLIIRQARLSDSGNYTCMAANIVAKRRS LSATVVVYVNGGWSSWTEWSACNVRCGRGWQKRSRTCTNPAPLNGGAFCEGMSVQKITCT ALCPVDGSWEVWSEWSVCSPECEHLRIRECTAPPPRNGGKFCEGLSQESENCTDGLCILD KKPLHEIKPQRWSRRGIENASDIALYSGLGAAVVAVAVLVIGVTLYRRSHSDYGVDVIDS SALTGGFQTFNFKTVRQGNSLLLNPAMQPDLTVSRTYSGPICLQDPLDKELMTESSLFNP LSDIKVKVQSSFMVSLGVSERAEYHGKNHSGTFPHGNNRGFSTIHPRNKTPYIQNLSSLP TRTELRTTGVFGHLGGRLVMPNTGVSLLIPHGAIPEENSWEIYMSINQGEPSLQSDGSEV LLSPEVTCGPPDMLVTTPFALTIPHCADVSSEHWNIHLKKRTQQGKWEEVMSVEDESTSC YCLLDPFACHVLLDSFGTYALTGEPITDCAVKQLKVAVFGCMSCNSLDYNLRVYCVDNTP CAFQEVISDERHQGGQLLEEPKLLHFKGNTFSLQVSVLDIPPFLWRIKPFTACQEVPFSR VWSSNRQPLHCAFSLERYTPTTTQLSCKICIRQLKGHEQILQVQTSILESERETITFFAQ EDSTFPAQTGPKAFKIPYSIRQRICATFDTPNAKGKDWQMLAQKNSINRNLSYFATQSSP SAVILNLWEARHQQDGDLDSLACALEEIGRTHTKLSNITEPQIDDADFNYSRQNGL >ENSMUSP00000034492.5 pep:known chromosome:GRCm38:9:7464141:7476869:1 gene:ENSMUSG00000043089.5 transcript:ENSMUST00000034492.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp1a description:matrix metallopeptidase 1a (interstitial collagenase) [Source:MGI Symbol;Acc:MGI:1933846] MPSLPLLLLLWAASSYSFPVFHNGDRQNVETVWKYLENYYNLGKNMQAKNVNGKEMMAEK LRQMQQLFGLKVTGNSDPETLRAMKKPRCGVPDVAPYAITHNNPRTKTHLTYSILNYTPY LPKAVVEDAIARAFRVWSDVTPLTFQRVFEEEGDIVLSFHRGDHGDNNPFDGPNYKLAHT FQPGPGLGGDVHYDLDETWTNSSENFNLFYVTAHELGHSLGLTHSSDIGALMFPSYTWYT EDFVLNQDDINRIQDLYGPSPNPIQPTGATTPHPCNGDLTFDAITTFRGEVFFFKGRFYI RVNRFMPEPELNLIGILWPNLPVKLDAAYEASMIDQVRYFKGSKVWAVQEQSVLRGFPRD IHSFFGFPSNVTHIDAAVCEEETGKTYFFVDHMYWRYDENTQSMDPGYPRLTAEDFPGID DKVDDVFQKGENFYFFHQSVQHRFNLQIRRVDDSRDSSTWFNC >ENSMUSP00000081728.2 pep:known chromosome:GRCm38:4:42033017:42034726:-1 gene:ENSMUSG00000096256.1 transcript:ENSMUST00000084677.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21093 description:predicted gene, 21093 [Source:MGI Symbol;Acc:MGI:5434448] MPDPTLFRRSRENPSSCTLSSSSKVIEDRTGIVSHKTSSLYSAGQPVQTFWVSKRPVINL QQGRPWHQIHTCLPSHEGEHLRNFYPLPRAKANDSGDNLQSEYYSHLFCGLPSLHSESLD VTYLSSQGTCKKKDMSKPSTDTQPSGVLSGLFLPKTPCKSAYPSSPTSLNGKTPCEREGA QITVPFLSLAECEALERHLLKKQLSKLQWGLPTLLLQNQRRMLCEAPSEAKTVKTSLARK PFLHPTRDIFPEHTVRLLEFHLQKQLIHLRWGLPQRIQRSMNMLLSSTDPQSLPCGSSSL PNVSISQPGKPEANGPGDMFSLTAGKGTIPMPHLFAKTREMLKSHVDSKCEQIQEGKVPA QVWKSWECKIPGSLATVAPFPWIPQGQCRKLQAESKSDPDLLHKVVPWKPKTLSQETQTL SGTLFEHCKKPQSLPKETIKKLETTLHHKYLAFLSGLPALYCVALSRPASPAVTSQPRLR EKMPKAVKSPSNALTQITPLEPCTQDDSGVSADTAEEFQPGAEADRRTEKVPAESQPPPC RPYPINTHILAKLNFHLKKKDPSNAVWDF >ENSMUSP00000081873.2 pep:known chromosome:GRCm38:7:102954664:102955620:-1 gene:ENSMUSG00000066269.5 transcript:ENSMUST00000084812.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr575 description:olfactory receptor 575 [Source:MGI Symbol;Acc:MGI:3030409] MLVKSSIMSVLNSSEIEITTFFLIGIPGLEYAHAWISIPICLMYLVAILGNCTILFVIRT EPSLHAPMYYFLSMLAISDLGLSLSSLPTMLRIFVFNATGISPNACFAQEFFIHGFTDME SSVLLIMSFDRFLAIRNPLRYSSILTSARVAKMGLVFLIKSMLLVLPFPFTLKRLAYCQK SLLSHSYCLHQDVMKLACSDNTVNFFYGFFVALCMMSDSMFIAVSYIFILKTVMGIGSHK ERLKALNTCVSHICAVLIFYVPIIALASMHRFGKHKSPMAMILIADIFLLVPPLMNPIVY CVKTRQIREKVLGKLGLK >ENSMUSP00000081703.3 pep:known chromosome:GRCm38:11:53454804:53457110:-1 gene:ENSMUSG00000072963.2 transcript:ENSMUST00000084653.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10447 description:predicted gene 10447 [Source:MGI Symbol;Acc:MGI:3642647] MAGYLINSALQTHISKTMPPTGLFPQALLSCLCLLASHSSLHQTHTERSPELMCSNLLLG VGSSPFGKDFELLNSRLYILSAGVFFSAQMTGATWSSFSVCVVYACVPLEIGSFLFFFFF FFFSVFDFSRQGFSV >ENSMUSP00000102417.2 pep:known chromosome:GRCm38:7:105375098:105378287:1 gene:ENSMUSG00000078611.2 transcript:ENSMUST00000106805.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5901 description:predicted gene 5901 [Source:MGI Symbol;Acc:MGI:3643909] MLVSSPHLLTLDEADATWALIKDKVIEERFGSNVVAVPFLSDAAYYDLLGVLVKQSRPAH TRLALPGRQGRRALKSVGLLPNLLEQAGSEGIFAHCTREYSPNGRAETAYEEMRMMDGQP CRIRLHMGGLRKKVAFLLLPPGQVSLQQNLPWLRSTHSIYVIYQVFSCTWLQLGLLPTAR EPQLIRLQRSLPIAFSCLKFSLQPKGVLGPQKSLTKDPLPQGANWVRPSLSIISTLAPTS VSADTHEVADVPPPVPAPPTPPPQEGPEGRPTRFSHKGRNPFRRGPYMLSENWLFSPRNP PPGAQGGGPGDPDRHSMSLPLLQGLSSEFDSDE >ENSMUSP00000091873.2 pep:known chromosome:GRCm38:7:71348961:71351485:-1 gene:ENSMUSG00000070511.2 transcript:ENSMUST00000094315.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10295 description:predicted gene 10295 [Source:MGI Symbol;Acc:MGI:3642770] MSVSATEMSLLASHCITWSLVWQLKDRPIQGKYFFQPARLLKGIHFMSRKSLSSQPADSR LWEFRKSWVFPPCREIVVCPTVPLNVQCPLLPSTPSPLGKGEQSLRLTAKVYVS >ENSMUSP00000051389.7 pep:known chromosome:GRCm38:17:32321425:32350581:-1 gene:ENSMUSG00000002625.9 transcript:ENSMUST00000050214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap8l description:A kinase (PRKA) anchor protein 8-like [Source:MGI Symbol;Acc:MGI:1860606] MSYTGFVQGSETTLQSTYCDTSAQPTCDYGYGTWNSGTNRGYENYGYGYGYGQDNTTNYG YGMATSHSWEMASSDTNANPSASGSASADSVLSRINQRLDMMPHLETDMIQGGVYGSGGG ERYDSYEACDSRAILSERDLYRSSYDYGELDPEMEMAYEGQYDAYRDQFRMRGGDTFGPR AQGWARDARSGRPMASGYGRMWEDPMGARGQCMPGASRLPSLFSQNIIPEYGMFQGMRGG GAFSGGSRFGFGFGNGMKQMRRTWKTWTTADFRTKKKKRKQGGSPDEPDSKATRTDCSDN SDSDNDEGTEGEAAEGTESAEAMEKGSRAEGEDEEGKEDGREGKEDSEKGALTAQDESSQ AKRKLQASKKSQDKQKKRQRDRMVERIQFVCSLCKYRTFYEDEMGSHLDSKFHKEHFKYV GTKLPKQTADFLQEYVTNKTKKTEELRKTVEDLDGLIQQIYRDQDLTQEIAMEHFVKKVE AAHCAACDLFIPMQFGIIQKHLKTMDHNRNRRLMMEQSKKSSLMVARSILNNKLISKKLE RYLKGENPFTDNPEEEKEQDEVEAGALDEGAPSEATELTEGVPAQPPVPLEPAPGTTTPP PPPPPEEEESPVPLLGGALQCQIRGIPGLDMEDDEEGGGGP >ENSMUSP00000057998.2 pep:known chromosome:GRCm38:9:38472745:38473681:1 gene:ENSMUSG00000096794.1 transcript:ENSMUST00000051111.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr905 description:olfactory receptor 905 [Source:MGI Symbol;Acc:MGI:3030739] MGFENGSSVTEFILVGLTKESDLQCPLFILFLMMYVVTVLGNQGLISLIGLNSHLHTPMY FFLFNLSFVDLWYSSVFTPKMLESFISEKNTISYRGCMAQLFFFCFFSISECYILTSMAY DRYVAICNPLLYNIVMSPKQCLILMFSSYMMAFSGAMAHTGCMLRLTFCDANTINHYFCD ILPLLQLSCTSTYVNELEVFVVVGINIIVPTITIFISYGFIIASIFRISSKEDRSKAFST CSSHIIAVSLFFGSGAFMYLKPSSAESMNEGKISSIFYTNTVPLLNPLIYSLRNKDVKDA LIKTLSKRKR >ENSMUSP00000034163.8 pep:known chromosome:GRCm38:8:109705549:109724932:1 gene:ENSMUSG00000031728.9 transcript:ENSMUST00000034163.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp821 description:zinc finger protein 821 [Source:MGI Symbol;Acc:MGI:1923121] MSRRKQTNPNKVHWDQVFAGLEEQARQAMMKTDFPGDLGSQRQAIQQLRDQDSSSSDSEG DEEETTQDEVSSHTSEEDGGVVKVEKELETAEAPVSSGDALAEREVTENLNSDPLLQLCQ CPLCQLDCGSREQLIAHVYQHTAAVVSAKSYMCPVCGRALSSPGSLGRHLLIHSEDQRSN CAVCGARFTSHATFNSEKLPQVLNMESVPQVHSEGPSSAEGKDIACSPPVYPAGILLVCN NCAAYRKLLETQTPSVRKWALRRQNEPLEVRLQRLERERTAKKSRRDNETPEEREVRRMR DREAKRLQRMQETDEQRARRLQRDREAMRLKRANETPEKRQARLIREREAKRLKRRLEKM DMMLRAQFGQDPSAMAALAAEMNFFQLPVSGVELDSQLLGKMAFEEQNNSSLH >ENSMUSP00000133045.1 pep:known chromosome:GRCm38:12:84451508:84531533:1 gene:ENSMUSG00000085793.1 transcript:ENSMUST00000137170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin52 description:lin-52 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:3045391] MGWKMASPTDGTDLEASLLSFEKLDRASPDLWPEQLPGVAEFAASFKSPITSSPPKWMAE IERDDIDMLKELGSLTTANLMEKVRGLQNLAYQLGLDESREMTRGKFLNILEKPKK >ENSMUSP00000034510.7 pep:known chromosome:GRCm38:9:43744576:43807454:1 gene:ENSMUSG00000032012.7 transcript:ENSMUST00000034510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvrl1 description:poliovirus receptor-related 1 [Source:MGI Symbol;Acc:MGI:1926483] MARMGLAGAAGRWWGLALGLTAFFLPGTHTQVVQVNDSMYGFIGTDVVLHCSFANPLPSV KITQVTWQKASNGSKQNMAIYNPTMGVSVLPPYEKRVEFLRPSFIDGTIRLSGLELEDEG MYICEFATFPTGNRESQLNLTVMAKPTNWIEGTRAVLRARKGQDDKVLVATCTSANGKPP SAVSWETRLKGEAEYQEIRNPNGTVTVISRYRLVPSREAHRQSLACIVNYHLDRFRESLT LNVQYEPEVTIEGFDGNWYLQRTDVKLTCKADANPPATEYHWTTLNGSLPKGVEAQNRTL FFRGPITYSLAGTYICEATNPIGTRSGQVEVNITEFPYTPTPEHGRRAGQMPTAIIGGVA GSVLLVLIVVGGIIVALRRRRHTFKGDYSTKKHVYGNGYSKAGIPQHHPPMAQNLQYPDD SDDEKKAGPLGGSSYEEEEEEEGGGGGERKVGGPHPKYDEDAKRPYFTVDEAEARQDGYG DRTLGYQYDPEQLDLAENMVSQNDGSFISKKEWYV >ENSMUSP00000105053.1 pep:known chromosome:GRCm38:13:97898595:98206165:-1 gene:ENSMUSG00000021662.9 transcript:ENSMUST00000109426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef28 description:Rho guanine nucleotide exchange factor (GEF) 28 [Source:MGI Symbol;Acc:MGI:1346016] MELSCSEVPLYGQKTVYAKFGKNVYLPEDAEFYFVYGGSHQRHVVIADRVQDNVLQSSIP GHWLQETVTVSVCLCSEGYSPVTMGSGSVTYVDNMACRLARLLVTQADRLTACSHQTLLT PFALTVEALPALDEELVLALTQLELPLGWTVLGNSSLEVSLHRESLLHLAVRWALPKLFH FLLCLPGGVKALKLPNEEATTPLDLALQGGHSTLVEDITNFQGSHSPGFSRLRLNEEATL QFVHSSETLTLTVNHTAEHLLEADIKLFRKYFWDRAFLVKALEQEAKTEKATMPSGAAET EEEVRNLESGRSPSEEEEDAKSIKSQVDGPSEHEDQDRLPLDRSFDGLKKSKHVPASLAA GQLSDVLNGGDEVYANCMVIDQVGDLDINYINLEGLSTHTSPESGRSMLGPQACMHTLPP DTSPCGRPLIENSEGTLDAAASQSFVTPSSSRTSNLNLSFGLHGFEKEQSHLKKRSSSLD ALVADSEGEGGSEPPICYAVGSQSSPRTGLPSGDELDSFETNTEPDCNISRTESLSLSST LHSKESLLSGIRSRSYSCSSPKISSGKSRLVRDFTVCSTSEEQRSYSFQEPPGEKRIQEE EWDEYVIPAKSESEKYKVSRTFSFLMNRMTSPRNKSKMKNKDTKEKEKMNRHQFVPGTFS GVLQCSGCDKTLLGKESLQCANCKANTHKGCKDAVPPCTKKFQEKYNKNKPQSILGSSSV RDVPAPGLSLHPSSSMPIGLPAGRKEFAAQVHPLSRSVPGTTLESFRRAVTSLESEGDSW RSRSHSDELFQSMGSSPSTESFMMEDVVDSSLWIDLSSDAQEFEAESWSLVVDPSFCSRQ EKDVIKRQDVIFELMQTEVHHIQTLLIMSEVFRKGMKEELQLDHSTVDKIFPCLDELLET HRHFFFSMKERRQESCAGSDRNFVINQIGDILVQQFSEENASKMKRIYGEFCSHHKEAMS LFKELQQNKKFQNFIKIRNSNLLARRRGIPECILLVTQRITKYPVLVERILQYTKERTEE HRDLCKALGLIKDMIAAVDLKVSEYEKNQKWLEILNKIENKTYTKLKNGHVFRKQALLSQ ERALLHDGLVYWKTATGRFKDILALLLTDVLLFLQEKDQKYIFAAVDQKPSVISLQKLIA REVANEERGMFLISASSAGPEMYEIHTNSKEERNNWMRRIQQAVESCPEEEGGRTSESDE ERRKAEARVAKIQQCQEILSNQDQQICTYLEEKLHIYAELGELSGFEDVHLEPHLLIKPD PGEPPQAASLLAAALREAESLQVAVKASKMGDVSQSSEESPGGTVLMDTPSTQDVPASPT ASLVTEGTEGRGCWDVDPGLQGVVTDLAVSDAGEKVEYRSFSGSSQSEIIQAIQNLTRLL YSLQAALTIQDSHIEIHKLVLQQRESLAPSHSFRGGPLQDQEKSRYLEKQREELANIHKL QHQFQQEQRRWHRTCDQQQREQEAQESWLQARERECQSQEELLLRHRSELDHQLQEYQQS LERLREGQRMVERERQKMRVQQGLLGHCKHSRQRSLPAVFSPGSKEVTELNRAESLCHEN SFFINEAFGHMSLNTSNKPNPSGVPWDAHPLEGSHFDLARTSESPTELKIDISQPPDVNS ELWTTGPGHQRPALQENSKESYKNVADLDSFQSESSSPQDSNQRGPQPQTLTTEAKLSLP MAAGHGGDAGDGAEENILYL >ENSMUSP00000025484.7 pep:known chromosome:GRCm38:18:64456550:64489066:-1 gene:ENSMUSG00000024588.8 transcript:ENSMUST00000025484.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fech description:ferrochelatase [Source:MGI Symbol;Acc:MGI:95513] MLSASANMAAALRAAGALLREPLVHGSSRACQPWRCQSGAAVAATTEKVHHAKTTKPQAQ PERRKPKTGILMLNMGGPETLGEVQDFLQRLFLDRDLMTLPIQNKLAPFIAKRRTPKIQE QYRRIGGGSPIKMWTSKQGEGMVKLLDELSPATAPHKYYIGFRYVHPLTEEAIEEMERDG LERAIAFTQYPQYSCSTTGSSLNAIYRYYNEVGQKPTMKWSTIDRWPTHPLLIQCFADHI LKELNHFPEEKRSEVVILFSAHSLPMSVVNRGDPYPQEVGATVHKVMEKLGYPNPYRLVW QSKVGPVPWLGPQTDEAIKGLCERGRKNILLVPIAFTSDHIETLYELDIEYSQVLAQKCG AENIRRAESLNGNPLFSKALADLVHSHIQSNKLCSTQLSLNCPLCVNPVCRKTKSFFTSQ QL >ENSMUSP00000024896.3 pep:known chromosome:GRCm38:17:64832523:64836071:-1 gene:ENSMUSG00000024088.3 transcript:ENSMUST00000024896.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930583I09Rik description:RIKEN cDNA 4930583I09 gene [Source:MGI Symbol;Acc:MGI:1925307] MSMTQIQRNHSLMDTLFKKHSHAEELHLWDTIKNGSNSRNFFKVTVYLQSQSNISTKGCL GLWLRFTACHLLLDTLLQNQASPARSHGNRSLEQGPAKWLGG >ENSMUSP00000050056.7 pep:known chromosome:GRCm38:19:3986661:3990007:1 gene:ENSMUSG00000024866.9 transcript:ENSMUST00000054030.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acy3 description:aspartoacylase (aminoacylase) 3 [Source:MGI Symbol;Acc:MGI:1918920] MSSLPGSREPLLRVAVTGGTHGNEMCGVYLARYWLQNPGELQRPSFSAMPVLANPAATAA CCRYLDRDLNRSCTLTFLGSTATPDDPYEVKRARELNQLLGPKGTGQAFDFTLDLHNTTA NTGVCLISESNISFNLHLCHYLQRQNPGMPCRLFLYEPAGTETFSVESISKNGICLEMGP QPQGVLRADLFSRMRALVASILDFIELFNQGMDLPAFEMDIYRNLGSVDFPRTADGDLAG TVHPQLQDHDFEPLRPGEPIFKLFSGEDVLYEGDSIVYPVFINEAAYYEKHVAFLKSEKI RVTVPALLRLTPRSTQTP >ENSMUSP00000044389.5 pep:known chromosome:GRCm38:9:66065176:66069731:-1 gene:ENSMUSG00000039452.5 transcript:ENSMUST00000044711.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx22 description:sorting nexin 22 [Source:MGI Symbol;Acc:MGI:2685966] MLEVHIPSVGPEAEGPRQSPEKGHMVFQVEVLYSGRRHTVPRRYSEFHALHKRIKKRYKV PDFPSKRLPNWRTRGLEQRRQGLETYIQGILYLNQDVPKELLEFLRLRHFPTDSKTSSWS TLGEFLPSDTSSQLHHRPVIGFCMDPYVCTPSPEPLPEVVVDGVLQGLYGFSTSPVPAQP EANCHPAPSLVP >ENSMUSP00000020959.7 pep:known chromosome:GRCm38:12:28649602:28659591:1 gene:ENSMUSG00000020630.8 transcript:ENSMUST00000020959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnaseh1 description:ribonuclease H1 [Source:MGI Symbol;Acc:MGI:1335073] MRWLLPLSRTVTLAVVRLRRGICGLGMFYAVRRGRRTGVFLSWSECKAQVDRFPAARFKK FATEDEAWAFVRSSSSPDGSKGQESAHEQKSQAKTSKRPREPLGEGEELPEPGPKHTRQD TEPSAVVSKDAFSYMGESVVVYTDGCCSSNGRKRARAGIGVYWGPGHPLNVGIRLPGRQT NQRAEIHAACKAIMQAKAQNISKLVLYTDSMFTINGITNWVQGWKKNGWRTSTGKDVINK EDFMELDELTQGMDIQWMHIPGHSGFVGNEEADRLAREGAKQSED >ENSMUSP00000100516.2 pep:known chromosome:GRCm38:19:23619742:23620320:1 gene:ENSMUSG00000044424.3 transcript:ENSMUST00000104916.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9493 description:predicted gene 9493 [Source:MGI Symbol;Acc:MGI:3779903] SHVAKIVKPNGEKPDEFESGISQALLELEMNSDLKAQLRELNITAAKEIEVGGGRKAIII FVPVPQLKSFQKIQVRLVRELEKKFSGKHVVFIAQRRILPKPTQKSRTKNKQKRPRSRTL TAVHDAILEDLVFPSEIVGKRIRVKLDGSRLIKVHLDKAQQNNVEHKVETFSGVYKKLTG KDVNFEFPEFQL >ENSMUSP00000093961.3 pep:known chromosome:GRCm38:19:8927382:8929356:-1 gene:ENSMUSG00000071648.3 transcript:ENSMUST00000096242.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rom1 description:rod outer segment membrane protein 1 [Source:MGI Symbol;Acc:MGI:97998] MAPVLPVVLPLQPRIRLAQGIWLLSWLLALVGGLTLLCSGHLLVQLGHLGTFLAPSCSFP ALPQTALAAGTVALGTGLGGAGASRASLDAAQYPPWRGVLTPLLAVGTAAGGGLLTLALG LALALPVSLNQGLEEGLEAALAHYKDTEVPGRCQAKRLMDELQLRYHCCGRHGYKDWFGV QWVSNRYLDPSDQDVVDRIQSNVEGLYLIDGVPFSCCNPHSPRPCLQSQLSDPYAHPLFD PRQPNLNLWAQGCHEVLLEHLQGLSGTLGSILAVTLLLQILVLLGLRYLQTALEGLGGVI DGEGEAQGYLFPGGLKDILKTAWLQGGLAHKPAPEEAPPDEEPPKEVLAEA >ENSMUSP00000023217.9 pep:known chromosome:GRCm38:15:76452996:76477269:-1 gene:ENSMUSG00000022557.10 transcript:ENSMUST00000023217.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bop1 description:block of proliferation 1 [Source:MGI Symbol;Acc:MGI:1334460] MAGACGKPHMSPASLPGKRRLEPDQELQIQEPPLLSDPDSSLSDSEESVFSGLEDLGSDS SEEDTEGVAGSSGDEDNHRAEETSEELAQAAPLCSRTEEAGALAQDEYEEDSSDEEDIRN TVGNVPLAWYDEFPHVGYDLDGKRIYKPLRTRDELDQFLDKMDDPDFWRTVQDKMTGRDL RLTDEQVALVHRLQRGQFGDSGFNPYEPAVDFFSGDIMIHPVTNRPADKRSFIPSLVEKE KVSRMVHAIKMGWIKPRRPHDPTPSFYDLWAQEDPNAVLGRHKMHVPAPKLALPGHAESY NPPPEYLPTEEERSAWMQQEPVERKLNFLPQKFPSLRTVPAYSRFIQERFERCLDLYLCP RQRKMRVNVDPEDLIPKLPRPRDLQPFPVCQALVYRGHSDLVRCLSVSPGGQWLASGSDD GTLKLWEVATARCMKTVHVGGVVRSIAWNPNPTICLVAAAMDDAVLLLNPALGDRLLVGS TDQLLEAFTPPEEPALQPARWLEVSEEEHQRGLRLRICHSKPVTQVTWHGRGDYLAVVLS SQEHTQVLLHQVSRRRSQSPFRRSHGQVQCVAFHPSRPFLLVASQRSIRIYHLLRQELTK KLMPNCKWVSSMAVHPAGDNIICGSYDSKLVWFDLDLSTKPYKVLRHHKKALRAVAFHPR YPLFASGSDDGSVIVCHGMVYNDLLQNPLLVPVKVLKGHTLTRDLGVLDVAFHPTQPWVF SSGADGTIRLFS >ENSMUSP00000132338.1 pep:known chromosome:GRCm38:18:76856405:76900342:1 gene:ENSMUSG00000091519.1 transcript:ENSMUST00000166956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skor2 description:SKI family transcriptional corepressor 2 [Source:MGI Symbol;Acc:MGI:3645984] MASSPLPGPNDILLASPSSAFQPDALSQPRPGHANLKPNQVGQVILYGIPIVSLVIDGQE RLCLAQISNTLLKNFSYNEIHNRRVALGITCVQCTPVQLEILRRAGAMPISSRRCGMITK REAERLCKSFLGENRPPKLPDNFAFDVSHECAWGCRGSFIPARYNSSRAKCIKCSYCNMY FSPNKFIFHSHRTPDAKYTQPDAANFNSWRRHLKLTDKSPQDELVFAWEDVKAMFNGGSR KRALPQPSAHPACHPLSSVKAAAVAAAAAVAGGGGLLGPHLLGAPPPPPPPPPLAELAGA PHAHHKRPRFDDDDDSLQEAAVVAAASLSAAAASLSVAAATGGAGPGAGGPGGGCVAGVG VGASAGAGAAAGTKGPRSYPVIPVPSKGSFGGVLQKFPGCGGLFPHPYTFPAAAAAFGLC HKKEDAGTAAEALGGAGAGSAGAAPKAGLSGLFWPAGRKDAFYPPFCMFWPPRTPGGLPV PTYLQPPPQPPSALGCALGDSPALLRQAFLDLAEPGGAGGSAEAAPPPGQPPPVVANGPG SGPPATGGTGARDTLFESPPGGSGGDCSAGSTPPAEQGVTSGTGSASSGAGSVGTRVPAP HHPHLLEGRKAGGGSYHHSSAFRPVGGKDDAESLAKLHGASAGTPHSAPAHHHHHHHHPH HHHHHPPQPPSPLLLLQPQPDEPGSERHHPAPPPPPPPPPLAPQPHHRGLLSPEGTSCSY PSEDSSEDEEDEEEEQEVDVEGHKPLEGEEEEDGRDPEDEEEEDEETRVLLGDSLVGGGR FLQGRGLSEKGSGRDRTTPAVGAFPLALNSSRLLQEDGKLGDSGGSDLPAPPPPPLAPQK ASSSGGSRPGSPVHHPSLEEEPSYKDNQKPKENNQVIISTKDDNFSDKNKGHGFFITDSD SSGDFWRERSGEHTQETNSPHSLKKDVENMGKEELQKVLFEQIDLRRRLEQEFQVLKGNT SFPVFNNFQDQMKRELAYREEMVQQLQIIPYAASLIRKEKLGAHLSKS >ENSMUSP00000080594.3 pep:known chromosome:GRCm38:7:141015812:141016892:-1 gene:ENSMUSG00000059108.3 transcript:ENSMUST00000081924.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm6 description:interferon induced transmembrane protein 6 [Source:MGI Symbol;Acc:MGI:2686976] MVKRDPDSAPVPSTVVCINSDVIQPDHITWSTFNTVFMNGCCLGFIAYIYSVKSRDRKMV GDMTGAQSHASTAKILNILALVISLIFYIMLIVLYSFNLLGNQR >ENSMUSP00000135905.1 pep:known chromosome:GRCm38:10:100361338:100362247:1 gene:ENSMUSG00000096744.1 transcript:ENSMUST00000177945.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4307 description:predicted gene 4307 [Source:MGI Symbol;Acc:MGI:3782487] MTTMADKMDMSLEDIIKLNKMQQGRRDRPDSRVKRGTGPKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAHVHFERKADALKAMREYNGAPLDGRPMNIHLVTSQIDRQGRPALNSDKGGM TRNPGSGVLSGGGTKRWTLGGSQGSGRGTSRNSKLQQQQQQQQQQEEQKHQKQQQQKQQQ QQQKQGQNHQHQQQQKEQQQQQQQKELQQLSVEELDAQLDYYQDMMDTS >ENSMUSP00000133048.1 pep:known chromosome:GRCm38:10:99443730:99447007:1 gene:ENSMUSG00000090665.1 transcript:ENSMUST00000167243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gad1-ps description:glutamate decarboxylase 1, pseudogene [Source:MGI Symbol;Acc:MGI:95633] MEGFNLELSDHPESLEQILVDCRDTLKYGVRTGHPRFFNQLSTGLDIIGLAGEWLTSTAN TNMFTYEIAPVFVLMEQITLKKMREIIGWSNKDGDGIFSPGGAISNMYSIMAARYKYFPE VKTKGMAGVPKLVLFTSEHSHYSIKKAGAALGFGTDNVILIECNERGKIIPADLEAKILD AKQKGYVPFYVNATAGTTVYGAFDPIQEIADVCEKYNLWLHVDAAWGGGLLMSQKHRHKL SGIERADSVTWNPHKIMGVPLQCSAILVKEKGMLQGCSQMCAGYLFQPDKQYDVSYDTGD KAIQCGRHVDIFKFWLMWKAKGTVGFENQINECLELAEYLYAKIKNREEFEMVFDGEPEH TNVCFWYIPQSLRGVPDSPERREKLHRVAPKIKALMMDSGTTMVGYQPQGDKVNFFRMVI SNPAATQSDMDFLIEEIVRLGQDL >ENSMUSP00000083669.1 pep:known chromosome:GRCm38:9:19808320:19809249:1 gene:ENSMUSG00000095448.1 transcript:ENSMUST00000086480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr859 description:olfactory receptor 859 [Source:MGI Symbol;Acc:MGI:3030693] MEPYNSTGTLEFILLGLSEDPELQFILFALFLLIYLLTVLGNVLIILAISCDSHLHSPMY FFLYNLSLADMGFSSTTIPKMLINLHAHNRSITYAECLTQVSFFILFGCMDSILLAVMAY DRWVAICHPLHYQVILNPCRCRYLVVMSFCISLIDSQVHCFMVSQLTFCTNIKIPHFFCD VPELVKLACSNTTINDIVMFLLSIITGFLPASGIFYSYYKITSSIFRVPSLLGKYKAFST CGSHLSVVCLFYGTGIGVYLSSTVSSSSKESMVASVMYTMVVPMMNPFIYSLRNRDIKKA LWKIVCKIT >ENSMUSP00000137402.1 pep:known chromosome:GRCm38:X:125004163:125004660:1 gene:ENSMUSG00000095850.1 transcript:ENSMUST00000178121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17361 description:predicted gene, 17361 [Source:MGI Symbol;Acc:MGI:4936995] PAASSKNGAGDASHKFKEDHTVPGPSSAGQHGQVISPHIQGSPHPSRSSQGDLGSSTSPQ DAQGLSLSTQHARGLSLSTQQTQGLSLSTQDVFELTRYFQESMGHSTSAQASQRLPTCAE RKIIHASSSRRSSKRLSPTLHNSNLSNSPLKHVGQDSSGQGDLQQA >ENSMUSP00000137377.1 pep:known chromosome:GRCm38:12:55199533:55214076:1 gene:ENSMUSG00000094103.1 transcript:ENSMUST00000177978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700047I17Rik2 description:RIKEN cDNA 1700047I17 gene 2 [Source:MGI Symbol;Acc:MGI:3714351] MERESGCAAAGETEAAAATAFRDATRQISNERGFENVELGVMGKKKKVPRRVIHFVSGET MEEYSTDEDEVDGLDKKDVLPTVDPTKLTWGPYLWFYMLRAATSTLSVCDFLGEKIASVL GISTPKYQYAIDEYYRMKKEEEEEEEENRMSEEAERQYQQNKLQADSIVQTDQPETVSSS FVNINFEMEEDCEAIKENKQRPVSVPP >ENSMUSP00000089648.2 pep:known chromosome:GRCm38:15:81843343:81843699:-1 gene:ENSMUSG00000069439.2 transcript:ENSMUST00000092020.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8444 description:predicted gene 8444 [Source:MGI Symbol;Acc:MGI:3645809] GSGLRGKKKEELLKQLGDLKVELSQLRVAKVTGGATSKLSKIRVVRKSIAQVLTVISQTQ KENLRKFFKGKKCKPLDLQPKKTRAMCRRLTKHEEKLKTKKQQRKEQLYPLRKYAGKA >ENSMUSP00000057340.2 pep:known chromosome:GRCm38:18:86394952:86501897:1 gene:ENSMUSG00000050321.2 transcript:ENSMUST00000058829.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neto1 description:neuropilin (NRP) and tolloid (TLL)-like 1 [Source:MGI Symbol;Acc:MGI:2180216] MIYGRSLFHIIASLIILHSSGATKKGTEKQITPETQKSVQCGTWTKHAEGGVFTSPNYPS KYPPDRECVYIIEAAPRQCIELYFDEKYSIEPSWECKFDHIEVRDGPFGFSPIIGRFCGQ QNPPVIKSSGRFLWIKFFADGELESMGFSARYNFTPDPDFKDLGVLKPLPACEFEMGGPE GIVESIQILKEGKASASEAVDCKWYIRAPPRSKIYLRFLDYEMQNSNECKRNFVAVYDGS SSVEDLKAKFCSTVANDVMLRTGLGVIRMWADEGSRNSRFQMLFTSFQEPPCEGNTFFCH SNMCINNTLVCNGLQNCVYPWDENHCKEKRKTSLLDQLTNTSGTVIGVTSCIVIILIIVS VIVQIKQPRKKYVQRKSDFDQTVFQEVFEPPHYELCTLRGTGATADFADVAEDFENYHKL RRSSSKCIHDHHCGSQLSSAKGSRSNLSTRDASILAEIPTQPVKPLIPPVNRRNILVMKH NYSQDAADACDIDEIEEVPTTSHRLSRHEKSVQRFCLIGSLSKHESEYNTTRV >ENSMUSP00000085960.4 pep:known chromosome:GRCm38:15:78670022:78718113:-1 gene:ENSMUSG00000043460.6 transcript:ENSMUST00000088592.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elfn2 description:leucine rich repeat and fibronectin type III, extracellular 2 [Source:MGI Symbol;Acc:MGI:3608416] MLRLGLCAAALLCVCQPGAVRADCWLIEGDKGYVWLAICSQNQPPYETIPQHINSTVHDL RLNENKLKAVLYSSLNRFGNLTDLNLTKNEISYIEDGAFLGQTSLQVLQLGYNRLSNLTE GMLRGMSRLQFLFVQHNLIEVVTPTAFSECPSLISIDLSSNRLSRLDGATFASLASLMVC ELAGNPFNCECDLFGFLAWLVVFNNVTKNYDRLQCESPREFAGYPLLVPRPYHSLNAITV LQAKCRNGSMPARPVSHPTPYSTDAQREPDENSGFNPDEILSVEPPASSTTDASAGPAIK LHQVTFTSATLVVIIPHPYSKMYVLVQYNNSYFSDVMTLKNKKEIVTLDKLRAHTEYTFC VTSLRNSRRFNHTCLTFTTRDLVPGDLAPSTSTTTHYIMTILGCLFGMVIVLGAVYYCLR KRRMQEEKQKSVNVKKTILEMRYGADVDAGSIVHAAQKLGEPPVLPVARMSSIPSMVGEK LPASKGLEAGLDTPKVATKGNYIEVRTGAAGDSLARPEEELPEIENGQGSAAEISTIAKE VDKVNQIINNCIDALKLDSASFLGGGGGGGGGGDSDLAFECQSLPAAPAASSAATPGALE RPSFLSPPYKESSHHPLQRQLSADAAVSRKTCSVSSSGSIKSAKVFSLDVPDHPTPTGLA KSDSKYIEKGSPLNSPLDRLPLVPTGSSGSSGGGGGIHHLEVKPAYHCSEHRHSFPALYY EEGADSLSQRVSFLKPLTRSKRDSTYSQLSPRHYYSGYSSSPEYSSESTHKIWERFRPYK KHHREEVYMAAGHALRKKVQFAKDEDLHDILDYWKGVSAQQKL >ENSMUSP00000003501.7 pep:known chromosome:GRCm38:9:22015005:22052023:-1 gene:ENSMUSG00000003410.7 transcript:ENSMUST00000003501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl3 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 3 (Hu antigen C) [Source:MGI Symbol;Acc:MGI:109157] MVTQILGAMESQVGGGPAGPALPNGPLLGTNGATDDSKTNLIVNYLPQNMTQDEFKSLFG SIGDIESCKLVRDKITGQSLGYGFVNYSDPNDADKAINTLNGLKLQTKTIKVSYARPSSA SIRDANLYVSGLPKTMSQKEMEQLFSQYGRIITSRILLDQATGVSRGVGFIRFDKRIEAE EAIKGLNGQKPLGAAEPITVKFANNPSQKTGQALLTHLYQSSARRYAGPLHHQTQRFRLD NLLNMAYGVKSPLSLIARFSPIAIDGMSGLAGVGLSGGAAGAGWCIFVYNLSPEADESVL WQLFGPFGAVTNVKVIRDFTTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGERVLQVSFK TSKQHKA >ENSMUSP00000061561.5 pep:known chromosome:GRCm38:7:105180313:105181400:-1 gene:ENSMUSG00000047794.5 transcript:ENSMUST00000051355.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr685 description:olfactory receptor 685 [Source:MGI Symbol;Acc:MGI:3030519] MALSNSSWRQPQPPFFLVGVPGLEESQHWIALPLGILYLFALVGNVTIIFIIWTDSSLHQ PMYLFLAMLAAIDLVLASSTAPKALTVLLAHAHEIGYIVCLTQMFFIHAFSSMESGILVA MALDRYVAICHPLRHSTILHPGIIGRIGLVVLVRGLVLLFPFPILLQNVVFCRATVISHA YCEHMAVVKLACSETTVNRAYGLSVALLVVGLDVLAIGISYALILQAVLKVPGGEARLKA FSTCGSHVCVILIFYVPGMFSFLTHRFGHHVPHHVHVLLATLYLLVPPALNPLVYGVKTR QIRQRVLRVFYTKASI >ENSMUSP00000065182.3 pep:known chromosome:GRCm38:8:84065236:84067287:-1 gene:ENSMUSG00000056753.3 transcript:ENSMUST00000071067.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C330011M18Rik description:RIKEN cDNA C330011M18 gene [Source:MGI Symbol;Acc:MGI:3045248] MPLQSRLSGWTNERSISSKSLSRSLAQVHGASGVVVRSYVPMKTSRLPPCWATLLPLALM SPKERSDSVLPFCDVSGGGRTRNSLTSQARSLGPRGLREIGWYDSSRSPGKVTAWPRRYL GHSGSEKVSGQQTFNEKTISKGQRRLSPVLPAAPGLSFPKR >ENSMUSP00000137140.1 pep:known chromosome:GRCm38:10:43524102:43524617:1 gene:ENSMUSG00000045886.6 transcript:ENSMUST00000057649.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9803 description:predicted gene 9803 [Source:MGI Symbol;Acc:MGI:3704359] MAKYLAQIIVMGVQVVGRAFARALRQEFAASQAAADARGRAGHQSAAASNLSGLSLQEAQ QILNVSKLSPEEVQKNYEHLFKVNDKSVGGSFYLQSKVVRAKERLDEELRIQAQEDREKG QKPKT >ENSMUSP00000076935.4 pep:known chromosome:GRCm38:9:39484204:39493988:-1 gene:ENSMUSG00000062649.4 transcript:ENSMUST00000077757.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr44 description:olfactory receptor 44 [Source:MGI Symbol;Acc:MGI:1333829] MNDMTSGNYCTVTEFFLAGLSEKPELQLPLFFLFIGIYMITVAGNLGMIILIGLSSHLHT PMYYFLSSLSFIDFCQSTVVTPKMLVNFVTEKNIISYPGCMTQLYFFLIFAIAECYILAA MAYDRYVAICNPLLYNVTMSYQIYIFLISGVYIIGVICASAHTGFMVRIRFCKLDVINHY FCDLLPLLKLACSNTYINEMLILFFGTLNIFVPILTIITSYIFIIASILRIRSTEGRSKA FSTCSSHILAVAVFFGSLAFMYLQPSSVSSMDQGKVSSVFYTIVVPMLNPLIYSLRNKDV AVALKKIIERKTFM >ENSMUSP00000105397.2 pep:known chromosome:GRCm38:15:77729121:77736381:1 gene:ENSMUSG00000068246.5 transcript:ENSMUST00000109775.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol9b description:apolipoprotein L 9b [Source:MGI Symbol;Acc:MGI:1919148] MASSGYLYSLVAEDGAWQAFVSKAKLSRERAVALHKALRELTALLAIADRGRLQKGLKGR EKFLKVFPRLKAELVEHISQLHALADHAEKLHRGCTISNVVADSFSAASDILSLLGLFLA PVTAEGSLVLSATGLGLGVAATVTDVATSIVEETSRVLDEVEAALTGTHVLGEAGTSVAR IVNKIPQATRDITRDLEALEQHMNALRLVRANPRLEEDARILATTGSISAQRAMQVRARL EGTPLAMSNEARIQRAATAGAALWSDVDSLVKESKHLYEGSASESAEALRKLARELEEKL EGLMEFYKTI >ENSMUSP00000100772.2 pep:known chromosome:GRCm38:4:156203304:156205151:1 gene:ENSMUSG00000078349.2 transcript:ENSMUST00000105140.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW011738 description:expressed sequence AW011738 [Source:MGI Symbol;Acc:MGI:2140540] SQALAHAVRTGAPGTAWKAGRASQPSLAGPGPQTSGQRCQNPQSRARDNGTPDSSAALPK SPGSWRRGGERASVQAWLCSRPSLRPRAQEGCEWRPGRSPVPRPSVALTQSPRFRGKGNS LPCHPSCVPASTAPKLTKPRTADILEMSVKDICSAYTAR >ENSMUSP00000003687.6 pep:known chromosome:GRCm38:12:86056744:86079041:-1 gene:ENSMUSG00000021253.6 transcript:ENSMUST00000003687.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfb3 description:transforming growth factor, beta 3 [Source:MGI Symbol;Acc:MGI:98727] MKMHLQRALVVLALLNLATISLSLSTCTTLDFGHIKKKRVEAIRGQILSKLRLTSPPEPS VMTHVPYQVLALYNSTRELLEEMHGEREEGCTQETSESEYYAKEIHKFDMIQGLAEHNEL AVCPKGITSKVFRFNVSSVEKNGTNLFRAEFRVLRVPNPSSKRTEQRIELFQILRPDEHI AKQRYIGGKNLPTRGTAEWLSFDVTDTVREWLLRRESNLGLEISIHCPCHTFQPNGDILE NVHEVMEIKFKGVDNEDDHGRGDLGRLKKQKDHHNPHLILMMIPPHRLDSPGQGSQRKKR ALDTNYCFRNLEENCCVRPLYIDFRQDLGWKWVHEPKGYYANFCSGPCPYLRSADTTHST VLGLYNTLNPEASASPCCVPQDLEPLTILYYVGRTPKVEQLSNMVVKSCKCS >ENSMUSP00000078176.2 pep:known chromosome:GRCm38:9:39572260:39573314:-1 gene:ENSMUSG00000059366.2 transcript:ENSMUST00000079178.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr959 description:olfactory receptor 959 [Source:MGI Symbol;Acc:MGI:3030793] MRNRSVVTQFILLGIPNTEGLETMLFVLFLSFYIFTLMGNLLILLAIISSSRLHTPMYFF LCKLSIFDIFFPSVSSPKMLFYLSGNSRAISYAGCVSQLFFYHFLGCTECFLYTVMAYDR FVAICYPLRYSIIMSHRVCAILATGTSFFGCIQATFLTTLTFQLPYCGPNEVDYYFCDIP VMLKLACADTSALEMVGFISVGLMPLSCFLLILTSYSCIVCSILQIRSAEGRRRAFSTCS AHLTAILLFYMPVVLIYLRPTPSPWLDATVQVLNNLVTPMLNPLIYSLRNKEVKASLWKV LRKPAFAPEQL >ENSMUSP00000095595.4 pep:known chromosome:GRCm38:4:89306289:89311032:-1 gene:ENSMUSG00000073802.4 transcript:ENSMUST00000097981.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn2b description:cyclin-dependent kinase inhibitor 2B (p15, inhibits CDK4) [Source:MGI Symbol;Acc:MGI:104737] MLGGSSDAGLATAAARGQVETVRQLLEAGADPNALNRFGRRPIQVMMMGSAQVAELLLLH GAEPNCADPATLTRPVHDAAREGFLDTLVVLHRAGARLDVCDAWGRLPVDLAEEQGHRDI ARYLHAATGD >ENSMUSP00000034552.6 pep:known chromosome:GRCm38:9:51943307:51963533:-1 gene:ENSMUSG00000032051.8 transcript:ENSMUST00000034552.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdx1 description:ferredoxin 1 [Source:MGI Symbol;Acc:MGI:103224] MAAAPGARLLRAACASVPFRGLDRCRLLVCGTGAGTAISPWTPSPRLHAEAGPGRPLSVS ARARSSSEDKITVHFKNRDGETLTTKGKIGDSLLDVVIENNLDIDGFGACEGTLACSTCH LIFEDHIYEKLDAITDEENDMLDLAFGLTDRSRLGCQVCLTKAMDNMTVRVPEAVADVRQ SVDMSKNS >ENSMUSP00000065764.4 pep:known chromosome:GRCm38:13:100802649:100833427:-1 gene:ENSMUSG00000021629.9 transcript:ENSMUST00000067246.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a5 description:solute carrier family 30 (zinc transporter), member 5 [Source:MGI Symbol;Acc:MGI:1916298] MEEKYGGDARPGPGGGLGPVDVPSARLTRYILLLCLTKCLKAVGLFESYDLLKAVHIVQF IFILKLGTAFFMVLFQKPFSSGKPITKHQWIKIFKHAVAGCIISLLWFFGLTLCGPLRTL LLFEHSDIVVISLLSVLFTSSGGGPAKTRGAAFFIIAVICLLLFDNDDLMAKMAEHPEGH HDSALTHMLYTAIAFLGVADHKGGVLLLVLALCCKVGFHTASRKLSIDVGGAKRLQALSQ LVSVFLLCPWVIVLSVTTESKVESWFSLIMPFTTVIFFVMILDFYMDSVCSVKMDVSKCA RYGSFPIFISALLFGNFWTHPITDQLRAMNRAAHQESTEHVLSGGVVVSAVFFILSANIL SSPSKRGQKGTLIGYSPEGTPLYHFMGDAFQHSSQSVPRFIKDSLKQVLEESDSRQIFYF LCLNLLFTFVELFYGVLTNSLGLISDGFHMLFDCSALVMGLFAALMSRWKATRIFSYGYG RIEILSGFINGLFLIVIAFFVFMESVARLIDPPELDTNMLTPVSVGGLIVNLIGICAFSH AHSHGHGASQGNCHSDHGHSHHAHGHGHDHGHSHGFTGGGMNANMRGVFLHVLADTLGSI GVIVSTVLIEQFGWFIADPLCSLFIAVLIFLSVIPLIKDACQVLLLRLPPDHEKELHIAL EKIQKIEGLISYRDPHFWRHSASIVAGTIHIQVTSEVLEQRIVQQVTGILKDAGVNNLTI QVEKEAYFQHMSGLSTGFHDVLAMTKQMESLKYCKDGTYIM >ENSMUSP00000039537.1 pep:known chromosome:GRCm38:14:73519864:73548242:-1 gene:ENSMUSG00000033405.3 transcript:ENSMUST00000043813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt15 description:nudix (nucleoside diphosphate linked moiety X)-type motif 15 [Source:MGI Symbol;Acc:MGI:2443366] MAANAEPRRRPGVGVGVVVLSCEHPRCVLLGKRKGSFGAGSFQLPGGHLEFGETWEECAQ RETWEEAGLHLKNVCFASVVNSFVEKENYHYVTILMKGEVDMTHDSEPRNMEPEKNESWE WVPWEEFPPLDQLFWALRCLKEQGYDPFKEDLNHLEGYRGEHLERTTKTP >ENSMUSP00000025847.5 pep:known chromosome:GRCm38:19:5460607:5465052:1 gene:ENSMUSG00000024911.5 transcript:ENSMUST00000025847.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fibp description:fibroblast growth factor (acidic) intracellular binding protein [Source:MGI Symbol;Acc:MGI:1926233] MTSELDIFVGNTTLIDEDVYRLWLDGYSVNDAVALRVRSGILEQTGATTGVLQSDTMDHY RTFHMLERLLHAPPKLLHQLIFQIPPSRQTLLIERYYTFDEAFVREVLGKKLSKGTKKDL DDISTKTGITLKSCRRQFDNFKRVFKVVEEMRGSLVDNIQQHFLLSDRLARDYAAIVFFA NNRFETGKKKLQYLSFGDFAFCAELMIQNWTLGAVGEAPTDPDSQVDDMDVDLDKEFLQD LKELKVLVADKDLLDLHKSLVCTALRGKLGVFSEMETNFKNLSRGLVNVAAKLTHNKDVR DLFVDLVEKFVEPCRSDHWPLSDVRLFLSQYSASVHSLDGFRHQALWDRYMGTLRGCLLR LYHD >ENSMUSP00000136092.1 pep:known chromosome:GRCm38:17:40598594:40602618:1 gene:ENSMUSG00000095540.1 transcript:ENSMUST00000178498.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp4 description:exocrine gland secreted peptide 4 [Source:MGI Symbol;Acc:MGI:5295687] MLFLVTLLLPSMLTEGRVLTQTGKETTMSADHKTNHKADLEKNDSQGERNTQEAFEMILC AFNQEKMLLKDQANSGQHELKLSKFFTALSKCGAQNYQVDTVNYRIIPHIYPLHSPK >ENSMUSP00000037557.8 pep:known chromosome:GRCm38:14:99034544:99046136:-1 gene:ENSMUSG00000033186.8 transcript:ENSMUST00000042662.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mzt1 description:mitotic spindle organizing protein 1 [Source:MGI Symbol;Acc:MGI:1924039] MASGSGPGAAASANLNAVRETMDVLLEISRILNTGLDMETLSICVRLCEQGINPEALSSV IKELRKGTEALKAAENTS >ENSMUSP00000136376.1 pep:known chromosome:GRCm38:13:14630245:14638202:1 gene:ENSMUSG00000039182.5 transcript:ENSMUST00000178289.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW209491 description:expressed sequence AW209491 [Source:MGI Symbol;Acc:MGI:2145422] MSAYSMLSDRIVMAKELIKRAESLSRSRKGGIEGGAKLCSKLKAELKFLQKIEAGKVAIK ESHLQSTNLTHLKAIVESAENLEEVVSVLRVFGYTDTLGEKQTLVVDVVANGGHTWVKAI GRKAEALHNIWLGRGQYGDKSIIEQAEDFLQASRQQPVQYSNPHIVFAFYNSVSSPMADK LKDMGISVRGDIVAVNSLLNHPEEFQLSESESDDEGQELLQVTRVDRDNVLARVAFPTEI KVDVCKKVNLDITTLITYVSAMSYGGCHFVFKEKVLTEQAEQERKERVLPQLEAFMKDKE LFACESAVKDFQSILDTLGGPGERERADVLIKRISVVPDQPSERALRLVASSKINSRSLT IFGTGDTLKAITMTANSGFVRAANNQGVKFSVFIHQPRALTESKEAFAVPLPKDLTDDSA H >ENSMUSP00000041523.4 pep:known chromosome:GRCm38:13:14630258:14638202:1 gene:ENSMUSG00000039182.5 transcript:ENSMUST00000038690.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW209491 description:expressed sequence AW209491 [Source:MGI Symbol;Acc:MGI:2145422] MSAYSMLSDRIVMAKELIKRAESLSRSRKGGIEGGAKLCSKLKAELKFLQKIEAGKVAIK ESHLQSTNLTHLKAIVESAENLEEVVSVLRVFGYTDTLGEKQTLVVDVVANGGHTWVKAI GRKAEALHNIWLGRGQYGDKSIIEQAEDFLQASRQQPVQYSNPHIVFAFYNSVSSPMADK LKDMGISVRGDIVAVNSLLNHPEEFQLSESESDDEGQELLQVTRVDRDNVLARVAFPTEI KVDVCKKVNLDITTLITYVSAMSYGGCHFVFKEKVLTEQAEQERKERVLPQLEAFMKDKE LFACESAVKDFQSILDTLGGPGERERADVLIKRISVVPDQPSERALRLVASSKINSRSLT IFGTGDTLKAITMTANSGFVRAANNQGVKFSVFIHQPRALTESKEAFAVPLPKDLTDDSA H >ENSMUSP00000044437.9 pep:known chromosome:GRCm38:16:93711907:93810585:1 gene:ENSMUSG00000022946.8 transcript:ENSMUST00000045004.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dopey2 description:dopey family member 2 [Source:MGI Symbol;Acc:MGI:1917278] MDPEEQELLNDYRYRSYSSVIEKALRNFESSSEWADLISSLGKLNKALQSNLKYSLLPRR LIISKRLAQCLHPALPSGVHLKALETYEIIFKIVGTKWLAKDLFLYSCGLFPLLAYAAMS VRPVLLGLYEKYFLPLQKLLLPSLQAFLVGLLPGLEEGSEIYERTDALLLRLSVVVGREV FYAALWGSVLTSPSIRLPASLFVVNHISRDSPGKEQKCMLGTDYQLTVRSLCASLLDANV LVQRNNLEIILFFFPFYTCLDPEERAIPLLRRDVVHILSAATQTLLRRDMSLNRRLYAWL LGSDIKGNTIVPKSEISNSYEDQCSYFFDKYSKDLLVEALAEILHQKFLDADLEERHHAY LKPFRILVSLLDKPEIGPQVVENLFLEVIRAFYSYCHDVLGSDLKLSYTQSGNPLISTIK ENRHASEIVKTVNLLVSSLSSDFLWDYMARRFEACFRPVTQTTAIGEAISPPPTVSELCT LLVFLLDVIPLELYSEVQTQYLPQVLGCLLQPLAEEVEALSLPELTHALKTCFKVLSKVQ MPPSYLDLEPSSGNSSPVKGRNSGIAMETEAVVAGDEEPSFPPLKSEDSGIGLSASSPEL SEHLRVPRVSAERDDIWKKDGTMQATFLCIQELIANFASKNIFAASLTVSGEENKPEEPP GKGNKGQTQSTEHPGRKSSWDPKPITVPQFKQMLSDLFTVRGSPFKTRSSESLSSVPSSP HRKAATEWGVDQVVVELVGSKEDCREALAAACHLLLDCATFPVYLSEEETEQLCETLFQT PGASDCSFPPWLKSLMTICCCVSDCSLQNIAIATLLEVINHSQSLALVIEDKMKRYKTSG NNPFFGKLQMVTVPPIAPGILKVIAEKTDFYQRVARVLWNQLNKETREHHITCVELFYRL HCLAPTANICEDIICHALLDPDKGTRLEALFRFSVIWHLTREIQGSRVTSHNRSFDRSLF VVLDSLACTDGAISAAAQGWLVRALSLGDVARILEPMLLLLLQPKTQRTSIQCLKQENSA EDLHRWFNRKKPTCKEACGESEPQEGAPEERLPRGQFTTVDREAIWAEVEKEPEKCPPRS DLSEEDLPYYVDLPDRMTSGGGDSSEHTESADTSSGHTDSENTSTFSSPSHDLQDLSHEE NCCAPIPIGGRAYSKRAALLAAFQPESPRSNARLSLVRADSDKTQASESFSSDEEADVEL QAITTSRLLKQQREKQETVEALFKHILLYLQPYDSQRVLYAFSVLEAVLKTNPKEFIEAV SRTGIDTSSTAHLNLISNLLARHQEALIGQSFYGKLQTQAPNVCPHSLLIELLTYLCLSF LRSYYPCYLKVCHRDILGNRDVQVKSVEVLIRITAQLVSMAKSAEGKNTEFIHSLLQRCK VQEFVLLSLSASMYTSQKRYGLATADRGGRLLAEDSLFEESLINLGQDQIWSEHPLQIEL LKLLQALIVLEHHLGQGQEEAETQPALSREWQRALNFQQAIGAMQYVQPHPLTSQGLLVS AVVRGLQPAYGYGMHPAWVSLVTHSLPYFGKSLGWTVTPFVIQICKNLDDLVKQYESESV KFTISTTSKKENISPDYPLTLLEGLTTISHFCLLEQPTQHKKTAAVSDPINLRNAKNAIL EELPRIVNTMALIWNVLRKEETQKRPVDLLGATKGSSSVYFKTTKTIRQKILDLLNPLTG HLGVQLIAAVATVWNRKQARRHSKTKMVPVANTSQHTLVDLVCALSTLQTDSVLQLVKEV VKRPAQIKGDEKSPLVDIPVLQFCYAFIQRLSIPDLQEAFPSLLGVLKEAAQLNLAPPGY FLLLSMLNDFVTRTPNLESKKDQKDLQEITQRILEAVGTIAGSSLEQTSWLSRNLEVKAQ PQVSLEESDAEDDVHSAAEASTMVSASAPSVYSVQALSLLAEVLASLLDMVYRSDEKEKA VPLISRLLYYVFPYLRNHSAYNAPSFRAGAQLLSSLSGYAYTKRAWKKEVLELFLDPAFF QMDTSCVHWKSVIDHLLTHEKTMFKDLMNMQSSSLKLFSSFEQKAMLLKRQAFAVFSGEL DQYHLYLPLIQERLTDNLRVGQTSIVAGQMFLFFRVLLLRISPQHLTSLWPIMVSELIQT FIQLEEDLKEEDESRNSHKSNRIKAPVADGNGSAGRVLSPSDLTMYLSACKFLDTALAFP PDKMPLFQIYRWAFVPEVDTEHPAFLSELEENHQECRPHTVRILELLRSRYGEIGSSDEI TRKKEFPLLRQHSVSCIRQLIPFFTTLNCAFKTQSQLPADVPGTAAPECPVTENPRVLRQ LEECVEQDFLEHPEC >ENSMUSP00000067341.6 pep:known chromosome:GRCm38:9:57750711:57765860:-1 gene:ENSMUSG00000032316.7 transcript:ENSMUST00000065330.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clk3 description:CDC-like kinase 3 [Source:MGI Symbol;Acc:MGI:1098670] MPVLSARRKRLASTAGPRRGSGPSLAVRWVPPLGPEPSSDRGRAPMRPRGPTCSTTRRGA GRGPRLLPGPPGRDLHRCRPDPGGAGQSPRVCEFGARAVRPLGRVEPGPPTAASREGAVL PRAEARAGSGRGARSGEWGLAAAGAWETMHHCKRYRSPEPDPYLSYRWKRRRSYSREHEG RLRYPSRREPPPRRSRSRSHDRIPYQRRYREHRDSDTYRCEERSPSFGEDCYGSSRSRHR RRSRERAPYRTRKHAHHCHKRRTRSCSSASSRSQQSSKRSSRSVEDDKEGHLVCRIGDWL QERYEIVGNLGEGTFGKVVECLDHARGKSQVALKIIRNVGKYREAARLEINVLKKIKEKD KENKFLCVLMSDWFNFHGHMCIAFELLGKNTFEFLKENNFQPYPLPHVRHMAYQLCHALR FLHENQLTHTDLKPENILFVNSEFETLYNEHKSCEEKSVKNTSIRVADFGSATFDHEHHT TIVATRHYRPPEVILELGWAQPCDVWSIGCILFEYYRGFTLFQTHENREHLVMMEKILGP IPSHMIHRTRKQKYFYKGGLVWDENSSDGRYVKENCKPLKSYMLQDSLEHVQLFDLMRRM LEFDPAQRITLAEALLHPFFAGLTPEERSFHSSRNPSR >ENSMUSP00000130710.2 pep:known chromosome:GRCm38:17:46257851:46283026:-1 gene:ENSMUSG00000012296.15 transcript:ENSMUST00000164342.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tjap1 description:tight junction associated protein 1 [Source:MGI Symbol;Acc:MGI:1921344] MSSAAPAKKPYRKAPPEHRELRLEIPVSRLEQEESLTDAERMKLLQQENEELRKRLASAT RRTEALERELEIGQDCLELELGQSREELDKFKDKFRRLQNSYTASQRTNQELEDKLHALI KKAEMDRKTLDWEIVELTNKLLDARNTINKLEELNERYRLDCNLAVQLLKCNKSHFRNHK LADLPCELQDMVRKHLRSGQEVASPSPSPSSSLSPGAVVPTSVIARVLEKPESLLLNSAQ SGSAGRPLAEDVFVHVDMSGGDPASPPAPGSPNGECCSVSTAGGSPEEELPLPAFDKLSP YPTPSPPHPLYPGRKVIEFSEDKIRIPRNSPLPNCTYATRQAISLSLVEDGSERAHRSSV PSSPASAQGSPHHQPSPAPSALSAPASSASSEEDLLASWQRAFVDRTPPPAAVVQRTAFG RDSLPELQLHFSPGHSTAPPPSPHRERGLVLPAEPDSGFPQDEEEEMLNLPVSPEEERQS LLPDKEGTEEASGPSHVDGRAWPLPSPSRPQRSPKRMGVHHLHRKDSLTQAQEQGTVLS >ENSMUSP00000012440.6 pep:known chromosome:GRCm38:17:46257851:46283026:-1 gene:ENSMUSG00000012296.15 transcript:ENSMUST00000012440.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tjap1 description:tight junction associated protein 1 [Source:MGI Symbol;Acc:MGI:1921344] MSSAAPAKKPYRKAPPEHRELRLEIPVSRLEQEESLTDAERMKLLQQENEELRKRLASAT RRTEALERELEIGQDCLELELGQSREELDKFKDKFRRLQNSYTASQRTNQELEDKLHALI KKAEMDRKTLDWEIVELTNKLLDARNTINKLEELNERYRLDCNLAVQLLKCNKSHFRNHK LADLPCELQDMVRKHLRSGQEVASPSPSPSSSLSPGAVVPTSVIARVLEKPESLLLNSAQ SGSAGRPLAEDVFVHVDMSGGDPASPPAPGSPNGECCSVSTAGGSPEEELPLPAFDKLSP YPTPSPPHPLYPGRKVIEFSEDKIRIPRNSPLPNCTYATRQAISLSLVEDGSERAHRSSV PSSPASAQGSPHHQPSPAPSALSAPASSASSEEDLLASWQRAFVDRTPPPAAVVQRTAFG RDSLPELQLHFSPGHSTAPPPSPHRERGLVLPAEPDSGFPQDEEEEMLNLPVSPEEERQS LLPDKEGTEEASGPSHVDGRAWPLPSPSRPQRSPKRMGVHHLHRKDSLTQAQEQGTVLS >ENSMUSP00000137220.1 pep:known chromosome:GRCm38:17:46257851:46283026:-1 gene:ENSMUSG00000012296.15 transcript:ENSMUST00000180283.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tjap1 description:tight junction associated protein 1 [Source:MGI Symbol;Acc:MGI:1921344] MSSAAPAKKPYRKAPPEHRELRLEIPVSRLEQEESLTDAERMKLLQQENEELRKRLASAT RRTEALERELEIGQDCLELELGQSREELDKFKDKFRRLQNSYTASQRTNQELEDKLHALI KKAEMDRKTLDWEIVELTNKLLDARNTINKLEELNERYRLDCNLAVQLLKCNKSHFRNHK LADLPCELQDMVRKHLRSGQEVASPSPSPSSSLSPGAVVPTSVIARVLEKPESLLLNSAQ SGSAGRPLAEDVFVHVDMSGGDPASPPAPGSPNGECCSVSTAGGSPEEELPLPAFDKLSP YPTPSPPHPLYPGRKVIEFSEDKIRIPRNSPLPNCTYATRQAISLSLVEDGSERAHRSSV PSSPASAQGSPHHQPSPAPSALSAPASSASSEEDLLASWQRAFVDRTPPPAAVVQRTAFG RDSLPELQLHFSPGHSTAPPPSPHRERGLVLPAEPDSGFPQDEEEEMLNLPVSPEEERQS LLPDKEGTEEASGPSHVDGRAWPLPSPSRPQRSPKRMGVHHLHRKDSLTQAQEQGTVLS >ENSMUSP00000048595.7 pep:known chromosome:GRCm38:9:63602655:63641889:1 gene:ENSMUSG00000037257.7 transcript:ENSMUST00000041551.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aagab description:alpha- and gamma-adaptin binding protein [Source:MGI Symbol;Acc:MGI:1914189] MAAGVPCALVTSCSATFTGDRLVQHILGTEDAVVEATSSDAVRFYPWTIDNKYYSAEINL CVVPSKFLVTAEIAESVQAFVVYFDSTQKSGLDSVSSWLPLAEAWLAEVMILVCDRVCDD GINRQQAQEWCIKHGFELVELNPEELPEEDDDFPESTGVKRIVQALNANVWSNVVMKSDR SQGFSLLNSLAGANRRVASAESCHSEQQEPSPTAERTESLPGHHSGACGSAGAQVDSIVD PMLDLDIQELASLTTGGGDLENFERLFSKLKEMKDKAATLPHEQRKLHAEKVAKAFWMAI GGDRDEIEGLSSDDEH >ENSMUSP00000065770.4 pep:known chromosome:GRCm38:9:21368019:21405361:1 gene:ENSMUSG00000032178.13 transcript:ENSMUST00000067646.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilf3 description:interleukin enhancer binding factor 3 [Source:MGI Symbol;Acc:MGI:1339973] MRPMRIFVNDDRHVMAKHSSVYPTQEELEAVQNMVSHTERALKAVSDWIDEQEKGNSELS EAENMDTPPDDESKEGAGEQKAEHMTRTLRGVMRVGLVAKGLLLKGDLDLELVLLCKEKP TTALLDKVADNLAIQLTTVTEDKYEILQSVDDAAIVIKNTKEPPLSLTIHLTSPVVREEM EKVLAGETLSVNDPPDVLDRQKCLAALASLRHAKWFQARANGLKSCVIVIRVLRDLCTRV PTWGPLRGWPLELLCEKSIGTANRPMGAGEALRRVLECLASGIVMPDGSGIYDPCEKEAT DAIGHLDRQQREDITQSAQHALRLAAFGQLHKVLGMDPLPSKMPKKPKNENPVDYTVQIP PSTTYAITPMKRPMEEDGEEKSPSKKKKKIQKKEEKADPPQAMNALMRLNQLKPGLQYKL ISQTGPVHAPIFTMSVEVDGSNFEASGPSKKTAKLHVAVKVLQDMGLPTGAEGRDSSKGE DSAEESDGKPAIVAPPPVVEAVSNPSSVFPSDATTEQGPILTKHGKNPVMELNEKRRGLK YELISETGGSHDKRFVMEVEVDGQKFQGAGSNKKVAKAYAALAALEKLFPDTPLALEANK KKRTPVPVRGGPKFAAKPHNPGFGMGGPMHNEVPPPPNIRGRGRGGNIRGRGRGRGFGGA NHGGGYMNAGAGYGSYGYSSNSATAGYSQFYSNGGHSGNAGGGGSGGGGGSSSYSSYYQG DSYNSPVPPKHAGKKPLHGGQQKASYSSGYQSHQGQQQPYNQSQYSSYGTPQGKQKGYGH GQGSYSSYSNSYNSPGGGGGSDYSYDSKFNYSGSGGRSGGNSYGSSGSSSYNTGSHGGYG TGSGGSSSYQGKQGGYSSQSNYSSPGSSQSYSGPASSYQSSQGGYSRNTEHSMNYQYR >ENSMUSP00000111074.1 pep:known chromosome:GRCm38:9:21368019:21401803:1 gene:ENSMUSG00000032178.13 transcript:ENSMUST00000115414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilf3 description:interleukin enhancer binding factor 3 [Source:MGI Symbol;Acc:MGI:1339973] MRPMRIFVNDDRHVMAKHSSVYPTQEELEAVQNMVSHTERALKAVSDWIDEQEKGNSELS EAENMDTPPDDESKEGAGEQKAEHMTRTLRGVMRVGLVAKGLLLKGDLDLELVLLCKEKP TTALLDKVADNLAIQLTTVTEDKYEILQSVDDAAIVIKNTKEPPLSLTIHLTSPVVREEM EKVLAGETLSVNDPPDVLDRQKCLAALASLRHAKWFQARANGLKSCVIVIRVLRDLCTRV PTWGPLRGWPLELLCEKSIGTANRPMGAGEALRRVLECLASGIVMPDGSGIYDPCEKEAT DAIGHLDRQQREDITQSAQHALRLAAFGQLHKVLGMDPLPSKMPKKPKNENPVDYTVQIP PSTTYAITPMKRPMEEDGEEKSPSKKKKKIQKKEEKADPPQAMNALMRLNQLKPGLQYKL ISQTGPVHAPIFTMSVEVDGSNFEASGPSKKTAKLHVAVKVLQDMGLPTGAEGRDSSKGE DSAEESDGKPAIVAPPPVVEAVSNPSSVFPSDATTEQGPILTKHGKNPVMELNEKRRGLK YELISETGGSHDKRFVMEVEVDGQKFQGAGSNKKVAKAYAALAALEKLFPDTPLALEANK KKRTPVPVRGGPKFAAKPHNPGFGMGGPMHNEVPPPPNIRGRGRGGNIRGRGRGRGFGGA NHGGGYMNAGAGYGSYGYSSNSATAGYSDFFTDCYGYHDFGAS >ENSMUSP00000052404.7 pep:known chromosome:GRCm38:14:121952331:121965193:-1 gene:ENSMUSG00000051212.7 transcript:ENSMUST00000049872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr183 description:G protein-coupled receptor 183 [Source:MGI Symbol;Acc:MGI:2442034] MANNFTTPLATSHGNNCDLYAHHSTARVLMPLHYSLVFIIGLVGNLLALVVIVQNRKKIN STTLYSMNLVISDILFTTALPTRIAYYALGFDWRIGDALCRVTALVFYINTYAGVNFMTC LSIDRFFAVVHPLRYNKIKRIEYAKGVCLSVWILVFAQTLPLLLTPMSKEEGDKTTCMEY PNFEGTASLPWILLGACLLGYVLPITVILLCYSQICCKLFRTAKQNPLTEKSGVNKKALN TIILIIVVFILCFTPYHVAIIQHMIKMLCSPGALECGARHSFQISLHFTVCLMNFNCCMD PFIYFFACKGYKRKVMKMLKRQVSVSISSAVRSAPEENSREMTESQMMIHSKASNGR >ENSMUSP00000136275.1 pep:known chromosome:GRCm38:12:59081019:59081900:1 gene:ENSMUSG00000066487.3 transcript:ENSMUST00000085368.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5786 description:predicted pseudogene 5786 [Source:MGI Symbol;Acc:MGI:3645003] MADDAGAAGGPGGPGGPGLGGRGGFRGGFGSGLRGRGRGRGRGRGRGRGARGGKAEDKEW IPVTKLGRLVKDMKIKSLEEIYLFSLPIKESEIIDFFLGASLKDEVLKIMPVQKQTRAGQ RTRFKALVAIGDYNGHVGLGVKCSKEVATAIRGAIILAKLSIVPVRRGYWGNKIGKPHTV PCKVTGRCGSVLVRLIPAPRGTGIVSAPVPKKLLMMASIDDCYTSARGCTATLGNFAKAT SYAISKTYSYLTPDLWKETVFTKSPYQEFTDHLVKTHTRVSVQRTQAPAVATT >ENSMUSP00000006952.7 pep:known chromosome:GRCm38:7:46728017:46732543:-1 gene:ENSMUSG00000040017.7 transcript:ENSMUST00000006952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saa4 description:serum amyloid A 4 [Source:MGI Symbol;Acc:MGI:98224] MRLATVIVLCSLFLGVSGDGWYSFFREAVQGTWDLWRAYRDNLEANYQNADQYFYARGNY EAQQRGSGGIWAAKIISTSRKYFQGLLNRYYFGIRNHGLETLQATQKAEEWGRSGKNPNH FRPEGLPEKF >ENSMUSP00000094323.1 pep:known chromosome:GRCm38:18:40256962:40257684:1 gene:ENSMUSG00000071860.1 transcript:ENSMUST00000096572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2900055J20Rik description:RIKEN cDNA 2900055J20 gene [Source:MGI Symbol;Acc:MGI:1920251] MVSCLHCSHNSIRTAPGTVGPLSFGAQAPQASLAFPAAFPSHLAGTWASLCPCLQVGWLL QPWGAHLSVALPLGSLNASKSRYLLQLFQVQRIYLFYKVWISFFLFFLRKLWLNIYIFFL CSTSSTQHPLGSTFFPPDSF >ENSMUSP00000093965.3 pep:known chromosome:GRCm38:19:8898090:8916663:1 gene:ENSMUSG00000071650.5 transcript:ENSMUST00000096246.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ganab description:alpha glucosidase 2 alpha neutral subunit [Source:MGI Symbol;Acc:MGI:1097667] MAAIAAVAARRRRSWLSLVLAYLGVCLGITLAVDRSNFKTCDESSFCKRQRSIRPGLSPY RALLDTLQLGPDALTVHLIHEVTKVLLVLELQGLQKNMTRIRIDELEPRRPRYRVPDVLV ADPPTARLSVSGRDDNSVELTVAEGPYKIILTAQPFRLDLLEDRSLLLSVNARGLMAFEH QRAPRVPFSDKVSLALGSVWDKIKNLFSRQESKDPAEGNGAQPEATPGDGDKPEETQEKA EKDEPGAWEETFKTHSDSKPYGPTSVGLDFSLPGMEHVYGIPEHADSLRLKVTEGGEPYR LYNLDVFQYELNNPMALYGSVPVLLAHSFHRDLGIFWLNAAETWVDISSNTAGKTLFGKM LDYLQGSGETPQTDIRWMSESGIIDVFLMLGPSVFDVFRQYASLTGTQALPPLFSLGYHQ SRWNYRDEADVLEVDQGFDDHNMPCDVIWLDIEHADGKRYFTWDPTRFPQPLNMLEHLAS KRRKLVAIVDPHIKVDSGYRVHEELRNHGLYVKTRDGSDYEGWCWPGSASYPDFTNPRMR AWWSNMFSFDNYEGSAPNLYVWNDMNEPSVFNGPEVTMLKDAVHYGGWEHRDIHNIYGLY VHMATADGLIQRSGGIERPFVLSRAFFSGSQRFGAVWTGDNTAEWDHLKISIPMCLSLAL VGLSFCGADVGGFFKNPEPELLVRWYQMGAYQPFFRAHAHLDTGRREPWLLASQYQDAIR DALFQRYSLLPFWYTLFYQAHKEGFPVMRPLWVQYPEDMSTFSIEDQFMLGDALLIHPVS DAGAHGVQVYLPGQEEVWYDIQSYQKHHGPQTLYLPVTLSSIPVFQRGGTIVPRWMRVRR SSDCMKDDPITLFVALSPQGTAQGELFLDDGHTFNYQTRHEFLLRRFSFSGSTLVSSSAD PKGHLETPIWIERVVIMGAGKPAAVVLQTKGSPESRLSFQHDPETSVLILRKPGVSVASD WSIHLR >ENSMUSP00000086312.4 pep:known chromosome:GRCm38:14:75896937:76010865:-1 gene:ENSMUSG00000067995.4 transcript:ENSMUST00000088922.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2f2 description:general transcription factor IIF, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1915955] MAERGELDLTGAKQNTGVWLVKVPKYLSQQWAKASGRGEVGKLRIAKNQGRTEVSFTLNE DLANIHDIGGKPASVSAPREHPFVLQSVGGQTLTVFTESSSDKLSLEGIVVQRAECRPAA SENYMKLKRLQIEESSKPVRLSQQLDKVVTTNYKPVANHQYNIEYERKKKEDGKRARADK QHVLDMLFSAFEKHQYYNLKDLVDITKQPVGYLKEILKEIGIQNVKGIHKNTWELKPEYR HYQTEEKSD >ENSMUSP00000137467.1 pep:known chromosome:GRCm38:13:85126999:85127514:-1 gene:ENSMUSG00000096449.1 transcript:ENSMUST00000179230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4076 description:predicted gene 4076 [Source:MGI Symbol;Acc:MGI:3782251] AIEGPTPVSALLHSSTIVVAGIFLLVRFHPLTTNNNFILTTILCLGALTTLFTAICALTQ NDIKKIVAFSTSNQLGLIIVTLGINQPYLAFLHICTHAFFKAILFICSGSIIHSLADEQD IRKIGNITKTIPFTSSCLVIGSLALTGMPFLTGFYSKDLIIEAINTCNTNT >ENSMUSP00000131783.1 pep:known chromosome:GRCm38:3:68867917:68870266:-1 gene:ENSMUSG00000091272.1 transcript:ENSMUST00000166328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17641 description:predicted gene, 17641 [Source:MGI Symbol;Acc:MGI:4937275] MAKSTSRMSSPESLRTNQPTLSRKAWSGSLQQRTAVALAESQQRSRSPHASSAQPPPPRE GATNASANSSSSGSSGGGAGATDRPSAVTPGPHMAGADLRWRPGPRGSELRLAPALRWVP RAPPPAPRLPAARAVLTWPRWGGRRSPGRAEAAGGGCCSPLSPPREPVTSSRSAWAPGSR EKRAEPRR >ENSMUSP00000041814.8 pep:known chromosome:GRCm38:17:56614301:56626903:-1 gene:ENSMUSG00000041168.9 transcript:ENSMUST00000047226.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lonp1 description:lon peptidase 1, mitochondrial [Source:MGI Symbol;Acc:MGI:1921392] MAASTGYVRLWAAARCWVLRRPLLAVTGGRVPSASGSWLRRGCRACDMSAPWGGRVLPGG VQWRGLWDSGNRGGSDETSEGGAEDGATASTGEGPVVTALAPMTVPDVFPHLPLIAITRN PVFPRFIKIVEVKNKKLVELLRRKVRLAQPYVGVFLKRDDNNESDVVESLDEIYHTGTFA QIHEMQDLGDKLRMIVTGHRRIHISRQLEVEPEGLEPEAEKQKSRRKLKRGKKEVEDELG PKPQLEMVTEAATDTSKEVLMVEVENVAHEDFQVTEEVKALTAEIVKTIRDIIALNPLYR ESVLQMMQAGQRVVDNPIYLSDMGAALTGAESHELQDVLEETNILKRLYKALSLLKKEFE LSKLQQRLGREVEEKIKQTHRKYLLQEQLKIIKKELGLEKDDKDAIEEKFRERLRELVVP KHVMDVVDEELSKLALLDNHSSEFNVTRNYLDWLTSIPWGRQSDENLDLARAQAVLEEDH YGMEDVKKRVLEFIAVSQLRGSTQGKILCFHGPPGVGKTSIARSIARALGREYFRFSVGG MTDVAEIKGHRRTYVGAMPGKIIQCLKKTKTENPLVLIDEVDKIGRGYQGDPSSALLELL DPEQNANFLDHYLDVPVDLSKVLFICTANVIDTIPEPLRDRMEMINVSGYVAQEKLAIAE RYLVPQARTLCGLDESKAQLSAAVLTLLIKQYCRESGVRNLQKQVEKVLRKAAYKIVSGE AQTVQVTPENLQDFVGKPVFTVERMYEVTPPGVVMGLAWTAMGGSTLFVETSLRRPQPSG SKEDKDGSLEVTGQLGDVMKESARIAYTYARAFLMEQDPENDFLVTSHIHLHVPEGATPK DGPSAGCTIVTALLSLALGQPVLQNLAMTGEVSLTGKVLPVGGIKEKTIAAKRAGVTCII LPAENRKDYSDLAPFITEGLEVHFVEHYRDIFPIAFPRREHREALAVER >ENSMUSP00000024755.5 pep:known chromosome:GRCm38:17:44188572:44280168:1 gene:ENSMUSG00000023959.8 transcript:ENSMUST00000024755.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clic5 description:chloride intracellular channel 5 [Source:MGI Symbol;Acc:MGI:1917912] MTDSATTNGDDRDPEIELFVKAGIDGESIGNCPFSQRLFMILWLKGVVFNVTTVDLKRKP ADLHNLAPGTHPPFLTFNGDVKTDVNKIEEFLEETLTPEKYPKLAAKHRESNTAGIDIFS KFSAYIKNTKQQNNAALERGLTKALRKLDDYLNSPLPEEIDTNTHGDEKGSQRKFLDGDE LTLADCNLLPKLHVVKIVAKKYRNYDIPAEMTGLWRYLKNAYARDEFTNTCAADSEIELA YADVARRLSRS >ENSMUSP00000073558.3 pep:known chromosome:GRCm38:9:39426093:39427148:-1 gene:ENSMUSG00000058856.3 transcript:ENSMUST00000073895.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr952 description:olfactory receptor 952 [Source:MGI Symbol;Acc:MGI:3030786] MEELNHTPVAEFILAGLTENPELQLPLFLIFLSVYLFTVVGNLGMIVLILISSQLHTPMY YLLSSLSFIDCCQSTVIVPKMLLNFVTEKNVILYPECIAQFYFFCTFVVAKCHMLAAMAY DRYVAISNPLLYKVTMSYQVCLLMVAVVYGIGLISATAHTVFLLRLFFCKADKINHYFCD LFPLLELSCSSTFINEILALSFSAFNIIVPAMTIIGSYIFIIISILHIKSSGGRVKAFST YSSHILAVAIFFGSTTFMYLQPSSVSSMDQWKVSSVFYTIVVPVLNLMIYSLRNKDVKVA LKMLLQKMFPQNKE >ENSMUSP00000135902.1 pep:known chromosome:GRCm38:10:72309225:72311848:1 gene:ENSMUSG00000053830.3 transcript:ENSMUST00000061324.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9923 description:predicted pseudogene 9923 [Source:MGI Symbol;Acc:MGI:3704365] MARHRNVRGYNYDEDFEDDDLYGQSVEDDYCISPSTAAQFIYSRRDNPEEEYGYEDLRES SNSLLNHQLSEIDQARLYSCLDHMREVLGDAVPDDILTEAILKHKFDVQKALSVVLEQDG VQPWKEKSERAVCAGQPSKGVLFSSFGVSPQNVHHSYLQSENHLDSSSKPFDCCSSIAEY GSHSSSLEPRHYLLHRKEKHDRPQSEKELESCKLTKELALAHLIHDTPRDSCARQLSARL PPSDSGQSELLKSLGADVVRPPASACAPEEDFTFKGIRDLESLVREDVVTSGSLGIRSSS LPDFQSTPVQNVSASLSNPLLLSSPVENNSSINTEVEQSAKNNIVKTNSLPFSQRESPSL AELFEEHRGSSSGQCFTLSDLCNQSPASLGSLPLSQLANRSQSANGVSELTGSLSSLAFC KAAPTRDLENLSLSDLIAKTIGLDNSQINRDSFEFSLSETMRSPEVDSNIDLSVLIKTPE FVPKPVVDPSVAVTPDTKVLSSKLGKPSNSTKDSMKPKRASLARKAPLSLPWTKALAARP SAFASTLCLRYPLKSCKRRTLELYKTFLYSRQVQDVSDKEISPLAAITPFDFKSASPDDV VKANQKKAFTRE >ENSMUSP00000131802.1 pep:known chromosome:GRCm38:10:111125851:111126738:1 gene:ENSMUSG00000091086.1 transcript:ENSMUST00000171120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl6l description:ribosomal protein L6-like [Source:MGI Symbol;Acc:MGI:3647789] MAGEKAPDTKEKKPATKKAGSDAAASRPWAAKVAKKVHPKGKKPKKAKPHCSRNPVLVRG IGRYSRSAMYSRKALYKRKYSAAKTKVEKKKKKEKVLATVTKTVGGDKNGGTRVVKLRKM PRYYPTEDVPRKLLSHGKKPFSQHVRRLRSSITPRTLLIILAGRHRGKRVVFVKQLDSGL LLVTGPLVINRVPLRRTHQKFVIATSTKVDISDVKIPKHLTDAYFKKKQLRKPRHQEGEI FDTEKEKYEITEQRKADQKAVDLQILPKIKAVPQLQGYLRSQFSLTNGMYPTIKSF >ENSMUSP00000131457.2 pep:known chromosome:GRCm38:15:84923428:84942955:1 gene:ENSMUSG00000016619.9 transcript:ENSMUST00000165443.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup50 description:nucleoporin 50 [Source:MGI Symbol;Acc:MGI:1351502] MAKRVAEKELTDRNWDEEDEVEEMGTFSVASEEVMKNRAVKKAKRRNVGFESDSGGAFKG FKGLVVPSGGGGFSGFGGSGGKPLEGLTNGNSTDNATPFSNVKTAAEPKAAFGSFAVNGP TTLVDKKISSPKCNNSNQPPSSGPASSTACPGNAYHKQLAGLNCSVRDWIVKHVNTNPLC DLTPIFKDYERYLATIEKQLENGGGSSSESQTDRATAGMEPPSLFGSTKLQQESPFSFHG NKAEDTSEKVEFTAEKKSDAAQGATSASFSFGKKIESSALGSLSSGSLTGFSFSAGSSSL FGKDAAQSKAASSLFSAKASESPAGGGSSECRDGEEEENDEPPKVVVTEVKEEDAFYSKK CKLFYKKDNEFKEKGVGTLHLKPTATQKTQLLVRADTNLGNILLNVLIAPNMPCTRTGKN NVLIVCVPNPPLDEKQPTLPATMLIRVKTSEDADELHKILLEKKDA >ENSMUSP00000137773.1 pep:known chromosome:GRCm38:4:88721805:88722842:1 gene:ENSMUSG00000097078.1 transcript:ENSMUST00000181601.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26566 description:predicted gene, 26566 [Source:MGI Symbol;Acc:MGI:5477060] MSPLPRDTFMGEAGARCELQAADVTKRTLSGNPGAAEPRASKRSPQHRSGHTDVRPAATA ARTSNLPGERVSHHPGQLRDRFTSSGPGLSSFAAALRAGEGPSRPAHPGCRPPRLSHPNE LRPRPLRSPLCRRGYGLGLEQGLESRLGPRTAA >ENSMUSP00000147042.1 pep:known chromosome:GRCm38:7:102541938:102542870:1 gene:ENSMUSG00000073978.5 transcript:ENSMUST00000098228.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr548-ps1 description:olfactory receptor 548, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030382] MGTVNHTDISHTVFHLLGIPGLEDQHMWISIPFFISYITALLGNSLLIFIILTRPSLHEP MYLFLCMLAGADIVLSTSTVPQALSIFWFHAGEISLDRCITQLFFIHSTFISESGILLVM AFDRYIAICYPLRYITVLTKSLIGKIGVGIFLRSYGTIFPIIFLLKRLTFCRTNILPHTA CEHAGLSKYACNDLQVHIWYGFFVLMSTVNLDVVLIFVSYVLILRAVFHMPSQDARHKAL NTCGSHVCVIILFYGPGIFSTLNHQFGYKISTGVHVLLANVCILAPPMLNPIIYGVKTKQ IRDQVTHVLFL >ENSMUSP00000019683.3 pep:known chromosome:GRCm38:7:45082914:45092213:-1 gene:ENSMUSG00000019539.10 transcript:ENSMUST00000019683.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcn3 description:reticulocalbin 3, EF-hand calcium binding domain [Source:MGI Symbol;Acc:MGI:1277122] MMWRWSFLLLLLLLRHWALGKPSPDAGPHGQDRVHHGTPLSEAPHDDAHGNFQYDHEAFL GRDVAKEFDKLSPEESQARLGRIVDRMDLAGDSDGWVSLAELRAWIAHTQQRHIRDSVSA AWHTYDTDRDGRVGWEELRNATYGHYEPGEEFHDVEDAETYKKMLARDERRFRVADQDGD SMATREELTAFLHPEEFPHMRDIVVAETLEDLDKNKDGYVQVEEYIADLYSEEPGEEEPA WVQTERQQFREFRDLNKDGRLDGSEVGYWVLPPSQDQPLVEANHLLHESDTDKDGRLSKA EILSNWNMFVGSQATNYGEDLTRHHDEL >ENSMUSP00000128768.1 pep:known chromosome:GRCm38:11:31182376:31182462:-1 gene:ENSMUSG00000091195.1 transcript:ENSMUST00000165626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17332 description:predicted gene, 17332 [Source:MGI Symbol;Acc:MGI:4936966] ENPYECHQCGKAFVCYSHLQIHKRMHTGE >ENSMUSP00000026262.6 pep:known chromosome:GRCm38:9:59539667:59565105:1 gene:ENSMUSG00000025232.7 transcript:ENSMUST00000026262.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hexa description:hexosaminidase A [Source:MGI Symbol;Acc:MGI:96073] MAGCRLWVSLLLAAALACLATALWPWPQYIQTYHRRYTLYPNNFQFRYHVSSAAQAGCVV LDEAFRRYRNLLFGSGSWPRPSFSNKQQTLGKNILVVSVVTAECNEFPNLESVENYTLTI NDDQCLLASETVWGALRGLETFSQLVWKSAEGTFFINKTKIKDFPRFPHRGVLLDTSRHY LPLSSILDTLDVMAYNKFNVFHWHLVDDSSFPYESFTFPELTRKGSFNPVTHIYTAQDVK EVIEYARLRGIRVLAEFDTPGHTLSWGPGAPGLLTPCYSGSHLSGTFGPVNPSLNSTYDF MSTLFLEISSVFPDFYLHLGGDEVDFTCWKSNPNIQAFMKKKGFTDFKQLESFYIQTLLD IVSDYDKGYVVWQEVFDNKVKVRPDTIIQVWREEMPVEYMLEMQDITRAGFRALLSAPWY LNRVKYGPDWKDMYKVEPLAFHGTPEQKALVIGGEACMWGEYVDSTNLVPRLWPRAGAVA ERLWSSNLTTNIDFAFKRLSHFRCELVRRGIQAQPISVGYCEQEFEQT >ENSMUSP00000034342.5 pep:known chromosome:GRCm38:8:104250903:104263443:1 gene:ENSMUSG00000054400.15 transcript:ENSMUST00000034342.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cklf description:chemokine-like factor [Source:MGI Symbol;Acc:MGI:1922708] METPRPVVSRRPFCCTLKCFVKFLRLVVTVTSMIFFIVGQAPEPYIVITGFEVTVIFCFL VLYTCGLDKIMRSFFWPLLDVINSMVTALCMLIVSVLALIPETSTKTILGGVFGFLTVTC TIADCALMCQKLRFRPRQPYQKKSTNDIDDRE >ENSMUSP00000096064.4 pep:known chromosome:GRCm38:8:104250932:104264936:1 gene:ENSMUSG00000054400.15 transcript:ENSMUST00000098464.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cklf description:chemokine-like factor [Source:MGI Symbol;Acc:MGI:1922708] METPRPVVSRRPFCCTLKCFVKFLRLVVTVTSMIFFIVGQAPEPYIVITGFEVTVIFCFL VLYTCGLDKIMRSFFWPLLISFPCECRLVSCQLDPS >ENSMUSP00000128513.1 pep:known chromosome:GRCm38:X:152563334:152563969:1 gene:ENSMUSG00000091863.1 transcript:ENSMUST00000164764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34a description:claudin 34A [Source:MGI Symbol;Acc:MGI:3779684] MILFNKGSSRQVGGFAMSTIGWIICITSMGLPQWRVWYAKEPLISYPSMAFVGVWKTCIY HYDNVSNIRMCYHYSYHDTFIPLDIRVSQHLMLTTSLFLLVAKAAAVYALRNVYTGKQEK TVTYNAFGLSAVLNIIGSSFVFLAVLCNYFSIINKEGIAFPPSFHMPFYPHTQQVGIAMI LAFLAAILFLCSGVIFISYSFPLNIQVLPKI >ENSMUSP00000096197.2 pep:known chromosome:GRCm38:9:69757710:69760940:-1 gene:ENSMUSG00000059246.4 transcript:ENSMUST00000071281.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxb1 description:forkhead box B1 [Source:MGI Symbol;Acc:MGI:1927549] MPRPGRNTYSDQKPPYSYISLTAMAIQSSPEKMLPLSEIYKFIMDRFPYYRENTQRWQNS LRHNLSFNDCFIKIPRRPDQPGKGSFWALHPSCGDMFENGSFLRRRKRFKVLKSDHLAPS KPADAAQYLQQQAKLRLSALAASGTHLPQMPAAAYNLGGVAQPSGFKHPFAIENIIAREY KMPGGLAFSAMQPVPAAYPLPNQLTTMGSSLGTGWPHVYGSAGMIDSATPISMTSGDYSA YGVPLKPLCHAAGQTLPAIPVPIKPTPAAVPALPALPAPIPTLLSNSPPSLSPTSSQTAT SQSSPATPSETLTSPASALHSVAVH >ENSMUSP00000137622.1 pep:known chromosome:GRCm38:Y:90785586:90816464:1 gene:ENSMUSG00000096768.7 transcript:ENSMUST00000179077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erdr1 description:erythroid differentiation regulator 1 [Source:MGI Symbol;Acc:MGI:2384747] MSLPCPRDARTHGRTPQGRKPAPSAPPHPPQHTGHTRAPRPPRHTRHTRHTRQAGQAHAS AGPAPATQTRTSRRGQDVHPPRSRCMCHRPSPRWTDGRTRARRQRPPVTAAAHSDVTHES THVEADAVVKMSLPSPQDGRTDSTR >ENSMUSP00000136097.1 pep:known chromosome:GRCm38:Y:90785501:90816464:1 gene:ENSMUSG00000096768.7 transcript:ENSMUST00000177671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erdr1 description:erythroid differentiation regulator 1 [Source:MGI Symbol;Acc:MGI:2384747] MSLPCPRDARTHGRTDGLHKVGSLRRAHRRTHHTGHTRAPRPPRHTRHTRHTRQAGQAHA SAGPAPATQTRTSRRGQDVHPPRSRCMCHRPSPRWTDGRTHAVSVHRSLPPPTVMSPTKA HT >ENSMUSP00000137050.1 pep:known chromosome:GRCm38:Y:90785442:90816464:1 gene:ENSMUSG00000096768.7 transcript:ENSMUST00000177591.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erdr1 description:erythroid differentiation regulator 1 [Source:MGI Symbol;Acc:MGI:2384747] MPTGRTDARTHGRTPQGRKPAPSAPPHPPQHTGHTRAPRPPRHTRHTRHTRQAGQAHASA GPAPATQTRTSRRGQDVHPPRSRCMCHRPSPRWTDGRTRARRQRPPVTAAAHSDVTHEST HVEADAVVKMSLPSPQDGRTDSTRCACRRGRQDGAILTEEGARQRGLTAYRNPPQ >ENSMUSP00000136353.1 pep:known chromosome:GRCm38:Y:90784738:90816439:1 gene:ENSMUSG00000096768.7 transcript:ENSMUST00000179483.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erdr1 description:erythroid differentiation regulator 1 [Source:MGI Symbol;Acc:MGI:2384747] MLGLVPPPVTAAAPSDVTHTRRSGRRGQDVSAMPTGRTDARTHGRTPQGRKPAPSAPPHP PQHTGHTRAPRPPRHTRHTRHTRQAGQAHASAGPAPATQTRTSRRGQDVHPPRSRCMCHR PSPRWTDGRTRARRQRPPVTAAAHSDVTHESTHVEADAVVKMSLPSPQDGRTDSTRCACR RGRQDGAILTEEGARQRGLTAYRNVP >ENSMUSP00000095873.2 pep:known chromosome:GRCm38:9:121777607:121783819:1 gene:ENSMUSG00000074001.2 transcript:ENSMUST00000098272.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl40 description:kelch-like 40 [Source:MGI Symbol;Acc:MGI:1919580] MTLGLEQAEEQRLYQQTLLQDGLKDMLDHGKFLDCVVRVGEREFPCHRLVLAACSPYFRA RFLAEPDSAGEVRLEEVSPDVVSQVLHYLYTSEIALDEASVQDLFAAAHRFQIPSIFTIC VSFLQKRLCLANCLAVFRLGLLLDCARLAVAARDFICARFPLVARDNDFLGLSADELIAI ISSDGLNVEKEEAVFEAVMRWASSGDAEAQAERQRALPTVFESVRCRLLPRAFLETRVER HPLVRSQPELLRKVQMVKDAHEGRLTTLRKKKKEKGEQTARAKEANQGTEDTKAEDDEER VLPGILNDTLRFGMFLQDLIFMISEEGAVAYDPAANECYCASLSTQIPKNHVSLVTKENQ VFVAGGLFYNEDNKEDPMSAYFLQFDHLDSEWLGMPPLPSPRCLFGLGEALNAIYVVGGR ELKDSEDSLDSVLCYDRLSFKWGESDPLPYAVYGHTVLSHMDLVYVIGGKGKDRKCLNKM CVYDPKKFEWKELAPMQTARSLFGATVHDGRIFVAAGVTDTGLTSSSEVYSIADNKWTSF EAFPQERSSLSLVSLAGTLYALGGFATLETESGELVPTELNDIWRYNEDEKKWEGVLREI AYAAGATFLPVRLNVLRLTKM >ENSMUSP00000095714.2 pep:known chromosome:GRCm38:7:110057987:110061325:-1 gene:ENSMUSG00000073867.2 transcript:ENSMUST00000098110.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA474408 description:expressed sequence AA474408 [Source:MGI Symbol;Acc:MGI:3034216] MWNPWSSRWPCRSVYRRPDGPCLSIAECYFIGRLEEGRRAARTSHALPRGSRSRPRPSLW VSVPSAADAGDWVRNLPALPQSPGMTMPWEVELSASAEIPAEPSFRWEAKRMLLVCPWTC GRSGPLHALSLFCETEG >ENSMUSP00000042285.5 pep:known chromosome:GRCm38:12:8208107:8285758:1 gene:ENSMUSG00000037669.14 transcript:ENSMUST00000037383.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldah description:lipid droplet associated hydrolase [Source:MGI Symbol;Acc:MGI:1916082] MASEVEEQIPVREEFFLCGGVETKIIKCGPWTNLFEKQDVSKPKQLIFIIPGNPGYSAFY VPFAKALYTLMKSRFPVWIISHAGFSVTPKDKKVLAAPQEESNAQKIEDVYGLNGQIEHK IAFLRAHVPKDVKLILIGHSVGTYMTLHVMKRVPELPVAHAFLLFPTIERMSESPNGKFA TPFLCQFRYLLYATSYLLFKPCPEVIKSFIIQKLMGQMNIKLELPLTDILQPFCLANAAY LGSQEMVQIVKRDDDIIKEFLPKLKFYYGKTDGWCPVKYYEDMKKDFPEGNIYLCEKGIP HAFVLDFSQEMATIVAEWINNRPPRK >ENSMUSP00000129424.1 pep:known chromosome:GRCm38:12:8208127:8285758:1 gene:ENSMUSG00000037669.14 transcript:ENSMUST00000169104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldah description:lipid droplet associated hydrolase [Source:MGI Symbol;Acc:MGI:1916082] MASEVEEQIPVREEFFLCGGVETKIIKCGPWTNLFEKQDVSKPKQLIFIIPGNPGYSAFY VPFAKALYTLMKSRFPVWIISHAGFSVTPKDKKVLAAPQEESNAQKIEDVYGLNGQIEHK IAFLRAHVPKDVKLILIGHSVGTYMTLHVMKRVPELPVAHAFLLFPTIERMSESPNGKFA TPFLCQFRYLLYATSYLLFKPCPEVIKSFIIQKLMGQMNIKLELPLTDILQPFCLA >ENSMUSP00000096927.3 pep:known chromosome:GRCm38:10:97682102:97682416:-1 gene:ENSMUSG00000074776.3 transcript:ENSMUST00000099324.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10754 description:predicted gene 10754 [Source:MGI Symbol;Acc:MGI:3642925] MILSIEVLSSLRSYLISNIGNFDSFWCKGHIHISMEWNDFRMPEVVLMCKCPQGMFRNYT SKVQDTQYSLHLKINDHVYRYVMVLCNTFVRYFCERRNCFYFCF >ENSMUSP00000137005.1 pep:known chromosome:GRCm38:16:89010380:89010759:-1 gene:ENSMUSG00000095992.1 transcript:ENSMUST00000178913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap22-2 description:keratin associated protein 22-2 [Source:MGI Symbol;Acc:MGI:1915990] MCYGNYFGGLGYGYGSLGYGYGGLGYGYGGLGYGYGGLGYGYGCGCGSRYAYSTYRPCCY GRLSGFY >ENSMUSP00000052758.7 pep:known chromosome:GRCm38:12:4593008:4713950:1 gene:ENSMUSG00000020640.9 transcript:ENSMUST00000062580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itsn2 description:intersectin 2 [Source:MGI Symbol;Acc:MGI:1338049] MMAQFPTAMNGGPNMWAITSEERTKHDKQFDNLKPSGGYITGDQARTFFLQSGLPAPVLA EIWALSDLNKDGKMDQQEFSIAMKLIKLKLQGQQLPVVLPPIMKQPPMFSPLISARFGMG SMPNLSIHQPLPPVAPIATPLSSATSGTSIPPLMMPAPLVPSVSTSSLPNGTASLIQPLS IPYSSSTLPHASSYSLMMGGFGGASIQKAQSLIDLGSSSSTSSTASLSGNSPKTGTSEWA VPQPSRLKYRQKFNSLDKGMSGYLSGFQARNALLQSNLSQTQLATIWTLADIDGDGQLKA EEFILAMHLTDMAKAGQPLPLTLPPELVPPSFRGGKQVDSVNGTLPSYQKTQEEEPQKKL PVTFEDKRKANYERGNMELEKRRQVLMEQQQREAERKAQKEKEEWERKQRELQEQEWKKQ LELEKRLEKQRELERQREEERRKEIERREAAKQELERQRRLEWERLRRQELLSQKTREQE DIVRLSSRKKSLHLELEAVNGKHQQISGRLQDVQIRKQTQKTELEVLDKQCDLEIMEIKQ LQQELKEYQNKLIYLVPEKQLLNERIKNMQLSNTPDSGISLLHKKSSEKEELCQRLKEQL DALEKETASKLSEMDSFNNQLKELRESYNTQQLALEQLHKIKRDKLKEIERKRLEQIQKK KLEDEAARKAKQGKENLWRESIRKEEEEKQKRLQEEKSQDKTQEEERKAEAKQSETASAL VNYRALYPFEARNHDEMSFSSGDIIQVDEKTVGEPGWLYGSFQGKFGWFPCNYVEKVLSS EKALSPKKALLPPTVSLSATSTSSQPPASVTDYHNVSFSNLTVNTTWQQKSAFTRTVSPG SVSPIHGQGQAVENLKAQALCSWTAKKENHLNFSKHDVITVLEQQENWWFGEVHGGRGWF PKSYVKLIPGNEVQRGEPEALYAAVTKKPTSTAYPVTSTAYPVGEDYIALYSYSSVEPGD LTFTEGEEILVTQKDGEWWTGSIGERTGIFPSNYVRPKDQENFGNASKSGASNKKPEIAQ VTSAYAASGTEQLSLAPGQLILILKKNTSGWWQGELQARGKKRQKGWFPASHVKLLGPSS ERTMPTFHAVCQVIAMYDYMANNEDELNFSKGQLINVMNKDDPDWWQGETNGLTGLFPSN YVKMTTDSDPSQQWCADLQALDTMQPTERKRQGYIHELIQTEERYMDDLQLVIEVFQKRM AESGFLTEADMALIFVNWKELIMSNTKLLRALRVRKKTGGEKMPVQMIGDILAAELSHMQ AYIRFCSCQLNGATLLQQKTDEDTDFKEFLKKLASDPRCKGMPLSSFLLKPMQRITRYPL LIRSILENTPQSHVDHSSLKLALERAEELCSQVNEGVREKENSDRLEWIQAHVQCEGLAE QLIFNSLTNCLGPRKLLHSGKLYKTKSNKELHAFLFNDFLLLTYLVRQFAAASGHEKLFN SKSSAQFRMYKTPIFLNEVLVKLPTDPSSDEPVFHISHIDRVYTLRTDNINERTAWVQKI KGASEQYIDTEKKKREKAYQARSQKTSGIGRLMVHVIEATELKACKPNGKSNPYCEVSMG SQSYTTRTLQDTLNPKWNFNCQFFIKDLYQDVLCLTMFDRDQFSPDDFLGRTEVPVAKIR TEQESKGPTTRRLLLHEVPTGEVWVRFDLQLFEQKTLL >ENSMUSP00000133514.1 pep:known chromosome:GRCm38:14:54632329:54632781:1 gene:ENSMUSG00000092443.1 transcript:ENSMUST00000173083.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20726 description:predicted gene, 20726 [Source:MGI Symbol;Acc:MGI:5313035] PQRQQLHHIGGAGWPGLAWRSGPRQHVAAYRRAGCWRSGSIRVLQGCDVEGLRVLLSATL VIIGDDVLADVLLLQYHQGFLLLPPQGHEENHQGCTRAHRPRSQLVPMQGQMRKPGQCGQ SLQALGLTFGNPLFESCLCPLFAMCEKFTL >ENSMUSP00000022343.4 pep:known chromosome:GRCm38:14:20294690:20317575:1 gene:ENSMUSG00000021809.9 transcript:ENSMUST00000022343.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt13 description:nudix (nucleoside diphosphate linked moiety X)-type motif 13 [Source:MGI Symbol;Acc:MGI:1914975] MSLYCRTFFRRKSFGCYRLLSTYVTKARYLFELKEDEEACRKAQKTGVFYLFHDLDPLLQ ASGHRYLVPRLSRAELEGLLGKFGQDSQRIEDSVLVGCSEQQEAWFALDLGLKSASSSRA SLPKSEMEAELGGSFIKLRQALFQLNSVDSSLLFTAQALLRWHDGHQFCSKSGQPTQKNV AGSKRVCPSSKIIYYPQMAPVVITLVSDGARCLLARQSSFPKGLYSALAGFCDIGESVEE TVHREVAEEVGLEVENIQYSASQHWPFPNSSLMIACHATVKPGHTEIQVNLKELEAAAWF SLDEVTTALRRKGSLALQPSEASPLLLPPKLAIAHHLIKKWVETRSCSSLAA >ENSMUSP00000073534.5 pep:known chromosome:GRCm38:14:21676582:21714576:-1 gene:ENSMUSG00000063821.5 transcript:ENSMUST00000073870.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dupd1 description:dual specificity phosphatase and pro isomerase domain containing 1 [Source:MGI Symbol;Acc:MGI:3647127] MASGDTKTSVKHAHLCAERLSVREEEGDAEDYCTPGAFELERLFWKGSPQYTHVNEVWPR LHIGDEATALDRYGLQKAGFTHVLNAAHGRWNVDTGPDYYRDMAIEYHGVEADDVPTFDL SIFFYSAAAFIDSALRDDHSKILVHCAMGRSRSATLVLAYLMIHKNMTLVDAIQQVAKNR CVLPNRGFLKQLRELDKQLVKQRRQAGPGDSDLGL >ENSMUSP00000034467.5 pep:known chromosome:GRCm38:8:124897886:124903813:1 gene:ENSMUSG00000031986.5 transcript:ENSMUST00000034467.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sprtn description:SprT-like N-terminal domain [Source:MGI Symbol;Acc:MGI:2685351] MDEDLVVALRLQEEWDVQMARRAAAAREPVSLVDASWELVDPTPDLQALFLQFNDRFFWG QLEAVEVKWSVRMTLCAGICTYEGRGGMCSIRLSEPLLKLRPRKDLVETLLHEMIHAYLF VTNNDKDREGHGPEFCKHMHRINQLTGANITVYHTFHDEVDEYRRHWWRCNGPCQHRQPY YGYVKRATNRAPSVHDYWWADHQKTCGGTYIKIKEPENYSKKGRGKTKADKQPASAVENK DKLCRGEAQLLIPFSGKGYVLGDASTCPSAGKLNTSYMVNEAKGLSSQDHSVSGLRLNSN AEVKCEQNCLPKKPHLVSPLPTASHQSVLSSYFPRVSVANQKAFRNVNGSPVKNGTTGDG TKRPASGGSQRKVPPSRASLRNTSKVTAPASATVTSAAGTSATISREESGSEDQFLNKRP RLEDRTALDTIKEQTQSGGDLRSSSQPTAASAPQSLSSQRRLVNCPVCQGVVVESQINEH LDRCLEGNKTNLRPRRV >ENSMUSP00000037317.6 pep:known chromosome:GRCm38:19:11775119:11819403:-1 gene:ENSMUSG00000041488.15 transcript:ENSMUST00000047698.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx3 description:syntaxin 3 [Source:MGI Symbol;Acc:MGI:103077] MKDRLEQLKAKQLTQDDDTDEVEIAIDNTAFMDEFFSEIEETRLNIDKISEHVEEAKKLY SIILSAPIPEPKTKDDLEQLTTEIKKRANNVRNKLKSMEKHIEEDEVRSSADLRIRKSQH SVLSRKFVEVMTKYNEAQVDFRERSKGRIQRQLEITGKKTTDEELEEMLESGNPAIFTSG IIDSQISKQALSEIEGRHKDIVRLESSIKELHDMFMDIAMLVENQGAMIDRIENNMDQSV GFVERAVADTKKAVKYQSEARRKKIMIMICCIILAIILASTIGGIFA >ENSMUSP00000074776.6 pep:known chromosome:GRCm38:19:11775119:11818893:-1 gene:ENSMUSG00000041488.15 transcript:ENSMUST00000075304.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx3 description:syntaxin 3 [Source:MGI Symbol;Acc:MGI:103077] MKDRLEQLKAKQLTQDDDTDEVEIAIDNTAFMDEFFSENFHGILSYLLRLSSHETKDDLE QLTTEIKKRANNVRNKLKSMEKHIEEDEVRSSADLRIRKSQHSVLSRKFVEVMTKYNEAQ VDFRERSKGRIQRQLEITGKKTTDEELEEMLESGNPAIFTSGIIDSQISKQALSEIEGRH KDIVRLESSIKELHDMFMDIAMLVENQGAMIDRIENNMDQSVGFVERAVADTKKAVKYQS EARRKKIMIMICCIILAIILASTIGGIFA >ENSMUSP00000069529.4 pep:known chromosome:GRCm38:19:11777487:11819403:-1 gene:ENSMUSG00000041488.15 transcript:ENSMUST00000069285.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx3 description:syntaxin 3 [Source:MGI Symbol;Acc:MGI:103077] MKDRLEQLKAKQLTQDDDTDEVEIAIDNTAFMDEFFSEIEETRLNIDKISEHVEEAKKLY SIILSAPIPEPKTKDDLEQLTTEIKKRANNVRNKLKSMEKHIEEDEVRSSADLRIRKSQH SVLSRKFVEVMTKYNEAQVDFRERSKGRIQRQLEITGKKTTDEELEEMLESGNPAIFTSG IIDSQISKQALSEIEGRHKDIVRLESSIKELHDMFMDIAMLVENQGEMLDNIELNVMHTV DHVEKARDETKRAMKYQGQARKKLIIIIVVVVVLLGILALIIGLSVGLK >ENSMUSP00000025211.4 pep:known chromosome:GRCm38:18:35647266:35649367:-1 gene:ENSMUSG00000024353.4 transcript:ENSMUST00000025211.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mzb1 description:marginal zone B and B1 cell-specific protein 1 [Source:MGI Symbol;Acc:MGI:1917066] MRLPLPLLLLFGCRAILGSAGDRVSLSASAPTLDDEEKYSAHMPAHLRCDACRAVAFQMG QRLAKAEAKSHTPDASGLQELSESTYTDVLDQTCSQNWQSYGVHEVNQMKRLTGPGLSKG PEPRISVMISGGPWPNRLSKTCFHYLGEFGEDQIYEAYRQGQANLEALLCGGTHGPCSQE ILAQREEL >ENSMUSP00000020343.7 pep:known chromosome:GRCm38:10:115289863:115315591:-1 gene:ENSMUSG00000020132.9 transcript:ENSMUST00000020343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab21 description:RAB21, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:894308] MAAAGGGAAAAAGRAYSFKVVLLGEGCVGKTSLVLRYCENKFNDKHITTLQASFLTKKLN IGGKRVNLAIWDTAGQERFHALGPIYYRDSNGAILVYDVTDEDSFQKVKNWVKELRKMLG NEICLCIVGNKIDLEKERHVSIQEAESYAESVGAKHYHTSAKQNKGIEELFLDLCKRMIE TAQVDERAKGNGSSQAGAARRGVQIIDDEPQAQSSGGCCSSG >ENSMUSP00000022535.7 pep:known chromosome:GRCm38:14:30479565:30527056:1 gene:ENSMUSG00000021962.8 transcript:ENSMUST00000022535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcp1a description:decapping mRNA 1A [Source:MGI Symbol;Acc:MGI:1923151] MALSCSTVRPRRRGSALRSKMELLSRAEQEMSLAALKQHDPYITSIADLTGQVALYTFCP KANQWEKTDIEGTLFVYRRSASPYHGFTIVNRLNMHNLVEPVNKDLEFQLHEPFLLYRNA SLSIYSIWFYDKNDCHRIAKLMADVVEEETRRSQQAARDKQSPSQANGCSDQRPIDILEM LSRAKDEYERNQMGGSNISSPGLQPSTQLSNLGSTETLEETPSGSQDKSAPSGHKHLTVE ELFGTSLPKEQPTAMGLESEDTDKLLGDASQKEPSSFLPFPFEQSGGAPQSENLGIHSAA HHTVQPEVSTPVLITPASIAQSGDKHPPSYTLPLSPVLSPTLPAEAPTTQVPHLPRNSTM IQAVKTTPRQKSPLLNQPVPELSHSSLVASQSPFRAPVSLANPAGTALPSVDLLQKLRLT PQHDQIQAQPLGKGTMAPSFSSAAGQLATPESFIEPSSKTAAARAAVSASLSNMVLAPTL QSMQQNQDPEVFSQPKVLPSAIPIAGSPLVPATTTAVSSVLLSPSVFQQTVPRAADLERK ASSPSPLTVGTAESQRKPSIILSKSQLQDTLIHLIKNDSSFLSTLHAVYLQVLTKNKDNH NL >ENSMUSP00000049601.2 pep:known chromosome:GRCm38:7:105203359:105204376:-1 gene:ENSMUSG00000048425.2 transcript:ENSMUST00000050157.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr686 description:olfactory receptor 686 [Source:MGI Symbol;Acc:MGI:3030520] MIFSNNSHLLPHTFFLTGIPGLTAAHVWISLPFCFMFVLSLTGNAVLLSLIWIEHRLHQP MFLFLAMLSFVDLVLSLSTLPKMLAIFWFGATAISSYACLSQMFLIHAFSAMESGVLVAM ALDRFVAICNPLHYATILTPEVVAKIGGLVALRGVGLTIFFPSLACRLSYCGSHTIAYTY CEHMSVVKLACGAITVDSLYAFAVAIFLGVGDMAFIAYSYGQIVKTVMRFPSPEARGKAG STCTAHVCVILFFYGPGFLSVVMQRFGPSTASAAKVILANLYLLFPPALDPIVYGVKTKQ IRECLFTIIGSKKIEPT >ENSMUSP00000058132.8 pep:known chromosome:GRCm38:18:33437019:33463634:-1 gene:ENSMUSG00000042834.14 transcript:ENSMUST00000051087.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrep description:neuronal regeneration related protein [Source:MGI Symbol;Acc:MGI:99444] MVYYPELLVWVSQEPFAYKEMEGGLIKGRLPVPKEVNRKKMEETGAASLTPPGSREFTSP ATSYLHPF >ENSMUSP00000127787.1 pep:known chromosome:GRCm38:18:33437019:33463747:-1 gene:ENSMUSG00000042834.14 transcript:ENSMUST00000171533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrep description:neuronal regeneration related protein [Source:MGI Symbol;Acc:MGI:99444] MVYYPELLVWVSQEPFAYKEMEGGLIKGRLPVPKEVNRKKMEETGAASLTPPGSREFTSP ATSYLHPF >ENSMUSP00000130297.1 pep:known chromosome:GRCm38:18:33437019:33464029:-1 gene:ENSMUSG00000042834.14 transcript:ENSMUST00000168890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrep description:neuronal regeneration related protein [Source:MGI Symbol;Acc:MGI:99444] MVYYPELLVWVSQEPFAYKEMEGGLIKGRLPVPKEVNRKKMEETGAASLTPPGSREFTSP ATSYLHPF >ENSMUSP00000096518.2 pep:known chromosome:GRCm38:8:13835233:13838089:-1 gene:ENSMUSG00000057116.4 transcript:ENSMUST00000071308.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AF366264 description:cDNA sequence AF366264 [Source:MGI Symbol;Acc:MGI:2667157] MWQPKLPGLEMKPEASAIGQTRKYHDESGTEIESEALGQEPKRKCQDGSGMVFKEPGKAQ KKTSQEPQDLELPREQPSKGQVRKPQGKTPKQLKPLELTEGPPEQAVTGRKPADGGKGHK RPYSVMEENEQSPQKEKYGRLLQHLQCDQDVRSDQHRPHPILTNTWKIKGGESGDSHGSE RIQSNREPSTVAALKECLSPEGREKCCSEEKCVTEKKGCVKGEGRRGNSLEPDLPETWAQ IILDSGRGNSLLPNKVAVLAAEKKPITDQGKGRKMDQILDISEDMEKEIENALGPGPQEE ILSSRFKLQISRGDIQTLENGQWLNDEVINFYMNLLVERNENQGYPALHVFSTFFYPKLK HSGYSSVKRWTRGINLFEKELILVPIHQRVHWSLVVIDLRKRSIVYLDSMGQTGKSICET IFQYLQNESKTRRNIELDPLEWKQCSVTSEEIPLQLNGSDCGVFTCKYADYIARDQPVTF SQQHMPTFRKRMVWEILHSQLL >ENSMUSP00000026561.8 pep:known chromosome:GRCm38:7:140898943:140900446:-1 gene:ENSMUSG00000025488.8 transcript:ENSMUST00000026561.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox8b description:cytochrome c oxidase subunit VIIIb [Source:MGI Symbol;Acc:MGI:105958] MPRLPPILRLLQAPAKFTVVPKAHVSAKPAKTPTSAVEQAVGISAIVVGFMVPAGWVLAH LESYKKSSAA >ENSMUSP00000136523.1 pep:known chromosome:GRCm38:8:20567716:20581999:1 gene:ENSMUSG00000095403.7 transcript:ENSMUST00000178995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21092 description:predicted gene, 21092 [Source:MGI Symbol;Acc:MGI:5434447] MLQRSKRGWVWNQFFVIDEYIGPDPVLVGRLHSDIDAGDGNIKYILSGEGAGTIFVIDDK SGNIHATKTLDREERAQYTLMAQAVNRDTNKPLGPPSEFIDKDQDINDNPPEFLREIYHT NVPERSNVGRNISYPSDSL >ENSMUSP00000137514.1 pep:known chromosome:GRCm38:8:20550331:20581999:1 gene:ENSMUSG00000095403.7 transcript:ENSMUST00000178451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21092 description:predicted gene, 21092 [Source:MGI Symbol;Acc:MGI:5434447] MKENYCLQAALVCLLHSDIDAGDGNIKYILSGEGAGTIFVIDDKSGNIHATKTLDREERA QYTLMAQAVNRDTNKPLGPPSEFIDKDQDINDNPPEFLREIYHTNVPERSNVGRNISYVT ASDADNPTYGKSAKLVYSILEGQPYFSVEAQTGIIRTALPNMDREAKEEYHVVIQAKDMG GHMGGLSGTTRVTITLTDVNDNSAKFPKSKESKLVFDNDHCSARASSFLSLLIYPAFKRK SLEIQESFS >ENSMUSP00000024946.5 pep:known chromosome:GRCm38:17:24426683:24439316:1 gene:ENSMUSG00000024132.5 transcript:ENSMUST00000024946.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eci1 description:enoyl-Coenzyme A delta isomerase 1 [Source:MGI Symbol;Acc:MGI:94871] MALAAARRLLLHAGSRLGRREAVDGARRFANKRVLVETEGPAGVAVMKLRNPPVNSLSLE CLTEFTISLEKLENDKSIRGVILTSECPGIFSAGLDLLEMYGRNPAHYAEYWKNVQELWL RLYTSNMILVSAINGASPAGGCLLALCCDYRVMADNPKYTIGLNESLLGIVAPFWFKDMY VNTIGHREAERALQLGTLFSPAEALKVGVVDEVVPEDQVHSKARSVMTKWLAIPDHSRQL TKNMMRKATADNLIKQREADIQNFTSFISKDSIQKSLHMYLEKLKQKKG >ENSMUSP00000021574.6 pep:known chromosome:GRCm38:13:8972071:8996017:-1 gene:ENSMUSG00000021149.7 transcript:ENSMUST00000021574.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp4 description:GTP binding protein 4 [Source:MGI Symbol;Acc:MGI:1916487] MAHYNFKKITVVPSAKDFIDLTLSKTQRKTPTVIHKHYQIHRIRHFYMRKVKFTQQNYHD RLSQILSDFPKLDDIHPFYADLMNILYDKDHYKLALGQINIAKNLVDNVAKDYVRLMKYG DSLYRCKQLKRAALGRMCTIIKRQRQSLEYLEQVRQHLSRLPTIDPNTRTLLLCGYPNVG KSSFINKVTRADVDVQPYAFTTKSLFVGHMDYKYLRWQVVDTPGILDHPLEDRNTIEMQA ITALAHLRAAVLYVMDLSEQCGHGLKEQLELFQNIRPLFINKPLIVVANKCDVKRIAELS EEDQKIFLDLQAEGFPVIETSTLTEEGVIQVKTEACDRLLAHRVETKMKGNKVNEVLNRL HLAVPNKRDDKERPPFIPEGVIARRKRMEIEEPKKKRERDLELEMGDDYILDLQKYWDLM NSSEKYDKIPEIWEGHNVADYIDPAIMKKLEELEKEEELRTAAGEYDSDSESEDEEMMEI RQLAKQIREKKKLKILQSKEKNKQGPRMPRTAKKVQRADLENEMRSLGVDMDDKNNAHYA VQARRSRSVTRKRKREESVPPSSTARSRSCSRTPRDVSGLRDVKMVKKAKTMMKKAQKKM NRLGKKGEADRHVFDMKPKHLLSGKRKAGKKDRR >ENSMUSP00000020185.3 pep:known chromosome:GRCm38:10:19712587:19760053:1 gene:ENSMUSG00000020007.3 transcript:ENSMUST00000020185.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il20ra description:interleukin 20 receptor, alpha [Source:MGI Symbol;Acc:MGI:3605069] MHTPGTPAPGHPDPPPLLLLTLLLLLAASGRAVPCVFCGLPKPTNITFLSINMKNVLHWN PPESLHGVEVTYTVQYFIYGQKKWLNASKCGSINRTYCDLSVETSDYEHQFYAKVKAIWE ARCSEWAETERFYPFLETQVSPPEVALTTGEKSISIALTAPEKWKRNPQDHTVSMQQIYP NLKYNVSVYNTKSRRTWSQCVTNSTLVLSWLEPNTLYCVHVESLVPGPPRLPMPSQKQCI STLEVQTSAWKAKVIFWYVFLTSVIVFLFSAIGYLVYRYIHVGKEKHPANLVLIYRNEIG TRVFEPTETITLNFITFSMLDDTKISPKDMNLLDKSSDDISVNDPEHNEAWEPHWEEVEG QHLGCSSHLMDAVCGAEQRDGDTSLTQHGWLNSTIPTGETDTEPQYKVLSDFYGEGEIQL SCEPEEAARTEKISEPLVTSANLDPQLEDLHHLGQEHTVSEDGPEEETSITVVDWDPQTG RLCIPSLPIFGRDPENYGHYERDQLLEGGLLSRLYENQAPDKPEKENENCLTRFMEEWGL HVQMES >ENSMUSP00000071623.4 pep:known chromosome:GRCm38:13:59771879:59823147:-1 gene:ENSMUSG00000035248.8 transcript:ENSMUST00000071703.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc6 description:zinc finger, CCHC domain containing 6 [Source:MGI Symbol;Acc:MGI:2387179] MGDTAKPYFVKRTKDRGIIDDDDFRRGHPQQDYLIMDDYAKGHSSKMEKGLPKKKISPGN YGNTPRKGLYGVSSNPYAFKNPIYSQPAWMNDNHKDQNKKWLSDELAGNADSWREFKPGP RIPVISRSRKESFQESDDAYRWQEGRGCRAVRRLFQKDLSSLEAMSEMEAGSPENKKQRS RPRKPRRTRTEDSEQDGDLDGPVIDESVLSTKELLGLQQAEERLKRDCIDRLKRRPRNCP TAKYTCKLCDALIDSIPFAHKHIKEKRHKKNLKEKQEEELLTTLPPPAPSQIHAVGSAID RVVQEFGLHSENLDQRLEIKRVMESVFRHKLPDCSLRLYGSSCSRLGFRDSDVNIDVQFP AVMSQPDVLLLVQECLKNSDSFIDVDADFHARVPVVVCRDKQSGLLCKVSAGNENAWLTT KHLTALGKLEPRLVPLVIAFRYWAKLCSIDRPEEGGLPPYVFALMAVFFLQQRKEPLLPV YLGSWIEEFSLNKLGNFSLKDVEKDSVVWEYTDNSTGDTSSAKEEAPKETAAKKGQVPLT FNIKHQPSVPVGQLWVELLRFYALEFNLADLVISIRVKELISRESKDWPKKRIAIEDPYS VKRNVARTLNNQPVFEYILHCLRTTYKYFALPHKVTKPNLTKPPSPVTCVSDPYREAKNG GPEPQATNIDKLGNAAVAQDPGVQTSGDCRAQLVTLKNTTEEVGSPAKEKTGGVHIPAHQ ESSGCVQAEVSCEGLEDATAELPETGSDNEEVRRKTKHPLSTDDQGLSSSKHPELQNCGS LCGLQADNTLELVAEECNSCASLDNKAEVNEERIEGAEELEEAAALSCFSPSVQSRTSAA MHFDDEEEEEEEEEEEEPRLSINLTEDEEGVANEHQVDSRYAGSGEEDALSEEDDLAEPA KGEDTGECGENVGGTLLIDLNRITLKEESFPEEDLPGDQSEFFYEFRKLTFTKGKSPTVV CSLCKREGHLKKDCPEDFKRVQLEPLPPLTPKFSNILDQVCVQCYKDFSPTIVEDQAREH IRQNLESFIKQDFPGTKLSLFGSSKNGFGFKQSDLDVCMTINGHETAEGLDCVRTIEELA RVLRKHSGLRNILPITTAKVPIVKFFHLRSGLEVDISLYNTLALHNTRLLSAYSAIDPRV KYLCYTMKVFTKMCDIGDASRGSLSSYAYTLMVLYFLQQRSPPVIPVLQEIYKGEKKPEI LVDGWNIYFFDQINELPTCWPEYGKNTEPVGQLWLGLLRFYTEEFDFKEHVISIRRKSLL TTFKKQWTSKYIVIEDPFDLNHNLGAGLSRKMTNFIMKAFINGRRVFGIPVKGFPKDNPS KLAYFFDPDVLTEGELAPNDRCCRICGKIGHFMKDCPMRRKVRRRRDQEDTPNQRYSESK EKRSKEDKEIQNKYTEKEVSTKEDKLTPCAAAKAKPVRAAVDLGREKLLRTPTEKWKRQD DRDSREKRCFICGREGHIKKECPQFKGSPGSAFT >ENSMUSP00000137537.1 pep:known chromosome:GRCm38:19:5456789:5457397:-1 gene:ENSMUSG00000095098.1 transcript:ENSMUST00000179549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc85b description:coiled-coil domain containing 85B [Source:MGI Symbol;Acc:MGI:2147607] MEAEAGGLEELTDEEMAALGKEELVRRLRREEAARLAALVQRGRLMQEVNRQLQGHLGEI RELKQLNRRLQAENRELRDLCCFLDSERQRGRRAARQWQLFGTQASRAVREDLGGCWQKL AELEGRQEELLRENLALKELCLALGEEWGPRGGPGGAVGSGAGPTPELALPPCGPRDLGD GSSSTGSVGSPDQLPLACSPDD >ENSMUSP00000128745.1 pep:known chromosome:GRCm38:16:22921745:22939757:1 gene:ENSMUSG00000022871.12 transcript:ENSMUST00000167399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fetub description:fetuin beta [Source:MGI Symbol;Acc:MGI:1890221] MGLLRLLVLCTLAACCMARSPPAPPLPQRPLSPLHPLGCNDSEVLAVAGFALQNINRDQK DGYMLSLNRVHDVREHYQEDMGSLFYLTLDVLETDCHVLSRKAQKDCKPRMFYESVYGQC KAMFHINKPRRVLYLPAYNCTLRPVSKRKTHTTCPDCPSPIDLSNPSALEAATESLAKFN SKSPSKKYELVKVTKAMNQWVSGPAYYVEYLIKEAPCTKSQASCSLQHSDSEPVGICQGS TVQSSLRHVPLIQPVEKSVTVTCEFFESQAQVPGDENPAVTQGPQKLPQKNTAPTSSPSV TAPRGSIQHLPELDDEKPEESKGGSPEEAFPVQLDLTTNPQGDTLDVSFLYLEPGDKKLV VLPFPGKEQRSAECPGPEKENNPLVLPP >ENSMUSP00000112324.2 pep:known chromosome:GRCm38:16:22920222:22939766:1 gene:ENSMUSG00000022871.12 transcript:ENSMUST00000116625.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fetub description:fetuin beta [Source:MGI Symbol;Acc:MGI:1890221] MGSLFYLTLDVLETDCHVLSRKAQKDCKPRMFYESVYGQCKAMFHINKPRRVLYLPAYNC TLRPVSKRKTHTTCPDCPSPIDLSNPSALEAATESLAKFNSKSPSKKYELVKVTKAMNQW VSGPAYYVEYLIKEAPCTKSQASCSLQHSDSEPVGICQGSTVQSSLRHVPLIQPVEKSVT VTCEFFESQAQVPGDENPAVTQGPQKLPQKNTAPTSSPSVTAPRGSIQHLPELDDEKPEE SKGGSPEEAFPVQLDLTTNPQGDTLDVSFLYLEPGDKKLVVLPFPGKEQRSAECPGPEKE NNPLVLPP >ENSMUSP00000023587.4 pep:known chromosome:GRCm38:16:22920222:22939766:1 gene:ENSMUSG00000022871.12 transcript:ENSMUST00000023587.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fetub description:fetuin beta [Source:MGI Symbol;Acc:MGI:1890221] MGLLRLLVLCTLAACCMARSPPAPPLPQRPLSPLHPLGCNDSEVLAVAGFALQNINRDQK DGYMLSLNRVHDVREHYQEDMGSLFYLTLDVLETDCHVLSRKAQKDCKPRMFYESVYGQC KAMFHINKPRRVLYLPAYNCTLRPVSKRKTHTTCPDCPSPIDLSNPSALEAATESLAKFN SKSPSKKYELVKVTKAMNQWVSGPAYYVEYLIKEAPCTKSQASCSLQHSDSEPVGICQGS TVQSSLRHVPLIQPVEKSVTVTCEFFESQAQVPGDENPAVTQGPQKLPQKNTAPTSSPSV TAPRGSIQHLPELDDEKPEESKGGSPEEAFPVQLDLTTNPQGDTLDVSFLYLEPGDKKLV VLPFPGKEQRSAECPGPEKENNPLVLPP >ENSMUSP00000128989.1 pep:known chromosome:GRCm38:16:22918382:22939766:1 gene:ENSMUSG00000022871.12 transcript:ENSMUST00000170805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fetub description:fetuin beta [Source:MGI Symbol;Acc:MGI:1890221] MGLLRLLVLCTLAACCMARSPPAPPLPQRPLSPLHPLGCNDSEVLAVAGFALQNINRDQK DGYMLSLNRVHDVREHYQEDMGSLFYLTLDVLETDCHVLSRKAQKDCKPRMFYESVYGQC KAMFHINKPRRVLYLPAYNCTLRPVSKRKTHTTCPDCPSPIDLSNPSALEAATESLAKFN SKSPSKKYELVKVTKAMNQWVSGPAYYVEYLIKEAPCTKSQASCSLQHSDSEPVGICQGS TVQSSLRHVPLIQPVEKSVTVTCEFFESQAQVPGDENPAVTQGPQKLPQKNTAPTSSPSV TAPRGSIQHLPELDDEKPEESKGGSPEEAFPVQLDLTTNPQGDTLDVSFLYLEPGDKKLV VLPFPGKEQRSAECPGPEKENNPLVLPP >ENSMUSP00000024958.7 pep:known chromosome:GRCm38:17:24488783:24508905:1 gene:ENSMUSG00000033597.8 transcript:ENSMUST00000024958.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caskin1 description:CASK interacting protein 1 [Source:MGI Symbol;Acc:MGI:2442952] MGKEQELVQAVKAEDVGTAQRLLQRPRPGKAKLLGSTKKINVNFQDPDGFSALHHAALNG NTELISLLLEAQAAVDIKDNKGMRPLHYAAWQGRKEPMKLVLKAGSAVNVPSDEGHIPLH LAAQHGHYDVSEMLLQHQSNPCMVDNSGKTPLDLACEFGRVGVVQLLLSSNMCAALLEPR PGDTTDPNGTSPLHLAAKNGHIDIIRLLLQAGIDINRQTKSGTALHEAALCGKTEVVRLL LDSGINAQVRNTYSQTALDIVHQFTTSQASKEIKQLLREASAALQVRATKDYCNNYDLTS LNVKAGDIITVLEQHPDGRWKGCIHDNRTGNDRVGYFPSSLGEAIVKRAGSRTGSEPSPP QGGGSLGPSAPPEEIWVLRKPFAGGDRSGSLSNVAGGRSTGGHALHAGSEGVKLLATVLS QKSVSESSPGDSPVKPPEGSSGAARSQPPAAHAGQVYGEQPPKKLESASASASEGKSAEA VSQWLATFQLQLYAPNFTSAGYDLPTISRMTPEDLTAIGVTKPGHRKKITAEISGLNIPD WLPEHKPANLAVWLSMIGLAQYYKVLVDNGYENIDFITDITWEDLQEIGITKLGHQKKLM LAVRKLAELQKAEYSKYEGGPLRRKTPQSLEMMAIESPPPSEPAAAECQSPKMTTFQDSE LSGELQAALSGPAEAGAAAVEKSSNHLPPTPRTTSRESSLSGRARHISSSQELLGDGPPG PGSPMSRSQEYLLDEGMAPGTPPKEVRSSRHGHSVKRASVPPVPGKPRQVLPSGASHFTP PQTPTKAQPGSPQALGGPHGPATAKVKPTPQLLPPTDRPMSPRSLPQSPTHRGFAYVLPQ PVEGEVGPPAPGPAPPPVPAAVPTLCLPPETDVEPGRPKKRAHSLNRYAASDSEPERDEL LVPAAAGPYATVQRRVGRSHSVRAPAGTDKNVNRSQSFAVRPRKKGPPPPPPKRSSSAMA SANLADEPAPDVEAEDGRLGVRAQRRRASDLAGSVDTGSAGSVKSIAAMLELSSIGGGGR AIRRPPEGHPTPRPASPEPGRVATVLASVKHKEAIGPDGEVVNRRRTLSGPVTGLLATAR RGSGEPAEQSHFMEDGTARQRLRGPAKGEASAEGPPLARVEASATLKRRIRAKQSQQENV KFILTESDTVKRRPKAKEPDTGPEPPPPLSVYQNGTATVRRRPTSEQAGPPELPPPPPPA EPPPADLMQLPPLPLPDGNARKPVKPPVSPKPILSQPVSKIQGSPTPASKKVPLPGPGSP EVKRAHGTPPPVSPKPPPPPTAPKPAKALAGLQSSSATPSPVPSPARQPPAALIKPASSP PSQSASPVKPPSPGTPALHVPAKPPRAAASVVSGPPVASDCASPGDSARQKLEETSACLA AALQAVEEKIRQEDGQGPRPSSIEEKSTGSILEDIGSMFDDLADQLDAMLE >ENSMUSP00000070549.4 pep:known chromosome:GRCm38:15:99126578:99213206:1 gene:ENSMUSG00000051934.4 transcript:ENSMUST00000063517.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spats2 description:spermatogenesis associated, serine-rich 2 [Source:MGI Symbol;Acc:MGI:1919822] MSRKQSQKDSSGFIFDLQSNTVLAQGGTFENMKEKINAVRAIVPNKSNNEIILVLQHFDN CVDKTVQAFMEGSASEVLKEWIVTGKKKNKKKKSKPKPASEASGSAPDSSKSAPIQEEQP ASSEKGSINGYHVNGAINDAESVDSLSEGLETLSIDARELEDPEFAAAETLDRTGSVLEN GVSDFEPKSLTAHSISNVQQSRNAAKSLSRTTPGAQVSNLGMENVPLSSTNKKLGSNIEK SVKDLQRCTVSLARYRVVVKEEMDASIKKMKQAFAELQSCLMDREVALLAEMDKVKAEAM EILLSRQKKAELLKKMTDVAVRMSEEQLVELRADIKHFVSERKYDEDLGRVARFTCDVET LKQSIDSFGQVSHPKNSYSTRSRCSLVAPVSLSGPSDGSAASSSPDASVPSLPGANKRNC APREASAAMTNSSDRPCQAHREVFPGNRRGGQGYRAQSQKTADPSNPGRHDSVGRYRNSS WYSSGPRYQGVPPQAPGNAGERSRPYSAGTNGTGAISEPSPPKPSFKKGLPQRKPRASQA EAANS >ENSMUSP00000042835.5 pep:known chromosome:GRCm38:19:6057888:6059524:1 gene:ENSMUSG00000038274.11 transcript:ENSMUST00000043074.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fau description:Finkel-Biskis-Reilly murine sarcoma virus (FBR-MuSV) ubiquitously expressed (fox derived) [Source:MGI Symbol;Acc:MGI:102547] MQLFVRAQELHTLEVTGQETVAQIKDHVASLEGIAPEDQVVLLAGSPLEDEATLGQCGVE ALTTLEVAGRMLGGKVHGSLARAGKVRGQTPKVAKQEKKKKKTGRAKRRMQYNRRFVNVV PTFGKKKGPNANS >ENSMUSP00000136803.1 pep:known chromosome:GRCm38:19:6057888:6059524:1 gene:ENSMUSG00000038274.11 transcript:ENSMUST00000178310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fau description:Finkel-Biskis-Reilly murine sarcoma virus (FBR-MuSV) ubiquitously expressed (fox derived) [Source:MGI Symbol;Acc:MGI:102547] MQLFVRAQELHTLEVTGQETVAQIKDHVASLEGIAPEDQVVLLAGSPLEDEATLGQCGVE ALTTLEVAGRMLGGKVHGSLARAGKVRGQTPKVAKQEKKKKKTGRAKRRMQYNRRFVNVV PTFGKKKGPNANS >ENSMUSP00000136358.1 pep:known chromosome:GRCm38:19:6057967:6059524:1 gene:ENSMUSG00000038274.11 transcript:ENSMUST00000179142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fau description:Finkel-Biskis-Reilly murine sarcoma virus (FBR-MuSV) ubiquitously expressed (fox derived) [Source:MGI Symbol;Acc:MGI:102547] MQLFVRAQELHTLEVTGQETVAQIKDHVASLEGIAPEDQVVLLAGSPLEDEATLGQCGVE ALTTLEVAGRMLGGKVHGSLARAGKVRGQTPKVAKQEKKKKKTGRAKRRMQYNRRFVNVV PTFGKKKGPNANS >ENSMUSP00000021885.6 pep:known chromosome:GRCm38:13:60938692:60941935:-1 gene:ENSMUSG00000033834.6 transcript:ENSMUST00000021885.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpbpa description:trophoblast specific protein alpha [Source:MGI Symbol;Acc:MGI:98795] MTPTIFLVILCLGVASAAIVPEAQLDAELQEQKDKEVLIKAVWSKFMKTNKLHSSENDQE TEGSNIEMSASGQLTDEELMKIMTTVLHPMFEEEENKPQPVVDDPEFEDYTESGDGFFVP NQPQ >ENSMUSP00000056086.7 pep:known chromosome:GRCm38:7:13005667:13009800:-1 gene:ENSMUSG00000049600.7 transcript:ENSMUST00000051390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb45 description:zinc finger and BTB domain containing 45 [Source:MGI Symbol;Acc:MGI:2685003] MAATEAVHHIHLQNFSRSLLETLNGQRLGGHFCDVTVRIREASLRAHRCVLAAGSPFFQD KLLLGHSEIRVPPVVPAQTVRQLVEFLYSGSLVVAQGEALQVLTAASVLRIQTVIDECTQ IIARARVPNTPAPAPLPPPVPPPLAPAQLRHRLRHLLAARPPGHPNAAHSRKQRQPARLQ LPAPPAPIKAEGPDAEPALTAAPEDRGEEDDDEETDEETDAEEGEGGGGGPGEGQAPPAF PDCAGGFLTTAADSAREDPPASTGITDYGGAGRDFLRGTGVTEDVFPDSYVSAWHEESSG GPESCPVETSAPPDCALAGPRPTGVKTPGPPVALFPFHLGAPGPPAPTPPTPSGPAPAPP PTFYPTLQPDAAPSAQLGETQAVPAAPAAQATAISGTPVRAPGGQGAEQPAYECSHCRKT FSSRKNYTKHMFIHSGEKPHQCAVCWRSFSLRDYLLKHMVTHTGVRAFQCAVCAKRFTQK SSLNVHMRTHRPERAPCPACGKVFSHRALLERHLAAHPAP >ENSMUSP00000130439.1 pep:known chromosome:GRCm38:7:13004936:13009822:-1 gene:ENSMUSG00000049600.7 transcript:ENSMUST00000172240.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb45 description:zinc finger and BTB domain containing 45 [Source:MGI Symbol;Acc:MGI:2685003] MAATEAVHHIHLQNFSRSLLETLNGQRLGGHFCDVTVRIREASLRAHRCVLAAGSPFFQD KLLLGHSEIRVPPVVPAQTVRQLVEFLYSGSLVVAQGEALQVLTAASVLRIQTVIDECTQ IIARARVPNTPAPAPLPPPVPPPLAPAQLRHRLRHLLAARPPGHPNAAHSRKQRQPARLQ LPAPPAPIKAEGPDAEPALTAAPEDRGEEDDDEETDEETDAEEGEGGGGGPGEGQAPPAF PDCAGGFLTTAADSAREDPPASTGITDYGGAGRDFLRGTGVTEDVFPDSYVSAWHEESSG GPESCPVETSAPPDCALAGPRPTGVKTPGPPVALFPFHLGAPGPPAPTPPTPSGPAPAPP PTFYPTLQPDAAPSAQLGETQAVPAAPAAQATAISGTPVRAPGGQGAEQPAYECSHCRKT FSSRKNYTKHMFIHSGEKPHQCAVCWRSFSLRDYLLKHMVTHTGVRAFQCAVCAKRFTQK SSLNVHMRTHRPERAPCPACGKVFSHRALLERHLAAHPAP >ENSMUSP00000075552.5 pep:known chromosome:GRCm38:13:62454323:62466709:-1 gene:ENSMUSG00000055228.7 transcript:ENSMUST00000076195.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp935 description:zinc finger protein 935 [Source:MGI Symbol;Acc:MGI:1918758] MNAVTYEDVHVNFTQEEWALLDPSQKKLYKDVMVETYRNLNAIGFNWEAHNIEEYCQSSR RHRRCERSQSAEKPSEYTQCGKAFALHAHSHAQRHERIHTEKITSEVIHCVEDFLPYTSL QVHKRTQTGQKPYECNQCGKGFRMPSCLKRHERIHTGEKPYECNQCGKGFITPSHLKRHE RIHTGEKPYKCNQCDKAFSQYVHLQIHRSTHTGEKPFKCDECDKAFSKHFHLQNHKRTHT GEKPYKCNQCNKAFSQHTNLHIHRRTHTGEKPFKCNECDKVFSQFGHLQIHIKTHTGDKP YKCNQCNKAFYQKRSLQTHIRIHTGEKPYKCNQCDKAFSQYGHLYIHRRIHTG >ENSMUSP00000065944.1 pep:known chromosome:GRCm38:18:62180126:62184405:1 gene:ENSMUSG00000054589.1 transcript:ENSMUST00000067743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9949 description:predicted gene 9949 [Source:MGI Symbol;Acc:MGI:3647947] MTAVSWSNREVAVNKPRNTQKQEERNTHKRSCGDMECGPLRHRCPHPVLHRIPLRSLLLG LGYPGLLWPMSLPTVLPLVDDVKTLISGPSSDKLPVTSPSPWQP >ENSMUSP00000072438.6 pep:known chromosome:GRCm38:12:111417430:111431182:1 gene:ENSMUSG00000021280.8 transcript:ENSMUST00000072646.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc3l4 description:exocyst complex component 3-like 4 [Source:MGI Symbol;Acc:MGI:1921363] MPLPQTGAPGPEVKSPREPRKSQTLPVTTWKSNSMKEQSVHHGGSLRPSLGMLKQTLFRT SLRTSTHKPKEDPGLFRRSSRFLFRSLRRAIDEGLTAGHPQGPAVPEKPSKVTDGVSRQA ATGTEAEDLEPQAESKSVADLITERQLVKAFEQLRYLETQLVADKTSRTFTQDPTAYARR AMDLCLHYDGMAAEIGAIVREALSSEGVDRDALAELAQVVHLEEEAHQTSQAEGDFLSTP RHWRMHWEDAVRLSAQERVQQAGAKVIPGAAEGSSDLAQLLAELGGVVRHDLQKVRLEMQ PAYEATDFPVWETYLRAFHSAVAQRLQELARDARGCEQLYVLLDWAANVYGSPDFLGAPD LALPTEPLPPLLEPALWARLESDYTSFLETKITSCFDSILQLEQNRWEADEDREVLQGLY HAPLSIDVHMLVAEHVKAAGAISAELEATTLQICARALCLFVPRFEKAFLASKAVSEWYL GAYINACVELRTSLLARFPGTIKELEKPLVAATNSFQKHLLQIVQQDMQPLFKVLYTKSW LTQDTLRPLMDKVVDFAHHLEHVTPPLAQETLQEVHRFVVREYLGQVLRPHERFSGQDRL KGSNKMNLDAQAISNTFQGLGSEAKWLDQAILSVAEILGETYKDDIRRHLETLIRSYPDI RRDHILAILALRRLGRRRNQNLLQHTQDLLRAAHETRLPSHHVLFEEIEVPTSVDVLITC I >ENSMUSP00000055001.1 pep:known chromosome:GRCm38:12:11208382:11208948:-1 gene:ENSMUSG00000047002.1 transcript:ENSMUST00000049877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msgn1 description:mesogenin 1 [Source:MGI Symbol;Acc:MGI:1860483] MDNLGETFLSLEDGLDSSDTAGLLASWDWKSRARPLELVQESPTQSLSPAPSLESYSEVA LPCGHSGASTGGSDGYGSHEAAGLVELDYSMLAFQPPYLHTAGGLKGQKGSKVKMSVQRR RKASEREKLRMRTLADALHTLRNYLPPVYSQRGQPLTKIQTLKYTIKYIGELTDLLNSSG REPRPQSV >ENSMUSP00000040797.3 pep:known chromosome:GRCm38:18:44270127:44277700:1 gene:ENSMUSG00000038071.3 transcript:ENSMUST00000042747.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npy6r description:neuropeptide Y receptor Y6 [Source:MGI Symbol;Acc:MGI:1098590] MEVLTNQPTPNKTSGKSNNSAFFYFESCQPPFLAILLLLIAYTVILIMGIFGNLSLIIII FKKQREAQNVTNILIANLSLSDILVCVMCIPFTVIYTLMDHWVFGNTMCKLTSYVQSVSV SVSIFSLVLIAIERYQLIVNPRGWKPRVAHAYWGIILIWLISLTLSIPLFLSYHLTNEPF HNLSLPTDIYTHQVACVEIWPSKLNQLLFSTSLFMLQYFVPLGFILICYLKIVLCLRKRT RQVDRRKENKSRLNENKRVNVMLISIVVTFGACWLPLNIFNVIFDWYHEMLMSCHHDLVF VVCHLIAMVSTCINPLFYGFLNKNFQKDLMMLIHHCWCGEPQESYENIAMSTMHTDESKG SLKLAHIPTGI >ENSMUSP00000056220.6 pep:known chromosome:GRCm38:12:112489448:112499927:1 gene:ENSMUSG00000043122.6 transcript:ENSMUST00000057465.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A530016L24Rik description:RIKEN cDNA A530016L24 gene [Source:MGI Symbol;Acc:MGI:2443020] MRSAARVSRSNSHPRTCHPTRENEGTTRGSQPSRTERDGNRKCPPSILRPRRQECGCHGG EPQKTSRHVRFREPLEVAVHYIARKDTTAAIKVPSRPASHGGSPLQPASRSGSLFLWLTL CALLGVVLVLYCGQAKRVTAALEDLLAQLLALILRLWCVVLACWH >ENSMUSP00000044858.6 pep:known chromosome:GRCm38:19:42283037:42431783:-1 gene:ENSMUSG00000042401.6 transcript:ENSMUST00000048630.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crtac1 description:cartilage acidic protein 1 [Source:MGI Symbol;Acc:MGI:1920082] MAPSADPGMVRMALLLLPPLWLLPLTGGSQRAEPMFTAVTNSVLPPDYDSNPTQLNYGVA VTDVDHDGDFEIVVAGYTGPNLVLKYNRAQNRLVNIAVDERSSPYYALRDRQGNAIGVTA CDIDGDGREEIYFLNTNNAFSGVATYTDKLFKFRNNRWEDILSDDVNVARGVASLFAGRS VACVDRTGSGRYSIYIANYAYGDVGPDALIEMDPEASDLSRGILALRDVAAEAGVSKYTA GRGVSVGPILSSSASDIFCDNENGPNFLFHNQGNGTFVDTAASAGVDDPHQHGRGVALAD FNRDGKVDIVYGNWNGPHRLYLQMSAHGKVRFRDIASPKFSTPSPVRTVIAADFDNDQEL EVFFNNIAYRSSSANRLFRVIRREHGDPLIEELNPGDALEPEGRGTGGVVTDFDGDGMLD LILSHGESMAQPLSVFRGNQGFSNNWLRVVPRTRFGAFARGAKVVLYTKKSGAHLRIIDG GSGYLCEMEPVAHFGLGRDEASSVEVTWPDGKMVSRSVASEEMNSVLEILYPQDEDKLQN TAPLECGQGFSQQDNGHCMDTNECIQFPFVCPRDKPVCVNTYGSYRCRTNKRCNRGYEPN EDGTACVAQVAFLGGYSSAAFRLSEPLSQASYLSLGLGLCLQLYAL >ENSMUSP00000052905.3 pep:known chromosome:GRCm38:15:44428111:44437685:1 gene:ENSMUSG00000022338.6 transcript:ENSMUST00000060652.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eny2 description:enhancer of yellow 2 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1919286] MVVSKMNKDAQMRAAINQKLIETGERERLKELLRAKLIECGWKDQLKAHCKEVIKEKGLE HVTVDDLVAEITPKGRALVPDSVKKELLQRIRTFLAQHASL >ENSMUSP00000034190.9 pep:known chromosome:GRCm38:8:110618638:110720396:1 gene:ENSMUSG00000010936.10 transcript:ENSMUST00000034190.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vac14 description:Vac14 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2157980] MNPEKDFAPLTPNIVRALNDKLYEKRKVAALEIEKLVRDFVAQNNTMQIKHVIQTLSQEF ALSQHPHSRKGGLIGLAACSIALGKDSGLYLKELIEPVLTCFNDADSRLRYYACEALYNI VKVARGAVLPHFNVLFDGLSKLAADPDPNVKSGSELLDRLLKDIVTESSKFDLVSFIPLL RERIYSNNQYARQFIISWILVLVSVPDINLLDYLPEILDGLFQILGDNGKEIRKMCEVVL GEFLKEIKKNPSSVKFAEMANILVIHCQTTDDLIQLTAMCWMREFIQLAGRVMLPYSSGI LTAVLPCLAYDDRKKSIKEVANVCNQSLMKLVTPEDDEPDEPKSVAQKQTEPNPEDSLPK QEGTASGGPGSCDSSFGSGINVFTSANTDRAPVTLHLDGIVQVLNCHLSDTTIGMMTRIA VLKWLYHLYIKTPRKMFRHTDSLFPILLQTLSDESDEVVLKDLEVLAEIASSPAGQTDDP GAPDGPDLRVNHSELQVPTSGRANLLNPPSTKGLEGSPSTPTMNSYFYKFMINLLQTFSS ERKLLEARGPFIIRQLCLLLNAENIFHSMADILLREEDLKFASTMVHTLNTILLTSTELF QLRNQLKDLQTPESQNLFCCLYRSWCHNPVTTVSLCFLTQNYRHAYDLIQKFGDLEVTVD FLTEVDKLVQLIECPIFTYLRLQLLDVKNNPYLIKALYGLLMLLPQSSAFQLLSHRLQCV PNPELLQTEDCLKAAPKSQKGDSPSIDYTELLQHFEKVQKQHLEVRHQRSGRGDHLDRRV IL >ENSMUSP00000095137.3 pep:known chromosome:GRCm38:18:74268272:74282685:1 gene:ENSMUSG00000024561.8 transcript:ENSMUST00000097530.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd1 description:methyl-CpG binding domain protein 1 [Source:MGI Symbol;Acc:MGI:1333811] MAESWQDCPALGPGWKRRESFRKSGASFGRSDIYYQSPTGEKIRSKVELTRYLGPACDLT LFDFRQGTLCHPIPKTHPLAVPSKKKKKPSKPAKTKKQQVGLQRSEVRRETPQGEYKAPT ATALASLSVSASASSSASASASSSASASASASSHAPVCCENCGIHFSWDGVKRQRLKTLC KDCRAQRIAFNREQRMFKRVGCGDCAACLVKEDCGVCSTCRLQLPSDVASGLYCKCERRR CLRIMEKSRGCGVCRGCQTQEDCGHCCICLRSPRPGLKRQWRCLQRRCFWGKRDSSKRGS KVASQRHSQAPPLPPHPASQYTEPTELHISDIAPTSPAEFIYYCVDEDEDELKRLLPSAG SGSGEGAGLRPYQTHQTHQKRPASARQLQLSSPLKAPWAVVTAPPGPVRDSRKQQAGRGS VLPQPDTDFVFLQEGTSSAMQMPGTAAACTEVPVQAAQCSAPSWVVALPQVKQETADAPE EWTAVTTFLTSSTLQSGFPSKAADPDLSPVKQEPPGPEEDGEEKKDDVSETTPAEEIGGV GTPVITEIFSLGGTRLRDAEAWLPRLHKLLAVNEKEYFTELQLKEEVL >ENSMUSP00000128316.1 pep:known chromosome:GRCm38:13:36867178:37050244:-1 gene:ENSMUSG00000039109.15 transcript:ENSMUST00000164727.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F13a1 description:coagulation factor XIII, A1 subunit [Source:MGI Symbol;Acc:MGI:1921395] MSDTPASTFGGRRAVPPNNSNAAEVDLPTEELQGLVPRGVNLKDYLNVTAVHLFKERWDS NKIDHHTDKYDNNKLIVRRGQTFYIQIDFNRPYDPRKDLFRVEYVIGRYPQENKGTYIPV PVVKELQSGKWGAKVIMNEDRSVRLSVQSSPECIVGKFRMYVAVWTPYGILRTRRDPETD TYILFNPWCEEDAVYLDDEKEREEYVLNDIGVIFYGDFKDIKSRSWSYGQFEDGILDTCL YVMDKAEMDLSGRGNPIKVSRVGSAMVNAKDDEGVLVGSWDNVYAYGIPPSAWTGSVDIL LEYRSSETPVRYGQCWVFAGVFNTFLRCLGIPARVITNYFSAHDNDANLQMDIFLEEDGN VSSKLTKDSVWNYHCWNEAWMTRPDLPVGFGGWQAVDSTPQENSDGMYRCGPASVQAVKH GHVCFQFDAPFVFAEVNSDLVYITAKQDGTHVVEAVDATHIGKLIVTKQIGGDGMQDITD TYKFQEGQEEERLALETALMYGAKKTLNTEGVVKSRSDVTMNFDVENAVLGKDFKVTITF QNNSSNLYTILAYLSGNITFYTGVSKKEFKKESFEETLDPFSSKKKEVLVRAGEYMSHLL EQGFLHFFVTARINESRDVLAKQKSIILTIPKITIKVRGAAMVGSDMVVTVEFTNPLKET LQNVWIHLDGPGVMRPKRKVFREIRPNTTVQWEEVCRPWVSGHRKLIASMTSDSLRHVYG ELDLQIQRRPTM >ENSMUSP00000048667.8 pep:known chromosome:GRCm38:13:36867178:37049213:-1 gene:ENSMUSG00000039109.15 transcript:ENSMUST00000037491.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F13a1 description:coagulation factor XIII, A1 subunit [Source:MGI Symbol;Acc:MGI:1921395] MSDTPASTFGGRRAVPPNNSNAAEVDLPTEELQGLVPRGVNLKDYLNVTAVHLFKERWDS NKIDHHTDKYDNNKLIVRRGQTFYIQIDFNRPYDPRKDLFRVEYVIGRYPQENKGTYIPV PVVKELQSGKWGAKVIMNEDRSVRLSVQSSPECIVGKFRMYVAVWTPYGILRTRRDPETD TYILFNPWCEEDAVYLDDEKEREEYVLNDIGVIFYGDFKDIKSRSWSYGQFEDGILDTCL YVMDKAEMDLSGRGNPIKVSRVGSAMVNAKDDEGVLVGSWDNVYAYGIPPSAWTGSVDIL LEYRSSETPVRYGQCWVFAGVFNTFLRCLGIPARVITNYFSAHDNDANLQMDIFLEEDGN VSSKLTKDSVWNYHCWNEAWMTRPDLPVGFGGWQAVDSTPQENSDGMYRCGPASVQAVKH GHVCFQFDAPFVFAEVNSDLVYITAKQDGTHVVEAVDATHIGKLIVTKQIGGDGMQDITD TYKFQEGQEEERLALETALMYGAKKTLNTEGVVKSRSDVTMNFDVENAVLGKDFKVTITF QNNSSNLYTILAYLSGNITFYTGVSKKEFKKESFEETLDPFSSKKKEVLVRAGEYMSHLL EQGFLHFFVTARINESRDVLAKQKSIILTIPKITIKVRGAAMVGSDMVVTVEFTNPLKET LQNVWIHLDGPGVMRPKRKVFREIRPNTTVQWEEVCRPWVSGHRKLIASMTSDSLRHVYG ELDLQIQRRPTM >ENSMUSP00000034435.5 pep:known chromosome:GRCm38:8:111686510:111691010:-1 gene:ENSMUSG00000031957.5 transcript:ENSMUST00000034435.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctrb1 description:chymotrypsinogen B1 [Source:MGI Symbol;Acc:MGI:88559] MAFLWLVSCFALVGATFGCGVPAIQPVLTGLSRIVNGEDAIPGSWPWQVSLQDRTGFHFC GGSLISENWVVTAAHCGVKTTDVVVAGEFDQGSDEENVQVLKIAQVFKNPKFNSFTVRND ITLLKLATPAQFSETVSAVCLPTVDDDFPAGTLCATTGWGKTKYNALKTPDKLQQAALPI VSEAKCKESWGSKITDVMICAGASGVSSCMGDSGGPLVCQKDGVWTLAGIVSWGSGFCST STPAVYARVTALMPWVQEILEAN >ENSMUSP00000093436.4 pep:known chromosome:GRCm38:10:33083483:33476709:1 gene:ENSMUSG00000019787.8 transcript:ENSMUST00000095762.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trdn description:triadin [Source:MGI Symbol;Acc:MGI:1924007] MTEITAEGNASTTTTVIDNKNGCIPKSPGKVLKRSVTEDIVTTFSSPAAWLLVIALIITW SAVAIVMFDLVDYKNFSASSIAKIGSDPLKLVNDAVEETTDWIYGFFSLLSDIISSEGDE DDEDADEDIDKGEIEEPPLKRKEIHQEKAEKEEKPEKKIQTKASHREREKGKEKLKGEKP EKTATHKEKLEKKERPETKMMAKEDKKIKTKEKTEEKAKKEMKVGKQEKVKPTAAKAKET PKTPPKARKKDDKEMPAVHEQKDQYAFCRYMIDMFVHGDLKPGQSPVMPPPSLTPSKPAL STTALEEKEKEEKKKMEKKDTSDTKKKEKEVKKKSEETTIDGKGKEPGKPPETKQMTAKL TTQAAARKDEKKEESKKMRKPTEEQPKGKKQEKKEKHIEPAKTPKKEHPGPSEKLKKAKA EQAKEEIAAASTKKALHGKKEEKAKTVEQEVKKEKSGKSSSDLKDKEVKKEKSGKSSSDL KDKEPQLKNEEKSKPQVKKEAKLASSDKGQTRKQNITRPEQVIPHVKPEKAEHQEKGHPS IKKDKPKPSSKGAPEVPDSGKKKIEKSEKESKVPTREENLQVYNVTKAEKPGKIPKDSKE APASKKDKEDSKEAPTSKKDKEDSKDVPHSKKDKEVTDDVSSPKKQTRPISFFQCVYLNG YNGYGFQFPVTPVQQPGENPGKTNSPGQKQQEQ >ENSMUSP00000020878.6 pep:known chromosome:GRCm38:12:33394854:33401269:1 gene:ENSMUSG00000020562.6 transcript:ENSMUST00000020878.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab10 description:EF-hand calcium binding domain 10 [Source:MGI Symbol;Acc:MGI:1922290] MEPVADRELQAKLYLERHRIMELLNQLTSFLLFARPKKPREYLISLLERLRVAKATHVAF PYFMDNSNTVSMFEMMDMAGRGCISFVQYKEALKNLGLCTADEVLNDDGHIITLDTFRDE MNKRMEKMWSMF >ENSMUSP00000022196.3 pep:known chromosome:GRCm38:13:94358960:94566316:1 gene:ENSMUSG00000021686.4 transcript:ENSMUST00000022196.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap3b1 description:adaptor-related protein complex 3, beta 1 subunit [Source:MGI Symbol;Acc:MGI:1333879] MSSNSFAYNEQSGGGEAAELGQEATSTISPSGAFGLFSSDWKKNEDLKQMLESNKDSAKL DAMKRIVGMIAKGKNASELFPAVVKNVASKNIEIKKLVYVYLVRYAEEQQDLALLSISTF QRALKDPNQLIRASALRVLSSIRVPIIVPVMMLAIKEASADLSPYVRKNAAHAIQKLYSL DPEQKEMLIEVIEKLLKDKSTLVAGSVVMAFEEVCPDRIDLIHRNYRKLCNLLVDVEEWG QVVIIHMLTRYARTQFVSPWREDGGLEDNEKNFYESEEEEEEKEKSSRKKSYAMDPDHRL LIRNTKPLLQSRNAAVVMAVAQLYWHISPKSEAGVISKSLVRLLRSNREVQYIVLQNIAT MSIERKGMFEPYLKSFYVRSTDPTMIKTLKLEILTNLANEANISTLLREFQTYVRSQDKQ FAAATIQTIGRCATSISEVTDTCLNGLVCLLSNRDEIVVAESVVVIKKLLQMQPAQHGEI IRHMAKLLDSITVPVARASILWLIGENCERVPKIAPDVLRKMAKSFTSEDDLVKLQILNL AAKLYLTNSKQTKLLTQYILNLGKYDQNYDIRDRTRFIRQLIVPNEKSGALSKYAKKIFL APKPAPLLESPFKDRDRFQLGTLSHTLNIKASGYLELSNWPEVAPDPSVRNVEVIESAKE WTPLGKTKKEKPMKKFYSESEEEEDEDEDEDEEEEEKEDEDENPSDSSSDSESGSGSESG DTGTEDSSEDSSSGQDSETGSQAEAERQKVAKRNSKTKRKSDSENREKKNENSKASESSS EESSSMEDSSSESESESGSDSEPAPRNVAPAKERKPQQERHPPSKDVFLLDLDDFNPVST PVALPTPALSPSLIADLEGLNLSTSSSVINVSTPVFVPTKTHELLHRMHGKGLAAHYCFP RQPCIFSDKMVSVQITLTNTSDRKIENIHIGGKGLPVGMQMHAFHPIDSLEPKGSVTVSV GIDFCDSTQTASFQLCTKDDCFNVTLQPPVGELLSPVAMSEKDFKKEQGTLTGMNETSAT LIAAPQNFTPSMILQKVVNVANLGAVPSSQDNVHRFAARTVHSGSLMLVTVELKEGSTAQ LIINTEKTVIGSVLLRELKPVLSQG >ENSMUSP00000021438.6 pep:known chromosome:GRCm38:12:46694517:46818775:-1 gene:ENSMUSG00000021047.6 transcript:ENSMUST00000021438.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nova1 description:neuro-oncological ventral antigen 1 [Source:MGI Symbol;Acc:MGI:104297] MMAAAPIQQNGTHTGVPIDLDPPDSRKRPLEAPPEAGSTKRTNTGEDGQYFLKVLIPSYA AGSIIGKGGQTIVQLQKETGATIKLSKSKDFYPGTTERVCLIQGTIEALNAVHGFIAEKI REMPQNVAKTEPVSILQPQTTVNPDRIKQTLPSSPTTTKSSPSDPMTTSRANQVKIIVPN STAGLIIGKGGATVKAIMEQSGAWVQLSQKPDGINLQERVVTVSGEPEQNRKAVELIIQK IQEDPQSGSCLNISYANVTGPVANSNPTGSPYANTAEVLPTAAAAAGLLGHANLAGVAAF PAVLSGFTGNDLVAITSALNTLASYGYNLNTLGLGLSQAAATGALAAAAASANPAAAAAN LLATYASEASASGSTAGGTAGTFALGSLAAATAATNGYFGAASPLAASAILGTEKSTDGS KDVVEIAVPENLVGAILGKGGKTLVEYQELTGARIQISKKGEFVPGTRNRKVTITGTPAA TQAAQYLITQRITYEQGVRAANPQKVG >ENSMUSP00000105313.2 pep:known chromosome:GRCm38:12:117516479:117756978:1 gene:ENSMUSG00000041992.8 transcript:ENSMUST00000109691.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rapgef5 description:Rap guanine nucleotide exchange factor (GEF) 5 [Source:MGI Symbol;Acc:MGI:2444365] MTTGDCQTLSRRISNPYLEHSPSQIYGENSSCAGRALRNIIILQAADLVKDRVNLKGFYR RSCVGSELVDWLLEHCPFVQCRSMAIGVWQLLLDMGIMSSVDQHLYFQDNYVFYQFSSDE CSYLYCEFEREEEWQKGVKLLLELVHLIPARAGICDLSHQKTEDSEESSDEILARLTSAV QRELAAVIALKARKSAIEQDDENADKHVTVTEANNGPDPQAGVMCKLQERDDIGRIELVH KLARENCQFLQTEKKESEKLEQQDDEVTMVQVKEQGQSVLVLKKVASCGPAPTSGSAEND ARYVVVSGTPEKILEHLLNDLHLAEVQHKETETLLDDFLLTYTVFMTTDDLCQALLRHYS AKKYQGEEENSDVPCRKRKVLHLVSQWISLYKDWLHEDEHSKMFLKTIYRNVLDDVYEYP ILEKELKEFQKILGVYRRHTVDEYSPQKKNKALFHQFSLKENWLQHRGTVAETEEIFCHV YITEHSYISVKAKVSSTAQEILKVVAEKLQRAEEDLALVAITFSGEKHEFQPNDLAISKS LEASGRIYVYRKDLADTLNPLAENEESQQRSMRILGMNTWDLALELMSFDWSLFNSIHEQ ELIYFTFSRQGNGENTVNLSLLLQRCNEVQLWVATEILLCSQLGKRVQLVKKFIKIAAHC KAQQNLNSFFAIVMGLNTASVSRLSQTWEKIPGKFKKLFSELESLTDPSLNHKAYRDAFK KMKPPKIPFMPLLLKDVTFIHEGNKTFLDNLVNFEKLHMIADTVRTLRHCRTNQFGSDVS PKEQQELKSYVNHLYVIDSQQALFELSHRLEPRA >ENSMUSP00000026902.7 pep:known chromosome:GRCm38:10:121410350:121476250:-1 gene:ENSMUSG00000025795.7 transcript:ENSMUST00000026902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf3 description:Ras association (RalGDS/AF-6) domain family member 3 [Source:MGI Symbol;Acc:MGI:2179722] MSSGYSSLEEDEDFFFTARTSFFRRAPPGKSRSGQPDVEKEKETHNYLSKEEIKEKVHKY NSAVTDKLKMTLNSNGIYTGFIKVQMELCKPAQPSPEPSSGGCMNTLHISSTNTVGEVIE ALLRKFLVTESPTKFALYKRCHREDQVYACKLSDREHPLYLRLVAGPRTDTLSFVLREHE IGEWEAFSLPELQNFLRILDKEEDEQLQSLKRRYTAYRQKLEEALGEVWKPG >ENSMUSP00000027560.6 pep:known chromosome:GRCm38:18:62324204:62467802:1 gene:ENSMUSG00000026322.8 transcript:ENSMUST00000027560.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htr4 description:5 hydroxytryptamine (serotonin) receptor 4 [Source:MGI Symbol;Acc:MGI:109246] MDKLDANVSSNEGFRSVEKVVLLTFLAVVILMAILGNLLVMVAVCRDRQLRKIKTNYFIV SLAFADLLVSVLVMPFGAIELVQDIWAYGEMFCLVRTSLDVLLTTASIFHLCCISLDRYY AICCQPLVYRNKMTPLRIALMLGGCWVLPMFISFLPIMQGWNNIGIVDVIEKRKFSHNSN STWCVFMVNKPYAITCSVVAFYIPFLLMVLAYYRIYVTAKEHAQQIQMLQRAGATSESRP QPADQHSTHRMRTETKAAKTLCVIMGCFCFCWAPFFVTNIVDPFIDYTVPEQVWTAFLWL GYINSGLNPFLYAFLNKSFRRAFLIILCCDDERYKRPPILGQTVPCSTTTINGSTHVLRD TVECGGQWESRCHLTATSPLVAAQPSDT >ENSMUSP00000103637.3 pep:known chromosome:GRCm38:4:42294267:42294855:1 gene:ENSMUSG00000094695.1 transcript:ENSMUST00000108002.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21953 description:predicted gene, 21953 [Source:MGI Symbol;Acc:MGI:5439404] MSRLRRYEVALEAEEEIYWGCFYFFPRLRMWRRERSSAHPREQKLEPLRGLMSCLSSGLR PAPQRSGRGLLCRTPTAAAQTAGALKI >ENSMUSP00000107237.4 pep:known chromosome:GRCm38:14:52073380:52104028:-1 gene:ENSMUSG00000060373.14 transcript:ENSMUST00000111610.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpc description:heterogeneous nuclear ribonucleoprotein C [Source:MGI Symbol;Acc:MGI:107795] MASNVTNKTDPRSMNSRVFIGNLNTLVVKKSDVEAIFSKYGKIVGCSVHKGFAFVQYVNE RNARAAVAGEDGRMIAGQVLDINLAAEPKVNRGKAGVKRSAAEMYGSVPEHPSPSPLLSS SFDLDYDFQRDYYDRMYSYPARVPPPPPIARAVVPSKRQRVSGNTSRRGKSGFNSKSGQR GSSSKSGKLKGDDLQAIKKELTQIKQKVDSLLESLEKIEKEQSKQADLSFSSPVEMKNEK SEEEQSSASVKKDETNVKMESEAGADDSAEEGDLLDDDDNEDRGDDQLELKDDEKEPEEG EDDRDSANGEDDS >ENSMUSP00000133052.1 pep:known chromosome:GRCm38:14:52073380:52104028:-1 gene:ENSMUSG00000060373.14 transcript:ENSMUST00000164655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpc description:heterogeneous nuclear ribonucleoprotein C [Source:MGI Symbol;Acc:MGI:107795] MASNVTNKTDPRSMNSRVFIGNLNTLVVKKSDVEAIFSKYGKIVGCSVHKGFAFVQYVNE RNARAAVAGEDGRMIAGQVLDINLAAEPKVNRGKAGVKRSAAEMYGSVPEHPSPSPLLSS SFDLDYDFQRDYYDRMYSYPARVPPPPPIARAVVPSKRQRVSGNTSRRGKSGFNSKSGQR GSSSKSGKLKGDDLQAIKKELTQIKQKVDSLLESLEKIEKEQSKQADLSFSSPVEMKNEK SEEEQSSASVKKDETNVKMESEAGADDSAEEGDLLDDDDNEDRGDDQLELKDDEKEPEEG EDDRDSANGEDDS >ENSMUSP00000077984.4 pep:known chromosome:GRCm38:17:26917091:26932579:1 gene:ENSMUSG00000024301.9 transcript:ENSMUST00000078961.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kifc5b description:kinesin family member C5B [Source:MGI Symbol;Acc:MGI:2137414] MDVQAQRKGREGKRNVELKAALVKSSSRLPLSASSLKRGPDQMEDALEPAKKRTRVMGAV TKVDTSRPRGPLLSTVSQTQGHNAAQKGPKKTGPGGCSAVGTVLRSQKPAPAAPAKPGTS TAPVVVGKRAGKRPAWDLKGQLCDLNEELKRYREKTETLELENRGLREQLREVQEQATTL GTERNTLEGELASVRSRAEQDQQRLETLSARVLELEECLGTRERLLQELQGERLQLQEER STLSTQLEEQERRFQATEAALSSSQEEVVCLRQKTEAQVTLLAEQGDRLYGLEMERRRLH NQLQELKGNIRVFCRVRPVLEGESTPSPGFLVFPPGPAGPSDRPTGLSLSRSDDRRSTLT GAPAPTVRHDFSFDRVFPPGSKQEEVFEEIAMLVQSALDGYPVCIFAYGQTGSGKTFTME GGPRGDPQLAGLIPRAMRHLFSVAQEMSGQGWTYSFVASYVEIYNETVRDLLATGPRKGQ GGECEIRRASPGSEELTVTNARYVPVSCEKEVEALLHLAHQNRAVAHTAQNKRSSRSHSV FQLQISGEHAARGLQCGAPLNLVDLAGSERLDPGLPLGPGERDRLRETQAINSSLSTLGL VIMALSNKESHVPYRNSKLTYLLQNSLGGSAKMLMFVNISPLEENVSESLNSLRFASKVN QCVIGTAQANKK >ENSMUSP00000068530.5 pep:known chromosome:GRCm38:7:102134204:102210120:-1 gene:ENSMUSG00000063550.7 transcript:ENSMUST00000070165.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup98 description:nucleoporin 98 [Source:MGI Symbol;Acc:MGI:109404] MFNKSFGTPFGGSTGGFGTTSTFGQNTGFGTTSGGAFGTSAFGSSNNTGGLFGNSQTKPG GLFGTSSFSQPATSTSTGFGFGTSTGTSNSLFGTASTGTSLFSSQNNAFAQNKPTGFGNF GTSTSSGGLFGTTNTTSNPFGSTSGSLFGPSSFTAAPTGTTIKFNPPTGTDTMVKAGVST NISTKHQCITAMKEYESKSLEELRLEDYQANRKGPQNQVGGGTTAGLFGSSPATSSATGL FSSSTTNSAFSYGQNKTAFGTSTTGFGTNPGGLFGQQNQQTTSLFSKPFGQATTTPNTGF SFGNTSTLGQPSTNTMGLFGVTQASQPGGLFGTATNTSTGTAFGTGTGLFGQPNTGFGAV GSTLFGNNKLTTFGTSTTSAPSFGTTSGGLFGNKPTLTLGTNTNTSNFGFGTNNSGSSIF GSKPAAGTLGTGLGTGFGTALGAGQASLFGNNQPKIGGPLGTGAFGAPGFNTSTAILGFG APQAPVALTDPNASAAQQAVLQQHLNSLTYSPFGDSPLFRNPMSDPKKKEERLKPTNPAA QKALTTPTHYKLTPRPATRVRPKALQTTGTAKSHLFDGLDDDEPSLANGAFMPKKSIKKL VLKNLNNSNLFSPVNHDSEDLASPSEYPENGERFSFLSKPVDENNQQDGEDDSLVSRFYT NPIAKPIPQTPESVGNKNNSSSNVEDTIVALNMRAALRNGLEGSSEETSFHDESLQDDRE EIENNAYHIHPAGIVLTKVGYYTIPSMDDLAKITNEKGECIVSDFTIGRKGYGSIYFEGD VNLTNLNLDDIVHIRRKEVIVYVDDNQKPPVGEGLNRKAEVTLDGVWPTDKTSRCLIKSP DRLADINYEGRLEAVSRKQGAQFKEYRPETGSWVFKVSHFSKYGLQDSDEEEEEHPPKTT SKKLKTAPLPPAGQATTFQMTLNGKPAPPPQSQSPEVEQLGRVVELDSDMVDITQEPVPD SVLEESVPEDQEPVSASTHIASSLGINPHVLQIMKASLLVDEEDVDAMDQRFGHIPSKGE TVQEICSPRLPISASHSSKSRSIVGGLLQSKFASGTFLSPSASVQECRTPRTSSRMNIPS TSPWSVPLPLATVFTVPSPAPEVQLKTVGIRRQPGLVPLEKSITYGKGKLLMDMALFMGR SFRVGWGPNWTLANSGEQLHGSHELENHQVADSMEYGFLPNPVAVKS >ENSMUSP00000025830.7 pep:known chromosome:GRCm38:19:23758876:23949597:1 gene:ENSMUSG00000024897.8 transcript:ENSMUST00000025830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apba1 description:amyloid beta (A4) precursor protein binding, family A, member 1 [Source:MGI Symbol;Acc:MGI:1860297] MNHLEGSAEVEVADEAPGGEVNESVEADLEHPEVVEGQQPSPSPPPPAGHEPEDHRGHPA PPPPPPPQEEEEEERGECLARSASTESGFHNHTDTAEGDVLAAARDGYEAERAQDADDES AYAVQYRPEAEEYTEQAEAEHVEAAQRRALPNHLHFHSLEHEEAMNAAYSGYVYTHRLFH RAEDEPYAEPYADYGGLQEHVYEEIGDAPELEARDGLRLYERERDEAAAYRQEALGARLH HYDERSDGESDSPEKEAEFAPYPRMDSYEQEEDIDQIVAEVKQSMSSQSLDKAAEDMPEA EQDLERAPTPGGGHPDSPGLPAPAGQQQRVVGTPGGSEVGQRYSKEKRDAISLAIKDIKE AIEEVKTRTIRSPYTPDEPKEPIWVMRQDISPTRDCDDQRPVDGDSPSPGSSSPLGAESS SIPLHPGDPTEASTNKESRKSLASFPTYVEVPGPCDPEDLIDGIIFAANYLGSTQLLSDK TPSKNVRMMQAQEAVSRIKTAQKLAKSRKKAPEGESQPMTEVDLFISTQRIKVLNADTQE PMMDHPLRTISYIADIGNIVVLMARRRMPRSNSQENVEASHPSQDGKRQYKMICHVFESE DAQLIAQSIGQAFSVAYQEFLRANGINPEDLSQKEYSDLLNTQDMYNDDLIHFSKSENCK DVFIEKQKGEILGVVIVESGWGSILPTVIIANMMHGGPAEKSGKLNIGDQIMSINGTSLV GLPLSTCQSIIKGLKNQSRVKLNIVRCPPVTTVLIRRPDLRYQLGFSVQNGIICSLMRGG IAERGGVRVGHRIIEINGQSVVATPHEKIVHILSNAVGEIHMKTMPAAMYRLLTAQEQPV YI >ENSMUSP00000067685.6 pep:known chromosome:GRCm38:13:95627177:95891922:-1 gene:ENSMUSG00000021676.9 transcript:ENSMUST00000068603.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqgap2 description:IQ motif containing GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:2449975] MPHEELPSLQRPRYGSIVDDERLSAEEMDERRRQNIAYEYLCHLEEAKRWMEVCLVEELP PTTELEEGLRNGVYLAKLAKFFAPKMVSEKKIYDVEQTRYKKSGLHFRHTDNTVQWLRAM EAIGLPKIFYPETTDVYDRKNIPRMIYCIHALSLYLFKLGIAPQIQDLLGKVDFTEEEIS NMRKELEKYGIQMPAFSKIGGILANELSVDEAALHAAVIAINEAIEKGVAKQTIITLRNP NAVLTCVDDSLSQEYQKELWEAKKKKEESAKLKNSCISEEERDAYEELLTQAEIQSNIST VNRMAAVDHINAVLQEGDPENTLLALKKPEAQLPAVYPFAAVMYQNELFNLQKQNTSNYL AHEELLIAVEMLSAVALLNQALESSDLVAVQNQLRSPTIGFNNLDEAHVDRYADALLSVK QEALSQGQDTLSWNEIQNCIDMINNQIQEENDRMVVLGYINEAIDAGNPLKTLDTLLLPT ANIRDVDPDCAQHYQDVLFYTKSQKLGDPKNVSKVLWLDEIQQAINEANVDENRAKQWVT LVVDVNECLDRKQSDHILTALKSSPSNIHNILPECANKYYDTLVKAKESKTDNESSEGSW VTLNVQEKYNYYYNTDSKEGSWVPPELCLSKESWLTGEEIEDIVEEVTSDYIREKLWSAS EDLLVRFEATTLGPALREEFEARKAFLYEQTESVVKIQAFWKGFKQRQEYLHRQQVFAGN VDSVVKIQSWFRMVTARKSYLSRLRYFEDHKNEIVKIQSLLRASKARDDYKALVGSENPP LTVIRKFVYLLDQSDLDFQEELEVARLREEVVTKIRANQQLEKDLNLMDIKIGLLVKNRI TLEDVISHRKKLNKKKGGEIEILNNTDNKGIKSLSKERRKTLETYQQLFYLLQTKPSYLA KLIFQMPQNKSTKFMDTVIFTLYNYASNQREEYLLLKLFKTALEEEIKSKVDQVQDIVTG NPTVIKMVVSFNRGARGQNTLRQLLAPVVKEIIEDKALVINTNPVEVYKAWVNQLETQTG EASKLPYDVTTEQALTYPEVKNKLEASIENLRKVTDKVLGSIISSLDLLPYGLRYIAKVL KNSIREKFPDATEEELLKIVGNLLYYRYMNPAIVAPDGFDIIDMTAGGQINSNQRRNLGS VAKVLQHAASNKLFEGENEHLSSMNNYLSETYQEFRKYFQEACDVPEPEEKFNMDKYTDL VTVSKPVIYISIEEIINTHLLLLEHQDAIATEKSDLLNELLESLGEVPTVESFLGEGAVD PNDPNKENTLNQLSKTEISLSLTSKYDVKDGEAVDGRSLMIKTKKLIIDVTRNQPGSTLT EILETPATGQQELEHAKDMESRAVVDSRTPEEGKQSQAVIEDARLPLEQKKRKIQRNLRT LEQTGHVSSKNKYQDILNEIAKDIRNQRIHRKLRKAELSKLQQTLNALNKKAAFYEDQIN YYDTYIKTCVDNLKRKNSRRSIKLDGKAEPKGTKRVKPVRYTAAKLHDKGVLLGIDDLQT NQFKNVMFDIIATEDMGIFDVRSKFLGVEMEKVQLNIQDLLQMQYEGVAVMKMFDKVKVN VNLLIYLLNKKFYGK >ENSMUSP00000080765.3 pep:known chromosome:GRCm38:8:43295068:43307009:-1 gene:ENSMUSG00000051176.5 transcript:ENSMUST00000082120.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp42 description:zinc finger protein 42 [Source:MGI Symbol;Acc:MGI:99187] MNEQKMNEQMKKTAKTSGQKGPGGRALDRLTLKQDEARPVQNTRVEAPRVTYTIRDESEI SPETEEDGFPDGYLECIIRGEFSEPILEEDFLFKSFESLEEVEQNLSRQVLEASSLLESS LEYMTKGTKQEKREVTQETPPLRVGASSLLAGGPAEKPEGGVYCGVLSMLECPQAGCKKK LRDKTALRKHMLVHGPRRHVCAECGKAFTESSKLKRHFLVHTGEKPYQCTFEGCGKRFSL DFNLRTHIRIHTGERRFVCPFDGCEKSFIQSNNQKIHILTHAKAGKKC >ENSMUSP00000136117.1 pep:novel scaffold:GRCm38:JH584296.1:41338:44417:-1 gene:ENSMUSG00000095666.1 transcript:ENSMUST00000178466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC164084.2 MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYVPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGNVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000026677.3 pep:known chromosome:GRCm38:8:67490758:67492104:1 gene:ENSMUSG00000025588.3 transcript:ENSMUST00000026677.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat1 description:N-acetyl transferase 1 [Source:MGI Symbol;Acc:MGI:97279] MDIEAYFERIGYKNSVNKLDLATLTEVLQHQMRAVPFENLNMHCGEAMHLDLQDIFDHIV RKKRGGWCLQVNHLLYWALTKMGFETTMLGGYVYITPVSKYSSEMVHLLVQVTISDRKYI VDSAYGGSYQMWEPLELTSGKDQPQVPAIFLLTEENGTWYLDQIRREQYVPNEEFVNSDL LEKNKYRKIYSFTLEPRVIEDFEYVNSYLQTSPASVFVSTSFCSLQTSEGVHCLVGSTFT SRRFSYKDDVDLVEFKYVNEEEIEDVLKTAFGISLERKFVPKHGELVFTI >ENSMUSP00000025685.6 pep:known chromosome:GRCm38:19:34100943:34122687:1 gene:ENSMUSG00000056078.5 transcript:ENSMUST00000025685.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipm description:lipase, family member M [Source:MGI Symbol;Acc:MGI:1926003] MSEILSRVWTVSHRVEIWLLILVAYLLQRNVNSGHLPTKAADPEAFMNVSEIIKHKGYPS EEYEVATEDGYILSVNRIPRGQTRLKKEGSRPVVLLQHGLLGDASNWISNLPNNSLGFIL ADAGFDVWMGNSRGNTWSRKHKTLSIDQDEFWAFSYDEMARFDLPAVINFILQKTGQKKV YYVGYSQGTTMGFIAFSTMPELAHKIKMYFALAPIATVKYARSPGTKFLLLPDMMIKVLF GRQEFLYQTRFFRQLFIYLCGQMILDQICSNIILLLGGFNTNNMNMSRANVYVAHTPAGT SVQNILHWSQAVNSGELRAFDWGSETKNQEKCNQPTPIRYKVRDMMVPTAMWTGGQDWLS NPDDVKTLLSEVTNLIYHKNIPEWAHVDFIWGLDAPQRVYNEIIHLMKQEPNLPQGTCRV KL >ENSMUSP00000106991.2 pep:novel scaffold:GRCm38:GL456211.1:167446:196478:1 gene:ENSMUSG00000079190.3 transcript:ENSMUST00000111360.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC133103.1 MAGGDNELSSRTIPEDQNEEESDDYQLMFKHFKENKVEIASAITKPFPFLMSLRDRDFIS EQKFQEYQETCKNLVPVERVVYDVLSNVQKKFSWDLLKVIFSKTHLKAYPDLKETLKHFF LNASKTNDEQAEEMLSLPQCNGGEGSSSCEQTRDEQEPQDDLPSSLRQEAARRKKRPNWS NSKRRLQKKKPRQDEMMGVASPGHGVQEKLKAVSRRTLWKDDSSTNVKEVTKTLRARIRC GTCHWKGSAWANLSELVQGSRDT >ENSMUSP00000022787.6 pep:known chromosome:GRCm38:14:54722216:54781886:-1 gene:ENSMUSG00000022180.6 transcript:ENSMUST00000022787.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a8 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 8 [Source:MGI Symbol;Acc:MGI:1355323] MEKGARQRNNTAKNHPGSDTSPEAEASSGGGGVALKKEIGLVSACGIIVGNIIGSGIFVS PKGVLENAGSVGLALIVWIVTGIITAVGALCYAELGVTIPKSGGDYSYVKDIFGGLAGFL RLWIAVLVIYPTNQAVIALTFSNYVLQPLFPTCFPPESGLRLLAAICLLLLTWVNCSSVR WATRVQDIFTAGKLLALALIIIMGIVQICKGEFFWLEPKNAFENFQEPDIGLVALAFLQG SFAYGGWNFLNYVTEELVDPYKNLPRAIFISIPLVTFVYVFANIAYVTAMSPQELLASNA VAVTFGEKLLGVMAWIMPISVALSTFGGVNGSLFTSSRLFFAGAREGHLPSVLAMIHVKR CTPIPALLFTCLSTLLMLVTSDMYTLINYVGFINYLFYGVTVAGQIVLRWKKPDIPRPIK VSLLFPIIYLLFWAFLLIFSLWSEPVVCGIGLAIMLTGVPVYFLGVYWQHKPKCFNDFIK SLTLVSQKMCVVVYPQEGNSGAEETTDDLEEQHKPIFKPTPVKDPDSEEQP >ENSMUSP00000079893.1 pep:known chromosome:GRCm38:7:104892670:104893626:-1 gene:ENSMUSG00000063582.1 transcript:ENSMUST00000081116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr666 description:olfactory receptor 666 [Source:MGI Symbol;Acc:MGI:3030500] MSGANSSSLTPEFFILNGVPGLEDAHVWISLPFCFMYMIAVVGNCGLIYLIGHEEALHRP MYYFLALLSFTDVTLCTTTVPNMLCIFWFNFKKIGFNSCLVQMFFVHMLTGMESGVLMLM ALDRYVAICYPLRYTTILTNPVIAKAGLATFLRSVMLIFPFTLLTKRLPYCRGSLIPHTY CDHMSVAKVSCGNAKVNAIYGLMVALLIGVFDICCISVSYTMILRAVVSLSSADARHKAF STCTSHICAIVITYVPAFFTFFTHRFGGHTIPHHVHIIVANLYLLLPPTMNPIVYGVKTK QIRESVIKFLLGDKMGIT >ENSMUSP00000052351.6 pep:known chromosome:GRCm38:10:60002805:60099990:1 gene:ENSMUSG00000044475.13 transcript:ENSMUST00000050516.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascc1 description:activating signal cointegrator 1 complex subunit 1 [Source:MGI Symbol;Acc:MGI:1916340] MDVLRPQIVTFDGRNYRKNPIQEKQYQHEEDEDFYPDSMEYSDEPCGAYEVAQTPHGFRA TVSAPSLLYKHIVGKRGDTKKKIEVETKTSINIPKHGHEGEIVITGQHRNGVVSARTRID VLLDTFRRRQPFTHFLSFFLNEVEVQERFLMFQEEVLRKCSKDRGVDSTIFQNPKKLHLT IGMLVLLSEQEIQQTCEILQRCKEEFINDISGGRPLEVEMAGIEYMNDDPAMVDVLYAKV HMKDGSNRLQELVDRVLERFQSLGLIVKEWTSVKLHATVMNTLLRKDPNAEGRYNLYTAD GKYIFKERESFDGRNILKTFENFYFGSLRLNSIHISQRFTVDSFGNYASCGHVDFS >ENSMUSP00000126301.2 pep:known chromosome:GRCm38:10:60003327:60099990:1 gene:ENSMUSG00000044475.13 transcript:ENSMUST00000164083.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ascc1 description:activating signal cointegrator 1 complex subunit 1 [Source:MGI Symbol;Acc:MGI:1916340] MDVLRPQIVTFDGRNYRKNPIQEKQYQHEEDEDFYPDSMEYSDEPCGAYEVAQTPHGFRA TVSAPSLLYKHIVGKRGDTKKKIEVETKTSINIPKHGHEGEIVITGQHRNGVVSARTRID VLLDTFRRRQPFTHFLSFFLNEVEVQERFLMFQEEVLRKCSKDRGVDSTIFQNPKKLHLT IGMLVLLSEQEIQQTCEILQRCKEEFINDISGGRPLEVEMAGIEYMNDDPAMVDVLYAKV HMKDGSNRLQELVDRVLERFQSLGLIVKEWTSVKLHATVMNTLLRKDPNAEGRYNLYTAD GKYIFKERESFDGRNILKTFENFYFGSLRLNSIHISQRFTVDSFGNYASCGHVDFS >ENSMUSP00000061078.1 pep:known chromosome:GRCm38:7:105336746:105337714:-1 gene:ENSMUSG00000043948.1 transcript:ENSMUST00000057528.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr691 description:olfactory receptor 691 [Source:MGI Symbol;Acc:MGI:3030525] MIHSNITPIHPAFFVLVGIPGLEAYHTWLSIPLCLMYVTAVLGNSILIMVIITERNLHEP MYFFLSMLAITDILLSTTTVPKALTIFWLHAHNIAFDACVTQVFFVHTMFVGESAILLAM AFDRFIAICAPLRYATVLTWSTVGRIALAIVIRSICIIFPVIFLLKRLPFCRTNIVPHSY CEHIGVARLACADITVNIWYGFSVPIVMVIVDVILIAVSYSLILRAVFRLPSQDARHKAL STCGSHLCVILMFYVPSFFTLLTHRFGRNIPRHVHILLANLYVVVPPMLNPIVYGVKTKQ IREGVVHWFLDIKTLCCSSPLG >ENSMUSP00000126909.1 pep:known chromosome:GRCm38:18:14783245:14900359:1 gene:ENSMUSG00000054321.6 transcript:ENSMUST00000169862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf4b description:TAF4B RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:2152345] MPAGLTEPAGAAAPVVSSASGAVTMAPVAALPVRVEGTPVALGPVTKAPVSVCVESVAPQ PLPAPVGTLVTKVVPVTALPKLGSPRLPAPQIVTVKTPGTTTIQLPANLQLPPGTVLIKS NSGQLMLVSPQQAVTGAKTTSNITPRPAVPANTQTVKICTVPNSSSQLMKKVTVAPVKNL TQIGTTVATTASSTSSGQPVALPSSVITVTPAKLVNTVSTLKSSSLGVLSTPSNDARLKA ETSVAAQTALPPTVLENVKKCKNFLSMLIKLACSGSQSPEMGQNVKRLVEQLLDAEIEAE EFTRKLYIELKSAPQPHLVPFLKKSVVALRQLLPNSQSFIENCVKEVSGDVVISSCTMTT ATSPVVTSTVSPVLVSGATAPRTLSVQQTLNPLAGPGVANTGVVTLHSVAPAAATGGTTA ATVLLQTSKPLTTSVPNTVAAVSLQPENPVVSGAAVTLAIPSATFGEASATPLCLPSAKP AITSAGTKADKPAIGTPVQIVTQPSTLLPQAAGIPQTAKVKQLVVQQPSGSSVNHVTSIS HSSPLSTQNCGQKTPVNAVMPTSKFPPSSIIKQITLPGNKLLSLQAQRSSIQSNKIKENG PTCFRGEDDINDVTFMAEVNLDEENACILAAHSDFVGTLIQSCKEEPFLVIGALQKRILD IGKKHDITELNSDAVNLISHATQERLRGLLEKLTTIAQHRMTIYKGSENYILSTDTRSQL KFLEKLDQLEKQRKDLEEREMLLKAAKSRSNKEDPEQLRLKQKAKELQQLELAQIQYRDA NLTALAAIGPRKKRPLESGNESFKDNPSTSGTSSLTATKPFRPRITRICLRDLIFCMEQE REMKYSRALYLALLK >ENSMUSP00000021933.7 pep:known chromosome:GRCm38:13:64363214:64370306:-1 gene:ENSMUSG00000021477.8 transcript:ENSMUST00000021933.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsl description:cathepsin L [Source:MGI Symbol;Acc:MGI:88564] MNLLLLLAVLCLGTALATPKFDQTFSAEWHQWKSTHRRLYGTNEEEWRRAIWEKNMRMIQ LHNGEYSNGQHGFSMEMNAFGDMTNEEFRQVVNGYRHQKHKKGRLFQEPLMLKIPKSVDW REKGCVTPVKNQGQCGSCWAFSASGCLEGQMFLKTGKLISLSEQNLVDCSHAQGNQGCNG GLMDFAFQYIKENGGLDSEESYPYEAKDGSCKYRAEFAVANDTGFVDIPQQEKALMKAVA TVGPISVAMDASHPSLQFYSSGIYYEPNCSSKNLDHGVLLVGYGYEGTDSNKNKYWLVKN SWGSEWGMEGYIKIAKDRDNHCGLATAASYPVVN >ENSMUSP00000137042.1 pep:known chromosome:GRCm38:14:57015134:57018982:1 gene:ENSMUSG00000096144.1 transcript:ENSMUST00000178161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B020004C17Rik description:RIKEN cDNA B020004C17 gene [Source:MGI Symbol;Acc:MGI:3588236] MQREDNQVQSVRNDQEANRRRRLRQEGQSSSGPCDSPWTESEIKILLEEWAVVEYELRDT GNRMDEKAESLSSRLSNRGLRKSKNSCLDVMVKMKDLHTQLCNERPRAGPLYSPYRLILY DILGHPTSQGGYVPGAVFDWSGYPMPSWSTQPPMVMPSPVYQPWDYGMFASSGQLPGNPS LMMSSQDSLVPRSAAWNATYPLPVQHVLPASLPGDTNLQLPRSPRDDSSSPQ >ENSMUSP00000072020.6 pep:known chromosome:GRCm38:17:3397207:3519397:1 gene:ENSMUSG00000023800.14 transcript:ENSMUST00000072156.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiam2 description:T cell lymphoma invasion and metastasis 2 [Source:MGI Symbol;Acc:MGI:1344338] MGNSESQYTFQGSKNHSNTVTGAKQKPCSLKIRSVHAKDEKSLHGWTHGSSGAGYKSRSL ARSCLSHFKNHQPYATRLSGPTCKVSKGTTYSKHRANTPGNDFQGNSGAFLPENGFHYVD RESEESHITSNGHLLTCYGRKESLASTPPGEDHRSPRVLIKTLGKLDGCLRVEFHNGGNP HKGTSEDPSGPVRLLRYSPTLASETCPVRETRRHSAAGSPSSQRPSPTDSRLRSSKGSSL SSESSWYDSPWGNAGEVSEVEGSFLAPSTPDPSLPSSFPPSDTKKPFNQSSSLSSLRELY KDPNLGCRSPSGTCLSSNEYISSQVSLNNRVSFASDMDVPSRVDHRDPLHYSSFTLPCRK SKALTEDAAKKDTLKARMRRFSDWTGSLSRKKRKLQEPRSMEGSEYFDSHSDGLNAEGQV PAQTSSLLWSGGSAQTLPHRSESTHAISVDPLRQNIYENFMRELEMSRSNTEHVETSTET MESSSESVSSLEQLDLLFEKEQGVVRKAGWLFFKPLVTLQKERKLELVARRKWKQYWVTL KGCTLLFYETYGKNSTEQNSAPRCALFAEDSIVQSVPEHPKKEHVFCLSNSCGDVYLFQA TSQTDLENWVTAIHSACASLFAKKHGKEDTVRLLKSQTRSLLQKIDMDSKMKKMAELQLS VVSDPKNRKAIENQIRQWEQNLEKFHMDLFRMRCYLASLQGGELPNPKSLLAATSRPSKL ALGRLGVLSVSSFHALVCSRDDSTLRKRTLSLTQRGKSKKGIFSSLKGLDTLARKGREKR ASITQMFDSSHSHGFLGTQLPQKSTNSNKAHDLHLYGSAVDSALRDSMWEVQTYVHFQDN EGVTVTIKPEHRVEDVLALVCKMRQLEPTHYGLQLRKVVDKSVEWCVPALYEYMQEQVYD EIEVFPLSVYDVQLTKTGDMTDFGFAVTVQVDEHQHLNRIFISDVLPDSLAYGGGLRKGN EITSLNGEPVSDLDIQQMEALFSEKSVGLTLVARPVTTRRTLCASWSDSDLFSRDQKSLP PSPNQSQLLEEFLDNFRKTATSDFSNVPEITTGLKRSQTEGTLDQVPHREKMEQTFLSAD QIAELCRDLNNTHTNSMEAPTESHDPPPRPLARHLSDADRLRKVIQELVDTEKSYVKDLS CLFELYLEPLQNETFLTQDEMESLFGSLPEMLEFQKVFLETLEDAISASSDFSVLETPSQ FRKLLFSLGGSFLYYADHFKLYSGFCANHIKVQRVLERAKTDKAFKAFLDARNPTKQHSS TLESYLIKPVQRVLKYPLLLKELVSLTDHESEEHYHLTEALKAMEKVASHINEMQKIYED YGMVFDQLVAEQSGTEKEVTELSMGELLMHSTVSWLNPFLSLGKARKDIELTVFVFKRAV ILVYKENCKLKKKLPSNSRPAHNSADLDPFKFRWLIPISALQVRLGNTAGTENNSTWELI HTKSEIEGRPETIFQLCCSDSENKTSIVKVIRSILRENFRRHIKCELPLEKTCKDRLVPL KNRVPVSAKLASSRSLKGLRTSSSSEWPSEPSKGNSLDSDECSLSSGTQSSGCPVAESRR DSKSTELEKDAQEGLAEFPDGLIKESDILSDEDEDFHHPLKQGSPTKDIEIQFQRLKISE ESDVHPVGQQPLTESGEQPKLVRGHFCPIKRKANSTKRGRGTLLKAQTRHQSLDSHPETA SIDLNLVLEREFSVQSLTSVVNEEGFYETQSHGKS >ENSMUSP00000125842.1 pep:known chromosome:GRCm38:17:3326573:3519397:1 gene:ENSMUSG00000023800.14 transcript:ENSMUST00000169838.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiam2 description:T cell lymphoma invasion and metastasis 2 [Source:MGI Symbol;Acc:MGI:1344338] MGNSESQYTFQGSKNHSNTVTGAKQKPCSLKIRSVHAKDEKSLHGWTHGSSGAGYKSRSL ARSCLSHFKNHQPYATRLSGPTCKVSKGTTYSKHRANTPGNDFQGNSGAFLPENGFHYVD RESEESHITSNGHLLTCYGRKESLASTPPGEDHRSPRVLIKTLGKLDGCLRVEFHNGGNP HKGTSEDPSGPVRLLRYSPTLASETCPVRETRRHSAAGSPSSQRPSPTDSRLRSSKGSSL SSESSWYDSPWGNAGEVSEVEGSFLAPSTPDPSLPSSFPPSDTKKPFNQSSSLSSLRELY KDPNLGCRSPSGTCLSSNEYISSQVSLNNRVSFASDMDVPSRVDHRDPLHYSSFTLPCRK SKALTEDAAKKDTLKARMRRFSDWTGSLSRKKRKLQEPRSMEGSEYFDSHSDGLNAEGQV PAQTSSLLWSGGSAQTLPHRSESTHAISVDPLRQNIYENFMRELEMSRSNTEHVETSTET MESSSESVSSLEQLDLLFEKEQGVVRKAGWLFFKPLVTLQKERKLELVARRKWKQYWVTL KGCTLLFYETYGKNSTEQNSAPRCALFAEDSIVQSVPEHPKKEHVFCLSNSCGDVYLFQA TSQTDLENWVTAIHSACASLFAKKHGKEDTVRLLKSQTRSLLQKIDMDSKMKKMAELQLS VVSDPKNRKAIENQIRQWEQNLEKFHMDLFRMRCYLASLQGGELPNPKSLLAATSRPSKL ALGRLGVLSVSSFHALVCSRDDSTLRKRTLSLTQRGKSKKGIFSSLKGLDTLARKGREKR ASITQMFDSSHSHGFLGTQLPQKSTNSNKAHDLHLYGSAVDSALRDSMWEVQTYVHFQDN EGVTVTIKPEHRVEDVLALVCKMRQLEPTHYGLQLRKVVDKSVEWCVPALYEYMQEQVYD EIEVFPLSVYDVQLTKTGDMTDFGFAVTVQVDEHQHLNRIFISDVLPDSLAYGGGLRKGN EITSLNGEPVSDLDIQQMEALFSEKSVGLTLVARPVTTRRTLCASWSDSDLFSRDQKSLP PSPNQSQLLEEFLDNFRKTATSDFSNVPEITTGLKRSQTEGTLDQVPHREKMEQTFLSAD QIAELCRDLNNTHTNSMEAPTESHDPPPRPLARHLSDADRLRKVIQELVDTEKSYVKDLS CLFELYLEPLQNETFLTQDEMESLFGSLPEMLEFQKVFLETLEDAISASSDFSVLETPSQ FRKLLFSLGGSFLYYADHFKLYSGFCANHIKVQRVLERAKTDKAFKAFLDARNPTKQHSS TLESYLIKPVQRVLKYPLLLKELVSLTDHESEEHYHLTEALKAMEKVASHINEMQKIYED YGMVFDQLVAEQSGTEKEVTELSMGELLMHSTVSWLNPFLSLGKARKDIELTVFVFKRAV ILVYKENCKLKKKLPSNSRPAHNSADLDPFKFRWLIPISALQVRLGNTAGTENNSTWELI HTKSEIEGRPETIFQLCCSDSENKTSIVKVIRSILRENFRRHIKCELPLEKTCKDRLVPL KNRVPVSAKLASSRSLKGLRTSSSSEWPSEPSKGNSLDSDECSLSSGTQSSGCPVAESRR DSKSTELEKDAQEGLAEFPDGLIKESDILSDEDEDFHHPLKQGSPTKDIEIQFQRLKISE ESDVHPVGQQPLTESGEQPKLVRGHFCPIKRKANSTKRGRGTLLKAQTRHQSLDSHPETA SIDLNLVLEREFSVQSLTSVVNEEGFYETQSHGKS >ENSMUSP00000004156.8 pep:known chromosome:GRCm38:19:5689131:5702862:1 gene:ENSMUSG00000004054.8 transcript:ENSMUST00000004156.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k11 description:mitogen-activated protein kinase kinase kinase 11 [Source:MGI Symbol;Acc:MGI:1346880] MEPLKNLFLKSPLGSWNGSGSGGGGGTGGVRPEGSPKATAAYANPVWTALFDYEPNGQDE LALRKGDRVEVLSRDAAISGDEGWWAGQVGGQVGIFPSNYVSRGGGPPPCEVASFQELRL EEVIGIGGFGKVYRGSWRGELVAVKAARQDPDEDISVTAESVRQEARLFAMLAHPNIIAL KAVCLEEPNLCLVMEYAAGGPLSRALAGRRVPPHVLVNWAVQIARGMHYLHCEALVPVIH RDLKSNNILLLQPIEGDDMEHKTLKITDFGLAREWHKTTQMSAAGTYAWMAPEVIKASTF SKGSDVWSFGVLLWELLTGEVPYRGIDCLAVAYGVAVNKLTLPIPSTCPEPFAQLMADCW AQDPHRRPDFASILQQLEALEAQVLREMPRDSFHSMQEGWKREIQGLFDELRAKEKELLS REEELTRAAREQRSQAEQLRRREHLLAQWELEVFERELTLLLQQVDRERPHVRRRRGTFK RSKLRARDGGERISMPLDFKHRITVQASPGLDRRRNVFEVGAGDSPTFPRFRAIQLEPTE SGQTWGRQSPRRLEDSSNGERRACWAWGPSSPKPGEAQNGRRRSRMDEATWYLDSDDSSP LGSPSTPPALNGNPPRPSPEPEEPRRAGPTERGNSSGTPKLIQRALLRGTALLASLGLGR DLQPPGGLSRERGESPTAPPPAQMPSPCPPELPSTPLIRLSQTTPDAHSSPTPGPLLLDL GVPSGQPSAKSPRREETRGRTVSPPPGISRSAPGTPGTPRSPPLGLISRPRPSPLRSRID PWSFVSAGPRPSPLPSPQPAPRRAPWTLFPDSDPFWDSPPANPFRGGSQDCRTQTKDMGA QAPWAPEAGP >ENSMUSP00000070466.6 pep:known chromosome:GRCm38:17:6954965:6961156:-1 gene:ENSMUSG00000052031.7 transcript:ENSMUST00000063683.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tagap1 description:T cell activation GTPase activating protein 1 [Source:MGI Symbol;Acc:MGI:1919786] MAATTAAVVAEEDTELRDLLVQTLENSGVLNRIKAELRAAVFLALEEQEKVEVKILVEFL IDNCFEIFGENIRTRSRITSDDSLEHTDSSDVSTLQNDSAYDSNDPDVEPTSGAASPNRQ LEGPTPTMAGLDTRGHRDTCESSSESSVSMVVRLKNSIVQQDRRFSEPNMSPSRECLVGP TSKQKLTRSEDSFTLSQDASCSEGDEAEDPFTEEVFPAVDSKPKRPVDLKIKNWTQGLAS PQGHITKAFSRSSPGESLGSSPVPSPSCPKRNFFTRHQSFTTKTDKTKPQREIRKHSMLF SFASHKKVLPRTSSIGSEKSKDFSRDQLQKDLRKESQLSGRIVQENESEIQSQTSLGFSL SGTWALSVDNTFQLVDMRKPGSPPSYEEAIYYQTSGLTAYGGQTVGSMRSRMFKPSTAVP PVPSHHGGDLSEGTPGGHRLSSVTEHWTHSQTVHVSIETQGRSELHQLRTVSESMQKAKL DCLGPQHSHLVFEADQLCCARESYI >ENSMUSP00000023238.4 pep:known chromosome:GRCm38:15:75862339:75867404:1 gene:ENSMUSG00000022575.4 transcript:ENSMUST00000023238.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsdmd description:gasdermin D [Source:MGI Symbol;Acc:MGI:1916396] MPSAFEKVVKNVIKEVSGSRGDLIPVDSLRNSTSFRPYCLLNRKFSSSRFWKPRYSCVNL SIKDILEPSAPEPEPECFGSFKVSDVVDGNIQGRVMLSGMGEGKISGGAAVSDSSSASMN VCILRVTQKTWETMQHERHLQQPENKILQQLRSRGDDLFVVTEVLQTKEEVQITEVHSQE GSGQFTLPGALCLKGEGKGHQSRKKMVTIPAGSILAFRVAQLLIGSKWDILLVSDEKQRT FEPSSGDRKAVGQRHHGLNVLAALCSIGKQLSLLSDGIDEEELIEAADFQGLYAEVKACS SELESLEMELRQQILVNIGKILQDQPSMEALEASLGQGLCSGGQVEPLDGPAGCILECLV LDSGELVPELAAPIFYLLGALAVLSETQQQLLAKALETTVLSKQLELVKHVLEQSTPWQE QSSVSLPTVLLGDCWDEKNPTWVLLEECGLRLQVESPQVHWEPTSLIPTSALYASLFLLS SLGQKPC >ENSMUSP00000132336.2 pep:known chromosome:GRCm38:16:14502100:14509199:1 gene:ENSMUSG00000090808.2 transcript:ENSMUST00000164528.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1758 description:predicted gene 1758 [Source:MGI Symbol;Acc:MGI:2686604] MPEDIDYDAQTPNASEQPHWYWRLWTCCLGYGCLDYQVDEYPAYLIPYEPVGQFQRAASM GDLSTVEHFITSGRYHVDKCDRRNRTSLHYACAHNHPEVVTLLIESNCNINVQDDEGCTP LIKATERNNLECVSILLKHGADPHTADFTGNTALHYAVYNGNKAIASELLEYKVDINTTT KHGFTPFSLAVFEKQEKMVEFL >ENSMUSP00000042698.5 pep:known chromosome:GRCm38:15:78597047:78622019:1 gene:ENSMUSG00000018008.7 transcript:ENSMUST00000043069.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyth4 description:cytohesin 4 [Source:MGI Symbol;Acc:MGI:2441702] MDVCHTDPAELSSGEAKELQQIKWHRKQLLEDIQKLKDEIADVFAQIDCFESTEESRMAQ KEKEMCIGRKKFNMDPNKGIQYLIEHKLLTSDVQDIAQFLYKGDGLNKTAIGTYLGEKDP INLQVLQAFVDCHEFANLNLVQALRQFLWSFRLPGEAQKIDRMMEAFAARYCLCNPGVFR STDTCYVLSFSVIMLNTGLHNPNVRDRPPFERFVTMNRGINSGSDLPEEQLRNLFDSIKS EPFSIPEDDGGDLTHTFFNPDREGWLLKLGGRVKTWKRRWFILTDNCLYYFEFTTDKEPR GIIPLENLSVQKVEDPKKPFCLELYNPSCRGQKIKACKTDGDGKVVEGKHESYRISAANA EERDQWIEAIRASITRVPFYDLLSARKKKIVGK >ENSMUSP00000069284.4 pep:known chromosome:GRCm38:19:42090435:42122218:1 gene:ENSMUSG00000025178.8 transcript:ENSMUST00000066778.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4k2a description:phosphatidylinositol 4-kinase type 2 alpha [Source:MGI Symbol;Acc:MGI:1934031] MDETSPLVSPERAQPPEYTFPSGSGAHFPQVPGGAVRVAAAAGSGPSPPCSPGHDRERQP LLDRARGAAAQGQTHTVAVQAQALAAQAAVAAHAVQTHRERNDFPEDPEFEVVVRQAEVA IECSIYPERIYQGSSGSYFVKDSQGRIVAVFKPKNEEPYGHLNPKWTKWLQKLCCPCCFG RDCLVLNQGYLSEAGASLVDQKLELNIVPRTKVVYLASETFNYSAIDRVKSRGKRLALEK VPKVGQRFNRIGLPPKVGSFQLFVEGYKDADYWLRRFEAEPLPENTNRQLLLQFERLVVL DYIIRNTDRGNDNWLIKYDCPMDNSSCRDTDWVMVREPVIKVAAIDNGLAFPLKHPDSWR AYPFYWAWLPQAKVPFSQEIKDLILPKISDPNFIKDLEEDLYELFKRDPGFDRGQFHKQI AVMRGQILNLTQALKDNKSPLHLVQMPPVIVETARSHQRSASESYTQSFQSRKPFFSWW >ENSMUSP00000136125.1 pep:novel scaffold:GRCm38:JH584297.1:31101:33667:1 gene:ENSMUSG00000096236.1 transcript:ENSMUST00000177853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC165294.2 MTVQTPSTLQNLALQALLRDEALALFCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYARRKQLKVVADLCLRPRRDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKDFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQILDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTAN >ENSMUSP00000037651.7 pep:known chromosome:GRCm38:16:45626848:45654118:-1 gene:ENSMUSG00000033187.7 transcript:ENSMUST00000036732.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC016579 description:cDNA sequence, BC016579 [Source:MGI Symbol;Acc:MGI:2384848] MEEGSRSQSPREELELSMLDGPQEELTPLNNDLRIQPNSAEDPSPAQVGKESPWSPCNKS VVGKCKLWMVIVTIFLCFIIVIVISLCLVGVTYIDEDENEILELSSNKTFFITLKIPEEC ANEEELHHLLTERLTDTYRQSPSLSRFFTSADILDFSVENATVTYHLQFGVPSEDDDFMK YMMSEELVLGIMRQSFHDKNISTCESLGLDPESLLLYE >ENSMUSP00000022341.5 pep:known chromosome:GRCm38:14:19811402:19823823:-1 gene:ENSMUSG00000021807.5 transcript:ENSMUST00000022341.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700060E02Rik description:RIKEN cDNA 2700060E02 gene [Source:MGI Symbol;Acc:MGI:1915295] MFRRKLTALDYHNPSGFNCKDETEFRNFIVWLEDQKIRHYKIEDRGNLRNIHSSDWPKFF EKYLKDVNCPFKIQDRQEAIDWLLGLAVRLEYGDNAEKYKDLVPDNRKNTDNAAKNAEPL INLDVNNPDFKAGVMALANLLQIQRHDDYLVMLKAIRILVQERLTQDAVAKANQTKEGLP VALEKHILGFDTGDAVLNEAAQILRLLHIEELRELQTKINEAIVAVQAIIADPKTDHRLG KVGR >ENSMUSP00000111398.1 pep:known chromosome:GRCm38:9:3532354:3897342:1 gene:ENSMUSG00000041624.9 transcript:ENSMUST00000115733.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy1a2 description:guanylate cyclase 1, soluble, alpha 2 [Source:MGI Symbol;Acc:MGI:2660877] MSRRKISSESFSSLSSDYLETSPEEEGECPLSRLCWNGSRSPPGPPGSREAAKAATSAPA ASAAAASAAVAAEYKRAQRRGRVNLDSLGESISLLTAPLPQTIHMTLKRTLQYYEHQVIG YRDAEKNFHNISKRCSYADHSNKEEIEDVSGILQCTANVLGLQFQEIQERFGEEFFKICF DENERVLRAVGSTLQDFFNGFDALLEHIRTSFGKQATLESSSFLCKELPEGTLMLHYFHP HHTVGFAMLGMIKAAGKRIYHLNVEVEQIENEKLCFDGSNPSNCSCLTFLIKECETTQIT KDNPQGTSQVPADLRISINTFCRAFPFHLMFDSNMVVLQLGEGLRKQLRYDTHRALKFED CFEIVSPMINATFDRVLLRLSTPFVIRTKPEASGTENEDKVMEIKGQMIHVPESSAILFL GSPCVDKLDELMGRGLHLSDIPIHDATRDVILVGEQAKAQDGLKKRMDKLKATLERTHQA LEEEKKKTVDLLYSIFPGDVAQQLWQGQQVQARKFDDVTMLFSDIVGFTAICAQCTPMQV ISMLNELYTRFDHQCGLLDIYKVETIGDAYCVASGLHRKSLCHAKPIALMALKMMELSEE VLTPDGKAIQMRIGIHSGSVLAGVVGVRMPRYCLFGNNVTLASKFESGSHPRRINVSPTT YQLLKREDSFTFIPRSREELPDNFPKEIPGVCYFLELRTDPKPPKPSLSSSRIKKVSYNI GTMFLRETSL >ENSMUSP00000130805.1 pep:known chromosome:GRCm38:14:65266701:65321501:1 gene:ENSMUSG00000034532.8 transcript:ENSMUST00000169656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo16 description:F-box protein 16 [Source:MGI Symbol;Acc:MGI:1354706] MMAFAPPKSIDGPKMQTKMSTWTPLNHQLLNDQVFEERRALLGKWFDKWTDSQRRRILTG LLERCSLSQQKFCCRKLQEKIPAEALDFTTKLPRVLSVYIFSFLDPRSLCRCAQVSWYWK SLAELDQLWMLKCLRFNWYISFSPTPFEQGVWKKHYIQMVRELHVTKPKTPPKDEFTTAD VQPIPGNSPDEKQSPSLAFRSSSSLRKKNNPGEKELPPWRSSDKHPTDIIRFNYLDNCDP ELFRLGRRKRSEVTPDFKRQLRDKKNKLQDRARLRKAQSLISLSSPPKVPVRLAWPLHLP VAPSDREAATEALLEHLQKHPGLQSPSPRLQSQS >ENSMUSP00000063957.3 pep:known chromosome:GRCm38:9:18478379:18485292:1 gene:ENSMUSG00000038691.4 transcript:ENSMUST00000069218.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd3l1 description:methyl-CpG binding domain protein 3-like 1 [Source:MGI Symbol;Acc:MGI:1920753] MGKTSQRKQCDCENPSKPCLSTSIPLRMSSYTFKRPVTKITSHLGNEVRYYQWEETLEKP EQACWQKRLQGLQAYSSAGELLSTSDLAKTLKDLTSTDTVASASDTQATSIDITSVPTLE SSSHLANMIPEAGPQILCKEFLVTEQDIINQERKVKIARERLAVALIAHKLASEMETVRG SRKANL >ENSMUSP00000047374.9 pep:known chromosome:GRCm38:13:48577873:48625573:-1 gene:ENSMUSG00000038042.9 transcript:ENSMUST00000035824.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpdc1 description:protein tyrosine phosphatase domain containing 1 [Source:MGI Symbol;Acc:MGI:2145430] MAAGVLPQNEDPYSTLVNSSGHAAHMDENSGRPAPKYTKVGERLRHVIPGHMACSMACGG RACKYENPARWSEQEQAIKGVYSSWVTDNILAMARPSSELLEKYRIIEQFLGQGIKTIIN LQRPGEHASCGSALEQESGFTYLPEAFMEAGIYFYNFGWKDYGVASLTAILDMVKVMTFA LQEGKVAVHCHAGLGRTGVLIACYLVFATRMTADQAIIFVRAKRPNSIQTRGQLLCVREF TQFLAPLRNIFSCCDPKAHAVTLAQYLIRQRHLLHGYEARLLKYVPKIIHLVCKLLLDLA ENRPVVMKSMLEGPVLSAEIEKTVSEMVTLQLDQELLRQNSDVPDPFNPTAEVAEFENQD VILSTEQEFDPLWKRRDIECLQPLTHLKRQLSYSDSDLKRAKAILEQGETPWTVPAQELL DHSLQHQKPTSHCYMPPTPELGFNKEALVQNTFSFWTPSKCGGLEGLKDEGSLLLCRKDI PKEVQRSRTFSVGVSCSHNPGEPVPPNFTSIHKDPEQVTHCRCEAPGGWVPGPVHEMVRS PCSPLNCGSSPKAQFPHGQETQDSTDLSEAVPHAGLQPELSAEARRILAAKALANLNEFV EKEEVKRKVEMWQKELNSREEAWERICGERDPFILCSLMWSWVEQLKEPVITKEDVDMLV DRQADAAEALFLLEKGQYQTILCVLHCIVSLQTLPMEVEEACLLHAIKAFTKVNFDSENG PIVYDTLKKIFKHTLEEKRKMAKDSLS >ENSMUSP00000075543.2 pep:known chromosome:GRCm38:16:88873665:88874269:-1 gene:ENSMUSG00000057650.2 transcript:ENSMUST00000076186.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap19-2 description:keratin associated protein 19-2 [Source:MGI Symbol;Acc:MGI:2157572] MSYYSGYSGGLGYGYGSSFGGLGCGCNSIRRLGCGSGYGGFGYGSGYGGFGGFGYGSGYG GYGYGSGYGGFGGFGYGSGYGGFGYGSGYGGFGGFGYGSGYGGYGYGSGFGGYGYGSGFR GYGCGCRRSSCCGGYGFSSFY >ENSMUSP00000072248.2 pep:known chromosome:GRCm38:9:19566435:19567382:-1 gene:ENSMUSG00000095667.1 transcript:ENSMUST00000072419.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr854 description:olfactory receptor 854 [Source:MGI Symbol;Acc:MGI:3030688] MNNMEKRNQTAFPGFLLLGLTEDPKLQPVLVSLFFSIYLVTIFGNMLIVLISISDSHLHT PMYLLLSNLSLNDICLSTSTIPKMLVNIQENIQSITYKGCLTQMNFVLIFGGMENCLLAV MAYDRYVAICHPLRYTVIMEPCFCILLILLSLLISIVDSLMHSLMVLRLPFCTHLEIPSF FCELPKMLKLACSDTLIDNILIYISSCIFAGIPLSGIVFSYIHIMSSILRMSSSEGKHKA FTTCGSHLSVVFLFYGTGFGVYITSIIMDSSRKTAVASVMYSVVPQMLNPFIYSLRNRDM KDTMRKFFSRIASVL >ENSMUSP00000068007.6 pep:known chromosome:GRCm38:16:90386397:90499553:1 gene:ENSMUSG00000053414.7 transcript:ENSMUST00000065856.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hunk description:hormonally upregulated Neu-associated kinase [Source:MGI Symbol;Acc:MGI:1347352] MPAAAGDGLLGEPAAPGGDGGAEDTTRPAAACEGSFLPAWVSGVSRERLRDFQHHKRVGN YLIGSRKLGEGSFAKVREGLHVLTGEKVAIKVIDKKRAKKDTYVTKNLRREGQIQQMIRH PNITQLLDILETENSYYLVMELCPGGNLMHKIYEKKRLDEAEARRYIRQLISAVEHLHRA GVVHRDLKIENLLLDEDNNIKLIDFGLSNCAGILGYSDPFSTQCGSPAYAAPELLARKKY GPKIDVWSIGVNMYAMLTGTLPFTVEPFSLRALYQKMVDKAMNPLPTQLSTGAVNFLRSL LEPDPVKRPNIQQALANRWLNENYTGKVPCNVTYPNRISLEDLSPSVVLHMTEKLGYKNS DVINTVLSNRACHILAIYFLLNKKLERYLSGKSDIQDSICYKTQLYQIEKCRATKEPYEA SLDTWTRDFEFHAVQDKKPKEQEKRGDFLHRPFSKKLDKNLPSHKQPSPSLITQLQSTKA LLKDRKASKSGFPDKDSFVCRNLFRKTSDSNCVASSSMEFIPVPPPRTPRIVKKLEPHQP GPGSASILPKEEPLLLDMVRSFESVDREDHIELLSPSHHYRILSSPVSLARRNSSERTLS QGLLSGSTSPLQTPLHSTLVSFAHEEKNSPPKEEGVCSPPPVPSNGLLQPLGSPNCVKSR GRFPMMGIGQMLRKRHQSLQPSSERSLDASMSPLQPTAPSSLSFDMADGVKGQC >ENSMUSP00000096644.3 pep:known chromosome:GRCm38:9:3025417:3026387:1 gene:ENSMUSG00000095891.7 transcript:ENSMUST00000075573.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10717 description:predicted gene 10717 [Source:MGI Symbol;Acc:MGI:3642031] MFLIFHDFQFSCHIPCPTVEICKFPPFSVFLAIFHVLKYVFLIFRDFQFSRHIPGPTVCI SHFSRFSVILSFFKFSSGCFSFSLIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCVFL IFSDFQFSRHIPGPTVCISHFSRFSVISSFFKVSSGCFSFSMIFSFLAIFHVLQWTFLNF PPFSSYSFSPYSRSYSVHFSFFTFFSDFVIFQVFKWMFLIFLDFQFSC >ENSMUSP00000136755.1 pep:known chromosome:GRCm38:9:3026151:3028494:1 gene:ENSMUSG00000095891.7 transcript:ENSMUST00000177601.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10717 description:predicted gene 10717 [Source:MGI Symbol;Acc:MGI:3642031] CHIPCPTVEISFPPFSVFLAIFHVLKCVFLIFRVFQVSRHIPGPTVCISHFSRFSVILSF FKFSSGCFSFSLIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCCVFLIFRDFQFSCHI PGPTVCISHFSRFSVILSFFNLSTACFSFSIIFSFLAIFHVLQWTFLNFPPFSSYSPYSR SYRMHFSFFTFFSDFIIFQVVKCMFLIFNDFHFSC >ENSMUSP00000137419.1 pep:known chromosome:GRCm38:9:3027523:3029431:1 gene:ENSMUSG00000095891.7 transcript:ENSMUST00000177875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10717 description:predicted gene 10717 [Source:MGI Symbol;Acc:MGI:3642031] MFLIFHDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRDFQFSCHIPGPTVCIS HFSRFSVILSFFNLSTACFSFSIIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCCVFL IFRDFQFSCHIPGPTVCISHFSRFSVILSFFNLSTACFSFSMIFSFLAIFHVLQWKFLNF PPFSVSPYSRSYSVHFSFFTFFSDFVIFQVVKWMFPIFLDFQFSY >ENSMUSP00000136365.1 pep:known chromosome:GRCm38:9:3027523:3028728:1 gene:ENSMUSG00000095891.7 transcript:ENSMUST00000179982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10717 description:predicted gene 10717 [Source:MGI Symbol;Acc:MGI:3642031] MFLIFHDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRDFQFSCHIPGPTVCIS HFSRFSVILSFFNLSTACFSFSIIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCVFLI FRDFQFSCYIPSPTVCISHFSRFSVILSFFNLSTACFSFSMIFSFFAIFHVLQGKFLYFP PFSSSIFSPYSRSYSVHFSFFTFFSDFVIFQVVKWKFLIFHDFQLSS >ENSMUSP00000096650.4 pep:known chromosome:GRCm38:9:3029195:3031071:1 gene:ENSMUSG00000095891.7 transcript:ENSMUST00000099051.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10717 description:predicted gene 10717 [Source:MGI Symbol;Acc:MGI:3642031] CHIPCPTVEISFPPFSVFLAIFHVLKCVFLIFRVFQVSRHIPGPTVCISHFSRFSVILSF FKLSSGCFPFSLIFSFLTIFHVLQWTFLNFPPFSVFLAIFHVLKCVFLIFSDFQFPRHIP GPTVCISHFSRFSVISSFFKTSSGCFSFFMIFSFLAIFHVLQWTFLNFPPFSVSPYSRSY SVHFSFFTFFSDFVIFQVVKWMFLIFLDFHFSC >ENSMUSP00000096640.3 pep:known chromosome:GRCm38:9:3030337:3031071:1 gene:ENSMUSG00000095891.7 transcript:ENSMUST00000099042.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10717 description:predicted gene 10717 [Source:MGI Symbol;Acc:MGI:3642031] FLIFHDFQLSSHIPGPTVDISFRPLSVFLAIFHVPKCVFLIFHDFQFPRHIPGPTVCISH FSRFSVISSFFKTSSGCFSFFMIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCVFLIF RDFQFSCHIPGPTVCISHFSRFSVILSFFNLSTACFSFSMIFSFLAIFHVLQWTFLNFPP FSVSPYSRSYSVHFSFFTFFSDFVIFQVVKWMFLIFLDFHFSC >ENSMUSP00000137451.1 pep:known chromosome:GRCm38:9:3030568:3032588:1 gene:ENSMUSG00000095891.7 transcript:ENSMUST00000179264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10717 description:predicted gene 10717 [Source:MGI Symbol;Acc:MGI:3642031] MFLIFHDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRDFQFSCHIPGPTVCIS HFSRFSVILSFFNLSTACFSFSMIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCVFLI FRVFQFPRHIPGPTVCIYHFSRFSVISSFFKTSSGCFSFFMIFSFLAIFHVLQWTFLNFP PFSVSPYSRSYSVHFSFFTFFSDFVIFQVVKWMFLIFLDFHFSC >ENSMUSP00000136837.1 pep:known chromosome:GRCm38:9:3032085:3033289:1 gene:ENSMUSG00000095891.7 transcript:ENSMUST00000179839.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10717 description:predicted gene 10717 [Source:MGI Symbol;Acc:MGI:3642031] MFLIFHDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRDFQFSCHIPGPTVCIS HFSRFSVILSFFKLSSGCFSFSLIFTFLAIFHVLQWTFLYFPPFSVFLAIFHVLKCVFLI FRDFQVSRHIPGPTVCISHFSRFSVILSFFKLSSGCFSFSLIFTFLAIFHVLQWTFLNFP PFSVLYFSPYSKSYSVHFSFFTFFSDFVIFQVVKWMFLIFHDFQLSC >ENSMUSP00000096655.3 pep:known chromosome:GRCm38:9:3032085:3032822:1 gene:ENSMUSG00000095891.7 transcript:ENSMUST00000099056.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10717 description:predicted gene 10717 [Source:MGI Symbol;Acc:MGI:3642031] MFLIFHDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRDFQFSCHIPGPTVCIS HFSRFSVILSFFKLSSGCFSFSLIFTFLAIFHVLQWTFLYFPPFSVFLAIFHVLKCVFLI FRDFQVSRHIPGPTVCISHFSRFSVILSFFKLSSGCFSFSLIFTFLAIFHVLQWTFLNFP PFFLPYSRSYSVHFSFFTFFSDFVIFQVVNCMFLIFYDFQFSC >ENSMUSP00000083459.6 pep:known chromosome:GRCm38:9:22276748:22307638:-1 gene:ENSMUSG00000066829.6 transcript:ENSMUST00000086278.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp810 description:zinc finger protein 810 [Source:MGI Symbol;Acc:MGI:2384563] MVLVSFEDVAVDFTWEEWQALDAAQRTLYRDVMLETYSSLVSLGHCMAKPELIFNLEQGL GPRSTAGASVWNLSGVNKVSSLVDTIQENDSRHFWQIEINSHTANEELVEAELKIHEEIH RGAKSYECEVCLEAFYLKPQYSTKQSYHTCENPCDCKKCREAFYPKSTLSQYQRLERGEK PHACLECGKSFYCKSHLTVHQRTHTGEKPYDCKECRKAFYSKSQLNVHLRIHTGEKPYEC KDCRKAFYRNSDLTVHQRTHTGEKPYECKVCRKAFYCNSQLTVHHRTHTGEKPYECQVCN KAFYCKSQLAVHHRTHTGEKPYQCKECRKAFQCRSDLTRHQRTHTGERPYECPECRKAFY RKSDLTVHQRTHTGEKPYECKECRKAFYCNSQLTVHQRQHTGEKPYECKDCGKAFQCKYE LTRHQRTHTGEKPYGCRECKKAFYTKSDLTRHLKTHAGDKP >ENSMUSP00000077532.6 pep:known chromosome:GRCm38:14:70217898:70289449:-1 gene:ENSMUSG00000022092.10 transcript:ENSMUST00000078434.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp3cc description:protein phosphatase 3, catalytic subunit, gamma isoform [Source:MGI Symbol;Acc:MGI:107162] MSVRRPQFSTTERVIKAVPFPPTRRLTLKEVFENGKPKMDLLKNHLVKEGRVEEEVALKI INDGAAILKQEKTMIEVEAPITVCGDVHGQFFDLMKLFEVGGSPSNTRYLFLGDYVDRGY FSIECVLYLWSLKINHPKTLFLLRGNHECRHLTEYFTFKQECRIKYSEMVYDACMHTFDC LPLAALLNQQFLCVHGGMSPEITCLEDIRKLDRFSEPPAFGPVCDLLWSDPLEDYGSEKT LEHYTHNTVRGCSYFFSYPAVCEFLQNNSLLSIIRAHEAQDAGYRMYRKNQATGFPSLIT IFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEKVTEM LVNILNICSDEEMNVTDEEGATTGRKEVIKNKIRAIGKMARVFTVLREESENVLTLKGLT PTGTLPLGVLSGGKQTIETAKQEAAEEREAIRGFTIAHRIRSFEEARGLDRINERMPPRK EASYHHDAGRMHSHSHPPHPQASRRTDHGKKAL >ENSMUSP00000049007.6 pep:known chromosome:GRCm38:18:35118888:35254773:1 gene:ENSMUSG00000037815.6 transcript:ENSMUST00000042345.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctnna1 description:catenin (cadherin associated protein), alpha 1 [Source:MGI Symbol;Acc:MGI:88274] MTAVHAGNINFKWDPKSLEIRTLAVERLLEPLVTQVTTLVNTNSKGPSNKKRGRSKKAHV LAASVEQATENFLEKGDKIAKESQFLKEELVVAVEDVRKQGDLMKSAAGEFADDPCSSVK RGNMVRAARALLSAVTRLLILADMADVYKLLVQLKVVEDGILKLRNAGNEQDLGIQYKAL KPEVDKLNIMAAKRQQELKDVGNRDQMAAARGILQKNVPILYTASQACLQHPDVAAYKAN RDLIYKQLQQAVTGISNAAQATASDDAAQHQGGSGGELAYALNNFDKQIIVDPLSFSEER FRPSLEERLESIISGAALMADSSCTRDDRRERIVAECNAVRQALQDLLSEYMGNAGRKER SDALNSAIDKMTKKTRDLRRQLRKAVMDHVSDSFLETNVPLLVLIEAAKNGNEKEVKEYA QVFREHANKLIEVANLACSISNNEEGVKLVRMSASQLEALCPQVINAALALAAKPQSKLA QENMDLFKEQWEKQVRVLTDAVDDITSIDDFLAVSENHILEDVNKCVIALQEKDVDGLDR TAGAIRGRAARVIHVVTSEMDNYEPGVYTEKVLEATKLLSNTVMPRFTEQVEAAVEALSS DPAQPMDENEFIDASRLVYDGIRDIRKAVLMIRTPEELDDSDFETEDFDVRSRTSVQTED DQLIAGQSARAIMAQLPQEQKAKIAEQVASFQEEKSKLDAEVSKWDDSGNDIIVLAKQMC MIMMEMTDFTRGKGPLKNTSDVISAAKKIAEAGSRMDKLGRTIADHCPDSACKQDLLAYL QRIALYCHQLNICSKVKAEVQNLGGELVVSGVDSAMSLIQAAKNLMNAVVQTVKASYVAS TKYQKSQGMASLNLPAVSWKMKAPEKKPLVKREKQDETQTKIKRASQKKHVNPVQALSEF KAMDSI >ENSMUSP00000034632.8 pep:known chromosome:GRCm38:9:37208333:37223210:1 gene:ENSMUSG00000032121.8 transcript:ENSMUST00000034632.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem218 description:transmembrane protein 218 [Source:MGI Symbol;Acc:MGI:1913529] MAGMVLGVGAGVFLLALIWVLVLLLCVLLSRASGIARFSIVFVFLGALIITTVLLLFPRA SEFPAPEGEMKIVDAFFIGRYVLLAFLSAVFLGGLFLLLTHHLLEPIYAKPLRSC >ENSMUSP00000136394.1 pep:known chromosome:GRCm38:17:39042634:39043341:-1 gene:ENSMUSG00000094168.1 transcript:ENSMUST00000178039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21903 description:predicted gene, 21903 [Source:MGI Symbol;Acc:MGI:5434067] SFFLVMVFLIRLFMQSFPTECWVLTNTQKE >ENSMUSP00000034883.5 pep:known chromosome:GRCm38:9:58253164:58262523:1 gene:ENSMUSG00000032333.5 transcript:ENSMUST00000034883.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stoml1 description:stomatin-like 1 [Source:MGI Symbol;Acc:MGI:1916356] MLGRSGYRALPLGDFDRFQQSSFGFLGSQKGCLSPEPGSVGPGADAPESWPSCLCHGLVS VLGFLLLLLTFPISGWFALKIVPTYERMIVFRLGRIRNPQGPGMVLLLPFIDSFQRVDLR TRAFNVPPCKLASKDGAVLSVGADVQFRIWDPVLSVMAVKDLNTATRMTAHNAMTKALLR RPLQEIQMEKLKIGDQLLLEINDVTRAWGLEVDRVELAVEAVLQPPQDSLTVPSLDSTLQ QLALHLLGGSMNSAVGHVPSPGPDTLEMINEVEPPASLAGAGPEPSPKQPVAEGLLTALQ PFLSEALVSQVGACYQFNVILPSGTQSIYFLDLTTGQGRVGHGEPDGIPDVVVEMAEADL QALLSKELRPLGAYMSGRLKVKGDLAVVMKLEAVLKALK >ENSMUSP00000002436.9 pep:known chromosome:GRCm38:17:5841328:5931033:1 gene:ENSMUSG00000002365.9 transcript:ENSMUST00000002436.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx9 description:sorting nexin 9 [Source:MGI Symbol;Acc:MGI:1913866] MATKARVMYDFAAEPGNNELTVTEGEIITVTNPNVGGGWLEGKNNKGEQGLVPTDYVEIL PNDGKDPFSCGNSVADQAFLDSLTASTAQTNSSSANSNNQVGGGNDPWTAWNAPKPGNWD SSDAWGSRTDGTSAQRNSSANNWDTGFGHPQAYQGPATGDDDEWDEDWDDPKSSSPYFKD SEPAEAGGIQRGNSRAGASSMKLPLNKFPGFAKPGMEQYLLAKQLAKPKEKIAIIVGDYG PMWVYPTSTFDCVVADPRKGSKMYGLKSYIEYQLTPTNTNRSVNHRYKHFDWLYERLLVK FGSAIPIPSLPDKQVTGRFEEEFIKMRMERLQAWMTRMCRHPVVSESEVFQQFLNFRDEK EWKTGKRKAEKDELVGVMIFSTMEPEAPDLDLIEIEQKCDAVGKFTKAMDDGVKELLTVG QEHWKRCTGPLPKEYQKIGKALQSLAAVFSSSGYQGETDLNDAITEAGKTYEEIASLVAE QPKKDLHFLMECNHEYKGFLGCFPDIIGAHKGAIEKVKESDKLVATSKITPQDKQTMVKR VGTMSYALQAEMNHFHSNRIYDYNSVIRLYLEQQVQFYETIAEKLRQALSRFPVM >ENSMUSP00000022697.5 pep:known chromosome:GRCm38:14:70636205:70642268:-1 gene:ENSMUSG00000022101.5 transcript:ENSMUST00000022697.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf17 description:fibroblast growth factor 17 [Source:MGI Symbol;Acc:MGI:1202401] MGAARLLPNLTLCLQLLILCCQTQGENHPSPNFNQYVRDQGAMTDQLSRRQIREYQLYSR TSGKHVQVTGRRISATAEDGNKFAKLIVETDTFGSRVRIKGAESEKYICMNKRGKLIGKP SGKSKDCVFTEIVLENNYTAFQNARHEGWFMAFTRQGRPRQASRSRQNQREAHFIKRLYQ GQLPFPNHAERQKQFEFVGSAPTRRTKRTRRPQSQT >ENSMUSP00000053394.5 pep:known chromosome:GRCm38:9:20965952:20976793:-1 gene:ENSMUSG00000043895.5 transcript:ENSMUST00000054197.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S1pr2 description:sphingosine-1-phosphate receptor 2 [Source:MGI Symbol;Acc:MGI:99569] MGGLYSEYLNPEKVLEHYNYTKETLDMQETTSRKVASAFIIILCCAIVVENLLVLIAVAR NSKFHSAMYLFLGNLAASDLLAGVAFVANTLLSGHVTLSLTPVQWFAREGSAFITLSASV FSLLAIAIERQVALAKVKLYGSDKSCRMLMLIGASWLISLILGGLPILGWNCLNQLEACS TVLPLYAKHYVLCVVTIFSVILLAIVALYVRIYFVVRSSHADVAGPQTLALLKTVTIVLG VFIICWLPAFSILLLDSTCPVRACPVLYKAHYFFAFATLNSLLNPVIYTWRSRDLRREVL RPLQCWRRGKGVTGRRGGNPGHRLLPLRSSSSLERGMHMPTSPTFLEGNTVV >ENSMUSP00000072002.4 pep:known chromosome:GRCm38:17:21691860:21692114:1 gene:ENSMUSG00000067929.3 transcript:ENSMUST00000072133.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10226 description:predicted gene 10226 [Source:MGI Symbol;Acc:MGI:3704470] EKPYKCKDCDISFNQISNLRRHQKLHTGEKPYKCMECDKSFTHNSNLRAHQRVHTGEKPY RCTECDKSFTRCSYLRAHQKIHTGE >ENSMUSP00000101622.2 pep:known chromosome:GRCm38:7:141467362:141471479:1 gene:ENSMUSG00000025510.13 transcript:ENSMUST00000106000.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd151 description:CD151 antigen [Source:MGI Symbol;Acc:MGI:1096360] MGEFNEKKATCGTVCLKYLLFTYNCCFWLAGLAVMAVGIWTLALKSDYISLLASSTYLAT AYILVVAGVVVMVTGVLGCCATFKERRNLLRLYFILLLIIFLLEIIAGILAYVYYQQLNT ELKENLKDTMVKRYHQSGHEGVSSAVDKLQQEFHCCGSNNSQDWQDSEWIRSGEADSRVV PDSCCKTMVAGCGKRDHASNIYKVEGGCITKLETFIQEHLRVIGAVGIGIACVQVFGMIF TCCLYRSLKLEHY >ENSMUSP00000136331.1 pep:known chromosome:GRCm38:7:141467362:141471479:1 gene:ENSMUSG00000025510.13 transcript:ENSMUST00000177840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd151 description:CD151 antigen [Source:MGI Symbol;Acc:MGI:1096360] MGEFNEKKATCGTVCLKYLLFTYNCCFWLAGLAVMAVGIWTLALKSDYISLLASSTYLAT AYILVVAGVVVMVTGVLGCCATFKERRNLLRLYFILLLIIFLLEIIAGILAYVYYQQLNT ELKENLKDTMVKRYHQSGHEGVSSAVDKLQQEFHCCGSNNSQDWQDSEWIRSGEADSRVV PDSCCKTMVAGCGKRDHASNIYKVEGGCITKLETFIQEHLRVIGAVGIGIACVQVFGMIF TCCLYRSLKLEHY >ENSMUSP00000061636.5 pep:known chromosome:GRCm38:7:141468789:141471479:1 gene:ENSMUSG00000025510.13 transcript:ENSMUST00000058746.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd151 description:CD151 antigen [Source:MGI Symbol;Acc:MGI:1096360] MGEFNEKKATCGTVCLKYLLFTYNCCFWLAGLAVMAVGIWTLALKSDYISLLASSTYLAT AYILVVAGVVVMVTGVLGCCATFKERRNLLRLYFILLLIIFLLEIIAGILAYVYYQQLNT ELKENLKDTMVKRYHQSGHEGVSSAVDKLQQEFHCCGSNNSQDWQDSEWIRSGEADSRVV PDSCCKTMVAGCGKRDHASNIYKVEGGCITKLETFIQEHLRVIGAVGIGIACVQVFGMIF TCCLYRSLKLEHY >ENSMUSP00000081158.4 pep:known chromosome:GRCm38:17:21816876:21845759:-1 gene:ENSMUSG00000069743.4 transcript:ENSMUST00000084141.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp820 description:zinc finger protein 820 [Source:MGI Symbol;Acc:MGI:1922674] MNASLLNVPQGQLTFKDVAVDFSQEEWECLDCAQRALYMDVMLENYNNLFFVETHGMCPK YKNILDQDLQHIVHEHVNIQVKSYKCHELGKIIHESTQSTPYKTNLRATSVESSNLSRHE TENNREPCKSKSPVNCLNLFSTISLTQGIHRRKKEYYGTELVKVFDSKQKLKLKQTNNRE KPYKCSECDKCFTKKCKLRQHQRIHTGEKPYKCSECDKCFTQKDRLIIHQRVHTGEKPYK CSECDKGFTSKSRLNIHQRVHTGEKSYKCSECDKSFSQQGNLSIHLRIHTGEKPYKCSEC DKCFTYKSGLRSHQIIHTGEKAYKCSECGKCFTHKGDLRRHQSIHMGEKPYKCSECDKGF TQKCRLIIHQIIHTGKKGYKCSECDKCFILKSDLSIHQRIHTGEKPYECSECGKGFTSKS RLNIHQRVHTGEKSYKCSECDKSFTQQGNLRIHLRIHTGEKPYKCSECDKCFTYKSGLRS HQIIHTGEKAYKCSECGKCFTHKGDLRRHQSVHMGEKPYKCSECDKGFTQKMPSYHSSDN SYRKERLQM >ENSMUSP00000100655.2 pep:known chromosome:GRCm38:18:34736359:34738014:1 gene:ENSMUSG00000078240.2 transcript:ENSMUST00000105038.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3550 description:predicted gene 3550 [Source:MGI Symbol;Acc:MGI:3781727] MAKSKNHTTHNQSRKWHRNGIKKPRSQRYESLKGVDPKFLRNMRFAKKHNKKGLEKMQAS NAKAVSVHAEAIKALVKPQAIKPKMPKGPKLKRLAFIAHPKLGKRIRSYMAKGQRLCQPK PKVQTKAGAKAPAKAQASTPAQAPKGAQAPKGAQAPVKAP >ENSMUSP00000043329.6 pep:known chromosome:GRCm38:9:37489321:37524148:1 gene:ENSMUSG00000042138.6 transcript:ENSMUST00000048604.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msantd2 description:Myb/SANT-like DNA-binding domain containing 2 [Source:MGI Symbol;Acc:MGI:2384579] MAAPCGSELPANSPLKIPKMEVLSPASPGDLSDGNPSLSDPSTPRGASPLGPGSAAGSGA AASGGLGLGLGGRGAASSSVSFSPGGGSGGAAAAAAAACRGMSWTPAETNALIAVWGNER LVEARYQQLEGAGTVFGSKAPGPAMYERVSRALAELGYERTPSQCRERIKTLRRCYSRVK EHGVGKRKSSYTFEQLEQVFGQGGWDAQPCQPVLINSSGLYQELESDGSTMEDYSQEDWG NHSQELHGYPTDQELDEMPVSKRTLKIKQESSEEAQKRDTMQNIVQILESVQLKWELFQS WTDFSRLHLSNKLAIFGIGYNTRWKEDIRYHYAEISSQVPLGKRLREYFNSEKPEGRIIM TRVQKMNWKNVYYKFLEITISEARCLELHMEIDWIPIAHSKPTGGNVVQYLLPGGIPKSP GLYAIGYEECIERPLSPDVERHALDPGKEGRVDLETLSAQASLQVEVEPTRIIYCYLGIA EVRTLQQCLFLHFQANAKTFSKEWVGINGFLSQNCIVDPGVSPKSIYIKFVEVERDFLSA GSLVECLEKAIGYPLKFNN >ENSMUSP00000046515.5 pep:known chromosome:GRCm38:14:79481194:79582476:1 gene:ENSMUSG00000036461.15 transcript:ENSMUST00000040131.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf1 description:E74-like factor 1 [Source:MGI Symbol;Acc:MGI:107180] MAAVVQQNDLVFEFASNGMEDEQQLGDPAIFPAVIVEHVPGADILNSYAGLACVEEPNDM ITESSLDVAEEEIIDDDDDDITLTVEASCHNGDETIETIEAAEALLNIDSPSPPVLDEKQ INNNIFSSSEDDIVAPITHVSVTLDGIPEVMETQQVQETNADSPGASSPEQRKRKKGRKT KPPRPDSPTTTPNISVKKKNKDGKGNTIYLWEFLLALLQDKATCPKYIKWTQREKGIFKL VDSKAVSRLWGKHKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKEMPKDLIYID DEDPSSSIESSDQSLSSTTASSRNQANRSRVSSSPGIKGGAATILKPGNSKAANPKDPVE VGQPSEVLRTVQPSQAPYPTQLFRTVHVVQPVQAVPEEATIASTMQEEAANSSVPSIRTI QASTQVPVVVSPGNQQLHTVTVPLTTVIASIDPSSGAGSQKFILQTIPSSQPMTVLKENV MLQSQKPGSPSIVLSPTQVQQVLTSNVQSICNGAGSVASAPSFSATTPVVTFSRSSQLVA HPPGTVITSVIKAQETKTLKQEVEKKAEDDLNEDAEKSAQQPQPYVMVLSSSNGFSSQVA VKQNELLEPNSF >ENSMUSP00000106459.1 pep:known chromosome:GRCm38:14:79515674:79582475:1 gene:ENSMUSG00000036461.15 transcript:ENSMUST00000110835.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf1 description:E74-like factor 1 [Source:MGI Symbol;Acc:MGI:107180] MAAVVQQNDLVFEFASNGMEDEQQLGDPAIFPAVIVEHVPGADILNSYAGLACVEEPNDM ITESSLDVAEEEIIDDDDDDITLTVEASCHNGDETIETIEAAEALLNIDSPSPPVLDEKQ INNNIFSSSEDDIVAPITHVSVTLDGIPEVMETQQVQETNADSPGASSPEQRKRKKGRKT KPPRPDSPTTTPNISVKKKNKDGKGNTIYLWEFLLALLQDKATCPKYIKWTQREKGIFKL VDSKAVSRLWGKHKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKEMPKDLIYID DEDPSSSIESSDQSLSSTTASSRNQANRSRVSSSPGIKGGAATILKPGNSKAANPKDPVE VGQPSEVLRTVQPSQAPYPTQLFRTVHVVQPVQAVPEEATIASTMQEEAANSSVPSIRTI QASTQVPVVVSPGNQQLHTVTVPLTTVIASIDPSSGAGSQKFILQTIPSSQPMTVLKENV MLQSQKPGSPSIVLSPTQVQQVLTSNVQSICNGAGSVASAPSFSATTPVVTFSRSSQLVA HPPGTVITSVIKAQETKTLKQEVEKKAEDDLNEDAEKSAQQPQPYVMVLSSSNGFSSQVA VKQNELLEPNSF >ENSMUSP00000092916.1 pep:known chromosome:GRCm38:8:69373528:69373914:-1 gene:ENSMUSG00000071083.1 transcript:ENSMUST00000095282.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10311 description:predicted gene 10311 [Source:MGI Symbol;Acc:MGI:3642244] FAGKKEVILKINSMNIDNVVKFLHVTVIFKVMKEFVLERNPVNVINVIITVLFKILKKII LKRDYMNVMNVVKLFHNRVISKYIQGHIMDRNSMNVSNVVKPLPVAAVFKNMKKIILEIQ PMSLSKVV >ENSMUSP00000106038.1 pep:known chromosome:GRCm38:15:31441210:31453689:-1 gene:ENSMUSG00000022236.9 transcript:ENSMUST00000110408.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ropn1l description:ropporin 1-like [Source:MGI Symbol;Acc:MGI:2182357] MPLPDTMFCAQQIHIPPELPDILKQFTKAAIRTQPADVLQWSAGYFSALSRGDPLPVKDR IEMPVATQKTDTGLTQGLLKVLHKQCSHKQYVELADLEKKWKNLCLPVEKLRTILELDPC EDKIEWIKFLALGCSSLGRTLNTAMKNVCEILTSDPEGGPARIPFETFAYVYQYLSGLDP ELPAVETENYLTSLRLMSESRKNGMIGLSDFFVGKKII >ENSMUSP00000035898.6 pep:known chromosome:GRCm38:9:57441328:57468024:-1 gene:ENSMUSG00000040722.6 transcript:ENSMUST00000046587.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp5 description:secretory carrier membrane protein 5 [Source:MGI Symbol;Acc:MGI:1928948] MAEKVNNFPPLPKFIPLKPCFYQDFEADIPPQHLSLTKRLYYLWMLNSVTLAVNLVGCLA WLIGGGGATNFGLAFLWLILFTPCSYVCWFRPIYKAFKTDSSFSFMAFFFTFMAQLVISI IQAVGIPGWGVCGWIATISFFGTNIGSAVVMLIPTVMFTVVAVFSFIALSMVHKFYRGSG GSFSKAQEEWTTGAWKNPHVQQAAQNAAMGAAQGAMNQPQTQYSATPNYTYSNEM >ENSMUSP00000093558.4 pep:known chromosome:GRCm38:16:94749266:94997696:-1 gene:ENSMUSG00000043301.15 transcript:ENSMUST00000095873.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj6 description:potassium inwardly-rectifying channel, subfamily J, member 6 [Source:MGI Symbol;Acc:MGI:104781] MTMAKLTESMTNVLEGDSMDQDVESPVAIHQPKLPKQARDDLPRHISRDRTKRKIQRYVR KDGKCNVHHGNVRETYRYLTDIFTTLVDLKWRFNLLIFVMVYTVTWLFFGMIWWLIAYIR GDMDHIEDPSWTPCVTNLNGFVSAFLFSIETETTIGYGYRVITDKCPEGIILLLIQSVLG SIVNAFMVGCMFVKISQPKKRAETLVFSTHAVISMRDGKLCLMFRVGDLRNSHIVEASIR AKLIKSKQTSEGEFIPLNQTDINVGYYTGDDRLFLVSPLIISHEINQQSPFWEISKAQLP KEELEIVVILEGMVEATGMTCQARSSYITSEILWGYRFTPVLTLEDGFYEVDYNSFHETY ETSTPSLSAKELAELANRAELPLSWSVSSKLNQHAELETEEEEKNPEELTERNG >ENSMUSP00000097108.2 pep:known chromosome:GRCm38:16:94761080:94997696:-1 gene:ENSMUSG00000043301.15 transcript:ENSMUST00000099508.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj6 description:potassium inwardly-rectifying channel, subfamily J, member 6 [Source:MGI Symbol;Acc:MGI:104781] MTMAKLTESMTNVLEGDSMDQDVESPVAIHQPKLPKQARDDLPRHISRDRTKRKIQRYVR KDGKCNVHHGNVRETYRYLTDIFTTLVDLKWRFNLLIFVMVYTVTWLFFGMIWWLIAYIR GDMDHIEDPSWTPCVTNLNGFVSAFLFSIETETTIGYGYRVITDKCPEGIILLLIQSVLG SIVNAFMVGCMFVKISQPKKRAETLVFSTHAVISMRDGKLCLMFRVGDLRNSHIVEASIR AKLIKSKQTSEGEFIPLNQTDINVGYYTGDDRLFLVSPLIISHEINQQSPFWEISKAQLP KEELEIVVILEGMVEATGMTCQARSSYITSEILWGYRFTPVLTLEDGFYEVDYNSFHETY ETSTPSLSAKELAELANRAELPLSWSVSSKLNQHAELETEEEEKNPEELTERNGDVANLE NESKV >ENSMUSP00000130321.1 pep:known chromosome:GRCm38:16:94822532:94856690:-1 gene:ENSMUSG00000043301.15 transcript:ENSMUST00000165538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj6 description:potassium inwardly-rectifying channel, subfamily J, member 6 [Source:MGI Symbol;Acc:MGI:104781] MDQDVESPVAIHQPKLPKQARDDLPRHISRDRTKRKIQRYVRKDGKCNVHHGNVRETYRY LTDIFTTLVDLKWRFNLLIFVMVYTVTWLFFGMIWWLIAYIRGDMDHIEDPSWTPCVTNL NGFVSAFLFSIETETTIGYGYRVITDKCPEGIILLLIQSVLGSIVNAFMVGCMFVKISQP KKRAETLVFSTHAVISMRDGKLCLMFRVGDLRNSHIVEASIRAKLIKSKQTSEGEFIPLN QTDINVGYYTGDDRLFLVSPLIISHEINQQSPFWEISKAQLPKEELEIVVILEGMVEATG QF >ENSMUSP00000100521.1 pep:known chromosome:GRCm38:X:111168340:111168693:1 gene:ENSMUSG00000062226.4 transcript:ENSMUST00000075226.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10112 description:predicted pseudogene 10112 [Source:MGI Symbol;Acc:MGI:3800293] MMGTLVTCKDIPPWVKVPEDLKDPEVFQVHSLVLKSLFGPQGPRMPHIEQVSQAVFELKN LESSKHTEVLIYGSQNNKIRAKWMLQSMAERYRLCQQRGVLKLEEAMKTRELGQCTK >ENSMUSP00000057581.4 pep:known chromosome:GRCm38:13:98634978:98637410:-1 gene:ENSMUSG00000046082.4 transcript:ENSMUST00000050389.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem174 description:transmembrane protein 174 [Source:MGI Symbol;Acc:MGI:1915594] MEHSSNRPEDFPLNVFSVTPYTPSTADIQVSDDDKAGATLLFSGIFLGLVGITFTVMGWI KYQGVSHFEWTQLLGPILLSVGVTFILIAVCKFKMLSCQLCSDNEERVPDSDQTSGGQSF VFTGINQPITFHGATVVQYIPPPYGSQEPLGMNATYLQPMMNPCGLIPPSGAAAAAPSPP QYYTIYPQDNAAFVESEGFSPFVGTGYDRPDSDADQLEGTELEEEDCVCFSPPPYEEIYA LPR >ENSMUSP00000077903.2 pep:known chromosome:GRCm38:9:18875329:18876276:1 gene:ENSMUSG00000062868.2 transcript:ENSMUST00000078861.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr830 description:olfactory receptor 830 [Source:MGI Symbol;Acc:MGI:3030664] MSNMEVENKSVVLDIFLHGLTNDPELQPFIFVLFLSIYLITVSGNLLIMLAIKCDFHLHN PMYFFLCHLSFNDMYLISITVPKMLMNIQTKDQRITFAGCLSQACFVVVCTIFECFLLGV MAYDRYIAICYPLRYTVLMNPSFCVILVLFSLFFSIVNGLLHSLMVLYLSFCTDLEILHF FCEIAQILKLACSDSLINNILIFVTASIFAGLPLFAIIFSYTHIVSTVLKMPSSEGKYKA FSTCGSHLSVVSLFYGTGFGVYITSKVIDSPKKIAVASVMYSVVPPMLNPFVYCLRNRDM KEALKKVIGRTASLL >ENSMUSP00000042150.7 pep:known chromosome:GRCm38:7:45018007:45021644:1 gene:ENSMUSG00000038387.8 transcript:ENSMUST00000044111.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rras description:Harvey rat sarcoma oncogene, subgroup R [Source:MGI Symbol;Acc:MGI:98179] MSSGAASGTGRGRPRGGGPGPRDPPPGETHKLVVVGGGGVGKSALTIQFIQSYFVSDYDP TIEDSYTKICTVDGIPARLDILDTAGQEEFGAMREQYMRAGNGFLLVFAINDRQSFNEVG KLFTQILRVKDRDDFPIVLVGNKADLENQRQVLRSEASSFSASHHMTYFEASAKLRLNVD EAFEQLVRAVRKYQEQELPPSPPSAPRKKDGGCPCVLL >ENSMUSP00000136172.1 pep:known chromosome:GRCm38:9:44464243:44464602:1 gene:ENSMUSG00000094437.1 transcript:ENSMUST00000178509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9830 description:predicted gene 9830 [Source:MGI Symbol;Acc:MGI:3704332] MSDTSEEIPNFEEMFASRFTKDDKEYQEYLKRPPESPPIVEEWNSRAGGNQRNRGNWLQD NRQFRGRDNRRGWPSDNRSNQWHGRSWGNNNYPQQRPEPYYQQQYTQYGHNQRPPYGYY >ENSMUSP00000038473.2 pep:known chromosome:GRCm38:9:120092133:120094906:1 gene:ENSMUSG00000042262.4 transcript:ENSMUST00000048777.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr8 description:chemokine (C-C motif) receptor 8 [Source:MGI Symbol;Acc:MGI:1201402] MDYTMEPNVTMTDYYPDFFTAPCDAEFLLRGSMLYLAILYCVLFVLGLLGNSLVILVLVG CKKLRSITDIYLLNLAASDLLFVLSIPFQTHNLLDQWVFGTAMCKVVSGLYYIGFFSSMF FITLMSVDRYLAIVHAVYAIKVRTASVGTALSLTVWLAAVTATIPLMVFYQVASEDGMLQ CFQFYEEQSLRWKLFTHFEINALGLLLPFAILLFCYVRILQQLRGCLNHNRTRAIKLVLT VVIVSLLFWVPFNVALFLTSLHDLHILDGCATRQRLALAIHVTEVISFTHCCVNPVIYAF IGEKFKKHLMDVFQKSCSHIFLYLGRQMPVGALERQLSSNQRSSHSSTLDDIL >ENSMUSP00000058182.7 pep:known chromosome:GRCm38:15:34453312:34473892:1 gene:ENSMUSG00000044726.7 transcript:ENSMUST00000060894.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erich5 description:glutamate rich 5 [Source:MGI Symbol;Acc:MGI:2447772] MGCSSSALNKAGDSSRFGSGVTSNENSSTVEHNKFCVDQPKPCTPGGEAAFHGNTQRESH PSLERPKASVVPTANGVKSYHQPSLANDETPGKEATDHSRPTKKIEPLVQGGECEQPQPG GKDDMLGTEEVKKDVEARTEVPSLKGDAEIKPLRLSSERDSPGAPQAGTMKFLQTAENIL PLETTQELPPKEATGKGAQPQILEAIPKENSSPEIEGIQSAESSGQQQLVEAPGEAEQPQ ALETVLKENETSQMPGRSQPVPTPVMNKSPCEAPDGLRNAHEPQVTGGNRVQPAETGETA AKVEMAREIHPDKEEQHIEGETGEKVEAEMKNEKESEEAETKEKETGEAVDLGAAGAGDR RA >ENSMUSP00000096184.3 pep:known chromosome:GRCm38:7:30321740:30323472:1 gene:ENSMUSG00000074210.3 transcript:ENSMUST00000098585.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130208F15Rik description:RIKEN cDNA E130208F15 gene [Source:MGI Symbol;Acc:MGI:3767226] MSSRSSPNCQKEPSSRSVCHRPSDRVSRAPVSSQEFFGAPPSSSLVPGAVASPGSSAGPR GRTKVPMAVAWPERSSCSWRRPRRYRYSIRSTSVRGRLACCRNSARTRASAPGVPRPARS SSRYRLRTCLCNRLGRLMTAASR >ENSMUSP00000096541.4 pep:known chromosome:GRCm38:9:21755442:21760286:-1 gene:ENSMUSG00000074476.5 transcript:ENSMUST00000098942.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spc24 description:SPC24, NDC80 kinetochore complex component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914879] MAAFRDMVEVSNWLLSLLGANRAEAQQRRLLGSYEQMMERLLEMQDGAYRQLRETLAVEE EVAQSLLELKECTRQGDTELQQLEVELQRTSKEDTCVQARLRQLITELQELREMEEELQR QERDVDEDNTVTIPSAVYVAHLYHQISKIQWDYECEPGMIKGIHHGPTVAQPIHLDSAQL SPKFISDYLWSLVDTTWEPEP >ENSMUSP00000052846.2 pep:known chromosome:GRCm38:11:53469749:53470479:-1 gene:ENSMUSG00000049427.2 transcript:ENSMUST00000057722.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9837 description:predicted gene 9837 [Source:MGI Symbol;Acc:MGI:3642517] MLWAHIPSFSPRHISFSQQFSNAGLLAVKGKLAFSFLASQNFFLTEAKGSVPAFKPNRDI AHQSQAKSWYLDWSLCELPRLPVATVWVEASMIREQCHLLAVYRRANERIRFQVPPKSLE VCDALL >ENSMUSP00000022964.7 pep:known chromosome:GRCm38:15:44619641:44641024:1 gene:ENSMUSG00000022339.8 transcript:ENSMUST00000022964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebag9 description:estrogen receptor-binding fragment-associated gene 9 [Source:MGI Symbol;Acc:MGI:1859920] MAITQFRLFKVCTCLATVFSFLKRLICRSGRGRKLSGDQITLPTTVDYSSVPKQTDVEEW TSWDEDAPTSVKIEGGNGNVATQQNSLEQLEPDYFKDMTPTIRKTQKIVIKKREPLNFGV PDGSTGFSSRLAATQDMPFIHQSSELGDLDTWQENSNAWEEEEDAAWQAEEVLRQQKIAD REKRAAEQQRKKMEKEAQRLMKKEQNKIGVKLS >ENSMUSP00000138026.1 pep:known chromosome:GRCm38:14:7791587:7792057:1 gene:ENSMUSG00000097169.1 transcript:ENSMUST00000181025.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26661 description:predicted gene, 26661 [Source:MGI Symbol;Acc:MGI:5477155] PRAGGEVARPRAPPPGPKDPLHRDAQRPASPPCPAAPPASLESFEIKPARLSTPPQGSTV LCLANRPLCRQATRLSPAPGCTRSFPTRKGGSSASANCGFHLLPAELKCTLSSARVHTSR TVTARKGWLLPTSFFPSPSNATKLREHRPWTLVTGA >ENSMUSP00000025120.6 pep:known chromosome:GRCm38:18:24603961:24638830:1 gene:ENSMUSG00000024271.7 transcript:ENSMUST00000025120.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elp2 description:elongator acetyltransferase complex subunit 2 [Source:MGI Symbol;Acc:MGI:1889642] MVSSVLEVSHVFCCPNRVRGALSWNTGPGGLLAFGTSCSVVLYDPQKKVVITNLNGHTAR VNCLQWIRTEDGSPSNELVSGGSDNRVIHWELENNQVLKSVRLQGHEGPVCAVHAIYQSG PSEGEQHALIASAASDSTVRIWSKKGSEVKYLQTLSFRDGFVLSVCLAILPGTNVPVLAC GDDDCRIHLYIQQDDQFQKALSLCGHEDWIRGVEWATFGRDLFLASCSQDCLIRIWRLYM KPASFETKDGSLRLKENTFTIKDGGVRTTVAVTLETVLAGHENWVNAVHWQPSFYKDGVL QQPVRLLSASMDKTMILWAPDEESGVWLEQVRVGEVGGNTLGFYDCQFGENGTMIIAHAF HGALHLWKQSTVNPRQWAPEIVISGHFDGVQDLMWDPEGEFIITTSTDQTTRLFAPWKKK DQKDRSQVTWHEIARPQIHGYNIKCLAMIDRFQFVSGADEKVLRVFSAPRNFVENFSVIS RQSLSHMLCDDQDLPEGATVPALGLSNKALFQGDIASQPFEEDELISPAFGSPQVTFQPA VLNEPPTEDHLLQNTLWPEIQKLYGHGYEIVCVACNNSKTLLASACKASQKEHAAIILWS TASWKQVQSLAFHTLTVTQMTFSPDDKFLLAVSRDRTWSLWKRQDATSSEFDPFFSLFAF TNKITSVHSRIIWSCDWSPDNKYFFTGSRDKKVVVWGECKSSHNPMEHPIRPCSSILDVG SSVTAVSVCPVLNPAQRYIVAIGLESGKICIYSWNKTNQEINDWTSCVETNPSQSHSLGI RRLCWKSCSDDDDDDDDDDTEQSEEGPEWLHFASCGEDHTVKIYRVNRRAL >ENSMUSP00000072912.6 pep:known chromosome:GRCm38:13:66851847:66852017:-1 gene:ENSMUSG00000094740.1 transcript:ENSMUST00000059329.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17449 description:predicted gene, 17449 [Source:MGI Symbol;Acc:MGI:4937083] EKPYECNQCGKAFAISSHLQCHKRTHTGAKPYECNQCGKAFSRQNSLRYHKRTHTGE >ENSMUSP00000074160.7 pep:known chromosome:GRCm38:7:45376462:45395692:-1 gene:ENSMUSG00000063511.10 transcript:ENSMUST00000074575.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp70 description:small nuclear ribonucleoprotein 70 (U1) [Source:MGI Symbol;Acc:MGI:98341] MTQFLPPNLLALFAPRDPIPYLPPLEKLPHEKHHNQPYCGIAPYIREFEDPRDAPPPTRA ETREERMERKRREKIERRQQEVETELKMWDPHNDPNAQGDAFKTLFVARVNYDTTESKLR REFEVYGPIKRIHMVYSKRSGKPRGYAFIEYEHERDMHSAYKHADGKKIDGRRVLVDVER GRTVKGWRPRRLGGGLGGTRRGGADVNIRHSGRDDTSRYDERPGPSPLPHRDRDRDRERE RRERSRERDKERERRRSRSRDRRRRSRSRDKDERRRSRERSKDKDRDRKRRSSRSRERAR RERERKEELRGGGGGGGGGSGGGGGGDMAEPSEAGDGAPDDGPPGELGPEGPDGPEEKGR DRDRERRRSHRSERERRRDRDRDRDREHKRGERGSERGRDEARGGGGSGQDNGLEGLGSD GRDMYMEAEGGDGYMAPENGYLMEAAPE >ENSMUSP00000034955.6 pep:known chromosome:GRCm38:9:65460937:65488470:1 gene:ENSMUSG00000032388.6 transcript:ENSMUST00000034955.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spg21 description:spastic paraplegia 21 homolog (human) [Source:MGI Symbol;Acc:MGI:106403] MGEIKVSPDYNWFRSTVPLKKIIVDDDDSKIWSLYDAGPRSIRCPLIFLPPVSGTADVFF QQILALTGWGYRVIALQYPVYWDHLEFCDGFRKLLDHLQLDKVHLFGASLGGFLAQKFAE YTHKSPRVHSLILCNAFSDTSIFNQTWTANSFWLMPAFMLKKIVLGNFSSGPVDPMMADA IDFMVDRLESLGQSELASRLTLNCQNSYVEPHKIRDIPVTIMDVFDQSALSTEAKEEMYK LYPNARRAHLKTGGNFPYLCRSAEVNLYVQIHLLQFHGTKYAAIDPSVVSAEELEVQKGR LGLSQEEP >ENSMUSP00000022337.9 pep:known chromosome:GRCm38:14:37077850:37098311:-1 gene:ENSMUSG00000021803.9 transcript:ENSMUST00000022337.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr1 description:cadherin-related family member 1 [Source:MGI Symbol;Acc:MGI:2157782] MRRGPRVALVLGLLRIYLAQANFAPHFFDNGVGSTNGNMALFSLPEDTPVGSHVYTLNGT DPEGDPISYHISFDPSTRSVFSVDPNFGNITLVEELDREREDEIEAIISISDGLNLVAEK VVILVTDANDEAPRFIQEPYIIRVPENIPAGSSIFKVQAEDKDTGSGGSVTYSLQNLHSS KFSMDRHSGVLRLQAGATLDYEKSRAHYITVIAKDGGGRLRGADMVFSATTTVTINVEDV QDTAPIFVGTPYYGYVYEDTLPGSEVLTVVAIDGDRGKPNHILYRLLNESDGIFEINETS GAISVLQSPALLRREVYELHVQVTEVNSPGSPAAQATVPVTIRIVDLNNHPPTFYGESGP QNKFELSMFEHPPQGEILRGLKITVNDSDQGANAKFNLRLVGPGGIFRVVPQTVLNEAQV TIIVENSAAIDFEKSKLLTFKLLAIEVNTPEKFSSTADIVIQLLDTNDNVPKFTSHYYIA RIPENAPGGSNVVAVTAVDPDTGPWGKVHYSIYGTGSDLFLIHPSTGLIYTQPWASLDAE GTSRYNFYVKAEDMDGRYSLAEVFVTLLDVNDHYPQFVQSVQEKTMVLGTPLKIEATDQD AEEPNNLVDYSITRAEPVNVFDIDAHTGEIRLKNSIRSLEALHNITPSGDYSWSLQVQAK DRGSPSFSTTALLKIDITDTERLSRGSMAAFLIQTKDNPMKAVGVLAGVMAIVVAITVLI STATFWRNKKSNKVLPVRRVLRRRPSPAPHTVRIEWLKFRRAKAATKFILKEDSPNENCN NSRVGVMVPPRAPALPPPPKMASSMVAQQTVPTVSGSLTPQPSPQLPTPKTLGGPVQSSL VSELKQKFEKKSLDNKAYI >ENSMUSP00000053440.7 pep:known chromosome:GRCm38:18:61712440:61726331:-1 gene:ENSMUSG00000024580.7 transcript:ENSMUST00000062991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grpel2 description:GrpE-like 2, mitochondrial [Source:MGI Symbol;Acc:MGI:1334416] MAARSLWAVQRLQRLLASGAMSESRGWLHPFSTATQRTAGEDCSSEDPPDGLGPSLAEQA LRLKAVKLEKEVQDLTLRYQRAVADCENIRRRTQRCVEDAKIFGIQSFCKDLVEVADILE KTAKCCSEGAEPEDHRRTLEKVFQGLSLLEARLKSVFTKHGLEKMTPIGDKYDPHEHELI CHMPAGVGVQPGTVALVRQDGYKLHGRTIRLAQVEVAVESQRRL >ENSMUSP00000092002.4 pep:known chromosome:GRCm38:7:45457945:45459886:-1 gene:ENSMUSG00000050708.15 transcript:ENSMUST00000094434.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ftl1 description:ferritin light polypeptide 1 [Source:MGI Symbol;Acc:MGI:95589] MTSQIRQNYSTEVEAAVNRLVNLHLRASYTYLSLGFFFDRDDVALEGVGHFFRELAEEKR EGAERLLEFQNDRGGRALFQDVQKPSQDEWGKTQEAMEAALAMEKNLNQALLDLHALGSA RADPHLCDFLESHYLDKEVKLIKKMGNHLTNLRRVAGPQPAQTGAPQGSLGEYLFERLTL KHD >ENSMUSP00000084257.5 pep:known chromosome:GRCm38:17:46202855:46242299:1 gene:ENSMUSG00000067150.5 transcript:ENSMUST00000087031.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo5 description:exportin 5 [Source:MGI Symbol;Acc:MGI:1913789] MEMEQVNALCEELVKAVTVMMDPSSTQRYRLEALKFCEEFKEKCPICVPCGLKLAEKTQI AIVRHFGLQILEHVVKFRWNSMSRLEKVYLKNSVMELIANGTLRILEEENHIKDVLSRIV VEMIKREWPQHWPDMLMELDTLFRQGETQRELVMFILLRLAEDVVTFQTLPTQRRRDIQQ TLTQNMERILNFLLNTLQENVNKYQQMKTDSSQEAEAQANCRVSVAALNTLAGYIDWVSL NHITAENCKLVETLCLLLNEQELQLGAAECLLIAVSRKGKLEDRKRLMILFGDVAMHYIL SAAQTADGGGLVEKHYLFLKRLCQVLCALGNLLCALLALDANIQTPINFGMYLESFLAFT THPSQFLRSSTHMTWGALFRHEVLSRDPALLAVIPKYLRASMTNLVKMGFPSKTDSPSCE YSRFDFDSDEDFNAFFNSSRAQHGEVVRCVCRLDPKTSFQMAAEWLKYQLSASIDTGPVN SCSTAGTGEGGFCSIFSPSYVQWEAMTFFLESVINQMFRTLDKEELPVSDGIELLQLVLN FEIKDPLVLSCVLTNVSALFPFVTYKPAFLPQVFSKLFSFVTFESVGESKAPRTRAVRNV RRHACSSINKMCRDYPDLVLPNFDMLYSHVKQLLSNELLLTQMEKCALMEALVLVSNQFK DYERQKLFLEELMAPVVNIWLSEEMCRALSDIDSFIAYVGADLKSCDPAVEDPCGLNRAR MSFCVYSILGVMRRTSWPSDLEEAKAGGFVVGYTPSGNPIFRNPCTEQILRLLDNLLALV RTHNTLYTPEMLTKMAEPFTKALDIVESEKTAILGLPQPLLEFNDHPVYRTTLERMQRFF GILYENCYHILGKAGPSMQQDFYTVEDLASQLLGSAFVNLNNIPDFRLRSMLRVFVKPLV LFCPSEHYETLISPILGPLFTYLHMRLSQKWHVINQRSILCGEDEIAEDNPESQEMLEEQ LVRMLTREAMDLIMACCVSKKTADHTAAPTADGDDEEMMATEVAPSSVVELTDLGKCLMK HEDVCTALLITAFNSLTWKDTLSCQRATTQLCWPLLKQVMSGTLLADAVTWLFTSVLKGL QMHGQHDGCMASLVHLAFQIYEALRPRYLEIRAVMEQIPEINKESLDQFDCKLLNPSLQK AADKRRKDHFKRLIAGCIGKPLGEQFRKEVHIKNLPWLFKKPKPMLETEVLDSEEGGLAT IFEP >ENSMUSP00000047402.3 pep:known chromosome:GRCm38:17:48419231:48432728:-1 gene:ENSMUSG00000040694.3 transcript:ENSMUST00000046549.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apobec2 description:apolipoprotein B mRNA editing enzyme, catalytic polypeptide 2 [Source:MGI Symbol;Acc:MGI:1343178] MAQKEEAAEAAAPASQNGDDLENLEDPEKLKELIDLPPFEIVTGVRLPVNFFKFQFRNVE YSSGRNKTFLCYVVEVQSKGGQAQATQGYLEDEHAGAHAEEAFFNTILPAFDPALKYNVT WYVSSSPCAACADRILKTLSKTKNLRLLILVSRLFMWEEPEVQAALKKLKEAGCKLRIMK PQDFEYIWQNFVEQEEGESKAFEPWEDIQENFLYYEEKLADILK >ENSMUSP00000034369.8 pep:known chromosome:GRCm38:8:105935728:105938392:-1 gene:ENSMUSG00000031897.8 transcript:ENSMUST00000034369.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmb10 description:proteasome (prosome, macropain) subunit, beta type 10 [Source:MGI Symbol;Acc:MGI:1096380] MLKQAVEPTGGFSFENCQRNASLEHVLPGLRVPHARKTGTTIAGLVFRDGVILGADTRAT NDSVVADKSCEKIHFIAPKIYCCGAGVAADTEMTTRMAASKMELHALSTGREPRVATVTR ILRQTLFRYQGHVGASLVVGGVDLNGPQLYEVHPHGSYSRLPFTALGSGQGAAVALLEDR FQPNMTLEAAQELLVEAITAGILSDLGSGGNVDACVITAGGAKLQRALSTPTEPVQRAGR YRFAPGTTPVLTREVRPLTLELLEETVQAMEVE >ENSMUSP00000034745.7 pep:known chromosome:GRCm38:9:70207350:70400067:1 gene:ENSMUSG00000032220.9 transcript:ENSMUST00000034745.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1e description:myosin IE [Source:MGI Symbol;Acc:MGI:106621] MGSKGAYRYHWQSHNVKHSGVDDMVLLSKITESSIVENLKKRYMDDYIFTYIGSVLISVN PFKQMPYFGEKEVEMYQGAAQYENPPHIYALADSMYRNMIIDRENQCVIISGESGAGKTV AAKYIMSYVSRVSGGGPKVQHVKDIILQSNPLLEAFGNAKTVRNNNSSRFGKYFEIQFSP GGEPDGGKISNFLLEKSRVVMRNPGERSFHIFYQLIEGASPEQKQSLGITSMDYYYYLSL SGSYKVDDIDDKRDFQETLHAMNVIGIFSEEQTLVLQIVAGILHLGNISFKEVGNYAAVE SEEFLAFPAYLLGINQDRLKEKLTSRQMDSKWGGKSESIHVTLNVEQACYTRDALAKALH ARVFDFLVDSINKAMEKDHEEYNIGVLDIYGFEIFQKNGFEQFCINFVNEKLQQIFIELT LKAEQEEYVQEGIRWTPIEYFNNKIVCDLIESKVNPPGIMSILDDVCATMHAVGEGADQT LLQKLQMQIGSHEHFNSWNQGFIIHHYAGKVSYDMDGFCERNRDVLFMDLIELMQSSELP FIKSLFPENLQADKKGRPTTAGSKIKKQANDLVSTLMKCTPHYIRCIKPNETKKPKDWEE SRVKHQVEYLGLKENIRVRRAGYAYRRVFQKFLQRYAILTKATWPVWRGDEKQGVLHLLQ SVNMDSDQFQLGRSKVFIKAPESLFLLEEMRERKYDGYARVIQKTWRKFVARKKYVQMRE EASDLLLNKKERRRNSINRNFIGDYIGMEERPELQQFVGKREKIDFADTVTKYDRRFKGV KRDLLLTPKCLYLIGREKVKQGPDKGVVKEVLKRRIEVERILSVSLSTMQDDIFILHEQE YDSLLESVFKTEFLSLLAKRYEEKTQKQLPLKFSNTLELKLKKENWGPWSAGGSRQVQFH QGFGDLAILKPSNKVLQVSIGPGLPKNSRPTRRNTVTSRGYPGGTKNNYPMRAAPAPPGC HQNGVIRNQFVPPPHAFGNQRSNQKSLYTSMARPPLPRQQSTGSDRLSQTPESLDFLKVP DQGVAGVRRQTSSRPPPAGGRPKPQPKPKPQVPQCKALYAYDAQDTDELSFNANDIIDII KEDPSGWWTGRLRGKQGLFPNNYVTKI >ENSMUSP00000023737.4 pep:known chromosome:GRCm38:15:98893031:98898540:-1 gene:ENSMUSG00000023000.4 transcript:ENSMUST00000023737.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhh description:desert hedgehog [Source:MGI Symbol;Acc:MGI:94891] MALPASLLPLCCLALLALSAQSCGPGRGPVGRRRYVRKQLVPLLYKQFVPSMPERTLGAS GPAEGRVTRGSERFRDLVPNYNPDIIFKDEENSGADRLMTERCKERVNALAIAVMNMWPG VRLRVTEGWDEDGHHAQDSLHYEGRALDITTSDRDRNKYGLLARLAVEAGFDWVYYESRN HIHVSVKADNSLAVRAGGCFPGNATVRLRSGERKGLRELHRGDWVLAADAAGRVVPTPVL LFLDRDLQRRASFVAVETERPPRKLLLTPWHLVFAARGPAPAPGDFAPVFARRLRAGDSV LAPGGDALQPARVARVAREEAVGVFAPLTAHGTLLVNDVLASCYAVLESHQWAHRAFAPL RLLHALGALLPGGAVQPTGMHWYSRLLYRLAEELMG >ENSMUSP00000074436.6 pep:known chromosome:GRCm38:8:109575130:109579172:-1 gene:ENSMUSG00000031722.9 transcript:ENSMUST00000074898.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hp description:haptoglobin [Source:MGI Symbol;Acc:MGI:96211] MRALGAVVTLLLWGQLFAVELGNDAMDFEDDSCPKPPEIANGYVEHLVRYRCRQFYRLRA EGDGVYTLNDEKQWVNTVAGEKLPECEAVCGKPKHPVDQVQRIIGGSMDAKGSFPWQAKM ISRHGLTTGATLISDQWLLTTAKNLFLNHSETASAKDITPTLTLYVGKNQLVEIEKVVLH PNHSVVDIGLIKLKQRVLVTERVMPICLPSKDYIAPGRVGYVSGWGRNANFRFTDRLKYV MLPVADQDKCVVHYENSTVPEKKNLTSPVGVQPILNEHTFCAGLTKYQEDTCYGDAGSAF AIHDMEEDTWYAAGILSFDKSCAVAEYGVYVRATDLKDWVQETMAKN >ENSMUSP00000023828.7 pep:known chromosome:GRCm38:18:88971790:89131013:1 gene:ENSMUSG00000023066.9 transcript:ENSMUST00000023828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rttn description:rotatin [Source:MGI Symbol;Acc:MGI:2179288] MVLAGLIRKLGHQLAEIRERALKSILCKIEHNLICYADLIQERLLFLHLLEWFNFPSVPM KEEVLNLLSRLVKYSPAVQHLIDLGAVEFLSKLRPNVEPVIQAEIDGILDGLFILPSEVP ALCSSSYHTDQIELSQQPEVLTGYFPQDKSNFQQMEVPPGPAGNQAVKWLRFSVFPWLPL TTTDRHVLSSNESSLRSSNHTLIWNTCELLKDVIMQDFPAEIFLQRPKIVQGLLCLVKLA FGGDGKHRLALQSVSCLQQLCTSLRNRLNFYRDPNFFSSRQDTVSQNSSLSYCHEARGPY HSPNPSPGSSSSRPSVVGRTGQRPRGDGQDWDAVSSSGSSSHTHVNSRISVHSPLDVAPV DLPELEAEDTLELQFQQLSLPQFCISTLEAAAPLLRTGSREMIIRVLELLAEDMLLIGQA ISSEIWDDNSLFAINVKEKLLQVLGSLGETMCYRKTSFSMEQAEAVLVHHRMAFVSISLF TVRLLQLLLPVEKASEFLPESMSAALFFVSLDMPISLEYPEIHDTVVAYLEQLDSENYSI YKRTAEAVYSIECTCNFLSDVGKEGEKNLLELVELADQALRSFSYHQHSPLIKEIICICS KIWKSAQASPLLQGESQKVFLHMLSHPLPQVKVETYQCCLEIVKECLGVQNVTKPVSSLC SGIHFLLHPKVLYEISAFGIQESKNEVNAAAKAILLYLLQGRLMMTALTWNKFIESLAPV IPVLQGYADTEDPLGNCILLLSKVSSEAGEGALPCTARLKSVLRLLLVKKPSVRSLALKL LAFHLTSEEGADKKRPFIDAGVLSRVTNLFIVKKPIDLKLDDRRELVIKLETVKKVCDIF TSDDVDLVLRKSAAEQLAVIMQDIKMHTVVKKLCLVEKIIGHLNEFVGQDGEVIECLIQP CLTLLRKVLYADPVIRVSLSQQASLLTLLLRVSLIFHEDCTVVAEVGALFCLLLFDEVSR MDTWSDTLSDKPSLSSVFSLPVSVFRRYHLPVHVTGHHAVSPYSIVLPLPTECLTLKPVS DMLRIAWNLAWYHGSDNLLKQMTSEAKIQEFLDTLQLSTEDILALKITYTDSGLKDCLQS IIQAGGHRDVRAAITRMSFYLLNDKLSLKDDIGPCGVTLKSLAWHTVLNRFLQVLPACTE DEKLLIDIIHFLNKLIKEQRKNPSIELLNWILELLLRHNPSPLLDLLVLTDSHAGEETDD VRTAVRQQLQKELISLLNSLLLVFMTVSDRKCLELFYVFQTQLSLKLLQCLRVTDAPHFY GLPSLERTLAGMAHLTAFPGWSAHSPLSKPLEICGKYLSGLLEVITSFYVERGGNAMSFM GKGVTKSTGLCLLHLSHEMIVQAPGSEWMPLWFLPLGSHSEEHAPTQQGLAWLIPLWVDR DPEVRFTSLGLGSALTTIEAGCVALANSCQNISGGLWGTVVNILLDQSECSLVRREAAFI LQNLLVIPMPSEIIKDYTWQGPCVHDEDSGLSLSGKPALQALLYHCRFYEHLTHMVKHCY VGRYIFDFNFSAFTETSEYSDLNGLDDSFKFWRAPSGTSTQDHEPSSLSTSETMVAASVV SSEIQPLLPSTLLPETALDQFVTQGQREMRPVRPRDSLLSTSLNRQYVFVTPSLLSAVCS LLDNLLAVTPRDAANAFQQAHLIELLCSTVDASLIEMCIQELKTPLPLPSAVEHIQAQAS FFLEYLSSLSQLLKSCLLVEPKLVTQDELLKPLITNVIRVLIVCPKDVLDVQLVLAFYRT WTHLFDLLATLLRKAGAVSLVPLTSALAKHWEAVTDTLCRCVGLSFKCPALTIASLQFLS VLLAEEEKRRVQDKDKTNEGQAPTVALLLDGTQGSLSSSERLNETILQCYEGISPKDVLK RVAANALLSLLAVSRRAQRHALRSDLIENCMEQMKHINAQLNLDLLRPGKAVLKKKEDGF IKELSITMQLLRNCLYQNEECKVAALEARLVPVLHSLWPWLLMEDSLMQIALQLLCVYTA NFPAGCSSLCWSNYGQCIQTAHRGTPSSSLILCILKLASQMPAENTTIQQLVFMFLSNLA LSHDCKGVIQKSNFLQHFLSLTLPKGGNQHLSTVAILWLKLLLNMSFGEDGQQMILRLDG CLDLLIEMSKYKHKSSPHMPLLIFHNICFSPANKPKILANEKVVTLLAACLESENQTAQR IGAASLWALTYNYQKAKATLKNPSIKRKVDEAYSIAKRTLSNSEENPLNSYYLKCLENLV QLLNYS >ENSMUSP00000095127.2 pep:known chromosome:GRCm38:18:77185006:77186257:-1 gene:ENSMUSG00000073532.2 transcript:ENSMUST00000097520.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7276 description:predicted gene 7276 [Source:MGI Symbol;Acc:MGI:3646549] MRGPRGPLGELRGQEGRGLGGAKFPAGAIPEAAAKGQGRPRGDPLSPRPALSCCGFWEPV SRSRGSARGGATAGAGTGPPSRRGDDQWGSGPARLGRDSARRAAHSGLARDSGRQAHGAD RGTARLVPAPAARECEGWPPMLRRRGGRRNLRTPGRGEGEGRSVKSQVGIGVRRTQTAEA TSSRRAFACGTQVIESPRQRPRARLENPP >ENSMUSP00000070405.7 pep:known chromosome:GRCm38:7:45897069:45917408:1 gene:ENSMUSG00000002781.18 transcript:ENSMUST00000069772.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem143 description:transmembrane protein 143 [Source:MGI Symbol;Acc:MGI:1917459] MTVARWLRLWERGQAMLHVTWGSKVRLWSLVPALLGTPRALSSLENRMGVYRKMWNPKEP CDWAQQYRERFIPFSKEQLLRLLIQEFHSSPAERAALEAFSAHVDFCTLFHYHQLLARLQ ALYDPINPDRETLDQPSLTDPERLSSEKDVLQALRPLLAQANFSPLSEDALAYALVVHHP QDEVQVTINLDQYIYIQFWALGQRVGQMPHKSSVGSKRGFFRKLPPVERRYFKRVVLAAR TKGGHLVLKSFKDTPLEGLEQLLPELKVRTPVLQRALLNLMLVVSGVMIFVNVGMVILSD LKMATSLLLLLFAAFMGVKASKVFGQRRSAQALELAHVLYYRSTSNNSELLSALALRAQE EHIKEALLAHSFLARRPGGSQGKPEETSRWLQSEVESWLLAQSGCDVTFNGPRALAHLQA LTPSLGLFPPPELPQLDPMVLGTPEATQAALGSSYPSP >ENSMUSP00000053274.2 pep:known chromosome:GRCm38:4:124609931:124610803:-1 gene:ENSMUSG00000051219.2 transcript:ENSMUST00000053604.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3100002H09Rik description:RIKEN cDNA 3100002H09 gene [Source:MGI Symbol;Acc:MGI:1917499] MASPPDGGQNGNSMRVGDAVGWFVNVSALLNLAWLSVAAVVSVWVTEIGSILGLLQHSVP TGQVPDGGFGHRPSVWLWSEFIHSLTGDFLMSLKQSFFALVVPMEAIGPSCRANPKSVFS LTAGSPVLQSLRSSTNSSLA >ENSMUSP00000094326.3 pep:known chromosome:GRCm38:18:32163089:32236751:1 gene:ENSMUSG00000024383.8 transcript:ENSMUST00000096575.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k2 description:mitogen-activated protein kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346873] MDDQQALNSIMQDLAVLHKASRPALSLQETRKAKPSSPKKQNDVRVKFEHRGEKRILQVT RPVKLEDLRSKSKIAFGQSMDLHYTNNELVIPLTTQDDLDKAVELLDRSIHMKSLKILLV VNGSTQATNLEPSPSPEDLNNTPLGAERKKRLSVVGPPNRDRSSPPPGYIPDELHQIARN GSFTSINSEGEFIPESMDQMLDPLSLSSPENSGSGSCPSLDSPLDGESYPKSRMPRAQSY PDNHQEFTDYDNPIFEKFGKGGTYPRRYHVSYHHQEYNDGRKTFPRARRTQGTSFRSPVS FSPTDHSLSTSSGSSVFTPEYDDSRIRRRGSDIDNPTLTVTDISPPSRSPRAPTNWRLGK LLGQGAFGRVYLCYDVDTGRELAVKQVQFNPESPETSKEVNALECEIQLLKNLLHERIVQ YYGCLRDPQEKTLSIFMEYMPGGSIKDQLKAYGALTENVTRKYTRQILEGVHYLHSNMIV HRDIKGANILRDSTGNIKLGDFGASKRLQTICLSGTGMKSVTGTPYWMSPEVISGEGYGR KADIWSVACTVVEMLTEKPPWAEFEAMAAIFKIATQPTNPKLPPHVSDYTRDFLKRIFVE AKLRPSAEELLRHMFVHYH >ENSMUSP00000090808.5 pep:known chromosome:GRCm38:8:111993440:112011288:-1 gene:ENSMUSG00000031948.13 transcript:ENSMUST00000093120.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kars description:lysyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1934754] MLMQAAVRLVRGALRQTSWAEWGQRELRLGHLAPFTTLHKDQPLSDRRSELKRRLKAEKK LAEKEAKQKELSEKQLNQTASAPNHTADNGVGAEEETLDPNQYYKIRSQAVQQLKVTGED PYPHKFHVDISLTQFIQEYSHLQPGDHLTDVTLKVAGRIHAKRASGGKLIFYDLRGEGVK LQVMANSRNYKSEEEFVHINNKLRRGDIIGVEGNPGKTKKGELSIIPQEITLLSPCLHML PHLHFGLKDKETRYRQRYLDLILNDFVRQKFIVRSKIITYIRSFLDELGFLEIETPMMNI IPGGAVAKPFITYHNELDMNLYMRIAPELYHKMLVVGGIDRVYEIGRQFRNEGIDLTHNP EFTTCEFYMAYADYHDLMEITEKMLSGMVKSITGSYKITYHPDGPEGQAYEVDFTPPFRR ISMVEELEKALGVKLPETSLFETEETRKILDDICVAKAVECPPPRTTARLLDKLVGEFLE VTCISPTFICDHPQIMSPLAKWHRSKEGLTERFELFVMKKEICNAYTELNDPVRQRQLFE EQAKAKAAGDDEAMFIDENFCTALEYGLPPTAGWGMGIDRLTMFLTDSNNIKEVLLFPAM KPEDKKETAATTETPESTEASPSV >ENSMUSP00000034426.6 pep:known chromosome:GRCm38:8:111993444:112011323:-1 gene:ENSMUSG00000031948.13 transcript:ENSMUST00000034426.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kars description:lysyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1934754] MATLQESEVKVDGEQKLSKNELKRRLKAEKKLAEKEAKQKELSEKQLNQTASAPNHTADN GVGAEEETLDPNQYYKIRSQAVQQLKVTGEDPYPHKFHVDISLTQFIQEYSHLQPGDHLT DVTLKVAGRIHAKRASGGKLIFYDLRGEGVKLQVMANSRNYKSEEEFVHINNKLRRGDII GVEGNPGKTKKGELSIIPQEITLLSPCLHMLPHLHFGLKDKETRYRQRYLDLILNDFVRQ KFIVRSKIITYIRSFLDELGFLEIETPMMNIIPGGAVAKPFITYHNELDMNLYMRIAPEL YHKMLVVGGIDRVYEIGRQFRNEGIDLTHNPEFTTCEFYMAYADYHDLMEITEKMLSGMV KSITGSYKITYHPDGPEGQAYEVDFTPPFRRISMVEELEKALGVKLPETSLFETEETRKI LDDICVAKAVECPPPRTTARLLDKLVGEFLEVTCISPTFICDHPQIMSPLAKWHRSKEGL TERFELFVMKKEICNAYTELNDPVRQRQLFEEQAKAKAAGDDEAMFIDENFCTALEYGLP PTAGWGMGIDRLTMFLTDSNNIKEVLLFPAMKPEDKKETAATTETPESTEASPSV >ENSMUSP00000126268.1 pep:known chromosome:GRCm38:8:111993444:112011266:-1 gene:ENSMUSG00000031948.13 transcript:ENSMUST00000164470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kars description:lysyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1934754] MLMQAAVRLVRGALRQTSWAEWGQRELRLGHLAPFTTLHKDQPLSDRRSELKRRLKAEKK LAEKEAKQKELSEKQLNQTASAPNHTADNGVGAEEETLDPNQYYKIRSQAVQQLKVTGED PYPHKFHVDISLTQFIQEYSHLQPGDHLTDVTLKVAGRIHAKRASGGKLIFYDLRGEGVK LQVMANSRNYKSEEEFVHINNKLRRGDIIGVEGNPGKTKKGELSIIPQEITLLSPCLHML PHLHFGLKDKETRYRQRYLDLILNDFVRQKFIVRSKIITYIRSFLDELGFLEIETPMMNI IPGGAVAKPFITYHNELDMNLYMRIAPELYHKMLVVGGIDRVYEIGRQFRNEGIDLTHNP EFTTCEFYMAYADYHDLMEITEKMLSGMVKSITGSYKITYHPDGPEGQAYEVDFTPPFRR ISMVEELEKALGVKLPETSLFETEETRKILDDICVAKAVECPPPRTTARLLDKLVGEFLE VTCISPTFICDHPQIMSPLAKWHRSKEGLTERFELFVMKKEICNAYTELNDPVRQRQLFE EQAKAKAAGDDEAMFIDENFCTALEYGLPPTAGWGMGIDRLTMFLTDSNNIKEVLLFPAM KPEDKKETAATTETPESTEASPSV >ENSMUSP00000083111.4 pep:known chromosome:GRCm38:14:54976933:54977025:1 gene:ENSMUSG00000090889.1 transcript:ENSMUST00000085949.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17428 description:predicted gene, 17428 [Source:MGI Symbol;Acc:MGI:4937062] EAEEEKEEEEEGEEREREKEEEEGKEGEKEE >ENSMUSP00000136843.1 pep:known scaffold:GRCm38:JH584299.1:477246:480331:1 gene:ENSMUSG00000096550.1 transcript:ENSMUST00000178337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16367 description:predicted gene 16367 [Source:MGI Symbol;Acc:MGI:3845011] MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYIPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTANWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000022369.7 pep:known chromosome:GRCm38:14:20929433:21033673:1 gene:ENSMUSG00000021823.9 transcript:ENSMUST00000022369.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcl description:vinculin [Source:MGI Symbol;Acc:MGI:98927] MPVFHTRTIESILEPVAQQISHLVIMHEEGEVDGKAIPDLTAPVAAVQAAVSNLVRVGKE TVQTTEDQILKRDMPPAFIKVENACTKLVQAAQMLQSDPYSVPARDYLIDGSRGILSGTS DLLLTFDEAEVRKIIRVCKGILEYLTVAEVVETMEDLVTYTKNLGPGMTKMAKMIDERQQ ELTHQEHRVMLVNSMNTVKELLPVLISAMKIFVTTKNSKNQGIEEALKNRNFTVEKMSAE INEIIRVLQLTSWDEDAWASKDTEAMKRALASIDSKLNQAKGWLRDPNASPGDAGEQAIR QILDEAGKVGELCAGKERREILGTCKMLGQMTDQVADLRARGQGASPVAMQKAQQVSQGL DVLTAKVENAARKLEAMTNSKQSIAKKIDAAQNWLADPNGGPEGEEQIRGALAEARKIAE LCDDPKERDDILRSLGEIAALTSKLGDLRRQGKGDSPEARALAKQVATALQNLQTKTNRA VANSRPAKAAVHLEGKIEQAQRWIDNPTVDDRGVGQAAIRGLVAEGHRLANVMMGPYRQD LLAKCDRVDQLTAQLADLAARGEGESPQARALASQLQDSLKDLKAQMQEAMTQEVSDVFS DTTTPIKLLAVAATAPPDAPNREEVFDERAANFENHSGRLGATAEKAAAVGTANKSTVEG IQASVKTARELTPQVISAARILLRNPGNQAAYEHFETMKNQWIDNVEKMTGLVDEAIDTK SLLDASEEAIKKDLDKCKVAMANIQPQMLVAGATSIARRANRILLVAKREVENSEDPKFR EAVKAASDELSKTISPMVMDAKAVAGNISDPGLQKSFLDSGYRILGAVAKVREAFQPQEP DFPPPPPDLEQLRLTDELAPPKPPLPEGEVPPPRPPPPEEKDEEFPEQKAGEVINQPMMM AARQLHDEARKWSSKGNDIIAAAKRMALLMAEMSRLVRGGSGTKRALIQCAKDIAKASDE VTRLAKEVAKQCTDKRIRTNLLQVCERIPTISTQLKILSTVKATMLGRTNISDEESEQAT EMLVHNAQNLMQSVKETVREAEAASIKIRTDAGFTLRWVRKTPWYQ >ENSMUSP00000048337.9 pep:known chromosome:GRCm38:17:71616215:71659031:1 gene:ENSMUSG00000041057.9 transcript:ENSMUST00000047086.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr43 description:WD repeat domain 43 [Source:MGI Symbol;Acc:MGI:1919765] MAAGGGGSYDPLAPAGVPCAFSPDSQAYFALASSDGQLRVWETANNRLHQEYVPSAHLSG TCTCLAWAPARLQAKESHQRKKRKSEVTGTKDQADLLALGTAVGSILLYSTVRGELHSKL TSGGHENRVNCIQWHQDNDCLYSCSDDKYIVEWSTQTCKVKCKWKGDNSSVSSLCISPDG KMLLSAGRTIKLWVLETKEVYRHFTGHATPVSSLRFTTIRPNESQPSDGITGLYFLSGAV HDRLLNVWQVRSENKEKSAVMSFTVTDEPVYVDLTLSENKEEPVKLAVVCRDGQVHLFEH ILNGHCKKPLTSNCTIQIATPGKGKKVTPKPIPILAASFCLDKMSLLLVYGNWFQPTIER VALNSKDTHICLERDISNCWAPTVETAITKVKTPVMNSEAKVLVPGIPGHHAPIKLPPAQ PKEAENKRKLGSTEATIEERLGAMDLDRKGRKDDLQTNSFAVLLTQGLESNDFEILNKVL QTKNVNLIKRTVLRIPLRVVIPLLQELTKRLQGHPNSAALMIQWLKCVLTIHASYLSTLP DLVEQLGTLYQLMESRVKTFQKLSNLHGKLILLVTQVTASEKSKKMTSPGQKAKLVYEEE SSEEESDDEVPEKDSDDNWDEDEDKDSEKDEGVDEDNEEEDEDMEDKEENEEDREVSSEK ELNGDSDLDPENESEEE >ENSMUSP00000137276.1 pep:novel scaffold:GRCm38:JH584299.1:921942:924675:1 gene:ENSMUSG00000094855.1 transcript:ENSMUST00000178327.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC133095.1 MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRHIKLIKALVIAWP FHCLPVGALMRTTDVETLQAVLDGVDIRRTIGFHPRRKKLQFLDLRNVHHSFWNIWTDSE DSDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQIWFLKWAQERKGSLYFC CTKMKIWTLPVKALRHIFHVFDPEHIMELELNTEWTLLELTRFAPYFGQMRNLRKVFLAP LHKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLK TLSITYSLVSQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGILETLDL QGCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPL ECYDEFAQVSVERFAQVCQDLMDTLRAIRQPKNSSFATDICHTCGERWVFDQVANLCHCW Q >ENSMUSP00000024717.8 pep:known chromosome:GRCm38:17:50733127:51179349:-1 gene:ENSMUSG00000023923.9 transcript:ENSMUST00000024717.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d5 description:TBC1 domain family, member 5 [Source:MGI Symbol;Acc:MGI:1919488] MYKSVSETRHPLQSEEQEVGIDPLFSYSNKTRGDLSQNGRGSNSTLDTEGTFNSYMKEWE ELFVNNNYLATVRQKGINGQLRSSRFRSICWKLFLCVLPQDKSQWISKIKELRAWYSSIK EIHITNPRKAAGQQDLMINNPLSQDEGSLWNKFFQDKELRSMIEQDVKRTFPEMQFFQQE NVRKILTDVLFCYARENEQLLYKQGMHELLAPIIFTLHCDHQAFLHASESAQPSEEMKTL LNPEYLEHDAYAMFSQLMETAEPWFSTFEHDGQKGKETLMAPIPFARPQDLGPTVAIVTK VNQIQDHLLKKHDIELYMHLNRLEIAPQIYGLRWVRLLFGREFPLQDLLVVWDALFADSL NLSLVDYVFTAMLLYIRDALISSNYQTCLGLLMHYPIIGDIHSLILKALFLRDPKRNPRP ATYQFHPNLDYYKARGADLMNKSRTNARGAPLNIHKVSNSLINFGRKLISPASAPGSMGG PVPGNNSSSSFSAAIPTRTSTEAPRHHLLQQQQQQQHQQQQQQQPQQQQQQHQQQQQQQR LMKSESMPVQLNKGQSSKTISSSPSIESLPGGREFTGSPPPSATKKDSFFSNIARSRSHS KTMGRKESEEELEAQISFLQGQLNDLDAMCKYCAKVMDMHLVNIQDVVLQENLEKEDQIL VSLAGLKQIKDILKGSLRFNQSQLEAGENEQITIADDHYCSSGQDQGSQVPRAAKQASSE MPGCTGGTTPDDFILVSKEDEGHRARGAFSGQAQPLLTLRSTSGKSRAPACSPLLFSDPL MGPASASASSSNPSSSPDDDSSKESGFTIVSPLDI >ENSMUSP00000025075.1 pep:known chromosome:GRCm38:18:3507957:3516404:1 gene:ENSMUSG00000024232.1 transcript:ENSMUST00000025075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bambi description:BMP and activin membrane-bound inhibitor [Source:MGI Symbol;Acc:MGI:1915260] MDRHSSYFFIWLQLELCAMAVLLTKGEIRCYCDAAHCVATGYMCKSELSACFSRLLDPQN TNSPLTHGCLDSLASTADICRAKQAQNHSGPAMPTLECCHEDMCNYRGLHDVLSPSKSEA SGQGNRYQHDSSRNLITKMQELTSSKELWFRAAVIAVPIAGGLILVLLIMLALRMLRSEN KRLQDERQQMLSRLHYSFHGHHSKKGQVAKLDLECMVPVSGQENCCLTCDKMRQAELSNE KILSLVHWGMYSGHGKLEFI >ENSMUSP00000077080.5 pep:known chromosome:GRCm38:10:60762610:60831581:-1 gene:ENSMUSG00000020099.7 transcript:ENSMUST00000077925.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5b description:unc-5 homolog B (C. elegans) [Source:MGI Symbol;Acc:MGI:894703] MRARSGVRSALLLALLLCWDPTPSLAGVDSAGQVLPDSYPSAPAEQLPYFLLEPQDAYIV KNKPVELHCRAFPATQIYFKCNGEWVSQNDHVTQESLDEATGLRVREVQIEVSRQQVEEL FGLEDYWCQCVAWSSSGTTKSRRAYIRIAYLRKNFDQEPLAKEVPLDHEVLLQCRPPEGV PVAEVEWLKNEDVIDPAQDTNFLLTIDHNLIIRQARLSDTANYTCVAKNIVAKRRSTTAT VIVYVNGGWSSWAEWSPCSNRCGRGWQKRTRTCTNPAPLNGGAFCEGQAFQKTACTTVCP VDGAWTEWSKWSACSTECAHWRSRECMAPPPQNGGRDCSGTLLDSKNCTDGLCVLNQRTL NDPKSHPLETSGDVALYAGLVVAVFVVVAVLMAVGVIVYRRNCRDFDTDITDSSAALTGG FHPVNFKTARPNNPQLLHPSAPPDLTASAGIYRGPVYALQDSADKIPMTNSPLLDPLPSL KIKVYNSSTIGSGSGLADGADLLGVLPPGTYPGDFSRDTHFLHLRSASLGSQHLLGLPRD PSSSVSGTFGCLGGRLSLPGTGVSLLVPNGAIPQGKFYDLYLHINKAESTLPLSEGSQTV LSPSVTCGPTGLLLCRPVVLTVPHCAEVIAGDWIFQLKTQAHQGHWEEVVTLDEETLNTP CYCQLEAKSCHILLDQLGTYVFMGESYSRSAVKRLQLAIFAPALCTSLEYSLRVYCLEDT PVALKEVLELERTLGGYLVEEPKPLLFKDSYHNLRLSLHDIPHAHWRSKLLAKYQEIPFY HVWNGSQRALHCTFTLERHSLASTEFTCKVCVRQVEGEGQIFQLHTTLAETPAGSLDALC SAPGNAITTQLGPYAFKIPLSIRQKICSSLDAPNSRGNDWRLLAQKLSMDRYLNYFATKA SPTGVILDLWEARQQDDGDLNSLASALEEMGKSEMLVAMATDGDC >ENSMUSP00000025215.8 pep:known chromosome:GRCm38:18:35266400:35498925:-1 gene:ENSMUSG00000024357.9 transcript:ENSMUST00000025215.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sil1 description:endoplasmic reticulum chaperone SIL1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1932040] MAPQHLPSTRMASPGMLLGLLLTSCLTLCLSCQNSNNFALTNPEKSIHQESDTKETREEE ELDTEILEVFHPTQEWQTLQPGQAVPAGSHVRMNLQTGVNEVKLQQEDKFQNNLKGFKRG RRLDINANTYTSQDLKSALAKFKEGTEMENSKDELARQATVKQLFRPIEELKKEFDELNV VLETDMQIMVRLINKFNSSSSSLEEKVAALFDLEYYVHQMDNAQDLLSFGGLQVVINGLN STEPLVKEYAAFVLGAAFSSNPKVQVEAIEGGALQKLLVILATNQPLPAKKKVLFALCSL LRHFPYAQQQFLKLGGLQVLRSLVQEKSAKVLAVRVVTLLYDLVTEKMFAEEEAELTQDS SPEKLQQYRQVQLLPGLQEQGWCEITAQLLALPEHDAREKVLQTLGALLTTCRDRYRQDL QLSRTLGRLQAEYQALASLELQEGEDDGYFRELLASINSLMKELR >ENSMUSP00000024887.4 pep:known chromosome:GRCm38:17:78937135:78948052:1 gene:ENSMUSG00000024082.4 transcript:ENSMUST00000024887.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf7 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex assembly factor 7 [Source:MGI Symbol;Acc:MGI:1920944] MNALVRRCVARAGLPCIWRGKCYSSGNEPAESNQVTPMLRHLMYKIKSTGPITVAEYMKE VLTNPAKGYYVHQDMLGEKGDFITSPEISQIFGELLGVWFVSEWIASGKSPAFQLVELGP GRGTLTADILRVFSQLGSVLKTCAISIHLVEVSQKLSEIQALTLAEEKVPLERDAESLVY MKGVTKSGIPVSWYRDLKDVPEGYSLYLAHEFFDVLPVHKFQKTPRGWREVFVDVDPQAS DKLRFVLAPCATPAEAFIQRDERREHVEVCPDAGVIIQELSQRIASTGGAALIADYGHDG TKTDTLRGFYGHQLHDVLIAPGTADLTADVDFSYLRRMAQGKVASLGPVEQRTFLKNMGI DVRLKVLLDKAGEPSAKQQLLGGYDMLMNPQKMGERFHFFALLPHQRLHGGSQERNACQS KTPSSSVAGFDELVWQ >ENSMUSP00000136675.1 pep:known chromosome:GRCm38:15:40142188:40148689:1 gene:ENSMUSG00000094112.1 transcript:ENSMUST00000179120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9330182O14Rik description:RIKEN cDNA 9330182O14 gene [Source:MGI Symbol;Acc:MGI:3045378] MYQRNGGVTFRLFGPPKLYSPEFTEKARQCLHCLLTARMSTLCAYHEVKKPLSIIHYSCH HDVLPKDMRPSNTDRILSYELLRRWRLLEMDSMHLLHEESLGCYGILWLTRACSHRLRYW CFSWQLQGFFRSDWILRSLM >ENSMUSP00000054420.6 pep:known chromosome:GRCm38:17:15396246:15433580:1 gene:ENSMUSG00000014763.7 transcript:ENSMUST00000055352.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam120b description:family with sequence similarity 120, member B [Source:MGI Symbol;Acc:MGI:1914794] MGVRGLQGFVGSTCPHICTIVNIHELAERHRNKYPGCTPTIVVDAMCCLRYWYTAESWVC GGQWREYYCALRNFVAAFTSAGIKLIFFFDGMVEPGKRDEWVKRRLKNNREISKIFHYIK SKRDQPGRNMFFIPSGLAIFTRFALKTLGQETFCSLQEADYEVASYGLQHNCLGILGEDT DYLIYDTCPYFSIGDLCLESLQTIMLCREKLCESLGLRVADLPLLACLLGNDITPESMFE SFRYKCLSSYASVKENAGKKGNIILAVSDYISKVLHLYQGEKKIEEMLPLGPNKALFYKG VTSYLLPGQKSPWLVQKPKGMITDKQQMVSLNPESKQEVPMCIDPEFKQEVPVCTNPESM QEVPMCMDPEPNQEASMCTDPESKQEVPMCTDSESKPEVSQYTNPESKQKLPSGIDTEFN LEALMCTHPEFKQEDVMDMEPEIKQVTMVSESEILKVARMHHVHSESYLVYNILSSGEIE CSNTLEDELDQALPSQAFIYRPVRQRVYALLLGDWKDGASTGPVVKEWFVYPGNSLKHPD LVRPLQMTVQGGTPSLEVLWLSQEPAVQAQRLDTLLACFNLSSSREELQAVESPLRALCC LLIYLFVQVDTLSLEDLHAFIAQALCLQGKSTSQLMHLQLDYINSRAVQLGSLLVRGLTT LVLVNSACGFPWTTSEFMPWNVFDGKLFHQKYLQSEKGYAVEVLLEQNRSWLTKFHNLKA VVCKACSKENRRIVGRTHWDSPYTGRQGRQGYSSYRTDSTHGHSGQSWRNQGSGGRQHER NHWRRY >ENSMUSP00000087269.4 pep:known chromosome:GRCm38:16:26957236:26989974:-1 gene:ENSMUSG00000068428.6 transcript:ENSMUST00000089832.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmnc description:geminin coiled-coil domain containing [Source:MGI Symbol;Acc:MGI:2685452] MEDAVFQNSILPCQDQYFVGGQSYNCPYSTTTSESGVDVSKETWVSFWAAGLLDNTELQQ APQVLESPSELSFPVLDSCSWEEAQLSSQLYRNKQLQDTLLQKEEELARLHEENNHLRQY LNSTLVKRLEEKAKKLLSSDEFSKVFGKLRKEKRKPKEHRHSPAEIPQFKTAKRNLSTEF SNCEEQPGPHVDPWVLQTLGLKDLNTIDDTLPANYSATTSHPRAVPSISSLFVHDANGYA NVPRDLHLDYVGQGTHGSHTTSIHQEDCHSFPRLSNPPVRVQTLPYHTADVSPNKTEMAF STSLSPHCNVKTHSFHQGQAFVRRDEEGGWKFTWVPKQT >ENSMUSP00000067057.6 pep:known chromosome:GRCm38:17:93199422:93205485:1 gene:ENSMUSG00000024256.6 transcript:ENSMUST00000064775.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcyap1 description:adenylate cyclase activating polypeptide 1 [Source:MGI Symbol;Acc:MGI:105094] MTMCSGARLALLVYGIIMHSSVSCSPAAGLSFPGIRPEDEAYDQDGNPLQDFYDWDPPGV GSPASALRDAYALYYPADRRDVAHEILNEAYRKVLDQLSARKYLQSVVARGAGENLGGSA VDDPAPLTKRHSDGIFTDSYSRYRKQMAVKKYLAAVLGKRYKQRVKNKGRRIAYL >ENSMUSP00000052521.7 pep:known chromosome:GRCm38:15:36477668:36496791:-1 gene:ENSMUSG00000048307.7 transcript:ENSMUST00000057486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd46 description:ankyrin repeat domain 46 [Source:MGI Symbol;Acc:MGI:1916089] MSYVFVNDSSQTNVPLLQACIDGDFTYSKRLLESGFDPNIRDSRGRTGLHLAAARGNVDI CQLLHKFGADPLATDYQGNTALHLCGHVDTIQFLVSNGLKIDICNHQGATPLVLAKRRGV NKDVIRLLESLEEQEVKGFNRGAHSKLETMQTAESESAMESHSLLNPNLQQGEGVLSSFR TTWQEFVEDLGFWRVLLLILVIALLSLGIAYYVSGVLPFVDNQPELVH >ENSMUSP00000072018.7 pep:known chromosome:GRCm38:12:79080673:79089670:-1 gene:ENSMUSG00000021120.10 transcript:ENSMUST00000072154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pigh description:phosphatidylinositol glycan anchor biosynthesis, class H [Source:MGI Symbol;Acc:MGI:99463] MEDEKSFSDICGGRLALRCRYYSPYCREFGLSSARLSLCSLTAVTCAVWLAAYGLFTLCE NSMVLSATIFITILGLLGYLHFVKIDQETLLIIDSLGIQMTSSYASGKESTTFIEMDKVK DIIINEAIYMQKVIYYLCILLKEPGKPHEISRVVPVFQSAKPRLDCLIEVYRSCQEVLAH QKATATSL >ENSMUSP00000002911.8 pep:known chromosome:GRCm38:17:56079657:56100596:1 gene:ENSMUSG00000002833.10 transcript:ENSMUST00000002911.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdgfrp2 description:hepatoma-derived growth factor, related protein 2 [Source:MGI Symbol;Acc:MGI:1194492] MPHAFKPGDLVFAKMKGYPHWPARIDDIADGAVKPPPNKYPIFFFGTHETAFLGPKDLFP YDKCKDKYGKPNKRKGFNEGLWEIQNNPHASYSAPPPVSSSDSEAPEADLGCGSDVDKDK ESRRVMTVTAVTTTATSDRMESDSDSDKSSDHSGLKRKTPVLKVSVSKRARRASSDLDQA SVSPSEEDSESPSESEKTSDQDFTPEKKTAARPPRRGPLGGRKKKKVPSASDSDSKADSD GAKEEPVVTAQPSPSSSSSSSSSSSSDSDVSVKKPPRGRKPAEKPPPKPRGRRPKPERPP STSSSDSDSDSGEVDRISEWKRRDEERRRELEARRRREQEEELRRLREQEREEKERRKER AERGGSSGEELEDEEPVKKRSRKARGRGTPSSSDSEPEGELGKEGKKLAKKSQLPGSESA RKPGQKEKRGRPDEKPRARPVKVERTRKRSEGLSLERKGEKKKEPSVEERLQKLHSEIKF ALKVDNPDVRKCLSALEELGTLQVTSQILQKNTDVVATLKKIRRYKANKDVMAKAAEVYT RLKSRVLGPKVEALQKVNKAGAEKERADNEKLEEQPGEQAPRELAEDEPSTDRSAPVNGE ATSQKGENMEDRAQEDGQDSEDGPRGGSSEELHDSPRDNSDPAKPGNERQDHERTRLASE SANDDNEDS >ENSMUSP00000069752.5 pep:known chromosome:GRCm38:7:114046785:114117781:-1 gene:ENSMUSG00000055723.5 transcript:ENSMUST00000069449.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rras2 description:related RAS viral (r-ras) oncogene homolog 2 [Source:MGI Symbol;Acc:MGI:1914172] MAAAGWRDGSGQEKYRLVVVGGGGVGKSALTIQFIQSYFVTDYDPTIEDSYTKQCVIDDR AARLDILDTAGQEEFGAMREQYMRTGEGFLLVFSVTDRGSFEEIYKFQRQILRVKDRDEF PMILIGNKADLDHQRQVTQEEGQQLARQLKVTYMEASAKIRMNVDQAFHELVRVIRKFQE QECPPSPEPTRKEKDKKGCHCVIF >ENSMUSP00000020289.8 pep:known chromosome:GRCm38:10:61319657:61383523:-1 gene:ENSMUSG00000020092.8 transcript:ENSMUST00000020289.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pald1 description:phosphatase domain containing, paladin 1 [Source:MGI Symbol;Acc:MGI:1351623] MGTTASTAQQTVSAGTSLEGLQGGSSSSMDSQHSLGGVQSFRATSLHNSKAKSIIPNKVA PVVITYNCKEEFQIHDELLKAHYRMGRLSDATPEHYLVQGRYFLVRDITEKMDILGTLKS CGAPNFRQVRGGLPVFGMGQPSLLGFRRVLQKLQTDGLKECIIFCVREEPVVFLRAEEDF VSYTPRDKESLHENLRDPSPGVKAENLELAIQKEIHDFAQLRDNVYHVYHNTEDLRGEPH TVAIRGEDGVCVTEEVFKRPLFLQPTYRYHRLPLPEQGAPLEAQFDAFVSVLRETPSLLP LRDNHGPLPALLFSCQSGVGRTNLGMVLGTLVMFHHSRTTSQLEAASPLAKPLPMEQFQV IQGFICKVPQGKKMVEEVDRAISACAELHDLKEEVLKNQRRLESFRPESRGQECGSQQAV QQRALWSLELYFYLLLFNYYLHEQYPLAFALSFSRWLCTHPELYRLLVELNSVGPLVPGD LIAKGSLEADDLVSLDALSTVREMDVANFRRVPRMPIYGTAQPSAKALGNILAYLSDAKR KLRQVVWINLREEVVLECDGHTHSLWPPGPALAPEHLEALEAQLKAHLSAPVPNTKSPTA PRFQKCLTTQEVFSQHQGACLGLTYCRIPVPDFCAPREEDFDRLLEALRAALTKDPGTGF VFSCLSGQGRTTTAMVVAVLACWHIGGCPEVGEEELVSVPDAKFTKGEFQVVMKVVQLLP DGHHVKKEVDAALDIVSETMTPMHYHLREIIISTYRQAKATKEAQEAQRLQLRSLQYLER YIYLILFNAYLRLEKTSSWQRPFSTWMREVATKAGIYEILNQLGFPELESIEEQPLSRLR YRWQEQSRDPEPCDVGDFL >ENSMUSP00000021595.8 pep:known chromosome:GRCm38:12:100110186:100123364:1 gene:ENSMUSG00000021178.8 transcript:ENSMUST00000021595.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmc1 description:protease (prosome, macropain) 26S subunit, ATPase 1 [Source:MGI Symbol;Acc:MGI:106054] MGQSQSGGHGPGGGKKDDKDKKKKYEPPVPTRVGKKKKKTKGPDAASKLPLVTPHTQCRL KLLKLERIKDYLLMEEEFIRNQEQMKPLEEKQEEERSKVDDLRGTPMSVGTLEEIIDDNH AIVSTSVGSEHYVSILSFVDKDLLEPGCSVLLNHKVHAVIGVLMDDTDPLVTVMKVEKAP QETYADIGGLDNQIQEIKESVELPLTHPEYYEEMGIKPPKGVILYGPPGTGKTLLAKAVA NQTSATFLRVVGSELIQKYLGDGPKLVRELFRVAEEHAPSIVFIDEIDAIGTKRYDSNSG GEREIQRTMLELLNQLDGFDSRGDVKVIMATNRIETLDPALIRPGRIDRKIEFPLPDEKT KKRIFQIHTSRMTLADDVTLDDLIMAKDDLSGADIKAICTEAGLMALRERRMKVTNEDFK KSKENVLYKKQEGTPEGLYL >ENSMUSP00000062433.6 pep:known chromosome:GRCm38:18:53681724:53744547:-1 gene:ENSMUSG00000048799.7 transcript:ENSMUST00000049811.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep120 description:centrosomal protein 120 [Source:MGI Symbol;Acc:MGI:2147298] MVPKSDQLLIVVSILEGRHFPKRPKHLLVVEAKFDGEQLATDPVDHTDQPEFATELAWEI DRKVLHQHRLQRTPIKLQCFALDPQTSAKETVGYIVLDLRTAQETKQAPKWYQLLSNKYT KFKAEVQISLTLETDTKAQVDSYKAKAAPPRDGKVLASLAGVDPKDIVAVLNEEGGYHQI GPAEHCTDPFILSVTIAFATQLEQLIPCTMKLPERQPEFFFYYSLLGNDVTNEPFSDLIN PNFEPERASVRIRSSVEILRVYLALHSKLQIHLCCGDQSLGSTEIPLNGLLKKGSTEINQ HPVTVEGAFTLDPPNRAKQKLAPVPLDLAPTVGVSVALQREGIDSQSLIELKTQNGHEAE HSQKRVLTPIKEKTLTGPKSPRESPAPPPPPNQTPPTKDDATESEVESLQYDKDPKPTVK GIGSVPASLAQPEATCGASEVVTSGQKIAVPAASHHFCFSVDLRSVHDLELSFPVNCILR YSYPFFGSAAPIMTNPPVEVRKNMEVFLPQSYCAFDFATMPHQLQDTFLRIPLLVELWHK DKMSKDLLLGVARIQLSNILSSEKTRFLGANGEQCWRQTYSESVPVIAAQGSNNRILDLS YTMTLEDYGLVKMREIFVSESSQGVPAVDQKPSSPPPAPCPSEIQMEPRETLEYKAALEL EMWKEMQEDIFESQLKQKELAHMQALAEEWKKRDRERESLVKKKVAEYSILEGKLQKALT ELETREQQLASAEAELQRERKELQLERERNLQELQDSVRRARDDCVYQVELERLKLKQLE EDKQRLQQQLNDAGNKYKTLEKEFQQFKDQQNNKPEIRLQSEINLLTLEKVELERKLESA TKSKLHYKQQWGRALKELARLKQREQESQMARLKKQQEELEQMRLRYLAAEEKETVRTEQ QELLDIRNELNRLRQQEQNQYQDCKEIASGKLGSPRGSGLEEGLDDYLTRLIEERDTLMR TGVYNHEDRIISELDRQIREVLTKNSAS >ENSMUSP00000023036.5 pep:known chromosome:GRCm38:15:81466316:81476369:1 gene:ENSMUSG00000022400.8 transcript:ENSMUST00000023036.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbx1 description:ring-box 1 [Source:MGI Symbol;Acc:MGI:1891829] MAAAMDVDTPSGTNSGAGKKRFEVKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQ ASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNREWEFQKYGH >ENSMUSP00000049336.6 pep:known chromosome:GRCm38:18:67488209:67552721:-1 gene:ENSMUSG00000024533.15 transcript:ENSMUST00000045105.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spire1 description:spire homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1915416] MANTVEADGSKDEGYEAADEGPEDEDGEKRSISAIRSYQDVMKICAAHLPTESEAPNHYQ AVCRALFAETMELHTFLTKIKSAKENLKKIQEMEKGDESSTDLEDLKNADWARFWVQVMR DLRNGVKLKKVQQRQYNPLPIEYQLTPYEMLMDDIRCKRYTLRKVMVNGDVPPRLKKSAH EVILDFIRSRPPLNPVSARKLKPTPPRPRSLHERILEEIKAERKLRPVSPEEIRRSRLDV TTPESPKNVGESSMVNGGLTSQTKENGLSAAQQGSAQRKRLLKAPTLAELDSSDSEEEKS LHKSTSSSSASPSLYEDPVLEAMCSRKKPPKFLPISSTPQPERRQPPQRRHSIEKETPTN VRQFLPPSRQSSRSLVPRITGVWPRTPFRPLFSTIQTASLLSSHPFEAAMFGVAGAMYYL FERAFTSRWKPSKEEFCYPVECLALTVEEVMHIRQVLVKAELEKYQQYKDVYTALKKGKL CFCCRTRRFSFFTWSYTCQFCKRPVCSQCCKKMRLPSKPYSTLPIFSLGPSALQRGESCS RSEKPSTSHHRPLRSIARFSTKSRSVDKSDEELQFPKELMEDWSTMEVCVDCKKFISEII SSSRRSLVLANKRARLKRKTQSFYMSSAGPSEYCPSERTINEI >ENSMUSP00000110702.1 pep:known chromosome:GRCm38:18:67488209:67549173:-1 gene:ENSMUSG00000024533.15 transcript:ENSMUST00000115050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spire1 description:spire homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1915416] MELHTFLTKIKSAKENLKKIQEMEKGDESSTDLEDLKNADWARFWVQVMRDLRNGVKLKK VQQRQYNPLPIEYQLTPYEMLMDDIRCKRYTLRKVMVNGDVPPRLKKSAHEVILDFIRSR PPLNPVSARKLKPTPPRPRSLHERILEEIKAERKLRPVSPEEIRRSRLDVTTPESPKNVG ESSMVNGGLTSQTKENGLSAAQQGSAQRKRLLKAPTLAELDSSDSEEEKSLHKSTSSSSA SPSLYEDPVLEAMCSRKKPPKFLPISSTPQPERRQPPQRRHSIEKETPTNVRQFLPPSRQ SSRSLEEFCYPVECLALTVEEVMHIRQVLVKAELEKYQQYKDVYTALKKGKLCFCCRTRR FSFFTWSYTCQFCKRPVCSQCCKKMRLPSKPYSTLPIFSLGPSALQRGESCSRSEKPSTS HHRPLRSIARFSTKSRSVDKSDEELQFPKELMEDWSTMEVCVDCKKFISEIISSSRRSLV LANKRARLKRKTQSFYMSSAGPSEYCPSERTINEI >ENSMUSP00000080871.6 pep:known chromosome:GRCm38:18:67488213:67552777:-1 gene:ENSMUSG00000024533.15 transcript:ENSMUST00000082243.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spire1 description:spire homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1915416] MANTVEADGSKDEGYEAADEGPEDEDGEKRSISAIRSYQDVMKICAAHLPTESEAPNHYQ AVCRALFAETMELHTFLTKIKSAKENLKKIQEMEKGDESSTDLEDLKNADWARFWVQVMR DLRNGVKLKKVQQRQYNPLPIEYQLTPYEMLMDDIRCKRYTLRKVMVNGDVPPRLKKSAH EVILDFIRSRPPLNPVSARKLKPTPPRPRSLHERILEEIKAERKLRPVSPEEIRRSRLVR PLSMSHSFDLSDVTTPESPKNVGESSMVNGGLTSQTKENGLSAAQQGSAQRKRLLKAPTL AELDSSDSEEEKSLHKSTSSSSASPSLYEDPVLEAMCSRKKPPKFLPISSTPQPERRQPP QRRHSIEKETPTNVRQFLPPSRQSSRSLEEFCYPVECLALTVEEVMHIRQVLVKAELEKY QQYKDVYTALKKGKLCFCCRTRRFSFFTWSYTCQFCKRPVCSQCCKKMRLPSKPYSTLPI FSLGPSALQRGESCSRSEKPSTSHHRPLRSIARFSTKSRSVDKSDEELQFPKELMEDWST MEVCVDCKKFISEIISSSRRSLVLANKRARLKRKTQSFYMSSAGPSEYCPSERTINEI >ENSMUSP00000023758.7 pep:known chromosome:GRCm38:15:99670718:99701127:1 gene:ENSMUSG00000023017.9 transcript:ENSMUST00000023758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asic1 description:acid-sensing (proton-gated) ion channel 1 [Source:MGI Symbol;Acc:MGI:1194915] MELKTEEEEVGGVQPVSIQAFASSSTLHGLAHIFSYERLSLKRALWALCFLGSLAVLLCV CTERVQYYFCYHHVTKLDEVAASQLTFPAVTLCNLNEFRFSQVSKNDLYHAGELLALLNN RYEIPDTQMADEKQLEILQDKANFRSFKPKPFNMREFYDRAGHDIRDMLLSCHFRGEACS AEDFKVVFTRYGKCYTFNSGQDGRPRLKTMKGGTGNGLEIMLDIQQDEYLPVWGETDETS FEAGIKVQIHSQDEPPFIDQLGFGVAPGFQTFVSCQEQRLIYLPSPWGTCNAVTMDSDFF DSYSITACRIDCETRYLVENCNCRMVHMPGDAPYCTPEQYKECADPALDFLVEKDQEYCV CEMPCNLTRYGKELSMVKIPSKASAKYLAKKFNKSEQYIGENILVLDIFFEVLNYETIEQ KKAYEIAGLLGDIGGQMGLFIGASILTVLELFDYAYEVIKHRLCRRGKCQKEAKRNSADK GVALSLDDVKRHNPCESLRGHPAGMTYAANILPHHPARGTFEDFTC >ENSMUSP00000130456.1 pep:known chromosome:GRCm38:15:41830958:41861045:1 gene:ENSMUSG00000022307.15 transcript:ENSMUST00000166917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxr1 description:oxidation resistance 1 [Source:MGI Symbol;Acc:MGI:2179326] MSRLWYGKKGRRHQPVNHKYTLITTREDINSKQVAPAKADLEPESFRPNLSDPSELLLPD QIEKLTKHLPPRTIGYPWTLVYGTGKHGTSLKTLYRTMTGLDTPVLMVIKDSDGQVFGAL ASEPFKVSDGFYGTGETFVFTFCPEFEVFKWTGDNMFFIKGDMDSLAFGGGGGEFALWLD GDLYHGRSHSCKTFGNHTLSKKEDFFIQDIEIWAFE >ENSMUSP00000022918.7 pep:known chromosome:GRCm38:15:41789523:41861048:1 gene:ENSMUSG00000022307.15 transcript:ENSMUST00000022918.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxr1 description:oxidation resistance 1 [Source:MGI Symbol;Acc:MGI:2179326] MSFQKPKGTIEYTVESRDSLNSIALKFDTTPNELVQLNKLFSRAVVTGQVLYVPDPEYVS SVESSPSLSPVSPLSPTSSEAEFDKTTTPDVAHPKEAPPASTVSGIRPARVVSSTSEEEE AFTEKFLKINCKYITIGKGTVSGVLLVTPNNIMFDPHKTDPLVQENGCEEYGIMCPMEEV MSAAMYKEILDSKIKESLPIELDQLSGRGSCHSKKATGVSAEDADPRARDQGNDSASTAP RSTEESLSEDAFTESELSPIREELLSSEPRQEKSSDASSESVQTVSQMEVQSLTATSEAA NVPDRTSSNPGALSHETGLSGLETATKGGDKATESLQEVSGPKEQSTEVKGQDNQDSSHQ ESSLQQEAGEDSVSSGETVELKEKPAVLKDQQGQELKRDSETEVEELRKLWKTHSMQQAK QQRDTIQQVSQRESKHSSAAADAHGEGSSLLKEKRRHRLHKFLCLRVGKPMRKTFVSQAS ATMQQYAQRDKKHEYWFAVPQERTDHLYAFFIQWSPEIYAEDSGEYTREPGFIVVKKMDE SEANEAPAGEAAAREWEVVSVAEYHRRIDALNTEELRTLCRRLQITTREDINSKQVAPAK ADLEPESFRPNLSDPSELLLPDQIEKLTKHLPPRTIGYPWTLVYGTGKHGTSLKTLYRTM TGLDTPVLMVIKDSDGQVFGALASEPFKVSDGFYGTGETFVFTFCPEFEVFKWTGDNMFF IKGDMDSLAFGGGGGEFALWLDGDLYHGRSHSCKTFGNHTLSKKEDFFIQDIEIWAFE >ENSMUSP00000087553.5 pep:known chromosome:GRCm38:15:41789515:41861045:1 gene:ENSMUSG00000022307.15 transcript:ENSMUST00000090095.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxr1 description:oxidation resistance 1 [Source:MGI Symbol;Acc:MGI:2179326] MSFQKPKGTIEYTVESRDSLNSIALKFDTTPNELVQLNKLFSRAVVTGQVLYVPDPEYVS SVESSPSLSPVSPLSPTSSEAEFDKTTTPDVAHPKEAPPASTVSGIRPARVVSSTSEEEE AFTEKFLKINCKYITIGKGTVSGVLLVTPNNIMFDPHKTDPLVQENGCEEYGIMCPMEEV MSAAMYKEILDSKIKESLPIELDQLSGRGSCHSKKATGVSAEDADPRARDQGNDSASTAP RSTEESLSEDAFTESELSPIREELLSSEPRQEKSSDASSESVQTVSQMEVQSLTATSEAA NVPDRTSSNPGALSHETGLSGLETATKGGDKATESLQEVSGPKEQSTEVKGQDNQDSSHQ ESSLQQEAGEDSVSSGETVELKEKPAVLKDQQGQELKRDSETEVEELRKLWKTHSMQQAK QQRDTIQQVSQRESKHSSAAADAHGEGSSLLKEKRRHRLHKFLCLRVGKPMRKTFVSQAS ATMQQYAQRDKKHEYWFAVPQERTDHLYAFFIQWSPEIYAEDSGEYTREPGFIVVKKMDE SEANEAPAGEAAAREWEITTREDINSKQVAPAKADLEPESFRPNLSDPSELLLPDQIEKL TKHLPPRTIGYPWTLVYGTGKHGTSLKTLYRTMTGLDTPVLMVIKDSDGQVFGALASEPF KVSDGFYGTGETFVFTFCPEFEVFKWTGDNMFFIKGDMDSLAFGGGGGEFALWLDGDLYH GRSHSCKTFGNHTLSKKEDFFIQDIEIWAFE >ENSMUSP00000126266.1 pep:known chromosome:GRCm38:15:41789034:41861045:1 gene:ENSMUSG00000022307.15 transcript:ENSMUST00000170127.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxr1 description:oxidation resistance 1 [Source:MGI Symbol;Acc:MGI:2179326] MDYLTTFTGKSGRLLRGTASRLWGLGGGGEARQVRFEDYLREPAPGDPGCGPEELRPPSP ASPEGPDTGQKKTLDKKDGRRMSFQKPKGTIEYTVESRDSLNSIALKFDTTPNELVQLNK LFSRAVVTGQVLYVPDPEYVSSVESSPSLSPVSPLSPTSSEAEFDKTTTPDVAHPKEAPP ASTVSGIRPARVVSSTSEEEEAFTEKFLKINCKYITIGKGTVSGVLLVTPNNIMFDPHKT DPLVQENGCEEYGIMCPMEEVMSAAMYKEILDSKIKESLPIELDQLSGRGSCHSKKATGV SAEDADPRARDQGNDSASTAPRSTEESLSEDAFTESELSPIREELLSSEPRQEKSSDASS ESVQTVSQMEVQSLTATSEAANVPDRTSSNPGALSHETGLSGLETATKGGDKATESLQEV SGPKEQSTEVKGQDNQDSSHQESSLQQEAGEDSVSSGETVELKEKPAVLKDQQGQELKRD SETEVEELRKLWKTHSMQQAKQQRDTIQQVSQRESKHSSAAADAHGEGSSLLKEKRRHRL HKFLCLRVGKPMRKTFVSQASATMQQYAQRDKKHEYWFAVPQERTDHLYAFFIQWSPEIY AEDSGEYTREPGFIVVKKMDESEANEAPAGEAAAREWEITTREDINSKQVAPAKADLEPE SFRPNLSDPSELLLPDQIEKLTKHLPPRTIGYPWTLVYGTGKHGTSLKTLYRTMTGLDTP VLMVIKDSDGQVFGALASEPFKVSDGFYGTGETFVFTFCPEFEVFKWTGDNMFFIKGDMD SLAFGGGGGEFALWLDGDLYHGRSHSCKTFGNHTLSKKEDFFIQDIEIWAFE >ENSMUSP00000136923.1 pep:known chromosome:GRCm38:15:41449027:41861045:1 gene:ENSMUSG00000022307.15 transcript:ENSMUST00000179393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxr1 description:oxidation resistance 1 [Source:MGI Symbol;Acc:MGI:2179326] MSFQKPKGTIEYTVESRDSLNSIALKFDTTPNELVQLNKLFSRAVVTGQVLYVPDPEYVS SVESSPSLSPVSPLSPTSSEAEFDKTTTPDVAHPKEAPPASTVSGIRPARVVSSTSEEEE AFTEKFLKINCKYITIGKGTVSGVLLVTPNNIMFDPHKTDPLVQENGCEEYGIMCPMEEV MSAAMYKEILDSKIKESLPIELDQLSGRGSCHSKKATGVSAEDADPRARDQGNDSASTAP RSTEESLSEDAFTESELSPIREELLSSEPRQEKSSDASSESVQTVSQMEVQSLTATSEAA NVPDRTSSNPGALSHETGLSGLETATKGGDKATESLQEVSGPKEQSTEVKGQDNQDSSHQ ESSLQQEAGEDSVSSGETVELKEKPAVLKDQQGQELKRDSETEVEELRKLWKTHSMQQAK QQRDTIQQVSQRESKHSSAAADAHGEGSSLLKEKRRHRLHKFLCLRVGKPMRKTFVSQAS ATMQQYAQRDKKHEYWFAVPQERTDHLYAFFIQWSPEIYAEDSGEYTREPGFIVVKKMDE SEANEAPAGEAAAREWEITTREDINSKQVAPAKADLEPESFRPNLSDPSELLLPDQIEKL TKHLPPRTIGYPWTLVYGTGKHGTSLKTLYRTMTGLDTPVLMVIKDSDGQVFGALASEPF KVSDGFYGTGETFVFTFCPEFEVFKWTGDNMFFIKGDMDSLAFGGGGGEFALWLDGDLYH GRSHSCKTFGNHTLSKKEDFFIQDIEIWAFE >ENSMUSP00000087554.4 pep:known chromosome:GRCm38:15:41447482:41861045:1 gene:ENSMUSG00000022307.15 transcript:ENSMUST00000090096.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxr1 description:oxidation resistance 1 [Source:MGI Symbol;Acc:MGI:2179326] MSFQKPKGTIEYTVESRDSLNSIALKFDTTPNELVQLNKLFSRAVVTGQVLYVPDPEYVS SVESSPSLSPVSPLSPTSSEAEFDKTTTPDVAHPKEAPPASTVSGIRPARVVSSTSEEEE AFTEKFLKINCKYITIGKGTVSGVLLVTPNNIMFDPHKTDPLVQENGCEEYGIMCPMEEV MSAAMYKEILDSKIKESLPIELDQLSGRGSCHSKKATGVSAEDADPRARDQGNDSASTAP RSTEESLSEDAFTESELSPIREELLSSEPRQEKSSDASSESVQTVSQMEVQSLTATSEAA NVPDRTSSNPGALSHETGLSGLETATKGGDKATESLQEVSGPKEQSTEVKGQDNQDSSHQ ESSLQQEAGEDSVSSGETVELKEKPAVLKDQQGQELKRDSETEVEELRKLWKTHSMQQAK QQRDTIQQVSQRESKHSSAAADAHGEGSSLLKEKRRHRLHKFLCLRVGKPMRKTFVSQAS ATMQQYAQRDKKHEYWFAVPQERTDHLYAFFIQWSPEIYAEDSGEYTREPGFIVVKKMDE SEANEAPAGEAAAREWEITTREDINSKQVAPAKADLEPESFRPNLSDPSELLLPDQIEKL TKHLPPRTIGYPWTLVYGTGKHGTSLKTLYRTMTGLDTPVLMVIKDSDGQVFGALASEPF KVSDGFYGTGETFVFTFCPEFEVFKWTGDNMFFIKGDMDSLAFGGGGGEFALWLDGDLYH GRSHSCKTFGNHTLSKKEDFFIQDIEIWAFE >ENSMUSP00000105926.2 pep:known chromosome:GRCm38:15:41447482:41861045:1 gene:ENSMUSG00000022307.15 transcript:ENSMUST00000110297.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxr1 description:oxidation resistance 1 [Source:MGI Symbol;Acc:MGI:2179326] MSVSNLSWLKKKSQSVDITAPGFNPLGGAGKQAPQASKPPAPKTPIIEEEQNNSANTQKH PSRKSELKRFYTIDTGQKKTLDKKDGRRMSFQKPKGTIEYTVESRDSLNSIALKFDTTPN ELVQLNKLFSRAVVTGQVLYVPDPEYVSSVESSPSLSPVSPLSPTSSEAEFDKTTTPDVA HPKEAPPASTVSGIRPARVVSSTSEEEEAFTEKFLKINCKYITIGKGTVSGVLLVTPNNI MFDPHKTDPLVQENGCEEYGIMCPMEEVMSAAMYKEILDSKIKESLPIELDQLSGRGSCH SKKATGVSAEDADPRARDQGNDSASTAPRSTEESLSEDAFTESELSPIREELLSSEPRQE KSSDASSESVQTVSQMEVQSLTATSEAANVPDRTSSNPGALSHETGLSGLETATKGGDKA TESLQEVSGPKEQSTEVKGQDNQDSSHQESSLQQEAGEDSVSSGETVELKEKPAVLKDQQ GQELKRDSETEVEELRKLWKTHSMQQAKQQRDTIQQVSQRESKHSSAAADAHGEGSSLLK EKRRHRLHKFLCLRVGKPMRKTFVSQASATMQQYAQRDKKHEYWFAVPQERTDHLYAFFI QWSPEIYAEDSGEYTREPGFIVVKKMDESEANEAPAGEAAAREWEVVSVAEYHRRIDALN TEELRTLCRRLQITTREDINSKQVAPAKADLEPESFRPNLSDPSELLLPDQIEKLTKHLP PRTIGYPWTLVYGTGKHGTSLKTLYRTMTGLDTPVLMVIKDSDGQVFGALASEPFKVSDG FYGTGETFVFTFCPEFEVFKWTGDNMFFIKGDMDSLAFGGGGGEFALWLDGDLYHGRSHS CKTFGNHTLSKKEDFFIQDIEIWAFE >ENSMUSP00000053408.4 pep:known chromosome:GRCm38:8:85007202:85014408:-1 gene:ENSMUSG00000052819.5 transcript:ENSMUST00000059072.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Best2 description:bestrophin 2 [Source:MGI Symbol;Acc:MGI:2387588] MTVTYTARVANARFGGFSQLLLLWRGSIYKLLWRELLCFLGLYMALSAAYRFLLAEEQKR YFEKLVIYCDQYASLIPVSFVLGFYVTLVVHRWWNQYLCMPLPDALMCIVAGTVHGRDDR GRLYRRTLMRYAGLSAVLILRSVSTAVFKRFPTIDHVVEAGFMTREERKKFENLNSSYNK YWVPCVWFSSLAAQARREGRIRDNSALKLLLEELNVFRSKCGMLFHYDWISIPLVYTQVV TIAVYSYFLACLIGRQFLDPAQGYKDHTLDLCVPIFTLLQFFFYAGWLKVAEQLINPFGE DDDDFETNFLIDRNFQVSMLAVDEMYDDLAMLEKDLYWDAAEARAPYTAATAFLLQQPSF QGSTFDIALAKEDMQFQRLDGVDGPLGEVHGDFLQRLLPAGAGSVGPLGRRLSLLRRKNS CVSEASTAASCGCAGAADGGGVECGCGDPLLDPSLREPELESPACPEPPAPIPGPTPEPF TTVSIPGPRAPAPPWLPSPIGEEEESPA >ENSMUSP00000106145.2 pep:known chromosome:GRCm38:13:14226438:14523226:-1 gene:ENSMUSG00000021301.8 transcript:ENSMUST00000110516.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hecw1 description:HECT, C2 and WW domain containing E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:2444115] MLLHLCSVKNLYQNRFLGLAAMASPSRNSQSRRRCKEPLRYSYNPDQFHNIDIRNGAHDA ITIPRSTSDTDLVTSDSRSTLMVSSSYYSIGHSQDLVIHWDIKEEVDAGDWIGMYLIGEV SSENFLDYKNRGVNGSHRGQIIWKIDASSYFVESETKICFKYYHGVSGALRATTPSVTVK NSAAPIFKGIGSEETAQSQGSRRLISFSLSDFQAMGLKKGMFFNPDPYLKISIQPGKHSI FPALPHHGQERRSTIIGNTVNPIWQAEHFSFVSLPTDVLEIEVKDKFAKSRPIIKRFLGK LSMPVQRLLERHAIGDRVVSYTLGRRLPTDHVSGQLQFRFEITSSIHADDEEISLSAEPE SSAETQDSIMNSMVGNSNGEPSGDATEFCKDAKPESPSEGNGVNSSENQNQEHAGPVEEA AGAMEARDGSNVSEAPEEPGELQDPEQHDTQPTLSAEEVAEGLPLDEDSPSSLLPEENTA LGSKVEEETVPENGAREEEMQKGKDEEEEEEDVSTLEQGEPGLELRVSVRKKSRPCSLPV SELETVIASACGDAETPRTHYIRIHTLLHSMPSAQRGSTTEEEDGLEEESTLKESSEKDG LSEVDTIAADPQSMEDGESDGATLCMAPSDCSGGHFSSLSKGIGAGQDGEAHPSTGSESD SSPQQGADHSCEGCDASCCSPSCYSTSCYSSSCYSSSCYSSSCYNGNNRFASHTRFSSVD SAKISESTVFSSQEDEEEENSAFESVPDSVQSPELDPESTNGAGPWQDELAAPGGNAARS TEGLESPMAGPSNRREGECPILHNSQPISQLPSLRPEHHHYPTIDEPLPPNWEARIDSHG RVFYVDHINRTTTWQRPSMAPTPDGMIRSGSVHQMEQLNRRYQNIQRTMATERAEEDSGN QNSEQIPDGGGGGGGGSDSEAESSQSSLDLRREGSLSPVNSQKVTLLLQSPAVKFITNPE FFTVLHANYSAYRVFTSSTCLKHMILKVRRDARNFERYQHNRDLVNFINMFADTRLELPR GWEIKTDHQGKSFFVDHNSRATTFIDPRIPLQNGRLPNHLTHRQHLQRLRSYSAGEASEV SRNRGASLLARPGHSLIAAIRSQHQHESLPLAYNDKIVAFLRQPNIFEMLQERQPSLARN HTLREKIHYIRTEGNHGLDKLSCDADLVILLSLFEEEIMSYVPLQSAFHPGYSFSPRCSP CSSPQNSPGLQRASARAPSPYRRDFEAKLRNFYRKLEAKGFGQGPGKIKLIIRRDHLLEG TFNQVMAYSRKELQRNKLYITFVGEEGLDYSGPSREFFFLLSQELFNPYYGLFEYSANDT YTVQISPMSAFVENYLEWFRFSGRILGLALIHQYLLDAFFTRPFYKGLLKLPCDLSDLEY LDEEFHQSLQWMKDNNITDILDLTFTVNEEVFGQVTERELKSGGANTQVTEKNKKEYIER MVKWRVERGVVQQTEALLRGFYEVVDSRLVSVFDARELELVIAGTAEIDLNDWRNNTEYR GGYHDGHLVIRWFWAAVERFNNEQRLRLLQFVTGTSSVPYEGFAALRGSNGLRRFCIEKW GKITSLPRAHTCFNRLDLPPYPSYSMLYEKLLTAVEETSTFGLE >ENSMUSP00000025396.3 pep:known chromosome:GRCm38:18:65934639:65939089:-1 gene:ENSMUSG00000024518.3 transcript:ENSMUST00000025396.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rax description:retina and anterior neural fold homeobox [Source:MGI Symbol;Acc:MGI:109632] MHLPGCAPAMADGSFSLAGHLLRSPGGSTSRLHSIEAILGFTKEDGILDTFPAERSSRSS KERDPRLGAQPACPKAPAGGSESSPPAAPGFVPEYEATRPCYPKEQGEARPSPGLSVGPA AGDSKLSEEEPPKKKHRRNRTTFTTYQLHELERAFEKSHYPDVYSREELAGKVNLPEVRV QVWFQNRRAKWRRQEKLEVSSMKLQDSPLLSFSRSPPSSALAPLGTGPGSGSGPPGSALP LEPWLGPPLPGGGATALQSLPGFGPPGQGLPASYTPPPPFLNSAPLGPGLQQLGPPPAYP CAPAFGDKFSLEEAYPRNSSIAALRLKAKEHIQAIGKPWQAL >ENSMUSP00000041942.7 pep:known chromosome:GRCm38:10:85891970:85916945:-1 gene:ENSMUSG00000035529.9 transcript:ENSMUST00000037646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm4 description:PR domain containing 4 [Source:MGI Symbol;Acc:MGI:1920093] MNDMNLSPVGMEQLSSSSVSNALPVSGSHLGLAASPSHSAIPAPGLPVAIPNLGPSLSSL PSALSLMLPVGIGDRGVMCGLPERNYTLPPPPYPHLESSYFRTILPGILSYLADRPPPQY IHPNSINVDGNTALSITNNPSALDPYQANGNVGLELGIVSIDSRSVNTHGAQSLHPNDGH EVALDTTITMENVSRVTSPISTDGMAEELTMDGVTGEHPQIPNGSRSHEPLSVDSVSNSL TAEAVGHGGVIPIHGNGLELPVVMETDHIANRVNGMSDSTLSDSIHTVAMSTNSVSVALS TSHNLASLESVSLHEVGLSLEPVAVSSITQEVAMGTGHVDVSSDSLSFVPSSLQMEDSNS NKENMATLFTIWCTLCDRAYPSDCPDHGPVTFVPDTPIESRARLSLPKQLVLRQSIVGTD VVGVLPLIGVWTAETIPVRTCFGPLIGQQSHSLEVAEWTDKAVNHVWKIYHTGVLEFCII TTDENECNWMMFVRKARNREEQNLVAYPHDGKIYFCTSQDIPPESELLFYYSRNYAQQIG VPEHPDVHLCNCGKECSSYSEFKAHLTSHIHNHLPSQGHSSSHGPSHSKERKWKCSMCPQ AFISPSKLHVHFMGHMGMKPHKCDFCSKAFSDPSNLRTHLKIHTGQKNYRCTLCDKSFTQ KAHLESHMVIHTGEKNLKCDYCDKLFMRRQDLKQHVLIHTQERQIKCPKCDKLFLRTNHL KKHLNSHEGKRDYVCEKCTKAYLTKYHLTRHLKTCKEPSSSSSAQEEEDDESEEEDLADS MRTEDCRMGSAVYSTDESLSAHK >ENSMUSP00000047923.8 pep:known chromosome:GRCm38:8:71611024:71624909:1 gene:ENSMUSG00000034807.8 transcript:ENSMUST00000047903.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Colgalt1 description:collagen beta(1-O)galactosyltransferase 1 [Source:MGI Symbol;Acc:MGI:1924348] MAALPRGSRGLPLLPLLLLLPPLGGPRGADGYFPEERWSPESPLQAPRVLIALLARNAAP ALPATLGALEQLRHPRERTALWVATDHNTDNTSAILREWLVAVKGLYHSVEWRPAEEPSS YPDEEGPKHWSDSRYEHVMKLRQAALKSARDMWADYILFMDIDNLITNPDTLSLLIAENK TVVAPMLDSRAAYSNFWCGMTSQGYYKRTPAYIPIRKRDRRGCFAVPMVHSTFLIDLRKA ASRNLAFYPTHPDYTWSFDDIIVFAFSCKQAEVQMYVCNKEVYGFLPVPLRAHSSLQDEA ESFMHVQLEVMVKHPPVQLSRFISAPRKTSDKMGFDEVFMINLKRRRDRRERMLRALHEQ EIDCQLVEAVDGKAMNTSQVEAMGIQMLPGYRDPYHGRPLTKGELGCFLSHYNIWKEVVD RGLQKSLVFEDDLRFEIFFKRRLMNLMRDVEREGLDWDLIYVGRKRMQVEHPEKAVPHVR NLVEADYSYWTLAYVISLQGAQKLLAAKPLAKMLPVDEFLPVMFDKHPMSEYKSHFSPRN LRAFSVEPLLIYPTHYTGDDGYVSDTETSVVWNNEQVKTDWDRAKSQKMREQQALSREAK NSDVLQSPLDSTARDEL >ENSMUSP00000077596.5 pep:known chromosome:GRCm38:15:102356609:102366325:-1 gene:ENSMUSG00000060284.6 transcript:ENSMUST00000078508.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp7 description:Sp7 transcription factor 7 [Source:MGI Symbol;Acc:MGI:2153568] MASSLLEEEAHYGSSPLAMLTAACSKFGGSSPLRDSTTLGKGGTKKPYADLSAPKTMGDA YPAPFSSTNGLLSPAGSPPAPASGYANDYPPFPHSFPGPTGAQDPGLLVPKGHSSSDCLP SVYTSLDMTHPYGSWYKAGIHAGISPGPGNTPTPWWDMHPGGNWLGGGQGQGDGLQGTLS TGPAQPPLNPQLPTYPSDFAPLNPAPYPAPHLLQPGPQHVLPQDVYKPKAVGNSGQLEGS GAAKPPRGAGTGGSGGYAGSGAGRSTCDCPNCQELERLGAAAAGLRKKPIHSCHIPGCGK VYGKASHLKAHLRWHTGERPFVCNWLFCGKRFTRSDELERHVRTHTREKKFTCLLCSKRF TRSDHLSKHQRTHGEPGPGPPPSGPKELGEGRSVGEEEANQPPRSSTSPAPPEKAHGGSP EQSNLLEI >ENSMUSP00000034132.5 pep:known chromosome:GRCm38:8:85299632:85308278:1 gene:ENSMUSG00000031697.11 transcript:ENSMUST00000034132.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc6 description:origin recognition complex, subunit 6 [Source:MGI Symbol;Acc:MGI:1929285] MESELVRRLAPRLGLAEPSVLRKAEEFLRLSKVKCVSLSARSSETSNAVICLDLAASCRK CPLDRAYLIRLSGLNKMVYQSCLKSFECLLGLNSNVGIRDLAVQFSCTEAVNLAAEILQS YESGLPETQRADLDLSRPLFTTAALLSACKILKIKVDKTKMITASGVKKAILDRLCKQLE KIGQQINRDSADLARPALKRKKPEFSPTLKKKEPGLEPPAKEIEVIETLHKLPKDEDLTQ DYEEWKRKILENAAKAQTATAE >ENSMUSP00000126925.1 pep:known chromosome:GRCm38:8:85299632:85308278:1 gene:ENSMUSG00000031697.11 transcript:ENSMUST00000170141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orc6 description:origin recognition complex, subunit 6 [Source:MGI Symbol;Acc:MGI:1929285] MVYQSCLKSFECLLGLNSNVGIRDLAVQFSCTEAVNLAAEILQSYESGLPETQRADLDLS RPLFTTAALLSACKILKIKVDKTKMITASGVKKAILDRLCKQLEKIGQQINRDSADLARP ALKRKKPEFSPTLKKKEPGLEPPAKEIEVIETLHKLPKDEDLTQDYEEWKRKILENAAKA QTATAE >ENSMUSP00000097738.3 pep:known chromosome:GRCm38:15:103272911:103299862:1 gene:ENSMUSG00000060992.8 transcript:ENSMUST00000100162.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Copz1 description:coatomer protein complex, subunit zeta 1 [Source:MGI Symbol;Acc:MGI:1929063] MEALILEPSLYTVKAILILDNDGDRLFAKYYDDTYPSVKEQKAFEKNIFNKTHRTDSEIA LLEGLTVVYKSSIDLYFYVIGSSYENELMLMAVLNCLFDSLSQMLRKNVEKRALLENMEG LFLAVDEIVDGGVILESDPQQVVHRVALRGEDVPLTEQTVSQVLQSAKEQIKWSLLR >ENSMUSP00000025408.8 pep:known chromosome:GRCm38:18:67404767:67449166:-1 gene:ENSMUSG00000024527.9 transcript:ENSMUST00000025408.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afg3l2 description:AFG3-like AAA ATPase 2 [Source:MGI Symbol;Acc:MGI:1916847] MAHRCLLLWSRGGCRRGLPPLLVPRGCLGPDRRPCLRTLYQYATVQTASSRRSLLRDVIA AYQRFCSRPPKGFEKYFPNGKNGKKASEPKEAVGEKKEPQPSGPQPSGGAGGGGGKRRGK KEDSHWWSRFQKGDFPWDDKDFRMYFLWTALFWGGVMIYFVFKSSGREITWKDFVNNYLS KGVVDRLEVVNKRFVRVTFTPGKTPVDGQYVWFNIGSVDTFERNLETLQQELGIEGENRV PVVYIAESDGSFLLSMLPTVLIIAFLLYTIRRGPAGIGRTGRGMGGLFSVGETTAKVLKD EIDVKFKDVAGCEEAKLEIMEFVNFLKNPKQYQDLGAKIPKGAILTGPPGTGKTLLAKAT AGEANVPFITVSGSEFLEMFVGVGPARVRDLFALARKNAPCILFIDEIDAVGRKRGRGNF GGQSEQENTLNQLLVEMDGFNTTTNVVILAGTNRPDILDPALLRPGRFDRQIFIGPPDIK GRASIFKVHLRPLKLDSALEKDKLARKLASLTPGFSGADVANVCNEAALIAARHLSDAIN EKHFEQAIERVIGGLEKKTQVLQPEEKKTVAYHEAGHAVAGWYLEHADPLLKVSIIPRGK GLGYAQYLPKEQYLYTKEQLLDRMCMTLGGRVSEEIFFGRITTGAQDDLRKVTQSAYAQI VQFGMNEKVGQISFDLPRQGDMVLEKPYSEATARMIDDEVRILISDAYRRTVALLTEKKA DVEKVALLLLEKEVLDKNDMVQLLGPRPFTEKSTYEEFVEGTGSLDEDTSLPEGLQDWNK EREKEEKKEKEKEEPLNEKVVS >ENSMUSP00000094082.3 pep:known chromosome:GRCm38:15:78325990:78351000:1 gene:ENSMUSG00000071713.4 transcript:ENSMUST00000096355.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf2rb description:colony stimulating factor 2 receptor, beta, low-affinity (granulocyte-macrophage) [Source:MGI Symbol;Acc:MGI:1339759] MDQQMALTWGLCYMALVALCWGHGVTEAEETVPLKTLQCYNDYTNHIICSWADTEDAQGL INMTLYHQLEKKQPVSCELSEELMWSECPSSHRCVPRRCVIPYTRFSITNEDYYSFRPDS DLGIQLMVPLAQNVQPPLPKNVSISSSEDRFLLEWSVSLGDAQVSWLSSKDIEFEVAYKR LQDSWEDAYSLHTSKFQVNFEPKLFLPNSIYAARVRTRLSPGSSLSGRPSRWSPEVHWDS QPGDKAQPQNLQCFFDGIQSLHCSWEVWTQTTGSVSFGLFYRPSPVAPEEKCSPVVKEPP GASVYTRYHCSLPVPEPSAHSQYTVSVKHLEQGKFIMSYNHIQMEPPTLNLTKNRDSYSL HWETQKMAYSFIEHTFQVQYKKKSDSWEDSKTENLDRAHSMDLSQLEPDTSYCARVRVKP ISNYDGIWSKWSEEYTWKTDWVMPTLWIVLILVFLILTLLLILRFGCVSVYRTYRKWKEK IPNPSKSLLFQDGGKGLWPPGSMAAFATKNPALQGPQSRLLAEQQGESYAHLEDNNVSPL TIEDPNIIRVPPSGPDTTPAASSESTEQLPNVQVEGPTPNRPRKQLPSFDFNGPYLGPPQ SHSLPDLPDQLGSPQVGGSLKPALPGSLEYMCLPPGGQAQLVPLSQVMGQGQAMDVQCGS SLETSGSPSVEPKENPPVELSMEEQEARDNPVTLPISSGGPEGSMMASDYVTPGDPVLTL PTGPLSTSLGPSLGLPSAQSPSLCLKLPRVPSGSPALGPPGFEDYVELPPSVSQAAKSPP GHPAPPVASSPTVIPGEPREEVGPASPHPEGLLVLQQVGDYCFLPGLGPGSLSPHSKPPS PSLCSETEDLVQDLSVKKFPYQPMPQAPAIQFFKSLKHQDYLSLPPWDNSQSGKVC >ENSMUSP00000067325.6 pep:known chromosome:GRCm38:15:43020811:43170818:-1 gene:ENSMUSG00000051920.6 transcript:ENSMUST00000063492.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rspo2 description:R-spondin 2 [Source:MGI Symbol;Acc:MGI:1922667] MRFCLFSFALIILNCMDYSQCQGNRWRRNKRASYVSNPICKGCLSCSKDNGCSRCQQKLF FFLRREGMRQYGECLHSCPSGYYGHRAPDMNRCARCRIENCDSCFSKDFCTKCKVGFYLH RGRCFDECPDGFAPLDETMECVEGCEVGHWSEWGTCSRNNRTCGFKWGLETRTRQIVKKP AKDTIPCPTIAESRRCKMAMRHCPGGKRTPKAKEKRNKKKRRKLIERAQEQHSVFLATDR VNQ >ENSMUSP00000137376.1 pep:known chromosome:GRCm38:12:105453856:105493095:1 gene:ENSMUSG00000094910.1 transcript:ENSMUST00000178224.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D430019H16Rik description:RIKEN cDNA D430019H16 gene [Source:MGI Symbol;Acc:MGI:2443127] MDLSFMAAQLPMMGGAFMDSSNEDFSTEYSLFNSPGNVHSTSNGHGQPEESPRSSNDAVL LWIAIIATLGNIVVVGVVYAFTF >ENSMUSP00000016033.7 pep:known chromosome:GRCm38:10:93453396:93484896:1 gene:ENSMUSG00000015889.7 transcript:ENSMUST00000016033.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lta4h description:leukotriene A4 hydrolase [Source:MGI Symbol;Acc:MGI:96836] MPEVADTCSLASPASVCRTQHLHLRCSVDFARRTLTGTAALTVQSQEENLRSLTLDTKDL TIEKVVINGQEVKYTLGESQGYKGSPMEISLPIALSKNQEIVIEISFETSPKSSALQWLT PEQTSGKQHPYLFSQCQAIHCRAILPCQDTPSVKLTYTAEVSVPKELVALMSAIRDGEAP DPEDPSRKIYRFNQRVPIPCYLIALVVGALESRQIGPRTLVWSEKEQVEKSANEFSETES MLKIAEDLGGPYVWGQYDLLVLPPSFPYGGMENPCLTFVTPTLLAGDKSLSNVIAHEISH SWTGNLVTNKTWDHFWLNEGHTVYLERHICGRLFGEKFRHFHALGGWGELQNTIKTFGES HPFTKLVVDLKDVDPDVAYSSIPYEKGFALLFYLEQLLGGPEVFLGFLKAYVKKFSYQSV TTDDWKSFLYSHFKDKVDLLNQVDWNTWLYAPGLPPVKPNYDVTLTNACIALSQRWVTAK EEDLSSFSIADLKDLSSHQLNEFLAQVLQKAPLPLGHIKRMQEVYNFNAINNSEIRFRWL RLCIQSKWEEAIPLALKMATEQGRMKFTRPLFKDLAAFDKSHDQAVHTYQEHKASMHPVT AMLVGRDLKVD >ENSMUSP00000126264.1 pep:known chromosome:GRCm38:16:89205861:89206388:1 gene:ENSMUSG00000091039.1 transcript:ENSMUST00000169954.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap20-2 description:keratin associated protein 20-2 [Source:MGI Symbol;Acc:MGI:1916148] MCYYGSYYGGLGCGYGGLGYGYGCGYGCGYGCGYGCGYGGYGYGCCRPLCYRRYWSCGFY >ENSMUSP00000015719.8 pep:known chromosome:GRCm38:17:26676396:26699644:1 gene:ENSMUSG00000015575.14 transcript:ENSMUST00000015719.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0e description:ATPase, H+ transporting, lysosomal V0 subunit E [Source:MGI Symbol;Acc:MGI:1328318] MAYHGLTVPLIVMSVFWGFVGLLVPWFIPKGPNRGVIITMLVTCSVCCYLFWLIAILAQL NPLFGPQLKNETIWYLKYHWP >ENSMUSP00000127552.1 pep:known chromosome:GRCm38:17:26676412:26697951:1 gene:ENSMUSG00000015575.14 transcript:ENSMUST00000167352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0e description:ATPase, H+ transporting, lysosomal V0 subunit E [Source:MGI Symbol;Acc:MGI:1328318] MAYHGLTVPLIVMSVFWGFVGLLVPWFIPKGPNRGVIITMLVTCSVCCYLFWLIAILAQL NPLFGPQLKNETIWYLKYHWP >ENSMUSP00000060275.4 pep:known chromosome:GRCm38:8:84661331:84662852:-1 gene:ENSMUSG00000053560.4 transcript:ENSMUST00000060427.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ier2 description:immediate early response 2 [Source:MGI Symbol;Acc:MGI:104815] MEVQKEAQRIMTLSVWKMYHSRMQRGGLRLHRSLQLSLVMRSAREVYLSAKVEAHQPEFP PSRRALDPRLHPPREAEVAVEVASPEAVQPPEPMDTQEEVLRVQETPALCDPPPARVSRK RRSSSDLSDGSDAGLVPSKKARLEEVEGEATSEVPDRLQLPPAQSEGAFPNLARVLQRRF SSLLNCGPAVPPPTPPTCEAKPACRPADNMLNVLVRAVVAF >ENSMUSP00000105136.2 pep:known chromosome:GRCm38:15:82808629:82912134:-1 gene:ENSMUSG00000041852.14 transcript:ENSMUST00000109510.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf20 description:transcription factor 20 [Source:MGI Symbol;Acc:MGI:108399] MQSFREQSSYHGNQQSYPQEVHSSSRIEEFSPRQAQMFQNFGGAGGGSSGTGSSSSGRRG TAAAAAAMASETSGHQGYQGFRKEAGDFYYMAGNKDTVAAGTPQPPQRRPSGPVQSYGPP QGSSFGNQYASEGHVSQFQAQHSALGGVSHYQQDYTGPFSPGSAQYQQQASSQQQQQQQQ QQQQQQQQQQQQVQQLRQQLYQSHQPLPQTTGQPASGSSHLQPMQRPSTLPSSAGYQLRV GQFGQHYQSSASSSSSSSFPSPQRFSQSGQSYDGSYSVNAGSQYEGHNVGSNAQAYGTQS NYSYQPQSMKNFEQAKIPPGNQQGQQQQQQQPQPQQQQPQQQQQQQQQQQHPPQHVMQYT NAATKMPLQSQVGQYNQPEVPVRSPMQFHQNFSPISNPSPAASVVQSPSCSSTPSPLMQS GENLQCGQGNVPMSSRNRILQLMPQLSPTPSMMPSPNSHAAGFKGFGLEGVPEKRLTDPG LSSLSALSSQVANLPNTVQHMLLSDALTPQKKTSKRPSSSSKKADSCTNSEGSSQPEEQL KSPMAESLDGGCSSSSEDQGERVRQLSGQSTSSDTTYKCGASEKAGSSPTQGAQNEAPRL STSPATRDEAASPGAKDTSLSSEGNTKVNEKTVGVIVSREAMTGRVEKSGGQDKGSQEDD PAASQRPPSNSGVKEISHTSLPQPDPPGGGSKGNKNGDNNSSNHNGEGNGPSSHSAVGPS FTGRTEPSKSPGSLRYSYKESFGSAVPRNVSGYPQYPSGQEKGDFGSHGERKGRNEKFPS LLQEVLQGYHHHPDRRYPRSAQEHQGMASGLEGTARPNILVSQTNELASRGLLNKSIGSL LENPHWGPWERKSSSTAPEMKQINLSDYPIPRKFEIEPPSSAHEPGGSLSERRSVICDIS PLRQIVRDPGAHSLGHMGTDARIGRNERLNPSLSQSVILPGGLVSMETKLKSQSGQIKEE DFEQSKSQASFNKKSGDHCHPTSIKHETYRGNASPGAAAHDSISDYGPQDSRSTPMRRVP GRVGSRETMRGRSSSQYHDFAEKLKMSPGRSRGPGGDPHHMNPHMTFSERANRSSLHAPF SPNSESLASAYHTNTRAHAYGDPNTGLNSQLHYKRQMYQQQQEEYKDWASSSAQGVIAAA QHRQEGPRKSPRQQQFLDRVRSPLKNDKDGMMYGPPVGTYHDPSTQEAGRCLMSSDGLPA KSMELKHSSQKLQESCWDLSRQTSPAKSSGPPGMSNQKRYGPPHEPDGHGLAESAQSSKP SNVMLRLPGQEDHSSQNPLIMRRRVRSFISPIPSKRQSQDVKNSNADDKGRLLHPSKEGA DKAYNSYSHLSHSQDIKSIPKRDSSKDLPNPDNRNCPAVTLTSPAKTKILPPRKGRGLKL EAIVQKITSPNIRRSASANSAEAGGDTVTLDDILSLKSGPPEGGTVATQEAEMEKRKCEV VSDLVSVTNQESNVEKPLPGPSEEWRGSGDDKVKTEAHVETASTGKEPSGTMTSTASQKP GGNQGRPDGSLGGAAPLIFPDSKNVAPVGILAPEANPKAEEKENDTVMISPKQESFPPKG YFPSGKKKGRPIGSVNKQKKQQQQPPPPPQPPQMPEGSADGEPKPKKQRQRRERRKPGAQ PRKRKTKQAVPIVEPQEPEIKLKYATQPLDKTDAKNKSFFPYIHVVNKCELGAVCTIINA EEEEQTKLVRSRKGQRSLTPPPSSTESKVLPASSFMLQGPVVTESSVMGHLVCCLCGKWA SYRNMGDLFGPFYPQDYAATLPKNPPPKRSSEMQSKVKVRHKSASNGSKTDTEEEEEQQQ QKEQRSLAAHPRFKRRHRSEDCGGGPRSLSRGLPCKKAATEGSSEKTVSDTKPSVPTTSE GGPELELQIPELPLDSNEFWVHEGCILWANGIYLVCGRLYGLQEALEIAREMKCSHCQEA GATLGCYNKGCSFRYHYPCAIDADCLLHEENFSVRCPKHKPPLPCPLPPLQNKTAKGSLS TEQSERG >ENSMUSP00000048486.5 pep:known chromosome:GRCm38:15:82808629:82912134:-1 gene:ENSMUSG00000041852.14 transcript:ENSMUST00000048966.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf20 description:transcription factor 20 [Source:MGI Symbol;Acc:MGI:108399] MQSFREQSSYHGNQQSYPQEVHSSSRIEEFSPRQAQMFQNFGGAGGGSSGTGSSSSGRRG TAAAAAAMASETSGHQGYQGFRKEAGDFYYMAGNKDTVAAGTPQPPQRRPSGPVQSYGPP QGSSFGNQYASEGHVSQFQAQHSALGGVSHYQQDYTGPFSPGSAQYQQQASSQQQQQQQQ QQQQQQQQQQQQVQQLRQQLYQSHQPLPQTTGQPASGSSHLQPMQRPSTLPSSAGYQLRV GQFGQHYQSSASSSSSSSFPSPQRFSQSGQSYDGSYSVNAGSQYEGHNVGSNAQAYGTQS NYSYQPQSMKNFEQAKIPPGNQQGQQQQQQQPQPQQQQPQQQQQQQQQQQHPPQHVMQYT NAATKMPLQSQVGQYNQPEVPVRSPMQFHQNFSPISNPSPAASVVQSPSCSSTPSPLMQS GENLQCGQGNVPMSSRNRILQLMPQLSPTPSMMPSPNSHAAGFKGFGLEGVPEKRLTDPG LSSLSALSSQVANLPNTVQHMLLSDALTPQKKTSKRPSSSSKKADSCTNSEGSSQPEEQL KSPMAESLDGGCSSSSEDQGERVRQLSGQSTSSDTTYKCGASEKAGSSPTQGAQNEAPRL STSPATRDEAASPGAKDTSLSSEGNTKVNEKTVGVIVSREAMTGRVEKSGGQDKGSQEDD PAASQRPPSNSGVKEISHTSLPQPDPPGGGSKGNKNGDNNSSNHNGEGNGPSSHSAVGPS FTGRTEPSKSPGSLRYSYKESFGSAVPRNVSGYPQYPSGQEKGDFGSHGERKGRNEKFPS LLQEVLQGYHHHPDRRYPRSAQEHQGMASGLEGTARPNILVSQTNELASRGLLNKSIGSL LENPHWGPWERKSSSTAPEMKQINLSDYPIPRKFEIEPPSSAHEPGGSLSERRSVICDIS PLRQIVRDPGAHSLGHMGTDARIGRNERLNPSLSQSVILPGGLVSMETKLKSQSGQIKEE DFEQSKSQASFNKKSGDHCHPTSIKHETYRGNASPGAAAHDSISDYGPQDSRSTPMRRVP GRVGSRETMRGRSSSQYHDFAEKLKMSPGRSRGPGGDPHHMNPHMTFSERANRSSLHAPF SPNSESLASAYHTNTRAHAYGDPNTGLNSQLHYKRQMYQQQQEEYKDWASSSAQGVIAAA QHRQEGPRKSPRQQQFLDRVRSPLKNDKDGMMYGPPVGTYHDPSTQEAGRCLMSSDGLPA KSMELKHSSQKLQESCWDLSRQTSPAKSSGPPGMSNQKRYGPPHEPDGHGLAESAQSSKP SNVMLRLPGQEDHSSQNPLIMRRRVRSFISPIPSKRQSQDVKNSNADDKGRLLHPSKEGA DKAYNSYSHLSHSQDIKSIPKRDSSKDLPNPDNRNCPAVTLTSPAKTKILPPRKGRGLKL EAIVQKITSPNIRRSASANSAEAGGDTVTLDDILSLKSGPPEGGTVATQEAEMEKRKCEV VSDLVSVTNQESNVEKPLPGPSEEWRGSGDDKVKTEAHVETASTGKEPSGTMTSTASQKP GGNQGRPDGSLGGAAPLIFPDSKNVAPVGILAPEANPKAEEKENDTVMISPKQESFPPKG YFPSGKKKGRPIGSVNKQKKQQQQPPPPPQPPQMPEGSADGEPKPKKQRQRRERRKPGAQ PRKRKTKQAVPIVEPQEPEIKLKYATQPLDKTDAKNKSFFPYIHVVNKCELGAVCTIINA EEEEQTKLVRSRKGQRSLTPPPSSTESKVLPASSFMLQGPVVTESSVMGHLVCCLCGKWA SYRNMGDLFGPFYPQDYAATLPKNPPPKRSSEMQSKVKVRHKSASNGSKTDTEEEEEQQQ QKEQRSLAAHPRFKRRHRSEDCGGGPRSLSRGLPCKKAATEGSSEKTVSDTKPSVPTTSE GGPELELQIPELPLDSNEFWVHEGCILWANGIYLVCGRLYGLQEALEIAREMKCSHCQEA GATLGCYNKGCSFRYHYPCAIDADCLLHEENFSVRCPKHKVRLWR >ENSMUSP00000074614.5 pep:known chromosome:GRCm38:15:58846590:58847321:-1 gene:ENSMUSG00000061490.5 transcript:ENSMUST00000075109.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2d4 description:ubiquitin-conjugating enzyme E2D 4 [Source:MGI Symbol;Acc:MGI:3644823] MALKRIQKEPTDLQRDLPAQCSAGPVGDDLFHWQATILGPNDSPCQGGVFFLTIHFPTDY PFKPPEVAFTTRIYHPNINSNGSIYLDTLRSQWSPALTVSKDFLLSICSLLCDPNPDDPL VPEIAHAYKADRGKY >ENSMUSP00000137634.1 pep:known chromosome:GRCm38:14:79863074:79868398:-1 gene:ENSMUSG00000095959.1 transcript:ENSMUST00000179430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10845 description:predicted gene 10845 [Source:MGI Symbol;Acc:MGI:3641716] MVHQFCQLLWHLTDCYNSHPNTASGRENEQHHHRHHEACPTNQKPSHPCPHFSPPSAFQM INVGHQIESSCFNCGSALNMHLVTLGFRASGQCMCTGMSSQCVCTNFQSCLPLLSTIHIQ SPAVTCKSLPGLALACFSRLLHHHPLFQHSLNFRHISVFHQLNCSAHTPTWVLGAALTFA IIRWR >ENSMUSP00000022784.8 pep:known chromosome:GRCm38:14:54541785:54554361:-1 gene:ENSMUSG00000022177.8 transcript:ENSMUST00000022784.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus4 description:HAUS augmin-like complex, subunit 4 [Source:MGI Symbol;Acc:MGI:1261794] MASGDFCAPGEGVEMLLQVCGKQFPPCALTEEDLIQNPRFSKLLLSLSQHVDESGLSLTL AKEQAQAWSEVRHRKTAWLRYEILQRVIQELLVDYYVKAQDTNLTSEDKKFHETLEQRLL VTELTQLSGPGQETELPPLLGLERTDLLELMPPSQDFVWMKARLQLEVEEQLKRKCFTLL CYHDPSSDTDGDTLKAAKVWTLTEVLVREKQQCLEAKSQQKEQLVLLEKKRTTYSQVLLR CLALLQRLLQEHRLQTKSELDRINAQYLELKCSAMILRLRMEELKVLSDTYSAEKVEMHR LIRDRLEGAIRLQEQDLEKSRLVLHTYEALGEDFESLVREYTQLRLAADNKRWALQELSK AQR >ENSMUSP00000092896.4 pep:known chromosome:GRCm38:17:46254165:46257316:1 gene:ENSMUSG00000071073.4 transcript:ENSMUST00000095262.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc73 description:leucine rich repeat containing 73 [Source:MGI Symbol;Acc:MGI:2684934] MLPSSIQISGEPLSGAEVRDICRGLRDNAVRLLSLRGCRLCDRDFGRICRALAGATSLAQ LNLNLGVVSSPSRIKQLAEALRTNRSIQSLFLHGSPLTDAGLALLNPALALHPALVALDL GDCMLGDEAINLICGLLPPDGAKSGLKELTLSANPGITPKGWSRLAIAVAHSSQVRVLNL DYNPLGDHVAGMLAVAVASSRTLEVLDLEGTGLTNQSAQTLLDMVENYPTALRSLVLAEN SISPELQQQICDLLSEGEEEEEMAGGAADTQEWERGREPAAHQRSGSSWMCPSDPSSQMV LMTSGLGDSLLAETEM >ENSMUSP00000023550.7 pep:known chromosome:GRCm38:16:35397313:35490873:-1 gene:ENSMUSG00000022844.7 transcript:ENSMUST00000023550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdia5 description:protein disulfide isomerase associated 5 [Source:MGI Symbol;Acc:MGI:1919849] MARAWGLLLAIGVVLPTWLSSTKVSSLIERISDPKDLKKLLRTRNNVLVLYSESEVAAES HLKLLSTVAQAVKGQGTVCWVDCGDAESRKLCKKMKVDLSPKDKKIELFHYQDGAFHMQY DRAVTLKSIVAFLKDPKGPPLWEEDPGAKDVVHIDSEKDFRRLLKREEKPLLMMFYAPWC SMCKRIMPHFQKAATQVRGHIVLAGMNVYPSEFENIKEEYNVRGYPTICYFEKGRFLFPY ENYGSTAEDIVEWLKNPLPPQPQVPETPWADEGGSVYHLTDEDFDQFVKEHSSVLVMFHA PWCGHCKKMKPEFESAAEVLHGDAESSGVLAAVDATVNEALAGRFHISAFPTLKYFKNGE QQAVPALRTKKKFIEWMQNPEAPPPPEPTWEEQQTSVLHLVGDNFRDTLKKKKHTLVMFY APWCPHCKKVIPHFTATADAFKEDRKIACAAVDCVKDKNQDLCQQEAVKAYPTFHYYHYG KLVEKYESDRTELGFTSFIRTLREGDLKRLEKRREEL >ENSMUSP00000047702.6 pep:known chromosome:GRCm38:14:54686171:54690742:1 gene:ENSMUSG00000040822.6 transcript:ENSMUST00000038539.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700123O20Rik description:RIKEN cDNA 1700123O20 gene [Source:MGI Symbol;Acc:MGI:1920893] MSLESSSTSVPPCFPSVLPSVPDDIASSSPPPMSYITSQEMKCILYWFASWSGPQRERFL QDLVAKAVPGKLQPLLDALEQLSMSAANRPPCIFECQLRLWDQWFRGWAEQERNEFVRQL EVSEPDFVAKFYQAVAATAGKD >ENSMUSP00000024705.4 pep:known chromosome:GRCm38:17:43641900:43667015:-1 gene:ENSMUSG00000023912.9 transcript:ENSMUST00000024705.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a27 description:solute carrier family 25, member 27 [Source:MGI Symbol;Acc:MGI:1921261] MPIAEEEKLLPLTQRWPRTSKFLLSGCAATVAELATFPLDLTKTRLQMQGEAALARLGDG AVDSAPYRGMVRTALGIVQEEGFLKLWQGVTPAIYRHVVYSGGRMVTYEHLREVVFGKSE DKHYPLWKSVIGGMMAGVIGQFLANPTDLVKVQMQMEGKRRLEGKPLRFRGVHHAFAKIL AEGGIRGLWAGWIPNIQRAALVNMGDLTTYDTVKHYLVLNTPLEDNISTHGLSSLCSGLV ASILGTPADVIKSRIMNQPRDKQGRGLLYKSSADCLIQAVQGEGFLSLYKGFLPSWLRMT PWSMVFWLTYEKIREMSGVSPF >ENSMUSP00000076024.6 pep:known chromosome:GRCm38:17:3114972:3198855:1 gene:ENSMUSG00000046201.8 transcript:ENSMUST00000076734.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scaf8 description:SR-related CTD-associated factor 8 [Source:MGI Symbol;Acc:MGI:1925212] MEAVKTFNSELYSLNDYKPPISKAKMTQITKAAIKAIKFYKHVVQSVEKFIQKCKPEYKV PGLYVIDSIVRQSRHQFGQEKDVFAPRFSNNIISTFQNLYRCPGDDKSKIVRVLNLWQKN NVFKSEIIQPLLDMAAGIPPPVVTPVLASTTAAMSNTPGTPVTPVTPANVVQGLPDPWVS QIANTDTLAAVAQILQSPQGQQLQQLIQTLQIQQQKPQPSILQALDAGLVVQLQALTAQL TAAAAAANTLTPLDQGVSFNKKLMDRFDFGEDSEHSEESKKEMPTPQLSHVSESVNNSIF HQIAEQLQQQNLEQLRQQLLEQQQPQKVTPQDSQEGTFGSEHSASPSQGSSQQHFLEPEA NLDDSIDIQQQDMDIDEGQDVVEEEIFEPEAKKVAVRSRSRTHSRSRSRSPRKRRSRSRS GSRKRKHRKRSRSHSREKKRKASRSYSSERRAREREKERQKKGLPPVRSKTLSVCSTTLW VGQVDKKATQQDLTNLFEEFGQIESINMIPPRGCAYVCMVHRQDSFRALQKLSSGSYKIG SKVIKIAWALNKGVKTEYKQFWDVDLGVTYIPWEKVKVDDLDGFAEGGMIDQETVNAEWE TVKASEPVKEPVQTAQSPAPVEKESVVTTQAEVFPPPVAMLQIPVAPAVPAVSLVPPAFP VSMPVPPPGFNPIPPPPFLRASFNPSQPPPGFMPPPVPPPVVPPPAIPPVVPTSLVQPPL SMTPEAVKDVGFGSLVLPSGSVAGSLAPSTLPAGNVFNPPSKAEPEEKVPHLIEHQIPSG ENTRPVIPSDIPSSAAMLAQPPGASSTSGILCVQRPNVSSNSEILGVRPANVSNSAAIMG AQPPNILNNSGILAIQPPNVSSGSGLLGVLPPNLPNNSGLVGLQPPNVTSPAGLLGTQPP IGPQNLPPLAIPAQRMPALPMLDIRPGLIAQAPGPRFPLLQPGIPPQRGIPPPSVLDAAL HPPPRGPFPPGDLFSQPERPFLAPGRPSIDNVPNPDKRIPLGNDNIQQEGDRDYRFPPIE TREGITRPPQVDVRDVVGRRLDPREGPGRPPLDARDHFGRPPVDMRENLVRPSLDHLGRR DHFGFPPEKPWGPRDFDEREHRVLPVFGGPKGLHEERGRFRAGNYRFDPRSGPWNRGFGQ EVHRDFDDRRRPWERQRDRDDRDFDFCREINGNRLGRDRIQNTWVPPPHARVFDYFEGAT SQRKGDNVPQVNGENTERHAQPPPLPVQKDPELYEKLASSGDVDKEESGTVAGVESEAVV ESTETEGT >ENSMUSP00000040516.2 pep:known chromosome:GRCm38:10:79916971:79922273:1 gene:ENSMUSG00000035773.5 transcript:ENSMUST00000045529.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kiss1r description:KISS1 receptor [Source:MGI Symbol;Acc:MGI:2148793] MATEATLAPNVTWWAPSNASGCPGCGVNASDDPGSAPRPLDAWLVPLFFATLMLLGLVGN SLVIYVICRHKHMQTVTNFYIANLAATDVTFLLCCVPFTALLYPLPAWVLGDFMCKFVNY IQQVSVQATCATLTAMSVDRWYVTVFPLRALHRRTPRLALAVSLSIWVGSAAVSAPVLAL HRLSPGPRTYCSEAFPSRALERAFALYNLLALYLLPLLATCACYGAMLRHLGRAAVRPAP TDGALQGQLLAQRAGAVRTKVSRLVAAVVLLFAACWGPIQLFLVLQALGPSGAWHPRSYA AYAVKIWAHCMSYSNSALNPLLYAFLGSHFRQAFCRVCPCCRQRQRRPHTSAHSDRAATH TVPHSRAAHPVRIRSPEPGNPVVRSPCAQSERTASL >ENSMUSP00000136572.1 pep:known chromosome:GRCm38:12:112620045:112641339:1 gene:ENSMUSG00000011148.13 transcript:ENSMUST00000180015.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adssl1 description:adenylosuccinate synthetase like 1 [Source:MGI Symbol;Acc:MGI:87947] MSGTRASNDRPPGTGGVKRGRLQQEAAATGSRVTVVLGAQWGDEGKGKVVDLLATDADIV SRCQGGNNAGHTVVVDGKEYDFHLLPSGIINTKAVSFIGNGVVIHLPGLFEEAEKNEKKG LKDWEKRLIISDRAHLENEVPHKPLPSASLLPMCWLLAPVFDFHQAVDGLQEVQRQAQEG KNIGTTKKGIGPTYSSKAARTGLRICDLLSDFDEFSARFKNLAHQHQSMFPTLEIDVEGQ LKRLKGFAERIRPMVRDGVYFMYEALHGPPKKVLVEGANAALLDIDFGTYPFVTSSNCTV GGVCTGLGIPPQNIGDVYGVVKAYTTRVGIGAFPTEQINEIGDLLQNRGHEWGVTTGRKR RCGWLDLMILRYAHMVNGFTALALTKLDILDVLSEIKVGISYKLNGKRIPYFPANQEILQ KVEVEYETLPGWKADTTGARKWEDLPPQAQSYVRFVENHMGVAVKWVGVGKSRESMIQLF >ENSMUSP00000021726.6 pep:known chromosome:GRCm38:12:112620047:112641354:1 gene:ENSMUSG00000011148.13 transcript:ENSMUST00000021726.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adssl1 description:adenylosuccinate synthetase like 1 [Source:MGI Symbol;Acc:MGI:87947] MSGTRASNDRPPGTGGVKRGRLQQEAAATGSRVTVVLGAQWGDEGKGKVVDLLATDADIV SRCQGGNNAGHTVVVDGKEYDFHLLPSGIINTKAVSFIGNGVVIHLPGLFEEAEKNEKKG LKDWEKRLIISDRAHLVFDFHQAVDGLQEVQRQAQEGKNIGTTKKGIGPTYSSKAARTGL RICDLLSDFDEFSARFKNLAHQHQSMFPTLEIDVEGQLKRLKGFAERIRPMVRDGVYFMY EALHGPPKKVLVEGANAALLDIDFGTYPFVTSSNCTVGGVCTGLGIPPQNIGDVYGVVKA YTTRVGIGAFPTEQINEIGDLLQNRGHEWGVTTGRKRRCGWLDLMILRYAHMVNGFTALA LTKLDILDVLSEIKVGISYKLNGKRIPYFPANQEILQKVEVEYETLPGWKADTTGARKWE DLPPQAQSYVRFVENHMGVAVKWVGVGKSRESMIQLF >ENSMUSP00000020212.4 pep:known chromosome:GRCm38:10:94688790:94790336:1 gene:ENSMUSG00000020024.6 transcript:ENSMUST00000020212.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep83 description:centrosomal protein 83 [Source:MGI Symbol;Acc:MGI:1924298] MDTFPSLFPPGGDSRLNPEPEFQNMLIDERVRCEHHKHNYQALKIEHKRLQEEYVKSQNE LKRVLIEKQASQEKFQLLLEDLRGELVEKARDIEKMKLQVLTPQKLELVKAQLQQELEAP MRERFRTLDEEVERYRAEYNKLRYEYTFLKSEFEHQKEEFTRVSEEEKMKYKSEVARLEK DKEELHNQLLSVDPTRDSKRMEQLVREKTHLLQKLKSLEAEVAELRAEKENSGAQVENVQ RIQVRQLAEMQATLRSLEAEKQSAKLQAERLEKELQSSNEQNTCLISKLHRADREISTLA SEVKELKHANKLEITDIKLEAARAKSELERERNKIQSELDGLQSDNEILKSTVEHHKALL VEKDRELIRKVQAAKEEGYQKLMVLQDEKLELENRLSDLEKMKVERDVWRQSEKEQCEEK LRASQMAEEAARRELQSTRLKLQQQIVNTEKAEKEKLENSELKQQISHLQIQVTSLTQSE NDLLNSNHMLKDMVERLKQECRNLRSQAEKAQLDVEKTLEEKQIQWLEEKHKLHERITDR EEKYNQAKEKLQRAATAQKKRKSLHENKLKRLQEKVEVLEAKKEELETENQVLNRQNVPF EEYTRLQKRLKDIQRRHNEFRSLILVPNMPPTASISPANFQSAVTVPGAELSFPPHLQEE QHQRELSLLRKRLEELETTQRKQLEELGSPGE >ENSMUSP00000110500.2 pep:known chromosome:GRCm38:16:36321665:36334332:-1 gene:ENSMUSG00000079594.2 transcript:ENSMUST00000114850.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC117090 description:cDNA sequence BC1179090 [Source:MGI Symbol;Acc:MGI:3696881] MYGGVSEAKPATPEIQKIADKVRSQLEAKTNKKYEKFEAVEYKTQAVAGENIFIKMDVGH GCFIHIKVFSGPTGKDNYELHGYQTDKAKDDELTYF >ENSMUSP00000041049.7 pep:known chromosome:GRCm38:10:79960152:79969246:1 gene:ENSMUSG00000035754.7 transcript:ENSMUST00000045247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr18 description:WD repeat domain 18 [Source:MGI Symbol;Acc:MGI:2158400] MAAPMEVVVCTDAAAQLWSCVVWELHSGANLLTYRGGQAGPRGLALLNGEYLLAAQQGKN YICAWELQRKDQLQQKIMCPGPVTCLTTAPNGLYVLAGIAESIYLWEVCTGNLLVILSRH YQDVSCLKFTGDGSHFVSAGKDCLALAWSLCSVLQADPSRILAPRHVWSQHTLPITDLHC GFGGPMARVATASLDQTVKLWAISSGDLLLSVLFDMGITSVTMDLAEHHIFCGGSDGSIF QVDLCSWPGLREHSFQPEQNTGKVFKGHRNQVTCLSVSTDGSVLLSGSHDESVRLWDVKS KQCLRTVTLKGPVTNAAIILAPPSMLNPEFRPSLPLPHFNKHLLGAEHGDEAQGGGLRLQ LGLHLQGKEPSYLERLEQLQAVLSSYLEKNMLGSQMLPARVFDLEDEVRSLRKINRDLFD FSTRIITRPSK >ENSMUSP00000053943.7 pep:known chromosome:GRCm38:15:25363285:25413764:-1 gene:ENSMUSG00000045763.7 transcript:ENSMUST00000058845.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Basp1 description:brain abundant, membrane attached signal protein 1 [Source:MGI Symbol;Acc:MGI:1917600] MGGKLSKKKKGYNVNDEKAKDKDKKAEGAGTEEEGTPKESEPQAAADATEVKESTEEKPK DAADGEAKAEEKEADKAAAAKEEAPKAEPEKSEGAAEEQPEPAPAPEQEAAAPGPAAGGE APKAGEASAESTGAADGAAPEEGEAKKTEAPAAAGPEAKSDAAPAASDSKPSSAEPAPSS KETPAASEAPSSAAKAPAPAAPAAAEPQAEAPAAAASSEQSVAVKE >ENSMUSP00000080973.5 pep:known chromosome:GRCm38:15:84076097:84105754:-1 gene:ENSMUSG00000018865.8 transcript:ENSMUST00000082365.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult4a1 description:sulfotransferase family 4A, member 1 [Source:MGI Symbol;Acc:MGI:1888971] MAESEAETPGTPGEFESKYFEFHGVRLPPFCRGKMEDIADFPVRPSDVWIVTYPKSGTSL LQEVVYLVSQGADPDEIGLMNIDEQLPVLEYPQPGLDIIKELTSPRLIKSHLPYRFLPSD LHNGDSKVIYMARNPKDLVVSYYQFHRSLRTMSYRGTFQEFCRRFMNDKLGYGSWFEHVQ EFWEHRMDANVLFLKYEDMHRDLVTMVEQLARFLGVSCDKAQLESLIEHCHQLVDQCCNA EALPVGRGRVGLWKDIFTVSMNEKFDLVYKQKMGKCDLTFDFYL >ENSMUSP00000038103.8 pep:known chromosome:GRCm38:14:56059744:56062310:-1 gene:ENSMUSG00000061068.6 transcript:ENSMUST00000043249.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcpt4 description:mast cell protease 4 [Source:MGI Symbol;Acc:MGI:96940] MQALLFLMALLLPSGAGAEEIIGGVESRPHSRPYMAHLEITTERGFTATCGGFLITRQFV LTAAHCSGREITVTLGAHDVSKTESTQQKIKVEKQIVHPKYNFYSNLHDIMLLKLQKKAK ETPSVNVIPLPRPSDFIKPGKMCRAAGWGRTGVTEPTSDILREVKLRIMDKEACKNYWHY DYNLQVCVGSPRKKRSAYKGDSGGPLLCAGVAHGIVSYGRGDAKPPAVFTRISSYVPWIN RVIKGK >ENSMUSP00000002848.7 pep:known chromosome:GRCm38:7:45832483:45866681:-1 gene:ENSMUSG00000002771.11 transcript:ENSMUST00000002848.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin2d description:glutamate receptor, ionotropic, NMDA2D (epsilon 4) [Source:MGI Symbol;Acc:MGI:95823] MRGAGGPRGPRGPAKMLLLLALACASPFPEEVPGPGAAGGGTGGARPLNVALVFSGPAYA AEAARLGPAVAAAVRSPGLDVRPVALVLNGSDPRSLVLQLCDLLSGLRVHGVVFEDDSRA PAVAPILDFLSAQTSLPIVAVHGGAALVLTPKEKGSTFLQLGSSTEQQLQVIFEVLEEYD WTSFVAVTTRAPGHRAFLSYIEVLTDGSLVGWEHRGALTLDPGAGEAVLGAQLRSVSAQI RLLFCAREEAEPVFRAAEEAGLTGPGYVWFMVGPQLAGGGGSGVPGEPLLLPGGAPLPAG LFAVRSAGWRDDLARRVAAGVAVVARGAQALLRDYGFLPELGHDCRAQNRTHRGESLHRY FMNITWDNRDYSFNEDGFLVNPSLVVISLTRDRTWEVVGSWEQQTLRLKYPLWSRYGRFL QPVDDTQHLTVATLEERPFVIVEPADPISGTCIRDSVPCRSQLNRTHSPPPDAPRPEKRC CKGFCIDILKRLAHTIGFSYDLYLVTNGKHGKKIDGVWNGMIGEVFYQRADMAIGSLTIN EERSEIVDFSVPFVETGISVMVARSNGTVSPSAFLEPYSPAVWVMMFVMCLTVVAVTVFI FEYLSPVGYNRSLATGKRPGGSTFTIGKSIWLLWALVFNNSVPVENPRGTTSKIMVLVWA FFAVIFLASYTANLAAFMIQEEYVDTVSGLSDRKFQRPQEQYPPLKFGTVPNGSTEKNIR SNYPDMHSYMVRYNQPRVEEALTQLKAGKLDAFIYDAAVLNYMARKDEGCKLVTIGSGKV FATTGYGIALHKGSRWKRPIDLALLQFLGDDEIEMLERLWLSGICHNDKIEVMSSKLDID NMAGVFYMLLVAMGLSLLVFAWEHLVYWRLRHCLGPTHRMDFLLAFSRGMYSCCSAEAAP PPAKPPPPPQPLPSPAYPAARPPPGPAPFVPRERAAADRWRRAKGTGPPGGAALADGFHR YYGPIEPQGLGLGEARAAPRGAAGRPLSPPTTQPPQKPPPSYFAIVREQEPAEPPAGAFP GFPSPPAPPAAAAAAVGPPLCRLAFEDESPPAPSRWPRSDPESQPLLGGGAGGPSAGAPT APPPRRAAPPPCAYLDLEPSPSDSEDSESLGGASLGGLEPWWFADFPYPYAERLGPPPGR YWSVDKLGGWRAGSWDYLPPRGGPAWHCRHCASLELLPPPRHLSCSHDGLDGGWWAPPPP PWAAGPPPRRRARCGCPRPHPHRPRASHRAPAAAPHHHRHRRAAGGWDLPPPAPTSRSLE DLSSCPRAAPTRRLTGPSRHARRCPHAAHWGPPLPTASHRRHRGGDLGTRRGSAHFSSLE SEV >ENSMUSP00000136139.1 pep:known chromosome:GRCm38:17:32905071:32917342:-1 gene:ENSMUSG00000024292.14 transcript:ENSMUST00000179434.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f14 description:cytochrome P450, family 4, subfamily f, polypeptide 14 [Source:MGI Symbol;Acc:MGI:1927669] MSQLSLSWLGLGPEVAFPWKTLLLLGASWILARILIQIYAAYRNYRHLHGFPQPPKRNWL MGHVGMVTPTEQGLKELTRLVGTYPQGFLMWIGPMVPVITLCHSDIVRSILNASAAVALK DVIFYSILKPWLGDGLLVSAGDKWSRHRRMLTPAFHFNILKPYVKIFNDSTNIMHAKWQR LISDGSARLDMFEHVSLMTLDSLQKCVFSFDSNCQEKSSEYIAAILELSALVAKRHQQPL MFMDLLYNLTPDGMRFRKACNVVHEFTDAVIRERHRTLPDQGLDDFLKSKAKSKTLDFID VLLLSKDEDGKELSDEDIRAEADTFMFEGHDTTASGLSWILYNLARHPEYQERCRQEVQE LLRGREPEEIEWDDLAQLPFLTMCIKESLRLHPPVTVISRCCTQDILLPDGRTIPKGIIC LISIFGIHHNPSVWPDPEVYDPFRFDPENIKDSSPLAFIPFSAGPRNCIGQTFAMSEMKV ALALTLLRFRLLPDDKEPRRQPELILRAEGGLWLRVEPLSAGAH >ENSMUSP00000050478.6 pep:known chromosome:GRCm38:17:32905071:32917087:-1 gene:ENSMUSG00000024292.14 transcript:ENSMUST00000054174.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f14 description:cytochrome P450, family 4, subfamily f, polypeptide 14 [Source:MGI Symbol;Acc:MGI:1927669] MSQLSLSWLGLGPEVAFPWKTLLLLGASWILARILIQIYAAYRNYRHLHGFPQPPKRNWL MGHVGMVTPTEQGLKELTRLVGTYPQGFLMWIGPMVPVITLCHSDIVRSILNASAAVALK DVIFYSILKPWLGDGLLVSAGDKWSRHRRMLTPAFHFNILKPYVKIFNDSTNIMHAKWQR LISDGSARLDMFEHVSLMTLDSLQKCVFSFDSNCQEKSSEYIAAILELSALVAKRHQQPL MFMDLLYNLTPDGMRFRKACNVVHEFTDAVIRERHRTLPDQGLDDFLKSKAKSKTLDFID VLLLSKDEDGKELSDEDIRAEADTFMFEGHDTTASGLSWILYNLARHPEYQERCRQEVQE LLRGREPEEIEWDDLAQLPFLTMCIKESLRLHPPVTVISRCCTQDILLPDGRTIPKGIIC LISIFGIHHNPSVWPDPEVYDPFRFDPENIKDSSPLAFIPFSAGPRNCIGQTFAMSEMKV ALALTLLRFRLLPDDKEPRRQPELILRAEGGLWLRVEPLSAGAH >ENSMUSP00000050295.5 pep:known chromosome:GRCm38:10:95174746:95324097:-1 gene:ENSMUSG00000045867.9 transcript:ENSMUST00000053594.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cradd description:CASP2 and RIPK1 domain containing adaptor with death domain [Source:MGI Symbol;Acc:MGI:1336168] MEARDKQVLRSLRLELGAEVLVEGLVLQYLYQEGILTENHIQEIKAQTTGLRKTMLLLDI LPSRGPKAFDTFLDSLQEFPWVREKLEKAREEVTAELPTGDWMAGIPSHILSSSPSDQQI NQLAQRLGPEWEPVVLSLGLSQTDIYRCKANHPHNVHSQVVEAFVRWRQRFGKQATFLSL HKGLQAVEADPSLLQHMLE >ENSMUSP00000025403.6 pep:known chromosome:GRCm38:18:67289253:67319146:1 gene:ENSMUSG00000024525.6 transcript:ENSMUST00000025403.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impa2 description:inositol (myo)-1(or 4)-monophosphatase 2 [Source:MGI Symbol;Acc:MGI:2149728] MKPSSEEEGELVQGVGPWDECFEVAVQLALRAGQIIRKALTEEKRVSTKTSAADLVTETD HRVEDLIVSELRKRFPSHRFIAEEATASGAKCVLTHSPTWIIDPIDGTCNFVHRFPTVAV SIGFAVHQELEFGVIHHCTEERLYTGRRGQGAFCNGQRLQVSRETDLAKALVLTEIGPKR DPDTLKVFLSNMERLLHAKAHGVRVIGSSTLALCYLASGAADAYYQFGLHCWDLAAATVI IREAGGIVIDTSGGPLDLMSCRVVAAGTREMAVLIAQALQTINYGRDDEK >ENSMUSP00000021822.5 pep:known chromosome:GRCm38:13:49608071:49624500:1 gene:ENSMUSG00000021390.5 transcript:ENSMUST00000021822.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogn description:osteoglycin [Source:MGI Symbol;Acc:MGI:109278] METVHSTFLLLLFVPLTQQAPQSQLDSHVNYEYATGNSEETKFSQDYEDKYLDGKSIKEK ETMIIPDEKSLQLQKDEVIPSLPTKKENDEMPTCLLCVCLSGSVYCEEVDIDAVPPLPKE SAYLYARFNKIKKLTAKDFADMPNLRRLDFTGNLIEDIEDGTFSKLSLLEELTLAENQLL RLPVLPPKLTLLNAKHNKIKSKGIKANTFKKLNKLSFLYLDHNDLESVPPNLPESLRVIH LQFNSISSLTDDTFCKANDTRYIRERIEEIRLEGNPIALGKHPNSFICLKRLPIGSYF >ENSMUSP00000002855.5 pep:known chromosome:GRCm38:7:45872840:45883726:1 gene:ENSMUSG00000002778.13 transcript:ENSMUST00000002855.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdelr1 description:KDEL (Lys-Asp-Glu-Leu) endoplasmic reticulum protein retention receptor 1 [Source:MGI Symbol;Acc:MGI:1915387] MNLFRFLGDLSHLLAIILLLLKIWKSRSCAGISGKSQVLFAVVFTARYLDLFTNYISLYN TCMKVVYIACSFTTVWMIYSKFKATYDGNHDTFRVEFLVVPTAILAFLVNHDFTPLEILW TFSIYLESVAILPQLFMVSKTGEAETITSHYLFALGVYRTLYLFNWIWRYHFEGFFDLIA IVAGLVQTVLYCDFFYLYITKVLKGKKLSLPA >ENSMUSP00000058368.8 pep:known chromosome:GRCm38:8:70894722:70897443:-1 gene:ENSMUSG00000045128.8 transcript:ENSMUST00000054220.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl18a description:ribosomal protein L18A [Source:MGI Symbol;Acc:MGI:1924058] MKASGTLREYKVVGRCLPTPKCHTPPLYRMRIFAPNHVVAKSRFWYFVSQLKKMKKSSGE IVYCGQVFEKSPLRVKNFGIWLRYDSRSGTHNMYREYRDLTTAGAVTQCYRDMGARHRAR AHSIQIMKVEEIAAGKCRRPAVKQFHDSKIKFPLPHRVLRRQHKPRFTTKRPNTFF >ENSMUSP00000041499.7 pep:known chromosome:GRCm38:14:111675115:111683134:1 gene:ENSMUSG00000033214.9 transcript:ENSMUST00000042767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slitrk5 description:SLIT and NTRK-like family, member 5 [Source:MGI Symbol;Acc:MGI:2679448] MHVCCPPVTLEQDLHRKMHSWMLQTLAFAVTSLVLSCAETIDYYGEICDNACPCEEKDGI LTVSCENRGIISLSEISPPRFPIYHLLLSGNLLSRLYPNEFVNYTGASILHLGSNVIQDI ETGAFHGLRGLRRLHLNNNKLELLRDDTFLGLENLEYLQVDYNYISVIEPNAFGKLHMLQ VLILNDNLLSGLPNNLFRFVPLTHLDLRGNRLKLLPYVGLLQHMDKVVELQLEENPWNCS CELISLKDWLDSISYSALVGDVVCETPFRLHGRDLDEVSKQELCPRKLISDYEMRPQTPL STTGYLHTTPASVNSVATSSSAVYKPPLKPPKGTRQPNKPRVRPTSRQPSKDLGYSNYGP SIAYQTKSPVPLECPTACTCNLQISDLGLNVNCQERKIESIAELQPKPYNPKKMYLTENY ITVVRRTDFLEATGLDLLHLGNNRISMIQDRAFGDLGNLRRLYLNGNRIERLSPELFYGL QSLQYLFLQYNLIREIQAGTFDPVPNLQLLFLNNNQLQAMPSGVFSGLTLLRLNLRGNSF TSLPVSGVLDQLTSLIQIDLHDNPWDCTCDVVGMKLWIEQLKVGVLVDEVICKAPKKFAE TYMRSIKSELLCPDYSDVVVSTPTPSSIQVPSRTNAATPAVRLNSTGTPAGLGAGTGASS VPLSVLILSLLLVFIMSVFVAAGLFVLVMKRRKKNQSDHTSTNNSDVSSFNMQYSVYGGG GGGGGGHPHAHVHHRGPALPKVKTPAGHVYEYIPHPLGHMCKNPIYRSREGNSVEDYKDL HELKVTYSSNHHLQQQPPPPPQQPQQQPPPQMQMQPGEEERRESHHLRSPAYSVSTIEPR EDLLSPVQDADRFYRGILEPDKHCSTTPAGSSLPEYPKFPCSPAAYTFSPNYDLRRPHQY LHPGAGESRLREPVLYSPPGAVFVEPNRNEYLELKAKLNVEPDYLEVLEKQTTFSQF >ENSMUSP00000054053.3 pep:known chromosome:GRCm38:13:55414753:55415166:-1 gene:ENSMUSG00000044444.5 transcript:ENSMUST00000054146.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfn3 description:profilin 3 [Source:MGI Symbol;Acc:MGI:2178800] MSDWKGYISAVLRDQRIDDVAIVGHSDNRCVWASRPGGLLAAISPQEVGVLTGPDRHTFL QTGLSVAGRRCCVIRDYLLAEGDGVLDARTKGLDGRAICVGHTPRALLVLMGRRGVHGGI LNKTVHDLIGGLREQCS >ENSMUSP00000137070.1 pep:known chromosome:GRCm38:12:4234027:4240123:1 gene:ENSMUSG00000096199.1 transcript:ENSMUST00000179139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptrhd1 description:peptidyl-tRNA hydrolase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916959] MHRKVRPASLMIRKMACSGVEPQILVQYLVLRKDLSQAPFSWPTGALVAQACHAATAALH LHRDHPHTAAYLRELGRMRKVVLEAADETTLKELAETLQQKNIDHTLWLEQPENIATCIA LRPYPKEEVSQYLKKFRLFK >ENSMUSP00000019224.7 pep:known chromosome:GRCm38:15:76701542:76704239:1 gene:ENSMUSG00000019080.7 transcript:ENSMUST00000019224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd3 description:major facilitator superfamily domain containing 3 [Source:MGI Symbol;Acc:MGI:1916822] MHGKLLPLAGLYLVQGLPYGLQSSLLPILLRARGLSLTRVGLTKGLYAPWLLKLAWAPLV DRRGTPRVWLTLSTLSLGLVCGLLAVLPPPQAGQTGLPTTVMGLLLLLNLGAAVQDVALD TLAVQLLEPKELGPGNTVQVVAYKLGSALAGGGLLVLFPTLSWPLLFLLLAATYWLAAAL AWAAPALGRLPWPQASEHTPHSSYLLQDLLAVPGTLWTAGFVLTYKLGEQGAGSLFPLLL LDHGASASDLGLWSGLGAVTCSIAGSSLGGALLARHWQPLKLLKTVLQLRLGSLACQTAL LFHLNSPGASVDPGTVMRGAVLLSLCLQQFFGGVVTTATFTVMMHCSQLAPRALQATHYS FLATLELLGKLLPGTLAGVLADSLGPHLCFAVFLVLSALPVLDLRLAPSNLT >ENSMUSP00000090850.3 pep:known chromosome:GRCm38:8:109726451:109737739:-1 gene:ENSMUSG00000069895.3 transcript:ENSMUST00000093162.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atxn1l description:ataxin 1-like [Source:MGI Symbol;Acc:MGI:3694797] MKPVHERSQECLPPKKRDLPVTSEDMGRTTSCSTNHTPSSDASEWSRGVVVAGQSQTGAR VSLGGDGTEAITGLTVDQYGMLYKVAVPPATFSPTGLPSVVNMSPLPPTFNVASSLIQHP GIHYPPVHYAQLPSTSLQFIGSPYSLPYAVPPNFLPSPLLSPSANLATTHLPHFVPYASL LAEEATPPPQAASPAQSFNKSSSATSPPGQLPHHSNTQPLDLAPGRMPIYYQMSRLPAGY TLHETSTAGASPILTPQEGQSALEAAAANGQRQRERNVRRESEALDSASSKGESQGLVPV VECMADGQLFSGSQTPRVEVAAPAHRGTPDTDLEVQRVVGALASQDYRVVAAQRKDEPSP LNLSHHNLDHQGEGRGSARNPTELVEKSQARVFYPQSHQEPVKHRPLPKAMVVANGNLVP TGTDPSLLPVGSEILVASSLDLQARATFPDKEPTPPPVTSSHLPSHFMKGAIIQLATGEL KRVEDLQTQDFVRSAEVSGGLKIDSSTVVDIQESQWPGFVMLHFVVGEQQSKVSIEVPPE HPFFVYGQGWSSCSPGRTAQLFSLPCHRLQVGDVCISISLQSLNSNSVSQASCAPPGQLG TPRERPERTVLGPRDLCDSEGKNQPSGEGSRVGEPSQPEPGAQACWPAPSFQRFSMQGEE ARAAMLRPSFIPQEVKLSIEGRSNAGK >ENSMUSP00000105783.1 pep:known chromosome:GRCm38:15:58890153:58933730:-1 gene:ENSMUSG00000050891.8 transcript:ENSMUST00000110155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tatdn1 description:TatD DNase domain containing 1 [Source:MGI Symbol;Acc:MGI:1916944] MSLFKFVDIGINLTDPMFRGIYRGVQKHQDDLQDVIERAIQIGVKKFMITGGSLQDSKDA LQLAQTNDMFFSTVGCHPTRCDEFEKGSPDQYLAGLLSLAENNKGKVVAIGECGLDFDRL QFCPKDTQLKYFEKQFELSEQTQLPMFLHCRNSHTEFLDIMRRNRDRYVGGVVHSFDGTK EAAAALVDLGLYIGFNGCSLKTEANLEVLKSIPSEKLMIETDAPWCGVKSTHAGSKYINT SFPTKKKWENGHCLKDRNEPCHIM >ENSMUSP00000022203.8 pep:known chromosome:GRCm38:13:106947129:106960224:1 gene:ENSMUSG00000021692.8 transcript:ENSMUST00000022203.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dimt1 description:DIM1 dimethyladenosine transferase 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913504] MPKAKSAASSRRRDRQEQRRELKRAGGLMFNTGIGQHILKNPLIVNSIIDKAALRPTDVV LEVGPGTGNMTVKLLEKAKKVVACELDPRLVAELHKRVQGTPLASKLQVLVGDVLKSDLP FFDACVANLPYQISSPFVFKLLLHRPFFRCAILMFQREFALRLVAKPGDKLYCRLSINTQ LLARVDHLMKVGKNNFRPPPKVESSVVRIEPKNPPPPINFQEWDGLVRITFVRKNKTLSA AFKSSAVQQLLEKNYRIHCSVQNTVIPEDFSIADKIQQILTSTGFSDKRARSMDIDDFIR LLHGFNAEGIHFS >ENSMUSP00000034148.6 pep:known chromosome:GRCm38:8:82331637:82403222:-1 gene:ENSMUSG00000031712.8 transcript:ENSMUST00000034148.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15 description:interleukin 15 [Source:MGI Symbol;Acc:MGI:103014] MKILKPYMRNTSISCYLCFLLNSHFLTEAGIHVFILGCVSVGLPKTEANWIDVRYDLEKI ESLIQSIHIDTTLYTDSDFHPSCKVTAMNCFLLELQVILHEYSNMTLNETVRNVLYLANS TLSSNKNVAESGCKECEELEEKTFTEFLQSFIRIVQMFINTS >ENSMUSP00000013130.8 pep:known chromosome:GRCm38:12:51608541:51691914:-1 gene:ENSMUSG00000020954.15 transcript:ENSMUST00000013130.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strn3 description:striatin, calmodulin binding protein 3 [Source:MGI Symbol;Acc:MGI:2151064] MDELAGGGGGGQGMAAPPRPQQGPGGNLSLPPGANGAPGGGGPPAAEAAGPPAGPELSRP QQYTIPGILHYIQHEWARFEMERAHWEVERAELQARIAFLQGERKGQENLKKDLVRRIKM LEYALKQERAKYHKLKYGTELNQGDLKMPTFESEETKDVEAPPAPQNSQLTWKQGRQLLR QYLQEVGYTDTILDVRSQRVRSLLGLSNSEPNGSVEAKNLEQILNGGESPKQKGQEIKRP PGDVLETFNFLENADDSDEEENDMIEGIPEGKDKLRIHKHKIGNEGLAADLTDDPDTEEA LKEFDFLVTAEDGEGAGEARSSGDGTEWDKDDLSPTAEVWDVDQGLISKLKEQYKKERKG KKGVKRVNRTNLCDMITDLGDDELPHIPSGIINQSRSASTRMADHEGARAEEAEPITFPS GGGKSFIMGSDDVLLSVLGLGDLADLTVTNDADYSYDLPANKDAFRKTWNPKYTLRSHFD GVRALAFHPVEPVLVTASEDHTLKLWNLQKTVPAKKSASLDVEPIYTFRAHIGPVLSLAI SSNGEQCFSGGIDATIQWWNMPSPNVDPYDTYESNVLAGTLVAHTDAVWGLAYSGIKNQL LSCSADGTIRLWNPQEKLPCVCTYNGDKEHGIPTSVDFIGCDPAHMVTSFNTGSAVIYDL ETSQSLVMLSSQVDSGLQSSNHINRVVSHPTLPVTITAHEDRHIKFFDNKTGKMIHSMVA HLDAVTSLAVDPNGIYLMSGSHDCSIRLWNLDSKTCVQEITAHRKKLDESIYDVAFHPSK AYIASAGADALAKVFV >ENSMUSP00000130184.1 pep:known chromosome:GRCm38:12:51608541:51691914:-1 gene:ENSMUSG00000020954.15 transcript:ENSMUST00000169503.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Strn3 description:striatin, calmodulin binding protein 3 [Source:MGI Symbol;Acc:MGI:2151064] MDELAGGGGGGQGMAAPPRPQQGPGGNLSLPPGANGAPGGGGPPAAEAAGPPAGPELSRP QQYTIPGILHYIQHEWARFEMERAHWEVERAELQARIAFLQGERKGQENLKKDLVRRIKM LEYALKQERAKYHKLKYGTELNQGDLKMPTFESEETKDVEAPPAPQNSQLTWKQGRQLLR QYLQEVGYTDTILDVRSQRVRSLLGLSNSEPNGSVEAKNLEQILNGGESPKQKGQEIKRP PGDVLETFNFLENADDSDEEENDMIEGIPEGKDKLRIHKHKIGNEGLAADLTDDPDTEEA LKEFDFLVTAEDGEGAGEARSSGDGTEWAEPITFPSGGGKSFIMGSDDVLLSVLGLGDLA DLTVTNDADYSYDLPANKDAFRKTWNPKYTLRSHFDGVRALAFHPVEPVLVTASEDHTLK LWNLQKTVPAKKSASLDVEPIYTFRAHIGPVLSLAISSNGEQCFSGGIDATIQWWNMPSP NVDPYDTYESNVLAGTLVAHTDAVWGLAYSGIKNQLLSCSADGTIRLWNPQEKLPCVCTY NGDKEHGIPTSVDFIGCDPAHMVTSFNTGSAVIYDLETSQSLVMLSSQVDSGLQSSNHIN RVVSHPTLPVTITAHEDRHIKFFDNKTGKMIHSMVAHLDAVTSLAVDPNGIYLMSGSHDC SIRLWNLDSKTCVQEITAHRKKLDESIYDVAFHPSKAYIASAGADALAKVFV >ENSMUSP00000098203.2 pep:known chromosome:GRCm38:14:52016865:52019787:1 gene:ENSMUSG00000072571.2 transcript:ENSMUST00000100638.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem253 description:transmembrane protein 253 [Source:MGI Symbol;Acc:MGI:3588246] MDQNANQPRQERPSVRLEKLQHWARHKQSGRLLVLAVSQVWLAIAMVPFTISVSCLTSAC HLVTALPLWPGASGLLTGIITLELRRAPCIWKVRAMMISNTFNLILGFVAVVIEVMKTAL GTASMDSSQSTGLLVLELSAEAFTLAGVLVSTYALFLLSQRKPGYFKRSRLQYRELQEGL SEMEEVSGLENGPVVASTGNRTDE >ENSMUSP00000075059.6 pep:known chromosome:GRCm38:10:86705811:86776843:1 gene:ENSMUSG00000044937.14 transcript:ENSMUST00000075632.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc41 description:tetratricopeptide repeat domain 41 [Source:MGI Symbol;Acc:MGI:2387653] MSDEASETGQRYNGQPILKRQKPILPYICSTLDFQEERDFLAKSIFPRLNDICSSRGTYF KAVDLRWSAVKAHKSFTSNQFRQYSCLQSQHLKLSLDYVNRCFPFFIGLLGQTYGDFLPD YTPFLLSQVKDFESLSKGEQNLYIAAKNGYPWVLKTPNCSLTEFEIIQAVFRKKSQFQFF YFRTSNSLLRTFNEEEEEEEEKLSSAYLLNEQGKMKVGKLKAKIIGKGLPVRFYRDLEEL GDMVWKDWSAVVEKLYPFTTIMGNIDYKHSFENLYHEEFVENCKQVFVTSKESNRTFEIL ERFAIKDLDLDLDTDSTIAGSGLDSILRINSLPTCKSILLLSGERGCGKSTLIANWVSNF QSKHPGVLMIPYFVGSTCESCDIMSVIHYFVMELQHRANGPRLEMDFLNEDSNVLVFSLL VEVFIAAISLKPCILVLDGIEELIGIYGISGQKAKDFSWLPRSLPPHCKFILSSVSSSLS CKSLCARPDVKIVELNSIGDEDTKFNIFRQHLSPADQERFGQSKPILRKKPNLSPLKLAI IASELQECKIYRNEFQCLREYLEVASVQELWELILKRWVEDYSWTLKPKDTTLDTVIPGP SGWVVDVLCLLCISHCGLAEDELLQLLDTMGYRDHHKVTAVHWAAFRQATKTWIQEKPNG LLYFQHQSLRSAVEHKLLGVSTPVRESNPNVAQNSVNHKKAHFHQVLMRFFQRQTIFWRV YQELPWHMKMSGYWEGLCNFITNPSITDFISKIQNPSLWTRLHLVHYWDVLLEAGNDVSE AFLLSVAKIEGEQFQKLKKRTTLSVLECSLSEITAADKGRIILFIGSFLKLMGKINEAEK LFLSAEDLLLQSPSMTEMLLRAQNAIGELYLEIGMTPKGLTYFQKAWSNLLRFTLSDLKI SQELMKQKVKVMNNLAKSAPGEFLKENHVLEYATEISKYVTGNPRDHATMKYTEGVLMLA SGNAALAKLKFQECLTIRRWLFGNKNILVGEIMEFLADLLFFLLGENEKSQKKQAIEYYK QVIKIKEKADTVATCKLVRKHLSISLSDTLCKLAGQLLSGDFCHHATMEAVSYLYRSLDL RAAHLGPTHASIEGILHLLREIQRSRGRRSWPQSMNHLFPNGSRNGFSLWENVPKLNFHS AQSSDTVNTAMCMNIRRFQRVKSTQPSLVSDKPKYVPGKGKKTLAPILCKSAEEKFQRQA SDSQIWNSPRRQPARKKAACPLKTVSLIDKNGLVRLSRQSVSSAELDSRKGLITSICRQP LQLPHNVDNPWKSISELVSEKWLFHTPQYCFTPQKPGFPRRSQIESKLLKTSDDPNKE >ENSMUSP00000062844.7 pep:known chromosome:GRCm38:10:86705811:86724584:1 gene:ENSMUSG00000044937.14 transcript:ENSMUST00000061458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc41 description:tetratricopeptide repeat domain 41 [Source:MGI Symbol;Acc:MGI:2387653] MSDEASETGQRYNGQPILKRQKPILPYICSTLDFQEERDFLAKSIFPRLNDICSSRGTYF KAVDLRWSAVKAHKSFTSNQFRQYSCLQSQHLKLSLDYVNRCFPFFIGLLGQTYGDFLPD YTPFLLSQVKDFESLSKGEQNLYIAAKNGYPWVLKTPNCSLTEFEIIQAVFRKKSQFQFF YFRTSNSLLRTFNEEEEEEEEKLSSAYLLNEQGKMKVGKLKAKIIGKGLPVRFYRDLEEL GDMVWKDWSAVVEKLYPFTTIMGNIDYKHSFENLYHEEFVENCKQVFVTSKESNRTFEIL ERFAIKDLDLDLDTDSTIAGSGLDSILRINSLPTCKSILLLSGERGCGKSTLIANWVSNF QSKHPGVLMIPYFVGSTCESCDIMSVIHYFVMELQHRANGNRASLMGRK >ENSMUSP00000034497.6 pep:known chromosome:GRCm38:9:7445822:7455972:1 gene:ENSMUSG00000043613.7 transcript:ENSMUST00000034497.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp3 description:matrix metallopeptidase 3 [Source:MGI Symbol;Acc:MGI:97010] MKMKGLPVLLWLCVVVCSSYPLHDSARDDDAGMELLQKYLENYYGLAKDVKQFIKKKDSS LIVKKIQEMQKFLGLEMTGKLDSNTMELMHKPRCGVPDVGGFSTFPGSPKWRKSHITYRI VNYTPDLPRQSVDSAIEKALKVWEEVTPLTFSRISEGEADIMISFAVGEHGDFVPFDGPG TVLAHAYAPGPGINGDAHFDDDERWTEDVTGTNLFLVAAHELGHSLGLYHSAKAEALMYP VYKSSTDLSRFHLSQDDVDGIQSLYGTPTASPDVLVVPTKSNSLEPETSPMCSSTLFFDA VSTLRGEVLFFKDRHFWRKSLRTPEPEFYLISSFWPSLPSNMDAAYEVTNRDTVFIFKGN QFWAIRGHEELAGYPKSIHTLGLPATVKKIDAAISNKEKRKTYFFVEDKYWRFDEKKQSM EPGFPRKIAEDFPGVDSRVDAVFEAFGFLYFFSGSSQLEFDPNAKKVTHILKSNSWFNC >ENSMUSP00000106865.2 pep:known chromosome:GRCm38:14:60963834:61046855:-1 gene:ENSMUSG00000060548.12 transcript:ENSMUST00000111234.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf19 description:tumor necrosis factor receptor superfamily, member 19 [Source:MGI Symbol;Acc:MGI:1352474] MALKVLPLHRTVLFAAILFLLHLACKVSCETGDCRQQEFKDRSGNCVLCKQCGPGMELSK ECGFGYGEDAQCVPCRPHRFKEDWGFQKCKPCADCALVNRFQRANCSHTSDAVCGDCLPG FYRKTKLVGFQDMECVPCGDPPPPYEPHCTSKVNLVKISSTVSSPRDTALAAVICSALAT VLLALLILCVIYCKRQFMEKKPSWSLRSQDIQYNGSELSCFDQPRLRHCAHRACCQYHRD SAPMYGPVHLIPSLCCEEARSSARAVLGCGLRSPTTLQERNPASVGDTMPAFFGSVSRSI CAEFSDAWPLMQNPLGGDSSLCDSYPELTGEDTNSLNPENESAASLDSSGGQDLAGTAAL ESSGNVSESTDSPRHGDTGTVWEQTLAQDAQRTPSQGGWEDRENLNLAMPTAFQDA >ENSMUSP00000106867.2 pep:known chromosome:GRCm38:14:60963834:61037987:-1 gene:ENSMUSG00000060548.12 transcript:ENSMUST00000111236.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf19 description:tumor necrosis factor receptor superfamily, member 19 [Source:MGI Symbol;Acc:MGI:1352474] MALKVLPLHRTVLFAAILFLLHLACKVSCETGDCRQQEFKDRSGNCVLCKQCGPGMELSK ECGFGYGEDAQCVPCRPHRFKEDWGFQKCKPCADCALVNRFQRANCSHTSDAVCGDCLPG FYRKTKLVGFQDMECVPCGDPPPPYEPHCTSKVNLVKISSTVSSPRDTALAAVICSALAT VLLALLILCVIYCKRQFMEKKPSWSLRSQDIQYNGSELSCFDQPRLRHCAHRACCQYHRD SAPMYGPVHLIPSLCCEEARSSARAVLGCGLRSPTTLQERNPASVGDTMPAFFGSVSRSI CAEFSDAWPLMQNPLGGDSSLCDSYPELTGEDTNSLNPENESAASLDSSGGQDLAGTAAL ESSGNVSESTDSPRHGDTGTVWEQTLAQDAQRTPSQGGWEDRENLNLAMPTAFQDA >ENSMUSP00000086450.2 pep:known chromosome:GRCm38:14:70577847:70582571:1 gene:ENSMUSG00000045211.4 transcript:ENSMUST00000089049.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt18 description:nudix (nucleoside diphosphate linked moiety X)-type motif 18 [Source:MGI Symbol;Acc:MGI:2385853] MATEGLAGALATVLGGKGLLVQSCDSEPAGKPLFPVRLRKNVCYVVLAVFLNEQDEVLMI QEAKRECRGTWYLPAGRMEPGETIVEAMQREVKEEAGLLCEPVTLLSVEERGASWIRFVF LARPTGGVLKTSKDADSESLQAGWYPRVSLPTPLRAHDVLHLVELGAKFCQQAMHPLILP QELPCSVVCQRLVTTFTTVQSVWVLVGTVGTPHLPITACGFTPMEQRGGIKVAILRLLQE CLTLHSLAVETKGLLGLQHLGRDHVDGVCLNVLVTVAFRNPGIQDEPPKIRGENYFWWKV LEEDLQKLLLYRLQESSVIPLSR >ENSMUSP00000024727.8 pep:known chromosome:GRCm38:17:45391892:45433707:-1 gene:ENSMUSG00000023932.8 transcript:ENSMUST00000024727.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc5l description:cell division cycle 5-like (S. pombe) [Source:MGI Symbol;Acc:MGI:1918952] MPRIMIKGGVWRNTEDEILKAAVMKYGKNQWSRIASLLHRKSAKQCKARWYEWLDPSIKK TEWSREEEEKLLHLAKLMPTQWRTIAPIIGRTAAQCLEHYEFLLDKTAQRDNEEETTDDP RKLKPGEIDPNPETKPARPDPIDMDEDELEMLSEARARLANTQGKKAKRKAREKQLEEAR RLAALQKRRELRAAGIEIQKKRKKKRGVDYNAEIPFEKKPALGFYDTSEENYQALDADFR KLRQQDLDGELRSEKEGRDRKKDKQHLKRKKESDLPSAILQTSGVSEFTKKRSKLVLPAP QISDAELQEVVKVGQASEVARQTAEESGITNSASSTLLSEYNVTNNSIALRTPRTPASQD RILQEAQNLMALTNVDTPLKGGLNTPLHESDFSGVTPQRQVVQTPNTVLSTPFRTPSNGA EGLTPRSGTTPKPVTNATPGRTPLRDKLNINPEDGMADYSDPSYVKQMERESREHLRLGL LGLPAPKNDFEIVLPENAEKELEEREIDDTYIEDAADVDARKQAIRDAERVKEMKRMHKA VQKDLPRPSEVNETILRPLNVEPPLTDLQKSEELIKKEMITMLHYDLLHHPYEPSGNKKG KNVGFATNNSEHITYLEHSPYEKFSKEDLKKAQDALVQEMEVVKQGMSHGELSSEAYNQV WEECYSQVLYLPAQSRYTRANLASKKDRIESLEKRLEINRGHMTTEAKRAAKMEKKMKIL LGGYQSRAMGLMKQLNDLWDQIEQAHLELRTFEELKKHEDSAIPRRLECLKEDVQRQQER EKELQQRYADLLMEKETLQAKF >ENSMUSP00000092811.5 pep:known chromosome:GRCm38:17:85090726:85458139:1 gene:ENSMUSG00000071037.5 transcript:ENSMUST00000095188.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camkmt description:calmodulin-lysine N-methyltransferase [Source:MGI Symbol;Acc:MGI:1920832] MESQVAAAGAAETEAEAGKRPAMGSASQGSLVSAPKGAVRWQLLRQVLKQKQLDDHLRHV SVRRFESFNLFSVTEATKKGTEKEAGVWVQYTSIFYPKYSISVRHNSGSLNVEDVLTSFD NTGNVCIWPSEEVLAHYCLKHSHIFRDLAVCELGGGMTCLAGLMVAISADVKEVLLTDGN EKAIRNVDSIIACNKKTGVFKTPKISSRVLRWDNETDVSQLEGHFDIVMCADCLFLDQYR ASLVDAIKRLLQPTGKAVVFAPRRGNTFNQFCNLAEKAGFSLQRHENYDEPISNFHSKLK KEGSDIYEENLHYPLLLILTKTG >ENSMUSP00000131269.2 pep:known chromosome:GRCm38:18:20682592:20682963:-1 gene:ENSMUSG00000091449.2 transcript:ENSMUST00000165229.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10269 description:predicted gene 10269 [Source:MGI Symbol;Acc:MGI:3642381] MAKIKARDLRGKKKEELLKQLDDLKVELSQLRVAKVTGGAASKLSKKRVVRKSIARVLTV INHTQKENLRKFYKGKKYKPLDLRPKKTRAMRRRLTKHEEKLKTKKQHRKERLYPLRKYA VKA >ENSMUSP00000136655.1 pep:known chromosome:GRCm38:8:85067568:85067982:-1 gene:ENSMUSG00000095845.1 transcript:ENSMUST00000177563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5741 description:predicted gene 5741 [Source:MGI Symbol;Acc:MGI:3645690] MSSKVATGSDIGQARRAVEQLRMEAGINRIKVSKAATDLLQFCTEQAKSDPFLVGIPAAT NPFKEKKPCAIL >ENSMUSP00000136798.1 pep:known chromosome:GRCm38:Y:18061270:18061812:-1 gene:ENSMUSG00000095879.1 transcript:ENSMUST00000179219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21764 description:predicted gene, 21764 [Source:MGI Symbol;Acc:MGI:5433928] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMRTTLSHEDSLRHHTFLMRFPDTLLLPKENRGKPLFPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000020969.3 pep:known chromosome:GRCm38:12:26469204:26479835:1 gene:ENSMUSG00000020638.7 transcript:ENSMUST00000020969.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmpk2 description:cytidine monophosphate (UMP-CMP) kinase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:99830] MALISRPRAPLWLGRLSRRLCGRHQACMGTMARPRRFTVELPDCSLTHFVLGDATDHRDA RLAELLGPPGRSYALCVPLAPGEGCGPRVQAARVHHRLLQQLRRGPLQRCQLSKLLGYGP GDQAGEAQHGFLLRDPCDHPDTRRDLLQLLGSCQEAARPQLAEFQADSQGLLWQRLWELQ GDRQVQVDCACVLPAQEPHLHPLLPDLLNSAVFQDRDAARAVLEECTSFIPEARAVLDLV DQCPKEVQKGKFQVIAIEGLDATGKTTLTQSVSESLKAVLLQSPPPCISQWRKIFDDEPT IIRRAFYSLGNYLVASEIAKESTNFPVIVDRYWHSTATYAIATEVSGGLQYLPPAHHPVY QWPGDLLKPDLVLLLTVNSEERVRRLQGRGQEKTKEEAELEANNVFRQKVEMTYQRMENP SCHLVDASPSRETVLQKVLELIQSSGR >ENSMUSP00000036682.4 pep:known chromosome:GRCm38:12:65225517:65228454:1 gene:ENSMUSG00000035560.4 transcript:ENSMUST00000046331.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr20rt description:WD repeat domain 20, retrogene [Source:MGI Symbol;Acc:MGI:1918198] MATEGRGKETNEIKTHFTTREGLYRLLPHSEYSRPNRVPFNSQGSNPVRVSFVNLNDQTG NGNRLCFSVGRELYVYIYKGVRKAADLSKPIDKRIYKGTQPTCHDFNHLTATAESVSLLV GFSAGQVQLIDPIKKETSKLFNEERLIDKSRVTCVKWVPGSDSLFLVAHSSGNMYSYNVE HTYGTTAPHYQLLKQGESFAVHNCKSKSTRDLKWTVGEGALNEFAFSPDGKFLACVSQDG FLRVFNFDSAELHGTMKSYFGGLLCLCWSPDGKYIVTGGEDDLVTVWSFLDCRVIARGRG HKSWVSVVAFDPYTTSVEESDPMEFSDSDKNFQDLLHFGRDRANSTQSRLSKQNSTDSRP VSVTYRFGSVGQDTQLCLWDLTEDILFPHQPLSRARAHANVMNATGLPAGSNGSAVTTPG NSVPPPLPRSNSLPHSAVSNAASKGSVMDGAITSGVSKFALLSLHDRKERHHEKDRKRNH SMGHISSKSSDKLNLVNKAKTDPAKTLGTSLCPRMEDVPLLEPLICKKIAHERLTVLVFL EDCIVTACQEGFICTWARPGKVSKFQP >ENSMUSP00000136013.1 pep:known chromosome:GRCm38:10:79606857:79614025:-1 gene:ENSMUSG00000045912.8 transcript:ENSMUST00000178228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd4c description:C2 calcium-dependent domain containing 4C [Source:MGI Symbol;Acc:MGI:2685084] MRKTNMWFLERLRGSGENGASRGEAGDKSSKGPLYSNVLTPDKIPDFFIPPKLPSGPTEA EGQADLGPSTSEQNLASPGPRRAPRSPRLPAKLASESRSLLKAATRHVIQIESAEDWTAE EATNADPQAQGAMSLPSVPKAQTSYGFATLAESPHTRRKESLFHSEHGALAQVGSPGAGR RRAGAKGNGGDGGSREVGGALMSPSRYFSGGESDTGSSAESSPFGSPLLSRSVSLLKGFA QDSQAKVSQLKQSVGRHGSLSADDSTPDTSPGVRRRLSRRATPEPGPESGQAPRGEHTVK MGTRGSVRLLAEYEAAQARLRVRLLAAEGLYDRPCDARSINCCVGLCLVPGKLQKQRSTI IKNSRHPIFNEDFFFDGLGPASVRKLALRIKVVNKGSSLKRDTLLGEEELPLTSLLPFL >ENSMUSP00000059433.7 pep:known chromosome:GRCm38:10:79606857:79614018:-1 gene:ENSMUSG00000045912.8 transcript:ENSMUST00000059699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd4c description:C2 calcium-dependent domain containing 4C [Source:MGI Symbol;Acc:MGI:2685084] MRKTNMWFLERLRGSGENGASRGEAGDKSSKGPLYSNVLTPDKIPDFFIPPKLPSGPTEA EGQADLGPSTSEQNLASPGPRRAPRSPRLPAKLASESRSLLKAATRHVIQIESAEDWTAE EATNADPQAQGAMSLPSVPKAQTSYGFATLAESPHTRRKESLFHSEHGALAQVGSPGAGR RRAGAKGNGGDGGSREVGGALMSPSRYFSGGESDTGSSAESSPFGSPLLSRSVSLLKGFA QDSQAKVSQLKQSVGRHGSLSADDSTPDTSPGVRRRLSRRATPEPGPESGQAPRGEHTVK MGTRGSVRLLAEYEAAQARLRVRLLAAEGLYDRPCDARSINCCVGLCLVPGKLQKQRSTI IKNSRHPIFNEDFFFDGLGPASVRKLALRIKVVNKGSSLKRDTLLGEEELPLTSLLPFL >ENSMUSP00000071496.5 pep:known chromosome:GRCm38:9:69450232:69451035:-1 gene:ENSMUSG00000062874.5 transcript:ENSMUST00000071565.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4978 description:predicted gene 4978 [Source:MGI Symbol;Acc:MGI:3645765] MPKGQKAKGKKVAPPPAVVKKQEAKKVVNPMFEKRPKNFSTGQDIQPQRDLTRFVKSPPP YIRLQWQRAILFKRLKVPPAINRFTQALDRQTATQLLKLAHKYRPETKQEKKQRLLAPVE KKDAGKGNIPTKRPPVLQAGVNTVTTLVENKKAQLVVIAHDVEPIELVVFLPALCEKMGV PYCIIKGKARLGHLVHRKTCTTVAFTQVNSEDKGALAKLMEAIRTNYNDRYDEIRRHWGG NVLGPKSVARIAKLEKAKAKELATKLG >ENSMUSP00000042186.6 pep:known chromosome:GRCm38:14:118482692:118706219:-1 gene:ENSMUSG00000032849.13 transcript:ENSMUST00000036554.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc4 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 4 [Source:MGI Symbol;Acc:MGI:2443111] MLPVHTEVKPNPLQDANLCSRVFFWWLNPLFKTGHKRRLEEDDMFSVLPEDRSKHLGEEL QRYWDKELLRAKKDSRKPSLTKAIIKCYWKSYLILGIFTLIEEGTRVVQPLFLGKIIEYF EKYDPDDSVALHTAYGYAAVLSMCTLILAILHHLYFYHVQCAGMRLRVAMCHMIYRKALR LSNSAMGKTTTGQIVNLLSNDVNKFDQVTIFLHFLWAGPLQAIAVTVLLWVEIGISCLAG LAVLVILLPLQSCIGKLFSSLRSKTAAFTDARIRTMNEVITGMRIIKMYAWEKSFADLIA NLRKKEISKILGSSYLRGMNMASFFIANKVILFVTFTSYVLLGNEITASHVFVAMTLYGA VRLTVTLFFPSAIERGSEAIVSIRRIKNFLLLDELPQRKAHVPSDGKAIVHVQDFTAFWD KALDSPTLQGLSFIARPGELLAVVGPVGAGKSSLLSAVLGELPPASGLVSVHGRIAYVSQ QPWVFSGTVRSNILFGKKYEKERYEKVIKACALKKDLQLLEDGDLTVIGDRGATLSGGQK ARVNLARAVYQDADIYLLDDPLSAVDAEVGKHLFQLCICQALHEKITILVTHQLQYLKAA SHILILKDGEMVQKGTYTEFLKSGVDFGSLLKKENEEAEPSTAPGTPTLRKRTFSEASIW SQQSSRPSLKDGAPEGQDAENTQAVQPEESRSEGRIGFKAYKNYFSAGASWFFIIFLVLL NMVGQVFYVLQDWWLSHWANKQGALNNTRNANGNITETLDLSWYLGIYAGLTAVTVLFGI ARSLLVFYILVNASQTLHNRMFESILKAPVLFFDRNPIGRILNRFSKDIGHMDDLLPLTF LDFIQTLLLVVSVIAVAAAVIPWILIPLVPLSVVFLVLRRYFLETSRDVKRLESTTRSPV FSHLSSSLQGLWTIRAYKAEERCQELFDAHQDLHSEAWFLFLTTSRWFAVRLDAICAIFV IVVAFGSLVLAKTLNAGQVGLALSYALTLMGMFQWSVRQSAEVENMMISVERVIEYTDLE KEAPWECKKRPPPGWPHEGVIVFDNVNFTYSLDGPLVLKHLTALIKSREKVGIVGRTGAG KSSLISALFRLSEPEGKIWIDKILTTEIGLHDLRKKMSIIPQEPVLFTGTMRKNLDPFNE HTDEELWRALEEVQLKEAIEDLPGKMDTELAESGSNFSVGQRQLVCLARAILKNNRILII DEATANVDPRTDELIQQKIREKFAQCTVLTIAHRLNTIIDSDKIMVLDSGRLKEYDEPYV LLQNPESLFYKMVQQLGKGEAAALTETAKQVYFRRNYPDITFTSPAVMNTSNGQPSALTI FETAL >ENSMUSP00000129677.1 pep:known chromosome:GRCm38:14:118482692:118706219:-1 gene:ENSMUSG00000032849.13 transcript:ENSMUST00000166646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc4 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 4 [Source:MGI Symbol;Acc:MGI:2443111] MLPVHTEVKPNPLQDANLCSRVFFWWLNPLFKTGHKRRLEEDDMFSVLPEDRSKHLGEEL QRYWDKELLRAKKDSRKPSLTKAIIKCYWKSYLILGIFTLIEALRLSNSAMGKTTTGQIV NLLSNDVNKFDQVTIFLHFLWAGPLQAIAVTVLLWVEIGISCLAGLAVLVILLPLQSCIG KLFSSLRSKTAAFTDARIRTMNEVITGMRIIKMYAWEKSFADLIANLRKKEISKILGSSY LRGMNMASFFIANKVILFVTFTSYVLLGNEITASHVFVAMTLYGAVRLTVTLFFPSAIER GSEAIVSIRRIKNFLLLDELPQRKAHVPSDGKAIVHVQDFTAFWDKALDSPTLQGLSFIA RPGELLAVVGPVGAGKSSLLSAVLGELPPASGLVSVHGRIAYVSQQPWVFSGTVRSNILF GKKYEKERYEKVIKACALKKDLQLLEDGDLTVIGDRGATLSGGQKARVNLARAVYQDADI YLLDDPLSAVDAEVGKHLFQLCICQALHEKITILVTHQLQYLKAASHILILKDGEMVQKG TYTEFLKSGVDFGSLLKKENEEAEPSTAPGTPTLRKRTFSEASIWSQQSSRPSLKDGAPE GQDAENTQAVQPEESRSEGRIGFKAYKNYFSAGASWFFIIFLVLLNMVGQVFYVLQDWWL SHWANKQGALNNTRNANGNITETLDLSWYLGIYAGLTAVTVLFGIARSLLVFYILVNASQ TLHNRMFESILKAPVLFFDRNPIGRILNRFSKDIGHMDDLLPLTFLDFIQTLLLVVSVIA VAAAVIPWILIPLVPLSVVFLVLRRYFLETSRDVKRLESTTRSPVFSHLSSSLQGLWTIR AYKAEERCQELFDAHQDLHSEAWFLFLTTSRWFAVRLDAICAIFVIVVAFGSLVLAKTLN AGQVGLALSYALTLMGMFQWSVRQSAEVENMMISVERVIEYTDLEKEAPWECKKRPPPGW PHEGVIVFDNVNFTYSLDGPLVLKHLTALIKSREKVGIVGRTGAGKSSLISALFRLSEPE GKIWIDKILTTEIGLHDLRKKMSIIPQEPVLFTGTMRKNLDPFNEHTDEELWRALEEVQL KEAIEDLPGKMDTELAESGSNFSVGQRQLVCLARAILKNNRILIIDEATANVDPRTDELI QQKIREKFAQCTVLTIAHRLNTIIDSDKIMVLDSGRLKEYDEPYVLLQNPESLFYKMVQQ LGKGEAAALTETAKQVYFRRNYPDITFTSPAVMNTSNGQPSALTIFETAL >ENSMUSP00000060930.1 pep:known chromosome:GRCm38:15:78842647:78850902:1 gene:ENSMUSG00000049521.1 transcript:ENSMUST00000059619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42ep1 description:CDC42 effector protein (Rho GTPase binding) 1 [Source:MGI Symbol;Acc:MGI:1929763] MPGPQGGTGAPTMSLGKLSPVGWVSSSHGKRRLTADMISPPLGDFRHTMHVGRGGDVFGD TSFLSNHGGRSGNTHRSPRSFLARKLQQVRRVGVPPRRMASPAAPSPAPPPISPIIKNAI SLPQLNQATYDSLVMGKLSFDSTPASSTDGHSGYGLESGFCTISRLPRVEKHSNRDRDRD PDHSQDREQSSFPSEPTPNPELRRSDSLLSFRFDLDLGPSLLSELLGVMSLSEAPAAETP VPTANPPAPAANPAPTAKPPAHAITTLDAVTSLPASAVTSLPAPAVASSPSRGHFPNGVT SVLGPAAEAKPSPVGEGPQVPSNMAFDRHGASWGASRASWGASRASRHYTEMDARRELAG VLPQVHGSWESLNEDWSAPPASVRAPVPTSVQVNAFEFADAEEDDEVKV >ENSMUSP00000071829.5 pep:known chromosome:GRCm38:7:45816461:45824756:-1 gene:ENSMUSG00000058743.5 transcript:ENSMUST00000071937.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj14 description:potassium inwardly-rectifying channel, subfamily J, member 14 [Source:MGI Symbol;Acc:MGI:2384820] MGLARALRRLSGALEPGNSRAGDEEEAGAGLCRNGWAPGPVAGSRRRGRFVKKDGHCNVR FVNLGGQGARYLSDLFTTCVDVRWRWMCLLFSCSFLASWLLFGLTFWLIASLHGDLAAPP PPAPCFSQVASFLAAFLFALETQTSIGYGVRSVTEECPAAVAAVVLQCIAGCVLDAFVVG AVMAKMAKPKKRNETLVFSENAVVALRDHRLCLMWRVGNLRRSHLVEAHVRAQLLQPRVT PEGEYIPLDHQDVDVGFDGGTDRIFLVSPITIVHEIDSASPLYELGRAELARADFELVVI LEGMVEATAMTTQCRSSYLPGELLWGHRFEPVLFQRGSQYEVDYRHFHRTYEVPGTPVCS AKELDERAEQASHSPKSSFPGSLTAFCYENELALSCCQEEDEEEDTKEGTSAETPERAAS PQALTPTLALTLPP >ENSMUSP00000050729.8 pep:known chromosome:GRCm38:12:30584443:30591213:1 gene:ENSMUSG00000043061.9 transcript:ENSMUST00000057151.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem18 description:transmembrane protein 18 [Source:MGI Symbol;Acc:MGI:2387176] MSSAYSVRSFPVSIPAVIMETDWTEPWLLGLLAFHLLCLLLTCFSSQRYKLQIGHFLCLV VLVYSAEYINEVAAVNWRLFSKYQYFDSRGMFISLVFSAPLLFNAMLIVIMWVRKTLTVM TDLKTLQEERKERRRRRKEE >ENSMUSP00000054702.6 pep:known chromosome:GRCm38:7:45027707:45052881:-1 gene:ENSMUSG00000046574.7 transcript:ENSMUST00000057293.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prr12 description:proline rich 12 [Source:MGI Symbol;Acc:MGI:2679002] MDRNYPSAGFGDPLGAGAGWSYERSAKASLVYGSSRTSHPETDILHRQAYAAPHPLQSYA TNHHPAGLSGLFDTGLHHAGSAGPDASVMNLISALESRGPQPGPSASSLLSQFRSPSWQT AMHTPGPTELFISGALPGSSTFPSSSALSAYQHPASFGSRPFPVPSSLSLQDPPFSPPAN GLLSPHDVLHLKPSQAPTVPSSLGFERLAGGGVLGPAGLGPAQTPPYRPGPPDPPPPPRH LPTQFNLLASSSAATAAEPSSPQLYNFSGAAPGPPPERALPRQDTVIKHYQRPASAQPPP PPPPAHSLQHYLSCGGSYPSMGHRASLACSPLGGGEPSPGAGEPSKGGPSGATAGAAGRA TGPETAGGGAAGGGGGYRPIIQSPGYKTSKGGYGPATGGATRPPPPRSTATPKCQSLGGP AAAYAAGKASGAGGAGSQAYSPGQPQGLLGPQAYGQGFGGGQAQDLSKGPSYSGGPPQPP SGPPPPGLATCQSYSPDQLQGQLYGVQSEPYPGPAAHSQGLPTASPSLSYSTGHSPALSG HGGGWGPSSLGGGGEASPSHIIRPLQSPPATGRPPGVGSPGAPGKYLSSVLASAPFLAPP GASSYAAGAGGYKGKGDGSELLAGPGGSAAERTEDEEFLIQHLLQAPSPPRTSGADGLVG EDGPADAAKGLGGSGGAGGAPGTPYELAKEDPQRYHLQSVIRTSASLDEGATAALELGLG RMKDKKKGPERGGETPEGLATSVVHYGAGAKELGAFLQKSPPPPPPSAQATQPAPHGLLL EAGGPDLPMVLPPPPPQLLPSVLSHAPSPSPSAPKVGVHLLEPATRDGAPQPPPPPPPPM PLQLEAHLRGHGLEPTAPSPRLRPEESLEPPGAMQELLGALEPLPSGPGDPGVGPPNSEG KDPAGAYRSPSPQGTKAPRFVPLTSICFPDSLLQDEERSFFPTMEEMFGGGAADDYGKAG QTEDDGDPKTGAGPPPGPTAYDPYGPYCGGRASGTGPETPGLGLDHNKPPELPSTVNAEP LGLIQSGPHQSAPPPPPPPPPPPPVSEPKGGLTSPIFCSTKPKKLLKTSSFHLLRRRDPP FQTPKKLYAQEYEFEADEDKADVPADIRLNPRRLPDLVSSCRSRPALSPLGDIDFCPPNP GPDGPRRRGRKPTKAKRDGPPRPRGRPRIRPLEGPAMAGPASITTDGAKKPRGRGRGRGR KAEEMGGTRLEPLKPLKIKLSVPKAGEGLGAPSNDVISGVDHNSLDSNLTREKIEAKIKE VEEKQPEMKSGFMASFLDFLKSGKRHPPLYQAGLTPPLSPPKSVPASVPTRGLQPPPPTV PTVPHPAPSGPFGLGGALEAAESEGLGLGCPSPCKRLDEELKRNLETLPSFSSDEEDSVA KNRDLQESISSAISALDDPPLTGPKDTSTPEEPPLDTGPTASGPPPLPSLPSSNSSGTPE PPLLEEKPPPTPPPAPTPQPAPPPPPPPPPVPALPSPTPLVTPVASSPPPPPPPPPPPPA LPSPPPPPPPAPTTVPPVAPPEEPPAPSPEDPEPPDARPLHLAKKQETAAVCGETDEEAG ESGGEGIFRERDEFVIRAEDIPSLKLALQTGREPPPIWRVQKALLQKFTPEIKDGQRQFC ATSNYLGYFGDAKNRYQRLYVKFLENVNKKDYVRVCARKPWHRPPLPVRRSGQTKGPTPV GGNSAPPSKVQAPPPKPETPEKMTSEKPPEPAPEPAVPEPPAPEKPSPPRPVEKEKEKEK EKEKEKERVTRPLRSERATSGRQMRTDRSLATGQSTTSRLPKARPSKVKAEPPPKKRKKW LKEAVGNASAGDGPGGSSSDSESSPGAPSEDERAVPGRLLKTRAMREMYRSYVEMLVSTA LDPDMIQALEDTHDELYLPPMRKIDGLLNEHKKKVLKRLSLSPALQDALHTFPQLQVEQT GEGSPEEGAVRLRPAGEPYNRKTLSKLKRSVVRAQEFKVELEKSGYYTLYHSLHHYKYHT FLRCRDQTLAIEGGAEDLGQEEVVQQCMRNQPWLEQLFDSFSDLLAQAQAHSRCG >ENSMUSP00000105141.1 pep:known chromosome:GRCm38:15:82615965:82620907:-1 gene:ENSMUSG00000094559.1 transcript:ENSMUST00000109515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2d34 description:cytochrome P450, family 2, subfamily d, polypeptide 34 [Source:MGI Symbol;Acc:MGI:2385022] MELLTGTGLWSVAIFTVIFLILVDLMHRRQHWTSRYPPGPVPWPVLGNLLQVDLDNIPYS LYKLQNRYGDVFSLQMAWKPVVVINGLKAMQEVLLTCGKDTADHPPVPIFEYLGFKSKSQ GVVLASYGPEWREQRQFSVSTLRNFGLGKKSLEEWVTKEAKHLCDAFTARAGQSINPNTM LNNAVCNVIASLIFARRFEYEDPFLIRMLKMREESLKEVTGFIPGVLNTFPILLRIPGLA DMVFQSQKTFMAILDNLVTENRTTWDPDQPPRNLADAFLAEIQKAKGNPESSFNDENLCM VVSDLFTAGMVTTSTTLSCALLLMILHPDVQRRVQQEIDAVIGQVRCPEMADQARMPYTN AVIHEVQRFGDIIPLNIPRITSRDIEVQDFLIPKGTILIPNMSSMLKDETVWEKPLRFYP EHFLDAQGHFVKPEAFMPFSAGRRSCLGEPLARMELFLFFTCLLQRFSFSVPAGQPQPSD HRIFAIPVAPYPYQVCAIMREQGH >ENSMUSP00000066455.5 pep:known chromosome:GRCm38:10:127323727:127329943:1 gene:ENSMUSG00000040345.9 transcript:ENSMUST00000069548.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap9 description:Rho GTPase activating protein 9 [Source:MGI Symbol;Acc:MGI:2143764] MEQTETLTRDSSALQPQEWLDPQGLPSLSQSVPWLALSEQPGALRPCSALPQLLDDPHVE ERSGLLNMTKIAQGGRKLRKNWGPAWVVLTGSSLVFYRERPPQSASLQGWARAGSRPESS VDLRGAALASGRQLSSRRNVLHIRTVPGHEFLLQSDEETELRDWHRALRTVIERLDRENP LELRLSGSGPAELAELSAGEDDELESEPVSKSLMRLGSRRTSSRCAEGTDQKNRVRNKLK RLIAKRPTLQSLQERGLFRDQVFGCQLESLCQREGDTVPSFVRLCVEAVDKKGLDVDGIY RVSGNLAVVQKLRFLVDRERAVTSDGRYMFPEQAGQEGKLDLDSAEWDDIHVVTGALKLF FRELPQPLVPALLLPDFRDALELSEPEQCLSKIQKLIDSLPRPNHDTLKYILEHLCRVIA HSDKNRMTAHNLGIVFGPTLFRPEQEASDMAAHVFYPGQLVQLMLNNFASLFT >ENSMUSP00000098975.2 pep:known chromosome:GRCm38:12:53248677:54072175:1 gene:ENSMUSG00000021010.7 transcript:ENSMUST00000101432.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npas3 description:neuronal PAS domain protein 3 [Source:MGI Symbol;Acc:MGI:1351610] MGRAGAAANGTPQNVQGITSYQQRITAQHPLPNQSECRKIYRYDGIYCESTYQNLQALRK EKSRDAARSRRGKENFEFYELAKLLPLPAAITSQLDKASIIRLTISYLKMRDFANQGDPP WNLRMEGPPPNTSVKGAQRRRSPSALAIEVFEAHLGSHILQSLDGFVFALNQEGKFLYIS ETVSIYLGLSQVELTGSSVFDYVHPGDHVEMAEQLGMKLPPGRGLLSQGTTEDAASSASS SSQSETPEPVETTSPSLLTTDNTLERSFFIRMKSTLTKRGVHIKSSGYKVIHITGRLRLR VSLSHGRTVPSQIMGLVVVAHALPPPTINEVRIDCHMFVTRVNMDLNIIYCENRISDYMD LTPVDIVGKRCYHFIHAEDVEGIRHSHLDLLNKGQCVTKYYRWMQKNGGYIWIQSSATIA INAKNANEKNIIWVNYLLSNPEYKDTPMDIAQLPHLPEKASESSETSDSESDSKDTSGIT EDNENSKSDEKGNQSENSEDPEPDRKKSGSACDNDMNCNDDGHSSSNPDSRDSDDSFEHS DFEHPKAAEDGFGALGPMQIKVERYVESEADLRLQPCESLTSDSAKDSDSANEAGAQASS KHQKRKRRRKRQKGGSASRRRLSSASSPGLDAGLVEPPRLLSSPHSASVLKIKTEIAEPI NFDNDSSIWNYPPNREISRNESPYSMTKPPTSEHFPSPQGQGGSSGGGGALHVAIPDSVL TPPGADGTAGRKTQFSGTAPVPSDPLSPPLSASPRDKHPGGGAGSGGGGPGASNSLLYTG DLEALQRLQAGNVVLPLVHRVTGTLAATSTAAQRVYTTGTIRYAPAEVTLAMQGNLLPNA HAVNFVDVNSPGFGLDPKTPMEMLYHHVHRLNMSGPFGGAVSAASLTQMPGGNVFTTAEG LFSTLPFPVYSNGIHAAQTLERKED >ENSMUSP00000034226.6 pep:known chromosome:GRCm38:8:94574943:94601726:-1 gene:ENSMUSG00000031774.7 transcript:ENSMUST00000034226.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam192a description:family with sequence similarity 192, member A [Source:MGI Symbol;Acc:MGI:1919637] MDGEDDSNLVIKKRFVSEAELDERRKRRQEEWEKVRKPEDPKECPEEAYDPRSLYERLQE QKDRKQQEYEEQFKFKNMVRGLDEDETNFLDEVSRQQELIEKQRREEELEELKEYRSNLN KVGISAENKEVEKKLAVKPIETKNKFSQAKLLAGAVKHKSSESGNSVKRLKPDPDPDDKA QEAPSCMSLGSSSLSGPPSIHCPSAAVCIGILPGLGAYSGSSDSESSSDSEGTINATGKI VSSIFRTNTFLEAP >ENSMUSP00000036471.5 pep:known chromosome:GRCm38:8:105472481:105484350:-1 gene:ENSMUSG00000039199.5 transcript:ENSMUST00000044286.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc1 description:zinc finger, DHHC domain containing 1 [Source:MGI Symbol;Acc:MGI:1918046] MNICNKPSNKTAPEKSVWTAPSQDSGPSPELQGQRSRRNGWSWPPHPLQIVAWLLYLFFA VIGFGVLVPLLPHHWVPAGYACMGAIFAGHLVVHLTAVSIDPADANVRDKSYSGPLPIFN RSQHAHVIEDLHCNLCDVDVSARSKHCSACNKCVCGFDHHCKWLNNCVGERNYRLFLHSV ASALLGVLLLVLVATYVFVEFFVNPMRLRTNQHFEVLKNHTDVWFVFLPAAPVETQAPAI LALAALLILLGLLSTALLGHLLCFHIYLMWHKLTTYEYIVQHRPAQEAKETHKELESCPR KVRSIQEMEFYMRTFSHVRPEPSGQARTAALNANPSQFLATQGQVEPPLPSSSDTLALPP RIQPQKKRKRRVYRLPRSGVLDRELPLPRLRETGTPSRRSSSSSDSTSASPVHAGGSAGA YYSASAESMEEIPVAQTRLGSAALGAPGARGRESGLALQARSPAVFVSPSSGEPGTPGGG DGLP >ENSMUSP00000053766.3 pep:known chromosome:GRCm38:8:105289924:105296098:-1 gene:ENSMUSG00000043251.5 transcript:ENSMUST00000057855.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc3l description:exocyst complex component 3-like [Source:MGI Symbol;Acc:MGI:3041195] MDSKIQPTLRPGSSCPRPEWPEQERAEQLARGAALKWASGIFYRPEQLTRLGQYRSREIQ RNYSLEARIKSVVQSYLEGVQTGVWQLTRALEAVQGTREALSQAHHLLKGLSRTSQTLEP LRECVVQHKQLQILTRLLPRLQAVPATVAHTQTLIDSERLLEAYVSLRELEQLKEETLAP LGGLELPIFQGLGLLAEALGQAVEAAAGAAGRLAREDPALLVAAIRVAEVETERTILGQA PRDWRQRCLRALQEGLERVHFASPVLPEPGALAGWLEALQVALPAELATAEALVAPCCPP SYRVVELWAHTLHSGLRRSVQQLLAGPELGAADTFALLHWALHVYTGKEMMGNLELGPEA DVSQLEPLLTSENIEQLEAAFVAQVQVSVAQWLKKALDGEVAEWSGEQEPPTDPSGFYHS PMPAIVLQILAENIQVTNLISDSLHRRAHNMAVSELGAFLRSFSDALIRFSRDHLRGDAV APHYVPYLLSAFNHQSALRSSVSVLLPDGEASGVLAPVEAALDDVQRRICRLVLEVLQVE LQPLFSALPSRRWLLSSELLDGVCEQTSHFCQDFWRVRKPGVQLLLAEAERTVVLQYLRA LMQGRLVCRGTDERSQAAERLRQDAAQLKELFLGLGLEESAHCAPVLLALRELLNLHDPT LLGLEVAGLRQQFPDVSEDHVSALLDLRGDVSREHRQAALSSLQAGPPPSPSTGRRALFS LVPTPTPSLSSCLPSGPCS >ENSMUSP00000021332.8 pep:known chromosome:GRCm38:12:65062436:65073944:-1 gene:ENSMUSG00000020949.8 transcript:ENSMUST00000021332.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp3 description:FK506 binding protein 3 [Source:MGI Symbol;Acc:MGI:1353460] MAAAVPQRAWTVEQLRSEQLPKKDIIKFLQDHGSDSFLAEHKLLGNIKNVAKTANKDHLV NAYNHLFESKRFKGTETISKVSEQVKNVKLSDDKPKDSKSEETLDEGPPKYTKSILKKGD KTNFPKKGDVVHCWYTGTLPDGTVFDTNIQTSSKKKKNAKPLSFKVGVGKVIRGWDEALL TMSKGEKARLEIEPEWAYGKKGQPDAKIPPNTKLIFEVELVDID >ENSMUSP00000053972.6 pep:known chromosome:GRCm38:8:95055103:95078141:1 gene:ENSMUSG00000031786.6 transcript:ENSMUST00000058479.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drc7 description:dynein regulatory complex subunit 7 [Source:MGI Symbol;Acc:MGI:2685616] MEVLREKVEEEEEAEREEAAERAERTEKLERVTKSAEVSREGTILSQDELRDLEGKLMAI EIPTQADHSVISQAPVDVTKLPPSYTTNSLKEEHLLLVADNFSRQYSHLCPDRVPLFLHP LNECNVPKFVSTTLRPTLMPYPELYNWDSCAQFVSDFLTMVPLVDPLKPPTHLYSSTTVL KCQKGNCFDFSTLLCSMLIGSGYDAYCVNGYGSLDLCLMDLTREVCPLTVKAKEIVKKKE KTVPKKYSIKPPRDLTSKFEQEQEEKRIQEIKDLEQRRLKEEEDRILEAEKAKPDPLHGL RVHSWVLVLAGKREVPESFFIDPLTARSYSTKDEHFLGIESLWNHKNYWINMQDCWNCCK DLIFDLGDPVRWEYMLLGTDKPHLSLTEEDEEGLDDDDDDVEDLGKEEEDKSFDMPSSWV SQIEITPEEFETRCPSGKKVIQYKKAQLEKWSPYLNNNGLVCRLTTYEDQQCTKVLEIKE WYQNREDMLELKHINKTTGLHVDYFKPGHPQALCVHSYKSMLPEMDRVMEFYKKIRVDGL VKREETPMTMTEYYEGRSDFLAYRHVNFGPRVKKLSQSSVESNPRPMVKITERFSRNPEK PADEDVAERLFLIVEERIQLRYHCRDDYITASKREFLRRMEVDSKGNKIIMTPEMCISYE VEPMEHTKKLLYQYETMNQLKNEEKLSRHQAWESELEVLEILKLREEEEEAHTLTISIYD TKRNEKSKEYREAMERVLHEEHLRQVEAQLDYLAPFLAQLPPGEKLTRWQAVRLKDECLS DFKQRLIDKANLIQARFEKETQELQKKQQWYQENQVTLTPEDENLYLSYCSQAMFRIRIL EQRLNRHKELAPLKYLALEEKLYKDPRLIDFVKVFV >ENSMUSP00000076219.3 pep:known scaffold:GRCm38:GL456233.1:101352:106395:-1 gene:ENSMUSG00000061654.3 transcript:ENSMUST00000076951.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spry3 description:sprouty homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1345188] MDATVIDELQQILPIEQLRSTHASNDYVERPPAPCKQALSSPSLIVQTHKSDWSLATMPT ALPRSISQCHQLQPLPQHLSQSSISSSMSQSTTASDQRLLASITPSPSGQSIIRTQPGAG AHPKVDGALKGEAEQSVGHSSDHLFICEECGRCKCVPCTAVRPLPSCWMCNQRCLCSAES LLDYGTCLCCVKGLFYHCSTDDEDNCADEPCSCGPSSCFIRWAAMSLISLFLPCLCCYLP TRGCLHMCQQGYDSLRRPGCRCKRHTNTVCRKISSSSSPFPKAQEKSV >ENSMUSP00000011733.8 pep:known chromosome:GRCm38:17:55986511:55996881:1 gene:ENSMUSG00000011589.8 transcript:ENSMUST00000011733.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fsd1 description:fibronectin type 3 and SPRY domain-containing protein [Source:MGI Symbol;Acc:MGI:1934858] MEDQREALRKIITTLAMKNEETQTFIYSLKQMLLNVEANSAKVQEDLEAEFQSLTSVLEE LKESMLMKIKQDRASRTYELQNQLAACTRALESSEELLETANQTLQASDSEDFSQAAKEI KDGITMAPAFRLSLKAKVSDNMSHLMVDFAQERQMLQALKFLPVPSAPTIDLAESLVSDN CVTLVWHMPDEDSKIDHYVLEYRKTNFEGPPRLKEDHPWMVVEGIRQTEHTLTGLKFDMK YMNIRVKACNKAVAGEFSEPVTLETPAFMFRLDGSTSHQNLRVEDLSAEWDAMGGKVQDI KAREKEGKGRTASPVNSPARGTPSPKRMSSGRGGRDRFTAESYTVLGDTLIDGGEHYWEV RFEPDSKAFGLGVAYRSLGRFEQLGKTAASWCLHANNWLQASFTAKHANKVKVLDSPVPD CLGVHCDFHQGLLSFYNARTKQLLHTFKAKFTQPLLPAFTVWCGSFQVTTGLQVPSAVRC LQKRGSATSSSNTSLT >ENSMUSP00000078490.5 pep:known chromosome:GRCm38:12:79297351:79508656:1 gene:ENSMUSG00000059060.13 transcript:ENSMUST00000079533.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51b description:RAD51 homolog B [Source:MGI Symbol;Acc:MGI:1099436] MSSKKLRRVGLSPELCDRLSRYQIVNCQHFLSLSPLELMKVTGLSYRGVHELLHTVSKAC APQMQTAYELKTRRSAHLSPAFLSTTLCALDEALHGGVPCGSLTEITGPPGCGKTQFCIM MSVLATLPTSLGGLEGAVVYIDTESAFTAERLVEIAESRFPQYFNTEEKLLLTSSRVHLC RELTCEGLLQRLESLEEEIISKGVKLVIVDSIASVVRKEFDPKLQGNIKERNKFLGKGAS LLKYLAGEFSIPVTFQPI >ENSMUSP00000128357.1 pep:known chromosome:GRCm38:12:79297351:79327463:1 gene:ENSMUSG00000059060.13 transcript:ENSMUST00000171210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad51b description:RAD51 homolog B [Source:MGI Symbol;Acc:MGI:1099436] MSSKKLRRVGLSPELCDRLSRYQIVNCQHFLSLSPLELMKVTGLSYRGVHELLHTVSKAC APQMQTAYELKTRRSAHLSPAFLSTTLCALDEALHGGVPCGSLTEITGPPGCGKTQFCIM MSVLATLPTSLGGLEGAVVYIDTESAFTAERLVEIAESRFPQYFNTEEKLLLTSSRVHLC RELTCEGLLQRLESLEEEIISKGVKLVIVDSIASVVRKEFDPKLQGNIKERNKFLGKGAS LLKYLAGEFSIPVSYQMI >ENSMUSP00000039966.8 pep:known chromosome:GRCm38:8:105605229:105622194:1 gene:ENSMUSG00000038604.8 transcript:ENSMUST00000043531.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam65a description:family with sequence similarity 65, member A [Source:MGI Symbol;Acc:MGI:1922937] MMSLSVRPQRRLLSARVSRSQSFAGVLGSHERGPRSFTVFSPPGPPRKPLVLSRVSRMFS VAHPAPKVPQPERLDLVYTALKRGLTAYLEVHQQEQEKLQRQIKESKRNSRLGFLYDLDK QVKSIERFLRRLEFHASKIDELYEAYCVQRRLRDGAYNMVRAYSTGSPGSREARDSLAEA TRGHREYTESMCLLENELEAQLGEFHLRMKGLAGFARLCVGDQYEICMKYGRQRWKLRGR IESSGKQVWDSEETVFLPLLTEFLSIKVTELKGLANHVVVGSVSCETKDLFAALPQVVAV DINDLGTIKLSLEVIWSPFDKDDQPSAASTVNKASTVTKRFSTYSQSPPDTPSLREQAFY NMLRRQEELENGTAWSLSSESSDDSSSPQLSGTARHSTPKPLVQQPEPLPVQVAFRRPES LTSGSMDEEPAMTPSLVNGHAPYSRTLSHISEASVDAALTEAVEAVDSQSPIPGPSPLVY PDSTHVERVSSVLPVLNNGHSATSPALSTTGPAPTFIDPAPTTQLDLVHKTTDSAPSELP SITHTTTSSAYSAVSLVNSVPSLTSTTIGSAHTTTPSPLTSTGSVPNATDSTQATPSPTH STPSPTHTTIRLTHTTVSPTHSSPSPIHTTPSPTHTTVSPTCTTPSSGHSTTSPTQEAKM STHTTGAVGPVQTTTSPISTTESPSPSTDVAIISSSSAESTGPGTEPLPCSHPASPPYTK ADPTASCTSYQSLASSGSKPLTSPAPDSPEQIPKSPSSSPSSSAPEPQHSEHNLAAVAQA PVPEATGGAGDRRLEEALGTLMSALDDYRGQFPELQGLEQEVTRLESLLMQRQGLTRSRA SSLSITVEHALESFSFLNDDEDEDNDGPGDRHTSSPEVVAEDRLDSSNGQSLSTGCSALD ATLVQHLYHCSRLLLKLGTFGPLRCQEAWALERLLREARVFQEVCERSKLWGNSATSAQE VVQFSASRPGFLTFWDQCTEGLSPFICSVERVLLTFCSQYGARLSLRQPGLAEAVCVKFL EDALGQKLPRRPQPGPGEQFTIFQFWSYVEALDSPSMDAYVTETAEEVLLVQNLNSDDQA VVLKALRLAPEGRLRKDGLRALSSLLVHGNNKVMAAVSTQLRSLSLGPVFRERALLCFLD QLEDGDVQTRVAGCLALGCIKAPEGIEPLVYLCQTDTEAVREAARQSLQQCGEEGQSAHR QLEESLDALPCIFGPSSMASTAF >ENSMUSP00000073880.3 pep:known chromosome:GRCm38:12:28630847:28635953:-1 gene:ENSMUSG00000061477.3 transcript:ENSMUST00000074267.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps7 description:ribosomal protein S7 [Source:MGI Symbol;Acc:MGI:1333818] MFSSSAKIVKPNGEKPDEFESGISQALLELEMNSDLKAQLRELNITAAKEIEVGGGRKAI IIFVPVPQLKSFQKIQVRLVRELEKKFSGKHVVFIAQRRILPKPTRKSRTKNKQKRPRSR TLTAVHDAILEDLVFPSEIVGKRIRVKLDGSRLIKVHLDKAQQNNVEHKVETFSGVYKKL TGKDVNFEFPEFQL >ENSMUSP00000023134.3 pep:known chromosome:GRCm38:15:103562762:103565078:-1 gene:ENSMUSG00000022491.4 transcript:ENSMUST00000023134.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glycam1 description:glycosylation dependent cell adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:95759] MKFFTVLLFVSLAATSLALLPGSKDELQMKTQPTDAIPAAQSTPTSYTSEESTSSKDLSK EPSIFREELISKDNVVIESTKPENQEAQDGLRSGSSQLEETTRPTTSAATTSEENLTKSS QTVEEELGKIIEGFVTGAEDIISGASRITKS >ENSMUSP00000026462.6 pep:known chromosome:GRCm38:10:127990941:128000678:-1 gene:ENSMUSG00000025396.6 transcript:ENSMUST00000026462.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b6 description:hydroxysteroid (17-beta) dehydrogenase 6 [Source:MGI Symbol;Acc:MGI:1351670] MWFYLVTLVGLYHLLRWYRERQVVSHLQDKYVFITGCDSGFGNLLARQLDRRGMRVLAAC LTEKGAEELRNKTSDRLETVILDVTKTESIVAATQWVKERVGDRGLWGLVNNAGVLQPFA YIEWYRPEDYMPIFQVNLIGLTQVTISMLFLVKKARGRIVNVSSALGRVALFGGFYSCSK YGVEAFSDVLRHEVQDFGVKVSIIEPGSFKTEMTDAELTIERTKKVWEAAPEHIKESYGQ QFFDDFCSTTKRELMKCSRNLSLVTDCMEHALTSTHPRTRYSAGWDAKFFFIPLSYLPAS LVDYLLAISRGKPAQAA >ENSMUSP00000130071.1 pep:known chromosome:GRCm38:10:79821021:79830452:1 gene:ENSMUSG00000035852.10 transcript:ENSMUST00000169041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Misp description:mitotic spindle positioning [Source:MGI Symbol;Acc:MGI:1926156] MDRVTRYPIFSNPRSARVTSLVLDEDTSYTVELVGVGPEAGWSQGDLQAWSTEYQTRPDV KRTNVSSNRRVFLGQQSPRSLYLEDEDEEIKSYHLDDSSDTLCRQRRELEAERWAVIQSQ AVRKGGTVATLQAASDHGDLRIARQPQSTVTEEILVDTEQIDFLAARQQFLSLEKANTNP VPCGLTARETFPRTPPGINQAPKASTGPHLANGYTTAVTSPMKEVTLEKSFHVSPARSIH IVNDPGSQTQAESPETPKETPIEREIRLAQEREAELREQRGLGRAAGHQELVQIPSRPLL SKMSLTETPPRRDRGRPSLYVQRDMVQETQREEDHRREGLQVGRASTPDWPSQDPQPGLQ RSLSSDCILSPDARATDPAPEARKVNRIPLDAYQPYLGPGTPKLEFSAFGVYSKPSGVST EDTKATVFQKATESPRHVSESSGRSLSSKQEWSKPPGKATNVGVVRLGNFHLRPLRFKVP DVPQGTETPHTWGWEVAGGPILRLQKSQSSDLLEREMESVLRREREVAEERRNAFFPEVF SPVPAEDESHEQDSRSSSRASGITGSYSVSESPLFTPVHLNSGLVWKVEAPEDSAPPGQK TRKEMWYAGINPSDSVNSEVLGATRVKRHKNLLAERWEAHIYASEDEN >ENSMUSP00000048893.4 pep:known chromosome:GRCm38:10:79822627:79830452:1 gene:ENSMUSG00000035852.10 transcript:ENSMUST00000046833.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Misp description:mitotic spindle positioning [Source:MGI Symbol;Acc:MGI:1926156] MDRVTRYPIFSNPRSARVTSLVLDEDTSYTVELVGVGPEAGWSQGDLQAWSTEYQTRPDV KRTNVSSNRRVFLGQQSPRSLYLEDEDEEIKSYHLDDSSDTLCRQRRELEAERWAVIQSQ AVRKGGTVATLQAASDHGDLRIARQPQSTVTEEILVDTEQIDFLAARQQFLSLEKANTNP VPCGLTARETFPRTPPGINQAPKASTGPHLANGYTTAVTSPMKEVTLEKSFHVSPARSIH IVNDPGSQTQAESPETPKETPIEREIRLAQEREAELREQRGLGRAAGHQELVQIPSRPLL SKMSLTETPPRRDRGRPSLYVQRDMVQETQREEDHRREGLQVGRASTPDWPSQDPQPGLQ RSLSSDCILSPDARATDPAPEARKVNRIPLDAYQPYLGPGTPKLEFSAFGVYSKPSGVST EDTKATVFQKATESPRHVSESSGRSLSSKQEWSKPPGKATNVGVVRLGNFHLRPLRFKVP DVPQGTETPHTWGWEVAGGPILRLQKSQSSDLLEREMESVLRREREVAEERRNAFFPEVF SPVPAEDESHEQDSRSSSRASGITGSYSVSESPLFTPVHLNSGLVWKVEAPEDSAPPGQK TRKEMWYAGINPSDSVNSEVLGATRVKRHKNLLAERWEAHIYASEDEN >ENSMUSP00000048994.7 pep:known chromosome:GRCm38:8:105855103:105856950:1 gene:ENSMUSG00000036442.7 transcript:ENSMUST00000040445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap11 description:THAP domain containing 11 [Source:MGI Symbol;Acc:MGI:1930964] MPGFTCCVPGCYNNSHRDKALHFYTFPKDAELRRLWLKNVSRAGVSGCFSTFQPTTGHRL CSVHFQGGRKTYTVRVPTIFPLRGVNERKVARRPAGAAAARRRQQQQQQQQQQQQQQQLQ QQQPSPSSSTAQTTQLQPNLVSASAAVLLTLQAAVDSNQAPGSVVPVSTTPSGDDVKPID LTVQVEFAAAEGAAAAAAASELEAATAGLEAAECTLGPQLVVVGEEGFPDTGSDHSYSLS SGTTEEELLRKLNEQRDILALMEVKMKEMKGSIRHLRLTEAKLREELREKDRLLAMAVIR KKHGM >ENSMUSP00000052262.6 pep:known scaffold:GRCm38:GL456233.1:10738:39148:-1 gene:ENSMUSG00000051412.6 transcript:ENSMUST00000051100.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp7 description:vesicle-associated membrane protein 7 [Source:MGI Symbol;Acc:MGI:1096399] MAILFAVVARGTTILAKHAWCGGNFLEVTEQILAKIPSENNKLTYSHGNYLFHYICQDRI VYLCITDDDFERSRAFSFLNEVKKRFQTTYGSRAQTALPYAMNSEFSSVLAAQLKHHSEN KSLDKVMETQAQVDELKGIMVRNIDLVAQRGERLELLIDKTENLVDSSVTFKTTSRNLAR AMCMKNIKLTIIIIIVSIVFIYIIVSLLCGGFTWPNCVKK >ENSMUSP00000057916.6 pep:known chromosome:GRCm38:7:45413695:45417178:1 gene:ENSMUSG00000074121.2 transcript:ENSMUST00000058879.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntf5 description:neurotrophin 5 [Source:MGI Symbol;Acc:MGI:97381] MLPRHSCSLLLFLFLLPSVPMEPHPPSSTLPPFLAPEWDLLSPRVALSRGAPAGPPLLFL LEAGAYGEPAGAPANRSRRGVSETAPASRRGELAVCDAVSGWVTDRRTAVDLRGREVEVL GEVPAAGGSPLRQYFFETRCKAESAGEGGPGVGGGGCRGVDRRHWLSECKAKQSYVRALT ADSQGRVGWRWIRIDTACVCTLLSRTGRA >ENSMUSP00000033036.5 pep:known chromosome:GRCm38:7:112116019:112159057:-1 gene:ENSMUSG00000030772.5 transcript:ENSMUST00000033036.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dkk3 description:dickkopf WNT signaling pathway inhibitor 3 [Source:MGI Symbol;Acc:MGI:1354952] MQRLGGILLCTLLAAAVPTAPAPSPTVTWTPAEPGPALNYPQEEATLNEMFREVEELMED TQHKLRSAVEEMEAEEAAAKTSSEVNLASLPPNYHNETSTETRVGNNTVHVHQEVHKITN NQSGQVVFSETVITSVGDEEGKRSHECIIDEDCGPTRYCQFSSFKYTCQPCRDQQMLCTR DSECCGDQLCAWGHCTQKATKGGNGTICDNQRDCQPGLCCAFQRGLLFPVCTPLPVEGEL CHDPTSQLLDLITWELEPEGALDRCPCASGLLCQPHSHSLVYMCKPAFVGSHDHSEESQL PREAPDEYEDVGFIGEVRQELEDLERSLAQEMAFEGPAPVESLGGEEEI >ENSMUSP00000020440.6 pep:known chromosome:GRCm38:10:80899450:80900969:-1 gene:ENSMUSG00000020219.6 transcript:ENSMUST00000020440.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm13 description:translocase of inner mitochondrial membrane 13 [Source:MGI Symbol;Acc:MGI:1353432] MDSGFGSDFGGTGGGKLDPGAIMEQVKVQIAVANAQELLQRMTDKCFRKCIGKPGGSLDN SEQKCIAMCMDRYMDAWNTVSRAYNSRLQRERANM >ENSMUSP00000043818.4 pep:known chromosome:GRCm38:12:41451673:41485751:-1 gene:ENSMUSG00000036295.4 transcript:ENSMUST00000043884.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrn3 description:leucine rich repeat protein 3, neuronal [Source:MGI Symbol;Acc:MGI:106036] MKDTPLQVHVLLGLAITTLVQAIDKKVDCPQLCTCEIRPWFTPRSIYMEASTVDCNDLGL LNFPARLPADTQILLLQTNNIARIEHSTDFPVNLTGLDLSQNNLSSVTNINVQKMSQLLS VYLEENKLTELPEKCLYGLSNLQELYVNHNLLSTISPGAFIGLHNLLRLHLNSNRLQMIN SQWFDALPNLEILMLGDNPIIRIKDMNFQPLVKLRSLVIAGINLTEIPDDALAGLENLES ISFYDNRLSKVPQVALQKAVNLKFLDLNKNPINRIRRGDFSNMLHLKELGINNMPELVSI DSLAVDNLPDLRKIEATNNPRLSYIHPNAFFRLPKLESLMLNTNALSALYHGTIESLPNL KEISIHSNPIRCDCVIRWINMNKTNIRFMEPDSLFCVDPPEFQGQNVRQVHFRDMMEICL PLIAPESFPSDLDVEADSYVSLHCRATAEPQPEIYWITPSGKKLLPNTMREKFYVHSEGT LEIRGITPKEGGLYTCIATNLVGADLKSIMIKVGGSVPQDNNGSLNIKIRDIRANSVLVS WKASSKILKSSVKWTAFVKTEDSHAAQSARIPFDVKVYNLTHLKPSTEYKICIDIPTVYQ KSRKQCVNVTTKSLEHDGKEYGKNHTVFVACVGGLLGIIGVMCLFSCVSQEGSSEGEHSY AVNHCHKPALAFSELYPPLINLWESSKEKRATLEVKATAIGVPTNMS >ENSMUSP00000033100.4 pep:known chromosome:GRCm38:7:45621811:45627242:1 gene:ENSMUSG00000064158.5 transcript:ENSMUST00000033100.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo1 description:izumo sperm-egg fusion 1 [Source:MGI Symbol;Acc:MGI:1920706] MGPHFTLLLAALANCLCPGRPCIKCDQFVTDALKTFENTYLNDHLPHDIHKNVMRMVNHE VSSFGVVTSAEDSYLGAVDENTLEQATWSFLKDLKRITDSDLKGELFIKELLWMLRHQKD IFNNLARQFQKEVLCPNKCGVMSQTLIWCLKCEKQLHICRKSLDCGERHIEVHRSEDLVL DCLLSWHRASKGLTDYSFYRVWENSSETLIAKGKEPYLTKSMVGPEDAGNYRCVLDTINQ GHATVIRYDVTVLPPKHSEENQPPNIITQEEHETPVHVTPQTPPGQEPESELYPELHPEL YPELIPTVAQNPEKKMKTRLLILLTLGFVVLVASIIISVLHFRKVSAKLKNASDEVKPTA SGSKSDQSLSQQMGLKKASQADFNSDYSGDKSEATEN >ENSMUSP00000005069.6 pep:known chromosome:GRCm38:10:81198170:81202037:-1 gene:ENSMUSG00000004939.6 transcript:ENSMUST00000005069.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmrk2 description:nicotinamide riboside kinase 2 [Source:MGI Symbol;Acc:MGI:1916814] MKLIIGIGGVTNGGKTTLTNSLLKALPNCCVIHQDDFFKPQDQIAVGEDGFKQWDVLESL DMETMLSTVQAWVKDPHKFARAHGVSLQSGASDTHVLLLEGFLLYSYRPLVDLYSQRYFL TVPYEECKRRRRSRTYMVPDPPGLFDGHVWPMYQKYRREMEQDGVEVVYLDGMKSPEGLF HQVLEDIQNRLLNTS >ENSMUSP00000023761.2 pep:known chromosome:GRCm38:15:99725618:99728136:1 gene:ENSMUSG00000023020.2 transcript:ENSMUST00000023761.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox14 description:cytochrome c oxidase assembly protein 14 [Source:MGI Symbol;Acc:MGI:1913629] MPSAKQLADIGYKTFSASMMLLTVYGGYLCSVRAYRYLQLRSARRQAAEEQKTSGVL >ENSMUSP00000082891.1 pep:known chromosome:GRCm38:12:9029997:9036394:1 gene:ENSMUSG00000066637.1 transcript:ENSMUST00000085741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc32 description:tetratricopeptide repeat domain 32 [Source:MGI Symbol;Acc:MGI:1922766] MALRPGREGGESSAALATAQARFSRGEFAEARELYSAFIGQCARHGSKCSPEDLATAYNN RGQTKYFSVDFYEAMDDYTSAIEILPSFEVPYYNRGLIRYRLGYFDEALEDFKKALDLNP GFQDAVLSLKQTILDKEEKQRRNAEKSY >ENSMUSP00000100891.2 pep:known chromosome:GRCm38:10:126978717:126999975:1 gene:ENSMUSG00000078429.8 transcript:ENSMUST00000105256.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdsp2 description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase 2 [Source:MGI Symbol;Acc:MGI:1098748] MEHGSIITQARREDALVLTKQGLVSKSSPKKPRGRSIFKALLCCFHTQHVVQSSSSTELT HKEEANTIAKSDLLQCLQYQFYQIPGTCLLPEVTEQDQGRICVVIDLDETLVHSSFKPIN NADFIVPVEIEGTTHQVYVLKRPYVDEFLRRMGELFECVLFTASLAKYADPVTDLLDRCG VFRARLFREACVFHQGCYVKDLSRLGRDLRKTVILDNSPASYIFHPENAVPVQSWFDDMA DTELLNLIPVFEELSGTDDVYTSLGQLRAP >ENSMUSP00000100890.2 pep:known chromosome:GRCm38:10:126995613:126999975:1 gene:ENSMUSG00000078429.8 transcript:ENSMUST00000105255.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdsp2 description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase 2 [Source:MGI Symbol;Acc:MGI:1098748] MGELFECVLFTASLAKYADPVTDLLDRCGVFRARLFREACVFHQGCYVKDLSRLGRDLRK TVILDNSPASYIFHPENAVPVQSWFDDMADTELLNLIPVFEELSGTDDVYTSLGQLRAP >ENSMUSP00000042185.9 pep:known chromosome:GRCm38:10:127514939:127517152:1 gene:ENSMUSG00000040280.9 transcript:ENSMUST00000035735.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa4l2 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 4-like 2 [Source:MGI Symbol;Acc:MGI:3039567] MAGTSLGTRFYRQIKRHPGLIPMIGFICLGMGSAGLYLLRLALRSPDVCWDRKNNPEPWN RLSPNDQYKFLAVSTDYKKLKKDRPDF >ENSMUSP00000043279.7 pep:known chromosome:GRCm38:10:110941782:111010066:-1 gene:ENSMUSG00000035798.13 transcript:ENSMUST00000041723.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc17 description:zinc finger, DHHC domain containing 17 [Source:MGI Symbol;Acc:MGI:2445110] MQREEGFNTKMADGPDEYETETGCVPLLHPEEIKPQSHYNHGYGEPLGRKTHIDDYSTWD IVKATQYGIYERCRELVEAGYDVRQPDKENVTLLHWAAINNRIDLVKYYISKGAIVDQLG GDLNSTPLHWATRQGHLSMVVQLMKYGADPSLIDGEGCSCIHLAAQFGHTSIVAYLIAKG QDVDMMDQNGMTPLMWAAYRTHSVDPTRLLLTFNVSVNLGDKYHKNTALHWAVLAGNTTV ISLLLEAGGNVDAQNVKGESALDLAKQRKNVWMINHLQEARQAKGYDNPSFLRKLKADKE FRQKVMLGTPFLVIWLVGFIADLDIDSWLIKGLMYGGVWATVQFLSKSFFDHSMHSALPL GIYLATKFWMYVTWFFWFWNDLNFLFIHLPFLANSVALFYNFGKSWKSDPGIIKATEEQK KKTIVELAETGSLDLSIFCSTCLIRKPVRSKHCGVCNRCIAKFDHHCPWVGNCVGAGNHR YFMGYLFFLLFMICWMIYGCVSYWGLHCETTYTKDGFWTYITQIATCSPWMFWMFLNSVF HFLWVAVLLMCQLYQITCLGITTNERMNARRYKHFKVTTTSIESPFNHGCVRNIIDFFEF RCCGLFRPVIVDWTRQYTIEYDQISGSGYQLV >ENSMUSP00000096889.3 pep:known chromosome:GRCm38:10:110962003:111010003:-1 gene:ENSMUSG00000035798.13 transcript:ENSMUST00000099285.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc17 description:zinc finger, DHHC domain containing 17 [Source:MGI Symbol;Acc:MGI:2445110] MADGPDEYETETGCVPLLHPEEIKPQSHYNHGYGEPLGRKTHIDDYSTWDIVKATQYGIY ERCRELVEAGYDVRQPDKENVTLLHWAAINNRIDLVKYYISKGAIVDQLGGDLNSTPLHW ATRQGHLSMVVQLMKYGADPSLIDGEGCSCIHLAAQFGHTSIVAYLIAKGQDVDMMDQNG MTPLMWAAYRTHSVDPTRLLLTFNVSVNLGDKYHKNTALHWAVLAGNTTVISLLLEAGGN VDAQNVKAILRCHMAL >ENSMUSP00000109630.2 pep:known chromosome:GRCm38:19:3454933:3575749:-1 gene:ENSMUSG00000024908.14 transcript:ENSMUST00000113997.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp6r3 description:protein phosphatase 6, regulatory subunit 3 [Source:MGI Symbol;Acc:MGI:1921807] MFWKFDLHSSSHIDTLLEREDVTLKELMDEEDVLQECKAQNRKLIEFLLKAECLEDLVSF IIEEPPQDMDEKIRYKYPNISCELLTSDVSQMNDRLGEDESLLMKLYSFLLNESPLNPLL ASFFSKVLSILISRKPEQIVDFLKKKRDFVDLIIKHIGTSAIMDLLLRLLTCIEPPQPRQ DVLNWLNEERIIQRLVEIVHPSQEEDRHSNASQSLCEIVRLSRDQMLQVQNSTEPDPLLA TLEKQEIIEQLLSNIFHKEKNESAIVSAIQILLTLLETRRPTFEGHIEICPPGMSHSACS VNKSVLEAIRGRLGSFHELLLEPPKKSVMKTTWGILDPPVGNTRLNVIRLISSLLQTNTS SINGDLMELNSIGVILDMFFKYTWNNFLHTQVEICIALILASPFENAENGTITDQDSTGD NLLLKHLFQKCQLIERILEAWDTNEKKQAEGGRRHGYMGHLTRIANCIVHSTDKGPNSAL VQQLIKDLPDEVRERWETFCTNSLGETNKRNTVDLVTTCHIHSSSDDEIDFKDTGFSQDS SLQQAFSDYQMQQMTSNFIDQFGFNDEKFADQDDIGNVSFDRVSDINFTLNTNESGNIAL FEACCKERIQQFDDGGSDEEDIWEEKHIAFTPESQRRSSSGSTDSEESTDSEEEDGAKQD LFESSSANTEDKMEVDLNEPPTWSANFDVPMETTHGAPLDSVGSDVWSTEEPMPTKETGW ASFSEFTSSLSTKESLRSNSPVEMETSTESVDPLTPGAAALATQPEAPGSMAMEASSDGE EDAESTDKVTETVMNGGMKETLSLTVDAKTETAVFKSEEEKLSTSQDAACKDAEETPEPA EAKCTAPLTPSSSPEQRTDQPSMPSDPSVNGPV >ENSMUSP00000025846.8 pep:known chromosome:GRCm38:19:3454933:3575749:-1 gene:ENSMUSG00000024908.14 transcript:ENSMUST00000025846.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp6r3 description:protein phosphatase 6, regulatory subunit 3 [Source:MGI Symbol;Acc:MGI:1921807] MFWKFDLHSSSHIDTLLEREDVTLKELMDEEDVLQECKAQNRKLIEFLLKAECLEDLVSF IIEEPPQDMDEKIRYKYPNISCELLTSDVSQMNDRLGEDESLLMKLYSFLLNESPLNPLL ASFFSKVLSILISRKPEQIVDFLKKKRDFVDLIIKHIGTSAIMDLLLRLLTCIEPPQPRQ DVLNWLNEERIIQRLVEIVHPSQEEDRHSNASQSLCEIVRLSRDQMLQVQNSTEPDPLLA TLEKQEIIEQLLSNIFHKEKNESAIVSAIQILLTLLETRRPTFEGHIEICPPGMSHSACS VNKSVLEAIRGRLGSFHELLLEPPKKSVMKTTWGILDPPVGNTRLNVIRLISSLLQTNTS SINGDLMELNSIGVILDMFFKYTWNNFLHTQVEICIALILASPFENAENGTITDQDSTGD NLLLKHLFQKCQLIERILEAWDTNEKKQAEGGRRHGYMGHLTRIANCIVHSTDKGPNSAL VQQLIKDLPDEVRERWETFCTNSLGETNKRNTVDLAFSDYQMQQMTSNFIDQFGFNDEKF ADQDDIGNVSFDRVSDINFTLNTNESGNIALFEACCKERIQQFDDGGSDEEDIWEEKHIA FTPESQRRSSSGSTDSEESTDSEEEDGAKQDLFESSSANTEDKMEVDLNEPPTWSANFDV PMETTHGAPLDSVGSDVWSTEEPMPTKETGWASFSEFTSSLSTKESLRSNSPVEMETSTE SVDPLTPGAAALATQPEAPGSMAMEASSDGEEDAESTDKVTETVMNGGMKETLSLTVDAK TETAVFKSEEEKLSTSQDAACKDAEETPEPAEAKCTAPLTPSSSPEQRTDQPSMPSDPSV NGPV >ENSMUSP00000131084.1 pep:known chromosome:GRCm38:19:3454933:3575749:-1 gene:ENSMUSG00000024908.14 transcript:ENSMUST00000172362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp6r3 description:protein phosphatase 6, regulatory subunit 3 [Source:MGI Symbol;Acc:MGI:1921807] MFWKFDLHSSSHIDTLLEREDVTLKELMDEEDVLQECKAQNRKLIEFLLKAECLEDLVSF IIEEPPQDMDEKIRYKYPNISCELLTSDVSQMNDRLGEDESLLMKLYSFLLNESPLNPLL ASFFSKVLSILISRKPEQIVDFLKKKRDFVDLIIKHIGTSAIMDLLLRLLTCIEPPQPRQ DVLNWLNEERIIQRLVEIVHPSQEEDRHSNASQSLCEIVRLSRDQMLQVQNSTEPDPLLA TLEKQEIIEQLLSNIFHKEKNESAIVSAIQILLTLLETRRPTFEGHIEICPPGMSHSACS VNKSVLEAIRGRLGSFHELLLEPPKKSVMKTTWGILDPPVGNTRLNVIRLISSLLQTNTS SINGDLMELNSIGVILDMFFKYTWNNFLHTQVEICIALILASPFENAENGTITDQDSTGD NLLLKHLFQKCQLIERILEAWDTNEKKQAEGGRRHGYMGHLTRIANCIVHSTDKGPNSAL VQQLIKDLPDEVRERWETFCTNSLGETNKRNTVDLFGFNDEKFADQDDIGNVSFDRVSDI NFTLNTNESGNIALFEACCKERIQQFDDGGSDEEDIWEEKHIAFTPESQRRSSSGSTDSE ESTDSEEEDGAKQDLFESSSANTEDKMEVDLNEPPTWSANFDVPMETTHGAPLDSVGSDV WSTEEPMPTKETGWASFSEFTSSLSTKESLRSNSPVEMETSTESVDPLTPGAAALATQPE APGSMAMEASSDGEEDAESTDKVTETVMNGGMKETLSLTVDAKTETAVFKSEEEKLSTSQ DAACKDAEETPEPAEAKCTAPLTPSSSPEQRTDQPSMPSDPSVNGPV >ENSMUSP00000021666.4 pep:known chromosome:GRCm38:12:84602531:84617466:-1 gene:ENSMUSG00000021240.5 transcript:ENSMUST00000021666.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcd4 description:ATP-binding cassette, sub-family D (ALD), member 4 [Source:MGI Symbol;Acc:MGI:1349217] MAVPGPTARAGARPRLDLQLVQRFVRIQKVFFPSWSSQNVLMFMTLLCVTLLEQLVIYQV GLIPSQYYGVLGNKDLDGFKALTLLAVTLIVLNSTLKSFDQFTCNLLYVSWRKDLTEHLH HLYFRARVYYTLNVLRDDIDNPDQRISQDVERFCRQLSSVTSKLIISPFTLTYYTYQCFQ STGWLGPVSIFGYFIVGTMVNKTLMGPIVTKLVQQEKLEGDFRFKHMQIRVNAEPAAFYR AGLVEHMRTDRRLQRLLQTQRELMSRELWLYIGINTFDYLGSILSYVVIAIPIFSGVYGD LSPTELSTLVSKNAFVCIYLISCFTQLIDLSTTLSDVAGYTHRIGELQEALLDMSRKSQD CEALGESEWDLDKTPGCPTTEPSDTAFLLDRVSILAPSSDKPLIKDLSLKICEGQSLLIT GNTGTGKTSLLRVLGGLWEGMKGSVQMLADFGPHGVLFLPQKPFFTDGTLREQVIYPLKE IYPDSGSADDERIVRFLELAGLSSLVARTGGLDQQVDWNWYDVLSPGEMQRLSFARLFYL QPKYAVLDEATSALTEEAESELYRIGQQLGMTFISVGHRPSLEKFHSWVLRLHGGGSWEL TRIKLE >ENSMUSP00000036082.8 pep:known chromosome:GRCm38:12:13269127:13583810:1 gene:ENSMUSG00000020576.9 transcript:ENSMUST00000042953.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nbas description:neuroblastoma amplified sequence [Source:MGI Symbol;Acc:MGI:1918419] MAAPESAPALSPGSADEEETILYDLLVNTEWPPETEVQLRGNRKHGASFIITKAIRDHLL FLRQYIWYSPAPFLLPDGLVRLVNKQINWHLVLANNGKLLAAVQDQCVEIRSAKDDFTSI IGKCQVPKDPKPQWRRVAWSYDCTLLAYAESTGTVRVFDLMGSELFVIAPASVFAGDLSY AIAGLVFLEYKASAQWSAELLVINYRGELRSYLVSVGTNQSYQESHSFSFSGHYPHGINT AIYHPGHRLLLVGGCETAELGISKAASCGLSAWRVLSGSPYYKQVTNGGDRVTEVPKTLG LLKLLSVKLSPRLGQEQDGIFKMGLSPDGSLLAAIHFSGKLSIWAIPSLKQQGVWRQDEQ PGYNELNPDWRLSPEKRKKVKDKESFYPLIDVNWWADGAVTLARCSGALTVSSAKSLKNL LGKSCEWFEPSPQVTATHDGGFLSLECEIKLAPKRSRVEMRAGEEDEGEVDSDSDPETSA KARYFGYIKQGLYLVTEMERFAPPRKRPRTITKNYRLVSLRSTTPEELYQRKIDSEDYEE ALCLAHTYGLDTDLVYQRQWRKSAVNSASIHNYLSKIKKRSWVLHECLERVPENVDAAKE LLQYGLKGTDLEALVAIGKGVDDGRFTLPGDIDIDSIAYEELSPADKEPSKSKRQEELKK RRDLLKLVNFSKLTLEQKELCRCRLKLLTFLDRLATYEEILGAPHASEQRYDAEFFKKFR SQNIVLSARTYARESNVQALEILLTYHGSHLLPHRLAILSNFPETTSPHEYAVLLPEACW NNDSLTIIPWAEHKHRAEDWCEELECRMVVEPSLQDDSEFLYAAQPELLQYRTPQLTVEK VMAWYQSRAEDIEHHAGQVDCALSLVRLGVERHIPGLLTLCDDLVTLETLVYEAGCDFTL TLKDLQQMKDFEKLRLLMEHCSEDNYVTSAYQWMVPFLHRCEKQSPGAANELLREYLVTL AKGDLKLPLKIFQHSKPDLQQKIIPDEDQLMAIALECIYNCERSDQLSLCYDILECLPQR GCGHNTKVTSSLHDMVDQLEKILSVSELLKTHGLEKPVSFVKNTQSSSEEARSLMVRLTR HTGRKQPSVGESHWRMLLQDMLTMQQHVYTCLDSSACYEIFTESLLCSSRLENIHLAGQM MQCSACLDNPPAGALHKGRAQYRVSYERSIDLVLAASREYFNSSTNLTDSCMDLARCCLQ LITDRPAAIQEELDLIQALGYLEEFGVKTLPLQVRLCSDRISLIKECVSQSPTCYKQSAK LLGLAELLRVAGEDPEGRRGQVLILLVEQALHVHDYKVASMYCQQLMAAGYPESWAVCSQ LGQSEGYQDLATRQELMAFALTHCPPSSIELLLEASSSLQTEILYQRVNFEIHPEGENIS ASPLPVKVLQEDAAALGSSSADLLHWTTATTMKVLSNTTTTTKAVLQAVSDGQWWKKSLA YLRPYQGQEFGRAYRIGIVANDDLERQGCHPFYESVIANPFVTEVPVESFAEVLLRTGKL TEAQTQVFPTTEVLLQLASDALPNDMTLALAYLLALPQVLDANKCFEKQAHSALSLQLAA YYYSLQIYARLAPCFRDKCHPLYRVDPKELIKMVTGHVAQHGHEGWPEDLLSLSRQLHYY SERLLDFTQAQLLQGLRKGVDVQRFTADDQYKRETILGLAESLEENVYSIALSLAQRYSI SQWEVFMTHLEFLFSESGLSTGEIEKRAQTLHLFDTLKTDPESFHKHMVKYIYPTIEGLD HERLLYYFTLLESCGCANFETTAIKPEIHIRLLKKFKVVASGLNYKKLTDKSEDALEALE PVLTSQNILSISKLAPRIPGKHGQMLSPSSLYTVWLQKLFWAGDPHLIKQAPESSAEWLH ACDVCLKYFDRLCPDDLITVMDAITFSPKAVSKLSVEAREEMTRKAIKTIKHFIEKPRKR NSEEDPQEGRGSQATYPDALAHLETSLAHLETLSHSFILSLRNSEQEILQKYSYFYDLSR SEKEKIHDQAVAMCLDGQPLRMIQQLLEVAIGPVNISPKDIVHTAITQIISALSGGSADL CGSRDPLQVLEGVVGAVRTSVDNGEELVSAEDVLQWLRPFCAEDTYPVRPRIQVLQLLGQ SFHLSEEDGKLLVFFRTEAILRAAWPQRQVDIADIENEENRHTLFSELLESSHREVEFQH LILLLQAWPPMKSECVLANNPWVRLVTAMLTRCTEENKQSLGDEVLKICRSLYNTTQMLP VEGVKELCLLLLHQSLLLPSLKLLLESGEESLQAMALEQISAVTKVNDSNCDQELLSLLV DARLLVKCVSTPFYPHIVGHLVANNQQGRWNIEELARHLQEAGHEVEAGSLLLAVQGTHR VFRTFSIALSAVRQWV >ENSMUSP00000105563.1 pep:known chromosome:GRCm38:12:104763114:104865076:-1 gene:ENSMUSG00000021097.14 transcript:ENSMUST00000109937.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clmn description:calmin [Source:MGI Symbol;Acc:MGI:2136957] MAAQEWDWFQREELIGQISDIRVQNLQVERENVQKRTFTRWINLHLEKCDPPLEVTDLFV DIQDGKILMALLEVLSGRNLLHEYKSSSHRIFRLNNIAKALKFLEDSNVKLVSIDAAEIA DGNPSLVLGLIWNIILFFQIKELTGNLSRSSPSSSLSPGSGGTDSDSSYPPTPTTERSVA VAVKDQRKAIKTLLSWVQRKTRKYGVAVQDFAGSWRSGLAFLAVIKAIDPSLVDMKQALE DSTRDNLEKAFSIAHDSLHIPRLLEPEDIMVDMPDEQSIVTYVAQFLERFPELEPEDFVN PDKEAPIESTFVRIKESPSEQGSRVLLLSENGERAYTVNQETSYPPPDKVFVCDQLESPT GFCLDSAPSHKLSDSSTEFMHEIIDQVLQGSTGKTGSIAEPTPESSILSTRKDGRRSNSL PVKKTVHFEADLHKDASCSKDPFYSSDFRFEGSPKATKELSKQDGHVSLAEVSKEKKKSE QEARLVLEAASDKVPESTVDGLDAVPQDAQPSQDSSFCNGTVESPSSQGEKGPPPSSPGD HTLLANSTELKVQLLTVEPMDKEDYFECIPLKASKFNRDLVDFASTSQAFGEDPSSHEKT RGEEEGSENHAEKPGKRKSKSPRAETEAAESRLEPKKLEPPPKDPEQEDQGHALPPETPA DKKPKVYEKAKRKSTRHHSEEEGEAESGFSAVCEEEIPSAPPSTSVSLETLRSHSEEGLD FKPSPPLSKISVIPHDLFYYPHYEVPLAAVLEAYAEGGEDLKSEDTDLEHPEDSYLQDSR EEEADEDEEEAQSSQSSCSFSLPVDNSYPSVSEHVSHVDGSSEGPTSALGPGSPPSHEDH QPKETKENGPVESQQSQEPPNPELPTKPLEEKLTEASTSSKKKEKRKHMDHVESSLFIAP GTVRSSDDLEENSSEHKVPSRNSHSDSSIYIRRHTNRSLELDHFSYVQLRNAADLDDRRN RVLNRYNSQKLTELILQFYGIRADMKREYKHARLSMTGTNSSGEAVPLGNQSPPNDSLTQ FVQQPDVIYFILFLWLLVYCLLLFPQLDVSRL >ENSMUSP00000105562.1 pep:known chromosome:GRCm38:12:104763114:104865076:-1 gene:ENSMUSG00000021097.14 transcript:ENSMUST00000109936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clmn description:calmin [Source:MGI Symbol;Acc:MGI:2136957] MAAQEWDWFQREELIGQISDIRVQNLQVERENVQKRTFTRWINLHLEKCDPPLEVTDLFV DIQDGKILMALLEVLSGRNLLHEYKSSSHRIFRLNNIAKALKFLEDSNVKLVSIDAAEIA DGNPSLVLGLIWNIILFFQIKELTGNLSRSSPSSSLSPGSGGTDSDSSYPPTPTTERSVA VAVKDQRKAIKTLLSWVQRKTRKYGVAVQDFAGSWRSGLAFLAVIKAIDPSLVDMKQALE DSTRDNLEKAFSIAHDSLHIPRLLEPEDIMVDMPDEQSIVTYVAQFLERFPELEPEDFVN PDKEAPIESTFVRIKESPSEQGSRVLLLSENGERAYTVNQETSYPPPDKVFVCDQLESPT GFCLDSAPSHKLSDSSTEFMHEIIDQVLQGSTGKTGSIAEPTPESSILSTRKDGRRSNSL PVKKTVHFEADLHKDASCSKDPFYSSDFRFEGSPKATKELSKQDGHVSLAEVSKEKKKSE QEARLVLEAASDKVPESTVDGLDAVPQDAQPSQDSSFCNGTVESPSSQGEKGPPPSSPGD HTLLANSTELKVQLLTVEPMDKEDYFECIPLKASKFNRDLVDFASTSQAFGEDPSSHEKT RGEEEGSENHAEKPGKRKSKSPRAETEAAESRLEPKKLEPPPKDPEQEDQGHALPPETPA DKKPKVYEKAKRKSTRHHSEEEGEAESGFSAVCEEEIPSAPPSTSVSLETLRSHSEEGLD FKPSPPLSKISVIPHDLFYYPHYEVPLAAVLEAYAEGGEDLKSEDTDLEHPEDSYLQDSR EEEADEDEEEAQSSQSSCSFSLPVDNSYPSVSEHVSHVDGSSEGPTSALGPGSPPSHEDH QPKETKENGPVESQQSQEPPNPELPTKPLEEKLTEASTSSKKKEKRKHMDHVESSLFIAP GTVRSSDDLEENSSEHKVPSRNSHSDSSIYIRRHTNRSLELDHFSYVQLRNAADLDDRRN RVLNRTGTNSSGEAVPLGNQSPPNDSLTQFVQQPDVIYFILFLWLLVYCLLLFPQLDVSR L >ENSMUSP00000049460.5 pep:known chromosome:GRCm38:12:79029163:79081648:1 gene:ENSMUSG00000060716.6 transcript:ENSMUST00000039928.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekhh1 description:pleckstrin homology domain containing, family H (with MyTH4 domain) member 1 [Source:MGI Symbol;Acc:MGI:2144989] MAEVKVEVASIDWQKRCLSLETQLFRFRLQASKIRELLADKMQELEQRLLEAEQRAENAE TQVGVMEEKIKLSNLKSVDSTGTLHQKYQELLRAVQGKDELISQLQAQLEKQKQTRAEEA KIVQEKAAKIKEWVTVKLAELEMENQQLKTCNQQLVEQVAALQDALEDLRMTPSEELLVV PEGTPERDPVPSGPSDQPVEQDSNPHTQILKVAVPTPSLGTLQSRDSLSEARSLEDLRFS MVHPGETAEAKTLQSHLQKEGSPSQLCMKPGNPKHGSASYRESLVTAQGGTFPGTKTSAR EGGPGSSLTLPKVRAPSIPRDSFQVAKRHHSQPQVGPGHCDHVVSIEIGALSALHSPGSS KSEARAKVREEAEKMEMEALPPSGKQEERESLKSRRGELEDVELENKPPTPPLHRFPSWE SRIYAMATSGMQLSEVSSRRSNAACYASGPSALAFPGAFSGLVYKNVTVPVYTALKGKAT QISNVPFVDESSGSDDDCGSQASFRMSVPCSEYRKTSGLGSPRAIKRGVSMSSLSSEGDY AIPPDACSLDSDYSEPEHKLQRTSSYSTDGEALEKSGYLLKMGSRVKTWKRRWFVLRQGQ ILYYKSPSDVIRKPQGQVDLNSHCQIVREEEAQTFQLISGNKTYYLTAESPSLLEEWIRV LQSLLKVQVTGPPALHQGGTKPTVKGWLTKVKHGHSKLVWCALVGKTFYYYRSHEDKRPL GCLPVQDAHIEEVDRSCDSDEDYEAGGTGRLLSSHCTLVIHPPEHSPTYLLIGTKHEKDT WLYHLTVAAGGSNAKVGTVYEQLIGKLMDGEGNPDSPLWRHPMLCYSQEGLCASLTTLPS EALQTEALKLFKSCQLFINVPVEAASVDYHVSLAQTALQVCLVHPELQSEIYCQLMKQIS CRPPQKYSLMQCWQLLALCAPLFLPQHHFLWYVKQQLQRHADPRSETGQYAIYCQRAVER TLQTGEREARPSRMEVVSILLRNPFHHSLPFSIPVHFANGTYQVVGFDGSSTVDEFLQRL NQETGMRKPSQSGFALFTDDPSGRDLEHCLQGRVKICDAISKWEQTLKELHPGKSEGGTR VVKLMYKNRLYFRSQVKGETERERLLLAFQASGEIVAGRFPVTKELALEMAALMAQVEYG DLEKPTLPGPGGTPPTKAQHLLQQVLDRFYPRRYRNGAPPEQLRHLADMMATKWAALQGC SPPECIRIYLTVARKWPLFGAKLFAAQPAQLSPKENTVVWIAVNEDGVSILDHRTMQVNI TYPYSSVTTFGGCRDDFMLVIRSIPDQSSGKTRIDKLTFRMPAPKITETTLMMASYMNHC SATVNLSAKLPAARQPRDLDGQFFASVSCTKGSALL >ENSMUSP00000058279.4 pep:known chromosome:GRCm38:8:126422501:126425434:1 gene:ENSMUSG00000051671.6 transcript:ENSMUST00000059093.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coa6 description:cytochrome c oxidase assembly factor 6 [Source:MGI Symbol;Acc:MGI:1915142] MAAPSMKERQACWGARDLYWRCLDDNAEDAARCQKLRSSFEASCPQQWIKYFDKRRDYLK FKEKFEAGGFQSSQSTENS >ENSMUSP00000071508.6 pep:known chromosome:GRCm38:12:88175589:88181894:-1 gene:ENSMUSG00000066027.6 transcript:ENSMUST00000071580.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10436 description:predicted gene 10436 [Source:MGI Symbol;Acc:MGI:3704393] MIHSKERKYRLGLLEPGVTAFPCPNMVICLHCPDQDDSLEEVTEECYSPPTLQNLAIQSL LRDEALAISALTDLPQSLFPVIFEEAFTDGHIGILKAMIPVWPFPYLSLGKQINNCNLET LKAMLEGLDILLAQKVQTSRCKLRVINWREDDLKIWAGSHEGEGLPDFRTEKQPIENSPG CEVKKELKVTTEVLRMKGRLDESTTYLLQWAQQRKDSIHLFCRKLLIEGLTKASVIEIFK TVHADCIQELILRCICIEELAFLNPYLKLMKSLFTLTLDHIIGTFTLGDSEKLDEETIFS LISQLPTLHCLQKLYINDVPFIKGNLKEYLRCLKKPLETLCISNCDLSQSDLDCLPFCLN ICELKHLHISDIYLCDLLLEPLGFLLERVGDTLKTLELVSCCIVDFQFSALLPALSQCSH LREVTFYDNDVSLPFLKQLLHHTALLSQLIYECYPAPIECYDDSGVILTHRLESFCPELL DILRAKRQLHSVSFQTTKCSKCGGCYIYDRHTQCCRFVEL >ENSMUSP00000014578.5 pep:known chromosome:GRCm38:17:12378609:12419384:1 gene:ENSMUSG00000059481.4 transcript:ENSMUST00000014578.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plg description:plasminogen [Source:MGI Symbol;Acc:MGI:97620] MDHKEVILLFLLLLKPGQGDSLDGYISTQGASLFSLTKKQLAAGGVSDCLAKCEGETDFV CRSFQYHSKEQQCVIMAENSKTSSIIRMRDVILFEKRVYLSECKTGIGNGYRGTMSRTKS GVACQKWGATFPHVPNYSPSTHPNEGLEENYCRNPDNDEQGPWCYTTDPDKRYDYCNIPE CEEECMYCSGEKYEGKISKTMSGLDCQAWDSQSPHAHGYIPAKFPSKNLKMNYCRNPDGE PRPWCFTTDPTKRWEYCDIPRCTTPPPPPSPTYQCLKGRGENYRGTVSVTVSGKTCQRWS EQTPHRHNRTPENFPCKNLEENYCRNPDGETAPWCYTTDSQLRWEYCEIPSCESSASPDQ SDSSVPPEEQTPVVQECYQSDGQSYRGTSSTTITGKKCQSWAAMFPHRHSKTPENFPDAG LEMNYCRNPDGDKGPWCYTTDPSVRWEYCNLKRCSETGGSVVELPTVSQEPSGPSDSETD CMYGNGKDYRGKTAVTAAGTPCQGWAAQEPHRHSIFTPQTNPRAGLEKNYCRNPDGDVNG PWCYTTNPRKLYDYCDIPLCASASSFECGKPQVEPKKCPGRVVGGCVANPHSWPWQISLR TRFTGQHFCGGTLIAPEWVLTAAHCLEKSSRPEFYKVILGAHEEYIRGLDVQEISVAKLI LEPNNRDIALLKLSRPATITDKVIPACLPSPNYMVADRTICYITGWGETQGTFGAGRLKE AQLPVIENKVCNRVEYLNNRVKSTELCAGQLAGGVDSCQGDSGGPLVCFEKDKYILQGVT SWGLGCARPNKPGVYVRVSRFVDWIEREMRNN >ENSMUSP00000058167.1 pep:known chromosome:GRCm38:7:102984221:102985162:-1 gene:ENSMUSG00000045792.1 transcript:ENSMUST00000056235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr578 description:olfactory receptor 578 [Source:MGI Symbol;Acc:MGI:3030412] MAILYNSSLQKATFFLTGFQGLEEFHGWISIPFCSIYLIVILGNLTILHVIRTDATLHEP MYYFLAMLALTDLGLCLSTLPTVLGIFWFDAREIGIPACFTQLFFIHTLSLVESSVLLSM SFDRYVAICNPLRYSTILTPRRIVKMGLSSVLRSALLILPLPFLLKRFHYCRSHVLAHAY CLHLEIMKLACSSIIVNHIYGLFVVACTVGVDSLLIFLSYTLILHAVLGKASRQERLRAL NTCISHICAVLLFYIPMIGLSLVHRFGEHLPRIVHLLMSYVYLLVPPLMNPIVYSIKTKQ IRQRIIKKFEFIK >ENSMUSP00000132416.1 pep:known chromosome:GRCm38:5:106609098:106609201:1 gene:ENSMUSG00000091096.1 transcript:ENSMUST00000167618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17304 description:predicted gene, 17304 [Source:MGI Symbol;Acc:MGI:4936938] SLRSRPAWSTESSREAVVAEAAS >ENSMUSP00000062992.7 pep:known chromosome:GRCm38:19:4984355:4989971:-1 gene:ENSMUSG00000045903.8 transcript:ENSMUST00000056129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npas4 description:neuronal PAS domain protein 4 [Source:MGI Symbol;Acc:MGI:2664186] MYRSTKGASKARRDQINAEIRNLKELLPLAEADKVRLSYLHIMSLACIYTRKGVFFAGGT PLAGPTGLLSAQELEDIVAALPGFLLVFTAEGKLLYLSESVSEHLGHSMVDLVAQGDSIY DIIDPADHLTVRQQLTMPSALDADRLFRCRFNTSKSLRRQSSGNKLVLIRGRFHAHPPGA YWAGNPVFTAFCAPLEPRPRPGPGPGPGPGPASLFLAMFQSRHAKDLALLDVSESVLIYL GFERSELLCKSWYGLLHPEDLAQASSQHYRLLAESGDIQAEMVVRLQAKHGGWTWIYCML YSEGPEGPFTANNYPISDTEAWSLRQQLNSEDTQAAYVLGTPAVLPSFSENVFSQEQCSN PLFTPSLGTPRSASFPRAPELGVISTPEELPQPSKELDFSYLPFPARPEPSLQADLSKDL VCTPPYTPHQPGGCAFLFSLHEPFQTHLPPPSSSLQEQLTPSTVTFSEQLTPSSATFPDP LTSSLQGQLTESSARSFEDQLTPCTSSFPDQLLPSTATFPEPLGSPAHEQLTPPSTAFQA HLNSPSQTFPEQLSPNPTKTYFAQEGCSFLYEKLPPSPSSPGNGDCTLLALAQLRGPLSV DVPLVPEGLLTPEASPVKQSFFHYTEKEQNEIDRLIQQISQLAQGVDRPFSAEAGTGGLE PLGGLEPLNPNLSLSGAGPPVLSLDLKPWKCQELDFLVDPDNLFLEETPVEDIFMDLSTP DPNGEWGSGDPEAEVPGGTLSPCNNLSPEDHSFLEDLATYETAFETGVSTFPYEGFADEL HQLQSQVQDSFHEDGSGGEPTF >ENSMUSP00000065835.8 pep:known chromosome:GRCm38:15:103153307:103158002:-1 gene:ENSMUSG00000036061.9 transcript:ENSMUST00000064067.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smug1 description:single-strand selective monofunctional uracil DNA glycosylase [Source:MGI Symbol;Acc:MGI:1918976] MAASQTFPLGPTHEPASALMEPLPCTRSLAEGFLEEELRLNAELSQLQFPEPVGVIYNPV DYAWEPHRNYVTRYCQGPKEVLFLGMNPGPFGMAQTGVPFGEVNVVRDWLGVGGPVLTPP QEHPKRPVLGLECPQSEVSGARFWGFFRTLCGQPQVFFRHCFVHNLCPLLFLAPSGRNLT PAELPAKQREQLLSICDAALCRQVQLLGVRLVVGVGRLAEQRARRALAGLTPEVQVEGLL HPSPRSAQANKGWEAAARERLQELGLLPLLTDEGSARPT >ENSMUSP00000006027.5 pep:known chromosome:GRCm38:18:34344889:34373415:-1 gene:ENSMUSG00000005873.5 transcript:ENSMUST00000006027.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep5 description:receptor accessory protein 5 [Source:MGI Symbol;Acc:MGI:1270152] MSAAMRERFDRFLHEKNCMTDLLAKLEAKTGVNRSFIALGVIGLVALYLVFGYGASLLCN LIGFGYPAYISMKAIESPNKDDDTQWLTYWVVYGVFSIAEFFSDLFLSWFPFYYMLKCGF LLWCMAPSPANGAEMLYRRIIRPIFLKHESQVDSVVKDVKDKAKETADAISKEVKKATVN LLGDEKKST >ENSMUSP00000005798.8 pep:known chromosome:GRCm38:12:54746349:54795703:-1 gene:ENSMUSG00000005656.8 transcript:ENSMUST00000005798.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx6 description:sorting nexin 6 [Source:MGI Symbol;Acc:MGI:1919433] MMEGLDDGPDFLSEEDRGLKAINVDLQSDAALQVDISDALSERDRVKFTVHTKSSLPNFK QNEFSVVRQHEEFIWLHDSFVENEDYAGYIIPPAPPRPDFDASREKLQKLGEGEGSMTKE EFTKMKQELEAEYLAIFKKTVAMHEVFLCRVAAHPILRKDLNFHVFLEYNQDLSVRGKNK KEKLEDFFKNMVKSADGVIVSGVKDVDDFFEHERTFLLEYHNRVKDASAKSDRMTRSHKS AADDYNRIGSSLYALGTQDSTDICKFFLKVSELFDKTRKIEARVSADEDLKLSDLLKYYL RESQAAKDLLYRRSRSLVDYENANKALDKARAKNKDVLQAETSQQLCCQKFEKISESAKQ ELIDFKTRRVAAFRKNLVELAELELKHAKGNLQLLQNCLAVLNGDT >ENSMUSP00000025924.2 pep:known chromosome:GRCm38:19:7356464:7383026:-1 gene:ENSMUSG00000024970.4 transcript:ENSMUST00000025924.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI846148 description:expressed sequence AI846148 [Source:MGI Symbol;Acc:MGI:2147611] MALKAKGAAALDCFEVTLKCEEGDDDDEAVVVAVIPRPEPMLRVTQQEKTPPPRPNLLEA GVEGCEELKQQVSWEQEFLVGNSPGGSGRALCMVCGAEIRSPSADTARAHILEQHPHTLD LSPSEKSNILEAWSEGVALLQDIQADQPSLPSLESGQDGQPDPISNPDPVRMPAEIVVLL DSEDNPSLPKRLRPRGLRPLELPVTPVIEQGNKKPRGQRWKESPENEPARKKRSRHMTKI LDPDPDPPSPESPTETFAAPAEVRHFTDGSFPPGFVLQLFSHTQLRTTDCKDSSKDSRAA EGLPQPQNPSSASPPGLRGTLDLQVIRVRMEEPPAVSLLQDWSKHPQGTKGVGTGDKPDW PTVLSESSATVKGQPKAGSGV >ENSMUSP00000021558.6 pep:known chromosome:GRCm38:12:80518990:80603896:1 gene:ENSMUSG00000021130.7 transcript:ENSMUST00000021558.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt16 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 16 [Source:MGI Symbol;Acc:MGI:1917754] MRKIRANAIAILTVAWILGTFYYLWQDNRAHAASSSGRGAQRAGGRPEQLREDRTIPLIV TGTPSKGFDEKAYLSAKQLKPGEDPYRQHAFNQLESDKLSSDRPIRDTRHYSCPSLSYSS DLPATSVIITFHNEARSTLLRTVKSVLNRTPASLIQEIILVDDFSSDPEDCLLLTRIPKV KCLRNDKREGLIRSRVRGADVAGATVLTFLDSHCEVNVEWLQPMLQRVMEDHTRVVSPII DVISLDNFAYLAASADLRGGFDWSLHFKWEQIPLEQKMTRTDPTKPIRTPVIAGGIFVID KSWFNHLGKYDAQMDIWGGENFELSFRVWMCGGSLEIVPCSRVGHVFRKRHPYNFPEGNA LTYIRNTKRTAEVWMDEYKQYYYEARPSAIGKAFGSVATRIEQRKKMDCKSFRWYLENVY PELTVPVKEVLPGVIKQGVNCLESQGQNTAGDLLLGMGICRGSAKSPPPAQAWLFSDHLI QQQGKCLAATSTLMSSPGSPVILQTCNPKEGKQKWRRKGSFIQHSVSGLCLETKPAQLVT SKCQTDAQAQQWQLLPHT >ENSMUSP00000042565.3 pep:known chromosome:GRCm38:12:111292972:111377718:-1 gene:ENSMUSG00000021279.4 transcript:ENSMUST00000041965.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpb description:CDC42 binding protein kinase beta [Source:MGI Symbol;Acc:MGI:2136459] MSAKVRLKKLEQLLLDGPWRNDSALSVETLLDVLVCLYTECSHSALRRDKYVAEFLEWAK PFTQLVKDMQLHREDFEIIKVIGRGAFGEVAVVKMKNTERIYAMKILNKWEMLKRAETAC FREERDVLVNGDCQWITALHYAFQDENYLYLVMDYYVGGDLLTLLSKFEDKLPEDMARFY IGEMVLAIDSIHQLHYVHRDIKPDNVLLDVNGHIRLADFGSCLKMNDDGTVQSSVAVGTP DYISPEILQAMEDGMGKYGPECDWWSLGVCMYEMLYGETPFYAESLVETYGKIMNHEERF QFPSHVTDVSEEAKDLIQRLICSRERRLGQNGIEDFKKHAFFEGLNWENIRNLEAPYIPD VSSPSDTSNFDVDDDMLRNIEILPPGSHTGFSGLHLPFIGFTFTTESCFSDRGSLKSMTQ SNTLTKDEDVQRDLENSLQIEAYERRIRRLEQEKLELSRKLQESTQTVQSLHGSTRALGN SNRDKEIKRLNEELERMKSKMADSNRLERQLEDTVTLRQEHEDSTHRLKGLEKQYRLARQ EKEELHKQLVEASERLKSQTKELKDAHQQRKRALQEFSELNERMSELRSLKQKVSRQLRD KEEEMEVAMQKIDSMRQDLRKSEKSRKELEARLEDAAAEASKERKLREHSESFCKQMERE LEALKVKQGGRGPGAASEHQQEISKIRSELEKKVLFYEEELVRREASHVLEVKNVKKEVH DSESHQLALQKEVLMLKDKLEKSKRERHSEMEEAIGTVKDKYERERAMLFDENKKLTAEN EKLCSFVDKLTAQNRQLEDELQDLASKKESVAHWEAQIAEIIQWVSDEKDARGYLQALAS KMTEELETLRSSSLGSRTLDPLWKVRRSQKLDMSARLELQSALEAEIRAKQLVQEELRKV KDSSLAFESKLKESEAKNRELLEEMQSLRKRMEEKFRADTGLKLPDFQDSIFEYFNTAPL AHDLTFRTSSASDQETQASKMDLSPSVSVATSTEQQEDMARPQQRPSPVPLPSTQALAMA GPKPKAHQFSIKSFPSPTQCSHCTSLMVGLIRQGYACEVCAFSCHVSCKDSAPQVCPIPP EQSKRPLGVDVQRGIGTAYKGYVKVPKPTGVKKGWQRAYAVVCDCKLFLYDLPEGKSTQP GVVASQVLDLRDEEFAVSSVLASDVIHATRRDIPCIFRVTASLLGSPSKTSSLLILTENE NEKRKWVGILEGLQAILHKNRLKSQVVHVAQEAYDSSLPLIKAVLAAAIVDGDRIAVGLE EGLYVIELTRDVIVRAADCKKVYQIELAPKEKIAILLCGRNHHVHLYPWSSFDGAEASNF DIKLPETKGCQLIATGTLRKSSSTCLFVAVKRLILCYEIQRTKPFHRKFSELVAPGHVQW MAVFKDRLCVGYPSGFSLLSIQGDGPPLDLVNPTDPSLAFLSQQSFDALCAVELKSEEYL LCFSHMGLYVDPQGRRSRMQELMWPAAPVACSCSPTHVTVYSEYGVDVFDVRTMEWVQTI GLRRIRPLNSDGSLNLLGCEPPRLIYFKNKFSGTILNVPDTSDNSKKQMLRTRSKRRFVF KVPEEERLQQRREMLRDPELRSKMISNPTNFNHVAHMGPGDGMQVLMDLPLSAAPTVQEE KQGPTPAGLPRQPPSRSKPYVSWPSSGGSEPGVPVPLRSMSDPDQDFDKEPDSDSTKHST PSNSSNPSGPPSPNSPHRSQLPMEGLDQPSCDA >ENSMUSP00000081889.3 pep:known chromosome:GRCm38:12:116405402:116463531:1 gene:ENSMUSG00000042029.6 transcript:ENSMUST00000084828.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncapg2 description:non-SMC condensin II complex, subunit G2 [Source:MGI Symbol;Acc:MGI:1923294] MEKREAFIQAVSKELVEEFLQFLQLDKDSSNPFSLSELLDELSRKQKEELWQRLKDLLTE TLLESPVDRWQTVEVEGADDMESEHSPKMRKSIKIICAIVTVILASVSIINEHENYGALL ECAVILNGILYALPESEQKLQNSIQDLCVKWWERGLPAKEDMGKTAFIMLLRRSLETKSG ADVCRLWRIHQALYCFDYDWEESREIKDMLLECFINVNYIKKEEGRRFLSFLFSWNVDFI KMIHETIKNQLAGLQKSLMVHIAEIYFRAWKKASGKMLETIEYDCIQDFMFHGIHLLRRS PVHSKVREVLSYFHQQKVRQGVEEMLYRLYKPILWRGLKARNSEVRSNAALLFVEAFPIR DPNFTATEMDNEIQKQFEELYNLIEDPYPRVRSTGILGVCKISSKYWEMMPPNILVDFLK KVTGELAFDISSADVRCSVFKCLPIILDNKLSHPLLEQLLPTLRYSLHDNSEKVRVAFVD LLLKIKAVRAAKFWKICPMEDILVRLEMDSRPVSRRLVSLIFNSFLPVNQPEEVWCERCV TLIQMNRAAARRFYQYAHEHTASTNIAKLIHVIRHCLNACIQRTLREGSEAHKECEKENA SVLDKTLSVNDTASMAGLLEIIVILWKNIHRSLENNKEAKIYTINKFAAVLPEYLKVFKD ERCKIPLFMLMSFLPASAVPVFSCGVISVLRNQESVTGRSYCTLLDCLCSWGQVGHVLEL IVDWLPTVPPQAKSNLASKRKVEINDTCSVKPELALLYMEYLLTHPKNRECLLSVPQKKL NQLLKALEGSKAELESFLQSPSGNPLNFNKATALHAFGLYCRMSVHLQYKFCSEEKIHLS ILDDTGSWLENKVLPLLEDQEEEYLKLRKDVYQQIIQTYLAVCKDVVMVGLGDPKFQMQL LQRSFGIMKTVKGFFYVSLLLGILKEIAGNTIIHKTDSDEKVTVLFDLVQEVFQKMLECI ACIFRKQPEESLPLFHSVQTPLHEFITTIQSWHKDTAVHHAVLSTLIAAPVVEISHQLQK VSDIEELTSPQCLHDLPPFSRCLVGVIMKSSDVVRSFVDELKACVTSGDVEGIVCLTAVL HIILVINKGKHISAKVKEVATAVYRKLKTFMEITLEEDSLERFLYESSMRTLGEFLNP >ENSMUSP00000040347.5 pep:known chromosome:GRCm38:8:13288013:13322924:1 gene:ENSMUSG00000038497.6 transcript:ENSMUST00000045229.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco3 description:transmembrane and coiled-coil domains 3 [Source:MGI Symbol;Acc:MGI:2444946] MKVLDQSLLWMLLPFFHLIASAAEHEEVAKHAIKLHRGKGATATQRKQWALDSCRRLTGL LRQKNVVLNKLKNAIRAVEKDTSLSGEEKLFQVHTFEIFQKELNESENSIFQAIYGLQRA LQGDYRDVVNMKESSKQRLEALREAAIKEETEYVELLAAEKHQVEALKNMQHQNKSLSML DEILEDVRKAADRLEEEIEEHAFDDNKSVKGVNFEAVLRVEEEEASSKQNMTKREVEDGL GLSMLIDSQNNQYILTKPRDSTIPRADHHFIKDIVTIGMLSLPCGWLCTAIGLPTMFGYI ICGVLLGPSGLNSIKSIVQVETLGEFGVFFTLFLVGLEFSPEKLRKVWRISLQGPCYMTL LMIAFGLWWGHLLRIRPTQSVFISTCLSLSSTPLVSRFLVGSARGDKEAGDIDYSTVLLG MLVMQDVQLGLFIAVMPTLIQAGAGASSSVVMEVLRILFLIGQILFSLAAVFLLCLVMKT YLIGPYYRKLHLESKGNKEILVLGVSAFTFLMLTVTELLDVSMELGCFLAGALVSSQGHM VTEEIMTYIEPIRDFLAIIFFASIGLHVFPTFVIYELTVLVFLTLSVVIMKFVLAVLVLS LILPRSSQYIKWIVSAGLAQVSEFSFVLGSRARRAGILSREVYLLILSVTTLSLLLAPVL WKAAITKCVPRPERRSSL >ENSMUSP00000094515.3 pep:known chromosome:GRCm38:19:8819401:8834142:1 gene:ENSMUSG00000071659.3 transcript:ENSMUST00000096753.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpul2 description:heterogeneous nuclear ribonucleoprotein U-like 2 [Source:MGI Symbol;Acc:MGI:1915943] MEVKRLKVTELRSELQRRGLDSRGLKMDLAQRLQEALDAEMLEDEAGVGGAGPGGACKAE PRPVAASGGGPGGDEEEEDDDEEEDEEALLEDEDEEPPPAQALGQAAQPPPEPPETSAME AESEASDTPAEATAGSGGVNGGEEHDNGKGEEDGPEERSGDETPGSEAPGDKAVEEQGDD QDSEKSKPAGSDGERRGVKRQRDEKDEHGRAYYEFREEAYHSRSKSPPPPEEEAKDEEED QTLVNLDTYTSDLHFQISKDRYGGQPLFSEKFPTLWSGARSTYGVTKGKVCFEAKVTQNL PMKEGCTEVSLLRVGWSVDFSCSQLGEDEFSYGFDGRGLKAENGQFEEFGQTFGENDVIG CFANFETEEVELSFSKNGEDLGVAFRISKESLADRALLPHVLCKNCVVELNFGQKEEPFF PPPEEFVFIHAVPVEERVRTAVPPKTIEECEVILMVGLPGSGKTQWALKYAKDNPERRYN VLGAETVLTQMRMKGLEEPEMDPKSRDLLVQQASQCLSKLVQIASRSKRNFILDQCNVYN SGQRRKLLLFKTFSRKVVVVVPNEEDWKRRLELRKEVEGDDVPESIMLEMKANFSLPEKC DYMDEVTYGELEKEEAQPIVTKYKEEARKLLPPSEKRTNRRNNRNKRNRQNRSRGQGYVG GQRRGYDNRAYGQQYWGQSGNRGGYRNFYDRYRGDYERFYSRDYEYNRYRDYYRQYNRDW QNYYYHHQQDRDRYYRNYYGYQGYR >ENSMUSP00000055321.6 pep:known chromosome:GRCm38:19:4886898:4906627:-1 gene:ENSMUSG00000006464.8 transcript:ENSMUST00000053506.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs1 description:Bardet-Biedl syndrome 1 (human) [Source:MGI Symbol;Acc:MGI:1277215] MAAASSSDSDSGRAESNEANSKWLDAHYDPMANIHTFSSCLSLADLHGDGEYKLVVGDLG PGGQQPRLKVLKGPTVLTESPLPALPASAATFLMDQHEPRTPALALASGPCVYVYKNLRP YFKFSLPQLPPNPLEQDVWNQAKEDQIDPLTLKEMLEDIREKADVPLSVQSLRFLQLELS EMEAFVNQHKSKVIKRQTVITTMTTLKKNLADEDAASCLVLGTESKELLVLDPEAFTILA KMSLPSVPVFLEVSGQFDVEFRLTAACRNGSIYILRRDSKHPKYCIELSAQPVGLVRVHK VLVVGSTQESLHGFTHKGKKLWTVQMPAAILTMNLLEQRSRGLQAVMAALANGEVRIYRD KALLNVIHAPDAVTSLCFGRYGREDNTLIMTTRGGGLIIKILKRTAVFVEGTGEVGPPLA QTTKLSVPRKTRLYVDQTLREREAGTAMHRTFQTDLYLLRLRAARAYVQALESSLSPMST TAREPLKLHAVVQGLGPTFKLTLHLQNTSTARPVLGLHVCFLYNKALYALPQAFFKVPLL VPGLSYPLETFVESLSSKGISDMIKVLVLREGQSAPLLSAHINMPVSEGLAAA >ENSMUSP00000084578.2 pep:known chromosome:GRCm38:19:36731737:36736653:-1 gene:ENSMUSG00000067279.2 transcript:ENSMUST00000087321.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r3c description:protein phosphatase 1, regulatory (inhibitor) subunit 3C [Source:MGI Symbol;Acc:MGI:1858229] MSCTRMIHVLDPRPLTSSVMPVDMAMRICLAHSPPLKSFLGPYNGFQRRNFVNKLKPLKP CLSVKQEAKSQSEWKSPHNQAKKRVVFADSKGLSLTAIHVFSDLPEEPAWDLQFDLLDLN DISSSLKLHEEKNLVFDFPQPSTDYLSFRDRFQKNFVCLENCSLQDRTVTGTVKVKNVSF EKKVQVRITFDTWKTYTDVDCVYMKNVYSSSDSDTFSFAIDLPRVIPTEEKIEFCISYHA NGRIFWDNNEGQNYRIVHVQWKPDGVQTQVAPKDCAFQQGPPKTEIEPTVFGSPRLASGL FPEWQSWGRVENLTSYR >ENSMUSP00000094625.4 pep:known scaffold:GRCm38:GL456210.1:9124:58882:-1 gene:ENSMUSG00000079800.2 transcript:ENSMUST00000096862.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC125149.3 MTQLPLALGFGVSRLWKAAQLRCVYLHPSICCYITQVTLGCSALRSIPACYSSLSPCASA SVTAEVNVNGSCDVYPSSYLLTYKGDVPSSLEVLQPVGCTQKYSMIQHRAGLLSGLSSFS TLT >ENSMUSP00000093831.3 pep:known chromosome:GRCm38:19:31082841:31086590:1 gene:ENSMUSG00000053536.6 transcript:ENSMUST00000066039.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cstf2t description:cleavage stimulation factor, 3' pre-RNA subunit 2, tau [Source:MGI Symbol;Acc:MGI:1932622] MSSLAVRDPAMDRSLRSVFVGNIPYEATEEQLKDIFSEVGSVVSFRLVYDRETGKPKGYG FCEYQDQETALSAMRNLNGREFSGRALRVDNAASEKNKEELKSLGPAAPIIDSPYGDPID PEDAPESITRAVASLPPEQMFELMKQMKLCVQNSHQEARNMLLQNPQLAYALLQAQVVMR IMDPEIALKILHRKIHVTPLIPGKSQPVSGPGPGGPGPSGPGGPGPGPAPGLCPGPNVML NQQNPPAPQPQHLPRRPVKDIPPLMQTSIQGGIPAPGPIPAAVPGPGPGSLTPGGAMQPQ VGMPVVGPVPLERGQMQISDPRPPMPRGPMPSGGIPPRGLLGDAPNDPRGGTLLSVTGEV EPRGYMGPPHQGPPMHHGHDNRGPASHDMRGGPLAADPRMLIGEPRGPMIDQRGLPMDGR GGRESRGMETRPMETEVLEPRGMERRMETCAMETRGMDARGLEMRGPGPSSRGPMTGGIQ GPGPINMGAGGPQGPRQVPNIAGVGNPGGTMQGAGIQGGGMQGAGMQGGGMQGAGMQGGG MQGAGMQAGMQGASMQGGMQGAGMQGASKQGGGQPSSFSPGQSQVTPQDQEKAALIMQVL QLTADQIAMLPPEQRQSILILKEQIQKSTGAS >ENSMUSP00000090632.3 pep:known chromosome:GRCm38:19:8989284:9076919:1 gene:ENSMUSG00000069833.12 transcript:ENSMUST00000092955.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahnak description:AHNAK nucleoprotein (desmoyokin) [Source:MGI Symbol;Acc:MGI:1316648] MEKEEETTRELLLPNWQGSGSHGLTIAQRDDGVFVQEVMQNSPAARTGVVKEGDQIVGAT IYFDNLQSGEVTQLLNTMGHHTVGLKLHRKGDRSPEPGQTWTHEVFSSRSSEVVLNTVQP SSLDCNDQNKPKEAINKAGAASDSTPDAGL >ENSMUSP00000090633.2 pep:known chromosome:GRCm38:19:8989284:9019195:1 gene:ENSMUSG00000069833.12 transcript:ENSMUST00000092956.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahnak description:AHNAK nucleoprotein (desmoyokin) [Source:MGI Symbol;Acc:MGI:1316648] MEKEEETTRELLLPNWQGSGSHGLTIAQRDDGVFVQEVMQNSPAARTGVVKEGDQIVGAT IYFDNLQSGEVTQLLNTMGHHTVGLKLHRKGDRSPEPGQTWTHEVFSSRSSEVVLSGDDE DYQRIYTTKIKPRLRSEDGVEGDLGETQSRTITVTRRVTAYTVDVTGREGVKDIDITSPE FMIKIPRHEVTEISNTDVETQPGKTVIRLPSGSGPASPTTGSAVDIRAGAISASGPELEG AGHSKFQVTVPGAKVGSLDVNVKAKGLDLGGKGGIRVPGVDVSSSLGAGSVEVQAPSLQS GDIGKIKIPTMKVPKFGVSAGLDGQIPEVGLSVSAPESSVGHKGDKPGLAIGGNIQAPHL EVNPSSVNIEGLEGKLKGPQITGPSLEGDLGLKGTKLQGNIGMDACASKIEGSITGPSVE IGTPDVDVHGLGGKLNMPKMKVPKFSASGSKGEGIGLDVALPTGEVTLPGVSGDVSLPEI ATGGLEGKMKGAKVKTPEMIIQKPKISMQDVDLSLGSCKLKGNMKTSAPEVKGDVKGPQM AVKGSRVDIETPNLEGTLTGPKISSPSGKIGACRISMADVDLNVAAPKGKGGVDVILPNV EGKAKGLEVDVKGPKMDISAPDVEVHGPEWNLKMPKFSVPGVKGEGLDVNVTLPEGDISI SGPKVNVEAPNVNMEGLGGKLKGPDINLPEVSVKTPKISMPDVDLHIKGPKVKGEYEVTP PKLEGELKGSKVDIDTPQVDVHGPDLKIPKMKMPKFSVPGFKAEGPEVDVNLPKADLDIS GTKVEVSAPDVSIEGSEGKLKGHKFKMPEMNIRAPKISMPDVDLHLKGPNVKGEYDVTVP RAEGEIKVPDVELKSAKLDIDVPNVDVQGPELHMKMPKIKMPKFGMPGFKAESPEMEVNL PKSDIDVSGPNVDVKVPDVNIEGPEGKLKGPKLKMPEMNIKAPKISMPDVDLHMKGPKVK GEYDVTMPKLEGDLKGPKVDVSVPDVDVHGPDWNLKMPKIKMPKFSMPSLKGEGPELDVN MPKADVDISVPKLDISAPDLNLEGPEGKLKGPKFKMPEMHFKAPKVSLPDVDLDLKGPKM KGNLDMSAPKIEGEMKAPDVDIKGPNVDIKAPEVDVQGPEWSLKMPKMKMPKFSMPTLKG EGPDVDVSLPKATIDVSGPKLDIETSDVSLEGPEGKLKGPKFKMPDMHFKAPKISMPDVD LNMKGPKVKGDMDVTVPKIEGEMKVPDVDIKGPKVDISAPDVDVQGPDWHLKMPKMKMPK FSMPGFKAEGPEVSLPKADLDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKI SMPDLHLKGPKVKGDVDVSLPKVEGDLKGPDIDIKGPKMDINAPDMDVQGPDWHLKMPKM KMPKFSMPGFKAEGPEVDVNLPKADIDVSGPKVDIEAPDVSIEGPEGKLKGPKFKMPDMH FKAPKISMPDVDLNIKGPKGKADVDASLPEVEGGVKVPDVDIKGPKVGIDAPDVEVHGPD WHLKMPKVKMPKFSMPGFKGEGPEVDIPKANIDVSGPKVDIDVPDVNIEGPDTKLKGPKF KMPEMNIKPQKISMPDVSLNLKGPKVKADCDVSVPKVGGEIKAPAVDIKGPKVEAPDVEV HGPDWHLKMPKVKMPKFSMPGFKGEGAEVDVNLQKANIDVSGPKVDIDVPDVNIEGPEGK LKGPKFKMPSMNIQTHKISMPDVGLNLKGPKLKSGVDVSLPKVEGELKGPEVDVDVGDID IECPEGKLKGPKFKMPDMHFKTPKISMPDVDLHLKGPKVKGDMDVTMPKIEGEMKVPDVD IKGPKVDINAPDVDVRGPDWHLKMPKVKMPKFSMPGFKAEGPEVDVNLPKADIDVSGPKV DIDVPDLDIEGPEGKLKGSKFKMPKLNIKAAKISMPDVDLNFKGPKLKGEIDASVPEMEA DLRGPQVDIKGTNVDVKVPDVDLECPDAKLKGPKFKMPDMHFKAPKISMPDVDLHLKGPK VKGDMDVTVPKLEGELKGPSVDVEVPDVDLECPDAKLKGPKFKMPDMHFKAPKISMPDVD LHLKGPKVKGDMDVTVPKLEGELKGPSVDVEVPDVDLECPDAKLKGPKFKMPDMHFKAPK ISMPDVDLHLKGPKVKGDVDVTVPKLEGELKGPSVDVEVPDVDLECPDAKLKGPKFKMPD MHFKAPKISMPDVDLHLKGPKVKGDMDVTVPKIEGEMKVPDVDIKGPKVDINAPDVDVRG PDWHLKMPKMKMPKFSMPGFKAEGPEVDVNLPKADIDVSGPKVDIDVPDVNIEGPEGKLK GPKFKMPDMHFKAPKISMPDVDFNLKGPKIKGDIDVSVPKIEGELKGPELDLKGPKLDAN IPEVAVEGPEGKWKSPKFKMPDMHFKTPKISMPDIDLHLKSPKIKGEVDVDVPKLEGDLK GPNVDMSRPDIEIEGPEGKLKGPKFKMPEMNIKAPKISMPDFDLHLKGPKVKGDVDISLP KVEGDIKGPEVDIKGPKVDINAPDVDVQGPDWHLKMPKMKMPKFSMPGFKAEGPEVDVNL PKADIDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDIDLNLKGPKVK GDVDVSLPKVEGEIKVPEVDIKGPKVDIDVPDVDVHGPDWHLKMPKIKMPKFSMPGFKGE GPEVDVSLPNADLDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDLHL KGPKVKGDVDVSLPKVEGDLKGPDVDIKGPKMDINAPDMDVQGPDWHLKMPKMKMPKFSM PGFKAEGPEVDVNLPKADLDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKIS MPDIDLNLKGPKVKGDVDMSLPKVEGEIKVPEVDIKGPKVDIDVPDVDVHGPDWHLKMPK VKMPKFSMPGFKGEGPEVDVNLPKADLDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEV NIKAPKISMPDLNLNLKGPNVKGDVDVSIPNIEGDLKGPSLDIKSPKLDVNAPDIDVHGP EGKLKGPKLKMPDMHVSMPKISMPEIDLNLKGSKVKGDVDISGPKLEGDIKVPRVDLKGP EVDISAPKVNIDGKAKKSRFKLPKFNFSGSKVQTPEVDVKLKKPDVDITAPKVDINAPEV EVQGKVKGSKFKMPFLSISSPKVSMPDVELNLKGPKVKGDLDAAGPNLEGDFKGPKVDIK APDVQLNAPDVDVHGPEWNLKMPKMKMPKFGVSGIKAEGPDVAVDLPKGDINIEGPSMNI EGPELNVECPEGSLKGPKFKMPEMNIKAPKISMPDIDLNLKGPKVKGDVDVSLPKVEGEI KVPEVDIKGPKVDIDVPDVDVHGPDWHLKMPKVKMPKFSMPGFKGEGPEVDVSLPKANID VSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDIDLNLKGPKVKGDVDVS LPKVEGEIKVPEVDIKGPKVDIDVPDVDVHGPDWHLKMPKIKMPKFSMPGFKGEGPEVDV SLPKADLDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDIDLNLKGPK VKGDVDVSLPKVEGEIKVPEVDIKDPKVDIDVPDVDVHGPDWHLKMPKIKMPKFSMPGFK GEGPEVDVNLPKADLDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKISMPDL HLKGPKVKGDVDVSLPKVEGDLKGPDVDIKGPKMDINAPDMDVQGPDWHLKMPKMKMPKF SMPGFKAEGPEVDVNLPKVDLDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPK ISMPDIDLNLKGPKVKGDVDMSLPKVEGEIKVPEVDIKGPKVDIDVPDVDVHGPDWHLKM PKVKMPKFSMPGFKGEGPEVDVNLPKADLDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMP EMNIKAPKISMPDLHLKGPKVKGDVDVSLPKVEGDLKGPEVDIKGPKMDISAPDVDVHGP DWHLKMPKVKMPKFSMPGFKGEGPEVDVNLPKADLDVSGPKVDIEGPNVNIEGPEGKLKG PKFKMPDLHLKAPKISMPEIDLNLKGPKLKGDVDVSLPKVEGDLKGPEVDIKGPKVDISA PDMDVHGPDWHLKMPKMKMPKFSMPGFKAEGPEVDVNLPKADIDVSGPKVDIDVPDVNIE GPDAKLKGPKFKMPEMNIKAPKISMPDFDLHLKGPKVKGDVDASLPKMEGELKGPGIDIK CPTVDIDTPDVNIEVPEGKLKGPKFKMPDMHIKAPKISMPDFDLNLKGPKVKGDVDLALP NVEGDLKGPEIDIEGPEGKLKGPKFKVPDVQFKTPQISMSDIDLNVKGPKIKGDLDISIP KPEGDLKGPKVDIKGPKLDIDTPDIDIHGPEGKLKGPKFKMPDLHLKAPKISMPEVDLNL KGPKVKGDVDVSLPKVEGDLKGPEVDIKGPKVDISAPDVDVHGPDWHLKMPKVKMPKFSM PGFKGEGPEVDVSLPKANIDVSGPKVDIDVPDVNIEGPDAKLKGPKFKMPEMNIKAPKIS MPDIDLNLKGPKVKGDVDVSLPKVEGEIKVPEVDIKGPKVDIDVPDVDVHGPDWHLKMPK VKMPKFSMPGFKGEGPEVDVNLPKADLDVSGPKVDIDVPDVNVEGPDMKVKGPKFRMPEI NIKAPKISMPDVDLELKGPKVKGAFDGSVPKIEGTLKGPEIDMKGPGLDFEGPDAKLSGP NLKMPSLEVSVPKITGPDANVHLKTPKVGISAPKLGGGEVDLKGPKVDLETPSLDVHMES PDINIEGPDVKVPKFKKPKFGFGAKSPKADIKTPTVDVTVPEAELNVDSPEINIGGKSKK SKFKMPKIHMSGPKVKAKKQGFDLNIPGGEIDTSLKAPDVDVSVAGPDAALKAEVKSPKV KKTMFGKMYFPDVEFDIKSPKFKAEAPLPSPKLEGEIKVPDVDISSPGINVEAPDIHMKA PKFKVPGVEASGPKIEGNLKGPKVQANLDTPDINIEGPEAKIKAPSFSVSAPQVSIPDVN VNLKGPKIKGDVPSVGLEGPNIDLQGPEGKIKFPKFSLPKISAPGVKMEGGSTEIGAQMP SLEGGLSTSDMKLEGPHLSLKGPGVDLPSVDLSMPKVSGPDLDMNLKGPSLKGDLGASSP SMKLHAPGLDLKGVGGKVHIGADGVKMSGIDATTALSVGAPDVTLKGPSLQGDLAVSGDI KCPKVSVATPDVSLEASEGAVKLPHMKLPQFGISTPGSDLDINIKGPQVCGELKGSGMDV NLKGPQISAPSMDFNLEGPKVKGSLGAAGELKGPAIGGALPGISIQGPEGNLQMPGIKAS GCDVKLSSGQISGPEIKGDLKGSGLGLHGAVPDIGVKGPSFNVASPESDFGVSLKGPKVK GGVDVSGGVSVPDINLGEGHMSVKGSGVEWKGPQVSSSLNLDTSKLAGNLHFSGPKIEGD VKGGQTGLQGPGLSVSGPQGHLESESGKVTFPKMKIPKFTFSGRELIGREVGVDVNFPKV EANVQAGAGEGKWEESEVKLKKSKIKMPKHIFSKSKGKGGVTGSPEASISGSKGDLKSSK ASLGSLEGEVEAEASSPKGKFSLFKSKKPRHRSNSFSDEREFSAPSTPTGTLEFAGGDAK GKHGKLKFGTFGGLGSKSKGHYEVTGSDDEAGKLQGSGVSLASKKSRLSSSSSNDSGTKV GIQLPEVELSVSTKKE >ENSMUSP00000011896.6 pep:known chromosome:GRCm38:19:41911871:41918664:1 gene:ENSMUSG00000011752.6 transcript:ENSMUST00000011896.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgam1 description:phosphoglycerate mutase 1 [Source:MGI Symbol;Acc:MGI:97552] MAAYKLVLIRHGESAWNLENRFSGWYDADLSPAGHEEAKRGGQALRDAGYEFDICFTSVQ KRAIRTLWTVLDAIDQMWLPVVRTWRLNERHYGGLTGLNKAETAAKHGEAQVKIWRRSYD VPPPPMEPDHPFYSNISKDRRYADLTEDQLPSCESLKDTIARALPFWNEEIVPQIKEGKR VLIAAHGNSLRGIVKHLEGLSEEAIMELNLPTGIPIVYELDKNLKPIKPMQFLGDEETVR KAMEAVAAQGKVKK >ENSMUSP00000025804.5 pep:known chromosome:GRCm38:19:5099207:5106996:-1 gene:ENSMUSG00000024870.5 transcript:ENSMUST00000025804.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab1b description:RAB1B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1923558] MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTIKLQ IWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESYANVKQWLQEIDRYASENVNKLLVG NKSDLTTKKVVDNTTAKEFADSLGVPFLETSAKNATNVEQAFMTMAAEIKKRMGPGAASG GERPNLKIDSTPVKPASGGCC >ENSMUSP00000043120.3 pep:known chromosome:GRCm38:13:46418300:46431090:1 gene:ENSMUSG00000038132.5 transcript:ENSMUST00000037923.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm24 description:RNA binding motif protein 24 [Source:MGI Symbol;Acc:MGI:3610364] MHTTQKDTTYTKIFVGGLPYHTTDASLRKYFEVFGDIEEAVVITDRQTGKSRGYGFVTMA DRAAAERACKDPNPIIDGRKANVNLAYLGAKPRIMQPGFAFGVQQLHPALIQRPFGIPAH YVYPQAFVQPGVVIPHVQPTAAAASTTPYIDYTGAAYAQYSAAAAAAAAAAAYDQYPYAA SPAAAGYVTTGGYSYAVQQPITAAAPGTAAAAAAAAAAAAAFGQYQPQQLQTDRMQ >ENSMUSP00000093802.4 pep:known chromosome:GRCm38:19:38055025:38074425:1 gene:ENSMUSG00000024989.14 transcript:ENSMUST00000096096.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep55 description:centrosomal protein 55 [Source:MGI Symbol;Acc:MGI:1921357] MSSRSPKDLIKSKWGSRPSSSKSDTALEKFKGEIAAFKTSLDEITSGKGKMAEKGRSRLL EKIQVLEAEREKNVYYLLEKDKEIQRLKDHLRSRYSSSSLFEQLEEKTKECEKKQQLLES LSKETDVLKNQLSATTKRLSELESKASTLHLSQSMPANCFNSSMNSIHEKEMQLKDALEK NQQWLVYDQQREAYVKGLLAKIFELEKRTETAAASLTQQMKKIESEGYLQVEKQKYDHLL ENAKKDLEVERQAVTQLRLELDEFRRKYEEARKEVEDLNQLLSSQRKADIQHLEEDKQKT ERIQKLREESSIFKGKLEEERKRSEELLSQVRILYDSLLKHQEEQARVALLEQQMQACTL DFENEKLDRQNMQHQLYVILKELRKAKSQITQLESLKQLHGFTITEQPFPLQREPESRVK ATSPKSPSAALNDSLVECPKCSVQYPATEHRDLLVHVEYCMK >ENSMUSP00000112205.1 pep:known chromosome:GRCm38:19:38055040:38073498:1 gene:ENSMUSG00000024989.14 transcript:ENSMUST00000116506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep55 description:centrosomal protein 55 [Source:MGI Symbol;Acc:MGI:1921357] MSSRSPKDLIKSKWGSRPSSSKSDTALEKFKGEIAAFKTSLDEITSGKGKMAEKGRSRLL EKIQVLEAEREKNVYYLLEKDKEIQRLKDHLRSRYSSSSLFEQLEEKTKECEKKQQLLES LSKETDVLKNQLSATTKRLSELESKASTLHLSQSMPANCFNSSMNSIHEKEMQLKDALEK NQQWLVYDQQREAYVKGLLAKIFELEKRTETAAASLTQQMKKIESEGYLQVEKQKYDHLL ENAKKDLEVERQAVTQLRLELDEFRRKYEEARKEVEDLNQLLSSQRKADIQHLEEDKQKT ERIQKLREESSIFKGKLEEERKRSEELLSQVRILYDSLLKHQEEQARVALLEQQMQACTL DFENEKLDRQNMQHQLYVILKELRKAKSQITQLESLQLHGFTITEQPFPLQREPESRVKA TSPKSPSAALNDSLVECPKCSVQYPATEHRDLLVHVEYCMK >ENSMUSP00000127961.1 pep:known chromosome:GRCm38:19:38055042:38074425:1 gene:ENSMUSG00000024989.14 transcript:ENSMUST00000169673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep55 description:centrosomal protein 55 [Source:MGI Symbol;Acc:MGI:1921357] MSSRSPKDLIKSKWGSRPSSSKSDTALEKFKGEIAAFKTSLDEITSGKGKMAEKGRSRLL EKIQVLEAEREKNVYYLLEKDKEIQRLKDHLRSRYSSSSLFEQLEEKTKECEKKQQLLES LSKETDVLKNQLSATTKRLSELESKASTLHLSQSMPANCFNSSMNSIHEKEMQLKDALEK NQQWLVYDQQREAYVKGLLAKIFELEKRTETAAASLTQQMKKIESEGYLQVEKQKYDHLL ENAKKDLEVERQAVTQLRLELDEFRRKYEEARKEVEDLNQLLSSQRKADIQHLEEDKQKT ERIQKLREESSIFKGKLEEERKRSEELLSQVRILYDSLLKHQEEQARVALLEQQMQACTL DFENEKLDRQNMQHQLYVILKELRKAKSQITQLESLKQLHGFTITEQPFPLQREPESRVK ATSPKSPSAALNDSLVECPKCSVQYPATEHRDLLVHVEYCMK >ENSMUSP00000072527.7 pep:known chromosome:GRCm38:12:87238875:87266286:-1 gene:ENSMUSG00000021038.16 transcript:ENSMUST00000072744.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vipas39 description:VPS33B interacting protein, apical-basolateral polarity regulator, spe-39 homolog [Source:MGI Symbol;Acc:MGI:2144805] MNRTKGDEEEYWNSSKFKAFTFDDEDDELSQLKESKRAVNSLRDIVDDDDDDDLERVSWT GEPVGSISWSIKETAGSSGSTPEGREQLKGRNSFYTQLPKPPSTYSLSSFFRGRTRPGSF QSLSDALSDTPAKSYAPELGRPKGEYRDYSNDWSLSDTVQRLRRGKVCSLERFRSLQDKL QLLEEAVSMHDGNVITAVLIFLKRTLSKEILFRELEVRQVALRHLIHFLKEIGDQKLLLD LFRFLDRAEELALSHYREHLNIQDPEKRKEFLKTCIGLPFSAEDAAHVQDHYTLLERQII IEANDRHLESSGQTDIFRKHPRKASILNMPLVTTLFYACFYHYTESEGTFSSPINLKKTF KIPDKQYVLTALAARAKLRAWNDVDALFTTKNWLGYTKKRAPIGFHRVVEILHKNSAPVQ ILQEYVNLVEDVDTKLNLATKFKCHDVVIDTCRDLKDRQQLLAYRSKVDKGSAEEEKIDA ILSSSQIRWKN >ENSMUSP00000137190.1 pep:known chromosome:GRCm38:12:87238875:87266286:-1 gene:ENSMUSG00000021038.16 transcript:ENSMUST00000179379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vipas39 description:VPS33B interacting protein, apical-basolateral polarity regulator, spe-39 homolog [Source:MGI Symbol;Acc:MGI:2144805] MNRTKGDEEEYWNSSKFKAFTFDDEDDELSQLKESKRAVNSLRDIVDDDDDDDLERVSWT GEPVGSISWSIKETAGSSGSTPEGREQLKGRNSFYTQLPKPPSTYSLSSFFRGRTRPGSF QSLSDALSDTPAKSYAPELGRPKGEYRVCSLERFRSLQDKLQLLEEAVSMHDGNVITAVL IFLKRTLSKEILFRELEVRQVALRHLIHFLKEIGDQKLLLDLFRFLDRAEELALSHYREH LNIQDPEKRKEFLKTCIGLPFSAEDAAHVQDHYTLLERQIIIEANDRHLESSGQTDIFRK HPRKASILNMPLVTTLFYACFYHYTESEGTFSSPINLKKTFKIPDKQYVLTALAARAKLR AWNDVDALFTTKNWLGYTKKRAPIGFHRVVEILHKNSAPVQILQEYVNLVEDVDTKLNLA TKFKCHDVVIDTCRDLKDRQQLLAYRSKVDKGSAEEEKIDAILSSSQIRWKN >ENSMUSP00000021426.9 pep:known chromosome:GRCm38:12:87238875:87264244:-1 gene:ENSMUSG00000021038.16 transcript:ENSMUST00000021426.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vipas39 description:VPS33B interacting protein, apical-basolateral polarity regulator, spe-39 homolog [Source:MGI Symbol;Acc:MGI:2144805] MNRTKGDEEEYWNSSKFKAFTFDDEDDELSQLKESKRAVNSLRDIVDDDDDDDLERVSWT GEPVGSISWSIKETAGSSGSTPEGREQLKGRNSFYTQLPKPPSTYSLSSFFRGRTRPGSF QSLSDALSDTPAKSYAPELGRPKGEYRVCSLERFRSLQDKLQLLEEAVSMHDGNVITAVL IFLKRTLSKEILFRELEVRQVALRHLIHFLKEIGDQKLLLDLFRFLDRAEELALSHYREH LNIQDPEKRKEFLKTCIGLPFSAEDAAHVQDHYTLLERQIIIEANDRHLESSGQTDIFRK HPRKASILNMPLVTTLFYACFYHYTESEGTFSSPINLKKTFKIPDKQYVLTALAARAKLR AWNDVDALFTTKNWLGYTKKRAPIGFHRVVEILHKNSAPVQILQEYVNLVEDVDTKLNLA TKFKCHDVVIDTCRDLKDRQQLLAYRSKVDKGSAEEEKIDAILSSSQIRWKN >ENSMUSP00000082406.2 pep:known chromosome:GRCm38:12:71831078:71992367:1 gene:ENSMUSG00000034574.9 transcript:ENSMUST00000085299.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Daam1 description:dishevelled associated activator of morphogenesis 1 [Source:MGI Symbol;Acc:MGI:1914596] MAPRKRGGRGISFIFCCFRNNDHPEITYRLRNDSNFALQTMEPALPMPPVEELDVMFSEL VDELDLTDKHREAMFALPAEKKWQIYCSKKKDQEENKGATSWPEFYIDQLNSMAARKSLL ALEKEEEEERSKTIESLKTALRTKPMRFVTRFIDLDGLSCILNFLKTMDYETSESRIHTS LIGCIKALMNNSQGRAHVLAHSESINVIAQSLSTENIKTKVAVLEILGAVCLVPGGHKKV LQAMLHYQKYASERTRFQTLINDLDKSTGRYRDEVSLKTAIMSFINAVLSQGAGVESLDF RLHLRYEFLMLGIQPVIDKLREHENSTLDRHLDFFEMLRNEDELEFAKRFELVHIDTKSA TQMFELTRRRLTHSEAYPHFMSILHHCLQMPYKRSGNTVQYWLLLDRIIQQIVIQNDKGQ DPDSTPLENFNIKNVVRMLVNENEVKQWKEQAEKMRKEHNELQQKLEKKERECDAKTQEK EEMMQTLNKMKEKLEKETTEHKQVKQQVADLTAQLHELNRRAVCAAVPGGPSPGAPGGPF PSSGLGSLLPPPPPPLLSGGALPPPPPPLPPGGPPPPPGPPPLGGVLPPPGAPVSLTLKK KNIPQPTNALKSFNWSKLPENKLDGTVWTEIDDTKVFKILDLEDLERTFSAYQRQQEFFV NNSKQKEADAIDDTLSSKLKVKELSVIDGRRAQNCNILLSRLKLSNDEIKRAILTMDEQE DLPKDMLEQLLKFVPEKSDIDLLEEHKHELDRMAKADRFLFEMSRINHYQQRLQSLYFKK KFAERVAEVKPKVEAIRSGSEEVFRSRALKQLLEVVLAFGNYMNKGQRGNAYGFKISSLN KIADTKSSIDKNITLLHYLITIVENKYPKVLNLSEELRDIPQAAKVNMTELDKEISTLRS GLKAVETELEYQKSQPPQPGDKFVSVVSQFITLASFSFSDVEDLLAEAKELFTKAVKHFG EEAGKIQPDEFFGIFDQFLQAVAEAKQENENMRKRKEEEERRARLEAQLKEQRERERKVR KAKESSEESGEFDDLVSALRSGEVFDKDLSKLKRNRKRISNQVTDSSRERPITKLNF >ENSMUSP00000026256.2 pep:known chromosome:GRCm38:19:46328184:46330446:1 gene:ENSMUSG00000025226.11 transcript:ENSMUST00000026256.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl15 description:F-box and leucine-rich repeat protein 15 [Source:MGI Symbol;Acc:MGI:1915681] MEPPMEQSGGEQEPGAVRLLDLPWEDVLLPHVLNWVPLRQLLRLQRVSRAFRALVQLHLA RLRRFDAAQVGPQIPRAALARLLRDAEGLQELALAPCHEWLSDEDLVPVLARNPQLRSVA LAGCGQLSRRALGALAEGCPRLQRLSLAHCDWVDGLALRGLADRCPALEELDLTACRQLK DEAIVYLAQRRGAGLRSLSLAVNANVGDTAVQELARNCPQLEHLDLTGCLRVGSDGVRTL AEYCPALRSLRVRHCHHVAEPSLSRLRKRGVDIDVEPPLHQALVLLQDMAGFAPFVNLQV >ENSMUSP00000137489.1 pep:known chromosome:GRCm38:19:46328187:46330445:1 gene:ENSMUSG00000025226.11 transcript:ENSMUST00000177667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl15 description:F-box and leucine-rich repeat protein 15 [Source:MGI Symbol;Acc:MGI:1915681] MEPPMEQSGGEQEPGAVRLLDLPWEDVLLPHVLNWVPLRQLLRLQRVSRAFRALVQLHLA RLRRFDAAQVGPQIPRAALARLLRDAEGLQELALAPCHEWLSDEDLVPVLARNPQLRSVA LAGCGQLSRRALGALAEGCPRLQRLSLAHCDWVDGLALRGLADRCPALEELDLTACRQLK DEAIVYLAQRRGAGLRSLSLAVNANVGDTAVQELARNCPQLEHLDLTGCLRVGSDGVRTL AEYCPALRSLRVRHCHHVAEPSLSRLRKRGVDIDVEPPLHQALVLLQDMAGFAPFVNLQV >ENSMUSP00000021818.7 pep:known chromosome:GRCm38:13:49464027:49652746:-1 gene:ENSMUSG00000021391.9 transcript:ENSMUST00000021818.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpp description:centromere protein P [Source:MGI Symbol;Acc:MGI:1913586] MDSETRELRALEAEVAALQRECRMLQNAGEKASGAWKSFQKISQSDSEEWESLKDLRSQL EHLRSEHSFLSKLTGFNIRNYSKMEDIVNTEETEKDTKKVLQKHRLSGNCNMVTFQLEFE VLEMETKEKKSSIITDLSIIMEPTEYSELSEFASRAEEKRDLLMFFRSLHFFVEWCEYRE NTFKHFKEKYPDTVYLLEGTCSHCMEIRSTRQPGFELVIVWKIQIDEEGMVFPKLDLLTK VPERALGLDKNRVIETAPLSFRSLLGVLGIEAALDSLIRLFSGDNN >ENSMUSP00000137253.1 pep:known chromosome:GRCm38:4:156236010:156247616:1 gene:ENSMUSG00000095567.7 transcript:ENSMUST00000179543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noc2l description:nucleolar complex associated 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1931051] MAASRAPRRRLEDLSVDEFLASGFESGSESELEGAAEAAAEERRARGAAWNRERRGARTS PGPAGRPRKGRASEHKDQLSRLKDRDPEFYKFLQENDRSLLDFSDSDSSAEEEEPFHSLP DTLEEASETEEDGGEDSDALPRGLRSKKNEPVPVTLAMVERWRQGSRHHLSPRLFHEVVQ AFRAAVATTQGEQEAAETCRFQVADSAVFNALVTFCIRDLCGCLQKLLFGKTPKDSNRLL PSSSPLWGKLRVDVKTYLSAVVQLVACLAEATVSAAVLQHISSLVPYYLTFPKQCRMLLK RMVVLWSTGEESLRVLAFLVLIRVCRHKKEAFLGPILKQMYIMYVRNCKFTSPSTLPLIS FMQRTLTEMLALDPSVSYQHAFLYIRQLAVHLRNAMTAGKKETHQSVYNWQYVHCLYLWC RVLSTLGSSEILQPLLYPLSQIIIGCIKLLPTARFYPLRMHCVRALTLLSQTIGTFIPVL PFILEIFQQVDFNRRPGRMSSKPINFSVILKLSSTNLQEKAYRDGLLEQLCDLTLEYLHS QAHSIAFPELVLPTVLQLKSFLRECKVANYCRQVRQLLEKVQENAQHIQSLRQSATFSVS DQMAVDAWEKQVREEGTPLTRYYGHWKKLRDREIQLEISGKERLEDLNFPEIKRRKVEDR KDEDRKELKDLFELDSSEGEDSTDFFERGVPRLPEAHQGLKEDQEEEDKEEGDSDSEDGD TDTGVDLSELWQLAQGPQDELEDLQLSEED >ENSMUSP00000137183.1 pep:known chromosome:GRCm38:4:156236051:156247603:1 gene:ENSMUSG00000095567.7 transcript:ENSMUST00000179886.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noc2l description:nucleolar complex associated 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1931051] MVERWRQGSRHHLSPRLFHEVVQAFRAAVATTQGEQEAAETCRFQVADSAVFNALVTFCI RDLCGCLQKLLFGKTPKDSNRLLPSSSPLWGKLRVDVKTYLSAVVQLVACLAEATVSAAV LQHISSLVPYYLTFPKQCRMLLKRMVVLWSTGEESLRVLAFLVLIRVCRHKKEAFLGPIL KQMYIMYVRNCKFTSPSTLPLISFMQRTLTEMLALDPSVSYQHAFLYIRQLAVHLRNAMT AGKKETHQSVYNWQYVHCLYLWCRVLSTLGSSEILQPLLYPLSQIIIGCIKLLPTARFYP LRMHCVRALTLLSQTIGTFIPVLPFILEIFQQVDFNRRPGRMSSKPINFSVILKLSSTNL QEKAYRDGLLEQLCDLTLEYLHSQAHSIAFPELVLPTVLQLKSFLRECKVANYCRQVRQL LEKVQENAQHIQSLRQSATFSVSDQMAVDAWEKQVREEGTPLTRYYGHWKKLRDREIQLE ISGKERLEDLNFPEIKRRKVEDRKDEDRKELKDLFELDSSEGEDSTDFFERGVPRLPEAH QGLKEDQEEEDKEEGDSDSEDGDTDTGVDLSELWQLAQGPQDELEDLQLSEED >ENSMUSP00000022287.5 pep:known chromosome:GRCm38:13:114452262:114458730:-1 gene:ENSMUSG00000021765.7 transcript:ENSMUST00000022287.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fst description:follistatin [Source:MGI Symbol;Acc:MGI:95586] MVCARHQPGGLCLLLLLLCQFMEDRSAQAGNCWLRQAKNGRCQVLYKTELSKEECCSTGR LSTSWTEEDVNDNTLFKWMIFNGGAPNCIPCKETCENVDCGPGKKCRMNKKNKPRCVCAP DCSNITWKGPVCGLDGKTYRNECALLKARCKEQPELEVQYQGKCKKTCRDVFCPGSSTCV VDQTNNAYCVTCNRICPEPSSSEQYLCGNDGVTYSSACHLRKATCLLGRSIGLAYEGKCI TKSCEDIQCGGGKKCLWDSKVGRGRCSLCDELCPDSKSDEPVCASDNATYASECAMKEAA CSSGVLLEVKHSGSCNSISEETEEEEEEEDQDYSFPISSILEW >ENSMUSP00000076093.4 pep:known chromosome:GRCm38:10:12382188:12861735:-1 gene:ENSMUSG00000019820.9 transcript:ENSMUST00000076817.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utrn description:utrophin [Source:MGI Symbol;Acc:MGI:104631] MAKYGDLEARPDDGQNEFSDIIKSRSDEHNDVQKKTFTKWINARFSKSGKPPISDMFSDL KDGRKLLDLLEGLTGTSLPKERGSTRVHALNNVNRVLQVLHQNNVDLVNIGGTDIVDGNP KLTLGLLWSIILHWQVKDVMKDIMSDLQQTNSEKILLSWVRQTTRPYSQVNVLNFTTSWT DGLAFNAVLHRHKPDLFSWDRVVKMSPIERLEHAFSKAHTYLGIEKLLDPEDVAVHLPDK KSIIMYLTSLFEVLPQQVTIDAIREVETLPRKYKKECEEEEIHIQSAVLAEEGQSPRAET PSTVTEVDMDLDSYQIALEEVLTWLLSAEDTFQEQDDISDDVEEVKEQFATHETFMMELT AHQSSVGSVLQAGNQLMTQGTLSEEEEFEIQEQMTLLNARWEALRVESMERQSRLHDALM ELQKKQLQQLSSWLALTEERIQKMESLPLGDDLPSLQKLLQEHKSLQNDLEAEQVKVNSL THMVVIVDENSGESATALLEDQLQKLGERWTAVCRWTEERWNRLQEISILWQELLEEQCL LEAWLTEKEEALNKVQTSNFKDQKELSVSVRRLAILKEDMEMKRQTLDQLSEIGQDVGQL LSNPKASKKMNSDSEELTQRWDSLVQRLEDSSNQVTQAVAKLGMSQIPQKDLLETVHVRE QGMVKKPKQELPPPPPPKKRQIHVDVEAKKKFDAISTELLNWILKSKTAIQNTEMKEYKK SQETSGMKKKLKGLEKEQKENLPRLDELNQTGQTLREQMGKEGLSTEEVNDVLERVSLEW KMISQQLEDLGRKIQLQEDINAYFKQLDAIEETIKEKEEWLRGTPISESPRQPLPGLKDS CQRELTDLLGLHPRIETLCASCSALKSQPCVPGFVQQGFDDLRHHYQAVRKALEEYQQQL ENELKSQPGPAYLDTLNTLKKMLSESEKAAQASLNALNDPIAVEQALQEKKALDETLENQ KHTLHKLSEETKTLEKNMLPDVGKMYKQEFDDVQGRWNKVKTKVSRDLHLLEEITPRLRD FEADSEVIEKWVSGIKDFLMKEQAAQGDAAALQSQLDQCATFANEIETIESSLKNMREVE TSLQRCPVTGVKTWVQARLVDYQSQLEKFSKEIAIQKSRLSDSQEKALNLKKDLAEMQEW MAQAEEDYLERDFEYKSPEELESAVEEMKRAKEEVLQKEVRVKILKDSIKLVAAKVPSGG QELTSEFNEVLESYQLLCNRIRGKCHTLEEVWSCWVELLHYLDLETTWLNTLEERVRSTE ALPERAEAVHEALESLESVLRHPADNRTQIRELGQTLIDGGILDDIISEKLEAFNSRYEE LSHLAESKQISLEKQLQVLRETDHMLQVLKESLGELDKQLTTYLTDRIDAFQLPQEAQKI QAEISAHELTLEELRKNVRSQPPTSPEGRATRGGSQMDMLQRKLREVSTKFQLFQKPANF EQRMLDCKRVLEGVKAELHVLDVRDVDPDVIQAHLDKCMKLYKTLSEVKLEVETVIKTGR HIVQKQQTDNPKSMDEQLTSLKVLYNDLGAQVTEGKQDLERASQLSRKMKKEAAVLSEWL SATEAELVQKSTSEGVIGDLDTEISWAKSILKDLEKRKVDLNGITESSAALQHLVLGSES VLEENLCVLNAGWSRVRTWTEDWCNTLLNHQNQLELFDGHVAHISTWLYQAEALLDEIEK KPASKQEEIVKRLLSELDDASLQVENVREQAIILVNARGSASRELVEPKLAELSRNFEKV SQHIKSARMLIGQDPSSYQGLDPAGTVQAAESFSDLENLEQDIENMLKVVEKHLDPNNDE KMDEEQAQIEEVLQRGEHLLHEPMEDSKKEKIRLQLLLLHTRYNKIKTIPIQQRKTIPVS SGITSSALPADYLVEINKILLTLDDIELSLNMPELNTTVYKDFSFQEDSLKSIKGQLDRL GEQIAVVHEKQPDVIVEASGPEAIQIRDMLAQLNAKWDRVNRVYSDRRGSFARAVEEWRQ FHHDLDDLTQWLSEAEDLLVDTCAPDGSLDLEKARAQQLELEEGLSSHQPSLIKVNRKGE DLVQRLRPSEASFLKEKLAGFNQRWSTLVAEVEALQPRLKGESQQVLGYKRRLDEVTCWL TKVESAVQKRSTPDPEESPQELTDLAQETEVQAENIKWLNRAELEMLSDKNLSLREREKL SESLRNVNTTWTKVCREVPSLLKTRTQDPCSAPQMRMAAHPNVQKVVLVSSASDAPLRGG LEISVPADLDKTITELADWLVLIDQMLKSNIVTVGDVKEINKTVSRMKITKADLEQRHPQ LDCVFTLAQNLKNKASSSDVRTAITEKLEKLKTQWESTQHGVELRRQQLEDMVVDSLQWD DHREETEELMRKYEARFYMLQQARRDPLSKQVSDNQLLLQELGSGDGVIMAFDNVLQKLL EEYSGDDTRNVEETTEYLKTSWVNLKQSIADRQSALEAELQTVQTSRRDLENFVKWLQEA ETTANVLADASQRENALQDSVLARQLRQQMLDIQAEIDAHNDIFKSIDGNRQKMVKALGN SEEATMLQHRLDDMNQRWNDLKAKSASIRAHLEASAEKWNRLLASLEELIKWLNMKDEEL KKQMPIGGDVPALQLQYDHCKVLRRELKEKEYSVLNAVDQARVFLADQPIEAPEEPRRNP QSKTELTPEERAQKIAKAMRKQSSEVREKWENLNAVTSNWQKQVGKALEKLRDLQGAMDD LDADMKEVEAVRNGWKPVGDLLIDSLQDHIEKTLAFREEIAPINLKVKTMNDLSSQLSPL DLHPSLKMSRQLDDLNMRWKLLQVSVDDRLKQLQEAHRDFGPSSQHFLSTSVQLPWQRSI SHNKVPYYINHQTQTTCWDHPKMTELFQSLADLNNVRFSAYRTAIKIRRLQKALCLDLLE LNTTNEVFKQHKLNQNDQLLSVPDVINCLTTTYDGLEQLHKDLVNVPLCVDMCLNWLLNV YDTGRTGKIRVQSLKIGLMSLSKGLLEEKYRCLFKEVAGPTEMCDQRQLGLLLHDAIQIP RQLGEVAAFGGSNIEPSVRSCFQQNNNKPEISVKEFIDWMHLEPQSMVWLPVLHRVAAAE TAKHQAKCNICKECPIVGFRYRSLKHFNYDVCQSCFFSGRTAKGHKLHYPMVEYCIPTTS GEDVRDFTKVLKNKFRSKKYFAKHPRLGYLPVQTVLEGDNLETPITLISMWPEHYDPSQS PQLFHDDTHSRIEQYATRLAQMERTNGSFLTDSSSTTGSVEDEHALIQQYCQTLGGESPV SQPQSPAQILKSVEREERGELERIIADLEEEQRNLQVEYEQLKEQHLRRGLPVGSPPDSI VSPHHTSEDSELIAEAKLLRQHKGRLEARMQILEDHNKQLESQLHRLRQLLEQPDSDSRI NGVSPWASPQHSALSYSLDTDPGPQFHQAASEDLLAPPHDTSTDLTDVMEQINSTFPSCS SNVPSRPQAM >ENSMUSP00000135988.1 pep:known chromosome:GRCm38:9:3034599:3035805:1 gene:ENSMUSG00000096736.1 transcript:ENSMUST00000178641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17535 description:predicted gene, 17535 [Source:MGI Symbol;Acc:MGI:4937169] MFLIFHDFQFSCHIPCPTVDISFPPFSVFLAIFHVLKCVFLIFRVFQVSRHIPGPTVCIS HFSRFSVILSFFKLSSGCFSFSLIFTFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCVFLI FSDFQFSRHIPGPTVCISHFSRFSVISSFFKTSSGCFSFSMIFSFLAIFHVLQWTFLNFP PFSVSPYSRSYSVHFSFFTFFCDFVIFQVVKWMFLIFLDFQFSY >ENSMUSP00000071470.8 pep:known chromosome:GRCm38:7:140954839:140955961:-1 gene:ENSMUSG00000060591.8 transcript:ENSMUST00000081649.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifitm2 description:interferon induced transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1933382] MSHNSQAFLSTNAGLPPSYETIKEEYGVTELGEPSNSAVVRTTVINMPREVSVPDHVVWS LFNTLFFNACCLGFVAYAYSVKSRDRKMVGDVVGAQAYASTAKCLNISSLIFSILMVIIC IIIFSTTSVVVFQSFAQRTPHSGF >ENSMUSP00000093962.5 pep:known chromosome:GRCm38:19:8920374:8927234:1 gene:ENSMUSG00000071649.5 transcript:ENSMUST00000096243.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gat3 description:beta-1,3-glucuronyltransferase 3 (glucuronosyltransferase I) [Source:MGI Symbol;Acc:MGI:1919977] MKLKLKNVFLAYFLVSIAGLLYALVQLGQPCDCLPPLRAAAEQLRQKDLRISQLQADLRR PPPVPAQPPEPEALPTIYVITPTYARLVQKAELVRLSQTLSLVPRLHWLLVEDAESPTPL VSGLLAASGLLFTHLAVLTPKAQRLREGEPGWVRPRGVEQRNKALDWLRGKGGAVGGEKD PPPPGTQGVVYFADDDNTYSRELFKEMRWTRGVSVWPVGLVGGLRFEGPQVQDGRVVGFH TAWEPNRPFPLDMAGFAVALPLLLAKPNAQFDATAPRGHLESSLLSHLVDPKDLEPRAAN CTQVLVWHTRTEKPKMKQEEQLQRQGQGSDPAIEV >ENSMUSP00000003386.5 pep:known chromosome:GRCm38:9:21002737:21008835:1 gene:ENSMUSG00000003299.9 transcript:ENSMUST00000003386.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl4 description:mitochondrial ribosomal protein L4 [Source:MGI Symbol;Acc:MGI:2137210] MLRLFQAASRASLRLSGSRVIHSLAEGAERPAEISEPRDSAGLLDPVLRKCELRIPVHRR PVQAWVESLRGFEQERIGLAELHPDVFATAPRLDIVHQVAIWQRNFRRISYANTKTRAEV SGGGRKPWQQKGSGRARHGSIRSPLWRGGGVAHGPRGPTSYYYMLPMKVRALGLKVALTV KLMQDDLHIVDSLELPTADPQYLTELAQYRHWGSSVLLVDLTHEEMPKNVVAATSGLNSF NLIPAVGLNVYSMLKHQTLVLTLPSVAFLEDKLLWQDSRYTPLYPFRLPYSDFP >ENSMUSP00000126782.1 pep:known chromosome:GRCm38:11:69364019:69369408:1 gene:ENSMUSG00000091095.1 transcript:ENSMUST00000166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17305 description:predicted gene, 17305 [Source:MGI Symbol;Acc:MGI:4936939] FSFFSRCFLLRFRPGPVPYSLPPLSDFSRYSSRSEPNSSSLRHFFRFLGLPGLFSFCFLG PRFRFFTPNAEGSSRISSLSLEKKKGCGGGGGGKRWQLGGLWRSRLRSPARARVLPLRPP PHRPRRPPPPPPPPRRPLLPPLLPPPTPPSPPPPPPRPSEGKPSCGSGP >ENSMUSP00000073602.1 pep:known chromosome:GRCm38:9:39469780:39470724:-1 gene:ENSMUSG00000063176.1 transcript:ENSMUST00000073946.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr955 description:olfactory receptor 955 [Source:MGI Symbol;Acc:MGI:3030789] MEELNHTSVTEFILAGLTENPELQLPLFLTFLSVYLFTVVGNLGMIVLILISSQLHTPMY YLLSSLSFIDCCQSTVIVPKMLLNFVTEKNVILYPECIAQFYFFCTFVVAECHMLAAMAY DRYVAISNPLLYKVTMSYQVCLLMVAVVYGIGLISATAHTVFLLRLFFCKADKINHYFCD LFPLLELSCSSTFINEILALSFSAFNIIVPAMTIIGSYIFIIISILHIKSSGGRVKAFRT CSSHILAVAIFFGSTTFMYLQPSSVSSMDQGKVSSVFYTIVVPMLNPMIYSLRNKDVKVA LKKLLQKMFPQNKE >ENSMUSP00000136630.1 pep:novel scaffold:GRCm38:JH584298.1:168268:170838:-1 gene:ENSMUSG00000095728.1 transcript:ENSMUST00000179146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC125178.3 MTVQTPSTLQNLALQALLRDEALALFCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLDEKPTVVKVLRRYARRKQLKVVADLCLRPRRDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDRDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLES LSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQVLDVMPLRGLLEKVAKTLETLNLQ GCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTAN >ENSMUSP00000041908.7 pep:known chromosome:GRCm38:13:65177111:65205716:-1 gene:ENSMUSG00000032999.9 transcript:ENSMUST00000037372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4f description:NLR family, pyrin domain containing 4F [Source:MGI Symbol;Acc:MGI:2145528] MASFISDFGLIWYLRELNKKEFMKFKDFLIQEILELKLKQVSSTKVKKASREDLANLLLK CGENQAWDMTFRILQKINRKDLTERATGAIVGNPNLYRDHLKKKLTHDCPKKFNVRIQDF IKETFIQNDYDAFENLLISKGTERKPHMVFLKGMAGVGKTLMLKNLMLAWSKGLVFQNKF SYAFYFCCQDVKQLKTASLAELISREWPSPSAPIEEILSQPEKLLFIIDSLEGMEWDLTK QESELCDDCMEKQPVSTLLSSLLRRKMLPESSLLLSTTPETFEKMEDRIQCTDVKTATAF DERSMKIYFHRLFQDRKRAQEAFSLVRENKQLFTICQVPLLCWMVATCLKEEIEKGGDPV SLCRRTTSLYTTHIFSLFIPQSAQYPSKKSQDQLQGLCSLAAEGMWTDTFVFGKEALRRN GIFDSDIPTLLDIGMLGKIREFENSYIFLHPSVQEVCAAIFYMLKRHVEHPSQDVKNIET VLFMFLKKVKTQWIFLGCFIFGLLQKSEQEKLGVFFGHRLSKNIHHKLYQCLETLSGNAE LQEQIDGMRLFSCLFEMEDEAFLVKAMNCMQQINFVAKNYSDFIVAAYCLKHCSTLKKLS FSTENVLNEGDQSYMEELLICWNNMCSVFVRSKDIQELRIKDTNFNEPAIRVLYESLKYP SFTLNKLVANNVSFGDNHVLFELIQNSSLQYLDLSCSFLSHNEVKLLCDILNQAECNIEK LMIAHCKLSPDDCKIFGSILMSSKSLKVLNLASNNLNQGISSLCKALCHPHCTLEYLVLS NCSLSEQCWDYLSEVLRQNKTLSHLDISSNDLKDEGLKILCRSLILPYCVLESLCLSCCG ITERGCQDLAEVLKNNQNLKYLHVSYNKLKDTGVMLLCDAIKHPNCHLKDLQLEACEITD ASNEELCYAFMQCETLQTLNLMGNAFEVSRMVFFPRF >ENSMUSP00000022186.3 pep:known chromosome:GRCm38:13:95477301:95478655:-1 gene:ENSMUSG00000021679.3 transcript:ENSMUST00000022186.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100z description:S100 calcium binding protein, zeta [Source:MGI Symbol;Acc:MGI:2685471] MPTQLEMAMDTMIRIFHRYSCKEGDRFKLNKGELKMLLQRELTEFLTCQKDPQLVDKIMQ DLDANKDNEVDFNEFVVMVAALTVACNDYFVEQMKKKGK >ENSMUSP00000047334.6 pep:known chromosome:GRCm38:12:116207050:116263025:-1 gene:ENSMUSG00000042050.7 transcript:ENSMUST00000039349.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr60 description:WD repeat domain 60 [Source:MGI Symbol;Acc:MGI:2445085] MEPGKRRTKDDTWKADDLRKHLKVQSGSPKEEKKLREKKAHKDSESAAPEYREHKSRDPD REARHKEKTAERDLYTSTEHPRGERDRERHKERRKDAKDREKDKLKERHRDQEAEKAHSR GKDREREKDRRARKEEIRQSMAYHDLLSRDMRGRQMAEKVEKKASKIRTEERERRDEDSE RIDEDRERRYRERKLQYGDSKEHPLSYWLYKEDGEKKHRKAKDADREKRLREKSSMREKR ERHAREKGSSLSDREVEDRHREKRHKEGLHYDDERRRSHADKKERSSKEEHKKRELKELE KEDNDLEATGPDEYLPNLEDDFVDYEDDFEVCDGDDDSNNEHEAREKAEELPLAQKREIQ EIQKAISAENERVGELSLKMFQKQGWTEYTKEPWTDANDSPSRTPVCGIFVDFATASHRQ KSRSQALKQKTRSSKLLRLIDLDFSFTFSLLDLPPVNEYDMYIRNFGKKNTKQAYVQYNE DNVERDIQTEDIETREVWTQHPGEGTAVSGGSEEKDFSDVTVVPKIDTPRLANFLRAACQ VVAVLLEEDRLAAGPSWIPRAQDKALNISDSSSQLNTSLPFLQSRKVSCLHASRVQRQTV VSVHDLPEKAFAPSLDSRHLLCVWDIWQPSGPQKVLICESKVTCCCFSPLKAFLLFAGTV HGSVVVWDLREDSRIHHYVRLSNCFWAFRTPTFSTDGILTSVNHRSPLQAIEPVATSAYK KQSFVLSPFSTQEEMAGLSFHIASLDETGVLNVWVVVELPKADISGSMSDLGLIPGGRIK LVHSTVIQLGNSLSHKDSELWGSTQTLSVKFLPSDPNHFVVGTDMGLISHSTRQDWRVSP RVFKPEQHGVRPIKVNVIDFSPFEETVFLAGCSDGSIRLHQLTSERPIMQWDNSTSGHAV TSLQWSPTRPAVFLVQDDASRIYVWDLLENDLGPVAQQPISPDKLVAMTIVGEPEKTSGS FVALVLARTSGTVDVQNLKRRWTTPAVDEHSQLRLLLQK >ENSMUSP00000126448.1 pep:known chromosome:GRCm38:12:84009502:84017670:1 gene:ENSMUSG00000072949.5 transcript:ENSMUST00000168120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acot1 description:acyl-CoA thioesterase 1 [Source:MGI Symbol;Acc:MGI:1349396] MEATLNLEPSGRSCWDEPLSIAVRGLAPEQPVTLRSVLRDEKGALFRAHARYRADSHGEL DLARTPALGGSFSGLEPMGLLWAMEPDRPFWRLVKRDVQTPFVVELEVLDGHEPDGGQRL AHAVHERHFLAPGVRRVPVREGRVRATLFLPPEPGPFPGIIDLFGVGGGLLEYRASLLAG KGFAVMALAYYNYDDLPKNMETMHMEYFEEAVNYLRSHPEVKGPGIGLLGISKGGELGLA MASFLKGITAAVVINGSVAAVGNTISYKDETIPPVTILRNQVKMTKDGLKDVVDALQSPL VDKKSFIPVERSDTTFLFLVGQDDHNWKSEFYADEISKRLQAHGKEKPQIICYPAAGHYI EPPYFPLCSAGMHLLVGANITFGGEPKPHAMAQLDAWQQLQTFFHKQLGSECLHVSPKI >ENSMUSP00000138051.1 pep:known chromosome:GRCm38:CHR_MG4214_PATCH:37886889:37897682:-1 gene:ENSMUSG00000097270.2 transcript:ENSMUST00000181431.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox7b MEGVNRGNSERKSDGFTRDPQRQGRRHQIQFTFTPWQVQEMETMFQETQYPDVLTREVLA RSMDGSEAKVQIRFNNRRAKQRAREKKAMLRSTAGAKAPLVLPAGEERNGEDSRDQSSPG LGASAAEWGGVEGPGELGRKEKNGASPSAVDTSGVRGDWTQKGASGSSQKNERRPQNQVP ECRWGTEDVHPVPVLVPRAQRRQRVGSRSRGQSVSLKCPHIPPVLVSTVQPVPVLVPHRP LRDRFTEPQLQELEQVFQRNHYLRAEEGKQLARGMGVTEAKLQRWFKKRRVQFRREHSQS RMNDDAPPRTHSTSLKMAQEP >ENSMUSP00000046003.8 pep:known chromosome:GRCm38:13:64192545:64274973:-1 gene:ENSMUSG00000033102.14 transcript:ENSMUST00000039318.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc14b description:CDC14 cell division cycle 14B [Source:MGI Symbol;Acc:MGI:2441808] MKRKSERRSAWATAPPCSRRSSSSSPGVKKSRSSTPQELHRLEQQDDLYLDITDRLCFAI LYSRPKSATNEHYFSIDNELEYENFYADFGPLNLAMVYRYCCKINKKLKSITMLRKKIIH FTGTDQRKQANAAFLVGCYMVIYLGRTPEDAYRTLIFGDTAYIPFRDAAYGSCSFYITLL DCFHAVKKAMQYGFFNFNSFNLDEYEHYEKAENGDFNWIIPERFLAFCGPHSRSRLESGY HQHSPETYIPYFKNHNVTTIIRLNKRMYDAKRFTDAGFDHHDLFFPDGSTPAESIVQEFL DICENVKGAIAVHCKAGLGRTGTLIGCYLMKHYRMTAAESIAWLRICRPGSVIGPQQQFL VMKQSSLWLEGDYFRQKLRGQENGPLREAFSKHLSDADDLSLNGLENQDNQEPEPYSDDD EVSGMTQGDRLRALKSRRQPKASAIPLTCPLAVLTSALCSVAIWWIVCDYILPTLLFCLD GFRTQ >ENSMUSP00000105391.2 pep:known chromosome:GRCm38:13:64192545:64248526:-1 gene:ENSMUSG00000033102.14 transcript:ENSMUST00000109769.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc14b description:CDC14 cell division cycle 14B [Source:MGI Symbol;Acc:MGI:2441808] MRREGAGTPLMAEVIRDRLCFAILYSRPKSATNEHYFSIDNELEYENFYADFGPLNLAMV YRYCCKINKKLKSITMLRKKIIHFTGTDQRKQANAAFLVGCYMVIYLGRTPEDAYRTLIF GDTAYIPFRDAAYGSCSFYITLLDCFHAVKKAMQYGFFNFNSFNLDEYEHYEKAENGDFN WIIPERFLAFCGPHSRSRLESGYHQHSPETYIPYFKNHNVTTIIRLNKRMYDAKRFTDAG FDHHDLFFPDGSTPAESIVQEFLDICENVKGAIAVHCKAGLGRTGTLIGCYLMKHYRMTA AESIAWLRICRPGSVIGPQQQFLVMKQSSLWLEGDYFRQKLRGQENGPLREAFSKHLSDA DDLSLNGLENQDNQEPEPYSDDDEVSGMTQGDRLRALKSRRQPKASAIPLTCPLAVLTSA LCSVAIWWIVCDYILPTLLFCLDGFRTQ >ENSMUSP00000105392.1 pep:known chromosome:GRCm38:13:64195360:64274879:-1 gene:ENSMUSG00000033102.14 transcript:ENSMUST00000109770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc14b description:CDC14 cell division cycle 14B [Source:MGI Symbol;Acc:MGI:2441808] MKRKSERRSAWATAPPCSRRSSSSSPGVKKSRSSTPQELHRLEQQDDLYLDITDRLCFAI LYSRPKSATNEHYFSIDNELEYENFYADFGPLNLAMVYRYCCKINKKLKSITMLRKKIIH FTGTDQRKQANAAFLVGCYMVIYLGRTPEDAYRTLIFGDTAYIPFRDAAYGSCSFYITLL DCFHAVKKAMQYGFFNFNSFNLDEYEHYEKAENGDFNWIIPERFLAFCGPHSRSRLESGY HQHSPETYIPYFKNHNVTTIIRLNKRMYDAKRFTDAGFDHHDLFFPDGSTPAESIVQEFL DICENVKGAIAVHCKAGLGRTGTLIGCYLMKHYRMTAAESIAWLRICRPGSVIGPQQQFL VMKQSSLWLEGDYFRQKLRGQENGPLREAFSKHLSDADDLSLNGLENQDNQEPEPYSDDD EVSGMTQGDRLRALKSRRQPKASAIPLTCPLAVLTSALCSVAIWWIVCDYILPTLLFCLD GFRTQ >ENSMUSP00000025778.7 pep:known chromosome:GRCm38:19:30098449:30175418:-1 gene:ENSMUSG00000024827.9 transcript:ENSMUST00000025778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gldc description:glycine decarboxylase [Source:MGI Symbol;Acc:MGI:1341155] MQLCARAWGLRLGRGAGGGHRLARGTGLSWAQRSRDSSGGGGGGGGGDRGAAGASRLLER LLPRHDDFSRRHIGPGDKDRREMLQALGLASIDELIEKTVPASIRLKRPLKMEDPICENE ILETLHAIASKNQIWRSYIGMGYYNCSVPQTILRNLLENSGWVTQYTPYQPEVSQGRLES LLNYQTMVSDITGLDMANASLLDEATAAAEAMQLCHRHNKRKKFFVDPRCHPQTIAVVQT RAKYRGVLVELKLPHEMDFSGKDVCGVLFQYPDTEGKVEDFTELVDRAHQTGSLTCCATD LLALCILRPPGEFGVDIALGNSQRFGVPLGYGGPHAAFFAVKENLVRMMPGRMVGVTRDA TGKEVYRLALQTREQHIRRDKATSNICTAQALLANMAAMFAIYHGSQGLKHIAKRVHNAT LILSEGLKRAGHQLQHDLFFDTLKVQCGCSVKEVLGRAAQRQINFRLFDDGTLGISLDET VTEKDLDDLLWIFGCESSAELVAEGMGEERRGLLGSSFKRTSPFLTHQVFNSYHSETNLV RYMKKLENKDISLVHSMIPLGSCTMKLNSSSELAPITWREFANIHPFVPLDQAQGYQQLF QGLEKDLCEITGYDRVSFQPNSGAQGEYAGLATIRAYLDQKGERHRTVCLIPKSAHGTNP ASAHMAGMKIQPVEVDRYGNIDVAHLKAMVDQHKENLAAIMITYPSTNGVFEENIGDVCA LIHQHGGQVYLDGANMNAQVGICRPGDFGSDVSHLNLHKTFCIPHGGGGPGMGPIGVKKH LSPFLPSHPVISIKPTEGTWPVGTVSAAPWGSSSILPISWAYIKMMGGKGLKEATEIAIL NANYMAKRLEKHYRVLFRGARGYVAHEFILDTRPFKKSANVEAVDVAKRLQDYGFHAPTM SWPVAGTLMIEPTESEDKAELDRFCDAMISIRQEIADIEEGRIDPRVNPLKMSPHSLTCV TSSCWDRPYSREVAAFPLPFVKPENKFWPTIARIDDIYGDQHLVCTCPPMEVYESPFSEQ KRASS >ENSMUSP00000034966.7 pep:known chromosome:GRCm38:9:64173387:64178562:1 gene:ENSMUSG00000032399.7 transcript:ENSMUST00000034966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl4 description:ribosomal protein L4 [Source:MGI Symbol;Acc:MGI:1915141] MACARPLISVYSEKGESSGKNVTLPAVFKAPIRPDIVNFVHTNLRKNNRQPYAVSELAGH QTSAESWGTGRAVARIPRVRGGGTHRSGQGAFGNMCRGGRMFAPTKTWRRWHRRVNTTQK RYAICSALAASALPALVMSKGHRIEEVPELPLVVEDKVEGYKKTKEAVQLLKKLKAWNDI KKVYASQRMRAGKGKMRNRRRIQRRGPCIIYNEDNGIIKAFRNIPGITLLNVSKLNILKL APGGHVGRFCIWTESAFRKLDELYGTWRKAASLKSNYNLPMHKMMNTDLSRILKSPEIQR ALRAPRKKIHRRVLKKNPLKNLRIMLKLNPYAKTMRRNTILRQARNHKLRVKKLEAAATA LATKSEKVVPEKGTADKKPAVGKKGKKVDAKKQKPAGKKVVAKKPAEKKPTTEEKKPAA >ENSMUSP00000001825.7 pep:known chromosome:GRCm38:9:18292267:18314000:1 gene:ENSMUSG00000001774.7 transcript:ENSMUST00000001825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chordc1 description:cysteine and histidine-rich domain (CHORD)-containing, zinc-binding protein 1 [Source:MGI Symbol;Acc:MGI:1914167] MALLCYNRGCGQRFDPEANSDDACTYHPGVPVFHDALKGWSCCKRRTTDFSDFLSIVGCT KGRHNSEKPPEPVKPEVKTTEKKELSELKPKFQEHIIQAPKPVEAIKRPSPDEPMTNLEL KISASLKQALDKLKLSSGSEEDKKEEDSDEIKIGTSCKNGGCSKTYQGLQSLEEVCVYHS GVPIFHEGMKYWSCCRRKTSDFNTFLAQEGCTRGKHVWTKKDAGKKVVPCRHDWHQTGGE VTISVYAKNSLPELSQVEANSTLLNVHIVFEGEKEFHQNVKLWGVIDVKRSYVTMTATKI EITMRKAEPMQWASLELPTTKKQEKQKDIAD >ENSMUSP00000019950.4 pep:known chromosome:GRCm38:10:13178640:13193137:-1 gene:ENSMUSG00000019814.4 transcript:ENSMUST00000019950.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltv1 description:LTV1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2447810] MPHRKKKPFIEKKKAVSFHLVHRSQRDPLAADETAPQRVLLPTQKVNDEERRAEQRKYGV FFDDDYDYLQHLKEPSGPAELIPSTSFATPLCDKTEDPCVYSSTGIKLPSSVFASEFEED VGLLNKAAPVSGPRLDFDPDIVAALDDDFDFDDPENLLEDDFILQANKPTGGERMDTESE EDDGHEWEDMDDEEGSDDRSSAGFLSDGGDLSAPGSPQEAMKKHLFWEEETKSRFTEYSM TSSVMRRNEQLTLHDERFEKFYEQYDDVEIGALDNAELEGTIQVDSNRLQEVLNDYYKEK AENCVKLSTLEPFEDQDLPTNELDESEKEETITVVLEEAKEKWDCESICSTYSNLYNHPQ LIKYEPKPKQIHLSSKTGIPLNVLPKKGLTAKQVERMQMINGSDLPKVSTQPRSKDETKE DKRARKQAIKEERKERRVEKKANKLAFKLEKRRQEKELLNLKKNIEGLKL >ENSMUSP00000060218.7 pep:known chromosome:GRCm38:13:58157649:58164693:1 gene:ENSMUSG00000050002.13 transcript:ENSMUST00000051490.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idnk description:idnK gluconokinase homolog (E. coli) [Source:MGI Symbol;Acc:MGI:1922981] MEAPGVLLVMGVSGSGKSTVGALLASKLGWKFYDADDYHSEENRIKMAKGVPLSDQDRIP WLCTLHDILLRDVALGQPVVLACSALKKTYRDILIRGGSDAPLKSDDSAKEPLAGGKLLV VYLCGSFDIIYGRLLQRKGHFMPPELLQSQFSILEPPSAPENFIQVSVDKSLPEITAAVM EALK >ENSMUSP00000105494.2 pep:known chromosome:GRCm38:13:58157649:58164693:1 gene:ENSMUSG00000050002.13 transcript:ENSMUST00000109868.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idnk description:idnK gluconokinase homolog (E. coli) [Source:MGI Symbol;Acc:MGI:1922981] MEAPGVLLVMGVSGSGKSTVGALLASKLGWKFYDADDYHSEENRIKMAKGVPLSDQRCGF GTACCSSLFSSEENVQRHLDPRRK >ENSMUSP00000056282.3 pep:known chromosome:GRCm38:9:44326845:44333600:1 gene:ENSMUSG00000032123.4 transcript:ENSMUST00000054708.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpagt1 description:dolichyl-phosphate (UDP-N-acetylglucosamine) acetylglucosaminephosphotransferase 1 (GlcNAc-1-P transferase) [Source:MGI Symbol;Acc:MGI:1196396] MWAFPELPLPLPLLVNLIGSLLGFVATVTLIPAFRSHFIAARLCGQDLNKLSQQQIPESQ GVISGAVFLIILFCFIPFPFLNCFVEEQCKAFPHHEFVALIGALLAICCMIFLGFADDVL NLRWRHKLLLPTAASLPLLMVYFTNFGNTTIVVPKPFRWILGLHLDLGILYYVYMGLLAV FCTNAINILAGINGLEAGQSLVISASIIVFNLVELEGDYRDDHIFSLYFMIPFFFTTLGL LYHNWYPSRVFVGDTFCYFAGMTFAVVGILGHFSKTMLLFFMPQVFNFLYSLPQLFHIIP CPRHRMPRLNAKTGKLEMSYSKFKTKNLSFLGTFILKVAENLRLVTVHQGESEDGAFTEC NNMTLINLLLKVFGPIHERNLTLLLLLLQVLSSAATFSIRYQLVRLFYDV >ENSMUSP00000025786.7 pep:known chromosome:GRCm38:19:5133688:5273119:-1 gene:ENSMUSG00000024855.9 transcript:ENSMUST00000025786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacs1 description:phosphofurin acidic cluster sorting protein 1 [Source:MGI Symbol;Acc:MGI:1277113] MAERGGAGGGPGGSGGGSSQRGSGVAQSPQQQPQQQPPQPQQPTPPKLAQATSSSSSTSA AAASSSSSSTSTSMAVAVASGSAPPGGPGPGRTPAPVQMNLYATWEVDRSSSSCVPRLFS LTLKKLVMLKEMDKDLNSVVIAVKLQGSKRILRSNEIILPASGLVETELQLTFSLQYPHF LKRDANKLQIMLQRRKRYKNRTILGYKTLAVGLINMAEVMQHPNEGALVLGLHSNVKDVS VPVAEIKIYSLSSQPIDHEGIKSKLSDRSPDIDNYSEEEEESFSSEQEGSDDPLHGQDLF YEDEDLRKVKKTRRKLTSTSAITRQPNIKQKFVALLKRFKVSDEVGFGLEHVSREQIREV EEDLDELYDSLEMYNPSDSGPEMEETESILSTPKPKLKPFFEGMSQSSSQTEIGSLNSKG SLGKDTTSPMELAALEKVKSTWIKNQDDSLTETDTLEITDQDMFGDVSTSLVVPEKVKTP MKSSKADLQGSASPSKVEGTHTPRQKRSTPLKERQLSKPLSERTNSSDSERSPDLGHSTQ IPRKVVYDQLNQILVSDAALPENVILVNTTDWQGQYVAELLQDQRKPVVCTCSTVEVQAV LSALLTRIQRYCNCNSSMPRPVKVAAVGSQSYLSSILRFFVKSLASKTPDWLGYMRFLII PLGSHPVAKYLGSVDSRYSSTFLDSAWRDLFSRSEPPVSEPLDVVGRVMQYVNGATTTHQ LPVAEAMLTCRHKFPDEDSYQKFIPFIGVVKVGLVEDSPSTAGDGDDSPVVSLTVPSTSP PSSSGLSRDATATPPSSPSMSSALAIVGSPNSPYGDVIGLQVDYWLGHPGERRREGDKRD ASSKNTLKSVFRSVQVSRLPHAGEAQLSGTMAMTVVTKEKNKKVPTIFLSKKPREKEVDS KSQVIEGISRLICSAKQQQTMLRVSIDGVEWSDIKFFQLAAQWPTHVKHFPVGLFSGSKT T >ENSMUSP00000081784.5 pep:known chromosome:GRCm38:9:123021326:123023490:1 gene:ENSMUSG00000066233.5 transcript:ENSMUST00000084733.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem42 description:transmembrane protein 42 [Source:MGI Symbol;Acc:MGI:1277176] MAAGPQSSGAAVSAAAYPDSPVELPARLQKGAMRRRFWGVFNCLCAGAFGALAAAAAKLA FGSQVNIGLCVLGIVAMASANSLMWTFFSRGLSFSMSSAIASVTVTFSNILCSAILGYLL YGECQEILWWGGVFLILCGLTLIHRKFPPTWKESKEQ >ENSMUSP00000061737.8 pep:known chromosome:GRCm38:13:68582248:68592279:1 gene:ENSMUSG00000021532.10 transcript:ENSMUST00000051784.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fastkd3 description:FAST kinase domains 3 [Source:MGI Symbol;Acc:MGI:1916827] MAFITLRRAFCHKSILWIPGAVVALKIHPASHAPKAVTDRLSVCFCSLQPELFRVRFHHA YCKNFHSEKGNDFHPVGEPWSSQAQEWNQPGQSLQNEDEEMLFRRLSYFTSFEEVLSFIS ALDTLPVPLAMAALLRICEIGRRDGEQRLPEGVLENRAFQALCLRCERDPSHLTNAGLVT ALQSLLTLLPADPQSSLMLSLVAECQRRLQRGNLEVHHLCVLGESLAMLQGASCETLKLV VRQLQSKSVETFAPEEITSVYRILQVCPEEVDKHQMFLNTLNNFSISVVPYLSPKSISHV LTALVALDQTHALPLLIKLGKYVVRYIPRFTNEELRKVLEAFVYFGHSDRFFTEALEQHV SALCFSLDPAVASSVMGYCSRKRILSKPIFDVVSEIVVCQWDRLSPSQIAELIEPFGKLN YVPPNAPALFRKVENVLCARLHHFPPKMLLRLLHSCALIERHPVNFMSKLFSPFFLQRLQ GKESYLDRLSLAQLTQLFLTSVLECPFYKGPKLLPKYQVKSFLTPCCSLETPLDLHLYKS VVIGLIDLLGSRLYFASKVLTPYYYTIDVEVKLDEDGFVLPCTVDEDIHKRVALCIDGPQ RFCLDSKHLLGKEATKQRHLRLLGYQVVQLPYHELELLTSRLELVDYLQRKLFSQSSAVH W >ENSMUSP00000034483.4 pep:known chromosome:GRCm38:9:8221889:8241987:1 gene:ENSMUSG00000031998.4 transcript:ENSMUST00000034483.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700128F08Rik description:RIKEN cDNA 1700128F08 gene [Source:MGI Symbol;Acc:MGI:1923919] MSDWMPIAKEYDPLKAGSIDGTDEDPHDRAVWRAMLACYVPNKGVTGDLLHTLFVAQLDL QTKEKFSRPKTYGDMRGEDRISAKRTVQLQPSRYKKRILASKQGVLEYLGLAEVGVLSSD HGEWSWFLLVRFLRLPFAIWYYLELVVIVVSG >ENSMUSP00000137680.1 pep:known chromosome:GRCm38:13:118386630:118388124:1 gene:ENSMUSG00000097411.1 transcript:ENSMUST00000181168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B430218F22Rik description:RIKEN cDNA B430218F22 gene [Source:MGI Symbol;Acc:MGI:3704413] MQSHTAARRAARSSEGGGGDSAGAGGRPETNTVLVKLWYQRSAFKAEICGCTTYFLNCIL VRIRIFSSTEGAACTVACQRCTRRCRAALLSAVMEATIGGYRATGVATTSRSLTSGAVAD ALAAAFWDNPRPRGTSFQYLAAAIFARRDPELEVNWQELFLFACRRDQSLLGGGCSECLW CVVSSSLQPISMLLEVCCILFEYLSAIKIRLPQIFSHRLCSEE >ENSMUSP00000141844.1 pep:known chromosome:GRCm38:3:93290366:93291133:1 gene:ENSMUSG00000103750.1 transcript:ENSMUST00000180293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg description:filaggrin [Source:MGI Symbol;Acc:MGI:95553] GQHGSGYYYEQEHSEEESDSQHQHGHQHEQQRGHQHQHQHQHEHEQPESGHRQQQSSGRG HQGAHQEQGRDSARSRGSNQGHSSSRHQADSPRVSARSGSGGRGQSPDASGRSSNRRDRP RQPSPSQSSDSQVHSGVQVEGRRGQSSSANRRAGSSSGSGVQGASAGGLAADASRRSGAR QGQASAQGRAGSQGQAQGRVGSSADRQGRRGVSGSQASDSEGHSDFSEGQAVGAHRQSGA GQRHEQRSSRGQHGSG >ENSMUSP00000075825.3 pep:known chromosome:GRCm38:19:42725858:42752775:-1 gene:ENSMUSG00000060224.3 transcript:ENSMUST00000076505.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pyroxd2 description:pyridine nucleotide-disulphide oxidoreductase domain 2 [Source:MGI Symbol;Acc:MGI:1921830] MAAGGRGLIRALHSSPCPTWKRAQSGANGRLKPEYDAVVIGAGHNGLVAAAYLQRLGVNT AVFERRHVIGGAAVTEEIIPGFKFSRASYLLSLLRPQICTDLELKKHGLKLHLRDPYSFT PMLEEGTLNRLPRSLLLGTDMAANQKEISQFSRKDAQAFPRYEEFMKRLVLAIDPLLDAA PVDTTAFQHGSLLQRLRALSTLKPLLKAGRTLGAQLPQYYEVLTAPISKVLDQRFESEPL KATLATDAVIGAMTSPHTPGSGYVLLHHVMGSLEGTQGAWSYVQGGMGALSDAIASSAAT RGASIFTEKTVAKVQVNSEGRAQGVTLQDGEEVRSRVVLSCASPQVTFLELTPQEWLPGA FVKRISQLDTQSPVTKINVAVDRLPNFQAAPNAPGDQPQGHHQCSIHLNCEDTLLLHQAF EDAKGGLPSQKPMIELCIPSSLDPTLAPPGCHVVSLFTQYTPYTLAGGKVWNEQEKNTYA DKVFDCIEAYAPGFKRSVLARDILTPPDLERIFRLPGGNIFHGAMSLDQLYFARPVPQHS DYRCPVQGLYLCGSGAHPGGGVMGAAGRNAAHVVFRDLKNM >ENSMUSP00000034851.4 pep:known chromosome:GRCm38:9:55011343:55026559:-1 gene:ENSMUSG00000032303.6 transcript:ENSMUST00000034851.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna3 description:cholinergic receptor, nicotinic, alpha polypeptide 3 [Source:MGI Symbol;Acc:MGI:87887] MRSSDMGVVLPPPPLSMLMLVLMLLPVASASEAEHRLFQYLFEDYNEIIRPVANVSHPVI IQFEVSMSQLVKVDEVNQIMETNLWLKQIWNDYKLKWKPSDYQGVEFMRVPAEKIWKPDI VLYNNADGDFQVDDKTKALLKYTGEVTWIPPAIFKSSCKIDVTYFPFDYQNCTMKFGSWS YDKAKIDLVLIGSSMNLKDYWESGEWAIIKAPGYKHEIKYNCCEEIYQDITYSLYIRRLP LFYTINLIIPCLLISFLTVLVFYLPSDCGEKVTLCISVLLSLTVFLLVITETIPSTSLVI PLIGEYLLFTMIFVTLSIVITVFVLNVHYRTPTTHTMPTWVKAVFLNLLPRVMFMTRPTS TEEDAPKTRNFYGAELSNLNCFSRADSKSCKEGYPCQDGTCGYCHHRRVKISNFSANLTR SSSSESVDAVLSLSALSPEIKEAIQSVKYIAENMKAQNVAKEIQDDWKYVAMVIDRIFLW VFILVCILGTAGLFLQPLMARDDT >ENSMUSP00000096850.1 pep:novel scaffold:GRCm38:GL456354.1:25923:27230:-1 gene:ENSMUSG00000074720.1 transcript:ENSMUST00000099245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC140325.1 FPIFNRTRVREAKCINKFTSQFFSFNCLQQLFMFCVHFLISQMNQVLRFLVTPLKTLSIN YSLISQRDLDSCSCCLILFQLKHLGLRGVVLLDFDLMALRCLLMKVVSTLETLDLQGCRV NDSEVNALLPGFKQCTQISNVNFYNSDFSMPILKDLSHQQPT >ENSMUSP00000096116.1 pep:known chromosome:GRCm38:8:90907939:90908415:-1 gene:ENSMUSG00000074171.1 transcript:ENSMUST00000098517.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6658 description:predicted gene 6658 [Source:MGI Symbol;Acc:MGI:3645470] HRGGRDRCSSGRRSVRLGLPKKGGYPRGSAPLRLARPPTEGSRRRGCYPAPGRSARFHAQ PRAASGRGRAGAGRPLAGAWGSAEPAPPPPRQAPPRQAPRARPGCPRPCGVGAEVTPVGS GSPSERRGSDPPRPPCSPRPRVHAVYCENREPGEGRRR >ENSMUSP00000072137.4 pep:known chromosome:GRCm38:9:38115692:38116727:1 gene:ENSMUSG00000096356.1 transcript:ENSMUST00000072290.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr889 description:olfactory receptor 889 [Source:MGI Symbol;Acc:MGI:3030723] LILGRMAVGNSSSVKEFILLGLTQEPELQLPLFFLFLGIYVVSVMGNLGLIVLIVLNPPL HTPMYYFLFNLSFTDLCYSTVITPRMLVGFVKQNTISHAECMTQLFFFCFFVIDECYILT AMAYDRYAAICKPLLYQVTMSHQVCLLMTVGMYVMGLVGAIAHIVCMLRLTFCEGHIINH YMCDIPPLLKLSCTSTYINELVVFIVVGVNVIVPTLTIFITYTLILSNILSIHSAEGRSK AFSTCGSHVIAVSLFFGAAAFMYLKPSSASVDEEKLSTIFYTIVGPMLNPFIYSIRNKDV HIALRKTLKKSMFT >ENSMUSP00000131819.1 pep:known chromosome:GRCm38:10:82378593:82381237:1 gene:ENSMUSG00000073427.3 transcript:ENSMUST00000165906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4924 description:predicted gene 4924 [Source:MGI Symbol;Acc:MGI:3643133] SGQKPCEYNQSGKAFAQNSHLFHHEIDHTQEKSYGCSQCGKAFAYNSQLQRHERSHTGEK PYGCNKCGKAFAQKNNLHSHERSHTGEKPYECKKCGKAFSQNSHLHKHERSHTGEKPYGR KQCDKAFAQNSHLFHHEINCTQEKSYGCSQCGKAFGRYSNLQRHERSHTGEKPYECNKCG KAFSQNSHLHKHERSHTGEKPYECNQCLKAFACKSNLYRHERRHT >ENSMUSP00000076080.2 pep:known chromosome:GRCm38:9:39909805:39910728:1 gene:ENSMUSG00000095390.1 transcript:ENSMUST00000076802.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr229 description:olfactory receptor 229 [Source:MGI Symbol;Acc:MGI:3030063] MGTGNHSMVTEFILAGFSTKPELHLPLFLLFLGIYLLTVLGNLGMIILILLSSHLHTPMY FFLSSLSFIDLCHSTVITPKMLVNFVTEKNIISYPECMTQLYCFLVFAIAECHMLAVMAY DRYVAICNPLLYNVVMSHHLCFWLTVGVYSLGIVGSSVHTGFMLKLNFCKINVINHYFCD LFPLLELSCSSIYINELLVLFLSALNILTPALTILMSYIFIIVSILRIRSTEGRSKAFST CSSHISAVAIFYGSAAFMYLQPSSVSSMDQGKVSSVFYTTVVPMLNPLIYSLRNKDVKSA VKKILNR >ENSMUSP00000137064.1 pep:known chromosome:GRCm38:9:22055460:22071345:-1 gene:ENSMUSG00000038895.15 transcript:ENSMUST00000179605.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp653 description:zinc finger protein 653 [Source:MGI Symbol;Acc:MGI:2442362] MAERAPEPGAEAEAGAGGEAAAEEGAAGRKARGRPRLTESDRARRRLESRKKYDVRRVYL GEAHGPWVDLRRRSGWSDAKLAAYLISLERGQRSGRHGKPWEQVPKKPKRKKRRRRNVNC LKNVVIWYEDHKHRCPYEPHLAELDPTFGLYTTAVWQCEAGHRYFQDLHSPLKPLSDSEP DSDKVGSGLVAGSSDSSSSGSSSDSEEPPETQPAKASAAAAALTPASPTGSSGLITQEGV HIPFDVHHVESLAEQGTPLCQNPAGSGPEALETVVCVPVPMQVGTGPGTLFENMPQEALG EVVASCPVSGMVPGSQVIIIAGPGYDALTAEGIRLNVAAGGGTPSSSLGEEVPCAMMEGV AAYTQTEPEGTQHSTMDTTSIASIETKKDTTPCHPVEKEDLYMLKEEKEDSVAPELAELA ATVPENAEAEAEVDGEELDSSEMSAIIYEIPKEPEKRRRSKRSRVMDADGLLEMFHCPYE GCSQVYVALSSFQNHVNLVHRKGKTKVCPHPGCGKKFYLSNHLRRHMIIHSGVREFTCET CGKSFKRKNHLEVHRRTHTGETPLQCEICGYQCRQRASLNWHMKKHTAEVQYNFTCDRCG KRFEKLDSVKFHTLKSHPDHKPT >ENSMUSP00000045895.6 pep:known chromosome:GRCm38:9:22055460:22071345:-1 gene:ENSMUSG00000038895.15 transcript:ENSMUST00000043922.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp653 description:zinc finger protein 653 [Source:MGI Symbol;Acc:MGI:2442362] MAERAPEPGAEAEAGAGGEAAAEEGAAGRKARGRPRLTESDRARRRLESRKKYDVRRVYL GEAHGPWVDLRRRSGWSDAKLAAYLISLERGQRSGRHGKPWEQVPKKPKRKKRRRRNVNC LKNVVIWYEDHKHRCPYEPHLAELDPTFGLYTTAVWQCEAGHRYFQDLHSPLKPLSDSEP DSDKVGSGLVAGSSDSSSSGSSSDSEEPPETQPAKASAAAAALTPASPTGSSGLITQEGV HIPFDVHHVESLAEQGTPLCQNPAGSGPEALETVVCVPVPMQVGTGPGTLFENMPQEALG EVVASCPVSGMVPGSQVIIIAGPGYDALTAEGIRLNVAAGGGTPSSSLGEEVPCAMMEGV AAYTQTEPEGTQHSTMDTTSIASIETKKEKEDLYMLKEEKEDSVAPELAELAATVPENAE AEAEVDGEELDSSEMSAIIYEIPKEPEKRRRSKRSRVMDADGLLEMFHCPYEGCSQVYVA LSSFQNHVNLVHRKGKTKVCPHPGCGKKFYLSNHLRRHMIIHSGVREFTCETCGKSFKRK NHLEVHRRTHTGETPLQCEICGYQCRQRASLNWHMKKHTAEVQYNFTCDRCGKRFEKLDS VKFHTLKSHPDHKPT >ENSMUSP00000047408.4 pep:known chromosome:GRCm38:19:5390049:5394401:-1 gene:ENSMUSG00000039330.4 transcript:ENSMUST00000044527.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsga10ip description:testis specific 10 interacting protein [Source:MGI Symbol;Acc:MGI:1925556] EVRRAREQQVQQQVARCLAAYTSGGNRGTLAPQRKLEELRRKERQRFAEYQAELQGIQHR VQARPFLFQQAMQTNARLTANRRFSQVLSALGVDEDQLLAEAGNAESTPRKHRSNRSLRA EMEPSSQSPPKTEPTSSQPGRRPSPTLDPDYSPREKN >ENSMUSP00000025497.5 pep:known chromosome:GRCm38:18:58008623:58209926:-1 gene:ENSMUSG00000024598.8 transcript:ENSMUST00000025497.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbn2 description:fibrillin 2 [Source:MGI Symbol;Acc:MGI:95490] MGRRRRLCLQPYFVWLGCVALWAQGTDGQPQPPPPKTLRPQPPPQQVRPAVAGSEGGFMG PEYRDEGAVAASRVRRRGQQEILRGPNVCGSRFHSYCCPGWKTLPGGNQCIVPICRNSCG DGFCSRPNMCTCSSGQISPTCGAKSIQQCSVRCMNGGTCADDHCQCQKGYIGTYCGQPVC ENGCQNGGRCIGPNRCACVYGFTGPQCERDYRTGPCFTQVNNQMCQGQLTGIVCTKTLCC ATIGRAWGHPCEMCPAQPQPCRRGFIPNIRTGACQDVDECQAIPGLCQGGNCINTVGSFE CRCPAGHKQSETTQKCEDIDECSVIPGVCETGDCSNTVGSYFCLCPRGFVTSTDGSRCID QRAGTCFSGLVNGRCAQELPGRMAKAQCCCEPGRCWSIGTIPEACPVRGSEEYRRLCLDG LPMGGIPGSSVSRPGGTGSTGNGYGPGGTGFLPIPGDNGFSPGVGGAGVGAGGQGPIITG LTILNQTIDICKHHANLCLNGRCIPTVSSYRCECNMGYKQDANGDCIDVDECTSNPCSNG DCVNTPGSYYCKCHAGFQRTPTKQACIDIDECIQNGVLCKNGRCVNTDGSFQCICNAGFE LTTDGKNCVDHDECTTTNMCLNGMCINEDGSFKCVCKPGFILAPNGRYCTDVDECQTPGI CMNGHCINNEGSFRCDCPPGLAVGVDGRVCVDTHMRSTCYGEIKKGVCVRPFPGAVTKSE CCCANPDYGFGEPCQPCPAKNSAEFHGLCSSGIGITVDGRDINECALDPDICANGICENL RGSYRCNCNSGYEPDASGRNCIDIDECLVNRLLCDNGLCRNTPGSYSCTCPPGYVFRTET ETCEDVNECESNPCVNGACRNNLGSFHCECSPGSKLSSTGLICIDSLKGTCWLNIQDNRC EVNINGATLKSECCATLGAAWGSPCERCELDAACPRGFARIKGVTCEDVNECEVFPGVCP NGRCVNSKGSFHCECPEGLTLDGTGRVCLDIRMEHCFLKWDEDECIHPVPGKFRMDACCC AVGAAWGTECEECPKPGTKEYETLCPRGPGFANRGDILTGRPFYKDINECKAFPGMCTYG KCRNTIGSFKCRCNNGFALDMEERNCTDIDECRISPDLCGSGICVNTPGSFECECFEGYE SGFMMMKNCMDIDECERNPLLCRGGTCVNTEGSFQCDCPLGHELSPSREDCVDINECSLS DNLCRNGKCVNMIGTYQCSCNPGYQATPDRQGCTDIDECMIMNGGCDTQCTNSEGSYECS CSEGYALMPDGRSCADIDECENNPDICDGGQCTNIPGEYRCLCYDGFMASMDMKTCIDVN ECDLNPNICMFGECENTKGSFICHCQLGYSVKKGTTGCTDVDECEIGAHNCDMHASCLNV PGSFKCSCREGWVGNGIKCIDLDECANGTHQCSINAQCVNTPGSYRCACSEGFTGDGFTC SDVDECAENTNLCENGQCLNVPGAYRCECEMGFTPASDSRSCQDIDECSFQNICVFGTCN NLPGMFHCICDDGYELDRTGGNCTDIDECADPINCVNGLCVNTPGRYECNCPPDFQLNPT GVGCVDNRVGNCYLKFGPRGDGSLSCNTEVGVGVSRSSCCCSLGKAWGNPCETCPPVNST EYYTLCPGGEGFRPNPITIILEDIDECQELPGLCQGGNCINTFGSFQCECPQGYYLSEET RICEDIDECFAHPGVCGPGTCYNTLGNYTCICPPEYMQVNGGHNCMDMRKSFCYRSYNGT TCENELPFNVTKRMCCCTYNVGKAWNKPCEPCPTPGTADFKTICGNIPGFTFDIHTGKAV DIDECKEIPGICANGVCINQIGSFRCECPTGFSYNDLLLVCEDIDECSNGDNLCQRNADC INSPGSYRCECAAGFKLSPNGACVDRNECLEIPNVCSHGLCVDLQGSYQCICNNGFKASQ DQTMCMDVDECERHPCGNGTCKNTVGSYNCLCYPGFELTHNNDCLDIDECSSFFGQVCRN GRCFNEIGSFKCLCNEGYELTPDGKNCIDTNECVALPGSCSPGTCQNLEGSFRCICPPGY EVRSENCIDINECDEDPNICLFGSCTNTPGGFQCICPPGFVLSDNGRRCFDTRQSFCFTN FENGKCSVPKAFNTTKAKCCCSKMPGEGWGDPCELCPKDDEVAFQDLCPYGHGTVPSLHD TREDVNECLESPGICSNGQCINTDGSFRCECPMGYNLDYTGVRCVDTDECSIGNPCGNGT CTNVIGSFECTCNEGFEPGPMMNCEDINECAQNPLLCAFRCMNTFGSYECTCPVGYALRE DQKMCKDLDECAEGLHDCESRGMMCKNLIGTFMCICPPGMARRPDGEGCVDENECRTKPG ICENGRCVNIIGSYRCECNEGFQSSSSGTECLDNRQGLCFAEVLQTMCQMASSSRNLVTK SECCCDGGRGWGHQCELCPLPGTAQYKKICPHGPGYATDGRDIDECKVMPSLCTNGQCVN TMGSFRCFCKVGYTTDISGTACVDLDECSQSPKPCNFICKNTKGSYQCSCPRGYVLQEDG KTCKDLDECQTKQHNCQFLCVNTLGGFTCKCPPGFTQHHTACIDNNECGSQPSLCGAKGI CQNTPGSFSCECQRGFSLDASGLNCEDVDECDGNHRCQHGCQNILGGYRCGCPQGYVQHY QWNQCVDENECSNPGACGSASCYNTLGSYKCACPSGFSFDQFSSACHDVNECSSSKNPCS YGCSNTEGGYLCGCPPGYFRVGQGHCVSGMGFNKGQYLSVDAEAEDDENALSPEACYECK INGYTKKDGRRKRSAQEPEPASAEEQISLESVAMDSPVNMKFNLSGLGSKEHILELVPAI EPLNNHIRYVISQGNEDGVFRIHQRNGLSYLHTAKKKLAPGTYTLEITSIPLYGKKELRK LEEHNEDDYLLGVLGEALRMRLQIQLY >ENSMUSP00000034832.6 pep:known chromosome:GRCm38:9:56994968:57062805:1 gene:ENSMUSG00000032290.6 transcript:ENSMUST00000034832.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn9 description:protein tyrosine phosphatase, non-receptor type 9 [Source:MGI Symbol;Acc:MGI:1928376] MEPATAPRPDMAPELTPEEEQATKQFLEEINKWTVQYNVSPLSWNVAVKFLMARKFDVLR AVELFHCYRETRRKEGIVKLKPHEEPLRSEILSGKFTILNVRDPTGASIALFTARLHHPH KSAQHVVLQALFYLLDRAVDSFETQRNGLVFIYDMCGSNYANFELDLGKKVLNLLKGAFP ARLKKVLIVGAPIWFRVPYSIISLLLKDKVRERIQILKTSEVTQHLPRECLPENLGGYVK IDLATWNFQFLPQVNGHPDPFDEIILSSLPPALDWDSVHVPGPHAMTIQELVDYVNTRQK RGIYEEYEDIRRENPVGTFHCSMSPGNLEKNRYGDVPCLDQTRVKLTKRSGHTQTDYINA SFMDGYKQKNAYIGTQGPLENTYRDFWLMVWEQKVLVIVMTTRFEEGGRRKCGQYWPLEK DSRIRFGFLTVTNLGVENMNHYKKTTLEIHNTEERQKRQVTHFQFLSWPDYGVPSSAASL IDFLRVVRNQQSMAVGNLGARSKGQCPEPPIVVHCSAGIGRTGTFCSLDICLAQLEELGT LNVFQTVSRMRTQRAFSIQTPEQYYFCYKAILEFAEREGMVPSGHSLLAMDGQ >ENSMUSP00000034803.8 pep:known chromosome:GRCm38:9:49055581:49078772:1 gene:ENSMUSG00000032264.8 transcript:ENSMUST00000034803.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zw10 description:zw10 kinetochore protein [Source:MGI Symbol;Acc:MGI:1349478] MASFVTEVLAHSGSLEKEDLGTRISRLTRRVEEIKGEVCNMISKKYSEFLPTMQSAQALV TQVDTLSNDIDQLKSRIETEVCRDLHISTVEFTNLKQQLERDSVVLTLLKQLQEFSSAIE EYNSALAEKKYIPAARHLEEAQECLKLLKSRKCFDLKMLKSLSMELTVQKQNILYHLGED WQKLVVWKFPPAKDTSSLESCLQTELHLCTEQPEKEDMTPLPSISSVLLAFSILGELPTK LKSFGQMLLKYILKPLVTCPSLHAVIERQPSSVSICFESLTTDLEHPSPPEAFAKIRLVL EVLQKQLLDLPLDADLEIGKVPGIVLAEMLGEGIWEDLSECLIRNCLVYSIPTNSSKLQE YEEIIQSTEEFEKFLKEMRFLKGDTTDLLKYARNINSHFANKKCQDVIVAARNLMTSEIH NTVKIGPDCKEALPDLPSPDADHKLQVQTVCKAQFTDAGNLEPETSLDPQSFSLPTCRIS EAVKKLMELAYQTLLEATTSSDQCAVQLFYSVRNIFHLFHDVVPTYHKENLRKLPQLAAI HHNNCMYIAHHLLTLGHQFRLRLAPILCDGTTTFVDLVPGFRRLGTECFLAQMQAQKGEL LERLSSARSFANMDDEENYSAASKAVRQVLHQLRRLGIVWQDVLPVNIYCKAMGTLLNTA IAEMMSRITALEDISTEDGDRLYSLCKTVMDEGPQVFAPLSDENKNKKYQEEVPVYVSKW MPFKELMIMLQASLQEIGDRWADGKGPLATAFPSSEVKALIRALFQNTERRAAALAKIK >ENSMUSP00000061754.5 pep:known chromosome:GRCm38:13:95601804:95618459:-1 gene:ENSMUSG00000048376.5 transcript:ENSMUST00000059193.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F2r description:coagulation factor II (thrombin) receptor [Source:MGI Symbol;Acc:MGI:101802] MGPRRLLIVALGLSLCGPLLSSRVPMSQPESERTDATVNPRSFFLRNPSENTFELVPLGD EEEEEKNESVLLEGRAVYLNISLPPHTPPPPFISEDASGYLTSPWLTLFMPSVYTIVFIV SLPLNVLAIAVFVLRMKVKKPAVVYMLHLAMADVLFVSVLPFKISYYFSGTDWQFGSGMC RFATAAFYGNMYASIMLMTVISIDRFLAVVYPIQSLSWRTLGRANFTCVVIWVMAIMGVV PLLLKEQTTRVPGLNITTCHDVLSENLMQGFYSYYFSAFSAIFFLVPLIVSTVCYTSIIR CLSSSAVANRSKKSRALFLSAAVFCIFIVCFGPTNVLLIVHYLFLSDSPGTEAAYFAYLL CVCVSSVSCCIDPLIYYYASSECQRHLYSILCCKESSDPNSCNSTGQLMPSKMDTCSSHL NNSIYKKLLA >ENSMUSP00000110656.2 pep:known chromosome:GRCm38:9:37746136:37747068:1 gene:ENSMUSG00000063350.5 transcript:ENSMUST00000115004.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr874 description:olfactory receptor 874 [Source:MGI Symbol;Acc:MGI:3030708] MTAKNSSVIEFILAGLTDQPGLRMPLFFLFLGFYMVTVVGNLGLISLIGLNSHLHTPMYF FLFNLSVIDFCYSSTIIPKMLMNFISRKNIISHSGCMTQLFFFCFFVVSESFILSAMAYD RYVAICNPLMYTVTMSPQVYLLLLLGVYVMGFSGAMAHTGNLMNLTFCADNLINHFMCDI LPLLELSCNSTFINELVIFIVVAFDIGVPIVTIFISYALILSSILRMHSTEGRSKAFSTC SSHLIVVCLFFGSGAFMYLKPPSILPLDQGKVSSLFYMIVVPMLNPLIYSLRNKDVKVAL RKTLGKRILS >ENSMUSP00000041247.6 pep:known chromosome:GRCm38:12:102757975:102777701:1 gene:ENSMUSG00000041712.6 transcript:ENSMUST00000046404.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubr7 description:ubiquitin protein ligase E3 component n-recognin 7 (putative) [Source:MGI Symbol;Acc:MGI:1913872] MAGAESPTECQAELEPVVSLVDVLEEDEELENEACAVLGGSDSEKCSYSQGSVGRQALYA CSTCTPEGEEPAGICLACSYECHGSHKLFELYTKRNFRCDCGNSKFKNLECKLFPDKSKV NSCNKYNDNFFGLYCVCKRPYPDPEDEVPDEMIQCVVCEDWFHGRHLGAIPPESGDFQEM VCQACMRRCSFLWAYAAQLAVTRISAEDDGLLPNATGMGDEDVSKPENGAPQDNGLKEDA PEHGRDSVNEVKAEQKNEPCSSSSSESDLQTVFKKENIKTEPQSSCRLQELQAKQFVKKD AATYWPLNWRSKLCTCQDCMKMYGELDVLFLTDECDTVLAYENKGKNDQATDRRDPLMDT LSSMNRVQQVELICEYNDLKTELKDYLKRFADEGTVVKREDIQQFFEEFQSKKRRRVDGL QYYCS >ENSMUSP00000076315.2 pep:known chromosome:GRCm38:9:39623105:39624171:1 gene:ENSMUSG00000059867.2 transcript:ENSMUST00000077060.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr960 description:olfactory receptor 960 [Source:MGI Symbol;Acc:MGI:3030794] MNMTNNTMVTEFTLLGIPETEGLENVLLFLFSTLYACALLGNLLLLTAVTSSPRLHTPMY FFLSNLSISDMGFCSTTAPKMLSYLSGRGGGISFQGCVVQHFFYHCIGCVLCFLYTVMAY DRFVAICFPLRYTIIMNHRVCCVLATGTWMSGCVHATILTCLTFQLPYCGPSEVSYYFCD MPAVLLLACEDSSLAQRVGFTNVGLLSLICFFLIVVSYTRIGISISKIRSTEGRQRAFST CSAHLTAIMCVYGPVIVIYLQPNPSPLLSAIIQIFNNLVTPTINPLIYSLRNKDVKAALR HVFLKRCLSLEVNENI >ENSMUSP00000100590.2 pep:known chromosome:GRCm38:6:42261970:42264555:1 gene:ENSMUSG00000071506.3 transcript:ENSMUST00000095987.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem139 description:transmembrane protein 139 [Source:MGI Symbol;Acc:MGI:1924444] MVPRQLLGKLKQPFLFLSSASLLLGLALLVIQSDVAPVAYFFLCLAGFCFVACLLACVME RCSRSMPSSRQTENPEASGQAQDNGAFEVPTYEQAVEVMDSQPQSHLQELEQPPPYSSII ISPGVEGAQPSQPDSPSTGSLKRRVGSEGTMTARGNPGRILRLRGPRVVATAPDLQSMRV APKLEPSTPPPAYEISFAHADDDSVFYEDKWILP >ENSMUSP00000034929.6 pep:known chromosome:GRCm38:9:66955393:66975484:-1 gene:ENSMUSG00000032370.7 transcript:ENSMUST00000034929.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lactb description:lactamase, beta [Source:MGI Symbol;Acc:MGI:1933395] MYRLLSSVTARAAATAGPAWDGGRRGAHRRPGLPVLGLGWAGGLGLGLGLALGAKLVVGL RGAVPIQSPADPEASGTTELSHEQALSPGSPHTPAPPAARGFSRAIESSRDLLHRIKDEV GAPGIVVGVSVDGKEVWSEGLGYADVENRVPCKPETVMRIASISKSLTMVALAKLWEAGK LDLDLPVQHYVPEFPEKEYEGEKVSVTTRLLISHLSGIRHYEKDIKKVKEEKAYKALKMV KGTPPPSDQEKELKEKGGKNNEKSDAPKAKVEQDSEARCRSAKPGKKKNDFEQGELYLKE KFENSIESLRLFKNDPLFFKPGSQFLYSTFGYTLLAAIVERASGYKYLDYMQKIFHDLDM LTTVQEENEPVIYNRARFYVYNKKKRLVNTPYVDNSYKWAGGGFLSTVGDLLKFGNAMLY GYQVGQFKNSNENLLPGYLKPETMVMMWTPVPNTEMSWDKEGKYAMAWGVVEKKQTYGSC RKQRHYASHTGGAVGASSVLLVLPEELDSEAVNNKVPPRGIIVSIICNMQSVGLNSTALK IALEFDKDRAD >ENSMUSP00000060225.5 pep:known chromosome:GRCm38:9:56917200:56928371:-1 gene:ENSMUSG00000032733.5 transcript:ENSMUST00000050916.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx33 description:sorting nexin 33 [Source:MGI Symbol;Acc:MGI:2443239] MALKGRALYDFHSENKEEISIQQDEELVIFSETSLDGWLQGQNSRGETGLFPASYVEIVR PGISTNHVDYSNSPAGSLGTQGSLYSSPSMASPARSGGGSGFLSNPGSFEDDDDDDWDDW DDGCTVVEEPLAGGLGTNGHPPLNLSYPGAYPNQHMAFRPKAPLERQDSLASAKRGSVVG RNLNRFSCFVRSGVEAFILGDVPMMAKIAETYSIEMGPRGPQWKANPHPFACSIEDPTKQ TKFKGIKSYISYKLTPTHAGSPVYRRYKHFDWLYNRLLHKFTVISVPHLPEKQATGRFEE DFIEKRKRRLILWMDHMTSHPVLSQYEGFQHFLSCLDDKQWKMGKRRAEKDEMVGASFLL TFQIPTEHQDLQDVEDRVDTFKAFSKKMDDSVLQLSNVAAELVRKHVGGFRKEFQKLGSA FQAISHAFQMDPPFRSDALNNAISHTGRTYETVGEMFAEQPKHDLFQMLDTLSLYQGLLS NFPDIIHLQKGAFAKVKESQRMSDEGRMAQEEADGIRRRCRVVGFALQAEMNHFHQRREL DFKHMMQSYLRQQILFYQRVGQQLEKTLHMYDHL >ENSMUSP00000084606.3 pep:known chromosome:GRCm38:6:149130170:149130710:1 gene:ENSMUSG00000067292.3 transcript:ENSMUST00000087348.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10203 description:predicted gene 10203 [Source:MGI Symbol;Acc:MGI:3642365] GRGRRISEFEASLGYTEKPCLKKPKKKENNTMDPVLPSFAWRGRERERERERERERERER EAGTTF >ENSMUSP00000034742.6 pep:known chromosome:GRCm38:9:70407689:70421554:-1 gene:ENSMUSG00000032218.6 transcript:ENSMUST00000034742.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnb2 description:cyclin B2 [Source:MGI Symbol;Acc:MGI:88311] MALLRRPTVSSDLKNIDTEVIPKAKSHVTIRRAVLEEIGNKVRNRTTQVAKKPQNTKVPA LPTKVTNVNKQPKPTASVKPVQMEALAPKDRPPAPEDVSMKEESLCQAFSDALLCKIEDI DNEDRENPQLCSDYVKDIYQYLRQLEVLQSINPHFLDGRDINGRMRAILVDWLVQVHSKF RLLQETLYMCIAIMDRFLQAQLVCRKKLQLVGITALLLASKYEEMFSPNIEDFVYITDNA YTSSQIREMETLILKELKFELGRPLPLHFLRRASKAGEVDVEQHTLAKYLMELTLVDYDM VHYHPSQVAAAASCLSQKVLGQGKWNLKQQYYTGYMESEVLEVMQHMAKNVVKVNDNRTK FIAVKNKYASSRLLKISTIPQLNSKIIKDLASPLLGSP >ENSMUSP00000136885.1 pep:known scaffold:GRCm38:GL456212.1:31967:34932:-1 gene:ENSMUSG00000094915.1 transcript:ENSMUST00000179715.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC168977.2 QPFSHGIFSSRMSTEQENTEMHLIECMLKHFKTQKVAISNAIRSTFPFLESLRDREFITG KMYEDLLDSCRSLVPVDKVIYRALEELEKKFDMTVLCELFNEVNMEKYPNLNLIRRSFEC GI >ENSMUSP00000035245.8 pep:known chromosome:GRCm38:12:110872610:110889145:-1 gene:ENSMUSG00000021276.9 transcript:ENSMUST00000043716.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cinp description:cyclin-dependent kinase 2 interacting protein [Source:MGI Symbol;Acc:MGI:1914486] MEAKTLGIATPRKPVLSVSARKLKDNAADWHNLILKWDSLSDKGFTTASSIANLKVSLLS KEKVELESSSPASMEEEEKTNLDYDKGLEALCEELQAILDGLTKIQMKMEKLSSTTKGIC ELENYHYREESSRPPLFHTWPTAFFYEVSRRLSEAYKKELLLKHTIGAELAHTADRNLSL TYLSMWLHQPYIESNSKLQLESMLLETGHRAL >ENSMUSP00000107262.2 pep:known chromosome:GRCm38:9:120013755:120023598:-1 gene:ENSMUSG00000079243.2 transcript:ENSMUST00000111635.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xirp1 description:xin actin-binding repeat containing 1 [Source:MGI Symbol;Acc:MGI:1333878] MADAQMQVAPTPTIQMRTEEDLSLPHPSAPEGLPPPPPKETFSKFQQQRQASELRRLYKH IHPELRKNLEEAVAEDLAEVLGSEEPTEGDVQCMRWIFENWRLDAIGDHERPAAREPVSG GNVQATSRKFEEGSFTNSSDQEPEGLRPSGGDVQAARQMFETKPLDALRGQEEATQTTMR EPAATGDVQGTRKLFETRPLDRLGSRPSIQEQSPLELRSEIQELKGDVKKTVKLFQTEPL CAIQDAEGTIHEVKAACREEIQSNAVRSARWLFETRPLDAFNQDPSQVRVIRGISLEEGA LPDVSATRWIFETQPLDAIREIEVDEKDFQPSPDLIPPGPDVQHQRHLFETCSLDTLKGE RETEAEVPPKEEVIPGDVRSTLWLFETKPLDAFRDQVQVGHLQRVGHQEGEGLVTECLPS NGTSVLPLSQGVPQNDGLKGDVKTFKNLFETLPLDSIGQGEPSAYGNINRGQNTDSAEQS QGSDAPVYAMQDSRGQLHALTSVSREQVVGGDVQGYKWMFETQPLDTLGRSPSTIDVVRG ITRQEVVAGDVGTTRWLFETQPLEMIHQQEQQKPEEEEGKGPGGPPPELPKKGDVQTIRW LFETYPMSELAEKRESEVTDPVSKAETQSCTWMFGPQSLNPAEGSGEQHLQTSQVPAGDR QTDRHVFETESLPASNQSSGRKPVRYCSRVEIPSGQVSRQKEVFQALEAGKKEVPETTIN LGSIPTGSVHKFTWLFENCPMGSLAAESIRGDNLQEEQPKGSAGHGTPERQETAAERTLR TLHATPGILHHGGILMEARGPGELCLAKYVLPSPGQGRPYIRKEELVCGELPRIVRQVLR RTDVDQQGLLVQEDTAGQLQLHPLTLPGPGDPGNIEDMDPELQQLLACGLGVSVSKTGLV MQETGQGLVALTAYSLQPQLTSRAPERSSVQLLASCIDKGDLHSLHSLRWEPPTDPSSGP ATEESQRVPQTESIIHVTPLDSTMEMGQLRISGSTPCPPPSRAAGKVVLPNGKPVAQAPL QEARKKTDISHAGQKGKAASGRPEGTIASPLGSGAPDLQEAMQNLRLATAEAQSLHQQVL SRHPQGSDPVATSMPVQDVLQASTPATGVTQGSIRPVAGSEARIPAFPRKLL >ENSMUSP00000137502.1 pep:novel scaffold:GRCm38:GL456350.1:23801:25222:-1 gene:ENSMUSG00000094576.1 transcript:ENSMUST00000179935.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC087559.3 MGLVTCSHSQQAKGTIPMPHLFAKTREMLKSHVDSKCEQIREGKVPAQVWKSWECKIPGS LATVAPFPWIPQGQCRKLQAESKSDPDLLHKVVPWKPKTLSQETQTLSGTLFEHCKKPQS LPKETIKKLETTLHHKYLAFLSGLPALYCVALSRPASPAVTSQPRLREKMPKAVKSPSNA LTQITPLEPCAQDDSGVSADTAEEFQPGAEADRRTEKVPAESQPPPCRPYPINTHILAKL NFHLKKKILAMQFGISEKEKREYKELGTADLESESIQEFLRSLHMSESTLLQEQPVACPS LPAPNAKGVHPKKQPASAVQDVCQAQRPPPSKAVPHSSAQQSSKASQSQRDKTQVCVDME AGGKRFNLEKSKVVGDLGEGDAGLGFSLVSQKTRQDGEQEKRLLHRPLQGSSQQGHTFHL EDACPHSPRESPELQFPDPPPEVFMETDSEQDMEDSQSEESIVPEPEILFSIY >ENSMUSP00000042211.7 pep:known chromosome:GRCm38:9:57940113:57962864:1 gene:ENSMUSG00000038264.7 transcript:ENSMUST00000043059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema7a description:sema domain, immunoglobulin domain (Ig), and GPI membrane anchor, (semaphorin) 7A [Source:MGI Symbol;Acc:MGI:1306826] MTPPPPGRAAPSAPRARVLSLPARFGLPLRLRLLLVFWVAAASAQGHSRSGPRISAVWKG QDHVDFSQPEPHTVLFHEPGSFSVWVGGRGKVYHFNFPEGKNASVRTVNIGSTKGSCQDK QDCGNYITLLERRGNGLLVCGTNARKPSCWNLVNDSVVMSLGEMKGYAPFSPDENSLVLF EGDEVYSTIRKQEYNGKIPRFRRIRGESELYTSDTVMQNPQFIKATIVHQDQAYDDKIYY FFREDNPDKNPEAPLNVSRVAQLCRGDQGGESSLSVSKWNTFLKAMLVCSDAATNRNFNR LQDVFLLPDPSGQWRDTRVYGVFSNPWNYSAVCVYSLGDIDRVFRTSSLKGYHMGLPNPR PGMCLPKKQPIPTETFQVADSHPEVAQRVEPMGPLKTPLFHSKYHYQKVVVHRMQASNGE TFHVLYLTTDRGTIHKVVESGDQDHSFVFNIMEIQPFHRAAAIQAISLDADRRKLYVTSQ WEVSQVPLDMCEVYSGGCHGCLMSRDPYCGWDQDRCVSIYSSQRSVLQSINPAEPHRECP NPKPDEAPLQKVSLARNSRYYLTCPMESRHATYLWRHEENVEQSCEPGHQSPSCILFIEN LTARQYGHYRCEAQEGSYLREAQHWELLPEDRALAEQLMGHARALAASFWLGVLPTLILG LLVH >ENSMUSP00000137326.1 pep:known chromosome:GRCm38:Y:76378662:76379744:1 gene:ENSMUSG00000094404.1 transcript:ENSMUST00000177676.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21776 description:predicted gene, 21776 [Source:MGI Symbol;Acc:MGI:5433940] MSWRPSMSAQGVLETSISSQGLTETSLLPQEPPGHWLEKEALGYLPSNPEDLGLSDSSQD TLEIWACMQNSTEIPSVTQEVIESLSATQDSPKLPAPSPLSPETEEPLTYTQSISKSSKS VPGTPEALASVLGSLCLLKPNEDAMGPLVSEQGILRTPAFPQESLELSQPAQGPLKSVTS HQETVDTSSSTEFQGLSLCAEGDIIPTPPREDGWRNFSYLKKNPRRLKFNQRILKHVPIP ERDIRYCLSELVALKCISSAVSSLTSSKYAEKESSPTSTPQRSISPLKSSQLSFRSSILC KKVLSHSPPPGDCPTPSKLRKTRSSPSSVDQEGLKYVRSKHAGWKHCHSSKIWVRVCKFK K >ENSMUSP00000042904.6 pep:known chromosome:GRCm38:9:8076633:8134294:-1 gene:ENSMUSG00000040729.6 transcript:ENSMUST00000037397.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep126 description:centrosomal protein 126 [Source:MGI Symbol;Acc:MGI:2680221] MLAGRPGAQSAGAGVGAGPPDAPGARDGGGRPRPGAYLDMKIHLEKNLEEERQMLLQQQK LCRNRARKYFMESNRRRKAFEEKRQKQEEREYQIRERILQQRKQKFEEVTEKFQRAHVPV SQRRRAVFQNPVPPLEEALKQIQESNLKSEVNIPLFHRPATNWRAIDSALPSTLSKNDHK HQKHLVRRINRNKEMKENNIANLATNKNVFQLKLEETQKLLEDQHLSDLQKFCDEVNQIT NSETLSSIDSLEAGEREEIYITLSMKPSTSTQQNSVPLQSANLQAAHLDCFDEDKLSFSK TQHINNWLTNLDAQNSQPVASFSDILIKSNVLPSWECLNSKEQNPPALSRTVGRTTRTTS DSMVFVCSPSVVALDKKGENTAESNVVRASDPTEGAVQRERPAQMESPTFKVSRAWTTAE SLTQETASFSVQERPSELTWESRTASIPASSVTVLSPNLQAGGPLAENNTQIKEIDPVQC SDKLDELKNMEHERINHLNCNKEKYLFSPNFQTTCALQNSNSNDRKQKESGPSAALCNNP PDCDLPEQHSIKHSVHEQNGVRLLKSILKKESRYEHNYLRALVMKQGFKFRHQKAETVRD SIELTKQKGKGAEISKTSKKLRWFDESANLENAVDDCHPVRNRAGMAPRWLQRCHTNSGT YNLTSIPECPVHSAAGKKAKADSVPENATDLGRYEIDSVPLNSSVSLGFSFAKQAWSACR RGESKAPVHASDSKTQKTKPQRGVKFTRRTGSSKVQKGLVQNRKPTVSQPQTSSKANTVA QTQGKLIITHPPPKPPTNIKSGKNMQVSPGQSAIPEHSQNVMTQSCLSPASVLPTEYHLN QGTQESSLPFSDTCSNLPAVSPALPTPYSSECQTLAKANSNGTAFLKDGAVYCTHRSPVC EESYQSFTHRNTEEESILPWRRRINGHQNERTTDSTVTRRKQIVENKWKRLLEQKRKTSG SIGMKYTEQITHFGQNVPPSTTEQIQAPRGVKTEEVSDSTSEFLVAENLMNSSVPEDEIL TAINSKHLQKPNLSQPTNVCALSAEEQKILKSLHHLDERLYYVQEAIRKNPSIKNTLQLI PLLSSQPRASLSPDVGSTVQRKY >ENSMUSP00000129975.1 pep:known chromosome:GRCm38:13:66851343:66851513:-1 gene:ENSMUSG00000095206.1 transcript:ENSMUST00000169322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17404 description:predicted gene, 17404 [Source:MGI Symbol;Acc:MGI:4937038] EKPYECNQCGKAFATSSHLQCHKRTHTGAKPYECNQCGKAFSRQNSLRYHKRTHTGE >ENSMUSP00000092698.3 pep:known chromosome:GRCm38:9:51621425:51624874:1 gene:ENSMUSG00000078308.1 transcript:ENSMUST00000089534.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7293 description:predicted gene 7293 [Source:MGI Symbol;Acc:MGI:3779717] MVKVGVNGFGRIGRLVTRAAFCSPHGKVEIVAINDPFIDLNYMVYMFQYDSTHGKFNGTV KAENGKLVINGKPITVFQERDPTNIKCGEAGAEYVMESTGVFTTMEKAGAHLFVTGAKRV IISAPSADAPMFVMGVNHEKYDNSLKIVSNASCTTNCLAPLAKVIHDNFGIVEGLMTTVH AITATQKTVDGPSGKLWRDSRGAAQNIIPAPTDAAKAVSKVIPELNGKLTGMAFRVPTHN VSVVDLACRLEKPAKYDDIKKVVKQASEGSLKGILGYTEDQVVSCDFNSNSHSSTFDAGA GIALNDNFVKLISWYDNEYGYSNRVVDLMAYMASKE >ENSMUSP00000046980.6 pep:known chromosome:GRCm38:13:34734850:34747613:1 gene:ENSMUSG00000038246.6 transcript:ENSMUST00000039605.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam50b description:family with sequence similarity 50, member B [Source:MGI Symbol;Acc:MGI:1351640] MAQYKGTMREAGRAMHLIKKREKQKEQMEVLKQRIAEETIMKSKVDKKFSAHYDAVEAEL KSSTVGLVTLNDMKAKQEALLREREMQLAKREQLEQRRIQLEMLREKERRRERKRKISNL SFTLDEEEGDQEDSRQAESAEAHSAGAKKNLGKNPDVDTSFLPDREREEEENRLREELRQ EWEAKREKVKGEEVEITFSYWDGSGHRRTVRMSKGSTVQQFLKRALQGLRRDFRELRAAG VEQLMYVKEDLILPHYHTFYDFIVAKARGKSGPLFSFDVHDDVRLLSDATMEKDESHAGK VVLRSWYEKNKHIFPASRWEPYDPEKKWDRYTIR >ENSMUSP00000097276.3 pep:known chromosome:GRCm38:2:98662237:98664083:1 gene:ENSMUSG00000075015.3 transcript:ENSMUST00000099684.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10801 description:predicted gene 10801 [Source:MGI Symbol;Acc:MGI:3641656] PSVCISHFSRFLVISSFFKSSSRCFSFSMIFSFLAIFHVLHWTFLNFPPFSVFLAIFHVL KCVFLIFRDFQFSRHIPGSSVCISHFSRIIFHVFHFSSSGCFSFSMIFSFLDIFHVLQWT FLNFPPFFSPYSRSYSVHLSFFRFFIDFIIFLVVKWIFLIFHDFQFSY >ENSMUSP00000051879.3 pep:known chromosome:GRCm38:9:38773088:38776354:1 gene:ENSMUSG00000049926.3 transcript:ENSMUST00000062124.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr921 description:olfactory receptor 921 [Source:MGI Symbol;Acc:MGI:3030755] MALVNGSTVTEFILLGLTDQPGLQMPLFLLFLLMYMITVFGNLTLIFLILLNSHLHTPMY FFLLNLSFVDLCYSSVITPKMLMNFILKKNLISYMGCMSQLYFFCFFIISECYVLVSMAY DRYVAICNPLLYNTAMSPRVCSYLMLGTYLMGFFDAMIHTGCMLRLSFCDGNIINHYFCD VLPLLQLSCTSTYVNETEIFIVGGKDIILPSAIIFFSYGFILSNIFQIRSTLGRSKAFST CSSHIIAVSLFFGSCGFMYLKPSSAVSIDQGKISSIFYTIVVPMMNPLIYSLRNKDVKVA LRKTLSRRKFLKV >ENSMUSP00000011450.6 pep:known chromosome:GRCm38:8:70042813:70071953:1 gene:ENSMUSG00000011306.6 transcript:ENSMUST00000011450.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sugp1 description:SURP and G patch domain containing 1 [Source:MGI Symbol;Acc:MGI:1917866] MSLKMDNRDVAGKANRWFGMAQPKSGKMNMNILHQEELIAQKKREIEARMEQKARQSHVP SPQPPHPGEIADAHNSCISNKFANDGSFLQQFLKLQKAQTSTDSAPRAPPSMPTPSSLKK PLVLSKRTGLGLSSPTGPVKNYSHAKQLPVAHRPSVFQSPDDDEEEDYEQWLEIKVSPPE GAETRRVIEKLARFVAEGGPELEKVAMEDYKDNPAFTFLHDKNSREFLYYRRKVAEIRKE AQKPQAATQKVSPPEDEEAKNLAEKLARFIADGGPEVETIALQNNRENQAFSFLYDPNSQ GYRYYRQKLDEFRKAKAGSTGSFPAPAPNPSLRRKSAPEALSGAVPPITACPTPVAPAPA VNPTPSIPGKPTATAAVKRKRKSRWGPEEDKVELPPAELAQRDIDASPSPLSVQDLKGLG YEKGKPVGLVGVTELSDAQKKQLKEQQEMQQMYDMIMQHKRAMQDMQLLWEKALQQHQHG YDSDEEVDSELGTWEHQLRRMEMDKTREWAEQLTQMGRGKHFIGDFLPPDELEKFMETFK ALKEGREPDYSEYKEFKLTVENIGYQMLMKMGWKEGEGLGTEGQGIKNPVNKGATTIDGA GFGIDRPAELSKEDDEYEAFRKRMMLAYRFRPNPLNNPRRPYY >ENSMUSP00000096379.3 pep:known chromosome:GRCm38:9:51056780:51077094:-1 gene:ENSMUSG00000060594.5 transcript:ENSMUST00000098782.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Layn description:layilin [Source:MGI Symbol;Acc:MGI:2685357] MQPGAALQAMLLAVLLAKPRDSKGRLLSASDLDPRGGQLVCRGGTRRPCYKVIYFHDAFQ RLNFEEAKETCMEDGGQLVSIETEDEQRLIEKFIENLLASDGDFWIGLKRLEEKQSNNTA CQDLYAWTDGSTSQFRNWYVDEPSCGSEVCVVMYHQPSAPPGIGGSYMFQWNDDRCNMKN NFICKYHDDKPSTTPSIWPGGEATEPATPLLPEETQKEDTKETFKERREAALNLAYILIP SIPLFLLLVVTSAVCWVWICRRKREQTDPSTKEQHTIWPTPRQENSPNLDVYNVIRKQSE ADLAEPRPDLKNISFRVCSGEAMPDDMSCDYENIAVNPSESGFVTLASMESGFVTNDIYE FSPDRMGRSKESGWVENEIYY >ENSMUSP00000077132.6 pep:known chromosome:GRCm38:13:114958079:115101964:-1 gene:ENSMUSG00000042284.9 transcript:ENSMUST00000061673.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga1 description:integrin alpha 1 [Source:MGI Symbol;Acc:MGI:96599] MVPRRPASLEVTVACIWLLTVILGVCISFNVDVKNSMSFSGPVEDMFGYTVQQYENEEGK WVLIGSPLVGQPKARTGDVYKCPVGRERSMPCVKLDLPVNTSIPNVTEIKENMTFGSTLV TNPKGGFLACGPLYAYRCGHLHYTTGICSDVSPTFQVVNSFAPVQECSTQLDIVIVLDGS NSIYPWESVTAFLNDLLKRMDIGPKQTQVGIVQYGANVTHEFNLNKYSSTEEVLVAANKI GRRGGLQTMTALGIDTARKEAFTEARGARRGVKKVMVIVTDGESHDNYRLKQVIQDCEDE NIQRFSIAILGHYNRGNLSTEKFVEEIKSIASEPTEKHFFNVSDELALVTIVKALGERIF ALEATADQSAASFEMEMSQTGFSAHYSQDWVMLGAVGAYDWNGTVVMQKANQIVIPHNTT FQTEPTKMNEPLASYLGYTVNSATIPGDVLYIAGQPRYNHTGQVVIYKMEDGDVNILQTL SGEQIGSYFGSVLTTIDIDKDSYTDLLLVGAPMYMGTEKEEQGKVYVYAVNQTRFEYQMS LEPIKQTCCSSLKDNSCTKENKNEPCGARFGTAVAAVKDLNVDGFNDVVIGAPLEDDHAG AVYIYHGSGKTIRKEYAQRIPSGGDGKTLKFFGQSIHGEMDLNGDGLTDVTIGGLGGAAL FWARDVAVVKVTMNFEPNKVNIQKKNCRVEGKETVCINATMCFHVKLKSKEDSVYEADLQ YRVTLDSLRQISRSFFSGTQERRIQRNLTVRESECIRHSFYMLDKHDFQDSVRVTLDFNL TDPENGPVLDDALPNSVHGHIPFAKDCGNKERCVSDLTLDVSTTEKNLLIVRSQNDKFNV SLTVKNKGDSAYNTRTVVQYSPNLIFSGIEEIQKDSCESNQNITCRVGYPFLRTGDVVNF KIIFQFNTSHLSENAIIHLSATSDSEEPLESLYDNEVNISIPVKYEVGLQFYSSASEHHI SVAANETVPELINSTKDIGDEINVFYTIRKRGHFPMPELRLAISFPNLTSDGYPVLYPTG WSSSDNVNCRPRSLEDPLGINSGKKMTISKSEVLKRGTIQDCSTCKIATITCHLLPSDVS QVNVSLILWKPTFIKAHFSSLNLTIRGELQSENSSLTLSSSNRKRELAIQISKDGLPGRV PLWVILLSAFAGLLLLMLLILALWKIGFFKRPLKKKMEK >ENSMUSP00000040221.7 pep:known chromosome:GRCm38:9:121950988:121980208:1 gene:ENSMUSG00000038233.8 transcript:ENSMUST00000043011.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam198a description:family with sequence similarity 198, member A [Source:MGI Symbol;Acc:MGI:3041196] MAFCLLMVLSAVAVIHFPPGHPASTPGLNPMEPRGEVGASDPRIQQTLNSSLRQPARNLG HWTGQALPRNPILVCAKKQSRRRQVDRSRHPLSVRRDAILSAQDRELLLEGEVRDAGGAA LGQPGHNGLVQETQSKTVTMVVPLTERSHESFQAQRDTAAASFRPWPADGRDPLPGAKNG VLTGGKAGSATSGSEAPWWSSSAEDLQKSPWCGTETPGLAGTAAWGQVPPWFMEHDAQTL RLLVHGKVVGKARVPAHGQVLQVGLSAGDALQDISPLRLSQFCSQGLCGLIKRSKDLHEV LSFHLDRVLGLQRSLPAVARSFHSPLLPYRYTDGSVRPIIWWAPDVQHLRDPDEDQNSLA LGWLQYQALLARGCKWPGQIPCLGIHHAEWARLALFDFLLQVHDRLDRYCCGFEPEPSDP CVEEGLREKCRNPEELRLVHILVRSSDPSRLVYIDNAGNLQHPEDKLNFRLLEGIDGFPE SAVKVLASGCLQNLLFKSLQMDQVFWESQGGAAGLKHVLETLESRGQILLRHIKKHNLTL FRDKDP >ENSMUSP00000062333.4 pep:known chromosome:GRCm38:9:116087698:116175363:-1 gene:ENSMUSG00000032440.12 transcript:ENSMUST00000061101.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbr2 description:transforming growth factor, beta receptor II [Source:MGI Symbol;Acc:MGI:98729] MGRGLLRGLWPLHIVLWTRIASTIPPHVPKSDVEMEAQKDASIHLSCNRTIHPLKHFNSD VMASDNGGAVKLPQLCKFCDVRLSTCDNQKSCMSNCSITAICEKPHEVCVAVWRKNDKNI TLETVCHDPKLTYHGFTLEDAASPKCVMKEKKRAGETFFMCACNMEECNDYIIFSEEYTT SSPDLLLVIIQVTGVSLLPPLGIAIAVIIIFYCYRVHRQQKLSPSWESSKPRKLMDFSDN CAIILEDDRSDISSTCANNINHNTELLPIELDTLVGKGRFAEVYKAKLKQNTSEQFETVA VKIFPYEEYSSWKTEKDIFSDINLKHENILQFLTAEERKTELGKQYWLITAFHAKGNLQE YLTRHVISWEDLRKLGSSLARGIAHLHSDHTPCGRPKMPIVHRDLKSSNILVKNDLTCCL CDFGLSLRLDPTLSVDDLANSGQVGTARYMAPEVLESRMNLENVESFKQTDVYSMALVLW EMTSRCNAVGEVKDYEPPFGSKVREHPCVESMKDSVLRDRGRPEIPSFWLNHQGIQIVCE TLTECWDHDPEARLTAQCVAERFSELEHPERLSGRSCSQEKIPEDGSLNTTK >ENSMUSP00000035014.6 pep:known chromosome:GRCm38:9:116087698:116175363:-1 gene:ENSMUSG00000032440.12 transcript:ENSMUST00000035014.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbr2 description:transforming growth factor, beta receptor II [Source:MGI Symbol;Acc:MGI:98729] MGRGLLRGLWPLHIVLWTRIASTIPPHVPKSVNSDVMASDNGGAVKLPQLCKFCDVRLST CDNQKSCMSNCSITAICEKPHEVCVAVWRKNDKNITLETVCHDPKLTYHGFTLEDAASPK CVMKEKKRAGETFFMCACNMEECNDYIIFSEEYTTSSPDLLLVIIQVTGVSLLPPLGIAI AVIIIFYCYRVHRQQKLSPSWESSKPRKLMDFSDNCAIILEDDRSDISSTCANNINHNTE LLPIELDTLVGKGRFAEVYKAKLKQNTSEQFETVAVKIFPYEEYSSWKTEKDIFSDINLK HENILQFLTAEERKTELGKQYWLITAFHAKGNLQEYLTRHVISWEDLRKLGSSLARGIAH LHSDHTPCGRPKMPIVHRDLKSSNILVKNDLTCCLCDFGLSLRLDPTLSVDDLANSGQVG TARYMAPEVLESRMNLENVESFKQTDVYSMALVLWEMTSRCNAVGEVKDYEPPFGSKVRE HPCVESMKDSVLRDRGRPEIPSFWLNHQGIQIVCETLTECWDHDPEARLTAQCVAERFSE LEHPERLSGRSCSQEKIPEDGSLNTTK >ENSMUSP00000105211.3 pep:known chromosome:GRCm38:2:156311847:156312704:-1 gene:ENSMUSG00000046229.9 transcript:ENSMUST00000079125.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scand1 description:SCAN domain-containing 1 [Source:MGI Symbol;Acc:MGI:1343132] MAAPQPSQDPQSPAAPPEQQEGAGDCAAPSPDSGSSPAPELPGAPAALNTAPYADAALRP GASRPGPETFRQRFRQFRYQDAAGPREAFRQLRELSRQWLRPDIRTKEQIVEMLVQEQLQ AILPEAARARRLRRRADVRITG >ENSMUSP00000136538.1 pep:known chromosome:GRCm38:Y:24795161:24796243:-1 gene:ENSMUSG00000094911.1 transcript:ENSMUST00000180040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21921 description:predicted gene, 21921 [Source:MGI Symbol;Acc:MGI:5434085] MSWRPSMSAQGVLETSISSQGLTETSLLPQEPPGHWLEKEALGYLPSNPEDLGLSDSSQD TLEIWACMQNSTEIPSVTQEVIESLSATQDSPKLPVPSPLSPETEEPLTYTQSISKSSKS VPGTPEALASVLGSLCFLKPNEGALGPLVSEQGILRTPAFPQESLELSQPAQGPLKPVTS HQETVDTSFSTEFQGLSLCAEGDIMPTPPHEDGWRNFSYLKKNPRRLKSNQRILKHVPIP ERDIRYCLSELDALKCISSAVSSLTSSKYAEKESSPTSTPQRSISPLKSSQLSFRSSILC KKGLSHSPPPGNCPTPSKLRKTRPSPSSVDQEGLKYVRSKHAGWKHCHSSKIWVRVCKFK K >ENSMUSP00000027015.5 pep:known chromosome:GRCm38:9:5298517:5307265:1 gene:ENSMUSG00000025888.5 transcript:ENSMUST00000027015.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp1 description:caspase 1 [Source:MGI Symbol;Acc:MGI:96544] MADKILRAKRKQFINSVSIGTINGLLDELLEKRVLNQEEMDKIKLANITAMDKARDLCDH VSKKGPQASQIFITYICNEDCYLAGILELQSAPSAETFVATEDSKGGHPSSSETKEEQNK EDGTFPGLTGTLKFCPLEKAQKLWKENPSEIYPIMNTTTRTRLALIICNTEFQHLSPRVG AQVDLREMKLLLEDLGYTVKVKENLTALEMVKEVKEFAACPEHKTSDSTFLVFMSHGIQE GICGTTYSNEVSDILKVDTIFQMMNTLKCPSLKDKPKVIIIQACRGEKQGVVLLKDSVRD SEEDFLTDAIFEDDGIKKAHIEKDFIAFCSSTPDNVSWRHPVRGSLFIESLIKHMKEYAW SCDLEDIFRKVRFSFEQPEFRLQMPTADRVTLTKRFYLFPGH >ENSMUSP00000137236.1 pep:known chromosome:GRCm38:13:18030324:18031629:-1 gene:ENSMUSG00000075053.2 transcript:ENSMUST00000099736.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdac3-ps1 description:voltage-dependent anion channel 3, pseudogene 1 [Source:MGI Symbol;Acc:MGI:1270159] MCNTPTYCDLGKAAKDVFNKGYGFGMVKIDLKTKSCSGVEFSTSGHAYTDTGKASGNLET KYKVCNYGLTFTQKWNTDNTLGTEISWENKLAEGLKLTLDTIFVPNTGKKSGKLKASYRR DCFSLGSNVDIDFSEPTIYGWAVLAFEGGLAGYQMSFDTAKSKLSQNNFALGYKAADFQL HTHVNDGTEFGGSIYQKVNERIETSINLAWTAGSNNTRFGIAAKYKLDCRTSLSAKVNNA SLIGLGYTQTLRPGVKLTLSALIDGKNFNAGGHKVGLGFELEA >ENSMUSP00000096191.2 pep:known chromosome:GRCm38:8:84063561:84065137:-1 gene:ENSMUSG00000074215.2 transcript:ENSMUST00000098592.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10643 description:predicted gene 10643 [Source:MGI Symbol;Acc:MGI:3642507] MVAPRNRVAPPAPGDAAPSCVPGQASHVTSVSFSRRKHSCSQSQEPTSTERHPGQRAQKH TSAGRGASLVPHCHFCEDLSFQITGRMLVRRDLEDLVVLPIIPQLPEDPEL >ENSMUSP00000129739.1 pep:known chromosome:GRCm38:8:72341001:72421474:-1 gene:ENSMUSG00000006276.9 transcript:ENSMUST00000163643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eps15l1 description:epidermal growth factor receptor pathway substrate 15-like 1 [Source:MGI Symbol;Acc:MGI:104582] MAAPLVPLSQQIPGGNPLYESYYKQVDPAYTGRVGASEAALFLKKSGLSDIILGKIWDLA DPEGKGFLDKQGFYVALRLVACAQSGHEVTLSSLSLTMPPPKFHDTSSPLMATQSSAETH WAVRVEEKAKFDGIFESLLPVNGLLSGDKVKPVLMNSKLPLDVLGRVWDLSDIDKDGHLD RDEFAVAMHLVYRALEKEPVPSILPPPLIPPSKRKKTVFAGAVPVLPASPPPKDSLRSTP SHGSVSSLNSTGSLSPKHSVKQPPVAWVVPVADKMRFDEIFLKTDLDLDGYVSGQEVKEI FMHSGLTQNLLAHIWALADTRQTGKLSKEQFALAMYFIQQKVSKGIDPPQVLSPDMVPPS ERGTPIPDSSSTLASGEFTGVKELDDISQEIAQLQREKYSLEQDIREKEEAIRQKTSEVQ ELQNDLDRETSSLQELEAQKQDAQDRLDEMDQQKAKLRDMLSDVRQKCQDETQTISSLKT QIQSQESDLKSQEDDLNRAKSELNRLQQEETQLEQSIQAGRAQLETILRSLKCTQDDINQ ARSKLSQLQESHLEAHRSLEQYDQVPDGVSGTSLPDLATLNEGILLAERGGFGAMDDPFK NKALLFSNNSQELHPDPFQAEDPFKSDPFKGADPFKGDPFQSDPFSEQQTAATDPFGGDP FKESDPFHSSSSDDFFKKQTKNDPFTSDPFTKNPSLPSKLDPFESSDPFSSSSISSKGSD PFGTLDPFGSSSFSSAEGFADFSQMSKPPPSGPFSSSLGGTGFSDDPFKSKQDTPALPPK KPAPPRPKPPSGQSTPVSQLGSSDFPESPDPFQPLGADSGDPFQNKKGFGDPFSGKDPFA PSSSAKPPKTSSSGFADFTSFGNEEQQLAWAKRESEKAEQERLARLRRQEQEDLELAIAL SKADMPA >ENSMUSP00000054515.8 pep:known chromosome:GRCm38:9:18443456:18446312:1 gene:ENSMUSG00000047508.8 transcript:ENSMUST00000051008.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbd3l2 description:methyl-CpG binding domain protein 3-like 2 [Source:MGI Symbol;Acc:MGI:2158460] MEETSRNSVSSQPLIGSLTRSMILNKLQKRRETQIVPKKIKAKHRTGVTSKDSMRMTSCI FAKPVTTITSYPENETRYRREEDKLTKPKQLCALKRLKKHQVGENKQDLSCQLKLTNPVE RIALGMRDETNDQSGVKGQLTPGEVTSVQTPCLEKNEQMAFQLSPFFSSPGVTMPVRLHL SPSYFIQEVTIADILSQIWKVKKARKRLEVALEADSLARQAENMGEQR >ENSMUSP00000097900.1 pep:known chromosome:GRCm38:11:106160850:106161158:1 gene:ENSMUSG00000075480.1 transcript:ENSMUST00000100326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10840 description:predicted gene 10840 [Source:MGI Symbol;Acc:MGI:3642918] MAQVKPLSERTHFLASSTPCSTEGSREPFRLELFREQSATRAAFAAPPQPTAQQVEGKDS RQQHLGHRLLLRALPEAQLETVFTQPSATYFHTPVTGIKLVAL >ENSMUSP00000126178.1 pep:known chromosome:GRCm38:10:82282116:82285278:-1 gene:ENSMUSG00000044581.7 transcript:ENSMUST00000171401.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932415D10Rik description:RIKEN cDNA 4932415D10 gene [Source:MGI Symbol;Acc:MGI:3045298] MNILSKEESVKEKMEEFQRYSSYSFKLLSEELQEGLGARRSSIRSFLGIQQNIWESHVCR QRLPRKYLSSMLMLGNVLGTTMERKPCSQSFLTEGSTMDICQSIQNLFGVPAELMEFSQS LQERVPRTISQTSVVKNYIQRHILCHSNEKRMPLKMWTRGSTSSIIQQYSGTRLGVKKTS SKLSDILQKVTEHVSVSCSGAGFPALMKSESSLEILYTREDSVSRKQSKISPCGSPTRTF ESQHSLKTSSLSQSKSDISEQSQLLKGLRLKTAGKLLKSQIPHNVPLPLESGLVLKYPIC LQCGRCSGFNCCHKLQSAFGPCLLIYPQLHLLSTPEGHGEIRMHLGFRLQTGKRPRVSKY HTRNQADPRKGAASPSRRKDRFSTLVSKSPSPRNFQSSSSLSPASVQVHTQQKQRHSHSV AGKTTAKDYEFCQVHSVSESEYGSIQDERFVKSSLRKTSALTYPVKKSKEPKTLNTRLYE ISTSTKDSHHRTMRGLSSRIETTQMSDVSAKRQPKKSSQSKFIQLLFHSLRQAFQAAHRT VIFTSKKLKYKMRPDNLWSIKNL >ENSMUSP00000128451.1 pep:known chromosome:GRCm38:19:47170469:47171134:-1 gene:ENSMUSG00000091230.1 transcript:ENSMUST00000169692.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6970 description:predicted gene 6970 [Source:MGI Symbol;Acc:MGI:3645322] IPETAPTDIVAPAPVEKSPTKKKMTKKAGVAKCKATGPTVHELITKAMFASKEDGGVCLP ALKKALASGGYHVEKNNNRVKLRHKSPVSKGTVVQTKGAGASGSLKLNKKAVSSEAKPKK TGAAEVKKPSGATPKKPKKAAGAKNPVKKTPKKAKKPVASGVKKVAKSPKKAKASAKPKM AVKSPPKPKAVTSKASKPEVTKPKTAKPKAAKAKKVVSKKK >ENSMUSP00000078747.3 pep:known chromosome:GRCm38:15:99006056:99006370:1 gene:ENSMUSG00000064081.3 transcript:ENSMUST00000079818.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8973 description:predicted gene 8973 [Source:MGI Symbol;Acc:MGI:3648534] MALRYPMAVGLNKGHKVTKNVSKPRHSWHRGRLTKHTKSMRDMIREVCGFAPYEPRAMEL LKVSKDKRALKFIKKRVGTHIRAKRKREELSNGLAAMRKAAVKN >ENSMUSP00000128815.1 pep:known chromosome:GRCm38:9:65361060:65380377:1 gene:ENSMUSG00000086228.1 transcript:ENSMUST00000147185.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap1l description:ubiquitin-associated protein 1-like [Source:MGI Symbol;Acc:MGI:2685360] MNALDGVPFKVSKGFVMDTEPHPVPELSVPDCRELLLGTMYDFSLEKKALFWVEAAIRGS CSHQCNDLGIASAPPAWFLLASPKYSQVPAPAQIRDPEAGLQEPPEEEEEEEEEEEEETE EKGDEEDTSSACEEGMDSSSPQPGSPTSHRRCSLDVLRNMRSELADARRRLSESRLTACP RALLHRFRGHRTLSLSTSPAPAPGPAPQLPNVSELPPRPATAGAMPPLRNHKPTVASLSP YTCLPPLRETPQPLVSCRLHPDSAPDLLSALTEEEQDLIGPVVALGYPLGRAMEALQKTG RQSLSQFLGYLSACDRLLRQGYDEALVDEAMEMFQFSEHQAGEFLRLWKQFSDMGFQQDR IKEVLLVHASEGKTAASCTQPQNP >ENSMUSP00000128416.1 pep:known chromosome:GRCm38:16:76403209:76403712:-1 gene:ENSMUSG00000050299.7 transcript:ENSMUST00000052867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9843 description:predicted gene 9843 [Source:MGI Symbol;Acc:MGI:3708621] MQLFVRAQELHTLEVTGQETVAQIKDHVASLEGIAPEDQVVLLAGSPLEDEATLGQCGVE ALTTLEVAGRMLGGKVHGSLARAGKVRGQTPKVAKQEKKKKKTGRAKRRMQYNRRFVNVV PTFGKKKGPNANS >ENSMUSP00000137499.1 pep:known chromosome:GRCm38:X:31573106:31574283:-1 gene:ENSMUSG00000094351.1 transcript:ENSMUST00000179028.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21883 description:predicted gene, 21883 [Source:MGI Symbol;Acc:MGI:5434047] SGLVLSSSVSDPTVVLGDKLKSSNMSNKLEKGKSGNKVGWSSDTIDNEHLGRRSSKCCFV YEKQRAFGESSSESEKEYEDNSDVLCAWGHQKGRHSDLSSNLVNTSSSKPQDPSKSLPIS IID >ENSMUSP00000063677.7 pep:known chromosome:GRCm38:9:65554386:65580040:-1 gene:ENSMUSG00000050721.8 transcript:ENSMUST00000068944.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekho2 description:pleckstrin homology domain containing, family O member 2 [Source:MGI Symbol;Acc:MGI:2143132] MEEESIKEGSEKPRGARTADKAGWIKKSSGGLLGLWKDRYLLLCQAQLLVYENEDEQKCV ETVELGSYEKCQDLRTLLKRKHHRFILLRSPGNKVSDIKFQAPSGEEKESWIKALNEGIN RGKNKAFDEVKVDKTCALEHVTRNRVRGGQRRRPPTRIHLKEVASAASDGLSRLDLDVPD SGPPVFAPLSDISEDQPQEPPRALMPPVKPSPGPETSAVEDSKETPAGERALTPDSASSG ANPESQEDAETPAKEDSDVKSLPNSTLSEKLKVSWENPSPEKPSAPESAQLSSSETPEAT PRESKKPPAPPPKILSEKMKACMSGVDASGSSQSSEAPETTSPEPTQVSVNGMDDGPESA LQAMGIPGPAPEDAAASPALPFSDLPSQFHPRSSSLGDLLRESPQHPRLPKEKLYRAQLE VKVASKQTEKLLNQVLGSEPPPVCAESLLSQAVEQLRQATQVLQEMRDLGELNQETPGLV QKRKELVTLYRRSAP >ENSMUSP00000033941.5 pep:known chromosome:GRCm38:8:22757744:22782847:1 gene:ENSMUSG00000031538.5 transcript:ENSMUST00000033941.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plat description:plasminogen activator, tissue [Source:MGI Symbol;Acc:MGI:97610] MKRELLCVLLLCGLAFPLPDQGIHGRFRRGARSYRATCRDEPTQTTYQQHQSWLRPMLRS SRVEYCRCNSGLVQCHSVPVRSCSEPRCFNGGTCQQALYFSDFVCQCPDGFVGKRCDIDT RATCFEEQGITYRGTWSTAESGAECINWNSSVLSLKPYNARRPNAIKLGLGNHNYCRNPD RDLKPWCYVFKAGKYTTEFCSTPACPKGKSEDCYVGKGVTYRGTHSLTTSQASCLPWNSI VLMGKSYTAWRTNSQALGLGRHNYCRNPDGDARPWCHVMKDRKLTWEYCDMSPCSTCGLR QYKRPQFRIKGGLYTDITSHPWQAAIFVKNKRSPGERFLCGGVLISSCWVLSAAHCFLER FPPNHLKVVLGRTYRVVPGEEEQTFEIEKYIVHEEFDDDTYDNDIALLQLRSQSKQCAQE SSSVGTACLPDPNLQLPDWTECELSGYGKHEASSPFFSDRLKEAHVRLYPSSRCTSQHLF NKTVTNNMLCAGDTRSGGNQDLHDACQGDSGGPLVCMINKQMTLTGIISWGLGCGQKDVP GVYTKVTNYLDWIHDNMKQ >ENSMUSP00000039801.3 pep:known chromosome:GRCm38:10:63100156:63100605:1 gene:ENSMUSG00000036816.3 transcript:ENSMUST00000044059.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atoh7 description:atonal bHLH transcription factor 7 [Source:MGI Symbol;Acc:MGI:1355553] MKSACKPHGPPAGARGAPPCAGAAERAVSCAGPGRLESAARRRLAANARERRRMQGLNTA FDRLRRVVPQWGQDKKLSKYETLQMALSYIIALTRILAEAERDWVGLRCEQRGRDHPYLP FPGARLQVDPEPYGQRLFGFQPEPFPMAS >ENSMUSP00000100529.2 pep:known chromosome:GRCm38:14:32833962:32836789:1 gene:ENSMUSG00000078127.2 transcript:ENSMUST00000104926.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam170b description:family with sequence similarity 170, member B [Source:MGI Symbol;Acc:MGI:2145650] MKHHLIEHRGEQTPGTAVGMASPDAAEESTGVCWPGGAMRRNESSPRPGPAFLPDDDIYL AARAQGVLGWSSSPSSQSSSEYQSYSQYQSWDSSKSEEQQETPPESVCALYTHVQTVRGV AVAWETDDGFEPVTRKPLIREAEFIKRQRRKGSSFEMASNTDLRWELEDCKHYCPQTEDP VDCCMQELRAPPDWLVTTNHGLRCVACCRVFPTLEALLDHAQHGIQDGFSCQIFFEEMLE RKRTRDQKQDQQPVEEEQSLSDSSECTRLLTKVLPWQQQPQQQPQEQQKQQQQQQQKQRQ QEQQQHQQQQQQPQPLKQQQQQQQQQPLQPQPLKQQPLQPLQPQPQPQPQKQQQRQQQRQ QQPPRQQQKQQPLQQQGK >ENSMUSP00000076155.6 pep:known chromosome:GRCm38:9:75311395:75344964:1 gene:ENSMUSG00000032192.8 transcript:ENSMUST00000076889.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb5 description:guanine nucleotide binding protein (G protein), beta 5 [Source:MGI Symbol;Acc:MGI:101848] MCDQTFLVNVFGSCDKCFKQRALRPVFKKSQQLNYCSTCAEIMATDGLHENETLASLKSE AESLKGKLEEERAKLHDVELHQVAERVEALGQFVMKTRRTLKGHGNKVLCMDWCKDKRRI VSSSQDGKVIVWDSFTTNKEHAVTMPCTWVMACAYAPSGCAIACGGLDNKCSVYPLTFDK NENMAAKKKSVAMHTNYLSACSFTNSDMQILTASGDGTCALWDVESGQLLQSFHGHGADV LCLDLAPSETGNTFVSGGCDKKAMVWDMRSGQCVQAFETHESDVNSVRYYPSGDAFASGS DDATCRLYDLRADREVAIYSKESIIFGASSVDFSLSGRLLFAGYNDYTINVWDVLKGSRV SILFGHENRVSTLRVSPDGTAFCSGSWDHTLRVWA >ENSMUSP00000094076.3 pep:known chromosome:GRCm38:15:79347541:79359072:1 gene:ENSMUSG00000042622.13 transcript:ENSMUST00000096350.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maff description:v-maf musculoaponeurotic fibrosarcoma oncogene family, protein F (avian) [Source:MGI Symbol;Acc:MGI:96910] MAVDPLSSKALKVKRELSENTPHLSDEALMGLSVRELNRNLRGLSAEEVTRLKQRRRTLK NRGYAASCRVKRVCQKEELQKQKSELEREVDKLARENAAMRLELDALRGKCEALQGFARS VAAARGPAALVAPASVITIVKSAPGPAPAADPAPCS >ENSMUSP00000131628.1 pep:known chromosome:GRCm38:15:79348061:79359072:1 gene:ENSMUSG00000042622.13 transcript:ENSMUST00000163691.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maff description:v-maf musculoaponeurotic fibrosarcoma oncogene family, protein F (avian) [Source:MGI Symbol;Acc:MGI:96910] MAVDPLSSKALKVKRELSENTPHLSDEALMGLSVRELNRNLRGLSAEEVTRLKQRRRTLK NRGYAASCRVKRVCQKEELQKQKSELEREVDKLARENAAMRLELDALRGKCEALQGFARS VAAARGPAALVAPASVITIVKSAPGPAPAADPAPCS >ENSMUSP00000022962.6 pep:known chromosome:GRCm38:15:43477229:43527777:1 gene:ENSMUSG00000022337.6 transcript:ENSMUST00000022962.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc2 description:ER membrane protein complex subunit 2 [Source:MGI Symbol;Acc:MGI:1913986] MAKVTERYDVTWEEMRDKMRKWREENSRNSEQIMEVGEELINDYASKLGDDIWIIYEQVM IAALDYGRDDLALFCLQELRRQFPGSHRVKRLTGMRFEAMERYDDAIQLYDRILQEDPTN TAARKRKIAIRKAQGKTVEAIRELNEYLEQFVGDQEAWHELAELYINEHDYAKAAFCLEE LMMTNPHNHLYCQQYAEVKYTQGGLENLELSRKYFAQALKLNNRNMRALFGLYMSASHIA SNPKASAKMKKDNIKYASWAANQINRAYQFAGRSKKETKYSLKAVEDMLETLQITQS >ENSMUSP00000044833.5 pep:known chromosome:GRCm38:17:46798116:46831413:-1 gene:ENSMUSG00000036568.6 transcript:ENSMUST00000040624.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gltscr1l description:GLTSCR1-like [Source:MGI Symbol;Acc:MGI:2673855] MDDDDDSCLLDLIGDPQALNYFLHGPSSKSGSDDVTNAGYSAANSNSIFANSTNADPKSA LKGVSDQLGEGPSDGLPLASSLQFLEDELESSPLPDLSEDQPFDILQKSLQEANITEQTL AEEAYLDASIGSSQQFAQAQLHPSSSASFTQASNVSNYSGQTLQPIGVTHVPVGASFASN TVGVQHGFMQHVGISVPSQHLPNSSQISGSGQIQLIGSFGNQPSMMTINNLDGSQIILKG SGQQAPSNVSGGLLVHRQTPNGNSLFGNSTSSPVAQPVTVPFNSTNFQASLPVHNIIIQR GLAPNSNKVPINIQPKPVQMGQQSAYNVNNLGIQQHHAQQGISFAPTSSPQGSVVGPHMS VNIVNQQNTRKPVTSQAVSGTGGSIVIHSPMGQPHTPQSQFLIPTSLSVSSNSVHHVQAI NGQLLQTQPSQLISGQVASEHVMLNRNSSNMLRTNQPYSGQMLNNQNTAVQLVSGQTFAT SGSPVIVNHASPQIVGGQMPLQQASPTVLHLSPGQSSVSQGRPGFATMPAVSGMAGPARF PAVSSASTAHPTLGPTVQSGAPGSNFTGDQLTQANRTPAPVSVSHRLPVSASKSPSTLSN TPGTQQQFFCQAQKKCLNQTSPIPTSKTTDGLRPSQIPGLLSTALPGQDSGSKIMPATLG ATQAQPESSVGSSPSQTAVQVDSHPGQKRPAAKQLTKGAFILQQLQRDQAHAVTPDKSQF RSLNDTVQRLLSYHVCQGSMPTEEDLRQVDNEFEEVATQLLKRTQAMLNKYRFLLLEDAM RINPSAEMVMIDRMFNQEERASLSRDKRLALVDPEGFQADFCCSFKLDEAVPETPLDRSD QHRSKTSSLHQVPRAQSRDRAKPGMAEATNHDQFHLVPNHIVVSAEGNISKKSEGHSRTL KFDRGVLGQYRGPPEDKGGRRDPAKVSRCSPGPEGHRKSLPRPDHGSESKLPGVLASSHM EMPCLDSFQDKALRNSPKNEVLHTDIMKGSGEPQPDLQLTKSLEKTFKNILELKNSGRPP SDPTASGAADLDFPSFSPMASQENCLEKFIPDHSEGVVETDSILEAAVNSILEC >ENSMUSP00000128849.1 pep:known chromosome:GRCm38:5:110046232:110046486:-1 gene:ENSMUSG00000090963.1 transcript:ENSMUST00000167969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17655 description:predicted gene, 17655 [Source:MGI Symbol;Acc:MGI:4937289] EKPYKCNQCGKAFARHGHLKMHKITHTGEKPYKCNQCGKGFAYHRTFQVHKRTHTGEKPY ECEQCGKAFAYQNYFQVHKRIHTGE >ENSMUSP00000025546.9 pep:known chromosome:GRCm38:18:84667470:84685633:-1 gene:ENSMUSG00000024644.16 transcript:ENSMUST00000025546.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cndp2 description:CNDP dipeptidase 2 (metallopeptidase M20 family) [Source:MGI Symbol;Acc:MGI:1913304] MSALKAVFQYIDENQDRYVKKLAEWVAIQSVSAWPEKRGEIRRMMEVAAADVQRLGGSVE LVDIGKQKLPDGSEIPLPPILLGKLGSDPQKKTVCIYGHLDVQPAALEDGWDSEPFTLVE REGKLYGRGSTDDKGPVAGWMNALEAYQKTGQEIPVNLRFCLEGMEESGSEGLDELIFAQ KDKFFKDVDYVCISDNYWLGKNKPCITYGLRGICYFFIEVECSDKDLHSGVYGGSVHEAM TDLISLMGCLVDKKGKILIPGINDAVAPVTDEEHALYDHIDFDMEEFAKDVGAETLLHSC KKDILMHRWRYPSLSLHGIEGAFSGSGAKTVIPRKVVGKFSIRLVPDMIPEVVSEQVSSY LSKKFAELQSPNKFKVYMGHGGKPWVSDFNHPHYQAGRRALKTVFGVEPDLTREGGSIPV TLTFQEATGKNVMLLPVGSADDGAHSQNEKLNRLNYIEGTKMLAAYLYEVSQLKN >ENSMUSP00000128696.1 pep:known chromosome:GRCm38:18:84667470:84681996:-1 gene:ENSMUSG00000024644.16 transcript:ENSMUST00000168419.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cndp2 description:CNDP dipeptidase 2 (metallopeptidase M20 family) [Source:MGI Symbol;Acc:MGI:1913304] MSALKAVFQYIDENQDRYVKKLAEWVAIQSVSAWPEKRGEIRRMMEVAAADVQRLGGSVE LVDIGKQKLPDGSEIPLPPILLGKLGSDPQKKTVCIYGHLDVQPAALEDGWDSEPFTLVE REGKLYGRGSTDDKGPVAGWMNALEAYQKTGQEIPVNLRFCLEGMEESGSEGLDELIFAQ KDKFFKDVDYVCISDNYWLGKNKPCITYGLRGICYFFIEVECSDKDLHSGVYGGSVHEAM TDLISLMGCLVDKKGKILIPGINDAVAPVTDEEHALYDHIDFDMEEFAKDVGAETLLHSC KKDILMHRWRYPSLSLHGIEGAFSGSGAKTVIPRKVVGKFSIRLVPDMIPEVVSEQVSSY LSKKFAELQSPNKFKVYMGHGGKPWVSDFNHPHYQAGRRALKTVFGVEPDLTREGGSIPV TLTFQEATGKNVMLLPVGSADDGAHSQNEKLNRLNYIEGTKMLAAYLYEVSQLKN >ENSMUSP00000070496.3 pep:known chromosome:GRCm38:5:31240675:31241232:-1 gene:ENSMUSG00000055424.3 transcript:ENSMUST00000068997.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9970 description:predicted gene 9970 [Source:MGI Symbol;Acc:MGI:3642876] EFRAAAATPETPGGRAAPWGQGGPSAATGRARSGLTPEKAGPPDSTRRPRPAGPRLGVIL PPFREPLPPGPLSPELTSRLRARSLRFSSSSGSGASDPSDSLTAALRSATELRAPPSRRP DQQWAVPHRRQVSIGQGSGGRFPQTEALKAVYCRVSSPCGVPADCLPALSSCLSVHPPPS RGAPK >ENSMUSP00000056293.1 pep:known chromosome:GRCm38:14:51203689:51204156:1 gene:ENSMUSG00000050766.1 transcript:ENSMUST00000049559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ear14 description:eosinophil-associated, ribonuclease A family, member 14 [Source:MGI Symbol;Acc:MGI:3528616] VGMKLPESSLCLLLLLGLVLMLASCQAQILSQKFYTEYIYNSTYPRCDAVMRVVNRYRPR CKDINTFLHTSFADVVAVCGHPNITCNNLTRKNCHASSFQVFITFCNLTTPTRICTQCRY QTTGSVKYYRVACENRTPQDTPIYPVVPVHLDGTF >ENSMUSP00000093512.3 pep:known chromosome:GRCm38:13:45078692:45081222:1 gene:ENSMUSG00000047061.6 transcript:ENSMUST00000054395.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9817 description:predicted gene 9817 [Source:MGI Symbol;Acc:MGI:3809102] MFVLPFFVLASDLHHAFLGLHCELLRSEVVDVQGHAPAISRLSDLRDPAAELSVERSAEG WCGQGHRRGALGGRGGQRAHVSGPPSGAEPLRPLIGQPGHPESLIEEAAVCRVPVPEWFP ARAPQQREGHAALSHVLGWSRLRI >ENSMUSP00000025547.2 pep:known chromosome:GRCm38:18:84947294:84951524:-1 gene:ENSMUSG00000024645.4 transcript:ENSMUST00000025547.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm21 description:tranlocase of inner mitochondrial membrane 21 [Source:MGI Symbol;Acc:MGI:1920595] MICAFLRVVQHAEKLHGSLGRQLLPHFVFTKACFKTQPLRWGLREQKITVQPRTVLRFTQ KTFWTQGPDPRKAKEDSTKQVSIRRNQREETGVSMSQKVREAGRDVSYLIVVLFGVGLTG GLLYAIFKELFFSSSPNIIYGKALGKCRTHPEVIGVFGEPLKGYGEMSRRGRRQHVRFSE YVNNGLKRIRVKFYIEGSEPGKQGTVHAEVEENPGSGQFEFRYIFVEVTPTRSIIVEDNR SEQS >ENSMUSP00000017975.5 pep:known chromosome:GRCm38:17:53479234:53507671:1 gene:ENSMUSG00000017831.7 transcript:ENSMUST00000017975.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab5a description:RAB5A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105926] MANRGATRPNGPNTGNKICQFKLVLLGESAVGKSSLVLRFVKGQFHEFQESTIGAAFLTQ TVCLDDTTVKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNEESFARAKNWVKELQR QASPNIVIALSGNKADLANKRAVDFQEAQSYADDNSLLFMETSAKTSMNVNEIFMAIAKK LPKNEPQNPGANSARGRGVDLTEPAQPARSQCCSN >ENSMUSP00000050745.5 pep:known chromosome:GRCm38:15:77524867:77533315:-1 gene:ENSMUSG00000044309.7 transcript:ENSMUST00000062562.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol7c description:apolipoprotein L 7c [Source:MGI Symbol;Acc:MGI:1920912] MSTPERKRFIPTVAQHLLDRKSLEVLQLLLTEEETWKQFVAEVDLSREEEAALRESLAEI FADPDGEDEDELQIDLQDKNERKEEDALSEALTETIADTDAEDEEEIQNDLWHKERFLDA YPWVKLEIEESIRKLHALADKVDKVHRDCTISRVVASSSSAVSGVLTILGLALAPVTAGV SLALSATGLGLGAAATVTSVSTSIVEKVSVVSAEAKARNLVPTNKDTMKGIIEVLDQSGP RLISGSTNTIQNIQGIQKCINAIQLSKANTRLANDARRLMTTGKTSTQTTKQVQKAFGGT TLAMTKGVRIMGAATTGFFLLLDVISLVEDSKHLHEGAKSQTAAELQQQAWNLELKLQEL KEVHNSLTQ >ENSMUSP00000038367.5 pep:known chromosome:GRCm38:17:24669752:24682015:1 gene:ENSMUSG00000041130.9 transcript:ENSMUST00000047179.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp598 description:zinc finger protein 598 [Source:MGI Symbol;Acc:MGI:2670965] MAAAAGAEGRRAALEAVAAPERGGGSCVLCCGDLEATALGRCDHPVCYRCSTKMRVLCEQ RYCAVCREELRQVVFGKKLPAFALIPIHQLQHEKKYDIYFADGKVFALYRQLLQHECPRC PHLPPFSLFGDLEQHMRKQHELFCCKLCLKHLKIFTYERKWYSRKDLARHRMQGDPDDTS HRGHPLCKFCDERYLDNDELLKHLRRDHYFCHFCDSDGAQDYYSDYAYLREHFREKHFLC EEGRCSTEQFTHAFRTEIDLKAHKTACHSRSRAEARQNRQIDLQFSFAPRHSRRSEGVVS GEDYEEVDRYNRQGRAGRASGRGAQQNRRGSWRYKREEEDREVAAAIRASVAAQQQEETQ RVEDREEGSRPKKEEAAARVPEEPRGHRRLPRAQGEGSGSKEASANGPVSQEAFPATGPG PVVALSNTLPPPSPELKEEDFPSLCASTSSCCTAVTPGSVGLALAYPGPPRGKNTFQEED FPALVSSAPKPSSAPSSLISAWNSGCSKKGNLPTPGSQAVVGGSQPPRKAGKGSRGGRKG GPAPVDEEDSGGLTVQGLRSVPTTVAVSSLLAPATNQSSAKVGKKKKVGSEKPGATSSPL LPPDHTPKPSGAEQVLEAPLSKAEVPVTIVVNGHSEGSALVRSAPKEPPGLPRPLGPLPC PIPQEDFPALGGPCPPRMPPPPGFSTVVLLKGTPPPPPPPPGLVPPISKPPPGFSSLLPS SHSACAPSPTTTTTTTTTTKTPGLAPTPQAYLVPENFRERNLQLIQSIKDFLQSDEACFS KFKSHSGEFRQGMISAAQYYKSCRDLLGESFQKIFSELLALLPDTAKQQELLSAHTDFCS REKPPNSRSKRNKKNVWQTSTQQLGLDCCVCPTCQQVLAHGDVSSHQALHAARDDDFPSL QAIARIIT >ENSMUSP00000062429.7 pep:known chromosome:GRCm38:7:45627526:45639093:1 gene:ENSMUSG00000044562.11 transcript:ENSMUST00000057927.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasip1 description:Ras interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917153] MLSGERKEGGSPRFGKLHLPVGLWINSPRKQLAKLGRRWPSAASVKSSSSDTGSRSSEPL PPPPPPPHVELRRVGAVKAAGGASGSRAKRISQLFRGSGAGGAGGPGTPGGAQRWASEKK LPELAAGVAPEPPLPTRAAVPPGVLKIFASGLASGANYKSVLATERSTARELVAEALERY GLTGGRGAGDSGCVDAYALCDALGRPAVGVGGGEWRAEHLRVLADAERPLLVQDLWRARP GWARRFELRGREEARRLEQEAFGAADADGTNAPSWRTQKNRSRAASGGAALASPGPGSGS GTPTGSGGKERSENLSLRRSVSELSLQGRRRRQQERRQQALSMAPGAADAQMVPTDPGDF DQLTQCLIQAPSNRPYFLLLQGYQDAQDFVVYVMTREQHVFGRGGPSSSRGGSPAPYVDT FLNAPDILPRHCTVRAGPEPPAMVRPSRGAPVTHNGCLLLREAELHPGDLLGLGEHFLFM YKDPRSGGSGPARPSWLPARPGAAPPGPGWAFSCRLCGRGLQERGEALAAYLDGREPVLR FRPREEEALLGEIVRAAASGAGDLPPLGPATLLALCVQHSARELELGHLPRLLGRLARLI KEAVWEKIKEIGDRQPENHPEGVPEVPLTPEAVSVELRPLILWMANTTELLSFVQEKVLE MEKEADQEGLSSDPQLCNDLELCDEALALLDEVIMCTFQQSVYYLTKTLYSTLPALLDSN PFTAGAELPGPGAELEAMPPGLRPTLGVFQAALELTSQCELHPDLVSQTFGYLFFFSNAS LLNSLMERGQGRPFYQWSRAVQIRTNLDLVLDWLQGAGLGDIATEFFRKLSIAVNLLCVP RTSLLKASWSSLRTDYPTLTPAQLHHLLSHYQLGPGRGPPPAWDPPPAERDAVDTGDIFE SFSSHPPLILPLGSSRLRLTGPVTDDALHRELRRLRRLLWDLEQQELPANHRHGPPVAST P >ENSMUSP00000131665.1 pep:known chromosome:GRCm38:15:95219451:95528706:-1 gene:ENSMUSG00000022454.16 transcript:ENSMUST00000166170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nell2 description:NEL-like 2 [Source:MGI Symbol;Acc:MGI:1858510] MHAMESRVLLRTFCVILGLGAVWGLGVDPSLQIDVLTELELGESTDGVRQVPGLHNGTKA FLFQESPRSIKASTATAERFFQKLRNKHEFTILVTLKQIHLNSGVILSIHHLDHRYLELE SSGHRNEIRLHYRSGTHRPHTEVFPYILADAKWHKLSLAFSASHLILHIDCNKIYERVVE MPSTDLPLGTTFWLGQRNNAHGYFKGIMQDVHVLVMPQGFIAQCPDLNRTCPTCNDFHGL VQKIMELQDILSKTSAKLSRAEQRMNRLDQCYCERTCTVKGTTYRESESWTDGCKNCTCL NGTIQCETLVCPAPDCPPKSAPAYVDGKCCKECKSTCQFQGRSYFEGERNTVYSSSGMCV LYECKDQTMKLVENIGCPPLDCPESHQIALSHSCCKVCKGYDFCSEKHTCMENSVCRNLN DRAVCSCRDGFRALREDNAYCEDIDECAEGRHYCRENTMCVNTPGSFMCICKTGYIRIDD YSCTEHDECLTNQHNCDENALCFNTVGGHNCVCKPGYTGNGTTCKAFCKDGCRNGGACIA ANVCACPQGFTGPSCETDIDECSEGFVQCDSRANCINLPGWYHCECRDGYHDNGMFAPGG ESCEDIDECGTGRHSCTNDTICFNLDGGYDCRCPHGKNCTGDCVHEGKVKHTGQIWVLEN DRCSVCSCQTGFVMCRRMVCDCENPTVDLSCCPECDPRLSSQCLHQNGETVYNSGDTWVQ DCRQCRCLQGEVDCWPLACPEVECEFSVLPENECCPRCVTDPCQADTIRNDITKTCLDEM NVVRFTGSSWIKHGTECTLCQCKNGHLCCSVDPQCLQEL >ENSMUSP00000074751.2 pep:known chromosome:GRCm38:15:95219933:95528252:-1 gene:ENSMUSG00000022454.16 transcript:ENSMUST00000075275.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nell2 description:NEL-like 2 [Source:MGI Symbol;Acc:MGI:1858510] MHAMESRVLLRTFCVILGLGAVWGLGVDPSLQIDVLTELELGESTDGVRQVPGLHNGTKA FLFQESPRSIKASTATAERFFQKLRNKHEFTILVTLKQIHLNSGVILSIHHLDHRYLELE SSGHRNEIRLHYRSGTHRPHTEVFPYILADAKWHKLSLAFSASHLILHIDCNKIYERVVE MPSTDLPLGTTFWLGQRNNAHGYFKGIMQDVHVLVMPQGFIAQCPDLNRTCPTCNDFHGL VQKIMELQDILSKTSAKLSRAEQRMNRLDQCYCERTCTVKGTTYRESESWTDGCKNCTCL NGTIQCETLVCPAPDCPPKSAPAYVDGKCCKECKSTCQFQGRSYFEGERNTVYSSSGMCV LYECKDQTMKLVENIGCPPLDCPESHQIALSHSCCKVCKGYDFCSEKHTCMENSVCRNLN DRAVCSCRDGFRALREDNAYCEDIDECAEGRHYCRENTMCVNTPGSFMCICKTGYIRIDD YSCTEHDECLTNQHNCDENALCFNTVGGHNCVCKPGYTGNGTTCKAFCKDGCRNGGACIA ANVCACPQGFTGPSCETDIDECSEGFVQCDSRANCINLPGWYHCECRDGYHDNGMFAPGG ESCEDIDECGTGRHSCTNDTICFNLDGGYDCRCPHGKNCTGDCVHEGKVKHTGQIWVLEN DRCSVCSCQTGFVMCRRMVCDCENPTVDLSCCPECDPRLSSQCLHQNGETVYNSGDTWVQ DCRQCRCLQGEVDCWPLACPEVECEFSVLPENECCPRCVTDPCQADTIRNDITKTCLDEM NVVRFTGSSWIKHGTECTLCQCKNGHLCCSVDPQCLQEL >ENSMUSP00000055827.4 pep:known chromosome:GRCm38:17:24848896:24850302:-1 gene:ENSMUSG00000045316.4 transcript:ENSMUST00000049642.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fahd1 description:fumarylacetoacetate hydrolase domain containing 1 [Source:MGI Symbol;Acc:MGI:1915886] MTQSCTMASTKPLSRFWEWGKNIVCVGRNYADHVKEMRSTVLSEPVLFLKPSTAYAPEGS PVLMPAYCRNLHHEVELGVLLGKRGEAIPEAAAMDYVAGYALCLDMTARDVQEECKKKGL PWTLAKSFTSSCPVSAFVPKEKIPDPHALRLWLKVNGELRQEGKTSSMIFSIPYIISYVS KIITLEEGDLILTGTPKGVGPIKENDEIEAGIDGVVSMRFKVKRSEY >ENSMUSP00000062344.7 pep:known chromosome:GRCm38:17:24443792:24455311:-1 gene:ENSMUSG00000024137.8 transcript:ENSMUST00000056032.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E4f1 description:E4F transcription factor 1 [Source:MGI Symbol;Acc:MGI:109530] MEGAMAVRVTAAHTAEARAEAGREAGEGGVAAAAALSSGGFLGLPAPFSEEDEDDVHRCG RCQVEFTALEDFVQHKIQKTCHRAPQEALPTTPAATALLDQEVVPTAAEGGPDEPITVAH IVVEATSLAEDISHAPDLVGSGHIKEVIVAAEAEPGDVEMAEAPGSPNHQELGLLGEGEQ AHVKLLVNKEGRYVCMLCHKTFKTGSILKAHMVTHSSRKDHECKLCGASFRTKGSLIRHH RRHTDERPYKCAKCGKSFRESGALTRHLKSLTPCTEKIRFSISKDTAVGKEEVPAGSSAS TVGTVTSSVAGDPMETSPVIHLVTDAKGTVIHEVHVQMQELPLGMKALTPESPDSEELPC SSENSRENLLHQAMQNSGIVLERVAGEESALEPAPPSGSSPQCLGDGSPELPLLKVEQIE TVASEAATVPRTHPCPQCSETFPTAATLEAHKRGHIAPRPFTCTQCGKAFPKAYLLKKHQ EVHVHERRFRCGDCGKLYKTIAHVRGHRRVHSDERPFPCPQCGKRYKTKNAQQVHFRTHL EEKPHVCQFCSRGFREKGSLVRHVRHHTGEKPFKCYKCGRGFAEHGTLNRHLRTKGGCLL EVEELLVSEESPSAAATVLAEDPHTVLVEFSSVVADTQEYIIEATADDTETSEATEIIEG TQTEVDSHIMKVVQQIVHQAGAGHQIIVQNVTMDQETALGSEATAADTITIATPESLTEQ VAMTLASAISEGTVLTARAGPNSTEQATVTMVSSEDIEILEHGGELVIASPEGQLEVQTV IV >ENSMUSP00000023583.5 pep:known chromosome:GRCm38:16:22892042:22899438:1 gene:ENSMUSG00000022868.5 transcript:ENSMUST00000023583.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ahsg description:alpha-2-HS-glycoprotein [Source:MGI Symbol;Acc:MGI:107189] MKSLVLLLCFAQLWGCQSAPQGTGLGFRELACDDPEAEQVALLAVDYLNNHLLQGFKQVL NQIDKVKVWSRRPFGVVYEMEVDTLETTCHALDPTPLANCSVRQLTEHAVEGDCDFHILK QDGQFRVMHTQCHSTPDSAEDVRKLCPRCPLLTPFNDTNVVHTVNTALAAFNTQNNGTYF KLVEISRAQNVPLPVSTLVEFVIAATDCTAKEVTDPAKCNLLAEKQHGFCKANLMHNLGG EEVSVACKLFQTQPQPANANAVGPVPTANAALPADPPASVVVGPVVVPRGLSDHRTYHDL RHAFSPVASVESASGETLHSPKVGQPGAAGPVSPMCPGRIRHFKI >ENSMUSP00000080290.2 pep:known chromosome:GRCm38:14:122434854:122451115:-1 gene:ENSMUSG00000064052.2 transcript:ENSMUST00000081580.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5089 description:predicted gene 5089 [Source:MGI Symbol;Acc:MGI:3644731] MRGYVCLCALQIINSVLERNTDTMPHEHTFEEKVPASVFHSIDALDEKTDSGGDLSFIDK AEAGHLLYYTIPGNQLVGKPKLPPCHASSNTLGDPGGHQKSSCLDD >ENSMUSP00000129188.1 pep:known chromosome:GRCm38:2:11625606:11626264:-1 gene:ENSMUSG00000091312.1 transcript:ENSMUST00000166779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17490 description:predicted gene, 17490 [Source:MGI Symbol;Acc:MGI:4937124] MTPHYLVLMFLELLIKPSQRQ >ENSMUSP00000003621.8 pep:known chromosome:GRCm38:16:17900711:17911348:-1 gene:ENSMUSG00000003527.8 transcript:ENSMUST00000003621.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr14 description:DiGeorge syndrome critical region gene 14 [Source:MGI Symbol;Acc:MGI:107854] MGTPGTSAGALFLSSASAPSRKRAAGEAGEAGVARSRQRVLDEEEYIEGLQTVIQRDFFP DVEKLQAQKEYLEAEENGDLERMRQIAIKFGSALGKISREPPPPYVTPATFETPEVHPGS AVLGNKPRPQGRDLDDAGEAGEEEEKEPLPSLDVFLSQYTSEDNASFQEIMEVAKEKSHA RHAWLYQAEEEFEKRQKDNLELPSAEHQAIESSQAGVETWKYKAKNSLMYYPEGVPDEEQ LFKKPRQIVHKNTRFLRDPFSQALSRSQLQQAAALNAQHKQGKVGPDGKELIPQESPRVG GFGFVATPSPAPGVNESPLMTWGEVENTPLRVEGSESPYVDRTPGPTFKILEPGRRERLG LKMANEAAAKNRAKKQEALRRVTENLASLTPKGLSPAMSPALQRLVSRTASKYTDRALRA SYTPSPARSSHLKTPAGGPQTPTSTPAPGSATRTPLTQDPASITDNLLQLPARRKASDFF >ENSMUSP00000059435.4 pep:known chromosome:GRCm38:12:64917911:64924591:1 gene:ENSMUSG00000047227.4 transcript:ENSMUST00000058135.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm527 description:predicted gene 527 [Source:MGI Symbol;Acc:MGI:2685373] MKTLFEEIKASIKNNYNQDRSFWRPVLPWGGVFTIKAGRKAVSCTPLYVEIRLKNTCTID GFLMLLYVILNENESFPRELSLHFGREFVDCFLYLMDTYSFTTVKLLWIWDKMEKQQYKS EVHKASLVIDLFGNEHDNFTKNLENLMSTIQGSYCSNWHCPTRVQEDQQRTISINPPQEI PHGNLIRLAVDELFCSKIELCEERGCGGLREFSQRVFCHGAPPFVVLNMQHWKPEDLAYV PYYLDLSDHKYLLEGATLFNKEEHHYSAAFQIDGHWMHYDGLRNVNLILLNKPPEFLLLS SLVYIRATEK >ENSMUSP00000097473.2 pep:known chromosome:GRCm38:10:43593427:43630916:1 gene:ENSMUSG00000075184.2 transcript:ENSMUST00000099888.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F930017D23Rik description:RIKEN cDNA F930017D23 gene [Source:MGI Symbol;Acc:MGI:3822538] MILKHSKVETLNAGRGYEQCCHHFNCGLGQIFVLSKDLFTRGVNRENPVAGLRSSHETEA TADGSWTLL >ENSMUSP00000108537.2 pep:known chromosome:GRCm38:17:57092035:57105942:-1 gene:ENSMUSG00000079414.2 transcript:ENSMUST00000112915.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11110 description:predicted gene 11110 [Source:MGI Symbol;Acc:MGI:3779362] MLPEAITSSKPQLKTSTSQTHHPCHQGTGILTQLKVTPLSHLSLLQSLKVPTVYGAKSVS MIFMGRRNARRVRKKKRKEQGWRAQEDWPGRCDPPPMSAAMLTNLCEDL >ENSMUSP00000037042.1 pep:known chromosome:GRCm38:14:70077445:70080157:1 gene:ENSMUSG00000033730.3 transcript:ENSMUST00000035908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egr3 description:early growth response 3 [Source:MGI Symbol;Acc:MGI:1306780] MTGKLAEKLPVTMSSLLNQLPDNLYPEEIPSALNLFSGSSDSVAHYNQMATENVMDIGLT NEKPNPELSYSSSFQPAPGNKTVTYLGKFAFDSPSNWCQDNIISLMSAGILGVPPASGAL STQTSTASMVQPPQGDVEAMYPALPPYSNCGDLYSEPVSFHDPQGNPGLAYSPQDYQSAK PALDSNLFPMIPDYNLYHHPNDMGSIPEHKPFQGMDPIRVNPPPITPLETIKAFKDKQIH PGFGSLPQPPLTLKPIRPRKYPNRPSKTPLHERPHACPAEGCDRRFSRSDELTRHLRIHT GHKPFQCRICMRSFSRSDHLTTHIRTHTGEKPFACEFCGRKFARSDERKRHAKIHLKQKE KKSEKGGAPSASSAPTVSLAPVVTTCA >ENSMUSP00000094256.4 pep:known chromosome:GRCm38:X:21174439:21175653:-1 gene:ENSMUSG00000095316.1 transcript:ENSMUST00000096511.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21876 description:predicted gene, 21876 [Source:MGI Symbol;Acc:MGI:5434040] VKTDSSYTKSPRDIFKPEKMSKSFQDISKYFSEEEWESLTKWQKSAYVFMKRNYIRMTGL GVTVNKPVFMRGKDQITEFLLKESDEGLGHANEG >ENSMUSP00000042590.1 pep:known chromosome:GRCm38:18:14706151:14762299:1 gene:ENSMUSG00000036743.3 transcript:ENSMUST00000040860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psma8 description:proteasome (prosome, macropain) subunit, alpha type, 8 [Source:MGI Symbol;Acc:MGI:1920927] MASRYDRAITVFSPDGHLFQVEYAQEAVKKGSTAVGIRGTNIVVLGVEKKSVAKLQDERT VRKICALDDHVCMAFAGLTADARVVISRARVECQSHKLTVEDPVTVEYITRFIATLKQKY TQSNGRRPFGISALIVGFDDDGIPRLYQTDPSGTYHAWKANAIGRSAKTVREFLEKNYTE DAISNDKEAIKLAIKALLEVVQSGGKNIELAIIRRDQPLKMFSAKEIELEVSEIEREKDE AEKTKSKKST >ENSMUSP00000046010.4 pep:known chromosome:GRCm38:8:84701457:84704715:1 gene:ENSMUSG00000034041.4 transcript:ENSMUST00000037165.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyl1 description:lymphoblastomic leukemia 1 [Source:MGI Symbol;Acc:MGI:96891] MCPPQARAEVGSAMTEKTEMVCASSPAPAPPSKPASPGPLSTEEVDHRNTCTPWLPPGVP VINLGHTRPIGAAMPTTELSAFRPSLLQLTALGRAPPTLAVHYHPHPFLNSVYIGPAGPF SIFPNSRLKRRPSHSELDLADGHQPQKVARRVFTNSRERWRQQHVNGAFAELRKLLPTHP PDRKLSKNEVLRLAMKYIGFLVRLLRDQTAVLTSGPSAPGSRKPPARRGVEGSARFGAGH RVEAARSQPVLPGDCDGDPNGSVRPIKLEQTSLSPEVR >ENSMUSP00000058887.3 pep:known chromosome:GRCm38:18:42578020:42579652:-1 gene:ENSMUSG00000042816.3 transcript:ENSMUST00000054738.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr151 description:G protein-coupled receptor 151 [Source:MGI Symbol;Acc:MGI:2441887] MGKAMLRAGFADTNSSNMNESFARLHFAGGYLPSDSKDWRTIIPSLLMAVCLVGLVGNLC VIGILLHGVWKRKPSTIHSLILNLSLADFSLLLFSAPVRAAAYSKGVWDLGWFICKSSDW FTHVCMAAKSLTFVVVAKACFAYASDPAKQESIHSRTIWSVLAGIWVVASLLPLPEWLFS TTRRHAGVEMCLVDVPAVAEEFMSMFGKLYPLLVFCLPLLLAGVYFWRAYDQCKTRCTKT RNLRDQMRSKQLTVMLLSTAIISALLWLPEWIAWLWVWHVKAGGPMPPQGFIALSQVLMF FTSTANPLIFLVMSEEFKAGLKGLWKWMITRKPAVTSEVQEAPAGNTEALPGKAPSPETQ TCILDTDGRGSPDDSKEKSGKVVAPILPDVEQFWHERDAVPSAQDNDPIPWEHEGQETEG CN >ENSMUSP00000043049.8 pep:known chromosome:GRCm38:12:80463095:80498135:1 gene:ENSMUSG00000032705.8 transcript:ENSMUST00000038185.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exd2 description:exonuclease 3'-5' domain containing 2 [Source:MGI Symbol;Acc:MGI:1922485] MSRQNLVALTVTTLLGVAMGGFVLWKGIQRRWSKTSRVMQQQPQQPQQPQQPQPQPQPQP QPQPEHPQPQQQVPGGREWPPPEDDQLPFGALRAPRASWEERILQAEVVTVSQEAEWNQI QPFLKRELEDFPVLGIDCEWVNLEGKASPLSLLQMASPSGFCALVRLPRLIYGGRTLPRT LLDILADGAILKVGVGCSEDANKLLQDYGLIVRGCLDLRYLAMKQGNNILCNGLSLKSLA ETILNFPLDKSLLLRCSNWDAENLTEDQVTYAARDAQISVALFLHLLGYPFSRDSYEEES TDQINWQKALERCRNMVDIPFRSKGLGRLVEEVNGEALESQLKPRNRKAKTDRMVPGNNQ GRDPRKHKRKPLGVGYSARKSPLYDNCFLQAPDGQPLCTCDRRKAQWYLDKGIGELVSKE PFVVRLQFEPAGRPESPGDYYLMVKENLCVVCGKTDTYIRKNIIPHEYRKHFPIEMKDHN SHDVLLLCTSCHAISNYYDNHLKQQLAKEFQAPIGSEEGLRLLEDLERRQVRSGARALLN AESLPAHRKEELLHALREFYNTDIITEEMLHEAASLETRIYNESYIPHGLKVVQRHTEGG LRSLMQLESRWRQHFLDSMQPKHLPQQWSVDHNHQKLLRKYGDDLPIKLS >ENSMUSP00000064462.6 pep:known chromosome:GRCm38:10:34091352:34096519:-1 gene:ENSMUSG00000049872.7 transcript:ENSMUST00000069125.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam26e description:family with sequence similarity 26, member E [Source:MGI Symbol;Acc:MGI:2143897] MDAFQSILKFFLNQKTAIGYSFMALLTVGSERLFSLVAFKCPCSVENTAYGLVFLFAPAW VLLILGFFLNNKAWRLFTGCCMNPKKIFPRRRCCRFFYVLGHIILSSLVAPVMWLSVALL NGTFYECAMSGTRSTRLLEMICKGKPKECWEELHKVSCGKSSMAAMESEEVRLSLQAQSQ ILGWCLICSASFLSLLTTCYARCRSKVSYLQLSFWKTYAQREKEQLENKLLECANKLSER NLKCFFENKKPDPFPMPSFGAWEAASELHSFHQDREHYSTLHKVVDDGMEQTPQEEETTM ILVGTAQSL >ENSMUSP00000023356.6 pep:known chromosome:GRCm38:16:14705852:14709395:1 gene:ENSMUSG00000022676.6 transcript:ENSMUST00000023356.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snai2 description:snail family zinc finger 2 [Source:MGI Symbol;Acc:MGI:1096393] MPRSFLVKKHFNASKKPNYSELDTHTVIISPYLYESYPIPVIPKPEILTSGAYSPITVWT SSAAPLHSPLPSGLSPLTGYSSSLGRVSPPPSSDTSSKDHSGSESPISDEEERLQPKLSD PHAIEAEKFQCNLCNKTYSTFSGLAKHKQLHCDAQSRKSFSCKYCDKEYVSLGALKMHIR THTLPCVCKICGKAFSRPWLLQGHIRTHTGEKPFSCPHCNRAFADRSNLRAHLQTHSDVK KYQCKNCSKTFSRMSLLHKHEESGCCVAH >ENSMUSP00000040431.8 pep:known chromosome:GRCm38:17:25830636:25833361:-1 gene:ENSMUSG00000039615.8 transcript:ENSMUST00000044911.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stub1 description:STIP1 homology and U-Box containing protein 1 [Source:MGI Symbol;Acc:MGI:1891731] MKGKEEKEGGARLGTGGGGSPDKSPSAQELKEQGNRLFVGRKYPEAAACYGRAITRNPLV AVYYTNRALCYLKMQQPEQALADCRRALELDGQSVKAHFFLGQCQLEMESYDEAIANLQR AYSLAKEQRLNFGDDIPSALRIAKKKRWNSIEERRIHQESELHSYLTRLIAAERERELEE CQRNHEGHEDDGHIRAQQACIEAKHDKYMADMDELFSQVDEKRKKRDIPDYLCGKISFEL MREPCITPSGITYDRKDIEEHLQRVGHFDPVTRSPLTQEQLIPNLAMKEVIDAFISENGW VEDY >ENSMUSP00000033935.8 pep:known chromosome:GRCm38:8:22462615:22476879:-1 gene:ENSMUSG00000031534.14 transcript:ENSMUST00000033935.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim19 description:small integral membrane protein 19 [Source:MGI Symbol;Acc:MGI:2142501] MAGSYGVMADDGSIDYTVHEAWNEATNVYLIVILVSFGLFMYAKRNKRKIMRIFSVPPTE GMLSEPSFYDTVSRIRLRQQVEAHPVSRKYEYQQPQSQADSVQLSLE >ENSMUSP00000131855.1 pep:known chromosome:GRCm38:8:22462615:22476879:-1 gene:ENSMUSG00000031534.14 transcript:ENSMUST00000163774.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim19 description:small integral membrane protein 19 [Source:MGI Symbol;Acc:MGI:2142501] MLEVPMAGSYGVMADDGSIDYTVHEAWNEATNVYLIVILVSFGLFMYAKRNKRKIMRIFS VPPTEGMLSEPSFYDTVSRIRLRQQVEAHPVSRKYEYQQPQSQADSVQLSLE >ENSMUSP00000019631.9 pep:known chromosome:GRCm38:17:57249451:57263697:1 gene:ENSMUSG00000019487.10 transcript:ENSMUST00000019631.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trip10 description:thyroid hormone receptor interactor 10 [Source:MGI Symbol;Acc:MGI:2146901] MDWGTELWDQFEVLERHTQWGLDLLDKYVKFVKERAEVEQAYAKQLRSLVKKYLPKRPTK DDPEVKFSQQQSFVQLLQEVNDFAGQRELVAESLGIRVCLELAKYSQEMKQERKMHFQEG RRAQQQLENGFKQLENSKRKFERDCREAEKAAHTAERLDQDINATKADVEKAKQQAHLRN HMAEESKNEYAAQLQRFNRDQAHFYFSQMPQIFDKLQDMDERRATRLGAGYGLLSEAELQ VVPIIGKCLEGMKVAAESVDAKNDSQVLIELHKSGFARPGDLEFEDFSQVINRVPSDSSL GTPDGRPELRAASSRSRAKRWPFGKKNKTVATEDFSHLPPEQQRKRLQQQLEERNRELQK EEDQREALKKMKDVYEKTPQMGDPASLEPRIAETLGNIERLKLEVQKYEAWLAEAESRVL SNRGDSLSRHARPPDPPTTAPPDSSSSSTNSGSQDNKESSSEEPPSEGQDTPIYTEFDED FEEPASPIGQCVAIYHFEGSSEGTVSMSEGEDLSLMEEDKGDGWTRVRRKQGAEGYVPTS YLRVTLN >ENSMUSP00000128271.1 pep:known chromosome:GRCm38:17:46674550:46680930:-1 gene:ENSMUSG00000063576.11 transcript:ENSMUST00000165007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc3 description:kelch domain containing 3 [Source:MGI Symbol;Acc:MGI:2651568] MLRWTVHLEGGPRRVNHAAVAVGHRVYSFGGYCSGEDYETLRQIDVHIFNAVSLRWTKLP PVRPAVRGQAPVVPYMRYGHSTVLIDDTVFLWGGRNDTEGACNVLYAFDVNTHKWSTPRV SGAVPGARDGHSACVLGKIMYIFGGYEQLADCFSNDIHKLDTSTMTWTLVCTKGNPARWR DFHSATMLGNHMYVFGGRADRFGPFHSNNEIYCNRIRVFDTRTEAWLDCPHTPVLPEGRR SHSAFGYNGELYIFGGYNARLNRHFHDLWKFNPGSFTWKKIEPKGKGPCPRRRQCCCIVG DKIVLFGGTSPSPEEGLGDEFDLIDHSDLHILDFSPSLKTLCKLAVIQYSLDQSCLPHDI RWELNAMTTNSNISRPIVSSHG >ENSMUSP00000071743.5 pep:known chromosome:GRCm38:17:46674553:46680930:-1 gene:ENSMUSG00000063576.11 transcript:ENSMUST00000071841.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc3 description:kelch domain containing 3 [Source:MGI Symbol;Acc:MGI:2651568] MLRWTVHLEGGPRRVNHAAVAVGHRVYSFGGYCSGEDYETLRQIDVHIFNAVSLRWTKLP PVRPAVRGQAPVVPYMRYGHSTVLIDDTVFLWGGRNDTEGACNVLYAFDVNTHKWSTPRV SGAVPGARDGHSACVLGKIMYIFGGYEQLADCFSNDIHKLDTSTMTWTLVCTKGNPARWR DFHSATMLGNHMYVFGGRADRFGPFHSNNEIYCNRIRVFDTRTEAWLDCPHTPVLPEGRR SHSAFGYNGELYIFGGYNARLNRHFHDLWKFNPGSFTWKKIEPKGKGPCPRRRQCCCIVG DKIVLFGGTSPSPEEGLGDEFDLIDHSDLHILDFSPSLKTLCKLAVIQYSLDQSCLPHDI RWELNAMTTNSNISRPIVSSHG >ENSMUSP00000037753.5 pep:known chromosome:GRCm38:10:128395934:128401224:-1 gene:ENSMUSG00000039878.12 transcript:ENSMUST00000042666.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a5 description:solute carrier family 39 (metal ion transporter), member 5 [Source:MGI Symbol;Acc:MGI:1919336] MGPPVHHLLTGLCVGVALGWVGGSVPNLGPAEQEQNHYLAQLFGLYGENGTLTAGGLARL LHSLGLGRVQGLRLGHHEPPTGRAAPTSGDNFTHRLQEPELSVDIWAGMPLGPSGWGDQE ESKAPDLHGSGPSSLDLFQRLLLLDHSLADHLNEDCLNGSQLLVNFGLSPVAPLTPRQFA LLCPALLYQIDSRVCIKTPAPAPPGDVLSALLHSGLAVLFLSLPAPLSLLLLRLLGPRLL RPVLGFLGALAVGTLCGDALLHLLPHAQGGRHTGPSEQSEEDLGPGLSVLGGLFLLFMLE NTLGLVRHRGLRPRCCRNKRDLGEPNPDPEDGSGMVLRPLQAASEPEVQGQRENRQSSPS LAPPGHQGHSHEHRGGSIAWMVLLGDCLHNLTDGLALGAAFSDGFSSGLSTTLAVFCHEL PHELGDFAMLLQEGLSFRKLLLLSLVSGALGLGGAALGVGLSLGPVPLTPWVFGTTAGVF LYVALVDMLPTLLRPPEPLPVFHVLLQGLGLLLGGSLMFTIALLEEQLVPTVPDG >ENSMUSP00000131736.1 pep:known chromosome:GRCm38:10:128395934:128400466:-1 gene:ENSMUSG00000039878.12 transcript:ENSMUST00000167859.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a5 description:solute carrier family 39 (metal ion transporter), member 5 [Source:MGI Symbol;Acc:MGI:1919336] MGPPVHHLLTGLCVGVALGWVGGSVPNLGPAEQEQNHYLAQLFGLYGENGTLTAGGLARL LHSLGLGRVQGLRLGHHEPPTGRAAPTSGDNFTHRLQEPELSVDIWAGMPLGPSGWGDQE ESKAPDLHGSGPSSLDLFQRLLLLDHSLADHLNEDCLNGSQLLVNFGLSPVAPLTPRQFA LLCPALLYQIDSRVCIKTPAPAPPGDVLSALLHSGLAVLFLSLPAPLSLLLLRLLGPRLL RPVLGFLGALAVGTLCGDALLHLLPHAQGGRHTGPSEQSEEDLGPGLSVLGGLFLLFMLE NTLGLVRHRGLRPRCCRNKRDLGEPNPDPEDGSGMVLRPLQAASEPEVQGQRENRQSSPS LAPPGHQGHSHEHRGGSIAWMVLLGDCLHNLTDGLALGAAFSDGFSSGLSTTLAVFCHEL PHELGDFAMLLQEGLSFRKLLLLSLVSGALGLGGAALGVGLSLGPVPLTPWVFGTTAGVF LYVALVDMLPTLLRPPEPLPVFHVLLQGLGLLLGGSLMFTIALLEEQLVPTVPDG >ENSMUSP00000011526.4 pep:known chromosome:GRCm38:7:45473563:45488796:-1 gene:ENSMUSG00000011382.7 transcript:ENSMUST00000011526.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhdh description:dihydrodiol dehydrogenase (dimeric) [Source:MGI Symbol;Acc:MGI:1919005] MALRWGIVSAGLIANDFTTVLSSLPSSEHQVVAVAARDLNRAEEFAQKFNIPKAYGSYEE LAKDPNVEVAYIATQHPQHKPAVLLCLAAGKAVLCEKPMGVNAAEVREMVAKARSQGVFL MEAIWSRFFPAMEALREVLVQGTIGDLRVARAEFGFDLSHIPRATDWNQAGGGLLDLGIY CVQFLSMIFGAQKPEKISAVGRIHETGVDDTVSVLLQYPGGVHGSFTCSISSNLPNTAYV SGTKGMAQIQKLWAPTELVVNGERKEFPPPVLGKDYNFVNGSCMLYEANHVRECLRKGLK ESPVVPLAESELLAEILEEARKAIGVTFPQDKR >ENSMUSP00000023502.4 pep:known chromosome:GRCm38:16:33251456:33299562:1 gene:ENSMUSG00000022808.4 transcript:ENSMUST00000023502.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx4 description:sorting nexin 4 [Source:MGI Symbol;Acc:MGI:1916400] MEQAPPDPEKLLQPGPLEPLGGPGAVLEAAVGEENEGTREDGSGVDTMTGNNFWLKKIEI SVSEAEKRTGRNAVNMQETYTAYLIETRSVEHADGQSVLTDSLWRRYSEFELLRNYLLVY YPHVVVPPLPEKRAEFVWHKLSADNMDPDFVERRRVGLENFLLRVASHPVLCRDKIFYSF LTQEGNWKETVNETGFQLKADSRLKALNATFRVKNPDKRFTELRHYSDELQSVISHLLRV RARVADRLYGVYKVHGNYGRVFSEWSAIEKEMGDGLQSAGHHMDVYASSIDDILEDEEHY ADQLKEYLFYAEALRAVCRKHELMQYDLETAAQDLAAKKQQCEELATGTVRTFSLKGMTT KLFGQETPEQREARIKVLEEQINEGEQQLKSKNLEGREFVKNAWADIERFKEQKNRDLKE ALISYAVMQISMCKKGIQVWTNAKECFSKM >ENSMUSP00000132659.1 pep:known chromosome:GRCm38:18:34625033:34633265:1 gene:ENSMUSG00000003779.15 transcript:ENSMUST00000166044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif20a description:kinesin family member 20A [Source:MGI Symbol;Acc:MGI:1201682] MSHRILSPPAGLLSDEDVVDSPILESTAADLRSVVRKDLLSDCSVISASLEDKQALLEDT SEKVKVYLRIRPFLTSELDRQEDQGCVCIENTETLVLQAPKDSFALKSNERGVGQATHKF TFSQIFGPEVGQVAFFNLTMKEMVKDVLKGQNWLIYTYGVTNSGKTYTIQGTSKDAGILP QSLALIFNSLQGQLHPTPDLKPLLSNEVIWLDSKQIRQEEMKKLSLLIGGLQEEELSTSV KKRVHTESRIGASNSFDSGVAGLSSTSQFTSSSQLDETSQLWAQPDTVPVSVPADIRFSV WISFFEIYNELLYDLLEPPSHQHKRQTLRLCEDQNGNPYVKDLNWIHVRDVEEAWKLLKV GRKNQSFASTHMNQQSSRSHSIFSIRILHLQGEGDIVPKISELSLCDLAGSERCKHQKSG ERLKEAGNINTSLHTLGRCIAALRQNQQNRSKQNLIPFRDSKLTRVFQGFFTGRGRSCMI VNVNPCASTYDETLHAAKFSALASQLVHAPPVHLGIPSLHSFIKKHSPQVGPGLEKEDKA DSDLEDSPEDEADVSVYGKEELLQVVEAMKALLLKERQEKLQLEIQLREEICNEMVEQMQ QREQWCSERLDNQKELMEELYEEKLKILKESLTTFYQEQIQERDEKIEELETLLQEAKQQ PAAQQSGGLSLLRRSQRLAASASTQQFQEVKAELEQCKTELSSTTAELHKYQQVLKPPPP AKPFTIDVDKKLEEGQKNIRLLRTELQKLGQSLQSAERACCHSTGAGKLRQALTNCDDIL IKQNQTLAELQNNMVLVKLDLQKKAACIAEQYHTVLKLQGQASAKKRLGANQENQQPNHQ PPGKKPFLRNLLPRTPTCQSSTDSSPYARILRSRHSPLLKSPFGKKY >ENSMUSP00000130045.1 pep:known chromosome:GRCm38:18:34624624:34633265:1 gene:ENSMUSG00000003779.15 transcript:ENSMUST00000167161.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif20a description:kinesin family member 20A [Source:MGI Symbol;Acc:MGI:1201682] MSHRILSPPAGLLSDEDVVDSPILESTAADLRSVVRKDLLSDCSVISASLEDKQALLEDT SEKVKVYLRIRPFLTSELDRQEDQGCVCIENTETLVLQAPKDSFALKSNERGVGQATHKF TFSQIFGPEVGQVAFFNLTMKEMVKDVLKGQNWLIYTYGVTNSGKTYTIQGTSKDAGILP QSLALIFNSLQGQLHPTPDLKPLLSNEVIWLDSKQIRQEEMKKLSLLIGGLQEEELSTSV KKRVHTESRIGASNSFDSGVAGLSSTSQFTSSSQLDETSQLWAQPDTVPVSVPADIRFSV WISFFEIYNELLYDLLEPPSHQHKRQTLRLCEDQNGNPYVKDLNWIHVRDVEEAWKLLKV GRKNQSFASTHMNQQSSRSHSIFSIRILHLQGEGDIVPKISELSLCDLAGSERCKHQKSG ERLKEAGNINTSLHTLGRCIAALRQNQQNRSKQNLIPFRDSKLTRVFQGFFTGRGRSCMI VNVNPCASTYDETLHAAKFSALASQLVHAPPVHLGIPSLHSFIKKHSPQVGPGLEKEDKA DSDLEDSPEDEADVSVYGKEELLQVVEAMKALLLKERQEKLQLEIQLREEICNEMVEQMQ QREQWCSERLDNQKELMEELYEEKLKILKESLTTFYQEQIQERDEKIEELETLLQEAKQQ PAAQQSGGLSLLRRSQRLAASASTQQFQEVKAELEQCKTELSSTTAELHKYQQVLKPPPP AKPFTIDVDKKLEEGQKNIRLLRTELQKLGQSLQSAERACCHSTGAGKLRQALTNCDDIL IKQNQTLAELQNNMVLVKLDLQKKAACIAEQYHTVLKLQGQASAKKRLGANQENQQPNHQ PPGKKPFLRNLLPRTPTCQSSTDSSPYARILRSRHSPLLKSPFGKKY >ENSMUSP00000100962.2 pep:known chromosome:GRCm38:10:81328732:81335171:1 gene:ENSMUSG00000034881.7 transcript:ENSMUST00000105325.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbxa2r description:thromboxane A2 receptor [Source:MGI Symbol;Acc:MGI:98496] MWPNGTSLGACFRPVNITLQERRAIASPWFAASFCALGLGSNLLALSVLAGARPGAGPRS SFLALLCGLVLTDFLGLLVTGAIVASQHAALLDWRATDPSCRLCYFMGVAMVFFGLCPLL LGAAMASERFVGITRPFSRPTATSRRAWATVGLVWVAAGALGLLPLLGLGRYSVQYPGSW CFLTLGTQRGDVVFGLIFALLGSASVGLSLLLNTVSVATLCRVYHTREATQRPRDCEVEM MVQLVGIMVVATVCWMPLLVFIMQTLLQTPPVMSFSGQLLRATEHQLLIYLRVATWNQIL DPWVYILFRRSVLRRLHPRFSSQLQAVSLRRPPAQAMLSGP >ENSMUSP00000128808.2 pep:known chromosome:GRCm38:12:84641019:84651455:1 gene:ENSMUSG00000071235.11 transcript:ENSMUST00000166772.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrtn description:vertebrae development associated [Source:MGI Symbol;Acc:MGI:3588197] MTSRDQLVQQVLRDLQEAVESEGLEGLIGAALEAKQVLSSFTLPICQKGGPGAQVLEVDS VALSLYPEDAPRNMLPLVCKGEGSLLFEATSLLLWGHTGLSLELRARTVVEMLLHRHYYL QGMIDSKVMLQAVRYSLCSEESPEMTNLSFATLEAIFDADVKATCFPTSFSNVWHLYALA SILECNIYSIYPMRNIKIRPYFNRVIMPRCSTHVTSMLHIMWAGQPLTSHLFRHQYFAPV VGLEEVEADCTASLNPVPPNLGPLLPPAKTLELLNREPGLSYSHLCDRISITKSTFYRWR RQTQEHRQKVATRFSAKHFLQDSFHRGGFVPLQQFLQRFPEISRSTYYAWKHELLGSGAN SALGPATPSREALAVPEVERPPGKKAAEEVGCSSLAAAMLSPPSMILMQRAKSFLEYCIS LNKLVPYRCFKCRFPGISRSTYYNWRRKALRRTPSFKLSQAAFETAESLQPTDVGKETPF SLKREAGEEETGKAGSGAPLTSRGLISPKMPLSRWQRRLRRAARKQVLNGHLPFCRFRLR YPSLLPSTFWVWKSLSRRSPGMQIPSLSQRRQKPQGRQKPEGRQKPEEQQKPEGRQKPEG RQKPVEPQAMEADQNVPAMVVPPAETLPVATSPEDVPGGPSREGNIIQEAAMTQSQPHSG SLPSQTLAEAPGGSDGQVLVMDMLTTTRFKAQAKLFLQKRFQSKTFPSYKEFQALFPLTA RSTYYMWKRALYEGLTLIDG >ENSMUSP00000132050.1 pep:known chromosome:GRCm38:12:84642555:84651455:1 gene:ENSMUSG00000071235.11 transcript:ENSMUST00000167227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrtn description:vertebrae development associated [Source:MGI Symbol;Acc:MGI:3588197] MTSRDQLVQQVLRDLQEAVESEGLEGLIGAALEAKQVLSSFTLPICQKGGPGAQVLEVDS VALSLYPEDAPRNMLPLVCKGEGSLLFEATSLLLWGHTGLSLELRARTVVEMLLHRHYYL QGMIDSKVMLQAVRYSLCSEESPEMTNLSFATLEAIFDADVKATCFPTSFSNVWHLYALA SILECNIYSIYPMRNIKIRPYFNRVIMPRCSTHVTSMLHIMWAGQPLTSHLFRHQYFAPV VGLEEVEADCTASLNPVPPNLGPLLPPAKTLELLNREPGLSYSHLCDRISITKSTFYRWR RQTQEHRQKVATRFSAKHFLQDSFHRGGFVPLQQFLQRFPEISRSTYYAWKHELLGSGAN SALGPATPSREALAVPEVERPPGKKAAEEVGCSSLAAAMLSPPSMILMQRAKSFLEYCIS LNKLVPYRCFKCRFPGISRSTYYNWRRKALRRTPSFKLSQAAFETAESLQPTDVGKETPF SLKREAGEEETGKAGSGAPLTSRGLISPKMPLSRWQRRLRRAARKQVLNGHLPFCRFRLR YPSLLPSTFWVWKSLSRRSPGMQIPSLSQRRQKPQGRQKPEGRQKPEEQQKPEGRQKPEG RQKPVEPQAMEADQNVPAMVVPPAETLPVATSPEDVPGGPSREGNIIQEAAMTQSQPHSG SLPSQTLAEAPGGSDGQVLVMDMLTTTRFKAQAKLFLQKRFQSKTFPSYKEFQALFPLTA RSTYYMWKRALYEGLTLIDG >ENSMUSP00000093207.4 pep:known chromosome:GRCm38:12:84642896:84651455:1 gene:ENSMUSG00000071235.11 transcript:ENSMUST00000095551.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrtn description:vertebrae development associated [Source:MGI Symbol;Acc:MGI:3588197] MTSRDQLVQQVLRDLQEAVESEGLEGLIGAALEAKQVLSSFTLPICQKGGPGAQVLEVDS VALSLYPEDAPRNMLPLVCKGEGSLLFEATSLLLWGHTGLSLELRARTVVEMLLHRHYYL QGMIDSKVMLQAVRYSLCSEESPEMTNLSFATLEAIFDADVKATCFPTSFSNVWHLYALA SILECNIYSIYPMRNIKIRPYFNRVIMPRCSTHVTSMLHIMWAGQPLTSHLFRHQYFAPV VGLEEVEADCTASLNPVPPNLGPLLPPAKTLELLNREPGLSYSHLCDRISITKSTFYRWR RQTQEHRQKVATRFSAKHFLQDSFHRGGFVPLQQFLQRFPEISRSTYYAWKHELLGSGAN SALGPATPSREALAVPEVERPPGKKAAEEVGCSSLAAAMLSPPSMILMQRAKSFLEYCIS LNKLVPYRCFKCRFPGISRSTYYNWRRKALRRTPSFKLSQAAFETAESLQPTDVGKETPF SLKREAGEEETGKAGSGAPLTSRGLISPKMPLSRWQRRLRRAARKQVLNGHLPFCRFRLR YPSLLPSTFWVWKSLSRRSPGMQIPSLSQRRQKPQGRQKPEGRQKPEEQQKPEGRQKPEG RQKPVEPQAMEADQNVPAMVVPPAETLPVATSPEDVPGGPSREGNIIQEAAMTQSQPHSG SLPSQTLAEAPGGSDGQVLVMDMLTTTRFKAQAKLFLQKRFQSKTFPSYKEFQALFPLTA RSTYYMWKRALYEGLTLIDG >ENSMUSP00000020488.7 pep:known chromosome:GRCm38:10:83360221:83368835:1 gene:ENSMUSG00000020255.7 transcript:ENSMUST00000020488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D10Wsu102e description:DNA segment, Chr 10, Wayne State University 102, expressed [Source:MGI Symbol;Acc:MGI:106381] MEFQGERGTGPGVSSSSVACSQVTVSRELLTAGSEGSGGIWDQLLISSKPHPRKTSTLQT VRMQRSPLLDQVQAFLPQMAQANEKLRREMAAAPAGHFNIENIDETSGNIIQMDVALFEM SRSDSKEEDSPEESSRDSSGDSSESEEDVCVPSEVTIENIKLPNAEGGKGKIEILDSPAS KKKKQ >ENSMUSP00000057915.8 pep:known chromosome:GRCm38:14:30951486:30987439:1 gene:ENSMUSG00000021918.9 transcript:ENSMUST00000050171.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek4 description:NIMA (never in mitosis gene a)-related expressed kinase 4 [Source:MGI Symbol;Acc:MGI:1344404] MPQAAYCYMRVVGRGSYGEVTLVKHRRDGKQYVIKKLNLRNASSRERRAAEQEAQLLSQL KHPNIVTYKESWEGGDGLLYIVMGFCEGGDLYRKLKEQKGQLLPESQVVEWFVQIAMALQ YLHEKHILHRDLKTQNVFLTRTNIIKVGDLGIARVLENHGDMASTLIGTPYYMSPELFSN KPYNYKSDVWALGCCVYEMATLKHAFNAKDMNSLVYRIIEGKLPPMPKVYSTELAELIRT MLSRRPEERPSVRSILRQPYIKHHISLFLEATKAKTSKNNVKNCDSRAKPVAAVVSRKEE SNTDVIHYQPRSSEGSALHVMGEDKCLSQEKPVDIGPLRSPASLEGHTGKQDMNNTGESC ATISRINIDILPAERRDSANAGVVQESQPQHVDAADEVDSQCSISQEKERLQGNTKSSDQ PGNLLPRRSSDGGDGEGSELVKPLYPSNKDQKPDQDQVTGIIENQDSIHPRSQPHSSMSE PSLSRQRRQKKREQTAHSRTKSQFQELPPRLLPSYPGIGKVDIIATQQNDGNQGGPVAGC VNSSRTSSTASAKDRPLSARERRRLKQSQEEMLPSGPAVQRTPSAVEPLKPQEEDQPIPA QRFSSDCSITQMNHTLPREKEKRLMHGLSEDELSSSTSSTDKSDGDSREGKSHTNEMKDL VQLMTQTLRLEAKESCEDLQVLNPGSEFRLHRKYRDTLVLHGKVAEEVEPHCTELPTGII PGSEKIRRIVEVLRADVIQGLGIQLLEQVFDLLGEEDELEREARLQEHMGDKYTTYCVKA RQLKFFEENVSF >ENSMUSP00000130196.1 pep:known chromosome:GRCm38:4:120588745:120609673:1 gene:ENSMUSG00000091297.1 transcript:ENSMUST00000171482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8439 description:predicted gene 8439 [Source:MGI Symbol;Acc:MGI:3648581] MLTPELLLRPLFSPPEVESVSWTKAIATQDGLSLTPRTQGERRVLTPRRWPLTTTTQIPV QRGCRCAQDHTAKLLVGWQAFKPFPQEPHQPLAPFL >ENSMUSP00000072614.6 pep:known chromosome:GRCm38:18:46282151:46311928:-1 gene:ENSMUSG00000071855.4 transcript:ENSMUST00000072835.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc112 description:coiled-coil domain containing 112 [Source:MGI Symbol;Acc:MGI:1918800] MAALTTVVVAAAATAVAGAVAGAGAAAGTGVGAAPTPQQSDGGCSASGGIRSFQLQNWKQ KVSRTKKAEFIRTAEKFKNQVIVIEKDKHSHFYNQRGDFRTEYNMLEELENKLINSRKTE RAKIQQQLAKIHNNVKKLQNQLKDVKPTPDFVEKLREMMEEIENAINTFKEEQRLIYEEL IQEEKTTNNELSAVSRRIESWALGNSETEKAFRAISSKVPVDRVTQRTLPEQVVEFEKFL QQTGGRQGGWDALDHQNFVKVRNKHKGKPTFMKEVVEHLPGRTLDEVQQHEKWYQKFLTL EEKKKESIQNWKTKKQQKKEEILKLKEKVDTVPLPSQSKAEDSPKQREEQRKKQKLAVEA WKKRKSLEMSAKLASQLREEEEKERKQQRERQRQSKLKLLLESYTQQRREQEEFLRLEQE IKEKAEKAEKRKTAADELARFQERDLHKLELKILDRQAKEEEKAEKQRRLTKLKEKVENN VSRDPSRLYKPTKGWEERTKKIGPSGSGPLLHIPHRAIPTWRQGI >ENSMUSP00000020977.2 pep:known chromosome:GRCm38:12:31640055:31654826:-1 gene:ENSMUSG00000020648.2 transcript:ENSMUST00000020977.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dus4l description:dihydrouridine synthase 4-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919166] MRSDSLPTTICQERKKDPIEMFHSGQLVKVCAPMVRYSKLAFRTLVRKYSCDLCYTPMII AADFVRSIKARDSEFTTNQGDCPLIVQFAANDARLLSDAALLVCPYANGIDINCGCPQRW AMADGYGACLINKPELVHDMVRQVRNRVESPRFSVSIKIRIHDDLARTIDLCRKAEATGV SWITVHGRTVEERHQPVHYDAIKMIKENVSIPIVANGDIRSLKEAENVWQMTGTDGVMVA RGLLTNPAMFAGYEETPLKCIWDWVDISLELGTPFMCFHQHLMYMMEKITSRQEKRVFNA LSSTSAVLDYLTDHYGDESLSKSL >ENSMUSP00000021428.7 pep:known chromosome:GRCm38:12:87449936:87472299:-1 gene:ENSMUSG00000021039.8 transcript:ENSMUST00000021428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snw1 description:SNW domain containing 1 [Source:MGI Symbol;Acc:MGI:1913604] MALTSFLPAPTQLSQDQLEAEERARSQRSLQTSLVSSRREPPPYGYRKGWIPRLLEDFGD GGAFPEIHVAQYPLDMGRKKKMSNALAIQVDPEGKIKYDAIARQGQSKDKVIYSKYTDLV PKEVMNADDPDLQRPDEEAIKEITEKTRVALEKSVSQKVAAAMPVRAADKLAPAQYIRYT PSQQGVAFNSGAKQRVIRMVEMQKDPMEPPRFKINKKIPRGPPSPPAPVMHSPSRKMTVK EQQEWKIPPCISNWKNAKGYTIPLDKRLAADGRGLQTVHINENFAKLAEALYIADRKARE AVEMRAQVERKMAQKEKEKHEEKLREMAQKARERRAGIKTHVEKEDGEARERDEIRHDRR KERQHDRNLSRAAPDKRSKLQRNENRDISEVIALGVPNPRTSNEVQYDQRLFNQSKGMDS GFAGGEDEIYNVYDQAWRGGKDMAQSIYRPSKNLDKDMYGDDLEARIKTNRFVPDKEFSG SDRKQRGREGPVQFEEDPFGLDKFLEEAKQHGGSKRPSDSSRPKEHEHEGKKRRKE >ENSMUSP00000126338.1 pep:known chromosome:GRCm38:16:33380775:33503903:1 gene:ENSMUSG00000022811.16 transcript:ENSMUST00000165418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp148 description:zinc finger protein 148 [Source:MGI Symbol;Acc:MGI:1332234] MNIDDKLEGLFLKCGGIDEMQSSRAMVVMGGVSGQSAVSGELQESVLQDRSLPHQEILAA DEVLQESEMRQQDMISHDELMVHEETVKNDEEQMDTHERLPQGLQYALNVPISVKQEITF TDVSEQLMRDKKQVREPVDLQKKKKRKQRSPAKILTINEDGSLGLKTPKSHVCEHCNAAF RTNYHLQRHVFIHTGEKPFQCSQCDMRFIQKYLLQRHEKIHTGEKPFRCDECGMRFIQKY HMERHKRTHSGEKPYQCEYCLQYFSRTDRVLKHKRMCHENHDKKLNRCAIKGGLLTSEED SGFSTSPKDNSLPKKKRQKTEKKSSGMDKESVLDKSDLKKDKNDYLPLYSSSTKVKDEYM VAEYAVEMPHSSVGGSHLEDASGEIHPPKLVLKKINSKRSLKQPLEQSQTISPLSSYEDS KVSKYAFELVDKQALLDSEGSADIDQVDNLQEGPSKPVHSSTNYDDAMQFLKKKRYLQAA SNNSREYALNVGTIASQPSVTQAAVASVIDESTTASILDSQALNVEIKSNHDKNVIPDEV LQTLLDHYSHKPNGQHEISFSVADTEVTSSISINSSDVPEVTQSENVGSSSQASSSDKAN MLQEYSKFLQQALDRTSQNDAYLNSPSLNFVTDNQTLPNPPAFSSIDKQVYAAMPINSFR SGMNSPLRTTPDKSHFGLIVGDSQHPFPFSGDETNHASATSTADFLDQVTSQKKAEAQPV HQAYQMSSFEQPFRAPYHGSRAGIATQFSTANGQVNLRGPGTSAEFSEFPLVNVNDNRAG MTSSPDATTGQTFG >ENSMUSP00000087106.6 pep:known chromosome:GRCm38:16:33400445:33498643:1 gene:ENSMUSG00000022811.16 transcript:ENSMUST00000089677.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp148 description:zinc finger protein 148 [Source:MGI Symbol;Acc:MGI:1332234] MNIDDKLEGLFLKCGGIDEMQSSRAMVVMGGVSGQSAVSGELQESVLQDRSLPHQEILAA DEVLQESEMRQQDMISHDELMVHEETVKNDEEQMDTHERLPQGLQYALNVPISVKQEITF TDVSEQLMRDKKQVREPVDLQKKKKRKQRSPAKILTINEDGSLGLKTPKSHVCEHCNAAF RTNYHLQRHVFIHTGEKPFQCSQCDMRFIQKYLLQRHEKIHTGEKPFRCDECGMRFIQKY HMERHKRTHSGEKPYQCEYCLQYFSRTDRVLKHKRMCHENHDKKLNRCAIKGGLLTSEED SGFSTSPKDNSLPKKKRQKTEKKSSGMDKESVLDKSDLKKDKNDYLPLYSSSTKVKDEYM VAEYAVEMPHSSVGGSHLEDASGEIHPPKLVLKKINSKRSLKQPLEQSQTISPLSSYEDS KVSKYAFELVDKQALLDSEGSADIDQVDNLQEGPSKPVHSSTNYDDAMQFLKKKRYLQAA SNNSREYALNVGTIASQPSVTQAAVASVIDESTTASILDSQALNVEIKSNHDKNVIPDEV LQTLLDHYSHKPNGQHEISFSVADTEVTSSISINSSDVPEVTQSENVGSSSQASSSDKAN MLQEYSKFLQQALDRTSQNDAYLNSPSLNFVTDNQTLPNPPAFSSIDKQVYAAMPINSFR SGMNSPLRTTPDKSHFGLIVGDSQHPFPFSGDETNHASATSTADFLDQVTSQKKAEAQPV HQAYQMSSFEQPFRAPYHGSRAGIATQFSTANGQVNLRGPGTSAEFSEFPLVNVNDNRAG MTSSPDATTGQTFG >ENSMUSP00000127563.1 pep:known chromosome:GRCm38:16:26503793:26526771:-1 gene:ENSMUSG00000091972.1 transcript:ENSMUST00000165687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem207 description:transmembrane protein 207 [Source:MGI Symbol;Acc:MGI:2685386] MSTSSPFRVASKIVTAGCLCLPLFQRVLSDLSCEENEMCVNYDERYPDGWYIWFFLLIFL VVLLCGVVLFCLQCWLKRCGINPPRRTMAVFAVGDLDPVYGAEMAGSPTSGICHPTQNTE LCSAPCFGALGPPPPYEEILKAN >ENSMUSP00000136783.1 pep:known chromosome:GRCm38:Y:47669242:47691098:-1 gene:ENSMUSG00000094170.1 transcript:ENSMUST00000179330.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21170 description:predicted gene, 21170 [Source:MGI Symbol;Acc:MGI:5434525] MALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADEDMGD EVDSMLDKSEVNNPAIGKDGYISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKWMET YVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDV >ENSMUSP00000075339.7 pep:known chromosome:GRCm38:12:24498581:24558571:1 gene:ENSMUSG00000059669.7 transcript:ENSMUST00000075954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf1b description:TATA box binding protein (Tbp)-associated factor, RNA polymerase I, B [Source:MGI Symbol;Acc:MGI:109577] MDVEEVKAFRDRCSQCAAVSWGLTDEGKYYCTSCHNVTDRSEEVVSAADIPNTKINSINR GLRQRSKHEKGWDWYVCEGFQCILYHQAKALETLGVSPELKNEVLHNFWKRYLQKSKQAY CKNPVRTSGRKAKVLEDSVQSSDLGSDLELLSDTTCPLESEAEFQSDPQIPKPFPVTKGS PKSASVCSGSVDGVEYSERKEKGLVKMTVPRTLALCSLSLLWQRETITVSDLLRFVEEDH IPYINAFKLFPEEMKVYGRDKGIFAIESWPDYEDIYKNMIELAIFLDLPRFPDITEDCYL HPNTLCMKYLLEVNLPDEMHTLTCQVVKLTGIGEVDFLTFDPIAKTKRTVKYDVQAMAVI VVVLKLLFLLDDKLEWSYSDLAEAYNEQHREDTPQFDFRKWYQVMKKTFDEKRRKWEEAR ARYAWKTKRPLYRSHIDKSVAYKRRKMVENLQKQFSALVGSSPVVEKQAPSSFQFNWTEE GTDSPCFHGHSLQGLLIMKGQSMITKNSLYWLSTQKFCKSYCKHVTTYEESNFSLSYQFI LNIFSFLLRIKTSALHEEVSLLEKKLFEKKYNESKKSSGSKKGRRH >ENSMUSP00000095187.1 pep:known chromosome:GRCm38:18:46925982:46934222:1 gene:ENSMUSG00000073568.1 transcript:ENSMUST00000097580.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl14epl description:ADP-ribosylation factor-like 14 effector protein-like [Source:MGI Symbol;Acc:MGI:2685795] MTEPSQKNNSTQQELTNHLFPEKSSQIGQKQLQQIERQLKCLAFQNPGPQVADFNPETRQ QKKKARMSKMNEYFSVKYKVMKKYDKSGRLICNDVDLCDCLEKNCLGCFYPCPKCNSNKC GPECRCNRRWVYDAIVTESGEVINTLPFSVPD >ENSMUSP00000108346.2 pep:known chromosome:GRCm38:14:6314876:6343678:-1 gene:ENSMUSG00000096828.1 transcript:ENSMUST00000112726.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3618 description:predicted gene 3618 [Source:MGI Symbol;Acc:MGI:3781794] MPGPEASTTLRASLEELSLGPVRFPLLLQDQERIRPTVNQSRRGPRSWSIKCPPLDRWGP SSIDLPRLITAEIQVTAHLTKLKARSEERETLDSHRTTTIGSEIMRNYCKQTDALMSLRS CKRDSCL >ENSMUSP00000033877.4 pep:known chromosome:GRCm38:8:27123832:27128632:-1 gene:ENSMUSG00000031487.4 transcript:ENSMUST00000033877.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brf2 description:BRF2, RNA polymerase III transcription initiation factor 50kDa subunit [Source:MGI Symbol;Acc:MGI:1913903] MPNGSRCPDCGSSELVEDSHYSQSQLVCSDCGCVVTEGVLTTTFSDEGNFREVTYSRSTG ENEQVSRCQQRDLRRVRDLCRILKLPLTFEDTAISYYQKAYQLSGIRAARLQKKEVLVGC CVLITCRQHNWPLTMGTICTLLYADLDLFSGTYMQMVKLLGLDVPSLCLADLVKSYCSSF KLFQASPSVPAKYVEDKDKMLSRTLLLVELADETWLVTGRHPLPIITAATFLAWQSLRPS DRLTCSLAQFCKLANVDLPYPAASRLQELLAVLLQMAGQLAWLQVLKLNKRSVVKHIGDL LQHRHMLVRTAFRDGTAEVETQQQQQQQQGQGQGQQDEVGDGPFDLPKRKRPASPTPLLP PCMLKPPKRTHTLPPESAVTGDEDISDSEIEQYLRTPQEVRDFERAQAASQAAMRVPNPP >ENSMUSP00000043713.5 pep:known chromosome:GRCm38:8:47709344:47713931:-1 gene:ENSMUSG00000038069.5 transcript:ENSMUST00000038738.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn2aip description:CDKN2A interacting protein [Source:MGI Symbol;Acc:MGI:1918175] MAQEVSEYLSQNPRVAAWVETLRCEGETDKHWRHRREFLLRNAGDLVPATDETADAESGA RTRQLQQLVSFSMAWANHVFLGCRYPQKVMDKILSMAEGIKVTDAPIHTTRDELVAKVKK RGISSSNEGVEEPSKKRAVEGKNNSSVERDHGKKSAKTDRSAAQQENSSPSRGSSTKSES GGTSARSSSSGSHQDSATSEGDRSVCSQSSSNSSQVTAGSGKALESEAPHKRGSASFVSS LLKSSMNSHMTQSTDNRQQSGSPKKGALEGSSGSASQSSSEIEVPLLGSSGSAEVELPLL SCKSSSETASSGLTSKSSSEANISSSVSKNSSSSGSSLLTPQSSSTNPSLLTSKSTAQVA ASLLATKSGASLGSVSQLAAKSGSQSSTSQLPSKSTSQASESSVKFACRKLTNEDIKQKQ PFFNRLYKTVAWKLVAVGGFSPTVNHGELLNAAIEALKATLDVFFVPLKELADLPQNKSS QESIVCELRCKSVYLGTGCGKSKENAKAVASREALKLFLKKKVVVKICKRKYRGSEIEDL VLLDEEARPVNLPPALKHPQELL >ENSMUSP00000089507.2 pep:known chromosome:GRCm38:18:57468486:57478134:1 gene:ENSMUSG00000069372.2 transcript:ENSMUST00000091892.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctxn3 description:cortexin 3 [Source:MGI Symbol;Acc:MGI:3642816] MDGGQPVPSPLVPLGNGSDYSMSLEQKTTFVFVILLFIFLGILIVRCFRILLDPYRSMPT STWADGLEGLEKGQFDHALA >ENSMUSP00000094154.2 pep:known chromosome:GRCm38:15:60014866:60016618:-1 gene:ENSMUSG00000071749.2 transcript:ENSMUST00000096421.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933412E24Rik description:RIKEN cDNA 4933412E24 gene [Source:MGI Symbol;Acc:MGI:1918338] MGSSEEQSVPGDDFYEESGDLNTGLSLVLRPAKSNEGESSLSSPKGSKLTLVSQLEASEN PSVVLWAGEYCPDSLVPEEERVGSPMDEKVVGLDFLSQPSVETTATGQQVTNLETKGARE HPSPESVCAETEAGSIRRAPQASEEAKFAASAGTFFPKGLEQSRSWVTPRKSTTSRMVIG ENVHHPTSEPEPLDELNEVQMMRVTICLKDGNHGNQAKNSGPAETGDLARHSNVQTRDSF MRMPSSLLVSTTRGLTSGVERQASKEPEPFSSKKKQGTLWGKGGSKSSYPEAAAGIGALP KASPRKKMAQKKKPLWDASAVTLGRAFHQWGQRLKSAPAEPATFPPISGVGLPGRSNKCS LLPLRPKQCKNLYTGKRSGAKKTKELQLVAKEDTDSTRDPSSQVQFPTHRAEPPCQSVHQ EFNSGDINARSLQDAGNSQSSALNQRGIMSKKSVLSGDQEEPVGLPAPDSEILQLPGTQG CPRCPELQKEIEDLRKQLSALQAVSEKFQTHLS >ENSMUSP00000004057.7 pep:known chromosome:GRCm38:16:36043844:36071515:-1 gene:ENSMUSG00000003955.7 transcript:ENSMUST00000004057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam162a description:family with sequence similarity 162, member A [Source:MGI Symbol;Acc:MGI:1917436] MWSLGGLRLAAGHCLRLYERNASSSLRFTRNTDLKRINGFCTKPQESPKTPTQSYRHGVP LHKPTDFEKKILLWSGRFKKEEEIPETISFEMLDAAKNKLRVKVSYLMIALTVAGCIYMV IEGKKAAKRHESLTSLNLERKARLREEAAMKAKTD >ENSMUSP00000056576.3 pep:known chromosome:GRCm38:14:34143992:34152489:-1 gene:ENSMUSG00000048337.3 transcript:ENSMUST00000052164.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npy4r description:neuropeptide Y receptor Y4 [Source:MGI Symbol;Acc:MGI:105374] MNTSHFLAPLFPGSLQGKNGTNPLDSPYNFSDGCQDSAELLAFIITTYSIETILGVLGNL CLIFVTTRQKEKSNVTNLLIANLAFSDFLMCLICQPLTVTYTIMDYWIFGEVLCKMLTFI QCMSVTVSILSLVLVALERHQLIINPTGWKPSIFQAYLGIVVIWFVSCFLSLPFLANSTL NDLFHYNHSKVVEFLEDKVVCFVSWSSDHHRLIYTTFLLLFQYCIPLAFILVCYIRIYQR LQRQKHVFHAHACSSRAGQMKRINSMLMTMVTAFAVLWLPLHVFNTLEDWYQEAIPACHG NLIFLMCHLLAMASTCVNPFIYGFLNINFKKDIKALVLTCHCRSPRGESEHLPLSTVHTD LSKGSMRMGSKSNFI >ENSMUSP00000089805.5 pep:known chromosome:GRCm38:10:116301374:116389538:1 gene:ENSMUSG00000020154.9 transcript:ENSMUST00000092167.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprb description:protein tyrosine phosphatase, receptor type, B [Source:MGI Symbol;Acc:MGI:97809] MLRHGALTALWITLSVVQTGVAEQVKCNFTLLESRVSSLSASIQWRTFASPCNFSLIYSS DTSGPMWCHPIRIDNFTYGCNPKDLQAGTVYNFRIVSLDGEESTLVLQTDPLPPARFEVN REKTASTTLQVRWTPSSGKVSWYEVQLFDHNNQKIQEVQVQESTTWSQYTFLNLTEGNSY KVAITAVSGEKRSFPVYINGSTVPSPVKDLGISPNPNSLLISWSRGSGNVEQYRLVLMDK GAIVQDTNVDRRDTSYAFHELTPGHLYNLTIVTMASGLQNSRWKLVRTAPMEVSNLKVTN DGRLTSLNVKWQKPPGDVDSYSITLSHQGTIKESKTLAPPVTETQFKDLVPGRLYQVTIS CISGELSAEKSAAGRTVPEKVRNLVSYNEIWMKSFTVNWTPPAGDWEHYRIVLFNESLVL LNTTVGKEETHYALDGLELIPGRQYEIEVIVESGNLRNSERCQGRTVPLAVLQLRVKHAN ETSLGITWRAPLGEWEKYIISLMDRELLVIHKSLSKDAKEFTFTDLMPGRNYKATVTSMS GDLKQSSSIKGRTVPAQVTDLHVNNQGMTSSLFTNWTKALGDVEFYQVLLIHENVVVKNE SVSSDTSRYSFRALKPGSLYSVVVTTVSGGISSRQVVAEGRTVPSSVSGVTVNNSGRNDY LSVSWLPAPGEVDHYVVSLSHEGKVDQFLIIAKSVSECSFSSLTPGRLYNVTVTTKSGNY ASHSFTEERTVPDKVQGISVSNSARSDYLKVSWVHATGDFDHYEVTIKNRESFIQTKTIP KSENECEFIELVPGRLYSVTVSTKSGQYEASEQGTGRTIPEPVKDLTLLNRSTEDLHVTW SRANGDVDQYEVQLLFNDMKVFPHIHLVNTATEYKFTALTPGRHYKILVLTISGDVQQSA FIEGLTVPSTVKNIHISANGATDRLMVTWSPGGGDVDSYVVSAFRQDEKVDSQTIPKHAS EHTFHRLEAGAKYRIAIVSVSGSLRNQIDALGQTVPASVQGVVAANAYSSNSLTVSWQKA LGVAERYDILLLNENGLLLSNVSEPATARQHKFEDLTPGKKYKMQILTVSGGLFSKESQA EGRTVPAAVTNLRITENSSRYLSFGWTASEGELSWYNIFLYNPDRTLQERAQVDPLVQSF SFQNLLQGRMYKMVIVTHSGELSNESFIFGRTVPAAVNHLKGSHRNTTDSLWFSWSPASG DFDFYELILYNPNGTKKENWKEKDVTEWRFQGLVPGRKYTLYVVTHSGDLSNKVTGEGRT APSPPSLLSFADVANTSLAITWKGPPDWTDYNDFELQWFPGDALTIFNPYSSRKSEGRIV YGLHPGRSYQFSVKTVSGDSWKTYSKPISGSVRTKPDKIQNLHCRPQNSTAIACSWIPPD SDFDGYSIECRKMDTQEIEFSRKLEKEKSLLNIMMLVPHKRYLVSIKVQSAGMTSEVVED STITMIDRPPQPPPHIRVNEKDVLISKSSINFTVNCSWFSDTNGAVKYFAVVVREADSMD ELKPEQQHPLPSYLEYRHNASIRVYQTNYFASKCAESPDSSSKSFNIKLGAEMDSLGGKC DPSQQKFCDGPLKPHTAYRISIRAFTQLFDEDLKEFTKPLYSDTFFSMPITTESEPLFGV IEGVSAGLFLIGMLVALVAFFICRQKASHSRERPSARLSIRRDRPLSVHLNLGQKGNRKT SCPIKINQFEGHFMKLQADSNYLLSKEYEDLKDVGRSQSCDIALLPENRGKNRYNNILPY DASRVKLCNVDDDPCSDYINASYIPGNNFRREYIATQGPLPGTKDDFWKMAWEQNVHNIV MVTQCVEKGRVKCDHYWPADQDPLYYGDLILQMVSESVLPEWTIREFKICSEEQLDAHRL IRHFHYTVWPDHGVPETTQSLIQFVRTVRDYINRSPGAGPTVVHCSAGVGRTGTFVALDR ILQQLDSKDSVDIYGAVHDLRLHRVHMVQTECQYVYLHQCVRDVLRAKKLRNEQENPLFP IYENVNPEYHRDAIYSRH >ENSMUSP00000057856.7 pep:known chromosome:GRCm38:18:75820178:76148561:1 gene:ENSMUSG00000044646.14 transcript:ENSMUST00000058997.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7c description:zinc finger and BTB domain containing 7C [Source:MGI Symbol;Acc:MGI:2443302] MANDIDELIGIPFPNHSSEVLCSLNEQRHAGLLCDVLLVVQEQEYRTHRSVLAACSKYFK KLFTAGSLASQPYVYEIDFVQPEALAAILEFAYTSTLTITASNVKHILNAARMLEIQCIV NVCLEIMEPGGSVGEEDDKEEEDEDDDDEDEDDEEEEEEEEEEEEDDPEDFADQENLPDP QDITCPQSPSKTDHLTEKDYSDTPRDFPDSFQPGSPGHLGVIRDFSIESLLRENLYPKAN IPDRRPSLSPFAPEFFPHLWPGGFGAFAQLPEQPMDSGPLDLVIKNRKIKEEDKEELAPP PPPPFSSDFFKDMFPDLPGGPLGPIKAENDYGAYLNFLSATHLGSLFPPWPLVEERKLKP KASQQCPICHKVIMGAGKLPRHMRTHTGEKPYMCSICEVRFTRQDKLKIHMRKHTGERPY LCIHCNAKFVHNYDLKNHMRIHTGVRPYQCEFCYKSFTRSDHLHRHIKRQSCRMARPRRG RKPAAWRAASLLFGPGGPSADKAAFVMPPALGDVGGHLGGTAVCLPGPSPAKHFLASPKG TLSLQELERQFEETQMKLFGRAQLEAERNAGGLLALALAENVAATRPYFPLPDPWAAGLA GLPGLTGLNHVASMSEANN >ENSMUSP00000126808.1 pep:known chromosome:GRCm38:18:76059458:76146443:1 gene:ENSMUSG00000044646.14 transcript:ENSMUST00000167921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7c description:zinc finger and BTB domain containing 7C [Source:MGI Symbol;Acc:MGI:2443302] MANDIDELIGIPFPNHSSEVLCSLNEQRHAGLLCDVLLVVQEQEYRTHRSVLAACSKYFK KLFTAGSLASQPYVYEIDFVQPEALAAILEFAYTSTLTITASNVKHILNAARMLEIQCIV NVCLEIMEPGGSVGEEDDKEEEDEDDDDEDEDDEEEEEEEEEEEEDDPEDFADQENLPDP QDITCPQSPSKTDHLTEKDYSDTPRDFPDSFQPGSPGHLGVIRDFSIESLLRENLYPKAN IPDRRPSLSPFAPEFFPHLWPGGFGAFAQLPEQPMDSGPLDLVIKNRKIKEEDKEELAPP PPPPFSSDFFKDMFPDLPGGPLGPIKAENDYGAYLNFLSATHLGSLFPPWPLVEERKLKP KASQQCPICHKVIMGAGKLPRHMRTHTGEKPYMCSICEVRFTRQDKLKIHMRKHTGERPY LCIHCNAKFVHNYDLKNHMRIHTGVRPYQCEFCYKSFTRSDHLHRHIKRQSCRMARPRRG RKPAAWRAASLLFGPGGPSADKAAFVMPPALGDVGGHLGGTAVCLPGPSPAKHFLASPKG TLSLQELERQFEETQMKLFGRAQLEAERNAGGLLALALAENVAATRPYFPLPDPWAAGLA GLPGLTGLNHVASMSEANN >ENSMUSP00000126975.1 pep:known chromosome:GRCm38:12:98676741:98737405:-1 gene:ENSMUSG00000021009.14 transcript:ENSMUST00000170188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn21 description:protein tyrosine phosphatase, non-receptor type 21 [Source:MGI Symbol;Acc:MGI:1344406] MPLPFGLKLKRTRRYTVSSKSCLVARIQLLNNEFVEFTLSVESTGQESLEAVAQRLELRE VTYFSLWYYNKQNQRRWVDLEKPLKKQLDKHALEPTVYFGVLFYVPSVSQLQQEITRYQY YLQLKKDILEGNLPCTLEHAIQLAGLAVQADFGDFDQYESQDFLQKFALLPVAWLQDEKV LEEAAQKVALLHQKYRGLTAPEAELLYMQEVERMDGYGEESYPAKDSQGSDISIGACLDG IFVKHKNGRPPVVFRWHDIANMSHNKSFFALELANKEETIQFQTEDMETAKYVWRLCVAR HKFYRLNQCSLQTQAATLNSVRRGSSSRMSLPKPQPYAMPPPPQLHYNGHYTEPFASSQD NIFVPNKNGFYCHSQTSLDRTQIDLSGRIRNGSVYSAHSTNSLNTLQPYLQPSPMSSNPS ITGSDVMRPDYIPSHRHSALIPPSYRPTPDYETVMKQLNRGMVHADRHSHSLRNLNIGSS YAYSRPDALVYSQPEIREHPHLTSPQSAHYPFNLNYSFHSQSPYPYPAERRPVVGAVSVP ELTNVQLQAQDYPAPNIMRTQVYRPPPPYPYPRPANSTPDLSRHLYISSSNPDLITRRVH HSVQTFQEDSLPVAHSLQEVSEPLTAARHAHLQKRNSIEIAGLTHGFEGLRLKERTVSAS AADVAPRTFSAGSQSSVFSDKMKQEGTEEQEGGRYSHKKSLSDATMLIHSSEEDEDLEED SSREQAISAVSEPRLTAAFSQELNYPCASATPITGPLHIFEPKPHVTEPEKRAKDISPVH LVVETHRPRRDGLLTPSMSESDLTTSGRYRARRDSVKKRPVSDLLSGKKSAVEGLPPLGG MKKTRADAKKIGPLKLAALNGLSLSRLPLPDEGKEVSTRATNDERCKVLEQRLEQGMVFT EYERILKKRLVDGECSTARLPENAERNRFQDVLPYDDARVELVPTKENNTGYINASHIKV SVSGIEWDYIATQGPLQNTCQDFWQMVWEQGVAIIAMVTAEEEGGREKSFRYWPRLGSRH NTVTYGRFKITTRFRTDSGCYATTGLKMKHLLTGQERTVWHLQYTDWPEHGCPEDLKGFL SYLEEIQSVRRHTNSTSEPKSHNPPLLVHCSAGVGRTGVVILSEIMVACLEHNEVLDIPR VLDMLRQQRMMLVQTLGQYTFVYRVLIQFLKSSRLI >ENSMUSP00000082197.2 pep:known chromosome:GRCm38:12:98676741:98734645:-1 gene:ENSMUSG00000021009.14 transcript:ENSMUST00000085116.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpn21 description:protein tyrosine phosphatase, non-receptor type 21 [Source:MGI Symbol;Acc:MGI:1344406] MPLPFGLKLKRTRRYTVSSKSCLVARIQLLNNEFVEFTLSVESTGQESLEAVAQRLELRE VTYFSLWYYNKQNQRRWVDLEKPLKKQLDKHALEPTVYFGVLFYVPSVSQLQQEITRYQY YLQLKKDILEGNLPCTLEHAIQLAGLAVQADFGDFDQYESQDFLQKFALLPVAWLQDEKV LEEAAQKVALLHQKYRGLTAPEAELLYMQEVERMDGYGEESYPAKDSQGSDISIGACLDG IFVKHKNGRPPVVFRWHDIANMSHNKSFFALELANKEETIQFQTEDMETAKYVWRLCVAR HKFYRLNQCSLQTQAATLNSVRRGSSSRMSLPKPQPYAMPPPPQLHYNGHYTEPFASSQD NIFVPNKNGFYCHSQTSLDRTQIDLSGRIRNGSVYSAHSTNSLNTLQPYLQPSPMSSNPS ITGSDVMRPDYIPSHRHSALIPPSYRPTPDYETVMKQLNRGMVHADRHSHSLRNLNIGSS YAYSRPDALVYSQPEIREHPHLTSPQSAHYPFNLNYSFHSQSPYPYPAERRPVVGAVSVP ELTNVQLQAQDYPAPNIMRTQVYRPPPPYPYPRPANSTPDLSRHLYISSSNPDLITRRVH HSVQTFQEDSLPVAHSLQEVSEPLTAARHAHLQKRNSIEIAGLTHGFEGLRLKERTVSAS AADVAPRTFSAGSQSSVFSDKMKQEGTEEQEGGRYSHKKSLSDATMLIHSSEEDEDLEED SSREQAISAVSEPRLTAAFSQELNYPCASATPITGPLHIFEPKPHVTEPEKRAKDISPVH LVVETHRPRRDGLLTPSMSESDLTTSGRYRARRDSVKKRPVSDLLSGKKSAVEGLPPLGG MKKTRADAKKIGPLKLAALNGLSLSRLPLPDEGKEVSTRATNDERCKVLEQRLEQGMVFT EYERILKKRLVDGECSTARLPENAERNRFQDVLPYDDARVELVPTKENNTGYINASHIKV SVSGIEWDYIATQGPLQNTCQDFWQMVWEQGVAIIAMVTAEEEGGREKSFRYWPRLGSRH NTVTYGRFKITTRFRTDSGCYATTGLKMKHLLTGQERTVWHLQYTDWPEHGCPEDLKGFL SYLEEIQSVRRHTNSTSEPKSHNPPLLVHCSAGVGRTGVVILSEIMVACLEHNEVLDIPR VLDMLRQQRMMLVQTLGQYTFVYRVLIQFLKSSRLI >ENSMUSP00000051871.7 pep:known chromosome:GRCm38:17:71743557:71752885:-1 gene:ENSMUSG00000044375.7 transcript:ENSMUST00000057405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC027072 description:cDNA sequence BC027072 [Source:MGI Symbol;Acc:MGI:2385061] MGCTPSHNVIVNSVAKSGIQFFKKPKAILPGCQWGSPKCPIPLLVQSSTFCDSGGELHLG ERLVEETVSSSKKLQAMAEGVRQLPKAMEGLIPESQTFQVNKPQGHRATDISFRTEGSHG TQEVDFSGKESKENTPQETSKGNRESVCHQPDSQDHCRQSATESKGRVDFPEPLVKAHQH AYAYLHTSLSRYEAIVRLVQQASQTWGLLRPMLNFLLLCFEEAGQLLSEISKDGDVLLQE VRGDLAWPLRKGEPWEQHPDLLQQLLQYTVSKLRALHGTVAALTGSFLEGSSSCLRSTAG HLEGKLSTKRGIDECLLRALGQLESLTSSHGDAGLLGPPLCSEDSGIGADNESVHSVEKL GKQASWDFAAELGEWKPGTAAQVEARPSGHAWQEGPYWTGSDRPQDCPLSSPRIAKVQPA VQDEARSASTSGAGAEAVTSGPSEAAESLPWDSLGAEIPVRTPLSRSSGLTDAPSLSEEE DCSPEEEDELSSTDLHPEPQKALPSRPRSSPDTRESLFQPYSKELRNPQAQEMILKMKEA ISERIKFVPEPSRPQDWTEEEEGGTVVPPRPRSVSGSRGGPERQRRSQSEGCLKSHVEDP TLQELRRVQTDLSRRLEVFYALGATRQGQSRERCLPSRASVLWPPTNCRVSPSSAISKFK ASLTQNFSILPNQDKSIFQKGSPCFDGEQPCQGKAEKLPNAIFCGKKSGRAPRDNERDIR ACPTRPSVKTLIETFSPTESLRMPRNCRNLGSSPCLRKWGVPAMPPRFPIYRGLAPLYSK PQISPAAGWRPSAPFPSLPLAEVSESEDISGDVEEDLENLPPPPLEVLMDKSFAALECPE CSQPAGSSLEETLLPGLQEASHPKRTWVSPRLKASMSPMDLLPSKGSGSSPRLHSTRSGS TRIVGDSRKLTLDLNSKQTASPSSEAKSRAQIQARAETIAGFSKQHQKAIPWHHTNPTPG QSRTLEPSLARFSRDPHSSEASRKGPERSLPRVRKASPQRAQWASQGDRRLQSLPSSHGP SQPGLPAVLSSPSPPLSPRTLSPPATRKTTSPPCQHPQSNPAPGSPPVRRTETNTPSSAS CSSPSVSPSRGSKDSIHSEDSEATTAKASRNTCSIFYPAATSLFEAKSSSSTSHPQMLPE PGGLLRTPTGGWRGSSGQRLRADSQKRTVLNALNPLPFVRRTASDRQRQQGDQLQQSRSD WEFHSCQNSSSSSSSEENPKQELPPWNNSRVPELQGSSTKRASPLELCVLGHGLQPEARM NRGQDRPQPESQPQHKEIS >ENSMUSP00000092416.3 pep:known chromosome:GRCm38:7:15971262:15999495:-1 gene:ENSMUSG00000070808.3 transcript:ENSMUST00000094821.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gltscr1 description:glioma tumor suppressor candidate region gene 1 [Source:MGI Symbol;Acc:MGI:2154263] MDDEDGRCLLDVICDPQALNDFLHGSEKLDSDDLLDAPVEAQSAFYEGPGLHVQEAAANH LNPEPSQPAPSVDLDFLEDDILGSPAAGGGGGGGGAPDQPCDILQQSLQEANITEQTLEA EAELDLGPFQLPTLQPADNGAGATGAAGATAVTAGPQALFPGSADLLGLQAPPTVLTHQA LVPPQDVVNKALSVQPFLQPVGLGNVTLQPISGLQGLPNGSPGNAAAATLGLTPIQVVGQ PVMALNPPTSQLLAKQVPVSGYLASAAGPSEPVTLASAGVSPQGAGLVIQKNLPAAVTTT LNGNSVFAGTGAATAAASGAPSGQPLAVAPGLGTSPLVQAPSVILHRTPTPIQPKPTGVL PSKLYQLTPKPFPPTGATLTIQGEPGTLPQQPKAPQNLTFMATGKAGQNVVLSGFPAPAL QANVFKQPPVTTTGTAPPQPPGALSKPMSVHLLNQGSSIVIPAQHMLPGQNQFLLPGTPA VQLPQSLSALPANVGGQILTAAAPHAGGQLIANPILTNQNLAGPLSLGPVLAPHSGAHSA AHILSAAPIQVGQPALFQMPVSLATGSLPTQSQPAPTGPTATTVLQGVTLPPSAVAMLNT PDGLVQPSTPAATTGEATPVLAVQPATQVPPAVTTPLPMGLQQPQAQQPPQVPTPQAATQ PQATPPQASPSLASSPEKIVLGQAPPAATTAILTQDSLQMFLPQERSQQPLSTEGPHLSV PASVIVSAPPPAQDPALATPVTKGAGLGAQTPDSRASPAPAPQIPAAAPLKAPGPASSPS LPHQAPLGDSPHMPSPHPARPPSRPPSRPHSRPPSQPQSLTCPPSEPTLHPCPPPQGPPT LPGIFVIQNQLGAPPPASTPASTAPGPPQPPLRPPSQPPEGPLPPASHLPPASTPSAVAS SSEPSARLPVPTPPDFQLQFPPSQGPHKSPTPPPALHMVPEPTAPPPPPPRTFQMVTAPF PALPQPKALLERFHQVPSGIILQNKAGGTPTTPQTSTTLGTLTGPTASVLVSGQAPPGTP AASSHVPASTPMATTGLPPLLPAENKAFASNLPTLSVAKATVSGPGKPPAIQYDSKLCSL KKQPLLQPSKEACFLEHLHKHQGSVLHPDYKTAFPSFEDALHRLLPYHVYQGALPSPNDY HKVDEEFETVSTQLLKRTQAMLNKYRLLLLEESRRVSPSAEMVMIDRMFIQEEKTTLALD KQLAKEKPDEYVSSSRSLGFPVPVSSEGHRLPSHGQSSSSSTSGTSAQPPPHLPTKLVIR HGGAGGSPSVTWARASSSLSSTSSSSSSSSAASSLDADEDGPMPTRNRPPIKTYEARSRI GLKLKIKQEAGLSKVVHNTALDPVHQPLPAPTPAKGAEPPPHPAPPPLPPATQAQMNGTL DHPPPAVRKPTVPASCPRLPLRKTYRENMGNPGAAEGAQGRPRGAGSPTPLPTKVDEATS GLIRELAAVEDELYQRVLKGGPPPPETPASATSQGPTEPSWEAPVLPPAKRRKSESPDVD QASFSSDSPQDDTLTEHLQSAIDSILNLQQAPGRTPAGPYPHTGPTPGTPTSPAPLHRPD AFPPSSHNGGLGARTLNR >ENSMUSP00000044744.7 pep:known chromosome:GRCm38:16:43969078:43979093:-1 gene:ENSMUSG00000036304.13 transcript:ENSMUST00000036321.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc23 description:zinc finger, DHHC domain containing 23 [Source:MGI Symbol;Acc:MGI:2685625] MKPVKKKKTEEPELEPLCCCEYIDRNGEKNHVAACLCDCQDLDEGCDRWLTCQSLRPETC ERITDTISDRLRIPWLRGAKKVNISIVPPLVLLPVFLHVASWHFLLGVVVLTSLPMLALW YYYLTHRRKEQTLFFLSLGLFSLGYMYYVFLREVVPQGRVGPTQLALLTCGLLLILLALY RAKKNPGYLSNDKSPSNSQIECPVKKGQEKTKGFPGTDASGSLNNRTLKDDVRGSSRVGL DSPAKVKEDWCAKCQLVRPARAWHCRICGICVRRMDHHCVCCVGESNHQAFILALSIFLL TSVYGISLTLNTICRDRSLFTALFYCPGVYANYSSALSFTCVWYSVIITAGMAYIFLIQL INISYNVTEREVQQALRQKTGRRLLCGLIVDTGQYNRGFLRNWLQFSTLGTHTVHTPAED IV >ENSMUSP00000128650.1 pep:known chromosome:GRCm38:16:43969146:43979050:-1 gene:ENSMUSG00000036304.13 transcript:ENSMUST00000165648.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc23 description:zinc finger, DHHC domain containing 23 [Source:MGI Symbol;Acc:MGI:2685625] MKPVKKKKTEEPELEPLCCCEYIDRNGEKNHVAACLCDCQDLDEGCDRWLTCQSLRPETC ERITDTISDRLRIPWLRGAKKVNISIVPPLVLLPVFLHVASWHFLLGVVVLTSLPMLALW YYYLTHRRKEQTLFFLSLGLFSLGYMYYVFLREVVPQGRVGPTQLALLTCGLLLILLALY RAKKNPGYLSNDKSPSNSQIECPVKKGQEKTKGFPGTDASGSLNNRTLKDDVRGSSRVGL DSPAKVKEDWCAKCQLVRPARAWHCRICGICVRRMDHHCVWINSCVGESNHQAFILALSI FLLTSVYGISLTLNTICRDRSLFTALFYCPGVYANYSSALSFTCVWYSVIITAGMAYIFL IQLINISYNVTEREVQQALRQKTGRRLLCGLIVDTGQYNRGFLRNWLQFSTLGTHTVHTP AEDIV >ENSMUSP00000076383.3 pep:known chromosome:GRCm38:14:41172212:41185198:-1 gene:ENSMUSG00000021795.9 transcript:ENSMUST00000077136.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sftpd description:surfactant associated protein D [Source:MGI Symbol;Acc:MGI:109515] MLPFLSMLVLLVQPLGNLGAEMKSLSQRSVPNTCTLVMCSPTENGLPGRDGRDGREGPRG EKGDPGLPGPMGLSGLQGPTGPVGPKGENGSAGEPGPKGERGLSGPPGLPGIPGPAGKEG PSGKQGNIGPQGKPGPKGEAGPKGEVGAPGMQGSTGAKGSTGPKGERGAPGVQGAPGNAG AAGPAGPAGPQGAPGSRGPPGLKGDRGVPGDRGIKGESGLPDSAALRQQMEALKGKLQRL EVAFSHYQKAALFPDGRSVGDKIFRTADSEKPFEDAQEMCKQAGGQLASPRSATENAAIQ QLITAHNKAAFLSMTDVGTEGKFTYPTGEPLVYSNWAPGEPNNNGGAENCVEIFTNGQWN DKACGEQRLVICEF >ENSMUSP00000035400.7 pep:known chromosome:GRCm38:15:103453825:103498800:1 gene:ENSMUSG00000022488.8 transcript:ENSMUST00000047405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap1l description:NCK associated protein 1 like [Source:MGI Symbol;Acc:MGI:1926063] MSLTSAYQLKLAEKLTILNDRGQGVLIRMYNIKKTCSDSKSKPPFLLEKSMESCLKYINK KFPNIDVRNSTQHLGPVHREKAEIIRFLTNYYQSFVDVMEFRDHVYELLNTIDACQCHFD INLNFDFTRSYLDLIVTYTSVILLLSRIEDRRILIGMYNCAHEMLHGHSDPSFARLGQMV LEYDHPLKKLTEEFGPHTKAVSGALLSLHFLFVRRNQGAEQWRSAQLLSLISSPPAMINP ANSDTMACEYLSVEVMERWIIIGFLLCHGCLNSNSQCQKLWKLCLEGSLYITLIREDVLQ VHKVTEDLFSSLKGYSKRVADIKESKEHAITNSGQFHCQRRQFLRTAVKELETVLNDEPG LLGPKALFAFMALSFIRDEVTWLVRHTENVTKTKTPEDYADSSIAELLFLLEEIRALVRR HIKVIQQYHLQYLARFDVLVLSDIIQNLSVCPEEESVIMSSFVSILSSLNLKQVDNEEKF DFSGLRLDWFRLQAYTSVSKAPLHLHENPDLAKVMNLIIFHSQMLDSVEKMLVETSDLST FCFHLRTFEKMFATTLEEPTMLRYTIAFPLICAHFVHCVHEMCPEEYPHLKNHGLHHCNS FLEDLAKQTSNCVLEICAEQRNLNEQLLPKHCATTISKAKNKKSMKQRQAPRKGEPERDK PGAESHRKNRSLVTNMDKLHLNLTELALAMNHVHSFSVFEHTIFPSEYLSSHLEARLNRA IVTLAGYNATTQEILRPSELLAGVKAYISFIQSLAQFLGADASRIVRNALLQQTQPLDSS GEQTVTTLYTNWYLESLLRQASSGAIVLSPAMQAFISLPRDGEQNFSAEEFSDISEMRAL AEILGPYGMKFLSENLMWHVTSQIVELKKLVVENMDILVQIRSNFSKPELMASLLPQLTG AENVLKRMTIIGVILSFRAMAQEGLQEVFSAHCPFLMGPIECLKEFVTPDTDIKVTLSVF ELACAAGVSCDIDPALVAAIANLKADNSSPEEEYKVACLLLIFLAVSLPLLATDPSSFFS IEKDGYNNNIHCLTKAIIQVSAALFTLYNKNIETHLKEFLVVASVSLLQLGQETDKLKTR NRESISLLMRLVVEESPFLTLDMLESCFPYVLLRNAYREVSRAFYLNRLPASSH >ENSMUSP00000055535.6 pep:known chromosome:GRCm38:15:79527707:79546741:-1 gene:ENSMUSG00000055065.6 transcript:ENSMUST00000054014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx17 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 17 [Source:MGI Symbol;Acc:MGI:1914290] MRGGGFGDRDRDRDRGGFGARGGSGLPPKKFGNPGERLRKKKWDLSELPKFEKNFYVEHP EVARLTPYEVDELRRKKEITVRGGDVCPKPVFAFHHANFPQYVMDVLMDQHFTEPTPIQC QGFPLALSGRDMVGIAQTGSGKTLAYLLPAIVHINHQPYLERGDGPICLVLAPTRELAQQ VQQVADDYGKCSRLKSTCIYGGAPKGPQIRDLERGVEICIATPGRLIDFLESGKTNLRRC TYLVLDEADRMLDMGFEPQIRKIVDQIRPDRQTLMWSATWPKEVRQLAEDFLRDYTQINV GNLELSANHNILQIVDVCMESEKDHKLIQLMEEIMAEKENKTIIFVETKRRCDDLTRRMR RDGWPAMCIHGDKSQPERDWVLNEFRSGKAPILIATDVASRGLDVEDVKFVINYDYPNSS EDYVHRIGRTARSTNKGTAYTFFTPGNLKQARELIKVLEEANQAINPKLMQLVDHRGGGG GGGKGGRSRYRTTSSANNPNLMYQDECDRRLRGVKDGGRRDSTSYRDRSETDRASYANGS GYGSPNSAFGAQAGQYTYAQGTYGAAAYGTSGYTAQEYAAGTYGASSTASAGRSSQSSSQ QFSGIGRSGQQPQPLMSQQFAQPPGATNMIGYMGQTAYQYPPPPPPPPPSRK >ENSMUSP00000036138.6 pep:known chromosome:GRCm38:14:54936470:54939256:1 gene:ENSMUSG00000040759.8 transcript:ENSMUST00000037814.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm5 description:CKLF-like MARVEL transmembrane domain containing 5 [Source:MGI Symbol;Acc:MGI:2447164] MFSAWDRRERPPEEGAAAGLQGFGVDKTFLSSLKGILLETELALTFIIFICFTASISAYM AAALLEFLITLAFLFLCATQYYQRFDRLNWPCLDFLRCLSAIVIFLVVSFAAVTSREGAA IAAFVFGIILVSVFAYDAFKIYRTELMPSTTEGDQQ >ENSMUSP00000043676.6 pep:known chromosome:GRCm38:12:104687742:104751952:-1 gene:ENSMUSG00000041415.9 transcript:ENSMUST00000041987.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dicer1 description:dicer 1, ribonuclease type III [Source:MGI Symbol;Acc:MGI:2177178] MAGLQLMTPASSPMGPFFGLPWQQEAIHDNIYTPRKYQVELLEAALDHNTIVCLNTGSGK TFIAVLLTKELAHQIRGDLNPHAKRTVFLVNSANQVAQQVSAVRTHSDLKVGEYSDLEVN ASWTKERWSQEFTKHQVLIMTCYVALTVLKNGYLSLSDINLLVFDECHLAILDHPYREIM KLCESCPSCPRILGLTASILNGKCDPEELEEKIQKLERILRSDAETATDLVVLDRYTSQP CEIVVDCGPFTDRSGLYERLLMELEAALDFINDCNVAVHSKERDSTLISKQILSDCRAVL VVLGPWCADKVAGMMVRELQKYIKHEQEELHRKFLLFTDTLLRKIHALCEEYFSPASLDL KYVTPKVMKLLEILRKYKPYERQQFESVEWYNNRNQDNYVSWSDSEDDDDDEEIEEKEKP ETNFPSPFTNILCGIIFVERRYTAVVLNRLIKEAGKQDPELAYISSNFITGHGIGKNQPR SKQMEAEFRKQEEVLRKFRAHETNLLIATSVVEEGVDIPKCNLVVRFDLPTEYRSYVQSK GRARAPISNYVMLADTDKIKSFEEDLKTYKAIEKILRNKCSKSADGAEADVHAGVDDEDA FPPYVLRPDDGGPRVTINTAIGHINRYCARLPSDPFTHLAPKCRTRELPDGTFYSTLYLP INSPLRASIVGPPMDSVRLAERVVALICCEKLHKIGELDEHLMPVGKETVKYEEELDLHD EEETSVPGRPGSTKRRQCYPKAIPECLRESYPKPDQPCYLYVIGMVLTTPLPDELNFRRR KLYPPEDTTRCFGILTAKPIPQIPHFPVYTRSGEVTISIELKKSGFTLSQQMLELITRLH QYIFSHILRLEKPALEFKPTGAESAYCVLPLNVVNDSGTLDIDFKFMEDIEKSEARIGIP STKYSKETPFVFKLEDYQDAVIIPRYRNFDQPHRFYVADVYTDLTPLSKFPSPEYETFAE YYKTKYNLDLTNLNQPLLDVDHTSSRLNLLTPRHLNQKGKALPLSSAEKRKAKWESLQNK QILVPELCAIHPIPASLWRKAVCLPSILYRLHCLLTAEELRAQTASDAGVGVRSLPVDFR YPNLDFGWKKSIDSKSFISSCNSSLAESDNYCKHSTTVVPEHAAHQGATRPSLENHDQMS VNCKRLPAESPAKLQSEVSTDLTAINGLSYNKNLANGSYDLVNRDFCQGNQLNYFKQEIP VQPTTSYPIQNLYNYENQPKPSNECPLLSNTYLDGNANTSTSDGSPAVSTMPAMMNAVKA LKDRMDSEQSPSVGYSSRTLGPNPGLILQALTLSNASDGFNLERLEMLGDSFLKHAITTY LFCTYPDAHEGRLSYMRSKKVSNCNLYRLGKKKGLPSRMVVSIFDPPVNWLPPGYVVNQD KSNSEKWEKDEMTKDCLLANGKLGEACEEEEDLTWRAPKEEAEDEDDFLEYDQEHIQFID SMLMGSGAFVRKISLSPFSASDSAYEWKMPKKASLGSMPFASGLEDFDYSSWDAMCYLDP SKAVEEDDFVVGFWNPSEENCGVDTGKQSISYDLHTEQCIADKSIADCVEALLGCYLTSC GERAAQLFLCSLGLKVLPVIKRTSREKALDPAQENGSSQQKSLSGSCASPVGPRSSAGKD LEYGCLKIPPRCMFDHPDAEKTLNHLISGFETFEKKINYRFKNKAYLLQAFTHASYHYNT ITDCYQRLEFLGDAILDYLITKHLYEDPRQHSPGVLTDLRSALVNNTIFASLAVKYDYHK YFKAVSPELFHVIDDFVKFQLEKNEMQGMDSELRRSEEDEEKEEDIEVPKAMGDIFESLA GAIYMDSGMSLEVVWQVYYPMMQPLIEKFSANVPRSPVRELLEMEPETAKFSPAERTYDG KVRVTVEVVGKGKFKGVGRSYRIAKSAAARRALRSLKANQPQVPNS >ENSMUSP00000025117.6 pep:known chromosome:GRCm38:18:25477632:25753983:-1 gene:ENSMUSG00000024268.15 transcript:ENSMUST00000025117.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf4 description:CUGBP, Elav-like family member 4 [Source:MGI Symbol;Acc:MGI:1932407] MYIKMATLANGQADNASLSTNGLGSSPGSAGHMNGLSHSPGNPSTIPMKDHDAIKLFIGQ IPRNLDEKDLKPLFEEFGKIYELTVLKDRFTGMHKGCAFLTYCERESALKAQSALHEQKT LPGMNRPIQVKPADSESRGGSSCLRQPPSHRKLFVGMLNKQQSEDDVRRLFEAFGNIEEC TILRGPDGNSKGCAFVKYSSHAEAQAAINALHGSQTMPGASSSLVVKFADTDKERTMRRM QQMAGQMGMFNPMAIPFGAYGAYAQALMQQQAALMASVAQGGYLNPMAAFAAAQMQQMAA LNMNGLAAAPMTPTSGGSTPPGITAPAVPSIPSPIGVNGFTGLPPQANGQPAAEAVFANG IHPYPAQSPTAADPLQQAYAGVQQYAGPAYPAAYGQISQAFPQPPPMIPQQQREGPEGCN LLIYHLPQEFGDAELMQMFLPFGFVSFDNPASAQTAIQAMNGFQIGMKRLKVQLKRPKDA NRPY >ENSMUSP00000111483.2 pep:known chromosome:GRCm38:18:25477632:25753983:-1 gene:ENSMUSG00000024268.15 transcript:ENSMUST00000115816.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf4 description:CUGBP, Elav-like family member 4 [Source:MGI Symbol;Acc:MGI:1932407] MYIKMATLANGQADNASLSTNGLGSSPGSAGHMNGLSHSPGNPSTIPMKDHDAIKLFIGQ IPRNLDEKDLKPLFEEFGKIYELTVLKDRFTGMHKGCAFLTYCERESALKAQSALHEQKT LPGMNRPIQVKPADSESRGGSSCLRQPPSHRKLFVGMLNKQQSEDDVRRLFEAFGNIEEC TILRGPDGNSKGCAFVKYSSHAEAQAAINALHGSQTMPGASSSLVVKFADTDKERTMRRM QQMAGQMGMFNPMAIPFGAYGAYAQALMQQQAALMASVAQGGYLNPMAAFAAAQMQQMAA LNMNGLAAAPMTPTSGGSTPPGITAPAVPSIPSPIGVNGFTGLPPQANGQPAAEAVFANG IHPYPAQSPTAADPLQQAYAGVQQYAGPAYPAAYGQISQAFPQPPPMIPQQQREGPEGCN LLIYHLPQEFGDAELMQMFLPFGNVISSKVFVDRATNQSKCFGFVSFDNPASAQTAIQAM NGFQIGMKRLKVQLKRPKDANRPY >ENSMUSP00000066359.4 pep:known chromosome:GRCm38:15:63929097:64060448:-1 gene:ENSMUSG00000022378.13 transcript:ENSMUST00000063838.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam49b description:family with sequence similarity 49, member B [Source:MGI Symbol;Acc:MGI:1923520] MGNLLKVLTCTDLEQGPNFFLDFENAQPTESEKEIYNQVNVVLKDAEGILEDLQSYRGAG HEIREAIQHPADEKLQEKAWGAVVPLVGKLKKFYEFSQRLEAALRGLLGALTSTPYSPTQ HLEREQALAKQFAEILHFTLRFDELKMTNPAIQNDFSYYRRTLSRMRINNVPAEGENEVN NELANRMSLFYAEATPMLKTLSDATTKFVSENKNLPIENTTDCLSTMASVCRVMLETPEY RSRFTNEETVSFCLRVMVGVIILYDHVHPVGAFAKTSKIDMKGCIKVLKDQPPNSVEGLL NALRYTTKHLNDETTSKQIRSMLQ >ENSMUSP00000132486.1 pep:known chromosome:GRCm38:15:63930944:63997969:-1 gene:ENSMUSG00000022378.13 transcript:ENSMUST00000164532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam49b description:family with sequence similarity 49, member B [Source:MGI Symbol;Acc:MGI:1923520] MGNLLKVLTCTDLEQGPNFFLDFENAQPTESEKEIYNQVNVVLKDAEGILEDLQSYRGAG HEIREAIQHPADEKLQEKAWGAVVPLVGKLKKFYEFSQRLEAALRGLLGALTSTPYSPTQ HLEREQALAKQFAEILHFTLRFDELKMTNPAIQNDFSYYRRTLSRMRINNVPAEGENEVN NELANRMSLFYAEATPMLKTLSDATTKFVSENKNLPIENTTDCLSTMASVCRVMLETPEY RSRFTNEETVSFCLRVMVGVIILYDHVHPVGAFAKTSKIDMKGCIKVLKDQPPNSVEGLL NALRYTTKHLNDETTSKQIRSMLQ >ENSMUSP00000056967.7 pep:known chromosome:GRCm38:18:80966376:80986578:-1 gene:ENSMUSG00000024565.8 transcript:ENSMUST00000057950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sall3 description:sal-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:109295] MSRRKQAKPQHLKSDEELPPQDGASEHGVPGDGAEDADSGSESRSGSEETSVCEKCCAEF FKWADFLQHKKTCTKNPLVLIVHDDEPAPPSEDFPEPSPASSPSDRTESEVAEEVAPTEG SEVKAATKEAEPMDVEVSTDKGPPGPSVPPPPPALPPQPEPAAFSMPSTNVTLETLLSTK VAVAQFSQGARAGGTTGAGGSVGAVAIPMILEQLVALQQQQIHQLQLIEQIRSQVALMSR QPGPPLKPSASAPGTASVQLQGLTPHAALQLSAGPATASAGSGSTLPAAFDGPQHLSQPA SGTSTPCSTSAAPPDSGAHPACSTGPAPGAVAAASSTVGNAVQPQNASTPPALGPGPLLS SASNLPNPLLPQTSSSSVIFPNPLVSIAATANALDPLSALMKHRKGKPPNVSVFEPKASA EDPFFKHKCRFCAKVFGSDSALQIHLRSHTGERPFKCNICGNRFSTKGNLKVHFQRHKEK YPHIQMNPYPVPEYLDNVPTCSGIPYGMSLPPEKPVTTWLDSKPVLPTVPTSVGLQLPPT VPGTHNYTDSPSITPVSRSPQRPSPASSECTSLSPGLNNTESGITVRPESPQPLLGGPSL TKAEPVSLPCTSTRTGDAPVVGGQVSGLPTSAATAVTDSACTSLGSPGLPAVSDQFKAQF PFGGLLDSMQTSETSKLQQLVENIDKKMTDPNQCVICHRVLSCQSALKMHYRTHTGERPF KCKICGRAFTTKGNLKTHFGVHRAKPPLRVQHSCPICQKKFTNAVVLQQHIRMHMGGQIP NTPLPEGLQEAMDADLPFDEKNAETLSSFDDDIDENSMEEDSELKDTASDSSKPLLSYSG SCPPSPPSVISSIAALENQMKMIDSVMNCQQLANLKSVENGSGESDRLSNDSSSAVGDLE SRSAGSPALSESSSSQALSPAHSNGESFRSKSPGLGHQEDPQEIPLKTERLDSPPPGPGN GGALDLTAGHPGRPLIKEEAPFSLLFLSRERGPSHSTPSLASSPAPTMIKMEVNGHSKAI ALGEGPALPAGVQVPTGPQTVMSPGLAPMLAPPPRRTPKQHNCQSCGKTFSSASALQIHE RTHTGEKPFGCTICGRAFTTKGNLKVHMGTHMWNNAPARRGRRLSVENPMALLGGDALKF SEMFQKDLAARAMNVDPSFWNQYAAAITNGLAMKNNEISVIQNGGIPQLPVSLGGGAIPP LGAMASGVDKARTGSSPPIVSLDKASSETGASRPFARFIEDNKEIGIN >ENSMUSP00000069823.5 pep:known chromosome:GRCm38:12:73364075:73371445:-1 gene:ENSMUSG00000056359.5 transcript:ENSMUST00000070425.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D830013O20Rik description:RIKEN cDNA D830013O20 gene [Source:MGI Symbol;Acc:MGI:2685737] MRSSTHWKHSTEAKGTIYSSGIATASNVYLCHRRNGLLTLFFKGLHFSQSLANVGTFLFY VGACMPHVSPLLNLWEHTLLQRTWQAGVLTGAVTEQAFNTVWTVPSPMLTTF >ENSMUSP00000071698.5 pep:known chromosome:GRCm38:15:76948539:76950729:1 gene:ENSMUSG00000063236.5 transcript:ENSMUST00000071792.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110038F14Rik description:RIKEN cDNA 1110038F14 gene [Source:MGI Symbol;Acc:MGI:2152337] MAEPGRPAREAPAASSRKTHRAPRRPRPSRSASGASEPPLRSSVQPACDSAAGTHPVGNT VAMKQKKKKTPNRVSGTNGSEKPSEKPAPDEAPPSAEAQAEQLARELAWCVEQLELGLKT QRPTPKQKEQAVGAIRTLRSEKTPLPRKRQLMRSLFGDYRAQMDAEWREALRALKAATHS AQVQLVSEATRKKSGRVCRPRPAERAKTTPDLTSEEFRFNFF >ENSMUSP00000021547.6 pep:known chromosome:GRCm38:12:79232347:79296282:-1 gene:ENSMUSG00000066440.4 transcript:ENSMUST00000021547.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve26 description:zinc finger, FYVE domain containing 26 [Source:MGI Symbol;Acc:MGI:1924767] MSYPFGKEETATEEELFEFFCECLRRGDWELAQACVPQLHRGQGEIPQKVEDILQALVQC PILLRCGPDINPQRLAWLWLLVLEKWLAPEKKLLSTAIRRKLEFLFLSEDLQGDIPETIL KELFETLAQGPAGSIPDRRTPQLSPEAVSVLWNLLKQAPRPAQALLELLLEDHHSASLCP SPLQKSLLDLIREALQTLRDPASQPPGVADAVCGALQALCCKAELPESEWRVLCEELLET CRTEDSPLQEERLLGCLLHKAGRNLLSLYGHTYAEKVAERPPKATLSGKDHPDPERAMLA LFSTPDPAHAWKMAFFYCLSNNKHFLEQILVTALTLLKEEDFPSLGYLLDREFRPLSHLL VLLGWTHCQSLESAKRLLQTLYRTQDQGHDELLRDACEGLWAHLEVLEWCVQQSSNLIPK RELLCHLHGGDSHSVLYSLHHLTNLPALNEEEVLKLLQKEPTKDLQGEHETHDASVPEHL SQCQSLTLYQGFCAMKYAVYALCVNSHQHSQCQDCRDSASEDLALVEPGSDSLPSPGASH LFPTYLARCRQYLHSIPASLCLEILENIFSLLLITSADLHPEPHLPEDYAEDEDIEGKGP LGLRSPSESPQHIAATERRSERASMGPRNPAHTVPGCPKAEPKDSSPGPHKHSFLDLKHF TSGVNGFLADEFAMGAFLSLLQEQLTEISSHRTPEETKLPEDQSCSAARDGLQSRLHRFS KVLSEAQWRYKVVTSNQGSEEQPSRRYRPIATRHSSLRRGRRTRRTRADGRERGSNPSLE GTSSELSTSTSEGSLSAVSGQVESDSRFQTQPQSSIIPMMFSTPESLLASCILRGNFAEA HQVVLMFNLKSSPIAGELMFVERYQEVIQELARVEHKIENQNSDGGNNTIRRTGSGRSTL QAIGSAAAAGMVFYSISDVTEKLLSPSEDPIPTLQEDFWINATPMETTTPLREVLEDLSP PAMAAFDLACCQCQLWKTCKQLLETAERRLSSSLESRGRRLDQVVLNPDGMRGFPFVLQQ ISKILSYPLMQTGLAKSETLEERGGGAPRSSISELLQMCWPSLTEDCVASHTSLSQQLEQ ALQSLREALALPESKSTPLSCLVEQAAQKAPEAEAHPVHIQSQLLQKTLGRQTPAGHRQT DYVGAFFSYCSSLAAVLLRSLSSDPDHVEVRVGNPFVLLQQSSSQLVSHLLLERQVPPDR LAALLAQEHLNLSVPQVIVSCCCEPLTLCLSRQSQQASSLLTHLGMLAREHASHLLDGLP LSTLGSPRPSENPSAERKSHSSPKDSLPAFTASALAFLKSRSKILAMVACLRTSRGTKVS KPGLSWKELRGRREAPLTAEKVAQECEHLLEQFPVFEAALLANWEPLQQASEPKQSLAAS LCGQANLSTVLLGLHSSLALDILTEAFEGALVARDWPRALQLIDVYGQDLDDLSIVQDSV LTCAAVCDKEGWQYLFPVKDASLRSQLALRFVDKWPLESCLEILAYCVSDMAVQEELKSE LQRKLMELRVYQKILGLQDPPVWCDWQTLRSCCAEDPSAVMDMMLDSQEYELCEEWGRLY PIPREHLVSLHHKHLLHLLERSEHDKALQLLQRIPDPTMCLEVTERSLDQHPSLATSHFL ANYLTSHFYGELTTDRHREIQALYMGSKVLLTLPEQHRASYARLSSSPLLMLEQLLMNMK VDWATTAVQTLHQLLAGQDIGFTLDEVDSLLSRYAGKALDLPYPLREKRSDSMIHLQEPV HQASDSETLSRSSSAEFSAAAAPGSALVRSPSPKERAFPQTQPPVEFVPPETPPARDQWV PDETESVCMVCCREHFTMFNRRHHCRRCGRLVCGSCSTKKMVVEGFRENPTRVCDQCYSY YNKDTPEESPCQSEVPDSAKNESPPYSAVVRVPKATEVEWILSLSEEENELVRSEFYYEQ APSASLCIAILNLHRDSIACGHQLIEHCCRLSRGLTNPEVDAGLLIDIMKQLLFSAKMMF VKAGQSQDLALCDSYISKVDVLHLLVAAAYRHVPSLDQILQPAAVTRLRNQLLEAEYYQL GVEVSTKTGLDSTGAWHAWGMACLKAGNLTVAREKFTRCLKPPLDLNQLSHGSRLVQDVV EYLESTVRPLVSLQDDDYFATLRELEATLRTQSLLLEAIPEGKIMNNTYYQECLFYLHNY STNLAIISFYMRHNCLREALLHLLNKESPPEVFIEGIFQPSYKSGKLHTLENLLESIDPT LESWGAHLIAACQHLQKNSYYHILYELQQFMKDQVRAAMTCIRFFSHKAKSYTELGEKLS WLLKAKDHLKIYLQETSRSSGRKKATFFRKKMTAADVSRHMNTLQLQMEVTRFLHRCESA GTSQVTTLPLPTLFGNNHMKMEVACKVMLGGKNVEDGFGIAFRVLQDFQLDAAATYCRAA RQLVEREKYGEIRQLLKCVSESGMAAKSDGDTILLNCLEAFKRIPPQELEGLIQAIHSDD NKVRAYLTCCKLRSAYLIAVKQEHSQAAALVQQVQQAAKSSGDSVVQDICAQWLLTSHSR GAHGSGSRK >ENSMUSP00000044493.5 pep:known chromosome:GRCm38:15:66526212:66561046:-1 gene:ENSMUSG00000036944.5 transcript:ENSMUST00000048372.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem71 description:transmembrane protein 71 [Source:MGI Symbol;Acc:MGI:2146049] MYRDSPLMSTPVANDSRSDEGPSGKLSPTCLFPSFTCDFLDGDSSFECCSIDPLTGSHYI CRRSPRLLTNGYYIWTEDSFFCDPDGHITLNPSQTSVMYKENLVRIFRKKKRTHRSLSSL LDPRASKSWLHGSIFGEVDSLPSEDLWLDGIRSLGSDLDCSLSDGWESQKPVTDTSESSS SGYILPQSLRESSQSSSLQLQVKASGHFEKNSLDHSRAGLMHKVSFQAILLAVCLVISAY TRWFVGGELASIFTCALLITIAYVVKSLFLNLARYFKATSCARFDST >ENSMUSP00000054210.5 pep:known chromosome:GRCm38:14:65400673:65425472:-1 gene:ENSMUSG00000045731.5 transcript:ENSMUST00000059339.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnoc description:prepronociceptin [Source:MGI Symbol;Acc:MGI:105308] MKILFCDVLLLSLLSSVFSSCPRDCLTCQEKLHPAPDSFNLKTCILQCEEKVFPRPLWTV CTKVMASGSGQLSPADPELVSAALYQPKASEMQHLKRMPRVRSLVQVRDAEPGADAEPGA DAEPGADDAEEVEQKQLQKRFGGFTGARKSARKLANQKRFSEFMRQYLVLSMQSSQRRRT LHQNGNV >ENSMUSP00000093958.5 pep:known chromosome:GRCm38:19:8953850:8966207:1 gene:ENSMUSG00000071645.5 transcript:ENSMUST00000096239.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tut1 description:terminal uridylyl transferase 1, U6 snRNA-specific [Source:MGI Symbol;Acc:MGI:1917294] MAAVDSDVVSLPRGRFRCCLCDVTTANRPSLDAHLKGRKHRDLVQLRATRKAQGLRSVFV SGFPRDVGSAQLSEYFQTFGPVANIVMDKDKGVFAIVEMGDISAREAVLSQPKHSLGGHG LRVRPREQKEFQSPASKSPKGVDSSSHQLVQALAEAADVGAQMVKLVELRELSEAERQLR NLVVALMQEVFTEFFPGCVVHPFGSTVNSFDVHGCDLDLFLDMGDMEETEPDPKAPKVPE TSSLDSALASSLDPQALACTPASPLDSLSPTSVQESESLDFDTPSSLAPQTPDSALGSDT VTSPQSLPPVSPLQEDRKEGKQGKELELAEEASKDEKEEAAAVLELVGSILRGCVPGVYR VQTVPSARRPVVKFCHRPSGLHGDVSLSNRLALYNSRFLNLCSEMDGRVRPLVYTLRCWA QHNGLSGGGPLLNNYALTLLVIYFLQTRDPPVLPTVAQLTQRAGEGEQVEVDGWDCSFPK DASRLEPSTNVEPLSSLLAQFFSCVSCLDLSGSLLSLREGRPLMVAEGLPSDLWEGLRLG PMNLQDPFDLSHNVAANVTGRVAKRLQSCCGAAASYCRSLQYQQRSSRGRDWGLLPLLQP SSPSSLLSAKLIPLPSAPFPQVIMALVDVLREALGCHIEQGTKRRRSEGARIKDSPLGGV NKRQRLGGQEKSFEEGKEEPQGCAGDHSENEVEEMVIEVRETPQDWALLHSGPPEEELPL MTANCLDKAAEHNPMKPEVAGEGSQGETGKEASHPSSVSWRCALWHQVWQGRRRARRRLQ QQTKEEGRGGPTTGAEWLAMEARVTQELKGPNSEQERPPGEPLLSFVASASQAEQTLTVA PLQDSQGLFPGLHHFLQGFIPQALKNLLK >ENSMUSP00000068184.7 pep:known chromosome:GRCm38:14:52006077:52020733:-1 gene:ENSMUSG00000049295.16 transcript:ENSMUST00000067549.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp219 description:zinc finger protein 219 [Source:MGI Symbol;Acc:MGI:1917140] MEGSRPRILVGHLEPSPPAFDGELDLQRYSNGPGVSGTPGPGSPGMGAVGWSETRAGERR FPCPVCGKRFRFNSILALHLRAHPGAQAFQCPHCGHRAAQRALLRSHLRTHQPERPRSPA ARLLLELEERALLREARLGRARSSGGMQSSPAAEGLARPQVPSSSAFRCPFCKGKFRTSA ERERHLHILHRPWKCSLCSFGSSQEEELLHHSLTAHGASERPLAATSTPEPPPPPQQEPR SALEPEPEPEPRPEPDREANPAPTPAPPEEPPAPPEFRCQVCGQSFTQSWFLKGHMRKHK ASFDHACPVCGRCFKEPWFLKNHMKVHTSKLGPLRAPGPGSAPARAPQPPDLSLLAYEPL GPALLLAPAPAPAERREPPSLLGYLSVRAGEVRPNGEGADPGGGRSYGGFRPLPSALPNR ARRHRTEEPEEEEEVVEAEEESWARGRSLGSLTSLHPNPGEGSGQPAPAAGTQARSTATQ EENGLLVGGTRSEAGRGATGKDCPFCGKSFRSAHHLKVHLRVHTGERPYKCPHCDYAGTQ SGSLKYHLQRHHREQRSSAGPGPPPEPPPPSQRGSLQPQSGAKPTQASATWVEGTASTRP PSSSTGPGSRRKPASPGRTLRNGRGGEAEPLDLSLRAGPGGEAGAGGALHRCLFCPFATG APELMALHLQVHHSRRARGRRQPRADTSPTYVRAPSGETPPSPPLEEEGSPGLSRSGEAG LGGQER >ENSMUSP00000126854.2 pep:known chromosome:GRCm38:14:52006086:52019713:-1 gene:ENSMUSG00000049295.16 transcript:ENSMUST00000166169.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp219 description:zinc finger protein 219 [Source:MGI Symbol;Acc:MGI:1917140] MEGSRPRILVGHLEPSPPAFDGELDLQRYSNGPGVSGTPGPGSPGMGAVGWSETRAGERR FPCPVCGKRFRFNSILALHLRAHPGAQAFQCPHCGHRAAQRALLRSHLRTHQPERPRSPA ARLLLELEERALLREARLGRARSSGGMQSSPAAEGLARPQVPSSSAFRCPFCKGKFRTSA ERERHLHILHRPWKCSLCSFGSSQEEELLHHSLTAHGASERPLAATSTPEPPPPPQQEPR SALEPEPEPEPRPEPDREANPAPTPAPPEEPPAPPEFRCQVCGQSFTQSWFLKGHMRKHK ASFDHACPVCGRCFKEPWFLKNHMKVHTSKLGPLRAPGPGSAPARAPQPPDLSLLAYEPL GPALLLAPAPAPAERREPPSLLGYLSVRAGEVRPNGEGADPGGGRSYGGFRPLPSALPNR ARRHRTEEPEEEEEVVEAEEESWARGRSLGSLTSLHPNPGEGSGQPAPAAGTQARSTATQ EENGLLVGGTRSEAGRGATGKDCPFCGKSFRSAHHLKVHLRVHTGERPYKCPHCDYAGTQ SGSLKYHLQRHHREQRSSAGPGPPPEPPPPSQRGSLQPQSGAKPTQASATWVEGTASTRP PSSSTGPGSRRKPASPGRTLRNGRGGEAEPLDLSLRAGPGGEAGAGGALHRCLFCPFATG APELMALHLQVHHSRRARGRRQPRADTSPTYVRAPSGETPPSPPLEEEGSPGLSRSGEAG LGGQER >ENSMUSP00000021757.3 pep:known chromosome:GRCm38:13:20794119:21024252:1 gene:ENSMUSG00000021322.7 transcript:ENSMUST00000021757.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aoah description:acyloxyacyl hydrolase [Source:MGI Symbol;Acc:MGI:1350928] MKFPWKVFKTTLLLLLLSHSLASVPSEDQPGDSYSHGQSCLGCVVLVSVIEQLAEVHNSS VQVAMERLCSYLPEKLFLKTACYFLVQTFGSDIIKLLDEAMKADVVCYALEFCKRGAVQP QCHLYPLPQEAWESALEKARQVLRRSSTMKYPRSGRNICSLPFLTKICQKIELSIKKAVP FKDIDSDKHSVFPTLRGYHWRGRDCNDSDKTVYPGRRPDNWDIHQDSNCNGIWGIDPKDG IPYEKKFCEGSQPRGIILLGDSAGAHFHIPPEWLTASQMSVNSFLNLPSALTDELNWPQL SGVTGFLDSTSGIEEKSIYHRLRKRNHCNHRDYQSISKNGASSRNLKNFIESLSRNQASD HPAIVLYAMIGNDVCNSKADTVPEMTTPEQMYANVMQTLTHLNSHLPNGSHVILYGLPDG TFLWDSLHNRYHPLGQLNKDVTYAQFFSFLRCLQLNPCNGWMSSNKTLRTLTSERAEQLS NTLKKIATTETFANFDLFYVDFAFHEIIEDWQKRGGQPWQLIEPVDGFHPNEVASLLQAN RVWEKIQLQWPHVLGKENPFNSQIEEVFGDQGGH >ENSMUSP00000137002.1 pep:known chromosome:GRCm38:15:82206952:82212815:-1 gene:ENSMUSG00000096883.1 transcript:ENSMUST00000179269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa8 description:shisa family member 8 [Source:MGI Symbol;Acc:MGI:2146080] MERAGARGQRCGRRSHGLPLALRLALLLAGSPSGRAGAPEEQEIAGSGTVAPAGGDRCRG YYDVMGQWDPPFNCSSGVYSFCCGTCGYRFCCHDGPRRLDQSRCSNYDTPAWVQTGRPPA RARDTAAPRDPARERSHTAVYAVCGVAALLVLVGIGARLGLERAHSPRARRTVTRTLTEL LKQPGPQEPLPPPLGPPLGNCVQVQMGDGVLRGSPHNSTDKKRLNNAPLGSATPGPPRGP RLQGGGSLTLQPDYAKFATLKAAALKATEVAPQDFYQRFPSTETGPRTLPARVPRPPEDL PALLDACPWAPPGYVPPAGPVSSVPYAAWTAGRPARPLPRSHLVAQVSPAPRRPNHAPRR QFSVEKLPEAFSAQQASFYSSAGRGPRHLSTNSKAEVTV >ENSMUSP00000043085.6 pep:known chromosome:GRCm38:10:78584503:78591964:-1 gene:ENSMUSG00000032714.6 transcript:ENSMUST00000040580.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syde1 description:synapse defective 1, Rho GTPase, homolog 1 (C. elegans) [Source:MGI Symbol;Acc:MGI:1918959] MAEPLLRKTFSRLRGREKLPRKKSEAKDRGHPAQRSEPKPPEPEPRVLEGSQAGAEVPPS PETPRSPTRGAYLQSLEPSSRRWVLGGAKPPEEISLGPRTPSSGEPAGEIWYNPIPEEDP RPPAPEPLGSQLASSEPEGPNIQGAAPTSPPTKTSRTKSPGPARRLSMKMKKLPELRRRL SLRSTRTGRDRERTAPAGSVISRYHLDSSVGTPGQASVAGGSRSPRGGYLSDGDSPERPG GPPSPTAFRPYEVGPSARTPPAALWGRLSLHLYGLGGLRPSPGATPRDLCCLLQVDGVAR ARTGPLRSGPDFLRLDHTFHLELEAARLLRALVLAWDPGVRRHRPCAQGTVLLPTIFRGC QAQQLAVRLEPQGLLYAKLTLSEQQEAPATAEPRVFGLPLQLLVEREQSPGQVPLIIRKC VGQIECRGLRVVGLYRLCGSAAVKKELRDAFEQDSAAVCLSEDVYPDINVITGILKDYLR ELPTPLITQPLYQVVLEAMAQGHPSRASLGPEGTRGLLRCLPDVERATLTLLLDHLRLVS SFHTHNRMTPQNLAVCFGPVLLPARQTPSRPRLRSSGPGVTSAVDFKRHIEVLHYLLQSW PDTRRPSDTPDGAVAPYLRPKRQPPLHLPLAGPEVVTRPRGRGGPESPPSNRYAGDWSVC GGDLLPCGRDFLSGPDYDHVTGSDSEEDDDETGEPRGTTDFEDEFDAPFNPHLNLKDFDA LILDLERELSKQINVCL >ENSMUSP00000023390.4 pep:known chromosome:GRCm38:16:43762221:43822932:1 gene:ENSMUSG00000022705.4 transcript:ENSMUST00000023390.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drd3 description:dopamine receptor D3 [Source:MGI Symbol;Acc:MGI:94925] MAPLSQISSHINSTCGAENSTGVNRARPHAYYALSYCALILAIIFGNGLVCAAVLRERAL QTTTNYLVVSLAVADLLVATLVMPWVVYLEVTGGVWNFSRICCDVFVTLDVMMCTASILN LCAISIDRYTAVVMPVHYQHGTGQSSCRRVALMITAVWVLAFAVSCPLLFGFNTTGDPSI CSISNPDFVIYSSVVSFYVPFGVTVLVYARIYMVLRQRRRKRILTRQNSQCISIRPGFPQ QSSCLRLHPIRQFSIRARFLSDATGQMEHIEDKPYPQKCQDPLLSHLQPLSPGQTHGELK RYYSICQDTALRHPNFEGGGGMSQVERTRNSLSPTMAPKLSLEVRKLSNGRLSTSLKLGP LQPRGVPLREKKATQMVVIVLGAFIVCWLPFFLTHVLNTHCQACHVSPELYRATTWLGYV NSALNPVIYTTFNIEFRKAFLKILSC >ENSMUSP00000022694.9 pep:known chromosome:GRCm38:14:70602184:70630154:-1 gene:ENSMUSG00000022099.16 transcript:ENSMUST00000022694.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmtn description:dematin actin binding protein [Source:MGI Symbol;Acc:MGI:99670] MERLQKQPLTSPGSVSSSRDSSVPGSPSSIVAKMDNQVLGYKDLAAIPKDKAILDIERPD LMIYEPHFTYSLLEHVELPRSRECSLSPKSTSPPPSPEVWAESRTLGIISQASTPRTTGT PRTSLPHFHHPETTRPDSNIYKKPPIYKQRESVGGSPQSKHLIEDLIIESSKFPAAQPPD PNQPAKIETDYWPCPPSLAVVETEWRKRKASRKGAEEEEEEEDDDSEEEIKAIRERQKEE LSKVTSNLGKMILKEEMEKSLPIRRKTRSLPDRTPFHTSLHSGTSKSSSLPSYGRTTLSR LQSTEFSPSGSEAGSPGLQIYPYEMLVVTNKGRTKLPPGVDRMRLERHLSAEDFSRVFAM SPEEFGKLALWKRNELKKKASLF >ENSMUSP00000022695.9 pep:known chromosome:GRCm38:14:70602185:70636048:-1 gene:ENSMUSG00000022099.16 transcript:ENSMUST00000022695.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmtn description:dematin actin binding protein [Source:MGI Symbol;Acc:MGI:99670] MERLQKAKMDNQVLGYKDLAAIPKDKAILDIERPDLMIYEPHFTYSLLEHVELPRSRECS LSPKSTSPPPSPEVWAESRTLGIISQASTPRTTGTPRTSLPHFHHPETTRPDSNIYKKPP IYKQRESVGGSPQSKHLIEDLIIESSKFPAAQPPDPNQPAKIETDYWPCPPSLAVVETEW RKRKASRKGAEEEEEEEDDDSEEEIKAIRERQKEELSKVTSNLGKMILKEEMEKSLPIRR KTRSLPDRTPFHTSLHSGTSKSSSLPSYGRTTLSRLQSTEFSPSGSEAGSPGLQNGEGQR GRMDRGNSLPCVLEQKIYPYEMLVVTNKGRTKLPPGVDRMRLERHLSAEDFSRVFAMSPE EFGKLALWKRNELKKKASLF >ENSMUSP00000106612.2 pep:known chromosome:GRCm38:14:70602185:70627065:-1 gene:ENSMUSG00000022099.16 transcript:ENSMUST00000110984.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmtn description:dematin actin binding protein [Source:MGI Symbol;Acc:MGI:99670] MERLQKQPLTSPGSVSSSRDSSVPGSPSSIVAKMDNQVLGYKDLAAIPKDKAILDIERPD LMIYEPHFTYSLLEHVELPRSRECSLSPKSTSPPPSPEVWAESRTLGIISQASTPRTTGT PRTSLPHFHHPETTRPDSNIYKKPPIYKQRESVGGSPQSKHLIEDLIIESSKFPAAQPPD PNQPAKIETDYWPCPPSLAVVETEWRKRKASRKGAEEEEEEEDDDSEEEIKAIRERQKEE LSKVTSNLGKMILKEEMEKSLPIRRKTRSLPDRTPFHTSLHSGTSKSSSLPSYGRTTLSR LQSTEFSPSGSEAGSPGLQIYPYEMLVVTNKGRTKLPPGVDRMRLERHLSAEDFSRVFAM SPEEFGKLALWKRNELKKKASLF >ENSMUSP00000025761.6 pep:known chromosome:GRCm38:19:4135425:4139609:-1 gene:ENSMUSG00000024842.7 transcript:ENSMUST00000025761.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp4 description:calcium binding protein 4 [Source:MGI Symbol;Acc:MGI:1920910] MATEHNVQLVPGSQKIPKGVVSPRSAAEGPALTRRRSKKESWHPGSQKASSGDQSSSQGS EASGSSKHPPRTKVGQEEPSSAPARPASHRHSHRHRSDPQQDAAQRTYGPLLNRMFGKDR ELGPEELEELQAAFEEFDTDQDGYIGYRELGDCMRTLGYMPTEMELLEVSQHVKMRMGGF VDFEEFVELISPKLREETAHMLGVRELRIAFREFDKDRDGRITVAELRQAAPALLGEPLE GTELDEMLREMDLNGDGTIDFDEFVMMLSTG >ENSMUSP00000053877.6 pep:known chromosome:GRCm38:13:48879219:48968017:-1 gene:ENSMUSG00000038014.7 transcript:ENSMUST00000060805.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam120a description:family with sequence similarity 120, member A [Source:MGI Symbol;Acc:MGI:2446163] MGVQGFQDYIEKHCPSAVVPVELQKLARGSLVGGGRQRPPQTPLRLLVDADNCLHRLYGG FYTDWVSGGQWNHMLGYLAALAKACFGGNIELFVFFNGALEKARLHEWVKRQGNERQTAQ QIVSHVQNKGTPPPKVWFLPPVCMAHCIRLALIRFHVKVAQSIEDHHQEVIGFCRENGFH GLVAYDSDYALCNIPYYFSAHALKLSRNGKSLTTSQYLMHEVAKQLDLNPNRFPIFAALL GNHILPDEDLASFHWSLLGPEHPLASLKVRAHQLVLPPCDVVIKAVADYVRNIHDTSDLD AIAKDVFQHSQSRTDDKVIRFKRAVGYYSATSKPMPFHPPHYLARPNPFGMPGMVPPYVP PQMLNIPQTSLQAKPAVPQVPSPGGTPGQAPYPYSLSEPALTLDTSGKNLTEQNSYSNIP HEGKHTPLYERSSPINLAQSGSPNHVDSAYFPGSSTSSSSDNDEGGGGATNHISGNKIGW EKTGSHAEPLARGDPGDQVKVEGSSTASSGSQLAEGKGSHMGTVQPIPCLLSMPTRNHMD ITTPPLPPVAPEVLRVAEHRHKKGLMYPYIFHILTKGEIKIAVSIEDEANKDLPPAALLY RPVRQYVYGVLFSLAESRKKTERLAFRKNRLPPEFSPLIIKEWAAYKGKSPQTPELVEAL AFREWTCPNLKRLWLGKAVEDKNRRMRAFLACMRSDTPAMLNPANVPTHLMVLCCVLRYM VQWPGARILRRQELDAFLAQALSPKLYEPDQLQELKIDNLDPRGIQLSALFMSGVDMALF ANDACGQPIPWEHCCPWMYFDGKLFQSKLLKASREKTPLIDLCDGQAEQAAKVEKMRQSI LEGLSFSRQNHPLPFPPPPALPFYPASVYPRHFGPVPPSQGRGRGFAGVCGFGGHYGETV ATGPYRAFRVTAASGHCGAFSGSDSSRTSKSQGGVQPIPSQGGKLEIAGTVVGHWAGSRR GRGGRGPFPLQVVSVGGPARGRPRGVISTPVIRTFGRGGRYYGRGYKSQGAIQGRPPYAA SAEEVAKELKSKSGESKSSAVSLAENGVMAEEKPVPQLNGSTGDPRVPSHSESALNNDSK PCNTNPHLNALSTDSACRREAALEAAVLNKEE >ENSMUSP00000033060.6 pep:known chromosome:GRCm38:7:45215753:45233619:1 gene:ENSMUSG00000030796.16 transcript:ENSMUST00000033060.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead2 description:TEA domain family member 2 [Source:MGI Symbol;Acc:MGI:104904] MGDPRTGAPLDDGGGWTGSEEGSEEGTGGSEGVGGDGSPDAEGVWSPDIEQSFQEALAIY PPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARRKSREIQSKLK DQVSKDKAFQTMATMSSAQLISAPSLQAKLGPSGPQATELFQFWSGSSGPPWNVPDVKPF SQAPFSVSLTPPASDLPGYEPPPALSPLPPPAPSPPAWQARALGTARLQLIEFSAFVEPP DAVDSFQRHLFVHISQQCPSPGAPPLESVDVRQIYDKFPEKKGGLRELYDRGPPHAFFLV KFWADLNWGPSAEEAGSSGGGGGFYGVSSQYESRELMTLTCSSKVCSFGKQVVEKVETER AQLEDGRFVYRLLRSPMCEYLVNFLHKLRQLPERYMMNSVLENFTILQVVTNRDTQELLL CTAYVFEVSTSERGAQYHIYRLVRD >ENSMUSP00000103431.1 pep:known chromosome:GRCm38:7:45215918:45233619:1 gene:ENSMUSG00000030796.16 transcript:ENSMUST00000107801.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead2 description:TEA domain family member 2 [Source:MGI Symbol;Acc:MGI:104904] MGDPRTGAPLDDGGGWTGSEEGSEEGTGGSEGVGGDGSPDAEGVWSPDIEQSFQEALAIY PPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARRKSREIQSKLK DQVSKDKAFQTMATMSSAQLISAPSLQAKLGPSGPQATELFQFWSGSSGPPWNVPDVKPF SQAPFSVSLTPPASDLPGYEPPPALSPLPPPAPSPPAWQARALGTARLQLIEFSAFVEPP DAVDSFQRHLFVHISQQCPSPGAPPLESVDVRQIYDKFPEKKGGLRELYDRGPPHAFFLV KFWADLNWGPSAEEAGSSGGGGGFYGVSSQYESRELMTLTCSSKVCSFGKQVVEKVETER AQLEDGRFVYRLLRSPMCEYLVNFLHKLRQLPERYMMNSVLENFTILQVVTNRDTQELLL CTAYVFEVSTSERGAQYHIYRLVRD >ENSMUSP00000093960.4 pep:known chromosome:GRCm38:19:8929694:8941563:1 gene:ENSMUSG00000071647.4 transcript:ENSMUST00000096241.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml3 description:echinoderm microtubule associated protein like 3 [Source:MGI Symbol;Acc:MGI:2387612] MDGAAGPGEGPAHETLQTLSQRLRVQEEEMELVKAALAEALRLLRLHGSTTTLQGSGISA PTRNSSITVPPGLPPTCSPSLVTRGTQTEEELEIVPSSGPPGLSNGPPALQGGSEEPSGT QSEGGCSSSSGAGSPGPPGILRPVQPLQRSDTPRRNSSSSSSPSERPRQKLSRKAASSAN LLLRSGSTESRGNKDPLSSPGGPGSRRSNYNLEGISVKMFLRGRPITMYIPSGIRSLEEL PSGPPPETLSLDWVYGYRGRDSRSNLFVLRSGEVVYFIACVVVLYRPGGGPGGPGGGGQR HYRGHTDCVRCLAVHPDGVRVASGQTAGVDKDGKPLQPVVHIWDSETLLKLQEIGLGAFE RGVGALAFSAADQGAFLCVVDDSNEHMLSVWDCSRGVKLAEIKSTNDSVLAVGFSPRDSS CIVTSGKSHVHFWNWSGGTGAPGNGLLARKQGVFGKYKKPKFIPCFVFLPDGDILTGDSE GNILTWGRSVSDSKTPGRGGAKETYTIVAQAHAHEGSIFALCLRRDGTVLSGGGRDRRLV QWGPGLVALQEAEIPEHFGAVRAIAEGLGSELLVGTTKNALLRGDLAQGFSPVIQGHTDE LWGLCTHPSQNRFLTCGHDRQLCLWDGEGHALAWSMDLKETGLCADFHPSGAVVVVGLNT GRWLVLDTETREIVSDVTDGNEQLSVVRYSPDGLYLAIGSHDNMIYIYSVSSCGTKSSRF GRCMGHSSFITHLDWSKDGNFIMSNSGDYEILYWDVAGGCKLLRNRYESRDREWATYTCV LGFHVYGVWPDGSDGTDINSLCRSHNERVVAVADDFCKVHLFQYPCARAKAPSRMYSGHG SHVTSVRFTHDDSYLVSLGGKDASIFQWRVLGAGSSGPAPATPSRTPSLSPASSLDV >ENSMUSP00000093473.5 pep:known chromosome:GRCm38:13:51100880:51152546:1 gene:ENSMUSG00000021395.10 transcript:ENSMUST00000095797.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spin1 description:spindlin 1 [Source:MGI Symbol;Acc:MGI:109242] MKTPFGKTPGQRSRADAGHAGVSANMMKKRTSHKKHRTSVGPSKPVSQPRRNIVGCRIQH GWREGNGPVTQWKGTVLDQVPVNPSLYLIKYDGFDCVYGLELNKDERVSALEVLPDRVAT SRISDAHLADTMIGKAVEHMFETEDGSKDEWRGMVLARAPVMNTWFYITYEKDPVLYMYQ LLDDYKEGDLRIMPDSNDSPPAEREPGEVVDSLVGKQVEYAKEDGSKRTGMVIHQVEAKP SVYFIKFDDDFHIYVYDLVKTS >ENSMUSP00000041149.6 pep:known chromosome:GRCm38:10:75859353:75860250:-1 gene:ENSMUSG00000033307.6 transcript:ENSMUST00000038169.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mif description:macrophage migration inhibitory factor [Source:MGI Symbol;Acc:MGI:96982] MPMFIVNTNVPRASVPEGFLSELTQQLAQATGKPAQYIAVHVVPDQLMTFSGTNDPCALC SLHSIGKIGGAQNRNYSKLLCGLLSDRLHISPDRVYINYYDMNAANVGWNGSTFA >ENSMUSP00000103403.1 pep:known chromosome:GRCm38:7:45405960:45411382:1 gene:ENSMUSG00000038201.9 transcript:ENSMUST00000107774.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna7 description:potassium voltage-gated channel, shaker-related subfamily, member 7 [Source:MGI Symbol;Acc:MGI:96664] MLFLPADTGHPTGVAAASGPHVRSPVARAVRAMEPRCPPPCGCCERLVLNVAGLRFETRA RTLGRFPDTLLGDPVRRSRFYDGARREYFFDRHRPSFDAVLYYYQSGGRLRRPAHVPLDV FLEEVSFYGLGAAALARLREDEGCAVPPERPLPRRAFARQLWLLFEFPESSQAARVLAVV SVLVILVSIVVFCLETLPDFRDDRDDPGLAPVAAATGPFLARLNGSSPMPGAPPRQPFND PFFVVETLCICWFSFELLVRLVACPSKAVFFKNVMNLIDFVAILPYFVALGTELARQRGV GQPAMSLAILRVIRLVRVFRIFKLSRHSKGLQILGQTLRASMRELGLLIFFLFIGVVLFS SAVYFAEVDRVDTHFTSIPESFWWAVVTMTTVGYGDMAPVTVGGKIVGSLCAIAGVLTIS LPVPVIVSNFSYFYHRETEGEEAGMYSHVDTQPCGTLEGKANGGLVDSEVPELLPPLWPP AGKHMVTEV >ENSMUSP00000059385.6 pep:known chromosome:GRCm38:15:8291225:8444463:-1 gene:ENSMUSG00000022141.6 transcript:ENSMUST00000052965.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nipbl description:Nipped-B homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1913976] MNGDMPHVPITTLAGIASLTDLLNQLPLPSPLPATTTKSLLFNSRIAEEVNCLLACRDDN LVSQLVHSLNQVSTDHIELKDNLGSDDPEGDIPVLLQAVLARSPNVFREKSMQNRYVQSG MMMSQYKLSQNSMHSSPASSNYQQTTISHSPSSRFVPPQTSSGNRFMPQQNSPVPSPYAP QSPAGYMPYSHPSSYTTHPQMQQASVSSPIVAGGLRNIHDNKVSGPLSGNSANHHADNPR HGSSDDYLHMVHRLSSDDGDSSTMRNAASFPLRSPQPVCSPAGSDGTPKGSRPPLILQSQ SLPCSSPRDVPPDILLDSPERKQKKQKKIKLGKDEKDQNEKAAMYDIISSPTKDSTKLTL RLSRVRSSDMDQQDDMLSGMENSNVSENDIPFNVQYPGQTSKTPITPQDVNRPLNAAQCL SQQEQTAFLPANQVPVLQQNTSVATKQPQTSVVQNQQQVSQQGPIYDEVELDALAEIERI ERESAIERERFSKEVQDKDKPLKKRKQDSYPQEAGGATGGNRPASQETGSTGNGSRPALM VSIDLHQAGRVDSQASITQDSDSIKKPEETKQCNDAPISVLQEDIVGSLKSIPENHPETP KKKSDPELSKSEMKQNESRLSESKPNENQLGESKSNESKLETKTETPTEELKQNENKTTE SKQSESAVVEPKQNENRPCDTKPNDNKQNNTRSENTKARPETPKQKAESRPETPKQKSEG RPETPKQKGDGRPETPKQKSEGRPETPKQKGEGRPETPKHRHENRRDSGKPSTEKKPDVS KHKQDIKSDSPRLKSERAEALKQRPDGRWESLRRDHDSKQKSDDRGESERHRGDQSRVRR PETLRSSSRNDHSTKSDGSKTEKLERKHRHESGDSRDRPSGEQKSRPDSPRVKQGDTNKS RPGFKSPNSKDDKRTEGNRSKVDSNKAHTDNKAEFPSYLLGGRSGALKNFVIPKIKRDKD GNITQETKKMDMKGEQKDKVEKMGLVEDLNKGAKPVVVLQKLSLDDVQKLIKDREEKSRS SLKSIKNKPSKSNKGSIDQSVLKELPPELLAEIESTMPLCERVKMNKRKRSTVNEKPKYA EISSDEDNDSDEAFESSRKRHKKDDDKAWEYEERDRRSSGDHRRSGHSHDGRRSSGGGRY RNRSPSDSDMEDYSPPPSLSEVARKMKKKEKQKKRKAYEPKLTPEEMMDSSTFKRFTASI ENILDNLEDMDFTAFGDDDEIPQELLLGKHQLNELGSESAKIKAMGIMDKLSTDKTVKVL NILEKNIQDGSKLSTLLNHNNDTEEEERLWRDLIMERVTKSADACLTTINIMTSPNMPKA VYIEDVIERVIQYTKFHLQNTLYPQYDPVYRVDPHGGGLLSSKAKRAKCSTHKQRVIVML YNKVCDIVSSLSELLEIQLLTDTTILQVSSMGITPFFVENVSELQLCAIKLVTAVFSRYE KHRQLILEEIFTSLARLPTSKRSLRNFRLNSSDVDGEPMYIQMVTALVLQLIQCVVHLPS SEKDPNSEEDSNKKVDQDVVITNSYETAMRTAQNFLSIFLKKCGSKQGEEDYRPLFENFV QDLLSTVNKPEWPAAELLLSLLGRLLVHQFSNKSTEMALRVASLDYLGTVAARLRKDAVT SKMDQGSIERILKQVSGGEDEIQQLQKALLDYLDENTETDPSLVFSRKFYIAQWFRDTTL ETEKAMKSQKDEESSDATHHAKELETTGQIMHRAENRKKFLRSIIKTTPSQFSTLKMNSD TVDYDDACLIVRYLASMRPFAQSFDIYLTQILRVLGENAIAVRTKAMKCLSEVVAVDPSI LARLDMQRGVHGRLMDNSTSVREAAVELLGRFVLCRPQLAEQYYDMLIERILDTGISVRK RVIKILRDICIEQPTFPKITEMCVKMIRRVNDEEGIKKLVNETFQKLWFTPTPHNDKEAM TRKILNITDVVAACRDTGYDWFEQLLQNLLKSEEDSSYKPVKKACTQLVDNLVEHILKYE ESLADSDNKGVNSGRLVACITTLFLFSKIRPQLMVKHAMTMQPYLTTKCSTQNDFMVICN VAKILELVVPLMEHPSETFLATIEEDLMKLIIKYGMTVVQHCVSCLGAVVNKVTQNFKFV WACFNRYYGAISKLKSQHQEDPNNTSLLTNKPALLRSLFTVGALCRHFDFDLEDFKGNSK VNIKDKVLELLMYFTKHSDEEVQTKAIIGLGFAFIQHPSLMFEQEVKNLYNSILSDKNSS VNLKIQVLKNLQTYLQEEDTRMQQADRDWKKVAKQEDLKEMGDVSSGMSSSIMQLYLKQV LEAFFHTQSSVRHFALNVIALTLNQGLIHPVQCVPYLIAMGTDPEPAMRNKADQQLVEID KKYAGFIHMKAVAGMKMSYQVQQAINTCLKDPVRGFRQDESSSALCSHLYSMIRGNRQHR RAFLISLLNLFDDTAKTEVTMLLYIADNLACFPYQTQEEPLFIMHHIDITLSVSGSNLLQ SFKESMVKDKRKERKTSPAKENESSESEEEVSRPRKSRKRVDSESDSDSEDDINSVMKCL PENSAPLIEFANVSQGILLLLMLKQHLKNLCGFSDSKIQKYSPSESAKVYDKAINRKTGV HFHPKQTLDFLRSDMANSKLTEDVKRSIVRQYLDFKLLMEHLDPDEEEEEGEVSASTNAR NKAITSLLGGGSPKNNTAADTEDEESDGEDRGGGTSGSLRRSKRNSDSTELAAQMNESVD VMDVIAICCPKYKDRPQIARVVQRTSSGVSVQWMAGSYSGSWTEAKRRDGRKLVPWVDTI KESDIIYKKIALTSANKLTNKVVQTLRSLYAAKDGTSS >ENSMUSP00000019726.6 pep:known chromosome:GRCm38:17:56278962:56290511:-1 gene:ENSMUSG00000024197.9 transcript:ENSMUST00000019726.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plin3 description:perilipin 3 [Source:MGI Symbol;Acc:MGI:1914155] MSSNGTDAPAEAQAAMEEPVVQPSVVDRVAGLPLISSTYGMVSAAYTSTKENYPHVRTVC DVAEKGVKTLTTAAVSTAQPILSKLEPQIATASEYAHRGLDRLQESLPILQQPTEKVLAD TKELVSSTVSGAQEMVSSSVSSAKETVATRVTGAVDVTLGAVQNSVDKTKSAMTSGVQSV MGSRVGQMVISGVDRVLVKSEAWADNRLPLTEAELALIATPPEDSDMASLQQQRQEQNYF VRLGSLSERLRNHAYEHSLGKLQNARQKAQETLQQLTSVLGLMESVKQGVDQRLGEGQEK LHQMWLSWNQKTPQDAEKDPAKPEQVEARALSMFRDITQQLQSMCVALGASIQGLPSHVR EQAQQARSQVNDLQATFSGIHSFQDLSAGVLAQTRERIARAREALDNTVEYVAQNTPAMW LVGPFAPGITEKTPEGK >ENSMUSP00000022705.5 pep:known chromosome:GRCm38:14:73510049:73518545:1 gene:ENSMUSG00000022109.5 transcript:ENSMUST00000022705.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med4 description:mediator complex subunit 4 [Source:MGI Symbol;Acc:MGI:1914631] MAASSSGEKEKERMGGVSGMAGLGSTRERLLSALEDLEVLSRELIEMLAISRNQKLLQLE EENQVLELLIHRDGDFQELMKLALNQGKVHHEMQALEKEVEKRDSDIQQLQKQLKEAEQI LATAVYQAKEKLKSIEKARKGAISSEEIIKYAHRISASNAVCAPLTWVPGDPRRPYPTDL EMRSGLLGQMNNPSTSGVNGHLPGDALAAGRLPDVLAPQYPWQSNDMSVNMLPPNHSSDF LLEPPGHNKENEDDVEVMSTDSSSSSSDSD >ENSMUSP00000128090.1 pep:known chromosome:GRCm38:14:55700009:55713492:-1 gene:ENSMUSG00000022218.15 transcript:ENSMUST00000168729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgm1 description:transglutaminase 1, K polypeptide [Source:MGI Symbol;Acc:MGI:98730] MEGPRSDVGRWGRSPWQPPTTPSPEPEPVPEPDRRSRSRRGGGRSFWARCCGCCSCGNRG DDDWGPEPSGSRSRGTSSRGRDSRGGRRPESRGSGVNAAGDGTIREGMLVVTGVDLLCSR SDQNRREHHTDEFEYDELIVRRGQPFHMILFLNREYESSDRIALELLIGSNPEVGKGTHV IIPVGKGGSGGWKAQVTKNNGHNLNLRVHTSPNAIIGKFQFTVRTRSEAGEFQLPFDPRN EIYILFNPWCPEDIVYVDHEDWRQEYVLNESGRIYYGTEAQIGERTWNYGQFDHGVLDAC LYILDRRGMPYGGRGDPVSVSRVVSAMVNSLDDNGVLIGNWTGDYSRGTNPSAWVGSVEI LLSYLRTGYSVPYGQCWVFAGVTTTVLRCLGFATRTVTNFNSAHDTDTSLTMDIYFDENM KPLEHLNHDSVWNFHVWNDCWMKRPDLPSGFDGWQVVDATPQETSSGIFCCGPCSVESVK NGLVYMKYDTPFIFAEVNSDKVYWQRQDDGSFKIVYVEEKAIGTLIVTKAIHSNNREDIT HIYKHPEGSEAERRAVEKAAAHGSKPNVYATRDSAEDVAMQVEAQDAVMGQDLAVSVVLT NRGSSRRTVKLHLYLCVTYYTGVSGPTFKEAKKEVTLAPGASDSVTMPVAYKEYKPHLVD QGAMLLNVSGHVKESGQVLAKQHTFRLRTPDLSLTLLGAAVVGQECGVQIVFKNPLPVTL TNVVFRLEGSGLQRPKVLNVGDIGGNETVTLRQTFVPVRPGPRQLIASLDSPQLSQVHGV IQVDVAPASGGSGFSDAGGDSRSGENIPMAYRGGA >ENSMUSP00000137642.1 pep:known chromosome:GRCm38:14:55700009:55713492:-1 gene:ENSMUSG00000022218.15 transcript:ENSMUST00000178034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgm1 description:transglutaminase 1, K polypeptide [Source:MGI Symbol;Acc:MGI:98730] MEGPRSDVGRWGRSPWQPPTTPSPEPEPVPEPDRRSRSRRGGGRSFWARCCGCCSCGNRG DDDWGPEPSGSRSRGTSSRGRDSRGGRRPESRGSGVNAAGDGTIREGMLVVTGVDLLCSR SDQNRREHHTDEFEYDELIVRRGQPFHMILFLNREYESSDRIALELLIGSNPEVGKGTHV IIPVGKGGSGGWKAQVTKNNGHNLNLRVHTSPNAIIGKFQFTVRTRSEAGEFQLPFDPRN EIYILFNPWCPEDIVYVDHEDWRQEYVLNESGRIYYGTEAQIGERTWNYGQFDHGVLDAC LYILDRRGMPYGGRGDPVSVSRVVSAMVNSLDDNGVLIGNWTGDYSRGTNPSAWVGSVEI LLSYLRTGYSVPYGQCWVFAGVTTTVLRCLGFATRTVTNFNSAHDTDTSLTMDIYFDENM KPLEHLNHDSVWNFHVWNDCWMKRPDLPSGFDGWQVVDATPQETSSGIFCCGPCSVESVK NGLVYMKYDTPFIFAEVNSDKVYWQRQDDGSFKIVYVEEKAIGTLIVTKAIHSNNREDIT HIYKHPEGSEAERRAVEKAAAHGSKPNVYATRDSAEDVAMQVEAQDAVMGQDLAVSVVLT NRGSSRRTVKLHLYLCVTYYTGVSGPTFKEAKKEVTLAPGASDSVTMPVAYKEYKPHLVD QGAMLLNVSGHVKESGQVLAKQHTFRLRTPDLSLTLLGAAVVGQECGVQIVFKNPLPVTL TNVVFRLEGSGLQRPKVLNVGDIGGNETVTLRQTFVPVRPGPRQLIASLDSPQLSQVHGV IQVDVAPASGGSGFSDAGGDSRSGENIPMAYRGGA >ENSMUSP00000002389.7 pep:known chromosome:GRCm38:14:55700009:55713088:-1 gene:ENSMUSG00000022218.15 transcript:ENSMUST00000002389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgm1 description:transglutaminase 1, K polypeptide [Source:MGI Symbol;Acc:MGI:98730] MEGPRSDVGRWGRSPWQPPTTPSPEPEPVPEPDRRSRSRRGGGRSFWARCCGCCSCGNRG DDDWGPEPSGSRSRGTSSRGRDSRGGRRPESRGSGVNAAGDGTIREGMLVVTGVDLLCSR SDQNRREHHTDEFEYDELIVRRGQPFHMILFLNREYESSDRIALELLIGSNPEVGKGTHV IIPVGKGGSGGWKAQVTKNNGHNLNLRVHTSPNAIIGKFQFTVRTRSEAGEFQLPFDPRN EIYILFNPWCPEDIVYVDHEDWRQEYVLNESGRIYYGTEAQIGERTWNYGQFDHGVLDAC LYILDRRGMPYGGRGDPVSVSRVVSAMVNSLDDNGVLIGNWTGDYSRGTNPSAWVGSVEI LLSYLRTGYSVPYGQCWVFAGVTTTVLRCLGFATRTVTNFNSAHDTDTSLTMDIYFDENM KPLEHLNHDSVWNFHVWNDCWMKRPDLPSGFDGWQVVDATPQETSSGIFCCGPCSVESVK NGLVYMKYDTPFIFAEVNSDKVYWQRQDDGSFKIVYVEEKAIGTLIVTKAIHSNNREDIT HIYKHPEGSEAERRAVEKAAAHGSKPNVYATRDSAEDVAMQVEAQDAVMGQDLAVSVVLT NRGSSRRTVKLHLYLCVTYYTGVSGPTFKEAKKEVTLAPGASDSVTMPVAYKEYKPHLVD QGAMLLNVSGHVKESGQVLAKQHTFRLRTPDLSLTLLGAAVVGQECGVQIVFKNPLPVTL TNVVFRLEGSGLQRPKVLNVGDIGGNETVTLRQTFVPVRPGPRQLIASLDSPQLSQVHGV IQVDVAPASGGSGFSDAGGDSRSGENIPMAYRGGA >ENSMUSP00000077019.3 pep:known chromosome:GRCm38:13:34875494:34902877:1 gene:ENSMUSG00000021413.8 transcript:ENSMUST00000077853.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf4b description:PRP4 pre-mRNA processing factor 4 homolog B (yeast) [Source:MGI Symbol;Acc:MGI:109584] MAATEPPSLREQAEMDDADNSEKSVNEENGEVSEDQSQNKHSRHKKKKHKHRSKHKKHKH SSEEDRDKKHKHKHKHKKHKRKEVIEASDKEGLSPAKRTKLDDLALLEDLEKQRALIKAE LDNELMEGKVQSGMGLILQGYESGSEEEGEIHEKARNGNRSSTRSSSTRGKLEITDNKNS AKKRSKSRSKERTRHRSDKRKSKGAGEMLREKANRSKSKERRKSKSPSKRSKSQDQARKS KSPPLRRRSQEKVGKARSPAEEKMKSEEKGKIKDRKKSPIVNERSRDRSKKSKSPVDLRD KSKDRRSRSKERKSKRSEIDKEKKPIKSPSKDASSGKENRSPSRRPGRSPKRRSLSPKLR DKSRRSRSPLLNDRRSKQSKSPSRTLSPGRRAKSRSLERKRREPERRRLSSPRTRPRDDI LGRCERSKDASPINRWSPTRRRSRSPIRRRSRSPLRRSRSPRRRSRSPRRRDRSRRSRSR LRRRSRSRGGHRRRSRSKVKEDKFKGSLSEGMKVEQESSSDDNLEDFDVEEEDEEALIEQ RRIQRQAIVQKYKYLAEDSNISVPSEPSSPQSSTRSRSPSPDDILERVAADVKEYERENV DTFEASVKAKHNLMTVEQNNGSSQKKILAPDMFTESDDMFAAYFDSARLRAAGIGKDFKE NPNLRDNWTDAEGYYRVNIGEVLDKRYNVYGYTGQGVFSNVVRARDNARANQEVAVKIIR NNELMQKTGLKELEFLKKLNDADPDDKFHCLRLFRHFYHKQHLCLVFEPLSMNLREVLKK YGKDVGLHIKAVRSYSQQLFLALKLLKRCNILHADIKPDNILVNESKTILKLCDFGSASH VADNDITPYLVSRFYRAPEIIIGKSYDYGIDMWSVGCTLYELYTGKILFPGKTNNHMLKL AMDLKGKMPNKMIRKGVFKDQHFDQNLNFMYIEVDKVTEREKVTVMSTINPTKDLLADLI GCQRLPEDQRKKVHQLKDLLDQILMLDPAKRISINQALQHAFIQEKI >ENSMUSP00000013299.9 pep:known chromosome:GRCm38:8:105703655:105707933:-1 gene:ENSMUSG00000013155.9 transcript:ENSMUST00000013299.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enkd1 description:enkurin domain containing 1 [Source:MGI Symbol;Acc:MGI:2142593] MCEGPSRISGPIPPDPTLCPDYYRRPASAQGRLEGNALKLDLLTSGRDLDSSPPRGPRIR PEAREILERGQRGVGDVLLQLGCISLGSGVSPKRKNPKDHEKENLRRIKEIQRRFQDQER SREQGQPKPLKALWRSPKYDNVESRVKARMKELGPTSVTEPAHFLRAHSRCGPGLPPSRA SSPQLALPGPQAKGPGLGVDFISRNALAAKRAPRRHSRSLQVLAQVQEQQRQAQERYNAT QKGHVPHYLLERRDLWRKEAEARQRSQPDPSMPPGHTLMPENQRLETLNNLLQSQSQLLR ELVLLPAGADSLRAQGHRAELDRKLVQIEEAIKIFSRPKVFVKMDT >ENSMUSP00000021920.6 pep:known chromosome:GRCm38:13:53332716:53377365:-1 gene:ENSMUSG00000021468.7 transcript:ENSMUST00000021920.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptlc1 description:serine palmitoyltransferase, long chain base subunit 1 [Source:MGI Symbol;Acc:MGI:1099431] MATVAEQWVLVEMVQALYEAPAYHLILEGILILWIIRLVFSKTYKLQERSDLTAKEKEEL IEEWQPEPLVPPVSKNHPALNYNIVSGPPTHNIVVNGKECVNFASFNFLGLLANPRVKAT AFSSLKKYGVGTCGPRGFYGTFDVHLDLEERLAKFMKTEEAIIYSYGFSTIASAIPAYSK RGDIIFVDSAACFAIQKGLQASRSDIKLFKHNDVADLERLLKEQEIEDQKNPRKARVTRR FIVVEGLYMNTGTICPLPELVKLKYKYKARIFLEESLSFGVLGEHGRGVTEHYGISIDDI DLISANMENALASVGGFCCGRSFVVDHQRLSGQGYCFSASLPPLLAAAAIEALNIMEENP DIFAVLKKKCQNIHKSLQGVSGLKVVGESLSPALHLQLEESTGSREKDVKLLQAIVDQCM DKGIALTQARYLDKEEKCLPPPSIRVVVTVEQTEEELQRAASTIREAAQAVLL >ENSMUSP00000022601.5 pep:known chromosome:GRCm38:14:79459937:79481268:-1 gene:ENSMUSG00000022023.5 transcript:ENSMUST00000022601.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp4 description:WW domain binding protein 4 [Source:MGI Symbol;Acc:MGI:109568] MADYWKSQPKKFCDYCKCWIADNRPSVEFHERGKNHKENVARRISEIKQKSLDKAKEEEK ASKEFAAMEAAALKAYQEDLKRLGLPLPSDISEPTVSPVISTVQPTPTSNQQKEKKKKKK KKEASKGGWVEGVTADGHCYYYDLITGASQWEKPEGFQGNLKKTAAKAVWVEGLSEDGYT YYYNTETGESKWEKPEDFIPHGGDVLSSKDSGKLPDTLEDAKSSDSHSDSEGEQKKAGEA STETKKLIIKFKEKNKSTEKRIGPEIQKEKSTPKQNPSNTNEEKPKTLKKSTNPYGEWQE IKQEAESQEEVDLELPSTEGECLSTSEAGVGEIKVVFKEKTVSSLGVAADGVAPVFKKRR LENGKSRNLRQRGDDE >ENSMUSP00000025986.7 pep:known chromosome:GRCm38:19:41083981:41206774:-1 gene:ENSMUSG00000025013.14 transcript:ENSMUST00000025986.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tll2 description:tolloid-like 2 [Source:MGI Symbol;Acc:MGI:1346044] MPLATTLGTLVLLLLLPLPRGAEVTGDHSNVALDYGALEGEEGTEQQLHYHDPCKAAVFW GDIALDEDDLKLFHIDKAEDWTKPSIDKPGHDTGGLEETSARWPNDTASNASIQAPRKDG KDATTFLPNPGTSNTTAKTFSARVRRATTSRTERIWPGGVIPYVIGGNFTGTQRAIFKQA MRHWEKHTCVTFVERTDEESFIVFSYRTCGCCSYVGRRGGGPQAISIGKNCDKFGIVAHE LGHVVGFWHEHTRPDRDQHVTIIRENIQPGQEYNFLKMEAGEVSSLGETYDFDSIMHYAR NTFSRGVFLDTILPRRDDNGVRPTIGQRVRLSQGDIAQARKLYKCPACGETLQDTTGNFS APGFPNGYPSYSHCVWRISVTPGEKIILNFTSMDLFKSRLCWYDYVEIRDGYWRKAPLLG RFCGDKIPESLVSSDSRLWVEFRSSSSSLGKGFFAVYEAMCGGDITKDAGQIQSPNYPDD YRPSKECVWRITVPDGFHVGLTFQSFEIERHDSCAYDYLEIRDGPTEDSTLIGHFCGYEK PEAVKSSANRLWVKFVSDGSINKAGFAANFFKEVDECSWPDHGGCEQRCVNTLGSYTCAC DPGYELAADKKTCEVACGGFITKLNGTITSPGWPKEYPTNKNCVWQVVAPVQYRISLQFE AFELEGNDVCKYDFVEVRSGLSPDAKLHGKFCGSETPEVITSQSNNMRVEFKSDNTVSKR GFRAHFFSDKDECAKDNGGCQQECVNTFGSYLCRCRNGYRLHENGHDCKEAGCAYKISSA EGTLMSPNWPDKYPSRKECTWNISSTAGHRVKITFSEFEIEQHQECAYDHLELYDGTDSL APILGRFCGSKKPDPVVATGSSLFLRFYSDASVQRKGFQAVHSTECGGRLKAEVQTKELY SHAQFGDNNYPSQARCDWVIVAEDGYGVELIFRTFEVEEEADCGYDFMEAYDGYDSSAPR LGRFCGSGPLEEIYSAGDSLMIRFHTDDTINKKGFHARYTSTKFQDALHMRK >ENSMUSP00000125973.1 pep:known chromosome:GRCm38:19:41083981:41206774:-1 gene:ENSMUSG00000025013.14 transcript:ENSMUST00000169941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tll2 description:tolloid-like 2 [Source:MGI Symbol;Acc:MGI:1346044] MPLATTLGTLVLLLLLPLPRGAEVTGDHSNVALDYGALEGEEGTEQQLHYHDPCKAAVFW GDIALDEDDLKLFHIDKAEDWTKPSIDKPGHDTGGLEETSARWPNDTASNASIQAPRKDG KDATTFLPNPGTSNTTAKTFSARVRRATTSRTERIWPGGVIPYVIGGNFTGTQRAIFKQA MRHWEKHTCVTFVERTDEESFIVFSYRTCGCCSYVGRRGGGPQAISIGKNCDKFGIVAHE LGHVVGFWHEHTRPDRDQHVTIIRENIQPGQEYNFLKMEAGEVSSLGETYDFDSIMHYAR NTFSSLCSKVCELRGKTVRKEKLTASRDAACGETLQDTTGNFSAPGFPNGYPSYSHCVWR ISVTPGEKIILNFTSMDLFKSRLCWYDYVEIRDGYWRKAPLLGRFCGDKIPESLVSSDSR LWVEFRSSSSSLGKGFFAVYEAMCGGDITKDAGQIQSPNYPDDYRPSKECVWRITVPDGF HVGLTFQSFEIERHDSCAYDYLEIRDGPTEDSTLIGHFCGYEKPEAVKSSANRLWVKFVS DGSINKAGFAANFFKEVDECSWPDHGGCEQRCVNTLGSYTCACDPGYELAADKKTCEVAC GGFITKLNGTITSPGWPKEYPTNKNCVWQVVAPVQYRISLQFEAFELEGNDVCKYDFVEV RSGLSPDAKLHGKFCGSETPEVITSQSNNMRVEFKSDNTVSKRGFRAHFFSDKDECAKDN GGCQQECVNTFGSYLCRCRNGYRLHENGHDCKEAGCAYKISSAEGTLMSPNWPDKYPSRK ECTWNISSTAGHRVKITFSEFEIEQHQECAYDHLELYDGTDSLAPILGRFCGSKKPDPVV ATGSSLFLRFYSDASVQRKGFQAVHSTECGGRLKAEVQTKELYSHAQFGDNNYPSQARCD WVIVAEDGYGVELIFRTFEVEEEADCGYDFMEAYDGYDSSAPRLGRFCGSGPLEEIYSAG DSLMIRFHTDDTINKKGFHARYTSTKFQDALHMRK >ENSMUSP00000129705.1 pep:known chromosome:GRCm38:10:60399726:60403556:1 gene:ENSMUSG00000090439.1 transcript:ENSMUST00000164428.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17455 description:predicted gene, 17455 [Source:MGI Symbol;Acc:MGI:4937089] MNTEGSSLLSPPTFLTTPAASGTSTQAADPVPILIALACIFLLLASCLLFMMLCKPTALD PGRRGARECMPHHPVSPSEPRLRLWKRLGSLRRSLHSFRRGRPAIQRCPLPDSDDHRGDY DWTEATMM >ENSMUSP00000015456.8 pep:known chromosome:GRCm38:10:80930091:80932204:1 gene:ENSMUSG00000015312.8 transcript:ENSMUST00000015456.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gadd45b description:growth arrest and DNA-damage-inducible 45 beta [Source:MGI Symbol;Acc:MGI:107776] MTLEELVASDNAVQKMQAVTAAVEQLLVAAQRQDRLTVGVYEAAKLMNVDPDSVVLCLLA IDEEEEDDIALQIHFTLIQSFCCDNDIDIVRVSGMQRLAQLLGEPAETLGTTEARDLHCL LVTNCHTDSWKSQGLVEVASYCEESRGNNQWVPYISLEER >ENSMUSP00000023070.5 pep:known chromosome:GRCm38:15:85017141:85022560:1 gene:ENSMUSG00000022435.5 transcript:ENSMUST00000023070.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Upk3a description:uroplakin 3A [Source:MGI Symbol;Acc:MGI:98914] MLLLWALLALGCLRCGWTVNLQPQLASVTFATNNPTLTTVALEKPLCMFDSSEPLSGSYE VYLYAMVDSAMSRNVSVQDSAGVPLSTTFRQTQGGRSGPYKAAAFDLTPCGDLPSLDAVG DVTQASEILNAYLVRVGNNGTCFWDPNFQGLCNPPLTAATEYRFKYVLVNMSTGLVQDQT LWSDPIWTNRPIPYSAIDTWPGRRSGGMIVITSILGSLPFFLLVGFAGAIILSFVDMGSS DGEMTHDSQITQEAVPKTLGTSEPSYSSVNRGPPLDRAEVFSSKLQD >ENSMUSP00000106957.3 pep:known chromosome:GRCm38:14:55897286:55900232:-1 gene:ENSMUSG00000022223.8 transcript:ENSMUST00000111325.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdr39u1 description:short chain dehydrogenase/reductase family 39U, member 1 [Source:MGI Symbol;Acc:MGI:1916876] MRVLVGGGTGFIGTAVTQLLRGRGHEVKLVSRQPGPGRITWSELSESGLPLCDVVINLAG ENILNPLRRWNETFQKEVLTSRLDTTHLLAKAITETAHPPQAWILVTGVAYYQPSLTKEY DEDSPGGNFDFFSNLVTKWEAAARLPGESTRQVVVRSGVVLGRGGGAISHMLLPFRLGLG GPIGSGRQFFPWIHIGDLAGILNYALEANHVQGVLNGVAPASTTTNAEFAQALGAALGRP AFIPVPSTVVRAVFGERAIMLLEGQKVVPRRTLATGYQYSFPELRAALKDVVA >ENSMUSP00000015585.2 pep:known chromosome:GRCm38:14:56231401:56234656:-1 gene:ENSMUSG00000079186.2 transcript:ENSMUST00000015585.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzmc description:granzyme C [Source:MGI Symbol;Acc:MGI:109256] MPPVLILLTLLLPLRAGAEEIIGGNEISPHSRPYMAYYEFLKVGGKKMFCGGFLVRDKFV LTAAHCKGSSMTVTLGAHNIKAKEETQQIIPVAKAIPHPDYNPDDRSNDIMLLKLVRNAK RTRAVRPLNLPRRNAHVKPGDECYVAGWGKVTPDGEFPKTLHEVKLTVQKDQVCESQFQS SYNRANEICVGDSKIKGASFEEDSGGPLVCKRAAAGIVSYGQTDGSAPQVFTRVLSFVSW IKKTMKHS >ENSMUSP00000132278.1 pep:known chromosome:GRCm38:16:18218170:18219313:1 gene:ENSMUSG00000090777.1 transcript:ENSMUST00000167061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc188 description:coiled-coil domain containing 188 [Source:MGI Symbol;Acc:MGI:3647803] VGGEAPGMFLSSEGEIPRRRAREPAGARQEGEAEAEWGWPLHPGHEQGPPRQTGSPTSGP RPCPCPTLPPRGKATASSRPAPTQLQGRTLGSAEQSFLQLEQENQSLKRQNQDLREQLGA LLGPGQQFLPLCSEHSSCTALAWSPESATWPLEDRAPVQLLRQELCPGKEYFVRQSQSEL QQIRLSFERKKMAITEVWDGVAEVHMALNNQATGLLVGRQRTMVGMMAMRASGCGPVMGR RTGFTSSAS >ENSMUSP00000022561.6 pep:known chromosome:GRCm38:14:60378286:60381003:1 gene:ENSMUSG00000021986.7 transcript:ENSMUST00000022561.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amer2 description:APC membrane recruitment 2 [Source:MGI Symbol;Acc:MGI:1919375] MDSHCECAAETPAAEPPSGKINKAAFKLFKKRKSGGTMPSIFGVKNKGDGKSSGPTGMVR SRTHDGLAEVLVLEGSKKEEPPGGSDHSGARPIPGPPKPSGPGLGSLASSSVAKSHSFFS LLKKNGRSETGKGDHAEASKAGGKQKRGLKGIFSSMRWHRRDKRGKEEEEKAVRAAGPGN LVLPGSLTASLECVKEEPPRAARRPDSPGQDASRHAAGEPAGGEQAPASAESAPERICLE AGSPTGSGDQSSRGEDAEGHRREEKPGAALESGAGEVQAAEDASKTGDVPIKTVPLVDSE GGSGRASAVPDPSSVDPPSDPSADRICLMFSDVTSLKSFDSLTGCGDIIADPEEEAGPSC DKHVPGPGKPVLSKKNASVVAYQGGGEEMASPDQVDDTYLPEFWDMLSQTEDQGQGTQEG AAKAATASDIKLAPETSSDTRCGEAAKDMSSVKRRRLHRIPIESQQKEEPKHPEKEHQEG VPNSDEGYWDSTTPGPEEESISNSSSSKKVVIPRDSDSGDALCDLYVEPEASPATLPATE DPPCLSRLKPVSPGTITCPLRTPGSLLKDSKIPISIKHLSNLPSSHPVVHQQPARSEVPR TKIPVSKVLVRRVSNRGLAGTTIRAAACHDSAKKL >ENSMUSP00000097820.2 pep:known chromosome:GRCm38:15:97711019:97731405:-1 gene:ENSMUSG00000022468.11 transcript:ENSMUST00000100249.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Endou description:endonuclease, polyU-specific [Source:MGI Symbol;Acc:MGI:97746] MKARVPFIVAMLCGLAWAGNLESCASRCHEKFNRDAVCQCDRRCPQHDDCCDDYEHLCTA EEGPPEPEAFLDPEDKIPTSHLYSAPSSCQGRCREAYDKHHPCHCNDRCPEFGNCCEDFD SLCGGDHEGFSHSSDAVTKEELESISETIYRVDTNKAQKEDIVLNSQNRISPSETGNQVD RSPEPLFTYVNEKLFSKPTYAAFINLLNNYQRATGHGEHFSAQQLEEQGVFLREVMKTAV MKELYSFLHHQNRYSSEQEFVDDLKNMWFGLYSRGNDEGDSSGFEHVFSGEVKKGKVTGF HNWIRFYLQEKEGLLDYYSHNYDGPWDSYPDVLAMQFNWDGYYKEVGSVFIGSSPEFEFA LYSLCFITRPGKKCHLSLGGYPLAIQTYTWDKTTYGNGKKYIATAYVVSSSQ >ENSMUSP00000023105.3 pep:known chromosome:GRCm38:15:97711019:97721150:-1 gene:ENSMUSG00000022468.11 transcript:ENSMUST00000023105.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Endou description:endonuclease, polyU-specific [Source:MGI Symbol;Acc:MGI:97746] MLNCSIHVGQLKLVFNPEGAPLSLPFFTYFSFYIFWVQWAPNARMVENLSPGEIIFVLFC LSFPSSDLGKPSIFSVLSLLCQIGGWDGMCEYPFNTCACLQAEEGPPEPEAFLDPEDKIP TSHLYSAPSSCQGRCREAYDKHHPCHCNDRCPEFGNCCEDFDSLCGGDHEGFSHSSDAVT KEELESISETIYRVDTNKAQKEDIVLNSQNRISPSETGNQVDRSPEPLFTYVNEKLFSKP TYAAFINLLNNYQRATGHGEHFSAQQLEEQGVFLREVMKTAVMKELYSFLHHQNRYSSEQ EFVDDLKNMWFGLYSRGNDEGDSSGFEHVFSGEVKKGKVTGFHNWIRFYLQEKEGLLDYY SHNYDGPWDSYPDVLAMQFNWDGYYKEVGSVFIGSSPEFEFALYSLCFITRPGKKCHLSL GGYPLAIQTYTWDKTTYGNGKKYIATAYVVSSSQ >ENSMUSP00000082971.3 pep:known chromosome:GRCm38:12:4247363:4477182:-1 gene:ENSMUSG00000020647.9 transcript:ENSMUST00000085814.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncoa1 description:nuclear receptor coactivator 1 [Source:MGI Symbol;Acc:MGI:1276523] MSGLGDSSSDPANPDSHKRKGSPCDTLASSTEKRRREQENKYLEELAELLSANISDIDSL SVKPDKCKILKKTVDQIQLMKRMEQEKSTTDDDVQKSDISSSSQGVIEKESLGPLLLEAL DGFFFVVNCEGRIVFVSENVTSYLGYNQEELMNTSVYSILHVGDHAEFVKNLLPKSLVNG VPWPQEATRRNSHTFNCRMLIHPPEDPGTENQEACQRYEVMQCFTVSQPKSIQEDGEDFQ SCLICIARRLPRPPAITGVESFMTKQDTTGKIISIDTSSLRAAGRTGWEDLVRKCIYAFF QPQGREPSYARQLFQEVMTRGTASSPSYRFILNDGTMLSAHTKCKLCYPQSPDMQPFIMG IHIIDREHSGLSPQDDSNSGMSIPRINPSVNPGISPAHGVTRSSTLPPSNNNMVSARVNR QQSSDLNSSSSHTNSSNNQGNFGCSPGNQIVANVALNQGQAGSQSSNPSLNLNNSPMEGT GIALSQFMSPRRQANSGLATRARMSNNSFPPNIPTLSSPVGITSGACNNNNRSYSNIPVT SLQGMNEGPNNSVGFSAGSPVLRQMSSQNSPSRLSMQPAKAESKDSKEIASILNEMIQSD NSDNSANEGKPLDSGLLHNNDRLSEGDSKYSQTSHKLVQLLTTTAEQQLRHADIDTSCKD VLSCTGTSSSASSNPSGGTCPSSHSSLTERHKILHRLLQEGSPSDITTLSVEPEKKDSVP ASTAVSVSGQSQGSASIKLELDAAKKKESKDHQLLRYLLDKDEKDLRSTPNLCLDDVKVK VEKKEQMDPCNTNPTPMTKPAPEEVKLESQSQFTADLDQFDQLLPTLEKAAQLPSLCETD RMDGAVTGVSIKAEVLPASLQPTTARAAPRLSRLPELELEAIDNQFGQPGAGDQIPWANN TLTTINQNKPEDQCISSQLDELLCPPTTVEGRNDEKALLEQLVSFLSGKDETELAELDRA LGIDKLVQGGGLDVLSERFPPQQATPPLMMEDRPTLYSQPYSSPSPTAGLSGPFQGMVRQ KPSLGAMPVQVTPPRGTFSPNMGMQPRQTLNRPPAAPNQLRLQLQQRLQGQQQLMHQNRQ AILNQFAANAPVGMNMRSGMQQQITPQPPLNAQMLAQRQRELYSQQHRQRQIIQQQRAML MRHQSFGNNIPPSSGLPVQMGTPRLPQGAPQQFPYPPNYGTNPGTPPASTSPFSQLAANP EASLATRSSMVNRGMAGNMGGQFGAGISPQMQQNVFQYPGPGLVPQGEATFAPSLSPGSS MVPMPVPPPQSSLLQQTPPTSGYQSPDMKAWQQGTMGNNNVFSQAVQSQPAPAQPGVYNN MSITVSMAGGNANIQNMNPMMGQMQMSSLQMPGMNTVCSEQMNDPALRHTGLYCNQLSST DLLKTDADGNQDKKTEEFFSVVTTD >ENSMUSP00000053891.8 pep:known chromosome:GRCm38:13:114835916:114932041:-1 gene:ENSMUSG00000015533.8 transcript:ENSMUST00000056117.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga2 description:integrin alpha 2 [Source:MGI Symbol;Acc:MGI:96600] MGPGQAGGALLLRLLMLVQGILNCLAYNVGLPGAKIFSGPSSEQFGYSVQQLTNPQGNWL LVGSPWSGFPENRMGDVYKCPVDLPTATCEKLNLQNSASISNVTEIKTNMSLGLTLTRNP GTGGFLTCGPLWAHQCGNQYYATGICSDVSPDFQFLTSFSPAVQACPSLVDVVVVCDESN SIYPWEAVKNFLVKFVTGLDIGPKKTQVALIQYANEPRIIFNLNDFETKEDMVQATSETR QHGGDLTNTFRAIEFARDYAYSQTSGGRPGATKVMVVVTDGESHDGSKLKTVIQQCNDDE ILRFGIAVLGYLNRNALDTKNLIKEIKAIASTPTERYFFNVADEAALLEKAGTLGEQIFS IEGTVQGGDNFQMEMAQVGFSADYAPQNDILMLGAVGAFDWSGTLVQETSHKPVIFPKQA FDQVLQDRNHSSFLGYSVAAISTEDGVHFVAGAPRANYTGQIVLYSVNKQGNVTVIQSHR GDQIGSYFGSVLCSVDVDKDTITDVLLVGAPTYMNDLKKEEGKVYLFTITKGILNQHQFL EGPEGTGNARFGSAIAALSDINMDGFNDVIVGSPVENENSGAVYIYNGHQGTIRTKYSQK ILGSNGAFRRHLQFFGRSLDGYGDLNGDSITDVSIGALGQVIQLWSQSIADVAIEALFTP DKITLLNKDAKITLKLCFRAEFRPAGQNNQVAILFNMTLDADGHSSRVTSRGVFRENSER FLQKNMVVNEVQKCSEHHISIQKPSDVVNPLDLRVDISLENPGTSPALEAYSETVKVFSI PFYKECGSDGICISDLILDVQQLPAIQTQSFIVSNQNKRLTFSVILKNRGESAYNTVVLA EFSENLFFASFSMPVDGTEVTCEVGSSQKSVTCDVGYPALKSEQQVTFTINFDFNLQNLQ NQAAINFQAFSESQETNKADNSVSLTIPLLYDAELHLTRSTNINFYEISSDENAPSVIKS VEDIGPKFIFSLKVTAGSAPVSMALVTIHIPQYTKEKNPLLYLTGIQTDQAGDISCTAEI NPLKLPHTAPSVSFKNENFRHTKELDCRTTSCSNITCWLKDLHMKAEYFINVTTRVWNRT FAASTFQTVQLTAAAEIDTHNPQLFVIEENAVTIPLMIMKPTEKAEVPTGVIIGSIIAGI LLLLAMTAGLWKLGFFKRQYKKMGQNPDEMDETTELNS >ENSMUSP00000020174.5 pep:known chromosome:GRCm38:10:24149317:24188959:1 gene:ENSMUSG00000019998.5 transcript:ENSMUST00000020174.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx7 description:syntaxin 7 [Source:MGI Symbol;Acc:MGI:1858210] MSYTPGIGGDSAQLAQRISSNIQKITQCSVEIQRTLNQLGTPQDSPELRQQLQQKQQYTN QLAKETDKYIKEFGSLPTTPSEQRQRKIQKDRLVAEFTTSLTNFQKAQRQAAEREKEFVA RVRASSRVSGGFPEDSSKEKNLVSWESQTQPQVQVQDEEITEDDLRLIHERESSIRQLEA DIMDINEIFKDLGMMIHEQGDMIDSIEANVESAEVHVQQANQQLSRAADYQRKSRKTLCI IIFILVVGIVIICLIVWGLKG >ENSMUSP00000086578.4 pep:known chromosome:GRCm38:15:82127922:82142200:1 gene:ENSMUSG00000068114.6 transcript:ENSMUST00000089174.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc134 description:coiled-coil domain containing 134 [Source:MGI Symbol;Acc:MGI:1923707] MDLLQFLAAFSVLLWPGTEVTGALKSTLDPSLKIYKKMFEVKRREQLLALKNLAQLNDIH QQYKILDVMLKGLFKVLEDSRTVLIAADVLPDGPVPQDEKLKDAFSHVVENTAFFGDVVL RFPKIVHHYFDHNSNWNLLIRWGISFCNQTGVFDQGPHSPILSLMAQELGITEKDSDFRN PFKTDQTEFIPSTDPFQKALREEEKRRKKEERRKEIRKGPRISRSQSEL >ENSMUSP00000080480.7 pep:known chromosome:GRCm38:19:60524696:60581023:-1 gene:ENSMUSG00000033417.15 transcript:ENSMUST00000081790.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacul1 description:CDK2 associated, cullin domain 1 [Source:MGI Symbol;Acc:MGI:1926082] MEESMEEEEMLTYEAMMDDQNHNNWEAAADSFRQPPPAPPLPPPPPPRPSSSIPDPGREL PGGQLLAVHAGSMERKGPKEGLPMGPPPLPEPNGVIMMLKSCDAAAAVAKTAPAPTSSST ININTSTSKFLMNVITIEDYKSTYWPKLDGAIDQLLTQSPGDYIPISYEQIYSCVYKCVC QQHSEQMYSDLIKKITSHLERVSKELQASPPDLYIERFNIALGQYMGALQSIVPLFIYMN KFYIETKLNRDLKDDLIKLFTEHVAEKHIYSLMPLLLEAQSTPFQVTPSTMANIVKGLYT LRPEWVQMAPTLFSKFIPNILPPAVESELSEYAAQDQKLQRELIQNGFTRGDQSRKRAGD ELAYNSPSACASSRGYR >ENSMUSP00000127014.1 pep:known chromosome:GRCm38:19:60524696:60581023:-1 gene:ENSMUSG00000033417.15 transcript:ENSMUST00000166712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacul1 description:CDK2 associated, cullin domain 1 [Source:MGI Symbol;Acc:MGI:1926082] MEESMEEEEMLTYEAMMDDQNHNNWEAAADSFRQPPPAPPLPPPPPPRPSSSIPDPGREL PGGQLLAVHAGSMERKGPKEGLPMGPPPLPEPNGVIMMLKSCDAAAAVAKTAPAPTSSST ININTSTSKFLMNVITIEDYKSTYWPKLDGAIDQLLTQSPGDYIPISYEQIYSCVYKCVC QQHSEQMYSDLIKKITSHLERVSKELQASPPDLYIERFNIALGQYMGALQSIVPLFIYMN KFYIETKLNRDLKDDLIKLFTEHVAEKHIYSLMQWVQMAPTLFSKFIPNILPPAVESELS EYAAQDQKLQRELIQNGFTRGDQSRKRAGDELAYNSPSACASSRGYR >ENSMUSP00000107086.3 pep:known chromosome:GRCm38:19:60524696:60581023:-1 gene:ENSMUSG00000033417.15 transcript:ENSMUST00000111460.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacul1 description:CDK2 associated, cullin domain 1 [Source:MGI Symbol;Acc:MGI:1926082] MEESMEEEEMLTYEAMMDDQNHNNWEAAADSFRQPPPAPPLPPPPPPRPSSSIPDPGREL PGGQLLAVHAGSMERKGPKEGLPMGPPPLPEPNGVIMMLKSCDAAAAVAKTAPAPTSSST ININTSTSKFLMNVITIEDYKSTYWPKLDGAIDQLLTQSPGDYIPISYEQIYSCVYKCVC QQHSEQMYSDLIKKITSHLERVSKELQASPPDLYIERFNIALGQYMGALQSIVPLFIYMN KFYIETKLNRDLKDDLIKLFTEHVAEKHIYSLMQVISPGRELEMSWLITVHLLVQVPGGI DSDG >ENSMUSP00000002914.8 pep:known chromosome:GRCm38:17:56040416:56068026:1 gene:ENSMUSG00000002835.8 transcript:ENSMUST00000002914.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chaf1a description:chromatin assembly factor 1, subunit A (p150) [Source:MGI Symbol;Acc:MGI:1351331] MLEEPEAATRTAAAVDCKDRPGFPVKRLIQARLPFKRLNLVPKEKVEEDTSPKAAVESKV PDLQLSLGTFESQCHTGSHVGLSTKLVGGQGPIDSFLRATIKPVPSVVIIDLTENCSDIP DSPEGHSELSPDTAGVVTTVEGAAKQQEHSAAELCLLETPSDITCHMEEEPGSPGDPKRT GDCQAGSLQSCPELTPGSRTCPTKELSSWSKAGDLLFIEKVPVVVLEDILATKPSIASLP MMSLDRSVTSESEILESCPEDDSILSHSSTNSSSPTSSPEGPSTPPEHRGGRSSPSTPAC RVAKNFVKGSTEKGRSKLHRDREQQREEKEKLREEIRRAKEEARKKKEEEKELKEKERRE KREKDEKEKAEKQRLKEEKRKERQEALEAKLEEKRKKEEEKRLREEEKRLREEEKRIKAE KAEITRFFQKPKTPQAPKTLAGSCGKFAPFEIKEHMVLAPRCRAALDQDLCDQLDQLLQQ QSVASTFLSDLKSRLPLRSGPTRVCGHDTDIMNRDVVIVESSKVDGVSERKKFGRMKLLQ FSENHRPAYWGTWNKKTAIIRPRNPWAQDKDLLDYEVDSDDEWEEEEPGESLSHSEGDED DDVGEDEDEDDGFFVPHGYLSEDEGVTEECADPENHKVHQKLKAKEWDELLAKGKRFRVL QPVHVGCVWASEAANCTSSDLKLLQQFTACLLDVASPDEPEPGASRREKRDQHILAQLLP LLHGNVNGSKVIIHEFQEQCRRGLLTLPSPTPHLQMPNLEDAVAVPSKARLKRLISENSA YEKRPNFRMCWYVHPEVLKSFGQECLPVPCQWTYITTMPSAPREDSGSASTEGPGQSTPM LLKRKPAATMCITQFMKKRRYDGQVGSGDMDGFQADTEEDEEDDTDCMIIDVPDVGSDVS EAPIPAPTLCK >ENSMUSP00000133245.1 pep:known chromosome:GRCm38:13:55635027:55681256:1 gene:ENSMUSG00000021500.15 transcript:ENSMUST00000172272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx46 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 46 [Source:MGI Symbol;Acc:MGI:1920895] MGRESRHYRKRSASRGRSGSRSRSRSPSDKRSKRGDDRRSRSRDRDRRRERSRSRDKRRS RSRDRKRLRRSRSRERDRSRERRRSRSRDRRRSRSRSRGRRSRSSSPGSKTKKTENRSRS KEKAEGGDSSKEKKKDKDDKEDEKEKDAGNFDQNKLEEEMRKRKERVEKWREEQRKKAME NIGELKKEIEEMKQGKKWSLEDDDDDEDDPAEAEKEGTEMEDEELDPLDAYMEEVKEEVK KFNMRSVKGGAGNEKKSGPTVTKVVTVVTTKKAVVDADKKKGELMENDQDAMEYSSEEEE VDLQTALTGYQTKQRKLLEPVDHGKIEYEPFRKNFYVEVPELAKMSQEEVNVFRLEMEGI TVKGKGCPKPIKSWVQCGISMKILNSLKKHGYEKPTPIQTQAIPAIMSGRDLIGIAKTGS GKTIAFLLPMFRHIMDQRSLEEGEGPIAVIMTPTRELALQITKECKKFSKTLGLRVVCVY GGTGISEQIAELKRGAEIIVCTPGRMIDMLAANSGRVTNLRRVTYVVLDEADRMFDMGFE PQVMRIVDNVRPDRQTVMFSATFPRAMEALARRILSKPIEVQVGGRSVVCSDVEQQVIVI EEEKKFLKLLELLGHYQESGSVIIFVDKQEHADGLLKDLMRASYPCMSLHGGIDQYDRDS IINDFKNGTCKLLVATSVAARGLDVKHLILVVNYSCPNHYEDYVHRAGRTGRAGNKGYAY TFITEDQARYAGDIIKALELSGTAVPPDLEKLWSDFKDQQKAEGKIIKKSSGFSGKGFKF DETEQALANERKKLQKAALGLQDSDDEDAAVDIDEQIESMFNSKKRVKDMAAPGTSSVPA PTAGNAEKLEIAKRLALRINAQKNLGIESQVDVMQQATNAILRGGTILAPTVSAKTIAEQ LAEKINAKLNYVPLEKQEEERQEGGQSESFKRYEEELEINDFPQTARWKVTSKEALQRIS EYSEAAITIRGTYFPPGKEPKEGERKIYLAIESANELAVQKAKAEITRLIKEELIRLQNS YQPTNKGRYKVL >ENSMUSP00000097078.3 pep:known chromosome:GRCm38:13:55635027:55681256:1 gene:ENSMUSG00000021500.15 transcript:ENSMUST00000099479.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx46 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 46 [Source:MGI Symbol;Acc:MGI:1920895] MGRESRHYRKRSASRGRSGSRSRSRSPSDKRSKRGDDRRSRSRDRDRRRERSRSRDKRRS RSRDRKRLRRSRSRERDRSRERRRSRSRDRRRSRSRSRGRRSRSSSPGSKTKKTENRSRS KEKAEGGDSSKEKKKDKDDKEDEKEKDAGNFDQNKLEEEMRKRKERVEKWREEQRKKAME NIGELKKEIEEMKQGKKWSLEDDDDDEDDPAEAEKEGTEMEDEELDPLDAYMEEVKEEVK KFNMRSVKGGAGNEKKSGPTVTKVVTVVTTKKAVVDADKKKGELMENDQDAMEYSSEEEE VDLQTALTGYQTKQRKLLEPVDHGKIEYEPFRKNFYVEVPELAKMSQEEVNVFRLEMEGI TVKGKGCPKPIKSWVQCGISMKILNSLKKHGYEKPTPIQTQAIPAIMSGRDLIGIAKTGS GKTIAFLLPMFRHIMDQRSLEEGEGPIAVIMTPTRELALQITKECKKFSKTLGLRVVCVY GGTGISEQIAELKRGAEIIVCTPGRMIDMLAANSGKSRVFYYLFSLLFVLDMIFVEADVM RIVDNVRPDRQTVMFSATFPRAMEALARRILSKPIEVQVGGRSVVCSDVEQQVIVIEEEK KFLKLLELLGHYQESGSVIIFVDKQEHADGLLKDLMRASYPCMSLHGGIDQYDRDSIIND FKNGTCKLLVATSVAARGLDVKHLILVVNYSCPNHYEDYVHRAGRTGRAGNKGYAYTFIT EDQARYAGDIIKALELSGTAVPPDLEKLWSDFKDQQKAEGKIIKKSSGFSGKGFKFDETE QALANERKKLQKAALGLQDSDDEDAAVDIDEQIESMFNSKKRVKDMAAPGTSSVPAPTAG NAEKLEIAKRLALRINAQKNLGIESQVDVMQQATNAILRGGTILAPTVSAKTIAEQLAEK INAKLNYVPLEKQEEERQEGGQSESFKRYEEELEINDFPQTARWKVTSKEALQRISEYSE AAITIRGTYFPPGKEPKEGERKIYLAIESANELAVQKAKAEITRLIKEELIRLQNSYQPT NKGRYKVL >ENSMUSP00000136442.1 pep:known chromosome:GRCm38:9:18932467:18945092:-1 gene:ENSMUSG00000066914.3 transcript:ENSMUST00000086496.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr831-ps1 description:olfactory receptor 831, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030665] DNKTITPQSLGFMMTVYLITILENIVTLLALISDSLLNPSYYFVYYVSFNDICLCSNSIV LMLLNIQPLNSIICTGCHSMIYFVVICTRTEDFSHGVMAYKHNVAICHLVRYLVLMNLYY SIILVLLALCTRVANSLLHNLLVLWLFF >ENSMUSP00000022459.3 pep:known chromosome:GRCm38:14:31237696:31251218:-1 gene:ENSMUSG00000021902.6 transcript:ENSMUST00000022459.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf7 description:PHD finger protein 7 [Source:MGI Symbol;Acc:MGI:1919088] MKTLKEKNKHPRLRKTIRTKKVTQRKLSSSPVCLLCLQEPGDPEKLGEFLQKDNLCVHYF CLILSSRLPQKGQPNRGLHGFMPEDIKREAVRASKKICFVCKKKGAAIRCQNDQCVQNFH LPCGQERGCLSQFFGEYKSYCRKHRPTQNIHQGSLGEESCVLCCENLSRTSVENIQSPCC SQAIYHRKCIQKYAHTSAKHFFKCPQCNNREEFPQEMLRMGIHIPDRDAAWELEPGAFSE LYQRYRHCDAPICLYEQGRDSFEDEGRWRLILCATCGSHGTHRDCSSLRPNSKKWECNEC LPASTTS >ENSMUSP00000054864.2 pep:known chromosome:GRCm38:9:20026959:20028035:-1 gene:ENSMUSG00000050803.2 transcript:ENSMUST00000062248.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr866 description:olfactory receptor 866 [Source:MGI Symbol;Acc:MGI:3030700] MINNDVENLKDVLEFHLMALSEDPELQLLLFGLFLSVYLVTVLGNLLIILIIIFDSNLHN PMYFFLSNLSLIDILFISTTIPKMIVGIKMHSRVISYAGCLTQMSLFLFFVCMDDMILNV MAYDRFVAICHPLHYTVLMNPQVCVILILLSFSVSVFDSQLHNLIALQDTCFRDVEIANF FCHPSQLLNLACTNTLSSNIVIYFIGVILGIFPVLGIILSYCKIVFSILKIPSSSGKYKA FSTCGSHLLVVCLFYGTGIGVYLGSAVSHSPRKNAVASLMYTAVSPMLNPFIYTLRNRDI SNALKRFHSRFS >ENSMUSP00000010348.5 pep:known chromosome:GRCm38:9:21067520:21073514:-1 gene:ENSMUSG00000079677.1 transcript:ENSMUST00000010348.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fdx1l description:ferredoxin 1-like [Source:MGI Symbol;Acc:MGI:1915415] MAASMARGVSARVLLRAAGGSWGPRAGHAAVTSRTFGTTGERRAGEEAADSPELPRDVVN VVFVDRSGKRIPVRGKVGDNVLYLAQRHGVDLEGACEASLACSTCHVYVSEAHLDLLPPP EEREDDMLDMAPLLQENSRLGCQIVLTPELEGVEFALPKITRNFYVDGHIPKPH >ENSMUSP00000136570.1 pep:known chromosome:GRCm38:9:43829963:43832516:1 gene:ENSMUSG00000096322.1 transcript:ENSMUST00000180221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3898 description:predicted gene 3898 [Source:MGI Symbol;Acc:MGI:3809204] KPLPQGGPGSTTRLLGGALAMALVLGTTLALFLLYRWQQKSQLGIDISGMDLSLSQKLEP APDRQSQLATEEIQGLHLELGRQQEEDLSLQPPYYDLGVSPTYRPLVRMAKP >ENSMUSP00000002735.7 pep:known chromosome:GRCm38:17:56990264:56996371:1 gene:ENSMUSG00000002660.7 transcript:ENSMUST00000002735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clpp description:caseinolytic mitochondrial matrix peptidase proteolytic subunit [Source:MGI Symbol;Acc:MGI:1858213] MWPRVLLGEARVAVDGCRALLSRLAVHFSPPWTAVSCSPLRRSLHGTATRAFPLIPIVVE QTGRGERAYDIYSRLLRERIVCVMGPIDDSVASLVIAQLLFLQSESNKKPIHMYINSPGG VVTAGLAIYDTMQYILNPICTWCVGQAASMGSLLLAAGSPGMRHSLPNSRIMIHQPSGGA RGQATDIAIQAEEIMKLKKQLYNIYAKHTKQSLQVIESAMERDRYMSPMEAQEFGILDKV LVHPPQDGEDEPELVQKETATAPTDPPAPTST >ENSMUSP00000020958.8 pep:known chromosome:GRCm38:12:5077472:5375682:-1 gene:ENSMUSG00000020627.9 transcript:ENSMUST00000020958.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl29 description:kelch-like 29 [Source:MGI Symbol;Acc:MGI:2683857] MSRHHSRFERDYRIGWDRREWSVNGTHGATSVCSVTSGAGGSTASSLSARPGLLPLPVVP SRLPTPATAPAPCTTGSSEAITSLVVSSASAATTKAPGISKADNQSQGLTTSIRWGQTPV NQSTPWDTDEPPSKQMRESDNPGTGPWVTTVAAGNQPSLITHSYGVTQPPTFSPAVNVQA PVIGVTPSLPPHVGPQLPLIPGHYSLPQPPSQPLSSVVVNMPAQALYASPQPLAVSTLPG VGQVSRPGPTPVGNGHMAGPLLPPPPPAQPSAALPSSVPATNGPPTTDSAHGLQMLRTIG VGKYEFTDPGHPKEMLKELNQQRRAKAFTDLKIVVEGREFEVHQNVLASCSLYFKDLIQR SVQDSSQSSREKLELVLSNLQADVLELLLEFVYTGSLVIDSANAKTLLEAASKFQFHTFC KVCVSFLEKQLTASNCLGVLAMAEAMQCSELYHMAKAFALQIFPEVAAQEEILSISKDDF IAYVSNDSLNTKAEELVYETVIKWIKKDPATRAQYAAELLAAVRLPFIHPSYLLNVVDNE ELIKSSEACRDLVNEAKRYHMLPHARQEMQTPRTRPRLSAGVAEVIVLVGGRQMVGMTQR SLVAVTCWNPQNNKWYPLASLPFYDREFFSVVSAGDNIYLSGGMESGVTLADVWCYMSLL DNWNLVSRMTVPRCRHNSLVYDGKIYTLGGLGVAGNVDHVERYDTITNQWEAVAPLPKAV HSAAATVCGGKIYVFGGVNEAGRAAGVLQSYVPQTNTWSFIESPMIDNKYAPAVTLNGFV FILGGAYARATTIYDPEKGNIKAGPNMNHSRQFCSAVVLDGKIYATGGIVSSEGPALGNM EAYEPTTNTWTLLPHMPCPVFRHGCVVIKKYIQSG >ENSMUSP00000019615.9 pep:known chromosome:GRCm38:9:21138541:21149906:-1 gene:ENSMUSG00000019471.9 transcript:ENSMUST00000019615.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc37 description:cell division cycle 37 [Source:MGI Symbol;Acc:MGI:109531] MVDYSVWDHIEVSDDEDETHPNIDTASLFRWRHQARVERMEQFQKEKEELDRGCRECKRK VAECQRKLKELEVAESDGQVELERLRAEAQQLRKEERSWEQKLEDMRKKEKNMPWNVDTL SKDGFSKSMVNTKPEKAEEDSEEAREQKHKTFVEKYEKQIKHFGMLHRWDDSQKYLSDNV HLVCEETANYLVIWCIDLEVEEKCALMEQVAHQTMVMQFILELAKSLKVDPRACFRQFFT KIKTADHQYMEGFKYELEAFKERVRGRAKLRIEKAMKEYEEEERKKRLGPGGLDPVEVYE SLPEELQKCFDVKDVQMLQDAISKMDPTDAKYHMQRCIDSGLWVPNSKSGEAKEGEEAGP GDPLLEAVPKAGNEKDVSA >ENSMUSP00000126374.2 pep:known chromosome:GRCm38:9:48488709:48495330:-1 gene:ENSMUSG00000042396.9 transcript:ENSMUST00000170000.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm7 description:RNA binding motif protein 7 [Source:MGI Symbol;Acc:MGI:1914260] MGAAAAEADRTLFVGNLETKVTEELLFELFHQAGPVIKVKIPKDKDGKLKQFAFVNFKHE VSVPYAMNLLNGIKLFGRPIKIQFRSGSSHASQDASVSYPQHHVGNLSPTSTSPNSYERT VGNVSPTAQMVQRSFSSPEDYQRQAVMNSVFRQMSYAGKFGSPHADQLGFSPSAQPHGHT FNQSSSSQWRQDALSSQRKRQNSHPYLADRHYSREQRYSDHGSDYHYRGSREDFYYDDRN HDGWSHDYDNRRDSSRGGKWPSSRH >ENSMUSP00000003754.6 pep:known chromosome:GRCm38:8:110142539:110168206:-1 gene:ENSMUSG00000003657.8 transcript:ENSMUST00000003754.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calb2 description:calbindin 2 [Source:MGI Symbol;Acc:MGI:101914] MAGPQQQPPYLHLAELTASQFLEIWKHFDADGNGYIEGKELENFFQELEKARKGSGMMSK SDNFGEKMKEFMQKYDKNSDGKIEMAELAQILPTEENFLLCFRQHVGSSAEFMEAWRKYD TDRSGYIEANELKGFLSDLLKKANRPYDEPKLQEYTQTILRMFDLNGDGKLGLSEMSRLL PVQENFLLKFQGMKLTSEEFNAIFTFYDKDGSGYIDENELDALLKDLYEKNKKEMNIQQL TTYRKSVMSLAEAGKLYRKDLEIVLCSEPPV >ENSMUSP00000022081.1 pep:known chromosome:GRCm38:13:75967739:75998968:1 gene:ENSMUSG00000021590.6 transcript:ENSMUST00000022081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata9 description:spermatogenesis associated 9 [Source:MGI Symbol;Acc:MGI:1922821] MEVRPIGWICGQVVKNFSGRLEGLQKAIMDLIDEFKDDLPTILRLSQSSQKTDPVQKTSK VRMALALAKINRGTLIQGLNHISSSSKSVAKLLQPRLAYRLLELRSISHRLLREVNVASQ PLHSVQMKRGSLFEIISFPAKTALTSIMYASYAALIYLAVCVNAVLAKIKKIFQEEESIR QNRESENFRKAFSEPALRKPMFSESEIKAKPYRSLPEKPDNLLDQPKPPANKQSNKIQVL HSVFDQLAELNE >ENSMUSP00000042816.4 pep:known chromosome:GRCm38:7:12927416:12931072:1 gene:ENSMUSG00000033967.4 transcript:ENSMUST00000045870.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf225 description:ring finger protein 225 [Source:MGI Symbol;Acc:MGI:1924198] MPCPRLPWLRRHRTSQGSGPSSPSTVSAPNSPSRGEDEDAEEEEGDGTPGSGPILPPTSP MECLICVSPFDGIFKLPKRLDCGHVFCLECLARLSLATAGGGDAVACPMCRAPTRLAPRR GLPALPTQPGLLPRDARAPLPRQGSVRFDRRRGLLYLRPPPPSPGPRKSRTVRAPPPPPP LRLGRPLSRRLSLSSPAWAFNAAVALAVLVAAGLVVSGVYIFFLIPHVTNSGVRPQTVAL APENDFWVSPRPTPVAPWTHAWTRRPTKPDLDLDDTLPEATKDTPELEEATKDPVETQGI PDLPPDQTPKAEIDLNWNPKAQADGKKVQLQQ >ENSMUSP00000042374.3 pep:known chromosome:GRCm38:9:50751072:50752354:-1 gene:ENSMUSG00000038086.3 transcript:ENSMUST00000042790.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb2 description:heat shock protein 2 [Source:MGI Symbol;Acc:MGI:1916503] MSGRTVPHAHPATAEYEFANPSRLGEQRFGEGLLPEEILTPTLYHGYYVRPRAARAGEGA RAGASELRLSEGKFQAFLDVSHFTPDEVTVRTVDNLLEVSARHPQRLDRHGFVSREFCRT YVLPADVDPWRVRAALSHDGILNLEAPRGGRHLDTEVNEVYISLLPAPPDPEEEEEIARV EP >ENSMUSP00000083101.6 pep:known chromosome:GRCm38:9:45370185:45396158:1 gene:ENSMUSG00000066705.6 transcript:ENSMUST00000085939.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd6 description:FXYD domain-containing ion transport regulator 6 [Source:MGI Symbol;Acc:MGI:1890226] METVLVLCSLLAPVVLASAAEKEKEKDPFYYDYQTLRIGGLVFAVVLFSVGILLILSRRC KCSFNQKPRAPGDEEAQVENLITTNAAEPQKAEN >ENSMUSP00000034121.9 pep:known chromosome:GRCm38:8:85083269:85098739:1 gene:ENSMUSG00000005142.9 transcript:ENSMUST00000034121.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2b1 description:mannosidase 2, alpha B1 [Source:MGI Symbol;Acc:MGI:107286] MGTGPLTSGVRAGGGNTGWLWMSSCNLGSPVLPISFLFWLLLAAPGARAAGYKTCPPTKP GMLNVHLLPHTHDDVGWLKTVDQYYYGILSDVQHASVQYILDSVVSSLLEKPTRRFIYVE MAFFSRWWKQQTSATQDAVRNLVRQGRLEFVNGGWVMNDEAATHYGAIVDQMTLGLRFLQ DTFGSDGLPRVAWHIDPFGHSREQASLFAQMGFDGFFLGRIDYQDKLNRKKKLRMEELWR ASDSLEPPAADLFTGVLPNNYNPPKYLCWDVLCTDPPVVDNPRSPEFNAKTLVNYFLKLA SSQKGFYRTNHTVMTMGSDFHYENANMWFKNMDKLIRLVNAQQVNGSLVHVLYSTPTCYL WELNKANLTWTVKEDDFFPYADGPHMFWTGYFSSRPALKRYERLSYNFLQVCNQLEALVG PEANVGPYGSGDSAPLQEAMAVLQHHDAVSGTARQNVVNDYARQLAAGWGPCEVLVSNAL ARLSHYKQNFSFCRELNISICPVSQTSERFQVTLYNPLGRKVDQMVRLPVYEGNFIVKDP HDKNISSNVVMVPSYYSETYQWELLFPASVPALGFSTYSVAKMSDLNHQAHNLLSRPRKH KSHHVLVIENKYMRATFDSGTGLLMKIENLEQNLSLPVSQGFFWYNASVGDEESSQASGA YIFRPNVGKPIPVSRWAQISLVKTALVQEVHQNFSAWCSQVIRLYKGQRHLELEWTVGPI PVRDDWGKEVISRFDTPMKTKGQFFTDSNGREILKRRDDYRPTWTLNQTEPVAGNYYPVN TRIYITDGQMQLTVLTDRSQGGSSLQDGSLELMVHRRLLVDDDRGVSEPLLETDTGDKVR GRHLVLLSSVSDAAARHRLLAEQEVLAPQVVLSLGGSSPYHSRATPKTQFSGLRQELPPQ VHLLTLARWGPKMLLLRLEHQFALKEDSDRNLSSPVTLNVQNLFQTFTINYLQETTLAAN QPLSRASRLKWMTNTGPTSYPEPSKLDPTSVTLKPMEIRTFLASVQWQEHRPA >ENSMUSP00000108117.2 pep:known chromosome:GRCm38:17:78200248:78376592:1 gene:ENSMUSG00000024074.7 transcript:ENSMUST00000112498.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crim1 description:cysteine rich transmembrane BMP regulator 1 (chordin like) [Source:MGI Symbol;Acc:MGI:1354756] MYLVAGGRGLAGCGHLSVSLLGLLLLLARSGTRALVCLPCDESKCEEPRSCPGSIVQGVC GCCYMCARQRNESCGGAYGLHGACDRGLRCVIRPPLNGDSITEYEVGVCEDEDWDDDQLI GFEPCNENLISGCNIINGKCECGTIRTCNNPFEFPRKDMCLSALKRIEEEKPDCSKARCE VRFSPRCPEDSILIEGYAPPGECCPLPSRCVCDPAGCLRKVCQPGYLNILVSKASGKPGE CCDLYECKPVFSVDCSTVECPPVQQAVCPLDSYETQVRLTADGCCTLPARCECLSGLCGF PVCEVGSTPRIVSRGDGTPGKCCDVFECVNETKPACVFNSVEYYDGDMFRMDNCRFCRCQ GGVSICFTAQCGELNCERYYVPEGECCPVCEDPIYPLNNPAGCYANGQIRAHGDRWREDD CTFCQCINGEPHCVATACGQSCMHPVKVPGECCPVCEEPTYITIDPPACGELSNCSLKEK DCVYGFKLDHNGCRTCQCKIREELCLGLKRACTLDCPFGFLTDVHNCELCQCRPRPKKCR PTMCDKFCPLGFLKNKHGCDICRCKKCPELPCSKICPLGFQQDSHGCLICKCREVPPSAG PPVLSGTCLSMDGHHHKNEESWHDGCRECYCHNGKEMCALITCPVPACGNPTIRSGQCCP SCTDDFVVQKPELSTPSICHAPGGEYFVEGETWNIDSCTQCTCHSGRVLCETEVCPPLLC QNPSRTQDSCCPQCTDDPPQPSTSHNESVPSYCRNDEGDIFLAAESWKPDACTSCVCVDS AISCYSESCPSVACERPVLRKGQCCPYCLEDTIPKKVVCHFSGKTYADEERWDIDSCTHC YCLQGQTLCSTVSCPPLPCAEPIKVEGSCCPMCPEMYVPEPTNVPIEKKNHRGEIDLEVP MWPTPSENDIIHLPRDMGHLQVDYRDNNRLHPGEDSSLDSIVSVVVPIIICLSIIIAFLL INQKKQWVPLLCWYRTPTKPSSLNNQLVSVDCKKGTRVQVDGPQRMLRIAEPDARFSGFY SMQKQNHLQADNFYQTV >ENSMUSP00000036950.5 pep:known chromosome:GRCm38:9:53850251:53853844:1 gene:ENSMUSG00000042045.5 transcript:ENSMUST00000048485.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sln description:sarcolipin [Source:MGI Symbol;Acc:MGI:1913652] MERSTQELFINFTVVLITVLLMWLLVRSYQY >ENSMUSP00000064624.6 pep:known chromosome:GRCm38:13:105149352:105271039:-1 gene:ENSMUSG00000021720.10 transcript:ENSMUST00000069686.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf180 description:ring finger protein 180 [Source:MGI Symbol;Acc:MGI:1919066] MKRSEESTSTQSPEEQTGTLHCWRCRKCIASSGCFMTPLETQVVEQDRHESVDAQNTCHL WHMNVDALPEWISCLLQKAQWTVGKLNCPFCGARLGGFNFVSTPKCSCGQLAAVHLCKSR TDHQAAQGGRLMRPALKHLPHPGVPSGCDKETLLTGGGSKTRNHWLLSMARNSNGLGRLT EALCLEVRATYFEMKNEKLLFKASDPKCQPFVPQPDTGRCPSRASHRKSHSLDLNISEKL ILLPTLYEIHRKPTAYPRLNETGPIDLSGLALPCSNSSCSFQSPPSFDPNMLLHRLSVAP HETQAQRGRECQCGLEASSVYSDHANANSLPFLMDLPSAGRSVLEASDQEEHLSQLDFLR SASFPLGTINHRLNNRERSKLRTLRRQQRRERWLQKQGKYSGVGLLDHMTVSNEMSTDEE TEFPEEKDSYMCAVCLDVYFNPYMCYPCHHIFCEPCLRTLAKDNPASTPCPLCRTIISRV FLQTELNNATKTFFTKEYLKIKQSFQKSSSAKWPLPSCRKGFHLFGGKPAQRLKPCRILD TGMRYEAMEGCCLLTCSHGWRAQPAFLSHSGHCLG >ENSMUSP00000008036.7 pep:known chromosome:GRCm38:9:61913284:61914538:-1 gene:ENSMUSG00000007892.7 transcript:ENSMUST00000008036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rplp1 description:ribosomal protein, large, P1 [Source:MGI Symbol;Acc:MGI:1927099] MASVSELACIYSALILHDDEVTVTEDKINALIKAAGVSVEPFWPGLFAKALANVNIGSLI CNVGAGGPAPAAGAAPAGGAAPSTAAAPAEEKKVEAKKEESEESEDDMGFGLFD >ENSMUSP00000069426.1 pep:known chromosome:GRCm38:19:23723279:23725034:1 gene:ENSMUSG00000054178.1 transcript:ENSMUST00000067077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9938 description:predicted gene 9938 [Source:MGI Symbol;Acc:MGI:3641836] MKSEKSVPFFFLRKAFWVYCYFPTQNFYLKNFKCFILNFLHCKNFVLNETANTSNVFAPP PPAHIVCNELMLIREAWWGTGKFQQTQFYRSSEQEKNDPKNSTYCHPMSSLK >ENSMUSP00000034453.4 pep:known chromosome:GRCm38:8:123891767:123894736:-1 gene:ENSMUSG00000031972.4 transcript:ENSMUST00000034453.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acta1 description:actin, alpha 1, skeletal muscle [Source:MGI Symbol;Acc:MGI:87902] MCDEDETTALVCDNGSGLVKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEA QSKRGILTLKYPIEHGIITNWDDMEKIWHHTFYNELRVAPEEHPTLLTEAPLNPKANREK MTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHNVPIYEGYALPHAIMRL DLAGRDLTDYLMKILTERGYSFVTTAEREIVRDIKEKLCYVALDFENEMATAASSSSLEK SYELPDGQVITIGNERFRCPETLFQPSFIGMESAGIHETTYNSIMKCDIDIRKDLYANNV MSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWIT KQEYDEAGPSIVHRKCF >ENSMUSP00000057469.2 pep:known chromosome:GRCm38:9:20054466:20055461:-1 gene:ENSMUSG00000044454.2 transcript:ENSMUST00000060780.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr867 description:olfactory receptor 867 [Source:MGI Symbol;Acc:MGI:3030701] MEIENHTLITKFLILGLSDDPELQPILFGLFLSMYLVTLLGNLLIILAVSSDSHLHKPMY FLLSNLSFIDICFISTTIPKMLVNMQSQIKDISYIECLTQVFFFNIFAGMDNFLLTLMAY DRFVAICHPLNYTVIMNPRLCALLILMFWIIMFWVSLIHVLLMNELNFSRGTEIPHFFCE LAQVLKVSNSDNHVNNVFMYVVTSLLGVIPMTGILMSYSQIFSSLFRMSSTVSKYKAFST CGSHLCVVTLFYGSGFGVYFSSSVVHSTQRRKVASLMYTVISPMLNPFIYTLRNKDVKGA LGKLFNRVASSPSCINDIRNKLLLRSVRQIL >ENSMUSP00000073060.6 pep:known chromosome:GRCm38:17:24961228:25015230:-1 gene:ENSMUSG00000038002.8 transcript:ENSMUST00000073337.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cramp1l description:Crm, cramped-like (Drosophila) [Source:MGI Symbol;Acc:MGI:1930190] MTVKLGDAGSGEEGLKKLGKRTADEESLDGEGPGGADAADSSSTKRDGQTPRASGAPAPP RGLPTPSPPQGSPQDQHHFLRSSVRPQSKRPRKDAPCALGSGGASGSGPRGKGSDGGASS SGNVSGATPATPAGGSRSSSRNIGSSGPEKEEGKKVRRQWESWSTEDKNTFFEGLYEHGK DFEAIQNNIALKYKKKGKPASMVKNKEQVRHFYYRTWHKITKYIDFDNVFSRGLKKSSQE LYGLICYGELRKKIGGCMDDKNATKLNELIQVGATTVRYKGRNLRIKAPMCRALKKLCDP DGLSDEEDQKPVRLPLKVPVELQPRNNHAWARVQSLAQNPRLRMIVELHRKVSSLIEFLK QKWALHEVRIRKTLEERQLQGSSTVQTQEKVALHLFPGENCTLTPLPGVARVVHSKAFCT VHWQEGGRCKQGTKDIHSLPPAQILGIQSGQGIARGQLKCQRSSAEGKGGGRLLPTADAS QSSGESSPESAPAEGAAPSLSSPDAPDRPHGLQDSGPHLEKTPVTALAVGRDSPIQESGA LPCPCGQPPDLEDELSLLDPFPRYLKSCQDLIIPEQCRRADTRSGREHPPLGSVASPETL TPSSGAVADSAPPGLDPQPGTDHQPDTRLQSDICTKELANASSEESQEKGSPSEHLSSQG QPATRPSKEVPASQLAQQLREEGWSLQTSESLTLAEVYLMMGKPTKLQLEYDWLAVLGPE SQAPTAQGQTALSRSSPSALHQQRLLSCLLRLISTEVHPKMAFEANTASTASVRPTQEEQ STTPPGKVVTINSRSPRCSRNPSTLRSNKTFPSASAPCSPGLRNPPRPLLVAGPSSTGSS DSDGGLFAVPTTLPPNSRHGKLFSPSKEAELTFRQHLDSISIQSDFFSPKPKKLRKRHLR KPLVVQRTLLPRPSENQSHNVCSFSILSNSPIAGRGWFRPIQSSLTKAALSRPIVPKVLP SQATSHLPSAIDLAAQSAGIIPGSPLPILDTDGSSGISPLSSEQATTAISGQGDTGPHQN RDPVTAVRGTNDPFISVTRPEQEPMTDGFQGSPALTLPELSKANLQNGLSIPLPSSESSS TRLSPPNVSALLDISLPGPPEDVLSQGEPATQISDSIIEIAISSGQYSEGVPLSPAKLNG SDSSKSLPSPSSSPQPNWIASPTHDPQWYPSDSADSSLSSLFASFISPEKSRKMLPTTVG ANSGTSLLGPSLLDGNSRDSFVSRSLADVAEVVDSQLVCMMNENSIDYISRFNDLAQELS ITEPGRREVLFDGGGGGNPVGDLSQ >ENSMUSP00000070603.3 pep:known chromosome:GRCm38:5:29735637:29735936:-1 gene:ENSMUSG00000053121.3 transcript:ENSMUST00000065372.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5129 description:predicted gene 5129 [Source:MGI Symbol;Acc:MGI:3648499] FRPALKLTCAAASVRVGLDSAGLAFRKILGAEDGGILEGLHRRRWLNRAGSVPLRKLCAW RALKPEATVAEPRSLRLPGSRLGGRTLTASDTVDSEIRT >ENSMUSP00000057952.4 pep:known chromosome:GRCm38:9:40022352:40023348:1 gene:ENSMUSG00000046678.4 transcript:ENSMUST00000059859.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr981 description:olfactory receptor 981 [Source:MGI Symbol;Acc:MGI:3030815] MQSGNQTSVSHFILVGLHHPPQLGVPLFLAFLVIYLLTVSGNGLIILTVLVDIRLHRPMY WFLCHLSFLDLTISSAIVPKMLSGFLLDSRIISFGGCVIQLFSFHFLGCTECFLYTLMAY DRFLAICKPLHYATIMTRSVCNYLALGTWIGGTIHSLFQTSFIFRLPFCGPNRVDYFFCD IPAVLRLVCADTTINELVTFVDIGFLALTCFMLILTSYGYIVAAILRIRSADGRRNAFST CAAHLTVVIVYYVPCTFIYLRPGSQEPLDGVVAVFYTVITPLLNPIIYTLRNKQMKAALR RLGGLREVHP >ENSMUSP00000072220.6 pep:known chromosome:GRCm38:17:66111546:66120503:1 gene:ENSMUSG00000024101.14 transcript:ENSMUST00000072383.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wash1 description:WAS protein family homolog 1 [Source:MGI Symbol;Acc:MGI:1916017] MTPVKTQCSLAGQLYAVPLIQPDLRREEAIQQVADALQYLQNISGDIFSRISRRVELSRR QLQAISERVSLAQAKIEKIKGSKKAIKVFSSAKYPAPEHLQEYGSIFTGALDPGLQRRPR YRIQSKHRPLDERALQEKLKYFPVCVNTKSEPEDEAEEGLGGLPSNISSISSLLLFNTTE NLYKKYVFLDPLAGAVTKTHTMLGTEEEKLFDAPLSISKREQLERQAPENYFYVPDLGQV PEIDVPSYLPDLPGVADDLMYSADLGPGIAPSAPGAIPELPAFHTEVAEPLQPELENEVL LAAPPPPPPPPPPPPPAPTALVSTPQPPMFPDMATAAGQVAREEDSSSSMAHTASVQGAP KEVVDPSSGRATLLESIRQAGGIGKAKLRSVKERKLEKKKQKEQEQVRATSQGGDLMSDL FNKLVMRRKGISGKGPSTGTSEGPGGAFSRMSDSIPPLPPPQQPAGDEDEEDWES >ENSMUSP00000112255.2 pep:known chromosome:GRCm38:17:66111645:66120503:1 gene:ENSMUSG00000024101.14 transcript:ENSMUST00000116556.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wash1 description:WAS protein family homolog 1 [Source:MGI Symbol;Acc:MGI:1916017] MTPVKTQCSLAGQLYAVPLIQPDLRREEAIQQVADALQYLQNISGDIFSRISRRVELSRR QLQAISERVSLAQAKIEKIKGSKKAIKVFSSAKYPAPEHLQEYGSIFTGALDPGLQRRPR YRIQSKHRPLDERALQEKLKYFPVCVNTKSEPEDEAEEGLGGLPSNISSISSLLLFNTTE NLYKKYVFLDPLAGAVTKTHTMLGTEEEKLFDAPLSISKREQLERQAPENYFYVPDLGQV PEIDVPSYLPDLPGVADDLMYSADLGPGIAPSAPGAIPELPAFHTEVAEPLQPELENEVL LAAPPPPPPPPPPPPPAPTALVSTPQPPMFPDMATAAGQVAREEDSSSSMAHTASVQGAP KEVVDPSSGRATLLESIRQAGGIGKAKLRSVKERKLEKKKQKEQEQVRATSQGGDLMSDL FNKLVMRRKGISGKGPSTGTSEGPGGAFSRMSDSIPPLPPPQQPAGDEDEEDWES >ENSMUSP00000100805.1 pep:known chromosome:GRCm38:7:34251038:34251493:1 gene:ENSMUSG00000078380.1 transcript:ENSMUST00000105172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6096 description:predicted gene 6096 [Source:MGI Symbol;Acc:MGI:3649053] MVPKAKKEAPAPPKAKALRAKKVVLKGDHSHKKRSESHPPFSGPKTLWLQRQPKYPRNSA PRRNKLDHCAIIKFPLTTESAMKKIKDYNMLVFIVDVKAIKHQIKEAVKKLYDIDVAKVH TLTRPDREKKAYVRLALDYDALDVANKIGVI >ENSMUSP00000065198.6 pep:known chromosome:GRCm38:9:53098454:53248112:-1 gene:ENSMUSG00000053289.7 transcript:ENSMUST00000065630.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx10 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 10 [Source:MGI Symbol;Acc:MGI:1924841] MGKTVASLGQGTRPDPVRSFNRWKKKHSHRQHQKKERRKQLKKPEWQVEREGISRLMQNY EKINVNEITRFSDFPLSKKTLKGLQEAQYRLVTEIQKQTIGLALQGKDVLGAAKTGSGKT LAFLVPVLEALYRLQWTSTDGLGVLIISPTRELAYQTFEVLRKVGKNHDFSAGLIIGGKD LKHEAERINNINILVCTPGRLLQHMDETICFHATNLQMLVLDEADRILDMGFADTMNAII ENLPKKRQTLLFSATQTKSVKDLARLSLKDPEYVWVHEKAKYSTPATLEQNYIICELHQK ISVLFSFLRSHLKKKSIVFFSSCKEVQYLYRVFCRLRPGISILALHGRQQQMRRMEVYNE FVRKRAAVLFATDIAARGLDFPAVNWVLQFDCPEDANTYIHRAGRTARYKEDGEALLILL PSEEQGMVQQLLQKKVPVKEIKINPEKLIDVQKKLESFLAQDQDLKERAQRCFVSYIRSV YLMKDKEVFNVSKLPITEYALSLGLAVAPRIRFLQKLEKQPSTELVKNPVTEAVPPRAPS LPNDEADESPAYVSEKMSVLHKSGERLEETEHRLASGDGDEEQDEETEDEETEDHLGKAR EPHTESVVSIEEAQKVKEVSVQFLNRDDDDEDGPDADFLTVKRRDVFGLDLKENEALSKK EPSKSSVKKKLTKVAEAKKVMKRSFKVNKKITFTDEGELVQQWPQIQKCAIKDVEEEDDT GGINLDKAKERLQEEDKFDKEEYRKKIKAKHRERRLKEREARREANKRQAKARDEEEAFL DWSDEDDGGFDPSTLPDPDKHRSSEESESEDTNHKMSDTKKKQETRKRNNTEDDDVRPRS RHGKKAKWETVEPLDTGLSLAEDEELVLHLLKSQN >ENSMUSP00000083876.2 pep:known chromosome:GRCm38:4:97182840:97183166:-1 gene:ENSMUSG00000066990.2 transcript:ENSMUST00000086672.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10192 description:predicted gene 10192 [Source:MGI Symbol;Acc:MGI:3642867] YCCSSCRVADPFSSLSTFSSSFFGAPVFHSIDDCEHTLLYLPDNGIASQKTATSGSCQQN LAGICSSVWSLSLCTLTVVLDLFMILESFAFMSNAALAHEHPFHFTTL >ENSMUSP00000091365.3 pep:known chromosome:GRCm38:9:54980880:55007779:1 gene:ENSMUSG00000035594.9 transcript:ENSMUST00000093844.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna5 description:cholinergic receptor, nicotinic, alpha polypeptide 5 [Source:MGI Symbol;Acc:MGI:87889] MQISNAGLPELSSAAKHEDSLFRDLFEDYEKWVRPVEHLSDKIKIKFGLAISQLVDVDEK NQLMTTNVWLKQEWIDVKLRWNPDDYGGIKIIRVPSDSLWIPDIVLFDNADGRFEGASTK TVVRYNGTVTWTQPANYKSSCTIDVTFFPFDLQNCSMKFGSWTYDGSQVDIILEDQDVDR TDFFDNGEWEIMSAMGSKGNRTDSCCWYPCITYSFVIKRLPLFYTLFLIIPCIGLSFLTV VVFYLPSNEGEKISLCTSVLVSLTVFLLVIEEIIPSSSKVIPLIGEYLVFTMIFVTLSIM VTVFAINIHHRSSSTHNAMAPWVRKIFLHKLPKLLCMRSHADRYFTQREEAEKDGGPKSR NTLEAALDCIRYITRHVVKENDVREVVEDWKFIAQVLDRMFLWTFLLVSIIGTLGLFVPV IYKWANIIVPVHIGNTIK >ENSMUSP00000136534.1 pep:known chromosome:GRCm38:17:57741767:57742219:-1 gene:ENSMUSG00000095330.1 transcript:ENSMUST00000178907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21834 description:predicted gene, 21834 [Source:MGI Symbol;Acc:MGI:5433998] MVQAPSPVHSDVCLLLIEFHSTCDRATRGQLTEPKKAIKHRTVLTSVESLHLLAVFRQII GADRTQEFKVLITVVLAHLLSFGFVKTIDFHFVVETTVEQQIVNHMDSVRLHWVPLSIII VSNVPLVVVTDFPLGALSLCHLAHHLDLPSV >ENSMUSP00000126334.2 pep:known chromosome:GRCm38:15:27025386:27026207:1 gene:ENSMUSG00000090544.2 transcript:ENSMUST00000169678.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6576 description:predicted gene 6576 [Source:MGI Symbol;Acc:MGI:3646644] EAAAASAEDSAEFGLRGRGRGRGRGRGRGRRARGGKAEDKEWIPVTKLGRLVKDMKIKSL EEIYLFSLPIKESEIIDFFLGASLKDEVLKIMPVQKQTRAGPRTRFKAFVAIEDYNGHVG LGVKCSKEVATTIRGAIILAKLFIVPVRRGYWGNKIGKPHTVPCKVTGRCGSVLVCLIPA PRGTGIVSAPVPKKLLMMARIDDCYTSDRGCTATLGNFAKATFDAISKTYSYLTPDLWKE TVFTKSPYQEFTDHLVKTHTRVSVQRTQAPAVAT >ENSMUSP00000096188.2 pep:known chromosome:GRCm38:9:70656251:70657860:1 gene:ENSMUSG00000074213.2 transcript:ENSMUST00000098589.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10642 description:predicted gene 10642 [Source:MGI Symbol;Acc:MGI:3704338] MQVGERLQGVQAGRVPRGARLAAAAARGAGAAGLFRRLLHPAGARVAHWPRPSARRGLGQ LRGGGHLVLPS >ENSMUSP00000022585.3 pep:known chromosome:GRCm38:14:76086232:76110815:-1 gene:ENSMUSG00000022008.3 transcript:ENSMUST00000022585.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpalpp1 description:GPALPP motifs containing 1 [Source:MGI Symbol;Acc:MGI:1914717] MARDLIGPALPPGFKEHATVEDEERDPSPVAGPALPPNYRSCSSDSSDSDEDSSSLSEEG NQESEEEDTGPNAKKQRRNQDDDDDDDGFFGPALPPGFKKQDDSPPRPIIGPALPPGFIK SPQKNDKGREDPGQVSSFFNSEEAESGEDEDIVGPMPAKGPVNYSVTTEFEKRAQRMKEK LTKGDDDSSKPITRESWMTELPPEMKEFGLGPRTFKRRADDKSGDRSVWTDTPADRERKA KEIQEARKSFSKKDEENILSGRDKRLAEQVSSYNESKRSESLMDIHHKKLKSKAAEDKNK HQERIPFDRDKDLKVNRFDEAQKKALIKKSRELNTRFSHGKGNMFL >ENSMUSP00000106509.3 pep:known chromosome:GRCm38:12:30893326:30911612:-1 gene:ENSMUSG00000044573.14 transcript:ENSMUST00000062740.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acp1 description:acid phosphatase 1, soluble [Source:MGI Symbol;Acc:MGI:87881] MAEVGSKSVLFVCLGNICRSPIAEAVFRKLVTDEKVSDNWRIDSAATSTYEVGNPPDYRG QNCMRKHGIHMQHIARQITKEDFATFDYILCMDESNLRDLNRKSNQVKNCKAKIELLGSY DPQKQLIIEDPYYGNDSDFEVVYQQCLRCCKAFLEKTY >ENSMUSP00000073686.5 pep:known chromosome:GRCm38:12:30893326:30911612:-1 gene:ENSMUSG00000044573.14 transcript:ENSMUST00000074038.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acp1 description:acid phosphatase 1, soluble [Source:MGI Symbol;Acc:MGI:87881] MAEVGSKSVLFVCLGNICRSPIAEAVFRKLVTDEKVSDNWAIDSSAVSDWNVGRPPDPRA VSCLRNHGISTAHKARQITKEDFATFDYILCMDESNLRDLNRKSNQVKNCKAKIELLGSY DPQKQLIIEDPYYGNDSDFEVVYQQCLRCCKAFLEKTY >ENSMUSP00000069078.7 pep:known chromosome:GRCm38:9:57155041:57158299:-1 gene:ENSMUSG00000032299.9 transcript:ENSMUST00000065358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd4 description:COMM domain containing 4 [Source:MGI Symbol;Acc:MGI:1913449] MRFRFCGDLDCPDWVLAEISTLAKISSVKLRLLCSQVLKELLGQGIDYEKILKLTADAKF ESGDVKATVAVLSFILSSAAKHSVDSDSLSSELQQLGLPKEHATSLCRCYEEKQSPLQEH LRACSLRVNRLASVGWRVDYTLSSSLLHSVEEPMVHLQLQVVPAPGTQAQPVSMSLSADK FQVLLAELKQAQTMMTALG >ENSMUSP00000035616.7 pep:known chromosome:GRCm38:9:64922861:64951607:-1 gene:ENSMUSG00000034452.7 transcript:ENSMUST00000037798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc24a1 description:solute carrier family 24 (sodium/potassium/calcium exchanger), member 1 [Source:MGI Symbol;Acc:MGI:2384871] MGKLIRMGTQERRLLRPKRLHWSRLLFLLGMLIIGSTYQHLRRPQNPPSMWTKVSSQQPI KLAVRDLPNNEMAVAGSDPPEASSEVEDGMLAAQDTVIMDEAAPSIAMEDTPNPPRTTKI PPASLKNSYSPTTAGTRRQKENIPPTPSGAPSHFISTPGRQRVKSYIPKPRGERKNSSPT HAREKGRTHTPSPAGAHTISPTATVRDRETMATYRLLETRFERTAGETTAASLKRMVLNT PTFLTHEVETNLMTSSSLVGKNTAVSLRKGERNISTTPQGAVPQHTPATSEEQMTVSTRM GSIPATIEGSTAARRINNPLSRTSAPAIRIASATNREKRPSTAPSTLVTPKATMSTQVHR CVVVEPAPAVPMTPSPGVTSILFPETPSSGPSALPPGWPNLHPKAEYPPDLFSVEDRRQG WVVLHIFGMMYVFVALAIVCDEYFVPALGVITHKLQISEDVAGATFMAAGGSAPELFTSL IGVFISHSNVGIGTIVGSAVFNILFVIGTCALFSREILNLTWWPLFRDVSFYILDLSMLI VFFLDSFIAWWESLLLLLAYALYVFTMKWNKQIELWVKEQLSRRPVAKVMALGDLSKPSE DAVEENEQQDSKKLKLPSVLTRGSSSASLHNSIIRNTIYHLMLHSLDPLGEARPSKDKQE SLNQEARVLSQTKAESSPDEDEPAELPAVTVTPAPAPDAKGDQEDDPGCQEDVDEAERRG EMTGEEGEKETETEGKKDEQEGETEAERKEDEQEEETEAEGKEQEGETEAEGKEDEQEGE TEAEGKKDEQEGETEAEGKEEQEGETEAEGKEDEQEGETEAEGKEEQEGETEAESKEVEQ ERETEAEGKDKHEGQGETQPDDTEVKDGEGETEANAEDQCEATQGEKGADGGGESDGGDS EEEEDEEDEEEEEEEDEEEEEEENEEPLSLEWPDSRQKQAIYLFLLPIVFPLWLTIPDVR RQESRKFFVITFLGSIIWIAMFSYLMVWWAHQVGETIGISEEIMGLTILAAGTSIPDLIT SVIVARKGLGDMAVSSSVGSNIFDITVGLPVPWLLFSLINALQPVPVSSNGLFCAIVLLF LMLLFVIFSIASCKWRMNKILGFTMFLLYFVFLVISVMLEDRIISCPVSV >ENSMUSP00000100911.1 pep:known chromosome:GRCm38:10:111164802:111297247:1 gene:ENSMUSG00000020189.13 transcript:ENSMUST00000105275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl8 description:oxysterol binding protein-like 8 [Source:MGI Symbol;Acc:MGI:2443807] MEAALADGEPDRSSLLGDSKDVLGPSTVVANSDEPQHLTPGKMSQRQGRDANPTPTRDLP QPSLSPASLHSQGFERGKEDISQNKDDSSLSMSKSKSESKLYNGSEKDSSTSSKLTKKES LKVQKKNYREEKKRATKELLSTITDPSVIVMADWLKIRGTLKSWTKLWCVLKPGVLLIYK TQKNGQWVGTVLLNACEIIERPSKKDGFCFKLFHPLEQSIWAVKGPKGEAVGSITQPLPS SYLIIRATSESDGRCWMDALELALKCSSLLKRTMVREGKEHDLSISSDSTHVTLYGLLRA NNLHSGDNFQLNDSEIERQHFKDQDLYSDKSDKENDPEHDESDNEVLGKSEESDTDTSER QDDSYIDPEPVEPLKETTYMEQSHEELGEAGEASQTETVSEENKSLIWTLLKQVRPGMDL SRVVLPTFILEPRSFLDKLSDYYYHADFLSEAALEENPYFRLKKVVKWYLSGFYKKPKGL KKPYNPILGETFRCLWIHPRTNSKTFYIAEQVSHHPPISAFYVSNRKDGFCLSGSILAKS KFYGNSLSAILEGEARLTFLNRGEDYVMTMPYAHCKGILYGTMTLELGGTVNITCQKTGY SAILEFKLKPFLGSSDYVNQISGKLKLGKEVLATLEGHWDSEVFINDKKTDNSEIFWNPT PDIKQWRLIRHTVKFEEQDDFESEKLWQRVTKAINAKDQTEATQEKYVLEEAQRQAARDR KTKTQEWVCKLFELDPLTGEWHYKFSDTRPWDPLNDMIQFEKDGVIQTKVKHRTPMVSVP KMKHKPTRQQKKVVKGYSSPEPDIQDSSGSEAQSVKPSTRRKKGIDLGDIQSSIESIKQT QEEIKRNIMALRNHLLSSTPATDYFLQQKDYFVIFLLILLQVIINFIFK >ENSMUSP00000092948.1 pep:known chromosome:GRCm38:10:111164802:111297247:1 gene:ENSMUSG00000020189.13 transcript:ENSMUST00000095310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osbpl8 description:oxysterol binding protein-like 8 [Source:MGI Symbol;Acc:MGI:2443807] MSQRQGRDANPTPTRDLPQPSLSPASLHSQGFERGKEDISQNKDDSSLSMSKSKSESKLY NGSEKDSSTSSKLTKKESLKVQKKNYREEKKRATKELLSTITDPSVIVMADWLKIRGTLK SWTKLWCVLKPGVLLIYKTQKNGQWVGTVLLNACEIIERPSKKDGFCFKLFHPLEQSIWA VKGPKGEAVGSITQPLPSSYLIIRATSESDGRCWMDALELALKCSSLLKRTMVREGKEHD LSISSDSTHVTLYGLLRANNLHSGDNFQLNDSEIERQHFKDQDLYSDKSDKENDPEHDES DNEVLGKSEESDTDTSERQDDSYIDPEPVEPLKETTYMEQSHEELGEAGEASQTETVSEE NKSLIWTLLKQVRPGMDLSRVVLPTFILEPRSFLDKLSDYYYHADFLSEAALEENPYFRL KKVVKWYLSGFYKKPKGLKKPYNPILGETFRCLWIHPRTNSKTFYIAEQVSHHPPISAFY VSNRKDGFCLSGSILAKSKFYGNSLSAILEGEARLTFLNRGEDYVMTMPYAHCKGILYGT MTLELGGTVNITCQKTGYSAILEFKLKPFLGSSDYVNQISGKLKLGKEVLATLEGHWDSE VFINDKKTDNSEIFWNPTPDIKQWRLIRHTVKFEEQDDFESEKLWQRVTKAINAKDQTEA TQEKYVLEEAQRQAARDRKTKTQEWVCKLFELDPLTGEWHYKFSDTRPWDPLNDMIQFEK DGVIQTKVKHRTPMVSVPKMKHKPTRQQKKVVKGYSSPEPDIQDSSGSEAQSVKPSTRRK KGIDLGDIQSSIESIKQTQEEIKRNIMALRNHLLSSTPATDYFLQQKDYFVIFLLILLQV IINFIFK >ENSMUSP00000034074.7 pep:known chromosome:GRCm38:8:86841139:86885258:-1 gene:ENSMUSG00000031652.10 transcript:ENSMUST00000034074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N4bp1 description:NEDD4 binding protein 1 [Source:MGI Symbol;Acc:MGI:2136825] MAARVVLDEFTAPAEKAALLERSRGRIEALFGVGLAVLGALGAEEPLPARIWLQLRGAQE AVHSAKEYIKGICEPELEEKECYPKAMHCIFVGAQSLFLKSLIQDTCADLCVLDTGLLGI RGSAEAVVMARSHIQQFVKLFESNENLPSNQRESEIKREFRQFVEAHADSYTMDLLILPT SLKKELLSLTQGEESLFETDDDVITVGDVRPPEYTQSAATGPSSARDEVVVQEDSRNKAR TPVSELTKHMDTVFSSSPDVLFVPVNGLSPDEDALSKDRVCHKRRSSDTEERHTKKQFSL ENVPEGELLPDGKGSAGNEVIDLSDPASNSTNLSPDGKDTTEEMEYNILVNFFKTMGYSQ EIVEKVIREYGPSTEPLLLLEEIEKENKRLQEDRDFPPCTVYPDASQSRNAGVGSTTNEL TADSTPKKAQSHTEQSMVERFSQLPFKDSKHCTSNCKVNSFRTVPVGQKQEIWGSKQNSS CTVDLETDGHSASAASASPKDISFVSRGASGHQQRNPAFPENGFQQQTEPLLPNNTKPAC EKRSGSCSSPQPKPNYPPLSPPLPLPQLLPSVTEARLGGSSDHIDSSVTGVQRFRDTLKI PYKLELKNEPGRADLKHIVIDGSNVAITHGLKKFFSCRGIAIAVEYFWKLGNRNITVFVP QWRTRRDPNITEQHFLTQLQELGILSLTPARMVFGERIASHDDRFLLHLADKTGGIIVTN DNFREFVTESVSWREIITKRLLQYTFVGDIFMVPDDPLGRNGPRLEEFLRKEAFLRHMQP LLNALPSVGTFDPGFRSPSTQVANNSHQPPPRIQTSSSPWLPQQSHFTALATLPSMQQNP PLPAQRSSAETSELREALLKIFPDSEQKLKIDQILAAHPYMKDLNALSALVLD >ENSMUSP00000042073.6 pep:known chromosome:GRCm38:17:25234317:25240116:-1 gene:ENSMUSG00000035521.13 transcript:ENSMUST00000038973.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnptg description:N-acetylglucosamine-1-phosphotransferase, gamma subunit [Source:MGI Symbol;Acc:MGI:2147006] MAGRLAGFLMLLGLASQGPAPACAGKMKVVEEPNTFGLNNPFLPQASRLQPKREPSAVSG PLHLFRLAGKCFSLVESTYKYEFCPFHNVTQHEQTFRWNAYSGILGIWHEWEIINNTFKG MWMTDGDSCHSRSRQSKVELTCGKINRLAHVSEPSTCVYALTFETPLVCHPHSLLVYPTL SEALQQRWDQVEQDLADELITPQGYEKLLRVLFEDAGYLKVPGETHPTQLAGGSKGLGLE TLDNCRKAHAELSQEVQRLTSLLQQHGIPHTQPTETTHSQHLGQQLPIGAIAAEHLRSDP GLRGNIL >ENSMUSP00000110807.4 pep:known chromosome:GRCm38:17:25233331:25240124:-1 gene:ENSMUSG00000035521.13 transcript:ENSMUST00000115154.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnptg description:N-acetylglucosamine-1-phosphotransferase, gamma subunit [Source:MGI Symbol;Acc:MGI:2147006] MAGRLAGFLMLLGLASQGPAPACAGKMKVVEEPNTFGLNNPFLPQASRLQPKREPSAVSG PLHLFRLAGKCFSLVESTYKYEFCPFHNVTQHEQTFRWNAYSGILGIWHEWEIINNTFKG MWMTDGDSCHSRSRQSKPLRLSSQVELTCGKINRLAHVSEPSTCVYALTFETPLVCHPHS LLVYPTLSEALQQRWDQVEQDLADELITPQGYEKLLRVLFEDAGYLKVPGETHPTQLAGG SKGLGLETLDNCRKAHAELSQEVQRLTSLLQQHGIPHTQPTETTHSQHLGQQLPIGAIAA EHLRSDPGLRGNIL >ENSMUSP00000039107.7 pep:known chromosome:GRCm38:9:124021971:124031689:1 gene:ENSMUSG00000035448.8 transcript:ENSMUST00000039171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr3 description:chemokine (C-C motif) receptor 3 [Source:MGI Symbol;Acc:MGI:104616] MAFNTDEIKTVVESFETTPYEYEWAPPCEKVRIKELGSWLLPPLYSLVFIIGLLGNMMVV LILIKYRKLQIMTNIYLFNLAISDLLFLFTVPFWIHYVLWNEWGFGHYMCKMLSGFYYLA LYSEIFFIILLTIDRYLAIVHAVFALRARTVTFATITSIITWGLAGLAALPEFIFHESQD SFGEFSCSPRYPEGEEDSWKRFHALRMNIFGLALPLLIMVICYSGIIKTLLRCPNKKKHK AIRLIFVVMIVFFIFWTPYNLVLLFSAFHSTFLETSCQQSKHLDLAMQVTEVIAYTHCCI NPVIYAFVGERFRKHLRLFFHRNVAVYLGKYIPFLPGEKMERTSSVSPSTGEQEISVVF >ENSMUSP00000023132.3 pep:known chromosome:GRCm38:15:103503298:103530052:1 gene:ENSMUSG00000022489.5 transcript:ENSMUST00000023132.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde1b description:phosphodiesterase 1B, Ca2+-calmodulin dependent [Source:MGI Symbol;Acc:MGI:97523] MELSPRSPPEMLESDCPSPLELKSAPSKKMWIKLRSLLRYMVKQLENGEVNIEELKKNLE YTASLLEAVYIDETRQILDTEDELRELRSDAVPSEVRDWLASTFTQQTRAKGRRAEEKPK FRSIVHAVQAGIFVERMFRRTYTSVGPTYSTAVHNCLKNLDLWCFDVFSLNRAADDHALR TIVFELLTRHSLISRFKIPTVFLMSFLEALETGYGKYKNPYHNQIHAADVTQTVHCFLLR TGMVHCLSEIEVLAIIFAAAIHDYEHTGTTNSFHIQTKSECAILYNDRSVLENHHISSVF RMMQDDEMNIFINLTKDEFAELRALVIEMVLATDMSCHFQQVKTMKTALQQLERIDKSKA LSLLLHAADISHPTKQWSVHSRWTKALMEEFFRQGDKEAELGLPFSPLCDRTSTLVAQSQ IGFIDFIVEPTFSVLTDVAEKSVQPLADDDSKPKSQPSFQWRQPSLDVDVGDPNPDVVSF RATWTKYIQENKQKWKERAASGITNQMSIDELSPCEEEAPSSPAEDEHNQNGNLD >ENSMUSP00000037834.6 pep:known chromosome:GRCm38:17:45563964:45567669:1 gene:ENSMUSG00000037089.9 transcript:ENSMUST00000041353.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35b2 description:solute carrier family 35, member B2 [Source:MGI Symbol;Acc:MGI:1921086] MVPGYLLVQYLRRKNYLETGRGLCFPLVKACVFGNEPKAPDEVLLAPRTETAESTPSWQV LKLVFCASGLQVSYLTWGILQERVMTGSYGATATSPGEHFTDSQFLVLMNRVLALVVAGL YCVLRKQPRHGAPMYRYSFASLSNVLSSWCQYEALKFVSFPTQVLAKASKVIPVMMMGKL VSRRSYEHWEYLTAGLISIGVSMFLLSSGPEPRSSPATTLSGLVLLAGYIAFDSFTSNWQ DALFAYKMSSVQMMFGVNLFSCLFTVGSLLEQGALLEGARFMGRHSEFALHALLLSICSA FGQLFIFYTIGQFGAAVFTIIMTLRQAIAILLSCLLYGHTVTVVGGLGVAVVFTALLLRV YARGRKQRGKKAVPTEPPVQKV >ENSMUSP00000035009.8 pep:known chromosome:GRCm38:9:114756837:114781993:-1 gene:ENSMUSG00000032436.16 transcript:ENSMUST00000035009.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm7 description:CKLF-like MARVEL transmembrane domain containing 7 [Source:MGI Symbol;Acc:MGI:2447166] MSHGSGLVRTTCSSGGALGPGQPSEGLLDRVYPLTHGALFKVAQMVTLLIAFICVRSSVP IDYGAHSFFEVVTMCDLIMILIFYLVHLFRFYRVLTCISWPLSELLHYLIGTLLLLIASI VIASKSYNQSGLVAGAIFGFLASFLCLASLWLSYKITCITQSSDASA >ENSMUSP00000081927.7 pep:known chromosome:GRCm38:9:114756837:114781993:-1 gene:ENSMUSG00000032436.16 transcript:ENSMUST00000084867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm7 description:CKLF-like MARVEL transmembrane domain containing 7 [Source:MGI Symbol;Acc:MGI:2447166] MSHGSGLVRTTCSSGGALGPGQPSEGLLDRVYPLTHGALFKVAQMVTLLIAFICVRSSVP IDYGAHSFFEVVTMCDLIMILIFYLVHLFRFYRVLTCISWPLSIFGFLASFLCLASLWLS YKITCITQSSDASA >ENSMUSP00000141273.1 pep:known chromosome:GRCm38:3:93282826:93283581:1 gene:ENSMUSG00000103777.1 transcript:ENSMUST00000178752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg description:filaggrin [Source:MGI Symbol;Acc:MGI:95553] GQHGSRYYYEQEHSEEESDSQHQHGHQHEQQRGHQHQHEHEQPESGHRQQQSSGRGHQGA HQEQGRDSARSRGSNQGHSSSRHQADSPRVSARSGSGGRGQSPDASGRSSNRRDRPRQPS PSQSSDSQVHSGVQVEGRRGQSSSANRRAGSSSGSGVQGASAGGLAADASRRSGARQGQA SAQGRAGSQGQAQGRIGSSADRQGRRGVSESQASDSEGHSDFSEGQAVGAHRQSEAGQRH EQRSSRGQHGSG >ENSMUSP00000057751.4 pep:known chromosome:GRCm38:10:127067317:127070261:-1 gene:ENSMUSG00000006736.8 transcript:ENSMUST00000060991.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan31 description:tetraspanin 31 [Source:MGI Symbol;Acc:MGI:1914375] MVCGGFACSRNALCALNVVYMLVGFLLIGVAAWGKGLGVVSSIHIIGGVIAVGVFLLLIA VAGLVGAANHHQVLLFFYMIILGLVFIFQFGISCSCLAINRNTQADVINASWSVLSNSTR HELERSFDCCGLFNLTTLRLQDDTSCSAVCKTKSSTCQMCGERFLKHSDKALKILGGVGL FFSFTEILGVWLAMRFRNQKDPRANPSAFL >ENSMUSP00000092992.4 pep:known chromosome:GRCm38:8:33619586:33641976:-1 gene:ENSMUSG00000052906.6 transcript:ENSMUST00000095349.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubxn8 description:UBX domain protein 8 [Source:MGI Symbol;Acc:MGI:1337129] MASRGVVGLFLLSALPLLCLELRRGIPSLGIKDLILLSGRIFLLLALLTLVISVTTSWFN SLKPSQGHLKEGEKENEKRRRLVRERQQEAQGEKASRYIENVLKPQQEMKLKKLEERFYQ MTGETWKLTAGHRLLEGDEDSEFENSSQASFETINGEAARRQNLPKFSTEISPAARPLLR KEVPDLPEEPSETAEEVVTVALRCPNGRVLRRRFFKSWNSQVLLDWMMKVGYHKSLYRLS NSFPRRALEVEGGSSLEDIGITVDTVLNVEEKEQSSQ >ENSMUSP00000079127.3 pep:known chromosome:GRCm38:14:11227552:11227992:1 gene:ENSMUSG00000072714.1 transcript:ENSMUST00000080237.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl21-ps4 description:ribosomal protein L21, pseudogene 4 [Source:MGI Symbol;Acc:MGI:3646825] MTNTKGKRRGTRYLFSRPFRKHGVFPLATYMGIYKKGDIVDIKGMGTVQKGMPHKCYHGK TGRVYNVTQHAMVIIVNKQVKGKVLAKRINVRSEHIKHSKSRDSFLKRVKENNQKRQPVP PREAHFVRTNGKEPELLEPIPYEFMA >ENSMUSP00000095868.3 pep:known chromosome:GRCm38:9:121981606:121996026:-1 gene:ENSMUSG00000066235.6 transcript:ENSMUST00000084743.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomgnt2 description:protein O-linked mannose beta 1,4-N-acetylglucosaminyltransferase 2 [Source:MGI Symbol;Acc:MGI:2143424] MHLSAVFNALLVSVLAAVLWKHVRLREHAATLEEELALGQQSLDPVLGLKIDYPKALQIL MEGGTHMVCTGRTHTDRICRFKWLCYSNEAEEFIFFHGNSSVMLPNLGSRRFQPALLDLS TVEDHNAQYFNFVELPAAALRFMPKPVFVPDVALIANRFNPDNLMHVFHDDLLPLFYTLR QFPGLAQEARLFFMEGWGEGAHFDLYKLLSPKQPLLRAQLKTLGRLLCFSHAFVGLSKVT TWYQYGFVQPQGPKANILVSGNEIRQFTRFMTERLNVSHAGAPLGEEYILVFSRTQNRLI LNEAELLLELAQEFQMKTVTVSLEDHTFADVVRLVSNASMLVSMHGAQLVTALFLPRGAT VVELFPYAVNPDHYTPYKTLATLPGMDLQYVAWRNMIRENTVTHPERPWDQGGITHLDRA EQARILQSREVPRHLCCRNPEWLFRIYQDTRVDIPSLMQSIRRVVKGRPGPRRQRWAISL YPGKVREARCQASVQGATEARLSVSWQIPWNLKYLKVREVKYEVWLQEQGENTYVPYMLT LQNHTFTENIKPFTTYLVWVRCIFNRSLLGPFADVLVCST >ENSMUSP00000034204.9 pep:known chromosome:GRCm38:8:94017770:94037021:-1 gene:ENSMUSG00000031754.9 transcript:ENSMUST00000034204.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt21 description:nudix (nucleoside diphosphate linked moiety X)-type motif 21 [Source:MGI Symbol;Acc:MGI:1915469] MSVVPPNRSQTGWPRGVNQFGNKYIQQTKPLTLERTINLYPLTNYTFGTKEPLYEKDSSV AARFQRMREEFDKIGMRRTVEGVLIVHEHRLPHVLLLQLGTTFFKLPGGELNPGEDEVEG LKRLMTEILGRQDGVLQDWVIDDCIGNWWRPNFEPPQYPYIPAHITKPKEHKKLFLVQLQ EKALFAVPKNYKLVAAPLFELYDNAPGYGPIISSLPQLLSRFNFIYN >ENSMUSP00000137563.1 pep:known chromosome:GRCm38:12:88027004:88027438:1 gene:ENSMUSG00000095717.1 transcript:ENSMUST00000178852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2056 description:predicted gene 2056 [Source:MGI Symbol;Acc:MGI:3780224] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGRLESMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNKADVILKYNPDEARSLKAYGELPEHAKINEMDT FGPGDDDEIVFDDIGDDDEDIDDI >ENSMUSP00000093124.4 pep:known chromosome:GRCm38:14:76236688:76237353:-1 gene:ENSMUSG00000095427.1 transcript:ENSMUST00000095471.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps2-ps6 description:ribosomal protein S2, pseudogene 6 [Source:MGI Symbol;Acc:MGI:3644876] MKIKSLEEIYLFSLPIKESEIIDFFLGASLKDEVLKIMPVQKQTRAGQRTRFKALVAIGD YNGHVGLGVKCSKEVATAIRGAIILAKLSIVPVRRGYWGNKIGKPHTVPCKVTGRCGSVL VRLIPVPRGTGIVSAPVPKKLLMMAGIDDCYTSARGCTATLGNFAKATFDAISKTYSYLT PDLWKETVFTKSPYQEFTDHLVKTHTRVSVQRTQAPAVATT >ENSMUSP00000127475.1 pep:known chromosome:GRCm38:14:47298314:47323091:1 gene:ENSMUSG00000021840.16 transcript:ENSMUST00000166743.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk1ip1l description:mitogen-activated protein kinase 1 interacting protein 1-like [Source:MGI Symbol;Acc:MGI:2444022] MSDEFSLADALPEQSSAKPPAVTNTKAGHSSQGWPGSSPWSNPSAPPAMPSGLPPSSAAP STVPFGPVPTGMYPSMPPTGPPPGPPGPFPPPGPSCPPPGVPYPAPAVPGPGPTGPYATP NMPMPELPRPYGAPTDPAAAGSLGPWGPMSSGPWAPGIAGQHPNMPYRSPGPYPTVPPPV SGAPPVPWGTVPPGAWGPAAPYPGPAGSYPTPAPHPALNNPYQVPSGPAGAPPMPGGPHS YH >ENSMUSP00000132875.1 pep:known chromosome:GRCm38:14:47308565:47323204:1 gene:ENSMUSG00000021840.16 transcript:ENSMUST00000164235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk1ip1l description:mitogen-activated protein kinase 1 interacting protein 1-like [Source:MGI Symbol;Acc:MGI:2444022] MSDEFSLADALPEQSSAKPPAVTNTKAGHSSQGWPGSSPWSNPSAPPAMPSGLPPSSAAP STVPFGPVPTGMYPSMPPTGPPPGPPGPFPPPGPSCPPPGVPYPAPAVPGPGPTGPYATP NMPMPELPRPYGAPTDPAAAGSLGPWGPMSSGPWAPGIAGQHPNMPYRSPGPYPTVPPPV SGAPPVPWGTVPPGAWGPAAPYPGPAGSYPTPAPHPALNNPYQVPSGPAGAPPMPGGPHS YH >ENSMUSP00000136050.1 pep:known chromosome:GRCm38:Y:90754513:90754821:-1 gene:ENSMUSG00000095366.1 transcript:ENSMUST00000177893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21860 description:predicted gene, 21860 [Source:MGI Symbol;Acc:MGI:5434024] MNKKPSAVCGAEAQAWFLPSIRSKAIPDSSRMFFRHRHRNCRHARISSTMPVLPATVVAL HFHAIPASPKCCSHFTLHRWSPCLLMVYVCSGVSATKDGLYL >ENSMUSP00000062410.8 pep:known chromosome:GRCm38:10:100572274:100589259:-1 gene:ENSMUSG00000046567.9 transcript:ENSMUST00000054471.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930430F08Rik description:RIKEN cDNA 4930430F08 gene [Source:MGI Symbol;Acc:MGI:1921197] MKRLGSVQRKMPCVFVTEVKAEPSAKREHQPFKVLATETLSEKALDADVYNAVATEKVDG TCCYVTNYKGQPYLWARLDRKPNKQADKRFKKFLHSKESAKEFHWNTEEDFKPVPECWIP AKEIEKQNGKPVPDENGHIPGWVPVEKGSKQYCWHSSVVNYEFGIALVLRHHPDDPGVLE ISAVPLSELLEQTLELIGTSINGNPYGLGSKKSPLHFLTPHGAFQVRNLPTLKHNDLLSW FEDCREGQIEGIVWHCGDGCLIKVHRHHLGLCWPLPDTYMNSKPVIINMNLNLNNYDCAF DNQSLFNQFSKIDKQKFERLKDIILDV >ENSMUSP00000137581.1 pep:novel scaffold:GRCm38:GL456221.1:46295:46666:-1 gene:ENSMUSG00000095450.1 transcript:ENSMUST00000179292.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC132444.4 MTQLPLALGFGVSRLWKAAQLRCVYLHPSICCYITQVTLGCSALRSIPACYSSLSPCASA SVTAEVNVNGSCDVYPSSYLLTYKGDVPSSLEVLQPVGYTQKYSMIQHRAGLLSGLSSFS TLT >ENSMUSP00000001513.6 pep:known chromosome:GRCm38:18:67390717:67402748:1 gene:ENSMUSG00000001473.6 transcript:ENSMUST00000001513.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubb6 description:tubulin, beta 6 class V [Source:MGI Symbol;Acc:MGI:1915201] MREIVHIQAGQCGNQIGTKFWEVISDEHGIDQAGGYVGDSALQLERISVYYNESSSKKYV PRAALVDLEPGTMDSVRSGPFGQLFRPDNFIFGQTGAGNNWAKGHYTEGAELVDSVLDVV RKECEHCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVV EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTSL RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTARGSQQYRALTVPELTQQMFDAKNMM AACDPRHGRYLTVATVFRGPMSMKEVDEQMLAIQNKNSSYFVEWIPNNVKVAVCDIPPRG LKMASTFIGNSTAIQELFKRISEQFSAMFRRKAFLHWFTGEGMDEMEFTEAESNMNDLVS EYQQYQDATVNDGEEAFEDEDEEEINE >ENSMUSP00000137358.1 pep:known chromosome:GRCm38:10:77726486:77726848:1 gene:ENSMUSG00000096421.1 transcript:ENSMUST00000179726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10100 description:predicted gene 10100 [Source:MGI Symbol;Acc:MGI:3642388] MCHTSCSSGCQPSCCVSSPCQPSCCVSSPCQPSCCVSSPCQSACCRPAICIPVRYQVACC VPVSCRPTVCMAPSCQSSVCVPVSCRPVCVTSSCQSSGGCQPSCPTLVCRPVTCSNPSCC >ENSMUSP00000089637.6 pep:known chromosome:GRCm38:17:70929058:70929546:1 gene:ENSMUSG00000066983.6 transcript:ENSMUST00000092011.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16519 description:predicted gene, 16519 [Source:MGI Symbol;Acc:MGI:4360871] MPPKFHPNEIKVMYLRCTGGEVGATSALAPKIRPLDLSPKKVGDDIAKATGDWKGLRITV KLSIQNRQTQIEVVPSALIIKALKEPPRDRKKQNIKHSGNFTFDEIVNITRQMRHRSLAR ELSGTIKEILGTTQSVGCNVDGRHPHDVIDDINSGAVECPAS >ENSMUSP00000051631.1 pep:known chromosome:GRCm38:9:38367548:38368543:1 gene:ENSMUSG00000049864.1 transcript:ENSMUST00000052085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr250 description:olfactory receptor 250 [Source:MGI Symbol;Acc:MGI:3030084] MLLQMQHMKQMIMENDSSVSEFILMGLTYQPELWWPLFVLFLVNYTATVMGNLSLMTLIC LNSHLHTPMYFFILNLSFIDFCYSFVFTPKMLMGFVSEHNTISFTGCMTQLFFFCLFVNS ECYVLTAMAYDRYVAICRPLLYTVVMSPRACSLLMLAAHLMGVSSAVVHTGCIIQLRFCG SKVINHYMCDTFPLLELSCGSSHVNELVSSVSVAVVVVISSLIIVSSYALILVNVIHLSS SKGWSKAVSTCSSHIITVALFYGFGLLAHIKPSSAESVVQRKFFSVVYTFVLPLLNPLIY SLRNKDVKLALKRTLKTVTIQGKCLCCSHKS >ENSMUSP00000069583.3 pep:known chromosome:GRCm38:6:52177498:52180851:1 gene:ENSMUSG00000056468.3 transcript:ENSMUST00000070587.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730596B20Rik description:RIKEN cDNA 5730596B20 gene [Source:MGI Symbol;Acc:MGI:1924830] MLSLLAKDYSYRIPSNLGHIGQFFNSPGWLRVYRKHPTQKHWWELKLSPRPPSANHTDQT SGQLACSPAPQASLSPSLPGSYCSGNNGMPSRLDSLGPALGTLPPPPPNPALNKVAGSII SKMAVCLHILLIDFPLTFAQAAQVSPNPSPCSANTGQMERDPLSQLLAHTFVIRSGPSSF LLATSPNQSFQPPLLPERTLPPSGA >ENSMUSP00000034064.3 pep:known chromosome:GRCm38:8:45241170:45262031:-1 gene:ENSMUSG00000031645.3 transcript:ENSMUST00000034064.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F11 description:coagulation factor XI [Source:MGI Symbol;Acc:MGI:99481] MTSLHQVLYFIFFASVSSECVTKVFKDISFQGGDLSTVFTPSATYCRLVCTHHPRCLLFT FMAESSSDDPTKWFACILKDSVTEILPMVNMTGAISGYSFKQCPQQLSTCSKDVYVNLDM KGMNYNSSVVKNARECQERCTDDAHCQFFTYATGYFPSVDHRKMCLLKYTRTGTPTTITK LNGVVSGFSLKSCGLSNLACIRDIFPNTVLADLNIDSVVAPDAFVCRRICTHHPTCLFFT FFSQAWPKESQRHLCLLKTSESGLPSTRITKSHALSGFSLQHCRHSVPVFCHPSFYNDTD FLGEELDIVDVKGQETCQKTCTNNARCQFFTYYPSHRLCNERNRRGRCYLKLSSNGSPTR ILHGRGGISGYSLRLCKMDNVCTTKINPRVVGGAASVHGEWPWQVTLHISQGHLCGGSII GNQWILTAAHCFSGIETPKKLRVYGGIVNQSEINEGTAFFRVQEMIIHDQYTTAESGYDI ALLKLESAMNYTDFQRPICLPSKGDRNAVHTECWVTGWGYTALRGEVQSTLQKAKVPLVS NEECQTRYRRHKITNKMICAGYKEGGKDTCKGDSGGPLSCKYNGVWHLVGITSWGEGCGQ KERPGVYTNVAKYVDWILEKTQTV >ENSMUSP00000079907.4 pep:known chromosome:GRCm38:13:53525703:53526059:1 gene:ENSMUSG00000063166.4 transcript:ENSMUST00000081132.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5449 description:predicted pseudogene 5449 [Source:MGI Symbol;Acc:MGI:3643792] MSLLNKPKSEMTPEELQKWEEEEFNTGPLSVLTQSVKNNTQVLINCRNKKKLLGRVKAFD RHCNMVLENVKEMWTEVPKSGKGKKKSKPVNKNCYISKMFLHGDSFIVVLRNPLIAGK >ENSMUSP00000085763.6 pep:known chromosome:GRCm38:14:120275669:120431697:1 gene:ENSMUSG00000022139.16 transcript:ENSMUST00000088419.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl2 description:muscleblind-like 2 [Source:MGI Symbol;Acc:MGI:2145597] MALNVAPVRDTKWLTLEVCRQYQRGTCSRSDEECKFAHPPKSCQVENGRVIACFDSLKGR CSRENCKYLHPPTHLKTQLEINGRNNLIQQKTAAAMLAQQMQFMFPGTPLHPVPTFPVGP TIGTNAAISFAPYLAPVTPGVGLVPTEVLPTTPVIVPGSPPVTVPGSTATQKLLRTDKLE VCREFQRGNCARGETDCRFAHPADSTMIDTNDNTVTVCMDYIKGRCMREKCKYFHPPAHL QAKIKAAQHQANQAAVAAQAAAAAATVMTQSTAKALKRPLEATVDLAFPPGALHPLPKRQ ALEKSNGASTVFNPSVLHYQQALTSAQLQQHTAFIPTVPMMHSATSATVSAATTPATSVP FAATATANQIILK >ENSMUSP00000126186.1 pep:known chromosome:GRCm38:14:120275669:120431697:1 gene:ENSMUSG00000022139.16 transcript:ENSMUST00000167459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl2 description:muscleblind-like 2 [Source:MGI Symbol;Acc:MGI:2145597] MALNVAPVRDTKWLTLEVCRQYQRGTCSRSDEECKFAHPPKSCQVENGRVIACFDSLKGR CSRENCKYLHPPTHLKTQLEINGRNNLIQQKTAAAMLAQQMQFMFPGTPLHPVPTFPVGP TIGTNAAISFAPYLAPVTPGVGLVPTEVLPTTPVIVPGSPPVTVPGSTATQKLLRTDKLE VCREFQRGNCARGETDCRFAHPADSTMIDTNDNTVTVCMDYIKGRCMREKCKYFHPPAHL QAKIKAAQHQANQAAVAAQAAAAAATVMAFPPGALHPLPKRQALEKSNGASTVFNPSVLH YQQALTSAQLQQHTAFIPTVPMMHSATSATVSAATTPATSVPFAATATANQIILK >ENSMUSP00000022954.6 pep:known chromosome:GRCm38:15:68928420:69093512:1 gene:ENSMUSG00000022332.7 transcript:ENSMUST00000022954.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdrbs3 description:KH domain containing, RNA binding, signal transduction associated 3 [Source:MGI Symbol;Acc:MGI:1313312] MEEKYLPELMAEKDSLDPSFTHALRLVNREIEKFQKGEGKEEEKYIDVVINKNMKLGQKV LIPVKQFPKFNFVGKLLGPRGNSLKRLQEETLTKMSILGKGSMRDKAKEEELRKSGEAKY FHLNDDLHVLIEVFAPPAEAYARMGHALEEIKKFLIPDYNDEIRQAQLQELTYLNGGSEN ADVPVVRGKSTLRTRGVTTPAITRGRGGVTARPVAVGVPRGTPTPRGVLSTRGPVSRGRG LLTPRARGVPPTGYRPPPPPPTQETYGEYDYDDGYGTAYDEQSYDSYDNSYSTPAQSAAD YYDYGHGLSEDAYDSYGQEEWTNSRHKAPSARTAKGVYRDQPYGRY >ENSMUSP00000131030.1 pep:known chromosome:GRCm38:12:82170016:82451782:1 gene:ENSMUSG00000042700.15 transcript:ENSMUST00000166429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1l1 description:signal-induced proliferation-associated 1 like 1 [Source:MGI Symbol;Acc:MGI:2443679] MTSLKRSQTERPVTADRASVVSTDGAPKVHTDDFYMRRFRSQNGSLGSSVMAAVGPPRSE GPHHITSTPGVPKMGVRARIADWPPRKENVKESSRSSQEIETSSCLESLSSKGSPVSQGS SVSLNSNDSAMLKSIQNTLKNKTGPAESMDSRFLMPEAYPSSPRKALRRIRQRSNSDITI SELDVDSFDECISPTYKSGPSLHREYGSTSSIDKQGTSGDSFFDLLKGYKDDRSDRGPTP TKLSDFLITGGGKGSGFSLDVIDGPISQRENLRLFKEREKPLKRRSKSETGDSSIFRKLR NAKGEELGKSSDLEDNRSEDSVRPWTCPKCFAHYDVQSILFDLNEAIMNRHNVIKRRNTT TGASAAAVASLVSGPLSHSASFSSPMGSTEDLNSKGSLGMDQGDDKSNELVMSCPYFRNE IGGEGERKISLSKSNSGSFSGCESTSFESALSSHCTNAGVAVLEVPKESLMLHLDRVKRY TVEHVDLGAYYYRKFFYQKEHWNYFGADENLGPVAVSIRREKPEDMKENGSPYNYRIIFR TSELMTLRGSVLEDAIPSTAKHSTARGLPLKEVLEHVIPELNVQCLRLAFNTPKVTEQLM KLDEQGLNYQQKVGIMYCKAGQSTEEEMYNNESAGPAFEEFLQLLGERVRLKGFEKYRAQ LDTKTDSTGTHSLYTTYKDYEIMFHVSTMLPYTPNNKQQLLRKRHIGNDIVTIVFQEPGA QPFSPKNIRSHFQHVFVIVRAHNPCTESVCYSVAVTRSRDVPSFGPPIPKGVTFPKSNVF RDFLLAKVINAENAAHKSEKFRAMATRTRQEYLKDLAEKNVTNTPIDPSGKFPFISLASK KKEKSKPYPGAELSSMGAIVWAVRAKDYNKAMEFDCLLGISSEFIVLIEQETKSVAFNCS CRDVIGWTSSDTSLKIFYERGECVSVESFISGEDIKEIVRRLQFVSKGCESVEMTLRRNG LGQLGFHVNYEGIVADVEPYGYAWQAGLRQGSRLVEICKVAVATLSHEQMIDLLRTSVTV KVVIIPPHDDCTPRRSCSETYRMPVMEYQMNEGISYEFKFPFRNNNKWQRNASKGAHSPQ VPSQLQSPMTSRLNAGKGDGKMPPPERAANIPRSISSDGRPLERRLSPGSDIYVTVSSMA LARSQCRNSPSNLSSSSETGSGGGTYRQKSMPEGFGVSRRSPASIDRQNTQSDISGSGKS TPSWQRSEDSLADQMEPTCHLPAVSKVLPAFRESPSGRLMRQDPVVHLSPNKQGHSDSHY SSHSSSNTLSSNASSAHSDEKWYDGDRTESDLNSYNYLQGTSADSGIDTASYGPSHGSTA SLGASTSSPRSGPGKEKVAPLWHSSSEVLSLADRTLETEGHGMDRKAESSLSLDIHSKSQ GGSSPLSRENSTFSINDAASHTSTMSSRHSASPVVFSSARSSPKEELHPTASSQLAPSFS SSSSSSSGPRTFYPRQGATSKYLIGWKKPEGTINSVGFMDTRKRHQSDGNEIAHTRLRAS TRDLQASPKPTSKSTIEEDLKKLIDLESPTPESQKNFKFHALSSPQSPFPTTPTSRRALH RTLSDESIYSSQREHFFTSRASLLDQALPNDVLFSSTYPSLPKSLPLRRPSYTLGMKSLH GEFSASDSSLTDIQETRRQPIPDPGLMPLPDAASDLDWSNLVDAAKAYEVQRASFFAASD ENHRPLSAASNSDQLEEQALVQMKSYSSKDPSPTLASKVDQLEGMLKMLREDLKKVNALR KRRQGPAAGGS >ENSMUSP00000061014.6 pep:known chromosome:GRCm38:12:82311349:82451782:1 gene:ENSMUSG00000042700.15 transcript:ENSMUST00000053969.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1l1 description:signal-induced proliferation-associated 1 like 1 [Source:MGI Symbol;Acc:MGI:2443679] MTSLKRSQTERPVTADRASVVSTDGAPKVHTDDFYMRRFRSQNGSLGSSVMAAVGPPRSE GPHHITSTPGVPKMGVRARIADWPPRKENVKESSRSSQEIETSSCLESLSSKGSPVSQGS SVSLNSNDSAMLKSIQNTLKNKTGPAESMDSRFLMPEAYPSSPRKALRRIRQRSNSDITI SELDVDSFDECISPTYKSGPSLHREYGSTSSIDKQGTSGDSFFDLLKGYKDDRSDRGPTP TKLSDFLITGGGKGSGFSLDVIDGPISQRENLRLFKEREKPLKRRSKSETGDSSIFRKLR NAKGEELGKSSDLEDNRSEDSVRPWTCPKCFAHYDVQSILFDLNEAIMNRHNVIKRRNTT TGASAAAVASLVSGPLSHSASFSSPMGSTEDLNSKGSLGMDQGDDKSNELVMSCPYFRNE IGGEGERKISLSKSNSGSFSGCESTSFESALSSHCTNAGVAVLEVPKESLMLHLDRVKRY TVEHVDLGAYYYRKFFYQKEHWNYFGADENLGPVAVSIRREKPEDMKENGSPYNYRIIFR TSELMTLRGSVLEDAIPSTAKHSTARGLPLKEVLEHVIPELNVQCLRLAFNTPKVTEQLM KLDEQGLNYQQKVGIMYCKAGQSTEEEMYNNESAGPAFEEFLQLLGERVRLKGFEKYRAQ LDTKTDSTGTHSLYTTYKDYEIMFHVSTMLPYTPNNKQQLLRKRHIGNDIVTIVFQEPGA QPFSPKNIRSHFQHVFVIVRAHNPCTESVCYSVAVTRSRDVPSFGPPIPKGVTFPKSNVF RDFLLAKVINAENAAHKSEKFRAMATRTRQEYLKDLAEKNVTNTPIDPSGKFPFISLASK KKEKSKPYPGAELSSMGAIVWAVRAKDYNKAMEFDCLLGISSEFIVLIEQETKSVAFNCS CRDVIGWTSSDTSLKIFYERGECVSVESFISGEDIKEIVRRLQFVSKGCESVEMTLRRNG LGQLGFHVNYEGIVADVEPYGYAWQAGLRQGSRLVEICKVAVATLSHEQMIDLLRTSVTV KVVIIPPHDDCTPRRSCSETYRMPVMEYQMNEGISYEFKFPFRNNNKWQRNASKGAHSPQ VPSQLQSPMTSRLNAGKGDGKMPPPERAANIPRSISSDGRPLERRLSPGSDIYVTVSSMA LARSQCRNSPSNLSSSSETGSGGGTYRQKSMPEGFGVSRRSPASIDRQNTQSDISGSGKS TPSWQRSEDSLADQMEPTCHLPAVSKVLPAFRESPSGRLMRQDPVVHLSPNKQGHSDSHY SSHSSSNTLSSNASSAHSDEKWYDGDRTESDLNSYNYLQGTSADSGIDTASYGPSHGSTA SLGASTSSPRSGPGKEKVAPLWHSSSEVLSLADRTLETEGHGMDRKAESSLSLDIHSKSQ GGSSPLSRENSTFSINDAASHTSTMSSRHSASPVVFSSARSSPKEELHPTASSQLAPSFS SSSSSSSGPRTFYPRQGATSKYLIGWKKPEGTINSVGFMDTRKRHQSDGNEIAHTRLRAS TRDLQASPKPTSKSTIEEDLKKLIDLESPTPESQKNFKFHALSSPQSPFPTTPTSRRALH RTLSDESIYSSQREHFFTSRASLLDQALPNDVLFSSTYPSLPKSLPLRRPSYTLGMKSLH GEFSASDSSLTDIQETRRQPIPDPGLMPLPDAASDLDWSNLVDAAKAYEVQRASFFAASD ENHRPLSAASNSDQLEEQALVQMKSYSSKDPSPTLASKVDQLEGMLKMLREDLKKEKEDK AQLQAEVEHLREDNLRLQEESQNASDKLKKFTEWVFNTIDMS >ENSMUSP00000129546.1 pep:known chromosome:GRCm38:10:117750624:117792698:-1 gene:ENSMUSG00000052798.12 transcript:ENSMUST00000167943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup107 description:nucleoporin 107 [Source:MGI Symbol;Acc:MGI:2143854] MDRSGFGGMSSPVIRDAEVTRTARKHSAHKRVLTNQEDNFGTATPRSQIIPRTPSSFRQP FVTPSSRSLLRHPDISYILGTEGRSPRHTQSSGYLGNLSMVTNLDDSNWAAAFSSQRLGL YTNTEHHSMTEDVNLSTVMLREDDPGEAASMSMFSDFLHSFLKHSSTTVFDLVEEYENIC GSQVNILSKIVSRATPGLQKFSKTASMLWLLQQEMVTWRLLASLYRDRIQSSLEEENMFA IAGINASEKMVVETLFQRDSLVRQSQLVVDWLESIAKDEIGEFSDNIEFYAKSVYWENTL HSLKQRQLLSHMGSTRPLVTELDPDAPIRQKLPLDDLDREDEVRLLKYLFTLIRAGMTEE AQRLCKRCGQAWRAATLEGWKLYHDPNVNGGTELEPVEGNPYRRIWKISCWRMAEDELFN KYERAIYAALSGNLKQLLPVCDTWEDTVWAYFRVMVDSLVEQEIRTSVMTQDDSEELPRE YMEANWTLEKVFEELQATDKKRVLEENQEHYHIVQKFLILGDVDGLMDEFSKWLSKSGSS LPGHLLRFMTHLILFLRTLGLQTKEEVSIEVLKTYIQLLISEKHTSLIAFYTCHLPQDLA VAQYALFLEGVTEFEQRHQCLELAKEADLDVATITKTVVENICKKDNGEFSHHDLAPSLD TGTTEEDRLKIDVIDWLVFDPAQRAEALRQGNAIMRKFLALKKHEAAKEVFVKIPQDSIA EIYNQWEEQGMESPLPAEDDNAIREHLCIRAYLEAHETFNEWFKHMNSAPQKPTLLSQAT FTEKVAYEHREKKYEMDHNIWKGHLDALTADVKEKMYNVLLFVDGGWMVDVREDAEDDPE RTHQMVLLRKLCLPMLCFLLHTILHSTGQYQECLQLADMVSSERHKLYLVFSKEELRKLL QKLRESSLMLLDQGLDPLGYEIQS >ENSMUSP00000063590.5 pep:known chromosome:GRCm38:10:117750643:117792705:-1 gene:ENSMUSG00000052798.12 transcript:ENSMUST00000064848.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup107 description:nucleoporin 107 [Source:MGI Symbol;Acc:MGI:2143854] MDRSGFGGMSSPVIRDAEVTRTARKHSAHKRVLIQANQEDNFGTATPRSQIIPRTPSSFR QPFVTPSSRSLLRHPDISYILGTEGRSPRHTQSSGYLGNLSMVTNLDDSNWAAAFSSQRL GLYTNTEHHSMTEDVNLSTVMLREDDPGEAASMSMFSDFLHSFLKHSSTTVFDLVEEYEN ICGSQVNILSKIVSRATPGLQKFSKTASMLWLLQQEMVTWRLLASLYRDRIQSSLEEENM FAIAGINASEKMVVETLFQRDSLVRQSQLVVDWLESIAKDEIGEFSDNIEFYAKSVYWEN TLHSLKQRQLLSHMGSTRPLVTELDPDAPIRQKLPLDDLDREDEVRLLKYLFTLIRAGMT EEAQRLCKRCGQAWRAATLEGWKLYHDPNVNGGTELEPVEGNPYRRIWKISCWRMAEDEL FNKYERAIYAALSGNLKQLLPVCDTWEDTVWAYFRVMVDSLVEQEIRTSVMTQDDSEELP REYMEANWTLEKVFEELQATDKKRVLEENQEHYHIVQKFLILGDVDGLMDEFSKWLSKSG SSLPGHLLRFMTHLILFLRTLGLQTKEEVSIEVLKTYIQLLISEKHTSLIAFYTCHLPQD LAVAQYALFLEGVTEFEQRHQCLELAKEADLDVATITKTVVENICKKDNGEFSHHDLAPS LDTGTTEEDRLKIDVIDWLVFDPAQRAEALRQGNAIMRKFLALKKHEAAKEVFVKIPQDS IAEIYNQWEEQGMESPLPAEDDNAIREHLCIRAYLEAHETFNEWFKHMNSAPQKPTLLSQ ATFTEKVAYEHREKKYEMDHNIWKGHLDALTADVKEKMYNVLLFVDGGWMVDVREDAEDD PERTHQMVLLRKLCLPMLCFLLHTILHSTGQYQECLQLADMVSSERHKLYLVFSKEELRK LLQKLRESSLMLLDQGLDPLGYEIQS >ENSMUSP00000015576.4 pep:known chromosome:GRCm38:14:56042123:56044634:1 gene:ENSMUSG00000022226.5 transcript:ENSMUST00000015576.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcpt2 description:mast cell protease 2 [Source:MGI Symbol;Acc:MGI:96938] MQALLFLMALLLPSGAGAEEIIGGVEAKPHSRPYMAYLKFTTKNGSKERCGGFLIAPQFV MTAAHCNGSEISVILGAHNINKNEPTQQIIKTEKTFVHPKFQYLSGFYDIMLLKLQKKAE LNSDVDVISLPSSSDFIKPGKMCWTAGWGKTGKNNPLSVTLREVELRIMDQEACKDHSDY DYQLQVCAGSPTTSKSIGQGDSGGPLVCDSVAHGIASSYEAKAPAVFTRISYYLPWIYKV LKSK >ENSMUSP00000093379.3 pep:known chromosome:GRCm38:17:54273594:54299034:-1 gene:ENSMUSG00000023945.6 transcript:ENSMUST00000095712.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a7 description:solute carrier family 5 (choline transporter), member 7 [Source:MGI Symbol;Acc:MGI:1927126] MSFHVEGLVAIILFYLLIFLVGIWAAWKTKNSGNPEERSEAIIVGGRDIGLLVGGFTMTA TWVGGGYINGTAEAVYGPGCGLAWAQAPIGYSLSLILGGLFFAKPMRSKGYVTMLDPFQQ IYGKRMGGLLFIPALMGEMFWAAAIFSALGATISVIIDVDVNISVIVSALIAILYTLVGG LYSVAYTDVVQLFCIFIGLWISVPFALSHPAVTDIGFTAVHAKYQSPWLGTIESVEVYTW LDNFLLLMLGGIPWQAYFQRVLSSSSATYAQVLSFLAAFGCLVMALPAICIGAIGASTDW NQTAYGYPDPKTKEEADMILPIVLQYLCPVYISFFGLGAVSAAVMSSADSSILSASSMFA RNIYQLSFRQNASDKEIVWVMRITVLVFGASATAMALLTKTVYGLWYLSSDLVYIIIFPQ LLCVLFIKGTNTYGAVAGYIFGLFLRITGGEPYLYLQPLIFYPGYYSDKNGIYNQRFPFK TLSMVTSFFTNICVSYLAKYLFESGTLPPKLDVFDAVVARHSEENMDKTILVRNENIKLN ELAPVKPRQSLTLSSTFTNKEALLDVDSSPEGSGTEDNLQ >ENSMUSP00000055455.5 pep:known chromosome:GRCm38:16:58670208:58680391:1 gene:ENSMUSG00000022742.5 transcript:ENSMUST00000060077.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpox description:coproporphyrinogen oxidase [Source:MGI Symbol;Acc:MGI:104841] MALRLGRLGSDPWWRAVLGDYAQLRAASPRCASARVCQLPGTAGPQPRRGLGYGPWARGG SGLGTRLAATLAGLAGLAAAAFGHVQRAEMVPKSSGARSPSPGRREEDGDELARRCSTFM SSPVTELRELRRRPEDMKTKMELMIMETQAQVCRALAQVDGVADFTVDRWERKEGGGGIT CVLQDGRVFEKAGVSISVVHGNLSEEAANQMRGRGKTLKTKDSKLPFTAMGVSSVIHPKN PYAPTMHFNYRYFEVEEADGNTHWWFGGGCDLTPTYLNQEDAVHFHRTLKEACDQHGPDI YPKFKKWCDDYFFIVHRGERRGIGGIFFDDLDSPSKEEAFRFVKTCAEAVVPSYVPIVKK HCDDSYTPRDKLWQQLRRGRYVEFNLLYDRGTKFGLFTPGSRIESILMSLPLTARWEYMH SPPENSKEAEILEVLRHPKDWVH >ENSMUSP00000093626.2 pep:known chromosome:GRCm38:16:88646824:88647796:-1 gene:ENSMUSG00000071471.2 transcript:ENSMUST00000095934.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap26-1 description:keratin associated protein 26-1 [Source:MGI Symbol;Acc:MGI:1916783] MASRNNCSSSGNCSSGSLRNTCHIPASSSIALCSTNMGCGEVFCVPSSCQDHTWFMDNCP ETFAEPLSGQPPSREASGFENSCCSSTYCVPRHCQGSGYIPASSFISGSCLPASYRPVSY VSSSCRPVSPFMNNCRPVSCVSGGYRPLPCGSNSCRPLGIVTYGCRPSGCVTYGPQTIHI VSNSLRPLQPVCGGCQPSIPVFGTCRPSCSAQGGQ >ENSMUSP00000042224.4 pep:known chromosome:GRCm38:12:83546941:83597147:-1 gene:ENSMUSG00000042628.7 transcript:ENSMUST00000048319.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve1 description:zinc finger, FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:3026685] MSAQTSLAEKGLNPGLMCQESYACSGTDEAIFECDECCSLQCLRCEEELHRQERLRNHER IRLKAGHVPYCDPCKGPNGHSPGVRQRAAVRCQTCKINLCLECQKRTHSGGNKRRHPITV YLVSKVQESLEGEEMDEETKRKKMTERVVSFLLVDENEEIQVTNEEDFIRKLDCKPDQHL KVVSIFGNTGDGKSHTLNHTFFYGREVFKTSPAQESCTVGVWAAYDPVHKVAVIDTEGLL GATVNLSQRTRLLLKVLAISDLVIYRTHADRLHNDLFKFLGDASEAYLKHFTKELKATTA RCGLDVPLSTLGPAVIIFHETVHTQLLGSDHPSEAPEKLIQDRFRKLGRFPEAFSSIHYK GTRTYNPPTDFSGLRRALEQLLENNTTRSPRHPGVIFKALKALSDRFSGEIPDDQMAHSS FFPDEYFTCSSLCLSCGAGCKNSMNHGKEGVPHEAKSRCRYSHQYDNRVYTCKACYERGK EVSVVPKTSASTDSPWMGLAKYAWSGYVIECPNCGVVYRSRQYWFGNQDPVDTVVRTEIV HVWPGTDAFLKDNNNAAQRLLDGMNFMAQSVSELSLGPTKAVTSWLTDQIAPAYWRPNSQ ILSCNQCATSFKDNDTKHHCRACGEGFCDSCSSKTRPVPERGWGPAPVRVCDSCYDARNV QLDVTEAQADDEGGTLIARKVGEAVQNTLGAVVTAIDIPLGLVKDAARPAYWVPDHEILH CHNCRKEFSVKLSKHHCRACGQGFCDECSHDCRAVPSRGWDHPVRVCFNCNKKPGDL >ENSMUSP00000020383.4 pep:known chromosome:GRCm38:10:80519585:80539124:-1 gene:ENSMUSG00000003341.8 transcript:ENSMUST00000020383.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b3 description:ATPase, class I, type 8B, member 3 [Source:MGI Symbol;Acc:MGI:1914581] MDGVHLGENLEDKDTEFTWEVKANDRTYHKQFKKKGFLCWRQKKYKSNAIHTAKYNIFSF LPLNLYEQFHRMSNLYFLFIIILQGIPEISTLPWFTLFAPLVCLFVIRATRDLVDDIGRH RSDKIINNRPCQILRGKSFLWKKWKNLCVGDVVCLSKDSIVPADLLLLASTEPSSLCYVE TADIDGETNLKFRQALTVTHHELTSPKKMASFQGTVTCEEPNSRMHHFVGSLEWNSRKYP LDIGNLLLRGCKIRNTDTCYGLVIYAGLDTKIMKNCGKIHLKRTKLDLMMNKLVALIFLS LVIASLLLTVGFTFMVKQFKAKHYYMSPTHGRSDAMESFFIFWGFLILLSVMVPMAMFII AEFIYLGNSIFINWDLNMYYEPLDMPAKARSTSLNDQLGQVQYIFSDKTGTLTQNIMTFK KCCINGCIYDSDDEHGTLRKRNPYAWNPFADGKLQFYNKELESLVQGRQDRAVQEFWRLL AICHTVMVQEKDNQLLYQAASPDEEALVTAARNFGYVFLSRTQDTITLVELGEERVYQVL AMMDFNSVRKRMSVLVRNPEGSICLYTKGADTVILERLRSKGVMEATTEEVLAAFAEQTL RTLCLAYKDVEEDAYKEWEPEHQEAALLLQNRAQALHQVYNKMEQNLQLLGATAIEDKLQ DGVPETIKCLKKGNIKIWVLTGDKPETAVNIGFACQLLSENMIILEDKDINQVLERYWED NVHQKAFKMMTHHNMALVINGEFLDQLLLSLRKEPRALVQNAVVDEVAQEPVVSALDFLQ KRRISQMWRNAGPSLGTSHSADSKIRESPEVQRERAFVDLASKCQAVICCRVTPKQKALV VALVKKYQQVVTLAIGDGANDVNMIKTADIGVGLAGQEGMQAVQNSDYVLAQFCYLQRLL LVHGRWSYMRVCKFLRYFFYKTVASMMAQIWFSLVNGFSAQPLYEGWFLALFNLLYSTLP VLYIGLFEQDVTAEKSLKMPELYMAGQKGELFNYSIFMQAITHGTITSMINFFVTVMVSS DMSKAGSSHDYQSLGVLVAISSLLSVTLEVMLVVKYWTLLFVGAVVLSLSSYVLMTSLTQ SLWMYRISPKTFPFLFADYNVLFEPCSLLLIVLNVALNVLPMLALRTIHRTVLKQRPKGE EEAPSEEVAVEPAMRHLRRGIPARRSSYAFSHREGYANLITQGTILRRQTHVDDSDGGTV CESLNPPEEDIPLQNKDSVFNPRKISILAKKRRHFFGKGSQEEVHPNTSSQTMEKQPTIH RDSETQKLPTTTSATSGKLLPSASEDEAFYSVASQYTLASQPKHTDVHSSFWKSPLWRDS ASSSPSQLEVPRKQS >ENSMUSP00000000500.6 pep:known chromosome:GRCm38:15:79995900:80014808:-1 gene:ENSMUSG00000000489.6 transcript:ENSMUST00000000500.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfb description:platelet derived growth factor, B polypeptide [Source:MGI Symbol;Acc:MGI:97528] MNRCWALFLPLCCYLRLVSAEGDPIPEELYEMLSDHSIRSFDDLQRLLHRDSVDEDGAEL DLNMTRAHSGVELESSSRGRRSLGSLAAAEPAVIAECKTRTEVFQISRNLIDRTNANFLV WPPCVEVQRCSGCCNNRNVQCRASQVQMRPVQVRKIEIVRKKPIFKKATVTLEDHLACKC ETVVTPRPVTRSPGTSREQRAKTPQARVTIRTVRIRRPPKGKHRKFKHTHDKAALKETLG A >ENSMUSP00000022592.7 pep:known chromosome:GRCm38:14:78277445:78308043:-1 gene:ENSMUSG00000022015.8 transcript:ENSMUST00000022592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf11 description:tumor necrosis factor (ligand) superfamily, member 11 [Source:MGI Symbol;Acc:MGI:1100089] MRRASRDYGKYLRSSEEMGSGPGVPHEGPLHPAPSAPAPAPPPAASRSMFLALLGLGLGQ VVCSIALFLYFRAQMDPNRISEDSTHCFYRILRLHENADLQDSTLESEDTLPDSCRRMKQ AFQGAVQKELQHIVGPQRFSGAPAMMEGSWLDVAQRGKPEAQPFAHLTINAASIPSGSHK VTLSSWYHDRGWAKISNMTLSNGKLRVNQDGFYYLYANICFRHHETSGSVPTDYLQLMVY VVKTSIKIPSSHNLMKGGSTKNWSGNSEFHFYSINVGGFFKLRAGEEISIQVSNPSLLDP DQDATYFGAFKVQDID >ENSMUSP00000105820.2 pep:known chromosome:GRCm38:13:40859768:40960891:1 gene:ENSMUSG00000021360.15 transcript:ENSMUST00000110191.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcnt2 description:glucosaminyl (N-acetyl) transferase 2, I-branching enzyme [Source:MGI Symbol;Acc:MGI:1100870] MGSWKYSLFSLSLIAALMLMFMYDRKLWKNYHFPRAVSNISVLAEVCLQMFSGESFYTAD SARKTTLENFTCPEYKIQNHYITETLSEEEARFPLAFTLTIHKDYDTFERLFRAIYMPQN VYCVHVDSKATDTFKEEVRQLLSCFPNAFLASRMEPVVYGGFSRLQADLNCMKDLVASKI PWKYVLNTCGQDFPLKTNKEIVQYLKRFIGKNLTPGVLPPAHAVGRTKYVHQELLDHKNP YVHNTARLKAPPPHNLTIYFGTAYVALTREFANFVLKDQRSVDLISWSKDTYSPDEHFWV TLNRIPGVPGSMPPNASWTGNLRAVKWMDMEAKHGGCHGHYVHGICIYGNGDLQWLINSQ SLFANKFELNTYPLTVECLELRLRERTLNQSEIAIQPSWYF >ENSMUSP00000070942.7 pep:known chromosome:GRCm38:13:40886758:40960891:1 gene:ENSMUSG00000021360.15 transcript:ENSMUST00000069958.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcnt2 description:glucosaminyl (N-acetyl) transferase 2, I-branching enzyme [Source:MGI Symbol;Acc:MGI:1100870] MPPSVRYFFIVSVTTVIVFIVLYVLSFGGDQSYQKLNISDSVMLAQVCSSFIDGKSRFLW RNKLMIHEKPSCTEYVTQSHYITAPLSQEEVDFPLAYVMVIHHNFDTFARLFRAIFMPQN IYCVHVDEKATAEFKGAVEQLVSCFPNAFLASKMEPVVYGGISRLQADLNCIKDLSTSEV PWKYAINTCGQDFPLKTNKEIVQYLKGLKGKNLTPGVLPPAHAIGRTRYVHREHLSKELS YVIRTTALKPPPPHNLTIYFGSAYVALSREFANFVLRDPRAVDLLHWSKDTFSPDEHFWV TLNRIPGVPGSMPPNASWTGNLRAVKWMDMEAKHGGCHGHYVHGICIYGNGDLQWLINSQ SLFANKFELNTYPLTVECLELRLRERTLNQSEIAIQPSWYF >ENSMUSP00000066467.6 pep:known chromosome:GRCm38:13:40917634:40960891:1 gene:ENSMUSG00000021360.15 transcript:ENSMUST00000067778.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcnt2 description:glucosaminyl (N-acetyl) transferase 2, I-branching enzyme [Source:MGI Symbol;Acc:MGI:1100870] MSLRGKVFAVSALSVVIFVVFYHSQLSLPNLYQQLNSSSERTSVTICDYGLQNHTFFTTG DTSPHPLERLSCPQYRIQSHYITSPLSEEEAAFPLAYIMVIHKDFDTFERLFRAIYMPQN VYCVHVDSKATDTFKEAVRQLLSCFPNAFLASKVEQVVYGGFSRLQADLNCMKDLVASKV PWKYVLNTCGQDFPLKTNKEIINHLKRFKGKNITPGVLPPAYIVVRTKYVHQERKGKDGY FMHKTNILKTPPPHQLIIYFGTAYVALTRDFVNFILNDERAIALLEWSKDTYSPDEHFWV TLNRIPGVPGSMPPNASWTGNLRAVKWMDMEAKHGGCHGHYVHGICIYGNGDLQWLINSQ SLFANKFELNTYPLTVECLELRLRERTLNQSEIAIQPSWYF >ENSMUSP00000041186.7 pep:known chromosome:GRCm38:17:12584189:12628465:1 gene:ENSMUSG00000040966.9 transcript:ENSMUST00000046959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a2 description:solute carrier family 22 (organic cation transporter), member 2 [Source:MGI Symbol;Acc:MGI:1335072] MPTVDDILEHIGEFHLFQKQTFFLLALLSGAFTPIYVGIVFLGFTPNHHCRSPGVAELSQ RCGWSPAEELNYTVPGLGSAGEVSFLSQCMRYEVDWNQSTLDCVDPLSSLAANRSHLPLS PCEHGWVYDTPGSSIVTEFNLVCAHSWMLDLFQSLVNVGFFIGAVGIGYLADRFGRKFCL LVTILINAISGVLMAISPNYAWMLVFRFLQGLVSKAGWLIGYILITEFVGLGYRRTVGIC YQIAFTVGLLILAGVAYALPNWRWLQFAVTLPNFCFLLYFWCIPESPRWLISQNKNAKAM KIIKHIAKKNGKSVPVSLQSLTADEDTGMKLNPSFLDLVRTPQIRKHTLILMYNWFTSSV LYQGLIMHMGLAGDNIYLDFFYSALVEFPAAFIIILTIDRIGRRYPWAVSNMVAGAACLA SVFIPDDLQWLKITVACLGRMGITIAYEMVCLVNAELYPTYIRNLAVLVCSSMCDIGGIV TPFLVYRLTDIWLEFPLVVFAVVGLVAGGLVLLLPETKGKALPETIEDAEKMQRPRKKKE KRIYLQVKKAELS >ENSMUSP00000021796.7 pep:known chromosome:GRCm38:13:42301270:42307989:1 gene:ENSMUSG00000021367.7 transcript:ENSMUST00000021796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edn1 description:endothelin 1 [Source:MGI Symbol;Acc:MGI:95283] MDYFPVIFSLLFVTFQGAPETAVLGAELSTGAENGVQSPPPSTPWRPRRSKRCSCSSLMD KECVYFCHLDIIWVNTPERVVPYGLGGSSRSKRSLKDLLPNKATDQAVRCQCAHQKDKKC WNFCQAGKELRAQSTMQKSLKDSKKGKPCSKLGKKCIYQQLVEGRKLRRLEAISNSIKAS FRVAKLKAELYRDQKLTHNRAH >ENSMUSP00000074290.5 pep:known chromosome:GRCm38:14:78569609:78725089:-1 gene:ENSMUSG00000034731.9 transcript:ENSMUST00000074729.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgkh description:diacylglycerol kinase, eta [Source:MGI Symbol;Acc:MGI:2444188] MAGAGSQHHPQGVAGGAVAGASAVSPTAAGPGEDSSDSEAEQEGPQKLIRKVSTSGQMRT KTSIKEGQLLKQTSSFQRWKKRYFKLRGRTLYYAKDSKSLIFDEVDLSDASVAEASTKNA NNSFTIITPFRRLMLCAENRKEMEVWISSLKSVQSREPYEVAQFNVEHFSGMHNWYACSH ARPTFCNVCRESLSGVTSHGLSCEVCKFKAHKRCAVRATNNCKWTTLASIGKDIIEDEDG VAMPHQWLEGNLPVSAKCAVCDKTCGSVLRLQDWKCLWCKTMVHTACKDVYHPVCPLGQC KVSIIPPIALNSTDSDGFCRATFSFCVSPLLVFVNSKSGDNQGVKFLRRFKQLLNPAQVF DLMNGGPYLGLRLFQKFDNFRILVCGGDGSVGWVLSEIDKLNLHKQCQLGVLPLGTGNDL ARVLGWGGSYDDDTQLPQILEKLERASTKMLDRWSIMTYELKLPAKSSLLPEPVAATEEF YMTIYEDSVANHLTKIVNSDEHAVVISSAKILCETVKDFVAKVEKAQDRTLENTVVAEAV ASKCSVLNEKLEQLLQALHADSQASRVPPGIGPAIPEEDTVESASDESLGESKDQLVNDI GKPSSQKAVKPREIMLRANSLKKAVRQVIEEAEKVMDEPAVQPSEPVSPSCDYDTETDEA KEDDAKESLSAKTTSQSPDAQASCGHPQTDSVAGPAMATTKENLPVLNTRIICPGLRAGL AASIAGSSIINKMLLANIDPFGATPFIDPDLDSLDGYSEKCVMNNYFGIGLDAKISLEFN NKREEHPEKCRSRTKNLMWYGVLGTRELLQRSYKNLEQRVQLECDGQYIPLPSLQGIAVL NIPSYAGGTNFWGGTKEDDIFAAPSFDDKILEVVAVFDSVQMAVSRVIKLQHHRIAQCRT VKITIFGDEGVPVQVDGEAWVQPPGIIKIVHKNRAQMLTRDRAFESTLKSWEDKQKCDSG KPVLRTNLYIHPAPDLATEEVSQMRLCSQAAEELITRICDAATIHCLLEQELAHAVNACS HALNKANPRFPESLTRDTATEIAINVKALYNETEALLVGRVPLHLESPHEERVSSALHSV EMELQKLTEIPWLYYILRPSEDEEPPLDCTKRNNKSTVFRIVPKFKKEKAQKQKTSSQPG PGDSESGSYEANSPGN >ENSMUSP00000036438.6 pep:known chromosome:GRCm38:10:81273207:81291267:-1 gene:ENSMUSG00000034917.7 transcript:ENSMUST00000045744.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tjp3 description:tight junction protein 3 [Source:MGI Symbol;Acc:MGI:1351650] MEELTIWEQHTATLYKDPRRGFGIAVSGGHDRASGSVVVSDVVPGGPAEGRLRTGDHIVM VNGVSVENVTSAFAIQILKTCTKTANVTVKRPRRVQLPATKASPASGHQLSDQEEADHGR GYEGDSSSGSGRSWGERSRRSRAGRRGRVGSHGRRSSGGGSEANGLDLVSGYKRLPKQDV LMRPLKSVLVKRRNSEEFGVKLGSQIFIKHITESGLAARNHGLQEGDLILQINGVSSANL SLSDTRRLIEKSEGELTLLVLRDSGQFLVNIPPAVSDSDSSLMEDISDLTSELSQAPPSH VPPPPLKGQRSPEDSQTDSPVETPQPRRRERSVNSRAIAEPESPGESRYDIYRVPSRQSL EDRGYSPDTRVVSFPKGASIGLRLAGGNDVGIFVSGVQAGSPADGQGIQEGDEILQVNGM PFRNLTREEAVQFLLGLPPGEDMELVTQSKQDIFKKMVQSRVGDSFYIRTHFELEPSPPY GLGFTRGDVFHVVDTLYPGSGPGHGHSSHGGLWLAARMGRDLREQERGVIPNQSRAEQLA SLEAAQRAAGVGPGASVGSNPRAEFWRLRGLRRGTKKASTQRSREDLSALTRQGHYPPYE RVVLREASFKRPVVILGPVADIAMKKLTTEMPEEFEIAESMSRTDSPSKIIKLDTVRVIA ERDKHALLDVTPSAIERLNYVQYYPIVIFCAPESRPALKALREWLAPASRRSSRRLYAQA QKLQKHSGHLFTATIPLHGTSDSWYQEVKAVIQQQQARPIWTAEDQLNSSSEDLDLPGHG LAASSGDLSCDSRTNSDYEDTDGEGAYTDREGGPQDVDEEVAPTALARSSEPVWVDDHQG LMGHGTTITDKWETQADSHYTQDQRRQDSMRTYKHEALRKKFTRARDVESSDDEGYDWGP ATDL >ENSMUSP00000074835.3 pep:known chromosome:GRCm38:16:17980565:17981080:1 gene:ENSMUSG00000059280.3 transcript:ENSMUST00000075371.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vpreb2 description:pre-B lymphocyte gene 2 [Source:MGI Symbol;Acc:MGI:98937] MAWTSVLLMLLAHLTGCGPQPMVHQPPSASSSLGATIRLSCTLSNDHNIGIYSIYWYQQR PGHPPRFLLRYFSHSDKHQGPDIPPRFSGSKDTARNLGYLSISELQPEDEAVYYCAVGLR SHEKKRMEREWEGEKSYTDLGS >ENSMUSP00000126681.2 pep:novel scaffold:GRCm38:JH584299.1:716296:720517:1 gene:ENSMUSG00000091585.2 transcript:ENSMUST00000165454.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC140365.1 LFCLSCPTNGHVALDLKKMSVHTPPTLQKLAIQTLVREEALGMSELEEMAHGLFPALFKE AFDGRHIKLIKALVIAWPFHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRRKKLQF LDLRNVHHSFWNIWTDSEDSDYSAEILDEKKALQVRPRYGLRQRLKVTVDLCIRSCLDEA QTWFLKWAQERKGSLYFCCTKMKIWTLPERALRQIFHVFDPEHIMELELNTEWTLIELTH FAPYFGQMRNLRKVFLAPLHKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVH FLRSQMNQVLGCLMTPLKTLSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLM PLRGLLMKVAGTLETLDLQWCRMKDSQLNVLLPVFKQCSQISNINFYNNEFSMPFLKDLL QHTANWSKMNVEQYPAPLECYDEFAQVTVEGFAQLCQDLMDTLRAIRQPKNSSFATDICH TCGERWVFDQVAKLCSCWH >ENSMUSP00000101191.1 pep:known chromosome:GRCm38:10:10681348:10681858:1 gene:ENSMUSG00000078481.1 transcript:ENSMUST00000105564.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10944 description:predicted gene 10944 [Source:MGI Symbol;Acc:MGI:3779154] TYVQLNINVVALCSIVL >ENSMUSP00000021990.3 pep:known chromosome:GRCm38:13:66932830:66998401:1 gene:ENSMUSG00000021518.3 transcript:ENSMUST00000021990.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptdss1 description:phosphatidylserine synthase 1 [Source:MGI Symbol;Acc:MGI:1276575] MASCVGSRTLSKDDVNYRMHFRMINEQQVEDITIDFFYRPHTITLLSFTIISLMYFAFTR DDSVPEDNIWRGILSVIFFFLIISVLAFPNGPFTRPHPALWRMVFGLSVLYFLFLVFLLF LNFEQVKSLMYWLDPNLRYATREADIMEYAVNCHVITWERIVSHFDIFAFGHFWGWAMKA LLIRSYGLCWTISITWELTELFFMHLLPNFAECWWDQVILDILLCNGGGIWLGMVVCRFL EMRTYHWASFKDIHTTTGKIKRAVLQFTPASWTYVRWFDPKSSFQRVAGIYLFMIIWQLT ELNTFFLKHIFVFQASHPLSWGRILFIGCITAPTVRQYYAYLTDTQCKRVGTQCWVFGVI GFLEAIVCIKFGQDLFSKTQILYVMLWLLCVAFTTFLCLYGMVWYAEHYGHREKTYSECE DGTYSPEISWHHGKGSKGSEDSPPKHSSNHESHSSRRRNRHSKSKVTNGVGKK >ENSMUSP00000106151.2 pep:known chromosome:GRCm38:12:70244539:70347614:-1 gene:ENSMUSG00000021071.15 transcript:ENSMUST00000110522.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim9 description:tripartite motif-containing 9 [Source:MGI Symbol;Acc:MGI:2137354] MEEMEEELKCPVCGSFYREPIILPCSHNLCQACARNILVQTPESESPQSRRASGSGVSDY DYLDLDKMSLYSEADSGYGSYGGFASAPTTPCQKSPNGVRVFPPAMPPPPTHLSPALAPV PRNSCITCPQCHRSLILDDRGLRGFPKNRVLEGVIDRYQQSKAAALKCQLCEKAPKEATV MCEQCDVFYCDPCRLRCHPPRGPLAKHRLVPPAQGRVSRRLSPRKVSTCTDHELENHSMY CVQCKMPVCYQCLEEGKHSSHEVKALGAMWKLHKSQLSQALNGLSDRAKEAKEFLVQLRT MVQQIQENSVEFEACLVAQCDALIDALNRRKAQLLARVNKEHEHKLKVVRDQISHCTVKL RQTTGLMEYCLEVIKENDPSGFLQISDALIRRVHLTEDQWGKGTLTPRMTTDFDLSLDNS PLLQSIHQLDFVQVKASSPVPATPILQLEECCTHNNSATLSWKQPPLSTVAADGYILELD DGSGGQFREVYVGKETMCTVDGLHFNSTYNARVKAFNKTGVSPYSKTLVLQTSEAAGAHE TKPMKDTDSEEQTLPFPVPSERLPLRRMSPFSSTLNLQPSFPGRSYFDFRSSPHQLSLHS SLQSLNAPGCNFETQSASYSQLVDIKKLLAVAWFAFDPGSAHSDIIFSNDNLTVTCSSYD DRVVLGKTGFSKGVHYWELTIDRYDNHPDPAFGVARIDVMKDMMLGKDDKAWAITEGGIT KGATIGVLLDLNRKTLTFFVNNEQQGPIAFENVEGLFFPAVSLNRNVQVTLHTGLPVPDF YSSRASIA >ENSMUSP00000106149.2 pep:known chromosome:GRCm38:12:70244539:70347614:-1 gene:ENSMUSG00000021071.15 transcript:ENSMUST00000110520.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim9 description:tripartite motif-containing 9 [Source:MGI Symbol;Acc:MGI:2137354] MEEMEEELKCPVCGSFYREPIILPCSHNLCQACARNILVQTPESESPQSRRASGSGVSDY DYLDLDKMSLYSEADSGYGSYGGFASAPTTPCQKSPNGVRVFPPAMPPPPTHLSPALAPV PRNSCITCPQCHRSLILDDRGLRGFPKNRVLEGVIDRYQQSKAAALKCQLCEKAPKEATV MCEQCDVFYCDPCRLRCHPPRGPLAKHRLVPPAQGRVSRRLSPRKVSTCTDHELENHSMY CVQCKMPVCYQCLEEGKHSSHEVKALGAMWKLHKSQLSQALNGLSDRAKEAKEFLVQLRT MVQQIQENSVEFEACLVAQCDALIDALNRRKAQLLARVNKEHEHKLKVVRDQISHCTVKL RQTTGLMEYCLEVIKENDPSGFLQISDALIRRVHLTEDQWGKGTLTPRMTTDFDLSLDNS PLLQSIHQLDFVQVKASSPVPATPILQLEECCTHNNSATLSWKQPPLSTVAADGYILELD DGSGGQFREVYVGKETMCTVDGLHFNSTYNARVKAFNKTGVSPYSKTLVLQTSEGCNFET QSASYSQLVDIKKLLAVAWFAFDPGSAHSDIIFSNDNLTVTCSSYDDRVVLGKTGFSKGV HYWELTIDRYDNHPDPAFGVARIDVMKDMMLGKDDKAWAITEGGITKGATIGVLLDLNRK TLTFFVNNEQQGPIAFENVEGLFFPAVSLNRNVQVTLHTGLPVPDFYSSRASIA >ENSMUSP00000127081.1 pep:known chromosome:GRCm38:12:70263732:70347614:-1 gene:ENSMUSG00000021071.15 transcript:ENSMUST00000167755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim9 description:tripartite motif-containing 9 [Source:MGI Symbol;Acc:MGI:2137354] MEEMEEELKCPVCGSFYREPIILPCSHNLCQACARNILVQTPESESPQSRRASGSGVSDY DYLDLDKMSLYSEADSGYGSYGGFASAPTTPCQKSPNGVRVFPPAMPPPPTHLSPALAPV PRNSCITCPQCHRSLILDDRGLRGFPKNRVLEGVIDRYQQSKAAALKCQLCEKAPKEATV MCEQCDVFYCDPCRLRCHPPRGPLAKHRLVPPAQGRVSRRLSPRKVSTCTDHELENHSMY CVQCKMPVCYQCLEEGKHSSHEVKALGAMWKLHKSQLSQALNGLSDRAKEAKEFLVQLRT MVQQIQENSVEFEACLVAQCDALIDALNRRKAQLLARVNKEHEHKLKVVRDQISHCTVKL RQTTGLMEYCLEVIKENDPSGFLQISDALIRRVHLTEDQWGKGTLTPRMTTDFDLSLDNS PLLQSIHQLDFVQVKASSPVPATPILQLEECCTHNNSATLSWKQPPLSTVAADGYILELD DGSGGQFREVYVGKETMCTVDGLHFNSTYNARVKAFNKTGVSPYSKTLVLQTSEAAGAHE TKPMKGR >ENSMUSP00000025381.2 pep:known chromosome:GRCm38:18:43728069:43737237:-1 gene:ENSMUSG00000024503.2 transcript:ENSMUST00000025381.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink1 description:serine peptidase inhibitor, Kazal type 1 [Source:MGI Symbol;Acc:MGI:106202] MKVAVIFLLSALALLSLAGNTFSAKVTGKEASCHDAVAGCPRIYDPVCGTDGITYANECV LCFENRKRIEPVLIRKGGPC >ENSMUSP00000034211.8 pep:known chromosome:GRCm38:8:94152607:94154148:1 gene:ENSMUSG00000031760.8 transcript:ENSMUST00000034211.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mt3 description:metallothionein 3 [Source:MGI Symbol;Acc:MGI:97173] MDPETCPCPTGGSCTCSDKCKCKGCKCTNCKKSCCSCCPAGCEKCAKDCVCKGEEGAKAE AEKCSCCQ >ENSMUSP00000104864.3 pep:known chromosome:GRCm38:13:113592180:113618564:-1 gene:ENSMUSG00000042364.10 transcript:ENSMUST00000109241.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx18 description:sorting nexin 18 [Source:MGI Symbol;Acc:MGI:2137642] MALRARALYDFKSENPGEISLREHEVLSLCSEQDIEGWLEGINSRGDRGLFPASYVQVIR APEPGPPADGGPGAPARYANVPPGGFEPLPAAPPAAFPPLLQPQASPGSFQPPGAGFPYG GGALQPSPQQLYGGYQASLGSDDDWDDEWDDSSTVADEPGALGSGAYPDLDGSSSAGGGA AGRYRLSTRSDLSLGSRGVSAPPAHQASGAKSSATVSRNLNRFSTFVKSGGEAFVLGEAS GFVKDGDKLCVVLGPYGPEWQENPYPFQCTIDDPTKQTKFKGMKSYISYKLVPTHTQVPV HRRYKHFDWLYARLAEKFPVISVPHLPEKQATGRFEEDFISKRRKGLIWWMNHMASHPVL AQCDVFQHFLTCPSSTDEKAWKQGKRKAEKDEMVGANFFLTLSTPPAAALDLQEVESKID GFKCFTKKMDDSALQLNHTANEFARKQVTGFKKEYQKVGQSFRGLSQAFELDQQAFSVGL NQAIAFTGDAYDAIGELFAEQPRQDLDPVMDLLALYQGHLANFPDIIHVQKGALTKVKES RRHVEEGKMEVQKADGIQDRCNTISFATLAEIHHFHQIRVRDFKSQMQHFLQQQIIFFQK VTQKLEEALHKYDSV >ENSMUSP00000065271.3 pep:known chromosome:GRCm38:15:100304817:100314348:1 gene:ENSMUSG00000054619.6 transcript:ENSMUST00000067752.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl7a1 description:methyltransferase like 7A1 [Source:MGI Symbol;Acc:MGI:1916523] MTLAVLALRLVVCTLALPMFLLNLLGLWSWVCKKCFPYFLKRFSVMYNEQMASQKRELFS NLQEFAGPSGKLTLLEVGCGTGANFKFYPPGCRVTCIDPNPNFEKFLFKSVAENRQLQFE RFVVAAGEDMHQVTDGSVDVVVCTLVLCSVKNQEKILREVCRVLKPGGAFYFMEHVADER STWNYFWQQVLDPVWFLFFDGCNLTRESWKTIEQASFSKLKLQHIQAPLSWTLVRPHIYG YAVK >ENSMUSP00000079572.1 pep:known chromosome:GRCm38:9:38994507:38995433:-1 gene:ENSMUSG00000059595.1 transcript:ENSMUST00000080748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr935 description:olfactory receptor 935 [Source:MGI Symbol;Acc:MGI:3030769] MEQGNHSTVKKFFLSGLTEQPELQLPLFLLFLGIYLLTVLGNLGMIILILLSSHLHTPMY FFLSSLSFIDLCQSTVITPKMLVNFVREKNEISYPECITQLYFFLLFAISECYMLAAMAY DRYVAICSPLLYSSIMSQHKCLSIVLGVYIIGIVCASAHVGCMFRIDFCRYDVINHYFCD LISILKLSCSDAFVNELMILIFSGVNIIAPTLTILSSYVFIIMSILRIKSTEGRSKTFST CSSHISAVAVFYGSAAFMYLNPSSSNSMDEGKVSSIFYTIIVPMLNPLIYSLRNKDVNIA LKKMIQRR >ENSMUSP00000061843.5 pep:known chromosome:GRCm38:16:5050068:5086285:1 gene:ENSMUSG00000039473.7 transcript:ENSMUST00000052449.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubn1 description:ubinuclein 1 [Source:MGI Symbol;Acc:MGI:1891307] MSEPHRVQFTSVPGSLNPAFLKKSRKEEVGGTEQHQDCEPAAAAVRITLTLFEPDHKRCP EFFYPELVKNIRGKVKGLHPGDKKKDVLDPFNDEEKERHKVEALARKFEEKYGGKKRRKD RIQDLIDMGYGYDESDSFIDNSEAYDELVPASLTTKYGGFYINSGTLQFRQASESEDDFI KEKKKKSPKKRKLKEGGEKIKKKKKDDTYDKEKKSKKSKFSKAGFTALNASKEKKKKKYS GSLSVREMLKKFQKEKEAQKKREEEHKPVAVSSIEAQGLRELEGTSDPLLSLFGSTSDND LLQAATAMDSLTDLDLEQLLSESPEGSPFRDMDDGSDSLGVGLDQEFRQPSSFPEGLPIP LEKRVKELAQAARAAEGESKQKFFTQDINGILLDIEVQTRELTSQIRSGVFAYLASFLPC SKDALVKRARKLHLYEQGGRLKEPLQKLKDAIGRAMPEQVAKYQDECQAHTQAKVAKMLE EEKDKEQRERICSDEEEDEEKGGRRIMGPRKKFQWNDEIRELLCQVVKIKLESRDLERNS KAQAWEDCVKAFLDAEVKPLWPKGWMQARTLFKESRRGHGHLTSLLAKKKVIAPSKIKMK ESSVKLDKKVSVPSGQHGGPTTLLSEHQGGGLNTGANSREHPSQATCGLTDSVSVTLEDS LDEDLVRNPASSVDAVSKELATLNSRAANSSEFTLPTPSKAPTEKVGGVLCTEEKRNFAK PSSSAPPPTNALQSPLNFLAEQALALGQSSQEKKPEGSGFKELSCQGPLSKGVPELHPSK AKHHNLPRTSHGPQAAAPVPGPQVKVFHAGTQQQKSFTPPSPFVNKLQGPKATSPQCHRS LLQLVKTAAKGQAFHATMPASSGSSPASSSSAHKTTASNSTTISHPAKLHPTSSVGPSYK NNPFAGSVSKHGASSSSPSPGGGAQVQSSVSGASLPGVQSPSAGQSASRAAPSSAVKKTP VTQKLTLVAPPGGPNGDSGGGTQGVAKLLTSSLKPAAVSSVTSSTSLPKGTGGAVLLSNT SSLSLLSSSYKSNNPKLPGAMNSNSLGIITQFPLHVLSFNADSSAKAGVSKDAIVTGPAP GTFHHGLSHSLLAGLHSSPPHTAPLPHAAVSTHVPQSLPDASQLHGKGPVVPRKL >ENSMUSP00000066515.5 pep:known chromosome:GRCm38:18:20684599:20746404:-1 gene:ENSMUSG00000056124.5 transcript:ENSMUST00000070080.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt6 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 6 [Source:MGI Symbol;Acc:MGI:1928380] MSALKRMMRVSNRSLIAFIFFFSLSTSCLYFIYVAPGIANTYLFMVQARGIMLRENVKTI GHMIRLYTNKNTTLNGTDYPEGNNTSDYLVQTTTYLPQNFTYLPHLPCPEKLPYMRGFLS VNVSEISFDEVHQLFSKDSEIGPGGHWRPKDCKPRWKVAVLIPFRNRHEHLPIFFLHLIP MLQKQRLEFAFYVIEQTGTQPFNRAMLFNVGFKEAMKDRAWDCVIFHDVDHLPENDRNYY GCGEMPRHFAAKLDKYMYILPYKEFFGGVSGLTVEQFRKINGFPNAFWGWGGEDDDLWNR VHYAGYNVTRPEGDLGKYISIPHHHRGEVQFLGRYKLLRYSKERQYIDGLNNLLYTPKIL VDRLYTNISVNLMPELAPIEDY >ENSMUSP00000054909.5 pep:known chromosome:GRCm38:17:65637505:65642204:-1 gene:ENSMUSG00000050612.5 transcript:ENSMUST00000050236.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc2 description:thioredoxin domain containing 2 (spermatozoa) [Source:MGI Symbol;Acc:MGI:2389312] MDLAIEEMFKKDKQKNKDKGLDMNSVQAGAPEESDMTLNNGGKANERGSNENPLQALSKN EAFLVPEFLDTAQSKEKAIASKVSNTLHMSTEESEFPQQVSSTPMFSENTVHPRHEVSPK PSSKNTQLKQENISKSSGYSKQTNYSNTPKSLAKTTHPKQGSTLKPATNSTHYREDDIPK SSEDIIQPKKGDRPKSSEDIIQSKKEDRPKSSEDIIQSKKEDRPKSSEDIIQSKKEDRPK SSEDIIQSKKEDRPKSSEDIIQPKKEDRPKSSEDSVPSKKGDRPKSSEDSVQPKKEDRPK SSEDSVQSKEGEVHKPLKDSIQSKETKVPKSPQDSIQSKEDKTHRPLKDSVQSKESEEPK SSHESIQSKEDKIHKPLKDSIPSKEGDIPKSPEDTIQSQEEITASEEDTIQSQEGNTIKS SEEDVQLSESKLLGLGAEIETLEEGLVRVIKDKEEFEEVLKDAGEKLVAVDFSAAWCGPC RMMKPLFHSLSLKHEDVIFLEVDTEDCEQLVQDCEIFHLPTFQFYKNEEKVGEFSGALVG KLERSISELK >ENSMUSP00000000445.1 pep:known chromosome:GRCm38:10:107482908:107486134:-1 gene:ENSMUSG00000000435.1 transcript:ENSMUST00000000445.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myf5 description:myogenic factor 5 [Source:MGI Symbol;Acc:MGI:97252] MDMTDGCQFSPSEYFYEGSCIPSPEDEFGDQFEPRVAAFGAHKAELQGSDDEEHVRAPTG HHQAGHCLMWACKACKRKSTTMDRRKAATMRERRRLKKVNQAFETLKRCTTTNPNQRLPK VEILRNAIRYIESLQELLREQVENYYSLPGQSCSEPTSPTSNCSDGMPECNSPVWSRKNS SFDSIYCPDVSNACAADKSSVSSLDCLSSIVDRITSTEPSELALQDTASLSPATSANSQP ATPGPSSSRLIYHVL >ENSMUSP00000054881.6 pep:known chromosome:GRCm38:9:121848560:121858000:-1 gene:ENSMUSG00000038412.7 transcript:ENSMUST00000060251.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Higd1a description:HIG1 domain family, member 1A [Source:MGI Symbol;Acc:MGI:1930666] MSTNTDLSLSSYDEGQGSKFIRKAKETPFVPIGMAGFAAIVAYGLYKLKSRGNTKMSIHL IHMRVAAQGFVVGAMTLGMGYSMYQEFWANPKPKP >ENSMUSP00000023561.7 pep:known chromosome:GRCm38:16:22009484:22049269:1 gene:ENSMUSG00000022855.8 transcript:ENSMUST00000023561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp2 description:SUMO/sentrin specific peptidase 2 [Source:MGI Symbol;Acc:MGI:1923076] MYRWLAKVLGTILRLCERPAPGARALLKRRRSSSTLFSTAVDTDEIPAKRPRLDCFIHQV KNSLYNAASLFGFPFQLTTKPMVSSACNGTRNVAPSGEVFSNSSSCELMSSGSCSSMLKL GNKSPNGISDYPKIRVTVTRDQPRRVLPSFGFTLKSEGYNRRPSGRRHSKSNPESSLTWK PQEQGVTEMISEEGGKGVRRPHCTVEEGVQKDEREKYRKLLERLKEGAHGSTFPPTVSHH SSQRIQMDTLKTKGWVEEQNHGVRTTHFVPKQYRVVETRGPLCSMRSEKRYSKGKADTEK VVGLRFEKEGTRGHQMEPDLSEEVSARLRLGSGSNGLLRRKISVLEIKEKNFPSKEKDRR TEDLFEFTEDMEKEISNALGHGPPDEILSSAFKLRITRGDIQTLKNYHWLNDEVINFYMN LLVERSKKQGYPALHAFSTFFYPKLKSGGYQAVKRWTKGVNLFEQELVLVPIHRKVHWSL VVMDLRKKCLKYLDSMGQKGHRICEILLQYLQDESKTKRNTDLNLLEWTHYSMKPHEIPQ QLNGSDCGMFTCKYADYISRDKPITFTQHQMPLFRKKMVWEILHQQLL >ENSMUSP00000137171.1 pep:known chromosome:GRCm38:17:6430112:6436293:1 gene:ENSMUSG00000096255.1 transcript:ENSMUST00000179569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynlt1b description:dynein light chain Tctex-type 1B [Source:MGI Symbol;Acc:MGI:98643] MEDFQASEETAFVVDEVSSIVKEAIESAIGGNAYQHSKVNQWTTNVLEQTLSQLTKLGRP FKYIVTCVIMQKNGAGLHSASSCFWDSSTDGSCTVRWENKTMYCIVSTFGLSI >ENSMUSP00000020339.8 pep:known chromosome:GRCm38:10:115197877:115251493:-1 gene:ENSMUSG00000020130.8 transcript:ENSMUST00000020339.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d15 description:TBC1 domain family, member 15 [Source:MGI Symbol;Acc:MGI:1913937] MAAAGVVSGKIIYEQEGVYIHSSCGKANDQDSLISGILRVLEKDAEVIVDWRPLDDALDS SSILCAGKDSSSVVEWTQAPKERAHRGSDQQSSYEAEWDMVTTVSFKKKPHTNGDAPGHR NGKSKWSFLFSLADLKSVKQSKEGMGWSYLVFCLKDDVMLPALHFHQGDSKLLIESLEKY VVLCESPQDSRTLLVNCQNKSLSQSFENLLDEPAYGLIQKIKKDPYTATMVGFSKVTNYI FDSLRGSDPSTHQRPPSEMADFLSDAIPGLKINQQEEPGFEVITRIDLGERPVVQRREPV SLEEWNKSLDPEGRLVAVESMKQKIFRGGLSHSLRKQAWKFLLGYFPWDSTKEERTQLQK QKTDEYFRMKLQWKSVSEAQEKRNSRLRDYRSLIEKDVNRTDRTNKFYEGQDNPGLILLH DILMTYCMYDFDLGYVQGMSDLLSPLLYVMENEVDAFWCFASYMDQMHQNFEEQMQGMKT QLIQLSTLLRLLDSGFCSYLESQDSGYLYFCFRWLLIRFKREFSFLDILRLWEVMWTELP CKNFHLLLCCAILESEKQQIMAKHYGFNEILKHINELSMKIDVEDILCKAEAISLQMAQC KELPQAVCEILGLQDSEITTPDSDTDENVGSPCPVSAFPSSTLPILAASEAKDDSPTQTL ASPNACRLTPA >ENSMUSP00000097662.3 pep:known chromosome:GRCm38:16:19876570:19883897:-1 gene:ENSMUSG00000075330.3 transcript:ENSMUST00000100083.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930003A15Rik description:RIKEN cDNA A930003A15 gene [Source:MGI Symbol;Acc:MGI:1915412] MEAREDGDLASWNSISVLCRQCEDPDPRYSPYMVVRIAEAEMRAYHRFLGSCTSLEYRAN KALPVISTSPKLDTRLMPAVLQSANHR >ENSMUSP00000026270.7 pep:known chromosome:GRCm38:9:123529882:123592598:1 gene:ENSMUSG00000025240.8 transcript:ENSMUST00000026270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sacm1l description:SAC1 (suppressor of actin mutations 1, homolog)-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1933169] MAAAAYEHLKLHITPEKFYVEACDDGADDVLIIDRVSTEVTLAVKKDVPPSAVTRPIFGI LGTIHLVAGNYLVVITKKMKVGECFNHAVWRATDFDVLSYKKTMLHLTDIQLQDNKTFLA MLNHVLSMDGFYFSTTYDLTHTLQRLSNTSPEFQEMSLLERADQRFVWNGHLLRELSAQP EVHRFALPVLHGFITMHSCSINGKYFDWILISRRSCFRAGVRYYVRGIDSEGHAANFVET EQIVHYSGNRASFVQTRGSIPIFWSQRPNLKYKPHPQISKVANHMDGFQRHFDSQVIIYG KQVIINLVNHKGSEKPLEQTFANMVSSLGSGMIRYIAFDFHKECKNMRWDRLSILLDQVA EMQDELSYFLVDSAGKVVTNQDGVFRSNCMDCLDRTNVIQSLLARRSLQAQLQRLGVLHV GQKLEEQDEFEKTYKNAWADNANACAKQYAGTGALKTDFTRTGKRTQLGLLMDGFNSLLR YYKNNFSDGFRQDSIDLFLGNYSVDELESHSPLSVPRDWKFLALPIIMVVAFSMCIICLL MAGDTWTETLAYVLFWGVASIGTFFIILYNGKDFVDAPRLVQKEKID >ENSMUSP00000025254.7 pep:known chromosome:GRCm38:18:31931507:31958619:1 gene:ENSMUSG00000024395.7 transcript:ENSMUST00000025254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lims2 description:LIM and senescent cell antigen like domains 2 [Source:MGI Symbol;Acc:MGI:2385067] MTGSNMSECLADAMCQRCQARFAPTERIVNSNGELYHEHCFVCAQCFRPFPEGLFYEFEG RKYCEHDFQMLFAPCCGFCGEFVIGRVIKAMNANWHPGCFRCELCDVELADLGFVKNAGR HLCRPCHNREKAKGLGKFICQRCHLAIDEQPLMFKNDPYHPDHFSCSNCGKELTSDAREL KGELYCLPCHDKMGIPICGACRRPIEGRVVNALGKQWHVEHFVCAKCEKPFLGHRHYEKK GLAYCETHYNQLFGDVCYNCSHVIEGDVVSALSKAWCVNCFSCSTCNMKLTLKNKFVEFD MKPVCKRCYERFPLELKKRLKKLSDLSSRKAQPKSVDVNSL >ENSMUSP00000079025.2 pep:known chromosome:GRCm38:14:51145002:51146767:-1 gene:ENSMUSG00000035896.4 transcript:ENSMUST00000080126.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase1 description:ribonuclease, RNase A family, 1 (pancreatic) [Source:MGI Symbol;Acc:MGI:97919] MGLEKSLILFPLFVLLLGWVQPSLGRESAAQKFQRQHMDPDGSSINSPTYCNQMMKRRDM TNGSCKPVNTFVHEPLADVQAVCSQENVTCKNRKSNCYKSSSALHITDCHLKGNSKYPNC DYKTTQYQKHIIVACEGNPYVPVHFDATV >ENSMUSP00000052202.7 pep:known chromosome:GRCm38:12:70825514:70902232:1 gene:ENSMUSG00000048285.8 transcript:ENSMUST00000057859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd6 description:FERM domain containing 6 [Source:MGI Symbol;Acc:MGI:2442579] MNKLTFHNNKAMQDRRRVCIFLPNDKSVSIIINVKILCHQLLVQVCDLLRLKDSHLFGLS VIQNNEHVYMELSQKLYKYCPKEWKKEASKVRQYEVTWGIDQFGPPMIIHFRVQYYVENG KLISDRIARYYYYWHLRKQVLHSQCVLREEAYFLLAAFALQADLGNFKRKLHHGDYFEPE AYFPAWVVSKRGKDYILKHIPNMHKDQFALTASEAYLKYIKEAVRLDDVAIHYYRLYKDK REAEGSLTLGLTMRGIQIFQNLEEEKQLLYDFPWTNVGKLVFVGKKFEILPDGLPSARKL VYYTGCPTRSRHLLQLLSNSHRLYMNLQPVLRHLRKQEENEEKKQYRESYISDNLDLDMD PLEKRSRASGSSAGSVKHKRLSRHSTASHSSSHTSGIEADTKPRDPGPEDSCSGSAMHRK LKTCSSMTSHGSSHTSGVESGGKDRLEEDSQDEEIEMLVDDPRDLEPMPEESLEVSPEMC IYITEDMLLSRKLNGHSGLIVKEIGSSTSSSSETVVRLRGQSTDSLPQTICRKPKTSTDR HSLSLDDIRLYQKDFLRIAGLCQDTAQSYTFGCGHELDESGLYCNSCLAQQCVNIQDAFP VKRASKYFSLDLTHDEVPEFVV >ENSMUSP00000098409.2 pep:known chromosome:GRCm38:5:115097689:115098295:-1 gene:ENSMUSG00000072693.2 transcript:ENSMUST00000100848.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10401 description:predicted gene 10401 [Source:MGI Symbol;Acc:MGI:3704254] MHWFKRRLLKTAREKPVKRKGSKSSNFSSLICYTDQKEESPQPTSLSVQRIHSLQPHATL KGDSSVCEEAR >ENSMUSP00000005711.4 pep:known chromosome:GRCm38:7:13032006:13034777:-1 gene:ENSMUSG00000033916.4 transcript:ENSMUST00000005711.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp2a description:charged multivesicular body protein 2A [Source:MGI Symbol;Acc:MGI:1916203] MDLLFGRRKTPEELLRQNQRALNRAMRELDRERQKLETQEKKIIADIKKMAKQGQMDAVR IMAKDLVRTRRYVRKFVLMRANIQAVSLKIQTLKSNNSMAQAMKGVTKAMGTMNRQLKLP QIQKIMMEFERQAEIMDMKEEMMNDAIDDAMGDEEDEEESDAVVSQVLDELGLSLTDELS NLPSTGGSLSVAAGGKKAEATASALADADADLEERLKNLRRD >ENSMUSP00000137602.1 pep:known chromosome:GRCm38:13:120034759:120035331:-1 gene:ENSMUSG00000095609.1 transcript:ENSMUST00000178618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21188 description:predicted gene, 21188 [Source:MGI Symbol;Acc:MGI:5434543] MESNFWSVQVKQAWDSVPLVQEAQPPLLPSFSEDRGPWPLPFYPVLGEISSDDGGDYQEQ PLPVWMNDFAGTYPRTDSCKIQSTSEPSIPESVSAIHEEQPEKPTTLGTWMEAVEESFRV AMQPWSCSTALHRGNDAKDTETCGRSSSTECEQPSESGEVDSGLCQDAWRAFIACLFCGL LPPDSGSSEP >ENSMUSP00000137421.1 pep:novel scaffold:GRCm38:GL456221.1:151235:152734:1 gene:ENSMUSG00000096808.1 transcript:ENSMUST00000177921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC132444.6 NNQESNHSTNQQEDSHKNRMPTLTTKIKGSNNYFSLISLNINGLNSPIKRHRLTDWLHKQ DPTFCCLRETHLREKDRHYFRVKGWKTIFQANGLKKQAGVAILISDKIDFQPKVIKKDKE GHFILIKGKILQEELSILNIYAPNARAATFIRDTLVKLKAYIAPHTIIVGDVNTPLSSMD RSWKQKLNRDTVKLTEVMKQMDLTDIYRTFYPKTKGYTFFSAPHGTFSKIDHIIGHKTGL NRYKNIEIVPCILSDHHGLRLIFNKNINNGKPTFTWKLNNTLLNDTLVKEEIKKEIKDFL EFNENEATRYPNLWDTMKAFLRGKLIALSASQMKREKAHTSSLTTHLKALEKKEANSPKR SRRQEIIKLRGEINQVETRRTIQRINQSRSWFFEKINKIDKPLARLTKGHRDKILINKIR NEKGDITTDPEEIQNTIRSFYKRLYSTKLENLYEMDKFLDRYQVPKLNQDQVDHLNSPIS PKEIEAVINSLPTKKSPGPD >ENSMUSP00000076003.4 pep:known chromosome:GRCm38:10:39150971:39163794:-1 gene:ENSMUSG00000062074.6 transcript:ENSMUST00000076713.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wisp3 description:WNT1 inducible signaling pathway protein 3 [Source:MGI Symbol;Acc:MGI:2685581] MRRLLFCTLLMTGLTQLCCRTQGSAPQDSTPGGRPGAALEVYQRTEVCRWPCRCPPQRPT CPPGVSLVRDGCGCCKVCAKQPGDTCNEAEICDPHKGLYCDYSGDTPRYETGVCAYLVAV GCEFNRVYYQNGQVFQPHPLFSCLCVSGAIGCTPLFIPKLAGSNCSAAKGRRKTDPPNCG RGTLQQQNSASYKTMSAYRNLPLTWRKKCLVQATKWTPCSRTCGMGISNRVTNDNANCEM RKERRLCYIQPCSRNTSQAVKIPRGETCQPTFQLPKAEKFVFSGCSSTQSYRPTFCGICL DKRCCVPNKSKMITVRFDCPSEGSFKWQMLWVTSCVCQRDCREPGDIFSELRIL >ENSMUSP00000127728.1 pep:known chromosome:GRCm38:14:55650182:55652539:1 gene:ENSMUSG00000007591.14 transcript:ENSMUST00000164809.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssk4 description:testis-specific serine kinase 4 [Source:MGI Symbol;Acc:MGI:1918349] MGKGDTSETASATPAYRSVMEEYGYEVGKIIGHGSYGTVYEAYYTKQKVMVAVKIISKKK ASEDYLNKFLPREIQVMKVLRHKYLINFYQAIETTSRVYIILELAQGGDVLEWIQRYGAC AETLAGKWFSQMALGIAYLHSKGIVHRLTPSLSAAGRDLKLENLLLDKRENVKISDFGFA KMVPSSQPVHSSPSYRQMNSLSHLSQTYCGSFAYACPEILLGLPYNPFLSDTWSMGVILY TLVVARLPFDDTNLKKLLRETQKEVTFPANLTISQECKVLAPPGGLRP >ENSMUSP00000007735.8 pep:known chromosome:GRCm38:14:55650184:55652539:1 gene:ENSMUSG00000007591.14 transcript:ENSMUST00000007735.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssk4 description:testis-specific serine kinase 4 [Source:MGI Symbol;Acc:MGI:1918349] MGKGDTSETASATPAYRSVMEEYGYEVGKIIGHGSYGTVYEAYYTKQKVMVAVKIISKKK ASEDYLNKFLPREIQVMKVLRHKYLINFYQAIETTSRVYIILELAQGGDVLEWIQRYGAC AETLAGKWFSQMALGIAYLHSKGIVHRLTPSLSAAGRDLKLENLLLDKRENVKISDFGFA KMVPSSQPVHSSPSYRQMNSLSHLSQTYCGSFAYACPEILLGLPYNPFLSDTWSMGVILY TLVVARLPFDDTNLKKLLRETQKEVTFPANLTISQECKGSSIKPGPQPLSPE >ENSMUSP00000130008.1 pep:known chromosome:GRCm38:10:77770633:77771325:-1 gene:ENSMUSG00000095817.1 transcript:ENSMUST00000168298.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3238 description:predicted gene 3238 [Source:MGI Symbol;Acc:MGI:3781416] MAASTMSVCSDALTNSSWQVDDCPESCCEPCCCAPSCCQTSCCQPSCCQTSCCAPAPCLT LICTPVSCVSSPCCQSSCCTPSCCQQSSCQPACCTCSPCQPSCCVTLCCKPVCCTPICSG PCCQQSSCQSSCCQSPCCVPLCCKPVCCTPICSGSPSCCQPSCCAPVCCKPCSSLSLLCR PVCRPACCVPTSSCCASSCQPSGCGPTSSVSLLCRPACCRQACCGQKSNC >ENSMUSP00000054790.4 pep:known chromosome:GRCm38:9:19584511:19585502:1 gene:ENSMUSG00000043087.4 transcript:ENSMUST00000061693.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr855 description:olfactory receptor 855 [Source:MGI Symbol;Acc:MGI:3030689] RNMEPQNKTFVLQFLLLGFTDDAELQCLIFSLFLFIYLVTILGNLLIILCISSESHLQTP MYFFLSNLSFNDIGLSTATVIKMLVNIQANDQSITYTDCLTQLFFVLAFAYFENFLLTVM AYDRYAAICHPLRYNIIMNPNLCVLLSLNSLFISVMDSLIHTLMVQRLSFCTDLEIPHFF CELDQVIKLSCSDTRIDNIVLFVATCVFGGVPLCGIIYSYYHIMSTILKIASLEGKYKAF STCGTHLSVVSLFYGAGSMVYISSAISASPGKSAVASVMYSVLPQMMNPFIYSLRNKDMK VAIRNLFSRTISLE >ENSMUSP00000127257.1 pep:known chromosome:GRCm38:18:34409423:34441794:1 gene:ENSMUSG00000014503.14 transcript:ENSMUST00000166156.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd2l2 description:polycystic kidney disease 2-like 2 [Source:MGI Symbol;Acc:MGI:1858231] MSEATWWYRGGTSKHDLHYRREAEVNTTLEELLLYFIFLINLCILTFGMVNPHMYYLNKV MSSLFVDTSLPDDERSSFRSIRSITEFWKFMEGPLIDGLYWDSWYGNKQLYSVKNSSRIY YENVLLGIPRVRQLRVRNNTCKVYPAFQSLVSDCYSKYTVENEDFSDFGLKRNPEWTHTP SSRTAPWHWGFVGVYRDGGYIVTLSKSKSETKAKFVDLRLNNWISRGTRAVFIDFSLYNA NVNLFCIIRLLAEFPATGGLLTSWQFYSVKLLRYVSYYDYFIASCEVIFCIFLFVFIIQE LRKVNEFKSAYFRSVWNWLEMLLLLLCFLAVSFYAYCNMQSFLLLGQLLKNTDSYPDFYF LAYWHIYYNNVIAITIFFAWIKIFKFISFNETMSQLSSTLSRCMKDIVGFAIMFFIIFSA YAQLGFLVFGSQVDDFSTFQNSIFAQFRIVLGDFNFAGIQQANWILGPIYFITFIFFVFF VLLNMFLAIINDTYSEVKADYSIGRRPDFELGKIIQKSCFNVLEKLRLKKAQAKEEKKMQ TTDLAQRARRDGFDESEIQEAEQMKRWKERLEKKYYSTEIQDDYQPVTQQEFRELFLYAV ELEKELHYVSLKLNQLMRKLH >ENSMUSP00000014647.7 pep:known chromosome:GRCm38:18:34409423:34442789:1 gene:ENSMUSG00000014503.14 transcript:ENSMUST00000014647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd2l2 description:polycystic kidney disease 2-like 2 [Source:MGI Symbol;Acc:MGI:1858231] MSEATWWYRGGTSKHDLHYRREAEVNTTLEELLLYFIFLINLCILTFGMVNPHMYYLNKV MSSLFVDTSLPDDERSSFRSIRSITEFWKFMEGPLIDGLYWDSWYGNKQLYSVKNSSRIY YENVLLGIPRVRQLRVRNNTCKVYPAFQSLVSDCYSKYTVENEDFSDFGLKRNPEWTHTP SSRTAPWHWGFVGVYRDGGYIVTLSKSKSETKAKFVDLRLNNWISRGTRAVFIDFSLYNA NVNLFCIIRLLAEFPATGGLLTSWQFYSVKLLRYVSYYDYFIASCEVIFCIFLFVFIIQE LRKVNEFKSAYFRSVWNWLEMLLLLLCFLAVSFYAYCNMQSFLLLGQLLKNTDSYPDFYF LAYWHIYYNNVIAITIFFAWIKIFKFISFNETMSQLSSTLSRCMKDIVGFAIMFFIIFSA YAQLGFLVFGSQVDDFSTFQNSIFAQFRIVLGDFNFAGIQQANWILGPIYFITFIFFVFF VLLNMFLAIINDTYSEVKADYSIGRRPDFELGKIIQKSCFNVLEKLRLKKAQAKEEKKMQ TTDLAQRARRDGFDESEIQEAEQMKRWKERLEKKYYSTEIQDDYQPVTQQEFRELFLYAV ELEKELHYVSLKLNQLMRKLH >ENSMUSP00000087405.6 pep:known chromosome:GRCm38:14:47153895:47189413:-1 gene:ENSMUSG00000037580.9 transcript:ENSMUST00000089959.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gch1 description:GTP cyclohydrolase 1 [Source:MGI Symbol;Acc:MGI:95675] MEKPRGVRCTNGFSERELPRPGASPPAEKSRPPEAKGAQPADAWKAGRHRSEEENQVNLP KLAAAYSSILLSLGEDPQRQGLLKTPWRAATAMQYFTKGYQETISDVLNDAIFDEDHDEM VIVKDIDMFSMCEHHLVPFVGRVHIGYLPNKQVLGLSKLARIVEIYSRRLQVQERLTKQI AVAITEALQPAGVGVVIEATHMCMVMRGVQKMNSKTVTSTMLGVFREDPKTREEFLTLIR S >ENSMUSP00000083414.2 pep:known chromosome:GRCm38:9:25089422:25089490:1 gene:ENSMUSG00000066810.2 transcript:ENSMUST00000086238.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10181 description:predicted gene 10181 [Source:MGI Symbol;Acc:MGI:3642432] RGERRERERERERERERERERER >ENSMUSP00000049686.3 pep:known chromosome:GRCm38:8:70616844:70620850:1 gene:ENSMUSG00000049988.3 transcript:ENSMUST00000052437.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc25 description:leucine rich repeat containing 25 [Source:MGI Symbol;Acc:MGI:2445284] MGSIRTRLLWLCLLMLLALLHKSGSQDLTCMVHPSRVDWTQTFNGTCLNFSGLGLSLPRS PLQASHAQVLDLSKNGLQVLPGAFFDKLEKLQTLIVTHNQLDSVDRSLALRCDLELKADC SCGLASWYALRQNCSGQQQLLCLHPATEAPRNLSTFLQVSCPPSWGPGTIGALVAGTISL AVAVSGSVLAWRLLRRRRRASEHSLSKAQMSPHDIPKPVTDFLPRYSSRRPGPKAPDSPP SRFTMDYENVFIGQPAEDCSWSAARNSPSGDSDCYMNYRSVDQDSQPVYCNLESLGR >ENSMUSP00000043488.7 pep:known chromosome:GRCm38:10:121587380:121626316:-1 gene:ENSMUSG00000034667.7 transcript:ENSMUST00000039810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpot description:exportin, tRNA (nuclear export receptor for tRNAs) [Source:MGI Symbol;Acc:MGI:1920442] MDEQALLGLNPNADSDFRQRALAYFEQLKISPDAWQVCAEALAQKTYSDDHVKFFCFQVL EHQVKYKYSELSTAQQQLIRETLLSWLQAQMQNPQPEKTFIRNKAAQVFALLFVTEYLTK WPKFFFDILSVVDLNPRGVDLYLRILMAIDSELVDRDVVHTSEASGLENTLIKDTMREQC IPNLVESWYQILHNYQYTNSEVLCQCLEVVGAYVSWIDLSLIANDRFINMLLGHMSVEVL REEACDCLFEIVNKGMDPVDKMKLVESLCQVLQTAGFFSIDQEEDLDFVARFSKLVNGMG QSLIVSWTKLIKNGAVKNAQEALEAIETKVPLMLQLLVHEDDDISSNIIGFCYDYLHILK QLPVLSDQQKANVEAIMLAVMKKLTYDEEYNFENEGEDEAMFVEYRKQLKLLLDRLAQVS PELVLASVRRVFSATLQNWQTTRFMEVEVAVRLLYMLAEALPVSHGAHFSGDVSKASALQ DMMRTLVTSGVSSYQHTSVTLEFFETVVRYEKFFTVEPQHIPCVLMAFLDHRGLWHSSAK VRSRTAYLFSRFVKSLNKQMNPYIEEILNRIQDLLALSPPENGYQSLLSSDDQLFIYETA GALIVNSEYPAENKQALMKDLLTPLMERFKVLLEKLMMAQDEERQASLADSLNHAVGFAS RTSKAFSNKQTVKQCGCSQVYLDCLQTFLPALSCPLQKDVLRSGVRTFLHRMIICLEEEV LPFIPSASEHMLKDCEAKDLQEFIPLINQITAKFKMQVSPFLQQMFMPLLHAIFEVLLRP AEDNDQSAALEKQMLRRSYFAFLQTVTGSGMSEVIANQGAENVEQVLVTIIQGAVDYPDP IAQKTCFIILSKLVELWGGKDGPVGFADFVYKHIVPACFLAPLKQTFDLADAQTVLALSE CAVTLKTIHLKRGPECVQYLQQEYLPSLQVAPEIIQEFCQALQQPDAKVFKNYLKVFFQR AKP >ENSMUSP00000014691.8 pep:known chromosome:GRCm38:14:62837690:62956886:1 gene:ENSMUSG00000014547.9 transcript:ENSMUST00000014691.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdfy2 description:WD repeat and FYVE domain containing 2 [Source:MGI Symbol;Acc:MGI:2442811] MAAEIQPQPLTRKPILLQRVEGSQEVVNMAVIVPKEEGVISVSEDRTVRVWLKRDSGQYW PSIYHAMPSPCSCMSFNPETRRLSIGLDNGTISEFILSEDYNKMTPVKNYQAHQSRVTMV LFVLELEWVLSTGQDKQFAWHCSESGQRLGGYRTSAVASGLQFDVETRHVFIGDHSGQVT ILKLEQENCTLLTSFRGHTGGVTALCWDPVQRVLFSGSSDHSVIMWDIGGRKGTAIELQG HNDKVQALSYAQHTRQLISCGGDGGIVVWNMDVERQETPEWLDSDSCQKCDQPFFWNFKQ MWDSKKIGLRQHHCRKCGKAVCGKCSSKRSSIPLMGFEFEVRVCDSCHEAITDEERAPTA TFHDSKHNIVHVHFDATRGWLLTSGTDKVIKLWDMTPVVS >ENSMUSP00000034056.4 pep:known chromosome:GRCm38:8:45395665:45410539:-1 gene:ENSMUSG00000031639.11 transcript:ENSMUST00000034056.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr3 description:toll-like receptor 3 [Source:MGI Symbol;Acc:MGI:2156367] MKGCSSYLMYSFGGLLSLWILLVSSTNQCTVRYNVADCSHLKLTHIPDDLPSNITVLNLT HNQLRRLPPTNFTRYSQLAILDAGFNSISKLEPELCQILPLLKVLNLQHNELSQISDQTF VFCTNLTELDLMSNSIHKIKSNPFKNQKNLIKLDLSHNGLSSTKLGTGVQLENLQELLLA KNKILALRSEELEFLGNSSLRKLDLSSNPLKEFSPGCFQTIGKLFALLLNNAQLNPHLTE KLCWELSNTSIQNLSLANNQLLATSESTFSGLKWTNLTQLDLSYNNLHDVGNGSFSYLPS LRYLSLEYNNIQRLSPRSFYGLSNLRYLSLKRAFTKQSVSLASHPNIDDFSFQWLKYLEY LNMDDNNIPSTKSNTFTGLVSLKYLSLSKTFTSLQTLTNETFVSLAHSPLLTLNLTKNHI SKIANGTFSWLGQLRILDLGLNEIEQKLSGQEWRGLRNIFEIYLSYNKYLQLSTSSFALV PSLQRLMLRRVALKNVDISPSPFRPLRNLTILDLSNNNIANINEDLLEGLENLEILDFQH NNLARLWKRANPGGPVNFLKGLSHLHILNLESNGLDEIPVGVFKNLFELKSINLGLNNLN KLEPFIFDDQTSLRSLNLQKNLITSVEKDVFGPPFQNLNSLDMRFNPFDCTCESISWFVN WINQTHTNISELSTHYLCNTPHHYYGFPLKLFDTSSCKDSAPFELLFIISTSMLLVFILV VLLIHIEGWRISFYWNVSVHRILGFKEIDTQAEQFEYTAYIIHAHKDRDWVWEHFSPMEE QDQSLKFCLEERDFEAGVLGLEAIVNSIKRSRKIIFVITHHLLKDPLCRRFKVHHAVQQA IEQNLDSIILIFLQNIPDYKLNHALCLRRGMFKSHCILNWPVQKERINAFHHKLQVALGS RNSAH >ENSMUSP00000126556.1 pep:known chromosome:GRCm38:8:45395911:45410608:-1 gene:ENSMUSG00000031639.11 transcript:ENSMUST00000167106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr3 description:toll-like receptor 3 [Source:MGI Symbol;Acc:MGI:2156367] MKGCSSYLMYSFGGLLSLWILLVSSTNQCTVRYNVADCSHLKLTHIPDDLPSNITVLNLT HNQLRRLPPTNFTRYSQLAILDAGFNSISKLEPELCQILPLLKVLNLQHNELSQISDQTF VFCTNLTELDLMSNSIHKIKSNPFKNQKNLIKLDLSHNGLSSTKLGTGVQLENLQELLLA KNKILALRSEELEFLGNSSLRKLDLSSNPLKEFSPGCFQTIGKLFALLLNNAQLNPHLTE KLCWELSNTSIQNLSLANNQLLATSESTFSGLKWTNLTQLDLSYNNLHDVGNGSFSYLPS LRYLSLEYNNIQRLSPRSFYGLSNLRYLSLKRAFTKQSVSLASHPNIDDFSFQWLKYLEY LNMDDNNIPSTKSNTFTGLVSLKYLSLSKTFTSLQTLTNETFVSLAHSPLLTLNLTKNHI SKIANGTFSWLGQLRILDLGLNEIEQKLSGQEWRGLRNIFEIYLSYNKYLQLSTSSFALV PSLQRLMLRRVALKNVDISPSPFRPLRNLTILDLSNNNIANINEDLLEGLENLEILDFQH NNLARLWKRANPGGPVNFLKGLSHLHILNLESNGLDEIPVGVFKNLFELKSINLGLNNLN KLEPFIFDDQTSLRSLNLQKNLITSVEKDVFGPPFQNLNSLDMRFNPFDCTCESISWFVN WINQTHTNISELSTHYLCNTPHHYYGFPLKLFDTSSCKDSAPFELLFIISTSMLLVFILV VLLIHIEGWRISFYWNVSVHRILGFKEIDTQAEQFEYTAYIIHAHKDRDWVWEHFSPMEE QDQSLKFCLEERDFEAGVLGLEAIVNSIKRSRKIIFVITHHLLKDPLCRRFKVHHAVQQA IEQNLDSIILIFLQNIPDYKLNHALCLRRGMFKSHCILNWPVQKERINAFHHKLQVALGS RNSAH >ENSMUSP00000035992.7 pep:known chromosome:GRCm38:15:78877190:78894585:1 gene:ENSMUSG00000033128.8 transcript:ENSMUST00000041587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gga1 description:golgi associated, gamma adaptin ear containing, ARF binding protein 1 [Source:MGI Symbol;Acc:MGI:2146207] MEPAMEPETLEARINRATNPLNKELNWASINSFCEQLNEDFEGPPLATRLLAHKIQSPQE WEAIQALTVLETCMKSCGKRFHDEVGKFRFLNELIKVVSPKYLGSRTSEKVKSKILELLY SWTVCLPEEVKIAEAYQMLKKQGIVKSDPKLPEDAIFPLPPPRPKNVIFEDEEKSKMLAR LLKSSHPEDLRAANKLIKEMVQEDQKRMEKISKRVNAIEEVNNNVKLLTEMVMSHSQGAA SSSSEDLMKELYQRCERMRPTLFRLASDTEDNDEALAEILQANDNLTQVINLYKQLVRGE EVNGDATASSIPGSTSALLDLSGLDLPPPGTTQPATPTRPGNQSSPEQLSASVSLLDDEL MSLGLSDPTPPSGTSSDSVGWDNFQSSDGTESSVPPPAQAPSMDCRPPAQAPPPTSSGLD DLDLLGKTLMQQALPPEAQQVRWEKQQPAPRLTLRDLQSKSSSPSPGAASLLHTTSPEPP GPPPQATPTEFSLTSITVPLESIKPSSILPVTVYDQHGFRVLFHFARDPLPGRSDVLVVV VSMLSTAPQPIRNIVFQSAVPKVMKVRLQPPSGTELPAFNPIVHPSAITQVLLLANPQKE KVRLRYKLIFTMGDQTYNEMGDVDQFPPPETWGSL >ENSMUSP00000043671.7 pep:known chromosome:GRCm38:8:111448784:111522107:-1 gene:ENSMUSG00000031959.14 transcript:ENSMUST00000038193.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr59 description:WD repeat domain 59 [Source:MGI Symbol;Acc:MGI:2442115] MAARWSSENVVVEFRDSQATAMSVDCLGQHAVLSGRRFLYIVNLDAPFEGHRKISRQSKW DIGAVQWNPHDSFAHYFAASSNQRVDLYKWKDGSGEVGTTLQGHTRVISDLDWAVFEPDL LVTSSVDTYIYIWDIKDTRKPTVALSAVAGASQVKWNKKNANYLATSHDGDVRIWDKRKP STAVEYLAAHLSKIHGLDWHPDSEHIFATSSQDNSVKFWDYRQPRKYLNILPCQVPVWKA RYTPFSNGLVTVMVPQLRRENSLLLWNASDLNAPVHTFVGHDDVVLEFQWRRQKEGSKDY QLVTWSRDQTLRMWRVDYQMQRLCANDILDGVDEFIESISLLPEPEKTPHPQDIDHQPSL SHGEEDAIKEDPPSSLLEEKRSDQLGLPQTLQQEFSLINVQIRNVNVEMDAADRSCTVSV HCSNHRVKMLVTFPAQYPNNAAPSFQFINPTTITSAVKAKLLKILKDTSLQKVKRNQSCL EPCLRQLVSCLESFVNQEDSASSNPFALQNSVTPPLPTFARVTTAYGSYQDANIPFPRTS GARFCGAGYLVYFTRPMTMHRAVSPTEPTPRSLSALSAYHTGLIAPMKIRTEAPGNLRLY SGSPTRSEKEQVSISSFYYKERMSPRSARRRWSIQAINDFPKSRRWKSKREGSDSGNRPI KAAGKVIIQDVSCLLPVHKSLGELYILNVNDTQETCQKNATSAMLVGRKDLVQVWSLATV ATDLCLGPKSDPDLETPWARHPFGRQLLESLLAHYCQLRDVQTLAMLCSVFEAQSRPQGL PNPFGPFPNRSSNLVVSHSRYPSFTSSGSCSSMSDPGFNTGGWNIAGRETEHISSPWGES SPEELRFGSLTYSDPRERERDQHDKNKRLLDPANTQQFDDFKKCYGEILYRWGLREKRAE VLKFVSCPPDPHKGIEFGVYCSHCRSEVRGTQCAICKGFTFQCAICHVAVRGSSNFCLTC GHGGHTSHMMEWFRTQEVCPTGCGCHCLLESTF >ENSMUSP00000034437.6 pep:known chromosome:GRCm38:8:111448784:111522107:-1 gene:ENSMUSG00000031959.14 transcript:ENSMUST00000034437.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr59 description:WD repeat domain 59 [Source:MGI Symbol;Acc:MGI:2442115] MAARWSSENVVVEFRDSQATAMSVDCLGQHAVLSGRRFLYIVNLDAPFEGHRKISRQSKW DIGAVQWNPHDSFAHYFAASSNQRVDLYKWKDGSGEVGTTLQGHTRVISDLDWAVFEPDL LVTSSVDTYIYIWDIKDTRKPTVALSAVAGASQVKWNKKNANYLATSHDGDVRIWDKRKP STAVEYLAAHLSKIHGLDWHPDSEHIFATSSQDNSVKFWDYRQPRKYLNILPCQVPVWKA RYTPFSNGLVTVMVPQLRRENSLLLWNASDLNAPVHTFVGHDDVVLEFQWRRQKEGSKDY QLVTWSRDQTLRMWRVDYQMQRLCANDILDGVDEFIESISLLPEPEKTPHPQDIDHQPSL SHGEEDAIKEDPPSSLLEEKRSDQLGLPQTLQQEFSLINVQIRNVNVEMDAADRSCTVSV HCSNHRVKMLVTFPAQYPNNAAPSFQFINPTTITSAVKAKLLKILKDTSLQKVKRNQSCL EPCLRQLVSCLESFVNQEDSASSNPFALQNSVTPPLPTFARVTTAYGSYQDANIPFPRTS GARFCGAGYLVYFTRPMTMHRAVSPTEPTPRSLSALSAYHTGLIAPMKIRTEAPGNLRLY SGSPTRSEKEQVSISSFYYKERKSRRWKSKREGSDSGNRPIKAAGKVIIQDVSCLLPVHK SLGELYILNVNDTQETCQKNATSAMLVGRKDLVQVWSLATVATDLCLGPKSDPDLETPWA RHPFGRQLLESLWGDRESTRVCGPPLSGARLAHYCQLRDVQTLAMLCSVFEAQSRPQGLP NPFGPFPNRSSNLVVSHSRYPSFTSSGSCSSMSDPGFNTGGWNIGRETEHISSPWGESSP EELRFGSLTYSDPRERERDQHDKNKRLLDPANTQQFDDFKKCYGEILYRWGLREKRAEVL KFVSCPPDPHKGIEFGVYCSHCRSEVRGTQCAICKGFTFQCAICHVAVRGSSNFCLTCGH GGHTSHMMEWFRTQEVCPTGCGCHCLLESTF >ENSMUSP00000033915.7 pep:known chromosome:GRCm38:8:54954728:55060877:1 gene:ENSMUSG00000031517.7 transcript:ENSMUST00000033915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpm6a description:glycoprotein m6a [Source:MGI Symbol;Acc:MGI:107671] MEENMEEGQTQKGCFECCIKCLGGIPYASLIATILLYAGVALFCGCGHEALSGTVNILQT YFELARTAGDTLDVFTMIDIFKYVIYGIAAAFFVYGILLMVEGFFTTGAIKDLYGDFKIT TCGRCVSAWFIMLTYLFMLAWLGVTAFTSLPVYMYFNVWTICRNTTLVEGANLCLDLRQF GIVTIGEEKKICTASENFLRMCESTELNMTFHLFIVALAGAGAAVIAMVHYLMVLSANWA YVKDACRMQKYEDIKSKEEQELHDIHSTRSKERLNAYT >ENSMUSP00000025831.6 pep:known chromosome:GRCm38:19:24999529:25202432:1 gene:ENSMUSG00000052085.6 transcript:ENSMUST00000025831.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock8 description:dedicator of cytokinesis 8 [Source:MGI Symbol;Acc:MGI:1921396] MATLPSAERRAFALKINRYSSSEIRKQFTLPPNLGQYHRHSISTSGFPSLQLPQLYEPVE PVDFEGLVMTHLNSLDAELAQELGDLTDDDLHVAFTPKECRTLQHSLPEEGVELDPHVRD CVQTYIREWLIVNRKNQGSSEFCSFKKTGSRRDFQKTLQKQTFESETLECSEPDTQTGPR HPLNVLCDVSGKGPLTSCDFDLRSLQPDERLENLLQLVSAEDFEKEKEEARKTNRPAELF ALYPPVDEEDAVEIRPVPECPKEHLGNRILVKVLTLKFEIEIEPLFASIALYDVKERKKI SENFHCDLNSDQFKGFLRAHTPSIDPSSQARSAVFSVTYPSSDIYLVVKIEKVLQQGEIA DCAEPYMIIKESDGGKSKEKVEKLKLQAESFCQRLGKYRMPFAWAPISLASFFNISTLER ESTDVEPGVGRNSVGEKRSLSQSRRPSERTLSLEENGVGSNFKATTLATNIFFKQEGDRL SDEDLFKFLADYKRSSSLQRRVKSIPGSLRLEISPAPDVMNCCLTPEMLPVKPFPENRTR PHKEILEFPIREVYVPHTVYRNLLYVYPQRLNFASKLASARNITIKIQFMCGEDPSNAMP VIFGKSSGPEFLQEVYTAITYHNKSPDFYEEVKIKLPAKLTVNHHLLFTFYHISCQQKQG ASGESLLGYSWLPILLNERLQTGSYCLPVALEKLPPNYSIHSAEKVPLQNPPIKWAEGHK GVFNIEVQAVSSVHTQDNHLEKFFTLCHSLESQVSFPIRVLDQKITESTLEHELKLSIIC LNSSRLEPLVLFLHLVLDKLFQLSVQPMVIAGQTANFSQFAFESVVAIANSLHNSKDLRK DQHGRNCLLASYVHYVFRLPELHRDTSKSGGPTTVVPDPRYHTYGRTSAAAVSSKLMQAR VMSSSNPDLTGSHCAADEEVKNIMSSKIADRNCSRMSYYCSGNSDAPGSTAAPRPVSKKH FHEELALQMVVSTGVVRETVFKYAWFFFELLVKSMAQYVHNLDKRDSFRRTRFSDRFKDD ITTIVNVVTSEIAALLVKPQKESEQAEKINISLAFFLYDLLSIMDRGFVFNLIKHYCSQL SAKLNILPTLISMRLEFLRILCSHEHYLNLNLLFMNTDTAPASPCPSISSQNSSSCSSFQ DQKIASMFDLTPEYRQQHFLTGLLFTELAVALDAEGDGISRVQRKAVSAIHSLLCSHDLD PRCRKPEVKVKIAALYLPLVGIILDALPQLYDFTDARSGRSRASGSYEEQDVANGINQNV ALAIAGNHFNLKTSGAMLSSLPYKQYNMLNADTTRHLMICFLWIMKNADQSLIRKWIADL PSMQLNRILDLLFICVSCFEYKGKQSSDKVSNQVLQKSRDVKAKLEEALLRGEGARGEMM RRRIPGTDRFPGINENLRWRKEQTQWRQANEKLDKTKAELDQEALISGNLATEANLIILD MQENIIQASSALDCKDSLLGGVLRVLVNSLSCDQSTTYLTHCFATLRALIAKFGDLLFEE EMEQCADLCQRVLHHCSSSMDVTRSQACATLYLLMRFSFGATSNFARVKMQVTMALASLV GKAPDFNEEHLRRSLRTILAYSEEDTAMQTTPFPMQVEELLCNLNSILYDTVKMREFQED PEMLMDLMYRIAKSYQASPDLRLTWLQNMAEKHTKKKCFTEAAMCLVHAAALVAEYLSML EDHSYLPVGSVSFQNISSNVLEESAVSDDTLSPDEDGVCSGRYFTESGLVGLLEQAAELF STGGLYETVNEVYKLVIPILEAHRDFRKLTSTHDKLQKAFDNIINKDHKRMFGTYFRVGF YGSRFGDLDEQEFVYKEPAITKLPEISHRLEGFYGQCFGAEFVEVIKDSTPVDKTKLDPN KAYIQITFVEPYFDEYEMKDRVTYFEKNFNLRRFMYTTPFTLEGRPRGELHEQHRRNTVL TTMHAFPYIKTRIRVSQKEEFVLTPIEVAIEDMKKKTLQLAVATHQEPPDAKMLQMVLQG SVGATVNQGPLEVAQVFLAEIPADPKLYRHHNKLRLCFKEFIMRCGEAVEKNRRLITAEQ REYQQELKKNYNKLRDSLRPMIERKIPELYKPIFRVDSQKRDSFHRSSFRKCETQLSQGS >ENSMUSP00000126137.1 pep:known chromosome:GRCm38:15:7154353:7197489:1 gene:ENSMUSG00000054263.11 transcript:ENSMUST00000171588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lifr description:leukemia inhibitory factor receptor [Source:MGI Symbol;Acc:MGI:96788] MAAYSWWRQPSWMVDNKRSRMTPNLPWLLSALTLLHLTMHANGLKRGVQDLKCTTNNMRV WDCTWPAPLGVSPGTVKDICIKDRFHSCHPLETTNVKIPALSPGDHEVTINYLNGFQSKF TLNEKDVSLIPETPEILDLSADFFTSSLLLKWNDRGSALPHPSNATWEIKVLQNPRTEPV ALVLLNTMLSGKDTVQHWNWTSDLPLQCATHSVSIRWHIDSPHFSGYKEWSDWSPLKNIS WIRNTETNVFPQDKVVLAGSNMTICCMSPTKVLSGQIGNTLRPLIHLYGQTVAIHILNIP VSENSGTNIIFITDDDVYGTVVFAGYPPDVPQKLSCETHDLKEIICSWNPGRITGLVGPR NTEYTLFESISGKSAVFHRIEGLTNETYRLGVQMHPGQEIHNFTLTGRNPLGQAQSAVVI NVTERVAPHDPTSLKVKDINSTVVTFSWYLPGNFTKINLLCQIEICKANSKKEVRNATIR GAEDSTYHVAVDKLNPYTAYTFRVRCSSKTFWKWSRWSDEKRHLTTEATPSKGPDTWREW SSDGKNLIVYWKPLPINEANGKILSYNVSCSLNEETQSVLEIFDPQHRAEIQLSKNDYII SVVARNSAGSSPPSKIASMEIPNDDITVEQAVGLGNRIFLTWRHDPNMTCDYVIKWCNSS RSEPCLLDWRKVPSNSTETVIESDQFQPGVRYNFYLYGCTNQGYQLLRSIIGYVEELAPI VAPNFTVEDTSADSILVKWDDIPVEELRGFLRGYLFYFQKGERDTPKTRSLEPHHSDIKL KNITDISQKTLRIADLQGKTSYHLVLRAYTHGGLGPEKSMFVVTKENSVGLIIAILIPVA VAVIVGVVTSILCYRKREWIKETFYPDIPNPENCKALQFQKSVCEGSNALKTLEMNPCTP NNVEVLESRSIVPKIEDTEIISPVAERPGERSEVDPENHVVVSYCPPIIEEEITNPAADE VGGASQVVYIDVQSMYQPQAKAEEEQDVDPVVVAGYKPQMRLPISPAVEDTAAEDEEGKT AGYRPQANVNTWNLVSPDSPRSTDSNNEVVSFGSPCSINSRQFLIPPKDEDSPKSNGGGW SFTNFFQNKPND >ENSMUSP00000131434.1 pep:known chromosome:GRCm38:15:7129572:7185335:1 gene:ENSMUSG00000054263.11 transcript:ENSMUST00000164529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lifr description:leukemia inhibitory factor receptor [Source:MGI Symbol;Acc:MGI:96788] MAAYSWWRQPSWMVDNKRSRMTPNLPWLLSALTLLHLTMHANGLKRGVQDLKCTTNNMRV WDCTWPAPLGVSPGTVKDICIKDRFHSCHPLETTNVKIPALSPGDHEVTINYLNGFQSKF TLNEKDVSLIPETPEILDLSADFFTSSLLLKWNDRGSALPHPSNATWEIKVLQNPRTEPV ALVLLNTMLSGKDTVQHWNWTSDLPLQCATHSVSIRWHIDSPHFSGYKEWSDWSPLKNIS WIRNTETNVFPQDKVVLAGSNMTICCMSPTKVLSGQIGNTLRPLIHLYGQTVAIHILNIP VSENSGTNIIFITDDDVYGTVVFAGYPPDVPQKLSCETHDLKEIICSWNPGRITGLVGPR NTEYTLFESISGKSAVFHRIEGLTNETYRLGVQMHPGQEIHNFTLTGRNPLGQAQSAVVI NVTERVAPHDPTSLKVKDINSTVVTFSWYLPGNFTKINLLCQIEICKANSKKEVRNATIR GAEDSTYHVAVDKLNPYTAYTFRVRCSSKTFWKWSRWSDEKRHLTTEATPSKGPDTWREW SSDGKNLIVYWKPLPINEANGKILSYNVSCSLNEETQSVLEIFDPQHRAEIQLSKNDYII SVVARNSAGSSPPSKIASMEIPNDDITVEQAVGLGNRIFLTWRHDPNMTCDYVIKWCNSS RSEPCLLDWRKVPSNSTETVIESDQFQPGVRYNFYLYGCTNQGYQLLRSIIGYVEELEA >ENSMUSP00000064551.5 pep:known chromosome:GRCm38:15:7129559:7191743:1 gene:ENSMUSG00000054263.11 transcript:ENSMUST00000067190.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lifr description:leukemia inhibitory factor receptor [Source:MGI Symbol;Acc:MGI:96788] MAAYSWWRQPSWMVDNKRSRMTPNLPWLLSALTLLHLTMHANGLKRGVQDLKCTTNNMRV WDCTWPAPLGVSPGTVKDICIKDRFHSCHPLETTNVKIPALSPGDHEVTINYLNGFQSKF TLNEKDVSLIPETPEILDLSADFFTSSLLLKWNDRGSALPHPSNATWEIKVLQNPRTEPV ALVLLNTMLSGKDTVQHWNWTSDLPLQCATHSVSIRWHIDSPHFSGYKEWSDWSPLKNIS WIRNTETNVFPQDKVVLAGSNMTICCMSPTKVLSGQIGNTLRPLIHLYGQTVAIHILNIP VSENSGTNIIFITDDDVYGTVVFAGYPPDVPQKLSCETHDLKEIICSWNPGRITGLVGPR NTEYTLFESISGKSAVFHRIEGLTNETYRLGVQMHPGQEIHNFTLTGRNPLGQAQSAVVI NVTERVAPHDPTSLKVKDINSTVVTFSWYLPGNFTKINLLCQIEICKANSKKEVRNATIR GAEDSTYHVAVDKLNPYTAYTFRVRCSSKTFWKWSRWSDEKRHLTTEATPSKGPDTWREW SSDGKNLIVYWKPLPINEANGKILSYNVSCSLNEETQSVLEIFDPQHRAEIQLSKNDYII SVVARNSAGSSPPSKIASMEIPNDDITVEQAVGLGNRIFLTWRHDPNMTCDYVIKWCNSS RSEPCLLDWRKVPSNSTETVIESDQFQPGVRYNFYLYGCTNQGYQLLRSIIGYVEELAPI VAPNFTVEDTSADSILVKWDDIPVEELRGFLRGYLFYFQKGERDTPKTRSLEPHHSDIKL KNITDISQKTLRIADLQGKTSYHLVLRAYTHGGLGPEKSMFVVTKENSVGLIIAILIPVA VAVIVGVVTSILCYRKREWIKETFYPDIPNPENCKALQFQKSVCEGSNALKTLEMNPCTP NNVEVLESRSIVPKIEDTEIISPVAERPGERSEVDPENHVVVSYCPPIIEEEITNPAADE VGGASQVVYIDVQSMYQPQAKAEEEQDVDPVVVAGYKPQMRLPISPAVEDTAAEDEEGKT AGYRPQANVNTWNLVSPDSPRSTDSNNEVVSFGSPCSINSRQFLIPPKDEDSPKSNGGGW SFTNFFQNKPND >ENSMUSP00000064336.7 pep:known chromosome:GRCm38:15:80711319:80941086:1 gene:ENSMUSG00000047888.9 transcript:ENSMUST00000067689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnrc6b description:trinucleotide repeat containing 6b [Source:MGI Symbol;Acc:MGI:2443730] MQTNEGEVEEESSSQVEQEDFVMEGHGKTPPPGEESKQEKEQEREEQLMEDKKRKKEDKK KKEATQKVTEQKTKVPEVTKPSLSQPTAASPIGSSPSPPVNGGNNAKRVAVPNGQPPSAA RYMPREVPPRFRCQQDHKVLLKRGQPPPPSCMLLGGGAGPPPCTAPGANPNNNAQVTGAL LQSESGTAPESTLGGAAASNYANSTWGPGASSNSGASPNPIHIWDKVIVDGSDMEEWPCI ASKDTESSSENTTDNNSASNPGSEKSSLPGSTTSNKGKGSQCQAASSGNECNLGVWKSDP KAKSVQPPNSTSDSNNGLGNWRSTSGQDRIGPGSGFSNFNPNSNPSAWPALVQEGTSRKG ALETESSSSSAQVSTVGQASREQQSKMENAGVNFVVSGREQAQIHNTDGPKNGNTNSLNL SSPNPMENKGMPFGMGLGNTSRSTDAPSQSTGDRKTGSVGSWGAARGPSGTDTVSGQSNS GNNGNNGKDREDSWKGASVPKPTGSKSDSWDNNNRSTGGSWNFGPQDNNDNKWGEGNKMT SGVSQGEWKQPTGSDELKIGEWSGPNQPNSSTGAWDNQKGHPLPENQGNAQAPCWGRSSS SAGSEVGGQSTGSNHKAGSSDSHNSGRRSYRPTHPDCQAVLQTLLSRTDLDPRVLSNTGW GQTQIKQDTVWDIEEVPRPEGKSDKGTEGWESAATQTKNSGGWGDAPSQSNQMKSGWGEL SASTEWKDPKSTGGWNDYKNNNSSNWGGGRADEKTPSSWNESSCKDQGWGGGRQPNQGWT SGKNGWGEEVDQVKNNNWESSANKPVSGWGEGGQNEIGTWGNGGNTNLASKGGWEDCKRS PAWNETGRQPNSWNKQHQQQQQPPPPQPEASGSWGGPPPPPQGNVRPSNSNWSSGPQPTT PKDDEPSGWEEPSPQSISRKMDIDDGTSAWGDPNSYNYKNVNLWDKNSQGGPAPREPNLP TPMTGKSASVWSKSTPPAPDNGTSAWGEPNESSPGWGEMDDAGASTTGWGNTPANAPNAM KPNSKSMQDGWGESDGPVTGARHPSWEEEDDGGVWNTAGSQGSTSSHNSASWGQGGKKQM KCSLKGGNNDSWMNPLAKQFSNMGLLSQTEDNPSSKMDLSVDKKFDVDKRTMNLGDFNDI MRKDRPGFRPPNSKDLGTTDSGPYFEKGGSHGLFGNSTAQSRGLHTPVQPLSSSPGLRAQ VPPQFISPQVSASMLKQFPNSGLNPGLFNVGPQLSPQQIAMLSQLPQIPQFQLACQLLLQ QQQQQQQLLQNQRKISQAVRQQQEQQLARMVSALQQQQQQQQQQQRQPSMKHSPSHPVGP KPHLDNMVPNALNVGLPDLPTKGPIPGYGSGFSSGGMDYGMVGGKEAGTESRFKQWTSMM EGLPSVATQEATMHKNGAIVAPGKTRGGSPYNQFDIIPGDTLGGHTGPAGDSWLPAKSPP TNKIGSKSSNASWPPEFQPGVPWKGIQNIDPESDPYVTPGSVLGGTTTSPIVDTDHQLLR DNTTGSNSSLNTSLPSPGAWPYSASDNSFTNVHSTSAKFPDYKSTWSPDPIGHNPTHLSN KMWKNHISSRNTTPLTRPPPGLTNPKPASPWSSTAPRSVRGWGTQDSRIASASTWSDGGS VRPSYWLVLHNLTPQIDGSTLRTICMQHGPLLTFHLNLTQGTALIRYSTKQEAAKAQTAL HMCVLGNTTILAEFATEDEVSRFLAQAQPPTPAATPSAPATGWQSLETSQNQADPVGPAL NLFGGSTGLGQWSSSAGGSSGADLAGTSLWGPPNYSSSLWGVPTVEDPHRMGSPAPLLPG DLLGGGSDSI >ENSMUSP00000072107.5 pep:known chromosome:GRCm38:16:87896196:88056176:-1 gene:ENSMUSG00000022935.14 transcript:ENSMUST00000072256.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik1 description:glutamate receptor, ionotropic, kainate 1 [Source:MGI Symbol;Acc:MGI:95814] GGIFETVENEPVNVEELAFKFAVTSINRNRTLMPNTTLTYDIQRINLFDSFEASRRACDQ LALGVAALFGPSHSSSVSAVQSICNALEVPHIQTRWKHPSVDNRDLFYINLYPDYAAISR AVLDLVLYYNWKTVTVVYEDSTGLIRLQELIKAPSRYNIKIKIRQLPSGNKDAKPLLKEM KKGKEFYVIFDCSHETAAEILKQILFMGMMTEYYHYFFTTLDLFALDLELYRYSGVNMTG FRLLNIDNPHVSSIIEKWSMERLQAPPRPETGLLDGVMTTEAALMYDAVYMVAIASHRAS QLTVSSLQCHRHKPWRLGPRFMNLIKEARWDGLTGRITFNKTDGLRKDFDLDIISLKEEG TEKIGIWNSNSGLNMTDGNRDRSNNITDSLANRTLIVTTILEEPYVMYRKSDKPLYGNDR FEGYCLDLLKELSNILGFLYDVKLVPDGKYGAQNDKGEWNGMVKELIDHRADLAVAPLTI TYVREKVIDFSKPFMTLGISILYRKPNGTNPGVFSFLNPLSPDIWMYVLLACLGVSCVLF VIARFTPYEWYNPHPCNPDSDVVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGI WWFFTLIIISSYTANLAAFLTVERMESPIDSADDLAKQTKIEYGAVRDGSTMTFFKKSKI STYEKMWAFMSSRQQSALVKNSDEGIQRVLTTDYALLMESTSIEYVTQRNCNLTQIGGLI DSKGYGVGTPIGSPYRDKITIAILQLQEEGKLHMMKEKWWRGNGCPEEDSKEASALGVEN IGGIFIVLAAGLVLSVFVAIGEFIYKSRKNNDIEQKGKSSRLRFYFRNKVRFHGSKTESL GVEKCLSFNAIMEELGISLKNQKKLKKKSRTKGKSSFTSILTCHQRRTQRKETVA >ENSMUSP00000023652.8 pep:known chromosome:GRCm38:16:87896196:88056176:-1 gene:ENSMUSG00000022935.14 transcript:ENSMUST00000023652.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik1 description:glutamate receptor, ionotropic, kainate 1 [Source:MGI Symbol;Acc:MGI:95814] GGIFETVENEPVNVEELAFKFAVTSINRNRTLMPNTTLTYDIQRINLFDSFEASRRACDQ LALGVAALFGPSHSSSVSAVQSICNALEVPHIQTRWKHPSVDNRDLFYINLYPDYAAISR AVLDLVLYYNWKTVTVVYEDSTGLIRLQELIKAPSRYNIKIKIRQLPSGNKDAKPLLKEM KKGKEFYVIFDCSHETAAEILKQILFMGMMTEYYHYFFTTLDLFALDLELYRYSGVNMTG FRLLNIDNPHVSSIIEKWSMERLQAPPRPETGLLDGVMTTEAALMYDAVYMVAIASHRAS QLTVSSLQCHRHKPWRLGPRFMNLIKEARWDGLTGRITFNKTDGLRKDFDLDIISLKEEG TEKIGIWNSNSGLNMTDGNRDRSNNITDSLANRTLIVTTILEEPYVMYRKSDKPLYGNDR FEGYCLDLLKELSNILGFLYDVKLVPDGKYGAQNDKGEWNGMVKELIDHRADLAVAPLTI TYVREKVIDFSKPFMTLGISILYRKPNGTNPGVFSFLNPLSPDIWMYVLLACLGVSCVLF VIARFTPYEWYNPHPCNPDSDVVENNFTLLNSFWFGVGALMQQGSELMPKALSTRIVGGI WWFFTLIIISSYTANLAAFLTVERMESPIDSADDLAKQTKIEYGAVRDGSTMTFFKKSKI STYEKMWAFMSSRQQSALVKNSDEGIQRVLTTDYALLMESTSIEYVTQRNCNLTQIGGLI DSKGYGVGTPIGSPYRDKITIAILQLQEEGKLHMMKEKWWRGNGCPEEDSKEASALGVEN IGGIFIVLAAGLVLSVFVAIGEFIYKSRKNNDIEQCLSFNAIMEELGISLKNQKKLKKKS RTKGKSSFTSILTCHQRRTQRKETVA >ENSMUSP00000109773.1 pep:known chromosome:GRCm38:16:87912421:88056176:-1 gene:ENSMUSG00000022935.14 transcript:ENSMUST00000114137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grik1 description:glutamate receptor, ionotropic, kainate 1 [Source:MGI Symbol;Acc:MGI:95814] MPNTTLTYDIQRINLFDSFEASRRACDQLALGVAALFGPSHSSSVSAVQSICNALEVPHI QTRWKHPSVDNRDLFYINLYPDYAAISRAVLDLVLYYNWKTVTVVYEDSTGLIRLQELIK APSRYNIKIKIRQLPSGNKDAKPLLKEMKKGKEFYVIFDCSHETAAEILKQILFMGMMTE YYHYFFTTLDLFALDLELYRYSGVNMTGFRLLNIDNPHVSSIIEKWSMERLQAPPRPETG LLDGVMTTEAALMYDAVYMVAIASHRASQLTVSSLQCHRHKPWRLGPRFMNLIKEARWDG LTGRITFNKTDGLRKDFDLDIISLKEEGTEKIGIWNSNSGLNMTDGNRDRSNNITDSLAN RTLIVTTILEEPYVMYRKSDKPLYGNDRFEGYCLDLLKELSNILGFLYDVKLVPDGKYGA QNDKGEWNGMVKELIDHRADLAVAPLTITYVREKVIDFSKPFMTLGISILYRKPNGTNPG VFSFLNPLSPDIWMYVLLACLGVSCVLFVIARFTPYEWYNPHPCNPDSDVVENNFTLLNS FWFGVGALMQQGSELMPKALSTRIVGGIWWFFTLIIISSYTANLAAFLTVERMESPIDSA DDLAKQTKIEYGAVRDGSTMTFFKKSKISTYEKMWAFMSSRQQSALVKNSDEGIQRVLTT DYALLMESTSIEYVTQRNCNLTQIGGLIDSKGYGVGTPIGSPYRDKITIAILQLQEEGKL HMMKEKWWRGNGCPEEDSKEASALGVENIGGIFIVLAAGLVLSVFVAIGEFIYKSRKNND IEQAFCFFYGLQCKQTHPTNSTSGTTLSTDVECGKLLREEKGIRTQPSVHTV >ENSMUSP00000089800.5 pep:known chromosome:GRCm38:10:117287796:117292868:-1 gene:ENSMUSG00000069515.5 transcript:ENSMUST00000092162.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyz1 description:lysozyme 1 [Source:MGI Symbol;Acc:MGI:96902] MKALLTLGLLLLSVTAQAKVYNRCELARILKRNGMDGYRGVKLADWVCLAQHESNYNTRA TNYNRGDRSTDYGIFQINSRYWCNDGKTPRSKNACGINCSALLQDDITAAIQCAKRVVRD PQGIRAWVAWRTQCQNRDLSQYIRNCGV >ENSMUSP00000035829.5 pep:known chromosome:GRCm38:10:4266329:4359468:1 gene:ENSMUSG00000038587.8 transcript:ENSMUST00000045730.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap12 description:A kinase (PRKA) anchor protein (gravin) 12 [Source:MGI Symbol;Acc:MGI:1932576] MGAGSSTEQRSPEQPAESDTPSELELSGHGPAAEASGAAGDPADADPATKLPQKNGQLSA VNGVAEQEDVHVQEESQDGQEEEVTVEDVGQRESEDVKEKDRAKEMAASSTVVEDITKDE QEETPEIIEQIPASESNVEEMAQAAESQANDVGFKKVFKFVGFKFTVKKDKNEKSDTVQL LTVKKDEGEGAEASVGAGDHQEPGVETVGESASKESELKQSTEKQEGTLKQAQSSTEIPL QAESGQGTEEEAAKDGEENREKEPTKPLESPTSPVSNETTSSFKKFFTHGWAGWRKKTSF KKPKEDDLETSEKRKEQEAEKVDEEEGEKTEPAPAEEQEPAEGTDQARLSADYEKVELPL EDQVGDLEALSEKCAPLATEVFDEKTEAHQEVVAEVHVSTVEKMTKGQGGAEVEGDVVVE GSGESLPPEKLAETQEVPQEAEPVEELMKTKEVCVSGGDHTQLTDLSPEEKMLPKHPEGI VSEVEMLSSQERIKVQGSPLKKLFSSSGLKKLSGKKQKGKRGGGGGDEEPGEYQHIQTES PESADEQKGESSASSPEEPEEIACLEKGPSEAPQEAEAEEGATSDGEKKREGITPWASFK KMVTPKKRVRRPSESDKEEELDKVKSATLSSTESTASGMQDEVRAVGEEQRSEEPKRRVD TSVSWEALICVGSSKKRARKASSSDDEGGPRTLGGDGHRAEEASKDKEADALPASTQEQD QAHGSSSPEPAGSPSEGEGVSTWESFKRLVTPRKKSKSKLEERAEDSGAEQLASEIEPSR EESWVSIKKFIPGRRKKRADGKQEQAAVEDSGPGEINEDDPDVPAVVPLSEYDAVEREKL EAQRAQENVELPQLKGAVYVSEELSKTLVHTVSVAVIDGTRAVTSAEERSPSWISASMTE PLEHAEGVATPPVGEVTEKDITAEATPALAQTLPGGKDAHDDIVTSEVDFTSEAVTAAET TEALRAEELTEASGAEETTDMVSAVSQLSDSPDTTEEATPVQEVEGGMLDTEEQERQTQA VLQAVADKVKEDSQVPATQTLQRAGPKALEKVEEVEEDSEVLATEKEKDVVPEGPVQEAE TEHLAQGSETVQATPESLEVPEVTEDVDRATTCQVIKHQQLMEQAVAPESSETLTDSETN GSTPLADSDTPNGTQQDETVDSQDSNAIAAVKQSQVTEEEAAAAQTEGPSTPSSFPAQEE HREKPGRDVLEPTQALAAGAVPILAKAEVGQEGEAGQFDGEKVKDGQCVKELEVPVHTGP NSQKTADLTRDSEVMEVARCQETESNEEQSISPEKREMGTDVEKEETETKTEQASEEHEQ ETAAPEHEGTHPKPVLTADMPHSERGKALGSLEGSPSLPDQDKADCIEVQVQSSDTPVTQ TTEAVKKVEETVATSEMDESLECAGAQSLPAEKLSETGGYGTLQHGEDTVPQGPESQAES IPIIVTPAPESILHSDLQREVSASQKQRSDEDNKPDAGPDAAGKESAAREKILRAEPEIL ELESKSNKIVQSVIQTAVDQFARTETAPETHASDLQNQVPVMQADSQGAQQMLDKDESDL QVSPQDGTLSAVAQEGLAVSDSSEGMSKASEMITTLAVESASVKESVEKLPLQCKDEKEH AADGPQHQSLAKAEADASGNLTKESPDTNGPKLTEEGDALKEEMNKAQTEEDDLQEPKGD LTES >ENSMUSP00000021372.5 pep:known chromosome:GRCm38:12:69724962:69744658:1 gene:ENSMUSG00000054894.5 transcript:ENSMUST00000021372.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp5s description:ATP synthase, H+ transporting, mitochondrial F0 complex, subunit S [Source:MGI Symbol;Acc:MGI:1915305] MMMFGKISRQLCSLKKIPWSCDSRYFWEWLNTVFNKVDYERLRDVGPDRAASEWLLRCGA KVRYCGHQKWLHDYNTLPGSSIDRYKIQAIDATDSCIMDIGLDHMVGLEHVEKITLCKCH YIEDNCLQRLSQLENLRKSLLELEIIACGNVTDNGVIALRHFRNLKYLFLSDLPGVKDKE YLAQVFKTALPSLELKLNLK >ENSMUSP00000091674.3 pep:known chromosome:GRCm38:7:102702331:102712054:1 gene:ENSMUSG00000070423.3 transcript:ENSMUST00000094124.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr558 description:olfactory receptor 558 [Source:MGI Symbol;Acc:MGI:3030392] MVGFNSNESSATYFILIGLPGLEEVQFWLAFPLCSLYLIAVLGNLTIIYIVRTEHSLHEP MYIFLCMLSGLDILISTSSMPKMMAIFWFNSTTIQFDACLVQMFAIHSLSGMESTVLLAM AFDRYVAICHPLRHATVLTLPRVAKIGMAAVVRGAVLMAPLPVFIKRLPFCRSNILSHSY CLHQDVMKLACADIRVNIIYGLIVIISAIGLDSLLISFSYLLILKTVLGLTREAQAKAFG TCVSHVCAVFIFYVPFIGLSMVHRFSKRRDSLLPVIMANIYLLVPPVLNPIVYGVKTKEI RQRILRLFLVTTHTSDH >ENSMUSP00000040715.4 pep:known chromosome:GRCm38:14:73029128:73049114:-1 gene:ENSMUSG00000033470.11 transcript:ENSMUST00000044664.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cysltr2 description:cysteinyl leukotriene receptor 2 [Source:MGI Symbol;Acc:MGI:1917336] MEVTGTPSSYSNRNCTIENFKKEFYPIIYLIIFFWGALGNGFSIYVFLQTCKKSTSVNVF MLNLATSDFLFISTLPFRADYYFRGSNWIFGDLACRVMSYSLYVNMYTSIYFLTVLSVVR FLATVHPFRMFHVTSVRSAWILCGIIWVFIMASSALLLVNGQEEKDNIISCLELSPQKFK SLLIMNHIAVAVGFLLPFLTLTVCYLLIIRILLKAEIPESGPRAAHRKALTTIVIAMITF LLCFLPYHALRTLHLVTWDKDSCGDVLHKATVITLTMAAANSCFNPFLYYFAGENFKARL RAIFSKVHL >ENSMUSP00000125958.1 pep:known chromosome:GRCm38:14:73029128:73049114:-1 gene:ENSMUSG00000033470.11 transcript:ENSMUST00000169168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cysltr2 description:cysteinyl leukotriene receptor 2 [Source:MGI Symbol;Acc:MGI:1917336] MEVTGTPSSYSNRNCTIENFKKEFYPIIYLIIFFWGALGNGFSIYVFLQTCKKSTSVNVF MLNLATSDFLFISTLPFRADYYFRGSNWIFGDLACRVMSYSLYVNMYTSIYFLTVLSVVR FLATVHPFRMFHVTSVRSAWILCGIIWVFIMASSALLLVNGQEEKDNIISCLELSPQKFK SLLIMNHIAVAVGFLLPFLTLTVCYLLIIRILLKAEIPESGPRAAHRKALTTIVIAMITF LLCFLPYHALRTLHLVTWDKDSCGDVLHKATVITLTMAAANSCFNPFLYYFAGENFKARL RAIFSKVHL >ENSMUSP00000097655.3 pep:known chromosome:GRCm38:10:4367475:4387462:-1 gene:ENSMUSG00000075327.9 transcript:ENSMUST00000100077.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb2 description:zinc finger and BTB domain containing 2 [Source:MGI Symbol;Acc:MGI:2685949] MDLTNHGLILLQQLNAQREFGFLCDCTVAIGDVYFKAHKSVLASFSNYFKMLFVHQTSEC VRLKPTDIQPDIFSYLLHLMYTGKMAPQLIDPVRLEQGIKFLHAYPLIQEASLASQGSFS HPEQVFPLASSLYGIQIADHQLRQATKMNLGPEKLGREPRPQASRMNQEPVPETSQLSQL TSNLAQVNRTNITPEDPLQTSLSPELVSTPVPPPPPGEETNLEASSSDEQPSSLTIAHVK PSIMKRNGSFPKYYACHLCGRRFTLRSSLREHLQIHTGVPFTAGPPGEGRGPLSLCSNAA DLGKDALEVPEAGMISDSELQHISDSPIIDGQQQSETPPPSDIADIDNLEQADQEREVKR RKYECTICGRKFIQKSHWREHMYIHTGKPFKCSTCDKSFCRANQAARHVCLNQSIDTYTM VDKQTLELCTFEEGSQMDNMLVQANKPYKCNLCDKTFSTPNEVVKHSCQNQNSDVFALDE GRSVLLGSGDSEVTEPDHPVLASIKKEQETVLLD >ENSMUSP00000097656.2 pep:known chromosome:GRCm38:10:4367074:4388108:-1 gene:ENSMUSG00000075327.9 transcript:ENSMUST00000100078.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb2 description:zinc finger and BTB domain containing 2 [Source:MGI Symbol;Acc:MGI:2685949] MDLTNHGLILLQQLNAQREFGFLCDCTVAIGDVYFKAHKSVLASFSNYFKMLFVHQTSEC VRLKPTDIQPDIFSYLLHLMYTGKMAPQLIDPVRLEQGIKFLHAYPLIQEASLASQGSFS HPEQVFPLASSLYGIQIADHQLRQATKMNLGPEKLGREPRPQASRMNQEPVPETSQLSQL TSNLAQVNRTNITPEDPLQTSLSPELVSTPVPPPPPGEETNLEASSSDEQPSSLTIAHVK PSIMKRNGSFPKYYACHLCGRRFTLRSSLREHLQIHTGVPFTAGPPGEGRGPLSLCSNAA DLGKDALEVPEAGMISDSELQHISDSPIIDGQQQSETPPPSDIADIDNLEQADQEREVKR RKYECTICGRKFIQKSHWREHMYIHTGKPFKCSTCDKSFCRANQAARHVCLNQSIDTYTM VDKQTLELCTFEEGSQMDNMLVQANKPYKCNLCDKTFSTPNEVVKHSCQNQNSDVFALDE GRSVLLGSGDSEVTEPDHPVLASIKKEQETVLLD >ENSMUSP00000049694.5 pep:known chromosome:GRCm38:16:92318763:92321441:-1 gene:ENSMUSG00000051728.5 transcript:ENSMUST00000062638.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930563D23Rik description:RIKEN cDNA 4930563D23 gene [Source:MGI Symbol;Acc:MGI:1922578] MARFTNCLLKNIFTRSQFDSAKRRQCLQYLNALRSLQHNGYKTVYFGETEIPETLVTGED FSDSYYIHTPSWCILHAGGSQGWVPWKYRMFLRNDLCIKKEDSLFLEFCDVVKRAYGKCA IVVKGRRQQDEMKPKTDKEGEAKAYVPTSINLTSIACSPGVAKSYGHELISLPPYYNYLN PLDSAWSSMKWFIINNRKEFCLQSVDNVYTYRYILFSDLISKGIEKVNLTKWKAITNKVR RWENYYLAKFS >ENSMUSP00000026519.8 pep:known chromosome:GRCm38:13:119462759:119486117:1 gene:ENSMUSG00000062822.7 transcript:ENSMUST00000026519.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4833420G17Rik description:RIKEN cDNA 4833420G17 gene [Source:MGI Symbol;Acc:MGI:1914642] MGMAAEVRMVLYEDDSVQVHYACGSTLQLSPCGSEFLFEKALPPSTHPLEQPERIRQRTH FVISNYREQLQRALDFRNSSATCPFLSESIIPPERKKHIFIDFSEVEWPSLDRDDCIMYS ESGVVKITSLDGHAYLCLPRSQHEFTVHFLCKVSQRPDSSGILSETQNQDPKNKLVEKTR KVCRCGNLSEQTLRNKENEPPYQILKSKNASVNTCCVNDSEGRGELPSLGTNHRCVYAWV KQAWSVAACPEAWKHPLSLALRFYNKVRAASEIDADFPASSIVTSDCPEERGTEVSVLPR ALLLSCPAPHMHRWTFCDSLLQRQPDAEDFSYPELVKVVWYKGVTYRLTHKNVNSIEIFP GDGSVFKSEGAHFGNYFTYYPSQEESEKREEKTYSVNNLPPDRPGNFFSVRSLIKQATRI LQHCAKIRLSLSHNYRVCCWKMAPGVSVSTILPVLLKESLIPGVGRFLAYSDDKVHAVFL DGVTVTLNWHLSSSAEKKQVDQGLSFGWCRLTFPDGQDQLIPTEHPGAYERYVTSVISWC RGLTQTSPRQVPTHLSPALKENWSVASELEKIQKFNWLLENSGVLNLTSSKNEQCSGHCK SGSSETLLEATNEERVSVALKRTSEILQDIDRLLSLSRK >ENSMUSP00000093117.2 pep:known chromosome:GRCm38:10:79639526:79645738:-1 gene:ENSMUSG00000035963.8 transcript:ENSMUST00000095464.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf3l2 description:outer dense fiber of sperm tails 3-like 2 [Source:MGI Symbol;Acc:MGI:2686003] MGTLSCDPEARLTTVPLARRVADGHIPETGLRKSCGIATLENGSGPGLYVLPSTVGYVNH DCTKAASPAYSLARRPSEAPLQDSSPGPVYFLDPKVTRFGRSCPPAYSMQGRAKVRGLEV TPGPGAYSPEKAPPVRQRNAPAFTLGSRLRQKPPDTSVPAPNAYTMPPLWGSQIFIKPSS PSYTVVGRTPPARPPQDPSEIPGPGQYESPDPNTYRQRRPAFSILGRPRTPRPLEDTPGP GTHNPEQVTVNRARAPAYTMGIRHSKRASTMVGDTKC >ENSMUSP00000037401.7 pep:known chromosome:GRCm38:19:3992752:3999511:1 gene:ENSMUSG00000037477.7 transcript:ENSMUST00000041871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbx10 description:T-box 10 [Source:MGI Symbol;Acc:MGI:1261436] MAVFLSAGLGVLAPPETCPHPETSTSWESRLGTRFPSGSCTMSTEAQAMAEPTGQGPKNP RVSSVMVQLEMKPLWEEFNQLGTEMIVTKAGRRMFPTFQVKILGMDTLADYALLMDFIPL DDKRYRYAFHSSAWLVAGKADPATPGRVHFHPDSPAKGAQWMRQIVSFDKLKLTNNLMDD NGHIILNSMHRYQPRFHVVFVDPRKDSARYAQENFKSFVFTETQFTAVTAYQNHRITQLK IASNPFAKGFREADPDSWPVTPRPLLSIPARSRSSLSPCLLKGSAEREKDTSKASASSSR TPTQPHNQLLPAPDVLLAPATYRPLPYQNLYPGSPSRAGPPRARLAPYPLPNISTAGDQE DPTLAAGLGLLPTSALCLVSNQASQ >ENSMUSP00000111393.3 pep:known chromosome:GRCm38:18:35733678:35740554:-1 gene:ENSMUSG00000024349.9 transcript:ENSMUST00000115728.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem173 description:transmembrane protein 173 [Source:MGI Symbol;Acc:MGI:1919762] MPYSNLHPAIPRPRGHRSKYVALIFLVASLMILWVAKDPPNHTLKYLALHLASHELGLLL KNLCCLAEELCHVQSRYQGSYWKAVRACLGCPIHCMAMILLSSYFYFLQNTADIYLSWMF GLLVLYKSLSMLLGLQSLTPAEVSAVCEEKKLNVAHGLAWSYYIGYLRLILPGLQARIRM FNQLHNNMLSGAGSRRLYILFPLDCGVPDNLSVVDPNIRFRDMLPQQNIDRAGIKNRVYS NSVYEILENGQPAGVCILEYATPLQTLFAMSQDAKAGFSREDRLEQAKLFCRTLEEILED VPESRNNCRLIVYQEPTDGNSFSLSQEVLRHIRQEEKEEVTMNAPMTSVAPPPSVLSQEP RLLISGMDQPLPLRTDLI >ENSMUSP00000082489.5 pep:known chromosome:GRCm38:7:45163921:45176138:1 gene:ENSMUSG00000070570.4 transcript:ENSMUST00000085374.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc17a7 description:solute carrier family 17 (sodium-dependent inorganic phosphate cotransporter), member 7 [Source:MGI Symbol;Acc:MGI:1920211] MEFRQEEFRKLAGRALGRLHRLLEKRQEGAETLELSADGRPVTTHTRDPPVVDCTCFGLP RRYIIAIMSGLGFCISFGIRCNLGVAIVSMVNNSTTHRGGHVVVQKAQFNWDPETVGLIH GSFFWGYIVTQIPGGFICQKFAANRVFGFAIVATSTLNMLIPSAARVHYGCVIFVRILQG LVEGVTYPACHGIWSKWAPPLERSRLATTAFCGSYAGAVVAMPLAGVLVQYSGWSSVFYV YGSFGIFWYLFWLLVSYESPALHPSISEEERKYIEDAIGESAKLMNPVTKFNTPWRRFFT SMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGLVSALPHLVMTIIVPIGGQ IADFLRSRHIMSTTNVRKLMNCGGFGMEATLLLVVGYSHSKGVAISFLVLAVGFSGFAIS GFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKHKTREEWQYVFLIASLVHY GGVIFYGVFASGEKQPWAEPEEMSEEKCGFVGHDQLAGSDESEMEDEAEPPGAPPAPPPS YGATHSTVQPPRPPPPVRDY >ENSMUSP00000034731.8 pep:known chromosome:GRCm38:9:70798129:70934808:-1 gene:ENSMUSG00000032207.9 transcript:ENSMUST00000034731.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipc description:lipase, hepatic [Source:MGI Symbol;Acc:MGI:96216] MGNPLQISIFLVFCIFIQSSACGQGVGTEPFGRSLGATEASKPLKKPETRFLLFQDENDR LGCRLRPQHPETLQECGFNSSQPLIMIIHGWSVDGLLENWIWKIVSALKSRQSQPVNVGL VDWISLAYQHYTIAVQNTRIVGQDVAALLLWLEESAKFSRSKVHLIGYSLGAHVSGFAGS SMDGKNKIGRITGLDPAGPMFEGTSPNERLSPDDANFVDAIHTFTREHMGLSVGIKQPIA HYDFYPNGGSFQPGCHFLELYKHIAEHGLNAITQTIKCAHERSVHLFIDSLQHSDLQSIG FQCSDMGSFSQGLCLSCKKGRCNTLGYDIRKDRSGKSKRLFLITRAQSPFKVYHYQFKIQ FINQIEKPVEPTFTMSLLGTKEEIKRIPITLGEGITSNKTYSFLITLDKDIGELILLKFK WENSAVWANVWNTVQTIMLWGIEPHHSGLILKTIWVKAGETQQRMTFCPENLDDLQLHPS QEKVFVNCEVKSKRLTESKEQMSQETHAKK >ENSMUSP00000025823.3 pep:known chromosome:GRCm38:19:4622551:4625617:-1 gene:ENSMUSG00000024889.3 transcript:ENSMUST00000025823.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rce1 description:RCE1 homolog, prenyl protein peptidase (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1336895] MAALGGDGLRLLSVSRPERQPESAALSGPGSGLCCWVSVFSCFSLACSYVGSLYVWKSEL PRDHPAVIKRRSTSVLVVSSLSPLCVLLWRELTGIQPGTSLLTLMGFRLEGIFPAALLPL LLTMILFLGPLMQLSMDCPCDLTDGLKVVLAPRSWARCLTDMRWLRNQVIAPLTEELVFR ACMLPMLAPCTGLGPAVFTCPLFFGVAHFHHIIEQLRFRQSSVGSIFVSAAFQFSYTAVF GAYTAFLFIRTGHLIGPVLCHSFCNYMGFPAVCAALEHPQKWPLLAGYALGVGLFLLLLQ PLTDPKLYGSLPLCMLLERTGASETLLCS >ENSMUSP00000041047.5 pep:known chromosome:GRCm38:7:45180995:45181838:1 gene:ENSMUSG00000038300.5 transcript:ENSMUST00000042754.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pth2 description:parathyroid hormone 2 [Source:MGI Symbol;Acc:MGI:2152297] METCQMSRSPRERLLLLLLLLLLVPWGTGPASGVALPLAGVFSLRAPGRAWAGLGSPLSR RSLALADDAAFRERARLLAALERRRWLDSYMQKLLLLDAP >ENSMUSP00000095098.4 pep:novel scaffold:GRCm38:JH584299.1:4975:7356:1 gene:ENSMUSG00000094836.1 transcript:ENSMUST00000097490.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC123873.3 MSGQTPPTHTLLTHEALIMSSLEELPTVVFPALFKEAFAGRQTNLLKAMVAAWPFPCLPV GSLMKKPNLETLQALLDGIDMRLTREFHPRRAKLQVLDMRNMYHAFWNIRADANDSDCKS KTLDEKQLVKVLPRYARRQRLKVIVNLSISSHFNKSKAYFLNWAKQRIGSLYFCCIKMKI WDPPDQVIRDIFNVFDPEHITELELNTDWTLLQLAHFAPYFGHMKNLQKVFLAPLHKNTS PIINITSATEAKCVHKIISQFSQFNCLQHIFMKHVHFLRDYMNQVLGCLMTPLETLSITQ CLISQRDFDLFSCSQNLFKLKHLEIRGMILYALDLMPLRGLLQKVADTLEILDFQWCRM >ENSMUSP00000095287.2 pep:known chromosome:GRCm38:18:6332590:6333064:1 gene:ENSMUSG00000073640.2 transcript:ENSMUST00000097682.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl27-ps3 description:ribosomal protein L27, pseudogene 3 [Source:MGI Symbol;Acc:MGI:3646174] MGKFMKPGKVVLVLAGRYSGRKAVIVKNIDDGTSDRPYSHALVAGIDRYPRKVTAAMGKK KIAKRSKIKSFVKVYNYNHLMPTRYSVDIPLDKTVVNKDVFRDPALKRKARREAKVKFEE RYKTGKNKWFFQKLRF >ENSMUSP00000130277.1 pep:known chromosome:GRCm38:8:25754509:25769704:1 gene:ENSMUSG00000091514.1 transcript:ENSMUST00000167899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17484 description:predicted gene, 17484 [Source:MGI Symbol;Acc:MGI:4937118] MCCFLSQQAFQKMGTNQIKEFFGQISHRLPCIPSYFIVPFFLIFPVWPGSYKQLLSWPDG QSPGPQFQQNPVLKVPLSAFLPEANTLCLSFFLQTLLLLAQETAPSPAHV >ENSMUSP00000000717.7 pep:known chromosome:GRCm38:12:105176358:105181143:1 gene:ENSMUSG00000000701.7 transcript:ENSMUST00000000717.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcl1b5 description:T cell leukemia/lymphoma 1B, 5 [Source:MGI Symbol;Acc:MGI:1351635] MAAVSVDPQRPLPVLLVSVSLGIYEDEHHRVWIAVNVETSHSSHGNRIETCVTVHLQHMT TLPQEPTPQQPINNNSLPTMWRLESRNTYTGTDGTYWRLLDHSQMGDTVQLTLDIIIGED D >ENSMUSP00000137049.1 pep:known scaffold:GRCm38:JH584294.1:137009:137560:1 gene:ENSMUSG00000094350.1 transcript:ENSMUST00000179627.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10931 description:predicted gene 10931 [Source:MGI Symbol;Acc:MGI:3779142] MGLSRQSGYIRRTKPSSEGLSWQSPCLVFSIVSDIRDKHPAHSAHICEYKVFLKAMLPET SPSSEYFVLCQGSVLYGFNDPKAKRWLEYVTNDIMMHWQPSSQGISEVKKIHGAVRCQPG GIRSWDKLSYFSASASACDSWEIVTVRAEYIWPHDGIDEKVGSWAEAVFWGRRRRIVSVN LCC >ENSMUSP00000034131.8 pep:known chromosome:GRCm38:8:85260397:85299513:-1 gene:ENSMUSG00000031696.8 transcript:ENSMUST00000034131.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps35 description:vacuolar protein sorting 35 [Source:MGI Symbol;Acc:MGI:1890467] MPTTQQSPQDEQEKLLDEAIQAVKVQSFQMKRCLDKNKLMDALKHASNMLGELRTSMLSP KSYYELYMAISDELHYLEVYLTDEFAKGRKVADLYELVQYAGNIIPRLYLLITVGVVYVK SFPQSRKDILKDLVEMCRGVQHPLRGLFLRNYLLQCTRNILPDEGEPTDEETTGDISDSM DFVLLNFAEMNKLWVRMQHQGHSRDREKRERERQELRILVGTNLVRLSQLEGVNVERYKQ IVLTGILEQVVNCRDALAQEYLMECIIQVFPDEFHLQTLNPFLRACAELHQNVNVKNIII ALIDRLALFAHREDGPGIPAEIKLFDIFSQQVATVIQSRQDMPSEDVVSLQVSLINLAMK CYPDRVDYVDKVLETTVEIFNKLNLEHIATSSAVSKELTRLLKIPVDTYNNILTVLKLKH FHPLFEYFDYESRKSMSCYVLSNVLDYNTEIVSQDQVDSIMNLVSTLIQDQPDQPVEDPD PEDFADEQSLVGRFIHLLRSDDPDQQYLILNTARKHFGAGGNQRIRFTLPPLVFAAYQLA FRYKENSQMDDKWEKKCQKIFSFAHQTISALIKAELAELPLRLFLQGALAAGEIGFENHE TVAYEFMSQAFSLYEDEISDSKAQLAAITLIIGTFERMKCFSEENHEPLRTQCALAASKL LKKPDQGRAVSTCAHLFWSGRNTDKNGEELHGGKRVMECLKKALKIANQCMDPSLQVQLF IEILNRYIYFYEKENDAVTIQVLNQLIQKIREDLPNLESSEETEQINKHFHNTLEHLRSR RESPESEGPIYEGLIL >ENSMUSP00000086191.5 pep:known chromosome:GRCm38:17:21008941:21028852:1 gene:ENSMUSG00000067942.5 transcript:ENSMUST00000088811.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp160 description:zinc finger protein 160 [Source:MGI Symbol;Acc:MGI:108187] MAVTQGQLSFSDVAIEFSQEEWECLDHAQRALHRDVMLENYSNLLSLGVTLPNLNAMSKL EQYKGPWTVESEAIRTRKSNGKEYIRAMSAENINGNEAKSISSGSSILSSDKILASGDKA PVVHEYDVYSSLRIKGQKATIKGKPYQCKECDKVFSYTSSLAYHRQIHTGEKLYKCLECG KAFFRRSYLLVHERHHTGAKPYKCNECGKVFSQNSHLKSHRRIHTGEKPFKCNHCGKAFS VRSNLTHHQVIHTGDKPYKCNECGKVFSQTSSLTIHRRTHTGEKPYRCNECGKVFSSHSN LNTHQAIHTGEKPYKCSECGKVFTQNSHLANHWRIHTGEKPYKCNECGKAFSVYSSLTTH QAIHTGEKPYKCNECGKVFTQNSHLASHRGVHSGEKPYKCEECGKLFSQTSNLARHWRVH TGEKPYKCSECGKAFSVRSSLIAHQVIHTGEKPYKCTECGKVFSQTSSLSIHQRIHTGEK PYRCNECGKAFNSHSNLNTHQVIHTGQKPYKCMQCGKVFTQNSHLANHQRTHTGEKPYKC NECGKAFSVYSSLTTHQAIHTGEKPYKCNECGKVFTQNSHLASHRRTHTGEKPYQCNKCD KAFSVRSSLTTHQAIHTGEKPYTCSECGKVFSRSSNLTSHQRLHVGQKHM >ENSMUSP00000075031.6 pep:known chromosome:GRCm38:8:72304118:72305276:-1 gene:ENSMUSG00000070713.4 transcript:ENSMUST00000075602.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10282 description:predicted pseudogene 10282 [Source:MGI Symbol;Acc:MGI:3704312] MPKRKAEGDAKGDKTKVKDEPQRRSARLSAKPAPPKPEPKPKKAPAKKGEKVPKGKKGKA DAGKDANNPAENGDAKTDQAQKAEGAGDAK >ENSMUSP00000043825.1 pep:known chromosome:GRCm38:17:25727751:25731456:1 gene:ENSMUSG00000041199.2 transcript:ENSMUST00000047273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpusd1 description:RNA pseudouridylate synthase domain containing 1 [Source:MGI Symbol;Acc:MGI:1919186] MEPGSMENLSIVYQSSDFLVVNKHWDLRIDSKTWRETLTLQKQLRHHFPELADPDTCYGF RFCHQLDFSTSGALCVALNKAAAGSAYKCFKERRVTKAYLALVRGHVQESQVTINYAIGR NSTEGRTHTMCIEGTHGCENPKPSLTELLVLEHGLYAGDPVSKVLLKPLTGRTHQLRVHC SALGHPIVGDLTYGQAEDQEDQPFRMMLHAFYLRIPTQAERVEACTPDPFLPALDACWSP STCVQPLEQLIQALRTDPDPDPMSGGPRPCSPSTPQPRPGRPPPETEAQRASCLQWLSEW TLEPDN >ENSMUSP00000034239.7 pep:known chromosome:GRCm38:8:95081201:95099874:1 gene:ENSMUSG00000031787.7 transcript:ENSMUST00000034239.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katnb1 description:katanin p80 (WD40-containing) subunit B 1 [Source:MGI Symbol;Acc:MGI:1921437] MATPVVTKTAWKLQEIVAHASNVSSLVLGKASGRLLATGGDDCRVNLWSINKPNCIMSLT GHTSPVESVRLNTPEELIVAGSQSGSIRVWDLEAAKILRTLMGHKANICSLDFHPYGEFV ASGSQDTNIKLWDIRRKGCVFRYRGHSQAVRCLRFSPDGKWLASAADDHTVKLWDLTAGK MMSEFPGHTGPVNVVEFHPNEYLLASGSSDRTIRFWDLEKFQVVSCIEGEPGPVRSVLFN PDGCCLYSGCQDSLRVYGWEPERCFDVVLVNWGKVADLAICNDQLIGVAFSQSNVSSYVV DLTRVTRTGTVTQDPVQANQPLTQQTPNPGVSLRRIYERPSTTCSKPQRVKHNSESERRS PSSEDDRDERESRAEIQNAEDYNEIFQPKNSISRTPPRRSEPFPAPPEDDAATVKEVSKP SPAMDVQLPQLPVPNLEVPARPSVMTSTPAPKGEPDIIPATRNEPIGLKASDFLPAVKVP QQAELVDEDAMSQIRKGHDTMFVVLTSRHKNLDTVRAVWTTGDIKTSVDSAVAINDLSVV VDLLNIVNQKASLWKLDLCTTVLPQIEKLLQSKYESYVQTGCTSLKLILQRFLPLITDIL AAPPSVGVDISREERLHKCRLCFKQLKSISGLVKSKSGLSGRHGSAFRELHLLMASLD >ENSMUSP00000095596.2 pep:known chromosome:GRCm38:7:135396342:135399544:1 gene:ENSMUSG00000073803.2 transcript:ENSMUST00000097982.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930544L04Rik description:RIKEN cDNA 4930544L04 gene [Source:MGI Symbol;Acc:MGI:1926057] MMVHEMRILQLPLKIFMAILCRGIDHTVVYWLGRRMNCDTQASVSGAQGGCDLMRCCWMP ASHPVSCSIQKIKYTAYLARGCLFPINVVCDCRTSSPTEFSFPT >ENSMUSP00000137906.1 pep:known chromosome:GRCm38:2:70661248:70662108:-1 gene:ENSMUSG00000097025.1 transcript:ENSMUST00000180559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26558 description:predicted gene, 26558 [Source:MGI Symbol;Acc:MGI:5477052] KFKSVKSSPRPFVLLSLSRWPLQASRGSRDEPTPVVTSPLSLWLRHPPREHRYGFRLLLE AAPLALPNSEAQASARRGRAEGQEPGWWVPGHVAGSRAQGAPRTRAWPPRRPPGPYPQNV VALGAAARDLDALRGAHGGGRSVRPGRLSAAPPRAPPAWRLRVALGTWHLQQPEPGRTAR REIVARQPRPLPRRRQRPLTGAGGNDRKSAAGGGREREKAERQPGTRRLATGPRSRQDLS RRVSTFAEGYLVAAPPGDQRPERKGADAEHAWRLLCFRSCLIRLYT >ENSMUSP00000019954.4 pep:known chromosome:GRCm38:10:13149540:13177991:-1 gene:ENSMUSG00000019815.6 transcript:ENSMUST00000019954.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc2hc1b description:zinc finger, C2HC-type containing 1B [Source:MGI Symbol;Acc:MGI:1922372] MAEAQLLVEDGNQKLFPCEVCGRCFATDVLERHGPICKKVFNKKRKPFNSLKQRLQGTDI PTVGKSPQPKVQPVRKSNWRQQHEDFINTIRSAKQFTLAIKEGRPLPPPPRPTSNPDYIQ CPYCMRRFNETAAQRHINFCKNQTSRPVFDPIQMAARLVSRAQCKAQASLKK >ENSMUSP00000136903.1 pep:known chromosome:GRCm38:4:42612195:42612860:1 gene:ENSMUSG00000096596.1 transcript:ENSMUST00000178168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10591 description:predicted gene 10591 [Source:MGI Symbol;Acc:MGI:3711256] MAQMMTLSLLSLVLALCIPWTQGSDGGGQDCCLKYSQKKIPYSIVRGYRKQEPSLGCPIP AILFLPRKHSKPELCANPEEGWVQNLMRRLDQPPAPGKQSPGCRKNRGTSKSGKKGKGSK GCKRTEQTQPSRG >ENSMUSP00000060398.7 pep:known chromosome:GRCm38:19:11912399:11945096:1 gene:ENSMUSG00000046139.7 transcript:ENSMUST00000061618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Patl1 description:protein associated with topoisomerase II homolog 1 (yeast) [Source:MGI Symbol;Acc:MGI:2147679] MFRYESLEDCPLDEDEDAFQGLGEEDEEIDQFNDDTFGSGAVDDDWQEAHERLAELEEKL PVAADEQTGNGERDEMDLLGDHEENLAERLSKMVIENELEDPAIMRAVQTRPVLQPQPGS LNSSIWDGSEVLRRIRGPLLAQEMPTVSVLEYALPQRPLQGPEDDRDLSERALPRRSTSP IIGSPPVRAVPIGTPPKQMAVPSFNQQILCPKPVHVRPPMPPRYPAPYGERISPNQLCSV PNSSLLGHPFPPNVPPVLSPLQRAQLLGGAQLQPGRMSPSQFARVPGFVGSPLAAMNPKL LQGRVGQMLPPAPSFRAFFSAPPPATPPPQQHPPGPGPHLQNLRPQAPMFRADTTHLHPQ HRRLLHQRQLQSRNQHRNLNGTGDRGGHQSSHQDHLRKDPYANLMLQREKDWVSKIQMMQ LQSTDPYLDDFYYQNYFEKLEKLSAAEEIQGDGPKKERTKLITPQVAKLEHAYQPVQFEG SLGKLTVSSVNNPRKMIDAVVTSRSEDDETKEKQVRDKRRKTLVIIEKTYSLLLDVEDYE RRYLLSLEEERPALMDERKHKICSMYDNLRGKLPGQERPSDDHFVQIMCIRKGKRMVARI LPFLSTEQAADILMATARNLPFLIKKDAQDEVLPCLLSPFSLLLYHLPSVTVTSLLQQLM NLPQSASAPAPSNSHLTAVLQNKFGLSLLLILLSRGEDLQSSDPAIESTQNNQWTEVMFM ATRELLRIPQAALAKPISIPTNLVSLFSRYVDRQKLNLLETKLQLVQGIR >ENSMUSP00000136804.1 pep:known chromosome:GRCm38:2:91945703:91947655:1 gene:ENSMUSG00000095332.1 transcript:ENSMUST00000178895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9821 description:predicted gene 9821 [Source:MGI Symbol;Acc:MGI:3704208] LAPLVPTPQLGKQPWSCALERRLWASEEDACPAGERRRALPVGSPTPLDRRCLSPGPRAF RWKCFLKKVSMPGAVGHTDWECQELGQAEAQLCPISKEGTHRC >ENSMUSP00000036258.9 pep:known chromosome:GRCm38:8:104867488:104874080:1 gene:ENSMUSG00000031884.9 transcript:ENSMUST00000043209.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces2d-ps description:carboxylesterase 2D, pseudogene [Source:MGI Symbol;Acc:MGI:3704319] MPQNQLHSWLNAVLFGLLLLIHVHGQYSPESSPIRNTHTGQIRGSLVHVKDTKAGVHTFL GIPFAKPPVGPLRFAPPEAPEPWSGVRDGTSHPAMCLQNHDMMNEGLPEMKMMLSSFPMS EDCLYLNIYTPAHAHEGSNLPVMVWIHGGALVIGMASMFDGSLLTVNEDLVVVTIQYRLG VLGFFSTGDQHARGNWGYLDQAAALRWVQQNIAHFGGNPDRVTIFGESAGGTSVSSHVVS PMSQGLFHGAIMESGVALLPDLISETSEMVSTTVAKLSGCEAMDSQALVRCLRGKSEAEI LAINKVFKMIPAVVDGEFFPRHKELLASEDFHPVPSIIGVNNDEFGWSIPVVMGSAQMIK GITRENLQAVLKDTAVQMMLPPECSDLLMEEYMGDTEDAQTLQIQFTEMMGDFMFVIPAL QVAHFQRSHAPVYFYEFQHPPSYFKDVRPPHVKADHADEIPFVFASFFWGMKLDFTEEEE LLSRRMMKYWANFARHGNPNSEGLPYWPVMDHDEQYLQLDIQPAVGRALKAGRLQFWTKT LPQKIQELKASQDKHREL >ENSMUSP00000083736.1 pep:known chromosome:GRCm38:17:80373218:80373541:-1 gene:ENSMUSG00000066938.1 transcript:ENSMUST00000086549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10190 description:predicted gene 10190 [Source:MGI Symbol;Acc:MGI:3642237] RGLQLVGAAAARRRRGALGQRLQRVLRPLGLHGEADGPQEADVQAGLGGGRRAVVEERRR LEGLLVVGRGQREDLAAVLELLVAGGHEAARVGQELGRTAQRALHVA >ENSMUSP00000129810.1 pep:known chromosome:GRCm38:14:50891389:50893255:-1 gene:ENSMUSG00000090799.1 transcript:ENSMUST00000164415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl33 description:kelch-like 33 [Source:MGI Symbol;Acc:MGI:3644593] MLLSGMRESQGTKVTLRTISSQDLRLLVSFAYTGVVRERWPGLLRAAQAALQYQSSSCLD LCQKALAQSLCPALCLALFPMIEAPGLEKVWGKAHDYLLSHLPAVASCPTFSSLPVTCLA ELLDSDELHVQEEFEAFSAARCWLAANPETHESEAKALLRCVRFGRMSTRELRKVRAAGL PPSLPPDLLYQLMVEAEVPGQERWREPDQALVVIGGDGLRPDMDRRQPSCKVWWARAFHC GMGLVRTVEWCRLPNLPAPGRFRHGAASLTGSELYVCGGQDFYSHNSTLASTLRWSSSQE DWEEMAPLCQARSFFPLVVFDGQLYALGGRDNGVALNSVETYNPELNVWRPAPALPAPCF AHAAAILEDRLYVSGGCSGTGQYLDSLIHYDPKLKRPGTLLSPMGVARASHVMAALGGKL YVAGGVGDTGDLLSFEAYEPKSDSWTQLASLPSPHVGAAGAVLQGELLVLGGYSHRTYAI SHLVHAYCPGLDRWLCLGTLPRPRAEMPACILTLPSVQHIALVPTQHQNKPAG >ENSMUSP00000129788.1 pep:known chromosome:GRCm38:15:81499084:81499548:-1 gene:ENSMUSG00000091198.1 transcript:ENSMUST00000172270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5218 description:predicted gene 5218 [Source:MGI Symbol;Acc:MGI:3646583] TAKSKNHTTHNQSLKWHRNDIKKPGSQRYESLKGVDPKFLRNMRFAKKHNKKGLKKMQAN NAKAVSARAEAIKALVKPQAVKLKMPKSPKLQRLAFIAHPKLGKQIGSYMAKGQRLCQPK PKVQTKAGAKAPAKAQASAPAQAPKGVQAPVKAP >ENSMUSP00000131553.2 pep:known chromosome:GRCm38:7:101421691:101512829:1 gene:ENSMUSG00000030653.16 transcript:ENSMUST00000163751.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde2a description:phosphodiesterase 2A, cGMP-stimulated [Source:MGI Symbol;Acc:MGI:2446107] MGQACGHSILCRSQQYPAARPAEPRGQQVFLKPDEPPPQPCADSLQDALLSLGAVIDIAG LRQAARDALSAVLPKVETVYTYLLDGESRLVCEDPPHELPQEGKIREAVISQKRLSCNGL GPSDLLGKPLARLVAPLAPDMQVLVIPLLDKETGSVAAVILVHCGQLSDSEEQSLQVVEK HALVALRRVQALQQRRPEAVQNTSVDASEDQKDEKGYTDHDRKILQLCGELFDLDATSLQ LKVLQYLQQETQATHCCLLLVSEDNLQLSCKVIGDKVLGEEVSFPLTMGRLGQVVEDKQC IQLKDLTSDDVQQLQNMLGCELQAMLCVPVISRATDQVVALACAFNKLGGDFPTSSFTDE DEHVIQHCFHYTGTVLTSTLAFQKEQKLKCECQALLQVAKNLFTHLDDVSVLLQEIITEA RNLSNAEICSVFLLDQNELVAKVFDGGVVDDESYEIRIPADQGIAGHVATTGQILNIPDA YAHPLFYRGVDDSTGFRTRNILCFPIKNENQEVIGVAELVNKINGPWFSKFDEDLATAFS IYCGISIAHSLLYKKVNEAQYRSHLANEMMMYHMKVSDDEYTKLLHDGIQPVAAIDSNFA NFTYTPRSLPEDDTSMAILSMLQDMNFINNYKIDCPTLARFCLMVKKGYRDPPYHNWMHA FSVSHFCYLLYKNLELSNYLEDIEIFALFISCMCHDLDHRGTNNSFQVASKSVLAALYSS EGSVMERHHFAQAIAILNTHGCNIFDHFSRKDYQRMLDLMRDIILATDLAHHLRIFKDLQ KMAEVGYDRNNRQHHRLLLCLLMTSCDLSDQTKGWKTTRKIAELIYKEFFSQGDLEKAMG NRPMEMMDREKAYIPELQISFMEHIAMPIYKLLQDLFPKAAELYERVASNREHWTKVSHK FTIRGLPSNNSLDFLDEEYEVPDLDGTRAPVNGCCSLEG >ENSMUSP00000081956.7 pep:known chromosome:GRCm38:7:101421691:101512829:1 gene:ENSMUSG00000030653.16 transcript:ENSMUST00000084894.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde2a description:phosphodiesterase 2A, cGMP-stimulated [Source:MGI Symbol;Acc:MGI:2446107] MGQACGHSILCRSQQYPAARPAEPRGQQVFLKPDEPPPQPCADSLQDALLSLGAVIDIAG LRQAARDALSAVLPKVETVYTYLLDGESRLVCEDPPHELPQEGKIREAVISQKRLSCNGL GPSDLLGKPLARLVAPLAPDMQVLVIPLLDKETGSVAAVILVHCGQLSDSEEQSLQVVEK HALVALRRVQALQQRRPEAVQNTSVDASEDQKDEKGYTDHDRKILQLCGELFDLDATSLQ LKVLQYLQQETQATHCCLLLVSEDNLQLSCKVIGDKVLGEEVSFPLTMGRLGQVVEDKQC IQLKDLTSDDVQQLQNMLGCELQAMLCVPVISRATDQVVALACAFNKLGGDFFTDEDEHV IQHCFHYTGTVLTSTLAFQKEQKLKCECQALLQVAKNLFTHLDDVSVLLQEIITEARNLS NAEICSVFLLDQNELVAKVFDGGVVDDESYEIRIPADQGIAGHVATTGQILNIPDAYAHP LFYRGVDDSTGFRTRNILCFPIKNENQEVIGVAELVNKINGPWFSKFDEDLATAFSIYCG ISIAHSLLYKKVNEAQYRSHLANEMMMYHMKVSDDEYTKLLHDGIQPVAAIDSNFANFTY TPRSLPEDDTSMAILSMLQDMNFINNYKIDCPTLARFCLMVKKGYRDPPYHNWMHAFSVS HFCYLLYKNLELSNYLEDIEIFALFISCMCHDLDHRGTNNSFQVASKSVLAALYSSEGSV MERHHFAQAIAILNTHGCNIFDHFSRKDYQRMLDLMRDIILATDLAHHLRIFKDLQKMAE VGYDRNNRQHHRLLLCLLMTSCDLSDQTKGWKTTRKIAELIYKEFFSQGDLEKAMGNRPM EMMDREKAYIPELQISFMEHIAMPIYKLLQDLFPKAAELYERVASNREHWTKVSHKFTIR GLPSNNSLDFLDEEYEVPDLDGTRAPVNGCCSLEG >ENSMUSP00000127521.1 pep:known chromosome:GRCm38:7:101421709:101512814:1 gene:ENSMUSG00000030653.16 transcript:ENSMUST00000166652.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde2a description:phosphodiesterase 2A, cGMP-stimulated [Source:MGI Symbol;Acc:MGI:2446107] MGQACGHSILCRSQQYPAARPAEPRGQQVFLKPDEPPPQPCADSLQDALLSLGAVIDIAG LRQAARDALSAVLPKVETVYTYLLDGESRLVCEDPPHELPQEGKIREAVISQKRLSCNGL GPSDLLGKPLARLVAPLAPDMQVLVIPLLDKETGSVAAVILVHCGQLSDSEEQSLQVVEK HALVALRRVQALQQRRPEAVQNTSVDASEDQKDEKGYTDHDRKILQLCGELFDLDATSLQ LKVLQYLQQETQATHCCLLLVSEDNLQLSCKVIGDKVLGEEVSFPLTMGRLGQVVEDKQC IQLKDLTSDDVQQLQNMLGCELQAMLCVPVISRATDQVVALACAFNKLGGDFFTDEDEHV IQHCFHYTGTVLTSTLAFQKEQKLKCECQALLQVAKNLFTHLDDVSVLLQEIITEARNLS NAEICSVFLLDQNELVAKVFDGGVVDDESYEIRIPADQGIAGHVATTGQILNIPDAYAHP LFYRGVDDSTGFRTRNILCFPIKNENQEVIGVAELVNKINGPWFSKFDEDLATAFSIYCG ISIAHSLLYKKVNEAQYRSHLANEMMMYHMKVSDDEYTKLLHDGIQPVAAIDSNFANFTY TPRSLPEDDTSMAILSMLQDMNFINNYKIDCPTLARFCLMVKKGYRDPPYHNWMHAFSVS HFCYLLYKNLELSNYLEDIEIFALFISCMCHDLDHRGTNNSFQVASKSVLAALYSSEGSV MERHHFAQAIAILNTHGCNIFDHFSRKDYQRMLDLMRDIILATDLAHHLRIFKDLQKMAE VGYDRNNRQHHRLLLCLLMTSCDLSDQTKGWKTTRKIAELIYKEFFSQGDLEKAMGNRPM EMMDREKAYIPELQISFMEHIAMPIYKLLQDLFPKAAELYERVASNREHWTKVSHKFTIR GLPSNNSLDFLDEEYEVPDLDGTRAPVNGCCSLEG >ENSMUSP00000090259.3 pep:known chromosome:GRCm38:10:33863935:33879475:1 gene:ENSMUSG00000069668.3 transcript:ENSMUST00000092597.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult3a1 description:sulfotransferase family 3A, member 1 [Source:MGI Symbol;Acc:MGI:1931469] MDNKDEYLLNFKGYNFQKTLVKMEVVENIENYEIRDDDIFIVTYPKSGTIWTQQILSLIY FEGHRNRTENIETIDRAPFFEYNIHKLDYAKMPSPRIFSSHIPYYLVPKGLKDKKAKILY IYRNPKDVLISYFHFSNLMLIFQNPDTVESFMQTFLDGDVVGSLWFDHIRGWYEHRHDFN IMFMSFEDMKKDLRSSVLKICSFLEKELSEEDVDAVVRQATFQKMKADPRANYEHIIKDE LGTRNEMGSFLRKGVVGDWKHYLTVDQSERFDKIFHRNMKNIPLKFIWDINEE >ENSMUSP00000093613.3 pep:known chromosome:GRCm38:14:51129068:51131122:1 gene:ENSMUSG00000021880.6 transcript:ENSMUST00000095923.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase6 description:ribonuclease, RNase A family, 6 [Source:MGI Symbol;Acc:MGI:1925666] MVVDLPRYLPLLLLLELWEPMYLLCSQPKGLSRAHWFEIQHVQTSRQPCNTAMRGVNNYT QHCKQINTFLHESFQNVAATCSLHNITCKNGRKNCHESAEPVKMTDCSHTGGAYPNCRYS SDKQYKFFIVACEHPKKEDPPYQLVPVHLDKIV >ENSMUSP00000015540.2 pep:known chromosome:GRCm38:13:43785107:43803130:1 gene:ENSMUSG00000015396.3 transcript:ENSMUST00000015540.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd83 description:CD83 antigen [Source:MGI Symbol;Acc:MGI:1328316] MSQGLQLLFLGCACSLAPAMAMREVTVACSETADLPCTAPWDPQLSYAVSWAKVSESGTE SVELPESKQNSSFEAPRRRAYSLTIQNTTICSSGTYRCALQELGGQRNLSGTVVLKVTGC PKEATESTFRKYRAEAVLLFSLVVFYLTLIIFTCKFARLQSIFPDISKPGTEQAFLPVTS PSKHLGPVTLPKTETV >ENSMUSP00000020927.8 pep:known chromosome:GRCm38:12:8313433:8343824:1 gene:ENSMUSG00000020605.8 transcript:ENSMUST00000020927.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs1bp3 description:HCLS1 binding protein 3 [Source:MGI Symbol;Acc:MGI:1913224] MQSPAVLRTSRQVQNAHTGLDLSVPQHQEVRGKMMSGHVEYQILVVTRLAVFKSAKHRPE DVVQFLVSKKYSEIEEFYQKLYSCYPAASLPPLPRKVLFVGESDIRERRAMFDEILRCVS KDAQLAGSPELLEFLGTRAPGATGLATRDPSVLDDTASQPGDSDEAFDFFEQQDEVQPPT LGLSSKDVEKSLVGEEEEEEEEEEVLDPLGIMRSKKPKKRPEVAVRPKPAPRLTIFDEEV DPDAGLFSSDKKVSETRRPLETTQDSLKLFDDPDLGGAVSLGDPLLLPAASESRGPTSRP EHGDASKELFRVEEDLDLILNLGSEPKPKPQTKPKPLVPAKPALPRKPTLPASVGPSEPG SGPQKQQQIQAMDEMDILQYIRDHDTLAQDSPSLF >ENSMUSP00000054226.4 pep:known chromosome:GRCm38:15:75746843:75747922:-1 gene:ENSMUSG00000047591.4 transcript:ENSMUST00000062002.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mafa description:v-maf musculoaponeurotic fibrosarcoma oncogene family, protein A (avian) [Source:MGI Symbol;Acc:MGI:2673307] MAAELAMGAELPSSPLAIEYVNDFDLMKFEVKKEPPEAERFCHRLPPGSLSSTPLSTPCS SVPSSPSFCAPSPGTGGGAGGGGSAAQAGGAPGPPSGGPGTVGGASGKAVLEDLYWMSGY QHHLNPEALNLTPEDAVEALIGSGHHGAHHGAHHPAAAAAYEAFRGQSFAGGGGADDMGA GHHHGAHHTAHHHHSAHHHHHHHHHHGGSGHHGGGAGHGGGGAGHHVRLEERFSDDQLVS MSVRELNRQLRGFSKEEVIRLKQKRRTLKNRGYAQSCRFKRVQQRHILESEKCQLQSQVE QLKLEVGRLAKERDLYKEKYEKLAGRGGPGGAGGAGFPREPSPAQAGPGAAKGAPDFFL >ENSMUSP00000131456.1 pep:known chromosome:GRCm38:14:50895942:50897456:-1 gene:ENSMUSG00000091089.1 transcript:ENSMUST00000170855.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A930018M24Rik description:RIKEN cDNA A930018M24 gene [Source:MGI Symbol;Acc:MGI:2686053] MASLSSDLEHVSLPIEKDSLELPVPAGRTFSRSPSSDEDPGLFSFSLAEPDPPSPFVSGN LPFLASSPEKGKKQDDSDDSAEPEMLCSVEHPCQFFAEAQRLREQKLLLDEEVSVGGQVY GVHRVILAALTFAYEGVLGPASQDEVLAAAEALGAPRVKKAAQLKPEGLGKARGNEKKLS QAEELRENLHSIERLYREGIGCDLELEAEGYRLRGESL >ENSMUSP00000066637.3 pep:known chromosome:GRCm38:14:51038459:51041869:-1 gene:ENSMUSG00000052382.5 transcript:ENSMUST00000064214.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase9 description:ribonuclease, RNase A family, 9 (non-active) [Source:MGI Symbol;Acc:MGI:3057273] MKPLVIKFAWPLPLLLLLLLPPKLQGNYWDFGEYELNPEVRDFIREYESTGPTKPPTVKR IIEMITIGDQPFNDYDYCNTELRTKQIHYKGRCYPEHYIAGVPYGELVKACDGEEVQCKN GVKSCRRSMNLIEGVRCVLETGQQMTNCTYKTILMIGYPVVSCQWDEETKIFIPDHIYNM SLPK >ENSMUSP00000002397.5 pep:known chromosome:GRCm38:14:55672235:55678750:1 gene:ENSMUSG00000002326.5 transcript:ENSMUST00000002397.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmpr2 description:guanosine monophosphate reductase 2 [Source:MGI Symbol;Acc:MGI:1917903] MPHIDNDVKLDFKDVLLRPKRSTLKSRSEVELTRSFSFRNSKQMYSGIPVIAANMDTVGT FEMARVLCKFSLFTAIHKHYSIHQWQEFASQNPDCLECLAASSGSGSADFEQLEQILEAI PQVKYICLDVANGYSEHFVEFVKDVRKRFPQHTIMAGNVVTGEMVEELILSGADIIKVGI GPGSVCTTRKKTGVGYPQLSAVMECADAAHGLKGHIISDGGCSCPGDVAKAFGAGADFVM LGGMLAGHSESGGELIERDGKKYKLFYGMSSEMAMKKYSGGVAEYRASEGKIVEVPFKGD VEHTIRDILGGIRSTCTYVGAAKLKELSRRTTFIRVTQQVNPIFSNSQ >ENSMUSP00000104845.2 pep:known chromosome:GRCm38:8:110029075:110039334:-1 gene:ENSMUSG00000035930.8 transcript:ENSMUST00000109222.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chst4 description:carbohydrate (chondroitin 6/keratan) sulfotransferase 4 [Source:MGI Symbol;Acc:MGI:1349479] MMLLKKGRLLMFLGSQVIVVALFIHMSVHRHLSQREESRRPVHVLVLSSWRSGSSFVGQL FGQHPDVFYLMEPAWHVWMTFTSSTAWKLHMAVRDLLRSVFLCDMSVFDAYMNPGPRKQS SLFQWEQSRALCSAPVCDFFPAHEISSPKHCKLLCGQQPFDMVEKACRSHGFVVLKEVRF LSLQALYPLLTDPSLNLHVVHLVRDPRAVFRSREHTTIELMVDSHIVLGQHLETIKEEDQ PYYAMKIICKSQVDIVKAIQTLPEALQQRYLFLRYEDLVRAPLAQTTRLYKFVGLDFLPH LQTWVYNVTRGKGMGQHAFHTNARNALNVSQAWRWSLPYEKVSQLQDACGEAMDLLGYLQ VRSQQEQGNLSLDLLSSSHILGQVFREG >ENSMUSP00000025833.6 pep:known chromosome:GRCm38:19:32620005:32667187:1 gene:ENSMUSG00000024899.6 transcript:ENSMUST00000025833.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papss2 description:3'-phosphoadenosine 5'-phosphosulfate synthase 2 [Source:MGI Symbol;Acc:MGI:1330223] MSANFKMNHKRDQQKSTNVVYQAHHVSRNKRGQVVGTRGGFRGCTVWLTGLSGAGKTTIS FALEEYLVSHAIPCYSLDGDNVRHGLNKNLGFSAGDREENIRRIAEVARLFADAGLVCIT SFISPFAKDRENARKIHESAGLPFFEIFVDAPLNICESRDVKGLYKRARAGEIKGFTGID SDYEKPETPECVLKTNLSSVSDCVQQVVELLQEQNIVPHTTIKGIHELFVPENKVDQIRA EAETLPSLPITKLDLQWVQILSEGWATPLKGFMREKEYLQTLHFDTLLDGVVPRDGVINM SIPIVLPVSADDKARLEGCSKFALMYEGRRVALLQDPEFYEHRKEERCSRVWGTATAKHP HIKMVMESGDWLVGGDLQVLERIRWDDGLDQYRLTPLELKQKCKDMNADAVFAFQLRNPV HNGHALLMQDTRRRLLERGYKHPVLLLHPLGGWTKDDDVPLEWRMKQHAAVLEERVLDPK STIVAIFPSPMLYAGPTEVQWHCRCRMIAGANFYIVGRDPAGMPHPETKKDLYEPTHGGK VLSMAPGLTSVEIIPFRVAAYNKIKKAMDFYDPARHEEFDFISGTRMRKLAREGEDPPDG FMAPKAWKVLTDYYRSLEKTN >ENSMUSP00000045647.4 pep:known chromosome:GRCm38:10:76459569:76469114:-1 gene:ENSMUSG00000033126.4 transcript:ENSMUST00000049185.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ybey description:ybeY metallopeptidase [Source:MGI Symbol;Acc:MGI:2656825] MSLVIKNLQRVVPIRRVPLRRKMDLVRSILGVKKFDLGIICVDNKTIQNINRIYRNKNVP TDVLSFSFHENLKAGEFPQPHSPDDYNLGDIFLGVEYILQHCRESEDYCDVLTVTATHGL CHLLGFTHSSKAEWQKMYNQEKLVLEELSRYTGARLQPLSRGLY >ENSMUSP00000065940.6 pep:known chromosome:GRCm38:8:57511843:57515999:1 gene:ENSMUSG00000054717.6 transcript:ENSMUST00000067925.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb2 description:high mobility group box 2 [Source:MGI Symbol;Acc:MGI:96157] MGKGDPNKPRGKMSSYAFFVQTCREEHKKKHPDSSVNFAEFSKKCSERWKTMSAKEKSKF EDLAKSDKARYDREMKNYVPPKGDKKGKKKDPNAPKRPPSAFFLFCSENRPKIKIEHPGL SIGDTAKKLGEMWSEQSAKDKQPYEQKAAKLKEKYEKDIAAYRAKGKSEAGKKGPGRPTG SKKKNEPEDEEEEEEEEEEEDDEEEEEDEE >ENSMUSP00000062762.2 pep:known chromosome:GRCm38:10:85119435:85128027:-1 gene:ENSMUSG00000049038.2 transcript:ENSMUST00000050813.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mterf2 description:mitochondrial transcription termination factor 2 [Source:MGI Symbol;Acc:MGI:1921488] MPWRLPTGHQLCRLCLLRKPRPALKIKPSSACVTYGTDSQSDKENKRTVEKLSACSVDIR KIRRLKGWVLLEEETYVEEIANILKELGANKTVIASILERCPEAIICSPAAVNTKRKLWQ MVCKNEAELVQLIEQFPESFFTVKNQENQKLNVQFFQELGLRNVVISRFLTTASSIFHNP VENNKQMIGVLQESYLNLGGSEANAKVWLLKLLSQNPFIVLHSPRAVGETLKCLQGQGFT DSEVLQLLSKLKGFLFQLQPGSIQNSISFTKTTFECTDYDLRQLVVKCPALLCYPASVLE ERIQALLKEGISIAQIRESPMVLELTPQIIQYRIRKLNSLGYGIKDGHLASLNGTKKEFE ANFSKMQAKQGRPLFNPVASLKVEE >ENSMUSP00000022721.6 pep:known chromosome:GRCm38:14:103070216:103077579:1 gene:ENSMUSG00000022125.6 transcript:ENSMUST00000022721.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln5 description:ceroid-lipofuscinosis, neuronal 5 [Source:MGI Symbol;Acc:MGI:2442253] MLRGGPCGAHWRPALALALLGLATILGASPTSGQRWPVPYKRFSFRPKTDPYCQAKYTFC PTGSPIPVMKDNDVIEVLRLQAPIWEFKYGDLLGHFKLMHDAVGFRSTLTGKNYTIEWYE LFQLGNCTFPHLRPDKSAPFWCNQGAACFFEGIDDKHWKENGTLSVVATISGNTFNKVAE WVKQDNETGIYYETWTVRAGPGQGAQTWFESYDCSNFVLRTYKKLAEFGTEFKKIETNYT KIFLYSGEPIYLGNETSIFGPKGNKTLALAIKKFYGPFRPYLSTKDFLMNFLKIFDTVII HRQFYLFYNFEYWFLPMKPPFVKITYEETPLPTRHTTFTDL >ENSMUSP00000038845.7 pep:known chromosome:GRCm38:12:28751828:28867491:1 gene:ENSMUSG00000036613.7 transcript:ENSMUST00000035657.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssc1 description:tumor suppressing subtransferable candidate 1 [Source:MGI Symbol;Acc:MGI:1289332] MEDDAPVIYGLEFQARALTPQTAETDAIRFLVGTQSLKYDNQIHIIDFDDENNIINKNVL LHQAGEIWHISASPADKGVLATCYNKTTDSRVQACAAVWRMPKELESGSHESPDDPASTA QTLELLCHLDNSAQGNVACVVWEPMGDGKKVISLADSHILLWDLQPSSSQAVLASSATLE GRGQLKFTAGRWSPHHNCTQVATASDTTLRGWDTRSMSQIYCIENAHGQLVRDLDFNPNK QYYLASCGDDCKVKFWDTRNVTEPVKTLEEHSHWVWSVRYNHSHDQLVLTGSSDSRVILS NMVSISSEPFGHLVDDGDISDPEEHHAEKSKEPLQDNVIATYEEHEDSVYAVDWASADPW LFASLSYDGRLVINRVPRALKYHILL >ENSMUSP00000022142.5 pep:known chromosome:GRCm38:13:100407770:100446406:-1 gene:ENSMUSG00000021640.5 transcript:ENSMUST00000022142.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naip1 description:NLR family, apoptosis inhibitory protein 1 [Source:MGI Symbol;Acc:MGI:1298223] MAEHGESSEDRISEIDYEFLPELSALLGVDAVQLAKSQEEEEHKERMKMKKGFNSQMRSE AKRLKTFETYDTFRSWTPQEMAAAGFYHTGVKLGVQCFCCSLILFGNSLRKLPIERHKKL RPECEFLQGKDVGNIGKYDIRVKSPEKMLRGGKARYHEEEARLESFEDWPFYAHGTSPRV LSAAGFVFTGKRDTVQCFSCGGSLGNWEEGDDPWKEHAKWFPKCEFLQSKKSSEEIAQYI QGYEGFVHVTGEHFVNSWVRRELPMVSAYCNDSVFANEELRMDTFKDWPHESPVAVDALV RAGLFYTGKKGIVQCFSCGGCMEKCTEGDDPIQEHNKFFPNCVFLQTPKSSAEVIPALQS HCALPEAMETTSESNHDDPAAVHSTVVGLGRSEAQWFQEARSLSEQLRDNYTKATFRHMN LPEVCSSLGTDHLIGCDVSIISKHISQPVQGALTIPEVFSNLSSVMCVEGETGSGKTTFL KRIAFLWASGCCPLLYRFQLVFYLSLSSITPDQGLANIICAQLLGAGGCISEVCLSSIIQ QLQHQVLFLLDDYSGLASLPQALHTLITKNYLSRTCLLIAVHTNRVRGIRSYLDTSLEIK EFPLSNTVYILKKFFSHNIKRLLEFMVYFGQNEDLQGIHKTPLFVAAVCTDWFENPSDQP FQDMALFKSYMQYLSLKHKGAAKPLQATVSSCGQLALTGLFSSCFEFNSDDLAEAGVDED EELTTCLMSKFTAQRLRPVYRFLGPLFQEFLAAMRLTELLSSDRQEDQDLGLYYLRQINS PLKALTTYNNFLKYVFSHPSSKAGPTVVSHLLHLVDETELLENTYKNEDYVNHPPGTSRI MKGLKELWLLSPEYYSSFVSEHLLRIALNFAYESNTVAECSPFILQFLRGRTLALKVLNL QYFRDHPESLLLVKSLEVSINGNKVPKVVDYSVMEKSFETLQPPTIDQDYASAFEQMKEH EKNLSENEETIKSIKNIFPLQPPKISSGYWKLSPKPCKIPRLEVGVTNMGPADQALLQVL MEVFSASQSIEFRLSDSSGFLESIRPALELSKASVTKCSMSRLELSRAEQELLLTLPALQ SLEVSETNQLPDQLFHNLHKFLGLKELCVRLDGKPDVLSVLPGEFPNLLHMEKLSIRTSM ESDLSKLVKLIQNSPNLHVFHLKCDFLSNCDSLMAVLASCKKLREIEFSGRCFEAMPFVN ILPNFISLKILNLISQQFPDKETSEKFAQALGSLRNLEELLVPTGDGIHQVAKLIVRQCL QLPCLRVLAFHYILDNDSVIEIARVATSGGFQKLEKLDLSMNHKITEEGYRNFFQALDNL PNLQNLNICRHIPECIQVQATTVKALGQCVSRLPSLTRLHMLSWLLDEEDMKVINDVKER HPQSKRLIIFWKWIVPFSPVVLE >ENSMUSP00000055919.7 pep:known chromosome:GRCm38:8:95446096:95488820:-1 gene:ENSMUSG00000046707.8 transcript:ENSMUST00000056919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk2a2 description:casein kinase 2, alpha prime polypeptide [Source:MGI Symbol;Acc:MGI:88547] MPGPAAGSRARVYAEVNSLRSREYWDYEAHVPSWGNQDDYQLVRKLGRGKYSEVFEAINI TNNERVVVKILKPVKKKKIKREVKILENLRGGTNIIKLIDTVKDPVSKTPALVFEYINNT DFKQLYQILTDFDIRFYMYELLKALDYCHSKGIMHRDVKPHNVMIDHQQKKLRLIDWGLA EFYHPAQEYNVRVASRYFKGPELLVDYQMYDYSLDMWSLGCMLASMIFRKEPFFHGQDNY DQLVRIAKVLGTDELYGYLKKYHIDLDPHFNDILGQHSRKRWENFIHSENRHLVSPEALD LLDKLLRYDHQQRLTAKEAMEHPYFYPVVKEQSQPCAENTVLSSGLTAAR >ENSMUSP00000034060.5 pep:known chromosome:GRCm38:8:61224171:61396072:1 gene:ENSMUSG00000031642.8 transcript:ENSMUST00000034060.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3rf1 description:SH3 domain containing ring finger 1 [Source:MGI Symbol;Acc:MGI:1913066] MDESALLDLLECPVCLERLDASAKVLPCQHTFCKRCLLGIVGSRNELRCPECRTLVGSGV DELPSNILLVRLLDGIKQRPWKPGPGGGGGTTCTNTLRAQGSTVVNCGSKDLQSSQCGQQ PRVQAWSPPVRGIPQLPCAKALYNYEGKEPGDLKFSKGDIIILRRQVDENWYHGEVSGVH GFFPTNFVQIIKPLPQPPPQCKALYDFEVKDKEADKDCLPFAKDDVLTVIRRVDENWAEG MLADKIGIFPISYVEFNSAAKQLIEWDKPPVPGVDTAECPSATAQSTSASKHPDTKKNTR KRHSFTSLTMANKSSQGSQNRHSMEISPPVLISSSNPTAAARISELSGLSCSAPSQVHIS TTGLIVTPPPSSPVTTGPAFTFPSDVPYQAALGSMNPPLPPPPLLAATVLASTPSGATAA VAAAAAAAAAGMGPRPVMGSSEQIAHLRPQTRPSVYVAIYPYTPRKEDELELRKGEMFLV FERCQDGWYKGTSMHTSKIGVFPGNYVAPVTRAVTNASQAKVSMSTAGQASRGVTMVSPS TAGGPTQKPQGNGVAGNPSVVPTAVVSAAHIQTSPQAKVLLHMSGQMTVNQARNAVRTVA AHSQERPTAAVTPIQVQNAACLGPASVGLPHHSLASQPLPPMAGPAAHGAAVSISRTNAP MACAAGASLASPNMTSAVLETEPSGRTVTILPGLPTSPESAASACGNSSAGKPDKDSKKE KKGLLKLLSGASTKRKPRVSPPASPTLDVELGAGEAPLQGAVGPELPLGGSHGRVGSCPT DGDGPVAAGTAALAQDAFHRKTSSLDSAVPIAPPPRQACSSLGPVMNEARPVVCERHRVV VSYPPQSEAELELKEGDIVFVHKKREDGWFKGTLQRNGKTGLFPGSFVENI >ENSMUSP00000038775.7 pep:known chromosome:GRCm38:16:87376651:87432606:-1 gene:ENSMUSG00000052299.8 transcript:ENSMUST00000039449.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltn1 description:listerin E3 ubiquitin protein ligase 1 [Source:MGI Symbol;Acc:MGI:1926163] MGGKNKQRTKGNLRPSNSGRAAELLAKEQGTVPGFIGFGTSHSDLGYVPAVQGAEDIDSL VDSDFRMVLRKLSKKDVTTKLKAMQEFGIMCTERDTEAVKGVLPYWPRIFCKISLDHDRR VREATQQAFEKLILKVKKHLAPYLKSVMGYWLMAQCDTYPPAALAAKDAFEAAFPPSKQP EAIAFCKEEITTVLQDHLLKETPDTLSDPQTVPEEEREAKFHRVVTCSLLALKRLLCFLP NNELDSLEEKFKSLLSQNKFWKYGKHSVPQVRSAYFELVSALCQHVPQVMKEEAAKVSPS VLLSIDDSDPVVCPALWEAVLYTLTTIEDCWFHVNAKKSVFPKLMAMIREGGRGLAAVMY PYLLPFISKLPQSITEPKLDFFKNFLTSLVTGLSTERTKSSSSECSAVISAFFECLRFIM QQNLGEEEMVQMLINEQLIPFIDTVLKDSGLHHGPMFDHLADTLSSWEAKADAERDPGAV YNLENVLLSFWGRLSEICTEKIRQPEADVKSVLCVSSLVGVLQRPRSSLKLHRKKTAQVR FAINIPEAHKGDEKSMSSEGENSEGSDGGAQSPLSNTSSDLVSPLRKKPLEDLVCKLAEV SISFVNERKSEQHLQFLSTLLDSFSSVQVFNILLSDKQKNVVKAKPLEITKLAEKNPAVK FLYHKLIGWLNDSQKEDGGFLVDILYSALRCCDSGVERKEVLDDLTKEDLKWSSLLQVIE KACSSSDKHALVTPWLKGSILGEKLVALADCLCDKDLEATTSESHSSEQWSLLRLALSQH VKNDYLIGEVYVGRIIVKLHETLSKTKDLSEAANSDSSVSFVCDVVHSFFSSAGGGLLMP PSEDLLLTLFQLCAQSKERTHLPDFLICKLKNTLLSGVNLLVHQTASTYEQSTFLRLSVL WLKDQVQSSALDNTSLQVLLSAAGDLLGTLVESEDTSLLGVYIGSVMPSDSEWEKMRQAL PVQWLHRPLLEGRLSLNYECFKTDFKEQDTKTLPNHLCTSSLLSKMILVAQKKKLVLEDN VLEKIIAELLYSLQWCEELDNAPSFLSGFCGILQKMNITYSNLSVLSETSSLLQLLFDRS RKNGTLWSLIIAKLILSRSISSDEVKPYYKRKESFFPLTEGSLHTIQSLCPFLSKEEKKE FSAQCIPAFLGWTKEDLCSINGAFGHLAIFNSCLQTRSIDDKQLLHGILKIITSWRKQHE DIFLFSCNLSEASPEVLGLNIEIMRFLSLFLKHCAYPLPLADSEWDFIMCSMLAWLETTS ENQALYSVPLVQLFACVSFDLACDLCAFFDSITPDIVDNLPVNLISEWKEFFSKGIHSLL LPLLVNAIGENKDLSETSFQNAMLKPMCETLTYISKDQLLSHKLPARLVASQKTNLPEHL QTLLNTLTPLLLFRARPVQIAAYHMLCKLMPELPQHDQDNLRSYGDEEEEPALSPPAALM SLLSSQEELLENVLGCVPVGQIVTVKPLSEDFCYVLGYLLTWKLILTFFKAASSQLRALY SMYLRKTKSLNKLLYHLFRLMPENPTYGETAIEVSSKDPKTFFTEEVQLSIRETATLPYH IPHLACSVYHMTLKDLPAMVRLWWNSSEKRVFNIVDRFTSKYVSNVLSFQEISSVQTSTQ LFNGMTVKARATTREVMATYTIEDIVIELIIQLPSNYPLGSITVESGKRIGVAVQQWRNW MLQLSTYLTHQNGSIMEGLALWKNNVDKRFEGVEDCMICFSVIHGFNYSLPKKACRTCKK KFHSACLYKWFTSSNKSTCPLCRETFF >ENSMUSP00000058484.7 pep:known chromosome:GRCm38:13:100669481:100697240:1 gene:ENSMUSG00000048924.13 transcript:ENSMUST00000057325.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc125 description:coiled-coil domain containing 125 [Source:MGI Symbol;Acc:MGI:1923291] MSKVPRSSSEAEDIWETEDDMTEGDLGYGLGRKPGGIYEVPCSITSKKRSDGKNSSPPPF PRKGEERSETSFQYSRRKGFQDTSAEGYRASRLSSTDSNSELSDEQLRRRLHEALEDVEI LKTELEASQRQLEGKEEALKILQSMAMLGKATSHTQTMLQKTIEQKRSLEKEINALQWEM EFDQDRFKNIEESWIQKCDRLNCDNAVLRENLKLRTEEIKMLKSKNAVLNQRYLEALAML DIKEQKMGQEESGFTDVSGLELAVLGACLCHGPGGSPCSCAKMAASTRKLVLQLRHELET LQKSKEEAHITADAFRIAFEQQLMRKNEQALRLAGGDLCKRAATWINRQHQADDGYPAQR RKKTLGQRLLGILPSENSSKGAEDQDNMQEVFKMLVDLLNDKEEALAHQRKVSYMLARAL EDKDTASERNKEKIPMSQTFPFKTAWHDASELCGLRDPVQSNHVSEPMACICSIQHPPKV SDCPRTLKRSCSLPSTLFYK >ENSMUSP00000130107.2 pep:known chromosome:GRCm38:13:100669481:100697240:1 gene:ENSMUSG00000048924.13 transcript:ENSMUST00000170347.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc125 description:coiled-coil domain containing 125 [Source:MGI Symbol;Acc:MGI:1923291] MSKVPRSSSEAEDIWETEDDMTEGDLGYGLGRKPGGIYEVPCSITSKKRSDGKNSSPPPF PRKGEERSETSFQYSRRKGFQDTSAEGYRASRLSSTDSNSELSDEQLRRRLHEALEDVEI LKTELEASQRQLEGKEEALKILQSMEINALQWEMEFDQDRFKNIEESWIQKCDRLNCDNA VLRENLKLRTEEIKMLKSKNAVLNQRYLEALAMLDIKEQKMGQEESGFTDVSGLELAVLG ACLCHGPGGSPCSCAKMAASTRKLVLQLRHELETLQKSKEEAHITADAFRIAFEQQLMRK NEQALRLAGGDLCKRAATWINRQHQADDVE >ENSMUSP00000136503.1 pep:known chromosome:GRCm38:17:74395608:74424229:1 gene:ENSMUSG00000024069.12 transcript:ENSMUST00000179074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a6 description:solute carrier family 30 (zinc transporter), member 6 [Source:MGI Symbol;Acc:MGI:2386741] MGTIHLFRKPQRSFFGKLLQEFRLVAADRRSWKILLFGAINVLCTGFLLMWCSSTNSIAL TAYTYLTIFDLFSLFFFSLITCLISYWVMMRKPSPVYSFGFERLEVLAVFASTVLAQLGA LFILKESAERFLEQPEIHTGRLLVGTFVALSFNLFTMLSIRNKPFAYVSEAASTSWLQEH VADLSRSLCGLIPGLSSIFLPRMNPFVLIDLAGAFALCITYMLIEINNYFAVDTASAIAI ALMTFGTMYPMSVYSGKVLLQTTPPHVIGQLDKLIREVSTLDGVLEVRNEHFWTLGFGSL AGSVHVRIRRDANEQMVLAHVSNRLCTLVSTLTVQIFKDDWIRPALSSGPVAPNVLNFSD HHVIPMPLLKNVDERTPVTSTPAKPSSPPPEFSFNTPGKNVSPVILLNTQTRPYSLGLNR GHTPYSSVFSQGLAFPGVGAGQGLRPTFPHIPSRYGINRMGQPRP >ENSMUSP00000024870.6 pep:known chromosome:GRCm38:17:74395608:74424229:1 gene:ENSMUSG00000024069.12 transcript:ENSMUST00000024870.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a6 description:solute carrier family 30 (zinc transporter), member 6 [Source:MGI Symbol;Acc:MGI:2386741] MGTIHLFRKPQRSFFGKLLQEFRLVAADRRSWKILLFGAINVLCTGFLLMWCSSTNSIAL TAYTYLTIFDLFSLITCLISYWVMMRKPSPVYSFGFERLEVLAVFASTVLAQLGALFILK ESAERFLEQPEIHTGRLLVGTFVALSFNLFTMLSIRNKPFAYVSEAASTSWLQEHVADLS RSLCGLIPGLSSIFLPRMNPFVLIDLAGAFALCITYMLIEINNYFAVDTASAIAIALMTF GTMYPMSVYSGKVLLQTTPPHVIGQLDKLIREVSTLDGVLEVRNEHFWTLGFGSLAGSVH VRIRRDANEQMVLAHVSNRLCTLVSTLTVQIFKDDWIRPALSSGPVAPNVLNFSDHHVIP MPLLKNVDERTPVTSTPAKPSSPPPEFSFNTPGKNVSPVILLNTQTRPYSLGLNRGHTPY SSVFSQGLAFPGVGAGQGLRPTFPHIPSRYGINRMGQPRP >ENSMUSP00000023330.6 pep:known chromosome:GRCm38:16:48431237:48630905:1 gene:ENSMUSG00000022652.6 transcript:ENSMUST00000023330.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morc1 description:microrchidia 1 [Source:MGI Symbol;Acc:MGI:1316740] MDKYALLQRAKLHLDFIHANSTTHSFLFGALAELLDNARDAGAVRLDVFSVDNETLQGGF MLCFLDDGCGMSPDEASDVIYFGTSKKRLSTLKFIGQYGNGLKSGSMRIGKDCILFTKKE ETMTCLFFSQTFCEKEGLTEVVVPIPSWLTRTRESITDDPQKFFTELSIIFKYSPFKTEA ELMQQFDMIYGRCGTLLIIYNLKLLLSGEPELDVTTDKEDILMAEAPEEIPERRSFRAYT AVLYFEPRMKIFIQAKRVQTKHLCYSLYKPRKYQYTTSSFKGKFKTEVQKAEEAVKRAEL LFKEVQAKVNQPDRIALSSTQDALQKALQDVDTKHKSLRQKQRALRKARTLSLFFGVNTE DQHQAGMFIYSNNRLIKMYEKVGPQLKMKSLLGAGIIGIVNIPLETMEPSHNKQEFLNVQ EYNHLLKVMGQYLIQYCKDIGISNRNLTLFWDEFKYQHSKDTDSSLESLQWRRRQAMGIP FILQCDLCLKWRVLPSSSNYQEKGLPDLWICASNPNNLENSCNQIERLPSIPLGTVNRRP PSKDERERQLQESVQRYQDKLVEAQPQKSQLIVTSKIPEFKSSCLSSALKEKSKLGRIQP SGADLTQGSPSSVKLSFMQRSQKRSTEDTHSDVEFICMTKIPKKSVKKTVKYLQPGHAPA LLENLKLEDTAQVSSREIKKQQSESLVQAGKASTDVASSRDPTVTMVWDQSSTKVSLKQE EEEEVPLIKPDKQELCDDTPVVKGNSSALHWKSLPGVQMEDLSPRSGHKINSVSGDCQLP ASPMPSQSMSVEETARKLLSNLREILLYFVPEFQLSSEFECTSVEELITNPELERCPENI NEKLKTCFNQIQNIYMAQYEKRLKRKMQSIVYEANRRGLLNQVFLGQCELKRKRTEEKLS DLRAKLALLLQKLQLGGPAGDPQQIDAYLEDLLKEDRLPTALHEKSPESA >ENSMUSP00000136877.1 pep:novel scaffold:GRCm38:GL456354.1:6366:8939:1 gene:ENSMUSG00000094741.1 transcript:ENSMUST00000178291.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC140325.2 MTVQTPSTLQNLALQALLRDEALALFCLEEVPFLLFPALFKEAFAGRLKKLMKAIVAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSESLNEKPTVVKVLRRYARRKQLKVVADLCLRPRRDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLGQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLE SLSITHYQISQSDLDSFSCCQSLFQLKHLEMKGMVLQVLDVMPLRGLLEKVAKTLETLNL QGCKLKDSQLNALLPSFIRCSQLTKINLYNNDFSMPILKDLLQQTAN >ENSMUSP00000125960.1 pep:known chromosome:GRCm38:10:76468971:76515856:1 gene:ENSMUSG00000001150.6 transcript:ENSMUST00000170795.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcm3ap description:minichromosome maintenance deficient 3 (S. cerevisiae) associated protein [Source:MGI Symbol;Acc:MGI:1930089] MHPVNPFGGQQPSAFAVSSSTTGTYQTKSPFRFGQPSLFGQNSTPSKSLAFSQVPSFATP SGGSHSSSLPAFGLTQTSSVGLFSSLESTPSFAATSSSSVPGNTAFSFKSTSSVGVFPSG ATFGPETGEVAGSGFRKTEFKFKPLENAVFKPIPGPESEPEKTQSQISSGFFTFSHPVGS GSGGLTPFSFPQVTNSSVTSSSFIFSKPVTSNTPAFASPLSNQNVEEEKRVSTSAFGSSN SSFSTFPTASPGSLGEPFPANKPSLRQGCEEAISQVEPLPTLMKGLKRKEDQDRSPRRHC HEAAEDPDPLSRGDHPPDKRPVRLNRPRGGTLFGRTIQEVFKSNKEAGRLGSKESKESGF AEPGESDHAAVPGGSQSTMVPSRLPAVTKEEEESRDEKEDSLRGKSVRQSKRREEWIYSL GGVSSLELTAIQCKNIPDYLNDRAILEKHFSKIAKVQRVFTRRSKKLAVIHFFDHASAAL ARKKGKGLHKDVVIFWHKKKISPSKKLFPLKEKLGESEASQGIEDSPFQHSPLSKPIVRP AAGSLLSKSSPVKKPSLLKMHQFEADPFDSGSEGSEGLGSCVSSLSTLIGTVADTSEEKY RLLDQRDRIMRQARVKRTDLDKARAFVGTCPDMCPEKERYLRETRSQLSVFEVVPGTDQV DHAAAVKEYSRSSADQEEPLPHELRPSAVLSRTMDYLVTQIMDQKEGSLRDWYDFVWNRT RGIRKDITQQHLCDPLTVSLIEKCTRFHIHCAHFMCEEPMSSFDAKINNENMTKCLQSLK EMYQDLRNKGVFCASEAEFQGYNVLLNLNKGDILREVQQFHPDVRNSPEVNFAVQAFAAL NSNNFVRFFKLVQSASYLNACLLHCYFNQIRKDALRALNVAYTVSTQRSTVFPLDGVVRM LLFRDSEEATNFLNYHGLTVADGCVELNRSAFLEPEGLCKARKSVFIGRKLTVSVGEVVN GGPLPPVPRHTPVCSFNSQNKYVGESLATELPISTQRAGGDPAGGGRGEDCEAEVDVPTL AVLPQPPPASSATPALHVQPLAPAAAPSLLQASTQPEVLLPKPAPVYSDSDLVQVVDELI QEALQVDCEEVSSAGAAYVAAALGVSNAAVEDLITAATTGILRHVAAEEVSMERQRLEEE KQRAEEERLKQERELMLTQLSEGLAAELTELTVTECVWETCSQELQSAVEIDQKVRVARC CEAVCAHLVDLFLAEEIFQTAKETLQELQCFCKYLQRWREAVAARKKFRRQMRAFPAAPC CVDVNDRLQALVPSAECPITEENLAKGLLDLGHAGKVGVSCTRLRRLRNKTAHQIKVQHF HQQLLRNAAWAPLDLPSIVSEHLPMKQKRRFWKLVLVLPDVEEQTPESPGRILENWLKVK FTGDDSMVGDIGDNAGDIQTLSVFNTLSSKGDQTVSVNVCIKVAHGTLSDSALDAVETQK DLLGTSGLMLLLPPKVKSEEVAEEELSWLSALLQLKQLLQAKPFQPALPLVVLVPSSRGD SAGRAVEDGLMLQDLVSAKLISDYIVVEIPDSVNDLQGTVKVSGAVQWLISRCPQALDLC CQTLVQYVEDGISREFSRRFFHDRRERRLASLPSQEPSTIIELFNSVLQFLASVVSSEQL CDISWPVMEFAEVGGSQLLPHLHWNSPEHLAWLKQAVLGFQLPQMDLPPPGAPWLPVCSM VIQYTSQIPSSSQTQPVLQSQVENLLCRTYQKWKNKSLSPGQELGPSVAEIPWDDIITLC INHKLRDWTPPRLPVTLEALSEDGQICVYFFKNLLRKYHVPLSWEQARMQTQRELQLSHG RSGMRSIHPPTSTFPTPLLHVHQKGKKKEESGREGSLSTEDLLRGASAEELLAQSLSSSL LEEKEENKRFEDQLQQWLSQDSQAFTESTRLPLYLPQTLVSFPDSIKTQTMVKTSTSPQN SGTGKQLRFSEASGSSLTEKLKLLERLIQSSRAEEAASELHLSALLEMVDM >ENSMUSP00000034299.5 pep:known chromosome:GRCm38:8:70762774:70766663:-1 gene:ENSMUSG00000031838.7 transcript:ENSMUST00000034299.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi30 description:interferon gamma inducible protein 30 [Source:MGI Symbol;Acc:MGI:2137648] MSWSPILPFLSLLLLLFPLEVPRAATASLSQASSEGTTTCKAHDVCLLGPRPLPPSPPVR VSLYYESLCGACRYFLVRDLFPTWLMVMEIMNITLVPYGNAQERNVSGTWEFTCQHGELE CRLNMVEACLLDKLEKEAAFLTIVCMEEMDDMEKKLGPCLQVYAPEVSPESIMECATGKR GTQLMHENAQLTDALHPPHEYVPWVLVNEKPLKDPSELLSIVCQLYQGTEKPDICSSIAD SPRKVCYK >ENSMUSP00000093229.4 pep:known chromosome:GRCm38:12:80953185:80968079:-1 gene:ENSMUSG00000021135.7 transcript:ENSMUST00000095572.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a1 description:solute carrier family 10 (sodium/bile acid cotransporter family), member 1 [Source:MGI Symbol;Acc:MGI:97379] MEAHNVSAPFNFSLPPGFGHRATDTALSVILVVMLLLIMLSLGCTMEFSKIKAHFWKPKG VIIAIVAQYGIMPLSAFLLGKVFHLTSIEALAILICGCSPGGNLSNLFTLAMKGDMNLSI VMTTCSSFTALGMMPLLLYIYSKGIYDGDLKDKVPYKGIMLSLVMVLIPCAIGIFLKSKR PHYVPYVLKAGMIITFSLSVAVTVLSVINVGNSIMFVMTPHLLATSSLMPFTGFLMGYIL SALFRLNPSCRRTISMETGFQNVQLCSTILNVTFPPEVIGPLFFFPLLYMIFQLAEGLLF IIIFRCYLKIKPQKDQTKITYKAAATEDATPAALEKGTHNGNNPPTQPGLSPNGLNSGQM AN >ENSMUSP00000111594.2 pep:novel scaffold:GRCm38:GL456210.1:135395:136519:-1 gene:ENSMUSG00000079794.2 transcript:ENSMUST00000115931.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC125149.2 MFKHFKENKVEIASAITKPFPFLMSLRDRDFISEQKFQVSLETCRNLLPVDRVVYDILSN VQKKFSRDLLKVIFSKTHLKAYPDL >ENSMUSP00000045527.6 pep:known chromosome:GRCm38:8:123224841:123232250:1 gene:ENSMUSG00000033862.6 transcript:ENSMUST00000036880.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk10 description:cyclin-dependent kinase 10 [Source:MGI Symbol;Acc:MGI:2448549] MAEVDLESDQIRLKCIRKEGFFTVPPEHRLGRCRSVKEFEKLNRIGEGTYGIVYRARDTQ TDEIVALKKVRMDKEKDGIPISSLREITLLLRLRHPNIVELKEVVVGNHLESIFLVMGYC EQDLASLLENMPTPFSEAQVKCIMLQVLRGLQYLHRNFIIHRDLKVSNLLMTDKGCVKTA DFGLARAYGVPVKPMTPKVVTLWYRAPELLLGTTTQTTSIDMWAVGCILAELLAHKPLLP GTSEIHQIDLIVQLLGTPSENIWPGFSKLPLAGQYSLRKQPYNNLKHKFPWLSEAGLRLL NFLFMYDPKKRATSGDCLESSYFKEKPLPCEPELMPTFPHHRNKRAAPAAAEGQSKRCRP >ENSMUSP00000079967.4 pep:known chromosome:GRCm38:14:89896223:89898466:-1 gene:ENSMUSG00000062093.4 transcript:ENSMUST00000081204.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10110 description:predicted gene 10110 [Source:MGI Symbol;Acc:MGI:3641718] MNAAASSYPMASLYVGDLHSDVTEAMLYEKFSPAGPVLSIRVCRDMITRRSLGYAYVNFQ QPADAERALDTMNFDVMKGKPIRIMWSQRDPSLRKSGVGNVFIKNLDKSIDNKALYDTFS AFGNILSCKVVCDENGSKGYAFVHFETQEAADKAIEKMNGMLLNDRKVFVGRFKSRKERE AELGAKAKEFTNVYIKNFGEEVDDGNLKELFSQFGKTLSVKVMRDSSGKSKGFGFVSYEK HEDANKAVEEMNGKEMSGKAIFVGRAQKKVERQAELKRKFEQLKQERISRYQGVNLYIKN LDDTIDDEKLRKEFSPFGSITSAKVMLEDGRSKGFGFVCFSSPEEATKAVTEMNGRIVGS KPLYVALAQRKEERKAHLTNQYMQRVAGMRALPASAILNQFQPAAGGYFVPAVPQAQGRP PYYTPNQLAQMRPNPRWQQGGRPQGFQGMPSALRQSGPRPALRHLAPTGSSGAVPNLAPR AAVAAAAPRAVAPYKYASSVRSPHPAIQPLQAPQPAVHVQGQEPLTASMLAAAPPQEQKQ MLGERLFPLIQTMHSNLAGKITGMLLEIDNSELLHMLESPESLRSKVDEAVAVLQAHHAK KEAAQKVGTVAAATS >ENSMUSP00000043304.5 pep:known chromosome:GRCm38:13:58287516:58354862:-1 gene:ENSMUSG00000060176.3 transcript:ENSMUST00000043605.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif27 description:kinesin family member 27 [Source:MGI Symbol;Acc:MGI:1922300] MEEIPIKVAVRIRPLLCKEVLHNHQVCVRDIPNTQQIIIGRDRVFTFDFVFGKNSTQDEV YNTCIKPLVLSLIEGYNATVFAYGQTGSGKTYTIGGGHVASVVEGQKGIIPRAIQEIFQS ISENPSIDFKIKVSYIEVYKEDLRDLLELETSMKDLHIREDEKGNTVIVGAKECQVESVE DVMSLLQVGNAARHTGTTQMNEHSSRSHAIFTISVCQVEKNAEAAENGEWYSHRHIVSKF HFVDLAGSERVTKTGNTGERFKESIQINSGLLALGNVISALGDPRRKSSHIPYRDAKITR LLKDSLGGSAKTVMITCVSPSSSDFDESLNSLKYANRARNIRNKPALNISPQADRMDEME FEIKLLREALQSHQASISQASQASSENVPDQNRIHSLEEQVAQLQEECLGYQDCIEQAFA FLVDLKDAVKLNQKQQHKLQEWFSRTQEVRKAVLTPLPGNQGIGNLEEGPQHLTVLQLKR ELKKYQCALAADQVVFTQKDLELEELRTQVQLMMQESKGHAVSLKEAQKVNRLQNEKIIE QQLLVDQLSAELAKRSLSVPTSAKESCGDGPDARASEKRPHTAPFESHWGHYVYIPSRQD FKKVCSSTPVYSLDQVFAGFRTRSQMLMGHLEDQDEVLHCQFSDNSDDEDSEGQEKPRVR SRSHSWAKKPGSVCSLVELSDTQAESQRSYLGNGDLKMESLQESQEINLQKLRTSELILN KAKQKMRELTINIRMKEDLIKELIKTGNNAKSVSRQYSLKVTKLEHEAEQAKVELTETRK QLQELESKDLSDVALKVKLQKEFRKKMDAAKMRVQVLQKKQQDSKKLASLSIQNEKRASE LEHNVDHLKYQKVQLQRRLREEGEKKKQLDAEIKRDQQKIKELQLKAGQGEGLNPKAEDQ DGFNLNRRKSPFRSGDQFQKLDEQRKWLDEEVEKVLSQRQELEMLEEDLKKREAIVSKKE ALLQEKSLLENKKLRSSQALSTDGLKISARLNLLDQELSEKSLQLESSPTEEKMKISEQV QALQRERDQLQRQRNSVDERLKHGRVLSPKEEHLLFQLEEGIEALEAAIEFKNESIQSRQ NSLKASFQNLSQSEANVLEKLVCLNITEIRAILFKYFNKVINLRETERKQQLQNKEMKMK VLERDNVVHELESALEHLRLQCDRRLTLQQKEHEQKMQLLLQHFKDQDGDSIIETLKNYE DKIQQLEKDLYFYKKTSRDLKKRLKDPAQGAAQWQRTLTEHHDAGDGVLNPEETTVLSEE LKWASRTENTKLNGSEREVDNSSSSLKTQPLTQQIPEDGPDSLPARSSIAPSSGQLQSIA DKTEARPFTHSQSPVPHQFQPVRSIGPLQGVKPVKLCRRELRQISAMELSLRRCSLGAGG RSMTADSLEDPEEN >ENSMUSP00000138078.1 pep:known chromosome:GRCm38:8:12554270:12573320:-1 gene:ENSMUSG00000097421.1 transcript:ENSMUST00000180858.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630011A20Rik description:RIKEN cDNA D630011A20 gene [Source:MGI Symbol;Acc:MGI:3642132] MDFPFSPLPKFQRRKHKEECFLYGFQAGGFCPPNLPEVVLIGCSSAPCQQHSRKTDKKVL DPLFAAMVPPVTGGGPSLEGQQQWSRKNKSLQGWTLKLGMVVSTCNCSLWSICVEGKQTS YQQRPL >ENSMUSP00000139336.1 pep:known chromosome:GRCm38:CHR_MG4214_PATCH:37838302:37841725:1 gene:ENSMUSG00000097600.3 transcript:ENSMUST00000184819.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rhox7a description:reproductive homeobox 7A [Source:EntrezGene;Acc:547168] XTLGGSSSETEEARGAADVQRL >ENSMUSP00000138064.1 pep:known chromosome:GRCm38:CHR_MG4214_PATCH:37832136:37841621:1 gene:ENSMUSG00000097600.3 transcript:ENSMUST00000181659.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhox7a description:reproductive homeobox 7A [Source:EntrezGene;Acc:547168] METMFQETQYPDVLTREVLARSMDGSEAKVQIRFNNRRAKQRAREKKAMLRSTAGAKAPL VLPAGEERNGEDSRDQSSPGLGASAAEWGGVEGPGELGRKEKNGASPSAVDTSGVRGDWT QKGASGSSQKNERRPQNRVPECRWGTEDVHPVPVLVPRAQRRQRVGSRSRGQSVSLKCPR IRPVLVSTVQPVPVLVPHRPLRDGFTEPQLQELEQVFQRNHYLRAEEGKQLARGTGVTEA KLQRWFKKRRVQFRREHSQSRMNDDAPPRTHSTSLKMAQEP >ENSMUSP00000033187.4 pep:known chromosome:GRCm38:7:105404568:105408738:1 gene:ENSMUSG00000030897.4 transcript:ENSMUST00000033187.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga4 description:cyclic nucleotide gated channel alpha 4 [Source:MGI Symbol;Acc:MGI:2664099] MSQDSKVKTTESTPPAPTKARKWLPVLDPSGDYYYWWLNTMVFPIMYNLIIVVCRACFPD LQHSYLVAWFVLDYTSDLLYLLDIGVRFHTGFLEQGILVVDKSMIASRYVRTWSFLLDLA SLVPTDAAYVQLGPHIPTLRLNRFLRVPRLFEAFDRTETRTAYPNAFRIAKLMIYIFVVI HWNSCLYFALSRYLGFGRDAWVYPDPAQPGFERLRRQYLYSFYFSTLILTTVGDTPLPAR EEEYLFMVGDFLLAVMGFATIMGSMSSVIYNMNTADAAFYPDHALVKKYMKLQHVNRRLE RRVIDWYQHLQINKKMTNEVAILQHLPERLRAEVAVSVHLSTLSRVQIFQNCEASLLEEL VLKLQPQTYSPGEYVCRKGDIGREMYIIREGQLAVVADDGVTQYAVLGAGLYFGEISIIN IKGNMSGNRRTANIKSLGYSDLFCLSKEDLREVLSEYPQAQAVMEEKGREILLKMNKLDV NAEAAEIALQEATESRLKGLDQQLDDLQTKFARLLAELESSALKIAYRIERLEWQTREWP MPDDMGEADDEAEPGEGTSKDGEEKAGQEGPSGLE >ENSMUSP00000130324.2 pep:known chromosome:GRCm38:8:13176869:13200624:-1 gene:ENSMUSG00000038515.9 transcript:ENSMUST00000165605.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grtp1 description:GH regulated TBC protein 1 [Source:MGI Symbol;Acc:MGI:1914040] MDPAERAQAARARVPRIDPYGFERPEDFDYAAYEEFFSTYLVILTKRAIKWSKLLKGNGG VRKSVTVKRYVRKGIPLEHRARVWMAVSGAQARMDQSPGYYHRLLEGESSSSLDEAIRTD LNRTFPDNVMFRKTADPCLQKTLYNVLLAYGLHNPDVGYCQGMNFIAGYLILITKNEEES FWLLDALVGRILPDYYSPAMLGLKTDQEVLAELVRMKLPAVAALMDGHGVLWTLLVSRWF ICLFVDILPVETVLRIWDCLFNEGSKIIFRVALTLIKQHQEFILEASSIPDICDKFKQIT KGDFVTECHAFMQKIFSEPGSLSMTTITRLRKSCRAALQAQS >ENSMUSP00000137333.1 pep:known chromosome:GRCm38:10:81183007:81193197:1 gene:ENSMUSG00000034974.12 transcript:ENSMUST00000178422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dapk3 description:death-associated protein kinase 3 [Source:MGI Symbol;Acc:MGI:1203520] MSTFRQEDVEDHYEMGEELGSGQFAIVRKCQQKGTGMEYAAKFIKKRRLPSSRRGVSREE IEREVSILREIRHPNIITLHDVFENKTDVVLILELVSGGELFDFLAEKESLTEDEATQFL KQILDGVHYLHSKRIAHFDLKPENIMLLDKHAASPRIKLIDFGIAHRIEAGSEFKNIFGT PEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGETKQETLTNISAVNYDFDEEY FSSTSELAKDFIRRLLVKDPKRRMTIAQSLEHSWIKVRRREDGARKPERRRLRAARLREY SLKSHSSMPRNTSYASFERFSRVLEDVAAAEQGLRELQRGRRQCRERVCALRAAAEQREA RCRDGSAGLGRDLRRLRTELGRTEALRTRAQEEARAALLGAGGLKRRLCRLENRYDALAA QVAAEVQFVRDLVRALEQERLQAECGVR >ENSMUSP00000035962.6 pep:known chromosome:GRCm38:10:81183263:81193197:1 gene:ENSMUSG00000034974.12 transcript:ENSMUST00000047665.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dapk3 description:death-associated protein kinase 3 [Source:MGI Symbol;Acc:MGI:1203520] MSTFRQEDVEDHYEMGEELGSGQFAIVRKCQQKGTGMEYAAKFIKKRRLPSSRRGVSREE IEREVSILREIRHPNIITLHDVFENKTDVVLILELVSGGELFDFLAEKESLTEDEATQFL KQILDGVHYLHSKRIAHFDLKPENIMLLDKHAASPRIKLIDFGIAHRIEAGSEFKNIFGT PEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGETKQETLTNISAVNYDFDEEY FSSTSELAKDFIRRLLVKDPKRRMTIAQSLEHSWIKVRRREDGARKPERRRLRAARLREY SLKSHSSMPRNTSYASFERFSRVLEDVAAAEQGLRELQRGRRQCRERVCALRAAAEQREA RCRDGSAGLGRDLRRLRTELGRTEALRTRAQEEARAALLGAGGLKRRLCRLENRYDALAA QVAAEVQFVRDLVRALEQERLQAECGVR >ENSMUSP00000026170.1 pep:known chromosome:GRCm38:19:41981763:42034641:1 gene:ENSMUSG00000025171.1 transcript:ENSMUST00000026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubtd1 description:ubiquitin domain containing 1 [Source:MGI Symbol;Acc:MGI:2385092] MGNCVGRQRRERPAAPGHPRKRAGRNEPLKKERLKWKSDYPMTDGQLRSKRDEFWDTAPA FEGRKEIWDALKAAAYAAEANDHELAQAILDGASITLPHGTLCECYDELGNRYQLPIYCL SPPVNLLLEHTEEESLEPPEPTPSVRREFPLKVRLSTGKDVRLNASLPDTVGQLKRQLHS QEGIEPSWQRWFFSGKLLTDRTRLQETKIQKDFVIQVIINQPPPPQD >ENSMUSP00000075630.5 pep:known chromosome:GRCm38:10:81905073:81910899:-1 gene:ENSMUSG00000096718.1 transcript:ENSMUST00000076281.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp781 description:zinc finger protein 781 [Source:MGI Symbol;Acc:MGI:3696710] MPQQDAVTYEDVHVNFTKEEWTLLHPSQKSLYRDVMLETCRNLTAIGIISVTLDPSLVSI RDMERSNVLLQVTVFFKLTEESILTRNPVNVISAVNPLQILIVFDSMKEVIVEENSMNVI TVVKLFQVEVLFKYMKELIQERSPMGAMNVGKPFQLAVIFKFTKELTLERNPTGAVSVGK PLQVKVIFKRTKELTQERNLIAAMNVGKPLLLTIIFKYMKSFILEKNPMNVMNVVEHLHT AEL >ENSMUSP00000129542.1 pep:known chromosome:GRCm38:10:83722865:83762761:1 gene:ENSMUSG00000087651.2 transcript:ENSMUST00000150459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1500009L16Rik description:RIKEN cDNA 1500009L16 gene [Source:MGI Symbol;Acc:MGI:1917034] MGCGNSTATSAAAGRGPTGAVKDTTEDSITEDDKRRNYGGVYVGLPSEAVNMASSQTKTV QKN >ENSMUSP00000025774.9 pep:known chromosome:GRCm38:19:5273923:5295455:-1 gene:ENSMUSG00000024853.9 transcript:ENSMUST00000025774.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3b2 description:splicing factor 3b, subunit 2 [Source:MGI Symbol;Acc:MGI:2441856] MAAEHPEPPKGELQLPPPPPPGHYGAWAAQELQARLAEIGAPIQGSREELVERLQTYTRQ TGIVLNRPVLRGEDGDKAAPPPMSAQLSGIPMPPPPMGLPPLQPPPPPPPPPPGLGLGFP MAHPPNLGPPPPLRVGEPVALSEEERLKLAQQQAALLMQQEERAKQAAVLMEQERQQEIA KMGTAVPRPPQDMGQLGVRTPLGPRVAAPVGPVVPTPTVLPMGAPVPRPRGPPPPPGDEN REMDDPSVGPKIPQALEKILQLKESRQEEMNSQQEEEEMETDTRSSLGQSASETEEDTVS ISKKEKNRKRRNRKKKKKPQRVRAASSESSGDREKDSGRSRGSDPPAADVEIEYVTEEPE IYEPNFIFFKRIFEAFKLTDDVKKEKEKEPEKLDKMESSAVPKKKGFEEEHKDSDDDSSD DEQEKKPEAPKLSKKKLRRMNRFTVAELKQLVARPDVVEMHDVTAQDPKLLVHLKATRNS VPVPRHWCFKRKYLQGKRGIEKPPFELPDFIKRTGIQEMREALQEKEEQKTMKSKMREKV RPKMGKIDIDYQKLHDAFFKWQTKPKLTIHGDLYYEGKEFETRLKEKKPGDLSDELRISL GMPVGPNAHKVPPPWLIAMQRYGPPPSYPNLKIPGLNSPIPESCSFGYHAGGWGKPPVDE TGKPLYGDVFGTNAAEFQTKTEEEEIDRTPWGELEPSDEESSEEEEEEESDEDKPDETGF ITPADSGLITPGGFSSVPAGMETPELIELRKKKIEEAMDGSETPQLFTVLPEKRTATVGG AMMGSTHIYDMSTVMSRKGPAPELQGVEVALAPEELELDPMAMTQKYEEHVREQQAQVEK EDFSDMVAEHAAKQKQKKRKAQPQDSRGGSKKYKEFKF >ENSMUSP00000020382.6 pep:known chromosome:GRCm38:10:117215142:117224507:-1 gene:ENSMUSG00000020171.7 transcript:ENSMUST00000020382.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yeats4 description:YEATS domain containing 4 [Source:MGI Symbol;Acc:MGI:1927224] MFKRMAEFGPDSGGRVKGVTIVKPIVYGNVARYFGKKREEDGHTHQWTVYVKPYRNEDMS AYVKKIQFKLHESYGNPLRVVTKPPYEITETGWGEFEIIIKIFFIDPNERPVTLYHLLKL FQSDTNAMLGKKTVVSEFYDEMIFQDPTAMMQQLLTTSRQLTLGAYKHETEFAELEVKTR EKLEAAKKKTSFEIAELKERLKASRETINCLKNEIRKLEEDDQTKDI >ENSMUSP00000072871.7 pep:known chromosome:GRCm38:9:27030175:27095311:1 gene:ENSMUSG00000035024.15 transcript:ENSMUST00000073127.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncapd3 description:non-SMC condensin II complex, subunit D3 [Source:MGI Symbol;Acc:MGI:2142989] MALQDLGENLQPWCPLGLSLEWVKTVWDLDFTEIEPLDPSIVGEILETGRDAFTKLYGSL FPFATDESGSLESIWTFFTENDISSNTLVALFCHFVQEAHKKSASAQYREYGLHAAGLYF LLLEIPGSVVNQVFHPVMFDKCIQILKRSWPQESNLTQKRKKDHSKSSKDNYRKSRKRGK PPRKEDYQVDELSREEEEEEEEIYFSGRDLCQIRDAIFNLLKNFLRLLPKFSLKEKPQSI QTCIEVFVALTSFEPIPHKFLISQARNLNEVKHISELAYYGLYLLCSPVHGEENKVIGSI FHQMLNVILMLEVGEGSRCAPLAITSQVINCRNQAVQFVSSLVDELQASVYPVLGTLLQH ICAKVVDKAEYRTYAAQSLVQLLTKLPSEEYATFIAWLYKYSRSSKIPHRVFTLDVALAL LTLPERELDDTVSLEHQKFLKHKFFVQEIIFDRCLDKAPTVRSKALSSFAHCLELSSSNT SESILEIFINSNLVPGIQNLSNTVLNPSPVLTSRNGYSAQSRTHNNDEQTLPGERCFMTM LRKRIKDEKINVRKSALQVLMSILKHCDILSMEQDLLILQDHCRDPAISVRKQALQSLTE LVMAQPTCVPVQKAWLMGVIPVVMDCESTVQEKALECLDQLLLQNIKHHKKFHSADRSQV LAWSLLALLTIENQDLRRYLNKAFHIWSKKDKFSSTFINSVISHTDTERSAPAWMLLSKI TCSSPKLDYTKIIESWERLSREQSPNSNTLGYMLCVIGHIAKHLPKGTRDKITGVIKAKL NGFQWSPELISSSVDALQKLCRASAKTVLEEQGLLKQVCGDVLATCEQHLSNILLKEDGT GNMDEGLVVKCIFTLGDIAQLCPAIVEKRVFLLIQSILASSAHSDHLPSSQGTTDALDSQ PPFQPRSSAMPSVIRAHAIITLGKLCLQHEDLAKKSIPALVRELEVSEDVAVRNNVIIVI CDLCIRYTVMVDNYIPNISVCLKDSDPFIRKQTLVLLTNLLQEEYVKWKGSLFFRFVSTL VDSHPDIASLGEFCLAHLLLKRNPTMFFQHFIECIFHFNSYEKHGQYNKFSQSERGKQLF LLKGKTNKEKRMRIYKFLLEHFTDEQRFNVTSKICLNILACFTDGILPMDMEASELLSDT FDILNSKEIKLLAMRAQTSKDLLEEDDVALANVVMQEAQMKIISQVQKRNFIENIIPIII SLKTVLEKNKIPALRELMNYLREVMQDYRDEINDFFAVDKQLASELEYDMKKYNEQLAQE QALTEHANATKGPEDSDRVPSAQVAPDLEAVPALAAAPMAAAAAAAPMAAAAAAAGQDNA DVPPTQSRPSAPRSNFTPTLPPISENGPLKIMSSTRPMSLSTIAILNSVKKAVASKNRTR SLGALPFNVETGSPENPSSHESSLSLEKESDRTVNHVTKRAISTPENSISDVTFAAGVSY IGTPATFFTKEKHEAQEQGSDILCLSLLDKRPPQSPQWNVKSPARSHGSTRSSRRSLRKA PLKTAN >ENSMUSP00000083374.4 pep:known chromosome:GRCm38:9:27030175:27095311:1 gene:ENSMUSG00000035024.15 transcript:ENSMUST00000086198.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncapd3 description:non-SMC condensin II complex, subunit D3 [Source:MGI Symbol;Acc:MGI:2142989] MALQDLGENLQPWCPLGLSLEWVKTVWDLDFTEIEPLDPSIVGEILETGRDAFTKLYGSL FPFATDESGSLESIWTFFTENDISSNTLVALFCHFVQEAHKKSASAQYREYGLHAAGLYF LLLEIPGSVVNQVFHPVMFDKCIQILKRSWPQESNLTQKRKKDHSKSSKDNYRKSRKRGK PPRKEDYQVDELSREEEEEEEEIYFSGRDLCQIRDAIFNLLKNFLRLLPKFSLKEKPQSI QTCIEVFVALTSFEPIPHKFLISQARNLNEVKHISELAYYGLYLLCSPVHGEENKVIGSI FHQMLNVILMLEVGEGSRCAPLAITSQVINCRNQAVQFVSSLVDELQASVYPVLGTLLQH ICAKVVDKAEYRTYAAQSLVQLLTKLPSEEYATFIAWLYKYSRSSKIPHRVFTLDVALAL LTLPERELDDTVSLEHQKFLKHKFFVQEIIFDRCLDKAPTVRSKALSSFAHCLELSSSNT SESILEIFINSNLVPGIQNLSNTVLNPSPVLTSRNGYSAQSRTHNNDEQTLPGERCFMTM LRKRIKDEKINVRKSALQVLMSILKHCDILSMEQDLLILQDHCRDPAISVRKQALQSLTE LVMAQPTCVPVQKAWLMGVIPVVMDCESTVQEKALECLDQLLLQNIKHHKKFHSADRSQV LAWSLLALLTIENQDLRRYLNKAFHIWSKKDKFSSTFINSVISHTDTERSAPAWMLLSKI TCSSPKLDYTKIIESWERLSREQSPNSNTLGYMLCVIGHIAKHLPKGTRDKITGVIKAKL NGFQWSPELISSSVDALQKLCRASAKTVLEEQGLLKQVCGDVLATCEQHLSNILLKEDGT GNMDEGLVVKCIFTLGDIAQLCPAIVEKRVFLLIQSILASSAHSDHLPSSQGTTDALDSQ PPFQPRSSAMPSVIRAHAIITLGKLCLQHEDLAKKSIPALVRELEVSEDVAVRNNVIIVI CDLCIRYTVMVDNYIPNISVCLKDSDPFIRKQTLVLLTNLLQEEYVKWKGSLFFRFVSTL VDSHPDIASLGEFCLAHLLLKRNPTMFFQHFIECIFHFNSYEKHGQYNKFSQSERGKQLF LLKGKTNKEKRMRIYKFLLEHFTDEQRFNVTSKICLNILACFTDGILPMDMEASELLSDT FDILNSKEIKLLAMRAQTSKDLLEEDDVALANVVMQEAQMKIISQVQKRNFIENIIPIII STVERKVPSQESRQHKIQPEVPS >ENSMUSP00000051280.4 pep:known chromosome:GRCm38:7:102484114:102488307:-1 gene:ENSMUSG00000043925.5 transcript:ENSMUST00000051201.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr544 description:olfactory receptor 544 [Source:MGI Symbol;Acc:MGI:3030378] MSGWSNGTYNESYTSFLLMGFPGMQEARALLVLPFLSLYLVILFTNALVIHTVASQRSLH QPMYLLIALLLAVNICAATTVVPPMLFSFSTRFNRISLPRCLGQMFCIYFLIVFDCNILL VMALDRYVAICYPLRYPEIVTGQLLAGLVVLAVTRSTCIVAPVVVLASRVRFCRSDVIRH FACEHMALMKLSCGDISLNKTVGLTVRIFNRVLDMLLLGASYSRIIHAAFRISSGGARSK ALNTCGSHLLVIFTVYSSTMSSSIVYRVARTASQDVHNLLSAFYLLLPCLVNPIIYGART KEIRQHLVALFQRTQQQVFTEKPQSLPSNRELPG >ENSMUSP00000129376.1 pep:known chromosome:GRCm38:7:102803480:102804430:1 gene:ENSMUSG00000048469.6 transcript:ENSMUST00000061096.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr564 description:olfactory receptor 564 [Source:MGI Symbol;Acc:MGI:3030398] MSTFQNTTASSIIFLLTGVPGLEAFHTWISIPFCFLYATALSGNSLILFVIITQPSLHEP MCYFLSMLSTTDLGLSISTLATMLGIFWFNAREISFNACLSQMFFIQLFTVMESSVLLAM AFDRYVAISNPLRYASVLTDLKIAQIGVAIVTRGTLILTPMVVLLKRLSYCSSHVLHHSY CFHPDVMKLSCTDTRINSAVGLTALISTAGVDSVFIILSYVLIIRTVLSIASPEERKKAF STCISHIGAVAVFYIPLISLSFVHRFGKRAPPYVHTLIANAYLLIPPVMNPIIYSVKTKK IRKAVLKVFHSSMTKS >ENSMUSP00000138001.1 pep:known chromosome:GRCm38:4:154336127:154349918:1 gene:ENSMUSG00000097871.1 transcript:ENSMUST00000181143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230104I21Rik description:RIKEN cDNA B230104I21 gene [Source:MGI Symbol;Acc:MGI:3642713] MDAMALMESPAAPEAVSSCSSGGGKKECCCLHSPDCVGQWCQRTRTFMDMVRFSVPGTTW SFEEGTSPPWKRRSPGLYPAILTPGPLQSQVSEAQLSLCTDTHVTPCLLVISGWQGTDMC MLRDDWVDSTSDPDNPIFARG >ENSMUSP00000025762.8 pep:known chromosome:GRCm38:19:5364641:5366645:-1 gene:ENSMUSG00000024844.14 transcript:ENSMUST00000025762.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banf1 description:barrier to autointegration factor 1 [Source:MGI Symbol;Acc:MGI:1346330] MTTSQKHRDFVAEPMGEKPVGSLAGIGDVLSKRLEERGFDKAYVVLGQFLVLKKDEDLFR EWLKDTCGANAKQSRDCFGCLREWCDAFL >ENSMUSP00000126202.1 pep:known chromosome:GRCm38:19:5364641:5366347:-1 gene:ENSMUSG00000024844.14 transcript:ENSMUST00000170010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Banf1 description:barrier to autointegration factor 1 [Source:MGI Symbol;Acc:MGI:1346330] MTTSQKHRDFVAEPMGEKPVGSLAGIGDVLSKRLEERGFDKAYVVLGQFLVLKKDEDLFR EWLKDTCGANAKQSRDCFGCLREWCDAFL >ENSMUSP00000095197.3 pep:known chromosome:GRCm38:18:42202350:42262122:-1 gene:ENSMUSG00000024493.8 transcript:ENSMUST00000097590.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lars description:leucyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:1913808] MAGRKGTAKVDFLKEIEKEAQQKWEAEKVFEVSASRLEKQKQSSKGKYFVTFPYPYMNGR LHLGHTFSLSKCEFAVGYQRLKGKSCLFPFGLHCTGMPIKACADKLKREIELYGCPPDFP EEEEEEEESSAKPGDIVVRDKAKGKKSKAAAKAGSSKYQWDIMKSLGLSDDDIVKFSEAE HWLDYFPPLAVQDLKTIGLKVDWRRSFITTDVNPYYDSFVRWQFLTLRERNKIKFGKRYT IYSPKDGQPCMDHDRQTGEGVGPQEYTLVKLKVLEPYPSKLSGLKGKNIFLVAATLRPET MFGQTNCWVRPDMKYIGFETANGDIFICTQRAARNMSYQGFTKHNGVVPVVKELMGEEIL GASLSAPLTCYKVVYVLPMLTIKEDKGTGVVTSVPSDSPDDLAALRDLKKKQALRTKFGI RDDMVLPFEPVPVLEIPGIGNLPAVTVCDELKIQSQNDREKLAEAKEKLYLRGFYDGVML VDGFKGQKIQHVKKTIQKNMIDAGDALIYMEPEKQVMSRSADECVVALCDQWYLDYGDEN WKKQTFQCLKNMETFCEESRKNFEASLDWLQEHACSRTYGLGTRLPWDEQWLIESLSDST IYMAFYTVAHLLQGGDLNGQAESPLGIRPQQMTKDVWDYVFFKDAPFPKTQIPKEKLDQL KQEFEFWYPVDLRASGKDLIPNHLSYYIYNHVAMWPEQSDKWPVSVRANGHLLLNSEKMS KSTGNFLTLSQAVDKFSADGMRLALADAGDTVEDANFVEAMADAGILRLYTWVEWVKEML ASCSSLRSGPADSFNDRVFASEMNAGIIKTDQNYEKMMFKEALKTGFFEFQAAKDKYREL ATEGMHRELVFRFIEVQTILLTPFCPHLCEHIWTLLGKPDSIMHASWPVAGPVDESLIRS SQYLMEVAHDLRLRLKNYMMPAKGKKTDKQPAQRPSHCTIYVAKNYPVWQHITLTTLRSH FEANNGKLPDNKVIASELGSLPELKKYMKKVMPFVAMIKENMEKKGPRVLDLELEFDEQA VLMENIVYLTNSLELEHIEVKFASEAEDKVREECCPGKPLNVFRTEPGVPVSLVNPQPSS GHFSTKIDIRQGDSCESIIRRLMKTDRGIKDLSKVKLMRFDDPLLGPRRVPVLGREHSEK TLISENAVFHVDLVSKKVHLTENGLRTDIGDTMVYLVH >ENSMUSP00000070728.5 pep:known chromosome:GRCm38:19:45363734:45533341:1 gene:ENSMUSG00000025217.14 transcript:ENSMUST00000065601.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btrc description:beta-transducin repeat containing protein [Source:MGI Symbol;Acc:MGI:1338871] MDPAEAVLQEKALKFMCSMPRSLWLGCSSLADSMPSLRCLYNPGTGALTAFQNSSEREDC NNGEPPRKIIPEKNSLRQTYNSCARLCINQETVCLTSTAMKTENCVAKAKLANGTSSMIV PKQRKLSASYEKEKELCVKYFEQWSESDQVEFVEHLISQMCHYQHGHINSYLKPMLQRDF ITALPARGLDHIAENILSYLDAKSLCAAELVCKEWYRVTSDGMLWKKLIERMVRTDSLWR GLAERRGWGQYLFKNKPPDENAPPNSFYRALYPKIIQDIETIESNWRCGRHSLQRIHCRS ETSKGVYCLQYDDQKIVSGLRDNTIKIWDKSTLECKRILTGHTGSVLCLQYDERVIITGS SDSTVRVWDVNAGEMLNTLIHHCEAVLHLRFNNGMMVTCSKDRSIAVWDMASPTDITLRR VLVGHRAAVNVVDFDDKYIVSASGDRTIKVWNTSTCEFVRTLNGHKRGIACLQYRDRLVV SGSSDNTIRLWDIECGACLRVLEGHEELVRCIRFDNKRIVSGAYDGKIKVWDLMAALDPR APAGTLCLRTLVEHSGRVFRLQFDEFQIVSSSHDDTILIWDFLNDPAAHAEPPRSPSRTY TYISR >ENSMUSP00000107567.2 pep:known chromosome:GRCm38:19:45363734:45533341:1 gene:ENSMUSG00000025217.14 transcript:ENSMUST00000111936.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btrc description:beta-transducin repeat containing protein [Source:MGI Symbol;Acc:MGI:1338871] MDPAEAVLQEKALKFMNSSEREDCNNGEPPRKIIPEKNSLRQTYNSCARLCINQETVCLT STAMKTENCVAKAKLANGTSSMIVPKQRKLSASYEKEKELCVKYFEQWSESDQVEFVEHL ISQMCHYQHGHINSYLKPMLQRDFITALPARGLDHIAENILSYLDAKSLCAAELVCKEWY RVTSDGMLWKKLIERMVRTDSLWRGLAERRGWGQYLFKNKPPDENAPPNSFYRALYPKII QDIETIESNWRCGRHSLQRIHCRSETSKGVYCLQYDDQKIVSGLRDNTIKIWDKSTLECK RILTGHTGSVLCLQYDERVIITGSSDSTVRVWDVNAGEMLNTLIHHCEAVLHLRFNNGMM VTCSKDRSIAVWDMASPTDITLRRVLVGHRAAVNVVDFDDKYIVSASGDRTIKVWNTSTC EFVRTLNGHKRGIACLQYRDRLVVSGSSDNTIRLWDIECGACLRVLEGHEELVRCIRFDN KRIVSGAYDGKIKVWDLMAALDPRAPAGTLCLRTLVEHSGRVFRLQFDEFQIVSSSHDDT ILIWDFLNDPAAHAEPPRSPSRTYTYISR >ENSMUSP00000005532.7 pep:known chromosome:GRCm38:13:13437602:13512275:1 gene:ENSMUSG00000005397.7 transcript:ENSMUST00000005532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nid1 description:nidogen 1 [Source:MGI Symbol;Acc:MGI:97342] MLDASGCSWAMWTWALLQLLLLVGPGGCLNRQELFPFGPGQGDLELEAGDDVVSPSLELI GELSFYDRTDITSVYVTTNGIIAMSEPPATEYHPGTFPPSFGSVAPFLADLDTTDGLGNV YYREDLSPFIIQMAAEYVQRGFPEVSFQPTSVVVVTWESVAPYGGPSSSPAEEGKRNTFQ AVLASSNSSSYAIFLYPEDGLQFFTTFSKKDESQVPAVVGFSKGLVGFLWKSNGAYNIFA NDRESIENLAKSSNAGHQGVWVFEIGSPATAKGVVSADVNLDLDDDGADYEDEDYDLVTS HLGLEDVATPSPSHSPRRGYPDPHNVPRILSPGYEATERPRGVPTERTRSFQLPAERFPQ HHPQVIDVDEVEETGVVFSYNTGSQQTCANNRHQCSVHAECRDYATGFCCRCVANYTGNG RQCVAEGSPQRVNGKVKGRIFVGSSQVPVVFENTDLHSYVVMNHGRSYTAISTIPETVGY SLLPLAPIGGIIGWMFAVEQDGFKNGFSITGGEFTRQAEVTFLGHPGKLVLKQQFSGIDE HGHLTISTELEGRVPQIPYGASVHIEPYTELYHYSSSVITSSSTREYTVMEPDQDGAAPS HTHIYQWRQTITFQECAHDDARPALPSTQQLSVDSVFVLYNKEERILRYALSNSIGPVRD GSPDALQNPCYIGTHGCDSNAACRPGPGTQFTCECSIGFRGDGQTCYDIDECSEQPSRCG NHAVCNNLPGTFRCECVEGYHFSDRGTCVAAEDQRPINYCETGLHNCDIPQRAQCIYMGG SSYTCSCLPGFSGDGRACRDVDECQHSRCHPDAFCYNTPGSFTCQCKPGYQGDGFRCMPG EVSKTRCQLEREHILGAAGGADAQRPTLQGMFVPQCDEYGHYVPTQCHHSTGYCWCVDRD GRELEGSRTPPGMRPPCLSTVAPPIHQGPVVPTAVIPLPPGTHLLFAQTGKIERLPLERN TMKKTEAKAFLHIPAKVIIGLAFDCVDKVVYWTDISEPSIGRASLHGGEPTTIIRQDLGS PEGIALDHLGRTIFWTDSQLDRIEVAKMDGTQRRVLFDTGLVNPRGIVTDPVRGNLYWTD WNRDNPKIETSHMDGTNRRILAQDNLGLPNGLTFDAFSSQLCWVDAGTHRAECLNPAQPG RRKVLEGLQYPFAVTSYGKNLYYTDWKTNSVIAMDLAISKEMDTFHPHKQTRLYGITIAL SQCPQGHNYCSVNNGGCTHLCLPTPGSRTCRCPDNTLGVDCIERK >ENSMUSP00000097336.3 pep:known chromosome:GRCm38:13:13954674:13999068:1 gene:ENSMUSG00000039242.9 transcript:ENSMUST00000099747.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3galnt2 description:UDP-GalNAc:betaGlcNAc beta 1,3-galactosaminyltransferase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:2145517] MRNWLVLLCPCVLGAALHLWHLWLRSPPDPHNTGPSAADQSALFPHWKFSHYDVVVGVLS ARNNHELRNVIRNTWLKNLLHHPTLSQRVLVKFIIGARGCEVPVEDREDPYSCRLLNITN PVLNQEIEAFSFPEDASSSRLSEDRVVSVSFRVLYPIVITSLGVFYDASDVGFQRNITVK LYQTEQEEALFIARFSPPSCGVQVNKLWYKPVEQFILPESFEGTIVWESQDLHGLVSRNL HRVTVNDGGGVLRVLAAGEGALPHEFMEGVEGVAGGFIYTVQEGDALLRSLYSRPQRLAD HIQDLQVEDALLQEESSVHDDIVFVDVVDTYRNVPAKLLNFYRWTVESTSFDLLLKTDDD CYIDLEAVFNRIAQKNLDGPNFWWGNFRLNWAVDRTGKWQELEYPSPAYPAFACGSGYVI SKDIVDWLAGNSRRLKTYQGEDVSMGIWMAAIGPKRHQDSLWLCEKTCETGMLSSPQYSP EELSKLWELKELCGDPCQCEAKVR >ENSMUSP00000010250.2 pep:known chromosome:GRCm38:19:8617996:8628299:1 gene:ENSMUSG00000024650.4 transcript:ENSMUST00000010250.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a6 description:solute carrier family 22 (organic anion transporter), member 6 [Source:MGI Symbol;Acc:MGI:892001] MAFNDLLKQVGGVGRFQLIQVTMVVAPLLLMASHNTLQNFTAAIPAHHCRPPANANLSKD GGLEAWLPLDKQGRPESCLRFPFPHNGTEANGTGVTEPCLDGWVYDNSTFPSTIVTEWNL VCSHRAFRQLAQSLFMVGVLLGAMMFGYLADRLGRRKVLILNYLQTAVSGTCAAYAPNYT VYCIFRLLSGMSLASIAINCMTLNMEWMPIHTRAYVGTLIGYVYSLGQFLLAGIAYAVPH WRHLQLAVSVPFFVAFIYSWFFIESARWYSSSGRLDLTLRALQRVARINGKQEEGAKLSI EVLQTSLQKELTLNKGQASAMELLRCPTLRRLFLCLSMLWFATSFAYYGLVMDLQGFGVS MYLIQVIFGAVDLPAKFVCFLVINSMGRRPAQLASLLLAGICILVNGIIPRGHTIIRTSL AVLGKGCLASSFNCIFLYTGELYPTMIRQTGLGMGSTMARVGSIVSPLISMTAEFYPSIP LFIFGAVPVAASAVTALLPETLGQPLPDTVQDLKSRSRGKQKQQQLEQQKQMIPLQVSTQ EKNGL >ENSMUSP00000048056.5 pep:known chromosome:GRCm38:15:77078990:77307053:-1 gene:ENSMUSG00000033565.16 transcript:ENSMUST00000048145.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox2 description:RNA binding protein, fox-1 homolog (C. elegans) 2 [Source:MGI Symbol;Acc:MGI:1933973] MAEGGQAQQQPPQLGPGAAARGMKRESEVELPVPGAGADGPEPGLSKRPRTEEAADGGMQ NEPLTPGYHGFPARDGQGNQEPTTTPDAMVQPFTTIPFPPPPQNGIPTEYGVPHTQDYAG QTSEHNLTLYGSTQPHGEQSSNSPSNQNGSLTQTEGGAQTDGQQSQTQSSENSESKSTPK RLHVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSADADRAREKLH GTVVEGRKIEVNNATARVMTNKKMVTPYANGWKLSPVVGAVYGPELYAASSFQADVSLGN EAAVPLSGRGGINTYIPLIIPGFPYPTAATTAAAFRGAHLRGRGRTVYGAVRAVPPTAIP AYPGVDMQPTDMHSLLLQPQPQLLQPLQPLTATVTAGCTQLTPTMPSPLPPAMELALWRV CTEVATADLPPTEVT >ENSMUSP00000130739.1 pep:known chromosome:GRCm38:15:77078991:77307053:-1 gene:ENSMUSG00000033565.16 transcript:ENSMUST00000171751.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox2 description:RNA binding protein, fox-1 homolog (C. elegans) 2 [Source:MGI Symbol;Acc:MGI:1933973] MAEGGQAQQQPPQLGPGAAARGMKRESEVELPVPGAGADGPEPGLSKRPRTEEAADGGMQ NEPLTPGYHGFPARDGQGNQEPTTTPDAMVQPFTTIPFPPPPQNGIPTEYGVPHTQDYAG QTSEHNLTLYGSTQPHGEQSSNSPSNQNGSLTQTEGGAQTDGQQSQTQSSENSESKSTPK RLHVSNIPFRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSADADRAREKLH GTVVEGRKIEVNNATARVMTNKKMVTPYANGWKLSPVVGAVYGPELYAASSFQADVSLGN EAAVPLSGRGGINTYIPLISLPLVPGFPYPTAATTAAAFRGAHLRGRGRTVYGAVRAVPP TAIPAYPGVVYQDGFYGADLYGGYAAYRYAQPATATAATAAAAAAAAYSDGYGRVYTADP YHALAPAASYGVGAVASLYRGGYSRFAPY >ENSMUSP00000130673.1 pep:known chromosome:GRCm38:15:77078991:77153812:-1 gene:ENSMUSG00000033565.16 transcript:ENSMUST00000166610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox2 description:RNA binding protein, fox-1 homolog (C. elegans) 2 [Source:MGI Symbol;Acc:MGI:1933973] MEKKKMVTQGNQEPTTTPDAMVQPFTTIPFPPPPQNGIPTEYGVPHTQDYAGQTSEHNLT LYGSTQPHGEQSSNSPSNQNGSLTQTEGGAQTDGQQSQTQSSENSESKSTPKRLHVSNIP FRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSADADRAREKLHGTVVEGRK IEVNNATARVMTNKKMVTPYANGWKLSPVVGAVYGPELYAASSFQADVSLGNEAAVPLSG RGGINTYIPLISLPLVPGFPYPTAATTAAAFRGAHLRGRGRTVYGAVRAVPPTAIPAYPG VVYQDGFYGADLYGGYAAYRYAQPATATAATAAAAAAAAYSDGYGRVYTADPYHALAPAA SYGVGAVASLYRGGYSRFAPY >ENSMUSP00000129372.1 pep:known chromosome:GRCm38:15:77078991:77153812:-1 gene:ENSMUSG00000033565.16 transcript:ENSMUST00000111581.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfox2 description:RNA binding protein, fox-1 homolog (C. elegans) 2 [Source:MGI Symbol;Acc:MGI:1933973] MEKKKMVTQGNQEPTTTPDAMVQPFTTIPFPPPPQNGIPTEYGVPHTQDYAGQTSEHNLT LYGSTQPHGEQSSNSPSNQNGSLTQTEGGAQTDGQQSQTQSSENSESKSTPKRLHVSNIP FRFRDPDLRQMFGQFGKILDVEIIFNERGSKGFGFVTFENSADADRAREKLHGTVVEGRK IEVNNATARVMTNKKMVTPYANGWKLSPVVGAVYGPELYAASSFQADVSLGNEAAVPLSG RGGINTYIPLIIPGFPYPTAATTAAAFRGAHLRGRGRTVYGAVRAVPPTAIPAYPGIVLQ EPIISAKIPQGGYAAYRYAQPATATAATAAAAAAAAYSDGYGRVYTADPYHALAPAASYG VGAVASLYRGGYSRFAPY >ENSMUSP00000071048.4 pep:known chromosome:GRCm38:13:43370710:43395202:1 gene:ENSMUSG00000054021.5 transcript:ENSMUST00000066804.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt5 description:sirtuin 5 [Source:MGI Symbol;Acc:MGI:1915596] MRPLLIAPGRFISQLCCRRKPPASPQSKICLTMARPSSNMADFRKCFANAKHIAIISGAG VSAESGVPTFRGAGGYWRKWQAQDLATPQAFARNPSQVWEFYHYRREVMRSKEPNPGHLA IAQCEARLRDQGRRVVVITQNIDELHRKAGTKNLLEIHGTLFKTRCTSCGTVAENYRSPI CPALAGKGAPEPETQDARIPVDKLPRCEEAGCGGLLRPHVVWFGENLDPAILEEVDRELA LCDLCLVVGTSSVVYPAAMFAPQVASRGVPVAEFNMETTPATDRFRFHFPGPCGKTLPEA LAPHETERTS >ENSMUSP00000097872.3 pep:known chromosome:GRCm38:14:121542039:121797734:-1 gene:ENSMUSG00000025558.15 transcript:ENSMUST00000100299.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock9 description:dedicator of cytokinesis 9 [Source:MGI Symbol;Acc:MGI:106321] MAQQQLPVSTETRKFTRALSKPGTAAELRQSVSEVVRGSVLLAKPKLIEPLDYENVIVQK KTQILNDCLREMLLFPYDDFQTAILRRQGRYLRSTVPANAEEEAQSLFVTECIKTYNSDW HLVTYKYEDYSGEFRQLPNKVPKLDKLPVHVYEVDEEADKDEDAASLGSQKGGITKHGWL YKGNMNSAISVTMRSFKRRFFHLIQLGDGSYNLNFYKDEKISKEPKGSIFLDSCMGVIQN NRVRRFAFELKMQDKSSYLLAADSEAEMEEWVTVLNKILQLNFEAAMQEKRNGDPHEDDE QSKLEGSGSGLDSYLPELAKSTREAEIKLKSESRVKLFYLDPDTQKLDFSSAEPEVKPFE EKFGKRILVKCNDLSFNLQCCVAENEEGPTTNVEPFFVTLSLFDIKYNRKISADFHVDLN HFSVRQMLTPTSPALMNGGQSPPAFQDALHTAMQYPKQGIFSVTCPHPDIFLVARIEKVL QGSITHCAEPYMRSSDSSKVAQKVLKNAKQACQRLGQYRMPFAWAARTLFKDTSGNLDKN ARFSAIYRQDSNKLSNDDMLKLLADFRKPEKMAKLPVILGNLDITIDSVSCDFPNYLNSS YIPMRQFETCSKSPITFEVEEFVPCIPKHTQPYTVYSNHLYVYPKYLKYDSQKSFAKARN IAICIEFKDSDEEDSQPLKCIYGRPGGPVFTRSALAAVLHHQQNPEFYDEIKIELPAQLH ERHHLLFTFFHVSCDNSTKGSTKKKDAVETQVGFSWLPLLKDGRVLTSEQHIPVSANLPS GYLGYQELGMGRHYGPEVKWVEGGKPLLKISTHLVSTVYTQDQHLHNFFQYCQKTESGAQ ASGSELVKYLKSLHAMEGHVMIAFLPTILNQLFRVLTRATQEEVAVNVTRVIIHVVAQCH EEGLESHLRSYVKFAYKAEPYVASEYKTVHEELTKSMTTILKPSADFLTSNKLLKYSWFF FDVLIKSMAQHLIENNKVKLLRNQRFPASYHHAVETVVNMLMPHITQKFRDNPEASKNAN HSLAVFIKRCFTFMDRGFVFKQINNYISCFAPGDPKTLFEYKFEFLRVVCNHEHYIPLNL PMPFGKGRIQRYQDLQLDYSLTDEFCRNHFLVGLLLREVGTALQEFREVRVIAISMLKNL LIKHSFDDRYNSRSHQARIATLYLPLFGLLIENVQRINVRDVSPFPVNPGSIVKDEALAV PAGNPLMTPQKGNTLDHSLHKDLLGAISGIASPYTASTPNINSVRNADSRGSLISTDSGN SLPDRNPEKSNSLDKQQSGMLGNSVVRCDKLDQSEIKSLLMCFLYVLKSMSDDALFTYWN KASTAELMDFFTISEVCLHQFQYMGKRYIASVRKISSVLGISVDNGYGHSEADVVHQSLL EANIATEVCLTALDTLSLFTLAFKNQLLADHGHNPLMKKVFDVYLCFLQKHQSEMALKNV FTALRSLIYKFPSAFYEGRADMCASLCYEVLKCCNSKLSSIRTEASQLLYFLMRNNFDYT GKKSFVRTHLQVIISVSQLIADVVGIGGTRFQQSLSIINNCANSDRIIKHTSFSSDVKDL TKRIRTVLMATAQMKEHENDPEMLVDLQYSLAKSYASTPELRKTWLDSMARIHVKNGDLS EAAMCYVHVTALVAEYLTRKGMFRQGCTAFRVITPNIDEEASMMEDVGMQDVHFNEDVLM ELLEQCADGLWKAERYELIADIYKLIIPIYEKRRDFERLAHLYDTLHRAYSKVTEVMHSG RRLLGTYFRVAFFGQGFFEDEDGKEYIYKEPKLTPLSEISQRLLKLYSDKFGSENVKMIQ DSGKVNPKDLDSKFAYIQVTHVTPFFDEKELQERRTEFERCHNIRRFMFEMPFTQTGKRQ GGVEEQCKRRTILTAIHCFPYVKKRIPVMYQHHTDLNPIEVAIDEMSKKVAELRQLCSSA EVDMIKLQLKLQGSVSVQVNAGPLAYARAFLDDTNTKRYPDNKVKLLKEVFRQFVEACGQ ALAVNERLIKEDQLEYQEEMKANYREMAKELSDIMREQICPLEEKTSVLPNSLHIFNAIS GTPTSTVVQGLTSSSSVV >ENSMUSP00000047881.7 pep:known chromosome:GRCm38:14:121542039:121698417:-1 gene:ENSMUSG00000025558.15 transcript:ENSMUST00000040700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock9 description:dedicator of cytokinesis 9 [Source:MGI Symbol;Acc:MGI:106321] MQAEKYRTSGRSDKKELVIESPLQYKDAAQADVEAESSTLAKPKLIEPLDYENVIVQKKT QILNDCLREMLLFPYDDFQTAILRRQGRYLRSTVPANAEEEAQSLFVTECIKTYNSDWHL VTYKYEDYSGEFRQLPNKVPKLDKLPVHVYEVDEEADKDEDAASLGSQKGGITKHGWLYK GNMNSAISVTMRSFKRRFFHLIQLGDGSYNLNFYKDEKISKEPKGSIFLDSCMGVIQNNR VRRFAFELKMQDKSSYLLAADSEAEMEEWVTVLNKILQLNFEAAMQEKRNGDPHEDDEQS KLEGSGSGLDSYLPELAKSTREAEIKLKSESRVKLFYLDPDTQKLDFSSAEPEVKPFEEK FGKRILVKCNDLSFNLQCCVAENEEGPTTNVEPFFVTLSLFDIKYNRKISADFHVDLNHF SVRQMLTPTSPALMNGGQSPPAFQDALHTAMQYPKQGIFSVTCPHPDIFLVARIEKVLQG SITHCAEPYMRSSDSSKVAQKVLKNAKQACQRLGQYRMPFAWAARTLFKDTSGNLDKNAR FSAIYRQDSNKLSNDDMLKLLADFRKPEKMAKLPVILGNLDITIDSVSCDFPNYLNSSYI PMRQFETCSKSPITFEVEEFVPCIPKHTQPYTVYSNHLYVYPKYLKYDSQKSFAKARNIA ICIEFKDSDEEDSQPLKCIYGRPGGPVFTRSALAAVLHHQQNPEFYDEIKIELPAQLHER HHLLFTFFHVSCDNSTKGSTKKKDAVETQVGFSWLPLLKDGRVLTSEQHIPVSANLPSGY LGYQELGMGRHYGPEVKWVEGGKPLLKISTHLVSTVYTQDQHLHNFFQYCQKTESGAQAS GSELVKYLKSLHAMEGHVMIAFLPTILNQLFRVLTRATQEEVAVNVTRVIIHVVAQCHEE GLESHLRSYVKFAYKAEPYVASEYKTVHEELTKSMTTILKPSADFLTSNKLLKYSWFFFD VLIKSMAQHLIENNKVKLLRNQRFPASYHHAVETVVNMLMPHITQKFRDNPEASKNANHS LAVFIKRCFTFMDRGFVFKQINNYISCFAPGDPKTLFEYKFEFLRVVCNHEHYIPLNLPM PFGKGRIQRYQDLQLDYSLTDEFCRNHFLVGLLLREVGTALQEFREVRVIAISMLKNLLI KHSFDDRYNSRSHQARIATLYLPLFGLLIENVQRINVRDVSPFPVNPGSIVKDEALAVPA GNPLMTPQKGNTLDHSLHKDLLGAISGIASPYTASTPNINSVRNADSRGSLISTDSGNSL PDRNPEKSNSLDKQQSGMLGNSVVRCDKLDQSEIKSLLMCFLYVLKSMSDDALFTYWNKA STAELMDFFTISEVCLHQFQYMGKRYIARNQEGLGPIGHDRKSQTLPVSRNRTGMMHARL QQLGSLDNSVTFNHSYGHSEADVVHQSLLEANIATEVCLTALDTLSLFTLAFKNQLLADH GHNPLMKKVFDVYLCFLQKHQSEMALKNVFTALRSLIYKFPSAFYEGRADMCASLCYEVL KCCNSKLSSIRTEASQLLYFLMRNNFDYTGKKSFVRTHLQVIISVSQLIADVVGIGGTRF QQSLSIINNCANSDRIIKHTSFSSDVKDLTKRIRTVLMATAQMKEHENDPEMLVDLQYSL AKSYASTPELRKTWLDSMARIHVKNGDLSEAAMCYVHVTALVAEYLTRKEADLALQREPP AFPYSHSTCQRKSWGGMFRQGCTAFRVITPNIDEEASMMEDVGMQDVHFNEDVLMELLEQ CADGLWKAERYELIADIYKLIIPIYEKRRDFERLAHLYDTLHRAYSKVTEVMHSGRRLLG TYFRVAFFGQGFFEDEDGKEYIYKEPKLTPLSEISQRLLKLYSDKFGSENVKMIQDSGKV NPKDLDSKFAYIQVTHVTPFFDEKELQERRTEFERCHNIRRFMFEMPFTQTGKRQGGVEE QCKRRTILTAIHCFPYVKKRIPVMYQHHTDLNPIEVAIDEMSKKVAELRQLCSSAEVDMI KLQLKLQGSVSVQVNAGPLAYARAFLDDTNTKRYPDNKVKLLKEVFRQFVEACGQALAVN ERLIKEDQLEYQEEMKANYREMAKELSDIMREQICPLEEKTSVLPNSLHIFNAISGTPTS TVVQGLTSSSSVV >ENSMUSP00000078733.1 pep:known chromosome:GRCm38:9:38143137:38144081:1 gene:ENSMUSG00000096409.1 transcript:ENSMUST00000079804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr890 description:olfactory receptor 890 [Source:MGI Symbol;Acc:MGI:3030724] MTLERMAFSNDSSVKEFILLGLTQQPELQMPLFFLFLGIYVVSMVGNLGLIVLIVLNPHL HTPMYYFLFNLSFIDFCYSSVITPKMLVGFVKQNIISHAECMTQLFFFSFFVIDECYILT AMAYDRYAAICKPLLYQVTMSYQVCLLMTGGMYVMGLVGAIAHIVCMLRLTFCEGYIINH YMCDIPPLLKLSCTSTYINELVVFIVVGVNVIVPTLTIFISYTLILSNILSIHSAEGRSK AFRTCGSHFIAVSLFYGASAFMYLKPSSASVDDDKISTIFYTIVGPMLNPFIYSLRNKDV HIALRKTLKKSTFI >ENSMUSP00000002883.5 pep:known chromosome:GRCm38:13:19623175:19632821:1 gene:ENSMUSG00000021319.6 transcript:ENSMUST00000002883.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfrp4 description:secreted frizzled-related protein 4 [Source:MGI Symbol;Acc:MGI:892010] MLRSILVALCLWLRLALGVRGAPCEAVRIPMCRHMPWNITRMPNHLHHSTQENAILAIEQ YEELVDVNCSSVLRFFLCAMYAPICTLEFLHDPIKPCKSVCQRARDDCEPLMKMYNHSWP ESLACDELPVYDRGVCISPEAIVTDLPEDVKWIDITPDMMVQERSFDADCKRLSPDRCKC KKVKPTLATYLSKNYSYVIHAKIKAVQRSGCNEVTTVVDVKEIFKSLSPIPRTQVPLITN SSCQCPHILPHQDVLIMCYEWRSRMMLLENCLVEKWRDQLSRRSIQWEERLQEQQRTIQD KKQIASRTSRTSRSNPPKSKGRPPAPKPASPKKNIKARSAPKKSNLKKSAS >ENSMUSP00000042681.4 pep:known chromosome:GRCm38:9:58524300:58540941:-1 gene:ENSMUSG00000035914.10 transcript:ENSMUST00000039788.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd276 description:CD276 antigen [Source:MGI Symbol;Acc:MGI:2183926] MLRGWGGPSVGVCVRTALGVLCLCLTGAVEVQVSEDPVVALVDTDATLRCSFSPEPGFSL AQLNLIWQLTDTKQLVHSFTEGRDQGSAYSNRTALFPDLLVQGNASLRLQRVRVTDEGSY TCFVSIQDFDSAAVSLQVAAPYSKPSMTLEPNKDLRPGNMVTITCSSYQGYPEAEVFWKD GQGVPLTGNVTTSQMANERGLFDVHSVLRVVLGANGTYSCLVRNPVLQQDAHGSVTITGQ PLTFPPEALWVTVGLSVCLVVLLVALAFVCWRKIKQSCEEENAGAEDQDGDGEGSKTALR PLKPSENKEDDGQEIA >ENSMUSP00000129418.1 pep:known chromosome:GRCm38:9:58524302:58555132:-1 gene:ENSMUSG00000035914.10 transcript:ENSMUST00000165365.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd276 description:CD276 antigen [Source:MGI Symbol;Acc:MGI:2183926] MLRGWGGPSVGVCVRTALGVLCLCLTGAVEVQVSEDPVVALVDTDATLRCSFSPEPGFSL AQLNLIWQLTDTKQLVHSFTEGRDQGSAYSNRTALFPDLLVQGNASLRLQRVRVTDEGSY TCFVSIQDFDSAAVSLQVAAPYSKPSMTLEPNKDLRPGNMVTITCSSYQGYPEAEVFWKD GQGVPLTGNVTTSQMANERGLFDVHSVLRVVLGANGTYSCLVRNPVLQQDAHGSVTITGQ PLTFPPEALWVTVGLSVCLVVLLVALAFVCWRKIKQSCEEENAGAEDQDGDGEGSKTALR PLKPSENKEDDGQEIA >ENSMUSP00000136611.1 pep:known chromosome:GRCm38:4:156246973:156255338:-1 gene:ENSMUSG00000096351.1 transcript:ENSMUST00000179919.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd11 description:sterile alpha motif domain containing 11 [Source:MGI Symbol;Acc:MGI:2446220] MPEHPRHCDFQRGNVEIGLGPGGDLLGKRLSCPCITSHCSSEKKARSKDPQGESPTLEKD AATSLLPELESTMAPEDHYHQLMSALSEASSFEETQRLYHLGIPSHDLLRVRQEVATATL RGPSGLEVHLPSSTADHRRKQGLVQRREGAVPAAATSFSEREMSQPPPLLSPQNAAHITM SSHLRPPFLGMPTAVCQTPGFSFLPSAQAEMLARQQELLRKQSLARLEMSELLRQKELGS VHRPLLPAPEVALHIPEGPDELQRRGSMLVLKHSSAPLLALPPQGPPGPGPPIPPKESAR SRSEKGSLGVQPSQPKETTGAGLWAQEVSEEPSKDSDGEDPETAAAREGTSTPSQVPAGG TRAEGRGLLSGSTLPPPLPLGFPCGAVSPYFHTGTMGGLFTDEETTTLEDVNKWTVDDVC NFVGGLSGCGEYARVFGEQGIDGETLPLLTEEHLLNTMGLKLGPALKIRAQVAKRLGRVF YMASFPVALPLQPPSLQAPELSPGHQPLSPATTTSPYEGTHLPTGQASPKQENGSGTIAL LSGAPDPSQLLQ >ENSMUSP00000096972.4 pep:known chromosome:GRCm38:19:46761596:46878795:1 gene:ENSMUSG00000064105.12 transcript:ENSMUST00000099373.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnnm2 description:cyclin M2 [Source:MGI Symbol;Acc:MGI:2151054] MIGCGACEPEVKMAGGQAAAALPTWKMAARRSLSARGRGVLQAAAGRLLPLLLLSCCWGA GGCTAAGENEETVIIGLRLEDTNDVSFMEGGALRVSERTRVKLRVYGQNINNETWSRIAF TEHERRRHTPSERGLGGPAPPEPDSGPQRCGIRTSDIIILPHIILNRRTSGIIEIEIKPL RKMEKSKSYYLCTSLSTPALGAGGSGSASGTVGGKGGAGVAGLPPPPWAETTWIYHDGED TKMIVGEEKKFLLPFWLQVIFISLLLCLSGMFSGLNLGLMALDPMELRIVQNCGTEKEKN YAKRIEPVRRQGNYLLCSLLLGNVLVNTTLTILLDDIAGSGLVAVVVSTIGIVIFGEIVP QAICSRHGLAVGANTIFLTKFFMMMTFPASYPVSKLLDCVLGQEIGTVYNREKLLEMLRV TDPYNDLVKEELNIIQGALELRTKTVEDVMTPLRDCFMITGEAILDFNTMSEIMESGYTR IPVFEGERSNIVDLLFVKDLAFVDPDDCTPLKTITKFYNHPLHFVFNDTKLDAMLEEFKK GKSHLAIVQRVNNEGEGDPFYEVLGIVTLEDVIEEIIKSEILDETDLYTDNRTKKKVAHR ERKQDFSAFKQTDSEMKVKISPQLLLAMHRFLATEVEAFSPSQMSEKILLRLLKHPNVIQ ELKYDEKNKKAPECYLYQRNKPVDYFVLILQGKVEVEAGKEGMKFEASAFSYYGVMALTA SPVPLSLSRTFVVSRTEVLAAGSPGENKSPPRPCGLNHSDSLSRSDRIDAMTPTLGSSNN QLSSSFLQVYIPDYSVRALSDLQFVKISRQQYQNALMASRMDKTPQSSDSENTKIELTLT ELHDGLPDETANLLNEQNCVSHNKANHSLHSEGAI >ENSMUSP00000076850.4 pep:known chromosome:GRCm38:19:46761596:46878795:1 gene:ENSMUSG00000064105.12 transcript:ENSMUST00000077666.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnnm2 description:cyclin M2 [Source:MGI Symbol;Acc:MGI:2151054] MIGCGACEPEVKMAGGQAAAALPTWKMAARRSLSARGRGVLQAAAGRLLPLLLLSCCWGA GGCTAAGENEETVIIGLRLEDTNDVSFMEGGALRVSERTRVKLRVYGQNINNETWSRIAF TEHERRRHTPSERGLGGPAPPEPDSGPQRCGIRTSDIIILPHIILNRRTSGIIEIEIKPL RKMEKSKSYYLCTSLSTPALGAGGSGSASGTVGGKGGAGVAGLPPPPWAETTWIYHDGED TKMIVGEEKKFLLPFWLQVIFISLLLCLSGMFSGLNLGLMALDPMELRIVQNCGTEKEKN YAKRIEPVRRQGNYLLCSLLLGNVLVNTTLTILLDDIAGSGLVAVVVSTIGIVIFGEIVP QAICSRHGLAVGANTIFLTKFFMMMTFPASYPVSKLLDCVLGQEIGTVYNREKLLEMLRV TDPYNDLVKEELNIIQGALELRTKTVEDVMTPLRDCFMITGEAILDFNTMSEIMESGYTR IPVFEGERSNIVDLLFVKDLAFVDPDDCTPLKTITKFYNHPLHFVFNDTKLDAMLEEFKK GKSHLAIVQRVNNEGEGDPFYEVLGIVTLEDVIEEIIKSEILDETDLYTDNRTKKKVAHR ERKQDFSAFKQTDSEMKVKISPQLLLAMHRFLATEVEAFSPSQMSEKILLRLLKHPNVIQ ELKYDEKNKKAPECYLYQRNKPVDYFVLILQGKVEVEAGKEGMKFEASAFSYYGVMALTA SPGENKSPPRPCGLNHSDSLSRSDRIDAMTPTLGSSNNQLSSSFLQVYIPDYSVRALSDL QFVKISRQQYQNALMASRMDKTPQSSDSENTKIELTLTELHDGLPDETANLLNEQNCVSH NKANHSLHSEGAI >ENSMUSP00000097253.2 pep:known chromosome:GRCm38:10:67572042:67574263:1 gene:ENSMUSG00000074999.2 transcript:ENSMUST00000099661.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10797 description:predicted gene 10797 [Source:MGI Symbol;Acc:MGI:3642860] MQKLQVRGWSPSSSCIYAYILCRKMILNGWDKMSLSEASFLSPQQHTERQVYGSSSRDTH SPPSWFFNLRTGDLSVLRAICSRELLTLGVGAYPDLVCPTGISISTLLRCMGPVMVGLRL PLTDVSQF >ENSMUSP00000037443.7 pep:known chromosome:GRCm38:19:18749983:18892510:1 gene:ENSMUSG00000024727.8 transcript:ENSMUST00000040489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm6 description:transient receptor potential cation channel, subfamily M, member 6 [Source:MGI Symbol;Acc:MGI:2675603] MQVKKSWIEGVFYKRECNKFIPSSKDPHRCTPGCQICHNLVRCYCGRLIEEHHGLDRAWN LSVTEGHGDEQWSVEKHTVKSPTDTFGTINFQDGEHIHHSKYIRTSWDTKSDHLLHLMLK EWNMELPKLVISVHGGLQNFKISSKLKETFSQGLVKAAETTGAWIITEGINSGVSKHVGD ALKAHSSKSLRKIWTVGIPPWGVIENQRELVGKDVVCMYQTLGNPLSKLTTLNCMHSHFI LCDDGTVGMYGNEEKLRRNLEKHLSMQKIHTCSRQGVPVVGLVMEGGPNVILWVWETVKN KEPVVVCEGTGRAADLLAFTYKHLEDGGILRPQVKEELFCLIQNMFNFSLRQSKHLFQIL MECMVHKDSITIFDADSEEHQDLDLAILTALLKGTSLSISEQLNLAMAWDRMDIAKKHIL TYGQHWKPGALEQAMLDALVMDRVDFVKLLIENGVNLHRFLTIPRLEELYNTKQGPTNKF LRHLVQDVKQHTLLSSYRITLIDIGLVIEYLIGGAYRSSYTRKSFRILYNNLYRKHKSVS SFAQGLSQHSLHQRHSLRNRKESSESTLHSQFFRTAQPYKSKEKPEDSQKSKKKSKERQS LSEEPEAAGFIYPYNDLLVWAVLMKRQNMAMFFWQHGEEATVKAVIASILYRAMAREAKE SNMVDDTSEELKNYSEQFGQLALDVLEKAFKQNEPMAMKLLTYELKNWSNSTCLKLAVSG GLRPFVSHSCTQMLLTDMWMGRLKMRKNSWLKIIISILLPPMILTLEFKSKAEMSHVPQS QDFQFTWNYSDQGLSNTKESACVKDYDLERGPDEKPDEPLHLDLRNVPQSLPWTRRVYEF YSAPFVKFWFYTMAYLAFLMLFTYTVLVEMQPQPSVHEWLVIIYIFTNAIEKVREICISE PSKFKQKVKMWLSEYWNLMETVAIGLFAVGFGLRWGHPPLQTAGRLIYCIDIIFWFSRLM DFFAVNQHAGPYVTMIAKMAANMFYIVIIMAIVLLSFGVARKAILSPKEPPSWRLARDIV FEPYWMMYGEVYASDIDVCSNETSCPPGSFLTPFLQAVYLFVQYIIMVNLLIACFNNIYL DIKSISNKLWKYNRYRYIMTYHQKPWLPPPFILLNHLCLLLRGLCCRPAPQDQEEGDGGL KLYLTKDDLKKLHDFEEQCVEKYFHEKTEGLNCSFEEQIRMTSERVSEMFFQLKEMNEKV SFIKDSLLSLDSQVGHLQDLSAITVDTLKVLSAVDTLQEDEILLANRKHSTCRKRPHSWT NVICAKVLSDMESCGKKKLQYYSMPPSLLRSLARSQLPPSVQRGALVEVTHSKREASHVR EEQEEREMEQRTTASGISHVRQAHSKYGQFLLVPSSGKQVPLSLETPPHLFRSSEEAGID GLVLEHIHQSDLTTHLPQQTPAASHQALVAEHKDQHEAVTQMSDKPAKAEQDLLAFSGTP APMTVTSLPSRAISMQDEGGYVNWAFSENDETGVFSFKKKWKTCLASTCNSDSNPGGDYF LHTGGRSGLDNSRRLAQSCECPAGPWTQARRSFWINPLCRDKALIKSHSFRFHKEEKLRK TWKNNSHSKSLETRSTWLKAKLLTKTRSLSKKKRKTQGLQVPVITVNACYQSDQLNAEPG ETNTTEEFSKKWLSVSNFSQIGLEPYIYQKMKMKEIKRHTTQASDHLRQPQENRDKTPTW NSGSTSLSRSFLTRSPNEVHKISTSLKSPQEPHHHYSAIERNNLMRLSQTIPFTPIQLFT GEEVTIYKLEESSPLTLDKSMSSWSQHGRAAMIQVLSQEEMDGGLRKAMRVISTWSEDDV LKPGQVFIVKSFLPEVVQTWYKIFQESTVLHLCLREIQQQRAAQKLIYTFNQVKPQTIPY TPRFLEVSLVYCHSANQWLTIEKYMTGEFRKYNNNNGDEIAPTNTLEELMLAFSHWTYEY TRGELLVLDLQGVGENLTDPSVIKPEDKQSRGMVFGPANLGEDAIRSFIAKHRCNSCCGK LRLPDLKRNDYSLSRTHCNLGFGQTIEPTEELPERDKNRSSLEDHTRL >ENSMUSP00000136855.1 pep:novel scaffold:GRCm38:JH584297.1:108318:111392:-1 gene:ENSMUSG00000096756.1 transcript:ENSMUST00000177719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC165294.3 MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYVPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKLQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000088372.6 pep:known chromosome:GRCm38:13:74406396:74407317:1 gene:ENSMUSG00000062382.7 transcript:ENSMUST00000090860.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10116 description:predicted pseudogene 10116 [Source:MGI Symbol;Acc:MGI:3779109] MTSQIRQNYSTEVEAAVNRLVNLHLRASYTYLSLGFFFDRDDVALEGVGHFFRELAEEKR EGAERLLEFQNDRGGRALFQDVQKPSQDEWGKTQEAMEAALAMEKNLNQALLDLHALGSA RADPHLCDFLESHYLDKEVKLIKKMGNHLTNLRRVAGPQPAQTGAPQGSLGEYLFERLTL KHD >ENSMUSP00000105531.3 pep:known chromosome:GRCm38:13:55593135:55597663:1 gene:ENSMUSG00000058569.7 transcript:ENSMUST00000109905.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed9 description:transmembrane emp24 protein transport domain containing 9 [Source:MGI Symbol;Acc:MGI:1914761] MAAVRGVRVVGSSPGLLLGRGMRAFLLLLWLAARGSALYFHIGETEKKCFIEEIPDETMV IGNYRTQLYDKQREEYQPATPGLGMFVEVKDPEDKVILARQYGSEGRFTFTSHTPGEHQI CLHSNSTKFSLFAGGMLRVHLDIQVGEHANDYAEIAAKDKLSELQLRVRQLVEQVEQIQK EQNYQRWREERFRQTSESTNQRVLWWSILQTLILVAIGVWQMRHLKSFFEAKKLV >ENSMUSP00000107444.1 pep:known chromosome:GRCm38:19:47141035:47144174:-1 gene:ENSMUSG00000079258.1 transcript:ENSMUST00000111813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calhm1 description:calcium homeostasis modulator 1 [Source:MGI Symbol;Acc:MGI:3643383] MDKFRMIFQFLQSNQESFMNGICGIMALASAQMYSAFDFNCPCLPGYNVVYSLGILLTPP LVLFLLGLVMNNNISMLAEEWKRPAGRRAKDPAVLRYMFCSMAQRALIAPVVWVAVTLLD GKCFLCAFCTAVPVATLGNGSLVPGLPAPELARLLARVPCPEIYDGNWLLAREVAVRYLR CISQALGWSFVLLTTLLAFVVRSVRPCFTQVAFLKSKYWSHYIDIERKLFDETCTEHAKA FAKVCIQQFFEAMNHDLELGHTHGVLATATATATATEAVQSPSDRTEEEREKLRGITDQG TMNRLLTSWHKCKPPLRLGQEAPLMSNGWAGGEPRPPRKEVATYFSKV >ENSMUSP00000055447.7 pep:known chromosome:GRCm38:14:61309753:61311936:1 gene:ENSMUSG00000043157.7 transcript:ENSMUST00000055159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl11 description:ADP-ribosylation factor-like 11 [Source:MGI Symbol;Acc:MGI:2444054] MGSVNSRGHKAEAQVVMMGLDSAGKTTILYKLKGNQLVDTLPTVGFNVEPLEAPGHVSLT LWDIGGQTQLRATWKDYLEGIDLLVYVLDSTDEARLPEAVAELKEVLEDPNMAGVPFLVL ANKQEAPGALPLLEIRNRLGLEGFQKHCWELRACSALTGQGLQEALQSLLHLLKSR >ENSMUSP00000073161.7 pep:known chromosome:GRCm38:13:97129427:97137926:-1 gene:ENSMUSG00000060739.7 transcript:ENSMUST00000073456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsa2 description:NSA2 ribosome biogenesis homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913883] MPQNEYIELHRKRYGYRLDYHEKKRKKEGREAHERSKKAKKMIGLKAKLYHKQRHAEKIQ MKKTIKMHEKRNTKQKDDEKTPQGAVPAYLLDREGQSRAKVLSNMIKQKRKEKAGKWEVP LPKVRAQGETEVLKVIRTGKRKKKAWKRMVTKVCFVGDGFTRKPPKYERFIRPMGLRFKK AHVTHPELKATFCLPILGVKKNPSSPLYTTLGVITKGTVIEVNVSELGLVTQGGKVIWGK YAQVTNNPENDGCINAVLLV >ENSMUSP00000048826.2 pep:known chromosome:GRCm38:8:79266424:79294956:-1 gene:ENSMUSG00000037022.2 transcript:ENSMUST00000048718.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmaa description:methylmalonic aciduria (cobalamin deficiency) type A [Source:MGI Symbol;Acc:MGI:1923805] MTISTLLLSPNRRLLTCLSRVPSPWLLHSSHPAPGPPGALPNCFGHHCTKRVLLSDGFRR TLCVQATLKDHTEGLSDKEQRFVDRLYTGLVKGQRACLAEAITLVESTHTRKRELAQVLL QRVLALQREQELRNQGKPLTFRVGLSGPPGAGKSTFIECFGKMLTEQGHRLSVLAVDPSS CTSGGSLLGDKTRMIELSRDMNAYIRPSPTSGTLGGVTRTTNEAIVLCEGGGYDIILIET VGVGQSEFAVADMVDMFVLLLPPAGGDELQGIKRGIIEMADLVVITKSDGDLIVPARRIQ AEYVSALKLLRRRSEVWRPKVIRISARSGEGITEMWDTMREFQHQMLASGELAAKRQTQH KVWMWNLIQENVLEHFKTHPSIREQIPLMERKVLSGALSPGRAADLLLKAFKSRH >ENSMUSP00000025691.5 pep:known chromosome:GRCm38:19:34290659:34327770:1 gene:ENSMUSG00000024778.12 transcript:ENSMUST00000025691.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fas description:Fas (TNF receptor superfamily member 6) [Source:MGI Symbol;Acc:MGI:95484] MLWIWAVLPLVLAGSQLRVHTQGTNSISESLKLRRRVRETDKNCSEGLYQGGPFCCQPCQ PGKKKVEDCKMNGGTPTCAPCTEGKEYMDKNHYADKCRRCTLCDEEHGLEVETNCTLTQN TKCKCKPDFYCDSPGCEHCVRCASCEHGTLEPCTATSNTNCRKQSPRNRLWLLTILVLLI PLVFIYRKYRKRKCWKRRQDDPESRTSSRETIPMNASNLSLSKYIPRIAEDMTIQEAKKF ARENNIKEGKIDEIMHDSIQDTAEQKVQLLLCWYQSHGKSDAYQDLIKGLKKAECRRTLD KFQDMVQKDLGKSTPDTGNENEGQCLE >ENSMUSP00000108091.2 pep:known chromosome:GRCm38:19:34290659:34309350:1 gene:ENSMUSG00000024778.12 transcript:ENSMUST00000112472.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fas description:Fas (TNF receptor superfamily member 6) [Source:MGI Symbol;Acc:MGI:95484] MLWIWAVLPLVLAGSQLRVHTQGTNSISESLKLRRRVRETDKNCSEGLYQGGPFCCQPCQ PEN >ENSMUSP00000104938.3 pep:known chromosome:GRCm38:13:104023439:104109614:-1 gene:ENSMUSG00000021710.10 transcript:ENSMUST00000109315.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nln description:neurolysin (metallopeptidase M3 family) [Source:MGI Symbol;Acc:MGI:1923055] MITLCLSALRGLHRAGGSRIRLRMTLGREAASPLQAMSSYTAAGRNVLRWDLSPEQIRTR TEELIAQTKQVYDTVGTINLEDVTYENCLQVLADIEVKYIVERTMLDFPQHVSSDREVRA ASTEADKRLSRFDIEMSMREDVFQRIVHLQETCDLEKIKPEARRYLEKSIKMGKRNGLHL PEHVKNEIKSMKKRMSELCIDFNKNLNEDDTSLVFSKAELGALPDDFIDSLEKTDEDKYK VTLKYPHYFPVMKKCCVPETRRKMEMAFHTRCKEENTIILQQLLPLRAQVAKLLGYNTHA DFVLELNTAKSTSHVATFLDDLSQKLKPLGEAEREFILSLKKKECEERGFAYDGKINAWD LHYYMTQTEELKYSVDQESLKEYFPIEVVTEGLLSIYQELLGLSFEQVADAHVWNKSVSL YTVKDKATGEVLGQFYLDLYPREGKYNHAACFGLQPGCLLPDGSRMMSVAALVVNFSQPI AGRPSLLRHDEVRTYFHEFGHVMHQICAQTDFARFSGTNVETDFVEVPSQMLENWVWDID SLRKLSKHYRDGHPITDELLEKLVASRLVNTGLLTLRQIVLSKVDQSLHTNASLDAASEY AKYCTEILGVAATPGTNMPATFGHLAGGYDGQYYGYLWSEVFSMDMFHSCFRKEGIMNPE VGMKYRNLILKPGGSLDGMDMLQNFLQREPNQKAFLMSRGLNAS >ENSMUSP00000026172.2 pep:known chromosome:GRCm38:19:42036038:42045110:1 gene:ENSMUSG00000025172.2 transcript:ENSMUST00000026172.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd2 description:ankyrin repeat domain 2 (stretch responsive muscle) [Source:MGI Symbol;Acc:MGI:1861447] MEGPEAVQRATELIEQRLAQEEETEKLRRSAPGKLSMDMLVLEEEKRLGVQSPALQKVKG QERVRKTSLDLRREIIDVGGIQNLIELRKKRKQKKRDALAAAQEPPPEPEEITGPVNEET FLKAAVEGKMKVIDKYLADGGSADTCDEFRRTALHRASLEGHMEILEKLLENGATVDFQD RLDCTAMHWACRGGHLEVVRLLQSRGADTNVRDKLLSTPLHVAVRTGHVEIVEHFLSLGL DINAKDREGDSALHDAVRLNRYKIIKLLLLHGADMMAKNLAGKTPTDLVQLWQADTRHAL EHPEPESEQNGLERPGSGRETPQPIPAQ >ENSMUSP00000054056.4 pep:known chromosome:GRCm38:1:72642980:72700564:-1 gene:ENSMUSG00000039342.4 transcript:ENSMUST00000053499.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankar description:ankyrin and armadillo repeat containing [Source:MGI Symbol;Acc:MGI:2442559] MSRIVKKGIVKIDQEQDEETFRENLAVQRNASAFFEKYDRTEVQELLTTTLVSWLAAKDD ARSQLETPCGLMSQMNNAGFSTAILLTPVDPTALLDYREVHQILRELAIGIYCLNQIPSI SLEANFDQSSSCQLPPAYYDTRVGQILIQIDYMLKALWHGIYMPKEKRARFSELWRTIMD IDLDGKPQTTKNVFSEFSSAGLVDITNDPDFNGIYDEDMNEDPTYEPNSPEEKAVFMKYA ESIMMKLTFSTVQIQQHENIFIFETAYWLSNAIKYNQDYLDICTYQRLQKRLYLQKKVIQ KHFEKKKEIRRGMGYLKLICFLIPFLLSLKRKMKVPYLNSLLPPFSDDKVKTERELPPFI YGRDFKCQNFDYKQHQYFHVHGGIEFDISTHPVESALDEFKKNVEKIWECASSASAEDAG YKEVYPIPVMELNGKSYYVIHFELEIFYQQLYKTQWWVAINETVNNLKVKRLPLTEDQLH EQFKKKFGLKKAMKCKSIPFGVKSAVERGLSAVFYTFSRKTSSSTINVSDEAGYAIFHHA ALHNRVSVICQLWSANFNVNQRRFIMFSQADSSKVDMKKERNGPTPLHLAAQACSLEATI CLLCFKADYTLTEKRGWMPIHFAAFYDNICILIALCRKDPSLLEAEATAENQCTPLLLAA TSGALDTIQYLFSLGANWRKTDTKGNNIIHLSVLAFHTEVLKYIIELNIPELPVWETLVE MLQCESSKRRMMAVMSLEVICLANDRYWQCILDAGTIPALVNLLKSPQIKLQYKTVGLLS NISTHVSIVHAIVEAGGIPAVINLLTSDEPELHSRCAIILYDVAKCENKDVIAKYSGIPA LINLLSLNKESVLVNVMNCIRVLCMGNESNQQSMKDNNGIQYLIQFLSSDSDVLKALSSA TIAEVARDNKEVQDAIAKEGAIPPLVTLFKGKQLSVQVKGAMAVESLANCNPLIQKEFLE RELTKDLLKLLQAFQIDVKEQGAIALWALAGQTLKQQKYMAEQIGYNLIISMLLSPSAKM QYVGGEAVIALSKDSRMHQNQICEGKGIAPLVRLLRINKIPEGTLLSVIRAVGSICIGVA HTSNPMSQQFVVEENALPVLIQLLRNHPSINIRVEVAFSLACIVLGNNSLKKELQNDEGF EYSDVLYLLHSKDKEVCLKAGYALTLFAFNDRFQQHLILETGLITVSIFEPFLQSSVETE RAMAAFQIIILAKAIIDVEHVTLYGRGIQILADSLNSVHAPTIALTGNIIASLAHSRAGI PEAFVSLGTVQRLCYHLYARSEEVRTACSCALGYLTYNAHAFRLLLTECRNKPNQFLRIT NNISKDAKINPAFLKEFQLQQRMGLPSLSLERNGGPPVIPVFKKGKEHRQKTRPKIQPRD SLTLLPPVTNVKELFRTTHKANISHNTFSFPSGVSSDIINVSRPRIAFLNKLGKDEQKAN PDPPAFLNKLGKDEQNANPDPAESQ >ENSMUSP00000060787.3 pep:known chromosome:GRCm38:9:40100544:40101488:-1 gene:ENSMUSG00000045812.3 transcript:ENSMUST00000056795.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr984 description:olfactory receptor 984 [Source:MGI Symbol;Acc:MGI:3030818] MNPANHSQVATFFLLGLSQVWELRFLFFTVFSAVYLLTVTGNLLIVAIVTSDPRLHTTMY FLLGNLSFLDFCYSSITAPRMLVDLLSHSPTISFGACLTQLFFFHFIGGIKIFLLTVMAY DRYIAISQPLRYTLIMNQTVCGIFMAASWVGGFIHSIVQVGLTIHLPFCGPDKLDNFYCD VPQLIKLACTDTFVLELLMVSNNGLVTLMCFLVLLGSYTALLVMLRSHSKEGRSKALSTC ASHITVVTIIFVPCIYIYARPFRTFPMDKAVSVLYTMVTPMLNPAIYTLRNKEVIVAMKK LWRRKKDFLGSSDH >ENSMUSP00000083229.2 pep:known chromosome:GRCm38:9:37897325:37898375:1 gene:ENSMUSG00000066748.2 transcript:ENSMUST00000086062.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr145 description:olfactory receptor 145 [Source:MGI Symbol;Acc:MGI:2177528] MATENASVPEFILAGLTDQPGLRMPLFFLFLGFYMVTMVGNLGLITLIGLNSHLHTPMYF FLFNLSLIDFCYSTVITPKMLVSFVSKKNIISYSGCMTQLFFFLFFVVSESFILSAMAYD RYVAICNPLMYTVTMSPQVCLLLLLGVYVMGFAGAMAHTAFMVKLTFCADKLVNHYMCDI LPLLERSCTSTYVNELVVFIVVGIDIGVPTVTIFISYALILSSILRISSTEGRSKAFSTC SSHIIAVSLFFGSGAFMYLKPSSLLPMNQGKVSSLFYTIVVPMLNPLIYSLRNKDVKVAL RKTLSRSSFS >ENSMUSP00000091389.2 pep:known chromosome:GRCm38:9:38218818:38219766:1 gene:ENSMUSG00000070311.2 transcript:ENSMUST00000093866.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr894 description:olfactory receptor 894 [Source:MGI Symbol;Acc:MGI:3030728] MMQMTMENKSSVSEFILMGLTDQPELQLPLFVLFLMNYTATVMGNLSLMNLICLNSNLHT PMYFFIFNLSFIDFCYSMVFTPKMLMSFVVEKNTISFRGCMTQLFFFCVFINAESYVLTA MAYDRYVAIGQPLMYQVVMSPKICCLLIFGSYLMGFISATAHTGCMVRLRFCDSNIINHY MCDIFPLLQLSCSSTYVNELMSYIAVGTAIILCSLIILVSYAMILFNIIHISSGKGWSKA LGTCGSHIITVSLFYGSGLLAYVNPSSAETVGQAKFFSVFYTLLVPMLNPLIYSLRNKDV KLAMKKSWKRITS >ENSMUSP00000057148.1 pep:known chromosome:GRCm38:9:38464043:38464975:1 gene:ENSMUSG00000094380.1 transcript:ENSMUST00000058153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr904 description:olfactory receptor 904 [Source:MGI Symbol;Acc:MGI:3030738] MASANVSLVTEFILVGLTNQPDLQIPLFFVFLIMYIVTALGNLCLIILIVLNSHLHTPMY FFLFNLSFIDLCYSTVFTPKMLMNFILSKNAISYMGCLTQLYFFCFFVISECYVLTSMAY DRYVAICNPLLYTVAMSPKLCLNLMLGTYAMAFSGAMAHTGCMLRLTFCDANTINHYFCD ILPVMQLSCTSTYVNELVVFIVVGINIIVPSITIFISYGFILSSIFHIKSNEGRSKAFST CSSHIIAVCLFFGSGAFMYLKPSSSSSMDQGKTSSVFYTNVVPMMNPLIYSLRNKDVKIA LRKTLSRWKF >ENSMUSP00000026266.7 pep:known chromosome:GRCm38:9:59291572:59316199:1 gene:ENSMUSG00000025236.10 transcript:ENSMUST00000026266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adpgk description:ADP-dependent glucokinase [Source:MGI Symbol;Acc:MGI:1919391] MALWRGSACAGFLALAVGCVFLLEPELPGTALRSLWSSLRLGPAPVPVGPLSPESRLAAA WDALIAQPARRWRRVAVGVNACVDVVISGVKLLQALGLSPGSGKDHAILHSRSDLEEAFL YFMGKGAAAERFFSDKETFHDIAQAASEFPGAQHYVGGNAALIGQRFAANTDLKVLLCGP IGPKLHELLDDNVFVPPESLQEEDEFHLILEYLAGEEWGPFKAPHANRFIFSHDLSNGAM NMLEVFVSSLEEFQPDLVVLSGLHMMEGQSKELQRKRLLEVVTAISDIPTGIPVHLELAS MTNRELMSSIVHQQVFPTVASLGLNEQELLFLSQSASGPHASLSSWDGVPDVGMVSDILF WILKEHGRSENRASDLTRIHFHTLVYHILATVDGHWANQLAAVAAGARVAGTQACATETI DTNRVSLRAPQEFTTSHLESGSRIVLNPDKPVVEWHREGITFHFTPVLVCKDPVRTVGLG DAISAEGLFYSEARPD >ENSMUSP00000096195.2 pep:known chromosome:GRCm38:8:83932329:83955205:-1 gene:ENSMUSG00000074219.2 transcript:ENSMUST00000098595.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10644 description:predicted gene 10644 [Source:MGI Symbol;Acc:MGI:3704314] MVGICSLGTEDSGTSGRLRGAPGLTSKWATVKMTGSMRKTRLDSLLIDAAMTCELTTREA PPGPPVPASPASFTVAFSVDKKRPRLL >ENSMUSP00000034602.7 pep:known chromosome:GRCm38:9:44981793:44987052:1 gene:ENSMUSG00000032094.7 transcript:ENSMUST00000034602.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd3d description:CD3 antigen, delta polypeptide [Source:MGI Symbol;Acc:MGI:88331] MEHSGILASLILIAVLPQGSPFKIQVTEYEDKVFVTCNTSVMHLDGTVEGWFAKNKTLNL GKGVLDPRGIYLCNGTEQLAKVVSSVQVHYRMCQNCVELDSGTMAGVIFIDLIATLLLAL GVYCFAGHETGRPSGAAEVQALLKNEQLYQPLRDREDTQYSRLGGNWPRNKKS >ENSMUSP00000005488.7 pep:known chromosome:GRCm38:10:78711997:78718293:-1 gene:ENSMUSG00000005355.8 transcript:ENSMUST00000005488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp14 description:caspase 14 [Source:MGI Symbol;Acc:MGI:1335092] MESEMSDPQPLQEERYDMSGARLALTLCVTKAREGSEVDMEALERMFRYLKFESTMKRDP TAQQFLEELDEFQQTIDNWEEPVSCAFVVLMAHGEEGLLKGEDEKMVRLEDLFEVLNNKN CKALRGKPKVYIIQACRGEHRDPGEELRGNEELGGDEELGGDEVAVLKNNPQSIPTYTDT LHIYSTVEGYLSYRHDEKGSGFIQTLTDVFIHKKGSILELTEEITRLMANTEVMQEGKPR KVNPEVQSTLRKKLYLQ >ENSMUSP00000035105.5 pep:known chromosome:GRCm38:9:120127766:120132369:1 gene:ENSMUSG00000032518.5 transcript:ENSMUST00000035105.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpsa description:ribosomal protein SA [Source:MGI Symbol;Acc:MGI:105381] MSGALDVLQMKEEDVLKFLAAGTHLGGTNLDFQMEQYIYKRKSDGIYIINLKRTWEKLLL AARAIVAIENPADVSVISSRNTGQRAVLKFAAATGATPIAGRFTPGTFTNQIQAAFREPR LLVVTDPRADHQPLTEASYVNLPTIALCNTDSPLRYVDIAIPCNNKGAHSVGLMWWMLAR EVLRMRGTISREHPWEVMPDLYFYRDPEEIEKEEQAAAEKAVTKEEFQGEWTAPAPEFTA AQPEVADWSEGVQVPSVPIQQFPTEDWSAQPATEDWSAAPTAQATEWVGATTEWS >ENSMUSP00000079693.3 pep:known chromosome:GRCm38:7:45705247:45710203:1 gene:ENSMUSG00000059824.11 transcript:ENSMUST00000080885.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dbp description:D site albumin promoter binding protein [Source:MGI Symbol;Acc:MGI:94866] MARPLSDRTPGPLLLGGPAGAPPGGGALLGLRSLLQGNSKPKEPASCLLKEKERKATLPS APVPGPGLETAGPADAPSGAVSGGGSPRGRSGPVAGPSLFAPLLWERTLPFGDVEYVDLD AFLLEHGLPPSPPPPGGLSPAPSPARTPAPSPGPGSCSSSSPRSSPGHAPARATLGAAGG HRAGLTSRDTPSPVDPDTVEVLMTFEPDPADLALSSIPGHETFDPRRHRFSEEELKPQPI MKKARKVQVPEEQKDEKYWSRRYKNNEAAKRSRDARRLKENQISVRAAFLEKENALLRQE VVAVRQELSHYRAVLSRYQAQHGTL >ENSMUSP00000069161.4 pep:known chromosome:GRCm38:9:123259058:123260789:-1 gene:ENSMUSG00000054871.4 transcript:ENSMUST00000068140.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem158 description:transmembrane protein 158 [Source:MGI Symbol;Acc:MGI:1919559] MLPLLAALLAAACQLPPAHGGATDAPGLAGTPPNASANASFTNEHSTPRLLASAASAPPE RAGPEEAPAAPCNISVQRQMLSSLLVRWGRPRGLQCDLLLFSTNAHGRAFFAAAFHRVGP PLLIEHLGLAAGGAQQDLRLCVGCGWVRGRLRAPAGAPTALPAYPAAEPGPLWLQGEPRH FCCLDFSLEELQGEPGWRLNRKPIESTLVACFMTLVIVVWSVAALIWPVPIIAGFLPNGM EQRRTTAGAPAAAPAAVPAGTTAAAAAAAAAAAAAAAAVTSGVAPK >ENSMUSP00000079358.7 pep:known chromosome:GRCm38:9:57504102:57505447:1 gene:ENSMUSG00000062309.7 transcript:ENSMUST00000080514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpp25 description:ribonuclease P/MRP 25 subunit [Source:MGI Symbol;Acc:MGI:2143151] MENFRKVRSEEAPAGDGDEGGSPNSGPFADLAPGAVHMRVKEGSKIRNLLAFATASMAQP ATRAIVFSGCGRATTKTVTCAEILKRRLAGLHQVTRLRYRSVREVWQSLPPGPTPGQTPS DPAASLSVLKNVPSLAILLSKDALDPRQLGYQPPNLSPGPSSPPTVSTSKRSLGESAAEE GTAKRSQPEPEAENEDRTA >ENSMUSP00000070442.4 pep:known chromosome:GRCm38:10:78484570:78487842:-1 gene:ENSMUSG00000055704.4 transcript:ENSMUST00000069431.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9978 description:predicted gene 9978 [Source:MGI Symbol;Acc:MGI:3641806] MLHPPGASAQQVILKEEASITRWTGRGEGGHMIRTGATRATGAAQTFVHISGIARTSKAN EAKGGEGAHAILAGATMEAPVCSEQERSLPMPHQPDLTQLCFTLEFNM >ENSMUSP00000137733.1 pep:known chromosome:GRCm38:6:120294364:120295432:1 gene:ENSMUSG00000097481.1 transcript:ENSMUST00000181956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D330020A13Rik description:RIKEN cDNA D330020A13 gene [Source:MGI Symbol;Acc:MGI:3642762] PPRPPALLTVVQGVPAGLGRRHQLQIQSPESHRYYGEQQQQPEAPPQQLDRAQEQHQQRG AGAPHGASPSLPLQHPLPTRRSGPAPPLSAAHSQSPRVALQRADCSCSTRWGDPGLRGCL AGRSPAAEPGSSSPSVRSTPLLVAGPPPPSLSSFSLQRSAQEALLVALRPLRLLAAVRAL SLSSEHYLTPYLSRPRLGPAPRRRWAPPPRE >ENSMUSP00000094986.1 pep:known chromosome:GRCm38:17:24595937:24632629:-1 gene:ENSMUSG00000002496.9 transcript:ENSMUST00000097373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc2 description:tuberous sclerosis 2 [Source:MGI Symbol;Acc:MGI:102548] MAKPTSKDSGLKEKFKILLGLGTSRPNPRCAEGKQTEFIITSEILRELSGECGLNNRIRM IGQICDVAKTKKLEEHAVEALWKAVSDLLQPERPPEARHAVLTLLKAIVQGQGDRLGVLR ALFFKVIKDYPSNEDLHERLEVFKALTDNGRHITYLEEELAEFVLQWMDVGLSSEFLLVL VNLVKFNSCYLDEYIASMVHMICLLCIRTVSSVDIEVSLQVLDAVVCYNCLPAESLPLFI ITLCRTINVKELCEPCWKLMRNLLGTHLGHSAIYNMCRIMEDRSYMEDAPLLRGAVFFVG MALWGAHRLYSLKNSPTSVLPSFYEAMTCPNEVVSYEIVLSITRLIKKYRKELQAVTWDI LLDIIERLLQQLQNLDSPELKTIVHDLLTTVEELCDQNEFHGSQERYYELVESYADQRPE SSLLNLISYRAQSIHPAKDGWIQNLQLLMERFFRNECRSAVRIKVLDVLSFVLLINRQFY EEELINSVVISQLSHIPEDKDHQVRKLATQLLVDLAEGCHTHHFNSLLDIIEKVMARSLS PPPELEERDLAMHSASLEDVKTAVLGLLVILQTKLYTLPASHATRVYESLISHIQLHYKH GYSLPIASSIRLQAFDFLLLLRADSLHRLGLPNKDGVVRFSPYCLCDCMELDRASEKKAS GPLSPPTGPPSPVPMGPAVRLGYLPYSLLFRVLLQCLKQESDWKVLKLVLSRLPESLRYK VLIFTSPCSVDQLSSALCSMLSAPKTLERLRGTPEGFSRTDLHLAVVPVLTALISYHNYL DKTRQREMVYCLEQGLIYRCASQCVVALAICSVEMPDIIIKALPVLVVKLTHISATASMA IPLLEFLSTLARLPHLYRNFAAEQYASVFAISLPYTNPSKFNQYIVCLAHHVIAMWFIRC RLPFRKDFVPYITKGLRSNVLLSFDDTPEKDSFRARSTSLNERPKSRIQTSLTSASLGSA DENSMAQADDNLKNLHLELTETCLDMMARYVFSNFTAVPKRSPVGEFLLAGGRTKTWLVG NKLVTVTTSVGTGTRSLLGLDSGDLQGGSDSSSDPSTHVRQTKEAPAKLESQAGQQVSRG ARDRVRSMSGGHGLRVGVLDTSAPYSPGGSASLGPQTAVAAKPEKPPAGAQLPTAEKTNL AAYVPLLTQGWAEILVRRPTGNTSWLMSLENPLSPFSSDINNMPLQELSNALMAAERFKE HRDTALYKSLSVPAAGTAKPPTLPRSNTDSAMVLEEGSPGETQVPVEPPELEDFEAALGT DRHCQRPDTYSRSSSASSQEEKSHLEELAAGGIPIERAISSEGARPAVDLSFQPSQPLSK SSSSPELQTLQDILGDLGDKIDIGRLSPEAKVRSQSGILDGEAATWSATGEESRITVPPE GPLPSSSPRSPSGLRPRGYTISDSAPSRRGKRVERDNFKSRAAASSAEKVPGINPSFVFL QLYHSPFFGDESNKPILLPNESFERSVQLLDQIPSYDTHKIAVLYVGEGQSSSELAILSN EHGSYRYTEFLTGLGRLIELKDCQPDKVYLGGLDVCGEDGQFTYCWHDDIMQAVFHIATL MPTKDVDKHRCDKKRHLGNDFVSIIYNDSGEDFKLGTIKGQFNFVHVIITPLDYKCNLLT LQCRKDMEGLVDTSVAKIVSDRNLSFVARQMALHANMASQVHHSRSNPTDIYPSKWIARL RHIKRLRQRIREEVHYSNPSLPLMHPPAHTKAPAQAPEATPTYETGQRKRLISSVDDFTE FV >ENSMUSP00000045480.6 pep:known chromosome:GRCm38:8:104417674:104443047:-1 gene:ENSMUSG00000035770.7 transcript:ENSMUST00000041769.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1li2 description:dynein, cytoplasmic 1 light intermediate chain 2 [Source:MGI Symbol;Acc:MGI:107738] MAPVGVEKKLLLGPNGPAVAAAGDLTSEEEEGQSLWSSILSEVSTRARSKLPSGKNILVF GEDGSGKTTLMTKLQGAEHGKKGRGLEYLYLSVHDEDRDDHTRCNVWILDGDLYHKGLLK FAVSAESLRETLVIFVADMSRPWTIMESLQKWASVLREHIDKMKIPPEEMRDLERKFMKE FQDYIEPEEGCQGSPQRRGPLTSGSDEDSVALPLGDNVLTHNLGIPVLVVCTKCDAMSVL EKEHDYRDEHLDFIQAHLRRFCLQYGAALIYTSVKEEKNLDLLYKYIVHKTYGFHFTIPA LVVEKDAVFIPAGWDNEKKIAILHENFTTVKPEDAYEDFIVKPPVRKLVHDKELAAEDEQ VFLMKQQSLLAKQPATPTRTSESPARGPSGSPRTQGRGGPASVPSASPGTSVKKPDPNIK NNAASEGVLASFFNSLLSKKTGSPGSPSAGGVQSTAKKSGQKTVLSNVQEELDRMTRKPD SMVTNSSTENEA >ENSMUSP00000137513.1 pep:known chromosome:GRCm38:4:144453009:144453317:-1 gene:ENSMUSG00000095213.1 transcript:ENSMUST00000177649.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9944 description:predicted gene 9944 [Source:MGI Symbol;Acc:MGI:3642412] MAPHIVHRGLKRKLLVVIYSLLPRNEVLFFPIMHIMGDTKPVNLLESFPIHRCQLLCDQN QEENIKYFCLWILCFLDYSSFSRLNRFGQHRNPLGYTTKVAS >ENSMUSP00000075722.5 pep:known chromosome:GRCm38:8:104947356:104955962:1 gene:ENSMUSG00000062826.6 transcript:ENSMUST00000076384.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces2f description:carboxylesterase 2F [Source:MGI Symbol;Acc:MGI:1919153] MPVHRLPGWLDAAACGLLVLLLHVKGLDSSEASPIRNTHTGQVRGKFVHLTDIKAGAHNF LGIPFAKPPVGPLRFAPPEAPEPWSGVRDGTSQPAMCLQNDDIVNLEGLKIIKMILPPFS MSEDCLYLNIYTPAHAQEGSNLPVMVWLHGGGLVAGMASMYDGSVLAATEDVVVVVTQYR LGIPGFYSTGDEQARGNWGFLDQTAALHWVQQNIANFGGNPDSVTLFGQSAGGTSVSFHV LSPVSQGLFHRAIMESGVALLPTIIPDSPEMIFTKVANLSGCETSNSEALVRCLRGKSEA EILAMSKAFRFMPAVVDGKFLPRHPKKLLASADFHPVPSIIGVNNDEYGWIIPKIFKFSQ TIRKINRNNLKAIMKITTEQMMLPSECGDLLIEEYLRDTEDPWTLQMQFREMIGDFLIII PALQVARFQRSHAPVYFYEFQHRSSLLKYFRPWHVKADHGDELYLIFGSFFWGLKFSFTA EEKLLSRKMMKYWANFARYGNPNSEDLPYWPASNQDDLYLQLDIHPSVGHALKARRLPFW TKTLPQKMLELKGNHDKIKAV >ENSMUSP00000062657.2 pep:known chromosome:GRCm38:11:43587040:43589048:1 gene:ENSMUSG00000045877.2 transcript:ENSMUST00000056256.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933415A04Rik description:RIKEN cDNA 4933415A04 gene [Source:MGI Symbol;Acc:MGI:1922977] MDVSWKQRKERAGCRRGAAIFSTSIGTCTFVCYLCVCVCVCVCVCVCVCVCVCVCVCMFR LLPLHLLYQSLCLCVTGLESIVSVCPGLGLLREVPPLGPQN >ENSMUSP00000136544.1 pep:known chromosome:GRCm38:12:10898566:10900296:-1 gene:ENSMUSG00000066632.2 transcript:ENSMUST00000085735.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgk1-rs7 description:phosphoglycerate kinase-1, related sequence-7 [Source:MGI Symbol;Acc:MGI:97562] MSLSNKLTLDKLDVKGKRVVMRVDFNVPMKNNQITNNQRIKAAVPSIKFCLDNGAKSVVL MSHLGRPDGVPMPDKYSLEPVAAELKSLLGKDVLFLKDCVGPEVENACANPAAGTVILLE NLRFHVEEEGKGKDASGNKVKAEPAKIDAFRASLSKLGDVYVNDAFGTAHRAHSSMVGVN LPQKAGGFLMKKELNYFAKALESPERPFLAILGGAKVADKIQLINNMLDKVNEMIIGGGM AFTFLKVLNNMEIGTSLYDEEGAKIVKDLMSKAEKNGVKITLPVDFVTADKFDENAKTGQ ATVASGIPAGWMGLDCGTESSKKYAEAVGRAKQIVWNGPVGVFEWEAFARGTKSLMDEVV KATSRGCITIIGGGDTATCCAKWNTEDKVSHVSTGGGASLELLEGKVLPGVDALSNV >ENSMUSP00000063248.7 pep:known chromosome:GRCm38:8:105312341:105326276:-1 gene:ENSMUSG00000041679.8 transcript:ENSMUST00000070508.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc29 description:leucine rich repeat containing 29 [Source:MGI Symbol;Acc:MGI:2443262] MAECCLVSGRELAQVLGSVRRAPRALTSLRLAYCSSLKVLQFPQLRQLSLSLLPAFTDTG LVAVARGCPSLERLTLSHCSHLSDEGWAQAARLWPRLQHLNLSSCSQLTEQTLDTIGQAC KQLRVLDVAMCPGINMAAVRHFQAQLPQVTCIQSRFVGGADLTLTL >ENSMUSP00000034368.6 pep:known chromosome:GRCm38:8:105931994:105933862:-1 gene:ENSMUSG00000031896.6 transcript:ENSMUST00000034368.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctrl description:chymotrypsin-like [Source:MGI Symbol;Acc:MGI:88558] MLLLSLTLSLVLLGSSWGCGVPAITPALSYNQRIVNGENAVPGSWPWQVSLQDNTGFHFC GGSLISPNWVVTAAHCQVTPGRHFVVLGEYDRSSNAEPVQVLSIARAITHPNWNANTMNN DLTLLKLASPARYTAQVSPVCLASTNEALPSGLTCVTTGWGRISGVGNVTPARLQQVVLP LVTVNQCRQYWGARITDAMICAGGSGASSCQGDSGGPLVCQKGNTWVLIGIVSWGTKNCN IQAPAMYTRVSKFSTWINQVMAYN >ENSMUSP00000119150.1 pep:known chromosome:GRCm38:7:46740499:46742980:-1 gene:ENSMUSG00000074115.4 transcript:ENSMUST00000128088.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saa1 description:serum amyloid A 1 [Source:MGI Symbol;Acc:MGI:98221] MKLLTSLVFCSLLLGVCHGGFFSFVHEAFQGAGDMWRAYTDMKEANWKNSDKYFHARGNY DAAQRGPGGVWAAEKISDGREAFQEFFGRGHEDTIADQEANRHGRSGKDPNYYRPPGLPD KY >ENSMUSP00000020964.5 pep:known chromosome:GRCm38:12:4833175:4841595:-1 gene:ENSMUSG00000020635.7 transcript:ENSMUST00000020964.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp1b description:FK506 binding protein 1b [Source:MGI Symbol;Acc:MGI:1336205] MGVEIETISPGDGRTFPKKGQICVVHYTGMLQNGKKFDSSRDRNKPFKFRIGKQEVIKGF EEGTAQMSLGQRAKLTCTPDVAYGATGHPGVIPPNATLIFDVELLSLE >ENSMUSP00000110540.2 pep:known chromosome:GRCm38:X:165003765:165004829:-1 gene:ENSMUSG00000079600.2 transcript:ENSMUST00000114890.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17604 description:predicted gene, 17604 [Source:MGI Symbol;Acc:MGI:4937238] MEFLKKREREREREREREREREIKH >ENSMUSP00000129865.1 pep:known chromosome:GRCm38:8:110082803:110102753:-1 gene:ENSMUSG00000091041.1 transcript:ENSMUST00000165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17720 description:predicted gene, 17720 [Source:MGI Symbol;Acc:MGI:4937354] TFRFSFQVS >ENSMUSP00000064718.6 pep:known chromosome:GRCm38:18:20510304:20541310:1 gene:ENSMUSG00000056632.7 transcript:ENSMUST00000070892.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsg3 description:desmoglein 3 [Source:MGI Symbol;Acc:MGI:99499] MTCLFPRALGSLALLMVVLLVQGELHVKPGGQHREDGTALQLAKRRYKREWVKFAKPCRE REDNSRRNPIAKITSDFQKNQKITYRISGVGIDQPPFGIFVVDPNNGDINITAIVDREET PSFLITCRALNALGQDVERPLILTVKILDVNDNPPIFSQTIFKGEIEENSASNSLVMILN ATDADEPNHMNSKIAFKIVSQEPAGMSMFLISRNTGEVRTLTSSLDREQISSYHLVVSGA DNDGTGLSTQCECSIKIKDVNDNFPVLRESQYSARIEENTLNAELLRFQVTDWDEEYTDN WLAVYFFTSGNEGNWFEIETDPRTNEGILKVVKALDYEQVQSMQFSIAVRNKAEFHQSVI SQYRVQSTPVTIQVIDVREGISFRPPSKTFTVQRGVSTNKLVGYILGTYQATDEDTGKAA SSVRYVLGRNDGGLLVIDSKTAQIKFVKNIDRDSTFIVNKTISAEVLAIDENTGKTSTGT IYVEVPSFNENCPSVVLEKKDICTSSPSVTLSVRTLDRGKYTGPYTVSLEEQPLKLPVMW TITTLNATSALLQAQQQVSPGVYNVPVIVKDNQDGLCDTPESLTLTVCQCDDRSMCRAPI PSREPNTYGESSWRLGPAAIGLILLGLLMLLLAPLLLLTCDCGSGPIGGAATGGFIPVPD GSEGTIHQWGIEGAQPEDKEITNICVPPVTTNGADFMESSEVCTNTYAGGTMVEGASGME MITKLGGATGATAALGPCSLGYSGTMRTRHSTGGTLKDYAAPVNMTFLGSYFSQKSLAYA EEEDEREVNDCLLIYDDEGEDAAPHSPTLSSCSIFADDLDDNFLDSLGPKFKKLAEICLG IDDEAKQAKPGPKDSGSGADTCARSMEVPQSGSNRYQTLPGSLEVTQTGSKICHTLSGNQ ETSVMSTSGSVHPAVAIPDPLQLGNYLLTETYSTSGSFAQPTTVTFDPHVTQNVTVTERV ICPLPSASSSIVAPTELRGSYNMLYTKETCSHL >ENSMUSP00000038430.9 pep:known chromosome:GRCm38:12:35047189:35147469:1 gene:ENSMUSG00000020590.15 transcript:ENSMUST00000048519.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx13 description:sorting nexin 13 [Source:MGI Symbol;Acc:MGI:2661416] MMLTEASLSIWGWGSLGIVLFLITFGPFVIFYLAFYILCFVGGGLVVTLLYGKTNSEKYL EQCEHSFLPPTSSGVPKCLEEMKREARTIKIDRRLTGANIIDEPLQQVIQFSLRDYVQYW YYTLSDDESFLLEIRQTLQNALIQFATRSKEIDWQPYFTTRIVDDFGTHLRVFRKAQQRV TEKDDQVKGTAEDLVETFFEVEVEMEKDVCRDLVCTSPKDEEGFLRDLCEVLLYLLLPPG DFQSKIMRYFVREILARGILLPLINQLSDPDYINQYVIWMIRDSNCNYEAFMNIIKLSDN IGELEAVRDKAAEELQYLRSLDTAGDDINTIKNQINSLLFVKKVCDSRIQRLQSGKEINT VKLAANFGKLCTVPLDSILVDNVALQFFMDYMQQTGGQAHLFFWMTVEGYRVTAQQQLEV LSGRQRDGKQQTNQTKGLLRAAAVGIYEQYLSEKASPRVTVDDYLVAKLADTLNHEDPTP EIFDDIQRKVYELMLRDERFYPSFRQNALYVRMLAELDMLKDPSFRGSDDGDGESFNGSP TGSINLSLDDLSSVTSDDSVQLHAYISDTGVCNDHGKTYALYAITVHRRNLNTEEMWKTY RRYSDFHDFHMRITEQFENLSSILKLPGKKTFNNMDRDFLEKRKKDLNAYLQLLLTPEMM KASPALAHCVYDFLENKAYSKGKGDFARKMDTFVNPLRNSMRNVSNAVKSLPDSLAEGVT KMSDNVGRMSERLGQDIKQSFFKVPPLITKTDSDPEHCRVSAQLDDNVDDNIPLRVMLLL MDEVFDLKERNQWLRRNIKNLLQQLIRATYGDTINRKIVDHVDWMTSPEQVADSVKRFRD AFWPNGILAETVPCRDKAIRMRTRIAGKTKLFAIMPDELKHIIGAETTRKGILRVFEMFQ HNQLNRRMVYVFLEGFLETLFPQYKFRELFNKLHSRSKQMQKYKQKLQSTQAPSLQKR >ENSMUSP00000130182.1 pep:known chromosome:GRCm38:12:35047200:35134683:1 gene:ENSMUSG00000020590.15 transcript:ENSMUST00000163677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx13 description:sorting nexin 13 [Source:MGI Symbol;Acc:MGI:2661416] MLTEASLSIWGWGSLGIVLFLITFGPFVIFYLAFYILCFVGGGLVVTLLYGKTNSEKYLE QCEHSFLPPTSSGVPKCLEEMKREARTIKIDRRLTGANIIDEPLQQVIQFSLRDYVQYWY YTLSDDESFLLEIRQTLQNALIQFATRSKEIDWQPYFTTRIVDDFGTHLRVFRKAQQRVT EKDDQVKGTAEDLVETFFEVEVEMEKDVCRDLVCTSPKDEEGFLRDLCEVLLYLLLPPGD FQSKIMRYFVREILARGILLPLINQLSDPDYINQYVIWMIRDSNCNYEAFMNIIKLSDNI GELEAVRDKAAEELQYLRSLDTAGDDINTIKNQINSLLFVKKVCDSRIQRLQSGKEINTV KLAANFGKLCTVPLDSILVDNVALQFFMDYMQQTGGQAHLFFWMTVEGYRVTAQQQLEVL SGRQRDGKQQTNQTKGLLRAAAVGIYEQYLSEKASPRVTVDDYLVAKLADTLNHEDPTPE IFDDIQRKVYELMLRDERFYPSFRQNALYVRMLAELDMLKDPSFRGSDDGDGESFNGSPT GSINLSLDDLSSVTSDDSVQLHAYISDTGVCNDHGKTYALYAITVHRRNLNTEEMWKTYR RYSDFHDFHMRITEQFENLSSILKLPGKKTFNNMDRDFLEKRKKDLNAYLQLLLTPEMMK ASPALAHCVYDFLENKAYSKGKGDFARKMDTFVNPLRNSMRNVSNAVKSLPDSLAEGVTK MSDNVGRMSERLGQDIKQSFFKVPPLITKTDSDPEHCRVSAQLDDNTAHVPDFNILI >ENSMUSP00000053671.6 pep:known chromosome:GRCm38:12:54645374:54656572:-1 gene:ENSMUSG00000044408.6 transcript:ENSMUST00000056228.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptssa description:serine palmitoyltransferase, small subunit A [Source:MGI Symbol;Acc:MGI:1913399] MAGMALARAWKQMSWFYYQYLLVTALYMLEPWERTVFNSMLVSVVGMALYTGYVFMPQHI MAILHYFEIVQ >ENSMUSP00000038476.8 pep:known chromosome:GRCm38:15:3982036:3995752:-1 gene:ENSMUSG00000041935.9 transcript:ENSMUST00000046633.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW549877 description:expressed sequence AW549877 [Source:MGI Symbol;Acc:MGI:2146232] MAAAVSGVVRRVEELGDLAQAHIQHLSEAAGEDDHFLIRASAALEKLKLLCGEEKECSNP SNLLELYTQAILDMTYFEENKLVDEDFPEDCSPQKVKELLAFLSEPEILAKESNMHPKLC GLLGAELLECLSWRRGALLYMYCHSLTKRREWLLRKSNLLQKYLVDGINYLLQMLNYRCP VQLNEGVSFQDLDTAKLLSTGVFSDIHVLAMMYSGEMCYWGLKHCTDQQSENHEVDTDVF GASCTTHKETLDFREVGEKILKKYVSVCEGPLKEQEWNTANAKQILSFFQQRCS >ENSMUSP00000000718.6 pep:known chromosome:GRCm38:12:105202422:105206993:1 gene:ENSMUSG00000079007.3 transcript:ENSMUST00000000718.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcl1b4 description:T cell leukemia/lymphoma 1B, 4 [Source:MGI Symbol;Acc:MGI:1351604] MADSVRFPCMPFPPCFLVCTRDDIYEDEHGRQWVAAKVETSSHSPYCSKIETCVTVHLWQ MTTLFQEPSPDSLKTFNFLPRTWRLESRNTYRGADAMHWRLVNHSQFYGTEELVLMLDSR >ENSMUSP00000021707.6 pep:known chromosome:GRCm38:12:111271111:111276426:1 gene:ENSMUSG00000021278.6 transcript:ENSMUST00000021707.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amn description:amnionless [Source:MGI Symbol;Acc:MGI:1934943] MGALGRVLLWLQLCAMTRAAYKLWVPNTSFDTASNWNQNRTPCAGDAVQFPADKMVSVLV RDSHAISDMLLPLDGELVLASGAALSAAGGDSDPACNPGAPLLFRNPDRFSWLDPHLWSS GTQAPGLFSVDAERVPCSYDDVLFPRDGSFRVALGPGPNPVHVRSVSAVGQTFSRDEDLT AFLASREGRLRFHGSGALRVGSQACTDASGCVCGNAEMLPWICASLLQPLGGRCPQAACQ DPLLPQGQCCDLCGAIVSLTHDPTFDLERYRARLLDLFLKQPQYQGLQVAVSKVLRDAHT EIQVVLVETEHATGAAGQLGHALLQDAVAQGSVLGIVSATLRQSGKPMTADSELNQSSSG AGLAGGVAALVLLALLGTVLLLLHRSGRLRWRRHEDAEPVSAGLPLGFRNPIFDAIVFKQ QPSVELPDSAQKVDILDIDTKFGCFVNPLFAGEAEAEA >ENSMUSP00000052546.6 pep:known chromosome:GRCm38:14:27482412:27508460:-1 gene:ENSMUSG00000046753.9 transcript:ENSMUST00000050480.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc66 description:coiled-coil domain containing 66 [Source:MGI Symbol;Acc:MGI:2443639] MNLGDGLKLETELLDGKTKLILSPYEHKSKVSVKMGNKFKIAKCPLRTKQTGHTLKSTQN TYIGNENLSQKKISTLDTSQAKPENSRLTFSPSTDKQYSEKDSVRVQKEISPTTSNIRKI INTTGTCPVAKQKPCKKNPTAETMNSGLVCLTQDQLRQILMLSVNQGNGSVCLTETGEEE ASQDSLHLINIPSQPKDVNDTGFLQNTEAASPVTSEHEHVHRRAQEAFQQCEQKAATENE WKPADIFSTLGERERDKSLLEARRAQWKKELDEQVALKKKEKEASQKWHNPWKPSDIECE KSQVHDQSKEARLLESPCSAIKQEQQRKWIEELNKQVEDDQQRKAEERMIYSKGEEHDRW AVHFDSLKSHPGSQSRLSSQLTHQHLESLCVSPDTQELADVNGVFTPPPGVQAEPSEKEQ RARPVLEMAVSHGPKTNFLRSMTALLDPAQIEERERRRQKQLEHQKAIMAQVEENRRKKR LEEEQRKKEEQELELRLAREREEMQRQYEEDILKQRQREEIMTLKTNELFHTMQRAQELA QRLKQEQRIRELAQKGHDTSRLIQNLGAQVDYKAFTTISSSHSDPEETADTSTASPKKDT GVQTDDVNLGIFNDALPPCGSVTEKGIRNISSPEISAEFSGQTDIRKENQELSMNKGTNL DKENSWHNGQCNQYRRTEKQTKLMKKCPKKPAWNINKPLKKYVPASAKYPAHLQKEKEEK KVQRQMELLHLVERNNPENLSQNRGISPLATSHRETESESRLHLIKKVEEPLKTPSVSKE RFQTSPAVKNRTQQTQSNVLHLPLKNNDYEKETLTLGDGHTKLSDEMSEPSHFIPYVRTN EIYYLDPDAPLSRPSTQDNQYQKSHDCAREQELFDSDHIRDPLLNPKLVKNRDRQQAILK GLSELRQGLLQKQKELETNLIPLTANQEDNFSSSF >ENSMUSP00000010807.4 pep:known chromosome:GRCm38:19:10182888:10196870:1 gene:ENSMUSG00000010663.4 transcript:ENSMUST00000010807.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fads1 description:fatty acid desaturase 1 [Source:MGI Symbol;Acc:MGI:1923517] MAPDPVPTPGPASAQLRQTRYFTWEEVAQRSGREKERWLVIDRKVYNISDFSRRHPGGSR VISHYAGQDATDPFVAFHINKGLVRKYMNSLLIGELAPEQPSFEPTKNKALTDEFRELRA TVERMGLMKANHLFFLVYLLHILLLDVAAWLTLWIFGTSLVPFILCAVLLSTVQAQAGWL QHDFGHLSVFGTSTWNHLLHHFVIGHLKGAPASWWNHMHFQHHAKPNCFRKDPDINMHPL FFALGKVLPVELGREKKKHMPYNHQHKYFFLIGPPALLPLYFQWYIFYFVVQRKKWVDLA WMLSFYARIFFTYMPLLGLKGFLGLFFIVRFLESNWFVWVTQMNHIPMHIDHDRNVDWVS TQLQATCNVHQSAFNNWFSGHLNFQIEHHLFPTMPRHNYHKVAPLVQSLCAKYGIKYESK PLLTAFADIVYSLKESGQLWLDAYLHQ >ENSMUSP00000075178.3 pep:known chromosome:GRCm38:13:34127008:34130354:-1 gene:ENSMUSG00000045136.5 transcript:ENSMUST00000075774.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubb2b description:tubulin, beta 2B class IIB [Source:MGI Symbol;Acc:MGI:1920960] MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGSYHGDSDLQLERINVYYNEATGNKYV PRAILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVV RKESESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVMPSPKVSDTVV EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCL RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDSKNMM AACDPRHGRYLTVAAIFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRG LKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS EYQQYQDATADEQGEFEEEEGEDEA >ENSMUSP00000104865.1 pep:known chromosome:GRCm38:8:109614517:109672592:1 gene:ENSMUSG00000048827.11 transcript:ENSMUST00000109242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd1l3 description:polycystic kidney disease 1 like 3 [Source:MGI Symbol;Acc:MGI:2664670] MLLQRRSWLWLYIRIGVILGDILGRKPSIREQHGGNSCYQLNRLFCDFQEADNYCHAQRG RLAHTWNPKLRGFLKSFLNEETVWWVRGNLTLPGSHPGINQTGGDDVLRNQKPGECPSVV THSNAVFSRWNLCIEKHHFICQAAAFPPQGASIWRNEFGPGPLLPMKRRGAETERHMIPG NGPPLAMCHQPAPPELFETLCFPIDPASSAPPKATHRMTITSLTGRPQVTSDTLASSSPP QGTSDTPASSSPPQVTSATSASSSPPQGTSDTPASSSPPQVTSATSASSSPPQGTSDTPA SSSPPQVTSATSASSSPPQGTSDTPASSSPPQVTSATSASSSPPQGTSDTPASSSPPQGT LDTPSSSSPPQGTSDTPASSSPPQGTSETPASNSPPQGTSETPGFSSPPQVTTATLVSSS PPQVTSETPASSSPTQVTSETPASSSPTQVTSDTPASNSPPQGTSDTPGFSSPTQVTTAT LVSSSPPQVTSDTPASSSPPQVTSDTPASSSPPQVTSETPASSSPPQVTSDTSASISPPQ VISDTPASSSPPQVTSETPASSSPTNMTSDTPASSSPTNMTSDTPASSSPTNMTSDTPAS SSPPWPVITEVTRPESTIPAGRSLANITSKAQEDSPLGVISTHPQMSFQSSTSQALDETA GERVPTIPDFQAHSEFQKACAILQRLRDFLPTSPTSAQKNNSWSSQTPAVSCPFQPLGRL TTTEKSSHQMAQQDMEQHPMDGAHNAFGISAGGSEIQSDIQLRSEFEVEDMLETSLMALG EIHRAFCQQSLCPQSAVTLASPSATLMLSSQNVSTLPLSTYTLGEPAPLTLGFPSAEALK ELLNKHPGVNLQVTGLAFNPFKTLDDKNIVGSIGNVQLSSAYQSIRVHDLIEDIEIMLWR NASMETQPTSLNTSTDHFTISVNITSLEKTLIVTIEPESPLLMTLHLGFQDQLAHTHFYL NISLPRDQVWQKDEEYTWVLTPENLWYGTGTYYIMAVENKSTEAAQHTPVLVSVVTAVTQ CYFWDRYNRTWKSDGCQVGPKSTILKTQCLCDHLTFFSSDFFIVPRTVDVENTIKLLLHV TNNPVGVSLLSSLLGFYILLAMWASRKDREDMQKVKVTVLADNDPSSASHYLIQVYTGYR RRAATTAKVVITLYGSEGHSEPHHLCDPEKTVFERGALDVFLLSTGSWLGDLHGLRLWHD NSGDSPSWYVSQVIVSDMTTRKKWHFQCNCWLAVDLGNCERDRVFTPASRSELSSFRHLF SSTIVEKFTQDYLWLSVATRHPWNQFTRVQRLSCCMALLLCDMVINIMFWKMGGTTAKRG TEQLGPLAVTLSELLVSIQTSIILFPIHLIFGRLFQLIHPPEALPQLPFIQAAWPPALVC ESPSLTQVVKELKETVGFLLRRNTQLLSECEPSSCSSCDINKLAKLLSGLIYCHLEDEGC HQQTESHWEDAVSENHYHFCRYLLQLLRRLKAHLEALGATQDHQSCDFSEAVSQLQNLQE LLETQTLRRGPGPCRHSTSFPILSPGEGKKPMSFCLFRWLKCSCWLLLGVISLASAFFIT LYSLELDKDQATSWVISMMLSVLQDIFISQPIKVIFLTLLFSLMANHMPWLNKDKEQHAR RIVALWAKCPWSAPGLRDKNNPIYTAPAMNNLAKPTRKAWKKQLSKLTGGTLVQILFLTL LMTTVYSAKDSSRFFLHRAIWKRFSHRFSEIKTVEDFYPWANGTLLPNLYGDYRGFITDG NSFLLGNVLIRQTRIPNDIFFPGSLHKQMKSPPQHQEDRENYGAGWVPPDTNITKVDSIW HYQNQESLGGYPIQGELATYSGGGYVVRLGRNHSAATRVLQHLEQRRWLDHCTKALFVEF TVFNANVNLLCAVTLILESSGVGTFLTSLQLDSLTSLQSSERGFAWIVSQVVYYLLVCYY AFIQGCRLKRQRLAFFTRKRNLLDTSIVLISFSILGLSMQSLSLLHKKMQQYHCDRDRFI SFYEALRVNSAVTHLRGFLLLFATVRVWDLLRHHAQLQVINKTLSKAWDEVLGFILIIVV LLSSYAMTFNLLFGWSISDYQSFFRSIVTVVGLLMGTSKHKEVIALYPILGSLLVLSSII LMGLVIINLFVSAILIAFGKERKACEKEATLTDMLLQKLSSLLGIRLHQNPSEEHADNTG SSNLRERSSKSMSSDAEVLAPADAVGSVSGTDGNSGSTKVL >ENSMUSP00000051512.2 pep:known chromosome:GRCm38:8:109614517:109670721:1 gene:ENSMUSG00000048827.11 transcript:ENSMUST00000057344.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd1l3 description:polycystic kidney disease 1 like 3 [Source:MGI Symbol;Acc:MGI:2664670] MLLQRRSWLWLYIRIGVILGDILGRKPSIREQHGGNSCYQLNRLFCDFQEADNYCHAQRG RLAHTWNPKLRGFLKSFLNEETVWWVRGNLTLPGSHPGINQTGGDDVLRNQKPGECPSVV THSNAVFSRWNLCIEKHHFICQAAAFPPQGASIWRNEFGPGPLLPMKRRGAETERHMIPG NGPPLAMCHQPAPPELFETLCFPIDPASSAPPKATHRMTITSLTGRPQVTSDTLASSSPP QGTSDTPASSSPPQVTSATSASSSPPQGTSDTPASSSPPQVTSATSASSSPPQGTSDTPA SSSPPQVTSATSASSSPPQGTSDTPASSSPPQVTSATSASSSPPQGTSDTPASSSPPQGT LDTPSSSSPPQGTSDTPASSSPPQGTSETPASNSPPQGTSETPGFSSPPQVTTATLVSSS PPQVTSETPASSSPTQVTSETPASSSPTQVTSDTPASNSPPQGTSDTPGFSSPTQVTTAT LVSSSPPQVTSDTPASSSPPQVTSDTPASSSPPQVTSETPASSSPPQVTSDTSASISPPQ VISDTPASSSPPQVTSETPASSSPTNMTSDTPASSSPTNMTSDTPASSSPTNMTSDTPAS SSPPWPVITEVTRPESTIPAGRSLANITSKAQEDSPLGVISTHPQMSFQSSTSQALDETA GERVPTIPDFQAHSEFQKACAILQRLRDFLPTSPTSAQVSVANLLIDLSEQLLVLPFQKN NSWSSQTPAVSCPFQPLGRLTTTEKSSHQMAQQDMEQVEDMLETSLMALGEIHRAFCQQS LCPQSAVTLASPSATLMLSSQNVSTLPLSTYTLGEPAPLTLGFPSAEALKELLNKHPGVN LQVTGLAFNPFKTLDDKNIVGSIGNVQLSSAYQSIRVHDLIEDIEIMLWRNASMETQPTS LNTSTDHFTISVNITSLEKTLIVTIEPESPLLMTLHLGFQDQLAHTHFYLNISLPRDQVW QKDEEYTWVLTPENLWYGTGTYYIMAVENKSTEAAQHTPVLVSVVTAVTQCYFWDRYNRT WKSDGCQVGPKSTILKTQCLCDHLTFFSSDFFIVPRTVDVENTIKLLLHVTNNPVGVSLL SSLLGFYILLAMWASRKDREDMQKVKVTVLADNDPSSASHYLIQVYTGYRRRAATTAKVV ITLYGSEGHSEPHHLCDPEKTVFERGALDVFLLSTGSWLGDLHGLRLWHDNSGDSPSWYV SQVIVSDMTTRKKWHFQCNCWLAVDLGNCERDRVFTPASRSELSSFRHLFSSTIVEKFTQ DYLWLSVATRHPWNQFTRVQRLSCCMALLLCDMVINIMFWKMGGTTAKRGTEQLGPLAVT LSELLVSIQTSIILFPIHLIFGRLFQLIHPPEALPQLPFIQAAWPPALVCESPSLTQVVK ELKETVGFLLRRNTQLLSECEPSSCSSCDINKLAKLLSGLIYCHLEDEGCHQQTESHWED AVSENHYHFCRYLLQLLRRLKAHLEALGATQDHQSCDFSEAVSQLQNLQELLETQTLRRG PGPCRHSTSFPILSPGEGKKPMSFCLFRWLKCSCWLLLGVISLASAFFITLYSLELDKDQ ATSWVISMMLSVLQDIFISQPIKVIFLTLLFSLMANHMPWLNKDKEQHARRIVALWAKCP WSAPGLRDKNNPIYTAPAMNNLAKPTRKAWKKQLSKLTGGTLVQILFLTLLMTTVYSAKD SSRFFLHRAIWKRFSHRFSEIKTVEDFYPWANGTLLPNLYGDYRGFITDGNSFLLGNVLI RQTRIPNDIFFPGSLHKQMKSPPQHQEDRENYGAGWVPPDTNITKVDSIWHYQNQESLGG YPIQGELATYSGGGYVVRLGRNHSAATRVLQHLEQRRWLDHCTKALFVEFTVFNANVNLL CAVTLILESSGVGTFLTSLQLDSLTSLQSSERGFAWIVSQVVYYLLVCYYAFIQGCRLKR QRLAFFTRKRNLLDTSIVLISFSILGLSMQSLSLLHKKMQQYHCDRDRFISFYEALRVNS AVTHLRGFLLLFATVRVWDLLRHHAQLQVINKTLSKAWDEVLGFILIIVVLLSSYAMTFN LLFGWSISDYQSFFRSIVTVVGLLMGTSKHKEVIALYPILGSLLVLSSIILMGLVIINLF VSAILIAFGKERKACEKEATLTDMLLQKLSSLLGIRLHQNPSEEHADNTGY >ENSMUSP00000128926.1 pep:known chromosome:GRCm38:7:16130300:16160509:1 gene:ENSMUSG00000030376.7 transcript:ENSMUST00000168693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc8a2 description:solute carrier family 8 (sodium/calcium exchanger), member 2 [Source:MGI Symbol;Acc:MGI:107996] MAPLALMGVVLLLGVPHCLGEATPTPSLPPPTANDSDASPEGCQGSYRCQPGVLLPVWEP EDPSLGDKVARAVVYFVAMVYMFLGVSIIADRFMASIEVITSKEKEITITKANGETSVGT VRIWNETVSNLTLMALGSSAPEILLTVIEVCGHNFQAGELGPGTIVGSAAFNMFVVIAVC VYVIPAGESRKIKHLRVFFVTASWSIFAYVWLYLILAVFSPGVVQVWEALLTLIFFPVCV VFAWMADKRLLFYKYVYKRYRTDPRSGIIIGAEGDPPKSIELDGTFVGTEVPGELGALGT GPAEARELDASRREVIQILKDLKQKHPDKDLEQLMGIAKYYALLHQQKSRAFYRIQATRL MTGAGNVLRRHAADAARRPGATDGAPDDEDDGASRIFFEPSLYHCLENCGSVLLSVACQG GEGNSTFYVDYRTEDGSAKAGSDYEYSEGTLVFKPGETQKDLRIGIIDDDIFEEDEHFFV RLLNLRVGDAQGMFEPDGGGRPKGRLVAPLLATVTILDDDHAGIFSFQDRLLHVSECMGT VDVRVVRSSGARGTVRLPYRTVDGTARGGGVHYEDACGELEFGDDETMKTLQVKIVDDEE YEKKDNFFIELGQPQWLKRGISALLLNQGNGDKKITAEQEEAQRIAEMGKPVLGENNRLE VIIEESYDFKNTVDKLIKKTNLALVIGTHSWREQFIEAVTVSAGDEEEDEDGPREERLPS CFDYVMHFLTVFWKVLFACVPPTEYCNGWACFGVCILVIGVLTALIGDLASHFGCTVGLK DSVNAVVFVALGTSIPDTFASKVAALQDQCADASIGNVTGSNAVNVFLGLGVAWSVAAVY WAVQGRPFEVRAGTLAFSVTLFTVFAFVCIAVLLYRRRPQIGGELGGPRGPKLATTALFL GLWFLYILFSSLEAYCHIRGF >ENSMUSP00000137393.1 pep:known scaffold:GRCm38:JH584294.1:4164:9702:-1 gene:ENSMUSG00000095623.1 transcript:ENSMUST00000179240.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il11ra2 description:interleukin 11 receptor, alpha chain 2 [Source:MGI Symbol;Acc:MGI:109123] MSSSCSGLTRVLVAVATALVSSSSPCPQAWGPPGVQYGQPGRPVMLCCPGVSAGTPVSWF RDGDSRLLQGPDSGLGHRLVLAQVDSPDEGTYVCQTLDGVSGGMVTLKLGFPPARPEVSC QAVDYENFSCTWSPGQVSGLPTRYLTSYRKKTLPGAESQRESPSTGPWPCPQDPLEASRC VVHGAEFWSEYRINVTEVNPLGASTCLLDVRLQSILRPDPPQGLRVESVPGYPRRLHASW TYPASWRRQPHFLLKFRLQYRPAQHPAWSTVEPIGLEEVITDTVAGLPHAVRVSARDFLD AGTWSAWSPEAWGTPSTGLLQDEIPDWSQGHGQQLEAVVAQEDSLAPARPSLQPDPRPLD HRDPLEQVAVLASLGIFSCLGLAVGALALGLWLRLRRSGKEGPQKPGLLAPMIPVEKLPG IPNLQRTPENFS >ENSMUSP00000025602.2 pep:known chromosome:GRCm38:19:16435667:16610818:1 gene:ENSMUSG00000024697.3 transcript:ENSMUST00000025602.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gna14 description:guanine nucleotide binding protein, alpha 14 [Source:MGI Symbol;Acc:MGI:95769] MAGCCCLSAEEKESQRISAEIERQLRRDKKDARRELKLLLLGTGESGKSTFIKQMRIIHG SGYSDEDRKGFTKLVYQNIFTAMQAMIRAMDTLRIQYMCEQNKENAQIIREVEVDKVTAL SRDQVAAIKQLWLDPGIQECYDRRREYQLSDSAKYYLTDIERIAMPSFVPTQQDVLRVRV PTTGIIEYPFDLENIIFRMVDVGGQRSERRKWIHCFESVTSIIFLVALSEYDQVLAECDN ENRMEESKALFRTIITYPWFLNSSVILFLNKKDLLEEKIMYSHLISYFPEYTGPKQDVKA ARDFILKLYQDQNPDKEKVIYSHFTCATDTENIRFVFAAVKDTILQLNLREFNLV >ENSMUSP00000033880.5 pep:known chromosome:GRCm38:8:27260327:27275656:1 gene:ENSMUSG00000031490.5 transcript:ENSMUST00000033880.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4ebp1 description:eukaryotic translation initiation factor 4E binding protein 1 [Source:MGI Symbol;Acc:MGI:103267] MSAGSSCSQTPSRAIPTRRVALGDGVQLPPGDYSTTPGGTLFSTTPGGTRIIYDRKFLME CRNSPVAKTPPKDLPAIPGVTSPTSDEPPMQASQSQLPSSPEDKRAGGEESQFEMDI >ENSMUSP00000081492.3 pep:known chromosome:GRCm38:7:140690884:140691918:1 gene:ENSMUSG00000066122.3 transcript:ENSMUST00000084454.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr45 description:olfactory receptor 45 [Source:MGI Symbol;Acc:MGI:1333826] MMLRLNQTEVTEFVLEGFSEHPDLRLFLIGCFLTLYIMALMGNILIIALVTSSTGLHNPM YFFLCNLATTDILCTSSVIPKALVGLVSEENTISFKECMSQLFFLAWSASSELLLLTVMA YDRYVAICCPLHYSSRMSPQMCGALAMGVWSISAVNASVHTGLMTRLSFCGPKVITHFFC EIPPLLLLSCSPTYVNTIMTLLGDSFFGGVNFVLTLLSYGCIIASILRMRSAEGKRKAFS TCSSHLIVVSVYYSSVFCAYVSPASSYSPERSKVTSVLYSIVSPTLNPLIYTLRNKDVKL ALGRILASFSH >ENSMUSP00000079508.3 pep:known chromosome:GRCm38:7:140704165:140705267:1 gene:ENSMUSG00000057997.3 transcript:ENSMUST00000080681.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr541 description:olfactory receptor 541 [Source:MGI Symbol;Acc:MGI:3030375] MMMLRLNQTEVTEFVLEGFSEHPDLRLFLIGCFLSLYMMALMGNIVIIALVTSSTGLHSP MYFFLCNLATMDIVCTSSVIPKALVGLVSGENTISFKGCMAQLFFLVWSASSELLLLTVM AYDRYVAICFPLHYSSRMSPQLCGALAVGVWSICALNASVHTGLMTRLSFCGPKIITHFF CEIPPLLLLSCSPTYINSVMTLVADAFYGGINFVLTLLSYGYIIGSILRMRSAEGKRKAF STCSSHLIVVSVYYSSVFCAYVSPASSYSPERSKVSSVLYSVLSPTLNPLIYTLRNKDVK LALGRILPSFSH >ENSMUSP00000080028.4 pep:known chromosome:GRCm38:18:7088233:7297901:-1 gene:ENSMUSG00000061802.5 transcript:ENSMUST00000081275.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc4 description:armadillo repeat containing 4 [Source:MGI Symbol;Acc:MGI:1922184] MGVALTRLAQWTAAGYETGTLEITPLNESILNEITKFVESFSYKYPQEAKFVFVEPLEWK TYLEPSAFELGYVVSATTAESEETGKDGQPLLFLSVPYIKVRSFGQLSQLLSIATDSKLQ EAQACIEANRDPVVKILGPDYNEMKEDPTRLTLLDTIIKDKETYMKRKVAILLKQLDLHL LNHSLKYISLEISLNPGTFKKDIELLKRFSGKGEQTVLESIEYTSDYEFSNGCRAPPWRQ IQGEICYVLVKPHDMETLCLTCSTEGVFLNGGKTEEEGEINYERKGEIYKDLVTCLKDKS PVFSENMSKHEIRFTEEQQKDNQIFEKPKTEDGHSSVAGSEKSKIEKISFGKSPMTKRLE PSLNWRVTVDYKDHKSSIKDSQEEKQGKLEKSSSVSVAPSRAQSHRKGGEKVEETVSESS SESEEDEEPPDHRQEANADLPSEYWQIQKLVKYLKGGNQTATVIALCSMRDFNLAQETCQ LAIRDVGGLEVLINLLDTDEVKCKIGSLKILKEISHNPQIRRNIVDLGGLPIMVNILDSP HKSLKCLSAETIANVAKFKRARRAVRQHGGITKLVALLDCGQNSTEPTQPSLYETRDVEV ARCGALALWSCSKSHSNKEAIRKAGGIPLLARLLKTSHENMLIPVVGTLQECASEENYRA AIKAERIIENLVKNLNSENEQLQEHCAMAIYQCAEDEETRDLVRLHGGLKPLASLLNNTD NKERLAAVTGAIWKCSISKENVIKFREYKAIETLVGLLTDQPEEVLVNVVGALGECCQEY ENRVLVRKCGGIQPLVNLLVGINQALLVNVTKAVGACAVEPESMAIIDRLDGVRLLWSLL KNPHPDVKASAAWALCPCIENAKDAGEMVRSFVGGLELVVNLLKSDNKEVLASVCAAITN IAKDQENLAVITDHGVVPLLSKLANTNNDKLRRHLAEAISRCCMWGRNRVAFGEHKAVAP LVRYLKSNDTNVHRATAQALYQLSEDADNCITMHENGAVKLLLDMVGSPDQDLQEAAAGC ISNIRRLALATEKARYN >ENSMUSP00000025827.8 pep:known chromosome:GRCm38:19:32485769:32515370:1 gene:ENSMUSG00000024896.8 transcript:ENSMUST00000025827.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Minpp1 description:multiple inositol polyphosphate histidine phosphatase 1 [Source:MGI Symbol;Acc:MGI:1336159] MLRGARSHLPASVAPAAVLAAALLSSFARCSLPGRGDPVASVLSPYFGTKTRYEDANPWL LVDPVAPRRDPELLAGTCTPVQLVALIRHGTRYPTTKQIRKLKQLQGLLQTRESRDGGSQ VAAALAEWPLWYGDWMDGQLVEKGRQDMRQLALRLAALFPDLFSRENYDRLRLITSSKHR CVDSSAAFLQGLWQHYHPGLPPPDVSDMECGPPRINDKLMRFFDHCEKFLTDVERNETAL YHVEAFKTGPEMQKVLKKVAATLQVPMNSLNADLIQVAFFTCSFDLAIKGVHSPWCDVFD VDDARVLEYLNDLKQYWKRSYGYTINSRSSCNLFQDIFLHLDKAVEQKQRSQPVSSPVIL QFGHAETLLPLLSLMGYFKDKEPLTAYNFEEQVNRKFRSGHIVPYASNLIFVLYHCDNAQ SPEEQFQIQLLLNEKVLPLAHSQRPVGLYEELKTHYRDILQSCQTSKECSPPKANITSDE L >ENSMUSP00000047541.6 pep:known chromosome:GRCm38:18:62504069:62548743:-1 gene:ENSMUSG00000042211.6 transcript:ENSMUST00000048688.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo38 description:F-box protein 38 [Source:MGI Symbol;Acc:MGI:2444639] MGPRKKSAKVCVMDSEVAEEMTADEEKDYMNQLSHEVLCHIFRYLPLQDIMCMECLSRKL KEAVTLYLRVVRVVDLCAGRWWEYMPSGFTDSSFLTLLKKMPDVEQLYGLHPRYLERRRV RGQEAFSIPGVLEALQACPNLVGVETSHLELVESIWTYMPHVHILGKFRNRNGAFPIPPE NKLKIPIGAKIQTLHLVGVNVPEIPCIPMLRHLYMKWVRLTKPQPFKDFLCISLRTFVMR NCAGPTNSLKYVPLVTGLASARNLEHLEMVRVPFLGGLIQHVVEDSWRSGGFRNLHTIVL GACKNALEVDLGYLIITAARRLHEVRIQPSLTKDGVFSALKMAELEFPQFETLHLGYVDE FLLQSRMANADLVKYGLADVVENPGIITDIGMKAVNEVFSCIKYLAIYNCPHLHNPYNWI SDHSRWMRLVDINLVRCHALKLDSFGQFVELLPSLEFISLDQMFREPPKGCARVGLSAGT GIGVSSALVSNQNSNNDNDNNAPNNNANLHDNNHHHPDDSDDDNDFRPDLQAGEAQFAAD ALNEMEDMVQEDGELVAESGNGMPAHNREVLPVDADEEQAGPSGLQRVVKPTPIADHDSE SDDEEDSLELQEVWAPKNGTRRYSEREEKTGDSGQSRETAVSGKGKTPLRKRCNNSHQTG QAKPFPLEESSCEKGCQVTSEQIKADMKAARDVSEKKKSKDVYPSCSSSSSSTAASTAGN ASSPSTASQSPDFARTVTSSGSSEPSPPEVDVSRQCVCSPGGSEDSEAMEEGDAESSVCP RCCCLRPQESQRRTGRCSDEERPSTSRACVVNGADGTRSAFSFRTLPQGGSSGPAHDERT NGSGCGATGEDRRGSSQPESCDVQSNEDYPRRPLTRARSRLSHVPLISESEVAKTKPCHA MKRKRTADKSTSTSDPVIEDDHVQVLVLKSKNLVGVTMTNCGITDLVLKDCPKMMFIHAT RCRVLKHLKVENAPIVNRFDYAQCKKLNMDQVLDQILRMPPERNRIIYLRPMQQVDTLTL EQKLFSGPYPYHICIIHEFSNPPNVRNKVRIRNWMDTIANINQELIKYEFFLEATRTEED LKKYPKYPWGREIYTLEGVVDGAPYSMISDFPWLRSLRTAEPNSFARYDFEDDEESTIYA PRRKGQLSADICMETIGEEISEMRQMKRGIFQRVVAIFIHYCDVNGEPVEDDYI >ENSMUSP00000078233.3 pep:known chromosome:GRCm38:17:21555046:21562066:1 gene:ENSMUSG00000051341.5 transcript:ENSMUST00000079242.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp52 description:zinc finger protein 52 [Source:MGI Symbol;Acc:MGI:99199] MANSPVNTSQGLLTFRDVAVDFSQEEWECLDSAQRALYIDVMVENYSNLVSVENYCICDT VHQPVRTEKESCPCNELNEMLHEPSNCALYNRSDTTEASNNYRCCNEKDASVDSSNADRH KSMHIGEEDTCQSKDCEKSFNLCSNIRQYQRLNTEKKEHKQDEHDDHFSSLYSLMQETVD MEEKPYQCGHCRKYFSTTSSLSIHQRIHTGEKPYKCNICGKSFNQWSSLKTDQTLHTAKK PYKCKEGGKLLVQLSALKSHQRRHTGEKPYKCQQCDRSFAHCASLRWHQKIHSPEIHYEC KECGKSCFELSHLKRHCRIHTAEKPYRYEVCDTSITVNSTLKTHHKIHTREKPYRYRECD KSITKCSYLRTYQRVHTGKRPYRCTECDKFFTKHSNLRQHQKIHTGEKPPKCKDCDKSFL RISSVRIRQKIHAGERRYRCKECGRSFTWFSNLRVHQRVHTGERPYRCKECGKSFTTGSN LRVHQKIHTGEKPYKCKDCDISFLWISNLRTHQKIHTGEKPYKCQECNKSFTRCSYLRDH QKIHTGEKLYKCKDCDISFMWISSLRRHQKIHTGEKPYKCRGCEKSFTQCSTLRDHQKIH TGEKPYKCKDCGISFLWISSLRRHQKIHTGEKPYKCKDCDISFLWISNLRTHQKIHTGEK PYKCQECNKSFTRCSYLRVHQRTHSGEKLYKCENCDVSFMWISNLRRHQKIHTGGKHSLV NNVT >ENSMUSP00000090344.6 pep:known chromosome:GRCm38:10:21295979:21311198:1 gene:ENSMUSG00000019977.15 transcript:ENSMUST00000092674.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbs1l description:Hbs1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1891704] MARHRNVRGYNYDEDFEDDDLYGQSVEDDYCISPSTAAQFIYSRRDNPEEEYGYEDLRES SNSLLNHQLSEIDQARLYSCLDHMREVLGDAVPDDILTEAILKHKFDVQKALSVVLEQDG VQPWKEKSERAVCAGQPSKGVLFSSFGVSPQNVHHSYLQSENHLDSSSKPFDCCSSIAEY GSHSSSLEPRHYLLHRKEKHDRPQSEKELESCKLTKELALAHLIHDTPRDSCARQLSARL PPSDSGQSELLKSLGADVVRPPASACAPEEDFTFKGIRDLESLVREDVVTSGSLGIRSSS LPDFQSTPVQNVSASLSNPLLLSSPVENNSSINTEVEQSAKNNIVKTNSLPFSQRESPSL AELFEEHRGSSSGQCFTLSDLCNQSPASLGSLPLSQLANRSQSANGVSELTGSLSSLAFC KAAPTRDLENLSLSDLIAKTIELDNSQINRDSFEFSLSETMRSPEVDSNIDLSVLIKTPE FVPKPVVDPSVAVTPDTKVLSSKLGKPSNSTKDSMKPKRASLARKAPLSLPWTKALAARP SAFASTLCLRYPLKSCKRRTLELYKTFLYSRQVQDVSDKEISPLAAITPFDFKSASPDDV VKANQKKAFTRE >ENSMUSP00000020153.8 pep:known chromosome:GRCm38:10:21295979:21368889:1 gene:ENSMUSG00000019977.15 transcript:ENSMUST00000020153.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hbs1l description:Hbs1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1891704] MARHRNVRGYNYDEDFEDDDLYGQSVEDDYCISPSTAAQFIYSRRDNPEEEYGYEDLRES SNSLLNHQLSEIDQARLYSCLDHMREVLGDAVPDDILTEAILKHKFDVQKALSVVLEQDG VQPWKEKSERAVCAGQPSKGKSVISRSSQSESEIVPKVAKMTVSGKKQTMGFEVPGLTSE ENGLSVRAPHKGPPGDDVSVASPNIPETGTPKSALPPPSLQTSEELGSTPTPVRKSGKLR QQIDVKAELEKRQGGKQLLNLVVIGHVDAGKSTLMGHMLYLLGNVNKRTMHKYEQESKKA GKASFAYAWVLDETGEERERGVTMDVGMTKFETTTKVITLMDAPGHKDFIPNMITGAAQA DVAVLVVDASRGEFEAGFETGGQTREHGLLVRSLGVTQLAVAVNKMDQVNWQQERFQEIT GKLGHFLKQAGFKESDVAFIPTSGLSGENLTARSQSSDLTTWYKGMCLLEQIDSFKPPQR SIDKPFRLCVSDVFKDQGSGFCVTGKIEAGYIQTGDRLLAMPPNETCTAKGITLHDEPVD WAAAGDHVNLTLVGMDIIKINVGCIFCGPKEPIKACTRFRARILVFNIEVPITKGFPVLL HYQTVSEPAVIKRLISVLNKSTGEVTKKKPKLLTKGQNALVELQTQRPVALELYKDFKEL GRFMLRYGGSTVAAGVVTEIKE >ENSMUSP00000020000.5 pep:known chromosome:GRCm38:10:18540123:18546076:-1 gene:ENSMUSG00000019853.5 transcript:ENSMUST00000020000.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hebp2 description:heme binding protein 2 [Source:MGI Symbol;Acc:MGI:1860084] MAEEPEPDLGVAEGSEDQALEMPSWKAPEDIDPQPGSYEIRHYGPAKWVSTCVESLDWDS AIQTGFTKLNGYIQGKNEKEMKIKLTAPVTSYVEPGSSPFSESTITISLYIPSEQQPDPP RPSESDVFIEDRAEMTVFVRSFDGFSSGQKNQEQLLTLANILREEGKVFNEKVFYTAGYS SPFQLLDRNNEVWLIQKNEPSVENK >ENSMUSP00000137993.1 pep:known chromosome:GRCm38:17:25951472:25963610:1 gene:ENSMUSG00000097405.1 transcript:ENSMUST00000181174.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D630044L22Rik description:RIKEN cDNA gene D630044L22 gene [Source:MGI Symbol;Acc:MGI:3709661] MASASVVGCASTGSTMTSLELYTAMCVTVKQTDSFSGHHKKQNDLHRAPWVDQELPFRGL QELGPRQAAYLDQLPDALATGWVRHAGETAPWVPESQEPVDHRTENQSCVPCANTLIHPQ PIGPLPGALNITNIQDRDAPMRNLCGQSNPWLMKWMDSRGDRPP >ENSMUSP00000063390.5 pep:known chromosome:GRCm38:18:60376029:60392627:1 gene:ENSMUSG00000054072.11 transcript:ENSMUST00000066912.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iigp1 description:interferon inducible GTPase 1 [Source:MGI Symbol;Acc:MGI:1926259] MGQLFSSPKSDENNDLPSSFTGYFKKFNTGRKIISQEILNLIELRMRKGNIQLTNSAISD ALKEIDSSVLNVAVTGETGSGKSSFINTLRGIGNEEEGAAKTGVVEVTMERHPYKHPNIP NVVFWDLPGIGSTNFPPNTYLEKMKFYEYDFFIIISATRFKKNDIDIAKAISMMKKEFYF VRTKVDSDITNEADGKPQTFDKEKVLQDIRLNCVNTFRENGIAEPPIFLLSNKNVCHYDF PVLMDKLISDLPIYKRHNFMVSLPNITDSVIEKKRQFLKQRIWLEGFAADLVNIIPSLTF LLDSDLETLKKSMKFYRTVFGVDETSLQRLARDWEIEVDQVEAMIKSPAVFKPTDEETIQ ERLSRYIQEFCLANGYLLPKNSFLKEIFYLKYYFLDMVTEDAKTLLKEICLRN >ENSMUSP00000032473.6 pep:known chromosome:GRCm38:18:60376029:60392627:1 gene:ENSMUSG00000054072.11 transcript:ENSMUST00000032473.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iigp1 description:interferon inducible GTPase 1 [Source:MGI Symbol;Acc:MGI:1926259] MGQLFSSPKSDENNDLPSSFTGYFKKFNTGRKIISQEILNLIELRMRKGNIQLTNSAISD ALKEIDSSVLNVAVTGETGSGKSSFINTLRGIGNEEEGAAKTGVVEVTMERHPYKHPNIP NVVFWDLPGIGSTNFPPNTYLEKMKFYEYDFFIIISATRFKKNDIDIAKAISMMKKEFYF VRTKVDSDITNEADGKPQTFDKEKVLQDIRLNCVNTFRENGIAEPPIFLLSNKNVCHYDF PVLMDKLISDLPIYKRHNFMVSLPNITDSVIEKKRQFLKQRIWLEGFAADLVNIIPSLTF LLDSDLETLKKSMKFYRTVFGVDETSLQRLARDWEIEVDQVEAMIKSPAVFKPTDEETIQ ERLSRYIQEFCLANGYLLPKNSFLKEIFYLKYYFLDMVTEDAKTLLKEICLRN >ENSMUSP00000110508.1 pep:known chromosome:GRCm38:16:36184212:36188111:1 gene:ENSMUSG00000079597.1 transcript:ENSMUST00000114858.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5483 description:predicted gene 5483 [Source:MGI Symbol;Acc:MGI:3645124] MMPGGLSRARSATPEIQEIADKVKSLLEEKTNEKYEVFKAVEYKSQVVAGQNYFIKMDVG GGCFLHIKVFKGISGENVLELHGYQTNKTRKDELSYF >ENSMUSP00000025270.6 pep:known chromosome:GRCm38:18:12128850:12157367:1 gene:ENSMUSG00000024404.6 transcript:ENSMUST00000025270.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Riok3 description:RIO kinase 3 [Source:MGI Symbol;Acc:MGI:1914128] MDLVGVSSPEPGPAAAWGPSKCPWATPQNTVSCSLTEVMSEELAKELQLEEEAAAFPEVV VAEGPFISGENIDTSSDLMLAQMLQMEFDREYDAQLRREEKKFNGDSKVSISFENYRKVH PFEDSDSSEDEVDWQDTRDDPYRPAKPIPTPKKGFIGKGKDITTKHDEVVCGRKNTARME NFAPGFQVGDGIGMDLKLSNHVFNALKQHAYSEERRSARLHEKKEHSTAEKAVDPKTRLL MYKMVNSGMLETITGCISTGKESVVFHAYGGSLEDEKEDGKAIPTECAIKVFKTTLNEFK NRDKYIKDDFRFKDRFSKLNPRKIIRMWAEKEMHNLTRMQKAGIPCPTVVLLKKHILVMS FIGHDQVPAPKLKEVKLSNEEMKDAYYQTLHLMQQLYNECTLVHADLSEYNMLWHAGKVW LIDVSQSVEPTHPHGLEFLFRDCRNVSQFFQKGGVTEALNERELFNAVSGLNISADNEAD FLAEIEALEKMNEDHIQKNGRKAASFLKDDGSPPVLSAD >ENSMUSP00000052816.3 pep:known chromosome:GRCm38:14:34053470:34075999:1 gene:ENSMUSG00000047441.3 transcript:ENSMUST00000058725.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Antxrl description:anthrax toxin receptor-like [Source:MGI Symbol;Acc:MGI:1925726] MMSHSPSMPCSALFLLLLLLLPPTFKGGSLRYHGPGWKLFHRLSKGFRSSHQRQTQQMRQ NVPQGQSGDDCQGIFDLYLVLDKSGSVADNWIHIYSFAEGLVKKFTNPNLRISIITYSTE AEVILPLTSDSKEINKSLLVLKNIVPQGLTHMQKGLRKANEQIRKSTLGGRIVNSVIIAL TDGLLLLKPYLDTMEEAKKARRMGAIVYTVGVFMYSKQQLVNIAGDPDRCFGVDEGFSAL EGVVDPLTSKSCTEILSVQPTYVCAKDFYQVNISGHGLNNTSNMKQVICRFKFSDSKVVD ESPSDMNEHSITCPGPKIKHTGEDVSLQVSLNNGISFIGNKLIITSTNCWSPRASQGIVF KRTWLMFLPVLLVTLLLLCCTWKLCIKPKKLPPPPPKPEKEPEEESPPPSSPPAPGRGPG PGPSAGPGPGPGPSPGSGPARSPVPARPPPAPLPANTNPTVIVACCGCGNRGMQGNLDTC CDYFHPSCHPMPLVWCHPKAQGGYPGFAVMKPSCSQASCRQKHCLCSNRDCFHLAEPPYP TRIVFQPNEKYFSITQSHYSPKIRFQTNQESLPVAQTLCSKMCSPPNQECYTFKSPQSPY QARYTKSPARMLPLLPPHTRQSLESLCHTYPFPPISKGPKF >ENSMUSP00000022813.6 pep:known chromosome:GRCm38:14:54916543:54926788:-1 gene:ENSMUSG00000022203.6 transcript:ENSMUST00000022813.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efs description:embryonal Fyn-associated substrate [Source:MGI Symbol;Acc:MGI:105311] MAIATSAQLARALYDNTAESPQELSFRRGDVLRVLQREGAGGLDGWCLCSLHGQQGIVPA NRVKLLPAGPAPKPSLCPASPTQPGSSCPTPERGCEEQEVYVIPPPARPCSASGLPARSC SPSSDSIYKVPRGNGMQLTASRDVAEVYDVPPNILRAPSSCPYDSPASFSCPVAPVVPQP PREDEAPYDVPLALKPPAELERDPEWEGGREPGPPLYAAPSNLKRASALLNLYEAPEELL ANGESRDADEGIYDVPLLGPEPPSPEPPVASSSTDLDTVAQLPTRSSPPQHRPRLPSTES LSRRPLPALPVSEAPAPSPAPSPAPGRKGSIQDRPLPPPPPCLPGYGGLKPEGDPECREV ANDPAGPHNEYEGIPMAEEYDYVHLKGVDTAQGSRPLDKAFPVDPELLERGLAERKEALS PEEPLVLSTGDLQLLHFYAGQCQSHYSALQAAVAALVASTQANQPPCLFVPHGKRVVVAA HRLVFVGDTLGRLAASAALRAQVGAAGTMLAQTLRATVLAVKGAALGYPSDTAVQEMARC VAELAGQALRFTTLLDGLLP >ENSMUSP00000017900.7 pep:known chromosome:GRCm38:13:73763697:73816743:1 gene:ENSMUSG00000017756.8 transcript:ENSMUST00000017900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc12a7 description:solute carrier family 12, member 7 [Source:MGI Symbol;Acc:MGI:1342283] MPTNFTVVPVEARADGAGDEAAERTEEPESPESVDQTSPTPGDGNPRENSPFINNVEVER ESYFEGKNMALFEEEMDSNPMVSSLLNKLANYTNLSQGVVEHEEDEDSRRREVKAPRMGT FIGVYLPCLQNILGVILFLRLTWIVGAAGVMESFLIVAMCCTCTMLTAISMSAIATNGVV PAGGSYYMISRSLGPEFGGAVGLCFYLGTTFAGAMYILGTIEIFLTYISPSAAIFQAETA DGEAAALLNNMRVYGSCALALMAVVVFVGVKYVNKLALVFLACVVLSILAIYAGVIKTAF APPDIPVCLLGNRTLANRNFDTCAKMQVVSNGTVTTALWRLFCNGSSLGATCDEYFAQNN VTEIQGIPGVASGVFLDNLWSTYSDKGAFVEKKGVSSVPVSEESRPGGLPYVLTDIMTYF TMLVGIYFPSVTGIMAGSNRSGDLKDAQKSIPTGTILAIVTTSFIYLSCIVLFGACIEGV VLRDKFGEALQGNLVIGMLAWPSPWVIVIGSFFSTCGAGLQSLTGAPRLLQAIARDGIIP FLQVFGHGKANGEPTWALLLTALICETGILIASLDSVAPILSMFFLMCYMFVNLACAVQT LLRTPNWRPRFKFYHWTLSFLGMSLCLALMFICSWYYALFAMLIAGCIYKYIEYRGAEKE WGDGIRGLSLNAARYALLRVEHGPPHTKNWRPQVLVMLNLDSEQCVKHPRLLSFTSQLKA GKGLTIVGSVLEGTYLDKHVEAQRAEENIRSLMSAEKTKGFCQLVVSSNLRDGASHLIQS AGLGGMKHNTVLMAWPEAWKEADNPFSWKNFVDTVRDTTAAHQALLVAKNIDLFPQNQER FSDGNIDVWWIVHDGGMLMLLPFLLRQHKVWRKCRMRIFTVAQVDDNSIQMKKDLQMFLY HLRISAEVEVVEMVENDISAFTYEKTLMMEQRSQMLKQMQLSKNEREREAQLIHDRNTAS HTTATARTQAPPTPDKVQMTWTKEKLIAEKHRNKDTGPSGFKDLFSLKPDQSNVRRMHTA VKLNGVVLNKSQDAQLVLLNMPGPPKSRQGDENYMEFLEVLTEGLNRVLLVRGGGREVIT IYS >ENSMUSP00000023805.1 pep:known chromosome:GRCm38:15:102176998:102189043:-1 gene:ENSMUSG00000023044.1 transcript:ENSMUST00000023805.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csad description:cysteine sulfinic acid decarboxylase [Source:MGI Symbol;Acc:MGI:2180098] MADSKPLRTLDGDPVAVEALLQDVFGIVVDEAILKGTSASEKVCEWKEPEELKQLLDLEL QSQGESREQILERCRTVIHYSVKTGHPRFFNQLFSGLDPHALAGRIITESLNTSQYTYEI APVFVLMEEEVLKKLRALVGWNSGDGVFCPGGSISNMYAMNLARFQRYPDCKQRGLRALP PLALFTSKECHYSITKGAAFLGLGTDSVRVVKADERGRMIPEDLERQIILAEAEGSVPFL VSATSGTTVLGAFDPLDAIADVCQRHGLWFHVDAAWGGSVLLSRTHRHLLDGIQRADSVA WNPHKLLAAGLQCSALLLRDTSNLLKRCHGSQASYLFQQDKFYDVALDTGDKVVQCGRRV DCLKLWLMWKAQGGQGLERRIDQAFALTRYLVEEIKKREGFELVMEPEFVNVCFWFVPPS LRGKKESPDYSQRLSQVAPVLKERMVKKGTMMIGYQPHGTRANFFRMVVANPILAQADID FLLGELELLGQDL >ENSMUSP00000094302.4 pep:known chromosome:GRCm38:18:62922327:62953195:1 gene:ENSMUSG00000071847.12 transcript:ENSMUST00000096554.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apcdd1 description:adenomatosis polyposis coli down-regulated 1 [Source:MGI Symbol;Acc:MGI:3513977] MSRVRRLLLGYLFPALLLHGLGEGSALLHPDSRSHPRSLEKSAWRAFKESQCHHMLKHLH NGARITVQMPPTIEGHWVSTGCEVRSGPEFMTRSYRFYNNNTFKAYQFYYGSNRCTNPTY TLIIRGKIRLRQASWIIRGGTEADYQLHGVQVICHTEAVAEQLSRLVNRTCPGFLAPGGP WVQDVAYDLWQEESNHECTKAVNFAMHELQLIRVEKQYPHHSLDHLVEELFLGDIHTDAT QRVFYRPSSYQPPLQNAKNHNHACIACRIIFRSDEHHPPILPPKADLTIGLHGEWVSQRC EVRPEVLFLTRHFIFHDNNNTWEGHYYHYSDPVCKHPTFTIYARGRYSRGVLSSKVMGGT EFVFKVNHMKVTPMDAATASLLNVFSGNECGAEGSWQVGIQQDVTHTNGCVALGIKLPHT EYEIFKMEQDTRGRYLLFNGQRPSDGSSPDRPEKRATSYQMPLVQCASSSPRAEELLEDS QGHLYGRAAGRTAGSLLLPAFVSLWTLPHWRILR >ENSMUSP00000125868.2 pep:known chromosome:GRCm38:18:62922329:62952464:1 gene:ENSMUSG00000071847.12 transcript:ENSMUST00000163716.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apcdd1 description:adenomatosis polyposis coli down-regulated 1 [Source:MGI Symbol;Acc:MGI:3513977] MSRVRRLLLGYLFPALLLHGLGEGSALLHPDSRSHPRSLEKSAWRAFKESQCHHMLKHLH NGARITVQMPPTIEGHWVSTGCEVRSGPEFMTRSYRFYNNNTFKAYQFYYGSNRCTNPTY TLIIRGKIRLRQASWIIRGGTEADYQLHGVQVICHTEAVAEQLSRLVNRTCPGFLAPGGP WVQDVAYDLWQEESNHECTKAVNFAMHELQLIRVEKQYPHHSLDHLVEELFLGDIHTDAT QRVFYRPSSYQPPLQNAKNHNHACIACRIIFRSDEHHPPILPPKADLTIGLHGEWVSQRC EVRPEVLFLTRHFIFHDNNNTWEGHYYHYSDPVCKHPTFTIYARGRYSRGVLSSKVMGGT EFVFKVNHMKVTPMDAATASLLNVFSGNECGAEGSWQVGIQQDVTHTNGCVALGIKLPHT EYEIFKMEQDTRGRYLLFNGQRPSDGSSPDRPEKRATSYQMPLVQCASSSPRAEELLEDS QGHLYGRAAGRTAGSLLLPAFVSLWTLPHWRILR >ENSMUSP00000098106.2 pep:known chromosome:GRCm38:15:75754432:75841915:-1 gene:ENSMUSG00000075600.2 transcript:ENSMUST00000100538.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3h3 description:zinc finger CCCH type containing 3 [Source:MGI Symbol;Acc:MGI:2663721] MEEKEQLRRQIRLLQGLIDDYKTLHGNGPALGNSSATRWQPPMFPGGRTFGARYSRPSRR GFSSHHGPSWRKKYSLVNQPVESSDPASDPAFQTSLRSEDSQHPEPQQYVLERQVQLSPD QNMVIKIKPPSKSGAINASGVQRGSLEGCDDPSWSGQRPQGSEVEVPGGQLQPARPGRTK VGYSVDDPLLVCQKEPGKPRVVKSVGRVSDSSPEHRRTVSENEVALRVHFPSVLPHHTAV ALGRKVGPHSTSYSEQFIGDQRANTGHSDQPASLGPVVASVRPATARQVREASLLVSCRT SKFRKNNYKWVAASEKSPRVARRALSPRTTLESGNKATLGTVGKTEKPQPKVDPEVRPEK LATPSKPGLSPSKYKWKASSPSASSSSSFRWQSEAGSKDHTSQLSPVPSRPTSGDRPAGG PSSLKPLFGESQLSAYKVKSRTKIIRRRGNTSIPGDKKNSPTTATTSKNHLTQRRRQALR GKNSPVLRKTPHKGLMQVNRHRLCCLPSSRTHLSTKEASSVHMGIPPSNKVIKTRYRIVK KTPSSSFGAPSFPSSLPSWRARRIPLSRSLVLNRLRPAITGGGKAPPGTPRWRNKGYRCI GGVLYKVSANKLSKTSSRPSDGNRTLLRTGRLDPATTCSRSLASRAIQRSLAIIRQAKQK KEKKREYCMYYNRFGRCNRGECCPYIHDPEKVAVCTRFVRGTCKKTDGSCPFSHHVSKEK MPVCSYFLKGICSNSNCPYSHVYVSRKAEVCSDFLKGYCPLGAKCKKKHTLLCPDFARRG ICPRGSQCQLLHRNQKRHGRRTAAPPIPGPSDGAPRSKASAGHVLRKPTTTQRSVRQMSS GLASGAEAPASPPPSPRVLASTSTLSSKATAASSPSPSPSTSSPAPSLEQEEAVSGTGSG TGSSGLCKLPSFISLHSSPSPGGQTETGPQAPRSPRTKDSGKPLHIKPRL >ENSMUSP00000087680.4 pep:known chromosome:GRCm38:14:31134853:31139121:1 gene:ENSMUSG00000071547.3 transcript:ENSMUST00000090212.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5dc2 description:5'-nucleotidase domain containing 2 [Source:MGI Symbol;Acc:MGI:1917271] MKIDAFHYVQLGTAYRGLKPVPDDEVIDLYGGTQHIPLYQMSGFYGKGPSIKQFMDIFSL PEMALLSCVVDYFLGHGLEFDQVHLYKDVTDAIRDVHVKGLMYQWIEQDMEKYILRGDET FAVLSRLVAHGKQLFLITNSPFSFVDKGMRHMVGPDWRQLFDVVIVQADKPNFFTDRRKP FRKLDEKGSLHWDRITRLEKGKIYRQGNLFDFLRLTEWRGPRVLYFGDHLYSDLADLMLR HGWRTGAIIPELEREIRIINTEQYMHSLTWQQALTGLLERMQTYQDAESRQVLATWMKER QELRCITKALFNAQFGSIFRTFHNPTYFSRRLVRFSDLYMASLSCLLNYRVDFTFYPRRT PLQHEAPLWMDQLCTGCMKTPFLGDMAHIR >ENSMUSP00000054201.8 pep:known chromosome:GRCm38:16:4939111:4941020:1 gene:ENSMUSG00000022516.9 transcript:ENSMUST00000050881.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt16l1 description:nudix (nucleoside diphosphate linked moiety X)-type motif 16-like 1 [Source:MGI Symbol;Acc:MGI:1914161] MSTTTVPELKQISREEAMRLGPGWSHSCHAMLYAANPGQLFGRIPMRFSVLMQMRFDGLL GFPGGFVDRRFWSLEDGLNRVLGLGLGGLRLTEADYLSSHLTEGPHRVVAHLYARQLTLE QLHAVEISAVHSRDHGLEVLGLVRVPLYTQKDRVGGFPNFLSNAFVSTAKYQLLFALKVL NMMPSEKLAEALASATEKQKKALEKLLPPSS >ENSMUSP00000097296.3 pep:known chromosome:GRCm38:16:55839953:55895279:-1 gene:ENSMUSG00000075033.3 transcript:ENSMUST00000099705.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxpe3 description:neurexophilin and PC-esterase domain family, member 3 [Source:MGI Symbol;Acc:MGI:2686598] MWTNFFKLRVFCYLFVVLMVVVLVVNVTQVEYLDHETLSETFIDSSGQYVSSQATGFSRN AYCGYEHQTLSSQERLEEDALLAASKWKVPDVDLVPFVRSTDPSSSYFVILNSATFFRVG SRLEVLVHVQDFRGKPKKYGGDYLQARIHSPKLQAGAVGRVVDYQNGFYKVFFTLLWPGR VRVSVSLVHPSEGIRVLQRLQEEKPDRVYFKSLFRSGRISETMKCNVCLPGSLPLCNFTD LSTGEPWFCFKPKKLPCSSRINHFKGGYTKGLLTAAESALFQSGVNIKMPINSSGPDWVT VISRRTKETDDLEVSQGSGIFPSGYFYKNQWRPRRFKMRHFNDPDNITECLQGKMVYLFG DSTIRQWFEYLTAFVPDLVEFNLGSPKNVGPFLAVDQKHNVLLSYRCHGPPIRFTSVFSN ELHYVANELNRLVGGKNTVVAIAVWSHFSTFPLEVYIRRLRNIRRAVVQLLDRSPKTVVV IRTGNAQELGPEVSLYNSDWYNFQLDTVLRRMFSGVGVYLVDAWEMTLAHHLPHKLHPDE VIVKNQLDMFLSYVCPLET >ENSMUSP00000095033.4 pep:known chromosome:GRCm38:17:8038510:8101228:-1 gene:ENSMUSG00000094083.1 transcript:ENSMUST00000097422.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1604a description:predicted gene 1604A [Source:MGI Symbol;Acc:MGI:3807545] MPIAQLLELWKKIEVEPMETETAEEELALDVEPTPEDTTEEGKGDTTSSAETQPASSSSA EPCSSTCSQPDQESLLSWTPLPRSSLACSCAPPWGNMDCGPHLEPPCPVLPEPLYLHN >ENSMUSP00000004480.3 pep:known chromosome:GRCm38:16:23889581:23890844:-1 gene:ENSMUSG00000004366.3 transcript:ENSMUST00000004480.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sst description:somatostatin [Source:MGI Symbol;Acc:MGI:98326] MLSCRLQCALAALCIVLALGGVTGAPSDPRLRQFLQKSLAAATGKQELAKYFLAELLSEP NQTENDALEPEDLPQAAEQDEMRLELQRSANSNPAMAPRERKAGCKNFFWKTFTSC >ENSMUSP00000003413.5 pep:known chromosome:GRCm38:17:32468462:32492479:1 gene:ENSMUSG00000061126.4 transcript:ENSMUST00000003413.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f39 description:cytochrome P450, family 4, subfamily f, polypeptide 39 [Source:MGI Symbol;Acc:MGI:2445210] MLPLTDYLLQLLGLEKTAFRVYVVSALLLLVLFFFFRLLVRAFKLFSDFRITCRKLSCFP EPPGRHWLLGHMSMYLPNEKGLQNEKKVLDTMHHIILAWVGPFLPLLVLVHPDYIKPVLG ASAAIAPKDEFFYSFLKPWLGDGLLISKGNKWSRHRRLLTPAFHFDILKPYMKIFNQCTN IMHAKWRRHLAEGSVTSFDMFEHISLMTLDSLQKCVFSYNSDCQERMSDYISSIIELSAL VVRRQYRLHHYLDFMYYLTADGRRFRQACDTVHNFTTEVIQERRQALRQQGAEAWLKAKQ GKTLDFIDVLLLAKDEEGKELSDEDIRAEADTFMFEGHDTTSSGLSWALFNLAKYPEYQE KCREEIQEVMKGRELEELDWDDLTQLPFTTMCIKESLRQFPPVTLISRRCTEDIKLPDGR VIPKGIICLVSIYGTHHNPIVWPDSKVYNPYRFDPDTPQQRSPLAFVPFSAGPRNCIGQS FAMAEMRVVVALTLLRFRLSVDRTHKVRRKPELILRTENGLWLNVEPLPSRA >ENSMUSP00000127094.1 pep:known chromosome:GRCm38:8:71560556:71566649:-1 gene:ENSMUSG00000034829.7 transcript:ENSMUST00000163659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxnl1 description:nucleoredoxin-like 1 [Source:MGI Symbol;Acc:MGI:1924446] MASLFSGRILIRNNSDQDEVETEAELSRRLENRLVLLFFGAGACPQCQAFAPVLKDFFVR LTDEFYVLRAAQLALVYVSQDPTEEQQDLFLRDMPEKWLFLPFHDELRRDLGRQFSVRQL PAVVVLKPGGDVLTSDATEEIQRLGPACFANWQEAAELLDRSFLQPEDLDEPARRSITEP LRRRKYRVDRDVGRERGRNGRDSGDPQGDAGTRAELW >ENSMUSP00000039294.6 pep:known chromosome:GRCm38:8:71560556:71566601:-1 gene:ENSMUSG00000034829.7 transcript:ENSMUST00000048243.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nxnl1 description:nucleoredoxin-like 1 [Source:MGI Symbol;Acc:MGI:1924446] MASLFSGRILIRNNSDQDEVETEAELSRRLENRLVLLFFGAGACPQCQAFAPVLKDFFVR LTDEFYVLRAAQLALVYVSQDPTEEQQDLFLRDMPEKWLFLPFHDELRRDLGRQFSVRQL PAVVVLKPGGDVLTSDATEEIQRLGPACFANWQEAAELLDRSFLQPEDLDEPARRSITEP LRRRKYRVDRDVGRERGRNGRDSGDPQGDAGTRAELW >ENSMUSP00000059637.7 pep:known chromosome:GRCm38:17:74426295:74459108:-1 gene:ENSMUSG00000039193.7 transcript:ENSMUST00000052124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrc4 description:NLR family, CARD domain containing 4 [Source:MGI Symbol;Acc:MGI:3036243] MNFIRNNRRALIQRMGLTVTKQICDDLFALNVLNNQEANVIYCEPLEQEAARKIIHMTMQ KGSAACNLFLKSLENWDYFVYQDLTGQNLSYQVTEEDLNVLAQNLKDLYNSPAFLNFYPL GEDIDIIFNLEKTFTEPIMWKKDHRHHRVEQLTLGSLLEALKSPCLIEGESGKGKSTLLQ RIAMLWASGGCRALKGFRLVFFIHLRSARGGLFETLYDQLLNIPDFISKPTFKALLLKLH KEVLFLLDGYNEFHPQNCPEIEALIKENHRFKNMVIVTTTTECLRHIRHVGALTAEVGDM TEDSAKDLIEAVLVPDQVERLWAQIQESRCLRNLMKTPLFVVITCAIQMGRQEFQAHTQT MLFQTFYDLLIQKNSHRYRGGASGDFARSLDYCGDLALEGVFAHKFDFEPEHGSSMNEDV LVTIGLLCKYTAQRLKPTYKFFHKSFQEYTAGRRLSSLLTSKEPEEVSKGNSYLNKMVSI SDITSLYGNLLLYTCGSSTEATRAVMRHLAMVYQHGSLQGLSVTKRPLWRQESIQSLRNT TEQDVLKAINVNSFVECGINLFSESMSKSDLSQEFEAFFQGKSLYINSENIPDYLFDFFE YLPNCASALDFVKLDFYERATESQDKAEENVPGVHTEGPSETYIPPRAVSLFFNWKQEFK TLEVTLRDINKLNKQDIKYLGKIFSSATNLRLHIKRCAAMAGRLSSVLRTCKNMHTLMVE ASPLTTDDEQYITSVTGLQNLSIHRLHTQQLPGGLIDSLGNLKNLERLILDDIRMNEEDA KNLAEGLRSLKKMRLLHLTHLSDIGEGMDYIVKSLSEESCDLQEMKLVACCLTANSVKVL AQNLHNLIKLSILDISENYLEKDGNEALQELIGRLGVLGELTTLMLPWCWDVHTSLPKLL KQLEGTPGLAKLGLKNWRLRDEEIKSLGEFLEMNPLRDLQQLDLAGHCVSSDGWLYFMNV FENLKQLVFFDFSTEEFLPDAALVRKLSQVLSKLTLLQEVKLTGWEFDDYDISAIKGTFK LVTA >ENSMUSP00000097011.3 pep:known chromosome:GRCm38:17:33289649:33303196:1 gene:ENSMUSG00000096910.1 transcript:ENSMUST00000099414.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp955b description:zinc finger protein 955B [Source:MGI Symbol;Acc:MGI:4834573] MHRKQKMGPVTFEDVAVNFSLGEWALLDSYQKKLYRDVMMETFMNLISIGKTEEESMDVN YPQLQRNLRIQVVEKFCDYEHSSQCGKTHQQMTEHIVNGESHPAVTVYESSVNVKDINGH SSSDVLLRGQNEEKPYRCQELVEKAIKREKCWKDVTYSESFHTLESVPATENSCENTQTY ESYRTLTPHQDSKRAHTGDELHECKQFEKTLKGESDIQIYERIHTGEKPLEYKQCGEAFV NSSHQTKHQRIHTREKTYSCRHCGETFLYSMARRNHEKTHKRDHTREKTYSCRHCGETFL YSMACHNHEKTHKREWSFICKYCGKACIHSYQLLQHERSHTREKRYTCAQCGKAFRRSSN LHKHERIHSERKLYACKHCGKAFISEGNCSNHERIHTAGKTYVCKQCGKGFTCSSYLQKH ERRHTQEKQYTCAQCGKAFRRSSNLHKHERIHSEKKLYACKHCGKAFSSAGNRYNHEQIH NAEKTYICKQCGKGFTLSSYLRKHKRIHTGEKPYTCKYCGKAFTHSGAHHKHEKIHTREK PYVCV >ENSMUSP00000038199.6 pep:known chromosome:GRCm38:18:34442352:34506823:-1 gene:ENSMUSG00000036501.6 transcript:ENSMUST00000040506.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam13b description:family with sequence similarity 13, member B [Source:MGI Symbol;Acc:MGI:2447834] MRKSSSPSLSNCNSDLASKIFGIPLDELQQGGHPDNEVPFIVRHVVDYIEEHGGLEQQGL FQVNGNAETVEWLRQRYDSGEEVDLVKEADVPSAISLLRFFLQELPEPVIPGSLHIHLLQ LSQDYNNEDEFGRKLRFLLQQLPPVNYSLLKFLCRFLANVASHHEEIWSANSLAAVFGPD VFHIYTDVEDMKEQEIVSRIMAGLLENYYEFFENEEEDFSSNDLSSITEQVNELSEEEEE DEKLEHIEELPEEGVEKSAGMPEVLQLRMTENLLDSDSVTASTRIDAAAATTTNASDGNI KCSKPVAGTTADNEVMQQDFVFEDQKNNESVGILLEPCSDHGDSEDGCPERKEYLLCDSD KLPHLILDSSSKIRDLNANTELEVTEGQSVGVQGEAACIQIAQLDLKNVSDGDKWEEPFP AFKSWQEDCESGEAQLSPQAARMTHHPLGEDCPPVLSHRSLDFGQSQRFLHDPEALDFSS KALSFTRIRRSSFSSKDEKREDRTPYQLVKKLQKKIRQFEEQFERERNSKPSYSDIAANP KVLKWMTELTKLRKQIKDAKHKNSDGEFAPQTRPRSNTLPKSFGSSLDHEDGESEGEPRV IQKEKTPSKEATLELITKRLKENRAERHLPEDIKKMTKDHLIEEKTSLQKSLLYYESQHG RPVTREERHIVKPLYDRYRLVKQMLTRASITPVLGSPSTKRRGQMLQPIIEGETAHFFEE IKEEEEDGVSLSSELGDILSTSVHTQSSLENLESDAEENQEKLARDLCLSSTRAASVPEL LEQLWKARAEKKKLRKMLREFEEAFYQQNGRNAQKEDRVPVLEEYKEYKRIKAKLRLLEV LISKQDSSKSI >ENSMUSP00000048153.7 pep:known chromosome:GRCm38:8:78505271:78508928:-1 gene:ENSMUSG00000037070.8 transcript:ENSMUST00000049245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbmxl1 description:RNA binding motif protein, X-linked like-1 [Source:MGI Symbol;Acc:MGI:1343045] MVEADRPGKLFIGGLNTETNEKALEAVFGKYGRIVEILLMKDRETNKSRGFAFVTFESPA DAKDAARDMNGKSLDGKAIKVEQATKPSFESGRRGPPPPPRSRGPPRGLRGGSGGTRGPP SRGGYMDDGGYSMNFNMSSSRGPLPVKRGPPPRSGGPPPKRSTPSGPVRSSSGMGGRTPV SRGRDSYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSRDYPSSRDTRDYAPPPRDYTY RDYSHSSSRDDYPSRGYGDRDGYGRDRDYSDHPSGGSYRDSYESYGNSRSAPPTRGPPPS YGGSSRYDDYSSSRDGYGGSRDSYSSSRSDLYSSGRDRVGRQERGLPPSMERGYPPPRDS YSSSSRGAPRGGGRGGSRSDRGGGRSRY >ENSMUSP00000020856.4 pep:known chromosome:GRCm38:12:36091846:36156825:-1 gene:ENSMUSG00000020547.4 transcript:ENSMUST00000020856.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bzw2 description:basic leucine zipper and W2 domains 2 [Source:MGI Symbol;Acc:MGI:1914162] MNKHQKPVLTGQRFKTRKRDEKEKFEPTVFRDTLVQGLNEAGDDLEAVAKFLDSTGSRLD YRRYADTLFDILVAGSMLAPGGTRIDDGDKTKMTNHCVFSANEDHETIRNYAQVFNKLIR RYKYLEKAFEDEMKKLLLFLKAFSEAEQTKLAMLSGILLGNGTLPATILTSLFTDSLVKE GIAASFAVKLFKAWMAEKDANSVTSSLRKANLDKRLLELFPVNRQSVDHFAKYFTDAGLK ELSDFLRVQQSLGTRKELQKELQERLSQECPIKEVVLYVKEEMKRNDLPETAVIGLLWTC IMNAVEWNKKEELVAEQALKHLKQYAPLLAVFSSQGQSELVLLQKVQEYCYDNIHFMKAF QKIVVLFYKADVLSEEAILKWYKEAHAAKGKSVFLDQMKKFVEWLQNAEEESESEGEES >ENSMUSP00000005601.7 pep:known chromosome:GRCm38:8:84030287:84042575:-1 gene:ENSMUSG00000005465.8 transcript:ENSMUST00000005601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il27ra description:interleukin 27 receptor, alpha [Source:MGI Symbol;Acc:MGI:1355318] MNRLRVARLTPLELLLSLMSLLLGTRPHGSPGPLQCYSVGPLGILNCSWEPLGDLETPPV LYHQSQKYHPNRVWEVKVPSKQSWVTIPREQFTMADKLLIWGTQKGRPLWSSVSVNLETQ MKPDTPQIFSQVDISEEATLEATVQWAPPVWPPQKVLICQFRYKECQAETWTRLEPQLKT DGLTPVEMQNLEPGTCYQVSGRCQVENGYPWGEWSSPLSFQTPFLDPEDVWVSGTVCETS GKRAALLVWKDPRPCVQVTYTVWFGAGDITTTQEEVPCCKSPVPAWMEWAVVSPGNSTSW VPPTNLSLVCLAPESAPCDVGVSSADGSPGIKVTWKQGTRKPLEYVVDWAQDGDSLDKLN WTRLPPGNLSTLLPGEFKGGVPYRITVTAVYSGGLAAAPSVWGFREELVPLAGPAVWRLP DDPPGTPVVAWGEVPRHQLRGQATHYTFCIQSRGLSTVCRNVSSQTQTATLPNLHLGSFK LWVTVSTVAGQGPPGPNLSLHLPDNRIRWKALPWFLSLWGLLLMGCGLSLASTRCLQARC LHWRHKLLPQWIWERVPDPANSNSGQPYIKEVSLPQPPKDGPILEVEEVELQPVVESPKA SAPIYSGYEKHFLPTPEELGLLV >ENSMUSP00000056669.6 pep:known chromosome:GRCm38:18:36725074:36726736:-1 gene:ENSMUSG00000051439.6 transcript:ENSMUST00000061829.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd14 description:CD14 antigen [Source:MGI Symbol;Acc:MGI:88318] MERVLGLLLLLLVHASPAPPEPCELDEESCSCNFSDPKPDWSSAFNCLGAADVELYGGGR SLEYLLKRVDTEADLGQFTDIIKSLSLKRLTVRAARIPSRILFGALRVLGISGLQELTLE NLEVTGTAPPPLLEATGPDLNILNLRNVSWATRDAWLAELQQWLKPGLKVLSIAQAHSLN FSCEQVRVFPALSTLDLSDNPELGERGLISALCPLKFPTLQVLALRNAGMETPSGVCSAL AAARVQLQGLDLSHNSLRDAAGAPSCDWPSQLNSLNLSFTGLKQVPKGLPAKLSVLDLSY NRLDRNPSPDELPQVGNLSLKGNPFLDSESHSEKFNSGVVTAGAPSSQAVALSGTLALLL GDRLFV >ENSMUSP00000097164.2 pep:known chromosome:GRCm38:19:22139119:22989882:1 gene:ENSMUSG00000052387.15 transcript:ENSMUST00000099569.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm3 description:transient receptor potential cation channel, subfamily M, member 3 [Source:MGI Symbol;Acc:MGI:2443101] MGKKWRDAGELERGCSDREDSAESRRRSRSASRGRFAESWKRLSSKQGSTKRSGLPAQQT PAQKSWIERAFYKRECVHIIPSTKDPHRCCCGRLIGQHVGLTPSISVLQNEKNESRLSRN DIQSEKWSISKHTQLSPTDAFGTIEFQGGGHSNKAMYVRVSFDTKPDLLLHLMTKEWQLE LPKLLISVHGGLQNFELQPKLKQVFGKGLIKAAMTTGAWIFTGGVNTGVIRHVGDALKDH ASKSRGKICTIGIAPWGIVENQEDLIGRDVVRPYQTMSNPMSKLTVLNSMHSHFILADNG TTGKYGAEVKLRRQLEKHISLQKINTRIGQGVPVVALIVEGGPNVISIVLEYLRDTPPVP VVVCDGSGRASDILAFGHKYSEEGGLINESLRDQLLVTIQKTFTYTRTQAQHLFIILMEC MKKKELITVFRMGSEGHQDIDLAILTALLKGANASAPDQLSLALAWNRVDIARSQIFIYG QQWPVGSLEQAMLDALVLDRVDFVKLLIENGVSMHRFLTISRLEELYNTRHGPSNTLYHL VRDVKKGNLPPDYRISLIDIGLVIEYLMGGAYRCNYTRKRFRTLYHNLFGPKRPKALKLL GMEDDIPLRRGRKTTKKREEEVDIDLDDPEINHFPFPFHELMVWAVLMKRQKMALFFWQH GEEAMAKALVACKLCKAMAHEASENDMVDDISQELNHNSRDFGQLAVELLDQSYKQDEQL AMKLLTYELKNWSNATCLQLAVAAKHRDFIAHTCSQMLLTDMWMGRLRMRKNSGLKVILG ILLPPSILSLEFKNKDDMPYMTQAQEIHLQEKEPEEPEKPTKEKDEEDMELTAMLGRSNG ESSRKKDEEEVQSRHRLIPVGRKIYEFYNAPIVKFWFYTLAYIGYLMLFNYIVLVKMERW PSTQEWIVISYIFTLGIEKMREILMSEPGKLLQKVKVWLQEYWNVTDLIAILLFSVGMIL RLQDQPFRSDGRVIYCVNIIYWYIRLLDIFGVNKYLGPYVMMIGKMMIDMMYFVIIMLVV LMSFGVARQAILFPNEEPSWKLAKNIFYMPYWMIYGEVFADQIDRKQVYDSHTPKSAPCG QNETREDGKTIQLPPCKTGAWIVPAIMACYLLVANILLVNLLIAVFNNTFFEVKSISNQV WKFQRYQLIMTFHERPVLPPPLIIFSHMTMIFQHVCCRWRKHESDQDERDYGLKLFITDD ELKKVHDFEEQCIEEYFREKDDRFNSSNDERIRVTSERVENMSMRLEEVNEREHSMKASL QTVDIRLAQLEDLIGRMATALERLTGLERAESNKIRSRTSSDCTDAAYIVRQSSFNSQEG NTFKLQESIDPAGEETISPTSPTLMPRMRSHSFYSVNVKDKGGIEKLESIFKERSLSLHR ATSSHSVAKEPKAPAAPANTLAIVPDSRRPSSCIDIYVSAMDELHCDIEPLDNSMNILGL GEPSFSALAPSTTPSSSAYATLAPTDRPPSRSIDFEDLTSMDTRSFSSDYTHLPECQNPW DTDPPTYHTIERSKSSRYLATTPFLLEEAPIVKSHSFMFSPSRSYYANFGVPVKTAEYTS ITDCIDTRCVNAPQAIADRATFPGGLGDKVEDLSCCHPEREAELSHPSSDSEENEARGQR AANPISSQEAENADRTLSNNITVPKIERANSYSAEEPNVPYAHTRKSFSISDKLDRQRNT ASLRNPFQRSKSSKPEGRGDSLSMRRLSRTSAFHSFESKHN >ENSMUSP00000084857.4 pep:known chromosome:GRCm38:19:22139119:22989882:1 gene:ENSMUSG00000052387.15 transcript:ENSMUST00000087576.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm3 description:transient receptor potential cation channel, subfamily M, member 3 [Source:MGI Symbol;Acc:MGI:2443101] MGKKWRDAGELERGCSDREDSAESRRRSRSASRGRFAESWKRLSSKQGSTKRSGLPAQQT PAQKSWIERAFYKRECVHIIPSTKDPHRCCCGRLIGQHVGLTPSISVLQNEKNESRLSRN DIQSEKWSISKHTQLSPTDAFGTIEFQGGGHSNKAMYVRVSFDTKPDLLLHLMTKEWQLE LPKLLISVHGGLQNFELQPKLKQVFGKGLIKAAMTTGAWIFTGGVNTGVIRHVGDALKDH ASKSRGKICTIGIAPWGIVENQEDLIGRDVVRPYQTMSNPMSKLTVLNSMHSHFILADNG TTGKYGAEVKLRRQLEKHISLQKINTRIGQGVPVVALIVEGGPNVISIVLEYLRDTPPVP VVVCDGSGRASDILAFGHKYSEEGGLINESLRDQLLVTIQKTFTYTRTQAQHLFIILMEC MKKKELITVFRMGSEGHQDIDLAILTALLKGANASAPDQLSLALAWNRVDIARSQIFIYG QQWPVGSLEQAMLDALVLDRVDFVKLLIENGVSMHRFLTISRLEELYNTRHGPSNTLYHL VRDVKKREYPGFGWIYFKGNLPPDYRISLIDIGLVIEYLMGGAYRCNYTRKRFRTLYHNL FGPKRPKALKLLGMEDDIPLRRGRKTTKKREEEVDIDLDDPEINHFPFPFHELMVWAVLM KRQKMALFFWQHGEEAMAKALVACKLCKAMAHEASENDMVDDISQELNHNSRDFGQLAVE LLDQSYKQDEQLAMKLLTYELKNWSNATCLQLAVAAKHRDFIAHTCSQMLLTDMWMGRLR MRKNSGLKVILGILLPPSILSLEFKNKDDMPYMTQAQEIHLQEKEPEEPEKPTKEKDEED MELTAMLGRSNGESSRKKDEEEVQSRHRLIPVGRKIYEFYNAPIVKFWFYTLAYIGYLML FNYIVLVKMERWPSTQEWIVISYIFTLGIEKMREILMSEPGKLLQKVKVWLQEYWNVTDL IAILLFSVGMILRLQDQPFRSDGRVIYCVNIIYWYIRLLDIFGVNKYLGPYVMMIGKMMI DMMYFVIIMLVVLMSFGVARQAILFPNEEPSWKLAKNIFYMPYWMIYGEVFADQIDPPCG QNETREDGKTIQLPPCKTGAWIVPAIMACYLLVANILLVNLLIAVFNNTFFEVKSISNQV WKFQRYQLIMTFHERPVLPPPLIIFSHMTMIFQHVCCRWRKHESDQDERDYGLKLFITDD ELKKVHDFEEQCIEEYFREKDDRFNSSNDERIRVTSERVENMSMRLEEVNEREHSMKASL QTVDIRLAQLEDLIGRMATALERLTGLERAESNKIRSRTSSDCTDAAYIVRQSSFNSQEG NTFKLQESIDPAGEETISPTSPTLMPRMRSHSFYSVNVKDKGGIEKLESIFKERSLSLHR ATSSHSVAKEPKAPAAPANTLAIVPDSRRPSSCIDIYVSAMDELHCDIEPLDNSMNILGL GEPSFSALAPSTTPSSSAYATLAPTDRPPSRSIDFEDLTSMDTRSFSSDYTHLPECQNPW DTDPPTYHTIERSKSSRYLATTPFLLEEAPIVKSHSFMFSPSRSYYANFGVPVKTAEYTS ITDCIDTRCVNAPQAIADRATFPGGLGDKVEDLSCCHPEREAELSHPSSDSEENEARGQR AANPISSQEAENADRTLSNNITVPKIERANSYSAEEPNVPYAHTRKSFSISDKLDRQRNT ASLRNPFQRSKSSKPEGRGDSLSMRRLSRTSAFHSFESKHN >ENSMUSP00000074328.5 pep:known chromosome:GRCm38:19:22139119:22989882:1 gene:ENSMUSG00000052387.15 transcript:ENSMUST00000074770.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm3 description:transient receptor potential cation channel, subfamily M, member 3 [Source:MGI Symbol;Acc:MGI:2443101] MGKKWRDAGELERGCSDREDSAESRRRSRSASRGRFAESWKRLSSKQGSTKRSGLPAQQT PAQKSWIERAFYKRECVHIIPSTKDPHRCCCGRLIGQHVGLTPSISVLQNEKNESRLSRN DIQSEKWSISKHTQLSPTDAFGTIEFQGGGHSNKAMYVRVSFDTKPDLLLHLMTKEWQLE LPKLLISVHGGLQNFELQPKLKQVFGKGLIKAAMTTGAWIFTGGVNTGVIRHVGDALKDH ASKSRGKICTIGIAPWGIVENQEDLIGRDVVRPYQTMSNPMSKLTVLNSMHSHFILADNG TTGKYGAEVKLRRQLEKHISLQKINTRIGQGVPVVALIVEGGPNVISIVLEYLRDTPPVP VVVCDGSGRASDILAFGHKYSEEGGLINESLRDQLLVTIQKTFTYTRTQAQHLFIILMEC MKKKELITVFRMGSEGHQDIDLAILTALLKGANASAPDQLSLALAWNRVDIARSQIFIYG QQWPVGSLEQAMLDALVLDRVDFVKLLIENGVSMHRFLTISRLEELYNTRHGPSNTLYHL VRDVKKREYPGFGWIYFKGNLPPDYRISLIDIGLVIEYLMGGAYRCNYTRKRFRTLYHNL FGPKRDDIPLRRGRKTTKKREEEVDIDLDDPEINHFPFPFHELMVWAVLMKRQKMALFFW QHGEEAMAKALVACKLCKAMAHEASENDMVDDISQELNHNSRDFGQLAVELLDQSYKQDE QLAMKLLTYELKNWSNATCLQLAVAAKHRDFIAHTCSQMLLTDMWMGRLRMRKNSGLKVI LGILLPPSILSLEFKNKDDMPYMTQAQEIHLQEKEPEEPEKPTKEKDEEDMELTAMLGRS NGESSRKKDEEEVQSRHRLIPVGRKIYEFYNAPIVKFWFYTLAYIGYLMLFNYIVLVKME RWPSTQEWIVISYIFTLGIEKMREILMSEPGKLLQKVKVWLQEYWNVTDLIAILLFSVGM ILRLQDQPFRSDGRVIYCVNIIYWYIRLLDIFGVNKYLGPYVMMIGKMMIDMMYFVIIML VVLMSFGVARQAILFPNEEPSWKLAKNIFYMPYWMIYGEVFADQIDPPCGQNETREDGKT IQLPPCKTGAWIVPAIMACYLLVANILLVNLLIAVFNNTFFEVKSISNQVWKFQRYQLIM TFHERPVLPPPLIIFSHMTMIFQHVCCRWRKHESDQDERDYGLKLFITDDELKKVHDFEE QCIEEYFREKDDRFNSSNDERIRVTSERVENMSMRLEEVNEREHSMKASLQTVDIRLAQL EDLIGRMATALERLTGLERAESNKIRSRTSSDCTDAAYIVRQSSFNSQEGNTFKLQESID PAGEETISPTSPTLMPRMRSHSFYSVNVKDKGGIEKLESIFKERSLSLHRATSSHSVAKE PKAPAAPANTLAIVPDSRRPSSCIDIYVSAMDELHCDIEPLDNSMNILGLGEPSFSALAP STTPSSSAYATLAPTDRPPSRSIDFEDLTSMDTRSFSSDYTHLPECQNPWDTDPPTYHTI ERSKSSRYLATTPFLLEEAPIVKSHSFMFSPSRSYYANFGVPVKTAEYTSITDCIDTRCV NAPQAIADRATFPGGLGDKVEDLSCCHPEREAELSHPSSDSEENEARGQRAANPISSQEA ENADRTLSNNITVPKIERANSYSAEEPNVPYAHTRKSFSISDKLDRQRNTASLRNPFQRS KSSKPEGRGDSLSMRRLSRTSAFHSFESKHN >ENSMUSP00000042184.6 pep:known chromosome:GRCm38:19:22448283:22989884:1 gene:ENSMUSG00000052387.15 transcript:ENSMUST00000037901.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm3 description:transient receptor potential cation channel, subfamily M, member 3 [Source:MGI Symbol;Acc:MGI:2443101] MPGPWGTVYFLGTAQICSFLSSRWNLEGVMNQTDASRPLNWTIRKLCHAAFLPSVRLLKA QKSWIERAFYKRECVHIIPSTKDPHRCCCGRLIGQHVGLTPSISVLQNEKNESRLSRNDI QSEKWSISKHTQLSPTDAFGTIEFQGGGHSNKAMYVRVSFDTKPDLLLHLMTKEWQLELP KLLISVHGGLQNFELQPKLKQVFGKGLIKAAMTTGAWIFTGGVNTGVIRHVGDALKDHAS KSRGKICTIGIAPWGIVENQEDLIGRDVVRPYQTMSNPMSKLTVLNSMHSHFILADNGTT GKYGAEVKLRRQLEKHISLQKINTRIGQGVPVVALIVEGGPNVISIVLEYLRDTPPVPVV VCDGSGRASDILAFGHKYSEEGGLINESLRDQLLVTIQKTFTYTRTQAQHLFIILMECMK KKELITVFRMGSEGHQDIDLAILTALLKGANASAPDQLSLALAWNRVDIARSQIFIYGQQ WPVGSLEQAMLDALVLDRVDFVKLLIENGVSMHRFLTISRLEELYNTRHGPSNTLYHLVR DVKKREYPGFGWIYFKGNLPPDYRISLIDIGLVIEYLMGGAYRCNYTRKRFRTLYHNLFG PKRPKALKLLGMEDDIPLRRGRKTTKKREEEVDIDLDDPEINHFPFPFHELMVWAVLMKR QKMALFFWQHGEEAMAKALVACKLCKAMAHEASENDMVDDISQELNHNSRDFGQLAVELL DQSYKQDEQLAMKLLTYELKNWSNATCLQLAVAAKHRDFIAHTCSQMLLTDMWMGRLRMR KNSGLKVILGILLPPSILSLEFKNKDDMPYMTQAQEIHLQEKEPEEPEKPTKEKDEEDME LTAMLGRSNGESSRKKDEEEVQSRHRLIPVGRKIYEFYNAPIVKFWFYTLAYIGYLMLFN YIVLVKMERWPSTQEWIVISYIFTLGIEKMREILMSEPGKLLQKVKVWLQEYWNVTDLIA ILLFSVGMILRLQDQPFRSDGRVIYCVNIIYWYIRLLDIFGVNKYLGPYVMMIGKMMIDM MYFVIIMLVVLMSFGVARQAILFPNEEPSWKLAKNIFYMPYWMIYGEVFADQIDPPCGQN ETREDGKTIQLPPCKTGAWIVPAIMACYLLVANILLVNLLIAVFNNTFFEVKSISNQVWK FQRYQLIMTFHERPVLPPPLIIFSHMTMIFQHVCCRWRKHESDQDERDYGLKLFITDDEL KKVHDFEEQCIEEYFREKDDRFNSSNDERIRVTSERVENMSMRLEEVNEREHSMKASLQT VDIRLAQLEDLIGRMATALERLTGLERAESNKIRSRTSSDCTDAAYIVRQSSFNSQEGNT FKLQESIDPAEHPFYSV >ENSMUSP00000097160.2 pep:known chromosome:GRCm38:19:22692619:22926489:1 gene:ENSMUSG00000052387.15 transcript:ENSMUST00000099564.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm3 description:transient receptor potential cation channel, subfamily M, member 3 [Source:MGI Symbol;Acc:MGI:2443101] MYVRVSFDTKPDLLLHLMTKEWQLELPKLLISVHGGLQNFELQPKLKQVFGKGLIKAAMT TGAWIFTGGVNTGVIRHVGDALKDHASKSRGKICTIGIAPWGIVENQEDLIGRDVVRPYQ TMSNPMSKLTVLNSMHSHFILADNGTTGKYGAEVKLRRQLEKHISLQKINTRCLPFFSLD SRLFYSFWGSCQLDPIGIGQGVPVVALIVEGGPNVISIVLEYLRDTPPVPVVVCDGSGRA SDILAFGHKYSEEGGLINESLRDQLLVTIQKTFTYTRTQAQHLFIILMECMKKKELITVF RMGSEGHQDIDLAILTALLKGANASAPDQLSLALAWNRVDIARSQIFIYGQQWPVGSLEQ AMLDALVLDRVDFVKLLIENGRHGPSNTLYHLVRDVKKGNLPPDYRISLIDIGLVIEYLM GGAYRCNYTRKRFRTLYHNLFGPKRDDIPLRRGRKTTKKREEEVDIDLDDPEINHFPFPF HELMVWAVLMKRQKMALFFWQHGEEAMAKALVACKLCKAMAHEASENDMVDDISQELNHN SRDFGQLAVELLDQSYKQDEQLAMKLLTYELKNWSNATCLQLAVAAKHRDFIAHTCSQML LTDMWMGRLRMRKNSGLKVILGILLPPSILSLEFKNKDDMPYMTQAQEIHLQEKEPEEPE KPTKEKDEEDMELTAMLGRSNGESSRKKDEEEVQSRHRLIPVGRKIYEFYNAPIVKFWFY TLAYIGYLMLFNYIVLVKMERWPSTQEWIVISYIFTLGIEKMREILMSEPGKLLQKVKVW LQEYWNVTDLIAILLFSVGMILRLQDQPFRSDGRVIYCVNIIYWYIRLLDIFGVNKYLGP YVMMIGKMMIDMMYFVIIMLVVLMSFGVARQAILFPNEEPSWKLAKNIFYMPYWMIYGEV FADQIDRKQVYDSHTPKSGILTSIESRLFQVHLFVLNKWLKIEDRFLVCYLGGDNHCR >ENSMUSP00000097162.3 pep:known chromosome:GRCm38:19:22692619:22772372:1 gene:ENSMUSG00000052387.15 transcript:ENSMUST00000099566.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm3 description:transient receptor potential cation channel, subfamily M, member 3 [Source:MGI Symbol;Acc:MGI:2443101] MYVRVSFDTKPDLLLHLMTKEWQLELPKLLISVHGGLQNFELQPKLKQVFGKGLIKAAMT TGAWIFTGGVNTGVIRHVGDALKDHASKSRGKICTIGIAPWGIVENQEDLIGRDVVRPYQ TMSNPMSKLTVLNSMHSHFILADNGTTGKYGAEVKLRRQLEKHISLQKINTRIGQGVPVV ALIVEGGPNVISIVLEYLRDTPPVPVVVCDGSGRASDILAFGHKYSEEGGIYFL >ENSMUSP00000053178.7 pep:known chromosome:GRCm38:10:22817279:22820128:-1 gene:ENSMUSG00000045680.7 transcript:ENSMUST00000049930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf21 description:transcription factor 21 [Source:MGI Symbol;Acc:MGI:1202715] MSTGSLSDVEDLQEVEMLDCDSLKVDSNKEFGTSNESTEEGSNCENGSPQKGRGGLGKRR KAPTKKSPLSGVSQEGKQVQRNAANARERARMRVLSKAFSRLKTTLPWVPPDTKLSKLDT LRLASSYIAHLRQILANDKYENGYIHPVNLTWPFMVAGKPENDLKEVVTANRLCGTTAS >ENSMUSP00000096898.4 pep:known chromosome:GRCm38:13:96388294:96415587:1 gene:ENSMUSG00000021671.8 transcript:ENSMUST00000099295.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poc5 description:POC5 centriolar protein homolog (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1914713] MSSDEDKCSLHVAHNDSDRSVSTDLQEEYEELLRYAIVNPNVECSASQPSHLRGEVAPDR FPTLAGNNPVRDTELEVGKGSDLNISSHSKSGSPRKPSHPVMDFFSSHVLGDSSSPASLS TRTDAHEINGGEHLVSDENFQKLENILDIWSSGLKTNVLSELSKWRLNFIDWHRMEMKKE KEKHAVVVKQLSNQISDLKELQKAFEISIGRKDEVISNLSRAIGKQKERIELMKSFFRWR IGHVKSRQEIYEGKLADQYFQRTLLKKVWKGWRSVVQRQWKDVVERACQARAEEVCVQIS NDYEARLAMLSGALENAKAEIQKMQQEKDHFEDSMKKAFMRGVCALNLEAMTIFQNKNEA GIDFTNNKKEESGPGGPGREPSAHLDTSSSTMPSAVPSQLLPSGPLAAGVASATAFPSAA SLTSAGATSASSLHVPISILSAGSAAAPAPEESYAPRVVTAAQQKAGKTITARITGRSDF GSKARINSSLAIMGVSPPMGSVVVEKHHPVTVQTIPQATAAKYPRTIHPESSISASRSLG ARPGHAQSLSVQSIKVVD >ENSMUSP00000135980.1 pep:known chromosome:GRCm38:17:39406359:39410992:1 gene:ENSMUSG00000094024.1 transcript:ENSMUST00000179848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp18 description:exocrine gland secreted peptide 18 [Source:MGI Symbol;Acc:MGI:5295686] MASFPLMFFLIILVYQTILPEGRAVKWTEKEPEISADLKDDFKIPLCQTIRNNIDVCWLS IGSDKDKPLFLGFIINFEQRWINVLPRIKFN >ENSMUSP00000126688.1 pep:known chromosome:GRCm38:18:60803856:60812646:1 gene:ENSMUSG00000024610.14 transcript:ENSMUST00000167610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd74 description:CD74 antigen (invariant polypeptide of major histocompatibility complex, class II antigen-associated) [Source:MGI Symbol;Acc:MGI:96534] MDDQRDLISNHEQLPILGNRPREPERCSRGALYTGVSVLVALLLAGQATTAYFLYQQQGR LDKLTITSQNLQLESLRMKLPKSAKPVSQMRMATPLLMRPMSMDNMLLGPVKNVTKYGNM TQDHVMHLLTRSGPLEYPQLKGTFPENLKHLKNSMDGVNWKIFESWMKQWLLFEMSKNSL EEKKPTEAPPKVLTKCQEEVSHIPAVYPGAFRPKCDENGNYLPLQCHGSTGYCWCVFPNG TEVPHTKSRGRHNCSEPLDMEDLSSGLGVTRQELGQVTL >ENSMUSP00000057836.8 pep:known chromosome:GRCm38:18:60803848:60812646:1 gene:ENSMUSG00000024610.14 transcript:ENSMUST00000050487.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd74 description:CD74 antigen (invariant polypeptide of major histocompatibility complex, class II antigen-associated) [Source:MGI Symbol;Acc:MGI:96534] MDDQRDLISNHEQLPILGNRPREPERCSRGALYTGVSVLVALLLAGQATTAYFLYQQQGR LDKLTITSQNLQLESLRMKLPKSAKPVSQMRMATPLLMRPMSMDNMLLGPVKNVTKYGNM TQDHVMHLLTRSGPLEYPQLKGTFPENLKHLKNSMDGVNWKIFESWMKQWLLFEMSKNSL EEKKPTEAPPKEPLDMEDLSSGLGVTRQELGQVTL >ENSMUSP00000095171.2 pep:known chromosome:GRCm38:18:60803848:60812646:1 gene:ENSMUSG00000024610.14 transcript:ENSMUST00000097563.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd74 description:CD74 antigen (invariant polypeptide of major histocompatibility complex, class II antigen-associated) [Source:MGI Symbol;Acc:MGI:96534] MDDQRDLISNHEQLPILGNRPREPERCSRGALYTGVSVLVALLLAGQATTAYFLYQQQGR LDKLTITSQNLQLESLRMKLPKSAKPVSQMRMATPLLMRPMSMDNMLLGPVKNVTKYGNM TQDHVMHLLTRSGPLEYPQLKGTFPENLKHLKNSMDGVNWKIFESWMKQWLLFEMSKNSL EEKKPTEAPPKVLTKCQEEVSHIPAVYPGAFRPKCDENGNYLPLQCHGSTGYCWCVFPNG TEVPHTKSRGRHNCSEPLDMEDLSSGLGVTRQELGQVTL >ENSMUSP00000034400.3 pep:known chromosome:GRCm38:8:107150661:107187470:1 gene:ENSMUSG00000031924.3 transcript:ENSMUST00000034400.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5b description:cytochrome b5 type B [Source:MGI Symbol;Acc:MGI:1913677] MATPEASGSGEKVEGSEPSVTYYRLEEVAKRNSAEETWMVIHGRVYDITRFLSEHPGGEE VLLEQAGADATESFEDVGHSPDAREMLKQYYIGDVHPSDLKPKGDDKDPSKNNSCQSSWA YWFVPIVGAILIGFLYRHFWADSKSS >ENSMUSP00000080069.4 pep:known chromosome:GRCm38:8:27447670:27495172:1 gene:ENSMUSG00000063932.4 transcript:ENSMUST00000081321.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poteg description:POTE ankyrin domain family, member G [Source:MGI Symbol;Acc:MGI:1918202] MSFSMKKIFGAKEKTPLGFCDAPQMTVSEFFFGENPNYPKYHTTYRPLGHIHRVAAEGDA ARMEILLTLGQCNVYHRDRKDRTALHFACVYGRLPVVTILVKNNCEIDALDKNHTTPLMK SVQCWKQKCATVLLEHGADPNIRDSSGNSALHYAVYNGHQEMASLLLQYNADIEQKTKDG FTPLLLALREKRVEVAEFLVRMGADIHVVDELQRNTLIYAIRCGSKDLSVLLLEKGIDFF YKDVFGWTALRYAIEGHCTFRQTLLDFEESLHSNKKDKEPELQVEPSTSCILAKQIDAGN DSLARISSCPSPETPVLTMKEDENSDARSNSESKPPNCAGNSPAAIDKAFGNRGKRTVEA WVEKDPSSESAAEVRDSVTNEAVERIKLLPSETELELMSVEEDVSHESENDHPLRESEHL PKIKVGHSSRSGYQMGKMNINRQMKGRINILLKIYVITYLFKTGHCYMILKHY >ENSMUSP00000005406.10 pep:known chromosome:GRCm38:16:84954440:85173707:-1 gene:ENSMUSG00000022892.10 transcript:ENSMUST00000005406.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:App description:amyloid beta (A4) precursor protein [Source:MGI Symbol;Acc:MGI:88059] MLPSLALLLLAAWTVRALEVPTDGNAGLLAEPQIAMFCGKLNMHMNVQNGKWESDPSGTK TCIGTKEGILQYCQEVYPELQITNVVEANQPVTIQNWCKRGRKQCKTHTHIVIPYRCLVG EFVSDALLVPDKCKFLHQERMDVCETHLHWHTVAKETCSEKSTNLHDYGMLLPCGIDKFR GVEFVCCPLAEESDSVDSADAEEDDSDVWWGGADTDYADGGEDKVVEVAEEEEVADVEEE EADDDEDVEDGDEVEEEAEEPYEEATERTTSTATTTTTTTESVEEVVRVPTTAASTPDAV DKYLETPGDENEHAHFQKAKERLEAKHRERMSQVMREWEEAERQAKNLPKADKKAVIQHF QEKVESLEQEAANERQQLVETHMARVEAMLNDRRRLALENYITALQAVPPRPHHVFNMLK KYVRAEQKDRQHTLKHFEHVRMVDPKKAAQIRSQVMTHLRVIYERMNQSLSLLYNVPAVA EEIQDEVDELLQKEQNYSDDVLANMISEPRISYGNDALMPSLTETKTTVELLPVNGEFSL DDLQPWHPFGVDSVPANTENEVEPVDARPAADRGLTTRPGSGLTNIKTEEISEVKMDAEF GHDSGFEVRHQKLVFFAEDVGSNKGAIIGLMVGGVVIATVIVITLVMLKKKQYTSIHHGV VEVDAAVTPEERHLSKMQQNGYENPTYKFFEQMQN >ENSMUSP00000038732.4 pep:known chromosome:GRCm38:17:79051906:79090243:1 gene:ENSMUSG00000024084.7 transcript:ENSMUST00000040789.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qpct description:glutaminyl-peptide cyclotransferase (glutaminyl cyclase) [Source:MGI Symbol;Acc:MGI:1917786] MAGSEDKLVVGTLHLLLLQATVLSLTAGNLSLVSAAWTQEKNHHQPAHLNSSSLQQVAEG TSISEMWQNDLRPLLIERYPGSPGSYSARQHIMQRIQRLQAEWVVEVDTFLSRTPYGYRS FSNIISTLNPEAKRHLVLACHYDSKYFPRWDSRVFVGATDSAVPCAMMLELARALDKKLH SLKDVSGSKPDLSLRLIFFDGEEAFHHWSPQDSLYGSRHLAQKMASSPHPPGSRGTNQLD GMDLLVLLDLIGAANPTFPNFFPKTTRWFNRLQAIEKELYELGLLKDHSLERKYFQNFGY GNIIQDDHIPFLRKGVPVLHLIASPFPEVWHTMDDNEENLHASTIDNLNKIIQVFVLEYL HL >ENSMUSP00000098370.2 pep:known chromosome:GRCm38:11:77516660:77519198:-1 gene:ENSMUSG00000072673.2 transcript:ENSMUST00000100807.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10392 description:predicted gene 10392 [Source:MGI Symbol;Acc:MGI:3704377] MVTEQQEEVRVAVEEFRRQSVLWGSTHRVISTADAQHGYRSLIHIPEWVVEIPVGIPADG ATLGVTEQGLLKLSQGATPEELAGVHCVLQGGGIPDHRLMNMPDGVTLVRDTDT >ENSMUSP00000100899.2 pep:known chromosome:GRCm38:10:117248024:117250265:1 gene:ENSMUSG00000078430.2 transcript:ENSMUST00000105264.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10936 description:predicted gene 10936 [Source:MGI Symbol;Acc:MGI:3779146] TVLTLGLLLSVTVQANIFKRYDFFRILIASKMSGYRGASLAHSVCL >ENSMUSP00000033878.6 pep:known chromosome:GRCm38:8:27138773:27174646:-1 gene:ENSMUSG00000031488.13 transcript:ENSMUST00000033878.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip1 description:RAB11 family interacting protein 1 (class I) [Source:MGI Symbol;Acc:MGI:1923017] MSLAASAGRGPGTMWSPTHVQVTVLQARGLRAKGPGGTSDAYAVIQVGKEKYATSVSERS LGAPVWREEATFELPPLLSSGAAPAAAATLQLTVLHRALLGLDKFLGRAEVDLRELHRDQ GRRKKQWYTLKSKPGKKDKERGEIEVDIQFMRNNMTASMFDLSMKDKSRNPFGKLKDKIK GKNKDSASDTASAIVPSVTPSVDSDDESFSKDKKKKSKIKTLFSKSSLQKTPLSQSMSVL PTSKSDKVLLRAGDFQSQWDDDAHEDESSSASDVMSHKRTSSTDQQPNQSNFSLPKKEGL SFLGGLRSKNDSLSRSTVCINGNHVYMEQPEARSEIRESSPSNSPSPQGFRRKHLFSSTE NLAARSPKEPGEGGGTSSDRRLSDSSTKDSMKSMSLPSYRPLTSGDNRESMSPANVEAAR ETKDSKKQESKKSSLLSLVTGKRDAAAKGSESEPLPTVSEKEKERKGALVEAQLREEDLM RRPEKDALPVASQWGSSLNPFEDVQISDPGATTESRSEPKPPVPAARVPQTKAVKPRPHP VKPMNTTATKIANSSLGTATIITENLISEALMKKYQPSDPAFAYAQLTHDELIQLVLKQK ETISKKEFQVRELEDYIDNLLVRVMEETPNILRVPAQMGKKAGKM >ENSMUSP00000058042.6 pep:known chromosome:GRCm38:8:27138773:27174646:-1 gene:ENSMUSG00000031488.13 transcript:ENSMUST00000054212.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip1 description:RAB11 family interacting protein 1 (class I) [Source:MGI Symbol;Acc:MGI:1923017] MSLAASAGRGPGTMWSPTHVQVTVLQARGLRAKGPGGTSDAYAVIQVGKEKYATSVSERS LGAPVWREEATFELPPLLSSGAAPAAAATLQLTVLHRALLGLDKFLGRAEVDLRELHRDQ GRRKKQWYTLKSKPGKKDKERGEIEVDIQFMRNNMTASMFDLSMKDKSRNPFGKLKDKIK GKNKDSASDTASAIVPSVTPSVDSDDESFSKDKKKKSKIKTLFSKSSLQKTPLSQSMSVL PTSKSDKVLLRAGDFQSQWDDDAHEDESSSASDVMSHKRTSSTDQQPNQSNFSLPKKEGL SFLGGLRSKNDSLSRSTVCINGNHVYMEQPEARSEIRESSPSNSPSPQGFRRKHLFSSTE NLAARSPKEPGEGGGTSSDRRLSDSSTKDSMKSMSLPSYRPLTSGDNRESMSPANVEAAR ETKDSKKQESKKSSLLSLVTGKRDAAAKGSESEPLPTVSEKEKERKGALVEAQLREEDLM RRPEKDALPVASQWGSSLNPFEDVQISDPGATTESRSEPKPPVPAARVPQTKAVKPRLEV SPEAQPKARLPSPDSALFAPFPSDSIQPPVLSDLRCDSETQFSESPSASSFLSPLAAPIS TSTPVEPWPTGQGEADAEKPSLLLRAVPSLTPAANTGSSASGSPCEQLPTPVRKGTEEAS GDTRKEAGSDESGKTLMTECVSSVDNVHDGGMTTAGEEAAPPLLEDEASSFHRIAQKHEG MHQNASGGQKKGKKRVSFSEQLFVEEETEGPTRLEEEDRGHAQQLTQEGPVAGSVLRAGS PESPHTEGTGQEPVTAEAQPNISSEGKCFPEGPTSEASPARGTQPLMDEKDDLMAPCQSK ASDHEGLLSNPLSDLPSASDVKSPIIADLSLSLPSIPEVASDDERVDEVGDGGKAEKLVD LEAGVSPWNVSHSILETSGRSSGRAGESVPTENQCDFRPQVRSSENEELPGPGTVEEEKL IGGEKPASPLCVSQDSSVPSPSVAETFPAAYSSPSSPPSDTHHTSEAESQKQATADVSTS RAENFGKKKPLLQAWVTPSEIHPTPALPSAGAGAAKHRPHPVKPMNTTATKIANSSLGTA TIITENLISEALMKKYQPSDPAFAYAQLTHDELIQLVLKQKETISKKEFQVRELEDYIDN LLVRVMEETPNILRVPAQMGKKAGKM >ENSMUSP00000097528.4 pep:known chromosome:GRCm38:10:40287458:40302188:-1 gene:ENSMUSG00000075232.4 transcript:ENSMUST00000099945.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amd1 description:S-adenosylmethionine decarboxylase 1 [Source:MGI Symbol;Acc:MGI:88004] MEAAHFFEGTEKLLEVWFSRQQSDASQGSGDLRTIPRSEWDVLLKDVQCSIISVTKTDKQ EAYVLSESSMFVSKRRFILKTCGTTLLLKALVPLLKLARDYSGFDSIQSFFYSRKNFMKP SHQGYPHRNFQEEIEFLNAIFPNGAAYCMGRMNSDCWYLYTLDFPESRVISQPDQTLEIL MSELDPAVMDQFYMKDGVTAKDVTRESGIRDLIPGSVIDATLFNPCGYSMNGMKSDGTYW TIHITPEPEFSYVSFETNLSQTSYDDLIRKVVEVFKPGKFVTTLFVNQSSKCRTVLSSPQ KIDGFKRLDCQSAMFNDYNFVFTSFAKKQQQQQS >ENSMUSP00000108521.3 pep:known chromosome:GRCm38:6:116264222:116330298:1 gene:ENSMUSG00000042213.15 transcript:ENSMUST00000112900.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand4 description:zinc finger, AN1-type domain 4 [Source:MGI Symbol;Acc:MGI:1914742] MFKADLGRIGIQLHTTYSRRIRKVKVMDNRKEPPFFNEDNVGPFYFKLPFYDTMELFIET LTGTCFELRVSPFEAVISVKGKIQRLEGIPICQQHLIWNNMELEDDYCLNDYNISEGCTL KLVLAMRGGPISTRKVPVEDPLRELAEYMDSSRDEVWEKTSCNKQVTFLVYREGDQLNFF RVVDRGDGTLTPLSEPTKKIGTQFFFLRNRGQGRESGGSVYNLYTDEDEEAEPSPSGQQI IENSITMNKMKLLKAKMENMNLSKKPKKVVKVKPRPPLAPRPTSSSTAAARHRLLRVLPH IGQSCLPSGNAHLPETSRNAGPSPAAQAPADRPVSSLRNELLKDDDNWEINMLSHSTSSI RLLPQLTHIELESDKELADSVLHLGSSLSRRTKHLSGNLLSNNEDDVVLFPRSEECVADE LLLPEVGAFAPFAEGTGAEQSSGVEGLGKVTPEFPLTKGDGGLRAAEQPLSHVARVLSSE PGDNAVLNHREPSSHKNRLLSPLLCAAPVSLHNSLVKPQRQSKCFESGNPSASTSQNTLR ELDIRTIADSSFSRTARFRGVKVDSPGKRSDIISKVEARDITEMANKASKEPVGCVNNNG FLASLARSASRDSLQSTHGACRLRSSGIGLSTNFQHFQDENIRKSSPQSEPTDFFLSARG IGMSGSNAAAGKRIGESIHHLPPVKAPLQTKKKIMKHCFLCGKKTGLATSFECRCGNNFC ASHRYAEAHGCTYDYKSAGRRYLEEANPVVNAPKLPKI >ENSMUSP00000037476.5 pep:known chromosome:GRCm38:12:73543114:73546395:-1 gene:ENSMUSG00000034435.5 transcript:ENSMUST00000042975.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem30b description:transmembrane protein 30B [Source:MGI Symbol;Acc:MGI:2442082] MTWSATARGAHQPDNTAFTQQRLPAWQPLLSAGIALPLFFCAGLAFIGLGLGLFYSSNGI KELEYDYTGNPGTGDCSVCAAKGQGRAPPPGCACSWSFTLPELFPGPVYLYYELSNFYQN NRRYGVSRDDAQLSGLASALRHPANECAPYQFRSDGLPIAPCGAIANSLFNDSFSLWHQR QPSDPFVEVPLDRTAIAWWTDYHVKFRNPPLVNGSLALAFRGTAPPPNWHRPVYELSPDP NNTGFINQDFVVWMRTAALPTFRKLYARIRQGNYSAGLPRGTYRVNITYNYPVRAFGGHK LIILSNISWMGGKNPFLGIAYLVVGSLCIVMGFVMLVVYIRYQDQDDDDNDDE >ENSMUSP00000095821.2 pep:known chromosome:GRCm38:7:102698216:102699272:1 gene:ENSMUSG00000073967.2 transcript:ENSMUST00000098218.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr557 description:olfactory receptor 557 [Source:MGI Symbol;Acc:MGI:3030391] MPQPLVPLIATPNGSLAHPAYFLLVGIPGLGSKIHFWLAFPLCFMYAVATLGNLAIIFII RVERRLHEPMYLFLAMLSTIDLVLSSVTMPKMASLFLTGIQEIEFNICLTQMFLIHALSA MESAVLLAMAFDRFVAICYPLRHASVLTGTTVAKIGLASLARGFVFFFPLPFLLKRLSYC QTHTVTHSFCLHQDIMKLSCTDTKVNVVYGLFIILSVMGVDSLFIGFSYILILRAVLELS TRGAALKAFNTCISHLCAVLVFYVPLIGLSVVHRLGGPTSLVHVVMANIYLLLPPVVNPI VYGAKTKEIRSRVIRMFSQDGR >ENSMUSP00000096424.3 pep:known chromosome:GRCm38:8:36993575:36995531:1 gene:ENSMUSG00000074384.3 transcript:ENSMUST00000098825.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI429214 description:expressed sequence AI429214 [Source:MGI Symbol;Acc:MGI:2142538] MGWPPAQKPEDSKEEHGGPAQTDVCATQVSEEFPGSCADEVLSSGSISFSGELQSYSHTS ESPVETKTPTTSSEEQDEQSELSLLQKDENKLSEMWINHLKSKEIHSERSQPDRRLPPEI PKESAEELDALQSFCTKKVNLIHQRQDLRAKKSNRPKRLQLRWIAETSEVDAFNCTIPDE LWNRIYLENTRATLAYIGAITQHISSQCPSCNSKRAELAQSDFLRRRKTLLQSLLLQEKI DEHLHTTDFLTRVGEAHQGFPRLSDDPRIIWKRLTEKMLKGSSGFGRAYSKQV >ENSMUSP00000110610.2 pep:known chromosome:GRCm38:17:26542760:26543715:1 gene:ENSMUSG00000068604.4 transcript:ENSMUST00000090257.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8225 description:predicted gene 8225 [Source:MGI Symbol;Acc:MGI:3643826] MAVDSSAAGGPRGSGGPGLGGRVNFCGGLCSSHWGCSRGRGRDRGRGRGARGGKAEDKEW IPVTKLGRLVKDMKIKSLEEIYLFSLPIKESEITDFFLGASLKDEVLKIMPVQKQTRAGQ RTRFKAFVVIGDYDGHVDLGVKCSKEVATAIRGAIILAKLSIVPVRRGYWGNKIGKPHTV PCKVTGRCGSVLVRLIPAPRGTGIVSAPVPKKLLMASIDDCYTSARGCTATLSNFAKATF YATSKTCSYLTPDLWKVTVFTQSPYQEFTDHLVKTHTRVSVQRTQAPVVATT >ENSMUSP00000023759.4 pep:known chromosome:GRCm38:15:99702287:99713995:1 gene:ENSMUSG00000023018.4 transcript:ENSMUST00000023759.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcd1 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily d, member 1 [Source:MGI Symbol;Acc:MGI:1933623] MAARAGFQSVAPSGGAGASGGAGVAAALGPGGTPGPPVRMGPAPGQGLYRSPMPGAAYPR PGMLPGSRMTPQGPSMGPPGYGGNPSVRPGLAQSGMDQSRKRPAPQQIQQVQQQAVQNRN HNAKKKKMADKILPQRIRELVPESQAYMDLLAFERKLDQTIMRKRLDIQEALKRPIKQKR KLRIFISNTFNPAKSDAEDGEGTVASWELRVEGRLLEDAALSKYDATKQKRKFSSFFKSL VIELDKDLYGPDNHLVEWHRTATTQETDGFQVKRPGDVNVRCTVLLMLDYQPPQFKLDPR LARLLGIHTQTRPVIIQALWQYIKTHKLQDPHEREFVLCDKYLQQIFESQRMKFSEIPQR LHALLMPPEPIIINHVISVDPNDQKKTACYDIDVEVDDTLKTQMNSFLLSTASQQEIATL DNKIHETIETINQLKTQREFMLSFARDPQGFINDWLQSQCRDLKTMTDVVGNPEEERRAE FYFQPWAQEAVCRYFYSKVQQRRQELEQALGIRNT >ENSMUSP00000058691.6 pep:known chromosome:GRCm38:18:68406907:68429251:-1 gene:ENSMUSG00000045569.6 transcript:ENSMUST00000052347.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mc2r description:melanocortin 2 receptor [Source:MGI Symbol;Acc:MGI:96928] MKHIINSYEHTNDTARNNSDCPDVVLPEEIFFTISVIGILENLIVLLAVIKNKNLQSPMY FFICSLAISDMLGSLYKILENILIMFRNMGYLKPRGSFESTADDIIDCMFILSLLGSIFS LSVIAADRYITIFHALQYHSIVTMRRTIITLTIIWMFCTGSGITMVIFSHHIPTVLTFTS LFPLMLVFILCLYIHMFLLARSHARKISTLPRTNMKGAMTLTILLGVFIFCWAPFVLHVL LMTFCPNNPYCVCYMSLFQVNGMLIMCNAVIDPFIYAFRSPELRDAFKRMLFCNRY >ENSMUSP00000066381.6 pep:known chromosome:GRCm38:18:82392496:82406777:-1 gene:ENSMUSG00000024553.7 transcript:ENSMUST00000065224.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galr1 description:galanin receptor 1 [Source:MGI Symbol;Acc:MGI:1096364] MELAMVNLSEGNGSDPEPPAPESRPLFGIGVENFITLVVFGLIFAMGVLGNSLVITVLAR SKPGKPRSTTNLFILNLSIADLAYLLFCIPFQATVYALPTWVLGAFICKFIHYFFTVSML VSIFTLAAMSVDRYVAIVHSRRSSSLRVSRNALLGVGFIWALSIAMASPVAYHQRLFHRD SNQTFCWEQWPNKLHKKAYVVCTFVFGYLLPLLLICFCYAKVLNHLHKKLKNMSKKSEAS KKKTAQTVLVVVVVFGISWLPHHVVHLWAEFGAFPLTPASFFFRITAHCLAYSNSSVNPI IYAFLSENFRKAYKQVFKCHVCDESPRSETKENKSRMDTPPSTNCTHV >ENSMUSP00000019616.4 pep:known chromosome:GRCm38:9:21032038:21039036:1 gene:ENSMUSG00000032174.4 transcript:ENSMUST00000019616.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Icam5 description:intercellular adhesion molecule 5, telencephalin [Source:MGI Symbol;Acc:MGI:109430] MPGPSPGLRRALLGLWAALGLGILGISAVALEPFWADLQPRVALVERGGSLWLNCSTNCP RPERGGLETSLRRNGTQRGLRWLARQLVDIREPETQPVCFFRCARRTLQARGLIRTFQRP DRVELVPLPSWQPVGENFTLSCRVPGAGPRASLTLTLLRGGQELIRRSFVGEPPRARGAM LTARVLARREDHRVNFSCLAELDLRPHGLGLFANSSAPRQLRTFAMPPHSPSLIAPRVLE VDSERPVTCTLDGLFPAPEAGVYLSLGDQRLNPNVTLDGDSLVATATATASAEQEGTKQL MCVVTLGGESRETQENLTVYSFPTPLLTLSEPEAPEGKMVTISCWAGARALVTLEGIPAA VPGQPAELQLNVTKNDDKRGFFCDAALDVDGETLRKNQSSELRVLYAPRLDDLDCPRSWT WPEGPEQTLHCEARGNPEPSVHCARPEGGAVLALGLLGPVTRALAGTYRCTAVNGQGQAV KDVTLTVEYAPALDSVGCPEHITWLEGTEASLSCVAHGVPPPSVSCVRSGKEEVMEGPLR VAREHAGTYRCEAINARGSAAKNVAVTVEYGPSFEELGCPSNWTWVEGSGKLFSCEVDGK PEPRVECVGSEGASEGIVLPLVSSNSGPRNSMTPGNLSPGIYLCNATNRHGSTVKTVVVS AESPPQMDESSCPSHQTWLEGAEATALACSARGRPSPRVHCSREGAARLERLQVSREDAG TYRCVATNAHGTDSRTVTVGVEYRPVVAELAASPPSVRPGGNFTLTCRAEAWPPAQISWR APPGALNLGLSSNNSTLSVAGAMGSHGGEYECAATNAHGRHARRITVRVAGPWLWVAVGG AAGGAALLAAGAGLAFYVQSTACKKGEYNVQEAESSGEAVCLNGAGGTPGAEGGAETPGT AESPADGEVFAIQLTSS >ENSMUSP00000080683.5 pep:known chromosome:GRCm38:12:78748947:78840711:1 gene:ENSMUSG00000021112.8 transcript:ENSMUST00000082024.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp5 description:membrane protein, palmitoylated 5 (MAGUK p55 subfamily member 5) [Source:MGI Symbol;Acc:MGI:1927339] MTTSYMNGHVTEESDSGIKNLDLASPEEYPKHREMAVDCPGDLGTRMMPVRRSAQLERIR QQQEDMRRRREEEGKKQELDLNSSMRLKKLAQIPPKTGIDNPIFDTEEGIVLESPHYAVN ILDVEDLFSSLKHIQHTLVDSQSQEDISLLLQLVQNRDFQNAFKIHNAVTVHMSKASPPF PLIANVQDLVQEVQTVLKPVHQKEGQELTALLNAPHIQALLLAHDKVAEQEMQLEPITDE RVYESIGHYGGETVKIVRIEKARDIPLGATVRNEMDSVIISRIVKGGAAEKSGLLHEGDE VLEINGIEIRGKDVNEVFDLLSDMHGTLTFVLIPSQQIKPPPAKETVIHVKAHFDYDPSD DPYVPCRELGLSFQKGDILHVISQEDPNWWQAYREGDEDNQPLAGLVPGKSFQQQREAMK QTIEEDKEPEKSGKLWCAKKNKKKRKKVLYNANKNDDYDNEEILTYEEMSLYHQPANRKR PIILIGPQNCGQNELRQRLMNKEKDRFASAVPHTTRNRRDHEVAGRDYHFVSRQAFEADI AAGKFIEHGEFEKNLYGTSIDSVRQVINSGKICLLSLRTQSLKTLRNSDLKPYIIFIAPP SQERLRALLAKEGKNPKPEELREIIEKTREMEQNNGHYFDTAIVNSDLDKAYQELLRLIN KLDTEPQWVPSTWLR >ENSMUSP00000065157.7 pep:known chromosome:GRCm38:8:66679965:66688094:-1 gene:ENSMUSG00000044014.8 transcript:ENSMUST00000070810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npy5r description:neuropeptide Y receptor Y5 [Source:MGI Symbol;Acc:MGI:108082] MEVKLEEHFNKTFVTENNTAASQNTASPAWEDYRGTENNTSAARNTAFPVWEDYRGSVDD LQYFLIGLYTFVSLLGFMGNLLILMAVMKKRNQKTTVNFLIGNLAFSDILVVLFCSPFTL TSVLLDQWMFGKAMCHIMPFLQCVSVLVSTLILISIAIVRYHMIKHPISNNLTANHGYFL IATVWTLGFAICSPLPVFHSLVELKETFGSALLSSKYLCVESWPSDSYRIAFTISLLLVQ YILPLVCLTVSHTSVCRSISCGLSHKENRLEENEMINLTLHPSKKSRDQAKPPSTQKWSY SFIRKHRRRYSKKTACVLPAPAGPSQEKHLTVPENPGSVRSQLSPSSKVIPGVPICFEVK PEESSDAQEMRVKRSLTRIKKRSRSVFYRLTILILVFAVSWMPLHVFHVVTDFNDNLISN RHFKLVYCICHLLGMMSCCLNPILYGFLNNGIKADLRALIHCLHMS >ENSMUSP00000022536.2 pep:known chromosome:GRCm38:14:57806561:57826163:-1 gene:ENSMUSG00000021965.2 transcript:ENSMUST00000022536.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ska3 description:spindle and kinetochore associated complex subunit 3 [Source:MGI Symbol;Acc:MGI:3041235] MNPIQSFHCKLRGLATTLDSETARLLRALDGEDSDFEDSPGRILHDLHSEVQTLKDNVNA LLDEARLENQESTRFKKATKILMEKNSADVRKLREFFQKYGYQARDKEDSGCEHRVNNST PELAVCKDIQKAGVKELSDPCVPSGSVSEEPLRSPQLSDFGLQRYIISQVPANPPQTAAS LKEERVAETPPAKDPSVQVLKTPRCALRMDDFECETPKLEHFGISEHTMCLNEDYTMGLK NMKNIKSSLLSGVSGEAIGTGPVTSDNSFAIPGPIIQQMEENDVEYVSSPLPPKFCTPGL KIPSTMDRTDLVSIDYPLSKPNSSSTDLEIKDCVPLILNSDECYQSFAEPPSSAITSCEN FATPSPPKVTAIPEDILQMITKHSSNLASPLDVKVMPRRKGTRGAANKENW >ENSMUSP00000071604.2 pep:known chromosome:GRCm38:9:38580244:38582211:1 gene:ENSMUSG00000060114.2 transcript:ENSMUST00000071681.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr912 description:olfactory receptor 912 [Source:MGI Symbol;Acc:MGI:3030746] MVLTNHSLVTEFILLGLTDNPDLQIPLFLVFLVMYMITAFGNLTLIFLTVLNSHLHTPMY FFLFNLSFIDLCYSSVVTPKLLMNFVLKKNIIGFAGCMTQLYFFCFFVISECYVLTAMAY DRYVAICNPLMYNVTMSPKVCSYLMLGSYLMGFSDAMIHTGCILRLTFCDGNTINHYFCD LLPLMQLSCTSTYINEVEIFIVGGKDITVPSIVVFISYGFILSNILQIKSTRGRYKAFNT CSSHIIAVSLFYGSCAFMYLKPSSVGSLNEGKVSSVFYTIVVPMMNPLIYSLRNKDVKLA LRKTLSRKKF >ENSMUSP00000076090.6 pep:known chromosome:GRCm38:12:21316392:21323605:1 gene:ENSMUSG00000062054.6 transcript:ENSMUST00000076813.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iah1 description:isoamyl acetate-hydrolyzing esterase 1 homolog [Source:MGI Symbol;Acc:MGI:1914982] MSLCERAASGSALLWPRVLLFGDSITQFSFQQGGWGSLLADRLVRKCDVLNRGFSGYNTR WAKIILPRLIRKGPGMENPVAVTIFFGANDSSLKDENPKQHVPLDEYSANLRDMVQYLRS VDVPRERVILITPPPLCEAAWEKECVLKGCKLNRLNSVVGEYANACLQVARDCGTDVLDL WTLMQKDSQDFSSYLSDGLHLSPMGNEFLFLNLCPLLDKKVSSLPWLLPYWKDVEEAKPE LSLLGDGDY >ENSMUSP00000052705.3 pep:known chromosome:GRCm38:9:40074297:40075262:1 gene:ENSMUSG00000049010.5 transcript:ENSMUST00000054051.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr982 description:olfactory receptor 982 [Source:MGI Symbol;Acc:MGI:3030816] MVERMQYLNQSVVSQFFLEGLMYTAEHPGLFFLLFLLIYSITVSGNLLILLTVGSDPHLR SPMYHFLGHLSFLDACLSTVTVPKVMAGLLTLDGKVISFQGCALQLYCFHFLASTECFLY TVMAYDRYLAICQPLHYPVVMNKRVCAGLAGSTWAIGAMHSAIHTSLTFRLLYCGPQHIA YFFCDIPPVLKLACADTTINELVMLANIGVVAAGCLILIIISYAFIVAAVLRIRTAEGRQ RAFSTCTAHLTVVLLYYMPPVCIYLQPSSTGAGAGAPAVFYTIVTPMLNPFIYTLRNKEV KRALRRLVCSSQESPASSPAP >ENSMUSP00000064366.7 pep:known chromosome:GRCm38:8:84901928:84905295:1 gene:ENSMUSG00000054191.7 transcript:ENSMUST00000067060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf1 description:Kruppel-like factor 1 (erythroid) [Source:MGI Symbol;Acc:MGI:1342771] MRQKRERRPEVQGGHQPAMASAETVLPSISTLTTLGQFLDTQEDFLKWWRSEETQDLGPG PPNPTGPSHHVSLKSEDPSGEDDERDVTCAWDPDLFLTNFPGSESPGTSRTCALAPSVGP VAQFEPPESLGAYAGGPGLVTGPLGSEEHTSWAHPTPRPPAPEPFVAPALAPGLAPKAQP SYSDSRAGSVGGFFPRAGLAVPAAPGAPYGLLSGYPALYPAPQYQGHFQLFRGLAAPSAG PTAPPSFLNCLGPGTVATELGATAIAGDAGLSPGTAPPKRSRRTLAPKRQAAHTCGHEGC GKSYTKSSHLKAHLRTHTGEKPYACSWDGCDWRFARSDELTRHYRKHTGHRPFCCGLCPR AFSRSDHLALHMKRHL >ENSMUSP00000019516.4 pep:known chromosome:GRCm38:15:76085595:76090013:-1 gene:ENSMUSG00000075590.2 transcript:ENSMUST00000019516.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrbp2 description:nuclear receptor binding protein 2 [Source:MGI Symbol;Acc:MGI:2385017] MCALEMAVLEIQANGDTRVTEEAIARARHSLSDPNMREFILSCLARDPARRPSAHNLLFH RVLFEVHSLKLLAAHCFIQHQYLMPENVVEEKTKAMDLHAVLAEMPQPHGPPMQWRYSEV SFLELDKFLEDVRNGIYPLMNFAAARPLGLPRVLAPPPEEAQKAKTPTPEPFDSETRKVV QMQCNLERSEDKARWHLTLLLVLEDRLHRQLTYDLLPTDSAQDLAAELVHYGFLHEDDRT KLAAFLETTFLKYRGTQA >ENSMUSP00000069956.3 pep:known chromosome:GRCm38:9:75565621:75611325:-1 gene:ENSMUSG00000032186.14 transcript:ENSMUST00000064433.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmod2 description:tropomodulin 2 [Source:MGI Symbol;Acc:MGI:1355335] MALPFQKGLEKYKNIDEDELLGKLSEEELKQLENVLDDLDPESATLPAGFRQKDQTQKAA TGPFDREHLLMYLEKEALEQKDREDFVPFTGEKKGRVFIPKEKPVETRKEEKVTLDPELE EALASASDTELYDLAAVLGVHNLLNNPKFDEETTNGEGRKGPVRNVVKGEKAKPVFEEPP NPTNVEASLQQMKANDPSLQEVNLNNIKNIPIPTLKEFAKSLETNTHVKKFSLAATRSND PVALAFAEMLKVNKTLKSLNVESNFITGTGILALVEALRENDTLTEIKIDNQRQQLGTAV EMEIAQMLEENSRILKFGYQFTKQGPRTRVAAAITKNNDLVRKKRVEGDRR >ENSMUSP00000096152.2 pep:known chromosome:GRCm38:9:75565621:75599133:-1 gene:ENSMUSG00000032186.14 transcript:ENSMUST00000098552.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmod2 description:tropomodulin 2 [Source:MGI Symbol;Acc:MGI:1355335] MALPFQKGLEKYKNIDEDELLGKLSEEELKQLENVLDDLDPESATLPAGFRQKDQTQKAA TGPFDREHLLMYLEKEALEQKDREDFVPFTGEKKGRVFIPKEKPVETRKEEKVTLDPELE EALASASDTELYDLAAVLGVHNLLNNPKFDEETTNGEGRKGPVRNVVKGEKAKPVFEEPP NPTNVEASLQQMKANDPSLQEVNLNNIKNIPIPTLKEFAKSLETNTHVKKFSLAATRSND PVALAFAEMLKVNKTLKSLNVESNFITGTGILALVEALRENDTLTEIKIDNQRQQLGTAV EMEIAQMLEENSRILKFGYQFTKQGPRTRVAAAITKNNDLVRKKRVEGDRR >ENSMUSP00000126739.1 pep:known chromosome:GRCm38:9:75573816:75597651:-1 gene:ENSMUSG00000032186.14 transcript:ENSMUST00000164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmod2 description:tropomodulin 2 [Source:MGI Symbol;Acc:MGI:1355335] MALPFQKGLEKYKNIDEDELLGKLSEEELKQLENVLDDLDPESATLPAGFRQKDQTQKAA TGPFDREHLLMYLEKEALEQKDREDFVPFTGEKKGRVFIPKEKPVETRKEEKVTLDPELE EALASASDTELYDLAAVLGVHNLLNNPKFDEETTNGEGRKGPVRNVVKGEKAKPVFEEPP NPTNVEASLQQMKANDPSLQEVNLNNIKNIPIPTLKEFAKSLETNTHVKKFSLAATRSND PVALAFAEMLKVNKTLKSLNVESNFITGTGILALVEALRENDTLTEIKIDNQRQQLGTAV EMEIAQMLEENSRILKFGYQFTKQGPRTRVAAAITKNNDLVRKKRVEGDRR >ENSMUSP00000022532.5 pep:known chromosome:GRCm38:14:63971122:63987780:-1 gene:ENSMUSG00000021961.5 transcript:ENSMUST00000022532.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930578I06Rik description:RIKEN cDNA 4930578I06 gene [Source:MGI Symbol;Acc:MGI:1915000] MALLTPQGVKEVFQFQKPQGRQHLRRLLNWEEFDELRDARQSILLDTLYDSVIFAVGKGF PWVEVVQVVKFTEELLKETKGCSITEAVTILGKKLRDYQKQFNVTHLLALCDYSHNTFIR HYRLYQYVLSQDQEVNLTVAHEQICAPPQPLPLTDGTDRDVWRHEQQVAELSTAEVEKRA NVLMLKETLRMEQAQMLQKAFGVEEAPGQLQPHPTLRKEALERLVSEAIHIQIACLQELL QYEIQAAFDILDLRLQKKTLSLSAPPPPLPCITTGPAALEDSPKASKANKGKKAKAKK >ENSMUSP00000066554.6 pep:known chromosome:GRCm38:16:63543538:63864158:-1 gene:ENSMUSG00000052504.6 transcript:ENSMUST00000064405.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epha3 description:Eph receptor A3 [Source:MGI Symbol;Acc:MGI:99612] MDCHLSILVLLGCCVLSCSGELSPQPSNEVNLLDSKTIQGELGWISYPSHGWEEISGVDE HYTPIRTYQVCNVMDHSQNNWLRTNWVPRNSAQKIYVELKFTLRDCNSIPLVLGTCKETF NLYYMESDDDHGVKFREHQFTKIDTIAADESFTQMDLGDRILKLNTEIREVGPVNKKGFY LAFQDVGACVALVSVRVYFKKCPFTVKNLAMFPDTVPMDSQSLVEVRGSCVNNSKEEDPP RMYCSTEGEWLVPIGKCTCNAGYEERGFICQACRPGFYKASDGAAKCAKCPPHSSTQEDG SMNCRCENNYFRAEKDPPSMACTRPPSAPRNVISNINETSVILDWSWPLDTGGRKDITFN IICKKCGWNVRQCEPCSPNVRFLPRQLGLTNTTVTVTDLLAHTNYTFEIDAVNGVSELSS PPRQYAAVSITTNQAAPSPVMTIKKDRTSRNSISLSWQEPEHPNGIILDYEVKYYEKQEQ ETSYTILRARGTNVTISSLKPDTTYVFQIRARTAAGYGTNSRKFEFETSPDSFSISGENS HVVMIAISAAVAIIVLTVVTYVLVGRFCGYHKSKHSAEEKRLHFGNGHLKLPGLRTYVDP HTYEDPTQAVHEFAKELDATNISIDKVVGAGEFGEVCSGRLKLPSKKEISVAIKTLKVGY TEKQRRDFLGEASIMGQFDHPNIIRLEGVVTKSKPVMIVTEYMENGSLDSFLRKHDAQFT VIQLVGMLRGIASGMKYLSDMGYVHRDLAARNILINSNLVCKVSDFGLSRVLEDDPEAAY TTRGGKIPIRWTSPEAIAYRKFTSASDVWSYGIVLWEVMSYGERPYWEMSNQDVIKAVDE GYRLPPPMDCPAALYQLMLDCWQKDRNNRPKFEQIVSILDKLIRNPGSLKIITSAAARPS NLLLDQSNVDIATFHTTGDWLNGMRTAHCKEIFTGVEYSSCDTIAKISTDDMKKVGVTVV GPQKKIISTIKALETQSKNGPVPV >ENSMUSP00000126752.1 pep:known chromosome:GRCm38:12:62687822:62688244:1 gene:ENSMUSG00000091396.1 transcript:ENSMUST00000172433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spanxn4 description:SPANX family, member N4 [Source:MGI Symbol;Acc:MGI:1920559] MEDTTEDPDESSSGTVSEATSSEKSSESSNAGEGRARSQKQRFRRPAKGTAHENLPDIMN TVQSVLEENSEDKKKGRKRTILVFYYRQNGKKKQNQKKKKEQVPKRSQNSNSDSPLPGTS QDPGPSPCSLPKRVRKWFFQ >ENSMUSP00000034087.7 pep:known chromosome:GRCm38:8:88626828:88636128:-1 gene:ENSMUSG00000031662.7 transcript:ENSMUST00000034087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx20 description:sorting nexin 20 [Source:MGI Symbol;Acc:MGI:1918857] MASPEHPGSPGWRGPINQCRTRTRQEVLPPGPDLPCPGPEEAQDGPSSNSSMTTRELQEH WQKEKSRWKHVRLLFEIASARIEERKVSKFVMYQVVVIQTGSFDSDKAVVERRYSDFERL QKALLKRFGPELEDVAFPRKRLTGNLSAETICERRRELREYLRLLYAVRAVRRSREFLDF LTRPELREAFGCLRAGQYARALELLGRALPLQEKLTAHCPSAAVPALCAALVCLRDLERP AEAFAVGERALRCLRTRENHRYYAPLLDAMVRLAYALGKDFAALQSRLDENQLRRPTHRD ATLKELTVREYLS >ENSMUSP00000137697.1 pep:known chromosome:GRCm38:12:83950690:83951355:-1 gene:ENSMUSG00000096953.1 transcript:ENSMUST00000181399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26571 description:predicted gene, 26571 [Source:MGI Symbol;Acc:MGI:5477065] ARIARPRSAASSPGRRWRRDPRSSCGPAAAPAPPPAPTAAGRSGPEAGAPGATRRGGRRA SGCWGCRSAPSVPAAAAKPGVAPAHPRASPAAAERPSPGSGRAQPIPPRGSAASPKPTSG ALTPAAAPPTARPPAALPASSACPEPRPPPLSSPSPRSGARELSARPRGTPPHFSGAFGS SGAARAGPRPTRRGAATAGAAGASPARASAAPHRCHSVARP >ENSMUSP00000129414.1 pep:known chromosome:GRCm38:7:140335843:140336820:1 gene:ENSMUSG00000062782.3 transcript:ENSMUST00000172230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr527 description:olfactory receptor 527 [Source:MGI Symbol;Acc:MGI:3030361] MMNGTLVTEFLILGFSEMPHLRVPLLFSFLCLYMAAISGNLLIMVTISASPALHTPMYFF LVNLAIVDILCTSTILPKLLDSMMTGRTISYGGCMAQLFFFTWSLGAELLLFSAMAYDRF VAICCPLHYSAWMGPRVCAFLAGIVWTISLTNTSVHTGLMLRLPFCSSNVIEHFFCEIPP LLKLSCAPTQLNEAMAFAADVFLAVGNFSVTILSYGFIVASILKIRSAEGKRRAFSTCSA HLIVVTMYYSTVIYTYIRPSSSYSLNKDKVVSIIYTSVAPTLNPLIYTLRNKDVKVALRR LLSCC >ENSMUSP00000137349.1 pep:known chromosome:GRCm38:17:24023859:24024182:1 gene:ENSMUSG00000095266.1 transcript:ENSMUST00000178572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5225 description:predicted gene 5225 [Source:MGI Symbol;Acc:MGI:3779476] LFFYPLDITFVCPTEIIAFNNHAEDFRKLGWECLTPWKEGGLGPLNIPLPADVTKSLSQN YSMLKNDEGIASLSAMQGCPSPMTVNDLPVGRSVDKALCLVQAFPYTD >ENSMUSP00000067752.6 pep:known chromosome:GRCm38:8:84990595:85003364:1 gene:ENSMUSG00000052566.7 transcript:ENSMUST00000064495.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hook2 description:hook microtubule tethering protein 2 [Source:MGI Symbol;Acc:MGI:2181664] MSVDKAELCGSLLTWLQTFQVSPPCASPQDLSSGLAIAHVLNQIDPSWFNNEWLQGISED SSPSWRLKVRKLEKILQSLVEYSKNVLGHPVSDQHLPDVSLIGEFSNPAELGKLLQLVLG CAISCEKKQEYIQRIMTLEESVQHVVMEAIQELMTKDTPDSLSPENYGNFDTQSRRYYFL SEEVEEGDHLQQHYLDLERQLLLLSEEKQNLAQENAALRERVGRSEVESAPGLTAKKLLL LQSQLEQLQEENFRLESSREDDRLRCLELEREVAELQQRNQALTSLSQEAQALKDEMDEL RQSSERARQLEATLNSCRRRLGELQELRRQVRQLEERNAGHAERTRQLEEELRRAGSLRA QLEAQRRQVQELQGQWQEEAMKAEKWLFECRNLEEKCDLVTKEKERLLTERDSLREANEE LRCAQLQPRGLAQADLSLDPTPSGLENLAAEILPAELRETLVRLQLENKRLCQQEAADRE RQEELQRHLEEANRARHGLEAQQRLNQQQLSELRAQVEELQKALQEQGGKTEDPTLLKRK LEDHLQKLHEADLELQRKREYIEELEPPTDSSTARRIEELQDSLQKKDADLRAMEERYRR YVDKARTVIQTLEPKQRPPTVVSPEFHTLRSQLWERNLRIRQMEMDYEKSRRRQEQEEKL LISAWYSMGMALEHRAGEEHAPAHAQSFLAQQRLATNARRGPLGRQALSLRPTDKH >ENSMUSP00000025719.3 pep:known chromosome:GRCm38:19:29697921:29708448:1 gene:ENSMUSG00000024806.3 transcript:ENSMUST00000025719.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mlana description:melan-A [Source:MGI Symbol;Acc:MGI:108454] MPQEDIHFGYPRKGHRRSYVTAEEAAGIGILIVVLGIALLIGCWYCRRRSGYRTLMDKRR HIGIQKTSRERCSCESPDHQDSRLSSQEKSHQPVVPNAPPAYEKLSSPPPYSP >ENSMUSP00000022600.2 pep:known chromosome:GRCm38:14:79397772:79423650:1 gene:ENSMUSG00000022022.2 transcript:ENSMUST00000022600.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtrf1 description:mitochondrial translational release factor 1 [Source:MGI Symbol;Acc:MGI:2384815] MSHHLCIWLFRNPFLRACPQRHVFLSCQQFRQISLDTRPWNFRQKKTHVLYQLLNKSWSR GCCHQGTRKLWKHKALQKYMEDLNKEYQTLDQCLQGISENEGDRRALHRRHAQLAPLAAV YQEIQEAEQAIEELESLCKSLNKQDEKQLQELVSEERQIIDQKIHRLYSELLERLVPKEK YDWSDVILEVTSGRTTGGDICQQFTREIFDMYQNYSYYKHWKFELLNYTPADYGGLHHAA ARISGDSVYKHLKYEGGIHRVQRIPEVGLSSRMQRIHTGTMSVIVLPQPDEVDVKVDPKD LRVDTFRARGAGGQHVNTTDSAVRLVHIPTGLVVECQQERSQLKNKEIALRVLRARLYQQ IIEKDRCQQQNARKLQVGTRAQSERIRTYNFTQDRVTDHRIAYEVRDIKEFLRGEKCLDQ LIERLLQSADEEAISEFLDESLQSVK >ENSMUSP00000065771.3 pep:known chromosome:GRCm38:12:104929949:105009809:-1 gene:ENSMUSG00000054150.11 transcript:ENSMUST00000067005.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne3 description:spectrin repeat containing, nuclear envelope family member 3 [Source:MGI Symbol;Acc:MGI:2442408] MTTRRGPERPWRQGFERQSRIEWVWLHWSEYLLAQDEFYRWFQKMVVALEPPVELQLGLK EKQWQLSHAQVLLHNVDNQAVLLDRLLEEAGSLFSRIGDPSVDEDAQKRMKAEYDAVKAR AQRRVDLLAQVAQDHEQYREDVNEFQLWLKAVVEKVHSCLGRNCKLATELRLSTLQDIAK DFPRGEESLKRLEEQAVGVIQNTSPLGAEKISGELEEMRGVLEKLRVLWKEEEGRLRGLL QSRGDCEQQIQQLEAELGDFKKSLQRLAQEGLEPTVKTATEDELVAQWRLFSGTRAALAS EEPRVDRLQTQLKKLVTFPDLQSLSDSVVATIQEYQSMKGKNTRLHNATRAELWQRFQRP LNDLQLWKALAQRLLDITASLPDLASIHTFLPQIEAALTESSRLKEQLAMLQLKTDLLGS IFGQERAATLLEQVTSSVRDRDLLHNSLLQRKSKLQSLLVQHKDFGVAFDPLNRKLLDLQ ARIQAEKGLPRDLPGKQVQLLRLQGLQEEGLDLGTQIEAVRPLAHGNSKHQQKVDQISCD QQALQRSLEDLVDRCQQNVREHCTFSHRLSELQLWITMATQTLESHQGDVRLWDAESQEA GLETLLSEIPEKEVQVSLLQALGQLVMKKSSPEGATMVQEELRKLMESWQALRLLEENML SLMRNQQLQRTEVDTGKKQVFTNNIPKAGFLINPQDPIPRRQHGANPLEGHDLPEDHPQL LRDFEQWLQAENSKLRRIITMRVATAKDLRTREVKLQELEARIPEGQHLFENLLRLRPAR DPSNELEDLRYRWMLYKSKLKDSGHLLTESSPGELTAFQKSRRQKRWSPCSLLQKACRVA LPLQLLLLLFLLLLFLLPAGEEERSCALANNFARSFALMLRYNGPPPT >ENSMUSP00000093090.3 pep:known chromosome:GRCm38:12:104929949:104998677:-1 gene:ENSMUSG00000054150.11 transcript:ENSMUST00000095439.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne3 description:spectrin repeat containing, nuclear envelope family member 3 [Source:MGI Symbol;Acc:MGI:2442408] MTQQPQEDFERSVEDAQAWMKVIQEQLQVNDNTKGPRAALEARLRETEKICQLESEGMVK VELVLRAAEALLATCQEGQKPEILARLRDIKSQWEETVTYMTHCHSRIEWVWLHWSEYLL AQDEFYRWFQKMVVALEPPVELQLGLKEKQWQLSHAQVLLHNVDNQAVLLDRLLEEAGSL FSRIGDPSVDEDAQKRMKAEYDAVKARAQRRVDLLAQVAQDHEQYREDVNEFQLWLKAVV EKVHSCLGRNCKLATELRLSTLQDIAKDFPRGEESLKRLEEQAVGVIQNTSPLGAEKISG ELEEMRGVLEKLRVLWKEEEGRLRGLLQSRGDCEQQIQQLEAELGDFKKSLQRLAQEGLE PTVKTATEDELVAQWRLFSGTRAALASEEPRVDRLQTQLKKLVTFPDLQSLSDSVVATIQ EYQSMKGKNTRLHNATRAELWQRFQRPLNDLQLWKALAQRLLDITASLPDLASIHTFLPQ IEAALTESSRLKEQLAMLQLKTDLLGSIFGQERAATLLEQVTSSVRDRDLLHNSLLQRKS KLQSLLVQHKDFGVAFDPLNRKLLDLQARIQAEKGLPRDLPGKQVQLLRLQGLQEEGLDL GTQIEAVRPLAHGNSKHQQKVDQISCDQQALQRSLEDLVDRCQQNVREHCTFSHRLSELQ LWITMATQTLESHQGDVRLWDAESQEAGLETLLSEIPEKEVQVSLLQALGQLVMKKSSPE GATMVQEELRKLMESWQALRLLEENMLSLMRNQQLQRTEVDTGKKQVFTNNIPKAGFLIN PQDPIPRRQHGANPLEGHDLPEDHPQLLRDFEQWLQAENSKLRRIITMRVATAKDLRTRE VKLQELEARIPEGQHLFENLLRLRPARDPSNELEDLRYRWMLYKSKLKDSGHLLTESSPG ELTAFQKSRRQKRWSPCSLLQKACRVALPLQLLLLLFLLLLFLLPAGEEERSCALANNFA RSFALMLRYNGPPPT >ENSMUSP00000105553.1 pep:known chromosome:GRCm38:12:104929949:104998677:-1 gene:ENSMUSG00000054150.11 transcript:ENSMUST00000109927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syne3 description:spectrin repeat containing, nuclear envelope family member 3 [Source:MGI Symbol;Acc:MGI:2442408] MTTRRGPERPWRQGFERQSRIEWVWLHWSEYLLAQDEFYRWFQKMVVALEPPVELQLGLK EKQWQLSHAQVLLHNVDNQAVLLDRLLEEAGSLFSRIGDPSVDEDAQKRMKAEYDAVKAR AQRRVDLLAQVAQDHEQYREDVNEFQLWLKAVVEKVHSCLGRNCKLATELRLSTLQDIAK DFPRGEESLKRLEEQAVGVIQNTSPLGAEKISGELEEMRGVLEKLRVLWKEEEGRLRGLL QSRGDCEQQIQQLEAELGDFKKSLQRLAQEGLEPTVKTATEDELVAQWRLFSGTRAALAS EEPRVDRLQTQLKKLVTFPDLQSLSDSVVATIQEYQSMKGKNTRLHNATRAELWQRFQRP LNDLQLWKALAQRLLDITASLPDLASIHTFLPQIEAALTESSRLKEQLAMLQLKTDLLGS IFGQERAATLLEQVTSSVRDRDLLHNSLLQRKSKLQSLLVQHKDFGVAFDPLNRKLLDLQ ARIQAEKGLPRDLPGKQVQLLRLQGLQEEGLDLGTQIEAVRPLAHGNSKHQQKVDQISCD QQALQRSLEDLVDRCQQNVREHCTFSHRLSELQLWITMATQTLESHQGDVRLWDAESQEA GLETLLSEIPEKEVQVSLLQALGQLVMKKSSPEGATMVQEELRKLMESWQALRLLEENML SLMRNQQLQRTEVDTGKKQVFTNNIPKAGFLINPQDPIPRRQHGANPLEGHDLPEDHPQL LRDFEQWLQAENSKLRRIITMRVATAKDLRTREVKLQELEARIPEGQHLFENLLRLRPAR DPSNELEDLRYRWMLYKSKLKDSGHLLTESSPGELTAFQKSRRQKRWSPCSLLQKACRVA LPLQLLLLLFLLLLFLLPAGEEERSCALANNFARSFALMLRYNGPPPT >ENSMUSP00000132472.2 pep:known chromosome:GRCm38:8:102864779:102865853:-1 gene:ENSMUSG00000063696.6 transcript:ENSMUST00000076373.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8730 description:predicted pseudogene 8730 [Source:MGI Symbol;Acc:MGI:3644565] MPREDRATWKSNYFLKIIQLLDDYPKCFIVGADNVGSKQMQQIRMSLRGKAVVLMGKNTM MRKAIRGHLENNPALEKLLPHIRGNVGFVFTKEDLTEIRDMLLANKVPAAARAGAIAPCE VTVPAQNTGLGPEKTSFFQALGITTKISSGTIEILSDVQLIKTGDKVRASEATLLNMLNI SPFSFGLIIQQVFDNGSIYNPEVLDITEQALHSRFLEGVRNVASVCLQIGYPTVASVPHS IINGYKRVLALSVETEYTFPLAEKVKAFLADPSAFAAAAPAAAATTAAPAAAAAPAKAEA KEESEESDEDMGFGLFD >ENSMUSP00000055271.8 pep:known chromosome:GRCm38:13:55693124:55703499:1 gene:ENSMUSG00000045767.8 transcript:ENSMUST00000057844.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B230219D22Rik description:RIKEN cDNA B230219D22 gene [Source:MGI Symbol;Acc:MGI:1925771] MMHPVAGSNPAFCGPGKPSCLNEDAMRAADQFDLYSSQQNKYSHTVSHKPMVCQRQDPLN ETHLQPTSGRNIEIKDELKKKKNLNRSGKRGRPSGTTKSAGYRTSTGRPLGTTKAAGFKT SPGRPLGTTKAAGYKVSPGRPPGSIKALSRLADLGYGCGTAAFPYPMMHSRVVHGLQETS GEVKPPSE >ENSMUSP00000137703.1 pep:known chromosome:GRCm38:15:12321438:12321899:-1 gene:ENSMUSG00000097221.1 transcript:ENSMUST00000180521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810049J17Rik description:RIKEN cDNA 1810049J17 gene [Source:MGI Symbol;Acc:MGI:3704453] LGVALVVVVLLVAAALRLVLAAAAAASRRPLLVGSVPGGLGAPLHQARAPLGQRGHGSRT RAAPDRQGRGTGRLSLTAPAPGASVLILGAGAMSVGCGAEGGHEEPELGEAGGPGVDGVH KGAFSPRLWPPTQPRSPSGGGGGGGASQYGGAR >ENSMUSP00000021959.9 pep:known chromosome:GRCm38:13:55714650:55726221:1 gene:ENSMUSG00000021497.9 transcript:ENSMUST00000021959.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc15 description:thioredoxin domain containing 15 [Source:MGI Symbol;Acc:MGI:1916922] MQLLCWWQVLLWVLGLPAHGLEVAEDSGHPWREERPVPALQVGAVYLHEEEVAQDHRDQA RAAEPMEASLGPRGDPMVVLSVVPGAAEDQRSPEAHDGTCSAQGEEDPSCGRENLFGLQG AGGFQDREEEYYAEPGVTEAEPVATEDANSTDSLKSPKVNCEERNVTGLENFTLKILNMS QDLMDFLNPNGSDCTLVLFYTPWCRFSASLAPHFNSLPRAFPTLGFLALDASQHSSLSTR FGTVAVPNILLFQGAKPMARFNHTDRTLETLKIFIFNQTGIEAKKNVVVTQADQMGPLPS TLIKTVDWLLVFSLFFLISFIMYATIRTESIRWLIPGQEQEHAE >ENSMUSP00000086352.2 pep:known chromosome:GRCm38:15:85814676:85821733:-1 gene:ENSMUSG00000052496.4 transcript:ENSMUST00000064370.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkdrej description:polycystic kidney disease (polycystin) and REJ (sperm receptor for egg jelly homolog, sea urchin) [Source:MGI Symbol;Acc:MGI:1338786] MWPGPALLLLGLGLGLGSQPPPTGPRGLPGVLRGAPGLGQGAESSVRGGDTGGLSPRAAP RHASPTPPRRCPSGAAARVLLKVNSSDPAAAKANVSCQTAPCIMQPVKINRKDQNAPLIL SRDEEATLNITVRWYCPDALVIRKSWVYFSVASVNDTPDWNRPVLLPQVAVSKGFSLHIP KYALPYGVYVFNFTLSIFRWDLAWPTVTGSDIIYIWVRKRPLKAVLLGAPRVTVKFSDEL ILNGSMSYDPEADIPTWGLQFFWYCTTNPRYYSGNYVLVINQAVCHPEQDSLNWPWAVGS VLTIPPKTLRGNGVYYFRMVVQKQNRTAFSDKTVHVLQGLQPKAHISCIENCGPTLGVSD RFSLFLNCPSCGRQDLYSWSILSLTGHEVMFDWAGQAITRRNGPYLSIKAFAFRNFLENR VWVSLILKSWSGMTTTLRHPVVINHGPRVGKCKINPASGISMVTKFAVECSDFKDENLPL KYKIIVSELDSIGAISSVEENTLGSVVYSGAEPITPAFFLPVGTVTDEYNVRLYVQVYDS LGTFSQVTLQATVTAPTVRDSSKDVLQQLLNVTMKPTSLLSTLLQKQDWLQAGYLTYVVI SVLNNIKGEQELQDDRARLLEHLVKQSLTFAMNTADEIGQSVMVITKLTQKASDLSQADL EATSFRLRQASQDLQEYQHRHKHLQQVEVVGTGILTSLSNLLKLINPYYTLQDPLFVVES LSDTILANKVPGSKTTALRTSNFNMYVEKVENWNVFKAFRNDSLCPNCLRATLNASTVPR LPAKAPISMMFCEFADDPFPWLTYPENISVDVVGFRMTGVADNNKVIEITPDIAEVYLVR KDLTPSSFNLTVGPGIKSDGFSKVTTGGISFEVDSRWTGELLIHIVTNVTVLFEALVYEG CQLTPTNLMATFLVPNDIPPIVKWSGLFDPTCSVKEARVVCLSSSLLRSIAQRRFSFKYN ISMVLQASRFVLKPTNKLVRIALFMVHCLDMYGIQSDWQQSTCVLGEKTTWKTVHCVCRN GRRSRRQLTSVKLTYHHLHTHFVTAKVIVVPNPVDLRLAIISNLTQNPATFLAVLFIMIL YAILAFWALHRDVIDLYFRDNVVILTDNDPFDTLCYLVTIFTGSRWGSGTRANVFIQLMG TEGTSDVHCLSHPYFKTLYRGSINTFLLTTKNDLGDIHSIRVWHDNSGEAPSWYLSRIKV ENLFNKRIWLFVCRRWLSVDTTLDATFSVTNPDEPLKRTDFFMIDVRDKLRKNHMWISIF TEIVPKPFNRLQRLSCCLAMLLSSLVCNIMFFNLNQKEKIESRHMHIIRSMLIGIESVVI TIPVQLLITFFFTYSQKNLKMNLDKVAPQKHPLMSEEGLSWKERLHKWHEYEMKALPRRA AVSTSAPEEKEAFETSQKHEKADTQMSNKNSSNNNQEASEGVPPKAFSSQPHTTESVQKK TQIILPRWCVYIAWFLVFATSGISSFFIVFYGVTYGYAKSIEWLFASFCSFCQSVFLVQP CNILLRSGTRSYKPKYCKNLSWSSKYHYSEIRLQGLTMTQEEMEQLHEDIAYVRSLSMYQ PITEDKIQILRRENRIRRRSFLFLSYLVTHFIFLTLLLLLIFSLRHNDSFYYNQFIRHRF SVDLATVMKLGDIYTWLHGVFLPLLHNDPNPTFLPDSSSKILGLPLVRQVRARPSNKTCL LAKKFVQSSVAGEIHCHPQYGIDPEDTQHYSSVWSKAGKQSTDKASHGFTYKPPGKRWVY HSYGVLNTYGSGGYVFYFFPGQQMFNSTVRLKELEGKNWLDELTWAVIVELTTLNPDTSL MCSISVVFEVSPLGVVNSSLSVYSFSLADFNRKTSSEIYLYAAILIFFCAYVVDEGYIIR QERASYIRSVYNLLNFSLKCMFALLIVLFFWKYFLATKMVQLYLADPEAFIPFHAVSRVD HFMRIILAFLLFLTILKTLRYSRFFYNVRLAQKAIQAALPGICHTALVVSIYSFMYVAFG YLVFGQHEWNYSNMIHATQTIFSYCVSAFQNTEFSGNKVLGVLFLSSFMLVMICIFINLF QAVILSAYDEMKQPVYEEPSDEAEAVTYLCNRLKSGFDFLTTRSRDKDQSNFFVDMLYGQ PEKNTRRFLGLKARNINGKKMIYLVV >ENSMUSP00000060495.4 pep:known chromosome:GRCm38:13:56542460:56548538:-1 gene:ENSMUSG00000021539.8 transcript:ENSMUST00000062806.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lect2 description:leukocyte cell-derived chemotaxin 2 [Source:MGI Symbol;Acc:MGI:1278342] MIPTTILISAALLSSALAGPWANICASKSSNEIRTCDSYGCGQYSAQRTQRHHPGVDVLC SDGSVVYAPFTGKIVGQEKPYRNKNAINDGIRLSGRGFCVKIFYIKPIKYKGSIKKGEKL GTLLPLQKVYPGIQSHVHVENCDSSDPTAYL >ENSMUSP00000093240.2 pep:known chromosome:GRCm38:10:63115795:63203952:-1 gene:ENSMUSG00000020067.7 transcript:ENSMUST00000095580.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mypn description:myopalladin [Source:MGI Symbol;Acc:MGI:1916052] MQEDSIEASTSISQLLRESYLAETRHRGDNERSRAEPSSNPFHFSGPGAAEGGGPEDLPD LSAFLSQEELDESVNLARLAINHDPLERVDEAQARKRLSSDQTKHASKPSFEPAFHQDSS RGPASPKDSPPETKRPQYSSETQSKKVFLNKAADFIEELSSLFKAHSSKRIRPRACKNHK SKTESQNKVLQENSPTFSDLTERRERASVPIPIPADSRDNELNHAIEQREAKRREAELAA GEAAAGDSTPGSSPSSLYYEEPLGQPPRFTQKLRSREVPEGSRVQLDCIVVGIPPPQVRW YCEGKELENSPDIHIVQAGNLHSLTIAEAFEEDTGRYSCFASNIYGTDSTSAEIYIEGVS SSDSEGDPNKEEMNRIQKPNEVSSPPTTSAAIPPAAEAQPLAAQPRVSTVQQCQSPTNYL QGLNGKPIIAAPVFTKMLQNLSASEGQLVVFECRVKGAPSPKVEWYREGTLIEDSPDFRI LQKKPRSMAEPEEICTLVIAEVFSEDSGCFTCTASNKYGTVSSIAQLDVRGNEDISDNGA LHSANSTTNPAVAEHQPSPLNPQPLSEEQPPKPKLEGVLVNHNEPRSSSRIGLRVHFNLP EDDKDMEASSGSGAANTSQTRPNSFPERFNGQEARIPEPSSPIKEPPPVLAKPKLDSTQL QQLHNQVLLEQQQLQNTSPSSPKESLHMSALNSAPPAVTISSKQVKGPAPQMFNLARPKH FFPASSTSTATVSPSSSPVFTLSNTPQTIQRTVSKESLLMAHPSTQGRSPGGLSIQNEPA PPSPAEPAAPPTAAYSIPSGNQFQPHCVSPTPVSPTGRIQNPVAFLSSVLPSLPSIPPTN AMGLPKSAPSVPSQGLMKKTTKAPQAVSDDYIRETKNSVILDLGKKVNFGDVRSHQQEYK ISSFEQRLMNEIEFRLERTPVDESDDEIEHDEIPTGKCIAPIFDKRLKHFRVTEGSPVTF TCKIVGIPVPKVYWFKDGKQISKRNEHCKMRREGDGTCSLHIESTHGDDDGNYTIMAANP QGRISCSGHLMVQGLPIRSRLSPALSHRGRSRMQERDKEPLQERFFRPHFLQAPGDMVAH EGRLCRLDCKVSGLPPPELTWLLNGQPVLPDASHKMLVRETGVHSLLIDPLTQRDAGTYT CVATNKTGQNSFSLELTVVAKEVKKAPVILEKLQNSGVPEGHPVRLEGRVIGMPPPVFYW KKDNETIPFTRERISMHQDTTGYVCLLIQPAKKSDAGWYTLSAKNEAGIVSCTARLDIYA QWHQQIPTPISIRPSGSRYGSLTSKGLDIFSAFSSVESTMLYSCTSRSVVESDEL >ENSMUSP00000129146.1 pep:known chromosome:GRCm38:15:102102988:102116401:1 gene:ENSMUSG00000037003.15 transcript:ENSMUST00000169627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns2 description:tensin 2 [Source:MGI Symbol;Acc:MGI:2387586] MKSSGPVERLLRALGRRDSSRATSRPRKAEPHSFREKVFRKKTPVCAVCKVTIDGTGVSC RVCKVATHRKCEAKVTSSCQALPPAELRRSTAPVRRIEHLGSTKSLNHSKQRSTLPRSFS LDPLMERRWDLDLTYVTERILAAAFPARPDEQRHRGHLRELAHVLQSKHRDKYLLFNLSE KRHDLTRLNPKVQDFGWPELHAPPLDKLCSICKAMETWLSADPQHVVVLYCKGSKGKLGV IVSAYMHYSKISAGADQALATLTMRKFCEDKVATELQPSQRRYVSYFSGLLSGSIRMNSS PLFLHYVFVPVLPAFEPNTGFQPFLKIYQSMQLVYTSGVYRIAGPGPQQLCISLEPALLL KGDVMVTCYHKGGQGTDRTLVFRVQFHTCTIHGSRLTFPKDQLDEAWADERFPFQASVEF VFSSSPEKVKGNTPRNDPSVSVDYNTTEPAVRWDSYENFNQHHEDSVDGALAHTRGPLDG SPYAQVQRVPRQTPPAPSPELPPPPMLSVSSDSGHSSTLTTEHTAESPGRPPPTAAERQE LDRLLGGCGVASAGRGAGRETAILDDEEQPSVGGGLHLGMYSGHRPGLSRRCSCRQGFRE PCGVPNGSYYRPEGTLERRRPPYGGYEGHPQGYAEASVEKRRLCRSLSEGPYPYAPELGK PANGDFGYRPAGYREVVILEDPGVPALCSCPACEEKLALPTAALYGLRLEREAAEGWSSE VGKPLLHPVRPGHPLPLLVPACGHHHAPMPDYGCLKPPKVGEEGHEGCSYAVCSEGRYGH SGYPALVTYGYGGAVPSYCPAYGRAPHSCGSPSEGRGYPSPGAHSPRAGSVSPGSPPYLQ PRKLGYEISAEDGRDKYPLSGHLASTGPLASTESPEPSWRDGSSGHSTLPRSPRDPQCSA SSELSGPSTPLHTSSPVQGKESNRRQDTTRSPSLAPTQRLSPGEALPSVVQGVAEKTPEL LTSSRPEQLDPSPFSQTSAPGSPNGWPQERSPGGHTNSASPRSPVPTTLPGLRHAPWQGP RGTSDSPDGSPLTPVPTQMPWLVGSPEPPQSSPTPAFPLATSYDANGPIQPPLPEKRHLP GSGQQPSPPARSTNQHVTFASPLPDVTQPPEHPLQENQSNVKFVQDTSKFWYKPHLSRDQ AIALLKDKDPGAFLIRDSHSFQGAYGLALKVATPPPSAQPWKGDPSEQLVRHFLIETGPK GVKIKGCPTEPYFGSLSALVSQHSISPISLPCCLRIPSKDPLEETPEAPVPTNMSTAADL LRQGAACSVLYLTSVETESLTGPQAVAKASSAALSCSPVPVPAIVHFKVSAQGITLTDNQ RKLFFRRHYPVNSITFSSTDPQDRRWTNPDGATSKIFGFVAKKPGSPWENVCHLFAELDP DQPASAIVTFITKVLLGQRK >ENSMUSP00000041087.9 pep:known chromosome:GRCm38:15:102102988:102116391:1 gene:ENSMUSG00000037003.15 transcript:ENSMUST00000046144.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tns2 description:tensin 2 [Source:MGI Symbol;Acc:MGI:2387586] MKSSGPVERLLRALGRRDSSRATSRPRKAEPHSFREKVFRKKTPVCAVCKVTIDGTGVSC RVCKVATHRKCEAKVTSSCQALPPAELRRSTAPVRRIEHLGSTKSLNHSKQRSTLPRSFS LDPLMERRWDLDLTYVTERILAAAFPARPDEQRHRGHLRELAHVLQSKHRDKYLLFNLSE KRHDLTRLNPKVQDFGWPELHAPPLDKLCSICKAMETWLSADPQHVVVLYCKGSKGKLGV IVSAYMHYSKISAGADQALATLTMRKFCEDKVATELQPSQRRYVSYFSGLLSGSIRMNSS PLFLHYVFVPVLPAFEPNTGFQPFLKIYQSMQLVYTSGVYRIAGPGPQQLCISLEPALLL KGDVMVTCYHKGGQGTDRTLVFRVQFHTCTIHGSRLTFPKDQLDEAWADERFPFQASVEF VFSSSPEKVKGNTPRNDPSVSVDYNTTEPAVRWDSYENFNQHHEDSVDGALAHTRGPLDG SPYAQVQRVPRQTPPAPSPELPPPPMLSVSSDSGHSSTLTTEHTAESPGRPPPTAAERQE LDRLLGGCGVASAGRGAGRETAILDDEEQPSVGGGLHLGMYSGHRPGLSRRCSCRQGFRE PCGVPNGSYYRPEGTLERRRPPYGGYEGHPQGYAEASVEKRRLCRSLSEGPYPYAPELGK PANGDFGYRPAGYREVVILEDPGVPALCSCPACEEKLALPTAALYGLRLEREAAEGWSSE VGKPLLHPVRPGHPLPLLVPACGHHHAPMPDYGCLKPPKVGEEGHEGCSYAVCSEGRYGH SGYPALVTYGYGGAVPSYCPAYGRAPHSCGSPSEGRGYPSPGAHSPRAGSVSPGSPPYLQ PRKLGYEISAEDGRDKYPLSGHLASTGPLASTELFPPVSESPEPSWRDGSSGHSTLPRSP RDPQCSASSELSGPSTPLHTSSPVQGKESNRRQDTTRSPSLAPTQRLSPGEALPSVVQGV AEKTPELLTSSRPEQLDPSPFSQTSAPGSPNGWPQERSPGGHTNSASPRSPVPTTLPGLR HAPWQGPRGTSDSPDGSPLTPVPTQMPWLVGSPEPPQSSPTPAFPLATSYDANGPIQPPL PEKRHLPGSGQQPSPPARSTNQHVTFASPLPDVTQPPEHPLQENQSNVKFVQDTSKFWYK PHLSRDQAIALLKDKDPGAFLIRDSHSFQGAYGLALKVATPPPSAQPWKGDPSEQLVRHF LIETGPKGVKIKGCPTEPYFGSLSALVSQHSISPISLPCCLRIPSKDPLEETPEAPVPTN MSTAADLLRQGAACSVLYLTSVETESLTGPQAVAKASSAALSCSPVPVPAIVHFKVSAQG ITLTDNQRKLFFRRHYPVNSITFSSTDPQDRRWTNPDGATSKIFGFVAKKPGSPWENVCH LFAELDPDQPASAIVTFITKVLLGQRK >ENSMUSP00000129869.1 pep:known chromosome:GRCm38:8:92960079:92998924:1 gene:ENSMUSG00000055368.13 transcript:ENSMUST00000165470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a2 description:solute carrier family 6 (neurotransmitter transporter, noradrenalin), member 2 [Source:MGI Symbol;Acc:MGI:1270850] MLLARMNPQVQPELGGADPLPEQPLRPCKTADLLVVKERNGVQCLLASQDSDAQPRETWG KKIDFLLSVVGFAVDLANVWRFPYLCYKNGGGAFLIPYTLFLIIAGMPLFYMELALGQYN REGAATVWKICPFFKGVGYAVILIALYVGFYYNVIIAWSLYYLFASFTLNLPWTNCGHSW NSPNCTDPKLLNASVLGDHTKYSKYKFTPAAEFYERGVLHLHESSGIHDIGLPQWQLLLC LMVVIVVLYFSLWKGVKTSGKVVWITATLPYFVLFVLLVHGVTLPGASNGINAYLHIDFY RLKEATVWIDAATQIFFSLGAGFGVLIAFASYNKFDNNCYRDALLTSTINCVTSFISGFA IFSILGYMAHEHKVNIEDVATEGAGLVFILYPEAISTLSGSTFWAVLFFLMLLALGLDSS MGGMEAVITGLADDFQVLKRHRKLFTCVVTISTFLLALFCITKGGIYVLTLLDTFAAGTS ILFAVLMEAIGVSWFYGVDRFSNDIQQMMGFKPGLYWRLCWKFVSPAFLLFVVVVSIINF KPLTYDDYTYPPWANWVGWGIALSSMILVPAYVIYKFLSIRGSLWERVAYGITPENEHHL VAQRDVRQFQLRHWLAI >ENSMUSP00000072709.6 pep:known chromosome:GRCm38:8:92961047:93001667:1 gene:ENSMUSG00000055368.13 transcript:ENSMUST00000072939.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a2 description:solute carrier family 6 (neurotransmitter transporter, noradrenalin), member 2 [Source:MGI Symbol;Acc:MGI:1270850] MLLARMNPQVQPELGGADPLPEQPLRPCKTADLLVVKERNGVQCLLASQDSDAQPRETWG KKIDFLLSVVGFAVDLANVWRFPYLCYKNGGGAFLIPYTLFLIIAGMPLFYMELALGQYN REGAATVWKICPFFKGVGYAVILIALYVGFYYNVIIAWSLYYLFASFTLNLPWTNCGHSW NSPNCTDPKLLNASVLGDHTKYSKYKFTPAAEFYERGVLHLHESSGIHDIGLPQWQLLLC LMVVIVVLYFSLWKGVKTSGKVVWITATLPYFVLFVLLVHGVTLPGASNGINAYLHIDFY RLKEATVWIDAATQIFFSLGAGFGVLIAFASYNKFDNNCYRDALLTSTINCVTSFISGFA IFSILGYMAHEHKVNIEDVATEGAGLVFILYPEAISTLSGSTFWAVLFFLMLLALGLDSS MGGMEAVITGLADDFQVLKRHRKLFTCVVTISTFLLALFCITKGGIYVLTLLDTFAAGTS ILFAVLMEAIGVSWFYGVDRFSNDIQQMMGFKPGLYWRLCWKFVSPAFLLFVVVVSIINF KPLTYDDYTYPPWANWVGWGIALSSMILVPAYVIYKFLSIRGSLWERVAYGITPENEHHL VAQRDVRQFQLRHWLAI >ENSMUSP00000064893.7 pep:known chromosome:GRCm38:15:83299740:83350247:-1 gene:ENSMUSG00000054277.7 transcript:ENSMUST00000067215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgap3 description:ADP-ribosylation factor GTPase activating protein 3 [Source:MGI Symbol;Acc:MGI:1913501] MGDPSKQDILAIFKRLRSVPTNKVCFDCGAKNPSWASISYGVFLCIDCSGSHRSLGVHLS FIRSTELDSNWSWFQLRCMQVGGNSNASSFFHQHGCATKDTNAKYNSRAAQLYREKIKTL ATQATRRHGTDLWLDSCAAPPVSPPPKEEDFFASHASLEVSGAMQASAQPESASSTPWGL ETTPEKHEGGPGQGPSVEGLNTPGKAAPEVSSIIKKKPNQAKKGLGAKKGSLGAQKLTNT SFTEIEKQAQAVDKRKEQEDLARGAPKEESIVSSLRLAYKDLEISRKKDERLNLSGQKKV EAERLGMGFGSCRSGISHSVTSDMQTIEQESPTLAKPRRKYQEDPEDSYFSSSSKWSEQS SSRYFDDPMELRSSSFSSWDDGADSYWKKDSSRDPEPAMRSTGSSDRPSARRKPEYEPIG STDEAQKKFGNVKAISSDMYFGIQAQTDFETRARLERLSTSSSISSADLFDEQRKQTAGN YNLSNVLPNAPDMAQFKQGVRSVAGKLSVFANGVMTSIQDRYGS >ENSMUSP00000044123.4 pep:known chromosome:GRCm38:8:86723940:86746006:-1 gene:ENSMUSG00000036840.4 transcript:ENSMUST00000045296.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siah1a description:seven in absentia 1A [Source:MGI Symbol;Acc:MGI:108064] MSRQTATALPTGTSKCPPSQRVPALTGTTASNNDLASLFECPVCFDYVLPPILQCQSGHL VCSNCRPKLTCCPTCRGPLGSIRNLAMEKVANSVLFPCKYASSGCEITLPHTEKAEHEEL CEFRPYSCPCPGASCKWQGSLDAVMPHLMHQHKSITTLQGEDIVFLATDINLPGAVDWVM MQSCFGFHFMLVLEKQEKYDGHQQFFAIVQLIGTRKQAENFAYRLELNGHRRRLTWEATP RSIHEGIATAIMNSDCLVFDTSIAQLFAENGNLGINVTISMC >ENSMUSP00000074518.2 pep:known chromosome:GRCm38:15:79360684:79403303:-1 gene:ENSMUSG00000009035.13 transcript:ENSMUST00000074991.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem184b description:transmembrane protein 184b [Source:MGI Symbol;Acc:MGI:2445179] MTVRGAALAPDPASPTTTTASPSVSATPEGSPTAMEHPVFLMTTAAQAISGFFVWTALLI TCHQIYMHLRCYSRPNEQRHIVRILFIVPIYAFDSWLSLLFFTNDQYYVYFGTVRDCYEA FVIYNFLSLCYEYLGGESAIMSEIRGKAIESSCMYGTCCLWGKTYSIGFLRFCKQATLQF CVVKPLMAVSTVILQAFGKYRDGDFDVTSGYLYVTIIYNISVSLALYALFLFYFATRELL SPYSPVLKFFMVKSVIFLSFWQGMLLAILEKCGAIPKINSARVSVGEGTVAAGYQDFIIC VEMFFAALALRHAFTYKVYADKRLDAQGRCAPMKSISSSLKETMNPHDIVQDAIHNFSPA YQQYTQQSTLEPGPTWRGGTHSLSRSHSLSGARDNEKTLLLSSDDEF >ENSMUSP00000136416.1 pep:known chromosome:GRCm38:15:79360684:79402919:-1 gene:ENSMUSG00000009035.13 transcript:ENSMUST00000178522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem184b description:transmembrane protein 184b [Source:MGI Symbol;Acc:MGI:2445179] MTVRGAALAPDPASPTTTTASPSVSATPEGSPTAMEHPVFLMTTAAQAISGFFVWTALLI TCHQIYMHLRCYSRPNEQRHIVRILFIVPIYAFDSWLSLLFFTNDQYYVYFGTVRDCYEA FVIYNFLSLCYEYLGGESAIMSEIRGKAIESSCMYGTCCLWGKTYSIGFLRFCKQATLQF CVVKPLMAVSTVILQAFGKYRDGDFDVTSGYLYVTIIYNISVSLALYALFLFYFATRELL SPYSPVLKFFMVKSVIFLSFWQGMLLAILEKCGAIPKINSARVSVGEGTVAAGYQDFIIC VEMFFAALALRHAFTYKVYADKRLDAQGRCAPMKSISSSLKETMNPHDIVQDAIHNFSPA YQQYTQQSTLEPGPTWRGGTHSLSRSHSLSGARDNEKTLLLSSDDEF >ENSMUSP00000020926.6 pep:known chromosome:GRCm38:12:14147599:14152038:-1 gene:ENSMUSG00000020607.6 transcript:ENSMUST00000020926.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam84a description:family with sequence similarity 84, member A [Source:MGI Symbol;Acc:MGI:2145011] MGNQLDRITHLNYSELPTGDPSGIEKDELRVGVAYFFSDEEEDLDERGQPDKFGVKGPPG CSPCPESPSRHHHHLLHQLVLNETQFSAFRGQECIFSKVTGGPQGADLSVYAVTALPAIC EPGDLLELLWLQPATEQPAPAPHWAVYVGGGQIIHLHQGEIRQDSLYQAGAANVGRVVNS WYRYRPLVAELVVQNACGHLGLKSEEICWTNSESFAAWCRFGKREFKAGGEVPAGTQPPQ QQYYLKVHLEENKVHTARFHSLEDLIREKRRIDASGRLRVLQELEDFVDDKE >ENSMUSP00000096932.3 pep:known chromosome:GRCm38:10:95515162:95545658:1 gene:ENSMUSG00000074781.4 transcript:ENSMUST00000099329.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2n description:ubiquitin-conjugating enzyme E2N [Source:MGI Symbol;Acc:MGI:1934835] MAGLPRRIIKETQRLLAEPVPGIKAEPDESNARYFHVVIAGPQDSPFEGGTFKLELFLPE EYPMAAPKVRFMTKIYHPNVDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDP LANDVAEQWKTNEAQAIETARAWTRLYAMNNI >ENSMUSP00000061646.5 pep:known chromosome:GRCm38:12:36381519:36689444:1 gene:ENSMUSG00000043153.5 transcript:ENSMUST00000062041.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ispd description:isoprenoid synthase domain containing [Source:MGI Symbol;Acc:MGI:1923097] MEPGPCSRPAEPGHCVSGPAGAGSAFPESPLSVAGAEPGNRPGTVAAVLPAGGCGERMGV RTPKQFCRVLERPLISYTLQAMERVCWIKDIVVTVTGENMEAMRSIIQRYGHKRISLAEA GATRHRSIFNGLKALAEDQPDCKLTKPEVVIIHDAVRPFVEEDILLRVVLAAKEHGAAGA IRPLVSTVISPSADGHLDHSLDRAKHRASEMPQAFLFDVIYEAYQQCSDFDLEFGTECLQ LALKYCHRKAKLVEGPPALWKVTYKQDLCAAEAMIKEKISQEICVVMNTKDEESVGHLLE EALRKELNCMKITSTVMDHIGGDIRNFIEQCYSFICVNVVSPDSQETRKLLRILEESSLP LLYPVVVVLVHCFDFTSVPLAQKMESLVWIRGLAKEVKERNILLSGLLLNYSQDEQKLQE SLGQSAAIIAALVKERNSALVGQLLVA >ENSMUSP00000001712.6 pep:known chromosome:GRCm38:10:75646110:75764357:-1 gene:ENSMUSG00000020196.9 transcript:ENSMUST00000001712.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabin1 description:calcineurin binding protein 1 [Source:MGI Symbol;Acc:MGI:1298375] MIRIAALNASSTIEDDHEGSFKSHKIQTKEAQEAEAFALYHKALDLQKHDRFEESAKAYH ELLEARLLREAVSSGDEKEGLKHPGLILKYSTYKNLAQLAAQREDLETAMEFYLEAVMLD STDVNLWYKIGHVALRLIRLPLARHAFEEGLRCNPDHWPCLDNLITVLYTLSDYTTCLYF ICKALEKDCRYSKGLVLKEKIFEEQPCLRKDSLRMFLKCDMSIHDVSVSAAETQAIVDEA LGLRKKRQALIVREKEPDLKLVQPIPFFTWKCLGESLLAMYSHLTTCEPPRPSLGKRIDL SDYQDPSQLLASSVVVTPVSVVQPSPICTNPTVAVAEPVLSYTSVTAASFPLHSPSLLDT GTPMGDVSGGDKSKKGVKRKKTVEESGETAKRRSARVRNTKCKKEEKVDFQGLLVKFLPS RLRKLDPEEEDDPFNNYEVQAEAKLESFSNVGPHRLSFDSATFMESEKQDVHAFLMENLT NGGLLELMMRYLKSMGHKFLLKWPPGLADVVLSVYHSWRRHSTSLPNPLLRDCSNKHIKD MMLMSLSCMELQLDQWLLTKGRSSAVSPRNCPAGVVTGRFGPDFPGTHCLGDLLQLSFAS SQRDLFEDGWLEFVVRVYWLKARFLALQGDMEQALENYDICTEILQSSSAIQAQAGAEQG DIVIRLPNLHNDSVVSLEEIDKNLKSLERCQSLEEIQRLFEAGDYKAVVHLLRPTLCTSG FDRAKHLEFMTSIPERPAQLLLLQDSLLRLKDHRQCFECSDVALNEAVQQMLNSSESAAK EEWVATVTQLLLGIEQALSSDSSGSILKESSSTTGLVRLTNNLIQVIDCSMAVQEEPKEP YVSSVLPWIILHRIIWQEEDTFRSLCHQQQLQNPTEEGIPEMPMLPSSLMLLNTAHEYLG RRSWCCNSDGALLRFYVQVLQKELAASASEDTHPYKEELETALEQCFYCLYSFPSKKSKA RYLEEHSAQQVDLIWEDALFMFEYFKPKTLPEFDSYKTSTVSADLANLLKRIATIVPRTE KPALSMDKVSAYIEGTSAEAPCLPEGADPTPPVLNELYYLLADYHFKNKEQSKAIKFYMH DICICPNRFDSWAGMALARASRIQDKLNSNELKSDGPIWKHATPVLNCFRRALEIDSSNL SLWIEYGTMSYALHSFASRQLKQWRSELPPELVQQMEDRRDSMLETARHCFTSAARCEGD GDEEEWLIHYMLGKVAEKQQQPPTVYLLHYRQAGHYLHEEAARYPKKIHYHNPPELAMEA LEVYFRLHASILKLLGKPDSGVGAEVLVSFMKEAAEGPFARGEEKNTPKASEKEKACLVD EDSHSSAGTLPGPGASLPSSSGPGLTSPPYTATPIDHDYVKCKKPHQQAAPDDRSQDSTA VALSDSSSTQDFFNEPTSLLEGSRKLFPEKRLSGLSAQAGPSGKDLPGPTEERGKTEESL ESTEAFRVVEQSVQKPVADSSASAYIPSKPPASTPPLWDGKKRGDSLGEPVAFPQGLPAG AEEQRQFLTEQCISSFCLCLSRFPQHYKSLYRLAFLYTYSKTHRNLQWARDVLLGSSIPW QQLQHMPAQGLFCERNKTNFFNGIWRIPVDEIDRPGSFAWHMNRSIVLLLKVLAQLRDHS TLLKVSSMLQRTPDQGKKYLRDADRQVLAQRAFILTVKVLEDTLSELAEGSEHPGSKACG LSGARMTTDVSHKASPEDGQESPQHPKKLPLADGSGPGPEPGGRMGPLNQLPVVTDIRDN TDQGGEQKDKESPQVGPTEPMDTSEAAGRHSDLEQTSRLLPGRPPRDRGPESRSAELSLE ELSISTRQQPAPLAPSPAAPTTTAAPTTMAARGAGHSEEAPPRPNRKRKLLEDTESGKTL LLDAYRVWQQGQKGMAYDLSRIEKIMSETYMLIKQVDEETALEQAVKFCQVHLGAATQRQ ASGDTPTTPKHPKDSRENFFPATVVPTVPDPTAPDALQRPSDSHLKPVLATVITCPPSAS ASIPDLSTDPGIPRPHRPETTPSRAPLSPEGEEASGVTEGTSILPQEPRYSHQIKMAATG HLAEQHCWPVEVACQTGAEPTCSQASSTKVPSSGSTQTAESHQGKTESSRAKSRLLPNMP KLVIPSATTKSPPEITVTPPTPTLLSPKGSISEETKQKLKSAILSAQSAANVRKESLCQP ALEVLETSSQESSLESETDEDDDFMDV >ENSMUSP00000032898.7 pep:known chromosome:GRCm38:14:120911194:120948042:1 gene:ENSMUSG00000030662.9 transcript:ENSMUST00000032898.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipo5 description:importin 5 [Source:MGI Symbol;Acc:MGI:1917822] MAAAAAEQQQFYLLLGNLLSPDNVVRKQAEETYENIPGRSKITFLLQAIRNTTAAEEARQ MAAVLLRRLLSSAFDEVYPALPSDVQTAIKSELLMIIQMETQSSMRKKICDIAAELARNL IDEDGNNQWPEGLKFLFDSVSSQNMGLREAALHIFWNFPGIFGNQQQHYLDVIKRMLVQC MQDQEHPSIRTLSARATAAFILANEHNVALFKHFADLLPGFLQAVNDSCYQNDDSVLKSL VEIADTVPKYLRPHLEATLQLSLKLCGDTNLNNMQRQLALEVIVTLSETAAAMLRKHTSL IAQTIPQMLAMMVDLEEDEDWANADELEDDDFDSNAVAGESALDRMACGLGGKLVLPMIK EHIMQMLQNPDWKYRHAGLMALSAIGEGCHQQMEGILNEIVNFVLLFLQDPHPRVRYAAC NAVGQMATDFAPGFQKKFHEKVIAALLQTMEDQGNQRVQAHAAAALINFTEDCPKSLLIP YLDNLVKHLHSIMVLKLQELIQKGTKLVLEQVVTSIASVADTAEEKFVPYYDLFMPSLKH IVENAVQKELRLLRGKTIECISLIGLAVGKEKFMQDASDVMQLLLKTQTDFNDMEDDDPQ ISYMISAWARMCKILGKEFQQYLPVVMGPLMKTASIKPEVALLDTQDMENMSDDDGWEFV NLGDQQSFGIKTAGLEEKSTACQMLVCYAKELKEGFVEYTEQVVKLMVPLLKFYFHDGVR VAAAESMPLLLECARVRGPEYLTQMWHFMCDALIKAIGTEPDSDVLSEIMHSFAKCIEVM GDGCLNNEHFEELGGILKAKLEEHFKNQELRQVKRQDEDYDEQVEESLQDEDDNDVYILT KVSDILHSIFSSYKEKVLPWFEQLLPLIVNLICPQRPWPDRQWGLCIFDDIVEHCSPASF KYAEYFISPMLQYVCDNSPEVRQAAAYGLGVMAQFGGDNYRPFCTDALPLLVRVIQAPEA KTKENVNATENCISAVGKIMKFKPDCVNVEEVLPHWLSWLPLHEDKEEAVQTFSYLCDLI ESNHPIVLGPNNTNLPKIFSIIAEGEMHEAIKHEDPCAKRLANVVRQVQTSGGLWTECIA QLSPEQQAAIQELLNSA >ENSMUSP00000021539.8 pep:known chromosome:GRCm38:12:106010263:106077410:1 gene:ENSMUSG00000021115.14 transcript:ENSMUST00000021539.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk1 description:vaccinia related kinase 1 [Source:MGI Symbol;Acc:MGI:1261847] MPRVKAAQAGRPGPAKRRLAEQFAAGEVLTDMSRKEWKLGLPIGQGGFGCIYLADTNSSK PVGSDAPCVVKVEPSDNGPLFTELKFYQRAAKPEQIQKWIRTHKLKYLGVPKYWGSGLHD KNGKSYRFMIMDRFGSDLQKIYEANAKRFSRKTVLQLSLRILDILEYIHEHEYVHGDIKA SNLLLSHKNPDQVYLVDYGLAYRYCPDGVHKEYKEDPKRCHDGTLEFTSIDAHKGVAPSR RGDLEILGYCMIQWLSGCLPWEDNLKDPNYVRDSKIRYRDNVAALMEKCFPEKNKPGEIA KYMESVKLLEYTEKPLYQNLRDILLQGLKAIGSKDDGKLDFSAVENGSVKTRPASKKRKK EAEESAVCAVEDMECSDTQVQEAAQTRSVESQGAIHGSMSQPAAGCSSSDSSRRQQHLGL EQDMLRLDRRGSRTRKKAQK >ENSMUSP00000082101.4 pep:known chromosome:GRCm38:12:106010263:106077410:1 gene:ENSMUSG00000021115.14 transcript:ENSMUST00000085026.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk1 description:vaccinia related kinase 1 [Source:MGI Symbol;Acc:MGI:1261847] MPRVKAAQAGRPGPAKRRLAEQFAAGEVLTDMSRKEWKLGLPIGQGGFGCIYLADTNSSK PVGSDAPCVVKVEPSDNGPLFTELKFYQRAAKPEQIQKWIRTHKLKYLGVPKYWGSGLHD KNGKSYRFMIMDRFGSDLQKIYEANAKRFSRKTVLQLSLRILDILEYIHEHEYVHGDIKA SNLLLSHKNPDQVYLVDYGLAYRYCPDGVHKEYKEDPKRCHDGTLEFTSIDAHKGVAPSR RGDLEILGYCMIQWLSGCLPWEDNLKDPNYVRDSKIRYRDNVAALMEKCFPEKNKPGEIA KYMESVKLLEYTEKPLYQNLRDILLQGLKAIGSKDDGKLDFSAVENGSVKTRPASKKRKK EAEESAVCAVEDMECSDTQVQEAAQTRSRRQQHLGLEQDMLRLDRRGSRTRKKAQK >ENSMUSP00000071922.5 pep:known chromosome:GRCm38:12:106010263:106077410:1 gene:ENSMUSG00000021115.14 transcript:ENSMUST00000072040.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vrk1 description:vaccinia related kinase 1 [Source:MGI Symbol;Acc:MGI:1261847] MPRVKAAQAGRPGPAKRRLAEQFAAGEVLTDMSRKEWKLGLPIGQGGFGCIYLADTNSSK PVGSDAPCVVKVEPSDNGPLFTELKFYQRAAKPEQIQKWIRTHKLKYLGVPKYWGSGLHD KNGKSYRFMIMDRFGSDLQKIYEANAKRFSRKTVLQLSLRILDILEYIHEHEYVHGDIKA SNLLLSHKNPDQVYLVDYGLAYRYCPDGVHKEYKEDPKRCHDGTLEFTSIDAHKGVAPSR RGDLEILGYCMIQWLSGCLPWEDNLKDPNYVRDSKIRYRDNVAALMEKCFPEKNKPGEIA KYMESVKLLEYTEKPLYQNLRDILLQGLKAIGSKDDGKLDFSAVENGSVKTRPASKKRKK EAEESAVCAVEDMECSDTQVQEAAQTRSRTRKKAQK >ENSMUSP00000076666.5 pep:known chromosome:GRCm38:13:60601947:60763185:1 gene:ENSMUSG00000021559.13 transcript:ENSMUST00000077453.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dapk1 description:death associated protein kinase 1 [Source:MGI Symbol;Acc:MGI:1916885] MTVFRQENVDDYYDTGEELGSGQFAVVKKCREKSTGLQYAAKFIKKRRTKSSRRGVSRED IEREVSILKEIRHPNVITLHEVYENKTDVILILELVAGGELFDFLAEKESLTEEEATEFL KQILSGVYYLHSLQIAHFDLKPENIMLLDRNVPKPRIKIIDFGLAHKIDFGNEFKNIFGT PEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGDTKQETLANVSAVNYDFEEEF FRNTSTLAKDFIRRLLVKDPKKRMTIQDSLQHPWIKPKDTQQALSRKASAVNMEKFKKFA ARKKWKQSVRLISLCQRLSRSFLSRSNMSVARSDDTLDEEDSFVMKAIIHAINDDNVPGL QHLLGSLSSYDVNQPNKHGTPPLLIAAGCGNIQMLQLLIKRGSRIDVQDKGGSNAIYWAS RHGHVDTLKFLNENKCPLDVKDKSGETALHVAARYGHADVVQLLCSFGSNPDFQDKEEET PLHCAAWHGYYSVAKALCEVGCNVNIKNREGETPLLTASARGYHDIVECLAEHGADLNAS DKDGHIALHLAVRRCQMEVIKTLLGHGSFVDFQDRHGNTPLHVACKDGSAPIVVALCEAS CNLDISNKYGRTPLHLAANNGILDVVRYLCLMGANVEALTSDGKTAEDLAKAEQHEHVAG LLARLRKDTHRGLFIQQLRPTQNLQPRIKLKLFGHSGSGKSTLVESLKCGLLRSFFRRRR PRLSSTNSTRFPPSPLAAKPTVSVSINNLYPGCENVSVRSRSMMFEPGLTKGMLEVFVAP SHHLHCSTDDQSTKAIDIQNAYLNGVGDFSVWEFSGNPVYFCCYDYFAANDPTSIHIIVF SLEEPYEIQLNQVIFWLSFLKSLVPVEEPIAFGGKLKNPLRVVLVATHADIMNIPRPAGG EFGYDKDTSLLKEIRNRFGNDLHVSNKLFVLDAGASGSKDIKVLRNHLQEIRSQIVSGCS PMTHLCEKIISTLPSWRKLNGPNQLMSLQQFVYDVQDQLNPLASEDDLRRIAQQLHSTGE INIMQSETVQDVLLLDPRWLCTNVLGKLLSVETPRALHHYRGRYTMEDIQRLVPDSDVEE LLQILDAMDICARDLSSGTMVDIPALIKTDSLQRSWADEEDEVMVYGGVRIVPVEHLTPF PCGIFHKVQVNLCRWIHQQSAEGDADIRLWVSGCRIANRGAELLVLLVNHGQGIEVQVRG LETEKIKCCLLLDSVCSTIETVMATTLPGLLTVKHYLSPQQLREHHEPVMVYQPRDFFRA QTLKESSLTNTMGGYKESFSSITCFGCHDVYSQASLGMDIHASDLSLLTRRKLSRLLDPP DPMGKDWCLLAMNLGLPDMVAKHNVNNRASRDFLPSPVHALLQEWTSYPESTVGILISKL RELGRRDAADFLLKASSVFKINLDGNGQEAYASSCNSGTSYNSISSVVSR >ENSMUSP00000040825.7 pep:known chromosome:GRCm38:13:60602211:60763185:1 gene:ENSMUSG00000021559.13 transcript:ENSMUST00000044083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dapk1 description:death associated protein kinase 1 [Source:MGI Symbol;Acc:MGI:1916885] MTVFRQENVDDYYDTGEELGSGQFAVVKKCREKSTGLQYAAKFIKKRRTKSSRRGVSRED IEREVSILKEIRHPNVITLHEVYENKTDVILILELVAGGELFDFLAEKESLTEEEATEFL KQILSGVYYLHSLQIAHFDLKPENIMLLDRNVPKPRIKIIDFGLAHKIDFGNEFKNIFGT PEFVAPEIVNYEPLGLEADMWSIGVITYILLSGASPFLGDTKQETLANVSAVNYDFEEEF FRNTSTLAKDFIRRLLVKDPKKRMTIQDSLQHPWIKPKDTQQALSRKASAVNMEKFKKFA ARKKWKQSVRLISLCQRLSRSFLSRSNMSVARSDDTLDEEDSFVMKAIIHAINDDNVPGL QHLLGSLSSYDVNQPNKHGTPPLLIAAGCGNIQMLQLLIKRGSRIDVQDKGGSNAIYWAS RHGHVDTLKFLNENKCPLDVKDKSGETALHVAARYGHADVVQLLCSFGSNPDFQDKEEET PLHCAAWHGYYSVAKALCEVGCNVNIKNREGETPLLTASARGYHDIVECLAEHGADLNAS DKDGHIALHLAVRRCQMEVIKTLLGHGSFVDFQDRHGNTPLHVACKDGSAPIVVALCEAS CNLDISNKYGRTPLHLAANNGILDVVRYLCLMGANVEALTSDGKTAEDLAKAEQHEHVAG LLARLRKDTHRGLFIQQLRPTQNLQPRIKLKLFGHSGSGKSTLVESLKCGLLRSFFRRRR PRLSSTNSTRFPPSPLAAKPTVSVSINNLYPGCENVSVRSRSMMFEPGLTKGMLEVFVAP SHHLHCSTDDQSTKAIDIQNAYLNGVGDFSVWEFSGNPVYFCCYDYFAANDPTSIHIIVF SLEEPYEIQLNQVIFWLSFLKSLVPVEEPIAFGGKLKNPLRVVLVATHADIMNIPRPAGG EFGYDKDTSLLKEIRNRFGNDLHVSNKLFVLDAGASGSKDIKVLRNHLQEIRSQIVSGCS PMTHLCEKIISTLPSWRKLNGPNQLMSLQQFVYDVQDQLNPLASEDDLRRIAQQLHSTGE INIMQSETVQDVLLLDPRWLCTNVLGKLLSVETPRALHHYRGRYTMEDIQRLVPDSDVEE LLQILDAMDICARDLSSGTMVDIPALIKTDSLQRSWADEEDEVMVYGGVRIVPVEHLTPF PCGIFHKVQVNLCRWIHQQSAEGDADIRLWVSGCRIANRGAELLVLLVNHGQGIEVQVRG LETEKIKCCLLLDSVCSTIETVMATTLPGLLTVKHYLSPQQLREHHEPVMVYQPRDFFRA QTLKESSLTNTMGGYKESFSSITCFGCHDVYSQASLGMDIHASDLSLLTRRKLSRLLDPP DPMGKDWCLLAMNLGLPDMVAKHNVNNRASRDFLPSPVHALLQEWTSYPESTVGILISKL RELGRRDAADFLLKASSVFKINLDGNGQEAYASSCNSGTSYNSISSVVSR >ENSMUSP00000044543.2 pep:known chromosome:GRCm38:17:79614900:79682152:1 gene:ENSMUSG00000036368.7 transcript:ENSMUST00000040368.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rmdn2 description:regulator of microtubule dynamics 2 [Source:MGI Symbol;Acc:MGI:2147043] MPHSTNKELILGIMAGTAGISLLAFWYHKVLKPRTTMNFPKLLSLGKKFGSLTLPEESHS AQGASVVFQRRQLQILEKLNELLTNMEELKEEIRFLKETIPKLEECIQDEFGGKVTVHKI SPQHRARKKKGTTVQRSATSNSSEEAESEGGYITANTDTEEQNFPFPKALNTHIEELKLD VLLQKADHLRTNESHKMESFELLCDHKEKFSEETEFLWRLARAYGDMYDLSTSTQEKKHY ANIGKTLGERAITRAPMNGHCHLWYAVLCGYVSEFEGLQNKINCGHLFKKHLEIAIQLLP EEPLLYYLKGRYCYTVSRLSWIEKKMAATLFGEIPYSTVHEALHNFLKTEELQPGYSMSN YMYTAKCYVELGEPQEACKFCNLALLLPVVTKEDKDAHKEVKKMISSLKR >ENSMUSP00000023088.7 pep:known chromosome:GRCm38:15:82329532:82338826:-1 gene:ENSMUSG00000022453.7 transcript:ENSMUST00000023088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naga description:N-acetyl galactosaminidase, alpha [Source:MGI Symbol;Acc:MGI:1261422] MLQKTVLLLALVAQVLMLENGLLRTPPMGWLAWERFRCNIDCVEDPKNCISERLFMEMAD RLAQDGWRDLGYVYLNIDDCWIGGRDASGRLIPDPKRFPHGIAFLADYAHSLGLKLGIYE DMGKMTCMGYPGTTLDKVELDAETFAEWKVDMLKLDGCFSSSRERAEGYPKMAAALNATG RPIAFSCSWPAYEGGLPPKVNYTEVSRVCNLWRNYKDIQDSWKSVLSILDWFVRHQDVLQ PVAGPGHWNDPDMLLIGNFGLSFDESRAQMALWTVLAAPLLMSTDLRTISPQNMDILQNP LMIKINQDPLGIQGRRILKSKSHIEVFKRYLSNQASALVFFSRRTDMPFRFHCSLLELNY PKGRVYEGQNVFTGDIFSGLQTEVNFTVIINPSGVVMWYLYPIKDLGISTMMSHW >ENSMUSP00000024572.9 pep:known chromosome:GRCm38:17:6904716:6948356:-1 gene:ENSMUSG00000023806.9 transcript:ENSMUST00000024572.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph3b description:radial spoke 3B homolog (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:3630308] MTDRNPRTAEASGLYTYSSRPRAVACQRRRHRDSILQPVEEPMSYGNIMYDRRVIRGNTY ALPTGQVPGQPDPLELQRQQQARRRALARKRAQEQLKPRTPEPVEGRKHVDIQTELYLEE IADRIVEVDMECQTDAFLDRPPTPLFIPAKTGKDVATQILGGELFDFDLEVKPMLEVLVG KTIEQSLLEVMEEEELANLRARQYAYEEIRNVELAEVQRLEEQERRHREEKERRKKQQWE IVHKRNETLQKISALIFARQYLANLLPSVFDKLRNSGFFYDPIERDIEVGFLPWLMNEVE KSMEHSMVGRTVLDMLIRDVVERRINDYEHKEAMPPGQKTNVINGPNTVTDPSVTTLHTQ KPVLDRVSSQPAPSQERKPVEEGGHLMAE >ENSMUSP00000061734.2 pep:known chromosome:GRCm38:14:75955003:75965217:1 gene:ENSMUSG00000046523.4 transcript:ENSMUST00000050120.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd4 description:potassium channel tetramerisation domain containing 4 [Source:MGI Symbol;Acc:MGI:1914766] MERKIIRREKEREYEGRHNSVEDAEQGKNCKSTLMTLNVGGYLYITQKQTLTKYPDTFLE GIVNGKILCPFDADGHYFIDRDGLLFRHVLNFLRNGELLLPEGFRENQLLAQEAEFFQLK GLAEEVKSRWEKEQLTPRETTFLEITDNHDRSQGLRIFCNAPDFISKIKSRIVLVSKSRL DGFPEEFSVSSNIIQFKYFIKSENGTRLVLKEDNTFVCTLETLKFEAIMMALKCGFRLLT SLDCSKGSIVHSDALHFIK >ENSMUSP00000051408.9 pep:known chromosome:GRCm38:17:80290212:80297473:1 gene:ENSMUSG00000045257.9 transcript:ENSMUST00000061703.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morn2 description:MORN repeat containing 2 [Source:MGI Symbol;Acc:MGI:2674071] MNGFGRLEHFSGAVYEGQFKDNMFHGLGTYTFPTGAKYTGNFNENRVEGEGEYTDTQGLQ WCGNFHFTAAPGLKLKLYM >ENSMUSP00000064663.3 pep:known chromosome:GRCm38:17:23880876:23882853:1 gene:ENSMUSG00000096445.1 transcript:ENSMUST00000068580.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcpp1 description:demilune cell and parotid protein 1 [Source:MGI Symbol;Acc:MGI:105949] MFQLEAMLALLILAFLGTPTVLTQDYHGPEVGKHSCTSAPEGKNITSIRVFLKGRLIVGI QLNYDDNKDGQVYGSTAGKEMVARLSKEEHIIAAQGTYTPSALTQIIFTTNQPRQLMVGY YVGNSEYSSFPNDPSHVLKGACVSWRAGGIKSILFLWGSENSSCVKYGHSG >ENSMUSP00000038863.7 pep:known chromosome:GRCm38:10:96617001:96622813:1 gene:ENSMUSG00000036478.7 transcript:ENSMUST00000038377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btg1 description:B cell translocation gene 1, anti-proliferative [Source:MGI Symbol;Acc:MGI:88215] MHPFYTRAATMIGEIAAAVSFISKFLRTKGLTSERQLQTFSQSLQELLAEHYKHHWFPEK PCKGSGYRCIRINHKMDPLIGQAAQRIGLSSQELFRLLPSELTLWVDPYEVSYRIGEDGS ICVLYEASPAGGSTQNSTNVQMVDSRISCKEELLLGRTSPSKNYNMMTVSG >ENSMUSP00000109229.1 pep:known chromosome:GRCm38:17:43389466:43459557:1 gene:ENSMUSG00000056492.5 transcript:ENSMUST00000113599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrf5 description:adhesion G protein-coupled receptor F5 [Source:MGI Symbol;Acc:MGI:2182928] MRSPRTFTFYFLLLVICSSEAALSTPTEPIVQPSILQEHELAGEELLRPKRAAAAGDRVA EEYMVDIEISFENVSFLESIRAHLNNLSFPIRGTEADILNIAMTTVCTPAGNDLLCFCEK GYQWSEERCLHSLTCQDYDSALPGGYCSCLKGLPPQGPFCQLPEAFITLKLKVRLNIGFQ EDLKNTSSALYRSYKTDLERAFRAGYRTLPGFRSVTVTQFTKGSVVVNYVVRVTSAPLPG SIHKANEQVIQNLNHTYKMDYNSFQGTPSNETKFTVIPEFIFEGDNVTLECETEFVTSNT SWYYGEKRSDIQNSDKYSIHTTVINNISLITRLTIYNFTQHDAGMYGCNVTLDIFEYGTV RKLDVTPIRILAKEERKVVCDNHPISLNCCSENIANWSSIEWKQEGKISILGNPESDLES SCSTYTLKADGTQCPSGSSGTTVIYTCEFVSAYGARGSKNIAVTFTSVANLTITRDPISV SEGQSFSITCLSDVSSFDEVYWNTSAGIKIHPRFYTMRRYQDGAESVLMVKTSTREWNGT YHCIFRYKNSYSIATKDVTVHPLPLVSDIMMDPLEASGLCTSSHQFKCCVEEDAGEEYAV TFHVDSSSFPAEREVIGKQACYTYSLPANLPRCPKDIAVFCHFTNAANSSVRSPSMKLKL IPRENVTCQDPIIGIGDPGKVIQKLCQFSGVYGSPGQAIGGTVTYKCVGTQWKEESRACI SAPINGLLQVAKALIKSPTQDQKLPTYLRDLSVSAGKEEQDIRSSPGSLGAIISILDLLS TVPTQVNSEMMRDILATINVILDKSALNSWEKLLQQQSNQSSQFLHSVERFSQALQLGDS TPPFLAHPNVQMKSMVIKRGHPQIYQQQFIFKDSDLWGDVAIDECQLGNLQPDSSIVTVA FPTLKAILAQDVQRKTSSNSLVMTTTVSHNIVKPFRISMTFKNNHRSGGKPQCVFWNFSL ANNTGGWDSSGCSVEDDGRDNRDRVFCKCNHLTSFSILMSPDSPDPGSLLKILLDIISYI GLGFSIVSLAACLVVEAMVWKSVTKNRTSYMRHICIVNIAFCLLIADIWFIVAGAIHDGR YPLNETACVAATFFIHFFYLSVFFWMLTLGLMLFYRLIFILHDASKSTQKAIAFSLGYGC PLIISSITVGVTQPQEVYMRKNACWLNWEDTRALLAFAIPALIIVVVNVSITVVVITKIL RPSIGDKPGKQEKSSLFQISKSIGVLTPLLGLTWGFGLATVIQGSNAVFHIIFTLLNAFQ GLFILLFGCLWDQKVQEALLHKFSLSRWSSQHSKSTSIGSSTPVFSMSSPISRRFNNLFG KTGTYNVSTPETTSSSLENSSSAYSLLN >ENSMUSP00000130680.1 pep:known chromosome:GRCm38:19:10577454:10588548:1 gene:ENSMUSG00000034445.7 transcript:ENSMUST00000168445.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb561a3 description:cytochrome b561 family, member A3 [Source:MGI Symbol;Acc:MGI:2686925] MASGWFYLSCMVLGSLGSMCILFTAYWMQYWRGGFAWDGTVLMFNWHPVLMVAGMVVLYG AASLVYRLPSSWVGPRLPWKVLHAALHLLAFTCTVVGLIAVFRFHNHSRIAHLYSLHSWL GITTVVLFACQWFLGFAVFLLPWASQWLRSLLKPLHVFFGACILSLSITSVISGINEKLF FVLKNATKPYSSLPGEAVFANSTGLLVVAFGLLVLYVLLASSWKRPDPGALTDRQPLLHD RE >ENSMUSP00000021377.4 pep:known chromosome:GRCm38:12:69746848:69790707:-1 gene:ENSMUSG00000020990.4 transcript:ENSMUST00000021377.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkl1 description:cyclin-dependent kinase-like 1 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1918341] MEKYEKIGKIGEGSYGVVFKCRNRDTGQIVAIKRFLETEDDPVIKKIALREIRMLKQLKH PNLVNLLEVFRRKRRLHLVFEYCDHTVLHELDRYQRGVPEPLVKNITWQTLQAVNFCHKH NCIHRDVKPENILITKQSAIKLCDFGFARLLTGPGDYYTDYVATRWYRSPELLVGDTQYG PPVDVWAIGCVFAELLSGVPLWPGKSDVDQLYLIRKTLGDLIPRHQQVFSMNQYFSGVKI PDPEDMETLELKFPNISYSALGFLKGCLHMDPAERLTCEQLLQHPYFDSIREVGELTRQH DKPARKTLRQSRKHLTGLQYLPQLTSSRILPALDNKKYHCSTKRFNYHFPNI >ENSMUSP00000049676.7 pep:known chromosome:GRCm38:14:54906727:54913132:-1 gene:ENSMUSG00000022199.10 transcript:ENSMUST00000050772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a17 description:solute carrier family 22 (organic cation transporter), member 17 [Source:MGI Symbol;Acc:MGI:1926225] MPSARFGRRGIVLLTLGLVGPCGVGGAAAGSSTGIMALRFLLGFLLAGVDLGVYLMRLEL CDPTQRLRVALAGELVGVGGHFLFLGLALVSKDWRFLQRMITAPCILFLFYGWPGLFLES ARWLIVKRQIEEAQSVLRILAERNRPHGQMLGEEAQEALQELENTCPLPATSTFSFASLL NYRNIWKNLLILGFTNFIAHAIRHCYQPVGGGGSPSDFYLCSLLASGTAALACVFLGVTV DRFGRRGILLLSMTLTGIASLVLLGLWDYLNDAAITTFSVLGLFSSQASAILSTLLASEV IPTTVRGRGLGLIMALGALGGLSCPAQRLHMGHGAFLQHVVLAACALLCILSIMLLPETK RKLLPEVLRDGELCRRPSLLRQPPPNRCDHVPLLATPNPAL >ENSMUSP00000007981.3 pep:known chromosome:GRCm38:7:45053613:45061652:-1 gene:ENSMUSG00000007837.10 transcript:ENSMUST00000007981.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrg2 description:proline-rich Gla (G-carboxyglutamic acid) polypeptide 2 [Source:MGI Symbol;Acc:MGI:1929596] MRGRPSLLLVYMGLATCLDTSPHREQNQVLDIFLDAPEAQSFLVGRRRFPRANHWDLELL TPGNLERECLEERCSWEEAREYFEDNTLTERFWESYTYNGKGGRGRVDVAGLAVGLTSGI LLIVLAGLGAFWYLHYRRRRLRGQESCLQETGLIIPLSPQTPQSPPLPPGLPTYEQALAA SGVHDAPPPPYSSLRRPH >ENSMUSP00000025571.7 pep:known chromosome:GRCm38:19:10718143:10738974:-1 gene:ENSMUSG00000024669.7 transcript:ENSMUST00000025571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd5 description:CD5 antigen [Source:MGI Symbol;Acc:MGI:88340] MDSHEVLLAATYLLGTLAAFCLGQSGRGGLDIQVMLSGSNSKCQGQVEIQMENKWKTVCS SSWRLSQDHSKNAQQASAVCKQLRCGDPLALGPFPSLNRPQNQVFCQGSPWSISNCNNTS SQDQCLPLSLICLEPQRTTPPPTTTPPTTVPEPTAPPRLQLVPGHEGLRCTGVVEFYNGS WGGTILYKAKDRPLGLGNLICKSLQCGSFLTHLSGTEAAGTPAPAELRDPRPLPIRWEAP NGSCVSLQQCFQKTTAQEGGQALTVICSDFQPKVQSRLVGGSSVCEGIAEVRQRSQWEAL CDSSAARGRGRWEELCREQQCGDLISFHTVDADKTSPGFLCAQEKLSQCYHLQKKKHCNK RVFVTCQDPNPAGLAPGTVASIILTLVLLVVLLAMCGPLVYKKLVKKFRQKKQRQWIGPT GVNQNMSFHRSHTATVRSQVENPTASHVDNEYSQPPRNSHLSAYPALEGALHRSSTQPDN SSDSDYDLQVAQRL >ENSMUSP00000079789.6 pep:known chromosome:GRCm38:10:68723746:68782654:1 gene:ENSMUSG00000060044.7 transcript:ENSMUST00000080995.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem26 description:transmembrane protein 26 [Source:MGI Symbol;Acc:MGI:2143537] MEGLVLLKALVTRLLFLLHSLVAVWRVTWVKEEHRYWLLALLNLLLVLETVLTLKFKRGR GYKWLSPAIFVYLVNIMPSLWLLEMHHGNQYCSTQSERMAQNFSRRGDVNQTLSSHRATN GMGNILELARGFVDNLSMVCEPVWTLGLHQTLLLILIIGRWLLPIGGTITRDQLSELLLM FVGTAADILEFTTETLKENNVRTNPTLVSGILVVWTWSMLQFPLDLAVQLKLVCPASVKA RGFLRVFLCQYSADLWAIGLSFFIQDGPFLVVRLVLMIYFKVINHMLVFFAVKNSLVMAL HFYRLVALIMATRDFMRDHPESPKPEHSGPDQPSESGPSEWEDASPEALPLRTSPVTSEE SYPTTP >ENSMUSP00000126298.1 pep:known chromosome:GRCm38:12:71123173:71136675:-1 gene:ENSMUSG00000021079.15 transcript:ENSMUST00000166120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm9 description:translocase of inner mitochondrial membrane 9 [Source:MGI Symbol;Acc:MGI:1353436] MAAQIPESDQIKQFKEFLGTYNKLTETCFLDCVKDFTTREVKPEEVTCSEHCLQKYLKMT QRISVRFQEYHIQQNEALAAKAGLLGQPR >ENSMUSP00000021486.8 pep:known chromosome:GRCm38:12:71123173:71136675:-1 gene:ENSMUSG00000021079.15 transcript:ENSMUST00000021486.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm9 description:translocase of inner mitochondrial membrane 9 [Source:MGI Symbol;Acc:MGI:1353436] MAAQIPESDQIKQFKEFLGTYNKLTETCFLDCVKDFTTREVKPEEVTCSEHCLQKYLKMT QRISVRFQEYHIQQNEALAAKAGLLGQPR >ENSMUSP00000060027.7 pep:known chromosome:GRCm38:17:47394560:47400584:-1 gene:ENSMUSG00000023982.7 transcript:ENSMUST00000059348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Guca1a description:guanylate cyclase activator 1a (retina) [Source:MGI Symbol;Acc:MGI:102770] MGNIMEGKSVEELSSTECHQWYKKFMTECPSGQLTLYEFRQFFGLKNLSPSASQYVEQMF ETFDFNKDGYIDFMEYVAALSLVLKGKVEQKLRWYFKLYDVDGNGCIDRDELLTIIRAIR TINPWSDSSMSAEEFTDTVFAKIDINGDGELSLEEFMEGVQKDQMLLDTLTRSLDLTGIV RRLQNGEHEEAGTGDLAAEAAG >ENSMUSP00000083971.5 pep:known chromosome:GRCm38:17:57358686:57483529:1 gene:ENSMUSG00000004730.14 transcript:ENSMUST00000086763.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgre1 description:adhesion G protein-coupled receptor E1 [Source:MGI Symbol;Acc:MGI:106912] MWGFWLLLFWGFSGMYRWGMTTLPTLGQTLGGVNECQDTTTCPAYATCTDTTDSYYCTCK RGFLSSNGQTNFQGPGVECQDVNECLQSDSPCGPNSVCTNILGRAKCSCLRGFSSSTGKD WILGSLDNFLCADVDECLTIGICPKYSNCSNSVGSYSCTCQPGFVLNGSICEDEDECVTR DVCPEHATCHNTLGSYYCTCNSGLESSGGGPMFQGLDESCEDVDECSRNSTLCGPTFICI NTLGSYSCSCPAGFSLPTFQILGHPADGNCTDIDECDDTCPLNSSCTNTIGSYFCTCHPG FASSNGQLNFKDLEVTCEDIDECTQDPLQCGLNSVCTNVPGSYICGCLPDFQMDPEGSQG YGNFNCKRILFKCKEDLILQSEQIQQCQAVQGRDLGYASFCTLVNATFTILDNTCENKSA PVSLQSAATSVSLVLEQATTWFELSKEETSTLGTILLETVESTMLAALLIPSGNASQMIQ TEYLDIESKVINEECKENESINLAARGDKMNVGCFIIKESVSTGAPGVAFVSFAHMESVL NERFFEDGQSFRKLRMNSRVVGGTVTGEKKEDFSKPIIYTLQHIQPKQKSERPICVSWNT DVEDGRWTPSGCEIVEASETHTVCSCNRMANLAIIMASGELTMEFSLYIISHVGTVISLV CLALAIATFLLCRAVQNHNTYMHLHLCVCLFLAKILFLTGIDKTDNQTACAIIAGFLHYL FLACFFWMLVEAVMLFLMVRNLKVVNYFSSRNIKMLHLCAFGYGLPVLVVIISASVQPRG YGMHNRCWLNTETGFIWSFLGPVCMIITINSVLLAWTLWVLRQKLCSVSSEVSKLKDTRL LTFKAIAQIFILGCSWVLGIFQIGPLASIMAYLFTIINSLQGAFIFLIHCLLNRQVRDEY KKLLTRKTDLSSHSQTSGILLSSMPSTSKMG >ENSMUSP00000004850.7 pep:known chromosome:GRCm38:17:57358714:57483523:1 gene:ENSMUSG00000004730.14 transcript:ENSMUST00000004850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgre1 description:adhesion G protein-coupled receptor E1 [Source:MGI Symbol;Acc:MGI:106912] MWGFWLLLFWGFSGMYRWGMTTLPTLGQTLGGVNECQDTTTCPAYATCTDTTDSYYCTCK RGFLSSNGQTNFQGPGVECQDVNECLQSDSPCGPNSVCTNILGRAKCSCLRGFSSSTGKD WILGSLDNFLCADVDECLTIGICPKYSNCSNSVGSYSCTCQPGFVLNGSICEDEDECVTR DVCPEHATCHNTLGSYYCTCNSGLESSGGGPMFQGLDESCEDVDECSRNSTLCGPTFICI NTLGSYSCSCPAGFSLPTFQILGHPADGNCTDIDECDDTCPLNSSCTNTIGSYFCTCHPG FASSNGQLNFKDLEVTCEDIDECTQDPLQCGLNSVCTNVPGSYICGCLPDFQMDPEGSQG YGNFNCKRILFKCKEDLILQSEQIQQCQAVQGRDLGYASFCTLVNATFTILDNTCENKSA PVSLQSAATSVSLVLEQATTWFELSKEETSTLGTILLETVESTMLAALLIPSGNASQMIQ TEYLDIESKVINEECKENESINLAARGDKMNVGCFIIKESVSTGAPGVAFVSFAHMESVL NERFFEDGQSFRKLRMNSRVVGGTVTGEKKEDFSKPIIYTLQHIQPKQKSERPICVSWNT DVEDGRWTPSGCEIVEASETHTVCSCNRMANLAIIMASGELTMEFSLYIISHVGTVISLV CLALAIATFLLCRAVQNHNTYMHLHLCVCLFLAKILFLTGIDKTDNQTACAIIAGFLHYL FLACFFWMLVEAVMLFLMVRNLKVVNYFSSRNIKMLHLCAFGYGLPVLVVIISASVQPRG YGMHNRCWLNTETGFIWSFLGPVCMIITINSVLLAWTLWVLRQKLCSVSSEVSKLKDTRL LTFKAIAQIFILGCSWVLGIFQIGPLASIMAYLFTIINSLQGAFIFLIHCLLNRQVRDEY KKLLTRKTDLSSHSQTSGILLSSMPSTSKMG >ENSMUSP00000022663.5 pep:known chromosome:GRCm38:14:69767472:69784403:1 gene:ENSMUSG00000022074.5 transcript:ENSMUST00000022663.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf10b description:tumor necrosis factor receptor superfamily, member 10b [Source:MGI Symbol;Acc:MGI:1341090] MEPPGPSTPTASAAARADHYTPGLRPLPKRRLLYSFALLLAVLQAVFVPVTANPAHNRPA GLQRPEESPSRGPCLAGQYLSEGNCKPCREGIDYTSHSNHSLDSCILCTVCKEDKVVETR CNITTNTVCRCKPGTFEDKDSPEICQSCSNCTDGEEELTSCTPRENRKCVSKTAWASWHK LGLWIGLLVPVVLLIGALLVWKTGAWRQWLLCIKRGCERDPESANSVHSSLLDRQTSSTT NDSNHNTEPGKTQKTGKKLLVPVNGNDSADDLKFIFEYCSDIVPFDSWNRLMRQLGLTDN QIQMVKAETLVTREALYQMLLKWRHQTGRSASINHLLDALEAVEERDAMEKIEDYAVKSG RFTYQNAAAQPETGPGGSQCV >ENSMUSP00000056377.7 pep:known chromosome:GRCm38:19:58670365:58681786:1 gene:ENSMUSG00000046008.7 transcript:ENSMUST00000057270.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnlip description:pancreatic lipase [Source:MGI Symbol;Acc:MGI:97722] MLMLWTFAVLLGAVAGREVCFDKLGCFSDDAPWSGTLDRPLKALPWSPAQINTRFLLYTN ENPDNYQLITSDASNIRNSNFRTNRKTRIIIHGFIDKGEENWLSDMCKNMFRVESVNCIC VDWKGGSRTTYTQATQNVRVVGAEVALLVNVLQSDLGYSLNNVHLIGHSLGSHIAGEAGK RTFGAIGRITGLDPAEPYFQGTPEEVRLDPTDAQFVDAIHTDAGPIIPNLGFGMSQTVGH LDFFPNGGIEMPGCQKNILSQIVDIDGIWEGTRNFAACNHLRSYKFYTDSIVNPTGFAGF SCSSYSLFTANKCFPCGSGGCPQMGHYADRYPGKTSRLYQTFYLNTGDKSNFARWRYQVT VTLSGQKVTGHILVSLFGNGGNSKQYEVFKGSLQPGTSHVNEFDSDVDVGDLQKVKFIWY NNVINPTLPKVGASRITVERNDGRVFNFCSQETVREDVLLTLSPC >ENSMUSP00000056147.5 pep:known chromosome:GRCm38:13:42052021:42185026:1 gene:ENSMUSG00000021366.7 transcript:ENSMUST00000060148.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hivep1 description:human immunodeficiency virus type I enhancer binding protein 1 [Source:MGI Symbol;Acc:MGI:96100] MPRTKQIHPRNLRDKIEEAQKELNGAEVSKKEVLEAGVKGTSESLKGVKRKKIVAENHLK KIPKSPLRNPLQTKHKQNTEEPPFSVLPSASESHKKHNCVPAKQGRQFTKQNGETPGMTA ESSESGDLVSPKKTSSPHQRSELRRWRSEGSDPTRLSGLDGQRDSSSSSSKARTDNSECS SPCCSTTPPSYTSTAFDVLLKAMEPELSTLSQKGSSCAIKTEKLRPNKTVRSPSKLKNSS LDAPNATSPDLVVESPCPPCTSYPVHVASTQKSEQVAAQCVSHLYSSQDHLVPKLSQQNQ QLPGHLGFTGSLTNLHTLESTKLEPIYNTAVTSTVGLTSPSTRTQVTPPHQQMDSVSPLS VSPASSTQSPPGPIYSSAHVASVVSQSVEQMCSLLLRDQKPKKQGKYICEYCNRACAKPS VLLKHIRSHTGERPYPCVTCGFSFKTKSNLYKHKKSHAHTIKLGLVLQPEAGGLFLSQEC PKALSVHSDIEDSGESDEEGLADGRQNNPCVKDLQPVQTMKTVSNPESLPKLIPSNSDHV VRGFSSQDRPSDSQAPTELPKVVVHPVSMPPLKTDCLQVANPNPELPSPQSPRDLHVASI LSHSASVSSLEMDESCHQKGDVIQSEGKPDSHSGTAHAQLQRQQATEDPQEQQGKLLLSP RSLGSTDSGYFSRSESADQAVSPPTPFARTFPTMDPDPAKNGGAPGPRISAPAPSALATG EKSSVVTGQMRPPLATKTLEERISKLISDNEALVDDKQLDSVKPRRTSLSRRGSIDSPKS YIFKDSFQFDLKPMGRRTSSSSDIPKSPFTPTEKSKQVFLLSVPSLDCLPITRSNSMPTT GYSAIPANIIPPPPSLRGSQSFDDKIGTLYDDVFVSGPNPSMPPSGHHRPLVRQAAVEDS TASESHVPGSGQSVDESCQGCPSSSEAGPVQSKAAQTPHLEKKKSHQGRGTMFECETCRN RYRKLENFENHKKFYCSELHGPKTKAAVREAEHGPAPGGAQPQVLHYRVAAPTAVWEQTP QIRKRRKMKSVGDEEDLQPHESGRSPESADALQLQPVPGAAPSPSKHTSATAADQAHRGV QLVARGPERALPLKQCPMVEQQLNAAAQDKMEVKRQGGGISVIQHTNSLSRPNSFDKPEP LEGGITFSLQELGRTGMPGALKVIGMAPEEGHPPQDAMHQTALSHNLRGEPRESARKIPS ERYVLGQPLRLVRQHNIQVPEILVTEEPDRDLEAQSHDEEKSEKFTWPQRSETLSKLPTE KLPPKKKRLRLAEIEHSSTESSFESTLSRSLSRESSLSHASSFSASLDLEDISKVELAPK IDFPSKAEFLLIPLGSNTLSVPGSHREMRRAASEQISCVPTLMEVSDFRSKSFDCGSIAP SHVVPALVESQPSYSPSAVGGTAHVPLLERRRGPLIRQISLNIASDSHLSPGSAAALQTI VLPSVNTVPFQAPRLPDMASADCPAHTVHPQALAKDLQAEISSSSSTDTFPPQQLFGAHL LNKTNTSLSHQNTPLPLPVSAQGGKPDAAPTACVSSTGEGSFAPKYQLQCQAFTSDQGCS APLRSSPNQVLPGQAGADPCPASEAPPAKAADPMAKPCPLPPLELGLPRDEVLQKQLPSF VLPVPQKRNVTVDCFTPVTSLPQILVTQDLPNMPICQTNQSLVPVSEEQNSMPKSQNYLQ NASPTPEKELACKTVLPEVGQSVPVSESSPTVQKVSVGRLSPQQESSASSKRMLSPANSL DIAMEKHQKRAKDENGAVCSTNIRALELPSSRANESHKQKKPVLVRQLCTTEPLEGAALE QGACSASGRSSNKAANLTQVLPTDSLSSRPSTFAVTDHVNELQEFKNTKVSTSLTPTVGS FPAPSESACVLPLKSIDNNQEKGSPGVRHEENKVIQGQRPPLVSGLSLVSSSDTQQPSFP SLKTATSFTWCYLLRQKALPLAQNDQKTSAYTGWTVSSSNPNPLGLPTKVALSLLNSKQK TGKSLYCQAITTHSKSDLVVYSSKWKNNLSKRALGNQKATVVEFSNKDDSEINSEQDKEN SLIKSEPRRIKIFDGGYKSNEDYVYVRGRGRGKYICEECGIRCKKPSMLKKHIRTHTDVR PYHCSYCNFSFKTKGNLTKHMKSKAHSKKCVDLGVSVGLIDEQDTEESDEKQRFGCERSG YDLEESDGPDEDDNDNEEDDDDSQAESGLSAAPSVTASPQHLPSRSGLQDPGSVEEELRV SSCFSGVHTDPMDILPRALLTKMTVLSTVQSSPNRTDLPAKARQSTEKDEHEQAPPADTP RSPGHQLSVHSSESDVLRSPAAGNPAAGSPGAAVQDSSVGLPPAVAQLNPQPAARISSSV SPHPDSQDQKQQIILQPPPGLPSPQTHLFSHLPLHSQQQSRTPYNMVPVGGIHVVTAGLT YSTFVPIQAGPMQLTIPAVSVIHRTVGTSGDTITEASGAPNRPTGVAELSSVVPCIPIGQ IHVPGLQNLSPPALQSLTSLGMETVNLVGLANATVGPQGHPPGLALNAVGLQVLANAPAQ SSPAPPAHIQGLQILNIALPTLIPSVGPVAVGTTGTPETTAPNSKAMELQMPAGQGHSAE PPQGSPEGPQETPQTVSGPSADHARPEDSTKMDTKKGPSAGHVLPGRSPAQAQPAPTPEA LQKVATSAPPSLPTDRAAPRPPVPHRQPIVHFSDVSSDDDEDRLVIAT >ENSMUSP00000020986.7 pep:known chromosome:GRCm38:12:4082574:4110612:1 gene:ENSMUSG00000020657.15 transcript:ENSMUST00000020986.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc27 description:DnaJ heat shock protein family (Hsp40) member C27 [Source:MGI Symbol;Acc:MGI:2443036] METNVPKRKEPAKSLRIKVISMGNAEVGKSCIIKRYCEKRFVSKYLATIGIDYGVTKVQV RDREIKVNIFDMAGHPFFFEVRNEFYKDTQGVILVYDVGQKDSFDALDSWLAEMKQELGP HGNMDNIVFVVCANKIDCSKHRCIDESEGRLWAESKGFLYFETSAQTGEGINEMFQTFYL SIVDLCENGGKRPTASSSASFTKEQADTIRRIRNSKDSWEMLGVRPGASREEVNKAYRKL AVLLHPDKCVAPGSEDAFKAVVNARTALLKNIK >ENSMUSP00000106803.2 pep:known chromosome:GRCm38:12:4082629:4109204:1 gene:ENSMUSG00000020657.15 transcript:ENSMUST00000049584.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc27 description:DnaJ heat shock protein family (Hsp40) member C27 [Source:MGI Symbol;Acc:MGI:2443036] METNVPKRKEPAKSLRIKVISMGNAEVGKSCIIKRYCEKRFVSKYLATIGIDYGVTKVQV RDREIKVNIFDMAGHPFFFEVRNEFYKDTQGVILVYDVGQKDSFDALDSWLAEMKQELGP HGNMDNIVFVVCANKIDCSKHRCIDESEGRLWAESKGFLYFETSAQTGEGINEMFQTFYL SIVDLCENGGKRPTASSSASFTKEQADTIRRIRNSKDSWEMLGVRPGASREEVNKAYRKL AVLLHPDKCVAPGSEDAFKAVVNARTALLKNIK >ENSMUSP00000092904.2 pep:known chromosome:GRCm38:10:127172426:127180645:-1 gene:ENSMUSG00000040441.8 transcript:ENSMUST00000095270.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a10 description:solute carrier family 26, member 10 [Source:MGI Symbol;Acc:MGI:2143920] MLQGAKDKMSGPLASGTCSDPEEVSDLKSPLSSRFREPLTHARFQELFGGAEPEPELPAE PCLPCLCRLRRRRASACSGPGAWRVLLARLPPLRWLPQYRWRAWLLGDAVAGVTVGVVHV PQGMAFALLTSVPPVFGLYTSFFPVLIYSLLGTGRHLSTGTFAVLSLMTGSVVERVVPEP LAGNLSGIEREQLEARRVGAAAAVAFGSGALMLGMFVLQLGVLSTFLSEPVIKALTSGAA LHVLVSQLPSLLGLSLPRQIGCFSLFKTLAAVLSALSQSSPAEVTISALSLVLLVPVKEL NVRFRDRLLTPIPGEVVMVLLATVLCFTSSLDTRYNVQVVGPLPGGFPQPLLPTLDELPR ILADSLPISLVTFAVSTSLASIYADKYSYTIEPNQELLAHGVSNLISSLFSCFPNSATLA TTSLLVDAGGNTQLAGLFSCAVVLAALLWLRPFFYYLPKAVLACINISSMRQMFFQMQEL PQLWHISHVDFAVWIVTWVAVVTLNVDLGLAVGVVVSMMTVVCRTQRVQCLELGLAEGTE LYRPIRESRKLLQVPGLCILSYPAPLYFATRGQFHRILEWHLGLGERIKPGAEPVRVAIL DFSGITFVDAAGAREVVQLTRRCQDDGIYLLLAQCNALVLETLTRARLLDSVSPEQLFVS VQDAAAHALERLKPTGPKICTVWV >ENSMUSP00000023781.7 pep:known chromosome:GRCm38:15:101447745:101453909:1 gene:ENSMUSG00000063971.6 transcript:ENSMUST00000023781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011A15Rik description:RIKEN cDNA 1700011A15 gene [Source:MGI Symbol;Acc:MGI:1913572] MCEKMKATVQKCVQTPRHSKEALNRLNQAIQQLKMEPCELQKSQDLEAMPDAASGSAKGR LAWLEAALQRAKQDMARQLREYQELMIVKLGLDFEIATYRRLLEGEEQRLGLGLGAGSVA PGGDVTGDRSSLRAPGVSSCGLNMSAPSGGCALCSSAGCVGGFGCLGSREC >ENSMUSP00000022693.7 pep:known chromosome:GRCm38:14:70474557:70520260:-1 gene:ENSMUSG00000022098.9 transcript:ENSMUST00000022693.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmp1 description:bone morphogenetic protein 1 [Source:MGI Symbol;Acc:MGI:88176] MPGVARPPLPLLSLPLLLLLLLLPRAGRPLDLADYTYDLGEEDAPELLNYKDPCKAAAFL GDIALDEEDLRAFQVQQAAVLRQQTARRPSIKAAGNSSALGGQGTSGQPQRESRGRWRGR PRSRRAATSRPERVWPDGVIPFVIGGNFTGSQRAVFRQAMRHWEKHTCVTFLERTDEDSY IVFTYRPCGCCSYVGRRGGGPQAISIGKNCDKFGIVVHELGHVIGFWHEHTRPDRDRHVS IVRENIQPGQEYNFLKMEVQEVESLGETYDFDSIMHYARNTFSRGIFLDTIVPKYEVNGV KPSIGQRTRLSKGDIAQARKLYKCPACGETLQDSTGNFSSPEYPNGYSAHMHCVWRISVT PGEKIILNFTSMDLYRSRLCWYDYVEVRDGFWRKAPLRGRFCGGKLPEPIVSTDSRLWVE FRSSSNWVGKGFFAVYEAICGGDVKKDNGHIQSPNYPDDYRPSKVCIWRIQVSEGFHVGL TFQSFEIERHDSCAYDYLEVRDGHSESSNLIGRYCGYEKPDDIKSTSSRLWLKFVSDGSI NKAGFAVNFFKEVDECSRPNRGGCEQRCLNTLGSYKCSCDPGYELAPDKRRCEAACGGFL TKLNGSITSPGWPKEYPPNKNCIWQLVAPTQYRISLQFDFFETEGNDVCKYDFVEVRSGL TADSKLHGKFCGSEKPEVITSQYNNMRVEFKSDNTVSKKGFKAHFFSDKDECSKDNGGCQ QDCVNTFGSYECQCRSGFVLHDNKHDCKEAGCEHKVTSTSGTITSPNWPDKYPSKKECTW AISSTPGHRVKLTFVEMDIESQPECAYDHLEVFDGRDAKAPVLGRFCGSKKPEPVLATGN RMFLRFYSDNSVQRKGFQASHSTECGGQVRADVKTKDLYSHAQFGDNNYPGGVDCEWVIV AEEGYGVELVFQTFEVEEETDCGYDYIELFDGYDSTAPRLGRYCGSGPPEEVYSAGDSVL VKFHSDDTISKKGFHLRYTSTKFQDTLHSRK >ENSMUSP00000126197.1 pep:known chromosome:GRCm38:15:101946004:101954287:-1 gene:ENSMUSG00000050463.8 transcript:ENSMUST00000164932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt78 description:keratin 78 [Source:MGI Symbol;Acc:MGI:1917529] MSLSPCRAQRGFSAHSACSAFSGARGRTGFSSRSLSSSRRCRGSSCGRAWGAETRQGIRF GQGKGGPGFSQCPPGGIQAVTVDQSLLTPLKIEVDPQFQVVKTQETREIRSLNNQFASFI DKVRFLEQQNKVLETKWELLQQLQGNRSPQGLECIFETCLARLRQQLEELQRERRALDSE LKTYQDQEDEYKSKYDQEAYKHASVQNDFVVLKKDVDEVFQSKMDLEGNLESLKEHVCFL TRLYEEELGQLKTQADDMSVVLSMDNNRCLDFSDIIAEVRARYEEITRTSKAEAEAVFQT KYQELQESAQLQGNSMKEAQVQISQLRQAIHRLQSQIGSLRKQNDSLQSAIADAEQQGEM AVRDAQAKLDELEAALRTAKQDMAQMLRDYQELMGTKLSLDVEIAMYRRLLESEECRIST EHTSQVTVSITEGSIVPGRTCGGQVVVPGRIGTGQVVMPGRECGGHVVMPGRGSGGQVTI PGRGSGGQVTVPRGECGGQVTMPGRGSGGQVTMPGRGSGGQVTIPGRGSGGQVTVPGRGS GGQVTIPGRGSGGQVTIPGRGSGGQVTVPGRGSGGQVTIPGRGSGGQVTIPGRESGGQVT IPGRESGGQVTMPGREYGGQVTIPGRESGGQVTMPGRGSGGQVTMPGRGSGGQVTVPGRE YGGQVTVPGRGSGGQVTVPGREYGGQVTVPRGECGGQVTVPGREYGGQVTMPRGECGGQV TMPGREYGGQVTMPGRESGGQVTVPGREYGGQVTMPRGECGGQVTVPGREYGGQVTMPRG ECGGQVTMPGREYGGQVTVPRRECGGQVTVPGREYGGQVTMPGRESGGQVTVPGREYGGQ VTVPRGECGGQVTVPGREYGGQVTVPRGECGGQVTVPGREYGGQVTMPRGECGGQVTVPR GECGGQVTMPGREYGGQVTVPGREYGGQMTMPGRECGGQEVIPRGECGGQVVMPGQECGG QAVIPRGDCGSQVVIPREECGGQVSMPRGECGGQVGTCGHRGWQGSFGSGGCSSIVTGGF EIPQGSGYSPTMGSCSVSGSGFSSGSGSSCRTILKKTVESSRKMSVIY >ENSMUSP00000026081.3 pep:known chromosome:GRCm38:19:58759723:58777533:1 gene:ENSMUSG00000025091.3 transcript:ENSMUST00000026081.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnliprp2 description:pancreatic lipase-related protein 2 [Source:MGI Symbol;Acc:MGI:1336202] MPMDVRGCLFPSVQMLLCWLVSLLLATVGGKEVCYGHLGCFSNDKPWAGMIQRPSKIFPW SPEDIDTRFLLYTNENPNNYQIISATDPATINASNFQLDRKTRFIIHGFIDKGEEGWLLD MCKKMFQVEKVNCICVDWKRGSRTEYTQASYNTRVVGAEIAFLVQVLSTEMGYSPENVHL IGHSLGSHVAGEAGRRLEGHVGRITGLDPAEPCFQGLPEEVRLDPSDAMFVDVIHTDSAP IIPYLGFGMSQKVGHLDFFPNGGKEMPGCQKNILSTIVDINGIWEGTRNFAACNHLRSYK YYASSILNPDGFLGYPCSSYEKFQHNDCFPCPEQGCPKMGHYADQFEGKTATVEQTFFLN TGDSGNFTRWRYKVSVTLSGAKKLSGYILVALYGCNGNSKQYEVFKGSLQPEARYIRDID VDVNVGEIQKVKFLWNNKVINLFRPTMGASQITVQRGKDGKEFNFCSSNTVHEDVLQSLY PC >ENSMUSP00000094528.4 pep:known chromosome:GRCm38:17:83350931:83480361:1 gene:ENSMUSG00000032624.15 transcript:ENSMUST00000096766.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml4 description:echinoderm microtubule associated protein like 4 [Source:MGI Symbol;Acc:MGI:1926048] MDGFAGSLDDSISAASTSDVQDRLSALESRVQQQEDEITVLKAALADVLRRLAISEDHVA SVKKSMPSKGQPSLREAISMSCITNGSGISRKQNHTSSVSIARKETLSSAAKSGTEKKKE KPQGQREKKEDSHSNDQSPQIRASPSPQPSSQPLQINRQTPESKSSAPIKSIKRPPTAEK SHNSWENSDDSRNKLMKTVSTSKLISKVIKNADKHKDVIVNQAKMSTREKNSQEGEYIKM FMRGRPITMFIPSDVDNYDDIRTELPPEKLKLEWVYGYRGKDCRANVYLLPTGEIVYFIA SVVVLFNYEERTQRHYLGHTDCVRCLAVHPDKIRIATGQIAGVDKDGRPLQPHVRVWDSV SLTTLHVIGLGTFERGVGCLDFSKADSGVHLCVIDDSNEHMLTVWDWQKKSKIAEIKTTN EVVLAVEFHPTDANTIITCGKSHIFFWTWSGNSLTRKQGIFGKYEKPKFVQCLAFLGNGD VLTGDSGGVMLIWSKTMVEPPPGKGPKGVYQINRQIKAHDGSVFTLCQMRNGMLLTGGGK DRKIILWDHDLNLEREIEVPDQYGTIRAVAEGRAEQFLVGTSRNFILRGTFNDGFQIEVQ GHTDELWGLATHPFKDLLLTCAQDRQVCMWNSVEHRLEWTRLVDEPGHCADFHPSGTVVA IGTHSGRWFVLDAETRDLVSIHTDGNEQLSVMRYSVDGTLLAVGSHDNFIYLYTVLENGR KYSRYGKCTGHSSYITHLDWSPDNKHIMSNSGDYEILYWDIENGCKLIRNRSDCKDIDWT TYTCVLGFQVFGVWPEGSDGTDINALVRSHNRRVIAVADDFCKVHLFQYPCSKAKAPSHK YSAHSSHVTNVSFTHNDSHLISTGGKDMSIIQWKLVEKLPVPQNEVITDASVTKTPASSS ETARPSNSPPLPPSLPLTGTAEEESRMGSSPTLVENSLEQIAEPSEEQSEWGSEDLGVVI DEEPASELSETQGATELPEEERGITPLC >ENSMUSP00000107982.2 pep:known chromosome:GRCm38:17:83350931:83480361:1 gene:ENSMUSG00000032624.15 transcript:ENSMUST00000112363.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml4 description:echinoderm microtubule associated protein like 4 [Source:MGI Symbol;Acc:MGI:1926048] MDGFAGSLDDSISAASTSDVQDRLSALESRVQQQEDEITVLKAALADVLRRLAISEDHVA SVKKSMPSKGQPSLREAISMSCITNGSGISRKQNHTSSVSIARKETLSSAAKSIKRPPTA EKSHNSWENSDDSRNKLMKTVSTSKLISKVIKNADKHKDVIVNQEGEYIKMFMRGRPITM FIPSDVDNYDDIRTELPPEKLKLEWVYGYRGKDCRANVYLLPTGEIVYFIASVVVLFNYE ERTQRHYLGHTDCVRCLAVHPDKIRIATGQIAGVDKDGRPLQPHVRVWDSVSLTTLHVIG LGTFERGVGCLDFSKADSGVHLCVIDDSNEHMLTVWDWQKKSKIAEIKTTNEVVLAVEFH PTDANTIITCGKSHIFFWTWSGNSLTRKQGIFGKYEKPKFVQCLAFLGNGDVLTGDSGGV MLIWSKTMVEPPPGKGPKGVYQINRQIKAHDGSVFTLCQMRNGMLLTGGGKDRKIILWDH DLNLEREIEVPDQYGTIRAVAEGRAEQFLVGTSRNFILRGTFNDGFQIEVQGHTDELWGL ATHPFKDLLLTCAQDRQVCMWNSVEHRLEWTRLVDEPGHCADFHPSGTVVAIGTHSGRWF VLDAETRDLVSIHTDGNEQLSVMRYSVDGTLLAVGSHDNFIYLYTVLENGRKYSRYGKCT GHSSYITHLDWSPDNKHIMSNSGDYEILYWDIENGCKLIRNRSDCKDIDWTTYTCVLGFQ VFGVWPEGSDGTDINALVRSHNRRVIAVADDFCKVHLFQYPCSKAKAPSHKYSAHSSHVT NVSFTHNDSHLISTGGKDMSIIQWKLVEKLPVPQNEVITDASVTKTPASSSETARPSNSP PLPPSLPLTGTAEEESRMGSSPTLVENSLEQIAEPSEEQSEWGSEDLGVVIDEEPASELS ETQGATELPEEERGITPLC >ENSMUSP00000041880.8 pep:known chromosome:GRCm38:17:83350931:83480361:1 gene:ENSMUSG00000032624.15 transcript:ENSMUST00000049503.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml4 description:echinoderm microtubule associated protein like 4 [Source:MGI Symbol;Acc:MGI:1926048] MDGFAGSLDDSISAASTSDVQDRLSALESRVQQQEDEITVLKAALADVLRRLAISEDHVA SVKKSMPSKGQPSLREAISMSCITNGSGISRKQNHTSSVSIARKETLSSAAKRHKDVIVN QEGEYIKMFMRGRPITMFIPSDVDNYDDIRTELPPEKLKLEWVYGYRGKDCRANVYLLPT GEIVYFIASVVVLFNYEERTQRHYLGHTDCVRCLAVHPDKIRIATGQIAGVDKDGRPLQP HVRVWDSVSLTTLHVIGLGTFERGVGCLDFSKADSGVHLCVIDDSNEHMLTVWDWQKKSK IAEIKTTNEVVLAVEFHPTDANTIITCGKSHIFFWTWSGNSLTRKQGIFGKYEKPKFVQC LAFLGNGDVLTGDSGGVMLIWSKTMVEPPPGKGPKGVYQINRQIKAHDGSVFTLCQMRNG MLLTGGGKDRKIILWDHDLNLEREIEVPDQYGTIRAVAEGRAEQFLVGTSRNFILRGTFN DGFQIEVQGHTDELWGLATHPFKDLLLTCAQDRQVCMWNSVEHRLEWTRLVDEPGHCADF HPSGTVVAIGTHSGRWFVLDAETRDLVSIHTDGNEQLSVMRYSVDGTLLAVGSHDNFIYL YTVLENGRKYSRYGKCTGHSSYITHLDWSPDNKHIMSNSGDYEILYWDIENGCKLIRNRS DCKDIDWTTYTCVLGFQVFGVWPEGSDGTDINALVRSHNRRVIAVADDFCKVHLFQYPCS KAKAPSHKYSAHSSHVTNVSFTHNDSHLISTGGKDMSIIQWKLVEKLPVPQNEVITDASV TKTPASSSETARPSNSPPLPPSLPLTGTAEEESRMGSSPTLVENSLEQIAEPSEEQSEWG SEDLGVVIDEEPASELSETQGATELPEEERGITPLC >ENSMUSP00000132098.1 pep:known chromosome:GRCm38:12:11240926:11265787:-1 gene:ENSMUSG00000051235.8 transcript:ENSMUST00000166117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gen1 description:GEN1, Holliday junction 5' flap endonuclease [Source:MGI Symbol;Acc:MGI:2443149] MGVNDLWQILEPVKQHIHLQDLSGKTIAVDLSLWVCEAQTVKKMIGTVKKPHLRNLFFRI SYLTQMNVKLVFVMEGEPPMLKADVISKRTQTRYGPSGKSRSQKTGRSHFKSVLRECLEM LECLGMPWVQAAGEAEAMCAYLNASGHVDGCLTNDGDAFLYGAQTVYRNFTMNTKDPHVD CYTISSIKSKLGLDRDALVGLAVLLGCDYLPKGVPGVGKEQALKLLQIFKGQSLLQRFNQ WIEDPCYSVPQSAPKKVVHCSVCSHPGSPKDHERNGCILCKSDKYCEPHDYDYLCPCEWH QTDHNRHLSEIENNIKKKACSCEGFPFHEVIQEFLLNKNKMLKPITYQRPDLLLFQRFTV QKMEWPSHYACEKLLVLLTRYDMIERKHGRKTSNQLQPIRIVKPRVRNGVHCLEIEWEKP EHYVVEDGDPGKLSLLTMEEASLFEAAYPDAVAVYQKQLSETKGRKQKSMKNKPKGSHLP EADDVINSQSLMTLKPTSKAFPKQNPKINLENSPDPILAQESTSPSLNSFVSPENAPCLN LQEQLVPSPRTLAIKQSKDVSHFLVSECSQPSSSSHDISVITDLQLSTIDWAGTSFSNSP AVQRNTFSQDLASESESSAILPDFEQLSYESEQGTSDSEGSGRDLQQSNPEEQLLSGISA LHLHDLPLKERIRIKSSCPQYNVGADAGLESLPLKLKGSCIAYSSSDGSSNFSKDLTGVY LHKESRNSKVLDSRLQENCGANTSLPYSFSDKAVKTSSLQVGLPTAAIPHNPRVAVKTTK NLVMKNSVCLERDSSDEDNAPGSWKSKYTAPEMKHSSQKHSLVHVRDSTHNKLRNPKVES KETKLCNESFKTAEDEENGFSDLGRSPQSFRPCHDKDENSTASWENPLPLRQRLKLRFQN TQSGFYNT >ENSMUSP00000021453.4 pep:known chromosome:GRCm38:12:76198692:76246746:-1 gene:ENSMUSG00000021056.7 transcript:ENSMUST00000021453.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex21 description:testis expressed gene 21 [Source:MGI Symbol;Acc:MGI:1931131] MSRPLQPVSHSDPGRLANSQTKEACEAKPPEARHLSHLSARENVPVACFDPPLEMDPTGN PIIHNKKAADPVHPDLASMLVKNKTLLAELRNLQSKLYVKETSLQEVKAELESYKERNAQ QELQIISLRDDIKSLQDLIDSLMKMKILKNTNSQNFGRHNWDLTERVLDLENRLREHLVG REEIKAELLEKRRSCPSSFSPYMNLKGPEDSLDIFPVKDNDEVYNLKRGSVVYSEGPIDG QTTGDKCQQDLIHQEKLTSELDRAPFSCGWETTPEQSHHQDFLGQLATLLSDSIGPILAT EEAVKERIQEMGANEQSWKCKAEALQQEIQTLTKRLEQLYRLYEEAQTEENYTEQERPLG PLEGKVAVSCSLRNLDVGRKKENSRTRTAHIDKHSKFKKLEMLLDIEQNSKMAAAPRMEE KIQRLQKQLSDLKLSNKNMKTQLTRINVLKDKTIERLRQSVTKVETLKEKTAAKTDNLKT PSDPAEQGARPEKERAHQTPAQSLPDPSTVRAVLKGLSGREQELADFREAIMKMLGFNMK TADKDVINRLKLVVQIYEISKRSKMASHCEPGQAK >ENSMUSP00000136337.1 pep:known chromosome:GRCm38:17:45541940:45549677:-1 gene:ENSMUSG00000096847.1 transcript:ENSMUST00000180252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem151b description:transmembrane protein 151B [Source:MGI Symbol;Acc:MGI:2685169] MSPPGSAAGESAGGGGGGGGSGVPEEPMASADEGPAREEQRPIQPSFTKSLCRESHWKCL LLSLLMYGCLGAVAWCHVTTVTRLTFSSAYQGNSLMYHDSPCSNGYVYIPLAFLLMLYAV YLVECWHCQARHELQHRVDVSSVQERVGRMQQATPCIWWKAISYHYVRRTRQVTRYRNGD AYTTTQVYHERVNTHVAEAEFDYARCGVRDVSKTLVGLEGAPATRLRFTKCFSFASVEAE NAYLCQRARFFAENEGLDDYMEAREGMHLKNVDFREFMVAFPDPARPPWYACSSAFWAAA LLTLSWPLRVLAEYRTAYAHYHVEKLFGLEGPGSASSVGGGLSPSDELLPPLTHRLPRVN TVDSTELEWHIRSNQQLVPSYSEVLLMDLVELGSRCGGPGGSYVPRCRYGGVGGPGAAGV TPHWRSCEHCQRAVSSSSIFSRSALSICASPRAAQGPGASAGCGGSRFSLSRLYGSRRSC LWRSRSGSVNEASCPTEQTRLSSQASMRDNEEDEDEEEAGPPPPYQDALCFPVLIVHRQE GCLGHSHRSLHRHGSCVETSL >ENSMUSP00000020577.2 pep:known chromosome:GRCm38:10:79755119:79756961:1 gene:ENSMUSG00000020327.3 transcript:ENSMUST00000020577.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf22 description:fibroblast growth factor 22 [Source:MGI Symbol;Acc:MGI:1914362] MRSRLWLGLAWLLLARAPGAPGGYPHLEGDVRWRRLFSSTHFFLRVDLGGRVQGTRWRHG QDSIVEIRSVRVGTVVIKAVYSGFYVAMNRRGRLYGSRVYSVDCRFRERIEENGYNTYAS RRWRHRGRPMFLALDSQGIPRQGRRTRRHQLSTHFLPVLVSS >ENSMUSP00000035244.5 pep:known chromosome:GRCm38:13:112927730:112969431:1 gene:ENSMUSG00000042426.5 transcript:ENSMUST00000038574.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx29 description:DEAH (Asp-Glu-Ala-His) box polypeptide 29 [Source:MGI Symbol;Acc:MGI:2145374] MGGKNKKHKAPGAAAMRAAVSASRARSAEAGAVGEAQSKKPVARPAPAVPTGAREPRVKQ GPKIYSFNSANDSGGSANLDKSILKVVINNKLEQRIIGVINEHKKQNSDRGAISGRLSAK KLQDLYMALQAFSFKTKDIEDAMTNTLLHGGDLHSALDWLCLNLSDDALPEGFSQEFEEQ QPKSRPKFQSVQIQATLSPPQQTKTKRQEEDPKIKPKKEETTVEVNMKEWILRYAEQQDE EEKGEGSKGLEEEEKFDPNQRYLNLAARLLDAKEQAAAFKLEKNKQGQKEAQEKIRKFQR EMETLEDHPIFNPAIKISHQQNEKKKPAPATEAESALNLNLFEKSAAATEEEKGKKKEPH DVRNFDYTARSWTGKSPKQFLIDWVRKNLPKSPNPSFEKVAVGRYWKCRVRVVRSEDDVL VVCPTILTEDGMQAQHLGATLALYRLVKGQSVHQLLPPTYRDVWLEWSDEEKKREELNKM ETNKPRDLFIAKLLNKLKQQQQQQQQQRPESEKGGSEDPEESWENLVSDEDLAALSLEPT SAEDLAPVRSLFRRLQSTPKYQRLLKERQQLPVFKHRDSIVETLKRHRVVVVAGETGSGK STQVPHFLLEDLLLDECGARKCNIVCTQPRRISAVSLATRVCEELGCESGPGGRNSLCGY QIRMESRASESTRLLYCTTGVLLRKLQEDGLLADVSHVIVDEVHERSVQSDFLLVILKEI LQKRSDLHLILMSATVDSDKFSTYFTHCPILRISGRSYPVEVFHLEDIVEETGFVLEKDS EYCQKFLEEEEEITINVTSKAGGVKKYQEYIPVQSGASPELNPFYQKYSSRTQHAILYMN PHKINLDLILELLVYLDKSPQFRNIEGAVLIFLPGLAHIQQLYDLLSSDRRFYSERYQVI ALHSVLSTQDQAAAFMFPPPGVRKIVLATNIAETGITIPDVVFVIDTGRTKENKYHESSQ MSSLVETFVSKASALQRQGRAGRVRDGFCFRLYTRERFEGFLDYSVPEILRVPLEELCLH IMKCDLGSPEDFLSKALDPPQLQVISNAMNLLRKIGACEPNEPKLTPLGQHLAALPVNVK IGKMLIFGAIFGCLEPVATLAAVMTEKSPFITPIGRKDEADLAKSSLAVADSDHLTIYNA YLGWKKAQQEGGFRSEISYCQRNFLNRTSLLTLEDVKQELMKLVKAAGFSSSPSWEGRKG PQTLSFQDIALLKAVLAAGLYDSVGKIMCTKSVDVTEKLACMVETAQGKAQVHPSSVNRD LQTYGWLLYQEKVRYTRVYLRETTLITPFPVLLFGGDIEVQHRERLLSVDGWIYFQAPVK IAVIFKQLRVLIDSVLRKKLENPKMSLENDKILQIITELIKTENN >ENSMUSP00000073616.6 pep:known chromosome:GRCm38:7:135537824:135686294:1 gene:ENSMUSG00000041836.9 transcript:ENSMUST00000073961.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptpre description:protein tyrosine phosphatase, receptor type, E [Source:MGI Symbol;Acc:MGI:97813] MEPFCPLLLASFSLSLARAGQGNDTTPTESNWTSTTAGPPDPGASQPLLTWLLLPLLLLL FLLAAYFFRFRKQRKAVVSSNDKKMPNGILEEQEQQRVMLLSRSPSGPKKFFPIPVEHLE EEIRVRSADDCKRFREEFNSLPSGHIQGTFELANKEENREKNRYPNILPNDHCRVILSQV DGIPCSDYINASYIDGYKEKNKFIAAQGPKQETVNDFWRMVWEQRSATIVMLTNLKERKE EKCYQYWPDQGCWTYGNIRVCVEDCVVLVDYTIRKFCIHPQLPDSCKAPRLVSQLHFTSW PDFGVPFTPIGMLKFLKKVKTLNPSHAGPIVVHCSAGVGRTGTFIVIDAMMDMIHSEQKV DVFEFVSRIRNQRPQMVQTDVQYTFIYQALLEYYLYGDTELDVSSLERHLQTLHSTATHF DKIGLEEEFRKLTNVRIMKENMRTGNLPANMKKARVIQIIPYDFNRVILSMKRGQEFTDY INASFIDGYRQKDYFMATQGPLAHTVEDFWRMVWEWKSHTIVMLTEVQEREQDKCYQYWP TEGSVTHGDITIEIKSDTLSEAISVRDFLVTFKQPLARQEEQVRMVRQFHFHGWPEVGIP AEGKGMIDLIAAVQKQQQQTGNHPITVHCSAGAGRTGTFIALSNILERVKAEGLLDVFQA VKSLRLQRPHMVQTLEQYEFCYKVVQDFIDIFSDYANFK >ENSMUSP00000025740.6 pep:known chromosome:GRCm38:19:4195198:4201603:-1 gene:ENSMUSG00000024824.6 transcript:ENSMUST00000025740.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad9a description:RAD9 homolog A [Source:MGI Symbol;Acc:MGI:1328356] MKCLITGGNVKVLGKAVHSLSRIGDELYLEPLKDGLSLRTVNSSRSAYACFLFAPLFFQQ YQAASPGQDLLRCKILMKAFLSVFRSLAIVEKSVEKCCISLSGSHSHLVVQLHCKYGVKK THNLSFQDCESLQAVFDPASCPHLLRTPARVLAEAVLSFPLALTEVTLGIGRGRRVILRS YQEEEADSTSKAMVTETSIGDEDFQQLHAPEGIAVTFCLKEFRGLLSFAESANLPLTIHF DVPGRPVIFTIEDSLLDAHFVLATLLEQDSCSQGPCSPKPHQPVPQKQAHSTPHLDDFTS DDIDCYMIAMETTGGNEGSGAQPSTSLPPVSLASHDLAPTSEEEAEPSTVPGTPPPKKFR SLFFGSILAPVHSPQGPNPVLAEDSDGEG >ENSMUSP00000106336.2 pep:known chromosome:GRCm38:12:55155104:55189213:1 gene:ENSMUSG00000079108.5 transcript:ENSMUST00000110708.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp54b description:signal recognition particle 54B [Source:MGI Symbol;Acc:MGI:3714357] MVLADLGRKITSALRSLSNATIINEEVLNAMLKEVCTALLEADVNIKLVKQLRENVKSAI DLEEMASGLNKRKMIQHAVFKELVKLVDPGVKAWTPTKGKQNVIMFVGLQGSGKTTTCSK LAYYYQRKGWKTCLICADTFRAGAFDQLKQNATKARIPFYGSYTEMDPVIIASEGVEKFK NENFEIIIVDTSGRHKQEDSLFEEMLQVSNAIQPDNIVYVMDASIGQACEAQAKAFKDKV DVASVIVTKLDGHAKGGGALSAVAATKSPIIFIGTGEHIDDFEPFKTQPFISKLLGMGDI EGLIDKVNELKLDDNEALIEKLKHGQFTLRDMYEQFQNIMKMGPFSQILGMIPGFGTDFM SKGNEQESMARLKKLMTIMDSMNDQELDSTDGAKVFSKQPGRIQRVARGSGVSTRDVQEL LTQYTKFAQMVKKMGGIKGLFKGGDMSKNVSQSQMAKLNQQMAKMMDPRVLHHMGGMAGL QSMMRQFQQGAAGNMKGMMGFNNM >ENSMUSP00000132835.1 pep:known chromosome:GRCm38:12:55239326:55263020:1 gene:ENSMUSG00000079108.5 transcript:ENSMUST00000164243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp54b description:signal recognition particle 54B [Source:MGI Symbol;Acc:MGI:3714357] MVLADLGRKITSALRSLSNATIINEEVLNAMLKEVCTALLEADVNIKLVKQLRENVKSAI DLEEMASGLNKRKMIQHAVFKELVKLVDPGVKAWTPTKGKQNVIMFVGLQGSGKTTTCSK LAYYYQRKGWKTCLICADTFRAGAFDQLKQNATKARIPFYGSYTEMDPVIIASEGVEKFK NENFEIIIVDTSGRHKQEDSLFEEMLQVSNAIQPDNIVYVMDASIGQACEAQAKAFKDKV DVASVIVTKLDGHAKGGGALSAVAATKSPIIFIGTGEHIDDFEPFKTQPFISKLLGMGDI EGLIDKVNELKLDDNKALIEKWKHGQFTLRDMYEQFQNIMKMGPFSQILGMIPGFGTDFM SKGNEQESMARLKKLMTIMDSMNDQELDSTDGAKVFSKHPGRIQRVARGSGVSTRDIQEL LTQYTKFAQMVKKMGGIKGLFKGGDMSKNVSQSQMAKLNQQMAKMMDPRVLHHMGGMAGL QSMMRQFQQGAAGNMKGMMGFNNM >ENSMUSP00000080613.3 pep:known chromosome:GRCm38:15:101431490:101438804:-1 gene:ENSMUSG00000047641.7 transcript:ENSMUST00000081945.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt83 description:keratin 83 [Source:MGI Symbol;Acc:MGI:3665486] MSCFSSRLGASCGVRAFSCASACGPRPGRCCISAAPYRGISCYRGLSGGFGSRSVCGPFR SGSCGRSFGYRSGGVCGPSPPCITTVSVNESLLTPLNLEIDPNAQCVKHEEKEQIKCLNS KFAAFIDKVRFLEQQNKLLETKWQFYQNRKCCESNMEPLFEGYIEALRREAECVEADSGR LAAELNHVQESMEGYKKRYEEEVALRATSENEFVALKKDVDCAYLRKSDLEANAEALTQE TDFLRQLYEEETRLLHSHISDTSVVVKMDNSRDLNMDCVVAEIKAQYDDIASRSRAEAES WYRTKCEEMKATVIRHGETLRRTREEINELNRMIQRLTAEIENAKCQNTKLEAAVTQSEQ QGEAALTDARCKLAELEGALQKAKQDMACLLKEYQEVMNSKLGLDVEIITYRRLLEGEEQ RLCEGVGSVNVCVSSSRGGVTCGGLTYGTTPGRQIASGPSVTGGSITVMAPDSCSPCQPR ASSFTCGSSRSVRFA >ENSMUSP00000083680.1 pep:known chromosome:GRCm38:9:18987990:18988928:1 gene:ENSMUSG00000095525.1 transcript:ENSMUST00000086492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr834 description:olfactory receptor 834 [Source:MGI Symbol;Acc:MGI:3030668] MKRGNVSESTEFHLMGLSDNQELQPVLFGVFLSIYLITMFGNLLIILATVFDSNLHTPMY FFISNLSFIDICFTTTTIPKMLVNIQAQVNSISYTGCLTQICFVLAFAGLENGILVMMAY DRFVAICHPLRYTVIMNPKICGVMVLLSFLISILDALLHTLMALHLSFCTKVEIPHFFCE LAHILKLACSNILINNILVYLVTSLLGVLPLSGIIYSYTRIISSVLKIPSAAGKYKVFSI CASHLVVVILFYGTGFGVYLSSAGTHSSRKSAIASVMYTVVTPMMNPFIYSLRNKDMVSA FKKLISRITTSL >ENSMUSP00000112259.2 pep:known chromosome:GRCm38:19:5490485:5494029:1 gene:ENSMUSG00000056201.6 transcript:ENSMUST00000116560.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfl1 description:cofilin 1, non-muscle [Source:MGI Symbol;Acc:MGI:101757] MASGVAVSDGVIKVFNDMKVRKSSTPEEVKKRKKAVLFCLSEDKKNIILEEGKEILVGDV GQTVDDPYTTFVKMLPDKDCRYALYDATYETKESKKEDLVFIFWAPENAPLKSKMIYASS KDAIKKKLTGIKHELQANCYEEVKDRCTLAEKLGGSAVISLEGKPLSHPAPCLEHLNSPR PALGCCRLPLSCQTGGAGGIPAGGGLSLHPSCQTSLPPPGPSFSLHP >ENSMUSP00000067662.4 pep:known chromosome:GRCm38:9:20818504:20826163:1 gene:ENSMUSG00000053773.4 transcript:ENSMUST00000066387.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rdh8 description:retinol dehydrogenase 8 [Source:MGI Symbol;Acc:MGI:2685028] MASQQRTVLISGCSSGIGLELALQLAHDPRQRYQVVATMRDLGKKEPLEAAAGEALGKTL SVVQLDVCNDESVTDCLSHIEGGQVDVLVNNAGVGLVGPLEGLSLATMQSVFNTNFFGAV RLVKAVLPGMKRRRQGHIVVVSSVMGLQGVMFNDVYAASKFALEGFFESLAIQLRQFNIF ISMVEPGPVTTDFEGKLLAQVSKAEFPDTDPDTLGYFRDLYLPASRELFRSVGQSPRDVA QVIAKVIGTTRPPLRRQTNTRYLPLTALKAMDPSGSLYVKTAHRLLFRWPHLLNLGLRCL ACGCLPTRVWPRQTEQN >ENSMUSP00000131841.2 pep:known chromosome:GRCm38:12:110850279:110869998:1 gene:ENSMUSG00000021271.4 transcript:ENSMUST00000170060.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp839 description:zinc finger protein 839 [Source:MGI Symbol;Acc:MGI:1920055] MAGAEPESGNVGDSGSTLPGRSHGAVRLAPLDPEQLRRVLEQVTRAQPPPSTLPAAARRL RDGAQQAQRGPGAEAPRLLTPQELEAICVKVSGDTKLQERTMHPLATIQLKTARQSQLPG RSPSKGVCVSSPQLLRVQALGRTESQLQFLRRSPQPPAPRVFVQKMLPDLHPVPLKRAKA HETASGCGIALSPVAASGTRAVAAVPSSLLISSLHTARTEKSKKSLRVRTRSGRVSRPPR YKARDYKFIKTEDLAEGRLSDSDDYSELSVEEEEEPPEKPAFFDVEVCALRPKAFKCHTC GKSYIGKGGLSRHCKLNPGHSRLQPGVLLLEKADGGPTLGCTDSKTGGLAGPEPSTAAAL CVEEAESAWHTLQSGQPVEVEETLVPEPQNRSTSALLRPEKYLGPKNRNWESQAEVSTAS LRESRAVQPEGDPAVPSGLSVSGGAQLREALKQCGREELVELVLPQLAQVVTLYELLLVK VEKSPRAKPFFPAVYKEFEELYDMMKRMCQDYLSSAGAYPQEPLEISNDEVARSLGITEF LRRKETPTCSSQETEGRLEKGCVRKRARELGKLATVKKPRTVALPTDVPGYPPSANGCQQ EPGPSPALATSKGSDPAAGENSLCSEGSCWEMVPASDRNAPPAGSWLLVMASDDFEARNG SADPTLLFQDVSGSALYSQVAEPSRMHPAQESVFSEENIPEHTMYQDSGAVWKSNSVCDM PSSGRRIGSLLFRESENAEAGALRETNQPFLDGQQASPSHVLLAEAAAVPLHNTVPVNIL LPAACVSKIMPEPVLHPGPGGSLSTDGGPSVGREAGDISQPLSRMETHDQREPETVTAVG EALAFDISNVYQEVLSQGQEQIFIRTSNGFILSYPSTIVSQEDDSVVVTNARGSILRFGP PEGVALEAMEAFLTVEAECSQ >ENSMUSP00000085335.3 pep:known chromosome:GRCm38:15:101754259:101763504:-1 gene:ENSMUSG00000067596.3 transcript:ENSMUST00000088018.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt74 description:keratin 74 [Source:MGI Symbol;Acc:MGI:3629975] MASCHTAGHRTGLSSRSLYSLGGNQHTSYNVAGGSARGTRHSFGYGYGGGRGSGFANSMF GSMALGANCPSVCLSGGIYQVTVNKSLLAPLNVELDPEIQKVRAQEREQIKALNDKFASF IDKVRFLEQQNQVLQTKWELLQQLDLSNCRRNLEPVYEAHISNLRKQLEMLSGERVRLDP DLRKMRDVVEDYKKRYEVEITQRTAAENEFVLLKKDADAAYTVKVELQDKVDSLDKDIKF LKCLYDEEISQLQTHASETSVILSMDNNRDLDLAGIIAEVRAHYEDIALKSKAEAEMLYQ TKIQELQLAAGCYGDSLKHIRSEMLELDRLIQRIRCDIANVKKQCSNLEMAIADAEQRGD SALKDAWAKLDELEGALQQAKEELARMLCEYQELMGLKLSLDVEIATYRKLLEGEENRMS GENPSSVSVSVISSSCGSCGYHPSSMISDSEAGNAVGSPSTPRNSQSKTRGSSVDPRDAQ DESAAAAGTLARKTT >ENSMUSP00000020403.5 pep:known chromosome:GRCm38:10:110920177:110939599:1 gene:ENSMUSG00000020186.6 transcript:ENSMUST00000020403.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csrp2 description:cysteine and glycine-rich protein 2 [Source:MGI Symbol;Acc:MGI:1202907] MPVWGGGNKCGACGRTVYHAEEVQCDGRSFHRCCFLCMVCRKNLDSTTVAIHDEEIYCKS CYGKKYGPKGYGYGQGAGTLNMDRGERLGIKPESAQPHRPTTNPNTSKFAQKYGGAEKCS RCGDSVYAAEKIIGAGKPWHKNCFRCAKCGKSLESTTLTEKEGEIYCKGCYAKNFGPKGF GYGQGAGALVHAQ >ENSMUSP00000049285.7 pep:known chromosome:GRCm38:12:28594173:28623290:-1 gene:ENSMUSG00000036655.7 transcript:ENSMUST00000036136.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Colec11 description:collectin sub-family member 11 [Source:MGI Symbol;Acc:MGI:1918943] MMMRDLALAGMLISLAFLSLLPSGCPQQTTEDACSVQILVPGLKGDAGEKGDKGAPGRPG RVGPTGEKGDMGDKGQKGTVGRHGKIGPIGAKGEKGDSGDIGPPGPSGEPGIPCECSQLR KAIGEMDNQVTQLTTELKFIKNAVAGVRETESKIYLLVKEEKRYADAQLSCQARGGTLSM PKDEAANGLMASYLAQAGLARVFIGINDLEKEGAFVYSDRSPMQTFNKWRSGEPNNAYDE EDCVEMVASGGWNDVACHITMYFMCEFDKENL >ENSMUSP00000097075.3 pep:known chromosome:GRCm38:13:56362901:56365769:1 gene:ENSMUSG00000074885.3 transcript:ENSMUST00000099476.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10782 description:predicted gene 10782 [Source:MGI Symbol;Acc:MGI:3642506] VLNSQHTLTAICGLRCRPLGSSNLASSNAARGPCDLSTLAATRLALLPIFILDNLLGEDN VLYLLLNVFLWPLGWLNIFSDSSSSTCVSGNESWRSTLAKASTELSSPLLRRHSECQASS CELHRGCGTRRCGLVRVGLLGV >ENSMUSP00000097154.4 pep:known chromosome:GRCm38:19:24094523:24225026:-1 gene:ENSMUSG00000024812.9 transcript:ENSMUST00000099558.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tjp2 description:tight junction protein 2 [Source:MGI Symbol;Acc:MGI:1341872] MEEVIWEQYTVTLQKDSKRGFGIAVSGGRDNPHFENGETSIVISDVLPGGPADGLLQEND RVVMVNGTPMEDVLHSFAVQQLRKSGKIAAIVVKRPRKVQVAPLQGSPPLSHDDRGFEVI EEFDGRSFRSGYSERSRHSSHDMLSHSWEGNRERGRPHQRTQSRERERSRGRSLERGLDQ EDYGRSRERSRGRSLERGLDRDFVSRDHSRGRSIDRDYDRDYERSYHEAYEPDYGGGYSP SYDRRAHPETRYERSRSREHLRSRSPSPESRSRHEHKGQHDPDRPIGVLLTKSKANEEYG LRLGSQIFIKEMTRTGLATKDGNLHEGDIILKINGTVTENMSLTDARKLIEKSRGKLQLV VLRDSKQTLINIPALNDSDSEVEDISEIESNRSFSPEERRQQYSDQDYHSSTEKLKERPS SREETSGRLSRMGATPTPFKSTGDITAAGVTEASREPRYQEEGPVPQPRTAPRVFLRPSP EDEAIYGPNTKMVRFKKGDSVGLRLAGGNDVGIFVAGIQEGTSAEQEGLQEGDQILKVNT QDFRGLVREDAVLYLLEIPKGETVTILAQSRADVYRDILACGRGDSFFIRSHFECEKETP QSLAFTRGEVFRVVDTLYDGKLGHWLAVRIGNELEKGLIPNKSRAEQMASVQNAQRENAG DRADFWRMRGQRSSGGVKKNLRKSREDLAAAVSVSTKFPAYEKVLLREAGFKRPVVLFGP IADIAMERLATELPDLFQTAKTEPKDAGSEKSSGVVRLNTVRQIIEQDKHALLDVTPKAV DLLNYTQWFPIVIFFNPDSRQGVKTIRQRLSPTSNKSSRKLFDQANKLKKTCSHLFTATI NVNSANDGWFGSLKDSIQQQQNEAVWVSEGKMEGMDDDAEDRMSYLTAMGADYLSCDSRL ISDFEDTDGEGGAYTDNELEEPAEEPLVSSITRSSEPVQHEENIRKSSPEPRAQMRRAAS RDQLRDASPPPAFKPEPPKARSQNREDSFDYSKSNLPATAGSEIPGGSTKGYPPPIAAKP AFGRPILKPSTPVPMPESEEVGESTEEQEDAPRSVLGRVKIFEKMDHKAKLQRMQELQEA QNARIEIAQKHPDIYAVPIKAPKPDAGLPPHMSSRPPEPQKAPSRLYQDTRGSYGSDPEE EEYRQQLAAHSKRGYYSQPSRYRDTEL >ENSMUSP00000076472.3 pep:known_by_projection scaffold:GRCm38:GL456216.1:15881:36183:1 gene:ENSMUSG00000063897.3 transcript:ENSMUST00000077235.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DHRSX description:dehydrogenase/reductase (SDR family) X chromosome (Dhrsx), mRNA [Source:RefSeq mRNA;Acc:NM_001033326] MSTLRALRAVLCVYAVGIAVALAQLLRRLRGDFRPPVLPPQPGRVAIVTGATAGIGRSTA RQLARLGMCVVVAGNDEHCGQEVVSSIRAEMGSDRAHFLPLDLASLASVRGFARDFRALG LPLHLLVNNAGVMLEPRAETEDGFERHLGVNFLGHFLLTLLLLPALRASGAEGRGSRVVT VGSATHYVGTVDMADLHGRHAYSPYAAYAQSKLALALFALQLQRILDARGDPVTSNMADP GVVDTELYRHAGWVLRTVKRFLGWLVFKSPEEGAWTLVYAAAAPELEGVGGRYLRDEAEA EPLGTARDQELQRRLWAEGLRLTGAGGGDSDGVAW >ENSMUSP00000132832.1 pep:known chromosome:GRCm38:19:39842660:39886769:-1 gene:ENSMUSG00000092008.1 transcript:ENSMUST00000168838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2c69 description:cytochrome P450, family 2, subfamily c, polypeptide 69 [Source:MGI Symbol;Acc:MGI:3721049] MDPFVVLVLCLSFMLLLSLWRQRSARRNLPPGPTPLPIIGNYHLIDMKDIGQCLTNFSKT YGPVFTLYFGSQPIVVLHGYEAIKEALIDHGEVFSGRGSFPFFDKVSKGKGIGFSHGNVW KATRVFTVNTLRNLAMGKRTIENKVQEEAQWLMKELKKTNGSPCDPQFIIGCAPCNVICS IVFQNRFDYKDKDFLSLIGKVNECTEILSSPGCQIFNAVPILIDYCPGRHNKFFKNHTWI KSYLLEKIKEHEESLDVTNPRDFIDYFLIQRRQDKGIEHMEYTIEHLATLVTDLVFGGTE TLSSTMRFALLLLMKHTHITAKVQEEIDNVIGRHRSPCMQDRKHMPYTNAMVHEVQRYVD LGPTSLVHEVTCDTKFRNYFIPKGTQVMTSLSSVLHDSTEFPNPEVFDPGHFLDDNGNFK KSDYFVPFSAGKRICVGESLARMELFLFLTTILQNFKLKPLVDPKDIDTTPKYSGFSKIP PKFQMCFIPVE >ENSMUSP00000020118.4 pep:known chromosome:GRCm38:10:99263231:99267488:1 gene:ENSMUSG00000019960.7 transcript:ENSMUST00000020118.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp6 description:dual specificity phosphatase 6 [Source:MGI Symbol;Acc:MGI:1914853] MIDTLRPVPFASEMAICKTVSWLNEQLELGNERLLLMDCRPQELYESSHIESAINVAIPG IMLRRLQKGNLPVRALFTRCEDRDRFTRRCGTDTVVLYDENSSDWNENTGGESVLGLLLK KLKDEGCRAFYLEGGFSKFQAEFALHCETNLDGSCSSSSPPLPVLGLGGLRISSDSSSDI ESDLDRDPNSATDSDGSPLSNSQPSFPVEILPFLYLGCAKDSTNLDVLEEFGIKYILNVT PNLPNLFENAGEFKYKQIPISDHWSQNLSQFFPEAISFIDEARGKNCGVLVHCLAGISRS VTVTVAYLMQKLNLSMNDAYDIVKMKKSNISPNFNFMGQLLDFERTLGLSSPCDNRVPTP QLYFTTPSNQNVYQVDSLQST >ENSMUSP00000098724.2 pep:known chromosome:GRCm38:12:88360554:88461719:1 gene:ENSMUSG00000021044.14 transcript:ENSMUST00000101165.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck1 description:aarF domain containing kinase 1 [Source:MGI Symbol;Acc:MGI:1919363] MARKALKLASWTSVALAASGVYLYSNNYLDPNDFGAVRVGRAVATTAVISYDYLTSLRSV PYGSEEYLQRRSQVHLRSARRLFELCCANRGTFIKVGQHLGALDYLLPEEYTSTLKVLHS QAPQSSMQEVRQVIREDLGKEIHDLFLSFDDTPLGAASLAQVHKAVLHDGRTVAVKVQHP KVQAQSSKDILLMEVLVLAVKQLFPDFEFMWLVDEAKKNLPLELDFLNEGRNAEKVAHML RHFDFLKVPQIHWELSTKRVLLMEFVEGGQVNDRAYMEKNQIDVNEISCHLGKMYSEMIF VNGFVHCDPHPGNVLVRKRPDTGKAEIVLLDHGLYQVLTEEFRLDYCHLWQSLIWTDMDG LKQYSQRLGAADLYPLFACMLTARSWDSVKQGIGQAPVSATEDSEIRNNAACYLPEISQL LNHVPRQMLLILKTNDLLRSIETTLGTRSSASSFLNMSRCCIRALAEHKKRDAGSFFRRT QISFSEAFSLWQINLHELLLRVRALRLACWVSALLGWLTRAPHRM >ENSMUSP00000127254.1 pep:known chromosome:GRCm38:12:88360801:88461718:1 gene:ENSMUSG00000021044.14 transcript:ENSMUST00000166940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adck1 description:aarF domain containing kinase 1 [Source:MGI Symbol;Acc:MGI:1919363] MARKALKLASWTSVALAASGVYLYSNNYLDPNDFGAVRVGRAVATTAVISYDYLTSLRSV PYGSEEYLQRRSQVHLRSARRLFELCCANRGTFIKVGQHLGALDYLLPEEYTSTLKVLHS QAPQSSMQEVRQVIREDLGKEIHDLFLSFDDTPLGAASLAQVHKAVLHDGRTVAVKVQHP KVQAQSSKDILLMEVLVLAVKQLFPDFEFMWLVDEAKKNLPLELDFLNEGRNAEKVAHML RHFDFLKVPQIHWELSTKRVLLMEFVEGGQVNDRAYMEKNQIDVNEISCHLGKMYSEMIF VNGFVHCDPHPGNVLVRKRPDTGKAEIVLLDHGLYQVLTEEFRLDYCHLWQSLIWTDMDG LKQYSQRLGAADLYPLFACMLTARSWDSVKQGIGQAPVSATEDSEIRNNAACYLPEISQL LNHVPRQMLLILKTNDLLRSIETTLGTRSSASSFLNMSRCCIRALAEHKKRDAGSFFRRT QISFSEAFSLWQINLHELLLRVRALRLACWVSALLGWLTRAPHRM >ENSMUSP00000001036.10 pep:known chromosome:GRCm38:9:21104069:21127346:-1 gene:ENSMUSG00000032175.10 transcript:ENSMUST00000001036.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyk2 description:tyrosine kinase 2 [Source:MGI Symbol;Acc:MGI:1929470] MVGTMPLCGRRAILEDSKADGTEAQPLVPTGCLMVLLHWPGPEGGEPWVTFSQTSLTAEE VCIHIAHKVGITPPCLNLFALYNAQAKVWLPPNHILDTSQDMNLYFRMRFYFRNWHGMNP QEPAVYRCGFPGAETSSDRAEQGVQLLDSASFEYLFEQGWYVGNRAKSSHTSFSIHRSTP QGKHEFMNDVVSLRDLSSEEEIHHFKNESLGMAFLHLCHLALSRGVPLEEMAREISFKNC IPHSFRQHIRQHNVLTRLRLHRVFRRFLRAFRPGHLSQQVVMVKYLATLERLAPRFGSER IPVCHLEVLAQPERDPCYIQNSGQTAGDPGPELPSGPPTHEVLVTGTGGIQWHPLQTQES ERGNSRGNPHGSRSGKKPKAPKAGEHLTESPQEPPWTYFCDFQDISHVVLKERRVHIHLQ DNKCLLLCLCSQAEALSFVALVDGYFRLTADSSHYLCHEVAPPRLVTSIQNGIHGPLMDP FVQAKLWPEDGLYLIQWSTSHLHRLILTVAHRNPAFSNGPRGLRLRKFPITQQPGAFVLD GWGRSFASLGDLRLALQGCSLRAGDDCFPLHHCCLPRPREISNLVIMRGSRAHTRPLNLS QLSFHRVHQDEITQLSHLGQGTRTNVYEGLLRVGGPDEGKVDNGCPPEPGGTSGQQLRVV LKVLDPSHHDIALAFYETASLMSQVSHMHLAFLHGVCVRGSENIIVTEFVEHGPLDVWLR RQRGQVPMTWKMVVAQQLASALSYLEDKNLVHGNVCGRNILLARLGLEEGTNPFIKLSDP GVGQGALSREERVERIPWTAPECLSGGTSSLGTATDMWGFGATLLEICFDGEAPLQGRGP SEKERFYTKKHQLPEPSSPELATLTRQCLTYEPAQRPSFRTILRDLTRLQPQNLVGTSAV NSDSPASDPTVFHKRYLKKIRDLGEGHFGKVSLYCYDPTNDGTGEMVAVKALKEGCGPQL RSGWQREIEILRTLYHEHIVKYKGCCEDQGEKSVQLVMEYVPLGSLRDYLPRHCVGLAQL LLFAQQICEGMAYLHAQHYIHRDLAARNVLLDNDRLVKIGDFGLAKAVPEGHEYYRVRED GDSPVFWYAPECLKECKFYYASDVWSFGVTLYELLTYCDSNQSPHMKFTELIGHTQGQMT VLRLTELLERGERLPRPDRCPCEIYHLMKNCWETEASFRPTFQNLVPILQTAQEKYQGQV PSVFSVC >ENSMUSP00000047222.7 pep:known chromosome:GRCm38:13:67254918:67269068:-1 gene:ENSMUSG00000055480.6 transcript:ENSMUST00000045969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp458 description:zinc finger protein 458 [Source:MGI Symbol;Acc:MGI:3040691] MEDMLSFWDVAIYFSAEEWECLGPAQWKLYRDVMLENYSNLVFLGLASSKPYLVTFLEQI QEPSDVKRQAAITVHPGGKCYTCKECGKGFEHKKVYQNHRRIHLRVKSYKCEECGKSFRF PSLLSAHKRNHTGQKPYNCEICSKAFHVPSLLSVHKRIHRVQKPYKSEDYGKTLHCPSLL SQHKIVHTGEKPYQCEDLGKAFRYPSRLSNHKKIHTGEKPHKCEVCGKAFDYPSRLSNHK RIHTGEKPYKCEVCGKAFHDPSKLSQHKIIHTGEKPYKCEVCGKTFHYPSILSKHKIIHT KENLCKCDICGKAFHYPLLLSQHKIVHTGEKPYKCEDCGKAFYYPSRLSRHKKIHTGEKP YKCEVCGKAFYYLSILSKHMIVHTEENPYKCEVCGKAFDYPSRLSNHKKIHTEDKPHKCE VCGNAFCFSSSLRKHKIIHTGEKPYKCDICGKAFGSPSRLSKHNKIHTEDKPYKCEVCGK AFHFPSLLLVHKRIHTGEKPYKCEVCGKAFYCLSTLSVHKRIHTGEYAYKCEVCGKAFHC LSTLSVHKRIHTQEKPYKCEVCGQAFHASSKLSHHKRIHSGEKPHKCEICGKSFYYPSRL SKHKVIHTGEKPYHSQASGKTLDYPSRHSKPKTIKTGEKPNKCEICGKAFSFPSLLSIHK RIHIGEKPYNCEVCGKAFRNPSRLSNHKKIHTGEKPYKCEICGKAFDYPSLLSKHKIIHT GEKPYHSEVCGKAFSYSSRISKDKKICTGEKP >ENSMUSP00000025759.7 pep:known chromosome:GRCm38:19:5366813:5371511:1 gene:ENSMUSG00000024841.7 transcript:ENSMUST00000025759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif1ad description:eukaryotic translation initiation factor 1A domain containing [Source:MGI Symbol;Acc:MGI:1917110] MSQASKRKHVVQEVLGEHMVPSDHQQIVKVLRTPGNNLHEVETAQGQRFLVSMPSKYRKN IWIKRGDFLIVDPIEEGEKVKAEISFVLCKNHVRSLQKEGHWPEAFSEVAEKQNNMNRES QPELPAEPQLSGEGSSSEDDSDLFVNTNHRQYHESEEESEEDEEEEEEAA >ENSMUSP00000137330.1 pep:known chromosome:GRCm38:9:3013140:3014344:1 gene:ENSMUSG00000096519.1 transcript:ENSMUST00000143083.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10721 description:predicted gene 10721 [Source:MGI Symbol;Acc:MGI:3641688] LFLIFHDFQFSCHIPCPTLDISFPPFSVFLAIFHVLKCVFLIFRDFQFSCHIPGPTVCIS HFSRFSVILSFFKLSTACFSFSMIFSFLAIFHVLQWKFLNFPPFSVFLAIFHVLKCVFLI FRDFQFSRHIPGPTVCISHFSGFSVISSFFKSSSGCFSFSMIFSFLAIFHVLQWTFLNFP PFFSPYSRSYSVHFSFFTFFSDFVIFQVVKWMFLIFHDFQFSC >ENSMUSP00000054797.4 pep:known chromosome:GRCm38:12:65075606:65132058:1 gene:ENSMUSG00000055884.7 transcript:ENSMUST00000058889.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancm description:Fanconi anemia, complementation group M [Source:MGI Symbol;Acc:MGI:2442306] MSGRQRTLFQTWGPSLVRGSGDSGCGQPRSPAMAEALPEEDDEVLLVAAYEAERQLDPGD GGFCAAAGALWIYPTNCPVRDYQLDISRSALFCNTLVCLPTGLGKTFIAAVVMYNFYRWF PSGKVVFMAPTKPLVTQQMEACFHVMGIPQSHMAEMTGSTQAVNRKEIWSSRRVLFLTPQ VMVNDLTRGAVPATHVKCLVVDEAHKALGNYAYCQVVRELVKYTTHFRILALSATPGSDI KAVQQVITNLLIGKIELRSEESPDILPYSHERRVEKLVVPLGEELGAIQKTYIQILETFA SSLIHRNVLMKRDIPNLTKYQIILARDQFRKNPSPNIVGIQQGIIEGEFALCISLYHGYE LLQQMGMRSLYFFLSGIMDGTKGMTRARNELSRNEDFMKLYTHLQSAFAPASTSDASAFQ RGNKEKKFVYSHPKLKKLEEVILEHFKSWNAKATTEKKCHESRVMIFSSFRDSVEEIAEM LLQHRPVIRVMTFVGHASGKNTKGFTQKEQLQVVRQFRDGGYNTLVSTCVGEEGLDIGEV DLIICFDAQKSPIRLIQRMGRTGRKRQGRIVVILAEGREERTYNQSQSNKKNIYKAISGN RQVLRLYQGSPRMVPDKINPELHKMYITHGVYEPEKARSVQRRPFSSRGGIKASKSNKDG LLSEEEFNLWSRLYRLGDSDQVKGVALPQSHFPSLQEDRVIQDPTTRIHQLSLSEWSLWQ DRPLPTHQVDHSDRCHHFISIMKMIEGMRHEEGECSYELKIRPFLQMEDVCSKYHAPRNG YNNVASVASSAHQKSSFRPSVDAGGSLTVIESEEEHADTVKQRDSKWTKITSLREKPCRA GRKGQTCEHSEGEGEDGDAGSSDADGQSPAEADSQVDPPSGERMADVGGISILGAVTEED NHPGTLQMECQVTNKSCARYSLDSGYSSFSDEKSVSSNLFLPLEEELFTDRAAEQFYNCR PMTEDVLANVERFLSRSPPSLSGLSDLVYDVTQGCEFDNASCSPYPEHEHSPRPVSPASH SAGNSQQNLESNSAKRISHPTEKYCLPGTTHNKVSDRPSFCESDSEGHNIKYQNSGSNSC AQIQADLENNFVDKNSHDDSEPPVLFTDEDESLLLFEDDFKNIEDGPEELNGASLPPFNS ISQPLRVSGKTLTSEMPPVSHFLISDELLLDDDSEPEDQIVCGAKSWKCQEGVEDGQEEL RTDGQTFDCSVDLFSVTFDLGFRCSSGSDDEMLAGASDRTRTLGAADVSGRHSDKEIKDA GGASGPLGRAISPIPTETAQWSPWAQNKEYASFHVASSSPVKQRVRSTPLSKSHASSKTG AHMLKTLDSTKEKAGGQGFKMALNPRLGHLGFSVEETKSSDQVFVHQSPRRTEVEHLTSE SEDDVFLRKTKKPKRNVLKSPEDQKNNEVDSPIHAVKKPRVLRSELASSDDESENFGRTC PRLEHFKGRNRNIRKGSAAQKNRSQVKTMARRFLDDEAEVSGEDVDCVSADEEDESENEQ DSSLLDFVNDRTQLSQAINDSEMRAIYMKSVRSPLMSTKYRMVREKRPNMNIFSQIPEQD ENYLEDSFCVDEEESCKSQSSEEEISVDFNLTKDSFTDEDIRYKTRYAVKIKQMNKKQNY TRPRKKLSRIILPDDDSSEEENIPKDREHSVAGGHAAAEHTQQGQLWASGPSGSSVPPQV LSDPSWNQSSRQRLQVQPSITDAVPRTLNVKAQSHNKIKSASPPCTGVESRKEYGNHPVQ LKADSQEHSDTSAAPCSTSLLHVAEGHTAPRHLQEGNRACILVDSREITTGLEVISSLRT VHGLQVEICPLNGCDYIVSSRMVVVRRSQSEMLSNTSKNKFIEQMQRLQSMFQRICVIVE KDREKAGDTSKKFRRTKCYDSLLTALVGAGIRILFSSGQEETADLLKELSLVEQRKNAGI HIPAVLNTSKLEALPFYLSIPGISYITALNMCHQFSSVKKMANSSPEEISTCAQVNHQKA EEIYKYIHYIFDMQMLPNDLNQERQKPDTCLTLGVAMKELS >ENSMUSP00000026243.3 pep:known chromosome:GRCm38:19:45750261:45783520:-1 gene:ENSMUSG00000025220.7 transcript:ENSMUST00000026243.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgea5 description:meningioma expressed antigen 5 (hyaluronidase) [Source:MGI Symbol;Acc:MGI:1932139] MVQKESQAALEERESERNANPAAASGASLEQSVAPAPGEDNPSGAGAAAVVGAAGGARRF LCGVVEGFYGRPWVMEQRKELFRRLQKWELNTYLYAPKDDYKHRMFWREMYSVEEAEQLM TLISAAREYEIEFIYAISPGLDITFSNPKEVSTLKRKLDQVSQFGCRSFALLFDDIDHNM CAADKEVFSSFAHAQVSITNEIYQYLGEPETFLFCPTEYCGTFCYPNVSQSPYLRTVGEK LLPGIEVLWTGPKVVSKEIPVESIEEVSKIIKRAPVIWDNIHANDYDQKRLFLGPYKGRS TELIPRLKGVLTNPNCEFEANYVAIHTLATWYKSNMNGVRKDVVMTDSEDSTVSIQIKLE NEGSDEDIETDVLYSPQMALKLALTEWLQEFGVPHQYSSRQVAHSGAKTSVVDGTPLVAA PSLNATTVVTTVYQEPIMSQGAALSGEPSVLTKEEEKKQPDEEPMDMVVEKQEEAEHKND NQILTEIVEAKMAEELRPMDTDKESMAESKSPEMSMQEDCIPDVAPMQTDEQTQKEQFVP GPNEKPLYTAEPVTLEDLQLLADLFYLPYEHGPKGAQMLREFQWLRANSSVVSVNCKGKD SEKIEEWRSRAAKFEEMCALVMGMFTRLSNCANRTILYDMYSYVWDIKSIMSMVKSFVQW LGCRSHSSAQFLIGDQEPWAFRGGLAGEFQRLLPIDGANDLFFQPPPLTPTSKVYTIRPY FPKDEASVYKICREMYDDGVGFPFQSQPDLIGDKLVGGLLSLSLDYCFVLEDEDGICGYA LGTVDVTPFIKKCKISWIPFMQEKYTKPNGDKELSEAEKIMLSFHEEQEVLPETFLANFP SLIKMDIHKKVTDPSVAKSMMACLLSSLKANGSRGAFCEVRPDDKRILEFYSKLGCFEIA KMEGFPKDVVILGRSL >ENSMUSP00000048084.7 pep:known chromosome:GRCm38:8:123897123:123949265:-1 gene:ENSMUSG00000039509.7 transcript:ENSMUST00000044795.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup133 description:nucleoporin 133 [Source:MGI Symbol;Acc:MGI:2442620] MFPSVSSPRTPGPGTRRGPLVGIGPTSTPRASRRGLSLGSAVNSPVLFSPAGRRSSVSSR GTPTRIFPHHSISESVNYDVRVFGSSLPVKIMEALTMAEADEQLSVHVDEGGWACLVCTE KLLIWKIAVSPVTKLSVCKELQLPPSDFHGSADLVALSYAATSGEVHSVQAVSVMVATKE GSIRYWPSLAREDTYSDTCVDLGGEKMCRFLTAVQGGSFILSSVGSQLVRLIPESSGKIH QHVLPQGQGMLSGIGRRVSSLFGILSPTSDLMLASVLWDRGGSSFYTLTSSNISKWELDD SSEKQVHSWDVHRTLKESITDAVWGSESNYEAIKEGVNIQYLDLKQNCDGLLILAAAWHL GDSPCLVYYSVITVEDNGNQMSDAVTVEVTQYNPPFQSEDLIACRLMVPNFSSQMTYLYM ENAVFVCSTGTGKFSLPQEKIVFDTQGDGILGAGSCAGVPILFSRNSGLVSVTPRENVSL LAEDLEESLTSSVGGRGSESMVFETTTKNETVAHEDKTKLLKAAFLQYCRKDLGRAQIMA DELFSSHTDLDSDPELDKAVTQISVDLIDDYPASDPRWAESVPQEAPGLSNTSLIILHQL EDKMKAHCLLVDFLHQVGLFRRLSSYPIRGTPMSTRLLLCEHAEKLSAAITLKNHHSRLP DLVNSAILLALNKRECEVPNSLTPADVFFREVSQVDTICECLLEHEEQVLREVALVSQEW AEVAIDVNTVLKDMLQAATHYRLNKSSMYSQEEVLGKEPEYVPWTATSGPSGIRTAVMRQ HGIILKMVYPQADSKLRNVVMEQLVALIDCFLDSYVSQLKSLEKSSDQERYSSLEVEYLQ KRSELLSPLLTLGQYPWAASLAEKYCDFDILVQMCEQTDNQARLQRYMTQFADQNFSDFL FRWYLEKGKRGKLLSQPISQHGQLANFLQAHEHLSWLHEINSQELEKAHTTLLGLANMET RYFAKKKTLLGLSKLAALASDISEDRLQEKIEAMAEQERFLLHQETLPEQLLTERQLSLS AMPVLTAPQLISLYICDENRRANEYDFKKALDLLEYIDEEEDVSIDDLKLEILCRALQRD DWSGSDGKDDPIEVSKDSVFVKILQKLIKDGIQLSEYLPEVTDLLRAEQLGSLKSNSYFE FVLKANYEYYVQGQM >ENSMUSP00000115957.2 pep:known chromosome:GRCm38:12:109589194:109600330:-1 gene:ENSMUSG00000085925.2 transcript:ENSMUST00000149046.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtl1 description:retrotransposon-like 1 [Source:MGI Symbol;Acc:MGI:2656842] MIEPSEDSFETMMELKNPSSKQMESSEGSSNTVEETPGSSGAQAGAQAGAQAEAQAETQV EAQAEAQAEAQVEAQVEAQAGSDSGPAQEEKEPPSGPLKEMQELPTNLLQEVEEPSSGPH QEMQELPTDLLQEVEEPSSGPHQEMQELPTDLLREVEEPSSGPYQEMQELPTDLLREVEE PSSGPYQEMQELPTDLLREVEEPSSGPYQEMQELPTDLLREVEEPSSGPYQEMQELPTDL LREVEEPSSDPCEASSNDLPQDMEESSDDGSNQESSDGSNHELSNGSNHESSFGSNPESS DVSNLESSGGSNQESSDGSQKESSYDSNPELSDNSNQELSDNSNQESSDSSNQSSDISNQ EGSEPLSEASDYSMDETINSSETQSDQDDTDLGDDEEEEEEEGGEEEGQPKNSPEEVVAT MGNVISLFLRMQDLKEQQRVAERLMMQAINEGRLPSLRPFSGDRRDYHEFVVLCQMTMQN YPSMLYNDELRVKFVIRHLTDLALEWANDLVEQNSPVINNFSAFLEAMSEKFEYRQTLRV AEDAMFNIRQGNRCAADYINEFRGLIPTLGWPDEVLQAHLCQGLNEEIRHYLFRIPQPNS LDNLIVLVLQLEEKLAERRALLRLPPESRPRSVAWMDAPAPEKWRVSSWLPNEFHPDIDR DHLFLLLLVRVDPYHSVAVRALVDSGAEGNYMDERFAQEHYVELYEKPYPQIIQGVDGIP IGNEPVWLCTEPLVCVHQKHYEYIEFDILPSPNFSIVLGMKWLRTHAPEVDWMRGRCTFH SPYCLRNCFTPPPPCIALETYSISLLPGLPHTYSDLADVFNPREADDETSDQPSSDGSDD LSESEPSELQQAGDSDQSGVFYESGARETLEPVSARMQEKARQQEKAREQEEYWILYDML TDRQDYTQMVPELFDQLHGAAWFTKLELLGIKESEMRHTVTHTEDTWRASFGFGLHQMRC YRPFTMNSYSDEGNNIVHFILKDILGLFVICHGREVLVYSMSQEEHSQHVRQVLVRFRYH NIYCSLDKTQFHRQTAEILGFNISPKGVKLNKNLMNLIVGCPVPGSRRCLQSVIDLVYPY RHFVENFAVIAAPLVRQLLSSEPYYWGEEEQEALESLKRAFRKSPVLYHPKPQNPFYLET DITGSFLSASLVQTDDETGKKSTCAFYSRPLSTMEVEYPRVEMRILPIRAAFMVWCRYLE NTEEPIMILLNTEDLASLNNDRLTVLLPGHWVFFFSHFNFGVMEMPAEGDTQALFRRCWN QRGFRARFLRPLLLMSIRANLRYFDRSSETEDKEDDEEEEEEDGEEEEGEEEEDGEEEEG EEEEDGEEEEEEEEDDEEEEGEEEEDGEEEEGEEEEDGEEEEGEEEEDGEEEEGEEEGEE EEEGEEEEEEEEDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDEEEEDEEEEDEEVPSM VRELLAAIPMDHILNGLLAHFSVAQIRAVVLNFFRGLLYWKSLLGVAAVLVMLRARQPLS PVPAPNLEVARPQHRHTLRLILDSTLIASSGMATAIAQLLSQMPPLVGANTLPARELAEL FLGPRCWHRNALHSQPPRGMRFTPGFWLTLCEFFGVRVNPEDDVFPDPYQHRYLELHVVG DEDVVLREALQDDLQRYRQCGLHDGLQDTSQDAQDNDVQEDLFGDQEAVTFRPRNLLDPE VLDFLNNRLLYTLGTDGRLTLLSRDQVAQALTRFLAMASRMALPSPAREQARLEELSDSD DELD >ENSMUSP00000001304.7 pep:known chromosome:GRCm38:12:111669355:111672338:-1 gene:ENSMUSG00000001270.8 transcript:ENSMUST00000001304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ckb description:creatine kinase, brain [Source:MGI Symbol;Acc:MGI:88407] MPFSNSHNTQKLRFPAEDEFPDLSSHNNHMAKVLTPELYAELRAKCTPSGFTLDDAIQTG VDNPGHPYIMTVGAVAGDEESYDVFKDLFDPIIEERHGGYQPSDEHKTDLNPDNLQGGDD LDPNYVLSSRVRTGRSIRGFCLPPHCSRGERRAIEKLAVEALSSLDGDLSGRYYALKSMT EAEQQQLIDDHFLFDKPVSPLLLASGMARDWPDARGIWHNDNKTFLVWINEEDHLRVISM QKGGNMKEVFTRFCTGLTQIETLFKSKNYEFMWNPHLGYILTCPSNLGTGLRAGVHIKLP HLGKHEKFSEVLKRLRLQKRGTGGVDTAAVGGVFDVSNADRLGFSEVELVQMVVDGVKLL IEMEQRLEQGQAIDDLMPAQK >ENSMUSP00000061272.4 pep:known chromosome:GRCm38:7:105329217:105330278:-1 gene:ENSMUSG00000050266.4 transcript:ENSMUST00000061920.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr690 description:olfactory receptor 690 [Source:MGI Symbol;Acc:MGI:3030524] MLGTNFTIIHPTVFILLGIPGLEQYHTWLSIPFCLMYIAAVLGNGALILVVLSERTLHEP MYVFLSMLAGTDILLSTTTVPKTLAIFWFHAGEIPFDACIAQMFFIHVAFVAESGILLAM AFDRYVAICTPLRYSAVLTPMAIGKMTLAIWGRSIGTIFPIIFLLKRLSYCRTNVIPHSY CEHIGVARLACADITVNIWYGFSVPMASVLVDVALIGISYTLILQAVFRLPSQDARHKAL NTCGSHIGVILLFFIPSFFTFLTHRFGKNIPHHVHILLANLYVLVPPMLNPIIYGAKTKQ IRDSMTRMLSVVWKS >ENSMUSP00000060402.7 pep:known chromosome:GRCm38:13:49504810:49532789:1 gene:ENSMUSG00000043631.7 transcript:ENSMUST00000051504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ecm2 description:extracellular matrix protein 2, female organ and adipocyte specific [Source:MGI Symbol;Acc:MGI:3039578] MKLAVLFCFILLIVLQTDCERGTRRQRRRMHQRRLRKSSSFHLRANRQLEVQQTTAAPDA RLPTANSDYSVEENIESLLSNLGVESSYSVLPGKKGYCFVKGMIMYNKAVWSPEPCTTCL CSNGRVLCDETECHPKACPYTIKPEGECCPICSDAEQESINKLHKQVPPPQMEMDQVAIK EALQSEEDEEIAEGHKEHKKETSVPTKIHGDGERTERKLRPEKEGRSAHQPLYHGRREEE ESKEETEREGEEEEEEEEEEEEDAIRGDVFRMSSRVIPGTPRGRPRLPRSCSLSYRTISC VHADFTEIPPITAPEVTNLELVGNSIISIPDEAFNGLPNLERLDLSRNNITSSGIGPKAF KSLKKLMRLNMDGNNLVHIPSDLPSTLEELKINDNNLQAIDEKSLSDLNQLVTLELEGNN LSEINVDPLAFQSLESLSYLRLGRNKFRIIPQGLPASTEELYLENNQIEEITEICFNHTR KITMIILRYNKIEESRIAPLAWINQENLESIDLSYNKLYHVPSYLPKSLLHLVLIGNQID RIPGYVFGHMQPGLEYLYLSFNRLSDDGVDLVSFYGAYHSLRELFLDHNDFKSIPPGIQD MKALHFLRLNNNKIRNIHPEQICNAEEDEDSALEHLHLENNYIRTREISSYAFSCIRLYS SIVLKPQHIK >ENSMUSP00000061511.5 pep:known chromosome:GRCm38:9:3335478:3387050:1 gene:ENSMUSG00000025899.13 transcript:ENSMUST00000053407.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh8 description:alkB homolog 8, tRNA methyltransferase [Source:MGI Symbol;Acc:MGI:1914917] MNINHKGVLKLTKMEKKFLRKQSKARHVLLKHEGIQAVSYPTQSLVIANGGLGNGVSRKQ LLLTLEKCGPVEALLMPPNKPYAFVIFQTIEESKKAYFTLNGKEIIDDLGQKIFLYLNFV EKAQWKNMGLEALPPGLLVVEEIISSEEEKKLLESVNWTEDTGNQNFQRSLKHRRVKHFG YEFHYESNTVDKDKPLPGGLPEVCSSILEKLLKEGYIKHKPDQLTINQYEPGHGIPAHID THSAFEDEIISLSLGSAIVMDFKHPEGVTVQVMLPRRSLLVMTGESRYLWTHGITPRKFD TVQASEQFKGGIITSDIGDLTLSKRGMRTSFTFRKVRRMPCNCSYSSVCDRQRKATPPSL TESSKEALELEQKHVHQVYNEIASHFSSTRHSPWPRIVEFLKALPSGSIVADIGCGNGKY LGINKDLYMIGCDRSQNLVDICRERQFQALVCDALAVPVRSGSCDACISIAVIHHFATAE RRVEALQELARLLRPGGQALIYVWAMEQEYKNQKSKYLRGKRISQGDKDELNSATSTEEF LVNQTPEGVNEDPALSVNSSSITKEEEYKSRKVPNSELPIHINRTCFHSQDVLVPWHLKR NPGKDKAIEPSGVAGCPDPSPVFHRYYHVFCDGELEASCQAVGDVSILQSYYDQGNWCVV LQKV >ENSMUSP00000125996.1 pep:known chromosome:GRCm38:9:3338445:3385842:1 gene:ENSMUSG00000025899.13 transcript:ENSMUST00000165105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alkbh8 description:alkB homolog 8, tRNA methyltransferase [Source:MGI Symbol;Acc:MGI:1914917] MNINHKGVLKLTKMEKKFLRKQSKARHVLLKHEGIQAVSYPTQSLVIANGGLGNGVSRKQ LLLTLEKCGPVEALLMPPNKPYAFVIFQTIEESKKAYFTLNGKEIIDDLGQKIFLYLNFV EKAQWKNMGLEALPPGLLVVEEIISSEEEKKLLESVNWTEDTGNQNFQRSLKHRRVKHFG YEFHYESNTVDKDKPLPGGLPEVCSSILEKLLKEGYIKHKPDQLTINQYEPGHGIPAHID THSAFEDEIISLSLGSAIVMDFKHPEGVTVQVMLPRRSLLVMTGESRYLWTHGITPRKFD TVQASEQFKGGIITSDIGDLTLSKRGMRTSFTFRKVRRMPCNCSYSSVCDRQRKATPPSL TESSKEALELEQKHVHQVYNEIASHFSSTRHSPWPRIVEFLKALPSGSIVADIGCGNGKY LGINKDLYMIGCDRSQNLVDICRERQFQALVCDALAVPVRSGSCDACISIAVIHHFATAE RRVEALQELARLLRPGGQALIYVWAMEQEYKNQKSKYLRGKRISQGDKDELNSATSTEEF LVNQTPEGVNEDPALSVNSSSITKEEEYKSRKVPNSELPIHINRTCFHSQDVLVPWHLKR NPGKDKAIEPSGVAGCPDPSPVFHRYYHVFCDGELEASCQAVGDVSILQSYYDQGNWCVV LQKV >ENSMUSP00000036729.6 pep:known chromosome:GRCm38:19:4496790:4498583:-1 gene:ENSMUSG00000042041.6 transcript:ENSMUST00000048482.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010003K11Rik description:RIKEN cDNA 2010003K11 gene [Source:MGI Symbol;Acc:MGI:1917111] MGTSLRSQSFREPRPSYGRLHESQGRSLDGRLHRALSLRLGREKSRSQVPDGTEGLEVSV QERLPGTLGDKEQLIQGQRGGGSRRWLRQYQQHVKRRWRSFVASFPSVTLSQPASPETLL DTNN >ENSMUSP00000105775.2 pep:known chromosome:GRCm38:12:87973528:87980224:1 gene:ENSMUSG00000079030.2 transcript:ENSMUST00000110148.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2046 description:predicted gene 2046 [Source:MGI Symbol;Acc:MGI:3780214] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGWLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNRADIILKYNPDEARSLKAYGELPEHAKINEMDT FGAGDDEEIVFDDIGEDDEDIDDI >ENSMUSP00000085293.3 pep:known chromosome:GRCm38:13:51408618:51422797:1 gene:ENSMUSG00000067586.3 transcript:ENSMUST00000087978.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S1pr3 description:sphingosine-1-phosphate receptor 3 [Source:MGI Symbol;Acc:MGI:1339365] MATTHAQGHQPVLGNDTLREHYDYVGKLAGRLRDPPEGGTLITTILFLVTCSFIVLENLM VLIAIWKNNKFHNRMYFFIGNLALCDLLAGIAYKVNILMSGRKTFSLSPTVWFLREGSMF VALGASTCSLLAIAIERHLTMIKMRPYDANKKHRVFLLIGMCWLIAFSLGALPILGWNCL ENFPDCSTILPLYSKKYIAFLISIFTAILVTIVILYARIYCLVKSSSRRVANHNSERSMA LLRTVVIVVSVFIACWSPLFILFLIDVACRAKECSILFKSQWFIMLAVLNSAMNPVIYTL ASKEMRRAFFRLVCGCLVKGKGTQASPMQPALDPSRSKSSSSNNSSHSPKVKEDLPRVAT SSCIIDKNRSFQNGVLCK >ENSMUSP00000077919.5 pep:known chromosome:GRCm38:19:48206025:48805505:1 gene:ENSMUSG00000063434.5 transcript:ENSMUST00000078880.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorcs3 description:sortilin-related VPS10 domain containing receptor 3 [Source:MGI Symbol;Acc:MGI:1913923] MEAAGTERPAGWPGAPLARTGLLLLSTWVLAGAEITWGATGGPGRLVSPASRPPVLPPLL PRAAENRWPEELASARRAAAPRRRSRLEPLSQASRGEIRTEAAGMSPEGARWVPGIPSPS QAGSARRTRRAQPPSPLERGDSWATALADGAKGSRPHTKGSREEVRATRTGGASTEELRL PSTSFALTGDSAHNQAMVHWSGHNSSVILILTKLYDFNLGSVTESSLWRSVDYGATYEKL NDKVGLKTVLSYLYVNPTNKRKIMLLSDPEMESSVLISSDEGATYQKYRLTFYIQSLLFH PKQEDWVLAYSLDQKLYSSMDFGRRWQLMHERITPNRFYWSVSGLDKEADLVHMEVRTAD GYAHYLTCRIQECAETTRSGPFARSIDISSLVVQDEYIFIQVTIGGRASYYVSYRREAFA QIKLPKYSLPKDMHIISTDENQVFAAVQEWNQNDTYNLYISDTRGIYFTLAMENIKSSRG LMGNIIIELYEVAGIKGIFLANKKVDDQVKTYITYNKGRDWRLLQAPDVDLRGSPVHCLL PFCSLHLHLQLSENPYSSGRISSKDTAPGLVVATGNIGSELSYTDIGVFISSDGGNTWRQ IFDEEYNVWFLDWGGALVAMKHTPLPVRHLWVSFDEGHSWDKYGFTLLPLFVDGALVEAG VETHIMTVFGHFSLRSEWQLVKVDYKSIFSRRCTKEDFETWHLLNQGEPCVMGERKIFKK RKPGAQCALGREYSGSVVSEPCVCADWDFECDYGYERHGESQCVPAFWYNPASPSKDCSL GQSYLNSTGYRRIVSNNCTDGLRDKYSAKTQLCPGKAPRGLHVVTTDGRLVAEQGHNATF IILMEEGDLQRTNIQLDFGDGVAVSYANFSPIEDGIRHVYKSAGIFQVTAYAENNLGSDT AFLFLHVVCPVEHVHLRVPFVAIRNKDVNISAVVWPSQLGTLTYFWWFGNSTKPLITLDS SISFTFLAEGTNTITVQVAAGNALIQDTKEIAVHEYFQSQLLSFSPNLDYHNPDIPEWRQ DIGNVIKRALIKVTSVPEDQILVAVFPGLPTSAELFILPPKNLTERRKGHEGDLEQIVET LFNALNQNLVQFELKPGVQVIVYVTQLTLAPLVDSSAGHSSSAMLMLLSVVFVGLAVFLI YKFKRKIPWINIYAQVQHDKEQEMIGSVSQSENAPKITLSDFTEPEELLDKELDTRVIGS IATIASSESTKEIPNCTSV >ENSMUSP00000110916.1 pep:known chromosome:GRCm38:9:26879567:26923081:-1 gene:ENSMUSG00000079644.1 transcript:ENSMUST00000115261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1110 description:predicted gene 1110 [Source:MGI Symbol;Acc:MGI:2685956] MWAFLGRPECILCLSSALCAMALLSNNEFSRLNQTHLTPLLLINRKVGLQVKDSQFTLEG FPFRIISGTIDYFRIPRNSWRLSLRKMQAGGFNTLTTHIPWNLHEPAVGQFYFTENTDLI AFITMASQSGLWVILCPGPYIGSDLDLGGLPSWLLKDPKMKLRTTYKGFTKAMNRYFDNL IPKIAKFQYKKGGPIIAVQVENEYGSYYMDKKYMAYVKTALVSRGIDELLMTADDGVSLR KGHLENVLATVHMKNIKKETFGDLRSIQGKSPILMMVYTTKSFDTWGTLHQTGDAQMLLK DVHEMFHLGFSLNFYMFQGGTNFGLIGGAQSSEGYKPVVTSYDYNALVSEGGEYTVQYRE FQRFFHSVTVADSHLTVQPKTTQMFAYQPVTLLYFMTLWEFLPNLVKTTKSSKPLSMEQL RVNERSGQSFGYILYETVIFSGGLITSRGHIRDRGQVVFLDNIYIGLLDQSNSELLLYKD VSKKSQILRILVENQGRLTSGQDINKERKGLTGDIYLDKSPLRPFKIYSLEMNNIFIQRE FPNSWQRVTSQVQGPAFFLSYLKAGDPPQDTFMKIQGWGKGVISINGRSLGRYWNIGPQE TVFVPGSWLQPGVNKIIMFEELKGDEKIHFSTKAQLGH >ENSMUSP00000021359.5 pep:known chromosome:GRCm38:12:69201779:69228190:-1 gene:ENSMUSG00000020974.5 transcript:ENSMUST00000021359.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pole2 description:polymerase (DNA directed), epsilon 2 (p59 subunit) [Source:MGI Symbol;Acc:MGI:1197514] MAPERLRSRTVSAFKLRGLLLRSEATKYLTEALQSVTELELEENLGKIIDAVEKQPLSSN MIERSVVEAAVQECSQSMDETIEHVFNIIGAFDIPRFIYNSERKKFLPLSMTNHAAPTLF GTARDKAELYLERYTILHQRTHRHELFTPPVIGSHLEESGSKFQLKTIETLLGSTSKVGD VIVLGMITQLKEGKFFLEDPTGTVQLDLSKAQFHSGLYTEACFVLAEGWFEDQVFHANAF GFPPTEPSSTTRAYYGNINFFGGPSNASVKTYTKLRQLEDENKDAMFVIVSDVWLDQVQV LEKFHVMFSGYSPAPPTCFILCGNFSSAPYGKNQVQALKDSLKSLADIICEYPSIHQSSR FVFVPGPEDPGFGSILPRPPLAESITQEFRQRVPFSVFTTNPCRIQYCTQEIIIFREDLV NKMCRNCVRFPSSSLDIPTHFVKTILSQGHLAPLPLYVCPVHWAYDYTLRVYPVPDLLVI ADKYDPFTVTNTDCLCINPGSFPRSGFAFKVFYPSSKTVEDSKLQGF >ENSMUSP00000052678.5 pep:known chromosome:GRCm38:7:140176061:140177116:1 gene:ENSMUSG00000051051.5 transcript:ENSMUST00000055890.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr523 description:olfactory receptor 523 [Source:MGI Symbol;Acc:MGI:3030357] MSSKYLMAWSTGQNLSTPGPFILLGFPGPRSMRIGLFLLFLVMYLLTVAGNLAIISLVGA HRCLQTPMYFFLCNLSFLEIWFTTACVPKTLATFAPRGGAISLAGCATQMYFVFSLGCTE YFLLAVMAYDRYLAICLPLRYGGIMTPGLATRLALGSWLCGFSAIIVPAALIARLSFCGS RVINHFFCDISPWIVLSCTDTQVVELVSFGIAFCVILGSCGITLVSYAYIITTIIKIPSA QGRHRAFSTCSSHLTVVLIWYGSTIFLHVRTSVESSLDLTKAVTVLNTIVTPVLNPFIYT LRNKDVKEALRRTMKGK >ENSMUSP00000072959.1 pep:known chromosome:GRCm38:7:140418842:140419771:-1 gene:ENSMUSG00000063823.1 transcript:ENSMUST00000073226.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr532 description:olfactory receptor 532 [Source:MGI Symbol;Acc:MGI:3030366] MAINNSTTVVEFVLQGLSEDPGLQALFLAFFLLLYILALAGNTLIIIAISLNPRLHTPMY FFLANLALLDIICTSTVVPKLLEGLVGKSSHISYKGCMTQVFFLIWVLGAELLLLTAMAY DRYVAICRPLHYNTLMSWPICVLLAGFVWVIGIANTSVHIGLLVRLNFCGSNQIRHFLCE VPTLLLLSCSPTTLNNIMLVIADVYFGVLNFLLTMISYSFIISSILRIRSAEGKKRAFST CSAHLVVVTLYYSTIIYTYLQPGSGSSFQNSKVVTLLYTAVSPTLNPIIYSLRNKDVKVA LKRLFPCFH >ENSMUSP00000096880.2 pep:known chromosome:GRCm38:19:59296084:59345780:-1 gene:ENSMUSG00000074746.2 transcript:ENSMUST00000099274.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzd8 description:PDZ domain containing 8 [Source:MGI Symbol;Acc:MGI:2677270] MGLLLLILASAVLGSFLTLLAQFLLLYRRQPEPRADEAARAGDGFRYLKPVPGLPLREYL YGGGAEELAACSSEAGASSTPTPDSPAPPTLETCYFLNATILFLFRELRDTALARRWVTK KIKVEFEELLQTKTAGRLLEGLSLRDVFLGDTVPFIKTIRLVRPVVASGTGEPDDPDGDA LPATCPEELAFEAEVEYNGGFHLAIDVDLVFGKSAYLFVKLSRVVGRLRFVLTRVPFTHW FFSFVEDPLIDFEVRSQFEGRPMPQLTSIIVNQLKKIIKRKHTLPSYKIRFKPFFPYQAL QGFEEDEELIHIQQWALTEGRLKVTLLECSRLFIFGSYDRETNVHCTLELSSGVWEEKQR SSIKTVELIKGNLQSVGLTLRLVQSTDGYAGHVIIETVAPNSPAAMADLQRGDRLIAIGG VKITSTLQVLKLIKQAGDRVLVYYQRPAGQSSQDSLGQLEESFLSSSCQAAYEEDAAGLS ADTENRDLDSEFEDLASDVRVQTELKEETQPLSHSPKRTPTTLSIKPLGAISPVLNRKLI SGIHPPPQKLPSKEGNKPSTLKTSETTEAAQVSKPQGPTFKPPVPPRPQGRVPLPPTDTS AQADPEAPEKPDKVLLPPPPADKPAEKQVKSVDQGEDVAAGKQSSAKQEGVKDLPSESSA PTKDSSDDPQMWESSEVLYRNKVGKWSRTRASCVFDIEACHRYLNIALWCRDPFKLGGLI CLGHVSLKLEEVALGCLATSNMEYLTKFRLEPPTPKAMVTRTALRNLSMQKGFNDKFCFG DITIHFKYLKEGEPDHHIVPNVEKEKELHLVEEVSTLPKEEHFVGQMSLSENKHSFQDTQ FQNPTWCDYCKKKVWTKAASQCMFCAYVCHKKCQEKCLAETPLCGATERRIDRTLKNLRL EGQDPLLGLPPRVEIEANKSVNKTTGLTRHIINTSSRLLNLRQVSKTRLSEPGTDLVEPS PKHTPNTSDNEGSDTEVCGSNSPSKRGNSAGIKLMRKEGGLDDSVFIAVKEIGRDLYRGL PTEERIQKLEFMLDKLQNEIDQELEHNNSLVREEKETNDTRKKSVLSAALAKSGERLQAL TLLMIHYRAGIEDIETLENLSLDQHSKKMNKYADDTEEDLDSEISQLIDSQPFSNISDDL FGPSESV >ENSMUSP00000057939.5 pep:known chromosome:GRCm38:12:105685352:105703047:1 gene:ENSMUSG00000044715.6 transcript:ENSMUST00000051934.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gskip description:GSK3B interacting protein [Source:MGI Symbol;Acc:MGI:1914037] MGARRMETDYNPVELSSMSGFEEGSELNGFEGADMKDMQLEAEAVVNDVLFAVNHMFVSK SMPCADDVAYINVETKERNRYCLELTEAGLRVVGYAFDQVEDHLQTPYHETVYSLLDTLS PAYREAFGNALLQRLEALKRDGQS >ENSMUSP00000021957.6 pep:known chromosome:GRCm38:13:55539319:55571120:-1 gene:ENSMUSG00000021495.8 transcript:ENSMUST00000021957.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam193b description:family with sequence similarity 193, member B [Source:MGI Symbol;Acc:MGI:2385851] MPKLVKNLLGEMPLWVCQSCRKSMEEEERQTGGDHAVAISLSHTSCKSQSCGGDSHSSSS SSSSSSSSSSSCHGNSGDWDPSSFLSAHKLSGLWNSPHSSGAVPGSSLGSPPAILGEAFP VSEHHQHSDLTAPPNSPTGPPPHPASLIPSHPGSFSSASYPPPLPTTPVAPFPAQASECP MAAATATHPSGPCQSPHPPSTSMPLLKMPPPLSGCSHPCSGHCSGHCGGPLLPPPSSQPL PSTHSRDPGCKGHKFAHSGLACEADEGLGEEEDSSSERSSCTSSSTHPRDGKFCDCCYCE FFGHNAPLAAPTSRNYTEIREKLRSRLTRRKEELPMKGGTLGGIPGEPAVDHRDVDELLE FINSTEPKVPNSARAAKRARHKLKKKEKEKARLATEALKQVNRVSGSQEPRPARERLLEW PDQELDRVNSFLSSRLQEIKSTVKDSLCASLSMCELSVESSGFKEGTVEAQTLTPSDLSG SSQKRPDINLDLSPLTLGSPQSHTLQAPSEPVPPWAERRDPHPPPWTEVRGPPPGIPENG LVRRLNTVPNLSRVIWVKTPKPGNPSSEESSKEVPSCKQELSEPVATGGKPKKSKRQGSQ AKKTLASPAPWSPANLEASGAKSQVSSPKQPSKGSEPAKVGSGAEPGEGSPGSRPGPIQA DSPKTDKKGSSWQNWPGGAKARTLEQESEQTPGPARPQSLSQGKGRSRRSRNKQEKSASS LDDVFLPKDLDGVEMDETDREVEYFKRFCLDSAKQTRQKVAVNWTNFSLKKTTPSTAQ >ENSMUSP00000040717.4 pep:known chromosome:GRCm38:19:7215158:7217616:-1 gene:ENSMUSG00000035885.4 transcript:ENSMUST00000039758.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox8a description:cytochrome c oxidase subunit VIIIa [Source:MGI Symbol;Acc:MGI:105959] MSVLTPLLLRSLTGSARRLMVPRAQVHSKPAREQLGVLDITIGLTSCFVCCLLPAGWVLS HLESYKKRE >ENSMUSP00000034879.3 pep:known chromosome:GRCm38:9:56418644:56496884:1 gene:ENSMUSG00000032329.3 transcript:ENSMUST00000034879.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmg20a description:high mobility group 20A [Source:MGI Symbol;Acc:MGI:1914117] MESLMASSTLPPLFADEDGSKESNDLATSGLTHPEGPYGSAATSTTNPEFVEDLSQGQLL QSEASNAVEGNEQRPEDEQRSKRGGWSKGRKRKKPLRDSNAPKSPLTGYVRFMNERREQL RAKRPEVPFPEITRMLGNEWSKLPPEEKQRYLDEADRDKERYMKELEQYQKTEAYKVFSR KTQDRQKGKSHRQDAARQATHDHEKETEVKERSVFDIPIFTEEFLNHSKAREAELRQLRK SNMEFEERNAALQKHVESMRTAVEKLEVDVIQERSRNTVLQQHLETLRQMLTSSFASMPL PGSGEIPTVDTIDSYMNRLHSIILANPQDNENFIATVREVVNRLDR >ENSMUSP00000104788.2 pep:known chromosome:GRCm38:2:169582845:169587745:-1 gene:ENSMUSG00000078912.2 transcript:ENSMUST00000109160.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11011 description:predicted gene 11011 [Source:MGI Symbol;Acc:MGI:3779229] MHVCVCICVCVCVCVCIYIYIYIHTPYTIHIYVIILLSWEGLQTRNESHTQTSTISSDFQ VFPSHPTDLHFNYSHHQGKWLPQKYI >ENSMUSP00000038267.9 pep:known chromosome:GRCm38:9:4383535:4386861:1 gene:ENSMUSG00000041124.10 transcript:ENSMUST00000047173.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msantd4 description:Myb/SANT-like DNA-binding domain containing 4 with coiled-coils [Source:MGI Symbol;Acc:MGI:1925350] MKQLKRKRKSNFSVQETQTLLKEITKRKEVIFSKQLNTTINVMKRMAWEEIAQCVNAVGE GEQRTGTEVKRRYLDWRALMKRKRMKANMKLVGSGFPLPTSDLDDSLTEDIDEKIAFRND ANFEWQNVADFRDAGGSLTEVKVEEEERDPQSPEFEIEEEEEMLSSVIPDSRRENELPDF PHIDEFFTLNSTPSRPTYDEPHLLMNIEKQKLELEKRRLDIEAERLQVEKERLQIEKERL RHLDLEHERLQLEKERLQIEREKWRLQLVSTEKPALENELGQGEKSMLQPQDIEAEKLKL ERERLQLEKDRLQFLKFESEKLQIEKERLQVEKERLRIQKEGHLP >ENSMUSP00000110993.2 pep:known chromosome:GRCm38:9:21989871:22002634:-1 gene:ENSMUSG00000039632.12 transcript:ENSMUST00000115336.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc151 description:coiled-coil domain containing 151 [Source:MGI Symbol;Acc:MGI:1924859] MTSPLCWAAATTTVTSPEQAPAPSSKAKGSKVHRSKSMGRAQAWPAHHPKSATSFHAMKS SVHAQVLELQRKIQLLEGDRKAFYESSQWNMKKNQDTINQLQEETKALHVQLKDLLQGDS KVIQAIIQEWKSEKPFLKNRTCEQALEHLEHQLREKMNQLNALRHQVILRQKRLEDLRLQ HSLRQLEMAEVQDSNMEAAKTMRNLENRLEKARMKAEEAEHITNVYLQLKSYLQEESLNL ESRLDSMEAEVMNTKHEVQELKVVNQEAINARDIAKNQLQYLEESAIRDRKKREHYITDC KKRAEEKKLQTERMERKQTHRDHVLLQSEDTIQDHQRHREEELRQRWSMYQMEVMFGKVK DATGVAESHAVVRRFLAQDETFTQLENLKKDNELALAKLKEEKQRLQRELENLKYSGDAT LVSQRRLHEEMQKTFKKEEQRHNDVHERLEHTSRILQLVKDCLEHLANKLSHVKLDDTVL AGKKLDRDSEDYAYNLLVVVQEKLLKLQDQLESQDVPELLRHIADREFLATLEGKLPLYN TRILLPVASVKDKFFDEEESEDDDRDVVTRAAFKLRSQKLIEARSKKRNRLRRS >ENSMUSP00000041117.5 pep:known chromosome:GRCm38:9:21989871:22002634:-1 gene:ENSMUSG00000039632.12 transcript:ENSMUST00000044926.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc151 description:coiled-coil domain containing 151 [Source:MGI Symbol;Acc:MGI:1924859] MTSPLCWAAATTTVTSPEQAPAPSSKAKGSKVHRSKSMGRAQAWPAHHPKSATSFHAMKS SVHAQVLELQRKIQLLEGDRKAFYESSQWNMKKNQDTINQLQEETKALHVQLKDLLQGDS KVIQAIIQEWKSEKPFLKNRTCEQALEHLEHQLREKMNQLNALRHQVILRQKRLEDLRLQ HSLRQLEMAEVQDSNMEAAKTMRNLENRLEKARMKAEEAEHITNVYLQLKSYLQEESLNL ESRLDSMEAEVMNTKHEVQELKVVNQEAINARDIAKNQLQYLEESAIRDRKKREHYITDC KKRAEEKKLQTERMERKTHRDHVLLQSEDTIQDHQRHREEELRQRWSMYQMEVMFGKVKD ATGVAESHAVVRRFLAQDETFTQLENLKKDNELALAKLKEEKQRLQRELENLKYSGDATL VSQRRLHEEMQKTFKKEEQRHNDVHERLEHTSRILQLVKDCLEHLANKLSHVKLDDTVLA GKKLDRDSEDYAYNLLVVVQEKLLKLQDQLESQDVPELLRHIADREFLATLEGKLPLYNT RILLPVASVKDKFFDEEESEDDDRDVVTRAAFKLRSQKLIEARSKKRNRLRRS >ENSMUSP00000082053.3 pep:known chromosome:GRCm38:12:110485739:110583061:1 gene:ENSMUSG00000017843.13 transcript:ENSMUST00000084985.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r5c description:protein phosphatase 2, regulatory subunit B', gamma [Source:MGI Symbol;Acc:MGI:1349475] MLTCNKAGSGMVVDAASSNGPFQPVALLHIRDVPPADQEKLFIQKLRQCCVLFDFVSDPL SDLKWKEVKRAALSEMVEYITHNRNVITEPIYPEAVHMFAVNMFRTLPPSSNPTGAEFDP EEDEPTLEAAWPHLQLVYEFFLRFLESPDFQPNIAKKYIDQKFVLQLLELFDSEDPRERD FLKTTLHRIYGKFLGLRAYIRKQINNIFYRFIYETEHHNGIAELLEILGSIINGFALPLK EEHKIFLLKVLLPLHKVKSLSVYHPQLAYCVVQFLEKDSTLTEPVVMALLKYWPKTHSPK EVMFLNELEEILDVIEPSEFVKIMEPLFRQLAKCVSSPHFQVAERALYYWNNEYIMSLIS DNAAKILPIMFPSLYRNSKTHWNKTIHGLIYNALKLFMEMNQKLFDDCTQQFKAEKLKEK LKMKEREEAWVKIENLAKANPQYAVYSQASAVSIPVAMETDGPQFEDVQMLKKTVSDEAR QAQKELKKDRPLVRRKSELPQDPHTEKALEAHCRASELLSQDGR >ENSMUSP00000105458.1 pep:known chromosome:GRCm38:12:110485739:110583061:1 gene:ENSMUSG00000017843.13 transcript:ENSMUST00000109832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r5c description:protein phosphatase 2, regulatory subunit B', gamma [Source:MGI Symbol;Acc:MGI:1349475] MLTCNKAGSGMVVDAASSNGPFQPVALLHIRDVPPADQEKLFIQKLRQCCVLFDFVSDPL SDLKWKEVKRAALSEMVEYITHNRNVITEPIYPEAVHMFAVNMFRTLPPSSNPTGAEFDP EEDEPTLEAAWPHLQLVYEFFLRFLESPDFQPNIAKKYIDQKFVLQLLELFDSEDPRERD FLKTTLHRIYGKFLGLRAYIRKQINNIFYRFIYETEHHNGIAELLEILGSIINGFALPLK EEHKIFLLKVLLPLHKVKSLSVYHPQLAYCVVQFLEKDSTLTEPVVMALLKYWPKTHSPK EVMFLNELEEILDVIEPSEFVKIMEPLFRQLAKCVSSPHFQVAERALYYWNNEYIMSLIS DNAAKILPIMFPSLYRNSKTHWNKTIHGLIYNALKLFMEMNQKLFDDCTQQFKAEKLKEK LKMKEREEAWVKIENLAKANPQAQKELKKDRPLVRRKSELPQDPHTEKALEAHCRASELL SQDGR >ENSMUSP00000096073.4 pep:known chromosome:GRCm38:8:95719451:95807462:-1 gene:ENSMUSG00000036550.15 transcript:ENSMUST00000098473.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot1 description:CCR4-NOT transcription complex, subunit 1 [Source:MGI Symbol;Acc:MGI:2442402] MNLDSLSLALSQISYLVDNLTKKNYRASQQEIQHIVNRHGPEADRHLLRCLFSHVDFSGD GKSSGKDFHQTQFLIQECASLITKPNFISTLSYAIDNPLHYQKSLKPAPHLFAQLSKVLK LSKVQEVIFGLALLNSSSPDLRGFAAQFIKQKLPDLLRSYIDADVSGNQEGGFQDIAIEV LHLLLSHLLFGQKGAFGVGQEQIDAFLKTLRRDFPQERCPVVLAPLLYPEKRDILMDRIL PDSGGVAKTMMESSLADFMQEVGYGFCASIEECRNIIMQFGVREVTAAQVARVLGMMART HSGLTDGIPLQSISAPGSGIWSDGKDKSEGAQAHTWNVEVLIDVLKELNPSLNFKEVTYE LDHPGFQIRDSKGLHNVVYGIQRGLGMEVFPVDFIYRPWKHAEGQLSFIQHSLINPEVFC FADYPCHTVATDILKAPPEDDNREIATWKSLDLIESLLRLAEVGQYEQVKQLFSFPIKHC PDMLVLALLQINTSWHTLRHELISTLMPIFLGNHPNSAIILHYAWHGQGQSPSIRQLIMH AMAEWYMRGEQYDQAKLSRILDVAQDLKALSMLLNGTPFAFVIDLAALASRREYLKLDKW LTDKIREHGEPFIQACMTFLKRRCPSILGGLAPEKDQPKSAQLPAETLATMLACLQACAG SVSQELSETILTMVANCSNVMNKARQPPPGVMPKGRPPSASSLDAISPVQIDPLAGMASL SIGGSAAPHTQSMQGFPPNLGSAFSTPQSPAKAFPPLSTPNQTTAFSGIGGLSSQLPVGG LGTGSLTGIGTGALGLPAVNNDPFVQRKLGTSGLNQPTFQQSKMKPSDLSQVWPEANQHF SKEIDDEANSYFQRIYNHPPHPTMSVDEVLEMLQRFKDSTIKREREVFNCMLRNLFEEYR FFPQYPDKELHITACLFGGIIEKGLVTYMALGLALRYVLEALRKPFGSKMYYFGIAALDR FKNRLKDYPQYCQHLASISHFMQFPHHLQEYIEYGQQSRDPPVKMQGSITTPGSIALAQA QAQAQVPAKAPLAGQVNTMVTTSTTTTVAKTVTVTKPTGVSFKKDVPPSINTTNIDTLLV ATDQTERIVEPPENIQEKIAFIFNNLSQSNMTQKVEELKETVKEEFMPWVSQYLVMKRVS IEPNFHSLYSNFLDTLKNPEFNKMVLNETYRNIKVLLTSDKAAANFSDRSLLKNLGHWLG MITLAKNKPILHTDLDVKSLLLEAYVKGQQELLYVVPFVAKVLESSIRSLVFRPPNPWTM AIMNVLAELHQEHDLKLNLKFEIEVLCKNLALDINELKPGNLLKDKDRLKNLDEQLSAPK KDVKQPEELPAITTTTTSTTPATSTTCTATVPPQPQYSYHDINVYSLAGLAPHITLNPTI PLFQAHPQLKQCVRQAIERAVQELVHPVVDRSIKIAMTTCEQIVRKDFALDSEESRMRIA AHHMMRNLTAGMAMITCREPLLMSISTNLKNSFASALRTASPQQREMMDQAAAQLAQDNC ELACCFIQKTAVEKAGPEMDKRLATEFELRKHARQEGRRYCDPVVLTYQAERMPEQIRLK VGGVDPKQLAVYEEFARNVPGFLPTNDLSQPTGFLAQPMKQAWATDDVAQIYDKCITELE QHLHAIPPTLAMNPQAQALRSLLEVVVLSRNSRDAIAALGLLQKAVEGLLDATSGADADL LLRYRECHLLVLKALQDGRAYGSPWCNKQITRCLIECRDEYKYNVEAVELLIRNHLVNMQ QYDLHLAQSMENGLNYMAVAFAMQLVKILLVDERSVAHITEADLFHTIETLMRINAHSRG NAPEGLPQLMEVVRSNYEAMIDRAHGGPNFMMHSGISQASEYDDPPGLREKAEYLLREWV NLYHSAAAGRDSTKAFSAFVGQMHQQGILKTDDLITRFFRLCTEMCVEISYRAQAEQQHN PAANPTMIRAKCYHNLDAFVRLIALLVKHSGEATNTVTKINLLNKVLGIVVGVLLQDHDV RQSEFQQLPYHRIFIMLLLELNAPEHVLETINFQTLTAFCNTFHILRPTKAPGFVYAWLE LISHRIFIARMLAHTPQQKGWPMYAQLLIDLFKYLAPFLRNVELTKPMQILYKGTLRVLL VLLHDFPEFLCDYHYGFCDVIPPNCIQLRNLILSAFPRNMRLPDPFTPNLKVDMLSEINI APRILTNFTGVMPPQFKKDLDSYLKTRSPVTFLSDLRSNLQVSNEPGNRYNLQLINALVL YVGTQAIAHIHNKGSTPSMSTITHSAHMDIFQNLAVDLDTEGRYLFLNAIANQLRYPNSH THYFSCTMLYLFAEANTEAIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPAFKFWNH EFVHCAPEIEKLFQSVAQCCMGQKQAQQVMEGTGAS >ENSMUSP00000063565.8 pep:known chromosome:GRCm38:8:95719451:95807462:-1 gene:ENSMUSG00000036550.15 transcript:ENSMUST00000068452.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot1 description:CCR4-NOT transcription complex, subunit 1 [Source:MGI Symbol;Acc:MGI:2442402] MNLDSLSLALSQISYLVDNLTKKNYRASQQEIQHIVNRHGPEADRHLLRCLFSHVDFSGD GKSSGKDFHQTQFLIQECASLITKPNFISTLSYAIDNPLHYQKSLKPAPHLFAQLSKVLK LSKVQEVIFGLALLNSSSPDLRGFAAQFIKQKLPDLLRSYIDADVSGNQEGGFQDIAIEV LHLLLSHLLFGQKGAFGVGQEQIDAFLKTLRRDFPQERCPVVLAPLLYPEKRDILMDRIL PDSGGVAKTMMESSLADFMQEVGYGFCASIEECRNIIMQFGVREVTAAQVARVLGMMART HSGLTDGIPLQSISAPGSGIWSDGKDKSEGAQAHTWNVEVLIDVLKELNPSLNFKEVTYE LDHPGFQIRDSKGLHNVVYGIQRGLGMEVFPVDFIYRPWKHAEGQLSFIQHSLINPEVFC FADYPCHTVATDILKAPPEDDNREIATWKSLDLIESLLRLAEVGQYEQVKQLFSFPIKHC PDMLVLALLQINTSWHTLRHELISTLMPIFLGNHPNSAIILHYAWHGQGQSPSIRQLIMH AMAEWYMRGEQYDQAKLSRILDVAQDLKALSMLLNGTPFAFVIDLAALASRREYLKLDKW LTDKIREHGEPFIQACMTFLKRRCPSILGGLAPEKDQPKSAQLPAETLATMLACLQACAG SVSQELSETILTMVANCSNVMNKARQPPPGVMPKGRPPSASSLDAISPVQIDPLAGMASL SIGGSAAPHTQSMQGFPPNLGSAFSTPQSPAKAFPPLSTPNQTTAFSGIGGLSSQLPVGG LGTGSLTGIGTGALGLPAVNNDPFVQRKLGTSGLNQPTFQQTDLSQVWPEANQHFSKEID DEANSYFQRIYNHPPHPTMSVDEVLEMLQRFKDSTIKREREVFNCMLRNLFEEYRFFPQY PDKELHITACLFGGIIEKGLVTYMALGLALRYVLEALRKPFGSKMYYFGIAALDRFKNRL KDYPQYCQHLASISHFMQFPHHLQEYIEYGQQSRDPPVKMQGSITTPGSIALAQAQAQAQ VPAKAPLAGQVNTMVTTSTTTTVAKTVTVTKPTGVSFKKDVPPSINTTNIDTLLVATDQT ERIVEPPENIQEKIAFIFNNLSQSNMTQKVEELKETVKEEFMPWVSQYLVMKRVSIEPNF HSLYSNFLDTLKNPEFNKMVLNETYRNIKVLLTSDKAAANFSDRSLLKNLGHWLGMITLA KNKPILHTDLDVKSLLLEAYVKGQQELLYVVPFVAKVLESSIRSLVFRPPNPWTMAIMNV LAELHQEHDLKLNLKFEIEVLCKNLALDINELKPGNLLKDKDRLKNLDEQLSAPKKDVKQ PEELPAITTTTTSTTPATSTTCTATVPPQPQYSYHDINVYSLAGLAPHITLNPTIPLFQA HPQLKQCVRQAIERAVQELVHPVVDRSIKIAMTTCEQIVRKDFALDSEESRMRIAAHHMM RNLTAGMAMITCREPLLMSISTNLKNSFASALRTASPQQREMMDQAAAQLAQDNCELACC FIQKTAVEKAGPEMDKRLATEFELRKHARQEGRRYCDPVVLTYQAERMPEQIRLKVGGVD PKQLAVYEEFARNVPGFLPTNDLSQPTGFLAQPMKQAWATDDVAQIYDKCITELEQHLHA IPPTLAMNPQAQALRSLLEVVVLSRNSRDAIAALGLLQKAVEGLLDATSGADADLLLRYR ECHLLVLKALQDGRAYGSPWCNKQITRCLIECRDEYKYNVEAVELLIRNHLVNMQQYDLH LAQSMENGLNYMAVAFAMQLVKILLVDERSVAHITEADLFHTIETLMRINAHSRGNAPEG LPQLMEVVRSNYEAMIDRAHGGPNFMMHSGISQASEYDDPPGLREKAEYLLREWVNLYHS AAAGRDSTKAFSAFVGQMHQQGILKTDDLITRFFRLCTEMCVEISYRAQAEQQHNPAANP TMIRAKCYHNLDAFVRLIALLVKHSGEATNTVTKINLLNKVLGIVVGVLLQDHDVRQSEF QQLPYHRIFIMLLLELNAPEHVLETINFQTLTAFCNTFHILRPTKAPGFVYAWLELISHR IFIARMLAHTPQQKGWPMYAQLLIDLFKYLAPFLRNVELTKPMQILYKGTLRVLLVLLHD FPEFLCDYHYGFCDVIPPNCIQLRNLILSAFPRNMRLPDPFTPNLKVDMLSEINIAPRIL TNFTGVMPPQFKKDLDSYLKTRSPVTFLSDLRSNLQVSNEPGNRYNLQLINALVLYVGTQ AIAHIHNKGSTPSMSTITHSAHMDIFQNLAVDLDTEGRYLFLNAIANQLRYPNSHTHYFS CTMLYLFAEANTEAIQEQITRLFQSVAQCCMGQKQAQQVMEGTGAS >ENSMUSP00000034702.4 pep:known chromosome:GRCm38:9:75625732:75637773:1 gene:ENSMUSG00000032184.4 transcript:ENSMUST00000034702.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lysmd2 description:LysM, putative peptidoglycan-binding, domain containing 2 [Source:MGI Symbol;Acc:MGI:1917332] MADLSPAPALREGGPRAHRPSAPSPPPRSRSTSEPEEAELSLSLARTKTRSYGSTASVRA PLGAGVIERHVEHRVRAGDTLQGIALKYGVTMEQIKRANKLFTNDCIFLKKTLSIPILSE KPLLFNGLNSIDSPESETVDSSFCQEEEPVVSEEELPPPSPQDPDPKPAQPEEVSARDFL QRLDLQIKLSTQAARKLKEESRDEESPYAASLYHS >ENSMUSP00000097040.4 pep:known chromosome:GRCm38:13:64096310:64129330:-1 gene:ENSMUSG00000033114.10 transcript:ENSMUST00000099441.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35d2 description:solute carrier family 35, member D2 [Source:MGI Symbol;Acc:MGI:1917734] MEEPNAAPLPSRLARLLSALFYGTCSFLIVLVNKALLTTYGFPSPIVLGIGQMATTIMIL YVFKLNKIIHFPDFDKKIPGKLFPLPLLYVGNHISGLSSTSKLSLPMFTVLRKFTIPFTL LLEAIILGTQYSLNIILSVLAIVLGAFIAAGSDLTFNLEGYIFVFLNDIFTAANGVYTKQ KMDPKELGKYGVLFYNACFMLIPTVIISVSTGDFQQATEFRHWKNVLFIIQFLLSCLLGF LLMYSTALCSYYNSALTTAVVGAIKNVSVAYIGMLVGGDYIFSLLNFIGLNICMAGGLRY SFLTLSSQLKPKQPVDEESIPLDLKS >ENSMUSP00000046793.8 pep:known chromosome:GRCm38:9:32372418:32399192:1 gene:ENSMUSG00000041248.8 transcript:ENSMUST00000047334.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj1 description:potassium inwardly-rectifying channel, subfamily J, member 1 [Source:MGI Symbol;Acc:MGI:1927248] MFKHLRRWFVTHIFGRSRQRARLVSKDGRCNIEFGNVDAQSRFIFFVDIWTTVLDLKWRY KMTVFITAFLGSWFLFGLLWYVVAYVHKDLPEFYPPDNRTPCVENINGMTSAFLFSLETQ VTIGYGFRFVTEQCATAIFLLIFQSILGVIINSFMCGAILAKISRPKKRAKTITFSKNAV ISKRGGKLCLLIRVANLRKSLLIGSHIYGKLLKTTITPEGETIILDQTNINFVVDAGNEN LFFISPLTIYHIIDHNSPFFHMAAETLSQQDFELVVFLDGTVESTSATCQVRTSYIPEEV LWGYRFVPIVSKTKEGKYRVDFHNFGKTVEVETPHCAMCLYNEKDARARMKRGYDNPNFV LSEVDETDDTQM >ENSMUSP00000131625.1 pep:known chromosome:GRCm38:9:32393985:32399192:1 gene:ENSMUSG00000041248.8 transcript:ENSMUST00000172015.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj1 description:potassium inwardly-rectifying channel, subfamily J, member 1 [Source:MGI Symbol;Acc:MGI:1927248] MDASDRRCMCRVLIRALTERMFKHLRRWFVTHIFGRSRQRARLVSKDGRCNIEFGNVDAQ SRFIFFVDIWTTVLDLKWRYKMTVFITAFLGSWFLFGLLWYVVAYVHKDLPEFYPPDNRT PCVENINGMTSAFLFSLETQVTIGYGFRFVTEQCATAIFLLIFQSILGVIINSFMCGAIL AKISRPKKRAKTITFSKNAVISKRGGKLCLLIRVANLRKSLLIGSHIYGKLLKTTITPEG ETIILDQTNINFVVDAGNENLFFISPLTIYHIIDHNSPFFHMAAETLSQQDFELVVFLDG TVESTSATCQVRTSYIPEEVLWGYRFVPIVSKTKEGKYRVDFHNFGKTVEVETPHCAMCL YNEKDARARMKRGYDNPNFVLSEVDETDDTQM >ENSMUSP00000057527.7 pep:known chromosome:GRCm38:19:14448072:14597983:-1 gene:ENSMUSG00000024642.16 transcript:ENSMUST00000052011.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle4 description:transducin-like enhancer of split 4, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104633] MIRDLSKMYPQTRHPAPHQPAQPFKFTISESCDRIKEEFQFLQAQYHSLKLECEKLASEK TEMQRHYVMYYEMSYGLNIEMHKQAEIVKRLNAICAQVIPFLSQEHQQQVVQAVERAKQV TMAELNAIIGQQLQAQHLSHGHGLPVPLTPHPSGLQPPAIPPIGSSAGLLALSSALGGQS HLPIKDEKKHHDNDHQRDRDSIKSSSVSPSASFRGSEKHRNSTDYSSESKKQKTEEKEIA ARYDSDGEKSDDNLVVDVSNEDPSSPRGSPAHSPRENGLDKTRLLKKDAPISPASVASSS STPSSKSKELSLNEKSTTPVSKSNTPTPRTDAPTPGSNSTPGLRPVPGKPPGVDPLASSL RTPMAVPCPYPTPFGIVPHAGMNGELTSPGAAYAGLHNISPQMSAAAAAAAAAAAYGRSP VVGFDPHHHMRVPAIPPNLTGIPGGKPAYSFHVSADGQMQPVPFPPDALIGPGIPRHARQ INTLNHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRDNYIRSCR LLPDGRTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCFSCCSDGN IAVWDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQLQQHDFT SQIFSLGYCPTGEWLAVGMENSNVEVLHVTKPDKYQLHLHESCVLSLKFAHCGKWFVSTG KDNLLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY >ENSMUSP00000126249.2 pep:known chromosome:GRCm38:19:14448150:14598051:-1 gene:ENSMUSG00000024642.16 transcript:ENSMUST00000167776.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle4 description:transducin-like enhancer of split 4, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104633] MIRDLSKMYPQTRHPAPHQPAQPFKFTISESCDRIKEEFQFLQAQYHSLKLECEKLASEK TEMQRHYVMYYEMSYGLNIEMHKQAEIVKRLNAICAQVIPFLSQEHQQQVVQAVERAKQV TMAELNAIIGQQLQAQHLSHGHGLPVPLTPHPSGLQPPAIPPIGSSAGLLALSSALGGQS HLPIKDEKKHHDNDHQRVHDSLESSSVSPSASFRGSEKHRNSTDYSSESKKQKTEEKEIA ARYDSDGEKSDDNLVVDVSNEDPSSPRGSPAHSPRENGLDKTRLLKKDAPISPASVASSS STPSSKSKELSLNEKSTTPVSKSNTPTPRTDAPTPGSNSTPGLRPVPGKPPGVDPLASSL RTPMAVPCPYPTPFGIVPHAGMNGELTSPGAAYAGLHNISPQMSAAAAAAAAAAAYGRSP VVGFDPHHHMRVPAIPPNLTGIPGGKPAYSFHVSADGQMQPVPFPPDALIGPGIPRHARQ INTLNHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRDNYIRSCR LLPDGRTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCFSCCSDGN IAVWDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQLQQHDFT SQIFSLGYCPTGEWLAVGMENSNVEVLHVTKPDKYQLHLHESCVLSLKFAHCGKWFVSTG KDNLLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY >ENSMUSP00000034920.9 pep:known chromosome:GRCm38:9:63163769:63377902:-1 gene:ENSMUSG00000058444.7 transcript:ENSMUST00000034920.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k5 description:mitogen-activated protein kinase kinase 5 [Source:MGI Symbol;Acc:MGI:1346345] MLWLALGPFCAMENQVLVIRIKIPNSGAVDWTVHSGPQLLFRDVLDVIGQVLPEATTTAF EYEDEDGDRITVRSDEEMKAMLSYYYSTVMEQQVNGQLIEPLQIFPRACKPPGERNIHGL KVNTRAGPSQHTSPVVSDSLPSNSLKKSSAELRKILANGQMNEQDIRYRDTLGHGNGGTV YKAHHVPSGKILAVKVILLDITLELQKQIMSELEILYKCDSSYIIGFYGAFFVENRISIC TEFMDGGSLDVYRKIPEHVLGRIAVAVVKGLTYLWSLKILHRDVKPSNMLVNTGGQVKLC DFGVSTQLVNSIAKTYVGTNAYMAPERISGEQYGIHSDVWSLGISFMELALGRFPYPQIQ KNQGSLMPLQLLQCIVDEDSPVLPLGEFSEPFVHFITQCMRKQPKERPAPEELMGHPFIV QFNDGNSTVVSMWVCRALEERRSQQGPP >ENSMUSP00000002013.9 pep:known chromosome:GRCm38:9:37603295:37613720:-1 gene:ENSMUSG00000001948.9 transcript:ENSMUST00000002013.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spa17 description:sperm autoantigenic protein 17 [Source:MGI Symbol;Acc:MGI:1333778] MSIPFSNTHYRIPQGFGNLLEGLTREILREQPDNIPAFAAAYFENLLEKREKTSFDPAEW GAKVEDRFYNNHAFKEQEQVEKCEQELAKSSGREETPVTPFEESTEEEREQEEAAALKIQ SLFRGHVAREEVKKMKSDKNENLKEEADN >ENSMUSP00000060779.6 pep:known chromosome:GRCm38:13:35978797:36117357:-1 gene:ENSMUSG00000046573.6 transcript:ENSMUST00000053265.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyrm4 description:LYR motif containing 4 [Source:MGI Symbol;Acc:MGI:2683538] MAASSRAQVLDLYRAMMRESKHFSAYNYRMYAVRRIRDAFRENKNVKDPVEIQALVNKAK RDLEIIRRQVHIGQLYSTDKLIIENQEKPRT >ENSMUSP00000097022.2 pep:known chromosome:GRCm38:13:65259539:65260826:1 gene:ENSMUSG00000074847.2 transcript:ENSMUST00000099425.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10775 description:predicted gene 10775 [Source:MGI Symbol;Acc:MGI:3642324] MLELQRADAPTPEGSTGLVWLSRTCVRCRRGCDTKWLERPEASQEEATTQSLRDLGRSGA KHWTRRPCHCNLHALNPSVHSSGMTSPLPQPSTHPLQPSSSLDEKAASLNLWQGDDQECM RQGDGQE >ENSMUSP00000127851.1 pep:known chromosome:GRCm38:9:45965311:45984871:-1 gene:ENSMUSG00000003131.6 transcript:ENSMUST00000172450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pafah1b2 description:platelet-activating factor acetylhydrolase, isoform 1b, subunit 2 [Source:MGI Symbol;Acc:MGI:108415] MSQGDSNPAAIPHAAEDIQGDDRWMSQHNRFVLDCKDKEPDVLFVGDSMVQLMQQYEIWR ELFSPLHALNFGIGGDTTRHVLWRLKNGELENIKPKVIVVWVGTNNHENTAEEVAGGIEA IVQLINTRQPQAKIIVLGLLPRGEKPNPLRQKNAKVNQLLKVSLPKLANVQLLDIDGGFV HSDGAISCHDMFDFLHLTGGGYAKICKPLHELIMQLLEETPEEKQTTIA >ENSMUSP00000081845.5 pep:known chromosome:GRCm38:9:119608456:119719032:-1 gene:ENSMUSG00000034533.9 transcript:ENSMUST00000084787.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn10a description:sodium channel, voltage-gated, type X, alpha [Source:MGI Symbol;Acc:MGI:108029] MEFPFGSVGTTNFRRFTPESLAEIEKQIAAHRAAKKGRPKQRGQKDKSEKPRPQLDLKAC NQLPRFYGELPAELVGEPLEDLDPFYSTHRTFIVLDKSRTISRFSATWALWLFSPFNLIR RTAIKVSVHSWFSIFITVTILVNCVCMTRTDLPEKLEYAFTVVYTFEALIKILARGFCLN EFTYLRDPWNWLDFSVITLAYVGAAIDLRGISGLRTFRVLRALKTVSVIPGLKVIVGALI HSVRKLADVTILTVFCLSVFALVGLQLFKGNLKNKCIKNGTDPHKADNLSSEMAGDIFIK PGTTDPLLCGNGSDAGHCPNDYVCRKTSDNPDFNYTSFDSFAWAFLSLFRLMTQDSWERL YQQTLRASGKMYMVFFVLVIFLGSFYLVNLILAVVTMAYEEQSQATIAEIEAKEKKFKEA LEVLQKEQEVLAALGIDTTSLYSHNGSPLAPKNANERRPRVKSRMSEGSTDDNRSLQSDP YNQRRMSFLGLSSGRRRASHSSVFHFRAPSQDVSFPDGILDDGVFHGDQESRRSSILLGR GAGQAGPLPRSPLPQSPNPGPRRGEEGQRGVPTGELATGAPEGPALDAAGQKNFLSADYL NEPFRAQRAMSVVSIMTSVIEELEESKLKCPPCLISLAQKYLIWECCPKWKKFKMVLFEL VTDPFAELTITLCIVVNTVFMAMEHYPMTDAFDAMLQAGNIVFTVFFTMEMAFKIIAFDP YYYFQKKWNIFDCVIVTVSLLELSTSKKGSLSVLRTFRLLRVFKLAKSWPTLNMLIKIIG NSVGALGNLTFILAIIVFIFALVGKQLLSENYGCRRDGISVWNGERLRWHMCDFFHSFLV VFRILCGEWIENMWVCMEVSQDYICLTLFLTVMVLGNLVVLNLFIALLLNSFSADNLTAP EDDGEVNNLQVALARIQVFGHRASRAITSYIRSHCRLRWPKVETQLGMKPPLTSCKAENH IATDAVNAAVGNLAKPALGGPKENHGDFITDPNVWVSVPIAEGESDLDELEEDVEHASQS SWQEESPKGQQELLQQVQKCEDHQAARSPPSGMSSEDLAPYLGERWQREESPRVPAEGVD DTSSSEGSTVDCPDPEEILRKIPELAEELDEPDDCFPEGCTRRCPCCKVNTSKFPWATGW QVRKTCYRIVEHSWFESFIIFMILLSSGALAFEDNYLEEKPRVKSVLEYTDRVFTFIFVF EMLLKWVAYGFKKYFTNAWCWLDFLIVNISLTSLIAKILEYSDVASIKALRTLRALRPLR ALSRFEGMRVVVDALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKFSRCVDTRSNPFS VVNSTFVTNKSDCYNQNNTGHFFWVNVKVNFDNVAMGYLALLQVATFKGWMDIMYAAVDS RDINSQPNWEESLYMYLYFVVFIIFGGFFTLNLFVGVIIDNFNQQKKKIRGQDIFMTEEQ KKYYNAMKKLGSKKPQKPIPRPLNKYQGFVFDIVTRQAFDIIIMALICLNMITMMVETDN QSEEKTKVLGRINQFFVAVFTGECVMKMFALRQYYFTNGWNVFDFIVVILSISSLLFSAI LSSLESYFSPTLLRVIRLARIGRILRLIRAAKGIRTLLFALMMSLPALFNIGLLLFLVMF IYSIFGMASFANVIDEAGIDDMFNFKTFGNSMLCLFQITTSAGWDGLLSPILNTGPPYCD PNRPNSNGSKGNCGSPAVGILFFTTYIIISFLIVVNMYIAVILENFNVATEESTEPLSED DFDMFYETWEKFDPEATQFIAFSALSDFADTLSGPLRIPKPNQNILIQMDLPLVPGDKIH CLDILFAFTKNVLGESGELDSLKTNMEEKFMATNLSKASYEPIATTLRCKQEDISATIIQ KAYRNYMLQRSLMLSNPLHVPRAEEDGVSLPREGYVTFMANDNGGLPDKSETASATSFPP SYDSVTRGLSDRANISTSSSMQNEDEVTAKEGKSPGPQ >ENSMUSP00000021892.5 pep:known chromosome:GRCm38:13:61352461:61358170:-1 gene:ENSMUSG00000021440.6 transcript:ENSMUST00000021892.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cts7 description:cathepsin 7 [Source:MGI Symbol;Acc:MGI:1860262] MTPTVFLSILCLGVALAAPAPDYNLDAEWEEWKRSNDRTYSPEEEKQRRAVWEGNVKWIK QHIMENGLWMNNFTIEMNEFGDMTGEEMKMLTESSSYPLRNGKHIQKRNPKIPPTLDWRK EGYVTPVRRQGSCGACWAFSVTACIEGQLFKKTGKLIPLSVQNLMDCSVSYGTKGCDGGR PYDAFQYVKNNGGLEAEATYPYEAKAKHCRYRPERSVVKVNRFFVVPRNEEALLQALVTH GPIAVAIDGSHASFHSYRGGIYHEPKCRKDTLDHGLLLVGYGYEGHESENRKYWLLKNSH GERWGENGYMKLPRGQNNYCGIASYAMYPAL >ENSMUSP00000093644.2 pep:known chromosome:GRCm38:19:55316351:55626561:1 gene:ENSMUSG00000024983.9 transcript:ENSMUST00000095950.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vti1a description:vesicle transport through interaction with t-SNAREs 1A [Source:MGI Symbol;Acc:MGI:1855699] MSSDFEGYEQDFAVLTAEITSKIARVPRLPPDEKKQMVANVEKQLEEARELLEQMDLEVR EIPPQSRGMYSNRMRSYKQEMGKLETDFKRSRIAYSDEVRNELLGDAGNSSENQRAHLLD NTERLERSSRRLEAGYQIAVETEQIGQEMLENLSHDREKIQRARDRLRDADANLGKSSRI LTGMLRRIIQNRILLVILGIIVVIAILTAIAFFVKGH >ENSMUSP00000075923.3 pep:known chromosome:GRCm38:13:46273721:46300115:1 gene:ENSMUSG00000063529.3 transcript:ENSMUST00000076622.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stmnd1 description:stathmin domain containing 1 [Source:MGI Symbol;Acc:MGI:2686420] MGCGPSQQKEDQSQSRIPSPRKGWEEGSKADVRVTSSKENCSPQTEAAWPKHTIDNAKSL DQQAQIGSLPGTIPENSPTPSKTSRRINSDPVANGLTNKPQLPESWERPKSSDILEELIV QGIIQSRSKVFRNGESYDVMVDTTEKPLRKPPARLKKLKVKKEVKDFTIQDIEEKMQAAE ERRKTKKEEIRKRLRSDRLLPTANPSDEAEPPGRVEVPFTKGLPAVNTPALEKSDVQEGE PLKRKKSESDVAQMNRNYSCTGLELVESDMYYNQEDNIF >ENSMUSP00000099083.4 pep:known chromosome:GRCm38:13:62517500:62520544:-1 gene:ENSMUSG00000074865.10 transcript:ENSMUST00000101547.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp934 description:zinc finger protein 934 [Source:MGI Symbol;Acc:MGI:1924367] NAVTYEDLHVNFTQEEWALLDPSQKKLYKHVMLETYRNLNAIGFNWEAQNIEEHCQSSRR HRRCERSQSAEKPSEYTQRDKAFALSAHSHAQRHERIHTEKIPSEDIHCVEDVLPYTSLQ VHKRTQTGQKPYECKQCGKGFAKPSHLKRHERYHTGEQPFTCNECDKVFSFKHHLQTHIS IRTGEKPFKCNLCDKSFSKHNHLQSHRRTHTGEKPYKCDQCDKAFSEKCNLQTHIRIHSG EKPYKCDQCDKTFSEKCHLQKHIRIHTGEKPYKCNQCDKAFSQYNTLKTHRRTHTGEKPY KCNQCDKAFSQYGHLQTHRRTHTGEKPYKCNQCDKAFSQYNTLKTHRRTHTGEKPYKCNQ CDKAFSEKCSLQRHIRIHTGEKPYKCNQCDKAFSQYSTLQTHRRTHTGEKPYKCNQCDKA FSQYSNLQTHRRTHTGEKPYKCNQCDKAFSMKCNLQNHVRIHTGQKPFKCNQ >ENSMUSP00000080837.6 pep:known chromosome:GRCm38:13:62517500:62520451:-1 gene:ENSMUSG00000074865.10 transcript:ENSMUST00000082203.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp934 description:zinc finger protein 934 [Source:MGI Symbol;Acc:MGI:1924367] MLETYRNLNAIGFNWEAQNIEEHCQSSRRHRRCERSQSAEKPSEYTQRDKAFALSAHSHA QRHERIHTEKIPSEDIHCVEDVLPYTSLQVHKRTQTGQKPYECKQCGKGFAKPSHLKRHE RYHTGEQPFTCNECDKVFSFKHHLQTHISIRTGEKPFKCNLCDKSFSKHNHLQSHRRTHT GEKPYKCDQCDKAFSEKCNLQTHIRIHSGEKPYKCDQCDKTFSEKCHLQKHIRIHTGEKP YKCNQCDKAFSQYNTLKTHRRTHTGEKPYKCNQCDKAFSQYYSNLQTHRRTHTGEKPYKC NQCDKAFSMKCNLQNHVRIHTGQKPFKCNQ >ENSMUSP00000060137.7 pep:known chromosome:GRCm38:9:66508459:66514593:-1 gene:ENSMUSG00000050503.8 transcript:ENSMUST00000056890.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl22 description:F-box and leucine-rich repeat protein 22 [Source:MGI Symbol;Acc:MGI:1921415] MHITQLNRECLLCLFSFLDKDSRRSLSRTCSQLRDVFEDPTLWPLLHFHSLAELKKDNFR LSPALRSLSICWHSSRVQVCSIEDWLKSALQRSICSQHESLVNDFLLQVCNRCPNLTSVT LSGCGHVTDDCLARLLLSCPRLRTLRLENCARVTNRTLAAVAAHGRALQTLHVDFCRNVS AAGLLRLRAACPNLRLSAERSAAMIPDQPPRARVCAASAFSAAPGRQSMPHPTGSY >ENSMUSP00000062887.8 pep:known chromosome:GRCm38:19:42074939:42086370:-1 gene:ENSMUSG00000049670.8 transcript:ENSMUST00000051772.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Morn4 description:MORN repeat containing 4 [Source:MGI Symbol;Acc:MGI:2449568] MTLTKGSFTYSSGEEYRGEWKEGRRHGFGQLVFADGGTYLGHFENGLFNGFGVLTFSDGS RYEGEFSQGKFNGVGVFIRYDNMTFEGEFKNGRVDGFGLLTFPDGSHGIPRNEGLFENNK LLRREKCSAVVQRAQSASKSARNLTA >ENSMUSP00000136304.1 pep:known chromosome:GRCm38:9:122747346:122802074:1 gene:ENSMUSG00000094985.1 transcript:ENSMUST00000178679.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Topaz1 description:testis and ovary specific PAZ domain containing 1 [Source:MGI Symbol;Acc:MGI:3779933] MRPPATPPDPTKEPGCKGTTRGLRKRGLPLTPEPGEGGGCSLEARGCEEESRQKQRMVTQ ASGREETEGDKLAKENGKITEAPSDDPQPGTDLVRKTSITSSESLQTVECSEFQNMAFLQ SLDKEELGEGIKRRMRIKKCKSLENPPLEITKNEATQNIKVEFQDELFKNTPKHSCNSLS PGVEKNCSFELHDYSFLHSEGCNNENNFEDKPHDVCLHTEENSLKLKKENLRNLAEKDDT RTTTKLLKTEKSVIASKLLLEESHLYQNKNNGLTSCLQSEKNKYSVEENNTGRKHRKKMK SGKEEKNINLTLSNVCNNSVLVLQENQMGMEGKEAETLEPKKSFLKALRKINHNTLPPVD HLCLPKTVGKTSSRHHINAMLQKTLESSLKEDIKNTSESLGCKRIEPEKYNKSMISSTVK SPSDGHHMEKRSPRGDLRSETEESKVSCCRTIPMTGKRVWPFYSCARISAQCWKKTSLSD LNYSLLGPLENVRQHDSIIHQMNQTHLPDSKLLQPSLTERTTESSRKEMYDSDLSCLSSV SSVESTVMDIKEAMSHDKKTKLEEPSRNGAEVVSNATEDTQLSNITQSLTGNKKRKGNLS KLNLTVASQESQETNNCANKTVHRKVCITKQTLVAPDLVKILNTGRLTNFKIPLLKNKTG KRGAVSARSSEREAYSPLELLDSLSGVEAKQNRNKENICTTSGPQSLNLHSCIAPGQASS HSFYNKNSCTSSSFTKKGYDNKACNHISEPGNIISNKESISMKIENNTFSCDLGYIDQSS FCSKKQEAFVPISSEISGRKMTKSISELKLGFPDILKAYEDDVLLIDVIQDDPELFGISS EGDLSFASEVSKISQEPRVSEDQPTADFKHMHLPGKKEPGDLSKEVALLDPGLLKLESCP SLSAAKEPQHDPEGAAISLEATEETVVSGSLEGLSEQARASDSDAKCISSDKATVMEEQE STHEIFKSKDSRNVESATECQLATLGPNPLCSSALPVNLSSHQDVVSTPWINDVRFPGRH SVLQLQNPETCEIFKREKNMGVFQKPLGLLIPHRYCKLHFNTLRGCERAQCKFVHVPEQG DEKICMDVFRKYISVNEQRLLHRAAYIFLEYYRKFPPGIHFSLQVLNDLLISLLKHCLLK EVFQVVQLSIMAKMLPALKILLKIFEYVAAMKLRNAVPALIEIFCKFIEAGMVPDPEHLN YIVKLLHQAQASQQEISAVLQAKSRLRVRQLKKNWKCDLDSALSEVETCKEKSDWTKLGN LYISIKMSCEEFADLQRFCACVAETLTEDYKEERPGVPFCEFAETVSKDPQYSEVDKTLL GRIGISAVYFYHRLLLWAKGRKVLDILYELKIHFTSLKGLTGPEKEAPRCQIVNVAAEIF IKSGSLDGAIWVLRESEWIINTPLWPCDRMDVLNRHNLLCTIAHEILGKNLYKQTFEVLR NLPSFQNSQEMMGVSQSSLLFNELLDACIESNSLGISSSVAEFMVAKSIPIDFSFLRRLI TSLGRSCLWLKARAHYKSALSLGCYPPLEGNLHRKLLLVPSYLSEIEMLLAMEIFLVSNA SGIQSAGMGAPTQVLQIVLKRCEESKSRSKDEYQAAVERLVMAARISDPKLFIKHMTVNI NKEQVYSLEHCSALKWLKENMKWAGKVWLFTNH >ENSMUSP00000060776.7 pep:known chromosome:GRCm38:9:123806477:123811754:1 gene:ENSMUSG00000048521.7 transcript:ENSMUST00000049810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcr6 description:chemokine (C-X-C motif) receptor 6 [Source:MGI Symbol;Acc:MGI:1934582] MDDGHQESALYDGHYEGDFWLFNNSSDNSQENKRFLKFKEVFLPCVYLVVFVFGLLGNSL VLIIYIFYQKLRTLTDVFLLNLPLADLVFVCTLPFWAYAGTYEWVFGTVMCKTLRGMYTM NFYVSMLTLTCITVDRFIVVVQATKAFNRQAKWKIWGQVICLLIWVVSLLVSLPQIIYGH VQDIDKLICQYHSEEISTMVLVIQMTLGFFLPLLTMILCYSGIIKTLLHARNFQKHKSLK IIFLVVAVFLLTQTPFNLAMLIQSTSWEYYTITSFKYAIVVTEAIAYFRACLNPVLYAFV GLKFRKNVWKLMKDIGCLSHLGVSSQWKSSEDSSKTCSASHNVETTSMFQL >ENSMUSP00000058045.6 pep:known chromosome:GRCm38:13:112522810:112580662:-1 gene:ENSMUSG00000050377.7 transcript:ENSMUST00000051756.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il31ra description:interleukin 31 receptor A [Source:MGI Symbol;Acc:MGI:2180511] MWTLALWAFSFLCKFSLAVLPTKPENISCVFYFDRNLTCTWRPEKETNDTSYIVTLTYSY GKSNYSDNATEASYSFPRSCAMPPDICSVEVQAQNGDGKVKSDITYWHLISIAKTEPPII LSVNPICNRMFQIQWKPREKTRGFPLVCMLRFRTVNSSHWTEVNFENCKQVCNLTGLQAF TEYVLALRFRFNDSRYWSKWSKEETRVTMEEVPHVLDLWRILEPADMNGDRKVRLLWKKA RGAPVLEKTFGYHIQYFAENSTNLTEINNITTQQYELLLMSQAHSVSVTSFNSLGKSQEA ILRIPDVHEKTFQYIKSMKAYIAEPLLVVNWQSSIPAVDTWIVEWLPEAAMSKFPALSWE SVSQVTNWTIEQDKLKPFTCYNISVYPVLGHRVGEPYSIQAYAKEGTPLKGPETRVENIG LRTATITWKEIPKSARNGFINNYTVFYQAEGGKELSKTVNSHALQCDLESLTRRTSYTVW VMASTRAGGTNGVRINFKTLSISVFEIVLLTSLVGGGLLLLSIKTVTFGLRKPNRLTPLC CPDVPNPAESSLATWLGDGFKKSNMKETGNSGDTEDVVLKPCPVPADLIDKLVVNFENFL EVVLTEEAGKGQASILGGEANEYVTSPSRPDGPPGKSFKEPSVLTEVASEDSHSTCSRMA DEAYSELARQPSSSCQSPGLSPPREDQAQNPYLKNSVTTREFLVHENIPEHSKGEV >ENSMUSP00000137317.1 pep:known chromosome:GRCm38:12:88018337:88018771:-1 gene:ENSMUSG00000096803.1 transcript:ENSMUST00000178301.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6803 description:predicted gene 6803 [Source:MGI Symbol;Acc:MGI:3779631] MPKNKGKGGKNRRRGKNENESEKRELVFKEYGQEYAQVTKMLGCGWLEAMCFDGVRRLCH IRGKLTKKVWINTSDIILIGLRDYQDNKADVILKYNPDEARSLKAYGELPEHAKINEMDT FGAGDDDQIVFDDIGEDDEDIDDI >ENSMUSP00000072197.6 pep:known chromosome:GRCm38:14:113314608:113316713:1 gene:ENSMUSG00000058126.6 transcript:ENSMUST00000072359.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm3-rs7 description:tropomyosin 3, related sequence 7 [Source:MGI Symbol;Acc:MGI:99705] MAGTTTIEAVKRKIQVLQQQADDAEERAERLQQEVEGERRARKQAEAEVASLNRRIQLVE EELDRAQERLATALQKLEEAEKAADESERGMKVIENRALKDEEKMELQEIQLKEAKHIAE EADRKYEEVARKLVIIEGDLERTEERAELAESRCREMDEQIRLMDQNLKCLSAAEEKYSQ KEDKYEEEIKILTDKLKEAETRAEFAERSVAKLEKTIDDLEDKLKCTKEEHLCTQRMLDQ TLLDLNEM >ENSMUSP00000130870.1 pep:known chromosome:GRCm38:14:124005355:124007629:1 gene:ENSMUSG00000090286.1 transcript:ENSMUST00000166105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17615 description:predicted gene, 17615 [Source:MGI Symbol;Acc:MGI:4937249] MVKGKCKNPTNRNQDHSPSSEHSTPTPPSHGQPNTTEELDADLKAYLMMMVEDIKKEFNK SLKEIQENTAKELQVLKEKQENTNKQVEVLKEKQETTSKQVMEMNKTILALKREVDTIKK TQSEATLEIETLGKKSGTIDASISNRIQEMEERISGAEDSIENIS >ENSMUSP00000125941.1 pep:known chromosome:GRCm38:17:9422060:9422458:1 gene:ENSMUSG00000072968.4 transcript:ENSMUST00000076982.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17728 description:predicted gene, 17728 [Source:MGI Symbol;Acc:MGI:4937362] MLSIQPITLRTRDKELAAICTGTTVCHGKQAWRRVSKFKIFIRKWTPVNTGDSSAIAINK ISTLNHEILYDPVEGASFVSYRNAIFSELSGAELPKVLCRLRHHVCKELDLHATNFLAAN ADIEEDHWIDWT >ENSMUSP00000063723.1 pep:known chromosome:GRCm38:18:74065102:74065584:1 gene:ENSMUSG00000053861.1 transcript:ENSMUST00000066583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9925 description:predicted gene 9925 [Source:MGI Symbol;Acc:MGI:3641738] QTRRRPLLSSRVSAPPAWTSGPGATWASRWAPALQISCRSSSGVLTPASVGGSLKARSLR KGCRDVELSLSPGRSLVFASGQPLLPKPRRWRAGRKSRLELDRSRQRGNLSGREPPLAEG LGDATGAQVTRGPCACSRSRLRVRPGSQGLSKTHRWEPRL >ENSMUSP00000095860.2 pep:known chromosome:GRCm38:4:11979560:11981265:-1 gene:ENSMUSG00000073995.2 transcript:ENSMUST00000098260.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10604 description:predicted gene 10604 [Source:MGI Symbol;Acc:MGI:3642593] MALALKPRNIRKVKTDFISICGTLSPTSACKSPEDFKVFLLWMPRAEPGGGMEACWKEHS ALGTECPLLSCFFSFFFLFSLKDRDREGSFVQGDGLHNIFKKSHDFKIKIFERRPHYVTQ TGLELVLASKVLGLQTQSPASSV >ENSMUSP00000137335.1 pep:known chromosome:GRCm38:16:82828382:82829881:1 gene:ENSMUSG00000094030.1 transcript:ENSMUST00000177665.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21833 description:predicted gene, 21833 [Source:MGI Symbol;Acc:MGI:5433997] KKQEDNPSTKLKEDSHKNRMPTLTTKITGSNNYFSLISLNINGLNSPIKRHRLTDWLHKQ DPTFGCLQETHLREKDRHYLRVKGWKTIFQANGLKKQARVAILISDKIDFQPKVIKKDKE GHFILIKGKILQEELSILNIYAPNARAATFIKDTLVKLKAHFAPHTIIVGDFNTPLSSME RSWKQKLNRDTVKLTEVMKQMDLTDIYRTFYPKTKGYTFFSAPHGTCSKIDHIIGHKTGL NRYKSIEIVPCILSDHHCLRLIFNNNINNGKPTFTWKLNNTLLNDTLVKEGIKKEIKDFL EFNENEATMYPNLWDTMKAFLRGKLIALGASKKKRERAHTSSLTTHLKALEKKEANSPKR SRRQEIIKLRGEINQVETRRTIQRINQTRSWFFEKINKIDKPLARLTKGHRDKILINKIR NEKGDITTDSEEIQNTIRSFYKRLYSTKLENLDELDKFLDRYKVPKLNQDQVDHLNSPIS PKEIEAVINSLPAKKKPRTR >ENSMUSP00000093908.2 pep:known chromosome:GRCm38:19:21778342:21858327:1 gene:ENSMUSG00000024754.12 transcript:ENSMUST00000096194.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem2 description:transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1890373] MYAAGSRGHSPAFLQPQNGNGHRSPGYVPGKVVPLRPAPPPKNHASAKLTSRSQDAPATF AFSPEEQRTPSESRKRKRHKNTFICFAITSFSFFVALAVILGISSKYAPDENCPDQNPRL RNWDPGQDSAKHIVIKEGDLFRLTSDATVDSIVIQDGGLLVFGDDKDGSKNITLRTRYIL IQDGGALHIGAEKCRYRSKATITLYGKSDERESMPIFGKKFIGVEAGGTLELHGAQRTSW TMLARTLHSSGLPFGSYAFEKDFSRGLNVRVIDQDTARVLENEKFDTHEYHNESRRLQEF LRAQEPGRIVAIAVGDSAVKSLLQGTIQMIQDRLGSKLIQGLGYRQAWALVGVIDGGSSS CNESVRNYENHSTGGKALAQGEFYTLDGQKFSVTAYSEWSQGISLSGFRVDIADGVKLHL LDDVSTWEAGDRIVVASTDYSMYQAEELTLLRCPECSRSQVKVKEIPQYLHVGEIIDGID MRAEVGLLTRNIVIQGEMEDSCYAENHCQFFDYDTFGGHVMIEKNFTSVHLSYVELKHMG QQHMGRYPVHFHLCGDVDSKGGYSQPASVDGLSVHHSFSRCITVHGTSGLLIKDTIGFDT LGHCFFLEDGVEQRNILYHNLGLLTKPGTLLPTDRNSSMCTVMRDGVFGNYVPVPTTDCM AVSTFWIAHPNNHLINNAAAGSQDAGIWYLFHKEPTGESSGLQLLEKPELTPLGIFYNNR VHSNFKAGLFVDKGVKTTNASASDPREYLCLDNSARFRPHQDADPEKPRVAAIIDRLIAF KNNDNGAWVRGGDIIVQNSAFADNGKGLTFASDGSFPSDEGSSQEVTESLFVGESRNYGF QGGQNKYMGTGGIDQKPRTLPRNRTFPIRGFQIYDGPIHLTKSTFKKYVPTPDRYSSAIG FLMKNSWQTTPRNNVSLVKFGPQVSLNVFFGKPGPWFEDCELDGDKNSIFHDIDGSVTGY KDTYVGRMDNYLIRHPNCVNVTKWNAVICSGTYAQVYVQTWNTPNLSMIITRDEYPSHPM VLRGINQRAISPQYQPVVMLEKGYTIHWNGPAPRTTFLYLVNFNKDDWIRVGLCYPANTS FQVTVGFLQRQNGSLSRIEDYEPARSMEELQKKPSERKFYFDSGTGLLFLYLRAHSHRDG HSYCSSQGCERVKIQAATDSKDISNCMAKAYPQYYKKPSAVKRMPAMLTGLCQGCGTHQM VFTSDPHKSYLPVRFQSPGKAEIQRGDPSIISVNGTDFTFRSAGALLLIVDACSVPFRVK EKRMFLSADVSHMEEYFKASIPPRSIVLLSTRGEIKQLNISDSLAVLGLAKPAHLYSKGS VVFLGFSGNFAPSWTKLFTSPDEQGLGVLEQFLPLQMEEYGCSRTGSVHRRDLDLLQQAL KVL >ENSMUSP00000025663.6 pep:known chromosome:GRCm38:19:21778342:21858327:1 gene:ENSMUSG00000024754.12 transcript:ENSMUST00000025663.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem2 description:transmembrane protein 2 [Source:MGI Symbol;Acc:MGI:1890373] MYAAGSRGHSPAFLQPQNGNGHRSPGYVPGKVVPLRPAPPPKNHASAKLTSRSQDAPATF AFSPEEQRTPSESRKRKRHKNTFICFAITSFSFFVALAVILGISSKYAPDENCPDQNPRL RNWDPGQDSAKHIVIKEGDLFRLTSDATVDSIVIQDGGLLVFGDDKDGSKNITLRTRYIL IQDGGALHIGAEKCRYRSKATITLYGKSDERESMPIFGKKFIGVEAGGTLELHGAQRTSW TMLARTLHSSGLPFGSYAFEKDFSRGLNVRVIDQDTARVLENEKFDTHEYHNESRRLQEF LRAQEPGRIVAIAVGDSAVKSLLQGTIQMIQDRLGSKLIQGLGYRQAWALVGVIDGGSSS CNESVRNYENHSTGGKALAQGEFYTLDGQKFSVTAYSEWSQGISLSGFRVDIADGVKLHL LDDVSTWEAGDRIVVASTDYSMYQAEELTLLRCPECSRSQVKVKEIPQYLHVGEIIDGID MRAEVGLLTRNIVIQGEMEDSCYAENHCQFFDYDTFGGHVMIEKNFTSVHLSYVELKHMG QQHMGRYPVHFHLCGDVDSKGGYSQPASVDGLSVHHSFSRCITVHGTSGLLIKDTIGFDT LGHCFFLEDGVEQRNILYHNLGLLTKPGTLLPTDRNSSMCTVMRDGVFGNYVPVPTTDCM AVSTFWIAHPNNHLINNAAAGSQDAGIWYLFHKEPTGESSGLQLLEKPELTPLGIFYNNR VHSNFKAGLFVDKGVKTTNASASDPREYLCLDNSARFRPHQDADPEKPRVAAIIDRLIAF KNNDNGAWVRGGDIIVQNSAFADNGKGLTFASDGSFPSDEGSSQEVTESLFVGESRNYGF QGGQNKYMGTGGIDQKPRTLPRNRTFPIRGFQIYDGPIHLTKSTFKKYVPTPDRYSSAIG FLMKNSWQTTPRNNVSLVKFGPQVSLNVFFGKPGPWFEDCELDGDKNSIFHDIDGSVTGY KDTYVGRMDNYLIRHPNCVNVTKWNAVICSGTYAQVYVQTWNTPNLSMIITRDEYPSHPM VLRGINQRAISPQYQPVVMLEKGYTIHWNGPAPRTTFLYLVNFNKDDWIRVGLCYPANTS FQVTVGFLQRQNGSLSRIEDYEPARSMEELQKKPSERKFYFDSGTGLLFLYLRAHSHRDG HSYCSSQGCERVKIQAATDSKDISNCMAKAYPQYYKKPSAVKRMPAMLTGLCQGCGTHQM VFTSDPHKSYLPVRFQSPGKAEIQRGDPSIISVNGTDFTFRSAGALLLIVDACSVPFRVK EKRMFLSADVSHMEEYFKASIPPRSIVLLSTRGEIKQLNISDSLAVLGLAKPAHLYSKGS VVFLGFSGNFAPSWTKLFTSPDEQGLGVLEQFLPLQMEEYGCSRTGSVHRRDLDLLQQAL KVL >ENSMUSP00000127966.1 pep:known chromosome:GRCm38:17:46439578:46440099:-1 gene:ENSMUSG00000091742.1 transcript:ENSMUST00000166852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5093 description:predicted gene 5093 [Source:MGI Symbol;Acc:MGI:3644404] MAQDQGEKENPLREPRIRKLCLNICGDRLTRAAKVLEQLTGQTPVFSKARYTVRSFGIRR NEKIAVHCTVRGAKAEEILEKGLKVREYELWKNNFSDTGNFGFGLQEHIDLGIKYDPSIG IYGLDFYEVLGRPGFSIADKKRRTGCIGAKHRISKEEATRWFQQKYDGIILPGK >ENSMUSP00000131778.1 pep:known chromosome:GRCm38:7:142165024:142165737:-1 gene:ENSMUSG00000090471.1 transcript:ENSMUST00000168049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4553 description:predicted gene 4553 [Source:MGI Symbol;Acc:MGI:3782737] STCSSDLLIPQTNTRTMSCCGCSGGCGSSCGGCGSSCCKPVCCCKPVCCCVPACSCSSCG GCKGGCGSCGGCKGGCSSCGGCGSCGGCKGGCSSCGGCGSCGGCKGGCGSCGGCKGGCSS CGGCKGGCSSCGGCGSCGGCKGGCSSCGGCKGGCSSCGGCGSCGGCKGGCSSCGGCKGGC SSCGGCGSCGGCKGGCGSCGGCKGGCGSCGGCKGGCGSCGGCGSCGCCQSSCCKPGCC >ENSMUSP00000063425.2 pep:known chromosome:GRCm38:10:29143282:29144194:-1 gene:ENSMUSG00000056316.2 transcript:ENSMUST00000070359.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9996 description:predicted gene 9996 [Source:MGI Symbol;Acc:MGI:3642549] MPWLPAKLCLRSDQSPSIIYLSSFPWEAGWSILHPQPDPAESLDAALRGAGARFRYFSLF THFNAQSVSPCAAPSPPPARAESWDGFSANRQCSSDPLSHRQRVLEEIRRND >ENSMUSP00000087632.4 pep:known chromosome:GRCm38:15:36308144:36308956:-1 gene:ENSMUSG00000068579.4 transcript:ENSMUST00000090170.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl7a-ps3 description:ribosomal protein L7A, pseudogene 3 [Source:MGI Symbol;Acc:MGI:3643413] QPSKMPKGKKAKGKKVAPTPAVVKKQEAKKVVNPLFEKRPKNFGIGQDIQPKRDLTRFVK WPRYIRLQRQRAILYKRLKVPPAINQFTQALDRQTATQLLKLAHKYRPETKQEKKQRLLA RAEKKAAGKGDVPTKRPPVLRAGVNTVTTLVENKKAQLVVIAHDVDPIELVVFLPALCRK IGVPYCIIKGKARLGHLVHRKTCTTVAFTQVNSEDKGALAKLVEAIRTNYNDRYDEIRRH WGGNVLGPKSVARIAKLENAKAKELTTNLG >ENSMUSP00000059419.5 pep:known chromosome:GRCm38:19:45047576:45056383:1 gene:ENSMUSG00000025212.16 transcript:ENSMUST00000062213.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfxn3 description:sideroflexin 3 [Source:MGI Symbol;Acc:MGI:2137679] MGDLPLNINIQEPRWDQSTFLGRARHFFTVTDPRNLLLSGEQLEASRNIVQNYRAGVATP GLTEDQLWRAKYVYDSAFHPDTGEKVVLIGRMSAQVPMNMTITGCMLTFYRKTPTVVFWQ WVNQSFNAIVNYSNRSGDAPITVQQLGTAYVSATTGAVATALGLKSLTKHLPPLVGRFVP FAAVAAANCINIPLMRQRELQVGIPVTDEAGQRLGHSVTAAKQGIFQVVISRIGMAIPAM AIPPVIMNTLEKKDFLKRRPWLGAPLQVGLVGFCLVFATPLCCALFPQRSSIHVTRLEPE LRAQIQAQNPSIDVVYYNKGL >ENSMUSP00000107585.4 pep:known chromosome:GRCm38:19:45047576:45056383:1 gene:ENSMUSG00000025212.16 transcript:ENSMUST00000111954.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfxn3 description:sideroflexin 3 [Source:MGI Symbol;Acc:MGI:2137679] MGDLPLNINIQEPRWDQSTFLGRARHFFTVTDPRNLLLSGEQLEASRNIVQNYRAGVATP GLTEDQLWRAKYVYDSAFHPDTGEKVVLIGRMSAQVPMNMTITGCMLTFYRQLGTAYVSA TTGAVATALGLKSLTKHLPPLVGRFVPFAAVAAANCINIPLMRQRELQVGIPVTDEAGQR LGHSVTAAKQGIFQVVISRIGMAIPAMAIPPVIMNTLEKKDFLKRRPWLGAPLQVGLVGF CLVFATPLCCALFPQRSSIHVTRLEPELRAQIQAQNPSIDVVYYNKGL >ENSMUSP00000081537.6 pep:known chromosome:GRCm38:19:45047576:45056383:1 gene:ENSMUSG00000025212.16 transcript:ENSMUST00000084493.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfxn3 description:sideroflexin 3 [Source:MGI Symbol;Acc:MGI:2137679] MGDLPLNINIQEPRWDQSTFLGRARHFFTVTDPRNLLLSGEQLEASRNIVQNYRAGVATP GLTEDQLWRAKYVYDSAFHPDTGEKVVLIGRMSAQVPMNMTITGCMLTFYRKTPTVVFWQ WVNQSFNAIVNYSNRSGDAPITVQQLGTAYVSATTGAVATALGLKSLTKHLPPLVGRFVP FAAVAAANCINIPLMRQRELQVGIPVTDEAGQRLGHSVTAAKQGIFQVGLVGFCLVFATP LCCALFPQRSSIHVTRLEPELRAQIQAQNPSIDVVYYNKGL >ENSMUSP00000098988.2 pep:known chromosome:GRCm38:11:28339104:28339867:-1 gene:ENSMUSG00000032889.6 transcript:ENSMUST00000042595.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6685 description:predicted pseudogene 6685 [Source:MGI Symbol;Acc:MGI:3704119] MPRRGLVAGPDLDNFQRRYFTPSEVAEHNQPEDLWVSYLGFVYNLTPLVEEFKGDLLLKP ILEVAGQDISHWFDPQTRDIRKHIDPLTGCIRYLTPRGRFVHIPPPLPRSDWANDFGVPW WKGANYQVGRLSARTRNIRIINTLATQEHTLQVGAQESMWEILHRYLPYNAHAASYTWKY DGKNLNMYQTLEENGIRDEEEEFDYLNMDGKLHTPAILLYFNDDLTEL >ENSMUSP00000095477.1 pep:known chromosome:GRCm38:4:130308162:130308674:-1 gene:ENSMUSG00000073752.1 transcript:ENSMUST00000097865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10570 description:predicted gene 10570 [Source:MGI Symbol;Acc:MGI:3642427] PRSRNRKPGLALPQRAGAHPPQPSRRLRQRRPRSCWCHLTHPWPGCGALPATQVEHPPAI LKGNSPLSWCHAGETPLDPSAALFFNSLWFYSYIVYARVGVLMGKGWETGAFVVTRGSPF SASTVWVPRIKLGLSRLDSKGLYPKTHLNGPMSSSCRRGSEARRGMTRSP >ENSMUSP00000072893.5 pep:known chromosome:GRCm38:8:105348258:105369881:1 gene:ENSMUSG00000014786.7 transcript:ENSMUST00000073149.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc9a5 description:solute carrier family 9 (sodium/hydrogen exchanger), member 5 [Source:MGI Symbol;Acc:MGI:2685542] MLSAALLLLPGLPLAGAGATEEPTQESGPLGEPPPGLALFRWQWHEVEAPYLVALWILVA SLAKIVFHLSRKVTSLVPESCLLILLGLVLGGIVLAVAKKAEYQLEPGTFFLFLLPPIVL DSGYFMPSRLFFDNLGAILTYAVVGTLWNAFTTGVALWGLQQAGLVAPRVQAGLLDFLLF GSLISAVDPVAVLAVFEEVHVNQTLFIIIFGESLLNDAVTVVLYKVCNSFVEMGSANVQA TDYLKGVASLFVVSLGGAAVGLVFAFLLALTTRFTKRVRIIEPLLVFLLAYAAYLTAEMA SLSAILAVTMCGLGCKKYVEANISHKSRTAVKYTMKTLASCAETVIFMLLGISAVDSSKW AWDSGLVLGTLFFILFFRALGVVLQTWALNQFRLVPLDKIDQVVMSYGGLRGAVAFALVI LLDRTKVPAKDYFVATTIVVVFFTVIVQGLTIKPLVKWLRVKRSDYHKPTLNQELHEHTF DHILAAVEDVVGHHGYHYWRDRWEQFDKKYLSQLLMRRSAYRIRDQIWDVYYRLNIRDAI SFVDQGGHVLSSTGLTLPSMPSRNSVAETSVTNLLRESGSGACLDLQVIDTVRSGRDRED AVMHHLLCGGLYKPRRRYKASCGRHFISEDAQERQDKEIFQQNMKRRLESFKSTKHNICF TKSKPRPRKTSHKKKDGVANPEATNGKPPRDLGFQDTAAVILTVESEEEEESDSSETEKE DDEGIIFVARATSEVLQEGKVSGSLEVCPSPRIIPPSPTCAEKELPWKSGQGDLAVYVSS ETTKIVPVDMQTGWNQSISSLESLASPPCTQPPTLTRLPPHPLVTEEPQVPIDLSSDPRS SFAFPPSLAKAGRSRSESSADIPQQELQPLMGHKDHTHLSPGTANSHWCIQFNRGGRL >ENSMUSP00000077040.5 pep:known chromosome:GRCm38:9:67840396:67995634:1 gene:ENSMUSG00000035284.9 transcript:ENSMUST00000077879.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps13c description:vacuolar protein sorting 13C (yeast) [Source:MGI Symbol;Acc:MGI:2444207] MVLESVVADLLNRFLGDYVENLNKSQLKLGIWGGNVALDNLQIKENALSELDVPFKVKAG QIDKLTLKIPWKNLYGEAVVATLEGLYLLVVPGASIKYDAEKEEKSLQDIKQKELCRIEE ALQKAAEKGAHSGEFMYGLENLLYKDVKPGRKRKKHKKHFKKRFKGLDRSKDKPKEAKKD TFLEKLATQVIKNVQVKITDIHIKYEDDITDPERPLSFGVTLREFSLLTTNEHWTPCILN EAEKIIYKLVKLDSLSAYWNVGCCMSYRGSREHILEQLKREILTSTNIPPDHQYIFQPIS ASAKLYMNPGAESELKTPKLDGNVEVQNIAIELTKPQYLSMIDFLESLDYMVRNAPYRKY KPCLPLHTNCRQWWKYAIDSVLEVHIRRYTQPWSWSNIKNHRQLLKSYKMAYKTKLTQAK VSEEIQKQIQDLEKSLDVFNIILVRQQAQVEVIHSGQKLRKKSAEAGEKRGWFSGFWGKK ESKKRDEESSVPETIDDLMTPEEKDKLFTAIGYSENAYNLALPKQYVAHILTLKLVSTSI IIRENRNVPEILRVQIIGLGTQVSQRPGAQALKIEAKLEHWYVTGLRQQDIVPSLVASIG DTTSSLLKIEFETNPENSPADQTLIVQSQPVEVIYDAKTINAVVEFFQSNKGLDLEQITS ATLMKLEEIKERTATGLTHIIETRKVLDLRINLKPSYLIIPQTGFHHEKSNLLILDFGTF QLNSKDQGAQKTANASLEEIIDKAYDKFDVEIRSVQLLFAKAEENWKKCRFQHPSTMHIL QPMDIHVELAKAMVEKDVRMAKFKVSGGLPLMHVRISDQKIKDALCLINSIPLPQKSSTP SPERQVASIPVLSGGTKALLGTSLLLDGVESESDEEFFDAEDGDSQAARTVKASELKKAA EVPNEELVSLLLKFEIKEVVLELTKQQKEEETILVFNVTQLGTEATMRTFDLTAVSYLRK ISLDYHDIKGSRKKPIHLISSSDRPGLDLLKVEYIKVDRNGPSFQTTFEKTEQTVKVAFS SLNLLLQTQALLSSLNYLTTVIPSDSQNTGVAKEVQAMPEKQKNSPLQKVMVPSRDSDVI GFRLFAKLNAFCVTVCDEKSNIAEIKIQGLDSSLSLQSKKQSLFARLENIIVTDVDPKTI HKKAVSIVGNEVFRFNLDLYPDATEGDSYTDMSTVDGVVALHVGCIQIVYLHKFLMSLLS FLNNFQVAKEALSAATAQAAEKAATSVKDLAQRSFRVSVDIDLKAPVIVIPQSSLSTNAV VVDLGLIRVHNRFSLVSGEDTANPPVIDKMEVQLTKLKLSRTAIQPGTSHPDIQLLHPIN LEFFVSRNLAANWYHKVPVVEIKGRLDSMNVSLNQEDLNLLFRILAENLGEATEDLDKGK PRIQERGETKACREVSTPQDVHTTQGVPAARVEETRPVDIINVLLNFEIKEVVVTLMKKA ERKGSPFHELKILHLGMEAKVKAHDMTAAAYLRNISMRCFHFPDSKGEPLRIVNTSDVSD GILLKLLFIKADSDGPDFKTIHDNTKQKLKVSFSSLDLVLHLEALLSLMDFLSSAIPSSD SSSSEKEPELKPLVGESRSLAIRAVPSSYEGDAFDLKITAELNAFNIFICDQKSNIAEIK IHGMDASISVKPKQTDVFARLKNIIVMNVDSLSIHKKAVSILGDEVFRFQMSLYPDATEG ENYGDMSKVDGRLSLKVGCIQIVYVHKFFMSLLSFLNNFQAAKEALSTATVQAAERAASS VKDLAQKSFRLLMDIDLKAPVITIPQSSVSPNVVIADLGLIRVENKFSLVSVEQLALPPV ADEMSIQLTQLKLARTVLQADSPQHDVEILKPVNMLLCIQRNLSAAWYTQIPGMEIKGEL KPMQVALSQDDLTVLMKILLENLGEASSQPSPTQYAQEAARVKRDTRSGPDYLKEQELAD PKPPGDQTVTLQFDFHFDSLSIILYNSDSSQEPRLSFHNDSFRLGELTLHLMASAGKMFK DGSMNVSLKLKTCTLDDLREGIERATSRMIDKKNDQDNNSSMIDISYSQDKNGSQVDAVL DKLYVCASVEFLMTVADFFIKAMPQSPENIAKEIQIPSRQTAAGRVKMEKDDSVRPNMTL KAMITDPEVVFVASLTKADAPALTASFQCNLSLSTSKLEQMMEASVRDLKVLACPFLRER RGKSITTVLQPCSLFMEKCTWASGKQNINIVVKEFVIKISPIILNTVMTIMAAMSPKTKE DEWKDTPKETDNLWAVKSITDYNSWFLGVDMATEVTENFRDSEHPSIEENCVVAVESVQV TLECGLGHRTVPLLLAESKFSGNIKNWTSLMAAAADMTLEVHYYNETHAVWEPLIERVEG NKPWSLKLNVKKNPIQDKSLMPGDDFIPEPQTAVHISSGATMNITISKSCLNVFSNLAKG FSEGAASTFDYSLKDRAPFTVKNALGVPMKVQPNRNLKVMGSPEKSDIYDVGAGQHLELD YASLEPSRQGKLSILSRQESSLFTLTFVPYGYTEVASVPVARPGRRLYNVRNPSASHSDS VLVQIDATEGNKVVTLRSPLQIKNHFSIAFIIYKFVKNVKLLERIGIARPEEEFHVPLDS YRCQLYVQPAGGLEQQYTHSSTYISWKEELHRSREVRCMLQCPAVEVSFLPLIVNTVALP DELSYIGAHGEDWDPAYVIHLYPPLTLRNLLPYSLRYLLEGTAETHELAEGSSADVLHSR ISGEIIELVLVKYLGKNWNGHFRICDTLPEFFLVCFSSDTAEVMTVDLSVHVRRIGCRME LSVFSPYWLINKTSRVLQYRSEEIHVKHPADFRDIILFSFKKKNIFSKNKVQLKISTSAW SNGFSLDTVGSYGCVKCPATNMEYLVGVSIKMSSFNLSRVVTLTPFCTVANKSSLDLEVG EIASDGSIPTNKWHYVASSECIPFWPENLSGKLCVRVVGYEGSSKPFFYNRQDNGTLLSL EDLNGGILVDINTAEHSTVITFSDYHEGSAPALIMNHTQWDVLTYKQSGSQEELVLLPGE TRLFAWADPTGIRKLTWNYAANFGEHDLLKDECGQFPYDANIQIHWVSFLDGRQRVLLFT DDVALVSKALQAEEMEQADHEVALSLHSLGLSLVNNENKQEVSYVGITSSGVVWEMKPKQ KWKPFSQKQIMSLEQAYSKRLASQDRGWVKLDSNFEVNFDKVPMEMRLPIRCPIKRDFLS GIQVEFKQSPHQRSLRARLYWLQVDNQLPGTMFPVVFHPVAPPKSIALDSEPKPFIDVSV ITRFNEYSKVLQFKYFMVLIQEMALKVDQGFLGAVISLFTPTTDPEAERKRTKLIQQDID ALNTELMESSMTDMSILSFFEHFHISPVKLHLSLSLGSGGEESDKEKQEMIAIHSVNLLL KSIGATLTDVDDLIFKLAYYEIRYQFYKRDQLMWSVVRHYSEQFLKQMYVLVLGLDVLGN PFGLIRGLSEGVEALFYEPFQGAVQGPEEFAEGLVIGVRSLVGHTVGGAAGVVSRITGSV GKGLAAITMDKEYQQKRREEMGRQPKDFGDSLARGGKGFLRGVVGGVTGIITKPVEGAKK EGAAGFFKGIGKGLVGAVARPTGGIIDMASSTFQGIQRVAESTEEVSSLRPPRLIHEDGI IRPYDRQESEGSDLLENHIKKLEGEAYQFHCAVPGNKRAVLMITNRRALFIKEVEILGHM SVDWQCLFEDFVCPPEVSENLLKISVKEQGLFHKKDSANIGHLRKIYLKDPITAKRAFDA IESAQSARQQQKLMRQSSVKLLRPQGPS >ENSMUSP00000108579.1 pep:known chromosome:GRCm38:5:98552695:98553744:1 gene:ENSMUSG00000079416.1 transcript:ENSMUST00000112956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11111 description:predicted gene 11111 [Source:MGI Symbol;Acc:MGI:3779363] FLFMRLRTLKREHQFLCIQLKSMIAGKKIPESF >ENSMUSP00000022009.8 pep:known chromosome:GRCm38:13:81783292:81797143:1 gene:ENSMUSG00000021537.8 transcript:ENSMUST00000022009.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn3 description:centrin 3 [Source:MGI Symbol;Acc:MGI:1097706] MSLALRGELVVDKTKRKKRRELSEEQKQEIKDAFELFDTDKDQAIDYHELKVAMRALGFD VKKADVLKILKDYDREATGKITFEDFNEVVTDWILERDPHEEILKAFKLFDDDDSGKISL RNLRRVARELGENMSDEELRAMIEEFDKDGDGEINQEEFIAIMTGDI >ENSMUSP00000079306.5 pep:known chromosome:GRCm38:12:76404176:76406934:1 gene:ENSMUSG00000059970.6 transcript:ENSMUST00000080449.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa2 description:heat shock protein 2 [Source:MGI Symbol;Acc:MGI:96243] MSARGPAIGIDLGTTYSCVGVFQHGKVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQV AMNPTNTIFDAKRLIGRKFEDATVQSDMKHWPFRVVSEGGKPKVQVEYKGEMKTFFPEEI SSMVLTKMKEIAEAYLGGKVQSAVITVPAYFNDSQRQATKDAGTITGLNVLRIINEPTAA AIAYGLDKKGCAGGEKNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRM VSHLAEEFKRKHKKDIGPNKRAVRRLRTACERAKRTLSSSTQASIEIDSLYEGVDFYTSI TRARFEELNADLFRGTLEPVEKALRDAKLDKGQIQEIVLVGGSTRIPKIQKLLQDFFNGK ELNKSINPDEAVAYGAAVQAAILIGDKSENVQDLLLLDVTPLSLGIETAGGVMTPLIKRN TTIPTKQTQTFTTYSDNQSSVLVQVYEGERAMTKDNNLLGKFDLTGIPPAPRGVPQIEVT FDIDANGILNVTAADKSTGKENKITITNDKGRLSKDDIDRMVQEAERYKSEDEANRDRVA AKNAVESYTYNIKQTVEDEKLRGKISEQDKNKILDKCQEVINWLDRNQMAEKDEYEHKQK ELERVCNPIISKLYQGGPGGGGSSGGPTIEEVD >ENSMUSP00000047547.7 pep:known chromosome:GRCm38:13:92611138:92711944:1 gene:ENSMUSG00000021703.8 transcript:ENSMUST00000049488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serinc5 description:serine incorporator 5 [Source:MGI Symbol;Acc:MGI:2444223] MSARCCAGQLACCCGSAGCSLCCGCCPKFRQSRTTRFMYLFYFILVIALCCVMMTPSVMK QVKDHIPFFEEFCKKTQAGGDACENLVGYSAVYRVCFGMACFFALFCLLTLKVNNSKSCR AYIHNGFWFFKLLLLGAMCSGAFFIPDQETFLKVWRYVGAGGSFLFICIQLLLIVQFAHK WNKNWTAGTVRNKLWYASLSLVTLIMYSVAVGGLALMAVFYTQWDDCMDNKILLGVHGGL CVLISLVAISPCVQNRQPHSGLLQSGLISCYVTYLTFSALTSKPEKKVLDEHGKNVTICA PDFGQDLHRDENMVTWLGTLLLIVCISYSCLTSTTRSSSDALQSRYGAPELEVARCCFCF GPDGEDTEEQQNVKKGPRVIYDEKKGTVYSYSYFHFVFFLASLYVMMTLTSWFHYENATI KTFFSGWSVFWVKMASCWMCVLLYLQTLVAPLCCPSRQFSV >ENSMUSP00000098839.2 pep:known chromosome:GRCm38:12:76444560:76451336:1 gene:ENSMUSG00000073000.2 transcript:ENSMUST00000101281.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10451 description:predicted gene 10451 [Source:MGI Symbol;Acc:MGI:3641898] MLTTEPSLGRRNLISLARFFLPNLSCPLLEWARAISQACYRCVPTYPAFTDVLGSLNPDL HACVASTLSHDESSFCSCLKDFVLLLPEPGVKLCLCRAEIQ >ENSMUSP00000059142.3 pep:known chromosome:GRCm38:10:128254131:128255901:1 gene:ENSMUSG00000051716.5 transcript:ENSMUST00000060782.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apon description:apolipoprotein N [Source:MGI Symbol;Acc:MGI:88931] MIQAALLLGCILLSPVTAFPWKTQNGSLPAVTRTEPTSNVLPNKIPPPTPITCRDLLYTV LPAAPLSEFLSLLALRVVLENIGCPAEAYSLQLRISEMGGKDSAETLVLQSQKSSQEEGI GNNEVILRHLVPSPGDMRRVRRSVTLPEACTYEPGWVLYDMAQVLLETANKLPPIDLVRE FKASVVNVTQHCTMESWESMNEVARRLMSSPELKDAKIPVEDRVYLVAQLAVVLKRIFVN LVWEYFQTYFG >ENSMUSP00000026420.5 pep:known chromosome:GRCm38:10:128624530:128626506:-1 gene:ENSMUSG00000025362.5 transcript:ENSMUST00000026420.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps26 description:ribosomal protein S26 [Source:MGI Symbol;Acc:MGI:1351628] MTKKRRNNGRAKKGRGHVQPIRCTNCARCVPKDKAIKKFVIRNIVEAAAVRDISEASVFD AYVLPKLYVKLHYCVSCAIHSKVVRNRSREARKDRTPPPRFRPAGAAPRPPPKPM >ENSMUSP00000022239.6 pep:known chromosome:GRCm38:13:116854824:117025516:-1 gene:ENSMUSG00000021725.8 transcript:ENSMUST00000022239.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp8 description:poly (ADP-ribose) polymerase family, member 8 [Source:MGI Symbol;Acc:MGI:1098713] MQSRPPPPPPPPPPPPLSPGITSETTSPSAPASARGIYLMGMCSRQERIQKDIDVVIQKS RAEKDCLFADFRYSDSTFTFTYVGGPKSVSYSVHVSEDYPDNTYVSSSENDEDVLVTTDP IPVIFHRIATELRKTNDINCCLSIRSKLQKENGEESRQNSTVEEDSEGDNDSEEFYYGGQ VNYDGELHKHPQLEADLSAVRELYGPHAVSLREYGAIDDVDIDLHIDVSFLDEEIAVAWE VIRTEPIIVRLHCSLTQYLNGPVPTVDVFQISTKERFGLGHQLKKIMQTFVSQQWKQSKD KSNCPHGKKLSEKKVKSPLHLFSTLRRSPSYPPPGCGKSKSKLKPEQDGISKTHKLLRRT CSSTVKADDMCAKSHRTFGRSLSSDPRAEQAMSTIKSHKLLGRPCPSAGKQEDCLTLKSH KLLTRSCSGDPRCEHNTNLKPHKLLSRSYSSNLRMEELYGLKNHKLLSKSYSSAPKTSKM EHFKEPNAEGRRLSLTSGLIGILTPSSSSSQPPTNGAKSIPIRDRGFLVQTIEFAEQRIP VLNEYCVVCDEPHVFQNGPMLRPTVCERELCVFAFQTLGVMNEAADEIATGAQVVDLLVS MCRSALESPRKVVIFEPYPSVVDPNDPQMLAFNPRKKNYDRVMKALDSITSIREMTQAPY LEIKKQMDKQDPLAHPLLQWVISSNRSHIVKLPVNRQLKFMHTPHQFLLLSSPPAKESNF RAAKKLFGSTFAFHGSHIENWHSILRNGLVVASNTRLQLHGAMYGSGIYLSPMSSISFGY SGMNKKQKVSSKDEPASSSKSSNASQSQKKGQQSQFLQSRNLKCIALCEVITSPDLHKHG EIWVVPNTDHVCTRFFFVYEDGQVGDANINTQEGGIHKEILRVIGNQTATG >ENSMUSP00000070910.3 pep:known chromosome:GRCm38:13:104228955:104247133:1 gene:ENSMUSG00000021714.14 transcript:ENSMUST00000070761.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpk description:centromere protein K [Source:MGI Symbol;Acc:MGI:1926210] MSENKQEVHPDTITDVEAVIDTEEELIKECEEMWKDMEDCQNKLSLIGTETLTNADAQLS LLIMQMKCLTAELGQWKKRKPEIIPLNEDVLLTLGKEEFQKLRCDLEMVLSTIQSKNEKL KEDLEREQQWLDEQQQILDTLNVLNSDVENQVVTLTESRIFNELTTKIRGIKEFKEKLLL TLGAFLDNHFPLPEASTPKKRKNIQDSNAQLITLNEILEVPLRAMGSTLESCWFPRETLL EEAAFSLASASVG >ENSMUSP00000022227.6 pep:known chromosome:GRCm38:13:104229388:104249615:1 gene:ENSMUSG00000021714.14 transcript:ENSMUST00000022227.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpk description:centromere protein K [Source:MGI Symbol;Acc:MGI:1926210] MLPCSVYSNNKAASCTTSPLVIYSSCSKVKKTIKDMSENKQEVHPDTITDVEAVIDTEEE LIKECEEMWKDMEDCQNKLSLIGTETLTNADAQLSLLIMQMKCLTAELGQWKKRKPEIIP LNEDVLLTLGKEEFQKLRCDLEMVLSTIQSKNEKLKEDLEREQQWLDEQQQILDTLNVLN SDVENQVVTLTESRIFNELTTKIRGIKEFKEKLLLTLGAFLDNHFPLPEASTPKKRKNIQ DSNAQLITLNEILEMLINRMFDVPHDPYVKIRDSFWPPYIELLLRYGIALRHPEDPSQIR LEAFHQ >ENSMUSP00000093774.3 pep:known chromosome:GRCm38:13:3566036:3611108:-1 gene:ENSMUSG00000033799.9 transcript:ENSMUST00000096069.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam208b description:family with sequence similarity 208, member B [Source:MGI Symbol;Acc:MGI:2145274] MAAPTSKIILELNKNALISPWKGQFIIQGCLLCDITLWSTYGTVVPLQLPRELDFKYVMD VSSLKESLPEAAFRRRSYLEQKVCCQDLCFDLYEVELTNKQGENIDKLMEYVKNKELALI KCLEDKSFFILFTSSALTPEPGFGAEQMGLHGLHLFHAPQTAGAKDLKVEDGISLKVIPI LPALSYALLEAKKSLSEEGIPPNILVKHSFQELYKVDKSLSLMAPPQDGVEDTASTGKLS HAFDLPPPLETCPSESLTHLKCYFSDPAGYTLDLSAALDLLAEHPQFPCIADGVCDAGFS LVMTPDPEFLDSEMEIRKTETAEKSGRKLKVKKKAVTPSSNQRVQPKRKASTTAVTLPSK RVSLGRPTSKRTVPRTDNRSCNPTLKLVKGQFPQKRKRGAEVLAAQIVQKTRLERKKQEA SVSKDAPVPTNTKRAKKQEKSPGRIASQPKPPMKKSPQKRKVNVARGRRNTRKQLQPAEK EIALHLQSEISSDGQKDGLNLSTSQQESISMIPKGPPENSVISCDSQALNMLADLALSSA AASIPSCKPRNLPCVSDLPRNNVLLTKENPLLGASDHEYHKGVKSQKAVLLPKPYSDEKI SSESDLTRSQEENLVPCAQPLPIAQPAPHSEARELSDASQNSVVVEHSYALLLAEQSQKH LHQRKLPSPAFVKNGIKGPEAGTPVGKVMPFRHLQNTSPLQKHSEDSLMKHKSLFVSSTL KEFFCSHTVLKCDGSFKITFKCEGEYVFSLDSKYTSNPLEKTVLRALHGPWNTDLPENVD DVKLLLHIWVALFYSKNNKVIGSPRKVVEHKNPAKYVCINRSLESLDHSEIEAFSNVERP SVEGSVDPLLETKETHIGHATNMTFPGPNRVLPFINPPTTRDLELCVQNDQKEVFTGECH LDTSGNQNFIYSCNTEVTGGKTKQELSNKLETSNVVLSGVVSAQSHGTCIPSEDKTCQST KMVSYNDSVTQATLTTAYDEASSELMCQKSVFDNLENKVDSFHPSPLIKTDAVQDVIQHS SHINNECQPSVEKREDNVECMMVNLDPVTLAFEKNASVPIHTEVHTTDKPTGFNIELVKR VSPASSVQYPMSALEEVQTQSSRDVPSLAMSEYKDSKCLSASSVKKETPPESLCLLQKEI PPLTSSADEGLIMEALSLVKSSSYSLASDKTKCPQDDSLQTQNGLSMSLDEVLEPSKVNV VSSTSVTLREQPSPNCIPAMSDVAGASTVIMNSGSSSLNQEKILQTFSLVFPKQTDLSLK REEVSMELSGEEADINLTLTISPPTSPSEEIAAGEIEQFQKTPVSNVGQQSRSEEMVEPE EEERLIRNREINSASCMSVYPVESRELLKNCTPEVTEKVNVTLDFPFGPLIEVSPASSPD PIVQPGDRPSSPCCLKLHSSQSEKTNKFSQIKSGEVTIPEKESLFLGPESPKGQDKLAEV QVQISAEMLQITTNAEVEGRVNMPGKVTKVSVPSEHSENLSFLEKVQCNTELNELSLPAK YGGNFKPLEKSGNSLEAGCMENRNVDVKHLALESSVPSCSPRKVVENKSLTDTLVSITTS GIVNMSLKQTSSKNIKKNVCDSDVKTDSDVKTEADSNMQTEAVNSALIDKTDVQAYSHPE VSKFVSSSDSAQCTYHAKPVSVEPGFQTQEIPVVRMASLLKNIGVELHEEKMDLSATGLQ SNSMSAKDEQKTMHVLQDTICEVKEFLNGDVFSQNAHSCQNTVDFSKSISEEPSASFVPE FVDAICGVYKEHTFNESPNMVHETKADAETLSRNTEISVNASMFCGPRSGAYVQDSHDCK SCKFDVENLRGNHESQKDAVKDSCDSFTSLNNSDDTWACSSKISTLETHIPPRDQETEPR LISPNKCIPRYIQIPDSHGIPKTYANFTITKEFKDTTRRLHSLKRHRNLSANCNLLSSWT STWHVTDDLTQHTLDLEYLRFDHKLKQIKKGGSQQSSFPKESLVQISSGTSPSTQTSEAS GLHLPPESRSPILVTVVRADTRQQSHHRRGCSPSSLDGSSSFWKKKCSQSRNLKNSERSQ TVPFHLNKLKYNSTLKESRNDISLILNEYAEFNKIMMNSKQIVSQDEELNVASAEAVFQE AYQPRQPVSYEDVITDLCATLHVKLKGVVREACKSPFWFYLVETEDKSFLLRTKSILKKG GHIEIELLDFCQAFHRENETLLVIIKNEDIISHLHQIPSLLKLKHFPSVVFAGVDSPEDI VNETYQELFRSGGFVVSDDVILESLTLVQLKEILKILEKLNENGKWKWLLHYRESKNLKE DVRVDSIAHKKNLILKSYQSVNIIDLLHYHNCDSPSSTKAEIFKCLLNLQIQHISARFAV FLTDKPTVSTEIFENNGILVTDVNYFTENIQKIAAPFRSSYW >ENSMUSP00000022172.4 pep:known chromosome:GRCm38:13:96480689:96542485:-1 gene:ENSMUSG00000021668.14 transcript:ENSMUST00000022172.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polk description:polymerase (DNA directed), kappa [Source:MGI Symbol;Acc:MGI:1349767] MDNTKEKDNFKDDLLLRMGLNDNKAGMEGLDKEKINKIIMEATKGSRFYGNELKKEKQVN QRIENMMQQKAQITSQQLRKAQLQVDKFAMELERNRNLNNTIVHVDMDAFYAAVEMRDNP ELKDKPIAVGSMSMLATSNYHARRFGVRAAMPGFIAKRLCPQLIIVPPNFDKYRAVSKEV KEILAEYDPNFMAMSLDEAYLNITQHLQERQDWPEDKRRYFIKMGNYLKIDTPRQEANEL TEYERSISPLLFEDSPPDLQPQGSPFQLNSEEQNNPQIAQNSVVFGTSAEEVVKEIRFRI EQKTTLTASAGIAPNTMLAKVCSDKNKPNGQYQILPSRSAVMDFIKDLPIRKVSGIGKVT EKMLMALGIVTCTELYQQRALLSLLFSETSWHYFLHIALGLGSTDLARDGERKSMSVERT FSEISKTEEQYSLCQELCAELAHDLQKEGLKGRTVTIKLKNVNFEVKTRASTVPAAISTA EEIFAIAKELLRTEVNVGSPHPLRLRLMGVRMSTFSSEDDRKHQQRSIIGFLQAGNQALS STGDSLDKTDKTELAKPLEMSHKKSFFDKKRSERISNCQDTSRCKTAGQQALQILEPSQA LKKLSESFETSENSNDCQTFICPVCFREQEGVSLEAFNEHVDECLDGPSTSENSKISCYS HASSADIGQKEDVHPSIPLCEKRGHENGEITLVDGVDLTGTEDRSLKAARMDTLENNRSK EECPDIPDKSCPISLENETISTLSRQDSVQPCTDEVVTGRALVCPVCNLEQETSDLTLFN IHVDICLNKGIIQELRNSEGNSVKQPKESSRSTDRLQKASGRTKRPGTKTKSSTLKKTKP RDPRHTLDGFFK >ENSMUSP00000088950.3 pep:known chromosome:GRCm38:13:96482170:96519160:-1 gene:ENSMUSG00000021668.14 transcript:ENSMUST00000091387.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polk description:polymerase (DNA directed), kappa [Source:MGI Symbol;Acc:MGI:1349767] MDNTKEKDNFKDDLLLRMGLNDNKAGMEGLDKEKINKIIMEATKGSRFYGNELKKEKQVN QRIENMMQQKAQITSQQLRKAQLQVDKFAMELERNRNLNNTIVHVDMDAFYAAVEMRDNP ELKDKPIAVGSMSMLATSNYHARRFGVRAAMPGFIAKRLCPQLIIVPPNFDKYRAVSKEV KEILAEYDPNFMAMSLDEAYLNITQHLQERQDWPEDKRRYFIKMGNYLKIAMMTLDLAAS NLNLLRPAKPPGIAPNTMLAKVCSDKNKPNGQYQILPSRSAVMDFIKDLPIRKVSGIGKV TEKMLMALGIVTCTELYQQRALLSLLFSETSWHYFLHIALGLGSTDLARDGERKSMSVER TFSEISKTEEQYSLCQELCAELAHDLQKEGLKGRTVTIKLKNVNFEVKTRASTVPAAIST AEEIFAIAKELLRTEVNVGSPHPLRLRLMGVRMSTFSSEDDRKHQQRSIIGFLQAGNQAL SSTGDSLDKTDKTELAKPLEMSHKKSFFDKKRSERISNCQDTSRCKTAGQQALQILEPSQ ALKKLSESFETSENSNDCQTFICPVCFREQEGVSLEAFNEHVDECLDGPSTSENSKISCY SHASSADIGQKEDVHPSIPLCEKRGHENGEITLVDGVDLTGTEDRSLKAARMDTLENNRS KEECPDIPDKSCPISLENETISTLSRQDSVQPCTDEVVTGRALVCPVCNLEQETSDLTLF NIHVDICLNKGIIQELRNSEGNSVKQPKESSRSTDRLQKASGRTKRPGTKTKSSTLKKTK PRDPRHTLDGFFK >ENSMUSP00000136437.1 pep:known chromosome:GRCm38:12:87644246:87644467:-1 gene:ENSMUSG00000093844.1 transcript:ENSMUST00000180181.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16381 description:predicted gene 16381 [Source:MGI Symbol;Acc:MGI:3648256] MIEVVCNDRLGKKVRVKCNTDDTIGDLKKLIAAQTGTRWNKIILKKWYTIYKDHVSLGDY EIHDGMNLELYYQ >ENSMUSP00000076281.3 pep:known chromosome:GRCm38:9:19712829:19713758:1 gene:ENSMUSG00000094678.1 transcript:ENSMUST00000077023.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr857 description:olfactory receptor 857 [Source:MGI Symbol;Acc:MGI:3030691] MEPYNLTGTLEFILLGLSEDPELQLILFALFLLIYMLTVLGNVLIILAISSDSHLHSPMY FFLYNLSLSDMGFSSTTIPKMLINLHAHNRSITYAECLTQVSFFFLFGGMDSLLLAVMAY DRWVAICHPLYYQVILSPCLCRCLVIVSLFISLVSSQVHCLLVSQLTFCINVEIPHFFCD VPELVKLACSNTTISDIVIFLLGIILGFLPASGIFYSYYKITSSIFRVPSLLGKYKAFST CGSHLSVVCLFYGTGIGVYLSSTVSSSSRESMVASVMYTMVVPMMNPFIYSLRNRDIKKA LWKIVRQIT >ENSMUSP00000105740.1 pep:known chromosome:GRCm38:12:98433994:98577940:-1 gene:ENSMUSG00000033854.9 transcript:ENSMUST00000110113.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk10 description:potassium channel, subfamily K, member 10 [Source:MGI Symbol;Acc:MGI:1919508] MYFSYIGYFFLPPLVAVPAAAPPVCQPKSATNGHHPVPRLSISSRATVVARMEGASQGGL QTVMKWKTVVAIFVVVVVYLVTGGLVFRALEQPFESSQKNTIALEKAEFLRDHICVSPQE LETLIQHALDADNAGVSPVGNSSNSSSHWDLGSAFFFAGTVITTIGYGNIAPSTEGGKIF CILYAIFGIPLFGFLLAGIGDQLGTIFGKSIARVEKVFRKKQVSQTKIRVISTILFILAG CIVFVTIPAVIFKYIEGWTALESIYFVVVTLTTVGFGDFVAGGNAGINYREWYKPLVWFW ILVGLAYFAAVLSMIGDWLRVLSKKTKEEVGEIKAHAAEWKANVTAEFRETRRRLSVEIH DKLQRAATIRSMERRRLGLDQRAHSLDMLSPEKRSVFAALDTGRFKASSQESINNRPNNL RLKGPEQLTKHGQGASEDNIINKFGSTSKLTKRKNKDLKKTLPEDVQKIYKTFRNYSLDE EKKEDETEKMCNSDNSSTAMLTECIQQQAEMENGMVPTDTKDQGLENNSLLEDRN >ENSMUSP00000034411.8 pep:known chromosome:GRCm38:9:15260352:15279867:-1 gene:ENSMUSG00000031935.8 transcript:ENSMUST00000034411.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med17 description:mediator complex subunit 17 [Source:MGI Symbol;Acc:MGI:2182585] MSGVRAVRISIESACEKQVQEVGLDGTETYLQPLSMSQNLARLAQRIDFSQGSGSEEEEA AGPDGDAPDWGGAGADQDDEEGLVKFQPSLWPWDSVRNNLRSALTEMCVLYDVLSIVRDK KFMTLDPVSQDALPPKQSPQTLQLISKKKSLAGAAQILLKGAERLTKSVAENQENKLQRD FNSELLRLRQHWKLRKVGDKILGDLSYRSAGSLFPHHGTFEVIKNTDIDLDKKIPEDYCP LDVQIPSDLEGSAYIKVSIQKQAPDIGDLGTVNLFKRPLPKSKPGSPHWQTKLEAAQNVL LCKEIFAQLSREAVQIKSQIPHIVVKNQIISQPFPSLQLSISLCHSSDDKKSQKCAAEKP GQEDHLYVLEHNLHLLIREFHKQTLSSIVMPHPASAPFGHKRMRLSGPQAFDKNEINSIQ STEGLLEKIIKQAKHIFLRSRTAATIDSLASRIEDPQIQAHWSNINDVYESSVKVLITSQ GYEQICKSIQLQLNIGVEQVRVVHRDGRVIMLSHQEQELQDFLLSQMSQHQVHAVQQLAK VMGWQVLSFSNHVGLGPIESIGNASAITVASPSGDYAISVRNGPESGSKIMVQFPRNQCK DLPKSDVLQDSKWSHLRGPFKEVQWNKMEGRNFVYKMELLMSALSPCLL >ENSMUSP00000025106.3 pep:known chromosome:GRCm38:18:31789159:31796642:1 gene:ENSMUSG00000024258.3 transcript:ENSMUST00000025106.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr2d description:polymerase (RNA) II (DNA directed) polypeptide D [Source:MGI Symbol;Acc:MGI:1916491] MAAGGSDPRAGDVEEDASQLIFPKEFETAETLLNSEVHMLLEHRKQQNESAEDEQELSEV FMKTLNYTARFSRFKNRETIASVRSLLLQKKLHKFELACLANLCPETAEESKALIPSLEG RFEDEELQQILDDIQTKRSFQY >ENSMUSP00000078595.1 pep:known chromosome:GRCm38:9:39217359:39218294:1 gene:ENSMUSG00000096555.1 transcript:ENSMUST00000079650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr944 description:olfactory receptor 944 [Source:MGI Symbol;Acc:MGI:3030778] MAAGNHCTVTEFFLVGLSEKPEFQLPLFLLFLGIYLITVTGNVGMITLIGLSSHLHTPMY FFVRSLSFIDFCQSTVVIPKMLMSFLTEKNIISYSGCMVQLYFFFIFGIAECYTLAAMAY DRYVAICNPLLYNVTMSYQIYNSLISGSYIFAVVCSSLITGFMFRIQFCNLDVINHYFCD LLPLLNLASSNIYINEILILVIATLNVFIPVMTIITSYIFIIATILYIHSSEGKFKGFST CSTHISAVAIFYGSGAFTYLQPSLLNSMGQAKVSSVFCTTVVPMLNPFIYSLRNKDVSFA LKKIFERKKFM >ENSMUSP00000051312.2 pep:known chromosome:GRCm38:13:94355839:94358818:-1 gene:ENSMUSG00000042857.2 transcript:ENSMUST00000059598.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9776 description:predicted gene 9776 [Source:MGI Symbol;Acc:MGI:3641792] MRPLDAFWWRRSQILQGSLLLFCCPLIAPRWLLKRGAQVGRTWLQRPQALSPRGWLPPLS QPEWPDVLDVKAPPSEEAFRICAEAIIRLQALPKFFLGPEVLT >ENSMUSP00000111801.3 pep:known chromosome:GRCm38:8:13895816:13896613:1 gene:ENSMUSG00000068631.7 transcript:ENSMUST00000084055.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7676 description:predicted gene 7676 [Source:MGI Symbol;Acc:MGI:3644053] QNYMHFSFLLKTSWLQGGNNFKSQEMPKEQQEVVLLGSPHISTSATATTINMPEISTPDH VIWSLFNTLFMNFCCLGFIAYAYSVKSKDRKMVGDTTGAQAFASTARCLNISSLFFTILM AIVVIV >ENSMUSP00000034713.7 pep:known chromosome:GRCm38:9:21723576:21749916:1 gene:ENSMUSG00000032193.8 transcript:ENSMUST00000034713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldlr description:low density lipoprotein receptor [Source:MGI Symbol;Acc:MGI:96765] MSTADLMRRWVIALLLAAAGVAAEDSCSRNEFQCRDGKCIASKWVCDGSPECPDGSDESP ETCMSVTCQSNQFSCGGRVSRCIPDSWRCDGQVDCENDSDEQGCPPKTCSQDDFRCQDGK CISPQFVCDGDRDCLDGSDEAHCQATTCGPAHFRCNSSICIPSLWACDGDVDCVDGSDEW PQNCQGRDTASKGVSSPCSSLEFHCGSSECIHRSWVCDGEADCKDKSDEEHCAVATCRPD EFQCADGSCIHGSRQCDREHDCKDMSDELGCVNVTQCDGPNKFKCHSGECISLDKVCDSA RDCQDWSDEPIKECKTNECLDNNGGCSHICKDLKIGSECLCPSGFRLVDLHRCEDIDECQ EPDTCSQLCVNLEGSYKCECQAGFHMDPHTRVCKAVGSIGYLLFTNRHEVRKMTLDRSEY TSLLPNLKNVVALDTEVTNNRIYWSDLSQKKIYSALMDQAPNLSYDTIISEDLHAPDGLA VDWIHRNIYWTDSVPGSVSVADTKGVKRRTLFQEAGSRPRAIVVDPVHGFMYWTDWGTPA KIKKGGLNGVDIHSLVTENIQWPNGITLDLSSGRLYWVDSKLHSISSIDVNGGNRKTILE DENRLAHPFSLAIYEDKVYWTDVINEAIFSANRLTGSDVNLVAENLLSPEDIVLFHKVTQ PRGVNWCETTALLPNGGCQYLCLPAPQIGPHSPKFTCACPDGMLLAKDMRSCLTEVDTVL TTQGTSAVRPVVTASATRPPKHSEDLSAPSTPRQPVDTPGLSTVASVTVSHQVQGDMAGR GNEEQPHGMRFLSIFFPIALVALLVLGAVLLWRNWRLKNINSINFDNPVYQKTTEDELHI CRSQDGYTYPSRQMVSLEDDVA >ENSMUSP00000137272.1 pep:known chromosome:GRCm38:13:120026439:120027011:-1 gene:ENSMUSG00000095029.1 transcript:ENSMUST00000177659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21370 description:predicted gene, 21370 [Source:MGI Symbol;Acc:MGI:5434725] MESNFWSVQVKQAWDSVPLVQEAQPPLLPSFSEDRGPWPLPFYPVLGEISSDDGGDYQEQ PLPVWMNDFAGTYPRTDSCKIQSTSEPSIPESVSAIHEEQPEKPTTLGTWMEAVEESFRV AMQPWSCSTALHRGNDAKDTETCGRSSSTECEQPSESGEVDSGLCQDAWRAFIACLFCGL LPPDSGSSEP >ENSMUSP00000047904.9 pep:known chromosome:GRCm38:9:118064529:118150196:-1 gene:ENSMUSG00000039163.9 transcript:ENSMUST00000044220.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmc1 description:COX assembly mitochondrial protein 1 [Source:MGI Symbol;Acc:MGI:1915149] MALDPAEQHLRHVEKDVLIPKIIREKARERCSEQVEDFTRCCKDSGILMVLKCRKENSAL KDCLTAYYNDPAFYEECKLEYLKEREEFRKTGVPTKKRLQKLPTNM >ENSMUSP00000141513.1 pep:known chromosome:GRCm38:3:93288095:93288859:1 gene:ENSMUSG00000102829.1 transcript:ENSMUST00000179250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg description:filaggrin [Source:MGI Symbol;Acc:MGI:95553] QHGSGYYYEQEHSEEESDSQHQHSHQHEQQRGHQHQHQHQHEHEQPESGHRQQQSSGRGN QGAHQEQGRDSARSRGSNQGHSSSRHQADSPRVSARSGSGGRGQSPDASGRSSNRRDRPR QPSPSQSSDSHVHSGVQVEGRRGQSSSANRRAGSSSGSGVQGASAGGLAADASRRSGARQ GQASAQGRAGSQGQAQGRVGSSADRQGRRGVSESQASDSEGHSDFSEGQAVGAHRQSGAG QRHEQRSSRGQHGSG >ENSMUSP00000130417.1 pep:known chromosome:GRCm38:17:24473967:24475387:1 gene:ENSMUSG00000045744.10 transcript:ENSMUST00000164508.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bricd5 description:BRICHOS domain containing 5 [Source:MGI Symbol;Acc:MGI:2441766] MYSSPKPLLQMLRKTFPSSRVPWPNQTTLVDVAQNMATIVVTPFQSNHSWAVLFDGQNGY ICYRPAEHQACFLRLMEAQDWETLQLLLNTSRAQESHVPGRDTHYAQELLAVLGGHTVDP TQVGVSVQHLCADTPIYWTQWAEGPQRQRLIYLCIDICFPSNICVSVCFYYLPD >ENSMUSP00000059792.3 pep:known chromosome:GRCm38:17:24473884:24475469:1 gene:ENSMUSG00000045744.10 transcript:ENSMUST00000054946.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bricd5 description:BRICHOS domain containing 5 [Source:MGI Symbol;Acc:MGI:2441766] MYSSPKPLLQMLRKTFPSSRVPWPNQTTLVDVAQNMATIVVTPFQSNHSWAVLFDGQNGY ICYRPAEHQACFLRLMEAQDWETLQLLLNTSRAQESHVPGRDTHYAQELLAVLGGHTVDP TQVGVSVQHLCADTPIYWTQWAEGPQRQRLIYLCIDICFPSNICVSVCFYYLPD >ENSMUSP00000097442.4 pep:known chromosome:GRCm38:13:12186542:12258113:-1 gene:ENSMUSG00000021311.8 transcript:ENSMUST00000099856.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtr description:5-methyltetrahydrofolate-homocysteine methyltransferase [Source:MGI Symbol;Acc:MGI:894292] MKKTLQDEIEAILRKRIMVLDGGMGTMIQRYKLSEEHFQGQEFKDHSRPLKGNNDILSIT QPDIIYQIHKEYLLAGADIIETNTFSSTSIAQADYGLEHLAYRMNKCSADVARKAAEEIT LQTGVKRFVAGALGPTNKTLSVSPSVERPDYRNITFDELVDAYQEQAKGLLDGRVDILLI ETIFDTANAKAALFAIQNLFEENYAPPRPIFISGTIVDKSGRTLSGQTGEAFVTSVSHSD PLCIGLNCSLGAAEMRPFIETIGKCTTAYVLCYPNAGLPNTFGDYDETPSTMATHLKDFA VDGLVNIVGGCCGSTPDHIREIAEAVKKCKPRVPPASVFEGHMLLSGLEPFRIGPYTNFV NIGERCNVAGSRKFAKLIMAGNYEEALSIAKAQVEMGAQVLDINMDDGMLDGPSAMTRFC NSIASEPDIAKVPLCIDSSNFAVIEAGLKCCQGKCIVNSISLKEGEGDFLEKARKIKKFG AAVVVMAFDEEGQATETDVKVNVCTRAYHLLVDKVGFNPNDIIFDPNILTIGTGMEEHNL YAINFIHATRVIKETLPGVRISGGLSNLSFSFRGMEAIREAMHGVFLYHAIKFGMDMGIV NAGNLPVYDAIHKDLLQLCEDLIWNKDSEATEKLLRYAQTHGTGGKKVIQTDEWRNGSIE ERLEYALVKGIEKHIVEDTEEARLNGEKYPRPLNIIEGPLMNGMKVVGDLFGAGKMFLPQ VIKSARVMKKAVGHLIPFMEKEREEARLINGSVEEEDPYQGTIVLATVKGDVHDIGKNIV GVVLACNNFRVIDLGVMTPCDKILQAALDHKADIIGLSGLITPSLDEMIFVAKEMERLAI KIPLLIGGATTSRTHTAVKIAPRYSAPVIHVLDASKSVVVCSQLLDENLRDDYFEEILEE YEDIRQDHYESLKERKYVPLSQARKHGFHIDWLSEPHPVKPTFIGTQVFEDYNLQKLVDY IDWKPFFDVWQLRGKYPNRGFPKIFNDKAVGEEARKVYNDAQNMLNILISQKKLQARGVV GFWPAQSVQDDIHLYAEGVVPQAAEPIATFYGLRQQAEKDSSSTDPYHCLSDFIAPLHSG VCDYLGLFAVACFGVEELSKTYEDDGDDYSSIMVKALGDRLAEAFAEELHERVRRELWAY SRSEQLGVPDLRRLRYEGIRPAPGYPSQPDHTEKLTMWRLASIEQATGIRLTESLAMAPA SAVSGLYFSNVKAKYFAVGKISKDQTEDYALRKNMPVAEVEKWLGPILGYDTD >ENSMUSP00000137778.1 pep:known chromosome:GRCm38:9:75441122:75441652:-1 gene:ENSMUSG00000097298.1 transcript:ENSMUST00000181896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A130057D12Rik description:RIKEN cDNA A130057D12 gene [Source:MGI Symbol;Acc:MGI:3642847] PPVRRTFALGLALSFAPEEVLHVRHSSLVRGLGLLGSSLLYGQTRPNTTCRKEPHKDLLY FCDLVFSSTRLAPPPPPPPPPRCLRSPATPLARSHAVRSLGCGSDLFTTCQLRPGFLKIV EGGCGRSALGRQISVNTRPGSSTKRVPASQPAYVYIRRSCGRRIRNKSLRALAWSS >ENSMUSP00000136413.1 pep:known chromosome:GRCm38:9:119901616:120068283:-1 gene:ENSMUSG00000052336.6 transcript:ENSMUST00000177637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cx3cr1 description:chemokine (C-X3-C motif) receptor 1 [Source:MGI Symbol;Acc:MGI:1333815] MSTSFPELDLENFEYDDSAEACYLGDIVAFGTIFLSVFYALVFTFGLVGNLLVVLALTNS RKPKSITDIYLLNLALSDLLFVATLPFWTHYLISHEGLHNAMCKLTTAFFFIGFFGGIFF ITVISIDRYLAIVLAANSMNNRTVQHGVTISLGVWAAAILVASPQFMFTKRKDNECLGDY PEVLQEMWPVLRNSEVNILGFALPLLIMSFCYFRIIQTLFSCKNRKKARAVRLILLVVFA FFLFWTPYNIMIFLETLKFYNFFPSCDMKRDLRLALSVTETVAFSHCCLNPFIYAFAGEK FRRYLGHLYRKCLAVLCGHPVHTGFSPESQRSRQDSILSSFTHYTSEGDGSLLL >ENSMUSP00000063986.3 pep:known chromosome:GRCm38:9:120048693:120068282:-1 gene:ENSMUSG00000052336.6 transcript:ENSMUST00000064165.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cx3cr1 description:chemokine (C-X3-C motif) receptor 1 [Source:MGI Symbol;Acc:MGI:1333815] MSTSFPELDLENFEYDDSAEACYLGDIVAFGTIFLSVFYALVFTFGLVGNLLVVLALTNS RKPKSITDIYLLNLALSDLLFVATLPFWTHYLISHEGLHNAMCKLTTAFFFIGFFGGIFF ITVISIDRYLAIVLAANSMNNRTVQHGVTISLGVWAAAILVASPQFMFTKRKDNECLGDY PEVLQEMWPVLRNSEVNILGFALPLLIMSFCYFRIIQTLFSCKNRKKARAVRLILLVVFA FFLFWTPYNIMIFLETLKFYNFFPSCDMKRDLRLALSVTETVAFSHCCLNPFIYAFAGEK FRRYLGHLYRKCLAVLCGHPVHTGFSPESQRSRQDSILSSFTHYTSEGDGSLLL >ENSMUSP00000131611.1 pep:known chromosome:GRCm38:12:4592826:4592927:-1 gene:ENSMUSG00000091619.1 transcript:ENSMUST00000170816.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3625 description:predicted gene 3625 [Source:MGI Symbol;Acc:MGI:3781801] GSVTLRGAPPRPRNRGRRGPKCFSSRQVPKLRG >ENSMUSP00000087955.6 pep:known chromosome:GRCm38:14:20649102:20656540:-1 gene:ENSMUSG00000068697.6 transcript:ENSMUST00000090469.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myoz1 description:myozenin 1 [Source:MGI Symbol;Acc:MGI:1929471] MPLSGTPAPNKRRKSSKLIMELTGGGRESSGLNLGKKISVPRDVMLEELSLLTNRGSKMF KLRQMRVEKFIYENHPDVFSDSSMDHFQKFLPTVGGQLETAGQGFSYGKGSSGGQAGSSG SAGQYGSDRHQQGSGFGAGGSGGPGGQAGGGGAPGTVGLGEPGSGDQAGGDGKHVTVFKT YISPWDRAMGVDPQQKVELGIDLLAYGAKAELPKYKSFNRTAMPYGGYEKASKRMTFQMP KFDLGPLLSEPLVLYNQNLSNRPSFNRTPIPWLSSGEHVDYNVDVGIPLDGETEEL >ENSMUSP00000097867.2 pep:known chromosome:GRCm38:14:122490586:122492121:1 gene:ENSMUSG00000075465.2 transcript:ENSMUST00000100294.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10837 description:predicted gene 10837 [Source:MGI Symbol;Acc:MGI:3642026] MLPRRARLARGHLNCPLTGGIREPKGSGSAHLSRAAQRGRGLEEVGARLEVGGAGARLPA ARGVQPSLPAPPGGLRAPSSPYRASQLPDPRDRGASNSHQLLRTKQWPRLGRPTQGPRLG RLGLLSAIGAWKWRLVEGWSDSLRA >ENSMUSP00000127325.1 pep:known chromosome:GRCm38:8:23172946:23208453:-1 gene:ENSMUSG00000031545.5 transcript:ENSMUST00000167004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpat4 description:glycerol-3-phosphate acyltransferase 4 [Source:MGI Symbol;Acc:MGI:2142716] MFLLLPFDSLIVNLLGISLTVLFTLLLVFIIVPAIFGVSFGIRKLYMKTLLKIFAWATLR MERGAKERNHQLYKPYTNGIIAKDPTSLEEEIKEIRRSGSSKALDKTPEFELSDIFYFCR KGMETIMDDEVTKRFSAEELESWNLLSRTNYNFQYISLRLTILWGLGVLIRYCFLLPLRI ALAFTGIGLLVVGTTMVGYLPNGRFKEFLSKHVHLMCYRICVRALTAIITYHNRKNRPRN GGICVANHTSPIDVIILASDGYYAMVGQVHGGLMGVIQRAMVKACPHVWFERSEVKDRHL VAKRLTEHVQDKSKLPILIFPEGTCINNTSVMMFKKGSFEIGATVYPVAIKYDPQFGDAF WNSSKYGMVTYLLRMMTSWAIVCSVWYLPPMTREKDEDAVQFANRVKSAIARQGGLVDLL WDGGLKREKVKDTFKEEQQKLYSKMIVGNHEDRSRS >ENSMUSP00000093741.3 pep:known chromosome:GRCm38:14:32659119:32685272:-1 gene:ENSMUSG00000071540.3 transcript:ENSMUST00000096038.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3425401B19Rik description:RIKEN cDNA 3425401B19 gene [Source:MGI Symbol;Acc:MGI:3588196] MMQGNKKCTDGFSDTSSIGSVLDEADREVSNLTDRAFRSLCISEDTSFHDSDLALSPDVT SQVSGTFHQETVGHANRKSGIWSQLPSQGTEHSGWAATFQQQPKYVQGEEKYPKTSPLPT PVQRRLEVPISGLRSSSKPISKVSSLIRSFDRTETQSCDSRPPPSKPPALKNPPKFAHPP ESGVNFCFDSAFLTVRRVPAEVSNTHQGSHQSGRAPGEQESPKNPEIASHSSDSLLRTPD HVAGSFEPRYPSPPLKPATAEPGRGKEWIPRRTFLHSENSAFESWDTHQPKLRERKDIAE TTPESKAPKHYEDMPLLKEPYPAESKGSPYQARANCAQEENRSPSGSQSTSGAWGARDPG SQLFPVEGNASQIDPQVKRSKAPWRKPKTGKGGTDGPPDALEDKKQPNRRGLPLYSKLNP QGQLPENGVLDMPEESNDHYNPPFNISKLLTPIISTKHVLETSDTQPVEISPSPPGQLNG YQEKESSEAQSRDSYKSKAPSLLFNLKDVRKRVKSTYSPLPLLKGFDEKTRGKLDSKQEP LSNGVTLPDGLEENPPTELLPDNVPGVLHSSTQKDPAINSRESFAVSHPTFSSPSASSQT HFCVNGEAAESNSNEKEEANGESELDPSKGGGHPDCRENLPRKHLSLKLFNRESEMGPAM AEMKPHQLENGLSRSVSQETETERETGFQSLPLNQKFSPGPLSPEEEDVFYSDSQSDFTP CRQTKAKFSTSSSDQSFASFEDQQKVCFTEGPQEDRKSHVSAGDKQRDETAVEKEESQQC ASRNEHRGVDEQRQEEIQRKAQGVSGGRPRKASAEDLSARGSWMGADKDTAHTHAKDPTP LPASTNKHRLFPIKDNTLRATPVIKPIILPLLRTVSSEDSLSSGHQENELPKQLWGEDAG GLSASESQEMPNTPLSNNDVPGTQHRCMVCEDVQEDPVHTAAQDETSQQTRKGSFSFLPP VEEENRMKPSPDTAGEGLAQEKSKSADLGKLGVPQRIPTIALLPDDLEDSPPSLPQHTYW EEQGFKGHFLSAPRAGPSGRRLVPSEAETSPNPSSLGESSTCSPAASSIWEEASQAAGEH WQRQEPPGPNPWASPGPTGLTRREDMTHGLTWEAEGSDPSDFRALSPRGILLADAAEKPE PPALLEKAAGKPPAVPPKTEKALRRAKKLASKRRKSDQLLEKHTEAWEGKSFTEDTQGTE RRPVSPGKGPRPRFPAIRSLPPPTHRHSVSCGWEPTGRRPWGPQSLTPLPPYPATQKVLQ DPQSGQYFVFDVPLQVKIKTFYDPETGKYVKVSVPSSEEASSEPPLQDALAAPYLLYPGF RPVPVTSVMPLRCSSQLAAPTFLRQGSGHRPQSSQGSRLQPPPERLGESTQHASGQCPRG PSHSPEKESAEAPRLSIISTDDLEDFATEGVS >ENSMUSP00000060331.5 pep:known chromosome:GRCm38:9:21955753:21958270:1 gene:ENSMUSG00000051238.5 transcript:ENSMUST00000053583.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Swsap1 description:SWIM type zinc finger 7 associated protein 1 [Source:MGI Symbol;Acc:MGI:1914212] MAEALRRVLNAGCAARPGEDGAAGPPLLLLGAPRSAQTSLLFAAALEAAGEGRGSVLFVT RRPLQSLPLSTPTAREHWRLQKVRFQYPSSIQELLQLLASAHEAPAPTPSLLLLDGLEEY LAEDPSAQEAAYLAALLLDTAAFFSHRLGANGSCGLVVALETQKEAEADAPHLPLLKRYF PAQCWLQPDALGLGQHCCLRASLELGKLSPRTEWSVSFLPCGEMKVTPWLAQASKLSPEK KDSSAGSQSLTLGCDNLPGPGSPLDGILTSETGADSKT >ENSMUSP00000096769.2 pep:known chromosome:GRCm38:13:112598333:112652310:-1 gene:ENSMUSG00000021758.13 transcript:ENSMUST00000099166.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx4 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 4 [Source:MGI Symbol;Acc:MGI:102670] MGDEDWEAEILKPHVSSYVPVFEKDKYSSGANGDTFNRTSASSEMEDGPSGRDDFMRSGF PSGRSLGSRDIGESSKKENTSTTGGFGRGKGFGNRGFLNNKFEEGDSSGFWKESNNDCED NQTRSRGFSKRGGCQDGNDSEASGPFRRGGRGSFRGCRGGFGLGRPNSESDQDQGTQRGG GLFGSRKPAASDSGNGDTYQSRSGSGRGGYKGLNEEVVTGSGKNSWKSETEGGESSDSQG PKVTYIPPPPPEDEDSIFAHYQTGINFDKYDTILVEVSGHDAPPAILTFEEANLCQTLNN NIAKAGYTKLTPVQKYSIPIVLAGRDLMACAQTGSGKTAAFLLPILAHMMRDGITASRFK ELQEPECIIVAPTRELINQIYLEARKFSFGTCVRAVVIYGGTQFGHSVRQIVQGCNILCA TPGRLMDIIGKEKIGLKQVKYLVLDEADRMLDMGFGPEMKKLISCPGMPSKEQRQTLLFS ATFPEEIQRLAGDFLKSSYLFVAVGQVGGACRDVQQTILQVGQYSKREKLVEILRNIGDE RTMVFVETKKKADFIATFLCQEKISTTSIHGDREQREREQALGDFRCGKCPVLVATSVAA RGLDIENVQHVINFDLPSTIDEYVHRIGRTGRCGNTGRAISFFDTDSDNHLAQPLVKVLS DAQQDVPAWLEEIAFSTYVPPSFSSSTRGGAVFASVDTRKNYQGKHTLNTAGISSSQAPN PVDDESWD >ENSMUSP00000075157.5 pep:known chromosome:GRCm38:13:112598333:112652310:-1 gene:ENSMUSG00000021758.13 transcript:ENSMUST00000075748.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx4 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 4 [Source:MGI Symbol;Acc:MGI:102670] MGDEDWEAEILKPHVSSYVPVFEKDKYSSGANGDTFNRTSASSDIGESSKKENTSTTGGF GRGKGFGNRGFLNNKFEEGDSSGFWKESNNDCEDNQTRSRGFSKRGGCQDGNDSEASGPF RRGGRGSFRGCRGGFGLGRPNSESDQDQGTQRGGGLFGSRKPAASDSGNGDTYQSRSGSG RGGYKGLNEEVVTGSGKNSWKSETEGGESSDSQGPKVTYIPPPPPEDEDSIFAHYQTGIN FDKYDTILVEVSGHDAPPAILTFEEANLCQTLNNNIAKAGYTKLTPVQKYSIPIVLAGRD LMACAQTGSGKTAAFLLPILAHMMRDGITASRFKELQEPECIIVAPTRELINQIYLEARK FSFGTCVRAVVIYGGTQFGHSVRQIVQGCNILCATPGRLMDIIGKEKIGLKQVKYLVLDE ADRMLDMGFGPEMKKLISCPGMPSKEQRQTLLFSATFPEEIQRLAGDFLKSSYLFVAVGQ VGGACRDVQQTILQVGQYSKREKLVEILRNIGDERTMVFVETKKKADFIATFLCQEKIST TSIHGDREQREREQALGDFRCGKCPVLVATSVAARGLDIENVQHVINFDLPSTIDEYVHR IGRTGRCGNTGRAISFFDTDSDNHLAQPLVKVLSDAQQDVPAWLEEIAFSTYVPPSFSSS TRGGAVFASVDTRKNYQGKHTLNTAGISSSQAPNPVDDESWD >ENSMUSP00000062073.5 pep:known chromosome:GRCm38:9:38827615:38828682:1 gene:ENSMUSG00000044798.5 transcript:ENSMUST00000051238.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr923 description:olfactory receptor 923 [Source:MGI Symbol;Acc:MGI:3030757] MKIYRRMTHGNYSLVTEFILEGLTNRPELQMPLFFLFLGIYVVTIVANLGLITLISLNTH LHTPMYYFLFNLSFVDICYSSVFTPKMLINFVVEKNTISYTGCLTQLYFFCFFVITECYL LTAMAYDRYVAICKPLLYNVILSPRICAVFVFGAYVMGCWGSLAHTLCMARLTFCDANLV NHYLCDILPVLQLSCTSTYNNEVVVFVLVGMNIVVSTSTTFISYGFIIANILRISSTQGR AKAFNTCSSHIMTVSLFFGAAAFMYMQPSDVESMDKGKVASVFYTNVGPMLNPLIYSLRN KDVKVALKKTLKRKLFS >ENSMUSP00000075102.2 pep:known chromosome:GRCm38:9:38975651:38976653:1 gene:ENSMUSG00000058515.2 transcript:ENSMUST00000075680.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr933 description:olfactory receptor 933 [Source:MGI Symbol;Acc:MGI:3030767] MGTGNHSVTVVFVLVGLTQQPELLLPLFILFLGIYVVTAVGNLGMILLITVSPLLHTPMY YFLSSLSCVDLCYSTVITPKMLVNFLGKKNLIVYSECMAQLFFFVIFVVAEGYLLTAMAY DRYVAICRPLLYNVIMSSRLCSLLVLVAFILGFVSALAHTSAMMNLSFCKSHVISHYFCD VLPLLNLSCSDIKLNELLLFIIAGFNTLVPTLAVAISYVFIFCSILHIKSSKGRSKAFGT CSSHLMAVGIFFGSITFMYFKPPSSNSLEQEKVSSVFYTTVIPMLNPLIYSLRNKDVKKA LGKCLAGR >ENSMUSP00000107548.1 pep:known chromosome:GRCm38:14:34237041:34310503:-1 gene:ENSMUSG00000041471.8 transcript:ENSMUST00000111917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam35a description:family with sequence similarity 35, member A [Source:MGI Symbol;Acc:MGI:1922948] MSQGSQVHIFLGAPVAPLKTTVSQGTASLMSTANAWEKVRLFYKQHSLYLKAGDQEFKNL EDCQVPKGLGPPGLLSGDVLTTSVRRSAQVDEDFKHSASEAQSVKSQVNLSDMTSGQMCG LGDGVQHLPEEEKDQKLQCKNKKITDEQSKNQSDPCVRNFQRDLFALDLKCAAKLDLGCC TEQMSTGTKPEPTGHRERQSQESFSDTRCEPQSEGAVRKASDQRLSAEAEFLSVLTSSQR AFLAQGNDKGQDCINKSTVNMEAEPTGSQGVRRTEGDFSKPGGDFEEESENEQSQVYSLE LFSPVCPESESSHSHINPGKNLENTSSQELFSNEENLPPNELCSSHPSTANRSWSCKDDS HHSKALSEVHQVSKKPRMDSNIREAAKAVPQRVMSELKDSKKISLIKNCDSKNQKYNCLV MVLTPCHVKEITIKSGPNSGSKVPLATIVVIDQSEIKKRVVLWRTAAFGALTVFLGDIIL LTDVVLYEDQWIGETVLQSTFTSQLLNLGSYSYVQPEKYSNVIANVILQDLLTYVSTKHS YLKDLPQRQPQKMNTVEFVELEQLQPDILVHAVLRVVDVTVLTEALYSYRGQKQRKVVLT VEQAQGQHYVLVLWGPGAAWYTQLQRKKDSIWEFKYLFVQRNSILENLELHTTLWSSCEC LFDDDTRAISFKTKFQKNTSSFVKISDLATHLEDKYSGVVLIKAKVSELVFSAAAAQKIA LNARSTLQSIFSSLPSIVYAGCAHCGSELETDENRIYRQCLSCLPFVGKKIFYRPALMTI VDGRYNTCVHVGSKMMEQILLNISPDCLNRVIVPSSEVTYGMVASDLLHSLLAVSAEPCV LKIQSLFELDENSYPLQQDFSLLDFCPDSRKLWSPGLSLRAEGTGGIPGKE >ENSMUSP00000022906.7 pep:known chromosome:GRCm38:15:39006333:39038186:1 gene:ENSMUSG00000022297.14 transcript:ENSMUST00000022906.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd6 description:frizzled class receptor 6 [Source:MGI Symbol;Acc:MGI:108474] MERSPFLLACILLPLVRGHSLFTCEPITVPRCMKMTYNMTFFPNLMGHYDQGIAAVEMGH FLHLANLECSPNIEMFLCQAFIPTCTEQIHVVLPCRKLCEKIVSDCKKLMDTFGIRWPEE LECNRLPHCDDTVPVTSHPHTELSGPQKKSDQVPRDIGFWCPKHLRTSGDQGYRFLGIEQ CAPPCPNMYFKSDELDFAKSFIGIVSIFCLCATLFTFLTFLIDVRRFRYPERPIIYYSVC YSIVSLMYFVGFLLGNSTACNKADEKLELGDTVVLGSKNKACSVVFMFLYFFTMAGTVWW VILTITWFLAAGRKWSCEAIEQKAVWFHAVAWGAPGFLTVMLLAMNKVEGDNISGVCFVG LYDLDASRYFVLLPLCLCVFVGLSLLLAGIISLNHVRQVIQHDGRNQEKLKKFMIRIGVF SGLYLVPLVTLLGCYVYELVNRITWEMTWFSDHCHQYRIPCPYQANPKARPELALFMIKY LMTLIVGISAVFWVGSKKTCTEWAGFFKRNRKRDPISESRRVLQESCEFFLKHNSKVKHK KKHGAPGPHRLKVISKSMGTSTGATTNHGTSAMAIADHDYLGQETSTEVHTSPEASVKEG RADRANTPSAKDRDCGESAGPSSKLSGNRNGRESRAGGLKERSNGSEGAPSEGRVSPKSS VPETGLIDCSTSQAASSPEPTSLKGSTSLPVHSASRARKEQGAGSHSDA >ENSMUSP00000136328.1 pep:known chromosome:GRCm38:15:39006280:39038186:1 gene:ENSMUSG00000022297.14 transcript:ENSMUST00000179165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd6 description:frizzled class receptor 6 [Source:MGI Symbol;Acc:MGI:108474] MERSPFLLACILLPLVRGHSLFTCEPITVPRCMKMTYNMTFFPNLMGHYDQGIAAVEMGH FLHLANLECSPNIEMFLCQAFIPTCTEQIHVVLPCRKLCEKIVSDCKKLMDTFGIRWPEE LECNRLPHCDDTVPVTSHPHTELSGPQKKSDQVPRDIGFWCPKHLRTSGDQGYRFLGIEQ CAPPCPNMYFKSDELDFAKSFIGIVSIFCLCATLFTFLTFLIDVRRFRYPERPIIYYSVC YSIVSLMYFVGFLLGNSTACNKADEKLELGDTVVLGSKNKACSVVFMFLYFFTMAGTVWW VILTITWFLAAGRKWSCEAIEQKAVWFHAVAWGAPGFLTVMLLAMNKVEGDNISGVCFVG LYDLDASRYFVLLPLCLCVFVGLSLLLAGIISLNHVRQVIQHDGRNQEKLKKFMIRIGVF SGLYLVPLVTLLGCYVYELVNRITWEMTWFSDHCHQYRIPCPYQANPKARPELALFMIKY LMTLIVGISAVFWVGSKKTCTEWAGFFKRNRKRDPISESRRVLQESCEFFLKHNSKVKHK KKHGAPGPHRLKVISKSMGTSTGATTNHGTSAMAIADHDYLGQETSTEVHTSPEASVKEG RADRANTPSAKDRDCGESAGPSSKLSGNRNGRESRAGGLKERSNGSEGAPSEGRVSPKSS VPETGLIDCSTSQAASSPEPTSLKGSTSLPVHSASRARKEQGAGSHSDA >ENSMUSP00000034568.5 pep:known chromosome:GRCm38:9:50557148:50561268:-1 gene:ENSMUSG00000032065.5 transcript:ENSMUST00000034568.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex12 description:testis expressed gene 12 [Source:MGI Symbol;Acc:MGI:1913904] MMANHLVKPDSRNCKRARELEPQVSDSPQVSSLGKSESSLSEASGLFYKEEALEKDLSDM SKEINLMLSTYAKILSERAAVDASYIDEIDGLFKEANIIENFLVQKREFLKQRFTVITNT LHK >ENSMUSP00000090291.4 pep:known chromosome:GRCm38:10:29211643:29230779:1 gene:ENSMUSG00000004360.8 transcript:ENSMUST00000092627.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9330159F19Rik description:RIKEN cDNA 9330159F19 gene [Source:MGI Symbol;Acc:MGI:3036239] MSSSSPVADTHTIATDLHEQRGSTEANRHKEKMELLDQFDKERKEWESQWKIMQKKIEEL CQEVKLQRKMNMNEYSKVIDLCRDQDKMEFPPNHLNSAQCKLRVLNYRDDPEKEKKTERN FLGEESQGSPKQNIHTETKVEFWNPLATDRKACETWAGENTSKESKDFSVALNTALEELA KVSEELCTFQEEIQKHSNHRRMKSDPVLQEMPKAIPVPPQDHLISKGQGILPTNLEKEMQ KKNLSCAYGLQSNSMNNCGIGTTGLQRGETPPTPPPRSTSRNLPSSYSEQAQERLKEHLY HRWVAHEIQDKTDNNPHFLLRQSPLFPQEGKSLKDSTMFSSWTPEVKIDRNLPGNKDTGL NLWSCDTILGTRESPSRPSQKTSFTSSGAKFEKVFPDNPTKLHLDLHVKNDFLPSVTQRD PVRIYDCNCEPTPRNEKLAAKIDEFNRTVFRTDRSCQAIQQSDIYTKSPDNLNSCDISTA QKAYLSEVDSGTSVLKASDNVSVPMENVFSDPEKIYSADLVNQTQTRESPSSYQLMLHEH DWRSSNFSSRPRSADPRSNYGVVEKLLKTYETETRSALQNSKGYRNNWTKCGSDESIAVK ASNGKGFSRPARPANRRLPSRWASRSPSAPLALRRTAHRYKVSLQTEA >ENSMUSP00000136208.1 pep:novel scaffold:GRCm38:GL456354.1:146926:149659:-1 gene:ENSMUSG00000095570.1 transcript:ENSMUST00000179972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC140325.3 MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRYIKLIKALVIAWP FHCLPVGALMRTTDLETLQAVLDGVDIRRTIGFHPRKKLQYLDLRNVHHSFWNIWTDSED SDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQTWFLKWAQERKGSLYFCC TKMKIWTLPVKALRHIFHIFDPEHIMELELNTEWTLLELTHFAPYFGQMRNLWKVFLAPL HKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLKT FSITYSLISQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGTLETLDLQ GCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPLE CYDEFAQVSVERFVRLCQDLMDTLRAIRQPKNTSFATDICHTCGERWVFDQVANLCRCWQ >ENSMUSP00000130546.2 pep:known chromosome:GRCm38:9:17030045:17030899:1 gene:ENSMUSG00000090602.2 transcript:ENSMUST00000164523.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5611 description:predicted gene 5611 [Source:MGI Symbol;Acc:MGI:3647121] MEDSMDVDMSPLRPQNYLFGVDNDDNEHQMSLRTVSLGAGGKDELHIVEAEAMNYEGSPI KVTLATLKMSVQPTVSLGGFEITPPVVLRLKCGSGPVHISGQHLVAIEEDAASEDEDGED VKLLGMSGKRSAPGGGNKVPQKKVKLDEDDEDNEDDDDDFDEEETEEKVPVKKSVRDTPA KNAQKSNQNGKDLKPSTPRSKGQESFKKQGKIPKIPKGPSSVEDIKAKMQASIEKGGSLP KVEAKFINYVKNCFRMTDQEAIQDLWQWRKSL >ENSMUSP00000033882.8 pep:known chromosome:GRCm38:8:27403214:27413944:-1 gene:ENSMUSG00000031491.9 transcript:ENSMUST00000033882.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrna6 description:cholinergic receptor, nicotinic, alpha polypeptide 6 [Source:MGI Symbol;Acc:MGI:106213] MLNSRDQGNLHSGLCLWLCGFLALFKGSTGCESEEQLFHRLFAHYNRFIRPVENVSDPVT VHFELAITQLANVDEVNQIMETNLWLRHIWKDYRLRWDPTEYDGIETLRVPADNIWKPDI VLYNNAVGDFQVEGKTKALLKYDGVITWTPPAIFKSSCPMDITFFPFDHQNCSLKFGSWT YDKAEIDLLIIGSKVDMNDFWENSEWEIVDASGYKHDIKYNCCEEIYTDITYSFYIRRLP MFYTINLIIPCLFISFLTVLVFYLPSDCGEKVTLCISVLLSLTVFLLVITETIPSTSLVI PLVGEYLLFTMIFVTLSIVVTVFVLNIHYRTPATHTMPKWVKTIFLQAFPSILMMRKPLD KTKEAGGVKDPKSHTKRPAKVKFTHRGESKLLKECHHCQKSSDIAPGKRRSSQQPARWVA ENSEHSSDVEDVIESVQFIAENMKSHNETNEVEDDWKYMAMVVDRVFLWVFIIVCVFGTV GLFLQPLLGNTGKS >ENSMUSP00000126019.1 pep:known chromosome:GRCm38:9:57910986:57929968:1 gene:ENSMUSG00000055720.9 transcript:ENSMUST00000163329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl7 description:ubiquitin-like 7 (bone marrow stromal cell-derived) [Source:MGI Symbol;Acc:MGI:1916709] MSLSDWHLAVKLADQPLAPKSILQLPETELGEYSLGGYSISFLKQLIAGKLQESVPDPEL IDLIYCGRKLKDDQTLDFYGIQPGSTVHVLRKSWPEPDQKPEPVDKVAALREFRVLHTAL HSSSSYREAVFKMLSNKESLDQIIVATPGLSSDPIALGVLQDKDLFSVFADPNMLDTLVP AHPALVNAIILVLHSVAGSTPMPGADSSSRSMPSSSYRDMPGGFLFDGLSDDEDDFHPST RSTPSSSTPSSRPASLGYSGAAGPRPITQSELATALALASTPESSSHTPTPGTQGHSSGT SPMSSGVQSGTPITNDLFSQALQHALQASGQPSLQIQWQPQLQQLRDMGIQDDELSLRAL QATGGDIQAALELIFAGGAP >ENSMUSP00000034947.5 pep:known chromosome:GRCm38:9:66060169:66066629:1 gene:ENSMUSG00000032383.6 transcript:ENSMUST00000034947.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppib description:peptidylprolyl isomerase B [Source:MGI Symbol;Acc:MGI:97750] MLRLSERNMKVLFAAALIVGSVVFLLLPGPSVANDKKKGPKVTVKVYFDLQIGDESVGRV VFGLFGKTVPKTVDNFVALATGEKGFGYKNSKFHRVIKDFMIQGGDFTRGDGTGGKSIYG ERFPDENFKLKHYGPGWVSMANAGKDTNGSQFFITTVKTSWLDGKHVVFGKVLEGMDVVR KVESTKTDSRDKPLKDVIIVDSGKIEVEKPFAIAKE >ENSMUSP00000130560.1 pep:known chromosome:GRCm38:18:61493794:61536536:-1 gene:ENSMUSG00000045094.7 transcript:ENSMUST00000171629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef37 description:Rho guanine nucleotide exchange factor (GEF) 37 [Source:MGI Symbol;Acc:MGI:3045339] MADFGADEASSKSESPEQEDQGSEDRSLLHQRLAIRELIDTEVSYLHMLRLCASDIRSHL QQLPPGDLDILFSNIDDIIQVSSRFLRGLQETACREEEQAHLIGNLFLEFQEEFEQVYKV YCANYDQALLLVKAYQKEPELQKEIQGIIEAAMPQAGPSGLSFFLVIPLQRITKYPLLLQ KILENTPADASAHPALQRATSALQDVNGNINEYKMRKEVALKYTKVEQLSLRERLARINT HTLSKKTTRLSQMLKQEAGLVSRTEDKEFDDLEERFQWVSLCVKELRNNVVDFMGNLEVF LSFRPHERSLDIPGGPAEQYCSLARDLQLHAFLQFKQRLRSLVWQPLCSLARALAGPQNL IKKRLDKLLDFDRVEEKLLDVGSVTWEEEAARHEYQALNSLLVAELPQFNQLVMQWLGQI LCTFVVLQRDLADQVLRRAESTMALLPHHHVSEPDFQKLLEHTLGQSSSQLRLFQESFEK VLPPSTTQPLLPGSEHQMQALLTRYGPEKLYQVSSNINGTGTLDLTLLRGQIVALLQNKD TKGNNSRWLVDTGGHRGYVPAGKLQLYHPIIPSEKELRGQTGTHEDSWLPAPEPTQLSVP TVPTMSQVVAVYPFVARSTHELSLQAGQPVTILEAQDKKGNPEWSLVEANGQRGYVPSNF LARTPSPTPWGWNLPS >ENSMUSP00000000305.5 pep:known chromosome:GRCm38:10:31332380:31445921:-1 gene:ENSMUSG00000000296.7 transcript:ENSMUST00000000305.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpd52l1 description:tumor protein D52-like 1 [Source:MGI Symbol;Acc:MGI:1298386] MEAQAQGLLETEPLQGRDGDAVGSADFSSMLSEEEKEELKAELIQLEDEITTLRQVLSAK ERHLVEIKQKLGMNLMNELKQNFSRSWHDMQTTTAYKKTHETLSHAGQKATAAFNNVGTA ISKKFGDMRYSIRHSISMPAMRNSSTFKSFEERVETTVASLKTKVGGTNHGGGSFEEVLN STAHASSQNASAGSRQTKDEELQC >ENSMUSP00000132273.1 pep:known chromosome:GRCm38:10:100396287:100397072:-1 gene:ENSMUSG00000090987.1 transcript:ENSMUST00000167995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4781 description:predicted gene 4781 [Source:MGI Symbol;Acc:MGI:3643164] MTTMADKMDMSLEDIIKLNKMQQGHRDRPDSRVKRGTGPKRYQPAFTHGGRNRLAPYCRQ KQLPDKWQHDLFIGGFRGQNDVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAHVHFERKADALKAMREYNGTPLDGHPMNIHLVTSQCDRQGRPAQSKNRGGM TRNPGSGVLSGGGTKRWTLGGSQRRGRGSSRNSNLQQQQQQEEQKHQKQQQQQQQQQKQG QYHQHQQQLQHQEQQKEQKEQ >ENSMUSP00000079703.2 pep:known chromosome:GRCm38:10:35708691:35711891:-1 gene:ENSMUSG00000063953.2 transcript:ENSMUST00000080898.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amd2 description:S-adenosylmethionine decarboxylase 2 [Source:MGI Symbol;Acc:MGI:1333111] MEAAHFFEGTEKLLEVWFSRQQSDASQGSGDLRTIPRSEWDVLLKDVQCSIISVTKTDKQ EAYVLSESSMFVSKRRFILKTCGTTLLLKALVPLLKLARDYSGFDSIQSFFYSRKNFMKP SHQGYPHRNFQEEIEFLNAIFPNGAAYCMGRMNSDCWYLYTLDFPESRVISQPDQTLEIL MSELDPAVMDQFYMKDGVTAKDVTRESGIRDLIPGSVIDATLFNPCGYSMNGMKSDGTYW TIHITPEPEFSYVSFETNLSQTSYDHLIRKVVEVFKPGKFVTTLFVNQSSKCRTVLSSPQ KIDGFKRLDCQSAMFNDYNFVFTSFAKKQQQQQS >ENSMUSP00000128489.1 pep:known chromosome:GRCm38:18:78349754:78350701:1 gene:ENSMUSG00000090451.1 transcript:ENSMUST00000164064.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6133 description:predicted gene 6133 [Source:MGI Symbol;Acc:MGI:3647029] MVPYSFDPEAPTKSCKSRGSNFCVHSKNTWETAQAIKGMNIHKATKYLKDVTLKKQCVPF RRYHGGVGTCTQAKHWGWIQGRWPEMSAEFLLHMLENAESNAELKSLDVDSLVIEHIQVN KAPKMRRRTYRAHGPINPYMSSPCHIEMILTEKEQIVPKPEEEVAQKKKITQKKLGKKKN SWHRNKFSIK >ENSMUSP00000137588.1 pep:known chromosome:GRCm38:X:108664004:108664891:-1 gene:ENSMUSG00000093916.1 transcript:ENSMUST00000178160.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm379 description:predicted gene 379 [Source:MGI Symbol;Acc:MGI:2685225] MKDRLEELKNHVYHRRVSSELDDTLMFDNHAFEKTETNSIEEFLQEVAELSLALTELAGL SRLIDEKQQGVLCCTTEEGVFKEKNELNIIKASFASQARIIQPQLSAMQHELATDCKYWR AEHRIRQSQLSFLLSHYRGIISHHYACETQNMVRLKEKMVRQAELAGVKLQEEDLEKLVA NPVPPQIVGRDLDVLKAKQGLALAEVRNQQLLDLECQISELRTIFFQVETFISEQQELLD SIEYNILHTQDYVEQSNETVKKALKYKHQSRFLMVISTVAGLCACCSCLSCITGLV >ENSMUSP00000090351.2 pep:known chromosome:GRCm38:10:20310506:20312294:-1 gene:ENSMUSG00000069713.2 transcript:ENSMUST00000092680.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933406P04Rik description:RIKEN cDNA 4933406P04 gene [Source:MGI Symbol;Acc:MGI:1921670] MRQQGPIALNLFKIPKSNHFEAIKSCAILVYVGKLSFHLRQYNFSLIRSPVLGTEPAEPA WYALHNCSATEVHLPNLTLTSNKSMCYQRRKTWEQINKWMSNKQVEPL >ENSMUSP00000136633.1 pep:known chromosome:GRCm38:10:86731754:86732409:-1 gene:ENSMUSG00000056366.4 transcript:ENSMUST00000070435.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp3-ps1 description:fatty acid binding protein 3, muscle and heart, pseudogene 1 [Source:MGI Symbol;Acc:MGI:101929] MADAFVGTWKLVDCKNFDDYMKSLGVGFATRQVASMTKPTTIIEKNGDTITIKTQSTFKN TEINFQLGIEFDEVTADDRKVKSLVTLDGGKLIHVQKWNGQETTLTRELVDRKLILTLTH GSVVSTRTYEKEA >ENSMUSP00000070575.4 pep:known chromosome:GRCm38:14:46760541:46771525:1 gene:ENSMUSG00000037628.9 transcript:ENSMUST00000067426.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkn3 description:cyclin-dependent kinase inhibitor 3 [Source:MGI Symbol;Acc:MGI:1919641] MKPPISIQASEFDSSDEEPVDEEQTPIQISWLPLSRVNCSQFLGLCALPGCKFKDVRRNI QKDTEELKSYGIQDVFVFCTRGELSKYRVPNLLDLYQQYGIVTHHHPIPDGGTPDIGSCW EIMEELATCLKNNRKTLIHCYGGLGRSCLAACLLLYLSDSISPQQAIDSLRDVRGSGAIQ TIKQYNYLHEFRDKLAAYLSSRDSLSRSVSR >ENSMUSP00000136723.1 pep:known chromosome:GRCm38:X:33399414:33400124:1 gene:ENSMUSG00000095264.1 transcript:ENSMUST00000177560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2854 description:predicted gene 2854 [Source:MGI Symbol;Acc:MGI:3781026] MESSKLTKKRAGRKRQRSRSPALPKRNIVGCRISHKWKEGDESITQWNGTVLDQVPVNPS LYLVKYDGIDAVHALELYKDKRVLSLKVIAKRVVSSGVTDSSFVDAIVGKEVNHLFEGEH GSKEEWRGMVLSQAPILDNSFYITYERDPILYTYQLLDDFKEGDLQIMEGISDPPSLDID LELVDGLIGKHVENTNDDGSKRDGMIIYQIETKPRVYLIKYEDDVHIHVTHLEKEF >ENSMUSP00000037656.8 pep:known chromosome:GRCm38:19:5707376:5726317:-1 gene:ENSMUSG00000024937.14 transcript:ENSMUST00000049295.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehbp1l1 description:EH domain binding protein 1-like 1 [Source:MGI Symbol;Acc:MGI:3612340] MTSVWKRLQRVGKRAAKFQFVACYHELVLECTKKWQPDKLVVVWTRRNRRICSKAHSWQP GIQNPYRGTVVWMVPENVDISVTLYRDPHVDQYETKEWTFIIENESKGQRKVLATVDVNL AHHAGPVPAQVPLRLRLKPKSVKVVHAELSLTLSGVLLREGRATDDDMQSLASLMSVKPS DVGNLDDFAESDEEEANGPGAPEVRTRGPQSDLSRELKTLCEEEDEGHIRPQQAAARPSS AEDTSPAPVSAPAPPVRAFRGQGSEPAAITGGQVGPETPEPPPSPPETRSTGQPGQTMVP TPAPRLRKGSDAPSSPVPCSGDEVPNTSEDPPTGMGSSGETQAQISSQEGTEAHEARPEP DIEVRGSKDSLGGERSKVEEEDTGDRPGASGAGNREKNTKKSDTTAGEAGESSELHQVDA EHKSKVQHRATEGPEAAGPTPKARLGDTPEAPPRSAQRRMGVRTQEEAPSDLNPPPAEPE EHLGDLRDARPAGQEKGSAEVRGKVPAIGRAGPEQGSSARAASAGPQVSCVQTVPSDGQG VKSRDQRAQEAEVGESRVLETEAEWVPWEVIGTSKTDAGIPESLDTEAGTAESEILEAQE SEAARSEGLEPEAAGTAESEVLRTQNNEIVVLGMPRTGPEIREPEEFGETEVGGFTVPDT KTVIAETEILETQGVVDGEAAVLKTQAEILETQKTEAGEAEAGTLESQKVAAEGLGAPEV GAEMAEAEKLGVQETEVEIWRIPRIETETAGTETLGIHKIGPPQMQPRLVGDQETDVSVM ETAEDAILGTREISAGCGVLLIEAKIPESKIDRSLETEEGDLGVLEVDTGIAEAKILGIP ERAPGVQKALGAGTEVARVLEAEASSSEVPETDAEEAETLQAKERSESSVALRVVANLPE SELLGTQKKVGGTGISQREVREAETEIPKTQEISSEGSGVPDLEAKMEESGRKMEIWETP EVEKVNSELFGTQKGSEIPELETKTIKSEILDTQETEVGGLGLRRGEAEKAEAEMLETQK TEAKTARDEEIELVDPGVSSPEAEALRVQWAGTVVLESGEVKADILGVQKPGSWGALKYE ALDVPVTKQRLSGAKEVVPEVPRAQEPETKVLGIVEAKSWTLGQQEAEMEGFESPENKSN IFEAQEADSGVLGTMKGKEAVESLEEAGLSKAQVASEAGAGVPRPSGASSLEEPEEDRRL PGSQAPPTLVSSSQSLLEWCQEVTNGYRGVCITNFTTSWRNGLAFCAILHRFYPDKIDYF SLDPLNIKQNNKQAFDGFAALGVSRLLEPADMVLLSVPDKLIVMTYLCQIRAFCTGQELQ LVQLEGGGGSGTYRVGNAQPSLPDCLDAGDLAQRLREHGAEVPTEPKEAVNRGTGAIPKV ASRDTDLSCSSKDGEAEVAQEAIPQEAPTDGPRARSSTTPVVPAEGLVNGVGASGGVSGV RLRRSSVNGEAGPVPPPRAHGSFSHVRDADLLKKRRSRLRNSNSFSVDDQDSGAAVGAGP AGPGAVEGPNPASSPDANPLPAPAPQQPPGGPPPTEESSPSLGEETGLQRFQDTSQYVCA ELQALEQEQGQIDGRAAEVEKQLRSLMESGANRLQEEVLIQEWFTLVNKKNALIRRQDQL QLLIEEQDLERRFELLSRELRAMLAIEEWQKTVAQQHREQLLLEELVSLVNQRDELVRDL DQKERIALEEDERLERGLEQRRRKVSRQLSRRERCTLS >ENSMUSP00000126740.1 pep:known chromosome:GRCm38:19:5707376:5726317:-1 gene:ENSMUSG00000024937.14 transcript:ENSMUST00000075606.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehbp1l1 description:EH domain binding protein 1-like 1 [Source:MGI Symbol;Acc:MGI:3612340] MTSVWKRLQRVGKRAAKFQFVACYHELVLECTKKWQPDKLVVVWTRRNRRICSKAHSWQP GIQNPYRGTVVWMVPENVDISVTLYRDPHVDQYETKEWTFIIENESKGQRKVLATVDVNL AHHAGPVPAQVPLRLRLKPKSVKVVHAELSLTLSGVLLREGRATDDDMQSLASLMSVKPS DVGNLDDFAESDEEEANGPGAPEVRTRGPQSGRGCAPRLGRFPDLSRELKTLCEEEDEGH IRPQQAAARPSSAEDTSPAPAPPTLVSSSQSLLEWCQEVTNGYRGVCITNFTTSWRNGLA FCAILHRFYPDKIDYFSLDPLNIKQNNKQAFDGFAALGVSRLLEPADMVLLSVPDKLIVM TYLCQIRAFCTGQELQLVQLEGGGGSGTYRVGNAQPSLPDCLDAGDLAQRLREHGAEVPT EPKEAVNRGTGAIPKVASRDTDLSCSSKDGEAEVAQEAIPQEAPTDGPRARSSTTPVVPA EGLVNGVGASGGVSGVRLRRSSVNGEAGPVPPPRAHGSFSHVRDADLLKKRRSRLRNSNS FSVDDQDSGAAVGAGPAGPGAVEGPNPASSPDANPLPAPAPQQPPGGPPPTEESSPSLGE ETGLQRFQDTSQYVCAELQALEQEQGQIDGRAAEVEKQLRSLMESGANRLQEEVLIQEWF TLVNKKNALIRRQDQLQLLIEEQDLERRFELLSRELRAMLAIEEWQKTVAQQHREQLLLE ELVSLVNQRDELVRDLDQKERIALEEDERLERGLEQRRRKVSRQLSRRERCTLS >ENSMUSP00000136282.1 pep:known chromosome:GRCm38:X:124629893:124630390:1 gene:ENSMUSG00000094252.1 transcript:ENSMUST00000177942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17469 description:predicted gene, 17469 [Source:MGI Symbol;Acc:MGI:4937103] PAASSKNGAGDASHKFKEDHTVPGPSSAGQHGQVISPHIQGSPHPSRSSQGDLGSSTSPQ DAQGLSLSTQHARGLSLSTQQTQGLSLSTQDVFELTRYFQESMGHSTSAQASQRLPTCAE RKIIHASSSRRSSKRLSPTLHNSNLSNSPLKHVGQDSSGQGDLQQA >ENSMUSP00000043691.3 pep:known chromosome:GRCm38:15:58094047:58135082:-1 gene:ENSMUSG00000022360.7 transcript:ENSMUST00000038194.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atad2 description:ATPase family, AAA domain containing 2 [Source:MGI Symbol;Acc:MGI:1917722] MVVLRSSLELHSRSTTSSATDSLDLSSEFLSLQDSGGRRPRLRRAGRKSTASKAAGDGFT VKGAETYHNTRSLRSLRNQAQTSSESSFDKNVDRTEKYSNGRHFTRQFARQQADKKEECK EDKVIPVIRSLRNRNIAPTAEHLHEQSGDVEVRRSCRIRSRYSTMNQSVLFDKLITNTAE AVLQKMDDMKKMRRQRMKKLEDLGVFNETEESNLTMYTRGKLKAIQRADEETTDNQDGSV ESSEEGEEQEDDDGEDEDDEDEEEGEEDNQKRYYLRQRKTTVYYQSPLESKPRHQRKPNM FYSGPASPARPRFRLSSTGPRSPYCKRMSRRRHAIHSSDSTSSSSSEDDCFERRTKRNRN RAINRCLPLNFRKDEIRGIYKDRMKIGASLADVDPMQLDTSVRFDSVGGLSSHIAALKEM VVFPLLYPEVFEKFKIQPPRGCLFYGPPGTGKTLVARALANECSRGDKRVAFFMRKGADC LSKWVGESERQLRLLFDQAYQMRPAIIFFDEIDGLAPVRSSRQDQIHSSIVSTLLALMDG LDSRGEIVVIGATNRLDSIDPALRRPGRFDREFLFSLPDKNARKEILKIHTRDWNPKPVD MFLEELAEHCVGYCGADIKSICAEAALCALRRRYPQIYTTSEKLQLDLSSITISAKDFEA ALQKIRPASQRAVTSPGQALSAIVKPLLQNTVHRILDALQKVFPHVEVGTNKSLNSDVSC PFLESDLAYSDDDTPSVYENGLSQKENLNFLHLNRNACYQPMSFRPRLLIVGEPGFGQSS HLAPAVIHALEKFTVYTLDIPVLFGISTTSPEEACSQMIREAKRTAPSIVYVPHIHLWWE IVGPTLKATFTTLLQTIPSFAPVLLLATSEKPYSALPEEVQELFTHDYGEIFNVQLPDKE ERTKFFEDLILKQASKPPVSQKKAVLQALEVLPVAPPPEPRPLTAEEVKRLEEQEEDTFR ELRIFLRNVTHRLAIDKRFRVFTKPVDPDEVPDYVTVIKQPMDLSSVISKIDLHKYLTVK DYLKDIDLICSNALEYNPDRDPGDRLIRHRACALRDTAYAIIKEELDEDFEQLCEEIQES RKKRGCSSSKYAPSYYHVMPKQNSPPVGDKKPDQEQNEKLKVPCTPVACSTPAQLKRKFH KKSKWHVGTKIKRRKISQAKDNSLNAMNSSSRSDTEDSQHTHAEHTEPGNTDESSVEESD KQNRLESNIDLKNNSSSSNIENELEEPKETTEGTELRKDRIVCRGDASASQVTDIPEDSE SKEMDFLRMTLARGSQVEQQELISMEQALAILSQPTPSLVLDHKQLTNILKTVVKKSQKY NIFQLENLYAVISQCIYEHRRDYDKTALVQKMEQAVENFNCSRS >ENSMUSP00000136558.1 pep:novel scaffold:GRCm38:JH584299.1:562161:564894:1 gene:ENSMUSG00000094172.1 transcript:ENSMUST00000177843.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC163611.1 MNVHTPPTLQKLAIQTLVREEALGMSDLEEMAHGLFPALFKEAIDGRHIKLIKALVIAWP FHCLPVGALMRTTDVETLQAVLDGVDIRRTIGFHPRRKKLQFLDLRNVHHSFWNIWTDSE DSDYSAEILDEKKALQVRPRYALRQRLKVTVDLCIRSCLDEAQIWFLKWAQERKGSLYFC CTKMKIWTLPVKALRHIFHVFDPEHIMELELNTEWTLLELTCFAPYFGQMRNLRKVFLAP LHKIDFHLPNRTRVTEVKCINKFASQFSKFNCLQHLFMFCVHFLRSQMNQVLGCLMTPLK TLSITYSLVSQRDLDSFACCQSLFQLKHLELRGVVLLDLDLMPLRGLLMKVAGILETLDL QGCRMKDSQLSVLLPAFKQCSQISNINFYNNEFSMPFLKDLLQHTANWSKMNVEQYPAPL ECYDEFAQVSVERFAQVCQDLMDTLRAIRQPKNSSFATDICHTCGERWVFDQVANLCHCW Q >ENSMUSP00000079592.8 pep:known chromosome:GRCm38:10:41450396:41465571:1 gene:ENSMUSG00000019826.10 transcript:ENSMUST00000080771.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb24 description:zinc finger and BTB domain containing 24 [Source:MGI Symbol;Acc:MGI:3039618] MADTTPEPCGQLMVHSDTHSDTVLASLEDQRKKGFLCDITLIVENVHFRAHKALLAASSE YFSMMFAEEGEIGQSIYMLEGMVADTFGILLEFIYTGYLHASEKTTEQILATAQFLKVYD LVKAYADFQDNHSAPKPPALNCTGTPVVVISNKKNDPLKRKRGRPRKANGLQEGRSELAA EGELQLRVNNSVQNRQNFVFKEEDSVKLSEQTPEDKESEPAGEPGSVEEVPAEKDENFDP KAGDGQESQSRCSRRRIRRSVKLKDYKLLGDEDDQSTAKRLCGRKKRSSGPEARCKDCDR VFKYSHFLAIHQRRHTGERPFKCNECGKGFAQKHSLQVHTRMHTGERPYTCTVCGKALTT KHSLLEHMSLHSGQKSFTCDQCGKYFSQKRQLKSHYRVHTGHSLPECSHCHRKFMDVSQL KKHLRTHTGEKPFTCEICGKSFTAKSSLQTHIRIHRGEKPYSCSICGKCFSDSSAKRRHC ILHTGKKPFSCPECGLQFARLDNLKAHLKIHSKEKHTADSSSVSGSNVDEGRNILQLQPY QLSTSGEQEIQLLVTDSVHNINFMPGPSQGVSIVAAESPQSMATDPAANITLLTQQPEQL QGLILSAQQEQAEHIQSLSVIGGQMESSQTEPVHVITLSKETLEHLHAHQEQTTSSVPAA DTGARATPVPSTRPGAELTQAPLAVPLDPSPGATVAGWPFGPSSYRSLKM >ENSMUSP00000056121.7 pep:known chromosome:GRCm38:8:47826083:47990543:-1 gene:ENSMUSG00000031563.7 transcript:ENSMUST00000057561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwc2 description:WW, C2 and coiled-coil domain containing 2 [Source:MGI Symbol;Acc:MGI:1261872] MPRRAGSGQLPLPRGWEEARDYDGKVFYIDHNTRRTSWIDPRDRLTKPLSFADCVGDELP WGWEAGFDPQIGAYYIDHINKTTQIEDPRKQWRGEQEKMLKDYLSVAQDALRTQKELYHV KEQRLALALDEYVRLNDAYKEKSSSHTSLFSGSSSSTKYDPDILKAEISTTQLRVKKLKR ELSHMKQELLYKQQGFETLQQIDEKMSGGQSGYELNEAKAILTELKSIRKAISSGEKEKQ DLMQSLAKLQERFHLDQNMGSSEPDLRSSPVNSHLSLSRQTLDAGSQTSISGDIGVRSRS NLAEKVRLSLQYEEAKRSMANLKIELSKLDGEAWPGALDIEKEKLMLINEKEELLKELQF ITPQKRSQEELERLEAERQHLEEELMAARGSPSRALTERLKLEEKRKELLQKLEETTKLT TSLYSQLQSLSSSTLSMSSGSSLGSLASSRGSLNTSSRGSLNSLSSSELYYSSQGDQMDT DYQYKLDFLLQEKGGYIPSGPITTIHENEVVKSPSQPGQSGLCGVGVTASSHTTPLTEAS KSVASLSSRSSLSSLSPPGSPLVLDSVFPGSSHDTSPHQFPTDFEDCELSRRFADVGLGE NQALLDSDSGGASQPLLEDKGLSDCPGELLCEGATDVEKSLPKRRGLHLRGDKTTRVSAA ASDESVAGDSGVYEASMKQPGEMEDVPYSEEDVTIVETAQVQIGLRYDTKSSSFMVIIAQ LRNLHAFSIPHSSKVYFRVALLPSSADVSCLFRTKVHPPTESVLYNDVFRVAVSQAALQQ KTLRVDLCSASKHRREECLAGTQISLADLPFSNEIFMLWYNLLPSKQMPCKKNEDGNEEP GARSQQPMLDPIDLDAVSALLARTSAELLAVEQELAQEEEEEELRPERRGPGRDCLTMLR EASDEPAALRESGVPLAEGSRCTEDPKPCPRGPETSQCRKEPAEDPGQLPSGLPTLVDKE TNTDEVVDSNMAVRPKDRSSLSSRQHPFVRNSVIVRSQTFSPGERSQYICRLNRSDSDSS TLAKKSLFVRNSTERRSLRVKRAVCQPTLRRTAQECPVRTSLDLELDLQASLTRQSRLND ELQALRGLRQKLEELKAQGETDLPPGVLEDERFQKLLKQAEKQAEQTKEEQKQDLNAERL MRQVSKDVCRLREQSQKEPRQVQSFREKIAYFTRAKISIPSLPADDV >ENSMUSP00000025077.6 pep:known chromosome:GRCm38:18:4375592:4397330:1 gene:ENSMUSG00000024234.6 transcript:ENSMUST00000025077.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtpap description:mitochondrial poly(A) polymerase [Source:MGI Symbol;Acc:MGI:1914690] MAARGVGLLTRLPVCSQRRNRIPRSISRLLSCPGTIAASIGSEEQSSVVAETGIEDKTLQ KKFSEVQKERREQAQRTVLIHCPNNINEKKFLKYLSQHGPVNNHFFYESFGLFAVVEFCQ KDSIKSLQNGTHTPTQSTEAAIPFKSRFLNLRLKNPSSQVSGQPFVQTTNQSPPSSKKLF ELLSYAESIEEQLNTLLKAFQLTEENIRLRHLTCSLIEDIAAAYFPSCVIRPFGSSVNTF GKLGCDLDMFLDLDETGKLDVHKNTGNFFMEFQVKNVPSERIATQKILSVIGECLDNFGP GCVGVQKILNARCPLVRFSHQGSGFQCDLTANNSIALKSSELLYIYGSLDSRVRALVFSV RCWARAHSLTSSIPGAWITNFSLTVMVIFFLQRRSPPILPTLDSLKSIADAEDRCILEGN NCTFVQDVNKIQPSGNTETLELLIKEFFEYFGNFAFNKNSINIRQGREQNKPDSSPLYIQ NPFETSLNISKNVSQSQLQKFVELARDSAWILEQEDKNQPFSSSRQPWGLAALLLPPGSG HTSLSRKKKKKPMSEKVKGLLASIKSNSPDSSTDTSGKRTISTQA >ENSMUSP00000033826.2 pep:known chromosome:GRCm38:8:13386209:13396778:-1 gene:ENSMUSG00000031449.9 transcript:ENSMUST00000033826.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp4b description:ATPase, H+/K+ exchanging, beta polypeptide [Source:MGI Symbol;Acc:MGI:88114] MAALQEKKSCSQRMAEFRHYCWNPDTGQMLGRTPARWVWISLYYAGFYVVMTGLFALCIY VLMQTIDPYTPDYQDQLKSPGVTLRPDVYGERGLKISYNVSENSSWAGLTHTLHSFLAGY TPASQQDSINCTSEKYFFQESFAAPNHTKFSCKFTADMLQNCSGLADPSFGFEEGKPCFI IKMNRIVKFLPSNNTAPRVDCTFQDDPQKPRKDTEPLQVEYYPPNGTFSLHYFPYYGKKA QPHYSNPLVAAKLLNVPKNMQVSIVCKILADHVTFNNPHDPYEGKVEFKLTIQK >ENSMUSP00000048877.5 pep:known chromosome:GRCm38:14:50807946:50821300:1 gene:ENSMUSG00000036023.5 transcript:ENSMUST00000036126.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parp2 description:poly (ADP-ribose) polymerase family, member 2 [Source:MGI Symbol;Acc:MGI:1341112] MAPRRQRSGSGRRVLNEAKKVDNGNKATEDDSPPGKKMRTCQRKGPMAGGKDADRTKDNR DSVKTLLLKGKAPVDPECAAKLGKAHVYCEGDDVYDVMLNQTNLQFNNNKYYLIQLLEDD AQRNFSVWMRWGRVGKTGQHSLVTCSGDLNKAKEIFQKKFLDKTKNNWEDRENFEKVPGK YDMLQMDYAASTQDESKTKEEETLKPESQLDLRVQELLKLICNVQTMEEMMIEMKYDTKR APLGKLTVAQIKAGYQSLKKIEDCIRAGQHGRALVEACNEFYTRIPHDFGLSIPPVIRTE KELSDKVKLLEALGDIEIALKLVKSERQGLEHPLDQHYRNLHCALRPLDHESNEFKVISQ YLQSTHAPTHKDYTMTLLDVFEVEKEGEKEAFREDLPNRMLLWHGSRLSNWVGILSHGLR VAPPEAPITGYMFGKGIYFADMSSKSANYCFASRLKNTGLLLLSEVALGQCNELLEANPK AQGLLRGKHSTKGMGKMAPSPAHFITLNGSTVPLGPASDTGILNPEGYTLNYNEFIVYSP NQVRMRYLLKIQFNFLQLW >ENSMUSP00000074768.2 pep:known chromosome:GRCm38:17:12876034:12877842:1 gene:ENSMUSG00000059408.2 transcript:ENSMUST00000075296.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrgprh description:MAS-related GPR, member H [Source:MGI Symbol;Acc:MGI:1934134] MEPLAMTLYPLESTQPTRNKTPNETTWSSEHTDDHTYFLVSLVICSLGLAGNGLLIWFLI FCIKRKPFTIYILHLAIADFMVLLCSSIMKLVNTFHIYNMTLESYAILFMIFGYNTGLHL LTAISVERCLSVLYPIWYQCQRPKHQSAVACMLLWALSVLVSGLENFFCILEVKPQFPEC RYVYIFSCILTFLVFVPLMIFSNLILFIQVCCNLKPRQPTKLYVIIMTTVILFLVFAMPM KVLLIIGYYSSSLDDSVWDSLPYLNMLSTINCSINPIVYFVVGSLRRKRSRKSLKEALQK VFEEKPVVASRENVTQFSLPS >ENSMUSP00000021684.4 pep:known chromosome:GRCm38:12:108334377:108362231:1 gene:ENSMUSG00000021259.4 transcript:ENSMUST00000021684.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp46a1 description:cytochrome P450, family 46, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1341877] MSPGLLLLGSAVLLAFGLCCTFVHRARSRYEHIPGPPRPSFLLGHLPYFWKKDEDCGRVL QDVFLDWAKKYGPVVRVNVFYKTSVIVTSPESVKKFLMSTKYNKDSKMYRALQTVFGERL FGQGLVSECDYGRWYKQRKVMDLAFSRSSLVSLMETFNEKAEQLVEILEAKADGQTPVSM QDMLTCATIDILAKAAFGMETSMLLGAQKPLSQAVKVMLEGISASRNTLAKFMPGKRKQL REIRESIRLLRQVGKDWVQRRREALKRGEDMPADILTQILKAEEGAQDDEVLLDNFVTFF IAGHETSANHLAFTVMELSRQPEIVARLQAEVDEVVGSKRHLDYEDLGRLQYLSQVLKES LRLYPPAWGTFRLLEEETLIDGVRVPGNTPLLFSTYVMGRMDTYFEDPLTFNPDRFGPGA PKPRFTYFPFSLGHRSCIGQQFAQMEVKVVMAKLLQRIEFRLVPGQRFGLQEQATLKPLD PVLCTLRPRGWQPAPPPPPC >ENSMUSP00000129315.1 pep:known chromosome:GRCm38:10:20347819:20361669:1 gene:ENSMUSG00000019992.7 transcript:ENSMUST00000169712.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtfr2 description:mitochondrial fission regulator 2 [Source:MGI Symbol;Acc:MGI:1919054] MPRDGTNEQRFLELPSPMSFILNILRNVLEYFGVPVDQDLLICQNKNCGSARSIVRIIGR RLPLKPCRRPHFELIPHVNSTESDDYELRVPSFADVLCVANDEEASCLRFRHSLWQKKEE RKIAPFYPSKLTWDPSSPGLRQNKTETDDLPVNEAAIKKIAALEDELTFLRSQIAAIVAM QDLRESRETGFIDLSDEQVPPSSATTGLSVEPDHAPSVVLPPPPPPPPPPQFSLQPPSSL PMQPGSANTHDIDSLATEMERQLSGVKKTDDSHHSKSQRLRDVPNMLDVLKDVNKVRLRP VERSPGGRPVQKRKRRSSEWDPVSLISNALKQKFAFQDDSFDRENSSWECSPFSSPETSR F >ENSMUSP00000040022.8 pep:known chromosome:GRCm38:17:23755432:23763786:-1 gene:ENSMUSG00000040097.15 transcript:ENSMUST00000045517.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flywch1 description:FLYWCH-type zinc finger 1 [Source:MGI Symbol;Acc:MGI:2442638] MPLPEPSEQDCESLRAGQEPSVGARKPQESSNLVPARDKERPKPTDVASQETSSTATLPN NTLQVAPVKKQGRIIHRKRSRVDAVPPQPLEFLKTPFGGRLLVHKSFLYKQEKAVGDKVY WKCRQHSELSCRGRAITRGFRVTEMRDHCHPPEKEGLDRKKRHRGRPPSSALPEGAEVQE DEVSLWLYPVEPEPTPQPSIETPEEEQGYRSLALQSLPPKKRPTPGVVRYRPLEFLKTCY GGTFLVHQSFLYKREKTVGGKVYWTCREHAVHGCRSRAITQGQRVTVMRSHCHSPDIEGL QARRQQEKTIKKIQARRIGAGDLEDCDDIEDSLLQGVDSLFYRRGQGTLTLSRSKSKSKS KSRSKSKSKSRSRSRKRAKKQQESSQEPPEEDQDVDPRGPEFLKTPLGGNFLVYESFLYR REKVAGEKVYWTCRDQARMGCRSRAITQGRQVTVMRSHCHPPDLLGLETLRQREKRPGPS QWDGPEGPEFLKTPLGGSFLVYESFLYRREKATGDKVYWTCRDQARMGCRSRAITQGQRV MVMRRHCHPPDMGGLEALRQRENFPNLTHWEGPEPLQPLEFLRTSLGGRFLVYESFLYRK EKAAGEKVYWMCRDQARLGCRSRAITQGRRVMVMRSHCHPPDLAGLEALRQREKAPSAAK KKKKKKKKKKGIH >ENSMUSP00000083505.5 pep:known chromosome:GRCm38:17:23755423:23771591:-1 gene:ENSMUSG00000040097.15 transcript:ENSMUST00000086325.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flywch1 description:FLYWCH-type zinc finger 1 [Source:MGI Symbol;Acc:MGI:2442638] MPLPEPSEQDCESLRAGQEPSVGARKPQESSNLVPARDKERPKPTDVASQETSSTATLPN NTLQVAPVKKQGRIIHRKRSRVDAVPPQPLEFLKTPFGGRLLVHKSFLYKQEKAVGDKVY WKCRQHSELSCRGRAITRGFRVTEMRDHCHPPEKEGLDRKKRHRGRPPSSALPEGAEVQE DEVSLWLYPVEPEPTPQPSIETPEEEQGYRSLALQSLPPKKRPTPGVVRYRPLEFLKTCY GGTFLVHQSFLYKREKTVGGKVYWTCREHAVHGCRSRAITQGQRVTVMRSHCHSPDIEGL QARRQQEKTIKKIQARRIGAGDLEDCDDIEDSLLQGVDSLFYRRGQGTLTLSRSKSKSKS KSRSKSKSKSRSRSRKRAKKQQESSQEPPEEDQDVDPRGPEFLKTPLGGNFLVYESFLYR REKVAGEKVYWTCRDQARMGCRSRAITQGRQVTVMRSHCHPPDLLGLETLRQREKRPGPS QWDGPEGPEFLKTPLGGSFLVYESFLYRREKATGDKVYWTCRDQARMGCRSRAITQGQRV MVMRRHCHPPDMGGLEALRQRENFPNLTHWEGPEPLQPLEFLRTSLGGRFLVYESFLYRK EKAAGEKVYWMCRDQARLGCRSRAITQGRRVMVMRSHCHPPDLAGLEALRQREKAPSAAK KKKKKKKKKKGIH >ENSMUSP00000137494.1 pep:known chromosome:GRCm38:5:143287755:143292356:-1 gene:ENSMUSG00000093910.1 transcript:ENSMUST00000180336.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp853 description:zinc finger protein 853 [Source:MGI Symbol;Acc:MGI:2685638] LLAQPAPGDPGVTARMELGPATQTFVLELRCLEDGGPGPDTLSGGSGGKESQEEEEVQEG SSHPQQPVLAASFGAYYYIEPEQEEPQDLRVHRVKQQPEQKRQPEHRQLQEQQPQHQLLG SQPESQQQQQDGQQQLSPPQQEQQENWPMQHQQPKPQLQVVQLPRLGQEQHLLLQQHQMM QLPHQAQQMFQQQQEQLQLQQIQQQYLVQQQLQQVQEQKLLQQEQFQQQLLQQQQLLQQQ GQLQQQHLQQQVLVLQPQGQLQQQLLLQQQQTQLQQQLLLQQQAQLQHQLLLQQQEQLQQ QLLQQQQEQLQQQQLLQKQQEQLQQHQQLQPLPPEDEEVELELMPVDVGSEQELERQQEL ERLQEQRQLQLKMQEQLRQLEQHLEKQQQLEQLQQQQQLEQQEVHLELTPVELGAQQQEL QLELTSVQPELQLEVVPAPGGSAPAPATVVVAPPGYVVLQELMVLPAVATSTVVAIPGPA GSAALTPAKQRRKRRARDRPTICSECGKGFSRSTDLVRHQATHTGERPYLCSECGKSFSQ HSNLVTHQRIHTGEKPYACTYCSKCFSESSALVQHQRTHTGERPYVCGDCGKRFSVSSNL LRHRRTHSGERPYVCEDCGERFRHKVQIRRHERQLHGAGRSRGLGLLRSSRP >ENSMUSP00000035826.7 pep:known chromosome:GRCm38:18:77757567:77767780:-1 gene:ENSMUSG00000041840.7 transcript:ENSMUST00000048192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haus1 description:HAUS augmin-like complex, subunit 1 [Source:MGI Symbol;Acc:MGI:2385076] MAAPEEKALQVAEWLKKVFGDHPIPQYEMNSRTTEILYHLSERNRVRDRDISLVIEDLKQ KASEYESEAKRLEDFLMESVNFSPANLSKSGSRFLNALVDSAIALEIKDTSLASFIPAVN DLTSDLFRTKSKSEEMKLELGKLEKNLTATLVLEKCLREDLKKAELQLSAEKAKVDSRLQ NMDFLKAKAAEFRFGIKAAEEQLSARGMDASLSHRSLAALSEKLSELKEQTIPLKKKLES YLDLMPSPSLAQLKIEEAKRELDAIEAELTKKVDMMGL >ENSMUSP00000001757.7 pep:known chromosome:GRCm38:13:38645698:38659028:-1 gene:ENSMUSG00000001707.7 transcript:ENSMUST00000001757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eef1e1 description:eukaryotic translation elongation factor 1 epsilon 1 [Source:MGI Symbol;Acc:MGI:1913393] MAAAAELRLLEKSLGLKPGNKYSAQGERQIPVLQTNNGPSLMGLSTIATHLVKQASKEHL LGSTAEEKAMVQQWLEFRVTRVDGHSSKEDTQTLLKDLNSYLEDKVYLAGHNITLADILL YYGLHRFIVDLTVQEKEKYLNVSRWFCHIQHYPDIRQHLSSIVFIKNRLYANSH >ENSMUSP00000048555.7 pep:known chromosome:GRCm38:8:64592558:64693040:-1 gene:ENSMUSG00000037852.7 transcript:ENSMUST00000048967.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpe description:carboxypeptidase E [Source:MGI Symbol;Acc:MGI:101932] MAGRGGRVLLALCAALVAGGWLLTAEAQEPGAPAAGMRRRRRLQQEDGISFEYHRYPELR EALVSVWLQCTAISRIYTVGRSFEGRELLVIELSDNPGVHEPGEPEFKYIGNMHGNEAVG RELLIFLAQYLCNEYQKGNETIVNLIHSTRIHIMPSLNPDGFEKAASQPGELKDWFVGRS NAQGIDLNRNFPDLDRIVYVNEKEGGPNNHLLKNLKKIVDQNSKLAPETKAVIHWIMDIP FVLSANLHGGDLVANYPYDETRSGTAHEYSSCPDDAIFQSLARAYSSFNPVMSDPNRPPC RKNDDDSSFVDGTTNGGAWYSVPGGMQDFNYLSSNCFEITVELSCEKFPPEETLKSYWED NKNSLISYLEQIHRGVKGFVRDLQGNPIANATISVDGIDHDVTSAKDGDYWRLLAPGNYK LTASAPGYLAITKKVAVPFSPAVGVDFELESFSERKEEEKEELMEWWKMMSETLNF >ENSMUSP00000110221.1 pep:known chromosome:GRCm38:17:30901867:30936510:1 gene:ENSMUSG00000024027.7 transcript:ENSMUST00000114574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glp1r description:glucagon-like peptide 1 receptor [Source:MGI Symbol;Acc:MGI:99571] MASTPSLLRLALLLLGAVGRAGPRPQGTTVSLSETVQKWREYRRQCQRFLTEAPLLATGL FCNRTFDDYACWPDGPPGSFVNVSCPWYLPWASSVLQGHVYRFCTAEGLWLHKDNSSLPW RDLSECEESKRGERNFPEEQLLSLYIIYTVGYALSFSALVIASAILVGFRHLHCTRNYIH LNLFASFILRALSVFIKDAALKWMYSTAAQQHQWDGLLSYQDSLGCRLVFLLMQYCVAAN YYWLLVEGVYLYTLLAFSVFSEQRIFKLYLSIGWGVPLLFVIPWGIVKYLYEDEGCWTRN SNMNYWLIIRLPILFAIGVNFLIFIRVICIVVSKLKANLMCKTDIKCRLAKSTLTLIPLL GTHEVIFAFVMDEHARGTLRFIKLFTELSFTSFQGLMVAILYCFVNNEVQMEFRKCWERW RLEHLNIQRDCSMKPLKCPTSSVSSGATVGSSVYAATCQSSYS >ENSMUSP00000047029.9 pep:known chromosome:GRCm38:10:62185396:62231218:-1 gene:ENSMUSG00000037031.9 transcript:ENSMUST00000047883.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan15 description:tetraspanin 15 [Source:MGI Symbol;Acc:MGI:1917673] MPRGDSEQVRYCARFSYLWLKFSLIIYSTVFWLIGGLVLSVGIYAEAERQKYKTLESAFL APAIILILLGVVMFIVSFIGVLASLRDNLCLLQSFMYILGICLVMELIGGIVALIFRNQT IDFLNDNIRRGIENYYDDLDFKNIMDFVQKKFKCCGGEDYRDWSKNQYHDCSAPGPLACG VPYTCCIRNTTDVVNTMCGYKTIDKERLNAQNIIHVRGCTNAVLIWFMDNYTIMAGLLLG ILLPQFLGVLLTLLYITRVEDIILEHSVTDGLLGPGAKSRTDTAGTGCCLCYPD >ENSMUSP00000129323.1 pep:known chromosome:GRCm38:14:31001393:31012441:1 gene:ENSMUSG00000021916.15 transcript:ENSMUST00000168584.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glt8d1 description:glycosyltransferase 8 domain containing 1 [Source:MGI Symbol;Acc:MGI:1923735] MSFRKVNIIIWVLAVVLFLLVLHHNFLSLSSLLKNDISDSGIVGLQPIDFVASAHQHPVS ERQEEIPVVIAASEDRLGGTIAAINSVHQNTRSNVMFYIVTFNSTADHLRSWLNSGSLKS IRYKIVNFDTKLLEGKVKQDPDQGESMKPLTFARFYLPILVPSAKKAIYMDDDVIVQGDI LALYNTPLKPGHAAAFSEDCDSASTKVIIRGAGNQYNYIGYLDYKKERIRKLSMKASTCS FNPGVFVANLTEWKRQNVTNQLEKWMKLNVEEGLYSRTLAGSITTPPLLIVFYQQHSTID PMWNVRHLGSSAGKRYSPQFVKAAKLLHWNGHFKPWGRTASYADVWEKWYIPDPTGKFSL IRRHMDTSNIK >ENSMUSP00000022476.7 pep:known chromosome:GRCm38:14:31001433:31012441:1 gene:ENSMUSG00000021916.15 transcript:ENSMUST00000022476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glt8d1 description:glycosyltransferase 8 domain containing 1 [Source:MGI Symbol;Acc:MGI:1923735] MSFRKVNIIIWVLAVVLFLLVLHHNFLSLSSLLKNDISDSGIVGLQPIDFVASAHQHPVS ERQEEIPVVIAASEDRLGGTIAAINSVHQNTRSNVMFYIVTFNSTADHLRSWLNSGSLKS IRYKIVNFDTKLLEGKVKQDPDQGESMKPLTFARFYLPILVPSAKKAIYMDDDVIVQGDI LALYNTPLKPGHAAAFSEDCDSASTKVIIRGAGNQYNYIGYLDYKKERIRKLSMKASTCS FNPGVFVANLTEWKRQNVTNQLEKWMKLNVEEGLYSRTLAGSITTPPLLIVFYQQHSTID PMWNVRHLGSSAGKRYSPQFVKAAKLLHWNGHFKPWGRTASYADVWEKWYIPDPTGKFSL IRRHMDTSNIK >ENSMUSP00000136368.1 pep:novel scaffold:GRCm38:JH584296.1:195181:197903:-1 gene:ENSMUSG00000095505.1 transcript:ENSMUST00000178335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC164084.1 MSGQTPPTRTLLTHEALIKSSLEELPTVVFPALFKEVFAGRHTNLLKAMVAAWPFPCLPV GPLIKKPNLETLQALLDGVDMRLTREFHPRCLMTPFETFSITQCLISQRDFDFFSCSHNL FKLKHLEIRGMILYALDLMPLRGLLQKVADTLEFLDFQWCRMKDSQINALLPALSQCTHL NQINFYNNDFSMSTLKELLQHTANWSKMNVEQCPAPLQCYNELGHVSVERFVQLCQELMY TLRAIRQPKSISFATDSCHKCCKPCVYDQDPILCSCFQ >ENSMUSP00000069077.5 pep:known chromosome:GRCm38:8:70450228:70476943:-1 gene:ENSMUSG00000055707.12 transcript:ENSMUST00000066597.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl26 description:kelch-like 26 [Source:MGI Symbol;Acc:MGI:2443079] MAESGGSSGSSQSPERPSSLADRNGALKCTFSAAGHSTGLLQGLAALRAQGQLLDVVLTV NSEAFHAHKVVLAACSDYFRAMFTGGMREANQAVIQLQGVSARGLRHIIDFAYSAEVTLD LDCVQDVLGAAVFLQMLPVVELCEDFLKAAMSVETCLHIGQMATTFSLTSLRESVDAFTF RHFLQIAAEEDFLRLPLERLVFFLQSNRLQSCAEIDLFRAAVRWLQHDPARRARASLVLR HVRFPLMQPAELVDSVQTLDVMLDDALCRQYLLEAFSYHVLPCRQHDMQSPRTAVRSDVA SLVAFGGTPYTDSDRAVSSKVFQLPEPGARHFRELTEMELGCSHAGVAVLDNFVYVAGGQ HLQHRSGEGAVDACYRYDPQRNRWLRLRALRESRVQFQLTALGGLLYATGGRNRAGSLAS VERYCPRRDSWDFACPLKRRTWGHAGAAAAGRLYISGGYGVSAEDKKALQCYDPAADRWE PRAPMREPRVLHAMLGAAGRIYALGGRMDHVDRCFDVLAVEYYVPDADQWTSVTPMRAGQ SEAGCCLLERKIYIVGGYNWRLNNVTGIVQVYNTETDEWERDLHFPESFAGIACAAVLLP RSGHGR >ENSMUSP00000129607.1 pep:known chromosome:GRCm38:8:70450228:70476943:-1 gene:ENSMUSG00000055707.12 transcript:ENSMUST00000166976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl26 description:kelch-like 26 [Source:MGI Symbol;Acc:MGI:2443079] MAESGGSSGSSQSPERPSRAMFTGGMREANQAVIQLQGVSARGLRHIIDFAYSAEVTLDL DCVQDVLGAAVFLQMLPVVELCEDFLKAAMSVETCLHIGQMATTFSLTSLRESVDAFTFR HFLQIAAEEDFLRLPLERLVFFLQSNRLQSCAEIDLFRAAVRWLQHDPARRARASLVLRH VRFPLMQPAELVDSVQTLDVMLDDALCRQYLLEAFSYHVLPCRQHDMQSPRTAVRSDVAS LVAFGGTPYTDSDRAVSSKVFQLPEPGARHFRELTEMELGCSHAGVAVLDNFVYVAGGQH LQHRSGEGAVDACYRYDPQRNRWLRLRALRESRVQFQLTALGGLLYATGGRNRAGSLASV ERYCPRRDSWDFACPLKRRTWGHAGAAAAGRLYISGGYGVSAEDKKALQCYDPAADRWEP RAPMREPRVLHAMLGAAGRIYALGGRMDHVDRCFDVLAVEYYVPDADQWTSVTPMRAGQS EAGCCLLERKIYIVGGYNWRLNNVTGIVQVYNTETDEWERDLHFPESFAGIACAAVLLPR SGHGR >ENSMUSP00000071017.4 pep:known chromosome:GRCm38:13:47122720:47247991:1 gene:ENSMUSG00000038068.14 transcript:ENSMUST00000068891.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf144b description:ring finger protein 144B [Source:MGI Symbol;Acc:MGI:2384986] MDSVDGLQCLTMTAENPPSGDLIPAPLVTCKLCLCEQSLDKMTMLQECQCIFCTPCLKQY MVLSIREGCGSPITCPDMVCLNHGTLQETEIACLVPLDEFQLYQRLKFEREVHMDPLRTW CPVADCQTVCHISAGDPGQPVLVECPSCHLKFCSCCKDAWHEESSCRDSQSAMPEHGALF GTDADAPIKQCPVCRIYIERNEGCAQMMCKNCKHTFCWYCLQNLDNDIFLRHYDKGPCRN KLGHSRASVMWNRTQVVGILVGLGVIALVTSPLLLLASPCIICCVCKSCRGKKKKHDPST T >ENSMUSP00000105738.2 pep:known chromosome:GRCm38:13:47194003:47247991:1 gene:ENSMUSG00000038068.14 transcript:ENSMUST00000110111.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf144b description:ring finger protein 144B [Source:MGI Symbol;Acc:MGI:2384986] MDSVDGLQCLTMTAENPPSGDLIPAPLVTCKLCLCEQSLDKMTMLQECQCIFCTPCLKQY MVLSIREGCGSPITCPDMVCLNHGTLQETEIACLVPLDEFQLYQRLKFEREVHMDPLRTW CPVADCQTVCHISAGDPGQPVLVECPSCHLKFCSCCKDAWHEESSCRDSQSAMPEHGALF GTDADAPIKQCPVCRIYIERNEGCAQMMCKNCKHTFCWYCLQNLDNDIFLRHYDKGPCRN KLGHSRASVMWNRTQVVGILVGLGVIALVTSPLLLLASPCIICCVCKSCRGKKKKHDPST T >ENSMUSP00000003123.8 pep:known chromosome:GRCm38:8:72219730:72223764:1 gene:ENSMUSG00000003039.8 transcript:ENSMUST00000003123.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam32a description:family with sequence similarity 32, member A [Source:MGI Symbol;Acc:MGI:1915172] MEAYEQVQKGPLKLKGVAELGVTKRKKKKKDKDKAKMLEAMGTSKKSEEEKRRCLDKRTP AQAAFEKMQEKRQMERILKKASKTHKQRVEDFNRHLDTLTEHYDIPKVSWTK >ENSMUSP00000025462.6 pep:known chromosome:GRCm38:18:80192265:80200658:-1 gene:ENSMUSG00000024570.6 transcript:ENSMUST00000025462.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbfa description:ribosome binding factor A [Source:MGI Symbol;Acc:MGI:1915981] MWAGVAGLRGSCAGLQALWGGHRAALLLGRSPALHTSVASCGSKNLLKKFASKTRKKFWY EGPSLGSHLTPRPSKHEFLTKTTSKKTRKEDSIRLRVLNGLLYKSLTELLCTPQVSQEVY DLNVELSKVSVTSDFSACRVYWKTGVSAEQNKHTESVLQRSAAYMRHLLISQQTLRNVPP IVFVQDKRDLVLAEVDRLLAEADFGPPDERDDLDGLRNADAQVPHDSPEPAAHPNLCGID HEALNKQIMEYKRKKERGLQCQSLAPPSGREQAPEPTRLLRKREKVRSRWQHRDASPRSF LLGEEDEDEDSSTEWECHAHEAEDDDDHQEEELGADRGAQRGLCGKREQG >ENSMUSP00000059894.5 pep:known chromosome:GRCm38:14:63523616:63543953:-1 gene:ENSMUSG00000035078.5 transcript:ENSMUST00000058679.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr9 description:myotubularin related protein 9 [Source:MGI Symbol;Acc:MGI:2442842] MEFAELIKTPRVDNVVLHRPFYTAVEGTLCLTGHHLILSSRQDNTEELWLLHSNIDAIDK RFVGSLGTIIIKCKDFRIIQLDIPGMEECLNIASSIEALSTLDSVTLMYPFFYRPMFEVI EDGWHSFLPEQEFEFYSSATSEWRLSYINKDFSICPSYPPTVIVPKSVDDEALRKVAAFR HGGRFPVLSYYHKKNGMVIMRSGQPLTGTNGRRCKEDEKLINATLRAGKRGYLIDTRSLN VAQQARAKGGGFEQEAHYPQWRRIHKSIERYHVLQESLIKLVEACNEQTHNMDRWLGKLE ASNWLTHIKEILTTACLAAQCIDREGASVLIHGTEGTDSTLQVTSLAQIILEPRSRTIRG FEALIEREWLQAGHPFQQRCAQSAYCSSKQKWEAPVFLLFLDCVWQILRQFPCSFEFNEH FLIMLFEHAYASQFGTFLGNNESERCKLKLQQKTMSLWSWVNRPGELSKFTNPLFEANNL VIWPSVAPQSLQLWEGIFLRWSRSSKYLDEAYEEMVNIIEYNKELQAKVNVLRRQLAELE TEDGL >ENSMUSP00000072617.5 pep:known chromosome:GRCm38:15:76477445:76500972:1 gene:ENSMUSG00000022556.9 transcript:ENSMUST00000072838.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsf1 description:heat shock factor 1 [Source:MGI Symbol;Acc:MGI:96238] MDLAVGPGAAGPSNVPAFLTKLWTLVSDPDTDALICWSPSGNSFHVFDQGQFAKEVLPKY FKHNNMASFVRQLNMYGFRKVVHIEQGGLVKPERDDTEFQHPCFLRGQEQLLENIKRKVT SVSTLKSEDIKIRQDSVTRLLTDVQLMKGKQECMDSKLLAMKHENEALWREVASLRQKHA QQQKVVNKLIQFLISLVQSNRILGVKRKIPLMLSDSNSAHSVPKYGRQYSLEHVHGPGPY SAPSPAYSSSSLYSSDAVTSSGPIISDITELAPTSPLASPGRSIDERPLSSSTLVRVKQE PPSPPHSPRVLEASPGRPSSMDTPLSPTAFIDSILRESEPTPAASNTAPMDTTGAQAPAL PTPSTPEKCLSVACLDKNELSDHLDAMDSNLDNLQTMLTSHGFSVDTSALLDIQELLSPQ EPPRPIEAENSNPDSGKQLVHYTAQPLFLLDPDAVDTGSSELPVLFELGESSYFSEGDDY TDDPTISLLTGTEPHKAKDPTVS >ENSMUSP00000025406.7 pep:known chromosome:GRCm38:18:52465693:52490738:1 gene:ENSMUSG00000024528.7 transcript:ENSMUST00000025406.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srfbp1 description:serum response factor binding protein 1 [Source:MGI Symbol;Acc:MGI:1914472] MAADPLPPSAMVQPGTLNLNNEVVKMRKEVKRIRVLVIRKLVRSVGRLKSKKGTEDALLK NQRRAQRLLEEIHAMKELKPDVVTKSALSDDINFEKTCKKPDSTATDRAVARLAGHPLLK KKIDVLKDAVQAFKDARQSAPAAESSESTSGEGRCKDIARSKDDARESQHPERTVVREQK AKDTNTAAKNAASGSKEKLAKTEQAPRAGTTPGSQGRPSGKGAGVNSEHQGAPAPGDSNQ GKASTKTPEDSVCEPANNGVSEEEESEGEKEYFDDSTEERFYKQSSASEDSDSGDDFFIG KVRRTRKKESGVHSSAKELKPLPKVPSKTSTLETPWDVRNDKHRPIPEARKFESVFFHSL AGPKSSRRDPREQAPKNKAPDFPENEPPVKKQFTKSAYRGFESVKQTMQAPLHPSWEASR RRKEQQSKIAVFQGKKITFDD >ENSMUSP00000021817.8 pep:known chromosome:GRCm38:13:49421311:49462990:1 gene:ENSMUSG00000021385.9 transcript:ENSMUST00000021817.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ippk description:inositol 1,3,4,5,6-pentakisphosphate 2-kinase [Source:MGI Symbol;Acc:MGI:1922928] MEEGKMDENEWSYHGEGNKSLVVAHAQRCVVLRFLKFPPNKKKTSEEILQHLQNIVDFGK NVMKDFLGENYVHCGEVVQLPLEFVKQLCLKIQCERPESRCDKDLDTFSGYAMCLPNLTR LQTFHFAEHRPILCVEIKPKCGFIPFSNDVTHEMKHKVCRYCMHQHLKVATGKWKKISKY CPLDLYSGNKQRMHFALRSLLQETQNNLRIFKNGELIYGCGDARSPVADLKELAHHLKPF FFPSNGLASGPHCTKAVIRELVHVITRVLLSSSEKARAGALRLGLQGPRVCEASPFSRSL HNQGKNTSEHSGLPKGCLLYKTLQVQMLDQLDIEGLYPLYKRVEQYLEEFPEERKTLQID GPYDEVFYQKLLDLSTEDDGTVAFALTKVQQYRVAMTAKDCSIMIALSPCLQGTSSDQRP VIPSSRSRLAFSVSVLDLDLKPYESIPHQYKLDSKIVNYYSKTVHAKDDTVRSTRFKEHE DCTLVLHKV >ENSMUSP00000023363.6 pep:known chromosome:GRCm38:16:13780699:13814840:1 gene:ENSMUSG00000022682.7 transcript:ENSMUST00000023363.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrn3 description:RRN3 RNA polymerase I transcription factor homolog (yeast) [Source:MGI Symbol;Acc:MGI:1925255] MAAPLLHTRLSGDVTAAASATLSASRTGLSDMLALESDFFNSPPKKTVRFGGTVTEVLLK YKKGETNDLELLKNQLSDPDIKDDQIINWLLEFRSSVMYLTKDFEQLINIILRLPWLNRS QRVVEEYLAFLGNLVSAQTVFLRPCLSMIASHFVPPRVIVKEGGIDVSDSDDEDDNLPAI FDTCHRALQIITRYVPSTPWFLMPILVEKFPFVRKSERTLECYVHNLLRISLYFPTLRRE ILELVIEKLLKLDVSVSRQDIEDAEEKAAQTCGGTDTTEGLFNMDEDEDTDPEKKADQEQ PNQMAHPTAERLDVLLCLLLSYIEDVCRVHGKIDNNKTKDLYRDLISIFDKLLLPTHASC HVQFFMFFLCSFKLGFAEAFLEHLWKKLQDPNNPAIIRQAAANYIGSFLARAKFIPLITV KTCLDLLVNWLHMYLTNQDSGTKAFCDVALHGPFYSACQAVFYTVVFRHKQLLSGNLKQG LQYLQSLNFERIVLSQLNPLKICLPQVVNFFAAITNKYQLVFCYTIMERNSRQMLPVIRS TAGGDSVQTCTNPLDTFFPFDPCVLKRSKKFIDPIYQIWEDGSAEELQEFKKSTKKEVVE DEDDDFLKGEVPQSDTVTGLTPSSFDTHFQSPSSSVGSPPVLYIPGQSPLLTRIYD >ENSMUSP00000111535.2 pep:known chromosome:GRCm38:18:7347962:7626863:-1 gene:ENSMUSG00000057440.7 transcript:ENSMUST00000115869.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp7 description:membrane protein, palmitoylated 7 (MAGUK p55 subfamily member 7) [Source:MGI Symbol;Acc:MGI:1922989] MPALATGSACDMGLYELLAALPAQLQPHVDSQEDLTFLWDVFGEKSLHSLVKIHEKLHCY EKQNPLPILHGAAALADDLTEELQNKLPNSEIRELLKLLSKPNVKALLSVHDTVAQKSYD PVLPPVPDDIDDEEDSVKIIRLVKNSEPLGATIKKDEQTGAITVARIMRGGAADRSGLIH VGDELREVNGIPVEDKRPEEIIKILSQSKGAITFKIIPSTKEETPSKEGKIFIKALFDYD PKEDKAIPCKEAGLSFRKGDILQIMSQDDVTWWQAKHEGDANPRAGLIPSKHFQERRLAL RRPEIVVQPLKLSNTKSSGFRRSFRLSRKNKKINKSMYECKKSEQYDTADVPTYEEVTPY RRQIHDKYRLIVLVGPVGVGLNELKRKLLMSDAQHYGVIVPHTTRARRSQESDGVEYIFI SKHLFETDVQNNKFIEYGEYKNNYYGTSIDSVRSVLAKNKVCLLDVQPHTVKHLRTLEFK PYVIFIKPPSIERLRETRKNAKIISSRDDQGTAKPFTEEDFQEMIKSAQIMESQYGHLFD KIIINDDLTVAFNELKTTFDKLETDTHWVPVSWLHS >ENSMUSP00000036598.4 pep:known chromosome:GRCm38:15:78850862:78855529:-1 gene:ENSMUSG00000043501.4 transcript:ENSMUST00000044584.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgals2 description:lectin, galactose-binding, soluble 2 [Source:MGI Symbol;Acc:MGI:895068] MSEKFEVKDLNMKPGMSLKIKGKIHNDVDRFLINLGQGKETLNLHFNPRFDESTIVCNTS EGGRWGQEQRENHMCFSPGSEVKITITFQDKDFKVTLPDGHQLTFPNRLGHNQLHYLSMG GLQISSFKLE >ENSMUSP00000025399.7 pep:known chromosome:GRCm38:18:66458604:66465550:1 gene:ENSMUSG00000024521.7 transcript:ENSMUST00000025399.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmaip1 description:phorbol-12-myristate-13-acetate-induced protein 1 [Source:MGI Symbol;Acc:MGI:1930146] MPGRKARRNAPVNPTRAELPPEFAAQLRKIGDKVYCTWSAPDITVVLAQMPGKSQKSRMR SPSPTRVPADLKDECAQLRRIGDKVNLRQKLLNLISKLFNLVT >ENSMUSP00000048680.3 pep:known chromosome:GRCm38:19:23558760:23652812:-1 gene:ENSMUSG00000033053.3 transcript:ENSMUST00000035849.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700028P14Rik description:RIKEN cDNA 1700028P14 gene [Source:MGI Symbol;Acc:MGI:1914733] MGTFDSQCHECFESKERWYEIGPTDLLERKGSLTLRSHHKKYSEPVLVYSWHRNREAFPK DYDIESLETVKNLCMSTYKRFGSDSPRWMSEAREQMAQVLVNKDLVEKKKTGLLDEETLC PGILERDPELPPTGFRDVPMESPPDPRKPCFSTTYSEDFTPQYEYPPPACPRREEYSIVH RKCRSQFTDLNGSKRLGINTWHDESGIYANSEAKQKLYALARNPIVPF >ENSMUSP00000073038.6 pep:known chromosome:GRCm38:17:21707741:21725636:1 gene:ENSMUSG00000067928.6 transcript:ENSMUST00000073312.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp760 description:zinc finger protein 760 [Source:MGI Symbol;Acc:MGI:2679257] MAASPGLLTFGDVALDFSQEEWECLDSAQRALYIDVMLENYINLVSVEKHHMCGKYEKVL DQHSEHQHVNIQEKSYKYKELGKMVHESSPCTPYNTSSTAENCNKYSYQDASIESSLIRH NSVHTGEELFKYKECGKCLCSNICPNQSIHDIKMEHKYTESDKLCGSTHRLVQQRTHSGE KAHNCGKCFRSCSSLSTHQRLHTGEKPWKCKECGKSFKWLSHLKAHCRIHTGEKPFKCNE CDKGFSRLSRLKSHYRTHTGEKPYKCEECGKCFSRLSRLKNHYRIHTGEKPYKCKECGKS FTHCTGLSTHQKIHTGEKPHKCKNCGKSFHLLSTLKEHFRVHTREKPYKCKECGKFFHWL SGLKSHYRIHTGETPYKCKECDKSFSQLSRLKSHYRTHTGEKSCKCLECDKYFTQCTALK RHQKIHTDEKPYKCEECSKCFYVLSHLKSHCRTHTGEKPYKCEECGKCLSQLSKLKSHYR IHTGEKPYKCKECDKSFTHCTALSTHQKIHTGEKPYSCKDCGKSFYLLSRLKEHYRVHTG EKPYNCKECGKFFHWLSNLKRHSRIHTGEKPYKCEECDKSFTHCSSLKAHQKIHAGVKPY KCEECSKSFYWLSNLKTHSRIHTGEKPYKCGKCDKSFTQRSLLTKHNRIHTREKHENRKY VRTFDE >ENSMUSP00000019577.8 pep:known chromosome:GRCm38:8:83652678:83664788:1 gene:ENSMUSG00000019433.8 transcript:ENSMUST00000019577.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gipc1 description:GIPC PDZ domain containing family, member 1 [Source:MGI Symbol;Acc:MGI:1926252] MPLGLGRRKKAPPLVENEEAEPSRSGLGVGEPGPLGGSAAGESQMGLPPPPAALRPRLVF HTQLAHGSPTGRIEGFTNVKELYGKIAEAFRLPAAEVMFCTLNTHKVDMDKLLGGQIGLE DFIFAHVKGQRKEVEVFKSEEALGLTITDNGAGYAFIKRIKEGSVIDHIQLISVGDMIEA INGQSLLGCRHYEVARLLKELPRGRTFTLKLTEPRKAFDMISQRSAGGHPGSGPQLGTGR GTLRLRSRGPATVEDLPSAFEEKAIEKVDDLLESYMGIRDTELAATMVELGKDKRNPDEL AEALDERLGDFAFPDEFVFDVWGAIGDAKVGRY >ENSMUSP00000002923.8 pep:known chromosome:GRCm38:16:38445399:38452689:-1 gene:ENSMUSG00000002844.8 transcript:ENSMUST00000002923.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adprh description:ADP-ribosylarginine hydrolase [Source:MGI Symbol;Acc:MGI:1098234] MGGGLIERYVAAMVLSAAGDTLGYFNGKWEFIRDGETIHQQLAQMGDLEAIDVARWRVSD DTVMHLATAEALMEAGQSPDLPRLYSLLAKHYRDCMGDMDGRAPGGACMQNAMLLQPNRA DGYRIPFNSHEGGCGAAMRAMCIGLRFPHPSQLDLLIQVSIESGRMTHHHPTGYLGSLAS ALFTAYAVNGKSPWQWGKGLMEVLPEAKKYITQSGYFVKENLQHWSYFEKEWEKYLELRG ILDGNSAPVFPQPFGVKERDQFYIDVSYSGWGGSSGHDAPMIAYDALLAAGDSWKELAHR AFFHGGDSDSTAAIAGCWWGVMYGFKGVNPANYEKLEYRQRLEEAGRALYSLGSKEDPVL DP >ENSMUSP00000025293.3 pep:known chromosome:GRCm38:18:38418975:38464399:1 gene:ENSMUSG00000024425.3 transcript:ENSMUST00000025293.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndfip1 description:Nedd4 family interacting protein 1 [Source:MGI Symbol;Acc:MGI:1929601] MALALAALAAVEPACGSGYQQLQNEEEPGEPEQTAGDAPPPYSSITAESAAYFDYKDESG FPKPPSYNVATTLPSYDEAERTKTEATIPLVPGRDEDFVGRDDFDDTDQLRIGNDGIFML TFFMAFLFNWIGFFLSFCLTTSAAGRYGAISGFGLSLIKWILIVRFSTYFPGYFDGQYWL WWVFLVLGFLLFLRGFINYAKVRKMPETFSNLPRTRVLFIY >ENSMUSP00000008830.8 pep:known chromosome:GRCm38:17:33241519:33255040:-1 gene:ENSMUSG00000094441.1 transcript:ENSMUST00000008830.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp955a description:zinc finger protein 955A [Source:MGI Symbol;Acc:MGI:4834570] MHRKQKMGPVTFEDVAVNFSLGEWALLDSYQKKLYRDVMMETFMNLISIGKTEEESMDVN YPQLQRNSRIQVVEKFCDYEHSSQCGKTHQQMTEHIVNGESHPAVKVYESSVNVKDINGH SSSDVLLRGQNEEKPYRCQELVEKAIKREKCWKDVTYSESFHTLESVPATENSCENTQTY ESYRTLTPHQDSKRAHAGDELHECKQFEKTLKGESDIQIYERIHTGEKPLEYKQCGEAFV NSSHRTKHQRIHTREKTYSCRHCGETFLYSMARRNHEKTHKRDHTREKTYSCRHCGETFL YSKACRDHEKTHKKERSFICKYCGKACIHSYQLLQHERRHTREKRYTCAQCGKAFRRSSN LHKHERIHSERKLYACKHCGKAFISEGNCSNHERIHTAGKTYVCKQCGKGFTRSLSLQKH ERRHTQEKQYTCAQCGKAFRRSSNLHRHERIHSEKKLYACKHCGKAFNIASYCYNHERIH TAEKTYVCNQCGKGFTLSSYLQKHKRIHTGEKPYTCKYCGKAFTHPGAHHKHEKIHTREK PYVCV >ENSMUSP00000021956.8 pep:known chromosome:GRCm38:13:55530410:55536658:-1 gene:ENSMUSG00000021494.8 transcript:ENSMUST00000021956.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddx41 description:DEAD (Asp-Glu-Ala-Asp) box polypeptide 41 [Source:MGI Symbol;Acc:MGI:1920185] MEDSEPERKRARADEATAGGSRSEDEDEDDEDYVPYVPLRQRRQLLLQKLLQRRRKGATE EEQQDSGSEPRGDEDDIPLGPQSNVSLLDQHQHLKEKAEARKESAKEKQLKEEEKILESV AEGRALMSVKEMAKGITYDDPIKTSWTPPRYVLSMSEERHERVRKKYHILVEGDGIPPPI KSFKEMKFPAAILRGLKKKGILHPTPIQIQGIPTILSGRDMIGIAFTGSGKTLVFTLPVI MFCLEQEKRLPFSKREGPYGLIICPSRELARQTHGILEYYCRLLQEDSSPLLRCALCIGG MSVKEQMETIRHGVHMMVATPGRLMDLLQKKMVSLDICRYLALDEADRMIDMGFEGDIRT IFSYFKGQRQTLLFSATMPKKIQNFAKSALVKPVTINVGRAGAASLDVIQEVEYVKEEAK MVYLLECLQKTPPPVLIFAEKKADVDAIHEYLLLKGVEAVAIHGGKDQEERTKAIEAFRE GKKDVLVATDVASKGLDFPAIQHVINYDMPEEIENYVHRIGRTGRSGNTGIATTFINKAC DESVLMDLKALLLEAKQKVPPVLQVLHCGDESMLDIGGERGCAFCGGLGHRITDCPKLEA MQTKQVSNIGRKDYLAHSSMDF >ENSMUSP00000098096.4 pep:known chromosome:GRCm38:15:76070184:76080946:-1 gene:ENSMUSG00000002524.15 transcript:ENSMUST00000100527.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Puf60 description:poly-U binding splicing factor 60 [Source:MGI Symbol;Acc:MGI:1915209] MATATIALQVNGQQGGGSEPAAAAAAAAAAVVAAGDKWKPPQGTESIKMENGQSTGTKLG LPPLTPEQQEALQKAKKYAMEQSIKSVLVKQTIAHQQQQLTNLQMAAVTMGFGDPLSPLQ SMAAQRQRALAIMCRVYVGSIYYELGEDTIRQAFAPFGPIKSIDMSWDSVTMKHKGFAFV EYEVPEAAQLALEQMNSVMLGGRNIKVGRPSNIGQAQPIIDQLAEEARAFNRIYVASVHQ DLSDDDIKSVFEAFGKIKSCTLARDPTTGKHKGYGFIEYEKAQSSQDAVSSMNLFDLGGQ YLRVGKAVTPPMPLLTPATPGGLPPAAAVAAAAATAKITAQEAVAGAAVLGTLATPGLVS PALTLAQPLGALPQAVMAAQAPGVITGVTPARPPIPVTIPSVGVVNPILASPPTLGLLEP KKEKEEEELFPESERPEMLSEQEHMSISGSSARHMVMQKLLRKQESTVMVLRNMVDPKDI DDDLEGEVTEECGKFGAVNRVIIYQEKQGEEEDAEIIVKIFVEFSMASETHKAIQALNGR WFGGRKVVAEVYDQERFDNSDLSA >ENSMUSP00000002599.9 pep:known chromosome:GRCm38:15:76070184:76080946:-1 gene:ENSMUSG00000002524.15 transcript:ENSMUST00000002599.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Puf60 description:poly-U binding splicing factor 60 [Source:MGI Symbol;Acc:MGI:1915209] MATATIALQVNGQQGGGSEPAAAAAAAAAAVVAAGDKWKPPQGTESIKMENGQSTGTKLG LPPLTPEQQEALQKAKKYAMEQSIKSVLVKQTIAHQQQQLTNLQMAAQRQRALAIMCRVY VGSIYYELGEDTIRQAFAPFGPIKSIDMSWDSVTMKHKGFAFVEYEVPEAAQLALEQMNS VMLGGRNIKVGRPSNIGQAQPIIDQLAEEARAFNRIYVASVHQDLSDDDIKSVFEAFGKI KSCTLARDPTTGKHKGYGFIEYEKAQSSQDAVSSMNLFDLGGQYLRVGKAVTPPMPLLTP ATPGGLPPAAAVAAAAATAKITAQEAVAGAAVLGTLATPGLVSPALTLAQPLGALPQAVM AAQAPGVITGVTPARPPIPVTIPSVGVVNPILASPPTLGLLEPKKEKEEEELFPESERPE MLSEQEHMSISGSSARHMVMQKLLRKQESTVMVLRNMVDPKDIDDDLEGEVTEECGKFGA VNRVIIYQEKQGEEEDAEIIVKIFVEFSMASETHKAIQALNGRWFGGRKVVAEVYDQERF DNSDLSA >ENSMUSP00000055449.4 pep:known chromosome:GRCm38:14:63992431:64033504:1 gene:ENSMUSG00000046049.6 transcript:ENSMUST00000058229.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rp1l1 description:retinitis pigmentosa 1 homolog (human)-like 1 [Source:MGI Symbol;Acc:MGI:2384303] MNSTPGDTRDAPAPSHPAPSHRQCLLPSVAHTPSVTEVTPAKKITFLKRGDPQFAGVRLA VHQRTFKTFSSLMDELSQRMPLSFGVRSVTTPRGLHGLSALEQLQDGGCYLCSDRKPPKT SREPGRLQRKSPSAGQAQVFQGGHEAPETSYSWKGPVAPRRLTLVKNGDPRRQQTVVLSH KNTRSLAAFLGKASELLRFPVKQVYTTRGKKVDSLQTLLDGPSVLVCAGNEAFRCLEMEN DRGNRTRKLSSVTARSERGCWGPNAKQSVIHSRGRSGGKLRQVSLTSERSGLSDHPASGH RAWAGPALDRCPQDMPVPPGSLVAADDVEKKVCMNEDGSLSVEMKVRFQLLGEDTLRWSQ RVGQASVFTAASGKGQDPREADRFCCRQEGYPWGILKPGAQGLGSYDGGCQEAFDVGQKS QPSYDIWRNPLATPEGTGPTPRRRWGLAKLSGCKSHWRQEANHRKGHDKDNLSRVSTPRH PRSVQPGSCCPWTPDGDTGSDTLHPVSSASSHNETDLESGEGLCLEDTGPHGSRPETQST ERALSDTSVSAKSREESSEGGGQLHRSSSQARVMASREQVTKGDNPCISTQSHLPLNHMG LQTEKYRQGTRGWEVSGEPELRLALVPGHSGSQDTQRDALPAPACAPAQWRQRKQKRPAS VECLPSVSVPYQVAQKGHARQDHYYRDTQSSLDTALQMPMPQEREQACPGSPAPQSPSNS PSAGNQASEDLRSPFSSSLDLQEPQATSKATTIAVSGSDCVCHSTRSVEPAGDTKCQAHS STPTPAHRGELGCLWDKAGTTPEPFSFSVLLDRCPEADDPRTYHDCCCLQAVPSSPLAAP SGQTQTSISEACLGGSSFCPTPPKEQTCFGRESASNGSTSSGHSRADGFAGPRRTLLVKS PGVRGSLEEREADGGVTPSALPYASPDAVVREWLGNIPEKPVLMTYEMADENTEVPSDGP EGPKEDSLKVLGEPSQAKQQPPEGATNEHPEPAGVLSGPGSVCCRLGGDLHPDATSGERL KAPAEAGIGEGARVDHGVSLCALPTKVAASTQIMKALLGSKPGRPSSLPEVSSTVAQRLS SSAGAFIACLARLHFFDESLGPLDGKVRLEESPKYQEMLRLFQTLWPGSELWQGQLDFSL RKLTSHQALLGTEDFTPTSSSGVDVSSGSGGSGESSVPCVMDNTLAPEKRDLPLKIPSQR PDSRNQGYPELVGHSTVSSVSQVRACATGGEETGKGGRKQTWGNAPEQSVHSTMLEGDAL SEETEGRVRERLQENSVHGKGLPEEGVRVCSQEMLAAGSQDGAGSPEDTRVPTDEAGADA ASGGLWPLDGREEPTESPQHFSESNSRVREHQSAHKLELGLEEVSRLDARGCKQACIKAS SGTMAHKGSLDPDPIWVSKLLKKIEKAFMAHLADATAELRARWDLHDNHLLDQMVTELEQ DVGRRLQASTVMEVRKIQSRAGRMVPEPPREALRGQASLQTEQRRRRLQGLRNFSAVPGQ GPLSLTLEDGPTLKTALGTKSGAEPAEDEFCPCEICLKKKRTPRFPKDAATVSGAPVRKA FDLQQILQSKKGGSSNREAMEVAPQRTGRMLSQEDLGTVQGADEKQGLGVAEGEEGEGKQ RLRAEEDPEILKTEGSGCCAPEEDEATEEDGEICIGTAQESQQLEGTEMGKEGTLPQSFR DGGTLEAPARQGTHSVEIQEASRERQQEVEGRHQDVKEDSPWVSSGESQGRVGSENTSLD QEGRLLNHHQRPGPQSHHTACSSRALSLDNSSQVSQKGSDGDLTSGDLKCTKAKNSRVLH AEKKVPVMYPERSSSEQEVPSSPRLPKQGKGEDEGSAGSLACTQVGGKVDGFGQDDLDF >ENSMUSP00000020268.5 pep:known chromosome:GRCm38:10:62743928:62792368:-1 gene:ENSMUSG00000020074.6 transcript:ENSMUST00000020268.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccar1 description:cell division cycle and apoptosis regulator 1 [Source:MGI Symbol;Acc:MGI:1914750] MAQFGGQKNPPWATQFTATAVSQPAALGVQQPSLLGASPTIYTQQTALAAAGLTTQTPAN YQLTQTAALQQQAAAVLQQQYSQPQQALYSVQQQLQQPQQTILTQPAVALPTSLSLSTPQ PAAQITVSYPTPRSSQQQTQPQKQRVFTGVVTKLHDTFGFVDEDVFFQLGAVKGKTPQVG DRVLVEATYNPNMPFKWNAQRIQTLPNQNQSQTQPLLKTPTAVIQPIVPQTTFGVQAQPQ PQSLLQAQISAASITPLLQTQPQPLLQQPQQKAGLLQPPVRIVSQPQPARRLDPPSRFSG RNDRGDQVPNRKDDRSRERDRERRRSRERSPQRKRSRERSPRRERERSPRRVRRVVPRYT VQFSKFSLDCPSCDMMELRRRYQNLYIPSDFFDAQFTWVDAFPLSRPFQLGNYCNFYVMH REVESLEKNMAVLDPPDADHLYSAKVMLMASPSMEDLYHKSCALAEDPQDLRDGFQHPAR LVKFLVGMKGKDEAMAIGGHWSPSLDGPNPEKDPSVLIKTAIRCCKALTGIDLSVCTQWY RFAEIRYHRPEETHKGRTVPAHVETVVLFFPDVWHCLPTRSEWETLSRGYKQQLVEKLQG ERKKADGEQDEEEKDDGEVKEIATPTHWSKLDPKAMKVNDLRKELESRALSSKGLKSQLI ARLTKQLKIEEQKEEQKELEKSEKEEEDEDDKKSEDDKEEEERKRQEEVERQRQERRYIL PDEPAIIVHPNWAAKSGKFDCSIMSLSVLLDYRLEDNKEHSFEVSLFAELFNEMLQRDFG VRIYKSLLSLPEKEDKKDKEKKSKKEERKDKKEEREDDIDEPKPKRRKSGDDKDKKEDRD ERKKEEKRKDDSKDDDETEEDNNQDEYDPMEAEEAEDEDDDREEEEVKRDDKRDVSRYCK DRPAKDKEKEKPQMVTVNRDLLMAFVYFDQSHCGYLLEKDLEEILYTLGLHLSRAQVKKL LNKVVLRESCFYRKLTDTSKDDENHEESEALQEDMLGNRLLLPTPTIKQESKDGEENVGL IVYNGAMVDVGSLLQKLEKSEKVRAEVEQKLQLLEEKTDEDGKTILNLENSNKSLSGELR EVKKDLGQLQENLEVSENMNLQFENQLNKTLRNLSTVMDDIHTVLKKDNVKSEDRDEKSK ENGSGV >ENSMUSP00000071284.4 pep:known chromosome:GRCm38:13:66670769:66673330:-1 gene:ENSMUSG00000074830.3 transcript:ENSMUST00000071320.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp640 description:zinc finger protein 640 [Source:MGI Symbol;Acc:MGI:2678025] DAVTFDDVHVNFTKEEWNLLDPSQMNLYKDVMLETYWNLTSIGYKWEDHHIEEPCQSSRR HTRHVRSHSWKKLYECNQCGKAFSSHSSLRYHKRTHTGEKPYECNHCGKAFATPSHLQRH KRTHTGEKPYECNQCGKAFSSHSNLKYHKRTHTGAKPYECNQCGKAFARSTSLQYHKRTH TGEKPYECNQCGKAFSCHSGLRYHKRTHTGEKPYECNQCGKAFATSSHLQCHKRTHTGEK PYECNQCGKAFSSHSNLKYHKRTHTGAKPY >ENSMUSP00000019999.5 pep:known chromosome:GRCm38:10:18588011:18743758:-1 gene:ENSMUSG00000019852.6 transcript:ENSMUST00000019999.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfgef3 description:ARFGEF family member 3 [Source:MGI Symbol;Acc:MGI:106387] MEEILRKLQRDASGSKYKAIKESCTWALETLGGLDTVVKIPPHLLREKCLLPLQLALESK NVKLAQHALAGMQKLLSEERFVSMETDSDEKQLLNQILNAVKVTPSLNEDLQVEVMKVLL CITYTPTFDMNGSAVLKIAEVCIETYTCSCHQRSINTAVRATLSQMLGDLTLQLRQRQEN TIIENPDAPQEFRSQGLTVEALCDDVISVLAVLCEKLQASINDSQQLQLLYLECILSVLS SSSSSMHLHRGFTDLIWKSLCPALVVILGNPIHDKTITSAHSTSTSTSMESDSASLGVSD HGRGSGCSCTAPTLSGPVARTIYYLAAELVRLVGSVDSMKPVLQSLYHRVLLYPPPQHRV EAIKIMKEILGSPQRLYDLAGPSSIESEPRKRSISKRKSHLDLLKLIMDGMTEACIKGGI EACYAAVSCVCTLLGALDELSQGKGLNDTQVQQLLLRLEELRDGAESSRDSMEINEADFR WQRRVLSSEHTPWESGNERSPDISISVTTDTGQTTLEGELGQTTPEDHKNGLKSPAIQEG KGTMGKVSEPEAIDQPDVVQRSHTVPYPDITNFLSVDCRTRSYGSRYSESNFSVDDQDLS RTEFDSCDQYSMAAEKDSGRSDVSDIGSDNCSLADEEQTPRDYIGHRSLRTAALSLKLLK NQEADQHSARLFIQSLEGLLPRLLALSSVEEVDSALQNFASTFCSGMMHSPGFDGGSSLS FQMLMNADSLYTAAHCALLLNLKLSHGDYYRKRPTVAPGMMKEFMKQVQTSGVLMVFSQA WLEELYHQVLDRNMLGEAGYWGSPEDNSLPLITMLTDIDGLESSAIGGQLMASASVESPF TQSRRLDDSTVAGVAFARYILVGCWKNLIDTLSTPLTGRMAGSSKGLAFILGAEGIKEQN QKERDAICMSLDGLRKAARLSCALGVAANCASALAQMAAASCVQEEKEERQSQEPSDALA QVKLKVEQKLEQMGKVQGVWLHTAHVLCMDAILSVGLEMGSHNPDCWPHVFRVCEYVGTL EHTHFSDGISQPPLTIHQPQKTSGSSGLLGEIEFKSSSQEQSLEQGPSLNTAPVVQPHSI QELVRECSRGRTSDFRGGSLSGNSAAKVVLSLSTQADRLFDDATDKLNLTALGGFLYQLK KASQSQLFHSVTDTVDYSLTMPGEVKSTQDQKSALHLFRLGDAMLRIVRSKARPLLHVMR CWSLVAPHLVEAACHKERHVSQKAVSFIHDILTEVLTDWSEPPHFHFNEALFRPFERIMQ LELCDEDVQDQVVTSIGELVEVCSAQIQSGWRPLFSALETVRSGNKSEVKEYLVGDYSMG KGQAPVFDVFEAFLNTDNIQVFANAATSYIMCLMKFVKGLGEVDCKEIGDCVPGAGATST DLCLPALDYLRRCSQLLAKIYKMPLKPIFLSGRLASLPRRLQEQSASSEDGIESVLSDFD DDTGLIEVWIILLEQLTAAVSNCPRQHQPPTLDLLFELLRDVTKTPGPGFGIYAVVHLLL PVMSLWLLRSHKDHSYWDVASANFKHAIGLSCELVVEHIQSFLHSDIRYESMINTMLKDL FELLVVCVAKPTETISRVGCSCIRYVLVTAGPVFTEEMWRLACCALQDAFSATLKPVKDL LGCFHGGTEGFSGEGCQVRVAAPSSSPSAEAEYWRIRAMAQQVFMLDTQCSPKTPNNFDH AQSCQLIIELPHDEKPNGHAKKSVSFREIVVSLLSHQVLLQNLYDILLEEFVKGPSPGEE KTVQVPDTKLAGFLRYISMQNLAVIFDLLLDSYRTAREFDTSPGLKCLLKKVSGIGGAAN LYRQSAMSFNIYFHALVCAVLTNQETITAEQVKKVLFEEEERSSDSSQQCSSEDEDIFEE TAQVSPPRGKEKRQWRARLPSLSVQPVSNADWVWLVKRLHKLCMELCNHYIQMHLDLESS LEEPLTFKSDPFFILPSFQSESSTPSTGGFSGKNTPSEDDRREHLSEPQSLRVGSGDMLM LPPSPKTEKKDPGRKKEWWESAGNKICTMAADKTISKLMTEYKKRRQPHNLPPFPKEVKV DKKGEPLGPRGPDSPLLQRPQHLIDQGQMRHSFSAGPELLRQEKRPRSGSTGSSLSVSVR DAEAQIQAWTNMVLTVLNQIQILPDQTFTALQPAVFPCISQLTCHVTDIRVRQAVREWLG RVGRVYDIIT >ENSMUSP00000034109.4 pep:known chromosome:GRCm38:8:79210430:79248583:-1 gene:ENSMUSG00000031682.4 transcript:ENSMUST00000034109.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011L22Rik description:RIKEN cDNA 1700011L22 gene [Source:MGI Symbol;Acc:MGI:1914937] MTHFLYLTPEILLPFSPLTSTEFELIRRKAQQLWQDETRWSASSMTTYSGSYREKQLDEA TCNRLAQRVGQPQFEYKPTPLPGSSAYNTLPGHAGSQEAADGKGRLPDIASPSRDSPLNI KHKVAHQIWGSEVPCPTFLAYRGLRMSPCMQPKKPGFELLMSYRNRGKALLKRLQRQWDY ESKLGSSEDSGTDRFSSNTSGSSGRKFK >ENSMUSP00000047385.4 pep:known chromosome:GRCm38:14:70372480:70429094:-1 gene:ENSMUSG00000033644.4 transcript:ENSMUST00000048129.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Piwil2 description:piwi-like RNA-mediated gene silencing 2 [Source:MGI Symbol;Acc:MGI:1930036] MDPVRPLFRGPTPVHPSQCVRMPGCWPQAPRPLEPAWGRAGPAGRGLVFRKPEDSSPPLQ PVQKDSVGLVSMFRGMGLDTAFRPPSKREVPPLGRGVLGRGLSANMVRKDREEPRSSLPD PSVLAAGDSKLAEASVGWSRMLGRGSSEVSLLPLGRAASSIGRGMDKPPSAFGLTARDPP RLPQPPALSPTSLHSADPPPVLTMERKEKELLVKQGSKGTPQSLGLNLIKIQCHNEAVYQ YHVTFSPSVECKSMRFGMLKDHQSVTGNVTAFDGSILYLPVKLQQVVELKSQRKTDDAEI SIKIQLTKILEPCSDLCIPFYNVVFRRVMKLLDMKLVGRNFYDPTSAMVLQQHRLQIWPG YAASIRRTDGGLFLLADVSHKVIRNDSVLDVMHAIYQQNKEHFQDECSKLLVGSIVITRY NNRTYRIDDVDWNKTPKDSFVMSDGKEITFLEYYSKNYGITVKEDDQPLLIHRPSERQNN HGMLLKGEILLLPELSFMTGIPEKMKKDFRAMKDLTQQINLSPKQHHGALECLLQRISQN ETASNELTRWGLSLHKDVHKIEGRLLPMERINLRNTSFVTSEDLNWVKEVTRDASILTIP MHFWALFYPKRAMDQARELVNMLEKIAGPIGMRISPPAWVELKDDRIETYIRTIQSLLGV EGKIQMVVCIIMGTRDDLYGAIKKLCCVQSPVPSQVINVRTIGQPTRLRSVAQKILLQMN CKLGGELWGVDIPLKQLMVIGMDVYHDPSRGMRSVVGFVASINLTLTKWYSRVVFQMPHQ EIVDSLKLCLVGSLKKYYEVNHCLPEKIVVYRDGVSDGQLKTVANYEIPQLQKCFEAFDN YHPKMVVFVVQKKISTNLYLAAPDHFVTPSPGTVVDHTITSCEWVDFYLLAHHVRQGCGI PTHYICVLNTANLSPDHMQRLTFKLCHMYWNWPGTIRVPAPCKYAHKLAFLSGQILHHEP AIQLCGNLFFL >ENSMUSP00000042303.5 pep:known chromosome:GRCm38:18:20084703:20114773:-1 gene:ENSMUSG00000044322.6 transcript:ENSMUST00000038710.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsc1 description:desmocollin 1 [Source:MGI Symbol;Acc:MGI:109173] MAVACAAPGSTFSKQLLFFLLVLVLFCDACQKVSLHVPSHLKAETPVGKVNLEECLKSPS LILSSDPAFRILEDGTIYTTHDLLLSSEKRGFSILLSDGQGQEQKKLEVVLSAREKKVFR KRHTKEPVHNRSKRRWAPIPCSLMENSLGPFPQHIQQIQSDAAQNYTIFYSISGPGVDKE PYNLFYIEKDTGDIYCTRSIDREQYDQFLVYGYATTADGYAPDYPLPLLFKVEDDNDNAP YFETKLTVFSVPENCRSGTSVGQVTAIDKDEPGTLHTRLKYKILQQIPDQPKHFSIHPDT GVITTTTPLLDREKCDTYKLVMEVRDMGGQPFGLFTTGTITISLEDENDNSPYFTQTSYT TEVEENRIDVEILRMVVHDQDLPNTPHSKAVYTILKGNENGNFKITTDPNTNEGVLCVVK PLNYEVSRQVTLQIGVLNEAQFTNAANAQPPTMCTTTVTVKIKDRDEGPECQPPVKVIQS KDGLPAGQELLGYKAVDPETSSGEGLRYEMVGDEDNWFEINKITGDLRTVKVLDRESKFV KNNQYNISVVATDTAGRSCTGTLVVLLEDFNDHPPQIDKEVTICQQEKDFAVLEPIDLDG PDNGPPFQFLLDNSSSKLWTLESQDGKRAILRQRHNLNYNYYSVPIQIQDRHGFSAKHVL SVRVCDCTTPTECRMAVKEERDAKPNIILGKWAILAMVLGSALLLCILFTCFCVTTTKRT VKKCFPDDVAQQNLIVSNTEGPGEEVTEANIRLPTQTANICDTSMSVGTLGGQGIKTQQS FEMVKGGHTLESHKGGVLGAAEPGRYAYTDWQTFTQPRLGEESIRGHTLIKN >ENSMUSP00000075526.3 pep:known chromosome:GRCm38:13:92844787:92858230:1 gene:ENSMUSG00000021704.7 transcript:ENSMUST00000076169.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtx3 description:metaxin 3 [Source:MGI Symbol;Acc:MGI:2686040] MAAPMELSCWGGGWGLPSVHSESLVVLAYAKFSGAPLKINIIDNTWRGSRGDVPILTTED SIVSKPAKILNFLRKQKYNADCELSAKQGADTLAYIALLEEKLLPAVLHTFWVENENYFT VTKPWFASRIPFPLSLILPGRMSRGALNRILLTRGEPPLYHVQEVEAQIYRDARECLNLL SNRLGTSQFFFGDTPSTLDAYVFGFLAPLYKVSFPKVHLQKHLKQLCNLCRFCDDILDSY FRPGPGGVSPAGQEMVDANLQKLTQLVNKESNLIEKMDGNLRQSPQLLPRKLPTLKLTPA EEESNSLQLLSP >ENSMUSP00000009191.3 pep:known chromosome:GRCm38:16:88777896:88778528:1 gene:ENSMUSG00000009047.3 transcript:ENSMUST00000009191.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5965 description:predicted gene 5965 [Source:MGI Symbol;Acc:MGI:3645197] MAYSCCSGNFSSRSLRSCLPSSGSCRGSSYPSNLVYTTTSCSPSTCQLSSSVRSGCQESC IEPIRCQESCIEPIRCQESCIEPIRCSETCIEPIRCQRSCVVPSPCQKPCYYPRSSTPCQ GTYAGSLDFGSRSCSSLAYGSRSCYPVGCGNSGFRSLDCGVYGFPSLSYGSRFYYPTYMA SSSCQPCYRPICGSGI >ENSMUSP00000137943.1 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3154498:3169029:-1 gene:ENSMUSG00000107871.1 transcript:ENSMUST00000181669.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01093181.2 MLWVLPPPTLKNFQLLCSTDLELCPRNTSSTPGFHPVSAEFGSLHQALKMLWQNLHQFGQ KLVRRRPLAANEQSESSLSRCLTTLDLVSLGVGSTLGAGVYVLAGEVAREKAGPSIIICF LIAALSSVMSGLCYAEFGARVPCSGSAYLYSYVTVGQLLAFITGWNLILNYVIGAASVAR AWSAAFDGLIGNHISQAMQTTFPIQVPSFLAKYPDFFALGLVIVLTGILALGARESALVT RVFTGVNLLVLCFVSLSGLINGKLHNWQLTEDDYKLALLESNNTDSLGPMGSGGFMPFGL KGILRGTATCFFAFIGFDCIASTGEEARCPQRSIPLGIVTSLFICFLMYFGVSGALTLMI PYYQININSPLPQAFIHVGWGPARYAVAVGTLCALSSSLIGSIFPVPRVVYSMAEDGLLF RKLAYVHPRTHTPVLATVLCGIIAAFMAFLVELSDLVDLSSIGTLLAYTLVTFSVLILRY QPDQVLSSCKREKSESAAVELEPGLEPSSCMEPISPEGMPGIARTLCIPTNTTPTLRSGR IVYGCASLLVFLLMLLCLILTQWSTQLFSGDPVLIAMAASLLLLTVGVITVIWRQPQSTT PLHFKVPALPVLPALSIFVNIYLMMQMTSGTWFRFGIWMVIGFAIYFGYGIQHSLEEKND QQPTASSSQTLQELQEHTPSVDLP >ENSMUSP00000144885.1 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3162159:3168931:-1 gene:ENSMUSG00000107871.1 transcript:ENSMUST00000203587.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01093181.2 TLKNFQLLCSTDLELCPRNTSSTPGFHPVSEFGSLHQALKMLWQNLHQFGQKLVRRRPLA ANEQSESSLSRCLTTLDLVSLGVGSTLGAGVYVLAGEVAREKAGPSIIICFLIAALSSVM SGLCYAEFGARVPCSGSAYLYSYVTVGQLLAFITGWNLILNYVIGAASVARAWSAAFD >ENSMUSP00000015581.4 pep:known chromosome:GRCm38:14:56258856:56262260:-1 gene:ENSMUSG00000015437.4 transcript:ENSMUST00000015581.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzmb description:granzyme B [Source:MGI Symbol;Acc:MGI:109267] MKILLLLLTLSLASRTKAGEIIGGHEVKPHSRPYMALLSIKDQQPEAICGGFLIREDFVL TAAHCEGSIINVTLGAHNIKEQEKTQQVIPMVKCIPHPDYNPKTFSNDIMLLKLKSKAKR TRAVRPLNLPRRNVNVKPGDVCYVAGWGRMAPMGKYSNTLQEVELTVQKDRECESYFKNR YNKTNQICAGDPKTKRASFRGDSGGPLVCKKVAAGIVSYGYKDGSPPRAFTKVSSFLSWI KKTMKSS >ENSMUSP00000049527.4 pep:known chromosome:GRCm38:10:23920356:23921469:1 gene:ENSMUSG00000056379.3 transcript:ENSMUST00000051532.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taar1 description:trace amine-associated receptor 1 [Source:MGI Symbol;Acc:MGI:2148258] MHLCHAITNISHRNSDWSREVQASLYSLMSLIILATLVGNLIVIISISHFKQLHTPTNWL LHSMAIVDFLLGCLIMPCSMVRTVERCWYFGEILCKVHTSTDIMLSSASIFHLAFISIDR YCAVCDPLRYKAKINISTILVMILVSWSLPAVYAFGMIFLELNLKGVEELYRSQVSDLGG CSPFFSKVSGVLAFMTSFYIPGSVMLFVYYRIYFIAKGQARSINRTNVQVGLEGKSQAPQ SKETKAAKTLGIMVGVFLVCWCPFFLCTVLDPFLGYVIPPSLNDALYWFGYLNSALNPMV YAFFYPWFRRALKMVLLGKIFQKDSSRSKLFL >ENSMUSP00000022150.6 pep:known chromosome:GRCm38:13:99898484:99900683:-1 gene:ENSMUSG00000021647.6 transcript:ENSMUST00000022150.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cartpt description:CART prepropeptide [Source:MGI Symbol;Acc:MGI:1351330] MESSRLRLLPLLGAALLLLLPLLGARAQEDAELQPRALDIYSAVDDASHEKELPRRQLRA PGAMLQIEALQEVLKKLKSKRIPIYEKKYGQVPMCDAGEQCAVRKGARIGKLCDCPRGTS CNSFLLKCL >ENSMUSP00000072129.3 pep:known chromosome:GRCm38:16:89487374:89487952:-1 gene:ENSMUSG00000059632.3 transcript:ENSMUST00000072280.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap8-1 description:keratin associated protein 8-1 [Source:MGI Symbol;Acc:MGI:1330293] MYYTGAEGSVFPGCYWGSYGYPLGYSVGCGYGSTYSPVGYGLGYGYNGCGAYRRYWPFAL Y >ENSMUSP00000128759.1 pep:known chromosome:GRCm38:15:85859707:85876572:1 gene:ENSMUSG00000022385.10 transcript:ENSMUST00000170629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtse1 description:G two S phase expressed protein 1 [Source:MGI Symbol;Acc:MGI:1352755] MDAGSKKEDFLLLEDEKFDFDLSLSSSSTNEDDEVFFGPVGHKERCIAASLDLNRRVPGQ PLAPGSGSPCTLSPLTGEKFVEVYKEAHLLALQIESHSRREVAQAATPQNPVNQGKETFV QDSQLKVSLFEKEQKRDRSPMSLKRETFCLPSSRVQPPMGEPQLLASPGLLSSPVSAGPA QTQSNQGLPCSSQPLPRESSTSQPPSQAGPQKRITSKLQPPRALPVRGRNLHLATEKLKK EVPASIQRTKLVNEKGSQSDVLQDKPSTAPDAASREGHPGKRSLPIPGKLGLKKTLLKPP GYTGNLTRKSSTSGSASSLESGVYRSSVAGKAKSSEQRSSIPASGSQRRTSTSKSGRIGP AASRQALPAAPARVFGRQANKADAAQTVAEQPKVPTLSPLTQQPQTPEQRGPRLDPDTET PQLNKTVSIKRRDSYLSCKTEAVSTTTNPFKVPQFSVGESPGGVTPKFSRTHRLQSWTPA SRVVSSTPVRRSSGTTPQGLPGSMRTPLSTRRMSVLPTPASRRLSSLPLMAPQSMPRALV SPLCVPARRLSSEPRRRSTVRAELTQESSGSGSGGQAQGLSSDESSSPPSSVPQALNFSP EKSASPPPQGSSTGAAQGEAEPPEDTLPSEVHGGGCSHTPSEGLLLDLKLDQLTITPEAG GRDLADCPLIDFSNTPESNTALGPSSWPLIDLIMNTPDMGRNDVGKPAKAELGQLIDLGS PLIQLSPEADKENVDSPLLKF >ENSMUSP00000025490.8 pep:known chromosome:GRCm38:18:57354733:57392719:1 gene:ENSMUSG00000024594.8 transcript:ENSMUST00000025490.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrc1 description:proline-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1916106] MMEESGIETTPPGTPPLHPAGLAAVPSTEAHSAATSSFSSPNVSGMESLPPHVYSTPQPS LPPVQPSAPPPFVSMSPAPSVPLSGTSVPPSVSPSPATAFSGPPMSHFPPATSASGALLS APPSGPPISGFSVGTTYDITRGHAGRAPQTPLMPSFSAPPVTGILPAPITQQASMTSLAQ GPGTTSAITFPEEQEDPRINRGQDDAPAGGIWGFIKGVAGNPMVKSVLDKTKHSVESMIT TLDPGMAPYIKSGGELDIVVTSNKEVKVAAVRDAFQEVFGLAVVVGEAGQSNIAPQPVGY AAGLKGAQERIDSLRRSGAIHEKQTAVSVENFIAELLPDKWFDIGCLVVEDPVHGIRLEA FTQATPVPLEFVQQAQSLTPQDYNLRWSGLLVTVGEVLEKSLLNVSRTDWHLAFTGMSRR QMIYSAAKAVAGMYKQRLPPRPM >ENSMUSP00000055743.7 pep:known chromosome:GRCm38:15:78399556:78405859:-1 gene:ENSMUSG00000044986.8 transcript:ENSMUST00000058659.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tst description:thiosulfate sulfurtransferase, mitochondrial [Source:MGI Symbol;Acc:MGI:98852] MVHQVLYRALVSTKWLAESIRSGRLGPSLRVLDASWYSPGTRQARKEYQERHVPGASFFD IEECRDTTSPYEMMLPSEAHFGDYVGNLGISNDTHVVVYDGDDLGSFYAPRVWWMFRVFG HRTVSVLNGGFRNWLKEGHPVTSEPSRPEPAVFKATLNLSLLKTYEQVLENLQSKRFQLV DSRAQGRYLGTQPEPDIVGLDSGHIRGSVNMPFMDFLTKDGFEKSPEELRAIFQDKKVDL SQPLIATCRKGVTACHVALAAYLCGKPDVAVYDGSWSEWFRRAPPETRVSQGKSGKA >ENSMUSP00000064808.6 pep:known chromosome:GRCm38:15:87625230:87759336:1 gene:ENSMUSG00000054863.8 transcript:ENSMUST00000068088.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam19a5 description:family with sequence similarity 19, member A5 [Source:MGI Symbol;Acc:MGI:2146182] MQLLKALWALAGAALCCFLVLVIHAQFLKEGQLAAGTCEIVTLDRDSSQPRRTIARQTAR CACRKGQIAGTTRARPACVDARIIKTKQWCDMLPCLEGEGCDLLINRSGWTCTQPGGRIK TTTVS >ENSMUSP00000002452.6 pep:known chromosome:GRCm38:17:56717762:56724248:1 gene:ENSMUSG00000002379.6 transcript:ENSMUST00000002452.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa11 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex 11 [Source:MGI Symbol;Acc:MGI:1917125] MAMVKRFFESYHEVPDGTQCHRKTYITTALGGICGIIGSAYSVSLNPADSTLEAVARVGR YTFTAAAIGAMFGLTTCVSAQVREKPDDPLNYFIGGCAGGLTLGARTHSYGTAAMGCVYM GTAAALFKIGKLEGWELFPTPKV >ENSMUSP00000043618.3 pep:known chromosome:GRCm38:18:24484962:24530204:-1 gene:ENSMUSG00000040446.3 transcript:ENSMUST00000046206.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprd1a description:regulation of nuclear pre-mRNA domain containing 1A [Source:MGI Symbol;Acc:MGI:2385066] MSAFSEAALEKKLSELSNSQQSVQTLSLWLIHHRKHSRPIVTVWERELRKAKPNRKLTFL YLANDVIQNSKRKGPEFTKDFAPVIVEAFKHVSSETDESCKKHLGRVLSIWEERSVYEND VLEQLKHALYGDKKARKRTYEQIKVDENENCSSLGSPSEPPQTLDLVRALQDLENAASGD AAVHQRIASLPVEVQEVSLLEKITDKESGERLSKMVEDACMLLADYNGRLAAEIDDRKQL TRMLADFLRCQKEALAEKEHKLEEYKRKLARVSLVRKELRARIQSLPDLSRLPNVTGSHM HLPFAGDIYSED >ENSMUSP00000096389.3 pep:known chromosome:GRCm38:7:16234585:16242331:-1 gene:ENSMUSG00000074361.9 transcript:ENSMUST00000098792.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C5ar2 description:complement component 5a receptor 2 [Source:MGI Symbol;Acc:MGI:2442013] MRGREQRSRCLGARMMNHTTSEYYDYEYDHEHYSDLPDVPVDCPAGTCFTSDVYLIVLLV LYAAVFLVGVPGNTLVAWVTWKESRHRLGASWFLHLTMADLLCCVSLPFLAVPIAQKGHW PYGAAGCWLLSSITILSMYASVLLLTGLSGDLFLLAFRPSWKGADHRTFGVRVVQASSWM LGLLLTVPSAVYRRLLQEHYPPRLVCGIDYGGSVSAEVAITTVRFLFGFLGPLVFMAGCH GILQRQMARRHWPLGTAVVVGFFICWTPYHVLRVIIAAAPPHSLLLARVLEAEPLFNGLA LAHSALNPIMFLYFGRKQLCKSLQAACHWALRDPQDEESAVTKVSISTSHEMVSEMPV >ENSMUSP00000133056.1 pep:known chromosome:GRCm38:7:16234585:16244154:-1 gene:ENSMUSG00000074361.9 transcript:ENSMUST00000171425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C5ar2 description:complement component 5a receptor 2 [Source:MGI Symbol;Acc:MGI:2442013] MKYEGLGDGCLGARMMNHTTSEYYDYEYDHEHYSDLPDVPVDCPAGTCFTSDVYLIVLLV LYAAVFLVGVPGNTLVAWVTWKESRHRLGASWFLHLTMADLLCCVSLPFLAVPIAQKGHW PYGAAGCWLLSSITILSMYASVLLLTGLSGDLFLLAFRPSWKGADHRTFGVRVVQASSWM LGLLLTVPSAVYRRLLQEHYPPRLVCGIDYGGSVSAEVAITTVRFLFGFLGPLVFMAGCH GILQRQMARRHWPLGTAVVVGFFICWTPYHVLRVIIAAAPPHSLLLARVLEAEPLFNGLA LAHSALNPIMFLYFGRKQLCKSLQAACHWALRDPQDEESAVTKVSISTSHEMVSEMPV >ENSMUSP00000052172.4 pep:known chromosome:GRCm38:13:112660766:112738743:1 gene:ENSMUSG00000047789.4 transcript:ENSMUST00000052514.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc38a9 description:solute carrier family 38, member 9 [Source:MGI Symbol;Acc:MGI:1918839] MASVDGDSRHLLSEVEHEVSPGPMNIQFDSSDLRSKRPFYIEPTNIVNVNDVIQRVSDHA AAMNKRIHYYSRLTTPADKALIAPDHVVPAPEECYVYSPLGSAYKLKSYTEGYGKNTSLV TIFMIWNTMMGTSILSIPWGIKQAGFTTGMCVIVLMGLLTLYCCYRVVKSRSTISTSDTS TWEYPDVCKHYFGSFGQWSSLLFSLVSLIGAMIVYWVLMSNFLFNTGKFIFNFIHHINDT DTVLSTNNSNPVICPNAGSGGRPDNSSMIFYNNNTEVQLFEKWWDKSRTVPFYLIGLLLP LLNFKSPSFFSKFNILGTVSVLYLIFVVTLKAVRLGFHLEFHWFVPTEFFVPEIRAQFPQ LMGVLTLAFFIHNCIITLLKNNKNQENNVRDLCIAYMLVTLTYLYIGILVFASFPSPPLP KDCIEQNFLDNFPSSDILSFIARIFLLFQMMTVYPLLGYLARVQLLGHIFGDIYPSIFHV LILNLVIVGAGVTMACFYPNIGGIIRYSGAACGLAFVFIYPSLIYIISLHQEERLTWPKL VFHVIIIILGLANLIAQFFM >ENSMUSP00000093914.4 pep:known chromosome:GRCm38:15:100280837:100293053:1 gene:ENSMUSG00000045631.9 transcript:ENSMUST00000096200.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss12 description:transmembrane (C-terminal) protease, serine 12 [Source:MGI Symbol;Acc:MGI:1922252] MASWALSAALLCLGGAFAYSELHSLSLREGSALGQATVPGPPEEEQPVTKDCGIAPLRGA VEGSRIIGGSQADTGAWPWQVSLQVQDGDILMHVCGGALVRDRWVLTAAHCTKEARDPLK WRAVMGTNDLTRSPYHSRNIRITDIIIPPDFIMETFVNDIALFRLKRAVRYNDYIQPICL PFGVFQKLDQNTACFISGWGRTREEGNGTTILQEAKVHFISREVCSSDQGYSGMIPNTSF CAGHENGTFDSCRGDSGGPLMCYLPEHSRYFVMGITSYGHGCGRRHFPGVYSNPSFFQEW MTHYLSQGNINRLFNMDIVLGQVLTALGSVILLGVT >ENSMUSP00000070394.3 pep:known chromosome:GRCm38:14:119138415:119869594:1 gene:ENSMUSG00000053465.5 transcript:ENSMUST00000065904.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hs6st3 description:heparan sulfate 6-O-sulfotransferase 3 [Source:MGI Symbol;Acc:MGI:1354960] MDERFNKWLLTPVLTLLFVVIMYQYVSPSCTSSCTNFGEQLRSGEARPPAVPSPARRAQA PLDEWERRPQLPPPPRGPPEGSRGVAAPEDEDEDPGDPEEEEEEEEEEPDPEAPENGSLP RFVPRFNFTLKDLTRFVDFNIKGRDVIVFLHIQKTGGTTFGRHLVKNIRLEQPCSCKAGQ KKCTCHRPGKKETWLFSRFSTGWSCGLHADWTELTNCVPAIMEKKDCPRNHSHTRNFYYI TMLRDPVSRYLSEWKHVQRGATWKTSLHMCDGRSPTPDELPTCYPGDDWSGVSLREFMDC SYNLANNRQVRMLADLSLVGCYNLTFMNESERNTILLQSAKNNLKNMAFFGLTEFQRKTQ FLFERTFNLKFISPFTQFNITRASNVDINDGARQHIEELNFLDMQLYEYAKDLFQQRYHH TKQLEHQRDRQKRREERRLQREHRAHRWPKEDRAMEGTVTEDYNSQVVRW >ENSMUSP00000084082.4 pep:known chromosome:GRCm38:17:55959187:55967949:1 gene:ENSMUSG00000003208.8 transcript:ENSMUST00000086869.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc94 description:coiled-coil domain containing 94 [Source:MGI Symbol;Acc:MGI:1920136] MSERKVLNKYYPPDFDPSKIPKLKLPKDRQYVVRLMAPFNMRCKTCGEYIYKGKKFNARK ETVQNEAYLGLPIFRFYIKCTRCLAEITFKTDPENTDYTMEHGATRNFQAEKLLEEEEKR VQKEREDEELNNPMKVLENRTKDSKLEMEVLENLQELKDLNQRQAHVDFEAMLRQHRMSQ EQWQQQQEEEDERETAALLEEARHRRLLEDSESEDEAPPSRPRAAARPNPTAILNEVPQT KRKAEALCSKAQLAGLVVPKKVKTEANGASEQVGVPTAAGAPKSRKADNPTPQTPGTSSL SQLGAYGDSEDSDS >ENSMUSP00000015578.4 pep:known chromosome:GRCm38:14:56156582:56159579:-1 gene:ENSMUSG00000040284.4 transcript:ENSMUST00000015578.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gzmg description:granzyme G [Source:MGI Symbol;Acc:MGI:109253] MPPILILLTLLLPLRAGAEEIIGGHEVKPHSRPYMAFIKSVDIEGKKKYCGGFLVQDDFV LTAAHCRNRSMTVTLGAHNIKAKEETQQIIPVAKAIPHPAFNRKHGTNDIMLLKLESKAK RTKAVRPLKLPRPNARVKPGDVCSVAGWGKTSINATKASARLREAQLIIQEDEECKKLWY TYSKTTQICAGDPKKVQAPYEGESGGPLVCDNLAYGVVSYGINRTITPGVFTKVVHFLPW ISTNMKLL >ENSMUSP00000020106.6 pep:known chromosome:GRCm38:10:68095593:68278726:-1 gene:ENSMUSG00000019947.9 transcript:ENSMUST00000020106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid5b description:AT rich interactive domain 5B (MRF1-like) [Source:MGI Symbol;Acc:MGI:2175912] MEPNSLQWVGSPCGLHGPYIFYKAFQFHLEGKPRILSLGDFFFVRCTPKDPICIAELQLL WEERTSRQLLSSSKLYFLPEDTPQGRNSDHGEDEVIAVSEKVIVKLEDLVKWAHSDFSKW RCGLRATPVKTEAFGRNGQKEALLRYRQSTLNSGLNFKDVLKEKADLGEDEEETNVIVLS YPQYCRYRSMLKRIQDKPSSILTDQFALALGGIAVVSRNPQILYCRDTFDHPTLIENESV CDEFAPNLKGRPRKKKTCPQRRDSFSGSKDPNNNCDGKVISKVKGEARSALTKPKNNHNN CKKTSNEEKPKLSIGEECRADEQAFLVALYKYMKERKTPIERIPYLGFKQINLWTMFQAA QKLGGYETITARRQWKHIYDELGGNPGSTSAATCTRRHYERLILPYERFIKGEEDKPLPP IKPRKQENNTQENENKTKVSGNKRIKQEMAKNKKEKENTPKPQDTSEVSSEQRKEEETLN HKSAPEPLPAPEVKGKPEGHKDLGARAPVSRADPEKANETDQGSNSEKEAEEMGDKGLAP LLPSPPLPPEKDSAPTPGAGKQPLASPSTQMDSKQEAKPCCFTESPEKDLQGAPFSSFSA TKPPLTSQNEAEEEQLPATANYIANCTVKVDQLGSDDIHTALKQTPKVLVVQSFDMFKDK DLTGPMNENHGLNYTPLLYSRGNPGIMSPLAKKKLLSQVSGASLSSSYPYGSPPPLISKK KLIAREDLCSGLSQGHHSQSSDHTAVSRPSVIQHVQSFKNKASEDRKSINDIFKHDKLSR SDAHRCGFSKHQLGSLADSYILKQETQEGKDKLLEKRAVSHAHVPSFLADFYSSPHLHSL YRHTEHHLHNEQSSKYAARDAYQESENGAFLSHKHPEKIHVNYLASLHLQDKKVAAAEAS TDDQPTDLSLPKNPHKLTSKVLGLAHSTSGSQEIKGASQFQVVSNQSRDCHPKACRVSPM TMSGPKKYPESLARSGKPHQVRLENFRKMEGMVHPILHRKMSPQNIGAARPIKRSLEDLD LVIAGKKARAVSPLDPAKEASGKEKASEQESEGNKGAYGGHSGAASEGHKLPLSTPIFPG LYSGSLCNSGLNSRLPAGYSHSLQYLKNQTVLSPLMQPLAFHSLVMQRGIFTSPTNSQQL YRHLAAATPVGSSYGDLLHNSIYPLAGINPQAAFPSSQLSSVHPSTKL >ENSMUSP00000034431.1 pep:known chromosome:GRCm38:8:111864898:111876675:-1 gene:ENSMUSG00000031953.1 transcript:ENSMUST00000034431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem170 description:transmembrane protein 170 [Source:MGI Symbol;Acc:MGI:106426] MEREGSGGGGGSAGLLQQILSLKLVPRVGNGTLCPNSTSLCSFPEMWYGVFLWALMSSVF FHVPAGLLALFTLRHHKYGRFMSVSILLMGIVGPITAGILTSAAIAGVYRAAGKEMIPFE ALTLGTGQTFCVVVVSFLRVLATL >ENSMUSP00000076569.2 pep:known chromosome:GRCm38:9:19477301:19478248:-1 gene:ENSMUSG00000094535.1 transcript:ENSMUST00000077347.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr850 description:olfactory receptor 850 [Source:MGI Symbol;Acc:MGI:3030684] MNNMEKRNQTTFPGFLLLGLTEDPKLQPVFVSLFFSIYLITILGNLLIILISISDAHLHT PMYLFLSNLSLNDICLSTSTIPKMLVNIKENSQSITYKGCLTQMSFVLIFCGMENCLLAV MAYDRYIAICHPLRYTVIMEPCFCVLLILLSLLISVVDTLMHSLMVLRLSFCTHLEISNF ICELPQILKLACSDTLIDNILIYLSACIFTGIPISGIVFSYVHIISSILRMSSLEGKHKA FTTCGSHLSVVSLFYGTAFGVYITSIIMDSSRNTAVASVMYSVVPQMLNPFIYSLRNRDM KEAMGKFFSRMASFL >ENSMUSP00000022849.5 pep:known chromosome:GRCm38:15:11383663:11399658:-1 gene:ENSMUSG00000022241.5 transcript:ENSMUST00000022849.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tars description:threonyl-tRNA synthetase [Source:MGI Symbol;Acc:MGI:106314] MSQEKASSPSGKMDGEKPVDASEEKRKEGGKKKSKDGGGDGGRAELNPWPEYINTRLDMY NKLKAEHDSILAEKAAKDSKPIKVTLPDGKQVDAESWKTTPYQIACGISQGLADNTVVAK VNKVVWDLDRPLETDCTLELLKFEDEEAQAVYWHSSAHIMGEAMERVYGGCLCYGPPIEN GFYYDMYLEEGGVSSNDFSSLETLCKKIIKEKQTFERLEVKKETLLEMFKYNKFKCRILN EKVNTPTTTVYRCGPLIDLCRGPHVRHTGKIKTLKIHKNSSTYWEGKADMETLQRIYGIS FPDPKLLKEWEKFQEEAKNRDHRKIGRDQELYFFHELSPGSCFFLPKGAYIYNTLMEFIR SEYRKRGFQEVVTPNIFNSRLWMTSGHWQHYSENMFSFEVEKEQFALKPMNCPGHCLMFD HRPRSWRELPLRLADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCAMEQIEDEIKGCLD FLRTVYSVFGFSFKLNLSTRPEKFLGDIEIWNQAEKQLENSLNEFGEKWELNPGDGAFYG PKIDIQIKDAIGRYHQCATIQLDFQLPIRFNLTYVSHDGDDKKRPVIVHRAILGSVERMI AILTENYGGKWPFWLSPRQVMVVPVGPTCDEYAQKVRQQFHDAKFMADTDLDPGCTLNKK IRNAQLAQYNFILVVGEKEKASGTVNIRTRDNKVHGERTVEETVRRLQQLKQTRSKQAEE EF >ENSMUSP00000094083.3 pep:known chromosome:GRCm38:15:78282517:78305603:-1 gene:ENSMUSG00000071714.5 transcript:ENSMUST00000096356.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf2rb2 description:colony stimulating factor 2 receptor, beta 2, low-affinity (granulocyte-macrophage) [Source:MGI Symbol;Acc:MGI:1339760] MDQQMALTWGLCYMALVALCWGHEVTEEEETVPLKTLECYNDYTNRIICSWADTEDAQGL INMTLLYHQLDKIQSVSCELSEKLMWSECPSSHRCVPRRCVIPYTRFSNGDNDYYSFQPD RDLGIQLMVPLAQHVQPPPPKDIHISPSGDHFLLEWSVSLGDSQVSWLSSKDIEFEVAYK RLQDSWEDASSLHTSNFQVNLEPKLFLPNSIYAARVRTRLSAGSSLSGRPSRWSPEVHWD SQPGDKAQPQNLQCFFDGIQSLHCSWEVWTQTTGSVSFGLFYRPSPAAPEEKCSPVVKEP QASVYTRYRCSLPVPEPSAHSQYTVSVKHLEQGKFIMSYYHIQMEPPILNQTKNRDSYSL HWETQKIPKYIDHTFQVQYKKKSESWKDSKTENLGRVNSMDLPQLEPDTSYCARVRVKPI SDYDGIWSEWSNEYTWTTDWVMPTLWIVLILVFLIFTLLLALHFGRVYGYRTYRKWKEKI PNPSKSLLFQDGGKGLWPPGSMAAFATKNPALQGPQSRLLAEQQGVSYEHLEDNNVSPLT IEDPNIIRDPPSRPDTTPAASSESTEQLPNVQVEGPIPSSRPRKQLPSFDFNGPYLGPPQ SHSLPDLPGQLGSPQVGGSLKPALPGSLEYMCLPPGGQVQLVPLSQVMGQGQAMDVQCGS SLETTGSPSVEPKENPPVELSVEKQEARDNPMTLPISSGGPEGSMMASDYVTPGDPVLTL PTGPLSTSLGPSLGLPSAQSPSLCLKLPRVPSGSPALGPPGFEDYVELPPSVSQAATSPP GHPAPPVASSPTVIPGEPREEVGPASPHPEGLLVLQQVGDYCFLPGLGPGSLSPHSKPPS PSLCSETEDLDQDLSVKKFPYQPLPQAPAIQFFKSLKY >ENSMUSP00000052322.6 pep:known chromosome:GRCm38:8:105690906:105698178:1 gene:ENSMUSG00000050357.8 transcript:ENSMUST00000062574.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rltpr description:RGD motif, leucine rich repeats, tropomodulin domain and proline-rich containing [Source:MGI Symbol;Acc:MGI:2685431] MPLPLNDVTQAHRSRPELTTRAVHQIQACLWRNNQVDSTSDLKPCLQPLGLISDHSEQEV NELCQSVQEHMELLGCGAGPQGEVAVHQAEDAIQNANFSLSILPILYEAGRSPSHHWQLQ QKLESLLGQVGEICRQDIQDFTQTTLDTTRSLCPQMLQTPGWRKQLEGVLVGSGGLPELL PEHLLQDAFSRLRDMRLSITGTLAESIVAQALAGLHAARDRLVERLTQQAPVTMAPAVPP LGGNELSPLETGGLEELFFPTEKEEEREKDESSSWKWLEPSNCFHLVSSLHGAAEEAERD PELAAPGEDAEPQAGPSARGSPSPAAPGPPAGPLPRMDLPPAGQPLRHPTRARPRPRRQH HHRPPPGGPQVPPALLQEGNGLTARVDEGVEEFFSKRLIQQDHFWAPEEDPATEGGATPV PRTLRKKLGTLFAFKKPRSTRGPRPDLETSPGAAARARKSTLGDLLRPPARPGRGEEPGG AEGGTSSPDPARRNRPRYTRESKAYSMILLPAEEEAAVGTRPDKRRPLERGDTELAPSFE QRVQVMLQRIGVSRASGGAESKRKQSKDGEIKKAGSDGDIMDSSTETPPISIKSRTHSVS ADPSCRPGPGGQGPESATWKTLGQQLNAELRGRGWGQQDGPGPPSPCPSPSPRRTSPAPD ILSLPEDPCLGPRNEERPLRLQRSPVLKRRPKLEAPPSPSLGSGLGSKPLPPYPTEPSSP ERSPPSPATDQRGGGPNP >ENSMUSP00000044604.7 pep:known chromosome:GRCm38:15:102338247:102350759:-1 gene:ENSMUSG00000036678.7 transcript:ENSMUST00000041208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aaas description:achalasia, adrenocortical insufficiency, alacrimia [Source:MGI Symbol;Acc:MGI:2443767] MCSLGLFPPPPPRGQVTLYEHNNELVTGNSYESPPPDFRGQWINLPVLHLTKDPLKAPGR LDHGTRTAFIHHREQVWKRCINVWHDVGLFGVLNEIANSEEEVFEWVKTACSWALALCGR ASSLHGSLFPHLSLRSEDLIAEFAQVTNWSSCCLRVFAWHPHTNKFAVALLDDSIRVYNA NSTIVPSLKHRLQRNVAALAWKPLSASVLAVACQSCILIWTLDPTSLSTRPSSGCAQVLS HPGHTPVTSLAWAPNGGWLLSASPVDAVILVWDVSTETCVPLPWFRGGGVTNLLWSPDGS KVLATTPSAVFRVWEAQMWTCEAWPTLSGRCQTGCWSPDGNRLLFTVLGEALIYSLSFPE RCGTGKGHVGGAKSATIVADLSETTIQTPDGEERLGGEAHSMVWDPSGERLAVLMKGNPQ VQDGNPVILLFRTRNSPVFELLPCGIIQGEPGAQAQLITFHPSFNKGALLSVCWSTGRIT HIPLYFVNAQFPRFSPVLGRAQEPPAGGGGSIHEVPLFTETSPTSAPWDPLPGQSSAQPH SPHSHL >ENSMUSP00000071872.5 pep:known chromosome:GRCm38:9:23223076:23485202:1 gene:ENSMUSG00000031963.7 transcript:ENSMUST00000071982.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bmper description:BMP-binding endothelial regulator [Source:MGI Symbol;Acc:MGI:1920480] MLWFFSVRALAERPCRRSPGITCCVLLLLNCSGVPMSLASSFLTGSVAKCENEGEVLQIP FITDNPCIMCVCLNKEVTCKREKCPVLSRDCALAIKQRGACCERCKGCTHEGRTYNSSFK WQTPAEPCVLRQCQEGVVTESEVRCVVHCKNPAEHQGACCPTCPGCVFEGVQYREGEEFQ PEGNKCITCSCVGGRTQCVREVCPILSCPQHLSHTPSGQCCPKCLGQRKVFDLPFGSCLF RSDVYDNGASFVYDNCTVCTCKDSTMVCKKKCSHPGVCNSDEDACCEDCLLRVPPEDIKV CKFGSKIFRDGEMWSSVNCSICACVKGKTECRKKQCVPVSSCPQGKILNRKGCCPICTEK PGVCTVFGDPHYNTFDGRTFNFQGTCQYVLTKDCSSPASPFQVLVKNDARRTRSFSWTKS VELMLGESTVSLQQHLTVRWNGSRIALPCHTPHFHIDLDGYLLKVTTRAGLEISWDGDSF VEVMAAPHLKGKLCGLCGNYNGHKRDDLIGGDGNFKFDVDDFAESWRVESNEFCNRPQRK PVPELCQGTVKVKLRAHRECQKLKSWEFQTCHSTVDYTTFYRSCVTDMCECPVHKNCYCE SFLAYTRACQREGIKVHWEPQQSCAATQCKHGAVYDTCGPGCVKTCDNWNEIGPCNKPCI AGCHCPANLVLHKGRCIKPVLCPQR >ENSMUSP00000005600.5 pep:known chromosome:GRCm38:8:84066836:84096992:1 gene:ENSMUSG00000031706.6 transcript:ENSMUST00000005600.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfx1 description:regulatory factor X, 1 (influences HLA class II expression) [Source:MGI Symbol;Acc:MGI:105982] MATQSYVTELQAAPQASQPPQAPPQALPQPPPPAAPQPPAAATPQPQYVTELQSPQPQTQ PPGSQKQYVAELPAAPAPSQPATPAPSPVAQQYIVVTVSEGAMRASETVSEASPSSTASQ TGVPTQVVQQVQGTQQRLLVQASVQAKPGHVSPLQLTNIQVPQQAIPTQHLVVQSPAPGT KSGQVSLTVHSAQQVHSAPERSPVQANNSTSKTAGTPAATVQQLQVHSVQQSVPVTQERS VVQATPQTKAGPVQQLTVQGLQPVHVAQEVQQLPQVPVPHVYSSQVQYVEGGDASYTASA IRSSTYQYPETPIYTQTAGTSYYEASGTAAQVSTPATSQTVASSGSVPMYVSGSPIVASS SSSEAGASNSSVGAGGNGGGGSSGGGSGGSSGSGAGTYVIQGGYMLGNASQSYSHTTRAS PATVQWLLDNYETAEGVSLPRSTLYCHYLLHCQEQKLEPVNAASFGKLIRSVFMGLRTRR LGTRGNSKYHYYGLRIKASSPLLRLMEDQQHMAMRGQPFSQKQRLKPIQKMEGVANGVAV GQQSTGLSDISAQVQQYQQFLDASRSLPDFAELDLQGKVLPEGVGPGDIKAFQVLYREHC EAIVDVMVNLQFTLVETLWKTFWRYNLSQPSEAPPLAVHDEAEKRLPRASLVLLSKFQPV LQWTKHCDNVLYQGLVEILIPDVLRPIPSALTQAIRNFAKSLESWLTHAMVNIPEEMLRV KVAAAGAFAQTLRRYTSLNHLAQAARAVLQNTAQINQMLSDLNRVDFANVQEQASWVCRC EDRVVQRLEQDFKVTLQQQNSLEQWAAWLDGVVSQVLKPYQGSSGFPKAAKLFLLKWSFY SSMVIRDLTLRSAASFGSFHLIRLLYDEYMYYLIEHRVAQAKGETPIAVMGEFANLATSL NPLDPDKDEEEEEEEESEDELPQDISLAAGSESPALGPEALEPPAKLARTDTRGLFVQAL PSS >ENSMUSP00000019998.7 pep:known chromosome:GRCm38:10:18845071:18857073:1 gene:ENSMUSG00000019851.7 transcript:ENSMUST00000019998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Perp description:PERP, TP53 apoptosis effector [Source:MGI Symbol;Acc:MGI:1929938] MLRCGLACERCRWILPLLLLSAIAFDIIALAGRGWLQSSNHIQTSSLWWRCFDEGGGSGS YDDGCQSLMEYAWGRAAAATLFCGFIILCICFILSFFALCGPQMLVFLRVIGGLLALAAI FQIISLVIYPVKYTQTFRLHDNPAVNYIYNWAYGFGWAATIILIGCSFFFCCLPNYEDDL LGAAKPRYFYPPA >ENSMUSP00000086640.5 pep:known chromosome:GRCm38:14:67014057:67072471:-1 gene:ENSMUSG00000022052.8 transcript:ENSMUST00000089230.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r2a description:protein phosphatase 2, regulatory subunit B, alpha [Source:MGI Symbol;Acc:MGI:1919228] MAGAGGGNDIQWCFSQVKGAVDDDVAEADIISTVEFNHSGELLATGDKGGRVVIFQQEQE NKIQSHSRGEYNVYSTFQSHEPEFDYLKSLEIEEKINKIRWLPQKNAAQFLLSTNDKTIK LWKISERDKRPEGYNLKEEDGRYRDPTTVTTLRVPVFRPMDLMVEASPRRIFANAHTYHI NSISINSDYETYLSADDLRINLWHLEITDRSFNIVDIKPANMEELTEVITAAEFHPNSCN TFVYSSSKGTIRLCDMRASALCDRHSKLFEEPEDPSNRSFFSEIISSISDVKFSHSGRYM MTRDYLSVKIWDLNMENRPVETYQVHEYLRSKLCSLYENDCIFDKFECCWNGSDSVVMTG SYNNFFRMFDRNTKRDITLEASRENNKPRTVLKPRKVCASGKRKKDEISVDSLDFNKKIL HTAWHPKENIIAVATTNNLYIFQDKVN >ENSMUSP00000019633.7 pep:known chromosome:GRCm38:17:57145997:57149777:-1 gene:ENSMUSG00000019489.7 transcript:ENSMUST00000019633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd70 description:CD70 antigen [Source:MGI Symbol;Acc:MGI:1195273] MPEEGRPCPWVRWSGTAFQRQWPWLLLVVFITVFCCWFHCSGLLSKQQQRLLEHPEPHTA ELQLNLTVPRKDPTLRWGAGPALGRSFTHGPELEEGHLRIHQDGLYRLHIQVTLANCSSP GSTLQHRATLAVGICSPAAHGISLLRGRFGQDCTVALQRLTYLVHGDVLCTNLTLPLLPS RNADETFFGVQWICP >ENSMUSP00000103391.1 pep:known chromosome:GRCm38:7:45513706:45522602:1 gene:ENSMUSG00000023467.17 transcript:ENSMUST00000107762.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp2 description:tubby-like protein 2 [Source:MGI Symbol;Acc:MGI:1861600] MDREGPRGPRSGASQENEQWKKETLEDEFSGVRLQKLEQQRQLFEKKQRRKRQEPLMVQA NPDATLRHRRPRRGEERFQSDSSWGLGVGSPFLQENVPQAHLPSGAHSALVTMSYVADGS GERAPLLSPRGAVYTRGNGPAVRHHLCWLPDSSDSDVEEVTMEDIPVISRPPQTNLANLR RGWLASPGPGISQEEKEEEVGSTDARVEDKTPSPDPDPDPTVNSDGDHGDLAPCKVEENT AQKNTETASGIGDEDREKGEVTESTETNYAPVASKVLQGDDGDASNHNAWNMTCPQPRIP GPRLGEDMEAYVLLPAPRDHMVQCRIVRNKHGMDKGMFPSYYLYLEAEDGVAHFLLAGRK RKRSKTSNYLISLDPKDMSRNGSNFVGKVRSNVLGTKFTIFDNGVNPERSYWVPDSARIR EELGVVCYETNVLGFRGPRKMTVILPGMDSRKQRMKVQPQNDQDSILSRVQKGAGHGLLL LQNKAPSWSDESGAYVLNFHGRVTRASVKNFQIVHPDEPDHLVLQFGRVAPNIFTMDFRY PLCPLQAFAICLSSFDGKLAFF >ENSMUSP00000024233.6 pep:known chromosome:GRCm38:7:45513706:45522380:1 gene:ENSMUSG00000023467.17 transcript:ENSMUST00000024233.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp2 description:tubby-like protein 2 [Source:MGI Symbol;Acc:MGI:1861600] MDREGPRGPRSGASQENEQWKKETLEDEFSGVRLQKLEQQRQLFEKKQRRKRQEPLMVQA NPDATLRHRRPRRGEERFQSDSSWGLGVGSPFLQENVPQAHLPSGAHSALVTMSYVADGS GERAPLLSPRGAVYTRGNGPAVRHHLCWLPDSSDSDVEEVTMEDIPVISRPPQTNLANLR RGWLASPGPGISQEEKEEEVGSTDARVEDKTPSPDPDPDPTVNSDGDHGDLAPCKVEENT AQKNTETASGIGDEDREKGEVTESTETNYAPVASKVLQGDDGDASNHNAWNMTCPQPRIP GPRLGEDMEAYVLLPAPRDHMVQCRIVRNKHGMDKGMFPSYYLYLEAEDGVAHFLLAGRK RKRSKTSNYLISLDPKDMSRNGSNFVGKVRSNVLGTKFTIFDNGVNPERSYWVPDSARIR EELGVVCYETNVLGFRGPRKMTVILPGMDSRKQRMKVQPQNDQDSILSRVQKGAGHGLLL LQNKAPSWSDESGAYVLNFHGRVTRASVKNFQIVHPDEPDHLVLQFGRVAPNIFTMDFRY PLCPLQAFAICLSSFDGKLACE >ENSMUSP00000096426.2 pep:known chromosome:GRCm38:9:45107176:45135606:-1 gene:ENSMUSG00000074385.2 transcript:ENSMUST00000098827.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10684 description:predicted gene 10684 [Source:MGI Symbol;Acc:MGI:3641851] MFSVAPKEHKERSSDSFPWFTRSKQNERITIVSCLKGLGNHLKMYPYLRKKNQTSEIQAT VVGLIPALQGLIQKDLRSSPMESLKAQGRPGCSPSSPARTPLLGEVRGLPPLISAWLSGA VQPSCQTPNPPSSAF >ENSMUSP00000034709.5 pep:known chromosome:GRCm38:9:75347758:75351632:1 gene:ENSMUSG00000032191.5 transcript:ENSMUST00000034709.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l10 description:Bcl2-like 10 [Source:MGI Symbol;Acc:MGI:1330841] MADSQDPLHERTRRLLSDYIFFCAREPDTPEPPPTSVEAALLRSVTRQIQQEHQEFFSSF CESRGNRLELVKQMADKLLSKDQDFSWSQLVMLLAFAGTLMNQGPYMAVKQKRDLGNRVI VTRDCCLIVNFLYNLLMGRRHRARLEALGGWDGFCRFFKNPLPLGFWRRLLIQAFLSGFF ATAIFFIWKRL >ENSMUSP00000024851.9 pep:known chromosome:GRCm38:17:71496100:71526857:-1 gene:ENSMUSG00000024056.9 transcript:ENSMUST00000024851.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndc80 description:NDC80 homolog, kinetochore complex component (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914302] MKRSSVSTCGAGRLSMQELRTLDLNKPGLYTPQTKERSTFGKLSTHKPTSERKVSIFGKR TSGHGSRNSQLGIFSSSEKIKDPRPLNDKAFIQQCIRQLYEFLTENGYVYSVSMKSLQAP STKEFLKIFAFLYGFLCPSYELPGTKCEEEVPRIFKALGYPFTLSKSSMYTVGAPHTWPH IVAALVWLIDCIKIDTAMKESSPLFDDGQLWGEETEDGIKHNKLFLEYTKKCYEKFMTGA DSFEEEDAELQAKLKDLYKVDASKLESLEAENKALNEQIARLEEEREREPNRLMSLKKLK ASLQADVQNYKAYMSNLESHLAVLKQKSNSLDEEIGRVEQECETVKQENTRLQSIVDNQK YSVADIERINHEKNELQQTINKLTKDLEAEQQQMWNEELKYARGKEAIEAQLAEYHKLAR KLKLIPKGAENSKGYDFEIKFNPEAGANCLVKYRTQVYAPLKELLNESEEEINKALNKKR HLEDTLEQLNTMKTESKNTVRMLKEEIQKLDDLHQQAVKEAEEKDKKSASELESLEKHKH LLESGVNDGLSEAMDELDAVQREYQLTVKTTTEERRKVENNLQRLLEMVATHVGSLEKHL EEENAKADREYEEFMSEDLLENIREMAEKYKRNAAQLKAPDK >ENSMUSP00000047700.8 pep:known chromosome:GRCm38:14:69716979:69732570:-1 gene:ENSMUSG00000034190.8 transcript:ENSMUST00000036381.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp7 description:charged multivesicular body protein 7 [Source:MGI Symbol;Acc:MGI:1913922] MWSPEREAQAPTGGDPAGLLPPEWEEDEERMSFLFSAFKRSREVNSTDWDSKMGFWAPLV LSHSRRQGVVRLRLRDLQEAFQRKGSVPLGLATVLQDLLRRGELQRESDFMASVDSSWIS WGVGVFLLKPLKWTLSNMLGDHKVPAEEVLVAVELLKEKAEEVYRLYQNSPLSSHPVVAL SELSALCANSCPDERTFYLVLLQLQKEKRVTVLEQNGEKIVKFARGPHAKVSPVNDVDVG VYQLMQSEQLLSRKVESLSQESERCKEEARRACRAGKKQLALRSLKAKQRTEKRIEALHA KLDTVQGILDRIYASQTDQMVFNAYQAGVGALKLSMKDVTVEKAESLVDQIQELCDTQDE VSQTLAGGVTNGLDFDSEELEKELDILLQDTTTEPLSLLETPQETTLYTNSVPKPRILDA ELEAELEKLSLSEGGLIPSSKSPKRQLEPTL >ENSMUSP00000105308.3 pep:known chromosome:GRCm38:8:85636588:85690973:-1 gene:ENSMUSG00000036902.9 transcript:ENSMUST00000109686.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neto2 description:neuropilin (NRP) and tolloid (TLL)-like 2 [Source:MGI Symbol;Acc:MGI:1921763] MGTNAVTHSQTLGRAWGILWKNKDGMSQNDQGHHKKTYRINLPGPIVLLITVLVVEGIAV AQKTQDGQNIGIKHIPATQCGIWVRTSNGGHFASPNYPDSYPPNKECIYILEAAPRQRIE LTFDERYYIEPSFECRFDHLEIRDGPFGFSPLIDRYCGMKSPALIRSTGRFMWIKFSSDE ELEGLGFRAKYSFIPDPDFTYLGDCQFELSGADGIVRSSQVEQEEKTKPGQAVDCIWTIK ATPKAKIYLRFLDYQMEHSNECKRNFVAVYDGSSAIENLKAKFCSTVANDVMLKTGVGVI RMWADEGSRLSRFRMLFTSFVEPPCTSSTFFCHSNMCINNSLVCNGVQNCAYPWDENHCK EKKKAGLFEQITKTHGTIIGITSGIVLVLLIISILVQVKQPRKKVMACKTAFNKTGFQEV FDPPHYELFSLREKEISADLADLSEELDNYQKLRRSSTASRCIHDHHCGSQASSVKQSRT NLSSMELPFRNDFAQPQPMKTFNSTFKKSSYTFKQAHECPEQALEDRVMEEIPCEIYVRG RDDSAQASISIDF >ENSMUSP00000035366.6 pep:known chromosome:GRCm38:9:114688790:114724302:1 gene:ENSMUSG00000032435.8 transcript:ENSMUST00000047404.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dync1li1 description:dynein cytoplasmic 1 light intermediate chain 1 [Source:MGI Symbol;Acc:MGI:2135610] MAAVGRVGSFGSSPPGLASTYASGPLANELASGSGGPAAGDDEDGQNLWSCILSEVSTRS RSKLPTGKNVLLLGEDGAGKTSLIRRIQGIEEYKKGRGLEYLYLNVHDEDRDDQTRCNVW ILDGDLYHKGLLKFSLDALSLRDTLVMLVVDMSKPWTALDSLQKWASVVREHVDKLKIPP EEMKEMEQKLIRDFQEYVEPGEDFPASPQRRTTGAQEDRGDSVVLPLGADTLTHNLGLPV LVVCTKCDAISVLEKEHDYRDEHFDFIQSHIRKFCLQYGAALIYTSVKENKNIDLVYKYI VQKLYGFPYKIPAVVVEKDAVFIPAGWDNDKKIGILHENFQTLKVEDNFEDIITKPPVRK FVHEKEIMAEDDQVFLMKLQSLLAKQPPTAAGRPVDASPRVPGGSPRTPNRSVSSNVASV SPIPAGSKKIDPNMKAGATSEGVLANFFNSLLSKKTGSPGGPGVGGSPGGGAAGASPSLP PSAKKSGQKPVLSDVHAELDRITRKPASVSPTTPTSPTEGEAS >ENSMUSP00000045490.7 pep:known chromosome:GRCm38:15:35371546:35931228:1 gene:ENSMUSG00000037646.9 transcript:ENSMUST00000048646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps13b description:vacuolar protein sorting 13B (yeast) [Source:MGI Symbol;Acc:MGI:1916380] MLESYVTPILMSYVNRYIKNLKPSDLQLSLWGGDVVLSKLELKLDVLEQELKLPFTFLSG HIHELRIHVPWTKLGSEPVVITINTMECILKLKDGIQDDHESCGSNSTNRSTAENTKSSI KPRRIQQAAPADPDLPPGYVQSLIRRVVNNVNIVINNLILKYVEDDIVLSVNITSAECYT VGELWDRAFMDISATDLVLRKAINFSDCTVCLDKRNASGKIEFYQDPLLYKCSFRTRLHF TYDNLNSKMPSVIKIHTLVESLKLSITDQQLPMFIRIMQLGIALYYGEIGNFKDGETEDP PCLSKDTLANLTGTEEESRIDMQYPAPYKGQELYSQDYEEPQGWVSWAWSFVPAIVSYDD GEEDDLGTDPASIMHQQKAQTLKDPIVSVGFYCTKATVTFKLTEMQAENSYYSPQKVKSK EVLCWEQEGTTIEALMMGEPFFDCQIGFVGCRAMCLKGILGVKDFEEHMNRSETEACFFI CGENLSTKGLTYLTNSLFDYRSPENNGTRAEFILDAAHHKETHTDIAGIQRFGAFYMDYL YTVEHSSGKGPTSQQDFPSGKNEDLGIVQEKSTKSLVIGPLDCRLDSSAVHRVLKMIVCA LEHEYEPYSRLKPESKDEKETVLDPEEVSSLEEYIPTRHTSVTLLKCTCTVFMAEFNLLD CLLPVIMGGKNSSNVTNATNFQSLRPLPSIQILVDKINLEHSVPMYAEQLVHVVSSLNQP SDNLLHYCYVHCYLKVFGFQAGLTCLDHSGSYCLPAPIIPSFSTALYGKLLKLPALWTKR SQTVVTEGIFELPNLTIQATRAQTLLLQAIYQSWSHIGNVSSSTVNEALMNEVFPMTGVK SKNPLPTLEGSIQNVELKYCSTSLVKCASGTLGSIKICAKAPGDSGKEKLIPLLQGPSDT RDLHSSKWLNESRKPESLLAPDLIAFTIQVPQYMDYCHHSGAVFLCSVQGLAVNIDPILY TWVIYQPQKRANRHAQQQPVVAVPLVTSVNRRKEDELSIGSAPLGKQQSYQASEYASSPI KTKTVTESRPVSVPVKAVLRVHEECRSPEDKMKEFIAILWNAVKSLTLQLDVQSCCVFIP NDNLPSPSTIVSGDIPGTVRSWYHGQTSIPGTLVLCLPQIKIISAGHKYMEPLQEIPFVI PRPILEEGDAFPWTISLHHFSIYTLLGKQVTLSLVEPMGCTSTLAVTSQKLLPVGPDGRH SFVVCLHVDLESLEIKCSNPQVQLIYELADTMSKVWSKIQKRGNLSPSSVYPETVAGPIP GSPVWSSVGTAPPDTSTCSPSADIGTTTEGDSVQAGDDSPFSDSVTLEQTTSNIGGSSGR VSLWMQWVLPKATVKLFAPDLEKKGTEVCMVSELEDLSASIDVQDVYTKVKCKVESFSID HYQSRPGEGWQSGHFEGVFLQCKEKPVTTTKLLDGAHQQHGFLSLTYTKAVTKNVRHKLT SRNERRSFYKLSEGLMDGSPHFLHEILLSAQAFDIVVCFPLLNAIASVFHTKLPKTQREK RKSSGQPMRTHTLTSRNLPLIYINTSVIRIFVPQTEETQSTVAVNQAAKEDTMVLKVGSI AMAPQADNPLGRSVLRKDIYQKALNLGILRDPGSEIEDRQYQIDLQSINIGTAQWDQLKP EKGSGSGGVPTESERNSQNPALEWNMASSIQRHQERRAILTPILTDFSVRITGAPAIIFT KIISQENLHMEEILVCGHSLEVNITTNLDFFLSVAQVQLLHQLIVANMTGLEPSTKATEI SKQEQKKVDTFDGGTAETSSRYSGAQDSGIGSDSVKIRIVQIEQYSGASQHRIARPSHQS SIVKNLNFIPFDIFITASRISLMTYSSVALPKFKLPEQKEDGKTGRTFLNLAEVDSDVAK PNQACVSMVTAEDPLSSSTSFSSGKKVRVLSLESLHASTRSSARQALGVTVVRQPGRRGT GDLELDLFLHLIVSQPSLLLSCHHRKQRVEVSIFDAMLKGVPSDYTCTDPGKTLPEASDY NIVWLQTVPGETDSKSGIPPSLVTLHIKDFLNGPADIYLDVSKPLKANLSFTKLDQINHF LKKIKKAHSSAHSKETSTPSDSILNMDEPPVPKCYRGKLSKTKVHCDEAQKTSFQENIWR AISCFQKVSVHTTQIVVSMETVPHPHKPCVLASLSNLNGSLTVKAAQKVPGASLGSSFLL SIHDVLLKTSLKERSRILIGPFSATVNLEAKWCKHSGNPGPQQSIPKISMDLRGGLLQVF WGQEHLNCLALLHELFNGYLQEGKSEMPVPESAPQMPSPVEKTQAFKTEQSSDDLRTGIF QYVQDAESLKLPGVYEVLFYNETEESPGMMLWRYPEPRVLTLVRITPVPFNTTEDPDIST ADLGDVLQVPCGLEYWDELQKVFVAFQEFSLSESKVCELQLPDINLVHDQKKLVSSDLWR IVLNNNQNTTDDQSSASESGSQSTCEPLVTPTALAACTRVDSCFTPWFVPSLCMSFQFAH LEFRLCHHLDQLGTASPQYLQPFISDKNVPSELEYMIISFREPNLHLRQWNSGPVCQEIK FSSQMDCKLLECRNVTMQTVVKPFGICGQMALSSDGVQKLLDSTVIVDSVLVNFGQHVVH SLNTAIQAWQQNKCPEVEELVFSHFVICNDTQETLRFGQVDTDENILLASLHSHQYSWRS HKSPQLLHICIEGWGNWRWSEPFTVDHAGTFIRTIQYKGRTASLIIKVRALSGVQKQIII CGRQIICSYLSQSIELKVVQHYIGQDGQAVVREHFDCLTAKQKLPSYILENNELTELCVK AKGDEDWSRDVCLEPKASEYSTVIQVPTSNSSIIYVWCTVLTLEPNSQVQQRMIVFSPLF IMRSHLPDPIIIHLEKRSLGLSETQIIPGRGQENPLQNVEPDLVHHLTFQAREEYDPSDC AVPISTALIKQIATKIQPGGTVNEMLDEFYGPEKSQEPTWPYSNKDSDRNEQLSQWDSPM RVKLSIWKPYVRTLLIELLPWALLINQSKWDLWLFEGEKIVLQVPAGKIIIPPNFQEAFQ IGIYWENTNTVHKTLAIKLVHNLTSPKWKDGGNGEVVTLDEEAFVDAEIRLGAFPGHQKL CQFCISSMVQHGIQVIQIEDKTTVINNTPYQIVYKPHLAISNSYSGKEHCHVPDSATFSI CPGGEHPAVRSSSLPCWDVTPAVGSSELDTATLQKQILLGFSPVPGADSAQCWSLPAIIG GEFPRQSVAVPFGTGRENGFCTRAIALTYQEHLGVTYITLSEDPSPRVVFHNRCPVPMLM KENIRDIPKFDVYCKKIPPESSVHHELYHQIISYPDCKTKDLLPSLFLRIDSMEEMTAEW SDPVDINSQGTQVVFLTGFGYVYVDIAQQCGTVFIILAPEGKAGPIFTSTSRALERMVTF RMFITQLSLAVSDDLTHQAPSELLRLTLDNVFLHVSPVPALLPGEEPPSSFFQLYGVEVY CGDLQLDNQLYNKSNFHFAVLVCQGERTDPAQYSRVQSLLVSSKALEEYKENCFIKLCLT VSEGKDILLDVSEFTFELKPARLYVEDTFVYYIKTLFDTYLPPSRMSGHHAQLFTGQQVL PVQVTQHAKALVNAVKLRKLLIQPVNLLISIHASLKLYIASDHTPLSFSVFERGPVFTTA RQLVHALAMHYAAGALFRAGWVVGSLEILGSPASLVRSIGNGISDFFRLPYEGLTRGPGA FVSGVSRGTTSFVKHISKGALTSITNLATSLARNMGRLSLDEEHYNRQEEWRRQLPESLG EGLRQGLSRLGISLLGAIAGIVDQPMQNFQKTSETQASAGHKAKGVISGVGKGIMGVFTK PIGGAAELVSQTGYGILHGAGLSQLPKQRCQPTHLYADQAPNSHVKYVWKMLQSLGRPEV HMALDVVLVRGSGQEHEGCLLLTSEVLFVVSISEDTQQQAFPITEISCAQDTKQNNLLTV QLKQPRVASDVEIDGARERLSEQQFKRLVDYIAKTSCHLAPSCSSMQTCSVVAVEPPPAT VKTYHYLVDPHFAQVFISKFTMVKNKALRKGFS >ENSMUSP00000129889.2 pep:known chromosome:GRCm38:18:42173370:42173663:1 gene:ENSMUSG00000034339.8 transcript:ENSMUST00000041389.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5689 description:predicted gene 5689 [Source:MGI Symbol;Acc:MGI:3647214] MIPGGLMEARPATAEVQEIADQVKAQLKEETNDKYEEFEAVVYKTQVVAGVNYFIKVDVG GGCFTHIKVFKDLSGKNNLELTGYQTNKTEDDELTYF >ENSMUSP00000023722.5 pep:known chromosome:GRCm38:15:98285588:98296130:-1 gene:ENSMUSG00000022987.11 transcript:ENSMUST00000023722.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp641 description:zinc finger protein 641 [Source:MGI Symbol;Acc:MGI:2442788] MLSEQAVGLGTGWEPMNTQLDAAELQSERGTREEGSWRTAPRPLEHLHCGLEDEPLSLQE KATSVPWVPAVPQEGNTGDWEMAAALLAAGSQEEWRSLDPSQTDFYGEYVMQENCGIVVS LRFPIPKLDMLSQQEGGEDQWAPDPQDVEGRDILKVTYTGDGGEPQGDTPELQVEPPRTL SSVTEDTALWNPGQGPSWESMPRNSTGMLLSPRFLQEDTFSRHLHRTDTDSLLKPHTCPQ CGKQFVWGSHLARHQQTHTGERPYSCLKCEKSFGRRHHLIRHQKTHLHDKPSRCSECGKS FRCSSHLASHQRVHADSKSCKGQDFGESPAAQHCVPPVPKCHVCTECGKSFGRRHHLVRH WLTHTGEKPFHCPRCEKSFGRKHHLDRHLLTHQGQSPGSSWDRRTAAF >ENSMUSP00000133212.1 pep:known chromosome:GRCm38:15:98286122:98296083:-1 gene:ENSMUSG00000022987.11 transcript:ENSMUST00000169721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp641 description:zinc finger protein 641 [Source:MGI Symbol;Acc:MGI:2442788] MLSEQAVGLGTGWEPMNTQLDAAELQSERGTREEGSWRTAPRPLEHLHCGLEDEPLSLQE KATSVPWVPAVPQEGNTGDWEMAAALLAAGSQGLVTIKDVSLCFSQEEWRSLDPSQTDFY GEYVMQENCGIVVSLRFPIPKLDMLSQQEGGEDQWAPDPQDVEGRDILKVTYTGDGGEPQ GDTPELQVEPPRTLSSVTEDTALWNPGQGPSWESMPRNSTGMLLSPRFLQEDTFSRHLHR TDTDSLLKPHTCPQCGKQFVWGSHLARHQQTHTGERPYSCLKCEKSFGRRHHLIRHQKTH LHDKPSRCSECGKSFRCSSHLASHQRVHADSKSCKGQDFGESPAAQHCVPPVPKCHVCTE CGKSFGRRHHLVRHWLTHTGEKPFHCPRCEKSFGRKHHLDRHLLTHQGQSPGSSWDRRTA AF >ENSMUSP00000135956.1 pep:known chromosome:GRCm38:18:87756286:87758143:1 gene:ENSMUSG00000069324.5 transcript:ENSMUST00000091776.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5096 description:predicted gene 5096 [Source:MGI Symbol;Acc:MGI:3643681] MAPVAGKKAKKGILERLNAGEVVIGDGGFVFALEKRGYVKAGPWTPEAAVEHPEAVRQLH REFLRAGSNVMQTFTFYASEDKLENRGNYVAEKISGQKVNEAACDIARQVADEGDALVAG GVSQTPSYLSCKSEVEVKKIFRQQLEVFMKKNVDFLIAEYFEHVEEAVWAVEALKASGKP VAATMCIGPEGDLHGVPPGECAVRLVKAGASIVGVNCHFDPSVSLQTVKLMKEGLEAARL KAYLMSQPLAYHTPDCGKQGFIDLPEFPFGLEPRVATRWDIQKYAREAYNLGVRYIGGCC GFEPYHIRAIAEELAPERGFLPPASEKHGSWGSGLDMHTKPWIRARARKEYWQNLRIASG RPYNPSMSRPDAWGVTKGAAELMQQKEATTEQQLRELFEKQKFKSAQ >ENSMUSP00000036924.5 pep:known chromosome:GRCm38:14:70138177:70153811:-1 gene:ENSMUSG00000033712.5 transcript:ENSMUST00000035612.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccar2 description:cell cycle activator and apoptosis regulator 2 [Source:MGI Symbol;Acc:MGI:2444228] MSQFKRQRINPLPGGRNFSGAASTSLLGPPPGLLTPPVATDLSQNARHLQSGEKQRVFTG IVTSLHDYFGVVDEEVFFQLSVVKGRLPQLGEKVLVKAAYNPGQAVPWNAVKVQTLSNQP LLKSPAPPLLHVAALGQKQGILGAQPQLIFQPHRIPPLFPQKPLSLFQTSHTLHLSHLNR FPARGPHGRLDQGRSDDYDSKKRKQRAGGEPWGAKKPRHDLSPYRVHLTPYTVDSPTCDF LELQRRYRSLLVPSDFLSVHLSWLSAFPLGQPFSLHHPSRIQVSSEKEAAPDTGAEPSPE DSDPTYSSKVLLLSSPGLEEFYRCCMLFVDDMAEPRETPEHPLKQLKFLLGRKEEEAVLV GGEWSPSLDGLDPQADPQVLVRTAIRCAQAQTGIDLSTCTKWWRFAEFQYLQPGPPRQLH TVVVYLPDVWTIMPTLEEWEALCQQKATEAAPQPHEASGEAEATEQAPDVSEQADTSKQN TETMEATTQQDVDTDLPEAPPPPLEPAVMARPRCVNLSLYGIVEDRRPKERISFEVVVLA ELFVEMLQRDFGYRIYKTLLSLPEKVVSPPEPEKEEAAKEDAVKEEEAVKEEAVKVSKDE VQNEGTAAESDSPLKEDGLLPKRPSSGGEEEEKARGEAAEDLCEMALDPDLLLLRDDGED EFAGAKLEETEVRSVASNQSEMEYSSLQDMPKELDPSTVLPLDCLLAFVFFDANWCGYLH RRDLERVLLTLGIRLSAEQAKQLVSRVVAQNICQYRSLQYSRAEVLDDGLPEDVLFGNLD LLPPSGKSTKPGAAPTEHKGLVPHNGSLINVGSLLQRAEQQDSGRLYLENKIHTLELKLE ESHNRFSATEVTNKTLAAEMQELRARLAEAEETARTAERQKNQLQRQMQDFRRRLTPLHL EMQRIVEKADSWVEKEEPTPSN >ENSMUSP00000137599.1 pep:known chromosome:GRCm38:11:64435156:64436653:-1 gene:ENSMUSG00000095224.1 transcript:ENSMUST00000177999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F930015N05Rik description:RIKEN cDNA F930015N05 gene [Source:MGI Symbol;Acc:MGI:3606161] MVLGLKRARVLSLAAQSSAPWVSESRPARESLRRQWRRCSAQQGPERCGTWLSDNTSLAQ SPVERAQDSRAEELCTLRLERVTLFPASALLARPGACPESWLSSSQQPSKQSPPSPSIRM GLKPSGLLSAPEVYPLLWGPSWEQLGGAPLCGTCQPPLPLCSWALPAASSAATATRGTRR TANLVVTSTAQARPTRGSALGCRAEAQGPG >ENSMUSP00000064465.4 pep:known chromosome:GRCm38:15:102296293:102324356:1 gene:ENSMUSG00000058290.3 transcript:ENSMUST00000064924.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Espl1 description:extra spindle pole bodies 1, separase [Source:MGI Symbol;Acc:MGI:2146156] MRNFKGVNFATLLCSKEETQQLLPDLKEFLSRSRTDFPSSRTDAERRQICDTILRACTQQ LTAKLDCPGHLRSILDLAELACDGYLLSTPQRPPLYLERILFILLRNGSTQGSPDTVLRL AQPLHACLVQNSGEAAPQDYEAVTRGSFSLFWKGAEALLERRAAFSTRLNALSFLVLLED GSVPCEVPHFASPTACRLVAAYQLYDATGQGLDEADADFLYEVLSRHLIRVLVGEGGSSP GPLSPQRALCLLEITLEHCRRLCWNHHHRQAARAVERARNHLEKTSVAPSLQLCQMGVEL LEAVEERPGAVAQLLRKAAAVLINSIEAPSPPLRALYDSCQFFLSGLERGIRRHCGLDAI LSLFAFLGGYSSLVRHLREVSEASSKQQQCLLQMHFQGFHLFTGIVYDFAQGCQATELAQ LVDGCRSAAVWMLEALEGLSGGELADYLSMTASYTSNLAYSFFSQKLYEEACVISEPVCQ HLGSATSGACPEVPPEKLHRCFRLHVESLKKLGKQAQGCKMVTLWLAALKPYSLEHMVEP VTFWVRVKMDASRAGDKELQLQTLRDSLSCWDPETQSLLLREELRAYKSVRADTGQERFN IICDLLELSPEETAAGAWARATYLVELAQVLCYHNFTQQTNCSALDAVQEALQLLESVSP EAQEQDRLLDDKAQALLWLYICTLEAKMQEGIERDRRAQAPSNLEEFEVNDLNYEDKLQE DRFLYSSIAFNLAADAAQSKCLDQALTLWKEVLTKGRAPAVRCLQQTAASLQILAAVYQL VAKPLQALETLLLLQIVSKRLQDHAKAASSSCQLTQLLLNLGCPSYAQLYLEEAESSLRS LDQTSDACQLLSLTCALLGSQLCWACQKVTAGVSLLLSVLRDPALQKSSKAWYLLRVQAL QVLAFYLSLSSNLLSSALREQLWDQGWQTPETALIDAHKLLRSIIILLMGSDVLSIQKAA TESPFLDYGENLVQKWQVLTEVLTCSERLVGRLGRLGNVSEAKAFCLEALKLTTKLQIPR QCALFLVLKGELELARGDIDLCQSDLQQVLFLLESSTEFGVVTQHPDSVKKVHTQKGKHK AQGPCFPPLSEEEPFLKGPALELVDTVLNEPGPIQSSVNSSPVLKTKPPPNPGFLSHLPS CDCLLCASPALSAVCLRWVLVTAGVRLATGHKAQGLDLLQAVLTRCPAATKRFTQSLQAS LNHRTTPSCVPSLFDEIMAQVYTHLALEFLNQTSEKSLGKVLASGLKFVATRIQSLEIWR AHLLLVQALAKLAHFSCCTSELFASSWGWHPPLVKSLPVLEPAKIRRQKCSGRGRRRIAS VPPPLHNSSQKGLEEEGPPCTPKPPGRARQAGPRVPFTIFEEVHPTKSKLQVPLAPRVHR RAQTRLKVIFSDDSDLEDLVSADTQLVEEPKRRGTASRTRGQTRKGRSLKTDAVVAIEST PGHSSVSGRTRRARKVASRNCEEESPKAPLCVWASQGPEIMRSIPEEEPVDNHLEKSFEI LRGSDGEDSASGEKAAAADTGLPVGECEVLRRDSSKAERPVLYSDTEANSDPSPWLPPFS VPAPIDLSTLDSISDSLSIAFRGVSHCPPSGLYAHLCRFLALCLGHRDPYATAFLVAESI SITCRHQLLTHLHRQLSKAQKQQESPELAEHLQRLDLKERPGGVPLARIQRLFSFKALGS GCFPQAEKESFQERLALIPSGVTVCVLALATLQPGTLSNTLLLTRLEKDNPPITVKIPTA QNKLPLSAVLKEFDAIQKDQKENSSCTEKRVWWTGRLALDQRMEALITALEEQVLGCWRG LLLPCSADPSLAQEASKLQELLRECGWEYPDSTLLKVILSGARILTSQDVQALACGLCPA QPDRAQVLLSEAVGQVQSQEAPRSQHLVLVLDKDLQKLPWESTPILQAQPVTRLPSFRFL LSYTVTKEAGASSVLSQGVDPQNTFYVLNPHSNLSSTEERFRASFSSETGWKGVIGEVPS LDQVQAALTERDLYIYAGHGAGARFLDGQAVLRLSCRAVALLFGCSSAALAVHGNLEGAG IVLKYIMAGCPLFLGNLWDVTDRDIDRYTEALLQGWLGAGPGAPFLYYASQARQAPRLKY LIGAAPVAYGLPISLQTP >ENSMUSP00000062037.4 pep:known chromosome:GRCm38:17:56953490:56982126:-1 gene:ENSMUSG00000045019.4 transcript:ENSMUST00000056113.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acer1 description:alkaline ceramidase 1 [Source:MGI Symbol;Acc:MGI:2181962] MHVPGTRAKMSSIFAYQSSEVDWCESNFQHSELVAEFYNTFSNVFFLIFGPLMMFLMHPY AQKRTRCFYGVSVLFMLIGLFSMYFHMTLSFLGQLLDEISILWLLASGYSVWLPRCYFPK FVKGNRFYFSCLVTITTIISTFLTFVKPTVNAYALNSIAIHILYIVRTEYKKIRDDDLRH LIAVSVVLWAAALTSWISDRVLCSFWQRIHFYYLHSIWHVLISITFPYGIVTMALVDAKY EMPDKTLKVHYWPRDSWVIGLPYVEIQENDKNC >ENSMUSP00000022921.5 pep:known chromosome:GRCm38:15:42424727:42676977:-1 gene:ENSMUSG00000022309.8 transcript:ENSMUST00000022921.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angpt1 description:angiopoietin 1 [Source:MGI Symbol;Acc:MGI:108448] MTVFLSFAFFAAILTHIGCSNQRRNPENGGRRYNRIQHGQCAYTFILPEHDGNCRESATE QYNTNALQRDAPHVEPDFSSQKLQHLEHVMENYTQWLQKLENYIVENMKSEMAQIQQNAV QNHTATMLEIGTSLLSQTAEQTRKLTDVETQVLNQTSRLEIQLLENSLSTYKLEKQLLQQ TNEILKIHEKNSLLEHKILEMEGKHKEELDTLKEEKENLQGLVSRQTFIIQELEKQLSRA TNNNSILQKQQLELMDTVHNLISLCTKEGVLLKGGKREEEKPFRDCADVYQAGFNKSGIY TIYFNNMPEPKKVFCNMDVNGGGWTVIQHREDGSLDFQRGWKEYKMGFGNPSGEYWLGNE FIFAITSQRQYMLRIELMDWEGNRAYSQYDRFHIGNEKQNYRLYLKGHTGTAGKQSSLIL HGADFSTKDADNDNCMCKCALMLTGGWWFDACGPSNLNGMFYTAGQNHGKLNGIKWHYFK GPSYSLRSTTMMIRPLDF >ENSMUSP00000129088.1 pep:known chromosome:GRCm38:9:78447865:78448786:-1 gene:ENSMUSG00000090317.1 transcript:ENSMUST00000165499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17324 description:predicted gene, 17324 [Source:MGI Symbol;Acc:MGI:4936958] MLTRDFSEMTIRGGSTQRPAQQPPWLSVLRSLTPGTGHSGPPQPCPRSVGRRVPAAAAGL LTDRVHAVREKQGPRATASGGGGRLGPRVPSSDNYHVEVRGAGRRAVAAEATQRQPSAEG RCGPMATASEKEHVEGS >ENSMUSP00000031455.3 pep:known chromosome:GRCm38:5:108441054:108448891:-1 gene:ENSMUSG00000029490.3 transcript:ENSMUST00000031455.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfsd7a description:major facilitator superfamily domain containing 7A [Source:MGI Symbol;Acc:MGI:2442629] MAGTMDRLEDCNSPETSGTAGDALPSPRVYARRWVFLLVVSLLSCSNAMLWLSFAPVADT IAQHFFLSMDQVNWLSLIYFVLSIPFGMAAIWVLDSVGLRGATILGAWLNFSGSVLRAVP CLPVRIPSPFAFFMSGQSLCALAQTLVVSSPAKLAALWFPEHQRATANMISTMSNPLGLL IANVLSPALVKKADDIPMMLGIYIGPAALACLLATVCLWESVPPTPPSTGAANSTSESFL RGLKLLIQNKAYVLLAVCFGGGIGVFSSFSALLEQILCASGYSNEFSGLCGALFIVFGIL GALLLGLYVDRTKHFTEATKIGLCLTSMTSVAFALVSQLQGQTLALAAICSLFGLFGFSV APVVMELAVECSFPVGEGASAGLIFVLGQAEGMLIMLLLTALTVRRTGPSFSTCQQGEDP LDWTVSLLLLAGLCTLFTCVLVIFFNTPYRRLEAESGGSSSPTMCARDPRTVSPTQVPPL ETPGLTPPDEALKEAPGPASVSKGHSEWAETMPRDV >ENSMUSP00000033873.7 pep:known chromosome:GRCm38:8:27023799:27039433:1 gene:ENSMUSG00000031483.7 transcript:ENSMUST00000033873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erlin2 description:ER lipid raft associated 2 [Source:MGI Symbol;Acc:MGI:2387215] MAQLGAVVAVASSFFCASLFSAVHKIEEGHIGVYYRGGALLTSTSGPGFHLMLPFITSYK SVQTTLQTDEVKNVPCGTSGGVMIYFDRIEVVNFLVPNAVYDIVKNYTADYDKALIFNKI HHELNQFCSVHTLQEVYIELFDQIDENLKLALQQDLTSMAPGLVIQAVRVTKPNIPEAIR RNYELMESEKTKLLIAAQKQKVVEKEAETERKKALIEAEKVAQVAEITYGQKVMEKETEK KISEIEDAAFLAREKAKADAECYTALKIAEANKLKLTPEYLQLMKYKAIASNSKIYFGKD IPNMFMDSAGGLGKQFEGLSDDKLGFGLEDEPLEAPTKEN >ENSMUSP00000136861.1 pep:known chromosome:GRCm38:X:123581700:123602134:1 gene:ENSMUSG00000095474.1 transcript:ENSMUST00000178457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn34c2 description:claudin 34C2 [Source:MGI Symbol;Acc:MGI:3644765] FISKRAARNRTLAGFHIFDASMVLLNKSANHQIRGFTLATIACIMCNTSMALPEWRICYL NNSMLSYPSLAFVNIWEAYICHHNHNSSHLRDCHYYTCHNNLVPLDIRVSQILLLVANVV GLVGTVCSVFALQQLYTEELHKNNDYNPFVLSAVLNAIASTFIFLAVMCNHLSVPSKEEV SFLQSFQMPIFSNAQRAGRAMGLAYISAILFLLSAIIFISYCPSMEIKMFPRV >ENSMUSP00000072092.7 pep:known chromosome:GRCm38:10:91147571:91171582:-1 gene:ENSMUSG00000019961.16 transcript:ENSMUST00000072239.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmpo description:thymopoietin [Source:MGI Symbol;Acc:MGI:106920] MPEFLEDPSVLTKDKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARNRPPLAAGANSK GPPDFSSDEEREPTPVLGSGASVGRGRGAVGRKATKKTDKPRLEDKDDLDVTELSNEELL DQLVRYGVNPGPIVGTTRKLYEKKLLKLREQGTESRSSTPLPTVSSSAENTRQNGSNDSD RYSDNDEDSKIELKLEKREPLKGRAKTPVTLKQRRTEHNQSYSQAGVTETEWTSGSSTGG PLQALTRESTRGSRRTPRKRVETSQHFRIDGAVISESTPIAETIKASSNESLVANRLTGN FKHASSILPITEFSDITRRTPKKPLTRAEVGEKTEERRVDRDILKEMFPYEASTPTGISA SCRRPIKGAAGRPLELSDFRMEESFSSKYVPKYAPLADVKSEKTKKRRSVPMWIKMLLFA LVAVFLFLVYQAMETNQGNPFTNFLQDTKISN >ENSMUSP00000089864.6 pep:known chromosome:GRCm38:10:91147571:91171582:-1 gene:ENSMUSG00000019961.16 transcript:ENSMUST00000092219.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmpo description:thymopoietin [Source:MGI Symbol;Acc:MGI:106920] MPEFLEDPSVLTKDKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARNRPPLAAGANSK GPPDFSSDEEREPTPVLGSGASVGRGRGAVGRKATKKTDKPRLEDKDDLDVTELSNEELL DQLVRYGVNPGPIVGTTRKLYEKKLLKLREQGTESRSSTPLPTVSSSAENTRQNGSNDSD RYSDNDEDSKIELKLEKREPLKGRAKTPVTLKQRRTEHNQVETSQHFRIDGAVISESTPI AETIKASSNESLVANRLTGNFKHASSILPITEFSDITRRTPKKPLTRAEVGEKTEERRVD RDILKEMFPYEASTPTGISASCRRPIKGAAGRPLELSDFRMEESFSSKYVPKYAPLADVK SEKTKKRRSVPMWIKMLLFALVAVFLFLVYQAMETNQGNPFTNFLQDTKISN >ENSMUSP00000096956.4 pep:known chromosome:GRCm38:10:91147571:91171582:-1 gene:ENSMUSG00000019961.16 transcript:ENSMUST00000099355.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmpo description:thymopoietin [Source:MGI Symbol;Acc:MGI:106920] MPEFLEDPSVLTKDKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARNRPPLAAGANSK GPPDFSSDEEREPTPVLGSGASVGRGRGAVGRKATKKTDKPRLEDKDDLDVTELSNEELL DQLVRYGVNPGPIVGTTRKLYEKKLLKLREQGTESRSSTPLPTVSSSAENTRQNGSNDSD RYSDNDEDSKIELKLEKREPLKGRAKTPVTLKQRRTEHNQVANRLTGNFKHASSILPITE FSDITRRTPKKPLTRAEVGEKTEERRVDRDILKEMFPYEASTPTGISASCRRPIKGAAGR PLELSDFRMEESFSSKYVPKYAPLADVKSEKTKKRRSVPMWIKMLLFALVAVFLFLVYQA METNQGNPFTNFLQDTKISN >ENSMUSP00000100930.3 pep:known chromosome:GRCm38:10:91147571:91171582:-1 gene:ENSMUSG00000019961.16 transcript:ENSMUST00000105293.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmpo description:thymopoietin [Source:MGI Symbol;Acc:MGI:106920] MPEFLEDPSVLTKDKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARNRPPLAAGANSK GPPDFSSDEEREPTPVLGSGASVGRGRGAVGRKATKKTDKPRLEDKDDLDVTELSNEELL DQLVRYGVNPGPIVGTTRKLYEKKLLKLREQGTESRSSTPLPTVSSSAENTRQNGSNDSD RYSDNDEDSKIELKLEKREPLKGRAKTPVTLKQRRTEHNQVGEKTEERRVDRDILKEMFP YEASTPTGISASCRRPIKGAAGRPLELSDFRMEESFSSKYVPKYAPLADVKSEKTKKRRS VPMWIKMLLFALVAVFLFLVYQAMETNQGNPFTNFLQDTKISN >ENSMUSP00000020123.5 pep:known chromosome:GRCm38:10:91160417:91171582:-1 gene:ENSMUSG00000019961.16 transcript:ENSMUST00000020123.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmpo description:thymopoietin [Source:MGI Symbol;Acc:MGI:106920] MPEFLEDPSVLTKDKLKSELVANNVTLPAGEQRKDVYVQLYLQHLTARNRPPLAAGANSK GPPDFSSDEEREPTPVLGSGASVGRGRGAVGRKATKKTDKPRLEDKDDLDVTELSNEELL DQLVRYGVNPGPIVGTTRKLYEKKLLKLREQGTESRSSTPLPTVSSSAENTRQNGSNDSD RYSDNDEGKKKEHKKVKSARDCVPFSELASTPSGAFFQGISFPEISTRPPLGRTELQAAK KVQTTKRDPPRETCTDTALPGKGQTHKLAPGRSLFIPSESSYDRCVEKSSSPSSQREFAA RLVSAAASPSLIRETTTTYSKDIVENICRGGKSRAQPLRAEEPGVSDQSVFSSEREVLQE SERSQVISPPLAQAIRDYVNSLLVQGGVGSLPGTSDSVPTLDVENICKRLSQSSYQDSES LSPPRKVPRLSEKPARGGDSGSCVAFQNTPGSEHRSSFAKSVVSHSLTTLGVEVSKPPPQ HDKIEASEPSFPLHESILKVVEEEWQQIDRQLPSVACRYPVSSIEAARILSVPKVDDEIL GFISEATPRAATQASSTESCDKHLDLALCRSYEAAASALQIAAHTAFVAKSLQADISQAA QIINSDPSDAQQALRILNRTYDAASYLCDAAFDEVRMSACAMGSSTMGRRYLWLKDCKIS PASKNKLTVAPFKGGTLFGGEVHKVIKKRGNKQ >ENSMUSP00000090227.6 pep:known chromosome:GRCm38:10:40033535:40142254:-1 gene:ENSMUSG00000019838.10 transcript:ENSMUST00000092566.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a10 description:solute carrier family 16 (monocarboxylic acid transporters), member 10 [Source:MGI Symbol;Acc:MGI:1919722] MVPSQEEPAAERETNEAQPPGPAPSDDAPLPGPGPSDVSDVAAEKVEVELTRSAGSEPPV PPEGGWGWLVMLAAMWCNGSVFGIQNAYGVLFVSMLDTFKAKDDDNMAFKTAWVGSLSMG MIFFCCPIVSVFTDMFGCRRTAVVGAAVGFIGLMSSSFVSSIEPLYLTYGIIFACGCSFA YQPSLVILGHYFKKRLGLVNGIVTAGSSVFTILLPLLLGNLISSVKLFNTLRILCIFMFV LFLAGFTYRPLVPSTKEKESGGSRSSFFSRRKLSPPKKVFNFALFKETTYAVWAAGIPLA LFGYFVPYVHLMNHVKERFQDVNNKEVLFMCIGITSGVGRLLFGRIADYLPGVKKVYLQV LSFFFIGLMSMMIPLCSAFGALIAVCLAMGLFDGCFISIMAPIAFELVGPQDASQAIGFL LGFMSIPMTVGPPIAGLLHDKLGTYDVAFYLAGIPPFVGGVVLCLIPWIHSKKQRKISKN AGGEKMEKMLENQSSLLSGSSGIFKKDSASII >ENSMUSP00000092794.3 pep:known chromosome:GRCm38:8:119700009:119757718:1 gene:ENSMUSG00000034112.8 transcript:ENSMUST00000095171.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp2c2 description:ATPase, Ca++ transporting, type 2C, member 2 [Source:MGI Symbol;Acc:MGI:1916297] MGRRLKFLQKLAFLGQNHRYKALERDEVETLIDEQCELKAIEREKTVAALPPGEACKCSR EELARAFHVDLDSGLSEFAVAQRRLVHGWNEFVTDNAEPVWKKYLDQFRNPLILLLLGSS VVSVLTKEYEDAVSIALAVLIVVTVGFIQEYRSEKSLEELTKLVPPECNCLRDGKLRHML ARDLVPGDIVSLSMGDRIPADIRLTEVTDLLVDESSFTGEVEPCGKTDSPLADGGDLSTL SNVVFMGTLVQCGKGQGVVIGTGEQSQFGEVFKMMRAEETPKTPLQKSMDKLGKQLTIFS FGIIGLLMLVGWVQGKPFLSMFTVGVSLAVAAIPEGLPIVVMVTLVLGVLRMAKKRVIVK KLPIVETLGCCNVICSDKTGTLTANEMTATQLVTSDGFHAEVSGVGYSGEGTVCLLPSKE VIKGFDNVSVGKLVEAGCVANNAVIRKNAVMGQPTEGALVVLAMKMNLGSIKDSYVRKKE IPFSSEQKWMAVRCGPKSEDGEDIYFMKGAFEEVIHHCSMYNNGGIPLPLTPQQKSYCQQ EEKKMGSLGLRVLALASGPELGRLTFLGLVGIIDPPRAGVKEAVQVLSESGVSVKMVTGD ALETALAIGRTIGLCNEKLKAMSGEEVEGTEQGALAARVRQVSVFFRTSPKHKVKIIKAL QESGAIVAMTGDGVNDSVALKSADIGIAMGQTGTDVSKEAANMILVDDDFSAIMSAVEEG KGIFYNIKNFVRFQLSTSIAALSLITLSTVCNLPSPLNAMQILWVNIIMDGPPAQSLGVE PVDRDALRRPPRSVGDTILNRALILRVLMSAAVIIGGTLFIFWREIPANGTSTPRTTTMA FTCFVFFDLFNALSCRSQTKLIFEIGFFRNRMFLYSVLGSLLGQLAVIYAPPLQKVFQTE NLSALDLLLLTGLASSVFILSELLKLWEKFLSRARPTQMLPEAV >ENSMUSP00000024869.6 pep:known chromosome:GRCm38:17:74338987:74391113:1 gene:ENSMUSG00000024068.6 transcript:ENSMUST00000024869.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spast description:spastin [Source:MGI Symbol;Acc:MGI:1858896] MSSPAGRRKKKGSGGASPAPARPPPPAAVPAPAAGPAPAAGSPPKRNPSSFSSPLVVGFA LLRLLACHLGLLFAWLCQRFSRALMAAKRSSGTAPAPASPSPPEPGPGGEAESVRVFHKQ AFEYISIALRIDEEEKAGQKEQAVEWYKKGIEELEKGIAVIVTGQGEQYERARRLQAKMM TNLVMAKDRLQLLEKLQPVLQFSKSQTDVYNESTNLTCRNGHLQSESGAVPKRKDPLTHA SNSLPRSKTVLKSGSAGLSGHHRAPSCSGLSMVSGARPGPGPAATTHKGTPKPNRTNKPS TPTTAVRKKKDLKNFRNVDSNLANLIMNEIVDNGTAVKFDDIAGQELAKQALQEIVILPS LRPELFTGLRAPARGLLLFGPPGNGKTMLAKAVAAESNATFFNISAASLTSKYVGEGEKL VRALFAVARELQPSIIFIDEVDSLLCERREGEHDASRRLKTEFLIEFDGVQSAGDDRVLV MGATNRPQELDEAVLRRFIKRVYVSLPNEETRLLLLKNLLCKQGSPLTQKELAQLARMTD GYSGSDLTALAKDAALGPIRELKPEQVKNMSASEMRNIRLSDFTESLKKIKRSVSPQTLE AYIRWNKDFGDTTV >ENSMUSP00000128399.1 pep:known chromosome:GRCm38:15:77015489:77050670:-1 gene:ENSMUSG00000018893.14 transcript:ENSMUST00000166179.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mb description:myoglobin [Source:MGI Symbol;Acc:MGI:96922] MGLSDGEWQLVLNVWGKVEADLAGHGQEVLIGLFKTHPETLDKFDKFKNLKSEEDMKGSE DLKKHGCTVLTALGTILKKKGQHAAEIQPLAQSHATKHKIPVKYLEFISEIIIEVLKKRH SGDFGADAQGAMSKALELFRNDIAAKYKELGFQG >ENSMUSP00000125995.1 pep:known chromosome:GRCm38:15:77015489:77022787:-1 gene:ENSMUSG00000018893.14 transcript:ENSMUST00000169226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mb description:myoglobin [Source:MGI Symbol;Acc:MGI:96922] MGLSDGEWQLVLNVWGKVEADLAGHGQEVLIGLFKTHPETLDKFDKFKNLKSEEDMKGSE DLKKHGCTVLTALGTILKKKGQHAAEIQPLAQSHATKHKIPVKYLEFISEIIIEVLKKRH SGDFGADAQGAMSKALELFRNDIAAKYKELGFQG >ENSMUSP00000019037.8 pep:known chromosome:GRCm38:15:77015489:77022727:-1 gene:ENSMUSG00000018893.14 transcript:ENSMUST00000019037.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mb description:myoglobin [Source:MGI Symbol;Acc:MGI:96922] MGLSDGEWQLVLNVWGKVEADLAGHGQEVLIGLFKTHPETLDKFDKFKNLKSEEDMKGSE DLKKHGCTVLTALGTILKKKGQHAAEIQPLAQSHATKHKIPVKYLEFISEIIIEVLKKRH SGDFGADAQGAMSKALELFRNDIAAKYKELGFQG >ENSMUSP00000039834.8 pep:known chromosome:GRCm38:8:88697028:88751945:1 gene:ENSMUSG00000036712.14 transcript:ENSMUST00000043526.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyld description:cylindromatosis (turban tumor syndrome) [Source:MGI Symbol;Acc:MGI:1921506] MSSGLWSQEKVTSPYWEERIFYLLLQECSVTDKQTQKLLKVPKGSIGQYIQDRSVGHSRV PSTKGKKNQIGLKILEQPHAVLFVDEKDVVEINEKFTELLLAITNCEERLSLFRNRLRLS KGLQVDVGSPVKVQLRSGEEKFPGVVRFRGPLLAERTVSGIFFGVELLEEGRGQGFTDGV YQGKQLFQCDEDCGVFVALDKLELIEDDDNGLESDFAGPGDTMQVEPPPLEINSRVSLKV GESTESGTVIFCDVLPGKESLGYFVGVDMDNPIGNWDGRFDGVQLCSFASVESTILLHIN DIIPAVSDSVTQERRPPKLAFMSRGVGDKGSSSHNKPKVTGSTSDPGSRNRSELFYTLNG SSVDSQQSKSKNPWYIDEVAEDPAKSLTEMSSDFGHSSPPPQPPSMNSLSSENRFHSLPF SLTKMPNTNGSMAHSPLSLSVQSVMGELNSTPVQESPPLPISSGNAHGLEVGSLAEVKEN PPFYGVIRWIGQPPGLSDVLAGLELEDECAGCTDGTFRGTRYFTCALKKALFVKLKSCRP DSRFASLQPVSNQIERCNSLAFGGYLSEVVEENTPPKMEKEGLEIMIGKKKGIQGHYNSC YLDSTLFCLFAFSSALDTVLLRPKEKNDIEYYSETQELLRTEIVNPLRIYGYVCATKIMK LRKILEKVEAASGFTSEEKDPEEFLNILFHDILRVEPLLKIRSAGQKVQDCNFYQIFMEK NEKVGVPTIQQLLEWSFINSNLKFAEAPSCLIIQMPRFGKDFKLFKKIFPSLELNITDLL EDTPRQCRICGGLAMYECRECYDDPDISAGKIKQFCKTCSTQVHLHPRRLNHSYHPVSLP KDLPDWDWRHGCIPCQKMELFAVLCIETSHYVAFVKYGKDDSAWLFFDSMADRDGGQNGF NIPQVTPCPEVGEYLKMSLEDLHSLDSRRIQGCARRLLCDAYMCMYQSPTMSLYK >ENSMUSP00000096119.4 pep:known chromosome:GRCm38:8:88705222:88751945:1 gene:ENSMUSG00000036712.14 transcript:ENSMUST00000098519.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyld description:cylindromatosis (turban tumor syndrome) [Source:MGI Symbol;Acc:MGI:1921506] MSSGLWSQEKVTSPYWEERIFYLLLQECSVTDKQTQKLLKVPKGSIGQYIQDRSVGHSRV PSTKGKKNQIGLKILEQPHAVLFVDEKDVVEINEKFTELLLAITNCEERLSLFRNRLRLS KGLQVDVGSPVKVQLRSGEEKFPGVVRFRGPLLAERTVSGIFFGVELLEEGRGQGFTDGV YQGKQLFQCDEDCGVFVALDKLELIEDDDNGLESDFAGPGDTMQVEPPPLEINSRVSLKV GESTESGTVIFCDVLPGKESLGYFVGVDMDNPIGNWDGRFDGVQLCSFASVESTILLHIN DIIPALSDSVTQERRPPKLAFMSRGVGDKGSSSHNKPKVTGSTSDPGSRNRSELFYTLNG SSVDSQQSKSKNPWYIDEVAEDPAKSLTEMSSDFGHSSPPPQPPSMNSLSSENRFHSLPF SLTKMPNTNGSMAHSPLSLSVQSVMGELNSTPVQESPPLPISSGNAHGLEVGSLAEVKEN PPFYGVIRWIGQPPGLSDVLAGLELEDECAGCTDGTFRGTRYFTCALKKALFVKLKSCRP DSRFASLQPVSNQIERCNSLAFGGYLSEVVEENTPPKMEKEGLEIMIGKKKGIQGHYNSC YLDSTLFCLFAFSSALDTVLLRPKEKNDIEYYSETQELLRTEIVNPLRIYGYVCATKIMK LRKILEKVEAASGFTSEEKDPEEFLNILFHDILRVEPLLKIRSAGQKVQDCNFYQIFMEK NEKVGVPTIQQLLEWSFINSNLKFAEAPSCLIIQMPRFGKDFKLFKKIFPSLELNITDLL EDTPRQCRICGGLAMYECRECYDDPDISAGKIKQFCKTCSTQVHLHPRRLNHSYHPVSLP KDLPDWDWRHGCIPCQKMELFAVLCIETSHYVAFVKYGKDDSAWLFFDSMADRDGGQNGF NIPQVTPCPEVGEYLKMSLEDLHSLDSRRIQGCARRLLCDAYMCMYQSPTMSLYK >ENSMUSP00000105254.3 pep:known chromosome:GRCm38:8:88705222:88751945:1 gene:ENSMUSG00000036712.14 transcript:ENSMUST00000109626.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyld description:cylindromatosis (turban tumor syndrome) [Source:MGI Symbol;Acc:MGI:1921506] MSSGLWSQEKVTSPYWEERIFYLLLQECSVTDKQTQKLLKVPKGSIGQYIQDRSVGHSRV PSTKGKKNQIGLKILEQPHAVLFVDEKDVVEINEKFTELLLAITNCEERLSLFRNRLRLS KGLQVDVGSPVKVQLRSGEEKFPGVVRFRGPLLAERTVSGIFFGVELLEEGRGQGFTDGV YQGKQLFQCDEDCGVFVALDKLELIEDDDNGLESDFAGPGDTMQVEPPPLEINSRVSLKV GESTESGTVIFCDVLPGKESLGYFVGVDMDNPIGNWDGRFDGVQLCSFASVESTILLHIN DIIPDSVTQERRPPKLAFMSRGVGDKGSSSHNKPKVTGSTSDPGSRNRSELFYTLNGSSV DSQQSKSKNPWYIDEVAEDPAKSLTEMSSDFGHSSPPPQPPSMNSLSSENRFHSLPFSLT KMPNTNGSMAHSPLSLSVQSVMGELNSTPVQESPPLPISSGNAHGLEVGSLAEVKENPPF YGVIRWIGQPPGLSDVLAGLELEDECAGCTDGTFRGTRYFTCALKKALFVKLKSCRPDSR FASLQPVSNQIERCNSLAFGGYLSEVVEENTPPKMEKEGLEIMIGKKKGIQGHYNSCYLD STLFCLFAFSSALDTVLLRPKEKNDIEYYSETQELLRTEIVNPLRIYGYVCATKIMKLRK ILEKVEAASGFTSEEKDPEEFLNILFHDILRVEPLLKIRSAGQKVQDCNFYQIFMEKNEK VGVPTIQQLLEWSFINSNLKFAEAPSCLIIQMPRFGKDFKLFKKIFPSLELNITDLLEDT PRQCRICGGLAMYECRECYDDPDISAGKIKQFCKTCSTQVHLHPRRLNHSYHPVSLPKDL PDWDWRHGCIPCQKMELFAVLCIETSHYVAFVKYGKDDSAWLFFDSMADRDGGQNGFNIP QVTPCPEVGEYLKMSLEDLHSLDSRRIQGCARRLLCDAYMCMYQSPTMSLYK >ENSMUSP00000020886.7 pep:known chromosome:GRCm38:12:32820335:32853369:1 gene:ENSMUSG00000020572.7 transcript:ENSMUST00000020886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nampt description:nicotinamide phosphoribosyltransferase [Source:MGI Symbol;Acc:MGI:1929865] MNAAAEAEFNILLATDSYKVTHYKQYPPNTSKVYSYFECREKKTENSKVRKVKYEETVFY GLQYILNKYLKGKVVTKEKIQEAKEVYREHFQDDVFNERGWNYILEKYDGHLPIEVKAVP EGSVIPRGNVLFTVENTDPECYWLTNWIETILVQSWYPITVATNSREQKKILAKYLLETS GNLDGLEYKLHDFGYRGVSSQETAGIGASAHLVNFKGTDTVAGIALIKKYYGTKDPVPGY SVPAAEHSTITAWGKDHEKDAFEHIVTQFSSVPVSVVSDSYDIYNACEKIWGEDLRHLIV SRSTEAPLIIRPDSGNPLDTVLKVLDILGKKFPVTENSKGYKLLPPYLRVIQGDGVDINT LQEIVEGMKQKKWSIENVSFGSGGALLQKLTRDLLNCSFKCSYVVTNGLGVNVFKDPVAD PNKRSKKGRLSLHRTPAGNFVTLEEGKGDLEEYGHDLLHTVFKNGKVTKSYSFDEVRKNA QLNIEQDVAPH >ENSMUSP00000038839.4 pep:known chromosome:GRCm38:15:79075223:79094400:1 gene:ENSMUSG00000033047.4 transcript:ENSMUST00000040518.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3l description:eukaryotic translation initiation factor 3, subunit L [Source:MGI Symbol;Acc:MGI:2386251] MSYPADDYESEAAYDPYAYPGDYDMHTGDPKQDLAYERQYEQQTYQVIPEVIKNFIQYFH KTVSDLIDQKVYELQASRVSSDVIDQKVYEIQDIYENSWTKLTERFFKNTPWPEAEAIAP QVGNDAVFLILYKELYYRHIYAKVSGGPSLEQRFESYYNYCNLFNYILNADGPAPLELPN QWLWDIIDEFIYQFQSFSQYRCKTAKKSEGEMDFLRSNPKVWNVHSVLNVLHSLVDKSNI NRQLEVYTSGGDPESVAGEYGRHSLYKMLGYFSLVGLLRLHSLLGDYYQAIKVLENIELN KKSMYSRVPECQVTTYYYVGFAYLMMRRYQDAIRVFANILLYIQRTKSMFQRTTYKYEMI NKQNEQMHALLAIALTMYPMRIDESIHLQLREKYGDKMLRMQKGDPQVYEELFSYACPKF LSPVVPNYDNVHPNYHKEPFLQQLKVFSDEVQQQAQLSTIRSFLKLYTTMPVAKLAGFLD LTEQEFRIQLLVFKHKMKNLVWTSGISALDGEFQSASEVDFYIDKDMIHIADTKVARRYG DFFIRQIHKFEELNRTLKKMGQRP >ENSMUSP00000126814.1 pep:known chromosome:GRCm38:17:78835516:78848299:1 gene:ENSMUSG00000050668.9 transcript:ENSMUST00000170759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpatch11 description:G patch domain containing 11 [Source:MGI Symbol;Acc:MGI:1858435] MKESMTEEEDYMSDSFINVQEDVRPGVPMLRQIREARRKEEKQQQANLRNRQKSVKEEER ERRDIGLKNALGCENKGFALLQKMGYKSGQALGKSGDGIVEPIPLNVKTGKSGIGHESSL KRKAEERLENYRRKIHMKNQNEAKAAEEFRMRLKSKQDEMRLEGDLRRSQRACQQLDAQK NIQVPREAWYWLRPEEETEEEEEEKEEQDEDECPSEDLSVLEKLQILTGYLREEHLYCIW CGTAYEDKEDLSSNCPGPTSADHD >ENSMUSP00000051554.6 pep:known chromosome:GRCm38:12:64471333:64474898:-1 gene:ENSMUSG00000043060.7 transcript:ENSMUST00000059833.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fscb description:fibrous sheath CABYR binding protein [Source:MGI Symbol;Acc:MGI:3646964] MEECEEPEEPISLGRQEYRRRRRPSQPMVDKSQQTEITEKRKAMASVQPPAPKATHSIGN IPGSKDNYSRKEYESLRLSSQLQKTLMKRKHVQDMTDKSLQTEPIVEEKVEVIFIDKTLK LEENTAGVGEIAPELPQSIPEVEIPTSRPTSHLIDRSQQTSCTGDWSLIYICPKEKVDKE QQTYFSELEIIIRSIPGSSMTKSKEETIPIAQEDPLVEINGSLEIEVLSPEELPDVMMSF TEGEISGELQALSNGEATVKGELFLTEEIPIQAPSPAEETSAAETATTTAKDVVDIQAPP ADKLSSVEAPADISPTLVQGALSDKPSDQQYPQGTEMAPSELPVEDLDPFSEEVLEKVQA LTTDSMLEDLGIAESTIAEETSGKVQHPLSEETSKEVPAEVHFPIAADFEESAILINEKF ATDEVFEEYKPPIIEEVSADKATAEVQPPSAEDASEEVAPSEVLPPSTEQGTVEDLTAEV LSTPTEEGPTEVPPQPTEEGPAEVPPPLSEEGPAEVPPAPAEEAPAEVLPPPAEEAPAEV PPPLTEEGPAEVPPTLTEEGPAEVPLAPAEEVPAEVLPPPAEEVPAEVPPPLTEEGPAEV PPPLTEEGPEEVPPLPAEEAPTKVPPSPAEKGSAEVSPPQTEEGPAEVPPPPAEEFPTEV PSSSAEEGSSEVPLPPTAERPEEAPPPATEEAPVEVLPPATEEAPVEVQSPAAEEGLAEV PPPPTEESPTHDVPTEVQVPQAKESPGQVLPLSGESTAEEASAQVQPPSFEKAPLESLPL EEVEKIHLDNLPFEVQPLPTEDIAIGVPAESQALPADENPAREDTVETQPSSFEGAPIAE NPIEAPLPASEADTGREDSAVHPSSLAPTDEAPAEIQILQTDDIPTEMSPVENQPLPAEE GFPEVVSEEEATAAEVRFPLSEGAPAQEATVEAQLTSVEESPKRASVDVQPLSPETPVEE SPGVDLPLKTNEVTMQEFRVEKMPAEDPLPPSEQTPADQVLLKEHRLSQVADISEKELES TTLTSDKMSEGIDSVPEDVSGTKDDQISTFKIEGTIKIELKN >ENSMUSP00000095756.2 pep:known chromosome:GRCm38:7:105313982:105315057:1 gene:ENSMUSG00000073907.2 transcript:ENSMUST00000098153.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr689 description:olfactory receptor 689 [Source:MGI Symbol;Acc:MGI:3030523] MGTKHATNSSEFQVSEFILLGFPGIHEFQIWLSLPMALLYIIALGANFLILITIYLEPNL HQSMYQFLGILAVVDIGLATTSMPKILAILWFDAKTISLPECFAQIYAIHTFMSMESGVF LCMAIDRYVAICYPLQYPSIVTEAFVIKATLSMMLRSGLLTIPVPVLAAQRQYCSRNEID HCLCSNLGVISLACDDITVNRNCQLTLAWLILGIDMILVCVSYALIIRSVLRLNSTEAVS KALSTCSSHLILIMFYYTAIVILSVTHLAGRRVPLIPVLLNVMHIVIPPSLNPMVYALRT QELKVGFRKVFDLSHYVSRK >ENSMUSP00000089230.5 pep:known chromosome:GRCm38:13:38204939:38227663:1 gene:ENSMUSG00000021431.14 transcript:ENSMUST00000091641.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp48 description:small nuclear ribonucleoprotein 48 (U11/U12) [Source:MGI Symbol;Acc:MGI:1915047] METEPPPLEERRRLQEELSEFVESCCRTLEEVTASLGWSLDQLDPGDEAEAEDEIAICPY DSNHRMPKSSLTKHMESCRLRKLGYTKEEENEMYNPTFFYENLKIPSVTLNKDSQFQIIK QARTTAGKDGDCYSQRMYSSVPVEVPLNHKRSVCDLTQTDRLALYDFVIEETKKKRSGPQ VIENDSDLFVDLAAKVNQDNSRKSPKSYLEILAEVRDYKRRRQSYRAKNVHITKKSYTEV IRDVIKVHMEELSSHWQEEQGRAGDAAEKNEERRSASVDSRQSGGSYLDVESSRHRRARS RSPHKRKRNKDKSSESRRRKERDGERHHSHKRRKQKI >ENSMUSP00000136202.1 pep:known chromosome:GRCm38:13:38204951:38226922:1 gene:ENSMUSG00000021431.14 transcript:ENSMUST00000178564.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp48 description:small nuclear ribonucleoprotein 48 (U11/U12) [Source:MGI Symbol;Acc:MGI:1915047] METEPPPLEERRRLQEELSEFVESCCRTLEEVTASLGWSLDQLDPGDEAEAEDEIAICPY DSNHRMPKSSLTKHMESCRLRKLGYTKEEENEMYNPTFFYENLKIPSVTLNKDSQFQIIK QARTTAGKDGDCYSQRMYSSVPVEVPLNHKRSVCDLTQTDRLALYDFVIEETKHVRVIRD VIKVHMEELSSHWQEEQGRAGDAAEKNEERRSASVDSRQSGGSYLDVESSRHRRARSRSP HKRKRNKDKSSESRRRKERDGERHHSHKRRKQKI >ENSMUSP00000054910.1 pep:known chromosome:GRCm38:17:83585957:83631895:-1 gene:ENSMUSG00000045053.1 transcript:ENSMUST00000051482.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcng3 description:potassium voltage-gated channel, subfamily G, member 3 [Source:MGI Symbol;Acc:MGI:2663923] MTFGRGGAASVVLNVGGARYSLSRELLKDFPLRRVSRLHGCRSERDVLEVCDDYDRERNE YFFDRHSEAFGFILLYVRGHGKLRFAPRMCELSFYNEMIYWGLEGAHLEYCCQRRLDDRM SDTHTFHAADELGREQPRPAGPEAAPSRRWLERMRRTFEEPTSSLAAQILASVSVVFVIV SMVVLCASTLPDWRAAVADNRSLDDRSRYSASPGREPSGIIEAICIGWFTAECIVRFIVS KNKCEFVKRPLNIIDLLAITPYYISVLMTVFTGENSQLQRAGVTLRVLRMMRIFWVIKLA RHFIGLQTLGLTLKRCYREMAMLLVFICVAMAIFSALSQLLEHGLDLETSNKDFASIPAA CWWVIISMTTVGYGDMYPITVPGRILGGVCVVSGIVLLALPITFIYHSFVQCYHELKFRS ARYSRSLSAEFLN >ENSMUSP00000020450.3 pep:known chromosome:GRCm38:10:76147451:76189265:1 gene:ENSMUSG00000020229.4 transcript:ENSMUST00000020450.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a4a description:solute carrier family 5, member 4a [Source:MGI Symbol;Acc:MGI:1927848] MASTASVSTSTASSELSSLSNNINNAADISVIVIYFVVVMAVGVWAMLKTNRSTVGGFFL AGRSMTWWPMGASLFASNIGSGHFVGLAGTGAASGIAVTAFESHSFALLLVLGWIFVPIY IKAGVMTMPEYLKKRFGGKRLQIYLSILFLFICVILTISADIFSGAIFIKLALGLNLYLA ILILLAITAIFTITGGLASVIYTDTVQAVIMLVGSFILMVFAFVEVGGYESFTEKFMNAI PSVVEGDNLTINSRCYTPQPDSFHIFRDPVTGDIPWPGTAFGMPITALWYWCINQVIVQR CLCGKNLSHVKAACILCGYLKLLPLFFMVMPGMISRILYTDMVACVVPSECVKHCGVDVG CTNYAYPMLVLKLMPMGLRGLMLSVMLASLMSSLTSVFNSASTLFTIDLYTKIRKKASER ELLIAGRLFVSVLIVTSILWVPIVEVSQGGQLVHYTEAISSYLGPPIAAVFLVAVFCKRA NEQGAFWGLMVGLVMGLIRMIAEFSYGTGSCLAPSSCPKIICGVHYLYFAIILFFVCILV ILGVSYLTKPIPDVHLHRLCWALRNSKEERIDLDAEDKEENGADDRTEEDQTEKPRGCLK KTCDLFCGLQRAEFKLTKVEEEALTDTTEKPFWRTVMNVNVILLLAVAAFFYGYFA >ENSMUSP00000129504.1 pep:known chromosome:GRCm38:14:70654246:70766628:-1 gene:ENSMUSG00000022100.13 transcript:ENSMUST00000167242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo7 description:exportin 7 [Source:MGI Symbol;Acc:MGI:1929705] MADHVQSLAQLENLCKQLYETTDTTTRLQAEKALVEFTNSPDCLSKCQLLLERGSSSYSQ LLAATCLTKLVSRTNNPLPLEQRIDIRNYVLNYLATRPKLATFVTQALIQLYARITKLGW FDCQKDDYVFRNAITDVTRFLQDSVEYCIIGVTILSQLTNEINQADTTHPLTKHRKIASS FRDSSLFDIFTLSCNLLKQASGKNLNLNDESQHGLLMQLLKLTHNCLNFDFIGTSTDESS DDLCTVQIPTSWRSAFLDSSTLQLFFDLYHSIPPSFSPLVLSCLVQIASVRRSLFNNAER AKFLSHLVDGVKRILENPQSLSDPNNYHEFCRLLARLKSNYQLGELVKVENYPDVIRLIA NFTVTSLQHWEFAPNSVHYLLSLWQRLAASVPYVKATEPHMLETYTPEVTKAYITSRLES VHIILSRDGLEDPLEDTGLVQQQLDQLSTIGRCEYEKTCALLVQLFDQSAQSYQELLQSA SASPMDIAVQEGRLTWLVYIIGAVIGGRVSFASTDEQDAMDGELVCRVLQLMNLTDSRLA QAGNEKLELAMLSFFEQFRKIYIGDQVQKSSKLYRRLSEVLGLNDETMVLSVFIGKVITN LKYWGRCEPITSKTLQLLNDLSIGYSSVRKLVKLSAVQFMLNNHTSEHFSFLGINNQSNL TDMRCRTTFYTALGRLLMVDLGEDEDQYEQFMLPLTAAFEAVAQMFSTNSFNEQEAKRTL VGLVRDLRGIAFAFNAKTSFMMLFEWIYPSYMPILQRAIELWYHDPACTTPVLKLMAELV HNRSQRLQFDVSSPNGILLFRETSKMITMYGNRILTLGEVPKDQVYALKLKGISICFSML KAALSGSYVNFGVFRLYGDDALENALQTFIKLLLSIPHSDLLDYPKLSQSYYSLLEVLTQ DHMNFIASLEPHVIMYILSSISEGLTALDTMVCTGCCSCLDHIVTYLFKQLSRSTKKRTT PLNRESDCFLHIMQQHPAMIQQMLSTVLNIIIFEDCRNQWSMSRPLLGLILLNEKYFSDL RNSIVNSQPPEKQQAMHLCFENLMEGIERNLLTKNRDRFTQNLSAFRREVNDSMKNSTYG VNSNDMMS >ENSMUSP00000022696.6 pep:known chromosome:GRCm38:14:70654246:70766628:-1 gene:ENSMUSG00000022100.13 transcript:ENSMUST00000022696.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpo7 description:exportin 7 [Source:MGI Symbol;Acc:MGI:1929705] MADHVQSLAQLENLCKQLYETTDTTTRLQAEKALVEFTNSPDCLSKCQLLLERGSSSYSQ LLAATCLTKLVSRTNNPLPLEQRIDIRNYVLNYLATRPKLATFVTQALIQLYARITKLGW FDCQKDDYVFRNAITDVTRFLQDSVEYCIIGVTILSQLTNEINQADTTHPLTKHRKIASS FRDSSLFDIFTLSCNLLKQASGKNLNLNDESQHGLLMQLLKLTHNCLNFDFIGTSTDESS DDLCTVQIPTSWRSAFLDSSTLQLFFDLYHSIPPSFSPLVLSCLVQIASVRRSLFNNAER AKFLSHLVDGVKRILENPQSLSDPNNYHEFCRLLARLKSNYQLGELVKVENYPDVIRLIA NFTVTSLQHWEFAPNSVHYLLSLWQRLAASVPYVKATEPHMLETYTPEVTKAYITSRLES VHIILRDGLEDPLEDTGLVQQQLDQLSTIGRCEYEKTCALLVQLFDQSAQSYQELLQSAS ASPMDIAVQEGRLTWLVYIIGAVIGGRVSFASTDEQDAMDGELVCRVLQLMNLTDSRLAQ AGNEKLELAMLSFFEQFRKIYIGDQVQKSSKLYRRLSEVLGLNDETMVLSVFIGKVITNL KYWGRCEPITSKTLQLLNDLSIGYSSVRKLVKLSAVQFMLNNHTSEHFSFLGINNQSNLT DMRCRTTFYTALGRLLMVDLGEDEDQYEQFMLPLTAAFEAVAQMFSTNSFNEQEAKRTLV GLVRDLRGIAFAFNAKTSFMMLFEWIYPSYMPILQRAIELWYHDPACTTPVLKLMAELVH NRSQRLQFDVSSPNGILLFRETSKMITMYGNRILTLGEVPKDQVYALKLKGISICFSMLK AALSGSYVNFGVFRLYGDDALENALQTFIKLLLSIPHSDLLDYPKLSQSYYSLLEVLTQD HMNFIASLEPHVIMYILSSISEGLTALDTMVCTGCCSCLDHIVTYLFKQLSRSTKKRTTP LNRESDCFLHIMQQHPAMIQQMLSTVLNIIIFEDCRNQWSMSRPLLGLILLNEKYFSDLR NSIVNSQPPEKQQAMHLCFENLMEGIERNLLTKNRDRFTQNLSAFRREVNDSMKNSTYGV NSNDMMS >ENSMUSP00000095915.2 pep:known chromosome:GRCm38:8:124890300:124897705:-1 gene:ENSMUSG00000074030.2 transcript:ENSMUST00000098312.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc8 description:exocyst complex component 8 [Source:MGI Symbol;Acc:MGI:2142527] MSDSGASRLRRQLESGGFEARLYVKQLSQQSDGDRDLQEHRQRVQALAEETAQNLKRNVY QNYRQFIETAREISYLESEMYQLSHLLTEQKSSLESIPLALLPAAAAGASAGEDTAGAGP RERGAVQAGFLPGPAGVPREGSGTGEEGKQRTLTTLLEKVEGCRDLLETPGQYLVYNGDL VEYDADHMAQLQRVHGFLMNDCLLVATWLPQRRGMYRYNALYPLDRLAVVNVKDNPPMKD MFKLLMFPESRIFQAENAKIKREWLEVLEETKRALSDKRRREQEEAAAPRAPPPVTSKGS NPFEDEDDEELATPEAEEEKVDLSMEWIQELPEDLDVCIAQRDFEGAVDLLDKLNHYLED KPSPPPVKELRAKVDERVRQLTEVLVFELSPDRSLRGGPKATRRAVSQLIRLGQCTKACE LFLRNRAAAVHTAIRQLRIEGATLLYIHKLCHVFFTSLLETAREFETDFAGTDSGCYSAF VVWARSAMGMFVDAFSKQVFDSKESLSTAAECVKVAKEHCQQLGEIGLDLTFIIHALLVK DIQGALHSYKEIIIEATKHRNSEEMWRRMNLMTPEALGKLKEEMKSCGVSNFEQYTGDDC WVNLSYTVVAFTKQTMGFLEEALKLYFPELHMVLLESLVEIILVAVQHVDYSLRCEQDPE KKAFIRQNASFLYETVLPVVERRFEEGVGKPAKQLQDLRNASRLLRVNPESTTSVV >ENSMUSP00000136597.1 pep:known chromosome:GRCm38:17:40632075:40637060:1 gene:ENSMUSG00000093957.1 transcript:ENSMUST00000177574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp3 description:exocrine gland secreted peptide 3 [Source:MGI Symbol;Acc:MGI:5439396] MEKATPAKTMDQESKFHVSGMASLPVMFFFIILLLPSMLTEGRILTQTQKEPTISADHQK NPKAVTLVSQREPNIQEDFERMLCAID >ENSMUSP00000022709.4 pep:known chromosome:GRCm38:14:105891949:105896819:-1 gene:ENSMUSG00000022114.4 transcript:ENSMUST00000022709.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spry2 description:sprouty homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1345138] MEARAQSGNGSQPLLQTAHDSGRQRGEPDPRDALTQQVHVLSLDQIRAIRNTNEYTEGPT VVPRPGLKPAPRPSTQHKHERLHGLPEHRQPPRLQPSQVHSSRAPLSRSISTVSSGSRSS TRTSTSSSSSEQRLLGPSFSHGPAAADGIIRVQPKSELKPGDVKPLSKDDLGLHAYRCED CGKCKCKECTYPRPLPSDWICDKQCLCSAQNVIDYGTCVCCVKGLFYHCSNDDEDNCADN PCSCSQSHCCTRWSAMGVMSLFLPCLWCYLPAKGCLKLCQGCYDRVNRPGCRCKNSNTVC CKVPTVPPRNFEKPT >ENSMUSP00000050199.7 pep:known chromosome:GRCm38:10:123264076:123741204:1 gene:ENSMUSG00000044071.7 transcript:ENSMUST00000050756.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam19a2 description:family with sequence similarity 19, member A2 [Source:MGI Symbol;Acc:MGI:2143691] MITKMNKRYLQKATQGKLLIIIFIVTLWGKAVSSANHHKAHHVRTGTCEVVALHRCCNKN KIEERSQTVKCSCFPGQVAGTTRAAPSCVDASIVEQKWWCHMQPCLEGEECKVLPDRKGW SCSSGNKVKTTRVTH >ENSMUSP00000047403.9 pep:known chromosome:GRCm38:13:45389742:45412019:1 gene:ENSMUSG00000038175.9 transcript:ENSMUST00000038275.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylip description:myosin regulatory light chain interacting protein [Source:MGI Symbol;Acc:MGI:2388271] MLCYVTRPDAVLMEVEVEAKANGEDCLNQVCRRLGIIEVDYFGLQFTGSKGESLWLNLRN RISQQMDGLAPYRLKLRVKFFVEPHLILQEQTRHIFFLHIKESLLAGHLQCSPEQAVELS ALLAQTKFGDYNQNTAQYSYEDLCEKELSSSTLNSIVAKHKELEGISQASAEYQVLQIVS AMENYGIEWHAVRDSEGQKLLIGVGPEGISICKEDFSPINRIAYPVVQMATQSGKNVYLT VTKESGNSIVLLFKMISTRAASGLYRAITETHAFYRCDTVTSAVMMQYSRDLKGHLASLF LNENINLGKKYVFDIKRTSKEVYDHARRALYNAGVVDLVSRSDQSPPSSPLKSSDSSMSC SSCEGLSCQQTRVLQEKLRKLKEAMLCMACCEEEINSTFCPCGHTVCCESCAAQLQSCPV CRSRVEHVQHVYLPTHTSLLNLTVI >ENSMUSP00000096742.1 pep:known chromosome:GRCm38:10:128129470:128180297:-1 gene:ENSMUSG00000040043.15 transcript:ENSMUST00000099139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms2 description:RNA binding motif, single stranded interacting protein 2 [Source:MGI Symbol;Acc:MGI:1861776] MAPPSPRNSTPNSSGGGGGGSGGNDQLSKTNLYIRGLQPGTTDQDLVKLCQPYGKIVSTK AILDKTTNKCKGYGFVDFDSPSSAQKAVTALKASGVQAQMAKQQEQDPTNLYISNLPLSM DEQELEGMLKPFGQVISTRILRDTSGASRGVGFARMESTEKCEAIITHFNGKYIKTPPGV AAPSDPLLCKFADGGPKKRQSQGRYVQNGRAWPRNGDMGGMALTYDPTAALQNGFYAAPY SIAHSRMLAQSALAPYLPSPVSSYQGSVLTPGMDHPLSLQPASMMGPLTQQLGHLSLNSL GTFMPAAAAMHGAYISQYPAVPSSSVSAEESNGQQNQLAVEPPSDHGVYPFQFSK >ENSMUSP00000089664.2 pep:known chromosome:GRCm38:10:128129470:128176568:-1 gene:ENSMUSG00000040043.15 transcript:ENSMUST00000092033.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms2 description:RNA binding motif, single stranded interacting protein 2 [Source:MGI Symbol;Acc:MGI:1861776] MLLSVTSRPGISTFGYNKNNKKLYVAQQMAPPSPRNSTPNSSGGGGGGSGGNDQLSKTNL YIRGLQPGTTDQDLVKLCQPYGKIVSTKAILDKTTNKCKGYGFVDFDSPSSAQKAVTALK ASGVQAQMAKQQEQDPTNLYISNLPLSMDEQELEGMLKPFGQVISTRILRDTSGASRGVG FARMESTEKCEAIITHFNGKYIKTPPGVAAPSDPLLCKFADGGPKKRQSQGRYVQNGRAW PRNGDMGGMALTYDPTAALQNGFYAAPYSIAHSRMLAQSALAPYLPSPVSSYQGSVLTPG MDHPLSLQPASMMGPLTQQLGHLSLNSLGTFMPAAAAMHGAYISQYPAVPSSSVSAEESN GQQNQLAVEPPSDHGVYPFQFSK >ENSMUSP00000038925.4 pep:known chromosome:GRCm38:10:79886312:79888216:1 gene:ENSMUSG00000020125.6 transcript:ENSMUST00000046091.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elane description:elastase, neutrophil expressed [Source:MGI Symbol;Acc:MGI:2679229] MALGRLSSRTLAAMLLALFLGGPALASEIVGGRPARPHAWPFMASLQRRGGHFCGATLIA RNFVMSAAHCVNGLNFRSVQVVLGAHDLRRQERTRQTFSVQRIFENGFDPSQLLNDIVII QLNGSATINANVQVAQLPAQGQGVGDRTPCLAMGWGRLGTNRPSPSVLQELNVTVVTNMC RRRVNVCTLVPRRQAGICFGDSGGPLVCNNLVQGIDSFIRGGCGSGLYPDAFAPVAEFAD WINSIIRSHNDHLLTHPKDREGRTN >ENSMUSP00000055935.6 pep:known chromosome:GRCm38:17:48151896:48167257:-1 gene:ENSMUSG00000043939.14 transcript:ENSMUST00000053612.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A530064D06Rik description:RIKEN cDNA A530064D06 gene [Source:MGI Symbol;Acc:MGI:2443476] MAWEPTYLLSPVLLLLLASGSWTQNPELLRTQEGETVSVTCWYDSLYHSSEKIWCKQIDN LCYPFVSKSAEKPRFLIQQSSRFNFFTVTMTKLKMSDSGIYHCGIVANNTSVYLRNIHLV VSKGSSVVSTPDIIPATRLTKLPTLITTKHSPSDTTTTRSLPQPTTVVSSPDPRVIIING TDADRGFVSSVTIPVVCGLLSKTLVFTVLFIVTQKSFGRQAMKAHNSNS >ENSMUSP00000027764.8 pep:known chromosome:GRCm38:17:48151897:48167270:-1 gene:ENSMUSG00000043939.14 transcript:ENSMUST00000027764.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A530064D06Rik description:RIKEN cDNA A530064D06 gene [Source:MGI Symbol;Acc:MGI:2443476] MAWEPTYLLSPVLLLLLASGSWTQNPELLRTQEGETVSVTCWYDSLYHSSEKIWCKQIDN LCYPFVSKSAEKPRFLIQQSSRFNFFTVTMTKLKMSDSGIYHCGIVANNTSVYLRNIHLV VSKGALYPVLLFPWSVDSSARHWYSRSYSLSHRSHLDDRP >ENSMUSP00000058085.7 pep:known chromosome:GRCm38:7:102740721:102759471:-1 gene:ENSMUSG00000043366.13 transcript:ENSMUST00000060187.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr78 description:olfactory receptor 78 [Source:MGI Symbol;Acc:MGI:2157548] MSSCNFTHATFLLIGIPGLEEAHFWFGFPLLSMYAVALFGNCIVVFIVRTERSLHAPMYL FLCMLAAIDLALSTSTMPKILALFWFDSREITFDACLAQMFFIHTLSAIESTILLAMAFD RYVAICHPLRHAAVLNNTVTVQIGMVALVRGSLFFFPLPLLIKRLAFCHSNVLSHSYCVH QDVMKLAYTDTLPNVVYGLTAILLVMGVDVMFISLSYFLIIRTVLQLPSKSERAKAFGTC VSHISVVLAFYVPLIGLSVVHRFGNSLDPIVHVLMGDVYLLLPPVINPIIYGAKTKQIRT RVLAMFKISCDKDIEAGGNT >ENSMUSP00000133255.1 pep:known chromosome:GRCm38:7:102740721:102759471:-1 gene:ENSMUSG00000043366.13 transcript:ENSMUST00000168007.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr78 description:olfactory receptor 78 [Source:MGI Symbol;Acc:MGI:2157548] MSSCNFTHATFLLIGIPGLEEAHFWFGFPLLSMYAVALFGNCIVVFIVRTERSLHAPMYL FLCMLAAIDLALSTSTMPKILALFWFDSREITFDACLAQMFFIHTLSAIESTILLAMAFD RYVAICHPLRHAAVLNNTVTVQIGMVALVRGSLFFFPLPLLIKRLAFCHSNVLSHSYCVH QDVMKLAYTDTLPNVVYGLTAILLVMGVDVMFISLSYFLIIRTVLQLPSKSERAKAFGTC VSHISVVLAFYVPLIGLSVVHRFGNSLDPIVHVLMGDVYLLLPPVINPIIYGAKTKQIRT RVLAMFKISCDKDIEAGGNT >ENSMUSP00000111591.2 pep:novel scaffold:GRCm38:GL456210.1:147792:149707:1 gene:ENSMUSG00000094799.1 transcript:ENSMUST00000115928.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC125149.4 MFTLTKALEKALLQHFIYMKVNIAYAINKPFPFFEALRDKSFITERMYKESLEACQNLVP LSKVVHNILTSLEQTFPPSVLLTLFSKVNLREYPSLVAIFRSFRNGNVVSPILVTCAVVL Q >ENSMUSP00000128215.1 pep:known chromosome:GRCm38:17:48300038:48312534:1 gene:ENSMUSG00000071068.6 transcript:ENSMUST00000170941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Treml2 description:triggering receptor expressed on myeloid cells-like 2 [Source:MGI Symbol;Acc:MGI:2147038] MEPWPLTFLLLLLLLLWLQGCVSGHSNENLYRKVWRREGETLSVQCSYKNRRNLVEAKSW CKVKKKKCDHNFTRSWVRGPSYSLRDDAKVKVVRITMEALRVQDSGRYWCMRNTAGHFYP LVGFQLEVYPALTTERNVPHTHLTNTPMDGFVTTGQVHISDPHAPFTSDVTMFTSEVTMF TSGLLTLASGTTTPTPVTGYSFIDTSGTVTEPERNTESQPATLSPSNARSFSADPVTTST MSRHQSSSLSTTGTCHPLTPNRSQETYIPAMVVVLTFLPAPVVLVVAYGFWKKRHMGRYN LGSNYAKPWIHLPEGPETPWKPAWSKITQ >ENSMUSP00000128530.1 pep:known chromosome:GRCm38:8:105280410:105289528:-1 gene:ENSMUSG00000014837.14 transcript:ENSMUST00000171788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931428F04Rik description:RIKEN cDNA 4931428F04 gene [Source:MGI Symbol;Acc:MGI:1921606] MVLDSGTQVYEQAPPRPPAGSPSQHHKLKPSNGNGPPLYPWPESLGMPLALAVPSALQQQ TMWQTFSKLHLEQSSHMRRSESTYSVNSTGRRGRGKAPIGRGCDPGGTLRPAASLPHIAK IRKDVGSSSSKSPCMLVALRPTNMDQEREKFFQSHYTYNPQFEYQEPMPMSVLEKYQEAS AQFMNQAVGIIEAVLEKFGTYENFEAATGGQLLTKCQIWSTVRKYMQKEGCVGEIVVQLS EDLLSQAVMMVENSRPTLAINLTGARQYWLEGMLRHEIGTHYLRGVNNSRQPWHSTEGRL QYGLQPANPTEEGLASLHSVLFRKQPFLWRAALLYYTIHQAAHMSFRQLFQDLAQYVQDE GVRWEYCVRAKRGQTDTSQPGCFSKDQVYLDGILRILRHRHTIDFQLLTSLGKVSYEDVE QLRPYGVLDNTRVPHFMKDLERYRQQLEHIMTTNRLDEAELGRLLPD >ENSMUSP00000014981.6 pep:known chromosome:GRCm38:8:105280410:105289528:-1 gene:ENSMUSG00000014837.14 transcript:ENSMUST00000014981.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931428F04Rik description:RIKEN cDNA 4931428F04 gene [Source:MGI Symbol;Acc:MGI:1921606] MVLDSGTQVYEQAPPRPPAGSPSQHHKLKPSNGNGPPLYPWPESLGMPLALAVPSALQQQ TMWQTFSKLHLEQSSHMRRSESTYSVNSTGRRGRGKAPIGRGCDPGGTLRPAASLPHIAK IRKDVGSSSSKSPCMLVALRPTNMDQEREKFFQSHYTYNPQFEYQEPMPMSVLEKYQEAS AQFMNQAVGIIEAVLEKFGTYENFEAATGGQLLTKCQIWSTVRKYMQKEGCVGEIVVQLS EDLLSQAVMMVENSRPTLAINLTGARQYWLEGMLRHEIGTHYLRGVNNSRQPWHSTEGRL QYGLQPANPTEEGLASLHSVLFRKQPFLWRAALLYYTIHQAAHMSFRQLFQDLAQYVQDE GVRWEYCVRAKRGQTDTSQPGCFSKDQVYLDGILRILRHRHTIDFQLLTSLGKVSYEDVE QLRPYGVLDNTRVPHFMKDLERYRQQLEHIMTTNRLDEAELGRLLPD >ENSMUSP00000051379.3 pep:known chromosome:GRCm38:10:130268153:130280240:-1 gene:ENSMUSG00000048015.3 transcript:ENSMUST00000061571.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neurod4 description:neurogenic differentiation 4 [Source:MGI Symbol;Acc:MGI:108055] MAKMYMKSKDMVELVNTQSWMDKGLSSQNEMKEQERRPGSYGMLGTLTEEHDSIEEDEEE EEDGDKPKRRGPKKKKMTKARLERFRARRVKANARERTRMHGLNDALDNLRRVMPCYSKT QKLSKIETLRLARNYIWALSEVLETGQTLEGKGFVEMLCKGLSQPTSNLVAGCLQLGPQS TLLEKHEEKSSICDSTISVHSFNYQSPGLPSPPYGHMETHSLHLKPQPFKSLGDSFGSHP PDCSTPPYEGPLTPPLSISGNFSLKQDGSPDLEKSYNFMPHYTSASLSSGHVHSTPFQTG TPRYDVPVDLSYDSYSHHSIGTQLNTIFSD >ENSMUSP00000021932.5 pep:known chromosome:GRCm38:13:54051186:54055658:-1 gene:ENSMUSG00000021478.5 transcript:ENSMUST00000021932.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drd1 description:dopamine receptor D1 [Source:MGI Symbol;Acc:MGI:99578] MAPNTSTMDETGLPVERDFSFRILTACFLSLLILSTLLGNTLVCAAVIRFRHLRSKVTNF FVISLAVSDLLVAVLVMPWKAVAEIAGFWPFGSFCNIWVAFDIMCSTASILNLCVISVDR YWAISSPFQYERKMTPKAAFILISVAWTLSVLISFIPVQLSWHKAKPTWPLDGNFTSLED AEDDNCDTRLSRTYAISSSLISFYIPVAIMIVTYTSIYRIAQKQIRRISALERAAVHAKN CQTTTGNGNPVECSQSESSFKMSFKRETKVLKTLSVIMGVFVCCWLPFFISNCMVPFCGS EETQPFCIDSITFDVFVWFGWANSSLNPIIYAFNADFQKAFSTLLGCYRLCPTTNNAIET VSINNNGAVMFSSHHEPRGSISKDCNLVYLIPHAVGSSEDLKREEAGGIPKPLEKLSPAL SVILDYDTDVSLEKIQPVTHSGQHST >ENSMUSP00000070847.6 pep:known chromosome:GRCm38:19:5068078:5070637:1 gene:ENSMUSG00000056481.6 transcript:ENSMUST00000070630.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd248 description:CD248 antigen, endosialin [Source:MGI Symbol;Acc:MGI:1917695] MLLRLLLAWVAAVPALGQVPWTPEPRAACGPSSCYALFPRRRTFLEAWRACRELGGNLAT PRTPEEAQRVDSLVGVGPANGLLWIGLQRQARQCQPQRPLRGFIWTTGDQDTAFTNWAQP ATEGPCPAQRCAALEASGEHRWLEGSCTLAVDGYLCQFGFEGACPALPLEVGQAGPAVYT TPFNLVSSEFEWLPFGSVAAVQCQAGRGASLLCVKQPSGGVGWSQTGPLCPGTGCGPDNG GCEHECVEEVDGAVSCRCSEGFRLAADGHSCEDPCAQAPCEQQCEPGGPQGYSCHCRLGF RPAEDDPHRCVDTDECQIAGVCQQMCVNYVGGFECYCSEGHELEADGISCSPAGAMGAQA SQDLRDELLDDGEEGEDEEEPWEDFDGTWTEEQGILWLAPTHPPDFGLPYRPNFPQDGEP QRLHLEPTWPPPLSAPRGPYHSSVVSATRPMVISATRPTLPSAHKTSVISATRPPLSPVH PPAMAPATPPAVFSEHQIPKIKANYPDLPFGHKPGITSATHPARSPPYQPPIISTNYPQV FPPHQAPMSPDTHTITYLPPVPPHLDPGDTTSKAHQHPLLPDAPGIRTQAPQLSVSALQP PLPTNSRSSVHETPVPAANQPPAFPSSPLPPQRPTNQTSSISPTHSYSRAPLVPREGVPS PKSVPQLPSVPSTAAPTALAESGLAGQSQRDDRWLLVALLVPTCVFLVVLLALGIVYCTR CGSHAPNKRITDCYRWVTHAGNKSSTEPMPPRGSLTGVQTCRTSV >ENSMUSP00000047035.7 pep:known chromosome:GRCm38:7:45886845:45896711:-1 gene:ENSMUSG00000040231.15 transcript:ENSMUST00000039049.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr4 description:synaptogyrin 4 [Source:MGI Symbol;Acc:MGI:1928903] MHLPESLHDLADNETVRFLRRPKSISRIFGGVFSLVIFSSLLTDGYQNRTESPQLRCVLN SNHMACSFAVGAGFLSFLSCLVFLAIDAYERRLVGTRFKIAFQLLDFILAVLWAGVWFVA FCFLASQWQHSKSKHFLLGNSSAKAAIAFSFFSVPVWILQAYLAFQDLRDEAPVPYKRSL EEGSVVLNTLSPSSTSPSNPPITGPNSLSYTSSALSPYMTTPKAPRLAMMPDS >ENSMUSP00000130813.1 pep:known chromosome:GRCm38:13:59712284:59719289:1 gene:ENSMUSG00000091311.2 transcript:ENSMUST00000165133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata31d1b description:spermatogenesis associated 31 subfamily D, member 1B [Source:MGI Symbol;Acc:MGI:3646080] MEKILSALNGLSETWLTLGSASYHIDLNYTLLSGLGLLLLYISYLILKLLIHLLWREKYT PKLWEKGKKRRQTLKGGRACQREAAQRRELQTTQPSSLEEPSEASCNELPLHRDPLLPVC DRSGNISHQLSQDHLKDGKASYLCVASTVPGTEASFVLSSLLTGNQAGHSAPALLPEPLP LAPSILSPNCFAPLEGFYSATLLHDSLTPESTLPLNPSLLPDPTPPYPLASFPLPTREVQ KSEVVFQLETMRSLVDRLNEMPTNVPPAQGTGCVRQTMPEAPQQQPAADNQLSSDSKNQY LPAPHVPQVPLQGDTRACHVEPGYLFFPNSNAVKLFQRLDKKVSDFLMVKGEQEEWEEEE DKGCFIKSPSSSEKLLSGETSGEPQDFVASHSSSSKGKLTHQPLPHSKTFEDHEELQSSL LFWGPPSLHSEALSPTTTNAYDRSSALVCFNSMAEASIADYSHGCMLPTPLHAYQPQTWL QTEPQSHPQRDPPAELQPQPQPQSRVSILTFSHQSEPRDCGVHFHRPQGDEQLLSSSEMQ CLEYNILKKEQERVWGLPLMVKKSKYTFCPSPPKVLLGSRSSKACTPRPILPGDFPLTSE LQKKLEHHLRKRLIQHRWGLPDRIDKSLSLMSPQSELIDFSESKKNRGLSWITFFKYQGN KDSQVLSGGSSRNRMPRRHSLRETRVKERTYSQDRGHNDQSWGNNFQEASQNSVQSHLKT HRKSRMGRQPPRFSSPSQVKQYQRGIEKALEKHLNKKIREISRGEIPTTVDRSRHSVNMA NIAQPPPETSPKRMKDLAPMACKEHMLTRHPHSLALSPSKEKMLEEHITTFRRRMAFGLP QRVEESLESYSTKAEPSQPFPQLHVQAHNVSRADPAKSSRSLRRTTTGDRMGTMTSVPTQ QRPLPAASLVGHSQPASENKKVGVDRDLSVTPRGREPTQHWTPRRADKGDVQQSGSHNRP GPELPMSPGGPTYERLASSTNTQESQEERRSREDGSEAEGSTELHKGEQLPGLHPQSTKS LKGTQGLCSPGSPVTACQSPQGMSFLHNSESPDSKSQVSTEVQLNSEGGTHNQVPDLPAT PFAPQEMTSKPQGPSGGDMAVSQVLRVHMPTVGISMESRQGPWVPAYVSGKSKNKDCLPA ARGLPQLATEAGKFGGGDAGLGTSQTTGKGHCVQARAPEETQGLTASPALTPKSQPQENQ FTSQVKGFWQRLSPGKKHKGQEKSLAKGCSPLASGKGTSPIKGRSEFCGNPEAQNCVREP GMVLRKQLGHRHGTVTPCPQVPVSPLMGSEGAQQEVPLQAQAEPVQRLPHLCCRGSCSPV PRAESCSPGQGQTAPERCGPTGKAKRVETSPVLASPPKSSL >ENSMUSP00000022716.2 pep:known chromosome:GRCm38:14:104477534:104522666:-1 gene:ENSMUSG00000022120.3 transcript:ENSMUST00000022716.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf219 description:ring finger protein 219 [Source:MGI Symbol;Acc:MGI:1919736] MAQTVQNVTLSLTLPITCHICLGKVRQPVVCTNNHVFCSICIDLWLKNNSQCPACRVPIT PENPCKEIIGGTSESEPMLSHTVRKHLRKTRLELLHREYEDEIDCLQKEVEELKSKNLSL ESQIKTILDPLALMQGSQNEDKHPLADNPSKMDPDSVVEWKKKLRTANEIYEKVKDDVDK LKEANKKLKLENGGLLRENLRLKAEVDNRSPQKFGRFTVAALQSKVEQYERETNRLKKAL ERSDKYIEELESQVAHLKHSEEAKEDVDALCQRAPSADSKGPNGSDELGPPKNQSDSARK QAGSASASHLASPSSSRLADSGSVRQESTSRTEPNCPQNKDRYPKPTEPRLGARETPMDT YLEREWGSKPSDCAPYKEDELYGIPASCTPLSLSCLQLNTPENRENPVIKAGSSKKHANH LRKLVFDDFCDSPNACNNNSSEDDRRENEKKSDCFASSKTGFWDCCSTSYAQSLEFDGSE GNAIANSVGEIPSKLSEKSGSCLSKRLSCIRSLEMNRTRTSSEASMDAAYLDKISELDSM MSESDNSKSPCNNGFKSVEVEGPSKSPQGREFLEEPDKLQEGSKLNLSKPALTADGLESG GEWKPSSFFLLSPADHEMSEDFSLHSTSHSGTSEVKPPNCLFQTEFSQGALLSSSQGLFE DQRFGSSLFKMSSEMQSLHSPLQSPWSAAFVPEKRSKNGNQSTKRKIQSSLANASPSKAT KS >ENSMUSP00000088079.2 pep:known chromosome:GRCm38:14:14346495:14355490:1 gene:ENSMUSG00000068758.7 transcript:ENSMUST00000090591.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il3ra description:interleukin 3 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:96553] MAANLWLILGLLASHSSDLAAVREAPPTAVTTPIQNLHIDPAHYTLSWDPAPGADITTGA FCRKGRDIFVWADPGLARCSFQSLSLCHVTNFTVFLGKDRAVAGSIQFPPDDDGDHEAAA QDLRCWVHEGQLSCQWERGPKATGDVHYRMFWRDVRLGPAHNRECPHYHSLDVNTAGPAP HGGHEGCTLDLDTVLGSTPNSPDLVPQVTITVNGSGRAGPVPCMDNTVDLQRAEVLAPPT LTVECNGSEAHARWVARNRFHHGLLGYTLQVNQSSRSEPQEYNVSIPHFWVPNAGAISFR VKSRSEVYPRKLSSWSEAWGLVCPPEVMPVKTALVTSVATVLGAGLVAAGLLLWWRKSLL YRLCPPIPRLRLPLAGEMVVWEPALEDCEVTPVTDA >ENSMUSP00000021907.7 pep:known chromosome:GRCm38:13:62836884:62858400:-1 gene:ENSMUSG00000021456.7 transcript:ENSMUST00000021907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbp2 description:fructose bisphosphatase 2 [Source:MGI Symbol;Acc:MGI:95491] MTDRSPFETDMLTLTRYVMEKGRQAKGTGELTQLLNSMLTAIKAISSAVRKAGLANLYGI SGSVNVTGDEVKKLDVLSNSLVINMLQSSYSTCVLVSEENKEAVITAQERRGKYVVCFDP LDGSSNIDCLASIGTIFAIYRKTTEDEPSEKDALQPGRNIVAAGYALYGSATLVALSTGQ GVDLFMLDPALGEFVLVEKDVRIKKKGKIFSLNEGYAKYFDAATAEYVQKKKFPEDGSEP YGARYVGSMVADVHRTLVYGGIFMYPANQKSPNGKLRLLYECNPVAYIIEQAGGMATTGT QPVLDVKPESIHQRVPLILGSPEDVQEYLSCVQRNQAGR >ENSMUSP00000132803.1 pep:known chromosome:GRCm38:15:98092589:98132447:1 gene:ENSMUSG00000033065.13 transcript:ENSMUST00000163507.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkm description:phosphofructokinase, muscle [Source:MGI Symbol;Acc:MGI:97548] MTHEEHHAAKTLGIGKAIAVLTSGGDAQGMNAAVRAVVRVGIFTGARVFFVHEGYQGLVD GGEHIREATWESVSMMLQLGGTVIGSARCKDFREREGRLRAAHNLVKRGITNLCVIGGDG SLTGADTFRSEWSDLLNDLQKDGKITAEEATKSSYLNIVGLVGSIDNDFCGTDMTIGTDS ALHRIVEIVDAITTTAQSHQRTFVLEVMGRHCGYLALVTSLSCGADWVFIPECPPDDDWE EHLCRRLSETRTRGSRLNIIIVAEGAIDKNGKPITSEDIKNLVVKRLGYDTRVTVLGHVQ RGGTPSAFDRILGSRMGVEAVMALLEGTPDTPACVVSLSGNQAVRLPLMECVQVTKDVTK AMDEKRFDEAIKLRGRSFMNNWEVYKLLAHVRPPVSKGGLHTVAVMNVGAPAAGMNAAVR STVRIGLIQGNRVLVVHDGFEGLAKGQIEEAGWSYVGGWTGQGGSKLGTKRTLPKKNLEQ ISANITKFNIQGLVIIGGFEAYTGGLELMEGRKQFDELCIPFVVIPATVSNNVPGSDFSI GADTALNTICTTCDRIKQSAAGTKRRVFIIETMGGYCGYLATMAGLAAGADAAYIFEEPF TIRDLQVNVEHLVQKMKTTVKRGLVLRNEKCNENYTTDFIFNLYSEEGKGIFDSRKNVLG HMQQGGSPTPFDRNFATKMGAKAMNWMSGKIKESYRNGRIFANTPDSGCVLGMRKRALVF QPVTELKDQTDFEHRIPKEQWWLKLRPILKILAKYEIDLDTSDHAHLEHISRKRSGEAAV >ENSMUSP00000059801.6 pep:known chromosome:GRCm38:15:98108471:98132447:1 gene:ENSMUSG00000033065.13 transcript:ENSMUST00000051226.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkm description:phosphofructokinase, muscle [Source:MGI Symbol;Acc:MGI:97548] MTHEEHHAAKTLGIGKAIAVLTSGGDAQGMNAAVRAVVRVGIFTGARVFFVHEGYQGLVD GGEHIREATWESVSMMLQLGGTVIGSARCKDFREREGRLRAAHNLVKRGITNLCVIGGDG SLTGADTFRSEWSDLLNDLQKDGKITAEEATKSSYLNIVGLVGSIDNDFCGTDMTIGTDS ALHRIVEIVDAITTTAQSHQRTFVLEVMGRHCGYLALVTSLSCGADWVFIPECPPDDDWE EHLCRRLSETRTRGSRLNIIIVAEGAIDKNGKPITSEDIKNLVVKRLGYDTRVTVLGHVQ RGGTPSAFDRILGSRMGVEAVMALLEGTPDTPACVVSLSGNQAVRLPLMECVQVTKDVTK AMDEKRFDEAIKLRGRSFMNNWEVYKLLAHVRPPVSKGGLHTVAVMNVGAPAAGMNAAVR STVRIGLIQGNRVLVVHDGFEGLAKGQIEEAGWSYVGGWTGQGGSKLGTKRTLPKKNLEQ ISANITKFNIQGLVIIGGFEAYTGGLELMEGRKQFDELCIPFVVIPATVSNNVPGSDFSI GADTALNTICTTCDRIKQSAAGTKRRVFIIETMGGYCGYLATMAGLAAGADAAYIFEEPF TIRDLQVNVEHLVQKMKTTVKRGLVLRNEKCNENYTTDFIFNLYSEEGKGIFDSRKNVLG HMQQGGSPTPFDRNFATKMGAKAMNWMSGKIKESYRNGRIFANTPDSGCVLGMRKRALVF QPVTELKDQTDFEHRIPKEQWWLKLRPILKILAKYEIDLDTSDHAHLEHISRKRSGEAAV >ENSMUSP00000026416.7 pep:known chromosome:GRCm38:10:128697939:128705051:-1 gene:ENSMUSG00000025358.15 transcript:ENSMUST00000026416.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2 description:cyclin-dependent kinase 2 [Source:MGI Symbol;Acc:MGI:104772] MENFQKVEKIGEGTYGVVYKAKNKLTGEVVALKKIRLDTETEGVPSTAIREISLLKELNH PNIVKLLDVIHTENKLYLVFEFLHQDLKKFMDASALTGIPLPLIKSYLFQLLQGLAFCHS HRVLHRDLKPQNLLINAEGSIKLADFGLARAFGVPVRTYTHEVVTLWYRAPEILLGCKYY STAVDIWSLGCIFAEMHLVCTQHHAKCCGEHRRNGRHSLCPLCSYLEVAASQGGGMTAVS APHPVTRRALFPGDSEIDQLFRIFRTLGTPDEVVWPGVTSMPDYKPSFPKWARQDFSKVV PPLDEDGRSLLSQMLHYDPNKRISAKAALAHPFFQDVTKPVPHLRL >ENSMUSP00000026415.7 pep:known chromosome:GRCm38:10:128697939:128705051:-1 gene:ENSMUSG00000025358.15 transcript:ENSMUST00000026415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2 description:cyclin-dependent kinase 2 [Source:MGI Symbol;Acc:MGI:104772] MENFQKVEKIGEGTYGVVYKAKNKLTGEVVALKKIRLDTETEGVPSTAIREISLLKELNH PNIVKLLDVIHTENKLYLVFEFLHQDLKKFMDASALTGIPLPLIKSYLFQLLQGLAFCHS HRVLHRDLKPQNLLINAEGSIKLADFGLARAFGVPVRTYTHEVVTLWYRAPEILLGCKYY STAVDIWSLGCIFAEMVTRRALFPGDSEIDQLFRIFRTLGTPDEVVWPGVTSMPDYKPSF PKWARQDFSKVVPPLDEDGRSLLSQMLHYDPNKRISAKAALAHPFFQDVTKPVPHLRL >ENSMUSP00000080214.5 pep:known chromosome:GRCm38:19:5740904:5758532:1 gene:ENSMUSG00000024940.10 transcript:ENSMUST00000081496.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp3 description:latent transforming growth factor beta binding protein 3 [Source:MGI Symbol;Acc:MGI:1101355] MPGPRGAAHGLAPAMRQAGALGLLALLLLALLGPGGGAEGGPAGERGTGGGGALARERFK VVFAPVICKRTCLKGQCRDSCQQGSNMTLIGENGHSTDTLTGSGFRVVVCPLPCMNGGQC SSRNQCLCPPDFTGRFCQVPAAGTGAGTGSSGPGLARTGAMSTGPLPPLAPEGESVASKH AIYAVQVIADPPGPGEGPPAQHAAFLVPLGPGQISAEVQAPPPVVNVRVHHPPEASVQVH RIEGPNAEGPASSQHLLPHPKPQHPRPPTQKPLGRCFQDTLPKQPCGSNPLPGLTKQEDC CGSIGTAWGQSKCHKCPQLQYTGVQKPGPVRGEVGADCPQGYKRLNSTHCQDINECAMPG MCRHGDCLNNPGSYRCVCPPGHSLGPSRTQCIADKPEEKSLCFRLVSTEHQCQHPLTTRL TRQLCCCSVGKAWGARCQRCPADGTAAFKEICPAGKGYHILTSHQTLTIQGESDFSLFLH PDGPPKPQQLPESPSRAPPLEDTEEERGVTMDPPVSEERSVQQSHPTTTTSPPRPYPELI SRPSPPTFHRFLPDLPPSRSAVEIAPTQVTETDECRLNQNICGHGQCVPGPSDYSCHCNA GYRSHPQHRYCVDVNECEAEPCGPGKGICMNTGGSYNCHCNRGYRLHVGAGGRSCVDLNE CTKPHLCGDGGFCINFPGHYKCNCYPGYRLKASRPPICEDIDECRDPSTCPDGKCENKPG SFKCIACQPGYRSQGGGACRDVNECSEGTPCSPGWCENLPGSYRCTCAQGYEPAQDGLSC IDVDECEAGKVCQDGICTNTPGSFQCQCLSGYHLSRDRSRCEDIDECDFPAACIGGDCIN TNGSYRCLCPQGHRLVGGRKCQDIDECSQDPGLCLPHGACENLQGSYVCVCDEGFTLTQD QHGCEEVEQPHHKKECYLNFDDTVFCDSVLATNVTQQECCCSLGAGWGDHCEIYPCPVYS SAEFHSLCPDGKGYTQDNNIVNYGIPAHRDIDECILFGAEICKEGKCVNTQPGYECYCKQ GFYYDGNLLECVDVDECLDESNCRNGVCENTRGGYRCACTPPAEYSPAQRQCLSPEEMEH APERREVCWGQRGEDGMCMGPLAGPALTFDDCCCRQGRGWGTQCRPCPPRGTGSQCPTSQ SESNSFWDTSPLLLGKSPRDEDSSEEDSDECRCVSGRCVPRPGGAVCECPGGFQLDASRA RCVDIDECRELNQRGLLCKSERCVNTSGSFRCVCKAGFTRSRPHGACVPQRRR >ENSMUSP00000078706.6 pep:known chromosome:GRCm38:10:80622780:80640771:1 gene:ENSMUSG00000003345.15 transcript:ENSMUST00000079773.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g2 description:casein kinase 1, gamma 2 [Source:MGI Symbol;Acc:MGI:1920014] MDFDKKGGKGELEEGRRMSKTGTSRSNHGVRSSGTSSGVLMVGPNFRVGKKIGCGNFGEL RLGKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLSTTGEADSGTGPALLGQQWLRTPS MDVSFAEGVPQVYYFGPCGKYNAMVLELLGPSLEDLFDLCDRTFTLKTVLMIAIQLITRM EYVHTKSLIYRDVKPENFLVGRPGSKRQHSIHIIDFGLAKEYIDPETKKHIPYREHKSLT GTARYMSINTHLGKEQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLKERYQKIGDTKRA TPIEVLCESFPEEMATYLRYVRRLDFFEKPDYDYLRKLFTDLFDRSGYVFDYEYDWAGKP LPTPIGTVHPDVPSQPPHRDKAQLHTKNQALNSTNGELNTDDPTAGHSNAPIAAPAEVEV ADETKCCCFFKRRKRKSLQRHK >ENSMUSP00000082560.5 pep:known chromosome:GRCm38:10:80629656:80640771:1 gene:ENSMUSG00000003345.15 transcript:ENSMUST00000085435.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1g2 description:casein kinase 1, gamma 2 [Source:MGI Symbol;Acc:MGI:1920014] MDFDKKGGKGELEEGRRMSKTGTSRSNHGVRSSGTSSGVLMVGPNFRVGKKIGCGNFGEL RLGKNLYTNEYVAIKLEPIKSRAPQLHLEYRFYKQLSTTEGVPQVYYFGPCGKYNAMVLE LLGPSLEDLFDLCDRTFTLKTVLMIAIQLITRMEYVHTKSLIYRDVKPENFLVGRPGSKR QHSIHIIDFGLAKEYIDPETKKHIPYREHKSLTGTARYMSINTHLGKEQSRRDDLEALGH MFMYFLRGSLPWQGLKADTLKERYQKIGDTKRATPIEVLCESFPEEMATYLRYVRRLDFF EKPDYDYLRKLFTDLFDRSGYVFDYEYDWAGKPLPTPIGTVHPDVPSQPPHRDKAQLHTK NQALNSTNGELNTDDPTAGHSNAPIAAPAEVEVADETKCCCFFKRRKRKSLQRHK >ENSMUSP00000034689.6 pep:known chromosome:GRCm38:9:20652130:20666584:1 gene:ENSMUSG00000032171.6 transcript:ENSMUST00000034689.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pin1 description:protein (peptidyl-prolyl cis/trans isomerase) NIMA-interacting 1 [Source:MGI Symbol;Acc:MGI:1346036] MADEEKLPPGWEKRMSRSSGRVYYFNHITNASQWERPSGGSTVGGSSKNGQGEPAKVRCS HLLVKHSQSRRPSSWRQEKITRSKEEALELINGYIQKIKSGEEDFESLASQFSDCSSAKA RGDLGPFSRGQMQKPFEDASFALRTGEMSGPVFTDSGIHIILRTE >ENSMUSP00000059715.2 pep:known chromosome:GRCm38:17:56511248:56512483:-1 gene:ENSMUSG00000044526.2 transcript:ENSMUST00000052211.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf4 description:zinc and ring finger 4 [Source:MGI Symbol;Acc:MGI:1341258] MARFAWTRVAPVALVTFWLVLSLSPTDAQVNLSSVDFLDLPALLGVPVDPKRARGYLLVA RPADACHAIEGPWPDNHSLDPLVLVRPLGCSWEQTGRRAQRAGATAASVGPEAPGQLREF EDLEVTVRCDQPARVLLPHAEPCPDPECHPVVVASWALARALALAASTLFVLRQLWPWVR GLGSRGTAVKTQTCQKAQVRTFTRLSDLCAICLDDYEEGERLKILPCAHAYHCRCIDPWF SRAAQRSCPLCKQSVASTHDGSTDGSVGGEEPPLPGHRPPIWAIQARLRSRRLELLARTV PCRRCSSTTSLGVAENVAQSEATSELS >ENSMUSP00000055451.1 pep:known chromosome:GRCm38:9:38179871:38180821:-1 gene:ENSMUSG00000045528.1 transcript:ENSMUST00000062535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr891 description:olfactory receptor 891 [Source:MGI Symbol;Acc:MGI:3030725] MATGNHYSVTEFILTGLTEQPELQMPLFFLFLVNCLITVVGNLSLMSLICCNSNLQTPMH FFLFNLSFIDLCYSFAFTPKTLMSFVLEKNIIYFTGCMTQLFFMCLFANSECYLVTAMDY DHYVAICQPLLYMIITSPMTCSLMMFGSYLMGGIGAIVHTGCMIRLNFCGSNIINHYMYD IFPLLQLSCSSIYANELVSSVFVSTVVLASSFLILTSYALILFNITQLSGKGLSKAMSTC SSHIMTVVLFYGFAMLTHVKTSSDESVNQGNFFCLFCTFLVPLLNPFIYSLKNKEVKLAL KRTLRRLTVSESLGLP >ENSMUSP00000091386.1 pep:known chromosome:GRCm38:9:40006015:40006947:-1 gene:ENSMUSG00000060254.5 transcript:ENSMUST00000073932.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr980 description:olfactory receptor 980 [Source:MGI Symbol;Acc:MGI:3030814] MLNGSVVTTFFLSGLPHPPVLDSMLFGIFLVIYILTVLGNLLILMVIRVDSHLHTPMYYF LTNLSFIDMWFSTVTVPKMLMTLVSTGGGAISFHSCVAQLYCFHFLGSTECFLYTVMSYD RYLAISYPLRYSSMMSGRVCALLAAGTWITGSLHSAVQTTLIFHLPYCGPNEIQHYFCDG PPILKLACADTSAIEMVIFVNIGVVASGCFFLISLSYVSIVCSILRIRTSEGRHRAFQTC ASHCIVVLCFFVPCVFIYLRPGSRDAVDGVVTVFYTVLTPLLNPVVYTLRNKEVKKALFK LKDKVAFSQR >ENSMUSP00000033096.7 pep:known chromosome:GRCm38:7:45492674:45510408:-1 gene:ENSMUSG00000030824.16 transcript:ENSMUST00000033096.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nucb1 description:nucleobindin 1 [Source:MGI Symbol;Acc:MGI:97388] MPTSVPRGAPFLLLPPLLMLSAVLAVPVDRAAPPQEDSQATETPDTGLYYHRYLQEVINV LETDGHFREKLQAANAEDIKSGKLSQELDFVSHNVRTKLDELKRQEVSRLRMLLKAKMDA KQEPNLQVDHMNLLKQFEHLDPQNQHTFEARDLELLIQTATRDLAQYDAAHHEEFKRYEM LKEHERRRYLESLGEEQRKEAERKLQEQQRRHREHPKVNVPGSQAQLKEVWEELDGLDPN RFNPKTFFILHDINSDGVLDEQELEALFTKELEKVYDPKNEEDDMREMEEERLRMREHVM KNVDTNQDRLVTLEEFLASTQRKEFGDTGEGWKTVEMSPAYTEEELKRFEEELAAREAEL NARAQRLSQETEALGRSQDRLEAQKRELQQAVLQMEQRKQQLQEQSAPPSKPDGQLQFRA DTDDAPVPAPAGDQKDVPASEKKVPEQPPELPQLDSQHL >ENSMUSP00000021423.7 pep:known chromosome:GRCm38:12:87221255:87233556:-1 gene:ENSMUSG00000072919.3 transcript:ENSMUST00000021423.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noxred1 description:NADP+ dependent oxidoreductase domain containing 1 [Source:MGI Symbol;Acc:MGI:1918525] MEMLEDLESLRFEFGIPEEERYWLYLQGRYRGLMIKGCAHAAFFCKMFSTLSNLLQNLPR TIHPRTVSFDNAATEDELLTVGIIGCGHLGKQLTNVLLKTVPIPAENLQISTRRPESLGE LRKLGVRCVYDNAAVASWAKVLFLCCLPAQLPNICLEIQSKLNKHCTVYSFVSAIPLPRL KSLLNHTNILRPQYQFAEDYDNIWGENEEVPIALQDTTIIRGTCPYNNLGGVILNVKWIE GLCYALINACTSRSVFHSQVLKLLNKLLLPMHLESCTTDPESCPQFNLTDFMSKSYVKNL YQKRPFPWFDLTTVQLKETPFSQHISATPSLQDHISLLYCEVFGLTISEEELPYISTVIR PLVEEK >ENSMUSP00000082800.4 pep:known chromosome:GRCm38:9:58488603:58499742:1 gene:ENSMUSG00000066607.4 transcript:ENSMUST00000085658.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6030419C18Rik description:RIKEN cDNA 6030419C18 gene [Source:MGI Symbol;Acc:MGI:2442108] MNIRGAPDLGQPSDDPNSGGERERIRQRMKMVIGQLEGILRELKEVAKELREVVSQIDKL TSDFDFELEPDDWTTATVSSTSSSDKAGVGGPFDLGHLDFMTADILSDSWEFCSFLDVST PSDSVDGPEAPRPGTGPDYQLMNGGLPIPNGPRVETPDSSSEEAFSAGPAKGQVPQRTPG TRERVRFSDKVLYHALCCDDEEGDGEEGEEEEEGDLAPELPRVEPHTGPLKPSPAPYKTK RSPLTTRRLGPTLAPEQTRRVTRNSSTQTVSDKSTQTVLPYTATKQKAKGKN >ENSMUSP00000102039.1 pep:known chromosome:GRCm38:3:122913070:122919360:-1 gene:ENSMUSG00000078590.1 transcript:ENSMUST00000106431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10959 description:predicted gene 10959 [Source:MGI Symbol;Acc:MGI:3779169] AYWLDFWFFVLFWLALNLFVFLSP >ENSMUSP00000072445.2 pep:known chromosome:GRCm38:7:140610168:140611124:1 gene:ENSMUSG00000093942.1 transcript:ENSMUST00000072655.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr46 description:olfactory receptor 46 [Source:MGI Symbol;Acc:MGI:1333824] MNWILHSTMVSPNQTVVTEFVLQGFSEHPSLRLFLMGCFLSLYTVALMGNMVIIALITSS TGLHSPMYFFLCNLATMDIICTSSVLPKALVGLLSEENTISFKGCMTQLFFLVWSGSSEL LLLTVMAYDRYVAICLPLHYSSRMSPQLCGTFAVGVWSICALNASINTGLMTRLSFCGPK VITHFFCEIPPLLLLSCSPTYINSVMTLVADAFYGGINFLLTLLSYGCIIASILRMRSAE GKRKAFSTCSSHLIVVSVYYSSVFCAYISPGSSYSPERSKFTSVLYSVLSPTLNPLIYTL RNKDVKLALRRLFPSFSN >ENSMUSP00000128823.2 pep:known chromosome:GRCm38:15:99702176:99705490:-1 gene:ENSMUSG00000091604.2 transcript:ENSMUST00000163472.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17349 description:predicted gene, 17349 [Source:MGI Symbol;Acc:MGI:4936983] PKVRTLDPAPGPFAREAREFRPGPEQPPRPLLLRLPRRRSEPQTGNPPGPPSSRSRRCSC TKNRNRNSPRPPRAPRAPGLFVGPARRRAQAARSSETTG >ENSMUSP00000045208.3 pep:known chromosome:GRCm38:9:64235201:64236362:1 gene:ENSMUSG00000035337.3 transcript:ENSMUST00000039011.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uchl4 description:ubiquitin carboxyl-terminal esterase L4 [Source:MGI Symbol;Acc:MGI:1890440] MEGQRWLPLEANPEVTNQFLKQLGLHPNWQFVDVYGMESELLSIIPRPVCAVLLLFPITE KYEVFRTEEEEKIKSQGQDVTSSVYFMKQTISNACGTIGTIGLIHAIANNKDKVHFESGS TLKKFLEESVSMSPEERAKYLENYDAIRVTHETSAHEGQTEAPSIDEKVDLHFIALVHVD GHLYELDGWKPFPINHGKTSDETLLEDVIKVCKKFMERDPDELRFNAIALSAA >ENSMUSP00000071695.5 pep:known chromosome:GRCm38:9:122888471:122896124:1 gene:ENSMUSG00000063488.5 transcript:ENSMUST00000063980.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan7 description:zinc finger with KRAB and SCAN domains 7 [Source:MGI Symbol;Acc:MGI:3040678] MTMEGRGTLDIIPRTAMYQKQEGNLTVKQEPGSQTWGQKNYLPVCEIFRLHFRQLCYHEM SGPQQALSRLRELCHWWLMPEVHTKEQILELLVLEQFLSILPRELQTWVQLHHPETGEEA VAMVEDFQRHLSESGEVSPPVQEQDVHLKKMGALSAAEQSPTSTSNQGLAPGALLDPPCD PGAGHDPAFPKVGNPRAQAVATVLRMARPQETAVNKDYKQEKWSVLCETEVVRDEHGLAS LGKNRIGSSEFSPKQDISKGSHSLDTSSEGLFGVALVERASGDICKDPLAQREEHPSDEE GSGLESDFLEKEDKNSTEDRNEECKDVGVHPEMPSSPVEHQGEAKRQKSYQCDECGKVFS QSSRFLGHQRIHVGERPFECNKCGKTFQQISQLVVHLRIHTQEKPYECCESGKTYCHSSH LIQHQRLRNGDKPYKGNECVRASIQSSQLLVHKRTHTGEKPDESGEAFIWNKSLIQHQVL HISKKLYECNECGKAFYTNRNLIDHQRIHTGEKPYECIECGKSFSRSKCLTRHQSLHTGE KTYKCSECGKAFLQNSQLIDHKRVHTGEKPFECSECGKKFSLGKCLIRHQRLHTGEKPYK CSECGKSFNQNSHLIIHQRIHTGEKPYGCNECGKVFSYSSSLMVHQRTHTGEKPYKCKDC MKAFGDSSQLIVHQRVHTGEKPYECAECGKAFSQRSTFNHHQRIHNVAKPSSRLQAVS >ENSMUSP00000025567.7 pep:known chromosome:GRCm38:19:10064164:10101503:-1 gene:ENSMUSG00000024665.7 transcript:ENSMUST00000025567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fads2 description:fatty acid desaturase 2 [Source:MGI Symbol;Acc:MGI:1930079] MGKGGNQGEGSTERQAPMPTFRWEEIQKHNLRTDRWLVIDRKVYNVTKWSQRHPGGHRVI GHYSGEDATDAFRAFHLDLDFVGKFLKPLLIGELAPEEPSLDRGKSSQITEDFRALKKTA EDMNLFKTNHLFFFLLLSHIIVMESLAWFILSYFGTGWIPTLVTAFVLATSQAQAGWLQH DYGHLSVYKKSIWNHVVHKFVIGHLKGASANWWNHRHFQHHAKPNIFHKDPDIKSLHVFV LGEWQPLEYGKKKLKYLPYNHQHEYFFLIGPPLLIPMYFQYQIIMTMISRRDWVDLAWAI SYYMRFFYTYIPFYGILGALVFLNFIRFLESHWFVWVTQMNHLVMEIDLDHYRDWFSSQL AATCNVEQSFFNDWFSGHLNFQIEHHLFPTMPRHNLHKIAPLVKSLCAKHGIEYQEKPLL RALIDIVSSLKKSGELWLDAYLHK >ENSMUSP00000137692.1 pep:known chromosome:GRCm38:2:154612937:154613437:-1 gene:ENSMUSG00000097602.1 transcript:ENSMUST00000181369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930519P11Rik description:RIKEN cDNA 4930519P11 gene [Source:MGI Symbol;Acc:MGI:1921971] AEQPLPRPDALTLQGLEDRLRHLGAAAARGVGAGLRKHCENRGGVGAARGRPGADAEAPA PETACPAVRPETQSPSSSNSTQTYVRRSHIASQRGHRPQFFNTHPMIAAHSLLQGCNTNP PHRDCLLHGHPTPCNCATLIGKQPSRRTPISGLNSSVYVRFPQCSS >ENSMUSP00000024866.4 pep:known chromosome:GRCm38:17:73883908:73950182:-1 gene:ENSMUSG00000024066.8 transcript:ENSMUST00000024866.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xdh description:xanthine dehydrogenase [Source:MGI Symbol;Acc:MGI:98973] MTRTTVDELVFFVNGKKVVEKNADPETTLLVYLRRKLGLCGTKLGCGEGGCGACTVMISK YDRLQNKIVHFSVNACLTPICSLHHVAVTTVEGIGNTKKLHPVQERIAKSHGSQCGFCTP GIVMSMYTLLRNKPEPTVEEIENAFQGNLCRCTGYRPILQGFRTFAKDGGCCGGSGNNPN CCMSQTKDQTIAPSSSLFNPEDFKPLDPTQEPIFPPELLRLKDTPRKTLRFEGERVTWIQ VSTMEELLDLKAQHPDAKLVVGNTEIGIEMKFKNMLFPLIICPAWILELTSVAHGPEGIS FGAACPLSLVESVLADAIATLPEQRTEVFRGVMEQLRWFAGKQVKSVASIGGNIITASPI SDLNPVLMASRAKLTLASRGTKRTVWMDHTFFPGYRRTLLSPEEILVSIVIPYSRKGEFF SAFKQASRREDDIAKVTSGMRVLFKPGTTEVQELSLCFGGMADRTVSALKTTPKQLSKSW NEELLQDVCAGLAEELHLAPDAPGGMVEFRRTLTLSFFFKFYLTVLQKLGRADLEGMCGK LDPTFASATLLFQKDPPANVQLFQEVPKGQSEEDMVGRPMPHLAADMQASGEAVYCDDIP RYENELSLRLVTSTRAHAKIMSIDTSEAKKVPGFVCFLTSEDVPGSNITGIFNDETVFAK DEVTCVGHIIGAVVADTPEHAHRAARGVKITYEDLPAIITIQDAIKNNSFYGPEVKIEKG DLKKGFSEADNVVSGELYIGGQEHFYLETHCTIAVPKGEAGEMELFVSTQNTMKTQSFIA KMLGVPDNRIVVRVKRMGGGFGGKETRSTLISTAVALAAYKTGRPVRCMLDRDEDMLITG GRHPFLAKYKVGFMKTGTIVALEVAHFSNGGNSEDLSRSIMERAVFHMDNAYKIPNIRGT GRICKTNLPSNTAFRGFGGPQGMLIAEYWMSEVAVTCGLPAEEVRRKNMYKEGDLTHFNQ KLEGFTLPRCWDECIASSQYQARKMEVEKFNRENCWKKRGLCIIPTKFGISFTLSFLNQG GALVHVYTDGSVLLTHGGTEMGQGLHTKMVQVASRALKIPTSKIHITETSTNTVPNTSPT AASASADLNGQAIYEACQTILKRLEPFKKKNPSGSWESWVMDAYTSAVSLSATGFYKTPN LGYSFETNSGNPFHYFSYGVACSEVEIDCLTGDHKNLRTDIVMDVGSSLNPAIDIGQVEG AFVQGLGLFTMEELHYSPEGSLHTRGPSTYKIPAFGSIPIEFRVSLLRDCPNKRAIYASK AVGEPPLFLASSIFFAIKDAIRAARAQHGDSNAKQLFQLDSPATPEKIRNACVDQFTTLC ATGTPENCKSWSVRI >ENSMUSP00000075416.5 pep:known chromosome:GRCm38:17:57769570:58410342:1 gene:ENSMUSG00000038048.7 transcript:ENSMUST00000076038.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntnap5c description:contactin associated protein-like 5C [Source:MGI Symbol;Acc:MGI:3646013] MDSVPRLNSVFTLVLSGLWHFGLTATNYNCDDPLTSFLSLRAFSSSSDLTGRSSPAQLNW RMGTGGWSPADSNAQQWLQMDLGNRVEITAVATQGRYGSSDWVTSYRLMFSDTGHNWQQY TQEGSIWRFVGNMNANSVVHHKLLNSVRARFVRFVPLEWNPNGKIGMRVEVYGCSYRSDV ADFDGWSSLLYRFNQKTMSTLKDVISLKFKSIQRDGVLFHGEGQRGDHITLELQNGRLAL YLNLDDSKAQVSSTAPLATLGSLLDDQHWHSVLLERVGKQANFTVDKNTQHFQTKGETDA LDIDYELSFGGIPVPSKPGTFLKKNFHGCIENLYYNGVNIIDLAKRRKHQIYSGNVTFSC SEPQTVPITFVNSRSSYLLLTGTPQIDGLSVSFQFRTWNEDGLLLSTELSEGSGTLLLIL EGGTLRLLIKKLARHGTEIFTGSGLNDGMWHSVSISARRNRVTLTLDNDAASLPPDTSWL QIYSGNSYYFGGCPDNLTDSQCLNPIKAFQGCMRLIFIDNQPKDLISVQQGSLGSFSDLH IDLCSIKDRCLPNYCEHGGQCAQTWTNFYCNCSDTGYTGATCHDSIYEQSCEVYRHRGKT AEFFYVDSDGSGPLGPLQVFCNITEDKIWMTVQHNNTGLTWVQGSNPEKPYAMTLNYGGS LEQLEALIDGSEHCEQEVTYYCKRSRLLNTPDGVPFTWWIGRSNEKHPYWGGSLPGVQQC GCGLEESCLDIRHFCNCDADTDEWTNDTGYLSFKDHLPVTQIIITDTNRSKSEAAWRIGP LRCYGDRHFWNAVSFSTEASFLHFPTFRVEFSADIFFFFKTTALSGVFLEILGIKDFLRL EMSSPSEVIFAIDVGNGPIDLLVQSPYPLNDNQWHYIRAERNLKETSLQVDNLPQSMREA SEEGHFQFQLNSQLFVGGKSSRQKGFFGCIRSLHLNGQNIDLEERAKVTSGVRPGCPGHC SSYGRNCQNGGKCVEKHIGYSCDCTNSPYEGPFCQKEISALFDSDTSVTYMFQEPYSVTK NTNLSSSAIYTDTAPSKEIIMLSFMTAQAPTLLLYLNFSSQNFLAILLSWNGSLQIHYQL SKEESHVFTINTENLANRRVHQVKMSRDGPELSIQMDQQLFSYTFSLESEFQRARSLVLG KVTETLGLDPEVARANTLGFVGCLSSVQYNHITPLKAALRHASISPVTVQRTLTESSCVS MVDSDANAVTTVYSSTDPFGERDEREPLTNAVPSDLAVIGGIIAVVTFISFSVIGIMTHF FYQHKRSHYASQMKEKEYPENVDSSSRNDIDLQNTTRECKQEDFI >ENSMUSP00000043127.5 pep:known chromosome:GRCm38:8:104322237:104330756:1 gene:ENSMUSG00000035785.5 transcript:ENSMUST00000041973.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm2b description:CKLF-like MARVEL transmembrane domain containing 2B [Source:MGI Symbol;Acc:MGI:2447311] MAAPAPRARTGGKKKDERRGFKGYKWEFRDSNKDFWAQGHAECKSLIMILLIAAMVCFQR VATHPIVILLLTMELSICAFFFFLYSLAINRYIPFVFWPMMDLMNDLACSTFLIGGIFFA LEARRELPVPYLTGMILMGVTAFISIIDLCLQRRQFKSRKLRKFILLTPDRKGKKQDPKL LLMLAAKEDEEERQRELAEKAKRESMDPGW >ENSMUSP00000024725.4 pep:known chromosome:GRCm38:17:53398889:53463321:-1 gene:ENSMUSG00000023931.4 transcript:ENSMUST00000024725.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efhb description:EF hand domain family, member B [Source:MGI Symbol;Acc:MGI:3045296] MCSFVRVGSPKPLQTSASPLEMSSLRRTRAPEISELGLTPEQKDDIRDRVLRGSKSPTEL GFDLRLEQDRKWRERMGSSEAKSPPCHALGVGLERHTISGTPTEMGNLGLHKGSAFQGSK PLGVLPGRVGPENKGLPPRLRYGGTLHPPFSTVHASPLAAESRRRPLAWGSAWTDAVVEK QPVVGLELRKEPEKEPTCVVMNPYPEMPPKEVDIGLPQTQESDEAKNTEPLIGLVREPSE CPFAQQPEEKKEPGSTEPGVEPPGNIRPIYSGKFFDRVPCWPSAGKVKPVGYRVATCLTE KLPRLMTPPEAKKYFNFRYPPAGAERVFYGRANDPQIAPYLTHGLRSKISIPMGSLINPQ PITTFQQKIKDKKESIYFSHQRAPLGKSHDQTPGLPKGMDVINTTLGTPTIRELSVRDTV NPSKSFEDVLKEGQEGHDLYTVSHNDYFAGEAKNRKYNPASFHRFNLYGIPTPHFNDGRT MAKALHWLHELQMERGAKIVSKRVDDFKEKFQHKLGKVLDPIAETMNVPPGHTFGSCLHP EEYGAGDLIHYRSPDEYLRGKDHQRAVVAAARHHLKKFNHQNFDTLQVAFRHYDKKGDGV IDRAELHEACVQANLHLDKMLLDHLFDYCDVDQDGLINYLEFANFLNWKDRIPLKEHEKR VVVKGKKPDCENVTDTSMGEAEPSLLINPEDIVPKEPGSSEETLRTIQRPGDKVSHQYKT TSSEINAVVGAVPSMCHPIFGVPTIRSDISAPRIRRVSDMNNYGDEGNAYSLLHPSIFSQ KGVFERDFFKTRSKEEISDILTNIGVKLSKEEFENVWNLASKKHQRGEVCVETIRNVLDE LLHADLVKCKTAM >ENSMUSP00000026557.8 pep:known chromosome:GRCm38:7:140853384:140856383:-1 gene:ENSMUSG00000025484.8 transcript:ENSMUST00000026557.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bet1l description:Bet1 golgi vesicular membrane trafficking protein like [Source:MGI Symbol;Acc:MGI:1913128] MADWTRAQSSGAVEDILDRENKRMADSLASKVTRLKSLALDIDRDTEDQNRYLDGMDSDF TSVTGLLTGSVKRFSTMARSGRDNRKLLCGMAVVLIVAFFILSYLLSRTRT >ENSMUSP00000023728.5 pep:known chromosome:GRCm38:15:98571004:98589588:1 gene:ENSMUSG00000022993.6 transcript:ENSMUST00000023728.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930415O20Rik description:RIKEN cDNA 4930415O20 gene [Source:MGI Symbol;Acc:MGI:1921113] MAFFNLYLLGYQHYFQSKKRNTAQETNQKDEVPTRLPPIISEDGNYSVHQNSHERYQEAV RKVLLKTFPNQVFRVPVTDAQNFSFWRSNIPGVRPEKAIPWIKTPHHCLIKSPMTRFVDH SHLNDKTFSLY >ENSMUSP00000087756.3 pep:known chromosome:GRCm38:16:14194527:14291408:-1 gene:ENSMUSG00000018830.8 transcript:ENSMUST00000090287.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh11 description:myosin, heavy polypeptide 11, smooth muscle [Source:MGI Symbol;Acc:MGI:102643] MAQKGQLSDDEKFLFVDKNFMNSPMAQADWVAKKLVWVPSEKQGFEAASIKEEKGDEVVV ELVENGKKVTVGKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLRERYFSGLIYTYSGL FCVVVNPYKYLPIYSEKIVDMYKGKKRHEMPPHIYAIADTAYRSMLQDREDQSILCTGES GAGKTENTKKVIQYLAVVASSHKGKKDSSITGELEKQLLQANPILEAFGNAKTVKNDNSS RFGKFIRINFDVTGYIVGANIETYLLEKSRAIRQARDERTFHIFYYLLAGAKEKMKSDLL LESFNSYTFLSNGFVPIPAAQDDEMFQETLEAMSIMGFNEEEQLAILKVVSSVLQLGNIV FKKERNTDQASMPDNTAAQKVCHLVGINVTDFTRAILTPRIKVGRDVVQKAQTKEQADFA IEALAKATYERLFRWILSRVNKALDKTHRQGASFLGILDIAGFEIFEVNSFEQLCINYTN EKLQQLFNHTMFILEQEEYQREGIEWNFIDFGLDLQPCIELIERPNNPPGVLALLDEECW FPKATDKSFVEKLCSEQGNHPKFQKPKQLKDKTEFSIIHYAGKVDYNASAWLTKNMDPLN DNVTSLLNASSDKFVADLWKDVDRIVGLDQMAKMTESSLPSASKTKKGMFRTVGQLYKEQ LGKLMTTLRNTTPNFVRCIIPNHEKRSGKLDAFLVLEQLRCNGVLEGIRICRQGFPNRIV FQEFRQRYEILAANAIPKGFMDGKQACILMIKALELDPNLYRIGQSKIFFRTGVLAHLEE ERDLKITDVIMAFQAMCRGYLARKAFTKRQQQLTAMKVIQRNCAAYLKLRNWQWWRLFTK VKPLLQVTRQEEEMQAKEEEMQKIKERQQKAETELKELEQKHTQLAEEKTLLQEQLQAET ELYAEAEEMRVRLAAKKQELEEILHEMEARLEEEEDRGQQLQAERKKMAQQMLDLEEQLE EEEAARQKLQLEKVTAEAKIKKLEDDILVMDDQNSKLSKERKLLEERVSDLTTNLAEEEE KAKNLTKLKSKHESMISELEVRLKKEEKSRQELEKLKRKLEGDASDFHEQIADLQAQIAE LKMQLAKKEEELQAALARLDEEIAQKNNALKKIRELEGHISDLQEDLDSERAARNKAEKQ KRDLGEELEALKTELEDTLDSTATQQELRAKREQEVTVLKKALDEETRSHEAQVQEMRQK HTQAVEELTEQLEQFKRAKANLDKSKQTLEKENADLAGELRVLGQAKQEVEHKKKKLEVQ LQDLQSKCSDGERARAELSDKVHKLQNEVESVTGMLNEAEGKAIKLAKDVASLGSQLQDT QELLQEETRQKLNVSTKLRQLEDERNSLQDQLDEEMEAKQNLERHVSTLNIQLSDSKKKL QDFASTIEVMEEGKKRLQKEMEGLSQQYEEKAAAYDKLEKTKNRLQQELDDLVVDLDNQR QLVSNLEKKQKKFDQLLAEEKNISSKYADERDRAEAEAREKETKALSLARALEEALEAKE ELERTNKMLKAEMEDLVSSKDDVGKNVHELEKSKRALETQMEEMKTQLEELEDELQATED AKLRLEVNMQALKGQFERDLQARDEQNEEKRRQLQRQLHEYETELEDERKQRALAAAAKK KLEGDLKDLELQADSAIKGREEAIKQLRKLQAQMKDFQRELDDARASRDEIFATSKENEK KAKSLEADLMQLQEDLAAAERARKQADLEKEELAEELASSLSGRNTLQDEKRRLEARIAQ LEEELEEEQGNMEAMSDRVRKATLQAEQLSNELATERSTAQKNESARQQLERQNKELRSK LQEVEGAVKAKLKSTVAALEAKIAQLEEQVEQEAREKQAATKSLKQKDKKLKEVLLQVED ERKMAEQYKEQAEKGNTKVKQLKRQLEEAEEESQRINANRRKLQRELDEATESNEAMGRE VNALKSKLRGPPPQETSQ >ENSMUSP00000084599.5 pep:known chromosome:GRCm38:19:34922358:34975731:1 gene:ENSMUSG00000024795.10 transcript:ENSMUST00000087341.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif20b description:kinesin family member 20B [Source:MGI Symbol;Acc:MGI:2444576] MESHLNPDGVPRPSYVFSADPIARPLEINFDGVKLDLSHEFSLVASNPAANSLGSKNYLQ VCLRIRPFTQSEKEHEAEGCVQVLDSQSVLLKDPQSILGHLSEKSSGQVAQKFSFSKVFG PETSQKEFFLGCIMQPVKDLLEGHSRLIFTYGLTNSGKTYTFQGTEENIGILPRTLNVLF DSLQERLYTKMSFKPHRCREYLKLSSDQEKEESANKNTLLRQIKEVTIHNDSYDVLCGHL TNSLTIPEFEESVNSCDQSSLNVDNIKYSVWVSFFEIYNESIYDLFVPVSSKFQKRKMLR LSQDIKGYSFIKDLQWVQVSDSKEAYRLLKLGVKHQSVAFTKLNNASSRSHSIFTIRILQ IEDSEIPRVTRVSELSLCDLAGSERSMKTQNEGERLREAGNINTSLLTLGKCINVLKNSE KSKVQHVPFRESKLTHYFQSFFTGKGKICMIINISQSCSAYDETLNVLKFSTTAQRVYVP DTLSSSQEKSFASNKSLQDVSLDSNLDNKILNVKRKTVSWENSLEDVLENEDLVEDLEEN EETQNMETELTDEDSDKSLEECRVSTCHKKNKELLDLIEKLNKRLINENKEKLTLELKIR EEVTQEFTQYWSQREADFKETLLHEREILEENAERRLAIFKDLVGKCDSQDEPTNRICDI ELETEEAHNYVGVEDIFHSLQDDVTDIKKQAELAHLYITSLVDPQEAIACLQLKFNQVKA ELAETKEELIKAQEELKNRESNSLVQALKTSSKVDTSLTSNKSTCNETSEMPKNSRAQTH SERKRLNEDGLQLGEPPAKKGLILVSPPITEEQNKMGEMQQSVSEVVEGNRVLKEKNEEL KRLLTIGENELRNEKEEKAELNKQVVSLQQQLRFFEEKNSSLRADVEQIQASYNSAVAEL QTQKAVNQEQRDRILKLSQEMETAARSIESNVSQIKQMQTKIDELRSLDSPSHISKIDLL NLQDLSSGAKGDNCLNTSQQLPGGDFSSTWVKEYHTQEISRENSFHASIEAIWEECKEIV KASSKKSHQIQGLEEQIEKLQVEVKGYREENSDLRAQESQGKNRDHQLKEKESLIQQLRE ELQEKSVSLRVQVQLVAEREQALSELSQDVTCYKAKIKDLEVIVETQKDECKRLVELEQS ILEKESAILKLEANLKECEAKHQDHIRTNDLSAKEVKFREEVTRLANNLHDTKQLLQSKE EENEISRQETEKLKEELAANSILTQNLKADLQKKEEDCAELKEKFIDAKKQIEQVQREVS VMRDEEKLLRIKINELEKKKNQYSQDLDMKQRTIQQLKEQLSNQKMEEAVQQYEKVCKDL SVKEKLVEDMRLTLVEQEQTQAEQDRVLEAKSEEADWLATELDKWKEKFKDLETRSNQRL NTGTMDDLDVLTRKFSKLQDELQESEEKYKADRKKWLEEKAVLTTQAKEAENVRNREMRK YADDRERCLKLQNEVETLTAQLAEKNSELQKWREERDQLVTAVETQMKALLSSCKHKDEE IQELRKAAAKSTGTENQTMNPKPEYNDSVDLGGVETEPQSTSLEISRNTAEDGSVVLDSC EVSTENVQSTRFPKPELEIQFTPLQPNKMAVKHPGCPTPVTIKIPKARKRKSGEVEEDLV KCENKKNSTPRSNVKFPVSEHRNSPVKKEQKVSVGPSSKKTYSLRSQASTVSANIASKKR EGTLQKFGDFLQHSPTILQSKAKKIIETMSSPKLSTVEVSKENVSQPKKAKRKLYRNEIS SPINISGQVILMEQKVKETDHQILKRRLRTRTAK >ENSMUSP00000131584.1 pep:known chromosome:GRCm38:17:83501919:83514333:-1 gene:ENSMUSG00000024248.13 transcript:ENSMUST00000167741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox7a2l description:cytochrome c oxidase subunit VIIa polypeptide 2-like [Source:MGI Symbol;Acc:MGI:106015] MYYKFSSFTQKLAGAWASEAYTPQGLKPVSTEAPPIIFATPTKLTSSVTAYDYSGKNKVP ELQKFFQPPFLKENSLLQFGPLANNGTFVQKADGFHLKRGLPDQMLYRTTMALTLGGTIY CLIALYMASQPRNK >ENSMUSP00000025095.7 pep:known chromosome:GRCm38:17:83501919:83514333:-1 gene:ENSMUSG00000024248.13 transcript:ENSMUST00000025095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox7a2l description:cytochrome c oxidase subunit VIIa polypeptide 2-like [Source:MGI Symbol;Acc:MGI:106015] MYYKFSSFTQKLAGAWASEAYTPQGLKPVSTEAPPIIFATPTKLTSSVTAYDYSGKNKVP ELQKFFQKADGFHLKRGLPDQMLYRTTMALTLGGTIYCLIALYMASQPRNK >ENSMUSP00000135939.1 pep:known chromosome:GRCm38:10:100345990:100346859:1 gene:ENSMUSG00000094228.1 transcript:ENSMUST00000179513.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4303 description:predicted gene 4303 [Source:MGI Symbol;Acc:MGI:3782483] MTTMADKMDMSLEDIIKLNKMQQGRRDRPDSRVKRGTGPKRYRPAFTHGGRNRLAPYCRP KQLPDKWQHDLFIGGFRGQNHVDTGGKLFLSNLHFGVSDADIQLLFAEFGTLKKSAVHYD RCGRSLGTAHVHFERKADALKAMREYNGAPLDGRPMNIHLVTSQIDRQGRPALNSDKGGM TRNPGSGVLSGGGTKRWTLGGSQGSGRGTSRNSKLQQQQQQQQQQEEQKHQKQQQQKQQQ QQQKQGQNHQHQQQQKEQQQQQQQKELQQLSVEELDAQLDYYQDMMDTS >ENSMUSP00000039853.3 pep:known chromosome:GRCm38:19:41862852:41896153:-1 gene:ENSMUSG00000035049.3 transcript:ENSMUST00000038677.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrp12 description:ribosomal RNA processing 12 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2147437] MGRSGKLPSGVSAKLKRWKKGHSSDSNPATCRHRQAARSRFFSRPSGKSDLTVDAVKLHN ELQSGTLSLGKSQAPETTMDQDPEVAFTEKSSGTFLSGLSDCTNVTFSKVQRFWESNSAA HKEICAVLAAVTEVIRSQGGKETETEYFAALMTTMEAVESPESLAAVAYLLNLVLKRVPS PVLMKKFSDTSKAFMDIMSAQASSGSTSALRWVLSCLAILLRKQDLEAWGYPITLQVYHG LLSFTVHAKPKIRKAAQHGVCSVLKGSDFMFGEKAPAHHPAAVSTAKFCIQEIEKSGGSK EATTTLHMLTLLKDMLPCFPEGLVKSCSETLLRVMTLNHVLVTACAMQAFHNLFHAKPSP STLSAELNAQIVTALYDYVPSENDLQPLLAWLKVMEKAHINLVRLQRDLGLGHLARFFGT AVTCLLSPHSQVAAAATQTLKEILKECVAPHIADIGSVTSSASGPPQYITKMFRAVEEGL TYKFHAAWSSVLQLLGVFFEACGKQAHPVMKKCLQSLCDLRLSPHFPHTAALDQAVGAAV TSMGPEVVLQAVPLEIDGSEETLDFPRSWLLPVIRDHVRETRLGFFTTYFLPLATTLKRK AMDLAQAGSTVESKIYDTLQWQIWTLLPGFCTRPTDVAASFKGLARTLGTAINERPDLRV TVCQALRTLITKGCEAEADRAEVSRFAKNFLPILFNLYGQPVAAGEAAAPRRAVLETIKT YLTITEAQLVNSFLEKATEKVLDPASSDFTRLSVLDLVVALAPYSDEAAISKLYSTIRPY LESKVHGVQKKAYRVLEEVCASSQGPAARFVQSHLDDLKKTLLDSLRTTSSPAKRPRLKC LIHIVKTLSAEHEEFIAALIPEVILCTKEVSVGARKSAFTLLVEMGHAFLRFGSNQEDAL QRYLVLIYPGLLGAVTTVSCSILALTHLLFEFKGLMGTSTVEQLLENVCLLLASRTRDVV KSALGFIKVAVVVMDVVHLAKHVQLVMEAIGKLSDDMRRHFRMKLRNLFIKFTRKFGFEL VKGLLPAEYHKVLINIRKAETRAKKHRALSQAAVEEEEEEEEEEEPVQSKGDSIEEILAD SEDEDEEEERGRGKEQRKLARQRSRAWLKEGGGDEPLNFLDPKVAHRVLATQPGPGRGKK RDHGFKLSADGRLIIREEEDGNKVEEEDGTKGEDEDMTDAMEDASVRSKKKLKRQREDEE DELEIPPRYQAGGSGIHRPVAKKAAPGAEYKAKKAKGDVKKKGRLDPYAYVPLNRSKLNR RKKVKLQGQFKGLVKATQRGSQAGHKLRRKDRRRP >ENSMUSP00000005975.6 pep:known chromosome:GRCm38:17:57234914:57247641:-1 gene:ENSMUSG00000005823.8 transcript:ENSMUST00000005975.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr108 description:G protein-coupled receptor 108 [Source:MGI Symbol;Acc:MGI:1925558] MAVSERRGLSGESPTQCRWGYLSLLVLTLSGCSGRIHRLTLTGEKRADIQLNSFGFYTNG SLEVELSLLRLSLQETEKKLPKVGFSLSRVRSGSVRSYSRRNSHECPLDRNSSNFLVLFL INIKDLQVQVRKYGEQKLFISPGLLPEAPTQSGPPKPDPAGTPKDNHVIHPSPTEMSAVK ENQTAPQVSGDKTTPGEHRHSSERQPPTQDPSGKEKDQVLGLGHLNDSYNFSFHIVISSR AEEGQYSLNFHNCHNSIPGQEQPFDLTVMIREKNPEGFLSAAEIPLFKLYLIMSACFLAA DIFWVSVLCKNTYSVFKIHWLMAALAFTKSVSLLFHSINYYFINSQGHPIEGLAVMHYIT HLLKGALLFITIALIGSGWAFVKYMLSDKEKKIFGIVIPLQVLANVAYIVIESREEGASD YGLWKEILFLVDLICCGAILFPVVWSIRHLQDASGTDGKVAVNLARLKLFRHYYVMVICY IYFTRIIAILLQVAVPFQWQWLYQLLVESSTLAFFVLTGYKFQPAGDNPYLQLPQEDEED VQMEQVMTDSGFREGLSKVNKTASGRELL >ENSMUSP00000082500.4 pep:known chromosome:GRCm38:12:55836366:55869735:1 gene:ENSMUSG00000012076.7 transcript:ENSMUST00000059250.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brms1l description:breast cancer metastasis-suppressor 1-like [Source:MGI Symbol;Acc:MGI:1196337] MPVHSRGDKKETNHHDEMEVDYAENEGSSSEDEDTESSSVSEDGDSSEMDDEDCERRRME CLDEMSNLEKQFTDLKDQLYKERLSQVDAKLQEVIAGKAPEYLEPLATLQENMQIRTKVA GIYRELCLESVKNKYECEIQASRQHCESEKLLLYDTVQSELEEKIRRLEEDRHSIDITSE LWNDELQSRKKRKDPFSPDKKKPVVVSGPYIVYMLQDLDILEDWTTIRKAMATLGPHRVK TEPPVKLEKHLHSARSEEGRLYYDGEWYIRGQTICIDRKDECPTSAVITTINHDEVWFKR PDGSKSKLYISQLQKGKYSIKHS >ENSMUSP00000076294.5 pep:known chromosome:GRCm38:15:102470632:102500059:1 gene:ENSMUSG00000056851.13 transcript:ENSMUST00000077037.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp2 description:poly(rC) binding protein 2 [Source:MGI Symbol;Acc:MGI:108202] MDTGVIEGGLNVTLTIRLLMHGKEVGSIIGKKGESVKKMREESGARINISEGNCPERIIT LAGPTNAIFKAFAMIIDKLEEDISSSMTNSTAASRPPVTLRLVVPASQCGSLIGKGGCKI KEIRESTGAQVQVAGDMLPNSTERAITIAGIPQSIIECVKQICVVMLESPPKGVTIPYRP KPSSSPVIFAGGQDRYSTGSDSASFPHTTPSMCLNPDLEGPPLEAYTIQGQYAIPQPDLT KLHQLAMQQSHFPMTHGNTGFSGIESSSPEVKGYWAGLDASAQTTSHELTIPNDLIGCII GRQGAKINEIRQMSGAQIKIANPVEGSTDRQVTITGSAASISLAQYLINVRLSSETGGMG SS >ENSMUSP00000077509.7 pep:known chromosome:GRCm38:15:102470632:102500059:1 gene:ENSMUSG00000056851.13 transcript:ENSMUST00000078404.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp2 description:poly(rC) binding protein 2 [Source:MGI Symbol;Acc:MGI:108202] MDTGVIEGGLNVTLTIRLLMHGKEVGSIIGKKGESVKKMREESGARINISEGNCPERIIT LAGPTNAIFKAFAMIIDKLEEDISSSMTNSTAASRPPVTLRLVVPASQCGSLIGKGGCKI KEIRESTGAQVQVAGDMLPNSTERAITIAGIPQSIIECVKQICVVMLESPPKGVTIPYRP KPSSSPVIFAGGQDRYSTGSDSASFPHTTPSMCLNPDLEGPPLEAYTIQGQYAIPQPDLT KLHQLAMQQSHFPMTHGNTGFSAGLDASAQTTSHELTIPNDLIGCIIGRQGAKINEIRQM SGAQIKIANPVEGSTDRQVTITGSAASISLAQYLINVRLSSETGGMGSS >ENSMUSP00000104466.3 pep:known chromosome:GRCm38:15:102470632:102500059:1 gene:ENSMUSG00000056851.13 transcript:ENSMUST00000108838.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcbp2 description:poly(rC) binding protein 2 [Source:MGI Symbol;Acc:MGI:108202] MDTGVIEGGLNVTLTIRLLMHGKEVGSIIGKKGESVKKMREESGARINISEGNCPERIIT LAGPTNAIFKAFAMIIDKLEEDISSSMTNSTAASRPPVTLRLVVPASQCGSLIGKGGCKI KEIRESTGAQVQVAGDMLPNSTERAITIAGIPQSIIECVKQICVVMLESPPKGVTIPYRP KPSSSPVIFAGGQAYTIQGQYAIPQPDLTKLHQLAMQQSHFPMTHGNTGFSGIESSSPEV KGYWAGLDASAQTTSHELTIPNDLIGCIIGRQGAKINEIRQMSGAQIKIANPVEGSTDRQ VTITGSAASISLAQYLINVRLSSETGGMGSS >ENSMUSP00000033325.7 pep:known chromosome:GRCm38:7:110221703:110283503:1 gene:ENSMUSG00000031015.7 transcript:ENSMUST00000033325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Swap70 description:SWA-70 protein [Source:MGI Symbol;Acc:MGI:1298390] MRGLKDELLKAIWHAFTALDLDRSGKVSKSQLKVLSHNLCTVLKVPHDPVALEEHFRDDD EGPVSNQGYMPYLNKFILEKVQDNFDKIEFNRMCWTLCVKKNLTKSPLLITEDDAFKVWV IFNFLSEDKYPLIIVPEEIEYLLKKLTEAMGGGWQQEQFEHYKINFDDNKDGLSAWELIE LIGNGQFSKGMDRQTVSMAINEVFNELILDVLKQGYMMKKGHKRKNWTERWFVLKPNIIS YYVSEDLKDKKGDILLDENCCVESLPDKDGKKCLFLIKCFDKTFEISASDKKKKQEWIQA IYSTIHLLKLGSPPPHKEARQRRKELRRKLLAEQEELERQMKELQAANENKQQELESVRK KLEEAASRAADEEKKRLQTQVELQTRFSTELEREKLIRQQMEEQVAQKSSELEQYLQRVR ELEDMYLKLQEALEDERQARQDEETVRKLQARLLEEESSKRAELEKWHLEQQQAIQTTEA EKQELEQQRVMKEQALQEAMAQLEQLELERKQALEQYEGVKKKLEMATHMTKSWKDKVAH HEGLIRLIEPGSKNPHLITNWGPAAFTQAELEEREKSWKEKKTTE >ENSMUSP00000022100.6 pep:known chromosome:GRCm38:13:73536747:73578672:1 gene:ENSMUSG00000021609.6 transcript:ENSMUST00000022100.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a3 description:solute carrier family 6 (neurotransmitter transporter, dopamine), member 3 [Source:MGI Symbol;Acc:MGI:94862] MSKSKCSVGPMSSVVAPAKEPNAVGPREVELILVKEQNGVQLTNSTLINPPQTPVEVQER ETWSKKIDFLLSVIGFAVDLANVWRFPYLCYKNGGGAFLVPYLLFMVIAGMPLFYMELAL GQFNREGAAGVWKICPVLKGVGFTVILISFYVGFFYNVIIAWALHYFFSSFTMDLPWIHC NNTWNSPNCSDAHSSNSSDGLGLNDTFGTTPAAEYFERGVLHLHQSRGIDDLGPPRWQLT ACLVLVIVLLYFSLWKGVKTSGKVVWITATMPYVVLTALLLRGVTLPGAMDGIRAYLSVD FYRLCEASVWIDAATQVCFSLGVGFGVLIAFSSYNKFTNNCYRDAIITTSINSLTSFSSG FVVFSFLGYMAQKHNVPIRDVATDGPGLIFIIYPEAIATLPLSSAWAAVFFLMLLTLGID SAMGGMESVITGLVDEFQLLHRHRELFTLGIVLATFLLSLFCVTNGGIYVFTLLDHFAAG TSILFGVLIEAIGVAWFYGVQQFSDDIKQMTGQRPNLYWRLCWKLVSPCFLLYVVVVSIV TFRPPHYGAYIFPDWANALGWIIATSSMAMVPIYATYKFCSLPGSFREKLAYAITPEKDR QLVDRGEVRQFTLRHWLLV >ENSMUSP00000103110.1 pep:known chromosome:GRCm38:7:66365905:66386309:1 gene:ENSMUSG00000078677.1 transcript:ENSMUST00000107486.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10974 description:predicted gene 10974 [Source:MGI Symbol;Acc:MGI:3779185] MSLSLSLSLSLSLCICICVCVCVCVCETERQRDRDRDRETET >ENSMUSP00000025836.4 pep:known chromosome:GRCm38:19:4962306:4966995:1 gene:ENSMUSG00000024902.4 transcript:ENSMUST00000025836.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl11 description:mitochondrial ribosomal protein L11 [Source:MGI Symbol;Acc:MGI:2137215] MSKLSRATRTLKKPEAGGVIRSIVRAGQAIPGPPLGPILGQRGVSINQFCKEFNEKTKDI KEGIPLPTKIFIKPDRTFELKIGQPTVSYFLKAAAGIEKGARHTGKEVAGLVSLKHVYEI ACVKAKDDAFAMQDVPLSSVVRSIIGSARSLGIRVVKDLSAEELEAFQKERAVFLAAQKE ADLAAQAEAAKK >ENSMUSP00000088512.4 pep:known chromosome:GRCm38:12:105191043:105195610:1 gene:ENSMUSG00000068940.6 transcript:ENSMUST00000090990.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcl1b3 description:T cell leukemia/lymphoma 1B, 3 [Source:MGI Symbol;Acc:MGI:1351600] MADSVHFPWMPFPPRFLVCTRDDIYEDENGRQWVVAKVETSRSPYGSRIETCITVHLQHM TTIPQEPTPQQPINNNSLPTMWRLESMNTYTGTDGTYWRLLDHSQMGDTLQLILDIVICE VD >ENSMUSP00000055382.4 pep:known chromosome:GRCm38:14:20075646:20083172:-1 gene:ENSMUSG00000045107.4 transcript:ENSMUST00000059666.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Saysd1 description:SAYSVFN motif domain containing 1 [Source:MGI Symbol;Acc:MGI:1914759] MEQRLAEFREARKRASLVAQPSTSSQSVQTSGAKAEPAAATPKTATGWLTRFLKRKANPA IAQAQPNQPQEAGQQLPESTAVPLPSSCRQSFLTNITFLKVLLWLVLLGLFVELEFGLAY FVLSMFYWMYVGTRGPEEKKEGEKSAYSVFNPGCEAIQGTLTAEQLEQELQLRPPQGSRT SPSCSSYP >ENSMUSP00000093449.2 pep:known chromosome:GRCm38:12:33033796:33092875:-1 gene:ENSMUSG00000035860.8 transcript:ENSMUST00000095774.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdhr3 description:cadherin-related family member 3 [Source:MGI Symbol;Acc:MGI:1916014] MQGAVIVLVLFGITSGGEALHLLHLPATSNVAENAPPATLVHKFSVNLSVSLSPVIPGFP LVVNPRPFTEAFRVNRLSATNFEVVTTGKEQLDFERGPKAFDLQIYVKDDVGVTDVQVLT VQVTDVNEPPQFQGILAQGLNLYVIERTNPGFIYQVEAFDPEDTSRSIPLGYFLISPSKN FRMSANGTLFSTTELDFEAGHKSFNLLVGVRDSGNLEASTALQVTIVNINDETPRFTSPR RVYSVPEEVPLGTMVANITAMDPDDEGFPGRLLYSITTTSSYFMVDQLTGTIQVARRLDR DAGELRQNPIISLEVRVRDRPSGGQENRMQITFIVEDINDNPATCRKLTFSIMLPERTAN GTLLLDLNKFCFDDDSEAPNNKFNFTTPSGAGSSRRFSQHPAGSGRIVLTGDLDYENPSN LAVGNVYNVMIQVQDAAPPYYKKSIYISILTRPENEFPLIFERPSYVFDVPERRPARTQI GQVRATDADFPRTPVVYSVSRGGSSLQYPNIFWINPKTGELQLITQADHETTSVYILTVE ATNGEDRSSVTVTVNILGENDEKPVCTPNFYFMAIPVDLKVGTNIQNFKLTCTDLDSSPS SFRYSIGSGNINNHFTFSPNAGSNITRLLLASRFDYSSLDTVWDYQLLVHITDDNLLSGS TKAKALVETGTVTLSVKVIPHPTTTITTPRPRITYQIRRENVYSTSAWYVPFIVTLGSIL LLGLLGSLMVLLSKAVYRHCSSTTRRDRKPLTKKRDTKRMNREAMVESIQMNSVFDGEAV DPVTGEIYEFNSKTGARRWKGPLTQLPNWPEPSTQHRGTAGEAPVPKHTGR >ENSMUSP00000022013.7 pep:known chromosome:GRCm38:13:68620043:68999541:-1 gene:ENSMUSG00000021536.7 transcript:ENSMUST00000022013.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy2 description:adenylate cyclase 2 [Source:MGI Symbol;Acc:MGI:99676] MWQEAMRRRRYLRDRAEAAAAAAAGGGEGLQRSRDWLYESYYCMSQQHPLIVFLLLIVMG ACLALLAVFFALGLEVEDHVAFLITVPTALAIFFAIFILVCIESVFKKLLRVFSLVIWIC LVAMGYLFMCFGGTVSAWDQVSFFLFIIFVVYTMLPFNMRDAIIASVLTSSSHTIVLSVY LSATPGAKEHLFWQILANVIIFICGNLAGAYHKHLMELALQQTYRDTCNCIKSRIKLEFE KRQQERLLLSLLPAHIAMEMKAEIIQRLQGPKAGQMENTNNFHNLYVKRHTNVSILYADI VGFTRLASDCSPGELVHMLNELFGKFDQIAKENECMRIKILGDCYYCVSGLPISLPNHAK NCVKMGLDMCEAIKKVRDATGVDINMRVGVHSGNVLCGVIGLQKWQYDVWSHDVTLANHM EAGGVPGRVHISSVTLEHLNGAYKVEEGDGEIRDPYLKQHLVKTYFVINPKGERRSPQHL FRPRHTLDGAKMRASVRMTRYLESWGAAKPFAHLHHRDSMTTENGKISTTDVPMGQHNFQ NRTLRTKSQKKRFEEELNERMIQAIDGINAQKQWLKSEDIQRISLFFYNKNIEKEYRATA LPAFKYYVTCACLIFLCIFIVQILVLPKTSILGFSFGAAFLSLIFILFVCFAGQLLQCSK KASASLLWLLKSSGIIANRPWPRISLTIVTTAIILTMAVFNMFFLSNSEETTLPTANASN ANVSVPDNQTAILHARNLFFLPYFIYSCILGLISCSVFLRVNYELKMLIMMVALVGYNII LLHTHAHVLDAYSQVLFQRPGIWKDLKTMGSVSLSIFFITLLVLGRQSEYYCRLDFLWKN KFKKEREEIETMENLNRVLLENVLPAHVAEHFLARSLKNEELYHQSYDCVCVMFASIPDF KEFYTESDVNKEGLECLRLLNEIIADFDDLLSKPKFSGVEKIKTIGSTYMAATGLSAVPS QEHAQEPERQYMHIGTMVEFAYALVGKLDAINKHSFNDFKLRVGINHGPVIAGVIGAQKP QYDIWGNTVNVASRMDSTGVLDKIQVTEETSLILQTLGYTCTCRGIINVKGKGDLKTYFV NTEMSRSLSQSNLAS >ENSMUSP00000011302.7 pep:known chromosome:GRCm38:12:112959862:113000621:-1 gene:ENSMUSG00000011158.7 transcript:ENSMUST00000011302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brf1 description:BRF1, RNA polymerase III transcription initiation factor 90 kDa subunit [Source:MGI Symbol;Acc:MGI:1919558] MTGRVCRGCGGTDIELDAARGDAVCTGCGSVLEDNIIVSEVQFVENSGGGSSAVGQFVSL DGAGKTPTLGGGFHVNLGKESRAQTLQNGRRHIHHLGSQLQLNQHCLDTAFNFFKMAVSK HLTRGRKMAHVIAACLYLVCRTEGTPHMLLDLSDLLQVNVYVLGKTFLLLARELCINAPA IDPCLYIPRFAHLLEFGEKNHEVSMTALRLLQRMKRDWMHTGRRPSGLCGAALLVAARMH DFRRTVKEVISVVKVCESTLRKRLTEFEDTPTSQLTIDEFMKIDLEEECDPPSYTAGQRK LRMKQLEQVLSKKLEEVEGEISSYQDAIEIELENSRPKAKGALANLSKDGSGEDATSSPR CEEDTEDEELEAAASHMNKDFYRELLGDDDGSEAAGDPDGGSRPLALESLLGPLPTAASL GISDSIRECISSPSGDPKDSSGDGELDLSGIDDLEIDRYILNESEARVKAELWMRENAEY LREQKEKEARIAKEKELGIYKEHKPKKSCKRREPILASTAGEAIEKMLEQKKISSKINYS VLRDLNSKGGGSPPRDDSQPPERASTKKLSRRKRATTRNSADPGTSTGKRLRPLLSAQPA KKAAVGEALLLSSPALGAEPIKPSAVLVESGPVSYHPEEDADEEDAEDEDGEPCVSALQM MGGNDYGCDGDEDDGY >ENSMUSP00000025224.7 pep:known chromosome:GRCm38:18:34689903:34720387:-1 gene:ENSMUSG00000024366.7 transcript:ENSMUST00000025224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfra3 description:glial cell line derived neurotrophic factor family receptor alpha 3 [Source:MGI Symbol;Acc:MGI:1201403] MGLSWSPRPPLLMILLLVLSLWLPLGAGNSLATENRFVNSCTQARKKCEANPACKAAYQH LGSCTSSLSRPLPLEESAMSADCLEAAEQLRNSSLIDCRCHRRMKHQATCLDIYWTVHPA RSLGDYELDVSPYEDTVTSKPWKMNLSKLNMLKPDSDLCLKFAMLCTLHDKCDRLRKAYG EACSGIRCQRHLCLAQLRSFFEKAAESHAQGLLLCPCAPEDAGCGERRRNTIAPSCALPS VTPNCLDLRSFCRADPLCRSRLMDFQTHCHPMDILGTCATEQSRCLRAYLGLIGTAMTPN FISKVNTTVALSCTCRGSGNLQDECEQLERSFSQNPCLVEAIAAKMRFHRQLFSQDWADS TFSVVQQQNSNPALRLQPRLPILSFSILPLILLQTLW >ENSMUSP00000048454.7 pep:known chromosome:GRCm38:19:45818144:45998488:-1 gene:ENSMUSG00000039901.9 transcript:ENSMUST00000045396.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130011E15Rik description:RIKEN cDNA 9130011E15 gene [Source:MGI Symbol;Acc:MGI:1918867] MAQVEKRGGLLRKSSASKKPLKEKVVLMYDEIFMTEDPSKCSPRFWEELFLMKVNLEYLE GKLESLDGEELMKIKDNINCLFQHCIQALGEEHPIRVVNALQTLCALIRGVHQKNKSTSG FDIINMLMGFDKAELCMKNLMESLDSLLCAEGSESLKSLCLKLLLCLVTVTDNISQNTIL EYVMINSIFEAILQILSHPPSRREHGYDAVVLLALLVNYRKYESVNPYIVKLSIVDDEAT LNGMGLVITQALSEYNRQYKDKEEEHQSGFFSALTNMVGSMFIADAHEKLSVQTNEAILL ALYEAVHLNRNFITVLAQSHPEMGLVTAPASPTPTTPATPLGTTPPSSDVISSVELSLDA DVQTSNLLITFLKYSSIVMQDTKDEHRLHSGKLCLIILTCIAEDQYANAFLHDDNMNFRV NLHRMPMRHRKKAADKNLPCRPLVCAVLDLMVEFIVTHMMKEFPMDLYLRCVQVVHKLLC YQKKCRVRLHYTWRELWSALINLLKFLMSNETVLLAKHNIFTLALMIVNLFNMFITYGDT FLPTPSSYDELYYEIIRMHQSFDNLYSMVLRLSTNAGQWKEAASKVTHALVNIRAIINHF NPKIESYAAVNHISQLSEEQVLEVVRANYDTLTLKLQDGLDQYERYSEQHKEAAFFKELV RSISTNVRRNLAFHTLSQEALLKEFSTIS >ENSMUSP00000005503.3 pep:known chromosome:GRCm38:17:87975050:87990883:1 gene:ENSMUSG00000005370.3 transcript:ENSMUST00000005503.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh6 description:mutS homolog 6 (E. coli) [Source:MGI Symbol;Acc:MGI:1343961] MSRQSTLYSFFPKSPALGDTKKAAAEASRQGAAASGASASRGGDAAWSEAEPGSRSAAVS ASSPEAKDLNGGLRRASSSAQAVPPSSCDFSPGDLVWAKMEGYPWWPCLVYNHPFDGTFI RKKGKSVRVHVQFFDDSPTRGWVSKRMLKPYTGSKSKEAQKGGHFYSSKSEILRAMQRAD EALSKDTAERLQLAVCDEPSEPEEEEETEVHEAYLSDKSEEDNYNESEEEAQPSVQGPRR SSRQVKKRRVISDSESDIGGSDVEFKPDTKQEGSSDDASSGVGDSDSEDLGTFGKGAPKR KRAMVAQGGLRRKSLKKETGSAKRATPILSETKSTLSAFSAPQNSESQTHVSGGGNDSSG PTVWYHETLEWLKPEKRRDEHRRRPDHPEFNPTTLYVPEEFLNSCTPGMRKWWQLKSQNF DLVIFYKVGKFYELYHMDAVIGVSELGLIFMKGNWAHSGFPEIAFGRFSDSLVQKGYKVA RVEQTETPEMMEARCRKMAHVSKFDRVVRREICRIITKGTQTYSVLDGDPSENYSRYLLS LKEKEEETSGHTRVYGVCFVDTSLGKFFIGQFSDDRHCSRFRTLVAHYPPVQILFEKGNL STETKTVLKGSLSSCLQEGLIPGSQFWDATKTLRTLLEGGYFTGNGDSSTVLPLVLKGMT SESDSVGLTPGEESELALSALGGIVFYLKKCLIDQELLSMANFEEYFPLDSDTVSTVKPG AVFTKASQRMVLDAVTLNNLEIFLNGTNGSTEGTLLERLDTCHTPFGKRLLKQWLCAPLC SPSAISDRLDAVEDLMAVPDKVTEVADLLKKLPDLERLLSKIHNVGSPLKSQNHPDSRAI MYEETTYSKKKIIDFLSALEGFKVMCKVSGLLEEVAGGFTSKTLKQVVTLQSKSPKGRFP DLTAELQRWDTAFDHEKARKTGLITPKAGFDSDYDQALADIRENEQSLLEYLDKQRSRLG CKSIVYWGIGRNRYQLEIPENFATRNLPEEYELKSTKKGCKRYWTKTIEKKLANLINAEE RRDTSLKDCMRRLFCNFDKNHKDWQSAVECIAVLDVLLCLANYSQGGDGPMCRPEIVLPG EDTHPFLEFKGSRHPCITKTFFGDDFIPNDILIGCEEEAEEHGKAYCVLVTGPNMGGKST LIRQAGLLAVMAQLGCYVPAEKCRLTPVDRVFTRLGASDRIMSGESTFFVELSETASILR HATAHSLVLVDELGRGTATFDGTAIANAVVKELAETIKCRTLFSTHYHSLVEDYSKSVCV RLGHMACMVENECEDPSQETITFLYKFIKGACPKSYGFNAARLANLPEEVIQKGHRKARE FERMNQSLQLFREVCLATEKPTINGEAIHRLLALINGL >ENSMUSP00000097601.1 pep:known chromosome:GRCm38:16:28160120:28445227:-1 gene:ENSMUSG00000022523.9 transcript:ENSMUST00000100024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf12 description:fibroblast growth factor 12 [Source:MGI Symbol;Acc:MGI:109183] MAAAIASSLIRQKRQARESNSDRVSASKRRSSPSKDGRSLCERHVLGVFSKVRFCSGRKR PVRRRPEPQLKGIVTRLFSQQGYFLQMHPDGTIDGTKDENSDYTLFNLIPVGLRVVAIQG VKASLYVAMNGEGYLYSSDVFTPECKFKESVFENYYVIYSSTLYRQQESGRAWFLGLNKE GQIMKGNRVKKTKPSSHFVPKPIEVCMYREPSLHEIGEKQGRSRKSSGTPTMNGGKVVNQ DST >ENSMUSP00000068032.7 pep:known chromosome:GRCm38:12:40315046:40445790:-1 gene:ENSMUSG00000055917.14 transcript:ENSMUST00000069637.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp277 description:zinc finger protein 277 [Source:MGI Symbol;Acc:MGI:1890393] MAASEVQGLPVDPREAGVRGVRGGIGHTDHEDSKDCILEPLSLPESPGGTTALEGSPSVP CIFCEEHFPMAEQDKLLKHMIIEHKIVIADVKLVADFRRYILYWRKRFTEQPITDFCSVI RINSTAPFEEQDNYYLLCDALPEDRILREELQKHKLKEVLDQQQRERNDTSFHGVCMFCS EEFRGNRSVLLNHMAREHAFNIGLPDNIVNCAEFLCTLQKKLDNLQCLYCEKTFRDKNTL KDHMRKKQHRRINPKNREYDRFYVINYLELGKSWEEVQSEDDRELLDLQEDDWSDWQEYP VSAVCLFCEKQEETIDKLYVHMKDTHEFDLLRIKSELGLNFYQQVKLVNFIRRQVHQCKC YSCHVKFKSKADLRTHMEDTKHTSLLPDRKTWDQLEYYFPTYENDTLLCTLSDSESDLTA QEQTENVPVISEDTSRLCALKQSSVLNQLLLQGCLEN >ENSMUSP00000064226.8 pep:known chromosome:GRCm38:12:40315558:40445769:-1 gene:ENSMUSG00000055917.14 transcript:ENSMUST00000069692.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp277 description:zinc finger protein 277 [Source:MGI Symbol;Acc:MGI:1890393] MAASEVQGLPVDPREAGVRGVRGGIGHTDHEGHLGSFQLLATINKAAMNIVEHVSFLPVG TSSGYIAASSGITMSNFLRNRQTDFQSGCTSLQSHQQWRSVPLSPHPHQHLLSPEFLILA ILTGVRWNLRIALICISLMIKDAEHFFRCFSAIWYSSDSKDCILEPLSLPESPGGTTALE GSPSVPCIFCEEHFPMAEQDKLLKHMIIEHKIVIADVKLVADFRRYILYWRKRFTEQPIT DFCSVIRINSTAPFEEQDNYYLLCDALPEDRILREELQKHKLKEVLDQQQRERNDTSFHG VCMFCSEEFRGNRSVLLNHMAREHAFNIGLPDNIVNCAEFLCTLQKKLDNLQCLYCEKTF RDKNTLKDHMRKKQHRRINPKNREYDRFYVINYLELGKSWEEVQSEDDRELLDLQEDDWS DWQEYPVSAVCLFCEKQEETIDKLYVHMKDTHEFDLLRIKSELGLNFYQQVKLVNFIRRQ VHQCKCYSCHVKFKSKADLRTHMEDTKHTSLLPDRKTWDQLEYYFPTYENDTLLCTLSDS ESDLTAQEQTENVPVISEDTSRLCALKQSSVLNQLLLQGCLEN >ENSMUSP00000081953.4 pep:known chromosome:GRCm38:12:113014508:113074401:1 gene:ENSMUSG00000021143.9 transcript:ENSMUST00000084891.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pacs2 description:phosphofurin acidic cluster sorting protein 2 [Source:MGI Symbol;Acc:MGI:1924399] MAERGRLGLPGAPGALNTPVPMNLFATWEVDGSSPSCVPRLCSLTLKKLAVLRELEKELL SVVIAVKMQGSKRVLRSHEIVLPPSGQVETDLALTFSLQYPHFLKREGNKLQIMLQRRKR YKNRTILGYKTLAAGSINMAEVMQHPSEGGQVLSLCSSIKEASVKVAEIWIVSLSSQPID HEDSAMQAGPKTKSTDNYSEEEYESFSSEQEASDDAVQGQDLDEDDFDVGKPKKQRRSIV RTTSMTRQQNFKQKVVALLRRFKVSEEVLDSEQDPAEHVPEVEEDLDLLYDTLDVENPSD SGPDMDDDDSVLSTPKPKLRPYFEGLSHSSSQTEIGSIHSARSHREPPSPADVPEKTRSL GGKQQLSDSVSDTVALSAAVPREPSGQPEDSPEAETSTLDVFTEKLPPSGRIIKTESLVI PSTRSESKPAGRRGRSTSLKERQPARPQNERANSLDNERCPDTRSQLQIPRKTVYDQLNH ILISDDQLPENIILVNTSDWQGQFLSDVLQKHTLPVVCTCSAADVQAAFSTIVSRIQRYC NCNSQPPTPVKIAVAGAQHYLSAILRLFVEQLSHKTPDWLGYMRFLIIPLGSHPVARYLG SVDYRYNNFFQDLAWRDLFNKLEAQSSVQDTPDIVSRITQYISGANCAHQLPIAEAMLTY KQKSPDEESSQRFIPFVGVVKVGIVEPSSATSGDSDDAAPSSSSILSSTPPSASTSPAAK EASPTPPSSPSVSGGLSSPSQGVGAELMGLQVDYWTAAQPADRKRDAEKKDMPTTKNTLK CTFRSLQVSRLPSSGEAAATPTMSMTVVTKEKNKKVMFLPKKTKDKEVESKSQCIEGISR LICTAKHQQNMLRVLIDGVECSDVKFFQLAAQWSSHVKHFPICIFGHSKATF >ENSMUSP00000111576.2 pep:known scaffold:GRCm38:GL456350.1:144079:149877:-1 gene:ENSMUSG00000079774.2 transcript:ENSMUST00000115912.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam205a2 description:family with sequence similarity 205, member A2 [Source:MGI Symbol;Acc:MGI:3701946] MLSTMCFLWDTECPLYVYFCFFIIVLIVWQVRQNYQGLKCENRRSCCRRHQKVRQRAKDA ASRARRLSREEDEKPCELLSIMKSQSWVPKQGNVRQLLCLDPSCQICEATTLEIRQLLQS KKSQISPALLGLPQRAACLEMPISSESFEWNQDFYSRYSTNSPVVPGNQTLTQLTEELTE STNADGVLLCWTDPLQIGQEFHLADMPMASETLVSPGLEEPVVLMNEEDTVHSNLNYIQQ LQDHEALNSQIPFQTLTPQLTVTHPMAVSIVTDAPQPFLSPEVLRLLEIHVKKLMHFQRW GLPRRVEESLKQFMPNPPVYLPPEHNQPVSFILNTSSQDCVHRFEGISPETWYSYMDGQP IQTFWVSEWSSGDQGQRLSCKPIPSPVEKPLLTPDYELLHDLCLSPEGQVDGSQSNLQKK FTQLFCGLPSMHSESLGSTFLCTQGVSKNTLKPPYKEPHFLKVSPPIPLPEAAPPPSSTS PNESLDEPQRAQIGGVPFLTLSECKTLEWHLLQRQLQLQWGLPAVIARPPRVQSHTQYKH KPWNKAKPRETLKFFGPGKPFSALTRELFFIPQHARRLLEFHLQKRLIHLRWGLPQRIQR SINMLLSSTDLQSLPCGGSRLPNVSISQPGKPEAYGSGDTFLPTAGKGTTPMPHLFAKAQ EMLKSHDTKCEQIREGKVPACVQSAWKGRIPGDLAAGTLFPNIPQGQPLELQAENNPDLH QEAVSWKPMDLDQEAQAFSGVFIEHCRRPQALSEETIKKLETTLRHKYLAFLSGLQALYC MAPTKATSPTVDQSVITTMPWSVKSPQKPLSQKSPLEALCLSGLEPCTQDDKETSANIAE EFQHGAQGHGRTEKVPPERQPLLNRPYSLDTEIMERVSFYLKRKALDIKLGISLKESVFQ EPTATDLESESVQEPLGSPRESTLLQGPPTLCHVPVAPDPDKVCLKQPATAVQVVFQEQN QPSSRAVPHRSARQGSQVHRNMMEAQVHYVQMGTGGEMLNLGEPFSTESQSPGKSKSGYV PTVAGKRKIPGKPKVVGDLGEGDAGLGFSLVSLKTRQDGEQEKRLLHRQLQGSSLQAQTF HLEGACPHSPQESPELQFADPPPEVFMETDSEQDMEDSQSKESIVPEPARTAKAPQPMLS RASQGLPFPRSPTQRKPSQGQPGPGHVPPGHATPASPYTRPSRLPEAGLKNKMKLFFHSI KLKMKSKAHTEPSTVSTPGKVAKTSKENIDRGLPQAKSPTKKTKPEDFRGPKAQFSVVGP CLTPSYILDSKFWPRPRRVGSVSVLGHSYHCPRHCPRLAYANQQRNPP >ENSMUSP00000095149.2 pep:known chromosome:GRCm38:18:67617397:67641336:-1 gene:ENSMUSG00000073542.2 transcript:ENSMUST00000097542.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep76 description:centrosomal protein 76 [Source:MGI Symbol;Acc:MGI:1923401] MSLPPEKASELKQLIHQQLSKMDVHGRIREILAETIREELAPDQQHLSTEDLIKALRRRG IIDDVMKELNFVTDSVDQELPSSPKQTVGFDKQSTLKKTNVDPTRRYLYLQVLGGKAFLE HLQEPEPLPGQICSTFTLCLHYRNQRFRSKPVPCACEPDFHDGFLLEVHRESLGDGTRMA DSTTMLSISDPIHMVLIKTDIFGETTLVASYFLEWRSVLGSENGVTNLTVELMGVGTESK VSVGILNIKLEMYPPLSQTLSQEVVNTQLALERQKTAEKERLFLVYAKQWWREYLQIRPS HNSRLVKIFAQDENGINRPVCSYVKPLRAGRLLDTPRQAARFVNVLGYERAPVIGGGGKQ EQWCTLLAFLCRNKGDCEDHANLLCSLLLGYGLEAFVCVGTKAKGAPHAWVMTCGTDGTI MFWESLTGHRYIHKPTNPDGPPLAEQPKPLYPYRTIGCVFNHQMFLGNCQPSDAVETCIF DLNDESKWKPMSEEAIKSVCAPGATTSLPPFPPLCASTIDASVTSNEIEMQLRLLVSEHR KDLGLTTVWEDQLSYLLSPALASYEFERTTSISAGNEEFQDAIRRAVPDGHTFKGFPIHF VYRNARRAFATCLRSPFCEEIICCRGDQVRLAVRVRVFTYPESACAVWIMFACKYRSVL >ENSMUSP00000048590.5 pep:known chromosome:GRCm38:12:81631369:81664941:1 gene:ENSMUSG00000042734.5 transcript:ENSMUST00000036116.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc9 description:tetratricopeptide repeat domain 9 [Source:MGI Symbol;Acc:MGI:1916730] MERKGLAARSSGNPSPPALGEGPRPVPPPCVPSGGGAPERGQAGTAAEPAELIRRAHEFK SQGAQCYKDKKFREAIGKYHRALLELKGLLPSQEERDARPASSAGVPKSSRLSEEQSKTV EAIEIDCYNSLAACLLQAELVNYERVKEYCLKVLKKEGENFKALYRSGVAFYHLGDYDKA LYYLKEARTRQPTDTNVIRYIQLTEMKLSRCSQREKEAM >ENSMUSP00000136400.1 pep:novel scaffold:GRCm38:GL456354.1:158543:161339:1 gene:ENSMUSG00000096728.1 transcript:ENSMUST00000178296.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC140325.4 MSVQTLSTLQNLTLKALLRDEALALSCLEEVPFLLFPALFQRAFAGRLKKLMKAIMAAWT FPCLPVGALMKSPNLETLQAVLDGIDMQLTRESHPRGKLQVLDLRNVHHAFWDIWAGAED GSCSSEPLDEKPTVVKVLRRYARRRQLKVVADLCLRPRCDETQAYFLKWAQQRKDSLHLC CINMKIWAMPVDFVLEILNVFHPEHIEEFELNTEWNVFNLARFAPCLWQMRNLRKLLLAP LYKNVFKIANRTGDREDKCVKEFVSIFSKFNCLQHLSMQGVHFLTDHMSQVFRCLMTPLG SLSITHYQISQSDLDSFSCCQSLFQLNHLEMKGVVLQVLDVMPLRGLLEKVVKTLETLNL QGCKLKDSQLNALLPSFIQCSQLTKVNFYNNDFSMPILKDLLQHTANWNKMNVEQYPASL ECYNELGHVSVERFAQLCQELMDTLRAIRQPKSLSFATRICHKCGECCVYGKRARLCFCW R >ENSMUSP00000093183.5 pep:known chromosome:GRCm38:12:86734383:86763795:1 gene:ENSMUSG00000059114.7 transcript:ENSMUST00000095527.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc74a description:leucine rich repeat containing 74A [Source:MGI Symbol;Acc:MGI:3646959] MDDDDNEPVESETKDEAEAELTPQDSDVTLYCEAEVLPSVEKEKSAREDSETDLEIEDTE KFFNIGQKELYLEACKLVGVVPASYFIRNMEESCVNLNHHGLGPMGTKAIAITLVSNTTV LKLELGDNCIQEEGIMSLMEMLHENYYLQELNVSDNNLGLEGARIISNFLQENNSSLWKL KLSGNSFKEECAALLCQALSSNYRIRSLNLSHNEFSDIGGEHLGQMLALNVGLQSLNLSW NHFNIRGAVALCNGLRSNVTLKKLDVSMNGFGNEGALALGDALRLNSCLVYVDVSRNGIT NEGASKISKGLENNECLQVLKLFLNPLSLEGAYSLIMAIKRNPKSRMEDIDISNVLVSEQ FVKVLDGVCAIHPQLDVVYKGLQGLSTKKSISLGTNPMRLIQSYTEQKKISVVEFFRSLN PTGLMTMPVGDFRKAMIQQSNILINRYQVRELIKKLDEKKGMVNFSSLEKEDLSKTVEPK PEELQST >ENSMUSP00000136198.1 pep:novel scaffold:GRCm38:JH584299.1:806210:809281:1 gene:ENSMUSG00000095763.1 transcript:ENSMUST00000178318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC124606.2 MSGQTPPTLQKRARQTQLRDEALAISPLENVPAVYIPWLFQEAFAGRHNSLIKTMVAAWP FQYLPVGSLINMHNLETLQALLDGVDRRLTRKFPPGRPKHQVLDMRNVHHVFWNIGSDAN DSDSDAETLDEKQVVKALPRYALRQRLKIIVDLSISSQLNEEKAYFLNWAKQRKGSINFC CTKMKIWDAPDKVIREIMNVFHPEHITELELYTDWTLLRLAHFAPYFGQMKNLEKVFLAP LHKNTSPIMNITGASKVKCIKKIISQFSKFNCLQHVFMKRVHFLRDHLHQILGCLRTPLQ TLSITHCLISQTDLDSFSCCHNLFKLKNLEIRGVTLFALDLMPLRGLLGKLAGTLKSLDF QWCSMKDSQLIVLLPALSQCSQLNQINFYSNDFSMAILKDLLQHTATWSKMNVEQYPVPL ECYDALGHVSRERFVELCQELMDTLRAKREPKSISFATNVCQNCGKTCVYGQGARLCSCL Q >ENSMUSP00000092932.1 pep:known chromosome:GRCm38:8:64981651:64987646:-1 gene:ENSMUSG00000071089.2 transcript:ENSMUST00000095295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim75 description:tripartite motif-containing 75 [Source:MGI Symbol;Acc:MGI:2685640] MAHVEVLARLQKETKCPICLDDLTDPVTVECGHNFCRSCIKDFWAGQQATSSCPVCRHQC QHRNLRSNAQLGNMIETAQLLQGMENKRHESSTSCERHNQALTLFCEDDLQLLCDQCVEP ESHGRHQVLSITEAASLHRKHLQDYSKLLKWEVKEIQGLMSALNKRTVTLREQAEAQRSQ LTSECEKLMRFLDQEERAAFSRLEDEEMRLEKRLLDNIAALEHHGSSLRDLLRHLMLTGE LSEAKMLSTVKDFYLNCRRQLISPSIFPVQLRRVEYSFPLQYSALQKVIQHFTDNVTLDL KTAHPNLLISKDRTCVTFTKKRQRIPGSSSFTKSPVVLGIPHFNSGRHFWEVQVGKKPKW AIGICKADSSIGERQSPNPWGYWRIVWQGDSFNVSGADPDSRLKAARATSIGVFLDYELG EVSFYGMPEKCHLYTFRDTFSGPVCPYFYIGPQSEPLRLCSATDSEC >ENSMUSP00000021790.5 pep:known chromosome:GRCm38:13:41016250:41022575:1 gene:ENSMUSG00000021361.6 transcript:ENSMUST00000021790.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem14c description:transmembrane protein 14C [Source:MGI Symbol;Acc:MGI:1913404] MQKDSGPLMPLHYFGFGYAALVATGGIIGYAKAGSVPSLAAGLFFGGLAGLGAYQLSQDP RNVWVFLATSGTLAGIMGMRFYNSGKFMPAGLIAGASLLMVAKVGISLLSSPHP >ENSMUSP00000025092.4 pep:known chromosome:GRCm38:17:80944632:81001816:1 gene:ENSMUSG00000024245.4 transcript:ENSMUST00000025092.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem178 description:transmembrane protein 178 [Source:MGI Symbol;Acc:MGI:1915277] MEPRALVTALSLGLSLCSLGLLVTAIFTDHWYETDPRRHKESCERSRAGADPPDQKNRLM PLSHLPLRDSPPLGRRLLPGGPGRSDPESWRSLLGLGGLDAECGRPLFATYSGLWRKCYF LGIDRDIDTLILKGIAQRCTAIKYHFSQPIRLRNIPFNLTKTIQQDEWHLLHLRRITAGF LGMAVAVLLCGCIVATVSFFWEESLTQHVAGLLFLMTGIFCTISLCTYAASVSYDLNRVP KLIYSLPHDVEHGYSWSIFCAWCSLGFIVAAGGLCIAYPFISRTKIAHLKSGRDSTV >ENSMUSP00000079180.7 pep:known chromosome:GRCm38:9:44407714:44410405:1 gene:ENSMUSG00000009927.8 transcript:ENSMUST00000080300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps25 description:ribosomal protein S25 [Source:MGI Symbol;Acc:MGI:1922867] MPPKDDKKKKDAGKSAKKDKDPVNKSGGKAKKKKWSKGKVRDKLNNLVLFDKATYDKLCK EVPNYKLITPAVVSERLKIRGSLARAALQELLSKGLIKLVSKHRAQVIYTRNTKGGDAPA AGEDA >ENSMUSP00000093770.4 pep:known chromosome:GRCm38:16:43648861:43664184:-1 gene:ENSMUSG00000071552.4 transcript:ENSMUST00000096065.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tigit description:T cell immunoreceptor with Ig and ITIM domains [Source:MGI Symbol;Acc:MGI:3642260] MHGWLLLVWVQGLIQAAFLATGATAGTIDTKRNISAEEGGSVILQCHFSSDTAEVTQVDW KQQDQLLAIYSVDLGWHVASVFSDRVVPGPSLGLTFQSLTMNDTGEYFCTYHTYPGGIYK GRIFLKVQESSVAQFQTAPLGGTMAAVLGLICLMVTGVTVLARKKSIRMHSIESGLGRTE AEPQEWNLRSLSSPGSPVQTQTAPAGPCGEQAEDDYADPQEYFNVLSYRSLESFIAVSKT G >ENSMUSP00000091692.5 pep:known chromosome:GRCm38:7:101839990:101845331:-1 gene:ENSMUSG00000032725.9 transcript:ENSMUST00000094141.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Folr2 description:folate receptor 2 (fetal) [Source:MGI Symbol;Acc:MGI:95569] MAWKQTPLLLLVYMVTTGSGRDRTDLLNVCMDAKHHKTKPGPEDKLHDQCSPWKKNACCS VNTSQELHKADSRLYFNWDHCGKMEPACKSHFIQDSCLYECSPNLGPWIQQVDQSWRKER FLDVPLCKEDCHQWWEACRTSFTCKRDWHKGWDWSSGINKCPNTAPCHTFEYYFPTPASL CEGLWSHSYKVSNYSRGSGRCIQMWFDSTQGNPNEDVVKFYASFMTSGTVPHAAVLLVPS LAPVLSLWLPG >ENSMUSP00000107539.1 pep:known chromosome:GRCm38:14:34375504:34404287:1 gene:ENSMUSG00000041445.8 transcript:ENSMUST00000111908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmrn2 description:multimerin 2 [Source:MGI Symbol;Acc:MGI:2385618] MIPTLLLGFGVYLSWGLLGSWAQDPGTKFSHLNRPGMPEGWRLGAEDTSRDPIRRNWCPY QKSRLVTFVAACKTEKFLVHSQQPCPQGAPDCQGVRVMYRVAQKPVYQVQQKVLISVDWR CCPGFQGPDCQDHNPTANPEPTEPSGKLQETWDSMDGFELGHPVPEFNEIKVPQEQQENL LQNLQNDAQSVEDGFPGSWEAPPSNLTDEMTEANLTEFEFPGRTSEHLLQPHIDAFLKAH FSPIWKNFNDSLHSLSQAIRNLSLDVEANHQAIKMIQEGTVARADFQELGAKFEAKVQQN SQRLGQLWQDVEDQLHAQRRSVHHALSDVQAEVSTKLKQLVKAQELPGANGSLVMASAAA AARPEPESLQARLGQLQRNLSALHMVTSQREEELQSTLKNMDSVLKQHAEEIKELYSESD ETFDQISKVERQVEELLVNHTGLRELRVILMEKSLIMEENKEEIERQLLELNLTLQHLHA GHADLIKYVKDCNCQRVNSDVDVAPEGHRDVMHTLEETQVSLDEQHQLDGSSLQALQSTV DAMSSAMDAYRGEGERARAERARIRSQLRALDHAVEALKTAANGTRKEIRLLHGSFTALL EDALRHQAVLAALFGEEMIDEMSEEAPRPLPLDYEQIRLALQDAASGLQEQAIGWEDLAT RVEALEKAAGGFVEQHPQLAEGLEPSHDSGREEEAMTLAELEQEIRRLSSDVKQIGQCCE ASWAASLNSSLEDLHSMLLDTQHGLRQHRQLFHNLFQNFQGLVASNISLDLGKLQAMLSK KDKKQPRGPGESRKRDKKQVVMSTDAHAKGLELWETGSPVAFYAGSSEGATALQMVKFNT TSINVGSSYFPEHGYFRAPKRGVYLFAVSITFGPGPGMGQLVFEGHHRVPVYSTEQRGGS TATTFAMVELQKGERAWFELIQGSATKGSQPGTAFGGFLMFKT >ENSMUSP00000076514.5 pep:known chromosome:GRCm38:19:57611034:58133338:1 gene:ENSMUSG00000054843.8 transcript:ENSMUST00000077282.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atrnl1 description:attractin like 1 [Source:MGI Symbol;Acc:MGI:2147749] MEPGVRARSGAPQPASPVLWRARPAGGGGASSWLLLDGNSWLLCYGFLYLALYAQVSQSK PCERTGSCFSGRCVNSTCLCDPGWVGDQCQHCQGRFKLTEPSGYLTDGPINYKYKTKCTW LIEGYPNAVLRLRFNHFATECSWDHMYVYDGDSIYAPLVAVLSGLIVPEVRGNETVPEVV TTSGYALLHFFSDAAYNLTGFNIFYSINSCPNNCSGHGKCTTSVSVASQVYCECDKYWKG EACDIPYCKANCGSPDHGYCDLTGEKLCVCNDSWQGPDCSLNVPSTESYWILPNVKPFSP SVGRASHKAVLHGKFMWVIGGYTFNYSSFQMVLNYNLESSIWNVGAVSRGPLQRYGHSLA LYQENIFMYGGRMETSDGNVTDELWVFNVRSQSWSTKTPTVLGHSQQYAVEGHSAHIMEL DSRDVVMIVIFGYSAIYGYTSSIQEYHISSNTWLVPETKGAIVQGGYGHTSVYDEVTKSI YVHGGYKALPGNKYGLVDDLYKYEVNTRTWTILKESGFARYLHSAVLINGAMLIFGGNTH NDTSLSNGAKCFSADFLAYDIACDEWKTLPKPNLHRDVNRFGHSAVVINGSMYIFGGFSS VLLNDILVYKPPNCKAFRDEELCRNAGPGIKCVWNKNHCESWESGNTNNILRAKCPPKTA ATDDRCYRYADCASCTANTNGCQWCDDKKCISASSNCSTSVRNYTKCHIRNEQICNKLTS CKSCSLNLNCQWDQRQQECQALPAHLCGEGWNHVGDACLRINSSRESYDNAKLYCYNLSG NLASLTTSKEVEFVLDEIQKFTQQKVSPWVGLRKINISYWGWEDMSPFTNTSLQWLPGEP NDSGFCAYLERAAVAGLKANPCTSMADGLVCEKPVVSPNQNARPCKKPCSLRTSCANCTS SGMECMWCSSTKRCVDSNAYIISFPYGQCLEWQTATCSPQNCSGLRTCGQCLEQPGCGWC NDPSNTGRGYCIEGSSRGPMKLAGVHNSDVVLDTSLCPKEKNYEWSFIQCPACQCNGHST CINNNVCEQCKNLTTGRQCQECMPGYYGDPTNGGQCTACTCGGHANVCHLHTGKCFCTTK GIKGDQCQLCDSENRYVGNPLRGTCYYSLLIDYQFTFSLLQEDDRHHTAINFIANPEQSN KNLDISINASNNFNLNITWSVGSTGGTISGEETPIVSKTNIKEYRDSFSYEKFNFRSNPN ITFYVYVSNFSWPIKIQIAFSQHNTIMDLVQFFVTFFSCFLSLLLVAAVVWKIKQTCWAS RRREQLLRERQQMASRPFASVDVALEVGAEQTDFLRGPLEGAPKPIAIEPCAGNRAAVLT VFLCLPRGSSGAPPPGQSGLAIASALIDISQQKPSDNKDKTSGVRNRKHLSTRQGTCV >ENSMUSP00000096356.3 pep:known chromosome:GRCm38:9:54025606:54068411:-1 gene:ENSMUSG00000074345.3 transcript:ENSMUST00000098760.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfaip8l3 description:tumor necrosis factor, alpha-induced protein 8-like 3 [Source:MGI Symbol;Acc:MGI:2685363] MDSDSGEQSEGEPGTAAGPHVFSSKNLALQAQKKILSKIASKTVANMLIDDTSSEIFDEL YKVTEIHTHNKKEAHKIMKDAIKVAIKIGILYRNKQFSQEEVIIVEKLRKKLNQTAMTMV SFYEVEYTFDTNVLSKLLHECKDLVHELVQRHLTPRTHGRINHVFNHFADVEFLSTLYGP HGNCRPNLKRICEGINKLLDDKIL >ENSMUSP00000071864.6 pep:known chromosome:GRCm38:13:12565883:12609526:1 gene:ENSMUSG00000057069.6 transcript:ENSMUST00000071973.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ero1lb description:ERO1-like beta (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914725] MSPGFRRAVTGQGAAAAVQLLVTLSFLSSLVKTQVTGVLDDCLCDIDSIDKFNTYKIFPK IKKLQERDYFRYYKVNLKRPCPFWAEDGHCSIKDCHVEPCPESKIPVGIKAGRSNKYSQA ANSTKELDDCEQANKLGAINSTLSNESKEAFIDWARYDDSQDHFCELDDERSPAAQYVDL LLNPERYTGYKGSSAWRVWNSIYEENCFKPRSVYRPLNPLAPSRGEDDGESFYTWLEGLC LEKRVFYKLISGLHASINLHLCANYLLEETWGKPSWGPNIKEFRRRFDPVETKGEGPRRL KNLYFLYLIELRALSKVAPYFERSIVDLYTGNVEDDADTKTLLLSIFQDTKSFPMHFDEK SMFAGDKKGAKSLKEEFRLHFKNISRIMDCVGCDKCRLWGKLQTQGLGTALKILFSEKEI QNLPENSPSKGFQLTRQEIVALLNAFGRLSTSIRELQNFKALLQHRR >ENSMUSP00000019192.5 pep:known chromosome:GRCm38:17:31677933:31681722:1 gene:ENSMUSG00000024041.8 transcript:ENSMUST00000019192.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cryaa description:crystallin, alpha A [Source:MGI Symbol;Acc:MGI:88515] MDVTIQHPWFKRALGPFYPSRLFDQFFGEGLFEYDLLPFLSSTISPYYRQSLFRTVLDSG ISELMTHMWFVMHQPHAGNPKNNPVKVRSDRDKFVIFLDVKHFSPEDLTVKVLEDFVEIH GKHNERQDDHGYISREFHRRYRLPSNVDQSALSCSLSADGMLTFSGPKVQSGLDAGHSER AIPVSREEKPSSAPSS >ENSMUSP00000093830.4 pep:known chromosome:GRCm38:19:31984654:32061469:-1 gene:ENSMUSG00000024887.9 transcript:ENSMUST00000096119.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asah2 description:N-acylsphingosine amidohydrolase 2 [Source:MGI Symbol;Acc:MGI:1859310] MAKRTFSTLEAFLIFLLVIMTVITVALLTLLFVTSGTIENHKDSGNHWFSTTLGSTTTQP PPITQTPNFPSFRNFSGYYIGVGRADCTGQVSDINLMGYGKNGQNARGLLTRLFSRAFIL ADPDGSNRMAFVSVELCMISQRLRLEVLKRLESKYGSLYRRDNVILSAIHTHSGPAGFFQ YTLYILASEGFSNRTFQYIVSGIMKSIDIAHTNLKPGKIFINKGNVANVQINRSPSSYLL NPQSERARYSSNTDKEMLVLKLVDLNGEDLGLISWFAIHPVSMNNSNHFVNSDNMGYAAY LFEQEKNKGYLPGQGPFVAGFASSNLGDVSPNILGPHCVNTGESCDNDKSTCPNGGPSMC MASGPGQDMFESTHIIGRIIYQKAKELYASASQEVTGPVLAAHQWVNMTDVSVQLNATHT VKTCKPALGYSFAAGTIDGVSGLNITQGTTEGDPFWDTLRDQLLGKPSEEIVECQKPKPI LLHSGELTIPHPWQPDIVDVQIVTVGSLAIAAIPGELTTMSGRRFREAIKKEFALYGMKD MTVVIAGLSNVYTHYITTYEEYQAQRYEAASTIYGPHTLSAYIQLFRDLAKAIATDTVAN MSSGPEPPFFKNLIASLIPNIADRAPIGKHFGDVLQPAKPEYRVGEVVEVIFVGANPKNS AENQTHQTFLTVEKYEDSVADWQIMYNDASWETRFYWHKGILGLSNATIYWHIPDTAYPG IYRIRYFGHNRKQELLKPAVILAFEGISSPFEVVTT >ENSMUSP00000033289.4 pep:known chromosome:GRCm38:7:102267824:102436848:1 gene:ENSMUSG00000030987.4 transcript:ENSMUST00000033289.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stim1 description:stromal interaction molecule 1 [Source:MGI Symbol;Acc:MGI:107476] MDVCARLALWLLWGLLLHQGQSLSHSHSEKNTGASSGATSEESTEAEFCRIDKPLCHSED EKLSFEAVRNIHKLMDDDANGDVDVEESDEFLREDLNYHDPTVKHSTFHGEDKLISVEDL WKAWKSSEVYNWTVDEVIQWLITYVELPQYEETFRKLQLTGHAMPRLAVTNTTMTGTVLK MTDRSHRQKLQLKALDTVLFGPPLLTRHNHLKDFMLVVSIVIGVGGCWFAYIQNRYSKEH MKKMMKDLEGLHRAEQSLHDLQERLHKAQEEHRTVEVEKVHLEKKLRDEINLAKQEAQRL KELREGTENERSRQKYAEEELEQVREALRKAEKELESHSSWYAPEALQKWLQLTHEVEVQ YYNIKKQNAERQLLVAKEGAEKIKKKRNTLFGTFHVAHSSSLDDVDHKILTAKQALSEVT AALRERLHRWQQIEILCGFQIVNNPGIHSLVAALNIDPSWMGSTRPNPAHFIMTDDVDDM DEEIVSPLSMQSPSLQSSVRQRLTEPQLGLGSQRDLTHSDSESSLHMSDRQRVAPKPPQM GRAADEALNAMPSNGSHRLIEGVHPGSLVEKLPDSPALAKKTFMALNHGLDKAHSLMELN PSVPPGGSPLLDSSHSLSPSSPDPDTPSPVGDNRALQGSRNTRIPHLAGKKAMAEEDNGS IGEETDSSPGRKKFPLKIFKKPLKK >ENSMUSP00000129514.1 pep:known chromosome:GRCm38:17:32506462:32528894:1 gene:ENSMUSG00000091586.1 transcript:ENSMUST00000165999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f17 description:cytochrome P450, family 4, subfamily f, polypeptide 17 [Source:MGI Symbol;Acc:MGI:3646233] MLQLGLSWLGLGPGAAFPWQLLQLVGASLFLARILTWICAFYDNYCRLRCFPEPPSRHWF WGHMSMVKNNEEGLQLLTERSHQFHDVHLCWIGPFYPILRLIHPKFIGPILQASAAVAPK EMIFYGFLKPWLGDGLLVSAGEKWSRHRHLLTPAFHFDILKPYMKNFNKSVNIMHAKWQR LTTKGTACLDMLEHISLMTLDSLQNCVFSFDSNCQESPSEYIAAIQELSSLIVKRHHQPF LYLDFLYYCTADGRRFRKACDLVHNFTDAVIRERRRTLSSQNLDEFLKSKTKSKTLDFID VLLLAKDEHGKELSDEDIRAEADTFMFGGHDTTASALSWILYNLARHPEYQERCRQEVQE LLRGREPQEIEWDDLAQLPFLTMCIKESLRLHPPVTVISRCCTQDVVLPDGRVIPKGTDC VISIFGVHHNPEVWPDPEVYDPFRFDPENPQKRSPLAFIPFSAGPRNCIGQTFAMREMKV ALALTLLRFRVLPGDKEPRRKPELILRAEGGLWLRVEPLSKGTQ >ENSMUSP00000019927.6 pep:known chromosome:GRCm38:10:30534225:30600749:-1 gene:ENSMUSG00000019792.7 transcript:ENSMUST00000019927.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt11 description:tRNA methyltransferase 11 [Source:MGI Symbol;Acc:MGI:1920931] MALPGSLNRYLLLMAQEHLEFRLPEIKSLLSVFGGQFTNSQETYGKSPFWILNIPSEDIA RNLMKRTVCAKSIFELWGHGKSPEELYTSLKSYPVEKMVPYLHSDSTYKIKIHTFNKTLT QEEKVKRIDALEFLPFQGKVNLKKPQHVFSILEDYGLDPNSIPKDPHNIYFGRWIADGQR ELIESYSVKKRHFIGNTSMDAGLSFIMTNHAKVKENDLVFDPFVGTGGLLIASAHFGAYV CGTDIDYNTVHGLGKASRKNQKWRGPDENIRANLRQYGLEKFYLDVLVSDASKPSWRKGT YFDAIITDPPYGIRESTRRSGSQKDIPKGIEKCPESHVPVSLSYHLSDMFFDLLNFAAET LVLGGRLVYWLPVYTPEYTEEMVPWHPCLRLISNCEQKLSSHTARRLITMEKVKEFENRD KYSHLLSDHFLPYQGHNSFREKYFSGVTKRIAKEEKCSHE >ENSMUSP00000068238.5 pep:known chromosome:GRCm38:12:110667689:110682619:-1 gene:ENSMUSG00000056508.5 transcript:ENSMUST00000070659.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001K19Rik description:RIKEN cDNA 1700001K19 gene [Source:MGI Symbol;Acc:MGI:1913573] MASQRPSRPTCIRAAFSAAGFRKWQRHARHRLQVSSRRNLMDLAEGIWREFLDPYDGDSE DTPGHSNYRSYHSHRAHHLLGDRNRNSPSYTLKFRTAEEASVEDSLPKAPDLTMKASVWA PAALEVHDVDMQPEGELKTNGCPGRLSPSPEDWRMTRAASPLNPVETQEIGRQLLGRARA TRSPPRLGSDRDKGTRLSLSKRKLELLLGEPERIKRKKK >ENSMUSP00000095824.1 pep:known chromosome:GRCm38:7:102640248:102641201:1 gene:ENSMUSG00000073971.1 transcript:ENSMUST00000098221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr554 description:olfactory receptor 554 [Source:MGI Symbol;Acc:MGI:3030388] MSTFHNVCSVPSSLWLTGIPGLETLHIWLSIPFGSMYLVAVVGNITILAVVRVERSLHQP MYFFLCMLAVIDLVLSTSTMPKLLAIFWFGAGHIGLDACLCQMFLIHCFATVESGIFLAM AFDRYVAICNPLRHSMVLTHTVVGRLGLAAVLRGVLYIGPLPLMIRLRLPLYKTRVISHS YCEHMAVVALTCGDSRVNNVYGLSIGFLVLILDSAAIAASYVMIFRAVMGLATPEARLKT LGTCGSHICAILIFYVPIAVSSLIHRFGHQVPPPIHTLLANFYLLIPPILNPIVYAVRTK QIRDRLLQILKTGTKIR >ENSMUSP00000037884.5 pep:known chromosome:GRCm38:19:38836579:38919923:1 gene:ENSMUSG00000048720.7 transcript:ENSMUST00000037302.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d12 description:TBC1D12: TBC1 domain family, member 12 [Source:MGI Symbol;Acc:MGI:2384803] MMGPEDAGACSGRNAELLPVPGPMGQDGKTVPATSGFSGGAVAAEPPEEAGEEEAPPPRQ LLQRYLAAAAGPLKPGLGGAEAEAEEAAAAAVPAARGSGMTNGDSGFLLRQDRRGPEEAR RRRTCGRPCLLEPADEGVDGAGGLDDWAAPLEDPLRSCCLAAGDTDDPDPAAATPAGRAV ESAEPSLGLPDARFGSRNTFEVSRRQSAGDLLPSAGPSAPLPAAEQGPGGTTARARRSGG FADFFARNLFPKRTKELKSVVHSAPGWKLFGKVPPRENLQKTSKIIQQEYEARTGRTCKA APQSSRRKSFALEPLSTTALILEDRPPNLPAKSVEEALRHRQEYDEMVAEAKKREIKEAH KRKRIMKERFKQEESIASAMVIWINEILPNWEVMRSTRRVRELWWQGLPPSVRGKVWSLA VGNELNITPELYEIFLSRAKERWKSFSESSSENDTEGLSVADREASLELIKLDISRTFPS LYIFQKGGPYHDVLHSILGAYTCYRPDVGYVQGMSFIAAVLILNLEEADAFIAFANLLNK PCQLAFFRVDHSMMLKYFATFEVFFEENLSKLFLHFKSYNLTPDIYLIDWIFTLYSKSLP LDLACRVWDVFCRDGEEFLFRTGLGILRLYEDILLQMDFIHIAQFLTKLPEDITSEKLFS CIAAIQMQNSTKKWTQVFASVAKDIKEGDKNTSPALKS >ENSMUSP00000095770.2 pep:known chromosome:GRCm38:7:104688013:104688976:1 gene:ENSMUSG00000073920.2 transcript:ENSMUST00000098168.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr661 description:olfactory receptor 661 [Source:MGI Symbol;Acc:MGI:3030495] MFQILRDSNSSRFQVSEFILMGFPGIHSWQHWLSLPLALLYVLALIANILIVTVIYQEAS LHQPMYHFLGILAIVDVGLATTIMPKILAILWFNDNNISLPECFAQMYAIHCFVAMESGI FVCMAIDRYVAICKPLRYSSIVTESFVVKATVIMAIRNFVAPMSVPVLAAQRNYCFQNKI EHCLCSNLGVTSLACDDRKINSINQLFLAWTLMGSDLALIMISYALILRSVLRLNSAEAA SKALSTCTSHLILIFFFYTVIVVISITHSVGIKIPLIPVLLNVLHNVIPPALNPMVYALK NKELKQGLYKVLRLDVKEG >ENSMUSP00000043659.7 pep:known chromosome:GRCm38:8:70483876:70487358:-1 gene:ENSMUSG00000035964.7 transcript:ENSMUST00000045286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem59l description:transmembrane protein 59-like [Source:MGI Symbol;Acc:MGI:1915187] MAAVALPLLLLLASLVTPTPARDPFAPQLGDTQRCQQRCRQHHPGSPPAQPEPEGPSESP NNKAILISACERGCRLFSICRFVAKSSRPNATETECEAACTEAYVKAAEQRACSEGCWGQ IPEPETQLEQKDLALDPPRGRLSLRYLFSMLCSDLMSSAQGFLSSSWTYSLQTDNRKVVV FQTQPVAENFAFQGSHLQRVEVTWRRSHPKALELHMDPVGPLDKVRKAKPRVKTSKAKVE SEDQQESDFLSCMSRRSGLPRWVLFCCLFLSILIMLWLSCCTLVTTPGQHLKFQPLTAEQ HKGLLVESDWPLYPPLPPPAYEDSTPPYKLKLDLTTL >ENSMUSP00000072982.6 pep:known chromosome:GRCm38:12:80754046:80760541:-1 gene:ENSMUSG00000062961.6 transcript:ENSMUST00000073251.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc177 description:coiled-coil domain containing 177 [Source:MGI Symbol;Acc:MGI:2686414] MVDPVPEEEKEGAEPGGSEGDEATASEPPDAQGAQQPAASSASASAAAPRKAEVPCGAEG GRREQSPLLHLDLFNFACPEAEGSRYVLTSPRSLEACARCAVKPVELLPRALADLVREAP GRSMRVATGLYEAYEAERLAKLQQCRAERERIMREEKRRLFTPKGPAAAPASASASASAS ALSGGSSSSCSSSSSLPASPASRVARRTSPSPPARSRPPPAGSRTGRKSHSLDSLSRRRD GALSSESGASSSSYSGESLRELRWPPRASARNSCPAGSASSAPNPLGRPSALALVPLTAR SFSLGDLSHSPQTAQHVERIVRQVRAERGLRGVPERDRKIAALMLARHQEERLLLEQRAA AHGQWEQQRVRAEQRREREEREKQRALERGRRAWAAQVEERRGRRGREEREAARRRQQQC ERSEERRRELAERQGLLRRERVERAARDDRLRKLQQEQNLKQREEGRQEGRERAELVRRE RAQRAARARERQEGQLQREKRELSRAERARHEALLRGRVRQQQEEREGLRSSLEASLGRA QENYEQLQEQRARELRERARREELQGRRAKEAAERKEREHQAHLEALARAGERRLQHAAQ VAEEAVQQKARRVVQTRLEKERAQRANKEKVERDEDCRRRELLQAIGRKLERSEQLSRER RSALESARSTARASFHVREKVREETNTRSFDRMVREAQLHASLDRK >ENSMUSP00000048042.7 pep:known chromosome:GRCm38:10:61475833:61582226:1 gene:ENSMUSG00000037151.7 transcript:ENSMUST00000049242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc20 description:leucine rich repeat containing 20 [Source:MGI Symbol;Acc:MGI:2387182] MLRKMGEAVARVARKVNETVESGSDTLDLADCKLVSFPICIYKVLRNVSDQIHLITLANN ELKSLTSKFMTTFNQLRELRLEGNYLFRLPNEVSSLQHLRAIDLSRNQFQDFPEQLTTLP ALETINLEENEIVDVPVEKLAAMPALRVINLRLNPLSADVRVIAPPLIKFDMLMSPEDTR APPP >ENSMUSP00000064189.2 pep:known chromosome:GRCm38:9:18754656:18755709:-1 gene:ENSMUSG00000054141.2 transcript:ENSMUST00000066997.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr24 description:olfactory receptor 24 [Source:MGI Symbol;Acc:MGI:109311] MEPQNHTSASEFILLGLSEKPDHDPVLFSLFLCMYMITVVGNLLIILAISFDSHLHTPMY FFLANLSLVDFCLATNTVPKMLVNIQTRNKSISYPCCLTQMYFFHFFGIMDSVLIAVMAY DRFVAICHPLHYSTIMSPRLCGLLVGVPWVYSCFISLTHILLMARLVFCGKNELPHYFCD LTPLLRLSCTDTTVNKIFVLIVAGMVIATPFVCILASYARIIVAIMKVPSAGGRKKAFST CSSHLSVVALFYGTTIGVYLCPSSVRTAVKEKASAVMYTAVTPMLNPFIYSLRNRDLKGA LKKIINRKISTSS >ENSMUSP00000026218.5 pep:known chromosome:GRCm38:19:44108644:44135876:-1 gene:ENSMUSG00000025200.6 transcript:ENSMUST00000026218.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cwf19l1 description:CWF19-like 1, cell cycle control (S. pombe) [Source:MGI Symbol;Acc:MGI:1919752] MAQKPLRLLACGDVEGKFDVLFNRVRTIQKKSGNFDLLLCVGNFFGSAQDAEWEEYKTGN KKAPIQTYVLGANNEETANYFQGADGCELAENITYLGRKGVFTGSSGLQIVYLSGTESLD EPVPAHSFSPKDVSSLRTMLCSASQFKGVDILLTSPWPKYVGSFGNSSGEVDTKNCGSAL ISSLAVSLKPRYHFAALEKSYYERLPYRNHVVLQESAQHATRFIALANVGNPEKKKYLYA FSIVPMKLMAVAELVKQPPDVTENPYRDSGKQAAGGKHIPAPQEESACQFFFDLSEKQGR KRPSTGRDTRPPHAKQPRKPPQPPGPCWFCLASPEVEKHLVVNIGTHCYLALAKGGLSDD HVLILPIGHYQSVVELSAEVVEEVEKYKATLQRFFKSRGKRCVLFERNYRSHHLQLQVIP VPLSCCATDDIKDAFITQAQEQQIELLEIPEHSDIKQIAQPGAAYFYVELDTGEKLFHRI KKNFPLQFGREVLASEAILNIPEKADWRQCQTSKDEEEALARRFRKDFEPFDFTLDD >ENSMUSP00000042509.6 pep:known chromosome:GRCm38:9:119894895:119926579:1 gene:ENSMUSG00000032512.6 transcript:ENSMUST00000036561.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr48 description:WD repeat domain 48 [Source:MGI Symbol;Acc:MGI:1914811] MAAHHRQNTAGRRKVQVSYVIRDEVEKYNRNGVNALQLDPALNRLFTAGRDSIIRIWSVN QHKQDPYIASMEHHTDWVNDVVLCCNGKTLISASSDTTVKVWNAHKGFCMSTLRTHKDYV KALAYAKDKELVASAGLDRQIFLWDVNTLTALTASNNTVTTSSLSGNKDSIYSLAMNQLG TIIVSGSTEKVLRVWDPRTCAKLMKLKGHTDNVKALLLHRDGTQCLSGSSDGTIRLWSLG QQRCIATYRVHDEGVWALQVNDAFTHVYSGGRDRKIYCTDLRNPDIRVLICEEKAPVLKM ELDRSADPPPAIWVATTKSTVNKWTLKGIHNFRASGDYDNDCTNPITPLCTQPDQVIKGG ASIIQCHILNDKRHILTKDTNNNVAYWDVLKACKVEDLGKVDFEDEIKKRFKMVYVPNWF SVDLKTGMLTITLDESDCFAAWVSAKDAGFSSPDGSDPKLNLGGLLLQALLEYWPRTHVT PMDEEENEVNHVSGGQESRVQKGNGYFQVPPHTPVIFGEAGGRTLFRLLCRDSGGETEAM LLNETVPQWVIDITVDKNMPKFNKIPFYLQPHASSGAKTLKKDRLSASDMLQVRKVMEHV YEKIINLDNESQTTSSSNNEKPEQEKEEDIAVLAEEKIELLCQDQVLDPNMDLRTVKHFI WKSGGDLTLHYRQKST >ENSMUSP00000067031.3 pep:known chromosome:GRCm38:14:59158503:59178749:-1 gene:ENSMUSG00000053868.3 transcript:ENSMUST00000066558.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5142 description:predicted gene 5142 [Source:MGI Symbol;Acc:MGI:3647352] MRRRQPRALGSLRNPFPPQASPLYVFPTSVHLIPSQQTIFHPAVQVNPLSVFYPTTFPSL RNTSYFPTFMMAMPSSSCLSKISSNCPVLSASPSHLSTLMTTSPKKAPMDRYLLSVSRLR PSASQANQRNLKC >ENSMUSP00000034889.8 pep:known chromosome:GRCm38:9:58823512:58860955:1 gene:ENSMUSG00000032338.8 transcript:ENSMUST00000034889.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcn4 description:hyperpolarization-activated, cyclic nucleotide-gated K+ 4 [Source:MGI Symbol;Acc:MGI:1298209] MDKLPPSMRKRLYSLPQQVGAKAWIMDEEEDGEEEGAGGRQDPSRRSIRLRPLPSPSPSV AAGCSESRGAALGATESEGPGRSAGKSSTNGDCRRFRGSLASLGSRGGGSGGAGGGSSLG HLHDSAEERRLIAAEGDASPGEDRTPPGLATEPERPATAAQPAASPPPQQPPQPASASCE QPSADTAIKVEGGAAASDQILPEAEVRLGQSGFMQRQFGAMLQPGVNKFSLRMFGSQKAV EREQERVKSAGFWIIHPYSDFRFYWDLTMLLLMVGNLIIIPVGITFFKDENTTPWIVFNV VSDTFFLIDLVLNFRTGIVVEDNTEIILDPQRIKMKYLKSWFVVDFISSIPVDYIFLIVE TRIDSEVYKTARALRIVRFTKILSLLRLLRLSRLIRYIHQWEEIFHMTYDLASAVVRIVN LIGMMLLLCHWDGCLQFLVPMLQDFPHDCWVSINGMVNNSWGKQYSYALFKAMSHMLCIG YGRQAPVGMSDVWLTMLSMIVGATCYAMFIGHATALIQSLDSSRRQYQEKYKQVEQYMSF HKLPPDTRQRIHDYYEHRYQGKMFDEESILGELSEPLREEIINFNCRKLVASMPLFANAD PNFVTSMLTKLRFEVFQPGDYIIREGTIGKKMYFIQHGVVSVLTKGNKETKLADGSYFGE ICLLTRGRRTASVRADTYCRLYSLSVDNFNEVLEEYPMMRRAFETVALDRLDRIGKKNSI LLHKVQHDLNSGVFNYQENEIIQQIVRHDREMAHCAHRVQAAASATPTPTPVIWTPLIQA PLQAAAATTSVAIALTHHPRLPAAIFRPPPGPGLGNLGAGQTPRHPRRLQSLIPSALGSA SPASSPSQVDTPSSSSFHIQQLAGFSAPPGLSPLLPSSSSSPPPGACGSPPAPTPSTSTA AAASTTGFGHFHKALGGSLSSSDSPLLTPLQPGARSPQAAQPPPPLPGARGGLGLLEHFL PPPPSSRSPSSSPGQLGQPPGELSLGLAAGPSSTPETPPRPERPSFMAGASGGASPVAFT PRGGLSPPGHSPGPPRTFPSAPPRASGSHGSLLLPPASSPPPPQVPQRRGTPPLTPGRLT QDLKLISASQPALPQDGAQTLRRASPHSSGESVAAFSLYPRAGGGSGSSGGLGPPGRPYG AIPGQHVTLPRKTSSGSLPPPLSLFGARAASSGGPPLTTAAPQREPGARSEPVRSKLPSN L >ENSMUSP00000053223.4 pep:known chromosome:GRCm38:9:6265028:6266547:-1 gene:ENSMUSG00000047619.4 transcript:ENSMUST00000051706.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddi1 description:DNA-damage inducible 1 [Source:MGI Symbol;Acc:MGI:1919079] MLITVYCVRRDLTEVTFSLQVNPDFELSNFRVLCELESGVPAEEAQIVYMEQLLTDDHCS LGSYGLKDGDMVVLLQKDNVGLRTPGRTPNHPRADFTGSGSAVPGTSSSRHPHQHQHHYH HHQRIPSTQQAHGLASGENMTFAQELDSPALIRSMLLSNPHDLSLLKERNPALAEALLSG NLETFSQVLMEQQRERTLREQEMFRLYSTNPFDQETQARIEEEIRQQNIEENMNIAMEEA PESFGQVAMLYINCKVNGHPLKAFVDSGAQMTIMSQACAERCNIMRLVDRRWGGVAKGVG TQRIMGRVHLAQIQIEGDFLQCSFSILEEQPMDILLGLDMLRRHQCSIDLKKNVLVIGTT GSQTHFLPEGELPLCAKLLSGTVQEESSDREVGGTIKHPVKGPGRKKH >ENSMUSP00000054084.8 pep:known chromosome:GRCm38:13:12395398:12438677:1 gene:ENSMUSG00000050244.8 transcript:ENSMUST00000059270.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heatr1 description:HEAT repeat containing 1 [Source:MGI Symbol;Acc:MGI:2442524] MTSLAQQLQRLALPQTDPSLLSRREVASLLFDPKEAATIDRDTAFAIGCTGLEELLGIDP AFEQFEAPLFSQLAKGLERSVQTKAVNKQLDENISSFLLHLSPYFLLKPAQKCLEWLIHR FHIHLYNADSLIACVLPYHETRVFVRVIQLLKISNPKHKWFWLSPVKHSGVPLARGTLVT HCYKDLGFMDFICSLVTRSVKAFAEDPGSSTRLRVLLAFYASTIVSALVAAENLSDNVVA KLFPYIQKGLKSSLPDYRAATYMIICQISVKVTMEDTFVKSLASQLIKTLTKVPSQVNDG LGCLIILLQRQKPENLGEKPFLHLCGVPDLIGLLHGISESYDVSPLLRCMLPHLVASVVQ HIAGEEAEGIDGQIYKNHLEEILIKIPLTNNLDHLLASHLFEEYISYSSQEETQANGVAL LNEQFLPLIRLLESKYPRALDAVLEEHLKEITGLKKQELFHQFISLSTSGGKYQFLEDSD TSLMLSLNHPLAPVRLLAVNHLKTFMKTSKEGIDETFIKEAILTRLGDDNVDVVLATLSA FEIFQQHFGVEETVSSLLNLFQRADLSKNEGWFRVLELAANILIKEEILSKNDQLANQVV VQLLPFMVITSNDIESPDMKIAIHLSKSGICSLHPLLRGWKEALENVIKSRKSREIIGVG NQKMVQLLGSNLSLGERSTVLKLVEDLVCAGEKESYSLKQKVAFHVTVSVLISCCSSFQE TCFPFALRVFSLLQKKIRKLKSVITAVEIPSEWHLELMLNRGLPEELWVRYVQELHGAQR VVMEDAILLVFSMKCFIFAMKAPKSFPTGAMWWNPEQLDEDSRHYLHLLIGIFEMLLEVS DAMHFRVLIRLIMKVHLQDVLQLFKFFCVLWTYGSSLSNPLNCTVKSELQTQALYIGSAM LSSQNTQYKQKLASTASPVVMSLLLNLGSHIKEVRRAAVQCLQALRGVPSKFELVIDHLI PKAEEITSDATYVLQDLATLFDELQNEEKQKSHQKLSETLRSLLHCVYGCPSYIAKGLMK VLQGVNSEMVLAQLLPMVEQLLEKVEKEPTAVLKDEAVVLHLTLGKYNEYSASLLQKDPK SLDLFIKAMHTTKELHPGMPTVRITALEKITKPFFAAVSDGQVQQKLLCVLFDLLVNCKD AHCVQTVGSVFKGISVDAEQIRIELEPRDKAKSLGTIQQTRRQKMQQKKSQDVESVQEVE GPYWQRVTLILELLQHKKKLKCPQILIPPLFNLLSRCLEPLSSEQGNMEYTKQLILSCLL NICQKLSPDGGRIPKDVVDEEKFNVELIVQCIRLSEMPQTHHHALLLLGTVAGIFPDKVL HNIMSIFTFMGANVMRLDDAYSFQVISKTVKMVIPALIQSDTGDSVEVTRNVEQIVVKII GVFVDALPHVPEHRRLPILVQLVTTLSAKKFLWILLVLLFEQYVTKTVLVAAYGEKDAIL EADTEFWISVCCEFSVQHQVQSLMHILHYLEKLPEEKEEATSKTVSTKSEVQDEMLPVFK VDAHTSKQLRHFKYLSVSFMSQLLASNHFLKKVVGSGGPKSLHGLEQGLLETVLSYINTV AQSMEKNADKLTGKFWRALLSKAYDMLDKVNALLPTETFISVIRGLVGNPLPSVRRKALD LLNNKLQQHTFWRKKMVHRFLKLVPVLLAIVQHKKREAEDEQAINRQTALYTLKLLCKNF GAQNREPFIPVLSTAVKLIEPEKKEEKNVLGSALLCIAEVTSTLEALAIPQLPSLMPSLL TAMKSTSELVHSEVCLLSALAALHKVVETLPHFISPYLEGLLTQVIHLEKITREMGSASQ ANIRLTALKKTLATELSPRVLLPAISKTFKQIQKNWKNHMGPFMSILQEHIGVMKKEELL SHQSQLTTFFLEALDFRAQHSEDDLEEVGKTEGWIIDCLVAMVVKLSEVTFRPLFFKLFD WAKTEDAPKDRLLTFYNLADCIAEKLKGLFTLFAGHLVKPFADTLNQVNISKTDEAFFDS ERDPEKCCLLLQFILNCLYKVFLFDTQNFMSRERAEALMMPLVDQLENRLGGEERFQERV TKYLVPCIAQFSVAMADDSMWKPLNYQILLKTRDSSPKVRFAALITVLALAEKLRENYIV LLPESIPFLAELMEDECEEVEHQCQKTIQQLEAVLGEPLQSYF >ENSMUSP00000039394.6 pep:known chromosome:GRCm38:13:49341549:49387025:1 gene:ENSMUSG00000037933.15 transcript:ENSMUST00000048544.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bicd2 description:bicaudal D homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1924145] MSAPSEEEEYARLVMEAQPEWLRAEVKRLSHELAETTREKIQAAEYGLAVLEEKHQLKLQ FEELEVDYEAIRSEMEQLKEAFGQAHTNHKKVAADGESREESLIQESASKEQYYVRKVLE LQTELKQLRNVLTNTQSENERLTSVAQELKEINQNVEIQRGRLRDDIKEYKFREARLLQD YSELEEENISLQKQVSVLRQNQVEFEGLKHEIKRLEEETEYLNSQLEDAIRLKEISERQL EEALETLKTEREQKNNLRKELSHYMSINDSFYTSHLQVSLDGLKFSDDTVTAEPNNDAEA LVNGFEHSGLVKSSLDNKTSTPRKDGLAPPSPSLVSDLLSELHISEIQKLKQQLVQMERE KVGLLATLQDTQKQLEQARGTLSEQHEKVNRLTENLSALRRLQAGKERQTSLDNEKDRDS HEDGDYYEVDINGPEILACKYHVAVAEAGELREQLKALRSTHEAREAQHAEEKGRYEAEG QALTEKISLLEKASHQDRELLAHLEKELKKVSDVAGETQGSLNVAQDELVTFSEELANLY HHVCMCNNETPNRVMLDYYREGQGKAGRTSPEGRGRRSPVLLPKGLLATEVGRADGGTGD NSPSPSSSLPSPLSDPRREPMNIYNLIAIIRDQIKHLQAAVDRTTELSRQRIASQELGPA VDKDKEALMEEILKLKSLLSTKREQITTLRTVLKANKQTAEVALANLKSKYENEKAMVTE TMMKLRNELKALKEDAATFSSLRAMFATRCDEYITQLDEMQRQLAAAEDEKKTLNSLLRM AIQQKLALTQRLELLELDHEQTRRGRSKAASKAKPASPSVSHTCACASERAEGAGLANQV FCSEKHSIYCD >ENSMUSP00000105712.3 pep:known chromosome:GRCm38:13:49341549:49387025:1 gene:ENSMUSG00000037933.15 transcript:ENSMUST00000110085.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bicd2 description:bicaudal D homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1924145] MSAPSEEEEYARLVMEAQPEWLRAEVKRLSHELAETTREKIQAAEYGLAVLEEKHQLKLQ FEELEVDYEAIRSEMEQLKEAFGQAHTNHKKVAADGESREESLIQESASKEQYYVRKVLE LQTELKQLRNVLTNTQSENERLTSVAQELKEINQNVEIQRGRLRDDIKEYKFREARLLQD YSELEEENISLQKQVSVLRQNQVEFEGLKHEIKRLEEETEYLNSQLEDAIRLKEISERQL EEALETLKTEREQKNNLRKELSHYMSINDSFYTSHLQVSLDGLKFSDDTVTAEPNNDAEA LVNGFEHSGLVKSSLDNKTSTPRKDGLAPPSPSLVSDLLSELHISEIQKLKQQLVQMERE KVGLLATLQDTQKQLEQARGTLSEQHEKVNRLTENLSALRRLQAGKERQTSLDNEKDRDS HEDGDYYEVDINGPEILACKYHVAVAEAGELREQLKALRSTHEAREAQHAEEKGRYEAEG QALTEKISLLEKASHQDRELLAHLEKELKKVSDVAGETQGSLNVAQDELVTFSEELANLY HHVCMCNNETPNRVMLDYYREGQGKAGRTSPEGRGRRSPVLLPKGLLATEVGRADGGTGD NSPSPSSSLPSPLSDPRREPMNIYNLIAIIRDQIKHLQAAVDRTTELSRQRIASQELGPA VDKDKEALMEEILKLKSLLSTKREQITTLRTVLKANKQTAEVALANLKSKYENEKAMVTE TMMKLRNELKALKEDAATFSSLRAMFATRCDEYITQLDEMQRQLAAAEDEKKTLNSLLRM AIQQKLALTQRLELLELDHEQTRRGRSKAASKAKPASPSL >ENSMUSP00000105711.2 pep:known chromosome:GRCm38:13:49341549:49387025:1 gene:ENSMUSG00000037933.15 transcript:ENSMUST00000110084.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bicd2 description:bicaudal D homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1924145] MEQLKEAFGQAHTNHKKVAADGESREESLIQESASKEQYYVRKVLELQTELKQLRNVLTN TQSENERLTSVAQELKEINQNVEIQRGRLRDDIKEYKFREARLLQDYSELEEENISLQKQ VSVLRQNQVEFEGLKHEIKRLEEETEYLNSQLEDAIRLKEISERQLEEALETLKTEREQK NNLRKELSHYMSINDSFYTSHLQVSLDGLKFSDDTVTAEPNNDAEALVNGFEHSGLVKSS LDNKTSTPRKDGLAPPSPSLVSDLLSELHISEIQKLKQQLVQMEREKVGLLATLQDTQKQ LEQARGTLSEQHEKVNRLTENLSALRRLQAGKERQTSLDNEKDRDSHEDGDYYEVDINGP EILACKYHVAVAEAGELREQLKALRSTHEAREAQHAEEKGRYEAEGQALTEKISLLEKAS HQDRELLAHLEKELKKVSDVAGETQGSLNVAQDELVTFSEELANLYHHVCMCNNETPNRV MLDYYREGQGKAGRTSPEGRGRRSPVLLPKGLLATEVGRADGGTGDNSPSPSSSLPSPLS DPRREPMNIYNLIAIIRDQIKHLQAAVDRTTELSRQRIASQELGPAVDKDKEALMEEILK LKSLLSTKREQITTLRTVLKANKQTAEVALANLKSKYENEKAMVTETMMKLRNELKALKE DAATFSSLRAMFATRCDEYITQLDEMQRQLAAAEDEKKTLNSLLRMAIQQKLALTQRLEL LELDHEQTRRGRSKAASKAKPASPSL >ENSMUSP00000034964.6 pep:known chromosome:GRCm38:9:64281607:64304792:1 gene:ENSMUSG00000032397.6 transcript:ENSMUST00000034964.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tipin description:timeless interacting protein [Source:MGI Symbol;Acc:MGI:1921571] MLEQEENGLFEIPDYEHVEDETFPPFPPPASPERDPADAEPEEGSGSGVPVPPKRTVKRN LPKLDATRLTSERGLPALRHVFDKTKFKGKGHEAEDLKTLIRHMEHWAHRLFPKLQFEDF IDRVENLGNKKEVQTCLKRIRLDLPIVHEDFVNNNDEVGEANGPDVSATGFDPFLTSSSD SRKFASEPTRSLTEEQQQRIERNKQLALERRQAKLLSNSQSLENDVTVEENSTGEDQEES NGLNIDTADGPHDVPFASTHEEEQCKAEETQLDHTNLD >ENSMUSP00000018765.2 pep:known chromosome:GRCm38:9:7558429:7568486:1 gene:ENSMUSG00000005800.2 transcript:ENSMUST00000018765.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mmp8 description:matrix metallopeptidase 8 [Source:MGI Symbol;Acc:MGI:1202395] MFRLKTLPLLIFLHTQLANAFPVPEHLEEKNIKTAENYLRKFYNLPSNQFRSSRNATMVA EKLKEMQRFFSLAETGKLDAATMGIMEMPRCGVPDSGDFLLTPGSPKWTHTNLTYRIINH TPQLSRAEVKTAIEKAFHVWSVASPLTFTEILQGEADINIAFVSRDHGDNSPFDGPNGIL AHAFQPGQGIGGDAHFDSEETWTQDSKNYNLFLVAAHEFGHSLGLSHSTDPGALMYPNYA YREPSTYSLPQDDINGIQTIYGPSDNPIQPTGPSTPKACDPHLRFDATTTLRGEIYFFKD KYFWRRHPQLRTVDLNFISLFWPFLPNGLQAAYEDFDRDLVFLFKGRQYWALSGYDLQQG YPRDISNYGFPRSVQAIDAAVSYNGKTYFFINNQCWRYDNQRRSMDPGYPKSIPSMFPGV NCRVDAVFLQDSFFLFFSGPQYFAFNFVSHRVTRVARSNLWLNCS >ENSMUSP00000041243.8 pep:known chromosome:GRCm38:18:61799395:61911824:-1 gene:ENSMUSG00000032735.14 transcript:ENSMUST00000049378.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim3 description:actin binding LIM protein family, member 3 [Source:MGI Symbol;Acc:MGI:2442582] MNTSIPYQQSPYSPRGGSNVIQCYRCGDTCKGEVVRVHNNHFHIRCFTCQVCGCGLAQSG FFFKNQEYICTQDYQQLYGTRCDSCRDFITGEVISALGRTYHPKCFVCSLCRKPFPIGDK VTFSGKECVCQTCSQSMTSSKPIKIRGPSHCAGCKEEIKHGQSLLALDKQWHVSCFKCQT CSVILTGEYISKDGVPYCESDYHSQFGIKCETCDRYISGRVLEAGGKHYHPTCARCVRCH QMFTEGEEMYLTGSEVWHPICKQAARAEKKLKHRRTSETSISPPGSSIGSPNRVICAKVD NEILNYKDLAALPKVKSIYEVQRPDLISYEPHSRYTSDEMLERCGYGESLGTLSPYSQDI YENLDLRQRRASSPGYIDSPTYSRQGMSPTFSRSPHYYRSGPESGRSSPYHSQLDVRSST PTSYQAPKHFHIPAGESNIYRKPPIYKRHGDLSTATKSKTSEDISQASKYSPAYSPDPYY ASESEYWTYHGSPKVPRARRFSSGGEEEDFDRSMHKLQSGIGRLILKEEMKARSSSYADP WTPPRSSTSSREALHTTGYEMSFNGSPRSHYLADSDPLISKSASLPAYRRNGLHRTPSAD LFHYDSMNAVNWGMREYKIYPYELLLVTTRGRNRLPKDVDRTRLERHLSQEEFYQVFGMT ISEFERLALWKRNELKKQARLF >ENSMUSP00000125836.1 pep:known chromosome:GRCm38:18:61799395:61911852:-1 gene:ENSMUSG00000032735.14 transcript:ENSMUST00000166783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ablim3 description:actin binding LIM protein family, member 3 [Source:MGI Symbol;Acc:MGI:2442582] MNTSIPYQQSPYSPRGGSNVIQCYRCGDTCKGEVVRVHNNHFHIRCFTCQVCGCGLAQSG FFFKNQEYICTQDYQQLYGTRCDSCRDFITGEVISALGRTYHPKCFVCSLCRKPFPIGDK VTFSGKECVCQTCSQSMTSSKPIKIRGPSHCAGCKEEIKHGQSLLALDKQWHVSCFKCQT CSVILTGEYISKDGVPYCESDYHSQFGIKCETCDRYISGRVLEAGGKHYHPTCARCVRCH QMFTEGEEMYLTGSEVWHPICKQAARAEKKLKHRRTSETSISPPGSSIGSPNRVICAKVD NEILNYKDLAALPKVKSIYEVQRPDLISYEPHSRYTSDEMLERCGYGESLGTLSPYSQDI YENLDLRQRRASSPGYIDSPTYSRQGMSPTFSRSPHYYRSGPESGRSSPYHSQLDVRSST PTSYQAPKHFHIPAGESNIYRKPPIYKRHGDLSTATKSKTSEDISQASKYSPAYSPDPYY ASESEYWTYHGSPKVPRARRFSSGGEEEDFDRSMHKLQSGIGRLILKEEMKARSSSYADP WTPPRSSTSSREALHTTGYEMSFNGSPRSHYLADSDPLISKSASLPAYRRNGLHRTPSAD LFHYDSMNAVNWGMREYKIYPYELLLVTTRGRNRLPKDVDRTRLERHLSQEEFYQVFGMT ISEFERLALWKRNELKKQARLF >ENSMUSP00000085973.5 pep:known chromosome:GRCm38:17:22689771:22689941:1 gene:ENSMUSG00000046088.8 transcript:ENSMUST00000055305.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9805 description:predicted gene 9805 [Source:MGI Symbol;Acc:MGI:3642566] EKPYKCSECEKFFTRKYHLTVHQRIHTVKKPFKYSECGMSFTKKYNLRSHSRFHTGE >ENSMUSP00000098265.2 pep:known chromosome:GRCm38:5:125389322:125390554:1 gene:ENSMUSG00000072612.2 transcript:ENSMUST00000100700.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10382 description:predicted gene 10382 [Source:MGI Symbol;Acc:MGI:3647829] KRTDERRANRPLGPAQYPRLSQQRLLRRATLIQSPPHSLRRPRLNRRAAASRAFFLPQPR PARQSPASSPSGFHLAAILPPSPLPRGSRGPRGPRKPNVWAAGLPLAPSRLQPPVPDRVR RLSPHQRWWRQSSHSRRNSQSPRN >ENSMUSP00000090190.5 pep:known chromosome:GRCm38:13:66119547:66122836:1 gene:ENSMUSG00000095909.1 transcript:ENSMUST00000092528.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10324 description:predicted gene 10324 [Source:MGI Symbol;Acc:MGI:3642406] MDAVTFDDVHVNFTKEEWNLMDPSQMNLYKDVMLETYWNLTSIGYKWEDHHIEESCQSSR RHTRHGRSHRWKKLYECNQCGKVFARPAHIQCHKRTHTGEKPYKCNQCGKAFSSHSCLRY HKRNHTGERPYECNQCGKAFSSHSNLRYHKRTHTGEKPYECNQCGKAFARPADLQYHKRT HTGEKPYECNQCGKAFSCHSGLRYHKRTHTGEKPYECNQCGKAFARPADLQYHKRTHTGE KPYECNQCGKAFSCHSGLRYHKRTHTGEKPYECNQCGKAFSCHSGLRYHKRTHTGEKPFE CNQCGKAFSSHSGLRYHKRTHTGEKPYECNQCGKSFATSRHLQCHKRTHTGEKPYECNQC GKAFATSSHLQCHKRTHTGEKPYECNQCGKAFSCHSGLRHHKRTHTGEKPYECNQCGKAF SRPAYLQHHKRTHTGEKPYECNQCGKAFAKPSHLQCHKRTHTGEKPFECNQCGKAFSCYN SLRYHKRTHTGEKLYECNQCGKAFATSSHLQCHKRTHTGEKPYECNQCGKAFATSSHLHC HKRTHTGEKPFECNQCGKAFARPSHLQIHKRTHTGEKPYECNQCGKAFATSSHLQCHKRT HTRE >ENSMUSP00000137808.1 pep:known chromosome:GRCm38:8:47713266:47715021:1 gene:ENSMUSG00000097706.1 transcript:ENSMUST00000180928.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E030037K01Rik description:RIKEN cDNA E030037K01 gene [Source:MGI Symbol;Acc:MGI:3704310] QLSTNNSICRAHTTRTPGNCEVGDSPGRPSCSPSEDPQCHTDSRASQAALPSVAGPRESA PRPAAGGVEQSPEGWLESDAPSTHRHPRKTWFAQAMEKETSCWSCRVRAPLSASAVSSVA GTRSPALRSRNSRRWRQCLSVSPSQRSVSTQAATRGFWLRYSDTSCAMLGTLRDGESASA RSPGGEAALCQRGLHRRPSRERPSRSPNPKQQNKAPTNRPLPPATASLPFITSPPPLPPR AHATFRRERVLPGCFAAPSRQRLARRSRRRRRGRGDFSGQRPSPPSAPRGGRWGVPPALC DAALRGAGRGGRWDKAGGARRPGGVRVAAPPLLRVPPSDARGRTRPK >ENSMUSP00000111157.2 pep:known chromosome:GRCm38:9:21062393:21067093:-1 gene:ENSMUSG00000079681.2 transcript:ENSMUST00000115494.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zglp1 description:zinc finger, GATA-like protein 1 [Source:MGI Symbol;Acc:MGI:3696042] MEAAQAGDLTRRQELLAPPCLDTESLRKSRPPALEPGALRCLTPNIRSLWPTCQDSVSTA LPFLQEKEKGLPGSPSPATQVLGSCWELMVIGMSDHLSMARNPRGTQCPNLEISSATSPA SLQRRPRKQLNPRMGIEKVDPRFKGVTLEFQIQPDSSLQIVPTYSLPGRSCSQKLPASPS KALASPGSSEALGPRRCASCRTQRTPLWRDAEDGTPLCNACGIRYKKYGTRCSSCWLVPR KSIQPKRLCGRCGMSQDPHLSPTQEL >ENSMUSP00000096205.2 pep:known chromosome:GRCm38:8:83165081:83166170:-1 gene:ENSMUSG00000074228.2 transcript:ENSMUST00000098605.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10645 description:predicted gene 10645 [Source:MGI Symbol;Acc:MGI:3704313] MVLVAAGSRTKRPAHWRALPGTRAPSRPWVRGRDGLQQAAARGSRPATKTQRAAGNDDGD RDPASSILPTAPRSPSAQPRSPALPTAGRASVGARSQGAAVFKGELVRESEPPGRRDHQL PSPRSPLVDTARRGNELEGRSRRWE >ENSMUSP00000135962.1 pep:known chromosome:GRCm38:10:77715807:77716169:1 gene:ENSMUSG00000094146.1 transcript:ENSMUST00000179767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10142 description:predicted gene 10142 [Source:MGI Symbol;Acc:MGI:3641725] MCHTSCSSGCQPSCCVSSPCQPSCCMSSSCQPSCCVSSSCQSACCRPAICIPVRYQVACC VPVSCRPTVCVAPSCQSSVCMPVSCRPVCVTSSCQSSGCCQPSCPTLVCRPVTCSNPSCC >ENSMUSP00000072263.3 pep:known chromosome:GRCm38:13:46175428:46191048:-1 gene:ENSMUSG00000062282.3 transcript:ENSMUST00000072437.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10113 description:predicted gene 10113 [Source:MGI Symbol;Acc:MGI:3642714] MSIETYKLMGVFHIQTTVVRSQPSSVVTIPHSVFLVPSASSSFSVSLCCLLDCIYPQFVF SLLSFLSRDEGRDAHTCMCTWVCRCTDCIRIDLLVLKSREKPICPLPQK >ENSMUSP00000055053.2 pep:known chromosome:GRCm38:9:39077698:39078816:-1 gene:ENSMUSG00000048501.2 transcript:ENSMUST00000056499.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr938 description:olfactory receptor 938 [Source:MGI Symbol;Acc:MGI:3030772] MEEINDTSVAEFILTGLTENPELQLPLFLIFLAVYLVTVVGNLGMIVLILISSQLHTPMY YLLRSLSFIDCCQSTVIIPKMLLNFVTEMNIISYPQCIAQFYFFCAFAVSECHMLAAMAY DRYVAISNPLLYNVTMSYQVCSLMVAVVYGIGLISATAHTVFLLRVLFCKSDIINHYFCD LFPLLELSCSSTYINEVLALSFSAFNIIVPALTILSSYIFIIVSVLHIQSTGGRVKAFRT CSSHIMAVAIFFGSTVFMYLQPSSVSSMDQGKVSSVFYTIVVPMLNPLIYSLRNKDVRVS LKKLLQKISFLKTKN >ENSMUSP00000136480.1 pep:known chromosome:GRCm38:10:77787490:77788200:-1 gene:ENSMUSG00000095721.1 transcript:ENSMUST00000180161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7137 description:predicted gene 7137 [Source:MGI Symbol;Acc:MGI:3779677] MAASTMSVCSDALTNSSWQVDDCPESCCEPCCCCQTSCCQPSCCQTSCCQPSCCQPSCCA PAPCLTLVCTPVSCVSSPCCQSSCCTPSCCQQSSCQPACCTCSPCQPSCCVTLCCKPVCC TPICSGPCCQQSSCQSSCCQSPCCVPICCKPVCCTPICSGSSSCCQPSCCAPVCCKPCSS LSLLCRPVCRPACCVPTSSCCASSCQPSCCGPTSSVSLLCRPACCRQACCGQKSSC >ENSMUSP00000001179.5 pep:known chromosome:GRCm38:10:76351254:76442912:-1 gene:ENSMUSG00000001151.9 transcript:ENSMUST00000001179.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnt description:pericentrin (kendrin) [Source:MGI Symbol;Acc:MGI:102722] MEDEQEQRRRKVEAGRAKLANFRQRKTKGDCPNSKKKTAKRKGSAVHASVQEEGSVATPN SELPQGGAVFESPSCSNTLEGTRGASAAQEQEDCELDVTDLQGQQQTQPPPPQTAHSLEL EALRLSLNNMHTAQLELTQANLQKEKETALTELREMLNGRRAQELALLQSRQQCELELLR EQHAREKEEMALRSGQEAAELKEKLRSEMEKNAQTIETLKQDWESERELCLENLRQELSL KHQSEMEGLQSQFQKELSEQKVELEKIFQAKHEAEVSLKNLEAQHQAAIKKLQEDLQSEH CQYLQDLEQKFREKEKAKELELETLQASYEDLKAQSQEEIRLLWSQLESMKTNREELNGS WDPVLAQASHLEELEHLRSGFAQQQQQERAQHESELEHLRVYFEKKLKDAEKTYQEDLTV FQQRLQEAREDSLESTEISSSCVLPEETSGREGKEPPDPLDLQLGQPKVQESLVEDCQVK LSKAEEKIQQMKEEFQKKEAEWELSREELKREAEERLASMFLELREKAESEKLSIISRFE HRESSMRHLQDQQAAQILDLERSLMEQQGHLRQLEQELTRDDLLPCSQCGQEPAMAQEEK NGALLREKEDCALQLLMAQNRFLEERKEIMEKFAKEQDAFLRDAQEKHNHELQLLQQGHQ QQLLALRMELETKHRSELTEQLASSESRRQALLETHVAELQVKHNAEISALEKRHLSNLD ELESCYVADVQTIRDEHQQALELLRAELEEQLQKKESCHREMLTQELENLKRQHAEELQS VRDSLRMEMSAQHIENGKGPAADLQGAHQQDPAMALHNEGHLLVEDGDAVLRSVDAEGLL HQAGPQELGDAHTVEMQKSQAELAKPQELQASQDQVAQVRDKVFLLNRELEECRAELEQL QQRRERENQEGTTLICMLRADLELAQGEGKALRDALRRLLDLFGDTLKAAVTLKSRISER AGLLLDHEDAADTSDARLAAAALGDMWSDEGLLEIDRTLPEGAETSSVCEISSHVCESFF ISPENTLDCEQPIRRVYQSLSTAVEGLLEMALDSSKQLEEARQLHRCVEREFRHRNEEMA QAMQKQQELLERLREESAAKDRLALELHTAKGLLEGFKVEKVDLQEALGKKEESEQQLIL ELEDLRKQLQQAARELLTLKEEKSVLWNQKETLTNEAKEREAALQEEVESLTRVQWESRK QSEKDRATLLSQMRVLESELEDQLVQHRGCAQLAEEVATLKQQLAALDKHLRSQRQFMDD QAAEREHEREEFQQEIQRLEGQLRQAARPRPPGPRDSQCVQLDEEVELLQEKLREKLDGF NELVIKKDFADQQLLIQEEEIKRLEETNASIQRQMVQLQEELEKQKKSMEELKEKEILKQ ENMGDLLLTTVSRSGLDEAGCPMLPQGSSSRGPEAQPDVTERALLQHENEVVHRRNSEID ELKSLIENLQENQRQLQKDKAEEIEQLHEVIEKLQSELSLMGPKVHEVSDPQAGSLHSEL ACLRGEGLGGQALRSELQAAQAAKEVFGQLLADQAHGHSQALEALQQRLQDAEEVAARHL AELEHCVALREAEVEAMASQIQEFAATLKAKEAIIEQRDLEIDAVNKWKVSHSLELEAIL LALAHFRHALEQQTCATPDEPPELRQLRVQCARLSHQLQVLYRPFLKCRMQLDQHQPHVA SIGCANPCADDELEQEGVSNRLALAPHSLAAQAKEELEDCPLGKANLMAQVRQLQEELDH RVHSVASRDTNSETCKLQQPNLSENGPRNHCCNGEESKPSPPDDVLNIAKTTWDVIDIIK NQDLLVQVEMPDFPTQEKLTSQGGPFSSQASGHSGSLLPEEAAEPQQDPVRALDLSSWSS PEVVRKDPSLEPQHSLPLTPGVGTVSLHSVDISPDWTDPLLQADVSGLLCYPGKSASGQA PLWAVAPSAGKHHAERTATEKDVEDFIVTSFDSQELLTSPSHELARRSDGSRKSDGPDIA MMLTLGSEGSETPTTDLVAAAAAAVPFSRRFVQSPGAMKEKEIHAKQMKALLQMVFDESH QILALSESQDPSSALNKGEPRDPLDGFPRDSQALSEVTTDKGEKESLETHLTWSEELLRA IQEVFAREQEKAELQPRPYGSNLGDYNSLVQRLEKVIQEQGDPQKVQDHLCLSDRSSLLA EIQALRAQLRMTHLQNQEKLQQLCAALTSTEARGSQREHQLRRQVELLAYKVEQEKCIAN ELQKTLSKEQETASDVRKRLVVEQNAVQDLKSELHACKQENTSLLESLDKVQQEVLRLRY GHVTWSYCGTSSASAGRAVLDGKEKELKVVLEELESERGKGQALQAQQEEQQLRYLQREG QSSRALEELKLSLEKQLAQNNQLCVALKHERAAKDNLQKELQIEASRCEALLAQEKGQLS ELQKSLEAERSRSLELSEALQHERLLTEQLSRNSQEACARQETQVQHALLRKLKAEKTRA LELEAMLEKVQKQAAHTQQQLEAQAQERCVELRREKERELEIQRQRDEHKIEQLQRLVRE LRWKEEVSGGNGPCRGSPGRGSLERDQFQEQQQELEKIRQQLLCAAGLLTSFTNHTVDRT IKDWTSSNEKAVSSLMRTLEELKSELSMPTSFQKKMTAELQVQLMNELLSDNDALTKAVG MATREKAELCRTVSRLEKTLKHHTQKGCVLNRQSKSSLKQDGTDLQSSLRHSDPEWHSQT TSGDTNTCNIKMEKLYLHYLRAESFRKALIYQKKYLLLLIGGFQDSEQETLSMIAHLGVF PSKADKKITMSRPFTKFRTAVRVVIAVLRLRFLVKKWQEVDRKGALVHPKSTRHGHRTSQ RQRSPSGPRASLPTRDTSSGPTKASRHSPRSAAAGSPGKERSTSTPSSRLERSLTASQDP EHSLTEYIHHLEMIQQRLGGLPPDSTQKSCHQKIKQ >ENSMUSP00000132477.1 pep:known chromosome:GRCm38:15:89429589:89430868:1 gene:ENSMUSG00000079242.2 transcript:ENSMUST00000168646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C730034F03Rik description:RIKEN cDNA C730034F03 gene [Source:MGI Symbol;Acc:MGI:2441921] MTWAPLGLTPAGAQRASGEVGSFRVGSVPLPGSRRVSGRPRLFATAFGFLGGSSPGTVSK PDAILRAAALKGKEGRQLSLSGARSGHARSAYQSLQKQVTAWTVSGTKEVT >ENSMUSP00000050119.3 pep:known chromosome:GRCm38:9:121898464:121911064:1 gene:ENSMUSG00000044534.7 transcript:ENSMUST00000050327.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ackr2 description:atypical chemokine receptor 2 [Source:MGI Symbol;Acc:MGI:1891697] MPTVASPLPLTTVGSENSSSIYDYDYLDDMTILVCRKDEVLSFGRVFLPVVYSLIFVLGL AGNLLLLVVLLHSAPRRRTMELYLLNLAVSNLLFVVTMPFWAISVAWHWVFGSFLCKVIS TLYSINFYCGIFFITCMSLDKYLEIVHAQPLHRPKAQFRNLLLIVMVWITSLAISVPEMV FVQIHQTLDGVWHCYADFGGHATIWKLYLRFQLNLLGFLLPLLAMIFFYSRIGCVLVRLR PPGQGRALRMAAALVIVFFMLWFPYNLTLFLHSLLDLHVFGNCEISHRLDYTLQVTESLA FSHCCFTPVLYAFCSHRFRRYLKAFLSVMLRWHQAPGTPSSNHSESSRVTAQEDVVSMND LGERQSEDSLNKGEMGNT >ENSMUSP00000129154.1 pep:known chromosome:GRCm38:7:140036391:140040605:1 gene:ENSMUSG00000025470.10 transcript:ENSMUST00000168194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp511 description:zinc finger protein 511 [Source:MGI Symbol;Acc:MGI:1917002] MLLPPALYSRLAGEPGAAEPLPVERNPAAGEAPFRFAPRAVRFPRDHEFFEDGDVQRHLY LQDMLTQVSETPEKSMVPEFTCQVAGCCQVFAAIEDYQHHYHMMHGNTCSFCNRAFPSGH LLDVHILEWHDSLFQILAQRQDMYQCLVESCPEKFKTSQDRKDHMVRLHLYPADFRFDKP KTNRGPAMPAAADAATRAPTDDSDAMEICSEPAAPPPCRRTYSHRIPSTVCFGQGAARGF KSTKKKNKHH >ENSMUSP00000096212.2 pep:known chromosome:GRCm38:9:66797039:66799074:1 gene:ENSMUSG00000074232.2 transcript:ENSMUST00000098612.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10647 description:predicted gene 10647 [Source:MGI Symbol;Acc:MGI:3642036] MLSMLSLRMSCSSHLSLNVVALMTVYWLSPDTKSCRRPIKHGSLSDPTKSQISALELILR PRTSSQASVCLSLCIPRFCLFCFVFVFGQAPSDYHRVIVKVTRVWALFDIPGSVIPALRL LCAG >ENSMUSP00000092576.1 pep:known chromosome:GRCm38:4:94556546:94557078:1 gene:ENSMUSG00000070900.1 transcript:ENSMUST00000094969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10306 description:predicted gene 10306 [Source:MGI Symbol;Acc:MGI:3704234] TRPAAGDPAAAAAPAPTRAPAQHGHQVRGCERRGCLLPAPLAPLFSGRLLPRHGPRAATI GDSRPSLQQQNPASVAAGAAVADLASLPVPARFTTRSFWRRGSDALCQLLRLSGV >ENSMUSP00000072829.5 pep:known chromosome:GRCm38:19:24875686:24876634:1 gene:ENSMUSG00000058927.5 transcript:ENSMUST00000073080.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10053 description:predicted gene 10053 [Source:MGI Symbol;Acc:MGI:3704493] MGDVEKGKKIFVQKCAQCHTVEKGGKHKTGPNLHGLFGRKTGQAAGFSYTDANKNKGITW GEDTLMEYLENPKKYIPGTKMIFAGIKKKGERADLIAYLKKATNE >ENSMUSP00000093758.3 pep:known chromosome:GRCm38:19:44931119:44983787:1 gene:ENSMUSG00000036097.7 transcript:ENSMUST00000096053.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam178a description:family with sequence similarity 178, member A [Source:MGI Symbol;Acc:MGI:1924968] MTRRCMPARPGFPSSPAPGSSPPRCHLRPGSTAPAAAGKRTESPGDRKQSIIDFFKPAAK QDKHMLDSPQKSNIKYRGNGLSITGTEQFERKLSSPKKLKPKRMSSEESPILEAFMKGGK EHHKDRGVHESRRPCMSLSKYLPKGAGIYAPSSYRLPKEIKAQKKKHQSPERRKSLFIHE SNREKNDRDRGKNSEDSRKQATATEGDIFKHSSRSISSRSSLSRHHPGESTLGARFQLSL ASYCREREQKKLRKEQMEQRINSENSFSEASNLSLKSSGVGKNCKPRHEHSKHTEAVPGK SNLSTLENGHLSRKRSSSDSWELSGSKQNKFSDKRKRNSVDSDLKSTKEPIIPKARESFL EKRPDTSHQREKFIRHIALKTPGGVLRLEDIAKEPEDETDRSSADSAPSNAGHHSSRNSD QVHSASTKETKIQKPHLPLPQEKSTIKRASNLQKNKPAGSVTSKETKLPLLSHVPSAVSS RVPLNAKNCTLPVPKKDKERSSSKERSGHSTESSKHKEHRAKTIKAVSNESSGKNSGGSL HSEYAPPTASPPAALEVVPSVPSPAAPSDKESSGNSNAGSNALKRKFRGDFDSDEESLGY TLESDEEEETLKSLEEIMALNFSRTPTTSGKPPAVSKGLRSQSSDYMEYAQSGTYTNTLE RLVKEMEDTQRLDELQKKLQEDIRQGRGIKSPLRTGDQDSTDDGDGLLEEHREFLKKFSV TVDAIPDHHPGEEIFNFLNSGKIFNQYTLDLRDSGFIGESAVEKLILKSGKTDQIFLTTQ GFLTTAYHYVQCPVPVLKWLFRMMSVHTDCIVSVQILSTLMEITIRNDTFSDSPVWPWIP SLSDIAAVFFNMGVGFGSLFPLETLQPDFNEENLISETQKTLGGKESEDSPYSPVFSALP ETNILNVVKFLGLCTSIHPEGYQDGELMLLILMLFKMSLEKELKQIPLVDFQSLLINLMK NIRDWNTKVHELCLGINELSSHPHNLLWLVQLVPNWTSRGRQLRQCLSLVMMSKLLDEKH EDIPNANNLQISVLHRYLVQMKPSDLLKKMVLKKRAEQPNETIDDSLHLELEKQAYYLTY ILLHLVGEVSCSHSLSSGQRKHFVLLCGALEKHVKCDIREDARLFYRTKVKDLVARIHGK WQEIIQNCRPTQGQLHDFWVPDS >ENSMUSP00000127976.2 pep:known chromosome:GRCm38:2:126215100:126216212:1 gene:ENSMUSG00000090353.2 transcript:ENSMUST00000164042.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17555 description:predicted gene, 17555 [Source:MGI Symbol;Acc:MGI:4937189] MPTLTTKIKGSNNYFSLISLNINGLNSPIKRHRLSDWLHKQEPAFCCLQETHLREKDRHY LRVKGWKIIFQANGLKKQAGVAILISNKINFQPKVIKKDKEGHFVLIKVKILQEELSILN IYAPNSRAATFIPDTLVKLKAHIAPHTIIVGDFNTPLSLMDRSLKQKLNRDTVKLTEVMK QMDLTDIYRTFYPKTKGYTFFSAPHGTFSKIDHIIGHKTGLNRYKNIEIVPCILSDHHGL RLIFNNNINNGKPTFTWKLNNTLLNDTLVKEGIKKEIKDFLEFNENEAKTYPNLWDTMKA FLRGKLIALSASKKKLERAHSSSLTTHLNALEKKEENSPKRSRWQEIIKLKGKINQVETR SIIQTVNQRRS >ENSMUSP00000025997.5 pep:known chromosome:GRCm38:19:53379214:53390573:-1 gene:ENSMUSG00000025024.6 transcript:ENSMUST00000025997.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smndc1 description:survival motor neuron domain containing 1 [Source:MGI Symbol;Acc:MGI:1923729] MSEDLAKQLASYKAQLQQVEAALSGNGENEDLLKLKKDLQEVIELTKDLLSTQPSETLAS SDSFASTQPTHSWKVGDKCMAVWSEDGQCYEAEIEEIDEENGTAAITFAVYGNAEVTPLL NLKPVEEGRKAKEDSGNKPMSKKEMIAQQREYKKKKALKKAQRIKELEQEREDQKVKWQQ FNNRAYSKNKKGQVKRSIFASPESVTGKVGVGTCGIADKPMTQYQDTSKYNVRHLMPQ >ENSMUSP00000100749.2 pep:known chromosome:GRCm38:X:33700649:33702349:-1 gene:ENSMUSG00000078324.2 transcript:ENSMUST00000105117.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2933 description:predicted gene 2933 [Source:MGI Symbol;Acc:MGI:3781111] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YVLALSSDLLVIQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQWCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000136072.1 pep:known chromosome:GRCm38:12:19387809:19390286:1 gene:ENSMUSG00000093908.1 transcript:ENSMUST00000179344.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5784 description:predicted gene 5784 [Source:MGI Symbol;Acc:MGI:3647969] TVAWWRAVPLDCARPRLGSFAEESVAAAPGAQRTELRAACAPAATGPAAVAPAPRGDFRS RASLCSSPRAAAGSEPAPWATEAAAVRAPAPWRCRTRSPCRNSWPRPLRTTRHPLPLASP PARPSAGTPRRPSRR >ENSMUSP00000131708.1 pep:known chromosome:GRCm38:14:47472561:47531962:1 gene:ENSMUSG00000037536.13 transcript:ENSMUST00000163324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo34 description:F-box protein 34 [Source:MGI Symbol;Acc:MGI:1926188] MHLKLYWKLQKKERPLEVSRDTLRTPMSHGKANGDVKARASYMKPTVLPSASLVKASSRK PFGILSPNVLCSMSGKSPVENSLNVKAKKNVLSAAVHQSEEGLPGTWAIVKPGNTKEKIA FFAAHQYSNRIGSMKIKSSWDIDGRATKRRKKSGDLKKAKLQLEMMREINSQCYQSEPFV CGVEHCSVHYMSDSGDGVCAARPLSVIQMVAFLEQKATALLASCTKNCTNSPAIVKISGQ SRGIPPAPEPFSAPETCEEPKEQENPETGRSQGEPVRVLDMVARLESECLKHQGQREPGS LSRNNSFRRNVGRVLLTNGSQASDKSEEGSADTADPQENPLQPVSVGEEPSVTEHHSVGE QAWDGTSQSCPSLPATVSFHMDSTDLEPGQQTAMKSCSRDDVEMVEEFDELPTDAVRRIR RELVTVTKHSPEQRQDPLCISITVCTVEKDRPAALDSLEEPLPGMLFFLSSGQDQQAHPQ LREHPAPEASEASQPQDAAEGSSAGEEKDASVEPLLPAASPGGSTSQVLEAATCKKQVSQ DFLETRFKIQQLLEPQQYMACLPHHIIVKIFRLLPTLSLAILKCTCRYFKSIIEYYNIRP ADSRWVRDPRYREDPCKQCKKKYVKGDVSLCRWHPKPYCQALPYGPGYWMCCHQSQKGFP GCKLGLHDNHWLPACHSFNRAIHKKTRGSETEEEY >ENSMUSP00000132271.1 pep:known chromosome:GRCm38:14:47472561:47531962:1 gene:ENSMUSG00000037536.13 transcript:ENSMUST00000168833.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo34 description:F-box protein 34 [Source:MGI Symbol;Acc:MGI:1926188] MHLKLYWKLQKKERPLEVSRDTLRTPMSHGKANGDVKARASYMKPTVLPSASLVKASSRK PFGILSPNVLCSMSGKSPVENSLNVKAKKNVLSAAVHQSEEGLPGTWAIVKPGNTKEKIA FFAAHQYSNRIGSMKIKSSWDIDGRATKRRKKSGDLKKAKLQLEMMREINSQCYQSEPFV CGVEHCSVHYMSDSGDGVCAARPLSVIQMVAFLEQKATALLASCTKNCTNSPAIVKISGQ SRGIPPAPEPFSAPETCEEPKEQENPETGRSQGEPVRVLDMVARLESECLKHQGQREPGS LSRNNSFRRNVGRVLLTNGSQASDKSEEGSADTADPQENPLQPVSVGEEPSVTEHHSVGE QAWDGTSQSCPSLPATVSFHMDSTDLEPGQQTAMKSCSRDDVEMVEEFDELPTDAVRRIR RELVTVTKHSPEQRQDPLCISITVCTVEKDRPAALDSLEEPLPGMLFFLSSGQDQQAHPQ LREHPAPEASEASQPQDAAEGSSAGEEKDASVEPLLPAASPGGSTSQVLEAATCKKQVSQ DFLETRFKIQQLLEPQQYMACLPHHIIVKIFRLLPTLSLAILKCTCRYFKSIIEYYNIRP ADSRWVRDPRYREDPCKQCKKKYVKGDVSLCRWHPKPYCQALPYGPGYWMCCHQSQKGFP GCKLGLHDNHWLPACHSFNRAIHKKTRGSETEEEY >ENSMUSP00000044675.7 pep:known chromosome:GRCm38:14:47472561:47531962:1 gene:ENSMUSG00000037536.13 transcript:ENSMUST00000043112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo34 description:F-box protein 34 [Source:MGI Symbol;Acc:MGI:1926188] MGFGASVGLRRSALSSWSASVSGSESGLPPPHRAAAAPGQALARQKHRASVMHLKLYWKL QKKERPLEVSRDTLRTPMSHGKANGDVKARASYMKPTVLPSASLVKASSRKPFGILSPNV LCSMSGKSPVENSLNVKAKKNVLSAAVHQSEEGLPGTWAIVKPGNTKEKIAFFAAHQYSN RIGSMKIKSSWDIDGRATKRRKKSGDLKKAKLQLEMMREINSQCYQSEPFVCGVEHCSVH YMSDSGDGVCAARPLSVIQMVAFLEQKATALLASCTKNCTNSPAIVKISGQSRGIPPAPE PFSAPETCEEPKEQENPETGRSQGEPVRVLDMVARLESECLKHQGQREPGSLSRNNSFRR NVGRVLLTNGSQASDKSEEGSADTADPQENPLQPVSVGEEPSVTEHHSVGEQAWDGTSQS CPSLPATVSFHMDSTDLEPGQQTAMKSCSRDDVEMVEEFDELPTDAVRRIRRELVTVTKH SPEQRQDPLCISITVCTVEKDRPAALDSLEEPLPGMLFFLSSGQDQQAHPQLREHPAPEA SEASQPQDAAEGSSAGEEKDASVEPLLPAASPGGSTSQVLEAATCKKQVSQDFLETRFKI QQLLEPQQYMACLPHHIIVKIFRLLPTLSLAILKCTCRYFKSIIEYYNIRPADSRWVRDP RYREDPCKQCKKKYVKGDVSLCRWHPKPYCQALPYGPGYWMCCHQSQKGFPGCKLGLHDN HWLPACHSFNRAIHKKTRGSETEEEY >ENSMUSP00000093634.2 pep:known chromosome:GRCm38:14:47472634:47531900:1 gene:ENSMUSG00000037536.13 transcript:ENSMUST00000095941.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo34 description:F-box protein 34 [Source:MGI Symbol;Acc:MGI:1926188] MHLKLYWKLQKKERPLEVSRDTLRTPMSHGKANGDVKARASYMKPTVLPSASLVKASSRK PFGILSPNVLCSMSGKSPVENSLNVKAKKNVLSAAVHQSEEGLPGTWAIVKPGNTKEKIA FFAAHQYSNRIGSMKIKSSWDIDGRATKRRKKSGDLKKAKLQLEMMREINSQCYQSEPFV CGVEHCSVHYMSDSGDGVCAARPLSVIQMVAFLEQKATALLASCTKNCTNSPAIVKISGQ SRGIPPAPEPFSAPETCEEPKEQENPETGRSQGEPVRVLDMVARLESECLKHQGQREPGS LSRNNSFRRNVGRVLLTNGSQASDKSEEGSADTADPQENPLQPVSVGEEPSVTEHHSVGE QAWDGTSQSCPSLPATVSFHMDSTDLEPGQQTAMKSCSRDDVEMVEEFDELPTDAVRRIR RELVTVTKHSPEQRQDPLCISITVCTVEKDRPAALDSLEEPLPGMLFFLSSGQDQQAHPQ LREHPAPEASEASQPQDAAEGSSAGEEKDASVEPLLPAASPGGSTSQVLEAATCKKQVSQ DFLETRFKIQQLLEPQQYMACLPHHIIVKIFRLLPTLSLAILKCTCRYFKSIIEYYNIRP ADSRWVRDPRYREDPCKQCKKKYVKGDVSLCRWHPKPYCQALPYGPGYWMCCHQSQKGFP GCKLGLHDNHWLPACHSFNRAIHKKTRGSETEEEY >ENSMUSP00000130036.1 pep:known chromosome:GRCm38:14:47507352:47531955:1 gene:ENSMUSG00000037536.13 transcript:ENSMUST00000165714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo34 description:F-box protein 34 [Source:MGI Symbol;Acc:MGI:1926188] MHLKLYWKLQKKERPLEVSRDTLRTPMSHGKANGDVKARASYMKPTVLPSASLVKASSRK PFGILSPNVLCSMSGKSPVENSLNVKAKKNVLSAAVHQSEEGLPGTWAIVKPGNTKEKIA FFAAHQYSNRIGSMKIKSSWDIDGRATKRRKKSGDLKKAKLQLEMMREINSQCYQSEPFV CGVEHCSVHYMSDSGDGVCAARPLSVIQMVAFLEQKATALLASCTKNCTNSPAIVKISGQ SRGIPPAPEPFSAPETCEEPKEQENPETGRSQGEPVRVLDMVARLESECLKHQGQREPGS LSRNNSFRRNVGRVLLTNGSQASDKSEEGSADTADPQENPLQPVSVGEEPSVTEHHSVGE QAWDGTSQSCPSLPATVSFHMDSTDLEPGQQTAMKSCSRDDVEMVEEFDELPTDAVRRIR RELVTVTKHSPEQRQDPLCISITVCTVEKDRPAALDSLEEPLPGMLFFLSSGQDQQAHPQ LREHPAPEASEASQPQDAAEGSSAGEEKDASVEPLLPAASPGGSTSQVLEAATCKKQVSQ DFLETRFKIQQLLEPQQYMACLPHHIIVKIFRLLPTLSLAILKCTCRYFKSIIEYYNIRP ADSRWVRDPRYREDPCKQCKKKYVKGDVSLCRWHPKPYCQALPYGPGYWMCCHQSQKGFP GCKLGLHDNHWLPACHSFNRAIHKKTRGSETEEEY >ENSMUSP00000092843.3 pep:known chromosome:GRCm38:17:57838427:57839233:-1 gene:ENSMUSG00000071052.3 transcript:ENSMUST00000095218.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl7a-ps5 description:ribosomal protein L7A, pseudogene 5 [Source:MGI Symbol;Acc:MGI:3643553] QPSKMPKGKKAKGKKVALAPAVVKKQEAKKVVNPLFEKRPKNFGIGQDIQPKRDLTRFVK WPRYIRLQRQRAILYKWLKVPPAINQFTQALDRQTATQLLKLAHKYRPETKQEKKQRLLA RAEKKAAGKGDVPTKRPPVLRAGVNTVTTLVENKKAQLVVITHDVDPIELVVFLPALCRK MPYCIIKGKARLGHLVHRKTCTTVAFTQVNSEDKGALAKLVEAIRTNYNDRYDEIRRHWG GNVLGPKSVARIAKLEKAKAKELATKLG >ENSMUSP00000058311.2 pep:known chromosome:GRCm38:12:34873730:34874828:-1 gene:ENSMUSG00000052376.2 transcript:ENSMUST00000061679.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4921508M14Rik description:RIKEN cDNA 4921508M14 gene [Source:MGI Symbol;Acc:MGI:1918094] MAARGSEFRSKHGHYPTATITSEKLNGFFFYVLTVFCLLGTGLCVLLHHLMWQQNNLSGS YLLAWQWTGCPGLFPVVRILSLYDASPEPDLGICVPRSFFRFPLTRSLRGMFRYPNLTNK KCQIRESSVTSQFFLLYCFQDFEADK >ENSMUSP00000130496.1 pep:known chromosome:GRCm38:13:96924689:96950912:1 gene:ENSMUSG00000091387.1 transcript:ENSMUST00000171324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcnt4 description:glucosaminyl (N-acetyl) transferase 4, core 2 (beta-1,6-N-acetylglucosaminyltransferase) [Source:MGI Symbol;Acc:MGI:2684919] MKIFRCCFKYTLQQKLFILLLTLWLFSLLKLLNVGRLLFPQRDIYLVEYSLSTSPFVRNR FPESGDAARDNVNCSGVYEHEPLEIGKSLEIRRRSIIDLEDGDVVAMTSDCDVYQTLRQY HEKLVSREEEDFPIAYSLVVHKDAIMVERLIRAIYNQHNLYCIHYDLKSPDTFKAAMNNL AKCFPNIFIASKLETVEYAHISRLQADWNCLSDLLKSSVQWKYVINLCGQDFPLKSNFEL VTELKSLQGRNMLETVRPPSAKTERFTYHHELRQVPYDYMKLPVKTNVSKGAPPHNIQVF VGSAYFVLSRAFVKYIFNSSLVEDFFAWSKDTYSPDEHFWATLIRIPGIPGGISSSSQDV SDLQSKTRLVKWFYYEGFLYPNCTGSHLRSVCIYGAAELRWLLNEGHWFANKFDSKVDPI LMKCLAEKLEEQQRKLIALSSEKFMTEGTRQSHTL >ENSMUSP00000136708.1 pep:known chromosome:GRCm38:12:119260934:119264272:1 gene:ENSMUSG00000021908.9 transcript:ENSMUST00000022467.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6768 description:predicted gene 6768 [Source:MGI Symbol;Acc:MGI:3648259] MNTSLEQSGCYSNRETLLRCSDARRELELAIGGVLRAEQQIKDNLREVKAQIHSCISRHL ECLRSREVWLNEQVDLIYQLKEETLQQQAQQLYWLMGQFNCLIHQLEYTQNKDLANQVSV CLERLGSLALKPEDSTVLLFEADTSALRQTITTFGSLKTIQIPEHLMAHASSSSIGPFLE KRGYIQVPEQKSASSGTAVSLSEWLLVSKPAIGLQAPYVPSTNPQDWLIPKQTSENSQTS ARACSFFSDAWGNLKGLENWLLNSHQQEIAGKPSSSKCNSHCSTSSFSPEAEKAEDVELL DQDELDLSDWLVTPQEPCELEKPVDGSWETSEKFKLLFQVFREPYNVSDWLVKPDSCTNC QGNQPRGVEIENLGNLKCLNDHLEAKKSVSVPGATISDGWLAQNHQDTWKVEEVCKANEP CTSFAECVCDDNCEKEAVYKWLLKKGGKDKNGMPMEPKSEPEKHRESLTLWLCPSRNELT EQAKAPKAMAPARIADSFHVIKNSSLSEWLIGPTCKGGPKDVPNTEERAGKEMLQSSMAT SWCPFNTADWVLPGKKVGSLSQFPSGEDKWLLRKKAQEAFLNSPLQEERNFRPDCYGLPA VCDLFACMQLKVDKEKWLYRTPLQM >ENSMUSP00000079205.1 pep:known chromosome:GRCm38:9:39461433:39462377:1 gene:ENSMUSG00000094745.1 transcript:ENSMUST00000080329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr954 description:olfactory receptor 954 [Source:MGI Symbol;Acc:MGI:3030788] MEDMPAGNHCTVTVFFLAGLSEQSELQLPLFLFFTGIYLITVSGNLGMIILIGLSSNLHT PMYYFLSSLSFIDFGQSTVVTPKMLVSFLTEKNLITYPECLAQLYFAIIFGTAESYTLAA MAYDRYVAICNPLVYNIAMSSQIYCSLISGVYIFAVFCASVNMGFMFRIQFCKSDVINHY FCDFLPLLKLACSNTYVSEMLILFFGTLNIFVPMLTIITSYISIISSILRISSSEGRSKA FSTCSSHISAVAVFYGSTAFVYLQPSRVSSIDQGKVSSVFYTTVVPMLNPLIYSLRNKDV SVAMKKILERKRFM >ENSMUSP00000069359.3 pep:known chromosome:GRCm38:8:67523854:67548627:1 gene:ENSMUSG00000056426.3 transcript:ENSMUST00000070514.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat3 description:N-acetyltransferase 3 [Source:MGI Symbol;Acc:MGI:102537] MDIEAYFERIGYQKSSNKLDLQTLTEILQHQIRAIPFENLNIHCGKTMELSLEDTFHQIV RKKRGGWCLQVNHLLYWALAMIGFETTMLGGCVYVPSACKYSNTMIHLLLQVTISGKTYI VDSAFPFSCQLWEPLELTSGKDQPQVPAIFHLREENGTWYLEQTKRQEYVSNQEFIDSNF LEKNTHRKIYSFTLEPRTIEDFWSISTYYQVSRTSVMTNTSLCSLHTKDGVHGLMGTILA YKKFNYKDNIDLVEFKTLKEEEIEEVLKSVFGIHLETKLVPKCGNVFFTI >ENSMUSP00000082867.1 pep:known chromosome:GRCm38:12:12911986:12912369:1 gene:ENSMUSG00000066629.1 transcript:ENSMUST00000085720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl36-ps3 description:ribosomal protein L36, pseudogene 3 [Source:MGI Symbol;Acc:MGI:3642480] MALRYPMAVGLNKGHKVTKNVSKPRHSRRRGRLTKHTKFVWDMIREVCGFAPYERRAMEL LKVSKDKRALKFIKKKVGTHIRAKRKREELSNVLAAMRKAAAKKD >ENSMUSP00000133083.2 pep:known chromosome:GRCm38:9:39954257:39957069:-1 gene:ENSMUSG00000047352.5 transcript:ENSMUST00000169307.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr976 description:olfactory receptor 976 [Source:MGI Symbol;Acc:MGI:3030810] MPLLLFSRAACAMSMRNHTSVTEFILLGISNTEGLESMLFALFLVFYVFALLGNLLIFLT ILASPNLHTPMYFFLGNLAVFDIFFPSVNSPKMMDYLVRQGRTISYQGCASQIFFYHTLG CTECFLYTVMAYDRFVAICYPMRYTVIMNPRVCTCFTVGTWLGGFVHGSILTFLIFKLPY CGPNEVDSFFCDIPVVLSLACADTSLAQTVSFTNVGVVALTCFLLVLTSYTRIVISILKI RSSEGRRRAFSTCSAHFTSILLFYGPVILVYLRPASSPWLDSVVQVFNNVVTPSLNPLIY SLRNKEVKLALRKMLSQAMQPLGYKE >ENSMUSP00000071728.5 pep:known chromosome:GRCm38:12:36090379:36091829:1 gene:ENSMUSG00000059301.5 transcript:ENSMUST00000071825.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5434 description:predicted gene 5434 [Source:MGI Symbol;Acc:MGI:3646221] MLTLASKLKWDEDLKGSQTSVSTSDSTRRFSMRDKLLVTEVAELEANLPWTCKVHFPDPN KLHCFQLTVSPDEGYYQGGNFQFEIEVPDAYNMVPPKVKCLTKIWHPNITETGEICLSLL REHSADGTGWAPTRTLKDVVWGLNSLFTDLLNFYDPLNIEAAEHHLQDKEDFRDKVDEYI RRYAR >ENSMUSP00000070339.7 pep:known chromosome:GRCm38:13:93430097:93499808:-1 gene:ENSMUSG00000021690.9 transcript:ENSMUST00000065537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmy description:junction-mediating and regulatory protein [Source:MGI Symbol;Acc:MGI:1913096] MSFALEETLESDWVAVRPHVFDEREKHKFVFIVAWNEIEGKFAITCHNRTAQRQRSGSRE QAGTPASDGSRGPGSPAARGRSEAAASATAALRSPGPRKSQAWAEGGSPRSARSLKGDPP RGPAGRGPESPLRSPARAKASPLRRSAESRDATASATPAPPAPPVPPVSSVRVVSASGAV SEEIEVLEMVREDEAPQPLPDSEQPPSAAELESPAEECSWAGLFSFQDLRAVHQQLCSVN SQLEPCLPVFPEEPSGMWTVLFGGAPEMTEQEIDALCYQLQVYLGHGLDTCGWKILSQVL FTETDDPEEYYESLSELRQKGYEEVLQRARRRIQELLDKHKTIESMVELLDLYQMEDEAY SSLAEATTELYQYLLQPFRDMRELAMLRRQQIKISMENDYLGPRRIESLQKEDADWQRKA HMAVLSIQDLTVKYFEITAKAQKAVYDRMRADQKKFGKASWAAAAERMEKLQYAVSKETL QMMRAKEICLEQKKHALKEEMQSLQGGTEAIARLDQLESDYYDLQLQLYEVQFEILKCEE LLLTAQLESIKRLISEKRDEVVYYDTYESMEAMLEKEEMAASVHAQREELQKLQQKARQL EARRGRVSAKKAYLRNKKEICIAKHHEKFQQRFQSEDEYRAHHTIQIKRDKLHDEEERKS AWVSQERQRTLDRLRTFKQRYPGQVILKSTRLRVAHSRRKSTASPVPCEEQCHSLPTVLQ GQEKTEVGGGGSQLGPSQTAEPQSLVQLEDTSSEQLESTSLPPRAVVSSELPPPQSAPLL TSIDPKPCSVTIDPLPPPLPPTPPPPPPPPPPPPPPLPVAKDNGASTTAETLEKDALRTE GNERSIPKSASAPAAHLFDSSQLVSARKKLRKTVEGLQRRRVSSPMDEVLASLKRGSFHL KKVEQRTLPPFPDEDDSNNILAQIRKGVKLKKVQKEVLRESFTLLPDTDPLTRSIHEALR RIKEASPESEDEEEALPCTDWEN >ENSMUSP00000040380.7 pep:known chromosome:GRCm38:9:48495345:48495964:1 gene:ENSMUSG00000042293.7 transcript:ENSMUST00000048824.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5617 description:predicted gene 5617 [Source:MGI Symbol;Acc:MGI:3643566] MAQNSVSLSAGDQANRMAHRSSQGDLNPSAMAWAMVSGDSFLVTRLDPNQPGPRPPARPS VRADRRRVPVGGRSRSRSRQGRFSPYPIPGVKLDLLRSVLQQRLVALGTALATRISA >ENSMUSP00000137640.1 pep:known chromosome:GRCm38:17:39038285:39040117:1 gene:ENSMUSG00000095625.1 transcript:ENSMUST00000180245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esp24 description:exocrine gland secreted peptide 24 [Source:MGI Symbol;Acc:MGI:5439398] MASFPVMSFLISLLLPSMLTEGMVLKQTQKESTISADHVILLISCQHTWEQTPWGLHSCQ FDFRVDRG >ENSMUSP00000042578.7 pep:known chromosome:GRCm38:13:95431371:95444831:-1 gene:ENSMUSG00000021680.7 transcript:ENSMUST00000045583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crhbp description:corticotropin releasing hormone binding protein [Source:MGI Symbol;Acc:MGI:88497] MSPNFKLQCHFILILLTALRGESRYLEVQEAAVYDPLLLFSANLKRDLAEEQPYRRALRC LDMLSLPGQFTFTADRPQLHCAAFFIGEPEEFITIHYDLVSIDCQGGDFLKVFDGWILKG EKFPSSQDHPLPTMKRYTDFCESGLTRRSIRSSQNVAMVFFRVHEPGNGFTITIKTDPNL FPCNVISQTPSGRFTLVVPYQHQNCSFSIIYPVAIKISDLTLGHLHGLQLKKPAAGCGGT GDFVELLGGTGLDPSKMMPLADLCYPFLGPAQMKISCDNAVVRMVSSGKHINRVTFEYRQ LEPFELETSTGNSIPEYCLSSL >ENSMUSP00000132499.1 pep:known chromosome:GRCm38:15:98812798:98831508:-1 gene:ENSMUSG00000067713.6 transcript:ENSMUST00000168846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkag1 description:protein kinase, AMP-activated, gamma 1 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:108411] MESVAAESSPALENEHFQETPESNNSVYTSFMKSHRCYDLIPTSSKLVVFDTSLQVKKAF FALVTNGVRAAPLWDSKKQSFVGMLTITDFINILHRYYKSALVQIYELEEHKIETWREVY LQDSFKPLVCISPNASLFDAVSSLIRNKIHRLPVIDPESGNTLYILTHKRILKFLKLFIT EFPKPEFMSKSLQELQIGTYANIAMVRTTTPVYVALGIFVQHRVSALPVVDEKGRVVDIY SKFDVINLAAEKTYNNLDVSVTKALQHRSHYFEGVLKCYLHETLETIINRLVEAEVHRLV VVDEHDVVKGIVSLSDILQALVLTGGEKKP >ENSMUSP00000100724.2 pep:known chromosome:GRCm38:13:107724618:107890064:-1 gene:ENSMUSG00000032846.9 transcript:ENSMUST00000105097.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zswim6 description:zinc finger SWIM-type containing 6 [Source:MGI Symbol;Acc:MGI:1914513] MAERGQQPPPAKRLCCRPGGGGGGGGGGGGSSGGGAGGGYSSACRPGPRAGGAAAAAACG GGAALGLLPPGKTQSPESLLDIAARRVAEKWPFQRVEERFERIPEPVQRRIVYWSFPRSE REICMYSSFNTGGGSAGGPGDDSGGGGGRQHGRGAAAGGSSSSPAATSAAAAAVAAGTGT PSVGAASAADGGDETRLPFRRGIALLESGCVDNVLQVGFHLSGTVTEPAIQPEPETVCNV AISFDRCKITSVTCSCGNKDIFYCAHVVALSLYRIRKPEQVKLHLPISETLFQMNRDQLQ KFVQYLITVHHTEVLPTAQKLADEILSQNSEINQVHGAPDPTAGASIDDENCWHLDEEQV QEQVKVFLSQGGYHGSGKQLNMLFAKVREMLKMRDSNGARMLTLITEQFMADPRLSLWRQ QGTAMTDKYRQLWDELGALWMCIVLNPHCKLEQKASWLKQLKKWNSVDVCPWEDGNHGSE LPNLTNALPQGANANQDSSNRPHRTVFTRAIEACDLHWQDSHLQHIISSDLYTNYCYHDD TENSLFDSRGWPLWHEHVPTACARVDALRSHGYPREALRLAIAIVNTLRRQQQKQLEMFR TQKKELPHKSITSITNLEGWVGHPLDPVGTLFSSLMEACHTDGDAFSGFSDCTDNMGQCK SLEYHHLPAHKFLEEGESYVTLAVEVALIGLGQQRIMPDGLYTQEKVCRNEEQLISKLQE IELDDTLVKIFRKQAVFLLEAGPYSGLGEIIHRESVPMHTFAKYLFTSLLPHDAELAYKI ALRAMRLLVLESTAPTGDLSRPHHIASVVPNRYPRWFTLSHIESQQCELASTMLTAAKGD VRRLETVLESIQKNIHSSSHIFKLAQDAFKIATLMDSLPDITLLKVSLELGLQVMRMTLS TLNWRRREMVRWLVTCATEVGVYALDSIMQSWFTLFTPTEATSIVATTVMSNSTIVRLHL DCHQQEKLASSARTLALQCAMKDPQNCALSALTLCEKDHIAFETAYQIVLDAATTGMSYT QLFTIARYMEHRGYPMRAYKLATLAMTHLNLSYNQDTHPAINDVLWACALSHSLGKNELA AIIPLVVKSVKCATVLSDILRRCTLTTPGMVGLHGRRNSGKLMSLDKAPLRQLLDATIGA YINTTHSRLTHISPRHYSEFIEFLSKARETFLMAHDGHIQFTQFIDNLKQIYKGKKKLMM LVRERFG >ENSMUSP00000127526.1 pep:known chromosome:GRCm38:9:54586511:54604661:1 gene:ENSMUSG00000032279.10 transcript:ENSMUST00000167866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Idh3a description:isocitrate dehydrogenase 3 (NAD+) alpha [Source:MGI Symbol;Acc:MGI:1915084] MAGSAWVSKVSRLLGAFHNTKQVTRGFAGGVQTVTLIPGDGIGPEISASVMKIFDAAKAP IQWEERNVTAIQGPGGKWMIPPEAKESMDKNKMGLKGPLKTPIAAGHPSMNLLLRKTFDL YANVRPCVSIEGYKTPYTDVNIVTIRENTEGEYSGIEHVIVDGVVQSIKLITEEASKRIA EFAFEYARNNHRSNVTAVHKANIMRMSDGLFLQKCREVAENCKDIKFNEMYLDTVCLNMV QDPSQFDVLVMPNLYGDILSDLCAGLIGGLGVTPSGNIGANGVAIFESVHGTAPDIAGKD MANPTALLLSAVMMLRHMGLFDHAAKIEAACFATIKDGKSLTKDLGGNAKCSDFTEEICR RVKDLD >ENSMUSP00000008573.7 pep:known chromosome:GRCm38:9:25108130:25151781:-1 gene:ENSMUSG00000008429.7 transcript:ENSMUST00000008573.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Herpud2 description:HERPUD family member 2 [Source:MGI Symbol;Acc:MGI:1915393] MDQSGMEIPVTLIIKAPNQKYSDQTISCFLNWTVGKLKTHLSNVYPSKPLTKDQRLVYSG RLLPDHLQLKDILRKQDEYHMVHLVCASRSPPSSPKSSTDRGSHEALASSTSSNSDHSDS TTPSPSQESLSLVTGSSEGLRQRTLSQAQTDPAQSHQFPYVIQGNVDHQFPGQGVPPAFP VYPALSPLQMLWWQQMYAHQYYMQYQAAVSAQATSSAGSAQRAASSPLNLAHVPGEEPPP APNLVAQENGPMNENVQMNAQGGPVLNEEDLNRDWLDWVYTFSRAAVLLSIVYFYSSFSR FIMVMGAMLLVYLHQAGWFPFRQEGGQQQAPNNVDANNDGHNANNLELEEMERLMDDGLE DESGEDAGEDASAAQRPGLMASAWSFITTFFTSLIPEGPPQVAN >ENSMUSP00000059533.6 pep:known chromosome:GRCm38:10:81321103:81326251:1 gene:ENSMUSG00000034889.7 transcript:ENSMUST00000050867.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cactin description:cactin, spliceosome C complex subunit [Source:MGI Symbol;Acc:MGI:1917562] MRGAGRQMGRDSRSRSRSVGRRGRKQRSRSRGRSRSRSRSRSRSRSRSRSRSRSHGRSSR RRREHERRRERKRRSRGRRSDSEGEQRQKSRRRSQSLRPPRWHSQNQSSCSDSGEERAQG SWARKGHRRSWSPGSSASSLDSPRRSRSPGTATLALSQQQSLQERLRLREERKQQEELLK AFETPEEKRARRLAKKEAKERKKREKMGWGEEYMGYTNTDNPFGDNNLLGTFIWNKALEK KGISHLEEKELKERNKRIQEDNRLELQKVKQLRLEREREKAMREQELELLQREKEAEHFK TWEEQEDSFHLRQAKLRSKIRIRDGRAKPIDLLAKYISAEDDDLAVEMHEPYTFLNGLTV ADMEDLLEDIQVYMELEQGKNVDFWRDMTTITEDEIAKLRKLEASGKGPGERREGVNASV SSDVQSVFKGKTYNQLQVIFQGIEGKIRAGGPNLDMGYWESLLQQLRAHMARARLRERHQ DVLRQKLFKLKQEQGVESEPLFPILKSEPSAAHSPEPEERPPSPGTSVDPVEPVEPEEAT APGEAEGEAEGEAVLMEEDLIQQSLADYDAGRYSPRLLTAHELPLDAHVLEPHEDLQRLQ LSRQQLQATGDASESAEDIFFRRAREGMGQDEAQFSVEMPLGGRAYLWADKYRPRKPRFF NRVHTGFEWNKYNQTHYDFDNPPPKIVQGYKFNIFYPDLIRKRATPEYFLEACADNRDFA ILRFHAGPPYEDIAFKIVSREWEYSHRHGFRCQFANGIFQLWFHFKRYRYRR >ENSMUSP00000130530.1 pep:known chromosome:GRCm38:14:55769058:55784042:-1 gene:ENSMUSG00000022220.13 transcript:ENSMUST00000170223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy4 description:adenylate cyclase 4 [Source:MGI Symbol;Acc:MGI:99674] MARLFSPRPPPSEDLFYETYYSLSQQYPLLILLLVIVLCALVALPAVAWASGRELTSDPS FLTTVLCALGGFSLLLGLASREQQLQRWTRPLSGLIWVALLALGYGFLFTGGVVSAWDQV SFFLFIIFTVYAMLPLGMRDAAAAGVISSLSHLLVLGLYLGWQPESQRALLPQLAANAVL FLCGNVVGAYHKALMERALRATFREALSSLHSRRRLDTEKKHQEHLLLSILPAYLAREMK AEIMARLQAGQRSRPENTNNFHSLYVKRHQGVSVLYADIVGFTRLASECSPKELVLMLNE LFGKFDQIAKEHECMRIKILGDCYYCVSGLPLSLPDHAINCVRMGLDMCRAIRKLRVATG VDINMRVGVHSGSVLCGVIGLQKWQYDVWSHDVTLANHMEAGGVPGRVHITGATLALLAG AYAVERADTEHRDPYLRELGEPTYLVIDPRAEEEDEKGTAKGLLSSLEGHTMRPSLLMTR YLESWGAAKPFAHLSHLDSPVSTSTPLPEKAFSPQWSLDRSRTPRGLDDELDTGDAKFFQ VIEQLNSQKQWKQSKDFNLLTLYFREKEMEKQYRLSALPAFKYYAACTFLVFLSNFTIQM LVTTRPPALIITYSITFLLFFLLLFVCFSEHLTKCVQKGPKMLHWLPALSVLVATRPGFR VALGTATILLVFTMAIASLLFLPVSSDCLFLASNVSSVTFNASWEMPGSLPLISIPLISI PYSMHCCVLGFLSCSLFLHMSFELKLLLLLLWLVASCSLFLHSHAWLSDCLIARLYQSPS DSRPGVLKEPKLMGAIYFFIFFFTLLVLARQNEYYCRLDFLWKKKLRQEREETETMENLT RLLLENVLPAHVAPQFIGQNRRNEDLYHQSYECVCVLFASVPDFKEFYSESNINHEGLEC LRLLNEIIADFDELLSKPKFSGVEKIKTIGSTYMAATGLNATSGQDTQQDSERSCSHLGT MVEFAVALGSKLGVINKHSFNNFRLRVGLNHGPVVAGVIGAQKPQYDIWGNTVNVASRME STGVLGKIQVTEETARALQSLGYTCYSRGSIKVKGKGELCTYFLNTDLTRTGSPSAS >ENSMUSP00000002398.7 pep:known chromosome:GRCm38:14:55769093:55784019:-1 gene:ENSMUSG00000022220.13 transcript:ENSMUST00000002398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy4 description:adenylate cyclase 4 [Source:MGI Symbol;Acc:MGI:99674] MARLFSPRPPPSEDLFYETYYSLSQQYPLLILLLVIVLCALVALPAVAWASGRELTSDPS FLTTVLCALGGFSLLLGLASREQQLQRWTRPLSGLIWVALLALGYGFLFTGGVVSAWDQV SFFLFIIFTVYAMLPLGMRDAAAAGVISSLSHLLVLGLYLGWQPESQRALLPQLAANAVL FLCGNVVGAYHKALMERALRATFREALSSLHSRRRLDTEKKHQEHLLLSILPAYLAREMK AEIMARLQAGQRSRPENTNNFHSLYVKRHQGVSVLYADIVGFTRLASECSPKELVLMLNE LFGKFDQIAKEHECMRIKILGDCYYCVSGLPLSLPDHAINCVRMGLDMCRAIRKLRVATG VDINMRVGVHSGSVLCGVIGLQKWQYDVWSHDVTLANHMEAGGVPGRVHITGATLALLAG AYAVERADTEHRDPYLRELGEPTYLVIDPRAEEEDEKGTAKGLLSSLEGHTMRPSLLMTR YLESWGAAKPFAHLSHLDSPVSTSTPLPEKAFSPQWSLDRSRTPRGLDDELDTGDAKFFQ VIEQLNSQKQWKQSKDFNLLTLYFREKEMEKQYRLSALPAFKYYAACTFLVFLSNFTIQM LVTTRPPALIITYSITFLLFFLLLFVCFSEHLTKCVQKGPKMLHWLPALSVLVATRPGFR VALGTATILLVFTMAIASLLFLPVSSDCLFLASNVSSVTFNASWEMPGSLPLISIPLISI PYSMHCCVLGFLSCSLFLHMSFELKLLLLLLWLVASCSLFLHSHAWLSDCLIARLYQSPS DSRPGVLKEPKLMGAIYFFIFFFTLLVLARQNEYYCRLDFLWKKKLRQEREETETMENLT RLLLENVLPAHVAPQFIGQNRRNEDLYHQSYECVCVLFASVPDFKEFYSESNINHEGLEC LRLLNEIIADFDELLSKPKFSGVEKIKTIGSTYMAATGLNATSGQDTQQDSERSCSHLGT MVEFAVALGSKLGVINKHSFNNFRLRVGLNHGPVVAGVIGAQKPQYDIWGNTVNVASRME STGVLGKIQVTEETARALQSLGYTCYSRGSIKVKGKGELCTYFLNTDLTRTGSPSAS >ENSMUSP00000129564.1 pep:known chromosome:GRCm38:9:44398176:44402963:1 gene:ENSMUSG00000032114.8 transcript:ENSMUST00000165839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc37a4 description:solute carrier family 37 (glucose-6-phosphate transporter), member 4 [Source:MGI Symbol;Acc:MGI:1316650] MAAQGYGYYRTVIFAAMFGGYSLYYFNRKTFSFVMPSLVDEIALDKDDLGLITSSQSAAY AISKFVSGVLSDQMSARWLFSSGLLLVGLVNVVFSWSSTVSAFAALWFLNGLAQGLGWPP CGKILRKWFEPSQFGTWWAVLSTSMNLAGSLGPILATILAQSYSWRSTLALSGALCVVVS FFCLLLIHNEPADVGLRNLDPAPSKGKKGSSKEESTLQDLLLSPYLWVLSTGYLVVFGVK TCCTDWGQFFLIQERGQSALVGSSYISALEVGGLVGSIAAGYLSDRAMAKAGLSLYGNPR HGLLLLMMAGMAASMFLFRVTVTSDSPKIWILVLGAVFGFSSYGPIALFGVIANESAPPN LCGTSHAIVGLMANVGGFLAGLPFSTIAKHYSWSTAFWVAEVVCGASTVVFFLLRNIRTK MGRVSKKGE >ENSMUSP00000097759.3 pep:known chromosome:GRCm38:15:101643024:101647380:-1 gene:ENSMUSG00000095241.1 transcript:ENSMUST00000100184.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5478 description:predicted pseudogene 5478 [Source:MGI Symbol;Acc:MGI:3646318] ELQVTAGRHGDNLRNTKQEIAEINRIIRRLKAEIDSVKKQLSKLQAEIDDAERRGEMALN DARGKMEGMEDALQKVKQDTARMLNEYQELMNAKLGLDVEIAAYRAILEGEEYRFSGEGA GPVNTSVKQQSTVSRAYGSTGGASSSLGPGRGSSHSYAS >ENSMUSP00000015157.8 pep:known chromosome:GRCm38:8:122611626:122615588:1 gene:ENSMUSG00000015013.8 transcript:ENSMUST00000015157.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trappc2l description:trafficking protein particle complex 2-like [Source:MGI Symbol;Acc:MGI:1916295] MAVCIAVIAKENYPLYIRSTPTESELKFHYMVHTSLDVVDEKISAMGKALVDQRELYLGL LYPTEDYKVYGYVTNSKVKFVMVVDSSNTALRDNEIRSMFRKLHNSYTDVMCNPFYNPGD RIQSRAFDTMVTSMMIQVC >ENSMUSP00000128772.1 pep:known chromosome:GRCm38:18:31609512:31610751:1 gene:ENSMUSG00000092124.1 transcript:ENSMUST00000164667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B930094E09Rik description:RIKEN cDNA B930094E09 gene [Source:MGI Symbol;Acc:MGI:3603750] PRTPHRCSVPAAGPRRRRERAPPRNPRASGARTARRRKGSFRPSAGCGCPGCPGASSPSG PVPSLNRRSAHGKGRRKPDHPERLPGSPGHRSPQGAADASRTGGRGRERPAHGAQASTAS PPQPLRVRRLTTGSHG >ENSMUSP00000126744.1 pep:known chromosome:GRCm38:17:81441763:81441923:1 gene:ENSMUSG00000079333.3 transcript:ENSMUST00000168858.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11096 description:predicted gene 11096 [Source:MGI Symbol;Acc:MGI:3779332] KIIPVNIYNEEEYEK >ENSMUSP00000079498.5 pep:known chromosome:GRCm38:7:142273764:142274363:-1 gene:ENSMUSG00000056885.5 transcript:ENSMUST00000080669.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4559 description:predicted gene 4559 [Source:MGI Symbol;Acc:MGI:3782743] MTCCGCSGGCGSSCGGCGSSCCKPVCCCKPVCCCVPVCSCSSCGGCGSSCGGCGSCGSSC GGCGSSCCKPVCCCVPVCSCSSCGGCKPCCCQSSCCKPCCSSGCGSSCCQSSCCKPCCCQ SSCCKPCCSSGCGSSCCQSSCCKPCCCQSSCCKPCCCQSSCCKPCCCQSSCCKPCCCQSS CCRPCCSSACGSSCCQDSC >ENSMUSP00000053216.7 pep:known chromosome:GRCm38:9:44410160:44418003:-1 gene:ENSMUSG00000043923.7 transcript:ENSMUST00000053286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc84 description:coiled-coil domain containing 84 [Source:MGI Symbol;Acc:MGI:2685960] MAPPQRCPLCRQTFFCGRGHVYSHKHQRQLKGALERLLPQVEAARRAVRAAQVERYVPEH DRCCWCPCCGCEVRKHLSHGNLTVLHGGLLEHLASPEHKKATNKFWWENKANAQMKEKFL ISPQDYARFKKSMVKGLDSYEEKEDEVIKEMAAQIREVEQSRQEVVRSVLEPQAESDPEE GSSAPESWKATNGHVASSSQQVSHLALQPVAELDWMETGQQLTFIGHQDTPGIGNIHSGA TPPWMIQEEEHSSGSLPIGPSYEEFLKEKEKQKLKKLPPDRVGANFDHSSNTSAGWLPSF GRVWNNGRRWQSRHQFKTEAATRSKQPRKGKS >ENSMUSP00000136730.1 pep:known chromosome:GRCm38:X:123704324:123704791:-1 gene:ENSMUSG00000095108.1 transcript:ENSMUST00000178553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17467 description:predicted gene, 17467 [Source:MGI Symbol;Acc:MGI:4937101] PAASSKNGAGDASHKFKEDHTVPGPSSAGQHGQVISPHIQGSPHPSRSSQGDLGSSTSPQ DAQGLSLSTQQTQGLSLSTQDVFELTRYFQESMGHSTSAQASQRLPTCAERKIIHASSSR RSSKRLSPTLHNSNLSNSPPKHVGQDSSGQGDLQQA >ENSMUSP00000137136.1 pep:known chromosome:GRCm38:17:47410363:47410740:1 gene:ENSMUSG00000096361.1 transcript:ENSMUST00000177586.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5814 description:predicted pseudogene 5814 [Source:MGI Symbol;Acc:MGI:3648910] MRPLDAVELAEPEEVEVLEHEEGFEQFLLPVIHEMREDIASLTRERGRGPARNRGKLWEM DNMLIQIKTQVEASEESALNHLQGAGGAEPRGPRAEKADEKAQEMAKMAEMLVQLVRRIE KSESS >ENSMUSP00000106724.2 pep:known chromosome:GRCm38:14:67745229:67749435:1 gene:ENSMUSG00000015812.7 transcript:ENSMUST00000111095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnrh1 description:gonadotropin releasing hormone 1 [Source:MGI Symbol;Acc:MGI:95789] MILKLMAGILLLTVCLEGCSSQHWSYGLRPGGKRNTEHLVESFQEMGKEVDQMAEPQHFE CTVHWPRSPLRDLRGALESLIEEEARQKKM >ENSMUSP00000024954.9 pep:known chromosome:GRCm38:17:86753907:86833410:1 gene:ENSMUSG00000024140.9 transcript:ENSMUST00000024954.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epas1 description:endothelial PAS domain protein 1 [Source:MGI Symbol;Acc:MGI:109169] MTADKEKKRSSSELRKEKSRDAARCRRSKETEVFYELAHELPLPHSVSSHLDKASIMRLA ISFLRTHKLLSSVCSENESEAEADQQMDNLYLKALEGFIAVVTQDGDMIFLSENISKFMG LTQVELTGHSIFDFTHPCDHEEIRENLTLKNGSGFGKKSKDVSTERDFFMRMKCTVTNRG RTVNLKSATWKVLHCTGQVRVYNNCPPHSSLCGSKEPLLSCLIIMCEPIQHPSHMDIPLD SKTFLSRHSMDMKFTYCDDRILELIGYHPEELLGRSAYEFYHALDSENMTKSHQNLCTKG QVVSGQYRMLAKHGGYVWLETQGTVIYNPRNLQPQCIMCVNYVLSEIEKNDVVFSMDQTE SLFKPHLMAMNSIFDSSDDVAVTEKSNYLFTKLKEEPEELAQLAPTPGDAIISLDFGSQN FDEPSAYGKAILPPGQPWVSGLRSHSAQSESGSLPAFTVPQADTPGNTTPSASSSSSCST PSSPEDYYSSLENPLKIEVIEKLFAMDTEPRDPGSTQTDFSELDLETLAPYIPMDGEDFQ LSPICPEEPLMPESPQPTPQHCFSTMTSIFQPLTPGATHGPFFLDKYPQQLESRKTESEH WPMSSIFFDAGSKGSLSPCCGQASTPLSSMGGRSNTQWPPDPPLHFGPTKWPVGDQSAES LGALPVGSSQLEPPSAPPHVSMFKMRSAKDFGARGPYMMSPAMIALSNKLKLKRQLEYEE QAFQDTSGGDPPGTSSSHLMWKRMKSLMGGTCPLMPDKTISANMAPDEFTQKSMRGLGQP LRHLPPPQPPSTRSSGENAKTGFPPQCYASQFQDYGPPGAQKVSGVASRLLGPSFEPYLL PELTRYDCEVNVPVPGSSTLLQGRDLLRALDQAT >ENSMUSP00000096592.5 pep:known chromosome:GRCm38:9:7751672:7752325:1 gene:ENSMUSG00000074516.5 transcript:ENSMUST00000098994.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10709 description:predicted gene 10709 [Source:MGI Symbol;Acc:MGI:3642754] MAKSKNHTTHNQSRKWHRNGIKKPRSQRYESLKGVDPKFLRNMRFAKKHNKKGLKKMQAN NAKAVSARTEAIKALVKPQAIKPKMPKGPKLKRLAFIAHPKLGKRIRSYMAKGQRLCQPK PKVQTKAGAKAPAKAQASAPAQAPKGAQAPKGTQAPVKAP >ENSMUSP00000132002.1 pep:known chromosome:GRCm38:10:53474964:53475467:1 gene:ENSMUSG00000056219.6 transcript:ENSMUST00000168554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem229b-ps description:transmembrane protein 229B, pseudogene [Source:MGI Symbol;Acc:MGI:3643175] MASAEPLTALSRWYLYAIHGYFCEVMFTAAWEFVVNFNWKFPGVTSVWALFIYGTSILIV EHMYLRLRGRCPLLVRCVIYTLWTYLWEFTTGFILRQFTACPWDYSQFDFDFMGLITLEY AVPWFCGALIMEQFIIRNTLRLRFDKDAEPGEPASPPALANGHVKTD >ENSMUSP00000137518.1 pep:known chromosome:GRCm38:9:44511788:44556391:-1 gene:ENSMUSG00000047880.14 transcript:ENSMUST00000179828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcr5 description:chemokine (C-X-C motif) receptor 5 [Source:MGI Symbol;Acc:MGI:103567] MNYPLTLDMGSITYNMDDLYKELAFYSNSTEIPLQDSNFCSTVEGPLLTSFKAVFMPVAY SLIFLLGMMGNILVLVILERHRHTRSSTETFLFHLAVADLLLVFILPFAVAEGSVGWVLG TFLCKTVIALHKINFYCSSLLLACIAVDRYLAIVHAVHAYRRRRLLSIHITCTAIWLAGF LFALPELLFAKVGQPHNNDSLPQCTFSQENEAETRAWFTSRFLYHIGGFLLPMLVMGWCY VGVVHRLLQAQRRPQRQKAVRVAILVTSIFFLCWSPYHIVIFLDTLERLKAVNSSCELSG YLSVAITLCEFLGLAHCCLNPMLYTFAGVKFRSDLSRLLTKLGCAGPASLCQLFPNWRKS SLSESENATSLTTF >ENSMUSP00000050444.7 pep:known chromosome:GRCm38:9:44511788:44526421:-1 gene:ENSMUSG00000047880.14 transcript:ENSMUST00000062215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcr5 description:chemokine (C-X-C motif) receptor 5 [Source:MGI Symbol;Acc:MGI:103567] MNYPLTLDMGSITYNMDDLYKELAFYSNSTEIPLQDSNFCSTVEGPLLTSFKAVFMPVAY SLIFLLGMMGNILVLVILERHRHTRSSTETFLFHLAVADLLLVFILPFAVAEGSVGWVLG TFLCKTVIALHKINFYCSSLLLACIAVDRYLAIVHAVHAYRRRRLLSIHITCTAIWLAGF LFALPELLFAKVGQPHNNDSLPQCTFSQENEAETRAWFTSRFLYHIGGFLLPMLVMGWCY VGVVHRLLQAQRRPQRQKAVRVAILVTSIFFLCWSPYHIVIFLDTLERLKAVNSSCELSG YLSVAITLCEFLGLAHCCLNPMLYTFAGVKFRSDLSRLLTKLGCAGPASLCQLFPNWRKS SLSESENATSLTTF >ENSMUSP00000071064.6 pep:known chromosome:GRCm38:15:82555108:82559413:1 gene:ENSMUSG00000096852.1 transcript:ENSMUST00000068861.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2d12 description:cytochrome P450, family 2, subfamily d, polypeptide 12 [Source:MGI Symbol;Acc:MGI:88604] MELLTGTDLWSVAIFTVIFILLVDLMHRRQSWTSCYPPGPVPWPVLGNLLQVDLNNMPYS LYKLQNRYGDVFSLQMAWKPMVVINRMKAMKEVLLTCGEDTADRPPVPIFEHLGFKPRSQ GMIFAPYGPEWREQRRFSLSSLRNFGLGRKSLEEWVIKEAGHLCDAFTTQAGQYINPNTM LKKATCNVIASLIFARRFEYEDPYLIRMLKVLEDSLTELSGLIPEVINTFPILLHIPRLA DKFLQSQKSFIAIVDNLLTENRTTWDPAQSPRNLTDAFLAEIEKAKGNPESSFNDENLRM VVIDLFTAGILTTSTTLSWALLLMILHPDVQRRVQQEIDEVIGQVRHPEMADQAHMPYTN AVIHEVQRFGDIVPLHLPRITSRDIEVQDFLIPKGTILLPNMSSVHMDDTVWEKPLRFHP EHFLDAQGHFVKHEAFITFSAGRRSCLGEPLARMELFLFFTCLLQRFSFSVPDGQPQPSD HRVFSIMVAPSPYQLCAVIREQGH >ENSMUSP00000046864.2 pep:known chromosome:GRCm38:12:74897217:75177332:-1 gene:ENSMUSG00000034402.2 transcript:ENSMUST00000042299.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh5 description:potassium voltage-gated channel, subfamily H (eag-related), member 5 [Source:MGI Symbol;Acc:MGI:3584508] MPGGKRGLVAPQNTFLENIVRRSSESSFLLGNAQIVDWPVVYSNDGFCKLSGYHRADVMQ KSSTCSFMYGELTDKKTIEKVRQTFDNYESNCFEVLLYKKNRTPVWFYMQIAPIRNEHEK VVLFLCTFKDITLFKQPIEDDSTKGWTKFARLTRALTNSRSVLQQLTPMNKTETVHKHSR LAEVLQLGSDILPQYKQEAPKTPPHIILHYCAFKTTWDWVILILTFYTAIMVPYNVSFKT KQNNIAWLVLDSVVDVIFLVDIVLNFHTTFVGPGGEVISDPKLIRMNYLKTWFVIDLLSC LPYDIINAFENVDEGISSLFSSLKVVRLLRLGRVARKLDHYLEYGAAVLVLLVCVFGLVA HWLACIWYSIGDYEVIDEVTNTIQIDSWLYQLALSIGTPYRYNTSAGIWEGGPSKDSLYV SSLYFTMTSLTTIGFGNIAPTTDVEKMFSVAMMMVGSLLYATIFGNVTTIFQQMYANTNR YHEMLNNVRDFLKLYQVPKGLSERVMDYIVSTWSMSKGIDTEKVLSICPKDMRADICVHL NRKVFNEHPAFRLASDGCLRALAVEFQTIHCAPGDLIYHAGESVDALCFVVSGSLEVIQD EEVVAILGKGDVFGDIFWKETTLAHACANVRALTYCDLHIIKREALLKVLDFYTAFANSF SRNLTLTCNLRKRIIFRKISDVKKEEEERLRQKNEVTLSIPVDHPVRKLFQKFKQQKELR IQGSAQSDPERSQLQVESRPLQNGASITGTSVVTVSQITPIQTSLAYVKTSESLKQNNRD AMELKPNGGAEPKCLKVNSPIRMKNGNGKGWLRLKNNMGAQEEKKEDWNNVTKAESMGLL SEDPKGSDSENSVTKNPLRKTDSCDSGITKSDLRLDKAGEARSPLEHSPSQADVKHSFYP IPEQALQTTLQEVKHELKEDIQLLSCRMTALEKQVAEILKLLSEKSVPQTSSPKPQIPLQ VPPQIPCQDIFSVSRPESPESDKDEINF >ENSMUSP00000034811.7 pep:known chromosome:GRCm38:9:54165937:54193442:-1 gene:ENSMUSG00000032274.8 transcript:ENSMUST00000034811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp19a1 description:cytochrome P450, family 19, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88587] MFLEMLNPMQYNVTIMVPETVTVSAMPLLLIMGLLLLIWNCESSSSIPGPGYCLGIGPLI SHGRFLWMGIGSACNYYNKMYGEFMRVWISGEETLIISKSSSMFHVMKHSHYISRFGSKR GLQCIGMHENGIIFNNNPSLWRTIRPFFMKALTGPGLVRMVEVCVESIKQHLDRLGEVTD TSGYVDVLTLMRHIMLDTSNMLFLGIPLDESAIVKKIQGYFNAWQALLIKPNIFFKISWL YRKYERSVKDLKDEIAVLVEKKRHKVSTAEKLEDCMDFATDLIFAERRGDLTKENVNQCI LEMLIAAPDTMSVTLYFMLLLVAEYPEVEAAILKEIHTVVGDRDIKIEDIQNLKVVENFI NESMRYQPVVDLVMRRALEDDVIDGYPVKKGTNIILNIGRMHRLEYFPKPNEFTLENFEK NVPYRYFQPFGFGPRGCAGKYIAMVMMKVVLVTLLRRFQVKTLQKRCIENIPKKNDLSLH PNEDRHLVEIIFSPRNSDKYLQQ >ENSMUSP00000050001.4 pep:known chromosome:GRCm38:18:9314044:9450150:-1 gene:ENSMUSG00000024286.7 transcript:ENSMUST00000053917.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccny description:cyclin Y [Source:MGI Symbol;Acc:MGI:1915224] MGNTTSCCVSSSPKLRRNAHSRLESYRPDTDLSREDTGCNLQHISDRENIDDLNMEFNPS DHPRASTIFLSKSQTDVREKRKSLFINHHPPGQTSRKYSSCSTIFLDDSTVSQPNLKYTI KCVALAIYYHIKNRDPDGRMLLDIFDENLHPLSKSEVPPDYDKHNPEQKQIYRFVRTLFS AAQLTAECAIVTLVYLERLLTYAEIDICPANWKRIVLGAILLASKVWDDQAVWNVDYCQI LKDITVEDMNELERQFLELLQFNINVPSSVYAKYYFDLRSLAEANNLSFPLEPLSRERAH KLEAISRLCEDKYKDLRKPMRKRSASADNLILPRWSPAIIS >ENSMUSP00000023150.5 pep:known chromosome:GRCm38:16:8830100:8858916:1 gene:ENSMUSG00000022507.5 transcript:ENSMUST00000023150.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810013L24Rik description:RIKEN cDNA 1810013L24 gene [Source:MGI Symbol;Acc:MGI:1916303] MEERKEEGEAEIQEHGPEHWFSKWERQCLAEAEQDEQLSPELQEEAAAAAQPEHKQQKLW HLFQNSATAVAQLYKDRVCQQPGLSLWVPFQNAATAVTNLYKESVDTHQRSFDIGIQIGY QRRNKDVLAWVKKRRRTIRREDLISFLCGKVPPPRNSRAPPRLTVVSPNRATSTETSSSV ETDLQPFREAIALHGLSGAMASISVRSSTPGSPTHVSSGPNASRRRNGLHDVDLNTFITE EMALHLDNGGTRKRTSAQCGDVITDSPTHKRNRML >ENSMUSP00000023015.7 pep:known chromosome:GRCm38:15:85535439:85581821:-1 gene:ENSMUSG00000022382.14 transcript:ENSMUST00000023015.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt7b description:wingless-type MMTV integration site family, member 7B [Source:MGI Symbol;Acc:MGI:98962] MHRNFRKWIFYVFLCFGVLYVKLGALSSVVALGANIICNKIPGLAPRQRAICQSRPDAII VIGEGAQMGIDECQHQFRFGRWNCSALGEKTVFGQELRVGSREAAFTYAITAAGVAHAVT AACSQGNLSNCGCDREKQGYYNQAEGWKWGGCSADVRYGIDFSRRFVDAREIKKNARRLM NLHNNEAGRKVLEDRMKLECKCHGVSGSCTTKTCWTTLPKFREVGHLLKEKYNAAVQVEV VRASRLRQPTFLRIKQLRSYQKPMETDLVYIEKSPNYCEEDAATGSVGTQGRLCNRTSPG ADGCDTMCCGRGYNTHQYTKVWQCNCKFHWCCFVKCNTCSERTEVFTCK >ENSMUSP00000130627.1 pep:known chromosome:GRCm38:15:85535439:85580729:-1 gene:ENSMUSG00000022382.14 transcript:ENSMUST00000167968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt7b description:wingless-type MMTV integration site family, member 7B [Source:MGI Symbol;Acc:MGI:98962] MGIDECQHQFRFGRWNCSALGEKTVFGQELRVGSREAAFTYAITAAGVAHAVTAACSQGN LSNCGCDREKQGYYNQAEGWKWGGCSADVRYGIDFSRRFVDAREIKKNARRLMNLHNNEA GRKVLEDRMKLECKCHGVSGSCTTKTCWTTLPKFREVGHLLKEKYNAAVQVEVVRASRLR QPTFLRIKQLRSYQKPMETDLVYIEKSPNYCEEDAATGSVGTQGRLCNRTSPGADGCDTM CCGRGYNTHQYTKVWQCNCKFHWCCFVKCNTCSERTEVFTCK >ENSMUSP00000105051.2 pep:known chromosome:GRCm38:15:85535439:85578070:-1 gene:ENSMUSG00000022382.14 transcript:ENSMUST00000109424.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt7b description:wingless-type MMTV integration site family, member 7B [Source:MGI Symbol;Acc:MGI:98962] MLLLSPRSALVSVYCPQIFLLLSSGSYLALSSVVALGANIICNKIPGLAPRQRAICQSRP DAIIVIGEGAQMGIDECQHQFRFGRWNCSALGEKTVFGQELRVGSREAAFTYAITAAGVA HAVTAACSQGNLSNCGCDREKQGYYNQAEGWKWGGCSADVRYGIDFSRRFVDAREIKKNA RRLMNLHNNEAGRKVLEDRMKLECKCHGVSGSCTTKTCWTTLPKFREVGHLLKEKYNAAV QVEVVRASRLRQPTFLRIKQLRSYQKPMETDLVYIEKSPNYCEEDAATGSVGTQGRLCNR TSPGADGCDTMCCGRGYNTHQYTKVWQCNCKFHWCCFVKCNTCSERTEVFTCK >ENSMUSP00000055738.7 pep:known chromosome:GRCm38:9:122851914:122862128:-1 gene:ENSMUSG00000047036.7 transcript:ENSMUST00000056467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp445 description:zinc finger protein 445 [Source:MGI Symbol;Acc:MGI:2143340] MPPGRWHAARSAQVSREQGCLRMVKEEEEDGYISMQTARPQTLNRPGQELFRQLFRQLRY HESSGPLETLSRLQELCRWWMRPDVLSKAQMLELLVLEQFLSILPGELRTWVQLHCPESG AEVVALLEELQRDLDGTPLKDPCLTQNPDVHWIGTSALQPAQIWSPASHLKNSSALEDHL ETSHGIGICDVLAEQTDSPAVSVPDYFQLEEGIEYQEALTFQDVEVTFSQEEWGCLNSAQ RNLYRDVILENYGNVVSVVGSSPKPALISWLEARKPWGVNICTVQLKRDADAAPEGGKLQ IKPNKFILKQKPSEYIEACVKTSVSPETSVSEETGLKESFKQKSRLQTSCGDSIQMKEMK EGADISQRTGRESEVLRNNDILELKHVKCVSVSRKRLSFKHGYDRNFRKSSHHYNNKYGE GLRGTGEGFGVYQNTGLKENGKDRYGETSRKSWHAHPEHRQPSYSEEGLFQCRVCGKAFK WRSNRIRHEKIHTGVKPYQCSLCEKAFQRLSSYRLHQKTHSKQKRGSSKYKNALTCSLDV SHHLTDRDERKHLHCNQCGKNFSCKSYAIEHQRIHTQEKPYKCTRCRKTFRWKSNFSRHM KLHHKEVYKQEKRQEDFKQSYRQSQVISTVEKTFPCQNCGKTFTQKKSLIEHQRIHTGEK PYQCSGCGETFTYRSSYIIHMKRTQHAIKIKPEHGCLTFSQGAVFPIPRGSHNTEGSNKC KYCGKAFHNRSFLLIHERVHTREKPYQCRECEKAFRWSSNLYRHQRKHFLHKRYKYRESK ETSNLQSKILIDQKPFWCQECGKTFTRKRSLLDHKGIHSGERRFKCNLCEKSFDRNYRLV NHQRIHTTEQPQWRDKDFVGIHARSVDQRKHSNTLQSEYGLHSDKPGLSYCQDVRLNIQE LSGKLRKECDNPSDESSKSIAFQNVPTKKKACHKCSTCGKTFKKHSHLISHKRCHTKERP FKCIVCGKTFRWSSNLTRHMKNHVRN >ENSMUSP00000039360.3 pep:known chromosome:GRCm38:16:5086291:5132481:-1 gene:ENSMUSG00000039457.3 transcript:ENSMUST00000035672.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppl description:periplakin [Source:MGI Symbol;Acc:MGI:1194898] MHSLFRKRNKGKYSPTVQTRSISNKELSDLIEQLQKNADQVERNIVDTEAKMQSDLARMQ EGQLPEHRDAALQNVSDSEKLLYVLEADSAIAKHMKHPQGDMIAEDIRQLKERVTNLRGK HKQMYSLAVKEADPRVNWDTLVDEKLDKLSSQSFGTDLPLVDSQVEQHNIFHNEVKAIGP HLAKDKEQNSELQAKYQKLLTASQARQQHLSSLQDYMQRCTNELYWLDQQAKGRMQYDWS DRNLDYPSRRRQYENFINRNLEAKEERINKLHTEGDQLLTAEHPGRNSIEAHMEAVHAEW KEYLNLLICEESHLKYMEDYHQFHKDMKDAQELLRKVDSDLNQKYSPDFKDRYQIELLLR ELDDQEKALDKYEDVVRGLQRRGQQVVPLKYRRETPLKPIPVEALCDFESDQGLISRGYS YTLQKNNGESWELTDSTGKKLAAPAVCFIIPPTDPEALALADSLGSQYRSVRQKATGSKH ALQQRHEVLRTENPGDASDLQGRQLLAGLDKVASDLDRQEKAITGILRPPLEQGRAIEDS AERAKGLKNITNELLQIEPEKTQCTAECEAFVQALPASGTAPLLKTRVEDTNQKYERLVW LLEAAQEKVDVANRLENSLQRGRELLASYENRLIQDDTMPESGHVLDSKRQELEAMASEL QAHKSLLGEVEQNLQVAKQCSSSLASRFQEHCPDLERQEAEVHKLNQRFNNLSQQVERRA QSLQSARAAYDEYCSGYNRVLQFLAKTPSYEPQETDSLGQMETKLKNQKNLLDELASREQ EVQKVYADSQQYQQAVKDYELEAEKLRSLLDLENGRNSHVNKRARLQSPAAKVKEEEAAL AAKFTEVNAINRQRLQNLEFALNLLRQQPEAGVTHETLQGGKLSSGMEETWKIKKELEEE IERRQQLENEVKSAQEEIQTLKDQGPQESLVRKEVLKKVPDPALEESFQQLQQTLAEEQH KNQLLQEELGALQLRLQALEQETRDGGQEYVVKEVLRIEPDRAQEDEVLQLREELEALRR QKGAREAEVLLLQQRVAALAAEKSRVQEKVTEREVVKLQNDPQLEAEYRRLQEEHQREGT LREKQEEELSFLQAKLRRLEKERAMAEGKITVKEVLKVEKDAAVEREVNDLTRQYEDEAA KARSGQREKTELLRKIWALEEENAKVVVQEKVREIVRPDPKAESEVANLRLELVEQERKF RGAEEQLKSYQSELEALRNRGPQVEVKEVTKEVIKYTTDPETEQELQRLREEIMDKTRLI ERCDLEIYQLKQEIQALKDTKPQVQTREVVQEILQFQEDPQTKKEVESLRIQLSEEQKKQ VDLEGERASQEEKIKRKEEELAQGKERVVRQEVVQYEDEPDLRAEVTAFTNSIDAELRQI DKLHVELRRLQHRRAELERQLEELERERQARRAAELEVQRLQQRLAALEQEEAKTGEKVT HTQKVVLQQDPQQTREHALLRAQLEEERHRRQLLEGELEPLRRKLAALEKAEIKEKVVFS ESVQVEKGDTEQEIQRLKKSLEEESQSKRELDSEVTRLEAKLSELEFYNSKSSKELDFLR EENHKLQLERQNLQLETRRLQSEIEMAATETRDLKNITTIDSGTHLNSRLWSLEKELDDL KKMSKDKDLEIDELQRRLGSVAVKREQRENHLRRSIVVIDPDTGRELSPEEAHRAGLIDW KMFVKLRSQECDWEEISVKGPNGESSVIHDRKSGKKFSIEDALQSGRLTAAQYDRYVNKD MSIQELAVLVSGQK >ENSMUSP00000089519.2 pep:known chromosome:GRCm38:18:51865264:51865881:-1 gene:ENSMUSG00000069379.2 transcript:ENSMUST00000091905.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4950 description:predicted pseudogene 4950 [Source:MGI Symbol;Acc:MGI:3649015] MSIMPYNGGAVMAMKGKNCVAIAADRCFGIQAQMVTTDFQKIFAMGDRLYIGLAGLATDI QTVAQRLKFRLNLYMLKEGRHIKPFTLMSMVANLLYEKRFGPYYTEPVIAGLDPKTFKLF ICSLDLIGCPMVTDDFVVSGTCSEQMYGMCESLWEPHMDPEHLFETISQAMLNAVDRDAM SGMGVIVHVIEKDKITTRTLKARMD >ENSMUSP00000065433.2 pep:known chromosome:GRCm38:10:125226464:125328963:-1 gene:ENSMUSG00000020102.14 transcript:ENSMUST00000063318.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a7 description:solute carrier family 16 (monocarboxylic acid transporters), member 7 [Source:MGI Symbol;Acc:MGI:1330284] MPSEPSAPLPQPLPPDGGWGWVVVCASFISIGFSYAFPKAVTVFFKDIQEIFNTTSSQIA WISSIMLAVMYAGGPISSVLVNNYGSRPVVIVGGLLCCIGMILASYSNSVIELYLTVGFI GGLGLAFNLQPALTIIGKYFYRRRPLANGCAMAGSPVFLSTLAPFNQYLFNNYGWKGSFL ILGGIFLHSCVAGCLMRPVGPSPNTKKSKSKVGSRHDSTLKKASKVSTAQKVNRFLDFSL FMHRGFLIYLSGNVILFLGIFAPIIFLAQYAKHIGVDDYNSAFLLSVMAFIDMFARPSVG LIANTSLIRPRIQYLFSSAIIFTGICHLLCPLATTYSALVVYVVFFGLGFGSISSLLFEC LMDIVGATRFSSAVGLTTIVECCPVLFGPPLAGKLLDITGEYKYLYIASGTVVLVSGTYL LIGNAINYRLLDKERKREKAKKKKSASHASREMEALNRSKQDEVTVKASNAHNPPSDRDK ESNI >ENSMUSP00000100892.2 pep:known chromosome:GRCm38:10:125227494:125308816:-1 gene:ENSMUSG00000020102.14 transcript:ENSMUST00000105257.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc16a7 description:solute carrier family 16 (monocarboxylic acid transporters), member 7 [Source:MGI Symbol;Acc:MGI:1330284] MPSEPSAPLPQPLPPDGGWGWVVVCASFISIGFSYAFPKAVTVFFKDIQEIFNTTSSQIA WISSIMLAVMYAGGPISSVLVNNYGSRPVVIVGGLLCCIGMILASYSNSVIELYLTVGFI GGLGLAFNLQPALTIIGKYFYRRRPLANGCAMAGSPVFLSTLAPFNQYLFNNYGWKGSFL ILGGIFLHSCVAGCLMRPVGPSPNTKKSKSKVGSRHDSTLKKASKVSTAQKVNRFLDFSL FMHRGFLIYLSGNVILFLGIFAPIIFLAQYAKHIGVDDYNSAFLLSVMAFIDMFARPSVG LIANTSLIRPRIQYLFSSAIIFTGICHLLCPLATTYSALVVYVVFFGLGFGSISSLLFEC LMDIVGATRFSSAVGLTTIVECCPVLFGPPLAGKLLDITGEYKYLYIASGTVVLVSGTYL LIGNAINYRLLDKERKREKAKKKKSASHASREMEALNRSKQDEVTVKASNAHNPPSDRDK ESNI >ENSMUSP00000137700.1 pep:known chromosome:GRCm38:10:52358767:52358853:1 gene:ENSMUSG00000097704.1 transcript:ENSMUST00000180473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm26741 description:predicted gene, 26741 [Source:MGI Symbol;Acc:MGI:5477235] TYQFCLDGPRVNTLTSLGMKLKLSGVQL >ENSMUSP00000096983.2 pep:known chromosome:GRCm38:2:128966593:128967725:-1 gene:ENSMUSG00000074807.2 transcript:ENSMUST00000099385.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10762 description:predicted gene 10762 [Source:MGI Symbol;Acc:MGI:3704209] MAAPETGGSENGAGRNTLPGTKGQVEFLREPRPSPSPPVPSALAIGGNCPSITSRGGPLI RSLRQRRQQSDGCEARDAVRWRVELYRAGQVTPPGLLCPPGPLCPFLDVPARLVV >ENSMUSP00000069539.7 pep:known chromosome:GRCm38:7:44959373:44974851:-1 gene:ENSMUSG00000007783.9 transcript:ENSMUST00000063761.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpt1c description:carnitine palmitoyltransferase 1c [Source:MGI Symbol;Acc:MGI:2446526] MAEAHQASSLLSSLSSDGAEVELSSPVWQEIYLCALRSWKRHLWRVWNDFLAGVVPATPL SWLFLFSTIQLACLLQLDPSLGLMEKIKELLPDWGGQHHQLQGFLSAAVFASCLWGALIF TLHVALRLLLSHHGWLLEPHGAMSSPTKTWLALVRIFSGRHPRLFSFQRALPRQPVPSAQ ETVRKYLESVRPVLGDDAFDRATALANDFLRLHAPRLQLYLQLKSWCTSNYVSDWWEEFV YLRSRGSLINSTYYMMDFLYVTPTPLQAARAGNAVHTLLLYRHLLNRQEISPTLLMGMRP LCSAQYERMFNTTRIPGVEKDHLRHLQDSRHVAVFHRGRFFRVGTHSPNGLLSPRALEQQ FQDILDDPSPACPLEEHLAALTAAPRSMWAQVRESVKTHAATALEAVEGAAFFVSLDSEP AGLTREDPAASLDAYAHALLAGRGHDRWFDKSFTLIVFSNGKLGLSVEHSWADCPVSGHL WEFTLATECFQLGYATDGHCKGHPDPTLPQPQRLQWDLPEQIQPSISLALRGAKTLSGNI DCHVFPFSHFGKSFIKCCHVSSDSFIQLVLQLAHFRDRGQFCLTYESAMTRLFLEGRTET VRSCTREACQFVRAMDNKETDQHCLALFRVAVDKHQALLKAAMSGQGIDRHLFALYIMSR LLHMQSPFLTQVQSQQWLLSTSQVPVQQTHLIDVHNYPDYVSSGGGFGPAHDHGYGISYI FMGENAITFHISSKKSSTETDSHRLGQHIENALLDVASLFRVGQHFKRQFRGENSDYRYN FLSCKTVDPNTPTSSTNL >ENSMUSP00000036148.7 pep:known chromosome:GRCm38:15:4898737:4962203:1 gene:ENSMUSG00000022155.8 transcript:ENSMUST00000045736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh2b description:maestro heat-like repeat family member 2B [Source:MGI Symbol;Acc:MGI:1921905] MEEYGDMFGDINLTIGMLSKEDNISKEDIYCHLTSFIQNTDIMDDAIVQRLIYYTSKDMR DEEIPRELRMLAGEVLVSLAAHDFNSVMYEVQSNFRILELPNEFVVLALAELATSYVSQS IPFMMMTLLTMQTMLRLVEDENMRQTFCIALENFSKSIYKYVNHWKDFPYPKLDANRLSD KIFMLFRYIMEKWAPQASPMHALAIIKAHGPTVSLLLHREDFCEFALSQISWLLLQYRDK ENDFYITQSLKQILTAAVLYDIALPKNLRRSVLSSLLHQICKVPEPPIKENKLEASSCFL ILAHANPVDLLDFFDEQIRSTNEAVRTGILTLLRSTINAEEPKFRNHTTSIEKTVKLVMG DLSVKVRKSTLLLIQTMCEKGYIEAREGWPLIDYIFSQFAMSNRNLENPIKSNSQEDENG EKSVQETSLEVLKSLDPLVIGMPQVLWPRILTYVVPKEYTGTLDYLFNIIRILIMAEEKK KRDIQESTALVVSTGAVKLPSPQQLLARLLVISILASLGQLCGAGAIGLLKIMPEIIHPK LAEMWKTRMPALLQPLEGSNASIVLWETMLLQLLKESLWKISDVAWTSQLSRDFSLQMGS YSNSSMEKKFLWKALGTTLASCQDKDFVSSQINEFLVTPSLLGDHRQGTTSILGFCAENH LDIVLNVLKTFQDKEKFFVNRCKGIFSGKKSLTKTDLILIYGAVALHAPKQQLLARLDQD IMGQILLLYGQCCQILGVSVINKDMDLQMSFTRSITEVGIAVQDAEDQKFQFTYKEMLIG SMLDLIKDEPLNTLASPVRWKVLIAIRYLSKLKPALSLNDHLNILEENIRRLLPLPPLEK LKSQGETDKDRERIEFLYERSMDALGKLLRSMIWDNTDAQNCEEMFNLLRMWLVSQKQWE RERAFQVTSKVLTKDVEAPQNFRIGSLLGLLAPHSCDTLPTIRQAATSSTIGLLCAKGIC QEVDRLQGLQEGLDSEDEQVQIKISSKIAKIVCKFIPSEEIQVFLEETLDGLETLDPLCT KACGIWMIAALKEHGALLEDQLLEILSTIYHHMPVLRQKEESFQFMLEAISQIASFHMDA VVNNLLQKPLPFDRDTKTLWKALAENPASSGKLMRALIKKLVARLEDDIAGTEAISVACA IYEVILTGAHITHLYPELFTLLLKLVSCSLGQKMPMSTLSQRRRVMQLGERQRFPDPCRL STATLKCLQAQAMREGLAKESDEGDNLWTLLSNPDTHHIGVCALARSMAVWQHGVILDIM EHLLSSLTSSSENYRITGMAFFSELMKEPILWKHGNLRDVLIFMDQNARDSNAILRQMAI RGLGNTACGAPHKVRKYKQMMLECIIRGLYHLARTEVVCESLKALKKILELLTERDINFY FKEIVLQTRTFFEDEQDDVRLTAISLFEDLATLTGRRWKIFFAEEVKKSMISFLLHLWDP NPKIGAACRDVLVICIPFLGLQELYGLLDHLLERDLPRARDFYRQLCMKLSKKNQEILWI LHTHSFTFFTSSWEMIRSAAVKLTDAIILHLTKRYVELLDREQLTMRLQALRQDPCISVQ RAAEATLQTLLRRCKEISIPL >ENSMUSP00000026479.9 pep:known chromosome:GRCm38:10:127266262:127281959:1 gene:ENSMUSG00000025410.9 transcript:ENSMUST00000026479.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dctn2 description:dynactin 2 [Source:MGI Symbol;Acc:MGI:107733] MADPKYADLPGIARNEPDVYETSDLPEDDQAEFDAEELSSTSVEHIIVNPNAAYDKFKDK RVGTKGLDFSDRIGKTKRTGYESGDYEMLGEGLGVKETPQQKYQRLLHEVQELTTEVEKI KTTVKESATEEKLTPVVLAKQLAALKQQLVASHLEKLLGPDAAINLADPDGALAKRLLLQ LEATKSSKGSSGGKATAGAPPDSSLVTYELHSRPEQDKFSQAAKVAELEKRLTELEATVR CDQDAQNPLSAGLQGACLMETVELLQAKVSALDLAVLDQVEARLQSVLGKVNEIAKHKAS VEDADTQNKVHQLYETIQRWSPVASTLPELVQRLVTIKQLHEQAMQFGQLLTHLDTTQQM MASSLKDNTALLTQVQTTMRENLATVEGNFASIDARMKRLGK >ENSMUSP00000041361.7 pep:known chromosome:GRCm38:18:24709445:25133500:1 gene:ENSMUSG00000034295.9 transcript:ENSMUST00000037097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhod3 description:formin homology 2 domain containing 3 [Source:MGI Symbol;Acc:MGI:1925847] MATLACRVQFLDDTDPFNSTNFPEPSRPPLFTFREDLALGTQLAGVHRLLRAPHKLDDCT LQLSHNGAYLDLEATLAEQRDELEGFQDDTGRGKKNSIILRTQLSVRVHACIEKLYNSSG RDLRRALFSLKQIFQDDKDLVHEFVIAEGLTCLIKVGAEADQNYQNYILRALGQIMLYVD GMNGVINHSETIQWLYTLVGSKFRLVVKTALKLLLVFVEYSESNAPLLIQAVSAVDTKRG VKPWSNIMEILEEKDGVDTELLVYAMTLVNKTLAGLPDQDTFYDVVDCLEELGIAAVSQR HLNKKGTDLDLLEQFNIYEVALRHEDGDETAEPPPSGHRDRRRASMCSGGTVGEQQGLDR RRSRRHSIQNIKSPLSAPTSPCSPSVPAFKPSQVRDLCEKDEEEEEEEEQPITEPNSEEE REDDAQCQGKDSKASSASGQSSPGKDAAPESSALHTTSSPTSQGRWLSASTAARSPVLGG TSGPEASRPAARLLPPSPGLATRPSTAPKVSPTIDKLPYVPHSPFHLFSYDFEDSPLLTK DKGGDSQTENRYSNFSSNSFQSSRPSPGPSGSPSYASSFSSPQDTRSSPSGLLTSSFRQH QESLAAERERRRQEREERLQRIEREERNKFNREYLDKREEQRQARGERYKYLEQLAAETQ EKEPRSQSVSRGRADLSLDLSLPAAPAPPSPSSQSPSADSQEALPVPSSPPTLQCPQVSG KDHEPELEAEAGQGADEASQDIASAHRGAESQEEPVLELEPEERASLSEKERQNEEVNER DNCSASSISSSSSTLEREEKEDKLSEDRATGLWSTSLQDVGVNGQCGDILTSKRFMLDML YAHNRKSTEDEEKDDGEPGRSAQEVEAVASLATRISTLQANSQAPEESIKRVDIGCLDNR GSVKAFAEKFNSGEVGRGAISPDVESQDKVPDTPPAQLKTESDYIWDQLMANPRELRIQD MDFTDLGEEDDIDVLDVDLGHREAPGPPPPPPPTFLGLPPPPPPPLLDSVPPPPVPGNLL ASPVFNTPQGLGWSQVPRGQPAFTKKKKTIRLFWNEVRPFEWPSKNNRRCREFLWSKLEP IKVDTSRLEHLFESKSKELSVTKKTAADGKRQEIIVLDSKRSNAINIGLTVLPPPRTIKI AILNFDEYALNKEGIEKILTMIPTEEEKQKIQEAQLANPEVPLGSAEQFLLTLSSISELS ARLHLWAFKMDYETTEKEVAEPLLDLKEGIDQLENNKTLGFILSTLLAIGNFLNGTNAKA FELSYLEKVPEVKDTVHKQSLLHHVCTMVVENFPDSSDLYSEIGAITRSAKVDFDQLQDN LCQMERRCKASWDHLKAIAKHEMKPVLKQRMSEFLKDCAERIIILKIVHRRIINRFHSFL LFMGHPPYAIREVNINKFCRIISEFALEYRTTRERVLQQKQKRANHRERNKTRGKMITDS GKFSGSSPAAPSQPQGLSYAEDAAEHENMKAVLKTSSPALEDATPVLGVRTRSRASRGST SSWTMGTEESPSVTDDAADEIMDRIVKSATQVPSQRVVPRERKRSRANRKSLRRTLKSGL TPEEARALGLVGTSELQL >ENSMUSP00000003512.7 pep:known chromosome:GRCm38:7:45092993:45103822:-1 gene:ENSMUSG00000003420.7 transcript:ENSMUST00000003512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcgrt description:Fc receptor, IgG, alpha chain transporter [Source:MGI Symbol;Acc:MGI:103017] MGMPLPWALSLLLVLLPQTWGSETRPPLMYHLTAVSNPSTGLPSFWATGWLGPQQYLTYN SLRQEADPCGAWMWENQVSWYWEKETTDLKSKEQLFLEALKTLEKILNGTYTLQGLLGCE LASDNSSVPTAVFALNGEEFMKFNPRIGNWTGEWPETEIVANLWMKQPDAARKESEFLLN SCPERLLGHLERGRRNLEWKEPPSMRLKARPGNSGSSVLTCAAFSFYPPELKFRFLRNGL ASGSGNCSTGPNGDGSFHAWSLLEVKRGDEHHYQCQVEHEGLAQPLTVDLDSSARSSVPV VGIVLGLLLVVVAIAGGVLLWGRMRSGLPAPWLSLSGDDSGDLLPGGNLPPEAEPQGANA FPATS >ENSMUSP00000051638.5 pep:known chromosome:GRCm38:17:14195231:14203831:-1 gene:ENSMUSG00000048826.6 transcript:ENSMUST00000053218.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dact2 description:dishevelled-binding antagonist of beta-catenin 2 [Source:MGI Symbol;Acc:MGI:1920347] MWAPSGQGPAGWDRRRVGARLRAALAGLQELQGLRATQQARVRGALGLHPAPGPRGQELR LEAALTALREQLSRLRRQDAGLKTHLDQLDQQISELQLDVSRSSCEALDSDSRPSSGFYE LSDAGSCSLSTSCASVCSDRLSPSLGSWLPVFQPSKSRSGIGDWRPRSADETTVPAWSPQ LTEDSRLLHGAEGTGRLTGMFRPRPVSTGDLERVLPADVGLQRAGTDAAHLLGQGIEIPA HALDPTYQRDLVARGGQEVYPYPSPLHAVALQSPLFALPKEAPCFDICSPPQEPPLVPVD ENRTQPEPIRELGSAEAYIHRLLHLRGQELPLRDVGQEQGGDTAAFPPKPCGQRSESTCQ LEKQACGADRGGLKLGRGAAKDSLKQHGPVSLVGAEPLSSPLKEETIPWNPCVHGDNTVG SSPCSQAQQPLNDCGQGPVLSPSRVLGTESPPLAPEPFAYTSCTTGETSPVKLRMGFSQN KAVKVRRRVSEKVPRLGKQLPPQPERQRVTERDPSRPHQGGLSRRPTLAREPPGRSCSES TLYPVPFLVPVVVAQRESYPTSPQAFFPMEAALLSSAARRKQRRWQSTMEISAKAGSVSQ PGPSMGLPRSPAKRGSGPRAQSRPTLARQDACARCESDPSEHSADCTSLYHSTIAETSED EEASDHTANRFGDESSSNDSEGCFRGSRRRLAIGSAEAGQGGWAWPRVPPQQPSRAPGNT RPPLPPVPKLCRIKASKALKKKIRRFQPAALKVMTMV >ENSMUSP00000026446.2 pep:known chromosome:GRCm38:10:128322459:128327187:1 gene:ENSMUSG00000025381.2 transcript:ENSMUST00000026446.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnpy2 description:canopy FGF signaling regulator 2 [Source:MGI Symbol;Acc:MGI:1928477] MKGWGWLALLLGVLLGTAWARRSQDLHCGACRALVDELEWEIARVDPKKTIQMGSFRINP DGSQSVVEVPYARSEAHLTELLEEVCDRMKEYGEQIDPSTHRKNYVRVVSRNGESSELDL QGIRIDSDISGTLKFACESIVEEYEDELIEFFSREADNVKDKLCSKRTDLCDHALHRSHD EL >ENSMUSP00000136370.1 pep:known chromosome:GRCm38:4:108719649:108781904:1 gene:ENSMUSG00000094958.1 transcript:ENSMUST00000178992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:3110021N24Rik description:RIKEN cDNA 3110021N24 gene [Source:MGI Symbol;Acc:MGI:1920383] MSSLIRLSMARGSSLSREPRPQRGSAAKPRTPEGAAVSAAGTEAQRPSSRLSHAGPGKRG RARPSAAGGAAYGGTTEAMQADGLGEASGAAAVAASAARAAGPQRPLDPTSRYGAGTAAA APGTSGREREAARPGGGGAPCALRRPRPVARP >ENSMUSP00000022316.4 pep:known chromosome:GRCm38:14:41049209:41069074:-1 gene:ENSMUSG00000021791.6 transcript:ENSMUST00000022316.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dydc2 description:DPY30 domain containing 2 [Source:MGI Symbol;Acc:MGI:1918450] MDTAYLKNCFGTGLTQALAEVARVRPSDPIEYLAHWLYHYRSITVAEEKRRQEELQLKEA RDRSAEEAKTTEMLKEEGYQIQQKCEKCHQELPSTSFSSDKTPALQEDTAPLEEKTMRQE SQPGASRVISEMPQRAIPS >ENSMUSP00000089673.3 pep:known chromosome:GRCm38:18:14625631:14682914:-1 gene:ENSMUSG00000037013.15 transcript:ENSMUST00000092041.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ss18 description:synovial sarcoma translocation, Chromosome 18 [Source:MGI Symbol;Acc:MGI:107708] MSVAFAAPRQRGKGEITPAAIQKMLDENNHLIQCIMDYQNKGKASECSQYQQILHTNLVY LATIADSNQNMQSLLPAPPTQTMPMGPGGMSQSGPPPPPRSHNMPSDGMVGGGPPAPHMQ NQMNGQMPGPNHMPMQGPGPSQLSMTNSSMNMPSSSHGSMGGYNHSVPSSQSMPVQNQMT MSQGQPMGNYGPRPNMNMQPNQGPMMHQQPPSQQYNMPPGGAQHYQGQQAPMGLMGQVNQ GSHMMGQRQMPPYRPPQQGPPQQYSGQEDYYGDQYSHGGQGPPEGMNQQYYPDGNSQYGQ QQDAYQGPPPQQGYPPQQQQYPGQQGYPGQQQSYGPSQGGPGPQYPNYPQGQGQQYGGYR PTQPGPPQPPQQRPYGYDQGQYGNYQQ >ENSMUSP00000046320.7 pep:known chromosome:GRCm38:18:14625631:14682914:-1 gene:ENSMUSG00000037013.15 transcript:ENSMUST00000040924.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ss18 description:synovial sarcoma translocation, Chromosome 18 [Source:MGI Symbol;Acc:MGI:107708] MSVAFAAPRQRGKGEITPAAIQKMLDENNHLIQCIMDYQNKGKASECSQYQQILHTNLVY LATIADSNQNMQSLLPAPPTQTMPMGPGGMSQSGPPPPPRSHNMPSDGMVGGGPPAPHMQ NQMNGQMPGPNHMPMQGPGPSQLSMTNSSMNMPSSSHGSMGGYNHSVPSSQSMPVQNQMT MSQGQPMGNYGPRPNMNMQPNQGPMMHQQPPSQQYNMPPGGAQHYQGQQAPMGLMGQVNQ GSHMMGQRQMPPYRPPQQGPPQQYSGQEDYYGDQYSHGGQGPPEGMNQQYYPDGHNDYGY QQPSYPEQGYDRPYEDSSQHYYEGGNSQYGQQQDAYQGPPPQQGYPPQQQQYPGQQGYPG QQQSYGPSQGGPGPQYPNYPQGQGQQYGGYRPTQPGPPQPPQQRPYGYDQGQYGNYQQ >ENSMUSP00000047867.6 pep:known chromosome:GRCm38:18:14624198:14682766:-1 gene:ENSMUSG00000037013.15 transcript:ENSMUST00000040964.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ss18 description:synovial sarcoma translocation, Chromosome 18 [Source:MGI Symbol;Acc:MGI:107708] MSVAFAAPRQRGKGEITPAAIQKMLDENNHLIQCIMDYQNKGKASECSQYQQILHTNLVY LATIADSNQNMQSLLPAPPTQTMPMGPGGMSQSGPPPPPRSHNMPSDGMVGGGPPAPHMQ NQMNGQMPGPMMHQQPPSQQYNMPPGGAQHYQGQQAPMGLMGQVNQGSHMMGQRQMPPYR PPQQGPPQQYSGQEDYYGDQYSHGGQGPPEGMNQQYYPDGHNDYGYQQPSYPEQGYDRPY EDSSQHYYEGGNSQYGQQQDAYQGPPPQQGYPPQQQQYPGQQGYPGQQQSYGPSQGGPGP QYPNYPQGQGQQYGGYRPTQPGPPQPPQQRPYGYDQGQYGNYQQ >ENSMUSP00000041636.4 pep:known chromosome:GRCm38:13:3837757:3838671:1 gene:ENSMUSG00000033765.4 transcript:ENSMUST00000042219.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calm4 description:calmodulin 4 [Source:MGI Symbol;Acc:MGI:1931464] MSHGFTKEEVAEFQAAFNRFDKNKDGHISVEELGDVMKQLGKNLPEKDLKALISKLDTDG DGKISFEEFLTAIEKYKKGHRAGELRAVFNVLDQNGDGYITVDELKESLSKLGESLSQEE LEDMIRVADVDQDGKVKYEEFVRLHVEN >ENSMUSP00000045432.6 pep:known chromosome:GRCm38:19:23302609:23448322:-1 gene:ENSMUSG00000033207.6 transcript:ENSMUST00000036069.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mamdc2 description:MAM domain containing 2 [Source:MGI Symbol;Acc:MGI:1918988] MLLEGVLLVVQALQLANALDLPAGSCAFEEDTCGFDSVFAFLPWILNEEGHYVYMDTSFA RQGEKAVLLSSDLQAEEWNCLRLVYQITTPPGSVSDPSQLNLYVRFEDESFDRLLWSTKE PSDSWLIASLDLQNSSKKFKILIEGVLGQGNTASIALFEIKMTAGYCIECDFEENHLCGF VNRWNPNVNWFVGGGTAKNTHSILPQDHTFRSEHGHYMYVDSVYVKHFQEVAQLISPVTT ASMSGCLSFYYQLQQGNDNVFSVYTRDMAGLYEEIWKVDSPGNAAWNLAEVEFSAPYPME VIFEVAFNGPKGGYVALDDISFSPVHCQNQTGLPFSAVETSCDFEIGLCNFYQDKEGPGW TRVRVKANMYRAGDHTTGTGHYLLANTKFTSQPGYIGRLYGPSLPGNMQYCVRFHYAIFG FLKMSDTLAVYIFEENHVVQEKIWSVLESPRGVWMQAEISFKKPMPTKVVFMSLCKSFWD CGLVALDDITIQLGNCRSPARLPPPPGECTFDQDECAFTQEKRNRSSWHRGRGETPTSYT GPKGDHTTGVGYYMYIEASHMVYGQKAHLLSQPLRGVPGKHCLTFFYHMYGAGTGLLSVY LKREEDSEESLLWRRRGEQSISWLRALVEYSCRRRHQIIFEATRGVSIRSDIAIDDVKLQ AGPCAGMEDTTEQSSGYSEDLNEIEY >ENSMUSP00000051468.8 pep:known chromosome:GRCm38:7:45526330:45554229:1 gene:ENSMUSG00000040428.18 transcript:ENSMUST00000051810.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plekha4 description:pleckstrin homology domain containing, family A (phosphoinositide binding specific) member 4 [Source:MGI Symbol;Acc:MGI:1916467] MEQGRPRSSLSLASSASTVSSLSSLSTKKPTRAVHKVHAFGKRNNALRRDPNLPVHIRGW LHKQDSSGLRLWKRRWFVLSGHCLFYYKDSREESVLGSVLLPSYSVRPDGPGAPRGRRFT FTAEHPGMRTYVLAADTLEDLRGWLRALGRASRAEGEDCGLPRSPARPRPGEGPGGPGGP PEVNRREEGRISESPEVARLSRGLGRHETHTPNSTVDLQTDTWSRRTRSPELFSPLSRPP SPLSLPRPRSAPARRPPLSAGDISFPARPHTPLSRIDVRPPLDWGPQRQTLSRPPIPRRG PSSEAGGERPPRSPQPRTPEQRTQSTQATSGSSTYLQLPPRPPGTQASMILLPGPPVDSA LHQSLETDTLLTKLCGQDRLLRQLQEDLDKRQEEKEQLEAALELTRQQLGQATREAAASG KAWGRQRLLQDRLVNVRAALCHLAQERERVWDTYSGLEQDLGTLRETLEYLLHLGSPQDR ACAQQQLWMVEDTLAGLGGPQKQPPHTDPKSPSPAPQGEESSERESLSESLELSSPQSPE VDWSRPSGGDRALSSPQSGVGSPRVSRASSPECRQPSSPLLRTKAPLARPRMSAQEQLER MRRNQACGLPLPRPTSPRLLTLGRTLSPAPRQPDMEQRPIVGAAKWLRSSGSWSSPRHSA TCSPVPGGHRERVLSLSQALATEASQWHRLMAASPGRNLDTRGDCLQPSPQPPSEELPQV TSSPAPHKATSATTGFSCQGSRRGLAPWEARWDPGKAPPALTQEEGAWPFRVTLLQSSF >ENSMUSP00000099217.3 pep:known chromosome:GRCm38:X:7907693:7907835:-1 gene:ENSMUSG00000073291.3 transcript:ENSMUST00000085330.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10491 description:predicted gene 10491 [Source:MGI Symbol;Acc:MGI:3642000] MDFCFRDRVSLCSPGCWPRTQKSACLCLPSAGIKGVHQH >ENSMUSP00000097444.2 pep:known chromosome:GRCm38:10:45067206:45158997:1 gene:ENSMUSG00000019849.10 transcript:ENSMUST00000099858.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prep description:prolyl endopeptidase [Source:MGI Symbol;Acc:MGI:1270863] MLSFQYPDVYRDETSVQEYHGHKICDPYSWLEDPDSEQTKAFVEAQNKITVPFLEQCPIR GLYKERMTELYDYPKYSCHFKKGKRYFYFYNTGLQNQRVLYVQDSLEGEARVFLDPNTLS DDGTVALRGYAFSEDGEYFAYGLSASGSDWVTIKFMKVDGAKELPDVLERVKFTCMAWTH DGKGMFYNSYPQQDGKSDGTETSTNLHQKLCYHVLGTDQSEDILCAEFPDEPKWMGGAEL SDDGRYVLLSIWEGCDPVNRLWYCDLQQEPNGITGILKWVKLIDNFEGEYDYVTNEGTVF TFKTNRNSPNYRLINIDFTDPDESKWKVLVPEHEKDVLEWVACVRSNFLVLCYLHDVKNI LQLHDLTTGALLKTFPLDVGSVVGYSGRKKDSEIFYQFTSFLSPGVIYHCDLTKEELEPM VFREVTVKGIDAADYQTIQIFYPSKDGTKIPMFIVHKKGIKLDGSHPAFLYGYGGFNISI TPNYSVSRLIFVRHMGGVLAVANIRGGGEYGETWHKGGILANKQNCFDDFQCAAEYLIKE GYTSPKRLTINGGSNGGLLVAACANQRPDLFGCVIAQVGVMDMLKFHKFTIGHAWTTDYG CSDTKQHFEWLLKYSPLHNVKLPEADDIQYPSMLLLTADHDDRVVPLHSLKFIATLQYIV GRSRKQSNPLLIHVDTKAGHGAGKPTAKVIEEVSDMFAFIARCLNIEWIQ >ENSMUSP00000026078.8 pep:known chromosome:GRCm38:13:60781893:60786364:-1 gene:ENSMUSG00000042243.9 transcript:ENSMUST00000026078.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051665 description:cDNA sequence BC051665 [Source:MGI Symbol;Acc:MGI:2682300] MTPVFLLATLCLGVVSAAPAHDPSLDAVWEEWKTKHRKTYNMNEEAQKRAVWENNMKMIG LHNEDYLKGKHGFNLEMNAFGDLTNTEFRELMTGFQSMGHKEMTIFQEPLLGDVPKSVDW RDHGYVTPVKDQGHCGSCWAFSAVGSLEGQIFRKTGKLVPLSEQNLMDCSWSYGNVGCNG GLMELAFQYVKENRGLDTRESYAYEAWDGPCRYDPKYSAVNITGFVKVPLSEDALMNAVA SVGPVSVGIDTHHHSFRFYRGGTYYEPDCSSTNLDHAVLVVGYGEESDGRKYWLVKNSWG EDWGMDGYIKMAKDRDNNCGIATYAIYPTV >ENSMUSP00000024595.2 pep:known chromosome:GRCm38:17:12419972:12507704:-1 gene:ENSMUSG00000023828.2 transcript:ENSMUST00000024595.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a3 description:solute carrier family 22 (organic cation transporter), member 3 [Source:MGI Symbol;Acc:MGI:1333817] MPTFDQALRKAGEFGRFQRRVFLLLCLTGVTFAFLFVGVVFLGSQPDYYWCRGPRATALA ERCAWSPEEEWNLTTPELHVPAERRGQGHCHRYLLEATNTSSELSCDPLTAFPNRSAPLV SCSGDWRYVETHSTIVSQFDLVCSNAWMLDLTQAILNLGFLAGAFTLGYAADRYGRLIIY LISCFGVGITGVVVAFAPNFSVFVIFRFLQGVFGKGAWMTCFVIVTEIVGSKQRRIVGIV IQMFFTLGIIILPGIAYFTPSWQGIQLAISLPSFLFLLYYWVVPESPRWLITRKQGEKAL QILRRVAKCNGKHLSSNYSEITVTDEEVSNPSCLDLVRTPQMRKCTLILMFAWFTSAVVY QGLVMRLGLIGGNLYIDFFISGLVELPGALLILLTIERLGRRLPFAASNIVAGVSCLVTA FLPEGIPWLRTTVATLGRLGITMAFEIVYLVNSELYPTTLRNFGVSLCSGLCDFGGIIAP FLLFRLAAIWLELPLIIFGILASVCGGLVMLLPETKGIALPETVEDVEKLGSSQLHQCGR KKKTQVSTSDV >ENSMUSP00000047665.9 pep:known chromosome:GRCm38:14:21052574:21448568:1 gene:ENSMUSG00000039197.9 transcript:ENSMUST00000045376.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adk description:adenosine kinase [Source:MGI Symbol;Acc:MGI:87930] MAAADEPKPKKLKVEAPQALSENVLFGMGNPLLDISAVVDKDFLDKYSLKPNDQILAEDK HKELFDELVKKFKVEYHAGGSTQNSMKVAQWLIQEPHKAATFFGCIGIDKFGEILKRKAA DAHVDAHYYEQNEQPTGTCAACITGGNRSLVANLAAANCYKKEKHLDLERNWVLVEKARV YYIAGFFLTVSPESVLKVARYAAENNRVFTLNLSAPFISQFFKEALMDVMPYVDILFGNE TEAATFAREQGFETKDIKEIAKKAQALPKVNSKRQRTVIFTQGRDDTIVAAENDVTAFPV LDQNQEEIIDTNGAGDAFVGGFLSQLVSDKPLTECIRAGHYAASVIIRRTGCTFPEKPDF H >ENSMUSP00000003444.4 pep:known chromosome:GRCm38:15:98708207:98723326:1 gene:ENSMUSG00000003354.5 transcript:ENSMUST00000003444.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc65 description:coiled-coil domain containing 65 [Source:MGI Symbol;Acc:MGI:2146001] MSKKGKKPKLPKAPLSEEDQLLIFQQKMLADEEAAKKKERLLTQFLKDKLAKEEHNSALN LNKINTQWRTILREVKTRELHQDIEILSQTFERVVDCKDSVIKSLAKDLTEAEEQYAHAL RSHLHNIDQLLTLQRRRLGLLEENYNMELEVLTKEFETERKLIIDHHEKEMHYLQDVFMA MEQNYIDSEYESKLEFQSMWDDLKNKNLEEKHFLRLQLENIVEDLWRRFQDALKNYTDAT EDRKIAFEYLKVKDEKSSKEIETQMKKIQKLQETIGILKGKIVAHSREGEWQNQCIRNNK ELVHVQLRKLKVQRTQARTLSQENLVKLTLESNATLKALKKVVEKGEKILKLAEICRKFE TEEEKVLPFYSSVLTPEEQEEAKLQNPEDITEDLAKIMMDYAGMENFWKRYNKVKLEVLS LQHRRLQLLDISSKLREMLKQYLDGISVSDEVLSHLNPLFVVNHRSNLPQLPPPSAQPVY NVIEAAHIASHIL >ENSMUSP00000078603.3 pep:known chromosome:GRCm38:9:19780283:19784064:1 gene:ENSMUSG00000058659.3 transcript:ENSMUST00000079660.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr58 description:olfactory receptor 58 [Source:MGI Symbol;Acc:MGI:1333790] MEPYNLTGTLEFILLGLSEDPELQLILFALFLLIYLLTMLGNVLIILAISCDSHLHSPMY FFLYNLSLSDMGFSSTTIPKMLINLHAHKRSTTYAECLTQVSFFILFGCMDSFLLAVMAY DRWVAICHPLHYQVILNPCRCRYLVVMSFCISLIDSQVHCFMVSQLTFCTNIEIPHFFCD VPELVKLACSNTTINDIAMFLSSIIVGFLPASGIFYSYYKITSSIFRVPSLLGKYKAFST CGSHLSVVCLFYGTGIGVYLSSTVSGSSRESMVASVMYTMVVPMMNPFIYSLRNRDIKKA LWKIVCKIT >ENSMUSP00000137301.1 pep:known chromosome:GRCm38:9:21921010:21927535:-1 gene:ENSMUSG00000040883.15 transcript:ENSMUST00000178988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem205 description:transmembrane protein 205 [Source:MGI Symbol;Acc:MGI:3045495] MEKGEDPGSLIKVIHLLVLSGAWGMQVWVTFISGFLLFRSLPRHTFGLVQSKVFPVYFHV SLGCAFINLCILAPQRAWIHLTLWEVSQLSLLLLSLTLATINARWLEARTTAVMRALQSI EKERGLGTEVPGNFQGPDPYRQLRDKDPKYSALRRKFYHYHGLSSLCNLGCLLSNGLCLV GLALGLRSL >ENSMUSP00000048832.9 pep:known chromosome:GRCm38:9:21921010:21927535:-1 gene:ENSMUSG00000040883.15 transcript:ENSMUST00000046831.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem205 description:transmembrane protein 205 [Source:MGI Symbol;Acc:MGI:3045495] MEKGEDPGSLIKVIHLLVLSGAWGMQVWVTFISGFLLFRSLPRHTFGLVQSKVFPVYFHV SLGCAFINLCILAPQRAWIHLTLWEVSQLSLLLLSLTLATINARWLEARTTAVMRALQSI EKERGLGTEVPGNFQGPDPYRQLRDKDPKYSALRRKFYHYHGLSSLCNLGCLLSNGLCLV GLALGLRSL >ENSMUSP00000094324.2 pep:known chromosome:GRCm38:18:35660017:35662186:-1 gene:ENSMUSG00000024352.11 transcript:ENSMUST00000096573.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata24 description:spermatogenesis associated 24 [Source:MGI Symbol;Acc:MGI:1918492] MATPLGWSQGGSGSVCLAFDQLRDVIESQEELIHQLRNVMVLQDENFVSKEEFHEIEKKL VEEKAAHAKTKALLAKEEEKLQFALGEVEVLSKQLEKEKMAFEKALSSVKSRVLQESSKK DQLITKCNGRREARAAPQVNIHGLFTNSPMTVPKAGLYPNFCPRLRIQASPVLRKCLQGP GRSRK >ENSMUSP00000025209.4 pep:known chromosome:GRCm38:18:35656690:35662186:-1 gene:ENSMUSG00000024352.11 transcript:ENSMUST00000025209.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata24 description:spermatogenesis associated 24 [Source:MGI Symbol;Acc:MGI:1918492] MATPLGWSQGGSGSVCLAFDQLRDVIESQEELIHQLRNVMVLQDENFVSKEEFHEIEKKL VEEKAAHAKTKALLAKEEEKLQFALGEVEVLSKQLEKEKMAFEKALSSVKSRVLQESSKK DQLITKCNEIESHIIKQEDILNGKENEIKELQQVISQQKQNFRNHISDFRIQKQQETYMA QVLDQKRKKATGMRRARSRQCSREK >ENSMUSP00000049156.8 pep:known chromosome:GRCm38:18:74195299:74207818:-1 gene:ENSMUSG00000036223.15 transcript:ENSMUST00000040188.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ska1 description:spindle and kinetochore associated complex subunit 1 [Source:MGI Symbol;Acc:MGI:1913718] MDSELEDLCSYVNEKIGNIKKILSIRNLGQDPALKTTLSKIGDEIIAVNELLNKFELEIQ YQEQTNSSLKELCESLREECEDVEHLKEHVPPHLPQVTATQSLVHKPEPDPKESDKAEEP GLPKKPPREQRIIKEMQFITMDEFSDVPAYMKSRLTYCQINDIIKEINKAVVSKYKIMHQ PKASMSSVKRNLYQRFINEETKDTKGHHFIVEADIKEFTALKVDKRFYVIMHILRHCHRL SEVRGGGLTRYVIT >ENSMUSP00000137357.1 pep:known chromosome:GRCm38:18:74195299:74207818:-1 gene:ENSMUSG00000036223.15 transcript:ENSMUST00000177604.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ska1 description:spindle and kinetochore associated complex subunit 1 [Source:MGI Symbol;Acc:MGI:1913718] MDSELEDLCSYVNEKIGNIKKILSIRNLGQDPALKTTLSKIGDEIIAVNELLNKFELEIQ YQEQTNSSLKELCESLREECEDVEHLKEHVPPHLPQVTATQSLVHKPEPDPKESDKAEEP GLPKKPPREQRIIKEMQFITMDEFSDVPAYMKSRLTYCQINDIIKEINKAVVSKYKIMHQ PKASMSSVKRNLYQRFINEETKDTKGHHFIVEADIKEFTALKVDKRFYVIMHILRHCHRL SEVRGGGLTRYVIT >ENSMUSP00000025137.7 pep:known chromosome:GRCm38:18:9958180:9995484:1 gene:ENSMUSG00000024287.7 transcript:ENSMUST00000025137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc1 description:THO complex 1 [Source:MGI Symbol;Acc:MGI:1919668] MSPTPALFSLPEARTRFTKSTREALNNKNIKPLLTAFSQLPGSENEKKCTLDQAFRGVLE EEIINHSACENVLAIISLAIGGVTESVCTASTPFVLLGDVLDCLPLDQCDTIFTFVEKNV ATWKSNTFYSAGKNYLLRMCNDLLRRLSKSQNTVFCGRIQLFLARLFPLSEKSGLNLQSQ FNLENVTVFNTNEQESTLGQKHTEDREEGMDVEEGEMGDDEAPTTCSIPIDYNLYRKFWS LQDYFRNPVQCYEKISWKTFLKYSEEVLAVFKSYKLDDTQASRKKMEELKTGGEHVYFAK FLTSEKLMDLQLSDSNFRRHILLQYLILFQYLKGQVKFKSSNYVLTDEQSLWIEDTTKSV YQLLSENPPDGERFSKMVEHILNTEENWNSWKNEGCPSFVKERASDTKPTRVVRKRAAPE DFLGKGPNKKILIGNEELTRLWNLCPDNMEACKSETREYMPTLEEFFEEAIEQADPENMV ESEYKAVNNSNYGWRALRLLARRSPHFFQPTNQQFKSLPEYLENMVIKLAKELPPPSEEI KTGEDEDEEDNDALLKENESPDVRRDKPITGEQIESFANKLGEQWKILAPYLEIKDSDIR QIECDSEDMKMRAKQLLVAWQDQEGVHATTDNLISALNKSGLSDLAESLTNDTETNS >ENSMUSP00000065613.6 pep:known chromosome:GRCm38:12:12262139:12376359:1 gene:ENSMUSG00000020589.16 transcript:ENSMUST00000069066.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam49a description:family with sequence similarity 49, member A [Source:MGI Symbol;Acc:MGI:1261783] MGNLLKVLTREIENYPHFFLDFENAQPTEGEREIWNQISAVLQDSESILTDLQAYKGAGP EIRDAIQNPNDIQLQEKAWNAVCPLVVRLKRFYEFSIRLEKALQSLLESLTCPPYTPTQH LEREQALAKEFAEILHFTLRFDELKMRNPAIQNDFSYYRRTISRNRINNMHLDIENEVNN EMANRMSLFYAEATPMLKTLSNATMHFVSENKTLPIENTTDCLSTMTSVCKVMLETPEYR SRFTSEETLMFCMRVMVGVIILYDHVHPVGAFCKTSKIDMKGCIKVLKEQAPDSVEGLLN ALRFTTKHLNDESTSKQIRAMLQ >ENSMUSP00000068125.8 pep:known chromosome:GRCm38:12:12262139:12376359:1 gene:ENSMUSG00000020589.16 transcript:ENSMUST00000069005.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam49a description:family with sequence similarity 49, member A [Source:MGI Symbol;Acc:MGI:1261783] MGNLLKVLTREIENYPHFFLDFENAQPTEGEREIWNQISAVLQDSESILTDLQAYKGAGP EIRDAIQNPNDIQLQEKAWNAVCPLVVRLKRFYEFSIRLEKALQSLLESLTCPPYTPTQH LEREQALAKEFAEILHFTLRFDELKMRNPAIQNDFSYYRRTISRNRINNMHLDIENEVNN EMANRMSLFYAEATPMLKTLSNATMHFVSENKTLPIENTTDCLSTMTSVCKVMLETPEYR SRFTSEETLMFCMRVMVGVIILYDHVHPVGAFCKTSKIDMKGCIKVLKEQAPDSVEGLLN ALRFTTKHLNDESTSKQIRAMLQ >ENSMUSP00000022450.4 pep:known chromosome:GRCm38:14:27428847:27483552:1 gene:ENSMUSG00000040651.8 transcript:ENSMUST00000022450.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam208a description:family with sequence similarity 208, member A [Source:MGI Symbol;Acc:MGI:1921694] MATAAETEAPSTDASWKSRGGGGGDDGMKPALPELESSLQNGGGDGGGGAGPEETAAAEA ARSYGHEQPQQTSEAAAAALPKGAEEPERPFRRSFQIPRKSREKKALFQPLTPGSREFED VLNILHSSYLEPSSVTYFNYRRACLIHNELLEKEFTEKRRELKFDGRLDKELSESYAFLM VDRYQVQSICEKGLQVGQSKITVLGSPSMGIYLCRYADLLQANPLEAGAVGDVVIFKIMK GKIKSIYDPLSVKSLESMLSKNALDPTPKHECHVSKNASRITSLLAYRAYELTQYYFYEY GFDEVRRRPRHVCPYAVVSFTYKDDVQTPKFLSPLRSNSFNADRNIDKFNYTLWKGQLLN KGKLLCYISLRSANRAFLPVKLPEKLDVETVMSIDCLKQKIPPSFFYKDTYVGPNEVLKN GMYCSLYEVVEKTRIGSNMECLLQKLEKEKLVLVKPLGDRGYLFLLSPFQMVSPYEHQTV KSRILHALFLFQEPRCLIITQKGIMNTTPLEKPENLADILKITQFLQFSLIQCRKEFKTI NTINFHSVVEKYVSEFFKRGFGSGKREFFMFSYDSRLDDRKFLYSAPRNKSHIDDCLHTY IYQPEMYQLSIFKLKELFEENWRRQQFSPLSDYEGQEEELNGSKMKFGKRNNSRDETTEP EQQKSSHSLDYDKDRVKELINLIQCTKKNVGGDPDPEDTKSKNVLKRKLEDLPENMRKFA KTSNSTESCHLYEESPQSIGLLGQDPNLRVQQEDSGNTGDIHKLYNWLSEALANARHSDG FLTETVNKALGLSSSGAYEELKQKCDYELNSTLDKKESEQPACTKIENVHFKDAQSPLLE VDAASVKYPPLLSSSEDPNLINVNNFEGCSLCPTVSIEHGFLRQHSKSNDDEETEIHWKL IPITGGNAGSPEDQHGKHGEKQTPGMKSPGEQLVCLPPVEAFPNDPRVINRERSCDYQFP SSPSTDTLKGTTEEDTVTAGQAMAVEEQCVPAAELPRVSEITENTVLGEFHLFSRKVEEI LKEKNVSYVSAISTPIFSAQEKMNRLSEFIHSNTSKAGVEEFVDGLHEKLNTVVITASAK GVSLPPAVSANHSHAAAALASLGRRVVSISSSDFSAKELFEPLCSEHLKDNNSNEQYSSS VEVEMNRPHHCKELMLTSDHTVPGDTVLEPTEKEITKSPSDITISAQPALSNFISQLEPE VFNSLVKIMKDVQKNTVKFYIHEEEESVLCKEIKEYLTKLGNTECHPDQFLERRSNLDKL LIIIQNEDIAGFIHKVPGLVTLKKLPCVSFAGVDSLDDVKNHTYNELFVSGGFIVSDESI LNLEVVTIESLKIFLTFLEELSTPEGKWQWKIHCKFQKKLKELGRMNTKALSLLTLLNVY QKKHLVEILSYHSCDSQTRNAPEMDCLIRLQAQNIQQRHIVFLTEKNIKMVSSYTDNGIV VATTEDFMQNFTSLVGYHNSVTEESLPPLLGANENLESQSDAVLTLTPLELGVGISQH >ENSMUSP00000015449.5 pep:known chromosome:GRCm38:10:8722219:8886070:-1 gene:ENSMUSG00000015305.5 transcript:ENSMUST00000015449.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sash1 description:SAM and SH3 domain containing 1 [Source:MGI Symbol;Acc:MGI:1917347] MEEDAGAASPAPEPEPEVDPARELEPEAGVSESISRLWTDVMGILDGSLGNIDDLAQQYA DYYNTCFSDVCERMEELRKRRVSQDLDVEKPDASPTSLQLRSQIEESLGFCSAVSTPEVE RKYPLHKSNSEDGCVGKGDWKKKNKYFWQNFRKNQKGIMRQTSKGEDVGYVASEITMSDE ERIQLMMMVKEKMITIEEALARLKEYEAQHRQSSTLDPADWPDGSYPTLDGSSTCNSREQ SDDETEDSVKFKRLHKLVNSTRRVRKKLIRVEEMKKPSTEGGEEHVFENSPVQDERSALY SGVHKKPFFYDGSPEKPPEDDADSLTPSPSSSSLDTWGAGRKLVKTFSKGESRGLIKPPK KMGTFFSYPEEEKAQKVSRSLTEGEMKKGLGSLSHGRTCSFGGFDLTNRSLHVGSNNSDP AGKEGDFVYKEVIKSPPAPRISLGKKVRSVKETMRKRMSKKYSSPVSEQDSGLDGMPSSP ASGKPDSEHVDKPKLKAGGSVESLRSSLSGQSSMSGQTVSTTDSSTSNRESVKSEDGDDE EPPYRGPFCGRARVHTDFTPSPYDTDSLKLKKGDIIDIISKPPMGTWMGLLNNKVGTFKF IYVDVLNEEEEKPKRPTRRRKKGRPSQPKSVEDLLDRINLKEHMPTFLFNGYEDLDTFKL LEEEDLDELNIRDPEHRAVLLTAVELLQEYDSNSDQSGSQEKLLVDNQGLSGRSPRDSGC YESSENLENAKTHKPSVLSTKSSTESNLKSFTRSQPGNYPTLPLMKSGEVRKQGEEGRLG RGLAPDTAKSCDVPSVTDLSKNRRSLPVSICRSCETLEGPEPVESWPRSHSLDDLQGDAD VGKNVPTEMPETCSQNVPEVPQKTSACTSKALPRGRDPTADVMLLTQSKRFSDPPKTMAK KLDGSVVASNLGIAPPQCIPRDFEAQPPVKPGLTRTSLEGLRKGHDHHPLGTKEGVDGEQ SAPETRTQSRHPSQPPPVPAKKSRERLANGLHLVPSPEAPILPLKKASPASPVSPSDCPS PREPRPSSGTEPGSPACTRPPPWLAELPESTSLQEHGVKLGPVLSRKVSCVRGVDLEMLT ENKLQAEGIDLTEEPYSDKHGRCGIPEALVQRYAEDLEQPERDVATNMDQIRVKLLRKQH RMAIPSGGLTEICRKPLSPGCVASMSDWLISIGLPMYTSTLSDAGFSTLSQVPSLSHSCL QEAGITEERHIRKLITAARLFKLPPSPEAM >ENSMUSP00000136323.1 pep:known chromosome:GRCm38:8:63924694:63952170:-1 gene:ENSMUSG00000094443.1 transcript:ENSMUST00000179944.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgol2b description:shugoshin like 2b (S. pombe) [Source:MGI Symbol;Acc:MGI:3644562] MEYPGIEVDAVTSGIQRRVKDRIAKTKLSVSLASKIKTKLFNNSSMFRISLKHNNRALAR ALSKEKENSRKITTEKMQLQKEVEKLNFENTFLRLKLNNLNEKLKEIESHVANNLLAAIE TSSLSEFHQSSSFLSANKKQRRSNQCKSAHLPYERVLLTSENDDDDDDGADDKWNTKCSN RIISKTSPDTTSLVSRQPLSLHQCNLELFLPKEGNQKTCGSGHSEHTSSVDVLPNESHCH SDQSPQSSPSEMKTGPSPNLRRGEKLTLKNITQRKKCVSSTVDILYVSDLENQSISSPGS NWNNEINGHTNETSNNTQRNTECFLGLPSESSSEPYVKRMEQVQKTDNFHFHNTVSEAAD VELTATDVGKIVIVSKSNKNQIKKKADCRKETFRKVKGASSDKKRESSKRECKDGSEVGA EKETDESRAKRGSGVLDGRGDSEEPNCISSTEQPSQVNTQKKITPQNSSDQENIQNKKRR RTYMLDEQEEANPFSRHSVKFLQDGKFDLCQKTLHHNLSNPSRQTFVIHKSEKGNLFPNQ EDKDTISESLEVTNEFHTADHSIRENVCDHEAQTTLGLRKSVNAQQNLTKKTKQKINRGT KIVSSMKQIYEDNDKDMHFLEKGNFPFQTQADKVTTSGSLEATEEFDTPLFFTRVNGSLR DCETQNVMDLHKQITGIYPAQNESQMSKNPRQKVNRKTAVISGVNCFSSDKGVHCSEKDK SFLLQKDKDILGTLKDLSESDMPALCNQDSAEPYKSEMLLGLKKHDHDMQPACQGDSKVD KKLRKQANRKTEIISKITQIHDNDGGSAHDPLNKKLGQKVNISDIISQMNQLYETTIEDG NGFKSSVKDCQGVISCDLGEINSNKKENYDPIQDPCTLVKKTKRKGSCNSGSSLAGDKNR CSLRLTDSSQVSVTLDSGLRHHPNEADTSPGEWTNLPKKQKPIVVSSPGDAFSVSAGKAR SNPAKAVSEMTSKLKKRKTHLGCSPETHGPVEITPNTDLTKAVDSQQTEKENYLEKENYL ENEKIAKRKPDFCMKVLKPLSQTCSSNIKNSSLDSMCKSSLPLSISSSKNLMLEESSSLE STCIFQVGDDAREKITAGTHNPHRTQKSRPGSRTSLVLVDTSSVSSNTANPENESEEQSS PPRRRKRQCAPLNLTEPSLKRQVWQRC >ENSMUSP00000052866.6 pep:known chromosome:GRCm38:17:24470473:24471591:1 gene:ENSMUSG00000043445.6 transcript:ENSMUST00000053024.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgp description:phosphoglycolate phosphatase [Source:MGI Symbol;Acc:MGI:1914328] MAEAEAGGDEARCVRLSAERAKLLLAEVDTLLFDCDGVLWRGETAVPGAPETLRALRARG KRLGFITNNSSKTRTAYAEKLRRLGFGGPVGPEAGLEVFGTAYCSALYLRQRLAGVPDPK AYVLGSPALAAELEAVGVTSVGVGPDVLHGDGPSDWLAVPLEPDVRAVVVGFDPHFSYMK LTKAVRYLQQPDCLLVGTNMDNRLPLENGRFIAGTGCLVRAVEMAAQRQADIIGKPSRFI FDCVSQEYGINPERTVMVGDRLDTDILLGSTCSLKTILTLTGVSSLEDVKSNQESDCMFK KKMVPDFYVDSIADLLPALQG >ENSMUSP00000059839.5 pep:known chromosome:GRCm38:15:76001093:76014336:-1 gene:ENSMUSG00000046761.12 transcript:ENSMUST00000060807.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83h description:family with sequence similarity 83, member H [Source:MGI Symbol;Acc:MGI:2145900] MARRSQSSSQGDNPLAPGYLPPHYKEYYRLAVDALTEGGPEAYNRFLASEGAPDFLCPEE LEHVSRHLQPPQYVAREPPEGTPSDVDMDGSSGTYWPVNSDQAVPELDLGWPLTFGFQGT EVTTLVQPPPPDSPSIKDEARRMIRSAQQVVAVVMDMFTDVDLLSEVLEAAARRVPVYIL LDEMNAQHFLDMADKCRVNLHHVDFLRVRTVAGPTYYCRTGKSFKGHLKEKFLLVDCAVV MSGSYSFMWSFEKIHRSLAHVFQGELVSSFDEEFRILFAQSEPLVPSAGALARMDAYALA PYSGAGPLVGVPGVGAPTPFSFPKRAHLLFPPPREEGLGFPSFLDPDRHFLSAFRREELQ RMPGGALEPHTGLRPLARPTEAGPFGELAGPRGFFQSRHLEMDAFKRHSYATPDGAGAVE NFAAARQVSRQTFLSHGDDFRFQTSHFQRDQLYQQHYQWDPQFAPARPQGLFEKLRAGRP GFADPDDFALGAGHRFPELGADVHQRLEYVPSSASREVRHGSDPAFGPSPRGLEPSGASR PNLGQRFPCQATLRQGLDTASEAEPERRGGPEGRAGLRHWRLASYLSGCHGDGGEEGLPM EAEACEDEVLAPGGRDLLPSAFRTPAAFPAKGPKPGSGSGGGDSSEREGPEETSLAKQDS FRSRLNPLIQRSSRLRSSLIFASQAEGAVGTAAATTEKVQLMHKEQTVSETLGPSGEAVR SSASAKVAELLEKYKGPARDPGGAGGAVTSSSHSKAVVSQAWREEVVAPGGAGTERRSLE SCLLDLRDSFAQQLHQEAERHPGAASLTAAQLLDTLGGTDRLPSRFLSAQGRSLSPQGRD SPPPEGLGTHQLPYSEPKGNPTPAYPERKGSPTPAYPERKGSPTPAYPERKGSPTPAYPE RKGSPTQAYPERKGSPTSGFPNRRGSPTTGLMEQKGSPTSTYPDRRGSPVPPVPERRGSP VPPVPERRGSLTFAGESSKTGPTEEVSSGPMEVLRKGSLRLRQLLSPKNERRGEDEGSFP TPQENGQPESPRRPSLSRGDSTEAAAEERGSRVRLASATANALYSSNLRDDTKAILEQIS AHGQKHRGVPAPGPAHSSPDVGRPTTAGDLAPDMSDKDKCSAIFRSDSLGTQGRLSRTLP GSAEERDRLLRRMESMRKEKRVYSRFEVFCKKDEAGSSGAGDNLADEDTRDSKMGKFVPK ILGTFKSKK >ENSMUSP00000126453.1 pep:known chromosome:GRCm38:15:76001093:76009498:-1 gene:ENSMUSG00000046761.12 transcript:ENSMUST00000170153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83h description:family with sequence similarity 83, member H [Source:MGI Symbol;Acc:MGI:2145900] MARRSQSSSQGDNPLAPGYLPPHYKEYYRLAVDALTEGGPEAYNRFLASEGAPDFLCPEE LEHVSRHLQPPQYVAREPPEGTPSDVDMDGSSGTYWPVNSDQAVPELDLGWPLTFGFQGT EVTTLVQPPPPDSPSIKDEARRMIRSAQQVVAVVMDMFTDVDLLSEVLEAAARRVPVYIL LDEMNAQHFLDMADKCRVNLHHVDFLRVRTVAGPTYYCRTGKSFKGHLKEKFLLVDCAVV MSGSYSFMWSFEKIHRSLAHVFQGELVSSFDEEFRILFAQSEPLVPSAGALARMDAYALA PYSGAGPLVGVPGVGAPTPFSFPKRAHLLFPPPREEGLGFPSFLDPDRHFLSAFRREELQ RMPGGALEPHTGLRPLARPTEAGPFGELAGPRGFFQSRHLEMDAFKRHSYATPDGAGAVE NFAAARQVSRQTFLSHGDDFRFQTSHFQRDQLYQQHYQWDPQFAPARPQGLFEKLRAGRP GFADPDDFALGAGHRFPELGADVHQRLEYVPSSASREVRHGSDPAFGPSPRGLEPSGASR PNLGQRFPCQATLRQGLDTASEAEPERRGGPEGRAGLRHWRLASYLSGCHGDGGEEGLPM EAEACEDEVLAPGGRDLLPSAFRTPAAFPAKGPKPGSGSGGGDSSEREGPEETSLAKQDS FRSRLNPLIQRSSRLRSSLIFASQAEGAVGTAAATTEKVQLMHKEQTVSETLGPSGEAVR SSASAKVAELLEKYKGPARDPGGAGGAVTSSSHSKAVVSQAWREEVVAPGGAGTERRSLE SCLLDLRDSFAQQLHQEAERHPGAASLTAAQLLDTLGGTDRLPSRFLSAQGRSLSPQGRD SPPPEGLGTHQLPYSEPKGNPTPAYPERKGSPTPAYPERKGSPTPAYPERKGSPTPAYPE RKGSPTQAYPERKGSPTSGFPNRRGSPTTGLMEQKGSPTSTYPDRRGSPVPPVPERRGSP VPPVPERRGSLTFAGESSKTGPTEEVSSGPMEVLRKGSLRLRQLLSPKNERRGEDEGSFP TPQENGQPESPRRPSLSRGDSTEAAAEERGSRVRLASATANALYSSNLRDDTKAILEQIS AHGQKHRGVPAPGPAHSSPDVGRPTTAGDLAPDMSDKDKCSAIFRSDSLGTQGRLSRTLP GSAEERDRLLRRMESMRKEKRVYSRFEVFCKKDEAGSSGAGDNLADEDTRDSKMGKFVPK ILGTFKSKK >ENSMUSP00000091219.1 pep:known scaffold:GRCm38:GL456221.1:111572:163011:-1 gene:ENSMUSG00000062783.2 transcript:ENSMUST00000078827.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csprs description:component of Sp100-rs [Source:MGI Symbol;Acc:MGI:1891441] MNSSSEHCNVSDWLRLEATVKASVYVVAFSITTSLTIVIIAIVSQSLQLRKEVRLVLLCH HLLCISSYCGLGVVFQGMRALLANSPLLLCWLVFGAQLSVGEGILLTLTLMALNTYLAIC YPLNSPSFVDSAKYRILAGTWTTVILKNVGLFLIEGTSPTPASVFQSAPLCPVILNGMPA RVIGMFSLAFLLSVILVSYCLIYREGKQAGHFNKSNIKARRTVLVHLLQISLHVIPTLII IGLGKRCGVFFFALNLALFGIFAFAQCFNPLVYGLHNRDLQSKLYPWLCCRKKLLP >ENSMUSP00000132018.1 pep:known chromosome:GRCm38:18:46597708:46609171:1 gene:ENSMUSG00000057561.9 transcript:ENSMUST00000168382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif1a description:eukaryotic translation initiation factor 1A [Source:MGI Symbol;Acc:MGI:95298] MPKNKGKGGKNRRRGKNENESEKRELVFKEDGQEYAQVIKMLGNGRLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNKADVILKYNADEARSLKAYGELPEHAKINETDT FGPGDDDEIQFDDIGDDDEDIDDI >ENSMUSP00000077223.3 pep:known chromosome:GRCm38:18:46597704:46610225:1 gene:ENSMUSG00000057561.9 transcript:ENSMUST00000078079.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif1a description:eukaryotic translation initiation factor 1A [Source:MGI Symbol;Acc:MGI:95298] MPKNKGKGGKNRRRGKNENESEKRELVFKEDGQEYAQVIKMLGNGRLEAMCFDGVRRLCH IRGKLRKKVWINTSDIILIGLRDYQDNKADVILKYNADEARSLKAYGELPEHAKINETDT FGPGDDDEIQFDDIGDDDEDIDDI >ENSMUSP00000110987.2 pep:known chromosome:GRCm38:9:22003035:22014219:1 gene:ENSMUSG00000003402.13 transcript:ENSMUST00000115331.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcsh description:protein kinase C substrate 80K-H [Source:MGI Symbol;Acc:MGI:107877] MLLLLLLLLPLCWAVEVKRPRGVSLSNHHFYEESKPFTCLDGTATIPFDQVNDDYCDCKD GSDEPGTAACPNGSFHCTNTGYKPLYILSSRVNDGVCDCCDGTDEYNSGTVCENTCREKG RKEKESLQQLAEVTREGFRLKKILIEEWKTAREEKQSKLLELQAGKKSLEDQVETLRAAK EEAERPEKEAKDQHRKLWEEQQAAAKARREQERAASAFQELDDNMDGMVSLAELQTHPEL DTDGDGALSEEEAQALLSGDTQTDTTSFYDRVWAAIRDKYRSEVPPTDIPVPEETEPKEE KPPVLPPTEEEEEEEEEPEEEEEEEEEEEVQGEQPKEAPPPLQPPQPPSPTEDEKMPPYD EETQAIIDAAQEARSKFEEVERSLKEMEESIRSLEQEISFDFGPSGEFAYLYSQCYELTT NEYVYRLCPFKLVSQKPKHGGSPTSLGTWGSWAGPDHDKFSAMKYEQGTGCWQGPNRSTT VRLLCGKETVVTSTTEPSRCEYLMELMTPAACPEPPPEAPSDGDHDEL >ENSMUSP00000003493.7 pep:known chromosome:GRCm38:9:22003035:22014219:1 gene:ENSMUSG00000003402.13 transcript:ENSMUST00000003493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcsh description:protein kinase C substrate 80K-H [Source:MGI Symbol;Acc:MGI:107877] MLLLLLLLLPLCWAVEVKRPRGVSLSNHHFYEESKPFTCLDGTATIPFDQVNDDYCDCKD GSDEPGTAACPNGSFHCTNTGYKPLYILSSRVNDGVCDCCDGTDEYNSGTVCENTCREKG RKEKESLQQLAEVTREGFRLKKILIEEWKTAREEKQSKLLELQAGKKSLEDQVETLRAAK EEAERPEKEAKDQHRKLWEEQQAAAKARREQERAASAFQELDDNMDGMVSLAELQTHPEL DTDGDGALSEEEAQALLSGDTQTDTTSFYDRVWAAIRDKYRSEVPPTDIPVPEETEPKEE KPPVLPPTEEEEEEEEEPEEEEEEEEEEEEAPPPLQPPQPPSPTEDEKMPPYDEETQAII DAAQEARSKFEEVERSLKEMEESIRSLEQEISFDFGPSGEFAYLYSQCYELTTNEYVYRL CPFKLVSQKPKHGGSPTSLGTWGSWAGPDHDKFSAMKYEQGTGCWQGPNRSTTVRLLCGK ETVVTSTTEPSRCEYLMELMTPAACPEPPPEAPSDGDHDEL >ENSMUSP00000094750.5 pep:known chromosome:GRCm38:16:18621811:18629938:-1 gene:ENSMUSG00000072214.6 transcript:ENSMUST00000096987.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sept5 description:septin 5 [Source:MGI Symbol;Acc:MGI:1195461] MSTGLRYKSKLATPEDKQDIDKQYVGFATLPNQVHRKSVKKGFDFTLMVAGESGLGKSTL VHSLFLTDLYKDRKLLSAEERINQTVEILKHTVDIEEKGVKLKLTIVDTPGFGDAVNNSE CWKPITDYVDQQFEQYFRDESGLNRKNIQDNRVHCCLYFISPFGHGLRPVDVGFMKALHE KVNIVPLIAKADCLVPSEIRKLKDRIREEIDKFGIHVYQFPECDSDEDEDFKQQDRELKE SAPFAVIGSNTVVEAKGQRVRGRLYPWGIVEVENQAHCDFVKLRNMLIRTHMHDLKDVTC DVHYENYRAHCIQQMTSKLTQDSRMESPIPILPLPTPDAETEKLIRMKDEELRRMQEMLQ KMKQQMQDQ >ENSMUSP00000110183.3 pep:known chromosome:GRCm38:16:48310477:48319723:1 gene:ENSMUSG00000072419.4 transcript:ENSMUST00000097175.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dppa2 description:developmental pluripotency associated 2 [Source:MGI Symbol;Acc:MGI:2157523] MSYFGLETFNENQSEENLDEESVILTLVPFKEEEEPNTDYATQSNVSSSTLDHTPPARSL VRHAGIKHPTRTIPSTCPPPSLPPIRDVSRNTLREWCRYHNLSTDGKKVEVYLRLRRHSY SKQECYIPNTSREARMKQGPKKSKIVFRGIGPPSGCQRKKEESGVLEILTSPKESTFAAW ARIAMRAAQSMSKNRCPLPSNVEAFLPQATGSRWCVVHGRQLPADKKGWVRLQFLAGQTW VPDTPQRMNFLFLLPACIIPEPGVEDNLLCPECVHSNKKILRNFKIRSRAKKNALPPNMP P >ENSMUSP00000039322.5 pep:known chromosome:GRCm38:10:83543941:83596469:1 gene:ENSMUSG00000034560.5 transcript:ENSMUST00000038388.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A230046K03Rik description:RIKEN cDNA A230046K03 gene [Source:MGI Symbol;Acc:MGI:2441787] MAVDTLSPDWDFDRVDDGSQKIHAEVQLKNYGRFLEEYTSQLRRIEDALDDLIGDVWDFN LDPIALKLLPYEQSSLLELIKTENKVLNKVITVYAALCCEIKKLKYEAETKFYNGLLFYG EGATDSSMVEGDCQIQMGRFVSFLQELSCFVTRCYEVVMNVIHQLAALYISNKIGPKIIE TTGVHFQTMYEHLGELLTVLLTLDEIVDNHVTLKDHWTMYKRLLKSVHHNPSKFGIQEEK LKPFEKFLLKLEGQLLDGMIFQACIEQQFDSLNGGISVSKNSTFAEEFAHSIRSIFANVE AKLGEPSEIDQRDKYVGICGLFVLHFQIFRTVDKKFYKSLLDICKKVPAITLTANIIWFP DNFLIHKMPAAAKLLDRKSLQAIKIHRDTFLQQKAQSLNKDVQSYYVFVSSWMMKMESML SKEQRMDTFAEDLTNRCNVFIQGFLYAYSISTIIKTTMNLYMSMQKPMTKTSVKALCRLI ELLKAIEHMFYRRSMVVADSVSHITQHLQHQALSSISVAKKRVISDKKYSEQRLDVLSAL VLAENTLNGPSTKQRRLIVSLALSVGTQMKTFKDEELFPLQVVMKKLDLISELRERVQAQ CDCCFLYWHRAVFPIYLDDVYENAVDAARLHYMFSALRDCVPAMMHSRHLESHELLLDCY DKEIMDILNEHLLDKLCKEIEKDLRLSVHTHLKLDDRNPFKVGRKDLALFFSLNPIRFFN RFIDIRAYVTHYLDKTFYNLTTVALHDWATYSEMRNLATQRYGLVMTEAHLPSQTLEQGL DVLEIMRNIHIFVSRYLYNLNNQIFIERTSNNKHLNTINIRHIANSIRTHGTGIMNTTVN FTYQFLKKKFYIFSQFMYDEHIKSRLIKDIRFFREIKDQNDHKYPFDRAEKFNRGIRKLG ITPEGQSYLDQFRQLISQIGNAMGYIRMIRSGGLHCSSNAIRFVPDLEDIVSFEELVKEE GLAEETLRAARHLDSVLSDHTRNSAEGTEYFKMLVDVFAPEFRRPKNIHLRNFYIIVPPL TLNFVEHSISCKEKLNKKNKLGAAFTDDGFAMGVAYTLKLLDQYQEFDSLHWFQSVREKY IKEIRAVAKQQNVQSTSQDEKLLQTMNLTQKRLEVYLQEFELLYFSLSSARIFFRADKTA AEENQEKKEKEEETKTSNGDGPESTVSADPVVK >ENSMUSP00000078428.4 pep:known chromosome:GRCm38:8:27368711:27399729:1 gene:ENSMUSG00000031492.12 transcript:ENSMUST00000079463.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrnb3 description:cholinergic receptor, nicotinic, beta polypeptide 3 [Source:MGI Symbol;Acc:MGI:106212] MTGFLRVFLALSATLSGSWVTLTATAGLSSVAEHEDALLRHLFQGYQKCVRPVLNSSDII KVYFGLKISQLVDVEWTDQKLRWNPEDYGGINSIKVPSESLWLPDIVLFENADGRFEGSL MTKAIVKSSGTVSWTPPASYKSSCTMDVTFFPFDKQNCSMKFGSWTYDGTMVDLILINEN VDRKDFFDNGEWEILNAKGMKGNRREGFYSYPFVTYSFVLRRLPLFYTLFLIIPCLGLSF LTVLVFYLPSDEGEKLSLSTSVLVSLTVFLLVIEEIIPSSSKVIPLIGEYLLFIMIFVTL SIIVTVFVINVHHRSSSTYHPMAPWVKRLFLEKLPRWLCMKDPRDRFSFPDGTESKGTVR GKFPGKKKQTPTSDGERVLVAFLEKASESIRYISRHVKKEHFISQVVQDWKFVAQVLDRI FLWLFLTASVLGSVLIFIPALKMWIHRFH >ENSMUSP00000052297.3 pep:known chromosome:GRCm38:8:27368711:27399729:1 gene:ENSMUSG00000031492.12 transcript:ENSMUST00000060943.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrnb3 description:cholinergic receptor, nicotinic, beta polypeptide 3 [Source:MGI Symbol;Acc:MGI:106212] MTGFLRVFLALSATLSGSWVTLTATAGLSSVAEHEDALLRHLFQGYQKCVRPVLNSSDII KVYFGLKISQLVDVDEKNQLMTTNVWLKQEWTDQKLRWNPEDYGGINSIKVPSESLWLPD IVLFENADGRFEGSLMTKAIVKSSGTVSWTPPASYKSSCTMDVTFFPFDKQNCSMKFGSW TYDGTMVDLILINENVDRKDFFDNGEWEILNAKGMKGNRREGFYSYPFVTYSFVLRRLPL FYTLFLIIPCLGLSFLTVLVFYLPSDEGEKLSLSTSVLVSLTVFLLVIEEIIPSSSKVIP LIGEYLLFIMIFVTLSIIVTVFVINVHHRSSSTYHPMAPWVKRLFLEKLPRWLCMKDPRD RFSFPDGTESKGTVRGKFPGKKKQTPTSDGERVLVAFLEKASESIRYISRHVKKEHFISQ VVQDWKFVAQVLDRIFLWLFLTASVLGSVLIFIPALKMWIHRFH >ENSMUSP00000055435.4 pep:known chromosome:GRCm38:8:83541093:83542188:1 gene:ENSMUSG00000047286.4 transcript:ENSMUST00000058609.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr370 description:olfactory receptor 370 [Source:MGI Symbol;Acc:MGI:3030204] MECVNDTVVREFVFLGFSSLAELQLLLFAIFLSLYLFTLSTNAVIVSTIVLDRALHTPMY FFLSVLSCSETCYTFVIVPKMLVDLLARKKSISFLGCAIQMFTFLFLGCSHSFLLAAMGY DRYVAICHPLRYTVLMGHRVCVGLVAAACVCGFTVAQVITSLVFRLPFRSSNQLHHFFCD ISPVLQLASHHPHSTQITIFLLCALVLVIPLFLILVSYIHIISTILQFPSTLGRYKAFST CASHLIVVIVHYGCASFIYLRPKSSYSSSQDALISVSYTILTPLFNPVIYSLRNKDFKSA LHRVIGRTVTLRQH >ENSMUSP00000044288.6 pep:known chromosome:GRCm38:14:41105381:41124412:1 gene:ENSMUSG00000037798.6 transcript:ENSMUST00000047286.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat1a description:methionine adenosyltransferase I, alpha [Source:MGI Symbol;Acc:MGI:88017] MNGPVDGLCDHSLSEEGAFMFTSESVGEGHPDKICDQISDAVLDAHLKQDPNAKVACETV CKTGMVLLCGEITSVAMVDYQRVVRDTIKHIGYDDSAKGFDFKTCNVLVALEQQSPDIAQ CVHLDRNEEDVGAGDQGLMFGYATDETEECMPLTIVLAHKLNTRIADLRRSGVLPWLRPD SKTQVTVQYMQDNGAVIPVRIHTIVISVQHNEDITLEAMQEALKEQVIKAVVPAKYLDED TVYHLQPSGRFVIGGPQGDAGVTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAAR WVAKSLVKAGLCRRVLVQVSYAIGVAEPLSISIFTYGTSNKTERELLEVVNKNFDLRPGV IVRDLDLKKPIYQKTACYGHFGRSEFPWEVPKKLVF >ENSMUSP00000082490.5 pep:known chromosome:GRCm38:7:45154398:45160064:1 gene:ENSMUSG00000003423.14 transcript:ENSMUST00000085375.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pih1d1 description:PIH1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1916095] MADSTFLAPELSDTESMGEETVRFQELLLKASKELQQAQTARPDSTQIQPKPGFCVKTNS SEGKVFINICHSPSIPPPADVTEDELLQMLEEDQAGFRIPMSLGEPHAELDAKGQGCTAY DVAVNSNFYLRMQNSDFLRELVVTIAREGLEDKYGLQLNPEWRMLKYRSFLGSISQQNIR SQQRPRIQELGTLDASGSLGTCHGPERPHLNLWLEAPDLLLAEVDLPKLDGAQGLALEIG ENRLVIGGPQQLYHLDATVPLRINSEASRAAFHRRRKQLMVSMPLLTASS >ENSMUSP00000103441.1 pep:known chromosome:GRCm38:7:45155935:45160064:1 gene:ENSMUSG00000003423.14 transcript:ENSMUST00000107811.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pih1d1 description:PIH1 domain containing 1 [Source:MGI Symbol;Acc:MGI:1916095] MADSTFLAPELSDTESMGEETVRFQELLLKASKELQQAQTARPDSTQIQPKPGFCVKTNS SEGKVFINICHSPSIPPPADVTEDELLQMLEEDQAGFRIPMSLGEPHAELDAKGQGCTAY DVAVNSNFYLRMQNSDFLRELVVTIAREGLEDKYGLQLNPEWRMLKYRSFLGSISQQNIR SQQRPRIQELGTLDASGSLGTCHGPERPHLNLWLEAPDLLLAEVDLPKLDGAQGLALEIG ENRLVIGGPQQLYHLDATVPLRINSEASRAAFHRRRKQLMVSMPLLTASS >ENSMUSP00000024906.4 pep:known chromosome:GRCm38:17:65923066:65951187:-1 gene:ENSMUSG00000024098.5 transcript:ENSMUST00000024906.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Twsg1 description:twisted gastrulation homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2137520] MKSHYIVLALASLTFLLCLPVSQSCNKALCASDVSKCLIQELCQCRPGEGNCPCCKECML CLGALWDECCDCVGMCNPRNYSDTPPTSKSTVEELHEPIPSLFRALTEGDTQLNWNIVSF PVAEELSHHENLVSFLETVNQLHHQNVSVPSNNVHAPFPSDKERMCTVVYFDDCMSIHQC KISCESMGASKYRWFHNACCECIGPECIDYGSKTVKCMNCMF >ENSMUSP00000096415.2 pep:known chromosome:GRCm38:8:40511783:40551134:1 gene:ENSMUSG00000031600.10 transcript:ENSMUST00000098817.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vps37a description:vacuolar protein sorting 37A (yeast) [Source:MGI Symbol;Acc:MGI:1261835] MSWLFPLAKSASSSAAGSPAGLTSLQQQKQRLIESLRNSHSSIAEIQKDVEYRLPFTVNN LTININILLPPQFPQEKPVISVYPPIRHHLMDSQGLYVTSPLVSNFTMHSDLGKIIQSLL DEFWKNPPVLAPTSTTFPYLYSNPGGMPPYPSQGFPFLPPYPPPEANRNITSLSVADTVS SSTTSYTAAKPVAPSFGILSSLPLPVPTTESSASVNQNGFGYKMPDIPDAFPELSELSVS QLTDMNEQEEVLLEQFLMLPQLKQIITDKEDLVKNIEELARKNLLLEHSLEGKRQTVLDK YELLLQMKSTFEKKMQRQHELSESCSASALQARLKVAAHEAEEESDNIAEDFLEGKTEID DFLNSFKEKRTICHCRRAKEEKLHQVIAMHSQFHAPL >ENSMUSP00000022947.5 pep:known chromosome:GRCm38:15:34306681:34436242:1 gene:ENSMUSG00000022324.14 transcript:ENSMUST00000022947.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matn2 description:matrilin 2 [Source:MGI Symbol;Acc:MGI:109613] MEKMLVGCLLMLGQLFLVLPVDGRERPQARFPSRGRHVRMYPQTALLESSCENKRADLVF IIDSSRSVNTYDYAKVKEFILDILQFLDIGPDVTRVGLLQYGSTVKNEFSLKTFKRKSEV ERAVKRMRHLSTGTMTGLAIQYALNIAFSEAEGARPLRENVPRIIMIVTDGRPQDSVAEV AAKARNTGILIFAIGVGQVDLNTLKAIGSEPHKDHVFLVANFSQIESLTSVFQNKLCTVH MCSVLEHNCAHFCLNTPGSYICKCKQGYILSTDQKTCRIQDLCATEDHGCEQLCVNMLGS FVCQCYSGYTLAEDGKRCTAVDYCASENHGCEHECVNAESSYLCRCHEGFALNSDKKTCS KIDYCASSNHGCQHECVNAQTSALCRCLKGFMLNPDRKTCRRINYCALNKPGCEHECVNT EEGHYCRCRQGYNLDPNGKTCSRVDHCAQQDHGCEQLCLNTEESFVCQCSEGFLINDDLK TCSRADYCLLSNHGCEYSCVNTDKSFACQCPEGHVLRSDGKTCAKLDSCALGDHGCEHSC VSSEDSFVCQCFEGYILRDDGKTCRRKDVCQDVNHGCEHLCVNSGESYVCKCLEGFRLAE DGKRCRRKNVCKSTQHGCEHMCVNNGNSYLCRCSEGFVLAEDGKHCKRCTEGPIDLVFVI DGSKSLGEENFETVKHFVTGIIDSLAVSPKAARVGLLQYSTQVRTEFTLRGFSSAKEMKK AVTHMKYMGKGSMTGLALKHMFERSFTQVEGARPPSTQVPRVAIVFTDGRAQDDVSEWAS KAKANGITMYAVGVGKAIEEELQEIASEPIDKHLFYAEDFSTMGEISEKLKEGICEALED SGGRQDSAAWDLPQQAHQPTVQHRFLFEEDNLSRSTQKLFHSTKSSGNPLEESQDQCKCE NLILFQNVANEEVRKLTQRLEEMTQRMEALENRLKYR >ENSMUSP00000128202.2 pep:known chromosome:GRCm38:15:34306680:34436238:1 gene:ENSMUSG00000022324.14 transcript:ENSMUST00000163455.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Matn2 description:matrilin 2 [Source:MGI Symbol;Acc:MGI:109613] MEKMLVGCLLMLGQLFLVLPVDGRERPQARFPSRGRHVRMYPQTALLESSCENKRADLVF IIDSSRSVNTYDYAKVKEFILDILQFLDIGPDVTRVGLLQYGSTVKNEFSLKTFKRKSEV ERAVKRMRHLSTGTMTGLAIQYALNIAFSEAEGARPLRENVPRIIMIVTDGRPQDSVAEV AAKARNTGILIFAIGVGQVDLNTLKAIGSEPHKDHVFLVANFSQIESLTSVFQNKLCTVH MCSVLEHNCAHFCLNTPGSYICKCKQGYILSTDQKTCRIQDLCATEDHGCEQLCVNMLGS FVCQCYSGYTLAEDGKRCTAVDYCASENHGCEHECVNAESSYLCRCHEGFALNSDKKTCS KIDYCASSNHGCQHECVNAQTSALCRCLKGFMLNPDRKTCRRINYCALNKPGCEHECVNT EEGHYCRCRQGYNLDPNGKTCSRVDHCAQQDHGCEQLCLNTEESFVCQCSEGFLINDDLK TCSRADYCLLSNHGCEYSCVNTDKSFACQCPEGHVLRSDGKTCAKLDSCALGDHGCEHSC VSSEDSFVCQCFEGYILRDDGKTCRRKDVCQDVNHGCEHLCVNSGESYVCKCLEGFRLAE DGKRCRRKNVCKSTQHGCEHMCVNNGNSYLCRCSEGFVLAEDGKHCKRCTEGPIDLVFVI DGSKSLGEENFETVKHFVTGIIDSLAVSPKAARVGLLQYSTQVRTEFTLRGFSSAKEMKK AVTHMKYMGKGSMTGLALKHMFERSFTQVEGARPPSTQVPRVAIVFTDGRAQDDVSEWAS KAKANGITMYAVGVGKAIEEELQEIASEPIDKHLFYAEDFSTMGEISEKLKEGICEALED SGGRQDSAAWDLPQQAHQPTEPEPVTIKIKDLLSCSNFAVQHRFLFEEDNLSRSTQKLFH STKSSGNPLEESQDQCKCENLILFQNVANEEVRKLTQRLLEEMTQRMEALENRLKYR >ENSMUSP00000053112.7 pep:known chromosome:GRCm38:15:89377450:89379254:-1 gene:ENSMUSG00000047394.7 transcript:ENSMUST00000049968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Odf3b description:outer dense fiber of sperm tails 3B [Source:MGI Symbol;Acc:MGI:1917363] MGSEVWVGTWRPHRPRGPIAALYRGPGPKYKLPTNTGYKLHDPSRPRAPAFSFGSRPPLR HATCGPGPSYLVPARMTVRGTVGSPAFSIYGRLSHTAPVLTPGPGRYYPERARNVTYPSA PRHTIAPRNWGILAKQETPGPGSYTVPSLLGSRVISKVSAPTYSIYSRSPVGSCFEDLSK TPGPCAYHVVNPMIYKTRAPQFTMLGRTLPPRENTKKPGPASYSVDKVVWSRGSRGRG >ENSMUSP00000067028.6 pep:known chromosome:GRCm38:15:101115755:101120022:1 gene:ENSMUSG00000047034.9 transcript:ENSMUST00000070875.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd33 description:ankyrin repeat domain 33 [Source:MGI Symbol;Acc:MGI:2443398] METLESQTGLMVACYHGFGSIVALLSCCPFLDVNQQDKDGNTALMLAAQAGHMSLVTLLL NYFAGLDLERRDQRGLTALMKAAIQDRSECVVALLMAGADLSSVDPVRGKTALEWAVLTD SFDTAQKIRQLLRRPQAEQLSLHYQPEWPALAQLVAQAQAQAQAPAAPSLLERLQATLSL SFAQSPQEGGVLDHLVTVTTSLASPFLSTACHTLCPDHPPKLGTRGKSVPELLGTAPPPP PEPHPPQQVPVPQVFAPNQSPQSMFSQWLQSRDSTRSQVPKILLSKAPSPSARYELTLRP QGQQSLAPPVWRFQERKKKEEETEPRGGGLGQAGGSK >ENSMUSP00000082459.4 pep:known chromosome:GRCm38:7:45335269:45338968:1 gene:ENSMUSG00000038239.10 transcript:ENSMUST00000085351.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrc description:histidine rich calcium binding protein [Source:MGI Symbol;Acc:MGI:96226] MGFQGPWLHTCLLWATVAILLVPPVVTQELRGAGLGLGNWNNNAGIPGSSEDLSTEFGHH IHRGYQGEKDRGHREEGEDFSREYGHRVQDHRYPGREVGEENVSEEVFRGHVRQLHGHRE HDNEDLGDSAENHLPRQRSHSHEDEDGIVSSEYHRHVPRHAHHGHGEEDDDDDGGEEEER VDVMEDSDDNEHQVHGHQSHSKERDELHHAHSHRHQGHSDDDDDDGVSTEHGHQAHRYQD HEEEDDGDSDEDSHTHRVQGREDENDDEDGDSGEYRHHTQDHQGHNEEQDDDDDDDDDDE DKEDSTEHRHQTQGHRKEEDEDESDEDDHHVSRHGRQGYEEEEDDDDDDGDDDSTEHVHQ AHRHRDHEHKDDEDDSEEDYHHVPSHGRQSHQNEEEEDEAVSTEHWHQSPRHAHHDLGRE SEEEVAVKYSHHVASHRPQGHNADREEDSLEEHMNEVPGHHHHRASRGDDEDISTEFGHK APSHRLQDQDERARQGHREPVQGEIAHQPLQPTGPSSRESRKEGDHSSQEGDEDPEQRQA HSEEEKEEEEEEEEEEEEEKEGGHSLPMSQEDDEEEEKDEKESKVDRAAVSAPLSHHRKQ GEEEEEEEEEEEEEILEENLLPFTIIPNPLAGREVAREGSSEEESREVTGQQDAQEYENY QPGSLCGYCSFCNRCTECESCHCDEENMGEHCDQCQHCQFCYLCPLVCDTLCTPGSYVDY FSSSLYQALADMLETPEP >ENSMUSP00000108309.2 pep:known chromosome:GRCm38:14:8098213:8165111:1 gene:ENSMUSG00000033885.14 transcript:ENSMUST00000112689.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxk description:PX domain containing serine/threonine kinase [Source:MGI Symbol;Acc:MGI:1289230] MAFMEKPPAGKVLLDDTVPLTAAVEASQSLQSHTEYIIRVQRGISAENSWQIVRRYSDFD LLNNSLQITGLSLPLPPKKLIGNMDREFIAERQRGLQNYLNVIMANHVLSNCELLKKFLD PNNYSANYTEIALQQVSMFFRSEPKWEVVEPLKDIGWRIRKKYFLMKIKNQPKERLVLSW ADLGPDKYLSDKDFQCLIKLLPSCVHPYIYRVTFATASESSALLIRAFNEKGTLKDLIYK AKPKDPFLKKYCNPKKTQGLELQQIKTYGRQILEALKFLHDKGFPYGHLHAANVMLDGNT CRLLDLENSLLGLPSFYRSYFTQFRKINTLESVDVHCFGHLLYEMTYGRPPDSVPVDSFP PASSLAVVAVLESTLSCEACKNGMPTVSRLLQMPLFSDVLLTTSEKPQFKIPTKLKEALR IAKECIEKRLTEEQKQIHQHRRLTRAQSHHGSEEERKRRKILARKKSKRSAVENSEEQPV KHSNSNNSAGSGASSPLTSPSSPTPPSTAVEHAPL >ENSMUSP00000035265.7 pep:known chromosome:GRCm38:14:8098213:8165111:1 gene:ENSMUSG00000033885.14 transcript:ENSMUST00000036682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pxk description:PX domain containing serine/threonine kinase [Source:MGI Symbol;Acc:MGI:1289230] MAFMEKPPAGKVLLDDTVPLTAAVEASQSLQSHTEYIIRVQRGISAENSWQIVRRYSDFD LLNNSLQITGLSLPLPPKKLIGNMDREFIAERQRGLQNYLNVIMANHVLSNCELLKKFLD PNNYSANYTEIALQQVSMFFRSEPKWEVVEPLKDIGWRIRKKYFLMKIKNQPKERLVLSW ADLGPDKYLSDKDFQCLIKLLPSCVHPYIYRVTFATASESSALLIRAFNEKGTLKDLIYK AKPKDPFLKKYCNPKKTQGLELQQIKTYGRQILEALKFLHDKGFPYGHLHAANVMLDGNT CRLLDLENSLLGLPSFYRSYFTQFRKINTLESVDVHCFGHLLYEMTYGRPPDSVPVDSFP PASSLAVVAVLESTLSCEACKNGMPTVSRLLQMPLFSDVLLTTSEKPQFKIPTKLKEALR IAKECIEKRLTEEQKQIHQHRRLTRAQSHHGSEEERKRRKILARKKSKRSAVENSEEQPV KHSNSNNSAGSGASSPLTSPSSPTPPSTAGLSSALPPPPPPPPPPPPPAGPSPTSATEMP APFLPQPVNGVNRGALLSSIQNFQKGTLRKAQTCDHSAPKIG >ENSMUSP00000087203.2 pep:known chromosome:GRCm38:14:51922160:51922773:-1 gene:ENSMUSG00000068392.2 transcript:ENSMUST00000089771.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnase13 description:ribonuclease, RNase A family, 13 (non-active) [Source:MGI Symbol;Acc:MGI:3528592] MAPDVAWLLVLPLVFRPTLVTGITIQTAIKNFRTLHVDYPMVNYPKGFHGYCNGLMAYVR GKLQDWYCPKIHYVVHAPLEDIQKFCKYSESFCENYNEYCTLTQNSFPVTICTLVHQQAP TSCSYNSTLTNQRLYLLCSRKHDAEPIGIIGLY >ENSMUSP00000034371.7 pep:known chromosome:GRCm38:8:105973520:105979419:-1 gene:ENSMUSG00000031898.8 transcript:ENSMUST00000034371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpep3 description:dipeptidase 3 [Source:MGI Symbol;Acc:MGI:1919104] MQPAGLEGPRALGLRPLGHRLSLLGVLLLVPSLWVTCTLTTPSPSSAPTTPEASNATTAP GIPNDTATSGVTSDPRLREQALALMRDFPLVDGHNDLPLLLRELFQNQLQDVNLRNFTRG QTNLDRLRDGLVGAQFWSAYIPCQTQDRDAVRLALEQIDLIRRMCSAYPELELVTSADGL NNTQKLACLIGVEGGHSLDTSLAVLRSFYELGVRYLTLTFTCSTPWAESATKFRHHFYTN ISGLTSFGEKVVEEMNRLGMMIDLSHASDTLVKQTLEVSQAPVIFSHSAARSVCDNLLNI PDDILQLLKKNGGIVMVTLSMGVLQCSLFANVSTVADHFDHIRTVIGSEFIGIGGSYDGS GRFPQGLEDVSTYPVLIEELLSRGWDERELQGVLRGNLLRVFRQVEQVREKSLGQSPVEV KFPERQQSNTCHSHLLPQPQEDQHQDTHLKVTKLPNILQRASKAPPHPLPGLMATLTSLA LILWLCCSGHRAV >ENSMUSP00000063158.7 pep:known chromosome:GRCm38:17:30005087:30210019:1 gene:ENSMUSG00000044477.10 transcript:ENSMUST00000057897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand3 description:zinc finger, AN1-type domain 3 [Source:MGI Symbol;Acc:MGI:1096572] MGDAGSERSKAPSLPPRCPCGFWGSSKTMNLCSKCFADFQKKQPDDDSTPSTSNSQSDLF SEETTSDNNNTSVTTPTLSPSQQSLPTELNVTSPSTEEYSQSENEASPVKRPRLVENPER PEESGRSKQKSRRRCFQCQTKLELVQQELGSCRCGYVFCMLHRLPEQHDCTFDHMGRGRE EAIMKMVKLDRKVGRSCQRIGEGCS >ENSMUSP00000063999.5 pep:known chromosome:GRCm38:12:24974932:25059697:1 gene:ENSMUSG00000036333.10 transcript:ENSMUST00000066652.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kidins220 description:kinase D-interacting substrate 220 [Source:MGI Symbol;Acc:MGI:1924730] MSVLISQSVINYVEEENIPALKALLEKCKDVDERNECGQTPLMIAAEQGNVEIVKELIKN GANCNLEDLDNWTALISASKEGHIHIVEELLKCGANLEHRDMGGWTALMWACYKGRTDVV ELLLSHGANPSVTGLYSVYPIIWAAGRGHADIVHLLLQNGAKVNCSDKYGTTPLVWAARK GHLECVKHLLAMGADVDQEGANSMTALIVAVKGGYTQSVKEILKRNPNVNLTDKDGNTAL MIASKEGHIEIVQDLLDAGTYVNIPDRSGDTVLIGAVRGGHVEIVRALLQKYADIDIRGQ DNKTALYWAVEKGNATMVRDILQCNPDTEICTKDGETPLIKATKMRNIEVVELLLDKGAR VSAVDKKGDTPLHVAIRGRSRRLAELLLRNPKDGRLLYRPNKAGETPYNIDCSHQKSILT QIFGARHLSPTETDGDMLGYDLYSSALADILSEPTMQPPICVGLYAQWGSGKSFLLKKLE DEMKTFAGQQIEPLFQFSWLIVFLILLLCGGLGLVFAFTVDTNLAIAVSLSFLALLYIFF IVIYFGGRQEGESWNWAWALSTRLARHIGYLELLFKLMFVNPPELPEQTTKALPVRFLFT DYNRLSSVGGETSLAEMIATLSDACEREFGFLATRLFRVFKTEDSQGKKKWKKTCCLPSF IIFLFIVGCIIAGITLLAIFRVDPKHLTVNAILISIASIVGLAFVLNCRTWWQVLDSLLN SQRKRLHSAASKLHKLKSEGFMKVLKCEVELMARMAKTIDSFTQNQTRLVVIIDGLDACE QDKVLQMLDTVRVLFSKGPFIAIFASDPHIIIKAINQNLNSVLRDSNINGHDYMRNIVHL PVFLNSRGLSNARKFLVTSATNGDISCSEATGVQEDADRRVSQNSLGEMTKLGSKTALNR RDTYRRRQMQRTITRQMSFDLTKLLVTEDWFSDISPQTMRRLLNIVSVTGRLLRANQITF NWDRLASWINLTEQWPYRTSWLILYLGETEGIPDQMTLKTIYERISKNIPTTKDVEPLLE IDGDIRNFEVFLSSRTPVLVARDVKTFLPCTVNLDPKLREIIADVRAAREQINIGGLAYP PLPLHEAPPRPPSGYSQPASVCSSSASFNGPFPGGVVSPQPHSSYYSGLSGPQHPFYNRP FFAPYLYTPRYYPGGSQHLISRSSVKTSLPRDQNNGLEVIKEDAAEGLPSPTASSREKSW TRKQLMELCDSGFNKQRQASVPATGTSLLLSSMTVDIVCEKLRQIEGLDQGMLPQYCTTI KKANINGRVLAQCNIDELKKEMAMNFGDWHLFRSMVLEMRSVENQVVPEDPRFLNENSSA PVAHGESARRTSHSELPHTELSSQTPYTLNFSFEELNTLGLDEGAPRHSNLSWQSQTRRT PSLSSLNSQDSSIEISKLTDKVQAEYRDAYREYIAQMSQLEGGTGSSTISGRSSPHSTYY IGQSSSGGSIHSNLEQERGKENELKQEEGRKSFLMKRGDVLDYSSSGVSTNEASPLDPIT EEDEKSDQSGSKLLPGKKSSERPSLFQTDLKLKGSGLRYQKLPSDEDESGTEESDNTPLL KDDKDKKAEGKAERVAKSPEHSVEPIRTFIKAKEYLSDALLDKKDSSDSGVRSNESSPNH SLHNEAADDSQLEKANLIELEDEGHSGKRGMPHSLSGLQDPVIARMSICSEDKKSPSECS LIASSPEESWPSCQKAYNLNRTPSTVTLNNNTAPTNRANQNFDEIEGVRETSQVILRPGP SPNPTAVQNENLKSMAHKRSQRSSYTRLSKDASELHAASSDSTGFGEERESIL >ENSMUSP00000136060.1 pep:known chromosome:GRCm38:18:80089297:80089962:-1 gene:ENSMUSG00000096597.1 transcript:ENSMUST00000178391.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21886 description:predicted gene, 21886 [Source:MGI Symbol;Acc:MGI:5434050] STYCLQVSVSTYCLQVSVSTYCLQASVSTYCLQASVSTYCLQASVSTYCLQASASVSTYC LQALVSTYCLQAFMSTYCLQALMSTYCLQVSVSTYCLQVSVSTYYLQASVSTCCLQALVS TYCLQASMSTYCLQASVSTYYLQALVSTYCLQALVSTYCLQVLMSTYCLQVSVSTYCLQV SVSTYCLQALVSTYCLQALVSTYCLQASGSTSCL >ENSMUSP00000022960.2 pep:known chromosome:GRCm38:15:43250040:43282736:-1 gene:ENSMUSG00000022336.2 transcript:ENSMUST00000022960.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3e description:eukaryotic translation initiation factor 3, subunit E [Source:MGI Symbol;Acc:MGI:99257] MAEYDLTTRIAHFLDRHLVFPLLEFLSVKEIYNEKELLQGKLDLLSDTNMVDFAMDVYKN LYSDDIPHALREKRTTVVAQLKQLQAETEPIVKMFEDPETTRQMQSTRDGRMLFDYLADK HGFRQEYLDTLYRYAKFQYECGNYSGAAEYLYFFRVLVPATDRNALSSLWGKLASEILMQ NWDAAMEDLTRLKETIDNNSVSSPLQSLQQRTWLIHWSLFVFFNHPKGRDNIIDLFLYQP QYLNAIQTMCPHILRYLTTAVITNKDVRKRRQVLKDLVKVIQQESYTYKDPITEFVECLY VNFDFDGAQKKLRECESVLVNDFFLVACLEDFIENARLFIFETFCRIHQCISINMLADKL NMTPEEAERWIVNLIRNARLDAKIDSKLGHVVMGNNAVSPYQQVIEKTKSLSFRSQMLAM NIEKKLNQNSRSEAPNWATQDSGFY >ENSMUSP00000104778.1 pep:known chromosome:GRCm38:15:98632220:98644530:1 gene:ENSMUSG00000003352.13 transcript:ENSMUST00000109150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb3 description:calcium channel, voltage-dependent, beta 3 subunit [Source:MGI Symbol;Acc:MGI:103307] MSFSDSSATFLLNEGSADSYTSRPSLDSDVSLEEDRESARREVESQAQQQLERAKHKPVA FAVRTNVSYCGVLDEECPVQGSGVNFEAKDFLHIKEKYSNDWWIGRLVKEGGDIAFIPSP QRLESIRLKQEQKARRSGNPSSLGDIGNRRSPPPSLAKQKQKQAEHVPPYDVVPSMRPVV LVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADLSLAKRSVLNNPGKRTIIERS SARSSIAEVQSEIERIFELAKSLQLVVLDADTINHPAQLAKTSLAPIIVFVKVSSPKVLQ RLIRSRGKSQMKHLTVQMMAYDKLVQCPPESFDVILDENQLEDACEHLAEYLEVYWRATH HPAPGPGLLGPPSAIPGLQNQQLLGERVEEHSPLERDSLMPSDEASESSRQAWTGSSQRS SRHLEEDYADAYQDLYQPHRQHTSGLPSANGHDPQDRLLAQDSEHDHNDRNWQRNRPWPK DSY >ENSMUSP00000003442.7 pep:known chromosome:GRCm38:15:98634764:98644530:1 gene:ENSMUSG00000003352.13 transcript:ENSMUST00000003442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacnb3 description:calcium channel, voltage-dependent, beta 3 subunit [Source:MGI Symbol;Acc:MGI:103307] MYDDSYVPGFEDSEAGSADSYTSRPSLDSDVSLEEDRESARREVESQAQQQLERAKHKPV AFAVRTNVSYCGVLDEECPVQGSGVNFEAKDFLHIKEKYSNDWWIGRLVKEGGDIAFIPS PQRLESIRLKQEQKARRSGNPSSLGDIGNRRSPPPSLAKQKQKQAEHVPPYDVVPSMRPV VLVGPSLKGYEVTDMMQKALFDFLKHRFDGRISITRVTADLSLAKRSVLNNPGKRTIIER SSARSSIAEVQSEIERIFELAKSLQLVVLDADTINHPAQLAKTSLAPIIVFVKVSSPKVL QRLIRSRGKSQMKHLTVQMMAYDKLVQCPPESFDVILDENQLEDACEHLAEYLEVYWRAT HHPAPGPGLLGPPSAIPGLQNQQLLGERVEEHSPLERDSLMPSDEASESSRQAWTGSSQR SSRHLEEDYADAYQDLYQPHRQHTSGLPSANGHDPQDRLLAQDSEHDHNDRNWQRNRPWP KDSY >ENSMUSP00000023225.6 pep:known chromosome:GRCm38:15:76246807:76249904:1 gene:ENSMUSG00000022564.6 transcript:ENSMUST00000023225.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grina description:glutamate receptor, ionotropic, N-methyl D-aspartate-associated protein 1 (glutamate binding) [Source:MGI Symbol;Acc:MGI:1913418] MSHEKSFLVSGDSYPPQNIVGPQAPMPPYVQAPYPGAPYPQAPFQPSPYGQPGYPHGPSP YPQGGYPQGPYPQGGYPQGPYPQSPFPPNPYGQPPPFQDPGSPQHGNYQEEGPPSYYDNQ DFPAVNWDKNIRQAFIRKVFLVLTLQLSVTLSTVAIFTFVGEVKGFVRENVWTYYVSYAI FFISLIVLSCCGDFRRKHPWNLVALSILTVSLSYMVGMIASFYNTEAVIMAVGITTAVCF TVVIFSMQTRYDFTSCMGVLLVSVVVLFIFAILCIFIRNRILEIVYASLGALLFTCFLAV DTQLLLGNKQLSLSPEEYVFAALNLYTDIINIFLYILTIIGRAKE >ENSMUSP00000008094.8 pep:known chromosome:GRCm38:8:71456700:71463657:-1 gene:ENSMUSG00000007950.8 transcript:ENSMUST00000008094.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd8 description:abhydrolase domain containing 8 [Source:MGI Symbol;Acc:MGI:1918946] MTSEHTMLTGVTDGFFCCLLGTPPNAVRPLESVESSDGYTFVEVKPGRVLRVKHAGPAPI PTPPPPPPEDDPGVKTGLVRCQRRITVYRNGRLVVENLGRAPRADLQGRSGSGDPPAALE VELAEPAGGDTRANPGSGRRRRPRRPKRTIHIDCEQRITSCKGAQADVVLFFIHGVGGSL AIWKEQLDFFVRLGYEVVAPDLAGHGASSAPQVAAAYTFYALAEDMRAIFTRYAKKRNVL IGHSYGVSFCTFLAHEYPDLVHKVIMINGGGPTALEPSLCSIFNMPTCVLHCLSPCLAWS FLKAGFARQGAKEKQLLKEGNAFNVSSFVLRAMMSGQYWPEGDEVYHAELTVPVLLVHGM HDKFVPVEEDQRMAEILLLAFLKLIEEGSHMVMLECPETVNTLLHEFLLWEPEPEAEPKL EPKPKPQLLQPEPAPGEEK >ENSMUSP00000090287.3 pep:known chromosome:GRCm38:10:29453109:29535867:-1 gene:ENSMUSG00000019880.9 transcript:ENSMUST00000092623.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rspo3 description:R-spondin 3 [Source:MGI Symbol;Acc:MGI:1920030] MHLRLISCFFIILNFMEYIGSQNASRGRRQRRMHPNVSQGCQGGCATCSDYNGCLSCKPR LFFVLERIGMKQIGVCLSSCPSGYYGTRYPDINKCTKCKVDCDTCFNKNFCTKCKSGFYL HLGKCLDSCPEGLEANNHTMECVSIVHCEASEWSPWSPCMKKGKTCGFKRGTETRVRDIL QHPSAKGNLCPPTSETRTCIVQRKKCSKGERGKKGRERKRKKLNKEERKETSSSSDSKGL ESSIETPDQQENKERQQQQKRRARDKQQKSVSVSTVH >ENSMUSP00000071756.3 pep:known chromosome:GRCm38:12:17690814:17791926:1 gene:ENSMUSG00000071379.1 transcript:ENSMUST00000071858.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpcal1 description:hippocalcin-like 1 [Source:MGI Symbol;Acc:MGI:1855689] MGKQNSKLRPEVLQDLREHTEFTDHELQEWYKGFLKDCPTGHLTVDEFKKIYANFFPYGD ASKFAEHVFRTFDTNSDGTIDFREFIIALSVTSRGKLEQKLKWAFSMYDLDGNGYISRSE MLEIVQAIYKMVSSVMKMPEDESTPEKRTDKIFRQMDTNNDGKLSLEEFIKGAKSDPSIV RLLQCDPSSASQF >ENSMUSP00000096973.2 pep:known chromosome:GRCm38:10:89408823:89443967:-1 gene:ENSMUSG00000074802.10 transcript:ENSMUST00000099374.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2l3 description:growth arrest-specific 2 like 3 [Source:MGI Symbol;Acc:MGI:1918780] MTMQPAIQVWFGEDLPLSPRCPLTPRHGPGLADVCQYDEWIAVRHEATLLPMQEDLSIWL SGLLGVDIKAERLLEELDNGVLLCQLINVLQNMVKGCHSDEPGNFPMRKVPCKKDAASGS FFARDNTANFLHWCRHIGVDETYLFESEGLVLHKDPRQVYLCLLEIGRIVSRYGVEPPVL VKLEKEIELEETLLNASGLEESISIPKSCCQQEELHEAVKHIAEDPPCSCSHRFSIEYLS EGRYRLGEKILFIRMLHGKHVMVRVGGGWDTLQGFLLKYDPCRILQFATLEQKILAFQKG VSNESVPDSPARTPQPPEMNPLSAVNMFQKQNLRPGTPVSVPKNKEKQVRLPGARLPASS VKGNLASPSTRAKRPDSPASFPHPKVTSLKDAAKKTTAPSNSVSQSLASPNPGSKPSTAQ CASESSRKCVTFPKTAQTKAIPAQNSRDLSKSRLLPSKSPGKMEPKHLKHNHLSSRDESR INLSSKSPKLPKGAMHGRPNPSPFQPPAKVTKPSSKTGAIGLGTQSQPPTRTPRSGAVSA QRLQSTLNLNSPASVCSGSSAKATQGSKGKNTVSVAKKQPQSKGVCRNPGPGSSKSPGRT PLSIVTVPQSATKTETVSKSAKTAMKGQYSAKGPPKSSKPPTSFRDPPSSGKGADSGDKM PTARKKEEDDHYFVMTGNKKLRK >ENSMUSP00000100935.1 pep:known chromosome:GRCm38:10:89408823:89443967:-1 gene:ENSMUSG00000074802.10 transcript:ENSMUST00000105298.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas2l3 description:growth arrest-specific 2 like 3 [Source:MGI Symbol;Acc:MGI:1918780] MTMQPAIQVWFGEDLPLSPRCPLTPRHGPGLADVCQYDEWIAVRHEATLLPMQEDLSIWL SGLLGVDIKAERLLEELDNGVLLCQLINVLQNMVKGCHSDEPGNFPMRKVPCKKDAASGS FFARDNTANFLHWCRHIGVDETYLFESEGLVLHKDPRQVYLCLLEIGRIVSRYGVEPPVL VKLEKEIELEETLLNASGLEESISIPKSCCQQEELHEAVKHIAEDPPCSCSHRFSIEYLS EGRYRLGEKILFIRMLHGKHVMVRVGGGWDTLQGFLLKYDPCRILQFATLEQKILAFQKG VSNESVPDSPARTPQPPEMNPLSAVNMFQKQNLRPGTPVSVPKNKEKQVRLPGARLPASS VKGNLASPSTRAKRPDSPASFPHPKVTSLKDAAKKTTAPSNSVSQSLASPNPGSKPSTAQ CASESSRKCVTFPKTAQTKAIPAQNSRDLSKSRLLPSKSPGKMEPKHLKHNHLSSRDESR INLSSKSPKLPKGAMHGRPNPSPFQPPAKVTKPSSKTGAIGLGTQSQPPTRTPRSGAVSA QRLQSTLNLNSPASVCSGSSAKATQGSKGKNTVSVAKKQPQSKGVCRNPGPGSSKSPGRT PLSIVTVPQSATKTETVSKSAKTAMKGQYSAKGPPKSSKPPTSFRDPPSSGKGADSGDKM PTARKKEEDDHYFVMTGNKKLRK >ENSMUSP00000023797.6 pep:known chromosome:GRCm38:15:101918536:101924735:-1 gene:ENSMUSG00000059668.5 transcript:ENSMUST00000023797.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt4 description:keratin 4 [Source:MGI Symbol;Acc:MGI:96701] MIARQSSVRGASRGFSSGSAIAGGVKRVAFSSGSMSGGAGRCSSGGFGSRSLYNLGGHKS ISMSVAGSCQGGGYGGAGGFGVGGYGAGFGAGGFGGGFGGSFNGRGGPGFPVCPAGGIQE VTINQSLLTPLQVEIDPEIQKIRTAEREQIKTLNNKFASFIDKVRFLEQQNKVLETKWNL LQQQTTTTSPKSLDPFFETYINALRKNLDTLSNDKGRLQSELKMMQDSVEDFKTKYEEEI NKRTAAENDFVVLKKDVDAAYMIKVELEAKMESLKDEINFTRVLYEAELAQMQTHVSDTS VVLSMDNNRNLDLDGIIAEVRAQYEDIARKSKAEVESWYQIKVQQLQMSADQHGDSLKTT KNEISELNRMIQRLRAEIENIKKQSQTLQASVADAEQRGELALKDAYSKRAELETALQKA KEDLARLLRDYQALMNVKLALDVEIATYRKLLEGEECRMSGECKSAVSISVVGGSASIGG SGLGLGSGFCSGSGSGSGFGFGGGIYGGSGSKITSSATITKRSPR >ENSMUSP00000126080.1 pep:known chromosome:GRCm38:8:39005867:39165112:1 gene:ENSMUSG00000039530.16 transcript:ENSMUST00000167992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tusc3 description:tumor suppressor candidate 3 [Source:MGI Symbol;Acc:MGI:1933134] MSARAAPSRRRQAGRRLRYLPTGSFPFLLLLLLLCIQLGGGQKKKENLLAEKVEQLMEWS SRRSIFRMNGDKFRKFVKAPPRNYSMIVMFTALQPQRQCSVCRQANEEYQILANSWRYSS AFCNKLFFGMVDYDEGTDVFQQLNMNSAPTFMHFPSKGRPKRADTFDLQRIGFAAEQLAK WIADRTDVHIRVFRPPNYSGTIALALLVSLVGGLLYLRRNNLEFIYNKTGWAMVSLCIVF AMTSGQMWNHIRGPPYAHKNPHNGQVSYIHGSSQAQFVAESHIILVLNAAITMGMVLLNE AATSKGDVGKRRIICLVGLGLVVFFFSFLLSIFRSKYHGYPYSFLIK >ENSMUSP00000129916.1 pep:known chromosome:GRCm38:8:39005908:39165112:1 gene:ENSMUSG00000039530.16 transcript:ENSMUST00000169034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tusc3 description:tumor suppressor candidate 3 [Source:MGI Symbol;Acc:MGI:1933134] MSARAAPSRRRQAGRRLRYLPTGSFPFLLLLLLLCIQLGGGQKKKENLLAEKVEQLMEWS SRRSIFRMNGDKFRKFVKAPPRNYSMIVMFTALQPQRQCSVCSST >ENSMUSP00000042053.8 pep:known chromosome:GRCm38:15:79108972:79136897:1 gene:ENSMUSG00000033039.9 transcript:ENSMUST00000040320.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Micall1 description:microtubule associated monooxygenase, calponin and LIM domain containing -like 1 [Source:MGI Symbol;Acc:MGI:105870] MAGPRGALLAWCRRQCEGYRGVDIRDLSSSFRDGLAFCAILHRHRPDLLDFQSLSKENVF ENNRLAFEVAEKELGIPALLDPNDMVSMSVPDCLSIMTYVSQYYNHFTSSGQAAASPPKP GKDPAPPSPTSTSPAVQPGEEAQGDDLSPDSLSEQGKQQPPSSACAACGQRVHLVQRYLA EGRLYHRHCFRCRQCSSTLVPGSYSSGPEEGTFVCAERCTRLGPGSRSGTRLLSQQRQQP AAAEAKDAEDNDPSLSVAAVAEADRLQASSEVQFHTPTKPPLPSKPQELASPPGGRPTPA PRKASESSALTPPTPRPRSSLQQDGTVEQSVSSGLVNGRLQEPPVPKPRGTPKLSERMAA PRKDPPWITLVQTEPKKKPAPQPPSSGPGPLSQAYRQVEDGGLEEQTQKSSGTEPEPKPY NPFEEEEEEEGEPAPPVPSPSLAPPVPSPSPAPPVPSPAPAPSEATPKSLHPWYGITPTS SPKTKKRPAPRAPSASPLAIHASRLSHSEPPSATPSPALSVESLSSESSSHTANAEPLEP PAVPKSSSDPAVHVPGTPGTSGNSVTPSANSSLSSSGELGQPSGEQMLQARTKGSAGTHS TKPFSGATPTPFLLAGDRNPAPPVGSASPQLQIKSSCKENPFNRKPSPSASPTVRKATKG AKPVRPPAPGHGFPLIKRKVQADQYIPEEDIYGEMDNIERQLDALEHSGVLLEEKLRGGA NEGSEDDMLVDWFKLIHEKHLLVRRESELIYVFKQQNLEQRQADVEFELRCLLNKPEKDW TDEDRAREKVLMQELMTLIEQRDAIVNCLDEDRQREEEEDKMLETMIKKKDFQREAESDS KKKGKFKTIKVLKFLGNKREAKSKAPGDKS >ENSMUSP00000096867.2 pep:known chromosome:GRCm38:10:116111664:116113917:-1 gene:ENSMUSG00000074734.2 transcript:ENSMUST00000099261.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933416C03Rik description:RIKEN cDNA 4933416C03 gene [Source:MGI Symbol;Acc:MGI:3588269] MSKSRDEPPHELENQFILRLPPEQASAVRKIIRSGNAAMREKLKIDLSPDSRRAVVQVDG VSLSAKLVDLPCVIGSLKTHDGKTFYKTADVSQMLLCSADDGDPHTSPEEPATSAGATVV GNKKEAEGKYIWKHGITPPLKNVRKKRFRKPTKKPADMKQGEESCRAYIDSKDVEKEVKR LLRSDAEAISSRWEVVVDDETKAVPSQTCTSHVPLPPEAGDHTSSACAMPQSTFSDSSSG KDDPENKDEEEEEEKEEKEEEDEDEEDEEEEEEEEEDDSEEDLERELQAKFIEFSLCEAN ESSSSVILGIQKLIHSKERKLQEIQAKAQRQKDLLRKLENLTLKSHFQSVLDQLKLQEKQ KYEQILFLQEQLKCFLKK >ENSMUSP00000053325.6 pep:known chromosome:GRCm38:18:31580168:31609902:-1 gene:ENSMUSG00000024259.8 transcript:ENSMUST00000060396.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a46 description:solute carrier family 25, member 46 [Source:MGI Symbol;Acc:MGI:1914703] MHPRRPEGFDGLGYRGGVRDDPAFGGPFHARSFGSGTELGHWVTTPPDIPGSRNLHWGEK SPSYGVPSAPPTLEGSAEEPFPGGGEGPRPGPSSEQLNRFAGFGIGLASLFTENVLAHPC IVLRRQCQVNYHARHYHLTPFSIINIMYSFNKTQGPRALWKGMGSTFIVQGVTLGAEGII SEFTPLPREVSHKLNPKQIGEHLLLKCLTYMVAMPFYSASLIETVQSEIIRDNTGILECV KEGIGRVIGLGVPHSKRLLPLFSLIFPTVLHGVLHYIISSIIQKIVLLILKRKTYNSHLA ESTSPMQNMLDAYFPELIANFAASLCSDVILYPLETVLHRLHIQGTRTIIDNTDLGYEVL PINTQYEGMRDCINTIKQEEGVFGFYKGFGAVIIQYTLHATILQITKMIYSTLLQNSI >ENSMUSP00000060527.3 pep:known chromosome:GRCm38:7:105143350:105144309:-1 gene:ENSMUSG00000044120.3 transcript:ENSMUST00000061284.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr683 description:olfactory receptor 683 [Source:MGI Symbol;Acc:MGI:3030517] MIRRQHMEAQSNTSSILAPDFLLICFPNYQTWQHWLSLPLSLLFLLAMGANATLLITIRM EASLHEPMYYLLSLLSLLDIVLCLTVIPKVLAIFWFDNKSIGFSSCFLQMFVMNSFLTME SCTFMVMAYDRYVAICKPLQYPSIITDQFVVRAAIFVAARNGILTMPIPILSSQLRYCAR IIRNCICTNMSVSKLSCDDITFNKLYQFVIGWTLLGSDLILIVLSYSFILKAVLRIKAEG AVAKALSTCGSHFILILFFSTVLLVLVITNLARERIPPDVPILLNILHHLIPPALNPIVY GVRTREIKQGIRNLLRRRL >ENSMUSP00000020255.6 pep:known chromosome:GRCm38:10:88885992:88929505:1 gene:ENSMUSG00000020062.6 transcript:ENSMUST00000020255.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a8 description:solute carrier family 5 (iodide transporter), member 8 [Source:MGI Symbol;Acc:MGI:2384916] MDASRDIGSFVVWDYVVFAGMLLISAAIGIYYAFAGGGQQTSKDFLMGGRSMSAVPVALS LTASFMSAVTVLGTPAEVYRFGAIFSIFVITYFFVVVISAEVFLPVFYRLGITSTYEYLE LRFNRCIRLCGTILFIVQTILYTGIVIYAPALALNQVTGFDLWGAVVATGVVCTFYCTLG GLKAVVWTDVFQVGIMVAGFASVIIQASITQHGINKILSDAFNGGRLNFWNFDPNPLQRH TFWTIVIGGTFTWTTIYGVNQSQVQRYISCKSRLHAKLSLYVNLVGLWVILTCSIFCGLA LYSRYRECDPWTSKKVSAIDQLMPYLVLDILKNYPGVPGLFVACAYSGTLSTVSSSINAL AAVTVEDLIKPRFKSLSEKSLSWISQGMSVLYGALCIGMAALASLMGALLQAALSIFGMV GGPLLGLFSLGILVPFANSIGALTGLLAGFAISLWVGIGAQLYPPLPERTLPLPLETYGC NITHNGSDWMSTTEMPFSTSAFQIHNAERTPLMDNWYSLSYLYFSTIGTLTTLFVGILIS LSTGGRKQNLDPRFLLTKQDFLSNFDVFKKRNHVLNYKLHPVEVGGTDNPAFNHVELNFT DHSGKINGTRL >ENSMUSP00000025390.2 pep:known chromosome:GRCm38:18:70240429:70244584:-1 gene:ENSMUSG00000024512.3 transcript:ENSMUST00000025390.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynap description:dynactin associated protein [Source:MGI Symbol;Acc:MGI:1922827] MVRKQQQYTLNVEQNVAEQLLRSPYCSTEGTHCDCRSHHVTCELHQVTKNRCSLWKVFLI CLLACLVATSITALAFYFGPFGNSNNTTIVIHTDGRSCQDPCASPSTSSPTTIPSPRPDT TPSPTNTTTPALPTTLPTFTTVMTSTSTEHDVEIELDDEQI >ENSMUSP00000039633.7 pep:known chromosome:GRCm38:9:67217087:67559703:-1 gene:ENSMUSG00000052698.14 transcript:ENSMUST00000040025.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tln2 description:talin 2 [Source:MGI Symbol;Acc:MGI:1917799] MVALSLKICVRHCNVVKTMQFEPSTAVYDACRVIRERVPEAQTGQASDYGLFLSDEDPRK GIWLEAGRTLDYYMLRNGDILEYKKKQRPQKIRMLDGSVKTVMVDDSKTVGELLVTICSR IGITNYEEYSLIQETIEEKKEEGTGTLKKDRTLLRDERKMEKLKAKLHTDDDLNWLDHSR TFREQGVDENETLLLRRKFFYSDQNVDSRDPVQLNLLYVQARDDILNGSHPVSFEKACEF GGFQAQIQFGPHVEHKHKPGFLDLKEFLPKEYIKQRGAEKRIFQEHKNCGEMSEIEAKVK YVKLARSLRTYGVSFFLVKEKMKGKNKLVPRLLGITKDSVMRVDEKTKEVLQEWPLTTVK RWAASPKSFTLDFGEYQESYYSVQTTEGEQISQLIAGYIDIILKKKQSKDRFGLEGDEES TMLEESVSPKKSTILQQQFNRTGKAEHGSVALPAVMRSGSSGPETFNVGSMPSPQQQVMV GQMHRGHMPPLTSAQQALMGTINTSMHAVQQAQDDLSELDSLPPLGQDMASRVWVQNKVD ESKHEIHSQVDAITAGTASVVNLTAGDPADTDYTAVGCAITTISSNLTEMSKGVKLLAAL MDDDVGSGEDLLRAARTLAGAVSDLLKAVQPTSGEPRQTVLTAAGSIGQASGDLLRQIGE NETDERFQDVLMSLAKAVANAAAMLVLKAKNVAQVAEDTVLQNRVIAAATQCALSTSQLV ACAKVVSPTISSPVCQEQLIEAGKLVDRSVENCVRACQAATSDSELLKQVSAAASVVSQA LHDLLQHVRQFASRGEPIGRYDQATDTIMCVTESIFSSMGDAGEMVRQARVLAQATSDLV NAMRSDAEAEIDMENSKKLLAAAKLLADSTARMVEAAKGAAANPENEDQQQRLREAAEGL RVATNAAAQNAIKKKIVNRLEVAAKQAAAAATQTIAASQNAAISNKNPSAQQQLVQSCKA VADHIPQLVQGVRGSQAQAEDLSAQLALIISSQNFLQPGSKMVSSAKAAVPTVSDQAAAM QLSQCAKNLATSLAELRTASQKAHEACGPMEIDSALNTVQTLKNELQDAKMAAAESQLKP LPGETLEKCAQDLGSTSKGVGSSMAQLLTCAAQGNEHYTGVAARETAQALKTLAQAARGV AASTNDPEAAHAMLDSARDVMEGSAMLIQEAKQALIAPGDTESQQRLAQVAKAVSHSLNN CVNCLPGQKDVDVALKSIGEASKKLLVDSLPPSTKPFQEAQSELNQAAADLNQSAGEVVH ATRGQSGELAAASGKFSDDFDEFLDAGIEMAGQAQTKEDQMQVIGNLKNISMASSKLLLA AKSLSVDPGAPNAKNLLAAAARAVTESINQLIMLCTQQAPGQKECDNALRELETVKGMLE NPNEPVSDLSYFDCIESVMENSKVLGESMAGISQNAKTGDLPAFGECVGIASKALCGLTE AAAQAAYLVGISDPNSQAGHQGLVDPIQFARANQAIQMACQNLVDPGSSPSQVLSAATIV AKHTSALCNACRIASSKTANPVAKRHFVQSAKEVANSTANLVKTIKALDGDFSEDNRNKC RIATTPLIEAVENLTAFASNPEFASIPAQISSEGSQAQEPILVSAKTMLESSSYLIRTAR SLAINPKDPPTWSVLAGHSHTVSDSIKSLITSIRDKAPGQRECDYSIDGINRCIRDIEQA SLAAVSQSLATRDDISVEALQEQLTSVVQEIGHLIDPIATAARGEAAQLGHKVTQLASYF EPLILAAVGVASKMLDHQQQMTVLDQTKTLAESALQMLYAAKEGGGNPKAQHTHDAITEA AQLMKEAVDDIMVTLNEAASEVGLVGGMVDAIAEAMSKLDEGTPPEPKGTFVDYQTTVVK YSKAIAVTAQEMMTKSVTNPEELGGLASQMTTDYGHLALQGQMAAATAEPEEIGFQIRTR VQDLGHGCIFLVQKAGALQVCPTDSYTKRELIECARSVTEKVSLVLSALQAGNKGTQACI TAATAVSGIIADLDTTIMFATAGTLNAENGETFADHRENILKTAKALVEDTKLLVSGAAS TPDKLAQAAQSSAATITQLAEVVKLGAASLGSNDPETQVVLINAIKDVAKALSDLIGATK GAASKPADDPSMYQLKGAAKVMVTNVTSLLKTVKAVEDEATRGTRALEATIEYIKQELTV FQSKDIPEKTSSPEESIRMTKGITMATAKAVAAGNSCRQEDVIATANLSRKAVSDMLIAC KQASFYPDVSEEVRTRALRYGTECTLGYLDLLEHVLVILQKPTPELKHQLAAFSKRVAGA VTELIQAAEAMKGTEWVDPEDPTVIAETELLGAAASIEAAAKKLEQLKPRAKPKQADETL DFEEQILEAAKSIAAATSALVKSASAAQRELVAQGKVGSIPANAADDGQWSQGLISAARM VAAATSSLCEAANASVQGHASEEKLISSAKQVAASTAQLLVACKVKADQDSEAMKRLQAA GNAVKRASDNLVRAAQKAAFGKADDDDVVVKTKFVGGIAQIIAAQEEMLKKERELEEARK KLAQIRQQQYKFLPTELREDEG >ENSMUSP00000035272.8 pep:known chromosome:GRCm38:9:67221138:67539392:-1 gene:ENSMUSG00000052698.14 transcript:ENSMUST00000039662.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tln2 description:talin 2 [Source:MGI Symbol;Acc:MGI:1917799] MVALSLKICVRHCNVVKTMQFEPSTAVYDACRVIRERVPEAQTGQASDYGLFLSDEDPRK GIWLEAGRTLDYYMLRNGDILEYKKKQRPQKIRMLDGSVKTVMVDDSKTVGELLVTICSR IGITNYEEYSLIQETIEEKKEEGTGTLKKDRTLLRDERKMEKLKAKLHTDDDLNWLDHSR TFREQGVDENETLLLRRKFFYSDQNVDSRDPVQLNLLYVQARDDILNGSHPVSFEKACEF GGFQAQIQFGPHVEHKHKPGFLDLKEFLPKEYIKQRGAEKRIFQEHKNCGEMSEIEAKVK YVKLARSLRTYGVSFFLVKEKMKGKNKLVPRLLGITKDSVMRVDEKTKEVLQEWPLTTVK RWAASPKSFTLDFGEYQESYYSVQTTEGEQISQLIAGYIDIILKKKQSKDRFGLEGDEES TMLEESVSPKKSTILQQQFNRTGKAEHGSVALPAVMRSGSSGPETFNVGSMPSPQQQVMV GQMHRGHMPPLTSAQQALMGTINTSMHAVQQAQDDLSELDSLPPLGQDMASRVWVQNKVD ESKHEIHSQVDAITAGTASVVNLTAGDPADTDYTAVGCAITTISSNLTEMSKGVKLLAAL MDDDVGSGEDLLRAARTLAGAVSDLLKAVQPTSGEPRQTVLTAAGSIGQASGDLLRQIGE NETDERFQDVLMSLAKAVANAAAMLVLKAKNVAQVAEDTVLQNRVIAAATQCALSTSQLV ACAKVVSPTISSPVCQEQLIEAGKLVDRSVENCVRACQAATSDSELLKQVSAAASVVSQA LHDLLQHVRQFASRGEPIGRYDQATDTIMCVTESIFSSMGDAGEMVRQARVLAQATSDLV NAMRSDAEAEIDMENSKKLLAAAKLLADSTARMVEAAKGAAANPENEDQQQRLREAAEGL RVATNAAAQNAIKKKIVNRLEVAAKQAAAAATQTIAASQNAAISNKNPSAQQQLVQSCKA VADHIPQLVQGVRGSQAQAEDLSAQLALIISSQNFLQPGSKMVSSAKAAVPTVSDQAAAM QLSQCAKNLATSLAELRTASQKAHEACGPMEIDSALNTVQTLKNELQDAKMAAAESQLKP LPGETLEKCAQDLGSTSKGVGSSMAQLLTCAAQGNEHYTGVAARETAQALKTLAQAARGV AASTNDPEAAHAMLDSARDVMEGSAMLIQEAKQALIAPGDTESQQRLAQVAKAVSHSLNN CVNCLPGQKDVDVALKSIGEASKKLLVDSLPPSTKPFQEAQSELNQAAADLNQSAGEVVH ATRGQSGELAAASGKFSDDFDEFLDAGIEMAGQAQTKEDQMQVIGNLKNISMASSKLLLA AKSLSVDPGAPNAKNLLAAAARAVTESINQLIMLCTQQAPGQKECDNALRELETVKGMLE NPNEPVSDLSYFDCIESVMENSKVLGESMAGISQNAKTGDLPAFGECVGIASKALCGLTE AAAQAAYLVGISDPNSQAGHQGLVDPIQFARANQAIQMACQNLVDPGSSPSQVLSAATIV AKHTSALCNACRIASSKTANPVAKRHFVQSAKEVANSTANLVKTIKALDGDFSEDNRNKC RIATTPLIEAVENLTAFASNPEFASIPAQISSEGSQAQEPILVSAKTMLESSSYLIRTAR SLAINPKDPPTWSVLAGHSHTVSDSIKSLITSIRDKAPGQRECDYSIDGINRCIRDIEQA SLAAVSQSLATRDDISVEALQEQLTSVVQEIGHLIDPIATAARGEAAQLGHKVTQLASYF EPLILAAVGVASKMLDHQQQMTVLDQTKTLAESALQMLYAAKEGGGNPKAQHTHDAITEA AQLMKEAVDDIMVTLNEAASEVGLVGGMVDAIAEAMSKLDEGTPPEPKGTFVDYQTTVVK YSKAIAVTAQEMMTKSVTNPEELGGLASQMTTDYGHLALQGQMAAATAEPEEIGFQIRTR VQDLGHGCIFLVQKAGALQVCPTDSYTKRELIECARSVTEKVSLVLSALQAGNKGTQACI TAATAVSGIIADLDTTIMFATAGTLNAENGETFADHRENILKTAKALVEDTKLLVSGAAS TPDKLAQAAQSSAATITQLAEVVKLGAASLGSNDPETQVVLINAIKDVAKALSDLIGATK GAASKPADDPSMYQLKGAAKVMVTNVTSLLKTVKAVEDEATRGTRALEATIEYIKQELTV FQSKDIPEKTSSPEESIRMTKGITMATAKAVAAGNSCRQEDVIATANLSRKAVSDMLIAC KQASFYPDVSEEVRTRALRYGTECTLGYLDLLEHVLVILQKPTPELKHQLAAFSKRVAGA VTELIQAAEAMKGTEWVDPEDPTVIAETELLGAAASIEAAAKKLEQLKPRAKPKQADETL DFEEQILEAAKSIAAATSALVKSASAAQRELVAQGKVGSIPANAADDGQWSQGLISAARM VAAATSSLCEAANASVQGHASEEKLISSAKQVAASTAQLLVACKVKADQDSEAMKRLQAA GNAVKRASDNLVRAAQKAAFGKADDDDVVVKTKFVGGIAQIIAAQEEMLKKERELEEARK KLAQIRQQQYKFLPTELREDEG >ENSMUSP00000111475.2 pep:known chromosome:GRCm38:18:31759824:31784071:1 gene:ENSMUSG00000041915.8 transcript:ENSMUST00000115808.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ammecr1l description:AMME chromosomal region gene 1-like [Source:MGI Symbol;Acc:MGI:2442711] MGKRRCVPPLEPKLAAGCCGVKKPKLSGSGTHSHGNQSTTVPGSSSGPLQNHQHVDNSSG RENVSDLTLGPGNSPITRMNTASGALSPLPRPNGTANSTKNLVVTAEMCCYCFDVLYCHL YGFPQPRLPRFTNDPYPLFVTWKTGRDKRLRGCIGTFSAMNLHSGLREYTLTSALKDSRF PPLTREELPKLFCSVSLLTNFEDASDYLDWEVGVHGIRIEFINEKGIKRTATYLPEVAKE QDWDQIQTIDSLLRKGGFKAPITSEFRKSIKLTRYRSEKVTISYAEYIASRQHCFQNGTL HAPPLYNHYS >ENSMUSP00000104376.1 pep:known chromosome:GRCm38:8:129129097:129131661:-1 gene:ENSMUSG00000078840.1 transcript:ENSMUST00000108743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10999 description:predicted gene 10999 [Source:MGI Symbol;Acc:MGI:3779215] DNVLPQSSELKKHENTGSKNGNRHNKEITKGENPGDRKPRKSRTQRNDQTHRKNIKSSNG KRSNPKRTQ >ENSMUSP00000083455.2 pep:known chromosome:GRCm38:X:7909542:7909927:1 gene:ENSMUSG00000073290.1 transcript:ENSMUST00000086274.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10490 description:predicted gene 10490 [Source:MGI Symbol;Acc:MGI:3642001] DRVSLCSPGCWPRTQKSSCLCLPSAGIKGVSHHRLAPICFLRVTTVCSEMSFHLRRGKVW ERSQVLTFCVNPSMDGISIVSTGGHMPYRGTKISRDWIGFVTVTAALPVSSWIIYTFCKA >ENSMUSP00000006451.6 pep:known chromosome:GRCm38:14:50765415:50785518:-1 gene:ENSMUSG00000006288.7 transcript:ENSMUST00000006451.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc5 description:tetratricopeptide repeat domain 5 [Source:MGI Symbol;Acc:MGI:2683584] MMADEEEEAKHVLQKLQGLVDRLYCFRDSYFETHSVEDAGRKQQDVQEEMEKTLQQMEEV LGSAQVEAQALMLKGKALNVTPDYSPEAEVLLSKAVKLEPELVEAWNQLGEVYWKKGDVA SAHTCFSGALTHCKNKVSLQNLSMVLRQLQTDSGDEHSRHVMDSVRQAKLAVQMDVLDGR SWYILGNAYLSLYFNTGQNPKISQQALSAYAQAEKVDRKASSNPDLHLNRATLHKYEESY GEALEGFSQAAALDPVWPEPQQREQQLLEFLSRLTSLLESKGKTKPKKLQSMLGSLRPAH LGPCGDGRYQSASGQKMTLELKPLSTLQPGVNSGTVVLGKVVFSLTTEEKVPFTFGLVDS DGPCYAVMVYNVVQSWGVLIGDSVAIPEPNLRHHQIRHKGKDYSFSSVRVETPLLLVVNG KPQNSSSQASATVASRPQCE >ENSMUSP00000036065.7 pep:known chromosome:GRCm38:18:34840589:34847463:1 gene:ENSMUSG00000038555.7 transcript:ENSMUST00000043484.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep2 description:receptor accessory protein 2 [Source:MGI Symbol;Acc:MGI:2385070] MVSWIISRLVVLIFGTLYPAYSSYKAVKTKNVKEYVKWMMYWIVFAFFTTAETLTDIILS WFPFYFELKIAFVIWLLSPYTKGSSVLYRKFVHPTLSNKEKEIDEYITQARDKSYETMMR VGKRGLNLAANAAVTAAAKGQGVLSEKLRSFSMQDLTLIRDEDALPLQGPDGRLQPGPVG LLDTIEDLGDEPALSLRSSTSQPDPRTETSEDDLGDKAPKRTKPIKKVPRAEPPASKTLK TRPKKKSSGGGDSA >ENSMUSP00000021512.9 pep:known chromosome:GRCm38:12:72650356:72664828:-1 gene:ENSMUSG00000021094.9 transcript:ENSMUST00000021512.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs7 description:dehydrogenase/reductase (SDR family) member 7 [Source:MGI Symbol;Acc:MGI:1913625] MSWELLLWLLALCALILPLVQLLRFLRADADLTLLWAEWQGRRPEWELTDMVVWVTGASS GIGEELAFQLSKLGVSLVLSARRAQELERVKRRCLENGNLKEKDILVLPLDLTDTSSHEA ATKAVLQEFGKIDILVNNGGRSQRSLVLETNLDVFKELINLNYIGTVSLTKCVLPHMIER KQGKIVTVNSIAGIASVSLSSGYCASKHALRGFFNALHSELGQYPGITFCNVYPGPVQSD IVKNAFTEEVTKSMRNNIDQSYKMPTSRCVRLMLISMANDLKEVWISDHPVLLGAYIWQY MPTWAAWLNCKLGKERIQNFKNNLDPDLPYKFLKAKKD >ENSMUSP00000023100.6 pep:known chromosome:GRCm38:15:82147266:82205376:1 gene:ENSMUSG00000022463.7 transcript:ENSMUST00000023100.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srebf2 description:sterol regulatory element binding factor 2 [Source:MGI Symbol;Acc:MGI:107585] MDESSELGVLETMETLTELGDELTLGDIDEMLQFVSNQVGEFPDLFSEQLCSSFPGGGSN GGSGNNSSGRGNNGGATDPAVQRSFSQVPLSTFSPSAASPQAPALQVKVSPTPPRATPVL QPRPQPQPQPPAQLQQQTVMITPTFSTAPQTRIIQQPLIYQNAATSFQVLQPQVQSLVTS PQVQPVTIQQQVQTVQAQRVLTQTANGTLQTLAPATVQTVAAPQVQQVPVLVQPQIIKTD SLVLTTLKTDGSPVMAAVQNPALTALTAPIQTAALQVPTLVGSNGTILTTMPVMMGQEKV PIKQVPGGVKQLDPPKEGERRTTHNIIEKRYRSSINDKIIELKDLVMGTDAKMHKSGVLR KAIDYIKYLQQVNHKLRQENMVLKLANQKNKLLKGIDLGSLVDSDVDLKIDDFNQNVLLM SPPASDSGSQAGFSPYSIDSEPGSPLLDDAKVKDEPDSPPVALGMVDRSRILLCVLTFLG LSFNPLTSLLQWGGAHNTDQHPYSGSGRSVLSLESGAGGWFDWMVPTLLLWLVNGVIVLS VFVKLLVHGEPVIRPHSRPSVTFWRHRKQADLDLAKGDFAAAAANLQTCLSVLGRALPTS RLDLACSLSWNVIRYSLQKLRLVRWLLKKVFQRWRATPATAAGFEDEAKSSARDAALAYH RLHQLHITGKLPAGSACSDVHMALCAVNLAECAEEKILPSTLIEIHLTAAMGLKTRCGGK LGFLASYFLNRAQSLCGPEHSTVPDSLRWLCHPLGQKFFMERSWSIKSAAKESLYCAQRS PADPIAQVHQAFCKNLLERAVESLVKPQAKKKAGDQEEESCEFSSALEYLKLLHSFVDSV GFVTSPFSSSSVLRSALGPDVICRWWTSAVTMAISWLQGDDAAVRSRFTEVERVPKALEV TESPLVKAVFYTCRAMHASLSGKADGQQNSFCHCERASGHLWSSLNVSGTTSDPSLNHVI QLFTCDLLLSLRTALWQKQASASQLLGETYHASGTELAGFQRDLGSLRRLAHSFRPAYRK VFLHEATVRLMAGASPTRTHQLLEHSLRRRPTQNTKHGEVDTWPGQRERATAILLACRHL PLSFLSSPGQRAVLLAEAARTLEKVGDRRSCSDCQQMIVKLGGGTAIAAS >ENSMUSP00000098548.2 pep:known chromosome:GRCm38:12:116281222:116373096:1 gene:ENSMUSG00000021171.7 transcript:ENSMUST00000100986.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esyt2 description:extended synaptotagmin-like protein 2 [Source:MGI Symbol;Acc:MGI:1261845] MSSAGGEGPEAGPGRAGGRSEPEAPGSALSVDLPGLLGQLARSFALLLPVYALGYLGLSF SWVLLALGLLAWCRRSRGLKASRLCRALALLEDEEQAVRLGVRACDLPAWVHFPDTERAE WLNKTVKHMWPFICQFIEKLFRETIEPAVRGANAHLSTFSFTKVDVGQQPLRVNGVKVYT ENVDKRQIILDLQISFVGNCEIDLEIKRYFCRAGVKSIQIHGTMRVILEPLIGDMPLVGA LSIFFLRKPLLEINWTGLTNLLDIPGLNGLSDTIILDIISNYLVLPNRITVPLVSEVQIA QLRFPIPKGVLRIHFIEAQDLQGKDTYLKGLVKGKSDPYGIIRVGNQIFQSKVIKENLSP KWNEVYEALVYEHPGQELEIELFDEDPDKDDFLGSLMIDLIEVEKERLLDEWFTLDEVPK GKLHLKLEWLTLMPDAANLDKVLADIRADKDQASDGLSSALLILYLDSARNLPSGKKINS NPNPLVQMSVGHKAQESKIRYKTSEPVWEENFTFFIHNPRRQDLEVEVKDEQHQCSLGSL RIPLSQLLTSDNMTINQRFQLSNSGPNSTLKMKIALRVLHLEKQERPPDYQHSAQVKRPS VSKEGRKMPIKSQMSASPGTGGANTAPSTPVMGVDDKPAMEEKPQPPEASPLGHRDLGRS SSSLLASPSHIAAKEPTPSIASDISLPIATQELRQRLRQLENGTTLGQSPLGQIQLTIRH SSQRNKLIVVVHSCRNLIAFSEDGSDPYVRMYLLPDKRRSGRRKTHVSKKTLNPVFDQSF DFSVSLPEVQRRTLDVAVKNSGGFLSKDKGLLGKVLVVLASEELAKGWTQWYDLTEDGTR PQVIT >ENSMUSP00000038555.3 pep:known chromosome:GRCm38:14:55540266:55550017:1 gene:ENSMUSG00000040618.6 transcript:ENSMUST00000048781.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pck2 description:phosphoenolpyruvate carboxykinase 2 (mitochondrial) [Source:MGI Symbol;Acc:MGI:1860456] MLLPSPPSLSPRLRSFLWPSRSASPGAMAAMYLPGLRLSRHGLRPWCWSPCRSIQTLHVL SGDMSQLPAGVRDFVARSAHLCQPEGIHICDGTEAENTAILALLEEQGLIRKLPKYKNCW LARTDPKDVARVESKTVIVTPSQRDTVPLLAGGARGQLGNWMSPDEFQRAVDERFPGCMQ GRIMYVLPFSMGPVGSPLSRIGVQLTDSAYVVASMRIMTRLGTPVLQALGDGDFIKCLHS VGQPLTGHGDPVGQWPCNPEKTLIGHVPDQREIVSFGSGYGGNSLLGKKCFALRIASRLA RDEGWLAEHMLILGITNPAGKKRYVAAAFPSACGKTNLAMMRPALPGWKVECVGDDIAWM RFDSEGQLRAINPENGFFGVAPGTSAATNPNAMATIQSNTLFTNVAETSDGGVYWEGIDQ PLPPGVTITSWLGKPWKPGDKEPCAHPNSRFCVPARQCPIMDPAWEAPEGVPIDAIIFGG RRPKGVPLVYEAFNWRHGVFVGSAMRSESTAAAEHKGKTIMHDPFAMRPFFGYNFGRYLE HWLSMEGQKGARLPRIFHVNWFRRDEAGRFLWPGFGENARVLDWICRRLEGEDSAQETPI GLVPKEGALDLSGLSAVDTSQLFSIPKDFWEQEVRDIRGYLTEQVNQDLPKEVLAELEAL EGRVQKM >ENSMUSP00000095764.1 pep:known chromosome:GRCm38:7:105056248:105057186:1 gene:ENSMUSG00000073914.1 transcript:ENSMUST00000098161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr677 description:olfactory receptor 677 [Source:MGI Symbol;Acc:MGI:3030511] MSSINSTQFHPSFFILVGIPGLEIFHIWIAFPFCLVYLTSLVGNITILFVIKTEHSLHQP MFYFLATLSIIDLCLSTSTIPKMLGIFWFNLREISFGGCLAQMFFIHVFTGMETVLLVVM AYDRFVAICKPLQYTTILTNKTISLLLSVVIGRNLILVTPFVFLILRLPFCGHHIMPHTY CEHMGLARLACAPIKINIIYGLVVISHILVDMILIASSYVLILRAVFRIPSQDARLKALN TCGSHVCIMLCFYTPALFSFMTHRFGQNIPHYIHILLANLYVVIPPALNPVIYGVRTKQI REKIIKIVVQKE >ENSMUSP00000036213.5 pep:known chromosome:GRCm38:10:128363097:128370032:-1 gene:ENSMUSG00000039914.5 transcript:ENSMUST00000043211.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coq10a description:coenzyme Q10A [Source:MGI Symbol;Acc:MGI:2684847] MASAGARRLPAPTRAAARSCCGFSLCRGARSVPTPPPGPPRPPRPMRFLISCNLFLPRAA QALAAEAGLPHSRSFMGFAAPFTNKRKAYSERRIMGSSASDSSCCRYSMQEMFEVVSNVQ EYREFVPWCKKSLVVSSRKGHLKAQLEVGFPPVLERYTSAVSMVKPHMVKAVCTDGKLFN HLETIWRFSPGIPSYPRTCTVDFSISFEFRSLLHSQLATMFFDEVVKQNVAAFERRAATK FGPETAIPRELMFHEVHQT >ENSMUSP00000033822.2 pep:known chromosome:GRCm38:8:13060908:13075006:1 gene:ENSMUSG00000031445.4 transcript:ENSMUST00000033822.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proz description:protein Z, vitamin K-dependent plasma glycoprotein [Source:MGI Symbol;Acc:MGI:1860488] MAGCILLLRGFILTLILHQVELSVFLPAPKANNVLRRWRRGSSYFLEEIFQGNLEKECYE EVCNYEEAREVFENDVITDEFWRQYGGGSPCVSQPCLNNGTCEDHIRSYSCTCSPGYEGK TCAMAKNECHLERTDGCQHFCHPGQSSYMCSCAKGYKLGKDQKSCGPSDKCACGALTSEH IRMTKSSQSQPSFPWQVRLTNSEGEDFCAGVLLQEDFVLTTAKCSLLHSNISVKANVDQR IRIKSTHVHMRYDEESGENDVSLLQLEEPLQCPSSGLPVCVPERDFAEHVLIPGTEGLLS GWMLNGTHLATTPMLLSVTQADGEECGQTLNVTVTTRTSCEKGSVVMGPWVEGSVVTREH KGTWFLTGILGSPPPPGQSQMLLLTAVPRYSMWFKQIMK >ENSMUSP00000086028.2 pep:known chromosome:GRCm38:19:4839366:4842528:1 gene:ENSMUSG00000067872.2 transcript:ENSMUST00000088653.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc87 description:coiled-coil domain containing 87 [Source:MGI Symbol;Acc:MGI:3026882] MEPHSQEPDLKPIYHRLLSPLSLFPSKAKPPPEPPKRPSQDATPLQSIPLAKLKVGPLCR QVSKRLASSGRAARVTAKDRLRLTEVILEELKCNWREPPIEPILDYENNQKLRQRLESYV LISSEQLFVRYLHLLVTLPTSRRVFTESATLSRLAVNLARDCTVFLTSPDVYRCLLADFQ TLLNLKHAQGGIVKLRPPPCPPGTFKLCPIPWPHSTGLDHMPCSSLNLNYLVQLSRPYDF PSEPEPDPVEELKSIPQLKSRQQLLWVPSMIKDKEIESRPSPMVPLPSHSPSSESHQFPT SPVHSWLQRGQSMPCLHEGWSLADELCLLPPSPHPLTPLILASESKPLPFRDIVAEDLKQ KMKIMRMEWSRYSLLDSGLPPLLGVLTRRLTAQHHLEKLQQMIKSLQEEEASGKWDLQPP RIIPLHPQPVTVALKVHDQVIVQVATVQLSERYFNDSFHVEGAGVLYNHLTGELDGKAIE EMDADRLVGNTTGEVYKELMSRVSVSHLSFEEGDQIEPSADKDWSSYLASSFLHQDKHMP IINRNLVGFYSRRTSTPKPVPEKVPSLTLLPRHKSWDKRPNRHGVWMNWLKPSVSSEDYF KYLSFQESDFLHVIFQMYEKEAPVEVPVPVQEYLDIQQPPPLLQDEELEFMQGKWDWSSV IEDGSGPGRAYIHNLQQRLKRLWVMLEVPEQNRLDMVIKYSSNARLQQLPALIKAWEQVL KPIQKRESLLGRLEWFEQQASDPNRFFQKPDLLMNRLLEENRFRSYLQRKLNRMESNLVS LLERIESVFGEPVTFKGRSYLKKMKQDKVEMLYWLQQQRRIRNLTQAQKTFRQSCTFTGS SSQALVAPGNTPTTH >ENSMUSP00000022836.4 pep:known chromosome:GRCm38:14:56017964:56020391:1 gene:ENSMUSG00000022227.4 transcript:ENSMUST00000022836.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcpt1 description:mast cell protease 1 [Source:MGI Symbol;Acc:MGI:96937] MQALLFLMALLLPSGAGAEEIIGGVEARPHSRPYMAHLKIITDRGSEDRCGGFLIAPQFV LTAAHCKGREITVTLGAHDVSKSESTQQRIKVEKQIIHKNYNVSFNLYDIMLLKLEEKAE LTPTVDVIPLPGPSDFIDPGKMCWTAGWGKTGEKEPTSETLREVELRIMDKEACKMYKHY DYNFQVCVGSSTKLKTAYMGDSGGPLLCAGVAHGIVSYGDSHGKPPAVFTRISAYVPWIK TVINGK >ENSMUSP00000057288.5 pep:known chromosome:GRCm38:7:140161939:140163014:-1 gene:ENSMUSG00000051180.6 transcript:ENSMUST00000050585.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr522 description:olfactory receptor 522 [Source:MGI Symbol;Acc:MGI:3030356] MSNCSNNALVTEFILLGFPELCHLQGLLFGFFLIIYVVTVLENLVIVGTISASRQLHTPM YFFLANLSVLETLYTTVTVPKLLADLLAGAKTISFSGCLTQLFLFLSLGSSECFLLSTMA CDRYLAICRPLHYPAIMDSKLCLHLALSAWLGGFLASFVSTALISRLRFCGPNALNHFFC DISPLLQLSCTDTTAIEMLDFVAALAVLATSLIVTSVSYVHIIATVLRIPGGAGRRKAFS TCASHLVVVLIFYTTTTFMYARPHAISSFDLNKLVSVIYSVVTPLLNPIIYCLRNRDIRE AFTKFLQPLRFP >ENSMUSP00000052942.8 pep:known chromosome:GRCm38:14:79587691:79629755:1 gene:ENSMUSG00000022024.9 transcript:ENSMUST00000054908.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sugt1 description:SGT1, suppressor of G2 allele of SKP1 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915205] MAAAAAGPASSQRLFQSFSDALIDGDPQAALEELTKALEQNPDDAQYYCQRAYCHILLGK YRDGIADVKKSLELNPNNCTALLRKGICEYHEKDYASALETFAEGQKLDSTDTNFDTWIK RCQEIQNGSESEVSASQRTQSKIKYDWYQTESHVIITLMIKSVQKNDVRVGFSERELSAL VKIPAGEDYSLKLRLLHPIIPEQSTFKVLSTKIEIKMKKPEAVRWEKLEGQGDEPTPKQF TADVKNMYPSSSHYTRNWDKLVGEIKEEEKNEKLEGDAALNKLFQQIYSDGSDEVKRAMN KSFMESGGTVLSTNWSDVGKRKVEINPPDDMEWKQY >ENSMUSP00000108573.3 pep:known chromosome:GRCm38:19:12460779:12465284:1 gene:ENSMUSG00000046805.9 transcript:ENSMUST00000081035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpeg1 description:macrophage expressed gene 1 [Source:MGI Symbol;Acc:MGI:1333743] MAKTICAMNSFMALVLIWMIIACAEADKPLGETGTTGFQICKNALKLPVLEVLPGGGWDN LRNVDMGRVMDLTYTNCKTTEDGQYIIPDEVYTIPQKESNLEMNSEVLESWMNYQSTTSL SINTELALFSRVNGKFSTEFQRMKTLQVKDQAVTTRVQVRNRIYTVKTTPTSELSLGFTK ALMDICDQLEKNQTKMATYLAELLILNYGTHVITSVDAGAALVQEDHVRSSFLLDNQNSQ NTVTASAGIAFLNIVNFKVETDYISQTSLTKDYLSNRTNSRVQSFGGVPFYPGITLETWQ KGITNHLVAIDRAGLPLHFFIKPDKLPGLPGPLVKKLSKTVETAVRHYYTFNTHPGCTNV DSPNFNFQANMDDDSCDAKVTNFTFGGVYQECTELSGDVLCQNLEQKNLLTGDFSCPPGY TPVHLLSQTHEEGYSRLECKKKCTLKIFCKTVCEDVFRVAKAEFRAYWCVAAGQVPDNSG LLFGGVFTDKTINPMTNAQSCPAGYIPLNLFESLKVCVSLDYELGFKFSVPFGGFFSCIM GNPLVNSDTAKDVRAPSLKKCPGGFSQHLAVISDGCQVSYCVKAGIFTGGSLLPVRLPPY TKPPLMSQVATNTVIVTNSETARSWIKDPQTNQWKLGEPLELRRAMTVIHGDSNGMSGGE AAGITLGVTIALGVVITLAIYGTRKYKKKEYQEIEEQESLVGSLATDATVLNGEEDPSPA >ENSMUSP00000046188.7 pep:known chromosome:GRCm38:13:55319223:55321980:-1 gene:ENSMUSG00000034789.7 transcript:ENSMUST00000035242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab24 description:RAB24, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:105065] MSGQRVDVKVVMLGKEYVGKTSLVERYVHDRFLVGPYQNTIGAAFVAKVMCVGDRTVTLG IWDTAGSERYEAMSRIYYRGAKAAIVCYDLTDSSSFERAKFWVKELRSLEEGCQIYLCGT KSDLLEEDRRRRRVDFHDVQDYADNIKAQLFETSSKTGQSVDELFQKVAEDYVSVAAFQV MTEDKGVDLSQKANPYFYSCCHH >ENSMUSP00000072687.2 pep:known chromosome:GRCm38:19:16872316:16873830:-1 gene:ENSMUSG00000056829.3 transcript:ENSMUST00000072915.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxb2 description:forkhead box B2 [Source:MGI Symbol;Acc:MGI:1347468] MPRPGKSSYSDQKPPYSYISLTAMAIQHSAEKMLPLSDIYKFIMERFPYYREHTQRWQNS LRHNLSFNDCFIKIPRRPDQPGKGSFWALHPDCGDMFENGSFLRRRKRFKVLRADHAHLH SGSSKGAPGTGPGGHLHPHHPHHAHHHHHHHHHAAHHHHHHHPPQPPPPPPPHMVPYFHQ QPAPAPQPPHLPSQPAQQPQPQSQPPQTSHPGKMQEAAAVAAAAAAAAAAAVGSVGRLSQ FPPYGLGSAAAAAAAAAASTTGFKHPFAIENIIGRDYKGVLQAGGLPLASVMHHLGYPVP GQLSNVVGSVWPHVGVMDSVAAAAAAAAAAGVPVGPEYGAFGVPVKALCHSANQSLPAVP VPIKPTPALPPVTTLPPALSVPTASQQLPAPSTVCAAAASPTAPLLEPTAAGRADSKGSS LHSVLVHS >ENSMUSP00000039046.9 pep:known chromosome:GRCm38:15:33083129:33594552:1 gene:ENSMUSG00000039007.9 transcript:ENSMUST00000042167.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpq description:carboxypeptidase Q [Source:MGI Symbol;Acc:MGI:1889205] MRSLFFLFIVHLLALGSGKAVFKNGVSQRTFREIKEEIANYEDVAKAIINLAVYGKYQNR SYERLGLLVDTVGPRLSGSKNLEKAIQIMYQNLQQDGLENVHLEQVRIPHWERGEESAVM LEPRIHKMAILGLGSSIGTPPGGITAEVLVVASFDELQRRASEARGKIIVYNQPYTGYEK TVQYRVQGAVEAAKVGAVASLIQSVASFSIYSPHTGIQKYQDGVPKIPTACITVEDAEMM SRMASRGNKIVIHLEMGAKTYPDTDSFNTVAEITGSMYPEEVVLVSGHLDSWDVGQGALD DGGGAFISWEALSLVKDLGLRPKRTLRLVLWTAEEQGGIGASQYYELHKANISKYSLVME ADSGTFLPTGLQFTGSDKARAIMKEVMNLLQPLNVTKVFSNGEGTDINFWIQAGVPGASL RDDLYKYFFFHHSHGDTMTVMDPKQMNVAAAVWAVVAYVVADMDEMLPRS >ENSMUSP00000040162.3 pep:known chromosome:GRCm38:14:70545251:70548933:1 gene:ENSMUSG00000033589.3 transcript:ENSMUST00000047218.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Reep4 description:receptor accessory protein 4 [Source:MGI Symbol;Acc:MGI:1919799] MVSWMICRLVVLIFGMLYPAYASYKAVKSKNIREYVRWMMYWIVFAIFMAAETFTDIFIS WFPFYYEFKMAFVLWLLSPYTKGASLLYRKFVHPSLSRHEKEIDACIVQAKERSYETMLS FGKRSLNIAASAAVQAATKSQGALAGRLRSFSMQDLRSIPDTPVPTYQDPLYLEDQVPRR RPPIGYRPGGLQGSDTEDECWSDNEIVPQPPVRPREKPLGRSQSLRVVKRKPLTREGTSR SLKVRTRKKAMPSDMDS >ENSMUSP00000060863.4 pep:known chromosome:GRCm38:19:24674008:24679661:1 gene:ENSMUSG00000048572.4 transcript:ENSMUST00000057243.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem252 description:transmembrane protein 252 [Source:MGI Symbol;Acc:MGI:3583948] MQNRTGLILCALSLLTGFLMICLGGFFISNSIFHSQRNLVVAYVLLPMGFVILLSGIFWG TYRQANENKEMFNHVLRQHLAFQDLPLATVDRPDFYPPAYEESLDVEKQACPAGRELLGF PPPLYTETNLEFEHLEDPQPEAPPPYQEIIADAGAPAKAQDAEEPSRVLKAGTALQLTEL TGR >ENSMUSP00000043703.8 pep:known chromosome:GRCm38:17:46564451:46629504:-1 gene:ENSMUSG00000023972.9 transcript:ENSMUST00000044442.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptk7 description:PTK7 protein tyrosine kinase 7 [Source:MGI Symbol;Acc:MGI:1918711] MGARPLTLLRALLLPLLAGAQAAIVFIKEPSSQDALQGRRALLRCEVEAPDPVHVYWLLN GVPVQDTERRFAQGSSLSFAAVDRLQDSGAFQCVARDNVTGEEVRSTNASFNIKWIEAGP VVLKHPASEAEIQPQTQVTLRCHIDGHPRPTYQWFRDGTPLSDDQSTHTVSSRERNLTLR PASPEHSGLYSCCAHNAFGQACSSQNFTLSVADESFARVVLAPQDVVVARNEEAMFHCQF SAQPPPSLQWVFEDETPITNRSRPPHLRRAVVFANGSLLLTQVRPRNAGVYRCIGQGQRG PPIVLEATLHLAEIEDMLPFEPRVFIAGDEERVTCPAPQGLPTPSVWWEHAGVPLPAHGR VHQKGLELVFVTIAESDTGVYTCHASNLAGQRRQDVNITVATVPTWLRKPQDSQLEEGKP GYLHCLTQATPKPTVIWYRNQMLISEDSRFEVSKNGTLRINSVEVYDGTLYRCVSSTPAG SIEAQARVQVLEKLKFTPPPQPQQCMEFDKEATVPCSATGREKPTVKWVRADGSSLPEWV TDNAGTLHFARVTRDDAGNYTCIASNEPQGQIRAHVQLTVAVFITFKVEPERTTVYQGHT ALLRCEAQGDPKPLIQWKGKDRILDPTKLGPRMHIFQNGSLVIHDVAPEDSGSYTCIAGN SCNIRHTEAPLLVVDKPVMEDSEGPGSPPPYKMIQTIGLSVGAAVAYIIAVLGLMFYCKK RCKAKRLQKQPEGEEPEMECLNGGPLQNGQPSAEIQEEVALTSLGSGPPATNKRHSAGDR MHFPRASLQPITTLGKSEFGEVFLAKAQGVEEGATETLVLVKSLQSRDEQQQLDFRREVE MFGKLNHANVVRLLGLCREAEPHYMVLEYVDLGDLKQFLRISKNKDEKLKSQPLSTKQKV ALCSQVALGMEHLSNNRFVHKDLAARNCLISAQRQVKVSALGLSKDVYNSEYYHFRQAWV PLRWMSPEAVLEGDFSTKSDVWAFGVLMWEVFTHGEMPHGGQADDEVLADLQAGKARLPQ PEGCPSKLYRLMQRCWAPNPKDRPSFSEIASTLGDSPADSKQ >ENSMUSP00000036801.6 pep:known chromosome:GRCm38:13:60798250:60802844:-1 gene:ENSMUSG00000056728.5 transcript:ENSMUST00000043754.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsll3 description:cathepsin L-like 3 [Source:MGI Symbol;Acc:MGI:1917452] MTPVFLLATLCLGVVSAAPAHNPSLDAVWEEWKTKHKKTYNMNDEGQKRAVWENNKKMID LHNEDYLKGKHGFSLEMNAFGDLTNTEFRELMTGFQGQKTKMMMKVFQEPLLGDVPKSVD WRDHGYVTPVKDQGSCGSCWAFSAVGSLEGQMFRKTGKLVPLSVQNLVDCSWSQGNQGCD GGLPDLAFQYVKDNGGLDTSVSYPYEALNGTCRYNPKNSAATVTGFVNVQSSEDALMKAV ATVGPISVGIDTKHKSFQFYKEGMYYEPDCSSTVLDHAVLVVGYGEESDGRKYWLVKNSW GRDWGMNGYIKMAKDRNNNCGIASDASYPVV >ENSMUSP00000047171.6 pep:known chromosome:GRCm38:19:33822908:33825071:1 gene:ENSMUSG00000037603.6 transcript:ENSMUST00000042061.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5519 description:predicted pseudogene 5519 [Source:MGI Symbol;Acc:MGI:3645618] MAANAEPRRRPGVRVGVVVLSCEHPCCVLLGKRKGSFGAGSFQLPGGHLEFGETWEECAQ RETGEEAGLHLKNVRFASVVNSFVEKENYHYVTVLMKGEVDMTHDSEPRNMEPEKNESWE WVPWEEFPPLDQLFWALRCLKEQGYDPFKEDLNHLEGYRGEHLERTTKTP >ENSMUSP00000096766.2 pep:known chromosome:GRCm38:13:113039301:113042243:-1 gene:ENSMUSG00000074650.2 transcript:ENSMUST00000099162.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10735 description:predicted gene 10735 [Source:MGI Symbol;Acc:MGI:3642476] MQPTPPHTHTHTGCADPPYQVGDHKAEQRVTLTVPVDVILMSSSHHFSPGPYQELPSIQC LQVRLLYLTHALNNSQSPLALGKAERAGKALKACSVNGPGRNSTVPSETPNIQLIVEKSC LLVCRHSRLRGSHLST >ENSMUSP00000057378.2 pep:known chromosome:GRCm38:18:50567656:50568699:-1 gene:ENSMUSG00000048875.2 transcript:ENSMUST00000056460.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdhd1a description:haloacid dehalogenase-like hydrolase domain containing 1A [Source:MGI Symbol;Acc:MGI:1914615] MAAAVPVPQFRPVTHLIFDLDGLILNTEDLYTDVFEEICNRYGKKYNWDVKSLVMGKKAL ETAQTIVEFLNLPISKEELLKESQEKLQMVLHTAGFMPGAEELIHHLKKHRLPFALATSS ETVTFQTKTSRHTGFFGLFHHIVLGDDPEVKNGKPGMDIFLTCAKRFSPPPDPKDCLVFE DSPNGVEAAIHCGMQVVMVPHENLSADLTRKATLVLSSLHDFKPELFGLPAFTE >ENSMUSP00000136027.1 pep:known chromosome:GRCm38:19:61296902:61297069:-1 gene:ENSMUSG00000095993.1 transcript:ENSMUST00000179346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21060 description:predicted gene, 21060 [Source:MGI Symbol;Acc:MGI:5434415] KPYKCDQCGKDFGRHSRLKMHKIVHTGEKPYKCNQCGKAFVYHNTLQVHKRIHTGE >ENSMUSP00000041091.5 pep:known chromosome:GRCm38:16:23609919:23614222:1 gene:ENSMUSG00000033355.5 transcript:ENSMUST00000038423.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtp4 description:receptor transporter protein 4 [Source:MGI Symbol;Acc:MGI:1915025] MLFPDDFSTWEQTFQELMQEEKPGAKWSLHLDKNIVPDGAALGWRQHQQTVLGRFQCSRC CRSWTSAQVMILCHMYPDTLKSQGQARMRIFGQKCQKCFGCQFETPKFSTEIIKRILNNL VNYILQRYYGHRKIALTSNASLGEKVTLDGPHDTRNCEACSLNSHGRCALAHKVKPPRSP SPLPKSSSPSKSCPPPPQTRNTDFGNKTFQDFGNRTFQGCREPPQREIEPPLFLFLSIAA FALFSLFTR >ENSMUSP00000034094.8 pep:known chromosome:GRCm38:8:95633559:95645059:1 gene:ENSMUSG00000031669.9 transcript:ENSMUST00000034094.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gins3 description:GINS complex subunit 3 (Psf3 homolog) [Source:MGI Symbol;Acc:MGI:1926083] MSEAYFPVESGALGPEENFLSLDDILMSQEKLPVRVETPMPRLGAFFLERGAGSEPDHPL PQGTKLELPLWLAKGLFDHKRRILSVELPKMYQEGWRTVFSADANVVDLHKMGPHFYGFG SQLLHFDSPENADISQSLLKTFIGRFRRIMDSSQNSYNEDTSALVARLDETERGLFQIGQ RSLNDFQSWEKGQASQITASSLVQNYKKRKFTNMED >ENSMUSP00000025714.7 pep:known chromosome:GRCm38:19:36083716:36104772:1 gene:ENSMUSG00000024800.7 transcript:ENSMUST00000025714.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpp30 description:ribonuclease P/MRP 30 subunit [Source:MGI Symbol;Acc:MGI:1859683] MAAFADLDLRAGSDLKALRGLVETAAHLGYSVVAINHIVDFKEKKREIEKPIAVSELFTT LPIVQGKSRPIKILTRLTIIVTDPAHCNVLRATSSRVRLYDIVAVFPKTEKLFHVACTHL DVDLVCITVTEKLPFYFKRPPVNVAIERGLGFELVYGPAIRDATMRRYTISNALNLMQIC KGKNVILSSAAERPLEIRGPYDVANLGLLFGLSENDGKAAVSTNCRAVFLHGETRKTAFG IISTVKKPRPSEADDESLPVCKKAKCEG >ENSMUSP00000021889.4 pep:known chromosome:GRCm38:13:61159214:61164256:-1 gene:ENSMUSG00000055679.4 transcript:ENSMUST00000021889.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsr description:cathepsin R [Source:MGI Symbol;Acc:MGI:1861723] MAAVVFIAFLYLGVASGVPVLDSSLDAEWQDWKIKYNKSYSLKEEKLKRVVWEEKLKMIK LHNRENSLGKNGFTMKMNEFGDQTDEEFRKMMIEISVWTHREGKSIMKREAGSILPKFVD WRKKGYVTPVRRQGDCDACWAFAVTGAIEAQAIWQTGKLTPLSVQNLVDCSKPQGNNGCL GGDTYNAFQYVLHNGGLESEATYPYEGKDGPCRYNPKNSKAEITGFVSLPQSEDILMAAV ATIGPITAGIDASHESFKNYKGGIYHEPNCSSDTVTHGVLVVGYGFKGIETDGNHYWLIK NSWGKRWGIRGYMKLAKDKNNHCGIASYAHYPTI >ENSMUSP00000128262.1 pep:known chromosome:GRCm38:11:53772281:53773187:-1 gene:ENSMUSG00000091191.1 transcript:ENSMUST00000170390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17334 description:predicted gene, 17334 [Source:MGI Symbol;Acc:MGI:4936968] MLCQGAQRPPRTLMVVYVSPCLSSAPSPTLPTCVNGPAPEQTGILVDVPAVLSSVLPWNL EDHLFLNSVHRRPSQLRVRPRMRSPQVWEKADFPFLPRHLAWIDPSWLPVTGHSASFRKG SRGLRF >ENSMUSP00000069776.7 pep:known chromosome:GRCm38:8:106500522:106507342:1 gene:ENSMUSG00000054069.7 transcript:ENSMUST00000066875.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6030452D12Rik description:RIKEN cDNA 6030452D12 gene [Source:MGI Symbol;Acc:MGI:3045356] MELLLLLNPLSVVYKALASIFSQTEKSPRLRVIREQLFEDSPLETLQAWERLQGISWNIS PGRGKSLCKGRKRTAPNVPGSHMDTSTEDAVSQGSQMAHPSCGQDAAVSCCGGLWEQVCM ARKLTNRDVKAPGTQGPTSASLPSTVRCQTPRVAFVTEHIPQPHWSSAVAHTTTTASSSH HGRLLTHPQTEEALGPSSVPSLFWIHVPSKTHQEVLPGRTVN >ENSMUSP00000044725.8 pep:known chromosome:GRCm38:8:25764538:25785209:-1 gene:ENSMUSG00000037316.8 transcript:ENSMUST00000038498.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag4 description:BCL2-associated athanogene 4 [Source:MGI Symbol;Acc:MGI:1914634] MSALRRSGYGPSDGPSYGRYYGPGGGDVPVHVPPPLYPPLRPEPPQPPVSWRGRGGAPAE TTWPGEGAGGDGYYPSGGAWAEASRAGGGHQEQPPYPGYNSNYWNSVRPRAPYPGSYSVR PELQGQSLNSYANGAYGPPYPPGPGASTASYSGAYYVPGYTQSNYSTEVPNTYRSPGNSP TPMSRWMYSQQDCPTEAPPLRGQVPGYPASQNPGMTLPHYPYGDGNRAVPQSGGTGRPQD DAWASSAYGMGARYPWPSAAPSAPSAGSLYMTESASPWPGNSSPQPPPSPPPQQPKDPSY SYNPSGQGLSRHSFPCSVHQYESPGAVNNDNSDLLDSQVQYSAEPQLYGNASSEHPSNQV PSNNLPEECFSSDEGTPPSIKKIIHVLEKVQFLEQEVEEFVGKKTDKAYWLLEEMLTKEL LELDSVETGGQDSVRQARKEAVCKIQAILEKLEKKGL >ENSMUSP00000024709.7 pep:known chromosome:GRCm38:17:42792951:42876424:-1 gene:ENSMUSG00000061665.6 transcript:ENSMUST00000024709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd2ap description:CD2-associated protein [Source:MGI Symbol;Acc:MGI:1330281] MVDYIVEYDYDAVHDDELTIRVGEIIRNVKKLQEEGWLEGELNGRRGMFPDNFVKEIKRE TEPKDDNLPIKRERQGNVASLVQRISTYGLPAGGIQPHPQTKAIKKKTKKRQCKVLFDYS PQNEDELELIVGDVIDVIEEVEEGWWSGTLNNKLGLFPSNFVKELESTEDGETHNAQEES EVPLTGPTSPLPSPGNGSEPAPGSVAQPKKIRGIGFGDIFKEGSVKLRTRTSSSETEEKK TEKPLILQPLGSRTQNVEVTKPDVDGKIKAKEYCRTLFPYTGTNEDELTFREGEIIHLIS KETGEAGWWKGELNGKEGVFPDNFAVQISELDKDFPKPKKPPPPAKGPAPKPDLSAAEKK AFPLKAEEKDEKSLLEQKPSKPAAPQVPPKKPTAPTKASNLLRSPGAVYPKRPEKPVPPP PPAAKINGEVSIISSKIDTEPVSKPKLDPEQLPVRPKSVDLDAFVARNSKETDDVNFDDI ASSENLLHLTANRPKMPGRRLPGRFNGGHSPTQSPEKTLKLPKEDDSGNLKPLEFKKDAS YSSKSSLSTPSSASKVNTAAFLTPLELKAKAEADDGKRNSVDELRAQIIELLCIVDALKK DHGKELEKLRKELEEEKAMRSNLEVEIAKLKKAVLLS >ENSMUSP00000074875.4 pep:known chromosome:GRCm38:15:100334929:100340341:1 gene:ENSMUSG00000058057.4 transcript:ENSMUST00000075420.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl7a3 description:methyltransferase like 7A3 [Source:MGI Symbol;Acc:MGI:3710670] MTLAVQAMRLVVCIVAFPIFLLNILGMWSWVCKKCFPYFLKRFAMIYNWKMASLKQELFS NLQEFAGPSGKLTLLEVGCGTGANFKFYPPGCRVTCIDPNPNFEKFLFKSVAENRQLQFE RFVVAVGEDMHQVTDGSVDVVVCTLVLCSVKNQEKILREVCRVLKPGGAFYFIDHVADER STWNYFWQQVLARVWFLAFDGCNLTRESWKAIEQANFSKLNLQHIQAPLPLTLVRPHIYG YAVK >ENSMUSP00000100800.1 pep:known chromosome:GRCm38:12:19606929:19607264:1 gene:ENSMUSG00000095254.1 transcript:ENSMUST00000105167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9257 description:predicted gene 9257 [Source:MGI Symbol;Acc:MGI:3648973] MLDQISVSEFVAETLEDYKAPTASSFTTGTAQCRDTAAAIEEVSGSIARNGREARVAGIA LRPGHLLGFRAWCPIPSALRLRPHLGKVSGTLKPDAAGPAVPDTEALCSLL >ENSMUSP00000093718.2 pep:known chromosome:GRCm38:14:34194441:34201633:-1 gene:ENSMUSG00000071531.2 transcript:ENSMUST00000096019.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gprin2 description:G protein regulated inducer of neurite outgrowth 2 [Source:MGI Symbol;Acc:MGI:2444560] MSSSHPEPGAREPQSPRPQALSQSSSSLLCEGREQKPELRKSASSTVWRAQQGEAGSSPR ILQEEACQTEIPEHAQTASTASQAGAGGHWRSSTVGNVSTMGIGDLCRLRAPSVAAVQRS HSDLVHSTQTRGHGGVQKPSLSCSALGSSPVHRAQLQPGSVAGQGGQTPAPLQSDSAPED GTSKSACTLGESQVWVPTVELEDATGLLRGPQGEPKATGQPPTTSCHALPPAALLCTLGE AVAGSCCHALPARGILAFPKLVASVSESGLQAQCGMKFHCKLSGGISGHPHCCVHPWGPT GLATESGSRTKDVWTMTSATDLALGVASAQDAGVQAAPAAACKAVATSPSLEAPETLNLF PEVMLESGLRQASSPVRDVRWDAEGMTWEVYGASVDPEVLGIAIQKHLEMQFEQLQQAPA SEDSLSAEGRRGPLRAVMQSLRRPSCCGCSGAAPE >ENSMUSP00000058359.7 pep:known chromosome:GRCm38:13:61564631:61570127:-1 gene:ENSMUSG00000074870.3 transcript:ENSMUST00000054702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cts3 description:cathepsin 3 [Source:MGI Symbol;Acc:MGI:2151929] MTPAVFLAILWLVMASSSPSPDPILDAEWQKWKIKYGKTYSLEEEGQKRAVWEENMKKIK LHNGENGLGKHGFTMEMNAFGDMTLEEFRKEMIEIPVPTVKKGKSVQKRLSVNLPKFINW KKRGYVTPVRTQIACNSCWAISVTGAIEGQMFRKTGQLIPLSVQNLVDCVDGSGCHAGSV LDSFKYLMEKGGLESEATYPYEDKQGSCRYNPENSTASITGFEFIPNNEVDLMSAVASLG PISVVIDAWHESFLFYKRGIYYEPNCNNSLFALRHAVLLVGYGFIGRESEGRKYWIIKNS LGTKWGYKGYMKIAKDQGNHCGIASLPVFPRV >ENSMUSP00000006692.4 pep:known chromosome:GRCm38:8:122433596:122443422:-1 gene:ENSMUSG00000006517.4 transcript:ENSMUST00000006692.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mvd description:mevalonate (diphospho) decarboxylase [Source:MGI Symbol;Acc:MGI:2179327] MASEKPQDLMVTCTAPVNIAVIKYWGKRDEALILPINSSLSVTLHQDQLKTTTTVAISKD FTEDRIWLNGREEDVGQPRLQACLREIRRLARKRRSTEDGDTLPLSLSYKVHVASVNNFP TAAGLASSAAGYACLAYTLAQVYGVEGDLSEVARRGSGSACRSLYGGFVEWQMGEQADGK DSIARQIAPEWHWPQLRILILVVSADKKQTGSTVGMQTSVETSTLLKFRAESVVPERMKE MTRCIQEQDFQGFAQLTMKDSNQFHATCLDTFPPISYLNDTSRRIIQLVHRFNTHQGQTK VAYTFDAGPNAVIFTLEDTVAEFVAAVRHSFPPAANGDKFLKGLQVAPVLLSDELKAALA VEPSPGGVQYIIATQVGPGPQVLDDTHDHLLGQDGLPQRDL >ENSMUSP00000025421.7 pep:known chromosome:GRCm38:18:67774876:67795461:1 gene:ENSMUSG00000079614.3 transcript:ENSMUST00000025421.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Seh1l description:SEH1-like (S. cerevisiae [Source:MGI Symbol;Acc:MGI:1919374] MFVARSIAADHKDLIHDVSFDFHGRRMATCSSDQSVKVWDKSESGDWHCTASWKTHSGSV WRVTWAHPEFGQVLASCSFDRTAAVWEEIVGESNDKLRGQSHWVKRTTLVDSRTSVTDVK FAPKHMGLMLATCSADGIVRVYEAPDVMNLSQWSLQHEVSCKLCCSCISWNPSSSRAHPP MIAVGSDDSSPNSMAKVQIFEYNENTRKYAKAETLMTVTDPVHDIAFAPNLGRSFHILAV ATKDVRIFTLKPLRKELTSSGGPTKFEIHIVAQFDNHNSQVWRVSWNITGTVLASSGDDG CVRLWKANYMDNWKCTGILKGNGSPVNGSSQLGNSNPSLSSNIPNLQNSLNGTSASRKHS >ENSMUSP00000060768.7 pep:known chromosome:GRCm38:14:79426511:79431039:1 gene:ENSMUSG00000043881.8 transcript:ENSMUST00000061222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd7 description:kelch repeat and BTB (POZ) domain containing 7 [Source:MGI Symbol;Acc:MGI:2685141] MQSREDAPRSRRLASPRGGRRPKRISKPSVSAFFTGPEELKDAAHSAALLAQLKSFYDAR LLCDVTIEVVTPGSGPGTGRLFSCNRNVLAAACPYFKSMFTGGMYESQQASVTIHDVDAE SFEVLVDYCYTGRVSLSEANVQRLYAASDMLQLEYVREACASFLARRLDLTNCTAILKFA DAFDHHKLRSQAQSFIAHNFKQLSRMGSIREETLADLTLAQLLAVLRLDSLDVESEKTVC HVAVQWLEAAPKERGPSAAEVFKCIRWAHFPAEEQDYLGGLLSKPIVKKYCLDIIEGALR QLRFGDRLYKSVVAKPNSSHSSDSNNSSNSSSSSSSVVSVAENPPQRLGMCAKEMVIFFG HPRDPFLCYDPYSGDIYTMPSPLTSLAHTKTITSSAVCVSPDHDIYLAAQPRKDLWVYKP AQNSWHQLADRLLCREGMDVAYLNGYIYILGGRDPITGVKLKEVECYSVQRNQWALVAPV PHSFYSFELIVVQNYLYAVNSKRMLCYDPSHNMWLNCASLKRSDFQEACVFKEEIYCICD IPVMKVYNPARGEWRRISNIPLDSETHNYQIVNHDQKLLLITSTTPQWKKNRVTVYEYDT REDQWINIGTMLGLLQFDSGFICLCDRVYPSCLEPGQSFITEEDDARSESSTEWDLDGFS ELDSESGSSSSFSDDEVWVQVAPQRNAPDQ >ENSMUSP00000024916.5 pep:known chromosome:GRCm38:17:88741549:88791976:-1 gene:ENSMUSG00000024107.6 transcript:ENSMUST00000024916.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhcgr description:luteinizing hormone/choriogonadotropin receptor [Source:MGI Symbol;Acc:MGI:96783] MGRRVPALRQLLVLAMLVLKQSQLHSPELSGSRCPEPCDCAPDGALRCPGPRAGLARLSL TYLPVKVIPSQAFRGLNEVVKIEISQSDSLERIEANAFDNLLNLSEILIQNTKNLLYIEP GAFTNLPRLKYLSICNTGIRTLPDVSKISSSEFNFILEICDNLYITTIPGNAFQGMNNES ITLKLYGNGFEEVQSHAFNGTTLISLELKENIYLEKMHSGTFQGATGPSILDVSSTKLQA LPSHGLESIQTLIATSSYSLKTLPSREKFTSLLVATLTYPSHCCAFRNLPKKEQNFSFSI FENFSKQCESTVREANNETLYSAIFEENELSGWDYDYDFCSPKTLQCTPEPDAFNPCEDI MGYAFLRVLIWLINILAIFGNLTVLFVLLTSRYKLTVPRFLMCNLSFADFCMGLYLLLIA SVDSQTKGQYYNHAIDWQTGSGCSAAGFFTVFASELSVYTLTVITLERWHTITYAVQLDQ KLRLRHAIPIMLGGWIFSTLMATLPLVGVSSYMKVSICLPMDVESTLSQVYILSILLLNA VAFVVICACYVRIYFAVQNPELTAPNKDTKIAKKMAILIFTDFTCMAPISFFAISAAFKV PLITVTNSKVLLVLFYPVNSCANPFLYAVFTKAFQRDFFLLLSRFGCCKHRAELYRRKEF SACTFNSKNGFPRSSKPSQAALKLSIVHCQQPTPPRVLIQ >ENSMUSP00000036482.5 pep:known chromosome:GRCm38:13:70588689:70637634:-1 gene:ENSMUSG00000034525.6 transcript:ENSMUST00000043493.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ice1 description:interactor of little elongation complex ELL subunit 1 [Source:MGI Symbol;Acc:MGI:2385865] MMPGETHPAAPGPADLARCQGCASLQQNLNEYVEALIALKQKIINTDNLLTEYQKKCDEL QFARRENSTLHHQVEQMLQKISPLQKCQEELGSLKAELEEKKSSLKLYQDTHQEYARVKE ECLRTDAQKKKLEAKVKKLEEAAVKQTQDFKQLRNEKKILEKEFKKTQERLDEFSKQKNE KELRHIGTQISSDSHGSIDKRKVKVLLKELWLCVNTAHRLSGEGGRRIPEKPAKGSSAAR ASEQDELLPVQGGPARAADMRSFFSKLSMEMEGDFSSSESAEEELPSGASPSTEHAFCEE RHSEVSGQRPDDGNRTNVYDHEHFFDDDLQAAIDFFKLPPPLLSPVPSPPPMASGSLPSS LAPESFFGEFTDSSDSDSVPPRDSMESALEDYTAESRAYFDLLEKIKRSDQYVKKPGSLE ATQAVNSLTPLGFVTGRTALGEPSAAASLAQGRHWTESSEFVRDRGDSVEEAERMVEARE VDKSVQVGKGLLKHNRKLWLEMASRGPARKKEAGAGESEICFSSLGKRTFSELIESEGKT LSSKALCPSQSEFSKRTLTDGSASKSPCVTGSGRFQRRERDVRESTPQSGICAAAAGRGH SARLPSSSSATSVPVSVCSNHQTPWPGCVSVGTPAEGTCTEQKSPTRTLNTFLLRSEPTA CFPKENDPENSLSTLSPKSKLGTSTFSDWKSRGLESFSTLKSTAKGHSLPQSVFPKPTGG GQCKGRGPGATLILPKSDWTSLARSQAGFTRRSSGSADSTSWHRSDVLRRGSGGSPRASS EYQQRTRLQLEKATPASQNSSLTAMHGPSGESTIPPESNAAAALLPNQVSVITKQARPRR VLGSSLEPWQPHGNTLSPAVNGGKETGLMPSVSACVGDGDPIAQVPEQIADKETPSPEVS VSWRNPICDSPSDSLLAENFSCSTDRKLPFSSEDNIFQCAMNEHLQQKPTKSPQTTQSGA SRLEAGELLPSGVTSGVFPAEQVPHGPHHQADTEAPVVARESHSAPQNASAPPVVPSRGP LRARVPTVPVCPSSLSRAEEETQGTSQSSLPGASYCYTGIRERGEEDTEVEDEAVSCSEG EHEAEAVMGRRQQEQAEDSHRPLGDPEAGVGEAGHPSDVGDLTSALEECNLSTLLYIDKL STSEVVMVLESCQLGDYSSRVSASECASKGSLSEEMNTELRQSEISRKKCGKRLWEEKLL RASEEWAESEGDDSCGRTSCQHAQCPLEVPSDVLTKTGEELDTNPVDCGGTDTEHALLES THHSQAAEDLTEDALPEETSSPMPHTAELPDPSAVDGGGSSPLSSRSDPEHIQSSYEDEP NSGECLSIGEEGLAEPGELLTLSSDSSTPPRLEQSSDCVAETAFRYQISAVTSEVISVLI NKDQDLVIEKGDNWTIISGVAISPGMEQVVLCDTLGDAASSQDQGGLDDGSMEKSPEASP SGPLPQEPPCGGDLSGAQEDISSNGQSANFDKSRLRNRPVKPSIWIRSQIYDQTLETEKV ASDHTYYNWKLEPLGKNKPRSKISNKDQASKLAKTLVLNRGEVHLNEVPQPASGEGTNIK LPRSQAQPIMAGTDRSTPTNCSPDTLSKIRQEVGPPLPPLLPPLIATPPRSSQTLSPLVP NSGPSSRSSPAGHVSPLCEVPGPPVLSPWPEELQQASPLDPSPSPSTAAASGRIVSSPLQ FCAATPKHALPVPGRLPSCAPGHAAVSGPQQENSVKILDTMYPELSARARTLSLLKGNMQ LSRGSTVDGKVLPGRVSALLGLKAITSTSTAFVLTGGSSGADGSQGKSQDSGVQQDAGGK RTLAVSMLRSAKRLRLDNKSPEPDTREVTGEGVPEDPQGGSPLAEVVPAEEEQADVPVCS AASLLRVNPREMAESYNIAITRALRKIAESSFDLLPVIRSHVYVGNISKKPVMRDQEKEV VYEFSTTNKHLGEYLLRSILSELKIQKTSLDHSYIHALCRVYVGICRQLGDLERARLFCY SLLKEDFPESEKLTLFIANMWREVFLSQSAISEAMQLVARQRARGEVLNCLRAFLSWEKN APIDVGIVVSKLLLTIQLCPKTEFQSSEEFGEDLSANIWEYIFAIDLLCCHQRWIWTHDN IISKELWPVMDKWIKYRKGHSNIAYTPDVIVASVLRLIGRLGQLGLKEGFPTAVKNISSV IGMFIQHAQDEDIPWGVQLAAVYALCDLSPSNPAEISKILEAWRTQTSNAIPSAIVHCLE EVGSLSADGSAGCTSKGDSAP >ENSMUSP00000022728.2 pep:known chromosome:GRCm38:14:118137127:118164232:1 gene:ENSMUSG00000022131.2 transcript:ENSMUST00000022728.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr180 description:G protein-coupled receptor 180 [Source:MGI Symbol;Acc:MGI:1930949] MGGLRLLAVALTCSCWWPQGGQGKTLRGSFSSAAARDAQGQSIGHFEFHGDHALLCVRIN NVAVAVGKEAKLYLFQAQEWLKLLESSPGYSCSERLARAQLTVTVTQTEHNLTVSQLPAP QTWRVFYADKFTCRDDSESPQGEEIPFEMVLLNPDAEGNPLDHFSARESGLHEFFFLLVL VYFVTACIYAQSLWQAMKKGGPMHTILKVLTTALLLQAASALANYIHLSRYSRDGLGVPL IGSLAEVFDIASQIQMLYLLLSLCMGWTIVRMKKSQSRPLQWDSTPASTGIAVFIVITQS ILLLWEQFEDTSHHSAHSHRSLAGLLLIVLRICLALSLGCGLYQVITVERSALKREFYIT FAKGCILWFLCQPALACIAVAFNDYQRDKLITVGVILCQAVAMVILYRLFLSHSLYWEVS SLSSVTLPLTISSAHRGRPHF >ENSMUSP00000040477.4 pep:known chromosome:GRCm38:17:88985170:89200612:-1 gene:ENSMUSG00000032937.4 transcript:ENSMUST00000035701.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fshr description:follicle stimulating hormone receptor [Source:MGI Symbol;Acc:MGI:95583] MALLLVSLLAFLGSGSGCHHWLCHCSNRVFLCQDSKVTEIPPDLPRNAIELRFVLTKLRV IPKGSFSGFGDLEKIEISQNDVLEVIEADVFSNLPNLHEIRIEKANNLLYINPEAFQNLP SLRYLLISNTGIKHLPAFHKIQSLQKVLLDIQDNINIHIIARNSFMGLSFESVILWLNKN GIQEIHNCAFNGTQLDELNLSDNNNLEELPDDVFQGASGPVVLDISRTKVYSLPNHGLEN LKKLRARSTYRLKKLPSLDKFVMLIEASLTYPSHCCAFANWRRQTSELHPICNKSISRQD IDDMTQPGDQRVSLVDDEPSYGKGSDMLYSEFDYDLCNEFVDVTCSPKPDAFNPCEDIMG YNILRVLIWFISILAITGNTTVLVVLTTSQYKLTVPRFLMCNLAFADLCIGIYLLLIASV DIHTKSQYHNYAIDWQTGAGCDAAGFFTVFASELSVYTLAAITLERWHTITHAMQLECKV QLCHAASIMVLGWAFAFAAALFPIFGISSYMKVSICLPMDIDSPLSQLYVMALLVLNALA FVVICGCYTHIYLTVRNPNIVSSSRDTKIAKRMATLIFTDFLCMAPILFFAISASLKVPL ITVSKAKILLVLFYPINSCANPFLYAIFTKNFRRDFFVLMSKFGCYEVQAQIYKTETSSI THNFHSRKNPCSSAPRVTNSYVLVPLNHSVQN >ENSMUSP00000051644.5 pep:known chromosome:GRCm38:13:81711417:81753275:1 gene:ENSMUSG00000051098.5 transcript:ENSMUST00000057598.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mblac2 description:metallo-beta-lactamase domain containing 2 [Source:MGI Symbol;Acc:MGI:1920102] MSALEWYAHKSLGDGIFWIQERFYESGNRANIWLVRGSEQDVVIDTGLGLRSLPEYLYSS GLLQDCGSKEDAGRRPLLAVATHVHFDHSGGLYQFDQVAVHRAEAEALARGDNFETVTWL SDSEVVRAPSPGWRARQFRVQAVQPTLILQDGDVINLGDRQLTVMHMPGHSRGSICLHDK DRKVLFSGDVVYDGSLIDWLPYSRISDYVGTCERLIELVDRGLVEKVLPGHFNTFGAERL FRLASNYISKAGICHKVSTFAMRSLASLALRVTNPRTSP >ENSMUSP00000097051.2 pep:known chromosome:GRCm38:2:125136692:125147839:1 gene:ENSMUSG00000074872.2 transcript:ENSMUST00000099452.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctxn2 description:cortexin 2 [Source:MGI Symbol;Acc:MGI:2139444] MMSSTYCGNSSAKMSVHEVSESSLSLEQKTGFAFVGILCIFLGLLIIRCFKILLDPYSSM PSSTWEDEVEEFDKGTFEYALA >ENSMUSP00000137555.1 pep:known scaffold:GRCm38:GL456350.1:211325:212455:-1 gene:ENSMUSG00000096271.1 transcript:ENSMUST00000178765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl21b description:chemokine (C-C motif) ligand 21B (leucine) [Source:MGI Symbol;Acc:MGI:1349182] MAQMMTLSLLSLVLALCIPWTQGSDGGGQDCCLKYSQKKIPYSIVRGYRKQEPSLGCPIP AILFLPRKHSKPELCANPEEGWVQNLMRRLDQPPAPGKQSPGCRKNRGTSKSGKKGKGSK GCKRTEQTQPSRG >ENSMUSP00000023007.5 pep:known chromosome:GRCm38:15:64699042:64922296:-1 gene:ENSMUSG00000022376.7 transcript:ENSMUST00000023007.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy8 description:adenylate cyclase 8 [Source:MGI Symbol;Acc:MGI:1341110] MELSDVHCLSGSEELYTIQPTPPAGDDGSGSRPQRLLWQTAVRHITEQRFIHGHRGGGGG GVSRKASNPAGSGPNHHAPQLSSDSVLPLYSLGPGERAHNTGGTKVFPERSGSGSASGSG GGGDLGFLHLDCAPSNSDFFLNGGYSYRGVIFPTLRNSFKSRDLERLYQRYFLGQRRKSE VVMNVLDVLTKLTLLVLHLSLASAPMDPLKGILLGFFTGIEVVICALVVVRKDNTSHTYL QYSGVVTWVAMTTQILAAGLGYGLLGDGIGYVLFTLFATYSMLPLPLTWAILAGLGTSLL QVTLQVLIPRLAVFSINQVLAQVVLFMCMNTAGIFISYLSDRAQRQAFLETRRCVEARLR LETENQRQERLVLSVLPRFVVLEMINDMTNVEDEHLQHQFHRIYIHRYENVSILFADVKG FTNLSTTLSAQELVRMLNELFARFDRLAHEHHCLRIKILGDCYYCVSGLPEPRRDHAHCC VEMGLSMIKTIRFVRSRTKHDVDMRIGIHSGSVLCGVLGLRKWQFDVWSWDVDIANKLES GGIPGRIHISKATLDCLNGDYNVEEGHGKERNEFLRKHNIETYLIKQPEESLLCLPEDIV KESVSCSDRRNSGATFTEGSWSPELPFDNIVGKQNTLAALTRNSINLLPNHLAQALHVQS GPEEINKRIEHTIDLRSGDKLRREHIKPFSLMFKDSSLEHKYSQMRDEVFKSNLVCAFIV LLFITAIQSLLPSSRLMPMTIQFSILIMLHSALVLITTAEDYKCLPLILRKTCCWINETY LARNVIIFASILINFLGAVLNILWCDFDKSIPLKNLTFNSSAVFTDICSYPEYFVFTGVL AMVTCAVFLRLNSVLKLAVLLIMIAIYALLTETIYAGLFLSYDNLNHSGEDFLGTKEASL LLMAMFLLAVFYHGQQLEYTARLDFLWRVQAKEEINEMKELREHNENMLRNILPSHVARH FLEKDRDNEELYSQSYDAVGVMFASIPGFADFYSQTEMNNQGVECLRLLNEIIADFDELL GEDRFQDIEKIKTIGSTYMAVSGLSPEKQQCEDKWGHLCALADFSLALTESIQEINKHSF NNFELRIGISHGSVVAGVIGAKKPQYDIWGKTVNLASRMDSTGVSGRIQVPEETYLILKD QGFAFDYRGEIYVKGISEQEGKIKTYFLLGRVQPNPFILPPRRLPGQYSLAAVVLGLVQS LNRQRQKQLLNENSNSGIIKSHYNRRTLLTPSGPEPGAQAEGTDKSDLP >ENSMUSP00000096649.3 pep:known chromosome:GRCm38:9:3015654:3017210:1 gene:ENSMUSG00000074564.3 transcript:ENSMUST00000099050.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10720 description:predicted gene 10720 [Source:MGI Symbol;Acc:MGI:3641687] MFLIFNDFHFSCHIPCPTVDISKFPFSVFLAIFHVLKCVFLIFSDFQFSRHIPGPTVCIS HFSRFSVILSFFKLSSGCFSFSLIFSFLAIFHVLQWTFLNFPPFSVFLAIFHVLKCVFLI FSDFQFSRHIPGPTVCISHFFRFFMISSFFTLSSGCFSFSMIFTFLAIFHVLQWTFLNFP PFFLPYSRSYSVHFSFFTFFSDFVIFQVVKWMFLIFHDFQFSR >ENSMUSP00000071344.2 pep:known chromosome:GRCm38:7:102856321:102857271:-1 gene:ENSMUSG00000060888.2 transcript:ENSMUST00000071393.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr566 description:olfactory receptor 566 [Source:MGI Symbol;Acc:MGI:3030400] MQDNTEFLSNFTSQLPTFLLTGIPGLESAHSWISIPFCCLYATALSGNSMILFIIVTQHS LHEPMYYFLSVLSATDLGLTFSTMSTTLRILWFQANEISLDFCIVQMFFLHGFTFIESGV LVAMAFDRYVAICNPLRYTMILTNSRIIQMGFLVIMRALLLIVPLLLLLKPVSFCKRNTL SHSYCYHPDVIKLACSDTRANSICGLVDLILTTGIDTPCIVLSYILIIRSVLSIASSEER HKTFSTCVSHIGAVAVFYIPMFSLSLVHRYGRSAPKVVHTMMANVYLLLPPVLNPIIYSV KTKQIRKAILSLLFAK >ENSMUSP00000062016.6 pep:known chromosome:GRCm38:19:5038826:5041134:1 gene:ENSMUSG00000047379.6 transcript:ENSMUST00000053705.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4gat1 description:beta-1,4-glucuronyltransferase 1 [Source:MGI Symbol;Acc:MGI:1919680] MQMSYAIRCAFYQLLLAALMLVAMLQLLYLSLLSGLHGQEEQEQYFEFFPPSPRSVDQVK SQLRTALASGGVLDASGDYRVYRGLLKTTMDPNDVILATHASVDNLLHLSGLLERWEGPL SVSVFAATKEEAQLATVLAYALSSHCPEMRARVAMHLVCPSRYEAAVPDPREPGEFALLR SCQEVFDKLARVAQPGINYALGTNTSYPNNLLRNLAREEANYALVIDVDMVPSEGLWRGL REMLDQSNHWDGTALVVPAFEIRRSRRMPMNKNELVQLYQVGEVRPFYYGLCTPCHAPTN YSRWVNLPEESLLRPAYVVPWRDPWEPFYVAGGKVPTFDERFRQYGFNRISQACELHVAG FNFEVLNEGFLVHKGFKEALKFHPQKEAENQRNKILYRQFKQELKARYPNSPHRC >ENSMUSP00000106212.2 pep:known chromosome:GRCm38:9:13246982:13289409:1 gene:ENSMUSG00000079084.9 transcript:ENSMUST00000110583.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc82 description:coiled-coil domain containing 82 [Source:MGI Symbol;Acc:MGI:1913646] MVHVRRHETRKNSKTQKPEQKSRVDWHRTKRSISQLFDSDEELDSNEELDSDEEHDSGES IDSDEELDISKKSDINELPEKETELKLIKVESQGSNSKHLTNTSNSSADEEQLKETKHND LPDDEAHPGQAENHHNRHTGQILEEDMEDEYIKPGKRKRLSSVMYDSDESDDSDILIRKA SAKHPRRVVEDECSSLEMEQETPEKSSAARKREYHQKLQELSERSRQRRRRNSGRNFEDS EKDSCSGTGEEDEDEDEDDYRYDEDGDDYMIDDFVVRNEEGDDENSNQQGENLTTSQLKL VKQNSLYSFSDHYTHFERVVKALLINAFDESFLETLYAGTRKKSYAKDMLTSLHYLDNRF IQPRLESLVSRSRWKEQYKERVESYSNLNILSKSPENCVCQACGLHRHCKFSVHLSGKLY NIRTMETDDFMSHDKQVFTVGRICVERTRIYHKLKHFKFKLYQDCCLIAKPEEVGDEQVK DTVKRVFNHSKERGWIRKKYDQLQNFLNSADYFQDEKF >ENSMUSP00000126058.1 pep:known chromosome:GRCm38:9:13246995:13253582:1 gene:ENSMUSG00000079084.9 transcript:ENSMUST00000169961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc82 description:coiled-coil domain containing 82 [Source:MGI Symbol;Acc:MGI:1913646] MVHVRRHETRKNSKTQKPEQKSRVDWHRTKRSISQLFDSDEELDSNEELDSDEEHDSGES IDSDEELDISKKSDINELPEKETELKLIKVESQGSNSKHLTNTSNSSADEEQLKETKHND LPDDEAHPGQAENHHNRHTGQILEEDMEDEYIKPGKRKRLSSVMYDSDESDDSDILIRKA SAKHPRRVVEDECSSLEMEQETPEKSSAARKREYHQKLQELSERSRQRRRRNSGRNFEDS EKDSCSGTGEEDEDEDEDDYRYDEDGDDYMIDDFVVRNEEGDDENSNQQGENLTTSQLKL VKQNSLCKLNMKQGFCLVQIII >ENSMUSP00000000201.5 pep:known chromosome:GRCm38:14:123276641:123627144:-1 gene:ENSMUSG00000000197.7 transcript:ENSMUST00000000201.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nalcn description:sodium leak channel, non-selective [Source:MGI Symbol;Acc:MGI:2444306] MLKRKQSSRVEAQPVTDFGPDESLSDNADILWINKPWVHSLLRICAIISVISVCMNTPMT FEHYPPLQYVTFTLDTLLMFLYTAEMIAKMHIRGIVKGDSSYVKDRWCVFDGFMVFCLWV SLVLQVFEIADIVDQMSPWGMLRIPRPLIMIRAFRIYFRFELPRTRITNILKRSGEQIWS VSIFLLFFLLLYGILGVQMFGTFTYHCVVNDTKPGNVTWNSLAIPDTHCSPELEEGYQCP PGFKCMDLEDLGLSRQELGYSGFNEIGTSIFTVYEASSQEGWVFLMYRAIDSFPRWRSYF YFITLIFFLAWLVKNVFIAVIIETFAEIRVQFQQMWGTRSSTTSTATTQMFHEDAAGGWQ LVAVDVNKPQGRAPACLQKMMRSSVFHMFILSMVTVDVIVAASNYYKGENFRRQYDEFYL AEVAFTVLFDLEALLKIWCLGFTGYISSSLHKFELLLVIGTTLHVYPDLYHSQFTYFQVL RVVRLIKISPALEDFVYKIFGPGKKLGSLVVFTASLLIVMSAISLQMFCFVEELDRFTTF PRAFMSMFQILTQEGWVDVMDQTLNAVGHMWAPLVAIYFILYHLFATLILLSLFVAVILD NLELDEDLKKLKQLKQSEANADTKEKLPLRLRIFEKFPNRPQMVKISKLPSDFTVPKIRE SFMKQFIDRQQQDTCCLFRILPSTSSSSCDNPKKPTAEDNKYIDQKLRKSVFSIRARNLL EKETAVTKILRACTRQRMLSGSFEGQPAKERSILSVQHHIRQERRSLRHGSNSQRISRGK SLETLTQDHSNTVRYRNAQREDSEIKMIQEKKEQAEMKRKVQEEELRENHPYFDKPLFIV GREHRFRNFCRVVVRARFNASKTDPVTGAVKNTKYHQLYDLLGLVTYLDWVMITVTICSC ISMMFESPFRRVMHAPTLQIAEYVFVIFMSIELNLKIMADGLFFTPTAVIRDFGGVMDIF IYLVSLIFLCWMPQNVPAESGAQLLMVLRCLRPLRIFKLVPQMRKVVRELFSGFKEIFLV SILLLTLMLVFASFGVQLFAGKLAKCNDPNIIRREDCNGIFRINVSVSKNLNLKLRPGEK KPGFWVPRVWANPRNFNFDNVGNAMLALFEVLSLKGWVEVRDVIIHRVGPIHGIYIHVFV FLGCMIGLTLFVGVVIANFNENKGTALLTVDQRRWEDLKSRLKIAQPLHLPPRPDNDGFR AKMYDITQHPFFKRTIALLVLAQSVLLSVKWDVDDPVTVPLATMSVVFTFIFVLEVTMKI IAMSPAGFWQSRRNRYDLLVTSLGVVWVVLHFALLNAYTYMMGACVIVFRFFSICGKHVT LKMLLLTVVVSMYKSFFIIVGMFLLLLCYAFAGVVLFGTVKYGENINRHANFSSAGKAIT VLFRIVTGEDWNKIMHDCMVQPPFCTPDEFTYWATDCGNYAGALMYFCSFYVIIAYIMLN LLVAIIVENFSLFYSTEEDQLLSYNDLRHFQIIWNMVDDKREGVIPTFRVKFLLRLLRGR LEVDLDKDKLLFKHMCYEMERLHNGGDVTFHDVLSMLSYRSVDIRKSLQLEELLAREQLE YTIEEEVAKQTIRMWLKKCLKRIRAKQQQSCSIIHSLRESQEQERSRLFLNPPSIETTQP SEDSNANSQDHSMQPETSSQQQLLSPTLSDRGGSRQDAADTGKPQRKIGQWRLPSAPKPI SHSVSSVNLRFGGRTTMKTVVCKMNPMPDTASCGSEVKKWWTRQLTVESDESGDDLLDI >ENSMUSP00000078584.2 pep:known chromosome:GRCm38:8:47822143:47822805:1 gene:ENSMUSG00000061974.2 transcript:ENSMUST00000079639.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn24 description:claudin 24 [Source:MGI Symbol;Acc:MGI:3712484] MAFIFRTAMQSVGLSLSLLGWVLAIITTYLPHWKNLNLELNEMENWTMGLWKSCVIQEEV GRQCKDFDSFLALPAELQVSRVLMSLCNGLGLLGLLASGCGLDCLRLGETQEGLKKRLLT LGGTLLWTSGVMVLVPVSWVAHKTVREFWDETMPEIVPRWEFGEALFLGWFAGFCLVLGG CVLHCAACSSPAPAASSHYAGAGPRDHGSYLENGTVQPKV >ENSMUSP00000055975.2 pep:known chromosome:GRCm38:9:38448792:38449847:1 gene:ENSMUSG00000049334.2 transcript:ENSMUST00000050733.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr902 description:olfactory receptor 902 [Source:MGI Symbol;Acc:MGI:3030736] MDSVNVSLVTEFLLVGLTHQPDRQIPLFLLFLAMYLVTALGNLGLIILVLLNSHLHTPMY FFLFNLSFIDFCYSSVFTPKMLMNFILRQNAISYMQCMTQLYFFCFFVVSECFVLTSMAY DRYVAICNPLLYNVMISPQVCLNLMIGSYLMAFSEAVALTVCMLTLTFCDGNINHYFCDI LALFQLSCSSTYVNKLVAYVIVVINILFSTPTIFISYGFILSSIFRISSSKGRSKAFSTC SSHIIAVSLFFGSGAFVYFKPSSPGSMEWAKISSVFYTNVVPMMNPLIYSLKNKDVKIAL RKSLARWKI >ENSMUSP00000129441.1 pep:known chromosome:GRCm38:9:59734259:59750649:-1 gene:ENSMUSG00000051705.12 transcript:ENSMUST00000163586.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp8 description:SUMO/sentrin specific peptidase 8 [Source:MGI Symbol;Acc:MGI:1918849] MLLEFLRIPAQYKMDPVVLSYMDSLLRQSDVSLLDPPSWLNDHIIGFAFEYFANSQFHDC SDHVCFISPEVTQFIKCTSSPAEIAMFLEPLDLPHKRVVFLAINDNSNQAAGGTHWSLLV YLQDKNSFFHYDSHSRSNSIHAKQVAEKLKAFLGSKGDKLVFVEEKAPAQENSYDCGMYV ICNTEALCQSLFRRQPESPLQLLTPTYITKKRGEWKDLIARLAKKNEVATEECS >ENSMUSP00000137228.1 pep:known chromosome:GRCm38:9:59734259:59750649:-1 gene:ENSMUSG00000051705.12 transcript:ENSMUST00000177963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp8 description:SUMO/sentrin specific peptidase 8 [Source:MGI Symbol;Acc:MGI:1918849] MDPVVLSYMDSLLRQSDVSLLDPPSWLNDHIIGFAFEYFANSQFHDCSDHVCFISPEVTQ FIKCTSSPAEIAMFLEPLDLPHKRVVFLAINDNSNQAAGGTHWSLLVYLQDKNSFFHYDS HSRSNSIHAKQVAEKLKAFLGSKGDKLVFVEEKAPAQENSYDCGMYVICNTEALCQSLFR RQPESPLQLLTPTYITKKRGEWKDLIARLAKKNEVATEECS >ENSMUSP00000054509.4 pep:known chromosome:GRCm38:9:59734259:59750649:-1 gene:ENSMUSG00000051705.12 transcript:ENSMUST00000051039.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp8 description:SUMO/sentrin specific peptidase 8 [Source:MGI Symbol;Acc:MGI:1918849] MDPVVLSYMDSLLRQSDVSLLDPPSWLNDHIIGFAFEYFANSQFHDCSDHVCFISPEVTQ FIKCTSSPAEIAMFLEPLDLPHKRVVFLAINDNSNQAAGGTHWSLLVYLQDKNSFFHYDS HSRSNSIHAKQVAEKLKAFLGSKGDKLVFVEEKAPAQENSYDCGMYVICNTEALCQSLFR RQPESPLQLLTPTYITKKRGEWKDLIARLAKKNEVATEECS >ENSMUSP00000023431.6 pep:known chromosome:GRCm38:16:57168866:57231466:-1 gene:ENSMUSG00000022749.6 transcript:ENSMUST00000023431.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d23 description:TBC1 domain family, member 23 [Source:MGI Symbol;Acc:MGI:1914831] MAEGEELLPLSTSGGDSWEKDLEEALEAGGCDLETLRNIIQGRPLPAELRAKVWKIALNV AGKGDSLASWDGILDLPEQNTIHKDCLEFIEQLSVPEEKAAELLLDIESVITFYCKSRSV KYSTSLSWIHLLKPLICLQLPRSDLYNCFYAVMNKYIPRDCSLKGRPFHLFRLLIQYHEP ELCSFLDTKKITPDSYALNWLGSLFAHYCSTEVTQAIWDGYLQQADPFFIYFLMLIILVN TKEVILAQESDSKEEVIRFLESTPASLNLEDIEDLFSLAQYYCSKTPASFRKDNHHLFGS TLLGIKDDEADLSQALCLAVSVSEILQANQLQGEGVRFFVVDCRPAEQYNAGHLATAFHL DSDLMLQNPSEFAQSVKSLLEAQKQSIESGSIAGGEHLCFMGSGREEEDMYMNMVLAHFL QKNKEYVSIASGGFMALQQHLADINVEGPESGYGHWIASTSGSRGSISSVDGESCNGSND RGMKSLVSKMTVALKTKSVTVREKVISFIENSSTPVDRHVSSSDRVGKPYRGVKPVFSIG DEEEYDTDEIDSSSMSDDDRKEVVNIQTWINKPDIKHHFPCKEVKESGHMFPSHLLVTAT HMYCLREILSRKGLAYIQSRQALNSVVKITSKKKHPELITFKYGNSSASGIEILAIERYL IPNAGDATRAIKQQIMKVLDALES >ENSMUSP00000127973.1 pep:known chromosome:GRCm38:19:10389090:10453181:1 gene:ENSMUSG00000024743.14 transcript:ENSMUST00000169121.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt7 description:synaptotagmin VII [Source:MGI Symbol;Acc:MGI:1859545] MYRDPEAASPGAPTRDVLLVSAIITVSLSVTIVLCGLCHWCQRKLGKRYKNSLETVGTPD SGRGRGEKKAINFEDSTLSTATTLESIPSSAGEPKCQRPRTLMRQQSLQQPLSQNQQGRQ PSQPTTSQSLGQLQAHAASAPGSNPRAYGRGQARQGTSAGSKYRAAGGRSRSNPGSWDHV VGQIRNRGLDMKSFLEGRMVVLSLVLGLSEQDDFANIPDLQNPGTQQNQNAQGDKRLPAG GKAVNTAPVPGQTPHDESDRRTETRSSVSDLVNSLTSEMLMLSPGSEEDEAHEGCSRENL GRIQFSVGYNFQESTLTVKVMKAQELPAKDFSGTSDPFVKIYLLPDKKHKLETKVKRKNL NPHWNETFLFEGFPYEKVVQRVLYLQVLDYDRFSRNDPIGEVSIPLNKVDLTQMQTFWKD LKPCSDGSGSRGELLLSLCYNPSANSIIVNIIKARNLKAMDIGGTSDPYVKVWLMYKDKR VEKKKTVTKKRNLNPIFNESFAFDIPTEKLRETTIIITVMDKDKLSRNDVIGKIYLSWKS GPGEVKHWKDMIARPRQPVAQWHQLKA >ENSMUSP00000076234.3 pep:known chromosome:GRCm38:19:10389090:10453181:1 gene:ENSMUSG00000024743.14 transcript:ENSMUST00000076968.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt7 description:synaptotagmin VII [Source:MGI Symbol;Acc:MGI:1859545] MYRDPEAASPGAPTRDVLLVSAIITVSLSVTIVLCGLCHWCQRKLGKRYKNSLETVGTPD SGRGRGEKKAINDLDRDFWNNNESTVQQKWSSYPPKEFILNISPYAPYGDPRLSLKLPAG GKAVNTAPVPGQTPHDESDRRTETRSSVSDLVNSLTSEMLMLSPGSEEDEAHEGCSRENL GRIQFSVGYNFQESTLTVKVMKAQELPAKDFSGTSDPFVKIYLLPDKKHKLETKVKRKNL NPHWNETFLFEGFPYEKVVQRVLYLQVLDYDRFSRNDPIGEVSIPLNKVDLTQMQTFWKD LKPCSDGSGSRGELLLSLCYNPSANSIIVNIIKARNLKAMDIGGTSDPYVKVWLMYKDKR VEKKKTVTKKRNLNPIFNESFAFDIPTEKLRETTIIITVMDKDKLSRNDVIGKIYLSWKS GPGEVKHWKDMIARPRQPVAQWHQLKA >ENSMUSP00000073560.4 pep:known chromosome:GRCm38:19:10389090:10453181:1 gene:ENSMUSG00000024743.14 transcript:ENSMUST00000073899.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syt7 description:synaptotagmin VII [Source:MGI Symbol;Acc:MGI:1859545] MYRDPEAASPGAPTRDVLLVSAIITVSLSVTIVLCGLCHWCQRKLGKRYKNSLETVGTPD SGRGRGEKKAIKLPAGGKAVNTAPVPGQTPHDESDRRTETRSSVSDLVNSLTSEMLMLSP GSEEDEAHEGCSRENLGRIQFSVGYNFQESTLTVKVMKAQELPAKDFSGTSDPFVKIYLL PDKKHKLETKVKRKNLNPHWNETFLFEGFPYEKVVQRVLYLQVLDYDRFSRNDPIGEVSI PLNKVDLTQMQTFWKDLKPCSDGSGSRGELLLSLCYNPSANSIIVNIIKARNLKAMDIGG TSDPYVKVWLMYKDKRVEKKKTVTKKRNLNPIFNESFAFDIPTEKLRETTIIITVMDKDK LSRNDVIGKIYLSWKSGPGEVKHWKDMIARPRQPVAQWHQLKA >ENSMUSP00000097066.3 pep:known chromosome:GRCm38:19:40295049:40402267:-1 gene:ENSMUSG00000025006.15 transcript:ENSMUST00000099467.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs1 description:sorbin and SH3 domain containing 1 [Source:MGI Symbol;Acc:MGI:700014] MSSECDVGSSKAVVNGLASGNHGPDKDMDPTKICTGKGTVTLRASSSYRGTPSSSPVSPQ ESPKHESKSDEWKLSSSADTNGNAQPSPLAAKGYRSVHPSLSADKPQGATSSSSAPSEVI VVPLYLVNTDRGQGQEGTARTPASLGPLGCVHTVPATTPAASPLTFPTLDDFIPPHLQRR PHHSQPASACGSLSPASQTSPPSPPPPLVPPVPEDLHRGLEPDLPGAVSSTGSPLLNEVS SSHIETDSQDFPPTSRPSSAYPSTTIVNPTIVLLQHNREQQKRLSSLSDPASERRAGEQD PVPTPAELTSPGRASERRAKDASRRVVRSTQDLSDVSTDEVGIPLRNTERSKDWYKTMFK QIHKLNRDTPEENPYFPTYKFPELPEILQNSEEDSSYTPTYQFPASTPSPKSEDDDSDVH SPRYSFSDDTKSPLSVPRSKSEMNYIEGEKVVKRSATLPLPARSSSLKSSPERNDWEPPD KKVDTRKYRAEPKSIYEYQPGKSSVLTNEKMSRDISPEEIDLKNEPWYKFFSELEFGRPP PKKIWDYTPGDCSILPREDRKTNLEKDLSFCQAELEADLEKVETVNKSPSANSPQSSAVS PTPDITSEPPGYIYSSNFHAVKRESDGTPGGLASLENERQIYKSVLEGGDIPLQGLSGLK RPSSSASTKDSESPRHFIPADYLESTEEFIRRRHDDKEMRPARAKFDFKAQTLKELPLQK GDVVYIYRQIDQNWYEGEHHGRVGIFPRTYIELLPPAEKAQPRKLAPVQVLEYGEAIAKF NFNGDTQVEMSFRKGERITLLRQVDENWYEGRIPGTSRQGIFPITYVDVLKRPLVKTPVD YIDLPYSSSPSRSATVSPQQPQAQQRRVTPDRSQPSLDLCSYQALYSYVPQNDDELELRD GDIVDVMEKCDDGWFVGTSRRTRQFGTFPGNYVKPLYL >ENSMUSP00000097065.3 pep:known chromosome:GRCm38:19:40295049:40402267:-1 gene:ENSMUSG00000025006.15 transcript:ENSMUST00000099466.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs1 description:sorbin and SH3 domain containing 1 [Source:MGI Symbol;Acc:MGI:700014] MSSECDVGSSKAVVNGLASGNHGPDKDMDPTKICTGKGTVTLRASSSYRGTPSSSPVSPQ ESPKHESKSDEWKLSSSADTNGNAQPSPLAAKGYRSVHPSLSADKPQGSPLLNEVSSSHI ETDSQDFPPTSRPSSAYPSTTIVNPTIVLLQHNREQQKRLSSLSDPASERRAGEQDPVPT PAELTSPGRASERRAKDASRRVVRSTQDLSDVSTDEVGIPLRNTERSKDWYKTMFKQIHK LNRDDDSDVHSPRYSFSDDTKSPLSVPRSKSEMNYIEGEKVVKRSATLPLPARSSSLKSS PERNDWEPPDKKVDTRKYRAEPKSIYEYQPGKSSVLTNEKMSSAVSPTPDITSEPPGYIY SSNFHAVKRESDGTPGGLASLENERQIYKSVLEGGDIPLQGLSGLKRPSSSASTKDSESP RHFIPADYLESTEEFIRRRHDDKEKLLADQRRLKREQEEADIAARRHTGVIPTHHQFITN ERFGDLLNIDDTAKRKSGLEMRPARAKFDFKAQTLKELPLQKGDVVYIYRQIDQNWYEGE HHGRVGIFPRTYIELLPPAEKAQPRKLAPVQVLEYGEAIAKFNFNGDTQVEMSFRKGERI TLLRQVDENWYEGRIPGTSRQGIFPITYVDVLKRPLVKTPVDYIDLPYSSSPSRSATVSP QQPQAQQRRVTPDRSQPSLDLCSYQALYSYVPQNDDELELRDGDIVDVMEKCDDGWFVGT SRRTRQFGTFPGNYVKPLYL >ENSMUSP00000126460.1 pep:known chromosome:GRCm38:19:40295049:40402267:-1 gene:ENSMUSG00000025006.15 transcript:ENSMUST00000165212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs1 description:sorbin and SH3 domain containing 1 [Source:MGI Symbol;Acc:MGI:700014] MSSECDVGSSKAVVNGLASGNHGPDKDMDPTKICTGKGTVTLRASSSYRGTPSSSPVSPQ ESPKHESKSDEWKLSSSADTNGNAQPSPLAAKGYRSVHPSLSADKPQGSPLLNEVSSSHI ETDSQDFPPTSRPSSAYPSTTIVNPTIVLLQHNRDPASERRAGEQDPVPTPAELTSPGRA SERRAKDASRRVVRSTQDLSDVSTDEVGIPLRNTERSKDWYKTMFKQIHKLNRDDDSDVH SPRYSFSDDTKSPLSVPRSKSEMNYIEGEKVVKRSATLPLPARSSSLKSSPERNDWEPPD KKVDTRKYRAEPKSIYEYQPGKSSVLTNEKMSRDISPEEIDLKNEPWYKFFSELEFGRPP PKKIWDYTPGDCSILPREDRKSSAVSPTPDITSEPPGYIYSSNFHAVKRESDGTPGGLAS LENERQIYKSVLEGGDIPLQGLSGLKRPSSSASTKDSESPRHFIPADYLESTEEFIRRRH DDKEMRPARAKFDFKAQTLKELPLQKGDVVYIYRQIDQNWYEGEHHGRVGIFPRTYIELL PPAEKAQPRKLAPVQVLEYGEAIAKFNFNGDTQVEMSFRKGERITLLRQVDENWYEGRIP GTSRQGIFPITYVDVLKRPLVKTPVDYIDLPYSSSPSRSATVSPQQPQAQQRRVTPDRSQ PSLDLCSYQALYSYVPQNDDELELRDGDIVDVMEKCDDGWFVGTSRRTRQFGTFPGNYVK PLYL >ENSMUSP00000125768.1 pep:known chromosome:GRCm38:19:40295049:40402267:-1 gene:ENSMUSG00000025006.15 transcript:ENSMUST00000165469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorbs1 description:sorbin and SH3 domain containing 1 [Source:MGI Symbol;Acc:MGI:700014] MSSECDVGSSKAVVNGLASGNHGPDKADPFRARSISAVKIIPVKTVKSPSGLVLPPDMDP TKICTGKGTVTLRASSSYRGTPSSSPVSPQESPKHESKSDEWKLSSSADTNGNAQPSPLA AKGYRSVHPSLSADKPQGSPLLNEVSSSHIETDSQDFPPTSRPSSAYPSTTIVNPTIVLL QHNREQQKRLSSLSDPASERRAGEQDPVPTPAELTSPGRASERRAKDASRRVVRSTQDLS DVSTDEVGIPLRNTERSKDWYKTMFKQIHKLNRDDDSDVHSPRYSFSDDTKSPLSVPRSK SEMNYIEGEKVVKRSATLPLPARSSSLKSSPERNDWEPPDKKVDTRKYRAEPKSIYEYQP GKSSVLTNEKMSSAVSPTPDITSEPPGYIYSSNFHAVKRESDGTPGGLASLENERQIYKS VLEGGDIPLQGLSGLKRPSSSASTKDSESPRHFIPADYLESTEEFIRRRHDDKEMRPARA KFDFKAQTLKELPLQKGDVVYIYRQIDQNWYEGEHHGRVGIFPRTYIELLPPAEKAQPRK LAPVQVLEYGEAIAKFNFNGDTQVEMSFRKGERITLLRQVDENWYEGRIPGTSRQGIFPI TYVDVLKRPLVKTPVDYIDLPYSSSPSRSATVSPQQPQAQQRRVTPDRSQPSLDLCSYQA LYSYVPQNDDELELRDGDIVDVMEKCDDGWFVGTSRRTRQFGTFPGNYVKPLYL >ENSMUSP00000076896.4 pep:known chromosome:GRCm38:16:88931804:88932264:-1 gene:ENSMUSG00000057174.4 transcript:ENSMUST00000077715.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap19-9b description:keratin associated protein 19-9B [Source:MGI Symbol;Acc:MGI:2181750] MSYYYGNYYGGLGYGLGGFGGFGGLGYGYGSSYGLGGYGGYGYFSPSFYGGYLSSGFY >ENSMUSP00000129663.2 pep:known chromosome:GRCm38:8:104614141:104624396:-1 gene:ENSMUSG00000031881.5 transcript:ENSMUST00000163783.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh16 description:cadherin 16 [Source:MGI Symbol;Acc:MGI:106671] MISARPWLLYLSVIQAFTTEAQPAESLHTEVPENYGGNFPFYILKLPLPLGRDEGHIVLS GDSNTADQNTFAVDTDSGFLVATRTLDREEKAEYQLQVTLESEDGRILWGPQLVTVHVKD ENDQVPQFSQAIYRAQLSQGTRPGVPFLFLEASDGDAPGTANSDLRFHILSQSPPQPLPD MFQLDPHLGALALSPSGSTSLDHALEETYQLLVQVKDMGDQPSGHQAIATVEISIVENSW APLEPVHLAENLKVVYPHSIAQVHWSGGDVHYQLESQPPGPFDVDTEGMLHVTMELDREA QAEYQLQVRAQNSHGEDYAEPLELQVVVMDENDNAPVCSPHDPTVNIPELSPPGTEIARL SAEDLDAPGSPNSHIVYQLLSPEPEEGAENKAFELDPTSGSVTLGTAPLHAGQSILLQVL AVDLAGSESGLSSTCEVTVMVTDVNNHAPEFINSQIGPVTLPEDVKPGALVATLMATDAD LEPAFRLMDFAIEEGDPEGIFDLSWEPDSDHVQLRLRKNLSYEAAPDHKVVVVVSNIEEL VGPGPGPAATATVTILVERVVAPLKLDQESYETSIPVSTPAGSLLLTIQPSDPMSRTLRF SLVNDSEGWLCIKEVSGEVHTAQSLQGAQPGDTYTVLVEAQDTDKPGLSTSATVVIHFLK ASPVPALTLSAGPSRHLCTPRQDYGVVVSGVSEDPDLANRNGPYSFALGPNPTVQRDWRL QPLNDSHAYLTLALHWVEPGEYMVPVVVHHDTHMWQLQVKVIVCRCNVEGQCMRKVGRMK GMPTKLSAVGVLLGTLAAIGFILILVFTHLALARKDLDQPADSVPLKAAV >ENSMUSP00000047569.6 pep:known chromosome:GRCm38:13:49202951:49216026:-1 gene:ENSMUSG00000037960.10 transcript:ENSMUST00000048946.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Card19 description:caspase recruitment domain family, member 19 [Source:MGI Symbol;Acc:MGI:1915730] MTDQTYCDRLVQDTPFLTGQGRLSEQQVDRIILQLNRYYPQILTNKEAEKFRNPKASLRV RLCDLLSHLQQRGERHCQEFYRALYIHAQPLHSHLPSRYSPQNSDCRELDWGIESRELSD RGPMSFLAGLGLAAGLALLLYCCPPDPKVLPGTRRVLAFSPVIIDRHVSRYLLAFLADDL GGL >ENSMUSP00000034960.6 pep:known chromosome:GRCm38:9:65032458:65082650:1 gene:ENSMUSG00000032393.14 transcript:ENSMUST00000034960.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp8 description:dipeptidylpeptidase 8 [Source:MGI Symbol;Acc:MGI:1921638] MKIPSGRCNMAAAMETEQLGVEIFETAECEEGNGESQDRPKLEPFYVERYSWSQLKKLLA DTRKYHGYMMAKAPHDFMFVKRTDPDGPHSDRVYYLAMSGENRENTLFYSEIPKTINRAA VLMLSWKPLLDLFQATLDYGMYSREEELLRERKRIGTVGIAAYDYHPGSGTFLFQAGSGI YHIKDGGPHGFTQQPLRPNLVETSCPNIRMDPKLCPADPDWIAFIHSNDIWISNLVTREE RRITYVHNELANMEEDPRSAGVATFVLQEEFDRYSGYWWCPQAERTPSGGKILRILYEEN DESEVEIIHVTSPMLETRRADSFRYPKTGTANPKVTFKMSEIVVDAAGGIIDVIDKELVQ PFEILFEGVEYIARAGWTPEGKHAWSILLDRSQTHLQIVLISPELFIPVEDDAMDRQRLI ESVPDSVTPLIIYEETTDIWINIHDIFHVFPQTHEDEIEFIFASECKTGFRHLYKITSIL KESKYKRSSGGLPAPSDFKCPIKEEITITSGEWEVLGRHGSNIWVDEARKLVYFEGTKDS PLEHHLYVTSYANPGEVVRLTDRGYSHSCCLSRHCDFFISKYSNQKNPHCVSLYKLSSPE DDPVHKTKEFWATILDSAGPLPDYTPPEIFSFESTTGFTLYGMLYKPHDLQPGKKYPTVL FIYGGPQVQLVNNRFKGVKYFRLNTLASLGYVVVVIDNRGSCHRGLKFEGAFKYKMGQIE IDDQVEGLQYLASQYDFIDLDRVGIHGWSYGGYLSLMALMQRSDIFRVAIAGAPVTLWIF YDTGYTERYMGHPDQNEQGYYLGSVAMQAEKFPSEPNRLLLLHGFLDENVHFAHTSILLS FLVRAGKPYDLQIYPQERHSIRVPESGEHYELHLLHYLQENLGSRIAALKVI >ENSMUSP00000126065.1 pep:known chromosome:GRCm38:9:65032722:65080990:1 gene:ENSMUSG00000032393.14 transcript:ENSMUST00000167773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpp8 description:dipeptidylpeptidase 8 [Source:MGI Symbol;Acc:MGI:1921638] MKIPSGRCNMAAAMETEQLGVEIFETAECEEGNGESQDRPKLEPFYVERYSWSQLKKLLA DTRKYHGYMMAKAPHDFMFVKRTDPDGPHSDRVYYLAMSGENRENTLFYSEIPKTINRAA VLMLSWKPLLDLFQATLDYGMYSREEELLRERKRIGTVGIAAYDYHPGSGTFLFQAGSGI YHIKDGGPHGFTQQPLRPNLVETSCPNIRMDPKLCPADPDWIAFIHSNDIWISNLVTREE RRITYVHNELANMEEDPRSAGVATFVLQEEFDRYSGYWWCPQAERTPSGGKILRILYEEN DESEVEIIHVTSPMLETRRADSFRYPKTGTANPKVTFKMSEIVVDAAGGIIDVIDKELVQ PFEILFEGVEYIARAGWTPEGKHAWSILLDRSQTHLQIVLISPELFIPVEDDAMDRQRLI ESVPDSVTPLIIYEETTDIWINIHDIFHVFPQTHEDEIEFIFASECKTGFRHLYKITSIL KESKYKRSSGGLPAPSDFKCPIKEEITITSGEWEVLGRHGSNIWVDEARKLVYFEGTKDS PLEHHLYVTSYANPGEVVRLTDRGYSHSCCLSRHCDFFISKYSNQKNPHCVSLYKLSSPE DDPVHKTKEFWATILDSAGPLPDYTPPEIFSFESTTGFTLYGMLYKPHDLQPGKKYPTVL FIYGGPQVQLVNNRFKGVKYFRLNTLASLGYVVVVIDNRGSCHRGLKFEGAFKYKMGQIE IDDQVEGLQYLASQYDFIDLDRVGIHGWSYGGYLSLMALMQRSDIFRVAIAGAPVTLWIF YDTGYTERYMGHPDQNEQGYYLGSVAMQAEKFPSEPNRLLLLHGFLDENVHFAHTSILLS FLVRAGKPYDLQIYPQERHSIRVPESGEHYELHLLHYLQENLGSRIAALKVI >ENSMUSP00000044898.6 pep:known chromosome:GRCm38:15:96411698:96460843:-1 gene:ENSMUSG00000033228.7 transcript:ENSMUST00000047835.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scaf11 description:SR-related CTD-associated factor 11 [Source:MGI Symbol;Acc:MGI:1919443] MKKKSVYNQNVGDQECDDMEGEENSNTADASGLLYSEADRCPICLSCLLGKEVGFPESCN HVFCMACILKWAEILASCPIDRKPFQAVFELSAFEGCAKIQVKRRLRETEDKENQRSFKK QLFYHESSKGNKRKRNTIREDLLCERSDGLKVLYRNISNKMDGKKNATVKTNKVQRSNQC TDSCIRNEMSSVFSCGSHSWGPRTHRASCTESIEVNEISALIRQKRQELELSWFPNTLPG NGRVGSVPWSVETAFLSLASSGLPRTVFPASTTSLEHFGTSHKGYALAHTQGGGEKKQTS GTSNTRGSRRKPAATAPTRRSTRNTRAETVSQSQKSPVSNYSECDAPGNSNSSVSISPPA ESEKQTRQAPKRKSVRRGRKPPLLKKKLRRSVPPAEKSSSDSVEEETVDSDTPPVLEKEQ QSCVESSSICSVQTDEENHLHLESCSEQTEENEQANNHEIEEQTESLNSESCTQDPPGLV GEDTGIEAKEFCADHDISPDTSLRGRDSAEHQEQLSGSSESEVQALVCTESPPEGSPTCP PSDIEHQPVSSPLGEVSDNTTPVVSDERAEESLTVESTDLNDERTGESLTVESTDLNDSA VNIEAFVESPKMESCEGETAQGLDRHCVPSSDSELPEHIQTENTEIIPACEHIQTENTEI IPACEHIQTENTEIIPVCGTLENENSDAVQDCEDNLLKHNLDNSQSDKFLEETTESLVEH PIHAELPHKEVDQSEKHFSEDNNESVPMECDSFCSDQNESEVESSANTDSKQLSENSVPH SSEDKLSSDPAVEKVETVAQPAESLVDKAPKPRTRRSRFHSPSTTWSPNKDAAQEKRRAQ SPSPKRETVVESQSSQSPSPKRESARGRRKSRSLSPKKDVARERRQSRSPKRENAREAKR SESGSPRRDTSRENQRSQSRVKDSSSREKSRSRSRERESDREAQRRERDRERRARRWSRS RSRSRSPSRLRTKSKSSSFGRNERDNYSPRWKERWTNDGWRCPRGNDRYRRNDSEKQNEN TRNEKNDITADSNDPSSTDKHRSDCPSWVTEKINSGPDPRTRNPEKLKDSHWEENRNENS GNSWTKNFGPGWMSSRGRGGRGKGAYRGNFACNDQSENRWQSRTPLSGSDSFKSAEQQPS KRKSEQELSFGTAADRSGWTSASSWAVRKTLPADVQSYYSRRGRNSSGPQSGWMRQEEET PEQDSNLKDQTNQVDGSQLPVNMMQPHMSVMQPPVNAPHPPVGVFPYPVGLPAPMMNMQR NPFTMHPPMPLHLHTGVPLMQVAAPASIPQGPPPPPPPPPSQQVSYVASQPDGKQVQGLS SASHVSNNMNTQVLPAPSAAPANTGSVQGPSSGNTSSSSHVQASNAAVKLAESKKLQIQE KAAQEVKLAIKPFYQNKDITKEEYKEIVRKAVDKVCHSKSGEVNSTKVANLVKAYVDKYR YSRKKALEEPGSTEKT >ENSMUSP00000126665.1 pep:known chromosome:GRCm38:13:67038594:67061170:-1 gene:ENSMUSG00000090641.1 transcript:ENSMUST00000167565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp712 description:zinc finger protein 712 [Source:MGI Symbol;Acc:MGI:1925501] MEEMLSFRDVAIDFSEEEWECLEPAQWDLYRDVMLENYSHLVFLGLAFSKPYLVTFLEQR REPWNVKEAATVAAHPGIKPYTFKECGKTFPWNSLLIQQHKIRPGAKLYKCDECGKSFNV RSTLYKHHRTHTGEKPYKCKECGKAFTCSSSLNQHHRIHTGEKPYKCECGKAFNNSSALT QHQRIHTGERPYKCEECGKAFNNCSARTRHQRIHTGERPYKCAECGKTFNFPTSLSQHQR IHTGEKPYKCEECGKAFNCSSHLKQHRIIHTGKKPYKCKECSKAFNCSSSLNQHRRIHTG EKRYICEECGKAFNNCSALTQHQRIHSGEKPYKCEECGKAFYNCSALSRHQKIHTGEKPY KCAECGKAFIFRSSLSQHQRIHTGEKPYKCKECGKAFNCSSHLNQHGRIHSGEKPYKCEE CGQTFICSSYLHKHQKIHAIEKLYECKECGKTFSCSSYLKYHQRFHTGGKSYTCKECDKT FRSSSYLRNHQRFHTGEKPYTCKECGKAFVNSSSLLVHQRIHTGEKPYKCKECGKAFRCS SYFKYHQRLHTGEKPYTCKECGKAFAKSSCLILHQRIHTGEKPYKCEECGQAFICSSYLR KHQRIHTGEKPYTCEECGKAFSIYSTYAQHQRIHTGEKPYKCKECDKAFNNHSALIKHQR IHTGEKPYACKECSKAFNNSSSLIRHQRIHIGIETLEIQGIWQGL >ENSMUSP00000104728.1 pep:known chromosome:GRCm38:15:99271018:99287180:-1 gene:ENSMUSG00000078907.1 transcript:ENSMUST00000109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam186b description:family with sequence similarity 186, member B [Source:MGI Symbol;Acc:MGI:3647604] MEKDNPPHLVTPTSVKAIISKIETAQLIRTQEDISTQLSDILDNVNCAINRFQEELGYDL KERAKPHQPEQKGKKRFILLEKLAAFSDDAKAKEKHLYEILHWLGEWGDSLTYEIKDRSS EEDEALDEWIEVMEKVLPLSLMATKGGIESLISLCSTLIEDQKKMTQRYKHNFWQDWHEK SPQNKEQGIPVPPSPEQMLQDKNTAHLNVSEVRSMLQELLDSTMFNKGEVKAIRYMSAVV ENLNKALTLQHKENKSLETKYKYLQVEKNKELSTQRLHFQKSIQVLESKRDALLKQVKIL GDKYHHLLMLKHTLEFQLNIAQPASGPEEPTKHFIHYLEPPEKEPLPGQGTALEKEKQEP KEKGQAVSALFSSPLTKAWHSGTTPAAPKPIFGTDTDSRVRDVFVDRTEALEPVLLHSEV PQIPTQWEKLGDMKDKDQGDHIQEEGAQSKSLSPGSIRRRLLESHMGHWEEELNWETRRQ QWLQEEEMWLQRQKKWALLEQEHQEKVRQWEAEAAARQQWQRLTQPEEEKPSPRKEQKAS SEMIFTTTSRWKSLKKSESTPTPHCRAQSAHQSRSYILASPHTQQPGQGTQRTLGSAEFL QRPPTRQVSAKPKKSTSLPVTGTSILRRVSQVSLQQAPGTPKDKVYHIDMEAQLKNLQVL GGSESEVALPQYLRSKALEVIAIAMDLSILRLQYLCKKYIQYRRFQSLRLEMIKHIGAIR QTRVTSKAQNLYLFLENIDHQQSLRLQAWADKQRDLEERHQECLHTMVAMFPKLQQEWNV HLSVPVLTHVKPVKSKSSSVLLQRVRSSHSTTKHRPPPKPRESVPLPITGQQGSQMEAIW KADVASSSHPIEKKTPTNMCWEQLGGYPDIPRLLVVDEHPSCPRSSVSFKARSFSASSVQ RKEGQEPSEELAGPVCKMSSQSLPGSICTKQEGSGSSSTHSIP >ENSMUSP00000035490.5 pep:known chromosome:GRCm38:9:122951081:123018725:1 gene:ENSMUSG00000036768.5 transcript:ENSMUST00000040717.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif15 description:kinesin family member 15 [Source:MGI Symbol;Acc:MGI:1098258] MAPGCKSELRNVTNSHSNQPSNEGDAIKVFVRIRPAEEGARSADGEQSFCLSVLSQTTLR LHSNPDPKTFVFDYVAGMDTTQESVFSTVAKSIVESCMSGYNGTIFAYGQTGSGKTFTMM GPSDSDNFSHNLRGIIPRSFEYLFSLIDREKEKAGAGKSFLCKCSFIEVYNEQIYDLLDS ASVGLYLREHIKKGVFVVGAVEQAVTSAAETYQVLSRGWRNRRVASTSMNRESSRSHAVF TITIESMEKSSETVNIRTSLLNLVDLAGSERQKDTHAEGMRLKEAGNINRSLSCLGQVIT ALVDVGNGKQRHICYRDSKLTFLLRDSLGGNAKTAIIANVHPGSRCFGETLSTLNFAQRA KLIKNKAVVNEDTQGNVSQLQAEVKRLKEQLSQFTSGQITPESLLARDKEKTNYIEYFLE AMLFFKKSEQEKKSLIEKITQLEDLTLKKEKFIQSNKMIVKFREDQIMRLERLHKEGRGS FLPEEQDRLLSELRDEVQTLREHVEHHPRLAKYAMENHSLREENRRLKLLAPVKRAHEID AQSIARLEKAFAEVSSTETNDKGLQGFSPKALKESSFFTNTEKLKAQLLQIQTELNNSKQ EYEEFKELTRKKQLELESELQSLQKANLNLENLLEATKVCKRQEVSQLNKLHAETLKIIT TPTKAYQLCSRLVPKSSPEVGSFGFLCTESSSRLDNDILNEPVPPEMSEQALEAVSEELR TVQEQLSVLQVKLDEEERKNLKLQQNVDKLEHHSTQMQELFSSERSDWTKQQQEHVTQLS DLEKQLQDAQTKNEFLKCEVHDLRIVLNSADKELSLVKLEYSTFKENHEKELSQLSERHV QVQLQLDNARLENEKLLESQACLQDSYDNLQEVMKFEIDQLSKNLQNCKQENETLKSDLH NLVELFEAEKERNNKLSLQFEEDKENSSKEILKVLETVRQEKQKEMAKCEKQMAKIQKLE ESLLATENVISSLEKSRESDKELVTNLMNQIQELRISIGEKSETIATLKQELQDINCKYN ASLADKEESKELIRRQEVDILELKETLRLRILSEDIERDMLCEDLAHATEQLNMLTEASK KHSGLLQSAQEELTRKEALIQELQHKLNQEKEEVEQKKNEFSLKMRQLEHVMGSATEYPQ SPKTPPHFQAHLAKLLETQEQEIEDGRASKTSLQHLVTKLNEDREVKNAEILRMKDQLCE MENLRLESQQLREKNWLLQRQLDDVKRQQESGDQSHPDSQQLKNEHEEIIKERLAKNKLI EEMLKMKTNLEEVQSALHSKEKACHRMSEEIERTRTLESRAFQEKEQLRSKLEEMYEERE RTFLEMEMLKKQLEFLAEENGKLVGHQNLHQKIQYVVRLKKENIRLTEETEKLRAENLFL KEKKKEF >ENSMUSP00000106701.1 pep:known chromosome:GRCm38:14:68606027:68655842:-1 gene:ENSMUSG00000014725.14 transcript:ENSMUST00000111072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam28 description:a disintegrin and metallopeptidase domain 28 [Source:MGI Symbol;Acc:MGI:105988] MQQWSLLVVSFLLSPVPVSAIKELPKAKKYEVVYPIRLHPLRKRETQEPEPKETFETELR YKMTVNGKVAVLYLKKNNKLLAPDYSETYYNSSGNKVTTSPQIMDSCYYQGHIVNEKVSA ASISTCQGLRGYISQGDEKYFIEPLSSENLDEQAHALFKDDSNEDQEKSNCGVDDALWLQ GLHQDVALPATRLIKLNDGMVQEPKKYIEYYVVLDNGEFKKYNKNLAEIRKIVLEMANYI NMLYNKLDAHVALVGVEIWTDGDKIKITPDANTTLENFSKWRGNDLLKRKHHDIAQLISS TDFSGSTVGLAFMSSMCSPYHSVGIVQDHSNYHLRVAGTMAHEMGHNLGMIHDYLSCKCP SEVCVMEQSLRFHMPTDFSSCSRVNYKQFLEEKLSHCLFNSPLPSDIISTPVCGNQLLEM NEDCDCGTPKECTNKCCDARTCKIKAGFQCALGECCEKCQLKKPGVVCRAAKDECDLPEV CDGKSSHCPGDRFRVNGSPCQNGHGYCLKGKCPTLQQQCMDMWGPGTKVANTSCYKQNEG GTKYGYCHVENGTHMPCKAKDAMCGKLFCEGGSGDLPWKGLTISFLTCKLFDPEDTSQGV DMVANGTKCGTNKVCINAECVDMEKTYKSANCSSKCKGHAVCDHELQCQCKEGWAPPDCE NSATVFHFSIVVGVLFPLAVIFVVVAIVIQRQSARRKQRRVQRLPSTKDAKLHNQKCRPQ KVKDVQPQEMSQMKKLHVSDLPSEEPEPPPDVLITKPNFPPPPIPVSLDPNAKV >ENSMUSP00000022642.5 pep:known chromosome:GRCm38:14:68606879:68655842:-1 gene:ENSMUSG00000014725.14 transcript:ENSMUST00000022642.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam28 description:a disintegrin and metallopeptidase domain 28 [Source:MGI Symbol;Acc:MGI:105988] MQQWSLLVVSFLLSPVPVSAIKELPKAKKYEVVYPIRLHPLRKRETQEPEPKETFETELR YKMTVNGKVAVLYLKKNNKLLAPDYSETYYNSSGNKVTTSPQIMDSCYYQGHIVNEKVSA ASISTCQGLRGYISQGDEKYFIEPLSSENLDEQAHALFKDDSNEDQEKSNCGVDDALWLQ GLHQDVALPATRLIKLNDGMVQEPKKYIEYYVVLDNGEFKKYNKNLAEIRKIVLEMANYI NMLYNKLDAHVALVGVEIWTDGDKIKITPDANTTLENFSKWRGNDLLKRKHHDIAQLISS TDFSGSTVGLAFMSSMCSPYHSVGIVQDHSNYHLRVAGTMAHEMGHNLGMIHDYLSCKCP SEVCVMEQSLRFHMPTDFSSCSRVNYKQFLEEKLSHCLFNSPLPSDIISTPVCGNQLLEM NEDCDCGTPKECTNKCCDARTCKIKAGFQCALGECCEKCQLKKPGVVCRAAKDECDLPEV CDGKSSHCPGDRFRVNGSPCQNGHGYCLKGKCPTLQQQCMDMWGPGTKVANTSCYKQNEG GTKYGYCHVENGTHMPCKAKDAMCGKLFCEGGSGDLPWKGLTISFLTCKLFDPEDTSQGV DMVANGTKCGTNKVCINAECVDMEKTYKSANCSSKCKGHAVCDHELQCQCKEGWAPPDCE NSATVFHFSIVVGVLFPLAVIFVVVAIVIQRQSARRKQRRVQRLPSTKDAKLHNQKCRPQ KVKDVQPQEMSQMKKLHVSDLPSEEPEPPPDVLITKPNFPPPPIPVSLTGRAKVPFVKTP HPFSQQIGRVYLK >ENSMUSP00000072008.2 pep:known chromosome:GRCm38:19:47090766:47131143:1 gene:ENSMUSG00000025047.8 transcript:ENSMUST00000072141.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdcd11 description:programmed cell death 11 [Source:MGI Symbol;Acc:MGI:1341788] MANLEESFPRGGTRKLHKSEKSSQQVVEQDNLFDVSTEEGPIKRKKSQKGPAKTKKLKIE KRKSIKSIKEKFEILSLESLCEGMRILGCVKEVSELELVVSLPNGLQGFVQVTEVCDAYT QKLNEQVAQEEPLEDLLRLPELFSPGMLVRCVVSSLDVTESGKKSVKLSVNPKRVNKVLS ADALRPGMLLTGTVSSLEDHGYLVDIGVGGTRAFLSLKKAQEYIRQKNKGAKFKVGQYLT CVVEEVKSNGGVVSLSVEHSEVSSAFATEEQSWNLNNLLPGLLVKAQVQKVTQFGLQLNF LTFFKGLVDFMHLEPKKMGSYSSNQTVKACILCVHPRTRVVRLSLRPIFLHPGRPLTRIS YQQLGAVLDDVPVQGFFKNAGAIFRLKDGVLAYARVSHLSDSKKAFNAEAFKPGSTHKCR IIDYSQMDELALLSLRKSIIAAPFLRYHDIKIGTVVKGTVLAIKPFGILVKVGEQIKGLV PSMHLADIMMKNPEKKYSPGDEVKCRVLLCDPEAKKLIMTLKKTLVTSKLSLITCYEGAK PGLQTHGVIIRVKDYGCIVKFYNDVQGLVPKHELSTQHIPDPETVFYTGQVVKVAVLSCE PSKERMLLSFRLLSDSRPKDPGVESSQKKTGAVRIGQLVDVKVLEKTKTGLEVAILPHNT PAFLPTPHLSDHAANGPLLHHWLQTGDTLHRVLCLSQSERHILLCRKPALVSTVEGGQDP KSLSEIQPGMLLIGFVKCIKEYGVFVQFPSGLSGLSPKTIMSDKFVTTPSEHFVEGQTVV AKVTNVDESKQRMLLSLRLSDCSLGDSASTSFLLLCQCLEELQGIRSLMSNQDSVLIQTL ADMTPGMVLDAVVHEVLEDGSVVFSSDPVPDLVLRASRYHRAGQEVEPGQKKKVVVLHVD MLKLEVHVSLHQDLVNRKTRKLRKSSRHQGIVQHLEESFAVASLVETGHLVAFSLISHLN DTFHFDSEKLRVGQGVCLTLKTTEPGVTGLILAVEGPASKRTRMPVQRDSETVDDKGEEK EEEEEEEEKEEENLTVKSKKRHSLAIGDKVTGTIKAVKATHVVVTLADGFVGCIHASRIL DDVPVGTSPTTTLKAGKKVTARVIGGRDVKTSKFLPISHPRFVLTILELSVRPSELKGSY SALNTHSESPVEKIRQYQAGQTVTCFFKKYNVMKKWLEVDIGPDIRGRIPLLLTSLSFKV LKHPDKKFQVGQAIEATVVDPDVPRAFLCLSLIGPYRLEEGEVAMGRVMKVVPNRGLTVS FPFGKIGKVSMFHLSDSYSEAPLEDFCPQKIVRCYILSTAHRVLALSLRSSRTNRETKNR IEDPEINSIEDVKEGQLLRGYVKCVLPSSVIIGLGPSVLGLAKYSHVSECVPPEKELYNG CLPEGKLVTAKVLRVNPMKNLIELSLLPSDTGRPDVFSPAPEPKQEERSGGAEEGQKRKE KNQKRREEKEEPQKSQRGGRGKRERQESESEQELVNKRPKKSGAAEEDDSGVEVYYREGE DEVGEPKLPPRGKQTKSTEVPRLHLSSGFLWDVGLDSLTPALPLREESSDSEDEQPHQAK KKKGKKERELEKQKAEKELSRIEEALMDPGRQPESADDFDRLVLSSPNSSILWLQYMAFH LQATEIEKARAVAERALKTISFREEQEKLNVWVALLNLENMYGSQESLTKVFERAVQYNE PLKVFLHLADIYTKSEKYKEAGELYNRMLKRFRQEKAVWIKYGAFVLGRSQAGASHRVLQ RALECLPAKEHVDVIVKFAQLEFQLGDVERAKAIFENTLSTYPKRTDVWSVYIDMTIKHG SQTAVRDIFERVIHLSLAPKRMKFFFKRYLDYEKQHGTEKDVQAVKAKALEYVEAKSSAL ED >ENSMUSP00000136493.1 pep:known chromosome:GRCm38:8:126930664:126945921:-1 gene:ENSMUSG00000093904.1 transcript:ENSMUST00000179857.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm20 description:translocase of outer mitochondrial membrane 20 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1915202] MVGRNSAIAAGVCGALFIGYCIYFDRKRRSDPNFKNRLRERRKKQKLAKERAGLSKLPDL KDAEAVQKFFLEEIQLGEELLAQGDYEKGVDHLTNAIAVCGQPQQLLQVLQQTLPPPVFQ MLLTKLPTISQRIVSAQSLAEDDVE >ENSMUSP00000050408.3 pep:known chromosome:GRCm38:13:93040713:93144724:-1 gene:ENSMUSG00000047419.5 transcript:ENSMUST00000062122.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmya5 description:cardiomyopathy associated 5 [Source:MGI Symbol;Acc:MGI:1923719] MESGDSGLAAQGFLGWGADEEVAQELETEEESEGEGEETAAESEEEPDARLSDEDEEGKT KQECIVSDPSFSMVAVQREDSGITWETNSSRSSTPWASGESQTSGICSLEGSALTSPPGS VSFIMDEVKRTRKRTQKSKRGSPSLRRKGSKKRNSLESQDVLTNQEDGPSISESPVLNIE NEKSSIGTYDKTRRKKTASNTPPITGAIYKEHKPLVLKPVYIGTVQYKIKMFNSVKEELI PLQFYGTLPKGYVIKEIHYRRGKDSSISLEPDLSNGGSNIVPQRKLAQSPEEDKVRELAP PWRGALSKGSRTSLFSHEEQKKTYADSNLNVPSSTEHAFPSSARNDTADQEENLSLPQMM PQQPADESKTHRMEPPSIPATMVLERAKEELEQNAQGKESSEDDASVLTGSADDVQQEGL VSVNHSMPWEAEKESLETGPPRPAPAIQEKFEPDMEGLEPISTEKTEQASEYVTSSEPIV HREEEHAPEPIVHREEEHAPEPIVHREEEHAPEPIVHREEEHAPESIVHREEEHAPESIV QREEEHAPEPESIVHREEEHAPGPVPIVHREEEHVPELESIVHRGEEHAPEPIVHRDEGH APEPIVHREEEHVPEPIVHRDEGHAPEPIVHREEEHVPEPESIVHREEEHAPEPMVLRKA QLERGVETSTPITDTTEPEDSSLEEEIIELDYPESPLASKETSPSPLSPEVEHRKEPILP TQMTFTPERITLSEEEREENESVSTDSAFVSEYSVLQDLNHTPEKLEVEAVSVSDVKSSN EPAVFSEDDEERESYSPAMTSVSEQSLSPSTTEKTSAIQSPLFSTVSPVLSGDEASESVC HSPESESAAEYSVPAHAQELLLKTGDHKLPLKSQRVSEPIIQAEDEKEDIGLLPPAALSQ AVLSEDESLGSGSFASDSKLPFKPSVSQNATRESPQKTIDDMPQFKPRGLSDPATLLEEE KEAIGVGLSSSNEVSAVECALPPQTTELLSESHAPPPWAISSEQVVQSEEGSRDQQRGSF SSTPELGHTSPLLLKGASSPTGLSEQGQEEDNIGPLSPDSAFASEFSFSPYPTQELEKRE LGRDSPLCLTSPSEQTVLSDEDTEEADLFSPDSASQVSIPPYRIAETEQNKVEPDELLPR RSAPDYPYFSEADEEEAGSSVVTPVPEHSEPSQEREESSPCRPVFEDLSLLPSADKTGQA ETMSDVPTISTSVSEYLILAQQEKTQASLEPEAEDLVPPPTSGWEKRDAKSSLPAVTIAA SSSALSSVVKEETTSVLPTSQPSVSPESTCVLKPEQEPTAPLTLTSADEQMALPRVGREK AVLDSQEATAHKSQDQTPEPRLPNVPGSGMKYSVLSDLGDEPKADVKLNLAPTVTSELEQ RMLSKNEPEVAKPHSPPEETSISGPKVLSAVKTEVKQESKITRELPAASSGRERGAEHSP PAPPALPALMEEMGKDTEASSSATTVPVTKLDSNSTKLGRDEVLTDPSLASPVEHPGLKG VGKSELGSGLPLPSMSTSEVLRPEPKLPVNSGVEVEREDNEPPPLQVSPTSKPTVPNDKH EEITRSPDSENVVSDDLAPTLLALRHEMNRQAEETSSPVPGSFLSGEQELIKLPPEPEKH KQLSEVPTAGSELIDSRDRDRSLGIEPVKPIGTEPGPSILEKGPAELQRRGKEQEENRKL PVPASAPLETASFDLPIEQKEPKRTLHEGQAVEVPDESSSSADKPELGVKQLAEKKENLE QPKPFVTTERASVTGSKVKESLISPKDNTWMLEKPDGLVNQHEDRKPGTGQLESSESTDL MSEKLGAAPLDTDHTSETRNQETSKAPVSGEKLSQEPRRVQSKAVDDSEEGRKLASGNVE VLTQSKSVPAVKAKATPQPPETPEVTQKPSEKSLVTEQGLPAEKGKKGISSFKSWMSSLL FGSSIPDSKVSDNEDLETRPGPSVEKAVPAIEPKGTVPAEVNIAEKPAVHSLPEVTVKLA EEPKGVSVKSSISQDLKEKLTFLSNEDVLKQPKSNSENYGQKELPGFSEGMGESLATSVG DKHPGIHPCSPMGEKVGMEEAQNMAPLHITESQRRQKPEVSSPSMWNISARKEEPSSDHK ETWLSSSDVVDRMPQKPKSAQSAFTRMNSEEPASMILPVESKGSLSDLGEDRLRQEMPKP TSLEHCEEEVERPTEEKDGWETRSFSLAGKRGLAEKQEIMAPLELRENEAVGELQRMPES RPFKLEESKAAERLEQRISPTEKLMEKPSKTLALDRREKEVQEWVFSEGEKQEYPPAAMP VPGASAVSLDKAQPHLLAKPTPVVEKPEHIVTEVYPEIRERKAAETQPHPQEEGKTLVEK TKVSRVESPHGEETDGHSLTQEGNLELEKSGESRVDLKEERRRFVMPELSLGASVAAEDG SVQPRPLSKDAARVSDMTDETKHLGTPPTQPSAVEPQTLVLGTSVEHTVKKQETWSDRPT VHTFQTSKDYTEEMLKQSVLISKHHLEAVEDVHRNEPPSSAASNYTQFMLSASEISADGV PPMGGTAQEPEGTSVKDEEFSVTSKPAGLSEDQKSAFSIISEGCEILNIHAPAFIPSVDQ EESEQMQDKLQYLEEKASFKSISVHDEKKAAASHKTQKSKLEVPDRKITSLKENETKETH KTKEEIATDSGMGDFTPIQPTVSGEEDYFEKYTLIDYNLSPGSGKQKSTVEESSEEATKT LTSFPESSAEQALDHEYNLVKLDESFYGPEKDDSKLSHAEMQKSLAIQKPDDRNAPKGIS RDVDSRSPGMPLFDVEEGVLSKRQIFPTTPKAVNPELLEEPPALSFFYKDLYEGAGGEKN EGETASEGDSVDSETSFPRRHSDTDDGPGMYFEKYILKDDILHDETVTQEDQGQGLEEKP VGEEDSQQLRVAEREIRRKPETSFWEKNLEEQHKVVGREGEPTGHMETLDEAAMQQKAPI TEQVRAVTQKMSYAVPFQDTRCVLESEPSSQGNEAGNASPDVNLNVPVQESFPEEESAAG ATYAPEVLQERLVPSVSREERLHNTPVQDEYDFVGSLNQEAASQAILPEEPGSESSPKEV LSQGSESFEHIREQELTSEGEPRMSASQEVWDRTEDQSARESVTAKTQKEPKKTQAESYC YTCKSLVSEMDKALDIHKDHEVSALDTAISAVKVQLGEFLENLQEKSLRIEAFVSEIESF FNTIEEKCSKNEKRLEMQNEEMMKRVLAQYDEKAQSFEEVKKKKMEFLHDQMVHFLQSMD TAKDTLETIVREAEELDETVFLASFEEINERLLSAMESTASLENMPAAFSLFEHYDDSSA RSDQMLKQVAVPQPPRLEPQEPSSATSTTIAVYWSVNKEDVVDSFQVYCVEEPQDDQEIN ELVEEYRLTVKESCCIFEDLEPDRCYQVWVMAVNFTGCSLPSERAIFRTAPSTAVIHVED CTVCWNTATVRWRPANPEATETYTLEYCRQHSPEGEGLRSFSGIKGLQLKVNLPPNDNYF FYVRATNASGTSEQSEAALISTRGTRFLLLRETAHPALQISANGTVISFSERRRLTEIPS VLGEELPACGQHYWETTVADSPAYRLGICTSSAVRAGALGQGETSWYMHCSEPQRYTFFY SGIVSEVHATERPARVGILLDYTNQRLLFINAESGQLLFIVRHRFNEGVHPAFALEKPGR CTLHLGLEPPDSVRHK >ENSMUSP00000049387.3 pep:known chromosome:GRCm38:10:111298679:111301736:1 gene:ENSMUSG00000035759.3 transcript:ENSMUST00000040454.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs10 description:Bardet-Biedl syndrome 10 (human) [Source:MGI Symbol;Acc:MGI:1919019] MASQGSVTAALRVAEVLESIANRCVGPEGGQVLCTKPTGEVLLSRDGGCLLEALHLEHPL ARMIVACVSSHLKKTGDGAKTFIIFLCHLLRGLHAIGEKGKDSFTSENIQSHERHWKNCC QWKSISQALQTFQTQTLGCIVDRSLSRHYLSVFSSSTEGRKLCRHSLELLLEAYFCGRVG RNNHRFISQLMCDYVFKCMACESGVEVFELLDHCFAELNVGVTGLPVSDSRIIDGLVLPR DFSMYCPADGDIRMVIVTEILQPQFSSAGSEFVLNSETQFQASQCWITDRTKTVMNHLRG QNVKLLLTSVKQPDLVIYCARLNSISVVECLSAEEVSLVQRITGLSPCVLPEVASQCEIS DSTLVKFCKPLILRSKRYVHLGLISTCAFIPHSMVLCGPVLGLVEQHERAFHGAFKMLRQ LFTDLDLNYIIQTKQQCNPSPLAYDNSRERNHSPETDKYQDIVAKSKNKLETQTHLEVYS GLGASDTELRAGKPWSAHKKTPIAPSQTDEMLKCLPPERSGIIDNCDLSIENHSTGNPTA EDTGTEISFEHLQVSDNAGKGYTLPVMRKSLDTCTCQGYCSSTVPAGCVLPVGGSFEILM SYYLLSYAKQCRQSDETVISMLIADALLGIPKILYKPKKGKDSFPHIYMRSLHALQASQP MVSGQSGFESVAGKYQLLTSVLQCLMKILTIDLIINIKRQPQKTADQESEDEF >ENSMUSP00000021667.5 pep:known chromosome:GRCm38:12:84773270:84775089:1 gene:ENSMUSG00000021241.6 transcript:ENSMUST00000021667.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isca2 description:iron-sulfur cluster assembly 2 [Source:MGI Symbol;Acc:MGI:1921566] MAASRALSLTAEAVRAVIPRRSGRLLAVFPRLLTRWETTSSIPEAGEGQIRLTDSCVQRL LEITEGSEFLRLQVEGGGCSGFQYKFSLDTVINPDDRVFEQGGARVVVDSDSLAFVKGAQ VDFSQELIRSSFQVLNNPQAQQGCSCGSSFSVKV >ENSMUSP00000038781.5 pep:known chromosome:GRCm38:13:94788943:94842899:1 gene:ENSMUSG00000042043.5 transcript:ENSMUST00000046644.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbca description:tubulin cofactor A [Source:MGI Symbol;Acc:MGI:107549] MADPRVRQIKIKTGVVRRLVKERVMYEKEAKQQEEKIEKMKAEDGENYAIKKQAEILQES RMMIPDCQRRLEAAYTDLQQILESEKDLEEAEEYKEARVVLDSVKLEA >ENSMUSP00000137416.1 pep:known chromosome:GRCm38:17:26098872:26099257:-1 gene:ENSMUSG00000049124.3 transcript:ENSMUST00000053575.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8186 description:predicted gene 8186 [Source:MGI Symbol;Acc:MGI:3643110] MSKAHPPELKKFMDKKLSLKLNGGRHVQGILRGFDPFMNLVIDECVEMATSGQQNNIGMV VIRGNSIIMLEALERV >ENSMUSP00000141987.1 pep:known chromosome:GRCm38:3:93286577:93287344:1 gene:ENSMUSG00000102724.1 transcript:ENSMUST00000179477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg description:filaggrin [Source:MGI Symbol;Acc:MGI:95553] GQHGSGYYYEQEHSEEESDSQHQHSHQHEQQRGHQHQHQHQHEHEQPESGHRQQQFSGRG HQGAHQEQGRDSARSRGSNQGHSSSRHQADSPRVSARSGSGGRGQSPDASGRSSNRRDRP RQPSPSQSSDSQVHSGVQVEGRRGQSSSANRRAGSSSGSGVQGASAGGLAADASRRSGAR QGQASAQGRAGSQGQAQGRVGSSADRQGRRGVSESQASDSEGHSDFSEGQAVGAHRQSGA GQRHEQRSSRGQHGSG >ENSMUSP00000053775.5 pep:known chromosome:GRCm38:13:110352615:110357172:-1 gene:ENSMUSG00000046006.5 transcript:ENSMUST00000058806.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gapt description:Grb2-binding adaptor, transmembrane [Source:MGI Symbol;Acc:MGI:3608341] MLECFESSPVAVAVGVSLLVLLLLCGIGCAWHWNRRESTPFTLPKFMQRRSSRQKDVTKT VSSSAYVISPSMKASVESKGHKSTAKRNKMHGNYENVEVCPPCTEGTTEKALYENTQPSN LEEHVYGNQTDPLYYNFQKPSPPPPQDDDIYILPDCD >ENSMUSP00000132276.1 pep:known chromosome:GRCm38:10:77781850:77782542:-1 gene:ENSMUSG00000096481.1 transcript:ENSMUST00000167358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3250 description:predicted gene 3250 [Source:MGI Symbol;Acc:MGI:3781428] MAASTMSVYSDALTNSSWQVDDCPESCCEPCCCAPSCCQTSCCQPSCCQTSCCAPAPCLT LVCTPVSCVSSPCCQSSCCTPSCCQQSSCQPACCTCSPCQPSCCVTLCCKPVCCTPICSG PCCQQSSCQSSCCQSPCCVPICCKPVCCTPICSGSSSCCQPSCCAPVCCKPCSSLSLLCH PVCRPACCVPTSSCCASSCQPSCCGPTSSVSLLCRPACCRQACCGQKSSC >ENSMUSP00000130827.1 pep:known chromosome:GRCm38:14:75455982:75526141:1 gene:ENSMUSG00000091722.1 transcript:ENSMUST00000164848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siah3 description:seven in absentia homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2685758] MLFFTQCFGAVLDLIHLRFQHYKAKRVFSAAGQLVCVVNPTHNLKYVSSRRGITQNSAEQ GSFHPHHLPHHHRHHCHHNHLYQHARPHHLHHQEPGLHNSQVTPCICPLFSCQWEGHLEV VVPHLRQIHRIDILQGAEIVFLATDMHLPAPADWIIMHSCLGHHFLLVLRKQERHEGHPQ FFATMMLIGTPTQADCFTYRLELNRNHRRLKWEATPRSVLECVDSVITDGDCLVLNTSLA QLFSDNGSLAIGIAITETDVGARDAAEM >ENSMUSP00000081641.2 pep:known chromosome:GRCm38:4:136462250:136463977:1 gene:ENSMUSG00000066178.2 transcript:ENSMUST00000084593.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6030445D17Rik description:RIKEN cDNA 6030445D17 gene [Source:MGI Symbol;Acc:MGI:2443447] MHTHTHTHTHTHTHTHPRVPGRGGPRVHKTRLPGRIPEKELCAASPIPRSSGSGNAEKAR NPACQAPGPSAPSFGFRKRAGGNALPVGGKSSSRCIAASLGAASCHRRRRTPLPQPHPCA SAAAAWPGSCRRHRAGVQAGKAWTQSSL >ENSMUSP00000021390.7 pep:known chromosome:GRCm38:12:98202304:98259459:-1 gene:ENSMUSG00000021003.9 transcript:ENSMUST00000021390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galc description:galactosylceramidase [Source:MGI Symbol;Acc:MGI:95636] MANSQPKASQQRQAKVMTAAAGSASRVAVPLLLCALLVPGGAYVLDDSDGLGREFDGIGA VSGGGATSRLLVNYPEPYRSEILDYLFKPNFGASLHILKVEIGGDGQTTDGTEPSHMHYE LDENYFRGYEWWLMKEAKKRNPDIILMGLPWSFPGWLGKGFSWPYVNLQLTAYYVVRWIL GAKHYHDLDIDYIGIWNERPFDANYIKELRKMLDYQGLQRVRIIASDNLWEPISSSLLLD QELWKVVDVIGAHYPGTYTVWNAKMSGKKLWSSEDFSTINSNVGAGCWSRILNQNYINGN MTSTIAWNLVASYYEELPYGRSGLMTAQEPWSGHYVVASPIWVSAHTTQFTQPGWYYLKT VGHLEKGGSYVALTDGLGNLTIIIETMSHQHSMCIRPYLPYYNVSHQLATFTLKGSLREI QELQVWYTKLGTPQQRLHFKQLDTLWLLDGSGSFTLELEEDEIFTLTTLTTGRKGSYPPP PSSKPFPTNYKDDFNVEYPLFSEAPNFADQTGVFEYYMNNEDREHRFTLRQVLNQRPITW AADASSTISVIGDHHWTNMTVQCDVYIETPRSGGVFIAGRVNKGGILIRSATGVFFWIFA NGSYRVTADLGGWITYASGHADVTAKRWYTLTLGIKGYFAFGMLNGTILWKNVRVKYPGH GWAAIGTHTFEFAQFDNFRVEAAR >ENSMUSP00000136944.1 pep:known chromosome:GRCm38:13:119690462:119708086:1 gene:ENSMUSG00000093930.1 transcript:ENSMUST00000179869.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgcs1 description:3-hydroxy-3-methylglutaryl-Coenzyme A synthase 1 [Source:MGI Symbol;Acc:MGI:107592] MPGSLPLNAEACWPKDVGIVALEIYFPSQYVDQAELEKYDGVDAGKYTIGLGQARMGFCT DREDINSLCLTVVQKLMERHSLSYDCIGRLEVGTETIIDKSKSVKSNLMQLFEESGNTDI EGIDTTNACYGGTAAVFNAVNWVESSSWDGRYALVVAGDIAIYATGNARPTGGVGAVALL IGPNAPLIFDRGLRGTHMQHAYDFYKPDMLSEYPVVDGKLSIQCYLSALDRCYSVYRKKI RAQWQKEGKDKDFTLNDFGFMIFHSPYCKLVQKSLARMFLNDFLNDQNRDKNSIYSGLEA FGDVKLEDTYFDRDVEKAFMKASSELFNQKTKASLLVSNQNGNMYTSSVYGSLASVLAQY SPQQLAGKRVGVFSYGSGLAATLYSLKVTQDATPGSALDKITASLCDLKSRLDSRTCVAP DVFAENMKLREDTHHLANYIPQCSIDSLFEGTWYLVRVDEKHRRTYARRPFTNDHSLDEG MGLVHSNTATEHIPSPAKKVPRLPATSAESESAVISNGEH >ENSMUSP00000019012.3 pep:known chromosome:GRCm38:15:84112621:84123175:-1 gene:ENSMUSG00000018868.3 transcript:ENSMUST00000019012.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla5 description:patatin-like phospholipase domain containing 5 [Source:MGI Symbol;Acc:MGI:1923022] MDFLEAEGGWNLSFSGSGYMGLYHVGVTQCLRQRAPRLIQGARRFYGSSSGALNAMAIVF GKSADFACSNLLDLVKLVERLSLGIFHPAYGPAEHIRKKLYENLPDNCHILASQRLGISM TRWPDGKNFIVTDFATRDEFIQALICTLYLPLYCGVIPPAFRGQRFIDGALSNNLPFSDC PTTITVSPFNGTVDICPQNISHSLFELTAFNASFQISTRNFFRGLKSVFPPKPEVVADHC RQGYLDALRFLERRGLTKEPVLWSLVSKEPPALVEGPRGTGHDQGQKTGPTVRWDIPNVL VKDVPNFELLSPELEAALRKACKRDFWTRVQCSVPGKVLAYLLLPCTLPFEYAYFRSRRL MEWLPEAPDDLDWMRSILKSTTLEVYSMAKSWLLRLGSPPGTRADSGLLRQQRGTAPSGN RPLNHRWFPGMD >ENSMUSP00000001384.4 pep:known chromosome:GRCm38:9:22099281:22109630:1 gene:ENSMUSG00000001349.4 transcript:ENSMUST00000001384.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnn1 description:calponin 1 [Source:MGI Symbol;Acc:MGI:104979] MSSAHFNRGPAYGLSAEVKNKLAQKYDHQREQELREWIEGVTGRRIGNNFMDGLKDGIIL CEFINKLQPGSVKKVNESTQNWHQLENIGNFIKAITKYGVKPHDIFEANDLFENTNHTQV QSTLLALASMAKTKGNKVNVGVKYAEKQERRFEPEKLREGRNIIGLQMGTNKFASQQGMT AYGTRRHLYDPKLGTDQPLDQATISLQMGTNKGASQAGMTAPGTKRQIFEPGLGMEHCDT LNVSLQMGSNKGASQRGMTVYGLPRQVYDPKYCLNPEYPELSEPTHNHHPHNYYNSA >ENSMUSP00000064201.1 pep:known chromosome:GRCm38:9:39750388:39751320:1 gene:ENSMUSG00000055820.1 transcript:ENSMUST00000069561.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr967 description:olfactory receptor 967 [Source:MGI Symbol;Acc:MGI:3030801] MIAGNYSMVTEFILAGLTSTPELQLPLFFLFLGIYAVTMVGNLGMITLILLSSHLHTPMY FFLSSLSFIDLCHSTVITPKMLVNFVTVKNIISYPECMTQLYFFLVFVISECHMLAAMAY DRYVAICNPLLYNAMMSYQVCTWMIFGVYSMGFIGATAHTVCMLRVHFCKVDVINHYFCD LFPLLELSCSPTFINEVVVLCFSAFNILFPTLSILSSYIFIIASILRIKSTEGRSKAFST CSSHISAVAVFFGSAAFMYLQPSSVSSMDQGKVSSVFYTIVVPMLNPLIYSLRNKDVKVA LTKFYEKSFS >ENSMUSP00000078700.1 pep:known chromosome:GRCm38:9:39873277:39874221:1 gene:ENSMUSG00000094449.1 transcript:ENSMUST00000079767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr972 description:olfactory receptor 972 [Source:MGI Symbol;Acc:MGI:3030806] MFQGNLSGVTEFNLAGLTDKPGLQVPLFLLFLGIYVVTVVGNLSMITLILFSSQLHTPMY YFLSSLSFIDLCQSNVIIPKMLVNFLAVKNIISYPECLTQLCFFATFGIAECQMLAVMAY DRYVAICKPLLYNAVMSFQVCSWMIFGVFSMALIGATTQTVCMLRVDFCNANVINHYFCD LSPLLKLSCSDTFINEVLALCFSVFNIFIPTLTILSSYIFIIASILQIKSTEGRSKAFST CSSHISAVAIFFGSLAFMYLQPSSVSSMDQGKVSSVFYTIVVPMLNPLIYSLRNKDVKVA LNKFLERIFSCKQN >ENSMUSP00000062677.3 pep:known chromosome:GRCm38:9:114490316:114496544:-1 gene:ENSMUSG00000047898.6 transcript:ENSMUST00000054414.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccr4 description:chemokine (C-C motif) receptor 4 [Source:MGI Symbol;Acc:MGI:107824] MNATEVTDTTQDETVYNSYYFYESMPKPCTKEGIKAFGEVFLPPLYSLVFLLGLFGNSVV VLVLFKYKRLKSMTDVYLLNLAISDLLFVLSLPFWGYYAADQWVFGLGLCKIVSWMYLVG FYSGIFFIMLMSIDRYLAIVHAVFSLKARTLTYGVITSLITWSVAVFASLPGLLFSTCYT EHNHTYCKTQYSVNSTTWKVLSSLEINVLGLLIPLGIMLFCYSMIIRTLQHCKNEKKNRA VRMIFAVVVLFLGFWTPYNVVLFLETLVELEVLQDCTLERYLDYAIQATETLAFIHCCLN PVIYFFLGEKFRKYITQLFRTCRGPLVLCKHCDFLQVYSADMSSSSYTQSTVDHDFRDAL >ENSMUSP00000024742.7 pep:known chromosome:GRCm38:17:45555716:45563169:1 gene:ENSMUSG00000023947.7 transcript:ENSMUST00000024742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbie description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, epsilon [Source:MGI Symbol;Acc:MGI:1194908] MSDARKGPDEADDSQCDSGIESLRSLRSLPEPTAAPGSGSSQSGCPQPWRHAPETHKEPE KEDADGERADSTYASSSLTESFPLLERPEAKDPSPPAPGSPLPPAGVLSPQQLEALTYIS EDGDTLLHLAVIHEAPSVLFCCLAFLPQEVLDIQNNLYQTALHLAVHLDQPDVVRALVLK GASRILQDQHGDTALHVACRRQNLACACCLLEEQPEPGRQLSHPLDLQLKNWQGLACLHI ATLQRNQPLIELLLQNGADIDVQEGTSGKTALHLAVETQERSLVQFLLQAGARVDARMLN GCTPLHLAAGRGLNSISSTLCEAGADSLLLNVEDETPQDLAEDLLSYLPFDDLKISGKPL LCTD >ENSMUSP00000130656.1 pep:known chromosome:GRCm38:12:84875769:84878132:1 gene:ENSMUSG00000092130.1 transcript:ENSMUST00000171853.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D030025P21Rik description:RIKEN cDNA D030025P21 gene [Source:MGI Symbol;Acc:MGI:3698049] MASPSLDRWLDSTQLLGSGWPPGLGLCEPPRVPLGRLVPLRGRQAGDRRVRLSEQTVHLG CSRCGVAAHGVPQPIRIPASWFVSPYGIPLGMTCPHQDSQDKWQEAPPTHPSDASGAASG GRRSHGARVAAELWAPETSRGGAFHRSLHRPAWRLAPSRLGDL >ENSMUSP00000136679.1 pep:known chromosome:GRCm38:Y:25308278:25309360:-1 gene:ENSMUSG00000094939.1 transcript:ENSMUST00000179355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21773 description:predicted gene, 21773 [Source:MGI Symbol;Acc:MGI:5433937] MSWRPSMSAQGVLETSISSQGLTETSLLPQEPPGHWLEKEALGYLPSNPEDLGLSDSSQD TLEIWACMQNSTEIPSVTQEVIESLSATQDSPKLPVPSPLSPETEEPLTYTQSISKSSKS VPGTPEALASVLGSLCFLKPNEGALGPLVSEQGILRTPAFPQESLELSQPAQGPLKPVTS HQETVDTSFSTEFQGLSLCAEGDIMPTPPHEDGWRNFSYLKKNPRRLKSNQRILKHVPIP ERDIRYCLSELDALKCISSAVSSLTSSKYAEKESSPTSTPQRSISPLKSSQLSFRSSILC KKGLSHSPPPGNCPTPSKLRKTRPSPSSVDQEGLKYVRSKHAGWKHCHSSKIWVRVCKFK K >ENSMUSP00000086207.6 pep:known chromosome:GRCm38:15:89130585:89140703:-1 gene:ENSMUSG00000022610.9 transcript:ENSMUST00000088827.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk12 description:mitogen-activated protein kinase 12 [Source:MGI Symbol;Acc:MGI:1353438] MSSPPPARKGFYRQEVTKTAWEVRAVYQDLQPVGSGAYGAVCSAVDSRTGNKVAIKKLYR PFQSELFAKRAYRELRLLKHMRHENVIGLLDVFTPDESLDDFTDFYLVMPFMGTDLGKLM KHETLSEDRIQFLVYQMLKGLKYIHAAGVIHRDLKPGNLAVNEDCELKILDFGLARQADS EMTGYVVTRWYRAPEVILNWMRYTQTVDIWSVGCIMAEMITGKILFKGNDHLDQLKEIMK ITGTPPPEFVQKLQSAEAKNYMEGLPELEKKDFASVLTNASPQAVNLLERMLVLDAEQRV TAAEALTHPYFESLRDTEDEPKAQKYDDSFDDVDRTLEEWKRVTYKEVLSFKPPRQLGAR VPKETAL >ENSMUSP00000130891.1 pep:known chromosome:GRCm38:10:33994989:33995054:-1 gene:ENSMUSG00000090666.1 transcript:ENSMUST00000164429.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17567 description:predicted gene, 17567 [Source:MGI Symbol;Acc:MGI:4937201] SFATCAKTYKNISRIKRETLV >ENSMUSP00000093306.1 pep:known chromosome:GRCm38:10:59616063:59616398:1 gene:ENSMUSG00000071280.1 transcript:ENSMUST00000095646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10322 description:predicted gene 10322 [Source:MGI Symbol;Acc:MGI:3704363] SRGALRGQFPAAWHCHSPDTPATAATPDAPTRPEEVRRGQLAPGSVREHWSKAVRNDGRR DRARRRVRERFKSRAFPALASPVLREHPCCRPSSSSPASRPPCLLRRRRRR >ENSMUSP00000091246.3 pep:known chromosome:GRCm38:9:119159161:119161669:1 gene:ENSMUSG00000074029.2 transcript:ENSMUST00000093527.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10608 description:predicted gene 10608 [Source:MGI Symbol;Acc:MGI:3642009] MAMSFLFLTRSYTHTHTHTHTHTHTHTHTQRERERERERERERERERERKSNTRVMVNHY HTVLQSTLLLISYLRMLASLAHAQEALPSDILGHVTYEEAGSENRQDQACAALCRQWHLF CASTHLFPSKTPWLPKFTLILVLEAIPGAAESKVLSYLLLVLIGQG >ENSMUSP00000077492.2 pep:known chromosome:GRCm38:14:110748578:110755149:-1 gene:ENSMUSG00000045871.4 transcript:ENSMUST00000078386.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slitrk6 description:SLIT and NTRK-like family, member 6 [Source:MGI Symbol;Acc:MGI:2443198] MKLWTYLLYPSLLACLSLQSQSPMPSVRGSCDTLCNCEEKDGIMIINCEEKGINKLSQIS VPPSRPFHLSLLNNGLTMLHTNDFSGLTNALSIHLGFNNIADIETGAFNGLGLLKQLHIN HNSLEILKEDTFHGLENLEFLQADNNFITIIEPSAFSKLNRLKVLILNDNAIESLPPNIF RFVPLTHLDLRGNQLQTLPYVGFLEHIGRILDLQLEDNKWACNCELLQLKNWLENMPPQS IIGDVICYSPPPFKGSVLSRLKKESFCPTPPVYEEHEDPSGSLLAITSSTSDSRLSSKNT SILKQPTKAPGLIPYLTKPSTQLPVPYCPIPCNCKVLSPSGLLIHCQERNIESLSDLQPP PHNPRKLILAGNIIHTLMKSDLTDYFTLEMLHLGNNRIEVLEEGSFMNLTRLQKLYLNGN HLTKLNKGMFLGLHSLEYLYLEYNAVKEILPGTFNPMPKLKVLYLNNNLLQVLPAHIFLG IPLTRVNLKTNQFTHLPVSNILDDLDFLIQIDLEDNPWDCSCDLVGLQQWIHKLGKGTMT DDILCTSPGHLDKKELKALNSDLLCPGLVNNPSMPTQTTYVIVTSPTVAADTASTLFSSL TDAVPLSVLILGLLIVFITIVFCAAGIVVFVLHRRRRYKKKKVEEQLRDNSPVHLQYSMY GHKTTHHTTERPSSSLYEQHMVSPMVHVYRSPSFGPKHLEEVEERNDKEGNDAKHLQRSL LERENHSPLTGSNMKYKTTDQSTDFISFQDASLLYRNILEKERELQQLGITEYLRKNLAQ LQPEVEVNYPGAHEELKLMETLMYSRPRKVLVEQTKNEYFELKANLHAEPDYLEVLEQQT >ENSMUSP00000063656.5 pep:known chromosome:GRCm38:9:58874679:59036441:-1 gene:ENSMUSG00000032340.7 transcript:ENSMUST00000068664.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Neo1 description:neogenin [Source:MGI Symbol;Acc:MGI:1097159] MAAEREAGRLLCTSSSRRCCPPPPLLLLLPLLLLLGRPASGAAATKSGSPPQSAGASVRT FTPFYFLVEPVDTLSVRGSSVILNCSAYSEPSPNIEWKKDGTFLNLESDDRRQLLPDGSL FISNVVHSKHNKPDEGFYQCVATVDNLGTIVSRTAKLTVAGLPRFTSQPEPSSVYVGNSA ILNCEVNADLVPFVRWEQNRQPLLLDDRIVKLPSGTLVISNATEGDGGLYRCIVESGGPP KFSDEAELKVLQDPEEIVDLVFLMRPSSMMKVTGQSAVLPCVVSGLPAPVVRWMKNEEVL DTESSGRLVLLAGGCLEISDVTEDDAGTYFCIADNGNKTVEAQAELTVQVPPGFLKQPAN IYAHESMDIVFECEVTGKPTPTVKWVKNGDVVIPSDYFKIVKEHNLQVLGLVKSDEGFYQ CIAENDVGNAQAGAQLIILEHDVAIPTLPPTSLTSATTDHLAPATTGPLPSAPRDVVASL VSTRFIKLTWRTPASDPHGDNLTYSVFYTKEGVARERVENTSQPGEMQVTIQNLMPATVY IFKVMAQNKHGSGESSAPLRVETQPEVQLPGPAPNIRAYATSPTSITVTWETPLSGNGEI QNYKLYYMEKGTDKEQDIDVSSHSYTINGLKKYTEYSFRVVAYNKHGPGVSTQDVAVRTL SDVPSAAPQNLSLEVRNSKSIVIHWQPPSSTTQNGQITGYKIRYRKASRKSDVTETLVTG TQLSQLIEGLDRGTEYNFRVAALTVNGTGPATDWLSAETFESDLDETRVPEVPSSLHVRP LVTSIVVSWTPPENQNIVVRGYAIGYGIGSPHAQTIKVDYKQRYYTIENLDPSSHYVITL KAFNNVGEGIPLYESAVTRPHTDTSEVDLFVINAPYTPVPDPTPMMPPVGVQASILSHDT IRITWADNSLPKHQKITDSRYYTVRWKTNIPANTKYKNANATTLSYLVTGLKPNTLYEFS VMVTKGRRSSTWSMTAHGATFELVPTSPPKDVTVVSKEGKPRTIIVNWQPPSEANGKITG YIIYYSTDVNAEIHDWVIEPVVGNRLTHQIQELTLDTPYYFKIQARNSKGMGPMSEAVQF RTPKADSSDKMPNDQALGSAGKGSRLPDLGSDYKPPMSGSNSPHGSPTSPLDSNMLLVII VSVGVITIVVVVVIAVFCTRRTTSHQKKKRAACKSVNGSHKYKGNCKDVKPPDLWIHHER LELKPIDKSPDPNPVMTDTPIPRNSQDITPVDNSMDSNIHQRRNSYRGHESEDSMSTLAG RRGMRPKMMMPFDSQPPQPVISAHPIHSLDNPHHHFHSSSLASPARSHLYHPSSPWPIGT SMSLSDRANSTESVRNTPSTDTMPASSSQTCCTDHQDPEGATSSSYLASSQEEDSGQSLP TAHVRPSHPLKSFAVPAIPPPGPPLYDPALPSTPLLSQQALNHHIHSVKTASIGTLGRSR PPMPVVVPSAPEVQETTRMLEDSESSYEPDELTKEMAHLEGLMKDLNAITTA >ENSMUSP00000131361.1 pep:known chromosome:GRCm38:13:49976258:49976488:-1 gene:ENSMUSG00000090497.1 transcript:ENSMUST00000167414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17611 description:predicted gene, 17611 [Source:MGI Symbol;Acc:MGI:4937245] LCRNGSKSKYIVIDRPWSQMRLWFVLVMVNGVKHFVFTWLVFRVTVWIRNTLFLSMVHAS FFLKKEVQYPLLARVQA >ENSMUSP00000106188.1 pep:known chromosome:GRCm38:13:13590409:13777440:1 gene:ENSMUSG00000019726.10 transcript:ENSMUST00000110559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyst description:lysosomal trafficking regulator [Source:MGI Symbol;Acc:MGI:107448] MSTDSNSLAREFLIDVNQLCNAVVQRAEAREEEEEETHMATLGQYLVHGRGFLLLTKLNS IIDQALTCREELLTLLLSLLPLVWKIPVQEQQATDFNLPLSSDIILTKEKNSSLQKSTQG KLYLEGSAPSGQVSAKVNLFRKIRRQRKSTHRYSVRDARKTQLSTSDSEGNSDEKSTVVS KHRRLHALPRFLTQSPKEGHLVAKPDPSATKEQVLSDTMSVENSREVILRQDSNGDILSE PAALSILSNMNNSPFDLCHVLLSLLEKVCKFDIALNHNSSLALSVVPTLTEFLAGFGDCC NQSDTLEGQLVSAGWTEEPVALVQRMLFRTVLHLMSVDVSTAEAMPESLRKNLTELLRAA LKIRACLEKQPEPFSPRQKKTLQEVQEGFVFSKYRHRALLLPELLEGVLQLLISCLQSAA SNPFYFSQAMDLVQEFIQHQGFNLFETAVLQMEWLLSRDGVPSEAAEHLKALINSVIKIM STVKKVKSEQLHHSMCTRKRHRRCEYSHFMQHHRDLSGLLVSAFKNQLSKSPFEETAEGD VQYPERCCCIAVCAHQCLRLLQQVSLSTTCVQILSGVHSVGICCCMDPKSVIVPLLHAFK LPALKAFQQHILNVLSKLLLDQLGGAELSPRIKKAACNICTVDSDQLAKLGETLQGTLCG AGPTSGLPSPSYRFQGILPSSGSEDLLWKWDALEAYQSFVFQEDRLHNIQIANHICNLIQ KGNVVVQWKLYNYIFNPVLQRGVELVHHCQQLSIPSAQTHMCSQLKQYLPQEVLQIYLKT LPVLLKSRVIRDLFLSCNGVNQIIELNYLDGIRSHSLKAFETLIVSLGEQQKDAAVLDVD GLDIQQELPSLSVGPSLHKQQASSDSPCSLRKFYASLREPDPKKRKTIHQDVHINTINLF LCVAFLCVSKEADSDRESANESEDTSGYDSTASEPLSHMLPCLSLENVVLPSPECLHHAA DIWSMCRWIYMLNSVFQKQFHRLGGFQVCHELIFMIIQKLFRSHTEDQGRRQGEMSRNEN QELIRISYPELTLKGDVSSATAPDLGFLRKSADSVRGFQSQPVLPTSAEQIVATESVPGE RKAFMSQQSETSLQSIRLLESLLAICLHSARACQQKMELELPSQGLSVENILCELREHLS QSKVAETELAKPLFDALLRVALGNHSADLGPGDAVTEKSHPSEEELLSQPGDFSEEAEDS QCCSLKLLGEEEGYEADSESNPEDVDTQDDGVELNPEAEGFSGSIVSNNLLENLTHGEII YPEICMLGLNLLSASKAKLDVLAHVFESFLKIVRQKEKNISLLIQQGTVKILLGGFLNIL TQTNSDFQACQRVLVDLLVSLMSSRTCSEDLTLLLRIFLEKSPCTEILLLGIHKIVESDF TMSPSQCLTFPFLHTPSLSNGVLSQKPPGILNSKALGLLRRARISRGKKEADRESFPYRL LSSWHIAPIHLPLLGQNCWPHLSEGFSVSLWFNVEYIHESESAAERGKRVKKRNKPSVLE DSSFEGAEGDRPEVTESINPGDRLIEDGCIHLISLGSKALMIQVWADPHSGTFIFRVCMD SNDDTKAVSLAQVESQENIFFPSKWQHLVLTYIQHPQGKKNVHGEISIWVSGQRKTDVIL DFVLPRKTSLSSDSNKTFCMIGHCLTSQEESLQLAGKWDLGNLLLFNGAKIGSQEAFFLY ACGPNYTSIMPCKYGQPVIDYSKYINKDILRCDEIRDLFMTKKEVDVGLLIESLSVVYTT CCPAQYTIYEPVIRLKGQVKTQPSQRPFSSKEAQSILLEPSQLKGLQPTECKAIQGILHE IGGAGTFVFLFARVVELSSCEETQALALRVILSLIKYSQQRTQELENCNGLSMIHQVLVK QKCIVGFHILKTLLEGCCGEEVIHVSEHGEFKLDVESHAIIQDVKLLQELLLDWKIWNKA EQGVWETLLAALEVLIRVEHHQQQFNIKQLLNAHVVHHFLLTCQVLQEHREGQLTSMPRE VCRSFVKIIAEVLGSPPDLELLTVIFNFLLAVHPPTNTYVCHNPTNFYFSLHIDGKIFQE KVQSLAYLRHSSSGGQAFPSPGFLVISPSAFTAAPPEGTSSSNIVPQRMAAQMVRSRSLP AFPTYLPLIRAQKLAASLGFSVDKLQNIADANPEKQNLLGRPYALKTSKEEAFISSCESA KTVCEMEALLGAHASANGVSRGSPRFPRARVDHKDVGTEPRSDDDSPGDESYPRRPDNLK GLASFQRSQSTVASLGLAFPSQNGSAVASRWPSLVDRNADDWENFTFSPAYEASYNRATS THSVIEDCLIPICCGLYELLSGVLLVLPDAMLEDVMDRIIQADILLVLVNHPSPAIQQGV IKLLHAYINRASKEQKDKFLKNRGFSLLANQLYLHRGTQELLECFVEMFFGRPIGLDEEF DLEEVKHMELFQKWSVIPVLGLIETSLYDNVLLHNALLLLLQVLNSCSKVADMLLDNGLL YVLCNTVAALNGLEKNIPVNEYKLLACDIQQLFIAVTIHACSSSGTQYFRVIEDLIVLLG YLHNSKNKRTQNMALALQLRVLQAALEFIRSTANHDSESPVHSPSAHRHSVPPKRRSIAG SRKFPLAQTESLLMKMRSVASDELHSMMQRRMSQEHPSQASEAELAQRLQRLTILAVNRI IYQELNSDIIDILRTPENTSQSKTSVSQTEISEEDMHHEQPSVYNPFQKEMLTYLLDGFK VCIGSSKTSVSKQQWTKILGSCKETLRDQLGRLLAHILSPTHTVQERKQILEIVHEPAHQ DILRDCLSPSPQHGAKLVLYLSELIHNHQDELSEEEMDTAELLMNALKLCGHKCIPPSAP SKPELIKIIREEQKKYESEESVSKGSWQKTVNNNQQSLFQRLDFKSKDISKIAADITQAV SLSQGIERKKVIQHIRGMYKVDLSASRHWQECIQQLTHDRAVWYDPIYYPTSWQLDPTEG PNRERRRLQRCYLTIPNKYLLRDRQKSEGVLRPPLSYLFEDKTHSSFSSTVKDKAASESI RVNRRCISVAPSRETAGELLLGKCGMYFVEDNASDAVESSSLQGELEPASFSWTYEEIKE VHRRWWQLRDNAVEIFLTNGRTLLLAFDNNKVRDDVYQSILTNNLPNLLEYGNITALTNL WYSGQITNFEYLTHLNKHAGRSFNDLMQYPVFPFILSDYVSETLDLNDPSIYRNLSKPIA VQYKEKEDRYVDTYKYLEEEYRKGAREDDPMPPVQPYHYGSHYSNSGTVLHFLVRMPPFT KMFLAYQDQSFDIPDRTFHSTNTTWRLSSFESMTDVKELIPEFFYLPEFLVNREGFDFGV RQNGERVNHVNLPPWARNDPRLFILIHRQALESDHVSQNICHWIDLVFGYKQKGKASVQA INVFHPATYFGMDVSAVEDPVQRRALETMIKTYGQTPRQLFHTAHASRPGAKLNIEGELP AAVGLLVQFAFRETREPVKEVTHPSPLSWIKGLKWGEYVGSPSAPVPVVCFSQPHGERFG SLQALPTRAICGLSRNFCLLMTYNKEQGVRSMNNTNIQWSAILSWGYADNILRLKSKQSE PPINFIQSSQQHQVTSCAWVPDSCQLFTGSKCGVITAYTNRLTSSTPSEIEMESQMHLYG HTEEITGLCVCKPYSVMISVSRDGTCIVWDLNRLCYVQSLAGHKSPVTAVSASETSGDIA TVCDSAGGGSDLRLWTVNGDLVGHVHCREIICSVAFSNQPEGVSINVIAGGLENGIVRLW STWDLKPVREITFPKSNKPIISLTFSCDGHHLYTANSEGTVIAWCRKDQQRVKLPMFYSF LSSYAAG >ENSMUSP00000039486.8 pep:known chromosome:GRCm38:10:79758515:79766952:-1 gene:ENSMUSG00000035890.8 transcript:ENSMUST00000047203.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf126 description:ring finger protein 126 [Source:MGI Symbol;Acc:MGI:1917544] MAEASPQPGRYFCHCCSVEIVPRLPDYICPRCESGFIEELPEETRNTENGSAPSTAPTDQ NRQPFENVDQHLFTLPQGYSQFAFGIFDDSFEIPTFPPGAQADDGRDPESRREREHQSRH RYGARQPRARLTARRATGRHEGVPTLEGIIQQLVNGIISPAAVPSLGLGPWGVLHSNPMD YAWGANGLDTIITQLLNQFENTGPPPADKEKIQALPTVPVTEEHVGSGLECPVCKEDYAL GESVRQLPCNHLFHDSCIVPWLEQHDSCPVCRKSLTGQNTATNPPGLTGVGFSSSSSSSS SSSPSNENATSNS >ENSMUSP00000010736.7 pep:known chromosome:GRCm38:17:50279394:50293599:-1 gene:ENSMUSG00000010592.8 transcript:ENSMUST00000010736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dazl description:deleted in azoospermia-like [Source:MGI Symbol;Acc:MGI:1342328] MSATTSEAPNSAVSREASTQSSSATTSQGYVLPEGKIMPNTVFVGGIDVRMDETEIRSFF ARYGSVKEVKIITDRTGVSKGYGFVSFYNDVDVQKIVESQINFHGKKLKLGPAIRKQNLC TYHVQPRPLIFNPPPPPQFQSVWSSPNAETYMQPPTMMNPITQYVQAYPPYPSSPVQVIT GYQLPVYNYQMPPQWPAGEQRSYVIPPAYTTVNYHCSEVDPGADILPNECSVHDAAPASG NGPQKKSVDRSIQTVVSCLFNPENRLRNSLVTQDDYFKDKRVHHFRRSRAVLKSDHLC >ENSMUSP00000089726.1 pep:known chromosome:GRCm38:19:61183890:61183955:1 gene:ENSMUSG00000069475.1 transcript:ENSMUST00000092094.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6020 description:predicted gene 6020 [Source:MGI Symbol;Acc:MGI:3643428] EKPYKCDQCGKAFPYSSTLQVH >ENSMUSP00000101194.3 pep:known chromosome:GRCm38:4:156229044:156234857:-1 gene:ENSMUSG00000078484.3 transcript:ENSMUST00000105569.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl17 description:kelch-like 17 [Source:MGI Symbol;Acc:MGI:2678948] MQPRGERPAGRTQSPEHSSPGPGPEAPPPPQPPAPEAERARPRQARPAAPMEGAMQLLSR EGHSVAHNSKRHYHDAFVAMSRMRQRGLLCDIVLHVAAKEIRAHKVVLASCSPYFHAMFT NEMSESRQTHVTLHDIDPQALDQLVQFAYTAEIVVGEGNVQTLLPAASLLQLNGVRDACC KFLLSQLDPSNCLGIRGFADTHSCSDLLKAAHRYVLQHFVDVAKTEEFMLLPLKQVLELV SSDSLNVPSEEDVYRAVLSWVKHDVDTRRQHVPRLMKCVRLPLLSRDFLLGHVDAESLVR HHPDCKDLLIEALKFHLLPEQRGVLGTSRTRPRRCEGAGPVLFAVGGGSLFAIHGDCEAY DTRTDRWHVVASMSTRRARVGVAAVGNRLYAVGGYDGTSDLATVESYDPVTNTWQPEVSM GTRRSCLGVAALHGLLYAAGGYDGASCLNSAERYDPLTGTWTSIAAMSTRRRYVRVATLD GNLYAVGGYDSSSHLATVEKYEPQVNSWTPVASMLSRRSSAGVAVLEGALYVAGGNDGTS CLNSVERYSTKAGAWESVAPMNIRRSTHDLVAMDGWLYAVGGNDGSSSLNSIEKYNPRTN KWVAASCMFTRRSSVGVAVLELLNFPPPSSPTLSVSSTSL >ENSMUSP00000127029.1 pep:known chromosome:GRCm38:4:88755195:88755590:-1 gene:ENSMUSG00000085473.2 transcript:ENSMUST00000141816.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16686 description:predicted gene, 16686 [Source:MGI Symbol;Acc:MGI:4439610] MYSRMLRGTSREDIKDSMFSADAHPFLLGLNPNLCVCFEWSEGAWCHGSREIPARAISSS WASSRRQWERWKVHLQLDSDDLPGASAVCLCLQIAEEHSEVGADGQGKRQWTGLALLSWP LQHLQLTPQAR >ENSMUSP00000033123.6 pep:known chromosome:GRCm38:7:46104523:46180033:-1 gene:ENSMUSG00000040136.9 transcript:ENSMUST00000033123.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc8 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 8 [Source:MGI Symbol;Acc:MGI:1352629] MPLAFCGTENHSAAYRVDQGVLNNGCFVDALNVVPHVFLLFITFPILFIGWGSQSSKVHI HHSTWLHFPGHNLRWILTFMLLFVLVCEIAEGILSDGVTESRHLHLYMPAGMAFMAAITS VVYYHNIETSNFPKLLIALLIYWTLAFITKTIKFVKFYDHAIGFSQLRFCLTGLLVILYG MLLLVEINVIRVRRYIFFKTPREVKPPEDLQDLGVRFLQPFVNLLSKGTYWWMNAFIKTA HRKPIDLRAIGKLPIAMRALTNYQRLCAAFDAQARKDTQSQQGARAIWRALCHAFGRRLV LSSTFRILADLLGFAGPLCIFGIVDHLGKENHVFQPKTQFLGVYFVSSQEFLGNAYVLAV LLFLALLLQRTFLQASYYVAIETGINLRGAIQTKIYNKIMHLSTSNLSMGEMTAGQICNL VAIDTNQLMWFFFLCPNLWAMPVQIIVGVILLYYILGVSALIGAAVIILLAPVQYFVATK LSQAQRSTLEYSNERLKQTNEMLRGIKLLKLYAWENIFCSRVEMTRRKEMTSLRAFAVYT SISIFMNTAIPIAAVLITFVGHVSFFKESDFSPSVAFASLSLFHILVTPLFLLSSVVRST VKALVSVQKLSEFLSSAEIREEQCAPREPAPQGQAGKYQAVPLKVVNRKRPAREEVRDLL GPLQRLTPSMDGDADNFCVQIIGGFFTWTPDGIPTLSNITIRIPRGQLTMIVGQVGCGKS SLLLATLGEMQRVSGAVFWNSSLPDSEGEDPRYCLRTSNPERETAADSDARSRGPVAYAS QKPWLLNATVEENITFESPFNKQRYKMVIEACSLQPDIDILPHGDQTQIGERGINLSGGQ RQRISVARALYQHTNVVFLDDPFSALDVHLSDHLMQAGILELLRDDKRTVVLVTHKLQYL PHADWIIAMKDGTIQREGTLKDFQRSECQLFEHWKTLMNRQDQELEKETVMERKAPEPSQ GLPRAMSSRDGLLLDEDEEEEEAAESEEDDNLSSVLHQRAKIPWRACTKYLSSAGVLLLS LLVFSQLLKHMVLVAIDYWLAKWTDSALVLSPAARNCSLSQECALDQSVYAMVFTVLCSL GIALCLVTSVTVEWTGLKVAKRLHRSLLNRIILAPMRFFETTPLGSILNRFSSDCNTIDQ HIPSTLECLSRSTLLCVSALTVISYVTPVFLVALLPLAVVCYFIQKYFRVASRDLQQLDD TTQLPLLSHFAETVEGLTTIRAFRYEARFQQKLLEYTDSNNIASLFLTAANRWLEVRMEY IGACVVLIAAATSISNSLHRELSAGLVGLGLTYALMVSNYLNWMVRNLADMEIQLGAVKR IHTLLKTEAESYEGLLAPSLIPKNWPDQGKIQIQNLSVRYDSSLKPVLKHVNALIAPGQK IGICGRTGSGKSSFSLAFFRMVDMFEGRIIIDGIDIAKLPLHTLRSRLSIILQDPVLFSG TIRFNLDPEKKCSDSTLWEALEIAQLKLVVKALPGGLDAIITEGGENFSQGQRQLFCLAR AFVRKTSIFIMDEATASIDMATENILQKVVMTAFADRTVVTIAHRVHTILSADLVMVLKR GAILEFDKPEKLLSQKDSVFASFVRADK >ENSMUSP00000051244.4 pep:known chromosome:GRCm38:10:61475245:61476937:-1 gene:ENSMUSG00000043126.4 transcript:ENSMUST00000051330.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D830039M14Rik description:RIKEN cDNA D830039M14 gene [Source:MGI Symbol;Acc:MGI:2445111] MTSGHRPSHDSVLPGVLGEGKGHGQAQCFPFCPCHARPLATVIQRGAKPVGRWRQHLRRQ CVLEPSAQHSRSRGDRRHPVQVLSPRGGAKGAGSSARTAAQPIVTPGYDPGRGGASAPPA RTNQRATPPARSGTKGGTALCGVGKASALGAGPCIFLCRMLLQAGFYFVFNSTDEPGSAD RGTLENAKKR >ENSMUSP00000059654.5 pep:known chromosome:GRCm38:19:25672420:25679010:1 gene:ENSMUSG00000048138.9 transcript:ENSMUST00000053068.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrt2 description:doublesex and mab-3 related transcription factor 2 [Source:MGI Symbol;Acc:MGI:1330307] MTEGQAVPGVGDWEIDVESLDLEEDSCGTPLRATPPQEPSPAAADGEEDEDEEEEDEDVE DEGDGEEPGVSSEVPGRPEQPGGLAPRPPPAAQALPAAAAAPERGATAGGGAEPRKLSRT PKCARCRNHGVVSCLKGHKRFCRWRDCQCANCLLVVERQRVMAAQVALRRQQATEDKKGL SGKQNNFDRKAVYQRQVRAPSLLAKSILEGYRPMTAETYLGGTLPLPPPVSDRMRKRRAF ADKELENIMLEREYKEREMLETSQAAALFLPNRMVPGPEYSSYKGTYSPTAGELPSKDFC NFLPTCLDLTMQYSGSGNMELISSNVSVATTYRQYPLSSRFLVWPKCGPISDTLLYQQYL LNATTSVQALKPGTGWDLKGTRVQDGLSAEQDMMPPKLEGSLVLPHLPEVPASRTDLQVH QVVPERSAFSPPGRNFSPIVDMDCLAAQGHVLTKLSKENTRPSLPLKTNPFHSVFQQTLS DKSGPELNAPFVKEAFEETPKKHRECLVKESQKYTFTIDRCAKDLFVAKQVGTKLSANEP LSFSVESILKRPSSAVTHVSQ >ENSMUSP00000050054.6 pep:known chromosome:GRCm38:10:85097019:85102495:-1 gene:ENSMUSG00000050035.6 transcript:ENSMUST00000059383.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl4 description:four and a half LIM domains 4 [Source:MGI Symbol;Acc:MGI:1338765] MSEFKCHHCEESLQGKKYVQKDGANYCVTCFDSHCANICQECHKPIGADSKEVCYKEQFW HNTCFKCSKCSQLLATETFVAWDKNILCNKCATRVTFPKCKGCLKDIEEGDHNVEYKGSI WHKNCFVCTNCKDIIGTKNFFPKDEGFYCVTCYDALFTKHCMKCKKPITSGGVSYQDQPW HSECFVCVSCSKELSGQRFTAMDDQYFCVDCYKNYIAKKCAGCKNPITGFGKGANVVAHE QNSWHDYCFNCKTCSVNLANKHFVFHDEQVYCPDCARNL >ENSMUSP00000067689.7 pep:known chromosome:GRCm38:7:141101219:141117806:-1 gene:ENSMUSG00000054662.7 transcript:ENSMUST00000067836.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ano9 description:anoctamin 9 [Source:MGI Symbol;Acc:MGI:1918595] MQDDESSQIFMGPEGDQLPLVEMGSCKPEASDQWDCVLVADLQTLKIQKHAQKQLQFLEN LESNGFHFKMLKDQKKVFFGIRADSDVIDKYRTLLMNPEDSGSRDEQSFNIATTRIRIVS FVVNNKLKPGDTFEDLVKDGVFETMFLLHKGEQNLKNIWARWRNMFEPQPIDEIREYFGE KVALYFTWLGWYTYMLVPAAVVGLIVFLSGFALFDSSQISKEICSANDIFMCPLGDHSHR YLRLSEMCTFAKLTHLFDNEGTVLFAIFMALWATVFLEIWKRKRAHEVQSWKLYEWDEEE EEMALELINSPHYKLKDHRHSYLSSTIILILSLFMICLMIGMAHVLVVYRVLAGALFSSL VKQQVTTAVVVTGAVVHYIIIVIMTKVNKYVALKLCKFEESGTFSEQERKFTVKFFILQF FAHFSSLIYIAFILGRINGHPGKSTRLAGLWKLEECHLSGCMMDLFIQMAIIMGLKQTLS NCVEYLCPLLAHKWRLMWASKHGHMSKDPELKEWQRNYYMNPINTFSLFDEFMEMMIQYG FTTIFVAAFPLAPLLALFSNLVEIRLDAIKMVRLQRRLVPRKAKDIGTWLQVLETIGVLA VIANGMVIAFTSEFIPRVVYKYHYGPCRTNRTFTDDCLTNYVNHSLSVFYTKHFNDHSRM EGQENVTVCRYRDYRNEHDYNLSEQFWFILAIRLTFVILFEHFALCIKLIAAWFVPDVPQ KVKNEVLQEKYDRIRHRMRFSSRSTDV >ENSMUSP00000063660.6 pep:known chromosome:GRCm38:19:38097079:38114263:1 gene:ENSMUSG00000054200.6 transcript:ENSMUST00000067098.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ffar4 description:free fatty acid receptor 4 [Source:MGI Symbol;Acc:MGI:2147577] MSPECAQTTGPGPSHTLDQVNRTHFPFFSDVKGDHRLVLSVVETTVLGLIFVVSLLGNVC ALVLVARRRRRGATASLVLNLFCADLLFTSAIPLVLVVRWTEAWLLGPVVCHLLFYVMTM SGSVTILTLAAVSLERMVCIVRLRRGLSGPGRRTQAALLAFIWGYSALAALPLCILFRVV PQRLPGGDQEIPICTLDWPNRIGEISWDVFFVTLNFLVPGLVIVISYSKILQITKASRKR LTLSLAYSESHQIRVSQQDYRLFRTLFLLMVSFFIMWSPIIITILLILIQNFRQDLVIWP SLFFWVVAFTFANSALNPILYNMSLFRNEWRKIFCCFFFPEKGAIFTDTSVRRNDLSVIS S >ENSMUSP00000078088.6 pep:known chromosome:GRCm38:18:15389394:15403684:-1 gene:ENSMUSG00000024411.9 transcript:ENSMUST00000079081.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp4 description:aquaporin 4 [Source:MGI Symbol;Acc:MGI:107387] MSDRAAARRWGKCGHSCSRESIMVAFKGVWTQAFWKAVSAEFLATLIFVLLGVGSTINWG GSENPLPVDMVLISLCFGLSIATMVQCFGHISGGHINPAVTVAMVCTRKISIAKSVFYII AQCLGAIIGAGILYLVTPPSVVGGLGVTTVHGNLTAGHGLLVELIITFQLVFTIFASCDS KRTDVTGSIALAIGFSVAIGHLFAINYTGASMNPARSFGPAVIMGNWANHWIYWVGPIMG AVLAGALYEYVFCPDVELKRRLKEAFSKAAQQTKGSYMEVEDNRSQVETEDLILKPGVVH VIDIDRGEEKKGKDSSGEVLSSV >ENSMUSP00000074516.5 pep:known chromosome:GRCm38:9:44499136:44510388:1 gene:ENSMUSG00000063382.5 transcript:ENSMUST00000074989.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl9l description:B cell CLL/lymphoma 9-like [Source:MGI Symbol;Acc:MGI:1933114] MRILANKTRLPHPRRREAPGSPPLSPRGHCPPAPAKPMHPENKLTNHGKTGNGGAQSQHQ NVNQGPTCNLGSKGVGAGSHGAKANQISPSNSSLKNPQAGVSPFSSLKGKVKRERSVSVD SGEQREAGTPSLDSEAKEVAPRSKRRCVLERKQPYSGDEWCSGPDSEEDDKPIAAAHNCN VADPAMVTPQLGPGQTAQLPLSESSAPGPQHGPQPGLRPDVPGGGGGGVPGKPPSQFVYV FTTHLANTAAEAVLQGRAESILAYHQQNVPRAKLDQAPKVPPTPEPLPLNTPSAGTPQSQ PPPLPPPPPAPGSAPPALPPEGPPEDTSQDLAPNSVGAASTGGGTGGTHPNTPTAATANN PLPPGGDPGSAPGSALLGEATPTGNGQRNLVGSEGLSKEQLEHRERSLQTLRDIERLLLR SGETEPFLKGPPGGAGEGGPPAQAPSAAQPPPSAPPGGLKKYEEPLQSMISQTQSLGGPP LEHEVPGHPQGGDMGQQMNMMMQRLGQDSLTPEQVAWRKLQEEYYEEKRRKEEQIGLHGG RPLQDMVGMGGMMGRGPPPPYHSKPGDQWPPGMGAQLRGPMDVQDPMQLRPGPPFPGPRF PGNQMQRVPGFGGMQSMPMEVPMNAMQRPVRPGMAWNEDLPPIGGPSNFAQNAVPYPGGQ GEAERFMTPRVREELLRHQLLEKRSMGMQRPLGMAGSGMGQSMEMERMIQAHRQMDPAMF PGQMTGGDGLAGTPMGIEFGGGRGLLSPPMGQSGLREVDPPMGPGNLNMNMNVNMNMNMN LNVQMTPQQQMLMSQKMRGPGDMMGPQGLSPEEMARVRAQNSSGMMGGPQKMLMPSQFPN QGQQGFSGGQGPYQAMPQDMGNTPDMFSPDQSSVPMGTVGTARLSHMPLPPASNPPGSVH LASNRGLGRRPSDLTISINQMGSPGMGHLKSPTLSQVHSPLVTSPSANLKSPQTPSQMVP LPSANPPGPLKSPQVLSSSLGVRSPTGSPSRLKSPSMAVPSPGWVASPKTAMPSPGVSQN KQPPLSINSSSTLGNVEQGALPPSAPRNSSSAPPANPSSGLMNPSLPFTSSPDPTPSQNP LSLMMSQMSKYAMPSSTPLYHNAIKTIATSDDELLPDRPLLPPPPPPQGSGPGISNNQPN QMHMNPAAAQSPMGMNLPGQQPLSHEPPPTMLPSPTPLGSNIPLHPNAQGTGGSSQNSMM MAPGGPDSLNAPCGPVPSSSQMMSFPPRLQQPHGAMAPTGAGGPGLQQHYPSGMALPPED LPTQPPGPIPPQQHLMGKGMTGRMGDAYPPGVLPGVASVLNDPELSEVIRPTPTGIPEFD LSRIIPSEKPSSTLQYFPKSENQPPKAQPPNLHLMNLQNMMAEQTPSRPPNLPGQQGVQR GLSMSMCHPGQMSLLGRTGVPPQQGMVPHGLHQGVMSPPQGLMTQQNFMLMKQRGVGGEV YTQPPHMLSPQGSLMGPPPQQNLMVSHPLRQRSVSLDSQMGYLPTPGSMANLPF >ENSMUSP00000082689.5 pep:known chromosome:GRCm38:12:24572287:24617387:1 gene:ENSMUSG00000020656.15 transcript:ENSMUST00000085553.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grhl1 description:grainyhead-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2182540] MTQEYDNKRPVLVLQNEALYPQRRSYTSEDEAWKSFLENPLTAATKAMMSINGDEDSAAA LGLLYDYYKVPRERRSSAVKPEGEHPEPEHSKRNSIPNVTEQPLISAGENRVQVLKNVPF NIVLPHSNQLGIDKRGHLTAPDTTVTVSIATMPTHSIKTEIQPHGFAVGIPPAVYHSEPT ERVVVFDRSLSTDQFSSGTQPPNAQRRTPDSTFSETFKEGVQEVFFPSELSLRMPGMNSE DYVFDNVSGNNFEYTLEASKSLRQKQGDSTMTYLNKGQFYPVTLKEGSSNEGIHHPISKV RSVIMVVFAEDKSREDQLRHWKYWHSRQHTAKQRCIDIADYKESFNTISNIEEIAYNAIS FTWDINDEAKVFISVNCLSTDFSSQKGVKGLPLNIQIDTYSYNNRSNKPVHRAYCQIKVF CDKGAERKIRDEERKQSKRKVSDVKVQLLPSHKRTDITVFKPFLDLDTQPVLFIPDVHFT NLQRGSHVLSLPSEELEGEGSVLKRGPFGTEDDFGVPPPAKLTRTEEPKRVLLYVRKESE EVFDALMLKTPSLKGLMEAISDKYDVPHDKIGKIFKKCKKGILVNMDDNIVKHYSNEDTF QLQIEEAGGSYKLTLTEI >ENSMUSP00000020985.8 pep:known chromosome:GRCm38:12:24580749:24617391:1 gene:ENSMUSG00000020656.15 transcript:ENSMUST00000020985.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grhl1 description:grainyhead-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2182540] MVLLDDELCDLNSIPNVTEQPLISAGENRVQVLKNVPFNIVLPHSNQLGIDKRGHLTAPD TTVTVSIATMPTHSIKTEIQPHGFAVGIPPAVYHSEPTERVVVFDRSLSTDQFSSGTQPP NAQRRTPDSTFSETFKEGVQEVFFPSELSLRMPGMNSEDYVFDNVSGNNFEYTLEASKSL RQKQGDSTMTYLNKGQFYPVTLKEGSSNEGIHHPISKVRSVIMVVFAEDKSREDQLRHWK YWHSRQHTAKQRCIDIADYKESFNTISNIEEIAYNAISFTWDINDEAKVFISVNCLSTDF SSQKGVKGLPLNIQIDTYSYNNRSNKPVHRAYCQIKVFCDKGAERKIRDEERKQSKRKVS DVKVQLLPSHKRTDITVFKPFLDLDTQPVLFIPDVHFTNLQRGSHVLSLPSEELEGEGSV LKRGPFGTEDDFGVPPPAKLTRTEEPKRVLLYVRKESEEVFDALMLKTPSLKGLMEAISD KYDVPHDKIGKIFKKCKKGILVNMDDNIVKHYSNEDTFQLQIEEAGGSYKLTLTEI >ENSMUSP00000038121.4 pep:known chromosome:GRCm38:16:10783808:10785536:-1 gene:ENSMUSG00000038037.4 transcript:ENSMUST00000038099.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Socs1 description:suppressor of cytokine signaling 1 [Source:MGI Symbol;Acc:MGI:1354910] MVARNQVAADNAISPAAEPRRRSEPSSSSSSSSPAAPVRPRPCPAVPAPAPGDTHFRTFR SHSDYRRITRTSALLDACGFYWGPLSVHGAHERLRAEPVGTFLVRDSRQRNCFFALSVKM ASGPTSIRVHFQAGRFHLDGSRETFDCLFELLEHYVAAPRRMLGAPLRQRRVRPLQELCR QRIVAAVGRENLARIPLNPVLRDYLSSFPFQI >ENSMUSP00000039335.4 pep:known chromosome:GRCm38:9:50603901:50605320:1 gene:ENSMUSG00000039016.4 transcript:ENSMUST00000044051.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm8b description:translocase of inner mitochondrial membrane 8B [Source:MGI Symbol;Acc:MGI:1353424] MAELGEADEAELQRLVAAEQQKAQFTAQVHHFMELCWDKCVEKPGSRLDSRTENCLSSCV DRFIDTTLAITGRFAQIVQKGGQ >ENSMUSP00000130916.1 pep:known chromosome:GRCm38:15:8968426:9002646:1 gene:ENSMUSG00000048424.15 transcript:ENSMUST00000166524.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp3l description:RAN binding protein 3-like [Source:MGI Symbol;Acc:MGI:2444654] MSTTQRKDDSHLFTSSCTRQLQVQEDRQQQEKYVIAQPIFVFEKGEHNFKRPAEDSLEET AEPEFTGFLRKRVRSSSVTLHTTDPQSQGESQSD >ENSMUSP00000055750.9 pep:known chromosome:GRCm38:15:8968426:9066551:1 gene:ENSMUSG00000048424.15 transcript:ENSMUST00000053308.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp3l description:RAN binding protein 3-like [Source:MGI Symbol;Acc:MGI:2444654] MSTTQRKDDSHLFTSSCTRQLQVQEDRQQQEKYVIAQPIFVFEKGEHNFKRPAEDSLEET AEPEFTGFLRKRVRSSSVTLHTTDPQSQGVATLSQTRLRSSSFTDVPTFPPCRPAQDSNF SSNKSLFKNRDKGALSQGPPMRSSEQVLRPAVLQPSQTQSCQKAGTTFGPGALKSYKTKE KAEHEISEVGSSSSLLSENLPNARSSIQLSTDPCISEAPSGCQPKEDKCSFTSCSSDFVF GENMVERVLGTQKLTQPPLQNLSYAKEKTFKSVLKFPNAVSNSDSIENISLVESAAAFSS KPSQKCLLEKIDVITGEETEHNVLKINCKIFVFNKATESWSERGQGILRLNDTAGRECGT LQSRLIMRNQGSLRLVLNSRLWAQMKIQRASQKNLRITATDLEDDGIKIFLIQASAKDTG FLYAAIHHRLVALRSLAKQGDGGPAESQSDTALPQLNGESCDEDEDEIAQVTKNGSDPSR WSHRQSIVCS >ENSMUSP00000034564.1 pep:known chromosome:GRCm38:9:50739691:50746521:-1 gene:ENSMUSG00000032062.1 transcript:ENSMUST00000034564.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310030G06Rik description:RIKEN cDNA 2310030G06 gene [Source:MGI Symbol;Acc:MGI:1914202] MSENQLGSLGDTTAMGNRLCCGGTWSCPSTFQKKSKTGSHPRPTLSILKQQQLWQNGTKD YETTAPTYEQVLYPPASQKKTSNSTSEESDLHYADIHVLRQIRPHSLHTVKCLHSESATE YATLRFPQATPQYDSNNGTLV >ENSMUSP00000136989.1 pep:known chromosome:GRCm38:Y:40775223:40775765:-1 gene:ENSMUSG00000096885.1 transcript:ENSMUST00000177579.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21797 description:predicted gene, 21797 [Source:MGI Symbol;Acc:MGI:5433961] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMQTTLSHEDSLRHHTFLMRFPDTLLLPRENRGKPLFPKEPCKLP LQIKNALSHLQMEAVPIEVCNTHHHLKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000136342.1 pep:known chromosome:GRCm38:Y:17935713:17937330:-1 gene:ENSMUSG00000096819.1 transcript:ENSMUST00000179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsy description:serine-rich, secreted, Y-linked [Source:MGI Symbol;Acc:MGI:3526567] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKAPSDQWDTKASN SEHEVDKPSSILTEEFMRTTLSHEDSLRHHTFLMRFPDTLLLPKENRGKPLFPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMSKRERQLPEVQNGSP >ENSMUSP00000034287.8 pep:known chromosome:GRCm38:8:119862305:119876988:1 gene:ENSMUSG00000031828.8 transcript:ENSMUST00000034287.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl36 description:kelch-like 36 [Source:MGI Symbol;Acc:MGI:2385305] MEASKQMRVSRPYKISESSKVYHWPDHSTAVLQRLNEQRLHGLFCDVVLVVEEQQVPAHR NLLAVCSDYFNSMFTLGMREAFQKEVELVGTSYVGLKAVVDFLYSSELELDGSNIDYILE TAHLLQIWTVVDFCCEYLEQEVSEDNYLYLQELASIYSLKRLDAFIDSFVLSHFSTLSFT PDFLQSISVQKLCVYLSSGQVQHKWEYDLLQVALQWLTQQPEREVHTRRVLENIRFPLFP EDILLQRVKLAMCSLLPSEANGEGFVEEAMHYHNSLVAQPVLQTKRTLLRSEECLLFVGG EVSERCLELSDDTCYLDTKNEQWVKETSLPARRSHHCVAVLGGFIFIAGGSFSRDNGGNA ASNLLYRYDPRRKQWIKVASMNQRRVDFYLASIEDMLVAVGGRNENGALSSVETYSPKTN SWTYVAGLPRFTYGHAGTIYKDFVYISGGHDYQIGPYRKNLLCYDHRTDVWEERRPMTTA RGWHSMCSLGDSIYSIGGSDDHMESMERFDVLGVEAYSPQCNQWTRVAPLLQANSESGVA VWQGRIYILGGYSWESTAFSRAVQVYDSEANRWSRGPDLPNAIAGVSACVCALNPRLEEK KKRNKDKCQDRGQ >ENSMUSP00000136168.1 pep:novel scaffold:GRCm38:JH584293.1:140956:142665:1 gene:ENSMUSG00000095552.1 transcript:ENSMUST00000179644.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CR974586.2 MPDPTLFRRSRENPSSCTLSSSSKVIEDRTGIVSHKTSSLYSAGQPVQTFWVSKRPVINL QQGRPWHQIHTCLPSHEGEHLRNFYPLPRAKANDSGDNLQSEYYSHLFCGLPSLHSESLD VTYLSSQGTCKKKDMSKPSTDTQPSGVLSGLFLPKTPCKSAYPSSPTSLNGKTPCEREGA QITVPFLSLAECEALERHLLKKQLSKLQWGLPTLLLQNQRRMLCEAPSEAKTVKTSLARK PFLHPTRDIFPEHTVRLLEFHLQKQLIHLRWGLPQRIQRSMNMLLSSTDPQSLPCGSSSL PNVSISQPGKPEANGPGDMFSLTAGKGTIPMPHLFAKTREMLKSHVDSKCEQIQEGKVPA QVWKSWECKIPGSLATVAPFPWIPQGQCRKLQAESKSDPDLLHKVVPWKPKTLSQETQTL SGTLFEHCKKPQSLPKETIKKLETTLHHKYLAFLSGLPALYCVALSRPASPAVTSQPRLR EKMPKAVKSPSNALTQITPLEPCTQDDSGVSADTAEEFQPGAEADRRTEKVPAESQPPPC RPYPINTHILAKLNFHLKKKDPSNAVWDF >ENSMUSP00000082978.3 pep:known chromosome:GRCm38:2:28506095:28506475:1 gene:ENSMUSG00000063611.4 transcript:ENSMUST00000074761.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10134 description:predicted gene 10134 [Source:MGI Symbol;Acc:MGI:3642322] GWISFFLSFFLSFFLFFFFFFFFGFLVFRDRVSLYNPGCPGTHSLDQAGLELRNPPDSAS RVLGLKACATTPGCKLDFKQSMWEEVWLMVIPGDRAKASCCHRLLIPVLGAWVRRGPVDR CATPRG >ENSMUSP00000050554.4 pep:known chromosome:GRCm38:10:97693059:97694926:1 gene:ENSMUSG00000047025.4 transcript:ENSMUST00000060703.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccer1 description:coiled-coil glutamate-rich protein 1 [Source:MGI Symbol;Acc:MGI:1913966] MTQTVNEREDPLNLGGGGWASSIPLRTWSSYHRRQRGAPVSKRRYRDGPKIEYEASRKQP KQQRSPGSWFQPSRGPYWALYSNWERCGGPWRPPLIAFQSPLCPAQMIRAYGLHPLCVCC CSCWSGPWNPGWERPPGRKKRWGRRGRGLRRHPRRSFPRNPPIDLSKMLRPVNLSGWRAP GMRAPRNTTQFIMNQVYEDMRQQEKLERQQAALRAQQAQEGGISPGDSTTNDAPHSGVEE DSQLPEDLYGFMQDPSLTFSPALMQHNQSPTPGLVEEEEKNVDDDECDVEVCDEKEESEE EEEEEVDRGSEDEDVDEEEVEAAGNGEEGEEDQEEEYMLEETGLEEGEQRAEEKFLPLGM PLSILVGDEEERENFMNYDYLSQEQIIPNVPEADLFMVPDISH >ENSMUSP00000075589.3 pep:known chromosome:GRCm38:13:21479097:21479441:-1 gene:ENSMUSG00000059459.3 transcript:ENSMUST00000076238.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10065 description:predicted gene 10065 [Source:MGI Symbol;Acc:MGI:3642433] RGAARAPRSAAPLAGWSGTAPAPAAPGSAPCCACLAAATVCRARGAGSAPAGVRLPLGSG KHGSAGGSGQAQDCPANWSPLPRGRPLPVPLSPLEAQCPLLQTERLGLRTFRVL >ENSMUSP00000073970.6 pep:known chromosome:GRCm38:10:23104763:23349887:-1 gene:ENSMUSG00000010461.14 transcript:ENSMUST00000074366.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya4 description:EYA transcriptional coactivator and phosphatase 4 [Source:MGI Symbol;Acc:MGI:1337104] MEDTQDLNEQSVKKTCPEADVSEPQNSRSMEMQDLASPHALVGGSDTPGSSKLDKSGLSS TSVTTNGTGVSLLAVKTEPLHSSESTTTTGDGALDTFTGSVITSSGYSPRSAQQYSPQLY PSKPYPHILSTPAAQTMSAYAGQTQYSGMQQPAVYTAYSQTGQPYSLPAYDLGVMLPAIK TESGLSQTQSPLQSGCLSYSPGFSTPQPGQTPYSYQMPGSSFAPSSTIYANNSVSNSTNF SSSQQDYPSYTAFGQNQYAQYYSASTYGAYMTSNNTADGTSSSTSTYQLQESLQGLTSQP GEFDTVQSPSTPIKDLDDRTCRSSGSKSRGRGRKNNPSPPPDSDLERVFVWDLDETIIVF HSLLTGSYAQKYGKDPPMAVTLGLRMEEMIFNLADTHLFFNDLEECDQVHIDDVSSDDNG QDLSTYSFATDGFHAAASSANLCLPTGVRGGVDWMRKLAFRYRRVKELYNTYKNNVGGLL GPAKRDAWLQLRAEIEGLTDSWLTNALKSLSIISTRSNCVNVLVTTTQLIPALAKVLLYS LGGAFPIENIYSATKIGKESCFERIVSRFGTNITYVVIGDGRDEEHAANQHNMPFWRISS HSDLLALHQALELEYL >ENSMUSP00000090335.4 pep:known chromosome:GRCm38:10:23104168:23349895:-1 gene:ENSMUSG00000010461.14 transcript:ENSMUST00000092665.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eya4 description:EYA transcriptional coactivator and phosphatase 4 [Source:MGI Symbol;Acc:MGI:1337104] MEDTQDLNEQSVKKTCPEADVSEPQNSRSMEMQDLASPHALVGGSDTPGSSKLDKSGLSS TSVTTNGTGVSLLAVKTEPLHSSESTTTTGDGALDTFTGSVITSSGYSPRSAQQYSPQLY PSKPYPHILSTPAAQTMSAYAGQTQYSGMQQPAVYTAYSQTGQPYSLPAYDLGVMLPAIK TESGLSQTQSPLQSGCLSYSPGFSTPQPGQTPYSYQMPGSSFAPSSTIYANNSVSNSTNF SSSQQDYPSYTAFGQNQYAQYYSASTYGAYMTSNNTADGTSSSTSTYQLQESLQGLTSQP GEFDTVQSPSTPIKDLDDRTCRSSGSKSRGRGRKNNPSPPPDSDLERVFVWDLDETIIVF HSLLTGSYAQKYGKDPPMAVTLGLRMEEMIFNLADTHLFFNDLEECDQVHIDDVSSDDNG QDLSTYSFATDGFHAAASSANLCLPTGVRGGVDWMRKLAFRYRRVKELYNTYKNNVGGLL GPAKRDAWLQLRAEIEGLTDSWLTNALKSLSIISTRSNCVNVLVTTTQLIPALAKVLLYS LGGAFPIENIYSATKIGKESCFERIMQRFGRKVVYVVIGDGVEEEQAAKKHNMPFWRISS HSDLLALHQALELEYL >ENSMUSP00000049243.7 pep:known chromosome:GRCm38:10:115817284:115849893:1 gene:ENSMUSG00000034127.14 transcript:ENSMUST00000035563.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan8 description:tetraspanin 8 [Source:MGI Symbol;Acc:MGI:2384918] MAGVSSCLKYSMFFFNFLFWVCGTLILGLAIWVRVSKDGKEIITSGDSSTNPFIAVNILI AVGSIIMVLGFLGCCGAVKESRCMLLLFFIGLLLILILQVAAGILGAAFKPEYNRILNET LYENAKLLSDNTDEAKDFQKAMIVFQSEFKCCGLENGAADWGNNFVEAKESCQCTGTDCA TYQGSSVYPKTCLSLIKDLFEKNIIIVIGIAFGLAVIEILGLVFSMVLYCQIGSK >ENSMUSP00000079463.3 pep:known chromosome:GRCm38:10:115817284:115849893:1 gene:ENSMUSG00000034127.14 transcript:ENSMUST00000080630.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan8 description:tetraspanin 8 [Source:MGI Symbol;Acc:MGI:2384918] MAGVSSCLKYSMFFFNFLFWVCGTLILGLAIWVRVSKDGKEIITSGDSSTNPFIAVNILI AVGSIIMVLGFLGCCGAVKESRCMLLLFFIGLLLILILQVAAGILGAAFKPEYNRILNET LYENAKLLSDNTDEAKDFQKAMIVFQSEFKCCGLENGAADWGNNFVEAKESCQCTGTDCA TYQGSSVYPKTCLSLIKDLFEKNIIIVIGIAFGLAVIEILGLVFSMVLYCQIGSK >ENSMUSP00000136645.1 pep:known chromosome:GRCm38:10:115817284:115849893:1 gene:ENSMUSG00000034127.14 transcript:ENSMUST00000179196.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan8 description:tetraspanin 8 [Source:MGI Symbol;Acc:MGI:2384918] MAGVSSCLKYSMFFFNFLFWVCGTLILGLAIWVRVSKDGKEIITSGDSSTNPFIAVNILI AVGSIIMVLGFLGCCGAVKESRCMLLLFFIGLLLILILQVAAGILGAAFKPEYNRILNET LYENAKLLSDNTDEAKDFQKAMIVFQSEFKCCGLENGAADWGNNFVEAKESCQCTGTDCA TYQGSSVYPKTCLSLIKDLFEKNIIIVIGIAFGLAVIEILGLVFSMVLYCQIGSK >ENSMUSP00000022378.7 pep:known chromosome:GRCm38:14:45283092:45318572:-1 gene:ENSMUSG00000021831.8 transcript:ENSMUST00000022378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ero1l description:ERO1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1354385] MGRAWGLLVGLLGVVWLLRLGHGEERRPETAAQRCFCQVSGYLDDCTCDVETIDKFNNYR LFPRLQKLLESDYFRYYKVNLKKPCPFWNDINQCGRRDCAVKPCHSDEVPDGIKSASYKY SEEANRIEECEQAERLGAVDESLSEETQKAVLQWTKHDDSSDSFCEIDDIQSPDAEYVDL LLNPERYTGYKGPDAWRIWSVIYEENCFKPQTIQRPLASGRGKSKENTFYNWLEGLCVEK RAFYRLISGLHASINVHLSARYLLQDTWLEKKWGHNVTEFQQRFDGILTEGEGPRRLRNL YFLYLIELRALSKVLPFFERPDFQLFTGNKVQDAENKALLLEILHEIKSFPLHFDENSFF AGDKNEAHKLKEDFRLHFRNISRIMDCVGCFKCRLWGKLQTQGLGTALKILFSEKLIANM PESGPSYEFQLTRQEIVSLFNAFGRISTSVRELENFRHLLQNVH >ENSMUSP00000054731.8 pep:known chromosome:GRCm38:10:128209908:128211794:-1 gene:ENSMUSG00000051346.8 transcript:ENSMUST00000061995.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spryd4 description:SPRY domain containing 4 [Source:MGI Symbol;Acc:MGI:1913951] MALPFARCWKLYRWGTKRWGVPAVESRRGISFKLEEKTAHSSLALFRGDTGVKYGLVGLE PTKVALNLERFREWAVVLGDTTVTSGRHYWEVTVKRSQQFRIGVADVDMSRDSCVGADDR SWVFSYAQRKWHSMLANEKAPIKGIGQPEKVGLLLDYEAKKLSLVDVSRISVIHTLQTDF RGPVAPAFALWDGELLTHSGLEVPKGL >ENSMUSP00000025842.6 pep:known chromosome:GRCm38:19:3409919:3414472:-1 gene:ENSMUSG00000024907.6 transcript:ENSMUST00000025842.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gal description:galanin [Source:MGI Symbol;Acc:MGI:95637] MARGSVILLGWLLLVVTLSATLGLGMPAKEKRGWTLNSAGYLLGPHAIDNHRSFSDKHGL TGKRELQLEVEERRPGSVDVPLPESNIVRTIMEFLSFLHLKEAGALDSLPGIPLATSSED LEKS >ENSMUSP00000056276.6 pep:known chromosome:GRCm38:19:3913493:3929761:-1 gene:ENSMUSG00000024885.8 transcript:ENSMUST00000051803.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldh3b1 description:aldehyde dehydrogenase 3 family, member B1 [Source:MGI Symbol;Acc:MGI:1914939] MDSFEDKLQQLREAFKEGRTRSAEFRAAQLQGLSHFLRDNKQQLQEALAQDLHKSAFEAE VSEIAISQAEVDLALRNLRSWMKDEKVSKNLATQLDSAFIRKEPFGLVLIIVPWNYPINL TLVPLVGAIAAGNCVVLKPSEISKATEKILAEVLPRYLDQSCFTVVLGGRQETGQLLEHK FDYIFFTGNAYVGKIVMAAAAKHLTPITLELGGKNPCYVDDNCDPQIVANRVAWFRYFNA GQTCVAPDYILCSQEMQERLVPALQNAITRFYGDNPQTSPNLGRIINQKHFKRLQGLLGC GRVAIGGQSDEGERYIAPTVLVDVQETEPVMQEEIFGPILPLVTVRSLDEAIEFMNRREK PLALYAFSKRSQVIKQVLARTSSGGFCGNDGFMHMTLSSLPFGGVGTSGMGRYHGKFSFD TFSNQRACLLRSPGMEKINDLRYPPYSSRNLRVLLVAMEERCCSCTLL >ENSMUSP00000026409.3 pep:known chromosome:GRCm38:10:128817457:128821631:-1 gene:ENSMUSG00000025353.4 transcript:ENSMUST00000026409.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ormdl2 description:ORM1-like 2 (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914094] MNVGVAHSEVNPNTRVMNSRGIWLAYIILVGLLHVVLLSIPFFSIPVVWTLTNVIHNLAM YIFLHTVKGTPFETPDQGKARLLTHWEQMDYGLQFTSSRKFLSISPIVLYLLASFYTKYD AAHFLINTASLLSVLLPKLPQFHGVRLFGINKY >ENSMUSP00000025773.3 pep:known chromosome:GRCm38:19:4231899:4233631:1 gene:ENSMUSG00000024854.3 transcript:ENSMUST00000025773.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pold4 description:polymerase (DNA-directed), delta 4 [Source:MGI Symbol;Acc:MGI:1916995] MGRKRFITDSYPVVKKREGPPGHSKGELAPELGEDTQSLSQEETELELLRQFDLAWQYGP CTGITRLQRWSRAEQMGLKPPLEVYQVLKAHPEDPHFQCSLWHLYPL >ENSMUSP00000097283.2 pep:known chromosome:GRCm38:2:93560568:93564361:1 gene:ENSMUSG00000075024.2 transcript:ENSMUST00000099692.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10803 description:predicted gene 10803 [Source:MGI Symbol;Acc:MGI:3641754] MPPHAKGQQACQRSWRGDPVCTDAYGYHWHLGLPRSSWANRVWVAAAVCPVHEFKMHLLR AYVFGPCAGCEGETTHFPAHGSWQLNSKSLLYYFILFHFTLCVWMSHLSVYLCTTFIPEP LRYQRRALDPLELRV >ENSMUSP00000126747.1 pep:known chromosome:GRCm38:6:115227343:115259294:1 gene:ENSMUSG00000092004.1 transcript:ENSMUST00000166681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17482 description:predicted gene, 17482 [Source:MGI Symbol;Acc:MGI:4937116] QLMSRSKVFPFLCSYL >ENSMUSP00000056724.4 pep:known chromosome:GRCm38:9:18944650:18945588:1 gene:ENSMUSG00000095957.1 transcript:ENSMUST00000060601.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr832 description:olfactory receptor 832 [Source:MGI Symbol;Acc:MGI:3030666] MKRGNVSESTEFHLMGLSDNQELQPVLFGVFLTIYLITLFGNLLIILATIFDSNLHTPRY FFISNLSFIDICFTTTTIPKMLVNIQAQVNSISYTGCLTQICFVLAFAGLENEILVMMAY DRFVAICHPLRYTVIMNPKLCGVMVLLSFLLSILDALLHTLMALRLSFCTKLEIPHFFCE LAHILKLACSNILINNILVYLVTSLFGILPLSGIIYSYTKIISSVLKIPSAAGKYKVFST CVSHLVVVILFYGTGFGVYLSSAGTHSSRMSAIASVMYTVVTPTMNPFIYSLRNKDMVNA FKKLISRITTSL >ENSMUSP00000072947.2 pep:known chromosome:GRCm38:9:38537882:38539829:1 gene:ENSMUSG00000057444.2 transcript:ENSMUST00000073214.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr910 description:olfactory receptor 910 [Source:MGI Symbol;Acc:MGI:3030744] MVVTNGSLVTEFILLGLTDNPDLQIPLFLVFLVMYMITAFGNLTLILLTVLNSHLHTPMY FFLFNLSFIDLCYSSVVTPKLLMNFVLKKNIIGFAGCMTQLYFFCFFVISECYVLTAMAY DRYVAICNPLMYNVTMSPKVCSYLMLGSYLMGFSDAMIHTGCILRLTFCDGNTINHYFCD LLPLMQLSCTSTYINEVEIFIVGGKDITVPSIVIIISYGFILSNILQIKSTGGRSKAFNT CSSHIIAVSLFFGSCAFMYLKPPSAGSLNEGKVSSVFYTIVVPMMNPLIYSLRNKDVKLA LRKTLSRRKF >ENSMUSP00000074913.1 pep:known chromosome:GRCm38:9:39839436:39840359:1 gene:ENSMUSG00000093934.1 transcript:ENSMUST00000075467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr971 description:olfactory receptor 971 [Source:MGI Symbol;Acc:MGI:3030805] MESGNLSMIIEFILTGFPTKPELQLPLFLLFLGIYLVTVLGNLGMIILIVLSSGLHTPMY FFLSSLSFIDLCHSTVITPKMLLNFLLEENIISYPECMTQLYFFSLFAIAECHMLAVMAY DRYVAICNPLLYKVVMSHHVCFWFTVGVYTLGILGSSVHTGLMLKLFFCKTNKINHYFCD LFPLLELSCSSIYINELLVLFLSALNILTPALTILMSYILIIVSILRIRSTEGRSKAFST CSSHISAVALFYGSAAFTYLQPSSVSSMNQGKVSSVFYTTVVPMLNPLIYSLRNKDVKSS IKKILNR >ENSMUSP00000065233.8 pep:known chromosome:GRCm38:9:44309237:44320282:-1 gene:ENSMUSG00000032120.10 transcript:ENSMUST00000065080.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C2cd2l description:C2 calcium-dependent domain containing 2-like [Source:MGI Symbol;Acc:MGI:1919014] MDPDWGQRDVGWAALLVLFAASLITVLGWMLQYARGLWLSRADGGRDSRPASAAEPGGSL RELGVWRSLLRLRATRTSTPEEAGVRGLLASLFAFKSFRENWQRAWVRALNEQACRDGSS IQIAFEEIPQLPPRASISHVTCVDQSERTMVLHCQLSAEEVRFPISVTQQSPAAVSMETY HVTLTLPPTQLEVSLEEIPDEGLLVSWAFTDRPELSLKVLPKLQTRERDEEQPELSTVEE LIKDAIVSTQPAMMVNLRACSAPGGLVPSEKPPTMSQAQPSIPRPTRLFLRQLRASHLGS ELGGTEELCCAAELDNPMQQKWTKPMRAGPEVEWTEDLALDLGPQSRELTLKVLRSSSCG DAELLGQATLPVGSPSRPMSRRQVCPLTPGPGKSLSPAATVTAELHYEQGSPRNLGTPTS STPRPSITPTKKIELDRTIMPDGTVVTTVTTVQSRPRVDGKLDSPSRSPSKVEVTEKMTT VLSESSGPSNASHSSSRESHLSNGLDPVAETAIRQLTEPSGRAAKKTPTKRSTLIISGVS KVPIAQDELALSLGYAASLEASMQDDAGTSGGPSSPPSDPSATSPGPVDALSSPTSVQEA DETTRSDISERPSVDDVESETGSTGALETRSLKDHKVSFLRSGTKLIFRRRPRQKEAGLS QSHDDLSNTTATPSVRKKAGSFSRRLIKRFSFKSKPKANGNPSPQL >ENSMUSP00000129001.1 pep:known chromosome:GRCm38:18:80606205:80713071:-1 gene:ENSMUSG00000033016.15 transcript:ENSMUST00000170905.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc1 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 1 [Source:MGI Symbol;Acc:MGI:102469] MPSTSFPVPSKFPLGPPAAVCGSGETLRPAPPSGGTMKAAEEEHYSYVSPSVTSTLPLPT AHSALPAACHDLQTSTPGISAVPSANHPPSYGGAVDSGPSGYFLSSGNTRPNGAPTLESP RIEITSYLGLHHGSGQFFHDVEVEDVLPSCKRSPSTATLHLPSLEAYRDPSCLSPASSLS SRSCNSEASSYESNYSYPYASPQTSPWQSPCVSPKTTDPEEGFPRSLGACHLLGSPRHSP STSPRASITEESWLGARGSRPTSPCNKRKYSLNGRQPSCSPHHSPTPSPHGSPRVSVTED TWLGNTTQYTSSAIVAAINALTTDSTLDLGDGVPIKSRKTALEHAPSVALKVEPAGEDLG TTPPTSDFPPEEYTFQHLRKGAFCEQYLSVPQASYQWAKPKSLSPTSYMSPSLPALDWQL PSHSGPYELRIEVQPKSHHRAHYETEGSRGAVKASAGGHPIVQLHGYLENEPLTLQLFIG TADDRLLRPHAFYQVHRITGKTVSTTSHEIILSNTKVLEIPLLPENNMRAIIDCAGILKL RNSDIELRKGETDIGRKNTRVRLVFRVHIPQPNGRTLSLQVASNPIECSQRSAQELPLVE KQSTDSYPVIGGKKMVLSGHNFLQDSKVIFVEKAPDGHHVWEMEAKTDRDLCKPNSLVVE IPPFRNQRITSPVQVSFYVCNGKRKRSQYQRFTYLPANVPIIKTEPTDDFEPALTCGPMS QGISPLPRPYYSQQLTMPPDPGSCLVAGFAPCSQRNTLMPTPPNASPKLHDLSSPAYTKG LTNPGHSGHLGLQPPASEAPTMQEVPRPMAIQPNSPEQPPSARLQPQVSPHLNSSCPLGR RQVLCPNSPSSPLPSAAQEPACLQSSALPPDMGHRQPQPQKVQRNESPAVLPEVCEDSGH NLAPIPVVIKQEPEELDQLYLDDVNEIIRNDLSSTIPHS >ENSMUSP00000077196.4 pep:known chromosome:GRCm38:18:80606205:80713071:-1 gene:ENSMUSG00000033016.15 transcript:ENSMUST00000078049.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc1 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 1 [Source:MGI Symbol;Acc:MGI:102469] MPSTSFPVPSKFPLGPPAAVCGSGETLRPAPPSGGTMKAAEEEHYSYVSPSVTSTLPLPT AHSALPAACHDLQTSTPGISAVPSANHPPSYGGAVDSGPSGYFLSSGNTRPNGAPTLESP RIEITSYLGLHHGSGQFFHDVEVEDVLPSCKRSPSTATLHLPSLEAYRDPSCLSPASSLS SRSCNSEASSYESNYSYPYASPQTSPWQSPCVSPKTTDPEEGFPRSLGACHLLGSPRHSP STSPRASITEESWLGARGSRPTSPCNKRKYSLNGRQPSCSPHHSPTPSPHGSPRVSVTED TWLGNTTQYTSSAIVAAINALTTDSTLDLGDGVPIKSRKTALEHAPSVALKVEPAGEDLG TTPPTSDFPPEEYTFQHLRKGAFCEQYLSVPQASYQWAKPKSLSPTSYMSPSLPALDWQL PSHSGPYELRIEVQPKSHHRAHYETEGSRGAVKASAGGHPIVQLHGYLENEPLTLQLFIG TADDRLLRPHAFYQVHRITGKTVSTTSHEIILSNTKVLEIPLLPENNMRAIIDCAGILKL RNSDIELRKGETDIGRKNTRVRLVFRVHIPQPNGRTLSLQVASNPIECSQRSAQELPLVE KQSTDSYPVIGGKKMVLSGHNFLQDSKVIFVEKAPDGHHVWEMEAKTDRDLCKPNSLVVE IPPFRNQRITSPVQVSFYVCNGKRKRSQYQRFTYLPANVPIIKTEPTDDFEPALTCGPMS QGISPLPRPYYSQQLTMPPDPGSCLVAGFAPCSQRNTLMPTPPNASPKLHDLSSPAYTKG LTNPGHSGHLGLQPPASEAPTMQEVPRPMAIQPNSPEQPPSARLQPQ >ENSMUSP00000126884.1 pep:known chromosome:GRCm38:18:80606205:80708173:-1 gene:ENSMUSG00000033016.15 transcript:ENSMUST00000167977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc1 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 1 [Source:MGI Symbol;Acc:MGI:102469] MTGLEQDPEFDFDFLFEFDQSGGGAAAAEHYSYVSPSVTSTLPLPTAHSALPAACHDLQT STPGISAVPSANHPPSYGGAVDSGPSGYFLSSGNTRPNGAPTLESPRIEITSYLGLHHGS GQFFHDVEVEDVLPSCKRSPSTATLHLPSLEAYRDPSCLSPASSLSSRSCNSEASSYESN YSYPYASPQTSPWQSPCVSPKTTDPEEGFPRSLGACHLLGSPRHSPSTSPRASITEESWL GARGSRPTSPCNKRKYSLNGRQPSCSPHHSPTPSPHGSPRVSVTEDTWLGNTTQYTSSAI VAAINALTTDSTLDLGDGVPIKSRKTALEHAPSVALKVEPAGEDLGTTPPTSDFPPEEYT FQHLRKGAFCEQYLSVPQASYQWAKPKSLSPTSYMSPSLPALDWQLPSHSGPYELRIEVQ PKSHHRAHYETEGSRGAVKASAGGHPIVQLHGYLENEPLTLQLFIGTADDRLLRPHAFYQ VHRITGKTVSTTSHEIILSNTKVLEIPLLPENNMRAIIDCAGILKLRNSDIELRKGETDI GRKNTRVRLVFRVHIPQPNGRTLSLQVASNPIECSQRSAQELPLVEKQSTDSYPVIGGKK MVLSGHNFLQDSKVIFVEKAPDGHHVWEMEAKTDRDLCKPNSLVVEIPPFRNQRITSPVQ VSFYVCNGKRKRSQYQRFTYLPANVPIIKTEPTDDFEPALTCGPMSQGISPLPRPYYSQQ LTMPPDPGSCLVAGFAPCSQRNTLMPTPPNASPKLHDLSSPAYTKGLTNPGHSGHLGLQP PASEAPTMQEVPRPMAIQPNSPEQPPSARLQPQVSPHLNSSCPLGRRQVLCPNSPSSPLP SAAQEPACLQSSALPPDMGHRQPQPQKVQRNESPAVLPEVCEDSGHNLAPIPVVIKQEPE ELDQLYLDDVNEIIRNDLSSTIPHS >ENSMUSP00000046312.7 pep:known chromosome:GRCm38:18:80647435:80708173:-1 gene:ENSMUSG00000033016.15 transcript:ENSMUST00000035800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfatc1 description:nuclear factor of activated T cells, cytoplasmic, calcineurin dependent 1 [Source:MGI Symbol;Acc:MGI:102469] MTGLEQDPEFDFDFLFEFDQSGGGAAAAEHYSYVSPSVTSTLPLPTAHSALPAACHDLQT STPGISAVPSANHPPSYGGAVDSGPSGYFLSSGNTRPNGAPTLESPRIEITSYLGLHHGS GQFFHDVEVEDVLPSCKRSPSTATLHLPSLEAYRDPSCLSPASSLSSRSCNSEASSYESN YSYPYASPQTSPWQSPCVSPKTTDPEEGFPRSLGACHLLGSPRHSPSTSPRASITEESWL GARGSRPTSPCNKRKYSLNGRQPSCSPHHSPTPSPHGSPRVSVTEDTWLGNTTQYTSSAI VAAINALTTDSTLDLGDGVPIKSRKTALEHAPSVALKVEPAGEDLGTTPPTSDFPPEEYT FQHLRKGAFCEQYLSVPQASYQWAKPKSLSPTSYMSPSLPALDWQLPSHSGPYELRIEVQ PKSHHRAHYETEGSRGAVKASAGGHPIVQLHGYLENEPLTLQLFIGTADDRLLRPHAFYQ VHRITGKTVSTTSHEIILSNTKVLEIPLLPENNMRAIIDCAGILKLRNSDIELRKGETDI GRKNTRVRLVFRVHIPQPNGRTLSLQVASNPIECSQRSAQELPLVEKQSTDSYPVIGGKK MVLSGHNFLQDSKVIFVEKAPDGHHVWEMEAKTDRDLCKPNSLVVEIPPFRNQRITSPVQ VSFYVCNGKRKRSQYQRFTYLPANGNSVFLTLSSESELRGGFY >ENSMUSP00000035007.8 pep:known chromosome:GRCm38:9:114731203:114749343:1 gene:ENSMUSG00000032434.8 transcript:ENSMUST00000035007.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtm6 description:CKLF-like MARVEL transmembrane domain containing 6 [Source:MGI Symbol;Acc:MGI:2447165] MENGAVYSPTTEAAPGTGRGARSGLAAYFVLGRLPWHRRILKGLQLLLSLLAFICEEVVS ECGLCGGLYFFEFVSCSAFLLSLLLLIVYCTPVHDRVDTGKVKSSDFYITLGTGCVFLLA SIIFVSTHSGTSAEIAAIVFGFLASSMFLLDFVVMLCEKLRESPLRKPENNAKVEALTEP LNA >ENSMUSP00000136161.1 pep:known chromosome:GRCm38:Y:38574609:38575691:-1 gene:ENSMUSG00000096379.1 transcript:ENSMUST00000179309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21732 description:predicted gene, 21732 [Source:MGI Symbol;Acc:MGI:5433896] MSWRPSMSAQGVLETSISSQGLTEPSLLPQEPPGHWLEKEALGYLPSNPEDLGLSDSSQD TLEIWACMQNSTEIPSVTQEVIESLSATQDSPKLPVPSPLSPETEEPLTYTQSISKSSKS VPGTPEALASVLGSLCLLKPNECALGPLVSEQGILRTPAFPQESLELSQPAQGPLKPVTS HQETVDTSFSTEFQGLSLCAEGDIMPTPPHEDGWRNFSYLKKNPRRLKSNQRILKHVPIP ERDIRYCLSELDALKCISSAVSSLTSSKYAEKESSPTSTPQRSISPLKSSQLSFRSSILC KKGLSHSPPPGDCPTPSKLRKTRPSPSSVDQEGLKYVRSKHAGWKHCHSSKIWVRVCKFK K >ENSMUSP00000136839.1 pep:known chromosome:GRCm38:X:31336454:31337950:1 gene:ENSMUSG00000095229.1 transcript:ENSMUST00000180197.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2784 description:predicted gene 2784 [Source:MGI Symbol;Acc:MGI:3780952] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSVYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000095567.1 pep:known chromosome:GRCm38:4:101020298:101020696:-1 gene:ENSMUSG00000073789.1 transcript:ENSMUST00000097954.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10577 description:predicted gene 10577 [Source:MGI Symbol;Acc:MGI:3642534] VLLLPSSCPLSSLEGLACEAPGKLWAGRVFKCQPDVDTYGVPVVTATRTCQYFLVTFQYS WVFVPGIHFLSQDSKCLLASCIPSPLKTSRLLYRSVSQNSTSLILHSMGTTTLWTSVFFC KWEESVHGKIFL >ENSMUSP00000078597.5 pep:known chromosome:GRCm38:14:63943674:63950732:1 gene:ENSMUSG00000063060.5 transcript:ENSMUST00000079652.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox7 description:SRY (sex determining region Y)-box 7 [Source:MGI Symbol;Acc:MGI:98369] MASLLGAYPWTEGLECPALEAELSDGLSPPAVPRPSGDKSSESRIRRPMNAFMVWAKDER KRLAVQNPDLHNAELSKMLGKSWKALTLSQKRPYVDEAERLRLQHMQDYPNYKYRPRRKK QGKRLCKRVDPGFLLSSLSRDQNTLPEKNGIGRGEKEDRGEYSPGATLPGLHSCYREGAA AAPGSVDTYPYGLPTPPEMSPLDALEPEQTFFSSSCQEEHGHPHHLPHLPGPPYSPEFTP SPLHCSHPLGSLALGQSPGVSMMSSVSGCPPSPAYYSHATYHPLHPNLQAHLGQLSPPPE HPGFDTLDQLSQVELLGDMDRNEFDQYLNTPGHPDSAAGVGTLTGHVPLSQGTPTGPTET SLISVLADATATYYNSYSVS >ENSMUSP00000043575.8 pep:known chromosome:GRCm38:15:79690895:79721478:1 gene:ENSMUSG00000042535.8 transcript:ENSMUST00000046463.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtpbp1 description:GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:109443] MAAERSRSPVDSPVPASMFAPEPSSPGAARAAAAAARLHGGFDSDCSEDGEALNGEPELD LTSKLVLVSPTSEQYDSLLRQMWERMDEGCGETIYVIGQGSDGTEYGLSEADMEASYATV KSMAEQIEADVILLRERQEAGGRVRDYLVRKRVGDNDFLEVRVAVVGNVDAGKSTLLGVL THGELDNGRGFARQKLFRHKHEIESGRTSSVGNDILGFDSEGNVVNKPDSHGGSLEWTKI CEKSSKVITFIDLAGHEKYLKTTVFGMTGHLPDFCMLMVGSNAGIVGMTKEHLGLALALN VPVFVVVTKIDMCPANILQETLKLLQRLLKSPGCRKIPVLVQSKDDVIVTASNFSSERMC PIFQISNVTGENLDLLKMFLNLLSPRTSYREEEPAEFQIDDTYSVPGVGTVVSGTTLRGL IKLNDTLLLGPDPLGNFLSIAVKSIHRKRMPVKEVRGGQTASFALKKIKRSSIRKGMVMV SPRLNPQASWEFEAEILVLHHPTTISPRYQAMVHCGSIRQTATILSMDKDCLRTGDKATV HFRFIKTPEYLHIDQRLVFREGRTKAVGTITKLLQTTNNSPMNSKPQQIKMQSTKKGPLS KREEGGPCGVPAAGGPPTGDEASSLGTAQAASTSGLQPQPKPSSGGRRRGGQRHKVKSGA CVTPASGC >ENSMUSP00000069947.4 pep:known chromosome:GRCm38:15:84553398:84557823:-1 gene:ENSMUSG00000055745.4 transcript:ENSMUST00000069476.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ldoc1l description:leucine zipper, down-regulated in cancer 1-like [Source:MGI Symbol;Acc:MGI:2675858] MVQPRTSKTESPASAPGASAQMDDVVDTLTSLRLTNSALRREASTLRAEKANLTNMLESV MAELTLLRTRARIPGALQITPPISAITSNGTRPMTTPPTSLPEPFSGDPGQLAGFLMQMD RFMIFQASRFPGEAERVAFLVSRLTGEAEKWAIPHMQPDSPLRNNYQGFLAELRRTYKSP LRHSRRAQIRKTSASNRAVRERERERQMLCRQLAAAGTGSCPVHPASNGTNPAPALPSRG RNL >ENSMUSP00000051079.8 pep:known chromosome:GRCm38:8:95017692:95045247:1 gene:ENSMUSG00000060470.6 transcript:ENSMUST00000051259.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg3 description:adhesion G protein-coupled receptor G3 [Source:MGI Symbol;Acc:MGI:1859670] MATARSLGLLFFLLLTSDEETTEEPRNVCRRLQEGHEYDTFDLNDTAQCFTKCGQSEHSP CDVGNLQRYWLNYESYLLENSMETVDMPFVKALIQNISTDVSEDLLYSLMLSQIPRQVMQ GEDEPADGVRLPKSLFGALPGNRSAVRLAITVLDIGAGNVFKGPKLLEDKGSSVLNNRMV GLSVGQMHATGLSEPVEITFSHERQPPNVILTCVFWDMAKGDWDSHGCSTVPGDGRTVCR CDHLTFFALLLRPILDLATAQTLTRISQAGSAVSMIFLAFTMVLYVAFRFSLQRFKSEDA PKIHMALSISLFLLNLTFLINVGSSSQGPPASCWVRAAIFHYFLLCVFTWMGLEAFHLYL LAIRVFNTYFGHYFLKLSLLAWGLPVLVVIGAGSSNSYGVYTIRDQENRTSLELCWFQKE PALYATVHGYFLVTFLFGAVVLALVAWKIFTLPSVTAGKGQGPTWKSVLTVLGLSSLVGM TWGLAVLTPLGLSTIYVFTLLNSLQGLFIFCWFIILYFPTQSTTASSSGTARLDQAHSVS QE >ENSMUSP00000003964.8 pep:known chromosome:GRCm38:7:45434839:45456617:1 gene:ENSMUSG00000003865.15 transcript:ENSMUST00000003964.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gys1 description:glycogen synthase 1, muscle [Source:MGI Symbol;Acc:MGI:101805] MPLSRSLSVSSLPGLEDWEDEFDPENAVLFEVAWEVANKVGGIYTVLQTKAKVTGDEWGD NYYLVGPYTEQGVRTQVELLEPPTPELKRTLDSMNSKGCKVYFGRWLIEGGPLVVLLDVG ASAWALERWKGELWDTCNIGVPWYDREANDAVLFGFLTTWFLGEFLAQNEEKPYVVAHFH EWLAGVGLCLCRARRLPVATIFTTHATLLGRYLCAGAVDFYNNLENFNVDKEAGERQIYH RYCMERAAAHCAHVFTTVSQITAIEAQHLLKRKPDIVTPNGLNVKKFSAMHEFQNLHAQS KARIQEFVRGHFYGHLDFNLDKTLYFFIAGRYEFSNKGADVFLEALARLNYLLRVNGSEQ TVVAFFIMPARTNNFNVETLKGQAVRKQLWDTANTVKEKFGRKLYESLLVGSLPDMNKML DKEDFTMMKRAIFATQRQSFPPVCTHNMLDDSSDPILTTIRRIGLFNSSADRVKVIFHPE FLSSTSPLLPVDYEEFVRGCHLGVFPSYYEPWGYTPAECTVMGIPSISTNLSGFGCFMEE HIADPSAYGIYILDRRFRSLDDSCSQLTSFLYSFCQQSRRQRIIQRNRTERLSDLLDWKY LGRYYMSARHMALAKAFPDHFTYEPHEVDATQGYRYPRPASVPPSPSLSRHSSPHQSEDE EEPRDGPLGEDSERYDEEEEAAKDRRNIRAPEWPRRASCSSSTGGSKRSNSVDTGPSSSL STPTEPLSPTSSLGEERN >ENSMUSP00000046584.6 pep:known chromosome:GRCm38:17:50509547:50688493:1 gene:ENSMUSG00000038910.6 transcript:ENSMUST00000043938.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcl2 description:phospholipase C-like 2 [Source:MGI Symbol;Acc:MGI:1352756] MAECGRGAAGGALPTSPSPALGAKGALKAGAGEGGGGGGGGRLGHGRARYDSGGVSNGDC SLGVSGDEARTSPGRGPLGVALARTPSPAAGPVPRDSKPGGLPRRSSIIKDGTKQKRERK KTVSFSSMPTEKKISSASDCINSMVEGSELKKVRSNSRIYHRYFLLDADMQSLRWEPSKK DSEKAKIDIKSIKEVRTGKNTDIFRSNGISEQISEDCAFSVIYGENYESLDLVANSADVA NIWVTGLRYLISYGKHTLDMLESSQDNMRTSWISQMFSEIDVDGLGHITLCHAVQCIRNL NPGLKTSKIELKFKELHKSKDKAGTEITKEEFIEVFHELCTRPEIYFLLVQFSSNKEFLD TKDLMMFLEAEQGVAHINEEISLEIIHKYEPSKEGQEKGWLSIDGFTNYLMSPDCYIFDP EHKKVCQDMKQPLSHYFINSSHNTYLIEDQFRGPSDITGYIRALKMGCRSVELDVWDGPD NEPVIYTGHTMTSQIVFRSVIDIINKYAFFASEYPLILCLENHCSIKQQKVMVQHMKKIL GDKLYTTSPNMEESYLPSPDVLKGKILIKAKKLSSNCSGVEGDVTDEDEGAEMSQRMGKE NVEQPNHVPVKRFQLCKELSELVSICKSVQFKEFQVSFQVQKYWEVCSFNEVLASKYANE NPGDFVNYNKRFLARVFPSPMRIDSSNMNPQDFWKCGCQIVAMNFQTPGLMMDLNVGWFR QNGNCGYVLRPAIMREEVSFFSANTKDSVPGVSPQLLHIKIISGQNFPKPKGSGAKGDVV DPYVYVEIHGIPADCAEQRTKTVNQNGDAPIFDESFEFQINLPELAMVRFVVLDDDYIGD EFIGQYTIPFECLQTGYRHVPLQSLTGEVLAHASLFVHVAITNRRGGGKPHKRGLSVRKG KKSREYASLRTLWIKTVDEVFKNAQPPIRDATDLRENMQNAVVSFKELCGLSSVANLMQC MLAVSPRFLGPDNNPLVVLNLSEPYPTMELQAIVPEVLKKIVTTYDMMMQSLKALIENAD AVYEKIVHCQKAAMEFHEHLHSIGTKEGLKERKLQKAVESFTWNITILKGQADLLKYAKN ETLENLKQIHFAAVSCGLNKPGTENSEAQKPRRSLEAIPEKASDENGD >ENSMUSP00000090910.5 pep:known chromosome:GRCm38:8:105048599:105058414:1 gene:ENSMUSG00000069922.11 transcript:ENSMUST00000093222.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces3a description:carboxylesterase 3A [Source:MGI Symbol;Acc:MGI:102773] MTNMETTAQAGSSVRVWMACLLLIFPTTVIGPKVTQPEVDTPLGRVRGRQVGVKDTDRMV NVFLGIPFAQAPLGPLRFSAPLPPQPWEGVRDASINPPMCLQDVERMSNSRFTLNEKMKI FPISEDCLTLNIYSPTEITAGDKRPVMVWIHGGSLLVGSSTSHDGSALAAYGDVVVVTVQ YRLGIFGFLSTGDKHMPGNRGFLDVVAALRWVQGNIAPFGGDPNCVTIFGNSAGGIIVSS LLLSPMSAGLFHRAISQSGVVISKILEDLNAWSEAQNFANSVACGSASPAELVQCLLQKE GKDLITKKNVNISYTVNDSFFPQRPQKLLANKQFPTVPYLLGVTNHEFGWLLLKFWNILD KMEHLSQEDLLENSRPLLAHMQLPPEIMPTVIDEYLDNGSDESATRYALQELLGDITLVI PTLIFSKYLQDAGCPVFLYEFQHTPSSFAKFKPAWVKADHSSENAFVFGGPFLTDESSLL AFPEATEEEKQLSLTMMAQWSQFARTGNPNGKGLPPWPQLNQLEQYLEIGLEPRTGVKLK KGRLQFWTETLPRKIQEWHREQRSRKVPEEL >ENSMUSP00000090911.3 pep:known chromosome:GRCm38:8:105048599:105058414:1 gene:ENSMUSG00000069922.11 transcript:ENSMUST00000093223.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ces3a description:carboxylesterase 3A [Source:MGI Symbol;Acc:MGI:102773] MTNMETTAQAGSSVRVWMACLLLIFPTTVIGPKVTQPEVDTPLGRVRGRQVGVKDTDRMV NVFLGIPFAQAPLGPLRFSAPLPPQPWEGVRDASINPPMCLQDVERMSNSRFTLNEKMKI FPISEDCLTLNIYSPTEITAGDKRPVMVWIHGGSLLVGSSTSHDGSALAAYGDVVVVTVQ YRLGIFGFLSTGDKHMPGNRGFLDVVAALRWVQGNIAPFGGDPNCVTIFGNSAGGIIVSS LLLSPMSAGLFHRAISQSGVVISKILEDLNAWSEAQNFANSVACGSASPAELVQCLLQKE GKDLITKFWNILDKMEHLSQEDLLENSRPLLAHMQLPPEIMPTVIDEYLDNGSDESATRY ALQELLGDITLVIPTLIFSKYLQDAGCPVFLYEFQHTPSSFAKFKPAWVKADHSSENAFV FGGPFLTDESSLLAFPEATEEEKQLSLTMMAQWSQFARTGNPNGKGLPPWPQLNQLEQYL EIGLEPRTGVKLKKGRLQFWTETLPRKIQEWHREQRSRKVPEEL >ENSMUSP00000129448.2 pep:known chromosome:GRCm38:17:65848433:65885755:-1 gene:ENSMUSG00000024096.16 transcript:ENSMUST00000166543.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralbp1 description:ralA binding protein 1 [Source:MGI Symbol;Acc:MGI:108466] MTECFLPPSSSPSEHRRAEHGSGLTRTPSSEEISPTKFPGLYRTGEPSPPHDVLHEPPDT VSDDDKDHGKKKGKFKKKEKRTEGYAAFQEDSSGDEAESPSKVKRSKGIHVFKKPSFSKK KEKDFKIKEKPKEEKHKEEKHKEEKHKEKKSKDLTAADVVKQWKEKKKKKKPIQEPEVPQ MDAPSVKPIFGVPLVDAVERTMMYDGVRLPAVFRECVDYMEKHGMKCEGVYRVSGIKSKV DELKAAYDREESPNLEEYEPNTVASLLKQYLRDLPENLLTKELMPRFEEACGKTTEMEKV QEFQRLLRELPECNHLLLSWLIVHLDHVIAKELETKMNIQNISIVLSPTVQISNRVLYVL FTHVQELFGTVVLKQVTRPLRWSNMATMPTLPETQAGIKEEIRRQEFLLNCLHRDLQGGI KDLSKEERLWEVQRILTALKRKLREAKRQECETKIAQEIASLSKEDVSKEEMNENEEVIN ILLAQENEILTEQEELLAMEQFLRRQIASEKEEIDRLRAEIAEIQSRQQHGRSETEEYSS DSESESEDEEELQLILEDLQRQNEELEIKNNHLNQAVHEEREAIIELRVQLRLLQMQRAK SEQQPQEEEEPERRGGIGPPPCDGVLEVRVAKEQAKASPSKDRKETPI >ENSMUSP00000024905.9 pep:known chromosome:GRCm38:17:65848433:65884923:-1 gene:ENSMUSG00000024096.16 transcript:ENSMUST00000024905.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralbp1 description:ralA binding protein 1 [Source:MGI Symbol;Acc:MGI:108466] MTECFLPPSSSPSEHRRAEHGSGLTRTPSSEEISPTKFPGLYRTGEPSPPHDVLHEPPDT VSDDDKDHGKKKGKFKKKEKRTEGYAAFQEDSSGDEAESPSKVKRSKGIHVFKKPSFSKK KEKDFKIKEKPKEEKHKEEKHKEEKHKEKKSKDLTAADVVKQWKEKKKKKKPIQEPEVPQ MDAPSVKPIFGVPLVDAVERTMMYDGVRLPAVFRECVDYMEKHGMKCEGVYRVSGIKSKV DELKAAYDREESPNLEEYEPNTVASLLKQYLRDLPENLLTKELMPRFEEACGKTTEMEKV QEFQRLLRELPECNHLLLSWLIVHLDHVIAKELETKMNIQNISIVLSPTVQISNRVLYVL FTHVQELFGTVVLKQVTRPLRWSNMATMPTLPETQAGIKEEIRRQEFLLNCLHRDLQGGI KDLSKEERLWEVQRILTALKRKLREAKRQECETKIAQEIASLSKEDVSKEEMNENEEVIN ILLAQENEILTEQEELLAMEQFLRRQIASEKEEIDRLRAEIAEIQSRQQHGRSETEEYSS DSESESEDEEELQLILEDLQRQNEELEIKNNHLNQAVHEEREAIIELRVQLRLLQMQRAK SEQQPQEEEEPERRGGIGPPPCDGVLEVRVAKEQAKASPSKDRKETPI >ENSMUSP00000061488.2 pep:known chromosome:GRCm38:12:75411720:75416781:-1 gene:ENSMUSG00000048982.2 transcript:ENSMUST00000051079.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gphb5 description:glycoprotein hormone beta 5 [Source:MGI Symbol;Acc:MGI:2156540] MKLVYLVLGAVALLLLGGPDSVLSSSSGNLHTFVGCAVREFTFMAKKPGCRGLRITTDAC WGRCETWEKPILEPPYIEAYHRVCTYNETRQVTVKLPNCAPGVDPFYTYPMAVRCDCGAC STATTECETI >ENSMUSP00000135990.1 pep:known chromosome:GRCm38:4:146892406:146909590:-1 gene:ENSMUSG00000045699.3 transcript:ENSMUST00000049821.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21411 description:predicted gene, 21411 [Source:MGI Symbol;Acc:MGI:5434766] MSVCLVNTPQGLLTFKDVALDFSLEEWECLSFAQRTLYMDVMLENYNNLLFVENYCIRGK NGKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILESLQCTPYKTNHSSDALQFSNQKRLK PRNTKEVCKYNHFVNS >ENSMUSP00000051869.7 pep:known chromosome:GRCm38:10:128706258:128720238:1 gene:ENSMUSG00000025359.9 transcript:ENSMUST00000054125.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmel description:premelanosome protein [Source:MGI Symbol;Acc:MGI:98301] MGVQRRSFLPVLVLSALLAVGALEGSRNQDWLGVPRQLVTKTWNRQLYPEWTEVQGSNCW RGGQVSLRVINDGPTLVGANASFSIALHFPGSQKVLPDGQVIWANNTIINGSQVWGGQPV YPQEPDDACVFPDGGPCPSGPKPPKRSFVYVWKTWGKYWQVLGGPVSRLSIATGHAKLGT HTMEVTVYHRRGSQSYVPLAHASSTFTITDQVPFSVSVSQLQALDGETKHFLRNHPLIFA LQLHDPSGYLAEADLSYTWDFGDGTGTLISRALDVTHTYLESGSVTAQVVLQAAIPLVSC GSSPVPGTTDGYMPTAEAPGTTSRQGTTTKVVGTTPGQMPTTQPSGTTVVQMPTTEVTAT TSEQMLTSAVIDTTLAEVSTTEGTGTTPTRPSGTTVAQATTTEGPDASPLLPTQSSTGSI SPLLDDTDTIMLVKRQVPLDCVLYRYGSFSLALDIVQGIESAEILQAVPFSEGDAFELTV SCQGGLPKEACMDISSPGCQPPAQRLCQSVPPSPDCQLVLHQVLKGGSGTYCLNVSLADA NSLAVASTQLVVPGQDGGLGQAPLLVGILLVLVAVVLASLIHRHRLKKQGSVSQMPHGST HWLRLPPVFRARGLGENSPLLSGQQV >ENSMUSP00000025844.4 pep:known chromosome:GRCm38:19:5465240:5468498:-1 gene:ENSMUSG00000024910.4 transcript:ENSMUST00000025844.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsw description:cathepsin W [Source:MGI Symbol;Acc:MGI:1338045] MTLTAHLSYFLVLLLAGQGLSDSLLTKDAGPRPLELKEVFKLFQIRFNRSYWNPAEYTRR LSIFAHNLAQAQRLQQEDLGTAEFGETPFSDLTEEEFGQLYGQERSPERTPNMTKKVESN TWGESVPRTCDWRKAKNIISSVKNQGSCKCCWAMAAADNIQALWRIKHQQFVDVSVQELL DCERCGNGCNGGFVWDAYLTVLNNSGLASEKDYPFQGDRKPHRCLAKKYKKVAWIQDFTM LSNNEQAIAHYLAVHGPITVTINMKLLQHYQKGVIKATPSSCDPRQVDHSVLLVGFGKEK EGMQTGTVLSHSRKRRHSSPYWILKNSWGAHWGEKGYFRLYRGNNTCGVTKYPFTAQVDS PVKKARTSCPP >ENSMUSP00000037596.7 pep:known chromosome:GRCm38:19:15955774:15984989:-1 gene:ENSMUSG00000041491.7 transcript:ENSMUST00000047704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep78 description:centrosomal protein 78 [Source:MGI Symbol;Acc:MGI:1924386] MIDSVKLRRDCAADFFSHYEYLCALQDSVPLPAVRACLRDGVLDFNADRLRAVDWAPLLS TLRVNRDLPLVAIKSSFQPWLGETVLRSGGADTHRICRNRVPAVRSKDISFQLCKALRGC LSVSGVLRNLELNGLILRERDLTSLTKGLSKSTSLVHLSLANCPIGDGGLEIICQGIKNS VTLKTVNFTGCNLTWQGACHMAKILKYQTMRRHEETWAESLRYRRPDLDCMAGLRRITLN CNTLIGDQGASAFADSLSEDLWLRALDLQQCGLTSEGAKALLEALETNRTLVVLDIRKNP LIDHSMMKAVIKKVLQNGRSADSEYQWVTSPSSKEPSKTAKQRKKTIVLGSSRKGKATIR IGLATKKPSSNGRKQGLGKDCYAPNPLPPGASGFLPWRTAERAKRSRSSSLIKTRDLSNH LKKSDFPVTVTVESPSSSETDETEDSSESVQEAPQKTSIKEETLQEKLEECLRQLKEERV IRLKADKRVSELEHENAQLRNINFSLSEALHAQSLTNMILDDEGVLGSIENSFQKFHAFL DLLKDAGLGQLATMAGIDQSDFHLLGRPQMNSTVNTPIQEQKALEDETLHPKQTATGQMQ DIRFQKITSDALIPLPLNTVQDPASAQEAVGASRDHLGVVGLEQQEGSAAGFIAKTGSPL AGGIPGGRSQREEEVLSKHSRSSSEKGSTASEPSRRPSAERHPRKDLLSDADPPGNSESK GPGDRRSLLNEPIKSESLKKCISIKKENRIVTVSSKTIKSKPNLLEHSESDTLGSDFELQ ERVHSSAHLT >ENSMUSP00000080224.2 pep:known chromosome:GRCm38:7:136894611:137128187:1 gene:ENSMUSG00000054612.3 transcript:ENSMUST00000081510.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgmt description:O-6-methylguanine-DNA methyltransferase [Source:MGI Symbol;Acc:MGI:96977] MAETCKMKYSVLDSPLGKMELSGCERGLHGIRLLSGKTPNTDPTEAPATPEVLGGPEGVP EPLVQCTAWLEAYFREPAATEGLPLPALHHPVFQQDSFTRQVLWKLLKVVKFGETVSYQQ LAALAGNPKAARAVGGAMRSNPVPILIPCHRVVRSDGAIGHYSGGGQAVKEWLLAHEGIP TGQPASKGLGLTGTWLKSSFESTSSEPSGRN >ENSMUSP00000021880.9 pep:known chromosome:GRCm38:13:60934155:60936625:-1 gene:ENSMUSG00000044258.9 transcript:ENSMUST00000021880.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctla2a description:cytotoxic T lymphocyte-associated protein 2 alpha [Source:MGI Symbol;Acc:MGI:88554] MMVSICEQKLQHFSAVFLLILCLGMMSAAPPPDPSLDNEWKEWKTKFAKAYNLNEERHRR LVWEENKKKIEAHNADYEQGKTSFYMGLNQFSDLTPEEFKTNCYGNSLNRGEMAPDLPEY EDLGKNSYLTPGRAQPE >ENSMUSP00000132011.1 pep:known chromosome:GRCm38:13:64058274:64089201:-1 gene:ENSMUSG00000033122.13 transcript:ENSMUST00000166224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b3 description:hydroxysteroid (17-beta) dehydrogenase 3 [Source:MGI Symbol;Acc:MGI:107177] MEKLFIAAGLFVGLVCLVKCMRFSQHLFLRFCKALPSSFLRSMGQWAVITGAGDGIGKAY SFELARHGLNVVLISRTLEKLQTIAEEIERTTGSCVKIVQADFTREDIYDHIKEHLEGLE IGILVNNVGMLPSFFPSHFLSTSGESQNLIHCNITSVVKMTQLVLKHMESRRKGLILNIS SGAALRPWPLYSLYSASKAFVYTFSKALSVEYRDKGIIIQVLTPYSISTPMTKYLNNKMT KTADEFVKESLKYVTIGAESCGCLAHEIIAIILNRIPSRIFYSSTAQRFLLTRYSDYLKR NISNR >ENSMUSP00000044217.6 pep:known chromosome:GRCm38:13:64058274:64089186:-1 gene:ENSMUSG00000033122.13 transcript:ENSMUST00000039832.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b3 description:hydroxysteroid (17-beta) dehydrogenase 3 [Source:MGI Symbol;Acc:MGI:107177] MEKLFIAAGLFVGLVCLVKCMRFSQHLFLRFCKALPSSFLRSMGQWAVITGAGDGIGKAY SFELARHGLNVVLISRTLEKLQTIAEEIERTTGSCVKIVQADFTREDIYDHIKEHLEGLE IGILVNNVGMLPSFFPSHFLSTSGESQNLIHCNITSVVKMTQLVLKHMESRRKGLILNIS SGAALRPWPLYSLYSASKAFVYTFSKALSVEYRDKGIIIQVLTPYSISTPMTKYLNNKMT KTADEFVKESLKYVTIGAESCGCLAHEIIAIILNRIPSRIFYSSTAQRFLLTRYSDYLKR NISNR >ENSMUSP00000105854.1 pep:known chromosome:GRCm38:2:131133497:131134215:1 gene:ENSMUSG00000079039.1 transcript:ENSMUST00000110225.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11037 description:predicted gene 11037 [Source:MGI Symbol;Acc:MGI:3779261] FLETGVMYRRLPFNLICSRG >ENSMUSP00000075423.6 pep:known chromosome:GRCm38:19:61224402:61228418:-1 gene:ENSMUSG00000059326.6 transcript:ENSMUST00000076046.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf2ra description:colony stimulating factor 2 receptor, alpha, low-affinity (granulocyte-macrophage) [Source:MGI Symbol;Acc:MGI:1339754] MTSSHAMNITPLAQLALLFSTLLLPGTQALLAPTTPDAGSALNLTFDPWTRTLTWACDTA AGNVTVTSCTVTSREAGIHRRVSPFGCRCWFRRMMALHHGVTLDVNGTVGGAAAHWRLSF VNEGAAGSGAENLTCEIRAARFLSCAWREGPAAPADVRYSLRVLNSTGHDVARCMADPGD DVITQCIANDLSLLGSEAYLVVTGRSGAGPVRFLDDVVATKALERLGPPRDVTASCNSSH CTVSWAPPSTWASLTARDFQFEVQWQSAEPGSTPRKVLVVEETRLAFPSPAPHGGHKVKV RAGDTRMKHWGEWSPAHPLEAEDTRVPGALLYAVTACAVLLCALALGVTCRRFEVTRRLF PPIPGIRDKVSDDVRVNPETLRKDLLQP >ENSMUSP00000074514.5 pep:known chromosome:GRCm38:9:19120957:19121911:1 gene:ENSMUSG00000059303.5 transcript:ENSMUST00000074986.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr836 description:olfactory receptor 836 [Source:MGI Symbol;Acc:MGI:3030670] MCKMEVENKSVVFDIFLHGLTDDTELQPFIFGLFLCMYLITIFGNLLIILAIICDSHLHT PMYFFLCHLAFNDMYLISITVPKMLVNIQTQDQRITFAGCLSQGCFVAVCTIFECFLLGI MAYDRFIAICYPLRYTVLMNPCFCVILTLISLFFSIVNGLLHSLMVLHLSFCTDLEILHF FCEIAQILKLACSDSLINNILIFVTSSIFAGVPLCGIIFSYVHIVSTVLKMPSLEGKYKA FSTCGSHLSVVSLFYGTGFGVYISSNVIDSPKKIAMASVMYSIVPPMMNPFIYSMRNRNM KEALKKVIGRKLLFSDV >ENSMUSP00000065466.7 pep:known chromosome:GRCm38:9:119753763:119825456:-1 gene:ENSMUSG00000034115.9 transcript:ENSMUST00000070617.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn11a description:sodium channel, voltage-gated, type XI, alpha [Source:MGI Symbol;Acc:MGI:1345149] MEERYYPVIFPDERNFRPFTFDSLAAIEKRITIQKEKKKSKDKAATEPQPRPQLDLKASR KLPKLYGDVPPDLIAKPLEDLDPFYKDHKTFMVLNKKRTIYRFSAKRALFILGPFNPIRS FMIRISVHSVFSMFIICTVIINCMFMANNSSVDSRPSSNIPEYVFIGIYVLEAVIKILAR GFIVDEFSYLRDPWNWLDFIVIGTAIAPCFLGNKVNNLSTLRTFRVLRALKAISVISGLK VIVGALLRSVKKLVDVMVLTLFCLSIFALVGQQLFMGILSQKCIKDDCGPNAFSNKDCFV KENDSEDFIMCGNWLGRRSCPDGSTCNKTTFNPDYNYTNFDSFGWSFLAMFRVMTQDSWE KLYRQILRTSGIYFVFFFVVVIFLGSFYLLNLTLAVVTMAYEEQNRNVAAETEAKEKMFQ EAQQLLREEKEALVAMGIDRTSLNSLQASSFSPKKRKFFGSKTRKSFFMRGSKTARASAS DSEDDASKNPQLLEQTKRLSQNLPVELFDEHVDPLHRQRALSAVSILTITMQEQEKSQEP CFPCGKNLASKYLVWECSPPWLCIKKVLQTIMTDPFTELAITICIIVNTVFLAMEHHNMD NSLKDILKIGNWVFTGIFIAEMCLKIIALDPYHYFRHGWNIFDSIVALVSLADVLFHKLS KNLSFLASLRVLRVFKLAKSWPTLNTLIKIIGHSVGALGNLTVVLTIVVFIFSVVGMRLF GAKFNKTCSTSPESLRRWHMGDFYHSFLVVFRILCGEWIENMWECMQEMEGSPLCVIVFV LIMVVGKLVVLNLFIALLLNSFSNEEKDGNPEGETRKTKVQLALDRFSRAFYFMARALQN FCCKRCRRQNSPKPNEATESFAGESRDTATLDTRSWKEYDSEMTLYTGQAGAPLAPLAKE EDDMECCGECDASPTSQPSEEAQACDLPLKTKRLPSPDDHGVEMEVFSEEDPNLTIQSAR KKSDAASMLSECSTIDLNDIFRNLQKTVSPQKQPDRCFPKGLSCIFLCCKTIKKKSPWVL WWNLRKTCYQIVKHSWFESFIIFVILLSSGALIFEDVNLPSRPQVEKLLKCTDNIFTFIF LLEMILKWVAFGFRKYFTSAWCWLDFLIVVVSGLSLTNLPNLKSFRNLRALRPLRALSQF EGMKVVVNALMSAIPAILNVLLVCLIFWLIFCILGVNFFSGKFGRCINGTDINKYFNASN VPNQSQCLVSNYTWKVPNVNFDNVGNAYLALLQVATYKGWLDIMNAAVDSRGKDEQPAFE ANLYAYLYFVVFIIFGSFFTLNLFIGVIIDNFNQQQKKLGGQDIFMTEEQKKYYNAMKKL GTKKPQKPIPRPLNKCQAFVFDLVTSQVFDVIILGLIVTNMIIMMAESEGQPNEVKKIFD ILNIVFVVIFTVECLIKVFALRQHYFTNGWNLFDCVVVVLSIISTLVSGLENSNVFPPTL FRIVRLARIGRILRLVRAARGIRTLLFALMMSLPSLFNIGLLLFLVMFIYAIFGMNWFSK VKRGSGIDDIFNFDTFSGSMLCLFQITTSAGWDALLNPMLESKASCNSSSQESCQQPQIA IVYFVSYIIISFLIVVNMYIAVILENFNTATEESEDPLGEDDFEIFYEIWEKFDPEATQF IQYSSLSDFADALPEPLRVAKPNRFQFLMMDLPMVMGDRLHCMDVLFAFTTRVLGNSSGL DTMKAMMEEKFMEANPFKKLYEPIVTTTKRKEEEECAAVIQRAYRRHMEKMIKLKLKGRS SSSLQVFCNGDLSSLDVPKIKVHCD >ENSMUSP00000136020.1 pep:known chromosome:GRCm38:12:93927004:93929102:-1 gene:ENSMUSG00000094935.1 transcript:ENSMUST00000180321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9726 description:predicted gene 9726 [Source:MGI Symbol;Acc:MGI:3648149] MASPSRQPPLGGSGLLHGSRARSYGSLVQSSCSPVRERRLEHQLEPGDTLAGLALKYGVT MEQIKRTNRLYTNDSIFLKKTLYIPILSEPRDLFNGLDSEEENDGEEEVRPSKDEIGSSS GRRKNRGSGSGRPNGTGLPPHQETSTPSHDLSASDFLKKLDSQISLSKKAAAQKLRKGES GVPEEDTGLYPSSPRMQQRAVLGPVPLTRTSRTQTLRDQEDEIFKL >ENSMUSP00000135996.1 pep:known chromosome:GRCm38:13:120173184:120173696:1 gene:ENSMUSG00000095653.1 transcript:ENSMUST00000178828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21818 description:predicted gene, 21818 [Source:MGI Symbol;Acc:MGI:5433982] MDKAKKMMQSIPSFVKDTSDIEEHALPSAQVLPAQSTRCSKSETLCLGKEQSHCSEDGWI AEWDLYSFCVFESVDYLRSYHRLNSAMKKGTEVFQSESQRNPQVSPGDVKNYKDKDTEEP DQPSPSLLREKGLDLVTCDGGDCPVQDPVSDSSRHLGCWAWLQRAFGQKK >ENSMUSP00000136361.1 pep:known chromosome:GRCm38:Y:6237453:6237995:-1 gene:ENSMUSG00000094346.1 transcript:ENSMUST00000177612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21746 description:predicted gene, 21746 [Source:MGI Symbol;Acc:MGI:5433910] MTNINFATSDSAKGACRCSPSHEVGLRSPLPSQGIHRPSKSTETPSEKTPSDQWDTKASN SEHEVDKPSSILTEECMRTTLSHEDSLRHPTFLMRFPDTLLLPRENRGKPLLPKEPCKLP LQIKNALSHLQMETVPIEVCNTHHHRKRISAILFLLRRTQNIFLMFKRERKLPEVPNGSP >ENSMUSP00000127198.1 pep:known chromosome:GRCm38:16:46152997:46155077:-1 gene:ENSMUSG00000048087.5 transcript:ENSMUST00000059524.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4737 description:predicted gene 4737 [Source:MGI Symbol;Acc:MGI:3643647] MSDKLPYKVADIGLAAWGRKALDIAENEMPGLMRMREMYSASKPLKGARIAGCLHMTVET AVLIETLVALGAEVRWSSCNIFSTQDHAAAAIAKAGIPVFAWKGETDEEYLWCIEQTLHF KDGPLNMILDDGGDLTNLIHTKYPQLLSGIRGISEETTTGVHNLYKMMSNGILKVPAINV NDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKVAVVAGYGDVGKGCAQALRGFGARVI ITEIDPINALQAAMEGYEVTTMDEACKEGNIFVTTTGCVDIILGRHFEQMKDDAIVCNIG HFDVEIDVKWLNENAVEKVNIKPQVDRYWLKNGRRIILLAEGRLVNLGCAMGHPSFVMSN SFTNQVMAQIELWTHPDKYPVGVHFLPKKLDEAVAEAHLGKLNVKLTKLTEKQAQYLGMP INGPFKPDHYRY >ENSMUSP00000067622.3 pep:known chromosome:GRCm38:5:90367204:90368487:1 gene:ENSMUSG00000054945.3 transcript:ENSMUST00000068250.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9958 description:predicted gene 9958 [Source:MGI Symbol;Acc:MGI:3641866] MDLDCMVSAGSCPFSVFFSTFVSLSTSIPFAIFECQPRFIIRLTNSFTRRLRPLTANSFN FPNARDGLIFSQYGENDRTPCPHARSVNSPTIFWFQCLSLACFLFF >ENSMUSP00000100515.2 pep:known chromosome:GRCm38:9:8971791:8975773:1 gene:ENSMUSG00000078117.2 transcript:ENSMUST00000104915.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16485 description:predicted gene 16485 [Source:MGI Symbol;Acc:MGI:3642771] MCHCQFSYLFKYRENMPRTQNRNDGGGVVVVVVVEVVWWWCGGGVVEVWWKWYGDGYGGG GSNNGEVEKHYFRHHPILNWKTSPCWTVAVPSSLFSGLQLGGEERWIIKDLGITQ >ENSMUSP00000095074.2 pep:known chromosome:GRCm38:1:171895664:171898237:1 gene:ENSMUSG00000073492.2 transcript:ENSMUST00000097466.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10521 description:predicted gene 10521 [Source:MGI Symbol;Acc:MGI:3642358] MSSPATITCHLQVQVPWYIKDCLPPQFSLSLSLSLSLSLSLSLSLSLSPSLSLSLSPLSL ARLLTLSFTLSILFLSSSVLPSLPSLLCSCLSASPLSTGPHAIPQIYFPHTIFVTWHDFS EGPLPMHPIIFYNRH >ENSMUSP00000100571.2 pep:known chromosome:GRCm38:4:147540218:147543500:1 gene:ENSMUSG00000078160.2 transcript:ENSMUST00000104964.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16503 description:predicted gene 16503 [Source:MGI Symbol;Acc:MGI:3642127] MNLFMLQKQYCMSHKGNRKHFFIFVRKGRQNPGHITPSFRCYVGGFISKSSSKRGERSEE EKGLWDEGTLFYSVHEDGKHVKGKWCHGGCHGNRCRGSAVVYR >ENSMUSP00000087801.1 pep:known chromosome:GRCm38:2:111306201:111307142:1 gene:ENSMUSG00000068646.1 transcript:ENSMUST00000090329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1279 description:olfactory receptor 1279 [Source:MGI Symbol;Acc:MGI:3031113] MPMDQLNDSRVSEFVLLGLSSSWETKVFLMVTFSMLYIGIILGNLFIVILVIADSHLHSP MYFLLANLSLNDVWVSSTTVPKMISDLLKEHKVISFHNCMTQICFIHIMGGVEMVLLIAM AFDRYTAICKPLRYLSIMSPRICISFVIAGWVTGVVHAMSQFSFVVSLPFCGPNKVDSFY CDFPRIIQLACTDGDKFEFVVAANSGFMSMGTFFLLLLSYVFILVTVWQRSSGDLSKALV TLSAHITVVVLFFTPCMFLYVWPFPTSSIDKYLFIADFAITPALNPVIYTFRNKDIRIAI GRLSKRAVCSRFC >ENSMUSP00000097199.2 pep:known chromosome:GRCm38:2:111983134:111984096:-1 gene:ENSMUSG00000074951.3 transcript:ENSMUST00000099604.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1309 description:olfactory receptor 1309 [Source:MGI Symbol;Acc:MGI:3031143] MMILMSEAMYGMNCSVVSEFVFLGITNIWEVQFLLFFFTLLFYFASMIGNLVIVLTVTLD PHLNSPLYFLLANLSVIDMIFCSITAPKMICDIFKKHKTISFWGCITQIFFSHAVGGTEM VLLIAMAFDRYVAICKPLHYLIIMSPRVCLFFLITSWVIGLIHSVVQLVFVVDLPFCGPN TLDSFYCDLPRLLRLACTNTQELELMVTVNSGLISVGSFLLLVISYIFILFTVWKHSSCG LSKALSTLSAHITVVILFFGPLMFFYTWPSPTSHLDKYLAIFDAFITPFLNPVIYTFRNK DMKVAMGRLWGYLRHYRKMS >ENSMUSP00000097191.1 pep:known chromosome:GRCm38:2:112129871:112130815:-1 gene:ENSMUSG00000074943.1 transcript:ENSMUST00000099596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1316 description:olfactory receptor 1316 [Source:MGI Symbol;Acc:MGI:3031150] MLMGGANLSVVSEFVFLGLTNSWDIQLLLFVFSSVFYVASMMGNSLIIFTVASDPHLHTP MYFLLANLSFIDLGVSSVTSPKMIYDLFRKHKVISFTGCVIQIFSIHVIGGVEMVLLIAM AFDRYVAICKPLHYLTILSPRMCLFFVVIAWIVGLIHSLAQLVFVINLPFCGPNVLDSFY CDLPRFIKLACVDTHKLEFMVTANSGFISVGSFFILIVSYIVIIISVQKHSSGGFSKALS TLSAHISVVVLFFGPLIFVYTWPTPSVHLDKFLAIFDAVITPFLNPVIYTFRNQEMKMAM KRVFKQLLSYRKIS >ENSMUSP00000145029.1 pep:known chromosome:GRCm38:6:124625166:124636049:-1 gene:ENSMUSG00000107554.1 transcript:ENSMUST00000204754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C1s2 description:complement component 1, s subcomponent 2 [Source:MGI Symbol;Acc:MGI:3644269] MGKSPGMWYLVLFSLLASFSAEPTMHGEILSPNYPQAYPNDVVKSWDIEVPEGFGIHLYF THVDIEPSESCAYDSVQIISGGIEEGRLCGQRTSKSPNSPIIEEFQFPYNKLQVVFTSDF SIEEQFTGFAAYYTAIDVNECTDFTDVPCSHFCNNFIGGYFCSCPPEYFLHDDMRNCGVN CSGDVFTALIGEISSPNYPNPYPENSRCEYQIQLQEGFQVVVTMQREDFDVEPADSEGNC PDSLTFAAKNQQFGPYCGDGFPGPLTIRTQSNTLGIVFQTDLMGQKKGWKLRYHGDPISC PKESTANSNWEPDKAKYVFKDVVKITCVDGFEVVEGHVSSTSYYSTCQSDGQWSNSGLKC QPVYCGIPDPIANGKVEEPENSVFGTVIHYTCEEPYYYMEHEEGGEYRCAANGRWVNDQL GIELPRCIPVCGVPTEPFQVQQKIFGGQPAKIENFPWQVFFNHPTAGGALINEYWVLTAA HVVEKNSDPSMYAGITALRLADLENAQRLYTKRVIIHPGWKEDDDLNPRTNFDNDIALVQ LKDPVKMGPKFSPICLPGTSSEYNLSPGDMGLISGWGRTEKRLHVINLRGAKVPVTSLET CKQVKEENPTARPEDYVITDNMICAGEKGVDSCKGDSGGAFAFQVPNVKAPKFYVAGLVS WGKKCGAYGVYTKVKNYVDWILKTMQENSGPRKD >ENSMUSP00000134257.2 pep:known chromosome:GRCm38:7:18926080:18958618:1 gene:ENSMUSG00000108585.1 transcript:ENSMUST00000172835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nova2 description:neuro-oncological ventral antigen 2 [Source:MGI Symbol;Acc:MGI:104296] MEPEAPDSRKRPLETPPEVVCTKRSNTGEEGEYFLKVLIPSYAAGSIIGKGGQTIVQLQK ETGATIKLSKSKDFYPGTTERVCLVQGTAEALNAVHSFIAEKVREIPQAMTKPEVVNILQ PQTTMNPDRAKQAKLIVPNSTAGLIIGKGGATVKAVMEQSGAWVQLSQKPEGINLQERVV TVSGEPEQVHKAVSAIVQKVQEDPQSSSCLNISYANVAGPVANSNPTGSPYASPADVLPA AAAASAAAASGLLGPAGLAGVGAFPAALPAFSGTDLLAISTALNTLASYGYNTNSLSLGL NSAAASGVLAAVAAGANPAAAAAANLLASYAGDAGAGPGAGAAPPPPPPPGALGSFALAA AANGYLGAGAGGAAGAGGAPLVAAAAAAGAAGGFLTAEKLAAESAKELVEIAVPENLVGA ILGKGGKTLVEYQELTGARIQISKKGEFLPGTRNRRVTITGSPAATQAAQYLISQRVTYE QGVRASNPQKVG >ENSMUSP00000145450.1 pep:known chromosome:GRCm38:10:129500886:129501854:1 gene:ENSMUSG00000108061.1 transcript:ENSMUST00000203966.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr790 description:olfactory receptor 790 [Source:MGI Symbol;Acc:MGI:3030624] MPLEAESIMRNSTAVTDFILLGLTDDPLWQIVVFTFLLVTYMLSVTGNLIIIILTLSDAH LMTPMYFFLRNFSLLEISFTSVCIPRFLVTIVTGDRTISYNGCVAQLFFFIFLGVTEFYL LAAMSYDRYVAICKPLHYTTIMSNRVCILLVFSSWFAGFMIIFPPIILLLQLDFCASNII DHFICDSSPILQLSCSNTHFLELMAFSLAVVTLMVTLTLIILSYTNIIRTILRIPSTNQR KKAFSTCSSHMIVVSLSYGSCIFMYIKPSARERVTLSKGVAVLNTSVAPLLNPFIYTLRN QQVKQAFKNMIQRIFFSSKNLP >ENSMUSP00000145260.1 pep:known chromosome:GRCm38:10:129775871:129776857:1 gene:ENSMUSG00000107670.1 transcript:ENSMUST00000204622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr809 description:olfactory receptor 809 [Source:MGI Symbol;Acc:MGI:3030643] MLILSVRFLVDKPSEMRNRTSVTYFILLGLTDDPELQVVIFFFLFLTYLLSITGNLTIIT LTLLDSHLKTPMYFFLRNFSFLEISFTSVCNPRFLVSILTKDKSISYNACAAQLFFFIFL GSTEFFLLASMSYDRYVAICKPLHYTTIISNKICHQLIISSWLAGFLVVFPPLAMGLDLD FCDSNTIDHFTCDSAPLLQISCTDTSTLELMSFILALITLMTTLMLIILSYTCILRTILK FPSAKQREKAFSTCSSHMIVVSISYGSCIFMYVKTSAKAGVALTKGVAMLNTSVAPMLNP FIYTLRNQQVKQAFKDLVRKKLASKLLI >ENSMUSP00000056876.1 pep:known chromosome:GRCm38:11:49112777:49113757:-1 gene:ENSMUSG00000107444.1 transcript:ENSMUST00000060398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1396 description:olfactory receptor 1396 [Source:MGI Symbol;Acc:MGI:3031230] MFSDDPVQQLSMAMWLNQSSTDDFILLGIFSYSPRDLLLFSVVMLVFTAALFGNALLILL ICTDPRLHTPMYFFLSQLSLMDIMLVCTNVPKMAVNFLSGKKSISFVGCGIQIGLFVCLV GSEGLLLGLMAYDRYVAISHPLRYPVLMNQKVCLQIIGSSWAFGIADGLVQMVVVMTFPY CSLREVDHFFCEMLSLLKLACVDTSLFEKIVFFCCIFMLLFPFSIIVASYTRILGTVLHM HSAKSQKKALATCSSHMAAVSFFYGAAMFIYLRPRQYRTPSQDKMVSIFYTVLTPMLNPL IYSLRNRDVIGALQKGLDRCRVGSQP >ENSMUSP00000146107.1 pep:known chromosome:GRCm38:13:21537017:21538003:-1 gene:ENSMUSG00000108769.1 transcript:ENSMUST00000205976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1366 description:olfactory receptor 1366 [Source:EntrezGene;Acc:258280] MELYFSLYLFIQVHPMEKENQTSLSEFLLLGFSSWPGHQGLLFALFLCLYLTGLFGNLLI LLAIGSNNHLHTPMYFFLANLSLVDLCLPSATVPKMLLNIQTKSQSISYPGCLAQMYFCM MFANMDNFLLTVMAYDRFVAICHPLHYTTIMTPCLCTSLVAFSWVIATFNPLLHTLMMAR LHFCSENIIHHFFCDINSLLPLSCSDTSLNQLMVLSVVGLIFVVPSVCILASYGRIVSAV MKITSMEGKLKAFSTCGSHLALVILFYGAIAGIYMSPSSNHSTEKDSAASVIFMVVAPVL NPFIYSLRNNELKGTLKKTLGQSKICSK >ENSMUSP00000060325.1 pep:known chromosome:GRCm38:14:50186262:50187218:-1 gene:ENSMUSG00000050431.1 transcript:ENSMUST00000051453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr730 description:olfactory receptor 730 [Source:MGI Symbol;Acc:MGI:3030564] MMNVANKSVVTEFVLLGLSNSWELQIFFFIVFSLFYVATMVSNSMIVLIVISDSHLHSAM YFLLTNLSIIDMSLASFATPKMIIDYLTDHKTISFDGCIAQIFFLHLFTGTEIILLMAMS FDRYIAICKPLRYASIISPQVCIAFVVSSWVVGTMHSMSQVIFALTLPFCGPNKIDSFFC DLPVVFQLSCVDTYVLGLFMISTSGIIALSCFILLFNSYIIVLVTIKHHSSKGSSKALST CTAHFIVVFMFFGPCIFIYMWPQNSFVIEKILSVFYTIFTPIMNPVIYTLRNHEVNSAMR KLRSKFLNFSTETPSHSL >ENSMUSP00000145668.1 pep:known chromosome:GRCm38:16:19407076:19408017:-1 gene:ENSMUSG00000108458.1 transcript:ENSMUST00000206110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CT025529.1 MMQWNNWTRNSDFILLGFFDHSPLHTFFFSLILAIFFMALIGNSVMVILIYLDAQLHTPM YILLSQLSLMDLMLISTTVPQTAFNFLSGNKSISMAGCGVQIFFYVSLLGAECFLLAAMA YDRYVAICYPLRYPILMSHKICSLMAAFSWILGSLDGIIDVAAVLSFSYCGTREIPHFFC DIPALLTISCSDTLIFEKIIFFCCVIMLIFPVVIVIASYICVILAVIKMASAESRHKAFA TCSSHVMVVVIYYGAAMFIYMQPSSSRSPNQDKLVSAFYTILTPLLNPLIYSLRNKEVAR AFMKVLRMDKAAG >ENSMUSP00000145775.1 pep:known chromosome:GRCm38:16:19605725:19606666:-1 gene:ENSMUSG00000108369.1 transcript:ENSMUST00000206562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr170 description:olfactory receptor 170 [Source:EntrezGene;Acc:258959] MMEYENYTFNDFILLGLFSSSKTSLTFFSVIFFIFIMAITENALMILLIHRDSRLHTPMY FLLSHLSFMDILHISNIVPKMIADFLSGSRTISFAGCAFQIFLSLTLLGGECLLLAAMSY DRYVAICHPLCYPVLMRDNFSRLLAAGSWLVGILNSIVHTAFVLHFPFCHSRAIDHFFCE VPAMLKLSCIDTTHYEQGVYVSGIIFLMVPFSMISISYVQILLTVFQMHSSGARQKSFST CLFHMVVVIMYYGPVIFTYMRPRSYHTPGQDKFLAIFYTILTPKLNPIIYSFRNKDVLRA LKNIVQSNILNKK >ENSMUSP00000146667.1 pep:known chromosome:GRCm38:17:37445523:37446485:1 gene:ENSMUSG00000109386.1 transcript:ENSMUST00000207414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr108 description:olfactory receptor 108 [Source:EntrezGene;Acc:258457] MQISSSIISPRMNCSQAPGFILLGLPREPEKWQHFFIIFLGLYLLGLLGNLLLLLAIGSD VHLHTPMYFFLSQLSLVDLCFITTTAPKTLETWWTGDGSISFSGCLTQLYFFGVFADMDN LLLAVMAIDRYAAICHPLLYPLLMTPCRCEVLVSGSWGIAHCVSLMYTLLLSQLYFHTNQ EIPHFFCDCRPLLLLSCSDTHLNEVLMMALAGVLGVSAVLCIVSSYGCIFYAVARVPSAQ GKRKALTTCSSHLSVVLLFYSTVFATYLKPPSTSHSSGEVVAAVMYTLVTPTLNPFIYSL RNKDVKSSLRRVLNIEKSQD >ENSMUSP00000146523.1 pep:known chromosome:GRCm38:19:13780614:13781567:1 gene:ENSMUSG00000109187.1 transcript:ENSMUST00000209137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1496 description:olfactory receptor 1496 [Source:EntrezGene;Acc:258991] MNMDQENQTSISEFILLGLSNQAEKQKLIFVIFLSMYLVTVIGNSLIILAIGLDIHLHTP MYLFLANLSFADISSSSTSVPKMLMNIQTNSQSISYEGCITQMYFSIVFVVIDNFLLGVM AYDRYVAICHPLNYTNIMHPRFCLLLSFCPWALSNIVALTHTLLANQLIFCNHNTIQHFF CDLAPLIKLSCSDAMINELVKFVVGLSVITFPFALILFSYVCIIRDVLRISSTEGKWKAF STCGSHLTIVFLFYGTIVGVYFFPSSTHPEDTDKIGAVLFTVVTPMLNPFIYSLRNKDMK GALRKLINKSHLLPLMS >ENSMUSP00000141402.1 pep:known chromosome:GRCm38:1:107535508:107547072:1 gene:ENSMUSG00000102843.1 transcript:ENSMUST00000191955.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb10 description:serine (or cysteine) peptidase inhibitor, clade B (ovalbumin), member 10 [Source:MGI Symbol;Acc:MGI:2138648] MEFNSGKFEEIQSDFQTLAAEILKPGNSYVLKTANRIYGEKTYPFHNKYLEDMKTYFGAE PQSVNFVEASGQIRKEINSWVGSQTGGKIPNLLPDDSVDTKTKMVLVNALYFKGTWEHQF SVKSTTERPFRVNKTTSKPVQMMSMKQSLQVFHIEELQTIGLQLHYQNRDLSLLLLLPEA IDGLEQLERAITYEKLDKWTSADMMDTYEVQLYLPKFKMEESYDLKSALRGMGMTDVFSQ SKADFSNMTSERNLFLSNVFHKTFLEINEEGTEAAAGTGSEISVRIKAPSIELNVDHPFL FFIRHNKTKSILFCGRFCSP >ENSMUSP00000043311.8 pep:known chromosome:GRCm38:15:81002628:81011736:1 gene:ENSMUSG00000103565.1 transcript:ENSMUST00000042506.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgsm3 description:small G protein signaling modulator 3 [Source:MGI Symbol;Acc:MGI:1916329] MKSCRRPQHMMSGNHTPSASGPFSALTPSIWPQEILAKYSQKEESSEQPELCYDEFGFRV DKEGSEPGCSQMTGSPLVEDPPQRLRWQAHLEFTHNHDVGDLTWDKIAVSLPRSEKLRSL VLAGIPHGMRPQLWMRLSGALQKKKNSELSYREIIKNSSNDETIAAKQIEKDLLRTMPSN ACFANVNSIGVPRLRRVLRALAWLYPEIGYCQGTGMVAACLLLFLEEEDAFWMMCAIIED LLPASYFSTTLLGVQTDQRVLRHLIVQYLPRLDKLLQEHDIELSLITLHWFLTAFASVVH IRLLLRIWDLFFYEGSLVLFQTTLGMLRLKEEELIQSENSASIFNTLSDIPAQMDDAELL LGEAMRLAGSLTDVAVETQRRKHLAYLIADQGQTLGTGTTTNLSQVVRRRTQRRKSGITS LLFGEDDLEALKAKNIKQTELVADLREAILRVARHFQCTDPKNCSVELTPDYSMESHQRD HENYVACLRSHRRRAKALLDFERHDDDELGFRKNDIITIISQKDEHCWVGELNGLRGWFP AKFVEVLDERSKEYSIAGDDSVTEGVTDLVRGTLCPALKALFEHGLKKPSLLGGACHPWL FIEEAAGREVERDFDSVYSRLVLCKTYRLDEDGKVLTPEELLYRAVQSVNVTHDAAHAQM DVKLRSLICVGLNEQVLHLWLEVLCSSLPTVEKWYQPWSFLRSPGWVQIKCELRVLCCFA FSLSQDWELPARREEEKQPLKEGVQDMLVKHHLFSWDIDG >ENSMUSP00000076203.3 pep:known chromosome:GRCm38:17:37609817:37610755:-1 gene:ENSMUSG00000108817.1 transcript:ENSMUST00000076936.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr126 description:olfactory receptor 126 [Source:MGI Symbol;Acc:MGI:2177509] MIMENITTMSGFLLMGFSDNRELQILQALLFLVTYLVGSAGNCIIITITTLDPQLKSPMY YFLKHLSILDLSSLSVTVPQYVDSSLARSGYISYEQCMLQILFFTCFAWDEMAILTVMSY DRYVAVCLPLHYEVIMSPRKCTWALAAVWLSGGVSGTLYTASTLSIRFCGDRIIHQFFCD VPQVLKLSCSNDYLVTIGVANILSAVAFACFIGIVISYVHIFSTVLRMPSAESRYKVFST CLPHLFVVSLFLSTSTFAYLNPTADSPTALEFLFSILYTVLPPTINPVIYSLRNETIKRV VRKLLSSTKFTV >ENSMUSP00000113045.2 pep:known chromosome:GRCm38:7:45575820:45589344:1 gene:ENSMUSG00000109075.1 transcript:ENSMUST00000120864.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC151602.2 MTKEPQKKPAPSQALLFGKTFTDHMLMVEWNNKAGWGPPRIQPFQNLTLHPACSGLHYSL QLFEGLKAYKGGDQQVRLFRPWLNMDRMLRSARRLCLPDFDKQELLECIRQLIEVDKDWV PDGNGTSLYVRPVLIGNEPSLGVGMVTQALLYVILCPVGSYFPGDSMTPVSLLADPSFVR AWIGGVGDCKLGGNYGPTVAVQREAQKRGCEQVLWLYGPDHQLTEVGTMNIFVYWTHEDG VLELVTPPLNGVILPGVVRQSLLDLARTWGEFRVAERKVTMKELKRALEEGRVREVFGSG TACQVCPVHQILYEGKQLHIPTMENGPELILRFQKELKAIQYGASAHDWMFRV >ENSMUSP00000040057.7 pep:known chromosome:GRCm38:6:116285891:116329484:1 gene:ENSMUSG00000108273.1 transcript:ENSMUST00000036503.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfand4 description:zinc finger, AN1-type domain 4 [Source:MGI Symbol;Acc:MGI:1914742] MRGGPISTRKVPVEDPLRELAEYMDSSRDEVWEKTSCNKQVTFLVYREGDQLNFFRVVDR GDGTLTPLSESLSGSVYNLYTDEDEEAEPSPSGQQIIENSITMNKMKLLKAKMENMNLSK KPKKVVKVKPRPPLAPRPTSSSTAAARHRLLRVLPHIGQSCLPSGNAHLPETSRNAGPSP AAQAPADRPVSSLRNELLKDDDNWEINMLSHSTSSIRLLPQLTHIELESDKELADSVLHL GSSLSRRTKHLSGNLLSNNEDDVVLFPRSEECVADELLLPEVGAFAPFAEGTGAEQSSGV EGLGKVTPEFPLTKGDGGLRAAEQPLSHVARVLSSEPGDNAVLNHREPSSHKNRLLSPLL CAAPVSLHNSLVKPQRQSKCFESGNPSASTSQNTLRELDIRTIADSSFSRTARFRGVKVD SPGKRSDIISKVEARDITEMANKASKEPVGCVNNNGFLASLARSASRDSLQSTHGACRLR SSGIGLSTNFQHFQDENIRKSSPQSEPTDFFLSARGIGMSGSNAAAGKRIGESIHHLPPV KAPLQTKKKIMKHCFLCGKKTGLATSFECRCGNNFCASHRYAEAHGCTYDYKSAGRRYLE EANPVVNAPKLPKI >ENSMUSP00000103430.1 pep:known chromosome:GRCm38:7:45217219:45232928:1 gene:ENSMUSG00000109470.1 transcript:ENSMUST00000097216.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead2 description:TEA domain family member 2 [Source:MGI Symbol;Acc:MGI:104904] MGDPRTGAPLDDGGGWTGSEEGSEEGTGGSEGVGGDGSPDAEGRNELIARYIKLRTGKTR TRKQVSSHIQVLARRKSREIQSKLKDQVSKDKAFQTMATMSSAQLISAPSLQAKLGPSGP QATELFQFWSGSSGPPWNVPDVKPFSQAPFSVSLTPPASDLPGYEPPPALSPLPPPAPSP PAWQARALGTARLQLIEFSAFVEPPDAVDSFQRHLFVHISQQCPSPGAPPLESVDVRQIY DKFPEKKGGLRELYDRGPPHAFFLVKFWADLNWGPSAEEAGSSGGGGGFYGVSSQYESRE LMTLTCSSKVCSFGKQVVEKVETERAQLEDGRFVYRLLRSPMCEYLVNFLHKLRQLPERY MMNSVLENFTILQVVTNRDTQELLLCTAYVFEVSTSERGAQYHIYRLVRD >ENSMUSP00000033063.6 pep:known chromosome:GRCm38:7:45233901:45239019:-1 gene:ENSMUSG00000109208.1 transcript:ENSMUST00000033063.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd37 description:CD37 antigen [Source:MGI Symbol;Acc:MGI:88330] MDTCEEPIVSLAPTYSKDPQAKMSAQESCLSLIKYFLFVFNLFFFVLGGLIFCFGTWILI DKTSFVSFVGLSFVPLQTWSKVLAVSGVLTMALALLGCVGALKELRCLLGLYFGMLLLLF ATQITLGILISTQRVRLERRVQELVLRTIQSYRTNPDETAAEESWDYAQFQLRCCGWQSP RDWNKAQMLKANESEEPFVPCSCYNSTATNDSTVFDKLFFSQLSRLGPRAKLRQTADICA LPAKAHIYREGCAQSLQKWLHNNIISIVGICLGVGLLELGFMTLSIFLCRNLDHVYDRLA RYR >ENSMUSP00000103388.1 pep:known chromosome:GRCm38:7:45516316:45522356:1 gene:ENSMUSG00000109120.1 transcript:ENSMUST00000107759.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp2 description:tubby-like protein 2 [Source:MGI Symbol;Acc:MGI:1861600] MSYVADGSGERAPLLSPRGAVYTRGNGPAVRHHLCWLPDSSDSDVEEVTMEDIPVISRPP QTNLANLRRGWLASPGPGISQEEKEEEVGSTDARVEDKTPSPDPDPDPTVNSDGDHGDLA PCKVEENTAQKNTETASGIGDEDREKGEVTESTETNYAPVASKVLQGDDGDASNHNAWNM TCPQPRIPGPRLGEDMEAYVLLPAPRDHMVQCRIVRNKHGMDKGMFPSYYLYLEAEDGVA HFLLAGRKRKRSKTSNYLISLDPKDMSRNGSNFVGKVRSNVLGTKFTIFDNGVNPERSYW VPDSARIREELGVVCYETNVLGFRGPRKMTVILPGMDSRKQRMKVQPQNDQDSILSRVQK GAGHGLLLLQNKAPSWSDESGAYVLNFHGRVTRASVKNFQIVHPDEPDHLVLQFGRVAPN IFTMDFRYPLCPLQAFAICLSSFDGKLACE >ENSMUSP00000103387.2 pep:known chromosome:GRCm38:7:45516316:45522447:1 gene:ENSMUSG00000108951.1 transcript:ENSMUST00000107758.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC151602.1 MSYVADGSGERAPLLSPRGAVYTRGNGPAVRHHLCWLPDSSDSDVEEVTMEDIPVISRPP QTNLANLRRGWLASPGPGISQEEKEEEVGSTDARVEDKTPSPDPDPDPTVNSDGDHGDLA PCKVEENTAQKNTETASGIGDEDREKGEVTESTETNYAPVASKVLQGDDGDASNHNAWNM TCPQPRIPGPRLGEDMEAYVLLPAPRDHMVQCRIVRNKHGMDKGMFPSYYLYLEAEDGVA VRSSPLGHFLLAGRKRKRSKTSNYLISLDPKDMSRNGSNFVGKVRSNVLGTKFTIFDNGV NPERSYWVPDSARIREELGVVCYETNVLGFRGPRKMTVILPGMDSRKQRMKVQPQNDQDS ILSRVQKGAGHGLLLLQNKAPSWSDESGAYVLNFHGRVTRASVKNFQIVHPDEPDHLVLQ FGRVAPNIFTMDFRYPLCPLQAFAICLSSFDGKLAFF >ENSMUSP00000138412.1 pep:known chromosome:GRCm38:7:45684465:45694390:1 gene:ENSMUSG00000109144.1 transcript:ENSMUST00000182750.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntn5 description:netrin 5 [Source:MGI Symbol;Acc:MGI:2685330] MTDYRTLFSSPGPKTRIVASYLRVEFGGKAGLVTTGVRGRCQCHGHAARCATRAQPPRCR CRHHTTGPGCESCRPSHRDWPWRPATPQHPHPCLPCSCNQHARRCRFNSELFRLSGGRSG GVCERCRHHTAGRHCHYCQPGFWRDPSQPITSHKACRACQCHPIGATGGMCNQTSGQCSC KLGVTGLTCNRCGPGYQQSRSPRMPCQRIPEATTTPATTPVASRSDPQCQGYCNVSVSSV HMSLQRYCQQDYVLHAQVSASSSQPSEAVGPEWWRLAVHVLAVFKQRAWPVRRGGQEAWV PRADLICGCLRLRPGADYLLLGRAAQTHDDDNYDPARLILNRHGLALPWRPRWARPLRRL QQKERGGACRGLLPPTRSPGPRN >ENSMUSP00000113955.1 pep:known chromosome:GRCm38:7:45887046:45895783:-1 gene:ENSMUSG00000108898.1 transcript:ENSMUST00000120299.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syngr4 description:synaptogyrin 4 [Source:MGI Symbol;Acc:MGI:1928903] MHLPESLHDLADNETVRFLRRPKSISRIFGGVFSLVIFSSLLTDGYQNRTESPQLRCVLN SNHMACSFAVGAGFLSFLSCLVFLAIDAYERRLVGTRFKIAFQLLDFILADTPGLPSLPG PPRRGSSPLQALPGGG >ENSMUSP00000142146.1 pep:known chromosome:GRCm38:1:4292981:4409187:-1 gene:ENSMUSG00000109048.1 transcript:ENSMUST00000194992.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rp1 description:retinitis pigmentosa 1 (human) [Source:MGI Symbol;Acc:MGI:1341105] MLEKLQVSPKMSDTPSTSFSMIHLTSEGQVPSPRHSNITHPVVAKRISFYKSGDPQFGGV RVVVNPRSFKTFDALLDSLSRKVPLPFGVRNISTPRGRHSITRLEELEDGKSYVCSHNKK VLPVDLDKARRRPRPWLSSRSISTHVQLCPATANMSTMAPGMLRAPRRLVVFRNGDPKNK HVVLLSRRITQSFEAFLQYLTQVMQCPVAKLYATDGRKVPSLQAVILSSGAVVAAGREPF KPGNYDIQKYLLPAKLPGISHRVHQKGKAKIEKRKNYGWGHRNAV >ENSMUSP00000146671.1 pep:known chromosome:GRCm38:18:37761879:37840129:1 gene:ENSMUSG00000109483.1 transcript:ENSMUST00000208907.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdhgb8 description:protocadherin gamma subfamily B, 8 [Source:EntrezGene;Acc:93705] MERSSVKLGSRTPWRQVLFPFLLPLFCTGLSEQVRYSIPEEMAMGSVVGNLAEDLGLPVQ DLLTRNLRVIAEKPYLSVNPENGNIVVSDRIDREFLCFQSPLCVLPLEIVAENPLNVFHV SVVIEDINDNPPRFLQNSIVLQINELAIPGTRFGLESAIDADVGLNSLQSYQLSLNEHFS LVVKDNTEGKDAPELVLEKPLDREKQSSQLLVLTAVDGGEPVLTGTAQIQIEVTDANDNP PVFSQSTYKVSLREDMAAGTSVLTVIATDQDEGVNAEVTYSFKSLGEDIRDKFILDHQSG EIKSKGPIDFETKRTYTMNIEAKDGGGMASECKVVVEILDENDNAPEVVFTSVSNSITED AEPGTVVALFKTYDKDSEENGRVSCFVKETVPFRIESSASNYYKLVTDGILDREQTPEYN VTIIATDKGKPPLSSSTSVTLHVGDINDNAPVFHQTSYLIQVAENNPPGASIAQVSAFDP DLGSNGFISYSIIASDLEPKSLWSYVSVNQDSGVVFAQRAFDHEQLRSFQLTLQARDQGK PSLSANVSMRVLVGDRNDNAPRVLYPTLEPDGSALFDMVPRAAEPGYLVTKVVAVDADSG HNAWLSYHVLQASDPGLFSLGLRTGEVRTARALGDRDSARQRLLVAVRDGGQPPLSATAT LHLIFADSLQEVLPDLRDEPLLSDSQSELQFYLVVALALVSVLFLFVVILAIVLRLRQSH GPAVSDYFQSGLCCKTRPEVSLNYGEGTLPYSYNLYVASNCQKTISQFLTLTPEMVPPRD LCTEASVAVSVAEENNKIVSDSIASNHQAPPNTDWRFSQAQRPGTSGSQNGDETGTWPNN QFDTEMLQAMILASASEAADGSSTLGGGAGTMGLSARYGPQFTLQHVPDYRQNVYIPGSN ATLTNAAGKRDGKAPAGGNGNKKKSGKKEKK >ENSMUSP00000145693.1 pep:known chromosome:GRCm38:6:139841691:139967943:1 gene:ENSMUSG00000108684.1 transcript:ENSMUST00000206646.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3c2g description:phosphatidylinositol 3-kinase, C2 domain containing, gamma polypeptide [Source:EntrezGene;Acc:18705] MGLLRDAQGEAYFKSWYQELLAALQFCAGEALNEELSKEQKLVKLLGDIGEKVKSASDPQ RKDVLKKEIGSLEEFFKDIKTCHLPLNPALCIKGIDRDACSYFTSNASPLKITFINANPM GKNISVIFKAGDDLRQDMLALQIIQVMDNAWLQEGLDMQMITYGCLSTGRAQGFIEMVPD AVTLAKIHLHSGLIGPLKENTIKKWFSQHNHLKEDYEKALRNFFYSCAGWCVVTFILGVC DRHNDNIMLTKSGHMFHIDFGKFLGHAQTFGGIKRDRAPFIFTSEMEYFITEGGKNTQHF QDFVELCCRAYNIVRKHSQLILSLLEMMLHAGLPELRGIEDLKYVHNNLRPQDTDLEATS HFTKKIKESLECFPVKLNNLIHTLAQMPALSLAKPAPQTLLQESCILNKTRTIQRVTILG FSKTHSNLYLMEVTCSDNRRSLTKKSFEQFYRLHSQMQKQFSSLALPEFPHWWHLPFTDS DHKRIRDLSHYVEQVLRGSYEVANSDCVLSFFLSEHIQPTLEDSPFVDPGENSLDKSPKV QLLMTYEDSRLTILVKHLKNIHLPDGSVPSAHVEIYLLPHPSEVRRKKTKCVPKCTDPTY NEIVVYDEVLGLQGHVLMLIVKSKTVFVGAVNIQLCSVPLNEEKWYPLGNSII >ENSMUSP00000113746.1 pep:known chromosome:GRCm38:7:27311715:27333604:-1 gene:ENSMUSG00000108715.1 transcript:ENSMUST00000118961.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ltbp4 description:latent transforming growth factor beta binding protein 4 [Source:MGI Symbol;Acc:MGI:1321395] MAGGAQLLWVSLLVLLAQLGPQPVLGRPRERLRVRFTPAVCGLRCIHGPTGSRCTPTCAP RNATSVDSGAPGGAAPGGPGFRAFLCPLICHNGGVCVKPDRCLCPPDFAGKFCQLHSSGA RPPAPAMPGLTRSVYTMPLANHRDDEHGVASMVSVHVEHPQEASVVVHQVERVSGPWEEA NPEALARAEAAARAEAAAPYTVLAQSAPREDGYSDASGFGYCFRELRGSECASPLPGLRT QEVCCRGEGLAWGVHDCHPCAEHLRNSNQVSGPNGPCPPGFERVNGSCVDVDECATGGRC QHGECANTRGGYTCVCPDGFLLDSSRSSCISQHVISEAKGPCYRVLHDGGCSLPILRNIT KQICCCSRVGKAWGRGCQLCPPYGSEGFREICPAGPGYHYSASDLRYNTRPLNQDPPRVT FNQPRVPPATPRPPTGFLPTRRPEPRPDPGPQPEPRPRPEPRPRPESRPRPEPRPRPEPR PQPESQPRPESRPRPESQPWPEFPLPSIPAWTGPEIPESGPSSSMCQRNPQVCGPGRCVP RPSGYTCACDPGFRLGPQGTRCIDIDECRRVPTPCAPGRCENTPGSFRCVCGTGFQAGPR ATECLDVDECRRVPPPCDRGRCENTPGSFLCVCPAGYQAAPHGASCQDVDECTQSPGLCG RGVCENLPGSFRCVCPAGFRGSACEEDVDECAQQPPPCGPGRCDNTAGSFHCACPAGFRS RGPGAPCQDVDECSRSPSPCAYGRCENTEGSFKCVCPTGFQPNAAGSECEDVDECENRLA CPGQECVNSPGSFQCRACPVGHHLHRGRCTDVDECSSGTPCGLHGQCTNTKGSFHCSCST GYRAPSGQPGPCADINECLEGDFCFPHGECLNTDGSFTCTCAPGYRPGPRGASCLDVDEC SEEDLCQSGICTNTDGSFECICPPGHRAGPDLASCLDIDECRERGPALCGSQRCENSPGS YRCVRDCDPGYHPGPEGTCDDIDECREYGSAICGAQRCENTPGSYRCTPACDPGYQPTPG GGCQDVDECRNRSFCGAHAMCQNLPGSFQCVCDQGYEGARDGRHCVDVNECETLQGVCGS ALCENVEGSFLCVCPNSPEEFDPMTGRCVPPRAPAGGWTSQGTEHHGPVSIQKEFGISLG MLCESVE >ENSMUSP00000082438.5 pep:known chromosome:GRCm38:7:45516316:45522356:1 gene:ENSMUSG00000109474.1 transcript:ENSMUST00000085331.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp2 description:tubby-like protein 2 [Source:MGI Symbol;Acc:MGI:1861600] MSYVADGSVYTRGNGPAVRHHLCWLPDSSDSDVEEVTMEDIPVISRPPQTNLANLRRGWL ASPGPGISQEEKEEEVGSTDARVEDKTPSPDPDPDPTVNSDGDHGDLAPCKVEENTAQKN TETASGIGDEDREKGEVTESTETNYAPVASKVLQGDDGDASNHNAWNMTCPQPRIPGPRL GEDMEAYVLLPAPRDHMVQCRIVRNKHGMDKGMFPSYYLYLEAEDGVAHFLLAGRKRKRS KTSNYLISLDPKDMSRNGSNFVGKVRSNVLGTKFTIFDNGVNPERSYWVPDSARIREELG VVCYETNVLGFRGPRKMTVILPGMDSRKQRMKVQPQNDQDSILSRVQKGAGHGLLLLQNK APSWSDESGAYVLNFHGRVTRASVKNFQIVHPDEPDHLVLQFGRVAPNIFTMDFRYPLCP LQAFAICLSSFDGKLACE >ENSMUSP00000138144.1 pep:known chromosome:GRCm38:7:45684465:45694154:1 gene:ENSMUSG00000108927.1 transcript:ENSMUST00000183120.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntn5 description:netrin 5 [Source:MGI Symbol;Acc:MGI:2685330] MTDYRTLFSSPGAGSTVTTPITLSLLLLLSQATSDPCYDPGGRPRFCLPPVTQLVGKAAA PCSQTCALPAASPGPACNSSLTLDLDGSFLLTSVTLRFCTAGPPALVLSAAWATGGPWRP LWRRPAWPGALGGPKKVTFHSPPGPKTRIVASYLRVEFGGKAGLVTTGVRGRCQCHGHAA RCATRAQPPRCRCRHHTTGPGCESCRPSHRDWPWRPATPQHPHPCLPCQCHPIGATGGMC NQTSGQCSCKLGVTGLTCNRCGPGYQQSRSPRMPCQRIPEATTTPATTPVASRSDPQCQG YCNVSVSSVHMSLQRYCQQDYVLRGLRQRDASDFWIGLGYRFCTPRFQRRRRSRLRRWAP SGGGWPCTCWLCSNSVRGPCAAVARRPGCHVLT >ENSMUSP00000112750.1 pep:known chromosome:GRCm38:7:89918953:89923686:-1 gene:ENSMUSG00000108996.1 transcript:ENSMUST00000117354.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:l7Rn6 description:lethal, Chr 7, Rinchik 6 [Source:MGI Symbol;Acc:MGI:96738] MNIVRTPSVAQIGISVELLDSLAQQTPVGSAAVSSVDSFTQFTQKMLDNFYNFASSFALS QAQMTPNPSEMFIPANVVLKWYENFQRRLAQNPLFWKT >ENSMUSP00000133134.1 pep:known chromosome:GRCm38:7:128248895:128253365:1 gene:ENSMUSG00000108631.1 transcript:ENSMUST00000163609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfb1i1 description:transforming growth factor beta 1 induced transcript 1 [Source:MGI Symbol;Acc:MGI:102784] MSQFPSSKMAEGEEKEDQSEDKSSPTVPPSPFPAPSKPSATSATQELDRLMASLSDFRVQ NHLPASGPPQPPAASPTREGCPSPPGQTSKGSLDTMLGLLQSDLSRRGVPTQAKGLCGSC NKPIAGQVVTALGRAWHPEHFLCSGCSTTLGGSSFFEKDGAPFCPECYFERFSPRCGFCN QPIRHKMVTALGTHWHPEHFCCVSCGEPFGEEGFHEREGRPYCRRDFLQLFAPRCQGCQG PILDNYISALSALWHPDCFVCRECLAPFSGGSFFEHEGRPLCENHFHAQRGSLCATCGLP VTGRCVSALGRRFHPDHFTCTFCLRPLTKGSFQERASKPYCQPCFLKLFG >ENSMUSP00000000001.4 pep:known chromosome:GRCm38:3:108107280:108146146:-1 gene:ENSMUSG00000000001.4 transcript:ENSMUST00000000001.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnai3 description:guanine nucleotide binding protein (G protein), alpha inhibiting 3 [Source:MGI Symbol;Acc:MGI:95773] MGCTLSAEDKAAVERSKMIDRNLREDGEKAAKEVKLLLLGAGESGKSTIVKQMKIIHEDG YSEDECKQYKVVVYSNTIQSIIAIIRAMGRLKIDFGESARADDARQLFVLAGSAEEGVMT SELAGVIKRLWRDGGVQACFSRSREYQLNDSASYYLNDLDRISQTNYIPTQQDVLRTRVK TTGIVETHFTFKELYFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSDYDLVLAEDEEM NRMHESMKLFDSICNNKWFTDTSIILFLNKKDLFEEKIKRSPLTICYPEYTGSNTYEEAA AYIQCQFEDLNRRKDTKEVYTHFTCATDTKNVQFVFDAVTDVIIKNNLKECGLY >ENSMUSP00000029643.8 pep:known chromosome:GRCm38:3:129824912:129831396:-1 gene:ENSMUSG00000028010.16 transcript:ENSMUST00000029643.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gar1 description:GAR1 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1930948] MSFRGGGRGGFNRGGGGGGFNRGGGSNNHFRGGGGGGGGSFRGGGGGGGGSFRGGGRGGF GRGGGRGGFNKFQDQGPPERVVLLGEFMHPCEDDIVCKCTTEENKVPYFNAPVYLENKEQ VGKVDEIFGQLRDFYFSVKLSENMKASSFKKLQKFYIDPYKLLPLQRFLPRPPGEKGPPR GGGGGGRGGRGGGRGGGGRGGGRGGGFRGGRGGGGGFRGGRGGGGFRGRGH >ENSMUSP00000115191.1 pep:known chromosome:GRCm38:3:129824916:129829366:-1 gene:ENSMUSG00000028010.16 transcript:ENSMUST00000134174.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gar1 description:GAR1 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1930948] DEIFGQLRDFYFSVKLSENMKASSFKKLQKFYIDPYKLLPLQRFLPRPPGEKGPPRGGGG GGRGGRGGGRGGGGRGRGH >ENSMUSP00000118752.1 pep:known chromosome:GRCm38:3:129826843:129830932:-1 gene:ENSMUSG00000028010.16 transcript:ENSMUST00000149071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gar1 description:GAR1 ribonucleoprotein [Source:MGI Symbol;Acc:MGI:1930948] MSFRGGGRGGFNRGGGGGGFNRGGGSNNHFRGGGGGGGGSFRGGGGGGGGSFRGGGRGGF GRGGGRGGFNKFQDQGPPERVVLLGEFMHPCEDDIVCKCTTEENKVPYFNAPVYLENKEQ VGKVDEIFGQLRDFYFSVKLSENMKASSFKKLQKFYIDPYKLLPLQRFLPRPPGEKGPPR GGGGGGRGGRGGGRGGGG >ENSMUSP00000100547.1 pep:known chromosome:GRCm38:13:21495223:21497146:1 gene:ENSMUSG00000078139.3 transcript:ENSMUST00000104942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AK157302 description:cDNA sequence AK157302 [Source:MGI Symbol;Acc:MGI:3574096] MSASLVRATVWAVSKRKLQPTRAALTLTPSAVNKIKQLLKDKPEHVGLKVGVRTRGCNGL SYSLEYTKTKGDSDEEVIQDGVRVFIEKKAQLTLLGTEMDYVEDKLSSEFVFNNPNIKGT CGCGESFHV >ENSMUSP00000129565.1 pep:known chromosome:GRCm38:19:4035407:4037921:-1 gene:ENSMUSG00000060803.5 transcript:ENSMUST00000169613.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstp1 description:glutathione S-transferase, pi 1 [Source:MGI Symbol;Acc:MGI:95865] MPPYTIVYFPVRGRCEAMRMLLADQGQSWKEEVVTIDTWMQGLLKPTCLYGQLPKFEDGD LTLYQSNAILRHLGRSLGLYGKNQREAAQMDMVNDGVEDLRGKYVTLIYTNYENGKNDYV KALPGHLKPFETLLSQNQGGKAFIVGDQISFADYNLLDLLLIHQVLAPGCLDNFPLLSAY VARLSARPKIKAFLSSPEHVNRPINGNGKQ >ENSMUSP00000107168.1 pep:known chromosome:GRCm38:2:89362483:89364861:-1 gene:ENSMUSG00000075090.3 transcript:ENSMUST00000111543.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1234 description:olfactory receptor 1234 [Source:MGI Symbol;Acc:MGI:3031068] MENRNNVTEFILLGLTQNPEGQKVLFVTFLLIYIVTVMGNLLIMVTIMASHSLGSPMYFF LAYLSFIDTVYSTSIAPKMIIDLLYETKTISFRACMTQVFIDHLFAGAEVILLVVMAYDR YVAICKPLHYLTIMNRRVCVLMLLGAWIGGFLHSLIQFIFIYQLPFCGPNIIDSFVCDMY PLLKLACTNTYLIGLCMIANGGAICTVTFLILLVSYGVILHSLKAHSLEGKRKALYTCAS HITVVVLFFVPCIFLYARPTSTFPIDKSVTVVLTFITPMLNPLIYTLRNAEMKNAMKRLW SKKSSSIVSGLYHS >ENSMUSP00000097371.1 pep:known chromosome:GRCm38:2:89362483:89363427:-1 gene:ENSMUSG00000075090.3 transcript:ENSMUST00000099783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1234 description:olfactory receptor 1234 [Source:MGI Symbol;Acc:MGI:3031068] MENRNNVTEFILLGLTQNPEGQKVLFVTFLLIYIVTVMGNLLIMVTIMASHSLGSPMYFF LAYLSFIDTVYSTSIAPKMIIDLLYETKTISFRACMTQVFIDHLFAGAEVILLVVMAYDR YVAICKPLHYLTIMNRRVCVLMLLGAWIGGFLHSLIQFIFIYQLPFCGPNIIDSFVCDMY PLLKLACTNTYLIGLCMIANGGAICTVTFLILLVSYGVILHSLKAHSLEGKRKALYTCAS HITVVVLFFVPCIFLYARPTSTFPIDKSVTVVLTFITPMLNPLIYTLRNAEMKNAMKRLW SKKSSSIVSGLYHS >ENSMUSP00000088491.5 pep:known chromosome:GRCm38:3:87987531:88000230:-1 gene:ENSMUSG00000004892.13 transcript:ENSMUST00000090971.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcan description:brevican [Source:MGI Symbol;Acc:MGI:1096385] MIPLLLSLLAALVLTQAPAALADDLKEDSSEDRAFRVRIGATQLRGVLGGALAIPCHVHH LRPPHSRRAAPGFPRVKWTFLSGDREVEVLVARGLRVKVNEAYRFRVALPAYPASLTDVS LVLSELRPNDSGVYRCEVQHGIDDSSDAVEVKVKGVVFLYREGSARYAFSFAGAQEACAR IGARIATPEQLYAAYLGGYEQCDAGWLSDQTVRYPIQNPREACSGDMDGYPGVRNYGVVG PDDLYDVYCYAEDLNGELFLGAPPSKLTWEEARDYCLERGAQIASTGQLYAAWNGGLDRC SPGWLADGSVRYPIITPSQRCGGGLPGVKTLFLFPNQTGFPSKQNRFNVYCFRDSAHPSA SSEASSPASDGLEAIVTVTEKLEELQLPQEAMESESRGAIYSIPISEDGGGGSSTPEDPA EAPRTPLESETQSIAPPTESSEEEGVALEEEERFKDLEALEEEKEQEDLWVWPRELSSPL PTGSETEHSLSQVSPPAQAVLQLGASPSPGPPRVRGPPAETLLPPREGSPTSTPGGAREV GGETGSPELSGVPRESEEAGSSSLEDGPSLLPATWAPVGPRELETPSEEKSGRTVLAGTS VQAQPVLPTDSASHGGVAVAPSSGDCIPSPCHNGGTCLEEKEGFRCLCLPGYGGDLCDVG LHFCSPGWEAFQGACYKHFSTRRSWEEAESQCRALGAHLTSICTPEEQDFVNDRYREYQW IGLNDRTIEGDFLWSDGAPLLYENWNPGQPDSYFLSGENCVVMVWHDQGQWSDVPCNYHL SYTCKMGLVSCGPPPQLPLAQIFGRPRLRYAVDTVLRYRCRDGLAQRNLPLIRCQENGLW EAPQISCVPRRPGRALRSMDAPEGPRGQLSRHRKAPLTPPSSL >ENSMUSP00000141455.1 pep:known chromosome:GRCm38:3:87997133:87999634:-1 gene:ENSMUSG00000004892.13 transcript:ENSMUST00000194193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcan description:brevican [Source:MGI Symbol;Acc:MGI:1096385] MIPLLLSLLAALVLTQAPAALADDLKEDSSEDRAFRVRIGATQLRGVLGGALAIPCHVHH LRPPHSRRAAPGFPRVKWTFLSGDREVEVLVARGLRVKVNEAYRF >ENSMUSP00000139465.1 pep:known chromosome:GRCm38:1:109982431:109995955:1 gene:ENSMUSG00000026312.17 transcript:ENSMUST00000137092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh7 description:cadherin 7, type 2 [Source:MGI Symbol;Acc:MGI:2442792] MKLGKVELCHFLQLIALFLCFSGMSQAELPRSRSKPYFQSGRSRTKRSWVWNQFFVLEEY MGSDPLYVGKVGHLAF >ENSMUSP00000108321.1 pep:known chromosome:GRCm38:1:109982710:110140157:1 gene:ENSMUSG00000026312.17 transcript:ENSMUST00000112701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh7 description:cadherin 7, type 2 [Source:MGI Symbol;Acc:MGI:2442792] MKLGKVELCHFLQLIALFLCFSGMSQAELPRSRSKPYFQSGRSRTKRSWVWNQFFVLEEY MGSDPLYVGKLHSDVDKGDGSIKYILSGEGASSIFIIDENTGDIHATKRLDREEQAYYTL RAQALDRLTNKPVEPESEFVIKIQDINDNEPKFLDGPYTAGVPEMSPVGTSVVQVTATDA DDPTYGNSARVVYSILQGQPYFSVEPKTGVIKTALPNMDREAKDQYLLVIQAKDMVGQNG GLSGTTSVTVTLTDVNDNPPRFPRRSYQYNVPESLPVASVVARIKAADADIGVNAEMEYK IVDGDGLGIFKISADKDTQEGIITIQKELDFEAKTSYTLRIEAANRDADPRFLSLGPFSD TTTVKIIVEDVDEPPVFSSPLYPMEVSEATQVGHIIGTVAAHDPDSSNSPVRYSIDRNTD LERYFNIDANSGVITTAKSLDRETNAVHNITVLAMESQNPSQVGRGYVAITILDINDNAP EFAMDYETTVCENAQPGQVIQKISAVDKDEPSNGHQFYFSLTTDMTNNHNFSLKDNKDNT ASILTRRNGFRRQEQSVYYLPIFIVDSGSPSLSSTNTLTIRVCDCDADGIAQTCNAEAYV LPAGLSTGALIAILACVLTLLVLILLIVTMRRRKKEPLIFDEERDIRENIVRYDDEGGGE EDTEAFDMAALRNLNAIRDSKTRRDVTPEIQFLSRPTFKNIPDNVIFREFIWERLKEADV DPGAPPYDSLQTYAFEGNGSVAESLSSLDSISSNSDQNYDYLSDWGPRFKRLAEMYGNGQ ESLYS >ENSMUSP00000140966.1 pep:known chromosome:GRCm38:1:109982981:109995323:1 gene:ENSMUSG00000026312.17 transcript:ENSMUST00000129923.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh7 description:cadherin 7, type 2 [Source:MGI Symbol;Acc:MGI:2442792] MKLGKVELCHFLQLIALFLCFSGMSQAELPRSRSKPYFQSGRSRTKRSWVWNQFFVLEEY MGSDPLYVGKVGHLAF >ENSMUSP00000120231.1 pep:known chromosome:GRCm38:1:109983006:109994382:1 gene:ENSMUSG00000026312.17 transcript:ENSMUST00000145188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh7 description:cadherin 7, type 2 [Source:MGI Symbol;Acc:MGI:2442792] MKLGKVELCHFLQLIALFLCFSGMSQAELPRSRSKPYFQSGRSRTKRSWVWNQFFVLEEY MGSDPLYV >ENSMUSP00000138046.1 pep:known chromosome:GRCm38:1:109983229:110139195:1 gene:ENSMUSG00000026312.17 transcript:ENSMUST00000131464.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdh7 description:cadherin 7, type 2 [Source:MGI Symbol;Acc:MGI:2442792] MKLGKVELCHFLQLIALFLCFSGMSQAELPRSRSKPYFQSGRSRTKRSWVWNQFFVLEEY MGSDPLYVGKQMI >ENSMUSP00000140650.1 pep:known chromosome:GRCm38:1:109983281:109998669:1 gene:ENSMUSG00000026312.17 transcript:ENSMUST00000146282.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh7 description:cadherin 7, type 2 [Source:MGI Symbol;Acc:MGI:2442792] MKLGKVELCHFLQLIALFLCFSGMSQAELPRSRSKPYFQSGRSRTKRSWVWNQFFVLEEY MGSDPLYVGKVGHLAF >ENSMUSP00000123394.1 pep:known chromosome:GRCm38:1:110099295:110138058:1 gene:ENSMUSG00000026312.17 transcript:ENSMUST00000134301.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh7 description:cadherin 7, type 2 [Source:MGI Symbol;Acc:MGI:2442792] XEFAMDYETTVCENAQPGQVIQKISAVDKDEPSNGHQFYFSLTTDMTNNHNFSLKDNKDN TASILTRRNGFRRQEQSVYYLPIFIVDSGSPSLSSTNTLTIRVCDCDADGIAQTCNAEAY VLPAGLSTGALIAILACVLTLLGLMFLSNRSFTCFVRVTPSADPPHCHYEKTKKRASHF >ENSMUSP00000027542.6 pep:known chromosome:GRCm38:1:109993982:110139189:1 gene:ENSMUSG00000026312.17 transcript:ENSMUST00000027542.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh7 description:cadherin 7, type 2 [Source:MGI Symbol;Acc:MGI:2442792] MKLGKVELCHFLQLIALFLCFSGMSQAELPRSRSKPYFQSGRSRTKRSWVWNQFFVLEEY MGSDPLYVGKLHSDVDKGDGSIKYILSGEGASSIFIIDENTGDIHATKRLDREEQAYYTL RAQALDRLTNKPVEPESEFVIKIQDINDNEPKFLDGPYTAGVPEMSPVGTSVVQVTATDA DDPTYGNSARVVYSILQGQPYFSVEPKTGVIKTALPNMDREAKDQYLLVIQAKDMVGQNG GLSGTTSVTVTLTDVNDNPPRFPRRSYQYNVPESLPVASVVARIKAADADIGVNAEMEYK IVDGDGLGIFKISADKDTQEGIITIQKELDFEAKTSYTLRIEAANRDADPRFLSLGPFSD TTTVKIIVEDVDEPPVFSSPLYPMEVSEATQVGHIIGTVAAHDPDSSNSPVRYSIDRNTD LERYFNIDANSGVITTAKSLDRETNAVHNITVLAMESQNPSQVGRGYVAITILDINDNAP EFAMDYETTVCENAQPGQVIQKISAVDKDEPSNGHQFYFSLTTDMTNNHNFSLKDNKDNT ASILTRRNGFRRQEQSVYYLPIFIVDSGSPSLSSTNTLTIRVCDCDADGIAQTCNAEAYV LPAGLSTGALIAILACVLTLLVLILLIVTMRRRKKEPLIFDEERDIRENIVRYDDEGGGE EDTEAFDMAALRNLNAIRDSKTRRDVTPEIQFLSRPTFKNIPDNVIFREFIWERLKEADV DPGAPPYDSLQTYAFEGNGSVAESLSSLDSISSNSDQNYDYLSDWGPRFKRLAEMYGNGQ ESLYS >ENSMUSP00000129715.1 pep:known chromosome:GRCm38:1:109983737:110139001:1 gene:ENSMUSG00000026312.17 transcript:ENSMUST00000172005.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh7 description:cadherin 7, type 2 [Source:MGI Symbol;Acc:MGI:2442792] MKLGKVELCHFLQLIALFLCFSGMSQAELPRSRSKPYFQSGRSRTKRSWVWNQFFVLEEY MGSDPLYVGKLHSDVDKGDGSIKYILSGEGASSIFIIDENTGDIHATKRLDREEQAYYTL RAQALDRLTNKPVEPESEFVIKIQDINDNEPKFLDGPYTAGVPEMSPVGTSVVQVTATDA DDPTYGNSARVVYSILQGQPYFSVEPKTGVIKTALPNMDREAKDQYLLVIQAKDMVGQNG GLSGTTSVTVTLTDVNDNPPRFPRRSYQYNVPESLPVASVVARIKAADADIGVNAEMEYK IVDGDGLGIFKISADKDTQEGIITIQKELDFEAKTSYTLRIEAANRDADPRFLSLGPFSD TTTVKIIVEDVDEPPVFSSPLYPMEVSEATQVGHIIGTVAAHDPDSSNSPVRYSIDRNTD LERYFNIDANSGVITTAKSLDRETNAVHNITVLAMESQNPSQVGRGYVAITILDINDNAP EFAMDYETTVCENAQPGQVIQKISAVDKDEPSNGHQFYFSLTTDMTNNHNFSLKDNKDNT ASILTRRNGFRRQEQSVYYLPIFIVDSGSPSLSSTNTLTIRVCDCDADGIAQTCNAEAYV LPAGLSTGALIAILACVLTLLVLILLIVTMRRRKKEPLIFDEERDIRENIVRYDDEGGGE EDTEAFDMAALRNLNAIRDSKTRRDVTPEIQFLSRPTFKNIPDNVIFREFIWERLKEADV DPGAPPYDSLQTYAFEGNGSVAESLSSLDSISSNSDQNYDYLSDWGPRFKRLAEMYGNGQ ESLYS >ENSMUSP00000133946.1 pep:known chromosome:GRCm38:1:173468509:173474052:-1 gene:ENSMUSG00000037849.7 transcript:ENSMUST00000037976.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4955 description:predicted gene 4955 [Source:MGI Symbol;Acc:MGI:3646410] KPRLKKVPKQPSEENGHQQGFKQVMVLKVTEPFTYDIRKDKRMFHATVATETEFFRVKVF DIALEEKFIPRKVIVISDYIGYNGFLEIYTASCVSEVNDSNVMNIPTSLRQKANATPKIS TLCTQRAGTFVNGTFTVYEKTVRSEFIYYGIEDRTGRMEVVVYGQFTKLYCEPGDKLSLF CFELSSSLDMWQLRSVRHSYMQVIQARKRDYELPNVHAIIETAQSSLSWIPEQQW >ENSMUSP00000134646.1 pep:known chromosome:GRCm38:1:173480371:173491041:-1 gene:ENSMUSG00000037849.7 transcript:ENSMUST00000160565.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4955 description:predicted gene 4955 [Source:MGI Symbol;Acc:MGI:3646410] MVNYYKQIVLLSGLEYMNDYNFRALKSLLNHDLKLTKNMQDDYDRIKIADLMEEKFPEDA GLSKLIEVCEDIPELADHVDILRKKKEKVKNKTKIKSESSPPPLTSSLMEAWEVEPAMVT ASSEESKDTIPESPDTMTTQFLEEKPKFPLLSTTSTSQAEGEPLTPQRFPTTASSSLQTP LEPPEISSTILATSQGSSVPYSTCDKSSRVPPVTASSSTQTIQTCLATSTLPCSHQATLK SPKTEPSSVQATQMTQAIKASGHNCPQVPAAPVSSSFIKPQVTPAMLLSGVQAPLMPQAT VPSCAQTFEMNPATMTNGCNSPQMSAATVHSSYSNPRVTPVTVASSGQSFRLTPSRWSSG FNSPHVSAATISSSYSKPHVTLAIVPHNAQTLQQTPAAMTSGCNSPQMSAATISSSYNNP QVTPATVPRSAQSLLIPQVAVPSSAFQMIFQMTPAAMAHGCNSPQISAATVHSSYSNPRV TPATVASSGQSFQLTPSRWSSGFNSPHVSAATISHSYSKPHVTLAIVPHNAQTLRQTPAA MTSGCNSPQMSAATISSSYNNPQVTPATVPRSAQSLLIPQVAVPSSAFQMIFQMTPAAMA NGCNSPQISAATVHSNYSNPRVTPATVASSDQSYRLTPSRWSSGFNSPHVSAATIPSSYQ NSPQVSSATMRRSFPAMSLSPATPPK >ENSMUSP00000133809.1 pep:known chromosome:GRCm38:1:173471426:173473926:-1 gene:ENSMUSG00000037849.7 transcript:ENSMUST00000169797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4955 description:predicted gene 4955 [Source:MGI Symbol;Acc:MGI:3646410] MFHATVATETEFFRVKVFDIALEEKFIPRKVIVISDYIGYNGFLEIYTASCVSEVNDSNV MNIPTSLRQKANATPKISTLCTQRAGTFVNGTFTVYEVSLRSEFIYYGIEDRTGRMEVVV YGQFTKLYCEPGDKLSLFCFELS >ENSMUSP00000054604.5 pep:known chromosome:GRCm38:13:21478907:21485507:1 gene:ENSMUSG00000054931.6 transcript:ENSMUST00000062609.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan4 description:zinc finger with KRAB and SCAN domains 4 [Source:MGI Symbol;Acc:MGI:3649412] MAREPGTSAALNARSAEEGTGLLVVKVEQEEASPLAEETSWLGSPGPDRSRQRFRAFRYP EAAGPRQALSRLRELCRQWLRPDMHSKEQILELLVLEQFLTILPGELQTWVREQHPDSGE EVVALLEYLDRQLDDTPPQVSTGEWSRELLCCKVAVVTPSQDSQSSHCQAMKSLFKHESQ ESLECSPLQARGLEMKPETRDLPRAEEYRDQKPEQTVCFLGEDTVPIPTGAEASEQEGKL QTAQKSATGTRRHFCCECGKSFAQSSGLTKHKRIHTGEKPYECEDCGKTFIGSSALVIHQ RVHTGEKPYECEECGKVFSHSSNLIKHQRTHTGEKPYECDDCGKTFTQSCSLLEHHKIHT GEKPFQCNLCGKAFRRSSHLLRHQRIHGDKTAPKPLHGEAWEGQSPVAGQGEDVEAPETY QCSECERSFTRRRSLLEHKKIHTGEKPYQCDACGKGFTRTSYLAQHQRSHVGKKLSHSDL >ENSMUSP00000095740.2 pep:known chromosome:GRCm38:7:107147226:107148329:1 gene:ENSMUSG00000073896.2 transcript:ENSMUST00000098137.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr716 description:olfactory receptor 716 [Source:MGI Symbol;Acc:MGI:3030550] MGRENQSFVDEFVLLGLSQDAQTQILLFVLFFIVYILTVLGNLLIIVLILMDSRLHTPMY FFLRNLSFADLCFSNSIVPQVLSHFLVKRKTISFWGCVTQVIVSLQIGCTECALLAVMSY DRYVAVCKPLHYSTIMTQQLCLQLALGSWASGLLVCLVDIAVAFHLPYRGQNIVSHYFCE LPALLKVASADTYSTEMAIFAMGVVILLAPVSLILISYWNIISTVIQMQSGEGRLKVFST CGSHLIVVVLFYGSAIFNYMQPNTKTRKKQDKIMSVFYTVVTPMLNPIIYSLRNKDVKSA FRKLAARVVFFRKQ >ENSMUSP00000080337.3 pep:known chromosome:GRCm38:2:109092297:109278290:-1 gene:ENSMUSG00000057234.9 transcript:ENSMUST00000081631.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl15 description:methyltransferase like 15 [Source:MGI Symbol;Acc:MGI:1924144] MLRYPYFYRTYNRLFSHFVDSGASNLDVCPHTIHTAVALHTESKAVEGTALCGPQKVYSS EEKELEAMAKLHIPVMVDQVVHCLAPQKGQVFLDMTFGSGGHTRAILQKEPDVMVYALDR DPVAYAIAEQLSRLYPTQIQALLGQFSQAEALLMKAGVQPGTIDGILMDLGCSSMQLDAP ERGFSLRKDGPLDMRMDGDRYPDTPTASDVVNALDQQALASILRAYGEEKHAKKIASAII QARSTYPISRTQQLASIVAGAFPPSAVYARKDLLQRSTHIATKTFQALRIFVNNELNELY AGLRTAEKFLKTGGRLVALSFHSLEDRIVKRFLLGISMTERFNLSIRQKVKQTSQLDSDQ ETEERHSVRAPLKWELIHKKVLTPEDQDVQDNPRGRSAKLRAAIKL >ENSMUSP00000116829.1 pep:known chromosome:GRCm38:2:109131572:109280748:-1 gene:ENSMUSG00000057234.9 transcript:ENSMUST00000147770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl15 description:methyltransferase like 15 [Source:MGI Symbol;Acc:MGI:1924144] MLRYPYFYRTYNRLFSHFVDSGASNLDVCPHTIHTAVALHTESKAVEGTALCGPQKVYSS EEKELEAMAKLHIPVMVDQVVHCLAPQKGQVFLDMTFGSGGHTRAILQKEPDVMVYALDR DPVAYAIAEQLSRLYPTQIQALLGQFSQAEALLMKAGVQPGTIDGILMDLGCSSMQLDAP ERGFSLRKDGPLDMRMDGDRYPDTPTASDVVNALDQQALASILRAYGEEK >ENSMUSP00000097372.2 pep:known chromosome:GRCm38:2:89339383:89340300:-1 gene:ENSMUSG00000075091.3 transcript:ENSMUST00000099784.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1233 description:olfactory receptor 1233 [Source:MGI Symbol;Acc:MGI:3031067] MNVTEFILMGLTQNPQLQRILLLMLLIIYIVTVTGNLLIVGTIVCSQTLNSPMYFFLAFL SLIDACYSSSIIPKMLADLMSERKTISFHGCMIQLFVEHFLGASEIVLLVVMAYDRYVAI CRPLHYVTRMNHHNCCVLVGVCWIMGFLHSFGQILVTLWIPFCGPNVMDHFCCDIFPLLQ LACADTFLLGLLIAANGGVIPVITFTMLLMSYAVILFSLRTHSTAGRKKALSTCSSHITV VVLFFVPCIYTYMRPVTTFHTDKAIAVFYTLVTPMLNPIIYTVRNAEVKNAIRMILKKNS ILDNK >ENSMUSP00000146353.1 pep:known chromosome:GRCm38:7:90302252:90375438:1 gene:ENSMUSG00000030616.15 transcript:ENSMUST00000207578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl2 description:synaptotagmin-like 2 [Source:MGI Symbol;Acc:MGI:1933366] MIDLSFLTEEEQDAILKVLQRDAALKRAEEERVRHLPEKIKDDQQLKNMSGQWFYEAKAK RHRDKIHGADIIRASMRRKKLPAAAEQNKDTAMRAKESWVNNVNKDAVLPPEIAVVEEPE DDTDPAGPSSSLVDPASSVIDMSQESTRTPAVSLPKQRKNPFNSPKLPEDHSLQQTKPEQ SKTGKAGLFQISKEGELSESKEKSSIPDMPR >ENSMUSP00000147191.1 pep:known chromosome:GRCm38:7:90348698:90385170:1 gene:ENSMUSG00000030616.15 transcript:ENSMUST00000208720.1 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Sytl2 description:synaptotagmin-like 2 [Source:MGI Symbol;Acc:MGI:1933366] MIDLSFLTEEEQDAILKVLQRDAALKRAEEERVRHLPEKIKDDQQLKNMSGQWFYEAKAK RHRDKIHGADIIRASMRRKKLPAAAEQNKDTAMRAKESWVNNVNKDAVLPPEIAVVEEPE DDTDPAGPSSSLVDPASSVIDMSQESTRTPAVSLPKQRKNPFNSPKLPEDHSLQQTKPEQ SKTGKAGLFQISKEGELSESKEKSSIPDMPRQQLEKPKQTVSTEPENASHTKAPIPKARK LIYKSNDLEKDDNQSFPRQRRDSLNARGAPRGILKRNSSSSSTDSETLRLNYNLDPKSKI LSPGLTIHERISEKEFSLEDDSSTSSLEPLKHVRFSAVKNELPQSPRPVLGQEVGEFTVL ESDQLQNGTEDAGDIEEFQNHPELSHKTPLSHYQLVSSPSDSGREREQLMSSGSAPRDEI PCHSDILPTGPQCVESSSVINGQQEKSSHFTKLPSELSKSPSDELTQCGEPEPSQTADHS FRDHRQGSEEEHSPVLKTLERRAARKLPSKSLEDIPSDSSSENKVCPTV >ENSMUSP00000139450.1 pep:known chromosome:GRCm38:7:90348699:90410439:1 gene:ENSMUSG00000030616.15 transcript:ENSMUST00000190837.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl2 description:synaptotagmin-like 2 [Source:MGI Symbol;Acc:MGI:1933366] MIDLSFLTEEEQDAILKVLQRDAALKRAEEERVRHLPEKIKDDQQLKNMSGQWFYEAKAK RHRDKIHGADIIRASMRRKKLPAAEIAVVEEPEDDTDPAGPSSSLVDPASSVIDMSQEST RTPAVSLPKQRKNPFNSPKLPEDHSLQQTKPEQSKTGKAGLFQISKEGELSESKEKSSIP DMPRQQLEKPKQTVSTEPENASHTKAPIPKARKLIYKSNDLEKDDNQSFPRQRRDSLNAR GAPRGILKRNSSSSSTDSETLRLNYNLDPKSKILSPGLTIHERISEKEFSLEDDSSTSSL EPLKHVRFSAVKNELPQSPRPVLGQEVGEFTVLESDQLQNGTEDAGDIEEFQNHPELSHK TPLSHYQLVSSPSDSGREREQLMSSGSAPRDEIPCHSDILPTGPQCVESSSVINGQQEKS SHFTKLPSELSKSPSDELTQCGEPEPSQTADHSFRDHRQGSEEEHSPVLKTLERRAARKL PSKSLEDIPSDSSNQAKVDNLPEELVRSAEDDQKADQEPDTNECIPGISTVPSLPDNQFS HPDKLKRMSKSVPAFLQDESDDRETDTASESSYQLRRYKKSPSSLTNLSSSSGMTSLSSA SGSVMSVYSGDFGNLEVKGSVQFALDYVESLKELHVFVAQCKDLAAADVKKQRSDPYVKT YLLPDKGKMGKKKTLVVKKTLNPVYNEILRYKIERQFLKTQKLNLSVWHRDTFKRNSFLG EVELDLETWDWDSKQNKQLKWYPLKRKTAPVALETENRGEMKLALQYVPEPSPGKKLPTT GEVHIWVKECLDLPLLRGSHLNSFVKCTILPDTSRKSRQKTRAVGKTTNPVFNHTMVYDG FRPEDLMEACVELTVWDHYKLTNQFLGGLRIGFGTGKSYGTEVDWMDSTSEEVALWEKMV NSPNTWVEATLPLRMLLIAKLSK >ENSMUSP00000102829.1 pep:known chromosome:GRCm38:7:90348699:90410439:1 gene:ENSMUSG00000030616.15 transcript:ENSMUST00000107211.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl2 description:synaptotagmin-like 2 [Source:MGI Symbol;Acc:MGI:1933366] MIDLSFLTEEEQDAILKVLQRDAALKRAEEERVRHLPEKIKDDQQLKNMSGQWFYEAKAK RHRDKIHGADIIRASMRRKKLPAAAEQNKDTAMRAKESWVNNVNKDAVLPPEIAVVEEPE DDTDPAGPSSSLVDPASSVIDMSQESTRTPAVSLPKQRKNPFNSPKLPEDHSLQQTKPEQ SKTGKAGLFQISKEGELSESKEKSSIPDMPRQQLEKPKQTVSTEPENASHTKAPIPKARK LIYKSNDLEKDDNQSFPRQRRDSLNARGAPRGILKRNSSSSSTDSETLRLNYNLDPKSKI LSPGLTIHERISEKEFSLEDDSSTSSLEPLKHVRFSAVKNELPQSPRPVLGQEVGEFTVL ESDQLQNGTEDAGDIEEFQNHPELSHKTPLSHYQLVSSPSDSGREREQLMSSGSAPRDEI PCHSDILPTGPQCVESSSVINGQQEKSSHFTKLPSELSKSPSDELTQCGEPEPSQTADHS FRDHRQGSEEEHSPVLKTLERRAARKLPSKSLEDIPSDSSNQAKVDNLPEELVRSAEDVS TVPSLPDNQFSHPDKLKRMSKSVPAFLQDESDDRETDTASESSYQLRRYKKSPSSLTNLS SSSGMTSLSSASGSVMSVYSGDFGNLEVKGSVQFALDYVESLKELHVFVAQCKDLAAADV KKQRSDPYVKTYLLPDKGKMGKKKTLVVKKTLNPVYNEILRYKIERQFLKTQKLNLSVWH RDTFKRNSFLGEVELDLETWDWDSKQNKQLKWYPLKRKTAPVALETENRGEMKLALQYVP EPSPGKKLPTTGEVHIWVKECLDLPLLRGSHLNSFVKCTILPDTSRKSRQKTRAVGKTTN PVFNHTMVYDGFRPEDLMEACVELTVWDHYKLTNQFLGGLRIGFGTGKSYGTEVDWMDST SEEVALWEKMVNSPNTWVEATLPLRMLLIAKLSK >ENSMUSP00000139865.1 pep:known chromosome:GRCm38:7:90348699:90410439:1 gene:ENSMUSG00000030616.15 transcript:ENSMUST00000190731.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl2 description:synaptotagmin-like 2 [Source:MGI Symbol;Acc:MGI:1933366] MIDLSFLTEEEQDAILKVLQRDAALKRAEEERVRHLPEKIKDDQQLKNMSGQWFYEAKAK RHRDKIHGADIIRASMRRKKLPAAAEQNKDTAMRAKESWVNNVNKDAVLPPEIAVVEEPE DDTDPAGPSSSLVDPASSVIDMSQESTRTPAVSLPKQRKNPFNSPKLPEDHSLQQTKPEQ SKTGKAGLFQISKEGELSESKEKSSIPDMPRQQLEKPKQTVSTEPENASHTKAPIPKARK LIYKSNDLEKDDNQSFPRQRRDSLNARGAPRGILKRNSSSSSTDSETLRLNYNLDPKSKI LSPGLTIHERISEKEFSLEDDSSTSSLEPLKHVRFSAVKNELPQSPRPVLGQEVGEFTVL ESDQLQNGTEDAGDIEEFQNHPELSHKTPLSHYQLVSSPSDSGREREQLMSSGSAPRDEI PCHSDILPTGPQCVESSSVINGQQEKSSHFTKLPSELSKSPSDELTQCGEPEPSQTADHS FRDHRQGSEEEHSPVLKTLERRAARKLPSKSLEDIPSDSSNQAKVDNLPEELVRSAEDDQ KADQEPDTNECIPGISTVPSLPDNQFSHPDKLKRMSKSVPAFLQDESDDRETDTASESSY QLRRYKKSPSSLTNLSSSSGMTSLSSASGSVMSVYSGDFGNLEVKGSVQFALDYVESLKE LHVFVAQCKDLAAADVKKQRSDPYVKTYLLPDKGKMGKKKTLVVKKTLNPVYNEILRYKI ERQFLKTQKLNLSVWHRDTFKRNSFLGEVELDLETWDWDSKQNKQLKWYPLKRKTAPVAL ETENRGEMKLALQYVPEPSPGKKLPTTGEVHIWVKECLDLPLLRGSHLNSFVKCTILPDT SRKSRQKTRAVGKTTNPVFNHTMVYDGFRPEDLMEACVELTVWDHYKLTNQFLGGLRIGF GTGKSYGTEVDWMDSTSEEVALWEKMVNSPNTWVEATLPLRMLLIAKLSK >ENSMUSP00000102828.1 pep:known chromosome:GRCm38:7:90348699:90410439:1 gene:ENSMUSG00000030616.15 transcript:ENSMUST00000107210.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl2 description:synaptotagmin-like 2 [Source:MGI Symbol;Acc:MGI:1933366] MIDLSFLTEEEQDAILKVLQRDAALKRAEEERVRHLPEKIKDDQQLKNMSGQWFYEAKAK RHRDKIHGADIIRASMRRKKLPAAAEQNKDTAMRAKESWVNNVNKDAVLPPEIAVVEEPE DDTDPAGPSSSLVDPASSVIDMSQESTRTPAVSLPKQRKNPFNSPKLPEDHSLQQTKPEQ SKTGKAGLFQISKEGELSESKEKSSIPDMPRQQLEKPKQTVSTEPENASHTKAPIPKARK LIYKSNDLEKDDNQSFPRQRRDSLNARGAPRGILKRNSSSSSTDSETLRLNYNLDPKSKI LSPGLTIHERISEKEFSLEDDSSTSSLEPLKHVRFSAVKNELPQSPRPVLGQEVGEFTVL ESDQLQNGTEDAGDIEEFQNHPELSHKTPLSHYQLVSSPSDSGREREQLMSSGSAPRDEI PCHSDILPTGPQCVESSSVINGQQEKSSHFTKLPSELSKSPSDELTQCGEPEPSQTADHS FRDHRQGSEEEHSPVLKTLERRAARKLPSKSLEDIPSDSSNQAKVDNLPEELVRSAEDDQ KADQEPDTNECIPGISTVPSLPDNQFSHPDKLKRMSKSVPAFLQDEASGSVMSVYSGDFG NLEVKGSVQFALDYVESLKELHVFVAQCKDLAAADVKKQRSDPYVKTYLLPDKGKMGKKK TLVVKKTLNPVYNEILRYKIERQFLKTQKLNLSVWHRDTFKRNSFLGEVELDLETWDWDS KQNKQLKWYPLKRKTAPVALETENRGEMKLALQYVPEPSPGKKLPTTGEVHIWVKECLDL PLLRGSHLNSFVKCTILPDTSRKSRQKTRAVGKTTNPVFNHTMVYDGFRPEDLMEACVEL TVWDHYKLTNQFLGGLRIGFGTGKSYGTEVDWMDSTSEEVALWEKMVNSPNTWVEATLPL RMLLIAKLSK >ENSMUSP00000130518.1 pep:known chromosome:GRCm38:16:45382135:45409053:-1 gene:ENSMUSG00000022661.14 transcript:ENSMUST00000163230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200 description:CD200 antigen [Source:MGI Symbol;Acc:MGI:1196990] MGSLVFRRPFCHLSTYSLIWGMAAVALSTAQVEVVTQDERKALHTTASLRCSLKTSQEPL IVTWQKKKAVSPENMVTYSKTHGVVIQPAYKDRINVTELGLWNSSITFWNTTLEDEGCYM CLFNTFGSQKVSGTACLTLYVQPIVHLHYNYFEDHLNITCSATARPAPAISWKGTGTGIE NSTESHFHSNGTTSVTSILRVKDPKTQVGKEVICQVLYLGNVIDYKQSLDKGFWFSVPLL LSIVSLVILLILISILLYWKRHRNQERGESSQGMQRMK >ENSMUSP00000023341.8 pep:known chromosome:GRCm38:16:45382183:45408875:-1 gene:ENSMUSG00000022661.14 transcript:ENSMUST00000023341.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200 description:CD200 antigen [Source:MGI Symbol;Acc:MGI:1196990] MGSLVFRRPFCHLSTYSLIWGMAAVALSTAQVEVVTQDERKALHTTASLRCSLKTSQEPL IVTWQKKKAVSPENMVTYSKTHGVVIQPAYKDRINVTELGLWNSSITFWNTTLEDEGCYM CLFNTFGSQKVSGTACLTLYVQPIVHLHYNYFEDHLNITCSATARPAPAISWKGTGTGIE NSTESHFHSNGTTSVTSILRVKDPKTQVGKEVICQVLYLGNVIDYKQSLDKGFWFSVPLL LSIVSLVILLILISILLYWKRHRNQEREL >ENSMUSP00000132506.1 pep:known chromosome:GRCm38:16:45392245:45400312:-1 gene:ENSMUSG00000022661.14 transcript:ENSMUST00000167355.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd200 description:CD200 antigen [Source:MGI Symbol;Acc:MGI:1196990] MAAVALSTAQVEVVTQDERKALHTTASLRCSLKTSQEPLIVTWQKKKAVSPENMVTYSKT HGVVIQPAYKDRINVTELGLWNSSITFWNTTLEDEGCYMCLFNTFGSQKVSGTACLTLYA HSTPSLQLF >ENSMUSP00000129541.1 pep:known chromosome:GRCm38:16:45392245:45408913:-1 gene:ENSMUSG00000022661.14 transcript:ENSMUST00000166512.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd200 description:CD200 antigen [Source:MGI Symbol;Acc:MGI:1196990] MGSLVFRRPFCHLSTYSLIWGMAAVALSTAQVEVVTQDERKALHTTASLRCSLKTSQEPL IVTWQKKKAVSPENMVTYSKTHGVVIQPAYKDRINVTELGLWNSSITFWNTTLEDEGCYM CLFNTFGSQKVSGTACLTLYAHSTPSLQLF >ENSMUSP00000074159.6 pep:known chromosome:GRCm38:17:48454901:48468686:1 gene:ENSMUSG00000043592.15 transcript:ENSMUST00000074574.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5cl description:unc-5 homolog C (C. elegans)-like [Source:MGI Symbol;Acc:MGI:1923839] MSPQESSVQPSQFLLLVGIPVASALLLAQCLRWHCCQWLPGTCRKLDDPEEPVSPSTPLP EYELPRQCPAPTLPEVAAFYQELHMPTQGQTVTRQMMHKLLVFSAREVDHRGGCLILQDT GISLLIPPGAVTVGRQERVSLVLVWDLTDAPSLSHRQGLVSPVVACGPHGASFLKPCTLT FKHCAQQPSQACAYSSNTSLLDAKDWKPLGQPGTHISRDECRILLSHFSLYTCVLEAPLG QTARKWLQLAMFCSPLVPGQTHLQLRVYFLNNTPCALQWAITNEQPHGGRMRGPCQLFDF TGARADQCLKLKYISEGWENVDDSSSQLVPHLHIWHGKCPFRSFCFRRKAANGNEECSAL TNEIIVTMHTFQDGLETKYVEILRFQASEEETWAVPPPVSQPPLCNRLPPELFEQLQMLL EPNSVTGNDWRRLASHLGLCGMKIRFLSCQRSPAAAILELFEEQNGSLQELHYLMTSMER LDCASAIQNYLNRSPRGSPDRLHGGTWENHGLELDEKL >ENSMUSP00000124990.1 pep:known chromosome:GRCm38:17:48462335:48468680:1 gene:ENSMUSG00000043592.15 transcript:ENSMUST00000161587.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unc5cl description:unc-5 homolog C (C. elegans)-like [Source:MGI Symbol;Acc:MGI:1923839] XNEQPHGGRMRGPCQLFDFTGARADQCLKLKYISEGWENVDDSSSQLVPHLHIWHGKCPF RSFCFRRKAGLGNQIR >ENSMUSP00000125101.1 pep:known chromosome:GRCm38:17:48462360:48539714:1 gene:ENSMUSG00000043592.15 transcript:ENSMUST00000162313.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unc5cl description:unc-5 homolog C (C. elegans)-like [Source:MGI Symbol;Acc:MGI:1923839] MRGPCQLFDFTGARADQCLKLKYISEGWENVDDSSSQLVPHLHIWHGKCPFRSFCFRRKA GLGNQIR >ENSMUSP00000125243.1 pep:known chromosome:GRCm38:17:48462384:48468678:1 gene:ENSMUSG00000043592.15 transcript:ENSMUST00000161418.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unc5cl description:unc-5 homolog C (C. elegans)-like [Source:MGI Symbol;Acc:MGI:1923839] DFTGARADQCLKLKYISEGWENVDDSSSQLVPHLHIWHGKCPFRSFCFRRKAGIGGRDLG SAPSCLPATPVQQAAPRAL >ENSMUSP00000124197.1 pep:known chromosome:GRCm38:17:48462366:48465029:1 gene:ENSMUSG00000043592.15 transcript:ENSMUST00000162132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Unc5cl description:unc-5 homolog C (C. elegans)-like [Source:MGI Symbol;Acc:MGI:1923839] GPCQLFDFTGARADQCLKLKYISEGWENVDDSSSQLVPHLHIWHGKCPFRSFCFRRKAAN GNEECSALTNEIIVTMHTFQDIPGIGGRDLGSAPSCLPATPVQQAAPRAL >ENSMUSP00000125598.1 pep:known chromosome:GRCm38:17:48462404:48539607:1 gene:ENSMUSG00000043592.15 transcript:ENSMUST00000162467.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Unc5cl description:unc-5 homolog C (C. elegans)-like [Source:MGI Symbol;Acc:MGI:1923839] XDQCLKLKYISEGWENVDDSSSQLVPHLHIWHGKCPFRSFCFRRKAGIGGRDLGSAPSCL PATPVQQAAPRAL >ENSMUSP00000076225.5 pep:known chromosome:GRCm38:7:107128352:107129482:-1 gene:ENSMUSG00000060503.5 transcript:ENSMUST00000076958.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr715 description:olfactory receptor 715 [Source:MGI Symbol;Acc:MGI:3030549] MMQANQTQVTEFILLGLSDDPHTQKLLFILFLGIYMVTVLGNLLLMFLVRADSRLHTPMY FFLCNLSLADLCFSTNIVPQALIHLLSRKKTISFRRCAAQLLLFLIFGCTQCALLAVMSY DRYVAICNPLHYSSIMTWRVCIQLATVSWTSGILVSVVDTTFTLRLPYRGSNSIAHFFCE APALLALASTDTQTSEMAIFLMGVVILLIPVSLILVSYGHIIVTVVKMKSAAGRFKAFST CGSHLMVVILFYGSAIITYMTPKSSKEQEKLVSVFYAMVTPMLNPLIYSLRNKDVKGALW KVAMKNFSSRLRITH >ENSMUSP00000032560.4 pep:known chromosome:GRCm38:7:19276805:19280064:-1 gene:ENSMUSG00000030402.5 transcript:ENSMUST00000032560.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1n description:protein phosphatase, Mg2+/Mn2+ dependent, 1N (putative) [Source:MGI Symbol;Acc:MGI:2142330] MAAFVRLLERLGWAARAQQVVEQEVEEECSVPGASGSLLAAPRCWQRLHRGAAATSGLRF GASAVQGWRARMEDAHCARLALPGLPSGWAFFAVLDGHGGARAARFGARHLPGYVLGELG PAPQEPDGVRQALRSAFLQADAQLSALWPRGDPGGSTAVALLVSPRFLYLAHCGDSRALL SRSGSVAFCTEDHRPHRPRERERIHDAGGTVRRRRVEGSLAVSRALGDFAYKQAPGRPPE LQLVSAEPEVAALARQDEDEFVLLASDGVWDALSGADLAGLVTSRLRLGLDLELLCAQLL DTCLCKGSLDNMTCMVVCFPGAPRPCEEAISKEMALDEALSHKVAELYASAQEPPGLNTV FRTLASEDIPGLPPGGGLHSKAAVIAEAYSKLHQTPGECQEEEW >ENSMUSP00000133404.1 pep:known chromosome:GRCm38:9:50856924:50892797:1 gene:ENSMUSG00000032058.14 transcript:ENSMUST00000174628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r1b description:protein phosphatase 2, regulatory subunit A, beta [Source:MGI Symbol;Acc:MGI:1920949] MAGAAGPGSGPGAAGGDGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTR TELLPFLTDTIYDEDEVLLALAEQLGNFTGLVGGPDFAHCLLPPLESLATVEETVVRDKA VESLRQISQEHTPVALEAHFVPLVKRLASGDWFTSRTSACGLFSVCYPRASNAVKAEIRQ HFRSLCSDDTPMVRRAAASKLGEFAKVLELDSVKTEIVPLFTNLASDEQDSVRLLAVEAC VSIAQLLSQEDLEALVMPTLRQAAEDKSWRVRYMVADKFSELQKAVGPKIALSDLIPAFQ SLLRDCEAEVRAAAAHKVRELCENLPAEGRETVIMNQILPYIKELVSDTNQHVKSALASV IMGLSTVLGKENTIEHLLPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAI VELAEDAKWRVRLAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATNNLMK LVQKFGTEWAQNTIVPKVLVMANDPNYLHRMTTLFCINALSEACGKEITTKQMLPIVLKM AGDQVANVRFNVAKSLQKIGPILDTNALQGEVKPVLQKLGQDEDMDVKYFAQEAISVVAQ RLRKLDFPVKDSEEPSIPGVDKNLFLRPRGPGEDTGKAQRDGAAPVASEEAVEAAQLRGT NTAKGPACQLHINTRDTLAQLEIAEAMHFPQSRE >ENSMUSP00000034560.7 pep:known chromosome:GRCm38:9:50856924:50894229:1 gene:ENSMUSG00000032058.14 transcript:ENSMUST00000034560.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r1b description:protein phosphatase 2, regulatory subunit A, beta [Source:MGI Symbol;Acc:MGI:1920949] MAGAAGPGSGPGAAGGDGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTR TELLPFLTDTIYDEDEVLLALAEQLGNFTGLVGGPDFAHCLLPPLESLATVEETVVRDKA VESLRQISQEHTPVALEAHFVPLVKRLASGDWFTSRTSACGLFSVCYPRASNAVKAEIRQ HFRSLCSDDTPMVRRAAASKLGEFAKVLELDSVKTEIVPLFTNLASDEQDSVRLLAVEAC VSIAQLLSQEDLEALVMPTLRQAAEDKSWRVRYMVADKFSELQKAVGPKIALSDLIPAFQ SLLRDCEAEVRAAAAHKVRELCENLPAEGRETVIMNQILPYIKELVSDTNQHVKSALASV IMGLSTVLGKENTIEHLLPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAI VELAEDAKWRVRLAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATNNLMK LVQKFGTEWAQNTIVPKVLVMANDPNYLHRMTTLFCINALSEACGKEITTKQMLPIVLKM AGDQVANVRFNVAKSLQKIGPILDTNALQGEVKPVLQKLGQDEDMDVKYFAQEAISVVAQ RLRKLDFPVKDSEEPSIPGVDKNLFLRPRGPGEDTGKFLPLMSRHRETERLQWLPRRQ >ENSMUSP00000110080.2 pep:known chromosome:GRCm38:9:50856937:50881431:1 gene:ENSMUSG00000032058.14 transcript:ENSMUST00000114437.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r1b description:protein phosphatase 2, regulatory subunit A, beta [Source:MGI Symbol;Acc:MGI:1920949] MAGAAGPGSGPGAAGGDGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTR TELLPFLTDTIYDEDEVLLALAEQLGNFTGLVGGPDFAHCLLPPLESLATVEETVVRDKA VESLRQISQEHTPVALEAHFVPLVKRLASGDWFTSRTSACGLFSVCYPRASNAVKAEIRQ HFRSLCSDDTPMVRRAAASKLGEFAKVLELDSVKTEIVPLFTNLASDEQDSVRLLAVEAC VSIAQLLSQEDLEALVMPTLRQAAEDKSWRVRYMVADKFSELQKAVGPKIALSDLIPAFQ SLLRDCEAEVRAAAAHKVRELCENLPAEGRETVIMNQILPYIKELVSDTNQHVKSALASV IMGLSTVLGKENTIEHLLPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAI VELAEDAKWRVRLAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATNNLMK LVQKFGTEWAQNTIVPKVLVMANDPNYLHRMTTLFCINALSEACGKEITTKQMLPIVLKM AGDQVANVRFNVAKSLQKIGPILDTNALQGEVKPVLQKLGQDEDMDVKYFAQEAISVLAL A >ENSMUSP00000135871.1 pep:known chromosome:GRCm38:9:50856957:50880087:1 gene:ENSMUSG00000032058.14 transcript:ENSMUST00000175645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r1b description:protein phosphatase 2, regulatory subunit A, beta [Source:MGI Symbol;Acc:MGI:1920949] MAGAAGPGSGPGAAGGDGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTR TELLPFLTDTIYDEDEVLLALAEQLGNFTGLVGGPDFAHCLLPPLESLATVEETVVRDKA VESLRQISQEHTPVALEAHFVPLVKRLASGDWFTSRTSACGLFSVCYPRASNAVKAEIRQ HFRSLCSDDTPMVRRAAASKLGEFAKVLELDSVKTEIVPLFTNLASDEQDSVRLLAVEAC VSIAQLLSQEDLEALVMPTLRQAAEDKSWRVRYMVADKFSELQKAVGPKIALSDLIPAFQ SLLRDCEAEVRAAAAHKVRELCENLPAEGRETVIMNQILPYIKCPEVRLNIISNLDCVNE VIGIRQLSQSLLPAIVELAEDAKWRVRLAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVD HVYAIREAATNNLMKLVQKFGTEWAQNTIVPKVLVMANDPNYLHRMTTLFCINALSEACG KEITTKQMLPIVLKMAGDQVANVRFNVAKSLQKIGPILDTNALQGEVKPVLQKLGQDEDM DVKYFAQEAISVLALA >ENSMUSP00000135758.1 pep:known chromosome:GRCm38:9:50856963:50892804:1 gene:ENSMUSG00000032058.14 transcript:ENSMUST00000176349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r1b description:protein phosphatase 2, regulatory subunit A, beta [Source:MGI Symbol;Acc:MGI:1920949] MAGAAGPGSGPGAAGGDGDDSLYPIAVLIDELRNEDVQPPLESLATVEETVVRDKAVESL RQISQEHTPVALEAHFVPLVKRLASGDWFTSRTSACGLFSVCYPRASNAVKAEIRQHFRS LCSDDTPMVRRAAASKLGEFAKVLELDSVKTEIVPLFTNLASDEQDSVRLLAVEACVSIA QLLSQEDLEALVMPTLRQAAEDKSWRVRYMVADKFSELQKAVGPKIALSDLIPAFQSLLR DCEAEVRAAAAHKVRELCENLPAEGRETVIMNQILPYIKELVSDTNQHVKSALASVIMGL STVLGKENTIEHLLPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAIVELA EDAKWRVRLAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATNNLMKLVQK FGTEWAQNTIVPKVLVMANDPNYLHRMTTLFCINALSEACGKEITTKQMLPIVLKMAGDQ VANVRFNVAKSLQKIGPILDTNALQGEVKPVLQKLGQDEDMDVKYFAQEAISVVAQRLRK LDFPVKDSEEPSIPGVDKNLFLRPRGPGEDTGKGPACQLHINTRDTLAQLEIAEAMHFPQ SRE >ENSMUSP00000135525.1 pep:known chromosome:GRCm38:9:50856964:50892797:1 gene:ENSMUSG00000032058.14 transcript:ENSMUST00000176798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r1b description:protein phosphatase 2, regulatory subunit A, beta [Source:MGI Symbol;Acc:MGI:1920949] MAGAAGPGSGPGAAGGDGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTR TELLPFLTDTIYDEDEVLLALAEQLGNFTGLVGGPDFAHCLLPPLESLATVEETVVRDKA VESLRQISQEHTPVALEAHFVPLVKRLASGDWFTSRTSACGLFSVCYPRASNAVKAEIRQ HFRSLCSDDTPMVRRAAASKLGEFAKVLELDSVKTEIVPLFTNLASDEQDSVRLLAVEAC VSIAQLLSQEDLEALVMPTLRQAAEDKSWRVRYMVADKFSELQKAVGPKIALSDLIPAFQ SLLRDCEAEVRAAAAHKVRELCENLPAEGRETVIMNQILPYIKELVSDTNQHVKSALASV IMGLSTVLGKENTIEHLLPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAI VELAEDAKWRVRLAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATNNLMK LVQKFGTEWAQNTIVPKVLVMANDPNYLHRMTTLFCINALSEACGKEITTKQMLPIVLKM AGDQVANVRFNVAKSLQKIGPILDTNALQGEVKPVLQKLGQDEDMDVKYFAQEAISVVAQ RLRKLDFPVKDSEEPSIPGVDKNLFLRPRGPGEDTGKGPACQLHINTRDTLAQLEIAEAM HFPQSRE >ENSMUSP00000134740.1 pep:known chromosome:GRCm38:9:50856966:50879934:1 gene:ENSMUSG00000032058.14 transcript:ENSMUST00000175640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r1b description:protein phosphatase 2, regulatory subunit A, beta [Source:MGI Symbol;Acc:MGI:1920949] MAGAAGPGSGPGAAGGDGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTR TELLPFLTDTIYDEDEVLLALAEQLGNFTGLVGGPDFAHCLLDSVRLLAVEACVSIAQLL SQEDLEALVMPTLRQAAEDKSWRVRYMVADKFSELQKAVGPKIALSDLIPAFQSLLRDCE AEVRAAAAHKVRELCENLPAEGRETVIMNQILPYIKELVSDTNQHVKSALASVIMGLSTV LGKENTIEHLLPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAIVELAEDA KWRVRLAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATNNLMKLVQKFGT EWAQNTIVPKVLVMANDPNYLHRMTTLFCINALSEACGKEITTKQMLPIVLKMAGDQVAN VRFNVAKSLQKIGPILDTNALQGEVKPVLQKLGQDEDMDVKYFAQEAISVLALA >ENSMUSP00000134886.1 pep:known chromosome:GRCm38:9:50856979:50880407:1 gene:ENSMUSG00000032058.14 transcript:ENSMUST00000175926.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp2r1b description:protein phosphatase 2, regulatory subunit A, beta [Source:MGI Symbol;Acc:MGI:1920949] MAGAAGPGSGPGAAGGDGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTR TELLPFLTDTIYDEDEVLLALAEQLGNFTGLVGGPDFAHCLLTPSGNCHLGHAILAFLQK SCLQVGHTICYHCVN >ENSMUSP00000135253.1 pep:known chromosome:GRCm38:9:50870084:50880029:1 gene:ENSMUSG00000032058.14 transcript:ENSMUST00000176055.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp2r1b description:protein phosphatase 2, regulatory subunit A, beta [Source:MGI Symbol;Acc:MGI:1920949] IISNLDCVNEVIGIRQLSQSLLPAIVELAEDAKWRVRLAIIEYMPLLAGQLKHCPLLVSL GVPCLVLKDLLTRKERGRPPKPSAAIFLGCGVL >ENSMUSP00000123963.1 pep:known chromosome:GRCm38:7:4992852:4995340:-1 gene:ENSMUSG00000051550.10 transcript:ENSMUST00000162731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp579 description:zinc finger protein 579 [Source:MGI Symbol;Acc:MGI:1915740] MDPQPPPPAQGSPPHRDRGRGRGRGRGRGRGRGRGRGGAGAPRAPLPCPTCGRLFRFPYY LSRHRLSHSGLRPHACPLCPKAFRRPAHLSRHLRGHGPQPPLRCAACPRTFPEPAQLRRH LAQEHAGSEVDLSTQRAVKEEPEASWGPQDEGVEQPATVVVAGAEEEATTQWPAGDSAPA AVPTSTDPRESEAKEAEAGAAELRAELALAAGRQEEKQVLLQADWTLLCLRCREAFATKG ELKAHPCLRPEGEQEGEGGPPPRPKRHQCSICLKAFARPWSLSRHRLVHSTDRPFVCPDC GLAFRLASYLRQHRRVHGPLSLLAPLPGAGKKDDKASGGRNSGKGPEGGEGAECGGASEG GEGGHNGGDATPARPPAGEPRFWCPECGKGFRRRAHLRQHGVTHSGARPFQCVRCQREFK RLADLARHAQVHAGGPAPHPCPRCPRRFSRAYSLLRHQRCHRAELERAELERAAALQELQ TQASQSPQPPQPLKQEAEGLPLPIAHIKEEPPSPGTPPQSPPAPPVFLSASCFDSQDHSA FEMEDEEMDSKAHLCGLGGLAS >ENSMUSP00000124074.1 pep:known chromosome:GRCm38:7:4994201:4996085:-1 gene:ENSMUSG00000051550.10 transcript:ENSMUST00000162502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp579 description:zinc finger protein 579 [Source:MGI Symbol;Acc:MGI:1915740] MDPQPPPPAQGSPPHRDRGRGRGRGRGRGRGRGRGRGGAGAPRAPLPCPTCGRLFRFPYY LSRHRLSHSGLRPHACPLCPKAFRRPAHLSRHLRGHGPQPPLRCAACPRTFPEPAQLRRH LAQEHAGSEVDLSTQRAVKEEPEASWGPQDEGVEQPATVVVAGAEEEATTQWPAGDSAPA AVPTSTDPRESEAKEAEAGAAELRAELALAAGRQEEKQVLLQADWTLLCLRCREAFA >ENSMUSP00000104212.1 pep:known chromosome:GRCm38:7:4992854:4996101:-1 gene:ENSMUSG00000051550.10 transcript:ENSMUST00000108572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp579 description:zinc finger protein 579 [Source:MGI Symbol;Acc:MGI:1915740] MDPQPPPPAQGSPPHRDRGRGRGRGRGRGRGRGRGRGGAGAPRAPLPCPTCGRLFRFPYY LSRHRLSHSGLRPHACPLCPKAFRRPAHLSRHLRGHGPQPPLRCAACPRTFPEPAQLRRH LAQEHAGSEVDLSTQRAVKEEPEASWGPQDEGVEQPATVVVAGAEEEATTQWPAGDSAPA AVPTSTDPRESEAKEAEAGAAELRAELALAAGRQEEKQVLLQADWTLLCLRCREAFATKG ELKAHPCLRPEGEQEGEGGPPPRPKRHQCSICLKAFARPWSLSRHRLVHSTDRPFVCPDC GLAFRLASYLRQHRRVHGPLSLLAPLPGAGKKDDKASGGRNSGKGPEGGEGAECGGASEG GEGGHNGGDATPARPPAGEPRFWCPECGKGFRRRAHLRQHGVTHSGARPFQCVRCQREFK RLADLARHAQVHAGGPAPHPCPRCPRRFSRAYSLLRHQRCHRAELERAELERAAALQELQ TQASQSPQPPQPLKQEAEGLPLPIAHIKEEPPSPGTPPQSPPAPPVFLSASCFDSQDHSA FEMEDEEMDSKAHLCGLGGLAS >ENSMUSP00000005256.6 pep:known chromosome:GRCm38:15:66929321:66969640:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000005256.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] MSRELHDVDLAEVKPLVEKGESITGLLQEFDVQEQDIETLHGSLHVTLCGTPKGNRPVIL TYHDIGMNHKTCYNPLFNSEDMQEITQHFAVCHVDAPGQQDGAPSFPVGYMYPSMDQLAE MLPGVLHQFGLKSVIGMGTGAGAYILTRFALNNPEMVEGLVLMNVNPCAEGWMDWAASKI SGWTQALPDMVVSHLFGKEEIHNNVEVVHTYRQHILNDMNPSNLHLFISAYNSRRDLEIE RPMPGTHTVTLQCPALLVVGDNSPAVDAVVECNSKLDPTKTTLLKMADCGGLPQISQPAK LAEAFKYFVQGMGYMPSASMTRLMRSRTASGSSVTSLEGTRSRSHTSEGPRSRSHTSEGS RSRSHTSEDARLNITPNSGATGNNAGPKSMEVSC >ENSMUSP00000127099.1 pep:known chromosome:GRCm38:15:66931077:66969639:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000166420.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] MSRELHDVDLAEVKPLVEKGESITGLLQEFDVQEQDIETLHLFISAYNSRRDLEIERPMP GTHTVTLQCPALLVVGDNSPAVDAVVECNSKLDPTKTTLLKMADCGGLPQISQPAKLAEA FKYFVQGMGYMPSASMTRLMR >ENSMUSP00000130150.1 pep:known chromosome:GRCm38:15:66942012:66969639:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000164675.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] MSRELHDVDLAEVKPLVEKGESITGLLQEFDVQEQDIETLHGSLHVTLMVPLPSQWGTCT PQWISWLKCFLESFTSLGSRVSLAWGQELAPTS >ENSMUSP00000127940.1 pep:known chromosome:GRCm38:15:66943074:66969639:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000168542.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] MSRELHDVDLAEVKPLVEKGESITGLLQEFDVQEQDIETLHVPLNGSVG >ENSMUSP00000127075.1 pep:known chromosome:GRCm38:15:66943079:66948479:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000167817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] XDIETLHGSLHVTLCGTPKGNRPVILTYHDIGMNHKTCYNPLFNSEDMQEITQHFAVCHV DAPGQQDGAPSFPVGLKSVIGMGTGAGAYILTRFALNNPEMVEGLVLMNVNPCAEGWMD >ENSMUSP00000126985.1 pep:known chromosome:GRCm38:15:66943079:66967925:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000168979.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] MSRELHDVDLAEVKPLVEKGESITGLLQEFDVQEQDIETLHGSLHVTLCGTPKGNRPVIL TYHDIGMNHKTCYNPLFNSEDMQEITQHFAVCHVDAPGQQDGAPSFPVGYMYPSMDQLAE MLPGVLHQFGLKSVIGMGTGAGAYILTRFALNNPEMVEGLVLMNVNPCAEGWMD >ENSMUSP00000130281.1 pep:known chromosome:GRCm38:15:66943092:66969616:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000172447.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] MSRELHDVDLAEVKPLVEKGESITGLLQEFDVQEQDIETLHGSLHVPLNGSVG >ENSMUSP00000129093.1 pep:known chromosome:GRCm38:15:66943097:66969595:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000171266.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] MSRELHDVDLAEVKPLVEKGESITGLLQEFDVQETEVVL >ENSMUSP00000127302.1 pep:known chromosome:GRCm38:15:66943129:66969616:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000170903.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] MSRELHDVDLAEVKPLVEKGESITGLLQEFDVQEQDIETLHGSLHVTLCGTPKGNRPVIL TYHDIGMNHKTCYNPLFNSEDMQEITQHFAVCHVDAPGQQDGAPSFPVGYMYPSMDQLAE MLPGVLHQFGLKSVIGMGTGAGAYILTRFALNNPEMV >ENSMUSP00000130584.1 pep:known chromosome:GRCm38:15:66943136:66967940:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000163496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] MSRELHDVDLAEVKPLVEKGESITGLLQEFDVQEQDIETLHGSLHVTLCGTPKGNRPVIL TYHDIGMNHKTCYNPLFNSEDMQEITQHFAVCHVDAPGQQDGAPSFPVGYMYPSMDQLAE MLPGVLHQFGLKSVIGMGTGAGAYILTRFALNNPE >ENSMUSP00000126091.1 pep:known chromosome:GRCm38:15:66946537:66969640:-1 gene:ENSMUSG00000005125.12 transcript:ENSMUST00000164070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndrg1 description:N-myc downstream regulated gene 1 [Source:MGI Symbol;Acc:MGI:1341799] MSRELHDVDLAEVKPLVEKGESITGLLQEFDVQGNRPVILTYHDIGMNHKTCY >ENSMUSP00000031037.7 pep:known chromosome:GRCm38:5:31086106:31093615:-1 gene:ENSMUSG00000029151.14 transcript:ENSMUST00000031037.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a3 description:solute carrier family 30 (zinc transporter), member 3 [Source:MGI Symbol;Acc:MGI:1345280] MEPSLATGGSETTRLVSARDRSSAGGGLRLKSLFTEPSEPLPEEPKLEGMAFHHCHKDPV PQSGLSPERVQARRQLYAACAVCFIFMAGEVVGGYLAHSLAIMTDAAHLLADIGSMLASL FSLWLSTRPATRTMTFGWHRSETLGALASVVSLWIVTGILLYLAFLRLLHSDYHIEAGAM LLTASIAVCANLLMAFVLHQTGAPHSHGSTGAEYAPLEEGHGYPMSLGNTSVRAAFVHVL GDLLQSFGVLAASILIYFKPQYKVADPISTFLFSICALGSTAPTLRDVLLVLMEGAPRSV EFEPVRDTLLSVPGVRATHDLHLWALTLTYHVASAHLAIDSTADPEAVLAEASSRLYSRF GFSSCTLQVEQYQPEMAQCLRCQEPSQA >ENSMUSP00000144566.1 pep:known chromosome:GRCm38:5:31086106:31108237:-1 gene:ENSMUSG00000029151.14 transcript:ENSMUST00000202740.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a3 description:solute carrier family 30 (zinc transporter), member 3 [Source:MGI Symbol;Acc:MGI:1345280] MAFHHCHKDPVPQSGLSPERVQARRQLYAACAVCFIFMAGEVVGGYLAHSLAIMTDAAHL LADIGSMLASLFSLWLSTRPATRTMTFGWHRSETLGALASVVSLWIVTGILLYLAFLRLL HSDYHIEAGAMLLTASIAVCANLLMAFVLHQTGAPHSHGSTGAEYAPLEEGHGYPMSLGN TSVRAAFVHVLGDLLQSFGVLAASILIYFKPQYKVADPISTFLFSICALGSTAPTLRDVL LVLMEGAPRSVEFEPVRDTLLSVPGVRATHDLHLWALTLTYHVASAHLAIDSTADPEAVL AEASSRLYSRFGFSSCTLQVEQYQPEMAQCLRCQEPSQA >ENSMUSP00000144353.1 pep:known chromosome:GRCm38:5:31087399:31088356:-1 gene:ENSMUSG00000029151.14 transcript:ENSMUST00000201783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a3 description:solute carrier family 30 (zinc transporter), member 3 [Source:MGI Symbol;Acc:MGI:1345280] LGSTAPTLRDVLLVLMEGAPRSVEFEPVRDTLLSVPGVRATHDLHLWALTLTYHVASAHL AIDKKAWASRPLKQHGDSTFKTITSRT >ENSMUSP00000144574.1 pep:known chromosome:GRCm38:5:31088782:31093469:-1 gene:ENSMUSG00000029151.14 transcript:ENSMUST00000202731.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc30a3 description:solute carrier family 30 (zinc transporter), member 3 [Source:MGI Symbol;Acc:MGI:1345280] MEPSLATGGSETTRLVSARDRSSAGGGLRLKRDSGSFGLCGLPLDSHWHPPVPGLPPPAS >ENSMUSP00000144098.1 pep:known chromosome:GRCm38:5:31089341:31094801:-1 gene:ENSMUSG00000029151.14 transcript:ENSMUST00000200906.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a3 description:solute carrier family 30 (zinc transporter), member 3 [Source:MGI Symbol;Acc:MGI:1345280] MRRLGNPGASTASPALIGPSLFTEPSEPLPEEPKLEGMAFHHCHKDPVPQSGLSPERVQA RRQLYAACAVCFIFMAGEVVGGYLAHSLAIMTDAAHLLADIGSMLASLFSLWLSTRPATR TMTFGWHRSETLGALASVVSLWIVTGILLYLAFLRLLHSDYHIEAGAMLLTAS >ENSMUSP00000144295.1 pep:known chromosome:GRCm38:5:31089377:31108218:-1 gene:ENSMUSG00000029151.14 transcript:ENSMUST00000201396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc30a3 description:solute carrier family 30 (zinc transporter), member 3 [Source:MGI Symbol;Acc:MGI:1345280] MAFHHCHKDPVPQSGLSPERVQARRQLYAACAVCFIFMAGEVVGGYLAHSLAIMTDAAHL LADIGSMLASLFSLWLSTRPATRTMTFGWHRSETLGALASVVSLWIVTGILLYLAFLRLL HSDY >ENSMUSP00000070925.7 pep:known chromosome:GRCm38:4:132564067:132582683:1 gene:ENSMUSG00000056529.7 transcript:ENSMUST00000070690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptafr description:platelet-activating factor receptor [Source:MGI Symbol;Acc:MGI:106066] MEHNGSFRVDSEFRYTLFPIVYSVIFILGVVANGYVLWVFANLYPSKKLNEIKIFMVNLT MADLLFLITLPLWIVYYYNEGDWILPNFLCNVAGCLFFINTYCSVAFLGVITYNRYQAVA YPIKTAQATTRKRGISLSLIIWVSIVATASYFLATDSTNLVPNKDGSGNITRCFEHYEPY SVPILVVHVFIAFCFFLVFFLIFYCNLVIIHTLLTQPMRQQRKAGVKRRALWMVCTVLAV FIICFVPHHVVQLPWTLAELGYQTNFHQAINDAHQITLCLLSTNCVLDPVIYCFLTKKFR KHLSEKFYSMRSSRKCSRATSDTCTEVIVPANQTPIVSLKN >ENSMUSP00000058599.7 pep:known chromosome:GRCm38:11:78507055:78512172:-1 gene:ENSMUSG00000051232.13 transcript:ENSMUST00000052566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem199 description:transmembrane protein 199 [Source:MGI Symbol;Acc:MGI:2144113] MASSLLAGERLVRALGPGGELEREQLPRKLRAQLEAALGKKHAGSDNATGPRRLVSFRLI RDLHQHLRERNSRLYLHELLEGSDIYFPEIVKPPRNPELVARLEKIKIQLANEEYKRITR NVTCQDAQCGGTLSDLGKQVRSVKALVVTIFNFIITVAAAFVCTYLGSQYVFTEMASRVL AALIVASVVGLAELYVMVRAMEGELGEL >ENSMUSP00000031311.9 pep:known chromosome:GRCm38:5:88764996:88783281:1 gene:ENSMUSG00000029366.10 transcript:ENSMUST00000031311.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dck description:deoxycytidine kinase [Source:MGI Symbol;Acc:MGI:102726] MATPPKRFCPSPSTSSEGTRIKKISIEGNIAAGKSTFVNILKQASEDWEVVPEPVARWCN VQSTQEEFEELTTSQKSGGNVLQMMYEKPERWSFTFQSYACLSRIRAQLASLNGKLKDAE KPVLFFERSVYSDRYIFASNLYESDCMNETEWTIYQDWHDWMNSQFGQSLELDGIIYLRA TPEKCLNRIYLRGRNEEQGIPLEYLEKLHYKHESWLLHRTLKTSFDYLQEVPVLTLDVNE DFKDKHESLVEKVKEFLSTL >ENSMUSP00000116234.2 pep:known chromosome:GRCm38:6:24951141:24956297:-1 gene:ENSMUSG00000048022.11 transcript:ENSMUST00000127247.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem229a description:transmembrane protein 229A [Source:MGI Symbol;Acc:MGI:2442812] MAGSDVASEGPSPRDGATRRPGATGGLRSQAAASCPEPLSAAEAPAERGALPAWMRLYFY GMHGITLDVLVSSARRFARSLDLRMLGFSSPYRCLLHSLTHFALEQLYLQRPRCPSAFLF NFLLYPSAHVGLQTLAGQALRLSLGGGPGGAAAPALGALDLALQYVLALYHGQVFLKRFL CLRYPRRRDQHTRDTLPAARDAQILWEAGGQRRGPGGARGTERSPTQGLPDLLRFLFFGM HGFLDEIFFTFFFNVLGQGDRASSGHTSLWSFFMYGSCSFVVEKLYFHLHYSRGWGTWKR VPIYVIFIYAWEFSWGLGLRMCGACSWDYSHYPLNFMGLITLMYLPGWLFLSVYQDLLSN VLWRVQYVPTN >ENSMUSP00000108877.3 pep:known chromosome:GRCm38:17:48232768:48246362:1 gene:ENSMUSG00000042265.13 transcript:ENSMUST00000113251.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trem1 description:triggering receptor expressed on myeloid cells 1 [Source:MGI Symbol;Acc:MGI:1930005] MRKAGLWGLLCVFFVSGSSDVFTPVIIPITRLTERPILITTKYSPSDTTTTRSLPKPTAV VSSPGLGVTIINGTDADSVSTSSVTISVICGLLSKSLVFIILFIVTKRTFG >ENSMUSP00000038636.6 pep:known chromosome:GRCm38:17:48232771:48246924:1 gene:ENSMUSG00000042265.13 transcript:ENSMUST00000048782.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trem1 description:triggering receptor expressed on myeloid cells 1 [Source:MGI Symbol;Acc:MGI:1930005] MRKAGLWGLLCVFFVSEVKAAIVLEEERYDLVEGQTLTVKCPFNIMKYANSQKAWQRLPD GKEPLTLVVTQRPFTRPSEVHMGKFTLKHDPSEAMLQVQMTDLQVTDSGLYRCVIYHPPN DPVVLFHPVRLVVTKGSSDVFTPVIIPITRLTERPILITTKYSPSDTTTTRSLPKPTAVV SSPGLGVTIINGTDADSVSTSSVTISVICGLLSKSLVFIILFIVTKRTFG >ENSMUSP00000138543.1 pep:known chromosome:GRCm38:13:94976344:95014531:1 gene:ENSMUSG00000042015.16 transcript:ENSMUST00000160115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr41 description:WD repeat domain 41 [Source:MGI Symbol;Acc:MGI:2445123] MLRWLIGGGREPQGLAEKAALQTIGEDQGQNPYTELLVLEAHRDIVRFLVRLDDFRFASA GDDGIIVVWNAQTGEKLLELRGHTQKITAVIAFPPLDSCEASSQLLLTASADRTVGVWDC DTGRQIQRVTCFQSTVKCLTVLQRLDIWLSGGSDLGVWNRKLDLLCKTSHLSDTGISALV EIPGNCVAAAVGRELIIFRLVTPTEELPEWDIIEVKRLLDHQDNILSLANINGSVLTMGS VLTTGSVLTIGSVLTTGSVLTMGSVLTTGSVLTAGSVLTMGSVLTAGSVLTMGSVLTAGA VLPAGSVLTMGSVLTTGSVLTIGSVLTTGSVLTMGSVLTTGSVLTAGSVLTAGSVLTMGS VLTAGAVLTAGAVLTMGSVLTAGSVLTAGAVLTAGSVLTMGSVLTAGAVLTMGSVLTAGS VLTAGSVLTAGSVLTADLDLESRSVFLILGFSDSFVAEWNQRRSWKD >ENSMUSP00000124033.1 pep:known chromosome:GRCm38:13:94976348:95023313:1 gene:ENSMUSG00000042015.16 transcript:ENSMUST00000160801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr41 description:WD repeat domain 41 [Source:MGI Symbol;Acc:MGI:2445123] MLRWLIGGGREPQGLAEKAALQTIGEDQGQNPYTELLVLEAHRDIVRFLVRLDDFRFASA GDDGIIVVWNAQTGEKLLELRGHTQKITAVIAFPPLDSCEASSQLLLTASADRTVGVWDC DTGRQIQRVTCFQSTVKCLTVLQRLDIWLSGGSDLGVWNRKLDLLCKTSHLSDTGISALV EIPGNCVAAAVGRELIIFRLVTPTEELPEWDIIEVKRLLDHQDNILSLANINDTGFVTGS HVGELLIWDALDWTVQACERTFWSPTAQLDAQQEIKLFQKQNDISINHFTCDEENIFAAV GRGLYVYNLQLKRVIACQKTAHDSNILHIDKLPNRQLISCSEDGAVRMWEVREKQQLAAE PVPTGFFNMWGFGRVNKQASQPVKKQEENVTTCSLELIGDLIGHSSSVEMFLYFEDHGLV TCSADHLIILWKNGERESGVRSLKLFQKLEENGDLYPESP >ENSMUSP00000138569.1 pep:known chromosome:GRCm38:13:94976438:95014477:1 gene:ENSMUSG00000042015.16 transcript:ENSMUST00000160409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr41 description:WD repeat domain 41 [Source:MGI Symbol;Acc:MGI:2445123] MGSVLTAGAVLTAGAVLTMGSVLTAGSVLTAGAVLTAGSVLTMGSVLTAGAVLTMGSVLT AGSVLTAGSVLTAGSVLTADLDLESRSVFLILGFSDSFVAEWNQRRSWKD >ENSMUSP00000138501.1 pep:known chromosome:GRCm38:13:94976438:95014477:1 gene:ENSMUSG00000042015.16 transcript:ENSMUST00000159647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr41 description:WD repeat domain 41 [Source:MGI Symbol;Acc:MGI:2445123] MLRWLIGGGREPQGLAEKAALQTIGEDQGQNPYTELLVLEAHRDIVRFLVRLDDFRFASA GDDGIIVVWNAQTGEKLLELRGHTQKITAVIAFPPLDSCEASSQLLLTASADRTVGVWDC DTGRQIQRVTCFQSTVKCLTVLQRLDIWLSGGSDLGVWNRKLDLLCKTSHLSDTGISALV EIPGNCVAAAVGRELIIFRLVTPTEELPEWDIIEVKRLLDHQDNILSLANINGAVLTMGS VLTAGSVLTAGAVLTAGSVLTMGSVLTAGAVLTMGSVLTAGSVLTAGSVLTAGSVLTADL DLESRSVFLILGFSDSFVAEWNQRRSWKD >ENSMUSP00000055145.7 pep:known chromosome:GRCm38:13:94976348:95023314:1 gene:ENSMUSG00000042015.16 transcript:ENSMUST00000056512.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr41 description:WD repeat domain 41 [Source:MGI Symbol;Acc:MGI:2445123] MLRWLIGGGREPQGLAEKAALQTIGEDQGQNPYTELLVLEAHRDIVRFLVRLDDFRFASA GDDGIIVVWNAQTGEKLLELRGHTQKITAVIAFPPLDSCEASSQLLLTASADRTVGVWDC DTGRQIQRVTCFQSTVKCLTVLQRLDIWLSGGSDLGVWNRKLDLLCKTSHLSDTGISALV EIPGNCVAAAVGRELIIFRLVTPTEELPEWDIIEVKRLLDHQDNILSLANINDTGFVTGS HVGELLIWDALDWTVQACERTFWSPTAQLDAQQEIKLFQKQNDISINHFTCDEENIFAAV GRGLYVYNLQLKRVIACQKTAHDSNILHIDKLPNRQLISCSEDGAVRMWEVREKQQLAAE PVPTGFFNMWGFGRVNKQASQPVKKQEENVTTCSLELIGDLIGHSSSVEMFLYFEDHGLV TCSADHLIILWKNGERESGVRSLKLFQKLEENGDLYPESP >ENSMUSP00000129595.1 pep:known chromosome:GRCm38:13:94976438:95014477:1 gene:ENSMUSG00000042015.16 transcript:ENSMUST00000167155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr41 description:WD repeat domain 41 [Source:MGI Symbol;Acc:MGI:2445123] MLRWLIGGGREPQGLAEKAALQTIGEDQGQNPYTELLVLEAHRDIVRFLVRLDDFRFASA GDDGIIVVWNAQTGEKLLELRGHTQKITAVIAFPPLDSCEASSQLLLTASADRTVGVWDC DTGRQIQRVTCFQSTVKCLTVLQRLDIWLSGGSDLGVWNRKLDLLCKTSHLSDTGISALV EIPGNCVAAAVGRELIIFRLVTPTEELPEWDIIEVKRLLDHQDNILSLANINGAVLTMGS VLTAGSVLTAGAVLTAGSVLTMGSVLTAGAVLTMGSVLTAGSVLTAGSVLTAGSVLTADL DLESRSVFLILGFSDSFVAEWNQRRSWKD >ENSMUSP00000142120.1 pep:known chromosome:GRCm38:3:7366669:7437515:1 gene:ENSMUSG00000027499.12 transcript:ENSMUST00000192468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkia description:protein kinase inhibitor, alpha [Source:MGI Symbol;Acc:MGI:104747] MTDVETTYADFIASGRTGRRNAIHDILVSSASGNSNELALKLAGLDINKT >ENSMUSP00000028999.6 pep:known chromosome:GRCm38:3:7366670:7445366:1 gene:ENSMUSG00000027499.12 transcript:ENSMUST00000028999.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkia description:protein kinase inhibitor, alpha [Source:MGI Symbol;Acc:MGI:104747] MTDVETTYADFIASGRTGRRNAIHDILVSSASGNSNELALKLAGLDINKTEGEDDGQRSS TEQSGEAQGEAAKSES >ENSMUSP00000141466.1 pep:known chromosome:GRCm38:3:7429058:7442413:1 gene:ENSMUSG00000027499.12 transcript:ENSMUST00000193330.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkia description:protein kinase inhibitor, alpha [Source:MGI Symbol;Acc:MGI:104747] MTDVETTYADFIASGRTGRRNAIHDILVSSASGNSNELALKLAGLDINKTEGEDDGQRSS TEQSGEAQGEAAKSES >ENSMUSP00000109797.1 pep:known chromosome:GRCm38:X:74313033:74320149:1 gene:ENSMUSG00000001962.8 transcript:ENSMUST00000114160.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam50a description:family with sequence similarity 50, member A [Source:MGI Symbol;Acc:MGI:1351626] MAQYKGAASEAGRAMHLMKKREKQREQMEQMKQRIAEENIMKSNIDKKFSAHYDAVEAEL KSSTVGLVTLNDMKAKQEALVKEREKQLAKKEQSKELQLKLEKLREKERKKEAKRKISSL SFTLEEEEEGVEEEEEMAMYEEELEREEITTKKKKLGKNPDVDTSFLPDRDREEEENRLR EELRQEWEAKQEKIKSEEIEITFSYWDGSGHRRTVKMKKGNTMQQFLQKALEILRKDFSE LRSAGVEQLMYIKEDLIIPHHHSFYDFIVTKARGKSGPLFNFDVHDDVRLLSDATVEKDE SHAGKVVLRSWYEKNKHIFPASRWEPYDPEKKWDKYTIR >ENSMUSP00000120275.1 pep:known chromosome:GRCm38:1:21383556:21386373:1 gene:ENSMUSG00000085079.3 transcript:ENSMUST00000147615.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdc1b description:KH domain containing 1B [Source:MGI Symbol;Acc:MGI:2138477] MSDLRRKGWWNVPDYFYSPLVFDMEEDQEDYIFGPDDEYLHTLEVHSNTLIQLERWFSPT GQTRVTVVGPLKARLWVMDMIRKVGSKNTLDKIKGKLMLLHIRSHPLTDQDLQIHLISGS SCWFPD >ENSMUSP00000114151.1 pep:known chromosome:GRCm38:17:35892677:35897120:-1 gene:ENSMUSG00000050705.15 transcript:ENSMUST00000148482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310061I04Rik description:RIKEN cDNA 2310061I04 gene [Source:MGI Symbol;Acc:MGI:1916912] MVLPPRKREKGPGPELHSGCLDGLRSLFEGPPCPYPGAVIPFQAPGPSHSSPTTPSGDES MEEHLAVMHERLRQELPTLFLRSHDYTIYSMDVEFINEILNIRTKGRTFYVMSLTLCRFL VWNYFAQFRLEILQLTRHPENWTLQARWRLIGLPIHMLFLRFYRRDKEDLYRTFDAFSTF YLNSSGLICRHHLDKLMPSHSPSTPVKKLLVGALVALGLSEPEPSLHLCSKA >ENSMUSP00000116278.1 pep:known chromosome:GRCm38:17:35892677:35897431:-1 gene:ENSMUSG00000050705.15 transcript:ENSMUST00000148721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310061I04Rik description:RIKEN cDNA 2310061I04 gene [Source:MGI Symbol;Acc:MGI:1916912] MYRPSPGAARRLGPCLRAYQARPQDQLSPRPLPFPPLWPHSTPPTSPSFPPHRSLPPSHP PTHHLPQAPPPPLSQAQALNSLSMVLPPRKREKGPGPELHSGCLDGLRSLFEGPPCPYPG AVIPFQAPGPSHSSPTTPSGDESMEEHLAVMHERLRQELPTLFLRSHDYTIYSMDVEFIN EILNIRTKGRTFYVMSLTLCRFLVWNYFAQFRLEILQLTRHPENWTLQARWRLIGLPIHM LFLRFYRRDKEDLYRTFDAFSTFYLNSSGLICRHHLDKLMPSHSPSTPVKKLLVGALVAL GLSEPEPSLHLCSKA >ENSMUSP00000123547.1 pep:known chromosome:GRCm38:17:35892683:35895313:-1 gene:ENSMUSG00000050705.15 transcript:ENSMUST00000154670.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310061I04Rik description:RIKEN cDNA 2310061I04 gene [Source:MGI Symbol;Acc:MGI:1916912] XINEILNIRTKGRTFYVMSLTLCRFLVWNYFAQFRLEILQLTRHPENWTLQARWRLIGLP IHMLFLRFYRRDKEDLYRTFDAFSTFYLNSSGLICRHHLDKVSVGRGKG >ENSMUSP00000115771.1 pep:known chromosome:GRCm38:17:35892687:35897111:-1 gene:ENSMUSG00000050705.15 transcript:ENSMUST00000146451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310061I04Rik description:RIKEN cDNA 2310061I04 gene [Source:MGI Symbol;Acc:MGI:1916912] MVLPPRKREKGPGPELHSGCLDGLRSLFEGPPCPYPGAVIPFQAPGPSHSSPTTPSGDES MEEHLAVMHERLRQELPTLFLRSHDYTIYSMDVEFINEILNIRTKGRTFYVMSLTLCRFL VWNYFAQFRLEILQLTRHPENWTLQARWRLIGLPIHMLFLRFYRRDKEDLYRTFDAFSTF YLNSSGLICRHHLDKLMPSHSPSTPVKKLLVGALVALGLSEPEPSLHLCSKA >ENSMUSP00000050693.8 pep:known chromosome:GRCm38:17:35892831:35895920:-1 gene:ENSMUSG00000050705.15 transcript:ENSMUST00000059740.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310061I04Rik description:RIKEN cDNA 2310061I04 gene [Source:MGI Symbol;Acc:MGI:1916912] XAQALNSLSMVLPPRKREKGPGPELHSGCLDGLRSLFEGPPCPYPGAVIPFQAPGPSHSS PTTPSGDESMEEHLAVMHERLRQELPTLFLRSHDYTIYSMDVEFINEILNIRTKGRTFYV MSLTLCRFLVWNYFAQFRLEILQLTRHPENWTLQARWRLIGLPIHMLFLRFYRRDKEDLY RTFDAFSTFYLNSSGLICRHHLDKPPLTCLCS >ENSMUSP00000121142.1 pep:known chromosome:GRCm38:17:35895044:35897073:-1 gene:ENSMUSG00000050705.15 transcript:ENSMUST00000150056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310061I04Rik description:RIKEN cDNA 2310061I04 gene [Source:MGI Symbol;Acc:MGI:1916912] MVLPPRKREKGPGPELHSGCLDGLRSLFEGPPCPYPGAVIPFQAPGPSHSSPTTPSGDES MEEHLAVMHERLRQELPTLFLRSHDYTIYSMDVEFINEILNIRTKGRTFYVMSLTLCRFL VWNYFAQFRL >ENSMUSP00000114851.1 pep:known chromosome:GRCm38:17:35895920:35897103:-1 gene:ENSMUSG00000050705.15 transcript:ENSMUST00000156817.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310061I04Rik description:RIKEN cDNA 2310061I04 gene [Source:MGI Symbol;Acc:MGI:1916912] MSPFPLVNSLLQDQLSPRPLPFPPLWPHSTPPTSPSFPPHRSLPPSHPPTHHLPQAPPPP LSQ >ENSMUSP00000085234.2 pep:known chromosome:GRCm38:19:10978307:10993250:-1 gene:ENSMUSG00000067571.4 transcript:ENSMUST00000087923.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a15 description:membrane-spanning 4-domains, subfamily A, member 15 [Source:MGI Symbol;Acc:MGI:3617853] MWERRGRGESAAGTAAVASRNASGLRPPPAILPTSMCQPPGIMQFEESQLGAQAPRATQP PDLRPMETFLTGEPKALGTVQILIGLIHLGFGSVLLMVRRGHLGMLFIEGGVPFWGGACF IISGSLSVAAERNHTSCLLKSSLGTNILSAMAAFAGTAILLMDFGVTNWDVGRGYLAVLT IFTILEFFIAVIATHFGCQATRAQTNASVIFLPNAFGTDFNIPSPAVSPPPAYDNVAYMP KESSE >ENSMUSP00000117513.1 pep:known chromosome:GRCm38:19:10983173:10993181:-1 gene:ENSMUSG00000067571.4 transcript:ENSMUST00000145110.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a15 description:membrane-spanning 4-domains, subfamily A, member 15 [Source:MGI Symbol;Acc:MGI:3617853] MWERRGRGESAAGTAAVASRNGVFVVIPPSNASGLRPPPAILPTSMCQPPGIMQFEESQL GAQAPRATQPPDLRPMETFLTGEPKALGTVQILIGLIHLGFGSVLLMVRRGHLGMLFIEG GVPFWGGAC >ENSMUSP00000115600.1 pep:known chromosome:GRCm38:19:10983259:10993230:-1 gene:ENSMUSG00000067571.4 transcript:ENSMUST00000144485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a15 description:membrane-spanning 4-domains, subfamily A, member 15 [Source:MGI Symbol;Acc:MGI:3617853] MMCECGSAEAEGSQQPVQQPWQAGSLNTMSAPPASNGVFVVIPPSNASGLRPPPAILPTS MCQPPGIMQFEESQLGAQAPRATQPPDLRPMETFLTGEPKALGTVQILIGLIHLG >ENSMUSP00000035289.5 pep:known chromosome:GRCm38:13:81673843:81711013:-1 gene:ENSMUSG00000035834.11 transcript:ENSMUST00000048993.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3g description:polymerase (RNA) III (DNA directed) polypeptide G [Source:MGI Symbol;Acc:MGI:1914736] MAGNKGRGRAAYTFNIEAVGFSRGEKLPDVVLKPPPLFPDTDYKPVPLKTGEDEDYMLAL KQELRETVKRLPYFIEPPEEKQDDIERYSKRYMKVYKEEWVPDWRRLPREMMPRKKCKKG DPKSKPSKAAAKATSLINSADVLKTIEELEKRGEGERSDEENEEKEGSKEKDKDDEEDGE EDAEQEDYDEEEQEEENDYINSYFDNGDDFGVDSDDNMDEATY >ENSMUSP00000125054.1 pep:known chromosome:GRCm38:13:81694283:81710945:-1 gene:ENSMUSG00000035834.11 transcript:ENSMUST00000161920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polr3g description:polymerase (RNA) III (DNA directed) polypeptide G [Source:MGI Symbol;Acc:MGI:1914736] MAGNKGRGRAAYTFNIEAVGFSRGEKLPDVVLKPPPLFPDTDYKPVPLKTGEDEDYMLAL KQELRETVKRLPYFIEPPEEKQGTHARQALPEAGSSVFTEGAVDAGTCDHVTQPITQLEK TLLTRIVRKEKHLYFIVLFFFFFFLQLTHNTLCLPFVIQY >ENSMUSP00000124885.1 pep:known chromosome:GRCm38:13:81693846:81710956:-1 gene:ENSMUSG00000035834.11 transcript:ENSMUST00000161093.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polr3g description:polymerase (RNA) III (DNA directed) polypeptide G [Source:MGI Symbol;Acc:MGI:1914736] MAGNKGRGRAAYTFNIEAVGFSRGEKLPDVVLKPPPLFPDTDYKPVPLKTGEDEDYMLAL KQELRETVKRLPYFIEPPEEKQGYIG >ENSMUSP00000146695.1 pep:known chromosome:GRCm38:7:44816088:44830809:1 gene:ENSMUSG00000109511.1 transcript:ENSMUST00000208626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup62 description:nucleoporin 62 [Source:MGI Symbol;Acc:MGI:1351500] MSGFNFGGTGAPAGGFTFGTAKTATTTPATGFSFSASGTGTGGFNFGTPSQPAATTPSTS LFSLTTQTPTTQTPGFNFGTTPASGGTGFSLGISTPKLSLSNAAATPATANTGSFGLGSS TLTNAISSGSTSNQGTAPTGFVFGSSTTSAPSTGSTGFSFTSGSASQPGASGFSLGSVGS SAQPTALSGSPFTPATLVTTTAGATQPAAAAPTAATTSAGSTLFASIAAAPASSSATGLS LPAPVTTAATPSAGTLGFSLKAPGAAPGASTTSTTTTTTTTTTTAAAAAASTTTTGFALS LKPLVSAGPSSVAATALPASSTAAGTATGPAMTYAQLESLINKWSLELEDQERHFLQQAT QVNAWDRTLIENGEKITSLHREVEKVKLDQKRLDQELDFILSQQKELEDLLSPLEESVKE QSGTIYLQHADEEREKTYKLAENIDAQLKRMAQDLKDIIEHLNMAGGPADTSDPLQQICK ILNAHMDSLQWVDQSSALLQRRVEEASRVCEGRRKEQERSLRIAFD >ENSMUSP00000056785.9 pep:known chromosome:GRCm38:7:44816088:44830812:1 gene:ENSMUSG00000109511.1 transcript:ENSMUST00000057195.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup62 description:nucleoporin 62 [Source:MGI Symbol;Acc:MGI:1351500] MSGFNFGGTGAPAGGFTFGTAKTATTTPATGFSFSASGTGTGGFNFGTPSQPAATTPSTS LFSLTTQTPTTQTPGFNFGTTPASGGTGFSLGISTPKLSLSNAAATPATANTGSFGLGSS TLTNAISSGSTSNQGTAPTGFVFGSSTTSAPSTGSTGFSFTSGSASQPGASGFSLGSVGS SAQPTALSGSPFTPATLVTTTAGATQPAAAAPTAATTSAGSTLFASIAAAPASSSATGLS LPAPVTTAATPSAGTLGFSLKAPGAAPGASTTSTTTTTTTTTTTAAAAAASTTTTGFALS LKPLVSAGPSSVAATALPASSTAAGTATGPAMTYAQLESLINKWSLELEDQERHFLQQAT QVNAWDRTLIENGEKITSLHREVEKVKLDQKRLDQELDFILSQQKELEDLLSPLEESVKE QSGTIYLQHADEEREKTYKLAENIDAQLKRMAQDLKDIIEHLNMAGGPADTSDPLQQICK ILNAHMDSLQWVDQSSALLQRRVEEASRVCEGRRKEQERSLRIAFD >ENSMUSP00000147109.1 pep:known chromosome:GRCm38:7:44816300:44829041:1 gene:ENSMUSG00000109511.1 transcript:ENSMUST00000208172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup62 description:nucleoporin 62 [Source:MGI Symbol;Acc:MGI:1351500] MSGFNFGGTGAPAGGFTFGTAKTATTTPATGFSFSASGTGTGGFNFGTPSQPAATTPSTS LFSLTTQTPTTQTPGFNFGTTPASGGTGFSLGISTPKLSLSNAAATPATANTGSFGLGSS TLTNAISSGSTSNQGTAPTGFVFGSSTTSAPSTGSTGFS >ENSMUSP00000147181.1 pep:known chromosome:GRCm38:7:44816383:44830804:1 gene:ENSMUSG00000109511.1 transcript:ENSMUST00000207103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup62 description:nucleoporin 62 [Source:MGI Symbol;Acc:MGI:1351500] MSGFNFGGTGAPAGGFTFGTAKTATTTPATGFSFSASGTGTGGFNFGTPSQPAATTPSTS LFSLTTQTPTTQTPGFNFGTTPASGGTGFSLGISTPKLSLSNAAATPATANTGSFGLGSS TLTNAISSGSTSNQGTAPTGFVFGSSTTSAPSTGSTGFSFTSGSASQPGASGFSLGSVGS SAQPTALSGSPFTPATLVTTTAGATQPAAAAPTAATTSAGSTLFASIAAAPASSSATGLS LPAPVTTAATPSAGTLGFSLKAPGAAPGASTTSTTTTTTTTTTTAAAAAASTTTTGFALS LKPLVSAGPSSVAATALPASSTAAGTATGPAMTYAQLESLINKWSLELEDQERHFLQQAT QVNAWDRTLIENGEKITSLHREVEKVKLDQKRLDQELDFILSQQKELEDLLSPLEESVKE QSGTIYLQHADEEREKTYKLAENIDAQLKRMAQDLKDIIEHLNMAGGPADTSDPLQQICK ILNAHMDSLQWVDQSSALLQRRVEEASRVCEGRRKEQERSLRIAFD >ENSMUSP00000147215.1 pep:known chromosome:GRCm38:7:44818437:44828688:1 gene:ENSMUSG00000109511.1 transcript:ENSMUST00000207085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup62 description:nucleoporin 62 [Source:MGI Symbol;Acc:MGI:1351500] MSGFNFGGTGAPAGGFTFGTAKTATTTPATGFSFSASGTGTG >ENSMUSP00000125139.1 pep:known chromosome:GRCm38:8:60632860:60676729:1 gene:ENSMUSG00000031647.10 transcript:ENSMUST00000160719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap3l description:microfibrillar-associated protein 3-like [Source:MGI Symbol;Acc:MGI:1918556] MGLQKSHLTVCLPPSVPFLILVSTLATAKSVTNSTLNGTDVVLGSVPVIIARTDHIIVKE GSSALINCSAYGFPDLEFKWYNSVGKLLKEMDDEKEKGGGKWQMLDGGLLNITKVSFSDR GKYTCVASNIYGTINNTVTLRVIFTSGDMGVYYMVVCLVAFTIVMILNITRLCMMSSHLK KTEKAINEFFRTEGAEKLQKAFEIAKRIPIITSAKTLELAKVTQFKTMEFARYIEELARS VPLPPLIMNCRTIMEEIMEVVGLEEQGQNFVRHTPEGQEAPDRDEVYTIPNSLKRSESPT ADSDASSLHEQPQQIAIKVSVHPQSKRDHVDDQEGGHFEVKDEEETEPSEEHSPETAEPS TDITTTELTSEETSPVEAPERGLPPAHLETTEPAVTCDRNTCIIYESHV >ENSMUSP00000124330.1 pep:known chromosome:GRCm38:8:60655104:60672466:1 gene:ENSMUSG00000031647.10 transcript:ENSMUST00000161702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap3l description:microfibrillar-associated protein 3-like [Source:MGI Symbol;Acc:MGI:1918556] MGLQKSHLTVCLPPSVPFLILVSTLATAKSVTNSTLNGTDVVLGSVPVIIARTDHIIVKE GSSALINCSAYGFPDLEFKWYNSVGKLLKEMDDEKEKGGGKWQMLDGGLLNITKVSFSDR GKYTCVASNIYGTINNTVTLRVIFTSGDMGVYYMVVCLVAFTIVMILNITRLCMMSSHLK KTEKAINEFFRTEGAEKLQKAFEIAKRIPIITSAKTLELAKVTQFKTMEFARYIEELARS VPLPPLIMNCRTIMEEIMEVVGLEEQGQNFVRHTPEGQEAPDRDEVYTIPNSLKRSESPT ADSDASSLHEQPQQIAIKVSVHPQSKRDHVDDQEGGHFEVKDEEETEPSEEHSPETAEPS TDITTTELTSEETSPVEAPERGLPPAHLETTEPAVTCDRNTCIIYESHV >ENSMUSP00000034066.3 pep:known chromosome:GRCm38:8:60655540:60672362:1 gene:ENSMUSG00000031647.10 transcript:ENSMUST00000034066.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap3l description:microfibrillar-associated protein 3-like [Source:MGI Symbol;Acc:MGI:1918556] MGLQKSHLTVCLPPSVPFLILVSTLATAKSVTNSTLNGTDVVLGSVPVIIARTDHIIVKE GSSALINCSAYGFPDLEFKWYNSVGKLLKEMDDEKEKGGGKWQMLDGGLLNITKVSFSDR GKYTCVASNIYGTINNTVTLRVIFTSGDMGVYYMVVCLVAFTIVMILNITRLCMMSSHLK KTEKAINEFFRTEGAEKLQKAFEIAKRIPIITSAKTLELAKVTQFKTMEFARYIEELARS VPLPPLIMNCRTIMEEIMEVVGLEEQGQNFVRHTPEGQEAPDRDEVYTIPNSLKRSESPT ADSDASSLHEQPQQIAIKVSVHPQSKRDHVDDQEGGHFEVKDEEETEPSEEHSPETAEPS TDITTTELTSEETSPVEAPERGLPPAHLETTEPAVTCDRNTCIIYESHV >ENSMUSP00000124136.1 pep:known chromosome:GRCm38:8:60632827:60674933:1 gene:ENSMUSG00000031647.10 transcript:ENSMUST00000161421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfap3l description:microfibrillar-associated protein 3-like [Source:MGI Symbol;Acc:MGI:1918556] MLDGGLLNITKVSFSDRGKYTCVASNIYGTINNTVTLRVIFTSGDMGVYYMVVCLVAFTI VMILNITRLCMMSSHLKKTEKAINEFFRTEGAEKLQKAFEIAKRIPIITSAKTLELAKVT QFKTMEFARYIEELARSVPLPPLIMNCRTIMEEIMEVVGLEEQGQNFVRHTPEGQEAPDR DEVYTIPNSLKRSESPTADSDASSLHEQPQQIAIKVSVHPQSKRDHVDDQEGGHFEVKDE EETEPSEEHSPETAEPSTDITTTELTSEETSPVEAPERGLPPAHLETTEPAVTCDRNTCI IYESHV >ENSMUSP00000139108.1 pep:known chromosome:GRCm38:16:10502531:10543054:-1 gene:ENSMUSG00000038055.12 transcript:ENSMUST00000184863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dexi description:dexamethasone-induced transcript [Source:MGI Symbol;Acc:MGI:1926236] MPGARVAAHLDALGPLVSYVQPPLLPSMFYVGLFFVNVLILYYAFLMEYIVLNVGLVFLP EDLDQALVDLGVLSDPGSGLYDADSELDVFDGYLE >ENSMUSP00000047676.5 pep:known chromosome:GRCm38:16:10530207:10543054:-1 gene:ENSMUSG00000038055.12 transcript:ENSMUST00000038281.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dexi description:dexamethasone-induced transcript [Source:MGI Symbol;Acc:MGI:1926236] MPGARVAAHLDALGPLVSYVQPPLLPSMFYVGLFFVNVLILYYAFLMEYIVLNVGLVFLP EDLDQALVDLGVLSDPGSGLYDADSELDVFDGYLE >ENSMUSP00000110741.1 pep:known chromosome:GRCm38:2:3336168:3340993:1 gene:ENSMUSG00000026644.6 transcript:ENSMUST00000115089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd7 description:acyl-Coenzyme A binding domain containing 7 [Source:MGI Symbol;Acc:MGI:1925495] MSLQADFDQAAQDVRKLKSRPEDEELKELYGLYKQSVIGDINIACPAMLDLKGKAKWEAW NLQKGLSKEDAMCAYISKARELIEKYGI >ENSMUSP00000125963.2 pep:known chromosome:GRCm38:11:74269356:74270444:1 gene:ENSMUSG00000070375.6 transcript:ENSMUST00000133561.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr406 description:olfactory receptor 406 [Source:MGI Symbol;Acc:MGI:3030240] MARGNQTSTFEFLLWGLSEQPQQQHILFLIFLGMYLVTVAGNLLIVLAISTDVRLHTPMY FFLASLSCDDILLVSTIVPKALVNIHTQSRTISYAGCLVQLYFFLTFGDMDIFLLATMAY DRFVAICHPLHYRMIMSFQRCSLLVTACWTLTNLVAMTHTFLIFRLSFCSQKVIPDFFCD LGPLMKIACSETRINELVLLFLGGAVILIPLLLILVSYIRIVSAIIRVPSAQGRRKAFST CGSHISVVALFFGTVIRAYLCPSSSSSNSVVEDTAAVVMYTVVTPLLNPFIYSLRNKDMK GALVRILKGKVSFSWAQGLLQRK >ENSMUSP00000039691.2 pep:known chromosome:GRCm38:5:38319367:38322518:1 gene:ENSMUSG00000039358.3 transcript:ENSMUST00000041646.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drd5 description:dopamine receptor D5 [Source:MGI Symbol;Acc:MGI:94927] MLPPGRNGTAHRARLGLQRQLAQVDAPGGSAAPLGPAQVVTAGLLTLLIVWTLLGNVLVC AAIVRSRHLRAKMTNIFIVSLAVSDLFVALLVMPWKAVAEVAGYWPFGAFCDIWVAFDIM CSTASILNLCIISVDRYWAISRPFRYERKMTQRVALVMVALAWTLSILISFIPVQLNWHR DKAGSQGREGLLSNETPWEEGWELDGRTENCDSSLNRTYAISSSLISFYIPVAIMIVTYT RIYRIAQVQIRRISSLERAAEHAQSCRSRGACEPDPSLRASIKKETKVFKTLSVIMGVFV CCWLPFFILNCMVPFCSSGDAQGPRTGFPCVSETTFDIFVWFGWANSSLNPIIYAFNADF RKVFAQLLGCSHLCFRTPVQTVNISNELISYNQDTVFHREIAAAYVHMIPNAVSSGDREV GEEEEAEEEGPFDHMSQISPTTPDGDLAAESVWELDCEEEVSLGKISPLTPNCFHKTA >ENSMUSP00000128813.1 pep:known chromosome:GRCm38:19:59902884:59943654:-1 gene:ENSMUSG00000040022.14 transcript:ENSMUST00000171986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip2 description:RAB11 family interacting protein 2 (class I) [Source:MGI Symbol;Acc:MGI:1922248] MRNNMTASMFDLSMKDKTRSPFAKLKDKMKGRKSDGVFSDTSSAIVPSTHMPDANPEFSS GEMQMKSKPKKPFLLGPQRLSSAHSMSDLTGSHLSSEKLKSSTVGPTHLLSRQIDSFGVV PESGSLKSPHRRTLSFDTSKLNQPGSIVDEGEHSFGRQSDPFTNVTASLPQKFATLPRKK NPFEESSEPWDSSMNLFSKPIEVRKESKREKREKVSLFERVTGKRDSRRPDKLNNGGSDS PCDLKSPSAFSENRQDYFEYESTNPFTAKFRASTIMPSSSFHVNPTSSEDLRKIPDNNPF DATAGYRSLTYEEVLQELVKHKELLRRKDTHIRELEDYIDNLLVRVMEETPSILRVPYEP SRKAGKFTNS >ENSMUSP00000059978.6 pep:known chromosome:GRCm38:19:59903696:59943364:-1 gene:ENSMUSG00000040022.14 transcript:ENSMUST00000051996.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip2 description:RAB11 family interacting protein 2 (class I) [Source:MGI Symbol;Acc:MGI:1922248] MMLSEQAQKWFPTHVQVTVLQAKDLKPKGKSGTNDTYTIIQLGKEKYSTSVAEKTLEPVW KEEASFELPGLLMQGSPEKYILFLIVMHRSLVGLDKFLGQVAINLNDIFEDKQRRKTEWF RLESKQGKRIKNRGEIKVNIQFMRNNMTASMFDLSMKDKTRSPFAKLKDKMKGRKSDGVF SDTSSAIVPSTHMPDANPEFSSGEMQMKSKPKKPFLLGPQRLSSAHSMSDLTGSHLSSEK LKSSTVGPTHLLSRQIDSFGVVPESGSLKSPHRRTLSFDTSKLNQPGSIVDEGEHSFGRQ SDPFTNVTASLPQKFATLPRKKNPFEESSEPWDSSMNLFSKPIEVRKESKREKREKVSLF ERVTGKRDSRRPDKLNNGGSDSPCDLKSPSAFSENRQDYFEYESTNPFTAKFRASTIMPS SSFHVNPTSSEDLRKIPDNNPFDATAGYRSLTYEEVLQELVKHKELLRRKDTHIRELEDY IDNLLVRVMEETPSILRVPYEPSRKAVYTQDHLSPGPPHLCGEATSTHS >ENSMUSP00000133151.1 pep:known chromosome:GRCm38:19:59906916:59943000:-1 gene:ENSMUSG00000040022.14 transcript:ENSMUST00000170819.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11fip2 description:RAB11 family interacting protein 2 (class I) [Source:MGI Symbol;Acc:MGI:1922248] MMLSEQAQKWFPTHVQVTVLQAKDLKPKGKSGTNDTYTIIQLGKEKYSTSVAEKTLEPVW KEEASFELPGLLMQGSPEKYILFLIVMHRSLVGLDKFLGQVAINLNDIFEDKQRRKTEWF RLESKQGKRIKNRGEIKVNIQFMRNNMTASMFDLSMKDKTRSPFAKLKDKMKGRKSDGVF SDTSSAIVPSTHMPDANPEFSSGEMQMKSKPKKPFLLGPQRLSSAHSMSDLTGSHLSSEK LKSSTVGPTHLLSRQIDSFGVVPESGSLKSPHRRTLSFDTSKLNQPGSIVDEGEHSFGRQ SDPFTNVTASLPQKFATLPRKKNPFEESSEPWDSSMNLFSKPIEVRKESKREKREKVSLF ERVTGKRDSRRPDKLNNGGSDSPCDLKSPSAFSENRQDYFEYESTNPFTAKFRASTIMPS SSFHVNPTSSEDLRKIPDNNPFDATAGYRSLTYEEVLQELVKHKELLRRKDTHIRELEDY IDNLLVRVMEETPSILRVPYEPSRKAGKFTNS >ENSMUSP00000103517.1 pep:known chromosome:GRCm38:7:44848991:44855408:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000107885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] MLAAQLVIARERLLGPLHILYWHRSQYCAVFLEDKPERLGGSHVNAGHYHLFAGHDGGVL EKRNGERKRARMASGRPEELWEAVVGAAERFQARTGTELVLLTAAPPPPPRPGPCAYAAH GRGALAEAARRCLHDIAQAHRAATATRPPGPPPAPQPPSPAPSPPPRPALAREDEEEDED EPTETETSGERLGGSDNGGLFMMDEDATLQDLPPFCESDPESTDDGSLSEETPAGPTACP QPPATALPTQQYAKSLPVSVPVWAFKEKRTEARSSDEENGPPSSPDLDRIAASMRALVLR EAEDTQVFGDLPRPRLNTSDFQKLKRKY >ENSMUSP00000146332.1 pep:known chromosome:GRCm38:7:44849295:44854622:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000142880.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] XLRGTTAGCWKSETERGKGLFMMDEDATLQDLPPFCESDPESTDDGSLSEETPAGPTACP QPPATALPTQQYAKSLPVSVPVWAFKEKRTEARSSDEENGPPSSPDLDRIA >ENSMUSP00000122607.1 pep:known chromosome:GRCm38:7:44849581:44852946:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000150335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] MASGRPEELWEAVVGAAERFQARTGTELVLLTAAPPPPPRPGPCAYAAHGRGALAEAARR CLHDIAQAHRAATATRPPGPPPAPQPPSPAPSPPPRPALAREDEEEDEDEPTETETSGER LGG >ENSMUSP00000103514.1 pep:known chromosome:GRCm38:7:44849629:44854736:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000107882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] MTQEAAMPFEGGDGAWPAMLATGTARMASGRPEELWEAVVGAAERFQARTGTELVLLTAA PPPPPRPGPCAYAAHGRGALAEAARRCLHDIAQAHRAATATRPPGPPPAPQPPSPAPSPP PRPALAREDEEEDEDEPTETETSGERLGGSDNGGLFMMDEDATLQDLPPFCESDPESTDD GSLSEETPAGPTACPQPPATALPTQQYAKSLPVSVPVWAFKEKRTEARSSDEENGPPSSP DLDRIAASMRALVLREAEDTQVFGDLPRPRLNTSDFQKLKRKY >ENSMUSP00000120690.1 pep:known chromosome:GRCm38:7:44850007:44854036:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000141311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] MSIRLARMASGRPEELWEAVVGAAERFQARTGTELVLLTAAPPPPPRPGPCAYAAHGRGA LAEAARRCLHDIAQAHRAATATRPPGPPPAPQPPSPAPSPPPRPALAREDEEEDEDEPTE TETSGERLGGSDNGGLFMMDEDATLQDLPPFCESDPESTDDGSLSEETPAGPTACPQPPA TALPTQQYAKSLP >ENSMUSP00000103512.1 pep:known chromosome:GRCm38:7:44850007:44855421:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000107880.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] MASGRPEELWEAVVGAAERFQARTGTELVLLTAAPPPPPRPGPCAYAAHGRGALAEAARR CLHDIAQAHRAATATRPPGPPPAPQPPSPAPSPPPRPALAREDEEEDEDEPTETETSGER LGGSDNGGLFMMDEDATLQDLPPFCESDPESTDDGSLSEETPAGPTACPQPPATALPTQQ YAKSLPVSVPVWAFKEKRTEARSSDEENGPPSSPDLDRIAASMRALVLREAEDTQVFGDL PRPRLNTSDFQKLKRKY >ENSMUSP00000147235.1 pep:known chromosome:GRCm38:7:44850042:44852748:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000208384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] MASGRPEELWEAVVGAAERFQARTGTELVLLTAAPPPPPRPGPCAYAAHGRGALAEA >ENSMUSP00000116541.1 pep:known chromosome:GRCm38:7:44850396:44852863:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000136232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] MASGRPEELWEAVVGAAERFQARTGTELVLLTAAPPPPPRPGPCAYAAHGRGALAEAARR CLHDIAQAHRAATATRPPGPPPAPQPPSPAPSPPP >ENSMUSP00000146484.1 pep:known chromosome:GRCm38:7:44850445:44852621:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000207223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] MASGRPEELWEAVVG >ENSMUSP00000116149.2 pep:known chromosome:GRCm38:7:44852571:44854686:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000127783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] MASGRPEELWEAVVGAAERFQARTGTELVLLTAAPPPPPRPGPCAYAAHGRGALAEAARR CLHDIAQAHRAATATRPPGPPPAPQPPSPAPSPPPRPALAREDEEEDEDEPTETETSGER LGGSDNGGPVSPSGLFMMDEDATLQDLPPFCESDPESTDDGSLSEETPAGPTACPQPPAT ALPTQQYAKSLPVSVPVWAFKEKRTEARSSDEENGPPSSPDLDRIAASMRALVLREAEDT QVFGDLPR >ENSMUSP00000049764.8 pep:known chromosome:GRCm38:7:44849224:44855421:1 gene:ENSMUSG00000011096.17 transcript:ENSMUST00000054343.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt1s1 description:AKT1 substrate 1 (proline-rich) [Source:MGI Symbol;Acc:MGI:1914855] MASGRPEELWEAVVGAAERFQARTGTELVLLTAAPPPPPRPGPCAYAAHGRGALAEAARR CLHDIAQAHRAATATRPPGPPPAPQPPSPAPSPPPRPALAREDEEEDEDEPTETETSGER LGGSDNGGLFMMDEDATLQDLPPFCESDPESTDDGSLSEETPAGPTACPQPPATALPTQQ YAKSLPVSVPVWAFKEKRTEARSSDEENGPPSSPDLDRIAASMRALVLREAEDTQVFGDL PRPRLNTSDFQKLKRKY >ENSMUSP00000055110.7 pep:known chromosome:GRCm38:4:41092722:41098183:-1 gene:ENSMUSG00000028435.8 transcript:ENSMUST00000055327.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp3 description:aquaporin 3 [Source:MGI Symbol;Acc:MGI:1333777] MGRQKELMNRCGEMLHIRYRLLRQALAECLGTLILVMFGCGSVAQVVLSRGTHGGFLTIN LAFGFAVTLGILVAGQVSGAHLNPAVTFAMCFLAREPWIKLPIYALAQTLGAFLGAGIVF GLYYDAIWAFANNELFVSGPNGTAGIFATYPSGHLDMVNGFFDQFIGTAALIVCVLAIVD PYNNPVPRGLEAFTVGLVVLVIGTSMGFNSGYAVNPARDFGPRLFTALAGWGSEVFTTGR HWWWVPIVSPLLGSIAGVFVYQLMIGCHLEQPPPSTEEENVKLAHMKHKEQI >ENSMUSP00000030191.8 pep:known chromosome:GRCm38:4:43631935:43651244:1 gene:ENSMUSG00000028469.15 transcript:ENSMUST00000030191.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npr2 description:natriuretic peptide receptor 2 [Source:MGI Symbol;Acc:MGI:97372] MALPSLLLVVAALAGGVRPPGARNLTLAVVLPEHNLSYAWAWPRVGPAVALAVEALGRAL PVDLRFVSSELDGACSEYLAPLRAVDLKLYHDPDLLLGPGCVYPAASVARFASHWRLPLL TAGAVASGFAAKNEHYRTLVRTGPSAPKLGEFVVTLHGHFNWTARAALLYLDARTDDRPH YFTIEGVFEALQGSNLSVQHQVYAREPGGPEQATHFIRANGRIVYICGPLEMLHEILLQA QRENLTNGDYVFFYLDVFGESLRAGPTRATGRPWQDNRTQEQAQALREAFQTVLVITYRE PPNPEYQEFQNRLLIRAREDFGVELAPSLMNLIAGCFYDGILLYAQVLNETIQEGGTRED GLRIVEKMQGRRYHGVTGLVVMDKNNDRETDFVLWAMGDLDSGDFQPAAHYSGAEKQIWW TGRPIPWVKGAPPLDNPPCAFDLDDPSCDKTPLSTLAIVALGTGVTFIMFGVSSFLIFRK LMLEKELASMLWRIRWEELQFGNSDRYHKGAGSRLTLSLRGSSYGSLMTAHGKYQIFANT GHFKGNVVAIKHVNKKRIELTRQVLFELKHMRDVQFNHLTRFIGACIDPPNICIVTEYCP RGSLQDILENDSINLDWMFRYSLINDLVKGMAFLHNSIISSHGSLKSSNCVVDSRFVLKI TDYGLASFRSTAEPDDSHALYAKKLWTAPELLSGNPLPTTGMQKADVYSFAIILQEIALR SGPFYLEGLDLSPKEIVQKVRNGQRPYFRPSIDRTQLNEELVLLMERCWAQDPTERPDFG QIKGFIRRFNKEGGTSILDNLLLRMEQYANNLEKLVEERTQAYLEEKRKAEALLYQILPH SVAEQLKRGETVQAEAFDSVTIYFSDIVGFTALSAESTPMQVVTLLNDLYTCFDAIIDNF DVYKVETIGDAYMVVSGLPGRNGQRHAPEIARMALALLDAVSSFRIRHRPHDQLRLRIGV HTGPVCAGVVGLKMPRYCLFGDTVNTASRMESNGQALKIHVSSTTKDALDELGCFQLELR GDVEMKGKGKMRTYWLLGEQKGPPGLL >ENSMUSP00000103506.2 pep:known chromosome:GRCm38:4:43632185:43651244:1 gene:ENSMUSG00000028469.15 transcript:ENSMUST00000107874.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npr2 description:natriuretic peptide receptor 2 [Source:MGI Symbol;Acc:MGI:97372] MALPSLLLVVAALAGGVRPPGARNLTLAVVLPEHNLSYAWAWPRVGPAVALAVEALGRAL PVDLRFVSSELDGACSEYLAPLRAVDLKLYHDPDLLLGPGCVYPAASVARFASHWRLPLL TAGAVASGFAAKNEHYRTLVRTGPSAPKLGEFVVTLHGHFNWTARAALLYLDARTDDRPH YFTIEGVFEALQGSNLSVQHQVYAREPGGPEQATHFIRANGRIVYICGPLEMLHEILLQA QRENLTNGDYVFFYLDVFGESLRAGPTRATGRPWQDNRTQEQAQALREAFQTVLVITYRE PPNPEYQEFQNRLLIRAREDFGVELAPSLMNLIAGCFYDGILLYAQVLNETIQEGGTRED GLRIVEKMQGRRYHGVTGLVVMDKNNDRETDFVLWAMGDLDSGDFQPAAHYSGAEKQIWW TGRPIPWVKGAPPLDNPPCAFDLDDPSCDKTPLSTLAIVALGTGVTFIMFGVSSFLIFRK LMLEKELASMLWRIRWEELQFGNSDRYHKGAGSRLTLSLRGSSYGSLMTAHGKYQIFANT GHFKGNVVAIKHVNKKRIELTRQVLFELKHMRDVQFNHLTRFIGACIDPPNICIVTEYCP RGSLQDILENDSINLDWMFRYSLINDLVKGMAFLHNSIISSHGSLKSSNCVVDSRFVLKI TDYGLASFRSTAEPDDSHALYAKKLWTAPELLSGNPLPTTGMQKADVYSFAIILQEIALR SGPFYLEGLDLSPKEIVQKVRNGQRPYFRPSIDRTQLNEELVLLMERCWAQDPTERPDFG QIKGFIRRFNKEGGTSILDNLLLRMEQYANNLEKLVEERTQAYLEEKRKAEALLYQILPH SVAEQLKRGETVQAEAFDSVTIYFSDIVGFTALSAESTPMQVVTLLNDLYTCFDAIIDNF DVYKVETIGDAYMVVSGLPGRNGQRHAPEIARMALALLDAVSSFRIRHRPHDQLRLRIGV HTGKADSHLALVSTFPDLSQPVCFYRASLCWGGWPEDAPVLSFWRHSKHCFSNGVEWPSS KDPCLLDHQGRPG >ENSMUSP00000117761.1 pep:known chromosome:GRCm38:4:43641262:43644379:1 gene:ENSMUSG00000028469.15 transcript:ENSMUST00000123351.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npr2 description:natriuretic peptide receptor 2 [Source:MGI Symbol;Acc:MGI:97372] XGAPPLDNPPCAFDLDDPSCDKTPLSTLAIVALGTGVTFIMFGVSSFLIFRKLMLEKELA SMLWRIRWEELQFGNSDRYHKGAGSRLTLSLGNVVAIKHVNKKRIELTRQVLFELKHMRD VQFNHLTRFIGACIDPPNICIVTEYCPRGSLQDILENDSINLDWMFRYSLINDLVK >ENSMUSP00000114385.1 pep:known chromosome:GRCm38:4:43641284:43651244:1 gene:ENSMUSG00000028469.15 transcript:ENSMUST00000128549.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npr2 description:natriuretic peptide receptor 2 [Source:MGI Symbol;Acc:MGI:97372] XPPVPLTWTTHPVIKVAPLSTLAIVALGTGVTFIMFGVSSFLIFRKLMLEKELASMLWRI RWEELQFGNSDRYHKGAGSRLTLSLRGSSYGSLMTAHGKYQIFANTGHFKGNVVAIKHVN KKRIELTRQVLFELKHMRDVQFNHLTRFIGACIDPPNICIVTEYCPRGSLQDILENDSIN LDWMFRYSLINDLVKGMAFLHNSIISSHGSLKSSNCVVDSRFVLKITDYGLASFRSTAEP DDSHALYAKKLWTAPELLSGNPLPTTGMQKADVYSFAIILQEIALRSGPFYLEGLDLSPK EIVQKVRNGQRPYFRPSIDRTQLNEELVLLMERCWAQDPTERPDFGQIKGFIRRFNKEGG TSILDNLLLRMEQYANNLEKLVEERTQAYLEEKRKAEALLYQILPHSVAEQLKRGETVQA EAFDSVTIYFSDIVGFTALSAESTPMQVVTLLNDLYTCFDAIIDNFDVYKVETIGDAYMV VSGLPGRNGQRHAPEIARMALALLDAVSSFRIRHRPHDQLRLRIGVHTGPVCAGVVGLKM PRYCLFGDTVNTASRMESNGQALKIHVSSTTKDALDELGCFQLELRGDVEMKGKGKMRTY WLLGEQKGPPGLL >ENSMUSP00000047646.6 pep:known chromosome:GRCm38:5:92346638:92348882:-1 gene:ENSMUSG00000034855.13 transcript:ENSMUST00000038816.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl10 description:chemokine (C-X-C motif) ligand 10 [Source:MGI Symbol;Acc:MGI:1352450] MNPSAAVIFCLILLGLSGTQGIPLARTVRCNCIHIDDGPVRMRAIGKLEIIPASLSCPRV EIIATMKKNDEQRCLNPESKTIKNLMKAFSQKRSKRAP >ENSMUSP00000114134.1 pep:known chromosome:GRCm38:5:92346805:92348889:-1 gene:ENSMUSG00000034855.13 transcript:ENSMUST00000118006.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl10 description:chemokine (C-X-C motif) ligand 10 [Source:MGI Symbol;Acc:MGI:1352450] MNPSAAVIFCLILLGLSGTQGIPLARTVRCNCIHIDDGPVRMRAIGKLEIIPASLSCPRV EIIATMKKNDEQRCLNPESKTIKNLMKAFSQKR >ENSMUSP00000104762.1 pep:known chromosome:GRCm38:11:50832031:50841552:-1 gene:ENSMUSG00000044296.10 transcript:ENSMUST00000109134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp879 description:zinc finger protein 879 [Source:MGI Symbol;Acc:MGI:3053099] MTTRLLPAQVQEPVTFRDVAVSFSQDEWLHLDPAQRTLYREVMLENYSNLVSLGILFSKP KVIAQLEQAEDFPMVESGMFQGVYLGWKSLFEPIVSKEENKKVLKNQRVDKFFNFILGKT CVNEEQLEEQPGNKKNPFSEMLLSVRRGCRQERGFPGVGLVRNPGIKLPFRRKPGVAAGG GKPHLQQYSVLFKQLGFNRARQLCKCNICGKVFFHSSSLSKHQRTHTGEKLYKCQGCRKA FSQRSSLAQHLRVHTGEKPYLCSDCGKAFSFTTSLIGHQRMHTGERPYECKECGKTFKGS SSLHNHQRIHTGEKPYKCNECGRAFSQCSSLIQHHRIHTGEKPYECSQCGKAFTSISRLS RHHRVHTGEKPFHCNVCGKVFSYHSALTIHQRTHTGEKPYACKECGKAFSQSSALTQHQR IHTGEKPYKCAECGKAFSWLSRLNIHHRIHTGEKPYHCKECGKAFSSHSAVNTHRKIHTG EKPYKCSDCEKAFNQSSALIQHQRIHTGEKPFNCKVCGKAFRQSSSLMTHMRIHTGERPY RCEACGKAFSQSSSLANHQKTHY >ENSMUSP00000104761.1 pep:known chromosome:GRCm38:11:50832083:50841546:-1 gene:ENSMUSG00000044296.10 transcript:ENSMUST00000109133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp879 description:zinc finger protein 879 [Source:MGI Symbol;Acc:MGI:3053099] MVESGMFQGVYLGWKSLFEPIVSKEENKKVLKNQRVDKFFNFILGKTCVNEEQLEEQPGN KKNPFSEMLLSVRRGCRQERGFPGVGLVRNPGIKLPFRRKPGVAAGGGKPHLQQYSVLFK QLGFNRARQLCKCNICGKVFFHSSSLSKHQRTHTGEKLYKCQGCRKAFSQRSSLAQHLRV HTGEKPYLCSDCGKAFSFTTSLIGHQRMHTGERPYECKECGKTFKGSSSLHNHQRIHTGE KPYKCNECGRAFSQCSSLIQHHRIHTGEKPYECSQCGKAFTSISRLSRHHRVHTGEKPFH CNVCGKVFSYHSALTIHQRTHTGEKPYACKECGKAFSQSSALTQHQRIHTGEKPYKCAEC GKAFSWLSRLNIHHRIHTGEKPYHCKECGKAFSSHSAVNTHRKIHTGEKPYKCSDCEKAF NQSSALIQHQRIHTGEKPFNCKVCGKAFRQSSSLMTHMRIHTGERPYRCEACGKAFSQSS SLANHQKTHY >ENSMUSP00000061782.1 pep:known chromosome:GRCm38:11:50832035:50841552:-1 gene:ENSMUSG00000044296.10 transcript:ENSMUST00000049625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp879 description:zinc finger protein 879 [Source:MGI Symbol;Acc:MGI:3053099] MTTRLLPAQVQEPVTFRDVAVSFSQDEWLHLDPAQRTLYREVMLENYSNLVSLGILFSKP KVIAQLEQAEDFPMVESGMFQGVYLGWKSLFEPIVSKEENKKVLKNQRVDKFFNFILGKT CVNEEQLEEQPGNKKNPFSEMLLSVRRGCRQERGFPGVGLVRNPGIKLPFRRKPGVAAGG GKPHLQQYSVLFKQLGFNRARQLCKCNICGKVFFHSSSLSKHQRTHTGEKLYKCQGCRKA FSQRSSLAQHLRVHTGEKPYLCSDCGKAFSFTTSLIGHQRMHTGERPYECKECGKTFKGS SSLHNHQRIHTGEKPYKCNECGRAFSQCSSLIQHHRIHTGEKPYECSQCGKAFTSISRLS RHHRVHTGEKPFHCNVCGKVFSYHSALTIHQRTHTGEKPYACKECGKAFSQSSALTQHQR IHTGEKPYKCAECGKAFSWLSRLNIHHRIHTGEKPYHCKECGKAFSSHSAVNTHRKIHTG EKPYKCSDCEKAFNQSSALIQHQRIHTGEKPFNCKVCGKAFRQSSSLMTHMRIHTGERPY RCEACGKAFSQSSSLANHQKTHY >ENSMUSP00000056383.5 pep:known chromosome:GRCm38:17:35897595:35910075:-1 gene:ENSMUSG00000024426.17 transcript:ENSMUST00000061052.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atat1 description:alpha tubulin acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913869] MEFPFDVDALFPERITVLDQHLRPPARRPGTTTPARVDLQQQIMTIVDELGKASAKAQHL PAPITSALRMQSNRHVIYILKDTSARPAGKGAIIGFLKVGYKKLFVLDDREAHNEVEPLC ILDFYIHESVQRHGHGRELFQHMLQKERVEPHQLAIDRPSPKLLKFLNKHYNLETTVPQV NNFVIFEGFFAHQHRPPTSSLRATRHSRAAVADPIPAAPARKLPPKRAEGDIKPYSSSDR EFLKVAVEPPWPLNRAPRRATPPAHPPPRSSSLGNSPDRGPLRPFVPEQELLRSLRLCPP HPTARLLLATDPGGSPAQRRRTRGTPWGLVAQSCHYSRHGGFNTSFLGTGNQERKQGEQE AEDRSASEDRVLLLDGSGEEPTQTGAPRAQAPPPQSWTVGGDIMNARVIRNLQERRSTRP W >ENSMUSP00000076703.6 pep:known chromosome:GRCm38:17:35897609:35910046:-1 gene:ENSMUSG00000024426.17 transcript:ENSMUST00000077494.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atat1 description:alpha tubulin acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913869] MEFPFDVDALFPERITVLDQHLRPPARRPGTTTPARVDLQQQIMTIVDELGKASAKAQHL PAPITSALRMQSNRHVIYILKDTSARPAGKGAIIGFLKVGYKKLFVLDDREAHNEVEPLC ILDFYIHESVQRHGHGRELFQHMLQKERVEPHQLAIDRPSPKLLKFLNKHYNLETTVPQV NNFVIFEGFFAHQHPPARKLPPKRAEGDIKPYSSSDREFLKVAVEPPWPLNRAPRRATPP AHPPPRSSSLGNSPDRGPLRPFVPEQELLRSLRLCPPHPTARLLLATDPGGSPAQRRRTR GTPWGLVAQSCHYSRHGGFNTSFLGTGNQERKQGEQEAEDRSASEDRVLLLDGSGEEPTQ TGAPRAQAPPPQSWTVGGDIMNARVIRNLQERRSTRPW >ENSMUSP00000122715.1 pep:known chromosome:GRCm38:17:35897612:35910057:-1 gene:ENSMUSG00000024426.17 transcript:ENSMUST00000149277.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atat1 description:alpha tubulin acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913869] MEFPFDVDALFPERITVLDQHLRPPARRPGTTTPARVDLQQQIMTIVDELGKASAKAQHL PAPITSALRMQSNRHVIYILKDTSARPAGKGAIIGFLKVGYKKLFVLDDREAHNEVEPLC ILDFYIHESVQRHGHGRELFQHMLQKERVEPHQLAIDRPSPKLLKFLNKHYNLETTVPQV NNFVIFEGFFAHQHRPPTSSLRATRHSRAAVADPIPAAPARKLPPKRAEGDIKPYSSSDR EFLKVAVEPPWPLNRAPRRATPPAHPPPRSSSLGNSPDRGPLRPFVPEQELLRSLRLCPP HPTARLLLATDPGGSPAQRRRTRSHTHTTTVSLDAWYFHRQPRTEAGGTGSGG >ENSMUSP00000122211.1 pep:known chromosome:GRCm38:17:35897882:35908961:-1 gene:ENSMUSG00000024426.17 transcript:ENSMUST00000126744.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atat1 description:alpha tubulin acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913869] XREAHNEVEPLCILDFYIHESVQRHGHGRELFQHMLQKERVEPHQLAIDRPSPKLLKFLN KHYNLETTVPQVNNFVIFEGFFAHQHRPPTSSLRATRHSRAAVADPIPAEGPRVLTGPAG TIPLPQNPRGA >ENSMUSP00000115004.1 pep:known chromosome:GRCm38:17:35899817:35910045:-1 gene:ENSMUSG00000024426.17 transcript:ENSMUST00000141662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atat1 description:alpha tubulin acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913869] MEFPFDVDALFPERITVLDQHLRPPARRPGTTTPARVDLQQQIMTIVDELGKASAKAQHL PAPITSALRMQSNRHVIYILKDTSARPAGKGAIIGFLKVGYKKLFVLDDREAHNEVEPLC ILDFYIHESVQRHGHGRELFQHMLQKERVEPHQLAIDRPSPKLLKFLNKHYNLETTVPQV NNFVIFEGFFAHQHRPPTSSLRATRHSRAAVADPIPAAPARKLPPKRAEGDIKPYSSSDR EFLKVAVEPPWPLNRAPRRATPPAHPPPRSSSLGNSPDRGPLRPFVPEQELLRSLRLCPP HPTARLLLATDPGGSPAQRRRTSSLPRSDESRY >ENSMUSP00000053853.6 pep:known chromosome:GRCm38:17:35899852:35910045:-1 gene:ENSMUSG00000024426.17 transcript:ENSMUST00000056034.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atat1 description:alpha tubulin acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913869] MEFPFDVDALFPERITVLDQHLRPPARRPGTTTPARVDLQQQIMTIVDELGKASAKAQHL PAPITSALRMQSNRHVIYILKDTSARPAGKGAIIGFLKVGYKKLFVLDDREAHNEVEPLC ILDFYIHESVQRHGHGRELFQHMLQKERVEPHQLAIDRPSPKLLKFLNKHYNLETTVPQV NNFVIFEGFFAHQHPPARKLPPKRAEGDIKPYSSSDREFLKVAVEPPWPLNRAPRRATPP AHPPPRSSSLGNSPDRGPLRPFVPEQELLRSLRLCPPHPTARLLLATDPGGSPAQRRRTS SLPRSDESRY >ENSMUSP00000119039.1 pep:known chromosome:GRCm38:17:35900493:35904327:-1 gene:ENSMUSG00000024426.17 transcript:ENSMUST00000140292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atat1 description:alpha tubulin acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913869] XFVIFEGFFAHQHRPPTSSLRATRHSRAAVADPIPAVLKVAVEPPWPLNRAPRRATPPAH PPPRSSSLGNSPDRGPLRPFVPEQELLRSLRLCPPHPTARLLLATDPGGSPAQRRRTSSL PRSDESRY >ENSMUSP00000117824.1 pep:known chromosome:GRCm38:17:35902038:35909626:-1 gene:ENSMUSG00000024426.17 transcript:ENSMUST00000141132.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atat1 description:alpha tubulin acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913869] MTIVDELGKASAKAQHLPAPITSALRMQSNRHVIYILKDTSARPAGKGAIIGFLKVGYKK LFVLDDREAHNEVEPLCILDFYIHESVQRHGHGRELFQHMLQKERVEPHQLAIDRPSPKL LKFLNKHYNLETTVPQVNNFVIFEGFFAHQHRPPTSSLRATRHSRAAVADPIPAAPARKL >ENSMUSP00000122626.1 pep:known chromosome:GRCm38:17:35908584:35910031:-1 gene:ENSMUSG00000024426.17 transcript:ENSMUST00000137182.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atat1 description:alpha tubulin acetyltransferase 1 [Source:MGI Symbol;Acc:MGI:1913869] XFPFDVDALFPERITVLDQHLRPPARRPGTTTPAR >ENSMUSP00000106117.1 pep:known chromosome:GRCm38:13:21286429:21292731:-1 gene:ENSMUSG00000004344.11 transcript:ENSMUST00000110491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx5 description:glutathione peroxidase 5 [Source:MGI Symbol;Acc:MGI:104886] MSKDCRPSDQKSAVLVMVTELRVFYLVPLLLASYVQTTPRPEKMKMDCYKDVKGTIYDYE ALSLNGKEHIPFKQYAGKHVLFVNVATYCGLTIQYPELNALQEDLKPFGLVILGFPCNQF GKQEPGDNLEILPGLKYVRPGKGFLPNFQLFAKGDVNGENEQKIFTFLKRSCPHPSETVV MSKHTFWEPIKVHDIRWNFEKFLVGPDGIPVMRWFHQAPVSTVKSDIMAYLSHFKTI >ENSMUSP00000004456.4 pep:known chromosome:GRCm38:13:21286429:21292686:-1 gene:ENSMUSG00000004344.11 transcript:ENSMUST00000004456.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx5 description:glutathione peroxidase 5 [Source:MGI Symbol;Acc:MGI:104886] MVTELRVFYLVPLLLASYVQTTPRPEKMKMDCYKDVKGTIYDYEALSLNGKEHIPFKQYA GKHVLFVNVATYCGLTIQYPELNALQEDLKPFGLVILGFPCNQFGKQEPGDNLEILPGLK YVRPGKGFLPNFQLFAKGDVNGENEQKIFTFLKRSCPHPSETVVMSKHTFWEPIKVHDIR WNFEKFLVGPDGIPVMRWFHQAPVSTVKSDIMAYLSHFKTI >ENSMUSP00000019929.6 pep:known chromosome:GRCm38:10:7726000:7763149:1 gene:ENSMUSG00000019794.13 transcript:ENSMUST00000019929.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katna1 description:katanin p60 (ATPase-containing) subunit A1 [Source:MGI Symbol;Acc:MGI:1344353] MSLQMIVENVKLAREYALLGNYDSAMVYYQGVLDQMNKYLYSVKDTHLRQKWQQVWQEIN VEAKQVKDIMKTLESFKLDITSLQAAQHEFPAAEGEVWSLPVPVERRPLPGPRKRQSSQH SDPKPHSNRPSTVVRAHRPSPQNLHNDRGKAVRSREKKEQSKGREEKNKLPAAVTEPEAN KFDGTGYDKDLVEALERDIISQNPNVRWYDIADLVEAKKLLQEAVVLPMWMPEFFKGIRR PWKGVLMVGPPGTGKTLLAKAVATECKTTFFNVSSSTLTSKYRGESEKLVRLLFEMARFY SPATIFIDEIDSICSRRGTSEEHEASRRMKAELLVQMDGVGGASENDDPSKMVMVLAATN FPWDIDEALRRRLEKRIYIPLPSAKGREELLRISLRELELADDVNLASIAENMEGYSGAD ITNVCRDASLMAMRRRIEGLTPEEIRNLSREAMHMPTTMEDFEMALKKISKSVSAADIER YEKWIVEFGSC >ENSMUSP00000133588.1 pep:known chromosome:GRCm38:10:7726008:7752749:1 gene:ENSMUSG00000019794.13 transcript:ENSMUST00000173400.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Katna1 description:katanin p60 (ATPase-containing) subunit A1 [Source:MGI Symbol;Acc:MGI:1344353] MSLQMIVENVKLAREYALLGNYDSAMVYYQGVLDQMNKYLYSVKDTHLRQKWQQVWQEIN VEAKQVKDIMKTLESFKLDITSLQAAQHEFPAAEGEVWSLPVPVERRTNYLLQLQNQRQI SLMALGMTRT >ENSMUSP00000133321.1 pep:known chromosome:GRCm38:10:7759772:7763064:1 gene:ENSMUSG00000019794.13 transcript:ENSMUST00000174007.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katna1 description:katanin p60 (ATPase-containing) subunit A1 [Source:MGI Symbol;Acc:MGI:1344353] EHEASRRMKAELLVQMDGVGGASENDDPSKMVMVLAATNFPWDIDEALRRRLEKRIYIPL PSGTHR >ENSMUSP00000134254.1 pep:known chromosome:GRCm38:10:7761233:7763148:1 gene:ENSMUSG00000019794.13 transcript:ENSMUST00000173511.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katna1 description:katanin p60 (ATPase-containing) subunit A1 [Source:MGI Symbol;Acc:MGI:1344353] SPSAKGREELLRISLRELELADDVNLASIAENMEGYSGADITNGRIVDGHETAY >ENSMUSP00000132514.1 pep:known chromosome:GRCm38:10:7726000:7763150:1 gene:ENSMUSG00000019794.13 transcript:ENSMUST00000165806.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Katna1 description:katanin p60 (ATPase-containing) subunit A1 [Source:MGI Symbol;Acc:MGI:1344353] MTMSLQMIVENVKLAREYALLGNYDSAMVYYQGVLDQMNKYLYSVKDTHLRQKWQQVWQE INVEAKQVKDIMKTLESFKLDITSLQAAQHEFPAAEGEVWSLPVPVERRPLPGPRKRQSS QHSDPKPHSNRPSTVVRAHRPSPQNLHNDRGKAVRSREKKEQSKGREEKNKLPAAVTEPE ANKFDGTGYDKDLVEALERDIISQNPNVRWYDIADLVEAKKLLQEAVVLPMWMPEFFKGI RRPWKGVLMVGPPGTGKTLLAKAVATECKTTFFNVSSSTLTSKYRGESEKLVRLLFEMAR FYSPATIFIDEIDSICSRRGTSEEHEASRRMKAELLVQMDGVGGASENDDPSKMVMVLAA TNFPWDIDEALRRRLEKRIYIPLPSAKGREELLRISLRELELADDVNLASIAENMEGYSG ADITNVCRDASLMAMRRRIEGLTPEEIRNLSREAMHMPTTMEDFEMALKKISKSVSAADI ERYEKWIVEFGSC >ENSMUSP00000039776.8 pep:known chromosome:GRCm38:7:44532746:44548847:-1 gene:ENSMUSG00000038644.14 transcript:ENSMUST00000049343.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pold1 description:polymerase (DNA directed), delta 1, catalytic subunit [Source:MGI Symbol;Acc:MGI:97741] MDCKRRQGPGPGVPPKRARGHLWDEDEPSPSQFEANLALLEEIEAENRLQEAEEELQLPP EGTVGGQFSTADIDPRWRRPTLRALDPSTEPLIFQQLEIDHYVGSAPPLPEGPLPSRNSV PILRAFGVTDEGFSVCCHIQGFAPYFYTPAPPGFGAEHLSELQQELNAAISRDQRGGKEL SGPAVLAIELCSRESMFGYHGHGPSPFLRITLALPRLMAPARRLLEQGVRVPGLGTPSFA PYEANVDFEIRFMVDADIVGCNWLELPAGKYVRRAEKKATLCQLEVDVLWSDVISHPPEG QWQRIAPLRVLSFDIECAGRKGIFPEPERDPVIQICSLGLRWGEPEPFLRLALTLRPCAP ILGAKVQSYEREEDLLQAWADFILAMDPDVITGYNIQNFDLPYLISRAQALKVDRFPFLG RVTGLRSNIRDSSFQSRQVGRRDSKVISMVGRVQMDMLQVLLREHKLRSYTLNAVSFHFL GEQKEDVQHSIITDLQNGNEQTRRRLAVYCLKDAFLPLRLLERLMVLVNNVEMARVTGVP LGYLLTRGQQVKVVSQLLRQAMRQGLLMPVVKTEGSEDYTGATVIEPLKGYYDVPIATLD FSSLYPSIMMAHNLCYTTLLRPGAAQKLGLKPDEFIKTPTGDEFVKSSVRKGLLPQILEN LLSARKRAKAELAQETDPLRRQVLDGRQLALKVSANSVYGFTGAQVGKLPCLEISQSVTG FGRQMIEKTKQLVESKYTVENGYDANAKVVYGDTDSVMCRFGVSSVAEAMSLGREAANWV SSHFPSPIRLEFEKVYFPYLLISKKRYAGLLFSSRSDAHDKMDCKGLEAVRRDNCPLVAN LVTSSLRRILVDRDPDGAVAHAKDVISDLLCNRIDISQLVITKELTRAAADYAGKQAHVE LAERMRKRDPGSAPSLGDRVPYVIIGAAKGVAAYMKSEDPLFVLEHSLPIDTQYYLEQQL AKPLLRIFEPILGEGRAESVLLRGDHTRCKTVLTSKVGGLLAFTKRRNCCIGCRSVIDHQ GAVCKFCQPRESELYQKEVSHLNALEERFSRLWTQCQRCQGSLHEDVICTSRDCPIFYMR KKVRKDLEDQERLLQRFGPPGPEAW >ENSMUSP00000117157.1 pep:known chromosome:GRCm38:7:44532786:44548838:-1 gene:ENSMUSG00000038644.14 transcript:ENSMUST00000151793.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pold1 description:polymerase (DNA directed), delta 1, catalytic subunit [Source:MGI Symbol;Acc:MGI:97741] MDCKRRQGPGPGVPPKRARGHLWDEDEPSPSQFEANLALLEEIEAENRLQEAEEELQLPP EGTVGGQFSTADIDPRWRRPTLRALDPSTEPLIFQQLEIDHYVGSAPPLPEGPLPSRNSV PILRAFGVTDEGFSVCCHIQGFAPYFYTPAPPGFGAEHLSELQQELNAAISRDQRGGKEL SGPAVLAIELCSRESMFGYHGHGPSPFLRITLALPRLMAPARRLLEQGVRVPGLGTPSFA PYEANVDFEIRFMVDADIVGCNWLELPAGKYVRRAEKKATLCQLEVDVLWSDVISHPPEG QWQRIAPLRVLSFDIECAGRKGIFPEPERDPVIQICSLGLRWGEPEPFLRLALTLRPCAP ILGAKVQSYEREEDLLQAWADFILAMDPDVITGYNIQNFDLPYLISRAQALKVDRFPFLG RVTGLRSNIRDSSFQSRQVGRRDSKVISMVGRVQMDMLQVLLREHKLRSYTLNAVSFHFL GEQKEDVQHSIITDLQNGNEQTRRRLAVYCLKDAFLPLRLLERLMVLVNNVEMARVTGVP LGYLLTRGQQVKVVSQLLRQAMRQGLLMPVVKTEGSEDYTGATVIEPLKGYYDVPIATLD FSSLYPSIMMAHNLCYTTLLRPGAAQKLGLKPDEFIKTPTGDEFVKSSVRKGLLPQILEN LLSARKRAKAELAQETDPLRRQVLDGRQLALKVSANSVYGFTGAQVGKLPCLEISQSVTG FGRQMIEKTKQLVESKYTVENGYDANAKVVYGDTDSVMCRFGVSSVAEAMSLGREAANWV SSHFPSPIRLEFEKVYFPYLLISKKRYAGLLFSSRSDAHDKMDCKGLEAVRRDNCPLVAN LVTSSLRRILVDRDPDGAVAHAKDVISDLLCNRIDISQLVITKELTRAAADYAGKQAHVE LAERTPCLCWSTACPSTLSTTWSSSWPSRSCASLSPSWVRAVQSLCCCAVTTHDARLCSP ARWAASWPSPSAATVALAAAP >ENSMUSP00000117844.1 pep:known chromosome:GRCm38:7:44542102:44548733:-1 gene:ENSMUSG00000038644.14 transcript:ENSMUST00000145956.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pold1 description:polymerase (DNA directed), delta 1, catalytic subunit [Source:MGI Symbol;Acc:MGI:97741] MDCKRRQGPGPGVPPKRARGHLWDEDEPSPSQFEANLALLEEIEAENRLQEAEEELQLPP EGTVGGQFSTADIDPRWRRPTLRALDPSTEPLIFQQLEIDHYVGSAPPLPEGPLPSRNSV PILRAFGVTDEGFSVCCHIQGFAPYFYTPAPPG >ENSMUSP00000136529.1 pep:known chromosome:GRCm38:19:10997025:11018031:-1 gene:ENSMUSG00000094584.2 transcript:ENSMUST00000177684.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a18 description:membrane-spanning 4-domains, subfamily A, member 18 [Source:MGI Symbol;Acc:MGI:1923252] MSNQENVSAVTVPSNVQVMQPTYAVVSGSHEQPLGKTTTYPASATMLQYNPGSANFQNPQ VMIQNPAGTTGLQAGPTGLQYSAGMAGVQAPPGVIQYSPEVTSVQIPPGDPQNPLNTVPG PTQTSSLPQWNMSFMTFPEFNPKKFINEEVRTLGAIQILIGLFHIFSAVNPQLYNTQFFV VPLLGVSGYLIWGGLSFIISGSLSVLAEKNSNSCVVNSSIGMNVVSSIFSLTGIIIIIVQ LSIYPSEISPLMSSMKAAAGSILPFALLEFILTCIASHFGCQAVCCAHFQNMTMFPTIFG GNTVNTTTGPGNTTIPNSTTSIPVQTTLPSNVPLEQVYQDVAQK >ENSMUSP00000022567.7 pep:known chromosome:GRCm38:14:28904943:29721864:-1 gene:ENSMUSG00000021991.8 transcript:ENSMUST00000022567.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d3 description:calcium channel, voltage-dependent, alpha2/delta subunit 3 [Source:MGI Symbol;Acc:MGI:1338890] MAGPGSLCCASRGASALLATALLYAALGDVVRSEQQIPLSVVKLWASAFGGEIKSIAAKY SGSQLLQKKYKEYEKDVAIEEIDGLQLVKKLAKIMEEMFHKKSEAVRRLVEAAEEAHLKH EFDADLQYEYFNAVLINERDKDGNFLELGKEFILAPNDHFNNLPVNISLSDVQVPTNMYN KDPAIVNGVYWSESLNKVFVDNFDRDPSLIWQYFGSAKGFFRQYPGIKWEPDENGVIAFD CRNRKWYIQAATSPKDVVILVDVSGSMKGLRLTIAKQTVSSILDTLGDDDFFNIITYNEE LHYVEPCLNGTLVQADRTNKEHFREHLDKLFAKGIGMLDIALNEAFNILSDFNHTGQGSI CSQAIMLITDGAVDTYDTIFAKYNWPDRKVRIFTYLIGREAAFADNLKWMACANKGFFTQ ISTLADVQENVMEYLHVLSRPKVIDQEHDVVWTEAYIDSTLPQAQKLADDQGLVLMTTVA MPVFSKQNETRSKGILLGVVGTDVPVKELLKTIPKYKLGIHGYAFAITNNGYILTHPELR PLYEEGKKRRKPNYSSVDLSEVEWEDRDDVLRNAMVNRKTGKFSMEVKKTVDKGKRVLVM TNDYYYTDIKGTPFSLGVALSRGHGKYFFRGNVTIEEGLHDLEHPDVSLADEWSYCNTDL HPEHRHLSQLEAIKLYLKGKEPLLQCDKELIQEVLFDAVVSAPIEAYWTSLALNKSENSD KGVEVAFLGTRTGLSRINLFVGAEQLTNQDFLKAGDKENIFNADHFPLWYRRAAEQIAGS FVYSIPFSTGTVNKSNVVTASTSIQLLDERKSPVVAAVGIQMKLEFFQRKFWTASRQCAS LDGKCSISCDDETVNCYLIDNNGFILVSEDYTQTGDFFGEVEGAVMNKLLTMGSFKRITL YDYQAMCRANKESSDSAHGLLDPYKAFLSAAKWIMTELVLFLVEFNLCSWWHSDMTAKAQ KLKQTLEPCDTEYPAFVSERTIKETTGNIACEDCSKSFVIQQIPSSNLFMVVVDSSCLCE SVAPITMAPIEIRYNESLKCERLKAQKIRRRPESCHGFHPEENARECGGASSLQAQAALL LLPLVSSLFSR >ENSMUSP00000019060.5 pep:known chromosome:GRCm38:11:54247271:54249667:-1 gene:ENSMUSG00000018916.5 transcript:ENSMUST00000019060.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csf2 description:colony stimulating factor 2 (granulocyte-macrophage) [Source:MGI Symbol;Acc:MGI:1339752] MWLQNLLFLGIVVYSLSAPTRSPITVTRPWKHVEAIKEALNLLDDMPVTLNEEVEVVSNE FSFKKLTCVQTRLKIFEQGLRGNFTKLKGALNMTASYYQTYCPPTPETDCETQVTTYADF IDSLKTFLTDIPFECKKPGQK >ENSMUSP00000088217.6 pep:known chromosome:GRCm38:3:103074014:103099720:1 gene:ENSMUSG00000070385.12 transcript:ENSMUST00000090715.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd1 description:adenosine monophosphate deaminase 1 [Source:MGI Symbol;Acc:MGI:88015] MPLFKLTGQGKQIDDAMRSFAEKVFASEVKDEGGRHEISPFDVDEICPISLHEMQAHIFH MENLSMDGRRKRRFQGRKTVNLSIPQSETSSTKLSHIEEFISSSPTYESVPDFQRVQITG DYASGVTVEDFEVVCKGLYRALCIREKYMQKSFQRFPKTPSKYLRNIDGEALVGNESFYP VFTPPPKKGEDPFRTEDLPANLGYHLKMKAGVIYIYPDEAAANRDEPKPYPYPNLDDFLD DMNFLLALIAQGPVKTYAHRRLKFLSSKFQVHQMLNEMDELKELKNNPHRDFYNCRKVDT HIHAAACMNQKHLLRFIKKSYHIDADRVVYSTKEKSLTLKELFAKLNMHPYDLTVDSLDV HAGRQTFQRFDKFNDKYNPVGASELRDLYLKTDNYINGEYFATIIKEVGADLVEAKYQHA EPRLSIYGRSPDEWNKLSSWFVCNRIYCPNMTWMIQVPRIYDVFRSKNFLPHFGKMLENI FLPVFEATINPQAHPDLSVFLKHITGFDSVDDESKHSGHMFSSKSPKPEEWTMENNPSYT YYAYYMYANITVLNSLRKERGMNTFLFRPHCGEAGALTHLMTAFMIADNISHGLNLKKSP VLQYLFFLAQIPIAMSPLSNNSLFLEYAKNPFLDFLQKGLMISLSTDDPMQFHFTKEPLM EEYAIAAQVFKLSTCDMCEVARNSVLQCGISHEEKAKFLGNNYLEEGPVGNDIRRTNVAQ IRMAYRYETWCYELNLIAEGLKATE >ENSMUSP00000143129.1 pep:known chromosome:GRCm38:3:103074022:103099714:1 gene:ENSMUSG00000070385.12 transcript:ENSMUST00000155034.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd1 description:adenosine monophosphate deaminase 1 [Source:MGI Symbol;Acc:MGI:88015] MPLFKLTGQGKQIDDAMRSFAEKVFASEVKDEGGRHEISPFDVDEICPISLHEMQAHIFH MENLSMDGRRKRRFQGRKTVNLSIPQSETSSTKLSHIEEFISSSPTYESVPDFQRVQITG DYASGVTVEDFEVVCKGLYRALCIREKYMQKSFQRFPKTPSKYLRNIDGEALVGNESFYP VFTPPPKKGEDPFRTEDLPANLGYHLKMKAGVIYIYPDEAAANRDEPKPYPYPNLDDFLD DMNFLLALIAQGPVKTYAHRRLKFLSSKFQVHQMLNEMDELKELKNNPHRDFYNCRKVDT HIHAAACMNQKHLLRFIKKSYHIDADRVVYSTKEKSLTLKELFAKLNMHPYDLTVDSLDV HAGRQTFQRFDKFNDKYNPVGASELRDLYLKTDNYINGEYFATIIKEVGADLVEAKYQHA EPRLSIYGRSPDEWNKLSSWFVCNRIYCPNMTWMIQVPRIYDVFRSKNFLPHFGKMLENI FLPVFEATINPQAHPDLSVFLKHITGFDSVDDESKHSGHMFSSKSPKPEEWTMENNPSYT YYAYYMYANITVLNSLRKERGMNTFLFRPHCGEAGALTHLMTAFMIADNISHGLNLKKSP VLQYLFFLAQIPIAMSPLSNNSLFLEYAKNPFLDFLQKGLMISLSTDDPMQFHFTKVRRT YARTRARAHGSLSMVC >ENSMUSP00000135737.1 pep:known chromosome:GRCm38:3:103074053:103088323:1 gene:ENSMUSG00000070385.12 transcript:ENSMUST00000176440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd1 description:adenosine monophosphate deaminase 1 [Source:MGI Symbol;Acc:MGI:88015] MPLFKLTEIDDAMRSFAEKVFASEVKDEGGRHEISPFDVDEICPISLHEMQAHIFHMENL SMDGRRKRRFQGRKTVNLSIPQSETSSTKLSHIEEFISSSPTYESVPDFQRVQITGDYAS GVTVEDFEVVCKGLYRALCIREKYMQKSFQRFPKTPSKYLRNIDGEALVGNESFYPVFT >ENSMUSP00000134772.1 pep:known chromosome:GRCm38:3:103094450:103099706:1 gene:ENSMUSG00000070385.12 transcript:ENSMUST00000177250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd1 description:adenosine monophosphate deaminase 1 [Source:MGI Symbol;Acc:MGI:88015] XNIFLPVFEATINPQAHPDLSVFLKHSPKPEEWTMENNPSYTYYAYYMYANITVLNSLRK ERGMNTFLFRPHCGEAGALTHLMTAFMIADNISHGLNLKKSPVLQYLFFLAQIPIAMSPL SNNSLFLEYAKNPFLDFLQKGLMISLSTDDPMQFHFTKEPLMEEYAIAAQVFKLSTCDMC EVARNSVLQCGISHEEKAKFLGNNYLEEGPVGNDIRRTNVAQIRMAYRYETWCYELNLIA EGLKATE >ENSMUSP00000064785.5 pep:known chromosome:GRCm38:5:24711738:24730727:-1 gene:ENSMUSG00000055235.11 transcript:ENSMUST00000068693.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr86 description:WD repeat domain 86 [Source:MGI Symbol;Acc:MGI:1915466] MGSSGSALRVCADHRGGINWLSLSPDGQRLLTGSEDGTARLWSTVDGQCCALLQGHESYV TFCHLEDEAAFTCSADCTIRRWDVRTGQCLQVYRGHTSIVNRILVANDQLFSSSYDRTAR VWTVDKGQVSQEFRGHRNCVLTLAYSAPEDLPQDPCMEAAMGGGLLVTGSSDDTAKVWQV ASGCCHQTLRGHTGAVLCLVLHVSSHTAFTGSTDATVRAWDILSGEQLRVFREHQGSVIC LELTDRLLYSGSADRTVKCWLADTGERVLTFPAHRHSVSALKYHAGTLFTGSGDACARAF DAQSGVLQRVFRGHTFVINCLQVHGQVLYTASHDGALRLWDVRGLQSVPPLPRRPAAKRS LSRLFSNKVACAAPVPLQPA >ENSMUSP00000119649.1 pep:known chromosome:GRCm38:5:24712638:24715581:-1 gene:ENSMUSG00000055235.11 transcript:ENSMUST00000127320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr86 description:WD repeat domain 86 [Source:MGI Symbol;Acc:MGI:1915466] XTFPAHRHSVSALKYHAGTSQDQAQKMMPPAHEMVLLHPESSASGCPDLVFTGSGDACAR AFDAQSGVLQRVFRGHTFVINCLQVHGQVLYTASHDGALRLWDVRGLQSVPPLPRRPAAK RS >ENSMUSP00000059860.8 pep:known chromosome:GRCm38:19:44333092:44346743:1 gene:ENSMUSG00000050195.8 transcript:ENSMUST00000058856.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scd4 description:stearoyl-coenzyme A desaturase 4 [Source:MGI Symbol;Acc:MGI:2670997] MTAHLPQEISSRCSTTNIMEPHSRRQQDGEEKMPLQAEDIRPEIKDDLYDPSYQDEEGPP PKLEYVWRNIIFMALLHVGALYGITLVPSCKVYTWLLGVFYNVVAGLGITAGAHRLWSHR TYKARLPLRIFLIMANTMAFQNDVYEWARDHRAHHKFSETHADPHNSRRGFFFSHVGWLL VRKHPAVKEKGKNLDMSDLKAEKLVMFQRRYYKLAVTLMFIILPTLVPWYLWGETFQHSL CVSNFLRYAVLLNFTWLVNSAAHLYGYRPYDRGIGARENPFVSMASLGEGFHNYHHTFPY DYSVSEYRWHINFTTFFIDCMAALGLAYDRKKVSKAVVLARIKRTGDGSHKSS >ENSMUSP00000000631.7 pep:known chromosome:GRCm38:11:50850986:50866177:1 gene:ENSMUSG00000000617.14 transcript:ENSMUST00000000631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm6 description:glutamate receptor, metabotropic 6 [Source:MGI Symbol;Acc:MGI:1351343] MGRLRVLLLWLAWWLSQAGIAHGAGSVRLAGGLTLGGLFPVHARGAAGRACGTLKKEQGV HRLEAMLYALDRINADPELLPGVRLGARLLDTCSRDTYALEQALSFVQALIRGRGDGEEA SVRCPGGVPPLRAAPPERVVAVVGASASSVSIMVANVLRLFAIPQISYASTAPELSDSTR YDFFSRVVPPDSYQAQAMVDIVRALGWNYVSTLASEGNYGESGVEAFVQISREAGGVCIA QSIKIPREPKPGEFHKVIRRLMETPNARGIIIFANEDDIRRVLEATRQANLTGHFLWVGS DSWGSKISPILNLEEEAVGAITILPKRASIDGFDQYFMTRSLENNRRNIWFAEFWEENFN CKLTSSGGQSDDSTRKCTGEERIGQDSTYEQEGKVQFVIDAVYAIAHALHSMHQALCPGH TGLCPAMEPTDGRTLLHYIRAVRFNGSAGTPVMFNENGDAPGRYDIFQYQATNGSASSGG YQAVGQWAEALRLDMEALQWSGDPHEVPPSQCSLPCGPGERKKMVKGVPCCWHCEACDGY RFQVDEFTCEACPGHMRPTPNHTGCRPTPVVRLTWSSPWAALPLLLAVLGIMATTTIIAT FMRHNDTPIVRASGRELSYVLLTGIFLIYAITFLMVAEPCAAVCASRRLLLGLGTTLSYS ALLTKTNRIYRIFEQGKRSVTPPPFISPTSQLVITFGLTSLQVVGVIAWLGAQPPHSVID YEEQRTVDPEQARGVLKCDMSDLSLIGCLGYSLLLMVTCTVYAIKARGVPETFNEAKPIG FTMYTTCIIWLAFVPIFFGTAQSAEKIYIQTTTLTVSLSLSASVSLGMLYVPKTYVILFH PEQNVQKRKRSLKKTSTMAAPPKSENSEDAK >ENSMUSP00000130728.1 pep:known chromosome:GRCm38:11:50850685:50866206:1 gene:ENSMUSG00000000617.14 transcript:ENSMUST00000171427.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm6 description:glutamate receptor, metabotropic 6 [Source:MGI Symbol;Acc:MGI:1351343] MGRLRVLLLWLAWWLSQAGIAHGAGSVRLAGGLTLGGLFPVHARGAAGRACGTLKKEQGV HRLEAMLYALDRINADPELLPGVRLGARLLDTCSRDTYALEQALSFVQALIRGRGDGEEA SVRCPGGVPPLRAAPPERVVAVVGASASSVSIMVANVLRLFAIPQISYASTAPELSDSTR YDFFSRVVPPDSYQAQAMVDIVRALGWNYVSTLASEGNYGESGVEAFVQISREAGGVCIA QSIKIPREPKPGEFHKVIRRLMETPNARGIIIFANEDDIRRVLEATRQANLTGHFLWVGS DSWGSKISPILNLEEEAVGAITILPKRASIDGFDQYFMTRSLENNRRNIWFAEFWEENFN CKLTSSGGQSDDSTRKCTGEERIGQDSTYEQEGKVQFVIDAVYAIAHALHSMHQALCPGH TGLCPAMEPTDGRTLLHYIRAVRFNGSAGTPVMFNENGDAPGRYDIFQYQATNGSASSGG YQAVGQWAEALRLDMEALQWSGDPHEVPPSQCSLPCGPGERKKMVKGVPCCWHCEACDGY RFQVDEFTCEACPGHMRPTPNHTGCRPTPVVRLTWSSPWAALPLLLAVLGIMATTTIIAT FMRHNDTPIVRASGRELSYVLLTGIFLIYAITFLMVAEPCAAVCASRRLLLGLGTTLSYS ALLTKTNRIYRIFEQGKRSVTPPPFISPTSQLVITFGLTSLQVVGVIAWLGAQPPHSVID YEEQRTVDPEQARGVLKCDMSDLSLIGCLGYSLLLMVTCTVYAIKARGVPETFNEAKPIG FTMYTTCIIWLAFVPIFFGTAQSAEKIYIQTTTLTVSLSLSASVSLGMLYVPKTYVILFH PEQNVQKRKRSLKKTSTMAAPPKSENSEDAK >ENSMUSP00000077428.7 pep:known chromosome:GRCm38:10:40570336:40604132:1 gene:ENSMUSG00000019834.15 transcript:ENSMUST00000078314.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a16 description:solute carrier family 22 (organic cation transporter), member 16 [Source:MGI Symbol;Acc:MGI:1918090] MESCNVELIFDHIGHFGRFQIVLYLICAYQSLSCGIHYLSSVFLSIIPEHACKPPGMVRK AVFHNVSAWRLEDILALRSPEHKDHIMVELQDGEIWELTRCSRTWRENTSHLGYEYSGYK HDSPCFDGYVYDQSKWRNSAVRNFNLVCDQKWYARMIQPLIIFGVMLGSITFSYLSDRFG RRMALWCTSIGVFFFGIASLFIFDYLSFMITRFFLVMASSGYFVVVFVYVMEIIGKKART WASIHLNTFFAIGAMLVALASYLLKTWWLYQIILCIVTTPFILCCWMLPETPFWLLSEGR YKEAQGTVDTMAVWNKSSSCDLVELLSLDVTRSHNKSPHSIRKHRLADLFHNLDVAKMTL IVWLDWFTANLGYYMFGKEVIRRKENEPLYLLLVGAMEIPAYICLCIWLKRVGRRKTMLL FLLVSSLTCMLHVVMPSDYKTAKRMVALLVKSVISSVFAFIYLYTAELYPTTVRCLAVGS SNMVSHVSSIFIPFTSHFSKVWIFLPQILFGILAILSGLLSLKLPETQDTPMKSTWETTE QQVPENKDSLGEGPPDSFERWDSSRALSFAERWGLSRASPDAEKWGSGRVPPDAGKWGAG IAPPVTERGASGRASLEDESGGSGRAPPEKNTEMENEIENMKVSNLGGF >ENSMUSP00000019978.8 pep:known chromosome:GRCm38:10:40570613:40604128:1 gene:ENSMUSG00000019834.15 transcript:ENSMUST00000019978.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a16 description:solute carrier family 22 (organic cation transporter), member 16 [Source:MGI Symbol;Acc:MGI:1918090] MHKSGQQPMTWLVLLSIGHRRRRTLLGLGRCRQYSHPFGFQIVLYLICAYQSLSCGIHYL SSVFLSIIPEHACKPPGMVRKAVFHNVSAWRLEDILALRSPEHKDHIMVELQDGEIWELT RCSRTWRENTSHLGYEYSGYKHDSPCFDGYVYDQSKWRNSAVRNFNLVCDQKWYARMIQP LIIFGVMLGSITFSYLSDRFGRRMALWCTSIGVFFFGIASLFIFDYLSFMITRFFLVMAS SGYFVVVFVYVMEIIGKKARTWASIHLNTFFAIGAMLVALASYLLKTWWLYQIILCIVTT PFILCCWMLPETPFWLLSEGRYKEAQGTVDTMAVWNKSSSCDLVELLSLDVTRSHNKSPH SIRKHRLADLFHNLDVAKMTLIVWLDWFTANLGYYMFGKEVIRRKENEPLYLLLVGAMEI PAYICLCIWLKRVGRRKTMLLFLLVSSLTCMLHVVMPSDYKTAKRMVALLVKSVISSVFA FIYLYTAELYPTTVRCLAVGSSNMVSHVSSIFIPFTSHFSKVWIFLPQILFGILAILSGL LSLKLPETQDTPMKSTWETTEQQVPENKDSLGEGPPDSFERWDSSRALSFAERWGLSRAS PDAEKWGSGRVPPDAGKWGAGIAPPVTERGASGRASLEDESGGSGRAPPEKNTEMENEIE NMKVSNLGGF >ENSMUSP00000066859.5 pep:known chromosome:GRCm38:7:4844959:4866193:-1 gene:ENSMUSG00000052605.12 transcript:ENSMUST00000064547.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isoc2b description:isochorismatase domain containing 2b [Source:MGI Symbol;Acc:MGI:1914691] MGAAKASLGRIFPESSILFLCDMQEKLRDRILYFPQIVSKAARMLKVAQMLEIPVLLTEH YPQGLGPTVPELGAQGLRTMSKTSFSMVPPLQQELDKLPQLQSVLLCGIETQGCILHTAL DLLDRGLQVHVAVDACSSQSEMNRLVALARMQQSGVFLSTSEVLILQLVKDAAHPQFKEI QKILKEPVTDIGLLGFFQGKKNSLLPNSRT >ENSMUSP00000147085.1 pep:known chromosome:GRCm38:7:4845162:4870258:-1 gene:ENSMUSG00000052605.12 transcript:ENSMUST00000208816.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isoc2b description:isochorismatase domain containing 2b [Source:MGI Symbol;Acc:MGI:1914691] MGAAKASLGRIFPESSILFLCDMQEKLRDRILYFPQIVSKAARMLKVAQMLEIPVLLTEH YPQGLGPTVPELGAQGLRTMSKTSFSMVPPLQQELDKLPQLQSVLLCGIETQGCILHTAL DLLDRGLQVHVAVDACSSQSEMNRLVALARMQQSGVFLSTSEVLILQLVKDAAHPQFKEI QKILKEPVTDIGLLGFFQGKKNSLLPNSRT >ENSMUSP00000114504.1 pep:known chromosome:GRCm38:15:85832306:85858822:1 gene:ENSMUSG00000035944.17 transcript:ENSMUST00000146088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc38 description:tetratricopeptide repeat domain 38 [Source:MGI Symbol;Acc:MGI:2146198] MSLRDCQAWKNAGLPLSTTSNEACKLFDATLTQYVKWTNDKSLGGIEGCLSKLRAADPTF AMGLAISNGLVLVGTGTSVALDKDLALAVKTMVELSQTQTLTPREQLHVSAVEMFAKGNF PRACDLWEQILRDHPTDMLALKFSHDAYFYLGYQEQMRDSVARVYPFWTPDIPLNSYVKG IYSFGLMETNFYDQAQKLAKEALSIEPTDAWSVHTVAHVHEMRAEIKDGLEFMQQSEGHW KDSDMLACHNYWHWALYLIEKGDYEAALTIYDSHILPSLQASGTMLDVVDSCSMLYRLQM EGVPLGQRWQTVLPVTQKHTRDHILLFNDAHFLMASLGARDLQTTRELLTTLQEASKSPG ENCQHQLAKDVGLPLCQALLEAENGNPDRVLELLLPIRYRIVQIGGSNAQRDVFNQLLIH AAMTCTSSVHKNVARSLLMERDALKPNSPLTERLIRRAAAVHLMQ >ENSMUSP00000118863.1 pep:known chromosome:GRCm38:15:85832344:85844481:1 gene:ENSMUSG00000035944.17 transcript:ENSMUST00000124011.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc38 description:tetratricopeptide repeat domain 38 [Source:MGI Symbol;Acc:MGI:2146198] MSLRDCQAWKNAGLPLSTTSNEACKLFDATLTQYVKWTNDKSLGGIEGCLSKLRAADPTF AT >ENSMUSP00000124626.1 pep:known chromosome:GRCm38:15:75616679:75625300:1 gene:ENSMUSG00000047003.14 transcript:ENSMUST00000161785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp41 description:zinc finger protein 41 [Source:MGI Symbol;Acc:MGI:99186] MEKPATRKKKSQAPKEEAGAQKATVKGEKTSKGKKATKKPRKPRRPRKEPVLSPEDEAHI FDAFDASFKDDFEGVPVFVPFQRKKPYECGECGRIFKHKTDHIRHQRVHTGEKPFKCDQC GKTFRHSSDVTKHQRIHTGEKPFKCGECGKAFNCGSNLLKHQKTHTGEKPYGCEECGKSF AYSSCLIRHRKRHPRKKH >ENSMUSP00000056510.7 pep:known chromosome:GRCm38:15:75616684:75625293:1 gene:ENSMUSG00000047003.14 transcript:ENSMUST00000054555.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp41 description:zinc finger protein 41 [Source:MGI Symbol;Acc:MGI:99186] MEKPATRKKKSQAPKEEAGAQKATVKGEKTSKGKKATKKPRKPRRPRKEPVLSPEDEAHI FDAFDASFKDDFEGVPVFVPFQRKKPYECGECGRIFKHKTDHIRHQRVHTGEKPFKCDQC GKTFRHSSDVTKHQRIHTGEKPFKCGECGKAFNCGSNLLKHQKTHTGEKPYGCEECGKSF AYSSCLIRHRKRHPRKKH >ENSMUSP00000124887.1 pep:known chromosome:GRCm38:15:75616726:75618644:1 gene:ENSMUSG00000047003.14 transcript:ENSMUST00000161752.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp41 description:zinc finger protein 41 [Source:MGI Symbol;Acc:MGI:99186] MEKPATRKKKSQAPKEEAGAQKATVKGEKTSKGKKATKKPRKPRRPRKEPVLSPEDEAHI FDAFDASFKDDFEGVPVFVPFQRKKPYECGECGRIFKHKTDHIRHQRVHTGEKPFKCDQC GKTFRHSSDVTKHQRIHTGEKPFKCGEC >ENSMUSP00000134517.1 pep:known chromosome:GRCm38:7:42579783:42592547:-1 gene:ENSMUSG00000092335.1 transcript:ENSMUST00000173283.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7221 description:predicted gene 7221 [Source:MGI Symbol;Acc:MGI:3645327] MDALTFDDVHVHFTREEWSLLDPSQKRLYKDVMLENYRNLTAIGYNWKDHNIEEHSQNDR RNGRHERTPAGEKPYEYAQWDKSFKCLSHLQKLERNQTRDRHSEVFQHSKVLACQSTLQI NKRTDTAQKPYKCNECDKSYLKLKYLRVHERTHTGEKPYQCNQCGKAFLLASYLRIHERT HTGEKPYQCNQCDKAFTQRSHLQSHKVVHTGVRSYICNQCNKAYPHQSYLRKHERTHTGE KPYLCNLCDKAYSQLNLLRIHERTHTGEKPYICNQCNKTFAQLKYLREHERTHSGEKPYK CDQCGKAFLHPSCLRVHERTHTGEKPYICNQCNKAFSQQSCLRIHKRTHTGEKPYKCHQC DKAYSQQSCLQMHERTHTGEKPYKCNQCGKAFAQVKYLQAHERTHTGEKPYKCDQCGKAF TQSTHLKTHKVMHTGGRL >ENSMUSP00000088369.3 pep:known chromosome:GRCm38:3:93319749:93333570:1 gene:ENSMUSG00000041991.18 transcript:ENSMUST00000090856.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrnr description:hornerin [Source:MGI Symbol;Acc:MGI:3046938] MPKLLESIVTVIDVFYQYATEYGNCDMLSKEEMKELLVTEFHQILKNPDDPDTVDIIMQN LDRDHNHKVDFTEYLLMILKLTKACNKIIGKDYCQASGSKQKNHSHQHQEEQSKKETENK EQKGSLSSSAGENDSYSRGSRGSNKSKSKKLRKGKEQSSKQTTKSNSSDHENSEDYEQGQ HESGFSNSSGNGRPSSRKASGFPQPGSEPGQSSSSSTKGSGECYSSGNGKHGSSSGGSAV SGSGHSNTYGKQGTGSRHSSSNRRSRSTSRESSGSQEYSSGSSEEPGFTHGSGRKNSSTC GKNGSYSGQSTGRHQQGFGSSHELESGQSITSANHGSHSNQSSCSGTRECGSSESSMKKT HVSGSGHSSSTGKYTSTSGQNYNSTRQGCGQGKSSGSEQYGASSGQSSGCSSGQSTRYGE QGSGSRNSSTQSRGRSTSRESSTSQQFGSGSGRSSGFSQGGSGQGRSSRGGQQGSFSGQT EGSQQHGSCCGQSSGYGQNEYGSGHSASSGQQGSHYSQSSSYGTHNSGGSPSSSQRGHGS RSGRSSGLGQYGSPSGQTSSSTRQGSGQGQASGSGRYGASSGQTSGCGSGQSTRYGEQGS GSRNSSTQSRGRSTSRESSTSQRYGSGSGESSGFSQGGSGQGRSSRGGQQGSFSGQTSGR SQHQSGSRHGSGSGQFPISGQQGSHHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSSGLG HYGSTSGQTASSTRQGSGQGQASGSGRCGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQS RGRSTSRESSTSQRYGSGSGGSSGFSQGGSGQGRSSRGGQQGSFSGQTEGSQQHGSCCGQ SSGYGQNEYGSGHSASSGQQGSHYSQSSSYGTHNSGGSPSSSQRGHGSRSGRSSGLGQYG SPSGQTSSSTRQGSGQGQASGSGRYGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGR STSRESSTSQRYGSGSGESSGFSQGGSGQGRSSRGGQQGSFSGQTSGRSQHQSGSRHGSG SGQFPISGQQGSHHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSSGLGHYGSTSGQTASS TRHRSGQGQASGSGRCGASSGQTSGCGSGQSTRYDEQGSGSRNSSTQSRGRSTSRESSTS QRFGSGSGGSSGFSQGRSGQGRSSRGGQQGSFSGQTEGSQQHGSCCGQSSGYGQNEYGSG HSASSGQQGSHYSQSSSYGTHNSGGSPSSSQRGHGSRSGRSSGLGQYGSPSGQTSSSTRQ GSGQGQASGSGRYGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTSQRY GSGSGESSGFSQGGSGQGRSSRGGQQGSFSGQTSGRSQHQSGSRHGSGSGQFPISGQQGS HHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSSGLGHYGSTSGQTASSTRQGSGQGQASG SGRCGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTSQRFGSGSGGSSG FSQGRSGQGRSSRGGQQGSFSGQTEGSQQHGSCCGQSSGYGQNEYGSGHSASSGQQGSHY SQSSSYGTHNSGGSPSSSQRGHGSRSGRSSGLGQYGSPSGQTSSSTRQGSGQGQASGSGR YGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTSQRYGSGSGESSGFSQ GGSGQGRSSRGGQQGSFSGQTSGRSQHQSGSRHGSGSGQFPISGQQGSHHGHSSSSGTHN SGSSQSSSTQWSHGSGSEQSSGLGHYGSTSGQTASSTRQGSGQGQASGSGRCGASSGQTS GCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTSQRYGSGSGGSSGFSQGGSGQGRSS RGGQQGSFSGQTEGSQQHGSCCGQSSGYGQNEYGSGHSASSGQQGSHYSQSSSYGTHNSG GSPSSSQRGHGSRSGRSSGLGQYGSPSGQTSSSTRQGSGQGQASGSGRYGASSGQTSGCG SGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTSQRYGSGSGESSGFSQGGSGQGRSSRGG QQGSFSGQTSGRSQHQSGSRHGSGSGQFPISGQQGSHHGHSSSSGTHNSGSSQSSSTQWS HGSGSEQSSGLGHYGSTSGQTASSTRQGSGQGQASGSGRCGASSGQTSGCGSGQSTRYGE QGSGSRNSSTQSRGRSTSRESSTSQRYGSGSGGSSGFSQGGSGQGRSSRGGQQGSFSGQT SGRSQHQSGSRHGSGSGQFPISGQQGSHHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSS GLGQYGSPSGQTSSSTRQGSGQGQASGSGRYGASSGQTSGCGSGQSTRYGEQGSGSRNSS TQSRGRSTSRESSTSQRYGSGSGESSGFSQGGSGQGRSSRGGQQGSFSGQTSGRSQHQSG SRHGSGSGQFPISGQQGSHHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSSGLGHYGSTS GQTASSTRQGSGQGQASGSGRCGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTS RESSTSQRFGSGSGGSSGFSQGRSGQGRSSRGGQQGSFSGQTEGSQQHGSCCGQSSGYGQ NEYGSGHSASSGQQGSHYSQSSSYGTHNSGGSPSSSQRGHGSRSGRSSGLGQYGSPSGQT SSSTRQGSGQGQASGSGRYGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRES STSQRYGSGSGESSGFSQGGSGQGRSSRGGQQGSFSGQTSGRSQHQSGSRHGSGSGQFPI SGQQGSHHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSSGLGHYGSTSGQTASSTRQGSG QGQASGSGRCGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSCSQHDVSG SGESSSFSQHRSCQSQASHNGQCGPFSGQSSGHIQHGPCFGQTEGSFQSSNCGQQGTTSY QSSGFDQQRSGLHQSFPCSNHESTSQEYSSFGSCVSGSGECSGFGNQVSRPSQSTYEHHE SNRNQSSGYRQYNTASGQSYCGGQCGSNSNQSSSYREQGLGSNQSSQYGQYRCPSSHYSS QSQHGVGCSHGFNTGQYGSGSYPSSNSRQNCPGSGLCPTSEQYGSGSCQSFSPGSCGSGY GQYSNFEQPQSNRRCGNQWESGCSSSNCNENQSIRVHRQVETSSGCGFGQFSSQEQIRSD TTGKLSLCNVDNRQGNCKYQIIKGSNFGMRNTVSGPHSFNSSTPLYEYVQEQRR >ENSMUSP00000091288.3 pep:known chromosome:GRCm38:3:93319749:93333570:1 gene:ENSMUSG00000041991.18 transcript:ENSMUST00000093774.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hrnr description:hornerin [Source:MGI Symbol;Acc:MGI:3046938] MPKLLESIVTVIDVFYQYATEYGNCDMLSKEEMKELLVTEFHQILKNPDDPDTVDIIMQN LDRDHNHKVDFTEYLLMILKLTKACNKIIGKDYCQASGSKQKNHSHQHQEEQSKKETENK EQKGSLSSSAGENDSYSRGSRGSNKSKSKKLRKGKEQSSKQTTKSNSSDHENSEDYEQGQ HESGFSNSSGNGRPSSRKASGFPQPGSEPGQSSSSSTKGSGECYSSGNGKHGSSSGGSAV SGSGHSNTYGKQGTGSRHSSSNRRSRSTSRESSGSQEYSSGSSEEPGFTHGSGRKNSSTC GKNGSYSGQSTGRHQQGFGSSHELESGQSITSANHGSHSNQSSCSGTRECGSSESSMKKT HVSGSGHSSSTGKYTSTSGQNYNSTRQGCGQGKSSGSEQYGASSGQSSGCSSGQSTRYGE QGSGSRNSSTQSRGRSTSRESSTSQQFGSGSGRSSGFSQGGSGQGRSSRGGQQGSFSGQT EGSQQHGSCCGQSSGYGQNEYGSGHSASSGQQGSHYSQSSSYGTHNSGGSPSSSQRGHGS RSGRSSGLGQYGSPSGQTSSSTRQGSGQGQASGSGRYGASSGQTSGCGSGQSTRYGEQGS GSRNSSTQSRGRSTSRESSTSQRYGSGSGESSGFSQGGSGQGRSSRGGQQGSFSGQTSGR SQHQSGSRHGSGSGQFPISGQQGSHHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSSGLG HYGSTSGQTASSTRQGSGQGQASGSGRCGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQS RGRSTSRESSTSQRYGSGSGGSSGFSQGGSGQGRSSRGGQQGSFSGQTEGSQQHGSCCGQ SSGYGQNEYGSGHSASSGQQGSHYSQSSSYGTHNSGGSPSSSQRGHGSRSGRSSGLGQYG SPSGQTSSSTRQGSGQGQASGSGRYGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGR STSRESSTSQRYGSGSGESSGFSQGGSGQGRSSRGGQQGSFSGQTSGRSQHQSGSRHGSG SGQFPISGQQGSHHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSSGLGHYGSTSGQTASS TRHRSGQGQASGSGRCGASSGQTSGCGSGQSTRYDEQGSGSRNSSTQSRGRSTSRESSTS QRFGSGSGGSSGFSQGRSGQGRSSRGGQQGSFSGQTEGSQQHGSCCGQSSGYGQNEYGSG HSASSGQQGSHYSQSSSYGTHNSGGSPSSSQRGHGSRSGRSSGLGQYGSPSGQTSSSTRQ GSGQGQASGSGRYGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTSQRY GSGSGESSGFSQGGSGQGRSSRGGQQGSFSGQTSGRSQHQSGSRHGSGSGQFPISGQQGS HHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSSGLGHYGSTSGQTASSTRQGSGQGQASG SGRCGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTSQRFGSGSGGSSG FSQGRSGQGRSSRGGQQGSFSGQTEGSQQHGSCCGQSSGYGQNEYGSGHSASSGQQGSHY SQSSSYGTHNSGGSPSSSQRGHGSRSGRSSGLGQYGSPSGQTSSSTRQGSGQGQASGSGR YGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTSQRYGSGSGESSGFSQ GGSGQGRSSRGGQQGSFSGQTSGRSQHQSGSRHGSGSGQFPISGQQGSHHGHSSSSGTHN SGSSQSSSTQWSHGSGSEQSSGLGHYGSTSGQTASSTRQGSGQGQASGSGRCGASSGQTS GCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTSQRYGSGSGGSSGFSQGGSGQGRSS RGGQQGSFSGQTEGSQQHGSCCGQSSGYGQNEYGSGHSASSGQQGSHYSQSSSYGTHNSG GSPSSSQRGHGSRSGRSSGLGQYGSPSGQTSSSTRQGSGQGQASGSGRYGASSGQTSGCG SGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTSQRYGSGSGESSGFSQGGSGQGRSSRGG QQGSFSGQTSGRSQHQSGSRHGSGSGQFPISGQQGSHHGHSSSSGTHNSGSSQSSSTQWS HGSGSEQSSGLGHYGSTSGQTASSTRQGSGQGQASGSGRCGASSGQTSGCGSGQSTRYGE QGSGSRNSSTQSRGRSTSRESSTSQRYGSGSGGSSGFSQGGSGQGRSSRGGQQGSFSGQT SGRSQHQSGSRHGSGSGQFPISGQQGSHHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSS GLGHYGSTSGQTASSTRQGSGQGQASGSGRCGASSGQTSGCGSGQSTRYGEQGSGSRNSS TQSRGRSTSRESSTSQRFGSGSGGSSGFSQGRSGQGRSSRGGQQGSFSGQTEGSQQHGSC CGQSSGYGQNEYGSGHSASSGQQGSHYSQSSSYGTHNSGGSPSSSQRGHGSRSGRSSGLG QYGSPSGQTSSSTRQGSGQGQASGSGRYGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQS RGRSTSRESSTSQRYGSGSGESSGFSQGGSGQGRSSRGGQQGSFSGQTSGRSQHQSGSRH GSGSGQFPISGQQGSHHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSSGLGHYGSTSGQT ASSTRQGSGQGQASGSGRCGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRES STSQRFGSGSGGSSGFSQGRSGQGRSSRGGQQGSFSGQTEGSQQHGSCCGQSSGYGQNEY GSGHSASSGQQGSHYSQSSSYGTHNSGGSPSSSQRGHGSRSGRSSGLGQYGSPSGQTSSS TRQGSGQGQASGSGRYGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSTS QRYGSGSGESSGFSQGGSGQGRSSRGGQQGSFSGQTSGRSQHQSGSRHGSGSGQFPISGQ QGSHHGHSSSSGTHNSGSSQSSSTQWSHGSGSEQSSGLGHYGSTSGQTASSTRQGSGQGQ ASGSGRCGASSGQTSGCGSGQSTRYGEQGSGSRNSSTQSRGRSTSRESSCSQHDVSGSGE SSSFSQHRSCQSQASHNGQCGPFSGQSSGHIQHGPCFGQTEGSFQSSNCGQQGTTSYQSS GFDQQRSGLHQSFPCSNHESTSQEYSSFGSCVSGSGECSGFGNQVSRPSQSTYEHHESNR NQSSGYRQYNTASGQSYCGGQCGSNSNQSSSYREQGLGSNQSSQYGQYRCPSSHYSSQSQ HGVGCSHGFNTGQYGSGSYPSSNSRQNCPGSGLCPTSEQYGSGSCQSFSPGSCGSGYGQY SNFEQPQSNRRCGNQWESGCSSSNCNENQSIRVHRQVETSSGCGFGQFSSQEQIRSDTTG KLSLCNVDNRQGNCKYQIIKGSNFGMRNTVSGPHSFNSSTPLYEYVQEQRR >ENSMUSP00000111158.2 pep:known chromosome:GRCm38:Y:8832821:8835169:-1 gene:ENSMUSG00000101053.1 transcript:ENSMUST00000115496.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20815 description:predicted gene, 20815 [Source:MGI Symbol;Acc:MGI:5434171] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLASALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000109804.2 pep:known chromosome:GRCm38:2:25926838:25983282:-1 gene:ENSMUSG00000026933.17 transcript:ENSMUST00000114167.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap1 description:calmodulin regulated spectrin-associated protein 1 [Source:MGI Symbol;Acc:MGI:3036242] MVDAGGRCAAEGWRRMEAPPEGADLVPLDRYDAARAKIAANLQWICAKAYGLDNIPEDLR DPFYIDQYEQEHIKPPVIKLLLSSELYCRVCSLILKGDQVATLQGHQSVIQALSRKGIYV MESDDTPVTDADLSQAPIKMSGHMAMVDALMMAYTVEMISIEKVVASVKRFSTFSASKEL PYDLEDAMVFWINKVNLKMREITEKEVKLKQQPLESPAHQKVRYRREHLSARQSPYFPLL EDLMRDGSDGAALLAVVHYYCPEQMKLDDICLKEVPSMADSLYNIRLLREFSNEHLNKCF YLTLEDMLYAPLVLKPNVMVFIAELFWWFENVKPDFVQPRDIQELKDAKTVLQQKSSRPP VPISNATKRSFLGSPAAMSPADQPPSTQPLAEGSHRYHLHSEEPECLGKGASTFSPSHPL LPLRQKQQKVSQTEEIPDQRHRSNSLTRVDGQPRGAIGAWPDKKNRPVSQPTSFALHHAA SCDVDPSSGDSVSLARSISKDSLASNIIHLTPQNQPHPSAGKSNGKSLLSNVNIEDEDEE LVAIIRTDVSPPSPQMPRTSPQAPGLVASIRSPQRQADTLESKPDSFYLEPLMPAVLRPA KEKQITTKEDERGEGRPRTIMAKRPSEGSQPMVRKKVSGGHGSRDLNRTFTPIPCSEFAA SIDLAEVGPQSAEATGEGQPLALGRFDTLPQGQAADGFFLHVGRAEEDEGRWYVGSQSPS SHDSEPWTILRQDSDSDVVDVEDTEQDFIGEDHPVVIPRYAGEEESAKLQEDMKVKEHED KDDASGRSSPCLSTTSQLSSMSMASGSVKMTSFAERKLQRLNSCETKSSTSSSQKTTPDA SESCPAPLTTWRQKREQSPGRHSKDPASLLASELVQLHMQLEEKRRAIEAQKKKMEALSA RQRLKLGKAAFLHVVKKGKADGAPQPLRPEHFTKEFTQHNGEDLDDGTCKTEGFLVKEEQ RDLSDAQDVAFVQLHKPRDPAALHDGEKHRMISTALLEDSVGEVDVNECDLSIEKLNETI STLQQAILKISQQQEQLLMKSPTVPTPGTKNNCQDQKIKAPVHFVEPLSPTGVPGHRKPP RLGQGRNSRSGRPAELKVPKDRQQGCSRSKTPTPSVETLPQSRSLPPSTHPRSPSDPGGE LPEKCLFDSYRLHDESNHRTFVLSSCKDANIVSEQVNFKEGLDTSVKEAGLSSSTITGKE HTPVEEPLRSKASLIEVDLSDLKAPDEDGEVVGHESSVELGGDSDQKPGVGFFFKDEQKA EDELAKKRAAFLLKQQRKAEEARARKQQLEAEVELKRDEARRKAEEDRLRKEEEKARREL IKQEYLRRKQQQALEEQGLGKPKSKPKKPRPKSVHREESYSDSGTKCSSTHNLSQTHSGS SLSLASAATTEPESVYSGGTPSHRVESLEALPILSRNPSRSTDRDWETASAASSLASVAE YTGPKLFKEPSSKSNKPIIHNAISHCCLAGKVNEPHKNSILEELEKCDANHYIILFRDAG CQFRALYCYQPDTEEIYKLTGTGPKSITKKMIDKLYKYSSDRKQFNLIPAKTMSVSVDAL TIHNHLWQPKRPTVPKKTQTRK >ENSMUSP00000139100.1 pep:known chromosome:GRCm38:2:25928531:25966767:-1 gene:ENSMUSG00000026933.17 transcript:ENSMUST00000143977.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Camsap1 description:calmodulin regulated spectrin-associated protein 1 [Source:MGI Symbol;Acc:MGI:3036242] XSRKGIYVMESDDTPVTDADLSQAPIKMSGHMAMVDALMMAYTVEMISIEKVVASVKRFS TFSASKELPYDLEDAMVFWINKVNLKMREITEKEVKLKQQPLESPAHQKISV >ENSMUSP00000117203.1 pep:known chromosome:GRCm38:2:25938540:25983061:-1 gene:ENSMUSG00000026933.17 transcript:ENSMUST00000134882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap1 description:calmodulin regulated spectrin-associated protein 1 [Source:MGI Symbol;Acc:MGI:3036242] MVDAGGRCAAEGWRRMEAPPEGADLVPLDRYDAARAKIAANLQWICAKAYGLDNIPEDLR DPFYIDQYEQEHIKPPVIKLLLSSELYCRVCSLILKGDQVATLQGHQSVIQALSRKGIYV MESDDTPVTDADLSQAPIKMSGHMAMVDALMMAYTVEMISIEKVVASVKRFSTFSASKEL PYDLEDAMVFWINKVNLKMREITEKEVKLKQQPLESPAHQKPGLEHAVMHCMLEPVDFAR VVRYRREHLSARQSPYFPLLEDLMRDGSDGAALLAVVHYYCPEQMKLDDICLKEVPSMAD SLYNIRLLREFSNEHLNKCFYLTLEDMLYAPLVLKPNVMVFIAELFWWFENVKPDFVQPR DIQELKDAKTVLQQKSSRPPVPISNATKRSFLGSPAAMSPADQPPSTQPLAEGSHRYHLH SEEPECLGKGASTFSPSHPLLPLRQKQQKVSQTEEIPDQRHRSNSLTRVDGQPRGAIGAW PDKKNRPVSQPTSFALHHAASCDVDPSSGDSVSLARSISKDSLASNIIHLTPQNQPHPSA GKSNGKSLLSNVNIEDEDEELVAIIRTDVSPPSPQMPRTSPQAPGLVASIRSPQRQADTL ESKPDSFYLEPLMPAVLRPAKEKQITTKEDERGEGRPRTIMAKRPSEGSQPMVRKKVSGG HGSRDLNRTFTPIPCSEFAASIDLAEVGPQSAEATGEGQPLALGRFDTLPQGQAADGFFL HVGRAEEDEGRWYVGSQSPSSHDSEPWTILRQDSDSDVVDVEDTEQDFIGEDHPVVIPRY AGEEESAKLQEDMKVKEHEDKDDASGRSSPCLSTTSQLSSMSMASGSVKMTSFAERKLQR LNSCETKSSTSSSQKTTPDASESCPAPLTTWRQKREQSPGRHSKDPASLLASELVQLHMQ LEEKRRAIEAQKKKMEALSARQRLKLGKAAFLHVVKKGKADGAPQPLRPEHFTKEFTQHN GEDLDDGTCKTEGFLVKEEQRDLSDAQDVAFVQLHKPRDPAALHDGEKHRMISTALLEDS VGEVDVNECDLSIEKLNETISTLQQAILKISQQQEQLLMKSPTVPTPGTKNNCQDQK >ENSMUSP00000121689.1 pep:known chromosome:GRCm38:2:25945013:25966896:-1 gene:ENSMUSG00000026933.17 transcript:ENSMUST00000134054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap1 description:calmodulin regulated spectrin-associated protein 1 [Source:MGI Symbol;Acc:MGI:3036242] XEHIKPPVIKLLLSSELYCRVCSLILKGDQVATLQGHQSVIQALSRKGIYVMESDDTPVT DADLSQAPIKMSGHMAMVDALMMAYTVEMISIEKVVASVKRFSTFSASKELPYDLEDAMV FWINKVNLKMREITEKEVKLKQQPLESPAHQKSPSKWYWKLVPVRYRREHLSARQSPYFP LLEDLMRDGSDGAALLAVVHYYCPEQMKLDDICLKEVPSMADSLYNIRLLREFSNEHLNK CFYLTLEDMLYAPLVLKPNVMVFIA >ENSMUSP00000123541.1 pep:known chromosome:GRCm38:2:25945032:25965750:-1 gene:ENSMUSG00000026933.17 transcript:ENSMUST00000151593.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap1 description:calmodulin regulated spectrin-associated protein 1 [Source:MGI Symbol;Acc:MGI:3036242] XASVKRFSTFSASKELPYDLEDAMVFWINKVNLKMREITEKEVKLKQQPLESPAHQKPGL EHAVMHCMLEPVDFARVVQYKVRYRREHLSARQSPYFPLLEDLMRDGSDGAALLAVVHYY CPEQMKLDDICLKEVPSMADSLYNIRLLREFSNEHLNKCFYLTLEDMLYAPLVLKPN >ENSMUSP00000119296.1 pep:known chromosome:GRCm38:2:25945206:25966804:-1 gene:ENSMUSG00000026933.17 transcript:ENSMUST00000142028.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap1 description:calmodulin regulated spectrin-associated protein 1 [Source:MGI Symbol;Acc:MGI:3036242] XTLQGHQSVIQALSRKGIYVMESDDTPVTDADLSQAPIKMSGHMAMVDALMMAYTVEMIS IEKVVASVKRFSTFSASKELPYDLEDAMVFWINKVRYRREHLSARQSPYFPLLEDLMRDG SDGAALLAVVHYYCPEQMKLDDICLKEVPSMADSLYNIRLLREF >ENSMUSP00000139305.1 pep:known chromosome:GRCm38:2:25956250:25983208:-1 gene:ENSMUSG00000026933.17 transcript:ENSMUST00000139937.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Camsap1 description:calmodulin regulated spectrin-associated protein 1 [Source:MGI Symbol;Acc:MGI:3036242] MVDAGGRCAAEGWRRMEAPPEGADLVPLDRYDAARAKIAANLQWICAKAYGLEWPHGDGG CPDDGLHCGDDQH >ENSMUSP00000138910.1 pep:known chromosome:GRCm38:2:25965744:25983056:-1 gene:ENSMUSG00000026933.17 transcript:ENSMUST00000127823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap1 description:calmodulin regulated spectrin-associated protein 1 [Source:MGI Symbol;Acc:MGI:3036242] MESDDTPVTDADLSQAPIKMSGHMAMVDALMMAYTVEMISIEKVVAS >ENSMUSP00000088812.4 pep:known chromosome:GRCm38:2:25926838:25983282:-1 gene:ENSMUSG00000026933.17 transcript:ENSMUST00000091268.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap1 description:calmodulin regulated spectrin-associated protein 1 [Source:MGI Symbol;Acc:MGI:3036242] MVDAGGRCAAEGWRRMEAPPEGADLVPLDRYDAARAKIAANLQWICAKAYGLDNIPEDLR DPFYIDQYEQEHIKPPVIKLLLSSELYCRVCSLILKGDQVATLQGHQSVIQALSRKGIYV MESDDTPVTDADLSQAPIKMSGHMAMVDALMMAYTVEMISIEKVVASVKRFSTFSASKEL PYDLEDAMVFWINKVNLKMREITEKEVKLKQQPLESPAHQKVRYRREHLSARQSPYFPLL EDLMRDGSDGAALLAVVHYYCPEQMKLDDICLKEVPSMADSLYNIRLLREFSNEHLNKCF YLTLEDMLYAPLVLKPNVMVFIAELFWWFENVKPDFVQPRDIQELKDAKTVLQQKSSRPP VPISNATKRSFLGSPAAMSPADQPPSTQPLAEGSHRYHLHSEEPECLGKGASTFSPSHPL LPLRQKQQKVSQTEEIPDQRHRSNSLTRVDGQPRGAIGAWPDKKNRPVSQPTSFALHHAA SCDVDPSSGDSVSLARSISKDSLASNIIHLTPQNQPHPSAGKSNGKSLLSNVNIEDEDEE LVAIIRTDVSPPSPQMPRTSPQAPGLVASIRSPQRQADTLESKPDSFYLEPLMPAVLRPA KEKQITTKEDERGEGRPRTIMAKRPSEGSQPMVRKKVSGGHGSRDLNRTFTPIPCSEFAA SIDLAEVGPQSAEATGEGQPLALGRFDTLPQGQAADGFFLHVGRAEEDEGRWYVGSQSPS SHDSEPWTILRQDSDSDVVDVEDTEQDFIGEDHPVVIPRYAGEEESAKLQEDMKVKEHED KDDASGRSSPCLSTTSQLSSMSMASGSVKMTSFAERKLQRLNSCETKSSTSSSQKTTPDA SESCPAPLTTWRQKREQSPGRHSKDPASLLASELVQLHMQLEEKRRAIEAQKKKMEALSA RQRLKLGKAAFLHVVKKGKADGAPQPLRPEHFTKEFTQHNGEDLDDGTCKTEGFLVKEEQ RDLSDAQDVAFVQLHKPRDPAALHDGEKHRMISTALLEDSVGEVDVNECDLSIEKLNETI STLQQAILKISQQQEQLLMKSPTVPTPGTKNNCQDQKIKAPVHFVEPLSPTGVPGHRKPP RLGQGRNSRSGRPAELKVPKDRQQGCSRSKTPTPSVETLPQSRSLPPSTHPRSPSDPGGE LPEKCLFDSYRLHDESNHRTFVLSSCKDANIVSEQVNFKEGLDTSVKEAGLSSSTITGKE HTPVEEPLRSKASLIEVDLSDLKAPDEDGEVVGHESSVELGGDSDQKPGVGFFFKDEQKA EDELAKKRAAFLLKQQRKAEEARARKQQLEAEVELKRDEARRKAEEDRLRKEEEKARREL IKQEYLRRKQQQALEEQGLGKPKSKPKKPRPKSVHREESYSDSGTKCSSTHNLSQTHSGS SLSLASAATTEPESVYSGGTPSHRVESLEALPILSRNPSRSTDRDWETASAASSLASVAE YTGPKLFKEPSSKSNKPIIHNAISHCCLAGKVNEPHKNSILELEKCDANHYIILFRDAGC QFRALYCYQPDTEEIYKLTGTGPKSITKKMIDKLYKYSSDRKQFNLIPAKTMSVSVDALT IHNHLWQPKRPTVPKKTQTRK >ENSMUSP00000139028.1 pep:known chromosome:GRCm38:2:25929726:25983282:-1 gene:ENSMUSG00000026933.17 transcript:ENSMUST00000183461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camsap1 description:calmodulin regulated spectrin-associated protein 1 [Source:MGI Symbol;Acc:MGI:3036242] MVDAGGRCAAEGWRRMEAPPEGADLVPLDRYDAARAKIAANLQWICAKAYGLDNIPEDLR DPFYIDQYEQEHIKPPVIKLLLSSELYCRVCSLILKGDQVATLQGHQSVIQALSRKGIYV MESDDTPVTDADLSQAPIKMSGHMAMVDALMMAYTVEMISIEKVVASVKRFSTFSASKEL PYDLEDAMVFWINKVNLKMREITEKEVKLKQQPLESPAHQKVRYRREHLSARQSPYFPLL EDLMRDGSDGAALLAVVHYYCPEQMKLDDICLKEVPSMADSLYNIRLLREFSNEHLNKCF YLTLEDMLYAPLVLKPNVMVFIAELFWWFENVKPDFVQPRDIQELKDAKTVLQQKSSRPP VPISNATKRSFLGSPAAMSPADQPPSTQPLAEGSHRYHLHSEEPECLGKGASTFSPSHPL LPLRQKQQKVSQTEEIPDQRHRSNSLTRVDGQPRGAIGAWPDKKNRPVSQPTSFALHHAA SCDVDPSSGDSVSLARSISKDSLASNIIHLTPQNQPHPSAGKSNGKSLLSNVNIEDEDEE LVAIIRTDVSPPSPQMPRTSPQAPGLVASIRSPQRQADTLESKPDSFYLEPLMPAVLRPA KEKQITTKEDERGEGRPRTIMAKRPSEGSQPMVRKKVSGGHGSRDLNRTFTPIPCSEFAA SIDLAEVGPQSAEATGEGQPLALGRFDTLPQGQAADGFFLHVGRAEEDEGRWYVGSQSPS SHDSEPWTILRQDSDSDVVDVEDTEQDFIGEDHPVVIPRYAGEEESAKLQEDMKVKEHED KDDASGRSSPCLSTTSQLSSMSMASGSVKMTSFAERKLQRLNSCETKSSTSSSQKTTPDA SESCPAPLTTWRQKREQSPGRHSKDPASLLASELVQLHMQLEEKRRAIEAQKKKMEALSA RQRLKLGKAAFLHVVKKGKADGAPQPLRPEHFTKEFTQHNGEDLDDGTCKTEGFLVKEEQ RDLSDAQDVAFVQLHKPRDPAALHDGEKHRMISTALLEDSVGEVDVNECDLSIEKLNETI STLQQAILKISQQQEQLLMKSPTVPTPGTKNNCQDQKIKAPVHFVEPLSPTGVPGHRKPP RLGQGRNSRSGRPAELKVPKDRQQGCSRSKTPTPSVETLPQSRSLPPSTHPRSPSDPGGE LPEKCLFDSYRLHDESNHRTFVLSSCKDANIVSEQVNFKEGLDTSVKEAGLSSSTITGKE HTPVEEPLRSKASLIEVDLSDLKAPDEDGEVVGHESSVELGGDSDQKPGVGFFFKDEQKA EDELAKKRAAFLLKQQRKAEEARARKQQLEAEVELKRDEARRKAEEDRLRKEEEKARREL IKQEYLRRKQQQALEEQGLGKPKSKPKKPRPKSVHREESYSDSGTKCSSTHNLSQTHSGS SLSLASAATTEPESVYSGGTPSHRVESLEALPILSRNPSRSTDRDWETASAASSLASVAE YTGPKLFKEPSSKSNKPIIHNAISHCCLAGKVNEPHKNSILELEKCDANHYIILFRDAGC QFRALYCYQPDTEEIYKLTGTGPKSITKKMIDKLYKYSSDRKQFNLIPAKTMSVSVDALT IHNHLWQPKRPTVPKKTQTRK >ENSMUSP00000096105.2 pep:known chromosome:GRCm38:7:42612504:42642547:-1 gene:ENSMUSG00000074158.9 transcript:ENSMUST00000098503.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9830147E19Rik description:RIKEN cDNA 9830147E19 gene [Source:MGI Symbol;Acc:MGI:3036263] MDALTFDDVHIHFTREEWSLLDPSQKRLYQDVMLENYRNLTTIGYNWKDHNIEEHSQNDR RYGRHERSPSAEKPYEYTQWNKAFKYHSHLQKLERNQTRDRHSEVFQHSKVHACQSTLQI NKRTNTAQKPYKCNECDKAFLKLKYLRAHERTHSGKKPYECSQCGKAFLHPCYLRIHERT HTGEKPYQCNQCAKAFTLRSQLQSHKVVHTGVRPYICKQCNKAYPQQSYLRKHERTHTGG KPYICNLCDKAYSHQSNLRIHEITHTGEKPYICNLCDKAYSHQSNLRIHERTHTGEKPYI CNLCDKAYSHQKYLQIHEKTHTGEKPYKCNQCDKGFAQLRYLRAHERTHTQEKTYKCNQC GKAFAQLTYLRVHERRHSGEKPYKCNECDKAFLQLKYLRLHERRHSGEKPYECNQCGKAF LHPSYLRMHERTHTGEKPYQCNECDKAFLHPSYLRMHERRHSGEKPYECNQCGKAFLQSH YLRMHERTHTGEKPYECNQCGKAFLHLSYLRMHERRHSGEKPYECNQCGKAFLYPSYLRM HERTHSGEKPYECNQCGKAFLHSSYLQMHERTHSGEKPYECNQCGKAFSHSSYLQMHERT HTGEKPYECNQCGKAFLQPHYLRKHEKTHTREKPYK >ENSMUSP00000141023.1 pep:known chromosome:GRCm38:7:42612504:42616359:-1 gene:ENSMUSG00000074158.9 transcript:ENSMUST00000187616.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9830147E19Rik description:RIKEN cDNA 9830147E19 gene [Source:MGI Symbol;Acc:MGI:3036263] DALTFDDVHIHFTREEWSLLDPSQKRLYQDVMLENYRNLTTIGYNWKDHNIEEHSQNDRR YGRHERSPSAEKPYEYTQWNKAFKYHSHLQKLERNQTRDRHSEVFQHSKVHACQSTLQIN KRTNTAQKPYKCNECDKAFLKLKYLRAHERTHSGKKPYECSQCGKAFLHPCYLRIHERTH TGEKPYQCNQCAKAFTLRSQLQSHKVVHTGVRPYICKQCNKAYPQQSYLRKHERTHTGGK PYICNLCDKAYSHQSNLRIHEITHTGEKPYICNLCDKAYSHQSNLRIHERTHTGEKPYIC NLCDKAYSHQKYLQIHEKTHTGEKPYKCNQCDKGFAQLRYLRAHERTHTQEKTYKCNQCG KAFAQLTYLRVHERRHSGEKPYKCNECDKAFLQLKYLRLHERRHSGEKPYECNQCGKAFL HPSYLRMHERTHTGEKPYQCNECDKAFLHPSYLRMHERRHSGEKPYECNQCGKAFLQSHY LRMHERTHTGEKPYECNQCGKAFLHLSYLRMHERRHSGEKPYECNQCGKAFLYPSYLRMH ERTHSGEKPYECNQCGKAFLHSSYLQMHERTHSGEKPYECNQCGKAFSHSSYLQMHERTH TGEKPYECNQCGKAFLQPHYLRKHEKTHTREKPYK >ENSMUSP00000069525.5 pep:known chromosome:GRCm38:3:93442330:93449077:1 gene:ENSMUSG00000052415.5 transcript:ENSMUST00000064257.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tchh description:trichohyalin [Source:MGI Symbol;Acc:MGI:2177944] MSPLIRSIVDITEVFNQYASQSCDGASLSKKDLKNLLERELGDVLQRPHDPETIDLTLEL LDRDCNGRVDFNEFLLFLFKIAQACYYALDQAAELGEKRALPNEKRNLSQDRRQEDQRRF EPRSRQLDEEPGRRSWQKRREQEERAEEQRLEQRYRQHRDEEQRLQRRELQELEERLAEK EPLGWSKGRDAEEFSEVEEQQRQERQELKGKGQTEERRLQKRRQEELREPLLRRDLELRR EQELRREQELRQEQRREQELRREQELRQELRREQELNRRQELRREQELRREQELRQELRR EQELRREQELRQELRREQELRREQELRQELRREQELRREQELRQELRREQELRREQELRQ ELAEEDELTRIREPDESITQRWQWQLENEADARQNKVYSRPSRQEQRLRQELGERQLREQ EEQRRDLQQERPAEEARQRNQWERPQRAEERLEQEQRFRDREEQRFREEKLQRAELQDSL LDEEQRRLQEERREPNRSRQLREESQRRRTLYAKPSQRQRREEELREERLLQEEQRQQRE RKHRREEDLQQEEKRLQQDEEQLQRERRRLQRERQYQEEDLQQEEERLQQEEERLQRERR RLQQERQYQEEDLQRLRDEDQRRDLKWQWQPRKENEVRSNRLFTKRRGDEEPIQQLEDSQ ERERRQDRRPLQDEEEEKRELEQERRRRQQRDRQILEEEQFQREHQREARRRDETFQEEE QLQGESRRRQQEREGKFLEEERQLRTEREEQRRRQEQEREFQEEEEHLQEREKELRQECD RKSREQERRQQREEEQLRRQERDQRFRREQERHLEREEEQLRDRPSRREQERHQEREEEQ LRDRPSRREQERHQEREEEQLRDRPSRREQERHQEREEEQLRDRPFRREQERRLEREEEQ LRDRPSRREQERHQEREEEQLRDRPSRREQERRLEREEEQLRDRSFRREQELRRDRKFHE EEERREELEEEQRGQERDRLRVEEQLRGQREEEQRRRQECDRKLHRELEVRQELEEERLR DRKLRREQELRRDRKFHEEEERRHEEFEEKQLRLQEPDRRFRREQELRQECVEEERLRDS KIRREQELRREREEERLRDRKIRRDQELRQGLEEEQLRRQELDRKFREEQELDQELEEER LRDRKIRREQELRREQELRREQEFRREQELRREQEFRREQELRQEREEERLRDRKIRRDQ ELRQGLEEEQLRRQERDRKVREEQELDQELEEERLRDRKIRREQELRREQELRREQEFRR EQGLRREREEERLRDRKIRRDQELRQGLEEEQLRRQERDRKFREEQELGQELEEERLRDR KIRREQELRREREQEQRRRLEREEEQQRLHEREEEQRRRQEREQEQQRCLEREEEQFRFE EQQRRRQEREQQLRQERDRRVLEEEELRQEREELLHRQVGGRKFREEERLRLEREEQQRR LQERDNRRFREEVELRQEREGQQLRQERDRKFREVEELRQEEQRRRQERDRKFREEKHPR EEREEQQLRREKRDGQYLAEEQFARDTIRRQEQELRQEEEQRRRQERERKFQEEQIRRRQ EEQRRRQILEPGTRQFANVPVRSSPLYEYIQEQRSQYRP >ENSMUSP00000044998.2 pep:known chromosome:GRCm38:3:93393699:93399442:1 gene:ENSMUSG00000041984.2 transcript:ENSMUST00000045912.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rptn description:repetin [Source:MGI Symbol;Acc:MGI:1099055] MPQLLNSILNVSKVFQDYAEYHGVGASLSKKELKQLLLTEFGDILRRPNDPETVETILEH LDRDRNGYVDFHEYLLLVFQLVQACHHKLDSKFYGSRTSSQKEHDQEGTRSHKFSESTGR QHRQRYEGERRNSHHNQSEGQHQNVQHDQSQRQDKDSERHDTDPHCGQSETFHGDSHYGH SERQDTDYSSDQSESDNESSSSSQRLGYKSSHEQPKGQGYVFALSQSKNPEQAFHYGQSK TSGQQSSHGQSGRFRKDSYSSQTSQQESDSYEQYGSQHQKSGNSQTERQGQNSQYGQTNK KGHSSYHEQTEGQGQSFHYGQKGRKDQSFQQGQKGRKDQSPHLGQKGRQDQSPHRGQKGR QDQSPHQGQKGRQDQSPHRGQKGRQDQSPHQGQKGRQDQSPHLGQKGRQDQSPHQGQKGR QDQSPHQGQKGRQDQSSHQGQKGRQDQSSHQGQKGRQDQSSHQGQKGRQDQSSHQGQREG QDQNSQWHRTDSQGQSFHYGQTGGHSLSSHQGQTDSQGQNSNWHRTDSQGQSFHYGQTGG QGLSSHQGQTDSQGQNSNWHRTDSQGQSFHFDQAGREVQGSHHGQTDRQSQNSNWHRTDS QGQSFHFDQAGKEVQGSHQGQTDSQGQSSHWHQTDRQGQSSQQGHKDRQGQNTHQGQKGR QDLSPHQGQKGRQDQSPHLGQKGRHDQSPHQGQKGRHDQSPHQGQKGRQDLSSHQGQKGR QDQSPHLGQKGRHDQSPHRGQKGRQDQSPHQGQKGRQDQSSHQGQREGQDQNSHWHRTDR QGQSFHYGQTGGQGLSSHQGQTDSQGQNSQWHRTDSQGQSFHFDQAGREGQSSHHGQTDR QSQSSHCGQSEIGKTENQGQNRHSLGTDRTRRDSYVEQSGRSVKLSQQNSREEVRQTQSQ RSHDRREQQIQQQTWKPKEDNQHKLLAQVQQEPYSYEEYDWQSQSSEQDHCGEEEYQDWD RHSVEDQENLYEMQNWQTHEEEQSHQTSDRQTHVDEQNQQRQHRQTHEENHDHQHGRHHE DEHNHRRQDHHQQRERQTHEEKEKYQGGQDQSRSFPNREKSHMSEDDQCEGPQGRRFHPT HGGGKSQRREKSGNHPTKPANYSSPLYDYVQEQAAYQY >ENSMUSP00000061423.6 pep:known chromosome:GRCm38:13:49230690:49248294:-1 gene:ENSMUSG00000021384.14 transcript:ENSMUST00000058196.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd3 description:sushi domain containing 3 [Source:MGI Symbol;Acc:MGI:1913579] MRRTSATLRGRARPRWRAGNTTPVPVNQTGTCAQLHPPPQGTLQVVRGDGTSLGTVLIFH CPSGHQMVGSGLLTCAWNGSTVDWSSGSPVCKAVPPHETFGFKVAVIASIVSCAIILLMS MAFLTCCLLKCVQKNERRRADRTAQLWYQLRGEDLETVQAAYLGLKGHNHNNSSSVGGGN GGPSGGGGKPGIQHSQAHDNHSFTTDPGDIREQAGVTHSVDKDPWTFRMGTPGPGGCSSS PGTYVMVHALNSAGLAPGNPGRPKVYLPG >ENSMUSP00000021816.5 pep:known chromosome:GRCm38:13:49230829:49248706:-1 gene:ENSMUSG00000021384.14 transcript:ENSMUST00000021816.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd3 description:sushi domain containing 3 [Source:MGI Symbol;Acc:MGI:1913579] MRRLSLSKVTCLALESKGTCAQLHPPPQGTLQVVRGDGTSLGTVLIFHCPSGHQMVGSGL LTCAWNGSTVDWSSGSPVCKAVPPHETFGFKVAVIASIVSCAIILLMSMAFLTCCLLKCV QKNERRRADRTAQLWYQLRGEDLETVQAAYLGLKGHNHNNSSSVGGGNGGPSGGGGKPGI QHSQAHDNHSFTTDPGDIREQAGVTHSVDKDPWTFRMGTPGPGGCSSSPGTYVMVHALNS AGLAPGNPGRPKVYLPG >ENSMUSP00000113631.1 pep:known chromosome:GRCm38:13:49237987:49248154:-1 gene:ENSMUSG00000021384.14 transcript:ENSMUST00000119721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd3 description:sushi domain containing 3 [Source:MGI Symbol;Acc:MGI:1913579] MRRTSATLRGRARPRWRAGNTTPVPVNQTGTCAQLHPPPQGTLQVVRGDGTSLGTVLIFH CPSGHQMVGSGLLTCAWNGSTVDWSSGSPVCKAVPPHETFGFKVAVIASIVSCAIILLMS MAFLTCCLLKCVQKNERRRADRYSGLMSFQKLCLAVRLLITSCQVPWGSV >ENSMUSP00000115888.1 pep:known chromosome:GRCm38:13:49231303:49248663:-1 gene:ENSMUSG00000021384.14 transcript:ENSMUST00000135784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Susd3 description:sushi domain containing 3 [Source:MGI Symbol;Acc:MGI:1913579] MRRLSLSKVTCLALESKAVPPHETFGFKVAVIASIVSCAIILLMSMAFLTCCLLKCVQKN ERRRADRTAQLWYQLRGEDLETVQAAYLGLKGHNHNNSSSVGGGNGGPSGGGGKPGIQHS QAHDNHSFTTDPGDIREQA >ENSMUSP00000079543.4 pep:known chromosome:GRCm38:7:24636550:24641118:1 gene:ENSMUSG00000057454.5 transcript:ENSMUST00000080718.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd3 description:Ly6/Plaur domain containing 3 [Source:MGI Symbol;Acc:MGI:1919684] MDAARRGDTQPVMWTTGWLLLLPLLLCEGAQALECYSCVQKADDGCSPHRMKTVKCGPGV DVCTEAVGAVETIHGQFSVAVRGCGSGIPGKNDRGLDLHGLLAFFQLQQCSEDRCNAKLN LTLRGLNPAGNESAYEPNGAECYSCVGLSREKCQGSMPPVVNCYNASGRVYKGCFDGNVT LTAANVTVSLPVRGCVQDETCTRDGVTGPGFTLSGSCCQGPRCNADLRNKTYFSPRIPPL VLLPPPTTAAPSTRAQNSSSTTSTAAPTTTTSIIKPTTAQASHTSPHEMDLEVIQEEGAS LSGGAAGHGGTAGHGGAAGHQDRSNMEKYPGKGGAQIPAKGGSGTLGSWLSAVLLTVVAG AML >ENSMUSP00000030313.8 pep:known chromosome:GRCm38:4:94500081:94556796:-1 gene:ENSMUSG00000028578.8 transcript:ENSMUST00000030313.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Caap1 description:caspase activity and apoptosis inhibitor 1 [Source:MGI Symbol;Acc:MGI:1915020] MTGKKSSREKRRKRSGQEAAASLAAPDLVPVLSGSAGGCGSGGCCGVAGGGTSVAGGAER SERRKRRSTDSSSSVSGSLQQETKYLLPSLEKELFLAEHSDLEEGGLDLNVSLKPVSFYI SDKKEMLQQCFCIIGEKKLQKMLPDVLKNCSVEEIKKLCQEQLELLSEKQILKILEGDNG LDSDMEEEADDGCKVAPDLISQQDTCVDSTSSLRENKQPEVLESKQGKGEDSDVLSINAD AYDSDIEGPSIDEAAAAATATPAATAVATAASEVPENTVQSEAGQIDDLERDIEKSVNEI LGLAESSPKEPKVATLTVPPAEDVQPSAQQLELLELEMRARAIKALMKAGDIKKPV >ENSMUSP00000104826.2 pep:known chromosome:GRCm38:13:119428601:119457391:1 gene:ENSMUSG00000025451.15 transcript:ENSMUST00000109203.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip1 description:polyadenylate binding protein-interacting protein 1 [Source:MGI Symbol;Acc:MGI:2384993] MAKPQVVVAPVLMSKLSANAPEFYPSGYSSNYTESYEDGCEDYPTLSEYVQDFLNHLTEQ PGSFETEIEQFAETLNGWVTTDDALQELVELIYQQATSIPNFSYMGARLCNYLSHHLTIS PQSGNFRQLLLQRCRTEYEAKDQAAKGDEVTRKRFHAFVLFLGELYLNLEIKGTNGQVTR ADILQVGLRELLNALFSNPMDDNLICAVKLLKLTGSVLEDTWKEKGKTDMEEIIQRIENV VLDANCSRDVKQMLLKLVELRSSNWGRVHATSTYREATPENDPNYFMNEPTFYTSDGVPF TAADPDYQEKYQELLEREDFFPDYEENGTDLSGAGDPYLDDIDDEMDPEIEEAYEKFCLE SERKRKQ >ENSMUSP00000026520.7 pep:known chromosome:GRCm38:13:119428922:119458218:1 gene:ENSMUSG00000025451.15 transcript:ENSMUST00000026520.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip1 description:polyadenylate binding protein-interacting protein 1 [Source:MGI Symbol;Acc:MGI:2384993] MSDSFDRAPEQTKPQRAPPSSQDKIPQQNSESAMAKPQVVVAPVLMSKLSANAPEFYPSG YSSNYTESYEDGCEDYPTLSEYVQDFLNHLTEQPGSFETEIEQFAETLNGWVTTDDALQE LVELIYQQATSIPNFSYMGARLCNYLSHHLTISPQSGNFRQLLLQRCRTEYEAKDQAAKG DEVTRKRFHAFVLFLGELYLNLEIKGTNGQVTRADILQVGLRELLNALFSNPMDDNLICA VKLLKLTGSVLEDTWKEKGKTDMEEIIQRIENVVLDANCSRDVKQMLLKLVELRSSNWGR VHATSTYREATPENDPNYFMNEPTFYTSDGVPFTAADPDYQEKYQELLEREDFFPDYEEN GTDLSGAGDPYLDDIDDEMDPEIEEAYEKFCLESERKRKQ >ENSMUSP00000134617.2 pep:known chromosome:GRCm38:13:119429119:119457319:1 gene:ENSMUSG00000025451.15 transcript:ENSMUST00000132304.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Paip1 description:polyadenylate binding protein-interacting protein 1 [Source:MGI Symbol;Acc:MGI:2384993] XRDRSMSDSFDRAPGAGRGRSRGLFLGRGGGGPEGGGFPNGAGPAERSQHQSPPPPQPKA PGFLQPPPLRQPRTAPPPGAQSEAPAGSARPPRPGALPEQTKPQRAPPSSQDKIPQQNSE SAMAKPQVVVAPVLMSKLSANAPEFYPSGYSSNYTESYEDGCEDYPTLSEYVQDFLNHLT EQPGSFETEIEQFAETLNGWVTTDDALQELVELIYQQATSIPNFSYMGARLCNYLSHHLT ISPQSGNFRQLLLQRLKEQMDKLQEQIFFRLVFGNC >ENSMUSP00000134051.1 pep:known chromosome:GRCm38:13:119429133:119457205:1 gene:ENSMUSG00000025451.15 transcript:ENSMUST00000173627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip1 description:polyadenylate binding protein-interacting protein 1 [Source:MGI Symbol;Acc:MGI:2384993] MSDSFDRAPEQTKPQRAPPSSQDKIPQQNSESAMAKPQVVVAPVLMSKLSANAPEFYPSG YSSNYTESYEDGCEDYPTLSEYVQDFLNHLTEQPGSFETEIEQFAETLNGWVTTDDALQE LVELIYQQATSIPNFSYMGARLCNYLSHHLTISPQSGNFRQLLLQRCRTEYEAKDQAAKG DEVTRKRFHAFVLFLGELYLNLEIKGTNGQVTRADILQVGLRELLNALFSNPMDDNLICA VKLLKLTGSVLEDTWKEKGKTDMEEIIQRIENVVLDANCSRDVKQMLLKLVELRSSNWGR VHATSTYREATPENDPNYFMNEPTFYTSDGVPFTAADPDIWMISMMRWTQKLKKLMKSFV WNQSASENSKVKFEDTSFFFFFFFFFFLKFRYGDLEEHRKARNCVRLIPN >ENSMUSP00000117256.2 pep:known chromosome:GRCm38:13:119429133:119457357:1 gene:ENSMUSG00000025451.15 transcript:ENSMUST00000126957.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip1 description:polyadenylate binding protein-interacting protein 1 [Source:MGI Symbol;Acc:MGI:2384993] MSDSFDRAPGAGRGRSRGLFLGRGGGGPEGGGFPNGAGPAERSQHQSPPPPQPKAPGFLQ PPPLRQPRTAPPPGAQSEAPAGSARPPRPGALPEQTKPQRAPPSSQDKIPQQNSESAMAK PQVVVAPVLMSKLSANAPEFYPSGYSSNYTESYEDGCEDYPTLSEYVQDFLNHLTEQPGS FETEIEQFAETLNGWVTTDDALQELVELIYQQATSIPNFSYMGARLCNYLSHHLTISPQS GNFRQLLLQRCRTEYEAKDQAAKGDEVTRKRFHAFVLFLGELYLNLEIKGTNGQVTRADI LQVGLRELLNALFSNPMDDNLICAVKLLKLTGSVLEDTWKEKGKTDMEEIIQRIENVVLD ANCSRDVKQMLLKLVELRSSNWGRVHATSTYREATPENDPNYFMNEPTFYTSDGVPFTAA DPDYQEKYQELLEREDFFPDYEENGTDLSGAGDPYLDDIDDEMDPEIEEAYEKFCLESER KRKQ >ENSMUSP00000134502.1 pep:known chromosome:GRCm38:13:119429158:119449940:1 gene:ENSMUSG00000025451.15 transcript:ENSMUST00000174691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip1 description:polyadenylate binding protein-interacting protein 1 [Source:MGI Symbol;Acc:MGI:2384993] XEQTKPQRAPPSSQDKIPQQNSESAMAKPQVVVAPVLMSKLSANAPEFYPSGYSSNYTES YEDGCEDYPTLSEYVQDFLNHLTEQPGSFETEIEQFAETLNGWVTTDDALQELVELIYQQ ATSIPNFSYMGARLCNYLSHHLTISPQSGNFRQLLLQRCRTEYEAKDQAAKGDEVTRKRF HAFVLFLGELYLNLELTGSVLEDTWKE >ENSMUSP00000134365.1 pep:known chromosome:GRCm38:13:119440867:119457019:1 gene:ENSMUSG00000025451.15 transcript:ENSMUST00000174533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paip1 description:polyadenylate binding protein-interacting protein 1 [Source:MGI Symbol;Acc:MGI:2384993] QRCRTEYEAKDQAAKGDEVTRKRFHAFVLFLGELYLNLEIKGTNGQVTRADILQLTGSVL EDTWKEKGKTDMEEIIQRIENVVLDANCSRDVKQMLLKLVELRSSNWGRVHATSTYREAT PENDPNYFMNEPTFYTSDGVPFTAADPDYQEKYQELLEREDFFPDYEENGTDLSGAGDPY LDDIDDEMD >ENSMUSP00000078084.5 pep:known chromosome:GRCm38:11:67025154:67034790:1 gene:ENSMUSG00000050270.12 transcript:ENSMUST00000079077.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem220 description:transmembrane protein 220 [Source:MGI Symbol;Acc:MGI:2443691] MAPAVATWAPGLWRACNALMAAFFALAAVVQVNDPDAELWVTRKSFCRESLYQRLPLPMT TPGMTVVYMIPAVLTLLVGFNPLVTGNFIWKSVSAIHMLFCALWAGGLAYHFLLHAKQNL LNEEEGRELSGLVIVTAWMALCHSSSKNPGGGRMHLAIAVVITLLPLLSWVYVHMNKEMR SSWPTHCKTVI >ENSMUSP00000057366.5 pep:known chromosome:GRCm38:11:67025154:67035312:1 gene:ENSMUSG00000050270.12 transcript:ENSMUST00000061786.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem220 description:transmembrane protein 220 [Source:MGI Symbol;Acc:MGI:2443691] MAPAVATWAPGLWRACNALMAAFFALAAVVQVNDPDAELWVVVYMIPAVLTLLVGFNPLV TGNFIWKSVSAIHMLFCALWAGGLAYHFLLHAKQNLLNEEEGRELSGLVIVTAWMALCHS SSKNPGGGRMHLAIAVVITLLPLLSWVYVHMNKEMRSSWPTHCKTVI >ENSMUSP00000007250.7 pep:known chromosome:GRCm38:17:35028605:35046622:-1 gene:ENSMUSG00000007035.15 transcript:ENSMUST00000007250.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh5 description:mutS homolog 5 (E. coli) [Source:MGI Symbol;Acc:MGI:1329021] MAFRATPGRTPPGPGPRSGIPSASFPSPQPPMAGPGGIEEEDEEEPAEIHLCVLWSSGYL GIAYYDTSDSTIHFMPDAPDHESLKLLQRVLDEINPQSVVTSAKQDEAMTRFLGKLASEE HREPKGPEIILLPSVDFGPEISKQRLLSGNYSFISDSMTATEKILFLSSIIPFDCVLTVR ALGGLLKFLSRRRIGVELEDYDVGVPILGFKKFVLTHLVSIDQDTYSVLQIFKSESHPSV YKVASGLKEGLSLFGILNRCRCKWGQKLLRLWFTRPTRELRELNSRLDVIQFFLMPQNLD MAQMLHRLLSHIKNVPLILKRMKLSHTKVSDWQVLYKTVYSALGLRDACRSLPQSIQLFQ DIAQEFSDDLHHIASLIGKVVDFEESLAENRFTVLPNIDPDIDAKKRRLIGLPSFLTEVA QKELENLDSRIPSCSVIYIPLIGFLLSIPRLPFMVEASDFEIEGLDFMFLSEDKLHYRSA RTKELDTLLGDLHCEIRDQETLLMYQLQCQVLARASVLTRVLDLASRLDVLLALASAARD YGYSRPHYSPCIHGVRIRNGRHPLMELCARTFVPNSTDCGGDQGRVKVITGPNSSGKSIY LKQVGLITFMALVGSFVPAEEAEIGVIDAIFTRIHSCESISLGLSTFMIDLNQVAKAVNN ATEHSLVLIDEFGKGTNSVDGLALLAAVLRHWLALGPSCPHVFVATNFLSLVQLQLLPQG PLVQYLTMETCEDGEDLVFFYQLCQGVASASHASHTAAQAGLPDPLIARGKEVSDLIRSG KPIKATNELLRRNQMENCQALVDTFLKLDLEDPTLDLDIFISQEVLPAAPTIL >ENSMUSP00000094951.4 pep:known chromosome:GRCm38:17:35028605:35046726:-1 gene:ENSMUSG00000007035.15 transcript:ENSMUST00000097338.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msh5 description:mutS homolog 5 (E. coli) [Source:MGI Symbol;Acc:MGI:1329021] MAFRATPGRTPPGPGPRSGIPSASFPSPQPPMAGPGGIEEEDEEEPAEIHLCVLWSSGYL GIAYYDTSDSTIHFMPDAPDHESLKLLQRVLDEINPQSVVTSAKQDEAMTRFLGKLASEE HREPKGPEIILLPSVDFGPEISKQRLLSGNYSFISDSMTATEKILFLSSIIPFDCVLTVR ALGGLLKFLSRRRIGVELEDYDVGVPILGFKKFVLTHLVSIDQDTYSVLQIFKSESHPSV YKVASGLKEGLSLFGILNRCRCKWGQKLLRLWFTRPTRELRELNSRLDVIQFFLMPQNLD MAQMLHRLLSHIKNVPLILKRMKLSHTKVSDWQVLYKTVYSALGLRDACRSLPQSIQLFQ DIAQEFSDDLHHIASLIGKVVDFEESLAENRFTVLPNIDPDIDAKKRRLIGLPSFLTEVA QKELENLDSRIPSCSVIYIPLIGFLLSIPRLPFMVEASDFEIEGLDFMFLSEDKLHYRSA RTKELDTLLGDLHCEIRDQETLLMYQLQCQVLARASVLTRVLDLASRLDVLLALASAARD YGYSRPHYSPCIHGVRIRNGRHPLMELCARTFVPNSTDCGGDQGRVKVITGPNSSGKSIY LKQVGLITFMALVGSFVPAEEAEIGVIDAIFTRIHSCESISLGLSTFMIDLNQVAKAVNN ATEHSLVLIDEFGKGTNSVDGLALLAAVLRHWLALGPSCPHVFVATNFLSLVQLQLLPQG PLVQYLTMETCEDGEDLVFFYQLCQGVASASHASHTAAQAGLPDPLIARGKEVSDLIRSG KPIKATNELLRRNQMENCQALVDTFLKLDLEDPTLDLDIFISQEVLPAAPTIL >ENSMUSP00000134295.2 pep:known chromosome:GRCm38:17:35028609:35033291:-1 gene:ENSMUSG00000007035.15 transcript:ENSMUST00000174026.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Msh5 description:mutS homolog 5 (E. coli) [Source:MGI Symbol;Acc:MGI:1329021] XFEESLAENRFTVLPNIDPDIDAKKRRLIGLPSFLTEVAQKELENLDSRIPSCSVIYIPL VSECRGAVKSPGVSW >ENSMUSP00000134065.1 pep:known chromosome:GRCm38:17:35028683:35046542:-1 gene:ENSMUSG00000007035.15 transcript:ENSMUST00000174603.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Msh5 description:mutS homolog 5 (E. coli) [Source:MGI Symbol;Acc:MGI:1329021] MAFRATPGRTPPGPGPRSGIPSASFPSPQPPMAGPGGIEEEDEEEPAEIHLCVLWSSGYL GIAYYDTSDSTIHFMPDAPDHESLKLLQRVLDEINPQSVVTSAKQDEAMTRFLGKLASEE HREPKGPEIILLPSVDFGPEISKQRLLSGNYSFISDSMTATEKILFLSSIIPFDCVLTVR ALGGLLKFLSRRRIGVELEDYDVGVPILGFKKFVLTHLVSIDQDTYSVLQIFKSESHPSV YKVASGLKEGLSLFGILNRCRCKWGQKLLRLWFTRPTRELRELNSRLDVIQFFLMPQNLD MAQMLHRLLSHIKNVPLILKRMKLSHTKVSDWQVLYKTVYSALGLRDACRSLPQSIQLFQ DIAQEFSDDLHHIASLIGKVVDFEESLAENRFTVLPNIDPDIDAKKRRLIGLPSFLTEVA QKELENLDSRIPSCSVIYIPLIGFLLSIPRLPFMVEASDFEIEGLDFMRTSCTIVAPGPR SWTRCWETCTARSGTRRPC >ENSMUSP00000134426.1 pep:known chromosome:GRCm38:17:35028683:35046542:-1 gene:ENSMUSG00000007035.15 transcript:ENSMUST00000172536.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Msh5 description:mutS homolog 5 (E. coli) [Source:MGI Symbol;Acc:MGI:1329021] MAFRATPGRTPPGPGPRSGIPSASFPSPQPPMAGPGGIEEEDEEEPAEIHLCVLWSSGYL GIAYYDTSDSTIHFMPDAPDHESLKLLQRVLDEINPQSVVTSAKQDEAMTRFLGKLASEE HREPKGPEIILLPSVDFGPEISKQRLLSGNYSFISDSMTATEKILFLSSIIPFDCVLTVR ALGGLLKFLSRRRIGVELEDYDVGVPILGFKKFVLTHLVSIDQDTYSVLQIFKSESHPSV YKVASGLKEGLSLFGILNRCRCKWGQKLLRLWFTRPTRELRELNSRLDVIQFFLMPQNLD MAQMLHRLLSHIKNVPLILKRMKLSHTKVSDWQVLYKTVYSALGLRDACRSLPQSIQLFQ DIAQEFSDDLHHIASLIGKVVDFEESLAENRFTVLPNIDPDIDAKKRRLIGLPSFLTEVA QKELENLDSRIPSCSVIYIPLIGFLLSIPRLPFMVEASDFEIEGLDFMFLSEDKLHYRSA RTKELDTLLGDLHCEIRDQETLLMYQLQCQVLARASVLTRVLDLASRLDVLLALASAARD YGYSRPHYSPCIHGVRIRNGRHPLMELCARTFVPNSTDCGGDQGRVKVITGPNSSGKSIY LKQLRIHLPRPLHLHD >ENSMUSP00000134061.1 pep:known chromosome:GRCm38:17:35037093:35046745:-1 gene:ENSMUSG00000007035.15 transcript:ENSMUST00000174556.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Msh5 description:mutS homolog 5 (E. coli) [Source:MGI Symbol;Acc:MGI:1329021] MAFRATPGRTPPGPGPRSGIPSASFPSPQPPMAGPGDPSVRAVELGIPGHCLL >ENSMUSP00000133415.1 pep:known chromosome:GRCm38:17:35044100:35046520:-1 gene:ENSMUSG00000007035.15 transcript:ENSMUST00000172491.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Msh5 description:mutS homolog 5 (E. coli) [Source:MGI Symbol;Acc:MGI:1329021] MAFRATPGRTPPGPGPRSGIPSASFPSPQPPMAGPGGIEEEDEEEPAEFWMKSTPSLLSQ VPNRMRL >ENSMUSP00000096674.2 pep:known chromosome:GRCm38:3:65666228:65686179:1 gene:ENSMUSG00000074579.13 transcript:ENSMUST00000099075.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lekr1 description:leucine, glutamate and lysine rich 1 [Source:MGI Symbol;Acc:MGI:3645902] MDRHIPMHALPEEIQKMSPEETVCKYCGVSYLILHEFKAMEEKLKAVQEEMKFYQGSVER EKKLQEKLQSLSQEFEQYKSDSESKKARSEYVSCLLFSFKANSRFGWHGGTCLKFQ >ENSMUSP00000022875.6 pep:known chromosome:GRCm38:15:27466677:27594909:1 gene:ENSMUSG00000022265.6 transcript:ENSMUST00000022875.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank description:progressive ankylosis [Source:MGI Symbol;Acc:MGI:3045421] MVKFPALTHYWPLIRFLVPLGITNIAIDFGEQALNRGIAAVKEDAVEMLASYGLAYSLMK FFTGPMSDFKNVGLVFVNSKRDRAKAVLCMVVAGAIAAVFHTLIAYSDLGYYIINKLHHV DESVGSKTRRAFLYLAAFPFMDAMAWTHAGILLKHKYSFLVGCASISDVIAQVVFVAILL HSHLECREPLLIPILSLYMGALVRCTTLCLGYYRNIHDIIPDRSGPELGGDATIRKMLSF WWPLALILATQRISRPIVNLFVSRDLGGSSAATEAVAILTATYPVGHMPYGWLTEIRAVY PAFDKNNPSNKLANTSNTVTSAHIKKFTFVCMALSLTLCFVMFWTPNVSEKILIDIIGVD FAFAELCVIPLRIFSFFPVPVTVRAHLTGWLMTLKKTFVLAPSSVLRIIVLITSLVVLPY LGVHGATLGVGSLLAGFVGESTMVALAACYVYRKQKKKMENESATEGEDSAMTDMPPTEE VTDIVEMREENE >ENSMUSP00000108285.1 pep:known chromosome:GRCm38:X:151520672:151570807:1 gene:ENSMUSG00000041229.15 transcript:ENSMUST00000112666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf8 description:PHD finger protein 8 [Source:MGI Symbol;Acc:MGI:2444341] MASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPS IMKKRRGSSKGHDNHKGKPLKTGSSMFIRELRGRTFDSSDEVILKPTGSQLTVEFLEENS FSVPILVLKKDGLGMTLPSPSFTVRDVEHYVGSDKEIDVIDVARQADCKMKLGDFVKYYY SGKREKVLNVISLEFSDTRLSNLVETPRIVRKLSWVENLWPEECVFERPNVQKYCLMSVR DSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFFGDQVEKC YKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNFLHSLNIEMQLKAYEIEKRLSTADLFK FPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTKKEALPDHEDEI PETVRTVQLIKDLAREIRLVEVRSMGEGKAFGKGWRLKWQVRTL >ENSMUSP00000108289.1 pep:known chromosome:GRCm38:X:151521169:151600386:1 gene:ENSMUSG00000041229.15 transcript:ENSMUST00000112670.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf8 description:PHD finger protein 8 [Source:MGI Symbol;Acc:MGI:2444341] MASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPS IMKKRRGSSKGHDNHKGKPLKTGSSMFIRELRGRTFDSSDEVILKPTGSQLTVEFLEENS FSVPILVLKKDGLGMTLPSPSFTVRDVEHYVGSDKEIDVIDVARQADCKMKLGDFVKYYY SGKREKVLNVISLEFSDTRLSNLVETPRIVRKLSWVENLWPEECVFERPNVQKYCLMSVR DSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFFGDQVEKC YKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNFLHSLNIEMQLKAYEIEKRLSTADLFK FPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTKKEALPDHEDEI PETVRTVQLIKDLAREIRLVEDIFQQNVGKTSNIFGLQRIFPAGSIPLTKPAHSTSVSMS KLSLPSKNGSKKKGLKPKDIFKKAERKGKQSSALGPAGQLSYNLMDPYSHQALKTGPSQK AKFNMSGTSLNDSDDDSADMDLDGSENPLALLMANGSTKRMKSVSKSRRAKIAKKVDSAR LVAEQVMGDEFDLDSDDELQIDERLGKEKANLLIRSKFPRKLPRAKPCSDPNRIREPGEV EFDIEEDYTTDEDMVEGVESKLGNGSGAGGILDLLKASRQVGGPDYAALTEAPASPSTQE AIQGMLCMANLQSSSSSPATSSLQAWWTGGQERSSGSSSSGLGTVSSSPASQRTPGKRPI KRPAYWKNESEEEENASLDEQDSLGACFKDAEYSKNMLEY >ENSMUSP00000041312.4 pep:known chromosome:GRCm38:X:151521181:151625833:1 gene:ENSMUSG00000041229.15 transcript:ENSMUST00000046962.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf8 description:PHD finger protein 8 [Source:MGI Symbol;Acc:MGI:2444341] MASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPS IMKKRRGSSKGHDNHKGKPLKTGSSMFIRELRGRTFDSSDEVILKPTGSQLTVEFLEENS FSVPILVLKKDGLGMTLPSPSFTVRDVEHYVGSDKEIDVIDVARQADCKMKLGDFVKYYY SGKREKVLNVISLEFSDTRLSNLVETPRIVRKLSWVENLWPEECVFERPNVQKYCLMSVR DSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFFGDQVEKC YKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNFLHSLNIEMQLKAYEIEKRLSTADLFK FPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTKKEALPDHEDEI PETVRTVQLIKDLAREIRLVEFNMSGTSLNDSDDDSADMDLDGSENPLALLMANGSTKRM KSVSKSRRAKIAKKVDSARLVAEQVMGDEFDLDSDDELQIDERLGKEKANLLIRSKFPRK LPRAKPCSDPNRIREPGEVEFDIEEDYTTDEDMVEGVESKLGNGSGAGGILDLLKASRQV GGPDYAALTEAPASPSTQEAIQGMLCMANLQSSSSSPATSSLQAWWTGGQERSSGSSSSG LGTVSSSPASQRTPGKRPIKRPAYWKNESEEEENASLDEQDSLGACFKDAEYIYPSLESD DDDPALKSRPKKKKNSDDAPWSPKARVTPTLPKQDRPVREGTRVASIETGLAAAAAKLAQ QVRVDTQLVALLLMT >ENSMUSP00000108287.2 pep:known chromosome:GRCm38:X:151521187:151589220:1 gene:ENSMUSG00000041229.15 transcript:ENSMUST00000112668.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf8 description:PHD finger protein 8 [Source:MGI Symbol;Acc:MGI:2444341] MASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPS IMKKRRGSSKGHDNHKGKPLKTGSSMFIRELRGRTFDSSDEVILKPTGSQLTVEFLEENS FSVPILVLKKDGLGMTLPSPSFTVRDVEHYVGSDKEIDVIDVARQADCKMKLGDFVKYYY SGKREKVLNVISLEFSDTRLSNLVETPRIVRKLSWVENLWPEECVFERPNVQKYCLMSVR DSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFFGDQVEKC YKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNFLHSLNIEMQLKAYEIEKRLSTADLFK FPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTKKEALPDHEDEI PETVRTVQLIKDLAREIRLVEDIFQQNVGKTSNIFGLQRIFPAGSIPLTKPAHSTSVSMS KLSLPSKNGSKKKGLKPKDIFKKAERKGKQSSALGPAGQLSYNLMDPYSHQALKTGPSQK AKFNMSGTSLNDSDDDSADMDLDGSENPLALLMANGSLFIWLITLMDFCILNHSCIPGMK PT >ENSMUSP00000040765.6 pep:known chromosome:GRCm38:X:151521187:151633859:1 gene:ENSMUSG00000041229.15 transcript:ENSMUST00000046950.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf8 description:PHD finger protein 8 [Source:MGI Symbol;Acc:MGI:2444341] MASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPS IMKKRRGSSKGHDNHKGKPLKTGSSMFIRELRGRTFDSSDEVILKPTGSQLTVEFLEENS FSVPILVLKKDGLGMTLPSPSFTVRDVEHYVGSDKEIDVIDVARQADCKMKLGDFVKYYY SGKREKVLNVISLEFSDTRLSNLVETPRIVRKLSWVENLWPEECVFERPNVQKYCLMSVR DSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFFGDQVEKC YKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNFLHSLNIEMQLKAYEIEKRLSTADLFK FPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTKKEALPDHEDEI PETVRTVQLIKDLAREIRLVEDIFQQNVGKTSNIFGLQRIFPAGSIPLTKPAHSTSVSMS KLSLPSKNGSKKKGLKPKDIFKKAERKGKQSSALGPAGQLSYNLMDPYSHQALKTGPSQK AKFNMSGTSLNDSDDDSADMDLDGSENPLALLMANGSTKRMKSVSKSRRAKIAKKVDSAR LVAEQVMGDEFDLDSDDELQIDERLGKEKANLLIRSKFPRKLPRAKPCSDPNRIREPGEV EFDIEEDYTTDEDMVEGVESKLGNGSGAGGILDLLKASRQVGGPDYAALTEAPASPSTQE AIQGMLCMANLQSSSSSPATSSLQAWWTGGQERSSGSSSSGLGTVSSSPASQRTPGKRPI KRPAYWKNESEEEENASLDEQDSLGACFKDAEYIYPSLESDDDDPALKSRPKKKKNSDDA PWSPKARVTPTLPKQDRPVREGTRVASIETGLAAAAAKLAQQELQKAQKKKYIKKKPLLK EVEQPRPQDSNPIMTMPAPTVATTPQPDTSSSPQPPPEPKQEALSGSLADHEYTARPNAF GMAQANRSTTPMAPGVFLTQRRPSVGSQSSQAGQGKRPKKGLATAKQRLGRILKIHRNGK LLL >ENSMUSP00000122974.1 pep:known chromosome:GRCm38:X:151522360:151550991:1 gene:ENSMUSG00000041229.15 transcript:ENSMUST00000148622.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf8 description:PHD finger protein 8 [Source:MGI Symbol;Acc:MGI:2444341] MASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPS IMKKRRGSSKGHDNHKGKPLKTGSSMFIRELRGRTFDSSDEVILKPTGSQLTVEFLEENS FSVPILVLKKDGLGMTLPSPSFTVRDVEHYV >ENSMUSP00000116792.1 pep:known chromosome:GRCm38:X:151566037:151575836:1 gene:ENSMUSG00000041229.15 transcript:ENSMUST00000151941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf8 description:PHD finger protein 8 [Source:MGI Symbol;Acc:MGI:2444341] XGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTKKEALPDHEDEIPETVRTVQLI KDLAREIRLVEFNMSGTSLNDSDDDSADMDLDGSENPLALLMANGRRCLNFSLYGLKFKK FSLW >ENSMUSP00000108281.2 pep:known chromosome:GRCm38:X:151520672:151625833:1 gene:ENSMUSG00000041229.15 transcript:ENSMUST00000112662.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf8 description:PHD finger protein 8 [Source:MGI Symbol;Acc:MGI:2444341] MASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPS IMKKRRGSSKGHDNHKGKPLKTGSSMFIRELRGRTFDSSDEVILKPTGSQLTVEFLEENS FSVPILVLKKDGLGMTLPSPSFTVRDVEHYVGSDKEIDVIDVARQADCKMKLGDFVKYYY SGKREKVLNVISLEFSDTRLSNLVETPRIVRKLSWVENLWPEECVFERPNVQKYCLMSVR DSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFFGDQVEKC YKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNFLHSLNIEMQLKAYEIEKRLSTADLFK FPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTKKEALPDHEDEI PETVRTVQLIKDLAREIRLVEFNMSGTSLNDSDDDSADMDLDGSENPLALLMANGSTKRM KSVSKSRRAKIAKKVDSARLVAEQVMGDEFDLDSDDELQIDERLGKEKANLLIRSKFPRK LPRAKPCSDPNRIREPGEVEFDIEEDYTTDEDMVEGVESKLGNGSGAGGILDLLKASRQV GGPDYAALTEAPASPSTQEAIQGMLCMANLQSSSSSPATSSLQAWWTGGQERSSGSSSSG LGTVSSSPASQRTPGKRPIKRPAYWKNESEEEENASLDEQDSLGACFKDAEYIYPSLESD DDDPALKSRPKKKKNSDDAPWSPKARVTPTLPKQDRPVREGTRVASIETGLAAAAAKLAQ QVRVDTQLVALLLMT >ENSMUSP00000127653.1 pep:known chromosome:GRCm38:X:151520672:151633857:1 gene:ENSMUSG00000041229.15 transcript:ENSMUST00000168501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf8 description:PHD finger protein 8 [Source:MGI Symbol;Acc:MGI:2444341] MASVPVYCLCRLPYDVTRFMIECDMCQDWFHGSCVGVEEEKAADIDLYHCPNCEVLHGPS IMKKRRGSSKGHDNHKGKPLKTGSSMFIRELRGRTFDSSDEVILKPTGSQLTVEFLEENS FSVPILVLKKDGLGMTLPSPSFTVRDVEHYVGSDKEIDVIDVARQADCKMKLGDFVKYYY SGKREKVLNVISLEFSDTRLSNLVETPRIVRKLSWVENLWPEECVFERPNVQKYCLMSVR DSYTDFHIDFGGTSVWYHVLKGEKIFYLIRPTNANLTLFECWSSSSNQNEMFFGDQVEKC YKCSVKQGQTLFIPTGWIHAVLTPVDCLAFGGNFLHSLNIEMQLKAYEIEKRLSTADLFK FPNFETICWYVGKHILDIFRGLRENRRHPASYLVHGGKALNLAFRAWTKKEALPDHEDEI PETVRTVQLIKDLAREIRLVEDIFQQNVGKTSNIFGLQRIFPAGSIPLTKPAHSTSVSMS KLSLPSKNGSKKKGLKPKDIFKKAERKGKQSSALGPAGQLSYNLMDPYSHQALKTGPSQK AKFNMSGTSLNDSDDDSADMDLDGSENPLALLMANGSTKRMKSVSKSRRAKIAKKVDSAR LVAEQVMGDEFDLDSDDELQIDERLGKEKANLLIRSKFPRKLPRAKPCSDPNRIREPGEV EFDIEEDYTTDEDMVEGVESKLGNGSGAGGILDLLKASRQVGGPDYAALTEAPASPSTQE AIQGMLCMANLQSSSSSPATSSLQAWWTGGQERSSGSSSSGLGTVSSSPASQRTPGKRPI KRPAYWKNESEEEENASLDEQDSLGACFKDAEYIYPSLESDDDDPALKSRPKKKKNSDDA PWSPKARVTPTLPKQDRPVREGTRVASIETGLAAAAAKLAQQELQKAQKKKYIKKKPLLK EVEQPRPQDSNPIMTMPAPTVATTPQPDTSSSPQPPPEPKQEALSGSLADHEYTARPNAF GMAQANRSTTPMAPGVFLTQRRPSVGSQSSQAGQGKRPKKGLATAKQRLGRILKIHRNGK LLL >ENSMUSP00000123067.1 pep:known chromosome:GRCm38:6:14901477:15286770:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000128567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQALQA ARQLLLQQQTSGLKSPKSSEKQRPLQ >ENSMUSP00000111130.2 pep:known chromosome:GRCm38:6:15184647:15199344:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000115470.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQVSFV FLKASLKTNLPVCLNLETVFIVN >ENSMUSP00000116017.1 pep:known chromosome:GRCm38:6:15185203:15197075:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000154448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSG >ENSMUSP00000111134.1 pep:known chromosome:GRCm38:6:15185506:15438023:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000115474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQALQA ARQLLLQQQTSGLKSPKSSEKQRPLQELLLEAKLCVCVHSPGDGHPHSTFAVPVSVAMMT PQVITPQQMQQILQQQVLSPQQLQALLQQQQAVMLQQQQLQEFYKKQQEQLHLQLLQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQHPGKQAKEQQQQQQQQQLAAQQLV FQQQLLQMQQLQQQQHLLSLQRQGLISIPPGQAALPVQSLPQAGLSPAEIQQLWKEVTGV HSMEDNGIKHGGLDLTTNNSSSTTSSTTSKASPPITHHSIVNGQSSVLNARRDSSSHEET GASHTLYGHGVCKWPGCESICEDFGQFLKHLNNEHALDDRSTAQCRVQMQVVQQLEIQLS KERERLQAMMTHLHMRPSEPKPSPKPLNLVSSVTMSKNMLETSPQSLPQTPTTPTAPVTP ITQGPSVITPASVPNVGAIRRRHSDKYNIPMSSEIAPNYEFYKNADVRPPFTYATLIRQA IMESSDRQLTLNEIYSWFTRTFAYFRRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVD EVEYQKRRSQKITGSPTLVKNIPTSLGYGAALNASLQAALAESSLPLLSNPGLINNASSG LLQAVHEDLNGSLDHIDSNGNSSPGCSPQPHIHSIHVKEEPVIAEDEDCPMSLVTTANHS PELEDDREIEEEPLSEDLE >ENSMUSP00000111132.1 pep:known chromosome:GRCm38:6:15185506:15438304:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000115472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQALQA ARQLLLQQQTSGLKSPKSSEKQRPLQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQA LLQQQQAVMLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQHPGKQAKEQQ QQQQQQQLAAQQLVFQQQLLQMQQLQQQQHLLSLQRQGLISIPPGQAALPVQSLPQAGLS PAEIQQLWKEVTGVHSMEDNGIKHGGLDLTTNNSSSTTSSTTSKASPPITHHSIVNGQSS VLNARRDSSSHEETGASHTLYGHGVCKWPGCESICEDFGQFLKHLNNEHALDDRSTAQCR VQMQVVQQLEIQLSKERERLQAMMTHLHMRPSEPKPSPKPLNLVSSVTMSKNMLETSPQS LPQTPTTPTAPVTPITQGPSVITPASVPNVGAIRRRHSDKYNIPMSSEIAPNYEFYKNAD VRPPFTYATLIRQAIMESSDRQLTLNEIYSWFTRTFAYFRRNAATWKNAVRHNLSLHKCF VRVENVKGAVWTVDEVEYQKRRSQKITGSPTLVKNIPTSLGYGAALNASLQAALAESSLP LLSNPGLINNASSGLLQAVHEDLNGSLDHIDSNGNSSPGCSPQPHIHSIHVKEEPVIAED EDCPMSLVTTANHSPELEDDREIEEEPLSEDLE >ENSMUSP00000031545.7 pep:known chromosome:GRCm38:6:15185506:15441201:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000031545.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQALQA ARQLLLQQQTSGLKSPKSSEKQRPLQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQA LLQQQQAVMLQQQQLQEFYKKQQEQLHLQLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQHPGKQAKEQQQQQQQQQLAAQQLVFQQQLLQMQQLQQQQHLLSLQRQGL ISIPPGQAALPVQSLPQAGLSPAEIQQLWKEVTGVHSMEDNGIKHGGLDLTTNNSSSTTS STTSKASPPITHHSIVNGQSSVLNARRDSSSHEETGASHTLYGHGVCKWPGCESICEDFG QFLKHLNNEHALDDRSTAQCRVQMQVVQQLEIQLSKERERLQAMMTHLHMRPSEPKPSPK PLNLVSSVTMSKNMLETSPQSLPQTPTTPTAPVTPITQGPSVITPASVPNVGAIRRRHSD KYNIPMSSEIAPNYEFYKNADVRPPFTYATLIRQAIMESSDRQLTLNEIYSWFTRTFAYF RRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEVEYQKRRSQKITGSPTLVKNIPTS LGYGAALNASLQAALAESSLPLLSNPGLINNASSGLLQAVHEDLNGSLDHIDSNGNSSPG CSPQPHIHSIHVKEEPVIAEDEDCPMSLVTTANHSPELEDDREIEEEPLSEDLE >ENSMUSP00000116650.1 pep:known chromosome:GRCm38:6:15185563:15394815:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000137628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQQQQQ QQQLAAQQLVFQQQLLQMQQLQQQQHLLSLQRQGLISIPPGQAALPVQSLPQAGLSPAEI QQLWKEVTGVHSMEDNGIKHGGLDLTTNNSSSTTSSTTSKASPPITHHSIV >ENSMUSP00000121503.1 pep:known chromosome:GRCm38:6:15196951:15379819:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000140557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQALQA ARQLLLQQQTSGLKSPKSSEKQRPLQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQA LLQQQQAVMLQQSKWFIQQQLQEFYKKQQEQLHLQLLQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQQQQQQHPGKQAKEQQQQQQQQQLAAQQLVFQQQLLQMQQLQQQQHLLS LQRQGLISIPPGQAALPVQSL >ENSMUSP00000123007.1 pep:known chromosome:GRCm38:6:15196951:15405675:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000131414.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQALQA ARQLLLQQQTSGLKSPKSSEKQRPLQELLLEAKLCVCVHSPGDGHPHSTFAVPVSVAMMT PQVITPQQMQQILQQQVLSPQQLQALLQQQQAVMLQQQQLQEFYKKQQEQLHLQLLQQQQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQHPGKQAKEQQQQQQQQQLAAQQLV FQQQLLQMQQLQQQQHLLSLQRQGLISIPPGQAALPVQSLPQAGLSPAEIQQLWKEVTGV HSMEDNGIKHGGLDLTTNNSSSTTSSTTSKASPPITHHSIVNGQSSVLNARRDSSSHEET GASHTLYGHGVCKWPGCESICEDFGQFLKHLNNEHALDDRSTAQCRVQMQVVQQLEIQLS KERERLQAMMTHLHMRPSEPKPSPKPVSAYCFINSK >ENSMUSP00000111129.1 pep:known chromosome:GRCm38:6:15196964:15405675:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000115469.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQALQAA RQLLLQQQTSGLKSPKSSEKQRPLQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQAL LQQQQAVMLQQQQLQEFYKKQQEQLHLQLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQHPGKQAKEQQQQQQQQQLAAQQLVFQQQLLQMQQLQQQQHLLSLQRQGLI SIPPGQAALPVQSLPQAGLSPAEIQQLWKEVTGVHSMEDNGIKHGGLDLTTNNSSSTTSS TTSKASPPITHHSIVNGQSSVLNARRDSSSHEETGASHTLYGHGVCKWPGCESICEDFGQ FLKHLNNEHALDDRSTAQCRVQMQVVQQLEIQLSKERERLQAMMTHLHMRPSEPKPSPKP VSAYCFINSK >ENSMUSP00000111135.2 pep:known chromosome:GRCm38:6:15376689:15439048:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000115475.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] VPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQALLQQQQAVMLQQDCLNSGLEMF >ENSMUSP00000111137.1 pep:known chromosome:GRCm38:6:14901349:15441977:1 gene:ENSMUSG00000029563.16 transcript:ENSMUST00000115477.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp2 description:forkhead box P2 [Source:MGI Symbol;Acc:MGI:2148705] MMQESATETISNSSMNQNGMSTLSSQLDAGSRDGRSSGDTSSEVSTVELLHLQQQQALQA ARQLLLQQQTSGLKSPKSSEKQRPLQVPVSVAMMTPQVITPQQMQQILQQQVLSPQQLQA LLQQQQAVMLQQQQLQEFYKKQQEQLHLQLLQQQQQQQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQHPGKQAKEQQQQQQQQQLAAQQLVFQQQLLQMQQLQQQQHLLSLQRQGL ISIPPGQAALPVQSLPQAGLSPAEIQQLWKEVTGVHSMEDNGIKHGGLDLTTNNSSSTTS STTSKASPPITHHSIVNGQSSVLNARRDSSSHEETGASHTLYGHGVCKWPGCESICEDFG QFLKHLNNEHALDDRSTAQCRVQMQVVQQLEIQLSKERERLQAMMTHLHMRPSEPKPSPK PLNLVSSVTMSKNMLETSPQSLPQTPTTPTAPVTPITQGPSVITPASVPNVGAIRRRHSD KYNIPMSSEIAPNYEFYKNADVRPPFTYATLIRQAIMESSDRQLTLNEIYSWFTRTFAYF RRNAATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEVEYQKRRSQKITGSPTLVKNIPTS LGYGAALNASLQAALAESSLPLLSNPGLINNASSGLLQAVHEDLNGSLDHIDSNGNSSPG CSPQPHIHSIHVKEEPVIAEDEDCPMSLVTTANHSPELEDDREIEEEPLSEDLE >ENSMUSP00000049819.8 pep:known chromosome:GRCm38:13:33395761:33405285:1 gene:ENSMUSG00000071452.4 transcript:ENSMUST00000050276.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11397 description:predicted gene 11397 [Source:MGI Symbol;Acc:MGI:3709608] MNTLSQANGTFAIHLLKVLCQDNPSKNVCYSPMSISSALAMVLLGAKGDTAVQICQALHL NPDEDVHQGFQLLLHNLNKQNNQKYCLTMANRLFVENTCELLPTFKESCLKFYHSEMEQL SFAEAAEESRQHINMWVSKQTNGKIPDLLSKDSVNSQTRLILANALYFHGTWCKRFEKNR TKEMPFKINKKETRPVQMMWREDTLFHAYVKEIQAQVLVMPYEGIDLNFVVLLPDEGVDI SKVENNLTFEKLTAWTKPEFMNRTEFHVYYPKFQLQEDYDMNSLLQHLGILNVFDGSKAD LSGMSTKENLCLSEFVHKCVVEVNEEGTEAAAASAVEFIFLCSGPDPETFCADHPFLFFI MHSTTNSILFCGRFSSP >ENSMUSP00000040429.3 pep:known chromosome:GRCm38:3:89436706:89450952:1 gene:ENSMUSG00000042613.9 transcript:ENSMUST00000038942.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbxip1 description:pre B cell leukemia transcription factor interacting protein 1 [Source:MGI Symbol;Acc:MGI:2441670] MASCPDSDNSWVLAGSENLPVETLGPEPRMDPESEGASQALRDSSKADGKELAGTLDGEE KLFQTESSQRETAVLTESAAKGTLGADGHGTEAPGDTVVQEDSQETPVATSLGPDTQDLE SEIHPQNLPSSPRAVWKEHRCSSSDDDTDVDVEGLRRRRGREPSSSQPVVPVDVEDQAKG EGIGGELGISLNMCFLGALVLLGLGILLFSGTLLEPETGPMEEAELQVFPETGPETELVE TLGNRQDEIEHLQASSVPPDSVPSLQSMGFLLDKLAKENQDIRLLQAQLQAQKEELQSLL HQPKGLEEENARLREALQQGKTSHQALESELQQLRARLQGLEANCVRGVDGVCLNWGGDP QDGKATKEQGHKGQEPDPSLLEQHKQLEAEAKALRQELQRQWQLLGSVHWDLQRGLRDAG RGAPAHPGLAELGHMLAQTLQDLENQGINTGRSPNDSEAWHQKKPHTQSPREWGGKEKWR GGQRDQKAEHWKPRKEESGQERQRSWRDEGREHTGRWREDRLRADESGSRKDSKRQDPKV HPRKDGNSHSVERQKHSWGKDNSPDALSSWEELLRRKYRPPQGCSGVADCARQEGLALFG VELAPVRQQELASVLREYLSRLPWAGQLTKQLPLSPAYFGEDGIFRHDRLRFRDFVDALE DSLEEVALKQTGDDDEVDDFEDFVFGHFFGDKALKKRSRKKEKHSWNPRVVGPREEHSRH PHHYHQG >ENSMUSP00000118496.1 pep:known chromosome:GRCm38:3:89436715:89446161:1 gene:ENSMUSG00000042613.9 transcript:ENSMUST00000130858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbxip1 description:pre B cell leukemia transcription factor interacting protein 1 [Source:MGI Symbol;Acc:MGI:2441670] MASCPDSDNSWVLAGSEFSSPSGQNLPVETLGPEPRMDPESEGASQALRDSSKADGKELA GTLDGEEKLFQTESSQRETAVLTESAAKGTLGADGHGTEAPGDTVVQEDSQETPVATSLG PDTQDLESEIHPQNLPSSPRAVWKEHRCSSSDDDTDVDVEGLRRRRGREPSSSQPVVPVD VEDQAKGEGIGGELGISLNMCFLGALVLLGLGILLFSGTLLEPETGPMEEAELQVFPETG PETELVETL >ENSMUSP00000115904.1 pep:known chromosome:GRCm38:3:89436754:89445470:1 gene:ENSMUSG00000042613.9 transcript:ENSMUST00000146630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbxip1 description:pre B cell leukemia transcription factor interacting protein 1 [Source:MGI Symbol;Acc:MGI:2441670] MASCPDSDNSWVLAGSEFSSPSGQNLPVETLGPEPRMDPESEGASQALRDSSKADGKELA GTLDGEEKLFQTESSQRETAVLTESAAKGTLGADGHGTEAPGDTVVQEDSQETPVATSLG PDTQDLESEIHPQNLPSSPRAVWKEHR >ENSMUSP00000121658.1 pep:known chromosome:GRCm38:3:89436756:89445514:1 gene:ENSMUSG00000042613.9 transcript:ENSMUST00000145753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbxip1 description:pre B cell leukemia transcription factor interacting protein 1 [Source:MGI Symbol;Acc:MGI:2441670] MASCPDSDNSWVLAGSENLPVETLGPEPRMDPESEGASQALRDSSKADGKELAGTLDGEE KLFQTESSQRETAVLTESAAKGTLGADGHGTEAPGDTVVQEDSQETPVATSLGPDTQDLE SEIHPQNLPSSPRAVWKEHRCSSSDDDTDVDVEGL >ENSMUSP00000078382.3 pep:known chromosome:GRCm38:17:28399095:28486149:-1 gene:ENSMUSG00000024222.16 transcript:ENSMUST00000079413.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp5 description:FK506 binding protein 5 [Source:MGI Symbol;Acc:MGI:104670] MTTDEGTSNNGENPAATMTEQGEDITTKKDRGVLKIVKRVGTSDEAPMFGDKVYVHYKGM LSDGKKFDSSHDRKKPFAFSLGQGQVIKAWDIGVSTMKKGEICHLLCKPEYAYGSAGHLQ KIPSNATLFFEIELLDFKGEDLFEDSGVIRRIKRKGEGYSNPNEGATVKVHLEGCCGGRT FDCRDVVFVVGEGEDHDIPIGIDKALVKMQREEQCILYLGPRYGFGEAGKPKFGIDPNAE LMYEVTLKSFEKAKESWEMDTKEKLTQAAIVKEKGTVYFKGGKYTQAVIQYRKIVSWLEM EYGLSEKESKASESFLLAAFLNLAMCYLKLREYNKAVECCDKALGLDSANEKGLYRRGEA QLLMNDFESAKGDFEKVLAVNPQNRAARLQISMCQRKAKEHNERDRRVYANMFKKFAERD AKEEASKAGSKKAVEGAAGKQHESQAMEEGKAKGHV >ENSMUSP00000110440.1 pep:known chromosome:GRCm38:17:28399097:28517524:-1 gene:ENSMUSG00000024222.16 transcript:ENSMUST00000114792.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp5 description:FK506 binding protein 5 [Source:MGI Symbol;Acc:MGI:104670] MTTDEGTSNNGENPAATMTEQGEDITTKKDRGVLKIVKRVGTSDEAPMFGDKVYVHYKGM LSDGKKFDSSHDRKKPFAFSLGQGQVIKAWDIGVSTMKKGEICHLLCKPEYAYGSAGHLQ KIPSNATLFFEIELLDFKGEDLFEDSGVIRRIKRKGEGYSNPNEGATVKVHLEGCCGGRT FDCRDVVFVVGEGEDHDIPIGIDKALVKMQREEQCILYLGPRYGFGEAGKPKFGIDPNAE LMYEVTLKSFEKAKESWEMDTKEKLTQAAIVKEKGTVYFKGGKYTQAVIQYRKIVSWLEM EYGLSEKESKASESFLLAAFLNLAMCYLKLREYNKAVECCDKALGLDSANEKGLYRRGEA QLLMNDFESAKGDFEKVLAVNPQNRAARLQISMCQRKAKEHNERDRRVYANMFKKFAERD AKEEASKAGSKKAVEGAAGKQHESQAMEEGKAKGHV >ENSMUSP00000116466.1 pep:known chromosome:GRCm38:17:28415961:28441722:-1 gene:ENSMUSG00000024222.16 transcript:ENSMUST00000153744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp5 description:FK506 binding protein 5 [Source:MGI Symbol;Acc:MGI:104670] MTTDEGTSNNGENPAATMTEQGEDITTKKDRGVLKIVKRVGTSDEAPMFGDKVYVHYKGM LSDGKKFDSSHDRKKPFAFSLGQGQVIKAWDIGVSTMKKGEICHLLCKPEYAYGSAGHLQ KIPSNATLFFEIELLDFKGEDLFEDSGVIRRIKRKGEGYSNPNEGATVKVHLEGCCGGRT FDCRDVVFVVG >ENSMUSP00000136245.1 pep:known chromosome:GRCm38:17:28399105:28517524:-1 gene:ENSMUSG00000024222.16 transcript:ENSMUST00000177939.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp5 description:FK506 binding protein 5 [Source:MGI Symbol;Acc:MGI:104670] MTTDEGTSNNGENPAATMTEQGEDITTKKDRGVLKIVKRVGTSDEAPMFGDKVYVHYKGM LSDGKKFDSSHDRKKPFAFSLGQGQVIKAWDIGVSTMKKGEICHLLCKPEYAYGSAGHLQ KIPSNATLFFEIELLDFKGEDLFEDSGVIRRIKRKGEGYSNPNEGATVKVHLEGCCGGRT FDCRDVVFVVGEGEDHDIPIGIDKALVKMQREEQCILYLGPRYGFGEAGKPKFGIDPNAE LMYEVTLKSFEKAKESWEMDTKEKLTQAAIVKEKGTVYFKGGKYTQAVIQYRKIVSWLEM EYGLSEKESKASESFLLAAFLNLAMCYLKLREYNKAVECCDKALGLDSANEKGLYRRGEA QLLMNDFESAKGDFEKVLAVNPQNRAARLQISMCQRKAKEHNERDRRVYANMFKKFAERD AKEEASKAGSKKAVEGAAGKQHESQAMEEGKAKGHV >ENSMUSP00000029266.8 pep:known chromosome:GRCm38:3:36448923:36475894:-1 gene:ENSMUSG00000027712.13 transcript:ENSMUST00000029266.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa5 description:annexin A5 [Source:MGI Symbol;Acc:MGI:106008] MATRGTVTDFPGFDGRADAEVLRKAMKGLGTDEDSILNLLTSRSNAQRQEIAQEFKTLFG RDLVDDLKSELTGKFEKLIVAMMKPSRLYDAYELKHALKGAGTDEKVLTEIIASRTPEEL SAIKQVYEEEYGSNLEDDVVGDTSGYYQRMLVVLLQANRDPDTAIDDAQVELDAQALFQA GELKWGTDEEKFITIFGTRSVSHLRRVFDKYMTISGFQIEETIDRETSGNLEQLLLAVVK SIRSIPAYLAETLYYAMKGAGTDDHTLIRVVVSRSEIDLFNIRKEFRKNFATSLYSMIKG DTSGDYKKALLLLCGGEDD >ENSMUSP00000143650.1 pep:known chromosome:GRCm38:3:36452205:36475659:-1 gene:ENSMUSG00000027712.13 transcript:ENSMUST00000199478.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa5 description:annexin A5 [Source:MGI Symbol;Acc:MGI:106008] MATRGTVTDFPGFDGRADAEVLRKAMKGLGTDEDSILNLLTSRSNAQRQEIAQEFKTLFG RANRDPDTAIDDAQVELDAQALFQAGELKWGTDEEKFITIFGTRSVSHLRRVFDKYMTIS GFQIEETIDRETSGNLE >ENSMUSP00000004453.7 pep:known chromosome:GRCm38:13:21312217:21319620:1 gene:ENSMUSG00000004341.7 transcript:ENSMUST00000004453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpx6 description:glutathione peroxidase 6 [Source:MGI Symbol;Acc:MGI:1922762] MAQKLWGSCLFSLFMAALAQETLNPQKSKVDCNKGVTGTVYEYGANTIDGGEFVNFQQYA GKHILFVNVASFCGLTATYPELNTLQEELKPFNVTVLGFPCNQFGKQEPGKNSEILLGLK YVRPGGGYVPNFQLFEKGDVNGDNEQKVFSFLKNSCPPTSELFGSPEHLFWDPMKVHDIR WNFEKFLVGPDGVPVMRWFHHTPVRIVQSDIMEYLNQTSTQ >ENSMUSP00000025305.9 pep:known chromosome:GRCm38:17:35910379:35916389:-1 gene:ENSMUSG00000024436.16 transcript:ENSMUST00000025305.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps18b description:mitochondrial ribosomal protein S18B [Source:MGI Symbol;Acc:MGI:1914223] MAAPLRHTLLKLVPTLLRSSYVAQVPLQTLCTRGPPEEDAPSSLPVSPYESEPWKYLDSE EYHNRYGSRPVWADYRRNHKGGVPPQRTRKTCIRNNKVAGNPCPICRDHKLHVDFRNVKL LEQFVCAHTGIIFHAPYTGVCMKQHKKLTQAIQKARECGLLSYYVPQVEPRDADFGTVHG AVSVTPPAPTLLSGEPWYPWYSWQQPPERELSRLRRLYQGNLLEESGPPPESMPEMPTTP PAESSIEQPGSQSA >ENSMUSP00000109412.3 pep:known chromosome:GRCm38:17:35910379:35916389:-1 gene:ENSMUSG00000024436.16 transcript:ENSMUST00000113782.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps18b description:mitochondrial ribosomal protein S18B [Source:MGI Symbol;Acc:MGI:1914223] MAAPLRHTLLKLVPTLLRSSYVAQNVKLLEQFVCAHTGIIFHAPYTGVCMKQHKKLTQAI QKARECGLLSYYVPQVEPRDADFGTVHGAVSVTPPAPTLLSGEPWYPWYSWQQPPERELS RLRRLYQGNLLEESGPPPESMPEMPTTPPAESSIEQPGSQSA >ENSMUSP00000133584.1 pep:known chromosome:GRCm38:17:35910386:35916330:-1 gene:ENSMUSG00000024436.16 transcript:ENSMUST00000174807.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps18b description:mitochondrial ribosomal protein S18B [Source:MGI Symbol;Acc:MGI:1914223] LVPTLLRSSYVAQVPLQTLCTRGPPEEDAPSSLPVSPYESEPWKYLDSEEYHNRYGSRPV WADYRRNHKGGVPPQRTRKTCIRNNKVAGNPCPICRDHKLHVDFRNVKLLEQFVCAHTGI IFHAPYTGEPWYPWYSWQQPPERELSRLRRLYQGNLLEESGPPPESMPEMPTTPPAESSI EQPGSQSA >ENSMUSP00000134264.1 pep:known chromosome:GRCm38:17:35910851:35916337:-1 gene:ENSMUSG00000024436.16 transcript:ENSMUST00000174349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps18b description:mitochondrial ribosomal protein S18B [Source:MGI Symbol;Acc:MGI:1914223] XLKLVPTLLRSSYVAQVPLQTLCTRGPPEEDAPSSLPVSPYESEPWKYLDSEEYHNRYGS RPVWADYRRNHKGGVPPQRTRKTCIRNNKVAGNPCPICRDHKLHVDFRGSV >ENSMUSP00000134183.1 pep:known chromosome:GRCm38:17:35911441:35916323:-1 gene:ENSMUSG00000024436.16 transcript:ENSMUST00000172642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps18b description:mitochondrial ribosomal protein S18B [Source:MGI Symbol;Acc:MGI:1914223] XTLLRSSYVAQVPLQTLCTRGPPEEDAPSSLPVSPYESEPWKYLDSEEYHNRYGSRPVWA DYRRNHKGGVPPQRTRKTCIRNNKVAGNPCPICRDHKLHVDFRGSV >ENSMUSP00000123602.1 pep:known chromosome:GRCm38:11:70669463:70686389:-1 gene:ENSMUSG00000040712.16 transcript:ENSMUST00000145823.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Camta2 description:calmodulin binding transcription activator 2 [Source:MGI Symbol;Acc:MGI:2135957] MNTKDTTEVAENSHHLKIFLPKKLLECLPRCPLLPPERLRWNTNEEIASYLITFEKHDEW LSCAPKTRPQNGSIILYNRKKVKYRKDGYLWKKRKDGKTTREDHMKLKVQGMEELNRGRV GEQTSPPPTPACLLAVSLWLLRSLFHRPHIPPALLLAAPEPRHRPCALPERPSPGGLWKG L >ENSMUSP00000104185.2 pep:known chromosome:GRCm38:11:70669463:70687943:-1 gene:ENSMUSG00000040712.16 transcript:ENSMUST00000108545.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta2 description:calmodulin binding transcription activator 2 [Source:MGI Symbol;Acc:MGI:2135957] MNTKDTTEVAENSHHLKIFLPKKLLECLPRCPLLPPERLRWNTNEEIASYLITFEKHDEW LSCAPKTRPQNGSIILYNRKKVKYRKDGYLWKKRKDGKTTREDHMKLKVQGMENPDIVLV HYLNVPALEDCGKGCSPIFCSISSDRREWLKWSREELLGQLKPMFHGIKWSCGNGAEEFS VEQLVQQILDTHPTKPAPRTHACLCSGGLGSGSLTHKCSSTKHRIISPKVEPRALALASI SHSKPPEPPPLIAPLPPELPKAHTSPSSSSSSSSSSGFAEPLEIRPSPPTSRGGSSRGGT AILLLTGLEQRAGGLTPTRHLAPQAEPRPPVSLAVVVGSEPSAPPAPPSPAFDPDRFLNS PQRGQTYGGGQGVNPDFPEAEGTHTPCPALEPAAALEPQAAARGLAPQLGANGRRGNKFF IQDDDSGEELKGPGTVPPVPSSPPSSPSSPTALPPSGRATRGEALFGGSAGSSSELEPFS LSSFPDLMGELISDEAPGVPAPAPQLSPALNAITDFSPEWSYPEGGVKVLITGPWTEAAE HYSCVFDHIAVPASLVQPGVLRCYCPAHEVGLVSLQVAGREGPLSASVLFEYRARRFLSL PSTQLDWLSLDDSQFRMSILERLEQMEKRMAEIAAAGQAPGQGPEAPPIQDEGQGPGFEA RVVVLVESMIPRSTWRGPERLIHGSPFRGMSLLHLAAAQGYARLIETLSQWRSVETGSLD LEQEVDPLNVDHFSCTPLMWACALGHLEAAVLLFCWNRQALSIPDSLGRLPLSVAHSRGH VRLARCLEELQRQELSVEHPLALSPPSSSPDTGLSSASSPSELSDGTFSVTSAYSSAPDG SPPPAPPLASDISMEMIPGQLSCGAPETPLLLMDYEATNSKEPAPSPCGPPLAQDNGAAP EDADSPPAVDVIPVDMISLAKQIIDATPERIKREDFSEFPDAGASPREHTGTVGLSETMS WLASYLENVDHFPSSAPPSELPFERGRLAIPPAPSWAEFLSASTSGKMESDFALLTLSDH EQRELYEAARVIQTAFRKYKGRRLKEQQEVAAAVIQRCYRKYKQFALYKKMTQAAILIQS KFRSYYEQKRFQQSRRAAVLIQQHYRSYRRRPGPPHRPSGPLPARNKGTFLTKKQDQAAR KIMRFLRRCRHRMRELKQNQELEGLPQPGLAT >ENSMUSP00000113667.1 pep:known chromosome:GRCm38:11:70669463:70687943:-1 gene:ENSMUSG00000040712.16 transcript:ENSMUST00000120261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta2 description:calmodulin binding transcription activator 2 [Source:MGI Symbol;Acc:MGI:2135957] MNTKDTTEVAENSHHLKIFLPKKLLECLPRCPLLPPERLRWNTNEEIASYLITFEKHDEW LSCAPKTRPQNGSIILYNRKKVKYRKDGYLWKKRKDGKTTREDHMKLKVQGMENPDIVLV HYLNVPALEDCGKGCSPIFCSISSDRREWLKWSREELLGQLKPMFHGIKWSCGNGAEEFS VEQLVQQILDTHPTKPAPRTHACLCSGGLGSGSLTHKCSSTKHRIISPKVEPRALALASI SHSKPPEPPPLIAPLPPELPKAHTSPSSSSSSSSSSGFAEPLEIRPSPPTSRGGSSRGGT AILLLTGLEQRAGGLTPTRHLAPQAEPRPPVSLAVVVGSEPSAPPAPPSPAFDPDRFLNS PQRGQTYGGGQGVNPDFPEAEGTHTPCPALEPAAALEPQAAARGLAPQLGANGRRGNKFF IQDDDSGEELKGPGTVPPVPSSPPSSPSSPTALPPSGRATRGEALFGGSAGSSSELEPFS LSSFPDLMGELISDEAPGVPAPAPQLSPALNAITDFSPEWSYPEGGVKVLITGPWTEAAE HYSCVFDHIAVPASLVQPGVLRCYCPAHEVGLVSLQVAGREGPLSASVLFEYRARRFLSL PSTQLDWLSLDDSQFRMSILERLEQMEKRMAEIAAAGQAPGQGPEAPPIQDEGQGPGFEA RVVVLVESMIPRSTWRGPERLIHGSPFRGMSLLHLAAAQGYARLIETLSQWRSVETGSLD LEQEVDPLNVDHFSCTPLMWACALGHLEAAVLLFCWNRQALSIPDSLGRLPLSVAHSRGH VRLARCLEELQRQELSVEHPLALSPPSSSPDTGLSSASSPSELSDGTFSVTSAYSSAPDG SPPPAPPLASDISMEMIPGQLSCGAPETPLLLMDYEATNSKEPAPSPCGPPLAQDNGAAP EDADSPPAVDVIPVDMISLAKQIIDATPERIKREDFSEFPDAGASPREHTGTVGLSETMS WLASYLENVDHFPSSAPPSELPFERGRLAIPPAPSWAEFLSASTSGKMESDFALLTLSDH EQRELYEAARVIQTAFRKYKGRRLKEQQEVAAAVIQRCYRKYKQLTWIALKFALYKKMTQ AAILIQSKFRSYYEQKRFQQSRRAAVLIQQHYRSYRRRPGPPHRPSGPLPARNKGTFLTK KQDQAARKIMRFLRRCRHRMRELKQNQELEGLPQPGLAT >ENSMUSP00000043792.7 pep:known chromosome:GRCm38:11:70669463:70687953:-1 gene:ENSMUSG00000040712.16 transcript:ENSMUST00000036299.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta2 description:calmodulin binding transcription activator 2 [Source:MGI Symbol;Acc:MGI:2135957] MNTKDTTEVAENSHHLKIFLPKKLLECLPRCPLLPPERLRWNTNEEIASYLITFEKHDEW LSCAPKTRPQNGSIILYNRKKVKYRKDGYLWKKRKDGKTTREDHMKLKVQGMEPVSWQCL YGCYVHSSIVPTFHRRCYWLLQNPDIVLVHYLNVPALEDCGKGCSPIFCSISSDRREWLK WSREELLGQLKPMFHGIKWSCGNGAEEFSVEQLVQQILDTHPTKPAPRTHACLCSGGLGS GSLTHKCSSTKHRIISPKVEPRALALASISHSKPPEPPPLIAPLPPELPKAHTSPSSSSS SSSSSGFAEPLEIRPSPPTSRGGSSRGGTAILLLTGLEQRAGGLTPTRHLAPQAEPRPPV SLAVVVGSEPSAPPAPPSPAFDPDRFLNSPQRGQTYGGGQGVNPDFPEAEGTHTPCPALE PAAALEPQAAARGLAPQLGANGRRGNKFFIQDDDSGEELKGPGTVPPVPSSPPSSPSSPT ALPPSGRATRGEALFGGSAGSSSELEPFSLSSFPDLMGELISDEAPGVPAPAPQLSPALN AITDFSPEWSYPEGGVKVLITGPWTEAAEHYSCVFDHIAVPASLVQPGVLRCYCPAHEVG LVSLQVAGREGPLSASVLFEYRARRFLSLPSTQLDWLSLDDSQFRMSILERLEQMEKRMA EIAAAGQAPGQGPEAPPIQDEGQGPGFEARVVVLVESMIPRSTWRGPERLIHGSPFRGMS LLHLAAAQGYARLIETLSQWRSVETGSLDLEQEVDPLNVDHFSCTPLMWACALGHLEAAV LLFCWNRQALSIPDSLGRLPLSVAHSRGHVRLARCLEELQRQELSVEHPLALSPPSSSPD TGLSSASSPSELSDGTFSVTSAYSSAPDGSPPPAPPLASDISMEMIPGQLSCGAPETPLL LMDYEATNSKEPAPSPCGPPLAQDNGAAPEDADSPPAVDVIPVDMISLAKQIIDATPERI KREDFSEFPDAGASPREHTGTVGLSETMSWLASYLENVDHFPSSAPPSELPFERGRLAIP PAPSWAEFLSASTSGKMESDFALLTLSDHEQRELYEAARVIQTAFRKYKGRRLKEQQEVA AAVIQRCYRKYKQLTWIALKFALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQQH YRSYRRRPGPPHRPSGPLPARNKGTFLTKKQDQAARKIMRFLRRCRHRMRELKQNQELEG LPQPGLAT >ENSMUSP00000098493.3 pep:known chromosome:GRCm38:11:70669463:70687972:-1 gene:ENSMUSG00000040712.16 transcript:ENSMUST00000100933.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta2 description:calmodulin binding transcription activator 2 [Source:MGI Symbol;Acc:MGI:2135957] MAAAAVTRGTPGENSHHLKIFLPKKLLECLPRCPLLPPERLRWNTNEEIASYLITFEKHD EWLSCAPKTRPQNGSIILYNRKKVKYRKDGYLWKKRKDGKTTREDHMKLKVQGMECLYGC YVHSSIVPTFHRRCYWLLQNPDIVLVHYLNVPALEDCGKGCSPIFCSISSDRREWLKWSR EELLGQLKPMFHGIKWSCGNGAEEFSVEQLVQQILDTHPTKPAPRTHACLCSGGLGSGSL THKCSSTKHRIISPKVEPRALALASISHSKPPEPPPLIAPLPPELPKAHTSPSSSSSSSS SSGFAEPLEIRPSPPTSRGGSSRGGTAILLLTGLEQRAGGLTPTRHLAPQAEPRPPVSLA VVVGSEPSAPPAPPSPAFDPDRFLNSPQRGQTYGGGQGVNPDFPEAEGTHTPCPALEPAA ALEPQAAARGLAPQLGANGRRGNKFFIQDDDSGEELKGPGTVPPVPSSPPSSPSSPTALP PSGRATRGEALFGGSAGSSSELEPFSLSSFPDLMGELISDEAPGVPAPAPQLSPALNAIT DFSPEWSYPEGGVKVLITGPWTEAAEHYSCVFDHIAVPASLVQPGVLRCYCPAHEVGLVS LQVAGREGPLSASVLFEYRARRFLSLPSTQLDWLSLDDSQFRMSILERLEQMEKRMAEIA AAGQAPGQGPEAPPIQDEGQGPGFEARVVVLVESMIPRSTWRGPERLIHGSPFRGMSLLH LAAAQGYARLIETLSQWRSVETGSLDLEQEVDPLNVDHFSCTPLMWACALGHLEAAVLLF CWNRQALSIPDSLGRLPLSVAHSRGHVRLARCLEELQRQELSVEHPLALSPPSSSPDTGL SSASSPSELSDGTFSVTSAYSSAPDGSPPPAPPLASDISMEMIPGQLSCGAPETPLLLMD YEATNSKEPAPSPCGPPLAQDNGAAPEDADSPPAVDVIPVDMISLAKQIIDATPERIKRE DFSEFPDAGASPREHTGTVGLSETMSWLASYLENVDHFPSSAPPSELPFERGRLAIPPAP SWAEFLSASTSGKMESDFALLTLSDHEQRELYEAARVIQTAFRKYKGRRLKEQQEVAAAV IQRCYRKYKQFALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQQHYRSYRRRPGP PHRPSGPLPARNKGTFLTKKQDQAARKIMRFLRRCRHRMRELKQNQELEGLPQPGLAT >ENSMUSP00000104184.1 pep:known chromosome:GRCm38:11:70669463:70688105:-1 gene:ENSMUSG00000040712.16 transcript:ENSMUST00000108544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta2 description:calmodulin binding transcription activator 2 [Source:MGI Symbol;Acc:MGI:2135957] MNTKDTTEVAENSHHLKIFLPKKLLECLPRCPLLPPERLRWNTNEEIASYLITFEKHDEW LSCAPKTRPQNGSIILYNRKKVKYRKDGYLWKKRKDGKTTREDHMKLKVQGMECLYGCYV HSSIVPTFHRRCYWLLQNPDIVLVHYLNVPALEDCGKGCSPIFCSISSDRREWLKWSREE LLGQLKPMFHGIKWSCGNGAEEFSVEQLVQQILDTHPTKPAPRTHACLCSGGLGSGSLTH KCSSTKHRIISPKVEPRALALASISHSKPPEPPPLIAPLPPELPKAHTSPSSSSSSSSSS GFAEPLEIRPSPPTSRGGSSRGGTAILLLTGLEQRAGGLTPTRHLAPQAEPRPPVSLAVV VGSEPSAPPAPPSPAFDPDRFLNSPQRGQTYGGGQGVNPDFPEAEGTHTPCPALEPAAAL EPQAAARGLAPQLGANGRRGNKFFIQDDDSGEELKGPGTVPPVPSSPPSSPSSPTALPPS GRATRGEALFGGSAGSSSELEPFSLSSFPDLMGELISDEAPGVPAPAPQLSPALNAITDF SPEWSYPEGGVKVLITGPWTEAAEHYSCVFDHIAVPASLVQPGVLRCYCPAHEVGLVSLQ VAGREGPLSASVLFEYRARRFLSLPSTQLDWLSLDDSQFRMSILERLEQMEKRMAEIAAA GQAPGQGPEAPPIQDEGQGPGFEARVVVLVESMIPRSTWRGPERLIHGSPFRGMSLLHLA AAQGYARLIETLSQWRSVETGSLDLEQEVDPLNVDHFSCTPLMWACALGHLEAAVLLFCW NRQALSIPDSLGRLPLSVAHSRGHVRLARCLEELQRQELSVEHPLALSPPSSSPDTGLSS ASSPSELSDGTFSVTSAYSSAPDGSPPPAPPLASDISMEMIPGQLSCGAPETPLLLMDYE ATNSKEPAPSPCGPPLAQDNGAAPEDADSPPAVDVIPVDMISLAKQIIDATPERIKREDF SEFPDAGASPREHTGTVGLSETMSWLASYLENVDHFPSSAPPSELPFERGRLAIPPAPSW AEFLSASTSGKMESDFALLTLSDHEQRELYEAARVIQTAFRKYKGRRLKEQQEVAAAVIQ RCYRKYKQLTWIALKFALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQQHYRSYR RRPGPPHRPSGPLPARNKGTFLTKKQDQAARKIMRFLRRCRHRMRELKQNQELEGLPQPG LAT >ENSMUSP00000113847.1 pep:known chromosome:GRCm38:11:70669464:70687955:-1 gene:ENSMUSG00000040712.16 transcript:ENSMUST00000119120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camta2 description:calmodulin binding transcription activator 2 [Source:MGI Symbol;Acc:MGI:2135957] MNTKDTTEVAENSHHLKIFLPKKLLECLPRCPLLPPERLRWNTNEEIASYLITFEKHDEW LSCAPKTRPQNGSIILYNRKKVKYRKDGYLWKKRKDGKTTREDHMKLKVQGMECLYGCYV HSSIVPTFHRRCYWLLQNPDIVLVHYLNVPALEDCGKGCSPIFCSISSDRREWLKWSREE LLGQLKPMFHGIKWSCGNGAEEFSVEQLVQQILDTHPTKPAPRTHACLCSGGLGSGSLTH KCSSTKHRIISPKVEPRALALASISHSKPPEPPPLIAPLPPELPKAHTSPSSSSSSSSSS GFAEPLEIRPSPPTSRGGSSRGGTAILLLTGLEQRAGGLTPTRHLAPQAEPRPPVSLAVV VGSEPSAPPAPPSPAFDPDRFLNSPQRGQTYGGGQGVNPDFPEAEGTHTPCPALEPAAAL EPQAAARGLAPQLGANGRRGNKFFIQDDDSGEELKGPGTVPPVPSSPPSSPSSPTALPPS GRATRGEALFGGSAGSSSELEPFSLSSFPDLMGELISDEAPGVPAPAPQLSPALNAITDF SPEWSYPEGGVKVLITGPWTEAAEHYSCVFDHIAVPASLVQPGVLRCYCPAHEVGLVSLQ VAGREGPLSASVLFEYRARRFLSLPSTQLDWLSLDDSQFRMSILERLEQMEKRMAEIAAA GQAPGQGPEAPPIQDEGQGPGFEARVVVLVESMIPRSTWRGPERLIHGSPFRGMSLLHLA AAQGYARLIETLSQWRSVETGSLDLEQEVDPLNVDHFSCTPLMWACALGHLEAAVLLFCW NRQALSIPDSLGRLPLSVAHSRGHVRLARCLEELQRQELSVEHPLALSPPSSSPDTGLSS ASSPSELSDGTFSVTSAYSSAPDGSPPPAPPLASDISMEMIPGQLSCGAPETPLLLMDYE ATNSKEPAPSPCGPPLAQDNGAAPEDADSPPAVDVIPVDMISLAKQIIDATPERIKREDF SEFPDAGASPREHTGTVGLSETMSWLASYLENVDHFPSSAPPSELPFERGRLAIPPAPSW AEFLSASTSGKMESDFALLTLSDHEQRELYEAARVIQTAFRKYKGRRLKEQQEVAAAVIQ RCYRKYKQFALYKKMTQAAILIQSKFRSYYEQKRFQQSRRAAVLIQQHYRSYRRRPGPPH RPSGPLPARNKGTFLTKKQDQAARKIMRFLRRCRHRMRELKQNQELEGLPQPGLAT >ENSMUSP00000054121.7 pep:known chromosome:GRCm38:17:48264295:48275360:1 gene:ENSMUSG00000051682.15 transcript:ENSMUST00000059873.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Treml4 description:triggering receptor expressed on myeloid cells-like 4 [Source:MGI Symbol;Acc:MGI:1923239] MAWRYSQLLLVPVQLVFLASVCCPGVWGSTVSEELHRMVGQSLSVQCQYKPKEESYVLKT WCRQTAPSKCTRVVTTSEPRKAARELQHTIWDDPEAGFFNITMTQLTEDDSAFYWCGPYY PSLREVTVLRNISLVVSPAPSTLPSQTIAPLPESTATIFMPFPVLTTSPEETTDSSINGT GHRNQSSSSPGWTSPGLLVSVQYGLLLLKALMLSVFCVLLCWRSGQGREYMAETMELSKL PHISKSLDTVSHISGYEKKANWY >ENSMUSP00000118772.1 pep:known chromosome:GRCm38:17:48264337:48274493:1 gene:ENSMUSG00000051682.15 transcript:ENSMUST00000154335.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Treml4 description:triggering receptor expressed on myeloid cells-like 4 [Source:MGI Symbol;Acc:MGI:1923239] MAWRYSQLLLVPVQLVFLASVCCPGVWGSTVSEELHRMVGQSLSVQCQYKPKEESYVLKT WCRQTAPSKCTRVVTTSEPRKAARELQHTIWDDPEAGFFNITMTQLTEDDSAFYWCGPYY PSLREVTVLRNISLVVSPAPSTLPSQTIAPLPESTAATIFMPFPVLTTSPEETTDSSING TGHRNQSSSSPGWTSPGLLVSVQYGLLLLKALMLSVFCVLLCWRSGQGREYMAETMELSK LPHISKSLDTVSHISGYEKKANWY >ENSMUSP00000120550.1 pep:known chromosome:GRCm38:17:48264337:48274493:1 gene:ENSMUSG00000051682.15 transcript:ENSMUST00000136272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Treml4 description:triggering receptor expressed on myeloid cells-like 4 [Source:MGI Symbol;Acc:MGI:1923239] MAWRYSQLLLVPVQLVFLASVCCPGVWGSTVSEELHRMVGQSLSVQCQYKPKEESYVLKT WCRQTAPSKCTRVVTTSEPRKAARELQHTIWDDPEAGFFNITMTQLTEDDSAFYWCGPYY PSLREVTVLRNISLVVSPAPSTLPSQTIAPLPESTVLTTSPEETTDSSINGTGHRNQSSS SPGWTSPGLLVSVQYGLLLLKALMLSVFCVLLCWRSGQGREYMAETMELSKLPHISKSLD TVSHISGYEKKANWY >ENSMUSP00000119177.1 pep:known chromosome:GRCm38:17:48264341:48274666:1 gene:ENSMUSG00000051682.15 transcript:ENSMUST00000125426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Treml4 description:triggering receptor expressed on myeloid cells-like 4 [Source:MGI Symbol;Acc:MGI:1923239] MAWRYSQLLLVPVQLVFLASGVWGSTVSEELHRMVGQSLSVQCQYKPKEESYVLKTWCRQ TAPSKCTRVVTTSEPRKAARELQHTIWDDPEAGFFNITMTQLTEDDSAFYWCGPYYPSLR EVTVLRNISLVVSPAPSTLPSQTIAPLPESTATIFMPFPVLTTSPEETTDSSINGTGHRN QSSSSPGWTSPGLLVSVQYGLLLLKALMLSVFCVLLCWRSGQGREYMAETMELSKLPHIS KSLDTVSHISGYEKKANWY >ENSMUSP00000115290.1 pep:known chromosome:GRCm38:17:48264373:48274500:1 gene:ENSMUSG00000051682.15 transcript:ENSMUST00000153420.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Treml4 description:triggering receptor expressed on myeloid cells-like 4 [Source:MGI Symbol;Acc:MGI:1923239] MAWRYSQLLLVPVQLVFLASVCCPGVWGSTVSEELHRMVGQSLSVQCQYKPKEESYVLKT WCRQTAPSKCTRVVTTSEPRKAARELQHTIWDDPEAGFFNITMTQLTEDDSAFYWCGPYY PSLREVTVLRNISLVVSPAPSTLPSQTIAPLPESTATIFMPFPVLTTSPEETTDSSINGT GHRDESTWQRRWSFQNYLTSPSPWTRLATSQGMRRRLTGTKAE >ENSMUSP00000029950.3 pep:known chromosome:GRCm38:4:32615478:32653265:1 gene:ENSMUSG00000028282.12 transcript:ENSMUST00000029950.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp8ap2 description:caspase 8 associated protein 2 [Source:MGI Symbol;Acc:MGI:1349399] MAADDDNGDGTGLFDVCPASPLKNNDEGSLDIYAGLDSAVSDSTARSCVSFRNCLDLYEE ILTEEGTAKEATYNDLQIEYGKCQQQMKDLMKRFKEIQTQNLNLKNENQSLKKNISALIK TARVEINRKDEEINHLHQRLSEFPHFRNNHKTARTKDSQSTSPHLDDCSKTDHGVKSDVQ KDVHPNTAQPNLEKEGKSHSEAQNPLHLSTGVEKHCANNVWSRSPYQVGEGNSNEDNRRG RSGTRHSQCSRGTDRTQKDLHSSCNDSEPRDKEANSRLQGHPEKHGNSEARTESKISESK SSTGMGYKSERSASSWEKETSRERPHTRVESQHDKNLEKQNERLQNMHRKELPSQDKTER KVDVKFKPAGEEQGHRGRVDRALPPHPKNDVKHYGFNKYHPEERRGREDCKRDRGMNSHG FQDRRCSSFLSSNRNSKYPHSKEVSVAHQWENTPFKAERHRTEDRRKRERENKEESRHVK SDKKSPPEHLQRTHKDTKKSTADGKRQTEPKHGKGAVSNSELSKGTDSKEGATKVESGPN EAKGKDLKLSFMEKLNLTLSPAKKQPACQDNPHQITGVPEPSGTCDSRSLETTGTVACLP SGSEHNREETKSELPEPKEALLATSQLRISIPENKMKEEKRLLFKSVENTVPCELLACGT EISLPAPVEIEQARCLLGSVEVEETCGGARTAASVVMHVLPEHASEDASQELDTKRHDGI NACAISEGVKTKVILSPKAAAASESHLAPLVEEPSISLVNCSGDNNPKLEPSLEERPIVE TKSCPLESCLPKETFVPSPQKTELIDHKIETGESNSVYQDDDNSVLSIDFNNLRPIPDPI SPLNSPVRPVCKVVSMESSCAIPLYDSSHKDEFPSNSTLSTFKSQSDLNKENEKPVPKFD KCSEADSCKHLSLDELEEGEIRSDDEESVAQKRLEKSARPRVSAEVQPGKSSPGSRRSTV HVHKDNGRTAVKLPRDRLTWSKRSSESRPSNTERKSKTMSISSLEKILPLILVPSSLWEV MHMLRLLGKHVRKNYMKFKIKFSLTQFHRIIESAILSFTSLVKCLDLSKICKSVSTLQKS LCEVIESNLKQVKKNGIVDRLFEQQQTDMKKKLWKFVDEQLDYLFEKLKKILLKFCDSVN FENENSEGKLGKKYKERTQHSNCQKKKMDNKEIRREKVLKSENTVNFKSSLGCEKSEEKH QDQNKTNASIVKHDVKRTFSTCSDNTKNAECKEQFLEKSCPSTPRPGKDEGHTEEEAQAA QHASAKSERSFEILTEQQASSLTFNLVSDAQMGEIFKSLLQGSDLLDTSGTEKAEWELKT PEKQLLESLKCESAPACATEELVSEGASLCPKVISDDNWSLLSSEKGPSLSSGLSLPVHP DVLDENCMFEVSSNTALGKDNVYSSEKSKPCISSILLEDLAVSLTVPSPLKSDGHLSFLK PEVLSTSTPEEVISAHFSEDALLEEEDASEQDIHLALESDNSSSKSSCSSWTSRSVASGF QYHPNLPMHAVIMEKSNDHFIVKIRRATPSTSPGLKHGVVAEESLTSLPRTGKEAGVATE KEPNLFQSTVLKPVKDLENTDKNIDKSKLTHEEQNSIVQTQVPDIYEFLKDASNKVVHCD QVVDDCFKLHQVWEPKVSENLQELPSMEKIPHSLDNHLPDTHIDLTKDSATETKSLGELM EVTVLNVDHLECSQTNLDQDAEITCSSLQPDTIDAFIDLTHDASSESKNEGSEPVLAVEG MGCQVICIDEDTNKEGKMGRANSPLESIVEETCIDLTSESPGSCEIKRHNLKSEPPSKLD CLELPETLGNGHKKRKNSPGVSHSSQKKQRKDIDLSSEKTQRLSPNSDRNGDAHRKQASK KREPAVNETSLSSEASPEVKGSTAVLAASPASLSAKNVIKKKGEIIVSWTRNDDREILLE CQKRMPSLKTFTYLAVKLNKNPNQVSERFQQLKKLFEKSKCR >ENSMUSP00000103813.1 pep:known chromosome:GRCm38:4:32624084:32653265:1 gene:ENSMUSG00000028282.12 transcript:ENSMUST00000108178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp8ap2 description:caspase 8 associated protein 2 [Source:MGI Symbol;Acc:MGI:1349399] MAADDDNGDGTGLFDVCPASPLKNNDEGSLDIYAGLDSAVSDSTARSCVSFRNCLDLYEE ILTEEGTAKEATYNDLQIEYGKCQQQMKDLMKRFKEIQTQNLNLKNENQSLKKNISALIK TARVEINRKDEEINHLHQRNDDREILLECQKRMPSLKTFTYLAVKLNKNPNQVSERFQQL KKLFEKSKCR >ENSMUSP00000136016.1 pep:known chromosome:GRCm38:4:32615473:32653265:1 gene:ENSMUSG00000028282.12 transcript:ENSMUST00000178925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp8ap2 description:caspase 8 associated protein 2 [Source:MGI Symbol;Acc:MGI:1349399] MAADDDNGDGTGLFDVCPASPLKNNDEGSLDIYAGLDSAVSDSTARSCVSFRNCLDLYEE ILTEEGTAKEATYNDLQIEYGKCQQQMKDLMKRFKEIQTQNLNLKNENQSLKKNISALIK TARVEINRKDEEINHLHQRLSEFPHFRNNHKTARTKDSQSTSPHLDDCSKTDHGVKSDVQ KDVHPNTAQPNLEKEGKSHSEAQNPLHLSTGVEKHCANNVWSRSPYQVGEGNSNEDNRRG RSGTRHSQCSRGTDRTQKDLHSSCNDSEPRDKEANSRLQGHPEKHGNSEARTESKISESK SSTGMGYKSERSASSWEKETSRERPHTRVESQHDKNLEKQNERLQNMHRKELPSQDKTER KVDVKFKPAGEEQGHRGRVDRALPPHPKNDVKHYGFNKYHPEERRGREDCKRDRGMNSHG FQDRRCSSFLSSNRNSKYPHSKEVSVAHQWENTPFKAERHRTEDRRKRERENKEESRHVK SDKKSPPEHLQRTHKDTKKSTADGKRQTEPKHGKGAVSNSELSKGTDSKEGATKVESGPN EAKGKDLKLSFMEKLNLTLSPAKKQPACQDNPHQITGVPEPSGTCDSRSLETTGTVACLP SGSEHNREETKSELPEPKEALLATSQLRISIPENKMKEEKRLLFKSVENTVPCELLACGT EISLPAPVEIEQARCLLGSVEVEETCGGARTAASVVMHVLPEHASEDASQELDTKRHDGI NACAISEGVKTKVILSPKAAAASESHLAPLVEEPSISLVNCSGDNNPKLEPSLEERPIVE TKSCPLESCLPKETFVPSPQKTELIDHKIETGESNSVYQDDDNSVLSIDFNNLRPIPDPI SPLNSPVRPVCKVVSMESSCAIPLYDSSHKDEFPSNSTLSTFKSQSDLNKENEKPVPKFD KCSEADSCKHLSLDELEEGEIRSDDEESVAQKRLEKSARPRVSAEVQPGKSSPGSRRSTV HVHKDNGRTAVKLPRDRLTWSKRSSESRPSNTERKSKTMSISSLEKILPLILVPSSLWEV MHMLRLLGKHVRKNYMKFKIKFSLTQFHRIIESAILSFTSLVKCLDLSKICKSVSTLQKS LCEVIESNLKQVKKNGIVDRLFEQQQTDMKKKLWKFVDEQLDYLFEKLKKILLKFCDSVN FENENSEGKLGKKYKERTQHSNCQKKKMDNKEIRREKVLKSENTVNFKSSLGCEKSEEKH QDQNKTNASIVKHDVKRTFSTCSDNTKNAECKEQFLEKSCPSTPRPGKDEGHTEEEAQAA QHASAKSERSFEILTEQQASSLTFNLVSDAQMGEIFKSLLQGSDLLDTSGTEKAEWELKT PEKQLLESLKCESAPACATEELVSEGASLCPKVISDDNWSLLSSEKGPSLSSGLSLPVHP DVLDENCMFEVSSNTALGKDNVYSSEKSKPCISSILLEDLAVSLTVPSPLKSDGHLSFLK PEVLSTSTPEEVISAHFSEDALLEEEDASEQDIHLALESDNSSSKSSCSSWTSRSVASGF QYHPNLPMHAVIMEKSNDHFIVKIRRATPSTSPGLKHGVVAEESLTSLPRTGKEAGVATE KEPNLFQSTVLKPVKDLENTDKNIDKSKLTHEEQNSIVQTQVPDIYEFLKDASNKVVHCD QVVDDCFKLHQVWEPKVSENLQELPSMEKIPHSLDNHLPDTHIDLTKDSATETKSLGELM EVTVLNVDHLECSQTNLDQDAEITCSSLQPDTIDAFIDLTHDASSESKNEGSEPVLAVEG MGCQVICIDEDTNKEGKMGRANSPLESIVEETCIDLTSESPGSCEIKRHNLKSEPPSKLD CLELPETLGNGHKKRKNSPGVSHSSQKKQRKDIDLSSEKTQRLSPNSDRNGDAHRKQASK KREPAVNETSLSSEASPEVKGSTAVLAASPASLSAKNVIKKKGEIIVSWTRNDDREILLE CQKRMPSLKTFTYLAVKLNKNPNQVSERFQQLKKLFEKSKCR >ENSMUSP00000110460.1 pep:known chromosome:GRCm38:16:36875168:36933085:1 gene:ENSMUSG00000034243.16 transcript:ENSMUST00000114812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golgb1 description:golgi autoantigen, golgin subfamily b, macrogolgin 1 [Source:MGI Symbol;Acc:MGI:1099447] MLSRLSGLANNVLHELSGDYTDWNLMASSDADSSQETAMESNNRIMESTQEDALHRLAEA EKLVVELKDIISQKDVQLQQKDEALQEEKKAAENKIKKIKLHAKAKIMSLNKHMEEIKTQ GGAALPPEAQAEELSKHNKSSTEEEMEIEKIKHELQEKEKLISSLQAQLDQSEQASQLDK SSAEMEDFVLMKQQLQEKEELISTLQTQLSQTQAEQAAQKLRVMQRKLEEHEEALLGRAQ VVDLLQKELTSAEQRNQVLSQQLQLLEAEHNTLKNTMETERQESKTLMEKVELEVAERKL SFHNLQEEMHQLQGQLERAGQAQADLETQYSALQQRHKTEMEEKTACILSLQKNEQELQS ACAALKEENSKLLQEKHDQAAESAQAMRQLEDQLQQKSKEISQFVNKPNLQKNETASQTS LPDVNNEGDQAVMEETVASLQKRVVELENEKGALLLSSGELEELKAENEKLSSRITLLEA QNRAGEADGTVCEVSTAGTTLLNRSDSSPEENGQAVLENTFSQKHKELSVLLVEMKEAQE EIAFLKSQLQGKRPEGDYEVLDRREVQLMESEGPPSVTAGDVLCAPSDESSGPAAEEEQA GMKDRHRASEAGPLNDAGMELSSPKLDGVDKSLAVSHVCQCHQGELERLKTQVLELETSL HTAEETYKRNLSEKVKEISSLTQLSEEVKESAEEARSTLAAVTEERDQLLYQVKELDVLA ELRARVQELESSLAEAEKQRGLDYESQRAQHNLLTEQIHSLSIEAKSKDVKIEALQRELD GVQLQFCEQGTQMKTLQSQLEAKEREVREGTERLRDISQEMEGLSQALSQKELEIAKMDQ LLLEKQKDVETLQQTIQEKDQQVTELSFSMTEKMVQLNEEKFSLGVEIKTLKEQLNLLSR TEEATKEQVEESGAGSSLKLGHDESGQEGLQQELELLRKESEQRKRKLQAALINRKELLQ KVSQLEEELAKVREDSRKEIPFGENERRKLEEDRENRDDPEEWGTSKWREVEASLKQTIS EKEVELEGIRRDLKEKTAAEEELQAVVQRMTRDLQSKTKQIDLLQEEVTENQATIQKLVT GTMDAGNGGSAAPVKETAASSPPGAGGEEHWKPELEGRILDLEKDKTQLQKKLQEALIAR KAILKKAQEKEKQLKEELREQKDAYHHLQGQFHEQNKEKENIADQLRQLQCQARESIDRQ LPGTGQQEPGPPAPSLEGISLEDTEPASESDLHAAQPSPPGETAALQATVSVAQIQAQLK EMEVEKEELELKVSSIASELAKKSEEVLLLQDQINEQGLEIQNLKAASVEAQAHTELLKQ ELESSQLKVAGLEHLKTLQPELDALHKHMGQKEEEVNYLYGQLSEKEQTLTTVQTEMVEQ ERLIKALHTQLEMQAKEHEERLKQAQVEICELKKKPTELEEETNAKQQLQRKLQAALISR KEALKENKSLQEQLSSARDAVERLTKSLADVESQVSVQNQEKDAVLGKLTILQEERDKLI AEMDRFLLENQSLSGSCESLKLALGGLTEDKEKLMEELESVRSSKMAESTEWQEKHKELQ KEYEVLLQSYENVSNEAERIQHVVESVRQEKQELYAKLRSTESDKREREKQLQDAEQEME EMKEKMRKFAKSKQQKILELEEENDRLRAEAQPVGGTGESMEALLSSNSSLKEELEKITL EHKTLSKEFEALMAEKDALSEETRNLKLQVEAQVLKQASLEATEKSDEPKDVIEEVTQAV VGKSQERDALSDSAKLEDSEAILMGDGAKPGVSETFSSHDDIKNYLQQLDQLKGRIAELE MEKQKDRELSQALENEKNALLTQISAKDSELKLLEEEVTKRTTLNQQIQEELCRVTKLKE TAEEEKDDLEERLMNQLAELNGSIGNYYQDVTDAQIKNEQLESEMRNLQRCVSELEEEKQ QLVKEKTKVESEIRKEYMEKIQGAQKGPANKSHAKELQELLREKQQEVKQLQKDCIRYLE RISALEKTVKALEFVHTESQKDLDVTKGNLAQAVEHRKKAQAELSSFKILLDDTQSEAAR VLADNLKLKKELQSNKESIKSQIKQKDEDLLRRLEQAEEKHRKEKKNMQEKLDALHREKA HVEETLAEIQVSLTRKDQEMKELQGSLDSTLAQLAAFTKSMSSLQDDRDRVIDEAKKWER RFGDAIQTKEEEVRLKEENCIALKDQLRQMAIHMEELKITVSRLEHDKEIWESKAQTELQ HHQKAYDKLQEENKELTSQLEDARQLYHDSKNELTKLESELKSLKDQTTDLNNSLEKCKE HENNLEGIIKQQEADIQNCKFSCEQLETDLAASRELTSRLHDEINAKEQKIISLLSGKEE AIQLAVEELHQQHSKEIKELENLLSQEEEENVALEEENKRALEKTNQLTEALEAIKKESF EQKAQLDSFVKSMSSLQDDRDRIVSDYRQLEERHLSAILEKDQLIQDAAAENNKLKEEMR GLRSHMDDLNSENAKLDAELVQYRRDLNEVIAIKDSQQKQLLDAQLQQNKELRNECTKLE ERLKGLEAEKQSLQMSSDALQKEKQGLSKEIKNLQTQLTALQEEGTLGVYHAQLKAKEEE LQRLNMALSSSQKRTADLEEELVCVQKEATRKVSEIEDQLKKELKHLHHDAGIMRNETET AEERVAELARDLVEMEQKLLTVTKENKDLMAQIQAFGRSMSSLQDSRDHATEELGDLKKK YDASLKELAQLKEWQDSSREGDVLSQAAFPLSTSENVLSRLEKLNQQLTSKDEQLLHLSS ELESSHNQVQSISKAMTSLQNERDRLWSELEKFRKSEEGKQRAAAPSAASSPAEVQSLKK AMSSLQNDRDRLLKELKNLQQQYLQMSQEMTELRPLKAQLQESQDQTKALQVMEEELRQE NLSWQHELRQLRMEKNSWELHERRMKEQFLMAISDKDQQLGHLQSLLRELRSSSQAQILS TQYQRQASPETSASLDGSQKLVYETELLRTQLNDSLKEIHQKELRIQQLNSKFSQLLEEK NVLSTQLSDASQSLRENQHHYSNLFNHCAILEKEVQKLQAGPLNTDVAPGAPQEKNGMHR KSEPETTGEEQPSFSEVQQQLCNTKQDLRELKKLLEEERDQRLTAENALSLAKEQIRRLE HSEWESARTPIMGACGSQEQALLIDLPGHSCRRTRSGAGWKRVLRSLCHSRTRVPLLAAI YFLMIHVLLVLCFTGHL >ENSMUSP00000115848.1 pep:known chromosome:GRCm38:16:36875141:36889399:1 gene:ENSMUSG00000034243.16 transcript:ENSMUST00000135406.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golgb1 description:golgi autoantigen, golgin subfamily b, macrogolgin 1 [Source:MGI Symbol;Acc:MGI:1099447] MLSRLSGLANNVLHELSGDYTDWNLMASSDADSSQETAMESNNRIMESTQEDALHRLAEA EKLVVELKDIISQKDVQLQQKDEALQEEKKAAENKIKKIKLHAKAKIMSLNKHMEEIKTQ GGAALPPEAQAEELSKHNKSSTEEE >ENSMUSP00000116503.1 pep:known chromosome:GRCm38:16:36875202:36889401:1 gene:ENSMUSG00000034243.16 transcript:ENSMUST00000134616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golgb1 description:golgi autoantigen, golgin subfamily b, macrogolgin 1 [Source:MGI Symbol;Acc:MGI:1099447] MLSRLSGLANNVLHELSGDYTDWNLMASSDADSSQETAMESNNRIMESTQEDALHRLAEA EKLVVELKDIISQKDVQLQQKDEALQEEKKAAENKIKKIKLHAKAKIMSLNKHMEEIKTQ GGAALPPEAQAEELSKHNKSSTEEEM >ENSMUSP00000045239.8 pep:known chromosome:GRCm38:16:36886134:36933085:1 gene:ENSMUSG00000034243.16 transcript:ENSMUST00000039855.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golgb1 description:golgi autoantigen, golgin subfamily b, macrogolgin 1 [Source:MGI Symbol;Acc:MGI:1099447] MLSRLSGLANNVLHELSGDYTDWNLMASSDADSSQETAMESNNRIMESTQEDALHRLAEA EKLVVELKDIISQKDVQLQQKDEALQEEKKAAENKIKKIKLHAKAKIMSLNKHMEEIKTQ GGAALPPEAQAEELSKHNKSSTEEEMEIEKIKHELQEKEKLISSLQAQLDQSEQASQLDK SSAEMEDFVLMKQQLQEKEELISTLQTQLSQTQAEQAAQLSSMQQVVREKDARFETQVRL HEDELLQLVTQSDVETEMQQKLRVMQRKLEEHEEALLGRAQVVDLLQKELTSAEQRNQVL SQQLQLLEAEHNTLKNTMETERQESKTLMEKVELEVAERKLSFHNLQEEMHQLQGQLERA GQAQADLETQYSALQQRHKTEMEEKTACILSLQKNEQELQSACAALKEENSKLLQEKHDQ AAESAQAMRQLEDQLQQKSKEISQFVNKPNLQKNETASQTSLPDVNNEGDQAVMEETVAS LQKRVVELENEKGALLLSSGELEELKAENEKLSSRITLLEAQNRAGEADGTVCEVSTAGT TLLNRSDSSPEENGQAVLENTFSQKHKELSVLLVEMKEAQEEIAFLKSQLQGKRPEGDYE VLDRREVQLMESEGPPSVTAGDVLCAPSDESSGPAAEEEQAGMKDRHRASEAGPLNDAGM ELSSPKLDGVDKSLAVSHVCQCHQGELERLKTQVLELETSLHTAEETYKRNLSEKVKEIS SLTQLSEEVKESAEEARSTLAAVTEERDQLLYQVKELDVLAELRARVQELESSLAEAEKQ RGLDYESQRAQHNLLTEQIHSLSIEAKSKDVKIEALQRELDGVQLQFCEQGTQMKTLQSQ LEAKEREVREGTERLRDISQEMEGLSQALSQKELEIAKMDQLLLEKQKDVETLQQTIQEK DQQVTELSFSMTEKMVQLNEEKFSLGVEIKTLKEQLNLLSRTEEATKEQVEESGAGSSLK LGHDESGQEGLQQELELLRKESEQRKRKLQAALINRKELLQKVSQLEEELAKVREDSRKE IPFGENERRKLEEDRENRDDPEEWGTSKWREVEASLKQTISEKEVELEGIRRDLKEKTAA EEELQAVVQRMTRDLQSKTKQIDLLQEEVTENQATIQKLVTGTMDAGNGGSAAPVKETAA SSPPGAGGEEHWKPELEGRILDLEKDKTQLQKKLQEALIARKAILKKAQEKEKQLKEELR EQKDAYHHLQGQFHEQNKEKENIADQLRQLQCQARESIDRQLPGTGQQEPGPPAPSLEGI SLEDTEPASESDLHAAQPSPPGETAALQATVSVAQIQAQLKEMEVEKEELELKVSSIASE LAKKSEEVLLLQDQINEQGLEIQNLKAASVEAQAHTELLKQELESSQLKVAGLEHLKTLQ PELDALHKHMGQKEEEVNYLYGQLSEKEQTLTTVQTEMVEQERLIKALHTQLEMQAKEHE ERLKQAQVEICELKKKPTELEEETNAKQQLQRKLQAALISRKEALKENKSLQEQLSSARD AVERLTKSLADVESQVSVQNQEKDAVLGKLTILQEERDKLIAEMDRFLLENQSLSGSCES LKLALGGLTEDKEKLMEELESVRSSKMAESTEWQEKHKELQKEYEVLLQSYENVSNEAER IQHVVESVRQEKQELYAKLRSTESDKREREKQLQDAEQEMEEMKEKMRKFAKSKQQKILE LEEENDRLRAEAQPVGGTGESMEALLSSNSSLKEELEKITLEHKTLSKEFEALMAEKDAL SEETRNLKLQVEAQVLKQASLEATEKSDEPKDVIEEVTQAVVGKSQERDALSDSAKLEDS EAILMGDGAKPGVSETFSSHDDIKNYLQQLDQLKGRIAELEMEKQKDRELSQALENEKNA LLTQISAKDSELKLLEEEVTKRTTLNQQIQEELCRVTKLKETAEEEKDDLEERLMNQLAE LNGSIGNYYQDVTDAQIKNEQLESEMRNLQRCVSELEEEKQQLVKEKTKVESEIRKEYME KIQGAQKGPANKSHAKELQELLREKQQEVKQLQKDCIRYLERISALEKTVKALEFVHTES QKDLDVTKGNLAQAVEHRKKAQAELSSFKILLDDTQSEAARVLADNLKLKKELQSNKESI KSQIKQKDEDLLRRLEQAEEKHRKEKKNMQEKLDALHREKAHVEETLAEIQVSLTRKDQE MKELQGSLDSTLAQLAAFTKSMSSLQDDRDRVIDEAKKWERRFGDAIQTKEEEVRLKEEN CIALKDQLRQMAIHMEELKITVSRLEHDKEIWESKAQTELQHHQKAYDKLQEENKELTSQ LEDARQLYHDSKNELTKLESELKSLKDQTTDLNNSLEKCKEHENNLEGIIKQQEADIQNC KFSCEQLETDLAASRELTSRLHDEINAKEQKIISLLSGKEEAIQLAVEELHQQHSKEIKE LENLLSQEEEENVALEEENKRALEKTNQLTEALEAIKKESFEQKAQLDSFVKSMSSLQDD RDRIVSDYRQLEERHLSAILEKDQLIQDAAAENNKLKEEMRGLRSHMDDLNSENAKLDAE LVQYRRDLNEVIAIKDSQQKQLLDAQLQQNKELRNECTKLEERLKGLEAEKQSLQMSSDA LQKEKQGLSKEIKNLQTQLTALQEEGTLGVYHAQLKAKEEELQRLNMALSSSQKRTADLE EELVCVQKEATRKVSEIEDQLKKELKHLHHDAGIMRNETETAEERVAELARDLVEMEQKL LTVTKENKDLMAQIQAFGRSMSSLQDSRDHATEELGDLKKKYDASLKELAQLKEWQDSSR EGDVLSQAAFPLSTSENVLSRLEKLNQQLTSKDEQLLHLSSELESSHNQVQSISKAMTSL QNERDRLWSELEKFRKSEEGKQRAAAPSAASSPAEVQSLKKAMSSLQNDRDRLLKELKNL QQQYLQMSQEMTELRPLKAQLQESQDQTKALQVMEEELRQENLSWQHELRQLRMEKNSWE LHERRMKEQFLMAISDKDQQLGHLQSLLRELRSSSQAQILSTQYQRQASPETSASLDGSQ KLVYETELLRTQLNDSLKEIHQKELRIQQLNSKFSQLLEEKNVLSTQLSDASQSLRENQH HYSNLFNHCAILEKEVQKLQAGPLNTDVAPGAPQEKNGMHRKSEPETTGEEQPSFSEVQQ QLCNTKQDLRELKKLLEEERDQRLTAENALSLAKEQIRRLEHSEWESARTPIMGACGSQE QALLIDLPGHSCRRTRSGAGWKRVLRSLCHSRTRVPLLAAIYFLMIHVLLVLCFTGHL >ENSMUSP00000023534.6 pep:known chromosome:GRCm38:16:36875141:36875638:1 gene:ENSMUSG00000034243.16 transcript:ENSMUST00000023534.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golgb1 description:golgi autoantigen, golgin subfamily b, macrogolgin 1 [Source:MGI Symbol;Acc:MGI:1099447] SHGEWLTPRGASTVAPCLGYRADVASIGRGASGVGWDGRLQVSGSRRLRGELRNGSAASP GPRGSGHGSAGRPAPHLRAPPPSPARPRWSPSARRRTRPGDTDTQSVCRQGRSSPGSPGG GRAGQPYVHGRPCVRAAWASQPGHRAGDARGNLPQPCARPSPEQT >ENSMUSP00000142576.1 pep:known chromosome:GRCm38:5:19227036:20702919:1 gene:ENSMUSG00000040003.18 transcript:ENSMUST00000197354.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi2 description:membrane associated guanylate kinase, WW and PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1354953] MSKSLKKKSHWTSKVHESVIGRNPEGQLGFELKGGAENGQFPYLGEVKPGKVAYESGSKL VSEELLLEVNETPVAGLTIRDVLAVIKHCKDPLRLKCVKQGGIVDKDLRHYLNLRFQKGS VDHELQQIIRDNLYLRTVPCTTRPHKEGEVPGVDYIFITVEEFMELEKSGALLESGTYED NYYGTPKPPAEPAPLLNVTDQILPGATPSAEGKRKRNKSVTNMEKASIEPPEEEEEERPV VNGNGVVITPESSEHEDKSAGASGETPSQPYPAPVYSQPEELKDQMDDTKPTKPEENEDS DPLPDNWEMAYTEKGEVYFIDHNTKTTSWLDPRLAKKAKPPEECKENELPYGWEKIDDPI YGTYYVDHINRRTQFENPVLEAKRKLQQHNMPHTELGAKPLQAPGFREKPLFTRDASQLK GTFLSTTLKKSNMGFGFTIIGGDEPDEFLQVKSVIPDGPAAQDGKMETGDVIVYINEVCV LGHTHADVVKLFQSVPIGQSVNLVLCRGYPLPFDPEDPANSMVPPLAIMERPPPVMVNGR HNYETYLEYISRTSQSVPDITDRPPHSLHSMPADGQLDGTYPPPVHDDNVSMASSGATQA ELMTLTIVKGAQGFGFTIADSPTGQRVKQILDIQGCPGLCEGDLIVEINQQNVQNLSHTE VVDILKDCPVGSETSLIIHRGGFFSPWKTPKPMMDRWENQGSPQTSLSAPAVPQNLPFPP ALHRSSFPDSTEAFDPRKPDPYELYEKSRAIYESRQQVPPRTSFRMDSSGPDYKELDVHL RRMESGFGFRILGGDEPGQPILIGAVIAMGSADRDGRLHPGDELVYVDGIPVAGKTHRYV IDLMHHAARNGQVNLTVRRKVLCGGEPCPENGRSPGSVSTHHSSPRSDYATYSNSNHAAP SSNASPPEGFASHSLQTSDVVIHRKENEGFGFVIISSLNRPESGATITVPHKIGRIIDGS PADRCAKLKVGDRILAVNGQSIINMPHADIVKLIKDAGLSVTLRIIPQEELNSPTSAPSS EKQSPMAQQHSPLAQQSPLAQPSPATPNSPVAQPAPPQPLQLQGHENSYRSEVKARQDVK PDIRQPPFTDYRQPPLDYRQPPGGDYSQPPPLDYRQHSPDTRQYPLSDYRQPQDFDYFTV DMEKGAKGFGFSIRGGREYKMDLYVLRLAEDGPAIRNGRMRVGDQIIEINGESTRDMTHA RAIELIKSGGRRVRLLLKRGTGQVPEYDEPHAWSAAAAAAPGLPEVGVSLEDILSPFSPS HPAPPSDPSHQIGPDPTWDIQREHDVRKPKELSAGGQKKQRLGEQRERSASPQRSARPRL EEVPGGQGRPEAGRPASEAADGKEALRGRREGLGAAGAREAEAKVGVRSGARPAARPTGG GPARKATMAPGPWKVPGSDKLPGALQPGASAAGR >ENSMUSP00000085872.3 pep:known chromosome:GRCm38:5:19227051:20702903:1 gene:ENSMUSG00000040003.18 transcript:ENSMUST00000088516.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi2 description:membrane associated guanylate kinase, WW and PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1354953] MSKSLKKKSHWTSKVHESVIGRNPEGQLGFELKGGAENGQFPYLGEVKPGKVAYESGSKL VSEELLLEVNETPVAGLTIRDVLAVIKHCKDPLRLKCVKQGGIVDKDLRHYLNLRFQKGS VDHELQQIIRDNLYLRTVPCTTRPHKEGEVPGVDYIFITVEEFMELEKSGALLESGTYED NYYGTPKPPAEPAPLLNVTDQILPGATPSAEGKRKRNKSVTNMEKASIEPPEEEEEERPV VNGNGVVITPESSEHEDKSAGASGETPSQPYPAPVYSQPEELKDQMDDTKPTKPEENEDS DPLPDNWEMAYTEKGEVYFIDHNTKTTSWLDPRLAKKAKPPEECKENELPYGWEKIDDPI YGTYYVDHINRRTQFENPVLEAKRKLQQHNMPHTELGAKPLQAPGFREKPLFTRDASQLK GTFLSTTLKKSNMGFGFTIIGGDEPDEFLQVKSVIPDGPAAQDGKMETGDVIVYINEVCV LGHTHADVVKLFQSVPIGQSVNLVLCRGYPLPFDPEDPANSMVPPLAIMERPPPVMVNGR HNYETYLEYISRTSQSVPDITDRPPHSLHSMPADGQLDGTYPPPVHDDNVSMASSGATQA ELMTLTIVKGAQGFGFTIADSPTGQRVKQILDIQGCPGLCEGDLIVEINQQNVQNLSHTE VVDILKDCPVGSETSLIIHRGGFFSPWKTPKPMMDRWENQGSPQTSLSAPAVPQNLPFPP ALHRSSFPDSTEAFDPRKPDPYELYEKSRAIYESRQQVPPRTSFRMDSSGPDYKELDVHL RRMESGFGFRILGGDEPGQPILIGAVIAMGSADRDGRLHPGDELVYVDGIPVAGKTHRYV IDLMHHAARNGQVNLTVRRKVLCGGEPCPENGRSPGSVSTHHSSPRSDYATYSNSNHAAP SSNASPPEGFASHSLQTSDVVIHRKENEGFGFVIISSLNRPESGATITVPHKIGRIIDGS PADRCAKLKVGDRILAVNGQSIINMPHADIVKLIKDAGLSVTLRIIPQEELNSPTSAPSS EKQSPMAQQHSPLAQQSPLAQPSPATPNSPVAQPAPPQPLQLQGHENSYRSEVKARQDVK PDIRQPPFTDYRQPPLDYRQPPGGDYSQPPPLDYRQHSPDTRQYPLSDYRQPQDFDYFTV DMEKGAKGFGFSIRGGREYKMDLYVLRLAEDGPAIRNGRMRVGDQIIEINGESTRDMTHA RAIELIKSGGRRVRLLLKRGTGQVPEYGMVPSSLSMCMKSDKHGSPYFYLLGHPKDTTNP TPGVLPLPPPQACRK >ENSMUSP00000142764.1 pep:known chromosome:GRCm38:5:19227055:20704773:1 gene:ENSMUSG00000040003.18 transcript:ENSMUST00000197443.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi2 description:membrane associated guanylate kinase, WW and PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1354953] MSKSLKKKSHWTSKVHESVIGRNPEGQLGFELKGGAENGQFPYLGEVKPGKVAYESGSKL VSEELLLEVNETPVAGLTIRDVLAVIKHCKDPLRLKCVKQGGIVDKDLRHYLNLRFQKGS VDHELQQIIRDNLYLRTVPCTTRPHKEGEVPGVDYIFITVEEFMELEKSGALLESGTYED NYYGTPKPPAEPAPLLNVTDQILPGATPSAEGKRKRNKSVTNMEKASIEPPEEEEEERPV VNGNGVVITPESSEHEDKSAGASGETPSQPYPAPVYSQPEELKDQMDDTKPTKPEENEDS DPLPDNWEMAYTEKGEVYFIDHNTKTTSWLDPRLAKKAKPPEECKENELPYGWEKIDDPI YGTYYVDHINRRTQFENPVLEAKRKLQQHNMPHTELGAKPLQAPGFREKPLFTRDASQLK GTFLSTTLKKSNMGFGFTIIGGDEPDEFLQVKSVIPDGPAAQDGKMETGDVIVYINEVCV LGHTHADVVKLFQSVPIGQSVNLVLCRGYPLPFDPEDPANSMVPPLAIMERPPPVMVNGR HNYETYLEYISRTSQSVPDITDRPPHSLHSMPADGQLDGTYPPPVHDDNVSMASSGATQA ELMTLTIVKGAQGFGFTIADSPTGQRVKQILDIQGCPGLCEGDLIVEINQQNVQNLSHTE VVDILKDCPVGSETSLIIHRGGFFSPWKTPKPMMDRWENQGSPQTSLSAPAVPQNLPFPP ALHRSSFPDSTEAFDPRKPDPYELYEKSRAIYESRRPDYKELDVHLRRMESGFGFRILGG DEPGQPILIGAVIAMGSADRDGRLHPGDELVYVDGIPVAGKTHRYVIDLMHHAARNGQVN LTVRRKVLCGGEPCPENGRSPGSVSTHHSSPRSDYATYSNSNHAAPSSNASPPEGFASHS LQTSDVVIHRKENEGFGFVIISSLNRPESGATITVPHKIGRIIDGSPADRCAKLKVGDRI LAVNGQSIINMPHADIVKLIKDAGLSVTLRIIPQEELNSPTSAPSSEKQSPMAQQHSPLA QQSPLAQPSPATPNSPVAQPAPPQPLQLQGHENSYRSEVKARQDVKPDIRQPPFTDYRQP PLDYRQPPGGDYSQPPPLDYRQHSPDTRQYPLSDYRQPQDFDYFTVDMEKGAKGFGFSIR GGREYKMDLYVLRLAEDGPAIRNGRMRVGDQIIEINGESTRDMTHARAIELIKSGGRRVR LLLKRGTGQVPEYDEPHAWSAAAAAAPGLPEVGVSLEDILSPFSPSHPAPPSDPSHQIGP DPTWDIQREHDVRKPKELSAGGQKKQRLGEQRERSASPQRSARPRLEEVPGGQGRPEAGR PASEAADGKEALRGRREGLGAAGAREAEAKVGVRSGARPAARPTGGGPARKATMAPGPWK VPGSDKLPGALQPGASAAGR >ENSMUSP00000099094.3 pep:known chromosome:GRCm38:5:19907518:20704792:1 gene:ENSMUSG00000040003.18 transcript:ENSMUST00000101558.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi2 description:membrane associated guanylate kinase, WW and PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1354953] MELEKSGALLESGTYEDNYYGTPKPPAEPAPLLNVTDQILPGATPSAEGKRKRNKSVTNM EKASIEPPEEEEEERPVVNGNGVVITPESSEHEDKSAGASGETPSQPYPAPVYSQPEELK DQMDDTKPTKPEENEDSDPLPDNWEMAYTEKGEVYFIDHNTKTTSWLDPRLAKKAKPPEE CKENELPYGWEKIDDPIYGTYYVDHINRRTQFENPVLEAKRKLQQHNMPHTELGAKPLQA PGFREKPLFTRDASQLKGTFLSTTLKKSNMGFGFTIIGGDEPDEFLQVKSVIPDGPAAQD GKMETGDVIVYINEVCVLGHTHADVVKLFQSVPIGQSVNLVLCRGYPLPFDPEDPANSMV PPLAIMERPPPVMVNGRHNYETYLEYISRTSQSVPDITDRPPHSLHSMPADGQLDGTYPP PVHDDNVSMASSGATQAELMTLTIVKGAQGFGFTIADSPTGQRVKQILDIQGCPGLCEGD LIVEINQQNVQNLSHTEVVDILKDCPVGSETSLIIHRGGFFSPWKTPKPMMDRWENQGSP QTSLSAPAVPQNLPFPPALHRSSFPDSTEAFDPRKPDPYELYEKSRAIYESRRPDYKELD VHLRRMESGFGFRILGGDEPGQPILIGAVIAMGSADRDGRLHPGDELVYVDGIPVAGKTH RYVIDLMHHAARNGQVNLTVRRKVLCGGEPCPENGRSPGSVSTHHSSPRSDYATYSNSNH AAPSSNASPPEGFASHSLQTSDVVIHRKENEGFGFVIISSLNRPESGATITVPHKIGRII DGSPADRCAKLKVGDRILAVNGQSIINMPHADIVKLIKDAGLSVTLRIIPQEELNSPTSA PSSEKQSPMAQQHSPLAQQSPLAQPSPATPNSPVAQPAPPQPLQLQGHENSYRSEVKARQ DVKPDIRQPPFTDYRQPPLDYRQPPGGDYSQPPPLDYRQHSPDTRQYPLSDYRQPQDFDY FTVDMEKGAKGFGFSIRGGREYKMDLYVLRLAEDGPAIRNGRMRVGDQIIEINGESTRDM THARAIELIKSGGRRVRLLLKRGTGQVPEYGMVPSSLSMCMKSDKHGSPYFYLLGHPKDT TNPTPGVLPLPPPQACRK >ENSMUSP00000110922.2 pep:known chromosome:GRCm38:5:19907745:20702925:1 gene:ENSMUSG00000040003.18 transcript:ENSMUST00000115267.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi2 description:membrane associated guanylate kinase, WW and PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1354953] MELEKSGALLESGTYEDNYYGTPKPPAEPAPLLNVTDQILPGATPSAEGKRKRNKSVTNM EKASIEPPEEEEEERPVVNGNGVVITPESSEHEDKSAGASGETPSQPYPAPVYSQPEELK DQMDDTKPTKPEENEDSDPLPDNWEMAYTEKGEVYFIDHNTKTTSWLDPRLAKKAKPPEE CKENELPYGWEKIDDPIYGTYYVDHINRRTQFENPVLEAKRKLQQHNMPHTELGAKPLQA PGFREKPLFTRDASQLKGTFLSTTLKKSNMGFGFTIIGGDEPDEFLQVKSVIPDGPAAQD GKMETGDVIVYINEVCVLGHTHADVVKLFQSVPIGQSVNLVLCRGYPLPFDPEDPANSMV PPLAIMERPPPVMVNGRHNYETYLEYISRTSQSVPDITDRPPHSLHSMPADGQLDGTYPP PVHDDNVSMASSGATQAELMTLTIVKGAQGFGFTIADSPTGQRVKQILDIQGCPGLCEGD LIVEINQQNVQNLSHTEVVDILKDCPVGSETSLIIHRGGFFSPWKTPKPMMDRWENQGSP QTSLSAPAVPQNLPFPPALHRSSFPDSTEAFDPRKPDPYELYEKSRAIYESRQQVPPRTS FRMDSSGPDYKELDVHLRRMESGFGFRILGGDEPGQPILIGAVIAMGSADRDGRLHPGDE LVYVDGIPVAGKTHRYVIDLMHHAARNGQVNLTVRRKVLCGGEPCPENGRSPGSVSTHHS SPRSDYATYSNSNHAAPSSNASPPEGFASHSLQTSDVVIHRKENEGFGFVIISSLNRPES GATITVPHKIGRIIDGSPADRCAKLKVGDRILAVNGQSIINMPHADIVKLIKDAGLSVTL RIIPQEELNSPTSAPSSEKQSPMAQQHSPLAQQSPLAQPSPATPNSPVAQPAPPQPLQLQ GHENSYRSEVKARQDVKPDIRQPPFTDYRQPPLDYRQPPGGDYSQPPPLDYRQHSPDTRQ YPLSDYRQPQDFDYFTVDMEKGAKGFGFSIRGGREYKMDLYVLRLAEDGPAIRNGRMRVG DQIIEINGESTRDMTHARAIELIKSGGRRVRLLLKRGTGQVPEYGMVPSSLSMCMKSDKH GSPYFYLLGHPKDTTNPTPGVLPLPPPQACRK >ENSMUSP00000142581.1 pep:known chromosome:GRCm38:5:19907961:20195087:1 gene:ENSMUSG00000040003.18 transcript:ENSMUST00000200443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi2 description:membrane associated guanylate kinase, WW and PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1354953] MELEKSGALLESGTYEDNYYGTPKPPAEPAPLLNVTDQ >ENSMUSP00000146769.1 pep:known chromosome:GRCm38:5:20228186:20651678:1 gene:ENSMUSG00000040003.18 transcript:ENSMUST00000197553.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi2 description:membrane associated guanylate kinase, WW and PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1354953] MPHTELGAKPLQAPGFREKPLFTRDASQLKGTFLSTTLKKSNMGFGFTIIGGDEPDEFLQ VKSVIPDGPAAQDGKMETGDVIVYINEVCVLGHTHADVVKLFQSVPIGQSVNLVLCRGYP LPFDPEDPANSMVPPLAIMERPPPVMVNGRHNYETYLEYISRTSQSVPDITDRPPHSLHS MPADGQLDGTYPPPVHDDNVSMASSGATQAELMTLTIVKGAQGFGFTIADSPTGQRVKQI LDIQGCPGLCEGDLIVEINQQNVQNLSHTEVVDILKDCPVGSETSLIIHRGGFFSPWKTP KPMMDRWENQGSPQTSLSAPAVPQNLPFPPALHRSSFPDSTEAFDPRKPDPYELYEKSRA IYESRQQVPPRTSFRMDSSGPDYKELDVHLRRMESGFGFRILGGDEPGQPILIGAVIAMG SADRDGRLHPGDELVYVDGIPVAGKTHRYVIDLMHHAARNGQVNLTVRRKVLCGGEPCPE NGRSPGSVSTHHSSPRSDYATYSNSNHAAPSSNASPPEGFASHSLQTSDVVIHRKENEGF GFVIISSLNRPESGATITVPHKIGRIIDGSPADRCAKLKVGDRILAVNGQSIINMPHADI VKLIKDAGLSVTLRIIPQEELNSPTSAPSSEKQSPMAQQHSPLAQQSPLAQPSPATPNSP VAQPAPPQPLQLQGHENSYRSEVKARQDVKPDIRQPPFTDYRQPPLDYRQPPGGDYSQPP PLDYRQHSPDTRQYPLSDYRQPQDFDYFTVDMEKGAKGFGFSIRGGREYKMDLYVLRLAE DGPAIRNGRMRVGDQIIEINGESTRDMTHARAIELIKSGGRRVRLLLKRGTGQVPEYGAF HSFLHLCSAFSVF >ENSMUSP00000146458.1 pep:known chromosome:GRCm38:5:20228186:20651728:1 gene:ENSMUSG00000040003.18 transcript:ENSMUST00000208219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi2 description:membrane associated guanylate kinase, WW and PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1354953] MPHTELGAKPLQAPGFREKPLFTRDASQLKGTFLSTTLKKSNMGFGFTIIGGDEPDEFLQ VKSVIPDGPAAQDGKMETGDVIVYINEVCVLGHTHADVVKLFQSVPIGQSVNLVLCRGYP LPFDPEDPANSMVPPLAIMERPPPVMVNGRHNYETYLEYISRTSQSVPDITDRPPHSLHS MPADGQLDGTYPPPVHDDNVSMASSGATQAELMTLTIVKGAQGFGFTIADSPTGQRVKQI LDIQGCPGLCEGDLIVEINQQNVQNLSHTEVVDILKDCPVGSETSLIIHRGGFFSPWKTP KPMMDRWENQGSPQTSLSAPAVPQNLPFPPALHRSSFPDSTEAFDPRKPDPYELYEKSRA IYESPDYKELDVHLRRMESGFGFRILGGDEPGQPILIGAVIAMGSADRDGRLHPGDELVY VDGIPVAGKTHRYVIDLMHHAARNGQVNLTVRRKVLCGGEPCPENGRSPGSVSTHHSSPR SDYATYSNSNHAAPSSNASPPEGFASHSLQTSDVVIHRKENEGFGFVIISSLNRPESGAT ITVPHKIGRIIDGSPADRCAKLKVGDRILAVNGQSIINMPHADIVKLIKDAGLSVTLRII PQEELNSPTSAPSSEKQSPMAQQHSPLAQQSPLAQPSPATPNSPVAQPAPPQPLQLQGHE NSYRSEVKARQDVKPDIRQPPFTDYRQPPLDYRQPPGGDYSQPPPLDYRQHSPDTRQYPL SDYRQPQDFDYFTVDMEKGAKGFGFSIRGGREYKMDLYVLRLAEDGPAIRNGRMRVGDQI IEINGESTRDMTHARAIELIKSGGRRVRLLLKRGTGQVPEYGAFHSFLHLCSAFSVF >ENSMUSP00000146348.1 pep:known chromosome:GRCm38:5:20534338:20569309:1 gene:ENSMUSG00000040003.18 transcript:ENSMUST00000207284.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi2 description:membrane associated guanylate kinase, WW and PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1354953] XMDRWENQGSPQTSLSAPAVPQNLPFPPALHRSSFPDSTEAFDPRKPDPYELYEKSRAIY EKQVPPRTSFRMDSSGPDYKELDVHLRRMESGFGFRILGGDEPGQPILIGAVIAMGSADR DGRLHPGDELVYVDGIPVAGKTHRYVIDLMHHAARNGQVNLTVRRKVLCGGEPCPENGRS PGSVSTHHSSPRSDYATYSNSNHAAPSSNASPPEGFASHSLQTSDVVIHRKENEGFGF >ENSMUSP00000143578.1 pep:known chromosome:GRCm38:5:20602497:20702353:1 gene:ENSMUSG00000040003.18 transcript:ENSMUST00000199514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magi2 description:membrane associated guanylate kinase, WW and PDZ domain containing 2 [Source:MGI Symbol;Acc:MGI:1354953] XQLQGHENSYRSEVKARQDVKPDIRQPPFTDYRQPPLDYRQPPGGDYSQPPPLDYRQHSP DTRQYPLSDYRQPQDFDYFTVDMEKGAKGFGFSIRGGREYKMDLYVLRLAEDGPAIRNGR MRVGDQIIEINGESTRDMTHARAIELIKSGGRRVRLLLKRGTGQVPEYGCSSPSFRNGTF QPLHVHEK >ENSMUSP00000067066.5 pep:known chromosome:GRCm38:11:4257557:4272514:1 gene:ENSMUSG00000034394.14 transcript:ENSMUST00000066283.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lif description:leukemia inhibitory factor [Source:MGI Symbol;Acc:MGI:96787] MKVLAAGIVPLLLLVLHWKHGAGSPLPITPVNATCAIRHPCHGNLMNQIKNQLAQLNGSA NALFISYYTAQGEPFPNNVEKLCAPNMTDFPSFHGNGTEKTKLVELYRMVAYLSASLTNI TRDQKVLNPTAVSLQVKLNATIDVMRGLLSNVLCRLCNKYRVGHVDVPPVPDHSDKEAFQ RKKLGCQLLGTYKQVISVVVQAF >ENSMUSP00000036853.3 pep:known chromosome:GRCm38:11:4267095:4272514:1 gene:ENSMUSG00000034394.14 transcript:ENSMUST00000040750.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lif description:leukemia inhibitory factor [Source:MGI Symbol;Acc:MGI:96787] MNQIKNQLAQLNGSANALFISYYTAQGEPFPNNVEKLCAPNMTDFPSFHGNGTEKTKLVE LYRMVAYLSASLTNITRDQKVLNPTAVSLQVKLNATIDVMRGLLSNVLCRLCNKYRVGHV DVPPVPDHSDKEAFQRKKLGCQLLGTYKQVISVVVQAF >ENSMUSP00000125452.1 pep:known chromosome:GRCm38:15:6299813:6416924:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000159046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] MSNEVET >ENSMUSP00000077166.6 pep:known chromosome:GRCm38:15:6299788:6440712:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000078019.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] MSNEVETSTTNGQPDQQAAPKAPSKKEKKKGSEKTDEYLLARFKGDGVKYKAKLIGIDDV PDARGDKMSQDSMMKLKGMAAAGRSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNK ISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVVDLKDLFQVIYNVKKKEEDKK KVEEANKAEENGSEALMTLDDQANKLKLGVDQMDLFGDMSTPPDLNSPTSSANDLLASDI FASEPPGQMSPTGQPAVPQSNFLDLFKGNAPAPVGPLVGLGTVPVTPPQAGPWTPVVYSP STTVVPGAIISGQPPSFGQPLVFGTTPAVQVWNQSPSFATPASPPPPTVWCPTTSVAPNA WSSTSPLGNPFQSNNIFPPPTMSTQSSPQPMMSSVLATPPQPPPRNGPLKDIPSDAFTGL DPLGDKEVKEVKEMFKDFQLRQPPLVPSRKGETPPSGTSSAFSSYFNNKVGIPQEHVDHD DFDANQLLNKINEPPKPAPRQGVLLGTKSADNSLENPFSKGFSSSNPSVVSQPASSDPHR SPFGNPFA >ENSMUSP00000079689.5 pep:known chromosome:GRCm38:15:6386598:6440705:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000080880.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] MSNEVETSTTNGQPDQQAAPKAPSKKEKKKGSEKTDEYLLARFKGDGVKYKAKLIGIDDV PDARGDKMSQDSMMKLKGMAAAGRSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNK ISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVVDLKDLFQVIYNVKKKEEDKK KVEEANKAEENGSEALMTLDDQANKLKLGVDQMDLFGDMSTPPDLNSPTESKDILLVDLN SEIDTNQNSLRENPFLTNGVTSCSLPRPKPQASFLPENAFSANLNFFPTPNPDPFRDDPF AQPDQSAPSSFDSLTSPDQKKASLSSSSTPQSKGPLNGDTDYFGQQFDQLSNRTGKPEAQ GGPWPYPSSQTQQAVRTQNGVSEREQNGFHIKSSPNPFVGSPPKGLSVPNGVKQDLESSV QSSAHDSIAIIPPPQSTKPGRGRRTAKSSANDLLASDIFASEPPGQMSPTGQPAVPQSNF LDLFKGNAPAPVGPLVGLGTVPVTPPQAGPWTPVVYSPSTTVVPGAIISGQPPSFGQPLV FGTTPAVQVWNQSPSFATPASPPPPTVWCPTTSVAPNAWSSTSPLGNPFQSNNIFPPPTM STQSSPQPMMSSVLATPPQPPPRNGPLKDIPSDAFTGLDPLGDKEVKEVKEMFKDFQLRQ PPLVPSRKGETPPSGTSSAFSSYFNNKVGIPQEHVDHDDFDANQLLNKINEPPKPAPRQG VLLGTKSADNSLENPFSKGFSSSNPSVVSQPASSDPHRSPFGNPFA >ENSMUSP00000124478.1 pep:known chromosome:GRCm38:15:6299832:6427765:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000161040.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] MSNEVETSTTNGQPDQQAAPKAPSKKEKKKGSEKTDEYLLARFKGDGVKYKAKLIGIDDV PDARGDKMSQDSMMKLKGMAAAGRSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNK ISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVVDLKDLFQVIYNVKKKEEDKK KVEEANKAEENGSEALMTLDDQANKLKLGVDQMDLFGDMSTPPDLNSPTGDCSEV >ENSMUSP00000106291.2 pep:known chromosome:GRCm38:15:6386776:6439628:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000110663.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] MSNEVETSTTNGQPDQQAAPKAPSKKEKKKGSEKTDEYLLARFKGDGVKYKAKLIGIDDV PDARGDKMSQDSMMKLKGMAAAGRSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNK ISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVVDLKDLFQVIYNVKKKEEDKK KVEEANKAEENGSEALMTLDDQANKLKLGVDQMDLFGDMSTPPDLNSPTSSANDLLASDI FASEPPGQMSPTGQPAVPQSNFLDLFKGNAPAPVGPLVGLGTVPVTPPQAGPWTPVVYSP STTVVPGAIISGQPPSFGQPLVFGTTPAVQVWNQSPSFATPASPPPPTVWCPTTSVAPNA WSSTSPLGNPFQSNNIFPPPTMSTQSSPQPMMSSVLATPPQPPPRNGPLKDIPSDAFTGL DPLGDKEVKEVKEMFKDFQLRQPPLVPSRKGETPPSGTSSAFSSYFNNKVGIPQEHVDHD DFDANQLLNKINEPPKPAPRQGVLLGTKSADNSLENPFSKGFSSSNPSVVSQPASSDPHR SPFGNPFA >ENSMUSP00000124589.1 pep:known chromosome:GRCm38:15:6386777:6439648:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000161812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] MSNEVETSTTNGQPDQQAAPKAPSKKEKKKGSEKTDEYLLARFKGDGVKYKAKLIGIDDV PDARGDKMSQDSMMKLKGMAAAGRSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNK ISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVVDLKDLFQVIYNVKKKEEDKK KVEEANKAEENGSEALMTLDDQANKLKLSSANDLLASDIFASEPPGQMSPTGQPAVPQSN FLDLFKGNAPAPVGPLVGLGTVPVTPPQAGPWTPVVYSPSTTVVPGAIISGQPPSFGQPL VFGTTPAVQVWNQSPSFATPASPPPPTVWCPTTSVAPNAWSSTSPLGNPFQSNNIFPPPT MSTQSSPQPMMSSVLATPPQPPPRNGPLKDIPSDAFTGLDPLGDKEVKEVKEMFKDFQLR QPPLVPSRKGETPPSGTSSAFSSYFNNKVGIPQEHVDHDDFDANQLLNKINEPPKPAPRQ GVLLGTKSADNSLENPFSKGFSSSNPSVVSQPASSDPHRSPFGNPFA >ENSMUSP00000124996.1 pep:known chromosome:GRCm38:15:6422240:6438907:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000163082.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] XEHEHPVNKISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVVDLKDLFQVIYN VKKKEEDKKKVEEANKAEENGSEALMTLDDQANKLKLESKDILLVDLNSEIDTNQNSLRE NPFLTNGVTSCSLPRPKPQASFLPENAFSANLNFFPTPNPDPFRDDPFAQPDQSAPSSFD SLTSPDQKKASLSSSSTPQSKGPLNGDTDYFGQQFDQLSNRTGKPEAQGGPWPYPSSQTQ QAVRTQNGVSEREQNGFHIKSSPNPFVGSPPKGLSVPNGVKQDLESSVQSSAHDSIAIIP PPQSTKPGRGRRTAKSSANDLLASDIFASEPPGQMSPTGQPAVPQSNFLDLFKGNAPAPV GPLVGLGTVPVTPPQAGPWTPVVYSPSTTVVPGAIISGQPPSFGQPLVFGTTPAVQVWNQ SPSFATPASPPPPTVWCPTTSVAPNAWSSTSPLGNPFQSNNIFPPPTMSTQSSPQPMMSS VLATPPQPPPRNGPLKDIPSDAFTGLDPLGDKEVKEVKEMFKDFQLRQPPLVPSRKGETP PSGTSSAFSSYFNNKVGIPQEHVDHDDFDANQLLNKINEPPKPAPRQGVLLGTKSADNSL ENPFSKGFSSSNPSVVSQPASSDPHRSPFGNPFA >ENSMUSP00000124145.1 pep:known chromosome:GRCm38:15:6389050:6418318:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000159490.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] MSNEVETSTTNGQPDQQAAPKAPSKKEKKKGSEKTDEYLLARFKGDGVKYKAKLIGIDDV >ENSMUSP00000125696.1 pep:known chromosome:GRCm38:15:6390120:6419837:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000162094.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] MSNEVETSTTNGQPDQQAAPKAPSKKEKKKGSEKTDEYLLARFKGDGVKYKAKLIGIDDV PDARGDKMSQDSMMKLKGMAAAGRSQGQHKQRIWVNISLSGIKIIDEKTG >ENSMUSP00000125021.1 pep:known chromosome:GRCm38:15:6386779:6427765:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000160134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] MSNEVETSTTNGQPDQQAAPKAPSKKEKKKGSEKTDEYLLARFKGDGVKYKAKLIGIDDV PDARGDKMSQDSMMKLKGMAAAGRSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNK ISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVVDLKDLFQVIYNVKKKEEDKK KVEEANKAEENGSEALMTLDDQANKLKLGVDQMDLFGDMSTPPDLNSPTLSEGARW >ENSMUSP00000106292.2 pep:known chromosome:GRCm38:15:6386748:6440705:1 gene:ENSMUSG00000022150.16 transcript:ENSMUST00000110664.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dab2 description:disabled 2, mitogen-responsive phosphoprotein [Source:MGI Symbol;Acc:MGI:109175] MSNEVETSTTNGQPDQQAAPKAPSKKEKKKGSEKTDEYLLARFKGDGVKYKAKLIGIDDV PDARGDKMSQDSMMKLKGMAAAGRSQGQHKQRIWVNISLSGIKIIDEKTGVIEHEHPVNK ISFIARDVTDNRAFGYVCGGEGQHQFFAIKTGQQAEPLVVDLKDLFQVIYNVKKKEEDKK KVEEANKAEENGSEALMTLDDQANKLKLESKDILLVDLNSEIDTNQNSLRENPFLTNGVT SCSLPRPKPQASFLPENAFSANLNFFPTPNPDPFRDDPFAQPDQSAPSSFDSLTSPDQKK ASLSSSSTPQSKGPLNGDTDYFGQQFDQLSNRTGKPEAQGGPWPYPSSQTQQAVRTQNGV SEREQNGFHIKSSPNPFVGSPPKGLSVPNGVKQDLESSVQSSAHDSIAIIPPPQSTKPGR GRRTAKSSANDLLASDIFASEPPGQMSPTGQPAVPQSNFLDLFKGNAPAPVGPLVGLGTV PVTPPQAGPWTPVVYSPSTTVVPGAIISGQPPSFGQPLVFGTTPAVQVWNQSPSFATPAS PPPPTVWCPTTSVAPNAWSSTSPLGNPFQSNNIFPPPTMSTQSSPQPMMSSVLATPPQPP PRNGPLKDIPSDAFTGLDPLGDKEVKEVKEMFKDFQLRQPPLVPSRKGETPPSGTSSAFS SYFNNKVGIPQEHVDHDDFDANQLLNKINEPPKPAPRQGVLLGTKSADNSLENPFSKGFS SSNPSVVSQPASSDPHRSPFGNPFA >ENSMUSP00000021287.5 pep:known chromosome:GRCm38:11:67924808:67965642:-1 gene:ENSMUSG00000020904.11 transcript:ENSMUST00000021287.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap52 description:cilia and flagella associated protein 52 [Source:MGI Symbol;Acc:MGI:1919110] MEEQVLPELDVAELELQAVIGFNGHVPNGLKCHPDQEHLIYPLGCTVLIQAINTNEQNFL HGHGNNVSCVTISKEGDYIASGQVTFMGFKADIILWDFKKRELIARLSLHKGKIEALAFS PNDLYLVSLGGPDDGSVVVWSIAKRDAICGSPAAGLNVGNATSVVFSRCRDEMFVTAGNG TIRVWELDLPNRKIWPTECQTGQMKRIVLSTGMADDDSFFYLGTTTGDILKMNPKTKLLA DTGPVKDKFSLGVSALRCLKMGGLLVGSGAGLLIFCKSPSYKPIKKVQLQGGITSITLRG EGHQFFVGTEESHIYRVNFTDFKETLIATCHFEAVQDIVFPFGTAELFATCAKKDIRVWH TMSKRELLRITVPNMTCHGIDFMRDGKSIISAWDDGKIRAFAPESGRLMYTINSAHRIGV TAIATTSDCKRIISGGGEGEVRVWQVGCQTQKLEEALKEHKSSVSCIRVKKNNEECVTAS TDGTCIIWDLVRLRRNQMILANTLFQCVCYHPEEFQIITSGTDRKIAYWEVFDGSVIREL EGSLSGSINGMDITQEGGHFVTGGHDHLVKVWDYNEGEVTHVGVGHSGNIMAMRISPGNQ YIVSVSADGAILRWKYPFAS >ENSMUSP00000116496.1 pep:known chromosome:GRCm38:11:67938807:67965651:-1 gene:ENSMUSG00000020904.11 transcript:ENSMUST00000126766.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap52 description:cilia and flagella associated protein 52 [Source:MGI Symbol;Acc:MGI:1919110] MEEQVLPELDVAELELQAVIGFNGHVPNGLKCHPDQEHLIYPLGCTVLIQAINTNEQNFL HGHGNNVSCVTISKEGDYIASGQVTFMGFKADIILWDFKKRELIARLSLHKGKIEALAFS PNDLYLVSLGGPDDGSVVVWSIAKRDAICGSPAAGLNVGNATSVVFSRCRDEMFVTAGNG TIRVWELDLPNRKIWPTECQTGQMKRIVLSTGMADDDSFFYLGTTTGDILKMNPKTKLLA DTGPVKDKFSLGVSALRCLKMGGLLVGSGAGLLIFCKSPSYKPIKKVQLQGGITSITLRG EGHQFFVGTEESHIYRVNFTDFKETLIATCHFEAVQDIVFPL >ENSMUSP00000006638.7 pep:known chromosome:GRCm38:2:25228898:25234364:-1 gene:ENSMUSG00000006469.13 transcript:ENSMUST00000006638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc34a3 description:solute carrier family 34 (sodium phosphate), member 3 [Source:MGI Symbol;Acc:MGI:2159410] MPNSLAGGQVPNPTLDAFDLVDRSLRNAGISGSIPGLEEGGTDPWTFSPLKNADQLKEVG MASRLRRVVSSFLKACGLLGSLYFFICSLDILSSAFQLLGSKMAGDIFKDNVVLSNPVAG LVIGVLVTVLVQSSSTSSSIVVSMVASKLLTVQVSVPIIMGVNVGTSITSTLVSMAQSGD RDEFQRAFSGSAVHGIFNWLTVLVLLPLESATAALERLSELALGAASLQPGQQAPDILKA LTRPFTHLIIQLDSSVITSGITSNTTNSSLIKHWCGFRGETPQGSSEGCGLFSSCTERNS SASPEEDRLLCHHLFAGSKLTDLAVGFILLAGSLLVLCVCLVLIVKLLNSVLKGRIAQAV KTVINADFPFPFGWLSGYLAILVGAGLTFLLQSSSVFTAAIVPLMGVGVIDLERAYPLFL GSNIGTTTTALLAALASPADMLIFAVQVALIHFFFNLAGILLWYLVPVLRLPIPLAKRFG NLTAQYRWVAIVYLLLTFLLLPLAAFGLSLAGGTVLAAVGGPLVGLVLLIILVNVLQQHR PSWLPRCLQSWAWLPLWLHSLEPWDRLVTACCPCRACSNSPMTSKVAHCYENPQVIASQQ L >ENSMUSP00000054840.6 pep:known chromosome:GRCm38:11:55049731:55078107:-1 gene:ENSMUSG00000049588.13 transcript:ENSMUST00000055040.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc69 description:coiled-coil domain containing 69 [Source:MGI Symbol;Acc:MGI:1196234] MGCRQSRHSRGKRAEKVEETQTELLEALDKEGRILEGRHEEAGQVPQTSNAQEKVSLSDC IQEAKASLQNTCASHVSPQEATQAKMNKVDGSILSRLYRNHIQDYGSPGPFWEQELESLH HVIEMKNERIHELEKQLFLLEMLKEKNLILALKNTTLRQEVEDLQFQAGNRLTMSRQLRK DLLQDLEKESQNGHCCSRRRSH >ENSMUSP00000104508.1 pep:known chromosome:GRCm38:11:55050730:55078131:-1 gene:ENSMUSG00000049588.13 transcript:ENSMUST00000108880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc69 description:coiled-coil domain containing 69 [Source:MGI Symbol;Acc:MGI:1196234] MGCRQSRHSRGKRAEKVEETQTELLEALDKEGRILEGRHEEAGQVPQTSNAQEKVSLSDC IQEAKASLQNTCASHVSPQEATQAKMNKVDGSILSRLYRNHIQDYGSPGPFWEQELESLH HVIEMKNERIHELEKQLFLLEMLKEKNLILALKNTTLRQEVEDLQFQAGNRLTMSR >ENSMUSP00000125805.2 pep:known chromosome:GRCm38:4:73668354:73672396:1 gene:ENSMUSG00000095048.7 transcript:ENSMUST00000171348.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11239 description:predicted gene 11239 [Source:MGI Symbol;Acc:MGI:3702319] MQREDNRVQSVRNDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGPWFDGHGNPPASYATSLCIGGAISLGPSFSPWTDPEIKIFLQEWQ VVEREFGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTMKDLHSTLSRERSRTVPL FSPYRDYLERIFDPKCQRGHVPGVQYNWSGYHRPSSNPQTPMVMPSPVYQPWDYGMAASS GQLPWIPLLIMSSQDLLVPRWDAWNATYPLPVQHVFQASLPGDNNFQLPWSPRDESSSPQ >ENSMUSP00000136176.1 pep:known chromosome:GRCm38:4:73669168:73672274:1 gene:ENSMUSG00000095048.7 transcript:ENSMUST00000179977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11239 description:predicted gene 11239 [Source:MGI Symbol;Acc:MGI:3702319] QREDNRVQSVRNDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDPG NKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILYE ILGHPRSQGGYVPGPWFDGHGNPPASYATSLCIGGAISLGPSFSPWTDPEIKIFLQEWQV VEREFGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTMKDLHSTLSRERSRTVPLF SPYRDYLERIFDPKCQRGHVPGVQYNWSGYHRPSSNPQTPMVMPSPVYQPWDYGMAASSG QLPWIPLLIMSSQDLLVPRWDAWNATYPLPVQHVFQASLPGDNNFQLPWSPRDESSSPQ >ENSMUSP00000096549.4 pep:known chromosome:GRCm38:8:4285382:4325413:-1 gene:ENSMUSG00000040028.10 transcript:ENSMUST00000098950.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl1 description:ELAV (embryonic lethal, abnormal vision)-like 1 (Hu antigen R) [Source:MGI Symbol;Acc:MGI:1100851] MSNGYEDHMAEDCRDDIGRTNLIVNYLPQNMTQEELRSLFSSIGEVESAKLIRDKVAGHS LGYGFVNYVTAKDAERAISTLNGLRLQSKTIKVSYARPSSEVIKDANLYISGLPRTMTQK DVEDMFSRFGRIINSRVLVDQTTGLSRGVAFIRFDKRSEAEEAITSFNGHKPPGSSEPIT VKFAANPNQNKNMALLSQLYHSPARRFGGPVHHQAQRFRFSPMGVDHMSGISGVNVPGNA SSGWCIFIYNLGQDADEGILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYEEAAM AIASLNGYRLGDKILQVSFKTNKSHK >ENSMUSP00000146866.1 pep:known chromosome:GRCm38:8:4288343:4312619:-1 gene:ENSMUSG00000040028.10 transcript:ENSMUST00000209010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl1 description:ELAV (embryonic lethal, abnormal vision)-like 1 (Hu antigen R) [Source:MGI Symbol;Acc:MGI:1100851] MSNGYEDHMAEDCRDDIGRTNLIVNYLPQNMTQEELRSLFSSIGEVESAKLIRDKVAGHS LGYGFVNYVTAKDAERAISTLNGLRLQSKTIKVSYARPSSEVIKDANLYISGLPRTMTQK DVEDMFSRFGRIINSRVLVDQTTGLSRGVAFIRFDKRSEAEEAITSFNGHKPPGSSEPIT VKFAANPNQNKNMALLSQLYHSPARRFGGPVHHQAQRFRFSPMGVDHMSGISGVNVPGNA SSGWCIFIYNLGQDADEGILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYEEAAM AIASLNGYRLGDKILQVSFKTNKSHK >ENSMUSP00000071387.5 pep:known chromosome:GRCm38:2:25403071:25409494:1 gene:ENSMUSG00000015094.16 transcript:ENSMUST00000071442.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npdc1 description:neural proliferation, differentiation and control 1 [Source:MGI Symbol;Acc:MGI:1099802] MATPVPPPSPRHLRLLRLLLSGLILGAALNGATARRPDATTCPGSLDCALKRRAKCPPGA HACGPCLQSFQEDQRGFCVPRKHLSSGEGLPQPRLEEEIDSLAQELALKEKEAGHSRLTA QPLLEAAQKLLEPAATLGFSQWGQRLEPGLPSTHGTSSPIPHTSLSSRASSGPVQMSPLE PQGRHGNGLTLVLILAFCLASSAALAVAALCWCRLQREIRLTQKADYAATAKGPTSPSTP RISPGDQRLAHSAEMYHYQHQRQQMLCLERHKEPPKELESASSDEENEDGDFTVYECPGL APTGEMEVRNPLFDHSTLSAPVPGPHSLPPLQ >ENSMUSP00000123386.1 pep:known chromosome:GRCm38:2:25403169:25407847:1 gene:ENSMUSG00000015094.16 transcript:ENSMUST00000154809.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npdc1 description:neural proliferation, differentiation and control 1 [Source:MGI Symbol;Acc:MGI:1099802] MATPVPPPSPRHLRLLRLLLSGLILGAALNGATARRPDATTCPGSLDCALKRRAKCPPGA HACGPCLQSFQEDQRGFCVPRKHLSSVVTMETKIVKLWMGPSISGGCLLQELALKEKEAG HSRLTAQPLLEAAQKLLEPAAT >ENSMUSP00000049602.6 pep:known chromosome:GRCm38:2:25403171:25409494:1 gene:ENSMUSG00000015094.16 transcript:ENSMUST00000055921.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npdc1 description:neural proliferation, differentiation and control 1 [Source:MGI Symbol;Acc:MGI:1099802] MATPVPPPSPRHLRLLRLLLSGLILGAALNGATARRPDATTCPGSLDCALKRRAKCPPGA HACGPCLQSFQEDQRGFCVPRKHLSSDPSVVTMETKIVKLWMGPSISGGCLLQELALKEK EAGHSRLTAQPLLEAAQKLLEPAATLGFSQWGQRLEPGLPSTHGTSSPIPHTSLSSRASS GPVQMSPLEPQGRHGNGLTLVLILAFCLASSAALAVAALCWCRLQREIRLTQKADYAATA KGPTSPSTPRISPGDQRLAHSAEMYHYQHQRQQMLCLERHKEPPKELESASSDEENEDGD FTVYECPGLAPTGEMEVRNPLFDHSTLSAPVPGPHSLPPLQ >ENSMUSP00000117773.1 pep:known chromosome:GRCm38:2:25406307:25409494:1 gene:ENSMUSG00000015094.16 transcript:ENSMUST00000133409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npdc1 description:neural proliferation, differentiation and control 1 [Source:MGI Symbol;Acc:MGI:1099802] SFQEDQRGFCVPRKHLSSGEGLPQPRLEEEIDSLAQELALKEKEAGHSRLTAQPLLEAAQ KLLEPAATLGFSQWGQRLEPGLPSTHGTSSPIPHTSLSSRASSGPVQMSPLEPQGRHGNG LTLGSSILPLSPTVLILAFCLASSAALAVAALCWCRLQREIRLTQKADYAATAKGPTSPS TPRISPGDQRLAHSAEMYHYQHQRQQMLCLERHKEPPKELESASSDEENEDGDFTVYECP GLAPTGEMEVRNPLFDHSTLSAPVPGPHSLPPLQ >ENSMUSP00000116275.1 pep:known chromosome:GRCm38:2:25403194:25408315:1 gene:ENSMUSG00000015094.16 transcript:ENSMUST00000141567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npdc1 description:neural proliferation, differentiation and control 1 [Source:MGI Symbol;Acc:MGI:1099802] MATPVPPPSPRHLRLLRLLLSGLILGAALNGATARRPDATTCPGSLDCALKRRAKCPPGA HACGPCLQSFQEDQRGFCVPRKHLSSGEGLPQPRLEEEIDSLAQELALKEKEAGHSRLTA QPLLEAAQKLLEPATLGFSQWGQRLEPGLPSTHGTSSPIPHTSLSSRASSGPVQMSPLEP QGRHGNGLTLVLILAFCLASSAALAVAALCWCRLQREIRLTQKADYAATAK >ENSMUSP00000104452.1 pep:known chromosome:GRCm38:11:58551305:58555636:-1 gene:ENSMUSG00000057654.5 transcript:ENSMUST00000108824.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr328 description:olfactory receptor 328 [Source:MGI Symbol;Acc:MGI:3030162] MSNYTGQFSDFTLVGFFSQSKHPALLAVVIFVVFLMALSGNALLILLILSDTHLHTPMYF FISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYLSIGGSEFLLLAAMSYD RYVAICHPLRYPVLMNHRICLLLLSVCWLLGSLDGFMLTPVTMTFPICGSREIHHFFCEV PAVTKLSCSDTWLYETLMYVCCVLMILIPVTVISGSYSSILLTVLKMNSAEGRKKALATC SSHMTVVTLFYGAAVYTYMLPASLHTPEKDMVVSVFYTIVTPLLNPLIYSFRNKNVTEAM KKLLGVSIPH >ENSMUSP00000073588.1 pep:known chromosome:GRCm38:11:58551305:58552237:-1 gene:ENSMUSG00000057654.5 transcript:ENSMUST00000073933.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr328 description:olfactory receptor 328 [Source:MGI Symbol;Acc:MGI:3030162] MSNYTGQFSDFTLVGFFSQSKHPALLAVVIFVVFLMALSGNALLILLILSDTHLHTPMYF FISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYLSIGGSEFLLLAAMSYD RYVAICHPLRYPVLMNHRICLLLLSVCWLLGSLDGFMLTPVTMTFPICGSREIHHFFCEV PAVTKLSCSDTWLYETLMYVCCVLMILIPVTVISGSYSSILLTVLKMNSAEGRKKALATC SSHMTVVTLFYGAAVYTYMLPASLHTPEKDMVVSVFYTIVTPLLNPLIYSFRNKNVTEAM KKLLGVSIPH >ENSMUSP00000055870.2 pep:known chromosome:GRCm38:13:21346910:21347964:1 gene:ENSMUSG00000045508.4 transcript:ENSMUST00000059216.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1367 description:olfactory receptor 1367 [Source:MGI Symbol;Acc:MGI:3031201] MSVNRISADFPEDFILMGFTKYPWLDLPLFFVLLTSYMFTLLGNIAIILVSQLDSQLQSP MYFFLTSLSFLDLCFTTTTVPQMLFNLQGPNKNITYIGCMAQAYVFHWLGCTECVLLGIM ALDRYVAVCKPLRYSVIMDHRLCLQLSGAAWLTGLANSLLQSTLTIQLPLCGNRMLDHFF CELPGLIKMSCGDTTVNEVTLAVVATFFIMGPLSMILVSYSYIAQTVFRMPSAAGRLKAF NTCSSHLLVVSLFYGPGIYIYMQPSEDGSQDLIKVLTLFYCVITPMANPFIYTLRNKDVI GALKRLLRKAISTKGI >ENSMUSP00000021288.3 pep:known chromosome:GRCm38:11:67854523:67922153:-1 gene:ENSMUSG00000020905.11 transcript:ENSMUST00000021288.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp43 description:ubiquitin specific peptidase 43 [Source:MGI Symbol;Acc:MGI:2444541] MDPGVGNALGEGPPAPRPRRRRSLRRLLNRFLLALGSRSRSGDSPPRPPAQPSPYDGDGE GGFACAPGPAPASAGSPGPDRPPGSQPQISSGDGARPPGAQGLKNHGNTCFMNAVVQCLS NTDLLAEFLALGRYRAAPGRAEVTEQLAALVRALWTREYTPQLSAEFKNAVSKYGSQFQG NSQHDALEFLLWLLDRVHEDLEGSAHGLVSEQLPPEVSKISEDLRPSAAPTSLGPSFVQS HFQAQYRSSLTCPHCLKQSNTFDPFLCVSLPIPLRQTRFLSVTLVFPSKSQRFLRVGLAV PILSTVAALRKMVAEEGGVPAEEVILVELYPNGFQRSFFDEEDLNTIAEGDNVYAFQVPP SPGLGTLSAHPSGLSVSPRLPVRDSQRFSGPLHSENRVVFLFCNLVGSGQQASRFGPPFL IREDRTISWAQLQQCILSKVRCLMRSEVSAQDLGTLFSIRVVGLSLACSYLSPKDNRPLC HWAVDRALHLRRPGGPPHVKLAVEWDSSVTERLFGSLQEERVQDADSVWRQQQAHQQPSC TLDECFQSYTKEEQLAQDDAWKCPHCQVLQQGVVKLSLWTLPDILIIHLKRFCQVGERRN KLSTLVKFPLSGLNMAPHVARRSTNSKAGPGPWSSWKQPICLPTTYPLDFLYDLYAVCNH HGSLQGGHYTAYCRNSLDGQWYSYDDSTVEALREDEVNTRGAYILFYQKRNSIPPWSASS SMRGSTSSSLSDHWLMRLGSLNNSTRGSLLSWSSAPCPSMARVPDSPVFTNGVCHQDKGG VETRPLVRGVGGRSISMKASPASRSRHGPFKTMPLRWSFGHREKRPGASVELVEYLESRR RPRSTSQSIVPLLTRAAGGEETSASPRSDGTLPAKSEDSGRAIGQGTTGVPLSSCHLNHH PALGSLDDSLHTARTRTGNVSQDIRLPKKFDLPLTVMPSVGDEKPARPEGQKMTPWKGSS QVGSQSSPPSPSTGLLRNFKDSGPGTLPKMKSKAAMEERAPDKDRGQGTFTLLKSVFWKK EHKRTVRTESSPPAPPISLGSDRLSPAAMNEQALRIRESPAKGLGNHMERDIRSAPSSLH LPRKASRPPRASTAGTSQRTIPGEQISYGTLQRVKYHTLSLGRKKSLPESSF >ENSMUSP00000104317.1 pep:known chromosome:GRCm38:11:67854523:67922153:-1 gene:ENSMUSG00000020905.11 transcript:ENSMUST00000108677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp43 description:ubiquitin specific peptidase 43 [Source:MGI Symbol;Acc:MGI:2444541] MDPGVGNALGEGPPAPRPRRRRSLRRLLNRFLLALGSRSRSGDSPPRPPAQPSPYDGDGE GGFACAPGPAPASAGSPGPDRPPGSQPQISSGDGARPPGAQGLKNHGNTCFMNAVVQCLS NTDLLAEFLALGRYRAAPGRAEVTEQLAALVRALWTREYTPQLSAEFKNAVSKYGSQFQG NSQHDALEFLLWLLDRVHEDLEGSAHGLVSEQLPPEVSKISEDLRPSAAPTSLGPSFVQS HFQAQYRSSLTCPHCLKQSNTFDPFLCVSLPIPLRQTRFLSVTLVFPSKSQRFLRVGLAV PILSTVAALRKMVAEEGGVPAEEVILVELYPNGFQRSFFDEEDLNTIAEGDNVYAFQVPP SPGLGTLSAHPSGLSVSPRLPVRDSQRFSGPLHSENRVVFLFCNLVGSGQQASRFGPPFL IREDRTISWAQLQQCILSKVRCLMRSEVSAQDLGTLFSIRVVGLSLACSYLSPKDNRPLC HWAVDRALHLRRPGGPPHVKLAVEWDSSVTERLFGSLQEERVQDADSVWRQQQAHQQPSC TLDECFQSYTKEEQLAQDDAWKCPHCQVLQQGVVKLSLWTLPDILIIHLKRFCQVGERRN KLSTLVKFPLSGLNMAPHVARRSTNSKAGPGPWSSWKQPICLPTTYPLDFLYDLYAVCNH HGSLQAYCRNSLDGQWYSYDDSTVEALREDEVNTRGAYILFYQKRNSIPPWSASSSMRGS TSSSLSDHWLMRLGSLNNSTRGSLLSWSSAPCPSMARVPDSPVFTNGVCHQDKGGVETRP LVRGVGGRSISMKASPASRSRHGPFKTMPLRWSFGHREKRPGASVELVEYLESRRRPRST SQSIVPLLTRAAGGEETSASPRSDGTLPAKSEDSGRAIGQGTTGVPLSSCHLNHHPALGS LDDSLHTARTRTGNVSQDIRLPKKFDLPLTVMPSVGDEKPARPEGQKMTPWKGSSQVGSQ SSPPSPSTGLLRNFKDSGPGTLPKMKSKAAMEERAPDKDRGQGTFTLLKSVFWKKEHKRT VRTESSPPAPPISLGSDRLSPAAMNEQALRIRESPAKGLGNHMERDIRSAPSSLHLPRKA SRPPRASTAGTSQRTIPGEQISYGTLQRVKYHTLSLGRKKSLPESSF >ENSMUSP00000140747.1 pep:known chromosome:GRCm38:8:4325210:4359998:1 gene:ENSMUSG00000023235.14 transcript:ENSMUST00000155797.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccl25 description:chemokine (C-C motif) ligand 25 [Source:MGI Symbol;Acc:MGI:1099448] MAAERCTFAYQVGPVAFHGFSSPTLPLDHEEAEGKSSGFHLQWFLKGQEEKCL >ENSMUSP00000064658.10 pep:known chromosome:GRCm38:8:4325227:4348788:1 gene:ENSMUSG00000023235.14 transcript:ENSMUST00000069762.15 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Ccl25 description:chemokine (C-C motif) ligand 25 [Source:MGI Symbol;Acc:MGI:1099448] MAAERCTFAYQVGPVAFHGFSSPTLPLDHEEAEGKSSGFHLQWFLKGQEEKQQTLSPGSW LHIPNQNITFFPVLWLQTVLLEWLSFRITHCPTESVPFQTGSWLKEFAAKLDDLTLIPSS TDSAKLPPDHHGSHVPSLPNKYNFKS >ENSMUSP00000096548.4 pep:known chromosome:GRCm38:8:4325230:4348788:1 gene:ENSMUSG00000023235.14 transcript:ENSMUST00000098949.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccl25 description:chemokine (C-C motif) ligand 25 [Source:MGI Symbol;Acc:MGI:1099448] MAAERCTFAYQVGPVAFHGFSSPTLPLDHEEAEGKSSGFHLQWFLKGQEENRFWFATLRL QACSHAEQRPNPASPTQIQKPRNLRSSWI >ENSMUSP00000120719.2 pep:known chromosome:GRCm38:8:4325233:4359654:1 gene:ENSMUSG00000023235.14 transcript:ENSMUST00000127460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl25 description:chemokine (C-C motif) ligand 25 [Source:MGI Symbol;Acc:MGI:1099448] MAAERCTFAYQVGPVAFHGFSSPTLPLDHEEAEGKSSGFHLQWFLKGQEEKQYTLQAGTS SQDQKGIGGPLKPSGIWRGDLTFTMKLWLFACLVACFVGAWMPVVHAQGAFEDCCLGYQH RIKWNVLRHARNYHQQEVSGSCNLRAVRFYFRQKVVCGNPEDMNVKRAMRILTARKRLVH WKSASDSQTERKKSNHMKSKVENPNSTSVRSATLGHPRMVMMPRKTNN >ENSMUSP00000117515.2 pep:known chromosome:GRCm38:8:4325236:4354097:1 gene:ENSMUSG00000023235.14 transcript:ENSMUST00000136191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl25 description:chemokine (C-C motif) ligand 25 [Source:MGI Symbol;Acc:MGI:1099448] MAAERCTFAYQVGPVAFHGFSSPTLPLDHEEAEGKSSGPLKPSGIWRGDLTFTMKLWLFA CLVACFVGAWMPVVHAQGAFEDCCLGYQHRIKWNVLRHARNYHQQEVSGSCNLRAVRFY >ENSMUSP00000106610.1 pep:known chromosome:GRCm38:8:4349588:4357993:1 gene:ENSMUSG00000023235.14 transcript:ENSMUST00000110982.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl25 description:chemokine (C-C motif) ligand 25 [Source:MGI Symbol;Acc:MGI:1099448] MKLWLFACLVACFVGAWMPVVHAQGAFEDCCLGYQHRIKWNVLRHARNYHQQEVSGSCNL RAVRFYFRQKVVCGNPEDMNVKRAMRILTARKRLVHWKSASDSQTERKKSNHMKSKVENP NSTSVRSATLGHPR >ENSMUSP00000024004.7 pep:known chromosome:GRCm38:8:4349588:4360020:1 gene:ENSMUSG00000023235.14 transcript:ENSMUST00000024004.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccl25 description:chemokine (C-C motif) ligand 25 [Source:MGI Symbol;Acc:MGI:1099448] MKLWLFACLVACFVGAWMPVVHAQGAFEDCCLGYQHRIKWNVLRHARNYHQQEVSGSCNL RAVRFYFRQKVVCGNPEDMNVKRAMRILTARKRLVHWKSASDSQTERKKSNHMKSKVENP NSTSVRSATLGHPRMVMMPRKTNN >ENSMUSP00000048770.6 pep:known chromosome:GRCm38:4:63544772:63550750:1 gene:ENSMUSG00000039105.6 transcript:ENSMUST00000035301.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v1g1 description:ATPase, H+ transporting, lysosomal V1 subunit G1 [Source:MGI Symbol;Acc:MGI:1913540] MASQSQGIQQLLQAEKRAAEKVSEARKRKNRRLKQAKEEAQAEIEQYRLQREKEFKAKEA AALGSHGSCSSEVEKETREKMTVLQNYFEQNRDEVLDNLLAFVCDIRPEIHENYRING >ENSMUSP00000103167.1 pep:known chromosome:GRCm38:3:88368616:88372743:-1 gene:ENSMUSG00000074489.9 transcript:ENSMUST00000107543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bglap3 description:bone gamma-carboxyglutamate protein 3 [Source:MGI Symbol;Acc:MGI:88155] MRTLSLLTLLALAALCLSDLTDATPTGPESDKAFMSKQEGNKVVNRLRRYLGASVPSPDP LEPTRELCELDPACDELSNQYGLKTAYRRIYGITI >ENSMUSP00000074965.4 pep:known chromosome:GRCm38:3:88368622:88369831:-1 gene:ENSMUSG00000074489.9 transcript:ENSMUST00000075523.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bglap3 description:bone gamma-carboxyglutamate protein 3 [Source:MGI Symbol;Acc:MGI:88155] MRTLSLLTLLALAALCLSDLTDATPTGPESDKAFMSKQEGNKVVNRLRRYLGASVPSPDP LEPTRELCELDPACDELSNQYGLKTAYRRIYGITI >ENSMUSP00000103166.1 pep:known chromosome:GRCm38:3:88368622:88372743:-1 gene:ENSMUSG00000074489.9 transcript:ENSMUST00000107542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bglap3 description:bone gamma-carboxyglutamate protein 3 [Source:MGI Symbol;Acc:MGI:88155] MRTLSLLTLLALAALCLSDLTDATPTGPESDKAFMSKQEGNKVVNRLRRYLGASVPSPDP LEPTRELCELDPACDELSNQYGLKTAYRRIYGITI >ENSMUSP00000025273.8 pep:known chromosome:GRCm38:17:35533201:35534647:1 gene:ENSMUSG00000024409.8 transcript:ENSMUST00000025273.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psors1c2 description:psoriasis susceptibility 1 candidate 2 (human) [Source:MGI Symbol;Acc:MGI:1930025] MMLTWKLLGLLVLCLCAGGISGNGDPSPGSTDTHEEEDSPPLPLGPPIPGDPWPGAPPLF DEPPPPGSNRPWRDLPDSGAWPPKPPSTDPPKPPLPDDPWPAGTQPPENPWPPAPEMDHE SQEEPDLDPPQEEYR >ENSMUSP00000139843.1 pep:known chromosome:GRCm38:Y:9131568:9133924:-1 gene:ENSMUSG00000091077.3 transcript:ENSMUST00000190329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21292 description:predicted gene, 21292 [Source:MGI Symbol;Acc:MGI:5434647] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPANPSLYLVKYDG IDSVYGQELHSDERILNLKVFPHKVVFLQVRDVHLASALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000131393.2 pep:known chromosome:GRCm38:Y:9130999:9132561:-1 gene:ENSMUSG00000091077.3 transcript:ENSMUST00000171947.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21292 description:predicted gene, 21292 [Source:MGI Symbol;Acc:MGI:5434647] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPANPSLYLVKYDG IDSVYGQELHSDERILNLKVFPHKVVFLQVRDVHLASALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000058904.6 pep:known chromosome:GRCm38:13:21362827:21369824:1 gene:ENSMUSG00000036721.13 transcript:ENSMUST00000053293.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan12 description:zinc finger and SCAN domain containing 12 [Source:MGI Symbol;Acc:MGI:1099444] MTSTSDTKVCKNQGGLLEIKMEEECKYTTRQDRNLQKNTYNRDVFRKYFRQFCYQETSGP REALSRLRELCRQWLRPDLNSKEQILELLVLEQFLTILPGELQAWVQEQNPESVEEVVTV LEDLERELDELGYRASVQTEEQVTFQEVKPLATEQKPSVSLQFVKAKPGCELAGREAQEE QVSGVETGNEPRNVTLKQGLWEGTEAEQNPASRLAKDALECEEAHNPGEESSGISHEDSQ PLRNENGVNSPANSEYAKHQSICPGRKVHGCDECGKSFTQHSRLIEHKRVHTGDRPYKCE VCGKTFRWRTVLIRHKVVHTGEKPYKCNECGRAFGQWSALNQHQRLHSGEKHYHCNECGK AFCQKAGLFHHLKSHRRNRPYQCLQCNKSFNRRSTLSQHQGVHTGAKPYECNDCGKAFVY NSSLATHQETHHKEKPFTQSGPIQQQRNHTKEKPYKCSVCGKAFIQKISLIEHEQIHTGE RPYKCAEGGKAFIQMSELTEH >ENSMUSP00000097308.2 pep:known chromosome:GRCm38:13:21363285:21369824:1 gene:ENSMUSG00000036721.13 transcript:ENSMUST00000099720.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan12 description:zinc finger and SCAN domain containing 12 [Source:MGI Symbol;Acc:MGI:1099444] MTSTSDTKVCKNQGGLLEIKMEEECKYTTRQDRNLQKNTYNRDVFRKYFRQFCYQETSGP REALSRLRELCRQWLRPDLNSKEQILELLVLEQFLTILPGELQAWVQEQNPESVEEVVTV LEDLERELDELGYRASVQTEEQVTFQEVKPLATEQKPSVSLQFVKAKPGCELAGREAQEE QVSGVETGNEPRNVTLKQGLWEGTEAEQNPASRLAKDALECEEAHNPGEESSGISHEDSQ PLRNENGVNSPANSEYAKHQSICPGRKVHGCDECGKSFTQHSRLIEHKRVHTGDRPYKCE VCGKTFRWRTVLIRHKVVHTGEKPYKCNECGRAFGQWSALNQHQRLHSGEKHYHCNECGK AFCQKAGLFHHLKSHRRNRPYQCLQCNKSFNRRSTLSQHQGVHTGAKPYECNDCGKAFVY NSSLATHQETHHKEKPFTQSGPIQQQRNHTKEKPYKCSVCGKAFIQKISLIEHEQIHTGE RPYKCAEGGKAFIQMSELTEH >ENSMUSP00000116869.2 pep:known chromosome:GRCm38:2:15526479:16255555:1 gene:ENSMUSG00000075520.5 transcript:ENSMUST00000146205.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Malrd1 description:MAM and LDL receptor class A domain containing 1 [Source:MGI Symbol;Acc:MGI:1928271] MFLPKAAVSAFSMHGSLCFLWTVCLSISPLSQQGVQAFQCSNGVSLPSDYVCDFTDHCGD NSEEQQCWSYGRCNFEDRLCSMTEDQTLQPGWTRRSGIISNSPPFWDHNGNISAHFLALV SRVDSISSNLRSRIFLPTNDQQVCQITFYNFSSNQNGKLIAGLQTLCGDPIEHLWQKTEI LQSRWERNVITVQSSQQFQVIFQAQMLATHGQEEVIAIDDISFSSGCLPADVCQTCGFDL DTCGLATEASAGRTSWMCTKVREIPSLDSVPWQDQRGHDEGSFVWMRAGHASVSRLVESS AYLNSSVCHCMDGNCRLQFNYTMENSILRVRLYNDKEEKKTWTFNTSTYSTWMKADVLIP EDLKAFKVVLEGTVLSQKSFIGIDQLLVYNFGQTHSQKLCSVNEYTPASRQCLTHSSVCD SGMDHSNGIDEDSEACASLLTWDFESGFCGWEPFPTEDSHWEVVRGLSNGEHLFLEAGHT VSRNQGSFIYFGPQKSTAVARLGSPILTKSLTTFTPCQVRFWYHLSEHSSLSVFTRTSVD GSLLKQSEVTQFSDSQWSQAKVDLHAKAEESTLPFQLVLEATILSSNATVAVDDISISHE CEISYKSLRSTSIQNKVADCDFEANSCGWFEASGGDHFDWVWSSQSNLSADLEQQAPPRD HTHGTAQGHFMFILKTSNSLFQTAKLQSPTFSQTGPGCTMSFWFYNYGLSVGAAELQLHL ENSRDTTALWRVLYNQGNQWSEATVQLGRLTQPFYLSLEKVSLAVYSGVSAVDDIHFENC ALPPPVESCDEPDHFWCRQTKACIGRHQLCDLVDDCGDYTDETGCAPGLQCNFENGICNW EQSTKDDFNWTRYQGPTSTMNTGPMKDHTLGTAKGHYLYIETSGPQGFQDKAVLLSPILN ATEAKVCTFRLYYHMFGKHIYRLAIYQRIWSNTKGQLLWQIFGDQGNRWIRKDLSITSRK PFQILIMASVGDGFTGDIAIDDLSFMDCTLYPDNLPMDIPSPPETSVPVTLPPNNCTDDQ FVCRSNGHCVGNIQKCDFRYDCIDKSDESSCVLEVCTFEERKLCKWYQPIPANSLHDSNT FRWGLGNGISIHHGEENHRPSVDHTKNTTDGWYLYADSSNGKFGDLADIVTPVISLMGPR CTLVFWTYMNGATVGSLQVLIKMGNTISKVWAQSGQQGPQWKKAEVFLGIHSHVEIVFRA KRGVSYIGDVAVDDVSFQNCSPLLSTNRKCTTDEFMCANKHCIEKDKLCDFVNDCADNSD ETTFICGTSSGRCDFEFDLCTWEQDQDEDIDWNLKASNIPATSTEPAVDHTLGNSSGHYI ILKSFFPQQPVKTGRISSPVISKRSKDCKIIFNYHMYGSGIAALLLLQVTVTNHTRVLLN LTKEQGNFWQRKELSLSSDEDFRVKFEGRVGEGIRGNIALDDIVLTKSCLPSHHSTREEP AFPLPTGFCPRGYEECQNGRCYSPEQRCNFVDDCGDNSDENECGGSCTFEKGWCGWKNSL AENSDWVLGIGSYKSQRPPKDHTLGNEHGHFMYLEATPVGLHGDKAHFKSATWQESSAAC TMSFWYFISAKATGSIQILIKTDKGLWEVWQQSKPDPGNHWRRATILLGKLRNFEVIFQG IRTRDLGGGAAIDDIEFNNCTTVGETTDICSEETDFLCQDKKCIASHLVCDYKPDCSDTS DEAHCGYYTSTAGSCNFETTSGDWTVECGLTQDPEDDLDWSIGSIIPTEGLSRDSDHTPG SGRHFLYVNTSLAEEGSTARIITSHFFPASLGICTVRFWFYMVDPHIVGILKVYLIEKSG LNILMWSMMRNKNTGWTYAHVPLSSNSPFKVAFEADLGGKEDIFIALDDITFTPTCASGG PALPQPPLCEEGQFACIYALQCVSASEKCDGQEDCIDGSDEMNCSLGPSPQPCSDTEFQC FESQCIPSLLLCDGVADCQFNEDESSCVNQSCPSGALACNSSGLCIPAHQRCDGTAHCKD IQVDESSCSECPIHYCRNGGTCVIENIGPTCRCVQGWTGNRCHIRSNLSTEGSVHTQNYI WTLLGIGLGFLLTHIAVAILCSLGIRRRPMRKSEGVGNHSFINPVYRNCINQEKTQSSIY SFPNPFYGAASGSLETVSHHLKS >ENSMUSP00000078158.6 pep:known chromosome:GRCm38:16:20331303:20426394:-1 gene:ENSMUSG00000022822.15 transcript:ENSMUST00000079158.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc5 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 5 [Source:MGI Symbol;Acc:MGI:1351644] MKDIDMGKEYIIPSPGYRSDRDRSAVPGQHRDPEEPRFRRTRSLECQDALETAARVEGLS LDISVHSHLQILDEEHSKGKYHHGLSVLKPFRTTTKHQHPVDNAGLFSYMTFSWLSPLAR VVHKKGELLMEDVWPLSKYESSDVNSRRLERLWQEELNEVGPDAASLRRVVWIFCRTRLI LSIVCLMITQLAGFSGPAFVVKHLLEYTQATESNLQYSLLLVLGLLLTEVVRSWSLALTW ALNYRTGVRLRGAILTMAFKKILKLKNIKEKSLGELINICSNDGQRMFEAAAVGSLLAGG PVVAILGMIYNVIILGPTGFLGSAVFILFYPAMMFVSRLTAYFRRKCVAATDDRVQKMNE VLTYIKFIKMYAWVKAFSQCVQKIREEERRILEKAGYFQSITVGVAPIVVVIASVVTFSV HMTLGFHLTAAQAFTVVTVFNSMTFALKVTPFSVKSLSEASVAVDRFKSLFLMEEVHMIK NKPASPHIKIEMKNATLAWDSSHSSIQNSPKLTPKMKKDKRATRGKKEKSRQLQHTEHQA VLAEQKGHLLLDSDERPSPEEEEGKQIHTGSLRLQRTLYNIDLEIEEGKLVGICGSVGSG KTSLVSAILGQMTLLEGSIAVSGTFAYVAQQAWILNATLRDNILFGKEFDEERYNSVLNS CCLRPDLAILPNSDLTEIGERGANLSGGQRQRISLARALYSDRSIYILDDPLSALDAHVG NHIFNSAIRKRLKSKTVLFVTHQLQYLVDCDEVIFMKEGCITERGTHEELMNLNGDYATI FNNLLLGETPPVEINSKKEATGSQKSQDKGPKPGSVKKEKAVKSEEGQLVQVEEKGQGSV PWSVYWVYIQAAGGPLAFLVIMVLFMLNVGSTAFSTWWLSYWIKQGSGNSTVYQGNRSFV SDSMKDNPFMQYYASIYALSMAVMLILKAIRGVVFVKGTLRASSRLHDELFRRILRSPMK FFDTTPTGRILNRFSKDMDEVDVRLPFQAEMFIQNVILVFFCVGMIAGVFPWFLVAVGPL LILFSLLHIVSRVLIRELKRLDNITQSPFLSHITSSIQGLATIHAYNKRQEFLHRYQELL DDNQAPFFLFTCAMRWLAVRLDLISIALITTTGLMIVLMHGQIPSAYAGLAISYAVQLTG LFQFTVRLASETEARFTSVERINHYIKTLSLEAPARIKNKAPPHDWPQEGEVTFENAEMR YRENLPLVLKKVSFTIKPKEKIGIVGRTGSGKSSLGMALFRLVELSGGCIKIDGIRISDI GLADLRSKLAIIPQEPVLFSGTVRSNLDPFNQYTEDQIWDALERTHMKECIAQLPLKLES EVMENGDNFSVGERQLLCIARALLRHCKILILDEATAAMDTETDLLIQETIREAFADCTM LTIAHRLHTVLGSDRIMVLAQGQVVEFDTPSVLLSNDSSRFYAMFAAAENKVAVKG >ENSMUSP00000093913.4 pep:known chromosome:GRCm38:16:20400761:20426354:-1 gene:ENSMUSG00000022822.15 transcript:ENSMUST00000096199.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc5 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 5 [Source:MGI Symbol;Acc:MGI:1351644] MKDIDMGKEYIIPSPGYRSDRDRSAVPGQHRDPEEPRFRRTRSLECQDALETAARVEGLS LDISVHSHLQILDEEHSKGKYHHGLSVLKPFRTTTKHQHPVDNAGLFSYMTFSWLSPLAR VVHKKGELLMEDVWPLSKYESSDVNSRRLERLWQEELNEVGPDAASLRRVVWIFCRTRLI LSIVCLMITQLAGFSGPNFQDGCILRSE >ENSMUSP00000077031.3 pep:known chromosome:GRCm38:16:20392675:20425986:-1 gene:ENSMUSG00000022822.15 transcript:ENSMUST00000077867.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc5 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 5 [Source:MGI Symbol;Acc:MGI:1351644] MKDIDMGKEYIIPSPGYRSDRDRSAVPGQHRDPEEPRFRRTRSLECQDALETAARVEGLS LDISVHSHLQILDEEHSKGKYHHGLSVLKPFRTTTKHQHPVDNAGLFSYMTFSWLSPLAR VVHKKGELLMEDVWPLSKYESSDVNSRRLERLWQEELNEVGPDAASLRRVVWIFCRTRLI LSIVCLMITQLAGFSGPAFVVKHLLEYTQATESNLQYSLLLVLGLLLTEVVRSWSLALTW ALNYRTGVRLRGAILTMAFKKILKLKNIKEKSLGELINICSNDGQRMFEAAAVGSLLAGG PVVAILGMIYNVIILGPTGFLGSAVFILFYPAMMFVSRLTAYFRRKCVAATDDRVQKMNE VLTYIKFIKMYAWVKAFSQCVQKIREEERRILEKAGYFQSITVGVAPIVVVIASVVTFSV HMTLGFHLTAAQAFTVVTVFNSMTFALKVTPFSVKSLSEASVAVDRFKSLFLMEEVHMIK NKPASPHIKIEMKNATLAWDSSHSSIQNSPKL >ENSMUSP00000111209.2 pep:known chromosome:GRCm38:16:20331306:20426335:-1 gene:ENSMUSG00000022822.15 transcript:ENSMUST00000115547.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc5 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 5 [Source:MGI Symbol;Acc:MGI:1351644] MKDIDMGKEYIIPSPGYRSDRDRSAVPGQHRDPEEPRFRRTRSLECQDALETAARVEGLS LDISVHSHLQILDEEHSKGKYHHGLSVLKPFRTTTKHQHPVDNAGLFSYMTFSWLSPLAR VVHKKGELLMEDVWPLSKYESSDVNSRRLERLWQEELNEVGPDAASLRRVVWIFCRTRLI LSIVCLMITQLAGFSGPAFVVKHLLEYTQATESNLQYSLLLVLGLLLTEVVRSWSLALTW ALNYRTGVRLRGAILTMAFKKILKLKNIKEKSLGELINICSNDGQRMFEAAAVGSLLAGG PVVAILGMIYNVIILGPTGFLGSAVFILFYPAMMFVSRLTAYFRRKCVAATDDRVQKMNE VLTYIKFIKMYAWVKAFSQCVQKIREEERRILEKAGYFQSITVGVAPIVVVIASVVTFSV HMTLGFHLTAAQAFTVVTVFNSMTFALKVTPFSVKSLSEASVAVDRFKSLFLMEEVHMIK NKPASPHIKIEMKNATLAWDSSHSSIQNSPKLTPKMKKDKRATRGKKEKSRQLQHTEHQA VLAEQKGHLLLDSDERPSPEEEEGKQIHTGSLRLQRTLYNIDLEIEEGKLVGICGSVGSG KTSLVSAILGQMTLLEGSIAVSGTFAYVAQQAWILNATLRDNILFGKEFDEERYNSVLNS CCLRPDLAILPNSDLTEIGERGANLSGGQRQRISLARALYSDRSIYILDDPLSALDAHVG NHIFNSAIRKRLKSKTVLFVTHQLQYLVDCDEVIFMKEGCITERGTHEELMNLNGDYATI FNNLLLGETPPVEINSKKEATGSQKSQDKGPKPGSVKKEKAVKSEEGQLVQVEEKGQGSV PWSVYWVYIQAAGGPLAFLVIMVLFMLNVGSTAFSTWWLSYWIKQGSGNSTVYQGNRSFV SDSMKDNPFMQYYASIYALSMAVMLILKAIRGVVFVKGTLRASSRLHDELFRRILRSPMK FFDTTPTGRILNRFSKDMDEVDVRLPFQAEMFIQNVILVFFCVGMIAGVFPWFLVAVGPL LILFSLLHIVSRVLIRELKRLDNITQSPFLSHITSSIQGLATIHAYNKRQEFLHRYQELL DDNQAPFFLFTCAMRWLAVRLDLISIALITTTGLMIVLMHGQIPSAYAGLAISYAVQLTG LFQFTVRLASETEARFTSVERINHYIKTLSLEAPARIKNKAPPHDWPQEGEVTFENAEMR YRENLPLVLKKVSFTIKPKEKIGIVGRTGSGKSSLGMALFRLVELSGGCIKIDGIRISDI GLADLRSKLAIIPQEPVLFSGTVRSNLDPFNQYTEDQIWDALERTHMKECIAQLPLKLES EVMENGDNFSVGERQLLCIARALLRHCKILILDEATAAMDTETDLLIQETIREAFADCTM LTIAHRLHTVLGSDRIMVLAQGQVVEFDTPSVLLSNDSSRFYAMFAAAENKVAVKG >ENSMUSP00000000608.7 pep:known chromosome:GRCm38:11:55098115:55113029:1 gene:ENSMUSG00000000594.7 transcript:ENSMUST00000000608.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2a description:GM2 ganglioside activator protein [Source:MGI Symbol;Acc:MGI:95762] MHRLPLLLLLGLLLAGSVAPARLVPKRLSQLGGFSWDNCDEGKDPAVIKSLTIQPDPIVV PGDVVVSLEGKTSVPLTAPQKVELTVEKEVAGFWVKIPCVEQLGSCSYENICDLIDEYIP PGESCPEPLHTYGLPCHCPFKEGTYSLPTSNFTVPDLELPSWLSTGNYRIQSILSSGGKR LGCIKIAASLKGR >ENSMUSP00000076899.3 pep:known chromosome:GRCm38:4:62147932:62150863:-1 gene:ENSMUSG00000066153.2 transcript:ENSMUST00000077719.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup21 description:major urinary protein 21 [Source:MGI Symbol;Acc:MGI:3650630] MKLLLLLLCLGLTIVCIQAEEYSSMGRNFNVEQISGYWFSIAEASDEREKIEEHGSMRAF VENITVLENSLVFKFHFIVNEECTEMTLIGEETEKAGIYYLNYDGFNTFTILKTDYDNYI MIYLINEKDGETFQLMELYGREPYLSLDIKEKFAKLCEEHGIIRENIIDLTNVNRCLEAR E >ENSMUSP00000137768.1 pep:known chromosome:GRCm38:11:67037905:67052594:-1 gene:ENSMUSG00000020910.16 transcript:ENSMUST00000146338.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adprm description:ADP-ribose/CDP-alcohol diphosphatase, manganese dependent [Source:MGI Symbol;Acc:MGI:1913608] MADKLVPSSPADASEPLFSFGVIADIQYADLEDGYNYQRSRRRYYRHSLIHLQGAIEDWN KESSMPCCVLQLGDIIDGYNAQYKVSEKSLELVMNTFQMLKVPVHHTWGNHEFYNFSRDY LASSKLNSKFLEDQIAQHPETTPSENYYAYHFVPFPKFRFILLDSYDLSVLGIDPSSPKY EQCMKMLREHNPNVELNSPQGELLL >ENSMUSP00000112064.1 pep:known chromosome:GRCm38:11:67038041:67052570:-1 gene:ENSMUSG00000020910.16 transcript:ENSMUST00000116363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adprm description:ADP-ribose/CDP-alcohol diphosphatase, manganese dependent [Source:MGI Symbol;Acc:MGI:1913608] MADKLVPSSPADASEPLFSFGVIADIQYADLEDGYNYQRSRRRYYRHSLIHLQGAIEDWN KESSMPCCVLQLGDIIDGYNAQYKVSEKSLELVMNTFQMLKVPVHHTWGNHEFYNFSRDY LASSKLNSKFLEDQIAQHPETTPSENYYAYHFVPFPKFRFILLDSYDLSVLGIDPSSPKY EQCMKMLREHNPNVELNSPQGLSEPQYVQFNGGFSQEQLNWLNEVLTFSDTNQEKVVIVS HLPIYPEASDSVCLAWNYVDALSIIWSHKCVVCFLAGHTHDGGYSEDPFGVHHVNLEGVI ETAPDSQAFGTVHVFPDKMLLKGRGRVPDRIMNYKREEAL >ENSMUSP00000081515.3 pep:known chromosome:GRCm38:4:73683516:73687558:1 gene:ENSMUSG00000095341.1 transcript:ENSMUST00000084475.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm428 description:predicted gene 428 [Source:MGI Symbol;Acc:MGI:2685274] MQREDNRVQSVRNDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGPWFDGHGNPPASYATSLCIGGAISLGPSFSPWTDPEIKIFLQEWQ VVEREFGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTMKDLHSTLSRERSRTVPL FSPYRDYLERIFDPKCQRGHVPGVQYNWSGYHRPSSNPQTPMVMPSPVYQPWDYGMAASS GQLPWIPLLIMSSQDLLVPRWDAWNATYPLPVQHVFQASLPGDNNFQQLWSPRDESSSPQ >ENSMUSP00000116550.1 pep:known chromosome:GRCm38:8:14881335:14894716:1 gene:ENSMUSG00000026317.7 transcript:ENSMUST00000132001.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln8 description:ceroid-lipofuscinosis, neuronal 8 [Source:MGI Symbol;Acc:MGI:1349447] MTPVSSHGLA >ENSMUSP00000119031.1 pep:known chromosome:GRCm38:8:14888045:14895059:1 gene:ENSMUSG00000026317.7 transcript:ENSMUST00000123990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln8 description:ceroid-lipofuscinosis, neuronal 8 [Source:MGI Symbol;Acc:MGI:1349447] MTPVSSHGLAESIFDLDYASWKIRSTLAVAGFVFYLGVFVVCHQLSSSLNATYRSLAAKE KVFWNLAATRAVFGVQSTTAGLWALLGDPVLYADKALGQQNWCWFHITTATGFFFFENVA VHLS >ENSMUSP00000027554.7 pep:known chromosome:GRCm38:8:14888111:14901720:1 gene:ENSMUSG00000026317.7 transcript:ENSMUST00000027554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln8 description:ceroid-lipofuscinosis, neuronal 8 [Source:MGI Symbol;Acc:MGI:1349447] MTPVSSHGLAESIFDLDYASWKIRSTLAVAGFVFYLGVFVVCHQLSSSLNATYRSLAAKE KVFWNLAATRAVFGVQSTTAGLWALLGDPVLYADKALGQQNWCWFHITTATGFFFFENVA VHLSNLFFRTFDLFLVVHHLFAFLGFLGSAINLRAGHYLAMTTLLLEMSTPFTCISWMLL KAGWSDSLFWKANQWLMIHMFHCRMILTYHMWWVCFCHWDALTSSLHLPHWALFLFGLAL LTAVINPYWTHKKTQQLLHPVDWNFAQEEAKGSRQERTNGQVPRKKRL >ENSMUSP00000122765.1 pep:known chromosome:GRCm38:8:14889004:14894786:1 gene:ENSMUSG00000026317.7 transcript:ENSMUST00000133578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln8 description:ceroid-lipofuscinosis, neuronal 8 [Source:MGI Symbol;Acc:MGI:1349447] MTPVSSHGLAESIFDLDYASWKIRSTLAVAGFV >ENSMUSP00000121618.1 pep:known chromosome:GRCm38:8:14889359:14894919:1 gene:ENSMUSG00000026317.7 transcript:ENSMUST00000128839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cln8 description:ceroid-lipofuscinosis, neuronal 8 [Source:MGI Symbol;Acc:MGI:1349447] MTPVSSHGLAESIFDLDYASWKIRSTLAVAGFVFYLGVFVVCHQLSSSLNATYRSLAAKE KVFWNLAATRAVFGVQS >ENSMUSP00000080597.2 pep:known chromosome:GRCm38:13:33484790:33495998:1 gene:ENSMUSG00000057726.2 transcript:ENSMUST00000081927.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb9g description:serine (or cysteine) peptidase inhibitor, clade B, member 9g [Source:MGI Symbol;Acc:MGI:1919260] MDTLSQANGTFAIHLLKVLCQDNPSKNVCYSPMSISSALAMVLLGAKGDTAVQICQALHL NPDEDVHQGFQLLLHNLNKQNNQKYCLTMANRLFVENTCELLPTFKESCLKFYHSEMEQL SFAEAAEESRQHINMWVSKQTNGKIPDLLSKDSVNSQTRLILANALYFHGTWCKRFEKNR TKEMPFKINKKETRPVQMMWREDTLFHAYVKEIQAQVLVMPYEGIDLNFVVLLPDEGVDI SKVENNLTFEKLTAWTKPEFMNRTEFHVYLPKFQLQEDYDMNSLLQHLGILNVFDGSKAD LSGMSTKENLCLSEFAHKCVVEVNEEGTEAAAASAVKFIFLCSGPDPETFCADHPFLFFI MHRTTNSILFCGRFSSP >ENSMUSP00000048596.7 pep:known chromosome:GRCm38:17:35552128:35557180:1 gene:ENSMUSG00000039518.7 transcript:ENSMUST00000044804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdsn description:corneodesmosin [Source:MGI Symbol;Acc:MGI:3505689] MGSSRAPRMGSVGGHGLMALLMAGLILPGILAKSIGTLSDPCKDPTRITSPNDPCLIGKT GSNSISSQGGSSSFSSQGGSSSFSSHGGSSSSQGSSSGSLIYKPGTGYSQSSYSYGSGGS RPGGSGSQSGSSGSQSGSSGSQSGSSGSQSGSSGSQSGSSGSQSGSSGSQSGSSGSQSGS SGSQSGRWVSSSSQWVSSSSQSGSSGSSRDRPGSGSALPTGDKTSGMSQSGGSSTSQSSS SNLRPCSSNVPDSPCSGGPVITHSGPYISGTHTVSGGQRPVVVVVEQHGSGGPGFQGMPC SNGGPAGKPCPPITSVQKPYGGYEVVGGSANSYLVPGMTYSGGKIYPVGYFTKDNPIRGS PGAPSFAAGPPVSEGKYFSSNPIIPSRGSSSSSGYPVGVAFQPVGSGGVQPCGTGSVSSK GPCSGTRIQITSSSSSTSYHPCSGGPSQGPCSSPGTGSISGGSSSLSSGKIVLQPCGSKS TSSGYPCLSVPSSPLNGGLNGSPQPVPSVGVKLCGLNSPGRVPCRSIRNILTQVKPLGPQ LMDPKVSLPQGEPQGEPLEKS >ENSMUSP00000064900.7 pep:known chromosome:GRCm38:19:44516957:44545864:-1 gene:ENSMUSG00000051984.13 transcript:ENSMUST00000063632.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec31b description:Sec31 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685187] MKLKELEKPAVQAWSPARQYPVYLATGTSAQQLDASFSTNATLEIFEIDFRDPSLDLKHK GILSVSSRFHKLIWGSFGSGLLENSGVIAGGGDNGTLTLYNVTHVLSSGKEPLIAQKQKH TGAVRALDFNPFQGNLLASGASDSEIFIWDLNHLSVPMTPGPKSQNPPEDIKALSWNLQV QHILASAHPSGKAVVWDLRKNEPIIKVSSHSSRMNCSGLAWNPDIATQLVLCSEDDQLPV IQLWDLRFASSPLKVLESHSRGILSMSWNQADAELLLSTAKDSQIFCWNLSSSEVVYKLP TQSSWCFDVQWCPQSPPVFSAVSFDGWISLCSVMGRSWEAQHMRQADKVPEQVAQASLIP PLKKPPKWMRRPAGGSFAFGGKLVTFGLPSIPVQPVAQACSRPVFISQVITESEVLTRSV VLQEALGSGNLLNYCQSKVQQASLPCEKILWQFLKVTLEQDSRPKFLGLLGYSREELQKK VDTCLKSDSKSQESPQLEAVDLKSDRAHSPCAQASKHTAKEASESSAFFDELIPQNMTPW EIPTTEDTDGLLSQALLLGELRSAVELCLKEERFADAIILAQAGDAELLKWTQERYLAKR RTKTSSLLACVVKKNWKDLVCACRLKNWREALALLLTYSGPEKFPELCDMLGTRMEQEGG RALTSEARLCYVCSGSVERLVESWAKFQQASSPMALQELMEQVTVLSRSLELLQGSNKMS PGPATTHRLTQYANLLAAQGSLATAMSFLPSDCIQPGVQQLRDRLFHAQGSAVLGQQAPA FPFPRVAVGAALHSKETSSYRRGLQPPQQVPAPSVRPRTTAQPSSVMPFSPSQPSPSQGS SDHRVLRPQAILPGHFVPGVRPALSPPQLSGGQSVPAVNPAGFCGAWPLPGPTPVMASPD FMQPGSTHLPETPRLLPLPPVGPPGPNPLSSQLPASPVTFSVAPPPGGPRAPCSSALPSS GILATCPGPQDSWKVSPASQGNLQRKKLPETFMPPAPITAPLRSLGPEPQQALLPQPLVS SATLPPPGAPRECSLQQLQPLPPERTEKELPPEHQCVKDSFEALLQRCSLTATDLKTKRK LEEAARRLECLYEKLCEGTLSPHVLAGLHEVARCVDAGSFEQGLAVHAQVAGCSSFSEVS SFMPMLKAVLTIAHKLQG >ENSMUSP00000107616.1 pep:known chromosome:GRCm38:19:44517207:44545847:-1 gene:ENSMUSG00000051984.13 transcript:ENSMUST00000111985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec31b description:Sec31 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685187] MTPGPKSQNPPEDIKALSWNLQVQHILASAHPSGKAVVWDLRKNEPIIKVSSHSSRMNCS GLAWNPDIATQLVLCSEDDQLPVIQLWDLRFASSPLKVLESHSRGILSMSWNQADAELLL STAKDSQIFCWNLSSSEVVYKLPTQSSWCFDVQWCPQSPPVFSAVSFDGWISLCSVMGRS WEAQHMRQADKVPEQVAQASLIPPLKKPPKWMRRPAGGSFAFGGKLVTFGLPSIPVQPVA QACSRPVFISQVITESEVLTRSVVLQEALGSGNLLNYCQSKVQQASLPCEKILWQFLKVT LEQDSRPKFLGLLGYSREELQKKVDTCLKSDSKSQESPQLEAVDLKSDRAHSPCAQASKH TAKEASESSAFFDELIPQNMTPWEIPTTEDTDGLLSQALLLGELRSAVELCLKEERFADA IILAQAGDAELLKWTQERYLAKRRTKTSSLLACVVKKNWKDLVCACRLKNWREALALLLT YSGPEKFPELCDMLGTRMEQEGGRALTSEARLCYVCSGSVERLVESWAKFQQASSPMALQ ELMEQVTVLSRSLELLQGSNKMSPGPATTHRLTQYANLLAAQGSLATAMSFLPSDCIQPG VQQLRDRLFHAQGSAVLGQQAPAFPFPRVAVGAALHSKETSSYRRGLQPPQQVPAPSVRP RTTAQPSSVMPFSPSQPSPSQGSSDHRVLRPQAILPGHFVPGVRPALSPPQLSGGQSVPA VNPAGFCGAWPLPGPTPVMASPDFMQPGSTHLPETPRLLPLPPVGPPGPNPLSSQLPASP VTFSVAPPPGGPRAPCSSALPSSGILATCPGPQDSWKVSPASQGNLQRKKLPETFMPPAP ITAPLRSLGPEPQQALLPQPLVSSATLPPPGAPRECSLQQLQPLPPERTEKELPPEHQCV KDSFEALLQRCSLTATDLKTKRKLEEAARRLECLYEKLCEGTLSPHVLAGLHEVARCVDA GSFEQGLAVHAQVAGCSSFSEVSSFMPMLKAVLTIAHKLQG >ENSMUSP00000130598.1 pep:known chromosome:GRCm38:19:44517644:44520593:-1 gene:ENSMUSG00000051984.13 transcript:ENSMUST00000165758.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sec31b description:Sec31 homolog B (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2685187] XSSQVPAPSVRPRTTAQPSSVMPFSPSQPSPSQGSSDHRVLRPQAILPGHFVPGVRPAA >ENSMUSP00000131514.1 pep:known chromosome:GRCm38:7:4877153:4896973:1 gene:ENSMUSG00000086784.2 transcript:ENSMUST00000125249.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isoc2a description:isochorismatase domain containing 2a [Source:MGI Symbol;Acc:MGI:3609243] MAAARASLGRILPESSILFLCDLQEKFRPSIAYFPQIVSVAARMLKVARLLDVPILLTEQ YPEGLGPTVPELGAQGIRPVSKTCFSMVPALQKELDGRSQLQSVLLCGIETQACILNTAL DLLHRGLQVHVVVDACSSRSQVDRLVALARMRQSGAFLATSESLILQLVRDASHPQFKEI QKIIKEPVPDSGLLSLFQGQSPLTSC >ENSMUSP00000019058.5 pep:known chromosome:GRCm38:11:54265303:54267277:-1 gene:ENSMUSG00000018914.5 transcript:ENSMUST00000019058.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il3 description:interleukin 3 [Source:MGI Symbol;Acc:MGI:96552] MVLASSTTSIHTMLLLLLMLFHLGLQASISGRDTHRLTRTLNCSSIVKEIIGKLPEPELK TDDEGPSLRNKSFRRVNLSKFVESQGEVDPEDRYVIKSNLQKLNCCLPTSANDSALPGVF IRDLDDFRKKLRFYMVHLNDLETVLTSRPPQPASGSVSPNRGTVEC >ENSMUSP00000137123.1 pep:known chromosome:GRCm38:7:29003344:29125151:-1 gene:ENSMUSG00000030592.17 transcript:ENSMUST00000179893.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryr1 description:ryanodine receptor 1, skeletal muscle [Source:MGI Symbol;Acc:MGI:99659] MGDGGGEGEDEVQFLRTDDEVVLQCSATVLKEQLKLCLAAEGFGNRLCFLEPTSNAQNVP PDLAICCFILEQSLSVRALQEMLANTVEAGVESSQGGGHRTLLYGHAILLRHAHSRMYLS CLTTSRSMTDKLAFDVGLQEDATGEACWWTMHPASKQRSEGEKVRVGDDLILVSVSSERY LHLSTASGELQVDASFMQTLWNMNPICSGCEEGFVTGGHVLRLFHGHMDECLTISPSDSD DQRRLVYYEGGPVCTHARSLWRLEPLRISWSGSHLRWGQPLRIRHVTTGRYLGLTEDQGL VVVDASKAHTKATSFCFRISKEKLDVAPKRDVEGMGPPEIKYGESLCFVQHVASGLWLTY AAPDPKALRLGVLKKKAMLHQEGHMDDALSLTRCQQEESQAARMIYSTAGLYNQFIKGLD SFSGKPRGSGPPAGSALPIEGVILSLQDLIGYFEPPSEELQHEEKQTKLRSLRNRQSLFQ EEGMLSLVLNCIDRLNVYTTAAHFAEFAGEEAAESWKEIVNLLYELLASLIRGNRTNCAL FSTNLDWLVSKLDRLEASSGILEVLYCVLIESPEVLNIIQENHIKSIISLLDKHGRNHKV LDVLCSLCVCNGVAVRSNQDLITENLLPGRELLLQTNLINYVTSIRPNIFVGRAEGSTQY GKWYFEVMVDEVAPFLTAQATHLRVGWALSEGYSPYPGGGEGWGGNGVGDDLYSYGFDGL HLWTGHVARPVTSPGQHLLAPEDVVSCCLDLSVPSISFRINGCPVQGVFESFNLDGLFFP VVSFSAGIKVRFLLGGRHGEFKFLPPPGYAPCHEAVLPRERLHLQPIKEYRREGPRGPHL VGPSRCLSHLDFVPCPVDTIQIVLPPHLERIREKLAENIHELWALTRIEQGWTYGPVRDD NKRLHPCLVNFHSLPEPERNYNLQMSGETLKTLLALGCHVGMADEKAEDNLKKTKLPKTY MMSNGYKPAPLDLSHVRLTPAQTTLVDRLAENGHNVWARDRVAQGWSYSAVQDIPARRNP RLVPYRLLDEATKRSNRDSLCQAVRTLLGYGYNIEPPDQEPSQVDSQSRGDRARIFRAEK SYAVQSGRWYFEFEAVTTGEMRVGWARPELRPDVELGADDLAYVFNGHRGQRWHLGSEPF GRPWQSGDVVGCMIDLTENTIIFTLNGEVLMSDSGSETAFRDIEIGDGFLPVCSLGPGQV GHLNLGQDVSSLRFFAICGLQEGFEPFAINMQRPVTTWFSKSLPQFEPVPLEHPHYEVAR MDGTVDTPPCLRLTHRTWGSQNSLVEMLFLRLSLPVQFHQHFRCTAGATPLASPGLQPPA EDEARAAEPDTDYENLRRSAGGWGEAEGGKDGTAKEGTPGGTAQAGVEAQPARAENEKDA TTEKNKKRGFLFKAKKVAMMTQPPSTPALPRLPRDVVPADNRDDPEIILNTTTYYYSVRV FAGQEPSCVWVGWVTPDYHQHDMSFDLSKVRAVTVTMGDEQGNVHSSLKCSNCYMVWGGD FVSPGQQGRISHTDLVIGCLVDLATGLMTFTANGKESNTFFQVEPNTKLFPAVFVLPTHQ NVVQFELGKQKNIMPLSAAMFLSERKNPAPQCPPRLEVQMLMPVSWSRMPNHFLQVDTRR AGERLGWAVQCQEPLMMMALHIPEENRCMDILELSERLDLQRFHSHTLSLYRSVCALGNN RVAHALCSHVDQAQLLHALEDARLPGPLRAGYYDLLISIHLESACRSRRSMLSEYIVPLT PETRAITLFPPGRSAEDGPRRHGLPGVGVTTSLRPPHHFSPPCFVVALPAAGATEAPARL SPAIPLEALRDKALRMLGEAVRDGGQHARDPVGGSVEFQFVPVLKLVSTLLVMGVFSDED VKQILKMIEPEVFREEEEVEEEGEEEEEDEEEKEEDEEEEAHEKEDEEKEEAEDAAEEEK EELEEGLLQMKLPESVKLQMCHLLEYFCDQELQHRVESLAAFAECYVDKMQGNQRGRYGL LMKAFTMSAAETARRTREFRSPPQEQINMLLHFKNGADEEECPLPEEIRQELVNFHQDLL AHCGIQLEGEEEEPEEESTLGSRLMSLLEKVKLVKKTEEKPEEEPAPEEHKPQSLQELVS HTVVRWAQEDFVQSPELVRAMFSLLHRQYDGLGELLRALPRAYTISVSSVEDTMSLLECL GQIRSLLIVQMGPQEENLMIQSIGNIMNNKVFYQHPNLMRALGMHETVMEVMVNVLGGGE SKEIRFPKMVTSCCRFLCYFCRISRQNQRSMFDHLSYLLENSGIGLGMQGSTPLDVAAAS VIDNNELALALQEQDLEKVVSYLAGCGLQSCPMLLAKGYPDIGWNPCGGERYLDFLRFAV FVNGESVEENANVVVRLLIRKPECFGPALRGEGGSGLLAAIEEAIRISEDPARDGPGVRR DRRREHFGEEPPEENRVHLGHAIMSFYAALIDLLGRCAPETHLIQAGKGEALRIRAILRS LVPLDDLVGIISLPLQIPTLGKDGALVQPKMSASFVPDHKASMVLFLDRVYGIENQDFLL HVLDVGFLPDMRAAASLDTATFSTTEMALALNRYLCLAVLPLITKCAPLFAGTEHRAIMV DSMLHTVYRLSRGRSLTKAQRDVIEDCLMALCRYIRPSMLQHLLRRLVFDVPILNEFAKM PLKLLTNHYERCWKYYCLPTGWANFGVTSEEELHLTRKLFWGIFDSLAHKKYDQELYRIA MPCLCAIAGALPPDYVDASYSSKTEKKATVDAEGNFDPRPVETLNVIIPEKLDSFINKFA EYTHEKWAFDKIQNNWSYGENIDEELKTHPMLRPYKTFSEKDKEIYRWPIKESLKAMIAW EWTVEKAREGEEEKTEKKKTRKISQTAQTYDPREGYNPQPPDLSVVTLSRELQAMAEQLA ENYHNTWGRKKKQELEAKGGGSHPLLVPYDTLTAKEKARDREKAQELLKFLQMNGYAVTR GLKDMELDTSSIEKRFAFGFLQQLLRWMDISQEFIAHLEAVVSSGRVEKSPHEQEIKFFA KILLPLINQYFTNHCLYFLSTPAKVLGSGGHASNKEKEMITSLFCKLAALVRHRVSLFGT DAPAVVNCLHILARSLDARTVMKSGPEIVKAGLRSFFESASEDIEKMVENLRLGKVSQAR TQVKGVGQNLTYTTVALLPVLTTLFQHIAQHQFGDDVILDDVQVSCYRTLCSIYSLGTTR NPYVEKLRPALGECLARLAAAMPVAFLEPELNEYNACSVYTTKSPRERAILGLPNSVEEM CPDIPVLERLMAEIGGLAESGARYTEMPHVIEITLPMLCSYLPRWWERGPEAPPPALPAG APPPCTAVTSDHLNSLLGNILRIIVNNLGIDEASWMKRLAVFAQPIVSRARPELLRSHFI PTIGRLRKRAGKVVAEEEQLRLEAKAEAEEGELLVRDEFSVLCRDLYALYPLLIRYVDNN RAHWLTEPNPNAEELFRMVGEIFIYWSKSHNFKREEQNFVVQNEINNMSFLTADNKSKMA KAGDVQSGGSDQERTKKKRRGDRYSVQTSLIVATLKKMLPIGLNMCAPTDQDLIVLAKAR YALKDTDEEVREFLQNNLNLQGKVEGSPSLRWQMALYRGVPGREEDADDPEKIVRRVQEV SAVLYHLDQTEHPYKSKKAVWHKLLSKQRRRAVVACFRMTPLYNLPTHRACNMFLESYKA SWILTEDHSFEDRMIDDLSKAGEQEEEEEEVEEKKPDPLHQLVLHFSRTALTEKSKLDED YLYMAYADIMAKSCHLEEGGENGEEGGEEEEVEVSFEEKEMEKQRLLYQQSRLHNRGAAE MVLQMISACKGETGAMVSSTLKLGISILNGGNAEVQQKMLDYLKDKKEVGFFQSIQALMQ TCSVLDLNAFERQNKAEGLGMVNEDGTVINRQNGEKVMADDEFTQDLFRFLQLLCEGHNN DFQNYLRTQTGNTTTINIIICTVDYLLRLQESISDFYWYYSGKDVIEEQGKRNFSKAMSV AKQVFNSLTEYIQGPCTGNQQSLAHSRLWDAVVGFLHVFAHMMMKLAQDSSQIELLKELL DLQKDMVVMLLSLLEGNVVNGMIARQMVDMLVESSSNVEMILKFFDMFLKLKDIVGSEAF QDYVTDPRGLISKKDFQKAMDSQKQFTGPEIQFLLSCSEADENEMINCEEFANRFQEPAR DIGFNVAVLLTNLSEHVPHDPRLRNFLELAESILEYFRPYLGRIEIMGASRRIERIYFEI SETNRAQWEMPQVKESKRQFIFDVVNEGGESEKMEMFVSFCEDTIFEMQIAAQISEPEGE PEEDEDEGAEEAEEGAAGSDGSGSAAAAGVWVWLAATAGRTLRGLSYRSLRRRVRRLRRL TAREAATAVAALLWALVTRAGGAGAGAAAGALRLLWGSLFGGGLVDSAKKVTVTELLAGM PDPTGDEVHGQQPSGAGSDAEGEGEGEGEGDAADGAGDEEAAADQAGTGGADGAVAVADG SPFRPEGAGGLGDMGDTTPVEPPTPEGSPILKRKLGVDGEEEEPPPEPEPEPEPEPEKAD TENGEKEVPEPPPEPPKKTPPPPPPKKEEAGGAGLEEFWGELEVQRVKFLNYLSRNFYTL RFLALFLAFAINFILLFYKVSDSPPGEDDIEGSGAGDMSGAGSGDGSGWGSRAGEEVEGD EDENMVYYFLEESTGYMEPALRCLSLLHTLVAFLCIIGYNCLKVPLVIFKREKELARKLE FDGLYITEQPEDDDVKGQWDRLVLNTPSFPSNYWDKFVKRKVLDKHGDIFGRERIAELLG MDLASLEITAHNERKPDPPPGLLTWIMSIDVKYQIWKFGVIFTDNSFLYLGWYMVMSLLG HYNNFFFAAHLLDIAMGVKTLRTILSSVTHNGKQLVMTVGLLAVVVYLYTVVAFNFFRKF YNKSEDEDEPDMKCDDMMTCYLFHMYVGVRAGGGIGDEIEDPAGDEYELYRVVFDITFFF FVIVILLAIIQGLIIDAFGELRDQQEQVKEDMETKCFICGIGSDYFDTTPHGFETHTLEE HNLANYMFFLMYLINKDETEHTGQESYVWKMYQERCWDFFPAGDCFRKQYEDQLS >ENSMUSP00000032813.9 pep:known chromosome:GRCm38:7:29003344:29125151:-1 gene:ENSMUSG00000030592.17 transcript:ENSMUST00000032813.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ryr1 description:ryanodine receptor 1, skeletal muscle [Source:MGI Symbol;Acc:MGI:99659] MGDGGGEGEDEVQFLRTDDEVVLQCSATVLKEQLKLCLAAEGFGNRLCFLEPTSNAQNVP PDLAICCFILEQSLSVRALQEMLANTVEAGVESSQGGGHRTLLYGHAILLRHAHSRMYLS CLTTSRSMTDKLAFDVGLQEDATGEACWWTMHPASKQRSEGEKVRVGDDLILVSVSSERY LHLSTASGELQVDASFMQTLWNMNPICSGCEEGFVTGGHVLRLFHGHMDECLTISPSDSD DQRRLVYYEGGPVCTHARSLWRLEPLRISWSGSHLRWGQPLRIRHVTTGRYLGLTEDQGL VVVDASKAHTKATSFCFRISKEKLDVAPKRDVEGMGPPEIKYGESLCFVQHVASGLWLTY AAPDPKALRLGVLKKKAMLHQEGHMDDALSLTRCQQEESQAARMIYSTAGLYNQFIKGLD SFSGKPRGSGPPAGSALPIEGVILSLQDLIGYFEPPSEELQHEEKQTKLRSLRNRQSLFQ EEGMLSLVLNCIDRLNVYTTAAHFAEFAGEEAAESWKEIVNLLYELLASLIRGNRTNCAL FSTNLDWLVSKLDRLEASSGILEVLYCVLIESPEVLNIIQENHIKSIISLLDKHGRNHKV LDVLCSLCVCNGVAVRSNQDLITENLLPGRELLLQTNLINYVTSIRPNIFVGRAEGSTQY GKWYFEVMVDEVAPFLTAQATHLRVGWALSEGYSPYPGGGEGWGGNGVGDDLYSYGFDGL HLWTGHVARPVTSPGQHLLAPEDVVSCCLDLSVPSISFRINGCPVQGVFESFNLDGLFFP VVSFSAGIKVRFLLGGRHGEFKFLPPPGYAPCHEAVLPRERLHLQPIKEYRREGPRGPHL VGPSRCLSHLDFVPCPVDTIQIVLPPHLERIREKLAENIHELWALTRIEQGWTYGPVRDD NKRLHPCLVNFHSLPEPERNYNLQMSGETLKTLLALGCHVGMADEKAEDNLKKTKLPKTY MMSNGYKPAPLDLSHVRLTPAQTTLVDRLAENGHNVWARDRVAQGWSYSAVQDIPARRNP RLVPYRLLDEATKRSNRDSLCQAVRTLLGYGYNIEPPDQEPSQVDSQSRGDRARIFRAEK SYAVQSGRWYFEFEAVTTGEMRVGWARPELRPDVELGADDLAYVFNGHRGQRWHLGSEPF GRPWQSGDVVGCMIDLTENTIIFTLNGEVLMSDSGSETAFRDIEIGDGFLPVCSLGPGQV GHLNLGQDVSSLRFFAICGLQEGFEPFAINMQRPVTTWFSKSLPQFEPVPLEHPHYEVAR MDGTVDTPPCLRLTHRTWGSQNSLVEMLFLRLSLPVQFHQHFRCTAGATPLASPGLQPPA EDEARAAEPDTDYENLRRSAGGWGEAEGGKDGTAKEGTPGGTAQAGVEAQPARAENEKDA TTEKNKKRGFLFKAKKVAMMTQPPSTPALPRLPRDVVPADNRDDPEIILNTTTYYYSVRV FAGQEPSCVWVGWVTPDYHQHDMSFDLSKVRAVTVTMGDEQGNVHSSLKCSNCYMVWGGD FVSPGQQGRISHTDLVIGCLVDLATGLMTFTANGKESNTFFQVEPNTKLFPAVFVLPTHQ NVVQFELGKQKNIMPLSAAMFLSERKNPAPQCPPRLEVQMLMPVSWSRMPNHFLQVDTRR AGERLGWAVQCQEPLMMMALHIPEENRCMDILELSERLDLQRFHSHTLSLYRSVCALGNN RVAHALCSHVDQAQLLHALEDARLPGPLRAGYYDLLISIHLESACRSRRSMLSEYIVPLT PETRAITLFPPGRSAEDGPRRHGLPGVGVTTSLRPPHHFSPPCFVVALPAAGATEAPARL SPAIPLEALRDKALRMLGEAVRDGGQHARDPVGGSVEFQFVPVLKLVSTLLVMGVFSDED VKQILKMIEPEVFREEEEVEEEGEEEEEDEEEKEEDEEEEAHEKEDEEKEEAEDAAEEEK EELEEGLLQMKLPESVKLQMCHLLEYFCDQELQHRVESLAAFAECYVDKMQGNQRGRYGL LMKAFTMSAAETARRTREFRSPPQEQINMLLHFKNGADEEECPLPEEIRQELVNFHQDLL AHCGIQLEGEEEEPEEESTLGSRLMSLLEKVKLVKKTEEKPEEEPAPEEHKPQSLQELVS HTVVRWAQEDFVQSPELVRAMFSLLHRQYDGLGELLRALPRAYTISVSSVEDTMSLLECL GQIRSLLIVQMGPQEENLMIQSIGNIMNNKVFYQHPNLMRALGMHETVMEVMVNVLGGGE SKEIRFPKMVTSCCRFLCYFCRISRQNQRSMFDHLSYLLENSGIGLGMQGSTPLDVAAAS VIDNNELALALQEQDLEKVVSYLAGCGLQSCPMLLAKGYPDIGWNPCGGERYLDFLRFAV FVNGESVEENANVVVRLLIRKPECFGPALRGEGGSGLLAAIEEAIRISEDPARDGPGVRR DRRREHFGEEPPEENRVHLGHAIMSFYAALIDLLGRCAPETHLIQAGKGEALRIRAILRS LVPLDDLVGIISLPLQIPTLGKDGALVQPKMSASFVPDHKASMVLFLDRVYGIENQDFLL HVLDVGFLPDMRAAASLDTATFSTTEMALALNRYLCLAVLPLITKCAPLFAGTEHRAIMV DSMLHTVYRLSRGRSLTKAQRDVIEDCLMALCRYIRPSMLQHLLRRLVFDVPILNEFAKM PLKLLTNHYERCWKYYCLPTGWANFGVTSEEELHLTRKLFWGIFDSLAHKKYDQELYRIA MPCLCAIAGALPPDYVDASYSSKTEKKATVDAEGNFDPRPVETLNVIIPEKLDSFINKFA EYTHEKWAFDKIQNNWSYGENIDEELKTHPMLRPYKTFSEKDKEIYRWPIKESLKAMIAW EWTVEKAREGEEEKTEKKKTRKISQTAQTYDPREGYNPQPPDLSVVTLSRELQAMAEQLA ENYHNTWGRKKKQELEAKGGGSHPLLVPYDTLTAKEKARDREKAQELLKFLQMNGYAVTR GLKDMELDTSSIEKRFAFGFLQQLLRWMDISQEFIAHLEAVVSSGRVEKSPHEQEIKFFA KILLPLINQYFTNHCLYFLSTPAKVLGSGGHASNKEKEMITSLFCKLAALVRHRVSLFGT DAPAVVNCLHILARSLDARTVMKSGPEIVKAGLRSFFESASEDIEKMVENLRLGKVSQAR TQVKGVGQNLTYTTVALLPVLTTLFQHIAQHQFGDDVILDDVQVSCYRTLCSIYSLGTTR NPYVEKLRPALGECLARLAAAMPVAFLEPELNEYNACSVYTTKSPRERAILGLPNSVEEM CPDIPVLERLMAEIGGLAESGARYTEMPHVIEITLPMLCSYLPRWWERGPEAPPPALPAG APPPCTAVTSDHLNSLLGNILRIIVNNLGIDEASWMKRLAVFAQPIVSRARPELLRSHFI PTIGRLRKRAGKVVAEEEQLRLEAKAEAEEGELLVRDEFSVLCRDLYALYPLLIRYVDNN RAHWLTEPNPNAEELFRMVGEIFIYWSKSHNFKREEQNFVVQNEINNMSFLTADNKSKMA KVVQSGGSDQERTKKKRRGDRYSVQTSLIVATLKKMLPIGLNMCAPTDQDLIVLAKARYA LKDTDEEVREFLQNNLNLQGKVEGSPSLRWQMALYRGVPGREEDADDPEKIVRRVQEVSA VLYHLDQTEHPYKSKKAVWHKLLSKQRRRAVVACFRMTPLYNLPTHRACNMFLESYKASW ILTEDHSFEDRMIDDLSKAGEQEEEEEEVEEKKPDPLHQLVLHFSRTALTEKSKLDEDYL YMAYADIMAKSCHLEEGGENGEEGGEEEEVEVSFEEKEMEKQRLLYQQSRLHNRGAAEMV LQMISACKGETGAMVSSTLKLGISILNGGNAEVQQKMLDYLKDKKEVGFFQSIQALMQTC SVLDLNAFERQNKAEGLGMVNEDGTVINRQNGEKVMADDEFTQDLFRFLQLLCEGHNNDF QNYLRTQTGNTTTINIIICTVDYLLRLQESISDFYWYYSGKDVIEEQGKRNFSKAMSVAK QVFNSLTEYIQGPCTGNQQSLAHSRLWDAVVGFLHVFAHMMMKLAQDSSQIELLKELLDL QKDMVVMLLSLLEGNVVNGMIARQMVDMLVESSSNVEMILKFFDMFLKLKDIVGSEAFQD YVTDPRGLISKKDFQKAMDSQKQFTGPEIQFLLSCSEADENEMINCEEFANRFQEPARDI GFNVAVLLTNLSEHVPHDPRLRNFLELAESILEYFRPYLGRIEIMGASRRIERIYFEISE TNRAQWEMPQVKESKRQFIFDVVNEGGESEKMEMFVSFCEDTIFEMQIAAQISEPEGEPE EDEDEGAEEAEEGAAGSDGSGSAAAAGVWVWLAATAGRTLRGLSYRSLRRRVRRLRRLTA REAATAVAALLWALVTRAGGAGAGAAAGALRLLWGSLFGGGLVDSAKKVTVTELLAGMPD PTGDEVHGQQPSGAGSDAEGEGEGEGEGDAADGAGDEEAAADQAGTGGADGAVAVADGSP FRPEGAGGLGDMGDTTPVEPPTPEGSPILKRKLGVDGEEEEPPPEPEPEPEPEPEKADTE NGEKEVPEPPPEPPKKTPPPPPPKKEEAGGAGLEEFWGELEVQRVKFLNYLSRNFYTLRF LALFLAFAINFILLFYKVSDSPPGEDDIEGSGAGDMSGAGSGDGSGWGSRAGEEVEGDED ENMVYYFLEESTGYMEPALRCLSLLHTLVAFLCIIGYNCLKVPLVIFKREKELARKLEFD GLYITEQPEDDDVKGQWDRLVLNTPSFPSNYWDKFVKRKVLDKHGDIFGRERIAELLGMD LASLEITAHNERKPDPPPGLLTWIMSIDVKYQIWKFGVIFTDNSFLYLGWYMVMSLLGHY NNFFFAAHLLDIAMGVKTLRTILSSVTHNGKQLVMTVGLLAVVVYLYTVVAFNFFRKFYN KSEDEDEPDMKCDDMMTCYLFHMYVGVRAGGGIGDEIEDPAGDEYELYRVVFDITFFFFV IVILLAIIQGLIIDAFGELRDQQEQVKEDMETKCFICGIGSDYFDTTPHGFETHTLEEHN LANYMFFLMYLINKDETEHTGQESYVWKMYQERCWDFFPAGDCFRKQYEDQLS >ENSMUSP00000139987.1 pep:known chromosome:GRCm38:Y:9199824:9202190:-1 gene:ENSMUSG00000094679.2 transcript:ENSMUST00000189253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21721 description:predicted gene, 21721 [Source:MGI Symbol;Acc:MGI:5433885] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHLKAIILGQLPANTSLYLVKYDG IDSVYVQELHSDERILNLKVLPHKVVFPQVRDVHLASALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIVPETPLAEARSADDNDFLIGS WVQYIRDDGSKKFGKVVYKALANPTDYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136336.1 pep:known chromosome:GRCm38:Y:9199960:9200643:-1 gene:ENSMUSG00000094679.2 transcript:ENSMUST00000179595.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21721 description:predicted gene, 21721 [Source:MGI Symbol;Acc:MGI:5433885] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHLKAIILGQLPANTSLYLVKYDG IDSVYVQELHSDERILNLKVLPHKVVFPQVRDVHLASALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIVPETPLAEARSADDNDFLIGS WVQYIRDDGSKKFGKVVYKALANPTDYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000103626.1 pep:known chromosome:GRCm38:7:42660105:42692718:-1 gene:ENSMUSG00000069727.5 transcript:ENSMUST00000107992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5595 description:predicted gene 5595 [Source:MGI Symbol;Acc:MGI:3648690] MDALTFDDVHVHFTREEWSLLDPSQKRLYKDVMLENYRNLTAIGYHWKDHNIEEHCQNDR RYGRHERSPAGEKPYEYTQWNKAFKYHSHLQKLERNQTGDKNSEVFQHSKVLACQSTLQI NERTGTGEKPYKCNECDKAFLKLKYLRAHERTHTGEKLYECNQCGKAFVHPSYLRMHERT HTGEKPYQCNQCGKAFALRSHLQSHKVVHTGVRPYICNQCNKAYPRQSCLRKHERTHTGE KPYICNLCNKAYSHQSNLRIHERTHNGAKPYICNLCDKAFSQQSCFQIHEKTHTGEKPYK CNQCDKGFAQLRYLRAHERTHTQEKTYKCNQCGKAFAQLTYLRVHERRHSGEKPYKCNEC DKAFLQLKYLRLHERRHTGEKPYKCNQCGKAFLHPSYLRMHERTHTGEKPYQCNECNKAF LQLRYLRLHERRHSGEKPYECNQCGKAFLRPNNLRMHERRHSGEKPYECNQCGKAFLHPS YLRMHERTHTGEKPYECSQCGKAFLQPHYLRKHEKTHNWRETLQM >ENSMUSP00000018184.3 pep:known chromosome:GRCm38:15:83113433:83122801:-1 gene:ENSMUSG00000018040.9 transcript:ENSMUST00000018184.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrp7a description:ribosomal RNA processing 7 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1922028] MVSRRKKRKAGGHEESIPSPPGYSAVPVKFSAKQQAPHYLYMRQHRVRQGTQSTWPPDRT LFILNVPPYCTQESLSRCLSCCGTIKTVELQEKPDLAESPTEPKSQFFHPKPVPGFQVAY VVFQKPSGVSAALNLKGPLLVSTESHLVKSGIHKWISDYEDSVLDPEALRMEVDAFMEAY DKKIAEEEAKAKEEEGVPDEEGWVKVTRRGRRPVLPRTEAASLRVLEKEKRKRARKELLN FYAWQHRETKMEHLAQLRKKFEEDKQRIELMRAQRKFRPY >ENSMUSP00000127001.1 pep:known chromosome:GRCm38:15:83115848:83117616:-1 gene:ENSMUSG00000018040.9 transcript:ENSMUST00000166766.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rrp7a description:ribosomal RNA processing 7 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1922028] XRKRARKELLNFYAWQHRETKMEHLAQLRKKFEEDKQRIELMRAQRKFRPY >ENSMUSP00000129142.1 pep:known chromosome:GRCm38:15:83119255:83122149:-1 gene:ENSMUSG00000018040.9 transcript:ENSMUST00000166019.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrp7a description:ribosomal RNA processing 7 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1922028] MNRRADGARKRHSELELGWHRHRPPFRVPQTLLRSFPLLAVPVKFSAKQQAPHYLYMRQH RVRQGTQSTWPPDRTLFILNVPPYCTQESLSRCLSCCGTIKTVELQEKPDLAESPTEPKS QFFHPKPVPGFQVAYVVFQKPSGVS >ENSMUSP00000128380.1 pep:known chromosome:GRCm38:15:83119281:83122751:-1 gene:ENSMUSG00000018040.9 transcript:ENSMUST00000167483.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rrp7a description:ribosomal RNA processing 7 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1922028] MVSRRKKRKAGGHEESIPSPPGYSAVPVKFSAKQQAPHYLYMRQHRVRQGTQSTWPPDRT LFILNVPPYCTQESLSRCLSCCGTIKTVELQEKPDLAESPTEPKSQFFHPKPVPVGCPVT PGSTGVRGRGWGLGGLSSRLSERPFPIAVSVMCDLGGCKSDGF >ENSMUSP00000038043.4 pep:known chromosome:GRCm38:17:35567450:35568952:1 gene:ENSMUSG00000039269.5 transcript:ENSMUST00000044326.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2300002M23Rik description:RIKEN cDNA 2300002M23 gene [Source:MGI Symbol;Acc:MGI:1916792] MQSHAGGSRAPLGLLLICLCLPGLFARSTGAPEEKASPHSGQPSFTSLLNPGQPQPKPDP VNNELLGVLPRLSESPQDGALPEGGSEVPNGPPFWGPPPMESWPSEDPQQGMAAVAEDQL EQMLPEALPYLSRGGRLPEASSARLRQPSLAASYPQDSEAGLQPGSSSLETEAEAFARSP FWFLIHKLLPGSSGRILRPGTSWGSGGAGTGWGTRPMPYPSGIWGSNGLVSGTSLGGRGP YPVRIWGRNGWYPLRILGGNGRYPPVGTWGGYGQYPPVGTWGGYGQYPPVGPWGGYGQYP PVGTWGANCQYPAGSRRPNCRYPAGSWGTKGQNRLPPGAKRPGSSGITP >ENSMUSP00000109704.3 pep:known chromosome:GRCm38:X:75514299:75524046:1 gene:ENSMUSG00000031197.11 transcript:ENSMUST00000114070.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vbp1 description:von Hippel-Lindau binding protein 1 [Source:MGI Symbol;Acc:MGI:1333804] MAAAKDGCGLETAAGNGRRLHLGIPEAVFVEDVDSFMKQPGNETADTVLKKLDEQYQKYK FMELNLAQKKRRLKGQIPEIKQTLEILKYMQKKKESTNSMETRFLLADNLYCKASVPPTD KVCLWLGALA >ENSMUSP00000033540.5 pep:known chromosome:GRCm38:X:75514299:75534942:1 gene:ENSMUSG00000031197.11 transcript:ENSMUST00000033540.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vbp1 description:von Hippel-Lindau binding protein 1 [Source:MGI Symbol;Acc:MGI:1333804] MAAAKDGCGLETAAGNGRRLHLGIPEAVFVEDVDSFMKQPGNETADTVLKKLDEQYQKYK FMELNLAQKKRRLKGQIPEIKQTLEILKYMQKKKESTNSMETRFLLADNLYCKASVPPTD KVCLWLGANVMLEYDIDEAQALLEKNLSTATKNLDSLEEDLDFLRDQFTTTEVNMARVYN WDVKRRNKDDSTKNKA >ENSMUSP00000049997.3 pep:known chromosome:GRCm38:10:40683282:40811083:1 gene:ENSMUSG00000045555.3 transcript:ENSMUST00000058747.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl24 description:methyltransferase like 24 [Source:MGI Symbol;Acc:MGI:3045338] MATVKPPGRGCGALPRWLLGAALLLGLRLCMELRHAGSGPPGRGDSPGPPRTHLLSAPGS PRGARRRQVTYVRSGRRVLPRGGGSTTSPEPSCCAQGGLLRRKGSRWHIELQPWAGPSRS LDEEASRFLSYISTTQIACDHMGTDSLATDSSPAPKPWLVCLDDRFGLAHQIRSKRCRLY SLGLGSDDTHFEVSMANGGCEVHRFDPSVKSAHVLERQGLWHHRLSIDWRDPHPAVAAQK PHGNTRKLGSILNEFGHHKIDVLKADLESAEWKVLENLILEDVLEQIGQLVFEIHLHWPG FEVSGSESGVVRFWYSLLKELERKHFRLFHTYKDLTKPQLFLKKDLFNASSCYTLSWVNT KWR >ENSMUSP00000134468.1 pep:known chromosome:GRCm38:7:15750131:15758656:1 gene:ENSMUSG00000074366.9 transcript:ENSMUST00000173455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox5 description:oocyte specific homeobox 5 [Source:MGI Symbol;Acc:MGI:2149035] MAEGPSLHPKLQVASNIPIEIRSQIPQEPARNLAFQMSQSPLVTPGSTMQSSLSVPERNL LQQESEGSSRQSGSMPLSDKYVNKQTGPMASRKFRKERTVYTKEQQRLLQKHFDECQYPN EKKIMELAVSVGVTKMEIKIWFKNNRAKYRRMNLQNIKQALPESNGISKAVSESTHFP >ENSMUSP00000096400.3 pep:known chromosome:GRCm38:7:15750377:15759800:1 gene:ENSMUSG00000074366.9 transcript:ENSMUST00000098802.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox5 description:oocyte specific homeobox 5 [Source:MGI Symbol;Acc:MGI:2149035] MAEGPSLHPKLQVASNIPIEIRSQIPQEPARNLAFQMSQSPLVTPGSTMQSSLSVPERNL LQQESEGSSRQSGSMPLSDKYVNKQTGPMASRKFRKERTVYTKEQQRLLQKHFDECQYPN EKKIMELAVSVGVTKMEIKIWFKNNRAKYRRMNLQNIKQALPESNGISKAVSESTHFPGS IPVVASDNGESMCSGTFGEDSMPKFNCSQESSLYCFQACDGDMCCPQEYLLDGHAPVTAW NSGQSAAVEYQTDIAVAEAPVRLAYAAQAPEDAHNSGPSADELWQRILEDF >ENSMUSP00000134618.1 pep:known chromosome:GRCm38:7:15750381:15758661:1 gene:ENSMUSG00000074366.9 transcript:ENSMUST00000173053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox5 description:oocyte specific homeobox 5 [Source:MGI Symbol;Acc:MGI:2149035] MAEGPSLHPKLQVASNIPIEIRSQIPQEPARNLAFQMSQSPLVTPGSTMQSSLSVPERNL LQQESEGSSRQSGSMPLSDKYVNKQTGPMASRKFRKERTVYTKEQQRLLQKHFDECQYPN EKKIMELAVSVGVTKMEIKIWFKNNRAKYRRMNLQNIKQALPESNGISKAVSESTHFPGS >ENSMUSP00000048171.5 pep:known chromosome:GRCm38:4:100095791:100444765:1 gene:ENSMUSG00000035305.5 transcript:ENSMUST00000039630.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ror1 description:receptor tyrosine kinase-like orphan receptor 1 [Source:MGI Symbol;Acc:MGI:1347520] MHRPRRRGTRPPPLALLAALLLAARGADAQETELSVSAELVPTSSWNTSSEIDKGSYLTL DEPMNNITTSLGQTAELHCKVSGNPPPSIRWFKNDAPVVQEPRRISFRATNYGSRLRIRN LDTTDTGYFQCVATNGKKVVSTTGVLFVKFGPPPTASPGSSDEYEEDGFCQPYRGIACAR FIGNRTVYMESLHMQGEIENQITAAFTMIGTSSHLSDKCSQFAIPSLCHYAFPYCDETSS VPKPRDLCRDECEVLENVLCQTEYIFARSNPMILMRLKLPNCEDLPQPESPEAANCIRIG IPMADPINKNHKCYNSTGVDYRGTVSVTKSGRQCQPWNSQYPHTHSFTALRFPELNGGHS YCRNPGNQKEAPWCFTLDENFKSDLCDIPACDSKDSKEKNKMEILYILVPSVAIPLAIAF LFFFICVCRNNQKSSSPPVQRQPKPVRGQNVEMSMLNAYKPKSKAKELPLSAVRFMEELG ECTFGKIYKGHLYLPGMDHAQLVAIKTLKDYNNPQQWTEFQQEASLMAELHHPNIVCLLG AVTQEQPVCMLFEYMNQGDLHEFLIMRSPHSDVGCSSDEDGTVKSSLDHGDFLHIAIQIA AGMEYLSSHFFVHKDLAARNILIGEQLHVKISDLGLSREIYSADYYRVQSKSSLPIRWMP PEAIMYGKFSSDSDIWSFGVVLWEIFSFGLQPYYGFSNQEVIEMVRKRQLLPCSEDCPPR MYSLMTECWNEIPSRRPRFKDIHVRLRSWEGLSSHTSSTTPSGGNATTQTTSLSASPVSN LSNPRFPNYMFPSQGITPQGQIAGFIGPAIPQNQRFIPINGYPIPPGYAAFPAAHYQPAG PPRVIQHCPPPKSRSPSSASGSTSTGHVASLPSSGSNQEANVPLLPHMSIPNHPGGMGIT VFGNKSQKPYKIDSKQSSLLGDSHIHGHTESMISAEV >ENSMUSP00000140302.1 pep:known chromosome:GRCm38:Y:9344836:9347184:-1 gene:ENSMUSG00000094773.2 transcript:ENSMUST00000188908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21812 description:predicted gene, 21812 [Source:MGI Symbol;Acc:MGI:5433976] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPNKVVFPQVRDVHLTSALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIVPETPLAEARSADDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136639.1 pep:known chromosome:GRCm38:Y:9344971:9345654:-1 gene:ENSMUSG00000094773.2 transcript:ENSMUST00000179590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21812 description:predicted gene, 21812 [Source:MGI Symbol;Acc:MGI:5433976] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPNKVVFPQVRDVHLTSALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIVPETPLAEARSADDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000107887.1 pep:known chromosome:GRCm38:14:29968308:29975074:1 gene:ENSMUSG00000042682.8 transcript:ENSMUST00000112268.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Selk description:selenoprotein K [Source:MGI Symbol;Acc:MGI:1931466] MVYISNGQVLDSRNQSPWRVSFLTDFFWGIAEFVVFFFKTLLQQDVKKRRGYGSSSDSRY DDGRGPPGNPPRRMGRISHLRGPSPPPMAGGUGR >ENSMUSP00000031097.7 pep:known chromosome:GRCm38:5:36473670:36484285:-1 gene:ENSMUSG00000029196.9 transcript:ENSMUST00000031097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tada2b description:transcriptional adaptor 2B [Source:MGI Symbol;Acc:MGI:3035274] MAELGKKYCVYCLAEVSPLRFRCTECQDIELCPECFSAGAEIGHHRRYHGYQLVDGGRFT LWGPEAEGGWTSREEQLLLDAIEQFGFGNWEDMAAHVGASRTPQEVMEHYVSMYIHGNLG KACIPDTIPNRVTDHTCPSGGPLSPSLTTPLPPLDISVAEQQQLGYMPLRDDYEIEYDQD AETLISGLSVNYDDDDVEIELKRAHVDMYVRKLKERQRRKNIARDYNLVPAFLGKDKKEK EKTLKRKITKEEKELRLKLRPLYQFMSCKEFDDLFENMHKEKMLRAKIRELQRYRRNGIT KMEESAEYEAARHKRERRKENKNLASSKRGKEDGKDSEFAAIENLPGFELLSDREKVLCS SLNLSPARYVTVKTIIIKDHLQKRQGIPSKSRLPSYLDKVLKKRILNFLTESGWISRDAS >ENSMUSP00000114124.1 pep:known chromosome:GRCm38:5:36475742:36484112:-1 gene:ENSMUSG00000029196.9 transcript:ENSMUST00000119916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tada2b description:transcriptional adaptor 2B [Source:MGI Symbol;Acc:MGI:3035274] MAELGKKYCVYCLAEEDMAAHVGASRTPQEVMEHYVSMYIHGNLGKACIPDTIPNRVTDH TCPSGGPLSPSLTTPLPPLDISVAEQQQLGYMPLRDDYEIEYDQDAETLISGLSVNYDDD DVEIELKRAHVDMYVRKLKERQRRKNIARDYNLVPAFLGKDKKEKEKTLKRKITKEEKEL RLKLRPLYQFMSCKEFDDLFENMHKEKMLRAKIRELQRYRRNGITKMEESAEYEAARHKR ERRKENKNLASSKRGKEDGKDSEFAAIENLPGFELLSDREKVLCSSLNLSPARYVTVKTI IIKDHLQKRQGIPSKSRLPSYLDKVLKKRILNFLTESGWISRDAS >ENSMUSP00000128802.1 pep:known chromosome:GRCm38:15:83089508:83104367:1 gene:ENSMUSG00000058586.11 transcript:ENSMUST00000168029.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serhl description:serine hydrolase-like [Source:MGI Symbol;Acc:MGI:1890404] MAMDFGGHGLSSHYNPGLPYYQQNFVSEVRRVATAFKWNQFTLLGHSFGGCVGGTFACMF PEMVDKLILLDSTPFFLDSNEMENILTYRRRNIEHTLQV >ENSMUSP00000132086.1 pep:known chromosome:GRCm38:15:83092883:83101997:1 gene:ENSMUSG00000058586.11 transcript:ENSMUST00000167862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serhl description:serine hydrolase-like [Source:MGI Symbol;Acc:MGI:1890404] MAMDFGGHGLSSHYNPGLPYYQQNFVSEVRRVATGEPE >ENSMUSP00000077345.4 pep:known chromosome:GRCm38:15:83100204:83116674:1 gene:ENSMUSG00000058586.11 transcript:ENSMUST00000078218.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serhl description:serine hydrolase-like [Source:MGI Symbol;Acc:MGI:1890404] MGLHSELKLAVPWGHIALKVWGSQKNPPVLCLHGWLDNANSFDRLIPLLPQDFCYMAMDF GGHGLSSHYNPGLPYYQQNFVSEVRRVATAFKWNQFTLLGHSFGGCVGGTFACMFPEMVD KLILLDSTPFFLDSNEMENILTYRRRNIEHTLQVEASQKKSLRAVSPEEMLQGFLNNNSH LDKDCGELILQRGTTKVDAGLVLNRDRRISWPENSFDFVSKEMFVHSAKSLQASVLMIKA LQGYYDVRRANDADKAPMHFMVDTLRSTLKERFQFVEVPGNHYIHMNKPQVVAGVVGPFL QGLQRMTSARL >ENSMUSP00000128251.1 pep:known chromosome:GRCm38:15:83100294:83102626:1 gene:ENSMUSG00000058586.11 transcript:ENSMUST00000167389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serhl description:serine hydrolase-like [Source:MGI Symbol;Acc:MGI:1890404] MDFCYMAMDFGGHGLSSHYNPGLPYYQQNFVSEVRRVATAFKWNQFTLLGHSFG >ENSMUSP00000132686.1 pep:known chromosome:GRCm38:15:83100294:83116674:1 gene:ENSMUSG00000058586.11 transcript:ENSMUST00000166427.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serhl description:serine hydrolase-like [Source:MGI Symbol;Acc:MGI:1890404] MQGLTDQICLAGLHSELKLAVPWGHIALKVWGSQKNPPVLCLHGWLDNANSFDRLIPLLP QDFCYMAMDFGGHGLSSHYNPGLPYYQQNFVSEVRRVATAFKWNQFTLLGHSFGGCVGGT FACMFPEMVDKLILLDSTPFFLDSNEMENILTYRRRNIEHTLQVEASQKKSLRAVSPEEM LQGFLNNNSHLDKDCGELILQRGTTKVDAGLVLNRDRRISWPENSFDFVSKEMFVHSAKS LQASVLMIKALQGYYDVRRANDADKAPMHFMVDTLRSTLKERFQFVEVPGNHYIHMNKPQ VVAGVVGPFLQGLQRMTSARL >ENSMUSP00000127495.1 pep:known chromosome:GRCm38:15:83104361:83114405:1 gene:ENSMUSG00000058586.11 transcript:ENSMUST00000169814.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serhl description:serine hydrolase-like [Source:MGI Symbol;Acc:MGI:1890404] XVEASQKKSLRAVSPEEMLQGFLNNNSHLDKDCGELILQRGTTKVDAGLVLNRDRRISW >ENSMUSP00000079881.4 pep:known chromosome:GRCm38:11:58566399:58567343:-1 gene:ENSMUSG00000059279.10 transcript:ENSMUST00000081102.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr224 description:olfactory receptor 224 [Source:MGI Symbol;Acc:MGI:3030058] MSNYTGQSYFTLVGLFSQSKHPALLAVVIFVVFLMSLSGNALLILLILSDTHLHTPMYFF ISQLSLMDMMYISVTVPKMLMDRVLGNHKISAAACGMQMFLYMSLGGSEFLLLAAMSYDR YVAICHPLRYPVLMNHRVCLLLISTCWILGSLDGFMFTPVTMTFPFCGSREIHHFFCEAP AVTKLSCSDTWLYETLMYVCCVLMILIPVTVISGSYSSILLTVLRMNSAEGRKKALATCS SHMTVVTLFYGAAIYTYIFPASLHSPEKDMVVSVFYTILTPLLNPLIYSLRNKNVTEAMK KLLGIRLHFPETVK >ENSMUSP00000110872.1 pep:known chromosome:GRCm38:5:21662901:21701394:-1 gene:ENSMUSG00000044968.16 transcript:ENSMUST00000115217.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Napepld description:N-acyl phosphatidylethanolamine phospholipase D [Source:MGI Symbol;Acc:MGI:2140885] MDEYEDSQSPAPSYQYPKETLRKRQNSVQNSGGSVSSRFSRKSFKLDYRLEEDVTKSKKG KDGRFVNPWPTWKNISIPNVLRWLIMEKNHSGVPGSKEELDKELPVLKPYFVSDPEDAGV REAGLRVTWLGHATLMVEMDELIFLTDPMFSSRASPSQYMGPKRFRRPPCTISELPTIDA VLISHNHYDHLDYGSVLALNERFGSELRWFVPLGLLDWMQKCGCENVIELDWWEENCVPG HDKVTFVFTPSQHWCKRTLLDDNKVLWGSWSVLGPWSRFFFAGDTGYCPAFEEIGKRFGP FDLAAIPIGAYEPRWFMKYQHADPEDAVRIHIDLQTKRSVAIHWGTFALANEHYLEPPVK LNEALERYGLSCEDFFILKHGESRYLNTDDRAFEET >ENSMUSP00000054458.8 pep:known chromosome:GRCm38:5:21662903:21701396:-1 gene:ENSMUSG00000044968.16 transcript:ENSMUST00000060899.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Napepld description:N-acyl phosphatidylethanolamine phospholipase D [Source:MGI Symbol;Acc:MGI:2140885] MDEYEDSQSPAPSYQYPKETLRKRQNSVQNSGGSVSSRFSRKSFKLDYRLEEDVTKSKKG KDGRFVNPWPTWKNISIPNVLRWLIMEKNHSGVPGSKEELDKELPVLKPYFVSDPEDAGV REAGLRVTWLGHATLMVEMDELIFLTDPMFSSRASPSQYMGPKRFRRPPCTISELPTIDA VLISHNHYDHLDYGSVLALNERFGSELRWFVPLGLLDWMQKCGCENVIELDWWEENCVPG HDKVTFVFTPSQHWCKRTLLDDNKVLWGSWSVLGPWSRFFFAGDTGYCPAFEEIGKRFGP FDLAAIPIGAYEPRWFMKYQHADPEDAVRIHIDLQTKRSVAIHWGTFALANEHYLEPPVK LNEALERYGLSCEDFFILKHGESRYLNTDDRAFEET >ENSMUSP00000128086.1 pep:known chromosome:GRCm38:7:42705469:42727017:-1 gene:ENSMUSG00000091594.2 transcript:ENSMUST00000166837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17067 description:predicted gene 17067 [Source:MGI Symbol;Acc:MGI:4937894] MDALTFDDVHVHFTREEWSLLDPSQKRLYKDVMLEIYKNLTAIGYKWKDHNVGEHSQNDR RYGRHERSPAGENHNEYTQCDKAFTYHSHLQKLERNQTREKHSEGFQHMEALACQSSLQI HKITHTGEKPYKCNQCDKAFAQLKYLRLHERIHTGEKPYKCDQCGKAFAQGSNLKVHTRM HTGEKPYECNQCGKAFLQSSCLRIHERTHTGEKPYKCNQCDKAFAQLKYLRLHERTHTGE KPYKCDQCDKAFAQDSNLKVHTRMHTGKKPYECNQCGKTFLQPSYLRVHEKTHTGEKPYK CNQCGKAFAQVKYLRLHERRHTGEKPYKCDQCGKAFTQRGQLQTHKVIHTGGRL >ENSMUSP00000136460.1 pep:known chromosome:GRCm38:7:42706247:42706369:-1 gene:ENSMUSG00000091594.2 transcript:ENSMUST00000180131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17067 description:predicted gene 17067 [Source:MGI Symbol;Acc:MGI:4937894] EKPYECILNCKAFGNFCSLHDHESIHRGVNLCECKQCGKPF >ENSMUSP00000031099.3 pep:known chromosome:GRCm38:5:36464998:36474080:1 gene:ENSMUSG00000029198.3 transcript:ENSMUST00000031099.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grpel1 description:GrpE-like 1, mitochondrial [Source:MGI Symbol;Acc:MGI:1334417] MAARCVRLARRSLPALALSFRPSPRLLCTATKQKNNGQNLDEDLGHCEPKTDPPSADKTL LEEKAKLEEQLRETMEKYKRALADTENLRQRSQKLVEEAKLYGIQGFCKDLLEVADILEK ATQSVPKEEISNNNPHLKSLYEGLVMTEVQIQKVFTKHGLLRLDPIGAKFDPYEHEALFH TPVEGKEPGTVALVSKVGYKLHGRTLRPALVGVVKDA >ENSMUSP00000028328.2 pep:known chromosome:GRCm38:2:25395874:25401321:1 gene:ENSMUSG00000015085.8 transcript:ENSMUST00000028328.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd2 description:ectonucleoside triphosphate diphosphohydrolase 2 [Source:MGI Symbol;Acc:MGI:1096863] MAGKLVSLVPPLLLAAVGLAGLLLLCVPTQDVREPPALKYGIVLDAGSSHTSMFVYKWPA DKENDTGIVGQHSSCDVRGGGISSYANDPSRAGQSLVECLEQALRDVPKDRYASTPLYLG ATAGMRLLNLTSPEATAKVLEAVTQTLTRYPFDFRGARILSGQDEGVFGWVTANYLLENF IKYGWVGRWIRPRKGTLGAMDLGGASTQITFETTSPSEDPDNEVHLRLYGQHYRVYTHSF LCYGRDQVLQRLLASALQIHRFHPCWPKGYSTQVLLREVYQSPCTMGQRPQTFNSSATVS LSGTSNAALCRDLVSGLFNISSCPFSQCSFNGVFQPPVAGNFIAFSAFYYTVDFLKTVMG LPVGTLKQLEDATETTCNQTWAELQARVPGQQTRLPDYCAVAMFIHQLLSRGYRFDERSF RGVVFEKKAADTAVGWALGYMLNLTNLIPADLPGLRKGTHFSSWVALLLLFTVLILAALV LLLRQVRSAKSPGAL >ENSMUSP00000076891.4 pep:known chromosome:GRCm38:4:63558781:63586357:1 gene:ENSMUSG00000045917.17 transcript:ENSMUST00000077709.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330416G13Rik description:RIKEN cDNA 6330416G13 gene [Source:MGI Symbol;Acc:MGI:1913920] MACEPPTDPGGAAGPLPTSTLGCNILPQGNPPGWGQELHNGQVLTVLRIDNTCAPISFDL GAAEEQLQAWGIQVPAEQYRNLAESALLEPQVRRYIIYNSRPMRLAFAVVFYVLVWANIY STSQMFALGNQWAGVLLATLAAFSLTLTLVLVFERQQRKANTNTDLRLVAANGALLRHRV LLGVTDTVEGCQSVIQLWFVYFDLENCVQFLSDHVQEMKRSQESLLRSRLSQLCVVMETG VSPVVEGPEDLEDAPLLPSTPGPQERPLTQTELYQLVPEAEPEEMARQLLAVFGGYYTRL LVTSRLPQSMGTRHMDSARIPCPCQLIEVHVLGTGCCPFLAR >ENSMUSP00000120335.1 pep:known chromosome:GRCm38:4:63560227:63570062:1 gene:ENSMUSG00000045917.17 transcript:ENSMUST00000124332.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330416G13Rik description:RIKEN cDNA 6330416G13 gene [Source:MGI Symbol;Acc:MGI:1913920] MACEPPTDPGGAAGPLPTSTLGCNILPQGNPPGWGQELHNGQVLTVLRIDNTCAPISFDL GAAEEQLQAWGIQVPAEQYRNLAESALLEPQVRRYIIYNSRPMRLAFAVVFYVLVWANIY STSQMFALGNQWAGVLLATLAAFSLTLTL >ENSMUSP00000114729.1 pep:known chromosome:GRCm38:4:63560227:63570093:1 gene:ENSMUSG00000045917.17 transcript:ENSMUST00000150360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330416G13Rik description:RIKEN cDNA 6330416G13 gene [Source:MGI Symbol;Acc:MGI:1913920] MACEPPTDPGGAAGPLPTSTLGCNILPQGNPPGWGQELHNGQVLTVLRIDNTCAPISFDL GAAEEQLQAWGIQVPAEQYRNLAESALLEPQVRRYIIYNSRPMRLAFAVVFYVLVWANIY STSQMFALGNQWAGVLLATLAAFSLTLTLVLVFERQQRK >ENSMUSP00000079211.2 pep:known chromosome:GRCm38:4:63560360:63586353:1 gene:ENSMUSG00000045917.17 transcript:ENSMUST00000080336.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330416G13Rik description:RIKEN cDNA 6330416G13 gene [Source:MGI Symbol;Acc:MGI:1913920] MACEPPTDPGGAAGPLPTSTLGCNILPQGNPPGWGQELHNGQVLTVLRIDNTCAPISFDL GAAEEQLQAWGIQVPAEQYRNLAESALLEPQVRRYIIYNSRPMRLAFAVVFYVLVWANIY STSQMFALGNQWAGVLLATLAAFSLTLTLVLVFERQQRKANTNTDLRLVAANGALLRHRV LLGVTDTVEGCQSVIQLWFVYFDLENCVQFLSDHVQEMKRSQESLLRSRLSQLCVVMETG VSPVVEGPEDLEDAPLLPSTPGPQERPLTQTELYQLVPEAEPEEMARQLLAVFGGYYTRL LVTSRLPQSMGTRHMDSARIPCPCQLIEVHVLGTGCCPFLAR >ENSMUSP00000128192.1 pep:known chromosome:GRCm38:19:44548572:44555426:-1 gene:ENSMUSG00000025204.10 transcript:ENSMUST00000171415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb8 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex 8 [Source:MGI Symbol;Acc:MGI:1914514] MAAARAAALGVRWLQRTTRGVVPLEARRAFHMTKDMLPGSYPRTPEERAAAAKKYNMRVE DYEPYPDDGMGYGDYPMLPNRSQHERDPWYQWDHSELRMNWGEPIHWDLDMYIRNRVDTS PTPVSWDVMCKHLFGFVAFMVFMFWVGHVFPSYQPVE >ENSMUSP00000026222.4 pep:known chromosome:GRCm38:19:44550240:44555440:-1 gene:ENSMUSG00000025204.10 transcript:ENSMUST00000026222.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb8 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex 8 [Source:MGI Symbol;Acc:MGI:1914514] MAAARAAALGVRWLQRTTRGVVPLEARRAFHMTKDMLPGSYPRTPEERAAAAKKYNMRVE DYEPYPDDGMGYGDYPMLPNRSQHERDPWYQWDHSELRMNWGEPIHWDLDMYIRNRVDTS PTPVSWDVMCKHLFGFVAFMVFMFWVGHVFPSYQPVGPKQYPYNNLYLERGGDPTKEPEP VVHYDI >ENSMUSP00000132740.1 pep:known chromosome:GRCm38:19:44550253:44555419:-1 gene:ENSMUSG00000025204.10 transcript:ENSMUST00000168083.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufb8 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex 8 [Source:MGI Symbol;Acc:MGI:1914514] MAAARAAALGVRWLQRTTRGVVPLEARRGPQRNAPRPPRSITCEWKTTSHTPMMAWGMAT TRCSPTDHSMRGIRGISGTTQNSG >ENSMUSP00000132421.1 pep:known chromosome:GRCm38:19:44552622:44554986:-1 gene:ENSMUSG00000025204.10 transcript:ENSMUST00000169304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb8 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex 8 [Source:MGI Symbol;Acc:MGI:1914514] KKYNMRVEDYEPYPDDGMGYGDYPMLPNRSQHERDPWYQWDHSELRMNWGEPIHWDLDMY IRNRVDTSPTPVSWDVMCKHLFGFVAFMVFMFWVGHVFPSYQPVVSISCAL >ENSMUSP00000130918.1 pep:known chromosome:GRCm38:19:44552840:44555404:-1 gene:ENSMUSG00000025204.10 transcript:ENSMUST00000167027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufb8 description:NADH dehydrogenase (ubiquinone) 1 beta subcomplex 8 [Source:MGI Symbol;Acc:MGI:1914514] MAAARAAALGVRWLQRTTRGVVPLEARRGLHGVGSGCLKFTASGWRHPWDGGGGVLAGAF HMTKDMLPGSYPRTPEERAAAAKKYNMRVEDYEPYPDDGMGYGDYPMLPNRSQHERDPWY QWDHSELRMNWGEPIHWDL >ENSMUSP00000020078.6 pep:known chromosome:GRCm38:10:58323466:58424691:1 gene:ENSMUSG00000019920.17 transcript:ENSMUST00000020078.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lims1 description:LIM and senescent cell antigen-like domains 1 [Source:MGI Symbol;Acc:MGI:1195263] MLGVAAGMTNSNMANALASATCERCKGGFAPAEKIVNSNGELYHEQCFVCAQCFQQFPEG LFYEFEGRKYCEHDFQMLFAPCCHQCGEFIIGRVIKAMNNSWHPECFRCDLCQEVLADIG FVKNAGRHLCRPCHNREKARGLGKYICQKCHAIIDEQPLIFKNDPYHPDHFNCANCGKEL TADARELKGELYCLPCHDKMGVPICGACRRPIEGRVVNAMGKQWHVEHFVCAKCEKPFLG HRHYERKGLAYCETHYNQLFGDVCFHCNRVIEGDVVSALNKAWCVSCFACSTCNTKLTLK NKFVEFDMKPVCKKCYEKFPLELKKRLKKLSETLGRK >ENSMUSP00000020077.3 pep:known chromosome:GRCm38:10:58394373:58421783:1 gene:ENSMUSG00000019920.17 transcript:ENSMUST00000020077.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lims1 description:LIM and senescent cell antigen-like domains 1 [Source:MGI Symbol;Acc:MGI:1195263] MAFPGRARPCTIPENEEIPQTALNSVLEANGNEDERAVSNLQRRHSDVKVYKEFCDFYAK FNMANALASATCERCKGGFAPAEKIVNSNGELYHEQCFVCAQCFQQFPEGLFYEFEGRKY CEHDFQMLFAPCCHQCGEFIIGRVIKAMNNSWHPECFRCDLCQEVLADIGFVKNAGRHLC RPCHNREKARGLGKYICQKCHAIIDEQPLIFKNDPYHPDHFNCANCGKELTADARELKGE LYCLPCHDKMGVPICGACRRPIEGRVVNAMGKQWHVEHFVCAKCEKPFLGHRHYERKGLA YCETHYNQLFGDVCFHCNRVIEGDVVSALNKAWCVSCFACSTCNTKLTLKDKFVEIDLKP VCKYCYEKMPEEFKRRLAKREREAKDKDKQRKRKPV >ENSMUSP00000101108.1 pep:known chromosome:GRCm38:10:58394388:58421578:1 gene:ENSMUSG00000019920.17 transcript:ENSMUST00000105468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lims1 description:LIM and senescent cell antigen-like domains 1 [Source:MGI Symbol;Acc:MGI:1195263] MAFPGRARPCTIPENEEIPQTALNSVLEANGNEDERAVSNLQRRHSDVKVYKEFCDFYAK FNMANALASATCERCKGGFAPAEKIVNSNGELYHEQCFVCAQCFQQFPEGLFYEFEGRKY CEHDFQMLFAPCCHQCGEFIIGRVIKAMNNSWHPECFRCDLCQEVLADIGFVKNAGRHLC RPCHNREKARGLGKYICQKCHAIIDEQPLIFKNDPYHPDHFNCANCGKELTADARELKGE LYCLPCHDKMGVPICGACRRPIEGRVVNAMGKQWHVEHFVCAKCEKPFLGHRHYERKGLA YCETHYNQLFGDVCFHCNRVIEGDVVSALNKAWCVSCFACSTCNTKLTLKNKFVEFDMKP VCKKCYEKFPLELKKRLKKLSETLGRK >ENSMUSP00000112058.2 pep:known chromosome:GRCm38:7:4915217:4922002:1 gene:ENSMUSG00000074406.5 transcript:ENSMUST00000116354.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp628 description:zinc finger protein 628 [Source:MGI Symbol;Acc:MGI:2665174] MAGSHVDMAPASTTEGTGEKPGPTAPAPTPAAQYECGECGKSFRWSSRLLHHQRTHTGER PYKCPDCPKAFKGSSALLYHQRGHTGERPYQCPDCPKAFKRSSLLQIHRSVHTGLRAFTC GQCGLAFKWSSHYQYHLRQHTGERPYPCPDCPKAFKNSSSLRRHRHVHTGERPYTCGICG KSFTQSTNLRQHQRVHTGERPFRCPLCPKTFTHSSNLLLHHRTHGPAPGPAPAPAPPGET SRADTKVLVSDAYLQPRSPPEPPAPPPQPPPVVPELFLAAAETTVELVYRCDGCEQGFSS EELLLEHQPCPGPPVATQSQDVPAELPQADSALPQPPPATPGPPNFACLPCGKSFRTVAG LSRHQHSHGAASGQAFRCGSCDGAFPQLASLLAHQQCHVEEAAAGRPPPQAEVAEVTCPQ EPVAPATPAPPPPPPPAPVVSAERPYKCAECGKAFKGSSGLRYHLRDHTGERPYQCGECG KAFKRSSLLAIHQRVHTGLRAFTCGQCGLTFKWSSHYQYHLRLHSGERPYACTECGKAFR NTSCLRRHRHVHTGERPHSCSVCGKSFAQTSNLRQHQRVHTGERPFRCPLCPKTFTHSSN LLLHQRTHSAERPFACPICGRGFVMAAYLQRHLRTHTPATTTSGTTGSAVASQPPAPLAA APTPLAAQDVHVLPNLQATLSLEVAGGTAQPTPPGPAAPSSQTFLLVQTAQGLQLIPSSV QSPTPPPPPPPPKVILLPPASAGGPGSGAARPGPRSVGKAGQGTGVVWFPGPGGLGLQGG ANAGASGGGQSLIVLQNVGSGETGPQEVSGVQLQPAQEVATVQLQPAQEVTTVQLQPAQE VTTVQLQPLTGQVSNSNGGAGTTEAPNLLLVQSGATEELLTGPGPGEVGDSEAGAGVVQD VLFETLQTDEGLQSVLVLSGADGEQTRLCVQEVETLSPGLAEPAATGPSGQKLLIIRSAP ATDLLENSSVAGGTTTLQLLAPSAPGPVSAPVGVPVAPPSQMVQVVPAVAGPGVMAPQNL PSIQIVQTLPAVQLVHTF >ENSMUSP00000116143.1 pep:known chromosome:GRCm38:10:13499540:13501177:1 gene:ENSMUSG00000019810.15 transcript:ENSMUST00000130865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuca2 description:fucosidase, alpha-L- 2, plasma [Source:MGI Symbol;Acc:MGI:1914098] MRL >ENSMUSP00000114021.1 pep:known chromosome:GRCm38:10:13499544:13505880:1 gene:ENSMUSG00000019810.15 transcript:ENSMUST00000120549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuca2 description:fucosidase, alpha-L- 2, plasma [Source:MGI Symbol;Acc:MGI:1914098] MNNNYAPGFKYEDFVVLFTAKYFNANQWADILQASGAKYVVFTSKHHEGFTMWGSDRSWN WNAVDEGPKRDIVKELEVAVRNRTGLHFGLYYSLF >ENSMUSP00000055519.5 pep:known chromosome:GRCm38:10:13501001:13515543:1 gene:ENSMUSG00000019810.15 transcript:ENSMUST00000060212.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuca2 description:fucosidase, alpha-L- 2, plasma [Source:MGI Symbol;Acc:MGI:1914098] MRLGFLMLLPLLLLPLLRPWGVTRALSYDPTWESLDRRPLPAWFDQAKFGIFIHWGVFSV PSFGSEWFWWYWQKEKKPQFVDFMNNNYAPGFKYEDFVVLFTAKYFNANQWADILQASGA KYVVFTSKHHEGFTMWGSDRSWNWNAVDEGPKRDIVKELEVAVRNRTGLHFGLYYSLFEW FHPLFLEDQSSSFQKQRFPVSKTLPELYELVNRYQPEVLWSDGDGGAPDHYWNSTGFLAW LYNESPVRKTVVTNDRWGVGSICKHGGYYTCSDRYNPGYLLPHKWENCMTIDKFSWGYRR EAEISDYLTIEELVKKLVETVACGGNLLMNIGPTGDGTIPVIFEERLRQMGTWLKVNGEA IYETHTWRSQNDTVTPDVWYTSKPEKKLVYAIFLKWPISGKLFLGQPIGSLGETEVELLG HWQPLTWTSSQPSGITVELPLLSVHQMPCKWGWTLVLSNVI >ENSMUSP00000113499.2 pep:known chromosome:GRCm38:10:13501001:13519035:1 gene:ENSMUSG00000019810.15 transcript:ENSMUST00000121465.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fuca2 description:fucosidase, alpha-L- 2, plasma [Source:MGI Symbol;Acc:MGI:1914098] MRLGFLMLLPLLLLPLLRPWGVTRALSYDPTWESLDRRPLPAWFDQAKFGIFIHWGVFSV PSFGSEWFWWYWQKEKKPQFVDFMNNNYAPGFKYEDFVVLFTAKYFNANQWADILQASGA KYVVFTSKHHEGFTMWGSDRSWNWNAVDEGPKRDIVKELEVAVRNRTGLHFGLYYSLFEW FHPLFLEDQSSSFQKQRFPVSKTLPELYELVNRYQPEVLWSDGDGGAPDHYWNSTGFLAW LYNESPVRKTVVTNDRWGVGSICKHGGYYTCSDRYNPGYLLPHKWENCMTIDKFSWGYRR EAEISDYLTIEELVKKLVETVACGGNLLMNIGPTGDGTIPVIFEERLRQMGTWLKVNGEA IYETHTWRSQNDTVTPDVWYTSKPEKKLVYAIFLKWPISGKLFLGQPIGSLGETEVELLG HWQPLTWTSSQPSGITVELPLLSVHQMPCKWGWTLVLSNVI >ENSMUSP00000070463.3 pep:known chromosome:GRCm38:4:62189540:62208446:-1 gene:ENSMUSG00000028389.10 transcript:ENSMUST00000068822.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp37 description:zinc finger protein 37 [Source:MGI Symbol;Acc:MGI:99181] MATSEPAESDAVRAKEWEQLEPVQRDVYKDTKLENCSNPASMGNQDPKQDIVSVLEEEEP SSGKGKKASPSSLKKIARPKTAGTSAKLQQDDEHREEKQKSQSKLTKEVTLRKKSSNSKK SSEYGLLENKSLHSKHTPSEKKLLKSSSRGKNSNQNSDSLKKKPDTANDHRKSLSHSASD VNKDEIPTRKKCDKLPNNKLSDKGDKNQTSKKCEKVCRHSASHTKEDKIQTGEKRKSHCR TPSKPEKAPGSGKPYECNHCGKVLSHKQGLLDHQRTHTGEKPYECNECGIAFSQKSHLVV HQRTHTGEKPYECEQCGKAHGHKHALTDHLRIHTGEKPYKCNECGKTFRHSSNLMQHLRS HTGEKPYECKECGKSFRYNSSLTEHVRTHTGEIPYECNECGKAFKYGSSLTKHMRIHTGE KPFECNECGKTFSKKSHLVIHQRTHTKEKPYKCDECGKAFGHSSSLTYHMRTHTGDCPFE CNQCGKAFKQIEGLTQHQRVHTGEKPYECVECGKAFSQKSHLIVHQRTHTGEKPFECYEC GKAFNAKSQLVIHQRSHTGEKPYECIECGKAFKQNASLTKHMKIHSEEQSEEED >ENSMUSP00000022460.4 pep:known chromosome:GRCm38:14:32028989:32062197:1 gene:ENSMUSG00000021903.11 transcript:ENSMUST00000022460.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt15 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 15 [Source:MGI Symbol;Acc:MGI:1926004] MLPRKRPRSGRSRLQFLLLFLTLGCVLMMVILLHPPPPTLHQAVTAQASKHSPDTGYRLD FGDSQEWVLEAETEGDEYSLLDGLPSFISLQEDQLLVAVASPRARRSQSQGRRQGSYQFI KHRSRRWDEEALEKDWRTEEDGEESEEVLTPLGPDSDGLNKPLSARLPLRRVLPEVRHPL CLQQHPTSGLPTASVILCFHDEAWPTLLRTVHSILDTAPRALLQEIILVDDLSQQELLKS ALSEYVARLEAVKLLRSNRRLGTIGARMLGATRATGDVLVFMDAHCECHPGWLEPLLSRI ADDRSRVVSPVIDVIDWKTLQYSASKLHRGTLDWKLDFRWKPLGEQEQKALPSPISPVRS PVVPREVVAVDRHYFQNTGAYDPLLSLGDSENLEMSFKAWLCGGSVEILPCSRVGHIYRS QDASSRPDPEVALKNKIIIAETWLSSFKETFYRHIPEAFTLSKVAKPDCTERLKLQRRLG CRTFHWFLANVYPELYPSDHRPRFSGKLHNTGFGLCADCQADGDILGCPMTLAPCSNNRQ QQNLEHTGRKEILFGGPQRLCFDVRGGRVILQNCTEEGPAIHQQHWDFQEDGMIIHVLSG KCMEAGVQPSNKDLYLRQCDGKTSQLWRFDQIHPVDER >ENSMUSP00000131978.1 pep:known chromosome:GRCm38:14:32029410:32060227:1 gene:ENSMUSG00000021903.11 transcript:ENSMUST00000164208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt15 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 15 [Source:MGI Symbol;Acc:MGI:1926004] MLPRKRPRSGRSRLQFLLLFLTLGCVLMMVILLHPPPPTLHQAVTAQASKHSPDTGYRLD FGDSQEWVLEAETEGDEYSLLDGLPSFISLQEDQLLVAVASPRARRSQSQGRRQGSYQFI KHRSRRWDEEALEKDWRTEEDGEESEEVLTPLGPDSDGLNKPLSARLPLRRVLPEVRHPL SRVVSPVIDVIDWKTLQYSASKLHRGTLDWKLDFRWKPLGEQEQKALPSPISPVRSPVVP REVVAVDRHYFQNTGAYDPLLSLGDSENLEMSFKAWLCGGSVEILPCSRVGHIYRSQDAS SRPDPEVALKNKIIIAETWLSSFKETFYRHIPEAFTLSKVAKPDCTERLKLQRRLGCRTF HWFLANVYPELYPSDHRPRFSGKLHNTGFGLCADCQADGDILGCPMTLAPCSNNRQQQNL EHTGRKEILFGGPQRLCFDVRGGRVILQNCTEEGPAIHQQHWDFQEDGMIIHVLSGKCME AGVQPSNKDLYLRQCDGKTSQLWRFDQIHPVDER >ENSMUSP00000025636.6 pep:known chromosome:GRCm38:19:11067471:11081102:-1 gene:ENSMUSG00000024730.7 transcript:ENSMUST00000025636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a8a description:membrane-spanning 4-domains, subfamily A, member 8A [Source:MGI Symbol;Acc:MGI:1927657] MNRPTAQGAVNLSGSKFSTAKSWEPEQERLTWQPGTVSMNTVTSPGPMANSVYVVAPPNS YPVVPGTVPQMPIYPSNQPQVHVISGHLPGLVPAMTEPPAQRVLKKGQVLGAIQILIGLV HIGLGSIMITNLFSHYTPVSLYGGFPFWGGIWFIISGSLSVAAETQPNSPCLLNGSVGLN IFSAICSAVGIMLFITDISISSGYIYPSYYPYQENLGVRTGVAISSVLLIFCLLELSIAS VSSHFGCQVACCHYNNPGVVIPNVYAANPVVIPEPPNPIPSYSEVVQDSR >ENSMUSP00000004955.7 pep:known chromosome:GRCm38:11:61729654:61762062:-1 gene:ENSMUSG00000020528.14 transcript:ENSMUST00000004955.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpsap2 description:phosphoribosyl pyrophosphate synthetase-associated protein 2 [Source:MGI Symbol;Acc:MGI:2384838] MFCVAPPELETKMNITKGGLVLFSANSNSSCMELSKKIAERLGVEMGKVQVYQEPNRETR VQIQESVRGKDVFIIQTISKDVNTTIMELLIMVYACKTSCAKSIIGVIPYFPYSKQCKMR KRGSIVSKLLASMMCKAGLTHLITMDLHQKEIQGFFNIPVDNLRASPFLLQYIQEEIPDY RNAVIVAKSPASAKRAQSFAERLRLGIAVIHGEAQDAESDLVDGRHSPPMVRSVAAIHPS LEIPMLIPKEKPPITVVGDVGGRIAIIVDDIIDDVDSFLAAAETLKERGAYKIFVMATHG LLSSDAPRLIEESAIDEVVVTNTIPHEIQKLQCPKIKTVDISMILSEAIRRIHNGESMSY LFRNIGLDD >ENSMUSP00000126274.1 pep:known chromosome:GRCm38:11:61729650:61762088:-1 gene:ENSMUSG00000020528.14 transcript:ENSMUST00000168115.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpsap2 description:phosphoribosyl pyrophosphate synthetase-associated protein 2 [Source:MGI Symbol;Acc:MGI:2384838] MFCVAPPELETKMNITKGGLVLFSANSNSSCMELSKKIAERLGVEMGKVQVYQEPNRETR VQIQESVRGKDVFIIQTISKDVNTTIMELLIMVYACKTSCAKSIIGVIPYFPYSKQCKMR KRGSIVSKLLASMMCKAGLTHLITMDLHQKEIQGFFNIPVDNLRASPFLLQYIQEEIPDY RNAVIVAKSPASAKRAQSFAERLRLGIAVIHGEAQDAESDLVDGRHSPPMVRSVAAIHPS LEIPMLIPKEKPPITVVGDVGGRIAIIVDDIIDDVDSFLAAAETLKERGAYKIFVMATHG LLSSDAPRLIEESAIDEVVVTNTIPHEIQKLQCPKIKTVDISMILSEAIRRIHNGESMSY LFRNIGLDD >ENSMUSP00000102381.3 pep:known chromosome:GRCm38:3:104822779:104864505:-1 gene:ENSMUSG00000070372.11 transcript:ENSMUST00000094028.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capza1 description:capping protein (actin filament) muscle Z-line, alpha 1 [Source:MGI Symbol;Acc:MGI:106227] MADFEDRVSDEEKVRIAAKFITHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNMD QFTPVKIEGYDDQVLITEHGDLGNSRFLDPRNQISFKFDHLRKEASDPQPEDVDGGLKSW RESCDSALRAYVKDHYSNGFCTVYAKTIDGQQTIIACIESHQFQPKNFWNGRWRSEWKFT ITPPSAQVVGVLKIQVHYYEDGNVQLVSHKDVQDSVTVSNEIQTTKEFIKIIESAENEYQ TAISENYQTMSDTTFKALRRQLPVTRTKIDWNKILSYKIGKEMQNA >ENSMUSP00000142606.1 pep:known chromosome:GRCm38:3:104834138:104864400:-1 gene:ENSMUSG00000070372.11 transcript:ENSMUST00000195912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capza1 description:capping protein (actin filament) muscle Z-line, alpha 1 [Source:MGI Symbol;Acc:MGI:106227] MADFEDRVSDEEKVRIAAKFITHAPPGEFNEVFNDVRLLLNNDNLLREGAAHAFAQYNMD QFTPVKIEGYDDQVYAKTIDGQQTIIACIESHQFQPKNFWNGRWRSEWKFTIT >ENSMUSP00000126763.1 pep:known chromosome:GRCm38:19:44543722:44552831:-1 gene:ENSMUSG00000091471.1 transcript:ENSMUST00000166808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20538 description:predicted gene 20538 [Source:MGI Symbol;Acc:MGI:5142003] XIRNRVDTSPTPVSWDVMCKHLFGFVAFMVFMFWVGHVFPSYQPVWAKGHICFPETMKLK ELEKPAVQAWSPARQ >ENSMUSP00000144683.1 pep:known chromosome:GRCm38:6:25665878:25689792:-1 gene:ENSMUSG00000039904.9 transcript:ENSMUST00000200812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr37 description:G protein-coupled receptor 37 [Source:MGI Symbol;Acc:MGI:1313297] MPARGAPLSRTSRLLLLLLFKVSVSAALSFVPEPRNGTCLGESCSPLIQRRSRDARGPGN SAKDALRVHVPREKLEAEVRGATSWDLPPPRGGDTGVIEEAAAAGPLGPPTKPPSAWRWK SAQGKEPSGHLRRRDPTDPQLFFPTSEGGEMSSKRDGIPQSRQEHSVKTEPRDLFYWPRR TGQLQDSQHRPSAVHEGRTLAPPGRALPQNGSADDWVPDQGGPRRGNTTRRVRLKNPFYP LTQESYGAYAVMCLSVVIFGTGIIGNLAVMCIVCHNYYMRSISNSLLANLAFWDFLIIFF CLPLVIFHELTKKWLLEDFSCKIVPYIEVASLGVTTFTLCALCIDRFRAATNVQMYYEMI ENCSSTTAKLAVIWVGALLLALPEVVLRQLSKEDLGFSGQAPAERCVIKISPDLPDTVQV ERDCFIKIRTRNHWIRLSHL >ENSMUSP00000052185.6 pep:known chromosome:GRCm38:6:25667503:25690729:-1 gene:ENSMUSG00000039904.9 transcript:ENSMUST00000054867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr37 description:G protein-coupled receptor 37 [Source:MGI Symbol;Acc:MGI:1313297] MPARGAPLSRTSRLLLLLLFKVSVSAALSFVPEPRNGTCLGESCSPLIQRRSRDARGPGN SAKDALRVHVPREKLEAEVRGATSWDLPPPRGGDTGVIEEAAAAGPLGPPTKPPSAWRWK SAQGKEPSGHLRRRDPTDPQLFFPTSEGGEMSSKRDGIPQSRQEHSVKTEPRDLFYWPRR TGQLQDSQHRPSAVHEGRTLAPPGRALPQNGSADDWVPDQGGPRRGNTTRRVRLKNPFYP LTQESYGAYAVMCLSVVIFGTGIIGNLAVMCIVCHNYYMRSISNSLLANLAFWDFLIIFF CLPLVIFHELTKKWLLEDFSCKIVPYIEVASLGVTTFTLCALCIDRFRAATNVQMYYEMI ENCSSTTAKLAVIWVGALLLALPEVVLRQLSKEDLGFSGQAPAERCVIKISPDLPDTIYV LALTYDGARLWWYFGCYFCLPTLFTITCSLVTARKIRKAEKASTRGNKRQIHLESQMNCT VVALTILYGFCIIPENICNIVTAYMATGVSQQTMDLLNIISQFLLFFKSCVTPVLLFCLC RPFSRAFMECCCCCCEECIQKSSTVTSDDNDNEYTTELELSPFSTIRREMSTFASVGTHC >ENSMUSP00000145386.1 pep:known chromosome:GRCm38:11:58577822:58581237:1 gene:ENSMUSG00000060765.6 transcript:ENSMUST00000203418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr325 description:olfactory receptor 325 [Source:MGI Symbol;Acc:MGI:3030159] MDLTTWMNNYTTQSDFTLVGFFSQSKHPVLLAVVIFVVFLMALSGNALLILLVLSDTHLH TPMYFFISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYLTLAGSEYLLLA AMSYDRYVAI >ENSMUSP00000131257.2 pep:known chromosome:GRCm38:11:58580813:58581877:1 gene:ENSMUSG00000060765.6 transcript:ENSMUST00000169428.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr325 description:olfactory receptor 325 [Source:MGI Symbol;Acc:MGI:3030159] MDLTTWMNNYTTQSDFTLVGFFSQSKHPVLLAVVIFVVFLMALSGNALLILLVLSDTHLH TPMYFFISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYLTLAGSEYLLLA AMSYDRYVAICHPLRYPVLMNHRVCLLLMSLCWILGSLDGFMLTPITMTFPFCGSREIHH FFCEVPAVTKLSCSDTWLYETLMYVCCVLMLLIPVTVISGSYTSILLTVLRMNSAEGRKK ALATCSSHMTVVTLFYGAAVYTYIFPASLHSPEKDMVVSVFYTILTPLLNPLIYSFRNKN VTEAMKKLLGVKPFFQESLKEVIYKE >ENSMUSP00000058954.8 pep:known chromosome:GRCm38:16:56032609:56037819:-1 gene:ENSMUSG00000044763.8 transcript:ENSMUST00000059052.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt10c description:tRNA methyltransferase 10C [Source:MGI Symbol;Acc:MGI:1196261] MNVTVRFLRPFARCLVPYTFHRKRSHLYSGVLQRYMSSKAPSLSCHNKDSASPPEQLELD GWKATMKSSIQEDGVSEVSDKDEDSLASTRELIEMWRLLGKEVPEHITEEDLKTLMECAS KSAKKKYLRYLYGKEKAKKAKQVKKEMKAEAREEAKRARLLETTAEEQQQDFMFLRLWDR QINIALGWKGVQAMQFGQPLVFDMAYDNYMKPSELQNTVSQLLESEGWNRRNVDPFHIYF CNLKIDSAYHRELVKRYREKWDKLLLTATEKSPVDLFPKDSIIYLTADSPNVMTTFKHDK IYIIGSFVDKNTQTGTSLAKAKRLNIATECLPLDKYLQWEIGNKNLTLDQMIRILLCLKN TGNWEEALKFVPRRKHTGYLEVSEQSQELVRKLKKTKTLNSFRKGSLNVRTWKR >ENSMUSP00000035860.4 pep:known chromosome:GRCm38:5:24751003:24758008:-1 gene:ENSMUSG00000038135.4 transcript:ENSMUST00000047119.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crygn description:crystallin, gamma N [Source:MGI Symbol;Acc:MGI:2449167] MAQRSGKITLYEGKHFTGRKLEVFGDCDNFQDQGFMNRVNSIRVESGAWVCFDHPDFRGQ QFILEHGDYPEFFRWNGHNDHMGSCRPVGMHGEHFRIDIFEGCNFTGQCLEFVEDCPFLQ SRGWAKSCVNAIKVYGDGAWVLYEEPNYRGRMYVLERGDYRCFSDWGAHSARVQSLRRVL NFF >ENSMUSP00000059636.1 pep:known chromosome:GRCm38:5:36484588:36488172:1 gene:ENSMUSG00000050677.2 transcript:ENSMUST00000060100.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc96 description:coiled-coil domain containing 96 [Source:MGI Symbol;Acc:MGI:1913967] MDSHYGDIEGKDRAEEGLARQSLEIKVSSEPLTPANPVEQEPEPKPEPEPTQGREPESET QPVSDSSKAKDSEGIDYAHVEVPESPGAAETAGEAVSREPESLPQTQPKTKPESKEPEDK DEDEDEDEDEDEDEDEDEDEDEDEGEERDRPEKPKGKGKREKRKESRFRPSLPLTTIVEE GAAPGPQAAKEKARESLKKRDSEEIEGTDRERHKSTEEQLHPGEAKEEEKQKGASTEEFE WTADMQKLQEQQLRGELVEQYHSLLVERNRYQRYNMYLQQKIHETLRKKGLEAAAEPGDK SAEPESPEKEQAYLRYLAMLEELKKQEADDLEWYRQEVRELKQQCQEKQTRVEKEWRRFQ ALKKQVVMQVMGSCRTRGGRQAALREVEQLQALEDKKEKEMSAVRLENVQLKQSLVHFET RMKAQEDLAEGLLLIDFEQLKIENQTFNEKVEERNEELLKLRTKVTSNVQIITHVKEKLS FIDTENSCKKAQLSEVDAQVALGRDLLTKTKQARDSLRIDNVKLSQKCGLLGKESLLRDL EEKVEKTEMLNRRLESLKRHHAGLALSCKGVKQKIREAKTFLPS >ENSMUSP00000104330.3 pep:known chromosome:GRCm38:11:67052670:67065331:1 gene:ENSMUSG00000069844.12 transcript:ENSMUST00000108690.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sco1 description:SCO cytochrome oxidase deficient homolog 1 (yeast) [Source:MGI Symbol;Acc:MGI:106362] MAALVRAAVVRSQCRQLWRLFPRGHGLRDVAERPRPEEACSCLRSRAFSAGPPPPGAGPE PKGGQAGSHRPKPGPVSWKSLALTFAIGGSLLAGMKYFKKEKIESVSLLELEKQRHRSIG KPLLGGPFSLTTHNGEPKTDKDYLGQWVLIYFGFTHCPDICPEELEKMIEVVEEIDSIPS LPNLTPLFITIDPERDTKEAIATYVKEFSPKLVGLTGTKEEIDGVARAYRVYYSPGPKDE DEDYIVDHTIIMYLIGPDGEFLDYFGQNKKKAEIAGSIAAHMRSHMKKR >ENSMUSP00000090673.4 pep:known chromosome:GRCm38:11:67052670:67065572:1 gene:ENSMUSG00000069844.12 transcript:ENSMUST00000092996.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sco1 description:SCO cytochrome oxidase deficient homolog 1 (yeast) [Source:MGI Symbol;Acc:MGI:106362] MAALVRAAVVRSQCRQLWRLFPRGHGLRDVAERPRPEEACSCLRSRAFSAGPPPPGAGPE PKGGQAGSHRPKPGPVSWKSLALTFAIGGSLLAGMKYFKKEKIEKLEKQRHRSIGKPLLG GPFSLTTHNGEPKTDKDYLGQWVLIYFGFTHCPDICPEELEKMIEVVEEIDSIPSLPNLT PLFITIDPERDTKEAIATYVKEFSPKLVGLTGTKEEIDGVARAYRVYYSPGPKDEDEDYI VDHTIIMYLIGPDGEFLDYFGQNKKKAEIAGSIAAHMRSHMKKR >ENSMUSP00000118478.1 pep:known chromosome:GRCm38:3:53853376:53863830:-1 gene:ENSMUSG00000027746.13 transcript:ENSMUST00000146598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufm1 description:ubiquitin-fold modifier 1 [Source:MGI Symbol;Acc:MGI:1915140] MSKVSFKITLTSDPRLPYKVLSVPESTPFTAVLKFAAEEFKVPAATSAIITNDGIGINPA QTAGNVFLKHGSELRIIPRDRVGSC >ENSMUSP00000029309.6 pep:known chromosome:GRCm38:3:53857943:53863762:-1 gene:ENSMUSG00000027746.13 transcript:ENSMUST00000029309.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ufm1 description:ubiquitin-fold modifier 1 [Source:MGI Symbol;Acc:MGI:1915140] MSKVSFKITLTSDPRLPYKVLSVPESTPFTAVLKFAAEEFKVPAATSAIITNGKESP >ENSMUSP00000112586.1 pep:known chromosome:GRCm38:3:53858811:53863766:-1 gene:ENSMUSG00000027746.13 transcript:ENSMUST00000122330.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufm1 description:ubiquitin-fold modifier 1 [Source:MGI Symbol;Acc:MGI:1915140] MSKVSFKITLTSDPRLPYKVLSVPESTPFTAVLKFAAEEFKVPAATSAIITNDGIGINPA QTAGECLLVCPSKLNILFISTR >ENSMUSP00000134221.1 pep:known chromosome:GRCm38:17:35601549:35650567:1 gene:ENSMUSG00000090509.2 transcript:ENSMUST00000174521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfta2 description:surfactant associated 2 [Source:MGI Symbol;Acc:MGI:3643293] MVPASGLLRASALEINTQSYRVRWSWAEISKTHRESPNHEESINPPRSASHLPLDLLTLN HGCAPPLEISHLGPSSPFPTRHFSIVYTMICLLLHLPSGTNVTLLHKGPPHYLTCRA >ENSMUSP00000132839.2 pep:known chromosome:GRCm38:17:35649708:35650571:1 gene:ENSMUSG00000090509.2 transcript:ENSMUST00000171166.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfta2 description:surfactant associated 2 [Source:MGI Symbol;Acc:MGI:3643293] MESLMRLFLLLALLSSSHAGPKVTLQVKLTETFQDKTSQNSSALDMLQKICLLLHLPSGT NVTLLHKGPPHYLTCRA >ENSMUSP00000119877.1 pep:known chromosome:GRCm38:11:74283736:74289360:1 gene:ENSMUSG00000070374.5 transcript:ENSMUST00000143976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr59 description:olfactory receptor 59 [Source:MGI Symbol;Acc:MGI:1333755] MARGNQTSTFEFLLWGLSEKPQQQHILFLVFLWMYLVTVAGNLLIVLAISTDVRLHTPMY FFLATLSCVDILFTSTTVPKALVNIHTQSRTISYAGCLVQLYFFLTFGDMDIFLLATMAY DRFVAICHPLHYRMIMSFQRCSLLVTACWTLTTVVAMTHTFLIFRLSFCSQKVIPDFFCD LGPLMKIACSETRINELVLLFLGGAVILIPFLLILMSYIRIVSAILRVPSAQGRRKA >ENSMUSP00000146212.1 pep:known chromosome:GRCm38:11:74283794:74289196:1 gene:ENSMUSG00000070374.5 transcript:ENSMUST00000205790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr59 description:olfactory receptor 59 [Source:MGI Symbol;Acc:MGI:1333755] MARGNQTSTFEFLLWGLSEKPQQQHILFLVFLWMYLVTVAGNLLIVLAISTDVRLHTPMY FFLATLSCVDILFTSTTVPKALVNIHTQSRTISYAGCLVQLYFFLTFGDMDIFLLATMAY DRFVAICHPLHYRMIMSFQRCSLLVTACWTLTTVVAMTHTFLIFRLSFCSQKVIPDFFCD LGP >ENSMUSP00000112522.1 pep:known chromosome:GRCm38:11:74283809:74289646:1 gene:ENSMUSG00000070374.5 transcript:ENSMUST00000119717.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr59 description:olfactory receptor 59 [Source:MGI Symbol;Acc:MGI:1333755] MARGNQTSTFEFLLWGLSEKPQQQHILFLVFLWMYLVTVAGNLLIVLAISTDVRLHTPMY FFLATLSCVDILFTSTTVPKALVNIHTQSRTISYAGCLVQLYFFLTFGDMDIFLLATMAY DRFVAICHPLHYRMIMSFQRCSLLVTACWTLTTVVAMTHTFLIFRLSFCSQKVIPDFFCD LGPLMKIACSETRINELVLLFLGGAVILIPFLLILMSYIRIVSAILRVPSAQGRRKAFST CGSHLSVVALFFGTVIRAYLCPSSSSSNSVVEDTAAAVMYTVVTPLLNPFIYSLRNKDMK GALVRILKGKVSFSWAQGLLQRK >ENSMUSP00000146176.1 pep:known chromosome:GRCm38:11:74283833:74289195:1 gene:ENSMUSG00000070374.5 transcript:ENSMUST00000206659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr59 description:olfactory receptor 59 [Source:MGI Symbol;Acc:MGI:1333755] MARGNQTSTFEFLLWGLSEKPQQQHILFLVFLWMYLVTVAGNLLIVLAISTDVRLHTPMY FFLATLSCVDILFTSTTVPKALVNIHTQSRTISYAGCLVQLYFFLTFGDMDIFLLATMAY DRFVAICHPLHYRMIMSFQRCSLLVTACWTLTTVVAMTHTFLIFRLSFCSQKVIPDFFCD LGP >ENSMUSP00000023019.5 pep:known chromosome:GRCm38:15:85879320:85897392:1 gene:ENSMUSG00000022386.12 transcript:ENSMUST00000023019.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmu description:tRNA 5-methylaminomethyl-2-thiouridylate methyltransferase [Source:MGI Symbol;Acc:MGI:1919276] MSALRHVVCALSGGVDSAVAALLLRRRGYQVTGVFMKNWDSLDEQGVCAADKDCEDAYKV CQILDIPFHQVSYVKEYWNDVFSDFLNEYEKGRTPNPDINCNKHIKFSCFYHYAVDNLGA DAVATGHYARTSLEDEEVFEQKHTKKPDGLFRNRFEVRNPVKLLQAADSFKDQTFFLSQV SQDALRRTIFPLGELTKDFVKKIAAENSLHHVLQKRESMGICFIGKRNLEHFLLQYLQPR PGKFVSIEDNTVLGTHKGWFLYTLGQRAKISGLREPWYVVEKDGTKGDVLVAPRVDHPAL YRDLLRTNRVHWIAEEPPAALVRDKMMECHFRFRHQMALVPCVLTLNQDGTVWVTAVKAV RGLALGQFAVFYKGEECLGSGKILRLGPSAYTLQKGKNRTRVAPEASSDSPGLHPTS >ENSMUSP00000125704.1 pep:known chromosome:GRCm38:15:85879312:85892598:1 gene:ENSMUSG00000022386.12 transcript:ENSMUST00000162491.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmu description:tRNA 5-methylaminomethyl-2-thiouridylate methyltransferase [Source:MGI Symbol;Acc:MGI:1919276] MSALRHVVCALSGGVDSAVAALLLRRRGYQVTGVFMKNWDSLDEQGVCAADKDCEDAYKV CQILDIPFHQVSYVKEYWNDVFRSRCRCHWPLRQDLTGG >ENSMUSP00000125331.1 pep:known chromosome:GRCm38:15:85879381:85882843:1 gene:ENSMUSG00000022386.12 transcript:ENSMUST00000160733.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmu description:tRNA 5-methylaminomethyl-2-thiouridylate methyltransferase [Source:MGI Symbol;Acc:MGI:1919276] XALRHVVCALSGGVDSAVAALLLRRREIDGRYQRKENHPLISASRALRGYQVTGVFMKNW DSLDEQGVCAADKDCEDAYKVCQILDIPFHQVSYVKEYWNDVFR >ENSMUSP00000125266.1 pep:known chromosome:GRCm38:15:85885164:85896426:1 gene:ENSMUSG00000022386.12 transcript:ENSMUST00000162339.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmu description:tRNA 5-methylaminomethyl-2-thiouridylate methyltransferase [Source:MGI Symbol;Acc:MGI:1919276] XFYHYAVDNLGADAVATGHYARTSLEDEEVFEQKHTKKPDGLFRNRFEVRNPRFPRMP >ENSMUSP00000093120.3 pep:known chromosome:GRCm38:17:35635752:35643695:-1 gene:ENSMUSG00000073408.2 transcript:ENSMUST00000095467.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpcr1 description:diffuse panbronchiolitis critical region 1 (human) [Source:MGI Symbol;Acc:MGI:2685476] MAQPTSGLYSTFGFFICLLFFPASWEAGANTFQELQKTGEPPKFDHLLPLTQGLTHRASS DQKTSRQHPPDLPEATATQKAKNQCNTTRLVKPVHTPLDNAKAADYGNTTVRHEMPPASE KDLSSQGKHLMARNERSADDPRSTTSENGSDGKRLTSAPRRNTSCMPSTRRTSLTTKSGM RASPMGASASLRTTSQKPTTFHVSELIRQSSSPVYATETPRTSYNTLKTLTTSGPEHHTI PFASDKSVQITTEHIKEATSASEITRTQSTFTKYEGKTSPASESSSQAQVLPIKHHTTSA SENTIPVSAKSTPSTEKATKPTASPTVFQRKTIVATKTVRATRTSERTPVFLETTQPAKA TEDKSSTVPSHVHKTETMHQGTVGSLTSRTNLGLSTSEAHYPQQSTHSLPGGLHAAGETG ENNSFPVWAIVIVILMAVIILLVFIGLILLVSCASRARHVLTQNSEEPEPQPEDKGSRNS YPVYLMEQQNLNLNQIPSPP >ENSMUSP00000102380.1 pep:known chromosome:GRCm38:3:104864503:104926860:1 gene:ENSMUSG00000045576.16 transcript:ENSMUST00000106769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7l description:suppression of tumorigenicity 7-like [Source:MGI Symbol;Acc:MGI:2386964] MADGDYFAEAARAVGCPHAPVPGLGLGPPLGWKERLKAGLANSGSTLWFLAGLGLLYALR VPLRLCDNVTAVTGFLSSLTPKFYVALTGTSSLISGLIFIFEWWYFHKHGTSFIEQVSIS HLRPLMGGTESSISEPGSPANSRESETLRHHHLSECKVWRNPLNLFRGAEYRRYTWVTGK EPLTYYDMNLSAQDHQTFFTCETDFLRPSDTVMQKAWRERNPPARIKAAYQALELNNDCA TAYVLLAEEEATTIVDAERLFKQALRAGEIIYRRSQQCQHQSPQHEAQLRRDTNVLVYIK RRLAMCARKLGRIREAVKIMRDLMKEFPPLTMLNIHENLLESLLELQAYADVQAVLAKYD DISLPKSAAICYTAALLKTRTVSDKFSPETAFRKGLSTAEINAVEAIHRAVEFNPHVPKH WKRIEGALNLLQCTWEGTFRMIPYPLEKGHLFYPYPSCTETADRELLPTFHHVSVYPKKE LPFFIHFTAGLCSSTAMLAFLTHQFPEVMGVFAKAVSMISRTCVEYL >ENSMUSP00000058455.6 pep:known chromosome:GRCm38:3:104864503:104930064:1 gene:ENSMUSG00000045576.16 transcript:ENSMUST00000059271.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7l description:suppression of tumorigenicity 7-like [Source:MGI Symbol;Acc:MGI:2386964] MADGDYFAEAARAVGCPHAPVPGLGLGPPLGWKERLKAGLANSGSTLWFLAGLGLLYALR VPLRLCDNVTAVTGFLSSLTPKFYVALTGTSSLISGLIFIFEWWYFHKHGTSFIEQVSIS HLRPLMGGTESSISEPGSPANSRESETLRHHHLSECKVWRNPLNLFRGAEYRRYTWVTGK EPLTYYDMNLSAQDHQTFFTCETDFLRPSDTVMQKAWRERNPPARIKAAYQALELNNDCA TAYVLLAEEEATTIVDAERLFKQALRAGEIIYRRSQQCQHQSPQHEAQLRRDTNVLVYIK RRLAMCARKLGRIREAVKIMRDLMKEFPPLTMLNIHENLLESLLELQAYADVQAVLAKYD DISLPKSAAICYTAALLKTRTVSDKFSPETAFRKGLSTAEINAVEAIHRAVEFNPHVPKY LLEMKSLILPPEHILKRGDSEAIAYAFFHLQHWKRIEGALNLLQCTWEGTFRMIPYPLEK GHLFYPYPSCTETADRELLPTFHHVSVYPKKELPFFIHFTAGLCSSTAMLAFLTHQFPEV MGVFAKAVSMISRTCVEYL >ENSMUSP00000143759.1 pep:known chromosome:GRCm38:3:104865067:104927770:1 gene:ENSMUSG00000045576.16 transcript:ENSMUST00000200132.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7l description:suppression of tumorigenicity 7-like [Source:MGI Symbol;Acc:MGI:2386964] MADGDYFAEAARAVGCPHAPVPGLGLGPPLGWKERLKAGLANSGSTLWFLAGLGLLYALR VPLRLCDNVTAVTGFLSSLTPKFYVALTGTSSLISGLIFIFEWWYFHKHGTSFIEQVSIS HLRPLMGGTESSISEPGSPANSRESETLRHHHLSECKVWRNPLNLFRGAEYRRYTWVTGK EPLTYYDMNLSAQDHQTFFTCETDFLRPSDTGRDTNVLVYIKRRLAMCARKLGRIREAVK IMRDLMKEFPPLTMLNIHENLLESLLELQAYADVQAVLAKYDDISLPKSAAICYTAALLK TRTVSDKFSPETAFRKGLSTAEINAVEAIHRAVEFNPHVPKYLLEMKSLILPPEHILKRG DSEAIAYAFFHLQHWKRIEGALNLLQCTWEGTFRMIPYPLEKGHLFYPYPSCTETADREL LPTFHHVSVYPKKELPFFIHFTAGLCSSTAMLAFLTHQFPEVMGVFAKAVSMISRTCVEY L >ENSMUSP00000139266.1 pep:known chromosome:GRCm38:3:104865071:104927894:1 gene:ENSMUSG00000045576.16 transcript:ENSMUST00000183914.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:St7l description:suppression of tumorigenicity 7-like [Source:MGI Symbol;Acc:MGI:2386964] MADGDYFAEAARAVGCPHAPVPGLGLGPPLGWKERLKAGLANSGSTLWFLAGLGLLYALR VPLRLCDNVTAGERPKPPGQSGTSAKDQRFSGRHGGLCRRKLVLHAPVGLFLSLGPPPPG S >ENSMUSP00000138577.1 pep:known chromosome:GRCm38:3:104865080:104889440:1 gene:ENSMUSG00000045576.16 transcript:ENSMUST00000123876.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7l description:suppression of tumorigenicity 7-like [Source:MGI Symbol;Acc:MGI:2386964] MADGDYFAEAARAVGCPHAPVPGLGLGPPLGWKERLKAGLANSGSTLWFLAGLGLLYALR VPLRLCDNVTAVTGFLSSLTPKFYVALTGTSSLISGLIFIFEWWYFHKHGTSFIEQVSIS HLRPLMGGTESSISEPGSPANSRESETLRHHHLSECKVWRNPLNLFRGAEYRRITRPFSP VKQTFYVLQTQFGVLYRDAQPGSKVMQKAWRERNPPARIKAAYQALELNNDCATAYVLLA EEEATTIVDAERLFKQALRAGEIIYRRSQQCQHQSPQHEAQL >ENSMUSP00000142843.1 pep:known chromosome:GRCm38:3:104870943:104919501:1 gene:ENSMUSG00000045576.16 transcript:ENSMUST00000197098.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St7l description:suppression of tumorigenicity 7-like [Source:MGI Symbol;Acc:MGI:2386964] XISEPGSPANSRESETLRHHHLSECKVWRNPLNLFRGAEYRRYTWVTGKEPLTYYDMNLS AQDHQTFFTCETDFLRPSDTVMQKAWRERNPPARIKAAYQALELNNDCATAYVLLAEEEA TTIVDAERLFKQALRAGEIIYRRSQQCQHQSPQHEAQLRRDTNVLVYIKRRLAMCARKLG RIREAVKIMRDYLLEMKSLILPPEHILKRGDSEAIAYAFFHLQHWKRIEGALNLLQCTWE G >ENSMUSP00000143583.1 pep:known chromosome:GRCm38:3:104882818:104919489:1 gene:ENSMUSG00000045576.16 transcript:ENSMUST00000199335.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:St7l description:suppression of tumorigenicity 7-like [Source:MGI Symbol;Acc:MGI:2386964] XNPPARIKAAYQALELNNEARYQCTCVY >ENSMUSP00000110725.2 pep:known chromosome:GRCm38:X:42149317:42277185:1 gene:ENSMUSG00000025862.14 transcript:ENSMUST00000115073.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag2 description:stromal antigen 2 [Source:MGI Symbol;Acc:MGI:1098583] MIAAPEIPTDFNLLQESETHFSSDTDFEDIEGKNQKQGKGKTCKKGKKGPAEKGKSGNGG GKPPSGSNRMNGHHQQNGVENMMLFEVVKMGKSAMQSVVDDWIESYKHDRDIALLDLINF FIQCSGCKGVVTAEMFRHMQNSEIIRKMTEEFDEDSGDYPLTMAGPQWKKFKSSFCEFIG VLVRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAAMKLMTALVNVALNLSIN MDNTQRQYEAERNKMIGKRANERLELLLQKRKELQENQDEIENMMNAIFKGVFVHRYRDA IAEIRAICIEEIGIWMKMYSDAFLNDSYLKYVGWTMHDKQGEVRLKCLTALQGLYYNKEL NSKLELFTSRFKDRIVSMTLDKEYDVAVQAIKLLTLVLQSSEEVLTAEDCENVYHLVYSA HRPVAVAAGEFLYKKLFSRRDPEEDGLMKRRGRQGPNANLVKTLVFFFLESELHEHAAYL VDSMWDCATELLKDWECMNSLLLEEPLSGEEALTDRQESALIEIMLCTIRQAAECHPPVG RGTGKRVLTAKEKKTQLDDRTRITELFAVALPQLLAKYSVDAEKVTNLLQLPQYFDLEIY TTGRLEKHLDALLRQIRNIVEKHTDTDVLEACSKTYHALCNEEFTIFNRVDISRSQLIDE LADKFNRLLEDFLQEGEEPDEDDAYQVLSTLKRITAFHNAHDLSKWDLFACNYKLLKTGI ENGDMPEQIVIHALQCAHYVILWQLAKITESTSTKEDLLRLKKQMRVFCQICQHYLTNVN TTVKEQAFTILCDILMIFSHQIMSGGRDMLEPLVYTPDSSLQSELLSFILDHVFIEQDDD SNSADGQQEDEASKIEALHKRRNLLAAFCKLIVYTVVEMNTAADIFKQYMKYYNDYGDII KETMSKTRQIDKIQCAKTLILSLQQLFNEMIQENGYNFDRSSSTFSGIKELARRFALTFG LDQLKTREAIAMLHKDGIEFAFKEPNPQGESHPPLNLAFLDILSEFSSKLLRQDKRTVYV YLEKFMTFQMSLRREDVWLPLMSYRNSLLAGGDDDTMSVISGMSSRGSTVRSKKSKPSTG KRKVVEGMQLALPEESSSSDSMWLSREQTLHTPVMMQTPQLTSTIMREPKRLRPEDSFMS VYPMQAEHHQTPLDYNTQVTWMLAQRQQEEARQQQERAAMSYVKLRTNLQHAIRRGTSLM EDDEEPIVEDVMMSSEGRIEDLNEGMDFDTMDIDLPPSKNRRERTELKPDFFDPASIMDE SVLGVSMF >ENSMUSP00000119635.1 pep:known chromosome:GRCm38:X:42149683:42206121:1 gene:ENSMUSG00000025862.14 transcript:ENSMUST00000127618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag2 description:stromal antigen 2 [Source:MGI Symbol;Acc:MGI:1098583] MIAAPEIPTDFNLLQESETHFSSDTDFEDIEGKNQKQGKG >ENSMUSP00000063250.7 pep:known chromosome:GRCm38:X:42150701:42277185:1 gene:ENSMUSG00000025862.14 transcript:ENSMUST00000069619.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag2 description:stromal antigen 2 [Source:MGI Symbol;Acc:MGI:1098583] MIAAPEIPTDFNLLQESETHFSSDTDFEDIEGKNQKQGKGKTCKKGKKGPAEKGKSGNGG GKPPSGSNRMNGHHQQNGVENMMLFEVVKMGKSAMQSVVDDWIESYKHDRDIALLDLINF FIQCSGCKGVVTAEMFRHMQNSEIIRKMTEEFDEDSGDYPLTMAGPQWKKFKSSFCEFIG VLVRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAAMKLMTALVNVALNLSIN MDNTQRQYEAERNKMIGKRANERLELLLQKRKELQENQDEIENMMNAIFKGVFVHRYRDA IAEIRAICIEEIGIWMKMYSDAFLNDSYLKYVGWTMHDKQGEVRLKCLTALQGLYYNKEL NSKLELFTSRFKDRIVSMTLDKEYDVAVQAIKLLTLVLQSSEEVLTAEDCENVYHLVYSA HRPVAVAAGEFLYKKLFSRRDPEEDGLMKRRGRQGPNANLVKTLVFFFLESELHEHAAYL VDSMWDCATELLKDWECMNSLLLEEPLSGEEALTDRQESALIEIMLCTIRQAAECHPPVG RGTGKRVLTAKEKKTQLDDRTRITELFAVALPQLLAKYSVDAEKVTNLLQLPQYFDLEIY TTGRLEKHLDALLRQIRNIVEKHTDTDVLEACSKTYHALCNEEFTIFNRVDISRSQLIDE LADKFNRLLEDFLQEGEEPDEDDAYQVLSTLKRITAFHNAHDLSKWDLFACNYKLLKTGI ENGDMPEQIVIHALQCAHYVILWQLAKITESTSTKEDLLRLKKQMRVFCQICQHYLTNVN TTVKEQAFTILCDILMIFSHQIMSGGRDMLEPLVYTPDSSLQSELLSFILDHVFIEQDDD SNSADGQQEDEASKIEALHKRRNLLAAFCKLIVYTVVEMNTAADIFKQYMKYYNDYGDII KETMSKTRQIDKIQCAKTLILSLQQLFNEMIQENGYNFDRSSSTFSGIKELARRFALTFG LDQLKTREAIAMLHKDGIEFAFKEPNPQGESHPPLNLAFLDILSEFSSKLLRQDKRTVYV YLEKFMTFQMSLRREDVWLPLMSYRNSLLAGGDDDTMSVISGMSSRGSTVRSKKSKPSTG KRKVVEGMQLALPEESSSSDSMWLSREQTLHTPVMMQTPQLTSTIMREPKRLRPEDSFMS VYPMQAEHHQTPLDYNRRGTSLMEDDEEPIVEDVMMSSEGRIEDLNEGMDFDTMDIDLPP SKNRRERTELKPDFFDPASIMDESVLGVSMF >ENSMUSP00000118567.1 pep:known chromosome:GRCm38:X:42151014:42206124:1 gene:ENSMUSG00000025862.14 transcript:ENSMUST00000123245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag2 description:stromal antigen 2 [Source:MGI Symbol;Acc:MGI:1098583] MIAAPEIPTDFNLLQESETHFSSDTDFEDIEGKNQKQGKGK >ENSMUSP00000120592.1 pep:known chromosome:GRCm38:X:42152180:42221029:1 gene:ENSMUSG00000025862.14 transcript:ENSMUST00000128799.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag2 description:stromal antigen 2 [Source:MGI Symbol;Acc:MGI:1098583] MIAAPEIPTDFNLLQESETHFSSDTDFEDIEGKNQKQGKGKTCKKGKKGPAEKGKSGNGG GKPPSGSNRMNGHHQQNGVENMMLFEVVKMGKSAMQSVVDDWIESYKHDRDIALLDLINF FIQCSGCKGVVTAEMFRHMQNSEIIR >ENSMUSP00000110724.1 pep:known chromosome:GRCm38:X:42149412:42277175:1 gene:ENSMUSG00000025862.14 transcript:ENSMUST00000115072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stag2 description:stromal antigen 2 [Source:MGI Symbol;Acc:MGI:1098583] MIAAPEIPTDFNLLQESETHFSSDTDFEDIEGKNQKQGKGKTCKKGKKGPAEKGKSGNGG GKPPSGSNRMNGHHQQNGVENMMLFEVVKMGKSAMQSVVDDWIESYKHDRDIALLDLINF FIQCSGCKGVVTAEMFRHMQNSEIIRKMTEEFDEDSGDYPLTMAGPQWKKFKSSFCEFIG VLVRQCQYSIIYDEYMMDTVISLLTGLSDSQVRAFRHTSTLAAMKLMTALVNVALNLSIN MDNTQRQYEAERNKMIGKRANERLELLLQKRKELQENQDEIENMMNAIFKGVFVHRYRDA IAEIRAICIEEIGIWMKMYSDAFLNDSYLKYVGWTMHDKQGEVRLKCLTALQGLYYNKEL NSKLELFTSRFKDRIVSMTLDKEYDVAVQAIKLLTLVLQSSEEVLTAEDCENVYHLVYSA HRPVAVAAGEFLYKKLFSRRDPEEDGLMKRRGRQGPNANLVKTLVFFFLESELHEHAAYL VDSMWDCATELLKDWECMNSLLLEEPLSGEEALTDRQESALIEIMLCTIRQAAECHPPVG RGTGKRVLTAKEKKTQLDDRTRITELFAVALPQLLAKYSVDAEKVTNLLQLPQYFDLEIY TTGRLEKHLDALLRQIRNIVEKHTDTDVLEACSKTYHALCNEEFTIFNRVDISRSQLIDE LADKFNRLLEDFLQEGEEPDEDDAYQVLSTLKRITAFHNAHDLSKWDLFACNYKLLKTGI ENGDMPEQIVIHALQCAHYVILWQLAKITESTSTKEDLLRLKKQMRVFCQICQHYLTNVN TTVKEQAFTILCDILMIFSHQIMSGGRDMLEPLVYTPDSSLQSELLSFILDHVFIEQDDD SNSADGQQEDEASKIEALHKRRNLLAAFCKLIVYTVVEMNTAADIFKQYMKYYNDYGDII KETMSKTRQIDKIQCAKTLILSLQQLFNEMIQENGYNFDRSSSTFSGIKELARRFALTFG LDQLKTREAIAMLHKDGIEFAFKEPNPQGESHPPLNLAFLDILSEFSSKLLRQDKRTVYV YLEKFMTFQMSLRREDVWLPLMSYRNSLLAGGDDDTMSVISGMSSRGSTVRSKKSKPSTG KRKVVEGMQLALPEESSSSDSMWLSREQTLHTPVMMQTPQLTSTIMREPKRLRPEDSFMS VYPMQAEHHQTPLDYNRRGTSLMEDDEEPIVEDVMMSSEGRIEDLNEGMDFDTMDIDLPP SKNRRERTELKPDFFDPASIMDESVLGVSMF >ENSMUSP00000130987.2 pep:known chromosome:GRCm38:17:35617923:35626637:-1 gene:ENSMUSG00000090588.2 transcript:ENSMUST00000164502.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9573 description:predicted gene 9573 [Source:MGI Symbol;Acc:MGI:3779983] MQRRSSLWCWLLLQILLLGSGYAHISSTTTSNPSSETKSIATDYETTPTTTISSTASGSM PTLTTKASSIASDSKPTLTTTASSTASGSMSTPTTPASSTASGSTPTTTTTASSTASGST PTPTTPASSTASGSTPTPTTTASSKASRSVPTTVSSTGSGSTPTPTTTASSTASGSTPTL TTTPSSTASGSTPTPTTPASSTASGSSPTPTTPVSSTASGSTPTPTTTASSKASGSVPTT VSSTGSGSTPTLTTTVSSTVSDSTPTPTTTASSTASGSAPTPTTTASSTASGSTPTLTTT ASSSGSGSTPTLPTTESSTASGSTPTRTTTTSSTASRSTPTPTTTASSTASGSTPTPTTT VSSTGSGSTPTLTTTASRSGSGSTPTLTTTESSTASGSSPTLTTTASSSASGSMPTPTTT ASSTASGSSPTLTTTASSSASGSMPTLTTTASSSASGSSPTLTTTASSSASGSMPTPTTT VSSTGSGSTPTLTTTESSTASGSTPTWTTTTSSTASRSTPTPTTTASSTASGSTPTPTTT VSSTGSGSTPTLTTTASRSGSGSTPTLTTTESSTASGSIPTLTTAASSTASGSTPTPTTT ASSTASGSMPTPTTTASSTGSGSTPTLTTTASSSGSGSTPTLTTTESSTASGSIPTLTSA ASSSASGSMPTPTTTVSSTGSGSTPTLTTTASSSASGSMPTPTTTASSTASGSSPTLTTT ASSSASGSAPNPTTTVSSTGSGSTPTLTTTASSSGSGSTPTLPTTESSTASGSTPTRTTT TSSTASRSTPTPTTTASSTASGSTPTPTTTVSSTASGSTPTLTTTASRSGSGSTPTLTTT ESSTASGSTPTPTTTASSTASGSAPNPTTTVSSTASGSTPTLPTTASSSGSGSTPTLTTT ESSTASGSSPTLTTTASSSASGSMPTPTTTASSTASGSSPTLTTTASSSASGSMPTLTTT ASSSASGSSPTLTTTASSSASGSMPTPTTTVSSTGSGSTPTLTTTESSTASGSTPTWTTT TSSTASRSTPTPTTTASSTASGSTPTPTTTVSSTGSGSTPTLTTTASRRGSGSTPTLTTT ESSTGSGSTPTLTTTASSSGSGSTPTLPTTESSTASGSTPTRTTTTSSTASRSTPTPTTT ASSTASGSTPTPTTTVSSTASGSTPTLTTTASRSGSGSTPILTTTESSTASGSTPTLTTA ASSSASGSTPTPTTTVSSTGSGSTPTLTTTASSSGSGSTPTLTTTESSTASGSTPTQTTT TSSTASRSTPTPTTTASSTASGSIPTPTTTASSIASGTTPTLTTTESSTASGSSPTPTTA SSSSASDSKPTSTTTASSTVSDSTPTPTTNASSSASGSTPTQTTTASRSASGSVPTLTTI AFSTASGSTPTLTTTASNSASSSSPTPTTTGLSKTSASSLSLTSTMTSITSASTFTPASI RTSKASASTSTSASIRTSIAFASTSTPTTSGPSTASVSTLNSTSIRTSTGSSSSLSATHT SSSLTVSTGTHTTSNHTGTPVMEVKPSGSLKPWEIFLITLASVIVVMGLSAGLFIYVRRY LSLRNAADGIFYNSHPDPGGSAMTPGSPTCSWRRPRTFNVVEMTRI >ENSMUSP00000062771.6 pep:known chromosome:GRCm38:4:73714579:73790602:-1 gene:ENSMUSG00000043003.13 transcript:ENSMUST00000058292.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasef description:RAS and EF hand domain containing [Source:MGI Symbol;Acc:MGI:2448565] MAQEVSSSVAFIHRLLYTALRGLLGDRLKRGLKKEQVSTLYQNITLVEPRLLQPYERVIR NFLREIKLQSTEMENLAIAVKRAQDKAAIQLSELEEEMDQRIQAVENESRKDEKRKAEEA LTDLRRQYETEVGDLQVTIKRLKKLEEQSRQISQKQDVTALKKQIHDLTMENQKLKKELL EAQTNVAFLQSELDALKSDYADQSLNSERDLEIIREYTEDRSSLERQIEILQTANRKLHD SNDGLRSALENTYSKLNRSLRINNISPGNTISRSSPKFNHHSSQPLAYDRSFHSSYADED CDSLALCDPLQKMNYEVDSLPESCFDSGLSTLRDNECDSEVDYKHQGEFQTLHRTEESLG GDASDTDVPDIRDEEAFDSESVASVLHWQPQGSAGEGSTLSSSRKPISALSLQTDMVDNT SKVTSQKAYKIVLAGDAAVGKSSFLMRLCKNEFQGNTSATLGVDFQMKTLIVDGEQTVLQ LWDTAGQERFRSIAKSYFRKADGVLLLYDVTCEKSFLNVREWVDMVEDGTHRTIPIMLVG NKADLRDVDNAENQKCISAYLGEKLAMTYGALFCETSAKDGSNVVEAVLHLAREVKKRTE DDDSRSITSLAGSTSKKSLQMKNCCNG >ENSMUSP00000099901.2 pep:known chromosome:GRCm38:4:73714579:73790709:-1 gene:ENSMUSG00000043003.13 transcript:ENSMUST00000102837.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasef description:RAS and EF hand domain containing [Source:MGI Symbol;Acc:MGI:2448565] MENLAIAVKRAQDKAAIQLSELEEEMDQRIQAVENESRKDEKRKAEEALTDLRRQYETEV GDLQVTIKRLKKLEEQSRQISQKQDVTALKKQIHDLTMENQKLKKELLEAQTNVAFLQSE LDALKSDYADQSLNSERDLEIIREYTEDRSSLERQIEILQTANRKLHDSNDGLRSALENT YSKLNRSLRINNISPGNTISRSSPKFNHHSSQPLAYDRSFHSSYADEDCDSLALCDPLQK MNYEVDSLPESCFDSGLSTLRDNECDSEVDYKHQGEFQTLHRTEESLGGDASDTDVPDIR DEEAFDSESVASVLHWQPQGSAGEGSTLSSSRKPISALSLQTDMVDNTSKVTSQKAYKIV LAGDAAVGKSSFLMRLCKNEFQGNTSATLGVDFQMKTLIVDGEQTVLQLWDTAGQERFRS IAKSYFRKADGVLLLYDVTCEKSFLNVREWVDMVEDGTHRTIPIMLVGNKADLRDVDNAE NQKCISAYLGEKLAMTYGALFCETSAKDGSNVVEAVLHLAREVKKRTEDDDSRSITSLAG STSKKSLQMKNCCNG >ENSMUSP00000105574.1 pep:known chromosome:GRCm38:11:4345814:4440745:-1 gene:ENSMUSG00000020419.11 transcript:ENSMUST00000109948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hormad2 description:HORMA domain containing 2 [Source:MGI Symbol;Acc:MGI:1923078] MATAQLSHNTRTLKASKNTIFPSQVTNEHESLVVVKKLFATCISCITYLRGLFPESSYRD RRLDDLSLKILREDKKCPGSLHIIKWIQGCFDALEKRYLHMAVLTLYTNPKEPEKVTEIY QFRFKYTKKGTTMDFDSSSTSFESGTDSEDIKKACSLLIRQLYILMQNLGPLPNDVILTM KLHYYNSVTPHDYQPPGFKEAVNSHFLLFEGEPVSLRMGSVSSGFHSMKVKVTTEATRML DGENSVSQDDGTTEIAHQGLDCDEEEEACGSQVQRMNFVHIEPSFESSRKKKKVSEPVTV FIPNRK >ENSMUSP00000020689.3 pep:known chromosome:GRCm38:11:4345814:4441103:-1 gene:ENSMUSG00000020419.11 transcript:ENSMUST00000020689.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hormad2 description:HORMA domain containing 2 [Source:MGI Symbol;Acc:MGI:1923078] MATAQLSHNTRTLKASKNTIFPSQVTNEHESLVVVKKLFATCISCITYLRGLFPESSYRD RRLDA >ENSMUSP00000121715.1 pep:known chromosome:GRCm38:11:4424248:4441105:-1 gene:ENSMUSG00000020419.11 transcript:ENSMUST00000130174.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hormad2 description:HORMA domain containing 2 [Source:MGI Symbol;Acc:MGI:1923078] MATAQLSHNTRTLKASKNTIFPSQVTNEHESLVVVKKLFATCISCITYLRGLFPESSYRD RRLDDLSLKILREDKKCPGSLHIIKWIQGCFDALEKRYVRIFENLP >ENSMUSP00000105575.1 pep:known chromosome:GRCm38:11:4346383:4441082:-1 gene:ENSMUSG00000020419.11 transcript:ENSMUST00000109949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hormad2 description:HORMA domain containing 2 [Source:MGI Symbol;Acc:MGI:1923078] MATAQLSHNTRTLKASKNTIFPSQVTNEHESLVVVKKLFATCISCITYLRGLFPESSYRD RRLDDLSLKILREDKKCPGSLHIIKWIQGCFDALEKRYLHMAVLTLYTNPKEPEKVTEIY QFRFKYTKKGTTMDFDSSSTSFESGTDSEDIKKACSLLIRQLYILMQNLGPLPNDVILTM KLHYYNSVTPHDYQPPGFKEAVNSHFLLFEGEPVSLRMGSVSSGFHSMKVKVTTEATRML DGENSVSQDDGTTEIAHQGLDCDEEEEACGSQVQRMNFVHIEPSFESSRKKKKVSEPVTV FIPNRK >ENSMUSP00000025635.6 pep:known chromosome:GRCm38:19:11096816:11130876:-1 gene:ENSMUSG00000024729.7 transcript:ENSMUST00000025635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700017D01Rik description:RIKEN cDNA 1700017D01 gene [Source:MGI Symbol;Acc:MGI:1916619] MSINEVSTFYIIKEDTIAMGGAQIMLGLIHNALGTLWLSLHNLEDKRYSIGHKLMLASIC YLFVSGTFFINSGSSSITQGISSVFQHMFAIITNIISIFVAIFGLILLGYEFPIFESIGT EYIWSNMAGMMLLQISVMCAITELVIAILVLHWFITAHKIEEPSEEIFSGPSHSHLSSPP STQPSMLELENVSEQSHPKDIEDENDVTN >ENSMUSP00000052444.6 pep:known chromosome:GRCm38:X:101731535:101734269:-1 gene:ENSMUSG00000050232.6 transcript:ENSMUST00000056614.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcr3 description:chemokine (C-X-C motif) receptor 3 [Source:MGI Symbol;Acc:MGI:1277207] MYLEVSERQVLDASDFAFLLENSTSPYDYGENESDFSDSPPCPQDFSLNFDRTFLPALYS LLFLLGLLGNGAVAAVLLSQRTALSSTDTFLLHLAVADVLLVLTLPLWAVDAAVQWVFGP GLCKVAGALFNINFYAGAFLLACISFDRYLSIVHATQIYRRDPRVRVALTCIVVWGLCLL FALPDFIYLSANYDQRLNATHCQYNFPQVGRTALRVLQLVAGFLLPLLVMAYCYAHILAV LLVSRGQRRFRAMRLVVVVVAAFAVCWTPYHLVVLVDILMDVGVLARNCGRESHVDVAKS VTSGMGYMHCCLNPLLYAFVGVKFREQMWMLFTRLGRSDQRGPQRQPSSSRRESSWSETT EASYLGL >ENSMUSP00000000724.8 pep:known chromosome:GRCm38:17:53566971:53672720:1 gene:ENSMUSG00000000708.14 transcript:ENSMUST00000000724.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat2b description:K(lysine) acetyltransferase 2B [Source:MGI Symbol;Acc:MGI:1343094] MAEAGGAGSPALPPAPPHGSPRTLATAAGSSASCGPATAVAAAGTAEGPGGGGSARIAVK KAQLRSAPRAKKLEKLGVYSACKAEESCKCNGWKNPNPSPTPPRGDLQQIIVSLTESCRS CSHALAAHVSHLENVSEEEMDRLLGIVLDVEYLFTCVHKEEDADTKQVYFYLFKLLRKSI LQRGKPVVEGSLEKKPPFEKPSIEQGVNNFVQYKFSHLPSKERQTTIELAKMFLNRINYW HLEAPSQRRLRSPNDDISGYKENYTRWLCYCNVPQFCDSLPRYETTKVFGRTLLRSVFTI MRRQLLEQARQEKDKLPLEKRTLILTHFPKFLSMLEEEVYSQNSPIWDQDFLSASSRTSP LGIQTVISPPVTGTALFSSNSTSHEQINGGRTSPGCRGSSGLEANPGEKRKMNNSHAPEE AKRSRVMGDIPVELINEVMSTITDPAGMLGPETNFLSAHSARDEAARLEERRGVIEFHVV GNSLNQKPNKKILMWLVGLQNVFSHQLPRMPKEYITRLVFDPKHKTLALIKDGRVIGGIC FRMFPSQGFTEIVFCAVTSNEQVKGYGTHLMNHLKEYHIKHEILNFLTYADEYAIGYFKK QGFSKEIKIPKTKYVGYIKDYEGATLMGCELNPQIPYTEFSVIIKKQKEIIKKLIERKQA QIRKVYPGLSCFKDGVRQIPIESIPGIRETGWKPSGKEKSKEPKDPEQLYSTLKNILQQV KNHPNAWPFMEPVKRTEAPGYYEVIRFPMDLKTMSERLRNRYYVSKKLFMADLQRVFTNC KEYNPPESEYYKCASILEKFFFSKIKEAGLIDK >ENSMUSP00000127659.1 pep:known chromosome:GRCm38:17:53584126:53638422:1 gene:ENSMUSG00000000708.14 transcript:ENSMUST00000164390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat2b description:K(lysine) acetyltransferase 2B [Source:MGI Symbol;Acc:MGI:1343094] MSPEMAEESCKCNGWKNPNPSPTPPRGDLQQIIVSLTESCRSCSHALAAHVSHLENVSEE EMDRLLGIVLDVEYLFTCVHKEEDADTKQVYFYLFKLLRKSILQRGKPVVEGSLEKKPPF EKPSIEQGVNNFVQYKFSHLPSKERQTTIELAKMFLNRINYWHLEAPSQRRLRSPNDDIS GYKENYTRWLCYCNVPQFCDSLPRYETTKVF >ENSMUSP00000126232.1 pep:known chromosome:GRCm38:17:53644697:53672071:1 gene:ENSMUSG00000000708.14 transcript:ENSMUST00000166525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kat2b description:K(lysine) acetyltransferase 2B [Source:MGI Symbol;Acc:MGI:1343094] PGCRGSSGLEANPGEKRKMNNSHAPEEAKRSRVMGDIPVELINEVMSTITDPAGMLGPEF CLSEVN >ENSMUSP00000127807.1 pep:known chromosome:GRCm38:17:53659395:53670687:1 gene:ENSMUSG00000000708.14 transcript:ENSMUST00000171072.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kat2b description:K(lysine) acetyltransferase 2B [Source:MGI Symbol;Acc:MGI:1343094] XDEYAIGYFKKQGFSKEIKIPKTKYVGYIKDYEGATLMGCELNPQIPYTEFSVIIKKQKE IIKKLIERKQAQIRKVYPGLSCFKDGVRQIPIESIPGIIKSPKTLSSFTAPSRTSCSR >ENSMUSP00000130557.1 pep:known chromosome:GRCm38:7:42764438:42793496:-1 gene:ENSMUSG00000091926.2 transcript:ENSMUST00000169130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r62 description:vomeronasal 2, receptor 62 [Source:MGI Symbol;Acc:MGI:3757994] MFWIFIFGVLYIPNFVCAFTGDKCYGTIKEYTYYEGDVTIGAFFPLHSYYTHDHVPHEID PYFFQDMFTQYNFMNYQLLLALVFTIEEINRNPHLLPNTTLGFEHHNIKFSEKNILLGPF LWLAGLSNQLINYNCGQKRNLPAALTGTSWAISAHIGTLLQLYKIPQLTFGYFDSNMNDQ SQFKTLYQVAPEDTYLSLALVSLMLHFSWSWVGLIVPNDPRGTQILSEFRDVMESNRICL AFVKMIPGTWNSYSDSIWKNMEKIQESSANVIVIYGDIVSLQGLMRHIAQLLVTWKVWVL NSQWDIDYYSDYFMIESFHGSLIFSHHHEEMVEFVNFVQTVNPYTYPEDDYLPKFWVFFF KCSFSEFDCQLLENCQPNASLDLLPRHIFDPAMSEESYNLYNAVYALAHSLHEMTVQQIQ TQPYANGDGMAFLPWQILPFLKITLLKNHPSGQTVIDERKNLYSEYDIFNFWNFPTGLGL KMKVGTFSPNSPQGQQLSLSEEMIQWPTQFTKIPQSVCSESCRPGFRKAAQEGKAVCCFD CIPCADNEISNETDMDQCVQCPESHYANSEKNHCLQKSVSFLAYEEPLGMGLTITALDFS VLTAVVLVVFVKHRDTPIVKANNRVLSYTLLLTLIICFLSCLLYIGQPNTATCILQQTAF GTLFTVALSTVLAKAIVVVTAFKVTSPARMVRWLIVSGAPNSIIPICTLIQLIICGIWLA TSPPFIDQNAHVEHGHIIIMCNKGSAVAFHCVLGYLCFLALGSYSMAFLSRNLPDTFNEA KYLSFSMQVFFCVWITFLPVYHSTKGKVMVAMEVFSVLASSIALLALIFAPKCYIILLRP DKNSRLDIRHKIHSRRVVI >ENSMUSP00000029489.8 pep:known chromosome:GRCm38:3:108040408:108044894:-1 gene:ENSMUSG00000027890.17 transcript:ENSMUST00000029489.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm4 description:glutathione S-transferase, mu 4 [Source:MGI Symbol;Acc:MGI:95862] MPMTLGYWDIRGLAHAIRLLLEYTGSSYEEKRYTMGDAPDYDRSQWLSEKFKLGLDFPNL PYLIDGSHKITQSNAILRYIARKHNLCGETEEEKIRVDILENQAMDVSNQLARVCYSPDF EKLKVEYLEQLPGMVKLFSQFLGQRTWFVGEKITFVDFLAYDILDLHLIFEPTCLDAFPN LKDFVARFEVLKRISAYMKTSRFLRTPLYTKVATWGNK >ENSMUSP00000102281.1 pep:known chromosome:GRCm38:3:108041137:108044866:-1 gene:ENSMUSG00000027890.17 transcript:ENSMUST00000106670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm4 description:glutathione S-transferase, mu 4 [Source:MGI Symbol;Acc:MGI:95862] MGDAPDYDRSQWLSEKFKLGLDFPNLPYLIDGSHKITQSNAILRYIARKHNLCGETEEEK IRVDILENQAMDVSNQLARVCYSPDFEKLKVEYLEQLPGMVKLFSQFLGQRTWFVGEKIT FVDFLAYDILDLHLIFEPTCLDAFPNLKDFVARFEVLKRISAYMKTSRFLRTPLYTKVAT WGNK >ENSMUSP00000136643.1 pep:known chromosome:GRCm38:3:108040410:108044859:-1 gene:ENSMUSG00000027890.17 transcript:ENSMUST00000178808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm4 description:glutathione S-transferase, mu 4 [Source:MGI Symbol;Acc:MGI:95862] MGDAPDYDRSQWLSEKFKLGLDFPNLPYLIDGSHKITQSNAILRYIARKHNLCGETEEEK IRVDILENQAMDVSNQLARVCYSPDFEKLKVEYLEQLPGMVKLFSQFLGQRTWFVGEKIT FVDFLAYDILDLHLIFEPTCLDAFPNLKDFVARFEVLKRISAYMKTSRFLRTPLYTKVAT WGNK >ENSMUSP00000054890.6 pep:known chromosome:GRCm38:17:48316141:48327024:1 gene:ENSMUSG00000043740.14 transcript:ENSMUST00000049614.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B430306N03Rik description:RIKEN cDNA B430306N03 gene [Source:MGI Symbol;Acc:MGI:2443478] MAWEPTYLLSPVLLLLLASGSWTQKPELLRAQEGETVSLTCWYDSLYHSDEKIWCKQIDN LCYLFVSKSAEKPRFLIQQSSRFNFFTVTMTKLKMSDSGIYHCGIAVNTRIIYLRSIHLV VSKASSTTTWRTTTLASTHSPVTNRSFPDSPMWKAIVAGVVVAVLLLLTFVILVILYLRK ARRKALNVQNQCHPIYEDFSDQKEETTSFNQQTHSSEDTGTICYASLIHLNRVNPQDSIY SNTQPYPKPSPDPLLTVEYASISRNRLGSSKPDYPRGEDQQLRAELPGQ >ENSMUSP00000120251.1 pep:known chromosome:GRCm38:17:48316165:48325525:1 gene:ENSMUSG00000043740.14 transcript:ENSMUST00000125174.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:B430306N03Rik description:RIKEN cDNA B430306N03 gene [Source:MGI Symbol;Acc:MGI:2443478] MAWEPTYLLSPVLLLLLASGSWTQKPELLRAQEGETVSLTCWYDSLYHSDEKIWCKQIDN LCYLFVSKSAEKPRFLIQQSSRFNFFTVTMTKLKMSDSGIYHCGIAVNTRIIYLRSIHLV VSKASSTTTWRTTTLASTHSPVTNRYCRLPGLSPILGFLPFS >ENSMUSP00000122378.1 pep:known chromosome:GRCm38:17:48316409:48324199:1 gene:ENSMUSG00000043740.14 transcript:ENSMUST00000129825.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:B430306N03Rik description:RIKEN cDNA B430306N03 gene [Source:MGI Symbol;Acc:MGI:2443478] MAWEPTYLLSPVLLLLLASGSWTQKPELLRAQEGETVSLTCWYDSLYHSDEKIWCKQIDN LCYLFVSKSAEKPRFLIQQSSRFNFFTVTMTKLKMSDSGIYHCGIAVNTRIIYLRSIHLV VSKVSLWTGCLITVMQQVSKKLHKSEDPSSIPQSFIHNHLEDNNPGLYPQPRH >ENSMUSP00000105039.2 pep:known chromosome:GRCm38:11:31872226:31935634:1 gene:ENSMUSG00000020300.14 transcript:ENSMUST00000109412.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb4 description:cytoplasmic polyadenylation element binding protein 4 [Source:MGI Symbol;Acc:MGI:1914829] MGDYGFGVLVQSNTGNKSAFPVRFHPHLQPPHHHQNATPNPAAFINNNTAANGSSAGSAW LFPAPATHNIQDEILGSEKAKSQQQEQQDPLEKQQLSPSPGQEAGILPETEKAKAEENPG DSSSENSNGKEKLRIESPVLTGFDYQEATGLGTSTQPLTSSASSLTGFSNWSAAIAPSSS TIINEDASFFHQGGVPGASANNGALLFQNFPHHVSPGFGGSFSPQIGPLSQHHPHHPHFQ HHHSQHQQQRRSPASPHPPPFTHRSAAFNQLPHLANNLNKPPSPWSSYQSPSPTPSSSWS PGGGGYGGWGASQGRDHRRGLNGGITPLNSISPLKKNFASNHIQLQKYARPSSAFAPKSW MEDSLNRADNIFPFPERPRTFDMHSLESSLIDIMRAENDSIKARTYGRRRGQSSLFPMED GFLDDGRGDQPLHSGLGSPHCFTHQNGERVERYSRKVFVGGLPPDIDEDEITASFRRFGP LIVDWPHKAESKSYFPPKGYAFLLFQDESSVQALIDACIEEDGKLYLCVSSPTIKDKPVQ IRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPE LKYPKGAGRVAFSNQQSYIAAISARFVQLQHGEIDKRVEVKPYVLDDQLCDECQGARCGG KFAPFFCANVTCLQYYCEYCWAAIHSRAGREFHKPLVKEGGDRPRHISFRWN >ENSMUSP00000116753.1 pep:known chromosome:GRCm38:11:31873275:31935634:1 gene:ENSMUSG00000020300.14 transcript:ENSMUST00000155278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb4 description:cytoplasmic polyadenylation element binding protein 4 [Source:MGI Symbol;Acc:MGI:1914829] SISPLKKNFASNHIQLQKYARPSSAFAPKSWMEDSLNRADNIFPFPERPRTFDMHSLESS LIDIMRAENDSIKGRLNYSYPGSDSSLLINGQSSLFPMEDGFLDDGRGDQPLHSGLGSPH CFTHQNGERVERYSRKVFVGGLPPDIDEDEITASFRRFGPLIVDWPHKAESKSYFPPKGY AFLLFQDESSVQALIDACIEEDGKLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLD PRKTIFVGGVPRPLRAVELAMIMDRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIA AISARFVQLQHGEIDKRVEVKPYVLDDQLCDECQGARCGGKFAPFFCANVTCLQYYCEYC WAAIHSRAGREFHKPLVKEGGDRPRHISFRWN >ENSMUSP00000020543.6 pep:known chromosome:GRCm38:11:31872211:31931577:1 gene:ENSMUSG00000020300.14 transcript:ENSMUST00000020543.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cpeb4 description:cytoplasmic polyadenylation element binding protein 4 [Source:MGI Symbol;Acc:MGI:1914829] MGDYGFGVLVQSNTGNKSAFPVRFHPHLQPPHHHQNATPNPAAFINNNTAANGSSAGSAW LFPAPATHNIQDEILGSEKAKSQQQEQQDPLEKQQLSPSPGQEAGILPETEKAKAEENPG DSSSENSNGKEKLRIESPVLTGFDYQEATGLGTSTQPLTSSASSLTGFSNWSAAIAPSSS TIINEDASFFHQGGVPGASANNGALLFQNFPHHVSPGFGGSFSPQIGPLSQHHPHHPHFQ HHHSQHQQQRRSPASPHPPPFTHRSAAFNQLPHLANNLNKPPSPWSSYQSPSPTPSSSWS PGGGGYGGWGASQGRDHRRGLNGGITPLNSISPLKKNFASNHIQLQKYARPSSAFAPKSW MEDSLNRADNIFPFPERPRTFDMHSLESSLIDIMRAENDSIKGRLNYSYPGSDSSLLINA RTYGRRRGQSSLFPMEDGFLDDGRGDQPLHSGLGSPHCFTHQNGERVERYSRKVFVGGLP PDIDEDEITASFRRFGPLIVDWPHKAESKSYFPPKGYAFLLFQDESSVQALIDACIEEDG KLYLCVSSPTIKDKPVQIRPWNLSDSDFVMDGSQPLDPRKTIFVGGVPRPLRAVELAMIM DRLYGGVCYAGIDTDPELKYPKGAGRVAFSNQQSYIAAISARFVQLQHGEIDKRVEVKPY VLDDQLCDECQGARCGGKFAPFFCANVTCLQYYCEYCWAAIHSRAGREFHKPLVKEGGDR PRHISFRWN >ENSMUSP00000019975.7 pep:known chromosome:GRCm38:10:40883475:40938569:1 gene:ENSMUSG00000019831.14 transcript:ENSMUST00000019975.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wasf1 description:WAS protein family, member 1 [Source:MGI Symbol;Acc:MGI:1890563] MPLVKRNIDPRHLCHTALPRGIKNELECVTNISLANIIRQLSSLSKYAEDIFGELFNEAH SFSFRVNSLQERVDRLSVSVTQLDPKEEELSLQDITMRKAFRSSTIQDQQLFDRKTLPIP LQETYDVCEQPPPLNILTPYRDDGKEGLKFYTNPSYFFDLWKEKMLQDTEDKRKEKRKQK QKNLDRPHEPEKVPRAPHDRRREWQKLAQGPELAEDDADLLHKHIEVANGPASHYETRPQ TYVDHMDGSYSLSALPFSQMSELLTRAEERVLVRPHEPPPPPPMHGAGDAKPTPTCISSA TGLIENRPQSPAAGRTPVFVSPTPPPPPPPLPSALSTSSLRASMTSTPPPPVPPPPPPPA TALQAPAVPPPPAPLQIAPGVLHPAPPPIAPPLVQPSPPVARAAPVCETVPVHPLPQGEV QGLPPPPPPPPLPPPGIRPSSPVAVAALAHPPSGLHPAPSTAPGPHAPLMPPSPPSQVLP ASEPKRHPSTLPVISDARSVLLEAIRKGIQLRKVEEQREQEAKHERIENDVATILSRRIA VEYSDSEDDSEFDEVDWLE >ENSMUSP00000101148.1 pep:known chromosome:GRCm38:10:40883827:40938570:1 gene:ENSMUSG00000019831.14 transcript:ENSMUST00000105509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wasf1 description:WAS protein family, member 1 [Source:MGI Symbol;Acc:MGI:1890563] MPLVKRNIDPRHLCHTALPRGIKNELECVTNISLANIIRQLSSLSKYAEDIFGELFNEAH SFSFRVNSLQERVDRLSVSVTQLDPKEEELSLQDITMRKAFRSSTIQDQQLFDRKTLPIP LQETYDVCEQPPPLNILTPYRDDGKEGLKFYTNPSYFFDLWKEKMLQDTEDKRKEKRKQK QKNLDRPHEPEKVPRAPHDRRREWQKLAQGPELAEDDADLLHKHIEVANGPASHYETRPQ TYVDHMDGSYSLSALPFSQMSELLTRAEERVLVRPHEPPPPPPMHGAGDAKPTPTCISSA TGLIENRPQSPAAGRTPVFVSPTPPPPPPPLPSALSTSSLRASMTSTPPPPVPPPPPPPA TALQAPAVPPPPAPLQIAPGVLHPAPPPIAPPLVQPSPPVARAAPVCETVPVHPLPQGEV QGLPPPPPPPPLPPPGIRPSSPVAVAALAHPPSGLHPAPSTAPGPHAPLMPPSPPSQVLP ASEPKRHPSTLPVISDARSVLLEAIRKGIQLRKVEEQREQEAKHERIENDVATILSRRIA VEYSDSEDDSEFDEVDWLE >ENSMUSP00000002663.5 pep:known chromosome:GRCm38:6:5168090:5193946:-1 gene:ENSMUSG00000002588.12 transcript:ENSMUST00000002663.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pon1 description:paraoxonase 1 [Source:MGI Symbol;Acc:MGI:103295] MAKLLALTLVGLVLALYKNHRSSYQTRLNAFREVTPVELPNCNLVKGIETGAEDLEILPN GLTFFSTGLKYPGIKSFDPSKPGKILLMDLNKKEPAVSELEIIGNTLDISSFNPHGISTF TDEDNTVYLLVVNHPDSSSTVEVFKFQEEERSLLHLKTITHELLPSINDIAAIGPESFYA TNDHYFADPYLRSWEMYLGLSWSNVVYYSPDKVQVVAEGFDFANGIGISLDGKYVYIAEL LAHKIHVYEKHANWTLTPLKVLNFDTLVDNISVDPVTGDLWVGCHPNGMRIFFYDAENPP GSEVLRIQNILSEDPKITVVYAENGTVLQGTTVASVYKGKLLIGTVFHKALYCDL >ENSMUSP00000135195.1 pep:known chromosome:GRCm38:6:5168495:5193784:-1 gene:ENSMUSG00000002588.12 transcript:ENSMUST00000177159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pon1 description:paraoxonase 1 [Source:MGI Symbol;Acc:MGI:103295] MAKLLALTLVGLVLALYKNHRSSYQTRLNAFREVTPVELPNCNLVKGIETGAEDLEILPN GLTFFSTGLKYPGIKSFDPSKPGKILLMDLNKKEPAVSELEIIGNTLDISSFNPHGISTF TDEDNTVYLLVVNHPDSSSTVEVFKFQEEERSLLHLKTITHELLPRYVYIAELLAHKIHV YEKHANWTLTPLKVLNFDTLVDNISVDPVTGDLWVGCHPNGMRIFFYDAENPPGSEV >ENSMUSP00000135728.1 pep:known chromosome:GRCm38:6:5171783:5193784:-1 gene:ENSMUSG00000002588.12 transcript:ENSMUST00000176945.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pon1 description:paraoxonase 1 [Source:MGI Symbol;Acc:MGI:103295] MAKLLALTLVGLVLALYKNHRSSYQTRLNAFREVTPVELPNCNLVKGIETGAEDLEILPN GLTFFSTGLKYPGIKSFDPSKPGKILLMDLNKKEPAVSELEIIGNTLDISSFNPHGISTF TDEDNTVYLLVVNHPDSSSTVEVFKFQEEERSLLHLKTITHELLPRSSTLTPLWITSLWI L >ENSMUSP00000056457.5 pep:known chromosome:GRCm38:11:58597345:58598466:1 gene:ENSMUSG00000056564.6 transcript:ENSMUST00000054683.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr324 description:olfactory receptor 324 [Source:MGI Symbol;Acc:MGI:3030158] MWGPSISSRLDRYGSAAGLSPENSSTITELVLVGFSDQPQTEIPLFIFFSLVYLASCLGN TAVVILVALDVSLQTPMYFFLCHLAFLNGFFSTVVTPKMLFNFLASRKVISYPFCLAQTY LTLFLESTECFLLAVMAIDRYVAICYPLRYLLIMSWAVCIALAVAVWVTGFCASVLPLCF MILPLCSPYVVDYLFCELPILLHLFCADTSLQEAMMAVGGAGTVLVPFLLIALSYLRILV TVIRIDSAEGRKKAFSTCASHLAVVTIYYGTGLIRYLRPKSLYSAEGDKLISVFYAVIGP ALNPFIYSLRNKEVQGAVRRVVERYRKSPRIAF >ENSMUSP00000004326.3 pep:known chromosome:GRCm38:X:74329066:74344689:1 gene:ENSMUSG00000031398.13 transcript:ENSMUST00000004326.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxna3 description:plexin A3 [Source:MGI Symbol;Acc:MGI:107683] MPTVCLLPLLFFTIGGCLGSSRPFRTFVVTDTTLTHLAVHRVTGEVFVGAVNRVFKLAPN LTELRAHVTGPIEDNARCYPPPSMRVCSHRLVPVDNVNKLLLIDYAARRLVACGSIWQGI CQFLRLDDLFKLGEPHHRKEHYLSGAQEPDSMAGVIVEQVQGPSKLFVGTAVDGKSEYFP TLSSRKLIDDEDSGDMFSLVYQDEFVSSQIKIPSDTLSLYPAFDIYYIYGFVSASFVYFL TLQLDTQQTLLDTAGEKFFTSKIVRMCAGDSEFYSYVEFPIGCSWRGVEYRLVQSAHLAK PGLLLAQALGVPADEDVLFTIFSQGQKNRANPPRQTILCLFTLSSINAHIRRRIQSCYRG EGTLALPWLLNKELPCINTPLQINGNFCGLVLNQPLGGLHVIEGLPLLADSTDGMASVAA YTYHQHSVVFIGTRSGNLKKVRVDGSQDAQLYETVSVVQGSPILRDLLFSPDHRHIYLLS EKQVSQLPVETCEQYLSCAACLGSGDPHCGWCVLQHRCCREGACPGASAPHGFAEELSKC IQVRVRPNNVSVTSSGVQLTVAMRNVPDLSVGVSCSFEEVTESEAILLPSGELRCPSPSL QELQTLTRGHGATHTVRLQLLSMETGVRFAGVDFVFYNCSALQSCMSCVGSPYPCHWCKY RHVCTSHPHECSFQEGRVHSPEGCPEILPQGDLLIPVGVMQPLTLRAKNLPQPQSGQKNY ECVVRVQGRQHRVPAVRFNSSSVQCQNASYFYEGDEFGDTELDFSVVWDGDFPIDKPPSF RALLYKCWAQRPSCGLCLKADPRFNCGWCISEHRCQLRAHCPAPKSNWMHPSQKGARCSH PRITQIHPLTGPKEGGTRVTIVGENLGLTSREVGLRVAGVRCNSIPTEYVSAERIVCEME ESLVPSPPPGPAELCVGDCSADFRTQSQQLYSFVTPTFDRVSPSRGPASGGTRLTISGIS LDAGSRVTVIIRDGECQFVRRDAEAIVCISPVSTLGPSQSPITLAIDHANISNTGVIYTY TQDPTVTHLEPTWSIINGSTSITVSGTHLLTVQEPRVRAKYRGIETTNTCQVINDTAMLC KAPGIFLGHPQPRAQGEHPDEFGFLLDHVQAARSLNRSSFTYYPDPSFEPLGPSGVLDVK PGSHVVLKGKNLIPAAAGSSRLNYTVLIGGQPCALTVSDTQLLCDSPSQTGRQPVMVLVG GLEFWLGTLHITADRALTLPAMVGLAAGGGLLLLAITVVLVAYKRKTQDADRTLKRLQLQ MDNLESRVALECKEAFAELQTDINELTNHMDGVQIPFLDYRTYAVRVLFPGIEAHPVLKE LDTPPNVEKALRLFGQLLHSRAFLLTFIHTLEAQSSFSMRDRGTVASLTMVALQSRLDYA TGLLKQLLADLIEKNLESKNHPKLLLRRTESVAEKMLTNWFTFLLHKFLKECAGEPLFLL YCAIKQQMEKGPIDAITGEARYSLSEDKLIRQQIDYKTLTLHCVCPESEGSAQVPVKVLN CDSITQAKDKLLDTVYKGIPYSQRPKAEDMDLEWRQGRMARIILQDEDITTKIECDWKRV NSLAHYQVTDGSLVALVPKQVSAYNMANSFTFTRSLSRYESLLRAASSPDSLRSRAPMLT PDQEAGTKLWHLVRNHDHTDHREGDRGSKMVSEIYLTRLLATKGTLQKFVDDLFETVFST AHRGSALPLAIKYMFDFLDEQADQRQISDPDVRHTWKSNCLPLRFWVNVIKNPQFVFDIH KNSITDACLSVVAQTFMDSCSTSEHRLGKDSPSNKLLYAKDIPNYKSWVERYYRDIAKMA SISDQDMDAYLVEQSRLHANDFNVLSALSELYFYVTKYRQEILTSLDRDASCRKHKLRQK LEQIITLVSSSS >ENSMUSP00000029925.3 pep:known chromosome:GRCm38:4:24898083:24905001:1 gene:ENSMUSG00000028261.13 transcript:ENSMUST00000029925.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf4 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, assembly factor 4 [Source:MGI Symbol;Acc:MGI:1915743] MGARVTRALRNFNVEKRAEREISKRKPSMAPKHPSTRDLLQEHRSQYPEIEEVVSKKDNK LLSLLRDVYVDSKDPVPALPVKVEPRQEPKEFRLPIGNHFDKNITDIPKGKITVVEALTL LNNHKLSPETWTAEKIAQEYYLELKDVNSLLKYFVTFEVKILPPEDRKAIQSK >ENSMUSP00000117141.1 pep:known chromosome:GRCm38:4:24898176:24903485:1 gene:ENSMUSG00000028261.13 transcript:ENSMUST00000151249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufaf4 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, assembly factor 4 [Source:MGI Symbol;Acc:MGI:1915743] RVTRALRNFNVEKRAEREISKRKPSMAPKHPSTRDLLQEHRSQYPEIEEVVSKKDNKLLS LLRDVYVDSKDPVPALPVKVEPRQEPKEFRLPIGNHFDKNITDIPKDRHTCLEIPREKMW KMRRIQR >ENSMUSP00000133949.1 pep:known chromosome:GRCm38:7:42816829:42867234:-1 gene:ENSMUSG00000092225.1 transcript:ENSMUST00000174558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2381 description:predicted gene 2381 [Source:MGI Symbol;Acc:MGI:3780549] MLENYRNLTAIGYNWKDHNIEEHSQNDRRYGRHERTPAGEKPYEYIQWNKAFKYHSHLQK LERNQTGDRHSEVFQHSKVLAYQSTLQRNKRRDTGEKPYKCNKCDKAFLQLKYLRAHERT HTGEKPYECNQCGKAFLHSSYLRMHERTHTGEKPYQCNQCGKAFVQRSYLQSHKVVHTGV RPYICNQCNKAYPRRSCLRKHERTHTGEKPYICNLCNKAFSQQSNLRIHERTHTGEKPYI CNLCDKAYSQQSCFQIHEKTHTGEKPYKCNQCDKGFAQLRYLRAHERTHTQEKTYKCNQC GKSFA >ENSMUSP00000139963.1 pep:known chromosome:GRCm38:Y:9413518:9416148:-1 gene:ENSMUSG00000096223.2 transcript:ENSMUST00000190315.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21874 description:predicted gene, 21874 [Source:MGI Symbol;Acc:MGI:5434038] MSSLMKKRRRKASSNTLRNIVGCRISHCWNEGNEPVTQWKAIVLGQLPRNPSLYLVKYDG IDSIYGQELYSDDRILNLKVLPPIVVFPQVRDAHLTRALVGRAVQHKFEGKDGSEVKWRG VVLAQVPIMKDLFYITYKKDPAVYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVQYTRKDGSKKFGKVVYQFLANPPVYFIKFHGDIHIYVYTMVQKILEVEKS >ENSMUSP00000137027.1 pep:known chromosome:GRCm38:Y:9413643:9414341:-1 gene:ENSMUSG00000096223.2 transcript:ENSMUST00000178374.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21874 description:predicted gene, 21874 [Source:MGI Symbol;Acc:MGI:5434038] MSSLMKKRRRKASSNTLRNIVGCRISHCWNEGNEPVTQWKAIVLGQLPRNPSLYLVKYDG IDSIYGQELYSDDRILNLKVLPPIVVFPQVRDAHLTRALVGRAVQHKFEGKDGSEVKWRG VVLAQVPIMKDLFYITYKKDPAVYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVQYTRKDGSKKFGKVVYQFLANPPVYFIKFHGDIHIYVYTMVQKILEVEKS >ENSMUSP00000033430.2 pep:known chromosome:GRCm38:X:48519285:48530232:1 gene:ENSMUSG00000031104.2 transcript:ENSMUST00000033430.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab33a description:RAB33A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:109493] MAQPILGHGSLQPASAAGLASLELDSSMDQYVQIRIFKIIVIGDSNVGKTCLTFRFCGGT FPDKTEATIGVDFREKTVEIEGEKIKVQVWDTAGQERFRKSMVEHYYRNVHAVVFVYDVT KMTSFTNLKMWIQECNGHAVPPLVPKVLVGNKCDLREQIQVPSNLALKFADAHNMLLFET SAKDPKESQNVESIFMCLACRLKAQKSLLYRDAERQQGKVQKLEFSQEANGKASCPC >ENSMUSP00000033468.4 pep:known chromosome:GRCm38:X:57231485:57338729:-1 gene:ENSMUSG00000031133.12 transcript:ENSMUST00000033468.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef6 description:Rac/Cdc42 guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:1920591] MQSRMWLSLHSCPESCSERPRASVMNPEERLVTWLISLGVLESPKKTVCDPEEFLKSSLK NGVVLCKLINRLLPGSVEKYCLEPQTEADCIDNINDFLKGCATLQVEVFEPDDLYSGANF SKVLNTLLAVNKATEDQLSERPCGRSSSLSAATSSQTNPQVAVPSTAPEQHSEEKAEMTE NGSHQLIVKARFNFKQTNEDELSVCKGDIIYVTRVEEGGWWEGTLNGRTGWFPSNYVREI KPSERPLSPKAIKGFDTAPLTKNYYTVVLQNILDTEKEYAKELQSLLVTYLRPLQSNNNL STVEFTCLLGNFEEVCTFQQTLCQALEECSKFPENQHKVGGCLLNLMPHFKSMYLAYCAN HPSAVNVLTQHSDDLERFMENQGASSPGILILTTSLSKPFMRLEKYVTLLQELERHMEDT HPDHQDILKAIIAFKTLMGQCQDLRKRKQLELQILSEPIQAWEGDDIKTLGNVIFMSQVV MQHGACEEKEERYFLLFSSVLIMLSASPRMSGFMYQGKIPIAGMVVNRLDEIEGSDCMFE ITGSTVERIVVHCNNNQDFQEWMEQLNRLTKGPTSCGSLSKTSSSSCSTHSSFSSTGQPR GPLEPPQIIKPWSLSCLRPAPPLRPSAALGYKERMSYILKESSKSPKTMKKFLHKRKTER KASEEEYVIRKSTAALEEDAQILKVIEAYCTSASFQQGTRKDSVPQVLLPEEEKLIIEET RSNGQTIIEEKSLVDTVYALKDEVKELKQENKKMKQCLEEELKSRKDLEKLVRKLLKQTD ECIRSESSSKTSILQ >ENSMUSP00000110383.2 pep:known chromosome:GRCm38:X:57233440:57281594:-1 gene:ENSMUSG00000031133.12 transcript:ENSMUST00000114735.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef6 description:Rac/Cdc42 guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:1920591] MLCLVLQNILDTEKEYAKELQSLLVTYLRPLQSNNNLSTVEFTCLLGNFEEVCTFQQTLC QALEECSKFPENQHKVGGCLLNLMPHFKSMYLAYCANHPSAVNVLTQHSDDLERFMENQG ASSPGILILTTSLSKPFMRLEKYVTLLQELERHMEDTHPDHQDILKAIIAFKTLMGQCQD LRKRKQLELQILSEPIQAWEGDDIKTLGNVIFMSQVVMQHGACEEKEERYFLLFSSVLIM LSASPRMSGFMYQGKIPIAGMVVNRLDEIEGSDCMFEITGSTVERIVVHCNNNQDFQEWM EQLNRLTKGPTSCGSLSKTSSSSCSTHSESSKSPKTMKKFLHKRKTERKASEEEYVIRKS TAALEEDAQILKVIEAYCTSASFQQGTRKDSVPQVLLPEEEKLIIEETRSNGQTIIEEKS LVDTVYALKDEVKELKQENKKMKQCLEEELKSRKDLEKLVRKLLKQTDECIRSESSSKTS ILQ >ENSMUSP00000135475.1 pep:known chromosome:GRCm38:X:57239230:57251344:-1 gene:ENSMUSG00000031133.12 transcript:ENSMUST00000175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef6 description:Rac/Cdc42 guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:1920591] XHPDHQDILKAIIAFKTLMGQCQDLRKRKQLELQILSEPIQAWEGDDIKTLGNVIFMSQV VMQHGACEEKEERYFLLFSSVLIMLSASPRMSGFMYQGKIPIAGMVVNRLDEIEGSDCMF EITGSTVERIVVHCNNNQDFQEWMEQLNRLTKGPTSCGSLSKTSSSSCSTHSSFSSTGQP RGPLEPPQIIKPWSLSCLRPAPPLRPSAALGYKEESSKSPKTMKKFLHKRKTERKASEEE YVIRKSTAAL >ENSMUSP00000134851.1 pep:known chromosome:GRCm38:X:57248987:57326599:-1 gene:ENSMUSG00000031133.12 transcript:ENSMUST00000176986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef6 description:Rac/Cdc42 guanine nucleotide exchange factor (GEF) 6 [Source:MGI Symbol;Acc:MGI:1920591] MTENGSHQLIVKARFNFKQTNEDELSVCKGDIIYVTRVEEGGWWEGTLNGRTGWFPSNYV REIKPSERPLSPKAIKGFDTAPLTKNYYTVVLQNILDTEKEYAKELQSLLVTYLRPLQSN NNLSTVEFTCLLGNFEEVCTFQQTLCQALEECSKFPENQHKVGGCLLNLMPHFKSMYLAY CANHPSAVNVLTQHSDDLERFMENQGASSPGILILTTSLSKPFMRLEKYVTLLQELERHM EDTHPDHQDILKAIIAFKTLMGQCQDLRKRKQLELQILSEPIQAWEGDDIKTLGNVIFMS QV >ENSMUSP00000099893.3 pep:known chromosome:GRCm38:11:31965592:31979651:1 gene:ENSMUSG00000020299.6 transcript:ENSMUST00000102829.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930524B15Rik description:RIKEN cDNA 4930524B15 gene [Source:MGI Symbol;Acc:MGI:1914842] MVPSRSGQRPDGPRLIYVTRFASHRHGVWQLRGLRGFGHRGPGLGARCASKQAAVEPGAR GGERPTGSQAGVTDTPDSAPFQRRSRAPRAREQAAQAGLNQKNAAKEFDFPIPLNEASKL MKERKKASVWSKVQQVISRMIAENESYRRRLQCQRVSSEIRVAAR >ENSMUSP00000033806.4 pep:known chromosome:GRCm38:X:140907608:140939472:1 gene:ENSMUSG00000031430.8 transcript:ENSMUST00000033806.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsig1 description:V-set and immunoglobulin domain containing 1 [Source:MGI Symbol;Acc:MGI:1926039] MMVFAFWKVFLILNCLAGQVSMVQVTIPDTFVNVTVGSNVTLLCLYTTTEKSLEKLSIQW SFFHNKEMEEPISIYYSEGGQASAIGQFKDRIIGATNPGNASITILHMQPADSGIYICDV NNPPDFVGKNQGLLDVTVLVKPSKPFCTIQGRPEAGHPISLSCLSAFGTPSPLYYWYKIE GNTIVPVKESFNTATGVLVIGNLTNFEQGYYQCTAINSLGNSSCEIDLTSSHPEVGIIIG ALVGALIGAAVIICVVYFARNKVKSKQQKNLNSSTELEPMTKVHHPQQSEAISADGVQLE GTLPSSIHAGHNTEPTTTAVLEPEYEPNPPLETTTQPDPEPEGSVPVLAPEAEIQPHPEL DPETETEPEPEPEPKPEPEPEPELEPDPQSGVIIEPLSKAGEDTVKA >ENSMUSP00000127661.1 pep:known chromosome:GRCm38:14:6528612:6537290:-1 gene:ENSMUSG00000090627.8 transcript:ENSMUST00000163636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8356 description:predicted gene 8356 [Source:MGI Symbol;Acc:MGI:3779798] MFSRLLRLCLKENDDEGETRPKKKEEGILSQEKGRRKLFWRRNRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGAMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC EEEKRFCEEASKNICASSAKEQQLP >ENSMUSP00000133130.1 pep:known chromosome:GRCm38:14:6528843:6537273:-1 gene:ENSMUSG00000090627.8 transcript:ENSMUST00000164917.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8356 description:predicted gene 8356 [Source:MGI Symbol;Acc:MGI:3779798] MFSRLLRLCLKENDDEGETRPKKKEEGILSQEKGRRKLFWRRNRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGAMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC EEEKRFCEEASKNICASSAKEQQL >ENSMUSP00000137204.1 pep:known chromosome:GRCm38:14:6534228:6537210:-1 gene:ENSMUSG00000090627.8 transcript:ENSMUST00000178896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8356 description:predicted gene 8356 [Source:MGI Symbol;Acc:MGI:3779798] MFSRLLRLCLKENDDEGETRPKKKEEGILSQEKGRRKLFWRRNRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGAMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC EEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000125464.1 pep:known chromosome:GRCm38:15:57985419:58011009:1 gene:ENSMUSG00000051225.9 transcript:ENSMUST00000160942.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83a description:family with sequence similarity 83, member A [Source:MGI Symbol;Acc:MGI:2447773] MSRSRHVGKIRKRLEDVKNQWTRPARADFSDNESARLATDALLDGGPEAYWRALSQEGEV DFLSSAEAQYIQAQAKEPPDAPDSAGGAESGPRGLDSCSLQSGTYFPVASEGSEPALLHT WTLAEKPYLKEKSSATIYFQMDKHNNIRDLVRRCISRASQVLAILMDVFTDVEILCDILE AANKRGVFVCVLLDQGGVKLFQEMCDKVQISDIHLKNISIRSVEGEVYCAKSGRKFAGQI QEKFIISDWRFVLSGSYSFSWLCGHVHRNILSKFTGQAVELFDEEFRRLYASSKPLMGLK SPRLVAPFQPNKGPEAPNGRLSGTSDSASDRTSSNPFSSLSTGSNAHNQSLSTSSGPSSP LAPIPPTVPRLQPYHSTRRAVAPQPLLVPMRPHEGAPAPYSSLMAYRPTRLQLQQLGLVP RVTHPWRPFLQALPHF >ENSMUSP00000050051.2 pep:known chromosome:GRCm38:15:57985910:57995602:1 gene:ENSMUSG00000051225.9 transcript:ENSMUST00000050374.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83a description:family with sequence similarity 83, member A [Source:MGI Symbol;Acc:MGI:2447773] MSRSRHVGKIRKRLEDVKNQWTRPARADFSDNESARLATDALLDGGPEAYWRALSQEGEV DFLSSAEAQYIQAQAKEPPDAPDSAGGAESGPRGLDSCSLQSGTYFPVASEGSEPALLHT WTLAEKPYLKEKSSATIYFQMDKHNNIRDLVRRCISRASQVLAILMDVFTDVEILCDILE AANKRGVFVCVLLDQGGVKLFQEMCDKVQISDIHLKNISIRSVEGEVYCAKSGRKFAGQI QEKFIISDWRFVLSGSYR >ENSMUSP00000068424.8 pep:known chromosome:GRCm38:13:21387013:21402713:-1 gene:ENSMUSG00000021327.18 transcript:ENSMUST00000070785.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan3 description:zinc finger with KRAB and SCAN domains 3 [Source:MGI Symbol;Acc:MGI:1919989] MARESRESTTLDSHSAEDQMELLVIKVEQEEASPLAEETSWLGSPGPDRSRQRFRAFRYP EAAGPRQALSRLRELCRQWLRPDMHSKEQILELLVLEQFLTILPGELQAWVREQHPDSGE EVVALLEYLDRQLDDTPPQVPDDDDGQELLCSKAVLLTSAQGSESSQMEPVEPLLKQESL GSLPSEVRVTHVGHCGEDGVTATRLTSELQGLLKMEDVAPVLSPRWTEQDSSQMNLYKDG MQEHSGSLVSLDQDMQTKVRDLPRAEEYRDQKPEQTVCFLGEDTVPIPTGAEASEQEGKL QAAQKSATGTRRFYCRECGKSFAQSSGLSKHKRIHTGLKPYECEECGKAFIGSSALIIHQ RVHTGEKPYECEECGKAFSHSSDLIKHQRTHTGEKPYECDDCGKTFTQSCSLLEHHRIHT GEKPYQCNMCPKAFRRSSHLLRHQRTHTGDKDFFVPEPYWESQSRVESHWENIETPVSYQ CNDCERSFSRITSLIEHQKVHTGEKPFECQTCGKGFTRPSYLIQHQRRHTGKKTSVTVTP AVHSEVGVQLSLN >ENSMUSP00000112135.3 pep:known chromosome:GRCm38:13:21387013:21402755:-1 gene:ENSMUSG00000021327.18 transcript:ENSMUST00000116434.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan3 description:zinc finger with KRAB and SCAN domains 3 [Source:MGI Symbol;Acc:MGI:1919989] MARESRESTTLDSHSAEDQMELLVIKVEQEEASPLAEETSWLGSPGPDRSRQRFRAFRYP EAAGPRQALSRLRELCRQWLRPDMHSKEQILELLVLEQFLTILPGELQAWVREQHPDSGE EVVALLEYLDRQLDDTPPQVPDDDDGQELLCSKAVLLTSAQGSESSQMEPVEPLLKQESL GSLPSEVRVTHVGHCGEDGVTATRLTSELQGLLKMEDVAPVLSPRWTEQDSSQMNLYKDG MQEHSGSLVSLDQDMQTKVRDLPRAEEYRDQKPEQTVCFLGEDTVPIPTGAEASEQEGKL QAAQKSATGTRRFYCRECGKSFAQSSGLSKHKRIHTGLKPYECEECGKAFIGSSALIIHQ RVHTGEKPYECEECGKAFSHSSDLIKHQRTHTGEKPYECDDCGKTFTQSCSLLEHHRIHT GEKPYQCNMCPKAFRRSSHLLRHQRTHTGDKDFFVPEPYWESQSRVESHWENIETPVSYQ CNDCERSFSRITSLIEHQKVHTGEKPFECQTCGKGFTRPSYLIQHQRRHTGKKTSVTVTP AVHSEVGVQLSLN >ENSMUSP00000118091.2 pep:known chromosome:GRCm38:13:21388605:21394763:-1 gene:ENSMUSG00000021327.18 transcript:ENSMUST00000145631.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan3 description:zinc finger with KRAB and SCAN domains 3 [Source:MGI Symbol;Acc:MGI:1919989] XRVTHVGHCGEDGVTATRLTSELQGLLKMEDVAPVLSPRWTEQDSSQMNLYKDGMQEHSG SLVSLGTLAQDWHCHNELGLPTLTVNRGNVPTNLPTGQSNRGIFSIKIPSSQIRTCRLRL GTCLELKNTGTKSLSRQCASWVKTLS >ENSMUSP00000112862.1 pep:known chromosome:GRCm38:13:21389293:21402695:-1 gene:ENSMUSG00000021327.18 transcript:ENSMUST00000117721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan3 description:zinc finger with KRAB and SCAN domains 3 [Source:MGI Symbol;Acc:MGI:1919989] MARESRESTTLDSHSAEDQMELLVIKVEQEEASPLAEETSWLGSPGPDRSRQRFRAFRYP EAAGPRQALSRLRELCRQWLRPDMHSKEQILELLVLEQFLTILPGELQAWVREQHPDSGE EVVALLEYLDRQLDDTPPQVPDDDDGQELLCSKAVLLTSAQGSESSQMEPVEPLLKQESL GSLPSEVRVTHVGHCGEDGVTATRLTSELQGLLKMEDVAPVLSPRWTEQDSSQMNLYKDG MQEHSGSLVSLGKTDEY >ENSMUSP00000112134.1 pep:known chromosome:GRCm38:13:21393232:21402716:-1 gene:ENSMUSG00000021327.18 transcript:ENSMUST00000116433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan3 description:zinc finger with KRAB and SCAN domains 3 [Source:MGI Symbol;Acc:MGI:1919989] MARESRESTTLDSHSAEDQMELLVIKVEQEEASPLAEETSWLGSPGPDRSRQRFRAFRYP EAAGPRQALSRLRELCRQWLRPDMHSKEQILELLVLEQFLTILPGELQAWVREQHPDSGE EVVALLEYLDRQLDDTPPQVPDDDDGQELLCSKAVLLTSAQGSESSQMEPVEPLLKQESL GSLPSEVRVTHVGHCGEDGVTATRLTSELQGLLKMEDVAPVLSPRWTEQDSSQMNLYKDG MQEHSGSLVSLVRVSIAMTKHYNQKQLGEERIYFNLQFHTIVYHQRKSRQELKAGAWR >ENSMUSP00000022548.3 pep:known chromosome:GRCm38:14:59133040:59142893:-1 gene:ENSMUSG00000021977.11 transcript:ENSMUST00000022548.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700129C05Rik description:RIKEN cDNA 1700129C05 gene [Source:MGI Symbol;Acc:MGI:1915182] MMRRKRPRILGSLRNPVPPKDLSLPSDQPKNIHFFPPQTPMPQPTFRTNPLFVFYPTSFP ALRNTSYFPTLMIAMPSSSCVNKLSSKHPSYFQTPSFLSTLMSTSSPRALLDSCLVPLSH LETSYFQPEEQILQELNKISLDPELKIGGSRYDLTSLVPGNLQPGTETGNHEVEDAQSLD DLDAFTQETLDRMNCHWSRTQVLPNNISGWRNQRGKLK >ENSMUSP00000125379.1 pep:known chromosome:GRCm38:14:59133239:59142893:-1 gene:ENSMUSG00000021977.11 transcript:ENSMUST00000162674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700129C05Rik description:RIKEN cDNA 1700129C05 gene [Source:MGI Symbol;Acc:MGI:1915182] MSTSSPRALLDSCLVPLSHLETSYFQPEEQILQELNKISLDPELKIGGSRYDLTSLVPGN LQPGTETGNHEVEDAQSLDDLDAFTQETLDRMNCHWSRTQVLPNNISGWRNQRGKLK >ENSMUSP00000125361.1 pep:known chromosome:GRCm38:14:59133242:59142893:-1 gene:ENSMUSG00000021977.11 transcript:ENSMUST00000159858.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700129C05Rik description:RIKEN cDNA 1700129C05 gene [Source:MGI Symbol;Acc:MGI:1915182] MMRRKRPRILGSLRNPVPPKDLSLPSDQPKNIHFFPPQTPMPQPTFRTNPLFVFYPTSFP ALRNTSYFPTLMIAMPSSSCVNKLSSKHPSYFQTPSFLSTLMSTSSPRALLDSCLVPLSH LETSYFQPEEVPGNLQPGTETGNHEVEDAQSLDDLDAFTQETLDRMNCHWSRTQVLPNNI SGWRNQRGKLK >ENSMUSP00000125240.1 pep:known chromosome:GRCm38:14:59141326:59142893:-1 gene:ENSMUSG00000021977.11 transcript:ENSMUST00000162271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700129C05Rik description:RIKEN cDNA 1700129C05 gene [Source:MGI Symbol;Acc:MGI:1915182] MSTSSPRALLDSCLVPLSHLETSYFQPEEQILQELNKISLDPELKIGGSRYDLTSLLQ >ENSMUSP00000020537.2 pep:known chromosome:GRCm38:11:32000463:32059202:1 gene:ENSMUSG00000020297.10 transcript:ENSMUST00000020537.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsg2 description:neuron specific gene family member 2 [Source:MGI Symbol;Acc:MGI:1202070] MVKLNSNPGEKGAKPPSVEDGFQTVPLITPLEVNHLQLAAPEKVIVKTRTEYQPEQRNKG KFRVPKIAEFTVTILVSLALAFLACIVFLVVYKAFTYDHSCPEGFVYKHKRCIPASLDAY YSSQDPSSRSRFYTVISHYSVAKQSTARAIGPWLSAAAVIHEPKPPKTQGH >ENSMUSP00000105036.1 pep:known chromosome:GRCm38:11:32000463:32018007:1 gene:ENSMUSG00000020297.10 transcript:ENSMUST00000109409.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsg2 description:neuron specific gene family member 2 [Source:MGI Symbol;Acc:MGI:1202070] MVKLNSNPGEKGAKPPSVEDGFQTVPLITPLEVNHLQLAAPEKVIVKTRTEYQPEQRNKG KFRVPKIAEFTVSSSVGWNGRGHLLWRRDSVE >ENSMUSP00000090907.3 pep:known chromosome:GRCm38:11:32000502:32033414:1 gene:ENSMUSG00000020297.10 transcript:ENSMUST00000093219.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsg2 description:neuron specific gene family member 2 [Source:MGI Symbol;Acc:MGI:1202070] MVKLNSNPGEKGAKPPSVEDGFQTVPLITPLEVNHLQLAAPEKVIVKTRTEYQPEQRNKG KFRVPKIAEFTVALPKLPAPAFNGLQIWYQQHRQKIVYSP >ENSMUSP00000068850.5 pep:known chromosome:GRCm38:2:32775786:32777597:1 gene:ENSMUSG00000053746.8 transcript:ENSMUST00000066352.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptrh1 description:peptidyl-tRNA hydrolase 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913779] MRRSWALSRQVSEARFPGKRWLVAGLGNHGMPGTRHSVGMAVLGQIARRLGVAENWTRDS RCAADLALAPLGDAQLVLLRPRRLMNVNGRSVARAAELFGLTAEEIYLVHDELDKPLGKL ALKLGGSARGHNGVRSCISCLNSNAMPRLLVGIGRPTHPNMVENHVLGCFSPEEQELLSP LMDQATDLLLDHIRARSQGPLSGL >ENSMUSP00000121407.1 pep:known chromosome:GRCm38:14:29996141:30008813:-1 gene:ENSMUSG00000015966.16 transcript:ENSMUST00000135888.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il17rb description:interleukin 17 receptor B [Source:MGI Symbol;Acc:MGI:1355292] MLLVLLILAASCRSALPREPTIQCGSETGPSPEWMVQHTLTPGDLRDLQVELVKTSVAAE EFSILMNISWILRADASIRLLKATKICVSGKNNMNSYSCVRCNYTEAFQSQTRPSGGKAM DILLCRLPCGAEHSLSHQRP >ENSMUSP00000113686.1 pep:known chromosome:GRCm38:14:29996168:30008896:-1 gene:ENSMUSG00000015966.16 transcript:ENSMUST00000122205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17rb description:interleukin 17 receptor B [Source:MGI Symbol;Acc:MGI:1355292] MLLVLLILAASCRSALPREPTIQCGSETGPSPEWMVQHTLTPGDLRDLQVELVKTSVAAE EFSILMNISWILRADASIRLLKATKICVSGKNNMNSYSCVRCNYTEAFQSQTRPSGGKWT FSYVGFPVELSTLYLISAHNIPNANMNEDSPSLSVNFTSPGCLNHVMKYKKQCTEAGNLW DPDITACKKNEKMVEVNFTTNPLGNRYTILIQRDTTLGFSRVLENKLMRTSVAIPVTEES EGAVVQLTPYLHTCGNDCIRREGTVVLCSETSAPIPPDDNRRMLGGWLPLFLVLLVAVWV LAAGIYLTWRQGRSTKTSFPISTMLLPLIKVLVVYPSEICFHHTVCRFTDFLQNYCRSEV ILEKWQKKKIAEMGPVQWLTTQKQAADKVVFLLPSDVPTLCDSACGHNEGSARENSQDLF PLAFNLFCSDFSSQTHLHKYLVVYLGGADLKGDYNALSVCPQYHLMKDATAFHTELLKAT QSMSVKKRSQACHDSCSPL >ENSMUSP00000117802.1 pep:known chromosome:GRCm38:14:29996199:30008809:-1 gene:ENSMUSG00000015966.16 transcript:ENSMUST00000136726.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il17rb description:interleukin 17 receptor B [Source:MGI Symbol;Acc:MGI:1355292] MLLVLLILAASCRSALPREPTIQCGSETGPSPEWMVQHTLTPGDLRDLQVELVKTSVAAE EFSILMNISWILRADASIRLLKATKICVSGKNNMNSYSCVRCNYTEAFQSQTRPSGGKWT FSYVGFPVELSTLYLISAHNIPNANMNEDSPSLSVNFTSPGCTRENTEVTSGVFPAAKHQ ALRISAPFPLQFPPGPEALSHSATPASGLAFS >ENSMUSP00000016110.6 pep:known chromosome:GRCm38:14:29996168:30008896:-1 gene:ENSMUSG00000015966.16 transcript:ENSMUST00000016110.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il17rb description:interleukin 17 receptor B [Source:MGI Symbol;Acc:MGI:1355292] MLLVLLILAASCRSALPREPTIQCGSETGPSPEWMVQHTLTPGDLRDLQVELVKTSVAAE EFSILMNISWILRADASIRLLKATKICVSGKNNMNSYSCVRCNYTEAFQSQTRPSGGKWT FSYVGFPVELSTLYLISAHNIPNANMNEDSPSLSVNFTSPGCLNHVMKYKKQCTEAGSLW DPDITACKKNEKMVEVNFTTNPLGNRYTILIQRDTTLGFSRVLENKLMRTSVAIPVTEES EGAVVQLTPYLHTCGNDCIRREGTVVLCSETSAPIPPDDNRRMLGGWLPLFLVLLVAVWV LAAGIYLTWRQGRSTKTSFPISTMLLPLIKVLVVYPSEICFHHTVCRFTDFLQNYCRSEV ILEKWQKKKIAEMGPVQWLTTQKQAADKVVFLLPSDVPTLCDSACGHNEGSARENSQDLF PLAFNLFCSDFSSQTHLHKYLVVYLGGADLKGDYNALSVCPQYHLMKDATAFHTELLKAT QSMSVKKRSQACHDSCSPL >ENSMUSP00000029989.4 pep:known chromosome:GRCm38:4:49632066:49656887:1 gene:ENSMUSG00000028309.14 transcript:ENSMUST00000029989.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf20 description:ring finger protein 20 [Source:MGI Symbol;Acc:MGI:1925927] MSGIGNKRAAGEPGTSMPPEKKTAVEDSGTTVETIKLGGVSSTEELDIRTLQSKNRKLAE MLDQRQAIEDELREHIEKLERRQATDDASLLIVNRYWSQFDENIRIILKRYDLDQGLGDL LTERKALVVPEPEPDSDSNQERKDDRERGDGQEPAFSFLATLASSSSEEMESQLQERVES SRRAVSQIVTVYDKLQEKVDLLSRKLNSGDNLIVEEAVQELNSFLAQENVRLQELTDLLQ EKHHTMSQEFCKLQGKVETAESRVSVLESMIDDLQWDIDKIRKREQRLNRHLAEVLERVN SKGYKVYGAGSSLYGGTITINARKFEEMNAELEENKELAQNRHCELEKLRQDFEEVTTQN EKLKVELRSAVEEVVKETPEYRCMQSQFSVLYNESLQLKAHLDEARTLLHGTRGTHQRQV ELIERDEVSLHKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQTLAANEQAGPINREMRHLI SSLQNHNHQLKGEVLRYKRKLREAQSDLNKTRLRSGSALLQSQSSTEDPKDEPTELKQDS EDLATHSSALKASQEDEVKSKRDEEERERERREKEREREREREKEKEREREKQKLKESEK ERDSVKDKEKGKHDDGRKKEAEIIKQLKIELKKAQESQKEMKLLLDMYRSAPKEQRDKVQ LMAAEKKSKAELEDLRQRLKDLEDKEKKENKKMADEDALRKIRAVEEQIEYLQKKLAMAK QEEEALLSEMDVTGQAFEDMQEQNIRLMQQLREKDDANFKLMSERIKSNQIHKLLKEEKE ELADQVLTLKTQVDAQLQVVRKLEEKEHLLQSNIGTGEKELGLRTQALEMNKRKAMEAAQ LADDLKAQLELAQKKLHDFQDEIVENSVTKEKDLFNFKRAQEDISRLRRKLETTKKPDNV PKCDEILMEEIKDYKARLTCPCCNMRKKDAVLTKCFHVFCFECVKTRYDTRQRKCPKCNA AFGANDFHRIYIG >ENSMUSP00000118293.1 pep:known chromosome:GRCm38:4:49632060:49650251:1 gene:ENSMUSG00000028309.14 transcript:ENSMUST00000156314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf20 description:ring finger protein 20 [Source:MGI Symbol;Acc:MGI:1925927] MSGIGNKRAAGEPGTSMPPEKKTAVEDSGTTVETIKLGGVSSTEELDIRTLQSKNRKLAE MLDQRQAIEDELREHIEKLERRQATDDASLLIVNRYWSQFDENIRIILKRYDLDQGLGDL LTERKALVVPEPEPDSDSNQERKDDRERGDGQEPAFSFLATLASSSSEEMESQLQERVES SRRAVSQIVTVYDKLQEKVDLLSRKLNSGDNLIVEEAVQELNSFLAQENVRLQELTDLLQ EKHHTMSQEFCKLQGKVETAESRVSVLESMIDDLQWDIDKIRKREQRLNRHLAEVLERVN SKGYKVYGAGSSLYGGTITINARKFEEMNAELEENKELAQNRHCELEKLRQDFEEVTTQN EKLKVELRSAVEEVVKETPEYRCMQSQFSVLYNESLQLKAHLDEARTLLHGTRGTHQRQV ELIERDEVSLHKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQTLAANEQAGPINREMRHLI SSLQNHNHQLKGEVLRYKRKLREAQSDLNKTRLRSGSALLQSQSSTEDPKDEPTELKQDS EDLATHSSALKASQEDEVKSKRDEEERERERREKEREREREREKEKEREREKQKLKESEK ERDSVK >ENSMUSP00000120668.1 pep:known chromosome:GRCm38:4:49632093:49644539:1 gene:ENSMUSG00000028309.14 transcript:ENSMUST00000146547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf20 description:ring finger protein 20 [Source:MGI Symbol;Acc:MGI:1925927] MSGIGNKRAAGEPGTSMPPEKKTAVEDSGTTVETIKLGGVSSTEELDIRTLQSKNRKLAE MLDQRQAIEDELREHIEKLERRQATDDASLLIVNRYWSQFCKLQGKVETAESRVSVL >ENSMUSP00000121334.1 pep:known chromosome:GRCm38:4:49632006:49638702:1 gene:ENSMUSG00000028309.14 transcript:ENSMUST00000140341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf20 description:ring finger protein 20 [Source:MGI Symbol;Acc:MGI:1925927] MSGIGNKRAAGEPGTSMPPEKKTAVEDSGTTVETIKLGGVSSTEELDIRTLQSKNRKLAE MLDQRQAIEDELREHIEKLERRQATDDASLLIVNRYWSQFDENIRIILKRYDLDQGLGDL LTERKALVVPEPEPDSDSNQERKDDRERGDGQEPAFSFLATLASSSSEEMESQL >ENSMUSP00000128546.1 pep:known chromosome:GRCm38:4:49632060:49656886:1 gene:ENSMUSG00000028309.14 transcript:ENSMUST00000167496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf20 description:ring finger protein 20 [Source:MGI Symbol;Acc:MGI:1925927] MSGIGNKRAAGEPGTSMPPEKKTAVEDSGTTVETIKLGGVSSTEELDIRTLQSKNRKLAE MLDQRQAIEDELREHIEKLERRQATDDASLLIVNRYWSQFDENIRIILKRYDLDQGLGDL LTERKALVVPEPEPDSDSNQERKDDRERGDGQEPAFSFLATLASSSSEEMESQLQERVES SRRAVSQIVTVYDKLQEKVDLLSRKLNSGDNLIVEEAVQELNSFLAQENVRLQELTDLLQ EKHHTMSQEFCKLQGKVETAESRVSVLESMIDDLQWDIDKIRKREQRLNRHLAEVLERVN SKGYKVYGAGSSLYGGTITINARKFEEMNAELEENKELAQNRHCELEKLRQDFEEVTTQN EKLKVELRSAVEEVVKETPEYRCMQSQFSVLYNESLQLKAHLDEARTLLHGTRGTHQRQV ELIERDEVSLHKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQTLAANEQAGPINREMRHLI SSLQNHNHQLKGEVLRYKRKLREAQSDLNKTRLRSGSALLQSQSSTEDPKDEPTELKQDS EDLATHSSALKASQEDEVKSKRDEEERERERREKEREREREREKEKEREREKQKLKESEK ERDSVKDKEKGKHDDGRKKEAEIIKQLKIELKKAQESQKEMKLLLDMYRSAPKEQRDKVQ LMAAEKKSKAELEDLRQRLKDLEDKEKKENKKMADEDALRKIRAVEEQIEYLQKKLAMAK QEEEALLSEMDVTGQAFEDMQEQNIRLMQQLREKDDANFKLMSERIKSNQIHKLLKEEKE ELADQVLTLKTQVDAQLQVVRKLEEKEHLLQSNIGTGEKELGLRTQALEMNKRKAMEAAQ LADDLKAQLELAQKKLHDFQDEIVENSVTKEKDLFNFKRAQEDISRLRRKLETTKKPDNV PKCDEILMEEIKDYKARLTCPCCNMRKKDAVLTKCFHVFCFECVKTRYDTRQRKCPKCNA AFGANDFHRIYIG >ENSMUSP00000099877.1 pep:known chromosome:GRCm38:2:32777381:32784428:-1 gene:ENSMUSG00000038987.8 transcript:ENSMUST00000102813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap157 description:cilia and flagella associated protein 157 [Source:MGI Symbol;Acc:MGI:2447809] MAPKKKPNKGGKEMQGKKIGGKKDASGTKTPELAMVEELKEFYHKQIQDLEDRLARYQRK WDELAVREKLFHQEFEQLANNKKEIVAFLKRTLNQKVDEITDLNDQLQSLEVAKDMEKDA FEAQLAQVRHEFQEIKDQLTTENIALGIKLSSLEEFRLQKEELTEKYLALEEQLHRQEGE YKEYVYNLEKKSVLDKDRLRKEIIQRVNLVATEFRKMATNQMWETTRRAILENNSVTLQL NKVSKHGVQLLQENEQLKGTQNKLCQQLEMLENTQEIMARKNIGHKKIILMLTEKCRQQR KGTEETEQLRLLLSQLEQNFQNVQRDNQTLRSEKDQLEAQLKEKQAEANRLQEELTKEQK IRANLKTVLIQATSMLQDIVHMQTEAEDGDFDVVFQLQRKELLQQLLVLLSSGVVLKTQP DMGSHQDKQPQGLSKESQRITQTSKEGAVSLLQQLSTITTYKPGDLGLVPRRPVRIPLKP QDLRPLSYITRMGICQNTNEIYPSGALKRFRKFTLPRPFLHRK >ENSMUSP00000104449.1 pep:known chromosome:GRCm38:11:58608204:58616075:-1 gene:ENSMUSG00000037145.14 transcript:ENSMUST00000108821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210407C18Rik description:RIKEN cDNA 2210407C18 gene [Source:MGI Symbol;Acc:MGI:1925604] MGWSSILKSLLTVFVLSILAVCSVESYTCIQATCENGNCLGGTSTCLTSYSCFSQIQKLE TPSPDTNLVLEQKGCASYQNLCALEFSATLGNRQKFRYKTQCCTGEQCNKENLTLPPLSS EVNGVECPACYNNKTNTCSTTTPLKCTGAEKRCIEVTSRDPSSNIVMYGKGCATENACAL YMTVFNNIQIKTSCISTNGSPALKSAASLPVILLLQKILL >ENSMUSP00000039283.7 pep:known chromosome:GRCm38:11:58608209:58613492:-1 gene:ENSMUSG00000037145.14 transcript:ENSMUST00000048801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210407C18Rik description:RIKEN cDNA 2210407C18 gene [Source:MGI Symbol;Acc:MGI:1925604] MGWSSILKSLLTVFVLSILAVCSVESYTCIQATCENGNCLGGTSTCLTSYSCFSQIQKLE TPSPDTNLVLEQKGCASYQNLCALEFSATLGNRQKFRYKTQCCTGEQCNKENLTLPPLSS EVNGVECPACYNNKTNTCSTTTPLKCTGAEKRCIEVTSRDPSSNIVMYGKGCATENACAL YMTVFNNIQIKTSCISTNGSPALKSAASLPVILLLQKILL >ENSMUSP00000029358.8 pep:known chromosome:GRCm38:3:69721985:69756373:1 gene:ENSMUSG00000027787.14 transcript:ENSMUST00000029358.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmd3 description:NMD3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140103] MEYMAESIEHSPGHILCCECGVPISPNPANICVACLRSKVDISQGVPKQVSISFCKQCQR YFQPPASWVQCALESRELLALCLKKIKAPLSKVRLVDAGFVWTEPHSKRLKVKLTIQKEV MNGAILQQVFVVDYVVQSQMCGDCHRVEAKDFWKAVIQVRQKTLHKKTFYYLEQLILKYG MHQNTLRIKEIHDGLDFYYSSKQHAQKMVEFLQGIVPCRYKASQRLISQDIHSNTYNYKS TFSVEIVPICKDNVVCLSPKLAQSLGNMSQICICIRVTSAIHLIDPNTLQVADIDGNTFW SHPFNSLCHPKQLEEFIVIECSTVRDLKRSAGAGVISKKHTLGEVWIQKTSEMNTDKQYF CRTHLGHLLNPGDLVLGFDLANCNLNDEYVNKMNSDRVPDVVLIKKSYDRTKRQRRRNWK LKELARDRENMDTDDERQYQDFLEDLEEDEAIRKHVNIYRDATIPVESDTDDEGAPRISL AEMLEDLHISQDATGEEGASMMS >ENSMUSP00000116113.1 pep:known chromosome:GRCm38:3:69722032:69735089:1 gene:ENSMUSG00000027787.14 transcript:ENSMUST00000143041.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nmd3 description:NMD3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140103] MEYMAESIEHSPGHMFGLSMQALFGLSPIPRDLKLN >ENSMUSP00000142290.1 pep:known chromosome:GRCm38:3:69722083:69730533:1 gene:ENSMUSG00000027787.14 transcript:ENSMUST00000135266.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nmd3 description:NMD3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140103] MEYMAESIEHSPGHILCCECGVPISPNPANICVACLRSKVDISQGVPKQVSISFCKQCQR YFQPPASWVQCALESRELLALCLKKIKAPLSKVRLVDAGFVWTEPHSKRLKVKLTIQKEC WNYRCVPACLALCGGENQTQGFKH >ENSMUSP00000115736.1 pep:known chromosome:GRCm38:3:69722255:69726409:1 gene:ENSMUSG00000027787.14 transcript:ENSMUST00000143249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmd3 description:NMD3 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2140103] MEYMAESIEHSPGHILCCECGVPISPNPANICVACLRSKVDISQGVPKQVSISFCKQCQR YFQPPASWVQCALESR >ENSMUSP00000061544.7 pep:known chromosome:GRCm38:8:69880369:69887687:-1 gene:ENSMUSG00000044006.8 transcript:ENSMUST00000057831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cilp2 description:cartilage intermediate layer protein 2 [Source:MGI Symbol;Acc:MGI:1915959] MASPLPLLYLCLAALHLAGARDATPTEEHTSTARGLQGRPPDTGQPSPALEDWEEASEWT SWFNVDHPGGDGDFESLAAIRFYYGPARVCPRPLALEARTTDWALPAAMGERVHANPERG FWCLNREQPRGRRCSNYHVRFRCPLEAAWGAWGAWGLCSKSCGLGRRLRRRSCQSSSGDT CPGSPQEAQKCVRSRCPGCSSDTCGCPNHILLGSVVTPSGRPLSGARVSLRTRPGTIATS GTHGTFQVPGVCAGSKASVSAQMNGFSAGTAQAHANSSNTATVTIILEELGKPYLVKHPE SRVREAGQNVTFCCKASGTPMPKKYSWFHNGTLLDRRQQGSGPHLELQGLHQAQAGEYHC KAWNEAGTVRSRAALLTILAPGQQACDPRPQEHLIKLPDDCGQPGGGPTYLDVGLCADTR CPGPVGSGPRCGDAGSRCCSVLRLESRDIRCSGYVLPVKVVAECGCRKCLPRRGLVRGRV VAADSGEPLRFARILLGRAPIGFTSYQGDFTIEVPPATERLVVTFVDPSGDFVDSVRVLP FDPRGAGVYHEIRALRKAAAVLLDAERGGEIPLGSTEEAPALGELVLPPGTFHHPDGRPY TGPVEARVTFVDPRDLASASAASSDLRFLDSAGELAPLRTYGMFAVDLRAPGSTEQLHVA RADVHVDADHVRMPGHAEALALWSLDPETGLWEEEGSEQGSGGFRRETAAARVRREERAF LVGALTMRERRLFNLDVPERRRCFVKVRAYGTDRFAPAEQVQGVVVTLLNLEPAPGFTAN PRAWGRFDSAVTGPNGACVPAFCDAEKPDAYTAFVTAALGGEELEAAPSRPRATAAVVGV AQPYLERLGYQRTDHDDPALKRTGFRLNLARPRAGHESEAHGPVYPWRRLRDCEDAPVTD SHFRFSRVEADKYEYDVVPFHEGAPASWTGDLLAWWPNPQEFRACFLKVRLQGPQEYMVR SHNAGGTHEATRGRLYGLRDTRSVRHPERPGASAACVEFKCGGMLFDQRQVDRTLVTVTP QGSCRRVAVNTLLQDYLARHPPLAAADDPAAFAMLAPLDALGHNYGVYTVTDQSPRLAKE IAIGRCFDGSSDGFSREMKADAGTAVTFQCREPPARPSLFQRLLENPSSALGDIRREMGQ ATRYSRVNQTQAGDTGPFGPGQ >ENSMUSP00000022204.9 pep:known chromosome:GRCm38:13:106958996:107022118:-1 gene:ENSMUSG00000021693.19 transcript:ENSMUST00000022204.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif2a description:kinesin family member 2A [Source:MGI Symbol;Acc:MGI:108390] MATANFGKIQIGIYVEIKRSDGRIHQAMVTSLNEDNESVTVEWIENGDTKGKEIDLESIF SLNPDLVPDEDIEPSPELPPPSSSSKVNKIVKNRRTVAAVKNDPPPRDNRVVGSARARPS QLPEQSSSAQQNGSVSDISPVQAAKKEFGPPSRRKSNCVKEVEKLQEKREKRRLQQQELR EKRAQDVDATNPNYEIMCMIRDFRGSLDYRPLTTADPIDEHRICVCVRKRPLNKKETQMK DLDVITIPSKDVVMVHEPKQKVDLTRYLENQTFRFDYAFDDSAPNEMVYRFTARPLVETI FERGMATCFAYGQTGSGKTHTMGGDFSGKNQDCSKGIYALAARDVFLMLKKPNYKKLELQ VYATFFEIYSGKVFDLLNRKTKLRVLEDGKQQVQVVGLQEREVKCVEDVLKLIDIGNSCR TSGQTSANAHSSRSHAVFQIILRRKGKLHGKFSLIDLAGNERGADTSSADRQTRLEGAEI NKSLLALKECIRALGRNKPHTPFRASKLTQVLRDSFIGENSRTCMIATISPGMASCENTL NTLRYANRVKELTVNPAAAGDVHPIMHHPPSQIDDLETQWGVGSSPQRDDLKLLCEQNEE EVSPQLFTFHEAVSQMVEMEEQVVEDHRAVFQESIRWIEDEKALLEMTEEVDYDVDSYAT QLEAILEQKIDILTELRDKVKSFRAALQEEEQASKQINPKRPRAL >ENSMUSP00000112715.1 pep:known chromosome:GRCm38:13:106961260:107022114:-1 gene:ENSMUSG00000021693.19 transcript:ENSMUST00000122233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif2a description:kinesin family member 2A [Source:MGI Symbol;Acc:MGI:108390] MVTSLNEDNESVTVEWIENGDTKGKEIDLESIFSLNPDLVPDEDIEPSPELPPPSSSSKV NKIVKNRRTVAAVKNDPPPRDNRVVGSARARPSQLPEQSSSAQQNGSVSDISPVQAAKKE FGPPSRRKSNCVKEVEKLQEKREKRRLQQQELREKRAQDVDATNPNYEIMCMIRDFRGSL DYRPLTTADPIDEHRICVCVRKRPLNKKETQMKDLDVITIPSKDVVMVHEPKQKVDLTRY LENQTFRFDYAFDDSAPNEMVYRFTARPLVETIFERGMATCFAYGQTGSGKTHTMGGDFS GKNQDCSKGIYALAARDVFLMLKKPNYKKLELQVYATFFEIYSGKVFDLLNRKTKLRVLE DGKQQVQVVGLQEREVKCVEDVLKLIDIGNSCRTSGQTSANAHSSRSHAVFQIILRRKGK LHGKFSLIDLAGNERGADTSSADRQTRLEGAEINKSLLALKECIRALGRNKPHTPFRASK LTQVLRDSFIGENSRTCMIATISPGMASCENTLNTLRYANRVKEFGISPSDIPFSQGGGS RPDLSPSYDYDDFSPSITRVKELTVNPAAAGDVHPIMHHPPSQIDDLETQWGVGSSPQRD DLKLLCEQNEEEVSPQLFTFHEAVSQMVEMEEQVVEDHRAVFQESIRWIEDEKALLEMTE EVDYDVDSYATQLEAILEQKIDILTELRDKVKSFRAALQEEEQASKQINPKRPRAL >ENSMUSP00000125644.1 pep:known chromosome:GRCm38:13:106961852:107022126:-1 gene:ENSMUSG00000021693.19 transcript:ENSMUST00000159772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif2a description:kinesin family member 2A [Source:MGI Symbol;Acc:MGI:108390] MATANFGKIQIGIYVEIKRSDGRIHQAMVTSLNEDNESVTVEWIENGDTKGKEIDLESIF SLNPDLVPDEDIEPSPELPPPSSSSKVNKIVKNRRTVAAVKNDPPPRDNRVVGSARARPS QLPEQSSSAQQNGSVSDISPVQAAKKEFGPPSRRKSNCVKEVEKLQEKREKRRLQQQELR EKRAQDVDATNPNYEIMCMIRDFRGSLDYRPLTTADPIDEHRICVCVRKRPLNKKETQMK DLDVITIPSKDVVMVHEPKQKVDLTRYLENQTFRFDYAFDDSAPNEMVYRFTARPLVETI FERGMATCFAYGQTGSGKTHTMGGDFSGKNQDCSKGIYALAARDVFLMLKKPNYKKLELQ VYATFFEIYSGKVFDLLNRKTKLRVLEDGKQQVQVVGLQEREVKCVEDVLKLIDIGNSCR TSGQTSANAHSSRSHAVFQIILRRKGKLHGKFSLIDLAGNERGADTSSADRQTRLEGAEI NKSLLALKECIRALGRNKPHTPFRASKLTQVLRDSFIGENSRTCMIATISPGMASCENTL NTLRYANRVKEFGISPSDIPFSQGGGSRPDLSPSYDYDDFSPSITRVKELTVNPAAAGDV HPIMHHPPSQIDDLETQWGVGSSPQRDDLKLLCEQNEEEVSPQLFTFHEAVSQMVEMEEQ VVEDHRAVFQESIRWIEDEKALLEMTEEVDYDVDSYATQLEAILEQKIDILTELRDKVKS FRAALQEEEQASKQINPKRPRAL >ENSMUSP00000113921.2 pep:known chromosome:GRCm38:13:106962123:106996756:-1 gene:ENSMUSG00000021693.19 transcript:ENSMUST00000117423.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif2a description:kinesin family member 2A [Source:MGI Symbol;Acc:MGI:108390] MVTSLNEDNESVTVEWIENGDTKGKEIDLESIFSLNPDLVPDEDIEPSPELPPPSSSSKV NKIVKNRRTVAAVKNDPPPRDNRVVGSARARPSQLPEQSSSAQQNARRKSNCVKEVEKLQ EKREKRRLQQQELREKRAQDVDATNPNYEIMCMIRDFRGSLDYRPLTTADPIDEHRICVC VRKRPLNKKETQMKDLDVITIPSKDVVMVHEPKQKVDLTRYLENQTFRFDYAFDDSAPNE MVYRFTARPLVETIFERGMATCFAYGQTGSGKTHTMGGDFSGKNQDCSKGIYALAARDVF LMLKKPNYKKLELQVYATFFEIYSGKVFDLLNRKTKLRVLEDGKQQVQVVGLQEREVKCV EDVLKLIDIGNSCRTSGQTSANAHSSRSHAVFQIILRRKGKLHGKFSLIDLAGNERGADT SSADRQTRLEGAEINKSLLALKECIRALGRNKPHTPFRASKLTQVLRDSFIGENSRTCMI ATISPGMASCENTLNTLRYANRVKELTVNPAAAGDVHPIMHHPPSQIDDLETQWGVGSSP QRDDLKLLCEQNEEEVSPQLFTFHEAVSQMVEMEEQVVEDHRAVFQESIRWIEDEKALLE MTEEVDYDVDSYATQLEAILEQKIDILTELRDKVKSFRAALQEEEQASKQINPKRPRAL >ENSMUSP00000113361.1 pep:known chromosome:GRCm38:13:106963757:107022027:-1 gene:ENSMUSG00000021693.19 transcript:ENSMUST00000117539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif2a description:kinesin family member 2A [Source:MGI Symbol;Acc:MGI:108390] IKRSDGRIHQAMVTSLNEDNESVTVEWIENGDTKGKEIDLESIFSLNPDLVPDEDIEPSP ELPPPSSSSKVNKIVKNRRTVAAVKNDPPPRDNRVVGSARARPSQLPEQSSSAQQNGSVS DISPVQAAKKEFGPPSRRKSNCVKEVEKLQEKREKRRLQQQELREKRAQDVDATNPNYEI MCMIRDFRGSLDYRPLTTADPIDEHRICVCVRKRPLNKKETQMKDLDVITIPSKDVVMVH EPKQKVDLTRYLENQTFRFDYAFDDSAPNEMVYRFTARPLVETIFERGMATCFAYGQTGS GKTHTMGGDFSGKNQDCSKGIYALAARDVFLMLKKPNYKKLELQVYATFFEIYSGKVFDL LNRKTKLRVLEDGKQQVQVVGLQEREVKCVEDVLKLIDIGNSCRTSGQTSANAHSSRSHA VFQIILRRKGKLHGKFSLIDLAGNERGADTSSADRQTRLEGAEINKSLLALKECIRALGR NKPHTPFRASKLTQVLRDSFIGENSRTCMIATISPGMASCENTLNTLRYANRVKELTVNP AAAGDVHPIMHHPPSQIDDLETQWGVGSSPQRDDLKLLCEQNEEEVSPQLFTFHEAVSQM VEMEEQVVEDHRAVFQESIRWIEDEKALLEMTEEVDYDVDSYATQLEAILEQKIDILTEL RGNLFSIFMFI >ENSMUSP00000124517.1 pep:known chromosome:GRCm38:13:106968707:106977118:-1 gene:ENSMUSG00000021693.19 transcript:ENSMUST00000162845.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kif2a description:kinesin family member 2A [Source:MGI Symbol;Acc:MGI:108390] XLNRKTKLRVLEDGKQQVQVVGLQEREVKCVEDVLKLIDIGNSCRTSGQTSANAHSSRSH AVFQIILRRKGKLHGKFSLIDLAGNERGADTSSADRQTRLEGAEINKSLLALKECIRALG RNKPHTPFRASKLTQVLRDSFIGENSRTCMGERIDCKSSCCWRCPSNNAPSTEPD >ENSMUSP00000125591.1 pep:known chromosome:GRCm38:13:106979738:107021749:-1 gene:ENSMUSG00000021693.19 transcript:ENSMUST00000125038.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kif2a description:kinesin family member 2A [Source:MGI Symbol;Acc:MGI:108390] MVTSLNEDNESVTVEWIENGDTKGKEIDLESIFSLNPDLVPDEDIEPSPELPPPSSSSKV NKIVKNRRTVAAVKNDPPPRDNRVVGSARARPSQLPEQSSSAQQNGSVSDISPVQAAKKE FGPPSRRKSNCVKEVEKLQEKREKRRLQQQELREKRAQDVDATNPNYEIMCMIRDFRGSL DYRPLTTADPIDEHRICVCVRKRPLNKKETQMKDLDVITIPSKDVVMVHEPKQKVDLTRY LENQTFRFDYAFDDSAPNEMVYRLFSKLIMILSSSGWTKNKLC >ENSMUSP00000116070.1 pep:known chromosome:GRCm38:13:106987327:106993920:-1 gene:ENSMUSG00000021693.19 transcript:ENSMUST00000146395.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif2a description:kinesin family member 2A [Source:MGI Symbol;Acc:MGI:108390] XTSLNEDNESVTVEWIENGDTKGKEIDLESIFSLNPDLVPDEDIEPSPELPPPSSSSKVN KIVKNRRTVAAVKNDPPPRDNRARRKSNCVKEVEKL >ENSMUSP00000013807.7 pep:known chromosome:GRCm38:19:32757497:32826160:1 gene:ENSMUSG00000013663.7 transcript:ENSMUST00000013807.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pten description:phosphatase and tensin homolog [Source:MGI Symbol;Acc:MGI:109583] MTAIIKEIVSRNKRRYQEDGFDLDLTYIYPNIIAMGFPAERLEGVYRNNIDDVVRFLDSK HKNHYKIYNLCAERHYDTAKFNCRVAQYPFEDHNPPQLELIKPFCEDLDQWLSEDDNHVA AIHCKAGKGRTGVMICAYLLHRGKFLKAQEALDFYGEVRTRDKKGVTIPSQRRYVYYYSY LLKNHLDYRPVALLFHKMMFETIPMFSGGTCNPQFVVCQLKVKIYSSNSGPTRREDKFMY FEFPQPLPVCGDIKVEFFHKQNKMLKKDKMFHFWVNTFFIPGPEETSEKVENGSLCDQEI DSICSIERADNDKEYLVLTLTKNDLDKANKDKANRYFSPNFKVKLYFTKTVEEPSNPEAS SSTSVTPDVSDNEPDHYRYSDTTDSDPENEPFDEDQHSQITKV >ENSMUSP00000050456.4 pep:known chromosome:GRCm38:11:74334282:74335229:-1 gene:ENSMUSG00000063116.3 transcript:ENSMUST00000052254.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr410 description:olfactory receptor 410 [Source:MGI Symbol;Acc:MGI:3030244] MQPKPRANGTTITEFILLGLVETPELWPLVFILFLLAYMTTVGGNLSILAAVLVEPKLHT PMYFFLGNLSVMDVGCISVTIPSMLVRLLVHKRTIPYGDCLTQLFFFHLLVGVDCFLLTA MAYDRFLAICRPLTYSTRMNHTIQRILVATSWACAFSNALTHTVAISTLHFCGPNVINHF YCDLPQLFQLSCSSTQLNELLLFGVGFIMAGTPMALIFTSYMHVAAAVLRIRSAEGRKKA FSTCSSHLTVVAIFYGAGIFNYMRLGSTKLSDKDKAIGIFNTVINPMLNPLIYSLRNPDV QAALWRVLTGRRPAA >ENSMUSP00000096555.2 pep:known chromosome:GRCm38:3:88377736:88378699:-1 gene:ENSMUSG00000074486.2 transcript:ENSMUST00000098956.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bglap2 description:bone gamma-carboxyglutamate protein 2 [Source:MGI Symbol;Acc:MGI:88157] MRTLSLLTLLALAALCLSDLTDAKPSGPESDKAFMSKQEGNKVVNRLRRYLGASVPSPDP LEPTREQCELNPACDELSDQYGLKTAYKRIYGITI >ENSMUSP00000047564.8 pep:known chromosome:GRCm38:19:6384399:6398459:1 gene:ENSMUSG00000032648.14 transcript:ENSMUST00000035269.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pygm description:muscle glycogen phosphorylase [Source:MGI Symbol;Acc:MGI:97830] MSRPLSDQDKRKQISVRGLAGVENVSELKKNFNRHLHFTLVKDRNVATPRDYYFALAHTV RDHLVGRWIRTQQHYYEKDPKRIYYLSLEFYMGRTLQNTMVNLALENACDEATYQLGLDM EELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEFGIFNQKICGGWQMEEA DDWLRYGNPWEKARPEFTLPVHFYGRVEHTSQGAKWVDTQVVLAMPYDTPVPGYRNNVVN TMRLWSAKAPNDFNLKDFNVGGYIQAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFV VAATLQDIIRRFKSSKFGSRDPVRTNFDAFPDKVAIQLNDTHPSLAIPELMRILVDLERL DWDKAWDVTVKTCAYTNHTVLPEALERWPVHLMETLLPRHLQIIYEINQRFLNRVAAAFP GDVDRLRRMSLVEEGAVKRINMAHLCIAGSHAVNGVARIHSEILKKTIFKDFYELEPHKF QNKTNGITPRRWLVLCNPGLAEVIAERIGEDYISDLDQLRKLLSYVDDEAFIRDVAKVKQ ENKLKFSAYLEREYKVHINPNSLFDVQVKRIHEYKRQLLNCLHIITLYNRIKREPNRFMV PRTIMIGGKAAPGYHMAKMIIKLITAIGDVVNHDPAVGDRLRVIFLENYRVSLAEKVIPA ADLSEQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENFFIFGMRVEDVE RLDQRGYNAQEYYDRIPELRQIIEQLSSGFFSPKQPDLFKDIVNMLMHHDRFKVFADYEE YIKCQDKVSELYKNPREWTRMVIRNIATSGKFSSDRTIAQYAREIWGVEPSRQRLPAPDE KI >ENSMUSP00000109111.1 pep:known chromosome:GRCm38:19:6384415:6398448:1 gene:ENSMUSG00000032648.14 transcript:ENSMUST00000113483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pygm description:muscle glycogen phosphorylase [Source:MGI Symbol;Acc:MGI:97830] MSRPLSDQDKRKQISVRGLAGVENVSELKKNFNRHLHFTLVKDRNVATPRDYYFALAHTV RDHLVGRWIRTQQHYYEKDPKKICGGWQMEEADDWLRYGNPWEKARPEFTLPVHFYGRVE HTSQGAKWVDTQVVLAMPYDTPVPGYRNNVVNTMRLWSAKAPNDFNLKDFNVGGYIQAVL DRNLAENISRVLYPNDNFFEGKELRLKQEYFVVAATLQDIIRRFKSSKFGSRDPVRTNFD AFPDKVAIQLNDTHPSLAIPELMRILVDLERLDWDKAWDVTVKTCAYTNHTVLPEALERW PVHLMETLLPRHLQIIYEINQRFLNRVAAAFPGDVDRLRRMSLVEEGAVKRINMAHLCIA GSHAVNGVARIHSEILKKTIFKDFYELEPHKFQNKTNGITPRRWLVLCNPGLAEVIAERI GEDYISDLDQLRKLLSYVDDEAFIRDVAKVKQENKLKFSAYLEREYKVHINPNSLFDVQV KRIHEYKRQLLNCLHIITLYNRIKREPNRFMVPRTIMIGGKAAPGYHMAKMIIKLITAIG DVVNHDPAVGDRLRVIFLENYRVSLAEKVIPAADLSEQISTAGTEASGTGNMKFMLNGAL TIGTMDGANVEMAEEAGEENFFIFGMRVEDVERLDQRGYNAQEYYDRIPELRQIIEQLSS GFFSPKQPDLFKDIVNMLMHHDRFKVFADYEEYIKCQDKVSELYKNPREWTRMVIRNIAT SGKFSSDRTIAQYAREIWGVEPSRQRLPAPDEKI >ENSMUSP00000112491.1 pep:known chromosome:GRCm38:7:19176421:19206257:1 gene:ENSMUSG00000040811.15 transcript:ENSMUST00000117338.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml2 description:echinoderm microtubule associated protein like 2 [Source:MGI Symbol;Acc:MGI:1919455] MATGGRAWGGDRARAGAAGAGGGCGGAMAERGPAFCGLYDTSSLLQYCNDDNLSGTSGME VDDRVSALEQRLQLQEDELAVLKAALADALRRLRACEEQGAALRARSTPKGRAPPRLGTT ASVCQLLKGLPTRTPLNGSGPPRRVGGYATSPSSPKKEASSGRSSRRYLSPERLASVRRE DPRSRTTSSSSNCSAKKEGKTKEVIFSMEEGSVKMFLRGRPVPMLIPDELAPTYSLDTRS ELPSSRLKLDWVYGYRGRDCRANLYLLPTGEVVYFVASVAVLYSVEEQRQRHYLGHNDDI KCLAVHPDMVTIATGQVAGTTKEGKPLPPHVRVWDSVSLSTLHVLGLGVFDRAVCCVAFS KSNGGNLLCAVDESNDHVLSVWDWAKESKVVDSKCSNEAVLVATFHPTDPSLLITCGKSH IYFWSLEGGSLSKRQGLFEKHEKPKYVLCVTFLEGGDVVTGDSGGNLYVWGKGGNRITQE VQGAHDGGVFALCALRDGTLVSGGGRDRRVVLWGSDYSKVQEVEVPEDFGPVRTVAEGRG DTLYVGTTRNSILLGSVHTGFSLLVQGHVEELWGLATHPSRAQFVTCGQDKLVHLWSSET HQPVWSRSIEDPARSAGFHPSGSVLAVGTVTGRWLLLDTETHDLVAIHTDGNEQISVVSF SPDGAYLAVGSHDNLVYVYTVDQGGRKVSRLGKCSGHSSFITHLDWAQDSTCFVTNSGDY EILYWDPVTCKQITSADTVRNVEWATATCVLGFGVFGIWPEGADGTDINAVARSHDGKLL VSADDFGKVHLFSYPCCQPRALSHKYGGHSSHVTNVAFLWDDSMALTTGGKDTSVLQWRV A >ENSMUSP00000112447.2 pep:known chromosome:GRCm38:7:19181170:19206482:1 gene:ENSMUSG00000040811.15 transcript:ENSMUST00000120595.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml2 description:echinoderm microtubule associated protein like 2 [Source:MGI Symbol;Acc:MGI:1919455] MSSFGIGKTKEVIFSMEEGSVKMFLRGRPVPMLIPDELAPTYSLDTRSELPSSRLKLDWV YGYRGRDCRANLYLLPTGEVVYFVASVAVLYSVEEQRQRHYLGHNDDIKCLAVHPDMVTI ATGQVAGTTKEGKPLPPHVRVWDSVSLSTLHVLGLGVFDRAVCCVAFSKSNGGNLLCAVD ESNDHVLSVWDWAKESKVVDSKCSNEAVLVATFHPTDPSLLITCGKSHIYFWSLEGGSLS KRQGLFEKHEKPKYVLCVTFLEGGDVVTGDSGGNLYVWGKGGNRITQEVQGAHDGGVFAL CALRDGTLVSGGGRDRRVVLWGSDYSKVQEVEVPEDFGPVRTVAEGRGDTLYVGTTRNSI LLGSVHTGFSLLVQGHVEELWGLATHPSRAQFVTCGQDKLVHLWSSETHQPVWSRSIEDP ARSAGFHPSGSVLAVGTVTGRWLLLDTETHDLVAIHTDGNEQISVVSFSPDGAYLAVGSH DNLVYVYTVDQGGRKVSRLGKCSGHSSFITHLDWAQDSTCFVTNSGDYEILYWDPVTCKQ ITSADTVRNVEWATATCVLGFGVFGIWPEGADGTDINAVARSHDGKLLVSADDFGKVHLF SYPCCQPRALSHKYGGHSSHVTNVAFLWDDSMALTTGGKDTSVLQWRVA >ENSMUSP00000115466.1 pep:known chromosome:GRCm38:7:19181181:19206478:1 gene:ENSMUSG00000040811.15 transcript:ENSMUST00000148246.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eml2 description:echinoderm microtubule associated protein like 2 [Source:MGI Symbol;Acc:MGI:1919455] MSSFGIGKTKEVIFSMEEGSVKMFLRGRPVPMLIPDELAPTYSLDTRSELPSSRLKLDWV YGYRGRDCRANLYLLPTGEVVYFVASVAVLYSVEEQRQRHYLGHNDDIKCLAVHPDMVTI ATGQVAGTTKEGKPLPPHVRVWDSVSLSTLHVLGLGVFDRAVCCVAFSKSNGGNLLCAVD ESNDHVLSVWDWAKESKVVDSKCSNEAVLVATFHPTDPSLLITCGKSHIYFWSLEGGSLS KRQGLFEKHEKPKYVLCVTFLEGGDVVTGDSGGNLYVWGKGGNRITQEVQGAHDGGVFAL CALRDGTLVSGGGRDRRVVLWGSDYSKVQEVEVPEDFGPVRTVAEGRGDTLYVGTTRNSI LLGSVHTGFSLLVQGHVEELWGLATHPSRAQFVTCGQDKLVHLWSSETHQPVWSRSIEVA ATGHRDP >ENSMUSP00000037654.8 pep:known chromosome:GRCm38:7:19181204:19206479:1 gene:ENSMUSG00000040811.15 transcript:ENSMUST00000048502.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml2 description:echinoderm microtubule associated protein like 2 [Source:MGI Symbol;Acc:MGI:1919455] MSSFGIGKTKEVIFSMEEGSVKMFLRGRPVPMLIPDELAPTYSLDTRSELPSSRLKLDWV YPLTSSLSALLEEALGFSSYGYRGRDCRANLYLLPTGEVVYFVASVAVLYSVEEQRQRHY LGHNDDIKCLAVHPDMVTIATGQVAGTTKEGKPLPPHVRVWDSVSLSTLHVLGLGVFDRA VCCVAFSKSNGGNLLCAVDESNDHVLSVWDWAKESKVVDSKCSNEAVLVATFHPTDPSLL ITCGKSHIYFWSLEGGSLSKRQGLFEKHEKPKYVLCVTFLEGGDVVTGDSGGNLYVWGKG GNRITQEVQGAHDGGVFALCALRDGTLVSGGGRDRRVVLWGSDYSKVQEVEVPEDFGPVR TVAEGRGDTLYVGTTRNSILLGSVHTGFSLLVQGHVEELWGLATHPSRAQFVTCGQDKLV HLWSSETHQPVWSRSIEDPARSAGFHPSGSVLAVGTVTGRWLLLDTETHDLVAIHTDGNE QISVVSFSPDGAYLAVGSHDNLVYVYTVDQGGRKVSRLGKCSGHSSFITHLDWAQDSTCF VTNSGDYEILYWDPVTCKQITSADTVRNVEWATATCVLGFGVFGIWPEGADGTDINAVAR SHDGKLLVSADDFGKVHLFSYPCCQPRALSHKYGGHSSHVTNVAFLWDDSMALTTGGKDT SVLQWRVA >ENSMUSP00000146046.1 pep:known chromosome:GRCm38:7:19181216:19184919:1 gene:ENSMUSG00000040811.15 transcript:ENSMUST00000144054.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml2 description:echinoderm microtubule associated protein like 2 [Source:MGI Symbol;Acc:MGI:1919455] MEEGSVKMFLRGRPVPMLIPDELAPTYSLDTRSELPSSRLKLDWVYGYRGRDCRANLYLL PTGEVVYFVASVAVLYSVEEQR >ENSMUSP00000146239.1 pep:known chromosome:GRCm38:7:19181237:19186358:1 gene:ENSMUSG00000040811.15 transcript:ENSMUST00000141718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eml2 description:echinoderm microtubule associated protein like 2 [Source:MGI Symbol;Acc:MGI:1919455] MEEGSVKMFLRGRPVPMLIPDELAPTYSLDTRSELPSSRLKLDWVYGYRGRDCRANLYLL PTGEVVYFVASVAVLYSVEEQRQRHYLGHNDDIKCLAVHPDMVTIATGQVAGTTKEGKPL PPHVRVWDSVSLSTLHVLGLGVFDRAVCCVA >ENSMUSP00000103207.2 pep:known chromosome:GRCm38:3:87846755:87852771:1 gene:ENSMUSG00000028071.12 transcript:ENSMUST00000107581.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d2a description:SH2 domain containing 2A [Source:MGI Symbol;Acc:MGI:1351596] MEFCLAQPCPQGNHEATSSTFNTFQPMNLTQGRCQNLSCGSRPSMQVMKEQGVQLSPRTN HTVVSASAPGTAWVLGNADRAEEVPGKGDLSLQAETRAWVQKTQAHWLLLKTAPLWFHGF ITRREAERLLQPQPLGCYLVRFSESAVTFVLSYRSQTCCRHFLLAQLGDGRHVVLGEDSA HAQLQDLLEHYTECPLSPYGEILTQPLARQTAEPAGLSLRADSDSGSKRQDPDTQLSLLL QQGQAQASGHTEKVWASQQKATSQASRPRPPIPAKPQLPPEVYTSPASRLHQAPPINPIY QEPDEPIAFYAMGRGSPGDAPSNIYAEVEGPSGTAPIGHPILRKCWSRPISRGQVREVQG KISSRSRAERGSPS >ENSMUSP00000029709.5 pep:known chromosome:GRCm38:3:87847005:87855722:1 gene:ENSMUSG00000028071.12 transcript:ENSMUST00000029709.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d2a description:SH2 domain containing 2A [Source:MGI Symbol;Acc:MGI:1351596] MEFCLAQPCPQGNHEATSSTFNTFQPMNLTQGRCQNLSCGSRPSMQVMKEQGVQLSPRTN HTVVSASAPGTAWVLGNADRAEEVPGKGDLSLQAETRAWVQKTQAHWLLLKTAPLWFHGF ITRREAERLLQPQPLGCYLVRFSESAVTFVLSYRSQTCCRHFLLAQLGDGRHVVLGEDSA HAQLQDLLEHYTECPLSPYGEILTQPLARQTAEPAGLSLRADSDSGSKRQDPDTQLSLLL QQGQAQASGHTEKTSQASRPRPPIPAKPQLPPEVYTSPASRLHQAPPINPIYQEPDEPIA FYAMGRGSPGDAPSNIYAEVEGPSGTAPIGHPILRKCWSRPISRGQVREVQGKISSRSRA ERGSPS >ENSMUSP00000129089.1 pep:known chromosome:GRCm38:7:42903251:42933789:-1 gene:ENSMUSG00000090751.1 transcript:ENSMUST00000163803.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r63 description:vomeronasal 2, receptor 63 [Source:MGI Symbol;Acc:MGI:3647107] MFWIFIFGVLYIPNFVCAFTGDKCYGKIKEYTYQEGDVTIGAFFPLHSYYTHDHVSHEIE LYYFQDMYLKYNFMNYQFLLALVFTIEEINRNPHLLPNTTLGFEHHNIKFSEKNILLGPF LWLAGLSNQLVNYNCGQKRNLPAALTGTSWAISAHIGTLLQLYKIPQLTFAYFDSNMNDQ SQFKTLYQVAPEDTYLSLALVSLMLHFSWSWVGLIVPNDPRGTQILSEFRDVMESNRICL AFVKMIPGTWNSYSDAIWKNMEKIQESSANVIVICGDIVSLQGLMRHIAQLLVTWKVWVL NSQWDIDYYSDYFMIESFHGSLIFSHHHEEMVEFVNFVQTVNPYTYPEDAYLPKFWVIFF NCSFSEFDCQLLENCQPNASLDLLPRHIFDPTMSEESYNIYNAVHALAHSLHEMTVQQIQ TQPYANGDGMAFLPWQILPFLKITLLENHLSSRSVIDERKNLYSEYDIFNFWNFPTGLGL KMKVGTFSPNSPQGQQLSLSEEMIQWPTQFTKIPQSLCSESCRPGFRKAAQEGKAVCCFD CIPCADNEISNETDMDQCVQCPESHYANSEKNHCLQKSVSFLAYEEPLGMALTITALDFS VLTAVVLVVFVKHRDTPIVKANNRVLSYTLLLTLIICFLSCLLYIGQPNTATCILQQTAF GTLFTVALSTVLAKAIVVVTAFKVTSPARMVKWLIVIGAPNLIIPICTLIQLIICGIWLA TSPPFIDQNAHVEHGHIIIMCNKGSAVAFHCVLGYLCSLALGSYTMAFLSRNLPDTFNEA KCLSFSMQVFFCVWITFLPVYHSTKGKVMVAMEVLSVLASSITLLVLIFAPKCYIILLRP DKNSCLDIRHKIHSRKVVI >ENSMUSP00000062783.5 pep:known chromosome:GRCm38:8:69902184:69903518:1 gene:ENSMUSG00000047654.6 transcript:ENSMUST00000050373.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tssk6 description:testis-specific serine kinase 6 [Source:MGI Symbol;Acc:MGI:2148775] MSGDKLLSELGYKLGRTIGEGSYSKVKVATSKKYKGTVAIKVVDRRRAPPDFVNKFLPRE LSILRGVRHPHIVHVFEFIEVCNGKLYIVMEAAATDLLQAVQRNGRIPGSQARELFSQIA GAVRYLHDHHLVHRDLKCENVLLSPDERRVKITDFGFGRQAHGYPDLSTTYCGSAAYASP EVLLGIPYDPKKYDVWSLGVVLYVMVTGCMPFDDSDIAGLPRRQKRGVLYPDGLELSERC KSLIAELLQFSPSARPSAGQVARNGWLRAGDSG >ENSMUSP00000118931.1 pep:known chromosome:GRCm38:8:69887788:69890967:-1 gene:ENSMUSG00000048967.15 transcript:ENSMUST00000152938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yjefn3 description:YjeF N-terminal domain containing 3 [Source:MGI Symbol;Acc:MGI:2681845] EAAALERELLEEYRFGRQQLVELCGHASAVAVTKAFPLPSLSRKQRTVLVVCGPEQNGAV GLACARHLRVFEYQPSIFCPARSADALHRDLTTQCEKMDIPFLSFLPAEVRLIDDAYGLV VDAVLGPGVRLAEAGGHCARALATLKRLSIPLVSLDVPSGWDAEAGGDAKDAVQPDVLVS LAAPKSCAGRFSGRLHFVAGRFVPDDVRRKFGLHLPKYTGTDCVASL >ENSMUSP00000136145.1 pep:known chromosome:GRCm38:8:69887788:69902712:-1 gene:ENSMUSG00000048967.15 transcript:ENSMUST00000180068.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yjefn3 description:YjeF N-terminal domain containing 3 [Source:MGI Symbol;Acc:MGI:2681845] VAVHQAFPLPSLSRKQRTVLVVCGPEQNGAVGLACARHLRVFEYQPSIFCPARSADALHR DLTTQCEKMDIPFLSFLPAEVRLIDDAYGLVVDAVLGPGVRLAEAGGHCARALATLKRLS IPLVSLDSPNKSWDAEAGGDAKDAVQPDVLVSLAAPKSCAGRFSGRLHFVAGRFVPDDVR RKFGLHLPKYTGTDCVASL >ENSMUSP00000102966.1 pep:known chromosome:GRCm38:3:90498956:90509450:-1 gene:ENSMUSG00000001017.15 transcript:ENSMUST00000107343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtop description:chromatin target of PRMT1 [Source:MGI Symbol;Acc:MGI:1913761] MLKNKQPMPVNIRASMQQQQQLASARNRRLAQQMENRPSVQAALKLKQKSLKQRLGKSNI QARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRGGRATRTLLRGGMSLRGRGMIGRGRG GFGGRGRGRGRGRGALTRPVLTKEQLDNQLDAYMSKTKGHLDAELDAYMAQTDPETND >ENSMUSP00000001043.7 pep:known chromosome:GRCm38:3:90498956:90509451:-1 gene:ENSMUSG00000001017.15 transcript:ENSMUST00000001043.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtop description:chromatin target of PRMT1 [Source:MGI Symbol;Acc:MGI:1913761] MLKNKQPMPVNIRASMQQQQQLASARNRRLAQQMENRPSVQAALKLKQKSLKQRLGKSNI QARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRGGRATRTLLRGGMSLRGQNLLRGGRA VAPRMGLRRGGVRGRGGPGRGGLGRGAMGRGGIGGRGRGMIGRGRGGFGGRGRGRGRGRG ALTRPVLTKEQLDNQLDAYMSKTKGHLDAELDAYMAQTDPETND >ENSMUSP00000102967.1 pep:known chromosome:GRCm38:3:90498956:90509452:-1 gene:ENSMUSG00000001017.15 transcript:ENSMUST00000107344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtop description:chromatin target of PRMT1 [Source:MGI Symbol;Acc:MGI:1913761] MLKNKQPMPVNIRASMQQQQQLASARNRRLAQQMENRPSVQAALKLKQKSLKQRLGKSNI QARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRGGRATRTLLRGGMSLRGQNLLRGGRA VAPRMGLRRGGVRGRGGPGRGGLGRGAMGRGGIGGRGRGMIGRGRGGFGGRGRGRGRGRG ALTRPVLTKEQLDNQLDAYMSKTKGHLDAELDAYMAQTDPETND >ENSMUSP00000075936.4 pep:known chromosome:GRCm38:3:90498958:90509462:-1 gene:ENSMUSG00000001017.15 transcript:ENSMUST00000076639.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtop description:chromatin target of PRMT1 [Source:MGI Symbol;Acc:MGI:1913761] MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPMPVNIRASMQQQQQLASARNRRLAQQME NRPSVQAALKLKQSLKQRLGKSNIQARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRGG RATRTLLRGGMSLRGRGMIGRGRGGFGGRGRGRGRGRGALTRPVLTKEQLDNQLDAYMSK TKGHLDAELDAYMAQTDPETND >ENSMUSP00000061800.6 pep:known chromosome:GRCm38:3:90498965:90509498:-1 gene:ENSMUSG00000001017.15 transcript:ENSMUST00000049937.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtop description:chromatin target of PRMT1 [Source:MGI Symbol;Acc:MGI:1913761] MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPMPVNIRASMQQQQQLASARNRRLAQQME NRPSVQAALKLKQKSLKQRLGKSNIQARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRG GRATRTLLRGGMSLRGQNLLRGGRAVAPRMGLRRGGVRGRGGPGRGGLGRGAMGRGGIGG RGRGMIGRGRGGFGGRGRGRGRGRGALTRPVLTKEQLDNQLDAYMSKTKGHLDAELDAYM AQTDPETND >ENSMUSP00000102969.1 pep:known chromosome:GRCm38:3:90499494:90509474:-1 gene:ENSMUSG00000001017.15 transcript:ENSMUST00000107346.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtop description:chromatin target of PRMT1 [Source:MGI Symbol;Acc:MGI:1913761] MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPMPVNIRASMQQQQQLASARNRRLAQQME NRPSVQAALKLKQKSLKQRLGKSNIQARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRG GRATRTLLRGGMSLRGRGMIGRGRGGFGGRGRGRGRGRGALTRPVLTKEQLDNQLDAYMS KTKGHLDAELDAYMAQTDPETND >ENSMUSP00000102965.1 pep:known chromosome:GRCm38:3:90499695:90509485:-1 gene:ENSMUSG00000001017.15 transcript:ENSMUST00000107342.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtop description:chromatin target of PRMT1 [Source:MGI Symbol;Acc:MGI:1913761] MLKNKQPMPVNIRASMQQQQQLASARNRRLAQQMENRPSVQAALKLKQSLKQRLGKSNIQ ARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRGGRATRTLLRGGMSLRGRGMIGRGRGG FGGRGRGRGRGRGALTRPVLTKEQLDNQLDAYMSKTKGHLDAELDAYMAQTDPETND >ENSMUSP00000116945.1 pep:known chromosome:GRCm38:3:90502158:90509476:-1 gene:ENSMUSG00000001017.15 transcript:ENSMUST00000146740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtop description:chromatin target of PRMT1 [Source:MGI Symbol;Acc:MGI:1913761] MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPMPVNIRASMQQQQQLASARNRRLAQQME NRPSVQAALKLKQTLYASPESGCGRICPSCGWASRGRCHATKSLKQRLGKSNIQARLGRP IGALARGAIGGRGLPIIQRGLPRGGLRGGRATRTLLRGGMSLRGQNLLRGGRAVAPRMGL RRGGVRGRGGPGRGG >ENSMUSP00000123448.1 pep:known chromosome:GRCm38:3:90503131:90509022:-1 gene:ENSMUSG00000001017.15 transcript:ENSMUST00000131868.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chtop description:chromatin target of PRMT1 [Source:MGI Symbol;Acc:MGI:1913761] MAAQSAPKVVLKSTTKMSLNERFTNMLKNKQPMPVNIRASMQQQQQLASARNRRLAQQME NRPSVQAALKLKQKSLKQRLGKSNIQARLGRPIGALARGAIGGRGLPIIQRGLPRGGLRG GRAT >ENSMUSP00000110986.1 pep:known chromosome:GRCm38:6:25743737:25809226:-1 gene:ENSMUSG00000029676.15 transcript:ENSMUST00000115330.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pot1a description:protection of telomeres 1A [Source:MGI Symbol;Acc:MGI:2141503] MSLVSTAPYTYTPLNLLKEGTIANVYGVVKFFKPPYVSKGTDYCSVVTIVDQTNVKLTCM LFSGNYEALPIIYKVGDIVRFHRLKIQVYKNELQGINCSGFASLTFEGTVGMPVTARTSS KVFSFTPQDQKMVEALRVWASKHISASSTLVQLCDAQPMQYYDLTCQLLGKAQVDSTAFL LKVWDGTQTVLPSWRVSTQDLTFEGDLSHIERLQSLVVDILVYDNHVQVARSIEVGCFLR LYSLHTKLQPGNSETSSSESLRLEFHLHGGTSYGRGIRVLPDTSPCVDQLKKALEGANLP VTETSTGICQSENGDSSALSNSGSGAVSPYEEERCQQVSATILTNHQHLEKTPLCAILTQ KAPQQYRVRAKLRSYLPRRLSQSVKLLCPKCHSVQEVPHGDSLDKILQDAATEAPDIKLK ATSLYYSKVWTTEDQGGRQVAVHFVKNNGILPASSECLILIEGGRLCEVSKLSSKFHSVM PVRSGPESLELLTLSAPFLIQGKVHHYGCKQCSSLKPIQNLNSRFHKGPWTPSSVAEALG VVPLQYVFVMVFTLDDGTGVLEAYLKDSEHFFKIPASEVLTDDDLQRSLETIMDMICPPG IKVDAYPWLECLLKSYNVTIGTERRICYQIFDTTVAENVV >ENSMUSP00000110982.1 pep:known chromosome:GRCm38:6:25757685:25809195:-1 gene:ENSMUSG00000029676.15 transcript:ENSMUST00000115327.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pot1a description:protection of telomeres 1A [Source:MGI Symbol;Acc:MGI:2141503] MSLVSTAPYTYTPLNLLKEGTIANVYGVVKFFKPPYVSKGTDYCSVVTIVDQTNVKLTCM LFSGNYEALPIIYKVGDIVRFHRLKIQVYKNELQGINCSGFASLTFEGTVGMPVTARTSS KVFSFTPQDQKMVEALRVWASKHISASSTLVQLCDAQPMQYYDLTCQLLGKAQVDSTAFL LKVWDGTQTVLPSWRVSTQDLTFEGDLSHIERLQSLVVDILVYDNHVQVARSIEVGCFLR LYSLHTKLQPGNSETSSSESLRLEFHLHGGTSYGRGIRVLPDTSPCVDQLKKALEGANLP VTETSTGICQSENGDSSALSSKDNL >ENSMUSP00000131928.1 pep:known chromosome:GRCm38:6:25744581:25798017:-1 gene:ENSMUSG00000029676.15 transcript:ENSMUST00000166445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pot1a description:protection of telomeres 1A [Source:MGI Symbol;Acc:MGI:2141503] MSLVSTAPYTYTPLNLLKEGTIANVYGVVKFFKPPYVSKGTDYCSVVTIVDQTNVKLTCM LFSGNYEALPIIYKVGDIVRFHRLKIQVYKNELQGINCSGFASLTFEGTVGMPVTARTSS KVFSFTPQDQKMVEALRVWASKHISASSTLVQLCDAQPMQYYDLTCQLLGKAQVDSTAFL LKVWDGTQTVLPSWRVSTQDLTFEGDLSHIERLQSLVVDILVYDNHVQVARSIEVGCFLR LYSLHTKLQPGNSETSSSESLRLEFHLHGGTSYGRGIRVLPDTSPCVDQLKKALEGANLP VTETSTGICQSENGDSSALSNSGSGAVSPYEEERCQQVSATILTNHQHLEKTPLCAILTQ KAPQQYRVRAKLRSYLPRRLSQSVKLLCPKCHSVQEVPHGDSLDKILQDAATEAPDIKLK ATSLYYSKVWTTEDQGGRQVAVHFVKNNGILPASSECLILIEGGRLCEVSKLSSKFHSVM PVRSGPESLELLTLSAPFLIQGKVHHYGCKQCSSLKPIQNLNSRFHKGPWTPSSVAEALG VVPLQYVFVMVFTLDDGTGVLEAYLKDSEHFFKIPASEVLTDDDLQRSLETIMDMICPPG IKVDAYPWLECLLKSYNVTIGTERRICYQIFDTTVAENVV >ENSMUSP00000093825.5 pep:known chromosome:GRCm38:19:33137747:33392265:-1 gene:ENSMUSG00000071573.13 transcript:ENSMUST00000096114.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnls description:renalase, FAD-dependent amine oxidase [Source:MGI Symbol;Acc:MGI:1915045] MITASSPHNPRCTADLGAQYITCSPHYVKEHQNFYEELLAHGILKPLTSPIEGMKGKEGD CNFVAPQGFSSVIKYYLKKSGAEVSLKHCVTQIHLKDNKWEVSTDTGSAEQFDLVILTMP APQILELQGDIVNLISERQREQLKSVSYSSRYALGLFYEVGMKIGVPWSCRYLSSHPCIC FISIDNKKRNIESSECGPSVVIQTTVPFGVQHLEASEADVQKLMIQQLETILPGLPQPVA TICHKWTYSQVTSSVSDRPGQMTLHLKPFLVCGGDGFTHSNFNGCISSALSVMKVLKRYI >ENSMUSP00000127592.1 pep:known chromosome:GRCm38:19:33137747:33392295:-1 gene:ENSMUSG00000071573.13 transcript:ENSMUST00000163093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnls description:renalase, FAD-dependent amine oxidase [Source:MGI Symbol;Acc:MGI:1915045] MPAPQILELQGDIVNLISERQREQLKSVSYSSRYALGLFYEVGMKIGVPWSCRYLSSHPC ICFISIDNKKRNIESSECGPSVVIQTTVPFGVQHLEASEADVQKLMIQQLETILPGLPQP VATICHKWTYSQVTSSVSDRPGQMTLHLKPFLVCGGDGFTHSNFNGCISSALSVMKVLKR YI >ENSMUSP00000032557.8 pep:known chromosome:GRCm38:19:7275396:7341819:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000032557.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MSSARTPLPTLNERDTEQPTLGHLDSKPSSKSNMLRGRNSATSADEQPHIGNYRLLKTIG KGNFAKVKLARHILTGKEVAVKIIDKTQLNSSSLQKLFREVRIMKVLNHPNIVKLFEVIE TEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKFIVHRDLKAEN LLLDADMNIKIADFGFSNEFTFGNKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVIL YTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLILNPSKRGTLEQIMK DRWMNVGHEDDELKPYVEPLPDYKDPRRTELMVSMGYTREEIQDSLVGQRYNEVMATYLL LGYKSSELEGDTITLKPRPSADLTNSSAPSPSHKVQRSVSANPKQRRSSDQAVPAIPTSN SYSKKTQSNNAENKRPEEETGRKASSTAKVPASPLPGLDRKKTTPAPSTNSVLSTSTNRS RNSPLLDRASLGQASIQNGKDSTAPQRVPVASPSAHNISSSSGAPDRTNFPRGVSSRSTF HAGQLRQVRDQQNLPYGVTPASPSGHSQGRRGASGSIFSKFTSKFVRRNLSFRFARRNLN EPESKDRVETLRPHVVGSGGTDKDKEEFREAKPRSLRFTWSMKTTSSMEPNEMMREIRKV LDANSCQSELHERYMLLCVHGTPGHENFVQWEMEVCKLPRLSLNGVRFKRISGTSMAFKN IASKIANELKL >ENSMUSP00000127827.1 pep:known chromosome:GRCm38:19:7276733:7341799:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000164205.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MSSARTPLPTLNERDTEQPTLGHLDSKPSSKSNMLRGRNSATSADEQPHIGNYRLLKTIG KGNFAKVKLARHILTGKEVAVKIIDKTQLNSSSLQKLFREVRIMKVLNHPNIVKLFEVIE TEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKFIVHRDLKAEN LLLDADMNIKIADFGFSNEFTFGNKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVIL YTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLILNPSKRGTLEQIMK DRWMNVGHEDDELKPYVEPLPDYKDPRRTELMVSMGYTREEIQDSLVGQRYNEVMATYLL LGYKSSELEGDTITLKPRPSADLTNSSAPSPSHKVQRSVSANPKQRRSSDQAVPAIPTSN SYSKKTQSNNAENKRPEEETGRKASSTAKVPASPLPGLDRKKTTPAPSTNSVLSTSTNRS RNSPLLDRASLGQASIQNGKDSTAPQRVPVASPSAHNISSSSGAPDRTNFPRGVSSRSTF HAGQLRQVRDQQNLPYGVTPASPSGHSQGRRGASGSIFSKFTSKFVRRNLNEPESKDRVE TLRPHVVGSGGTDKDKEEFREAKPRSLRFTWSMKTTSSMEPNEMMREIRKVLDANSCQSE LHERYMLLCVHGTPGHENFVQWEMEVCKLPRLSLNGVRFKRISGTSMAFKNIASKIANEL KL >ENSMUSP00000025921.8 pep:known chromosome:GRCm38:19:7276759:7295447:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000025921.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MLRGRNSATSADEQPHIGNYRLLKTIGKGNFAKVKLARHILTGKEVAVKIIDKTQLNSSS LQKLFREVRIMKVLNHPNIVKLFEVIETEKTLYLVMEYASGGEVFDYLVAHGRMKEKEAR AKFRQIVSAVQYCHQKFIVHRDLKAENLLLDADMNIKIADFGFSNEFTFGNKLDTFCGSP PYAAPELFQGKKYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYM STDCENLLKKFLILNPSKRGTLEQIMKDRWMNVGHEDDELKPYVEPLPDYKDPRRTELMV SMGYTREEIQDSLVGQRYNEVMATYLLLGYKSSELEGDTITLKPRPSADLTNSSAPSPSH KVQRSVSANPKQRRSSDQAVPAIPTSNSYSKKTQSNNAENKRPEEETGRKASSTAKVPAS PLPGLDRKKTTPAPSTNSVLSTSTNRSRNSPLLDRASLGQASIQNGKDSLTMPGSRASTA SASAAVSAARPRQHQKSMSASVHPNKASGLPPTESNCEVPRPSTAPQRVPVASPSAHNIS SSSGAPDRTNFPRGVSSRSTFHAGQLRQVRDQQNLPYGVTPASPSGHSQGRRGASGSIFS KFTSKFVRRNLNEPESKDRVETLRPHVVGSGGTDKDKEEFREAKPRSLRFTWSMKTTSSM EPNEMMREIRKVLDANSCQSELHERYMLLCVHGTPGHENFVQWEMEVCKLPRLSLNGVRF KRISGTSMAFKNIASKIANELKL >ENSMUSP00000129506.1 pep:known chromosome:GRCm38:19:7276759:7341192:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000171721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] XLNERDTEQPTLGHLDSKPSSKSNMLRGRNSATSADEQPHIGNYRLLKTIGKGNFAKVKL ARHILTGKEVAVKIIDKTQLNSSSLQKLFREVRIMKVLNHPNIVKLFEVIETEKTLYLVM EYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKFIVHRDLKAENLLLDADMNI KIADFGFSNEFTFGNKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVILYTLVSGSLP FDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLILNPSKRGTLEQIMKDRWMNVGHE DDELKPYVEPLPDYKDPRRTELMVSMGYTREEIQDSLVGQRYNEVMATYLLLGYKSSELE GDTITLKPRPSADLTNSSAPSPSHKVQRSVSANPKQRRSSDQAVPAIPTSNSYSKKTQSN NAENKRPEEETGRKASSTAKVPASPLPGLDRKKTTPAPSTNSVLSTSTNRSRNSPLLDRA SLGQASIQNGKDSLTMPGSRASTASASAAVSAARPRQHQKSMSASVHPNKASGLPPTESN CEVPRPSTAPQRVPVASPSAHNISSSSGAPDRTNFPRGVSSRSTFHAGQLRQVRDQQNLP YGVTPASPSGHSQGRRGASGSIFSKFTSKFVRRNLSFRFARRNLNEPESKDRVETLRPHV VGSGGTDKDKEEFREAKPRSLRFTWSMKTTSSMEPNEMMREIRKVLDANSCQSELHERYM LLCVHGTPGHENFVQWEMEVCKLPRLSLNGVRFKRISGTSMAFKNIASKIANELKL >ENSMUSP00000131684.1 pep:known chromosome:GRCm38:19:7276759:7341433:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000165965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MSSARTPLPTLNERDTEQPTLGHLDSKPSSKSNMLRGRNSATSADEQPHIGNYRLLKTIG KGNFAKVKLARHILTGKEVAVKIIDKTQLNSSSLQKLFREVRIMKVLNHPNIVKLFEVIE TEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKFIVHRDLKAEN LLLDADMNIKIADFGFSNEFTFGNKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVIL YTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLILNPSKRGTLEQIMK DRWMNVGHEDDELKPYVEPLPDYKDPRRTELMVSMGYTREEIQDSLVGQRYNEVMATYLL LGYKSSELEGDTITLKPRPSADLTNSSAPSPSHKVQRSVSANPKQRRSSDQAVPAIPTSN SYSKKTQSNNAENKRPEEETGRKASSTAKVPASPLPGLDRKKTTPAPSTNSVLSTSTNRS RNSPLLDRASLGQASIQNGKDSLTMPGSRASTASASAAVSAARPRQHQKSMSASVHPNKA SGLPPTESNCEVPRPSTAPQRVPVASPSAHNISSSSGAPDRTNFPRGVSSRSTFHAGQLR QVRDQQNLPYGVTPASPSGHSQGRRGASGSIFSKFTSKFVRRNLNEPESKDRVETLRPHV VGSGGTDKDKEEFREAKPRSLRFTWSMKTTSSMEPNEMMREIRKVLDANSCQSELHERYM LLCVHGTPGHENFVQWEMEVCKLPRLSLNGVRFKRISGTSMAFKNIASKIANELKL >ENSMUSP00000128549.1 pep:known chromosome:GRCm38:19:7276849:7283050:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000166461.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] TPAPSTNSVLSTSTNRSRNSPLLDRASLGQASIQNGKDSLTMPGSRASTASASAAVSAAR PRQHQKSMSASVHPNKASGLPPTESNCEVPRPSTAPQRVPVASPSAHNISSSSGAPDRTN FPRGVSSRSTFHAGQLRQVRDQQNLPYGVTPASPSGHSQGRRGASGSIFSKFTSKFVRRN LSFRFARRPHVVGSGGTDKDKEEFREAKPRSLRFTWSMKTTSSMEPNEMMREIRKVLDAN SCQSELHERYMLLCVHGTPGHENFVQWEMEVCKLPRLSLNGVRFKRISGTSMAFKNIASK IANELKL >ENSMUSP00000126468.1 pep:known chromosome:GRCm38:19:7276849:7341804:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000165286.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MSSARTPLPTLNERDTEQPTLGHLDSKPSSKSNMLRGRNSATSADEQPHIGNYRLLKTIG KGNFAKVKLARHILTGKEVAVKIIDKTQLNSSSLQKLFREVRIMKVLNHPNIVKLFEVIE TEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKFIVHRDLKAEN LLLDADMNIKIADFGFSNEFTFGNKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVIL YTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLILNPSKRGTLEQIMK DRWMNVGHEDDELKPYVEPLPDYKDPRRTELMVSMGYTREEIQDSLVGQRYNEVMATYLL LGYKSSELEGDTITLKPRPSADLTNSSAPSPSHKVQRSVSANPKQRRSSDQAVPAIPTSN SYSKKTQSNNAENKRPEEETGRKASSTAKVPASPLPGLDRKKTTPAPSTNSVLSTSTNRS RNSPLLDRASLGQASIQNGKDSTAPQRVPVASPSAHNISSSSGAPDRTNFPRGVSSRSTF HAGQLRQVRDQQNLPYGVTPASPSGHSQGRRGASGSIFSKFTSKFVRRNLSFRFARRPHV VGSGGTDKDKEEFREAKPRSLRFTWSMKTTSSMEPNEMMREIRKVLDANSCQSELHERYM LLCVHGTPGHENFVQWEMEVCKLPRLSLNGVRFKRISGTSMAFKNIASKIANELKL >ENSMUSP00000128560.1 pep:known chromosome:GRCm38:19:7277090:7341221:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000168872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MSSARTPLPTLNERDTEQPTLGHLDSKPSSKSNMLRGRNSATSADEQPHIGNYRLLKTIG KGNFAKVKLARHILTGKEVAVKIIDKTQLNSSSLQKLFREVRIMKVLNHPNIVKLFEVIE TEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKFIVHRDLKAEN LLLDADMNIKIADFGFSNEFTFGNKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVIL YTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLILNPSKRGTLEQIMK DRWMNVGHEDDELKPYVEPLPDYKDPRRTELMVSMGYTREEIQDSLVGQRYNEVMATYLL LGYKSSELEGDTITLKPRPSADLTNSSAPSPSHKVQRSVSANPKQRRSSDQAVPAIPTSN SYSKKTQSNNAENKRPEEETGRKASSTAKVPASPLPGLDRKKTTPAPSTNSVLSTSTNRS RNSPLLDRASLGQASIQNGKDSTAPQRVPVASPSAHNISSSSGAPDRTNFPRGVSSRSTF HAGQLRQVRDQQNLPYGVTPASPSGHSQGRRGASGSIFSKFTSKFVRRPHVVGSGGTDKD KEEFREAKPRSLRFTWSMKTTSSMEPNEMMREIRKVLDANSCQSELHERYMLLCVHGTPG HENFVQWEMEVCKLPRLSLNGVRFKRISGTSMAFKNIASKIANELKL >ENSMUSP00000132482.1 pep:known chromosome:GRCm38:19:7277280:7283065:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000167767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] DRKKTTPAPSTNSVLSTSTNRSRNSPLLDRASLGQASIQNGKDSTAPQRVPVASPSAHNI SSSSGAPDRTNFPRGVSSRSTFHAGQLRQVRDQQNLPYGVTPASPSGHSQGRRGASGSIF SKFTSKFVRRNLSFRFARRCRLSLPKSQELDILRQGSFCRQALVEQQEAHTLLLHLPSWD RAWLVQPGHVLCRPRNLNEPESKDRVETLRPHVVGSGGTDKDKEEFREAKPRSLRFTWSM KTTSSMEPNEMMREIRKVLDANSCQS >ENSMUSP00000126753.1 pep:known chromosome:GRCm38:19:7277445:7283178:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000165881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] XYSKKTQSNNAENKRPEEETGRKASSTAKVPASPLPGLDRKKTTPAPSTNSVLSTSTNRS RNSPLLDRASLGQASIQNGKDSLTMPGSRASTASASAAVSAARPRQHQKSMSASVHPNKA SGLPPTESNCEVPRPSTAPQRVPVASPSAHNISSSSGAPDRTNFPRGVSSRSTFHAGQLR QVRDQQNLPYGVTPASPSGHSQGRRGASGSIFSKFTSKFVRRNLSFRFARRCRLSLPKSQ ELDILRQGSFCRQALVEQQEAHTLLLHLPSWDRAWLVQPGHVLCRPRNLNEPESKDRVET LRP >ENSMUSP00000129490.1 pep:known chromosome:GRCm38:19:7278502:7283517:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000171352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] NSSAPSPSHKVQRSVSANPKQRRSSDQAVPAIPTSNSYSKKTQSNNAENKRPEEETGRKA SSTAKVPASPLPGLDRKKTTPAPSTNSVLSTSTNRSRNSPLLDRASLGQASIQNGKDSTA PQRVPVASPSAHNISSSSGAPDRTNFPRGVSSRSTFHAGQLRQVRDQQNLPYGVTPASPS GHSQGRRGASGSIFSKFTSKFVRR >ENSMUSP00000125944.1 pep:known chromosome:GRCm38:19:7279160:7281941:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000163345.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] XRASTASASAAVSAARPRQHQKSMSASVHPNKASGLPPTESNCEVPRPSTAPQRVPVASP SAHNISSSSGAPDRTNFPRGVSSRSTFHAGQLRQVRDQQNLPYGVTPASPSGHSQGRRGA SGSIFSKFTSKFVRRCRLSLPKSQELDILRQGSFCRQALVEQQEAHTLLLHLPS >ENSMUSP00000129067.1 pep:known chromosome:GRCm38:19:7281037:7283110:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000164129.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] ASSTAKVPASPLPGLDRKKTTPAPSTRPFHQHKPKQELPTFGQGQPWPGLHPEW >ENSMUSP00000129894.1 pep:known chromosome:GRCm38:19:7285820:7341860:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000171393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MLRGRNSATSADEQPHIGNYRLLKTIGKGNFAKVKLARHILTGKEVAVKIIDKTQLNSSS LQKLFREVRIMKVLNHPNIVKLFEVIETEKTLYLVMEYASGGEVFDYLVAHGRMKEKEAR AKFRQIVSAVQYCHQKFIVHRDLKAENLLLDADMNIKIADFGFSNEFTFGNKLDTFCGSP PYAAPELFQGKKY >ENSMUSP00000128779.1 pep:known chromosome:GRCm38:19:7287337:7341604:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000169541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MSSARTPLPTLNERDTEQPTLGHLDSKPSSKSNMLRGRNSATSADEQPHIGNYRLLKTIG KGNFAKVKLARHILTGKEVAVKIIDKTQLNSSSLQKLFREVRIMKVLNHP >ENSMUSP00000129924.2 pep:known chromosome:GRCm38:19:7290337:7341642:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000165989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MSSARTPLPTLNERDTEQPTLGHLDSKPSSKSNMLRGRNSATSADEQPHIGNYRLLKTIG KGNFAKVKLARHILTGKEVAVKIIDKTQL >ENSMUSP00000131266.1 pep:known chromosome:GRCm38:19:7290666:7341812:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000168324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MLRGRNSATSADEQPHIGNYRLLK >ENSMUSP00000108969.3 pep:known chromosome:GRCm38:19:7276759:7341588:-1 gene:ENSMUSG00000024969.15 transcript:ENSMUST00000051711.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mark2 description:MAP/microtubule affinity regulating kinase 2 [Source:MGI Symbol;Acc:MGI:99638] MSSARTPLPTLNERDTEQPTLGHLDSKPSSKSNMLRGRNSATSADEQPHIGNYRLLKTIG KGNFAKVKLARHILTGKEVAVKIIDKTQLNSSSLQKLFREVRIMKVLNHPNIVKLFEVIE TEKTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYCHQKFIVHRDLKAEN LLLDADMNIKIADFGFSNEFTFGNKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVIL YTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLILNPSKRGTLEQIMK DRWMNVGHEDDELKPYVEPLPDYKDPRRTELMVSMGYTREEIQDSLVGQRYNEVMATYLL LGYKSSELEGDTITLKPRPSADLTNSSAPSPSHKVQRSVSANPKQRRSSDQAVPAIPTSN SYSKKTQSNNAENKRPEEETGRKASSTAKVPASPLPGLDRKKTTPAPSTNSVLSTSTNRS RNSPLLDRASLGQASIQNGKDSLTMPGSRASTASASAAVSAARPRQHQKSMSASVHPNKA SGLPPTESNCEVPRPSTAPQRVPVASPSAHNISSSSGAPDRTNFPRGVSSRSTFHAGQLR QVRDQQNLPYGVTPASPSGHSQGRRGASGSIFSKFTSKFVRRNLNEPESKDRVETLRPHV VGSGGTDKDKEEFREAKPRSLRFTWSMKTTSSMEPNEMMREIRKVLDANSCQSELHERYM LLCVHGTPGHENFVQWEMEVCKLPRLSLNGVRFKRISGTSMAFKNIASKIANELKL >ENSMUSP00000121547.1 pep:known chromosome:GRCm38:19:33459609:33473191:-1 gene:ENSMUSG00000086875.1 transcript:ENSMUST00000156818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8975 description:predicted gene 8975 [Source:MGI Symbol;Acc:MGI:3648531] XRFKMLWVLRTMCLIHVLGKIFCSFGTNKHPEGHINVSEIIKHWGYPSEEYEVVTDDGYI LPLNRIPHGKNNAKSPAPKMVVFCQHGLLATPGAWVSNLPDNSLAFILADAGYDVWMGSS RGSTRAKKHVTLNPDSKEFWDFSFDQMIKYDLPATINFILEKTGQKQIYYIGHSQGTLID MEAFATNQTLAKNVRFKVFLGPIYTLNLTNFLGTMHLTSLRRLSRSGILQAYD >ENSMUSP00000003717.8 pep:known chromosome:GRCm38:5:8893717:8959226:1 gene:ENSMUSG00000042476.12 transcript:ENSMUST00000003717.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb4 description:ATP-binding cassette, sub-family B (MDR/TAP), member 4 [Source:MGI Symbol;Acc:MGI:97569] MDLEAARNGTARRLDGDFELGSISNQGREKKKKVNLIGLLTLFRYSDWQDKLFMFLGTLM AIAHGSGLPLMMIVFGEMTDKFVDNTGNFSLPVNFSLSMLNPGRILEEEMTRYAYYYSGL GGGVLVAAYIQVSFWTLAAGRQIKKIRQKFFHAILRQEMGWFDIKGTTELNTRLTDDVSK ISEGIGDKVGMFFQAIATFFAGFIVGFIRGWKLTLVIMAISPILGLSTAVWAKILSTFSD KELAAYAKAGAVAEEALGAIRTVIAFGGQNKELERYQKHLENAKKIGIKKAISANISMGI AFLLIYASYALAFWYGSTLVISKEYTIGNAMTVFFSILIGAFSVGQAAPCIDAFANARGA AYVIFDIIDNNPKIDSFSERGHKPDNIKGNLEFSDVHFSYPSRANIKILKGLNLKVKSGQ TVALVGNSGCGKSTTVQLLQRLYDPTEGKISIDGQDIRNFNVRCLREIIGVVSQEPVLFS TTIAENIRYGRGNVTMDEIEKAVKEANAYDFIMKLPQKFDTLVGDRGAQLSGGQKQRIAI ARALVRNPKILLLDEATSALDTESEAEVQAALDKAREGRTTIVIAHRLSTIRNADVIAGF EDGVIVEQGSHSELMKKEGIYFRLVNMQTAGSQILSEEFEVELSDEKAAGDVAPNGWKAR IFRNSTKKSLKSPHQNRLDEETNELDANVPPVSFLKVLKLNKTEWPYFVVGTVCAIANGA LQPAFSIILSEMIAIFGPGDDAVKQQKCNMFSLVFLGLGVLSFFTFFLQGFTFGKAGEIL TTRLRSMAFKAMLRQDMSWFDDHKNSTGALSTRLATDAAQVQGATGTRLALIAQNTANLG TGIIISFIYGWQLTLLLLSVVPFIAVAGIVEMKMLAGNAKRDKKEMEAAGKIATEAIENI RTVVSLTQERKFESMYVEKLHGPYRNSVRKAHIYGITFSISQAFMYFSYAGCFRFGSYLI VNGHMRFKDVILVFSAIVLGAVALGHASSFAPDYAKAKLSAAYLFSLFERQPLIDSYSGE GLWPDKFEGSVTFNEVVFNYPTRANVPVLQGLSLEVKKGQTLALVGSSGCGKSTVVQLLE RFYDPMAGSVLLDGQEAKKLNVQWLRAQLGIVSQEPILFDCSIAENIAYGDNSRVVPHDE IVRAAKEANIHPFIETLPQKYNTRVGDKGTQLSGGQKQRIAIARALIRQPRVLLLDEATS ALDTESEKVVQEALDKAREGRTCIVIAHRLSTIQNADLIVVIENGKVKEHGTHQQLLAQK GIYFSMVNIQAGTQNL >ENSMUSP00000142425.1 pep:known chromosome:GRCm38:5:8893949:8941087:1 gene:ENSMUSG00000042476.12 transcript:ENSMUST00000196067.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb4 description:ATP-binding cassette, sub-family B (MDR/TAP), member 4 [Source:MGI Symbol;Acc:MGI:97569] MDLEAARNGTARRLDGDFELGSISNQGREKKKKVNLIGLLTLFRYSDWQDKLFMFLGTLM AIAHGSGLPLMMIVFGEMTDKFVDNTGNFSLPVNFSLSMLNPGRILEEEMTRYAYYYSGL GGGVLVAAYIQVSFWTLAAGRQIKKIRQKFFHAILRQEMGWFDIKGTTELNTRLTDDVSK ISEGIGDKVGMFFQAIATFFAGFIVGFIRGWKLTLVIMAISPILGLSTAVWAKILSTFSD KELAAYAKAGAVAEEALGAIRTVIAFGGQNKELERYQKHLENAKKIGIKKAISANISMGI AFLLIYASYALAFWYGSTLVISKEYTIGNAMTVFFSILIGAFSVGQAAPCIDAFANARGA AYVIFDIIDNNPKIDSFSERGHKPDNIKGNLEFSDVHFSYPSRANIKILKGLNLKVKSGQ TVALVGNSGCGKSTTVQLLQRLYDPTEGKISIDGQDIRNFNVRCLREIIGVVSQEPVLFS TTIAENIRYGRGNVTMDEIEKAVKEANAYDFIMKLPQKFDTLVGDRGAQLSGGQKQRIAI ARALVRNPKILLLDEATSALDTESEAEVQAALDKAREGRTTIVIAHRLSTIRNADVIAGF EDGVIVEQGSHSELMKKEGIYFRLVNMQTAGSQILSEEFEVELSDEKAAGDVAPNGWKAR IFRNSTKKSLKSPHQNRLDEETNELDANVPPVSFLKVLKLNKTEWPYFVVGTVCAIANGA LQPAFSIILSEMIAIFGPGDDAVKQQKCNMFSLVFLGLGVLSFFTFFLQGFTFGKAGEIL TTRLRSMAFKAMLRQDMSWFDDHKNSTGALSTRLATDAAQVQGATGTRLALIAQNTANLG TGIIISFIYGWQLTLLLLSVVPFIAVAGIVEMKMLAGNAKRD >ENSMUSP00000125896.1 pep:known chromosome:GRCm38:14:6735685:6742332:-1 gene:ENSMUSG00000091754.8 transcript:ENSMUST00000163850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3636 description:predicted gene 3636 [Source:MGI Symbol;Acc:MGI:3781812] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMGGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRDCTQLHENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000098464.4 pep:known chromosome:GRCm38:14:6736742:6741430:-1 gene:ENSMUSG00000091754.8 transcript:ENSMUST00000100904.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3636 description:predicted gene 3636 [Source:MGI Symbol;Acc:MGI:3781812] MTKKRSKINELEELKLDMRKISNDMEEMGGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRDCTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000127210.1 pep:known chromosome:GRCm38:17:21093172:21094704:1 gene:ENSMUSG00000091550.1 transcript:ENSMUST00000169389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6811 description:predicted gene 6811 [Source:MGI Symbol;Acc:MGI:3648804] MPAQSEEELPLPSPQPGNSEDRNFHSQYKVIRTIGHGTFAKVLLAQHRLTGTSVAIKVLV KNKPWFQEAMKEANILKKIKHPNIVSLLQVIETKTRGYLILELVEGQELYEYIKTSGHIE EDKARQIFIQILSAVGYCHGHGIVHRDLKPDNIMIDNKGNIKIIDFGLSTQFKPGEILKE HCGAYAFGAPERFLGQRYDGTKSDLGALGVVLHYMVVGKVPFDSFINPELQRQVVAGVYP AHCGVSKELEDLLSRLMTVIPKYRPTATEVMKHPWLKGHWKGLTDIHEEPVPVRPDPDIV DAMQYIGFRAKDIRESLTEEKFNEMSAAYYLLERQALQREVCSTQAPTVSQVKAPFPSID VAGASCLKMKKSGSAPILGRSVWPSSIGQVPAYGQKVRQRAGSRSTGHGLLFRPHQMTPT QDQHHIRAMSVPCMLSTSSISEQSMASEKREENILSHSALAEDMPIVSRGWPRGIMQWTR RVGNAITMLCCCIPSTKKPQLGQNRVSPHK >ENSMUSP00000020502.2 pep:known chromosome:GRCm38:11:55124815:55151708:-1 gene:ENSMUSG00000049491.15 transcript:ENSMUST00000020502.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc36a3 description:solute carrier family 36 (proton/amino acid symporter), member 3 [Source:MGI Symbol;Acc:MGI:2665001] MGNVPLLREVGKCQRNMFGRSTASSKGSSNSRSSSSTSPKKGPRREADALMFIQIFIHLL KSNIGTGFLGLPLAVKNAGLLVGPVSLLAIGALTVHCMDILLNCACHLTQRLQRSFVNYE ETTMYSLETCPSPWLRTHSVWGRYVVSFLLIVTQLGFCSVYFMFLADNLQQIMEEAHFTS NVCQPRQSLVMTSILDTRFYMLTILPFLILLVLIQNPQVLSIFSTLATITTLSSLALIFE YLIQTPHHSNLPLVANWKTFLLFFGTAIFTFEGVGMVLPLKSQMKSPQQFPAVLYLGMSF VIFLYICLGTLGYMKFGTDTQASITLNLPICWLYQSVKLMYSVGIFFTYALQFHVPAEII VPYVVSRVSENWALFVDLTVRTALVCLTCFSAVLIPRLDLVISLVGSVSSSALAIIIPPL LEIATFYSENISCATIVKDIMISILGLLGCVLGTYQALYEMTQQTHFYMANSTRVHI >ENSMUSP00000116073.1 pep:known chromosome:GRCm38:11:55124825:55151686:-1 gene:ENSMUSG00000049491.15 transcript:ENSMUST00000128244.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc36a3 description:solute carrier family 36 (proton/amino acid symporter), member 3 [Source:MGI Symbol;Acc:MGI:2665001] MGNVPLLREVGKCQRNMFGRSTASSKGSSNSRSSSSTSPKKGPRREADALMFIQIFIHLL KSNIGTGFLGLPLAVKNAGLLNSSQCGAAPQQ >ENSMUSP00000064960.5 pep:known chromosome:GRCm38:11:55149491:55151708:-1 gene:ENSMUSG00000049491.15 transcript:ENSMUST00000069816.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc36a3 description:solute carrier family 36 (proton/amino acid symporter), member 3 [Source:MGI Symbol;Acc:MGI:2665001] MGNVPLLREVGKCQRNMFGRSTASSKGSSNSRSSSSTSPKKGPRREADALMEALWQQRGS PPDAWHSSEASSRNPIFPAGRGATTRLIQPLLAPPRKFGSNP >ENSMUSP00000132887.1 pep:known chromosome:GRCm38:14:6712183:6720251:-1 gene:ENSMUSG00000091814.1 transcript:ENSMUST00000171987.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8050 description:predicted gene 8050 [Source:MGI Symbol;Acc:MGI:3779779] MFSWLLRLCQKENGDEGEIRTTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQR SKINELEELKLDMRKISNDMEEMGGILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEM TQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNKKVRILLNENRKLLVEQAGTQLSH EEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000068403.4 pep:known chromosome:GRCm38:X:144317804:144325197:1 gene:ENSMUSG00000041718.15 transcript:ENSMUST00000070801.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg13 description:asparagine-linked glycosylation 13 [Source:MGI Symbol;Acc:MGI:1914824] MKRAFVTVGTTSFDELVARVVANDCVQILESLGYNHLVLQVGRGTVVPKPFRTESFTLDV YRYKDSLKEDLQQADLVISHAGAGSCLESLEKGKPLVVVVNEKLMNNHQFELAKQLHKEG HLFYCTCSTLPGLLQSMDLSTLKCYPPGQPEKFSAFLDKVVGLQK >ENSMUSP00000142956.1 pep:known chromosome:GRCm38:X:144318000:144374445:1 gene:ENSMUSG00000041718.15 transcript:ENSMUST00000197316.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg13 description:asparagine-linked glycosylation 13 [Source:MGI Symbol;Acc:MGI:1914824] MNNHQFELAKQLHKEGHLFYCTCRVLSCPAPVSLLLVLLGSAKILQQLPSA >ENSMUSP00000126052.2 pep:known chromosome:GRCm38:X:144318003:144324150:1 gene:ENSMUSG00000041718.15 transcript:ENSMUST00000123710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg13 description:asparagine-linked glycosylation 13 [Source:MGI Symbol;Acc:MGI:1914824] MNNHQFELAKQLHKEGHLFYCTCSTLPGLLQSMDLSTLKCYPPGQPEKFSAFLDKVVGLQ K >ENSMUSP00000130514.2 pep:known chromosome:GRCm38:X:144318003:144324177:1 gene:ENSMUSG00000041718.15 transcript:ENSMUST00000149330.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg13 description:asparagine-linked glycosylation 13 [Source:MGI Symbol;Acc:MGI:1914824] MNNHQFELAKQLHKEGHLFYCTCSTLPGLLQSMDLSTLKCYPPGQPEKFSAFLDKVVGLQ K >ENSMUSP00000131340.3 pep:known chromosome:GRCm38:X:144318003:144339734:1 gene:ENSMUSG00000041718.15 transcript:ENSMUST00000145724.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg13 description:asparagine-linked glycosylation 13 [Source:MGI Symbol;Acc:MGI:1914824] MKRAFVTVGTTSFDELVARVVANDCVQILESLGYNHLVLQVGRGTVVPKPFRTESFTLDV YRCRKLFGESGERQTTCGSCK >ENSMUSP00000143124.1 pep:known chromosome:GRCm38:X:144318018:144374445:1 gene:ENSMUSG00000041718.15 transcript:ENSMUST00000198039.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg13 description:asparagine-linked glycosylation 13 [Source:MGI Symbol;Acc:MGI:1914824] MNNHQFELAKQLHKEGHLFYCTCSMWRDLLRNIWNGWEIPRRVLASWN >ENSMUSP00000131622.1 pep:known chromosome:GRCm38:X:144318023:144374450:1 gene:ENSMUSG00000041718.15 transcript:ENSMUST00000154827.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Alg13 description:asparagine-linked glycosylation 13 [Source:MGI Symbol;Acc:MGI:1914824] MKRAFVTVGTTSFDELVARVVANDCVQILESLGYNHLVLQVGRGTVVPKPFRTESFTLDV YRYKDSLKEDLQQADLVISHAGAGSCLESLEKGKPLVVVVNEKLMNNHQFELAKQLHKEG HLFYCTCRVLSCPAPVSLLLVLLGSAKILQQLPSA >ENSMUSP00000102724.2 pep:known chromosome:GRCm38:4:94569167:94603244:-1 gene:ENSMUSG00000028577.13 transcript:ENSMUST00000107107.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plaa description:phospholipase A2, activating protein [Source:MGI Symbol;Acc:MGI:104810] MASGASRYRLSCSLPGHELDVRGLVCCLYPPGAFVSVSRDRTTRLWAPDSPNRGFTEMHC MSGHSNFVSCVCIIPSSDIYPHGLIATGGNDHNICIFSLDSPMPLYILKGHKDTVCSLSS GKFGTLLSGSWDTTAKVWLNDKCMMTLQGHTAAVWAVKILPEQGLMLTGSADKTIKLWKA GRCERTFLGHEDCVRGLAILSETEFLSCANDASIRRWQITGECLEVYFGHTNYIYSISVF PNSKDFVTTAEDRSLRIWKHGECAQTIRLPAQSIWCCCVLENGDIVVGASDGIIRVFTES EERTASAEEIKAFERELSQATIDSKTGDLGDINAEQLPGREHLSEPGTREGQTRLIRDGE RVEAYQWSVSDGRWIKIGDVVGSSGANQQTSGKVLYEGKEFDYVFSIDVNEGGPSYKLPY NVSDDPWLVAYNFLQKNDLNPMFLDQVAKFIIDNTKGQTLGLGNTSFSDPFTGGGRYVPG TSGPSNTVQTADPFTGAGRYMPGSAGMDTTMTGVDPFTGNSAYRSAASKTVNIYFPKKEA LTFDQANPTQILGKLKELNGTAPEEKKLTEDDLVLLEKILSLICNNSSEKPTAQQLQILW KAINWPEDIVFPALDILRLSIKHPNVNENFCNEKGDQFSSHLINLLNPKGKPANQLLALR TFCNCFVSQAGQKLMMSQRESLMSHAIELKSGSNKNIHIALATLTLNYSVCFHKDHNIEG KAQCLSVISTILEVVQDLEATFRLLVALGTLISDDSNAIQLAKSLGVDSQIKKYVSVSEP AKVSECCRLVLHLL >ENSMUSP00000116530.1 pep:known chromosome:GRCm38:4:94571687:94583498:-1 gene:ENSMUSG00000028577.13 transcript:ENSMUST00000127656.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plaa description:phospholipase A2, activating protein [Source:MGI Symbol;Acc:MGI:104810] XGRWIKIGDVVGSSGANQQTSGKVLYEGKEFDYVFSIDVNEGGPSYKLPYNVSDDPWLVA YNFLQKNDLNPMFLDQVAKFIIDNTKGQTLGLGNTSFSDPFTGAGRYMPGSAGMDTTMTG VDPFTGNSAYRSAASKTVNIYFPKKEALTFDQANPTQILGKLKELNGTAPEEKKLTEDDL VLLEKILSLICNNSSEKPTAQQLQILWKAINWPE >ENSMUSP00000127087.1 pep:known chromosome:GRCm38:14:6832231:6840311:-1 gene:ENSMUSG00000096685.7 transcript:ENSMUST00000166895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3642 description:predicted gene 3642 [Source:MGI Symbol;Acc:MGI:3781818] MFSRLLRLCLKGNGDEGETRPKKKEEGILSHEKGRRKLFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAEEQQCETVQQKLEHGTDHDMISLKEKCWRKRTACAQEIHHC CLSSLITMAVMGCMLLLYFGFLGMNRT >ENSMUSP00000135975.1 pep:known chromosome:GRCm38:14:6837327:6840306:-1 gene:ENSMUSG00000096685.7 transcript:ENSMUST00000179296.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3642 description:predicted gene 3642 [Source:MGI Symbol;Acc:MGI:3781818] MFSRLLRLCLKGNGDEGETRPKKKEEGILSHEKGRRKLFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAEEQQVG >ENSMUSP00000101040.2 pep:known chromosome:GRCm38:10:77907722:77969854:-1 gene:ENSMUSG00000009292.17 transcript:ENSMUST00000105401.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm2 description:transient receptor potential cation channel, subfamily M, member 2 [Source:MGI Symbol;Acc:MGI:1351901] MESLDRRRTGSEQEEGFGVQSRRATDLGMVPNLRRSNSSLCKSRRFLCSFSSEKQENLSS WIPENIKKKECVYFVESSKLSDAGKVVCACGYTHEQHLEVAIKPHTFQGKEWDPKKHVQE MPTDAFGDIVFTDLSQKVGKYVRVSQDTPSSVIYQLMTQHWGLDVPNLLISVTGGAKNFN MKLRLKSIFRRGLVKVAQTTGAWIITGGSHTGVMKQVGEAVRDFSLSSSCKEGEVITIGV ATWGTIHNREGLIHPMGGFPAEYMLDEEGQGNLTCLDSNHSHFILVDDGTHGQYGVEIPL RTKLEKFISEQTKERGGVAIKIPIVCVVLEGGPGTLHTIYNAINNGTPCVIVEGSGRVAD VIAQVATLPVSEITISLIQQKLSIFFQEMFETFTENQIVEWTKKIQDIVRRRQLLTIFRE GKDGQQDVDVAILQALLKASRSQDHFGHENWDHQLKLAVAWNRVDIARSEIFTDEWQWKP ADLHPMMTAALISNKPEFVRLFLENGVRLKEFVTWDTLLCLYENLEPSCLFHSKLQKVLA EEQRLAYASATPRLHMHHVAQVLRELLGDSTQLLYPRPRYTDRPRLSMTVPHIKLNVQGV SLRSLYKRSTGHVTFTIDPVRDLLIWAVIQNHRELAGIIWAQSQDCTAAALACSKILKEL SKEEEDTDSSEEMLALADEFEHRAIGVFTECYRKDEERAQKLLVRVSEAWGKTTCLQLAL EAKDMKFVSHGGIQAFLTKVWWGQLCVDNGLWRIILCMLAFPLLFTGFISFREKRLQALC RPARVRAFFNAPVVIFHMNILSYFAFLCLFAYVLMVDFQPSPSWCEYLIYLWLFSLVCEE TRQLFYDPDGCGLMKMASLYFSDFWNKLDVGAILLFIVGLTCRLIPATLYPGRIILSLDF IMFCLRLMHIFTISKTLGPKIIIVKRMMKDVFFFLFLLAVWVVSFGVAKQAILIHNESRV DWIFRGVVYHSYLTIFGQIPTYIDGVNFSMDQCSPNGTDPYKPKCPESDWTGQAPAFPEW LTVTLLCLYLLFANILLLNLLIAMFNYTFQEVQEHTDQIWKFQRHDLIEEYHGRPPAPPP LILLSHLQLLIKRIVLKIPAKRHKQLKNKLEKNEETALLSWELYLKENYLQNQQYQQKQR PEQKIQDISEKVDTMVDLLDMDQVKRSGSTEQRLASLEEQVTQVTRALHWIVTTLKDSGF GSGAGALTLAPQRAFDEPDAELSIRRKVEEPGDGYHVSARHLLYPNARIMRFPVPNEKVP WAAEFLIYDPPFYTAEKDVALTDPVGDTAEPLSKISYNVVDGPTDRRSFHGVYVVEYGFP LNPMGRTGLRGRGSLSWFGPNHTLQPVVTRWKRNQGGAICRKSVRKMLEVLVMKLPRSEH WALPGGSREPGEMLPRKLKRVLRQEFWVAFETLLMQGTEVYKGYVDDPRNTDNAWIETVA VSIHFQDQNDMELKRLEENLHTHDPKELTRDLKLSTEWQVVDRRIPLYANHKTILQKVAS LFGAHF >ENSMUSP00000101038.1 pep:known chromosome:GRCm38:10:77962790:77969804:-1 gene:ENSMUSG00000009292.17 transcript:ENSMUST00000105399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpm2 description:transient receptor potential cation channel, subfamily M, member 2 [Source:MGI Symbol;Acc:MGI:1351901] MESLDRRRTGSEQEEGFGVQSRRATDLGMVPNLRRSNSSLCKSRRFLCSFSSEKQENLSS WIPENIKKKECVYFVESSKLSDAGSASLWLLWLLKLHNQVCQHPGVLTG >ENSMUSP00000079235.3 pep:known chromosome:GRCm38:11:74346624:74347672:-1 gene:ENSMUSG00000054406.8 transcript:ENSMUST00000080365.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr411 description:olfactory receptor 411 [Source:MGI Symbol;Acc:MGI:3030245] MESKFESNGTAVTEFILLGLVETAGLQPVIFVVFLFAYLLTVGGNLSILAAVFVEPKLHT PMYFFLGNLSMLDVGCISVTVPSMLGRLLSHKRTVPYGACLTQLFFFHQLAGVDCFLLTA MAYDRFLAICRPLTYSTRMNHTVQRILVATSWACAFSNALTHTVAISTLNFCGPNVINHF YCDLPQLFQLSCSSTQLNELLLFGLGVLMAGAPVILIVTSYIHVAAAVLRIQSSEGRKKA FSTCSSHLTVVGIFYGTGVFSYMRLGSVEASDKDKGIGILNTVISPMLNPLIYSLRNPDV QGALRRVLTGKRDLA >ENSMUSP00000145878.1 pep:known chromosome:GRCm38:11:74346892:74352621:-1 gene:ENSMUSG00000054406.8 transcript:ENSMUST00000141134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr411 description:olfactory receptor 411 [Source:MGI Symbol;Acc:MGI:3030245] MAYDRFLAICRPLTYSTRMNHTVQRILVATSWACAFSNALTHTVAISTLNFCGPNVINHF YCDLPQLFQLSCSSTQLNELLLFGLGVLMAGAPVILIVTSYIHVAAAVLR >ENSMUSP00000128839.1 pep:known chromosome:GRCm38:14:6765962:6774353:-1 gene:ENSMUSG00000090404.7 transcript:ENSMUST00000168790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8362 description:predicted gene 8362 [Source:MGI Symbol;Acc:MGI:3648195] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI LNINKVRELKLHMRMISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGKQVSR GEEKRFSEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRRSTECAQEIHHC CLSSLITMAVMGCMLLL >ENSMUSP00000131018.1 pep:known chromosome:GRCm38:14:6765963:6774411:-1 gene:ENSMUSG00000090404.7 transcript:ENSMUST00000170673.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8362 description:predicted gene 8362 [Source:MGI Symbol;Acc:MGI:3648195] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI LNINKVRELKLHMRMISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGKQVSR GEEKRFSEEASKNICASSAKEQQV >ENSMUSP00000075562.4 pep:known chromosome:GRCm38:4:21677481:21685783:-1 gene:ENSMUSG00000040478.14 transcript:ENSMUST00000076206.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm13 description:PR domain containing 13 [Source:MGI Symbol;Acc:MGI:2448528] MHGTSRTSATSVNADCCIPAGLRLGPVPGTFKLGKYLSDRREPGPKKKVRMVRGELVDES GGSPLEWIGLIRAARNPQEQTLEAIADLPGGQIFYRALRDVQPGEELTVWYSNSLAQWFD IPTTATPTHDEKGEERYICWYCWRTFRYPNSLKAHLRFHCVLSGGGGRAFLPQEHAARPG ASPVAEGLGLPPKPTVPDLTAPVQAIALRPQAPAAQLAQACGARESIKREASLAPLATSP PPGKWGTPKKGKEQPDRAHSQFLGIVGGSSGGGGGLPFYPGVRSAFKPAGLARAAAQSDP YREEGGGKGPGLALGRLLGGGRAGGRPGSGESPAGHHHHHHHAHHHHHHHPKCLLAGEPP PAGLPCPGALRAFPLLAGHPEEASAFKHVERAPPAAATTSLPSARYAALPAPGLPVERCA LQPLDGGSLKAYPGGGGGGECSPLPAVMPAFTVYSGDLLYGPPAAYYPLKLHLGGLLKYP ESISYLSGPAAAAAAAAAAAAAAAAIGPAELGSLASIDREIAMHTQQLSEMAAGKSRARL DSGTLPPAVVAATGPGGGGGGGSAAGKPKTGHLCLYCGKLYSRKYGLKIHMRTHTGYKPL KCKVCLRPFGDPSNLNKHIRLHAEGNTPYRCEFCGKVLVRRRDLERHVKSRHPGQSLMAK AGDGPGPEPSYALEPGDPKSEDSDVDVCFTDDQSDPEAGGRGEHDS >ENSMUSP00000092761.3 pep:known chromosome:GRCm38:4:21677481:21685963:-1 gene:ENSMUSG00000040478.14 transcript:ENSMUST00000095141.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm13 description:PR domain containing 13 [Source:MGI Symbol;Acc:MGI:2448528] MPAHVTPRTEDARRGAGPSSACGCSWFCHLRPVEDPASPSVCLAAVATMHGTSRTSATSV NADCCIPAGLRLGPVPGTFKLGKYLSDRREPGPKKKVRMVRGELVDESGGSPLEWIGLIR AARNPQEQTLEAIADLPGGQIFYRALRDVQPGEELTVWYSNSLAQWFDIPTTATPTHDEK GEERYICWYCWRTFRYPNSLKAHLRFHCVLSGGGGRAFLPQEHAARPGASPVAEGLGLPP KPTVPDLTAPVQAIALRPQAPAAQLAQACGARESIKREASLAPLATSPPPGKWGTPKKGK EQPDRAHSQFLGIVGGSSGGGGGLPFYPGVRSAFKPAGLARAAAQSDPYREEGGGKGPGL ALGRLLGGGRAGGRPGSGESPAGHHHHHHHAHHHHHHHPKCLLAGEPPPAGLPCPGALRA FPLLAGHPEEASAFKHVERAPPAAATTSLPSARYAALPAPGLPVERCALQPLDGGSLKAY PGGGGGGECSPLPAVMPAFTVYSGDLLYGPPAAYYPLKLHLGGLLKYPESISYLSGPAAA AAAAAAAAAAAAAIGPAELGSLASIDREIAMHTQQLSEMAAGKSRARLDSGTLPPAVVAA TGPGGGGGGGSAAGKPKTGHLCLYCGKLYSRKYGLKIHMRTHTGYKPLKCKVCLRPFGDP SNLNKHIRLHAEGNTPYRCEFCGKVLVRRRDLERHVKSRHPGQSLMAKAGDGPGPEPSYA LEPGDPKSEDSDVDVCFTDDQSDPEAGGRGEHDS >ENSMUSP00000114742.2 pep:known chromosome:GRCm38:2:13573927:13574833:1 gene:ENSMUSG00000026728.9 transcript:ENSMUST00000141365.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vim description:vimentin [Source:MGI Symbol;Acc:MGI:98932] MSTRSVSSSSYRRMFGGSGTSSRPSSNRSYVTTSTRTYSLGSALRPSTSRSLYSSSPGGA YVTRSSAVRLRSSVPGVRLLQDSVDFSLADAINTEFKNTRTNEKVELQELNDRFANYIDK VRFLEQQNKILLAE >ENSMUSP00000028062.2 pep:known chromosome:GRCm38:2:13573952:13582826:1 gene:ENSMUSG00000026728.9 transcript:ENSMUST00000028062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vim description:vimentin [Source:MGI Symbol;Acc:MGI:98932] MSTRSVSSSSYRRMFGGSGTSSRPSSNRSYVTTSTRTYSLGSALRPSTSRSLYSSSPGGA YVTRSSAVRLRSSVPGVRLLQDSVDFSLADAINTEFKNTRTNEKVELQELNDRFANYIDK VRFLEQQNKILLAELEQLKGQGKSRLGDLYEEEMRELRRQVDQLTNDKARVEVERDNLAE DIMRLREKLQEEMLQREEAESTLQSFRQDVDNASLARLDLERKVESLQEEIAFLKKLHDE EIQELQAQIQEQHVQIDVDVSKPDLTAALRDVRQQYESVAAKNLQEAEEWYKSKFADLSE AANRNNDALRQAKQESNEYRRQVQSLTCEVDALKGTNESLERQMREMEENFALEAANYQD TIGRLQDEIQNMKEEMARHLREYQDLLNVKMALDIEIATYRKLLEGEESRISLPLPTFSS LNLRETNLESLPLVDTHSKRTLLIKTVETRDGQVINETSQHHDDLE >ENSMUSP00000141494.1 pep:known chromosome:GRCm38:2:13574281:13582825:1 gene:ENSMUSG00000026728.9 transcript:ENSMUST00000193675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vim description:vimentin [Source:MGI Symbol;Acc:MGI:98932] MSTRSVSSSSYRRMFGGSGTSSRPSSNRSYVTTSTRTYSLGSALRPSTSRSLYSSSPGGA YVTRSSAVRLRSSVPGVRLLQDSVDFSLADAINTEFKNTRTNEKVELQELNDRFANYIDK VRFLEQQNKILLAELEQLKGQGKSRLGDLYEEEMRELRRQVDQLTNDKARVEVERDNLAE DIMRLREKLQEEMLQREEAESTLQSFRQDVDNASLARLDLERKVESLQEEIAFLKKLHDE EIQELQAQIQEQHVQIDVDVSKPDLTAALRDVRQQYESVAAKNLQEAEEWYKSKFADLSE AANRNNDALRQAKQESNEYRRQVQSLTCEVDALKGTNESLERQMREMEENFALEAANYQD TIGRLQDEIQNMKEEMARHLREYQDLLNVKMALDIEIATYRKLLEGEESRISLPLPTFSS LNLRGDQ >ENSMUSP00000137842.1 pep:known chromosome:GRCm38:14:6638420:6684170:-1 gene:ENSMUSG00000094590.2 transcript:ENSMUST00000181786.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10251 description:predicted gene 10251 [Source:MGI Symbol;Acc:MGI:3704424] MPGPEASTTLRASLEELSLGPVRFPLLLQDQKRIRPTVNQSRRGPRSWSIKCPPLDRWGP SSIDLPRLITAEIQVTAHLTKLKARSEERETLDSHWTTTIGSEIMRNYCKQTDALMSLRS CKRDSCL >ENSMUSP00000137375.1 pep:known chromosome:GRCm38:14:6639372:6675884:-1 gene:ENSMUSG00000094590.2 transcript:ENSMUST00000178788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10251 description:predicted gene 10251 [Source:MGI Symbol;Acc:MGI:3704424] MPGPEASTTLRASLEELSLGPVRFPLLLQDQKRIRPTVNQSRRGPRSWSIKCPPLDRWGP SSIDLPRLITAEIQVTAHLTKLKARSEERETLDSHWTTTIGSEIMRNYCKQTDALMSLRS CKRDSCL >ENSMUSP00000128655.1 pep:known chromosome:GRCm38:14:6583959:6590613:-1 gene:ENSMUSG00000093898.2 transcript:ENSMUST00000171706.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3629 description:predicted gene 3629 [Source:MGI Symbol;Acc:MGI:3781805] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSPRNTSTQNSKMTKKR SKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNSSVK >ENSMUSP00000029331.1 pep:known chromosome:GRCm38:3:61002795:61008979:1 gene:ENSMUSG00000027765.6 transcript:ENSMUST00000029331.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry1 description:purinergic receptor P2Y, G-protein coupled 1 [Source:MGI Symbol;Acc:MGI:105049] MTEVPWSVVPNGTDAAFLAGLGSLWGNSTVASTAAVSSSFQCALTKTGFQFYYLPAVYIL VFIIGFLGNSVAIWMFVFHMKPWSGISVYMFNLALADFLYVLTLPALIFYYFNKTDWIFG DAMCKLQRFIFHVNLYGSILFLTCISAHRYSGVVYPLKSLGRLKKKNAIYVSVLVWLIVV VAISPILFYSGTGTRKNKTVTCYDTTSNDYLRSYFIYSMCTTVAMFCIPLVLILGCYGLI VKALIYNDLDNSPLRRKSIYLVIIVLTVFAVSYIPFHVMKTMNLRARLDFQTPEMCDFND RVYATYQVTRGLASLNSCVDPILYFLAGDTFRRRLSRATRKASRRSEANLQSKSEEMTLN ILSEFKQNGDTSL >ENSMUSP00000142006.1 pep:known chromosome:GRCm38:3:61002795:61008982:1 gene:ENSMUSG00000027765.6 transcript:ENSMUST00000193201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry1 description:purinergic receptor P2Y, G-protein coupled 1 [Source:MGI Symbol;Acc:MGI:105049] MTEVPWSVVPNGTDAAFLAGLGSLWGNSTVASTAAVSSSFQCALTKTGFQFYYLPAVYIL VFIIGFLGNSVAIWMFVFHMKPWSGISVYMFNLALADFLYVLTLPALIFYYFNKTDWIFG DAMCKLQRFIFHVNLYGSILFLTCISAHRYSGVVYPLKSLGRLKKKNAIYVSVLVWLIVV VAISPILFYSGTGTRKNKTVTCYDTTSNDYLRSYFIYSMCTTVAMFCIPLVLILGCYGLI VKALIYNDLDNSPLRRKSIYLVIIVLTVFAVSYIPFHVMKTMNLRARLDFQTPEMCDFND RVYATYQVTRGLASLNSCVDPILYFLAGDTFRRRLSRATRKASRRSEANLQSKSEEMTLN ILSEFKQNGDTSL >ENSMUSP00000141371.1 pep:known chromosome:GRCm38:3:61002799:61005001:1 gene:ENSMUSG00000027765.6 transcript:ENSMUST00000193943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2ry1 description:purinergic receptor P2Y, G-protein coupled 1 [Source:MGI Symbol;Acc:MGI:105049] MTEVPWSVVPNGTDAAFLAGLGSLWGNSTVASTAAVSSSFQCALTKTGFQFYYLPAVYIL VFIIGFLGNSVAIWMFVFHMKPWSGISVYMFNLALADFLYVLTLPALIFYYFNKTDWIFG DAMCKLQRFIFHVNLYGSILFLTCISAHRYSGVVYPLKSLGRLKKKNAIYVSVLVWLIVV VAISPILFYSGTGTRKNKTVTCYDTTSNDYLRSYFIYSMCTTVAMFCIPLVLILGCYGLI VKALIYNDLDNSPLRRKSIYLVIIVLTVFAVSYIPFHVMKTMNLRARLDFQTPEMCDFND RVYATYQVTRGLASLNSCVDPILYFLAGDTFRRRLSRATRKASRRSEANLQSKSEEMTLN ILSEFKQNGDTSL >ENSMUSP00000133107.1 pep:known chromosome:GRCm38:14:6868502:6889962:-1 gene:ENSMUSG00000090691.3 transcript:ENSMUST00000171906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3667 description:predicted gene 3667 [Source:MGI Symbol;Acc:MGI:3781843] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRRLLVEQAGHKCPV GKKRGSLRKPARTSVSQVPRNSSVK >ENSMUSP00000077150.5 pep:known chromosome:GRCm38:7:24668007:24672050:-1 gene:ENSMUSG00000062773.6 transcript:ENSMUST00000078001.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex101 description:testis expressed gene 101 [Source:MGI Symbol;Acc:MGI:1930791] MGACRIQYVLLIFLLIASRWTLVQNTYCQVSQTLSLEDDPGRTFNWTSKAEQCNPGELCQ ETVLLIKADGTRTVVLASKSCVSQGGEAVTFIQYTAPPGLVAISYSNYCNDSLCNNKDSL ASVWRVPETTATSNMSGTRHCPTCVALGSCSSAPSMPCANGTTQCYQGRLEFSGGGMDAT VQVKGCTTTIGCRLMAMIDSVGPMTVKETCSYQSFLQPRKAEIGASQMPTSLWVLELLFP LLLLPLTHFP >ENSMUSP00000146186.1 pep:known chromosome:GRCm38:7:24668342:24676675:-1 gene:ENSMUSG00000062773.6 transcript:ENSMUST00000205488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex101 description:testis expressed gene 101 [Source:MGI Symbol;Acc:MGI:1930791] MGACRIQYVLLIFLLIASRWTLVQNTYCQVSQTLSLEDDPGRTFNWTSKAEQCNPGELCQ ETVLLIKADGTRTVVLASKSCVSQGGEAVTFIQYTAPPGLVAISYSNYCNDSLCNNKDSL ASVWRVPETTATSNMSGTRHCPTCVALGSCSSAPSMPCANGTTQCYQGRLEFSGGGMDAT VQVKGCTTTIGCRL >ENSMUSP00000146082.1 pep:known chromosome:GRCm38:7:24668342:24676675:-1 gene:ENSMUSG00000062773.6 transcript:ENSMUST00000206390.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex101 description:testis expressed gene 101 [Source:MGI Symbol;Acc:MGI:1930791] MGACRIQYVLLIFLLIASRWTLVQNTYCQVSQTLSLEDDPGRTFNWTSKAEQCNPGELCQ ETVLLIKADGTRTVVLASKSCVSQGGEAVTFIQYTAPPGLVAISYSNYCNDSLCNNKDSL ASVWRVPETTATSNMSGTRHCPTCVALGSCSSAPSMPCANGTTQCYQGRLEFSGGGMDAT VQVKGCTTTIGCRL >ENSMUSP00000128458.1 pep:known chromosome:GRCm38:14:6613967:6622418:-1 gene:ENSMUSG00000096839.7 transcript:ENSMUST00000167882.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3460 description:predicted gene 3460 [Source:MGI Symbol;Acc:MGI:3781636] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHHLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQSSRKQNMAQTTT >ENSMUSP00000137321.1 pep:known chromosome:GRCm38:14:6619373:6622355:-1 gene:ENSMUSG00000096839.7 transcript:ENSMUST00000178927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3460 description:predicted gene 3460 [Source:MGI Symbol;Acc:MGI:3781636] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHHLRECTQLKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQIG >ENSMUSP00000112860.2 pep:known chromosome:GRCm38:16:30560494:30587592:-1 gene:ENSMUSG00000022538.19 transcript:ENSMUST00000117363.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsg1 description:large subunit GTPase 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:107236] MGRRRAPGGGSLGRVLIRQQTQRSRSHRHTDSWLHTSELNDGYDWGRLNLQSVTEQSSLE DFLATAELAGTEFVAEKLNIKFVPPEARTGLLSFEESQRIKKLHEENRQFLCIPRRPNWD RKTSPEELKQAEKDNFLKWRRQLVRLEEEQKLILTPFERNLDFWRQLWRVIERSDIVVQI VDARNPLLFRCEDLECYVKEIDAAKENVILINKADLLTAEQRFAWAVHFEKEGVKVIFWS ALAETDHLNGDLKEEVDSVAGDTNKTESESSSLDANEIPHRDLISLSEESASDSGDSKYE DCQEDEEEDWQTCSEEDSVPEEEEGCNADSETQNRKNAENQQVNNDSYLVSKQELLELFK KLHTGKKVKDGQLTVGLVGYPNVGKSSTINTIMGNKKVSVSATPGHTKHFQTLYVEPGLC LCDCPGLVMPSFVSTKAEMICNGILPIDQMRDHVPPVSLVCQNIPRRVLEVTYGINIIKP REDEDPYRPPTSEELLTAYGCMRGFMTAHGQPDQPRSARYILKDYVGGKLLYCHPPPGKD PVAFQHQHQQLLESKVKGGELRLQPGKGRKAKQIENVVDKTFFHQENVRALTKGVQAVMG YKPGHGLVTAAAASAENVPGKPWKKHGNRNKKEKSRRLYKHLDV >ENSMUSP00000115880.2 pep:known chromosome:GRCm38:16:30561371:30587589:-1 gene:ENSMUSG00000022538.19 transcript:ENSMUST00000143170.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lsg1 description:large subunit GTPase 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:107236] MGRRRAPGGGSLGRVLIRQQTQRSRSHRHTDSWRS >ENSMUSP00000117960.1 pep:known chromosome:GRCm38:16:30571371:30585650:-1 gene:ENSMUSG00000022538.19 transcript:ENSMUST00000123286.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsg1 description:large subunit GTPase 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:107236] DWGRLNLQSVTEQSSLEDFLATAELAGTEFVAEKLNIKFVPPEARTGLLSFEESQRIKKL HEENRQFLCIPRRPNWDRKTSPEELKQAEKDNFLKWRRQLVRDIVVQIVDARNPLLFRCE DLECYVKEIDAAKENVILINKADLLTAEQRFAWAVHFEKEGVKVIFWSALAETDHLNGDL KEEVDSVAGDTNKTESESSSLDANEIPHRDLISLSEESASDSGDSKYEDCQEDEEE >ENSMUSP00000129704.1 pep:known chromosome:GRCm38:5:100039985:100068760:1 gene:ENSMUSG00000029326.13 transcript:ENSMUST00000169390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enoph1 description:enolase-phosphatase 1 [Source:MGI Symbol;Acc:MGI:1915120] MVVVSVPAEVTVILLDIEGTTTPIAFVKDVLFPYIKENVKEYLQTHWEEEECQQDVSLLR KQAEEDAHLDGAVPIPVASGSDLQQMIQAVVDNVYWQMSHDRKTTALKQLQGHMWKAAFT AGRMKAEFFADVVPAVRRWREAGMKVYIYSSGSVEAQKLLFGHSTEGDILELIDGHFDTK IGHKVDSESYRKIADSIGCSTNNILFLTDVTVEASAAEEADVHVAVVVRPGNAGLTDDEK TYYNLITSFSELYLPST >ENSMUSP00000031268.6 pep:known chromosome:GRCm38:5:100040044:100068760:1 gene:ENSMUSG00000029326.13 transcript:ENSMUST00000031268.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enoph1 description:enolase-phosphatase 1 [Source:MGI Symbol;Acc:MGI:1915120] MVVVSVPAEVTVILLDIEGTTTPIAFVKDVLFPYIKENVKEYLQTHWEEEECQQDVSLLR KQAEEDAHLDGAVPIPVASGSDLQQMIQAVVDNVYWQMSHDRKTTALKQLQGHMWKAAFT AGRMKAEFFADVVPAVRRWREAGMKVYIYSSGSVEAQKLLFGHSTEGDILELIDGHFDTK IGHKVDSESYRKIADSIGCSTNNILFLTDVTVEASAAEEADVHVAVVVRPGNAGLTDDEK TYYNLITSFSELYLPST >ENSMUSP00000049109.6 pep:known chromosome:GRCm38:19:46396896:46488804:1 gene:ENSMUSG00000025231.16 transcript:ENSMUST00000039922.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sufu description:suppressor of fused homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1345643] MAELRPSVAPGPAAPPASGPSAPPAFASLFPPGLHAIYGECRRLYPDQPNPLQVTAIVKY WLGGPDPLDYVSMYRNMGSPSANIPEHWHYISFGLSDLYGDNRVHEFTGTDGPSGFGFEL TFRLKRETGESAPPTWPAELMQGLARYVFQSENTFCSGDHVSWHSPLDNSESRIQHMLLT EDPQMQPVRTPFGVVTFLQIVGVCTEELHSAQQWNGQGILELLRTVPIAGGPWLITDMRR GETIFEIDPHLQERVDKGIETDGSNLSGVSAKCAWDDLSRPPEDEEDSRSICLGTQPRRL SGKDTEQIRETLRRGLEINSKPVLPPINSQRQNGLTHDRAPSRKDSLGSDSSTAIIPHEL IRTRQLESVHLKFNQESGALIPLCLRGRLLHGRHFTYKSITGDMAITFVSTGVEGAFATE EHPYAAHGPWLQILLTEEFVEKMLEDLEDLTSPEEFKLPKEYSWPEKKLKVSILPDVVFD SPLH >ENSMUSP00000107498.2 pep:known chromosome:GRCm38:19:46396918:46486048:1 gene:ENSMUSG00000025231.16 transcript:ENSMUST00000111867.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sufu description:suppressor of fused homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1345643] MAELRPSVAPGPAAPPASGPSAPPAFASLFPPGLHAIYGECRRLYPDQPNPLQVTAIVKY WLGGPDPLDYVSMYRNMGSPSANIPEHWHYISFGLSDLYGDNRVHEFTGTDGPSGFGFEL TFRLKRETGESAPPTWPAELMQGLARYVFQSENTFCSGDHVSWHSPLDNSESRIQHMLLT EDPQMQPVRTPFGVVTFLQIVGVCTEELHSAQQWNGQGILELLRTVPIAGGPWLITDMRR GETIFEIDPHLQQERVDKGIETDGSNLSGVSAKCAWDDLSRPPEDEEDSRSICLGTQPRR LSGKDTEQIRETLRRGLEINSKPVLPPINSQRQNGLTHDRAPSRKDSLGSDSSTAIIPHE LIRTRQLESVHLKFNQESGALIPLCLRGRLLHGRHFTYKSITGDMAITFVSTGVEGAFAT EEHPYAAHGPWLQILLTEEFVEKMLEDLEDLTSPEEFKLPKEYSWPEKKLKVSILPDVVF DSPLH >ENSMUSP00000112653.1 pep:known chromosome:GRCm38:19:46397004:46455707:1 gene:ENSMUSG00000025231.16 transcript:ENSMUST00000120778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sufu description:suppressor of fused homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1345643] MAELRPSVAPGPAAPPASGPSAPPAFASLFPPGLHAIYGECRRLYPDQPNPLQVTAIVKY WFTGTDGPSGFGFELTFRLKRETGESAPPTWPAELMQGLARYVFQSENTFCSGDHVSWHS PLDNSESRIQHMLLTEDPQMQPVRTPFGVVTFLQIVGVCTEELHSAQQWNGQGILELLRT VPIAGGPWLITDMRRGETIFEIDPHLQQERVDKGIETDGSNLSGVSAKCAWDDLSRPPED EEDSRSICLGTQPRRLSGKDTEQIRETLRRGLEINSKPVLPPINSQRQNGLTHDRAP >ENSMUSP00000113073.1 pep:known chromosome:GRCm38:19:46397026:46476936:1 gene:ENSMUSG00000025231.16 transcript:ENSMUST00000118440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sufu description:suppressor of fused homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1345643] MAELRPSVAPGPAAPPASGPSAPPAFASLFPPGLHAIYGECRRLYPDQPNPLQVTAIVKY WLGGPDPLDYVSMYRNMGSPSANIPEHWHYISFGLSDLYGDNRVHEFTGTDGPSGFGFEL TFRLKRETGESAPPTWPAELMQGLARYVFQSENTFCSGDHVSWHSPLDNSESRIQHMLLT EDPQMQPVRTPFGVVTFLQIVGVCTEELHSAQQWNGQGILELLRTVPIAGGPWLITDMRR GETIFEIDPHLQERVDKGIETDGSNLSGVSAKCAWDDLSRPPEDEEDSRSICLGTQPRRL SGKDTEQIRETLRRGLEINSKPVLPPINSQRQNGLTHDRAPSRKDSLGSDSSTAIIPHEL IRTRQLESVHLKFNQESGALIPLCLRGRLLHGRHFTYKSITGDMAITFVSTGVEGAFATE EHPYAAHGPWLQVRRSLSSFSSSSCSSLAACPPLPHHPKDRPLWLPC >ENSMUSP00000033545.5 pep:known chromosome:GRCm38:X:75572046:75578231:-1 gene:ENSMUSG00000031202.5 transcript:ENSMUST00000033545.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab39b description:RAB39B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:1915040] MEAIWLYQFRLIVIGDSTVGKSCLIRRFTEGRFAQVSDPTVGVDFFSRLVEIEPGKRIKL QIWDTAGQERFRSITRAYYRNSVGGLLLFDITNRRSFQNVHEWLEETKVHVQPYQIVFVL VGHKCDLDTQRQVTRHEAEKLAAAYGMKYIETSARDAINVEKAFTDLTRDIYELVKRGEI TIQEGWEGVKSGFVPNVVHSSEEVIKSERRCLC >ENSMUSP00000030787.8 pep:known chromosome:GRCm38:5:24802823:24842624:-1 gene:ENSMUSG00000028945.9 transcript:ENSMUST00000030787.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rheb description:Ras homolog enriched in brain [Source:MGI Symbol;Acc:MGI:97912] MPQSKSRKIAILGYRSVGKSSLTIQFVEGQFVDSYDPTIENTFTKLITVNGQEYHLQLVD TAGQDEYSIFPQTYSIDINGYILVYSVTSIKSFEVIKVIHGKLLDMVGKVQIPIMLVGNK KDLHMERVISYEEGKALAESWNAAFLESSAKENQTAVDVFKRIILEAEKIDGAASQGKSS CSVM >ENSMUSP00000134441.1 pep:known chromosome:GRCm38:7:43018798:43048106:1 gene:ENSMUSG00000091528.8 transcript:ENSMUST00000173815.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9268 description:predicted gene 9268 [Source:MGI Symbol;Acc:MGI:3646456] MFWIFIFGVLYIPNFVCAFTGDKCYGTIKEYTYYEGDVTIGAFFPLHSYYTHDHVPHEID PYFFQDMFTQYNFMNYQLLLALVFTIEEINRNPHLLPNTTLGFEHHNIKFSEKNILLGGC NQLINYNCGQKRNLPAALTGTSWAISAHIGTLLQLYKIPQVSTQFGYFDSNMNDQSQFKT LYQVAPEDTYLSLALVSLMLHFSWSWVGLIVPNDPRGTQILSEFRDVMESNRICLAFVKM IPGTWNSYSDSIWKNMEKIQESSANVIVIYGDIVSLQGLMRHIAQLLVTWKVWVLNSQWD IDYYSDYFMIESFHGSLIFSHHHEEMVEFVNFVQTVNPYTYPEDDYLPKFWVFFFKCSFS EFDCQLLENCQPNASLDLLLRHIFDPAMSEESYNLYNAVYALAHSLHEMTVQQIQTQPYA NGDGMAFLPWQILPFLKITLLKNHPSGQTVIDERKNLYSEYDIFNFWNFPTGLGLKMKVG TFSPNSPQGQQLSLSEEMIQWPTQFTKIPQSVCSESCRPGFRKAAQEGKAVCCFDCIPCA DNEISNETDMDQCVQCPESHYANSEKNHCLQKSVSFLAYEEPLGMGLTITALDFSVLTAV VLVVFVKHRDTPIVKANNRVLSYTLLLTLIICFLSCLLYIRQPNTATCILQQTAFGTLFT VALSTVLAKAIVVVTAFKITSPARMVRWLIVSGAPNSIIPICTLIQLIIYGIWLATSPPF IDQNAHVEHGHIIIMCNKGSAVAFHCVLGYLCFLALGSYSMAFLSRNLPDTFNEAKYLSF SMQVFFCVWITFLPVYHSTKGKVMVAMEVFSVLASSIALLALIFAPKCYIILLRPDKNSR LDIRHKIHSRRVVI >ENSMUSP00000129068.1 pep:known chromosome:GRCm38:7:43018798:43048106:1 gene:ENSMUSG00000091528.8 transcript:ENSMUST00000166914.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9268 description:predicted gene 9268 [Source:MGI Symbol;Acc:MGI:3646456] MFWIFIFGVLYIPNFVCAFTGDKCYGTIKEYTYYEGDVTIGAFFPLHSYYTHDHVPHEID PYFFQDMFTQYNFMNYQLLLALVFTIEEINRNPHLLPNTTLGFEHHNIKFSEKNILLGPF LWLTGLSNQLINYNCGQKRNLPAALTGTSWAISAHIGTLLQLYKIPQVSTQFGYFDSNMN DQSQFKTLYQVAPEDTYLSLALVSLMLHFSWSWVGLIVPNDPRGTQILSEFRDVMESNRI CLAFVKMIPGTWNSYSDSIWKNMEKIQESSANVIVIYGDIVSLQGLMRHIAQLLVTWKVW VLNSQWDIDYYSDYFMIESFHGSLIFSHHHEEMVEFVNFVQTVNPYTYPEDDYLPKFWVF FFKCSFSEFDCQLLENCQPNASLDLLLRHIFDPAMSEESYNLYNAVYALAHSLHEMTVQQ IQTQPYANGDGMAFLPWQILPFLKITLLKNHPSGQTVIDERKNLYSEYDIFNFWNFPTGL GLKMKVGTFSPNSPQGQQLSLSEEMIQWPTQFTKIPQSVCSESCRPGFRKAAQEGKAVCC FDCIPCADNEISNETDMDQCVQCPESHYANSEKNHCLQKSVSFLAYEEPLGMGLTITALD FSVLTAVVLVVFVKHRDTPIVKANNRVLSYTLLLTLIICFLSCLLYIRQPNTATCILQQT AFGTLFTVALSTVLAKAIVVVTAFKITSPARMVRWLIVSGAPNSIIPICTLIQLIIYGIW LATSPPFIDQNAHVEHGHIIIMCNKGSAVAFHCVLGYLCFLALGSYSMAFLSRNLPDTFN EAKYLSFSMQVFFCVWITFLPVYHSTKGKVMVAMEVFSVLASSIALLALIFAPKCYIILL RPDKNSRLDIRHKIHSRRVVI >ENSMUSP00000040961.7 pep:known chromosome:GRCm38:10:7767947:7780917:-1 gene:ENSMUSG00000040006.13 transcript:ENSMUST00000039763.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ginm1 description:glycoprotein integral membrane 1 [Source:MGI Symbol;Acc:MGI:2384905] MEGGLSAPLSVRLLLFIALPAAGWLTTNAPRPPSTAPQNGIQINVTTLSKSGEESEEQVV LNITYERGQVYVNDLPVNSGVTRISCQTLIVKSENLEKLEEKHYFGIVTVRILVLERPVT YSASSQLIVIQGEVVEIDGRQAQQKNVTEIDILVKNQRVLRYSSYFLPLEESMLYSISQD SDILFTLPDFSKKGTVSSLQTTSHYLMGNVETTVDGNALPGKLPETPLRAEPPSSYKVMC QWMEKLRKALCRFWSSVVPVLFMFLDVMVVGVLGAAGVIAVLKLLFPVCENKGILQVDKM NGISVPIILYPDGSEKTAQKLTDKTDI >ENSMUSP00000119129.1 pep:known chromosome:GRCm38:10:7767956:7780891:-1 gene:ENSMUSG00000040006.13 transcript:ENSMUST00000124838.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ginm1 description:glycoprotein integral membrane 1 [Source:MGI Symbol;Acc:MGI:2384905] MEGGLSAPLSVRLLLFIALPAAGWLTTNAPRPPSTAPQNGIQINVTTLSKSGEESEEQVV LNITYERGQVYVNDLPVNSGVTRISCQTLIVKSENLEKLEEKHYFGIVTVRILVLERPVT YSASSQLIVIQGEVVEIDGRQAQQKNVTEIDILVKNQRVLRYSSYFLPLEESMLYSISQD SDILFTLPDFSKKVGTVSSLQTTSHYLMGNVETTVDGNALPGKLPETPLRAEPPSSYKVM CQWMEKLRKALCRFWSSVVPVLFMFLDVMVVGVLGAAGVIAVLKLLFPVCENKGILQVDK MNGISVPIILYPDGSEKTAQKLTDKTDI >ENSMUSP00000070236.1 pep:known chromosome:GRCm38:10:7792523:7792822:-1 gene:ENSMUSG00000040006.13 transcript:ENSMUST00000065124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ginm1 description:glycoprotein integral membrane 1 [Source:MGI Symbol;Acc:MGI:2384905] PRRRDFPRPNGRLVRPGSCYLVPAVFWLWRLFEDSLPPLVTHIKTCISRGPFRRNSGGIR ELRNLQAGGTSSPCLWKRLPWGFHSLSIKIAKPEASVAR >ENSMUSP00000136629.2 pep:known chromosome:GRCm38:Y:9781804:9784197:1 gene:ENSMUSG00000094556.2 transcript:ENSMUST00000179829.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20821 description:predicted gene, 20821 [Source:MGI Symbol;Acc:MGI:5434177] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG LDSVYGQELHSDERILNIKVLTHKAVFSQVRDVHLASALVGREVQHKFEGKNGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGT WVQYTRDDASKKFGKVVYKDLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000137322.1 pep:known chromosome:GRCm38:Y:9783380:9784063:1 gene:ENSMUSG00000094556.2 transcript:ENSMUST00000180352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20821 description:predicted gene, 20821 [Source:MGI Symbol;Acc:MGI:5434177] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG LDSVYGQELHSDERILNIKVLTHKAVFSQVRDVHLASALVGREVQHKFEGKNGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGT WVQYTRDDASKKFGKVVYKDLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000039245.7 pep:known chromosome:GRCm38:3:49743296:49757316:-1 gene:ENSMUSG00000037892.13 transcript:ENSMUST00000035931.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh18 description:protocadherin 18 [Source:MGI Symbol;Acc:MGI:1920423] MHQMNTKMHFRFALALLMAFFSHDVLAKNLKYRIYEEQRVGSVIARLSEDVADVLLKLPN PSAVRFRAMPRGNSPLLVVNENTGEISIGAKIDREQLCQKNLNCSIEFDVLTLPTEHLQL FHIEVDVLDINDNSPQFSRPVIPIEISESAAVGTRIPLDSAFDPDVGENSLHTYSLSAND YFNIEVRTRTDGAKYAELIVVKELDRELKASYELQLTASDMGVPQRSGSSILKISISDSN DNSPAFEQPSYTIQLLENSPVGTLLLDLNATDPDEGANGRIVYSFSSHVSPKIIETFKID SEKGHLTLFKPVDYEITKSYEIDVQAQDLGPNSIPAHCKIIIKVVDVNDNKPEISINLMS PGKEEVSYVFEGDPIDTFVAIVRVQDKDSGLNGEIICKLHGHGHFKLQKTYENNYLILTN ATLDREKRSEYSLTVIAEDKGTPSLSSVRHFTVQINDINDNPPRFQRSRYEFVISENNSP GAYITTVTATDPDLGENGHVTYTILESFVLGSSITTYVTIDPSNGAIYALRIFDHEEVSQ ITFVVEARDGGSQKQLSSNTTVVLTIIDENDNVPVVIGPAMHNNTAEISIPKGAESGFHV TRIRVVDRDSGANAEFSCSIVSGNEENIFIMDPRSCDIHTNVSMESIPSAEWALSVIIQD KGSPPLHTKVLLRCMVFDYAESVTSTAMTSVSRASLDVSMIIIISLGAICAVLLVIMVLF ATRCNREKKDTRSYNCRVAESTYQHHPKRPSRQIHKGDITLVPTINGTLPIRSHHRSSPS SSPTLERGQMGSRQSHNSHQSLNSLVTISSNHVPENFSLELTHATPAVEVSQLLSMLHQG QYQPRPSFRGNKYSRSYRYALQDMDKFSLKDSGRGDSEAGDSDYDLGRDSPIDRLLGEGF SDLFLTDGRIPAAMRLCTEECRVLGHSDQCWMPPLPSPSSDYRSNMFIPGEEFPAQPQQQ HSHQGLDDDSQPAENGEKKKSFSTFGKDSPSDEDSGDSSTSSLLSEMSSVFQRLLPASLD TFSECNEGDRSNSLERRKGPAQGKTGGYPQGVAAWAASTHFQNPTSSSGTPLGTHSSVQP SSKWLPAMEEIPENYEEDDFDNVLNHLSDGKHELMDASELVAEINKLLQDVRQS >ENSMUSP00000141995.1 pep:known chromosome:GRCm38:3:49743298:49757285:-1 gene:ENSMUSG00000037892.13 transcript:ENSMUST00000191794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh18 description:protocadherin 18 [Source:MGI Symbol;Acc:MGI:1920423] MHQMNTKMHFRFALALLMAFFSHDVLAKNLKYRIYEEQRVGSVIARLSEDVADVLLKLPN PSAVRFRAMPRGNSPLLVVNENTGEISIGAKIDREQLCQKNLNCSIEFDVLTLPTEHLQL FHIEVDVLDINDNSPQFSRPVIPIEISESAAVGTRIPLDSAFDPDVGENSLHTYSLSAND YFNIEVRTRTDGAKYAELIVVKELDRELKASYELQLTASDMGVPQRSGSSILKISISDSN DNSPAFEQPSYTIQLLENSPVGTLLLDLNATDPDEGANGRIVYSFSSHVSPKIIETFKID SEKGHLTLFKPVDYEITKSYEIDVQAQDLGPNSIPAHCKIIIKVVDVNDNKPEISINLMS PGKEEVSYVFEGDPIDTFVAIVRVQDKDSGLNGEIICKLHGHGHFKLQKTYENNYLILTN ATLDREKRSEYSLTVIAEDKGTPSLSSVRHFTVQINDINDNPPRFQRSRYEFVISENNSP GAYITTVTATDPDLGENGHVTYTILESFVLGSSITTYVTIDPSNGAIYALRIFDHEEVSQ ITFVVEARDGGSQKQLSSNTTVVLTIIDENDNVPVVIGPAMHNNTAEISIPKGAESGFHV TRIRVVDRDSGANAEFSCSIVSGNEENIFIMDPRSCDIHTNVSMESIPSAEWALSVIIQD KGSPPLHTKVLLRCMVFDYAESVTSTAMTSVSRASLDVSMIIIISLGAICAVLLVIMVLF ATRCNREKKDTRSYNCRVAESTYQHHPKRPSRQIHKGDITLVPTINGTLPIRSHHRSSPS SSPTLERGQMGSRQSHNSHQSLNSLVTISSNHVPENFSLELTHATPAVEQVSQLLSMLHQ GQYQPRPSFRGNKYSRSYRYALQDMDKFSLKDSGRGDSEAGDSDYDLGRDSPIDRLLGEG FSDLFLTDGRIPAGKRQ >ENSMUSP00000142135.1 pep:known chromosome:GRCm38:3:49752421:49755464:-1 gene:ENSMUSG00000037892.13 transcript:ENSMUST00000194603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh18 description:protocadherin 18 [Source:MGI Symbol;Acc:MGI:1920423] XSRYEFVISENNSPGAYITTVTATDPDLGENGHVTYTILESFVLGSSITTYVTIDPSNGA IYALRIFDHEEVSQITFVVEARDGGSQKQLSSNTTVVLTIIDENDNVPVVIGPAMHNNTA EISIPKGAESGFHVTRIRVVDRDSGANAEFSCSIVSGNEENIFIMDPRSCDIHTNVSMES IPSAEWALSVIIQDKGSPPLHTKVLLRCMVFDYAESVTSTAMTSVSRASLDVSMIIIISL GAICAVLLVIMVLFATRCNREKKDTRSYNCRVAESTYQHHPKRPSRQIHKGDITLVPTIN GTLPIRSHHRSSPSSSPTLERGQMGSRQSHNSHQSLNSLVTISSNHVPENFSLELTHATP AVEVSQLLSMLHQGQYQPRPSFRGNKYSRSYRYALQDMDKFSLKDSGRGDSEAGDSDYDL GRDSPIDRLLGEGFSDLFLTDGRIPAGTWIQLSKC >ENSMUSP00000110021.4 pep:known chromosome:GRCm38:17:33742484:33760530:-1 gene:ENSMUSG00000077450.12 transcript:ENSMUST00000057373.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11b description:RAB11B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:99425] MGTRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTI KAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIM LVGNKSDLRHLRAVPTDEARAFAEKNNLSFIETSALDSTNVEEAFKNILTEIYRIVSQKQ IADRAAHDESPGNNVVDISVPPTTDGQRPNKLQCCQSL >ENSMUSP00000134448.1 pep:known chromosome:GRCm38:17:33746750:33760306:-1 gene:ENSMUSG00000077450.12 transcript:ENSMUST00000173860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11b description:RAB11B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:99425] MGTRDDEYDYLFKESKSTIGVEFATRSIQVDGKTIKAQIWDTAGQERYRAITSAYYRGAV GALLVYDIAKHLTYENVERWLKELRDHADSNIVIMLVGNKSDLRHLRAVPTDEARAFAEK NNLSFIETSALDSTNVEEAFKNILTEIYRIVSQKQIADRAAHDESPGNNVVDISVPPTTD GQRPNKLQCCQSL >ENSMUSP00000134544.1 pep:known chromosome:GRCm38:17:33746833:33749845:-1 gene:ENSMUSG00000077450.12 transcript:ENSMUST00000172894.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab11b description:RAB11B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:99425] XTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNI VIMLVGNKSDLRHLRAVPTDEARAFAEKNNLSFIETSALDSTNVEEAFKNILTEIHLPLP PKCWD >ENSMUSP00000133921.1 pep:known chromosome:GRCm38:17:33747414:33760280:-1 gene:ENSMUSG00000077450.12 transcript:ENSMUST00000173987.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rab11b description:RAB11B, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:99425] MGTRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVDGKTI KAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHLTYENVERWLKELRDHADSNIVIM LVGNKSDLRHLRAVPTDEARAFAEQLVLH >ENSMUSP00000146577.1 pep:known chromosome:GRCm38:7:4922028:4924193:1 gene:ENSMUSG00000035285.6 transcript:ENSMUST00000207506.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat14 description:N-acetyltransferase 14 [Source:MGI Symbol;Acc:MGI:3039561] MAPNHLSVREMREDEKPLVLEMLKAGVKDTENRVALHALTRPPALLLLAAASSGLRFILA SFALALLLPVFLAVAAVKLGLRARWGSLPPPGGLGGPWVAVRGSGDVCGVLALAPGANVG D >ENSMUSP00000045354.5 pep:known chromosome:GRCm38:7:4922251:4925006:1 gene:ENSMUSG00000035285.6 transcript:ENSMUST00000047309.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat14 description:N-acetyltransferase 14 [Source:MGI Symbol;Acc:MGI:3039561] MAPNHLSVREMREDEKPLVLEMLKAGVKDTENRVALHALTRPPALLLLAAASSGLRFILA SFALALLLPVFLAVAAVKLGLRARWGSLPPPGGLGGPWVAVRGSGDVCGVLALAPGANVG DGARVTRLSVSRWHRRRGVGRRLLAFAEARARAWAGSMGEPRARLVVPVAVAAWGVAGLL EACGYQAEGGWGCMGYMLVREFSKDL >ENSMUSP00000146765.1 pep:known chromosome:GRCm38:7:4922782:4924294:1 gene:ENSMUSG00000035285.6 transcript:ENSMUST00000207527.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat14 description:N-acetyltransferase 14 [Source:MGI Symbol;Acc:MGI:3039561] MAPNHLSVREMREDEKPLVLEMLKAGVKDTENRVALHALTRPPALLLLAAASSGLRFILA SFALALLLPVFLAVAAVKLGLRARWGSLPPPGGLGGPWVAVRGSGDVCGVLALAPGANVG DGARVTRLSVSRWHRRRGVGRRLLAFAEARARAWA >ENSMUSP00000147229.1 pep:known chromosome:GRCm38:7:4922868:4925000:1 gene:ENSMUSG00000035285.6 transcript:ENSMUST00000207687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat14 description:N-acetyltransferase 14 [Source:MGI Symbol;Acc:MGI:3039561] MAPNHLSVREMREDEKPLVLEMLKAGVKDTENRVALHALTRPPALLLLAAASSGLRFILA SFALALLLPVFLAVAAVKLGLRARWGSLPPPGGLGGPWVAVRGSGDVCGVLALAPGANVG DGARVTRLSVSRWHRRRGVGRRLLAFAEARARAWAGSMGEPRARLVVPVAVAAWGVAGLL EACGYQAEGGWGCMGYMLVREFSKDL >ENSMUSP00000146593.1 pep:known chromosome:GRCm38:7:4922977:4924025:1 gene:ENSMUSG00000035285.6 transcript:ENSMUST00000208754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nat14 description:N-acetyltransferase 14 [Source:MGI Symbol;Acc:MGI:3039561] MAPNHLSVREMREDEKPLVLEMLKAGVKDTENRVALHALTRPPALLLLAAASSGLRFILA SFALA >ENSMUSP00000076967.1 pep:known chromosome:GRCm38:11:74364671:74365665:1 gene:ENSMUSG00000058275.2 transcript:ENSMUST00000077794.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr412 description:olfactory receptor 412 [Source:MGI Symbol;Acc:MGI:3030246] MDGGNQSGDSEFLLLGLSEVPEHQRILFWTFLSMYLVTVVGNVLIILAIGSDSHLHTPMY FFLANLSFTDLFFVTNTIPKMLVSLQSQNKAISYPGCLTQLFFLVSLVALDNLILAVMAY DRYVAICHPLHYTTAMSPKLCILLLILCWALSILYGLIHTLLMTRVTFCGSRKIHYIFCE MYVLLRLACSNTHINHMMLIATGCFVFLVPFGFMIMSYICIVRAILKIPSASNKYKAFST CASHLAVVALFYGTLCMVYLKPLHTYSMKDSVATVMYAVVTPMMNPFIYSLRNKDMHGAL GRLLRKPLQKLT >ENSMUSP00000130455.1 pep:known chromosome:GRCm38:X:101794656:101798638:1 gene:ENSMUSG00000046774.16 transcript:ENSMUST00000163399.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:8030474K03Rik description:RIKEN cDNA 8030474K03 gene [Source:MGI Symbol;Acc:MGI:2685988] XRLAKFSEKLALAVTKGRGEERGDSSSVSHAADMSSLGKAAVPTACDDEDTLLTGDANSQ HQVSGSEAGLSQEGRERLGDPVISPGVAEGPSPLQGPLEIREGEASLPGPESSDLGSGSK MEATEDTGSMLRDPEGGATSPVDQEEEVDMDFLPQLSIEAMTVMRELTNLQLRKVCRYPS PRTCAAELAALWGNVDEGSNRGALSPSSVRGKQGPEGALYPRGLGRGRAWGTPRRHTIGR MVSSEGVHYPSSNPVSSDEFSDTHVMKGTAGLKEDQARSSGLTELDNIARHTNVRGRGNF VHIPPSVLSSATWGVSSGMERQASGEQESSLAKKKPNMVWGKEGSRPSHHGVTAAAATAS AAAPASASTASGALPKTSPKKKQAQEKTSSSDVSRGYQGKNLPTWGQRLKSAFEGPTTLP PISGVALLGKASKCSLPSGPKEFKSICTGKKSIAKKTREPQAGPKEDNSPRDPGLQAQVP PHRAEQPSVCMYRGEMSRGDFNIRAPQVPANPQFFSLSQRCARPRAPPAPAGEQNQLMDP IFPNEESQQVIHGTPGCAQCEMLQKEIDELKEQLGLALL >ENSMUSP00000128286.1 pep:known chromosome:GRCm38:X:101796681:101798642:1 gene:ENSMUSG00000046774.16 transcript:ENSMUST00000145887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:8030474K03Rik description:RIKEN cDNA 8030474K03 gene [Source:MGI Symbol;Acc:MGI:2685988] PPHRAEQPSVCMYRGEMSRGDFNIRAPQVPANPQFFSLSQRCARPRAPPAPAGEQNQLMD PIFPNEESQQVIHGTPGCAQCEMLQKEIDELKEQLAVMQALSETFQDP >ENSMUSP00000133189.2 pep:known chromosome:GRCm38:X:101794656:101798638:1 gene:ENSMUSG00000046774.16 transcript:ENSMUST00000151231.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:8030474K03Rik description:RIKEN cDNA 8030474K03 gene [Source:MGI Symbol;Acc:MGI:2685988] MSSLGKAAVPTACDDEDTLLTGDANSQHQVSGSEAGLSQEGRERLGDPVISPGVAEGPSP LQGPLEIREGEASLPGPESSDLGSGSKMEATEDTGSMLRDPEGGATSPVDQEEEVDMDFL PQLSIEAMTVMRELTNLQLRKVCRYPSPRTCAAELAALWGNVDEGSNRGALSPSSVRGKQ GPEGALYPRGLGRGRAWGTPRRHTIGRMVSSEGVHYPSSNPVSSDEFSDTHVMKGTAGLK EDQARSSGLTELDNIARHTNVRGRGNFVHIPPSVLSSATWGVSSGMERQASGEQESSLAK KKPNMVWGKEGSRPSHHGVTAAAATASAAAPASASTASGALPKTSPKKKQAQEKTSSSDV SRGYQGKNLPTWGQRLKSAFEGPTTLPPISGVALLGKASKCSLPSGPKEFKSICTGKKSI AKKTREPQAGPKEDNSPRDPGLQAQVPPHRAEQPSVCMYRGEMSRGDFNIRAPQVPANPQ FFSLSQRCARPRAPPAPAGEQNQLMDPIFPNEESQQVIHGTPGCAQCEMLQKEIDELKEQ LAVMQALSETFQDP >ENSMUSP00000045437.3 pep:known chromosome:GRCm38:7:28607634:28631067:-1 gene:ENSMUSG00000037469.9 transcript:ENSMUST00000040112.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papl description:iron/zinc purple acid phospatase-like [Source:MGI Symbol;Acc:MGI:2142121] MDGNPRIAASLGTEDILTDGHTALPGIGPFSILCGTHQRNLITSLHSTRLPTIPFLPTMS PFLGGWLFFCMLLPFSPGVQGAQEYPHVTPEQIHLSYLGEPGTMTVTWTTWAPARSEVQF GSQLSGPLPFRAHGTARAFVDGGVLRRKLYIHRVTLRKLQPGAQYVYRCGSSQGWSRRFR FTALKNGVHWSPRLAVFGDMGADNPKALPRLRRDTQQGMFDAVLHVGDFAYNMDQDNARV GDRFMRLIEPVAASLPYMTCPGNHEQRYNFSNYKARFSMPGDNEGLWYSWDLGPAHIISF STEVYFFLHYGRHLIEKQFRWLENDLQKANKNRVARPWIITMGHRPMYCSNADLDDCTRH ESRVRKGLHGKLFGLEDLFHKYGVDLEFWAHEHSYERLWPIYNYQVFNGSLESPYTNPRG PVHIITGSAGCEELLTPFVRKPRPWSAVRVKEYGYTRMHILNGTHMHIQQVSDDQDGKIV DDVWVVRPLLGRMMYH >ENSMUSP00000146369.1 pep:known chromosome:GRCm38:7:28616564:28630507:-1 gene:ENSMUSG00000037469.9 transcript:ENSMUST00000159418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papl description:iron/zinc purple acid phospatase-like [Source:MGI Symbol;Acc:MGI:2142121] MSPFLGGWLFFCMLLPFSPGVQGAQEYPHVTPEQIHLSYLGEPGTMTVTWTTWAPARSEV QFGSQLSGPLPFRAHGTARAFVDGGVLRRKLYIHRVTLRKLQPGAQYVYRCGSSQGWSRR FRFTALKNGVHWSPRLAVFG >ENSMUSP00000147133.1 pep:known chromosome:GRCm38:7:28617053:28630507:-1 gene:ENSMUSG00000037469.9 transcript:ENSMUST00000159560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papl description:iron/zinc purple acid phospatase-like [Source:MGI Symbol;Acc:MGI:2142121] MSPFLGGWLFFCMLLPFSPGVQGAQEYPHVTPEQIHLSYLGEPGTMTVTWTTWAPARSEV QFGSQLSGPLPFRAHGTARAFVDGGVLRRKLYIHRVTLRKLQPGAQY >ENSMUSP00000146753.1 pep:known chromosome:GRCm38:7:28629491:28630500:-1 gene:ENSMUSG00000037469.9 transcript:ENSMUST00000159095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papl description:iron/zinc purple acid phospatase-like [Source:MGI Symbol;Acc:MGI:2142121] MSPFLGGWLFFCMLLPFSPGVQGAQEYPHV >ENSMUSP00000146604.1 pep:known chromosome:GRCm38:7:28629524:28631332:-1 gene:ENSMUSG00000037469.9 transcript:ENSMUST00000162880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Papl description:iron/zinc purple acid phospatase-like [Source:MGI Symbol;Acc:MGI:2142121] MDGNPRIAASLGTEDILTDGHTALPGIGPFSILCGTHQRNLITSLHSTRLPTIPFLPTMS PFLGGWLFFCMLLPFSP >ENSMUSP00000050289.7 pep:known chromosome:GRCm38:3:28781276:28798846:1 gene:ENSMUSG00000050192.8 transcript:ENSMUST00000060500.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif5a2 description:eukaryotic translation initiation factor 5A2 [Source:MGI Symbol;Acc:MGI:1933735] MADEIDFTTGDAGASSTYPMQCSALRKNGFVVLKGRPCKIVEMSTSKTGKHGHAKVHLVG IDIFTGKKYEDICPSTHNMDVPNIKRNDYQLICIQDGYLSLLTETGEVREDLKLPEGELG KEIEGKYNAGEDVQVSVMCAMSEEYAVAIKPCK >ENSMUSP00000086568.2 pep:known chromosome:GRCm38:X:26028214:26051041:-1 gene:ENSMUSG00000079655.9 transcript:ENSMUST00000089165.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5168 description:predicted gene 5168 [Source:MGI Symbol;Acc:MGI:3703318] MSIKKLWVIPKEGYLLLLDFDSDEEEQAHSEVKRPAFGKHENMPPHVEEDEDIRDEQDSM LDKSGENVSFSEEWQRFARFVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNAN PEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQEALKLSECSQRQTLEAI EDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000127894.2 pep:known chromosome:GRCm38:X:26028317:26049458:-1 gene:ENSMUSG00000079655.9 transcript:ENSMUST00000168002.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5168 description:predicted gene 5168 [Source:MGI Symbol;Acc:MGI:3703318] MSIKKLWVIPKEGYLLLLDFDSDEEEQAHSEVKRPAFGKHENMPPHVEEDEDIRDEQDSM LDKSGENVSFSEEWQRFARFVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNAN PEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQEALKLSECSQRQTLEAI EDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000143154.1 pep:known chromosome:GRCm38:3:89454541:89469009:1 gene:ENSMUSG00000027952.16 transcript:ENSMUST00000198440.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmvk description:phosphomevalonate kinase [Source:MGI Symbol;Acc:MGI:1915853] MICWGEQKRQADPGFFCRKIVEGVSQPIWLVSDTRRTSDIQWFQEAYGAVIQTVRVVASE QSRQQRGWVFTPGVDDAESECGLDNFGNFDWVIENHGDEQCLEDQLEHLLGFIQAKL >ENSMUSP00000029564.5 pep:known chromosome:GRCm38:3:89459118:89469013:1 gene:ENSMUSG00000027952.16 transcript:ENSMUST00000029564.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmvk description:phosphomevalonate kinase [Source:MGI Symbol;Acc:MGI:1915853] MAPLGASPRLVLLFSGKRKSGKDFVTERLKSRLGGNICALLRLSGPLKEEYAREHGLDFQ RLLDASTYKETYRRDMICWGEQKRQADPGFFCRKIVEGVSQPIWLVSDTRRTSDIQWFQE AYGAVIQTVRVVASEQSRQQRGWVFTPGVDDAESECGLDNFGNFDWVIENHGDEQCLEDQ LEHLLGFIQAKL >ENSMUSP00000103033.1 pep:known chromosome:GRCm38:3:89459331:89469004:1 gene:ENSMUSG00000027952.16 transcript:ENSMUST00000107410.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmvk description:phosphomevalonate kinase [Source:MGI Symbol;Acc:MGI:1915853] MAPLGASPRLVLLFSGKRKSGKDFVTERLKSRLGGNICALLRLSGPLKEEYAREHGLDFQ RLLDASTYKETYRRDMICWGEQKRQADPGFFCRKIVEGVSQPIWLVSDTRRTSDIQWFQE AYGAVIQTVRVVASEQSRQQRGWVFTPGRGHSASLGHL >ENSMUSP00000139116.1 pep:known chromosome:GRCm38:3:89459571:89469006:1 gene:ENSMUSG00000027952.16 transcript:ENSMUST00000184515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmvk description:phosphomevalonate kinase [Source:MGI Symbol;Acc:MGI:1915853] MAGIYGIFYARLGGNICALLRLSGPLKEEYAREHGLDFQRLLDASTYKETYRRDMICWGE QKRQADPGFFCRKIVEGVSQPIWLVSDTRRTSDIQWFQEAYGAVIQTVRVVASEQSRQQR GWVFTPGVDDAESECGLDNFGNFDWVIENHGDEQCLEDQLEHLLGFIQAKL >ENSMUSP00000030964.4 pep:known chromosome:GRCm38:5:43868553:43912375:1 gene:ENSMUSG00000029084.5 transcript:ENSMUST00000030964.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd38 description:CD38 antigen [Source:MGI Symbol;Acc:MGI:107474] MANYEFSQVSGDRPGCRLSRKAQIGLGVGLLVLIALVVGIVVILLRPRSLLVWTGEPTTK HFSDIFLGRCLIYTQILRPEMRDQNCQEILSTFKGAFVSKNPCNITREDYAPLVKLVTQT IPCNKTLFWSKSKHLAHQYTWIQGKMFTLEDTLLGYIADDLRWCGDPSTSDMNYVSCPHW SENCPNNPITVFWKVISQKFAEDACGVVQVMLNGSLREPFYKNSTFGSVEVFSLDPNKVH KLQAWVMHDIEGASSNACSSSSLNELKMIVQKRNMIFACVDNYRPARFLQCVKNPEHPSC RLNT >ENSMUSP00000053447.10 pep:known chromosome:GRCm38:16:75540514:75586061:-1 gene:ENSMUSG00000032948.10 transcript:ENSMUST00000062721.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipi description:lipase, member I [Source:MGI Symbol;Acc:MGI:2443868] MRIYIFLCLICWARFGYLNFQSNTTGVSKDPEKKRTCLEFTKLSAMNSLKDLFCPKVKIN LLMYSRGNAKCAEPLFESNNSLNTRFNPAKKTVWIIHGYRPFGSTPVWLSRFTKAFLKQE DVNLIVVDWNQGATTFMYSRAVRNTRRVAEILRETIENLLIHGASLDNFHFIGMSLGAHI SGFVGKIFHGQLGRITGLDPAGPQFSRKPSNSRLYYTDAKFVDVIHTDIKSLGIGEPSGH IDFYPNGGKHQPGCPTSIFSGTNFIKCDHQRAIYLFLAAFETSCNFVSFPCRSYKDYKNG LCVDCGNLYKDSCPRLGNQAKLWKEELKKKTEEWPLRTTAFLDTSSQNPFCTYYFALNIV ALSETMRNGSISFGLLNDLGDLEYSTLYEKSKPFDNLQEVKILVQFVNDIVSISRICLTY FQSTNPYCAACQYKIQSLVLKSLTYPERPPICKYNFVLKEGTRVDLQPDECNTQME >ENSMUSP00000026833.5 pep:known chromosome:GRCm38:17:25823627:25828730:1 gene:ENSMUSG00000025737.5 transcript:ENSMUST00000026833.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr24 description:WD repeat domain 24 [Source:MGI Symbol;Acc:MGI:2446285] MEKMSRVSTALSGSALTGRTMHCHLDAPANAISVCRDAAQVVVAGRSIFKIYAIEEEQFV EKLNLRVGRKPSLNLSCADVVWHQMDENLLATAATNGVVVTWNLGRPSRNKQDQLFTEHK RTVNKVCFHPTEAHVLLSGSQDGFMKCFDLRRKDSVSTFSGQSESVRDVQFSIRDYFTFA STFENGNVQLWDIRRPDRCERMFTAHNGPVFCCDWHPEDRGWLATGGRDKMVKVWDMTTH RAKEIHCVQTIASVARVKWRPECRHHLATCSMMVDHNIYVWDVRRPFVPAAMFEEHRDVT TGIAWRHPHDPSFLLSGSKDSTLCQHLFRDASQPVERANPEGLCYGLFGDLAFAVKESLV AAESGRKPYAGDRRHPIFFKRKLDPAEPFSGLASSALSVFETESSGGSMSWFVDTAERYV LAGRPLAELCDHNAKVARELGRNQVAQTWTMLRIIYCSPGLVSSANLNHSVGKGSSCGLP LMNSFNLKDMGPGLGSETRLDRSKGDTRSDAALLDSSATLVTNEDNEETEGSDVPADYLL GDVEGEDDELYPLDTEHVHSEEPEYVLPQEAFPLRHEIVDTPSGPEHLQDKADSPHVSGN EADTASLAPVDSSSSLLSVSHALYDSRLPPDFFSVLVRDMLRFYAEQGDVQMAVSVLIVL GERVRKDIDEQTQEHWYTSYIDLLQRFCLWNVSNEVVKLSTSRAVSCLNQASTTLHVNCS HCKRPMSSRGWVCDRCHRCASMCAVCHHVVKGLFVWCQGCSHGGHLQHIMKWLEGSSHCP AGCGHLCEYS >ENSMUSP00000030465.3 pep:known chromosome:GRCm38:4:116161869:116167600:-1 gene:ENSMUSG00000028699.9 transcript:ENSMUST00000030465.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan1 description:tetraspanin 1 [Source:MGI Symbol;Acc:MGI:1914055] MQCFKFIKVMMFLFNLLIFLCGAALLAVGIWVSVDGTSFLKVFGSLSSSAMQFVNVGYFL IAAGAVLFILGFLGCYGAHSENKCVLMMFFSILLIIFIAEIAGAVVALVYTTLAEQFLTL LVVPAIEKDYGYQTDFTQVWNTTMEELHCCGFNNYTDFNASRFVKENKVFPPPCCANPGN HTVEPCTEEKAKSMKVQGCFKEILHRIRANAVTVGGVAVGVAALELAAMVVSMYLYCNLK >ENSMUSP00000121906.1 pep:known chromosome:GRCm38:4:116163308:116167601:-1 gene:ENSMUSG00000028699.9 transcript:ENSMUST00000143426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan1 description:tetraspanin 1 [Source:MGI Symbol;Acc:MGI:1914055] MQCFKFIKVMMFLFNLLIFLCGAALLAVGIWVSVDGTSFLKVFGSLSSSAMQFVNVGYFL IAAGAVLFILGFLGCYGAHSENKCVLMMFFSILLIIFIAEIAGAVVALVYTTLAEQFLTL LVVPAIEKDYGYQTDFTQVWNTTMEELHCCGFNNYTDFNASRFVKENKVFPPPCCANPGN HTVEPCTEEKAKSMKVQGCFKEILHRIRANAVTVGGVAVGVAAL >ENSMUSP00000145459.1 pep:known chromosome:GRCm38:11:58625073:58626041:-1 gene:ENSMUSG00000043880.4 transcript:ENSMUST00000203173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr323 description:olfactory receptor 323 [Source:MGI Symbol;Acc:MGI:3030157] EPQNLSKVTEFQLLGFQNLLEWQSLLFAIFLCFYLLTITGNMVIICVDEDVFYMWFPPGC GHHLLRDHDLHVCAPKCTSVTGTQQGHFCLLHRGHSTAEPSYLQPEEQRLQRSCEKNREN QVWGLQSQSERKCPHYV >ENSMUSP00000068147.2 pep:known chromosome:GRCm38:11:58625073:58626044:-1 gene:ENSMUSG00000043880.4 transcript:ENSMUST00000070804.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr323 description:olfactory receptor 323 [Source:MGI Symbol;Acc:MGI:3030157] MEPQNLSKVTEFQLLGFQNLLEWQSLLFAIFLCFYLLTITGNMVIICVVSEDPRLRAPMY TFLQHLSFLEIWYTSTTVPLLLSKLASWGHMLSFPACMAQLYFFVFFGATECFLLAAMAY DRYLAICHPLHYSLLMSPDNCAALVTVSWVTGVGTGFLPSLLISKLDFCGPNRINHFFCD LPPLIQLSCSSVYVTEMAIFVLSIAVLCICFLLTLVSYVFIVSSILRIPSTSGRMKTFST CGSHLAVVTIYYGTMISMYVRPNAHLSPELNKVISVFYTVVTPLLNPVIYSLRNKDFKEA VRKIVRTKCGVYRARVKGSALTT >ENSMUSP00000020754.3 pep:known chromosome:GRCm38:11:29221532:29247409:-1 gene:ENSMUSG00000020462.14 transcript:ENSMUST00000020754.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap36 description:cilia and flagella associated protein 36 [Source:MGI Symbol;Acc:MGI:1913994] MAAEEEDEVEWVVESIAGFLRGPDWSIPILDFVEQKCEVFDDEEESKLTYTEIHQEYKEL VEKLLESYLKEIGINEDQFQEACTSPLAKTRTSQAILQPVLAAEDFTIFKAMMVQKNIEM QLQAIRIIQERNGVLPDCLTDGADVVSDLEQEEMKILREVLRKSKEEYDQEEERKRKKQS SEAKMEELPVYTSEAEKMSNSQGDGEHFVQPPSEVKVHFANQSVQPLARKMELLPETSSL TQKGLKIPGLEHASMEGPIANLSALGTEELRQREHYLKQKRDKLLSMRKDTRTKQIQNTE QKGKPTREAEEMTEKPEMTAEEKQTLLKRRLLAEKLKEEVINK >ENSMUSP00000118938.1 pep:known chromosome:GRCm38:11:29230625:29246922:-1 gene:ENSMUSG00000020462.14 transcript:ENSMUST00000134599.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap36 description:cilia and flagella associated protein 36 [Source:MGI Symbol;Acc:MGI:1913994] MGRKSFLTNEIYKCGILLKDQHQCFLIVFDDEEESKLTYTEIHQEYKELVEKLLESYLKE IGINEDQFQEACTSPLAKTRTSQAILQPVLAAEDFTIFKAMMVQKNIEMQLQAIRIIQER NGVLPDCLTDGADVVSDL >ENSMUSP00000061012.7 pep:known chromosome:GRCm38:16:96120618:96127729:-1 gene:ENSMUSG00000040681.14 transcript:ENSMUST00000050884.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn1 description:high mobility group nucleosomal binding domain 1 [Source:MGI Symbol;Acc:MGI:96120] MPKRKVSADGAAKAEPKRRSARLSAKPAPAKVDAKPKKAAGKDKASDKKVQIKGKRGAKG KQADVADQQTTELPAENGETENQSPASEEEKEAKSD >ENSMUSP00000122427.1 pep:known chromosome:GRCm38:16:96122376:96127604:-1 gene:ENSMUSG00000040681.14 transcript:ENSMUST00000133885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgn1 description:high mobility group nucleosomal binding domain 1 [Source:MGI Symbol;Acc:MGI:96120] MPKRKVSADGAAKAEKPAPAKVDAKPKKAAGKDKASDKKVQIKGKRGAKGKQADVADQQT TELPAENGETENQSPASEEEKEAKSD >ENSMUSP00000029268.5 pep:known chromosome:GRCm38:3:36475937:36482299:1 gene:ENSMUSG00000027713.6 transcript:ENSMUST00000029268.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810062G17Rik description:RIKEN cDNA 1810062G17 gene [Source:MGI Symbol;Acc:MGI:1919532] MGSSFKSCTWQCQSLRAEMVSLPNSACNFKDPRCKPPDLLHTHGRWYNPLLLQPHEQFLI SLCQRKNVGCRRKGPPGYKRPSTRCVVGRVCWPQMTHRPGIPRGHPRRTSAQKGNEEGKH ANKAFPVLV >ENSMUSP00000003312.4 pep:known chromosome:GRCm38:10:58600789:58675654:-1 gene:ENSMUSG00000003227.4 transcript:ENSMUST00000003312.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edar description:ectodysplasin-A receptor [Source:MGI Symbol;Acc:MGI:1343498] MAHVGDCKWMSWLPVLVVSLMCSAKAEDSNCGENEYHNQTTGLCQQCPPCRPGEEPYMSC GYGTKDDDYGCVPCPAEKFSKGGYQICRRHKDCEGFFRATVLTPGDMENDAECGPCLPGY YMLENRPRNIYGMVCYSCLLAPPNTKECVGATSGVSAHSSSTSGGSTLSPFQHAHKELSG QGHLATALIIAMSTIFIMAIAIVLIIMFYIMKTKPSAPACCSSPPGKSAEAPANTHEEKK EAPDSVVTFPENGEFQKLTATPTKTPKSENDASSENEQLLSRSVDSDEEPAPDKQGSPEL CLLSLVHLAREKSVTSNKSAGIQSRRKKILDVYANVCGVVEGLSPTELPFDCLEKTSRML SSTYNSEKAVVKTWRHLAESFGLKRDEIGGMTDGMQLFDRISTAGYSIPELLTKLVQIER LDAVESLCADILEWAGVVPPASPPPAAS >ENSMUSP00000102155.1 pep:known chromosome:GRCm38:4:115106323:115134281:-1 gene:ENSMUSG00000047155.13 transcript:ENSMUST00000106545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4x1 description:cytochrome P450, family 4, subfamily x, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1932403] MQAMKLYLRRQRLLRDLSPFPGPPAHWLLGHQKFLQEDNMETLDEIVKKHPCAFPCWVGP FQAFFYIYDPDYAKIFLSRTDPKMQYLHQLLTPCIGRGLLNLDGPRWFQHRCLLTPAFHQ DILKPCVDTMAHSVKVMLDKWEKMWTTQETTIEVFEHINLMTLDIIMKCAFGQETNCQIN GTYESYVKATFELGEIISSRLYNFWHHHDIIFKLSPKGHCFQELGKVIHQYTEKIIQDRK KILKNQVKQDDTQTSQIFLDIVLSAQAEDERAFSDADLRAEVNTFMWAGHDASAASISWL LYCLALNPEHQDRCRTEIRSILGDGSSITWEQLDEMSYTTMCIKETLRLIPPVPSISREL SKPLTLPDGHSLPAGMTVVLSIWGLHHNPAVWNDPKVFDPLRFTKENSDQRHPCAFLPFS SGPRNCIGQQFAMLELKVAIALILLHFQVAPDLTRPPAFSSHTVLRPKHGIYLHLKKLLE C >ENSMUSP00000059545.7 pep:known chromosome:GRCm38:4:115108682:115133977:-1 gene:ENSMUSG00000047155.13 transcript:ENSMUST00000051400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4x1 description:cytochrome P450, family 4, subfamily x, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1932403] MEASWLETRWARPLHLALVFCLALVLMQAMKLYLRRQRLLRDLSPFPGPPAHWLLGHQKF LQEDNMETLDEIVKKHPCAFPCWVGPFQAFFYIYDPDYAKIFLSRTDPKMQYLHQLLTPC IGRGLLNLDGPRWFQHRCLLTPAFHQDILKPCVDTMAHSVKVMLDKWEKMWTTQETTIEV FEHINLMTLDIIMKCAFGQETNCQINGTYESYVKATFELGEIISSRLYNFWHHHDIIFKL SPKGHCFQELGKVIHQYTEKIIQDRKKILKNQVKQDDTQTSQIFLDIVLSAQAEDERAFS DADLRAEVNTFMWAGHDASAASISWLLYCLALNPEHQDRCRTEIRSILGDGSSITWEQLD EMSYTTMCIKETLRLIPPVPSISRELSKPLTLPDGHSLPAGMTVVLSIWGLHHNPAVWND PKVFDPLRFTKENSDQRHPCAFLPFSSGPRNCIGQQFAMLELKVAIALILLHFQVAPDLT RPPAFSSHTVLRPKHGIYLHLKKLLEC >ENSMUSP00000075425.4 pep:known chromosome:GRCm38:3:88383501:88384433:-1 gene:ENSMUSG00000074483.2 transcript:ENSMUST00000076048.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bglap description:bone gamma carboxyglutamate protein [Source:MGI Symbol;Acc:MGI:88156] MRTIFLLTLLTLAALCLSDLTDAKPSGPESDKAFMSKQEGNKVVNRLRRYLGASVPSPDP LEPTREQCELNPACDELSDQYGLKTAYKRIYGITI >ENSMUSP00000023352.7 pep:known chromosome:GRCm38:16:15637889:15842235:1 gene:ENSMUSG00000022672.8 transcript:ENSMUST00000023352.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkdc description:protein kinase, DNA activated, catalytic polypeptide [Source:MGI Symbol;Acc:MGI:104779] MAEEGTGVRCWLLQLQEFLSAADRCSAAGASYQLIRSLGQECVLSTSSAVQALQISLVFS RDFGLLVFIRKSLSIEDFRDCREEALKFLCVFLEKIDQKVMHYSLDIKNTCTSVYTKDRT AKCKIPALDLLIKLLQILRSTRLMDEFKIGELFNKFYGELASKSKLPDTVLEKVYELLGV LGEVHPSEMINHSENLFRAFLGELKTQMTSTVREPKFPVLAGCLKGLSSLLCNFTKSMEE DPQTSKEIFGFTFKAIRPQIEMKRYAVPLAGLRLLTLHASQFTACLLDNYITLFEVLSKW CSHTNVELKKAAHSALESFLRQISFTVAEDAELHKSRLKYFMEQFYGIIRNTDSNNKELA IAIRGYGLFAGPCKVINAKDVDFMYVELIQRCKQMFLTHADASEDHVYQMPSFLQSIASV LLYLDTVPEVYTPVLEHLMVVQIDSFPQYSPKMQLVCCKAIIKLFLALSEKGPVHWNCIS AVVHQGLIRICSKPVVLQKDVESRSDNRSASEEVRTGRWKVPTYKDYVDLFQHLLGCDQM EDFILGDETFLFVNSSLKSLNHLLYDEFIRSVLKIVEKLDLTLEKQTVGEQEDGSTADVW VIPTSDPAANLHPAKPSDFSALINLVEFCREILPRKHVGFFEPWVYSFAYELILQSTRLP LISGFYKLLSIAVKNARKIKYFEGISPKSLKHSPEDTEKYSCFALFAKFGKEVSVKMKQY KDELLASCLTFVLSLPHDIIELDVRAYVPALQMAFKLGLSHMPLAEIGLHALKEWSVHID KSILQPYYKDILPCLDGYLNTSTLSDETKSHWGLSALSRAAQKGFNRHVVKHLKRTRNSS PDEALSLEEIRIKVVQILGSLGGQINKSLVTATSGERMKKYVAWDAERRLSFAVPFREMK PVIYLDVFLPRVTELALSASDRQTKVAACELLHSMVMFMLGRATQMPEGQGLPPMYQLYK HTFPVLLQLACDVDQVTRQLYEPLVMQLIHWLTNNKKFESQDTVALLEAILDGIVDPVDS TLRDFCGRCVQEFLKWSIKQTTPQQQEKSPVNSKSLFKRLYSLALHPNAFKRLGAALAFN HIYKEFREEGSLVEQFVFEALVTYMESLALAHEDEKSLGTVQQCCDAIDHLRRIIEKKHV SLNKAKKRRLPQGFPPLTSLCLLDLVEWLLAHCGRPQTECRHKSMELFYKFVPLLPGNKS PSLWLKDLIKKKGISFLINTFEGGASSSDQPAGILAQPTLVYLQGPISLRGVLQWLDLLL AALECYNTFIEKETVQGQEVLGAEVQSSLLKSVAFFLESIATHSARAVEQRFGSGAPGPP SLHEEEKYNYSKCTVLVRIMEFTTTLLIASPEDCKLLEKDLCNTNLMQVLVKMICEPMSL GFNIGDVQVMNHLPSICVNLLKALRKSPYRDMLETHLKEKVTVQSVEELCSINLCSSGAR QERSKLLSILSACKQLHKAGFSHVISPSQSTALNHSVGMRLLSLVYKGIVPAEERQCLQS LDPSCKSLANGLLELAFGFGGLCDHLVSLLLNSAMLSTQYLGSSQRNISFSHGEYFYSLF SEVINSELLKNLDIAVSRLMESSSDNPKMVSTVLNGMLDTSFRDRAVQKHQGLKLATAIL QNWRKCDSWWAPDSAPESKTTVLSLLAKMLQIDSALSFDTNHSSFSEIFTTYASLLADTK LGLHLKGQAIILLPFFTSLREGSLENLKHILEKLIVCNFPMKSDEFPPDSLKYNNYVDCM KKFLDALELSQSPMLFQLMTDILCREQRHIMEELFQTTFKRIARQSPCVTQLNLLESVYT MFRKADLPSNVTRQAFVDRSLLTLLWHCDLDTLKEFFSRIVVDAIDVLKSRFTKLNEFTF DTQITKKMCYYKMLAVMYSRLLKDDVHSKEAKINQAFHGSRVAEGNELTKTLLKLCHDAF TENMVGESQLLEKRRLYHCAAYNCAISLISCVFNELKFYQGFLFNEKPEKNLFIFENLID LKRCYTFPIEVEVPMERKKKYIEIRKEARDAANGASGSPHYMSSLSYLTDSSLSEEMSQF DFSTGVQSYSYSSQDRKPTTGHFQRREHQDSMTQDDIMELEMDELNQHECMAPMIALIKH MQRNVIAPKGEEGSIPKDLPPWMKFLHDKLGNASVSLNIRLFLAKLVINTEEVFRPYAKH WLSPLLQLAVCENNREGIHYMMVEIVATILSWTGLATPTGVPKDEVLANRLLRFLMKHVF HPKRAVFRHNLEIIKTLVECWKECLSIPYRLIFEKFSHKDPNSKDNSVGIQLLGIVIANN LPPYDPNCDITSAMYFEALVNNMSFVKYKEVYAAAAEVLGLILQYITERKHVIAELVCEL VIKQLKQHQNTMEDKFIVCLNKIAKGFPPLADRFLNALFFLLPKFHGVMKTLCLEVVLCR AEEITGLYLQLKSKDFLQVMRHRDDERQKVCLDIVYKMVAKLKPIELRELLNPVVEFVSH PSPTCREQMYNILMWIHDNYRDQESQNDEDSQEIFKLAKDVLIQGLIDENVGLQLIIRNF WSHETRLPSNTLDRLLALNSLYSPKIEVHFLSLATNFLLEMTRMSPDYLNPIFEHPLSEC EFQEYTIDPDWRFRSTVLTPMFIETQASPSILHTQTQEGPLSDQRQKPGQVRATQQQYDF TPTQASVERSSFDWLTGSSIDLLADHTVFSSETLSSSLLFSHKRTEKSQRMSCKSVGPDF GTKKLGLPDDEVDNQVKSGTPSQADILRLRRRFLKDREKLSLLYAKRGLMEQKLEKDIKS EFKMKQDAQVVLYRSYRHGDLPDIQIQHSGLITPLQAVAQKDPIIAKQLFSSLFSGILKE MNKFKTTSEKNIITQNLLQDFNRFLNTTFLFFPPFVSCIQEISCQHPDFLTLDPASVRVG CLASLQQPGGIRLLEEALLRLMPKEPPTKRVRGKTCLPPDVLRWMELAKLYRSIGEYDVL RGIFSSELGTTQDTQNALLAEARSDYCQAAKLYDEALNKLEWVDGEPTEAEKEFWELASL DCYNNLSKWKELEYCSTVNIVSENSLDLSKMWSEPFYQETYLPYVIRSKLKLLLQGEGNQ SLLTFVDEAMNKELQKTVLELQYSQELSLLYILQDDIDRATYYIKNGIQIFMQNYSSIDV LLYRSRLAKLQSVQTLAEIEEFLSFICKHGDLSSLGPLRRLLKTWTSRYPDVVTDPMHIW DDIITNRCFFLSKIEERLTAPSGDHSMSVDEDEESIDREVYEPKEDVRCMLQSCRFTMKM KMIESAWKQSNFSLSMKLLKEMHKESKTREIWRVQWLHSYSQLNHCRSHTQSPREQVLNM LKTITLLDESDISNYLNKNIQASCDQSILLGTTCRIMADALSREPACLSDLEENKVNSIL TLSGSNAENTETVITGLYQRAFHHLSKAVQSAEEETQLSCWGHEAAAERAHAYMTLVGFC DQQLRKVEESASQKTSAEMEAYPALVVEKMLRALKLNSSEARLKFPRLLQIIEQYSEETL NIMTKEISSIPCWQFIGWISHMMALLDKEEAIAVQHTVEEIADNYPQAIIYPFIISSESY SFKNTSSGHNNKAFVERIKSKLDHGEVIHSFINALDQLSNPDLLFKDWVSDTKDELGKNP VNKKNIEKLYERMYAALGDLRAPGLGPFRRRFIQAFGKEFVKSFGNGGSKLLTMKVDDFC KITGSLLVRMKKDSKLPGNLKEYSPWMSEFKAQFLKNELEIPGQYDGKSKPLPEYHVRIS GFDERVKVMLSLRKPKRIVIRGHDEKEYPFLVKGGEDLRQDQRIEQIFEVMNAILSQDAA CSQRNMQLRTYRVVPMTSRLGLIEWIENTMTLKDLLLSNMSQEEKVANNSDPKAPIRDYK DWLMKVSGKSDAGAYVLMYSRANRTETVVAFRRRESQVPPDLLKRAFVKMSTSPEAFLAL RSHFASSHALLCISHWLLGIGDRHLNNFMVAMETGSVIGIDFGHAFGSATQFLPVPELMP FRLTRQFVSLMLPMKETGLMCTVMVHALRAFRSCAGLLTDTMEIFVKEPSFDWKSFEQTM LRKGGSWIQEINVTEKNWYPQHKIRYAKRKLAGANPAVITCDELYLGHEASSAFRSYTAV ARGNRDYNIRAQEPESGLSEETQVKCLVDQATDPNILGRTWEGWEPWM >ENSMUSP00000134752.2 pep:known chromosome:GRCm38:15:58028243:58076425:-1 gene:ENSMUSG00000022362.13 transcript:ENSMUST00000176935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29394 description:predicted gene 29394 [Source:MGI Symbol;Acc:MGI:5580100] MLKKLWQKALQEYSSISEQLPSTNFAMKRDVQEGQARCLAHLGRHEEALEMAADLESKAT NTDHLTVVLHLHLAVFSSLQSREHTILCLHKLIALHPLNPWSWCKLAEAYLSPGPGLPAG VSPQGQKSSASSDKAVGPSSVHSGTGSLLSLPTTLPENALLSVEASGSAQENMPSLARRR EEAQMEARRKACASLLRAR >ENSMUSP00000135403.1 pep:known chromosome:GRCm38:15:58030959:58076207:-1 gene:ENSMUSG00000022362.13 transcript:ENSMUST00000177176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29394 description:predicted gene 29394 [Source:MGI Symbol;Acc:MGI:5580100] MLKKLWQWFYEETECSDDIEVLTLKKFRGDLAYRRQEYEKALQEYSSISEQLPSTNFAMK RDVQEGQARCLAHLGRHEEALEMAAD >ENSMUSP00000135111.1 pep:known chromosome:GRCm38:15:58048547:58076183:-1 gene:ENSMUSG00000022362.13 transcript:ENSMUST00000177504.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29394 description:predicted gene 29394 [Source:MGI Symbol;Acc:MGI:5580100] MLKKLWQLLKILKENKFFNSRSLNYCEWAQLILMTLKMLWGIHTLKTESNVQKKWNLMLC QSQTAAVGGSSAMYIER >ENSMUSP00000135503.1 pep:known chromosome:GRCm38:15:58048666:58076206:-1 gene:ENSMUSG00000022362.13 transcript:ENSMUST00000176076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29394 description:predicted gene 29394 [Source:MGI Symbol;Acc:MGI:5580100] MLKKLWQLLKILKENKFFNSRSLNYCEWAQLILMTLKMLWGIHTLKT >ENSMUSP00000023531.8 pep:known chromosome:GRCm38:16:36934983:36963212:1 gene:ENSMUSG00000022831.14 transcript:ENSMUST00000023531.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcls1 description:hematopoietic cell specific Lyn substrate 1 [Source:MGI Symbol;Acc:MGI:104568] MWKSVVGHDVSVSVETQGDDWDTDPDFVNDISEKEQRWGAKTIEGSGRTEHINIHQLRNK VSEEHDILKKKELESGPKASHGYGGRFGVERDRMDKSAVGHEYVADVEKHSSQTDAARGF GGKYGVERDRADKSAVGFDYKGEVEKHASQKDYSHGFGGRYGVEKDKRDKAALGYDYKGE TEKHESQRDYAKGFGGQYGIQKDRVDKSAVGFNEMEAPTTAYKKTTPIEAASSGARGLKA KFESLAEEKRKREEEEKAQQMARQQQERKAVVKMSREVQQPSMPVEEPAAPAQLPKKISS EVWPPAESHLPPESQPVRSRREYPVPSLPTRQSPLQNHLEDNEEPPALPPRTPEGLQVVE EPVYEAAPELEPEPEPDYEPEPETEPDYEDVGELDRQDEDAEGDYEDVLEPEDTPSLSYQ AGPSAGAGGAGISAIALYDYQGEGSDELSFDPDDIITDIEMVDEGWWRGQCRGHFGLFPA NYVKLL >ENSMUSP00000127186.1 pep:known chromosome:GRCm38:16:36937287:36961530:1 gene:ENSMUSG00000022831.14 transcript:ENSMUST00000164050.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hcls1 description:hematopoietic cell specific Lyn substrate 1 [Source:MGI Symbol;Acc:MGI:104568] MWKSVVGHDVSVSVETQGDDWDTDPDFVNDISEKEQRWGAKTIEGSGRTEHINIHQLRNK VSEEHDILKKKELESGPKASHGYGGRFGVERDRMDKSAVGHEYVADVEKHSSQTDAARGF GGKYGVERDRADKITLMALVAATG >ENSMUSP00000092715.2 pep:known chromosome:GRCm38:4:46343609:46346412:1 gene:ENSMUSG00000070990.2 transcript:ENSMUST00000095097.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxe1 description:forkhead box E1 [Source:MGI Symbol;Acc:MGI:1353500] MTAESAPPPPPQPETLAAVKEERGEAAAAGAGVPAEAAGRGAGGRRRKRPLQRGKPPYSY IALIAMAIAHAPERRLTLGGIYKFITERFPFYRDNPKKWQNSIRHNLTLNDCFLKIPREA GRPGKGNYWALDPNAEDMFESGSFLRRRKRFKRSDLSTYPAYMHDAAAAAAAAAAAIFPG AVPAARPAYPGAVYAGYAPPLAAPPPVYYPAASPGPCRVFGLVPERPLSPDLGPAPSAAG GSCAFAAAAGAAGTGSFQPAVCTGARPVNPAAYAAAYAGPDGAYPQGASSALFAAAAGRL AGPASPPAGGGSGGVEATVDFYGRTSPGQFGAALGPCYNPGGQLGAGGGGAYHSRHATAY PGAVDRFVSAM >ENSMUSP00000103092.1 pep:known chromosome:GRCm38:4:62262562:62297355:1 gene:ENSMUSG00000066152.11 transcript:ENSMUST00000107468.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc31a2 description:solute carrier family 31, member 2 [Source:MGI Symbol;Acc:MGI:1333844] MHFIFSDEAVLLFDFWRVHSPTGMALSVLVVLLLAVLYEGIKVGKAKLLHKTLESLPATN SQQFILGPDQDSTGSRSTSDNRTRLRWFLCYFGQSLVHVIQVVIGYFVMLAVMSYNTWIF LGVVLGSAVGYYLAYPLLNMT >ENSMUSP00000081578.2 pep:known chromosome:GRCm38:4:62286449:62298411:1 gene:ENSMUSG00000066152.11 transcript:ENSMUST00000084530.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc31a2 description:solute carrier family 31, member 2 [Source:MGI Symbol;Acc:MGI:1333844] MPMHFIFSDEAVLLFDFWRVHSPTGMALSVLVVLLLAVLYEGIKVGKAKLLHKTLESLPA TNSQQFILGPDQDSTGSRSTSDNRTRLRWFLCYFGQSLVHVIQVVIGYFVMLAVMSYNTW IFLGVVLGSAVGYYLAYPLLNMT >ENSMUSP00000103091.1 pep:known chromosome:GRCm38:4:62291547:62297477:1 gene:ENSMUSG00000066152.11 transcript:ENSMUST00000107467.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc31a2 description:solute carrier family 31, member 2 [Source:MGI Symbol;Acc:MGI:1333844] MHFIFSDEAVLLFDFWRVHSPTGMALSVLVVLLLAVLYEGIKVGKAKLLHKTLESLPATN SQQFILGPDQDSTGSRSTSDNRTRLRWFLCYFGQSLVHVIQVVIGYFVMLAVMSYNTWIF LGVVLGSAVGYYLAYPLLNMT >ENSMUSP00000137829.1 pep:known chromosome:GRCm38:19:11139664:11159178:-1 gene:ENSMUSG00000024728.13 transcript:ENSMUST00000181284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700025F22Rik description:RIKEN cDNA 1700025F22 gene [Source:MGI Symbol;Acc:MGI:1916666] MTMNILSIFATLVGLFLTTVEMIVTSSVYSTLWQYKSGRVLTEYLFLFSMLELFMASIVT EWTYRARQIE >ENSMUSP00000137806.1 pep:known chromosome:GRCm38:19:11139664:11165306:-1 gene:ENSMUSG00000024728.13 transcript:ENSMUST00000181567.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700025F22Rik description:RIKEN cDNA 1700025F22 gene [Source:MGI Symbol;Acc:MGI:1916666] MTMNILSIFATLVGLFLTTVEMIVTSSVYSTLWQYKSGRVLTEYLFLFSMLELFMASIVT EWTYRARQIE >ENSMUSP00000137968.1 pep:known chromosome:GRCm38:19:11139664:11165306:-1 gene:ENSMUSG00000024728.13 transcript:ENSMUST00000180678.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700025F22Rik description:RIKEN cDNA 1700025F22 gene [Source:MGI Symbol;Acc:MGI:1916666] MTMNILSIFATLVGLFLTTVEMIVTSSVYSTLWQYKSGRVLTEYLFLFSMLELFMASIVT EWTYRARQIE >ENSMUSP00000077828.4 pep:known chromosome:GRCm38:19:11139664:11165320:-1 gene:ENSMUSG00000024728.13 transcript:ENSMUST00000078770.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700025F22Rik description:RIKEN cDNA 1700025F22 gene [Source:MGI Symbol;Acc:MGI:1916666] MNMPQNRLWIKKELRVLGFSLSGSLSIILQKRPSNHTLIWTMTMNILSIFATLVGLFLTT VEMIVTSSVYSTLWQYKSGRVLTEYLFLFSMLELFMASIVTEWTYRARQIE >ENSMUSP00000036279.3 pep:known chromosome:GRCm38:11:72027963:72037489:-1 gene:ENSMUSG00000040554.10 transcript:ENSMUST00000048207.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aipl1 description:aryl hydrocarbon receptor-interacting protein-like 1 [Source:MGI Symbol;Acc:MGI:2148800] MDVSLLLNVEGVKKTILHGGTGELPSFITGSRVTFHFRTMKCDDERTVIDDSKQVGQPMS IIIGNMFKLEVWETLLTSMRLGEVAEFWCDTIHTGVYPMLSRSLRQVAEGKDPTSWHVHT CGLANMFAYHTLGYEDLDELQKEPQPLVFLIELLQVEAPNEYQRETWNLNNEERMQAVPL LHGEGNRLYKLGRYDQAATKYQEAIVCLRNLQTKEKPWEVEWLKLEKMINTLILNYCQCL LKKEEYYEVLEHTSDILRHHPGIVKAYYMRARAHAEVWNAEEAKADLEKVLELEPSMRKA VLRELRLLESRLADKQEEERQRCRSMLG >ENSMUSP00000061957.3 pep:known chromosome:GRCm38:11:72028717:72037509:-1 gene:ENSMUSG00000040554.10 transcript:ENSMUST00000059082.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aipl1 description:aryl hydrocarbon receptor-interacting protein-like 1 [Source:MGI Symbol;Acc:MGI:2148800] MDVSLLLNVEGVKKTILHGGTGELPSFITGSRVTFHFRTMKCDDERTVIDDSKQVGQPMS IIIGNMFKLEVWETLLTSMRLGEVAEFWCDTIHTGVYPMLSRSLRQVAEGKDPTSWHVHT CGLANMFAYHTLGYEDLDELQKEPQPLVFLIELLQDFYSIGHLLPFLQDLELRPLPWSL >ENSMUSP00000098220.3 pep:known chromosome:GRCm38:15:58022259:58034315:-1 gene:ENSMUSG00000101892.1 transcript:ENSMUST00000100655.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130401M01Rik description:RIKEN cDNA 9130401M01 gene [Source:MGI Symbol;Acc:MGI:1923008] METGCWVLGGEFEDSVFEQRPERRPEPPSPYRAKLCEPQWFYEETECSDDIEVLTLKKFR GDLAYRRQEYEKALQEYSSISEQLPSTNFAMKRDVQEGQARCLAHLGRHEEALEMAADLE SKATNTDHLTVVLHLHLAVFSSLQSREHTILCLHKLIALHPLNPWSWCKLAEAYLSPGPG LPAGVSPQGQKSSASSDKAVGPSSVHSGTGSLLSLPTTLPENALLSVEASGSAQENMPSL ARRREEAQMEARRKACASLLRARLLLQLAQSQQTSFALEKNLRTQQEIAGKVKDFNFRED TLLLMEEAMGEDIVPEKIKEELHSEVKCVGPGALTAMVVASSKEFEDKWFRKIKDHFCPL GNQFHVEVEIIA >ENSMUSP00000141147.1 pep:known chromosome:GRCm38:15:58028812:58034190:-1 gene:ENSMUSG00000101892.1 transcript:ENSMUST00000189159.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:9130401M01Rik description:RIKEN cDNA 9130401M01 gene [Source:MGI Symbol;Acc:MGI:1923008] XCWVLGGEFEDSVFEQRPERRPEPPSPYRAKLCEPQCF >ENSMUSP00000140805.1 pep:known chromosome:GRCm38:15:58030956:58034325:-1 gene:ENSMUSG00000101892.1 transcript:ENSMUST00000185553.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9130401M01Rik description:RIKEN cDNA 9130401M01 gene [Source:MGI Symbol;Acc:MGI:1923008] METGCWVLGGEFEDSVFEQRPERRPEPPSPYRAKLCEPQKALQEYSSISEQLPSTNFAMK RDVQEGQARCLAHLGRHEEALEMAADL >ENSMUSP00000074594.4 pep:known chromosome:GRCm38:11:58640465:58652404:1 gene:ENSMUSG00000037124.6 transcript:ENSMUST00000075084.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim58 description:tripartite motif-containing 58 [Source:MGI Symbol;Acc:MGI:2684862] MATAPGERLQEEARCSVCLDFLQEPISVDCGHSFCLRCISEFCEKSDSAQGVYACPQCRG PFRPASFRPNRQLASLVDSVRQLGLGTGHAGSRQCARHGEDLSHFCEEDQTMLCWVCDTS PEHRSHRTETLQEAASRYQRMLRASLELVKKEMEEALTQEANVGKKTIIWKEKVEMQRQR FRLEFEKHRGFLAQEEQLQLRRLEEEERATLQRLRDSRNRLAQQNKALKELAEELEERSQ RPAPGLLEGARGVLTRCEAITRLEPEAVPMDLKTVCRIPGMREMLRKFQVDVKLDPATAH PSLLLTADLRSVQDAEVWRDVPSNPERFDTWPCILGLQGFSSGRHYWEVIVGERAEWGLG VCRDSVLRKGETTPSPENGVWAMWLLRGNEYMVLSSPSVPVLQDERPRRIGIFLDYEAGE ISFYNVTNGSYIYTFNHLFSGVLRPYFFVCDTTPLILPPMTEAAPGNWTPRGIFDLAAAA RNEEY >ENSMUSP00000134344.1 pep:known chromosome:GRCm38:10:41303980:41348269:1 gene:ENSMUSG00000091415.4 transcript:ENSMUST00000173517.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak9 description:adenylate kinase 9 [Source:MGI Symbol;Acc:MGI:2685080] MASEEKTEELHPFTDIFNEDETDRNCLLSKPTCFIIFGKPGAGKTTLARNIAQAWKCIRV EALSVLEEHIAAEKETGAMLQSLLVSGHSIPDELVTKLILEKIKSPEVAHFGYILTEMPS LAQDNMTSLKQIELVKNLELQPDIIINIKCSDYDLCQRTCGQRQHSTTGYVYTREQWDPE IIESRRRKKRDFPKEGKSEEEEEEEEQEEEEAFIAEMQMVAEILQHLVQRPEDYLENVEI TVKLYKELLLSALEEVMAEHNPQYLIELDGNKSPEELFMTVIERLKYLNVRRAAVITKLQ GTEEEMTDIIDTEELFRTVSSYKLIAPRYRWYRSKWARSCPVSLKDGNIYSGAADYTVSF LGKMYCLSSEETLKLFSLNPRPFLLPPMPLPPCKVFIFGPEHIGKTTLANLIAEHFKAKV IDYAKLVQPRFDSAREKLIKDTITEATNTAIKVVQQRLLNEKQAKQQEERTLKELQVQYS KREYNDFLSKSSEELPSLENTGSKLSSLEIGQEDKSKSETTITGDQVKD >ENSMUSP00000134177.1 pep:known chromosome:GRCm38:10:41351711:41434534:1 gene:ENSMUSG00000091415.4 transcript:ENSMUST00000173494.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ak9 description:adenylate kinase 9 [Source:MGI Symbol;Acc:MGI:2685080] XESIEEVTENHPEVFAILEDTLRHAKELNFEQPHDKQAEILEEVIKETEDNKNRFPGAPK HGGWIVENFPLVRELWLSLIEKALLPDLVVYLSDAESNGKHVLNRLYLKNKAEIDGKILE RLQEEAQAKKREEEEIRKVKEEELRLEEEKQRLMELATKKSKGRHRLTTLVAHHLALPYP DYPDNEAEEEVEDSEIHEESEAQEIPEYTRGSSLPEASETSETPEGDHEPEAEFKPPGDT AVEAETEKDPKEGLGSEDLLKVMLPEFPEDGYPNVPEMEPLKEVLNNHILSWKQLEQTIM DNVVSTLTVDVSNKTPQELLQRVVETMERPFKYSAWELNAEDYEEEAEDYQAETEIDEEQ EEEEEEEEEGEEKIKEKRRHMGDTKHFCPVVLKENFVLQPGNPDEAVKYREKIYYFSSTE AKEKFLEHPEDYVSQNEPLKAPPLRICLLGPHGSGKTVCARKLAENFGIFHIQFDEFLQE KMLLKAERKFGPEFEDDSEEEQLVKQELEELAAQANVKIEEDTTKKQLPDVQLTEEEEAI KLSLTDNEPLPSEILDSILSEWWLKEPIRSTGFILDGFPRHPEEAQFLGERGFFPDAAVI IQVDDQDIFDRLLPAQVQKWKTKQHKKLERKKLIKDLKTKIKEDMVAKRRAELILEREKK RREDGTFRDEDEFSDEDADYEDDIENILEEEFPKDEEEMSEEDEEQEADATERLRNELGE KFETETNNIQSIQDEFDKVLIPIIMVNGARKMHIVQYVMNMKLKPLVENRESIFEKCYPV SSHLAHKMLSYTYKHMSSFGYWDPVKLSEGETIKPVENAENPLNPVIHRHYIYFLSNKQT KEKFMMNPIKYIRQPKPKATMPVRIMIVGPPKSGKTTVAKKLASDYGLRCLSVGDALRGM LNNHPDSELSLMLNWHLHKGKTVPDELAIQALDISLMESVCNTIGVVIDGYPVTPHQMDL LEARSIIPMVIFELHVPSKEIFKRLLLEKKTEQSMSYPLHNSSQIIAYKNAKYHKNINEI RQFYQKQHQNWHVIDGFHSKWWVWNEVLKDIQQMNKYIQTYMKKIKEGKAACIDKLCITP EELIARLGEFKQFCPVSLAESNELIDCSVTSSLEFAAEFRGHYYKMSSQEKLNKFLKDPE LYVPPLAPHPLPPDDLLPKRLTLSELKSRFPKCAELQGYCPVTYQDGKQRYEALVPGNIR YALEYRNCIYICESEEKLQKFMRSPMQYWNQKLPRKLPPLKESIHLTSLPLPGYLEQGTA TSLIKAMNAAGNLKPKLPFLSVRRSVLLYIAFHLKAFNPKGSEYSRKKYKKKLEQFVERC ELITYLSSKMTRKYKEPQFRAIDFDHKLQTFLSLKNIDPVTG >ENSMUSP00000118907.1 pep:known chromosome:GRCm38:19:33719670:33769142:-1 gene:ENSMUSG00000087303.2 transcript:ENSMUST00000147153.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipo2 description:lipase, member O2 [Source:MGI Symbol;Acc:MGI:3644466] MLWLLRTMCLIQVLGKIFCLFGPKKNPEAHMNVSEIIKHWDYPSEEYEVVTDDGYILPIN RIPHGKNNAKSPAPKMVVFCQHGLLATPGAWVSNPPVNSLAFILADAGYDVWMGSSRGST WAKKHVTLNPDSKEFWDFSFDQMIKYDLPATINFILDKTGQKQIYYIGHSQGTLLAIGAF ATNQKLAEKIKLNILLAPIYSVQHSKGISHLASYLTPTTIKLLFGEKEFLPTVVFSEVGA CVCNINFFTAICAAIMGSMGGYSPEELNKSRLDVYVKLNLAGTSVKVLIHYNQVGRSGIL QAYDWGSPSLNMRHYNQTTPPVYNVEDMKVPTAMFTGLKDFLSDPEDVEILKPKIHNLTY LKTIPDFSHFDFIWGLNTREEVSEEILTILRKYDGDYI >ENSMUSP00000029667.6 pep:known chromosome:GRCm38:1:21398403:21961581:-1 gene:ENSMUSG00000028033.16 transcript:ENSMUST00000029667.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq5 description:potassium voltage-gated channel, subfamily Q, member 5 [Source:MGI Symbol;Acc:MGI:1924937] MPRHHAGGEEGGAAGLWVRSGAAAAAGAGGGRPGSGMKDVESGRGRVLLNSAAARGDGLL LLGTRAAALGGGGGGLRESRRGKQGARMSLLGKPLSYTSSQSCRRNVKYRRVQNYLYNVL ERPRGWAFVYHAFVFLLVFGCLILSVFSTIPEHTKLASSCLLILEFVMIVVFGLEFIIRI WSAGCCCRYRGWQGRLRFARKPFCVIDTIVLIASIAVVSAKTQGNIFATSALRSLRFLQI LRMVRMDRRGGTWKLLGSVVYAHSKELITAWYIGFLVLIFSSFLVYLVEKDANKEFSTYA DALWWGTITLTTIGYGDKTPLTWLGRLLSAGFALLGISFFALPAGILGSGFALKVQEQHR QKHFEKRRNPAANLIQCVWRSYAADEKSVSIATWKPHLKALHTCSPTKKEQGEASSSQKL SFKERVRMASPRGQSIKSRQASVGDRRSPSTDITAEGSPTKVQKSWSFNDRTRFRPSLRL KSSQPKPVIDADTALGIDDVYDEKGCQCDVSVEDLTPPLKTVIRAIRIMKFHVAKRKFKE TLRPYDVKDVIEQYSAGHLDMLCRIKSLQTRVDQILGKGQMTSDKKSREKITAEHETTDD PSMLARVVKVEKQVQSIESKLDCLLDIYQQVLRKGSASALTLASFQIPPFECEQTSDYQS PVDSKDLSGSAQNSGCLTRSASANISRGLQFILTPNEFSAQTFYALSPTMHSQATQVPMS QNDGSSVVATNNIANQISAAPKPAAPTTLQIPPPLSAIKHLSRPEPLLSNPTGLQESISD VTTCLVASKESVQFAQSNLTKDRSLRKSFDMGGETLLSVRPMVPKDLGKSLSVQNLIRST EELNLQFSGSESSGSRGSQDFYPKWRESKLFITDEEVGAEETETDTFDGTPPPAGEAAFS SDSLRTGRSRSSQNICKTGDSTDALSLPHVKLN >ENSMUSP00000110955.1 pep:known chromosome:GRCm38:1:21398408:21961942:-1 gene:ENSMUSG00000028033.16 transcript:ENSMUST00000115300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq5 description:potassium voltage-gated channel, subfamily Q, member 5 [Source:MGI Symbol;Acc:MGI:1924937] MPRHHAGGEEGGAAGLWVRSGAAAAAGAGGGRPGSGMKDVESGRGRVLLNSAAARGDGLL LLGTRAAALGGGGGGLRESRRGKQGARMSLLGKPLSYTSSQSCRRNVKYRRVQNYLYNVL ERPRGWAFVYHAFVFLLVFGCLILSVFSTIPEHTKLASSCLLILEFVMIVVFGLEFIIRI WSAGCCCRYRGWQGRLRFARKPFCVIDTIVLIASIAVVSAKTQGNIFATSALRSLRFLQI LRMVRMDRRGGTWKLLGSVVYAHSKELITAWYIGFLVLIFSSFLVYLVEKDANKEFSTYA DALWWGTITLTTIGYGDKTPLTWLGRLLSAGFALLGISFFALPAGILGSGFALKVQEQHR QKHFEKRRNPAANLIQCVWRSYAADEKSVSIATWKPHLKALHTCSPTKKEQGEASSSKFC SNKQKFFRVYTSRKQSQKLSFKERVRMASPRGQSIKSRQASVGDRRSPSTDITAEGSPTK VQKSWSFNDRTRFRPSLRLKSSQPKPVIDADTALGIDDVYDEKGCQCDVSVEDLTPPLKT VIRAIRIMKFHVAKRKFKETLRPYDVKDVIEQYSAGHLDMLCRIKSLQTRVDQILGKGQM TSDKKSREKITAEHETTDDPSMLARVVKVEKQVQSIESKLDCLLDIYQQVLRKGSASALT LASFQIPPFECEQTSDYQSPVDSKDLSGSAQNSGCLTRSASANISRGLQFILTPNEFSAQ TFYALSPTMHSQATQVPMSQNDGSSVVATNNIANQISAAPKPAAPTTLQIPPPLSAIKHL SRPEPLLSNPTGLQESISDVTTCLVASKESVQFAQSNLTKDRSLRKSFDMGGETLLSVRP MVPKDLGKSLSVQNLIRSTEELNLQFSGSESSGSRGSQDFYPKWRESKLFITDEEVGAEE TETDTFDGTPPPAGEAAFSSDSLRTGRSRSSQNICKTGDSTDALSLPHVKLN >ENSMUSP00000134166.1 pep:known chromosome:GRCm38:1:21402163:21961581:-1 gene:ENSMUSG00000028033.16 transcript:ENSMUST00000173058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq5 description:potassium voltage-gated channel, subfamily Q, member 5 [Source:MGI Symbol;Acc:MGI:1924937] MPRHHAGGEEGGAAGLWVRSGAAAAAGAGGGRPGSGMKDVESGRGRVLLNSAAARGDGLL LLGTRAAALGGGGGGLRESRRGKQGARMSLLGKPLSYTSSQSCRRNVKYRRVQNYLYNVL ERPRGWAFVYHAFVFLLVFGCLILSVFSTIPEHTKLASSCLLILEFVMIVVFGLEFIIRI WSAGCCCRYRGWQGRLRFARKPFCVIDTIVLIASIAVVSAKTQGNIFATSALRSLRFLQI LRMVRMDRRGGTWKLLGSVVYAHSKELITAWYIGFLVLIFSSFLVYLVEKDANKEFSTYA DALWWGTITLTTIGYGDKTPLTWLGRLLSAGFALLGISFFALPAGILGSGFALKVQEQHR QKHFEKRRNPAANLIQCVWRSYAADEKSVSIATWKPHLKALHTCSPTKKEQGEASSRIMK FHVAKRKFKETLRPYDVKDVIEQYSAGHLDMLCRIKSLQTRVDQILGKGQMTSDKKSREK ITAEHETTDDPSMLARVVKVEKQVQSIESKLDCLLDIYQQVLRKGSASALTLASFQIPPF ECEQTSDYQSPVDSKDLSGSAQNSGCLTRSASANISRGLQFILTPNEFSAQTFYALSPTM HSQATQVPMSQNDGSSVVATNNIANQISAAPKPAAPTTLQIPPPLSAIKHLSRPEPLLSN PTGLQESISDVTTCLVASKESVQFAQSNLTKDRSLRKSFDMGGETLLSVRPMVPKDLGKS LSVQNLIRSTEELNLQFSGSESSGSRGSQDFYPKWRESKLFITDEEVGAEETETDTFDGT PPPAGEAAFSSDSLRTGRSRSSQNICKTGDSTDALSLPHVKLN >ENSMUSP00000134389.1 pep:known chromosome:GRCm38:1:21402163:21961581:-1 gene:ENSMUSG00000028033.16 transcript:ENSMUST00000174183.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kcnq5 description:potassium voltage-gated channel, subfamily Q, member 5 [Source:MGI Symbol;Acc:MGI:1924937] MPRHHAGGEEGGAAGLWVRSGAAAAAGAGGGRPGSGMKDVESGRGRVLLNSAAARGDGLL LLGTRAAALGGGGGGLRESRRGKQGARMSLLGKPLSYTSSQSCRRNVKYRRVQNYLYNVL ERPRGWAFVYHAFVFLLVFGCLILSVFSTIPEHTKLASSCLLILIPLFSSLQ >ENSMUSP00000134076.1 pep:known chromosome:GRCm38:1:21402163:21961581:-1 gene:ENSMUSG00000028033.16 transcript:ENSMUST00000173404.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnq5 description:potassium voltage-gated channel, subfamily Q, member 5 [Source:MGI Symbol;Acc:MGI:1924937] MPRHHAGGEEGGAAGLWVRSGAAAAAGAGGGRPGSGMKDVESGRGRVLLNSAAARGDGLL LLGTRAAALGGGGGGLRESRRGKQGARMSLLGKPLSYTSSQSCRRNVKYRRVQNYLYNVL ERPRGWAFVYHAFVFLLVFGCLILSVFSTIPEHTKLASSCLLILEFVMIVVFGLEFIIRI WSAGCCCRYRGWQGRLRFARKPFCVIDTIVLIASIAVVSAKTQGNIFATSALRSLRFLQI LRMVRMDRRGGTWKLLGSVVYAHSKELITAWYIGFLVLIFSSFLVYLVEKDANKEFSTYA DALWWGTITLTTIGYGDKTPLTWLGRLLSAGFALLGISFFALPAGILGSGFALKVQEQHR QKHFEKRRNPAANLIQCVWRSYAADEKSVSIATWKPHLKALHTCSPTNQKLSFKERVRMA SPRGQSIKSRQASVGDRRSPSTDITAEGSPTKVQKSWSFNDRTRFRPSLRLKSSQPKPVI DADTALGIDDVYDEKGCQCDVSVEDLTPPLKTVIRAIRIMKFHVAKRKFKETLRPYDVKD VIEQYSAGHLDMLCRIKSLQTRVDQILGKGQMTSDKKSREKITAEHETTDDPSMLARVVK VEKQVQSIESKLDCLLDIYQQVLRKGSASALTLASFQIPPFECEQTSDYQSPVDSKDLSG SAQNSGCLTRSASANISRGLQFILTPNEFSAQTFYALSPTMHSQATQVPMSQNDGSSVVA TNNIANQISAAPKPAAPTTLQIPPPLSAIKHLSRPEPLLSNPTGLQESISDVTTCLVASK ESVQFAQSNLTKDRSLRKSFDMGGETLLSVRPMVPKDLGKSLSVQNLIRSTEELNLQFSG SESSGSRGSQDFYPKWRESKLFITDEEVGAEETETDTFDGTPPPAGEAAFSSDSLRTGRS RSSQNICKTGDSTDALSLPHVKLN >ENSMUSP00000134844.1 pep:known chromosome:GRCm38:15:58047003:58076245:-1 gene:ENSMUSG00000022361.14 transcript:ENSMUST00000175805.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zhx1 description:zinc fingers and homeoboxes 1 [Source:MGI Symbol;Acc:MGI:109271] MASRRKSTTPCMVLASEQDPDLELISDLDEGPPILTPVENAKAESVSSDEEVHGSVDSDN QQNKKVEGGYECKYCTFQTPDLNMFTFHVDSEHPNVVLNSSYVCVECNFLTKRYDALSEH NLKYHPGEENFKLTMVKRNNQTIFEQTINDLTFDGSFVKEENTEQGESIDVSSSGISISK TPIMKMMKNKVENKRITVHHNSAEGTSEEKENGVKASQEENAESVSSSALESNTSTSTIN RVHPSPASTVVTPTAVLPGLAQVITAVSAQQNSNLLPKVLIPVNSIPTYNAALDNNPLLL NTYNKFPYPTMSEITVLSAQAKYTEEQIKIWFSAQRLKHGVSWTPEEVEEARRKQFNGTV HTVPQTITVIPTHISTGSNGLPSILQTCQIVGQPGLVLTQVAGTNTLPVTAPIALTVAGV PNQTNVQKSQVPAAQPATDTKPATAAVPSSPSVRPEAALVNPDSFGIRAKKTKEQLAELK VSYLKNQFPHDSEIIRLMKITGLTKGEIKKWFSDTRYNQRNSKSNQCLHLNNDSSATIII DSSDETPEPPAAAASQQKQSWNPFPDFAPQKFKEKTAEQLRALQASFLNSSVLTDEEVNR LRAQTKLTRREIDAWFTEKNKTKALKDEKIEVDESNVGSSKEEPGESSPGDETVAPKSGG TGKICKKTPEQLHMLKSAFVRTQWPSAEEYDKLAEESGLARTDIVSWFGDTRYAWKNGNL KWYYYYQSSNSSSLNGLSSLRRRGRGRPKGRGRGRPRGRPRGGKRMNTWDRVPSLIKFKT GTAILKDYYLKHKFLNEQDLDELVNRSHMGYEQVREWFAERQRRSELGIELFEENEEEDE VVDDQEEDEEETDDSDTWEPPRHVKRKLSKSDD >ENSMUSP00000066201.6 pep:known chromosome:GRCm38:15:58047305:58076469:-1 gene:ENSMUSG00000022361.14 transcript:ENSMUST00000070143.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zhx1 description:zinc fingers and homeoboxes 1 [Source:MGI Symbol;Acc:MGI:109271] MASRRKSTTPCMVLASEQDPDLELISDLDEGPPILTPVENAKAESVSSDEEVHGSVDSDN QQNKKVEGGYECKYCTFQTPDLNMFTFHVDSEHPNVVLNSSYVCVECNFLTKRYDALSEH NLKYHPGEENFKLTMVKRNNQTIFEQTINDLTFDGSFVKEENTEQGESIDVSSSGISISK TPIMKMMKNKVENKRITVHHNSAEGTSEEKENGVKASQEENAESVSSSALESNTSTSTIN RVHPSPASTVVTPTAVLPGLAQVITAVSAQQNSNLLPKVLIPVNSIPTYNAALDNNPLLL NTYNKFPYPTMSEITVLSAQAKYTEEQIKIWFSAQRLKHGVSWTPEEVEEARRKQFNGTV HTVPQTITVIPTHISTGSNGLPSILQTCQIVGQPGLVLTQVAGTNTLPVTAPIALTVAGV PNQTNVQKSQVPAAQPATDTKPATAAVPSSPSVRPEAALVNPDSFGIRAKKTKEQLAELK VSYLKNQFPHDSEIIRLMKITGLTKGEIKKWFSDTRYNQRNSKSNQCLHLNNDSSATIII DSSDETPEPPAAAASQQKQSWNPFPDFAPQKFKEKTAEQLRALQASFLNSSVLTDEEVNR LRAQTKLTRREIDAWFTEKNKTKALKDEKIEVDESNVGSSKEEPGESSPGDETVAPKSGG TGKICKKTPEQLHMLKSAFVRTQWPSAEEYDKLAEESGLARTDIVSWFGDTRYAWKNGNL KWYYYYQSSNSSSLNGLSSLRRRGRGRPKGRGRGRPRGRPRGGKRMNTWDRVPSLIKFKT GTAILKDYYLKHKFLNEQDLDELVNRSHMGYEQVREWFAERQRRSELGIELFEENEEEDE VVDDQEEDEEETDDSDTWEPPRHVKRKLSKSDD >ENSMUSP00000135230.1 pep:known chromosome:GRCm38:15:58052980:58076214:-1 gene:ENSMUSG00000022361.14 transcript:ENSMUST00000177276.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zhx1 description:zinc fingers and homeoboxes 1 [Source:MGI Symbol;Acc:MGI:109271] MASRRKSTTPCMVLASEQDPDLELISDLDEGPPILTPVENAKAESVSSDEEVHGSVDSDN QQNKKVEGGYECKYCTFQTPDLNMFTFHVDSEHPNVVLNSSYVCVECNFLTKRYDALSEH NLKYHPGEENFKLTMVKRNNQTIFEQTINDLTFDGSFVKEENTEQGESIDVSSSGISISK TPIMKMMKNKVENKRITVHHNSAEGTSEEKENGVKASQEENAESVSSSALESNTSTSTIN RVHPSPASTVVTPTAVLPGLAQVITAVSAQQNSNLLPKVLIPVNSIPTYNAALDNNPLLL NTYNKFPYPTMSEITVLSAQAKYTEEQIKIWFSAQRLKHGVSWTPEEVEEARRKQFNGTV HTVPQTITVIPTHISTGSNGLPSILQTCQIVGQPGLVLTQVAGTNTLPVTAPIALTVAGV PNQTNVQKSQVPAAQPATDTKPATAAVPSSPSVRPEAALVNPDSFGIRAKKTKEQLAELK VSYLKNQFPHDSEIIRLMKITGLTKGEIKKWFSDTRYNQRNSKSNQCLHLNNDSSATIII DSSDETPEPPAAAASQQKQSWNPFPDFAPQKFKEKTAEQLRALQASFLNSSVLTDEEVNR LRAQTKLTRREIDAWFTEKNKTK >ENSMUSP00000105797.1 pep:known chromosome:GRCm38:15:58047003:58076489:-1 gene:ENSMUSG00000022361.14 transcript:ENSMUST00000110168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zhx1 description:zinc fingers and homeoboxes 1 [Source:MGI Symbol;Acc:MGI:109271] MASRRKSTTPCMVLASEQDPDLELISDLDEGPPILTPVENAKAESVSSDEEVHGSVDSDN QQNKKVEGGYECKYCTFQTPDLNMFTFHVDSEHPNVVLNSSYVCVECNFLTKRYDALSEH NLKYHPGEENFKLTMVKRNNQTIFEQTINDLTFDGSFVKEENTEQGESIDVSSSGISISK TPIMKMMKNKVENKRITVHHNSAEGTSEEKENGVKASQEENAESVSSSALESNTSTSTIN RVHPSPASTVVTPTAVLPGLAQVITAVSAQQNSNLLPKVLIPVNSIPTYNAALDNNPLLL NTYNKFPYPTMSEITVLSAQAKYTEEQIKIWFSAQRLKHGVSWTPEEVEEARRKQFNGTV HTVPQTITVIPTHISTGSNGLPSILQTCQIVGQPGLVLTQVAGTNTLPVTAPIALTVAGV PNQTNVQKSQVPAAQPATDTKPATAAVPSSPSVRPEAALVNPDSFGIRAKKTKEQLAELK VSYLKNQFPHDSEIIRLMKITGLTKGEIKKWFSDTRYNQRNSKSNQCLHLNNDSSATIII DSSDETPEPPAAAASQQKQSWNPFPDFAPQKFKEKTAEQLRALQASFLNSSVLTDEEVNR LRAQTKLTRREIDAWFTEKNKTKALKDEKIEVDESNVGSSKEEPGESSPGDETVAPKSGG TGKICKKTPEQLHMLKSAFVRTQWPSAEEYDKLAEESGLARTDIVSWFGDTRYAWKNGNL KWYYYYQSSNSSSLNGLSSLRRRGRGRPKGRGRGRPRGRPRGGKRMNTWDRVPSLIKFKT GTAILKDYYLKHKFLNEQDLDELVNRSHMGYEQVREWFAERQRRSELGIELFEENEEEDE VVDDQEEDEEETDDSDTWEPPRHVKRKLSKSDD >ENSMUSP00000038841.2 pep:known chromosome:GRCm38:3:61361638:61368430:1 gene:ENSMUSG00000036894.3 transcript:ENSMUST00000049064.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap2b description:RAP2B, member of RAS oncogene family [Source:MGI Symbol;Acc:MGI:1921262] MREYKVVVLGSGGVGKSALTVQFVTGSFIEKYDPTIEDFYRKEIEVDSSPSVLEILDTAG TEQFASMRDLYIKNGQGFILVYSLVNQQSFQDIKPMRDQIIRVKRYERVPMILVGNKVDL EGEREVSYGEGKALAEEWSCPFMETSAKNKASVDELFAEIVRQMNYAAQPNGDEGCCSAC VIL >ENSMUSP00000070815.4 pep:known chromosome:GRCm38:2:26953563:26964386:-1 gene:ENSMUSG00000052406.14 transcript:ENSMUST00000064244.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rexo4 description:REX4, RNA exonuclease 4 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2684957] MKTKATAPVPQPGPIRKLARKKAKKKFRKSKALAGSGVPGSHPAAVAGRPPKAPENFSQN WKALQELLKQKSQAAEKPLVSQMDDKMHPQIIQQNRKKASDKSKGDKQRTEKAKSTRGSV TSAPTDRKILVPPTDTSGTEQKKGAKKRTYSDISSHQGALKPKWKATEAAVILNQPTPTE EDIWFDDVDPDDIEDAIGPEAAMLVRKRLGQKKRTISLEKEQAFGGLTKALALDCEMVGV GPKGEESIAARVSIVNQYGKCVYDKYVKPTEPVTDYRTAVSGIRPENLKQGEEFEVVKKE VAEMLKGRILVGHALHNDLKVLFLDHPKKKIRDTQKFKPFRSRVKSGRPSLKRLSEKILG IRVQQAEHCSIQDAQAAMRLYVMVKREWESSTANRRAPAATPQHRIKNS >ENSMUSP00000121599.1 pep:known chromosome:GRCm38:2:26954541:26964279:-1 gene:ENSMUSG00000052406.14 transcript:ENSMUST00000136710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rexo4 description:REX4, RNA exonuclease 4 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2684957] MKTKATAPVPQPGPIRKLARKKAKKKFRKSKALAGSGVPGSHPAAVAGRPPKAPENFSQN WKALQELLKQKSQAAEKPLVSQMDDKMHPQIIQQNRKKASDKSKGDKQRTEKAKSTRGSV TSAPTDRKILVPPTDTSGTEQKKGAKKRTYSDISSHQGALKPKWKATEAAVILNQPTPTE EDIWFDDVDPDDIEDAIGPEAAMLVRKRLGQKKRTISLEKEQAFGGLTKALALDCEMVGV GPKGEESIAARVSIVNQYGKCVYDKYVKPTEPVTDYRTAVSGIRPENLKQGEEFEVVKKE VAEMLKGRILVGHALHNDLKSGRPSLKRLSEKILGIRVQQAEHCSIQDAQAAMRLYVMVK REW >ENSMUSP00000109653.3 pep:known chromosome:GRCm38:2:26953566:26964386:-1 gene:ENSMUSG00000052406.14 transcript:ENSMUST00000114020.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rexo4 description:REX4, RNA exonuclease 4 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2684957] MCACAVAGVAVSSLVVPTAESGEMKTKATAPVPQPGPIRKLARKKAKKKFRKSKALAGSG VPGSHPAAVAGRPPKAPENFSQNWKALQELLKQKSQAAEKPLVSQMDDKMHPQIIQQNRK KASDKSKGDKQRTEKAKSTRGSVTSAPTDRKILVPPTDTSGTEQKKGAKKRTYSDISSHQ GALKPKWKATEAAVILNQPTPTEEDIWFDDVDPDDIEDAIGPEAAMLVRKRLGQKKRTIS LEKEQAFGGLTKALALDCEMVGVGPKGEESIAARVSIVNQYGKCVYDKYVKPTEPVTDYR TAVSGIRPENLKQGEEFEVVKKEVAEMLKGRILVGHALHNDLKVLFLDHPKKKIRDTQKF KPFRSRVKSGRPSLKRLSEKILGIRVQQAEHCSIQDAQAAMRLYVMVKREWESSTANRRA PAATPQHRIKNS >ENSMUSP00000071913.3 pep:known chromosome:GRCm38:11:58665561:58666544:1 gene:ENSMUSG00000063549.3 transcript:ENSMUST00000072030.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr322 description:olfactory receptor 322 [Source:MGI Symbol;Acc:MGI:3030156] MGATNDSTFSHFILIGFSDRPELERVLFAIILPAYLLTLLGNSIIILVSRLDPHLHTPMY FFLTHLSFLDLSFTSSSIPQLLYNLSGPDKTISYVGCALQLVLFLGLGGVECLLLAVMAY DRFVAVCKPLHYMVIMSPRLCVGLVSVAWSCGVANSLAMSPVTLSLPRCGHRRVDHFLCE MPALIRTACVNTAAVEGTVFVLAIGIVLSPLVFILVSYGYIVRAVLQIRSAAGRQKAFNT CGSHLTVVSLFYGNIIYMYMQPGNSSSQDQGKFLTLFYNIVTPLLNPLIYTLRNKEVKGA LRRLLLGSRETGKVRAGSIRTRGKLSW >ENSMUSP00000024791.8 pep:known chromosome:GRCm38:17:48346401:48352273:1 gene:ENSMUSG00000023992.14 transcript:ENSMUST00000024791.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trem2 description:triggering receptor expressed on myeloid cells 2 [Source:MGI Symbol;Acc:MGI:1913150] MGPLHQFLLLLITALSQALNTTVLQGMAGQSLRVSCTYDALKHWGRRKAWCRQLGEEGPC QRVVSTHGVWLLAFLKKRNGSTVIADDTLAGTVTITLKNLQAGDAGLYQCQSLRGREAEV LQKVLVEVLEDPLDDQDAGDLWVPEESSSFEGAQVEHSTSRNQETSFPPTSILLLLACVL LSKFLAASILWAVARGRQKPGTPVVRGLDCGQDAGHQLQILTGPGGT >ENSMUSP00000108863.3 pep:known chromosome:GRCm38:17:48346488:48352273:1 gene:ENSMUSG00000023992.14 transcript:ENSMUST00000113237.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trem2 description:triggering receptor expressed on myeloid cells 2 [Source:MGI Symbol;Acc:MGI:1913150] MGPLHQFLLLLITALSQALNTTVLQGMAGQSLRVSCTYDALKHWGRRKAWCRQLGEEGPC QRVVSTHGVWLLAFLKKRNGSTVIADDTLAGTVTITLKNLQAGDAGLYQCQSLRGREAEV LQKVLVEVLEDPLDDQDAGDLWVPEESSSFEGAQVEHSTSRQVSSCGSPLAYHLPPLSKE SRDLLPTHLHSSPPGLRSPEQVSCSQHPLGCGQGQAEAGNTCGQRAGLWPRCWAPTSDPH WTRRYVREF >ENSMUSP00000121321.1 pep:known chromosome:GRCm38:19:33498037:33517780:-1 gene:ENSMUSG00000079344.10 transcript:ENSMUST00000143522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipo4 description:lipase, member O4 [Source:MGI Symbol;Acc:MGI:3779637] XRFKMLWLLRTMCLIHLLGKLFCSFGTKKNPEAHMNVSQIIKHWEYPSEEYEVVTDDGYI LPINRIPHGKNNANSSAPKMVVFCQHGLLATPGAWVSNLPDNSLAFILADAGYDVWMGSS RGSTWAKKHVTLNTDSKEFWDFSFDQMIKYDLPATINFILDKTGQKQIYYIGHSQGTLIA IGAFATNQKLAEKIKLNILLAPIYSVQHSKGIARLTSYLTPTTIKVCDELKVMVYLYFSK LAF >ENSMUSP00000108130.3 pep:known chromosome:GRCm38:19:33499159:33517776:-1 gene:ENSMUSG00000079344.10 transcript:ENSMUST00000112511.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipo4 description:lipase, member O4 [Source:MGI Symbol;Acc:MGI:3779637] FKMLWLLRTMCLIHLLGKLFCSFGTKKNPEAHMNVSQIIKHWEYPSEEYEVVTDDGYILP INRIPHGKNNANSSAPKMVVFCQHGLLATPGAWVSNLPDNSLAFILADAGYDVWMGSSRG STWAKKHVTLNTDSKEFWDFSFDQMIKYDLPATINFILDKTGQKQIYYIGHSQGTLIAIG AFATNQKLAEKIKLNILLAPIYSVQHSKGIARLTSYLTPTTIKVLFGEKEFLPTVVSSEV GAYVCDINLVTAGCAAMIGSMGGYSPEQLNMSRLDVYVKLNLAGTSVKILIHYNQIRRSG ILQAYDWGSSSLNMQHYNQTTPPVYNVEDMKVPTAMFTGLKDFLSDPEDVEILKPKIHNL TYLKTIPDFSHFDFIWGLNAREEVSEEILTILRKYD >ENSMUSP00000145357.1 pep:known chromosome:GRCm38:11:49142907:49149212:1 gene:ENSMUSG00000050763.2 transcript:ENSMUST00000203377.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1395 description:olfactory receptor 1395 [Source:MGI Symbol;Acc:MGI:3031229] MLISTIVPRMAADFLLGRGSISFAGCGLQILFFLTLLGDECFLLAFMAYDRYVAISNPLR YSVIMSRRVCWLMVAGSWLFGLVDGLIQAVFTLRFPYCGSQEIDHFFCEVPAVLKLACAD TSLYETMIYVCCVLMLLLPFSVISASYLRILVAVLRMRSAEGRRKAFATCSSHMIVVSLF YGAAMITYMRPQAYHSSKQDKVVSAFYTMITPMLNPLIYSLRNKEVTGALRKLLGKCPCG GGTLG >ENSMUSP00000050142.1 pep:known chromosome:GRCm38:11:49148259:49149212:1 gene:ENSMUSG00000050763.2 transcript:ENSMUST00000059379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1395 description:olfactory receptor 1395 [Source:MGI Symbol;Acc:MGI:3031229] MAWAGNQTLISHFVLLGLFTHSPLHLFLFSIIMVMFLVALSGNGLMILLILMDSRLHTPM YFFLSWLSLMDLMLISTIVPRMAADFLLGRGSISFAGCGLQILFFLTLLGDECFLLAFMA YDRYVAISNPLRYSVIMSRRVCWLMVAGSWLFGLVDGLIQAVFTLRFPYCGSQEIDHFFC EVPAVLKLACADTSLYETMIYVCCVLMLLLPFSVISASYLRILVAVLRMRSAEGRRKAFA TCSSHMIVVSLFYGAAMITYMRPQAYHSSKQDKVVSAFYTMITPMLNPLIYSLRNKEVTG ALRKLLGKCPCGGGTLG >ENSMUSP00000063062.1 pep:known chromosome:GRCm38:11:49160016:49160954:1 gene:ENSMUSG00000048378.1 transcript:ENSMUST00000052668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1394 description:olfactory receptor 1394 [Source:MGI Symbol;Acc:MGI:3031228] MAEELWNHSSLSSFILAGLFGHSPYDSFFFSLVLLAFGAAVVGNILLLMVIQVDRRLHTP MYFFLSQLSIMDLTMTCTVVPKMATNFLSGGKLISLGGCASQIFFVVTVGGAECFLLAVM AYDRYMAVCYPLRYPVLMNWKACSFLATASWMGGMADSVIDVGVVFSFPYCGSLEVDHFF CEVPALLRLSCADTSLFEDLIYACCVVMLLLPLGVIVASYARVLTTVMRMPSTEGKQKAL TTCSSHLAVVGLYYGGAIFSYMQRASARTPLGDRATSIFYTIVTPMFNPLIYSLRNREVT SALKKMLERWGM >ENSMUSP00000047235.8 pep:known chromosome:GRCm38:8:60890451:60907580:1 gene:ENSMUSG00000038005.14 transcript:ENSMUST00000037190.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2700029M09Rik description:RIKEN cDNA 2700029M09 gene [Source:MGI Symbol;Acc:MGI:1919862] MVGGGGKRRTAGAGPQCEKTVEVKKSKFSEADVSSDLRKEVENLYKLSLPEDFYHFWKFC EELDPEKPADALATSLGLRLVGPYDILAGKHKMKKKPTGLNCNLHWRFYYDPPEFQTIII GDNKTQYHMGYFRDSPDELPVYVGTNEAKKNCIIIQNGDNVFAAIKLFLMKKLKEVTDRK KISILKNIDEKLTEAARKLGYSLEQRTVKMRQRDKKVVTKTFHGAGLVVPVDKNDVGYRE LPETDADLKRICKAVVDAASDEERLKAFAPIQEMMTFVQFANDECDYGMGLELGMDLFCY GSHYFHKVAGQLLPLAYNLLKRDLFAKIIEDHLASRSEENIDQLAG >ENSMUSP00000118277.1 pep:known chromosome:GRCm38:8:60890445:60907573:1 gene:ENSMUSG00000038005.14 transcript:ENSMUST00000149267.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2700029M09Rik description:RIKEN cDNA 2700029M09 gene [Source:MGI Symbol;Acc:MGI:1919862] MVGGGGKRRTAGAGPQCEKTVEVKKSKFSEADVSSDLRKEVENLYKLSLPEDFYHFWKFC EELDPEKPAGILLMNFLYTWVQMKQRKIV >ENSMUSP00000119448.1 pep:known chromosome:GRCm38:8:60890451:60905985:1 gene:ENSMUSG00000038005.14 transcript:ENSMUST00000136098.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2700029M09Rik description:RIKEN cDNA 2700029M09 gene [Source:MGI Symbol;Acc:MGI:1919862] MVGGGGKRRTAGAGPQCEKTVEVKKSKFSEADVSSDLRKEVENLYKLSLPEDFYHFWKFC EELDPEKPAGILLMNFLYTWVQMKQRKIV >ENSMUSP00000117164.1 pep:known chromosome:GRCm38:8:60890418:60907575:1 gene:ENSMUSG00000038005.14 transcript:ENSMUST00000146863.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:2700029M09Rik description:RIKEN cDNA 2700029M09 gene [Source:MGI Symbol;Acc:MGI:1919862] MVGGGGKRRTAGAGPQLTLRESARQLSTLQATRRD >ENSMUSP00000108127.2 pep:known chromosome:GRCm38:19:33555160:33590308:-1 gene:ENSMUSG00000024766.14 transcript:ENSMUST00000112508.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipo1 description:lipase, member O1 [Source:MGI Symbol;Acc:MGI:2147592] MLWLLRTMCLIHVLGKIFCLFGPKKNPEAHMNVSEIIKHWDYPSEEYEVVTDDGYILPIN RIPHGKNNANSSAPKMVVFCQHGLLATPGAWVSNPPVNSLAFILADAGYDVWMGSSRGST WAKKHVALNPDSKEFWDFSFDQMIKYDLPATINFILDKTGQKQIYYIGHSQGTLLAIGAF ATNQTLAEKIKLNILLAPIYSVQHSKGISHLASYLTPTTIKLLFGEKEFFPTVVFSEVGA CVCNINFFTAICAAIMGSMGGYSPDQLNKSRLDVYVKLNLAGTSVKVLIHYNQVGRSGIL QAYDWGSPSLNMQHYNQTTPPVYNVEDMKVPTAMFTGLKDFLSDPEDVEILKPKIHNLTY LKTIPDFSHFDFILGLNARKEVSEEILTILRKYEGDVQL >ENSMUSP00000025694.6 pep:known chromosome:GRCm38:19:33555167:33761951:-1 gene:ENSMUSG00000024766.14 transcript:ENSMUST00000025694.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipo1 description:lipase, member O1 [Source:MGI Symbol;Acc:MGI:2147592] MLWLLRTMCLIHVLGKIFCLFGPKKNPEAHMNVSEIIKHWDYPSEEYEVVTDDGYILPIN RIPHGKNNANSSAPKMVVFCQHGLLATPGAWVSNPPVNSLAFILADAGYDVWMGSSRGST WAKKHVALNPDSKEFWDFSFDQMIKYDLPATINFILDKTGQKQIYYIGHSQGTLLAIGAF ATNQTLAEKIKLNILLAPIYSVQHSKGISHLASYLTPTTIKLLFGEKEFFPTVVFSEVGA CVCNINFFTAICAAIMGSMGGYSPDQLNKSRLDVYVKLNLAGTSVKVLIHYNQVGRSGIL QAYDWGSPSLNMQHYNQTTPPVYNVEDMKVPTAMFTGLKDFLSDPEDVEILKPKIHNLTY LKTIPDFSHFDFILGLNARKEVSEEILTILRKYEGDVQL >ENSMUSP00000141696.1 pep:known chromosome:GRCm38:3:88394143:88410326:-1 gene:ENSMUSG00000028066.15 transcript:ENSMUST00000193338.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmf1 description:polyamine-modulated factor 1 [Source:MGI Symbol;Acc:MGI:1914287] MAEVSRDSEAAERGPEGSSPEAVPGDATIPRVKLLDAIVDTFLQKLVADRRRPSGIPEKD LCSVMAPYFLKQQDTLCHQVRKQEAKNQELADAVLAGRRQVEELQQQVRALQQTWQALHR EQRELLSVLRAPE >ENSMUSP00000062420.7 pep:known chromosome:GRCm38:3:88394143:88410331:-1 gene:ENSMUSG00000028066.15 transcript:ENSMUST00000056370.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmf1 description:polyamine-modulated factor 1 [Source:MGI Symbol;Acc:MGI:1914287] MAEVSRDSEAAERGPEGSSPEAVPGDATIPRVKLLDAIVDTFLQKLVADRSYERFTTCYK HFHQLNPEVTQRIYDKFVAQLQTSIREEISEIKEEGNLEAVLNSLDKIIEEGRERGEPAW RPSGIPEKDLCSVMAPYFLKQQDTLCHQVRKQEAKNQELADAVLAGRRQVEELQQQVRAL QQTWQALHREQRELLSVLRAPE >ENSMUSP00000141229.1 pep:known chromosome:GRCm38:3:88396068:88410286:-1 gene:ENSMUSG00000028066.15 transcript:ENSMUST00000192909.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pmf1 description:polyamine-modulated factor 1 [Source:MGI Symbol;Acc:MGI:1914287] SEAAERGPEGSSPEAVPGDATIPRVKLLDAIVDTFLQKLVADRSYERFTTCYKHFHQLNP EVTQRIYDKFVAQLQTSIRFC >ENSMUSP00000105788.2 pep:known chromosome:GRCm38:8:70072924:70080066:1 gene:ENSMUSG00000036151.15 transcript:ENSMUST00000110160.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm6sf2 description:transmembrane 6 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1933210] MDIPPLAGRTVAMSFCALPVSYLLNQVSAFSQPLFVVLTSALILGLLFLAVYSLSHGEIT YDPLYAVFVIFSFTSVVDLVIALQEDGYMMGFMDFYTKEGEPYLRTAHGIFICYWDGTVH YLLYLTMAGAIRKRKRYRNLGLYWLGSFAMSILVFLPGNILGKYSSEMRPTFFLAILYML VPCWAGVRIFNQSRAPTSYTPDMVQEEQKKSLFQRPADLALIVYLIFAALFTVFRGLVVL DCPTDACFIYIYQYEPYLRDPVAYPKLQMLMYLFYALPFYCLAAYALAFPGCSWLPDWAL VFAGAIGQAQFSHMGASMHMRTPFTYRVPEDTWATFFLSNLLLALGPHLLAFRCLWRPAF FLHAALPSSPQDQDKKQQ >ENSMUSP00000046114.5 pep:known chromosome:GRCm38:8:70072932:70080052:1 gene:ENSMUSG00000036151.15 transcript:ENSMUST00000049197.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tm6sf2 description:transmembrane 6 superfamily member 2 [Source:MGI Symbol;Acc:MGI:1933210] MDIPPLAGRTVAMSFCALPVSYLLNQVSAFSQPLFVVLTSALILGLLFLAVYSLSHGEIT YDPLYAVFVIFSFTSVVDLVIALQEDGYMMGFMDFYTKEGEPYLRTAHGIFICYWDGTVH YLLYLTMAGAIRKRKRYRNLGLYWLGSFAMSILVFLPGNILGKYSSEMRPTFFLAILYML VPCWAGVRIFNQSRAPTSYTPDMEEQKKSLFQRPADLALIVYLIFAALFTVFRGLVVLDC PTDACFIYIYQYEPYLRDPVAYPKLQMLMYLFYALPFYCLAAYALAFPGCSWLPDWALVF AGAIGQAQFSHMGASMHMRTPFTYRVPEDTWATFFLSNLLLALGPHLLAFRCLWRPAFFL HAALPSSPQDQDKKQQ >ENSMUSP00000000958.8 pep:known chromosome:GRCm38:15:75657035:75678800:-1 gene:ENSMUSG00000000934.8 transcript:ENSMUST00000000958.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Top1mt description:DNA topoisomerase 1, mitochondrial [Source:MGI Symbol;Acc:MGI:1920210] MLLLWLRALCRRFQHVPCRVPSCQVSRVAKANRAGWEETSKSSVKWRQLEHKGPYFTPAY EPLPDGVHFLYDGKPLKLSLAAEEVATFYGKMLHLECTSKEVFRRNFFSDWRKEMTPEER RLITHLDKCDFTEIHKHFLERAEARRTLPREQKQKLKEEAEKLQQEFGYCVLDGHREKIG NFKTEPPGLFRGRGDHPKMGMLKRRVMPEDVVINCSRDSKIPEPPAGHQWKEVRSDNTVM WLAAWVEHIQNSFKYVILNPSSKPKGEMDWQKYEVARRLKGVVDKIRAQYQADWKSPEMK KRQLAVALYFIDKLALRTGNEKEEGETADTVGCCSLRVEHIRLHAPAGGQEHVVELDFLG KDSIRYKNHVTVEKLVFQNLQHFMEDKDPRDDLFDALTTSSLNKHLQDLMEGLTAKVFRT YNASVTLQEQLRVLTRAEDSVTCKVLAYNRANRAVAVLCNHQRAVPKTFEKSMQTLQKKI ETKKAQVAEAQVELQKAETDLRMRGDSKPKSFLQKQRRLLKLEEQLARLCAKATDKEENK QVALGTAKLNYLDPRISIAWCKRFGVPVEKIYNKTQRERFAWAFNQAGEDFEF >ENSMUSP00000118461.1 pep:known chromosome:GRCm38:19:60811585:60830864:1 gene:ENSMUSG00000024993.15 transcript:ENSMUST00000128357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam45a description:family with sequence similarity 45, member A [Source:MGI Symbol;Acc:MGI:1915144] MMESYIAVLTKGICQSEENGSFLSRDFDGRKAYLAGSIKDIVSQFGMETVILHTALMLKK RIVVYHPKIEAVQEFTRTLPALVWHRQDWTILHSYMHLHAEELEGLQMCTGYIAGFVELE VSNRPDLYDVFVNLAD >ENSMUSP00000112839.1 pep:known chromosome:GRCm38:19:60811591:60836227:1 gene:ENSMUSG00000024993.15 transcript:ENSMUST00000119633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam45a description:family with sequence similarity 45, member A [Source:MGI Symbol;Acc:MGI:1915144] MMESYIAVLTKGICQSEENGSFLSRDFDGRKAYLAGSIKDIVSQFGMETVILHTALMLKK RIVVYHPKIEAVQEFTRTLPALVWHRQDWTILHSYMHLHAEELEGLQMCTGYIAGFVELE VSNRPDLYDVFVNLADSEITIAPLAKEAMTMGKLHKEIGQLIVQSAEDPEKSDSQVIQDI ALKTKEIFTHLAPFSEVSDDGGKVILNVEALKQQRFPPATENFLYHLAAAEQMLKV >ENSMUSP00000121853.1 pep:known chromosome:GRCm38:19:60811611:60822406:1 gene:ENSMUSG00000024993.15 transcript:ENSMUST00000148577.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam45a description:family with sequence similarity 45, member A [Source:MGI Symbol;Acc:MGI:1915144] MAAVVAMDTQLMLGVGLIEKDSNGEALWVWCYPSTTASLRNLLLRKCCLTDENKLLHPFV FGQYRRTWFYVTTVEVPDSSVLKKNIFEIWKSG >ENSMUSP00000025957.8 pep:known chromosome:GRCm38:19:60811611:60836227:1 gene:ENSMUSG00000024993.15 transcript:ENSMUST00000025957.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam45a description:family with sequence similarity 45, member A [Source:MGI Symbol;Acc:MGI:1915144] MAAVVAMDTQLMLGVGLIEKDSNGEALWVWCYPSTTASLRNLLLRKCCLTDENKLLHPFV FGQYRRTWFYVTTVEVPDSSVLKKVTHFSIVLTAKDFNPEKYAAFTRILCRIYLKYGSPV KMMESYIAVLTKGICQSEENGSFLSRDFDGRKAYLAGSIKDIVSQFGMETVILHTALMLK KRIVVYHPKIEAVQEFTRTLPALVWHRQDWTILHSYMHLHAEELEGLQMCTGYIAGFVEL EVSNRPDLYDVFVNLADSEITIAPLAKEAMTMGKLHKEIGQLIVQSAEDPEKSDSQVIQD IALKTKEIFTHLAPFSEVSDDGGKVILNVEALKQQRFPPATENFLYHLAAAEQMLKV >ENSMUSP00000104884.3 pep:known chromosome:GRCm38:11:45852051:45910625:1 gene:ENSMUSG00000006169.19 transcript:ENSMUST00000109261.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clint1 description:clathrin interactor 1 [Source:MGI Symbol;Acc:MGI:2144243] MLNMWKVRELVDKATNVVMNYSEIESKVREATNDDPWGPSGQLMGEIAKATFMYEQFPEL MNMLWSRMLKDNKKNWRRVYKSLLLLAYLIRNGSERVVTSAREHIYDLRSLENYHFVDEH GKDQGINIRQKVKELVEFAQDDDRLREERKKAKKNKDKYVGVSSDSVGGFRYNERYDPEP KSKWDEEWDKNKSAFPFSDKLGELSDKIGSTIDDTISKFRRKDREDSPERCSDSDEEKKA RRGRSPKGEFKDEEETVTTKHIHITQATETTTTRHKRTANPSKTIDLGAAAHYTGDKASP DQNASTHTPQSSAKPSVPSSKSSGDLVDLFDGSSQSAGGSADLFGGFADFGSAAASGNFP SQATSGNGDFGDWSAFNQAPSGPVASGGELFGSAPQSAVELISASQPALGPPPAASNSAD LFDLMGSSQATMTSSQSMNFSLMSTNTVGLGLPMSRSQPLQNVSAVLQKPNPLYHQNTDM VQKSASKTLPSTWSDPSVNISLDNLLPGMQPSKPQQPSLNTMIQQQNMQQPLNVMTQSFG AVNLSSPSNMLPVRPQTNPLLGGPMPMNMPGVMTGTMGMAPLGNSAGMSQGMVGMNMNMG MSASGMGLSGTMGMGMPSMAMPSGTVQPKQDAFANFANFSK >ENSMUSP00000104883.1 pep:known chromosome:GRCm38:11:45852051:45910625:1 gene:ENSMUSG00000006169.19 transcript:ENSMUST00000109260.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clint1 description:clathrin interactor 1 [Source:MGI Symbol;Acc:MGI:2144243] MLNMWKVRELVDKATNVVMNYSEIESKVREATNDDPWGPSGQLMGEIAKATFMYEQFPEL MNMLWSRMLKDNKKNWRRVYKSLLLLAYLIRNGSERVVTSAREHIYDLRSLENYHFVDEH GKDQGINIRQKVKELVEFAQDDDRLREERKKAKKNKDKYVGVSSDSVGGFRYNERYDPEP KSKWDEEWDKNKSAFPFSDKLGELSDKIGSTIDDTISKFRRKDREDSPERCSDSDEEKKA RRGRSPKGEFKDEEETVTTKHIHITQATETTTTRHKRTANPSKTIDLGAAAHYTGDKASP DQNASTHTPQSSAKPSVPSSKSSGDLVDLFDGSSQSAGGSADLFGGFADFGSAAASGNFP SQATSGNGDFGDWSAFNQAPSGPVASGGELFGSAPQSAVELISASQPALGPPPAASNSAD LFDLMGSSQATMTSSQSMNFSLMSTNTVGLGLPMSRSQNTDMVQKSASKTLPSTWSDPSV NISLDNLLPGMQPSKPQQPSLNTMIQQQNMQQPLNVMTQSFGAVNLSSPSNMLPVRPQTN PLLGGPMPMNMPGVMTGTMGMAPLGNSAGMSQGMVGMNMNMGMSASGMGLSGTMGMGMPS MAMPSGTVQPKQDAFANFANFSK >ENSMUSP00000145531.1 pep:known chromosome:GRCm38:11:58683875:58684798:1 gene:ENSMUSG00000107711.1 transcript:ENSMUST00000204718.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr320 description:olfactory receptor 320 [Source:MGI Symbol;Acc:MGI:3030155] METGNRSCGTDFSLVGLFQDGHMDTFLFTLIAILFAVAFIGNITLVLLIRLDCRLHTPMY FLLSQLSIIDMMYISTTVPKVAANFLSDTKAISFLGCAVQAFVFLTLGGSEALLLGFMSY DRYIAICRPLHYPVLMSRKICCSMVAGAWSSSSINAFMHTVYVFQLPFCGSRMVNHFFCE VPSLLPLVCEDTSQYEHTVLVSGLVILLLPFLAILASYARVLVVVLQMGSGKGQSRAVST CSSHLTVASLFYVTGLSTYTQPHSLHSPGRDKVVAVLYSIVTLVLNPFIYSLRNKEVMGA LRRQMG >ENSMUSP00000110198.3 pep:known chromosome:GRCm38:X:72913532:72918411:-1 gene:ENSMUSG00000031347.12 transcript:ENSMUST00000114551.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn2 description:centrin 2 [Source:MGI Symbol;Acc:MGI:1347085] MASNFKKTTMASSAQRKRMSPKPELTEDQKQEIREAFDLFDADGTGTIDIKELKVAMRAL GFEPKKEEIKKMISEIDKEGTGKMNFSDFLTVMTQKMSEKDTKEEILKAFKLFDDDETGK ISFKNLKRVAKELGENLTDEELQEMIDEADRDGDGEVNEQEFLRIMKKTSLY >ENSMUSP00000033713.4 pep:known chromosome:GRCm38:X:72913704:72916856:-1 gene:ENSMUSG00000031347.12 transcript:ENSMUST00000033713.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn2 description:centrin 2 [Source:MGI Symbol;Acc:MGI:1347085] MASSAQRKRMSPKPELTEDQKQEIREAFDLFDADGTGTIDIKELKVAMRALGFEPKKEEI KKMISEIDKEGTGKMNFSDFLTVMTQKMSEKDTKEEILKAFKLFDDDETGKISFKNLKRV AKELGENLTDEELQEMIDEADRDGDGEVNEQEFLRIMKKTSLY >ENSMUSP00000110197.1 pep:known chromosome:GRCm38:X:72913704:72917993:-1 gene:ENSMUSG00000031347.12 transcript:ENSMUST00000114550.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn2 description:centrin 2 [Source:MGI Symbol;Acc:MGI:1347085] MASSAQRKRMSPKPELTEDQKQEIREAFDLFDADGTGTIDIKELKVAMRALGFEPKKEEI KKMISEIDKEGTGKMNFSDFLTVMTQKMSEKDTKEEILKAFKLFDDDETGKISFKNLKRV AKELGENLTDEELQEMIDEADRDGDGEVNEQEFLRIMKKTSLY >ENSMUSP00000117704.1 pep:known chromosome:GRCm38:X:72914908:72918284:-1 gene:ENSMUSG00000031347.12 transcript:ENSMUST00000152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cetn2 description:centrin 2 [Source:MGI Symbol;Acc:MGI:1347085] MASSAQRKRMSPKPELTEDQKQEIREAFDLFDADGTGTIDIKELKVAMRALGFEPKKEEI KKMISEIDKEGTGKMNFSDFLTVMTQKMSEKDTKEEILKAFKLFDDDETGK >ENSMUSP00000102101.2 pep:known chromosome:GRCm38:4:116173372:116174290:1 gene:ENSMUSG00000078593.2 transcript:ENSMUST00000106492.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700042G07Rik description:RIKEN cDNA 1700042G07 gene [Source:MGI Symbol;Acc:MGI:1914573] MGPSQLVRAPRPPGLTSPYRRPGMGGPRRRCPSMFKCSRRTYRQKPRGPTATNPASEATN ISDTDTTTSVLILSPRVLRFLCQPGGFLVL >ENSMUSP00000071748.6 pep:known chromosome:GRCm38:X:68761934:68804567:1 gene:ENSMUSG00000062170.12 transcript:ENSMUST00000071848.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmr1nb description:fragile X mental retardation 1 neighbor [Source:MGI Symbol;Acc:MGI:2672032] MPSDRRPSQRRNRSKSRDYRGARSKVTRADTRNRDDTLALSMYQGPPSADQGNNMADAPR FGFWTSVSQCLQYLWARRHLGLLLLLFWTLVILFRPVNTAKLPILAEAAELEPPLGNMLD FFFPTTCIIRDNQVVVACNNQPYLSESECLKSKCCSSTSGTIIKCYAPVRDKPTQVLRVF GLAAISILVLGFLPMCCCSMCWRRKRMNRMLKVLKKQKSKGKKPKGRKASEERALLSH >ENSMUSP00000064543.5 pep:known chromosome:GRCm38:X:68761839:68804567:1 gene:ENSMUSG00000062170.12 transcript:ENSMUST00000069731.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmr1nb description:fragile X mental retardation 1 neighbor [Source:MGI Symbol;Acc:MGI:2672032] MPSDRRPSQRRNRSKSRDYRGARSKVTRADTRNRDDTLALSMYQGPPSADQGNNMADAPR FGFWTSVSQCLQYLWARRHLGLLLLLFWTLVILFRPVNTAKLPILAEAAELEPPLGNMLD FFFPTKPTQVLRVFGLAAISILVLGFLPMCCCSMCWRRKRMNRMLKVLKKQKSKGKKPKG RKASEERALLSH >ENSMUSP00000110294.1 pep:known chromosome:GRCm38:X:68761881:68804567:1 gene:ENSMUSG00000062170.12 transcript:ENSMUST00000114647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmr1nb description:fragile X mental retardation 1 neighbor [Source:MGI Symbol;Acc:MGI:2672032] MPSDRRPSQRRNRSKSRDYRGARSKVTRADTRNRDDTLALSMYQGPPSADQGNNMADAPR FGFWTSVSQCLQYLWARRHLGLLLLLFWTLVILFRPVNTEPTQVLRVFGLAAISILVLGF LPMCCCSMCWRRKRMNRMLKVLKKQKSKGKKPKGRKASEERALLSH >ENSMUSP00000063352.5 pep:known chromosome:GRCm38:5:38349273:38480306:-1 gene:ENSMUSG00000005107.13 transcript:ENSMUST00000067886.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a9 description:solute carrier family 2 (facilitated glucose transporter), member 9 [Source:MGI Symbol;Acc:MGI:2152844] MDSRELALASLMCDTGGPGELSVGHQQRRTKKWSFSLVVAALVGAFGSSFLYGYNLSVVN APTPYIKAFYNGTWYRRHGQPIDPDTLTLLWSVTVSIFAIGGLVGTLMVKMIGKFLGRKS TLLVNNGFAISAALLMACSLRAGTFEMLIVGRFIMGVDGGIALSALPMYLNEISPKEIRG SLGQVTAIFICIGVFSGQLLGLPELLGRESTWPYLFGVIIVPALVQLASLPFLPESPRYL LFEKHDEAGAMKAFQTFLGKADVSQELEEALAESRVQRNLRLVSVLELLRAPFVRWQVIT VIITMASYQLCGLNAIWFYTNSIFGKAGIPQDKIPYITLSTGGIETLAAIFSGLVIERLG RRPLLIGGFGLMALFFGTLTATLTLQDQAPWVPYLSIVCILAIIASFCSGPGGIPFILTG EFFQQSERPAAFMIAGTVNWLSNFAVGLLFPFIQKSLDSYCFLVFATICIAGATYFYFVL PETKNRTHAEISQAFAKRNKAQPPEVKADSAMTEEKANSQTEPDSSSTLDSYGQNKIV >ENSMUSP00000122723.1 pep:known chromosome:GRCm38:5:38349274:38491957:-1 gene:ENSMUSG00000005107.13 transcript:ENSMUST00000129099.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a9 description:solute carrier family 2 (facilitated glucose transporter), member 9 [Source:MGI Symbol;Acc:MGI:2152844] MKLSEKNSAETKESQRKWSFSLVVAALVGAFGSSFLYGYNLSVVNAPTPYIKAFYNGTWY RRHGQPIDPDTLTLLWSVTVSIFAIGGLVGTLMVKMIGKFLGRKSTLLVNNGFAISAALL MACSLRAGTFEMLIVGRFIMGVDGGIALSALPMYLNEISPKEIRGSLGQVTAIFICIGVF SGQLLGLPELLGRESTWPYLFGVIIVPALVQLASLPFLPESPRYLLFEKHDEAGAMKAFQ TFLGKADVSQELEEALAESRVQRNLRLVSVLELLRAPFVRWQVITVIITMASYQLCGLNA IWFYTNSIFGKAGIPQDKIPYITLSTGGIETLAAIFSGLVIERLGRRPLLIGGFGLMALF FGTLTATLTLQDQAPWVPYLSIVCILAIIASFCSGPGGIPFILTGEFFQQSERPAAFMIA GTVNWLSNFAVGLLFPFIQKSLDSYCFLVFATICIAGATYFYFVLPETKNRTHAEISQAF AKRNKAQPPEVKADSAMTEEKANSQTEPDSSSTLDSYGQNKIV >ENSMUSP00000005238.6 pep:known chromosome:GRCm38:5:38349306:38502152:-1 gene:ENSMUSG00000005107.13 transcript:ENSMUST00000005238.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a9 description:solute carrier family 2 (facilitated glucose transporter), member 9 [Source:MGI Symbol;Acc:MGI:2152844] MKLSEKNSAETKESQRKWSFSLVVAALVGAFGSSFLYGYNLSVVNAPTPYIKAFYNGTWY RRHGQPIDPDTLTLLWSVTVSIFAIGGLVGTLMVKMIGKFLGRKSTLLVNNGFAISAALL MACSLRAGTFEMLIVGRFIMGVDGGIALSALPMYLNEISPKEIRGSLGQVTAIFICIGVF SGQLLGLPELLGRIWFYTNSIFGKAGIPQDKIPYITLSTGGIETLAAIFSGLVIERLGRR PLLIGGFGLMALFFGTLTATLTLQDQAPWVPYLSIVCILAIIASFCSGPGGIPFILTGEF FQQSERPAAFMIAGTVNWLSNFAVGLLFPFIQKSLDSYCFLVFATICIAGATYFYFVLPE TKNRTHAEISQAFAKRNKAQPPEVKADSAMTEEKANSQTEPDSSSTLDSYGQNKIV >ENSMUSP00000117390.1 pep:known chromosome:GRCm38:5:38349639:38480158:-1 gene:ENSMUSG00000005107.13 transcript:ENSMUST00000122970.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc2a9 description:solute carrier family 2 (facilitated glucose transporter), member 9 [Source:MGI Symbol;Acc:MGI:2152844] MDSRELALASLMCDTGGPGELSVGHQQRRTKKWSFSLVVAALVGAFGSSFLYGYNLSVVN APTPYIKAFYNGTWYRRHGQPIDPDTLTLLWSVTVSIFAIGGLVGTLMVKMIGKFLGRKS TLLVNNGFAISAALLMACSLRAGTFEMLIVGRFIMGVDGGIALSALPMYLNEISPKEIRG SLGQVTAIFICIGVFSGQLLGLPELLGRESTWPYLFGVIIVPALVQLASLPFLPESPRYL LFEKHDEAGAMKDLVLHQQHLWESWDPSGQDPIHHPEHGRN >ENSMUSP00000116354.1 pep:known chromosome:GRCm38:5:38349639:38503143:-1 gene:ENSMUSG00000005107.13 transcript:ENSMUST00000155634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a9 description:solute carrier family 2 (facilitated glucose transporter), member 9 [Source:MGI Symbol;Acc:MGI:2152844] MKLSEKNSAETKESQRKWSFSLVVAALVGAFGSSFLYGYNLSVVNAPTPYIKAFYNGTWY RRHGQPIDPDTLTLLWSVTVSIFAIGGLVGTLMVKMIGKFLGRKSTLLVNNGFAISAALL MACSLRAGTFEMLIVGRFIMGVDGGIALSALPMYLNEISPKEIRGSLGQVTAIFICIGVF SGQLLGLPELLGRESTWPYLFGVIIVPALVQLASLPFLPESPRYLLFEKHDEAGAMKAFQ TFLGKADVSQELEEALAESRVQRNLRLVSVLELLRAPFVRWQVITVIITMASYQLCGLNA IWFYTNSIFGKAGIPQDKIPYITLSTGGIETLAAIFSGLVIERLGRRPLLIGGFGLMALF FGTLTATLTLQDQAPWVPYLSIVCILAIIASFCSGPGGIPFILTGEFFQQSERPAAFMIA GTVNWLSNFAVGLLFPFIQKSLDSYCFLVFATICIAGATYFYFVLPETKNRTHAEISQAF AKRNKAQPPEVKADSAMTEEKANSQTEPDSSSTLDSYGQNKIV >ENSMUSP00000118430.1 pep:known chromosome:GRCm38:5:38351083:38480131:-1 gene:ENSMUSG00000005107.13 transcript:ENSMUST00000143758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a9 description:solute carrier family 2 (facilitated glucose transporter), member 9 [Source:MGI Symbol;Acc:MGI:2152844] MDSRELALASLMCDTGGPGELSVGHQQRRTKKWSFSLVVAALVGAFGSSFLYGYNLSVVN APTPYIKAFYNGTWYRRHGQPIDPDTLTLLWSVTVSIFAIGGLVGTLMVKMIGKFLGRKS TLLVNNGFAISAALLMACSLRAGTFEMLIVGRFIMGVDGGIALSALPMYLNEISPKEIRG SLGQVTAIFICIGVFSGQLLGLPELLGRIWFYTNSIFGKAGIPQDKIPYITLSTGGIETL AAIFSGLVIERLGRRPLLIGGFGLMALFFGTLTATLTLQDQAPWVPYLSIVCILAIIASF CSGPGGIPFILTGEFFQQSERPAAFMIAGTVNWLSNFAVGLLFPFIQKSLDSYCFLVFAT ICIAGATYFYFVLPETKNRTHAEISQAFAKRNKAQPPEVKADSAMTEEKANSQTEPDSSS TLDSYGQNKIV >ENSMUSP00000144374.1 pep:known chromosome:GRCm38:5:38382057:38480182:-1 gene:ENSMUSG00000005107.13 transcript:ENSMUST00000156272.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a9 description:solute carrier family 2 (facilitated glucose transporter), member 9 [Source:MGI Symbol;Acc:MGI:2152844] MDSRELALASLMCDTGGPGELSVGHQQRRTKKWSFSLVVAALVGAFGSSFLYGYNLSVVN APTPYIKAFYNGTWYRRHGQPIDPDTLTLLWSVTVSIFAIGGLVGTLMDKIPYITLSTGG IETLAAIFSGLVIERLGRRPLLIGGFGLMALFFGTLTA >ENSMUSP00000119586.1 pep:known chromosome:GRCm38:5:38440650:38502079:-1 gene:ENSMUSG00000005107.13 transcript:ENSMUST00000147664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a9 description:solute carrier family 2 (facilitated glucose transporter), member 9 [Source:MGI Symbol;Acc:MGI:2152844] MKLSEKNSAETKESQRKWSFSLVVAALVGAFGSSFLYGYNLSVVNAPTPYIKAFYNGTWY RRHGQPIDPDTLTLLWSVTVSIFAIGGLVGTLMVKMIGKFLGRKSTLLVNNGFAISAALL MACSLRAGTFEMLIVGRFIMGVDG >ENSMUSP00000066872.5 pep:known chromosome:GRCm38:5:38349273:38483385:-1 gene:ENSMUSG00000005107.13 transcript:ENSMUST00000067872.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a9 description:solute carrier family 2 (facilitated glucose transporter), member 9 [Source:MGI Symbol;Acc:MGI:2152844] MKLSEKNSAETKESQRKWSFSLVVAALVGAFGSSFLYGYNLSVVNAPTPYIKAFYNGTWY RRHGQPIDPDTLTLLWSVTVSIFAIGGLVGTLMVKMIGKFLGRKSTLLVNNGFAISAALL MACSLRAGTFEMLIVGRFIMGVDGGIALSALPMYLNEISPKEIRGSLGQVTAIFICIGVF SGQLLGLPELLGRESTWPYLFGVIIVPALVQLASLPFLPESPRYLLFEKHDEAGAMKAFQ TFLGKADVSQELEEALAESRVQRNLRLVSVLELLRAPFVRWQVITVIITMASYQLCGLNA IWFYTNSIFGKAGIPQDKIPYITLSTGGIETLAAIFSGLVIERLGRRPLLIGGFGLMALF FGTLTATLTLQDQAPWVPYLSIVCILAIIASFCSGPGGIPFILTGEFFQQSERPAAFMIA GTVNWLSNFAVGLLFPFIQKSLDSYCFLVFATICIAGATYFYFVLPETKNRTHAEISQAF AKRNKAQPPEVKADSAMTEEKANSQTEPDSSSTLDSYGQNKIV >ENSMUSP00000105714.2 pep:known chromosome:GRCm38:13:49261554:49309240:-1 gene:ENSMUSG00000037946.11 transcript:ENSMUST00000110087.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd3 description:FYVE, RhoGEF and PH domain containing 3 [Source:MGI Symbol;Acc:MGI:1353657] MELGRSPSTPQEEAISPLGVLGTGPSSSPLGKLQALPIGPGAHRGAHSSSAPAGDSSTRE PSGAMKIPNRDSGIDSPSSSVASENFPCEESSEGSPSPAILGLPSETASDSRVPQDNPQE EEDSGMGEEPDPKVTLFRPQEDVSLTQCSDPQKLLHIAQELLHTEEAYVKRLHLLDQVFC TKLTEAGIPLEVTTGIFSNISSIYRFHGQFLLPELQKRITEEWDTNPRLGDILQKLAPFL KMYGEYVKNFDRAMGLVSTWTQRSPQFKDVIHTIQKQEVCGNLTLQHHMLEPVQRVPRYE LLLKDYLKRLPRDAPDRKDAERSLELISTAADHSNAAIRKMEKMHKLLEVYEQLGGEEDI VNPANELIKEGSIQKLSAKNGTTQDRHLFLFNNVMLYCVPKLRLMGQKLSVREKMDISDL QVQDIVKPNAACTFIITGRKRSLELQTRTEEEKKEWIQVIQATVEKHKQKSETFRAFGGA CSQDEEPTLSPDQPVMSTSSVEPAGVADSNGGTPGIESRKSSSKTRRDKEKPGCKSCGET FNSITKRRYRCKLCGEVICRKCSEFKAENSKQSRVCRECFLEEPLVPPSPSSETPTELKQ NAEKPPSVDPRPSLLCGTLNLSDDGTTWNEVWAAIPESDPQVLDLLAGSQAGRLLYSIPL SGCNITMPDPEEGLEAGCAWKLHQGSQTWWLSAPSTKLQQCWLKALGTAVHGDTAGDRPG ASQPQAPAGTDTP >ENSMUSP00000048692.4 pep:known chromosome:GRCm38:13:49263110:49299020:-1 gene:ENSMUSG00000037946.11 transcript:ENSMUST00000048716.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd3 description:FYVE, RhoGEF and PH domain containing 3 [Source:MGI Symbol;Acc:MGI:1353657] MELGRSPSTPQEEAISPLGVLGTGPSSSPLGKLQALPIGPGAHRGAHSSSAPAGDSSTRE PSGAMKIPNRDSGIDSPSSSVASENFPCEESSEGSPSPAILGLPSETASDSRVPQDNPQE EEDSGMGEEPDPKVTLFRPQEDVSLTQCSDPQKLLHIAQELLHTEEAYVKRLHLLDQVFC TKLTEAGIPLEVTTGIFSNISSIYRFHGQFLLPELQKRITEEWDTNPRLGDILQKLAPFL KMYGEYVKNFDRAMGLVSTWTQRSPQFKDVIHTIQKQEVCGNLTLQHHMLEPVQRVPRYE LLLKDYLKRLPRDAPDRKDAERSLELISTAADHSNAAIRKMEKMHKLLEVYEQLGGEEDI VNPANELIKEGSIQKLSAKNGTTQDRHLFLFNNVMLYCVPKLRLMGQKLSVREKMDISDL QVQDIVKPNAACTFIITGRKRSLELQTRTEEEKKEWIQVIQATVEKHKQKSETFRAFGGA CSQDEEPTLSPDQPVMSTSSVEPAGVADSNGGTPGIESRKSSSKTRRDKEKPGCKSCGET FNSITKRRYRCKLCGEVICRKCSEFKAENSKQSRVCRECFLEEPLVPPSPSSETPTELKQ NAEKPPSVDPRPSLLCGTLNLSDDGTTWNEVWAAIPESDPQVLDLLAGSQAGRLLYSIPL SGCNITMPDPEEGLEAGCAWKLHQGSQTWWLSAPSTKLQQCWLKALGTAVHGDTAGDRPG ASQPQAPAGTDTP >ENSMUSP00000105713.1 pep:known chromosome:GRCm38:13:49273382:49320311:-1 gene:ENSMUSG00000037946.11 transcript:ENSMUST00000110086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd3 description:FYVE, RhoGEF and PH domain containing 3 [Source:MGI Symbol;Acc:MGI:1353657] MELGRSPSTPQEEAISPLGVLGTGPSSSPLGKLQALPIGPGAHRGAHSSSAPAGDSSTRE PSGAMKIPNRDSGIDSPSSSVASENFPCEESSEGSPSPAILGLPSETASDSRVPQDNPQE EEDSGMGEEPDPKVTLFRPQEDVSLTQCSDPQKLLHIAQELLHTEEAYVKRLHLLDQVFC TKLTEAGIPLEVTTGIFSNISSIYRFHGQFLLPELQKRITEEWDTNPRLGDILQKLAPFL KMYGEYVKNFDRAMGLVSTWTQRSPQFKDVIHTIQKQEVCGNLTLQHHMLEPVQRVPRYE LLLKDYLKRLPRDAPDRKDAERSLELISTAADHSNAAIRKMEKMHKLLEVYEQLGGEEDI VNPANELIKEGSIQKLSAKNGTTQDRHLFLFNNVMLYCVPKLRLMGQKLSVREKMDISDL QVQDIVKPNAACTFIITGRKRSLELQTRTEEEKKEWIQVIQATVEKHKQKSETFRAFGGA CSQDEEPTLSPDQPVMSTSSVEPAGVADSNGGTPGVSDPHPGISRSERCLGR >ENSMUSP00000038361.6 pep:known chromosome:GRCm38:7:79273199:79365508:1 gene:ENSMUSG00000039202.12 transcript:ENSMUST00000037315.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd2 description:abhydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:1914344] MNAMLETPELPAVFDGVKLAAVAAVLYVIVRCLNLKSPTAPPDLYFQDSGLSRFLLKSCP LLTKEYIPPLIWGKSGHIQTALYGKMGRVRSPHPYGHRKFITMSDGATSTFDLFEPLAEH CVGDDITMVICPGIANHSEKQYIRTFVDYAQKNGYRCAVLNHLGALPNIELTSPRMFTYG CTWEFGAMVNYIKRTYPQTQLVVVGFSLGGNIVCKYLGETQANQEKVLCCVSVCQGYSAL RAQETFMQWDQCRRFYNFLMADNMKKIILSHRQALFGDHVKKPQSLEDTDLSRLYTATSL MQIDDNVMRKFHGYNSLKEYYEEESCMRYLHRIYVPLMLVNAADDPLVHESLLTIPKSLS EKRENVMFVLPLHGGHLGFFEGSVLFPEPLTWMDKLVVEYANAICQWERNKSQCSDTEQM EAELE >ENSMUSP00000143451.1 pep:known chromosome:GRCm38:5:64156305:64173517:1 gene:ENSMUSG00000029174.18 transcript:ENSMUST00000199270.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d1 description:TBC1 domain family, member 1 [Source:MGI Symbol;Acc:MGI:1889508] MEAITFTARKHP >ENSMUSP00000044577.6 pep:known chromosome:GRCm38:5:64159451:64351486:1 gene:ENSMUSG00000029174.18 transcript:ENSMUST00000043893.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d1 description:TBC1 domain family, member 1 [Source:MGI Symbol;Acc:MGI:1889508] MEAITFTARKHPFPNEVSVDFGLQLVGSLPVHSLTTMPMLPWVVAEVRRLSGQCSKKEPR TKQVRLWVSPSGLRCEPDLEKSQPWDPLICSSIFECKPQRVHKLIHNSHDPSYFACLIKE DAAHRQSLCYVFKADDQTKVPEIISSIRQAGKIARQEELRCPSEFDDTFAKKFEVLFCGR VTVAHKKAPPALIDECIEKFNHVSCGRRTDWEAPTGQPSAPGPRPMRKSFSQPGLRSLAF RKEFQDASLRSSTFSSFDNDIENHLIGGHNVVQPTDMEENRTMLFTIGQSEVYLISPDTK KIALEKNFKEISFCSQGIRHVDHFGFICRECSGGGSGGFHFVCYVFQCTNEALVDEIMMT LKQAFTVAAVQQTAKAPAQLCEGCPLQGLHKLCERIEGMNSSKTKLELQKHLTTLTNQEQ ATIFEEVQKLRPRNEQRENELIISFLRCLYEEKQKEHSHTGEPKQTLQVAAENIGSDLPP SASRFRLDSLKNRAKRSLTESLESILSRGNKARGLQDHSASVDLDSSTSSTLSNTSKELS MGDKEAFPVSETSFKLLGSSDDLSSDSEGHIAEESALLSPQQAFRRRANTLSHFPVECPA PPEPAQSSPGVSQRKLMRYHSVSTETPHERNVDHLPGGESQGCPGQPSAPPPPRLNPSAS SPNFFKYLKHNSSGEQSGNAVPKSVSYRNALRKKLHSSSSVPNFLKFLAPVDENNTCDFK NTNRDFESKANHLGDTDGTPVKTRRHSWRQQIFLRVATPQKACDSPSRYEDYSELGELPP RSPLEPVCEDGPFGPVQEEKRKTSRELRELWKKAILQQILLLRMEKENQKLQASENDLLN KRLKLDYEEITPCLKEVTTVWEKMLSTPGRSKIKFDMEKVHSAVGQGVPRHHRGEIWKFL AEQFHLKHPFPSKQQPKDVPYKELLKKLTSQQHAILIDLGRTFPTHPYFSAQLGAGQLSL YNILKAYSLLDQEVGYCQGLSFVAGILLLHMSEEEAFKMLKFLMFDMGLRKQYRPDMIIL QIQMYQLSRLLHDYHRDLYNHLEEHEIGPSLYAAPWFLTVFASQFPLGFVARVFDMIFLQ GSEVIFKVALSLLGSHKPLILQHENLETIVDFIKNTLPNLGLVQMEKTISQVFEMDIAKQ LQAYEVEYHVLQEELIESSPLSDNQRMEKLEKTNSSLRKQNLDLLEQLQVANARIQSLEA TVEKLLTSESKLKQAALTLEVERSALLQMVEELRRQSARPSTPEPDCTQLEPTGD >ENSMUSP00000112493.1 pep:known chromosome:GRCm38:5:64159820:64351486:1 gene:ENSMUSG00000029174.18 transcript:ENSMUST00000121370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d1 description:TBC1 domain family, member 1 [Source:MGI Symbol;Acc:MGI:1889508] MEAITFTARKHPFPNEVSVDFGLQLVGSLPVHSLTTMPMLPWVVAEVRRLSGQCSKKEPR TKQVRLWVSPSGLRCEPDLEKSQPWDPLICSSIFECKPQRVHKLIHNSHDPSYFACLIKE DAAHRQSLCYVFKADDQTKVPEIISSIRQAGKIARQEELRCPSEFDDTFAKKFEVLFCGR VTVAHKKAPPALIDECIEKFNHVSCGRRTDWEAPTGQPSAPGPRPMRKSFSQPGLRSLAF RKEFQDASLRSSTFSSFDNDIENHLIGGHNVVQPTDMEENRTMLFTIGQSEVYLISPDTK KIALEKNFKEISFCSQGIRHVDHFGFICRECSGGGSGGFHFVCYVFQCTNEALVDEIMMT LKQAFTVAAVQQTAKAPAQLCEGCPLQGLHKLCERIEGMNSSKTKLELQKHLTTLTNQEQ ATIFEEVQKLRPRNEQRENELIISFLRCLYEEKQKEHSHTGEPKQTLQVAAENIGSDLPP SASRFRLDSLKNRAKRSLTESLESILSRGNKARGLQDHSASVDLDSSTSSTLSNTSKELS MGDKEAFPVSETSFKLLGSSDDLSSDSEGHIAEESALLSPQQAFRRRANTLSHFPVECPA PPEPAQSSPGVSQRKLMRYHSVSTETPHERKDFESKANHLGDTDGTPVKTRRHSWRQQIF LRVATPQKACDSPSRYEDYSELGELPPRSPLEPVCEDGPFGPVQEEKRKTSRELRELWKK AILQQILLLRMEKENQKLQASENDLLNKRLKLDYEEITPCLKEVTTVWEKMLSTPGRSKI KFDMEKVHSAVGQGVPRHHRGEIWKFLAEQFHLKHPFPSKQQPKDVPYKELLKKLTSQQH AILIDLGRTFPTHPYFSAQLGAGQLSLYNILKAYSLLDQEVGYCQGLSFVAGILLLHMSE EEAFKMLKFLMFDMGLRKQYRPDMIILQIQMYQLSRLLHDYHRDLYNHLEEHEIGPSLYA APWFLTVFASQFPLGFVARVFDMIFLQGSEVIFKVALSLLGSHKPLILQHENLETIVDFI KNTLPNLGLVQMEKTISQVFEMDIAKQLQAYEVEYHVLQEELIESSPLSDNQRMEKLEKT NSSLRKQNLDLLEQLQVANARIQSLEATVEKLLTSESKLKQAALTLEVERSALLQMVEEL RRQSARPSTPEPDCTQLEPTGD >ENSMUSP00000113643.1 pep:known chromosome:GRCm38:5:64230399:64351486:1 gene:ENSMUSG00000029174.18 transcript:ENSMUST00000119756.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d1 description:TBC1 domain family, member 1 [Source:MGI Symbol;Acc:MGI:1889508] MSPLFSWVAKVPEIISSIRQAGKIARQEELRCPSEFDDTFAKKFEVLFCGRVTVAHKKAP PALIDECIEKFNHVSCGRRTDWEAPTGQPSAPGPRPMRKSFSQPGLRSLAFRKEFQDASL RSSTFSSFDNDIENHLIGGHNVVQPTDMEENRTMLFTIGQSEVYLISPDTKKIALEKNFK EISFCSQGIRHVDHFGFICRECSGGGSGGFHFVCYVFQCTNEALVDEIMMTLKQAFTVAA VQQTAKAPAQLCEGCPLQGLHKLCERIEGMNSSKTKLELQKHLTTLTNQEQATIFEEVQK LRPRNEQRENELIISFLRCLYEEKQKEHSHTGEPKQTLQVAAENIGSDLPPSASRFRLDS LKNRAKRSLTESLESILSRGNKARGLQDHSASVDLDSSTSSTLSNTSKELSMGDKEAFPV SETSFKLLGSSDDLSSDSEGHIAEESALLSPQQAFRRRANTLSHFPVECPAPPEPAQSSP GVSQRKLMRYHSVSTETPHERKDFESKANHLGDTDGTPVKTRRHSWRQQIFLRVATPQKA CDSPSRYEDYSELGELPPRSPLEPVCEDGPFGPVQEEKRKTSRELRELWKKAILQQILLL RMEKENQKLQASENDLLNKRLKLDYEEITPCLKEVTTVWEKMLSTPGRSKIKFDMEKVHS AVGQGVPRHHRGEIWKFLAEQFHLKHPFPSKQQPKDVPYKELLKKLTSQQHAILIDLGRT FPTHPYFSAQLGAGQLSLYNILKAYSLLDQEVGYCQGLSFVAGILLLHMSEEEAFKMLKF LMFDMGLRKQYRPDMIILQIQMYQLSRLLHDYHRDLYNHLEEHEIGPSLYAAPWFLTVFA SQFPLGFVARVFDMIFLQGSEVIFKVALSLLGSHKPLILQHENLETIVDFIKNTLPNLGL VQMEKTISQVFEMDIAKQLQAYEVEYHVLQEELIESSPLSDNQRMEKLEKTNSSLRKQNL DLLEQLQVANARIQSLEATVEKLLTSESKLKQAALTLEVERSALLQMVEELRRQSARPST PEPDCTQLEPTGD >ENSMUSP00000119710.3 pep:known chromosome:GRCm38:5:64275420:64284739:1 gene:ENSMUSG00000029174.18 transcript:ENSMUST00000147348.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d1 description:TBC1 domain family, member 1 [Source:MGI Symbol;Acc:MGI:1889508] XENELIISFLRCLYEEKQKEHSHTGEPKQTLQVAAENIGSDLPPSASRFRLDSLKNRAKR SLTESLESILSRGNKARGLQDHSASVDLDSSTSSTLRAVHG >ENSMUSP00000098756.2 pep:known chromosome:GRCm38:5:64160211:64351486:1 gene:ENSMUSG00000029174.18 transcript:ENSMUST00000101195.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d1 description:TBC1 domain family, member 1 [Source:MGI Symbol;Acc:MGI:1889508] MEAITFTARKHPFPNEVSVDFGLQLVGSLPVHSLTTMPMLPWVVAEVRRLSGQCSKKEPR TKQVRLWVSPSGLRCEPDLEKSQPWDPLICSSIFECKPQRVHKLIHNSHDPSYFACLIKE DAAHRQSLCYVFKADDQTKVPEIISSIRQAGKIARQEELRCPSEFDDTFAKKFEVLFCGR VTVAHKKAPPALIDECIEKFNHVSCGRRTDWEAPTGQPSAPGPRPMRKSFSQPGLRSLAF RKEFQDASLRSSTFSSFDNDIENHLIGGHNVVQPTDMEENRTMLFTIGQSEVYLISPDTK KIALEKNFKEISFCSQGIRHVDHFGFICRECSGGGSGGFHFVCYVFQCTNEALVDEIMMT LKQAFTVAAVQQTAKAPAQLCEGCPLQGLHKLCERIEGMNSSKTKLELQKHLTTLTNQEQ ATIFEEVQKLRPRNEQRENELIISFLRCLYEEKQKEHSHTGEPKQTLQVAAENIGSDLPP SASRFRLDSLKNRAKRSLTESLESILSRGNKARGLQDHSASVDLDSSTSSTLSNTSKELS MGDKEAFPVSETSFKLLGSSDDLSSDSEGHIAEESALLSPQQAFRRRANTLSHFPVECPA PPEPAQSSPGVSQRKLMRYHSVSTETPHERKDFESKANHLGDTDGTPVKTRRHSWRQQIF LRVATPQKACDSPSRYEDYSELGELPPRSPLEPVCEDGPFGPVQEEKRKTSRELRELWKK AILQQILLLRMEKENQKLQASENDLLNKRLKLDYEEITPCLKEVTTVWEKMLSTPGRSKI KFDMEKVHSAVGQGVPRHHRGEIWKFLAEQFHLKHPFPSKQQPKDVPYKELLKKLTSQQH AILIDLGRTFPTHPYFSAQLGAGQLSLYNILKAYSLLDQEVGYCQGLSFVAGILLLHMSE EEAFKMLKFLMFDMGLRKQYRPDMIILQIQMYQLSRLLHDYHRDLYNHLEEHEIGPSLYA APWFLTVFASQFPLGFVARVFDMIFLQGSEVIFKVALSLLGSHKPLILQHENLETIVDFI KNTLPNLGLVQMEKTISQVFEMDIAKQLQAYEVEYHVLQEELIESSPLSDNQRMEKLEKT NSSLRKQNLDLLEQLQVANARIQSLEATVEKLLTSESKLKQAALTLEVERSALLQMVEEL RRQSARPSTPEPDCTQLEPTGD >ENSMUSP00000108237.2 pep:known chromosome:GRCm38:2:57238297:57370719:1 gene:ENSMUSG00000026827.12 transcript:ENSMUST00000112618.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpd2 description:glycerol phosphate dehydrogenase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:99778] MAFQKAVKGTILVGGGALATVLGLSPFAHYRRKQVSLAYVEAAGYLTEPVNREPPSREAQ LMTLKNTPEFDILVIGGGATGCGCALDAVTRGLKTALVERDDFSSGTSSRSTKLIHGGVR YLQKAIMNLDVEQYRMVKEALHERANLLEIAPHLSAPLPIMLPLYKWWQLPYYWVGIKMY DLVAGSQCLKSSYVLSKSRALEHFPMLQKDKLVGAIVYYDGQHNDARMNLAIALTAARYG AATANYMEVVSLLKKTDPETGKERVSGARCKDVLTGQEFDVRAKCVINASGPFTDSVRKM DDKNVVPICQPSAGVHIVMPGYYSPENMGLLDPATSDGRVIFFLPWEKMTIAGTTDTPTD VTHHPIPSEEDINFILNEVRNYLSSDVEVRRGDVLAAWSGIRPLVTDPKSADTQSISRNH VVDISDSGLITIAGGKWTTYRSMAEDTVDAAVKFHNLNAGPSRTVGLFLQGGKDWSPTLY IRLVQDYGLESEVAQHLAKTYGDKAFEVAKMASVTGKRWPVVGVRLVSEFPYIEAEVKYG IKEYACTAVDMISRRTRLAFLNVQAAEEALPRIVELMGRELNWSELRKQCVTYDSDGSTV ATSWSSQEELETATRFLYYEMGYKSRTEQLTDSTEISLLPSDIDRYKKRFHKFDEDEKGF ITIVDVQRVLESINVQMDENTLHEILCEVDLNKNGQVELHEFLQLMSAVQKGRVSGSRLA ILMKTAEENLDRRVPIPVDRSCGGL >ENSMUSP00000028167.2 pep:known chromosome:GRCm38:2:57238382:57370719:1 gene:ENSMUSG00000026827.12 transcript:ENSMUST00000028167.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpd2 description:glycerol phosphate dehydrogenase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:99778] MAFQKAVKGTILVGGGALATVLGLSPFAHYRRKQVSLAYVEAAGYLTEPVNREPPSREAQ LMTLKNTPEFDILVIGGGATGCGCALDAVTRGLKTALVERDDFSSGTSSRSTKLIHGGVR YLQKAIMNLDVEQYRMVKEALHERANLLEIAPHLSAPLPIMLPLYKWWQLPYYWVGIKMY DLVAGSQCLKSSYVLSKSRALEHFPMLQKDKLVGAIVYYDGQHNDARMNLAIALTAARYG AATANYMEVVSLLKKTDPETGKERVSGARCKDVLTGQEFDVRAKCVINASGPFTDSVRKM DDKNVVPICQPSAGVHIVMPGYYSPENMGLLDPATSDGRVIFFLPWEKMTIAGTTDTPTD VTHHPIPSEEDINFILNEVRNYLSSDVEVRRGDVLAAWSGIRPLVTDPKSADTQSISRNH VVDISDSGLITIAGGKWTTYRSMAEDTVDAAVKFHNLNAGPSRTVGLFLQGGKDWSPTLY IRLVQDYGLESEVAQHLAKTYGDKAFEVAKMASVTGKRWPVVGVRLVSEFPYIEAEVKYG IKEYACTAVDMISRRTRLAFLNVQAAEEALPRIVELMGRELNWSELRKQEELETATRFLY YEMGYKSRTEQLTDSTEISLLPSDIDRYKKRFHKFDEDEKGFITIVDVQRVLESINVQMD ENTLHEILCEVDLNKNGQVELHEFLQLMSAVQKGRVSGSRLAILMKTAEENLDRRVPIPV DRSCGGL >ENSMUSP00000130992.1 pep:known chromosome:GRCm38:2:57237678:57370719:1 gene:ENSMUSG00000026827.12 transcript:ENSMUST00000169687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpd2 description:glycerol phosphate dehydrogenase 2, mitochondrial [Source:MGI Symbol;Acc:MGI:99778] MAFQKAVKGTILVGGGALATVLGLSPFAHYRRKQVSLAYVEAAGYLTEPVNREPPSREAQ LMTLKNTPEFDILVIGGGATGCGCALDAVTRGLKTALVERDDFSSGTSSRSTKLIHGGVR YLQKAIMNLDVEQYRMVKEALHERANLLEIAPHLSAPLPIMLPLYKWWQLPYYWVGIKMY DLVAGSQCLKSSYVLSKSRALEHFPMLQKDKLVGAIVYYDGQHNDARMNLAIALTAARYG AATANYMEVVSLLKKTDPETGKERVSGARCKDVLTGQEFDVRAKCVINASGPFTDSVRKM DDKNVVPICQPSAGVHIVMPGYYSPENMGLLDPATSDGRVIFFLPWEKMTIAGTTDTPTD VTHHPIPSEEDINFILNEVRNYLSSDVEVRRGDVLAAWSGIRPLVTDPKSADTQSISRNH VVDISDSGLITIAGGKWTTYRSMAEDTVDAAVKFHNLNAGPSRTVGLFLQGGKDWSPTLY IRLVQDYGLESEVAQHLAKTYGDKAFEVAKMASVTGKRWPVVGVRLVSEFPYIEAEVKYG IKEYACTAVDMISRRTRLAFLNVQAAEEALPRIVELMGRELNWSELRKQEELETATRFLY YEMGYKSRTEQLTDSTEISLLPSDIDRYKKRFHKFDEDEKGFITIVDVQRVLESINVQMD ENTLHEILCEVDLNKNGQVELHEFLQLMSAVQKGRVSGSRLAILMKTAEENLDRRVPIPV DRSCGGL >ENSMUSP00000099774.3 pep:known chromosome:GRCm38:2:68582413:68616387:1 gene:ENSMUSG00000053896.13 transcript:ENSMUST00000102713.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933409G03Rik description:RIKEN cDNA 4933409G03 gene [Source:MGI Symbol;Acc:MGI:3045336] MPTTTEVEDFHQDVAAEEAEANPSQSEPGLGVSPEEKAKTSDHNENNWSLDSGNSRDEEE NSKDISKGRVLDLSVEEVIEVSSEEEQKGGDEEEDEDNDGDDEDGDDGDDEGGDDEDGGD EDGDDGDGGDDEGVAGQAQLVVEETKQSAGRSNQEDPSNKLSLFQEDEDLTEHSKGAATE QPLGKDEDPKSHRDEESDETTEEEETKPPLGQDKASIPQTDEDSTEDSEEEARKQPVEKC NQENYCQKTNNDKNFAQIFEDSSMDSEEEAAEQPVGKRNQRSPCKQMNNDSLTDGNSGDN TNNSDMLEDMHEDCSMLKHY >ENSMUSP00000117165.1 pep:known chromosome:GRCm38:2:68592765:68614705:1 gene:ENSMUSG00000053896.13 transcript:ENSMUST00000137117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933409G03Rik description:RIKEN cDNA 4933409G03 gene [Source:MGI Symbol;Acc:MGI:3045336] XGNSRDEEENSKDISKGRVLAWIEKDDSLKPTTTEKKSSSDDIEIIMLKPFNSDLSVEEV IEVSSEEEQKGGDEEEDEDNDGDDEDGDDGDDEGGDDEDGGDEDGDDGDGGDDEGVAGQA QLVVEETKQSAGRSNQEDPSNKLSLFQEDEDLTEHSKGAATEQPLGKDEDPKSHRDEESD ETTEEEETKPPLGQDK >ENSMUSP00000077611.5 pep:known chromosome:GRCm38:3:79641611:79737794:-1 gene:ENSMUSG00000034009.14 transcript:ENSMUST00000078527.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxfp1 description:relaxin/insulin-like family peptide receptor 1 [Source:MGI Symbol;Acc:MGI:2682211] MTSGPFFFCIFIIGKYFTLGSAQDVSCPLGSFPCGNMSRCLPQLLHCNGVDDCGNRADED HCGDNNGWSLQLDKYFANYYKLASTNSFEAETSECLVGSVPMHCLCRDLELDCDEANLRA VPSVSSNVTVMSLQRNFIRTLPPNGFRKYHELQKLCLQNNRIHSVSVSAFRGLRSLTKLY LSHNRITFLKPGVFEDLHRLEWLIIEDNHLSRISPLTFYGLNSLILLVLMNNALTRLPDK PLCQHMPRLHWLDFEGNRIHNLRNLTFISCNNLTVLVMRKNKINYLNEHAFTHLQKLDEL DLGSNKIENLPPNIFKDLKELSQLNISYNPIQKIEVNQFDCLAKLKSLSLEGIEISNIQQ RMFRPLINLSHIYFKKFQYCGYAPHVRSCKPNTDGISSLENLLASIIQRVFVWVVSAITC FGNIFVICMRPYIRSENKLHAMSIISLCCADCLMGVYLFVIGAFDLKFRGEYNKHAQPWM ESVHCQFMGSLAILSTEVSVLLLTFLTLEKYICIVYPFRCLRPRKCRTITVLIFIWIIGF IVAFAPLGNKEFFKNYYGTNGVCFPLHSEDTGSTGAQIYSVVIFLGINLVAFIIIVFSYG SMFYSVHQSSVTVTEIQKQVKKEVVLAKRFFFIVFTDALCWIPIFILKFLSLLQVEIPDS ITSWVVIFILPINSALNPIIYTLTTRPFKEMIHQLWHNYRQRRSVDRKETQKAYAPSFIW VEMWPLQEMSSGFMKPGAFTDPCDLSLVSQSSRLNSYS >ENSMUSP00000138578.1 pep:known chromosome:GRCm38:3:79644112:79737880:-1 gene:ENSMUSG00000034009.14 transcript:ENSMUST00000182491.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rxfp1 description:relaxin/insulin-like family peptide receptor 1 [Source:MGI Symbol;Acc:MGI:2682211] MTSGPFFFCIFIIGKYFTLGSAQDVSCPLGSFPCGNMSRCLPQLLHCNGVDDCGNRADED HCGDNNGWSLQLDKYFANYYKLASTNSFEAETSECCPCRGTS >ENSMUSP00000100059.3 pep:known chromosome:GRCm38:4:25248605:25281821:-1 gene:ENSMUSG00000040359.14 transcript:ENSMUST00000102994.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufl1 description:UFM1 specific ligase 1 [Source:MGI Symbol;Acc:MGI:1914740] MADAWEEIRRLAADFQRAQFAESTQRLSERNCIEIVNKLISQKQLEVVHTLDGKEYITPA QISKEMRDELHVRGGRVNIVDLQQVINVDLTHIESRVSDIIKSEKHVQMVLGQLIDENYL DQLSEEVNDKLQESGQVTVSELCKAYDLPGDFLTQALTQRLGRIINGHLDLDNRGVIFTE AFVARHKARIRGLFSAITRPTPVNSLVSKYGFQEQLLYSVLEDLVSTGRLRGTVVGGRQD KAVFVPDIYSRTQSTWVDSFFRQNGYLEFDALSRLGIPDAVNYIKKRYKNTQLLFLKATC VGQGLVDQVEASVEEAISSGTWVDISPLLPSSLSVEDAAMLLQQVMRPFGKLASAIVFSD TVVVSEKFITDCTGLFSERMHQKAEKEMKNNPVHLITEEDLKQISILESVNTSKKDKKDE RRKKATEGSGSVRGGGGGNAREYKIKKTKKKGRKDEDSDDESQSSHGGKKKPDITFMFQD EIEDCLRKHIQDAPEEFISELAEYLIKPLNKMYLEVVRSVFMSSTSASGTGRKRTIKDLQ EEVSNLYNNIRLFEKGMKYFADDTQTALTKHLLKTVCTDITNLMFNFLASDFLMAVEEPA AITSDIRKKILSKLTEETKVALTKLHNSLNEKSIEDFLSCLDSATEACDIMVKKGDKKRE RQILFQHRQALCEQLKVTEDPALILHLTAVLLFQLSTHSMLHAPGRCVPQIIAFLHSKIP EDQHTLLVKYQGLVVKQLVSQNKKTGQGEDPSSDELDKEQHDVTNATRKELQELSLSIKD LVLKSRKSSVTEE >ENSMUSP00000042118.7 pep:known chromosome:GRCm38:4:25250147:25281763:-1 gene:ENSMUSG00000040359.14 transcript:ENSMUST00000038705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ufl1 description:UFM1 specific ligase 1 [Source:MGI Symbol;Acc:MGI:1914740] MSEVVINVDLTHIESRVSDIIKSEKHVQMVLGQLIDENYLDQLSEEVNDKLQESGQVTVS ELCKAYDLPGDFLTQALTQRLGRIINGHLDLDNRGVIFTEAFVARHKARIRGLFSAITRP TPVNSLVSKYGFQEQLLYSVLEDLVSTGRLRGTVVGGRQDKAVFVPDIYSRTQSTWVDSF FRQNGYLEFDALSRLGIPDAVNYIKKRYKNTQLLFLKATCVGQGLVDQVEASVEEAISSG TWVDISPLLPSSLSVEDAAMLLQQVMRPFGKLASAIVFSDTVVVSEKFITDCTGLFSERM HQKAEKEMKNNPVHLITEEDLKQISILESVNTSKKDKKDERRKKATEGSGSVRGGGGGNA REYKIKKTKKKGRKDEDSDDESQSSHGGKKKPDITFMFQDEIEDCLRKHIQDAPEEFISE LAEYLIKPLNKMYLEVVRSVFMSSTSASGTGRKRTIKDLQEEVSNLYNNIRLFEKGMKYF ADDTQTALTKHLLKTVCTDITNLMFNFLASDFLMAVEEPAAITSDIRKKILSKLTEETKV ALTKLHNSLNEKSIEDFLSCLDSATEACDIMVKKGDKKRERQILFQHRQALCEQLKVTED PALILHLTAVLLFQLSTHSMLHAPGRCVPQIIAFLHSKIPEDQHTLLVKYQGLVVKQLVS QNKKTGQGEDPSSDELDKEQHDVTNATRKELQELSLSIKDLVLKSRKSSVTEE >ENSMUSP00000101180.1 pep:known chromosome:GRCm38:10:13523847:13552838:-1 gene:ENSMUSG00000019809.16 transcript:ENSMUST00000105541.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex3 description:peroxisomal biogenesis factor 3 [Source:MGI Symbol;Acc:MGI:1929646] MTVLSMLPTLREALMQQLNSESLTALLKSRPSNKLEIWEDLKIISFTRSIVAVYSTCMLV VLLRVQLNIIGGYIYLDNATVGKNGTTVLAPPDVQQQYLSSIQHLLGDGLTELVTVIKQA VQRILGSVSLKHSLSLLDLEQKLKEIRILVEQHQSSWNDKDVSRSSLCQYMMPDEETPLA AQAYGLSHRDITTIKLLNETRDMLESPDFSTVLNTCLNRGFSRLLDNMAEFFRPTEQDLQ HGNSINSLSSVSLPLAKIIPIVNGQIHSVCSETPSHFVQLTCGSSLRRALEQK >ENSMUSP00000019945.8 pep:known chromosome:GRCm38:10:13524116:13553139:-1 gene:ENSMUSG00000019809.16 transcript:ENSMUST00000019945.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex3 description:peroxisomal biogenesis factor 3 [Source:MGI Symbol;Acc:MGI:1929646] MLRSMWNFLKRHKKKCIFLGTVLGGVYILGKYGQKKIREIQEREAAEYIAQARRQYHFES NQRTCNMTVLSMLPTLREALMQQLNSESLTALLKSRPSNKLEIWEDLKIISFTRSIVAVY STCMLVVLLRVQLNIIGGYIYLDNATVGKNGTTVLAPPDVQQQYLSSIQHLLGDGLTELV TVIKQAVQRILGSVSLKHSLSLLDLEQKLKEIRILVEQHQSSWNDKDVSRSSLCQYMMPD EETPLAAQAYGLSHRDITTIKLLNETRDMLESPDFSTVLNTCLNRGFSRLLDNMAEFFRP TEQDLQHGNSINSLSSVSLPLAKIIPIVNGQIHSVCSETPSHFVQDLLMMEQVKDFAANV YEAFSTPQQLEK >ENSMUSP00000101178.1 pep:known chromosome:GRCm38:10:13524142:13553120:-1 gene:ENSMUSG00000019809.16 transcript:ENSMUST00000105539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex3 description:peroxisomal biogenesis factor 3 [Source:MGI Symbol;Acc:MGI:1929646] MTVLSMLPTLREALMQQLNSESLTALLKSRPSNKLEIWEDLKIISFTRSIVAVYSTCMLV VLLRVQLNIIGGYIYLDNATVGKNGTTVLAPPDVQQQYLSSIQHLLGDGLTELVTVIKQA VQRILGSVSLKHSLSLLDLEQKLKEIRILVEQHQSSWNDKDVSRSSLCQYMMPDEETPLA AQAYGLSHRDITTIKLLNETRDMLESPDFSTVLNTCLNRGFSRLLDNMAEFFRPTEQDLQ HGNSINSLSSVSLPLAKIIPIVNGQIHSVCSETPSHFVQDLLMMEQVKDFAANVYEAFST PQQLEK >ENSMUSP00000128512.1 pep:known chromosome:GRCm38:10:13523842:13553142:-1 gene:ENSMUSG00000019809.16 transcript:ENSMUST00000170376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex3 description:peroxisomal biogenesis factor 3 [Source:MGI Symbol;Acc:MGI:1929646] MLRSMWNFLKRHKKKCIFLGTVLGGVYILGKYGQKKIREIQEREAAEYIAQARRQYHFES NQRTCNMTVLSMLPTLREALMQQLNSESLTALLKSRPSNKLEIWEDLKIISFTRSIVAVY STCMLVVLLRVQLNIIGGYIYLDNATVGKNGTTVLAPPDVQQQYLSSIQHLLGDGLTELV TVIKQAVQRILGSVSLKHSLSLLDLEQKLKEIRILVEQHQSSWNDKDVSRSSLCQYMMPD EETPLAAQAYGLSHRDITTIKLLNETRDMLESPDFSTVLNTCLNRGFSRLLDNMAEFFRP TEQDLQHGNSINSLSSVSLPLAKIIPIVNGQIHSVCSETPSHFVQLTCGSSLRRALEQK >ENSMUSP00000105493.1 pep:known chromosome:GRCm38:11:5276324:5444847:-1 gene:ENSMUSG00000041961.14 transcript:ENSMUST00000109867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf3 description:zinc and ring finger 3 [Source:MGI Symbol;Acc:MGI:3039616] MRPRSGGRPGAPGRRRRRLRRGPRGRRLPPPPPLPLLLGLLLAAAGPGAARAKETAFVEV VLFESSPSGDYTTHTTGLTGRFSRAGAMLSAEGEIVQMHPLGLCNNNDEEDLYEYGWVGV VKLEQPELDPKPCLTVLGKAKRAVQRGATAVIFDVSENPEAIDQLNQGSEDPLKRPVVYV KGADAIKLMNIVNKQKVARARIQHLPPRQPTEYFDMGIFLAFFVVVSLVCLILLVKIKLK QRRSQNSMNRLAVQALEKMETRKFNSKSKGRREGSCGALDTLSSGSTSDCAICLEKYIDG EELRVIPCTHRFHRKCVDPWLLQHHTCPHCRHNIIEQKGNPGAVCVETSNLTRGRQPRVT LPVHYPGRVHRTNAIPAYPTRTSMDSHGNPVTLLTMDRHGEQNLYSPQTPTYVRGYPPLH LDHTLAPHRCSLEHRAYSPAHPFRRPKFSSRSFSKAACFSQYETMYQHYYFQGLSYPEQE GQTIPSVTPRGQSRAFPPSGASSLLFPTMVHVAPPTHVESGSTSSFSCYHGHRSVCSGYL ADCPGSDSSSNSSGQCRCSSSDSVVDCTEVSNQGVYGSCSTFRSSLSSDYDPFIYRSRGP AVHLEGSPPPEELPAGHSQSAGRGEPWLGPASPSGDQLSTCSLEMNYSSNSSLEPRGPNS STSEVGLEVSPGAALDLRRTWKGGPEGPSCACCFEPQPFPPGSGIETSAGGSSLFLGPRL LEDCNPPSGEPQLGSSQGLYGLHSDHYPRTDGVKYEGLPCCFYEEKQVAHSAGRGNGCYT EDYSVSVQYTLTEEPPPSCYAGPRDLSQRIPIIPEDVDCDLGLPQDCHGMHNHSPWGGAL SLDVPRLHWSLGTTREEEQAPCYQAEVQPGCSPEEAGASRASLSSAPQDTQESHALAAEA SGPGSGPGIGTGA >ENSMUSP00000134698.1 pep:known chromosome:GRCm38:11:5278602:5381740:-1 gene:ENSMUSG00000041961.14 transcript:ENSMUST00000172492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf3 description:zinc and ring finger 3 [Source:MGI Symbol;Acc:MGI:3039616] MMHPLGLCNNNDEEDLYEYGWVGVVKLEQPELDPKPCLTVLGKAKRAVQRGATAVIFDVS ENPEAIDQLNQGSEDPLKRPVVYVKGADAIKLMNIVNKQKVARARIQHLPPRQPTEYFDM GIFLAFFVVVSLVCLILLVKIKLKQRRSQNSMNRLAVQALEKMETRKFNSKSKGRREGSC GALDTLSSGSTSDCAICLEKYIDGEELRVIPCTHRFHRKCVDPWLLQHHTCPHCRHNIIE QKGNPGAVCVETSNLTRGRQPRVTLPVHYPGRVHRTNAIPAYPTRTSMDSHGNPVTLLTM DRHGEQNLYSPQTPTYVRGYPPLHLDHTLAPHRCSLEHRAYSPAHPFRRPKFSSRSFSKA ACFSQYETMYQHYYFQGLSYPEQEGQTIPSVTPRGQSRAFPPSGASSLLFPTMVHVAPPT HVESGSTSSFSCYHGHRSVCSGYLADCPGSDSSSNSSGQCRCSSSDSVVDCTEVSNQGVY GSCSTFRSSLSSDYDPFIYRSRGPAVHLEGSPPPEELPAGHSQSAGRGEPWLGPASPSGD QLSTCSLEMNYSSNSSLEPRGPNSSTSEVGLEVSPGAALDLRRTWKGGPEGPSCACCFEP QPFPPGSGIETSAGGSSLFLGPRLLEDCNPPSGEPQLGSSQGLYGLHSDHYPRTDGVKYE GLPCCFYEEKQVAHSAGRGNGCYTEDYSVSVQYTLTEEPPPSCYAGPRDLSQRIPIIPED VDCDLGLPQDCHGMHNHSPWGGALSLDVPRLHWSLGTTREEEQAPCYQAEVQPGCSPEEA GASRASLSSAPQDTQESHALAAEASGENR >ENSMUSP00000122475.2 pep:known chromosome:GRCm38:11:5288797:5444847:-1 gene:ENSMUSG00000041961.14 transcript:ENSMUST00000143746.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Znrf3 description:zinc and ring finger 3 [Source:MGI Symbol;Acc:MGI:3039616] MRPRSGGRPGAPGRRRRRLRRGPRGRRLPPPPPLPLLLGLLLAAAGPGAARAKETAFVEV VLFESSPSGDYTTHTTGLTGRFSRAGAMLSAEGEIVQMHPLGLCNNNDEEDLYEYGWVGV VKLEQPELDPKPCLTVLGKAKRAVQRGATAVIFDVSENPEAIDQLNQGSEDPLKRPVVYV KGADAIKLMNIVNKQKVARARIQHLPPRVSGSLCVVLSVLLFPLSFQPSHPCIYNAGVM >ENSMUSP00000123189.1 pep:known chromosome:GRCm38:16:10545364:10744878:1 gene:ENSMUSG00000068663.13 transcript:ENSMUST00000155633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec16a description:C-type lectin domain family 16, member A [Source:MGI Symbol;Acc:MGI:1921624] MFGRSRSWVGGGHSKSSRNIHSLDHLKYLYHVLTKNTTVTEQNRNLLVETIRSITEILIW GDQNDSSVFDFFLEKNMFVFFLNILRQKSGRYVCVQLLQTLNILFENISHETSLYYLLSN NYVNSIIVHKFDFSDEEIMAYYISFLKTLSLKLNNHTVHFFYNEHTNDFALYTEAIKFFN HPESMVRIAVRTITLNVYKVDNQAMLHYIRDKTAVPYFSNLVWFIGSHVIELDNCVQTDE EHRNRGKLSDLVAEHLDHLHYLNDILIINCEFLNDVLTDHLLNRLFLPLYVYSLENPDKG GERPKISLPVSLYLLSQVFLIIHHAPLVNSLAEVILNGDLSETYTKPAQDVPRSSAKPSI RCFIKPTETLERSLEMNKHKGKKRMQKRPNYKNVGEEEDEERGSAEDAQEDAEKTKEIEM VIMKLGKLSEVAAAGTSVQEQNTTDEEKSAATNSENAQWSRPFLDMVYHALDSPDDDYHA LFVLCLLYAMSHNKGMDPEKLKRIQLPVPSEAEKTTYNHLLAERLIRIMNNAAQPDGRIR LATLELSCLLLKQQVLTSSGCVIKDVHLACLEGAREESVHLVRHFYKGEEIFLDMFEDEY RSMTIKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEKTRRAIRVFFMLRSLSL QLRGEPETQLPLTREEDLIKTDDVLDLNNSDLIACTVITKDGGMVQRFLAVDIYQMSLVE PDVSRLGWGVVKFAGLLQDMQVTGVEDDSRALNITIHKPASSPHSKPFPILQATFVFSDH IRCIIAKQRLAKGRIQARRMKMQRIAALLDLPIQPTTEVLGFGLCSSSSSSQHLPFRFYE QCRRGSSDPTVQRSVFASVDKVPGFAVAQCINQHSSPSLSSPSPPFASGSPGGSGSTSHC DSGGSSSAPSATQSPADAPTTPEQPQPHLDQSVIGNEMDVNSKPSKNSSARSSEGETMHL SPSLLPAQQPTISLLYEDTADTLSVESLTIVPPVDPHSLRALSGISQLPTLPAADTETPA EGAVNPEPAEPTEH >ENSMUSP00000111494.2 pep:known chromosome:GRCm38:16:10545409:10741824:1 gene:ENSMUSG00000068663.13 transcript:ENSMUST00000115828.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clec16a description:C-type lectin domain family 16, member A [Source:MGI Symbol;Acc:MGI:1921624] MFGRSRSWVGGGHSKSSRNIHSLDHLKYLYHVLTKNTTVTEQNRNLLVETIRSITEILIW GDQNDSSVFDFFLEKNMFVFFLNILRQKSGRYVCVQLLQTLNILFENISHETSLYYLLSN NYVNSIIVHKFDFSDEEIMAYYISFLKTLSLKLNNHTVHFFYNEHTNDFALYTEAIKFFN HPESMVRIAVRTITLNVYKVDNQAMLHYIRDKTAVPYFSNLVWFIGSHVIELDNCVQTDE EHRNRGKLSDLVAEHLDHLHYLNDILIINCEFLNDVLTDHLLNRLFLPLYVYSLENPDKG GERPKISLPVSLYLLSQVFLIIHHAPLVNSLAEVILNGDLSETYTKPAQDVPRSSAKPSI RCFIKPTETLERSLEMNKHKGKKRMQKRPNYKNVGEEEDEERGSAEDAQEDAEKTKGTEG GSKSMKTSGEREEIEMVIMKLGKLSEVAAAGTSVQEQNTTDEEKSAATNSENAQWSRPFL DMVYHALDSPDDDYHALFVLCLLYAMSHNKGMDPEKLKRIQLPVPSEAEKTTYNHLLAER LIRIMNNAAQPDGRIRLATLELSCLLLKQQVLTSSGCVIKDVHLACLEGAREESVHLVRH FYKGEEIFLDMFEDEYRSMTIKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEK TRRITVT >ENSMUSP00000111489.1 pep:known chromosome:GRCm38:16:10600551:10742465:1 gene:ENSMUSG00000068663.13 transcript:ENSMUST00000115823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec16a description:C-type lectin domain family 16, member A [Source:MGI Symbol;Acc:MGI:1921624] MVIMKLGKLSEVAAAGTSVQEQNTTDEEKSAATNSENAQWSRPFLDMVYHALDSPDDDYH ALFVLCLLYAMSHNKGMDPEKLKRIQLPVPSEAEKTTYNHLLAERLIRIMNNAAQPDGRI RLATLELSCLLLKQQVLTSSGCVIKDVHLACLEGAREESVHLVRHFYKGEEIFLDMFEDE YRSMTIKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEKTRRAIRVFFMLRSLS LQLRGEPETQLPLTREEDLIKTDDVLDLNNSDLIACTVITKDGGMVQRFLAVDIYQMSLV EPDVSRLGWGVVKFAGLLQDMQVTGVEDDSRALNITIHKPASSPHSKPFPILQATFVFSD HIRCIIAKQRLAKGRIQARRMKMQRIAALLDLPIQPTTEVLGFGLCSSSSSSQHLPFRFY EQCRRGSSDPTVQRSVFASVDKVPGFAVAQCINQHSSPSLSSPSPPFASGSPGGSGSTSH CDSGGSSSAPSATQSPAVRSGRKGRRRVFSLSEADSHGGHWV >ENSMUSP00000111493.1 pep:known chromosome:GRCm38:16:10545398:10721418:1 gene:ENSMUSG00000068663.13 transcript:ENSMUST00000115827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec16a description:C-type lectin domain family 16, member A [Source:MGI Symbol;Acc:MGI:1921624] MFGRSRSWVGGGHSKSSRNIHSLDHLKYLYHVLTKNTTVTEQNRNLLVETIRSITEILIW GDQNDSSVFDFFLEKNMFVFFLNILRQKSGRYVCVQLLQTLNILFENISHETSLYYLLSN NYVNSIIVHKFDFSDEEIMAYYISFLKTLSLKLNNHTVHFFYNEHTNDFALYTEAIKFFN HPESMVRIAVRTITLNVYKVDNQAMLHYIRDKTAVPYFSNLVWFIGSHVIELDNCVQTDE EHRNRGKLSDLVAEHLDHLHYLNDILIINCEFLNDVLTDHLLNRLFLPLYVYSLENPDKG GERPKISLPVSLYLLSQVFLIIHHAPLVNSLAEVILNGDLSETYTKPAQDVPRSSAKPSI RCFIKPTETLERSLEMNKHKGKKRMQKRPNYKNVGEEEDEERGSAEDAQEDAEKTKGTEG GSKSMKTSGEREEIEMVIMKLGKLSEVAAAGTSVQEQNTTDEEKSAATNSENAQWSRPFL DMVYHALDSPDDDYHALFVLCLLYAMSHNKGMDPEKLKRIQLPVPSEAEKTTYNHLLAER LIRIMNNAAQPDGRIRLATLELSCLLLKQQVLTSSGCVIKDVHLACLEGAREESVHLVRH FYKGEEIFLDMFEDEYRSMTIKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEK TRRAIRVFFMLRSLSLQLRGEPETQLPLTREEDLIKTDDVLDLNNSDLIACTVITKDGGM VQRFLAVDIYQMSLVEPDVSRLGWGVVKFAGLLQDMQVTGVEDDSRALNITIHKPASSPH SKPFPILQATFVFSDHIRCIIAKQRLAKGRIQARRMKMQRIAALLDLPIQPTTEVLGFGL CSSSSSSQHLPFRFYEQCRRGSSDPTVQRSVFASVDKVPGFHV >ENSMUSP00000040267.6 pep:known chromosome:GRCm38:16:10545409:10695728:1 gene:ENSMUSG00000068663.13 transcript:ENSMUST00000038145.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec16a description:C-type lectin domain family 16, member A [Source:MGI Symbol;Acc:MGI:1921624] MFGRSRSWVGGGHSKSSRNIHSLDHLKYLYHVLTKNTTVTEQNRNLLVETIRSITEILIW GDQNDSSVFDFFLEKNMFVFFLNILRQKSGRYVCVQLLQTLNILFENISHETSLYYLLSN NYVNSIIVHKFDFSDEEIMAYYISFLKTLSLKLNNHTVHFFYNEHTNDFALYTEAIKFFN HPESMVRIAVRTITLNVYKVDNQAMLHYIRDKTAVPYFSNLVWFIGSHVIELDNCVQTDE EHRNRGKLSDLVAEHLDHLHYLNDILIINCEFLNDVLTDHLLNRLFLPLYVYSLENPDKG GERPKISLPVSLYLLSQVFLIIHHAPLVNSLAEVILNGDLSETYTKPAQDVPRSSAKPSI RCFIKPTETLERSLEMNKHKGKKRMQKRPNYKNVGEEEDEERGSAEDAQEDAEKTKGTEG GSKSMKTSGEREEIEMVIMKLGKLSEVAAAGTSVQEQNTTDEEKSAATNSENAQWSRPFL DMVYHALDSPDDDYHALFVLCLLYAMSHNKGMDPEKLKRIQLPVPSEAEKTTYNHLLAER LIRIMNNAAQPDGRIRLATLELSCLLLKQQVLTSSGCVIKDVHLACLEGAREESVHLVRH FYKGEEIFLDMFEDEYRSMTIKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEK TRRAIRVFFMLRSLSLQLRGEPETQLPLTREEDLIKTDDVLDLNNSDLIACTVITKDGGM VQRFLAVDIYQMSLVEPDVSRLGWGVVKFAGLLQDMQVTGVEDDSRALNITIHKPASSPH SKPFPILQATFVFSDHIRCIIAKQRLAKGRIQARRMKMQRIAALLDLPIQPTTEVLGFGL CSSSSSSQHLPFRFYEQCRRGSSDPTVQRSVFASVDKVPGKPHLLLGTQAAFLLSPLEAF LSESRLLAALAS >ENSMUSP00000114577.1 pep:known chromosome:GRCm38:16:10545409:10559738:1 gene:ENSMUSG00000068663.13 transcript:ENSMUST00000150894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec16a description:C-type lectin domain family 16, member A [Source:MGI Symbol;Acc:MGI:1921624] MFGRSRSWVGGGHSKSSRNIHSLDHLKYLALTTVSWHPSLMTEISHTYSSFSFTESESPC VLWEPLI >ENSMUSP00000065423.7 pep:known chromosome:GRCm38:16:10545339:10744878:1 gene:ENSMUSG00000068663.13 transcript:ENSMUST00000066345.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec16a description:C-type lectin domain family 16, member A [Source:MGI Symbol;Acc:MGI:1921624] MFGRSRSWVGGGHSKSSRNIHSLDHLKYLYHVLTKNTTVTEQNRNLLVETIRSITEILIW GDQNDSSVFDFFLEKNMFVFFLNILRQKSGRYVCVQLLQTLNILFENISHETSLYYLLSN NYVNSIIVHKFDFSDEEIMAYYISFLKTLSLKLNNHTVHFFYNEHTNDFALYTEAIKFFN HPESMVRIAVRTITLNVYKVSLDNQAMLHYIRDKTAVPYFSNLVWFIGSHVIELDNCVQT DEEHRNRGKLSDLVAEHLDHLHYLNDILIINCEFLNDVLTDHLLNRLFLPLYVYSLENPD KGGERPKISLPVSLYLLSQVFLIIHHAPLVNSLAEVILNGDLSETYTKPAQDVPRSSAKP SIRCFIKPTETLERSLEMNKHKGKKRMQKRPNYKNVGEEEDEERGSAEDAQEDAEKTKEI EMVIMKLGKLSEVAAAGTSVQEQNTTDEEKSAATNSENAQWSRPFLDMVYHALDSPDDDY HALFVLCLLYAMSHNKGMDPEKLKRIQLPVPSEAEKTTYNHLLAERLIRIMNNAAQPDGR IRLATLELSCLLLKQQVLTSSGCVIKDVHLACLEGAREESVHLVRHFYKGEEIFLDMFED EYRSMTIKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEKTRRAIRVFFMLRSL SLQLRGEPETQLPLTREEDLIKTDDVLDLNNSDLIACTVITKDGGMVQRFLAVDIYQMSL VEPDVSRLGWGVVKFAGLLQDMQVTGVEDDSRALNITIHKPASSPHSKPFPILQATFVFS DHIRCIIAKQRLAKGRIQARRMKMQRIAALLDLPIQPTTEVLGFGLCSSSSSSQHLPFRF YEQCRRGSSDPTVQRSVFASVDKVPGFAVAQCINQHSSPSLSSPSPPFASGSPGGSGSTS HCDSGGSSSAPSATQSPADAPTTPEQPQPHLDQSVIGNEMDVNSKPSKNSSARSSEGETM HLSPSLLPAQQPTISLLYEDTADTLSVESLTIVPPVDPHSLRALSGISQLPTLPAADTET PAEGAVNPEPAEPTEH >ENSMUSP00000111490.3 pep:known chromosome:GRCm38:16:10545339:10744878:1 gene:ENSMUSG00000068663.13 transcript:ENSMUST00000115824.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec16a description:C-type lectin domain family 16, member A [Source:MGI Symbol;Acc:MGI:1921624] MFGRSRSWVGGGHSKSSRNIHSLDHLKYLYHVLTKNTTVTEQNRNLLVETIRSITEILIW GDQNDSSVFDFFLEKNMFVFFLNILRQKSGRYVCVQLLQTLNILFENISHETSLYYLLSN NYVNSIIVHKFDFSDEEIMAYYISFLKTLSLKLNNHTVHFFYNEHTNDFALYTEAIKFFN HPESMVRIAVRTITLNVYKVPMDNQAMLHYIRDKTAVPYFSNLVWFIGSHVIELDNCVQT DEEHRNRGKLSDLVAEHLDHLHYLNDILIINCEFLNDVLTDHLLNRLFLPLYVYSLENPD KGGERPKISLPVSLYLLSQVFLIIHHAPLVNSLAEVILNGDLSETYTKPAQDVPRSSAKP SIRCFIKPTETLERSLEMNKHKGKKRMQKRPNYKNVGEEEDEERGSAEDAQEDAEKTKEI EMVIMKLGKLSEVAAAGTSVQEQNTTDEEKSAATNSENAQWSRPFLDMVYHALDSPDDDY HALFVLCLLYAMSHNKGMDPEKLKRIQLPVPSEAEKTTYNHLLAERLIRIMNNAAQPDGR IRLATLELSCLLLKQQVLTSSGCVIKDVHLACLEGAREESVHLVRHFYKGEEIFLDMFED EYRSMTIKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEKTRRAIRVFFMLRSL SLQLRGEPETQLPLTREEDLIKTDDVLDLNNSDLIACTVITKDGGMVQRFLAVDIYQMSL VEPDVSRLGWGVVKFAGLLQDMQVTGVEDDSRALNITIHKPASSPHSKPFPILQATFVFS DHIRCIIAKQRLAKGRIQARRMKMQRIAALLDLPIQPTTEVLGFGLCSSSSSSQHLPFRF YEQCRRGSSDPTVQRSVFASVDKVPGFAVAQCINQHSSPSLSSPSPPFASGSPGGSGSTS HCDSGGSSSAPSATQSPADAPTTPEQPQPHLDQSVIGNEMDVNSKPSKNSSARSSEGETM HLSPSLLPAQQPTISLLYEDTADTLSVESLTIVPPVDPHSLRALSGISQLPTLPAADTET PAEGAVNPEPAEPTEH >ENSMUSP00000078281.6 pep:known chromosome:GRCm38:3:62338344:62462221:1 gene:ENSMUSG00000036885.14 transcript:ENSMUST00000079300.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef26 description:Rho guanine nucleotide exchange factor (GEF) 26 [Source:MGI Symbol;Acc:MGI:1918053] MDGESEVDFSSNGVTPLWRRRSTPPLQLLSRSKPRPQSYQSPSGLLITDFPVEELAALPT PQTPVRVPADPDDRTVSRSPQLLSAHRRPVTNGRTVSPDYRAASPRLRRPKSPLVSYAAS GGSPKSTSNGTVASPAALLSRASRTPDTPASCATDEDCTGVTASKVSSPIANGLAHKTDS SGPVSQTGQPAGDLELAPSRLSPALPHKSSEQKLPLQRLPSQGNELPNPSVVLSTNSPAA LKMGKQQIIPKSLASEIKLSKSNSQNVESHRRLLKVRSMVEGLGAPLGHEGEEGEVDNDM DSPGSLRRGLRSTSYRRAVVSGFDFDSPTSSKKKNRMSQPVLKAVMEDKEKFSSLGRIKK KILKGQGTFDGEENAVLYQNYKEKALDIDSDEEPEAKEQKAEERIVIHHKPLRSTWSQLS AVKRNGLSQTVSQEERKRQEAIFEVISSEHSYLLSLEILIRMFKNSKELTDTMTKTERHH LFSNITDVWEASKKFFTELEARHQNNIFIEDISDIVEKHTASTFDPYVKYCTNEVYQQRT LQKLLATNPSFKEVLSRIESHEDCRNLPMISFLILPMQRVTRLPLLMDTICQKTPKDSPK YEVCKRALKEVSKLVRLCNEGARKMERTEMMYTINSQLEFKIKPFPLVSSSRWLVKRGEL TAYVEDTVLFSKRMSKQQVYFFLFNDVLIITKKKSEESYNVNDYSLRDQLLVESCDNEEL NSSPGKNPSTMLYSRQSSATHLFTLTVLSNHASEKVDMLLGAETQSERARWITALGHSSG KQPPDRTTLTQVEIIRSFTAKQPDELSLQVADVVLIYQRVGDGWYEGERLRDGERGWFPM ECAKEITCQATIDKNVERMGRLLGLETNV >ENSMUSP00000124392.1 pep:known chromosome:GRCm38:3:62419668:62460212:1 gene:ENSMUSG00000036885.14 transcript:ENSMUST00000161057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef26 description:Rho guanine nucleotide exchange factor (GEF) 26 [Source:MGI Symbol;Acc:MGI:1918053] XVYQQRTLQKLLATNPSFKEVLSRIESHEDCRNLPMISFLILPMQRVTRLPLLMDTICQK TPKDSPKYEVCKRALKEVSKLVRLCNEGARKMERTEMMYTINSQLEFKIKPFPLVSSSRW LVKRGELTAYVEDTVLFSKRMSKQQVYFFLFNDVLIITKKKSEESYNVNDYSLRDQLLVE SCDNEELNSSPGKNPSTMLYSRQSSATHLFTLTVLSNHASEKVDMLLGAETQSERARWIT ALGHSSGKQPPDRTSWY >ENSMUSP00000003575.9 pep:known chromosome:GRCm38:8:72135259:72153142:1 gene:ENSMUSG00000031799.9 transcript:ENSMUST00000003575.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tpm4 description:tropomyosin 4 [Source:MGI Symbol;Acc:MGI:2449202] MAGLNSLEAVKRKIQALQQQADDAEDRAQGLQRELDGERERREKAEGDAAALNRRIQLLE EELDRAQEQLATALQNLEEAEKAADESERGMKVIENRAMKDEEKMEILEMQLKEAKHITD EADRKYEEVARKLVILEGELKRAEERAEVSELKCGDLEEELKNVTNNLKSLEAASEKYSE KEDKYEEEIKLLSDKLKEAETRAEFAERTVSKLEKTIDDLEEKLAQAKEENVGLHQTLDQ TLNELNCI >ENSMUSP00000123177.1 pep:known chromosome:GRCm38:5:34525838:34551700:1 gene:ENSMUSG00000054520.15 transcript:ENSMUST00000125817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp2 description:SH3-domain binding protein 2 [Source:MGI Symbol;Acc:MGI:1346349] MAAEEMQWPVPMKAIGAQNLLTMPGGVAKAGYLHKKGGTQLQLLK >ENSMUSP00000070890.7 pep:known chromosome:GRCm38:5:34525846:34563641:1 gene:ENSMUSG00000054520.15 transcript:ENSMUST00000067638.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp2 description:SH3-domain binding protein 2 [Source:MGI Symbol;Acc:MGI:1346349] MAAEEMQWPVPMKAIGAQNLLTMPGGVAKAGYLHKKGGTQLQLLKWPLRFVIIHKRCIYY FKSSTSASPQGAFSLSGYNRVMRAAEETTSNNVFPFKIIHISKKHRTWFFSASSEDERKS WMALLRREIGHFHEKKELPLDTSDSSSDTDSFYGAVERPIDISLSSYPMDNEDYEHEDED DSYLEPDSPGPMKLEDALTYPPAYPPPPVPVPRKPAFSDLPRAHSFTSKSPSPLLPPPPP KRGLPDTGSAPEDAKDALGLRRVEPGLRVPATPRRMSDPPMSNVPTVPNLRKHPCFRDSV NPGLEPWTPGHGTSSVSSSTTMAVATSRNCDKLKSFHLSSRGPPTSEPPPVPANKPKFLK IAEEPSPREAAKFAPVPPVAPRPPVQKMPMPEATVRPAVLPRPENTPLPHLQRSPPDGQS FRGFSFEKARQPSQADTGEEDSDEDYEKVPLPNSVFVNTTESCEVERLFKATDPRGEPQD GLYCIRNSSTKSGKVLVVWDESSNKVRNYRIFEKDSKFYLEGEVLFASVGSMVEHYHTHV LPSHQSLLLRHPYGYAGPR >ENSMUSP00000114416.1 pep:known chromosome:GRCm38:5:34543365:34552746:1 gene:ENSMUSG00000054520.15 transcript:ENSMUST00000138912.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sh3bp2 description:SH3-domain binding protein 2 [Source:MGI Symbol;Acc:MGI:1346349] MSGGCRLQSLLIAPELNSLSGFKDTCPQQVTGSCTMCWVSAMRERF >ENSMUSP00000098874.3 pep:known chromosome:GRCm38:5:34543365:34563625:1 gene:ENSMUSG00000054520.15 transcript:ENSMUST00000101316.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp2 description:SH3-domain binding protein 2 [Source:MGI Symbol;Acc:MGI:1346349] MSGGCRLQSLLIAPELNSLSGFKDTCPQQVTGSCTMCWVSAMSFMAAEEMQWPVPMKAIG AQNLLTMPGGVAKAGYLHKKGGTQLQLLKWPLRFVIIHKRCIYYFKSSTSASPQGAFSLS GYNRVMRAAEETTSNNVFPFKIIHISKKHRTWFFSASSEDERKSWMALLRREIGHFHEKK ELPLDTSDSSSDTDSFYGAVERPIDISLSSYPMDNEDYEHEDEDDSYLEPDSPGPMKLED ALTYPPAYPPPPVPVPRKPAFSDLPRAHSFTSKSPSPLLPPPPPKRGLPDTGSAPEDAKD ALGLRRVEPGLRVPATPRRMSDPPMSNVPTVPNLRKHPCFRDSVNPGLEPWTPGHGTSSV SSSTTMAVATSRNCDKLKSFHLSSRGPPTSEPPPVPANKPKFLKIAEEPSPREAAKFAPV PPVAPRPPVQKMPMPEATVRPAVLPRPENTPLPHLQRSPPDGQSFRGFSFEKARQPSQAD TGEEDSDEDYEKVPLPNSVFVNTTESCEVERLFKATDPRGEPQDGLYCIRNSSTKSGKVL VVWDESSNKVRNYRIFEKDSKFYLEGEVLFASVGSMVEHYHTHVLPSHQSLLLRHPYGYA GPR >ENSMUSP00000112554.1 pep:known chromosome:GRCm38:5:34549576:34563625:1 gene:ENSMUSG00000054520.15 transcript:ENSMUST00000118545.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp2 description:SH3-domain binding protein 2 [Source:MGI Symbol;Acc:MGI:1346349] MAGSGSRPRSWGWRETGSRDEAAAGGPTSGPCRCSQGRRALAAPRKPAVPAAWTPFMAAE EMQWPVPMKAIGAQNLLTMPGGVAKAGYLHKKGGTQLQLLKWPLRFVIIHKRCIYYFKSS TSASPQGAFSLSGYNRVMRAAEETTSNNVFPFKIIHISKKHRTWFFSASSEDERKSWMAL LRREIGHFHEKKELPLDTSDSSSDTDSFYGAVERPIDISLSSYPMDNEDYEHEDEDDSYL EPDSPGPMKLEDALTYPPAYPPPPVPVPRKPAFSDLPRAHSFTSKSPSPLLPPPPPKRGL PDTGSAPEDAKDALGLRRVEPGLRVPATPRRMSDPPMSNVPTVPNLRKHPCFRDSVNPGL EPWTPGHGTSSVSSSTTMAVATSRNCDKLKSFHLSSRGPPTSEPPPVPANKPKFLKIAEE PSPREAAKFAPVPPVAPRPPVQKMPMPEATVRPAVLPRPENTPLPHLQRSPPDGQSFRGF SFEKARQPSQADTGEEDSDEDYEKVPLPNSVFVNTTESCEVERLFKATDPRGEPQDGLYC IRNSSTKSGKVLVVWDESSNKVRNYRIFEKDSKFYLEGEVLFASVGSMVEHYHTHVLPSH QSLLLRHPYGYAGPR >ENSMUSP00000136671.1 pep:known chromosome:GRCm38:5:34549688:34563638:1 gene:ENSMUSG00000054520.15 transcript:ENSMUST00000179943.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp2 description:SH3-domain binding protein 2 [Source:MGI Symbol;Acc:MGI:1346349] MAAEEMQWPVPMKAIGAQNLLTMPGGVAKAGYLHKKGGTQLQLLKWPLRFVIIHKRCIYY FKSSTSASPQGAFSLSGYNRVMRAAEETTSNNVFPFKIIHISKKHRTWFFSASSEDERKS WMALLRREIGHFHEKKELPLDTSDSSSDTDSFYGAVERPIDISLSSYPMDNEDYEHEDED DSYLEPDSPGPMKLEDALTYPPAYPPPPVPVPRKPAFSDLPRAHSFTSKSPSPLLPPPPP KRGLPDTGSAPEDAKDALGLRRVEPGLRVPATPRRMSDPPMSNVPTVPNLRKHPCFRDSV NPGLEPWTPGHGTSSVSSSTTMAVATSRNCDKLKSFHLSSRGPPTSEPPPVPANKPKFLK IAEEPSPREAAKFAPVPPVAPRPPVQKMPMPEATVRPAVLPRPENTPLPHLQRSPPDGQS FRGFSFEKARQPSQADTGEEDSDEDYEKVPLPNSVFVNTTESCEVERLFKATDPRGEPQD GLYCIRNSSTKSGKVLVVWDESSNKVRNYRIFEKDSKFYLEGEVLFASVGSMVEHYHTHV LPSHQSLLLRHPYGYAGPR >ENSMUSP00000086779.2 pep:known chromosome:GRCm38:16:56075468:56190012:1 gene:ENSMUSG00000052917.14 transcript:ENSMUST00000089362.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp7 description:SUMO1/sentrin specific peptidase 7 [Source:MGI Symbol;Acc:MGI:1913565] MDRARPGRRRASSEIVTEGKRKKSSPADLQKITKLLTVKSEDVLAQSPLSKLRGSECWWT RSLRNKVICLDHKKPKAARGCPPKGLPKRHLRVMLTNVLWTDLGREFRKTLPRKDANLCA PSKVQSDSLPSTSVDSIETCQRLDPLHQSLNLSERTPRVILTDIRQTELGRKYLKIPPVT EASLSDTANLKSEQLSSSSDGSLESCQSVNHHKSFLSESGPKPSRTGDVPAKEAACGGQK QGDDGGVTPEMAAPHPKDFNTGNKGCDYLEEGTSNKNTSYSYSEMDHTPVSRKRKKRGRS NFHDSHNSKTSLDKPTEHTKEEENDSSVSRKLEESGEDSHQDPAPPEGLAPESLESEATN LRSFAAGQEPDASAASGRASSPNKSLESSASSEVSENSSVAVKGEALTLKEASPPGGSSE ESQLLISAEPIVVSSDEEGPVEHKNSVILKLQPPHEIMSENQGTSDPQLSELTLGACESV QVTSELFPYNPDVENISCIKSNSEMDLKLDFIFTCVYIGKIKGTPKGCVTFTKKYIKIPF QVSTNEISLTVDTARLKRFGLWESKDEDHSKRSHAILFLWLSSDYLQDIQTQLENPMLSQ QSKANEFIFLELNSSISQREELKLKDIMMEISTKNGNLHLSCPLPWVQALPLFQDLSPQE ISFLHYYYASASALPTAAGADMKKKSVSQPSNSDTIKPTYTFLHKQSSGCYSLSITSSPE EEWQEVRNTGPVQKLIVYPPPPTKGGLGVTNEDLECLEEGEFLNDVIIDFYLKYLLLEKA SDELVERSHIFSSFFYKCLTRKENNLTEDNPDLSVAQRRHRRVRTWTRHINIFNKDYIFV PVNESSHWYLAVICFPWLEEAVYEDCPQTVSQQFQGQQSQHDHKMTDNDPHTTSTVSTSA EDSQSTEVNMSVPKKMCKRPCILILDSLKAASIQNTVQNLREYLEVEWEVKRKTHREFSK TNMVDLCPKVPKQDNSSDCGVYLLQYVESFFQDPIVNFELPIHLEKWFPRHVIKTKREDI RELILKLHLQQQKGGSC >ENSMUSP00000144348.1 pep:known chromosome:GRCm38:16:56075475:56139043:1 gene:ENSMUSG00000052917.14 transcript:ENSMUST00000202799.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp7 description:SUMO1/sentrin specific peptidase 7 [Source:MGI Symbol;Acc:MGI:1913565] MDRARPGRRRASSEIVTEGKRKKSSPADLQKWTRSLRNKVICLDHKKPKAARGCPPKGLP KRHLRVMLTNVLWTDLGREFRKTLPRKDANLCAPSKVQSDSLPSTSVDSIETCQRLDPLH QSLNLSERTPRVILTDIRQTELGRKYLKIPPVTEASLSDTANLKSEQLSSSSDGSLESCQ SVNHHKSFLSESGPKPSRTGDVPAKEAACGG >ENSMUSP00000144116.1 pep:known chromosome:GRCm38:16:56075480:56141897:1 gene:ENSMUSG00000052917.14 transcript:ENSMUST00000202000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp7 description:SUMO1/sentrin specific peptidase 7 [Source:MGI Symbol;Acc:MGI:1913565] MDRARPGRRRASSEIVTEGKRKKSSPADLQKITKLLTVKSEDVLAQSPLSKLRGSECWWT RSLRNKVICLDHKKPKAARGCPPKGLPKRHLRVMLTNVLWTDLGREFRKTLPRKDANLCA PSKVQSDSLPSTSVDSIETCQRLDPLHQSLNLSERTPRVILTDIRQTELGRKYLKIPPVT EASLSDTANLKSEQLSSSSDGSLESCQSVNHHKSFLSESGPKPSRTGDVPAKEAACGGQK QGDDGGVTPEMAAPHPKGFLCEALAVLELTLKTRLASNSEIPLPLPPKCWD >ENSMUSP00000043023.5 pep:known chromosome:GRCm38:16:56075409:56126544:1 gene:ENSMUSG00000052917.14 transcript:ENSMUST00000049128.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp7 description:SUMO1/sentrin specific peptidase 7 [Source:MGI Symbol;Acc:MGI:1913565] MDRARPGRRRASSEIVTEGKRKKSSPADLQKITKLLTVKSEDVLAQSPLSKLRGSECWWT RSLRNKVICLDHKKPKAARGCPPKGLPKRHLRVMLTNVLWTDLGREFRKTLPRKDANLCA PSKVQSDSLPSTSVDSIETCQRLDPLHQSLNLSERTPRVILTDIRQTELGRKYLKIPPVT EASLSDTANLKSEQLSSSSDGSLESCQSVNHHKSFLSERLTSKKGKKSTTATGQTETDQP SPGPACTNKAAFVI >ENSMUSP00000086776.3 pep:known chromosome:GRCm38:16:56075409:56190011:1 gene:ENSMUSG00000052917.14 transcript:ENSMUST00000089360.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp7 description:SUMO1/sentrin specific peptidase 7 [Source:MGI Symbol;Acc:MGI:1913565] MDRARPGRRRASSEIVTEGKRKKSSPADLQKWTRSLRNKVICLDHKKPKAARGCPPKGLP KRHLRVMLTNVLWTDLGREFRKTLPRKDANLCAPSKVQSDSLPSTSVDSIETCQRLDPLH QSLNLSERTPRVILTDIRQTELGRKYLKIPPVTEASLSDTANLKSEQLSSSSDGSLESCQ SVNHHKSFLSESGPKPSRTGDVPAKEAACGGQKQGDDGGVTPEMAAPHPKDFNTGNKGCD YLEEGTSNKNTSYSYSEMDHTPVSRKRKKRGRSNFHDSHNSKTSLDKPTEHTKEEENDSS VSRKLEESGEDSHQDPAPPEGLAPESLESEATNLRSFAAGQEPDASAASGRASSPNKSLE SSASSEVSENSSVAVKGEALTLKEASPPGGSSEESQLLISAEPIVVSSDEEGPVEHKNSV ILKLQPPHEIMSENQGTSDPQLSELTLGACESVQVTSELFPYNPDVENISCIKSNSEMDL KLDFIFTCVYIGKIKGTPKGCVTFTKKYIKIPFQVSTNEISLTVDTARLKRFGLWESKDE DHSKRSHAILFLWLSSDYLQDIQTQLENPMLSQQSKANEFIFLELNSSISQREELKLKDI MMEISTKNGNLHLSCPLPWVQALPLFQDLSPQEISFLHYYYASASALPTAAGADMKKKSV SQPSNSDTIKPTYTFLHKQSSGCYSLSITSSPEEEWQEVRNTGPVQKLIVYPPPPTKGGL GVTNEDLECLEEGEFLNDVIIDFYLKYLLLEKASDELVERSHIFSSFFYKCLTRKENNLT EDNPDLSVAQRRHRRVRTWTRHINIFNKDYIFVPVNESSHWYLAVICFPWLEEAVYEDCP QTVSQQFQGQQSQHDHKMTDNDPHTTSTVSTSAEDSQSTEVNMSVPKKMCKRPCILILDS LKAASIQNTVQNLREYLEVEWEVKRKTHREFSKTNMVDLCPKVPKQDNSSDCGVYLLQYV ESFFQDPIVNFELPIHLEKWFPRHVIKTKREDIRELILKLHLQQQKGGSC >ENSMUSP00000002412.8 pep:known chromosome:GRCm38:8:70093085:70120873:-1 gene:ENSMUSG00000002341.8 transcript:ENSMUST00000002412.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncan description:neurocan [Source:MGI Symbol;Acc:MGI:104694] MGAGSVWASGLLLLWLLLLVAGDQDTQDTTATEKGLRMLKSGSGPVRAALAELVALPCFF TLQPRLSSLRDIPRIKWTKVQTASGQRQDLPILVAKDNVVRVAKGWQGRVSLPAYPRHRA NATLLLGPLRASDSGLYRCQVVKGIEDEQDLVTLEVTGVVFHYRAARDRYALTFAEAQEA CRLSSATIAAPRHLQAAFEDGFDNCDAGWLSDRTVRYPITQSRPGCYGDRSSLPGVRSYG RRDPQELYDVYCFARELGGEVFYVGPARRLTLAGARAQCQRQGAALASVGQLHLAWHEGL DQCDPGWLADGSVRYPIQTPRRRCGGPAPGVRTVYRFANRTGFPAPGARFDAYCFRAHHH TAQHGDSEIPSSGDEGEIVSAEGPPGRELKPSLGEQEVIAPDFQEPLMSSGEGEPPDLTW TQAPEETLGSTPGGPTLASWPSSEKWLFTGAPSSMGVSSPSDMGVDMEATTPLGTQVAPT PTMRRGRFKGLNGRHFQQQGPEDQLPEVAEPSAQPPTLGATANHMRPSAATEASESDQSH SPWAILTNEVDEPGAGSLGSRSLPESLMWSPSLISPSVPSTDSTPSAKPGAAEAPSVKSA IPHLPRLPSEPPAPSPGPSEALSAVSLQASSADGSPDFPIVAMLRAPKLWLLPRSTLVPN MTPVPLSPASPLPSWVPEEQAVRPVSLGAEDLETPFQTTIAAPVEASHRSPDADSIEIEG TSSMRATKHPISGPWASLDSSNVTMNPVPSDAGILGTESGVLDLPGSPTSGGQATVEKVL ATWLPLPGQGLDPGSQSTPMEAHGVAVSMEPTVALEGGATEGPMEATREVVPSTADATWE SESRSAISSTHIAVTMARAQGMPTLTSTSSEGHPEPKGQMVAQESLEPLNTLPSHPWSSL VVPMDEVASVSSGEPTGLWDIPSTLIPVSLGLDESDLNVVAESPSVKGFWEEVASGQEDP TDPCENNPCLHGGTCHTNGTVYGCSCDQGYAGENCEIDIDDCLCSPCENGGTCIDEVNGF ICLCLPSYGGSLCEKDTEGCDRGWHKFQGHCYRYFAHRRAWEDAERDCRRRAGHLTSVHS PEEHKFINSFGHENSWIGLNDRTVERDFQWTDNTGLQYENWREKQPDNFFAGGEDCVVMV AHESGRWNDVPCNYNLPYVCKKGTVLCGPPPAVENASLVGVRKIKYNVHATVRYQCDEGF SQHRVATIRCRNNGKWDRPQIMCIKPRRSHRMRRHHHHPHRHHKPRKEHRKHKRHPAEDW EKDEGDFC >ENSMUSP00000086321.3 pep:known chromosome:GRCm38:15:86057695:86137634:1 gene:ENSMUSG00000035900.18 transcript:ENSMUST00000088931.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd4 description:GRAM domain containing 4 [Source:MGI Symbol;Acc:MGI:2676308] MGIASHSAFRERESSPTGASLDASPRPWDKGLSGREPPRHVQVRPRSAVLNMLRRLDRIR FRGHKREDLLDLAESPNASDTECGDEIPLKTPRPSPRDSEELRDPAGPGTLIMAAGVQDF NRTEFDRLNEIKGHLEIALLEKHFLQEELRKLREETNSEMLRQELDRERQRRIELEQKMQ EVLKARSEEQPAQPQQPPKGQSQASNGTGTERRSQGLASRVQKWFYERFGEYIEDFRFQP EENTVETEEPLSARRLTENMRRLKRGAKPVTNFVKNLSALSDWYSIYTSAIAFTVYMNAV WHGWAIPMFLFLAILRLSLNYLIARGWRIQWSIVPEVSEAVEPAKEDLTVSEKFQLVLDV AQKAQNLFGKMADILEKIKNLFMWVQPETTQKLYVALWAAFLASCFFPYRLVGLAVGLYA GIKFFLIDFIFKRCPRLRAKYDTPYIIWRSLPTDPQLKERAGATVSRRLQTASSRSYVSS APAGLSKDEDAGRFHSTKKGNFHEIFNLTENERPLAVCENGWRCCLINRDRKMPTDYIRN GVLYVTENYLCFESSKSGSSKRNKVIKLMDITDIQKYKVLSVLPGSGMGIAVSTPSTQKP LVFGAMVHRDEAFETIFSQYVKITSAAASGGDS >ENSMUSP00000120796.1 pep:known chromosome:GRCm38:15:86058727:86137618:1 gene:ENSMUSG00000035900.18 transcript:ENSMUST00000138134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd4 description:GRAM domain containing 4 [Source:MGI Symbol;Acc:MGI:2676308] MRVGPDHPAGEPPRHVQVRPRSAVLNMLRRLDRIRFRGHKREDLLDLAESPNASDTECGD EIPLKTPRPSPRDSEELRDPAGPGTLIMAAGVQDFNRTEFDRLNEIKGHLEIALLEKHFL QEELRKLREETNSEMLRQELDRERQRRIELEQKMQEVLKARSEEQPAQPQQPPKGQSQAS NGTGTERRSQGLASRVQKWFYERFGEYIEDFRFQPEENTVETEEPLSARRLTENMRRLKR GAKPVTNFVKNLSALSDWYSIYTSAIAFTVYMNAVWHGWAIPMFLFLAILRLSLNYLIAR GWRIQWSIVPEVSEAVEPAKEDLTVSEKFQLVLDVAQKAQNLFGKMADILEKIKNLFMWV QPETTQKLYVALWAAFLASCFFPYRLVGLAVGLYAGIKFFLIDFIFKRCPRLRAKYDTPY IIWRSLPTDPQLKERAGATVSRRLQTASSRSYVSSAPAGLSKDEDAGRFHSTKKGNFHEI FNLTENERPLAVCENGWRCCLINRDRKMPTDYIRNGVLYVTENYLCFESSKSGSSKRNKV IKLMDITDIQKEESVLDEWGLASPLSLGEAGGPVAMVVLFHFSIKSCLSSLAQAWELLFL HHQPRNPWCSVPWCTEMKPLRPFSASM >ENSMUSP00000117468.1 pep:known chromosome:GRCm38:15:86058872:86137631:1 gene:ENSMUSG00000035900.18 transcript:ENSMUST00000123349.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gramd4 description:GRAM domain containing 4 [Source:MGI Symbol;Acc:MGI:2676308] MRVGPDHPAGEPPRHVQVRPRSAVLNMLRRLDRIRFRGHKREDLLDLAESPNASDTECGD EIPLKTPRPSPRDSEELRDPAGPGTLIMAAGVQDFNRTEFDRLNEIKGHLEIALLEKHFL QEELRKLREETNSEMLRQELDRERQRRIELEQKMQEVLKARSEEQPAQPQQPPKGQSQAS NGTGTERRSQGLASRVQKWFYERFGEYIEDFRFQPEENTVETEEPLSARRLTENMRRLKR GAKPVTNFVKNLSALSDWYSIYTSAIAFTVYMNAVWHGWAIPMFLFLAILRLSLNYLIAR GWRIQWSIVPEVSEAVWKILSPL >ENSMUSP00000042173.7 pep:known chromosome:GRCm38:2:28447941:28455508:1 gene:ENSMUSG00000035829.13 transcript:ENSMUST00000040324.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r26 description:protein phosphatase 1, regulatory subunit 26 [Source:MGI Symbol;Acc:MGI:2685193] MFLMNAPPVVALQSRWEAFGQPRSFCLPDCFSEAKEDGSRASVSARVQMLISTLQRDEAA LGMGHERLTQRGQRAERSRDTRLAPKPAVCKEQPEFPARGLVANCSALEKDEAGRRSPLE LDSDSDDSVDRDIEEAIQEYLKARGGASEPMSQGAPSIPEPAHSSTLPIPCPSQLTPGSG SVPVGASEDQGSTSPASMSSEDSFEQSIRAEIEQFLNEKRQHENPKCDGFVDKKSDPNNS PARLRGNRETSARAALMGTCKEFIFRKPPRLTKMSTQQRNFQPKPTTEPETPVSTKLTAH RPEAAQSRGGVRRSMPARRSKRIRSSAPVHQASDSSSDDGIEEAIQLYQLEKTRKEASGD PPLRGQLKEESPGSAQPNALPEAHRRPPSKKKLAVPKVIDTTQGVLHPDPLSRLLTDSRA SLPPGHAAAKSEAVCQASRLADTSTELMCAEAILDISKTILPAPMEGSDRPPSRNPLFCP QPMPPRSEGDSSNIDSDDSIEQEIRTFLALKAQVGSPQPAQGPLSSPGPSGQPGIPKVPF AKTLDLPLVCKRKRRGGGGSTTMPKKIREGRESTQDADHIQGKAQPGHDGWDPLGHNKIT ETPGGEAEAKEQPVISRTVGLSDTHLPQGALGKATEKESSEDKSSSLDSDEDLDMAIKDL LRSKRKFKKRCRDPRASCKKVRFGSTETRCGEKPSNLPGDWKDHRQQALRSCLPKCRGDN KDGPGRSPGSSVAEKAKMGGTGGEDATPAFLLRRKSPEGALPSTDTGASGHPPSASSPTS EDSAVDSDDSIELEIRRFLAEKAKESIRNTEPQGGPAKPEMPCRKDPTLGLQPGVCTRSQ KARGTPQLAEGRRGPERARTQATGLLSQSGKGTLRAEQTARLTTALGRSEPALPKNTCRN SSAKASPPSRKSAHVHKDHSPQGSQTATAESVFGQLPSCAKVGAEAGSAGGTFHLNYGSQ NLLTPNPGSQADLVLPWSDFAHQSRLSSPWVLNSGQGTVWTGVFRGEKEKGATSQAGAPP SLSSGPRKGLPFLSTQLFHFGKNVSWGGKQTSLFSPNLGLPLQAPAFSAFRETQPGHNPV FGSPHLLMKDSGNWPSRKAQGTLRQQDRRNSASEDKVLDLRYRHRVDREPQDQETLGSDA SEFSDTSMEDGGSATVSSKGLKL >ENSMUSP00000139546.1 pep:known chromosome:GRCm38:2:28447941:28455505:1 gene:ENSMUSG00000035829.13 transcript:ENSMUST00000189694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r26 description:protein phosphatase 1, regulatory subunit 26 [Source:MGI Symbol;Acc:MGI:2685193] MFLMNAPPVVALQSRWEAFGQPRSFCLPDCFSEAKEDGSRASVSARVQMLISTLQRDEAA LGMGHERLTQRGQRAERSRDTRLAPKPAVCKEQPEFPARGLVANCSALEKDEAGRRSPLE LDSDSDDSVDRDIEEAIQEYLKARGGASEPMSQGAPSIPEPAHSSTLPIPCPSQLTPGSG SVPVGASEDQGSTSPASMSSEDSFEQSIRAEIEQFLNEKRQHENPKCDGFVDKKSDPNNS PARLRGNRETSARAALMGTCKEFIFRKPPRLTKMSTQQRNFQPKPTTEPETPVSTKLTAH RPEAAQSRGGVRRSMPARRSKRIRSSAPVHQASDSSSDDGIEEAIQLYQLEKTRKEASGD PPLRGQLKEESPGSAQPNALPEAHRRPPSKKKLAVPKVIDTTQGVLHPDPLSRLLTDSRA SLPPGHAAAKSEAVCQASRLADTSTELMCAEAILDISKTILPAPMEGSDRPPSRNPLFCP QPMPPRSEGDSSNIDSDDSIEQEIRTFLALKAQVGSPQPAQGPLSSPGPSGQPGIPKVPF AKTLDLPLVCKRKRRGGGGSTTMPKKIREGRESTQDADHIQGKAQPGHDGWDPLGHNKIT ETPGGEAEAKEQPVISRTVGLSDTHLPQGALGKATEKESSEDKSSSLDSDEDLDMAIKDL LRSKRKFKKRCRDPRASCKKVRFGSTETRCGEKPSNLPGDWKDHRQQALRSCLPKCRGDN KDGPGRSPGSSVAEKAKMGGTGGEDATPAFLLRRKSPEGALPSTDTGASGHPPSASSPTS EDSAVDSDDSIELEIRRFLAEKAKESIRNTEPQGGPAKPEMPCRKDPTLGLQPGVCTRSQ KARGTPQLAEGRRGPERARTQATGLLSQSGKGTLRAEQTARLTTALGRSEPALPKNTCRN SSAKASPPSRKSAHVHKDHSPQGSQTATAESVFGQLPSCAKVGAEAGSAGGTFHLNYGSQ NLLTPNPGSQADLVLPWSDFAHQSRLSSPWVLNSGQGTVWTGVFRGEKEKGATSQAGAPP SLSSGPRKGLPFLSTQLFHFGKNVSWGGKQTSLFSPNLGLPLQAPAFSAFRETQPGHNPV FGSPHLLMKDSGNWPSRKAQGTLRQQDRRNSASEDKVLDLRYRHRVDREPQDQETLGSDA SEFSDTSMEDGGSATVSSKGLKL >ENSMUSP00000098723.4 pep:known chromosome:GRCm38:5:66745845:67056214:1 gene:ENSMUSG00000037736.18 transcript:ENSMUST00000101164.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limch1 description:LIM and calponin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924819] MACPALGLEVLQPLQPEPPPEPAFAEAQKWIEQVTGRSFGDKDFRTGLENGILLCELLNA IKPGLVKKINRLPTPIAGLDNTILFLRGCKELGLKESQLFDPSDLQDTSNRVTVKNLDYS RKLKNVLVTIYWLGKAANSCASYGGTTLNLKEFEGLLAQMRKETDDIDSPKRSIRDSGYI DCWDSERSDSLSPPRHGRDDSFDSLDSFGSRSRQTPSPDVVLRGSSDGRGSDSESDLPHR KLPDVKKDDMSARRTSHGEPKSAVPFNQYLPNKSNQTAYVPAPLRKKKAEREEFRKSWST ATSPLGGERPFRYGPRTPVSDDAESTSMFDMRCEEEAAVLPHSRARQEQLQLINNQLREE DDKWQDDLARWKSRRRSASQDLIKKEEERKKMEKLMSGEDGTSERRKSIKTYREIVQEKE RRERELHEAYKNARSQEEAEGILQQYIERFTISEAVLERLEMPKILERSHSTEPNVSSFP NDPSPMKYLRQQSLPPPKFTATVETTIARTSVPESIASAGTGSPSKIITPNTVPMLTPRP YSQPKNSQEVLKTFKVDGKVSMNGEMAPGDEEGKEKEGPAAAAPGPSLTKSQMFEGVATV HDSPVQVKQGSNSIEINIKKPNSAPQELTAASEETESNGQEDEDGEERPGTGDLEPDSAE PQHFTTTVTRCSPTVALVEFSSNPQLKNEVPEQGQKKPEDEMSGKVELVLSQKVAKPKSP EPEATLTFPFLDKMPETNQLHLPNPSSQADSPSSEKSPGSTPFKFWAWDPEEERRRQEKW QQEQERLLQERYQKEQDKLKEEWEKAQKEVEEEERRYYEEERKIIEDTVVPFTISSSSAD QLSTSLSVTEGSGTRNKMDLENCPDKENERRQKTPFQENDGDSLLKTREGGLPEEQSLTP SPSANPEISVSKGIHQDPQLEAEAGAPHCGTNPQPAQDPPRNQQIPNPPTSTSEDVKPKT LALEKTINHQMESPGERRKSISGKKLCSSCGLTLGKGAAMIIETLNLYFHIQCFRCGICK GQLGDAVSGTDVRIRNGLLNCTDCYMRSRSAGQPTTL >ENSMUSP00000112732.1 pep:known chromosome:GRCm38:5:66745883:67056077:1 gene:ENSMUSG00000037736.18 transcript:ENSMUST00000118242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limch1 description:LIM and calponin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924819] MACPALGLEVLQPLQPEPPPEPAFAEAQKWIEQVTGRSFGDKDFRTGLENGILLCELLNA IKPGLVKKINRLPTPIAGLDNTILFLRGCKELGLKESQLFDPSDLQDTSNRVTVKNLDYS RKLKNVLVTIYWLGKAANSCASYGGTTLNLKEFEGLLAQMRKETDDIDSPKRSIRDSGYI DCWDSERSDSLSPPRHGRDDSFDSLDSFGSRSRQTPSPDVVLRGSSDGRGSDSESDLPHR KLPDVKKDDMSARRTSHGEPKSAVPFNQYLPNKSNQTAYVPAPLRKKKAEREEFRKSWST ATSPLGGERPFRSTSMFDMRCEEEAAVLPHSRARQEQLQLINNQLREEDDKWQDDLARWK SRRRSASQDLIKKEEERKKMEKLMSGEDGTSERRKSIKTYREIVQEKERRERELHEAYKN ARSQEEAEGILQQYIERFTISEAVLERLEMPKILERSHSTEPNVSSFPNDPSPMKYLRQQ SLPPPKFTATVETTIARTSVPESIASAGTGSPSKIITPNTVPMLTPRPYSQPKNSQEVLK TFKVDGKVSMNGEMAPGDEEGKEKEGPAAAAPGPSLTKSQMFEGVATVHDSPVQVKQGSN SIEINIKKPNSAPQELTAASEETESNGQEDEDGEERPGTGDLEPDSAEPQHFTTTVTRCS PTVALVEFSSNPQLKNEVPEQGQKKPEDEMSGKVELVLSQKVAKPKSPEPEATLTFPFLD KMPETNQLHLPNPSSQELPGSAPLRVQNSWRRSQFFSQSADSPSSEKSPGSTPFKFWAWD PEEERRRQEKWQQEQERLLQERYQKEQDKLKEEWEKAQKEVEEEERRYYEEERKIIEDTV VPFTISSSSADQLSTSLSVTEGSGTRNKMDLENCPDKENERRQKTPFQENDGDSLLKTRE GGLPEEQSLTPSPSANPEISVSKGIHQDPQLEAEAGAPHCGTNPQPAQDPPRNQQIPNPP TSTSEDVKPKTLALEKTINHQMESPGERRKSISGKKLCSSCGLTLGKGAAMIIETLNLYF HIQCFRCGICKGQLGDAVSGTDVRIRNGLLNCTDCYMRSRSAGQPTTL >ENSMUSP00000116126.1 pep:known chromosome:GRCm38:5:66745889:66953678:1 gene:ENSMUSG00000037736.18 transcript:ENSMUST00000130228.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Limch1 description:LIM and calponin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924819] MACPALGLEVLQPLQPEPPPEPAFAEAQKWIEQVTGRSFGDKDFRTGLENGILLCELLNA IKPGLVKKINRLPTPIAGLTFRN >ENSMUSP00000144176.1 pep:known chromosome:GRCm38:5:66898431:66969153:1 gene:ENSMUSG00000037736.18 transcript:ENSMUST00000122812.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limch1 description:LIM and calponin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924819] MRKETDDIDSPKRSIRDSGYIDCWDSERS >ENSMUSP00000113544.2 pep:known chromosome:GRCm38:5:66898460:67054348:1 gene:ENSMUSG00000037736.18 transcript:ENSMUST00000117601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limch1 description:LIM and calponin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924819] MRKETDDIDSPKRSIRDSGYIDCWDSERSDSLSPPRHGRDDSFDSLDSFGSRSRQTPSPD VVLRGSSDGRGSDSESDLPHRKLPDVKKDDMSARRTSHGEPKSAVPFNQYLPNKSNQTAY VPAPLRKKKAEREEFRKSWSTATSPLGGERPFRYGPRTPVSDDAESTSMFDMRCEEEAAV LPHSRARQEQLQLINNQLREEDDKWQDDLARWKSRRRSASQDLIKKEEERKKMEKLMSGE DGTSERRKSIKTYREIVQEKERRERELHEAYKNARSQEEAEGILQQYIERFTISEAVLER LEMPKILERSHSTEPNVSSFPNDPSPMKYLRQQSLPPPKFTATVETTIARTSVPESIASA GTGSPSKIITPNTVPMLTPRPYSQPKNSQEVLKTFKVDGKVSMNGEMAPGDEEGKEKEGP AAAAPGPSLTKSQMFEGVATVHDSPVQVKQGSNSIEINIKKPNSAPQELTAASEETESNG QEDEDGEERPGTGDLEPDSAEPQHFTTTVTRCSPTVALVEFSSNPQLKNEVPEQGQKKPE DEMSGKVELVLSQKVAKPKSPEPEATLTFPFLDKMPETNQLHLPNPSSQADSPSSEKSPG STPFKFWAWDPEEERRRQEKWQQEQERLLQERYQKEQDKLKEEWEKAQKEVEEEERRYYE EERKIIEDTVVPFTISSSSADQLSTSLSVTEGSGTRNKMDLENCPDKENERRQKTPFQEN DGDSLLKTREGGLPEEQSLTPSPSANPEISVSKGIHQDPQLEAEAGAPHCGTNPQPAQDP PRNQQIPNPPTSTSEDVKPKTLALEKTINHQMESPGERRKSISGKKLCSSCGLTLGKGAA MIIETLNLYFHIQCFRCGICKGQLGDAVSGTDVRIRNGLLNCTDCYMRSRSAGQPTTL >ENSMUSP00000043163.7 pep:known chromosome:GRCm38:5:66968416:67057158:1 gene:ENSMUSG00000037736.18 transcript:ENSMUST00000038188.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limch1 description:LIM and calponin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924819] MDPERQETDDIDSPKRSIRDSGYIDCWDSERSDSLSPPRHGRDDSFDSLDSFGSRSRQTP SPDVVLRGSSDGRGSDSESDLPHRKLPDVKKDDMSARRTSHGEPKSAVPFNQYLPNKSNQ TAYVPAPLRKKKAEREEFRKSWSTATSPLGGERPFRYGPRTPVSDDAESTSMFDMRCEEE AAVLPHSRARQEQLQLINNQLREEDDKWQDDLARWKSRRRSASQDLIKKEEERKKMEKLM SGEDGTSERRKSIKTYREIVQEKERRERELHEAYKNARSQEEAEGILQQYIERFTISEAV LERLEMPKILERSHSTEPNVSSFPNDPSPMKYLRQQSLPPPKFTATVETTIARTSVPESI ASAGTGSPSKIITPNTVPMLTPRPYSQPKNSQEVLKTFKVDGKVSMNGEMAPGDEEGKEK EGPAAAAPGPSLTKSQMFEGVATVHDSPVQVKQGSNSIEINIKKPNSAPQELTAASEETE SNGQEDEDGEERPGTGDLEPDSAEPQHFTTTVTRCSPTVALVEFSSNPQLKNEVPEQGQK KPEDEMSGKVELVLSQKVAKPKSPEPEATLTFPFLDKMPETNQLHLPNPSSQADSPSSEK SPGSTPFKFWAWDPEEERRRQEKWQQEQERLLQERYQKEQDKLKEEWEKAQKEVEEEERR YYEEERKIIEDTVVPFTISSSSADQLSTSLSVTEGSGTRNKMDLENCPDKENERRQKTPF QENDGDSLLKTREGGLPEEQSLTPSPSANPEISVSKGIHQDPQLEAEAGAPHCGTNPQPA QDPPRNQQIPNPPTSTSEDVKPKTLALEKTINHQMESPGERRKSISGKKLCSSCGLTLGK GAAMIIETLNLYFHIQCFRCGICKGQLGDAVSGTDVRIRNGLLNCTDCYMRSRSAGQPTT L >ENSMUSP00000114681.1 pep:known chromosome:GRCm38:5:66968577:66998765:1 gene:ENSMUSG00000037736.18 transcript:ENSMUST00000127184.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limch1 description:LIM and calponin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924819] MDPERQETDDIDSPKRSIRDSGYIDCWDSERSDSLSPPRHGRDDSFDSLDSFGSRSRQTP SPDVVLRGSSDGRGSDSESDLPHRKLPDVKKDDMSARRTSHGEPKSAVPFNQYLPNKSNQ TAYVPAPLRKKKAEREEFRKSWSTATSPLGGERPFRSTSMFDMRCEEEAAVLPHSRARQE QLQLINNQLREEDDKWQDDLARWKSRRRSASQDLIKKEEERKKMEKLMSGEDGTSER >ENSMUSP00000123337.2 pep:known chromosome:GRCm38:5:66968961:66998795:1 gene:ENSMUSG00000037736.18 transcript:ENSMUST00000132991.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limch1 description:LIM and calponin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924819] MLQSYLKFFRFILGQETDDIDSPKRSIRDSGYIDCWDSERSDSLSPPRHGRDDSFDSLDS FGSRSRQTPSPDVVLRGSSDGRGSDSESDLPHRKLPDVKKDDMSARRTSHGEPKSAVPFN QYLPNKSNQTAYVPAPLRKKKAEREEFRKSWSTATSPLGGERPFRYGPRTPVSDDAESTS MFDMRCEEEAAVLPHSRARQEQLQLINNQLREEDDKWQDDLARWKSRRRSASQDLIKKEE ERKKMEKLMSGEDGTSERRKSIKTYREIV >ENSMUSP00000112651.2 pep:known chromosome:GRCm38:5:66974543:67056123:1 gene:ENSMUSG00000037736.18 transcript:ENSMUST00000119854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Limch1 description:LIM and calponin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924819] XKSNQTAYVPAPLRKKKAEREEFRKSWSTATSPLGGERPFRSTSMFDMRCEEEAAVLPHS RARQEQLQLINNQLREEDDKWQDDLARWKSRRRSASQDLIKKEEERKKMEKLMSGEDGTS ERRKSIKTYREIVQEKERRERELHEAYKNARSQEEAEGILQQYIERFTISEAVLERLEMP KILERSHSTEPNVSSFPNDPSPMKYLRQQSLPPPKFTATVETTIARTSVPESIASAGTGS PSKIITPNTVPMLTPRPYSQPKNSQEVLKTFKVDGKVSMNGEMAPGDEEGKEKEGPAAAA PGPSLTKSQMFEGVATVHDSPVQVKQGSNSIEINIKKPNSAPQELTAASEETESNGQEDE DGEERPGTGDLEPDSAEPQHFTTTVTRCSPTVALVEFSSNPQLKNEVPEQGQKKPEDEMS GKVELVLSQKVAKPKSPEPEATLTFPFLDKMPETNQLHLPNPSSQADSPSSEKSPGSTPF KFWAWDPEEERRRQEKWQQEQERLLQERYQKEQDKLKEEWEKAQKEVEEEERRYYEEERK IIEDTVVPFTISSSSADQLSTSLSVTEGSGTRNKMDLENCPDKENERRQKTPFQENDGDS LLKTREGGLPEEQSLTPSPSANPEISVSKGIHQDPQLEAEAGAPHCGTNPQPAQDPPRNQ QIPNPPTSTSEDVKPKTLALEKTINHQMESPGERRKSISGKKLCSSCGLTLGKGAAMIIE TLNLYFHIQCFRCGICKGQLGDAVSGTDVRIRNGLLNCTDCYMRSRSAGQPTTL >ENSMUSP00000118979.1 pep:known chromosome:GRCm38:5:67044401:67054641:1 gene:ENSMUSG00000037736.18 transcript:ENSMUST00000153174.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Limch1 description:LIM and calponin homology domains 1 [Source:MGI Symbol;Acc:MGI:1924819] AAMIIETLNLYFHIQCFRCGICKGQLGDAVSGTDVRIRNGLLNCTDCYMRSRSAGQPTTL >ENSMUSP00000112104.2 pep:known chromosome:GRCm38:13:58391142:58403343:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000116403.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGK GGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEGLQLPSPTAT SQLPLESDAVECLNYQHYKGSDFDCELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKL FQECCPHSTDRVVLIGGKPDRVVECIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFT MMFDDRRGRPVGFPMRGRGGFDRMPPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRG GSRARNLPLPPPPPPRGGDLMAYDRRGRPGDRYDGMVGFSADETWDSAIDTWSPSEWQMA YEPQGGSGYDYSYAGGRGSYGDLGGPIITTQVTIPKDLAGSIIGKGGQRIKQIRHESGAS IKIDEPLEGSEDRIITITGTQDQIQNAQYLLQNSVKQYADVEGF >ENSMUSP00000135833.1 pep:known chromosome:GRCm38:13:58391239:58398055:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000177497.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] MQYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEGLQLPSPTATSQLPLESDA VECLNYQHYKGSDFDCELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKLFQECCPHST DRVVLIGGKPDRVVECIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFTMMFDDRRGR PVGFPMRGRGGFDRMPPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRGGSRARNLPL PPPPPPRGGDLMAYDRRGRPGDRYDGMVGFSADETWDSAIDTWSPSEWQMAYEPQGGSGY DYSYAGGRGSYGDLGGPIITTQVTIPKDLAGSIIGKGGQRIKQIRHESGASIKIDEPLEG SEDRIITITGTQDQIQNAQYLLQNSVKQYSGKFF >ENSMUSP00000135647.1 pep:known chromosome:GRCm38:13:58391239:58402464:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000177019.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGK GGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEYQHYKGSDFD CELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKLFQECCPHSTDRVVLIGGKPDRVVE CIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFTMMFDDRRGRPVGFPMRGRGGFDRM PPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRGGSRARNLPLPPPPPPRGGDLMAYD RRGRPGDRYDGMVGFSADETWDSAIDTWSPSEWQMAYEPQGGSGYDYSYAGGRGSYGDLG GPIITTQVTIPKDLAGSIIGKGGQRIKQIRHESGASIKIDEPLEGSEDRIITITGTQDQI QNAQYLLQNSVKQYADVEGF >ENSMUSP00000039269.7 pep:known chromosome:GRCm38:13:58391239:58402502:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000043269.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGK GGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEGLQLPSPTAT SQLPLESDAVECLNYQHYKGSDFDCELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKL FQECCPHSTDRVVLIGGKPDRVVECIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFT MMFDDRRGRPVGFPMRGRGGFDRMPPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRG GSRARNLPLPPPPPPRGGDLMAYDRRGRPGDRYDGMVGFSADETWDSAIDTWSPSEWQMA YEPQGGSGYDYSYAGGRGSYGDLGGPIITTQVTIPKDLAGSIIGKGGQRIKQIRHESGAS IKIDEPLEGSEDRIITITGTQDQIQNAQYLLQNSVKQYSGKFF >ENSMUSP00000135354.1 pep:known chromosome:GRCm38:13:58391337:58402649:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000176207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGK GGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEYQHYKGSDFD CELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKLFQECCPHSTDRVVLIGGKPDRVVE CIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFTMMFDDRRGRPVGFPMRGRGGFDRM PPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRGGSRARNLPLPPPPPPRGGDLMAYD RRGRPGDRYDGMVGFSADETWDSAIDTWSPSEWQMAYEPQGGSGYDYSYAGGRGSYGDLG GPIITTQVTIPKDLAGSIIGKGGQRIKQIRHESGASIKIDEPLEGSEDRIITITGTQDQI QNAQYLLQNSVKQYSGKFF >ENSMUSP00000135867.1 pep:known chromosome:GRCm38:13:58392233:58395198:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000176797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] XCIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFTMMFDDRRGRPVGFPMRGRGGFDR MPPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRGGSRARNLPLPPPPPPRGGDLMAY DRRGRPGDRYDGMVGFSADETWDSAIDTWSPSEWQMAYEPQGGSGYDYSYAGGRGSYGDL GGPIITTQVTIPKDEHRTRYRTHSICCRTV >ENSMUSP00000135342.1 pep:known chromosome:GRCm38:13:58394348:58402142:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000176849.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGK GGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEYQHYKGSDFD CELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKLFQECCPHSTDRVVLIGGKPDRVVE CIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFTMMFDDRRGRPVGFPMRGRGGFDRM PPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRGGSRARNLPLPPPPPPRGGDLMAY >ENSMUSP00000135305.1 pep:known chromosome:GRCm38:13:58394661:58402103:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000176305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGK GGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEGLQLPSPTAT SQLPLESDAVECLNYQHYKGSDFDCELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKL FQECCPHSTDRVVLIGGKPDRVVECIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFT MMFDDRRGRPVGFPMRG >ENSMUSP00000134837.1 pep:known chromosome:GRCm38:13:58395165:58402487:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000175847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGK GGKNIKALRTDHTEYQC >ENSMUSP00000135407.1 pep:known chromosome:GRCm38:13:58395195:58402507:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000177060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] METEQPEETFPNTETNGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGKGGK NIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEYQHYKGSDFDCEL RLLIHQSLAGGIIGVKGAKIKELRENTQTTIKLFQECCPHSTDRVVLIGGKPDRVVEC >ENSMUSP00000135109.1 pep:known chromosome:GRCm38:13:58395270:58398017:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000177117.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] MQYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEYQHYKGSDFDCELRLLIHQ SLAGGIIGVKGAKIKELRENTQTTIKL >ENSMUSP00000135623.1 pep:known chromosome:GRCm38:13:58397037:58402116:-1 gene:ENSMUSG00000021546.17 transcript:ENSMUST00000176558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpk description:heterogeneous nuclear ribonucleoprotein K [Source:MGI Symbol;Acc:MGI:99894] METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGK GGKNIKALRTDYNASVSVPDSSGPERYVPTVYASLPD >ENSMUSP00000029922.7 pep:known chromosome:GRCm38:4:25199908:25242876:-1 gene:ENSMUSG00000028259.13 transcript:ENSMUST00000029922.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl5 description:four and a half LIM domains 5 [Source:MGI Symbol;Acc:MGI:1913192] MTSSQFDCQYCTSSLIGKKYVLKDDNLYCISCYDRIFSNYCEQCKEPIESDSKDLCYKNR HWHEGCFRCNKCHHSLVEKPFVAKDDRLLCTDCYSNECSSKCFHCKRTIMPGSRKMEFKG NYWHETCFVCEHCRQPIGTKPLISKESGNYCVPCFEKEFAHYCNFCKKVITSGGITFRDQ IWHKECFLCSGCRKELYEEAFMSKDDFPFCLDCYNHLYAKKCAACTKPITGLRGAKFICF QDRQWHSECFNCGKCSVSLVGEGFLTHNMEILCRKCGSGADTDA >ENSMUSP00000103839.1 pep:known chromosome:GRCm38:4:25199908:25242876:-1 gene:ENSMUSG00000028259.13 transcript:ENSMUST00000108204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhl5 description:four and a half LIM domains 5 [Source:MGI Symbol;Acc:MGI:1913192] MTSSQFDCQYCTSSLIGKKYVLKDDNLYCISCYDRIFSNYCEQCKEPIESDSKDLCYKNR HWHEGCFRCNKCHHSLVEKPFVAKDDRLLCTDCYSNECSSKCFHCKRTIMPGSRKMEFKG NYWHETCFVCEHCRQPIGTKPLISKESGNYCVPCFEKEFAHYCNFCKKVITSGGITFRDQ IWHKECFLCSGCRKELYEEAFMSKDDFPFCLDCYNHLYAKKCAACTKPITGLRGAKFICF QDRQWHSECFNCGKCSVSLVGEGFLTHNMEILCRKCGSGADTDA >ENSMUSP00000021164.3 pep:known chromosome:GRCm38:11:72042455:72047370:1 gene:ENSMUSG00000020808.3 transcript:ENSMUST00000021164.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam64a description:family with sequence similarity 64, member A [Source:MGI Symbol;Acc:MGI:1924434] MASQWQGMRTSVRRRSLLKEEQLEKKEVTRSAGGHPETGPLGSLCRQFQRRLPLRAVSLN LGNGPSWKRLESPEPEQQGLQAAARSAKSALGAMSQRIQESCQSGTKWLMETQVKVRRKR GAQKDRGSPPPSLSQKNTRLCRANRDARVGGHLRLSGQMGPHAHRRQRLRRESALRSPCS STEPLCSPSESDSDLEPVGAGIQHLQKLSQRLDRAIKAEESGDMTVSLIRE >ENSMUSP00000119424.1 pep:known chromosome:GRCm38:11:72042922:72045040:1 gene:ENSMUSG00000020808.3 transcript:ENSMUST00000125655.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam64a description:family with sequence similarity 64, member A [Source:MGI Symbol;Acc:MGI:1924434] MASQWQGMRTSVRRRSLLKEEQLEKKEVTRSAGGHPETGPLGSLCRQFQRRLPLRAVSLN LGNGPSWKRLESPEPEQQGLQAAARSAKSALGAMSQRIQESCQSGTKWLMETQVKV >ENSMUSP00000123099.1 pep:known chromosome:GRCm38:11:72042032:72043282:1 gene:ENSMUSG00000020808.3 transcript:ENSMUST00000122871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam64a description:family with sequence similarity 64, member A [Source:MGI Symbol;Acc:MGI:1924434] MASQWQGMRTSVRRRSLLKEEQLEKKEVTRSAGGHPETGPLGSLCRQFQRRLPLRAVSLN LGNGPSWKRLESPEPEQ >ENSMUSP00000075933.6 pep:known chromosome:GRCm38:X:48541625:48592133:-1 gene:ENSMUSG00000036916.13 transcript:ENSMUST00000076635.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280c description:zinc finger protein 280C [Source:MGI Symbol;Acc:MGI:2387585] MDKDNSVQEKGLFLSSWKLDNSKMAELFMECEEEELEPWQQKVEESQSKDDDDELIFVGE ISSSKPAISNILNRCSPGSSSKGLKNGSFNPAISNIFKPTSQHYRNPSSNALVALPSFHP ALKSSESSDGQTVSKLDFTKTSPQEDSGACSVSQSDSTQDIPSSNILQPRTGVDQTLGLK HPSTSKVNSVNPKKPKTSASISETRPCSSSSSQTAPSGASSQTVLSNVNTSSVQSAPGSS SLRSCPKCNVKFRLLDPLKCHMKRCCPDMINKFLETLKSENSKAVSKATTDSDKEKLIML VSDFYYGRHEGTIEESQKTHTTFKCFSCTKVLKNNIRFMNHMKHHLELEKQNNETWESHT TCQHCYRQYPNPFQLQCHIESTHTPHDFSTICKICELSFETEHMLLQHMKDTHKPGEMPY ICQVCQFRSSIFSDVETHFRSSHENTKNLLCPFCLKVSRMATPYMNHYMRHQKKGIYRCP KCRLQFLTSKEKTEHKLEHRTFIKPKELEGLPPGTKVIIRASLGSSQSRASSPPSSTIPS TSLQLSVPKSKSTTTKNNSKVSANKATTTSPQTVATTTGKPSASKPGTGTTKSKAKPSYK QKRQRTRKNKFSIDLKNLRCHQGSHMCIECRSKIKDFSSHFSTHINCDFCKYTTNCNKAF TNHMSSHNDHPSKQLYIFKKQSRARRGITLVCLKCDFLADTSGLDRMAKHLNQRKTHTCQ VVIENVTERAVTSESASDGLFK >ENSMUSP00000086288.4 pep:known chromosome:GRCm38:X:48541625:48594373:-1 gene:ENSMUSG00000036916.13 transcript:ENSMUST00000088898.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280c description:zinc finger protein 280C [Source:MGI Symbol;Acc:MGI:2387585] MDKDNSVQEKGLFLSSWKLDNSKMAELFMECEEEELEPWQQKVEESQSKDDDDELIFVGE ISSSKPAISNILNRCSPGSSSKGLKNGSFNPAISNIFKPTSQHYRNPSSNALVALPSFHP ALKSSESSDGQTVSKLDFTKTSPQEDSGACSVSQSDSTQDIPSSNILQPRTGVDQTLGLK HPSTSKVNSVNPKKPKTSASISETRPCSSSSSQTAPSGASSQTVLSNVNTSSVQSAPGSS SLRSCPKCNVKFRLLDPLKCHMKRCCPDMINKFLETLKSENSKAVSKATTDSDKEKLIML VSDFYYGRHEGTIEESQKTHTTFKCFSCTKVLKNNIRFMNHMKHHLELEKQNNETWESHT TCQHCYRQYPNPFQLQCHIESTHTPHDFSTICKICELSFETEHMLLQHMKDTHKPGEMPY ICQVCQFRSSIFSDVETHFRSSHENTKNLLCPFCLKVSRMATPYMNHYMRHQKKGIYRCP KCRLQFLTSKEKTEHKLEHRTFIKPKELEGLPPGTKVIIRASLGSSQSRASSPPSSTIPS TSLQLSVPKSKSTTTKNNSKVSANKATTTSPQTVATTTGKPSASKPGTGTTKSKAKPSYK QKRQRTRKNKFSIDLKNLRCHQGSHMCIECRSKIKDFSSHFSTHINCDFCKYTTNCNKAF TNHMSSHNDHPSKQLYIFKKQSRARRGITLVCLKCDFLADTSGLDRMAKHLNQRKTHTCQ VVIENVTERAVTSESASDGLFK >ENSMUSP00000072138.5 pep:known chromosome:GRCm38:X:48541625:48594452:-1 gene:ENSMUSG00000036916.13 transcript:ENSMUST00000072292.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280c description:zinc finger protein 280C [Source:MGI Symbol;Acc:MGI:2387585] MDKDNSVQEKDNSKMAELFMECEEEELEPWQQKVEESQSKDDDDELIFVGEISSSKPAIS NILNRCSPGSSSKGLKNGSFNPAISNIFKPTSQHYRNPSSNALVALPSFHPALKSSESSD GQTVSKLDFTKTSPQEDSGACSVSQSDSTQDIPSSNILQPRTGVDQTLGLKHPSTSKVNS VNPKKPKTSASISETRPCSSSSSQTAPSGASSQTVLSNVNTSSVQSAPGSSSLRSCPKCN VKFRLLDPLKCHMKRCCPDMINKFLETLKSENSKAVSKATTDSDKEKLIMLVSDFYYGRH EGTIEESQKTHTTFKCFSCTKVLKNNIRFMNHMKHHLELEKQNNETWESHTTCQHCYRQY PNPFQLQCHIESTHTPHDFSTICKICELSFETEHMLLQHMKDTHKPGEMPYICQVCQFRS SIFSDVETHFRSSHENTKNLLCPFCLKVSRMATPYMNHYMRHQKKGIYRCPKCRLQFLTS KEKTEHKLEHRTFIKPKELEGLPPGTKVIIRASLGSSQSRASSPPSSTIPSTSLQLSVPK SKSTTTKNNSKVSANKATTTSPQTVATTTGKPSASKPGTGTTKSKAKPSYKQKRQRTRKN KFSIDLKNLRCHQGSHMCIECRSKIKDFSSHFSTHINCDFCKYTTNCNKAFTNHMSSHND HPSKQLYIFKKQSRARRGITLVCLKCDFLADTSGLDRMAKHLNQRKTHTCQVVIENVTER AVTSESASDGLFK >ENSMUSP00000110590.2 pep:known chromosome:GRCm38:X:48541626:48591761:-1 gene:ENSMUSG00000036916.13 transcript:ENSMUST00000114940.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp280c description:zinc finger protein 280C [Source:MGI Symbol;Acc:MGI:2387585] MDKDNSVQEKGLFLSSWKLDNSKMAELFMECEEEELEPWQQKVEESQSKDDDDELIFVGE ISSSKPAISNILNRCSPGSSSKGLKNGSFNPAISNIFKPTSQHYRNPSSNALVALPSFHP ALKSSESSDGQTVSKLDFTKTSPQEDSGACSVSQSDSTQDIPSSNILQPRTGVDQTLGLK HPSTSKVNSVNPKKPKTSASISETRPCSSSSSQTAPSGASSQTVLSNVNTSSVQSAPGSS SLRSCPKCNVKFRLLDPLKCHMKRCCPDMINKFLETLKSENSKAVSKATTDSDKEKLIML VSDFYYGRHEGTIEESQKTHTTFKCFSCTKVLKNNIRFMNHMKHHLELEKQNNETWESHT TCQHCYRQYPNPFQLQCHIESTHTPHDFSTICKICELSFETEHMLLQHMKDTHKPGEMPY ICQVCQFRSSIFSDVETHFRSSHENTKNLLCPFCLKVSRMATPYMNHYMRHQKKGIYRCP KCRLQFLTSKEKTEHKLEHRTFIKPKELEGLPPGTKVIIRASLGSSQSRASSPPSSTIPS TSLQLSVPKSKSTTTKNNSKVSANKATTTSPQTVATTTGKPSASKPGTGTTKSKAKPSYK QKRQRTRKNKFSIDLKNLRCHQGSHMCIECRSKIKDFSSHFSTHINCDFCKYTTNCNKAF TNHMSSHNDHPSKQLYIFKKQSRARSYRKSRNF >ENSMUSP00000119785.1 pep:known chromosome:GRCm38:4:46376505:46389418:-1 gene:ENSMUSG00000028331.12 transcript:ENSMUST00000151903.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trmo description:tRNA methyltransferase O [Source:MGI Symbol;Acc:MGI:1922003] ADDSAACNHARLGEAGLVCYGGPMWVRSAGPGGNLLTEPIGYLESCFPAKIGTPRQPSIC SHSRACLKIRKNIFNNPEHSLMGLEEFSHVWILFVFHKNGHLNYKAKVQPPRLNGAKTGV FSTRSPHRPNAIGLTLAKLEKVEGGAVYLSGVDMIDGTPVLDIKPYIADYDSPQNLSVHN DHHKLRAEAQVDGTANSCDQLLLSGRGKVQPRQSTKERPKCLEDRTSGENSQKSRDMSEI QHTLPEDRERALDLALEPSRGESMDMPENQLGPPELKSFLEEGTDRPRKVEGALVLPGSS AETQWDASYRARTADRVPYSVVPSWVTEAPVAPLQVRFTPHAEMDLRKLNSGDASQPSFK YFHSAEEAKRAIEAVLSADPRSVYRRKLCEDRLFFFTVDTAHVTCWFGDGFAEVVRIKLA SESVQVADPEESLAALGS >ENSMUSP00000083752.4 pep:known chromosome:GRCm38:4:46376979:46389423:-1 gene:ENSMUSG00000028331.12 transcript:ENSMUST00000086563.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmo description:tRNA methyltransferase O [Source:MGI Symbol;Acc:MGI:1922003] MRGLEKQGSCATAAPCGCVQPALEAGNLLTEPIGYLESCFPAKIGTPRQPSICSHSRACL KIRKNIFNNPEHSLMGLEEFSHVWILFVFHKNGHLNYKAKVQPPRLNGAKTGVFSTRSPH RPNAIGLTLAKLEKVEGGAVYLSGVDMIDGTPVLDIKPYIADYDSPQNLSVHNDHHKLRA EAQVDGTANSCDQLLLSGRGKVQPRQSTKERPKCLEDRTSGENSQKSRDMSEIQHTLPED RERALDLALEPSRGESMDMPENQLGPPELKSFLEEGTDRPRKVEGALVLPGSSAETQWDA SYRARTADRVPYSVVPSWVTEAPVAPLQVRFTPHAEMDLRKLNSGDASQPSFKYFHSAEE AKRAIEAVLSADPRSVYRRKLCEDRLFFFTVDTAHVTCWFGDGFAEVVRIKLASESVQTV LPPSPSPNGREEQSSVEEEVQQRAGPSRRAAPRAASMLLTPQSSSLATSALTHWAISLPP LPQGLVFS >ENSMUSP00000030015.5 pep:known chromosome:GRCm38:4:46379864:46389437:-1 gene:ENSMUSG00000028331.12 transcript:ENSMUST00000030015.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmo description:tRNA methyltransferase O [Source:MGI Symbol;Acc:MGI:1922003] MRGLEKQGSCATAAPCGCVQPALEAGNLLTEPIGYLESCFPAKIGTPRQPSICSHSRACL KIRKNIFNNPEHSLMGLEEFSHVWILFVFHKNGHLNYKAKVQPPRLNGAKTGVFSTRSPH RPNAIGLTLAKLEKVEGGAVYLSGVDMIDGTPVLDIKPYIADYDSPQNLSVHNDHHKLRA EAQVDGTANSCDQLLLSGRGKVQPRQSTKERPKCLEDRTSGENSQKSRDMSEIQHTLPED RERALDLALEPSRGESMDMPENQLGPPELKSFLEEGTDRPRKVEGALVLPGSSAETQWDA SYRARTADRVPYSVVPSWVTEAPVAPLQVRFTPHAEMDLRKLNSGDASQPSFKYFHSAEE AKRAIEAVLSADPRSVYRRKLCEDRLFFFTVDTAHVTCWFGDGFAEVVRIKLASESVQVA DPEESLAALGS >ENSMUSP00000043881.4 pep:known chromosome:GRCm38:7:10687793:10730158:1 gene:ENSMUSG00000034087.13 transcript:ENSMUST00000047809.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4b description:NLR family, pyrin domain containing 4B [Source:MGI Symbol;Acc:MGI:3056570] MASLFSDFGFIWYWKELNKIEFMYFKELLIHEILQMGLKQISWTEVKEASREDLAILLVK HCDGNQAWDTTFRVFQMIGRNVITNRATGEIAAHSTIYRAHLKEKLTHDCSRKFNISIQN FFQDEYDHLENLLVPNGTENNPKMVVLQGVAGIGKTILLKNLMIVWSEGLVFQNKFSYIF YFCCHDVKQLQTASLADLISREWPSPSAPMEEILSQPEKLLFIIDSLEGMEWNVTQQDSQ LCYNCMEKQPVNVLLSSLLRKKILPESSLLISTSCETFKDLKDWIEYTNVRTITGFKENN INMCFHSLFQDRNIAQEAFSLIRENEQLFTVCQAPVVCYMVATCLKNEIESGKDPVSICR RTTSLYTTHILNLFIPHNAQNPSNNSEDLLDNLCFLAVEGMWTDISVFNEEALRRNGIMD SDIPTLLDIGILEQSRESENSYIFLHPSVQEFCAAMFYLLHSEMDHSCQGVYFIETFLFT FLNKIKKQWVFLGCFFFGLLHETEQEKLEAFFGYHLSKELRRQLFLWLELLLDTLHPDVK KINTMKFFYCLFEMEEEVFVQSAMNCREQIDVVVKGYSDFIVAAYCLSHGSALTDFSISA QNVLNEELGQRGKLLILWHQICSVFLRNKDIKTLRIEDTIFNEPVFKIFYSYLKNSSCIL KTLVAYNVSFLCDKRLFLELIQSYNLEELYLRGTFLSHSDVEMLCDILNQAECNIRILDL ANCSLCEHSWDYLSDVLRQNKSLRYLNISYNNLKDEGLKALCRALTLPNSALHSLSLEAC QLTGACCKDLASTFTRYKCLRRINLAKNSLGFSGLFVLCKAMKDQTCTLYELKLRMADFD SDSQEFLLSEMERNKILSIENGV >ENSMUSP00000113095.1 pep:known chromosome:GRCm38:7:10687821:10730168:1 gene:ENSMUSG00000034087.13 transcript:ENSMUST00000117413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4b description:NLR family, pyrin domain containing 4B [Source:MGI Symbol;Acc:MGI:3056570] MASLFSDFGFIWYWKELNKIEFMYFKELLIHEILQMGLKQISWTEVKEASREDLAILLVK HCDGNQAWDTTFRVFQMIGRNVITNRATGEIAAHSTIYRAHLKEKLTHDCSRKFNISIQN FFQDEYDHLENLLVPNGTENNPKMVVLQGVAGIGKTILLKNLMIVWSEGLVFQNKFSYIF YFCCHDVKQLQTASLADLISREWPSPSAPMEEILSQPEKLLFIIDSLEGMEWNVTQQDSQ LCYNCMEKQPVNVLLSSLLRKKILPESSLLISTSCETFKDLKDWIEYTNVRTITGFKENN INMCFHSLFQDRNIAQEAFSLIRENEQLFTVCQAPVVCYMVATCLKNEIESGKDPVSICR RTTSLYTTHILNLFIPHNAQNPSNNSEDLLDNLCFLAVEGMWTDISVFNEEALRRNGIMD SDIPTLLDIGILEQSRESENSYIFLHPSVQEFCAAMFYLLHSEMDHSCQGVYFIETFLFT FLNKIKKQWVFLGCFFFGLLHETEQEKLEAFFGYHLSKELRRQLFLWLELLLDTLHPDVK KINTMKFFYCLFEMEEEVFVQSAMNCREQIDVVVKGYSDFIVAAYCLSHGSALTDFSISA QNVLNEELGQRGKLLILWHQICSVFLRNKDIKTLRIEDTIFNEPVFKIFYSYLKNSSCIL KTLVAYNVSFLCDKRLFLELIQSYNLEELYLRGTFLSHSDVEMLCDILNQAECNIRILDL ANCSLCEHSWDYLSDVLRQNKSLRYLNISYNNLKDEGLKALCRALTLPNSALHSLSLEAC QLTGACCKDLASTFTRYKCLRRINLAKNSLGFSGLFVLCKAMKDQTCTLYELKLRMADFD SDSQEFLLSEMERNKILSIENGV >ENSMUSP00000115831.1 pep:known chromosome:GRCm38:7:10714973:10727135:1 gene:ENSMUSG00000034087.13 transcript:ENSMUST00000132990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp4b description:NLR family, pyrin domain containing 4B [Source:MGI Symbol;Acc:MGI:3056570] THILNLFIPHNAQNPSNNSEDLLDNLCFLAVEGMWTDISVFNEEALRRNGIMDSDIPTLL DIGILEQSRESENSYIFLHPSVQEFCAAMFYLLHSEMDHSCQGVYFIETFLFTFLNKIKK QWVFLGCFFFGLLHETEQEKLEAFFGYHLSKELRRQLFLWLELLLDTLHPDVKKINTMKF FYCLFEMEEEVFVQSAMNCREQIDVVVKGYSDFIVAAYCLSHGSALTDFSISAQNVLNEE LGQRGKLLILWHQICSVFLRNKDIKTLRIEDTIFNEPVFKIFYSYLKNSSCILKTLVAYN VSFLCDKRLFLELIQSYNLEELYLRGTFLSHSDVEMLCDILNQAECNIRILDLANCSLCE HSWDYLSDVLRQNKSLRYLNISYNNLKDEGLKALCRALTLPNSALHSLSLEACQLTGACC KDLASTFTRYKCLRRINLAKNSLGFSGLFVLCKAMKDQTCTLYELK >ENSMUSP00000030138.8 pep:known chromosome:GRCm38:4:41114427:41124455:-1 gene:ENSMUSG00000028430.14 transcript:ENSMUST00000030138.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nol6 description:nucleolar protein family 6 (RNA-associated) [Source:MGI Symbol;Acc:MGI:2140151] MPSAGERPAVKMGPAPAGEQHRRATEDPEVMELAFEGMDKEKAPSRKRARTEPPAEGLLQ PVNLSREELYKEPTNEELNRLRETEILFHSTLLRLQVEELLKEVRLSEKKKERIDNFLKE VTKRIQKVPPVPEAELTDQSWLPAGVRVPLHQVPYAVKGSFRFRPPSQITVVGSYLLDTC MRPDINVDVAVTMPREILQDKDGLNQRYFRKRALYLAHLAYHLAQDPLFSSVRFSYMSGC HLKPSLLLRPHGKDERLVTVRLLPCPPLDFFRPCRLLPTKNNVRSAWYRGQSCPDYEPPT PHYNTWILQDVALETHMHLLASVLGSAQGLKDGVALLKVWLRQRELDKGLGGFNGFIISM LVAFLVSKRKIHTTMSGYQVLRSVLQFLATTDLTINGISFSLSSDPSLPTLAEFHQLFAV VFVDPSGRLNLCADVTASTYNQVQYEAELSMALLDSKADDGFQLLLMTPKPMIQAFDHVV HLHPLSRLQASCHQLKLWPELQDNGGDYVSAALGPLTNILVQGLGCRLHLLAHSRPPVPE WSINQDPPKHKDAGTLTLGFLFRPEGLTSVIDLGPEADKPEAADFRQFWGTRSELRRFQD GAIREAVVWEAESLFEKRLIPHQVVTHLLALHADIPDTCIQYVGGFLDALIQNPKEISST GEEALALAVRCYDDLSRLLWGLEGLPLTVSAVQGAHPVLRYTEVFPPAPVRPAYSFYNRL QELASLLPRPDKPCPAYVEPMTVVCHLEGSGQWPQDAEAVQRVRAAFQLRLAEVLTQEHR LQCCATATHTDVLKDGFVFRIRVAYQREPQILKEVRSPEGMVSLRDTPASLRLERDTKLL PLLTSALHGLQQQYPAYSGVARLAKRWVRAQLLGEGFTDESLDLLAASLFLHPEPFTPPS VPQVGFLRFLYLVSTFDWKNNPLIVNLNGELTAEEQVGIRSSFLAARTQLPVMVIITPQD RRSSVWTQDGPSAQILQQLVSLAAEALPILEKQLMDPRGPGDIRTVFRPPFDMYDVLIHL TPRHIPRHRQAVDPPVASFCRGLLAEPGPSSLMPVLGYDPPQLYLAQLREAFEDLALFFY DQHGGEVIGVLWKPSSFQPQPFKASSIKGRMVVSQGGELVMLPNIEAILEDFAVLGEGLV QAVEARSERWTV >ENSMUSP00000019944.8 pep:known chromosome:GRCm38:10:13552894:13563376:1 gene:ENSMUSG00000019808.8 transcript:ENSMUST00000019944.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adat2 description:adenosine deaminase, tRNA-specific 2 [Source:MGI Symbol;Acc:MGI:1914007] MEEKVESTTTPDGPCVVSVQETEKWMEEAMRMAKEALENIEVPVGCLMVYNNEVVGKGRN EVNQTKNATRHAEMVAIDQVLDWCHQHGQSPSTVFEHTVLYVTVEPCIMCAAALRLMKIP LVVYGCQNERFGGCGSVLNIASADLPNTGRPFQCIPGYRAEEAVELLKTFYKQENPNAPK SKVRKKDCQKS >ENSMUSP00000057116.8 pep:known chromosome:GRCm38:5:100077872:100159808:-1 gene:ENSMUSG00000050640.16 transcript:ENSMUST00000063192.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150c description:transmembrane protein 150C [Source:MGI Symbol;Acc:MGI:3041258] MDGKKCSVWMFLPLVFTLFTSAGLWIVYFIAVEDDKILPLNSAARKSGAKHAPYISFAGD DPPASCVFSQVMNMAAFLALVVAVLRFIQLKPKVLNPWLNISGLAALCLASFGMTLLGNF QLTNDEEIHNVGTSLTFGFGTLTCWIQAALTLKVNIKNEGRRAGIPRVILSAVITLCVVL YFILMAQDIHMYAARVQWGLVMCFLAYFGTLAVEFRHYRYEIVCSEYQENFLSFSESLSE ASEYQTDQV >ENSMUSP00000114464.1 pep:known chromosome:GRCm38:5:100086127:100159281:-1 gene:ENSMUSG00000050640.16 transcript:ENSMUST00000139520.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150c description:transmembrane protein 150C [Source:MGI Symbol;Acc:MGI:3041258] MDGKKCSVWMFLPLVFTLFTSAGLWIVYFIAVEDDKILPLNSAARKSGAKHAPYISFAGD DPPASCVFSQVMNMAAFLALVVAVLRFIQLKPKVLNPWLNISGLAALCLASFGMTLLGNF Q >ENSMUSP00000022147.8 pep:known chromosome:GRCm38:13:100124852:100137690:1 gene:ENSMUSG00000021645.16 transcript:ENSMUST00000022147.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smn1 description:survival motor neuron 1 [Source:MGI Symbol;Acc:MGI:109257] MAMGSGGAGSEQEDTVLFRRGTGQSDDSDIWDDTALIKAYDKAVASFKHALKNGDICETP DKPKGTARRKPAKKNKSQKKNATTPLKQWKVGDKCSAVWSEDGCIYPATITSIDFKRETC VVVYTGYGNREEQNLSDLLSPTCEVANSTEQNTQENESQVSTDDSEHSSRSLRSKAHSKS KAAPWTSFLPPPPPMPGSGLGPGKPGLKFNGPPPPPPLPPPPFLPCWMPPFPSGPPIIPP PPPISPDCLDDTDALGSMLISWYMSGYHTGYYMGFRQNKKEGKCSHTN >ENSMUSP00000118509.1 pep:known chromosome:GRCm38:13:100124858:100127812:1 gene:ENSMUSG00000021645.16 transcript:ENSMUST00000140745.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smn1 description:survival motor neuron 1 [Source:MGI Symbol;Acc:MGI:109257] MAMGSGGAGSEQEDTVLFRRGTGQGSPGGLVLSLKPQGSCANLSSW >ENSMUSP00000088871.5 pep:known chromosome:GRCm38:13:100124894:100137480:1 gene:ENSMUSG00000021645.16 transcript:ENSMUST00000091321.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smn1 description:survival motor neuron 1 [Source:MGI Symbol;Acc:MGI:109257] MAMGSGGAGSEQEDTVLFRRGTGQGFRQNKKEGKCSHTN >ENSMUSP00000119381.1 pep:known chromosome:GRCm38:13:100124924:100131174:1 gene:ENSMUSG00000021645.16 transcript:ENSMUST00000143937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smn1 description:survival motor neuron 1 [Source:MGI Symbol;Acc:MGI:109257] EQEDTVLFRRGTGQWKVGDKCSAVWSEDGCIYPATITSIDFKRETCVVVYTGYGNREEQN LSDLLSPTCEVANSTEQNTQENESQVSTDDSEHSSRSLRSKAHSKSKAAPWTSFLPPPPP MPGSGLGPGKPGLKFNGPPPPPPLPPPPFLPCWMPPFPSGPP >ENSMUSP00000039312.1 pep:known chromosome:GRCm38:4:24973419:25009233:1 gene:ENSMUSG00000040372.2 transcript:ENSMUST00000038920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr63 description:G protein-coupled receptor 63 [Source:MGI Symbol;Acc:MGI:2135884] MVVSGVLTAPAVLTAPHSGTSNTTFVVFENSHVNITAPLPFQHPSAGPLLRYSLETMTSP GFSSLAVNSTAVTPAPAVFKSLNLAVQIILSAIMIFILFVSFLGNLVVCLMVYQKAAMRS AINILLASLAFADMLLAVLNMPFALVTILTTRWIFGKFFCRLSAMFFWLFVIEGVAILLI ISIDRFLIIVQRQDKLNPYRAKVLIAVSWATAFSVAFPLAVGNPDLQIPSRAPQCVFGYT TNSGYQAYVILISLISFFIPFLVILYSFMGILNTLRHNALRIHSYPEGICLSQASKLGLM SLQRPFQMSIDMGFKTRAFTTILILFAVFIVCWAPFTTYSLVATFSKHFYYQHNFFEIST WLLWLCYLKSALNPLIYYWRIKKFHDACLDMMPKSFKFLPRLPGHTRRRIRPSAVYVCGE HRTVL >ENSMUSP00000102949.1 pep:known chromosome:GRCm38:4:73872219:73876266:-1 gene:ENSMUSG00000096530.7 transcript:ENSMUST00000107327.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11758 description:predicted gene 11758 [Source:MGI Symbol;Acc:MGI:3702099] MQREDNRVQSVRSDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGLWFDGHSKPPASYAPSLCIGGAISPGPSFSPWTDPEIKIFLQEWQ VVEREIGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTLKDLHSTLSRERSRTVPL FSPYRDYLERIFDPKCQRGHVPGALYNWSGYHRPSSSPQTPMVMPSPVYQPWDYGMSASS GQLHGNPSLIMSSQDSLVPRWDAWNATYPLPVQHVLLASLSGDNNFQLAWSPRDESSSPQ >ENSMUSP00000102948.1 pep:known chromosome:GRCm38:4:73872224:73876266:-1 gene:ENSMUSG00000096530.7 transcript:ENSMUST00000107326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11758 description:predicted gene 11758 [Source:MGI Symbol;Acc:MGI:3702099] MQREDNRVQSVRSDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGALYNWSGYHRPSSSPQTPMVMPSPVYQPWDYGMSASSGQLHGNPS LIMSSQDSLVPRWDAWNATYPLPVQHVLLASLSGDNNFQLAWSPRDESSSPQ >ENSMUSP00000056039.5 pep:known chromosome:GRCm38:X:98937761:98949017:1 gene:ENSMUSG00000047694.12 transcript:ENSMUST00000054697.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf6 description:Yip1 domain family, member 6 [Source:MGI Symbol;Acc:MGI:1925179] MAEAEDSPGEQEAAASKPLFAGLSDVSISQDIPIEGEITIPSRARAQEHDSSTLNESIRR TIMRDLKAVGRKFMHVLYPRKSNALLRDWDLWGPLILCVTLALMLQKSSIDGKNDGGGPE FAEVFVIIWFGAVTITLNSKLLGGNISFFQSLCVLGYCILPLNIAMLICRLLLLAGQGPI NFMIRLFVVLLMFAWSVVASTAFLADSQPPNRKALAVYPVFLFYFVISWMILTFTP >ENSMUSP00000109442.1 pep:known chromosome:GRCm38:X:98936316:98945131:1 gene:ENSMUSG00000047694.12 transcript:ENSMUST00000113811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf6 description:Yip1 domain family, member 6 [Source:MGI Symbol;Acc:MGI:1925179] MAEAEDSPGEQEAAASKPLFAGLSDVSISQDIPIEGEITIPSRARAQEHDSSTLNESIRR TIMRDLKAVGRKFMHVLYPRKSNALLRDWDLWGPLILCVTLALMLQKSSIDGKNDGGGPE FAEVFVIIWFGAVTITLNSKLLGGNISFFQSLCVLGYCILPLNIAMLICRLLLLAGQGPI NFMIRLFVVLLMFAWSVVASTAFLADSQPPNRKALAVYPVFLFYFVISWMILTFTP >ENSMUSP00000117981.1 pep:known chromosome:GRCm38:X:98937273:98943635:1 gene:ENSMUSG00000047694.12 transcript:ENSMUST00000151353.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf6 description:Yip1 domain family, member 6 [Source:MGI Symbol;Acc:MGI:1925179] MAEAEDSPGEQEAAASKPLFAGLSDVSISQDIPIEGEITIPSRARAQEHDSSTLNESIRR TIMRDLKAVGRKFMHVLYPRKSNALLRDWDLWGPLILCVTLALMLQKSSIDGKNDGGGPE FAEVFVIIWFGAVTITLNSKLLGGNISFFQSLCVLGYCILPLNIAMLICRLLLLAGQGPI NFMIRLFVVLLMFAWSVV >ENSMUSP00000115199.1 pep:known chromosome:GRCm38:X:98937301:98939881:1 gene:ENSMUSG00000047694.12 transcript:ENSMUST00000124010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yipf6 description:Yip1 domain family, member 6 [Source:MGI Symbol;Acc:MGI:1925179] MAEAEDSPGEQEAAASKPLFAGLSDVSISQDIPIEGEITIPSRARAQEHDSSTLN >ENSMUSP00000112599.1 pep:known chromosome:GRCm38:7:73375509:73419899:1 gene:ENSMUSG00000070509.15 transcript:ENSMUST00000119206.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgma description:repulsive guidance molecule family member A [Source:MGI Symbol;Acc:MGI:2679262] MSQHNCSKDGPTSQPRVRTLPPAGDSQERSDSPEICHYEKSFHKHSAAPNYTHCGLFGDP HLRTFTDHFQTCKVQGAWPLIDNNYLNVQVTNTPVLPGSAATATSKLTIIFKNFQECVDQ KVYQAEMDELPSAFADGSKNGGDKHGANSLKITEKVSGQHVEIQAKYIGTTIVVRQVGRY LTFAVRMPEEVVNAVEDRDSQGLYLCLRGCPLNQQIDFQAFRANAESPRRPAAASPSPVV PETFPYETAVAKCKEKLPVEDLYYQACVFDLLTTGDVNFTLAAYYALEDGKMLHSNKDKL HLFERTRELPGAVAAAAAAATTFPLAPQILLGTIPLLVLLPVLW >ENSMUSP00000091870.5 pep:known chromosome:GRCm38:7:73375520:73419899:1 gene:ENSMUSG00000070509.15 transcript:ENSMUST00000094312.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgma description:repulsive guidance molecule family member A [Source:MGI Symbol;Acc:MGI:2679262] MQPPRERLVVTGRAGWMGMGRGAGRSALGLWPTLAFLLCSFPAAISPCKILKCNSEFWSA TSSGSHAPASDDVPEFCAALRTYALCTRRTARTCRGDLAYHSAVHGIEDLMSQHNCSKDG PTSQPRVRTLPPAGDSQERSDSPEICHYEKSFHKHSAAPNYTHCGLFGDPHLRTFTDHFQ TCKVQGAWPLIDNNYLNVQVTNTPVLPGSAATATSKLTIIFKNFQECVDQKVYQAEMDEL PSAFADGSKNGGDKHGANSLKITEKVSGQHVEIQAKYIGTTIVVRQVGRYLTFAVRMPEE VVNAVEDRDSQGLYLCLRGCPLNQQIDFQAFRANAESPRRPAAASPSPVVPETFPYETAV AKCKEKLPVEDLYYQACVFDLLTTGDVNFTLAAYYALEDGKMLHSNKDKLHLFERTRELP GAVAAAAAAATTFPLAPQILLGTIPLLVLLPVLW >ENSMUSP00000116552.1 pep:known chromosome:GRCm38:7:73391160:73409451:1 gene:ENSMUSG00000070509.15 transcript:ENSMUST00000128471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgma description:repulsive guidance molecule family member A [Source:MGI Symbol;Acc:MGI:2679262] MGMGRGAGRSALGLWPTLAFLLCSFPAAISPCKILKCNSEFWSATSSGSHAPASDDVPEF CAALRTYALCTRRTARTCRGDLAYHSAVHGIEDLMSQHNCS >ENSMUSP00000145758.1 pep:known chromosome:GRCm38:7:73391227:73419899:1 gene:ENSMUSG00000070509.15 transcript:ENSMUST00000139780.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgma description:repulsive guidance molecule family member A [Source:MGI Symbol;Acc:MGI:2679262] MGMGRGAGRSALGLWPTLAFLLCSFPAAISPCKILKCNSEFWSATSSGSHAPASDDVPEF CAALRTYALCTRRTARTCRGDLAYHSAVHGIEDLMSQHNCSKDGPTSQPRVRTLPPAGDS QERSDSPEICHYEKSFHKHSAAPNYTHCGLFGDPHLRTFTDHFQTCKVQGAWPLIDNNYL NVQVTNTPVLPGSAATATSKLTIIFKNFQECVDQKVYQAEMDELPSAFADGSKNGGDKHG ANSLKITEKVSGQHVEIQAKYIGTTIVVRQVGRYLTFAVRMPEEVVNAVEDRDSQGLYLC LRGCPLNQQIDFQAFRANAESPRRPAAASPSPVVPETFPYETAVAKCKEKLPVEDLYYQA CVFDLLTTGDVNFTLAAYYALEDGKMLHSNKDKLHLFERTRELPGAVAAAAAAATTFPLA PQILLGTIPLLVLLPVLW >ENSMUSP00000078579.2 pep:known chromosome:GRCm38:17:21228826:21229815:1 gene:ENSMUSG00000057203.2 transcript:ENSMUST00000079633.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r234 description:vomeronasal 1 receptor 234 [Source:MGI Symbol;Acc:MGI:2159635] MKQVVHAYLLMQNPRDQAEGSHQITSGDMAIGVILVSQTVAGVLGNSCLLYNYIVLYFKS YKLKHMDWILMHLIVSNFLTLLCKGVPQAMAAFGFQCFFNDFECKLLSYLHRVGRGVSFG SACLLSVFQVITISPEDAIWTILKKKAPKCISSSLYLTWILSLLFSIVSLKYMSAKLCNV NNTNLKDLGYCSSLSFDKTAEVLHAAHVIIPGAVCMFFMLCSSGSMVLILHRHRQRMEHM IRSNIFPRSSHESRATQTILLLVSTFVCFYTLSTLLTICLNFLVNLTWHLVSIHAIFSMC FASVSPYLLMRHGSSTSIHCFAWVNYQKS >ENSMUSP00000118568.1 pep:known chromosome:GRCm38:4:116221618:116259576:1 gene:ENSMUSG00000028698.13 transcript:ENSMUST00000147292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r3 description:phosphatidylinositol 3 kinase, regulatory subunit, polypeptide 3 (p55) [Source:MGI Symbol;Acc:MGI:109277] MYNTVWSMDRDDADWREVMMPYSTELIFYIEMDPPALPPKPPKPMTPAVTNGMKDSFISL QDAEWYWGDISREEVNDKLRDM >ENSMUSP00000030464.7 pep:known chromosome:GRCm38:4:116221661:116303056:1 gene:ENSMUSG00000028698.13 transcript:ENSMUST00000030464.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r3 description:phosphatidylinositol 3 kinase, regulatory subunit, polypeptide 3 (p55) [Source:MGI Symbol;Acc:MGI:109277] MYNTVWSMDRDDADWREVMMPYSTELIFYIEMDPPALPPKPPKPMTPAVTNGMKDSFISL QDAEWYWGDISREEVNDKLRDMPDGTFLVRDASTKMQGDYTLTLRKGGNNKLIKIYHRDG KYGFSEPLTFTSVVELINHYHHESLAQYNPKLDVKLTYPVSRFQQDQLVKEDNIDAVGKN LQEFHSQYQEKSKEYDRLYEEYTRTSQEIQMKRTAIEAFNETIKIFEEQCHTQEQHSKDY IERFRREGNEKEIERIMMNYDKLKSRLGEIHDSKLRLEQDLKKQALDNREIDKKMNSIKP DLIQLRKIRDQHLVWLNHRGVRQRRLNAWLGIKNEDSDESYFINEEDENLPHYDEKTWFV EDINRVQAEDLLYGKPDGAFLIRESSKKGCYACSVVADGEVKHCVIYSTARGYGFAEPYN LYSSLKELVLHYQQTSLVQHNDSLNVRLAYPVHAQMPTLCR >ENSMUSP00000102099.2 pep:known chromosome:GRCm38:4:116221696:116299841:1 gene:ENSMUSG00000028698.13 transcript:ENSMUST00000106490.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r3 description:phosphatidylinositol 3 kinase, regulatory subunit, polypeptide 3 (p55) [Source:MGI Symbol;Acc:MGI:109277] MYNTVWSMDRDDADWREVMMPYSTELIFYIEMDPPALPPKPPKPMTPAVTNGMKDSFISL QDAEWYWGDISREEVNDKLRDMPDGTFLVRDASTKMQGDYTLTLRKGGNNKLIKIYHRDG KYGFSEPLTFTSVVELINHYHHESLAQYNPKLDVKLTYPVSRFQQDQLVKEDNIDAVGKN LQEFHSQYQEKSKEYDRLYEEYTRTSQEIQMKRTAIEAFNETIKIFEEQCHTQEQHSKDY IERFRREGNEKEIERWLNHRGVRQRRLNAWLGIKNEDSDESYFINEEDENLPHYDEKTWF VEDINRVQAEDLLYGKPDGAFLIRESSKKGCYACSVVADGEVKHCVIYSTARGYGFAEPY NLYSSLKELVLHYQQTSLVQHNDSLNVRLAYPVHAQMPTLCR >ENSMUSP00000007257.9 pep:known chromosome:GRCm38:17:35049966:35058749:1 gene:ENSMUSG00000007041.9 transcript:ENSMUST00000007257.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clic1 description:chloride intracellular channel 1 [Source:MGI Symbol;Acc:MGI:2148924] MAEEQPQVELFVKAGSDGAKIGNCPFSQRLFMVLWLKGVTFNVTTVDTKRRTETVQKLCP GGQLPFLLYGTEVHTDTNKIEEFLEAMLCPPRYPKLAALNPESNTSGLDIFAKFSAYIKN SNPALNDNLEKGLLKALKVLDNYLTSPLPEEVDETSAEDEGISQRKFLDGNELTLADCNL LPKLHIVQVVCKKYRGFTIPEAFRGVHRYLSNAYAREEFASTCPDDEEIELAYEQVARAL K >ENSMUSP00000076232.1 pep:known chromosome:GRCm38:11:58701703:58702623:1 gene:ENSMUSG00000064044.1 transcript:ENSMUST00000076965.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr319 description:olfactory receptor 319 [Source:MGI Symbol;Acc:MGI:3030153] METGNHSCGTDFTLVGLFQYGHMDTFLFTVISILFAVALIGNITLVLLIRLDRRLHTPMY FFLSQLSIIDMMCISTTVPKMGANFISDTKAISVLGCEIQVFMFMSLAGCEALLLGFMSY DRYIAICQPLHYPVLMSRKICCSMVASAWSSSSINALAHTVYVFQLPFCGSRMVNHFFCE VPSLLPLVCEDTSQYEHMIVMSVLVLVLLPFLAILASYARVLVVVFQMGSGQGQSRAVST CSSHLTVASLFYVTGLCTYTQPHSLHSPGRDKVVAVLYSIVTPVLNPFIYSLRNKEVIGA LRRQMG >ENSMUSP00000139574.2 pep:known chromosome:GRCm38:11:58720069:58721094:-1 gene:ENSMUSG00000108265.1 transcript:ENSMUST00000189911.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr318 description:olfactory receptor 318 [Source:MGI Symbol;Acc:MGI:3030152] MESGNHSCGTEFTLVGLFQYGHMDTFLFTLIAILFAVALMGNITLVLLIRLDRRLHTPMY FFLSQLSIIDMMYISTTVPKMAANFLSDTKAISFLGCAVQTFVFLTLGGSEALLLGFMSY DRYIAICQPLHYPVLMSRKICCSMVAGAWSSSSINAFMHTVYVFQLPFCGSRMVNHFFCE VPSLLPLVCEDTSQYEHTVLVSGLVILLLPFLAILASYARVLVVVIQMGSGKGQSRAVST CSSHLTVASLFYVTTLSTYTQPHSLHSPGRDKVVAVLYSIVTPVLNPFIYSLRNKEVMGA LRRQRG >ENSMUSP00000145999.1 pep:known chromosome:GRCm38:7:43114229:43189654:1 gene:ENSMUSG00000064194.10 transcript:ENSMUST00000205912.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp936 description:zinc finger protein 936 [Source:MGI Symbol;Acc:MGI:3642994] MDALTFDDVHVHFTREEWSLLDPSQKRLYKDVMLENYRNLTAIGYNWKDHNIEEHCQNDR RYGRHKITPAGEKPYEYTQWDKAFKYHSHLQKLERNQTGDKNSEVFQHSKVLACQSTLQR NKRTNTGEKPYKPSYLRMHERTHTGEKPYQCNECDKAFLQLRYLRLHERRHSGEKPYECN Q >ENSMUSP00000143800.1 pep:known chromosome:GRCm38:7:43177588:43192109:1 gene:ENSMUSG00000064194.10 transcript:ENSMUST00000202535.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp936 description:zinc finger protein 936 [Source:MGI Symbol;Acc:MGI:3642994] MDALTFDDVHVHFTREEWSLLDPSQKRLYKDVMLENYRNLTAIGYNWKDHNIEEHCQNDR RYGRHKITPAGEKPYEYTQWDKAFKYHSHLQKLERNQTGDKNSEVFQHSKVLACQSTLQR NKRTNTGEKPYKPSYLRMHERTHTGEKPYQCNECDKAFLQLRYLRLHERRHSGEKPYECN QCGKAFLHLNYLRIHERTHTGEKPYQCNQCGKAFTQRSHLQRHKVVHTGVRPYICNQCNK AYPQQNYLRKHERTHTGEKPYICNQCNKAFSHQSNLRIHEKTHTGEKPYKCNQCDKAFSH QSNLQIHERTHTGEKPYKCNQCDKAFAHLKYLRAHERTHTQEKPYKCNQCGKAFLYLSCL RVHERTHTGEKPYKCNQCDKAFAHLKYLRAHERIHTQEKPYKCNQCGKAFLLLSCLRVHE RTHTGEKPYKCDQCGKAFTQRSHLKTHKVIHTGGRL >ENSMUSP00000144191.1 pep:known chromosome:GRCm38:7:43177623:43189502:1 gene:ENSMUSG00000064194.10 transcript:ENSMUST00000200973.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp936 description:zinc finger protein 936 [Source:MGI Symbol;Acc:MGI:3642994] MDALTFDDVHVHFTREEWSLLDPSQKRLYKDVMLENYRNLTAIGYNWKDHNIEEHCQNDR RYGRHTRREHGIPLEMVESHHMEAGI >ENSMUSP00000072608.3 pep:known chromosome:GRCm38:7:43187170:43190481:1 gene:ENSMUSG00000064194.10 transcript:ENSMUST00000072829.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp936 description:zinc finger protein 936 [Source:MGI Symbol;Acc:MGI:3642994] DALTFDDVHVHFTREEWSLLDPSQKRLYKDVMLENYRNLTAIGYNWKDHNIEEHCQNDRR YGRHKITPAGEKPYEYTQWDKAFKYHSHLQKLERNQTGDKNSEVFQHSKVLACQSTLQRN KRTNTGEKPYKPSYLRMHERTHTGEKPYQCNECDKAFLQLRYLRLHERRHSGEKPYECNQ CGKAFLHLNYLRIHERTHTGEKPYQCNQCGKAFTQRSHLQRHKVVHTGVRPYICNQCNKA YPQQNYLRKHERTHTGEKPYICNQCNKAFSHQSNLRIHEKTHTGEKPYKCNQCDKAFSHQ SNLQIHERTHTGEKPYKCNQCDKAFAHLKYLRAHERTHTQEKPYKCNQCGKAFLYLSCLR VHERTHTGEKPYKCNQCDKAFAHLKYLRAHERIHTQEKPYKCNQCGKAFLLLSCLRVHER THTGEKPYKCDQCGKAFTQRSHLKTHKVIHTGGRL >ENSMUSP00000142767.1 pep:known chromosome:GRCm38:5:43913144:43913917:1 gene:ENSMUSG00000033036.9 transcript:ENSMUST00000122204.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7879 description:predicted pseudogene 7879 [Source:MGI Symbol;Acc:MGI:3645078] MAVANSSPVNPVVFFDVSIGGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGY KGSTFHRVIKDFMIQGGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMANSGPSTN GCQFFITCSKCDWLDGKHVVFGKIIDGLLVMRKIENVPTGPNNKPKLPVVISQCGEM >ENSMUSP00000142776.1 pep:known chromosome:GRCm38:5:43913147:43913910:1 gene:ENSMUSG00000033036.9 transcript:ENSMUST00000200338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7879 description:predicted pseudogene 7879 [Source:MGI Symbol;Acc:MGI:3645078] MAVANSSPVNPVVFFDVSIGGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGY KGSTFHRVIKDFMIQGGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMNVPTGPNN KPKLPVVISQCGEM >ENSMUSP00000118137.1 pep:known chromosome:GRCm38:6:5220852:5256233:-1 gene:ENSMUSG00000029759.9 transcript:ENSMUST00000129344.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pon3 description:paraoxonase 3 [Source:MGI Symbol;Acc:MGI:106686] MGKLVALTLLGACLALIGERLLNFRERVSTTREIKATEPQNCHLIEGLENGSEDIDILPS GLAFISTVSICQPL >ENSMUSP00000031773.2 pep:known chromosome:GRCm38:6:5220852:5256286:-1 gene:ENSMUSG00000029759.9 transcript:ENSMUST00000031773.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pon3 description:paraoxonase 3 [Source:MGI Symbol;Acc:MGI:106686] MGKLVALTLLGACLALIGERLLNFRERVSTTREIKATEPQNCHLIEGLENGSEDIDILPS GLAFISTGLKYPGMPAFAPDKPGRIFLMDLNEQNPEAQALEISGGLDQESLNPHGISTFI DKDNTAYLYVVNHPNMDSTVEIFKFEEQQRSLIHLKTLKHELLKSVNDIVVLGPEQFYAT RDHYFTSYFLVLLEMILDPHWTSVVFYSPKEVKVVAQGFSSANGITVSLDQKFVYVADVT AKNIHIMKKHDNWDLTPVKVIQLGTLVDNLTVDPATGDILAGCHPNPMKLLIYNPEDPPG SEVLRIQDSLSDKPRVSTLYANNGSVLQGSTVASVYHKRMLIGTIFHKALYCDL >ENSMUSP00000135603.1 pep:known chromosome:GRCm38:6:5232394:5256235:-1 gene:ENSMUSG00000029759.9 transcript:ENSMUST00000125686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pon3 description:paraoxonase 3 [Source:MGI Symbol;Acc:MGI:106686] MPAFAPDKPGRIFLMDLNEQNPEAQALEISGGLDQESLNPHGISTFIDKDNTAYLYVVNH PNMDSTVEIFKFEEQQRSLIHLKTLKHELLKSVNDIVVLGPEQFYATRDHYFTSYFLVLL EMILDPHWTSVVFYS >ENSMUSP00000122255.1 pep:known chromosome:GRCm38:2:26409431:26429512:-1 gene:ENSMUSG00000026924.17 transcript:ENSMUST00000156442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec16a description:SEC16 homolog A, endoplasmic reticulum export factor [Source:MGI Symbol;Acc:MGI:2139207] XSRPTSPEKFTVPHVCARFGPGGQLLKVIPNLPSEGQPALVEIHSLETLLQHTPEQEEMR SFPGPLGKDDTHKVDVINFAQNKATKCLQNESLIDKESASLLWKFIILLCRQNGTVVGTD IAELLLRDHRTVWLPGKSPNEANLIDFTNEAVEQVEEEESGEAQLSFLTDSQTVTTSVLE KETERFRELLLYGRKKDALESAMKNGLWGHALLLASKMDSRTHARVMTRFANSLPINDPL QTVYQLMSGRMPAASTCCGDEKWGDWRPHLAMVLSNLNNNMDVESRTMATMGDTLASKGL LDAAHFCYLMAQVGFGVYTKKTTKLVLIGSNHSLPFLKFATNEAIQRTEAYEYAQSLGAH TCSLPNFQVFKFIYLCRLAEMGLATQAFHYCEVIAKSVLTQPGAYSPVLISQLTQMASQL RLFDPQLKEKPEEESFVEPAWLVQLQHVERQIQEGTVLWSQDGTEPQQCRITSGSEVEQS DGPGLNQQAGPQADNPLLMPSTEPLMHGVQLLPTAPQTLPDGQPAHLSRVPMFPVPMSRG PLELSPAYGPPGSALGFPESSRSDPAVLHPGQALPPTTLSLQESGLPPQEAKSPDPEMVP RGSPVRHSPPELSQEEFGESFADPGSSRTAQDLETSPVWDLGSSSLTRAPSLTSDSEGKK PAQAVKKEPKEPKKTESWFSRWLPGKKRTEAYLPDDKNKSIVWDEKKNQWVNLNEPEEEK KAPPPPPTSFPRVPQVAPTGPAGPPTASVNVFSRKAGGSRARYVDVLNPSGTQRSEPALA PADFFAPLAPLPIPSNLFVPNPDAEEPQPADGTGCRGQAPAGTQSKAESTLEPKVGSSTV SAPGPELLPSKPDGSQGGEAPGDHCPTGAPHGGSVPFYNPAQLVQASVTSGNSRPGRIGQ RKYAALN >ENSMUSP00000109716.2 pep:known chromosome:GRCm38:2:26409431:26445214:-1 gene:ENSMUSG00000026924.17 transcript:ENSMUST00000114082.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec16a description:SEC16 homolog A, endoplasmic reticulum export factor [Source:MGI Symbol;Acc:MGI:2139207] MQPPPQAVPSGVAGPPPAGNPRSMFWANSPYRKPANNAPVAPITRPLQPVTDPFAFNRQT LQNTPVGSSSKSSLPNLPGPALSVFSQWPGLPVTPTNAGDSSTGLHEPLSGTLSQPRADA SLFPPASTPSSLPGLEVSRNAEADPSSGHEVQMLPHSAHYIPGVGPEQPLGGQMNDSGSG PDQPMNRHAPHDGAVTHAASPFLPQPQMPGQWGPAQGGPQPSYQHHSPYLEGPVQNMGLQ AASLPHFPPPSSLHQGPGHESHAPQTFTPASLASGEGNEIVHQQSKNHPLSSFPPKHTFE QNSRIGNMWASPELKQNPGVNKEHLLDPAHVNPFTQGNSPENQAHHPPVAATNHALQEAA SGALSMFFQGEETENEENLSSEKAGLDKRLNLDSFSSTSRLGHPPPPGASGVYQAFPRGP SSEAAQEGDAQPYFSQSVGVRLDKQSTVPPANDAWGDVPGTGTRCASGPQCENVENLEFV QNQEVLPRETLSVDPFPLSDQIRYGPLPGPAASRPATVGLTRGGGLNLEAPDTPLHPTRP DSVSSSYSSHSHRSPPGSARPQELVGTFIQQEVGKLEDDTSGSFFKQIDSSPVGGETDEV TGSQNCCSSLSQPSTPSPPKPTGVFQTSANSSFEPVKSHLVGVKPVEADRANMVVEVRGT QYCPKKRRAAVAPPDATSGNLEQPPDNMETPCAPQACPLPLSTTGEAGQLVSNTAGTPLD TVRPVPDKRPSARAQGPVKCESPATTLWAQNELPDFGGNVLLAPAAPALYVPVKPKPSEV VHHPEKGMSGQKAWKQGSVPPLQNQDPPGASENLENPPKVGEEEALPVQASSGYASLLSS PPTESLHNQPVLIAQPDQSYNLAQPINFSVSLLNPNEKNQSWGDAVVGERSIVSNNWALG GDPEERAALSGVPASAVTGASLPSSIPQNCAPQGSGSSEMIASQSASWLVQQLSPQTPQS PHPNAEKGPSEFVSSPAGNTSVMLVPPASSTLVPNSNKAKHSSNQEEAVGALDFTLNRTL ENPVRMYSPSPSDGPASQQPLPNHPRQSGPGLHNQDHFYQQVTKDAQDQHRLERAQPELV PPRPQNSPQVPQASCPEPSNPESPPTQGQSESLAQPPASPASVNTGQLLPQPPQASSASV TSTNSSQAAVRSEQLWLHPPPPNTFGPAPQDLASYYYYRPLYDAYQSQYPSPYPSDPGTA SLYYQDMYGLYEPRYRPYDSSASAYAENHRYSEPERPSSRASHYSDQLAPRQGYPEGYYN SKSGWSSHSDYYANYYSGQYDYGDPSRWDRYYGSRLRDPRTWDRRYWYDSEHDPYRKDHY AYSDRPEKCDDHWRYDPRFTGSFDDDAEIHRDPYGEEADRRSIHSEHSARSLRSTHSLPS RRSSLSSHSHQSQIYRSHHVTGGSFEAPHAPGSFHGDYAYGTYASNFSGAHGFPEYSYPA DTSWPAVEQVPSRPTSPEKFTVPHVCARFGPGGQLLKVIPNLPSEGQPALVEIHSLETLL QHTPEQEEMRSFPGPLGKDDTHKVDVINFAQNKATKCLQNESLIDKESASLLWKFIILLC RQNGTVVGTDIAELLLRDHRTVWLPGKSPNEANLIDFTNEAVEQVEEEESGEAQLSFLTD SQTVTTSVLEKETERFRELLLYGRKKDALESAMKNGLWGHALLLASKMDSRTHARVMTRF ANSLPINDPLQTVYQLMSGRMPAASTCCGDEKWGDWRPHLAMVLSNLNNNMDVESRTMAT MGDTLASKGLLDAAHFCYLMAQVGFGVYTKKTTKLVLIGSNHSLPFLKFATNEAIQRTEA YEYAQSLGAHTCSLPNFQVFKFIYLCRLAEMGLATQAFHYCEVIAKSVLTQPGAYSPVLI SQLTQMASQLRLFDPQLKEKPEEESFVEPAWLVQLQHVERQIQEGTVLWSQDGTEPQQCR ITSGSEVEQSDGPGLNQQAGPQADNPLLMPSTEPLMHGVQLLPTAPQTLPDGQPAHLSRV PMFPVPMSRGPLELSPAYGPPGSALGFPESSRSDPAVLHPGQALPPTTLSLQESGLPPQE AKSPDPEMVPRGSPVRHSPPELSQEEFGESFADPGSSRTAQDLETSPVWDLGSSSLTRAP SLTSDSEGKKPAQAVKKEPKEPKKTESWFSRWLPGKKRTEAYLPDDKNKSIVWDEKKNQW VNLNEPEEEKKAPPPPPTSFPRVPQVAPTGPAGPPTASVNVFSRKAGGSRARYVDVLNPS GTQRSEPALAPADFFAPLAPLPIPSNLFVPNPDAEEPQPADGTGCRGQAPAGTQSKAEST LEPKVGSSTVSAPGPELLPSKPDGSQGGELSRCSSLSSLSQEVSRHFHQAPGDHCPTGAP HGGSVPFYNPAQLVQASVTSGNSRPGRIGQRKYAALN >ENSMUSP00000121179.1 pep:known chromosome:GRCm38:2:26435982:26439755:-1 gene:ENSMUSG00000026924.17 transcript:ENSMUST00000153996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec16a description:SEC16 homolog A, endoplasmic reticulum export factor [Source:MGI Symbol;Acc:MGI:2139207] XQNELPDFGGNVLLAPAAPALYVPVKPKPSEVVHHPEKGMSGQKAWKQGSVPPLQNQDPP GASENLENPPKVGEEEALPVQVTKDAQDQHRLERAQPELVPPRPQNSPQVPQASCPEPSN PESPPTQGQSESLAQPPASPASVNTGQLLPQPPQASSASVTSTNSSQAAVRSEQLWLHPP PPNTFGPAPQDLASYYYYRPLYDAYQSQYPSPYPSDPGTASLYYQDMYGLYEPRYRPYDS SASAYAE >ENSMUSP00000088796.4 pep:known chromosome:GRCm38:2:26409433:26445216:-1 gene:ENSMUSG00000026924.17 transcript:ENSMUST00000091252.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec16a description:SEC16 homolog A, endoplasmic reticulum export factor [Source:MGI Symbol;Acc:MGI:2139207] MQPPPQAVPSGVAGPPPAGNPRSMFWANSPYRKPANNAPVAPITRPLQPVTDPFAFNRQT LQNTPVGSSSKSSLPNLPGPALSVFSQWPGLPVTPTNAGDSSTGLHEPLSGTLSQPRADA SLFPPASTPSSLPGLEVSRNAEADPSSGHEVQMLPHSAHYIPGVGPEQPLGGQMNDSGSG PDQPMNRHAPHDGAVTHAASPFLPQPQMPGQWGPAQGGPQPSYQHHSPYLEGPVQNMGLQ AASLPHFPPPSSLHQGPGHESHAPQTFTPASLASGEGNEIVHQQSKNHPLSSFPPKHTFE QNSRIGNMWASPELKQNPGVNKEHLLDPAHVNPFTQGNSPENQAHHPPVAATNHALQEAA SGALSMFFQGEETENEENLSSEKAGLDKRLNLDSFSSTSRLGHPPPPGASGVYQAFPRGP SSEAAQEGDAQPYFSQSVGVRLDKQSTVPPANDAWGDVPGTGTRCASGPQCENVENLEFV QNQEVLPRETLSVDPFPLSDQIRYGPLPGPAASRPATVGLTRGGGLNLEAPDTPLHPTRP DSVSSSYSSHSHRSPPGSARPQELVGTFIQQEVGKLEDDTSGSFFKQIDSSPVGGETDEV TGSQNCCSSLSQPSTPSPPKPTGVFQTSANSSFEPVKSHLVGVKPVEADRANMVVEVRGT QYCPKKRRAAVAPPDATSGNLEQPPDNMETPCAPQACPLPLSTTGEAGQLVSNTAGTPLD TVRPVPDKRPSARAQGPVKCESPATTLWAQNELPDFGGNVLLAPAAPALYVPVKPKPSEV VHHPEKGMSGQKAWKQGSVPPLQNQDPPGASENLENPPKVGEEEALPVQASSGYASLLSS PPTESLHNQPVLIAQPDQSYNLAQPINFSVSLLNPNEKNQSWGDAVVGERSIVSNNWALG GDPEERAALSGVPASAVTGASLPSSIPQNCAPQGSGSSEMIASQSASWLVQQLSPQTPQS PHPNAEKGPSEFVSSPAGNTSVMLVPPASSTLVPNSNKAKHSSNQEEAVGALDFTLNRTL ENPVRMYSPSPSDGPASQQPLPNHPRQSGPGLHNQDHFYQQVTKDAQDQHRLERAQPELV PPRPQNSPQVPQASCPEPSNPESPPTQGQSESLAQPPASPASVNTGQLLPQPPQASSASV TSTNSSQAAVRSEQLWLHPPPPNTFGPAPQDLASYYYYRPLYDAYQSQYPSPYPSDPGTA SLYYQDMYGLYEPRYRPYDSSASAYAENHRYSEPERPSSRASHYSDQLAPRQGYPEGYYN SKSGWSSHSDYYANYYSGQYDYGDPSRWDRYYGSRLRDPRTWDRRYWYDSEHDPYRKDHY AYSDRPEKCDDHWRYDPRFTGSFDDDAEIHRDPYGEEADRRSIHSEHSARSLRSTHSLPS RRSSLSSHSHQSQIYRSHHVTGGSFEAPHAPGSFHGDYAYGTYASNFSGAHGFPEYSYPA DTSWPAVEQVPSRPTSPEKFTVPHVCARFGPGGQLLKVIPNLPSEGQPALVEIHSLETLL QHTPEQEEMRSFPGPLGKDDTHKVDVINFAQNKATKCLQNESLIDKESASLLWKFIILLC RQNGTVVGTDIAELLLRDHRTVWLPGKSPNEANLIDFTNEAVEQVEEEESGEAQLSFLTD SQTVTTSVLEKETERFRELLLYGRKKDALESAMKNGLWGHALLLASKMDSRTHARVMTRF ANSLPINDPLQTVYQLMSGRMPAASTCCGDEKWGDWRPHLAMVLSNLNNNMDVESRTMAT MGDTLASKGLLDAAHFCYLMAQVGFGVYTKKTTKLVLIGSNHSLPFLKFATNEAIQRTEA YEYAQSLGAHTCSLPNFQVFKFIYLCRLAEMGLATQAFHYCEVIAKSVLTQPGAYSPVLI SQLTQMASQLRLFDPQLKEKPEEESFVEPAWLVQLQHVERQIQEGTVLWSQDGTEPQQCR ITSGSEVEQSDGPGLNQQAGPQADNPLLMPSTEPLMHGVQLLPTAPQTLPDGQPAHLSRV PMFPVPMSRGPLELSPAYGPPGSALGFPESSRSDPAVLHPGQALPPTTLSLQESGLPPQE AKSPDPEMVPRGSPVRHSPPELSQEEFGESFADPGSSRTAQDLETSPVWDLGSSSLTRAP SLTSDSEGKKPAQAVKKEPKEPKKTESWFSRWLPGKKRTEAYLPDDKNKSIVWDEKKNQW VNLNEPEEEKKAPPPPPTSFPRVPQVAPTGPAGPPTASVNVFSRKAGGSRARYVDVLNPS GTQRSEPALAPADFFAPLAPLPIPSNLFVPNPDAEEPQPADGTGCRGQAPAGTQSKAEST LEPKVGSSTVSAPGPELLPSKPDGSQGGEAPGDHCPTGAPHGGSVPFYNPAQLVQASVTS GNSRPGRIGQRKYAALN >ENSMUSP00000099580.1 pep:known chromosome:GRCm38:11:74383356:74590186:-1 gene:ENSMUSG00000038807.18 transcript:ENSMUST00000102521.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gap2 description:RAP1 GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:3028623] MLAGLKVKKQELANSSDVTLPDRPLSPPLTAPPTMKSAEFFEMLEKMQGIKLEEQRPGPQ KNKDDYIPYPSIDEVVEKGGPYPLIILPQFGGYWIEDPENVGTPTSLGSSVYEEEEEDSL SPNTFGYKLECRGEARAYRRHFLGKDHLNFYCTGSSLGNLILSIKCEEAEGMEYLRIILR SKLKTVHERIPLAGLSKLPSVPQIAKAFCDDAVGLKFNPVLYPKASQMIVSYDEHDVNNT FKFGVIYQKARQTLEEELFGNNEESPAFKEFLDLLGDTITLQDFKGFRGGLDVTHGQTGV ESVYTTFRDREIMFHVSTKLPFTDGDTQQLQRKRHIGNDIVAIIFQEENTPFVPDMIASN FLHAYIVVQADNPGTETPSYKVSVTAREDVPAFGPPLPSPPVFQKGAEFREFLLTKLTNA ENACCKSDKFAKLEDRTRAALLDNLHDELHTHTQVMLGMGPEEDKFENGGHGGFLESFKR AIRVRSHSMETMVGSQRKLHGGNLPGSLSGGIVHNSMEVTKTTFSPPVAAATAKNQSRSP IKRRSGLFPRLHSGSEGQGDSRTRCDSASSTPKTPDGGHSSQEIKSETSSNPSSPEICPN KEKPFIKLKENGRANISRSSSSTSSFSSTAGEGEAMEECDSGSSQPSTTSPFKQEVFAYS PSPSSESPSLGAAATPIIMSRSPTDAKSRNSPRSNLKFRFDKLSHASSSAGH >ENSMUSP00000040180.8 pep:known chromosome:GRCm38:11:74383487:74610915:-1 gene:ENSMUSG00000038807.18 transcript:ENSMUST00000047488.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rap1gap2 description:RAP1 GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:3028623] MAGRRAPGENRWQLVRWYIQEGRFRIEERTLTAFQWLYSPQQHRILSRADLESPSRIDKT MLAGLKVKKQELANSSDVTLPDRPLSPPLTAPPTMKSAEFFEMLEKMQGIKLEEQRPGPQ KNKDDYIPYPSIDEVVEKGGPYPLIILPQFGGYWIEDPENVGTPTSLGSSVYEEEEEDSL SPNTFGYKLECRGEARAYRRHFLGKDHLNFYCTGSSLGNLILSIKCEEAEGMEYLRIILR SKLKTVHERIPLAGLSKLPSVPQIAKAFCDDAVGLKFNPVLYPKASQMIVSYDEHDVNNT FKFGVIYQKARQTLEEELFGNNEESPAFKEFLDLLGDTITLQDFKGFRGGLDVTHGQTGV ESVYTTFRDREIMFHVSTKLPFTDGDTQQLQRKRHIGNDIVAIIFQEENTPFVPDMIASN FLHAYIVVQADNPGTETPSYKVSVTAREDVPAFGPPLPSPPVFQKGAEFREFLLTKLTNA ENACCKSDKFAKLEDRTRAALLDNLHDELHTHTQVMLGMGPEEDKFENGGHGGFLESFKR AIRVRSHSMETMVGSQRKLHGGNLPGSLSGGIVHNSMEVTKTTFSPPVAAATAKNQSRSP IKRRSGLFPRLHSGSEGQGDSRTRCDSASSTPKTPDGGHSSQEIKSETSSNPSSPEICPN KEKPFIKLKENGRANISRSSSSTSSFSSTAGEGEAMEECDSGPAIHNLALQAGGVCLQPI PEQREPQPGGCRHAHHHEPESHRCQKQKLPEVQPEIPL >ENSMUSP00000146728.1 pep:known chromosome:GRCm38:11:74436924:74522751:-1 gene:ENSMUSG00000038807.18 transcript:ENSMUST00000208896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gap2 description:RAP1 GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:3028623] MSQPAGRMHCRKAGIRAAVVLIGLLHKSRKQNKEKRKQELANSSDVTLPDRPLSPPLTAP PTMKSAEFFEMLEKMQGIKLEEQRPGPQKNKDDYIPYPSIDEVVEKGGPYPLIILPQFGG YWIEDPENVGTPTSLGSSVYEEEEEDSLSPNTFGYKLECRGEARAYRRHFLGK >ENSMUSP00000117290.1 pep:known chromosome:GRCm38:11:74437043:74590124:-1 gene:ENSMUSG00000038807.18 transcript:ENSMUST00000145524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rap1gap2 description:RAP1 GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:3028623] MLAGLKVKKQELANSSDVTLPDRPLSPPLTAPPTMKSAEFFEMLEKMQDDYIPYPSIDEV VEKGGPYPLIILPQFGGYWIEDPENVGTPT >ENSMUSP00000102942.2 pep:known chromosome:GRCm38:4:73887066:73891112:-1 gene:ENSMUSG00000096750.7 transcript:ENSMUST00000107321.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11757 description:predicted gene 11757 [Source:MGI Symbol;Acc:MGI:3702097] MQREDNRVQSVRSDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGLWFDGHSKPPASYAPSLCIGGAISPGPSFSPWTDPEIKIFLQEWQ VVEREIGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTLKDLHSTLSRERSRTVPL FSPYRDYLERIFDPKCQRGHVPGALYNWSGYHRPSSSPQTPMVMPSPVYQPWDYGMSASS GQLHGNPSLIMSSQDSLVPRWDAWNATYPLPVQHVLLASLSGDNNFQLVWSPRDESSSPQ >ENSMUSP00000102941.2 pep:known chromosome:GRCm38:4:73887070:73891112:-1 gene:ENSMUSG00000096750.7 transcript:ENSMUST00000107320.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11757 description:predicted gene 11757 [Source:MGI Symbol;Acc:MGI:3702097] MQREDNRVQSVRSDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGALYNWSGYHRPSSSPQTPMVMPSPVYQPWDYGMSASSGQLHGNPS LIMSSQDSLVPRWDAWNATYPLPVQHVLLASLSGDNNFQLVWSPRDESSSPQ >ENSMUSP00000113154.1 pep:known chromosome:GRCm38:X:26200678:26231355:-1 gene:ENSMUSG00000082639.8 transcript:ENSMUST00000121900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2012 description:predicted gene 2012 [Source:MGI Symbol;Acc:MGI:3780181] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEKWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKCDMDIQKFNEEQEKSVNNYQKEQQALNLSECSQSQTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000131886.1 pep:known chromosome:GRCm38:X:26200882:26231323:-1 gene:ENSMUSG00000082639.8 transcript:ENSMUST00000163263.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2012 description:predicted gene 2012 [Source:MGI Symbol;Acc:MGI:3780181] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEKWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKCDMDIQKFNEEQEKSVNNYQKEQQALNLSECSQSQTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000061824.6 pep:known chromosome:GRCm38:11:50872720:50887443:-1 gene:ENSMUSG00000048728.15 transcript:ENSMUST00000050595.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp454 description:zinc finger protein 454 [Source:MGI Symbol;Acc:MGI:2679253] MAVSQLPALVQDLVTFKDVAVLFTQEEWGQLSSAQRALYQDVMLENYSNLVSLAGLLGSQ PDMFFPLEEVEECVSEEAPEGFVLDAADEPHLGPRSACEAQVPVTGEITVAMSKKFLKAD IPEKESDQWIAKERACANLFNWQCQEGQEVKLQQVVLTQQNTPSKLSEQRVRAESSSPIQ SQRSQASKTAFECSECGKAFSKSSTLKKHQKLHTEKLNPSQKSPMKEKRYKCRECGKAFH QSTHLIHHQRVHTGEKPYQCKDCGKAFSVSSSLSYHQKIHTGEKPFECNVCGKAFIRNIH LSHHHRMHTGEKPFQCNLCDKAFVCRAHLTKHQHIHSGKKPYKCNECGKAFNQSTSFLQH QRIHTGEKPFECNECGKAFRVNSSLTEHQRIHTGEKPYQCIECGKAFRDNSSFARHRKIH TGEKPYRCGLCEKAFRDQSALAQHQRTHTGEKPYTCNICEKAFSDHSALTQHKRIHTREK PYKCKTCGKAFIRSTHLIQHQRIHTGEKPYKCNTCGKAFNQTANLAQHQRHHTGGK >ENSMUSP00000104759.1 pep:known chromosome:GRCm38:11:50872720:50887651:-1 gene:ENSMUSG00000048728.15 transcript:ENSMUST00000109131.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp454 description:zinc finger protein 454 [Source:MGI Symbol;Acc:MGI:2679253] MSKKFLKADIPEKESDQWIAKERACANLFNWQCQEGQEVKLQQVVLTQQNTPSKLSEQRV RAESSSPIQSQRSQASKTAFECSECGKAFSKSSTLKKHQKLHTEKLNPSQKSPMKEKRYK CRECGKAFHQSTHLIHHQRVHTGEKPYQCKDCGKAFSVSSSLSYHQKIHTGEKPFECNVC GKAFIRNIHLSHHHRMHTGEKPFQCNLCDKAFVCRAHLTKHQHIHSGKKPYKCNECGKAF NQSTSFLQHQRIHTGEKPFECNECGKAFRVNSSLTEHQRIHTGEKPYQCIECGKAFRDNS SFARHRKIHTGEKPYRCGLCEKAFRDQSALAQHQRTHTGEKPYTCNICEKAFSDHSALTQ HKRIHTREKPYKCKTCGKAFIRSTHLIQHQRIHTGEKPYKCNTCGKAFNQTANLAQHQRH HTGGK >ENSMUSP00000122658.1 pep:known chromosome:GRCm38:11:50877882:50887651:-1 gene:ENSMUSG00000048728.15 transcript:ENSMUST00000125749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp454 description:zinc finger protein 454 [Source:MGI Symbol;Acc:MGI:2679253] MAVSQLPALVQDLVTFKDVAVLFTQEEWGQLSSAQRALYQDVMLENYSNLVSLAGLLGSQ PDMFFPLEEVEECVSEEAPEGFVLDAADEPHLGPRSACEAQVPVTENRGVGYHSRQGTSK YQ >ENSMUSP00000129125.1 pep:known chromosome:GRCm38:11:50872720:50887501:-1 gene:ENSMUSG00000048728.15 transcript:ENSMUST00000163301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp454 description:zinc finger protein 454 [Source:MGI Symbol;Acc:MGI:2679253] MAVSQLPALVQDLVTFKDVAVLFTQEEWGQLSSAQRALYQDVMLENYSNLVSLAGLLGSQ PDMFFPLEEVEECVSEEAPEGFVLDAADEPHLGPRSACEAQVPVTGEITVAMSKKFLKAD IPEKESDQWIAKERACANLFNWQCQEGQEVKLQQVVLTQQNTPSKLSEQRVRAESSSPIQ SQRSQASKTAFECSECGKAFSKSSTLKKHQKLHTEKLNPSQKSPMKEKRYKCRECGKAFH QSTHLIHHQRVHTGEKPYQCKDCGKAFSVSSSLSYHQKIHTGEKPFECNVCGKAFIRNIH LSHHHRMHTGEKPFQCNLCDKAFVCRAHLTKHQHIHSGKKPYKCNECGKAFNQSTSFLQH QRIHTGEKPFECNECGKAFRVNSSLTEHQRIHTGEKPYQCIECGKAFRDNSSFARHRKIH TGEKPYRCGLCEKAFRDQSALAQHQRTHTGEKPYTCNICEKAFSDHSALTQHKRIHTREK PYKCKTCGKAFIRSTHLIQHQRIHTGEKPYKCNTCGKAFNQTANLAQHQRHHTGGK >ENSMUSP00000099819.1 pep:known chromosome:GRCm38:11:52360860:52389397:1 gene:ENSMUSG00000020402.11 transcript:ENSMUST00000102758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdac1 description:voltage-dependent anion channel 1 [Source:MGI Symbol;Acc:MGI:106919] MAVPPTYADLGKSARDVFTKGYGFGLIKLDLKTKSENGLEFTSSGSANTETTKVNGSLET KYRWTEYGLTFTEKWNTDNTLGTEITVEDQLARGLKLTFDSSFSPNTGKKNAKIKTGYKR EHINLGCDVDFDIAGPSIRGALVLGYEGWLAGYQMNFETSKSRVTQSNFAVGYKTDEFQL HTNVNDGTEFGGSIYQKVNKKLETAVNLAWTAGNSNTRFGIAAKYQVDPDACFSAKVNNS SLIGLGYTQTLKPGIKLTLSALLDGKNVNAGGHKLGLGLEFQA >ENSMUSP00000116919.1 pep:known chromosome:GRCm38:11:52361135:52388496:1 gene:ENSMUSG00000020402.11 transcript:ENSMUST00000125694.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vdac1 description:voltage-dependent anion channel 1 [Source:MGI Symbol;Acc:MGI:106919] MAVPPTYADLGKSARDVFTKGYGFGLIKLDLKTKSENGLEFTSSGSANTETTKVNGSLET KYRWTEYGLTFTEKWNTDNTLGTEITVEDQLARGLKLTFDSSFSPNTGKKNAKIKTGYKR EHINLGCDVDFDIAGPSIRGALVLGYEGWLAGYQMNFETSKSRVTQSNFAVGYKTDEFQL HTNVNDGTEFGGSIYQKVNKKLETAVNLAWTAGNSNTRFGIAAKYQVDPDACFSFLYLQN FHTGDAERLWRSIG >ENSMUSP00000020673.2 pep:known chromosome:GRCm38:11:52374263:52388523:1 gene:ENSMUSG00000020402.11 transcript:ENSMUST00000020673.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdac1 description:voltage-dependent anion channel 1 [Source:MGI Symbol;Acc:MGI:106919] MCSFFLVLLLWQNMAVPPTYADLGKSARDVFTKGYGFGLIKLDLKTKSENGLEFTSSGSA NTETTKVNGSLETKYRWTEYGLTFTEKWNTDNTLGTEITVEDQLARGLKLTFDSSFSPNT GKKNAKIKTGYKREHINLGCDVDFDIAGPSIRGALVLGYEGWLAGYQMNFETSKSRVTQS NFAVGYKTDEFQLHTNVNDGTEFGGSIYQKVNKKLETAVNLAWTAGNSNTRFGIAAKYQV DPDACFSAKVNNSSLIGLGYTQTLKPGIKLTLSALLDGKNVNAGGHKLGLGLEFQA >ENSMUSP00000075034.2 pep:known chromosome:GRCm38:11:58732096:58733223:-1 gene:ENSMUSG00000060030.4 transcript:ENSMUST00000075607.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr317 description:olfactory receptor 317 [Source:MGI Symbol;Acc:MGI:3030151] MGATNDSTFSHFILTGFSDRPELERVLFAILLPAYLLTLLGNSTIILVSRLDPHLHTPMY FFLTHLSFLDLSFTSSSIPQLLYNLSGPDKTISYVGCALQLVLFLGLGGVECLLLAVMAY DRFVAICKPLHYMVIMSPRLCVGLVSVAWSCGVANSLAMSPATLSLPRCGHHRVDHFLCE MPALIRMACVNTAVVEGIAFILAIGIVLSPLVFILVSYGYIVRAVLRISSAAGRQKAFNT CGSHLTVVSLFYGNIIYMYMQPGNSSSQDQGKFLTLFYNIVTPLLNPLIYTLRNKEVKGA LRRLLLGSRETGKVRASSRWTRGKLSW >ENSMUSP00000047917.8 pep:known chromosome:GRCm38:17:35655634:35667592:-1 gene:ENSMUSG00000038838.15 transcript:ENSMUST00000043674.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vars2 description:valyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1916165] MPHLPLASFRPPLWGLRPSWGLSRPQALCTQPEPHGSPVSRRNREAKQKRLREKQAALEA GLAEKSKIPAVPTKAWSHKEVVLYEIPTGPGEKKDVSGPLPPAYSPQYVEAAWYQWWVRE GFFKPEYQARLPQATGETFSMCIPPPNVTGSLHIGHALTVAIQDALVRWHRMRGDRVLWI PGSDHAGIATQAMVEKQLWKEQRVRRHELSREDFLRAVWQWKHEKGGEIYEQLCALGASL DWDRECFTMDAGSSAAVTEAFVRLYNSGLLYRNRQLVNWSCTLRSAISDIEVESRPLPGR TVLQLPGCPTPVSFGLLASVAFPVDGEPDTEIVVGTTRPETLPGDVAVAVHPDDPRYTHL HGRQLRHPLTGQLLPLITDTTVQPHVGTGAVKVTPAHSPIDAEIGTRHGLTPLSVIAEDG TMTSLCGDWLQGLHRFVAREKIMCTLREQGLFRGLQEHPMVLPICSRSGDVVEYLLKSQW FVRCQEMGDLAAKAVESGALELWPSFHQKSWQHWFAHIGDWCVSRQLWWGHQIPAYRVIG ENAEDDRKECWVVGRSEAEARAVAAKRTGRPEAELTLERDPDVLDTWFSSALFPFSALGW PRETPDLAHFYPLTLLETGSDLLMFWVGRMVMLGTQLTGQLPFSKVLLHSMVRDRQGRKM SKSLGNVLDPRDIISGQELQVLQAKLRDGNLDPGELAVAAAAQKKDFPYGIPECGTDALR FALCSHGILGGDLHLSVSEVLNYRHFCNKLWNALRFVLRALGDNFVPQPAEEVTPSSPMD AWILSRLAFAASECERGFLSRELSLVTHTLYHFWLHNLCDVYLEAVKPVLSSVPRPPGPP QVLFSCADVGLRLLAPLMPFLAEELWQRLPPRPGGPLAPSICVAPYPSTRSLEFWRQPEL ERCFSRVQEVVQALRALRATYQLTKARPQVLLQCSDPGEQGLVQPFLEPLGILSHCGAVG FLSPGAAAPSGWALTPLGDTMKIYMELQGLVDPQSQLPRLTARRQKLQKQLDDLLNRTMS EGLAERQQRISSLHLELSKLDQAASYLQQLMDEAPSAREL >ENSMUSP00000129196.1 pep:known chromosome:GRCm38:17:35656390:35663484:-1 gene:ENSMUSG00000038838.15 transcript:ENSMUST00000168922.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vars2 description:valyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1916165] XPDDPRYTHLHGRQLRHPLTGQLLPLITDTTVQPHVGTGAVKVTPAHSPIDAEIGTRHGL TPLSVIAEDGTMTSLCGDWLQGLHRFVAREKIMCTLREQGLFRGLQEHPMVLPICSRSGD VVEYLLKSQWFVRCQEMGDLAAKAVESGALELWPSFHQKSWQHWFAHIGDWCVSRQLWWG HQIPAYRVIGENAEDDRKECWVVGRSEAEARAVAAKRTGRPEAELTLERDPDVLDTWFSS ALFPFSALGWPRETPDLAHFYPLTLLETGSDLLMFWVGRMVMLGTQLTGQLPFSKVLLHS MVRDRQGRKMSKSLGNVLDPRDIISGQELQVLQAKLRDGNLDPGELAVAAAAQKKDFPYG IPECGTDALRFALCSHGILGGDLHLSVSEVLNYRHFCNKLWNALRFVLRALGDNFVPQPA EEVTPSSPMDAWILSRLAFAASECERGFLSRELSLVTHTLYHFWLHNLCDVYLEAVKPVL SSVPRPPGPPQVLFSCADVGLRLLAPLMPFLAEELWQRLPPRPGGPLAPSICVAPYPSTR SLVSHGQGSGKQGGLLRAEVVTMLAVELSHGIPAQVALLAR >ENSMUSP00000126084.1 pep:known chromosome:GRCm38:17:35656557:35663026:-1 gene:ENSMUSG00000038838.15 transcript:ENSMUST00000164404.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vars2 description:valyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1916165] XVQPHVGTGAVKVTPAHSPIDAEIGTRHGLTPLSVIAEDGTMTSLCGDWLQGLHRFVARE KIMCTLREQGLFRGLQEHPMVLPICSRSGDVVEYLLKSQWFVRCQEMGDLAAKAVESGAL ELWPSFHQKSWQHWFAHIGDWCVSRQLWWGHQIPAYRVIGENAEDDRKECWVVGRSEAEA RAVAAKRTGRPEAELTLERDARPCSLLPPHPLGNG >ENSMUSP00000126794.1 pep:known chromosome:GRCm38:17:35659688:35661969:-1 gene:ENSMUSG00000038838.15 transcript:ENSMUST00000169093.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vars2 description:valyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1916165] CTLREQGLFRGLQEHPMVLPICSRSGDVVEYLLKSQWFVRCQEMGDLAAKAVESGALELW PSFHQKSWQHWFAHIGDWCVSRQLWWGHQIPAYRVIGENAERSLLLITQETV >ENSMUSP00000132897.1 pep:known chromosome:GRCm38:17:35666202:35667549:-1 gene:ENSMUSG00000038838.15 transcript:ENSMUST00000165144.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vars2 description:valyl-tRNA synthetase 2, mitochondrial (putative) [Source:MGI Symbol;Acc:MGI:1916165] MPHLPLASFRPPLWGLRPSWGLSRPQALCTQPEPHGSPVSRRNREAKQKRLREKQAALEA GLAEKSKVRDTCSAH >ENSMUSP00000099991.3 pep:known chromosome:GRCm38:17:24073680:24141595:-1 gene:ENSMUSG00000024122.16 transcript:ENSMUST00000102927.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpk1 description:3-phosphoinositide dependent protein kinase 1 [Source:MGI Symbol;Acc:MGI:1338068] MARTTSQLYDAVPIQSSVVLCSCPSPSMVRSQTEPGSSPGIPSGVSRQGSTMDGTTAEAR PSTNPLQQHPAQLPPQPRKKRPEDFKFGKILGEGSFSTVVLARELATSREYAIKILEKRH IIKENKVPYVTRERDVMSRLDHPFFVKLYFTFQDDEKLYFGLSYAKNGELLKYIRKIGSF DETCTRFYTAEIVSALEYLHGKGIIHRDLKPENILLNEDMHIQITDFGTAKVLSPESKQA RANSFVGTAQYVSPELLTEKSACKSSDLWALGCIIYQLVAGLPPFRAGNEYLIFQKIIKL EYHFPEKFFPKARDLVEKLLVLDATKRLGCEEMEGYGPLKAHPFFETITWENLHQQTPPK LTAYLPAMSEDDEDCYGNYDNLLSQFGFMQVSSSSSSHSLSTVETSLPQRSGSNIEQYIH DLDTNSFELDLQFSEDEKRLLLEKQAGGNPWHQFVENNLILKMGPVDKRKGLFARRRQLL LTEGPHLYYVDPVNKVLKGEIPWSQELRPEAKNFKTFFVHTPNRTYYLMDPSGNAHKWCR KIQEVWRQQYQSNPDAAVQ >ENSMUSP00000111070.1 pep:known chromosome:GRCm38:17:24073683:24141553:-1 gene:ENSMUSG00000024122.16 transcript:ENSMUST00000115411.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpk1 description:3-phosphoinositide dependent protein kinase 1 [Source:MGI Symbol;Acc:MGI:1338068] MARTTSQLYDAVPIQSSVVLCSCPSPSMVRSQTEPGSSPGIPSGVSRQGSTMDGTTAEAR PSTNPLQQHPAQLPPQPRKKRPEDFKFGKILGEGSFSTVVLARELATSREYAIKILEKRH IIKENKVPYVTRERDVMSRLDHPFFVKLYFTFQDDEKLYFGLSYAKNGELLKYIRKIGSF DETCTRFYTAEIVSALEYLHGKGIIHRDLKPENILLNEDMHIQITDFGTAKVLSPESKQA RANSFVGTAQYVSPELLTEKSACKSSDLWALGCIIYQLVAGLPPFRAGNEYLIFQKIIKL EYHFPEKFFPKARDLVEKLLVLDATKRLGCEEMEGYGPLKAHPFFETITWENLHQQTPPK LTAYLPAMSEDDEDCYGNYDNLLSQFGFMQVSSSSSSHSLSTVETSLPQRSGSNIEQYIH DLDTNSFELDLQFSEDEKRLLLEKQAGGNPWHQFVENNLILKMGPVDKRKGLFARRRQLL LTEGPHLYYVDPVNKVLKGEIPWSQELRPEAKNFKTFFVHTM >ENSMUSP00000111068.2 pep:known chromosome:GRCm38:17:24075812:24141570:-1 gene:ENSMUSG00000024122.16 transcript:ENSMUST00000115409.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpk1 description:3-phosphoinositide dependent protein kinase 1 [Source:MGI Symbol;Acc:MGI:1338068] MARTTSQLYDAVPIQSSVVLCSCPSPSMVRSQTEPGSSPGIPSGVSRQGSTMDGTTAEAR PSTNPLQQHPAQLPPQPRKKRPEDFKFGKILGEGSFSTVVLARELATSREYATRANSFVG TAQYVSPELLTEKSACKSSDLWALGCIIYQLVAGLPPFRAGNEYLIFQKIIKLEYHFPEK FFPKARDLVEKLLVLDATKRLGCEEMEGYGPLKAHPFFETITWENLHQQTPPKLTAYLPA MSEDDEDCYGNYDNLLSQFGFMQVSSSSSSHSLSTVETSLPQRSGSNIEQYIHDLDTNSF ELDLQFSEDEKRLLLEKQAGGNPWHQFVENNLILKMGPVDKRKGLFARRRQLLLTEGPHL YYVDPVNKVLKGEIPWSQELRPEAKNFKTFFVHTPNRTYYLMDPSGNAHKWCRKIQEVWR QQYQSNPDAAVQ >ENSMUSP00000111066.2 pep:known chromosome:GRCm38:17:24078881:24141576:-1 gene:ENSMUSG00000024122.16 transcript:ENSMUST00000115407.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpk1 description:3-phosphoinositide dependent protein kinase 1 [Source:MGI Symbol;Acc:MGI:1338068] MARTTSQLYDAVPIQSSVVLCSCPSPSMVRSQTEPGSSPGIPSGVSRQGSTMDGTTAEAR PSTNPLQQHPAQLPPQPRKKRPEDFKFGKILGEGSFSTVVLARELATSREYAIKILEKRH IIKENKVPYVTRERDVMSRLDHPFFVKLYFTFQDDEKLYFGLSYAKNGELLKYIRKIGSF DETCTRFYTAEIVSALEYLHGKGIIHRDLKPENILLNEDMHIQITDFGTAKVLSPESKQA RANSFVGTAQYVSPELLTEKSACKSSDLWALGCIIYQLVAGLPPFRAGNEYLIFQKIIKL EYHFPEKFFPKARDLVEKLLVLDATKRLGCEEMEGYGPLKAHPFFETITWENLHQQTPPK LTAYLPAMSEDDEDCYGNYDNLLSQFGFMQVSSSSSSHSLSTVETSLPQRSGSNIEQYIH DLDTNSFELDLQFSEDEKRLLLEKQAGGNPCLTGRTT >ENSMUSP00000061942.6 pep:known chromosome:GRCm38:17:24078928:24150924:-1 gene:ENSMUSG00000024122.16 transcript:ENSMUST00000052462.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpk1 description:3-phosphoinositide dependent protein kinase 1 [Source:MGI Symbol;Acc:MGI:1338068] MVRSQTEPGSSPGIPSGVSRQGSTMDGTTAEARPSTNPLQQHPAQLPPQPRKKRPEDFKF GKILGEGSFSTVVLARELATSREYAIKILEKRHIIKENKVPYVTRERDVMSRLDHPFFVK LYFTFQDDEKLYFGLSYAKNGELLKYIRKIGSFDETCTRFYTAEIVSALEYLHGKGIIHR DLKPENILLNEDMHIQITDFGTAKVLSPESKQARANSFVGTAQYVSPELLTEKSACKSSD LWALGCIIYQLVAGLPPFRAGNEYLIFQKIIKLEYHFPEKFFPKARDLVEKLLVLDATKR LGCEEMEGYGPLKAHPFFETITWENLHQQTPPKLTAYLPAMSEDDEDCYGNYDNLLSQFG FMQVSSSSSSHSLSTVETSLPQRSGSNIEQYIHDLDTNSFELDLQFSEDEKRLLLEKQAG GNPWHQFVENNLILKMGPVDKRKGLFARRRQLLLTEGPHLYYVDPVNKVLKGEIPWSQEL RPEAKNFKTFFVHTPNRTYYLMDPSGNAHKWCRKIQEVWRQQYQSNPDAAVQ >ENSMUSP00000121771.1 pep:known chromosome:GRCm38:17:24106835:24140807:-1 gene:ENSMUSG00000024122.16 transcript:ENSMUST00000144533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpk1 description:3-phosphoinositide dependent protein kinase 1 [Source:MGI Symbol;Acc:MGI:1338068] MVRSQTEPGSSPGIPSGVSRQGSTMDGTTAEARPSTNPLQQHPAQLPPQPRKKRPEDFKF GKILGEGSFSTVVLARELATSREYAIKILEKRHIIKENKVPYVTRERDVMSRLDHPFFVK LYFTFQDD >ENSMUSP00000115618.1 pep:known chromosome:GRCm38:17:24106887:24120794:-1 gene:ENSMUSG00000024122.16 transcript:ENSMUST00000154982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpk1 description:3-phosphoinositide dependent protein kinase 1 [Source:MGI Symbol;Acc:MGI:1338068] MVRSQTEPGSSPGIPSGVSRQGSTMDGTTAEARPSTNPLQQHPAQLPPQPRKKRPEDFKF GKILGEGSFSTVVLARELATSREYAIKILEKRHIIKENKVPYVTRERDVMS >ENSMUSP00000120548.1 pep:known chromosome:GRCm38:17:24110892:24141128:-1 gene:ENSMUSG00000024122.16 transcript:ENSMUST00000128997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdpk1 description:3-phosphoinositide dependent protein kinase 1 [Source:MGI Symbol;Acc:MGI:1338068] MVRSQTEPGSSPGIPSGVSRQGSTMDGTTAEARPSTNPLQQHPAQLPPQPRKKRPE >ENSMUSP00000004920.3 pep:known chromosome:GRCm38:11:61775649:61855073:-1 gene:ENSMUSG00000004798.14 transcript:ENSMUST00000004920.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ulk2 description:unc-51 like kinase 2 [Source:MGI Symbol;Acc:MGI:1352758] MEVVGDFEYCKRDLVGHGAFAVVFRGRHRQKTDWEVAIKSINKKNLSKSQILLGKEIKIL KELQHENIVALYDVQELPNSVFLVMEYCNGGDLADYLQAKGTLSEDTIRVFLHQIAAAMR ILHSKGIIHRDLKPQNILLSYANRRKSNVSGIRIKIADFGFARYLHSNTMAATLCGSPMY MAPEVIMSQHYDAKADLWSIGTVIYQCLVGKPPFQANSPQDLRMFYEKNRSLMPSIPRET SPYLANLLLGLLQRNQKDRMDFEAFFSHPFLEQVPVKKSCPVPVPVYSGPVPGSSCSSSP SCRFASPPSLPDMQHIQEENLSSPPLGPPNYLQVSKDSASNSSKNSSCDTDDFVLVPHNI SSDHSYDMPMGTTARRASNEFFMCGGQCQPTVSPHSETAPIPVPTQVRNYQRIEQNLIST ASSGTNPHGSPRSAVVRRSNTSPMGFLRVGSCSPVPGDTVQTGGRRLSTGSSRPYSPSPL VGTIPEQFSQCCCGHPQGHEARSRHSSGSPVPQTQAPQSLLLGARLQSAPTLTDIYQNKQ KLRKQHSDPVCPSHAGAGYSYSPQPSRPGSLGTSPTKHTGSSPRNSDWFFKTPLPTIIGS PTKTTAPFKIPKTQASSNLLALVTRHGPAESQSKDGNDPRECSHCLSVQGSERHRSEQQQ SKAVFGRSVSTGKLSEQQVKAPLGGHQGSTDSLNTERPMDVAPAGACGVMLALPAGTAAS ARAVLFTVGSPPHSATAPTCTHMVLRTRTTSVGSSSSGGSLCSASGRVCVGSPPGPGLGS SPPGAEGAPSLRYVPYGASPPSLEGLITFEAPELPEETLMEREHTDTLRHLNMMLMFTEC VLDLTAVRGGNPELCTSAVSLYQIQESVVVDQISQLSKDWGRVEQLVLYMKAAQLLAASL HLAKAQVKSGKLSPSMAVKQVVKNLNERYKFCITMCKKLTEKLNRFFSDKQRFIDEINSV TAEKLIYNCAVEMVQSAALDEMFQQTEDIVYRYHKAALLLEGLSKILQDPTDVENVHKYK CSIERRLSALCCSTATV >ENSMUSP00000099186.3 pep:known chromosome:GRCm38:6:15720661:15802165:1 gene:ENSMUSG00000041390.18 transcript:ENSMUST00000101663.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfic description:MyoD family inhibitor domain containing [Source:MGI Symbol;Acc:MGI:104611] MSCAGEALAPGPAEQQCPVEAGGGRLGSPAHEACNEDNTEKDKRPATSGHTRCGLMRDQS IWPNPSAGELVRTQPERLPQLQTSAQEPGKEETGKIKNGGHTRMSNGNGIPHGAKHVSVE NHKISAPVSQKMHRKIQSSLSVNNDISKKSKVNAVFSPKAASSPEDCCVHCILACLFCEF LTLCNIVLGQASCGICTSEACCCCCGDEMGDDCSCPCDMDCGIMDACCESSDCLEICMEC CGICFPS >ENSMUSP00000140641.1 pep:known chromosome:GRCm38:6:15720661:15802165:1 gene:ENSMUSG00000041390.18 transcript:ENSMUST00000190255.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfic description:MyoD family inhibitor domain containing [Source:MGI Symbol;Acc:MGI:104611] VRGVSAGGCHCRCHSRGRRDVVRLPGRDGAAARASLTTGGGRKGPGTAHGGDAKRQAVSC TQHLSSWGPCTPRGRLWLGAWPMSCAGEALAPGPAEQQCPVEAGGGRLGSPAHEACNEDN TEKDKRPATSGHTRCGLMRDQSIWPNPSAGELVRTQPERLPQLQTSAQEPGKEETGKIKN GGHTRMSNGNGIPHGAKHVSVENHKISAPVSQKMHRKIQSSLSVNNDISKKSKVNAVFSP KAASSPEDCCVHCILACLFCEFLTLCNIVLGQASCGICTSEACCCCCGDEMGDDCSCPCD MDCGIMDACCESSDCLEICMECCGICFPS >ENSMUSP00000117664.1 pep:known chromosome:GRCm38:6:15720703:15771235:1 gene:ENSMUSG00000041390.18 transcript:ENSMUST00000140516.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mdfic description:MyoD family inhibitor domain containing [Source:MGI Symbol;Acc:MGI:104611] MSCAGEALAPGPAEQQCPVEAGGGRLGSPAHDSRPHDLNKDDSHTCAFRLCPFVVSGMKL ELRFYASTYILALTFESTFLSPEGPTSGSLASSWGMVSIGCFRLSSCHE >ENSMUSP00000139704.1 pep:known chromosome:GRCm38:6:15720721:15773304:1 gene:ENSMUSG00000041390.18 transcript:ENSMUST00000125326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfic description:MyoD family inhibitor domain containing [Source:MGI Symbol;Acc:MGI:104611] MSCAGEALAPGPAEQQCPVEAGGGRLGSPAHEACNEDNTEKDKRPATSGHTRCGLMRDQS IWPNPSAGELVRTQPERLPQLQTSAQEPGKEETGKIKNGGHTRMSNGNGIPHGAKHVSVE NHKISAPVSQKMHRKIQSSLSVNNDISKKSKVNAVFSPKAASSPEGEWDIDVHLKKEKTP HMKLLSHSKSIKKTPLNFLQ >ENSMUSP00000113050.1 pep:known chromosome:GRCm38:6:15721087:15800808:1 gene:ENSMUSG00000041390.18 transcript:ENSMUST00000120512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfic description:MyoD family inhibitor domain containing [Source:MGI Symbol;Acc:MGI:104611] MSCAGEALAPGPAEQQCPVEAGGGRLGSPAHEACNEDNTEKDKRPATSGHTRCGLMRDQS IWPNPSAGELVRTQPERLPQLQTSAQEPGKEETGKIKNGGHTRMSNGNGIPHGAKHVSVE NHKISAPVSQKMHRKIQSSLSVNNDISKKSKVNAVFSPKAASSPEDCCVHCILACLFCEF LTLCNIVLGQASCGICTSEACCCCCGDEMGDDCSCPCDMDCGIMDACCESSDCLEICMEC CGICFPS >ENSMUSP00000140800.1 pep:known chromosome:GRCm38:6:15727799:15770349:1 gene:ENSMUSG00000041390.18 transcript:ENSMUST00000128849.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfic description:MyoD family inhibitor domain containing [Source:MGI Symbol;Acc:MGI:104611] MEERGTKDKYPGDVAGVSLYSLDIISEACNEDNTEKDKRPATSGHTRCGLMRDQSIWPNP SAGELVRTQPERLPQLQTSAQEPGKEETGKIKNGGHTRMSNGNGIPHGAKHVS >ENSMUSP00000140208.1 pep:known chromosome:GRCm38:6:15720661:15802169:1 gene:ENSMUSG00000041390.18 transcript:ENSMUST00000189359.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfic description:MyoD family inhibitor domain containing [Source:MGI Symbol;Acc:MGI:104611] MSCAGEALAPGPAEQQCPVEAGGGRLGSPAHEACNEDNTEKDKRPATSGHTRCGLMRDQS IWPNPSAGELVRTQPERLPQLQTSAQEPGKEETGKIKNGGHTRMSNGNGIPHGAKHVSVE NHKISAPVSQKMHRKIQSSLSVNNDISKKSKVNAVFSPKAASSPEDCCVHCILACLFCEF LTLCNIVLGQASCGICTSEACCCCCGDEMGDDCSCPCDMDCGIMDACCESSDCLEICMEC CGICFPS >ENSMUSP00000072973.4 pep:known chromosome:GRCm38:10:60302748:60657333:-1 gene:ENSMUSG00000012819.15 transcript:ENSMUST00000073242.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh23 description:cadherin 23 (otocadherin) [Source:MGI Symbol;Acc:MGI:1890219] MRYSLVTCYAVLWLLMLVPGSWGQVNRLPFFTNHFFDTYLLISEDTPVGSSVTQLLARDM DNDPLVFGVSGEEASRFFAVEPDTGVVWLRQPLDRETKSEFTVEFSVSDHQGVITRKVNI QVGDVNDNAPTFHNQPYSVRIPENTPVGTPIFIVNATDPDLGAGGSVLYSFQPPSPFFAI DSARGIVTVIQELDYEVTQAYQLTVNATDQDKTRPLSTLANLAIIITDMQDMDPIFINLP YSTNIYEHSPPGTTVRVITAVDQDKGRPRGIGYTIVSGNTNSIFALDYISGALTLNGLLD RENPLYSHGFILTVKGTELNDDRTPSDATVTTTFNILVIDINDNAPEFNSSEYSVAITEL AQVGFALPLFIQVVDKDEVSGLNSMFEVYLVGNNSHHFIISPTSVQGKADIRIRVAIPLD YETVDRYDFDLFANESVPDHVGYAKVKITLINENDNRPIFSQPLYNVSLYENITVGTSVL TVLATDNDVGTFGEVNYFFSDDPDRFSLDKDTGLIMLIARLDYELIQRFTLTVIARDGGG EETTGRVRINVLDVNDNVPTFQKDAYVGALRENEPSVTQLVRLRATDEDSPPNNLITYSI VNASAFGSYFDISIYEGYGVISVSRPLDYEQIPNGLIYLTVMAKDAGNPPLYSTVPVTIE VFDENDNPPTFSKPAYFVSVLENIMAGATVLFLNATDLDRSREYGQESIIYSLEGSSQFR INARSGEITTTSLLDRETKSEYILIVRAVDGGVGHNQKTGIATVNVTLLDINDNHPTWKD APYYINLVEMTPPDSDVTTVVAVDPDLGENGTLVYSIHPPNKFYSLNSTTGKIRTTHVML DRENPDPVEAELMRKIIVSVTDCGRPPLKATSSATVFVNLLDLNDNDPTFRNLPFVAEIL EGTPAGVSVYQVVAIDLDEGLNGLVSYRMQVGMPRMDFVINSTSGVVTTTAELDRERIAE YQLRVVASDAGTPTKSSTSTLTVRVLDVNDETPTFFPAVYNVSVSEDVPREFRVVWLNCT DNDVGLNAELSYFITAGNVDGKFSVGYRDAVVRTVVGLDRETTAAYTLVLEAIDNGPVGK RRTGTATVFVTVLDVNDNRPIFLQSSYEASVPEDIPEGHSIVQLKATDADEGEFGRVWYR ILHGNHGNNFRIHVGSGLLMRGPRPLDRERNSSHVLMVEAYNHDLGPMRSSVRVIVYVED VNDEAPVFTQQQYNRLGLRETAGIGTSVIVVRATDKDTGDGGLVNYRILSGAEGKFEIDE STGLIVTVDYLDYETKTSYLMNVSATDGAPPFNQGFCSVYVTLLNELDEAVQFSNASYEA VIMENLALGTEIVRVQAYSIDNLNQITYRFDAYTSAQAKALFKIDAITGVITVKGLVDRE KGDFYTLTVVADDGGPKVDSTVVYITVLDENDNSPRFDFTSDSAISVPEDCPVGQRVATV KARDPDAGSNGQVVFSLASGNIAGAFEIITSNDSIGEVFVAKPLDREELDHYILKVVASD RGTPPRKKDHILQVTILDVNDNPPVIESPFGYNVSVNENVGGGTSVVQVRATDRDIGINS VLSYYITEGNEDMTFRMDRISGEIATRPAPPDRERQNFYHLVVTVEDEGTPTLSATTHVY VTIVDENDNAPVFQQPHYEVVLDEGPDTINTSLITVQALDLDEGPNGTVTYAIVAGNIIN TFRINKHTGVITAAKELDYEISHGRYTLIVTATDQCPILSHRLTSTTTVLVNVNDINDNV PTFPRDYEGPFDVTEGQPGPRVWTFLAHDRDSGPNGQVEYSVVDGDPLGEFVISPVEGVL RVRKDVELDRETIAFYNLTICARDRGVPPLSSTMLVGIRVLDINDNDPVLLNLPMNVTIS ENSPVSSFVAHVLASDADSGCNALLTFNITAGNRERAFFINATTGIVTVNRPLDRERIPE YRLTVSVKDNPENPRIARKDFDLLLVSLADENDNHPLFTEGTYQAEVMENSPAGTPLTVL NGPILALDADEDVYAVVTYQLLGTHSDLFVIDNSTGVVTVRSGIIIDREAFSPPFLELLL LAEDIGQLNGTAHLFITILDDNDNWPTFSPPTYTVHLLENCPPGFSVLQVTATDEDSGLN GELVYRIEAGAQDRFLIHPVTGVIRVGNATIDREEQESYRLTVVATDRGTVPLSGTAIVT ILIDDINDSRPEFLNPIQTVSVLESAEPGTIIANVTAIDLDLNPKLEYHIISIVAKDDTD RLVPDQEDAFAVNINTGSVMVKSPLNRELVATYEVTLSVIDNASDLPEHSVSVPNAKLTV NILDVNDNTPQFKPFGITYYTERVLEGATPGTTLIAVAAVDPDKGLNGLITYTLLDLTPP GYVQLEDSSAGKVIANRTVDYEEVHWLNFTVRASDNGSPPRAAEIPVYLEIVDINDNNPI FDQPSYQEAVFEDIAVGTVILRVTATDADSGNFALIEYSLVDGEGKFAINPNTGDISVLS SLDREKKDHYILTALAKDNPGDVASNRRENSVQVVIRVLDVNDCRPQFSKPQFSTSVYEN EPAGTSVITMLATDQDEGSNSQLTYSLEGPGMEAFSVDMDSGLVTTQRPLQSYERFNLTV VATDGGEPPLWGTTMLLVEVIDVNDNRPVFVRPPNGTILHIKEEIPLRSNVYEVYATDND EGLNGAVRYSFLKTTGNRDWEYFTIDPISGLIQTAQRLDREKQAVYSLILVASDLGQPVP YETMQPLQVALEDIDDNEPLFVRPPKGSPQYQLLTVPEHSPRGTLVGNVTGAVDADEGPN AIVYYFIAAGDEDKNFHLQPDGRLLVLRDLDRETEATFSFIVKASSNRSWTPPRGPSPAL DLLTDLTLQEVRVVLEDINDQPPRFTKAEYTAGVATDAKVGSELIQVLALDADIGNNSLV FYGILAIHYFRALANDSEDVGQVFTMGSVDGILRTFDLFMAYSPGYFVVDIVARDLAGHN DTAIIGIYILRDDQRVKIVINEIPDRVRGFEEEFIRLLSNITGAIVNTDDVQFHVDMKGR VNFAQTELLIHVVNRDTNRILDVDRVIQMIDENKEQLRNLFRNYNVLDVQPAISVQLPDD MSALQMAIIVLAILLFLAAMLFVLMNWYYRTIHKRKLKAIVAGSAGNRGFIDIMDMPNTN KYSFDGANPVWLDPFCRNLELAAQAEHEDDLPENLSEIADLWNSPTRTHGTFGREPAAVK PDDDRYLRAAIQEYDNIAKLGQIIREGPIKGSLLKVVLEDYLRLKKLFAQRMVQKASSCH SSISELIHTDLEEEPGDHSPGQGSLRFRHKPPMELKGQDGIHMVHGSTGTLLATDLNSLP EDDQKGLDRSLETLTASEATAFERNARTESAKSTPLHKLRDVIMESPLEITEL >ENSMUSP00000101102.1 pep:known chromosome:GRCm38:10:60302748:60657333:-1 gene:ENSMUSG00000012819.15 transcript:ENSMUST00000105462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh23 description:cadherin 23 (otocadherin) [Source:MGI Symbol;Acc:MGI:1890219] MRYSLVTCYAVLWLLMLVPGSWGQVNRLPFFTNHFFDTYLLISEDTPVGSSVTQLLARDM DNDPLVFGVSGEEASRFFAVEPDTGVVWLRQPLDRETKSEFTVEFSVSDHQGVITRKVNI QVGDVNDNAPTFHNQPYSVRIPENTPVGTPIFIVNATDPDLGAGGSVLYSFQPPSPFFAI DSARGIVTVIQELDYEVTQAYQLTVNATDQDKTRPLSTLANLAIIITDMQDMDPIFINLP YSTNIYEHSPPVSPGTTVRVITAVDQDKGRPRGIGYTIVSGNTNSIFALDYISGALTLNG LLDRENPLYSHGFILTVKGTELNDDRTPSDATVTTTFNILVIDINDNAPEFNSSEYSVAI TELAQVGFALPLFIQVVDKDEVSGLNSMFEVYLVGNNSHHFIISPTSVQGKADIRIRVAI PLDYETVDRYDFDLFANESVPDHVGYAKVKITLINENDNRPIFSQPLYNVSLYENITVGT SVLTVLATDNDVGTFGEVNYFFSDDPDRFSLDKDTGLIMLIARLDYELIQRFTLTVIARD GGGEETTGRVRINVLDVNDNVPTFQKDAYVGALRENEPSVTQLVRLRATDEDSPPNNLIT YSIVNASAFGSYFDISIYEGYGVISVSRPLDYEQIPNGLIYLTVMAKDAGNPPLYSTVPV TIEVFDENDNPPTFSKPAYFVSVLENIMAGATVLFLNATDLDRSREYGQESIIYSLEGSS QFRINARSGEITTTSLLDRETKSEYILIVRAVDGGVGHNQKTGIATVNVTLLDINDNHPT WKDAPYYINLVEMTPPDSDVTTVVAVDPDLGENGTLVYSIHPPNKFYSLNSTTGKIRTTH VMLDRENPDPVEAELMRKIIVSVTDCGRPPLKATSSATVFVNLLDLNDNDPTFRNLPFVA EILEGTPAGVSVYQVVAIDLDEGLNGLVSYRMQVGMPRMDFVINSTSGVVTTTAELDRER IAEYQLRVVASDAGTPTKSSTSTLTVRVLDVNDETPTFFPAVYNVSVSEDVPREFRVVWL NCTDNDVGLNAELSYFITAGNVDGKFSVGYRDAVVRTVVGLDRETTAAYTLVLEAIDNGP VGKRRTGTATVFVTVLDVNDNRPIFLQSSYEASVPEDIPEGHSIVQLKATDADEGEFGRV WYRILHGNHGNNFRIHVGSGLLMRGPRPLDRERNSSHVLMVEAYNHDLGPMRSSVRVIVY VEDVNDEAPVFTQQQYNRLGLRETAGIGTSVIVVRATDKDTGDGGLVNYRILSGAEGKFE IDESTGLIVTVDYLDYETKTSYLMNVSATDGAPPFNQGFCSVYVTLLNELDEAVQFSNAS YEAVIMENLALGTEIVRVQAYSIDNLNQITYRFDAYTSAQAKALFKIDAITGVITVKGLV DREKGDFYTLTVVADDGGPKVDSTVVYITVLDENDNSPRFDFTSDSAISVPEDCPVGQRV ATVKARDPDAGSNGQVVFSLASGNIAGAFEIITSNDSIGEVFVAKPLDREELDHYILKVV ASDRGTPPRKKDHILQVTILDVNDNPPVIESPFGYNVSVNENVGGGTSVVQVRATDRDIG INSVLSYYITEGNEDMTFRMDRISGEIATRPAPPDRERQNFYHLVVTVEDEGTPTLSATT HVYVTIVDENDNAPVFQQPHYEVVLDEGPDTINTSLITVQALDLDEGPNGTVTYAIVAGN IINTFRINKHTGVITAAKELDYEISHGRYTLIVTATDQCPILSHRLTSTTTVLVNVNDIN DNVPTFPRDYEGPFDVTEGQPGPRVWTFLAHDRDSGPNGQVEYSVVDGDPLGEFVISPVE GVLRVRKDVELDRETIAFYNLTICARDRGVPPLSSTMLVGIRVLDINDNDPVLLNLPMNV TISENSPVSSFVAHVLASDADSGCNALLTFNITAGNRERAFFINATTGIVTVNRPLDRER IPEYRLTVSVKDNPENPRIARKDFDLLLVSLADENDNHPLFTEGTYQAEVMENSPAGTPL TVLNGPILALDADEDVYAVVTYQLLGTHSDLFVIDNSTGVVTVRSGIIIDREAFSPPFLE LLLLAEDIGQLNGTAHLFITILDDNDNWPTFSPPTYTVHLLENCPPGFSVLQVTATDEDS GLNGELVYRIEAGAQDRFLIHPVTGVIRVGNATIDREEQESYRLTVVATDRGTVPLSGTA IVTILIDDINDSRPEFLNPIQTVSVLESAEPGTIIANVTAIDLDLNPKLEYHIISIVAKD DTDRLVPDQEDAFAVNINTGSVMVKSPLNRELVATYEVTLSVIDNASDLPEHSVSVPNAK LTVNILDVNDNTPQFKPFGITYYTERVLEGATPGTTLIAVAAVDPDKGLNGLITYTLLDL TPPGYVQLEDSSAGKVIANRTVDYEEVHWLNFTVRASDNGSPPRAAEIPVYLEIVDINDN NPIFDQPSYQEAVFEDIAVGTVILRVTATDADSGNFALIEYSLVDGEGKFAINPNTGDIS VLSSLDREKKDHYILTALAKDNPGDVASNRRENSVQVVIRVLDVNDCRPQFSKPQFSTSV YENEPAGTSVITMLATDQDEGSNSQLTYSLEGPGMEAFSVDMDSGLVTTQRPLQSYERFN LTVVATDGGEPPLWGTTMLLVEVIDVNDNRPVFVRPPNGTILHIKEEIPLRSNVYEVYAT DNDEGLNGAVRYSFLKTTGNRDWEYFTIDPISGLIQTAQRLDREKQAVYSLILVASDLGQ PVPYETMQPLQVALEDIDDNEPLFVRPPKGSPQYQLLTVPEHSPRGTLVGNVTGAVDADE GPNAIVYYFIAAGDEDKNFHLQPDGRLLVLRDLDRETEATFSFIVKASSNRSWTPPRGPS PALDLLTDLTLQEVRVVLEDINDQPPRFTKAEYTAGVATDAKVGSELIQVLALDADIGNN SLVFYGILAIHYFRALANDSEDVGQVFTMGSVDGILRTFDLFMAYSPGYFVVDIVARDLA GHNDTAIIGIYILRDDQRVKIVINEIPDRVRGFEEEFIRLLSNITGAIVNTDDVQFHVDM KGRVNFAQTELLIHVVNRDTNRILDVDRVIQMIDENKEQLRNLFRNYNVLDVQPAISVQL PDDMSALQMAIIVLAILLFLAAMLFVLMNWYYRTIHKRKLKAIVAGSAGNRGFIDIMDMP NTNKYSFDGANPVWLDPFCRNLELAAQAEHEDDLPENLSEIADLWNSPTRTHGTFGREPA AVKPDDDRYLRAAIQEYDNIAKLGQIIREGPIKLIHTDLEEEPGDHSPGQGSLRFRHKPP MELKGQDGIHMVHGSTGTLLATDLNSLPEDDQKGLDRSLETLTASEATAFERNARTESAK STPLHKLRDVIMESPLEITEL >ENSMUSP00000101104.2 pep:known chromosome:GRCm38:10:60302750:60696490:-1 gene:ENSMUSG00000012819.15 transcript:ENSMUST00000105464.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh23 description:cadherin 23 (otocadherin) [Source:MGI Symbol;Acc:MGI:1890219] MRYSLVTCYAVLWLLMLVPGSWGQVNRLPFFTNHFFDTYLLISEDTPVGSSVTQLLARDM DNDPLVFGVSGEEASRFFAVEPDTGVVWLRQPLDRETKSEFTVEFSVSDHQGVITRKVNI QVGDVNDNAPTFHNQPYSVRIPENTPVGTPIFIVNATDPDLGAGGSVLYSFQPPSPFFAI DSARGIVTVIQELDYEVTQAYQLTVNATDQDKTRPLSTLANLAIIITDMQDMDPIFINLP YSTNIYEHSPPGTTVRVITAVDQDKGRPRGIGYTIVSGNTNSIFALDYISGALTLNGLLD RENPLYSHGFILTVKGTELNDDRTPSDATVTTTFNILVIDINDNAPEFNSSEYSVAITEL AQVGFALPLFIQVVDKDEGLNSMFEVYLVGNNSHHFIISPTSVQGKADIRIRVAIPLDYE TVDRYDFDLFANESVPDHVGYAKVKITLINENDNRPIFSQPLYNVSLYENITVGTSVLTV LATDNDVGTFGEVNYFFSDDPDRFSLDKDTGLIMLIARLDYELIQRFTLTVIARDGGGEE TTGRVRINVLDVNDNVPTFQKDAYVGALRENEPSVTQLVRLRATDEDSPPNNLITYSIVN ASAFGSYFDISIYEGYGVISVSRPLDYEQIPNGLIYLTVMAKDAGNPPLYSTVPVTIEVF DENDNPPTFSKPAYFVSVLENIMAGATVLFLNATDLDRSREYGQESIIYSLEGSSQFRIN ARSGEITTTSLLDRETKSEYILIVRAVDGGVGHNQKTGIATVNVTLLDINDNHPTWKDAP YYINLVEMTPPDSDVTTVVAVDPDLGENGTLVYSIHPPNKFYSLNSTTGKIRTTHVMLDR ENPDPVEAELMRKIIVSVTDCGRPPLKATSSATVFVNLLDLNDNDPTFRNLPFVAEILEG TPAGVSVYQVVAIDLDEGLNGLVSYRMQVGMPRMDFVINSTSGVVTTTAELDRERIAEYQ LRVVASDAGTPTKSSTSTLTVRVLDVNDETPTFFPAVYNVSVSEDVPREFRVVWLNCTDN DVGLNAELSYFITAGNVDGKFSVGYRDAVVRTVVGLDRETTAAYTLVLEAIDNGPVGKRR TGTATVFVTVLDVNDNRPIFLQSSYEASVPEDIPEGHSIVQLKATDADEGEFGRVWYRIL HGNHGNNFRIHVGSGLLMRGPRPLDRERNSSHVLMVEAYNHDLGPMRSSVRVIVYVEDVN DEAPVFTQQQYNRLGLRETAGIGTSVIVVRATDKDTGDGGLVNYRILSGAEGKFEIDEST GLIVTVDYLDYETKTSYLMNVSATDGAPPFNQGFCSVYVTLLNELDEAVQFSNASYEAVI MENLALGTEIVRVQAYSIDNLNQITYRFDAYTSAQAKALFKIDAITGVITVKGLVDREKG DFYTLTVVADDGGPKVDSTVKVYITVLDENDNSPRFDFTSDSAISVPEDCPVGQRVATVK ARDPDAGSNGQVVFSLASGNIAGAFEIITSNDSIGEVFVAKPLDREELDHYILKVVASDR GTPPRKKDHILQVTILDVNDNPPVIESPFGYNVSVNENVGGGTSVVQVRATDRDIGINSV LSYYITEGNEDMTFRMDRISGEIATRPAPPDRERQNFYHLVVTVEDEGTPTLSATTHVYV TIVDENDNAPVFQQPHYEVVLDEGPDTINTSLITVQALDLDEGPNGTVTYAIVAGNIINT FRINKHTGVITAAKELDYEISHGRYTLIVTATDQCPILSHRLTSTTTVLVNVNDINDNVP TFPRDYEGPFDVTEGQPGPRVWTFLAHDRDSGPNGQVEYSVVDGDPLGEFVISPVEGVLR VRKDVELDRETIAFYNLTICARDRGVPPLSSTMLVGIRVLDINDNDPVLLNLPMNVTISE NSPVSSFVAHVLASDADSGCNALLTFNITAGNRERAFFINATTGIVTVNRPLDRERIPEY RLTVSVKDNPENPRIARKDFDLLLVSLADENDNHPLFTEGTYQAEVMENSPAGTPLTVLN GPILALDADEDVYAVVTYQLLGTHSDLFVIDNSTGVVTVRSGIIIDREAFSPPFLELLLL AEDIGQLNGTAHLFITILDDNDNWPTFSPPTYTVHLLENCPPGFSVLQVTATDEDSGLNG ELVYRIEAGAQDRFLIHPVTGVIRVGNATIDREEQESYRLTVVATDRGTVPLSGTAIVTI LIDDINDSRPEFLNPIQTVSVLESAEPGTIIANVTAIDLDLNPKLEYHIISIVAKDDTDR LVPDQEDAFAVNINTGSVMVKSPLNRELVATYEVTLSVIDNASDLPEHSVSVPNAKLTVN ILDVNDNTPQFKPFGITYYTERVLEGATPGTTLIAVAAVDPDKGLNGLITYTLLDLTPPG YVQLEDSSAGKVIANRTVDYEEVHWLNFTVRASDNGSPPRAAEIPVYLEIVDINDNNPIF DQPSYQEAVFEDIAVGTVILRVTATDADSGNFALIEYSLVDGEGKFAINPNTGDISVLSS LDREKKDHYILTALAKDNPGDVASNRRENSVQVVIRVLDVNDCRPQFSKPQFSTSVYENE PAGTSVITMLATDQDEGSNSQLTYSLEGPGMEAFSVDMDSGLVTTQRPLQSYERFNLTVV ATDGGEPPLWGTTMLLVEVIDVNDNRPVFVRPPNGTILHIKEEIPLRSNVYEVYATDNDE GLNGAVRYSFLKTTGNRDWEYFTIDPISGLIQTAQRLDREKQAVYSLILVASDLGQPVPY ETMQPLQVALEDIDDNEPLFVRPPKGSPQYQLLTVPEHSPRGTLVGNVTGAVDADEGPNA IVYYFIAAGDEDKNFHLQPDGRLLVLRDLDRETEATFSFIVKASSNRSWTPPRGPSPALD LLTDLTLQEVRVVLEDINDQPPRFTKAEYTAGVATDAKVGSELIQVLALDADIGNNSLVF YGILAIHYFRALANDSEDVGQVFTMGSVDGILRTFDLFMAYSPGYFVVDIVARDLAGHND TAIIGIYILRDDQRVKIVINEIPDRVRGFEEEFIRLLSNITGAIVNTDDVQFHVDMKGRV NFAQTELLIHVVNRDTNRILDVDRVIQMIDENKEQLRNLFRNYNVLDVQPAISVQLPDDM SALQMAIIVLAILLFLAAMLFVLMNWYYRTIHKRKLKAIVAGSAGNRGFIDIMDMPNTNK YSFDGANPVWLDPFCRNLELAAQAEHEDDLPENLSEIADLWNSPTRTHGTFGREPAAVKP DDDRYLRAAIQEYDNIAKLGQIIREGPIKGSLLKVVLEDYLRLKKLFAQRMVQKASSCHS SISELIHTDLEEEPGDHSPGQGSLRFRHKPPMELKGQDGIHMVHGSTGTLLATDLNSLPE DDQKGLDRSLETLTASEATAFERNARTESAKSTPLHKLRDVIMESPLEITEL >ENSMUSP00000101103.2 pep:known chromosome:GRCm38:10:60302750:60696490:-1 gene:ENSMUSG00000012819.15 transcript:ENSMUST00000105463.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh23 description:cadherin 23 (otocadherin) [Source:MGI Symbol;Acc:MGI:1890219] MRYSLVTCYAVLWLLMLVPGSWGQVNRLPFFTNHFFDTYLLISEDTPVGSSVTQLLARDM DNDPLVFGVSGEEASRFFAVEPDTGVVWLRQPLDRETKSEFTVEFSVSDHQGVITRKVNI QVGDVNDNAPTFHNQPYSVRIPENTPVGTPIFIVNATDPDLGAGGSVLYSFQPPSPFFAI DSARGIVTVIQELDYEVTQAYQLTVNATDQDKTRPLSTLANLAIIITDMQDMDPIFINLP YSTNIYEHSPPGTTVRVITAVDQDKGRPRGIGYTIVSGNTNSIFALDYISGALTLNGLLD RENPLYSHGFILTVKGTELNDDRTPSDATVTTTFNILVIDINDNAPEFNSSEYSVAITEL AQVGFALPLFIQVVDKDEDLGLNSMFEVYLVGNNSHHFIISPTSVQGKADIRIRVAIPLD YETVDRYDFDLFANESVPDHVGYAKVKITLINENDNRPIFSQPLYNVSLYENITVGTSVL TVLATDNDVGTFGEVNYFFSDDPDRFSLDKDTGLIMLIARLDYELIQRFTLTVIARDGGG EETTGRVRINVLDVNDNVPTFQKDAYVGALRENEPSVTQLVRLRATDEDSPPNNLITYSI VNASAFGSYFDISIYEGYGVISVSRPLDYEQIPNGLIYLTVMAKDAGNPPLYSTVPVTIE VFDENDNPPTFSKPAYFVSVLENIMAGATVLFLNATDLDRSREYGQESIIYSLEGSSQFR INARSGEITTTSLLDRETKSEYILIVRAVDGGVGHNQKTGIATVNVTLLDINDNHPTWKD APYYINLVEMTPPDSDVTTVVAVDPDLGENGTLVYSIHPPNKFYSLNSTTGKIRTTHVML DRENPDPVEAELMRKIIVSVTDCGRPPLKATSSATVFVNLLDLNDNDPTFRNLPFVAEIL EGTPAGVSVYQVVAIDLDEGLNGLVSYRMQVGMPRMDFVINSTSGVVTTTAELDRERIAE YQLRVVASDAGTPTKSSTSTLTVRVLDVNDETPTFFPAVYNVSVSEDVPREFRVVWLNCT DNDVGLNAELSYFITAGNVDGKFSVGYRDAVVRTVVGLDRETTAAYTLVLEAIDNGPVGK RRTGTATVFVTVLDVNDNRPIFLQSSYEASVPEDIPEGHSIVQLKATDADEGEFGRVWYR ILHGNHGNNFRIHVGSGLLMRGPRPLDRERNSSHVLMVEAYNHDLGPMRSSVRVIVYVED VNDEAPVFTQQQYNRLGLRETAGIGTSVIVVRATDKDTGDGGLVNYRILSGAEGKFEIDE STGLIVTVDYLDYETKTSYLMNVSATDGAPPFNQGFCSVYVTLLNELDEAVQFSNASYEA VIMENLALGTEIVRVQAYSIDNLNQITYRFDAYTSAQAKALFKIDAITGVITVKGLVDRE KGDFYTLTVVADDGGPKVDSTVKVYITVLDENDNSPRFDFTSDSAISVPEDCPVGQRVAT VKARDPDAGSNGQVVFSLASGNIAGAFEIITSNDSIGEVFVAKPLDREELDHYILKVVAS DRGTPPRKKDHILQVTILDVNDNPPVIESPFGYNVSVNENVGGGTSVVQVRATDRDIGIN SVLSYYITEGNEDMTFRMDRISGEIATRPAPPDRERQNFYHLVVTVEDEGTPTLSATTHV YVTIVDENDNAPVFQQPHYEVVLDEGPDTINTSLITVQALDLDEGPNGTVTYAIVAGNII NTFRINKHTGVITAAKELDYEISHGRYTLIVTATDQCPILSHRLTSTTTVLVNVNDINDN VPTFPRDYEGPFDVTEGQPGPRVWTFLAHDRDSGPNGQVEYSVVDGDPLGEFVISPVEGV LRVRKDVELDRETIAFYNLTICARDRGVPPLSSTMLVGIRVLDINDNDPVLLNLPMNVTI SENSPVSSFVAHVLASDADSGCNALLTFNITAGNRERAFFINATTGIVTVNRPLDRERIP EYRLTVSVKDNPENPRIARKDFDLLLVSLADENDNHPLFTEGTYQAEVMENSPAGTPLTV LNGPILALDADEDVYAVVTYQLLGTHSDLFVIDNSTGVVTVRSGIIIDREAFSPPFLELL LLAEDIGQLNGTAHLFITILDDNDNWPTFSPPTYTVHLLENCPPGFSVLQVTATDEDSGL NGELVYRIEAGAQDRFLIHPVTGVIRVGNATIDREEQESYRLTVVATDRGTVPLSGTAIV TILIDDINDSRPEFLNPIQTVSVLESAEPGTIIANVTAIDLDLNPKLEYHIISIVAKDDT DRLVPDQEDAFAVNINTGSVMVKSPLNRELVATYEVTLSVIDNASDLPEHSVSVPNAKLT VNILDVNDNTPQFKPFGITYYTERVLEGATPGTTLIAVAAVDPDKGLNGLITYTLLDLTP PGYVQLEDSSAGKVIANRTVDYEEVHWLNFTVRASDNGSPPRAAEIPVYLEIVDINDNNP IFDQPSYQEAVFEDIAVGTVILRVTATDADSGNFALIEYSLVDGEGKFAINPNTGDISVL SSLDREKKDHYILTALAKDNPGDVASNRRENSVQVVIRVLDVNDCRPQFSKPQFSTSVYE NEPAGTSVITMLATDQDEGSNSQLTYSLEGPGMEAFSVDMDSGLVTTQRPLQSYERFNLT VVATDGGEPPLWGTTMLLVEVIDVNDNRPVFVRPPNGTILHIKEEIPLRSNVYEVYATDN DEGLNGAVRYSFLKTTGNRDWEYFTIDPISGLIQTAQRLDREKQAVYSLILVASDLGQPV PYETMQPLQVALEDIDDNEPLFVRPPKGSPQYQLLTVPEHSPRGTLVGNVTGAVDADEGP NAIVYYFIAAGDEDKNFHLQPDGRLLVLRDLDRETEATFSFIVKASSNRSWTPPRGPSPA LDLLTDLTLQEVRVVLEDINDQPPRFTKAEYTAGVATDAKVGSELIQVLALDADIGNNSL VFYGILAIHYFRALANDSEDVGQVFTMGSVDGILRTFDLFMAYSPGYFVVDIVARDLAGH NDTAIIGIYILRDDQRVKIVINEIPDRVRGFEEEFIRLLSNITGAIVNTDDVQFHVDMKG RVNFAQTELLIHVVNRDTNRILDVDRVIQMIDENKEQLRNLFRNYNVLDVQPAISVQLPD DMSALQMAIIVLAILLFLAAMLFVLMNWYYRTIHKRKLKAIVAGSAGNRGFIDIMDMPNT NKYSFDGSNPVWLDPFCRNLELAAQAEHEDDLPENLSEIADLWNSPTRTHGTFGREPAAV KPDDDRYLRAAIQEYDNIAKLGQIIREGPIKLIHTDLEEEPGDHSPGQGSLRFRHKPPME LKGQDGIHMVHGSTGTLLATDLNSLPEDDQKGLDRSLETLTASEATAFERNARTESAKST PLHKLRDVIMESPLEITEL >ENSMUSP00000101101.3 pep:known chromosome:GRCm38:10:60302750:60696490:-1 gene:ENSMUSG00000012819.15 transcript:ENSMUST00000105461.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdh23 description:cadherin 23 (otocadherin) [Source:MGI Symbol;Acc:MGI:1890219] MRYSLVTCYAVLWLLMLVPGSWGQVNRLPFFTNHFFDTYLLISEDTPVGSSVTQLLARDM DNDPLVFGVSGEEASRFFAVEPDTGVVWLRQPLDRETKSEFTVEFSVSDHQGVITRKVNI QVGDVNDNAPTFHNQPYSVRIPENTPVGTPIFIVNATDPDLGAGGSVLYSFQPPSPFFAI DSARGIVTVIQELDYEVTQAYQLTVNATDQDKTRPLSTLANLAIIITDMQDMDPIFINLP YSTNIYEHSPPGTTVRVITAVDQDKGRPRGIGYTIVSGNTNSIFALDYISGALTLNGLLD RENPLYSHGFILTVKGTELNDDRTPSDATVTTTFNILVIDINDNAPEFNSSEYSVAITEL AQVGFALPLFIQVVDKDEDLGLNSMFEVYLVGNNSHHFIISPTSVQGKADIRIRVAIPLD YETVDRYDFDLFANESVPDHVGYAKVKITLINENDNRPIFSQPLYNVSLYENITVGTSVL TVLATDNDVGTFGEVNYFFSDDPDRFSLDKDTGLIMLIARLDYELIQRFTLTVIARDGGG EETTGRVRINVLDVNDNVPTFQKDAYVGALRENEPSVTQLVRLRATDEDSPPNNLITYSI VNASAFGSYFDISIYEGYGVISVSRPLDYEQIPNGLIYLTVMAKDAGNPPLYSTVPVTIE VFDENDNPPTFSKPAYFVSVLENIMAGATVLFLNATDLDRSREYGQESIIYSLEGSSQFR INARSGEITTTSLLDRETKSEYILIVRAVDGGVGHNQKTGIATVNVTLLDINDNHPTWKD APYYINLVEMTPPDSDVTTVVAVDPDLGENGTLVYSIHPPNKFYSLNSTTGKIRTTHVML DRENPDPVEAELMRKIIVSVTDCGRPPLKATSSATVFVNLLDLNDNDPTFRNLPFVAEIL EGTPAGVSVYQVVAIDLDEGLNGLVSYRMQVGMPRMDFVINSTSGVVTTTAELDRERIAE YQLRVVASDAGTPTKSSTSTLTVRVLDVNDETPTFFPAVYNVSVSEDVPREFRVVWLNCT DNDVGLNAELSYFITAGNVDGKFSVGYRDAVVRTVVGLDRETTAAYTLVLEAIDNGPVGK RRTGTATVFVTVLDVNDNRPIFLQSSYEASVPEDIPEGHSIVQLKATDADEGEFGRVWYR ILHGNHGNNFRIHVGSGLLMRGPRPLDRERNSSHVLMVEAYNHDLGPMRSSVRVIVYVED VNDEAPVFTQQQYNRLGLRETAGIGTSVIVVRATDKDTGDGGLVNYRILSGAEGKFEIDE STGLIVTVDYLDYETKTSYLMNVSATDGAPPFNQGFCSVYVTLLNELDEAVQFSNASYEA VIMENLALGTEIVRVQAYSIDNLNQITYRFDAYTSAQAKALFKIDAITGVITVKGLVDRE KGDFYTLTVVADDGGPKVDSTVKVYITVLDENDNSPRFDFTSDSAISVPEDCPVGQRVAT VKARDPDAGSNGQVVFSLASGNIAGAFEIITSNDSIGEVFVAKPLDREELDHYILKVVAS DRGTPPRKKDHILQVTILDVNDNPPVIESPFGYNVSVNENVGGGTSVVQVRATDRDIGIN SVLSYYITEGNEDMTFRMDRISGEIATRPAPPDRERQNFYHLVVTVEDEGTPTLSATTHV YVTIVDENDNAPVFQQPHYEVVLDEGPDTINTSLITVQALDLDEGPNGTVTYAIVAGNII NTFRINKHTGVITAAKELDYEISHGRYTLIVTATDQCPILSHRLTSTTTVLVNVNDINDN VPTFPRDYEGPFDVTEGQPGPRVWTFLAHDRDSGPNGQVEYSVVDGDPLGEFVISPVEGV LRVRKDVELDRETIAFYNLTICARDRGVPPLSSTMLVGIRVLDINDNDPVLLNLPMNVTI SENSPVSSFVAHVLASDADSGCNALLTFNITAGNRERAFFINATTGIVTVNRPLDRERIP EYRLTVSVKDNPENPRIARKDFDLLLVSLADENDNHPLFTEGTYQAEVMENSPAGTPLTV LNGPILALDADEDVYAVVTYQLLGTHSDLFVIDNSTGVVTVRSGIIIDREAFSPPFLELL LLAEDIGQLNGTAHLFITILDDNDNWPTFSPPTYTVHLLENCPPGFSVLQVTATDEDSGL NGELVYRIEAGAQDRFLIHPVTGVIRVGNATIDREEQESYRLTVVATDRGTVPLSGTAIV TILIDDINDSRPEFLNPIQTVSVLESAEPGTIIANVTAIDLDLNPKLEYHIISIVAKDDT DRLVPDQEDAFAVNINTGSVMVKSPLNRELVATYEVTLSVIDNASDLPEHSVSVPNAKLT VNILDVNDNTPQFKPFGITYYTERVLEGATPGTTLIAVAAVDPDKGLNGLITYTLLDLTP PGYVQLEDSSAGKVIANRTVDYEEVHWLNFTVRASDNGSPPRAAEIPVYLEIVDINDNNP IFDQPSYQEAVFEDIAVGTVILRVTATDADSGNFALIEYSLVDGEGKFAINPNTGDISVL SSLDREKKDHYILTALAKDNPGDVASNRRENSVQVVIRVLDVNDCRPQFSKPQFSTSVYE NEPAGTSVITMLATDQDEGSNSQLTYSLEGPGMEAFSVDMDSGLVTTQRPLQSYERFNLT VVATDGGEPPLWGTTMLLVEVIDVNDNRPVFVRPPNGTILHIKEEIPLRSNVYEVYATDN DEGLNGAVRYSFLKTTGNRDWEYFTIDPISGLIQTAQRLDREKQAVYSLILVASDLGQPV PYETMQPLQVALEDIDDNEPLFVRPPKGSPQYQLLTVPEHSPRGTLVGNVTGAVDADEGP NAIVYYFIAAGDEDKNFHLQPDGRLLVLRDLDRETEATFSFIVKASSNRSWTPPRGPSPA LDLLTDLTLQEVRVVLEDINDQPPRFTKAEYTAGVATDAKVGSELIQVLALDADIGNNSL VFYGILAIHYFRALANDSEDVGQVFTMGSVDGILRTFDLFMAYSPGYFVVDIVARDLAGH NDTAIIGIYILRDDQRVKIVINEIPDRVRGFEEEFIRLLSNITGAIVNTDDVQFHVDMKG RVNFAQTELLIHVVNRDTNRILDVDRVIQMIDENKEQLRNLFRNYNVLDVQPAISVQLPD DMSALQMAIIVLAILLFLAAMLFVLMNWYYRTIHKRKLKAIVAGSAGNRGFIDIMDMPNT NKYSFDGSNPVWLDPFCRNLELAAQAEHEDDLPENLSEIADLWNSPTRTHGTFGREPAAV KPDDDRYLRAAIQEYDNIAKLGQIIREGPIKGSLLKVVLEDYLRLKKLFAQRMVQKASSC HSSISELIHTDLEEEPGDHSPGQGSLRFRHKPPMELKGQDGIHMVHGSTGTLLATDLNSL PEDDQKGLDRSLETLTASEATAFERNARTESAKSTPLHKLRDVIMESPLEITEL >ENSMUSP00000105263.1 pep:known chromosome:GRCm38:11:16951375:16954447:1 gene:ENSMUSG00000044966.4 transcript:ENSMUST00000109635.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo48 description:F-box protein 48 [Source:MGI Symbol;Acc:MGI:2442569] MKKTSKKNNNFKIPGTELNSADAERGKEESQRNFVELLPLEVTYKIFSQLDIQSLCRASR TCTGWNCAIRNNDSLWKPHCLTIRAVCQREIDDDIKSGYTWRVILLRNYQKSKVKYEWLS GRYSNIRSPVNLPEKAMCPMDADTWGEILDAELEREVEKLQ >ENSMUSP00000057901.1 pep:known chromosome:GRCm38:11:16951410:16954772:1 gene:ENSMUSG00000044966.4 transcript:ENSMUST00000061327.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo48 description:F-box protein 48 [Source:MGI Symbol;Acc:MGI:2442569] MKKTSKKNNNFKIPGTELNSADAERGKEESQRNFVELLPLEVTYKIFSQLDIQSLCRASR TCTGWNCAIRNNDSLWKPHCLTIRAVCQREIDDDIKSGYTWRVILLRNYQKSKVKYEWLS GRYSNIRSPVNLPEKAMCPMDADTWGEILDAELEREVEKLQ >ENSMUSP00000099881.4 pep:known chromosome:GRCm38:16:42248442:42340651:-1 gene:ENSMUSG00000047261.9 transcript:ENSMUST00000102817.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gap43 description:growth associated protein 43 [Source:MGI Symbol;Acc:MGI:95639] MLCCMRRTKQVEKNDEDQKIEQDGVKPEDKAHKAATKIQASFRGHITRKKLKGEKKGDAP AAEAEAKEKDDAPVADGVEKKEGDGSATTDAAPATSPKAEEPSKAGDAPSEEKKGEGDAA PSEEKAGSAETESAAKATTDNSPSSKAEDGPAKEEPKQADVPAAVTDAAATTPAAEDAAT KAAQPPTETAESSQAEEEKDAVDEAKPKESARQDEGKEDPEADQEHA >ENSMUSP00000033805.8 pep:known chromosome:GRCm38:X:140948429:140956689:-1 gene:ENSMUSG00000031429.14 transcript:ENSMUST00000033805.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd10 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 10 [Source:MGI Symbol;Acc:MGI:1858898] MEGCVSNIMICNLAYSGKLDELKERILADKSLATRTDQDSRTALHWACSAGHTEIVEFLL QLGVPVNDKDDAGWSPLHIAASAGRDEIVKALLVKGAHVNAVNQNGCTPLHYAASKNRHE IAVMLLEGGANPDAKDHYDATAMHRAAAKGNLKMVHILLFYKASTNIQDTEGNTPLHLAC DEERVEEAKFLVTQGASIYIENKEEKTPLQVAKGGLGLILKRLAESEEASM >ENSMUSP00000108602.1 pep:known chromosome:GRCm38:X:140948429:140956728:-1 gene:ENSMUSG00000031429.14 transcript:ENSMUST00000112978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmd10 description:proteasome (prosome, macropain) 26S subunit, non-ATPase, 10 [Source:MGI Symbol;Acc:MGI:1858898] MEGCVSNIMICNLAYSGKLDELKERILADKSLATRTDQDSRTALHWACSAGHTEIVEFLL QLGVPVNDKDDAGWSPLHIAASAGRDEIVKALLVKGAHVNAVNQNGCTPLHYAASKNRHE IAVMLLEGGANPDAKDHYDATAMHRAAAKDT >ENSMUSP00000117624.1 pep:known chromosome:GRCm38:13:4436146:4449315:1 gene:ENSMUSG00000021210.15 transcript:ENSMUST00000156277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c6 description:aldo-keto reductase family 1, member C6 [Source:MGI Symbol;Acc:MGI:1933427] MYQNEKEVGLAIRSKIADGTVKREDIFYTSKVWCTFHRPELVRVCLEQSLKQLQLDYVDL YLIHFPMAMKPGENYLPKDENGKLIYDAVDICDTWEAMEKCKDAGLAKSIGVSNFNRRQL EKILKKPGLKYKPVCNQVECHPYLNQGKLLDFCRSKDIVLVAYSALGSHREKQWV >ENSMUSP00000021630.8 pep:known chromosome:GRCm38:13:4434306:4457530:1 gene:ENSMUSG00000021210.15 transcript:ENSMUST00000021630.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c6 description:aldo-keto reductase family 1, member C6 [Source:MGI Symbol;Acc:MGI:1933427] MDSKQQTVRLSDGHFIPILGFGTYAPQEVPKSKATEATKIAIDAGFRHIDSASMYQNEKE VGLAIRSKIADGTVKREDIFYTSKVWCTFHRPELVRVCLEQSLKQLQLDYVDLYLIHFPM AMKPGENYLPKDENGKLIYDAVDICDTWEAMEKCKDAGLAKSIGVSNFNRRQLEKILKKP GLKYKPVCNQVECHPYLNQGKLLDFCRSKDIVLVAYSALGSHREKQWVDQSSPVLLDNPV LGSMAKKYNRTPALIALRYQLQRGVVVLAKSFSEKRIKENMQVFEFQLTSEDMKVLDDLN KNIRYISGSSFKDHPDFPFWDEY >ENSMUSP00000081575.3 pep:known chromosome:GRCm38:4:62300342:62360470:-1 gene:ENSMUSG00000066151.11 transcript:ENSMUST00000084527.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp15 description:FK506 binding protein 15 [Source:MGI Symbol;Acc:MGI:2444782] MFGAGDEDDTDFLSPSGGAKLASLFGLDQATMGHGNEFFQYTAPKQPKKGQGTAAGNQTA PKPAPATTGTSSVLFATAVHAYRYINGQYAKQGKFGAAVLGNHTSREYRILLYISQQQPV TVATIHLNFELMVRPNNYSTFYDDQRQNWSIMFESEKAAVSFNKQVCVAKCNSISSLDAV LCQDLVAAEGPAVETGDSLEVAYTGWLLQNHVLGQVFDSTANKDKPLRLKLGSGKVVKGL EDGLLGMKKGGKRLIITPSACAAGSEGVIGWTQPTDSILVFEVEVRRVKFARDSGSDGHS VSSRDSAAPSPIPASDSLSADPVVTPLPLPLKPGEPGLRSKSNSLSEQLTVNSNPDTVKA KLISRMAKMGQPMLPILPPQLDSNDSETEDATVLRGAGQSLVTPSIQPSLQPAHPVLPQM ASQAPQPSGSGLQTPSAALMQAVSLDSHSAVSGNAQNFQPYAGVQAYAYPQTPSVTSQLQ PVRPLYPAPLSQAPHFQGSGDMMSFLMTEARQHNTEIRMAVNKVADKMDHLMTKVEELQK HSSGNSMLLPSMSVTMETSMIMSNIQRIIQENERLKQELLEKSSRIEEQNDKISDLIERN QRYVEQSNLMMEKRNNSLQTATENTQARILHAEQEKAKVTEELAAATAQVSHLQLKMTAH QKKETELQLQLTDNLKETDLLRGHVTRLQADLSELREASEQTQTKFKSEKQSRRQLELKV TSLEEELTDLRAEKTSLEKNLSERKKKSAQERCQAEAEMDEIRKSHQEELDRLRQLLKKA RVSTDQAAAEQLTLAQAELQSQWEAKCEQLLASARDEHLQQYREVCAQRDAHQQKLALLQ DECLALQAQIAAFTEQKEHMQRLEKTKSQAPAGRAAADPSEKVKKIMNQVFQSLRGEFEL EESYDGGTILRTIMHTIKMVTLQLLNHQEEEEEEEEEEEEEKKPLRPSLEQPGPATPGMP PAPPSGETQEAPEVLPEQVVGETTPLPLQALPTPENGAQTRKGEPAEAEVPSEIKDSSLP PQPAGIPAHRVLGPPTSIPPKPPGPVTMDSESEEMLAADQRTVQPNGLLGEEHVREVATD GLLQGNSRRLSLTPDPEKGEPPALDPESQGGEAQPPECKQAEDVSSSGPRETLLDTELAS AAAGTSLRHNQDSQHCSLSGDEEDELFKGATLKVPRPTAQPEEEDEDEVSMKGRPPPTPL FGDDDDDDDDDIGWLG >ENSMUSP00000081576.3 pep:known chromosome:GRCm38:4:62311272:62360548:-1 gene:ENSMUSG00000066151.11 transcript:ENSMUST00000084528.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp15 description:FK506 binding protein 15 [Source:MGI Symbol;Acc:MGI:2444782] MFGAGDEDDTDFLSPSGGAKLASLFGLDQATMGHGNEFFQYTAPKQPKKGQGTAAGNQTA PKPAPATTGTSSVLFATAVHAYRYINGQYAKQGKFGAAVLGNHTSREYRILLYISQQQPV TVATIHLNFELMVRPNNYSTFYDDQRQNWSIMFESEKAAVSFNKQVCVAKCNSISSLDAV LCQDLVAAEGPAVETGDSLEVAYTGWLLQNHVLGQVFDSTANKDKPLRLKLGSGKVVKGL EDGLLGMKKGGKRLIITPSACAAGSEGVIGWTQPTDSILVFEVEVRRVKFARDSGSDGHS VSSRDSAAPSPIPASDSLSADPVVTPLPLPLKPGEPGLRSKSNSLSEQLTVNSNPDTVKA KLISRMAKMGQPMLPILPPQLDSNDSETEDATVLRGAGQSLVTPSIQPSLQPAHPVLPQM ASQAPQPSGSGLQTPSAALMQAVSLDSHSAVSGNAQNFQPYAGVQAYAYPQTPSVTSQLQ PVRPLYPAPLSQAPHFQGSGDMMSFLMTEARQHNTEIRMAVNKVADKMDHLMTKVEELQK HSSGNSMLLPSMSVTMETSMIMSNIQRIIQENERLKQELLEKSSRIEEQNDKISDLIERN QRYVEQSNLMMEKRNNSLQTATENTQARILHAEQEKAKVTEELAAATAQVSHLQLKMTAH QKKETELQLQLTDNLKETDLLRGHVTRLQADLSELREASEQTQTKFKSEKQSRRQLELKV TSLEEELTDLRAEKTSLEKVNSKANFLLAPSAGVAD >ENSMUSP00000095641.3 pep:known chromosome:GRCm38:4:62318626:62360494:-1 gene:ENSMUSG00000066151.11 transcript:ENSMUST00000098033.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp15 description:FK506 binding protein 15 [Source:MGI Symbol;Acc:MGI:2444782] MFGAGDEDDTDFLSPSGGAKLASLFGLDQATMGHGNEFFQYTAPKQPKKGQGTAAGNQTA PKPAPATTGTSSVLFATAVHAYRYINGQYAKQGKFGAAVLGNHTSREYRILLYISQQQPV TVATIHLNFELMVRPNNYSTFYDDQRQNWSIMFESEKAAVSFNKQVCVAKCNSISSLDAV LCQDLVAAEGPAVETGDSLEVAYTGWLLQNHVLGQVFDSTANKDKPLRLKLGSGKVVKGL EDGLLGMKKGGKRLIITPSACAAGSEGVIGWTQPTDSILVFEVEVRRVKFARDSGSDGHS VSSRDSAAPSPIPASDSLSADPVVTPLPLPLKPGEPGLRSKSNSLSEQLTVNSNPDTVKA KLISRMAKMGQPMLPILPPQLDSNDSETEDATVLRGAGQSLVTPSIQPSLQPAHPVLPQM ASQAPQPSGSGLQTPSAALMQAVSLDSHSAVSGNAQNFQPYAGVQAYAYPQTPSVTSQLQ PVRPLYPAPLSQAPHFQGSGDMMSFLMTEARQHNTEIRMAVNKVADKMDHLMTKVEELQK HSSGNSMLLPSMSVTMETSMIMSNIQRIIQENERLKQELLEKSSRIEEQNDKISDLIERN QRYVEQSNLMMEKRNNSLQTATENTQAYWGNPNILFFRIGTIQG >ENSMUSP00000103085.1 pep:known chromosome:GRCm38:4:62320642:62360534:-1 gene:ENSMUSG00000066151.11 transcript:ENSMUST00000107461.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fkbp15 description:FK506 binding protein 15 [Source:MGI Symbol;Acc:MGI:2444782] MFGAGDEDDTDFLSPSGGAKLASLFGLDQATMGHGNEFFQYTAPKQPKKGQGTAAGNQTA PKPAPATTGTSSVLFATAVHAYRYINGQYAKQGKFGAAVLGNHTSREYRILLYISQQQPV TVATIHLNFELMVRPNNYSTFYDDQRQNWSIMFESEKAAVSFNKQVCVAKCNSISSLDAV LCQDLVAAEGPAVETGDSLEVAYTGWLLQNHVLGQVFDSTANKDKPLRLKLGSGKVVKGL EDGLLGMKKGGKRLIITPSACAAGSEGVIGWTQPTDSILVFEVEVRRVKFARDSGSDGHS VSSRDSAAPSPIPASDSLSADPVVTPLPLPLKPGEPGLRSKSNSLSEQLTVNSNPDTVKA KLISRMAKMGQPMLPILPPQLDSNDSETEDATVLRGAGQSLVTPSIQPSLQPAHPVLPQM ASQAPQPSGSGLQTPSAALMQAVSLDSHSAVSGNAQNFQPYAGVQAYAYPQTPSVTSQLQ PVRPLYPAPLSQAPHFQGSGDMMSFLMTEARQHNTEIRMAVNKVADKMDHLMTKVEELQK HSSGNSMLLPSMSVTMETSMIMSNIQRIIQENERLKQELLEKSSRIEEQNDKISDLIERN QRYVEQSNLMMEKRNNSLQTATENTQARILHAEQEKVKITGPRKAHVGMH >ENSMUSP00000073095.6 pep:known chromosome:GRCm38:19:11169418:11196723:-1 gene:ENSMUSG00000057240.14 transcript:ENSMUST00000073380.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a13 description:membrane-spanning 4-domains, subfamily A, member 13 [Source:MGI Symbol;Acc:MGI:1920716] MECRNPKVSSANITVLGVIQIMIGIYHVLMWYFLLLLYMGQIKGVFGTYEPVTYKMGTSL WGFAFVISGAFTVKAAKYQSRHMILCTMSLNILCIIITIVAASLTIVELSHFRSVSYRNY GQAKLGREVSRVLLCSYPLEFAIALLYSISSCAYLPLSSIVKSLVRKTWRLSSLAAWRQM IWLEAGNQEETLESVTEVVEGNS >ENSMUSP00000140293.1 pep:known chromosome:GRCm38:19:11169419:11196737:-1 gene:ENSMUSG00000057240.14 transcript:ENSMUST00000188464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a13 description:membrane-spanning 4-domains, subfamily A, member 13 [Source:MGI Symbol;Acc:MGI:1920716] MECRNPKVSSANITVLGVIQIMIGIYHVLMWYFLLLLYMGQIKGVFGTYEPVTYKMGTSL WGFAFVISGAFTVKAAKYQSRHMILCTMSLNILCIIITIVAASLTIVELSHFRSVSYRNY GQAKLGREVSRVLLCSYPLEFAIALLYSISSCAYLAGNQEETLESVTEVVEGNS >ENSMUSP00000103981.2 pep:known chromosome:GRCm38:7:27591552:27640826:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000108344.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC QLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAIQMVANSLKQRGPGEDAM DYKCGSPSDSSTSEMMEVAVNKARAKVTMNDFDYLKLLGKGTFGKVILVREKATGRYYAM KILRKEVIIAKDEVAHTVTESRVLQNTRHPFLTALKYAFQTHDRLCFVMEYANGGELFFH LSRERVFTEDRARFYGAEIVSALEYLHSRDVVYRDIKLENLMLDKDGHIKITDFGLCKEG ISDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHERLFE LILMEEIRFPRTLGPEAKSLLAGLLKKDPKQRLGGGPSDAKEVMEHRFFLSINWQDVVQK KLLPPFKPQVTSEVDTRYFDDEFTAQSITITPPDRYDSLDPLELDQRTHFPQFSYSASIR E >ENSMUSP00000122716.1 pep:known chromosome:GRCm38:7:27592368:27628845:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000128540.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC QLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREE >ENSMUSP00000103979.1 pep:known chromosome:GRCm38:7:27592458:27633266:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000108342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC QLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAIQMVANSLKQRGPGEDAM DYKCGSPSDSSTSEMMEVAVNKARAKVGTFGKVILVREKATGRYYAMKILRKEVIIAKDE VAHTVTESRVLQNTRHPFLTALKYAFQTHDRLCFVMEYANGG >ENSMUSP00000123204.1 pep:known chromosome:GRCm38:7:27596830:27618233:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000138459.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC QLMKTER >ENSMUSP00000117119.1 pep:known chromosome:GRCm38:7:27605797:27628869:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000143499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRECQLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAI QMVA >ENSMUSP00000103980.1 pep:known chromosome:GRCm38:7:27605817:27639448:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000108343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC QLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAIQMVANSLKQRGPGEDAM DYKCGSPSDSSTSEMMEVAVNKARAKVTMNDFDYLKLLGKGTFGKVILVREKATGRYYAM KILRKEVIIAKDEVAHTVTESRVLQNTRHPFLTALKYAFQTHDRLCFVMEYANGGELFFH LSRERVFTEDRARFYGAEIVSALEYLHSRDVVYRDIKLENLMLDKDGHIKITDFGLCKEG ISDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHERLFE LILMEEIRFPRTLGPEAKSLLAGLLKKDPKQRLGGGPSDAKEVMEHRFFLSINWQDVVQK KLLPPFKPQVTSEVDTRYFDDEFTAQSITITPPDRYDSLDPLELDQRTHFPQFSYSASIR E >ENSMUSP00000052103.5 pep:known chromosome:GRCm38:7:27605858:27639453:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000051356.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC QLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAIQMVANSLKQRGPGEDAM DYKCGSPSDSSTSEMMEVAVNKARAKVTMNDFDYLKLLGKGTFGKVILVREKATGRYYAM KILRKEVIIAKDEVAHTVTESRVLQNTRHPFLTALKYAFQTHDRLCFVMEYANGGELFFH LSRERVFTEDRARFYGAEIVSALEYLHSRDVVYRDIKLENLMLDKDGHIKITDFGLCKEG ISDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHERLFE LILMEEIRFPRTLGPEAKSLLAGLLKKDPKQRLGGGPSDAKEVMEHRFFLSINWQDVVQK KLLPPFKPQVTSEVDTRYFDDEFTAQSITITPPDRYDSLDPLELDQRTHFPQFSYSASIR E >ENSMUSP00000117682.1 pep:known chromosome:GRCm38:7:27607748:27633246:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000136962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC QLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAIQMVANSLKQRGPGEDAM DYKCGSPSDSSTSEMMEVAVNKARAKVTMNDFDYLKLLGKGTFGKVILVREKATGRYYAM KILRKEVIIAKDEVAHTVTESRVLQNTRHPFLTALKYAFQTHDRLCFVM >ENSMUSP00000120978.1 pep:known chromosome:GRCm38:7:27608004:27618286:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000142365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC QLMKTERPRPNTFVIRCLQWTTVI >ENSMUSP00000083081.4 pep:known chromosome:GRCm38:7:27608662:27638170:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000085917.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC QLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAIQMVANSLKQRGPGEDAM DYKCGSPSDSSTSEMMEVAVNKARAKVTMNDFDYLKLLGKGTFGKVILVREKATGRYYAM KILRKEVIIAKDEVAHTVTESRVLQNTRHPFLTALKYAFQTHDRLCFVMEYANGGELFFH LSRERVFTEDRARFYGAEIVSALEYLHSRDVVYRDIKVLEDNDYGRAVDWWGLGVVMYEM MCGRLPFYNQDHERLFELILMEEIRFPRTLGPEAKSLLAGLLKKDPKQRLGGGPSDAKEV MEHRFFLSINWQDVVQKKLLPPFKPQVTSEVDTRYFDDEFTAQSITITPPDRYDSLDPLE LDQRTHFPQFSYSASIRE >ENSMUSP00000132141.1 pep:known chromosome:GRCm38:7:27591746:27639450:1 gene:ENSMUSG00000004056.15 transcript:ENSMUST00000167435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akt2 description:thymoma viral proto-oncogene 2 [Source:MGI Symbol;Acc:MGI:104874] MNEVSVIKEGWLHKRGEYIKTWRPRYFLLKSDGSFIGYKERPEAPDQTLPPLNNFSVAEC QLMKTERPRPNTFVIRCLQWTTVIERTFHVDSPDEREEWMRAIQMVANSLKQRGPGEDAM DYKCGSPSDSSTSEMMEVAVNKARAKVTMNDFDYLKLLGKGTFGKVILVREKATGRYYAM KILRKEVIIAKDEVAHTVTESRVLQNTRHPFLTALKYAFQTHDRLCFVMEYANGGELFFH LSRERVFTEDRARFYGAEIVSALEYLHSRDVVYRDIKLENLMLDKDGHIKITDFGLCKEG ISDGATMKTFCGTPEYLAPEVLEDNDYGRAVDWWGLGVVMYEMMCGRLPFYNQDHERLFE LILMEEIRFPRTLGPEAKSLLAGLLKKDPKQRLGGGPSDAKEVMEHRFFLSINWQDVVQK KLLPPFKPQVTSEVDTRYFDDEFTAQSITITPPDRYDSLDPLELDQRTHFPQFSYSASIR E >ENSMUSP00000080937.5 pep:known chromosome:GRCm38:X:8193848:8206525:-1 gene:ENSMUSG00000031169.13 transcript:ENSMUST00000082320.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Porcn description:porcupine homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1890212] MATFSRQEFFQQLLQGCLLPTVQQGLDQIWLLLTICFACRLLWRLGLPSYLKHASTVAGG FFSLYHFFQLHMVWVVLLSLLCYLVLFLCRHSSHRGVFLSVTILIYLLMGEMHMVDTVTW HKMRGAQMIVAMKAVSLGFDLDRGEVGAVPSPVEFMGYLYFVGTIVFGPWISFHSYLQAV QGRPLSRRWLKKVARSLALALLCLVLSTCVGPYLFPYFIPLDGDRLLRNKKRKARWLRAY ESAVSFHFSNYFVGFLSEATATLAGAGFTEEKDHLEWDLTVSRPLNVELPRSMVEVVTSW NLPMSYWLNNYVFKNALRLGTFSAVLVTYAASALLHGFSFHLAAVLLSLAFITYVEHVLR KRLAQILSACILSKRCLPDCSHRHRLGLGVRALNLLFGALAIFHLSYLGSLFDVDVDDTT EEQGYGMAYTVHKWSELSWASHWVTFGCWIFYRLIG >ENSMUSP00000138661.1 pep:known chromosome:GRCm38:X:8193849:8206491:-1 gene:ENSMUSG00000031169.13 transcript:ENSMUST00000154695.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Porcn description:porcupine homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1890212] MATFSRQEFFQQLLQGCLLPTVQQGLDQIWLLLTICFACRLLWRLVRCTWWTP >ENSMUSP00000086825.3 pep:known chromosome:GRCm38:X:8193850:8206501:-1 gene:ENSMUSG00000031169.13 transcript:ENSMUST00000089403.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Porcn description:porcupine homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1890212] MATFSRQEFFQQLLQGCLLPTVQQGLDQIWLLLTICFACRLLWRLGLPSYLKHASTVAGG FFSLYHFFQLHMVWVVLLSLLCYLVLFLCRHSSHRGVFLSVTILIYLLMGEMHMVDTVTW HKMRGAQMIVAMKAVSLGFDLDRGEVGAVPSPVEFMGYLYFVGTIVFGPWISFHSYLQAV QGRPLSRRWLKKVARSLALALLCLVLSTCVGPYLFPYFIPLDGDRLLRKGTMVRWLRAYE SAVSFHFSNYFVGFLSEATATLAGAGFTEEKDHLEWDLTVSRPLNVELPRSMVEVVTSWN LPMSYWLNNYVFKNALRLGTFSAVLVTYAASALLHGFSFHLAAVLLSLAFITYVEHVLRK RLAQILSACILSKRCLPDCSHRHRLGLGVRALNLLFGALAIFHLSYLGSLFDVDVDDTTE EQGYGMAYTVHKWSELSWASHWVTFGCWIFYRLIG >ENSMUSP00000076790.5 pep:known chromosome:GRCm38:X:8193850:8206501:-1 gene:ENSMUSG00000031169.13 transcript:ENSMUST00000077595.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Porcn description:porcupine homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1890212] MATFSRQEFFQQLLQGCLLPTVQQGLDQIWLLLTICFACRLLWRLGLPSYLKHASTVAGG FFSLYHFFQLHMVWVVLLSLLCYLVLFLCRHSSHRGVFLSVTILIYLLMGEMHMVDTVTW HKMRGAQMIVAMKAVSLGFDLDRGEVGAVPSPVEFMGYLYFVGTIVFGPWISFHSYLQAV QGRPLSRRWLKKVARSLALALLCLVLSTCVGPYLFPYFIPLDGDRLLRNKKRKARGTMVR WLRAYESAVSFHFSNYFVGFLSEATATLAGAGFTEEKDHLEWDLTVSRPLNVELPRSMVE VVTSWNLPMSYWLNNYVFKNALRLGTFSAVLVTYAASALLHGFSFHLAAVLLSLAFITYV EHVLRKRLAQILSACILSKRCLPDCSHRHRLGLGVRALNLLFGALAIFHLSYLGSLFDVD VDDTTEEQGYGMAYTVHKWSELSWASHWVTFGCWIFYRLIG >ENSMUSP00000086824.3 pep:known chromosome:GRCm38:X:8193850:8206501:-1 gene:ENSMUSG00000031169.13 transcript:ENSMUST00000089402.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Porcn description:porcupine homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1890212] MATFSRQEFFQQLLQGCLLPTVQQGLDQIWLLLTICFACRLLWRLGLPSYLKHASTVAGG FFSLYHFFQLHMVWVVLLSLLCYLVLFLCRHSSHRGVFLSVTILIYLLMGEMHMVDTVTW HKMRGAQMIVAMKAVSLGFDLDRGEVGAVPSPVEFMGYLYFVGTIVFGPWISFHSYLQAV QGRPLSRRWLKKVARSLALALLCLVLSTCVGPYLFPYFIPLDGDRLLRKWLRAYESAVSF HFSNYFVGFLSEATATLAGAGFTEEKDHLEWDLTVSRPLNVELPRSMVEVVTSWNLPMSY WLNNYVFKNALRLGTFSAVLVTYAASALLHGFSFHLAAVLLSLAFITYVEHVLRKRLAQI LSACILSKRCLPDCSHRHRLGLGVRALNLLFGALAIFHLSYLGSLFDVDVDDTTEEQGYG MAYTVHKWSELSWASHWVTFGCWIFYRLIG >ENSMUSP00000138626.1 pep:known chromosome:GRCm38:X:8193853:8206497:-1 gene:ENSMUSG00000031169.13 transcript:ENSMUST00000122943.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Porcn description:porcupine homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1890212] MATFSRQEFFQQLLQGCLLPTVQQGLDQIWLLLTICFACRLLWRLAAHGLGRAAEPPVLP RAVPLPTLLPPRRLPLRHHPHLPAHG >ENSMUSP00000113055.1 pep:known chromosome:GRCm38:X:26286977:26310044:-1 gene:ENSMUSG00000083628.1 transcript:ENSMUST00000119590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm2030 description:predicted gene 2030 [Source:MGI Symbol;Acc:MGI:3780199] MSIKKLRVIPKEGYLLLLDFDSDEEEEQAHSEVKRPAFGKHENMLPHVEAGEDIRDEQDS MLDKSGENISFSVEWQRFARSVETPMENWNMLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEEVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQEALKLSECSQSQTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000145612.1 pep:known chromosome:GRCm38:7:80377756:80387936:-1 gene:ENSMUSG00000053158.10 transcript:ENSMUST00000205617.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fes description:feline sarcoma oncogene [Source:MGI Symbol;Acc:MGI:95514] MGFSSELCSPQGHGAVQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSLQDSGGQS WSSGPDSPVSQSWAEITSQTENLSRVLRQHAEDLNSGPLSKLSVLIRERQHLRKTYNEQW QQLQQELTKTHSQDIEKLKTQYRTLVRDSTQARRKYQEASKDKDRDKAKDKYVRSLWKLF AHHNRYVLGVRAAQLHHHHHHRFMLPGLLQSLQDLHEEMAGILKDILQEYLEISSLVQDD VASIHRELAAAAARIQPEFEYLGFLRQYGSTPDVPPCVTFDESLLEDGEQLEPGELQLNE LTLESVQHTCVLLCHATHVWVWAGSPWPGGQARLALLGCMWWGPSFHSPALGVQADFCDR >ENSMUSP00000146058.1 pep:known chromosome:GRCm38:7:80377758:80379630:-1 gene:ENSMUSG00000053158.10 transcript:ENSMUST00000206735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fes description:feline sarcoma oncogene [Source:MGI Symbol;Acc:MGI:95514] SKCCIHRDLAARNCLVTEKNVLKISDFGMSREEADGIYAASAGLRQVPVKWTAPEALNYG RYSSESDVWSFVLGL >ENSMUSP00000079733.6 pep:known chromosome:GRCm38:7:80377758:80387946:-1 gene:ENSMUSG00000053158.10 transcript:ENSMUST00000080932.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fes description:feline sarcoma oncogene [Source:MGI Symbol;Acc:MGI:95514] MGFSSELCSPQGHGAVQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSLQDSGGQS WSSGPDSPVSQSWAEITSQTENLSRVLRQHAEDLNSGPLSKLSVLIRERQHLRKTYNEQW QQLQQELTKTHSQDIEKLKTQYRTLVRDSTQARRKYQEASKDKDRDKAKDKYVRSLWKLF AHHNRYVLGVRAAQLHHHHHHRFMLPGLLQSLQDLHEEMAGILKDILQEYLEISSLVQDD VASIHRELAAAAARIQPEFEYLGFLRQYGSTPDVPPCVTFDESLLEDGEQLEPGELQLNE LTLESVQHTLTSVTDELAVATKEVLSRQEMVSQLQRELQSEEQNTHPRERVQLLSKRQML QEAIQGLQIALCSQDKLQAQQELLQSKMEQLGTGEPPAVPLLQDDRHSTSSTEQEREGGR TPTLEILKSHFSGIFRPKFSIPPPLQLVPEVQKPLYEQLWYHGAIPRAEVAELLTHSGDF LVRESQGKQEYVLSVMWDGQPRHFIIQSSDNLYRLEGDGFPSIPLLITHLLSSQQPLTKK SGVVLFRAVPKDKWVLKHEDLVLGEQIGRGNFGEVFSGRLRADNTPVAVKSCRETLPPDL KAKFLQEARILKQYNHPNIVRLIGVCTQKQPIYIVMELVQGGDFLTFLRTEGARLRVKTL LQMVGDAAAGMEYLESKCCIHRDLAARNCLVTEKNVLKISDFGMSREEADGIYAASAGLR QVPVKWTAPEALNYGRYSSESDVWSFGILLWETFSLGASPYPNLTNQQTREFVEKGHRLP CPELCPDAVFRLMEQCWAYEPGQRPSFSIICQELHSIRKRHR >ENSMUSP00000146281.1 pep:known chromosome:GRCm38:7:80377763:80387871:-1 gene:ENSMUSG00000053158.10 transcript:ENSMUST00000206744.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fes description:feline sarcoma oncogene [Source:MGI Symbol;Acc:MGI:95514] MGFSSELCSPQGHGAVQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSLQDSGGQS WSSGPDSPVSQSWAEITSQTENLSRVLRQHAEDLNSGPLSKLSVLIRERQHLRKTYNEQW QQLQQELTKTHSQDIEKLKTQYRTLVRDSTQARRKYQEASKGSLFFLAGRKPSLVDL >ENSMUSP00000145917.1 pep:known chromosome:GRCm38:7:80377764:80387941:-1 gene:ENSMUSG00000053158.10 transcript:ENSMUST00000206479.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fes description:feline sarcoma oncogene [Source:MGI Symbol;Acc:MGI:95514] MGFSSELCSPQGHGAVQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSLQDSGGQS WSSGPDSPVSQSWAEITSQTENLSRVLRQHAEDLNSGPLSKLSVLIRERQHLRKTYNEQW QQLQQELTKTHSQDIEKLKTQYRTLTRIETRPKTSMSGACGSSLPTTTATSWV >ENSMUSP00000146041.1 pep:known chromosome:GRCm38:7:80377773:80387419:-1 gene:ENSMUSG00000053158.10 transcript:ENSMUST00000206728.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fes description:feline sarcoma oncogene [Source:MGI Symbol;Acc:MGI:95514] MGFSSELCSPQGHGAVQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSLQDSGGQS WSSGPDSPVSQSWAEITSQTENLSRVLRQHAEDLNSGPLSKLSVLIRERQHLRKTYNEQW QQLQQELTKTHSQDIEKLKTQYRTLVRDSTQARRKYQEASKDKDRDKAKDKYVRSLWKLF AHHNRYVLGVRAAQLHHHHHHRFMLPGLLQSLQDLHEEMAGILKDILQEYLEISSLVQDD VASIHRELAAAAARIQPEFEYLGFLRQYGSTPDVPPCVTFDESLLEDGEQLEPGELQLNE LTLESVQHTLTSVTDELAVATKEVLSRQEMVSQLQRELQSEEQNTHPRERVQLLSKRQML QEAIQGLQIALCSQDKLQAQQELLQSKMEQLGTGEPPAVPLLQDDRHSTSSTEREGGRTP TLEILKSHFSGIFRPKFSIPPPLQLVPEVQKPLYEQLWYHGAIPRAEVAELLTHSGDFLV RESQGKQEYVLSVMWDGQPRHFIIQSSDNLYRLEGDGFPSIPLLITHLLSSQQPLTKKSG VVLFRAVPKDKWVLKHEDLVLGEQIGRGNFGEVFSGRLRADNTPVAVKSCRETLPPDLKA KFLQEARILKQYNHPNIVRLIGVCTQKQPIYIVMELVQGGDFLTFLRTEGARLRVKTLLQ MVGDAAAGMEYLESKCCIHRDLAARNCLVTEKNVLKISDFGMSREEADGIYAASAGLRQV PVKWTAPEALNYGRYSSESDVWSFGILLWETFSLGASPYPNLTNQQTREFVEKGHRLPCP ELCPDAVFRLMEQCWAYEPGQRPSFSIICQELHSIRKRHR >ENSMUSP00000146078.1 pep:known chromosome:GRCm38:7:80384210:80387895:-1 gene:ENSMUSG00000053158.10 transcript:ENSMUST00000206539.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fes description:feline sarcoma oncogene [Source:MGI Symbol;Acc:MGI:95514] RFWALVGPPPPTPGAAARERTAEARAGAAAPTALSASPGQR >ENSMUSP00000145937.1 pep:known chromosome:GRCm38:7:80386913:80387881:-1 gene:ENSMUSG00000053158.10 transcript:ENSMUST00000206698.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fes description:feline sarcoma oncogene [Source:MGI Symbol;Acc:MGI:95514] MGFSSELCSPQGHGAVQQMQEAELRLLEGMRKWMAQRVKSDREYAGLLHHMSLQDSGGQS WSSGPDSPVSQSWAEITSQTENLSRVLRQHAEDLN >ENSMUSP00000139580.1 pep:known chromosome:GRCm38:Y:10144735:10147183:-1 gene:ENSMUSG00000095032.2 transcript:ENSMUST00000189630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21310 description:predicted gene, 21310 [Source:MGI Symbol;Acc:MGI:5434665] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPPEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000137593.1 pep:known chromosome:GRCm38:Y:10144861:10145559:-1 gene:ENSMUSG00000095032.2 transcript:ENSMUST00000180130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21310 description:predicted gene, 21310 [Source:MGI Symbol;Acc:MGI:5434665] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPPEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000027955.4 pep:known chromosome:GRCm38:2:3341982:3366591:-1 gene:ENSMUSG00000026645.11 transcript:ENSMUST00000027955.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olah description:oleoyl-ACP hydrolase [Source:MGI Symbol;Acc:MGI:2139018] METAVYAKSTRNEKVLNCLCQKPDALFKLICFPWAGGGSTHFAKWGRKINGLLEVHAVRL AGRETRFEEPFSNDIYQIAEEVVTALLPIIRDKAFAFFGHSFGSYIAFITALHLKEKYKM EPLHIFVSSASAPHSEFRPQVPDINKLSEEQIRDHLLIFGGTPKHLIEDQDFLKQCIPLL KADVDIVKKFIFDKPSKALLSRDITCFIGSEDVVKDIEGWKDITSGKFDVLKLPGDHFYL MEPNNEDFIKNYIVKCLELSSLDYF >ENSMUSP00000141485.1 pep:known chromosome:GRCm38:2:3341987:3397210:-1 gene:ENSMUSG00000026645.11 transcript:ENSMUST00000194918.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olah description:oleoyl-ACP hydrolase [Source:MGI Symbol;Acc:MGI:2139018] METAVYAKSTRNEKVLNCLCQKPDALFKLICFPWAGGGSTHFAKWGRKINGLLEVHAVRL AGRETRFEEPFSNDIYQIAEEVVTALLPIIRDKAFAFFGHSFGSYIAFITALHLKEKYKM EPLHIFVSSASAPHSEFRPQVPDINKLSEEQIRDHLLIFGGTPKHLIEDQDFLKQCIPLL KADVDIVKKFIFDKPSKALLSRDITCFIGSEDVVKDIEGWKDITSGKFDVLKLPGDHFYL MEPNNEDFIKNYIVKCLELSSLDYF >ENSMUSP00000110739.2 pep:known chromosome:GRCm38:2:3342017:3366569:-1 gene:ENSMUSG00000026645.11 transcript:ENSMUST00000115087.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olah description:oleoyl-ACP hydrolase [Source:MGI Symbol;Acc:MGI:2139018] METAVYAKSTRNEKVLNCLCQKPDALFKLICFPWAGGGSTHFAKWGRKINGLLEVHAVRL AGRETRFEEPFSNDIYQIAEEVVTALLPIIRDKAFAFFGHSFGSYIAFITALHLKEKYKM EPLHIFVSSASAPHSEFRPQVPDINKLSEEQIRDHLLIFGGTPKHLIEDQDFLKQCIPLL KADVDIVKKFIFDKPSKALLSRDITCFIGSEDVVKDIEGWKDITSGKFDVLKLPGDHFYL MEPNNEDFIKNYIVKCLELSSLDYF >ENSMUSP00000140814.1 pep:known chromosome:GRCm38:Y:10322618:10324589:-1 gene:ENSMUSG00000095242.2 transcript:ENSMUST00000185576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20834 description:predicted gene, 20834 [Source:MGI Symbol;Acc:MGI:5434190] MTSLKKKSRRKASSQALGNKDGCRISHGWKEGNEPATHWKAIILGHLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKIVFPRVRDVHLASALVGREVKHKFERKHGSEKNWSG MVLAQVPFLKDLFYISYKKDLVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDSDFLIGT RVQYTRDDGSRKFGKVVYKFLAKSNVYFTKFHGDLHIYVYTLVSNIT >ENSMUSP00000136150.1 pep:known chromosome:GRCm38:Y:10322751:10323434:-1 gene:ENSMUSG00000095242.2 transcript:ENSMUST00000179558.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20834 description:predicted gene, 20834 [Source:MGI Symbol;Acc:MGI:5434190] MTSLKKKSRRKASSQALGNKDGCRISHGWKEGNEPATHWKAIILGHLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKIVFPRVRDVHLASALVGREVKHKFERKHGSEKNWSG MVLAQVPFLKDLFYISYKKDLVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDSDFLIGT RVQYTRDDGSRKFGKVVYKFLAKSNVYFTKFHGDLHIYVYTLVSNIT >ENSMUSP00000139602.1 pep:known chromosome:GRCm38:Y:10412737:10444691:1 gene:ENSMUSG00000101396.1 transcript:ENSMUST00000190572.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28510 description:predicted gene 28510 [Source:MGI Symbol;Acc:MGI:5579216] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSQAFDKNENISPQAEADED MGDEVDSILDKSELNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKL METYVKESFKGSNQKLERFCKMNERERKNINNKFCEQYITTFQKSDMVVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKNEDMLFGVDGELRKKNVYV >ENSMUSP00000047275.5 pep:known chromosome:GRCm38:4:56802349:56809601:1 gene:ENSMUSG00000038827.11 transcript:ENSMUST00000045368.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam206a description:family with sequence similarity 206, member A [Source:MGI Symbol;Acc:MGI:2677850] MEELRCPEAKLAPPEVVIATEAPPPSLVDRYFTRWYKADVKGKPCEDHCILQHSNRICVI TLAGSHPVLQSGKAIQRISYQISNNCSRLENKVSGKFKRGAQFLTELAPLCKIYCSDGEE YTISSCVRGRLMEVNENILHQPSLLQEKPSTEGYIAVVLPKFEESKSVTEGLLTQQQYEE VVVKRTNATATTP >ENSMUSP00000115102.1 pep:known chromosome:GRCm38:4:56802352:56809601:1 gene:ENSMUSG00000038827.11 transcript:ENSMUST00000131520.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam206a description:family with sequence similarity 206, member A [Source:MGI Symbol;Acc:MGI:2677850] MEELRCPEAKLAPPEVVIATEAPPPSLVDRYFTRWYKAASQ >ENSMUSP00000114249.1 pep:known chromosome:GRCm38:4:56802618:56805947:1 gene:ENSMUSG00000038827.11 transcript:ENSMUST00000147763.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam206a description:family with sequence similarity 206, member A [Source:MGI Symbol;Acc:MGI:2677850] ELRCPEAKLAPPEVVIATEAPPPSLVDRYFTRWYKADVKGKPCEDHCILQHSNRICVITL AGSHPVLQSGKAIQRISYQISNNCSRLENKVSGKFKRR >ENSMUSP00000046229.6 pep:known chromosome:GRCm38:11:49165585:49187159:-1 gene:ENSMUSG00000040283.14 transcript:ENSMUST00000046522.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl9 description:butyrophilin-like 9 [Source:MGI Symbol;Acc:MGI:2442439] MADFSVFLGFLKQIPRCLSIFFTYLLFLQLWEVNSDKVWVLGPEESILARVGEAVEFPCR LSSYQDAEHMEIRWFRAQVSNVVYLYQEPQGRSSLQMAQFRNRTLFEAYDIAEGSVNLHI LKVLPSDEGRYGCRFLSDNFSGEATWELEVAGSGSDPHISLQGFSGEGIQLQCSSSGWYP KPKVQWRGHQGQCLSPESEAITQNAQGLFSLETSVIVRGGAHSNVSCIIQNPLLPQKKEF VIQIADVFLPRMSPWKKAFVGTLVVLPLSLIVLTMLALRYFYKLRSFQEKQVKQGEEVRE KLQTELDWRRSEGQAEWRAAQQYAADVTLDPATAHPSLEVSNNGKTVSSRLGVPSIAAGD PQRFSEQTCVLSRERFSSGRHYWEVHVGRRSRWFLGACLESVERSGPARLSPAAGYWVMG LWNRCEYFVLDPHRVALALRVPPRRIGVLLDYEAGKLSFFNVSDGSHIFSFTDTFSGALR AYLRPRAHDGSEHPDPMTICSLPVRGPQVLEENDNDNWLQPYEPLDPAWAVNEAVS >ENSMUSP00000066598.6 pep:known chromosome:GRCm38:11:49169054:49187045:-1 gene:ENSMUSG00000040283.14 transcript:ENSMUST00000066531.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl9 description:butyrophilin-like 9 [Source:MGI Symbol;Acc:MGI:2442439] MADFSVFLGFLKQIPRCLSIFFTYLLFLQLWEVNSDKVWVLGPEESILARVGEAVEFPCR LSSYQDAEHMEIRWFRAQVSNVVYLYQEPQGRSSLQMAQFRNRTLFEAYDIAEGSVNLHI LKVLPSDEGRYGCRFLSDNFSGEATWELEVAGSGSDPHISLQGFSGEGIQLQCSSSGWYP KPKVQWRGHQGQCLSPESEAITQNAQGLFSLETSVIVRGGAHSNVSCIIQNPLLPQKKEF VIQIAGLSTLITEIEQFIWEQAKTQCHQAGCRILEQGPILYLQFTAFPSSTVVNSAIVPD PTWSEGCTAVFMFCSYLAREAPTEDVTGHPKVQDIMMWGPRRSCFSDVFLPRMSPWKKAF VGTLVVLPLSLIVLTMLALRYFYKLRSFQEKQVKQGEEVRDWRRSEGQAEWRAAQQYAAH PSLEVSNNGKTVSSRLGVPSIAAGDPQRFSEQTCVLSRERFSSGRHYWEVHVGRRSRWFL GACLESVERSGPARLSPAAGYWVMGLWNRCEYFVLDPHRVALALRVPPRRIGVLLDYEAG KLSFFNVSDGSHIFSFTDTFSGALRAYLRPRAHDGSEHPDPMTICSLPVRGPQVLEENDN DNWLQPYEPLDPAWAVNEAVS >ENSMUSP00000120530.1 pep:known chromosome:GRCm38:11:49174826:49187037:-1 gene:ENSMUSG00000040283.14 transcript:ENSMUST00000153999.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl9 description:butyrophilin-like 9 [Source:MGI Symbol;Acc:MGI:2442439] MADFSVFLGFLKQIPRCLSIFFTYLLFLQLWEVNSDKVWVLGPEESILARVGEAVEFPCR LSSYQDAEHMEIRWFRAQVSNVVYLYQEPQGRSSLQMAQFRNRTLFEAYDIAEGSVNLHI LKVLPSDEGRYGCRFLSDNFSGEATWELEVADVFLPRMSPWKKAFVGTLVVLPLSLIVLT MLALRYFYKLRSFQEKQVKQGEEVR >ENSMUSP00000145757.1 pep:known chromosome:GRCm38:7:31130127:31151012:-1 gene:ENSMUSG00000001248.14 transcript:ENSMUST00000185985.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gramd1a description:GRAM domain containing 1A [Source:MGI Symbol;Acc:MGI:105490] MFDTTPHSGRSSPSSSPSLRKRLQLLPPIRPPPASEPEPGTMVEKGSDSSSEKSGVSGTL STQSLGSRNFIRNSKKMQSWYSMLCPTYKQRNEDFRKLFSKLPEAERLIVDYSCALQREI LLQGRLYLSENWICFYSNIFRWETTISIQLKEVTCLKKEKTAKLIPNAIQICTESEKHFF TSFGARDRCFLLIFRLWQNALLEKTLSPRELWHLVHQCYGSELGLTSEDEDYVCPLQLNG LGSPKEVGDVIALSDISPSGAADHSQEPSPVGSRRGRVTPNLSRASSDADHGAEEDKEEQ TDGLDASSSQTVTPVAEPLSSEPTPPDGPTSSLGPLDLLSREELLTDTSNSSSSTGEEGD LAALLPDLSGRLLINSVFHMGAERLQQMLFSDSPFLQGFLQQRKFTDVTLSPWSSDSKCH QRRVLTYTIPISNQLGPKSASVVETQTLFRRGPQAGGCVVDSEVLTQGIPYQDYFYTAHR YCILGLARNKARLR >ENSMUSP00000140195.1 pep:known chromosome:GRCm38:7:31130127:31151033:-1 gene:ENSMUSG00000001248.14 transcript:ENSMUST00000186634.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gramd1a description:GRAM domain containing 1A [Source:MGI Symbol;Acc:MGI:105490] MFDTTPHSGRSSPSSSPSLRKRLQLLPPIRPPPASEPEPGTMVEKGSDSSSEKSGVSGTL STQSLGSRNFIRNSKKMQSWYSMLCPTYKQRNEDFRKLFSKLPEAERLIVDYSCALQREI LLQGRLYLSENWICFYSNIFRWETTISIQLKEVTCLKKEKTAKLIPNAIQICTESEKHFF TSFGARDRCFLLIFRLWQNALLEKTLSPRELWHLVHQCYGSELGLTSEDEDYVCPLQLNG LGSPKEVGDVIALSDISPSGAADHSQEPSPVGSRRGRVTPNLSRASSDADHGAEEDKEEQ TDGLDASSSQTVTPVAEPLSSEPTPPDGPTSSLGPLDLLSREELLTDTSNSSSSTGEEGD LAALLPDLSGRLLINSVFHMGAERLQQMLFSDSPFLQGFLQQRKFTDVTLSPWSSDSKCH QRRVLTYTIPISNQLGPKSASVVETQTLFRRGPQAGGCVVDSEVLTQGIPYQDYFYTAHR YCILGLARNKARLR >ENSMUSP00000001280.7 pep:known chromosome:GRCm38:7:31130127:31151082:-1 gene:ENSMUSG00000001248.14 transcript:ENSMUST00000001280.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1a description:GRAM domain containing 1A [Source:MGI Symbol;Acc:MGI:105490] MFDTTPHSGRSSPSSSPSLRKRLQLLPPIRPPPASEPEPGTMVEKGSDSSSEKSGVSGTL STQSLGSRNFIRNSKKMQSWYSMLCPTYKQRNEDFRKLFSKLPEAERLIVDYSCALQREI LLQGRLYLSENWICFYSNIFRWETTISIQLKEVTCLKKEKTAKLIPNAIQICTESEKHFF TSFGARDRCFLLIFRLWQNALLEKTLSPRELWHLVHQCYGSELGLTSEDEDYVCPLQLNG LGSPKEVGDVIALSDISPSGAADHSQEPSPVGSRRGRVTPNLSRASSDADHGAEEDKEEQ TDGLDASSSQTVTPVAEPLSSEPTPPDGPTSSLGPLDLLSREELLTDTSNSSSSTGEEGD LAALLPDLSGRLLINSVFHMGAERLQQMLFSDSPFLQGFLQQRKFTDVTLSPWSSDSKCH QRRVLTYTIPISNQLGPKSASVVETQTLFRRGPQAGGCVVDSEVLTQGIPYQDYFYTAHR YCILGLARNKARLRVSSEIRYRKQPWSLVKSLIEKNSWSGIEDYFHHLDRELAKAEKLSL EEGGKDTRGLLSGLRRRKRPLSWRGHRDGPQHPDPDPCTQTSMHTSGSLSSRFSEPSVDQ GPGAGIPSALVLISIVLIVLIALNALLFYRLWSLERTAHTFESWHSLALAKGKFPQTATE WAEILALQKHFHSVEVHKWRQILRASVELLDEMKFSLEKLHQGITVPDPPLDTQPQPDDS FP >ENSMUSP00000144034.1 pep:known chromosome:GRCm38:7:31132541:31138131:-1 gene:ENSMUSG00000001248.14 transcript:ENSMUST00000202814.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1a description:GRAM domain containing 1A [Source:MGI Symbol;Acc:MGI:105490] XQRKFTDVTLSPWSSDSKCHQRRVLTYTIPISNQLGPKSASVVETQTLFRRGPQAGGVSS EIRYRKQPWSLVKSLIEKNSWSGIEDYFHHLDRELAKAEKLSLEEGGKDTRGLLSGLRRR KRPLSWRGHRDGPQHPDPDPCTQTSMHTSGSLSSRFSEPSVDQGPGAGIPSALVLISIVL IVLIALNALLFYRLWSLERTAHTFESWHSLALAKGKFPQTATEWAEILALQKHFHSVEVH KWRQILRASVELLDE >ENSMUSP00000146045.1 pep:known chromosome:GRCm38:7:31132789:31143712:-1 gene:ENSMUSG00000001248.14 transcript:ENSMUST00000206233.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gramd1a description:GRAM domain containing 1A [Source:MGI Symbol;Acc:MGI:105490] XGDTQHPEPGQPELHPQQ >ENSMUSP00000146147.1 pep:known chromosome:GRCm38:7:31135541:31139871:-1 gene:ENSMUSG00000001248.14 transcript:ENSMUST00000206432.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gramd1a description:GRAM domain containing 1A [Source:MGI Symbol;Acc:MGI:105490] XTLSPRELWHLVHQCYGSELGLTSEDEDYVCPLQLNGLGSPKEVGDVIALSDISPSGAAD HSQEPSPVGSRRGRVTPNLSRASSDADHGVTWLHCCLTSPAGSSSILSSTWVLSVCSRCS SRTLRSCKASCSSASSQM >ENSMUSP00000139681.1 pep:known chromosome:GRCm38:7:31139821:31151012:-1 gene:ENSMUSG00000001248.14 transcript:ENSMUST00000185514.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gramd1a description:GRAM domain containing 1A [Source:MGI Symbol;Acc:MGI:105490] MFDTTPHSGRSSPSSSPSLRKRLQLLPPIRPPPASEPEPGTMVEKGSDSSSEKSGVSGTL STQSLGSRNFIRNSKKMQSWYSMLCPTYKQRNEDFRKLFSKLPEAERLIVATSSAGRPQF PSS >ENSMUSP00000140674.1 pep:known chromosome:GRCm38:7:31141136:31152069:-1 gene:ENSMUSG00000001248.14 transcript:ENSMUST00000187679.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1a description:GRAM domain containing 1A [Source:MGI Symbol;Acc:MGI:105490] MVEKGSDSSSEKSGVSGTLSTQSLGSRNFIRNSKKMQSWYSMLCPTYKQRNEDFRKLFSK LPEAERLIVDYSCALQREILLQGRLYLSENWICFYSNIFRWETTISIQLKEVTCLKKEKT AKLIPNAIQICTESEKHFFTSFGARDRCFLLIFRLWQNALL >ENSMUSP00000139709.2 pep:known chromosome:GRCm38:7:31142557:31155896:-1 gene:ENSMUSG00000001248.14 transcript:ENSMUST00000186723.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1a description:GRAM domain containing 1A [Source:MGI Symbol;Acc:MGI:105490] MADLLPLLPWAPQGAQDGNQDSAGSPTEQQTQTDKVQDLSPKSWRLLGVPTIHITPSSDG ESPPGTPTTPRFQTLDLECLPQDSTTPHSGRSSPSSSPSLRKRLQLLPPIRPPPASEPEP GTMVEKGSDSSSEKSGVSGTLSTQSLGSRNFIRNSKKMQSWYSMLCPTYKQRNEDFRKLF SKLPEAERLIVDYSCALQREILLQGRLYLSENWICFYSNIFRWE >ENSMUSP00000082778.6 pep:known chromosome:GRCm38:7:31130127:31151014:-1 gene:ENSMUSG00000001248.14 transcript:ENSMUST00000085636.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gramd1a description:GRAM domain containing 1A [Source:MGI Symbol;Acc:MGI:105490] MFDTTPHSGRSSPSSSPSLRKRLQLLPPIRPPPASEPEPGTMVEKGSDSSSEKSGVSGTL STQSLGSRNFIRNSKKMQSWYSMLCPTYKQRNEDFRKLFSKLPEAERLIVDYSCALQREI LLQGRLYLSENWICFYSNIFRWETTISIQLKEVTCLKKEKTAKLIPNAIQICTESEKHFF TSFGARDRCFLLIFRLWQNALLEKTLSPRELWHLVHQCYGSELGLTSEDEDYVCPLQLNG LGSPKEVGDVIALSDISPSGAADHSQEPSPVGSRRGRVTPNLSRASSDADHGAEEDKEEQ TDGLDASSSQTVTPVAEPLSSEPTPPDGPTSSLGPLDLLSREELLTDTSNSSSSTGEEGD LAALLPDLSGRLLINSVFHMGAERLQQMLFSDSPFLQGFLQQRKFTDVTLSPWSSDSKCH QRRVLTYTIPISNQLGPKSASVVETQTLFRRGPQAGGCVVDSEVLTQGIPYQDYFYTAHR YCILGLARNKARLRNRELAKAEKLSLEEGGKDTRGLLSGLRRRKRPLSWRGHRDGPQHPD PDPCTQTSMHTSGSLSSRFSEPSVDQGPGAGIPSALVLISIVLIVLIALNALLFYRLWSL ERTAHTFESWHSLALAKGKFPQTATEWAEILALQKHFHSVEVHKWRQILRASVELLDEMK FSLEKLHQGITVPDPPLDTQPQPDDSFP >ENSMUSP00000122744.1 pep:known chromosome:GRCm38:17:25828867:25831840:1 gene:ENSMUSG00000025736.14 transcript:ENSMUST00000133595.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd8 description:jumonji domain containing 8 [Source:MGI Symbol;Acc:MGI:1919356] MKKEETSKAGWLLLAGSYSSPDDKPMACGYASVDRIPSVLRACTRMFMAAAGRRGLLLLF VLWMMVTVILPASGEGGWKQNGLGIAAAVMEEERCTVERRAHITYSEFMQHYAFLKPVIL QGLTDNSKFRALCSRENLLASFGDNIVRLSTANTYSYQKVDLPFQEYVEQLLQPQDPASL GNDTLYFFGDNNFTEWASLFQHYSPPPFRLLGTTPAYSFGIAGAGSGVPFHWHGPGFSEV IYGRKRWFLYPPEKTPEFHPNKTTLAWLLEIYPSLALSARPLECTIQAGEVLYFPDRWWH ATLNLDTSVFISTFLG >ENSMUSP00000119378.1 pep:known chromosome:GRCm38:17:25829071:25830625:1 gene:ENSMUSG00000025736.14 transcript:ENSMUST00000152434.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Jmjd8 description:jumonji domain containing 8 [Source:MGI Symbol;Acc:MGI:1919356] XMAAAGRRGLLLLFVLWMMVTVILPASGEGGWKQNGLGIAAAVMEEERCTVERRAHITYS EFMQHYAFLKPVILQGLTDNSKFRALCSRENLLASFGDNIVRLSTANTYSYQKVDLPFQE YVEQLLQPQDPASLGNALLSATIPSPGNHPCLQLWNCRSWIWGTLPLAWAWFLRGYLWSE ALVPLPS >ENSMUSP00000119840.1 pep:known chromosome:GRCm38:17:25829120:25830633:1 gene:ENSMUSG00000025736.14 transcript:ENSMUST00000123582.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd8 description:jumonji domain containing 8 [Source:MGI Symbol;Acc:MGI:1919356] MMVTVILPASGEGGWKQNGLGIAAAVMEEERCTVERRAHITYSEFMQHYAFLKPVILQGL TDNSKFRALCSRENLLASFGDNIVRLSTANTYSYQKGELHTLQGLPSHLKGRTDQSRLAP PSCSGPALPGICGTAAAAPGSCIPRQCTTLRHHSVSWEPPLLTALELQELDLGYPSTGMG LVSQRLSMVGSAGSSTLLRRHLSSTLTRPHWPGCWKYTHL >ENSMUSP00000026832.7 pep:known chromosome:GRCm38:17:25829043:25831842:1 gene:ENSMUSG00000025736.14 transcript:ENSMUST00000026832.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jmjd8 description:jumonji domain containing 8 [Source:MGI Symbol;Acc:MGI:1919356] MFMAAAGRRGLLLLFVLWMMVTVILPASGEGGWKQNGLGIAAAVMEEERCTVERRAHITY SEFMQHYAFLKPVILQGLTDNSKFRALCSRENLLASFGDNIVRLSTANTYSYQKVDLPFQ EYVEQLLQPQDPASLGNDTLYFFGDNNFTEWASLFQHYSPPPFRLLGTTPAYSFGIAGAG SGVPFHWHGPGFSEVIYGRKRWFLYPPEKTPEFHPNKTTLAWLLEIYPSLALSARPLECT IQAGEVLYFPDRWWHATLNLDTSVFISTFLG >ENSMUSP00000105773.2 pep:known chromosome:GRCm38:8:70152778:70167488:1 gene:ENSMUSG00000079033.9 transcript:ENSMUST00000110146.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2b description:myocyte enhancer factor 2B [Source:MGI Symbol;Acc:MGI:104526] MGRKKIQISRILDQRNRQVTFTKRKFGLMKKAYELSVLCDCDIALIIFNSAQRLFQYASS DMDRVLLKYTEYSEPHESRTNADILQTLKRRGVGLDGPELDMEEGPEGPGEKLLRTLGGD RGSASPPPRIYPVAPAMSVSELSYRVPPATPGCDPGGLGEAPSVHSRPPHFRPPGLGHPI FSPSHLASKTPPPLYLATDGRRPDLPPGLVGARGGLGTSRSLYSGLQSPGAPGPALGSFA FLPSGSTDCSPGDAAQGPLQPSPWPPTRDAVDPARPVARSLCKEGPPSRGASPPTPPVSI KSERLSPVTGTSGDFPRSFPYPLLLARPLAEPLRPSASLHRLTPDSWPR >ENSMUSP00000105770.1 pep:known chromosome:GRCm38:8:70152778:70167488:1 gene:ENSMUSG00000079033.9 transcript:ENSMUST00000110143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2b description:myocyte enhancer factor 2B [Source:MGI Symbol;Acc:MGI:104526] MGRKKIQISRILDQRNRQVTFTKRKFGLMKKAYELSVLCDCDIALIIFNSAQRLFQYASS DMDRVLLKYTEYSEPHESRTNADILQTLKRRGVGLDGPELDMEEGPEGPGEKLLRTLGGD RGSASPPPRIYPVAPAMSVSELSYRVPPATPGCDPGGLGEAPSVHSRPPHFRPPGLGHPI FSPSHLASKTPPPLYLATDGRRPDLPPGLRSLYSGLQSPGAPGPALGSFAFLPSGSTDCS PGDAAQGPLQPSPWPPTRDAVDPARPVARSLCKEGPPSRGASPPTPPVSIKSERLSPVTG TSGDFPRSFPYPLLLARPLAEPLRPSASLHRLTPDSWPR >ENSMUSP00000105768.2 pep:known chromosome:GRCm38:8:70152781:70167487:1 gene:ENSMUSG00000079033.9 transcript:ENSMUST00000110141.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2b description:myocyte enhancer factor 2B [Source:MGI Symbol;Acc:MGI:104526] MGRKKIQISRILDQRNRQVTFTKRKFGLMKKAYELSVLCDCDIALIIFNSAQRLFQYASS DMDRVLLKYTEYSEPHESRTNADILQTLKRRGVGLDGPELDMEEGPEGPGEKLLRTLGGD RGSASPPPRIYPVAPAMSVSELSYRVPPATPGCDPGGLGEAPSVHSRPPHFRPPGLGHPI FSPSHLASKTPPPLYLATDGRRPDLPPGLVGARGGLGTSIAARETQLRDPCNPHPGHQRG TL >ENSMUSP00000105767.1 pep:known chromosome:GRCm38:8:70152781:70167487:1 gene:ENSMUSG00000079033.9 transcript:ENSMUST00000110140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2b description:myocyte enhancer factor 2B [Source:MGI Symbol;Acc:MGI:104526] MGRKKIQISRILDQRNRQVTFTKRKFGLMKKAYELSVLCDCDIALIIFNSAQRLFQYASS DMDRVLLKYTEYSEPHESRTNADILQTLKRRGVGLDGPELDMEEGPEGPGEKLLRTLGGD RGSASPPPRIYPVAPAMSVSELSYRVPPATPGCDPGGLGEAPSVHSRPPHFRPPGLGHPI FSPSHLASKTPPPLYLATDGRRPDLPPGLIAARETQLRDPCNPHPGHQRGTL >ENSMUSP00000005015.8 pep:known chromosome:GRCm38:3:87858903:87885608:-1 gene:ENSMUSG00000004895.9 transcript:ENSMUST00000005015.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prcc description:papillary renal cell carcinoma (translocation-associated) [Source:MGI Symbol;Acc:MGI:2137738] MSLVAYASSDDSEPDEPEPEPEPEEEDVAAPMPGPTLGGLFASLPAPKGPALLPPPPQML APAFPPPLLLPPPTGDPRLQPPPPLPFGLGGFPPPAGVSPAEAAGVGEGLGLPSPQGPGL SLPPAVGGVGPPLGLPKPKKRTEPVRIAAPELQKGDSDSEEDEPAKKKVVLQGSGEGTGL SALLPQPKNLTVKETNRLLLPHAFSRKPSDISSDAKPSRLASKTKPASLAPVLGTTTTTP SPSAIKAAAKSAALQVTKQITQEDDDSDEEVAPENFFSLPDKAEPPGVEPYPYPVPTVPE ELPPGTEPEPAFQDDAANAPLEFKMAAGSSGAPWMPKPGDDYSYNQFSTYGDANAAGAYY QDYYSGGYYPAPDPALVPPQEIAPDASFIDDEAFKRLQGKRNRGREEINFVEIKGDDQLS GAQQWMTKSLTEEKTMKSFSKKKGEQPTGQQRRKHQITYLIHQAKERELELKNTWSENKL SRRQTQAKYGF >ENSMUSP00000029311.6 pep:known chromosome:GRCm38:3:54156057:54318471:1 gene:ENSMUSG00000027748.11 transcript:ENSMUST00000029311.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc4 description:transient receptor potential cation channel, subfamily C, member 4 [Source:MGI Symbol;Acc:MGI:109525] MAQFYYKRNVNAPYRDRIPLRIVRAESELSPSEKAYLNAVEKGDYASVKKSLEEAEIYFK ININCIDPLGRTALLIAIENENLELIELLLSFNVYVGDALLHAIRKEVVGAVELLLNHKK PSGEKQVPPILLDKQFSEFTPDITPIILAAHTNNYEIIKLLVQKGVSVPRPHEVRCNCVE CVSSSDVDSLRHSRSRLNIYKALASPSLIALSSEDPFLTAFQLSWELQELSKVENEFKSE YEELSRQCKQFAKDLLDQTRSSRELEIILNYRDDNSLIEEQSGNDLARLKLAIKYRQKEF VAQPNCQQLLASRWYDEFPGWRRRHWAVKMVTCFIIGLLFPVFSVCYLIAPKSPLGLFIR KPFIKFICHTASYLTFLFLLLLASQHIDRSDLNRQGPPPTIVEWMILPWVLGFIWGEIKQ MWDGGLQDYIHDWWNLMDFVMNSLYLATISLKIVAFVKYSALNPRESWDMWHPTLVAEAL FAIANIFSSLRLISLFTANSHLGPLQISLGRMLLDILKFLFIYCLVLLAFANGLNQLYFY YEETKGLSCKGIRCEKQNNAFSTLFETLQSLFWSIFGLINLYVTNVKAQHEFTEFVGATM FGTYNVISLVVLLNMLIAMMNNSYQLIADHADIEWKFARTKLWMSYFEEGGTLPTPFNVI PSPKSLWYLVKWIWTHLCKKKMRRKPESFGTIGRRAADNLRRHHQYQEVMRNLVKRYVAA MIREAKTEEGLTEENVKELKQDISSFRFEVLGLLRGSKLSTIQSANAASSADSDEKSQSE GNGKDKRKNLSLFDLTTLIHPRSAAIASERHNLSNGSALVVQEPPREKQRKVNFVADIKN FGLFHRRSKQNAAEQNANQIFSVSEEITRQQAAGALERNIELESKGLASRGDRSIPGLNE QCVLVDHRERNTDTLGLQVGKRVCSTFKSEKVVVEDTVPIIPKEKHAHEEDSSIDYDLSP TDTAAHEDYVTTRL >ENSMUSP00000143593.1 pep:known chromosome:GRCm38:3:54156104:54318467:1 gene:ENSMUSG00000027748.11 transcript:ENSMUST00000200048.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc4 description:transient receptor potential cation channel, subfamily C, member 4 [Source:MGI Symbol;Acc:MGI:109525] MAQFYYKRNVNAPYRDRIPLRIVRAESELSPSEKAYLNAVEKGDYASVKKSLEEAEIYFK ININCIDPLGRTALLIAIENENLELIELLLSFNVYVGDALLHAIRKEVVGAVELLLNHKK PSGEKQVPPILLDKQFSEFTPDITPIILAAHTNNYEIIKLLVQKGVSVPRPHEVRCNCVE CVSSSDVDSLRHSRSRLNIYKALASPSLIALSSEDPFLTAFQLSWELQELSKVENEFKSE YEELSRQCKQFAKDLLDQTRSSRELEIILNYRDDNSLIEEQSGNDLARLKLAIKYRQKEF VAQPNCQQLLASRWYDEFPGWRRRHWAVKMVTCFIIGLLFPVFSVCYLIAPKSPLGLFIR KPFIKFICHTASYLTFLFLLLLASQHIDRSDLNRQGPPPTIVEWMILPWVLGFIWGEIKQ MWDGGLQDYIHDWWNLMDFVMNSLYLATISLKIVAFVKYSALNPRESWDMWHPTLVAEAL FAIANIFSSLRLISLFTANSHLGPLQISLGRMLLDILKFLFIYCLVLLAFANGLNQLYFY YEETKGLSCKGIRCEKQNNAFSTLFETLQSLFWSIFGLINLYVTNVKAQHEFTEFVGATM FGTYNVISLVVLLNMLIAMMNNSYQLIADHADIEWKFARTKLWMSYFEEGGTLPTPFNVI PSPKSLWYLVKWIWTHLCKKKMRRKPESFGTIGRRAADNLRRHHQYQEVMRNLVKRYVAA MIREAKTEEGLTEENVKELKQDISSFRFEVLGLLRGSKLSTIQSANAASSADSDEKSQSE EEITRQQAAGALERNIELESKGLASRGDRSIPGLNEQCVLVDHRERNTDTLGLQVGKRVC STFKSEKVVVEDTVPIIPKEKHAHEEDSSIDYDLSPTDTAAHEDYVTTRL >ENSMUSP00000142921.1 pep:known chromosome:GRCm38:3:54156615:54318416:1 gene:ENSMUSG00000027748.11 transcript:ENSMUST00000200341.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trpc4 description:transient receptor potential cation channel, subfamily C, member 4 [Source:MGI Symbol;Acc:MGI:109525] MAQFYYKRNVNAPYRDRIPLRIVRAESELSPSEKAYLNAVEKGDYASVKKSLEEAEIYFK ININCIDPLGRTALLIAIENENLELIELLLSFNVYVGDALLHAIRKEVVGAVELLLNHKK PSGEKQVPPILLDKQFSEFTPDITPIILAAHTNNYEIIKLLVQKGVSVPRPHEVRCNCVE CVSSSDVDSLRHSRSRLNIYKALASPSLIALSSEDPFLTAFQLSWELQELSKVENEFKSE YEELSRQCKQFAKDLLDQTRSSRELEIILNYRDDNSLIEEQSGNDLARLKLAIKYRQKEF VAQPNCQQLLASRWYDEFPGWRRRHWAVKMVTCFIIGLLFPVFSVCYLIAPKSPLGLFIR KPFIKFICHTASYLTFLFLLLLASQHIDRSDLNRFYMGRD >ENSMUSP00000065542.4 pep:known chromosome:GRCm38:14:30009023:30040466:1 gene:ENSMUSG00000015970.18 transcript:ENSMUST00000067620.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chdh description:choline dehydrogenase [Source:MGI Symbol;Acc:MGI:1860776] MWQVLRGWRKGWQSPRGALAWAVQGQPCPPCSRAVASVGKDEYTFVVVGAGSAGCVLASR LTEDPNHRVLLLEAGPKDLLMGSKRLQWKIHMPAALVSNLCDDKYNWYYHTEPQPGMDSR VLYWPRGRVWGGSSSLNAMVYIRGHAEDYNRWHREGAEGWDYAHCLPYFRKAQRHELGAN MYRGGDGPLHVSRGKTNHPLHQAFLQAARQAGYPFTEDMNGFQQEGFGWMDMTVHQGKRW STACAYLHPVLSRPNLRAEVQTLVSRVLFEGTRAVGVEYIKDGQRHKAYVSREVILSGGA INSPQLLMLSGVGNADDLRKLDIPVVCHLPGVGQNLQDHLEVYVQQACTQPITLHSAQKP LRKVCIGLEWLWSYTGDGATAHLETGGFIRSRPGVPHPDIQFHFLPSQVIDHGRKPTQQE AYQVHVGTMRATSVGWLKLRSANPRDHPVIHPNYLSTETDVEDFRQCVRLSREIFAQEAL APFRGKELQPGSHVQSDKEIDAFVRAKADSAYHPSCTCKMGRSSDPTAVVDAQTKVIGVE NLRVVDASIMPSVVSGNLNAPTVMIAEKAADIIKGHPALEDKNVPVYKPQTLDTQR >ENSMUSP00000112916.1 pep:known chromosome:GRCm38:14:30022633:30038247:1 gene:ENSMUSG00000015970.18 transcript:ENSMUST00000118917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chdh description:choline dehydrogenase [Source:MGI Symbol;Acc:MGI:1860776] MWQVLRGWRKGWQSPRGALAWAVQGQPCPPCSRAVASVGKDEYTFVVVGAGSAGCVLASR LTEDPNHRVLLLEAGPKDLLMGSKRLQWKIHMPAALVSNLCDDKYNWYYHTEPQPGMDSR VLYWPRGRVWGGSSSLNAMVYIRGHAEDYNRWHREGAEGWDYAHCLPYFRKAQRHELGAN MYRGGDGPLHVSRGKTNHPLHQAFLQAARQAGYPFTEDMNGFQQEGFGWMDMTVHQGKRW STACAYLHPVLSRPNLRAEVQTLVSRVLFEGTRAVGVEYIKDGQRHKAYVSREVILSGGA INSPQLLMLSGVGNADDLRKLDIPVVCHLPGVGQNLQDHLEVYVQQACTQPITLHSAQKP LRKVCIGLEWLWSYTGDGATAHLETGGFIRSRPGVPHPDIQFHFLPSQVIDHGRKPTQQE AYQVHVGTMRATSVGWLKLRSANPRDHPVIHPNYLSTETDVEDFRQCVRLSREIFAQEAL APFRGKELQPGSHVQSDKEIDAFVRAKADSAYHPSCTCKMGRSSDPTAVVDAQTKVIGVE NLRVVDASIMPSVVSGNLNAPTVMIAEKAADIIKGHPALEDKNVPVYKPQTLDTQR >ENSMUSP00000030140.2 pep:known chromosome:GRCm38:4:56749680:56802331:-1 gene:ENSMUSG00000028431.11 transcript:ENSMUST00000030140.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ikbkap description:inhibitor of kappa light polypeptide enhancer in B cells, kinase complex-associated protein [Source:MGI Symbol;Acc:MGI:1914544] MRNLKLHRTLEFRDIQAPGKPQCFCLRAEQGTVLIGSERGLTEVDPVRREVKTEISLVAE GFLPEDGSGCIVGIQDLLDQESVCVATASGDVIVCNLSTQQLECVGSVASGISVMSWSPD QELLLLATAQQTLIMMTKDFEVIAEEQIHQDDFGEGKFVTVGWGSKQTQFHGSEGRPTAF PVQLPENALPWDDRRPHITWRGDGQYFAVSVVCRQTEARKIRVWNREFALQSTSESVPGL GPALAWKPSGSLIASTQDKPNQQDVVFFEKNGLLHGHFTLPFLKDEVKVNDLLWNADSSV LAIWLEDLPKEDSSTLKSYVQLWTVGNYHWYLKQSLPFSTTGKNQIVSLLWDPVTPCRLH VLCTGWRYLCCDWHWTTDRSSGNSANDLANVAVIDGNRVLVTVFRQTVVPPPMCTYRLLI PHPVNQVIFSAHLGNDLAVLDASNQISVYKCGDKPNMDSTVKLGAVGGNGFKVPLTTPHL EKRYSIQFGNNEEEEEEEVNALQLSFLTWVEDDTFLAISYSHSSSQSIIHHLTVTHSEVD EEQGQLDVSSSVTVDGVVIGLCCCSKTKSLAVQLADGQVLKYLWESPSLAVEPWKNSEGI PVRFVHPCTQMEVATIGGEECVLGLTDRCRFFINDTEVASNITSFAVCDDFLLVTTHSHT CQVFSLSGASLKMLQAALSGSHEASGEILRKVERGSRIVTVVPQDTKLILQMPRGNLEVV HHRALVLAQIRKWLDKLMFKEAFECMRKLRINLNLIHDHNPKVFLENVETFVKQIDSVNH INLFFTELREEDVTKTMYPPPITKSVQVSTHPDGKKLDLICDAMRAAMEAINPRKFCLSI LTSHVKKTTPELEIVLQKVQELQGNLPFDPESVSVEEALKYLLLLVDVNELFNHSLGTYD FNLVLMVAEKSQKDPKEYLPFLNTLKKMETNYQRFTIDKYLKRYEKALGHLSKCGPEYFT ECLNLIKDKNLYKEALKLYRPDSPQYQAVSMAYGEHLMQEHLYEPAGLVFARCGAQEKAL EAFLACGSWQQALCVAAQLQMSKDKVAGLARTLAGKLVEQRKHSEAATVLEQYAQDYEEA VLLLLEGSAWEEALRLVYKYDRVDIIETSVKPSILEAQKNYMDFLDSETATFIRHKNRLQ VVRALRRQAPQVHVDHEVAHGPESDLFSETSSIMSGSEMSGRYSHSNSRISARSSKNRRK AERKKHSLKEGSPLEGLALLEALSEVVQSVEKLKDEVRAILKVLFLFEFEEQAKELQRAF ESTLQLMERAVPEIWTPAGQQSSTTPVLGPSSTANSITASYQQQKTCVPALDAGVYMPPK MDPRSQWKLSLLE >ENSMUSP00000099925.1 pep:known chromosome:GRCm38:4:63607089:63622416:-1 gene:ENSMUSG00000058935.14 transcript:ENSMUST00000102861.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700018C11Rik description:RIKEN cDNA 1700018C11 gene [Source:MGI Symbol;Acc:MGI:1922774] MAAHQTLASKIFSLCCWDCEEPVIESAKVPSQESQTPTYNSLKTEKSELCEQNLKRATAQ SVVGQEKHSTASSDYEELTAYNIQTGYPKRNLNRYYQEHWALRPCLIGRP >ENSMUSP00000099926.3 pep:known chromosome:GRCm38:4:63607089:63622428:-1 gene:ENSMUSG00000058935.14 transcript:ENSMUST00000102862.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700018C11Rik description:RIKEN cDNA 1700018C11 gene [Source:MGI Symbol;Acc:MGI:1922774] MAAHQTLASKIFSLCCWDCEEPVIESAKVPSQESQTPTYNSLKTEKSELCEQNLKRATAQ SVVGQEKHSTASSDYEELTAYNIQTGYPKRNLNRYYQEHWALRPCLIGRP >ENSMUSP00000100632.2 pep:known chromosome:GRCm38:X:4196576:4198535:1 gene:ENSMUSG00000094307.1 transcript:ENSMUST00000105015.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14347 description:predicted gene 14347 [Source:MGI Symbol;Acc:MGI:3709183] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKG TWRESHQGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKKVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYNEEQIVMKLDSDALTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000109887.1 pep:known chromosome:GRCm38:16:75592844:75602829:1 gene:ENSMUSG00000032940.16 transcript:ENSMUST00000114249.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm11 description:RNA binding motif protein 11 [Source:MGI Symbol;Acc:MGI:2447622] MFPAQEEADRTVFVGNLEARVREEILYELFLQAGPLTKVTLCKDRDGKPKSFGFVCFKHP ESVSYAIALLNGIRLYGRPINVQYRFGNSRSSEPANQSFESCAKINSHSFRNDEMAGRPS FPVPFFPITSAALPQEYFFFQKMPWYAHSPVLQPPFCEMPAPLPNSVPGSCALNHSPGPE AGPSSYEWTHQPPSDPDLYPRNKRKRQRPDSDSDSSSEDKRGNEGSQKCRKCKKKKRY >ENSMUSP00000109891.1 pep:known chromosome:GRCm38:16:75592891:75602825:1 gene:ENSMUSG00000032940.16 transcript:ENSMUST00000114253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm11 description:RNA binding motif protein 11 [Source:MGI Symbol;Acc:MGI:2447622] MFPAQEEADRTVFVGNLEARVREEILYELFLQAGPLTKVTLCKDRDGKPKSFGFVCFKHP ESVSYAIALLNGIRLYGRPINVQYRFGSSRSSEPANQSFESCAKINSHSFRNDEMAGRPS FPVPFFPITSAALPQEYFFFQKMPWYAHSPVLQPPFCEMPAPLPNSVPGSCALNHSPGPE AGPSSYEWTHQPPSDPDLYPRNKRKRQRPDSDSDSSSEDKRGNEGSQKCRKCKKKKRY >ENSMUSP00000038956.7 pep:known chromosome:GRCm38:16:75592844:75601695:1 gene:ENSMUSG00000032940.16 transcript:ENSMUST00000046378.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm11 description:RNA binding motif protein 11 [Source:MGI Symbol;Acc:MGI:2447622] MFPAQEEADRTVFVGNLEARVREEILYELFLQAGPLTKVTLCKDRDGKPKSFGFVCFKHP ESVSYAIALLNGIRLYGRPINVQYRFGSSRSSEPANQSFESCAKINSHSFRNDEMAGRPS FPVPFFPITSAALPQEYFFFQKMPWYAHSPVLQPPFCEMPAPLPNSVPGSCALNHSPGPE AGPSSYEWTHQPPSDPDLYPRNKRKRQRPDSDSDSSSEDKRGNEGSQKCRKCKKKKRY >ENSMUSP00000146991.1 pep:known chromosome:GRCm38:16:17113399:17125140:-1 gene:ENSMUSG00000049916.10 transcript:ENSMUST00000207116.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610318N02Rik description:RIKEN cDNA 2610318N02 gene [Source:MGI Symbol;Acc:MGI:1917708] MEVLDEFDNEFPLSVTFCQLISEDDFERQAATYTERALRRLFRTLDRNPALAERVVRKGK QTEFEQRGLLCSLWAKFVCAVQGDLNQCNDMGAIEMHRRLEQLKRRIHRVHLYSQDAKKR RRRPKPKKPDPIFRDQSTSACLPPALLPPPLLPPPPQPVTTVASVVAASPSVYTMPRVFG PFPPLSGKPMEKLDISSSEVKLNWSGLSSTSKSHMVDLTPLVLNPGGFHFSYLAGSSAHK LHCPGFPWTPACSRPSNTDETPSPVVKTSIFTPPVLLKFQPVPRPNDDSENDSGSAESTP AKESQ >ENSMUSP00000091026.6 pep:known chromosome:GRCm38:16:17113399:17125106:-1 gene:ENSMUSG00000049916.10 transcript:ENSMUST00000093336.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610318N02Rik description:RIKEN cDNA 2610318N02 gene [Source:MGI Symbol;Acc:MGI:1917708] MEVLDEFDNEFPLSVTFCQLISEDDFERQAATYTERALRRLFRTLDRNPALAERVVRKGK QTEFEQRGLLCSLWAKFVCAVQGDLNQCNDMGAIEMHRRLEQLKRRIHRVHLYSQDAKKR RRRPKPKKPDPIFRDQSTSACLPPALLPPPLLPPPPQPVTTVASVVAASPSVYTMPRVFG PFPPLSGKPMEKLDISSSEVKLNWSGLSSTSKSHMVDLTPLVLNPGGFHFSYLAGSSAHK LHCPGFPWTPACSRPSNTDETPSPVVKTSIFTPPVLLKFQPVPRPNDDSENDSGSAESTP AKESQ >ENSMUSP00000023913.8 pep:known chromosome:GRCm38:16:96145407:96157852:1 gene:ENSMUSG00000023147.15 transcript:ENSMUST00000023913.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wrb description:tryptophan rich basic protein [Source:MGI Symbol;Acc:MGI:2136882] MSASETDRWAWLLVLSFVFGCNLLRILLPSLSSFISRVLQKDAEQESQMRAEIQGMKQEL STVNMMDEFARYARLERKINKMTDKLKTHVKARTAQLAKIKWFISVAFYILQAALMISLI WKYYSVPVAVVPSKWITPLDRLVAFPTRVAGGIGITCWILVCNKVVAIVLHPFS >ENSMUSP00000122059.1 pep:known chromosome:GRCm38:16:96145411:96151840:1 gene:ENSMUSG00000023147.15 transcript:ENSMUST00000135448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wrb description:tryptophan rich basic protein [Source:MGI Symbol;Acc:MGI:2136882] MSASETDRWAWLLVLSFVFGCNLLRILLPSLSSFVSSCRGRGAAERGALRGPCCKLSSSR CLRASHRSRGCNQLWRVGGPKPRFATEEQPRPSILTDFQGAAEGC >ENSMUSP00000023593.5 pep:known chromosome:GRCm38:16:23146536:23158028:1 gene:ENSMUSG00000022878.5 transcript:ENSMUST00000023593.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adipoq description:adiponectin, C1Q and collagen domain containing [Source:MGI Symbol;Acc:MGI:106675] MLLLQALLFLLILPSHAEDDVTTTEELAPALVPPPKGTCAGWMAGIPGHPGHNGTPGRDG RDGTPGEKGEKGDAGLLGPKGETGDVGMTGAEGPRGFPGTPGRKGEPGEAAYVYRSAFSV GLETRVTVPNVPIRFTKIFYNQQNHYDGSTGKFYCNIPGLYYFSYHITVYMKDVKVSLFK KDKAVLFTYDQYQEKNVDQASGSVLLHLEVGDQVWLQVYGDGDHNGLYADNVNDSTFTGF LLYHDTN >ENSMUSP00000126793.1 pep:known chromosome:GRCm38:16:23146646:23157292:1 gene:ENSMUSG00000022878.5 transcript:ENSMUST00000171309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adipoq description:adiponectin, C1Q and collagen domain containing [Source:MGI Symbol;Acc:MGI:106675] MQNPTWAVFRFCSLQQCEGLRMLLLQALLFLLILPSHAEDDVTTTEELAPALVPPPKGTC AGWMAGIPGHPGHNGTPGRDGRDGTPGEKGEKGDAGLLGPKGETGDVGMTGAEGPRGFPG TPGRKGEPGEAAYVYRSAFSVGLETRVTVPNVPIRFTKIFYNQQNHYD >ENSMUSP00000104329.1 pep:known chromosome:GRCm38:11:67078300:67102286:1 gene:ENSMUSG00000020908.14 transcript:ENSMUST00000108689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh3 description:myosin, heavy polypeptide 3, skeletal muscle, embryonic [Source:MGI Symbol;Acc:MGI:1339709] MSSDTEMEVFGIAAPFLRKSEKERIEAQNQPFDAKTYCFVVDSKEEYVKGKIKSSQDGKV TVETEDSRTLVVKPEDVYAMNPPKFDKIEDMAMLTHLNEPAVLYNLKDRYTSWMIYTYSG LFCVTVNPYKWLPVYNPEVVDGYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE SGAGKTVNTKRVIQYFATIAATGDLAKKKDSKMKGTLEDQIISANPLLEAFGNAKTVRND NSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQILSNKKPELIE LLLITTNPYDYPFISQGEILVASIDDAEELLATDSAIDILGFTPEEKSGLYKLTGAVMHY GNMKFKQKQREEQAEPDGTEVADKTAYLMGLNSSDLLKALCFPRVKVGNEYVTKGQTVDQ VHHAVNALSKSVYEKLFLWMVTRINQQLDTKLPRQHFIGVLDIAGFEIFEYNSLEQLCIN FTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPMGIFSILEEECM FPKATDTSFKNKLYDQHLGKSNNFQKPKVVKGKAEAHFSLVHYAGTVDYSVSGWLEKNKD PLNETVVGLYQKSSNRLLAHLYATFATTDADGGKKKVAKKKGSSFQTVSALFRENLNKLM SNLRTTHPHFVRCIIPNETKTPGAMEHSLVLHQLRCNGVLEGIRICRKGFPNRILYGDFK QRYRVLNASAIPEGQFIDSKKACEKLLASIDIDHTQYKFGHTKVFFKAGLLGTLEEMRDE RLAKLITRTQAVCRGFLMRVEFQKMMQRRESIFCIQYNIRAFMNVKHWPWMKLFFKIKPL LKSAETEKEMATMKEEFQKTKDELAKSEAKRKELEEKLVTLVQEKNDLQLQVQAESENLL DAEERCDQLIKAKFQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLEL TLAKVEKEKHATENKVKNLTEELAGLDETIAKLTREKKALQEAHQQTLDDLQAEEDKVNS LSKLKSKLEQQVDDLESSLEQEKKLRVDLERNKRKLEGDLKLAQESILDLENDKQQLDER LKKKDFEYSQLQSKVEDEQTLSLQLQKKIKELQARIEELEEEIEAERATRAKTEKQRSDY ARELEELSERLEEAGGVTSTQIELNKKREAEFLKLRRDLEEATLQHEATVATLRKKHADS AAELAEQIDNLQRVKQKLEKEKSEFKLEIDDLSSSVESVSKSKANLEKICRTLEDQLSEA RGKNEEMQRSLSELTTQKSRLQTEAGELSRQLEEKESIVSQLSRSKQAFTQQIEELKRQL EEENKAKNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYETDA IQRTEELEEAKKKLAQRLQDSEEQVEAVNAKCASLEKTKQRLQGEVEDLMVDVERANSLA AALDKKQRNFDKVLAEWKTKCEESQAELEAALKESRSLSTELFKLKNAYEEALDQLETVK RENKNLEQEIADLTEQIAENGKSIHELEKSRKQMELEKADIQMALEEAEAALEHEEAKIL RIQLELTQVKSEIDRKIAEKDEEIEQLKRNYQRTVETMQGALDAEVRSRNEAIRLKKKME GDLNEIEIQLSHANRQAAETIKHLRSVQGQLKDTQLHLDDALRGQEDLKEQLAIVERRAN LLQAEVEELRATLEQTERARKLAEQELLDSNERVQLLHTQNTSLIHTKKKLETDLTQLQS EVEDACRDARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDE AEQLALKGGKKQIQKLETRIRELEFELEGEQKRNTESVKGLRKYERRVKELTYQSEEDRK NVLRLQDLVDKLQVKVKSYKRQAEEADEQANAHLTKFRKAQHELEEAEERADIAESQVNK LRAKTRDFTSSRMVVHESEE >ENSMUSP00000007301.7 pep:known chromosome:GRCm38:11:67078300:67102291:1 gene:ENSMUSG00000020908.14 transcript:ENSMUST00000007301.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh3 description:myosin, heavy polypeptide 3, skeletal muscle, embryonic [Source:MGI Symbol;Acc:MGI:1339709] MSSDTEMEVFGIAAPFLRKSEKERIEAQNQPFDAKTYCFVVDSKEEYVKGKIKSSQDGKV TVETEDSRTLVVKPEDVYAMNPPKFDKIEDMAMLTHLNEPAVLYNLKDRYTSWMIYTYSG LFCVTVNPYKWLPVYNPEVVDGYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE SGAGKTVNTKRVIQYFATIAATGDLAKKKDSKMKGTLEDQIISANPLLEAFGNAKTVRND NSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQILSNKKPELIE LLLITTNPYDYPFISQGEILVASIDDAEELLATDSAIDILGFTPEEKSGLYKLTGAVMHY GNMKFKQKQREEQAEPDGTEVADKTAYLMGLNSSDLLKALCFPRVKVGNEYVTKGQTVDQ VHHAVNALSKSVYEKLFLWMVTRINQQLDTKLPRQHFIGVLDIAGFEIFEYNSLEQLCIN FTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPMGIFSILEEECM FPKATDTSFKNKLYDQHLGKSNNFQKPKVVKGKAEAHFSLVHYAGTVDYSVSGWLEKNKD PLNETVVGLYQKSSNRLLAHLYATFATTDADGGKKKVAKKKGSSFQTVSALFRENLNKLM SNLRTTHPHFVRCIIPNETKTPGAMEHSLVLHQLRCNGVLEGIRICRKGFPNRILYGDFK QRYRVLNASAIPEGQFIDSKKACEKLLASIDIDHTQYKFGHTKVFFKAGLLGTLEEMRDE RLAKLITRTQAVCRGFLMRVEFQKMMQRRESIFCIQYNIRAFMNVKHWPWMKLFFKIKPL LKSAETEKEMATMKEEFQKTKDELAKSEAKRKELEEKLVTLVQEKNDLQLQVQAESENLL DAEERCDQLIKAKFQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLEL TLAKVEKEKHATENKVKNLTEELAGLDETIAKLTREKKALQEAHQQTLDDLQAEEDKVNS LSKLKSKLEQQVDDLESSLEQEKKLRVDLERNKRKLEGDLKLAQESILDLENDKQQLDER LKKKDFEYSQLQSKVEDEQTLSLQLQKKIKELQARIEELEEEIEAERATRAKTEKQRSDY ARELEELSERLEEAGGVTSTQIELNKKREAEFLKLRRDLEEATLQHEATVATLRKKHADS AAELAEQIDNLQRVKQKLEKEKSEFKLEIDDLSSSVESVSKSKANLEKICRTLEDQLSEA RGKNEEMQRSLSELTTQKSRLQTEAGELSRQLEEKESIVSQLSRSKQAFTQQIEELKRQL EEENKAKNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYETDA IQRTEELEEAKKKLAQRLQDSEEQVEAVNAKCASLEKTKQRLQGEVEDLMVDVERANSLA AALDKKQRNFDKVLAEWKTKCEESQAELEAALKESRSLSTELFKLKNAYEEALDQLETVK RENKNLEQEIADLTEQIAENGKSIHELEKSRKQMELEKADIQMALEEAEAALEHEEAKIL RIQLELTQVKSEIDRKIAEKDEEIEQLKRNYQRTVETMQGALDAEVRSRNEAIRLKKKME GDLNEIEIQLSHANRQAAETIKHLRSVQGQLKDTQLHLDDALRGQEDLKEQLAIVERRAN LLQAEVEELRATLEQTERARKLAEQELLDSNERVQLLHTQNTSLIHTKKKLETDLTQLQS EVEDACRDARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDE AEQLALKGGKKQIQKLETRIRELEFELEGEQKRNTESVKGLRKYERRVKELTYQSEEDRK NVLRLQDLVDKLQVKVKSYKRQAEEADEQANAHLTKFRKAQHELEEAEERADIAESQVNK LRAKTRDFTSSRMVVHESEE >ENSMUSP00000131883.1 pep:known chromosome:GRCm38:11:67078300:67102291:1 gene:ENSMUSG00000020908.14 transcript:ENSMUST00000165221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh3 description:myosin, heavy polypeptide 3, skeletal muscle, embryonic [Source:MGI Symbol;Acc:MGI:1339709] MSSDTEMEVFGIAAPFLRKSEKERIEAQNQPFDAKTYCFVVDSKEEYVKGKIKSSQDGKV TVETEDSRTLVVKPEDVYAMNPPKFDKIEDMAMLTHLNEPAVLYNLKDRYTSWMIYTYSG LFCVTVNPYKWLPVYNPEVVDGYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE SGAGKTVNTKRVIQYFATIAATGDLAKKKDSKMKGTLEDQIISANPLLEAFGNAKTVRND NSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQILSNKKPELIE LLLITTNPYDYPFISQGEILVASIDDAEELLATDSAIDILGFTPEEKSGLYKLTGAVMHY GNMKFKQKQREEQAEPDGTEVADKTAYLMGLNSSDLLKALCFPRVKVGNEYVTKGQTVDQ VHHAVNALSKSVYEKLFLWMVTRINQQLDTKLPRQHFIGVLDIAGFEIFEYNSLEQLCIN FTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPMGIFSILEEECM FPKATDTSFKNKLYDQHLGKSNNFQKPKVVKGKAEAHFSLVHYAGTVDYSVSGWLEKNKD PLNETVVGLYQKSSNRLLAHLYATFATTDADGGKKKVAKKKGSSFQTVSALFRENLNKLM SNLRTTHPHFVRCIIPNETKTPGAMEHSLVLHQLRCNGVLEGIRICRKGFPNRILYGDFK QRYRVLNASAIPEGQFIDSKKACEKLLASIDIDHTQYKFGHTKVFFKAGLLGTLEEMRDE RLAKLITRTQAVCRGFLMRVEFQKMMQRRESIFCIQYNIRAFMNVKHWPWMKLFFKIKPL LKSAETEKEMATMKEEFQKTKDELAKSEAKRKELEEKLVTLVQEKNDLQLQVQAESENLL DAEERCDQLIKAKFQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKDIDDLEL TLAKVEKEKHATENKVKNLTEELAGLDETIAKLTREKKALQEAHQQTLDDLQAEEDKVNS LSKLKSKLEQQVDDLESSLEQEKKLRVDLERNKRKLEGDLKLAQESILDLENDKQQLDER LKKKDFEYSQLQSKVEDEQTLSLQLQKKIKELQARIEELEEEIEAERATRAKTEKQRSDY ARELEELSERLEEAGGVTSTQIELNKKREAEFLKLRRDLEEATLQHEATVATLRKKHADS AAELAEQIDNLQRVKQKLEKEKSEFKLEIDDLSSSVESVSKSKANLEKICRTLEDQLSEA RGKNEEMQRSLSELTTQKSRLQTEAGELSRQLEEKESIVSQLSRSKQAFTQQIEELKRQL EEENKAKNALAHALQSSRHDCDLLREQYEEEQEGKAELQRALSKANSEVAQWRTKYETDA IQRTEELEEAKKKLAQRLQDSEEQVEAVNAKCASLEKTKQRLQGEVEDLMVDVERANSLA AALDKKQRNFDKVLAEWKTKCEESQAELEAALKESRSLSTELFKLKNAYEEALDQLETVK RENKNLEQEIADLTEQIAENGKSIHELEKSRKQMELEKADIQMALEEAEAALEHEEAKIL RIQLELTQVKSEIDRKIAEKDEEIEQLKRNYQRTVETMQGALDAEVRSRNEAIRLKKKME GDLNEIEIQLSHANRQAAETIKHLRSVQGQLKDTQLHLDDALRGQEDLKEQLAIVERRAN LLQAEVEELRATLEQTERARKLAEQELLDSNERVQLLHTQNTSLIHTKKKLETDLTQLQS EVEDACRDARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNLEQTVKDLQHRLDE AEQLALKGGKKQIQKLETRIRELEFELEGEQKRNTESVKGLRKYERRVKELTYQSEEDRK NVLRLQDLVDKLQVKVKSYKRQAEEADEQANAHLTKFRKAQHELEEAEERADIAESQVNK LRAKTRDFTSSRMVVHESEE >ENSMUSP00000113042.1 pep:known chromosome:GRCm38:15:75704280:75714408:1 gene:ENSMUSG00000022580.12 transcript:ENSMUST00000121137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhpn1 description:rhophilin, Rho GTPase binding protein 1 [Source:MGI Symbol;Acc:MGI:1098783] MILEERPDGQGTGEESSRPQDDGSIRKGYGSFVQNQPGQLQSHRARLHQQISKELRMRTG AENLYRATSNTWVRETVALELSYVNSNLQLLKEELAELSTSVDVDQPEGEGITIPMIPLG LKETKELDWATPLKELISEHFGEDGTSFETEIQELEDLRQATRTPSRDEAGLDLLAAYYS QLCFLDARFFSPSRSPGLLFHWYDSLTGVPAQQRALAFEKGSVLFNIGALHTQIGARQDC SCTEGTNHAAEAFQRAAGAFRLLRENFSHAPSPDMSAASLSMLEQLMIAQAQECIFKGLL LPASATPDICPDQLQLAQEAAQVATEYGLVHRAMAQPPVRDYLPASWTNLAHVKAEHFCA LAHYHAAMALCESHPAKGELARQEHVFQPSTPHEPLGPTLPQHPEDRRKLAKAHLKRAIL GQEEALRLHTLCRVLRKVDLLQVVVTQALRRSLAKYSQLEREDDFFEATEAPDIQPKTHQ TPEVRMPSLSQVKVTDFFHRLGPLSVFSTKNRWQLVGPVHMTRGEGSFGFTLRGDSPVLI AAVVPGGQAESAGLKEGDYIVSVNGQPCKWWKHLEVVTQLRSMGEEGVSLQVVSLLPSPE PRGTGPRRAALLWNQRECGFETPMPTRTRPWPILGWSRKNKQGKTGSHPDPCTNRNCVTC P >ENSMUSP00000023244.5 pep:known chromosome:GRCm38:15:75704394:75714225:1 gene:ENSMUSG00000022580.12 transcript:ENSMUST00000023244.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhpn1 description:rhophilin, Rho GTPase binding protein 1 [Source:MGI Symbol;Acc:MGI:1098783] MILEERPDGQGTGEESSRPQDDGSIRKGYGSFVQNQPGQLQSHRARLHQQISKELRMRTG AENLYRATSNTWVRETVALELSYVNSNLQLLKEELAELSTSVDVDQPEGEGITIPMIPLG LKETKELDWATPLKELISEHFGEDGTSFETEIQELEDLRQATRTPSRDEAGLDLLAAYYS QLCFLDARFFSPSRSPGLLFHWYDSLTGVPAQQRALAFEKGSVLFNIGALHTQIGARQDC SCTEGTNHAAEAFQRAAGAFRLLRENFSHAPSPDMSAASLSMLEQLMIAQAQECIFKGLL LPASATPDICPDQLQLAQEAAQVATEYGLVHRAMAQPPVRDYLPASWTNLAHVKAEHFCA LAHYHAAMALCESHPAKGELARQEHVFQPSTPHEPLGPTLPQHPEDRRKLAKAHLKRAIL GQEEALRLHTLCRVLRKVDLLQVVVTQALRRSLAKYSQLEREDDFFEATEAPDIQPKTHQ TPEGPLSVFSTKNRWQLVGPVHMTRGEGSFGFTLRGDSPVLIAAVVPGGQAESAGLKEGD YIVSVNGQPCKWWKHLEVVTQLRSMGEEGVSLQVVSLLPSPEPRGTGPRRAALLWNQREC GFETPMPTRTRPWPILGWSRKNKQGKTGSHPDPCTNRNCVTCP >ENSMUSP00000116837.1 pep:known chromosome:GRCm38:15:75704329:75714408:1 gene:ENSMUSG00000022580.12 transcript:ENSMUST00000149407.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rhpn1 description:rhophilin, Rho GTPase binding protein 1 [Source:MGI Symbol;Acc:MGI:1098783] MILEERPDGQGTGEESSRPQDDGSIRKGYGSFVQNQPGQLQSHRARLHQQISKELRMRTG AENLYRATSNTWVRETVALELSYVNSNLQLLKEELAELSTSVDVDQPEGEGITIPMIPLG LKETKELDWATPLKELISEHFGEDGTSFETEIQELEDLRQATRTPSRDEAGLDLLAAYYS QLCFLDARFFSPSRSPGLLFHWYDSLTGVPAQQRALAFEKGSVLFNIGALHTQIGARQDC SCTEGTNHAAEAFQRAAGAFRLLRENFSHAPSPDMSAASLSMLEQLMIAQAQECIFKGLL LPASATPDICPDQLQLAQEAAQVATEYGLVHRAMAQPPVRDYLPASWTNLAHVKAEHFCA LAHYHAAMALCESHPAKGELARQEHVFQPSTPHEPLGPTLPQHPEDRRKLGGGAAASHLV QSPAQGGPAAGCGDSGTAALPGQVLTARARG >ENSMUSP00000130078.1 pep:known chromosome:GRCm38:1:9798107:9900843:1 gene:ENSMUSG00000025915.14 transcript:ENSMUST00000166384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk3 description:serum/glucocorticoid regulated kinase 3 [Source:MGI Symbol;Acc:MGI:2182368] MQRDCIMDYKESCPSVSIPSSDEHREKKKRFTVYKVLVSVGRSEWFVFRRYAEFDKLYNS LKKQFPAMALKIPAKRIFGDNFDPDFIKQRRAGLNEFIQNLVRYPELYNHPDVRAFLQMD SPRHQSDPSEDEDERSTSKPHSTSRNINLGPTGNPHAKPTDFDFLKVIGKGSFGKVLLAK RKLDGKFYAVKVLQKKIVLNRKEQKHIMAERNVLLKNVKHPFLVGLHYSFQTTEKLYFVL DFVNGGELFFHLQRERSFPEPRARFYAAEIASALGYLHSIKIVYRDLKPENILLDSMGHV VLTDFGLCKEGIAISDTTTTFCGTPEYLAPEVIRKQPYDNTVDWWCLGAVLYEMLYGLPP FYCRDVAEMYDNILHKPLNLRPGVSLTAWSILEELLEKNRQNRLGAKEDFLEIQNHPFFE SLSWTDLVQKKIPPPFNPNVAGPDDIRNFDAVFTEETVPYSVCVSSDYSIVNASVLEADD AFVGFSYAPPSEDLFL >ENSMUSP00000140318.1 pep:known chromosome:GRCm38:1:9798143:9900770:1 gene:ENSMUSG00000025915.14 transcript:ENSMUST00000188782.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgk3 description:serum/glucocorticoid regulated kinase 3 [Source:MGI Symbol;Acc:MGI:2182368] MQRDCIMDYKESCPSVSIPSSDEHREKKKRFTVYKVLVSVGRSEWFVFRRYAEFDKLYNS LKKQFPAMALKIPAKRIFGDNFDPDFIKQRRAGLNEFIQNLVRYPELYNHPDVRAFLQMD SPRHQSDPSEDEDERSTSKPHSTSRNINLGPTGNPHAKPTDFDFLKVIGKGSFGKVLLAK RKLDGKFYAVKVLQKKIVLNRKEQKHIMAERNVLLKNVKHPFLVGLHYSFQTTEKLYFVL DFVNGGELFFHLQRERSFPEPRARFYAAEIASALGYLHSIKIVYRDLKPENILLDSMGHV VLTDFGLCKEGIAISDTTTTFCGTPEILNCVLCSLGCCNVSIFSVVPCT >ENSMUSP00000126861.1 pep:known chromosome:GRCm38:1:9798143:9900845:1 gene:ENSMUSG00000025915.14 transcript:ENSMUST00000168907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk3 description:serum/glucocorticoid regulated kinase 3 [Source:MGI Symbol;Acc:MGI:2182368] MQRDCIMDYKESCPSVSIPSSDEHREKKKRFTVYKVLVSVGRSEWFVFRRYAEFDKLYNS LKKQFPAMALKIPAKRIFGDNFDPDFIKQRRAGLNEFIQNLVRYPELYNHPDVRAFLQMD SPRHQSDPSEDEDERSTSKPHSTSRNINLGPTGNPHAKPTDFDFLKVIGKGSFGKVLLAK RKLDGKFYAVKVLQKKIVLNRKEQKHIMAERNVLLKNVKHPFLVGLHYSFQTTEKLYFVL DFVNGGELFFHLQRERSFPEPRARFYAAEIASALGYLHSIKIVYRDLKPENILLDSMGHV VLTDFGLCKEGIAISDTTTTFCGTPEYLAPEVIRKQPYDNTVDWWCLGAVLYEMLYGLPP FYCRDVAEMYDNILHKPLNLRPGVSLTAWSILEELLEKNRQNRLGAKEDFLEIQNHPFFE SLSWTDLVQKKIPPPFNPNVAGPDDIRNFDAVFTEETVPYSVCVSSDYSIVNASVLEADD AFVGFSYAPPSEDLFL >ENSMUSP00000140496.1 pep:known chromosome:GRCm38:1:9798185:9899618:1 gene:ENSMUSG00000025915.14 transcript:ENSMUST00000188738.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgk3 description:serum/glucocorticoid regulated kinase 3 [Source:MGI Symbol;Acc:MGI:2182368] MQRDCIMDYKESCPSVSIPSSDEHREKKKRFTVYKVLVSVGRSEWFVFRRYAEFDKLYNS LKKQFPAMALKIPAKRIFGDNFDPDFIKQRRAGLNEFIQNLVRYPELYNHPDVRAFLQMD SPRHQSDPSEDEDERSTSKPHSTSRNINLGPTGNPHAKPTDFDFLKVIGKGSFGKVLLAK RKLDGKFYAVKVLQKKIVLNRKEQKHIMAERNVLLKNVKHPFLVGLHYSFQTTEKLYFVL DFVNGGELFFHLQRERSFPEPRARFYAAEIASALGYLHSIKIVYRDLKPENILLDSMGHV VLTDFGLCKEGIAISDTTTTFCGTPEVRNMSDH >ENSMUSP00000139942.1 pep:known chromosome:GRCm38:1:9798208:9900008:1 gene:ENSMUSG00000025915.14 transcript:ENSMUST00000188298.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgk3 description:serum/glucocorticoid regulated kinase 3 [Source:MGI Symbol;Acc:MGI:2182368] MQRDCIMDYKESCPSVSIPSSDEHREKKKRFTVYKVLVSVGRSEWFVFRRYAEFDKLYNS VRDYIVSV >ENSMUSP00000127462.1 pep:known chromosome:GRCm38:1:9848272:9900843:1 gene:ENSMUSG00000025915.14 transcript:ENSMUST00000171265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk3 description:serum/glucocorticoid regulated kinase 3 [Source:MGI Symbol;Acc:MGI:2182368] MQRDCIMDYKESCPSVSIPSSDEHREKKKRFTVYKVLVSVGRSEWFVFRRYAEFDKLYNS LKKQFPAMALKIPAKRIFGDNFDPDFIKQRRAGLNEFIQNLVRYPELYNHPDVRAFLQMD SPRHQSDPSEDEDERSTSKPHSTSRNINLGPTGNPHAKPTDFDFLKVIGKGSFGKVLLAK RKLDGKFYAVKVLQKKIVLNRKEQKHIMAERNVLLKNVKHPFLVGLHYSFQTTEKLYFVL DFVNGGELFFHLQRERSFPEPRARFYAAEIASALGYLHSIKIVYRDLKPENILLDSMGHV VLTDFGLCKEGIAISDTTTTFCGTPEYLAPEVIRKQPYDNTVDWWCLGAVLYEMLYGLPP FYCRDVAEMYDNILHKPLNLRPGVSLTAWSILEELLEKNRQNRLGAKEDFLEIQNHPFFE SLSWTDLVQKKIPPPFNPNVAGPDDIRNFDAVFTEETVPYSVCVSSDYSIVNASVLEADD AFVGFSYAPPSEDLFL >ENSMUSP00000095437.4 pep:known chromosome:GRCm38:1:9848397:9899853:1 gene:ENSMUSG00000025915.14 transcript:ENSMUST00000097826.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgk3 description:serum/glucocorticoid regulated kinase 3 [Source:MGI Symbol;Acc:MGI:2182368] MQRDCIMDYKESCPSVSIPSSDEHREKKKRFTVYKVLVSVGRSEWFVFRRYAEFDKLYNS LKKQFPAMALKIPAKRIFGDNFDPDFIKQRRAGLNEFIQNLVRYPELYNHPDVRAFLQMD SPRHQSDPSEDEDERSTSKPHSTSRNINLGPTGNPHAKPTDFDFLKVIGKGSFGKVLLAK RKLDGKFYAVKVLQKKIVLNRKEQKHIMAERNVLLKNVKHPFLVGLHYSFQTTEKLYFVL DFVNGGELFFHLQRERSFPEPRARFYAAEIASALGYLHSIKIVYRDLKPENILLDSMGHV VLTDFGLCKEGIAISDTTTTFCGTPEYLAPEVIRKQPYDNTVDWWCLGAVLYEMLYGLPP FYCRDVAEMYDNILHKPLNLRPGVSLTAWSILEELLEKNRQNRLGAKEDFLEIQNHPFFE SLSWTDLVQKKIPPPFNPNVAGPDDIRNFDAVFTEETVPYSVCVSSDYSIVNASVLEADD AFVGFSYAPPSEDLFL >ENSMUSP00000002418.8 pep:known chromosome:GRCm38:8:70139499:70147256:1 gene:ENSMUSG00000002345.17 transcript:ENSMUST00000002418.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Borcs8 description:BLOC-1 related complex subunit 8 [Source:MGI Symbol;Acc:MGI:1919618] MEEPEMQLKGKKVTDKFTESVYVLANEPSVALYRLQEHVRRSLPELAQHKADMQRWEEQS QGAIYTVEYACSAVKSLVDSSVYFRSVEGLLKQAISIRDHMNTSAQGHSQEKLSPPPSLA >ENSMUSP00000132314.1 pep:known chromosome:GRCm38:8:70139711:70167488:1 gene:ENSMUSG00000002345.17 transcript:ENSMUST00000163756.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Borcs8 description:BLOC-1 related complex subunit 8 [Source:MGI Symbol;Acc:MGI:1919618] MGRKKIQISRILDQRNRQVTFTKRKFGLMKKAYELSVLCDCDIALIIFNSAQRLFQYASS DMDRVLLKYTEYSEPHESRTNADILQTLKRRGVGLDGPELDMEEGPEGPGEKLLRTLGGD RGSASPPPRIYPVAPAMSVSELSYRVPPATPGCDPGGLGEAPSVHSRPPHFRPPGLGHPI FSPSHLASKTPPPLYLATDGRRPDLPPGLVGARGGLGTSRSLYSGLQSPGAPGPALGSFA FLPSGSTDCSPGDAAQGPLQPSPWPPTRDAVDPARPVARSLCKEGPPSRGASPPTPPVSI KSERLSPVTGTSGDFPRSFPYPLLLARPLAEPLRPSASLHRLTPDSWPR >ENSMUSP00000118102.1 pep:known chromosome:GRCm38:8:70139713:70145549:1 gene:ENSMUSG00000002345.17 transcript:ENSMUST00000123760.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Borcs8 description:BLOC-1 related complex subunit 8 [Source:MGI Symbol;Acc:MGI:1919618] MEEPEMQLKGKKVTDKFTESVYVLANEPSVALYRLQEHVRRSLPELAQHKADMQRWEEQS QGAIYTVEYACRAHMVEEEH >ENSMUSP00000137694.1 pep:known chromosome:GRCm38:8:70139723:70147261:1 gene:ENSMUSG00000002345.17 transcript:ENSMUST00000129668.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Borcs8 description:BLOC-1 related complex subunit 8 [Source:MGI Symbol;Acc:MGI:1919618] MEEPEMQLKGKKVTDKFTESVYVLANEPSVALYRLQEHVRRSLPELAQHKADMQRWEEQS QGAIYTVEYACRAHMVEEEH >ENSMUSP00000105766.1 pep:known chromosome:GRCm38:8:70140277:70147319:1 gene:ENSMUSG00000002345.17 transcript:ENSMUST00000110139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Borcs8 description:BLOC-1 related complex subunit 8 [Source:MGI Symbol;Acc:MGI:1919618] MGALRVTDKFTESVYVLANEPSVALYRLQEHVRRSLPELAQHKADMQRWEEQSQGAIYTV EYACSAVKSLVDSSVYFRSVEGLLKQAISIRDHMNTSAQGHSQEKLSPPPSLA >ENSMUSP00000046598.8 pep:known chromosome:GRCm38:15:98038744:98093744:-1 gene:ENSMUSG00000033075.17 transcript:ENSMUST00000044189.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp1 description:SUMO1/sentrin specific peptidase 1 [Source:MGI Symbol;Acc:MGI:2445054] MDDTADGVKMDAGEVTLVNHGSTFRTHRPPQSGFPEEQLLLSDQQSLPFRQGTLDGSFTC STRSPAYRPDYHSDNPSSDSFLGSGDVRTFGQSANGQWRNSTPASGSAPQKPRNSRSLCL ETRKTSSGLSNTFVGKSNHHCHMSAYEKSFPIKPAPSPSWSGSCRRSLLSPKKTQRRHFS TAEETVQEEEKEIYRQLLQMVTGKQFCVAKPTTHFPLRLSRCLSSNKNSLKDSLLRNGNS CASHVIGSDTSSSGSASILTAQEQLSHSAHSLSSGTPDVAFGSKDSDPHHHLAAPHQPNS LPASNTQSEGSDSVILLKVKESQTPASSPTFFQAELWIKELTSVYDSRARERLRRIEEQK ALALQLQNQRLQEQEHAVLDSVELHLRVPLEKEIPVTAAQETRKKSHQLTDSEDEFPEIT EEMEKEIKNVFRNGNQDEVLSEAFRLTITRKDIQTLNHLNWLNDEIINFYMNMLMERSKE KGFPSVHAFNTFFFTKLKTAGYQAVKRWTKKVDVFSVDILLVPIHLGVHWCLAVVDFRRK SITYYDSMGGINNEACRILLQYLKQESVDKKRKEFDTNGWQLFSKKSQEIPQQMNGSDCG MFACKYADCITKDRPINFTQQHMPYFRKRMVWEILHRKLL >ENSMUSP00000138032.1 pep:known chromosome:GRCm38:15:98041393:98093569:-1 gene:ENSMUSG00000033075.17 transcript:ENSMUST00000180716.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Senp1 description:SUMO1/sentrin specific peptidase 1 [Source:MGI Symbol;Acc:MGI:2445054] MDDTADGVKMDAGEVTLVNHGSTFRTHRPPQSGFPEEQLLLSDQQSLPFRQGTLDGSFTC STRSPAYRPDYHSGPGLGVLARYSWGKMLTFGGHHGVTLMQIRHMAVAGFSSFINFQITL PQTVSLAQVT >ENSMUSP00000138056.1 pep:known chromosome:GRCm38:15:98041733:98093251:-1 gene:ENSMUSG00000033075.17 transcript:ENSMUST00000180657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp1 description:SUMO1/sentrin specific peptidase 1 [Source:MGI Symbol;Acc:MGI:2445054] MDDTADGVKMDAGEVTLVNHGSTFRTHRPPQSGFPEEQLLLSDQQSLPFRQGTLDGSFTC STRSPAYRPDYHSDNPSSDSFLGSGDVRTFGQSANGQWRNSTPASGSAPQKPRNSRSLCL ETRKTSSGLSNTFVGKSNHHCHMSAYEKSFPIKPAPSPSWSGSCRRSLLSPKKTQRRHFS TAEETVQEEEKEIYRQLLQMVTGKQFCVAKPTTHFPLRLSRCLSSNKNSLKDSLLRNGNS CASHVIGSDTSSSGSASILTAQEQLSHSAHSLSSGTPDVAFGSKDSDPHHHLAAPHQPNS LPASNTQSEGSDSVILLKVKESQTPASRYLSPLKRRSCPYIIPYSAVMDLLSSSPTFFQA ELWIKELTSVYDSRARERLRRIEEQKALALQLQNQRLQEQEHAVLDSVELHLRVPLEKEI PVTAAQETRKKSHQLTDSEDEFPEITEEMEKEIKNVFRNGNQDEVLSEAFRLTITRKDIQ TLNHLNWLNDEIINFYMNMLMERSKEKGFPSVHAFNTFFFTKLKTAGYQAVKRWTKKVDV FSVDILLVPIHLGVHWCLAVVDFRRKSITYYDSMGGINNEACRILLQYLKQESVDKKRKE FDTNGWQLFSKKSQEIPQQMNGSDCGMFACKYADCITKDRPINFTQQHMPYFRKRMVWEI LHRKLL >ENSMUSP00000138394.1 pep:known chromosome:GRCm38:15:98085042:98087544:-1 gene:ENSMUSG00000033075.17 transcript:ENSMUST00000183105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Senp1 description:SUMO1/sentrin specific peptidase 1 [Source:MGI Symbol;Acc:MGI:2445054] MDDTADGVKMDAGEVTLVNHG >ENSMUSP00000118204.1 pep:known chromosome:GRCm38:11:32187541:32200222:-1 gene:ENSMUSG00000020279.10 transcript:ENSMUST00000145401.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il9r description:interleukin 9 receptor [Source:MGI Symbol;Acc:MGI:96564] MALGRCIAEGWTLERVAVKQVSWFLIYSWVCSGVCRGVSVPEQGGGGQKAGAFTCLSNSI YRIDCHWSAPELGQESRAWLLFTSNQVTEIKHKCTFWDSMCTLVLPKEEVFLPFDNFTIT LHRCIMGQEQVSLVDSQYLPRRHIKLDPPSDLQSNVSSGRCVLTWGINLALEPLITSLSY ELAFKRQEEAWEARHKDRIVGVTWLILEAVELNPGSIYEARLRVQMTLESYEDKTEGEYY KSHWSEWSQPVSFPSPQRRQGLLVPRWQWSASILVVVPIFLLLTGFVHLLFKLSPRLKRI FYQNIPSPEAFFHPLYSVYHGDFQSWTGARRAGPQARQNGVSTSSAGSESSIWEAVATLT YSPACPVQFACLKWEATAPGFPGLPGSEHVLPAGCLELEGQPSAYLPQEDWAPLGSARPP PPDSDSGSSDYCMLDCCEECHLSAFPGHTESPELTLAQPVALPVSSRA >ENSMUSP00000020518.4 pep:known chromosome:GRCm38:11:32188997:32200279:-1 gene:ENSMUSG00000020279.10 transcript:ENSMUST00000020518.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Il9r description:interleukin 9 receptor [Source:MGI Symbol;Acc:MGI:96564] MALGRCIAEGWTLERVAVKQVSWFLIYSWVCSGVCRGVSVPEQGGGVKLDPPSDLQSNVS SGRCVLTWGINLALEPLITSLSYELAFKRQEEAWEVTW >ENSMUSP00000119557.1 pep:known chromosome:GRCm38:11:32190283:32200236:-1 gene:ENSMUSG00000020279.10 transcript:ENSMUST00000128311.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il9r description:interleukin 9 receptor [Source:MGI Symbol;Acc:MGI:96564] MALGRCIAEGWTLERVAVKQVSWFLIYSWVCSGVCRGVSVPEQGGGGQKAGAFTCLSNSI YRIDCHWSAPELGQESRAWLLFTSNQVTEIKHKCTFWDSMCTLVLPKEEVFLPFDNFTIT LHRCIMGQEQVSLVDSQYLPRRHIKLDPPSDLQSNVSSGRCVLTWGINLALEPLITSLSY ELAFKRQEEAWEQARHKDRIVGVTWLILEAVELNPGSIYEARLRVQMTLESYEDKTEGEY YKSHWSEWSQPVSFPSPQRRQGLLVPRWQWSASILVVVPIFLLLTGFVHLLFKLSPRLKR IFYQNIPSPEAFFHPLYSVYHGDFQSWTGARRAGPQARQNGVSTSSAGSESSIWEAVATL TYSPACPVQFACLKWEATAPGFPGLPGSEHVLPAGCLELEGQPSAYLPQEDWAPLGSARP PPPDSDSGSSDYCMLDCCEECHLSAFPGHTESPELTLAQPVALPVSSRA >ENSMUSP00000119185.1 pep:known chromosome:GRCm38:11:32190499:32200222:-1 gene:ENSMUSG00000020279.10 transcript:ENSMUST00000142396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il9r description:interleukin 9 receptor [Source:MGI Symbol;Acc:MGI:96564] MALGRCIAEVLFFAGWTLERVAVKQVSWFLIYSWVCSGVCRGVSVPEQGGGGQKAGAFTC LSNSIYRIDCHWSAPELGQESRAWLLFTSNQVTEIKHKCTFWDSMCTLVLPKEEVFLPFD NFTITLHRCIMGQEQVSLVDSQYLPRRHIKLDPPSDLQSNVSSGRCVLTWGINLALEPLI TSLSYELAFKRQEEAWEQARHKDRIVGVTWLILEAVELNPGSIYEARLRVQMTLESYEDK TEGEYYKSHWSEWSQPVSFPSPQRRQGLLVPRWQWSASILVVVPIFLLLTGFVHLLFKLS PRLKRIFYQNIPSPEAFFHPLYSVYHGDFQSWTGARRAGPQARQNGVSTSSAGSESSIWE AVATLTYSPACPVQFACLKWEATAPGFPGLPGSEHVLPAGCLELEGQPSAYLPQEDWAPL GSARPPPPDSDSGSSDYCMLDCCEECHLSAFPGHTESPELTLAQPVALPVSSRA >ENSMUSP00000000811.7 pep:known chromosome:GRCm38:3:89520164:89667761:1 gene:ENSMUSG00000000794.9 transcript:ENSMUST00000000811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnn3 description:potassium intermediate/small conductance calcium-activated channel, subfamily N, member 3 [Source:MGI Symbol;Acc:MGI:2153183] MDTSGHFHDSGVGDLDEDPKCPCPSSGDEQQQQQQPPPPPAPPAVPQQPPGPLLQPQPPQ PQQQQSQQQQQQQSQQQQQQAPLHPLPQLAQLQSQLVHPGLLHSSPTAFRAPTSANSTAI LHPSSRQGSQLNLNDHLLGHSPSSTATSGPGGGSRHRQASPLVHRRDSNPFTEIAMSSCK YSGGVMKPLSRLSASRRNLIEAEPEGQPLQLFSPSNPPEIIISSREDNHAHQTLLHHPNA THNHQHAGTTAGSTTFPKANKRKNQNIGYKLGHRRALFEKRKRLSDYALIFGMFGIVVMV IETELSWGLYSKDSMFSLALKCLISLSTVILLGLIIAYHTREVQLFVIDNGADDWRIAMT YERILYISLEMLVCAIHPIPGEYKFFWTARLAFSYTPSRAEADVDIILSIPMFLRLYLIA RVMLLHSKLFTDASSRSIGALNKINFNTRFVMKTLMTICPGTVLLVFSISLWIIAAWTVR VCERYHDQQDVTSNFLGAMWLISITFLSIGYGDMVPHTYCGKGVCLLTGIMGAGCTALVV AVVARKLELTKAEKHVHNFMMDTQLTKRIKNAAANVLRETWLIYKHTKLLKKIDHAKVRK HQRKFLQAIHQLRGVKMEQRKLSDQANTLVDLSKMQNVMYDLITELNDRSEDLEKQIGSL ESKLEHLTASFNSLPLLIADTLRQQQQQLLTAFVEARGISVAVGTSHAPPSDSPIGISST SFPTPYTSSSSC >ENSMUSP00000031058.8 pep:known chromosome:GRCm38:5:30814641:30866106:1 gene:ENSMUSG00000029166.14 transcript:ENSMUST00000031058.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapre3 description:microtubule-associated protein, RP/EB family, member 3 [Source:MGI Symbol;Acc:MGI:2140967] MAVNVYSTSVTSENLSRHDMLAWVNDSLHLNYTKIEQLCSGAAYCQFMDMLFPGCVHLRK VKFQAKLEHEYIHNFKVLQAAFKKMGVDKIIPVEKLVKGKFQDNFEFIQWFKKFFDANYD GKDYNPLLARQGQDVAPPPNPGDQIFNKSKKLIGTAVPQRTSPTGPKNMQTSGRLSNVAP PCILRKNPPSARNGGHEADAQILELNQQLLDLKLTVDGLEKERDFYFSKLRDIELICQEH ESENSPVISGIIGILYATEEGFAPPEDDEIEEHQQEDQDEY >ENSMUSP00000143890.1 pep:known chromosome:GRCm38:5:30814754:30866059:1 gene:ENSMUSG00000029166.14 transcript:ENSMUST00000200692.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapre3 description:microtubule-associated protein, RP/EB family, member 3 [Source:MGI Symbol;Acc:MGI:2140967] MAVNVYSTSVTSENLSRHDMLAWVNDSLHLNYTKIEQLCSGAAYCQFMDMLFPGCVHLRK VKFQAKLEHEYIHNFKVLQAAFKKMGVDKIIPVEKLVKGKFQDNFEFIQWFKKFFDANYD GKDYNPLLARQGQDVAPPPNPVPQRTSPTGPKNMQTSGRLSNVAPPCILRKNPPSARNGG HEADAQILELNQQLLDLKLTVDGLEKERDFYFSKLRDIELICQEHESENSPVISGIIGIL YATEEGFAPPEDDEIEEHQQEDQDEY >ENSMUSP00000144591.1 pep:known chromosome:GRCm38:5:30853799:30863358:1 gene:ENSMUSG00000029166.14 transcript:ENSMUST00000202501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapre3 description:microtubule-associated protein, RP/EB family, member 3 [Source:MGI Symbol;Acc:MGI:2140967] MAVNVYSTSVTSENLSRHDMLAWVNDSLHLNYTKIEQLCSGAAYCQFMDMLFPGCVHLRK VKFQAKLEHEYIHNFKVLQAAFKKMGVDKIIPVEKLVKGKFQDNFEFIQWFKKFFDANYD GKDYNPLLARQGQDVAPPPNP >ENSMUSP00000038838.6 pep:known chromosome:GRCm38:4:3678115:3791613:1 gene:ENSMUSG00000042228.14 transcript:ENSMUST00000041377.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyn description:Yamaguchi sarcoma viral (v-yes-1) oncogene homolog [Source:MGI Symbol;Acc:MGI:96892] MGCIKSKRKDNLNDDEVDSKTQPVRNTDRTIYVRDPTSNKQQRPVPEFHLLPGQRFQTKD PEEQGDIVVALYPYDGIHPDDLSFKKGEKMKVLEEHGEWWKAKSLSSKREGFIPSNYVAK VNTLETEEWFFKDITRKDAERQLLAPGNSAGAFLIRESETLKGSFSLSVRDYDPMHGDVI KHYKIRSLDNGGYYISPRITFPCISDMIKHYQKQSDGLCRRLEKACISPKPQKPWDKDAW EIPRESIKLVKKLGAGQFGEVWMGYYNNSTKVAVKTLKPGTMSVQAFLEEANLMKTLQHD KLVRLYAVVTKEEPIYIITEFMAKGSLLDFLKSDEGGKVLLPKLIDFSAQIAEGMAYIER KNYIHRDLRAANVLVSESLMCKIADFGLARVIEDNEYTAREGAKFPIKWTAPEAINFGCF TIKSDVWSFGILLYEIVTYGKIPYPGRTNADVMSALSQGYRMPRMENCPDELYDIMKMCW KEKAEERPTFDYLQSVLDDFYTATEGQYQQQP >ENSMUSP00000100075.3 pep:known chromosome:GRCm38:4:3678127:3791612:1 gene:ENSMUSG00000042228.14 transcript:ENSMUST00000103010.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyn description:Yamaguchi sarcoma viral (v-yes-1) oncogene homolog [Source:MGI Symbol;Acc:MGI:96892] MGCIKSKRKDNLNDDEVDSKTQPVPEFHLLPGQRFQTKDPEEQGDIVVALYPYDGIHPDD LSFKKGEKMKVLEEHGEWWKAKSLSSKREGFIPSNYVAKVNTLETEEWFFKDITRKDAER QLLAPGNSAGAFLIRESETLKGSFSLSVRDYDPMHGDVIKHYKIRSLDNGGYYISPRITF PCISDMIKHYQKQSDGLCRRLEKACISPKPQKPWDKDAWEIPRESIKLVKKLGAGQFGEV WMGYYNNSTKVAVKTLKPGTMSVQAFLEEANLMKTLQHDKLVRLYAVVTKEEPIYIITEF MAKGSLLDFLKSDEGGKVLLPKLIDFSAQIAEGMAYIERKNYIHRDLRAANVLVSESLMC KIADFGLARVIEDNEYTAREGAKFPIKWTAPEAINFGCFTIKSDVWSFGILLYEIVTYGK IPYPGRTNADVMSALSQGYRMPRMENCPDELYDIMKMCWKEKAEERPTFDYLQSVLDDFY TATEGQYQQQP >ENSMUSP00000135685.1 pep:known chromosome:GRCm38:13:119487941:119495505:1 gene:ENSMUSG00000074634.11 transcript:ENSMUST00000176171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7120 description:predicted gene 7120 [Source:MGI Symbol;Acc:MGI:3648543] MQGMRTRIACWFLTAFTILTQLTMASKVEKTHALPPCCSTESLISSIGLGIFCLVADRLL RFPIIQHNDWLRAISDNIVHGVIGMWSWAVVTGIRKKSDFGEVLLAGFLASVIDVDHFFQ ARSLSLQAALTLPRRPFLHCSTVIPIAVLSVKLAVHLFKLRDSWRFLPWMILVSWTSHHI RDGIRHGLWICPFGKTPPLPSSFYVISTLSLPHLCSFLMYLTGTRQTVSSKYGMRIDV >ENSMUSP00000134785.1 pep:known chromosome:GRCm38:13:119488039:119495505:1 gene:ENSMUSG00000074634.11 transcript:ENSMUST00000177361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7120 description:predicted gene 7120 [Source:MGI Symbol;Acc:MGI:3648543] MQGMRTRIACWFLTAFTILTQLTMASKVEKTHALPPCCSTESLISSIGLGIFCLVADRLL RFPIIQHNDWLRAISDNIVHGVIGMWSWAVVTGIRKKSDFGEVLLAGFLASVIDVDHFFQ ARSLSLQVRHQCGQLFWL >ENSMUSP00000134889.1 pep:known chromosome:GRCm38:13:119488720:119495501:1 gene:ENSMUSG00000074634.11 transcript:ENSMUST00000175835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7120 description:predicted gene 7120 [Source:MGI Symbol;Acc:MGI:3648543] MQGMRTRIACWFLTAFTILTQLTMASKVEKTHALPPCCSTESLISSIGLGIFCLVADRLL RFPIIQHNDWLRAISDNIVHGVIGMWSWAVVTGIRKKSDFGEVLLAGFLASVIDVDHFFQ ARSLSLQAALTLPRRPFLHCSTVIPIAVLSVKLAVHLFKLRDSWRFLPWMILVSWTSHHI RDGIRHGLWICPFGKTPPLPSSFYVISTLSLPHLCSFLMYLTGTRQTVSSKYGMRIDV >ENSMUSP00000096751.4 pep:known chromosome:GRCm38:13:119488860:119610458:1 gene:ENSMUSG00000074634.11 transcript:ENSMUST00000099147.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7120 description:predicted gene 7120 [Source:MGI Symbol;Acc:MGI:3648543] MQGMRTRIACWFLTAFTILTQLTMASKVEKTHALPPCCSTESLISSIGLGIFCLVADRLL RFPIIQHNDWLRAISDNIVHGVIGMWSWAVVTGIRKKSDFGEVLLAGFLASVIDVDHFFQ ARSLSLQAALTLPRRPFLHCSTVIPIAVLSVKLAVHLFKLRDSWRFLPWMILVSWTSHHI RDGIRHGLWICPFGKTPPLPSSFYVISTLSLPHLCSFLMYLTGTRQTVSSKYGMRIDV >ENSMUSP00000134360.1 pep:known chromosome:GRCm38:14:59201209:59223214:1 gene:ENSMUSG00000035469.17 transcript:ENSMUST00000173547.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb1 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 1 [Source:MGI Symbol;Acc:MGI:1918580] MVDVGKWPIFTLLSPQEAGSIRKACVFGTSANEAIYVTDNDEVFVFGLNYSNCLGTGDNQ STLVPKKLEALCGKKIKSLSYGSGPHVLLTTEDGVVYAWGHNGYSQLGNGTTNQGIAPVQ VCTNLLIKQVIEVACGSHHSMALAADGELFAWGYNNCGQVGSGSTANQPTPRKVTNCLHT KRVVNIACGQTSSMAVLDSGEVYGWGYN >ENSMUSP00000037030.6 pep:known chromosome:GRCm38:14:59201228:59237265:1 gene:ENSMUSG00000035469.17 transcript:ENSMUST00000043227.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb1 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 1 [Source:MGI Symbol;Acc:MGI:1918580] MVDVGKWPIFTLLSPQEAGSIRKACVFGTSANEAIYVTDNDEVFVFGLNYSNCLGTGDNQ STLVPKKLEALCGKKIKSLSYGSGPHVLLTTEDGVVYAWGHNGYSQLGNGTTNQGIAPVQ VCTNLLIKQVIEVACGSHHSMALAADGELFAWGYNNCGQVGSGSTANQPTPRKVTNCLHT KRVVNIACGQTSSMAVLDSGEVYGWGYNGNGQLGLGNNGNQLTPVRVAALHGMCVNQIVC GYAHTLALTDEGLLYAWGANTYGQLGTGSKNNLLSPTQIMVEKERVIEIAACHSTHTSAA KTQGGHVYMWGQCRGQSVILPHLTHFCCTDDVFACFGTPAVSWRLLSVEHEDFLTVAESL KKEFDSPETADLKFRIDGKYIHVHKAVLKIRCEHFRSMFQSYWNEDMKEVIEIDQFSYPV YRAFLQYLYTDTVDLPPEDAIGLLDLATSYCENRLKRLCQHIIKRGITVENAFSLFSAAV RYDAEDLEEFCFKFCINHLTEVTQTAAFWQMDGPLLKEFIAKASKCGAFKN >ENSMUSP00000133369.1 pep:known chromosome:GRCm38:14:59201234:59235525:1 gene:ENSMUSG00000035469.17 transcript:ENSMUST00000174009.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rcbtb1 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 1 [Source:MGI Symbol;Acc:MGI:1918580] MSSSPLKMESSMPGAIMDTANWEMGPPTKALLLSKSVLIS >ENSMUSP00000022551.7 pep:known chromosome:GRCm38:14:59201242:59237265:1 gene:ENSMUSG00000035469.17 transcript:ENSMUST00000022551.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb1 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 1 [Source:MGI Symbol;Acc:MGI:1918580] MVDVGKWPIFTLLSPQEAGSIRKACVFGTSANEAIYVTDNDEVFVFGLNYSNCLGTGDNQ STLVPKKLEALCGKKIKSLSYGSGPHVLLTTEDGVVYAWGHNGYSQLGNGTTNQGIAPVQ VCTNLLIKQVIEVACGSHHSMALAADGELFAWGYNNCGQVGSGSTANQPTPRKVTNCLHT KRVVNIACGQTSSMAVLDSGEVYGWGYNGNGQLGLGNNGNQLTPVRVAALHGMCVNQIVC GYAHTLALTDEGLLYAWGANTYGQLGTGSKNNLLSPTQIMVEKERVIEIAACHSTHTSAA KTQGGHVYMWGQCRGQSVILPHLTHFCCTDDVFACFGTPAVSWRLLSVEHEDFLTVAESL KKEFDSPETADLKFRIDGKYIHVHKAVLKIRCEHFRSMFQSYWNEDMKEVIEIDQFSYPV YRAFLQYLYTDTVDLPPEDAIGLLDLATSYCENRLKRLCQHIIKRGITVENAFSLFSAAV RYDAEDLEEFCFKFCINHLTEVTQTAAFWQMDGPLLKEFIAKASKCGAFKN >ENSMUSP00000134515.1 pep:known chromosome:GRCm38:14:59201476:59209971:1 gene:ENSMUSG00000035469.17 transcript:ENSMUST00000140136.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb1 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 1 [Source:MGI Symbol;Acc:MGI:1918580] M >ENSMUSP00000134542.1 pep:known chromosome:GRCm38:14:59201503:59210067:1 gene:ENSMUSG00000035469.17 transcript:ENSMUST00000142326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb1 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 1 [Source:MGI Symbol;Acc:MGI:1918580] MVDVGKWPIFTLLSPQEAGSIRKACVFGTSANE >ENSMUSP00000134284.1 pep:known chromosome:GRCm38:14:59205613:59229961:1 gene:ENSMUSG00000035469.17 transcript:ENSMUST00000172810.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rcbtb1 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 1 [Source:MGI Symbol;Acc:MGI:1918580] MSSSPLKMESSMPGAIMDTANWEMGPPTKALLLSKSVLIS >ENSMUSP00000133421.1 pep:known chromosome:GRCm38:14:59217605:59237259:1 gene:ENSMUSG00000035469.17 transcript:ENSMUST00000174830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcbtb1 description:regulator of chromosome condensation (RCC1) and BTB (POZ) domain containing protein 1 [Source:MGI Symbol;Acc:MGI:1918580] XYAWGHNGYSQLGNGTTNQGIAPVQVCTNLLIKQVIEVACGSHHSMALAADGEVYGWGYN GNGQLGLGNNGNQLTPVRVAALHGMCVNQMMSAH >ENSMUSP00000036585.8 pep:known chromosome:GRCm38:17:34031812:34038393:1 gene:ENSMUSG00000039656.16 transcript:ENSMUST00000044858.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxrb description:retinoid X receptor beta [Source:MGI Symbol;Acc:MGI:98215] MSWATRPPFLPPRHAAGQCGPVGVRKEMHCGVASRWRRRRPWLDPAAAAAAAGEQQALEP EPGEAGRDGMGDSGRDSRSPDSSSPNPLSQGIRPSSPPGPPLTPSAPPPPMPPPPLGSPF PVISSSMGSPGLPPPAPPGFSGPVSSPQINSTVSLPGGGSGPPEDVKPPVLGVRGLHCPP PPGGPGAGKRLCAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLTYSCRDNKDCTVDKRQ RNRCQYCRYQKCLATGMKREAVQEERQRGKDKDGDGDGAGGAPEEMPVDRILEAELAVEQ KSDQGVEGPGATGGGGSSPNDPVTNICQAADKQLFTLVEWAKRIPHFSSLPLDDQVILLR AGWNELLIASFSHRSIDVRDGILLATGLHVHRNSAHSAGVGAIFDRSLSRVLTELVSKMR DMRMDKTELGCLRAIILFNPDAKGLSNPGEVEILREKVYASLETYCKQKYPEQQGRFAKL LLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQLA >ENSMUSP00000133775.2 pep:known chromosome:GRCm38:17:34032183:34037700:1 gene:ENSMUSG00000039656.16 transcript:ENSMUST00000174299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxrb description:retinoid X receptor beta [Source:MGI Symbol;Acc:MGI:98215] XGEAGRDGMGDSGRDSRSPDSSSPNPLSQGIRPSSPPGPPLTPSAPPPPMPPPPLGSPFP VISSSMGSPGLPPPAPPGFSGPVSSPQINSTVSLPGGGSGPPEDVKPPVLGVRGLHCPPP PGGPGAGKRLCAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLTYSCRDNKDCTVDKRQR NRCQYCRYQKCLATGMKREAVQEERQRGKDKDGDGDGAGGAPEEMPVDRILEAELAVEQK SDQGVEGPGATGGGGSSPNDPVTNICQAADKQLFTLVEWAKRIPHFSSLPLDDQVILLRA GWNELLIASFSHRSIDVRDGILLATGLHVHRNSAHSAGVGAIFDRVLTELVSKMRDMRMD KTELGCLRAIILFNPDAKGLSNPGEVEILREKVYASLETYCKQKYPEQQGRFAKLLLRLP ALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQLA >ENSMUSP00000134299.1 pep:known chromosome:GRCm38:17:34032196:34034202:1 gene:ENSMUSG00000039656.16 transcript:ENSMUST00000173554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxrb description:retinoid X receptor beta [Source:MGI Symbol;Acc:MGI:98215] MPPPPLGSPFPVISSSMGSPGLPPPAPPGFSGPVSSPQINSTVSLPGGGSGPPEDVKPPV LGVRGLHCPPPPGGPGAGKRLCAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLTYSCRD NKDCTVDKRQRNRCQYCRYQKCLATGMKREG >ENSMUSP00000133661.1 pep:known chromosome:GRCm38:17:34032375:34037815:1 gene:ENSMUSG00000039656.16 transcript:ENSMUST00000173354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxrb description:retinoid X receptor beta [Source:MGI Symbol;Acc:MGI:98215] MPPPPLGSPFPVISSSMGSPGLPPPAPPGFSGPVSSPQINSTVSLPGGGSGPPEDVKPPV LGVRGLHCPPPPGGPGAGKRLCAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLTYSCRD NKDCTVDKRQRNRCQYCRYQKCLATGMKREAVQEERQRGKDKDGDGDGAGGAPEEMPVDR ILEAELAVEQKSDQGVEGPGATGGGGSSPNDPVTNICQAADKQLFTLVEWAKRIPHFSSL PLDDQVILLRAGWNELLIASFSHRSIDVRDGILLATGLHVHRNSAHSAGVGAIFDRSLSR VLTELVSKMRDMRMDKTELGCLRAIILFNPDAKGLSNPGEVEILREKVYASLETYCKQKY PEQQGRFAKLLLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQLA >ENSMUSP00000112311.2 pep:known chromosome:GRCm38:17:34032401:34038393:1 gene:ENSMUSG00000039656.16 transcript:ENSMUST00000116612.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rxrb description:retinoid X receptor beta [Source:MGI Symbol;Acc:MGI:98215] MPPPPLGSPFPVISSSMGSPGLPPPAPPGFSGPVSSPQINSTVSLPGGGSGPPEDVKPPV LGVRGLHCPPPPGGPGAGKRLCAICGDRSSGKHYGVYSCEGCKGFFKRTIRKDLTYSCRD NKDCTVDKRQRNRCQYCRYQKCLATGMKREAVQEERQRGKDKDGDGDGAGGAPEEMPVDR ILEAELAVEQKSDQGVEGPGATGGGGSSPNDPVTNICQAADKQLFTLVEWAKRIPHFSSL PLDDQVILLRAGWNELLIASFSHRSIDVRDGILLATGLHVHRNSAHSAGVGAIFDRVLTE LVSKMRDMRMDKTELGCLRAIILFNPDAKGLSNPGEVEILREKVYASLETYCKQKYPEQQ GRFAKLLLRLPALRSIGLKCLEHLFFFKLIGDTPIDTFLMEMLEAPHQLA >ENSMUSP00000122249.1 pep:known chromosome:GRCm38:13:29191746:29855647:-1 gene:ENSMUSG00000006191.17 transcript:ENSMUST00000140278.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkal1 description:CDK5 regulatory subunit associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1921765] MPSASCDVLLDDIEDIISQEDSKPQDRQFSRKHVFPKVRRRNTQKYLQEEPRPPSDSTIP GIQKIWIRTWGCSHNNSDGEYMAGQLAAYGYKITENASDADLWLLNSCTVKNPAEDHFRN SIKKAQEENKKVVLAGCVPQAQPRQDYLKGLSIIGVQQIDRVVEVVEETIKGHSVRLLGQ KKDNGKRLGGARLDLPKIRKNPLIEIISINTGCLNACTYCKTKHARGNLASYPIDELVER AKQSFQEGVCEIWLTSEDTGAYGRDIGTDLPTLLWKLVEVIPEGAMLRLGMTNPPYILEH LEEMAKILNHPRVYAFLHIPVQSASDSVLMDMKREYCVADFKRVVDFLKEKVPGITIATD IICGFPGETDQDFQETVKLVEEYKFPSLFINQFYPRPGTPAAKAEQVPAHVKKQRTKDLS RVFHSYNPYDHKIGERQQVLVTEESFDSKFYVAHNRFYEQVLVPKNPAFMGKMVEVDIYE SGKHFLKGQPVSETRVYTPSISKPLAKGEVSGLTKALDYL >ENSMUSP00000006353.7 pep:known chromosome:GRCm38:13:29325301:29855674:-1 gene:ENSMUSG00000006191.17 transcript:ENSMUST00000006353.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkal1 description:CDK5 regulatory subunit associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1921765] MPSASCDVLLDDIEDIISQEDSKPQDRQFSRKHVFPKVRRRNTQKYLQEEPRPPSDSTIP GIQKIWIRTWGCSHNNSDGEYMAGQLAAYGYKITENASDADLWLLNSCTVKNPAEDHFRN SIKKAQEENKKVVLAGCVPQAQPRQDYLKGLSIIGVQQIDRVVEVVEETIKGHSVRLLGQ KKDNGKRLGGARLDLPKIRKNPLIEIISINTGCLNACTYCKTKHARGNLASYPIDELVER AKQSFQEGVCEIWLTSEDTGAYGRDIGTDLPTLLWKLVEVIPEGAMLRLGMTNPPYILEH LEEMAKILNHPRVYAFLHIPVQSASDSVLMDMKREYCVADFKRVVDFLKEKVPGITIATD IICGFPGETDQDFQETVKLVEEYKFPSLFINQFYPRPGTPAAKAEQVPAHVKKQRTKDLS RVFHSYNPYDHKIGERQQVLVTEESFDSKFYVAHNRFYEQVLVPKNPAFMGKMVEVDIYE SGKHFLKGQPVSETRVYTPSISKPLAKGEVSGLTKEFRNRLGNHPNGTSDTCPATQHGSA YSRMVLQMSQYDCALKVATGLALLALLLHFWPDSLLTM >ENSMUSP00000105952.2 pep:known chromosome:GRCm38:13:29402593:29474607:-1 gene:ENSMUSG00000006191.17 transcript:ENSMUST00000110323.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkal1 description:CDK5 regulatory subunit associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1921765] SKPLHQPVLSKTRNSCCKSRAGSSTCEKTKDKRSFSGVSFLQSIRSQDVWSAMSERNSYL APEFLIMFLDCIFTPSVALT >ENSMUSP00000121792.1 pep:known chromosome:GRCm38:13:29443776:29517454:-1 gene:ENSMUSG00000006191.17 transcript:ENSMUST00000154209.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkal1 description:CDK5 regulatory subunit associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1921765] XVADFKRVVDFLKEKVPGITIATDIICGFPGETDQDFQETVKLVEEYKFPSLFINQFYPR PGTPAAKAEQVPAHVKKQRTKDLSRVFHSYNPYDHKVREACNEYVWD >ENSMUSP00000117404.1 pep:known chromosome:GRCm38:13:29521837:29855639:-1 gene:ENSMUSG00000006191.17 transcript:ENSMUST00000137225.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkal1 description:CDK5 regulatory subunit associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1921765] MPSASCDVLLDDIEDIISQEDSKPQDRQFSRKHVFPKVRRRNTQKYLQEEPRPPSDSTIP GIQKIWIRTWGCSHNNSDGEYMAGQLAAYGYKITENASDADLWLLNSCTVKNPAEDHFRN SIKKAQEENKKVVLAGCVPQAQPRQDYLKGLSIIGVQQIDRVVEVVEETIKGHSVRLLGQ KKDNGKRLGGARLDLPKIRKNPLIEIISINTGIICGFL >ENSMUSP00000118815.1 pep:known chromosome:GRCm38:13:29777296:29855431:-1 gene:ENSMUSG00000006191.17 transcript:ENSMUST00000124532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkal1 description:CDK5 regulatory subunit associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1921765] MPSASCDVLLDDIEDIISQEDSKPQDRQFSRKHVFPKVRRRNTQKYLQEEPRPPSDSTIP GIQKIWIRTWGCSHNNSDGEYMAGQLAAYGYKITEN >ENSMUSP00000089262.5 pep:known chromosome:GRCm38:13:29802441:29855663:-1 gene:ENSMUSG00000006191.17 transcript:ENSMUST00000091674.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdkal1 description:CDK5 regulatory subunit associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1921765] MPSASCDVLLDDIEDIISQEDSKPQDRQFSRKHVFPKVRRRNTQKYLQEEPRPPSDSTIP GIQKIWIRTWGCSHNNSDGEYMAGQLAAYGYKITAQSGTESSLGAMELIHHPVRTVLHSV RCRVLKTPLILLVVWW >ENSMUSP00000120432.1 pep:known chromosome:GRCm38:13:29836995:29855665:-1 gene:ENSMUSG00000006191.17 transcript:ENSMUST00000129231.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdkal1 description:CDK5 regulatory subunit associated protein 1-like 1 [Source:MGI Symbol;Acc:MGI:1921765] MPSASCDVLLDDIEDIISQEDSKPQDRQFSRKHVFPKVRRRNTQKYLQEEPRPPSDSTIP GIQKIWIRTWGCSHNNSDGEYMAGQLAAYGYKITVFI >ENSMUSP00000099953.3 pep:known chromosome:GRCm38:4:58798911:58912749:-1 gene:ENSMUSG00000050812.18 transcript:ENSMUST00000102889.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI314180 description:expressed sequence AI314180 [Source:MGI Symbol;Acc:MGI:2140220] METGSDSDQLERVFLRLGHAETDEQLQNIISKFLPPVLLKLSSTQEGVRKKVMELLVHLN KRIKSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYVKMGYPRLPVEKQCELAPTLLTAM EGKPQPQQDSLMHLLIPTLFHMKYPAESSKSASPFNLAEKPKTVQLLLDFMLDVLLMPYG YVLNESQSRQNSSSSSQGSSSNSGGGSGIPQPPPGMSFYAAKRVIGDNPWTPEQLEQCKL GIVKFIEAEQVPELEAVLHLVIASSDTRHSVATAADLELKSKQSLIDWNNPAIINKMYKV YLGDIPLKTKEGAVLKPELKRDPVSTRVKLKIVPHLLRSRQAAETFPANIQVVYDGLFGT NTNSKLRTLSLQFVHHICLTCPEIKIKPLGPMLLNGLTKLINEYKEDPKLLSMAYSAVGK LSSRMPHLFTKDIALVQQLFEALCKEEPETRLAIQEALSMMVGAYSTLEGAQRTLMEALV ASYLIKPEVQVRQVAVKFASTVFPSDHIPSRYLLLLAAGDPREEVHGEAQRVLRCLPGRN KKESASKQMPSFPEMVYYIQEKASHRMKTPVKYMTGTTVLPFNPAAFGEIVLYLRMCLAH SAGVVPTSQSLADMQDHAPAIGRYIRALMSSSQATASSSNKSGETNPVQIYTGLLQQLLA GVGGLPVMYCLLEAVSVYPEKLATKFVDKTEWIKSLMSSSKEEMRELAALFYSVVVSTVS GIELKSMIEQLIKATKDNHSPEVQHGSLLALGFTVGRYLAKKRVRMAEQHDLETDADLLP EQEEIIRSATETIGSFLDSTSPLLAIAACTALGEIGRNGPLPIPSEGSGFTKLHLVESLL NRIPSSKETNKMKERAIQTLGYFPVGDGVFPHQKLLLQGLMDSVEAKQIELQFTIGEAIT SAAIGTNSVAARDAWLVTEEEYIPPAGAKVNDVVPWVLDVILNKHIISPNPHVRQAACIW LLSLVRKLSTHREVKSHLKEIQSAFVSVLSENDELSQDVASKGLGLVYELGNEQDQQELV STLVETLMTGKRVKHEVSGETVVFQGGGLGKTPDGQGLSTYKELCSLASDLSQPDLVYKF MNLANHHAMWNSRKGAAFGFNVIATRAGEQLAPFLPQLVPRLYRYQFDPNLGIRQAMTSI WNALVTDKSMVDKYLKEILQDLIKNLTSNMWRVRESSCLALNDLLRGRPLDDVIDKLPEM WETLFRVQDDIKESVRKAAELALKTLSKVCVKMCDPAKGAAGQRTIAVLLPCLLDKGMMS PVTEVRALSINTLVKISKSAGAMLKPHAPKLIPALLESLSVLEPQVLNYLSLRATEQEKD VMDSARLSAAKSSPMMETINMCLQYLDVSVLGELVPRLCELIRSGVGLGTKGGCASVIVS LTTQCPQDLTPYSGKLMSALLSGLTDRNSVIQKSCAFAMGHLVRTSRDSSTEKLLQKLNG WYMEKDEPVYKTSCALTIHAIGRYSPDVLKNHAKEVLPLAFLGMHEIADEEKSEKEECNM WTEVWQENVPGSFGGIRLYLQELITITQKALQSQSWKMKAQGAIAMASISKQTSSLVPPY LGMILSALMQGLAGRTWAGKEELLKAIACVVTACSTELEKSVPNQPTTNEILQAVLKECC KENLKYKIVAISCAADVLKATKEDRFQEFSDIVIPLIKKNSLESMGVRTTKAEDENEKER ELQLESLLGAFESLGKAWPRNPDTQRCYRQELCKLMCERLRLSTWKVQLGVLQSMNAFFQ GLMLLEEEHADPEALAEILLETCKSITYSLENKTYSSVRTEALSVVELLLKKLEEAKQWE SLTAECRGLLIESLATMETDNRPELQEKASVLKKTLESLE >ENSMUSP00000061113.8 pep:known chromosome:GRCm38:4:58860796:58912749:-1 gene:ENSMUSG00000050812.18 transcript:ENSMUST00000055822.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI314180 description:expressed sequence AI314180 [Source:MGI Symbol;Acc:MGI:2140220] METGSDSDQLERVFLRLGHAETDEQLQNIISKFLPPVLLKLSSTQEGVRKKVMELLVHLN KRIKSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYVKMGYPRLPVEKQCELAPTLLTAM EGKPQPQQDSLMHLLIPTLFHMKYPAESSKSASPFNLAEKPKTVQLLLDFMLDVLLMPYG YVLNESQSRQNSSSSSQGSSSNSGGGSGIPQPPPGMSFYAAKRVIGDNPWTPEQLEQCKL GIVKFIEAEQVPELEAVLHLVIASSDTRHSVATAADLELKSKQSLIDWNNPAIINKMYKV YLGDIPLKTKEGAVLKPELKRDPVSTRVKLKIVPHLLRSRQAAETFPANIQMISAHCCFW I >ENSMUSP00000118103.1 pep:known chromosome:GRCm38:4:58844151:58912704:-1 gene:ENSMUSG00000050812.18 transcript:ENSMUST00000144512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI314180 description:expressed sequence AI314180 [Source:MGI Symbol;Acc:MGI:2140220] MYHIDCRDQLERVFLRLGHAETDEQLQNIISKFLPPVLLKLSSTQEGVRKKVMELLVHLN KRIKSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYVKMGYPRLPVEKQCELAPTLLTAM EGKPQPQQDSLMHLLIPTLFHMKYPAESSKSASPFNLAEKPKTVQLLLDFMLDVLLMPYG YVLNESQSRQNSSSSSQGSSSNSGGGSGIPQPPPGMSFYAAKRVIGDNPWTPEQLEQCKL GIVKFIEAEQVPELEAVLHLVIASSDTRHSVATAADLELKSKQSLIDWNNPAIINKMYKV YLGDIPLKTKEGAVLKPELKRDPVSTRVKLKIVPHLLRSRQAAETFPANIQVVYDGLFGT NTNSKLRTLSLQFVHHICLTCPEIKIKPLGPMLLNGLTKLINEYKEDPKLLSMAYSAVGK LSSRMPHLFTKDIALVQQLFEALCKEEPETRLAIQEALSMMVGAYSTLEGAQRTLMEALV ASYLIKPEVQVRQVAVKFASTVFPSDHIPSRYLLLLAAGDPREEVHGEAQRVLRCLPG >ENSMUSP00000117585.1 pep:known chromosome:GRCm38:4:58811431:58911930:-1 gene:ENSMUSG00000050812.18 transcript:ENSMUST00000149301.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI314180 description:expressed sequence AI314180 [Source:MGI Symbol;Acc:MGI:2140220] MYHIDCRDQLERVFLRLGHAETDEQLQNIISKFLPPVLLKLSSTQEGVRKKVMELLVHLN KRIKSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYVKMGYPRLPVEKQCELAPTLLTAM EGKPQPQQDSLMHLLIPTLFHMKYPAESSKSASPFNLAEKPKTVQLLLDFMLDVLLMPYG YVLNESQSRQNSSSSSQGSSSNSGGGSGIPQPPPGMSFYAAKRVIGDNPWTPEQLEQCKL GIVKFIEAEQVPELEAVLHLVIASSDTRHSVATAADLELKSKQSLIDWNNPAIINKMYKV YLGDIPLKTKEGAVLKPELKRDPVSTRVKLKIVPHLLRSRQAAETFPANIQVVYDGLFGT NTNSKLRTLSLQFVHHICLTCPEIKIKPLGPMLLNGLTKLINEYKEDPKLLSMAYSAVGK LSSRMPHLFTKDIALVQQLFEALCKEEPETRLAIQEALSMMVGAYSTLEGAQRTLMEALV ASYLIKPEVQVRQVAVKFASTVFPSDHIPSRYLLLLAAGDPREEVHGEAQRVLRCLPGRN KKESASKQMPSFPEMVYYIQEKASHRMKTPVKYMTGTTVLPFNPAAFGEIVLYLRMCLAH SAGVVPTSQSLADMQDHAPAIGRYIRALMSSSQATASSSNKSGETNPVQIYTGLLQQLLA GVGGLPVMYCLLEAVSVYPEKLATKFVDKTEWIKSLMSSSKEEMRELAALFYSVVVSTVS GIELKSMIEQLIKATKDNHSPEVQHGSLLALGFTVGRYLAKKRVRMAEQHDLETDADLLP EQEEIIRSATETIGSFLDSTSPLLAIAACTALGEIGRNGPLPIPSEGSGFTKLHLVESLL NRIPSSKETNKMKERAIQTLGYFPVGDGVFPHQKLLLQGLMDSVEAKQIELQFTIGEAIT SAAIGTNSVAARDAWLVTEEEYIPPAGAKVNDVVPWVLDVILNKHIISPNPHVRQAACIW LLSLVRKLSTHREVKSHLKEIQSAFVSVLSENDELSQDVASKGLGLVYELGNEQDQQELV STLVETLMTGKRVKHEVSGETVVFQGGGLGKTPDGQGLSTYKELCSLASDLSQPDLVYKF MNLANHHAMWNSRKGAAFGFNVIATRAGEQLAPFLPQLVPRLYRYQFDPNLGIRQAMTSI WNALVTDKSMVDKYLKEILQDLIKNLTSNMWRVRESSCLALNDLLRGRPLDDVIDKLPEM WETLFRVQDDIKESVRKAAELALKTLSKVCVKMCDPAKGAAGQRTIAVLLPCLLDKGMMS PVTEVRALSINTLVKISKSAGAMLKPHAPKLIPALLESLSVLEPQVLNYLSLRATEQEKD VMDSARLSAAKSSPMMETINMCLQYLDVSVLGELVPRLCELIRSGVGLGTKGGCASVIVS LTTQCPQDLTPYSGKLMSALLSGLTDRNSVIQKSCAFAMGHLVRTSRDSSTEKLLQKLNG WYMEKDEPVYKTSCALTIHAIGRYSPDVLKNHAKEVLPLAFLGMHEIADE >ENSMUSP00000103182.2 pep:known chromosome:GRCm38:4:58830554:58911927:-1 gene:ENSMUSG00000050812.18 transcript:ENSMUST00000107557.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI314180 description:expressed sequence AI314180 [Source:MGI Symbol;Acc:MGI:2140220] MYHIDCRDQLERVFLRLGHAETDEQLQNIISKFLPPVLLKLSSTQEGVRKKVMELLVHLN KRIKSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYVKMGYPRLPVEKQCELAPTLLTAM EGKPQPQQDSLMHLLIPTLFHMKYPAESSKSASPFNLAEKPKTVQLLLDFMLDVLLMPYG YVLNESQSRQNSSSSSQGSSSNSGGGSGIPQPPPGMSFYAAKRVIGDNPWTPEQLEQCKL GIVKFIEAEQVPELEAVLHLVIASSDTRHSVATAADLELKSKQSLIDWNNPAIINKMYKV YLGDIPLKTKEGAVLKPELKRDPVSTRVKLKIVPHLLRSRQAAETFPANIQVVYDGLFGT NTNSKLRTLSLQFVHHICLTCPEIKIKPLGPMLLNGLTKLINEYKEDPKLLSMAYSAVGK LSSRMPHLFTKDIALVQQLFEALCKEEPETRLAIQEALSMMVGAYSTLEGAQRTLMEALV ASYLIKPEVQVRQVAVKFASTVFPSDHIPSRYLLLLAAGDPREEVHGEAQRVLRCLPGRN KKESASKQMPSFPEMVYYIQEKASHRMKTPVKYMTGTTVLPFNPAAFGEIVLYLRMCLAH SAGVVPTSQSLADMQDHAPAIGRYIRALMSSSQATASSSNKSGETNPVQIYTGLLQQLLA GVGGLPVMYCLLEAVSVYPEKLATKFVDKTEWIKSLMSSSKEEMRELAALFYSVVVSTVS GIELKSMIEQLIKATKDNHSPEVQHGSLLALGFTVGRYLAKKRVRMAEQHDLETDADLLP EQEEIIRSATETIGSFLDSTSPLLAIAACTALGEIGRNGPLPIPSEGSGFTKLHLVESLL NRIPSSKETNKMKERAIQTLGYFPVGDGVFPHQKLLLQGLMDSVEVLIFLIIQIKCIFSC FAYYQILDVCYWEVINLLSVSVLSPACSANRYQKWALDPLKL >ENSMUSP00000114715.1 pep:known chromosome:GRCm38:4:58869539:58911902:-1 gene:ENSMUSG00000050812.18 transcript:ENSMUST00000134848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI314180 description:expressed sequence AI314180 [Source:MGI Symbol;Acc:MGI:2140220] AAAASASQDELNQLERVFLRLGHAETDEQLQNIISKFLPPVLLKLSSTQEGVRKKVMELL VHLNKRIKSRPKIQLPVETLLVQYQDPAAVSFVTNFTIIYVKMGYPRLPVEKQCELAPTL LTAMEGKPQPQQDSLMHLLIPTLFHMKYPAESSKSASPFNLAEKPKTVQLLLDFMLDVLL MPYGYVLNESQSRQNSSSSSQGSSSNSGGGSGIPQPPPGMSFYAAKRVIGDNPWTPEQLE QCKLGI >ENSMUSP00000045613.5 pep:known chromosome:GRCm38:11:55158470:55185077:-1 gene:ENSMUSG00000020264.5 transcript:ENSMUST00000039305.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc36a2 description:solute carrier family 36 (proton/amino acid symporter), member 2 [Source:MGI Symbol;Acc:MGI:1891430] MSVTKSARSPQVATPLNLDLPESAKKLQSQDPSPANGSSSESSKKTKGITGFQTLVHLVK GNMGTGILGLPLAVKNAGILMGPLSLLVMGLIACHCMHILVRCAQRFCHRLNKPFMDYGD TVMHGLAFSPNAWLQNHAHWGRRVVSFFLIVTQLGFCCVYIVFLADNLKQVVEAVNSTTI SCHKNETVVLTPTMDSRLYMLSFLPVLGLLVFVRNLRVLTIFSLLANISMLVSLVIIAQY IIQEIPDASQLPLVASWKTYPLFFGTAIFSFESIGVVLPLENKMKDARGFPTILSLGMSI ITTLYIAIGALGYLRFGDDIKASITLNLPNCWLYQSVKLLYVVGILCTYALQFYVPAEII IPLAVSQVSKRWALPVDLSIRLALVCLTCMLAILIPRLDLVLSLVGSVSSSALALIIPPL LEVVTYYGEGISPLTVTKDALISILGFMGFVVGTYQALDELIKSGNSPALSNSTMFIQ >ENSMUSP00000145470.1 pep:known chromosome:GRCm38:2:25234476:25236787:-1 gene:ENSMUSG00000089953.3 transcript:ENSMUST00000091318.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf224 description:ring finger protein 224 [Source:MGI Symbol;Acc:MGI:2685603] MLQPEGLYALEEGTALTASRNDCIICYSAYDLSVHLPRRLYCGHTFCQACMQRLDMPAHE QHWIPCPQCRQSTPVPRGGVTMLDLDLAAFLAVKAEREPSKIEPRSSVPLKISTTITQQP AGLYPTLGPQPHFPQPGCCCWGWGRLCWYPPGNPEV >ENSMUSP00000145127.1 pep:known chromosome:GRCm38:2:25234983:25236689:-1 gene:ENSMUSG00000089953.3 transcript:ENSMUST00000205192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf224 description:ring finger protein 224 [Source:MGI Symbol;Acc:MGI:2685603] MLQPEGLYALEEGTALTASRNDCIICYSAYDLSVHLPRRLYCGHTFCQACMQRLDMPAHE QHWIPCPQCRQSTPVPRGGVTMLDLDLAAFLAVKAEREPSKIEPRSSVPLKISTTITQQP AGLYPTLGPQPHFPQPGCCCWGWGRLCWYPPGNPEV >ENSMUSP00000033715.4 pep:known chromosome:GRCm38:X:72918557:72958514:1 gene:ENSMUSG00000031349.4 transcript:ENSMUST00000033715.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsdhl description:NAD(P) dependent steroid dehydrogenase-like [Source:MGI Symbol;Acc:MGI:1099438] MEQAVHGESKRGQVTGTHLTNDISKAKKCTVIGGSGFLGQHMVEQLLERGYTVNVFDIHQ GFDNPRVQFFIGDLCNQQDLYPALKGVSTVFHCASPPPYSNNKELFYRVNFIGTKTVIET CREAGVQKLILTSSASVVFEGVDIKNGTEDLPYAMKPIDYYTETKILQERAVLDANDPKK NFLTAAIRPHGIFGPRDPQLVPILIDAARKGKMKFMIGNGENLVDFTFVENVVHGHILAA EHLSQDAALGGKAFHITNDEPIPFWTFLSRILTGLNYEAPKYHIPYWMAYYLAFLLSLLV MVVSPLIQIQPTFTPIRVALAGTFHYYSCEKAKKLFGYRPLVTMDEAVERTVQSFHHLRK DK >ENSMUSP00000058237.8 pep:known chromosome:GRCm38:3:90511034:90514392:-1 gene:ENSMUSG00000044080.9 transcript:ENSMUST00000060738.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a1 description:S100 calcium binding protein A1 [Source:MGI Symbol;Acc:MGI:1338917] MGSELESAMETLINVFHAHSGKEGDKYKLSKKELKDLLQTELSGFLDVQKDADAVDKVMK ELDENGDGEVDFKEYVVLVAALTVACNNFFWETS >ENSMUSP00000102963.1 pep:known chromosome:GRCm38:3:90511037:90514278:-1 gene:ENSMUSG00000044080.9 transcript:ENSMUST00000107340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a1 description:S100 calcium binding protein A1 [Source:MGI Symbol;Acc:MGI:1338917] MGSELESAMETLINVFHAHSGKEGDKYKLSKKELKDLLQTELSGFLDVSTGLRSRRMQML WTR >ENSMUSP00000145674.1 pep:known chromosome:GRCm38:7:24709242:24713523:1 gene:ENSMUSG00000045587.9 transcript:ENSMUST00000206826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC049730 description:cDNA sequence BC049730 [Source:MGI Symbol;Acc:MGI:2681843] MGACRIQYVLLLSLLGFCPCSDTLNCQEGSVMKFGQNFSRTSIEWIVNQTVGSEKNKGEM CQEIFLLIDVGEKSLILGTKGITTVPGKRKKTDVFSPGPGIVSASYVHFCDTDLCNNA >ENSMUSP00000053275.7 pep:known chromosome:GRCm38:7:24709259:24714535:1 gene:ENSMUSG00000045587.9 transcript:ENSMUST00000051714.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC049730 description:cDNA sequence BC049730 [Source:MGI Symbol;Acc:MGI:2681843] MGACRIQYVLLLSLLGFCPCSDTLNCQEGSVMKFGQNFSRTSIEWIVNQTVGSEKNKGEM CQEIFLLIDVGEKSLILGTKGITTVPGKRKKTDVFSPGPGIVSASYVHFCDTDLCNNASS TKVLLDLLKLSEYNEPGTTKCPVCLDFKGSCNTESNHTLCPKDTKCYAASLGVHGGDLSA IFSISGCLNSSHTYLLNNQNTIGIISIKETLESNVTLSSQGLLVPSILLTWMFGLLALCL QRSVLC >ENSMUSP00000074737.6 pep:known chromosome:GRCm38:11:72047528:72135778:-1 gene:ENSMUSG00000040543.16 transcript:ENSMUST00000075258.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm3 description:PITPNM family member 3 [Source:MGI Symbol;Acc:MGI:2685726] MAKAGSAGGPSPGGGAPWHLRNVLSDSVESSDDEFFDAREEVAEGKNAILIGMSQWSSND LVEQIETIGKLDERQGDGATACTSSILQEKQRELYRVSLRRQRFPAQGSIEIHEDGEEGC SQRSCKTHVLLLVLHGGNVLDTGSGDPSCKAADIHTFSSVLEKVMRAHFPAALGHILIKF VPCPAICSEAFSLVSNLNPYSHDEGCLGTSQDHVPLAALPLLAISSPQYQDAVATVIERA NHIYGEFLKSSDGIGFNGQVCLIGDCVGGLLAFDAICYSAGPSGDSPGSSSRKGSISSTQ DTPVVVEEDCSLASSKRLSKSNVDVSSGVEDEDPKRPLPRKQSDSSTYDCEAITQHHAFL SSIHSSVLKDEAEAPAAGTPQLSEVSLGRFDFDVSDFFLFGSPLGLVLAMRRTVLPGIDG FQMRPACSQVYSFFHCADPSASRLEPLLEPKFHLVPPVSVPRYQRFPLGDGQSLLLADAL HTHSPLFLEGSSRGSPPLLDAPASPPQAPRFQRTERRLSKGSSHSDSSESSDSLAPMGAS RITAKWWGTKRIDYALYCPDVLTAFPTVALPHLFHASYWESTDVVAFILRQVMRYESASV KESTGLDPTALSPANPREKWLRKRTQVKLRNVTANHRANDVIAAEDGPQVLVGRFMYGPL DMVALTGEKVDILVMTEPSSGRWVHLDTEITNNSGRITYNVPRPRRLGVGVYPVKMVVRG DQTCAMSYLTVLPRGMECVVFSIDGSFAASVSIMGSDPKVRPGAVDVVRHWQDLGYMILY ITGRPDMQKQRVVSWLSQHNFPQGMIFFSDGLVHDPLRQKAIFLRNLMQECFIKITAAYG STKDISVYSVLGLPASQIFIVGRSTKKYQTQCQFLSEGYAAHLAALEASHRSRPKKNNSR MILRKGSFGLHAQPEFLRKRNHLRRTMSVQQPDPPAANPKPERAQSQPESDKDHERPLPA LSWARGPPKFESVP >ENSMUSP00000104148.2 pep:known chromosome:GRCm38:11:72047528:72135721:-1 gene:ENSMUSG00000040543.16 transcript:ENSMUST00000108508.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm3 description:PITPNM family member 3 [Source:MGI Symbol;Acc:MGI:2685726] MAKAGSAGGPSPGGGAPWHLRNVLSDSVESSDDEFFDAREEVAEGKNAILIGMSQWSSND LVEQIETIGKLDERQGELYRVSLRRQRFPAQGSIEIHEDGEEGCSQRSCKTHVLLLVLHG GNVLDTGSGDPSCKAADIHTFSSVLEKVMRAHFPAALGHILIKFVPCPAICSEAFSLVSN LNPYSHDEGCLGTSQDHVPLAALPLLAISSPQYQDAVATVIERANHIYGEFLKSSDGIGF NGQVCLIGDCVGGLLAFDAICYSAGPSGDSPGSSSRKGSISSTQDTPVVVEEDCSLASSK RLSKSNVDVSSGVEDEDPKRPLPRKQSDSSTYDCEAITQHHAFLSSIHSSVLKDEAEAPA AGTPQLSEVSLGRFDFDVSDFFLFGSPLGLVLAMRRTVLPGIDGFQMRPACSQVYSFFHC ADPSASRLEPLLEPKFHLVPPVSVPRYQRFPLGDGQSLLLADALHTHSPLFLEGSSRGSP PLLDAPASPPQAPRFQRTERRLSKGSSHSDSSESSDSLAPMGASRITAKWWGTKRIDYAL YCPDVLTAFPTVALPHLFHASYWESTDVVAFILRQVMRYESASVKESTGLDPTALSPANP REKWLRKRTQVKLRNVTANHRANDVIAAEDGPQVLVGRFMYGPLDMVALTGEKVDILVMT EPSSGRWVHLDTEITNNSGRITYNVPRPRRLGVGVYPVKMVVRGDQTCAMSYLTVLPRGM ECVVFSIDGSFAASVSIMGSDPKVRPGAVDVVRHWQDLGYMILYITGRPDMQKQRVVSWL SQHNFPQGMIFFSDGLVHDPLRQKAIFLRNLMQECFIKITAAYGSTKDISVYSVLGLPAS QIFIVGRSTKKYQTQCQFLSEGYAAHLAALEASHRSRPKKNNSRMILRKGSFGLHAQPEF LRKRNHLRRTMSVQQPDPPAANPKPERAQSQPESDKDHERPLPALSWARGPPKFESVP >ENSMUSP00000139805.1 pep:known chromosome:GRCm38:19:11273866:11283813:-1 gene:ENSMUSG00000054523.13 transcript:ENSMUST00000186937.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a5 description:membrane-spanning 4-domains, subfamily A, member 5 [Source:MGI Symbol;Acc:MGI:2670985] MDSKNVHSPLFLVFPPEVTTQEYQTTELTATAYNPQNRVQKIIIRKLEILGFINSGAFLI ALKRKTTDTLIKMSRAMNLLSALGAAAGIILLIFGFLLDGEFICGYSPDGMQCGVITILF IGILVMLMIFSIVELFISLFSSVLGCYTEGRGCC >ENSMUSP00000066960.6 pep:known chromosome:GRCm38:19:11273866:11283873:-1 gene:ENSMUSG00000054523.13 transcript:ENSMUST00000067673.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a5 description:membrane-spanning 4-domains, subfamily A, member 5 [Source:MGI Symbol;Acc:MGI:2670985] MDSKNVHSPLFLVFPPEVTTQEYQTTELTATAYNPQNRVQKIIIRKLEILGTTQILFGIM NFSFGVIFLFTLVNPYPRFPFIFISGYPFWGSVLFINSGAFLIALKRKTTDTLIKMSRAM NLLSALGAAAGIILLIFGFLLDGEFICGYSPDGMQCGVITILFIGILVMLMIFSIVELFI SLFSSVLGCYTEGRGCC >ENSMUSP00000139493.1 pep:known chromosome:GRCm38:19:11276737:11283860:-1 gene:ENSMUSG00000054523.13 transcript:ENSMUST00000188267.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ms4a5 description:membrane-spanning 4-domains, subfamily A, member 5 [Source:MGI Symbol;Acc:MGI:2670985] MDSKNVHSPLFLVFPPEVTTQEYQTTELTATAYNPQNRVQKIIIRKLEILGTTQILFGIM NFSFGVIFLFTLVNPYPRFPFIFISGYPFWGSVLNKLVGL >ENSMUSP00000138923.1 pep:known chromosome:GRCm38:4:63662359:63662910:-1 gene:ENSMUSG00000099294.1 transcript:ENSMUST00000184252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11214 description:predicted gene 11214 [Source:MGI Symbol;Acc:MGI:3651045] LLSLPRHLAKVIHDNFGIVEGLMTMAHAITATQKTVDGPSGKLWCDGCGTAQNIIPAPTG AAKAVGKVIPEPKGKLTGMAFHFPTPNVSVVDLTCYLEKPAMYDYIKKVMKQASEGPLKG ILGYTEDQVVSCNFNSNSHSSTFDARAGIALNDNFVKLISWYDNEYSYSNKVVDLMAYMA SKE >ENSMUSP00000025060.2 pep:known chromosome:GRCm38:17:28530860:28538954:1 gene:ENSMUSG00000024223.2 transcript:ENSMUST00000025060.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc12 description:armadillo repeat containing 12 [Source:MGI Symbol;Acc:MGI:1914895] MGKTIPRFLEQLDLIKSFVGLATGAGALYLLYKAVRTGLKCHPPLCSNSPICIARLAIER ERHGRDSGEIRRLLNSLDCKQDEYTRSMILHNITRCVYLLEAEASSCTMDDIDLVADMLD EKDNSVKIQALNALKAFSGIRKFRLKIQEHCIKVLELISTIWDLELHVAGLRLLNNLPLP DYVHPQLRRVMPALMEIIQSDCILAQVQAVRLLSYLAQKNDLLYDILNCQVRPNFLNLFQ SSQPGSLLFEVLVFAEHLSEGRNATHYRAVKWHYNEQSLHEALFGDESRLADRLLSLVIH PEEEVQIQACKVIVSLQCPQDLGSRPSSCRPSHSCFKTGK >ENSMUSP00000126529.1 pep:known chromosome:GRCm38:17:29827956:29888629:-1 gene:ENSMUSG00000043557.15 transcript:ENSMUST00000171691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdga1 description:MAM domain containing glycosylphosphatidylinositol anchor 1 [Source:MGI Symbol;Acc:MGI:1922012] MEVTCLLLLALIPFHCRGQGVYAPAQAQIVHAGQACVVKEDNISERVYTIRESDTLVLQC LVTGHPRPQVRWTKTAGSASDKFQETSVFNETLRIERIARTQGGRYYCKAENGVGVPAIK SIRVDVQYLDEPVLTVHQTVSDVRGNFYQEKTVFLRCTVSSNPPARFIWKRGSDTLSHSQ DNGVDIYEPLYTQGETKVLKLKNLRPQDYASYTCQVSVRNVCGIPDKAITFQLTNTTAPP ALKLSVNETLVVNPGENVTVQCLLTGGDPLPQLHWSHGPGPLPLGALAQGGTLSIPSVQA RDSGYYNCTATNNVGNPAKKTVNLLVRSLKNATFQITPDMIKESENIQLGQDLKLSCHVD AVPQEKVNYQWFKNGKPARTSKRLLVTRNDPELPAVTSSLELIDLHFSDYGTYLCMASFP GSPVPDLSIEVNISSETVPPTISVPKGRAVVTVREGSPAELQCEVRGKPRPPVLWSRVDK EAALLPSGLALEETPDGKLRLESVSRDMSGTYRCQTARYNGFNVRPREAQVQLTVHFPPE VEPSSQDVRQALGRPVLLRCSLLRGSPQRIASAVWRFKGQLLPPPPVLPAAAVETPDHAE LRLDALTRDSSGNYECSVSNDVGSATCLFQVSAKAYSPEFYFDTPNPTRSHKLSKNYSYV LQWTQREPDAVDPVLNYRLSIRQLNQHNAMVKAIPVRRVEKGQLLEYILTDLRVPHSYEI RLTPYTTFGAGDMASRIIHYTEPINLPSLSDNTCHFEDEKICGYTQDLTDNFDWTRQNAL TQNPKRSPNTGPPTDISGTPEGYYMFIETSRPRELGDRARLVSPLYNASAKFYCVSFFYH MYGKHIGSLNLLVRSRNKGTLDTHAWSLSGNKGNVWQQAHVPINPSGPFQIIFEGVRGSG YLGDIAIDDVTLKKGECPRRQMDPNKVVVMPGSGAPRLSSLQLWGSMAIFLLALQR >ENSMUSP00000126571.1 pep:known chromosome:GRCm38:17:29832283:29839910:-1 gene:ENSMUSG00000043557.15 transcript:ENSMUST00000168044.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdga1 description:MAM domain containing glycosylphosphatidylinositol anchor 1 [Source:MGI Symbol;Acc:MGI:1922012] ILTDLRVPHSYEIRLTPYTTFGAGDMASRIIHYTEPINLPSLSDNTCHFEDEKICGYTQD LTDNFDWTRQNALTQNPKRSPNTGPPTDISGTPEGYYMFIETSRPRELGDRARLVSPLYN ASAKFYCVSFFYHMYGKHIGSLNLLVRSRNKGTLDTHAWSLSGNKGNVWQQAHVPINPSG PFQMMSH >ENSMUSP00000130395.1 pep:known chromosome:GRCm38:17:29842119:29970087:-1 gene:ENSMUSG00000043557.15 transcript:ENSMUST00000167190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdga1 description:MAM domain containing glycosylphosphatidylinositol anchor 1 [Source:MGI Symbol;Acc:MGI:1922012] MVLDGEEPGRKTELKGGMQDNLQVWEDQSLVTVGWLDLDAQASSLHPGEDALRGRVIAYT VQIVECNKTGSMGSPKSRPPFTHGSFAGARAGPVGPLRFAKCTSCSNAEEIFAAVKPCAL RKAIALNRPLTAVPTAGFAENGTVTQGPISAFHLYLHFRDLWGIGDLGFTVLENPKDCSV AELSGPGSWLLRPVRRGFKVWGSAPCVSTRAAALWEEDRAESRLAQTPSLGRRPLRRDSG KRRERRGQSGAPADAPPAASQAAPPRGDEALAVKMEVTCLLLLALIPFHCRGQGVYAPAQ AQIVHAGQACVVKEDNISERVYTIRESDTLVLQCLVTGHPRPQVRWTKTAGSASDKFQET SVFNETLRIERIARTQGGRYYCKAENGVGVPAIKSIRVDVQYLDEPVLTVHQTVSDVRGN FYQEKTVFLRCTVSSNPPARFIWKRGSDTLSHSQDNGVDIYEPLYTQGETKVLKLKNLRP QDYASYTCQVSVRNVCGIPDKAITFQLTNTTAPPALKLSVNETLVVNPGENVTVQCLLTG GDPLPQLHWSHGPGPLPLGALAQGGTLSIPSVQARDSGYYNCTATNNVGNPAKKTVNLLV RSLKNATFQITPDMIKESENIQLGQDLKLSCHVDAVPQEKVNYQWFKNGKPARTSKRLLV TRNDPELPAVTSSLELIDLHFSDYGTYLCMASFPGSPVPDLSIEVNISSETVPPTISVPK GRAVVTVREGSPAELQCEVRGKPRPPVLWSRVDKEAALLPSGLALEETPDGKLRLESVSR DMSGTYRCQTARYNGFNVRPREAQVQLTVHFPPEVEPSSQDVRQALGRPVLLRCSLLRGS PQRIASAVWRFKGQLLPPPPVLPAAAVETPDHAELRLDALTRDSSGNYECSVSNDVGSAT CLFQVS >ENSMUSP00000132583.1 pep:known chromosome:GRCm38:17:29849683:29887482:-1 gene:ENSMUSG00000043557.15 transcript:ENSMUST00000165211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdga1 description:MAM domain containing glycosylphosphatidylinositol anchor 1 [Source:MGI Symbol;Acc:MGI:1922012] LSPSTVGDKESMSSLSSSVLSEAPAQAQIVHAGQACVVKEDNISERVYTIRESDTLVLQC LVTGHPRPQVRWTKTAGSASDKFQETSVFNETLRIERIARTQGGRYYCKAENGVGVPAIK SIRVDVQYLDEPVLTVHQTVSDVRGNFYQEKTVFLRCTVSSNPPARFIWKRGSDTLSHSQ DNGVDIYEPLYTQGETKVLKLKNLRPQDYASYTCQVSVRNVCG >ENSMUSP00000073246.5 pep:known chromosome:GRCm38:17:29827958:29887882:-1 gene:ENSMUSG00000043557.15 transcript:ENSMUST00000073556.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdga1 description:MAM domain containing glycosylphosphatidylinositol anchor 1 [Source:MGI Symbol;Acc:MGI:1922012] MEVTCLLLLALIPFHCRGQGVYAPAQAQIVHAGQACVVKEDNISERVYTIRESDTLVLQC LVTGHPRPQVRWTKTAGSASDKFQETSVFNETLRIERIARTQGGRYYCKAENGVGVPAIK SIRVDVQYLDEPVLTVHQTVSDVRGNFYQEKTVFLRCTVSSNPPARFIWKRGSDTLSHSQ DNGVDIYEPLYTQGETKVLKLKNLRPQDYASYTCQVSVRNVCGIPDKAITFQLTNTTAPP ALKLSVNETLVVNPGENVTVQCLLTGGDPLPQLHWSHGPGPLPLGALAQGGTLSIPSVQA RDSGYYNCTATNNVGNPAKKTVNLLVRSLKNATFQITPDMIKESENIQLGQDLKLSCHVD AVPQEKVNYQWFKNGKPARTSKRLLVTRNDPELPAVTSSLELIDLHFSDYGTYLCMASFP GSPVPDLSIEVNISSETVPPTISVPKGRAVVTVREGSPAELQCEVRGKPRPPVLWSRVDK EAALLPSGLALEETPDGKLRLESVSRDMSGTYRCQTARYNGFNVRPREAQVQLTVHFPPE VEPSSQDVRQALGRPVLLRCSLLRGSPQRIASAVWRFKGQLLPPPPVLPAAAVETPDHAE LRLDALTRDSSGNYECSVSNDVGSATCLFQVSAKAYSPEFYFDTPNPTRSHKLSKNYSYV LQWTQREPDAVDPVLNYRLSIRQLNQHNAMVKAIPVRRVEKGQLLEYILTDLRVPHSYEI RLTPYTTFGAGDMASRIIHYTEHNTCHFEDEKICGYTQDLTDNFDWTRQNALTQNPKRSP NTGPPTDISGTPEGYYMFIETSRPRELGDRARLVSPLYNASAKFYCVSFFYHMYGKHIGS LNLLVRSRNKGTLDTHAWSLSGNKGNVWQQAHVPINPSGPFQIIFEGVRGSGYLGDIAID DVTLKKGECPRRQMDPNKVVVMPGSGAPRLSSLQLWGSMAIFLLALQR >ENSMUSP00000126422.1 pep:known chromosome:GRCm38:19:11249675:11266241:-1 gene:ENSMUSG00000024673.9 transcript:ENSMUST00000169159.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a1 description:membrane-spanning 4-domains, subfamily A, member 1 [Source:MGI Symbol;Acc:MGI:88321] MSGPFPAEPTKGPLAMQPAPKVNLKRTSSLVGPTQSFFMRESKALGAVQIMNGLFHITLG GLLMIPTGVFAPICLSVWYPLWGGIMYIISGSLLAAAAEKTSRKSLVKAKVIMSSLSLFA AISGIILSIMDILNMTLSHFLKMRRLELIQTSKPYVDIYDCEPSNSSEKNSPSTQYCNSI QSVFLGILSAMLISAFFQKLVTAGIVENEWKRMCTRSKSNVVLLSAGEKNEQTIKMKEEI IELSGVSSQPKNEEEIEIIPVQEEEEEEAEINFPAPPQEQESLPVENEIAP >ENSMUSP00000130075.1 pep:known chromosome:GRCm38:3:88410498:88425107:-1 gene:ENSMUSG00000050144.13 transcript:ENSMUST00000168755.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a44 description:solute carrier family 25, member 44 [Source:MGI Symbol;Acc:MGI:2444391] MPGDSELAVQEPDRRSPGTMEDKRNIQIIEWEHLDKKKFYVFGVAMTMMIRVSVYPFTLI RTRLQVQKGKSLYHGTFDAFVKILRADGVAGLYRGFLVNTFTLISGQCYVTTYELTRKFV ADYSQSNTVKSLVAGGSASLVAQSITVPIDVVSQHLMMQRKGEKMGRFQVHGNLEGQGVI AFGQTKDIIRQILRADGLRGFYRGYVASLLTYIPNSAVWWPFYHFYAG >ENSMUSP00000141465.1 pep:known chromosome:GRCm38:3:88410498:88425108:-1 gene:ENSMUSG00000050144.13 transcript:ENSMUST00000193433.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a44 description:solute carrier family 25, member 44 [Source:MGI Symbol;Acc:MGI:2444391] MEDKRNIQIIEWEHLDKKKFYVFGVAMTMMIRVSVYPFTLIRTRLQVQKGKSLYHGTFDA FVKILRADGVAGLYRGFLVNTFTLISGQCYVTTYELTRKFVADYSQSNTVKSLVAGGSAS LVAQSITVPIDVVSQHLMMQRKGEKMGRFQVHGNLEGQGVIAFGQTKDIIRQILRADGLR GFYRGYVASLLTYIPNSAVWWPFYHFYAEQLSRLCPQECPHIVFQAISGPLAAATASILT NPMDVIRTRVQVEGKSSIVLTFRQLMAEEGPWGLMKGLSARIISATPSTIVIVVGYESLK KLSLRPELVDSRHW >ENSMUSP00000141780.1 pep:known chromosome:GRCm38:3:88410499:88425112:-1 gene:ENSMUSG00000050144.13 transcript:ENSMUST00000195657.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a44 description:solute carrier family 25, member 44 [Source:MGI Symbol;Acc:MGI:2444391] MEDKRNIQIIEWEHLDKKKFYVFGVAMTMMIRVSVYPFTLIRTRLQVQKGKSLYHGTFDA FVKILRADGVAGLYRGFLVNTFTLISGQCYVTTYELTRKFVADYSQSNTVKSLVAGGSAS LVAQSITVPIDVVSQHLMMQRKGEKMGRFQVHGNLEGQGVIAFGQTKDIIRQILRADGLR GFYRGYVASLLTYIPNSAVWWPFYHFYAEQLSRLCPQECPHIVFQAISGPLAAATASILT NPMDVIRTRVQVEGKSSIVLTFRQLMAEEGPWGLMKGLSARIISATPSTIVIVVGYESLK KLSLRPELVDSRHW >ENSMUSP00000057871.6 pep:known chromosome:GRCm38:3:88410499:88425139:-1 gene:ENSMUSG00000050144.13 transcript:ENSMUST00000057935.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a44 description:solute carrier family 25, member 44 [Source:MGI Symbol;Acc:MGI:2444391] MPGDSELAVQEPDRRSPGTMEDKRNIQIIEWEHLDKKKFYVFGVAMTMMIRVSVYPFTLI RTRLQVQKGKSLYHGTFDAFVKILRADGVAGLYRGFLVNTFTLISGQCYVTTYELTRKFV ADYSQSNTVKSLVAGGSASLVAQSITVPIDVVSQHLMMQRKGEKMGRFQVHGNLEGQGVI AFGQTKDIIRQILRADGLRGFYRGYVASLLTYIPNSAVWWPFYHFYAEQLSRLCPQECPH IVFQAISGPLAAATASILTNPMDVIRTRVQVEGKSSIVLTFRQLMAEEGPWGLMKGLSAR IISATPSTIVIVVGYESLKKLSLRPELVDSRHW >ENSMUSP00000079621.3 pep:known chromosome:GRCm38:19:60837277:60861427:-1 gene:ENSMUSG00000063698.9 transcript:ENSMUST00000080806.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sfxn4 description:sideroflexin 4 [Source:MGI Symbol;Acc:MGI:2137680] MEPNLQFWISERQAFFRRFCQWMDLLDPVNMFISIGSIEKSRQLLFTTEDAPKHYLDNQV IKDAWNKSLSTVHPDSSKLIPHLFRPAGIADGNSGSHSFKRILERGWEVT >ENSMUSP00000118743.1 pep:known chromosome:GRCm38:19:60838748:60861479:-1 gene:ENSMUSG00000063698.9 transcript:ENSMUST00000135808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfxn4 description:sideroflexin 4 [Source:MGI Symbol;Acc:MGI:2137680] MEPNLQFWISERQAFFRRFCQWMDLLDPVNMFISIGSIEKSRQLLFTTEDAPKHYLDNQV IKDAWNKSLSTVHPDSSKLIPHLFRPAAFLPVTAPMVFLLMMPDTGIKSIILTQGCLYGY TTAFNITNGNASYSHGPVERTLLGAGVSVSSTFIGLIPHLFQMKYPPNNFWLKRTLPIVF LAQVSGMNVFASRSFENHRGIEVMDKEGHVVGHSRKAGRKAIKDTAKSRAVLFGTSALAP ELFIHIFKRTRFYPQTLLSLVILRMSSTFFMMGLMVPVSFSMFPQIGQIQCSQLEEKIQS STEEKELFYYRGV >ENSMUSP00000118708.1 pep:known chromosome:GRCm38:19:60838758:60861424:-1 gene:ENSMUSG00000063698.9 transcript:ENSMUST00000124921.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sfxn4 description:sideroflexin 4 [Source:MGI Symbol;Acc:MGI:2137680] MEPNLQFWISERQAFFRRFCQWMDLLDPVNMFISIGSIEKSRQLLFTTEDAPKHYLDNQV IKDAWNKSLSTVHPDSSKLIPHLFRPAGISVDDARHWNKVHHFNSGLLVWIHHSL >ENSMUSP00000078116.3 pep:known chromosome:GRCm38:7:10747502:10749538:-1 gene:ENSMUSG00000059206.3 transcript:ENSMUST00000079113.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r71 description:vomeronasal 1 receptor 71 [Source:MGI Symbol;Acc:MGI:2182255] MDFWNLAIRIIFLLQTVIGILGNVFLIFYYLVLYYRECTLKPTDLILMNLMAANLVILLS IGVPQKMAIWGLKEFLNHLECEILLFVQGFSRSMSICTICLLSVFQTLTISPKKFCWKYY KSNAAKYIGSCTSLLWVLHVLINFIFFLYTFTKRNNTNVTRTRDFGYCSLIGSDDISDSL YAALVVCPEVFFSVLTALASVSMIVILYRHKKSVQHIRSTHGSSRYSPESRATQNILTVV STFLAFCSLTTILRGFVALFHGHSWWLMNINHLTSLCFPTFAPFVLMSHYSIVSRLSLVW LRKKNIS >ENSMUSP00000005017.8 pep:known chromosome:GRCm38:3:87906321:87916132:1 gene:ENSMUSG00000004897.16 transcript:ENSMUST00000005017.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdgf description:hepatoma-derived growth factor [Source:MGI Symbol;Acc:MGI:1194494] MSRSNRQKEYKCGDLVFAKMKGYPHWPARIDEMPEAAVKSTANKYQVFFFGTHETAFLGP KDLFPYEESKEKFGKPNKRKGFSEGLWEIENNPTVKASGYQSSQKKSCAAEPEVEPEAHE GDGDKKGSAEGSSDEEGKLVIDEPAKEKNEKGTLKRRAGDVLEDSPKRPKESGDHEEEDK EIAALEGERPLPVEVEKNSTPSEPDSGQGPPAEEEEGEEEAAKEEAEAQGVRDHESL >ENSMUSP00000124803.1 pep:known chromosome:GRCm38:3:87906850:87914687:1 gene:ENSMUSG00000004897.16 transcript:ENSMUST00000159492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hdgf description:hepatoma-derived growth factor [Source:MGI Symbol;Acc:MGI:1194494] MPEAAVKSTANKYQVFFFGTHETAFLGPKDLFPYEESKEKFGKPNKRKGFSEGLWEIENN PTVKASGYQSSQKKSCAAEPEVEPEAHEGDGDKKGSAEGSSDEEGKLVIDEPAKEKNEKG TLKRRAGDVLEDSPKRPKESGDHEEEDKEIAALEGERPLPVEVEKNSTPSEPDSGQGPPA EEEEGEEEAAKEEAEAQGVRDH >ENSMUSP00000123832.1 pep:known chromosome:GRCm38:3:87907052:87914649:1 gene:ENSMUSG00000004897.16 transcript:ENSMUST00000162631.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hdgf description:hepatoma-derived growth factor [Source:MGI Symbol;Acc:MGI:1194494] MPEAAVKSTANKYQVFFFGTHETAFLGPKDLFPYEESKEKFGKPNKRKGFSEGLWEIENN PTVKASGYQPSPLLHRALPARPVG >ENSMUSP00000003121.8 pep:known chromosome:GRCm38:8:72161200:72183904:1 gene:ENSMUSG00000003037.16 transcript:ENSMUST00000003121.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab8a description:RAB8A, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:96960] MAKTYDYLFKLLLIGDSGVGKTCVLFRFSEDAFNSTFISTIGIDFKIRTIELDGKRIKLQ IWDTAGQERFRTITTAYYRGAMGIMLVYDITNEKSFDNIRNWIRNIEEHASADVEKMILG NKCDVNDKRQVSKERGEKLALDYGIKFMETSAKANINVENAFFTLARDIKAKMDKKLEGN SPQGSSHGVKITVEQQKRTSFFRCSLL >ENSMUSP00000140981.1 pep:known chromosome:GRCm38:19:11215010:11230540:-1 gene:ENSMUSG00000101031.1 transcript:ENSMUST00000186228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a12 description:membrane-spanning 4-domains, subfamily A, member 12 [Source:MGI Symbol;Acc:MGI:2685812] MTSSQTTYPGTRGIPNPCPPTRSMAPHPPQPLNFLNVRNQVQTGQVSFITSPGIFPNTQL GRENVPTVNPALGAAISNVKDAAIALGGVQITIGLMHIGFGVVLGLLSTSYNMTWAFSSV AFIGGYPFWGGVFFIASGSLSISAFKEFSHCLMKSTLIINISSTIFAFVGVILFLCDLNI NGYYYQDYWMVLSGRGIAGVLAIFSLLEFSIAGAMAYFAHQGILRCNRSVPVAPAVYVAN PLMRESPSAPPIYDNIPDYATTQ >ENSMUSP00000061689.3 pep:known chromosome:GRCm38:17:21260427:21262863:1 gene:ENSMUSG00000050102.5 transcript:ENSMUST00000060603.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r235 description:vomeronasal 1 receptor 235 [Source:MGI Symbol;Acc:MGI:2159636] MLSVPHLPLHDSQVQPSGNDGMTARNMALGIIFLSQTALGLLGNSSVFFNYLLLCFSRYK LNSTDWMLNYLVVANFLTLLCKGVPQTMAAFGLKDFLSDFGCNLLFYIHKMGRGTCICSS SLMSVFQAITISNRNFMWKEFKAKSSKFSGFFLCLCCTLNILMSTYNLFYMTGKLGHRNM TIIQDFGFCSVCLDKTGQILHTIFLPLPDVVYLGLLVWTSISTVLILHRHKQRLEHIPRN KHSSQSSTESRVIKTILLQLSTFMLCYIISCLFQLFLSFLHNPSWLLINISIVISGCFPA MSPFLLMNHYSIASSHCVPCMRNWQNLI >ENSMUSP00000117629.1 pep:known chromosome:GRCm38:2:25242255:25243397:1 gene:ENSMUSG00000044628.4 transcript:ENSMUST00000154498.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf208 description:ring finger protein 208 [Source:MGI Symbol;Acc:MGI:1916096] MPADPGPEVGSGWPGLLMSCLKGPHVILKMEAMK >ENSMUSP00000057742.1 pep:known chromosome:GRCm38:2:25242938:25244262:1 gene:ENSMUSG00000044628.4 transcript:ENSMUST00000060818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf208 description:ring finger protein 208 [Source:MGI Symbol;Acc:MGI:1916096] MPADPGPEVGSGWPGLLMSCLKGPHVILKMEAMKIVHPEKFPELPAATPCFPPAPRPTPT LAPKRAWPSDTEIIVNQACGGDMPTLEGASHTPPLPRRPRKGSSELGFPRVAPVDEVIVN QYVIRPGPTASAPSSSGPVIAGEPLECPTCGHTYNVTQRRPRVLSCLHSVCEQCLQILYE SCPKYKFISCPTCHRETVLFTDYGLAALAVNTSILSRLPPEALTAPSGGQWGGESEGSCY QTFRQYCGAACTCHVRNPLSACSIM >ENSMUSP00000109995.1 pep:known chromosome:GRCm38:2:25242929:25244261:1 gene:ENSMUSG00000044628.4 transcript:ENSMUST00000114355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf208 description:ring finger protein 208 [Source:MGI Symbol;Acc:MGI:1916096] MPADPGPEVGSGWPGLLMSCLKGPHVILKMEAMKIVHPEKFPELPAATPCFPPAPRPTPT LAPKRAWPSDTEIIVNQACGGDMPTLEGASHTPPLPRRPRKGSSELGFPRVAPVDEVIVN QYVIRPGPTASAPSSSGPVIAGEPLECPTCGHTYNVTQRRPRVLSCLHSVCEQCLQILYE SCPKYKFISCPTCHRETVLFTDYGLAALAVNTSILSRLPPEALTAPSGGQWGGESEGSCY QTFRQYCGAACTCHVRNPLSACSIM >ENSMUSP00000118506.2 pep:known chromosome:GRCm38:7:11005722:11010547:1 gene:ENSMUSG00000054272.5 transcript:ENSMUST00000131379.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan4c description:zinc finger and SCAN domain containing 4C [Source:MGI Symbol;Acc:MGI:2685243] MASQQAPAKDLQTNNLEFTPTDSSGVQWAEDISNSPSAQLNFSPSNNGCWATQELQSLWK MFNSWLQPEKQTKEQMISQLVLEQFLLTGHCKDKYALTEKWKASGSDMRRFMESLTDECL KPPVMVHVSMQGQEALFSENMPLKEVIKLLKQQQSATRPTPDNEQMPVDTTQDRLLATGQ ENSENECNNSCNATEANVGESCSGNEMDSLLIIQKEQHPEHEEGNVVCQFPHGARRASQG TPSHHVDFPSAPTTADVPMEEQPKDLSRENISEDKNNCYNTSRNAATQVYSGDNIPRNKS DSLFINKRIYHPEPEVGDIPYGVPQDSTRASQGTSTCLQESLGECFSENDPREVPGLQSR QEQPISDPVLLGKNHEANLPCESHQKRFCRDAKLYKCEECSRMFKHARSLSSHQRTHLNK KSELLCVTCQKMFKRVSDRRTHEIIHMPEKPFKCSTCEKSFSHKTNLKSHEMIHTGEMPY VCSLCSRRFRQSSTYHRHLRNYHRSD >ENSMUSP00000045363.3 pep:known chromosome:GRCm38:2:25238818:25239988:-1 gene:ENSMUSG00000036731.7 transcript:ENSMUST00000043379.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cysrt1 description:cysteine rich tail 1 [Source:MGI Symbol;Acc:MGI:1915109] MDPHEMVVKNPYAHISIPRAHLRSDLGQQLEEVPSSSSSSETQPLPAGTCIPEPVGLLQT TEAPGPKGIKGIKGTAPEHGQQTWQSPCNPYSSGQRPSGLTYAGLPPVGRGDDIAHHCCC CPCCSCCHCPRFCRCHSCCVIS >ENSMUSP00000140416.1 pep:known chromosome:GRCm38:2:25238989:25239897:-1 gene:ENSMUSG00000036731.7 transcript:ENSMUST00000186719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cysrt1 description:cysteine rich tail 1 [Source:MGI Symbol;Acc:MGI:1915109] MDPHEMVVKNPYAHISIPRAHLRSDLGQQLEEVPSSSSSSETQPLPAGTCIPEPVGLLQT TEAPGPKGIKGIKGTAPEHGQQTWQSPCNPYSSGQRPSGLTYAGLPPVGRGDDIAHHCCC CPCCSCCHCPRFCRCHSCCVIS >ENSMUSP00000109996.2 pep:known chromosome:GRCm38:2:25239157:25242452:-1 gene:ENSMUSG00000036731.7 transcript:ENSMUST00000114356.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cysrt1 description:cysteine rich tail 1 [Source:MGI Symbol;Acc:MGI:1915109] MDPHEMVVKNPYAHISIPRAHLRSDLGQQLEEVPSSSSSSETQPLPAGTCIPEPVGLLQT TEAPGPKGIKGIKGTAPEHGQQTWQSPCNPYSSGQRPSGLTYAGLPPVGRGDDI >ENSMUSP00000012580.6 pep:known chromosome:GRCm38:3:19995945:20035315:-1 gene:ENSMUSG00000027615.14 transcript:ENSMUST00000012580.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps3 description:Hermansky-Pudlak syndrome 3 [Source:MGI Symbol;Acc:MGI:2153839] MVRLYNLHPFGSQQVVPCQWEPEQVCCGGSDALFVAAGCKVEAFAVQGEELCRQRCAFST LGRVLRMAYSEAGDYLVAIEEKNKTIFLRAYVNWRSKRSDNSRVCIRMVGHNVEASFCES FRDQMSIIEMPMSEAPLCFSCCPVKGDLLVGCTNKLVLFTLKYDIINEEFSILNFERSLI IHIDNITPVEISFCVGYVAVMSDLEVLLLKLESDPIHGESVDHHPQETSNPLKEAEGVSN ETSQLESEDFVICLKPMELIGEKCEQSGISVKLESTGLEDEKVKYLRVRHLLYRRFAPDI SSYVLSDNIKLHSLQLLPIHQSGFHPDENDLSPKKEMPNLFCFFSLPHVGYLYMVVKSVE LMSVYWYPEKSQQAVLTPQFLHVITSQSLQCFTVRCSAAVAHEEDLYMDTTLKACPPVSM DVCALRIQLFIGLKAICHFKNHIILLTKAEPEAIPERRESPKKLISRKDASVRSGTPHVA EAAWNLYLVNTTAPVQLYKEMVDYSNSYKTVKTESCLHLLSEAHLLVRAALMDGSQLEPA EKAELLEAFKESCGHLGDCYSRLTTEQSHLALPYYKMSGLSLAEVLARVDWTEESESQKY ERGLVFYINHSLYENLDEELSKELAAKVAQIFHMAEPKQLPHVLSSPSMKNIDPLTALHY LRKLDSCGVSPVLVTLTKAAVALKMGDLDMYRNEMKSHSEMKLVYGFILEPRLLIQQWKG QIVPTELAIDLKETQPGLLVASVLGLQKNDKIGIVETDSFFKVLCGKDEDAVPQLLIDFW EAQLVACLPNVVLEELFFKLISQYVWRLSERRCPDTVPLRTAEDLINACSHYGLVNPWVH VLTTSDSLADKNYTDDLLKLQSLICSPSLDVASIIPFLEPLSEDTVAGLSTHALCHTRLQ EYEQCIDTLLERCPEAVIAYANQELKEDHWILWWKKLLPELCQRVKSGGERSHLHLSLLK ETLSVIAVGLDLRDFLNVLPEDGAAAFFLPYLLFCSRKKSLT >ENSMUSP00000103957.1 pep:known chromosome:GRCm38:3:19996167:20035302:-1 gene:ENSMUSG00000027615.14 transcript:ENSMUST00000108321.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hps3 description:Hermansky-Pudlak syndrome 3 [Source:MGI Symbol;Acc:MGI:2153839] MVRLYNLHPFGSQQVVPCQWEPEQVCCGGSDALFVAAGCKVEAFAVQGEELCRQRCAFST LGRVLRMAYSEAEVLLLKLESDPIHGESVDHHPQETSNPLKEAEGVSNETSQLESEDFVI CLKPMELIGEKCEQSGISVKLESTGLEDEKVKYLRVRHLLYRRFAPDISSYVLSDNIKLH SLQLLPIHQSGFHPDENDLSPKKEMPNLFCFFSLPHVGYLYMVVKSVELMSVYWYPEKSQ QAVLTPQFLHVITSQSLQCFTVRCSAAVAHEEDLYMDTTLKACPPVSMDVCALRIQLFIG LKAICHFKNHIILLTKAEPEAIPERRESPKKLISRKDASVRSGTPHVAEAAWNLYLVNTT APVQLYKEMVDYSNSYKTVKTESCLHLLSEAHLLVRAALMDGSQLEPAEKAELLEAFKES CGHLGDCYSRLTTEQSHLALPYYKMSGLSLAEVLARVDWTEESESQKYERGLVFYINHSL YENLDEELSKELAAKVAQIFHMAEPKQLPHVLSSPSMKNIDPLTALHYLRKLDSCGVSPV LVTLTKAAVALKMGDLDMYRNEMKSHSEMKLVYGFILEPRLLIQQWKGQIVPTELAIDLK ETQPGLLVASVLGLQKNDKIGIVETDSFFKVLCGKDEDAVPQLLIDFWEAQLVACLPNVV LEELFFKLISQYVWRLSERRCPDTVPLRTAEDLINACSHYGLVNPWVHVLTTSDSLADKN YTDDLLKLQSLICSPSLDVASIIPFLEPLSEDTVAGLSTHALCHTRLQEYEQCIDTLLER CPEAVIAYANQELKEDHWILWWKKLLPELCQRVKSGGERSHLHLSLLKETLSVIAVGLDL RDFLNVLPEDGAAAFFLPYLLFCSRKKSLT >ENSMUSP00000014996.7 pep:known chromosome:GRCm38:2:26973416:26998580:1 gene:ENSMUSG00000014852.16 transcript:ENSMUST00000014996.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts13 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 13 [Source:MGI Symbol;Acc:MGI:2685556] MSQLCLWLTCQPCYAVSVRGILTGAIFILGCWGLSDFQKSLLQDLEPKDVSSYFGHHAAP FTGHPPSHLQRLRRRRTLEDILHLELLVAVGPDVSRAHQEDTERYVLTNLNIGSELLRNP SLGVQFQVHLVKLITLSDSESTPNITANITSSLMSVCEWSQTINPHDDRDPSHADLILYI TRFDLELPDGNQQVRGVTQLGGACSLSWSCLITEDTGFDLGVTIAHEIGHSFGLDHDGAP GSGSTCKASGHVMAADGATPTGGTLEWSACSQRQLQHLLSTGQMHCFQDPPGLQSGLTRH QLMAQPGLYYSADDQCRVAFGSGAVACTFSREGLDVCQALSCHTDPLDQSSCSRLLVPLL DGTECGVEKWCSKARCRSLAELAPVAAVHGHWSSWGPHSPCSRSCGGGVITRRRWCNNPR PAFGGRACVGEDLQAKMCNTQACEKTQLEFMSEQCAQTDRQPLQLSQGTASFYHWDAAVQ YSQGDTLCRHMCWAVGESFIVSRGDRFLDGTRCVPSGPQDDGTLSLCLLGSCRTFGCDGR MDSQKVWDACQVCGGDNSTCSSRNGSFTAGRAREYVTFLIVTPNMTNAHIVNRRPLFTHL AVRIQGHYIVAGKTSISPNTTYPSLLEDYRVEYRVTLTEDQLPHLEEIHIRGPVRDDIEI QVYRRYGGEYGDLTHPDITFSYFQLKQQAAWVWTAKRGPCSVSCGAGLRWVTYSCQDQAQ DKWVKNAQCQGSPQPPAWQEPCVSAPCSPYWVAGDFSPCSVSCGGGLRERSLRCVETQDG FLKTLPPARCRAVAQQPAAEVENCNSQPCPTRWEVSDPGPCMSSACEAGLDSRNVTCVSR AGDPEKPETAGPCRTDEMSAMLEPCSRSLCSPGLGQVDNTMSLGEEAPSPVGSDKPGAQA EHVWTPLVGLCSISCGRGLKELYFLCMDSVLKMPVQEELCGLASKPPSRWEVCRARPCPA RWETQVLAPCPVTCGGGRVPLSVRCVQLDRGHPISVPHSKCSPVPKPGSFEDCSPEPCPA RALVWEAAPTFAVTRWR >ENSMUSP00000099955.3 pep:known chromosome:GRCm38:2:26973493:27009628:1 gene:ENSMUSG00000014852.16 transcript:ENSMUST00000102891.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts13 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 13 [Source:MGI Symbol;Acc:MGI:2685556] MSQLCLWLTCQPCYAVSVRGILTGAIFILGCWGLSDFQKSLLQDLEPKDVSSYFGHHAAP FTGHPPSHLQRLRRRRTLEDILHLELLVAVGPDVSRAHQEDTERYVLTNLNIGSELLRNP SLGVQFQVHLVKLITLSDSESTPNITANITSSLMSVCEWSQTINPHDDRDPSHADLILYI TRFDLELPDGNQQVRGVTQLGGACSLSWSCLITEDTGFDLGVTIAHEIGHSFGLDHDGAP GSGSTCKASGHVMAADGATPTGGTLEWSACSQRQLQHLLSTGQMHCFQDPPGLQSGLTRH QLMAQPGLYYSADDQCRVAFGSGAVACTFSREGLDVCQALSCHTDPLDQSSCSRLLVPLL DGTECGVEKWCSKARCRSLAELAPVAAVHGHWSSWGPHSPCSRSCGGGVITRRRWCNNPR PAFGGRACVGEDLQAKMCNTQACEKTQLEFMSEQCAQTDRQPLQLSQGTASFYHWDAAVQ YSQGDTLCRHMCWAVGESFIVSRGDRFLDGTRCVPSGPQDDGTLSLCLLGSCRTFGCDGR MDSQKVWDACQVCGGDNSTCSSRNGSFTAGRAREYVTFLIVTPNMTNAHIVNRRPLFTHL AVRIQGHYIVAGKTSISPNTTYPSLLEDYRVEYRVTLTEDQLPHLEEIHIRGPVRDDIEI QVYRRYGGEYGDLTHPDITFSYFQLKQQAAWVWTAKRGPCSVSCGAGLRWVTYSCQDQAQ DKWVKNAQCQGSPQPPAWQEPCVSAPCSPYWVAGDFSPCSVSCGGGLRERSLRCVETQDG FLKTLPPARCRAVAQQPAAEVENCNSQPCPTRWEVSDPGPCMSSACEAGLDSRNVTCVSR AGDPEKPETAGPCRTDEMSAMLEPCSRSLCSPGLGQVDNTMSLGEEAPSPVGSDKPGAQA EHVWTPLVGLCSISCGRGLKELYFLCMDSVLKMPVQEELCGLASKPPSRWEVCRARPCPA RWETQVLAPCPVTCGGGRVPLSVRCVQLDRGHPISVPHSKCSPVPKPGSFEDCSPEPCPA RWKVLSLGPCSASCGLGTATQMVACMQLDQGHDNEVNETFCKALVRPQASVPCLIADCAF RWHISAWTECSVSCGDGIQRRHDTCLGPQAQVPVPANFCQHLPKPMTVRGCWAGPCAGQE TSSSLPHKEATLPSQTQAAATVASLQWSQPRARTPTLFSASQSLGLQENLEEHGACGRQY LEPTGTIHMRDQGRLDCVVAIGRPLGEVVTLQILESSLKCSAGEQLLLWGRFTWRKTCRK MPGMTFSTKTNTVVVKQHRVLPGGGVLLRYWSQPAPGTFYKECDRQLFGPRGEIVSPSLS PDGRKAGTCRVFISVAPQARIAIRALASDMGTASEGTNANYVSIRDIHSLRTTTFWGQQV LYWESEGSEAELEFSPGFLEAHASLQGEYWTISPRTSEQDDSLALS >ENSMUSP00000145187.1 pep:known chromosome:GRCm38:11:58757604:58758614:1 gene:ENSMUSG00000107677.1 transcript:ENSMUST00000203731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr316 description:olfactory receptor 316 [Source:MGI Symbol;Acc:MGI:3030150] MESGNRSCGTDFTLVGLFQDGHMDTFLFTVISILFAVALIGNITLVILIRLDQRLHTPMY FLLSQLSIMDMMYISTTVPKMAANFLSDTKAISFLGCVIQAFVFLTLGGSEALLLGFMSY DRYIAICRPLHYPVLMSRKICCSMVASAWSSSSITASVHTVYVFQLPFCGSRMVNHFFCE VPSLLPLVCEDTSQYEHTVLVSGLVILLLPFLAILASYARVLVVVIQMGSGKGQSRAVST CSSHLTVASLFYVTTLSTYTQPHTLHSPGRDKVVAVLYSIVTPVLNPFIYSLRNKEVMGA LRRQMK >ENSMUSP00000109790.1 pep:known chromosome:GRCm38:X:74351276:74353594:-1 gene:ENSMUSG00000015289.4 transcript:ENSMUST00000114153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lage3 description:L antigen family, member 3 [Source:MGI Symbol;Acc:MGI:1913442] MQTAHTGLSHTADGADGQTSRCCPGNAGTKAVIPSGAHPVARALEASRNSSKSMVPLTQR PGTRHHRFSLFVPFPTSLEAEIACGSLVPDVEPHRGLVGKELKVSGCMLEV >ENSMUSP00000015433.3 pep:known chromosome:GRCm38:X:74352161:74353618:-1 gene:ENSMUSG00000015289.4 transcript:ENSMUST00000015433.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lage3 description:L antigen family, member 3 [Source:MGI Symbol;Acc:MGI:1913442] MQTAHTGLSHTADGADGQTSRCCPGNAGTKAVIPSGAHPVARALEASRNSSKSMVPLTQR PGTRHHRFSLFVPFPTSLEAEIACGSLVPDVEPHRGLVGKELKVSGCMLEVRWIAEDSRL LRLSIINFLDQLSLVVNTIQLFGPPVSC >ENSMUSP00000109789.1 pep:known chromosome:GRCm38:X:74352162:74353575:-1 gene:ENSMUSG00000015289.4 transcript:ENSMUST00000114152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lage3 description:L antigen family, member 3 [Source:MGI Symbol;Acc:MGI:1913442] MQTAHTGLSHTADGADGQTSRCCPGNAGTKAVIPSGAHPVARALEASRNSSKSMVPLTQR PGTRHHRFSLFVPFPTSLEAEIACGSLVPDVEPHRGLVGKELKVSGCMLEV >ENSMUSP00000144944.1 pep:known chromosome:GRCm38:11:58773388:58778508:1 gene:ENSMUSG00000056959.4 transcript:ENSMUST00000203256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr315 description:olfactory receptor 315 [Source:MGI Symbol;Acc:MGI:3030149] MKTPSNSTEADFILLGLFSHTHAHSLLLSVVLVIFTASLMGNTLMILLICRDPRLHTPMY FLLSQLSLMDMMLVSTIVPKMAANYLTSTRSISPAGCGSQIFLFLTLAGGECFLLAAMSY DRYVAI >ENSMUSP00000080248.2 pep:known chromosome:GRCm38:11:58778089:58779086:1 gene:ENSMUSG00000056959.4 transcript:ENSMUST00000081533.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr315 description:olfactory receptor 315 [Source:MGI Symbol;Acc:MGI:3030149] MKTPSNSTEADFILLGLFSHTHAHSLLLSVVLVIFTASLMGNTLMILLICRDPRLHTPMY FLLSQLSLMDMMLVSTIVPKMAANYLTSTRSISPAGCGSQIFLFLTLAGGECFLLAAMSY DRYVAICFPLRYHVLMNPKLCAYLTVGSWLLGAADGLMQAGTILSFPFCHSRTINHFFCE APSLVRLACADTKVFEFFMYICCILMLLIPLSLVLASYSLILATVLRMRSSAARKKAFTT CSSHLAVVGLFYGAIIFIYMRPKSHQPGKSDKVVSAFYTIFTPVLNPLIYSVRNKEVKGA LRKWLQKTV >ENSMUSP00000058956.1 pep:known chromosome:GRCm38:16:3592398:3593339:1 gene:ENSMUSG00000051003.1 transcript:ENSMUST00000061541.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr161 description:olfactory receptor 161 [Source:MGI Symbol;Acc:MGI:3032605] MGGTNQSSVSEFLLLGLSRQPQQQQQLIFLLFLIMYLATVLGNLLIILAISTDSRLHTPM YFFLSNLSFVDVCFSSTTVPKVLAIHILRNQAISFSGCLTQLYFLCVFADMDNFLLAVMA YDRFVAICHPLHYTTKMTHQLCAFLVVGSWMVASLNALLHTLLVAQLYFCGDNVIPHFFC EVTPLLKLSCSDTHLNELMILAVAGLIMLAPFVCILLSYILIACAILKISSTGRWKAFST CGSHLAVVCLFYGTIISLYFNPSSSHSAGRDMAAAMMYTVVTPMMKPFIYSLRNRDMKGA LRKVLTMRFISTQ >ENSMUSP00000023453.7 pep:known chromosome:GRCm38:16:17130138:17132383:-1 gene:ENSMUSG00000022769.7 transcript:ENSMUST00000023453.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdf2l1 description:stromal cell-derived factor 2-like 1 [Source:MGI Symbol;Acc:MGI:2149842] MWGASRGRVAGPTLLGLLLALSVRSGGASKASAGLVTCGSVLKLLNTHHKVRLHSHDIKY GSGSGQQSVTGVEESDDANSYWRIRGGSEGGCPRGLPVRCGQAVRLTHVLTGKNLHTHHF PSPLSNNQEVSAFGEDGEGDDLDLWTVRCSGQHWEREASVRFQHVGTSVFLSVTGEQYGN PIRGQHEVHGMPSANAHNTWKAMEGIFIKPGADLSTGHDEL >ENSMUSP00000038432.4 pep:known chromosome:GRCm38:7:28692849:28699338:1 gene:ENSMUSG00000037463.14 transcript:ENSMUST00000039998.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo27 description:F-box protein 27 [Source:MGI Symbol;Acc:MGI:2685007] MGAWISRTRVPTPEPDPQEVLDLSRLPPELLLLVLSHVPPRTLLMHCRRVCRAWRALVDG QALWLLLLARDHSAAGRALLTLARRCLPPAHEDTPCPLGQFCALRPLGRNLISNPCGQGL RKWMVRHGGDGWVVEKNRKPVPGAPSQTCFVTSFSWCRKKQVVDLVEKGLWPELLDSGGV EIAVSDWWGARHDSGCKYRLFVTLLDAHQNVIDKFSAVPDPIEQWNNDIYLQVTHVFSGI RRGIRFVSFEHWGQDTQFWAGHYGARVTNSSVIIRVCQS >ENSMUSP00000116838.1 pep:known chromosome:GRCm38:7:28693039:28693514:1 gene:ENSMUSG00000037463.14 transcript:ENSMUST00000151227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo27 description:F-box protein 27 [Source:MGI Symbol;Acc:MGI:2685007] MGAWISRTRVPTPEPDPQEVLDLSRLPPELLLLVLSHVPPRTLLMHCRRVCRAWRALVDG QALWLLLLARDHS >ENSMUSP00000103916.1 pep:known chromosome:GRCm38:7:28693144:28699335:1 gene:ENSMUSG00000037463.14 transcript:ENSMUST00000108281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo27 description:F-box protein 27 [Source:MGI Symbol;Acc:MGI:2685007] MGAWISRTRVPTPEPDPQEVLDLSRLPPELLLLVLSHVPPRTLLMHCRRVCRAWRALVDG QALWLLLLARDHSAAGRALLTLARRCLPPAHEDTPCPLGQFCALRPLGRNLISNPCGQEG LRKWMVRHGGDGWVVEKNRKPVPGAPSQTCFVTSFSWCRKKQVVDLVEKGLWPELLDSGG VEIAVSDWWGARHDSGCKYRLFVTLLDAHQNVIDKFSAVPDPIEQWNNDIYLQVTHVFSG IRRGIRFVSFEHWGQDTQFWAGHYGARVTNSSVIIRVCQS >ENSMUSP00000103915.1 pep:known chromosome:GRCm38:7:28694019:28699335:1 gene:ENSMUSG00000037463.14 transcript:ENSMUST00000108280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo27 description:F-box protein 27 [Source:MGI Symbol;Acc:MGI:2685007] MVRHGGDGWVVEKNRKPVPGAPSQTCFVTSFSWCRKKQVVDLVEKGLWPELLDSGGVEIA VSDWWGARHDSGCKYRLFVTLLDAHQNVIDKFSAVPDPIEQWNNDIYLQVTHVFSGIRRG IRFVSFEHWGQDTQFWAGHYGARVTNSSVIIRVCQS >ENSMUSP00000146553.1 pep:known chromosome:GRCm38:7:28696651:28697961:1 gene:ENSMUSG00000037463.14 transcript:ENSMUST00000127368.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo27 description:F-box protein 27 [Source:MGI Symbol;Acc:MGI:2685007] XHDSGCKYRLFVTLLDAHQNVIDKFSAVPDPIEQWNNDIYLQSFVA >ENSMUSP00000007255.6 pep:known chromosome:GRCm38:17:35059035:35062091:1 gene:ENSMUSG00000007039.12 transcript:ENSMUST00000007255.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddah2 description:dimethylarginine dimethylaminohydrolase 2 [Source:MGI Symbol;Acc:MGI:1859016] MGTPGEGLGRCSHALIRGVPESLASGEGAGAGLPALDLAKAQREHGVLGGKLRQRLGLQL LELPPEESLPLGPLLGDTAVIQGDTALITRPWSPARRPEVDGVRKALQDLGLRIVEMGDE NATLDGTDVLFTGREFFVGLSKWTNHRGAEIVADTFRDFAVSTVPVSGSSHLRGLCGMGG PRTVVAGSSEAAQKAVRAMAALTDHPYASLTLPDDAASDCLFLRPGLPGATPFLLHRGGG DLPNSQEALQKLSDVTLVPVSCSELEKAGAGLSSLCLVLSTRPHC >ENSMUSP00000134072.1 pep:known chromosome:GRCm38:17:35059101:35062095:1 gene:ENSMUSG00000007039.12 transcript:ENSMUST00000174493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddah2 description:dimethylarginine dimethylaminohydrolase 2 [Source:MGI Symbol;Acc:MGI:1859016] MGTPGEGLGRCSHALIRGVPESLASGEGAGAGLPALDLAKAQREHGVLGGKLRQRLGLQL LELPPEESLPLGPLLGDTAVIQGDTALITRPWSPARRPEVDGVRKALQDLGLRIVEMGDE NATLDGTDVLFTGREFFVGLSKWTNHRGAEIVADTFRDFAVSTVPVSGSSHLRGLCGMGG PRTVVAGSSEAAQKAVRAMAALTDHPYASLTLPDDAASDCLFLRPGLPGATPFLLHRGGS AEAL >ENSMUSP00000134595.1 pep:known chromosome:GRCm38:17:35059816:35060906:1 gene:ENSMUSG00000007039.12 transcript:ENSMUST00000173520.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ddah2 description:dimethylarginine dimethylaminohydrolase 2 [Source:MGI Symbol;Acc:MGI:1859016] MGTPGEGLGRCSHALIRGVPESLASGEGAGAGLPALDLAKAQREHGVLGGKLRQRLGLQL LELPPEESLPLGPLLGDTAVIQGDTALITRPWSPARRPEVDGVRKALQDLGLRIVEMGDE NATLDGTDVLFTGREFFVGLSKWTNHRGAEIVADTFR >ENSMUSP00000006898.3 pep:known chromosome:GRCm38:13:24751845:24761861:-1 gene:ENSMUSG00000006715.11 transcript:ENSMUST00000006898.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmnn description:geminin [Source:MGI Symbol;Acc:MGI:1927344] MNLSMKQKQEGAQENVKNSPVPRRTLKMIQPSADGSLVGRENELPKGLFKRKLWDDQLAS QTSSCGPEANENKDVGDLTQEAFDLISKENPSSQYWKEVAEQRRKALYEALKENEKLHKE IEQKDSEIARLRKENKDLAEVAEHVQYMAEVIERLSNEPLDNFESPDSQEFDSEEEAVEY SELEDSGAGTCAEETVSSSTDARPCT >ENSMUSP00000106011.2 pep:known chromosome:GRCm38:13:24751845:24761923:-1 gene:ENSMUSG00000006715.11 transcript:ENSMUST00000110382.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmnn description:geminin [Source:MGI Symbol;Acc:MGI:1927344] MNLSMKQKQEGAQENVKNSPVPRRTLKMIQPSADGSLVGRENELPKGLFKRKLWDDQLAS QTSSCGPEANENKDVGDLTQEAFDLISKENPSSQYWKEVAEQRRKALYEALKENEKLHKE IEQKDSEIARLRKENKDLAEVAEHVQYMAEVIERLSNEPLDNFESPDSQEFDSEEEAVEY SELEDSGAGTCAEETVSSSTDARPCT >ENSMUSP00000135823.1 pep:known chromosome:GRCm38:13:24752091:24761476:-1 gene:ENSMUSG00000006715.11 transcript:ENSMUST00000175689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmnn description:geminin [Source:MGI Symbol;Acc:MGI:1927344] MNLSMKQKQEGAQENVKNSPVPRRTLKMIQPSADGSLVGRENELPKGLFKRKLWDDQLAS QTSSCGPEANENKDVGDLTQEAFDLISKENPSSQYWKEVAEQRRKALYEALKENEKLHKE IEQKDSEIARLRKENKDLAEVAEHVQYMAEVIERLSNEPLDNFESPDSQEFDSEEEAVEY SELEDSGAGTCAEETVSSST >ENSMUSP00000135006.1 pep:known chromosome:GRCm38:13:24752188:24761440:-1 gene:ENSMUSG00000006715.11 transcript:ENSMUST00000176890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmnn description:geminin [Source:MGI Symbol;Acc:MGI:1927344] MNLSMKQKQEGAQENVKNSPVPRRTLKMIQPSADGSLVGRENELPKGLFKRKLWDDQLAS QTSSCGPEANENKDVGDLTQEAFDLISKENPSSQYWKEVAEQRRKALYEALKENEKLHKE IEQKDSEIARLRKENKDLAEVAEHVQYMAEVIERLSNEPLDNFESPD >ENSMUSP00000134986.1 pep:known chromosome:GRCm38:13:24753706:24761897:-1 gene:ENSMUSG00000006715.11 transcript:ENSMUST00000177253.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gmnn description:geminin [Source:MGI Symbol;Acc:MGI:1927344] MNLSMKQKQEGAQENVKSCPKENAEDDPAFCRWISCWQRK >ENSMUSP00000050144.6 pep:known chromosome:GRCm38:4:63727084:63745113:-1 gene:ENSMUSG00000050395.8 transcript:ENSMUST00000062246.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf15 description:tumor necrosis factor (ligand) superfamily, member 15 [Source:MGI Symbol;Acc:MGI:2180140] MGGSLVRRDQKSLQDSRRMAEELGLGFGEGVPVEVLPEGCRHRPEARAGLAARSKACLAL TCCLLSFPILAGLSTLLMAGQLRVPGKDCMLRAITEERSEPSPQQVYSPPRGKPRAHLTI KKQTPAPHLKNQLSALHWEHDLGMAFTKNGMKYINKSLVIPESGDYFIYSQITFRGTTSV CGDISRGRRPNKPDSITMVITKVADSYPEPARLLTGSKSVCEISNNWFQSLYLGATFSLE EGDRLMVNVSDISLVDYTKEDKTFFGAFLL >ENSMUSP00000102940.1 pep:known chromosome:GRCm38:4:73901907:73905953:-1 gene:ENSMUSG00000096333.7 transcript:ENSMUST00000107319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13871 description:predicted gene 13871 [Source:MGI Symbol;Acc:MGI:3702126] MQREDNRVQSVRSDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGLWFDGHSKPPASYAPSLCIGGAISPGPSFSPWTDPEIKIFLQEWQ VVEREIGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTLKDLHSTLSRERSRTVPL FSPYRDYLERIFDPKCQRGHVPGALYNWSGYHRPSSSPQTPMVMPSPVYQPWDYGMSASS GQLHGNPSLIMSSQDSLVPRWDAWNATYPLPVQHVLLASLSGDNNFQLAWSPRDESSSPQ >ENSMUSP00000102939.1 pep:known chromosome:GRCm38:4:73901911:73905953:-1 gene:ENSMUSG00000096333.7 transcript:ENSMUST00000107318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13871 description:predicted gene 13871 [Source:MGI Symbol;Acc:MGI:3702126] MQREDNRVQSVRSDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGALYNWSGYHRPSSSPQTPMVMPSPVYQPWDYGMSASSGQLHGNPS LIMSSQDSLVPRWDAWNATYPLPVQHVLLASLSGDNNFQLAWSPRDESSSPQ >ENSMUSP00000110978.1 pep:known chromosome:GRCm38:6:27275119:28134545:-1 gene:ENSMUSG00000024211.15 transcript:ENSMUST00000115323.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm8 description:glutamate receptor, metabotropic 8 [Source:MGI Symbol;Acc:MGI:1351345] MVCEGKRSTSCPCFFLLTAKFYWILTMMQRTHSQEYAHSIRLDGDIILGGLFPVHAKGER GVPCGDLKKEKGIHRLEAMLYAIDQINKDPDLLSNITLGVRILDTCSRDTYALEQSLTFV QALIEKDASDVKCANGDPPIFTKPDKISGVIGAAASSVSIMVANILRLFKIPQISYASTA PELSDNTRYDFFSRVVPPDSYQAQAMVDIVTALGWNYVSTLASEGNYGESGVEAFTQISR EIGGVCIAQSQKIPREPRPGEFEKIIKRLLETPNARAVIMFANEDDIRRILEAAKKLNQS GHFLWIGSDSWGSKIAPVYQQEEIAEGAVTILPKRASIDGFDRYFRSRTLANNRRNVWFA EFWEENFGCKLGSHGKRNSHIKKCTGLERIARDSSYEQEGKVQFVIDAVYSMAYALHNMH KELCPGYIGLCPRMVTIDGKELLGYIRAVNFNGSAGTPVTFNENGDAPGRYDIFQYQINN KSTEYKIIGHWTNQLHLKVEDMQWANREHTHPASVCSLPCKPGERKKTVKGVPCCWHCER CEGYNYQVDELSCELCPLDQRPNINRTGCQRIPIIKLEWHSPWAVVPVFIAILGIIATTF VIVTFVRYNDTPIVRASGRELSYVLLTGIFLCYSITFLMIAAPDTIICSFRRIFLGLGMC FSYAALLTKTNRIHRIFEQGKKSVTAPKFISPASQLVITFSLISVQLLGVFVWFVVDPPH TIIDYGEQRTLDPENARGVLKCDISDLSLICSLGYSILLMVTCTVYAIKTRGVPETFNEA KPIGFTMYTTCIIWLAFIPIFFGTAQSAEKMYIQTTTLTVSMSLSASVSLGMLYMPKVYI IIFHPEQNVQKRKRSFKAVVTAATMQSKLIQKGNDRPNGEVKSELCESLETNSKSSVDFQ MVKSGSTS >ENSMUSP00000087998.3 pep:known chromosome:GRCm38:6:27275612:28126195:-1 gene:ENSMUSG00000024211.15 transcript:ENSMUST00000090512.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm8 description:glutamate receptor, metabotropic 8 [Source:MGI Symbol;Acc:MGI:1351345] MVCEGKRSTSCPCFFLLTAKFYWILTMMQRTHSQEYAHSIRLDGDIILGGLFPVHAKGER GVPCGDLKKEKGIHRLEAMLYAIDQINKDPDLLSNITLGVRILDTCSRDTYALEQSLTFV QALIEKDASDVKCANGDPPIFTKPDKISGVIGAAASSVSIMVANILRLFKIPQISYASTA PELSDNTRYDFFSRVVPPDSYQAQAMVDIVTALGWNYVSTLASEGNYGESGVEAFTQISR EIGGVCIAQSQKIPREPRPGEFEKIIKRLLETPNARAVIMFANEDDIRRILEAAKKLNQS GHFLWIGSDSWGSKIAPVYQQEEIAEGAVTILPKRASIDGFDRYFRSRTLANNRRNVWFA EFWEENFGCKLGSHGKRNSHIKKCTGLERIARDSSYEQEGKVQFVIDAVYSMAYALHNMH KELCPGYIGLCPRMVTIDGKELLGYIRAVNFNGSAGTPVTFNENGDAPGRYDIFQYQINN KSTEYKIIGHWTNQLHLKVEDMQWANREHTHPASVCSLPCKPGERKKTVKGVPCCWHCER CEGYNYQVDELSCELCPLDQRPNINRTGCQRIPIIKLEWHSPWAVVPVFIAILGIIATTF VIVTFVRYNDTPIVRASGRELSYVLLTGIFLCYSITFLMIAAPDTIICSFRRIFLGLGMC FSYAALLTKTNRIHRIFEQGKKSVTAPKFISPASQLVITFSLISVQLLGVFVWFVVDPPH TIIDYGEQRTLDPENARGVLKCDISDLSLICSLGYSILLMVTCTVYAIKTRGVPETFNEA KPIGFTMYTTCIIWLAFIPIFFGTAQSAEKMYIQTTTLTVSMSLSASVSLGMLYMPKVYI IIFHPEQNVQKRKRSFKAVVTAATMQSKLIQKGNDRPNGEVKSELCESLETNTSSTKTTY ISYSNHSI >ENSMUSP00000120394.1 pep:known chromosome:GRCm38:6:27761343:28133325:-1 gene:ENSMUSG00000024211.15 transcript:ENSMUST00000131897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm8 description:glutamate receptor, metabotropic 8 [Source:MGI Symbol;Acc:MGI:1351345] MVCEGKRSTSCPCFFLLTAKFYWILTMMQRTHSQEYAHSIRLDGDIILGGLFPVHAKGER GVPCGDLKKEKGIHRLEAMLYAIDQINKDPDLLSNITLGVRILDTCSRDTYALEQSLTFV QALIEKDASDVKCANGDPPIFTKPDKISGVIGAAASSVSIMVANILRLFKIPQISYASTA PELSDNTRYDFFSRVVPPDSYQAQAMVDIVTALGWNYVSTLASEGNYGESGVEAFTQISR EIGGVCIAQSQKIPREPRPGEFEKIIKRLLETPNARAVIMFANEDDIRRILEAA >ENSMUSP00000118098.1 pep:known chromosome:GRCm38:6:28126064:28133129:-1 gene:ENSMUSG00000024211.15 transcript:ENSMUST00000132755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm8 description:glutamate receptor, metabotropic 8 [Source:MGI Symbol;Acc:MGI:1351345] MVCEGKRSTSCPCFFLLTAK >ENSMUSP00000110979.2 pep:known chromosome:GRCm38:6:27275128:28126125:-1 gene:ENSMUSG00000024211.15 transcript:ENSMUST00000115324.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grm8 description:glutamate receptor, metabotropic 8 [Source:MGI Symbol;Acc:MGI:1351345] MVCEGKRSTSCPCFFLLTAKFYWILTMMQRTHSQEYAHSIRLDGDIILGGLFPVHAKGER GVPCGDLKKEKGIHRLEAMLYAIDQINKDPDLLSNITLGVRILDTCSRDTYALEQSLTFV QALIEKDASDVKCANGDPPIFTKPDKISGVIGAAASSVSIMVANILRLFKIPQISYASTA PELSDNTRYDFFSRVVPPDSYQAQAMVDIVTALGWNYVSTLASEGNYGESGVEAFTQISR EIGGVCIAQSQKIPREPRPGEFEKIIKRLLETPNARAVIMFANEDDIRRILEAAKKLNQS GHFLWIGSDSWGSKIAPVYQQEEIAEGAVTILPKRASIDGFDRYFRSRTLANNRRNVWFA EFWEENFGCKLGSHGKRNSHIKKCTGLERIARDSSYEQEGKVQFVIDAVYSMAYALHNMH KELCPGYIGLCPRMVTIDGKELLGYIRAVNFNGSAGTPVTFNENGDAPGRYDIFQYQINN KSTEYKIIGHWTNQLHLKVEDMQWANREHTHPASVCSLPCKPGERKKTVKGVPCCWHCER CEGYNYQVDELSCELCPLDQRPNINRTGCQRIPIIKLEWHSPWAVVPVFIAILGIIATTF VIVTFVRYNDTPIVRASGRELSYVLLTGIFLCYSITFLMIAAPDTIICSFRRIFLGLGMC FSYAALLTKTNRIHRIFEQGKKSVTAPKFISPASQLVITFSLISVQLLGVFVWFVVDPPH TIIDYGEQRTLDPENARGVLKCDISDLSLICSLGYSILLMVTCTVYAIKTRGVPETFNEA KPIGFTMYTTCIIWLAFIPIFFGTAQSAEKMYIQTTTLTVSMSLSASVSLGMLYMPKVYI IIFHPEQNVQKRKRSFKAVVTAATMQSKLIQKGNDRPNGEVKSELCESLETNSKSSVDFQ MVKSGSTS >ENSMUSP00000103545.1 pep:known chromosome:GRCm38:7:44549616:44553686:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000107912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] MSSPTSSLDTPVPGNGSPQPSTSATSPTIKEEGQETDPPPGSEGSSSAYIVVILEPEDEP ERKRKKGPAPKMLGHELCRVCGDKASGFHYNVLSCEGCKGFFRRSVVHGGAGRYACRGSG TCQMDAFMRRKCQLCRLRKCKEAGMREQCVLSEEQIRKKRIQKQQQQQPPPPSEPAASSS GRPAASPGTSEASSQGSGEGEGIQLTAAQELMIQQLVAAQLQCNKRSFSDQPKVTPWPLG ADPQSRDARQQRFAHFTELAIISVQEIVDFAKQVPGFLQLGREDQIALLKASTIEIMLLE TARRYNHETECITFLKDFTYSKDDFHRAGLQVEFINPIFEFSRAMRRLGLDDAEYALLIA INIFSADRPNVQEPSRVEALQQPYVEALLSYTRIKRPQDQLRFPRMLMKLVSLRTLSSVH SEQVFALRLQDKKLPPLLSEIWDVHE >ENSMUSP00000073188.5 pep:known chromosome:GRCm38:7:44549616:44553949:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000073488.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] MSSPTSSLDTPVPGNGSPQPSTSATSPTIKEEGQETDPPPGSEGSSSAYIVVILEPEDEP ERKRKKGPAPKMLGHELCRVCGDKASGFHYNVLSCEGCKGFFRRSVVHGGAGRYACRGSG TCQMDAFMRRKCQLCRLRKCKEAGMREQCVLSEEQIRKKRIQKQQQQQPPPPSEPAASSS GRPAASPGTSEASSQGSGEGEGIQLTAAQELMIQQLVAAQLQCNKRSFSDQPKVTPWPLG ADPQSRDARQQRFAHFTELAIISVQEIVDFAKQVPGFLQLGREDQIALLKASTIEIMLLE TARRYNHETECITFLKDFTYSKDDFHRAGLQVEFINPIFEFSRAMRRLGLDDAEYALLIA INIFSADRPNVQEPSRVEALQQPYVEALLSYTRIKRPQDQLRFPRMLMKLVSLRTLSSVH SEQVFALRLQDKKLPPLLSEIWDVHE >ENSMUSP00000103544.1 pep:known chromosome:GRCm38:7:44549617:44553948:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000107911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] MSSPTSSLDTPVPGNGSPQPSTSATSPTIKEEGQETDPPPGSEGSSSAYIVEPEDEPERK RKKGPAPKMLGHELCRVCGDKASGFHYNVLSCEGCKGFFRRSVVHGGAGRYACRGSGTCQ MDAFMRRKCQLCRLRKCKEAGMREQCVLSEEQIRKKRIQKQQQQQPPPPSEPAASSSGRP AASPGTSEASSQGSGEGEGIQLTAAQELMIQQLVAAQLQCNKRSFSDQPKVTPWPLGADP QSRDARQQRFAHFTELAIISVQEIVDFAKQVPGFLQLGREDQIALLKASTIEIMLLETAR RYNHETECITFLKDFTYSKDDFHRAGLQVEFINPIFEFSRAMRRLGLDDAEYALLIAINI FSADRPNVQEPSRVEALQQPYVEALLSYTRIKRPQDQLRFPRMLMKLVSLRTLSSVHSEQ VFALRLQDKKLPPLLSEIWDVHE >ENSMUSP00000146466.1 pep:known chromosome:GRCm38:7:44549632:44552245:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000208322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] XILEPEDEPERKRKKGPAPKMLGHELCRVCGDKASGFHYNVLSCEGCKGFFRRSVVHGGA GRYACRGSGTCQMDAFMRRKCQLCRLRKCKEAGMREQCVLSEEQIRKKRIQKQQQQQPPP PSEPAASSSGRPAASPGTSEASSQGSGEGEGIQLTAAQELMIQQLVAAQLQCNKRSFSDQ PKVTEIVDFAKQVPGFLQLGREDQIALLKASTIEIMLLETARRYNHETECITFLKDFTYS KDDFHRAGLQVEFINPIFEFSRAMRRLGLDDAEYALLIAINIFSADRPNVQEPSRVEALQ QPYVEALLSYTRIKRPQDQLRFPRMLMKLVSLRTLSSVHSEQVFALRLQDKKLPPLLSEI WDVHE >ENSMUSP00000103543.1 pep:known chromosome:GRCm38:7:44549635:44553923:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000107910.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] MSSPTSSLDTPVPGNGSPQPSTSATSPTIKEEGQETDPPPGSEGSSSAYIVEPEDEPERK RKKGPAPKMLGHELCRVCGDKASGFHYNVLSCEGCKGFFRRSVVHGGAGRYACRGSGTCQ MDAFMRRKCQLCRLRKCKEAGMREQCVLSEEQIRKKRIQKQQQQQPPPPSEPAASSSGRP AASPGTSEASSQGSGEGEGIQLTAAQELMIQQLVAAQLQCNKRSFSDQPKVTPWPLGADP QSRDARQQRFAHFTELAIISVQEIVDFAKQVPGFLQLGREDQIALLKASTIEIMLLETAR RYNHETECITFLKDFTYSKDDFHRAGLQVEFINPIFEFSRAMRRLGLDDAEYALLIAINI FSADRPNVQEPSRVEALQQPYVEALLSYTRIKRPQDQLRFPRMLMKLVSLRTLSSVHSEQ VFALRLQDKKLPPLLSEIWDVHE >ENSMUSP00000146826.1 pep:known chromosome:GRCm38:7:44551759:44553708:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000209017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] MLGHELCRVCGDKASGFHYNVLSCEGCKGFFRRSVVHGGAGRYACRGSGTCQMDAFMRRK CQLCRLRKCKEAGMREQCVLSEEQIRKKRIQKQQQQQPPPPSEPAASSSGR >ENSMUSP00000146455.1 pep:known chromosome:GRCm38:7:44551822:44553895:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000208366.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] MSSPTSSLDTPVPGNGSPQPSTSATSPTIKEEGQETDPPPGSEGSSSAYIVVILEPEDEP ERKRKKGPAPKMLGHELCRVCGDKASGFHYNVLSCEGCKGFFRRSVVHGGAGRYACRGSG TCQMDAFMRRKCQLCRLRKCKEAGMREQCVLSEEQIRKKRI >ENSMUSP00000146601.1 pep:known chromosome:GRCm38:7:44552186:44553901:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000207737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] MVLLSPVPPPRHPLLRKRGRRLILLQALKGPALPTSWSQRMSLSASGRRGRPRR >ENSMUSP00000146517.1 pep:known chromosome:GRCm38:7:44552229:44553923:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000128600.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] MSSPTSSLDTPVPGNGSPQPSTSATSPTIKEEGQETDPPPGSEGSSSAYIVVILEPE >ENSMUSP00000147076.1 pep:known chromosome:GRCm38:7:44552593:44553212:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000142298.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] MSSPTSSLDTPVPGNGSPQPSTS >ENSMUSP00000126788.1 pep:known chromosome:GRCm38:7:44549652:44553923:-1 gene:ENSMUSG00000060601.13 transcript:ENSMUST00000167197.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1h2 description:nuclear receptor subfamily 1, group H, member 2 [Source:MGI Symbol;Acc:MGI:1352463] MSSPTSSLDTPVPGNGSPQPSTSATSPTIKEEGQETDPPPGSEGSSSAYIVVILEPEDEP ERKRKKGPAPKMLGHELCRVCGDKASGFHYNVLSCEGCKGFFRRSVVHGGAGRYACRGSG TCQMDAFMRRKCQLCRLRKCKEAGMREQCVLSEEQIRKKRIQKQQQQQPPPPSEPAASSS GRPAASPGTSEASSQGSGEGEGIQLTAAQELMIQQLVAAQLQCNKRSFSDQPKVTPWPLG ADPQSRDARQQRFAHFTELAIISVQEIVDFAKQVPGFLQLGREDQIALLKASTIEIMLLE TARRYNHETECITFLKDFTYSKDDFHRAGLQVEFINPIFEFSRAMRRLGLDDAEYALLIA INIFSADRPNVQEPSRVEALQQPYVEALLSYTRIKRPQDQLRFPRMLMKLVSLRTLSSVH SEQVFALRLQDKKLPPLLSEIWDVHE >ENSMUSP00000113341.1 pep:known chromosome:GRCm38:15:79417856:79441999:-1 gene:ENSMUSG00000022433.18 transcript:ENSMUST00000117786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1e description:casein kinase 1, epsilon [Source:MGI Symbol;Acc:MGI:1351660] MELRVGNKYRLGRKIGSGSFGDIYLGANIASGEEVAIKLECVKTKHPQLHIESKFYKMMQ GGVGIPSIKWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIH SKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYA SINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVL CKGYPSEFSTYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFGAARN PEDVDRERREHEREERMGQLRGSATRALPPGPPTGATANRLRSAAEPVASTPASRIQQTG NTSPRAISRADRERKVSMRLHRGAPANVSSSDLTGRQEVSRLAASQTSVPFDHLGK >ENSMUSP00000113975.1 pep:known chromosome:GRCm38:15:79419166:79442002:-1 gene:ENSMUSG00000022433.18 transcript:ENSMUST00000120859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1e description:casein kinase 1, epsilon [Source:MGI Symbol;Acc:MGI:1351660] MELRVGNKYRLGRKIGSGSFGDIYLGANIASGEEVAIKLECVKTKHPQLHIESKFYKMMQ GGVGIPSIKWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIH SKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYA SINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVL CKGYPSEFSTYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFGAARN PEDVDRERREHEREERMGQLRGSATRALPPGPPTGATANRLRSAAEPVASTPASRIQQTG NTSPRAISRADRERKVSMRLHRGAPANVSSSDLTGRQEVSRLAASQTSVPFDHLGK >ENSMUSP00000113096.1 pep:known chromosome:GRCm38:15:79422481:79441818:-1 gene:ENSMUSG00000022433.18 transcript:ENSMUST00000122044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1e description:casein kinase 1, epsilon [Source:MGI Symbol;Acc:MGI:1351660] MELRVGNKYRLGRKIGSGSFGDIYLGANIASGEEVAIKLECVKTKHPQLHIESKFYKMMQ GGVGIPSIKWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIH SKNFIHRDVKPDNFLMGLGKKGNLVYIIDFGLAKKYRDARTHQHIPYRENKNLTGTARYA SINTHLGIEQSRRDDLESLGYVLMYFNLGSLPWQGLKAATKRQKYERISEKKMSTPIEVL CKGYPSEFSTYLNFCRSLRFDDKPDYSYLRQLFRNLFHRQGFSYDYVFDWNMLKFGASSS QAQPRDNEALAPPCPRPWPCAGPAYSPTYWCPAPLGTQGPPDRPVEEEVEQLPPQRYWPV VWTPGPQF >ENSMUSP00000115637.1 pep:known chromosome:GRCm38:15:79426399:79439018:-1 gene:ENSMUSG00000022433.18 transcript:ENSMUST00000144790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1e description:casein kinase 1, epsilon [Source:MGI Symbol;Acc:MGI:1351660] MELRVGNKYRLGRKIGSGSFGDIYLGANIASGEEVAIKLECVKTKHPQLHIESKFYKMMQ GGVGIPSIKWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEYIH SKNFIHRDVKPDNFLMGLGKKG >ENSMUSP00000122135.1 pep:known chromosome:GRCm38:15:79426468:79441818:-1 gene:ENSMUSG00000022433.18 transcript:ENSMUST00000135519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1e description:casein kinase 1, epsilon [Source:MGI Symbol;Acc:MGI:1351660] MELRVGNKYRLGRKIGSGSFGDIYLGANIASGEEVAIKLECVKTKHPQLHIESKFYKMMQ GGVGIPSIKWCGAEGDYNVMVMELLGPSLEDLFNFCSRKFSLKTVLLLADQMISRIEY >ENSMUSP00000116593.1 pep:known chromosome:GRCm38:15:79430061:79443919:-1 gene:ENSMUSG00000022433.18 transcript:ENSMUST00000156043.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csnk1e description:casein kinase 1, epsilon [Source:MGI Symbol;Acc:MGI:1351660] MELRVGNKYRLGRKIGSGSFGDIYLGANIASGEEVAIKLECVKTKHPQLHIESKFYKMMQ >ENSMUSP00000111466.1 pep:known chromosome:GRCm38:17:3635240:3696261:-1 gene:ENSMUSG00000023802.4 transcript:ENSMUST00000115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nox3 description:NADPH oxidase 3 [Source:MGI Symbol;Acc:MGI:2681162] MPVCWILNESGSFVVALLWLAVNAYLFIDTFFWYTEEEAFFYTRVILGSALAWARASAVC LNFNCMLILLPVSRNFISLVRGTSVCCRGPWRRQLDKNLNFHKLVAYGIAVNSVIHIVAH LFNLERYHLGQAKDAEGLLAALSKLGDAPNESYLNPVRTFDMGTTTELLMTVSGITGLGI SLALVFIMTSSTEFIRRSSYELFWYTHHIFVFFFISLAIHGGGRIIRGQTPESLRLHNVT YCRDHYAEWQAAALCPVPQFSGKEPSAWKWALGPVVLYACERIIRFWRSHQEVVITKVVS HPSAVLELHMKKRDFKMAPGQYIFIQCPSVSPLEWHPFTLTSAPQEDFFSVHIRASGDWT EALLKAFRVEGQAPSELCSMPRLAVDGPFGGSLADVFHYPVSVCIATGIGVTPFASLLKS VWYKCCESQSLPELSKVYFYWICRDAGAFEWFADLLLSLETRMSEQGKAHLLSYHIYLTG WDENQAIHIALHWDESLDVITGLKQKAFYGRPNWNDEFKQIAYNHPSSSIGVFFCGSKAM SKTLQKMCRLYSSVDPRGVHFYYNKENF >ENSMUSP00000056509.4 pep:known chromosome:GRCm38:16:23220656:23225368:-1 gene:ENSMUSG00000045231.4 transcript:ENSMUST00000055369.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC106179 description:cDNA sequence BC106179 [Source:MGI Symbol;Acc:MGI:3702726] MFWSPATWNSHSRYAGRQDPVTKVKTAGHLPSTGLRIRLLEKSGGNILLKKQSGSLTISD YPQTTSERLKTFLCKRTGSHHLAAPSVLSILEKRFDYSEAVITSQISTSELGEESNRAPR TQKIAGLGFLCSKIASTVRLLEPGPERSLQVQIAASHHQPGKEKIAASSPAPVFQIVFLH PLILSP >ENSMUSP00000062794.7 pep:known chromosome:GRCm38:3:69819542:69859940:-1 gene:ENSMUSG00000043461.13 transcript:ENSMUST00000051239.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptssb description:serine palmitoyltransferase, small subunit B [Source:MGI Symbol;Acc:MGI:1913433] MDFKRVKEYFAWLYYQYQIITCCAVMEPWEQSMLNTIILTIVAMVVYTAYVFIPIHIRLA WEFFSKICGYDSSISN >ENSMUSP00000131241.1 pep:known chromosome:GRCm38:3:69820578:69859922:-1 gene:ENSMUSG00000043461.13 transcript:ENSMUST00000171529.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sptssb description:serine palmitoyltransferase, small subunit B [Source:MGI Symbol;Acc:MGI:1913433] MDFKRVKEYFAWLYYQYQIITCCAVMEPWEQSMLNTIILTIVAMVVYTAYVFIPIHIRLA WEFFSKICGYDSSISN >ENSMUSP00000043111.5 pep:known chromosome:GRCm38:3:28805436:28807415:1 gene:ENSMUSG00000039221.10 transcript:ENSMUST00000043867.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl22l1 description:ribosomal protein L22 like 1 [Source:MGI Symbol;Acc:MGI:1915278] MAPQKDKKPKKSTWRFHLDLTHPVEDGIFDSGNFEQFLREKVKVNGKTGNLGNVVHIERL KNKITVVSEKQFSKRYLKYLTKKYLKKNNLRDWLRVVASDKETYELRYFQISQDEDGSES ED >ENSMUSP00000141510.1 pep:known chromosome:GRCm38:3:28805469:28807424:1 gene:ENSMUSG00000039221.10 transcript:ENSMUST00000194649.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl22l1 description:ribosomal protein L22 like 1 [Source:MGI Symbol;Acc:MGI:1915278] MAPKDKKPKKSTWRFHLDLTHPVEDGIFDSGNFEQFLREKVKVNGKTGNLGNVVHIERLK NKITVVSEKQFSKRYLKYLTKKYLKKNNLRDWLRVVASDKETYELRYFQISQDEDGSESE D >ENSMUSP00000141360.1 pep:known chromosome:GRCm38:3:28805510:28807422:1 gene:ENSMUSG00000039221.10 transcript:ENSMUST00000193168.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpl22l1 description:ribosomal protein L22 like 1 [Source:MGI Symbol;Acc:MGI:1915278] MAPQKDKKPKKSTWRFHLDLTHPVEDGIFDSGNFGTFPSDHLGFPSLVLHSFCLKIGTVS TGEG >ENSMUSP00000023601.7 pep:known chromosome:GRCm38:16:23224740:23360348:1 gene:ENSMUSG00000022885.15 transcript:ENSMUST00000023601.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6gal1 description:beta galactoside alpha 2,6 sialyltransferase 1 [Source:MGI Symbol;Acc:MGI:108470] MIHTNLKRKFSCFVLVFLLFAIICVWKKGSDYEALTLQAKVFQMPKSQEKVAVGPAPQAV FSNSKQDPKEGVQILSYPRVTAKVKPQPSLQVWDKDSTYSKLNPRLLKIWRNYLNMNKYK VSYKGPGPGVKFSVEALRCHLRDHVNVSMIEATDFPFNTTEWEGYLPKENFRTKAGPWHK CAVVSSAGSLKNSQLGREIDNHDAVLRFNGAPTDNFQQDVGTKTTIRLVNSQLVTTEKRF LKDSLYTEGILILWDPSVYHADIPQWYQKPDYNFFETYKSYRRLHPSQPFYILKPQMPWE LWDIIQEISPDLIQPNPPSSGMLGIIIMMTLCDQVDIYEFLPSKRKTDVCYYHQKFFDSA CTMGAYHPLLFEKNMVKHLNEGTDEDIYLFGKATLSGFRNNRC >ENSMUSP00000121865.1 pep:known chromosome:GRCm38:16:23269629:23321179:1 gene:ENSMUSG00000022885.15 transcript:ENSMUST00000128050.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6gal1 description:beta galactoside alpha 2,6 sialyltransferase 1 [Source:MGI Symbol;Acc:MGI:108470] MIHTNLKRKFSCFVLVFLLFAIICVWKKGSDYE >ENSMUSP00000110992.1 pep:known chromosome:GRCm38:16:23290470:23358595:1 gene:ENSMUSG00000022885.15 transcript:ENSMUST00000115335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6gal1 description:beta galactoside alpha 2,6 sialyltransferase 1 [Source:MGI Symbol;Acc:MGI:108470] MIHTNLKRKFSCFVLVFLLFAIICVWKKGSDYEALTLQAKVFQMPKSQEKVAVGPAPQAV FSNSKQDPKEGVQILSYPRVTAKVKPQPSLQVWDKDSTYSKLNPRLLKIWRNYLNMNKYK VSYKGPGPGVKFSVEALRCHLRDHVNVSMIEATDFPFNTTEWEGYLPKENFRTKAGPWHK CAVVSSAGSLKNSQLGREIDNHDAVLRFNGAPTDNFQQDVGTKTTIRLVNSQLVTTEKRF LKDSLYTEGILILWDPSVYHADIPQWYQKPDYNFFETYKSYRRLHPSQPFYILKPQMPWE LWDIIQEISPDLIQPNPPSSGMLGIIIMMTLCDQVDIYEFLPSKRKTDVCYYHQKFFDSA CTMGAYHPLLFEKNMVKHLNEGTDEDIYLFGKATLSGFRNNRC >ENSMUSP00000136206.1 pep:known chromosome:GRCm38:16:23226021:23360350:1 gene:ENSMUSG00000022885.15 transcript:ENSMUST00000178797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6gal1 description:beta galactoside alpha 2,6 sialyltransferase 1 [Source:MGI Symbol;Acc:MGI:108470] MIHTNLKRKFSCFVLVFLLFAIICVWKKGSDYEALTLQAKVFQMPKSQEKVAVGPAPQAV FSNSKQDPKEGVQILSYPRVTAKVKPQPSLQVWDKDSTYSKLNPRLLKIWRNYLNMNKYK VSYKGPGPGVKFSVEALRCHLRDHVNVSMIEATDFPFNTTEWEGYLPKENFRTKAGPWHK CAVVSSAGSLKNSQLGREIDNHDAVLRFNGAPTDNFQQDVGTKTTIRLVNSQLVTTEKRF LKDSLYTEGILILWDPSVYHADIPQWYQKPDYNFFETYKSYRRLHPSQPFYILKPQMPWE LWDIIQEISPDLIQPNPPSSGMLGIIIMMTLCDQVDIYEFLPSKRKTDVCYYHQKFFDSA CTMGAYHPLLFEKNMVKHLNEGTDEDIYLFGKATLSGFRNNRC >ENSMUSP00000015100.8 pep:known chromosome:GRCm38:5:32458843:32495523:1 gene:ENSMUSG00000014956.15 transcript:ENSMUST00000015100.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1cb description:protein phosphatase 1, catalytic subunit, beta isoform [Source:MGI Symbol;Acc:MGI:104871] MADGELNVDSLITRLLEVRGCRPGKIVQMTEAEVRGLCIKSREIFLSQPILLELEAPLKI CGDIHGQYTDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLL RGNHECASINRIYGFYDECKRRFNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDLQ SMEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWGENDRGVSFTFGADVVSKFLNRHDL DLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGGMMSVDETLMCSFQILKPSEK KAKYQYGGLNSGRPVTPPRTANPPKKR >ENSMUSP00000144047.1 pep:known chromosome:GRCm38:5:32459152:32517433:1 gene:ENSMUSG00000014956.15 transcript:ENSMUST00000201360.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1cb description:protein phosphatase 1, catalytic subunit, beta isoform [Source:MGI Symbol;Acc:MGI:104871] MADGELNVDSLITRLLEVRGCRPGKIVQMTEAEVRGLCIKSREIFLSQPILLELEAPLKI CGDIHGQYTDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLL RGNHECASINRIYGFYDECKRRFNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDLQ SMEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWGENDRGVSFTFGADVVSKFLNRHDL DLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGGMMSVDETLMCSFQILKPSEK KAKYQYGGLNSGRPVTPPRTANPPKKR >ENSMUSP00000144167.1 pep:known chromosome:GRCm38:5:32459222:32491039:1 gene:ENSMUSG00000014956.15 transcript:ENSMUST00000202078.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1cb description:protein phosphatase 1, catalytic subunit, beta isoform [Source:MGI Symbol;Acc:MGI:104871] MADGELNVDSLITRLLEAAIVDEKIFCCHGGLSPDLQSMEQIRRIMRPTDVPDTDIETI >ENSMUSP00000144350.1 pep:known chromosome:GRCm38:5:32485970:32492756:1 gene:ENSMUSG00000014956.15 transcript:ENSMUST00000201880.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1cb description:protein phosphatase 1, catalytic subunit, beta isoform [Source:MGI Symbol;Acc:MGI:104871] ADVVSKFLNRHDLDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGGMMSVDET LMCSFQILKPSEKKAKYQYGGLNSGRPVTPPRTANPPKKR >ENSMUSP00000015901.4 pep:known chromosome:GRCm38:10:7792891:7823135:1 gene:ENSMUSG00000015757.10 transcript:ENSMUST00000015901.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil4 description:peptidylprolyl isomerase (cyclophilin)-like 4 [Source:MGI Symbol;Acc:MGI:1914668] MAVLLETTLGDVVIDLYTEERPRACLNFLKLCKIKYYNYCLIHNVQRDFIIQTGDPTGTG RGGESIFGQLYGDQASFFEAEKVPRIKHKKKGTVSMVNNGSDQHGSQFLITTGENLDYLD GVHTVFGEVTEGMDIVKKINETFVDKDFVPYQDIRINHTVILDDPFDDPPDLLIPDRSPE PTKEQLDSGRIGADEEIDDFKGRSAEEVEEIKAEKEAKTQAILLEMVGDLPDADIKPPEN VLFVCKLNPVTTDEDLEIIFSRFGPIRSCEVIRDWKTGESLCYAFIEFEKEEDCEKAFFK MDNVLIDDRRIHVDFSQSVAKVKWKGKGGKYTKSDFKEYEKEQDKPANLVLKEKVKPKQD AKYDLILDEQGEDSKSSHSHTSKKHKKKTRHCSEEKEDEEYMPIKNPNQDIYREMGFGHY EEEESCWEKQKNEKRDRRQNRSRSRSRERDGHYSNSHKPKYQTEPYERERSRKRDRSRSP KKSKAKEKSKYR >ENSMUSP00000119332.1 pep:known chromosome:GRCm38:10:7792921:7812874:1 gene:ENSMUSG00000015757.10 transcript:ENSMUST00000143214.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppil4 description:peptidylprolyl isomerase (cyclophilin)-like 4 [Source:MGI Symbol;Acc:MGI:1914668] MAVLLETTLGDVVIDLYTEERPRACLNFLKLCKIKYYNYCLIHNVQRDFIIQTGDPTGTG RGGESIFG >ENSMUSP00000129801.1 pep:known chromosome:GRCm38:3:110246109:110250998:-1 gene:ENSMUSG00000049300.11 transcript:ENSMUST00000168412.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prmt6 description:protein arginine N-methyltransferase 6 [Source:MGI Symbol;Acc:MGI:2139971] MSLSKKRKLESGDSGGAGAGGEGAEEENGGEQEAAPPRPRRTKSERDQLYYECYSDVSVH EEMIADQVRTEAYRLGILKNWAALRGKTVLDVGAGTGILSIFCAQAGARRVYAVEASAIW QQAREVVRLNGLEDRVHVLPGPVETVELPERVDAIVSEWMGYGLLHESMLSSVLHARTKW LKEGGLLLPASAELFVAPISDQMLEWRLGFWSQVKQHYGVDMSCMESFATRCLMGHSEIV VQDLSGEDVLARPQRFAQLELARAGLEQELEAGVGGRFRCSCYGSAPLHGFAVWFQVTFP GGDSEKPLVLSTSPFHPATHWKQALLYLNEPVPVEQDTDISGEITLLPSPDNPRRLRILL RYKVGDHEEKTKDFAMED >ENSMUSP00000102177.1 pep:known chromosome:GRCm38:3:110248549:110250998:-1 gene:ENSMUSG00000049300.11 transcript:ENSMUST00000106567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt6 description:protein arginine N-methyltransferase 6 [Source:MGI Symbol;Acc:MGI:2139971] MSLSKKRKLESGDSGGAGAGGEGAEEENGGEQEAAPPRPRRTKSERDQLYYECYSDVSVH EEMIADQVRTEAYRLGILKNWAALRGKTVLDVGAGTGILSIFCAQAGARRVYAVEASAIW QQAREVVRLNGLEDRVHVLPGPVETVELPERVDAIVSEWMGYGLLHESMLSSVLHARTKW LKEGGLLLPASAELFVAPISDQMLEWRLGFWSQVKQHYGVDMSCMESFATRCLMGHSEIV VQDLSGEDVLARPQRFAQLELARAGLEQELEAGVGGRFRCSCYGSAPLHGFAVWFQVTFP GGDSEKPLVLSTSPFHPATHWKQALLYLNEPVPVEQDTDISGEITLLPSPDNPRRLRILL RYKVGDHEEKTKDFAMED >ENSMUSP00000140836.1 pep:known chromosome:GRCm38:3:110246112:110250993:-1 gene:ENSMUSG00000049300.11 transcript:ENSMUST00000190378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prmt6 description:protein arginine N-methyltransferase 6 [Source:MGI Symbol;Acc:MGI:2139971] MSLSKKRKLESGDSGGAGAGGEGAEEENGGEQEAAPPRPRRTKSERDQLYYECYSDVSVH EEMIADQVRTEAYRLGILKNWAALRGKTVLDVGAGTGILSIFCAQAGARRVYAVEASAIW QQAREVVRLNGLEDRVHVLPGPVETVELPERVDAIVSEWMGYGLLHESMLSSVLHARTKW LKEGGLLLPASAELFVAPISDQMLEWRLGFWSQVKQHYGVDMSCMESFATRCLMGHSEIV VQDLSGEDVLARPQRFAQLELARAGLEQELEAGVGGRFRCSCYGSAPLHGFAVWFQVTFP GGDSEKPLVLSTSPFHPATHWKQALLYLNEPVPVEQDTDISGEITLLPSPDNPRRLRILL RYKVGDHEEKTKDFAMED >ENSMUSP00000110172.1 pep:known chromosome:GRCm38:2:23069068:23114508:1 gene:ENSMUSG00000026781.15 transcript:ENSMUST00000114526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd5 description:acyl-Coenzyme A binding domain containing 5 [Source:MGI Symbol;Acc:MGI:1921409] MLFLAFHAGSWGSWCCCCCVITADRPWDRGRRWQLEMADTPSVYETRFEAAVKVIQSLPK NGSFQPTNEMMLKFYSFYKQATEGPCKLSRPGFWDPIGRYKWDAWSSLGDMTKEEAMIAY VEEMKKIIETMPMTEKVEELLHVIGPFYEIVEDKKSSKSSDLTSDLGNVLTSSNAKAVNG KAESSDSGAESEEEEAQEELKGAEQSGSDDKKTLKKSADKNLEIIVTNGYKGSFVQDIQS DIHTDSSRSTRSSEDEKPGDESSQQTGHTIVCAHQDRNEDPSEDASGIHHLTSDSDSEVY CDSMEQFGQEEYYLGGDPTQHLESSGFCEDAQQSPGNGSIGKMWMVAVKGKGEVKHGGED GRSSSGAPHRETRGGESEDFSSVRRGRGNRIPHLSEGPKGRQVGSGGDGERWGSDRGSRG SLNEQIALVLIRLQEDMQNVLQRLHKLETLTASQAKLSLQTSNQPSSQRPAWWPFEMSPG ALAFAIIWPFIAQWLAHLYYQRRRRKLN >ENSMUSP00000028121.7 pep:known chromosome:GRCm38:2:23068168:23114510:1 gene:ENSMUSG00000026781.15 transcript:ENSMUST00000028121.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd5 description:acyl-Coenzyme A binding domain containing 5 [Source:MGI Symbol;Acc:MGI:1921409] MADTPSVYETRFEAAVKVIQSLPKNGSFQPTNEMMLKFYSFYKQATEGPCKLSRPGFWDP IGRYKWDAWSSLGDMTKEEAMIAYVEEMKKIIETMPMTEKVEELLHVIGPFYEIVEDKKS SKSSDLTSDLGNVLTSSNAKAVNGKAESSDSGAESEEEEAQEELKGAEQSGSDDKKTLKK SADKNLEIIVTNGYKGSFVQDIQSDIHTDSSRSTRSSEDEKPGDESSQQTGHTIVCAHQD RNEDPSEDASGIHHLTSDSDSEVYCDSMEQFGQEEYYLGGDPTQHLESSGFCEDAQQSPG NGSIGKMWMVAVKGKGEVKHGGEDGRSSSGAPHRETRGGESEDFSSVRRGRGNRIPHLSE GPKGRQVGSGGDGERWGSDRGSRGSLNEQIALVLIRLQEDMQNVLQRLHKLETLTASQAK LSLQTSNQPSSQRPAWWPFEMSPGALAFAIIWPFIAQWLAHLYYQRRRRKLN >ENSMUSP00000121395.1 pep:known chromosome:GRCm38:2:23068235:23075570:1 gene:ENSMUSG00000026781.15 transcript:ENSMUST00000144088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd5 description:acyl-Coenzyme A binding domain containing 5 [Source:MGI Symbol;Acc:MGI:1921409] MADTPSVYETRFEAAVKVIQSLPKNGSFQPTNEMMLKFYSFYKQATEGPCKL >ENSMUSP00000117325.1 pep:known chromosome:GRCm38:2:23069327:23087572:1 gene:ENSMUSG00000026781.15 transcript:ENSMUST00000155602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd5 description:acyl-Coenzyme A binding domain containing 5 [Source:MGI Symbol;Acc:MGI:1921409] MADTPSVYETRFEAAVKVIQSLPKNGSFQPTNEMMLKFYSFYKQATEGPCKLSRPGFWDP IGRYKWDAWSSLGDMTKEEAMIAYVEEMKKIIETMPMTEKVEELLHVIGPFYEIVEDKKS SKSSDLTSDLGNVLTSSNAKAVNGKAESSDSGAESEE >ENSMUSP00000110175.2 pep:known chromosome:GRCm38:2:23069072:23114510:1 gene:ENSMUSG00000026781.15 transcript:ENSMUST00000114529.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd5 description:acyl-Coenzyme A binding domain containing 5 [Source:MGI Symbol;Acc:MGI:1921409] MLFLAFHAGSWGSWCCCCCVITADRPWDRGRRWQLEMADTPSVYETRFEAAVKVIQSLPK NGSFQPTNEMMLKFYSFYKQATEGPCKLSRPGFWDPIGRYKWDAWSSLGDMTKEEAMIAY VEEMKKIIETMPMTEKVEELLHVIGPFYEIVEDKKSSKSSDLTSDLGNVLTSSNAKAVNG KAESSDSGAESEEEEAQEELKGAEQSGSDDKKTLKKSADKNLEIIVTNGYKGSFVQDIQS DIHTDSSRSTRSSEDEKPGDESSQQTGHTIVCAHQDRNEDPSEDASGIHHLTSDSDSEVY CDSMEQFGQEEYYLGGDPTQHLESSGFCEDAQQSPGNGSIGKMWMVAVKGKGEVKHGGED GRSSSGAPHRETRGGESEDFSSVRRGRVGNRIPHLSEGPKGRQVGSGGDGERWGSDRGSR GSLNEQIALVLIRLQEDMQNVLQRLHKLETLTASQAKLSLQTSNQPSSQRPAWWPFEMSP GALAFAIIWPFIAQWLAHLYYQRRRRKLN >ENSMUSP00000110169.2 pep:known chromosome:GRCm38:2:23068201:23114510:1 gene:ENSMUSG00000026781.15 transcript:ENSMUST00000114523.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acbd5 description:acyl-Coenzyme A binding domain containing 5 [Source:MGI Symbol;Acc:MGI:1921409] MADTPSVYETRFEAAVKVIQSLPKNGSFQPTNEMMLKFYSFYKQATEGPCKLSRPGFWDP IGRYKWDAWSSLGDMTKEEAMIAYVEEMKKIIETMPMTEKVEELLHVIGPFYEIVEDKKS SKSSDLTSDLGNVLTSSNAKAVNGKAESSDSGAESEEEEAQEELKGAEQSGSDDKKTLKK SADKNLEIIVTNGYKGSFVQDIQSDIHTDSSRSTRSSEDEKPGDESSQQTGHTIVCAHQD RNEDPSEDASGIHHLTSDSDSEVYCDSMEQFGQEEYYLGGDPTQHLESSGFCEDAQQSPG NGSIGKMWMVAVKGKGEVKHGGEDGRSSSGAPHRETRGGESEDFSSVRRGRVGNRIPHLS EGPKGRQVGSGGDGERWGSDRGSRGSLNEQIALVLIRLQEDMQNVLQRLHKLETLTASQA KLSLQTSNQPSSQRPAWWPFEMSPGALAFAIIWPFIAQWLAHLYYQRRRRKLN >ENSMUSP00000117476.1 pep:known chromosome:GRCm38:2:32287384:32297776:1 gene:ENSMUSG00000002546.16 transcript:ENSMUST00000139494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga2 description:golgi autoantigen, golgin subfamily a, 2 [Source:MGI Symbol;Acc:MGI:2139395] MAARAALVVNSTRRSGLATAWPRLPVVHRSHQPMGLEKLREYQQKNSPGVPAGAKKKKKI KNGHSPERPTASDCQSPENIKDILKVLVSDLNRSNGVSLPPLDKRKVPTDHIAPAPPTAA TDTMFLGVTPSPDADLTQSHDAGNCSNLMEETKTFSSTESLRQLSQQLNGLVSESTSYIN GEGLTSSNMKELENRYQELAV >ENSMUSP00000109004.1 pep:known chromosome:GRCm38:2:32288253:32307921:1 gene:ENSMUSG00000002546.16 transcript:ENSMUST00000113377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga2 description:golgi autoantigen, golgin subfamily a, 2 [Source:MGI Symbol;Acc:MGI:2139395] MWPPRFPPPRPGMSEETRQSKLAAAKKKLREYQQKNSPGVPAGAKKKKKIKNGHSPERPT ASDCQSPENVPTDHIAPAPPTAATDTMFLGVTPSPDADLTQSHDAGNCSNLMEETKTFSS TESLRQLSQQLNGLVSESTSYINGEGLTSSNMKELENRYQELAVALDSSYVTNKQLSSTI EELKQQNQDTLDQLEKEKKDYQQKLAKEQGSLREQLQVHIQTIGILVSEKAELQTALAHT QQAARQKAGESEDLASRLQSSRQRVGELERTLSTVSTQQKQADRYNKDLTKERDALKLEL YKNSKSNEDLRQQNSELEEKLRVLVAEKAAAQLGVEELQKKLEMSELLLQQFSSQSSAAG GNEQLQHAMEERAQLETHVSQLMESLKQLQVERDQYAENLKGESAMWQQRVQQMAEQVHT LKEEKEHRERQVQELETSLAALRSQMEEPPPPEPPAGPSEAEEQLQGEVEQLHKELERLT GQLRAQVQDNESLSHLNREQEGRLLELEREAQRWSEQAEERKQILESMQSDRTTISRALS QNRELKEQLAELQNGFVRLTNENMEITSALQSEQHVKKELARKLGELQERLGELKETVEL KSQEAQGLQEQRDQCLSHLQQYAAAYQQHLAAYEQLTSEKEAIHKQLLLQTQLMDQLQHE EVQGKMAAELARQELQEAQERLKATSQENQQLQAQLSLLVLPGEGDVDQEEEDEEVPQSS LAIPEDLDSREAMVAFFNAAIARAEEEQARLRVQLKEQKARCRSLSHLAAPVQSKLEKEA VVPRNVDDSASEESNQALHVAMEKLQSRFLEVMQEKVELKERVEELEHCCIQLSGETDTI GEYIALYQNQRAVLKARHLEKEEYISRLAQDKEEMKVKLLELQELVLRLVNERNEWQGKF LAVSQNPGDVLTPVPTGSQEFGAADQQDDLREVSLADDIEPAQGEAGVPAPHENPTAQQI MQLLREIQNPRERPGLGSNPCIPFFYRADENDEVKIMVV >ENSMUSP00000097768.2 pep:known chromosome:GRCm38:2:32288313:32307912:1 gene:ENSMUSG00000002546.16 transcript:ENSMUST00000100194.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga2 description:golgi autoantigen, golgin subfamily a, 2 [Source:MGI Symbol;Acc:MGI:2139395] MWPPRFPPPRPGMSEETRQSKLAAAKKKLREYQQKNSPGVPAGAKKKKKIKNGHSPERPT ASDCQSPENIKDILKVLVSDLNRSNGVSLPPLDKRKVPTDHIAPAPPTAATDTMFLGVTP SPDADLTQSHDAGNCSNLMEETKTFSSTESLRQLSQQLNGLVSESTSYINGEGLTSSNMK ELENRYQELAVALDSSYVTNKQLSSTIEELKQQNQDTLDQLEKEKKDYQQKLAKEQGSLR EQLQVHIQTIGILVSEKAELQTALAHTQQAARQKAGESEDLASRLQSSRQRVGELERTLS TVSTQQKQADRYNKDLTKERDALKLELYKNSKSNEDLRQQNSELEEKLRVLVAEKAAAQL GVEELQKKLEMSELLLQQFSSQSSAAGGNEQLQHAMEERAQLETHVSQLMESLKQLQVER DQYAENLKGESAMWQQRVQQMAEQVHTLKEEKEHRERQVQELETSLAALRSQMEEPPPPE PPAGPSEAEEQLQGEVEQLHKELERLTGQLRAQVQDNESLSHLNREQEGRLLELEREAQR WSEQAEERKQILESMQSDRTTISRALSQNRELKEQLAELQNGFVRLTNENMEITSALQSE QHVKKELARKLGELQERLGELKETVELKSQEAQGLQEQRDQCLSHLQQYAAAYQQHLAAY EQLTSEKEAIHKQLLLQTQLMDQLQHEEVQGKMAAELARQELQEAQERLKATSQENQQLQ AQLSLLVLPGEGDVDQEEEDEEVPQSSLAIPEDLDSREAMVAFFNAAIARAEEEQARLRV QLKEQKARCRSLSHLAAPVQSKLEKEAVVPRNVDDSASEESNQALHVAMEKLQSRFLEVM QEKVELKERVEELEHCCIQLSGETDTIGEYIALYQNQRAVLKARHLEKEEYISRLAQDKE EMKVKLLELQELVLRLVNERNEWQGKFLAVSQNPGDVLTPVPTGSQEFGAADQQDDLREV SLADDIEPAQGEAGVPAPHENPTAQQIMQLLREIQNPRERPGLGSNPCIPFFYRADENDE VKIMVV >ENSMUSP00000114169.2 pep:known chromosome:GRCm38:2:32288333:32302959:1 gene:ENSMUSG00000002546.16 transcript:ENSMUST00000131712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga2 description:golgi autoantigen, golgin subfamily a, 2 [Source:MGI Symbol;Acc:MGI:2139395] XPPRPGMSEETRQSKLAAAKKKLREYQQKNSPGVPAGAKKKKKIKNGHSPERPTASDCQS PENSHDAGNCSNLMEETKTFSSTESLRQLSQQLNGLVSESTSYINGEGLTSSNMKELEKQ QNQDTLDQLEKEKKDYQQKLAKEQGSLREQLQVHIQTIGILVSEKAELQTALAHTQQAAR QKAGESEDLASRLQSSRQRVGELERTLSTVSTQQKQADRYNKDLTKERDALKLELYKNSK SNEDLRQQNSELEEKLRVLVAEKAAAQLGVEELQKKLEMSELLLQQFSSQSSAAGGNEQL QHAMEERAQLETHVSQLMESLKQLQVERDQYAENLKG >ENSMUSP00000080374.7 pep:known chromosome:GRCm38:2:32288346:32307913:1 gene:ENSMUSG00000002546.16 transcript:ENSMUST00000081670.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga2 description:golgi autoantigen, golgin subfamily a, 2 [Source:MGI Symbol;Acc:MGI:2139395] GMSEETRQSKLAAAKKKLREYQQKNSPGVPAGAKKKKKIKNGHSPERPTASDCQSPENSH DAGNCSNLMEETKTFSSTESLRQLSQQLNGLVSESTSYINGEGLTSSNMKELENRYQELA VALDSSYVTNKQLSSTIEELKQQNQDTLDQLEKEKKDYQQKLAKEQGSLREQLQVHIQTI GILVSEKAELQTALAHTQQAARQKAGESEDLASRLQSSRQRVGELERTLSTVSTQQKQAD RYNKDLTKERDALKLELYKNSKSNEDLRQQNSELEEKLRVLVAEKAAAQLGVEELQKKLE MSELLLQQFSSQSSAAGGNEQLQHAMEERAQLETHVSQLMESLKQLQVERDQYAENLKGE SAMWQQRVQQMAEQVHTLKEEKEHRERQVQELETSLAALRSQMEEPPPPEPPAGPSEAEE QLQGEVEQLHKELERLTGQLRAQVQDNESLSHLNREQEGRLLELEREAQRWSEQAEERKQ ILESMQSDRTTISRALSQNRELKEQLAELQNGFVRLTNENMEITSALQSEQHVKKELARK LGELQERLGELKETVELKSQEAQGLQEQRDQCLSHLQQYAAAYQQHLAAYEQLTSEKEAI HKQLLLQTQLMDQLQHEEVQGKMAAELARQELQEAQERLKATSQENQQLQAQLSLLVLPG EGDVDQEEEDEEVPQSSLAIPEDLDSREAMVAFFNAAIARAEEEQARLRVQLKEQKARCR SLSHLAAPVQSKLEKEAVVPRNVDDSASEESNQALHVAMEKLQSRFLEVMQEKVELKERV EELEHCCIQLSGETDTIGEYIALYQNQRAVLKARHLEKEEYISRLAQDKEEMKVKLLELQ ELVLRLVNERNEWQGKFLAVSQNPGDVLTPVPTGSQEFGAADQQDDLREVSLADDIEPAQ GEAGVPAPHENPTAQQIMQLLREIQNPRERPGLGSNPCIPFFYRADENDEVKIMVV >ENSMUSP00000121886.2 pep:known chromosome:GRCm38:2:32288351:32299310:1 gene:ENSMUSG00000002546.16 transcript:ENSMUST00000147707.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga2 description:golgi autoantigen, golgin subfamily a, 2 [Source:MGI Symbol;Acc:MGI:2139395] XSEETRQSKLAAAKKKLREYQQKNSPGVPAGAKKKKKIKNGHSPERPTASDCQSPENIKD ILKVLVSDLNRSNGVSLPPLDKRKVPTDHIAPAPPTAATDTMFLGVTPSPDADLTQSHDA GNCSNLMEETKTFSSTESLRQLSQQLNGLVSESTSYINGEGLTSSNMKELEKQQNQDTLD QLEKEKKDYQQKLAKEQGSLREQLQVHIQTIGILVSEKAELQTALAHTQQAARQKAGESE DLASRLQSSRQRVGELERTLSTVSTQQKQADR >ENSMUSP00000115003.1 pep:known chromosome:GRCm38:2:32288355:32302200:1 gene:ENSMUSG00000002546.16 transcript:ENSMUST00000129193.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Golga2 description:golgi autoantigen, golgin subfamily a, 2 [Source:MGI Symbol;Acc:MGI:2139395] EETRQSKLAAAKKKLREYQQKNSPGVPAGAKKKKKIKNGHSPERPTASDCQSPENVPTDH IAPAPPTAATDTMFLGVTPSPDADLTQSHDAGNCSNLMEETKTFSSTESLRQLSQQLNGL VSESTSYINGEGLTSSNMKELEKQQNQDTLDQLEKEKKDYQQKLAKEQGSLREQLQVHIQ TIGILVSEKAELQTALAHTQQAARQKAGESEDLASRLQSSRQRVGELERTLSTVSTQQKQ ADRYNKDLTKERDALKLELYKNSKSNEDLRQQNSELEEKLRV >ENSMUSP00000137373.1 pep:known chromosome:GRCm38:X:4289286:4291245:-1 gene:ENSMUSG00000094596.1 transcript:ENSMUST00000179325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10921 description:predicted gene 10921 [Source:MGI Symbol;Acc:MGI:3709274] MGLLVSRVLRCRDSSLLQPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSVYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKMRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTLKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000063648.7 pep:known chromosome:GRCm38:16:56204338:56273756:1 gene:ENSMUSG00000035270.15 transcript:ENSMUST00000069936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impg2 description:interphotoreceptor matrix proteoglycan 2 [Source:MGI Symbol;Acc:MGI:3044955] MIMFLPVGRMSLGILILFLTGGNLVSASEERQEPMHAVSVLSPEKSTDLSLPTRKRQLLD ATETGRRWLLRRRRSILFPNGVKICSSETVAEAVANHVKYFKARVCQEAIWEAFRTFWDR LPGRDEYRHWMNLCEDGVTSVFEMGAHFSQSVEHRNLIMKKLAYTREAESSSCKDQSCGP ELSFPVPIGETSTLTGAVSSASYPGLASESSAASPQESISNEIENVTEEPTQPAAEQIAE FSIQLLGKRYSEELRDPSSALYRLLVEEFISEVEKAFTGLPGYKGIRVLEFRAPEENDSG IDVHYAVTFNGEAISNTTWDLISLHSNKVENHGLVEMDDKPTAVYTISNFRDYIAETLHQ NFLMGNSSLNPDPKSLQLINVRGVLLPQTEDIVWNTQSSSLQVTTSSILDNTLQAEWLSA DTTTTTTTTISPFGFSSSSPSATGRELQSQSALRDVVSTSKLASPTKVVLSSLPEILGGS SLTLHSVTPAVLQPDLPVAPEGRTSGSFILEDGLASTEELEDTSIDGLPSSPLIQPVPKE TVPPMEDSDTALLSTPHLTSSAIEDLTKDIGTPSGLESLASNISDQLEVIPWFPDTSVEK DFIFESGLGSGSGKDVDVIDWPWSETSLEKTTKPLSKSWSEEQDALLPTEGREKLHIDGR VDSTEQIIESSEHRYGDRPIHFIEEESHVRSTIPIFVESATPPTSPIFSKHTSDVPDIDS YSLTKPPFLPVTIAIPASTKKTDEVLKEDMVHTESSSHKELDSEVPVSRPDMQPVWTMLP ESDTVWTRTSSLGKLSRDTLASTPESTDRLWLKASMTQSTELPSTTHSTQLEEEVIMAVQ DISLELDQVGTDYYQSELTEEQHGKADSYVEMSTSVHYTEMPIVALPTKGGVLSHTQTAG ALVVFFSLRVTNMLFSEDLFNKNSLEYKALEQRFLELLVPYLQSNLSGFQNLEILSFRNG SIVVNSRVRFAESAPPNVNKAMYRILEDFCTTAYQTMNLDIDKYSLDVESGDEANPCKFQ ACNEFSECLVNPWSGEAKCKCYPGYLSVDELPCQSLCDLQPDFCLNDGKCDIMPGHGAIC RCRVGSNWWYRGQHCEEFVSEPFVIGITIASVVSFLLVASAVVFFLVKMLQAQNVRRERQ RPTSSSRHPDSLSSVENAMKYNPAYESHLAGCELYEKSYSQHPFYSSASEEVIGGLSREE IRQMYESSDLSKEEIQERMRILELYANDPEFAAFVREHQMEEL >ENSMUSP00000125135.1 pep:known chromosome:GRCm38:16:56204313:56271160:1 gene:ENSMUSG00000035270.15 transcript:ENSMUST00000160116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Impg2 description:interphotoreceptor matrix proteoglycan 2 [Source:MGI Symbol;Acc:MGI:3044955] MIMFLPVGRMSLGILILFLTGGNLVSASEERQEPMHAVSVLSPEKSTDLSLPTRKRQLLD ATETGRRWLLRRRRSILFPNGVKICSSETVAEAVANHVKYFKARVCQEAIWEAFRTFWDR LPGRDEYRHWMNLCEDGVTSVFEMGAHFSQSVEHRNLIMKKLAYTREAESSSCKDQSCGP ELSFPVPIGETSTLTGAVSSASYPGLASESSAASPQESISNEIENVTEEPTQPAAEQIAE FSIQLLGKRYSEELRDPSSALYRLLVEEFISEVEKAFTGLPGYKGIRVLEFRAPEENDSG IDVHYAVTFNGEAISNTTWDLISLHSNKVENHGLVEMDDKPTAVYTISNFRDYIAETLHQ NFLMGNSSLNPDPKSLQLINAVLQPDLPVAPEGRTSGSFILEDGLASTEELEDTSIDGLP SSPLIQPVPKETVPPMEDSDTALLSTPHLTSSAIEDLTKDIGTPSGLESLASNISDQLEV IPWFPDTSVEKDFIFESGLGSGSGKDVDVIDWPWSETSLEKTTKPLSKSWSEEQDALLPT EGREKLHIDGRVDSTEQIIESSEHRYGDRPIHFIEEESHVRSTIPIFVESATPPTSPIFS KHTSDVPDIDSYSLTKPPFLPVTIAIPASTKKTDEVLKEDMVHTESSSHKELDSEVPVSR PDMQPVWTMLPESDTVWTRTSSLGKLSRDTLASTPESTDRLWLKASMTQSTELPSTTHST QLEEEVIMAVQDISLELDQVGTDYYQSELTEEQHGKADSYVEMSTSVHYTEMPIVALPTK GGVLSHTQTAGALVVFFSLRVTNMLFSEDLFNKNSLEYKALEQRFLELLVPYLQSNLSGF QNLEILSFRNGSIVVNSRVRFAESAPPNVNKAMYRILEDFCTTAYQTMNLDIDKYSLDVE SGDEANPCKFQACNEFSECLVNPWSGEAKCKCYPGYLSVDELPCQSLCDLQPDFCLNDGK CDIMPGHGAICRCRVGSNWWYRGQHCEEFVSEPFVIGITIASVVSFLLVASAVVFFLVKM LQAQNVRRERQRPTSSSRHPDSLSSVENAMKYNPAYESHLAGCELYEKSYSQHPFYSSAS EEVIGGLSREEIRQMYESSDLSKEEIQERMRILELYANDPEFAAFVREHQMEEL >ENSMUSP00000091769.3 pep:known chromosome:GRCm38:7:83879873:83884305:-1 gene:ENSMUSG00000070462.4 transcript:ENSMUST00000094216.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mesdc1 description:mesoderm development candidate 1 [Source:MGI Symbol;Acc:MGI:1891420] MASGSAGKPTGEAASPAPGSAVGGASSQPRKRLVSICDHCKGKMQLVADLLLLSSEARPV LFEGPASPGAGAESFEQCRDTIIARTKGLSILTHDVQSQLNMGRFGEAGDSLVELGDLVV SLTECSAHAAYLAAVATPGAQPAQPGLVDRYRVTRCRHEVEQGCAVLRATPLADMTPQLL LEVSQGLSRNLKFLTDACALASDKSRDRFSREQFKLGVKCMSTSASALLACVREVKAAPS ELARSRCALFSGPLVQAVSALVGFATEPQFLGRAAAVSTEGKAVQTAILGGAMSVVSACV LLTQCLRDLAQHPDGSAKMSDHRERLRNSACAVSEGCTLLSQALRERSSPRTLPPVNSNS VN >ENSMUSP00000144001.1 pep:known chromosome:GRCm38:5:32611171:32685577:1 gene:ENSMUSG00000014932.15 transcript:ENSMUST00000202543.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yes1 description:Yamaguchi sarcoma viral (v-yes) oncogene homolog 1 [Source:MGI Symbol;Acc:MGI:99147] MGCIKSKENKSPAIKYTPENLTEPVSPSASHYGVEHATVAPTSSTKGASVNFNSLSMTPF GGSSGVTPFGGASSSFSVVSSSYPTGLTGGVTIFVALYDYEARTTEDLSFKKGERFQIIN NTEGDWWEARSIATGKSGYIPSNYVVPADSIQAEEWYFGKMGRKDAERLLLNPGNQRGIF LVRESETTKGAYSLSIRDWDEVRGDNVKHYKIRKLDNGGYYITTRAQFDTLQKLVKHYTE HADGLCHKLTTVCPTVKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTKV AIKTLKPGTMMPEAFLQEAQIMKKLRHDKLVPLYAVVSEEPIYIVTEFMSKGSLLDFLKE GDGKYLKLPQLVDMAAQIADGMAYIERMNYIHRDLRAANILVGENLICKIADFGLARLIE DNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILQTELVTKGRVPYPGMVNREVL EQVERGYRMPCPQGCPESLHELMNLCWKKDPDERPTFEYIQSFLEDYFTATEPQYQPGEN L >ENSMUSP00000072154.6 pep:known chromosome:GRCm38:5:32611184:32687057:1 gene:ENSMUSG00000014932.15 transcript:ENSMUST00000072311.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yes1 description:Yamaguchi sarcoma viral (v-yes) oncogene homolog 1 [Source:MGI Symbol;Acc:MGI:99147] MGCIKSKENKSPAIKYTPENLTEPVSPSASHYGVEHATVAPTSSTKGASVNFNSLSMTPF GGSSGVTPFGGASSSFSVVSSSYPTGLTGGVTIFVALYDYEARTTEDLSFKKGERFQIIN NTEGDWWEARSIATGKSGYIPSNYVVPADSIQAEEWYFGKMGRKDAERLLLNPGNQRGIF LVRESETTKGAYSLSIRDWDEVRGDNVKHYKIRKLDNGGYYITTRAQFDTLQKLVKHYTE HADGLCHKLTTVCPTVKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTKV AIKTLKPGTMMPEAFLQEAQIMKKLRHDKLVPLYAVVSEEPIYIVTEFMSKGSLLDFLKE GDGKYLKLPQLVDMAAQIADGMAYIERMNYIHRDLRAANILVGENLICKIADFGLARLIE DNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILQTELVTKGRVPYPGMVNREVL EQVERGYRMPCPQGCPESLHELMNLCWKKDPDERPTFEYIQSFLEDYFTATEPQYQPGEN L >ENSMUSP00000132161.2 pep:known chromosome:GRCm38:5:32611335:32687057:1 gene:ENSMUSG00000014932.15 transcript:ENSMUST00000168707.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yes1 description:Yamaguchi sarcoma viral (v-yes) oncogene homolog 1 [Source:MGI Symbol;Acc:MGI:99147] MGCIKSKENKSPAIKYTPENLTEPVSPSASHYGVEHATVAPTSSTKGASVNFNSLSMTPF GGSSGVTPFGGASSSFSVVSSSYPTGLTGGVTIFVALYDYEARTTEDLSFKKGERFQIIN NTEGDWWEARSIATGKSGYIPSNYVVPADSIQAEEWYFGKMGRKDAERLLLNPGNQRGIF LVRESETTKGAYSLSIRDWDEVRGDNVKHYKIRKLDNGGYYITTRAQFDTLQKLVKHYTE HADGLCHKLTTVCPTVKPQTQGLAKDAWEIPRESLRLEVKLGQGCFGEVWMGTWNGTTKV AIKTLKPGTMMPEAFLQEAQIMKKLRHDKLVPLYAVVSEEPIYIVTEFMSKGSLLDFLKE GDGKYLKLPQLVDMAAQIADGMAYIERMNYIHRDLRAANILVGENLICKIADFGLARLIE DNEYTARQGAKFPIKWTAPEAALYGRFTIKSDVWSFGILQTELVTKGRVPYPGMVNREVL EQVERGYRMPCPQGCPESLHELMNLCWKKDPDERPTFEYIQSFLEDYFTATEPQYQPGEN L >ENSMUSP00000144355.1 pep:known chromosome:GRCm38:5:32639531:32653183:1 gene:ENSMUSG00000014932.15 transcript:ENSMUST00000200999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yes1 description:Yamaguchi sarcoma viral (v-yes) oncogene homolog 1 [Source:MGI Symbol;Acc:MGI:99147] MGCIKSKENKSPAIKYTPENLTEPVSPSASHYGVEHATVAPTSSTKGASVNFNSLSMTPF GGSSGVTPFGGASSSFSVVSSSYPTGLTGGVTIFVALYDYEARTTEDLSFKKGERFQIIN NTEGDWWEARSIATGKSGYIPSNYVVPADSIQAEEWYFGKMGRKDAERLLLNPGNQRGIF LVRESETTKGAYSLSIRD >ENSMUSP00000145595.1 pep:known chromosome:GRCm38:7:24715023:24726908:-1 gene:ENSMUSG00000058717.8 transcript:ENSMUST00000205376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4763 description:predicted gene 4763 [Source:MGI Symbol;Acc:MGI:3643098] MGACRIQYVLLLSLLGFCPCSDTLKCQKGIMVKLGSGFTKSEIKWISPGTTETAPEEICQ ETLLLIDVGEKSLILASKGSSKAESKSVNDVQVFSGGPGIVTASYVHFCNTELCNSANST SVLIKNVKLSGPSCEMQRSFFRERRLTFPHELQGFRQLTVSCFSSFLQQQRLQNFCKQSL FLPLPAPLLKIQ >ENSMUSP00000080361.4 pep:known chromosome:GRCm38:7:24722494:24724290:-1 gene:ENSMUSG00000058717.8 transcript:ENSMUST00000081657.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4763 description:predicted gene 4763 [Source:MGI Symbol;Acc:MGI:3643098] MELWVETVNFICTNMWSEKSLILASKGSSKAESKSVNDVQVFSGGPGIVTASYVHFCNTE LCNSANSTSVLIKNVKLSESSGQGSIQCPVCLHFRGSCSQHTKFVLCPKDTRCYFSDMTV EGGGLKNFFSLDGCLANSAKNLLKSQTSIGIFSVVEVSNPGSSKSPSRVVASILLTWMLG LRALLSSLYAGICPLC >ENSMUSP00000141964.1 pep:known chromosome:GRCm38:13:119827961:119830216:-1 gene:ENSMUSG00000094237.2 transcript:ENSMUST00000195234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AF067063 description:cDNA sequence AF067063 [Source:MGI Symbol;Acc:MGI:2687009] MKRFCPCLVQDTSHSEEHALQTSQELPALRPRYSRSEPQCFCGEPNHCHEDDWIVDWEPY YLPCVLESWDCLRYHSGLNCAMKKGTEVFQIESQRGPQVFPGDMDNDKDTEEPDQPLPSL LREKGLELETCDGGDCPDQDPASDSPKHLGCCLWLQRAFGQKK >ENSMUSP00000136704.1 pep:known chromosome:GRCm38:13:119828089:119828678:-1 gene:ENSMUSG00000094237.2 transcript:ENSMUST00000179455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AF067063 description:cDNA sequence AF067063 [Source:MGI Symbol;Acc:MGI:2687009] MKRFCPCLVQDTSHSEEHALQTSQELPALRPRYSRSEPQCFCGEPNHCHEDDWIVDWEPY YLPCVLESWDCLRYHSGLNCAMKKGTEVFQIESQRGPQVFPGDMDNDKDTEEPDQPLPSL LREKGLELETCDGGDCPDQDPASDSPKHLGCCLWLQRAFGQKK >ENSMUSP00000046654.3 pep:known chromosome:GRCm38:11:32205415:32208984:1 gene:ENSMUSG00000040767.10 transcript:ENSMUST00000039601.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp25 description:small nuclear ribonucleoprotein 25 (U11/U12) [Source:MGI Symbol;Acc:MGI:1925622] MVVQDPLLCDLPIQVTLEEVNSQIALEYGQAMTVRVCKMDGEVMPVVVVQNATVLDLKKA IQRYVQLKQEREGGVQHISWSYVWRTYHLTSAGEKLTEDRKKLRDYGIRNRDEVSFIKKL RQK >ENSMUSP00000119306.1 pep:known chromosome:GRCm38:11:32205446:32208408:1 gene:ENSMUSG00000040767.10 transcript:ENSMUST00000149043.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp25 description:small nuclear ribonucleoprotein 25 (U11/U12) [Source:MGI Symbol;Acc:MGI:1925622] MVVQDPLLCDLPIQVTLEEVNSQIALEYGQAMTVRVCKMDGENATVLDLKKAIQRYVQLK QEREGGVQHISWSYVWRTYHLTSAGEKLTEDRKKLR >ENSMUSP00000112483.1 pep:known chromosome:GRCm38:11:32205488:32208873:1 gene:ENSMUSG00000040767.10 transcript:ENSMUST00000121182.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp25 description:small nuclear ribonucleoprotein 25 (U11/U12) [Source:MGI Symbol;Acc:MGI:1925622] MVVQDPLLCDLPIQVTLEEVNSQIALEYGQAMTVRVCKMDGEVMPVVVVQNATVLDLKKA IQRYVQLKQEREGGVQHISCTSVPSLQVLCMADIPSDLSWGEAHRGQEEAQRLWHPEPR >ENSMUSP00000054734.3 pep:known chromosome:GRCm38:3:7503483:7553836:1 gene:ENSMUSG00000043542.12 transcript:ENSMUST00000051064.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc2hc1a description:zinc finger, C2HC-type containing 1A [Source:MGI Symbol;Acc:MGI:1914556] MDGLEENGSVVQVGDLLPCKICGRTFFPLALKKHGPICQKTATKKRKTFDSSRQRAEGTD IPTVKPLKPRPEPPKKPSNWRRKHEEFIATIRAAKGLDQALKEGGKLPPPPPPSYDPDYI QCPYCQRRFNENAADRHINFCKEQAARISNKGKFSTDSKGKPASRPQYKPSPLKKSNPPG IPSSGSSRLPQPSTTSKTIVGVPTGKASSVNSPLGNKPQTLSPSHRAIAAPQAGANTKAR NTTPPSLARNSVAGVLTNKRKTLTENYAARPDGDYTSSVNGGNSKGIEGNSSGHLPKFCH ECGTKYPVEWAKFCCECGIRRMIL >ENSMUSP00000141497.1 pep:known chromosome:GRCm38:3:7503486:7552075:1 gene:ENSMUSG00000043542.12 transcript:ENSMUST00000193010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc2hc1a description:zinc finger, C2HC-type containing 1A [Source:MGI Symbol;Acc:MGI:1914556] MDGLEENGSVVQVGDLLPCKICGRTFFPLALKKHGPICQKTATKKRKTFDSSRQRAEGTD IPTVKPLKPRPEPPKKPSNWRRKHEEFIATIRAAKGLDQALKEGGKLPPPPPPSYDPDYI QCPYCQRRFNENAADRHINFCKEQAARISNKGKFSTDSKGKPASRPQYKPSPLKKSNPPG IPSSGSSRLPQPSTTSKTIVGVPTGKASSVNSPLGNKPQTLSPSHRAIAAPQAGPDGDYT SSVNGGNSKGIEGNSSGHLPKFCHECGTKYPVEWAKFCCECGIRRMIL >ENSMUSP00000028347.6 pep:known chromosome:GRCm38:2:60750193:60963192:-1 gene:ENSMUSG00000026970.16 transcript:ENSMUST00000028347.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms1 description:RNA binding motif, single stranded interacting protein 1 [Source:MGI Symbol;Acc:MGI:1861774] MGKVWKQQMYPQYATYYYPQYLQAKQSLVPAHPMAPPSPSTTSSNNNSSSSSNSGWDQLS KTNLYIRGLPPNTTDQDLVKLCQPYGKIVSTKAILDKATNKCKGYGFVDFDSPAAAQKAV SALKANGVQAQMAKQQEQDPTNLYISNLPLSMDEQELENMLKPFGQVISTRVLRDSSGAS RGVGFARMESTEKCEAVIGHFNGKFIKTPPGVSAPTEPLLCKFADGGQKKRQNPNKYIPN GRPWPRDGEAGMTLTYDPTTAALHNGFYPSPYSIATNRMITQTSLTPYIASPVSAYQVQS PSWMQPQPYILQHPGAVLTPSMEHTMSLQPASMISPLAQQMSHLSLGSTGTYMPATSAMQ GAYLPQYTHMQTAAVPVEEASGQQQVAVETSNDHSPYTFPPNK >ENSMUSP00000108128.1 pep:known chromosome:GRCm38:2:60752722:60881470:-1 gene:ENSMUSG00000026970.16 transcript:ENSMUST00000112509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms1 description:RNA binding motif, single stranded interacting protein 1 [Source:MGI Symbol;Acc:MGI:1861774] MAPPSPSTTSSNNNSSSSSNSGWDQLSKTNLYIRGLPPNTTDQDLVKLCQPYGKIVSTKA ILDKATNKCKGYGFVDFDSPAAAQKAVSALKANGVQAQMAKQQEQDPTNLYISNLPLSMD EQELENMLKPFGQVISTRVLRDSSGASRGVGFARMESTEKCEAVIGHFNGKFIKTPPGVS APTEPLLCKFADGGQKKRQNPNKYIPNGRPWPRDGEAGMTLTYDPTTAALHNGFYPSPYS IATNRMITQTSLTPYIASPVSAYQVAKETRENKYRGSAIKVQSPSWMQPQPYILQHPGAV LTPSMEHTMSLQPASMISPLAQQMSHLSLGSTGTYMPATSAMQGAYLPQYTHMQTAAVPV EEASGQQQVAVETSNDHSPYTFPPNK >ENSMUSP00000131306.1 pep:known chromosome:GRCm38:2:60751953:60881438:-1 gene:ENSMUSG00000026970.16 transcript:ENSMUST00000164147.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbms1 description:RNA binding motif, single stranded interacting protein 1 [Source:MGI Symbol;Acc:MGI:1861774] MIFPSGSGHPGAAGGCRTPYRKQQSLVPAHPMAPPSPSTTSSNNNSSSSSNSGWDQLSKT NLYIRGLPPNTTDQDLVKLCQPYGKIVSTKAILDKATNKCKGYGFVDFDSPAAAQKAVSA LKANGVQAQMAKQQEQDPTNLYISNLPLSMDEQELENMLKPFGQVISTRVLRDSSGASRG VGFARMESTEKCEAVIGHFNGKFIKTPPGVSAPTEPLLCKFADGGQKKRQNPNKYIPNGR PWPRDGEAGMTLTYDPTTAALHNGFYPSPYSIATNRMITQTSLTPYIASPVSAYQVAKET RENKYRGSAIKVQSPSWMQPQPYILQHPGAVLTPSMEHTMSLQPASMISPLAQQMSHLSL GSTGTYMPATSAMQGAYLPQYTHMQTAAVPVEEASGQQQVAVETSNDHSPYTFPPNK >ENSMUSP00000025061.4 pep:known chromosome:GRCm38:17:28549489:28552618:1 gene:ENSMUSG00000024224.4 transcript:ENSMUST00000025061.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clpsl2 description:colipase-like 2 [Source:MGI Symbol;Acc:MGI:2685595] MAFTQALVTVLALLAGTLPHRHSENSPPKKANGDKCVHHTQCSSDCCLIDLERSGAFCTP KSRIGMGCLPQTKGSLNIMCPCRSGLNCHSKDPTCPRRCQMI >ENSMUSP00000118377.1 pep:known chromosome:GRCm38:3:65946151:65958162:-1 gene:ENSMUSG00000027829.15 transcript:ENSMUST00000129002.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccnl1 description:cyclin L1 [Source:MGI Symbol;Acc:MGI:1922664] MASGPHPTSTAAAAAAAAASASSAAPSAGGSSSGTTTTTTTTTGGILIGDRLYSEVSLTI DHSLIPEERLSPTPSMQDGLDLPSETDLRILGCELIQAAGILLRLPQVAMATGQVLFHRF FYSKSFVKHSFEIVAMACINLASKIEEAPRRIRDVINVFHHLRQLRGKSDQLHLPKPG >ENSMUSP00000119229.1 pep:known chromosome:GRCm38:3:65946151:65958169:-1 gene:ENSMUSG00000027829.15 transcript:ENSMUST00000154585.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccnl1 description:cyclin L1 [Source:MGI Symbol;Acc:MGI:1922664] MASGPHPTSTAAAAAAAAASASSAAPSAGGSSSGTTTTTTTTTGGILIGDRLYSEVSLTI DHSLIPEERLSPTPSMQDGLDLPSETDLRILGCELIQAAGILLRLPQVAMATGQVLFHRF FYSKSFVKHSFEIVAMACINLASKIEEAPRRIRDVINVFHHLRQLRGKSDQLHLPKPG >ENSMUSP00000029416.7 pep:known chromosome:GRCm38:3:65946151:65958249:-1 gene:ENSMUSG00000027829.15 transcript:ENSMUST00000029416.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnl1 description:cyclin L1 [Source:MGI Symbol;Acc:MGI:1922664] MASGPHPTSTAAAAAAAAASASSAAPSAGGSSSGTTTTTTTTTGGILIGDRLYSEVSLTI DHSLIPEERLSPTPSMQDGLDLPSETDLRILGCELIQAAGILLRLPQVAMATGQVLFHRF FYSKSFVKHSFEIVAMACINLASKIEEAPRRIRDVINVFHHLRQLRGKRTPSPLILDQNY INTKNQVIKAERRVLKELGFCVHVKHPHKIIVMYLQVLECERNQTLVQTAWNYMNDSLRT NVFVRFQPETIACACIYLAARALQIPLPTRPHWFLLFGTTEEEIQEICIETLRLYTRKKP NYELLEKEVEKRKVALQEAKLKAKGLNLDGTPALSTLGGFSPASKPSSPREVKAEEKSPV SINVKTVKKEPEDRQQASKSPYNGVRKDSKRSRTSRSASRSRSRTRSRSRSHSPRRHYNN RRSRSGTYSSRSRSRSRSHSESPRRHHNHGSPHLKAKHTREDLKSSNRHGHKRKKSRSRS QSKTRDHSDVTKKHRHERGHHRDRRERSRSFERSHKGKHHGGSRSGHGRHRR >ENSMUSP00000118394.1 pep:known chromosome:GRCm38:3:65946195:65958032:-1 gene:ENSMUSG00000027829.15 transcript:ENSMUST00000135719.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccnl1 description:cyclin L1 [Source:MGI Symbol;Acc:MGI:1922664] SGTTTTTTTTTGGILIGDRLYSEVSLTIDHSLIPEERLSPTPSMQDGLDLPSETDLRILG CELIQAAGILLRLPQVAMATGQVLFHRFFYSKSFVKHSFEIVAMACINLASKIEEAPRRI RDVINVFHHLRQLRGKSDQLHLPKPG >ENSMUSP00000122824.1 pep:known chromosome:GRCm38:3:65947954:65956856:-1 gene:ENSMUSG00000027829.15 transcript:ENSMUST00000144810.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccnl1 description:cyclin L1 [Source:MGI Symbol;Acc:MGI:1922664] XSDQLHLPKPG >ENSMUSP00000122314.1 pep:known chromosome:GRCm38:3:65949901:65956889:-1 gene:ENSMUSG00000027829.15 transcript:ENSMUST00000145186.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccnl1 description:cyclin L1 [Source:MGI Symbol;Acc:MGI:1922664] XVFHHLRQLRGKSDQLHLPKPG >ENSMUSP00000056555.3 pep:known chromosome:GRCm38:16:30599723:30602797:1 gene:ENSMUSG00000046546.3 transcript:ENSMUST00000059078.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam43a description:family with sequence similarity 43, member A [Source:MGI Symbol;Acc:MGI:2676309] MLPWKKHKFELLAEAPPRQASKPKGYAVSLHYSALSSLARACPEGALSRVGSMFRSKRKK LHITSEDPTYTVLYLGNATTIQARGDGCTDLAVGKIWSKSEAGRQGTKMKLTVSAQGIRM VHAEERALRRPGHLYLLHRVTYCVADARLPKVFAWVYRHELKHKAVMLRCHAVLVSKPEK AQAMALLLYQTSANALAEFKRLKRRDDARHQQQELVGAHTIPLVPLRKLLLHGPCCYKPP VERSRSAPKLGSITEDLLGEQQEEELQEEEEEHLEDCLEEEEEEDGVGDGDPAEEEAEAQ RALVVAMQLECEDLLDPLENGHEEALGDGGVSLGPSSGTSLPLSVCASDMKAQLSQLISD LGDLSFGNDVSTLETDLRVTRLLSGESTGSESSIEGGGLDATPVSPGNPSGPADSTSLDE PYSG >ENSMUSP00000048817.8 pep:known chromosome:GRCm38:16:75755190:75766821:-1 gene:ENSMUSG00000032932.14 transcript:ENSMUST00000046283.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa13 description:heat shock protein 70 family, member 13 [Source:MGI Symbol;Acc:MGI:1309463] MAGEMTILGSAVLTLLLAGYLAQQYLPLPTPKVIGIDLGTTYCSVGVFFPGTGKVKVIPD ENGHISIPSMVSFTDGDVYVGYESLELADSNPQNTIYDAKRFIGKIFTPEELEAEVGRYP FKVLHRNGMAEFSVTSNETIIVSPEFVGSRLLLKLKEMAEEYLGMPVANAVISVPAEFDL QQRNSTIQAANLAGLKILRVINEPTAAAMAYGLHKVDVFYVLVIDLGGGTLDVSLLNKQG GMFLTRAMSGNNKLGGQDFNQRLLQHLYKEIYQTYGFLPSRKEEIHRLRQAVEMVKLNLT IHQSAQVSVLLTVEGKDSKEPQNGDSELPKDQLTPGDGHHVNRVFRPGLSESKSGKSQVL FETEVSRKLFDALNEDLFQKILVPIQQVLKEGLLDKTEIDEVVLVGGSTRIPRIRQVIQE FFGKDPNTSVDPDLAVVTGVAIQAGIDGGSWPLQVSALEIPNKHLQKTNFN >ENSMUSP00000109882.1 pep:known chromosome:GRCm38:16:75756640:75766791:-1 gene:ENSMUSG00000032932.14 transcript:ENSMUST00000114244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspa13 description:heat shock protein 70 family, member 13 [Source:MGI Symbol;Acc:MGI:1309463] MAGEMTILGSAVLTLLLAGYLAQQYLPLPTPKVIGIDLGTTYCSVGVFFPGTGKVKVIPD ENGHISIPSMVSFTDGDVYVGYESLELADSNPQNTIYDAKRFIGKIFTPEELEAEVGRYP FKVLHRNGMAEFSVTSNETIIVSPEFVGSRLLLKLKEMAEEYLGMPVANAVISVPAEFDL QQRNSTIQAANLAGLKILRVINEPTAAAMAYGLHKVDVFYVLVIDLGGGTLDVSLLNKQG GMFLTRAMSGFLTLLGTDYQ >ENSMUSP00000097788.4 pep:known chromosome:GRCm38:2:30344809:30359240:-1 gene:ENSMUSG00000026860.16 transcript:ENSMUST00000100215.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb2 description:SH3-domain GRB2-like endophilin B2 [Source:MGI Symbol;Acc:MGI:2385131] MDFNMKKLASDAGIFFTRAVQFTEEKFGQAEKTELDAHFENLLARADSTKNWTERILRQT EVLLQPNPSARVEEFLYEKLDRKVPSRVTNGELLAQYMAEAASELGPSTPYGKTLIKVSE AEKRLGAAERDFIHTASLSFLTPLRNFLEGDWKTISKERRLLQNRRLDLDACKARLKKAK AAEAKATTVPDFQETRPRNYILSASASALWNDEVDKAEQELRVAQTEFDRQAEVTRLLLE GISSTHVNHLRCLHEFVKSQTTYYAQCYRHMLDLQKQLGSSQGAIFPGTFVGTTEPASPP LSSTSPTTTAATMPVVPTGAVLAPPEEAALCLEEVAPPASGTRKARVLYDYEAADSSELA LLADELITVYSLPGMDPDWLIGERGNKKGKVPVTYLELLS >ENSMUSP00000028214.8 pep:known chromosome:GRCm38:2:30344809:30359316:-1 gene:ENSMUSG00000026860.16 transcript:ENSMUST00000028214.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb2 description:SH3-domain GRB2-like endophilin B2 [Source:MGI Symbol;Acc:MGI:2385131] MDFNMKKLASDAGIFFTRAVQFTEEKFGQAEKTELDAHFENLLARADSTKNWTERILRQT EVLLQPNPSARVEEFLYEKLDRKVPSRVTNGELLAQYMAEAASELGPSTPYGKTLIKVSE AEKRLGAAERDFIHTASLSFLTPLRNFLEGDWKTISKERRLLQNRRLDLDACKARLKKAK AAEAKATTVPDFQETRPRNYILSASASALWNDEVDKAEQELRVAQTEFDRQAEVTRLLLE GISSTHVNHLRCLHEFVKSQTTYYAQCYRHMLDLQKQLGRFPGTFVGTTEPASPPLSSTS PTTTAATMPVVPTGAVLAPPEEAALCLEEVAPPASGTRKARVLYDYEAADSSELALLADE LITVYSLPGMDPDWLIGERGNKKGKVPVTYLELLS >ENSMUSP00000109250.3 pep:known chromosome:GRCm38:2:30344811:30359265:-1 gene:ENSMUSG00000026860.16 transcript:ENSMUST00000113620.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb2 description:SH3-domain GRB2-like endophilin B2 [Source:MGI Symbol;Acc:MGI:2385131] MDFNMKKLASDAGIFFTRAVQFTEEKFGQAEKTELDAHFENLLARADSTKNWTERILRQT EVLLQPNPSARVEEFLYEKLDRKVPSRVTNGELLAQYMAEAASELGPSTPYGKTLIKVSE AEKRLGAAERDFIHTASLSFLTPLRNFLEGDWKTISKERRLLQNRRLDLDACKARLKKAK AAEAKATLWNDEVDKAEQELRVAQTEFDRQAEVTRLLLEGISSTHVNHLRCLHEFVKSQT TYYAQCYRHMLDLQKQLGRFPGTFVGTTEPASPPLSSTSPTTTAATMPVVPTGAVLAPPE EAALCLEEVAPPASGTRKARVLYDYEAADSSELALLADELITVYSLPGMDPDWLIGERGN KKGKVPVTYLELLS >ENSMUSP00000109251.3 pep:known chromosome:GRCm38:2:30344811:30359332:-1 gene:ENSMUSG00000026860.16 transcript:ENSMUST00000113621.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb2 description:SH3-domain GRB2-like endophilin B2 [Source:MGI Symbol;Acc:MGI:2385131] MDFNMKKLASDAGIFFTRAVQFTEEKFGQAEKTELDAHFENLLARADSTKNWTERILRQT EVLLQPNPSARVEEFLYEKLDRKVPSRVTNGELLAQYMAEAASELGPSTPYGKTLIKVSE AEKRLGAAERDFIHTASLSFLTPLRNFLEGDWKTISKERRLLQNRRLDLDACKARLKKAK AAEAKATCEGDTVPDFQETRPRNYILSASASALWNDEVDKAEQELRVAQTEFDRQAEVTR LLLEGISSTHVNHLRCLHEFVKSQTTYYAQCYRHMLDLQKQLGSSQGAIFPGTFVGTTEP ASPPLSSTSPTTTAATMPVVPTGAVLAPPEEAALCLEEVAPPASGTRKARVLYDYEAADS SELALLADELITVYSLPGMDPDWLIGERGNKKGKVPVTYLELLS >ENSMUSP00000131545.2 pep:known chromosome:GRCm38:2:30344811:30359289:-1 gene:ENSMUSG00000026860.16 transcript:ENSMUST00000163668.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3glb2 description:SH3-domain GRB2-like endophilin B2 [Source:MGI Symbol;Acc:MGI:2385131] MDFNMKKLASDAGIFFTRAVQFTEEKFGQAEKTELDAHFENLLARADSTKNWTERILRQT EVLLQPNPSARVEEFLYEKLDRKVPSRVTNGELLAQYMAEAASELGPSTPYGKTLIKVSE AEKRLGAAERDFIHTASLSFLTPLRNFLEGDWKTISKERRLLQNRRLDLDACKARLKKAK AAEAKATLWNDEVDKAEQELRVAQTEFDRQAEVTRLLLEGISSTHVNHLRCLHEFVKSQT TYYAQCYRHMLDLQKQLGSSQGAIFPGTFVGTTEPASPPLSSTSPTTTAATMPVVPTGAV LAPPEEAALCLEEVAPPASGTRKARVLYDYEAADSSELALLADELITVYSLPGMDPDWLI GERGNKKGKVPVTYLELLS >ENSMUSP00000023452.7 pep:known chromosome:GRCm38:16:17139064:17147229:-1 gene:ENSMUSG00000022768.15 transcript:ENSMUST00000023452.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc116 description:coiled-coil domain containing 116 [Source:MGI Symbol;Acc:MGI:1924122] MARCRHHSGYLADDEAAHSTYVAPLPKKHLLPEMRPTCKLGRVPHLPSMNQYSEHQSHQQ NFRHPLAFGGFLDFLTEGQVLDSLQTVVEQATERLAAMKTEAGVPLVDIQDPVEVPSSRH RSRARPSIDTVHRHRARPTLCAGRPNNYPSCSSSMSDSHSSITAGWLGSHSQDSDLGARG IGSLPPMRDKLLLEKNLKRLLRLENKGKILNQSCSQRDSLLWDSLGSQTSSQWTREQPLS WFSGLLGSSPATPETSELGLGEQEMIFLKQKLNKEMKSLLNQPRPFNLPTYCPLREPHHT LDFLAKHRLFPALQRVVSQAVDKLSHACRHNGFPLFPVTSETIPDLPGNSDLLQPSSKAS IPTNREARGEPCDSLTTAYSPKTSHRKSKGRRGSPPNAVQMATRFRLKVTPTQVSNVPIS SFHSMQEAPNSEPKLQKQAMASNCNHISQPCHGLHLTLPAPGITVEVASCQGRLRGPVQH RLASPCCLHSHFPFPLFPPFLSLGKSFSTSPPTLHPEVTSRAGLEVLEQPLKGRGSFTHH F >ENSMUSP00000111374.1 pep:known chromosome:GRCm38:16:17141037:17144423:-1 gene:ENSMUSG00000022768.15 transcript:ENSMUST00000115709.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc116 description:coiled-coil domain containing 116 [Source:MGI Symbol;Acc:MGI:1924122] MARCRHHSGYLADDEAAHSTYVAPLPKKHLLPEMRPTCKLGRVPHLPSMNQYSEHQSHQQ NFRHPLAFGGFLDFLTEGQVLDSLQTVVEQATERLAAMKTEAGVPLVDIQDPVEVPSSRH RSRARPSIDTVHRHRARPTLCAGRPNNYPSCSSSMSDSHSSITAGWLGSHSQDSDLGARG IGSLPPMRDKLLLEKNLKRLLRLENKGKILNQSCSQRDSLLWDSLGSQTSSQWTREQPLS WFSGLLGSSPATPETSELGLGEQEMIFLKQKLNKEMKSLLNQPRPFNLPTYCPLREPHHT LDFLAKHRLFPALQRVVSQAVDKLSHACRHNGFPLFPVTSETIPDLPGNSDLLQPSSKAS IPTNREARGEPCDSLTTAYSPKTSHRKSKGRRGSPPNAVQMATRFRLKVTPTQVSNVPIS SFHSMQEAPNSEPKLQKQAMASNCNHISQPCHGLHLTLPAPGITVEVASCQGRLRGPVQH RLASPCCLHSHFPFPLFPPFLSLGKSFSTSPPTLHPEVTSRAGLEVLEQPLKGRGSFTHH F >ENSMUSP00000111376.1 pep:known chromosome:GRCm38:16:17141036:17144209:-1 gene:ENSMUSG00000022768.15 transcript:ENSMUST00000115711.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc116 description:coiled-coil domain containing 116 [Source:MGI Symbol;Acc:MGI:1924122] MARCRHHSGYLADDEAAHSTYVAPLPKKHLLPEMRPTCKLGRVPHLPSMNQYSEHQSHQQ NFRHPLAFGGFLDFLTEGQVLDSLQTVVEQATERLAAMKTEAGVPLVDIQDPVEVPSSRH RSRARPSIDTVHRHRARPTLCAGRPNNYPSCSSSMSDSHSSITAGWLGSHSQDSDLGARG IGSLPPMRDKLLLEKNLKRLLRLENKGKILNQSCSQRDSLLWDSLGSQTSSQWTREQPLS WFSGLLGSSPATPETSELGLGEQEMIFLKQKLNKEMKSLLNQPRPFNLPTYCPLREPHHT LDFLAKHRLFPALQRVVSQAVDKLSHACRHNGFPLFPVTSETIPDLPGNSDLLQPSSKAS IPTNREARGEPCDSLTTAYSPKTSHRKSKGRRGSPPNAVQMATRFRLKVTPTQVSNVPIS SFHSMQEAPNSEPKLQKQAMASNCNHISQPCHGLHLTLPAPGITVEVASCQGRLRGPVQH RLASPCCLHSHFPFPLFPPFLSLGKSFSTSPPTLHPEVTSRAGLEVLEQPLKGRGSFTHH F >ENSMUSP00000071495.7 pep:known chromosome:GRCm38:2:11742575:11777582:-1 gene:ENSMUSG00000058594.15 transcript:ENSMUST00000071564.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo18 description:F-box protein 18 [Source:MGI Symbol;Acc:MGI:1354699] MRRFKRKHLTVVDCHHLARSHLAVTQPFSQRWTNRDPNHGLYPRPRTKGRNRGRGCQRYI SEFFLAGHQHCTNDMAKSNSVGQDSCQDAEGDMILTAESSCTLPQVDNGEARLGSSGSAQ PARKRAHCFEEATESGQWDGVTKKTPRHRLFPSCSRLREARQGAEDSLSQCSPVPGEAGR DIEDIGPDPLPDSYYGLLGMLPCQEVPSHICRLPSEVLRHIFAFLPVEDLYWNLSLVCHL WREIINDPLFIPWKKLYHRYLINEEQAVSKVDGILSSHGIEKDSDLCVLNLIRYTATTKC SPSVDPERVLWSLRDHPLLLEAEACMRQQLPDLYAAAGGINVWALVAAMVLLSSCVNDIQ HLLFCLRRPSSTVTMPDVTETLYCIAVLLYAMREKGINISNRIHYNIFYCLYLQENSCTQ ATKVTEEPSVWPGKKTSIQLTHEQQLILNHKMEPLQVVKIMAFAGTGKTSTLVKYAEKWS QSRFLYVTFNKSIAKQAELVFPSNVICKTFHSMAYSHVGRKYQLKKKLNLFKLTPFMVNS VLAEGKGGFIRAKLVCKTLENFFASADEELTIDHVPIWCKNSHGQRVMVEQSEKLNGVLE ASRLWDNMRKLGECKEEAYQMTHDGYLKLWQLSKPLLASFDAIFVDEAQDCTPAIMNIVL SQPCGKIFVGDPHQQIYTFRGAVNALFTVPHTHVFYLTQSFRFGVEIAYVGATILDVCKR VRKKTLVGGNHQSGIRGDIKGQVALLSRTNANVFDEAVRVTEGESPARIHLIGGIKSFGL DRIIDIWTLLQPEEERRKRDLIIKDRFIRRWVHKEGFSGFKRYVTAAEDKELEAKIAVVE KYNIRIPELVERIERCHIDDLDFAEYILGTVHKAKGLEFDTVHVLDDFVKVPCARHNLAQ LPHFRVESFSEDEWNLLYVAVTRAKKRLIMTKSLENILTLAGEYFLQAELTSNVLKTGVV HCCVGQCNNTIPVDTILTMKKLPITYSNRKENKGGYLCHSCAEQRIGPLAFLTASPEQVR AMERTVEDIVLPRQEALLFLVF >ENSMUSP00000116392.1 pep:known chromosome:GRCm38:2:11748437:11757556:-1 gene:ENSMUSG00000058594.15 transcript:ENSMUST00000131893.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo18 description:F-box protein 18 [Source:MGI Symbol;Acc:MGI:1354699] KTLENFFASADEELTIDHVPIWCKNSHGQRVMVEQSEKLNGVLEASRLWDNMRKLGECKE EAYQMTHDAIMNIVLSQPCGKIFVGDPHQQIYTFRGAVNALFTVPHTHVFYLTQSFRFGV EIAYVGATILDVCKRVRKKTLVGGNHQSGIRGDIKGQVALLSRTNANVFDEAVRVTEGES PARIHLIGGIKSFGLDRIIDIWTLLQPEEERRKRDLIIKDRFIRRWVHKEGFSGFKRYVT AAEDKELEAKIAVVEKYNIRIPELVERIERCHIDDLDFAEYILGTVHKAKGLEFDTV >ENSMUSP00000025961.6 pep:known chromosome:GRCm38:19:60864051:60874556:-1 gene:ENSMUSG00000024997.7 transcript:ENSMUST00000025961.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdx3 description:peroxiredoxin 3 [Source:MGI Symbol;Acc:MGI:88034] MAAAAGRLLWSSVARHASAISRSISASTVLRPVASRRTCLTDILWSASAQGKSAFSTSSS FHTPAVTQHAPYFKGTAVVNGEFKELSLDDFKGKYLVLFFYPLDFTFVCPTEIVAFSDKA NEFHDVNCEVVAVSVDSHFSHLAWINTPRKNGGLGHMNITLLSDITKQISRDYGVLLESA GIALRGLFIIDPNGVVKHLSVNDLPVGRSVEETLRLVKAFQFVETHGEVCPANWTPESPT IKPSPTASKEYFEKVHQ >ENSMUSP00000108148.1 pep:known chromosome:GRCm38:X:157443855:157492287:-1 gene:ENSMUSG00000071708.11 transcript:ENSMUST00000112529.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sms description:spermine synthase [Source:MGI Symbol;Acc:MGI:109490] MAAARHSTLDFKLGAKADGEAILKGLQSIFQEQGMTESVHTWQDHGYLATYTNKNGSFAN LRIYPHGLVLLDLQSYDSDVQGKQETDSLLNKIEEKMKELSQDSTGRVKRLPPIVRGGAI DRYWPTADGRLVEYDIDEVVYDEDSPYQNIKILHSKQFGNILILSGDVNLAESDLAYTRA IMGSGKEDYTGKDVLILGGGDGGILCEIVKLKPKMVTMVEIDQMVIDGCKKYMRRTCGDV LDNLRGDCYQVLIEDCIPVLKMYAKEGREFDYVINDLTAVPISTSPEEDSTWDFLRLILD LSMKVLKQDGKYFTQGNCVNLTEALSLYEEQLGRLYCPVEFSKEIVCVPSYLELWVFYTV WKKAKP >ENSMUSP00000131254.1 pep:known chromosome:GRCm38:X:157445696:157492066:-1 gene:ENSMUSG00000071708.11 transcript:ENSMUST00000145477.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sms description:spermine synthase [Source:MGI Symbol;Acc:MGI:109490] MAAARHSTLDFKLGAKADGEAILKGLQSIFQEQGMTESVHTWQDHGYLATYTNKNGSFAN LRIYPHGLVLLDLQSYDSDVQGKQETDSLLNKIEEKMKELSQDSTGRVKRLPPIVRGGAI DRYWPTADGRLVEYDIDEVVYDEDSPYQNIKILHSKQFGNILILSGDVNLAESDLAYTRA IMGSGKEDYTGKDVLILGGGDGGILCEIVKLKPKMVTMVEIDQMVIDGCKKYMRRTCGDV LDNLRGDCYQIPHGISSD >ENSMUSP00000115810.1 pep:known chromosome:GRCm38:X:157465864:157491372:-1 gene:ENSMUSG00000071708.11 transcript:ENSMUST00000123770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sms description:spermine synthase [Source:MGI Symbol;Acc:MGI:109490] MTESVHTWQDHGYLATYTNKNGSFANLRIYPHGLVLLDLQSYDSDVQGKQETDSLLNKIE EK >ENSMUSP00000099698.1 pep:known chromosome:GRCm38:3:108074062:108086627:-1 gene:ENSMUSG00000027889.17 transcript:ENSMUST00000102638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd2 description:adenosine monophosphate deaminase 2 [Source:MGI Symbol;Acc:MGI:88016] MASEARSGLGASPLQSARSLPGNAPCLKHFPLDLRTSMDGKCKEIAEELFSRSLAESELR SAPYEFPEESPIEQLEERRQRLERQISQDVKLEPDILLRAKQDFLKTDSDSDLQLYKEQG EGQGDRGLWERDVVLEREFQRVIISGEEKCGVPFTDLLDAAKSVVRALFIREKYMALSLQ SFCPTTRRYLQQLAEKPLETRTYEQSPDTPVSADAPVHPPALEQHPYEHCEPSAMPGDLG LGLRMVRGVVHVYTRRDPDEHCPEVELPYPDLQEFVADVNVLMALIINGPIKSFCYRRLQ YLSSKFQMHVLLNEMKELAAQKKVPHRDFYNIRKVDTHIHASSCMNQKHLLRFIKRAMKR HLEEIVHVEQGREQTLREVFESMNLTAYDLSVDTLDVHADRNTFHRFDKFNAKYNPIGES VLREIFIKTDNKISGKYFAHIIKEVMADLEESKYQNAELRLSIYGRSRDEWDKLARWAVN HKVHSPNVRWLVQVPRLFDVYRTKGQLANFQEMLENIFLPLFEATVHPASHPELHLFLEH VDGFDSVDDESKPENHVFNLESPLPEAWVEEDNPPYAYYLYYTFANMAMLNHLRRQRGFH TFVLRPHCGEAGPIHHLVSAFMLAENISHGLLLRKAPVLQYLYYLAQIGIAMSPLSNNSL FLSYHRNPLPEYLSRGLMVSLSTDDPLQFHFTKEPLMEEYSIATQVWKLSSCDMCELARN SVLMSGFSHKVKSHWLGPNYTKEGPEGNDIRRTNVPDIRVGYRYETLCQELALITQAVQS EMLETIPEEVGIVMSPGP >ENSMUSP00000099697.1 pep:known chromosome:GRCm38:3:108074064:108086651:-1 gene:ENSMUSG00000027889.17 transcript:ENSMUST00000102637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd2 description:adenosine monophosphate deaminase 2 [Source:MGI Symbol;Acc:MGI:88016] MASEARSGLGASPLQSARSLPGNAPCLKHFPLDLRTSMDGKCKEIAEELFSRSLAESELR SAPYEFPEESPIEQLEERRQRLERQISQDVKLEPDILLRAKQDFLKTDSDSDLQLYKEQG EGQGDRGLWERDVVLEREFQRVIISGEEKCGVPFTDLLDAAKSVVRALFIREKYMALSLQ SFCPTTRRYLQQLAEKPLETRTYEQSPDTPVSADAPVHPPALEQHPYEHCEPSAMPGDLG LGLRMVRGVVHVYTRRDPDEHCPEVELPYPDLQEFVADVNVLMALIINGPIKSFCYRRLQ YLSSKFQMHVLLNEMKELAAQKKVPHRDFYNIRKVDTHIHASSCMNQKHLLRFIKRAMKR HLEEIVHVEQGREQTLREVFESMNLTAYDLSVDTLDVHADRNTFHRFDKFNAKYNPIGES VLREIFIKTDNKISGKYFAHIIKEVMADLEESKYQNAELRLSIYGRSRDEWDKLARWAVN HKVHSPNVRWLVQVPRLFDVYRTKGQLANFQEMLENIFLPLFEATVHPASHPELHLFLEH VDGFDSVDDESKPENHVFNLESPLPEAWVEEDNPPYAYYLYYTFANMAMLNHLRRQRGFH TFVLRPHCGEAGPIHHLVSAFMLAENISHGLLLRKAPVLQYLYYLAQIGIAMSPLSNNSL FLSYHRNPLPEYLSRGLMVSLSTDDPLQFHFTKEPLMEEYSIATQVWKLSSCDMCELARN SVLMSGFSHKVKSHWLGPNYTKEGPEGNDIRRTNVPDIRVGYRYETLCQELALITQAVQS EMLETIPEEVGIVMSPGP >ENSMUSP00000077946.5 pep:known chromosome:GRCm38:3:108074087:108085399:-1 gene:ENSMUSG00000027889.17 transcript:ENSMUST00000078912.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ampd2 description:adenosine monophosphate deaminase 2 [Source:MGI Symbol;Acc:MGI:88016] MASYPGPGKSKAKYPFKKRAGLQASAAAPEARSGLGASPLQSARSLPGNAPCLKHFPLDL RTSMDGKCKEIAEELFSRSLAESELRSAPYEFPEESPIEQLEERRQRLERQISQDVKLEP DILLRAKQDFLKTDSDSDLQLYKEQGEGQGDRGLWERDVVLEREFQRVIISGEEKCGVPF TDLLDAAKSVVRALFIREKYMALSLQSFCPTTRRYLQQLAEKPLETRTYEQSPDTPVSAD APVHPPALEQHPYEHCEPSAMPGDLGLGLRMVRGVVHVYTRRDPDEHCPEVELPYPDLQE FVADVNVLMALIINGPIKSFCYRRLQYLSSKFQMHVLLNEMKELAAQKKVPHRDFYNIRK VDTHIHASSCMNQKHLLRFIKRAMKRHLEEIVHVEQGREQTLREVFESMNLTAYDLSVDT LDVHADRNTFHRFDKFNAKYNPIGESVLREIFIKTDNKISGKYFAHIIKEVMADLEESKY QNAELRLSIYGRSRDEWDKLARWAVNHKVHSPNVRWLVQVPRLFDVYRTKGQLANFQEML ENIFLPLFEATVHPASHPELHLFLEHVDGFDSVDDESKPENHVFNLESPLPEAWVEEDNP PYAYYLYYTFANMAMLNHLRRQRGFHTFVLRPHCGEAGPIHHLVSAFMLAENISHGLLLR KAPVLQYLYYLAQIGIAMSPLSNNSLFLSYHRNPLPEYLSRGLMVSLSTDDPLQFHFTKE PLMEEYSIATQVWKLSSCDMCELARNSVLMSGFSHKVKSHWLGPNYTKEGPEGNDIRRTN VPDIRVGYRYETLCQELALITQAVQSEMLETIPEEVGIVMSPGP >ENSMUSP00000146052.1 pep:known chromosome:GRCm38:7:25202841:25220615:-1 gene:ENSMUSG00000054499.9 transcript:ENSMUST00000205271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd2 description:death effector domain-containing DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:1914629] MALSGSTPAPSWEEDECLDYYGMLSLHRMFEVVGGQLTECELELLAFLLDEAPGAPGGLA RARSGLELLLELERRGQCDESNLRLLSQLLRVLARHDLLPHLARKRRRPVSPERYSYGNP SSSSKRTEDSCRRRRQASSSSDSPQSQWDTGSPPTKRQRRSRGRPSSGARQRRRAGLAAS QQHQQHQELGRPSSEGKVTCDIRLRVRAEYCEHGPALEQGVASRRPQALARQLDVFGQAT AVLRSRDLGSVVCDIKFSELSYLDAFWGDYLSGALLQALRGVFLTEALREAVGREAVRLL VSVDEADYEAGRRRLLLMEEEGGRRGTEAS >ENSMUSP00000049763.5 pep:known chromosome:GRCm38:7:25202840:25219859:-1 gene:ENSMUSG00000054499.9 transcript:ENSMUST00000058702.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dedd2 description:death effector domain-containing DNA binding protein 2 [Source:MGI Symbol;Acc:MGI:1914629] MALSGSTPAPSWEEDECLDYYGMLSLHRMFEVVGGQLTECELELLAFLLDEAPGAPGGLA RARSGLELLLELERRGQCDESNLRLLSQLLRVLARHDLLPHLARKRRRPVSPERYSYGNP SSSSKRTEDSCRRRRQASSSSDSPQSQWDTGSPPTKRQRRSRGRPSSGARQRRRAGLAAS QQHQQHQELGRPSSEGKVTCDIRLRVRAEYCEHGPALEQGVASRRPQALARQLDVFGQAT AVLRSRDLGSVVCDIKFSELSYLDAFWGDYLSGALLQALRGVFLTEALREAVGREAVRLL VSVDEADYEAGRRRLLLMEEEGGRRGTEAS >ENSMUSP00000111363.1 pep:known chromosome:GRCm38:16:17152013:17202649:-1 gene:ENSMUSG00000038965.15 transcript:ENSMUST00000115699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2l3 description:ubiquitin-conjugating enzyme E2L 3 [Source:MGI Symbol;Acc:MGI:109240] MAASRRLMKELEEIRKCGMKNFRNIQVDEANLLTWQGLIVPDNPPYDKGAFRIEINFPAE YPFKPPKITFKTKIYHPNIDEKGQVCLPVISAENWKPATKTDQVIQSLIALVNDPQPEHP LRADLAEEYSKDRKKFCKNAEEFTKKYGEKRPVD >ENSMUSP00000111364.1 pep:known chromosome:GRCm38:16:17153310:17201494:-1 gene:ENSMUSG00000038965.15 transcript:ENSMUST00000115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2l3 description:ubiquitin-conjugating enzyme E2L 3 [Source:MGI Symbol;Acc:MGI:109240] MAASRRLMKELEEIRKCGMKNFRNIQVDEANLLTWQGLIVPDNPPYDKGAFRIEINFPAE YPFKPPKITFKTKIYHPNIDEKGQVCLPVISAENWKPATKTDQVIQSLIALTDPHGPYL >ENSMUSP00000087658.5 pep:known chromosome:GRCm38:16:17152015:17201492:-1 gene:ENSMUSG00000038965.15 transcript:ENSMUST00000090192.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2l3 description:ubiquitin-conjugating enzyme E2L 3 [Source:MGI Symbol;Acc:MGI:109240] MAASRRLMKELEEIRKCGMKNFRNIQVDEANLLTWQGLIVPDNPPYDKGAFRIEINFPAE YPFKPPKITFKTKIYHPNIDEKGQVCLPVISAENWKPATKTDQVIQSLIALVNDPQPEHP LRADLAEEYSKDRKKFCKNAEEFTKKYGEKRPVD >ENSMUSP00000124409.1 pep:known chromosome:GRCm38:13:95024454:95250336:-1 gene:ENSMUSG00000021684.16 transcript:ENSMUST00000162412.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8b description:phosphodiesterase 8B [Source:MGI Symbol;Acc:MGI:2443999] MDQQQSVRQVSSAEVRIGPMRLTQDPIQVLLIFAKEDSQSDGFWWACDRAGYRCNIARTP ESALECFLDKHHEIIVIDHRQSRNFDAEAVCRSIRATNPSEHTVILAVVSQASDDHEEAS VLPLLHAGFNRRFMENSSIIACYNELIQIEHGEVRSQFKLRACNSVFTALDHCHEAIEIT SDDHVIQYVNPAFERMMGYHKGELLGKELADLPKSDKNRADLLDTINTCIKKGKIHRIHR DSGDNSQTEPHSFRHKSRRKESIDVKSISSRGSDAPSLQNRRYPSMARIHSMTIEAPITK VINIINAAQENSPVTVAEALDRVLEILRTTELYSPQLGTKDEDPHTSDLVGGLMTDGLRR LSGNEYVFTKNVHHSHSHLSMPITINDVPPSIAQLLDNEESWDFNIFELEAVTHKRPLVY LGLKVFSRFGVCEFLNCTETTLRAWLQVIEANYHSSNAYHNSTHAADVLHATAFFLGKER VKGSLDQLDEVAALIAATVHDVDHPGRTNSFLCNAGSELAVLYNDTAVLESHHTALAFQL TVKDTKCNIFKNIDRNHYRTLRQAIIDMVLATEMTKHFEHVNKFVNSINKPLAAESEGSD CECNPTGKNFPENQILIKRMMIKCADVANPCRPLDLCIEWAGRISEEYFAQTDEEKRQGL PVVMPVFDRNTCSIPKSQISFIDYFITDMFDAWDAFAHLPALMQHLADNYKHWKTLDDLK CKTLRLPSDS >ENSMUSP00000125237.1 pep:known chromosome:GRCm38:13:95024459:95034253:-1 gene:ENSMUSG00000021684.16 transcript:ENSMUST00000162670.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8b description:phosphodiesterase 8B [Source:MGI Symbol;Acc:MGI:2443999] XVLESHHTALAFQLTVKDTKCNIFKNIDRNHYRTLRQAIIDMVLATEMTKHFEHVNKFVN SINKPLAAESEGSDCECNPTGKNFPENQILIKRMMIKCADVANPCRPLDLCIEWAGRISE EYFAQTDEEKRQGLPVVMPVFDRNTCSIPKSQISFIDYFITDMFDAWDGKSVATLSPTKD ILFYLMKITFNGTGWA >ENSMUSP00000124068.1 pep:known chromosome:GRCm38:13:95024505:95223066:-1 gene:ENSMUSG00000021684.16 transcript:ENSMUST00000162292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8b description:phosphodiesterase 8B [Source:MGI Symbol;Acc:MGI:2443999] MGCAPSIHVSQSGVIYCRDSDESNSPRQTSSVSQGPTAPLHGLFVQTDAADAMPPSRAAG PPGAVRVRRSRAELGSGSSTGSSGPATTTCRGRRRHCCSSAEAETQTSYTSVKQVSSAEV RIGPMRLTQDPIQVLLIFAKEDSQSDGFWWACDRAGYRCNIARTPESALECFLDKHHEII VIDHRQSRNFDAEAVCRSIRATNPSEHTVILAVVSQASDDHEEASVLPLLHAGFNRRFME NSSIIACYNELIQIEHGEVRSQFKLRACNSVFTALDHCHEAIEITSDDHVIQIHRIHRDS GDNSQTEPHSFRHKSRRKESIDVKSISSRGSDAPSLQNRRYPSMARIHSMTIEAPITKVI NIINAAQENSPVTVAEALDRVLEILRTTELYSPQLGTKDEDPHTSDLVGGLMTDGLRRLS GNEYVFTKNVHHSHSHLSMPITINDVPPSIAQLLDNEESWDFNIFELEAVTHKRPLVYLG LKVFSRFGVCEFLNCTETTLRAWLQVIEANYHSSNAYHNSTHAADVLHATAFFLGKERVK GSLDQLDEVAALIAATVHDVDHPGRTNSFLCNAGSELAVLYNDTAVLESHHTALAFQLTV KDTKCNIFKNIDRNHYRTLRQAIIDMVLATEMTKHFEHVNKFVNSINKPLAAESEGSDCE CNPTGKNFPENQILIKRMMIKCADVANPCRPLDLCIEWAGRISEEYFAQTDEEKRQGLPV VMPVFDRNTCSIPKSQISFIDYFITDMFDAWDAFAHLPALMQHLADNYKHWKTLDDLKCK TLRLPSDS >ENSMUSP00000124704.1 pep:known chromosome:GRCm38:13:95026103:95250166:-1 gene:ENSMUSG00000021684.16 transcript:ENSMUST00000162153.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8b description:phosphodiesterase 8B [Source:MGI Symbol;Acc:MGI:2443999] MDQQQSVRQVSSAEVRIGPMRLTQDPIQVLLIFAKEDSQSDGFWWACDRAGYRCNIARTP ESALECFLDKHHEIIVIDHRQSRNFDAEAVCRSIRATNPSEHTVILAVVSQASDDHEEAS VLPLLHAGFNRRFMENSSIIACYNELIQIEHGEVRSQFKLRACNSVFTALDHCHEAIEIT SDDHVIQYVNPAFERMMGYHKGELLGKELADLPKSDKNRADLLDTINTCIKKGKEWQGVY YARRKSGDSIQQHVKITPVIGQGGKIRHFVSLKKLCCTTDSNKQIHRIHRDSGDNSQTEP HSFRHKSRRKESIDVKSISSRGSDAPSLQNRRYPSMARIHSMTIEAPITKVINIINAAQE NSPVTVAEALDRVLEILRTTELYSPQLGTKDEDPHTSDLVGGLMTDGLRRLSGNEYVFTK NVHHSHSHLSMPITINDVPPSIAQLLDNEESWDFNIFELEAVTHKRPLVYLGLKVFSRFG VCEFLNCTETTLRAWLQVIEANYHSSNAYHNSTHAADVLHATAFFLGKERVKGSLDQLDE VAALIAATVHDVDHPGRTNSFLCNAGSELAVLYNDTAVLESHHTALAFQLTVKDTKCNIF KNIDRNHYRTLRQAIIDMVLATEMTKHFEHVNKFVNSINKPLAAESEGSDCECNPTGKNF PENQILIKRMMIKCADVANPCRPLDLCIEWAGRISEEYFAQTDEEKRQGLPVVMPVFDRN TCSIPKSQISFIDYFITDMFDAWDAFAHLPALMQHLADNYKHWKTLDDLKCKTLRLPSDS >ENSMUSP00000070465.7 pep:known chromosome:GRCm38:13:95026120:95222813:-1 gene:ENSMUSG00000021684.16 transcript:ENSMUST00000067082.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8b description:phosphodiesterase 8B [Source:MGI Symbol;Acc:MGI:2443999] MGCAPSIHVSQSGVIYCRDSDESNSPRQTSSVSQGPTAPLHGLFVQTDAADAMPPSRAAG PPGAVRVRRSRAELGSGSSTGSSGPATTTCRGRRRHCCSSAEAETQTSYTSVKVLLIFAK EDSQSDGFWWACDRAGYRCNIARTPESALECFLDKHHEIIVIDHRQSRNFDAEAVCRSIR ATNPSEHTVILAVVSQASDDHEEASVLPLLHAGFNRRFMENSSIIACYNELIQIEHGEVR SQFKLRACNSVFTALDHCHEAIEITSDDHVIQYVNPAFERMMGYHKGELLGKELADLPKS DKNRADLLDTINTCIKKGKEWQGVYYARRKSGDSIQQHVKITPVIGQGGKIRHFVSLKKL CCTTDSNKQIHRIHRDSGDNSQTEPHSFRHKSRRKESIDVKSISSRGSDAPSLQNRRYPS MARIHSMTIEAPITKVINIINAAQENSPVTVAEALDRVLEILRTTELYSPQLGTKDEDPH TSDLVGGLMTDGLRRLSGNEYVFTKNVHHSHSHLSMPITINDVPPSIAQLLDNEESWDFN IFELEAVTHKRPLVYLGLKVFSRFGVCEFLNCTETTLRAWLQVIEANYHSSNAYHNSTHA ADVLHATAFFLGKERVKGSLDQLDEVAALIAATVHDVDHPGRTNSFLCNAGSELAVLYND TAVLESHHTALAFQLTVKDTKCNIFKNIDRNHYRTLRQAIIDMVLATEMTKHFEHVNKFV NSINKPLAAESEGSDCECNPTGKNFPENQILIKRMMIKCADVANPCRPLDLCIEWAGRIS EEYFAQTDEEKRQGLPVVMPVFDRNTCSIPKSQISFIDYFITDMFDAWDAFAHLPALMQH LADNYKHWKTLDDLKCKTLRLPSDS >ENSMUSP00000022192.5 pep:known chromosome:GRCm38:13:95026120:95222813:-1 gene:ENSMUSG00000021684.16 transcript:ENSMUST00000022192.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8b description:phosphodiesterase 8B [Source:MGI Symbol;Acc:MGI:2443999] MGCAPSIHVSQSGVIYCRDSDESNSPRQTSSVSQGPTAPLHGLFVQTDAADAMPPSRAAG PPGAVRVRRSRAELGSGSSTGSSGPATTTCRGRRRHCCSSAEAETQTSYTSVKQVSSAEV RIGPMRLTQDPIQVLLIFAKEDSQSDGFWWACDRAGYRCNIARTPESALECFLDKHHEII VIDHRQSRNFDAEAVCRSIRATNPSEHTVILAVVSQASDDHEEASVLPLLHAGFNRRFME NSSIIACYNELIQIEHGEVRSQFKLRACNSVFTALDHCHEAIEITSDDHVIQEWQGVYYA RRKSGDSIQQHVKITPVIGQGGKIRHFVSLKKLCCTTDSNKQIHRIHRDSGDNSQTEPHS FRHKSRRKESIDVKSISSRGSDAPSLQNRRYPSMARIHSMTIEAPITKVINIINAAQENS PVTVAEALDRVLEILRTTELYSPQLGTKDEDPHTSDLVGGLMTDGLRRLSGNEYVFTKNV HHSHSHLSMPITINDVPPSIAQLLDNEESWDFNIFELEAVTHKRPLVYLGLKVFSRFGVC EFLNCTETTLRAWLQVIEANYHSSNAYHNSTHAADVLHATAFFLGKERVKGSLDQLDEVA ALIAATVHDVDHPGRTNSFLCNAGSELAVLYNDTAVLESHHTALAFQLTVKDTKCNIFKN IDRNHYRTLRQAIIDMVLATEMTKHFEHVNKFVNSINKPLAAESEGSDCECNPTGKNFPE NQILIKRMMIKCADVANPCRPLDLCIEWAGRISEEYFAQTDEEKRQGLPVVMPVFDRNTC SIPKSQISFIDYFITDMFDAWDAFAHLPALMQHLADNYKHWKTLDDLKCKTLRLPSDS >ENSMUSP00000128987.1 pep:known chromosome:GRCm38:13:95026120:95222813:-1 gene:ENSMUSG00000021684.16 transcript:ENSMUST00000172104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8b description:phosphodiesterase 8B [Source:MGI Symbol;Acc:MGI:2443999] MGCAPSIHVSQSGVIYCRDSDESNSPRQTSSVSQGPTAPLHGLFVQTDAADAMPPSRAAG PPGAVRVRRSRAELGSGSSTGSSGPATTTCRGRRRHCCSSAEAETQTSYTSVKQVSSAEV RIGPMRLTQDPIQVLLIFAKEDSQSDGFWWACDRAGYRCNIARTPESALECFLDKHHEII VIDHRQSRNFDAEAVCRSIRATNPSEHTVILAVVSQASDDHEEASVLPLLHAGFNRRFME NSSIIACYNELIQIEHGEVRSQFKLRACNSVFTALDHCHEAIEITSDDHVIQYVNPAFER MMGYHKGELLGKELADLPKSDKNRADLLDTINTCIKKGKEWQGVYYARRKSGDSIQQHVK ITPVIGQGGKIRHFVSLKKLCCTTDSNKQIHRIHRDSGDNSQTEPHSFRHKSRRKESIDV KSISSRGSDAPSLQNRRYPSMARIHSMTIEAPITKDGLRRLSGNEYVFTKNVHHSHSHLS MPITINDVPPSIAQLLDNEESWDFNIFELEAVTHKRPLVYLGLKVFSRFGVCEFLNCTET TLRAWLQVIEANYHSSNAYHNSTHAADVLHATAFFLGKERVKGSLDQLDEVAALIAATVH DVDHPGRTNSFLCNAGSELAVLYNDTAVLESHHTALAFQLTVKDTKCNIFKNIDRNHYRT LRQAIIDMVLATEMTKHFEHVNKFVNSINKPLAAESEGSDCECNPTGKNFPENQILIKRM MIKCADVANPCRPLDLCIEWAGRISEEYFAQTDEEKRQGLPVVMPVFDRNTCSIPKSQIS FIDYFITDMFDAWDAFAHLPALMQHLADNYKHWKTLDDLKCKTLRLPSDS >ENSMUSP00000125191.1 pep:known chromosome:GRCm38:13:95033946:95223555:-1 gene:ENSMUSG00000021684.16 transcript:ENSMUST00000159608.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8b description:phosphodiesterase 8B [Source:MGI Symbol;Acc:MGI:2443999] MGCAPSIHVSQSGVIYCRDSDESNSPRQTSSVSQGPTAPLHGLFVQTDAADAMPPSRAAG PPGAVRVRRSRAELGSGSSTGSSGPATTTCRGRRRHCCSSAEAETQTSYTSVKQVSSAEV RIGPMRLTQDPIQVLLIFAKEDSQSDGFWWACDRAGYRCNIARTPESALECFLDKHHEII VIDHRQSRNFDAEAVCRSIRATNPSEHTVILAVVSQASDDHEEASVLPLLHAGFNRRFME NSSIIACYNELIQIEHGEVRSQFKLRACNSVFTALDHCHEAIEITSDDHVIQEWQGVYYA RRKSGDSIQQHVKITPVIGQGGKIRHFVSLKKLCCTTDSNKQIHRIHRDSGDNSQTEPHS FRHKSRRKESIDVKSISSRGSDAPSLQNRRYPSMARIHSMTIEAPITKVINIINAAQENS PVTVAEALDRVLEILRTTELYSPQLGTKDEDPHTSDLVGGLMTDGLRRLSGNEYVFTKNV HHSHSHLSMPITINDVPPSIAQLLDNEESWDFNIFELEAVTHKRPLVYLGLKVFSRFGVC EFLNCTETTLRAWLQVIEANYHSSNAYHNSTHAADVLHATAFFLGKERVKGSLDQLDEVA ALIAATVHDVDHPGRTNSFLCNAGSELAVLYNDTAVLESHHTALAFQLTVKDTKCNIFKN IDRFGVIGLPGLGL >ENSMUSP00000125115.2 pep:known chromosome:GRCm38:13:95043022:95250274:-1 gene:ENSMUSG00000021684.16 transcript:ENSMUST00000160957.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8b description:phosphodiesterase 8B [Source:MGI Symbol;Acc:MGI:2443999] MDQQQSVRQQVSSAEVRIGPMRLTQDPIQVLLIFAKEDSQSDGFWWACDRAGYRCNIART PESALECFLDKHHEIIVIDHRQSRNFDAEAVCRSIRATNPSEHTVILAVVSQASDDHEEA SVLPLLHAGFNRRFMENSSIIACYNELIQIEHGEVRSQFKLRACNSVFTALDHCHEAIEI TSDDHVIQIHRIHRDSGDNSQTEPHSFRHKSRRKESIDVKSISSRGSDAPSLQNRRYPSM ARIHSMTIEAPITKVINIINAAQENSPVTVAEALDRVLEILRTTELYSPQLGTKDEDPHT SDLVGGLMTDGLRRLSGNEYVFTKNVHHS >ENSMUSP00000124447.1 pep:known chromosome:GRCm38:13:95100919:95250315:-1 gene:ENSMUSG00000021684.16 transcript:ENSMUST00000159598.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde8b description:phosphodiesterase 8B [Source:MGI Symbol;Acc:MGI:2443999] MRLTQDPIQVLLIFAKEDSQSDGFWWACDRAGYRCNIARTPESALECFLDKHHEIIVIDH RQSRNFDAEAVCRSIRATNPSEHTVILAVVSQASDDHEEASV >ENSMUSP00000142107.1 pep:known chromosome:GRCm38:13:119845348:119846570:1 gene:ENSMUSG00000095514.2 transcript:ENSMUST00000195797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D13Ertd608e description:DNA segment, Chr 13, ERATO Doi 608, expressed [Source:MGI Symbol;Acc:MGI:1277199] MDKAKAMKWFHLSFVKDTSDSADYELLSAQVLPSLSSQCSKSEPLRFGRQTSHCSEDGGI IDWDLYLDYKRYYRRSNCTMKKGTEVFQSESQRDPQVSRGDVDNNKEKDTEEPDLLLLSL LREKGLELETCDGGDCPDQDSASDSFRCLGF >ENSMUSP00000136381.1 pep:known chromosome:GRCm38:13:119836006:119846506:1 gene:ENSMUSG00000095514.2 transcript:ENSMUST00000179131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D13Ertd608e description:DNA segment, Chr 13, ERATO Doi 608, expressed [Source:MGI Symbol;Acc:MGI:1277199] LKRLAPAFVKDTSDSADYELLSAQVLPSLSSQCSKSEPLRFGRQTSHCSEDGGIIDWDLY LDYKRYYRRSNCTMKKGTEVFQSESQRDPQVSRGDVDNNKEKDTEEPDLLLLSLLREKGL ELETCDGGDCPDQDSASDS >ENSMUSP00000020301.7 pep:known chromosome:GRCm38:10:60346851:60372684:1 gene:ENSMUSG00000020101.14 transcript:ENSMUST00000020301.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsir description:V-set immunoregulatory receptor [Source:MGI Symbol;Acc:MGI:1921298] MGVPAVPEASSPRWGTLLLAIFLAASRGLVAAFKVTTPYSLYVCPEGQNATLTCRILGPV SKGHDVTIYKTWYLSSRGEVQMCKEHRPIRNFTLQHLQHHGSHLKANASHDQPQKHGLEL ASDHHGNFSITLRNVTPRDSGLYCCLVIELKNHHPEQRFYGSMELQVQAGKGSGSTCMAS NEQDSDSITAAALATGACIVGILCLPLILLLVYKQRQVASHRRAQELVRMDSSNTQGIEN PGFETTPPFQGMPEAKTRPPLSYVAQRQPSESGRYLLSDPSTPLSPPGPGDVFFPSLDPV PDSPNSEAI >ENSMUSP00000101100.1 pep:known chromosome:GRCm38:10:60346851:60372684:1 gene:ENSMUSG00000020101.14 transcript:ENSMUST00000105460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsir description:V-set immunoregulatory receptor [Source:MGI Symbol;Acc:MGI:1921298] MGVPAVPEASSPRWGTLLLAIFLAASRGLVAAFKVTTPYSLYVCPEGQNATLTCRILGPV SKGHDVTIYKTWYLSSRGEVQMCKEHRPIRNFTLQHLQHHGSHLKANASHDQPQKHGLEL ASDHHGNFSITLRNVTPRDSGLYCCLVIELKNHHPEQRFYGSMELQVQAGKGSGSTCMAS NEQDSDSITAAALATGACIVGILCLPLILLLVYKQRQVASHRRAQELVRMDSNTQGIENP GFETTPPFQGMPEAKTRPPLSYVAQRQPSESGRYLLSDPSTPLSPPGPGDVFFPSLDPVP DSPNSEAI >ENSMUSP00000127569.1 pep:known chromosome:GRCm38:10:60347012:60367768:1 gene:ENSMUSG00000020101.14 transcript:ENSMUST00000170507.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsir description:V-set immunoregulatory receptor [Source:MGI Symbol;Acc:MGI:1921298] MGVPAVPEASSPRWGTLLLAIFLAASRGLVAAFKVTTPYSLYVCPEGQNATLTCRILGPV SKGHDVTIYKTWYLSSRGEVQMCKEHRPIRNFTLQHLQHHGSHLKANASHDQPQKHGLEL ASDHHGITAAALATGACIVGILCLPLILLLVYKQRQVASHRR >ENSMUSP00000101099.1 pep:known chromosome:GRCm38:10:60349323:60369293:1 gene:ENSMUSG00000020101.14 transcript:ENSMUST00000105459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vsir description:V-set immunoregulatory receptor [Source:MGI Symbol;Acc:MGI:1921298] MCKEHRPIRNFTLQHLQHHGSHLKANASHDQPQKHGLELASDHHGNFSITLRNVTPRDSG LYCCLVIELKNHHPEQRFYGSMELQVQAGKGSGSTCMASNEQDSDSITAAALATGACIVG ILCLPLILLLVYKQRQVASHRRAQELVRMDSNTQGIENPGFETTPPFQGMPEAKTRPPLS YVAQRQPSESGRYLLSDPSTPLSPPGPGDVFFPSLDPVPDSPNSEAI >ENSMUSP00000069864.4 pep:known chromosome:GRCm38:16:17146979:17148846:1 gene:ENSMUSG00000041774.14 transcript:ENSMUST00000069064.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ydjc description:YdjC homolog (bacterial) [Source:MGI Symbol;Acc:MGI:1916351] MAFPRVRLVVTADDFGYCPRRDEGIVEAFLAGTVTSVSLLVNGTAAESAAELARRHSIPT GLHANLSEGRPVGPARHNASSLLSPEGFFLGKMGFREALAAGDVALPQVREELEAQLSRF RELLGRSPTHVDGHQHVHVLPGVCQVFAEALQAYGVRFTRLPAERGVGSCAWLEAPARAF ACTVERDARAAIGPFSRHGLRWTDAFVGLSTCGRHMSAHRVLGSLARALEDIPAGHALTA ELMAHPGYPSVPPAGGCGEGPDAFSCSWERLHELHVLTAPTLRAWLAQNGVQLCAIDDLD SKRPGEGVPL >ENSMUSP00000111366.1 pep:known chromosome:GRCm38:16:17144621:17151285:1 gene:ENSMUSG00000041774.14 transcript:ENSMUST00000115702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ydjc description:YdjC homolog (bacterial) [Source:MGI Symbol;Acc:MGI:1916351] MAFPRVRLVVTADDFGYCPRRDEGIVEAFLAGTVTSVSLLVNGTAAESAAELARRHSIPT GLHANLSEGRPVGPARHNASSLLSPEGFFLGKMGFREALAAGDVALPQVREELEAQLSRF RELLGRSPTHVDGHQHVHVLPGVCQVFAEALQAYGVRFTRLPAERGVGSCAWLEAPARAF ACTVERDARAAIGPFSRHGLRDSSWSVTTAECSEGFAEFCSAGILPSLQTENQCDLGHTG GKGRSPESFLPCTLGIALGMWSVLCFPRIAV >ENSMUSP00000111371.1 pep:known chromosome:GRCm38:16:17146937:17160701:1 gene:ENSMUSG00000041774.14 transcript:ENSMUST00000115706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ydjc description:YdjC homolog (bacterial) [Source:MGI Symbol;Acc:MGI:1916351] MAFPRVRLVVTADDFGYCPRRDEGIVEAFLAGTVTSVSLLVNGTAAESAAELARRHSIPT GLHANLSEGRPVGPARHNASSLLSPEGFFLGKMGFREALAAGDVALPQVREELEAQLSRF RELLGRSPTHVDGHQHVHVLPGVCQVFAEALQAYGVRFTRLPAERGVGSCAWLEAPARAF ACTVERDARAAIGPFSRHGLRDSSWSVTTAECSEGFAEFCSAGILPSLQTENQCDLGHTG GKGRSPESFLPCTLGIALEMPAVGKRWKAGSSVIERKPNRELQLI >ENSMUSP00000050246.7 pep:known chromosome:GRCm38:16:30955627:31081432:-1 gene:ENSMUSG00000047434.13 transcript:ENSMUST00000055389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xxylt1 description:xyloside xylosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2146443] MGLLRGGAACARAMARLGALRSHYCALLLAAALAVCAFYYLGSGRETFSSATKRLKEARA GAAAPTPPAPELARGSAAPASGAKAKSLEGGVVVPVDYHLLMMFTKAEHNAPLQAKARVA LSSLLRLAKFEAHEVLNLHFVSEEASREVAKALLRELLPPAAGFKCKVIFHDVAVLTDKL FPVVEAMQKYFSAGSGTYYSDSIFFLSVAMHQIMPKEIPRIIQLDLDLKYKTNIRELFEE FDNFLPGAVIGIAREMQPVYRHTFWQFRHENPKTRVGDPPPEGLPGFNSGVMLLNLEAMR QSPLYSHLLEPSWVQQLADKYHFRGHLGDQDFFTMIGMEHPELFHVLDCTWNRQLCTWWR DHGYSDVFQAYFRCEGHVKIYHGNCNTPIPED >ENSMUSP00000030047.2 pep:known chromosome:GRCm38:4:63831308:63861347:-1 gene:ENSMUSG00000028362.2 transcript:ENSMUST00000030047.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf8 description:tumor necrosis factor (ligand) superfamily, member 8 [Source:MGI Symbol;Acc:MGI:88328] MEPGLQQAGSCGAPSPDPAMQVQPGSVASPWRSTRPWRSTSRSYFYLSTTALVCLVVAVA IILVLVVQKKDSTPNTTEKAPLKGGNCSEDLFCTLKSTPSKKSWAYLQVSKHLNNTKLSW NEDGTIHGLIYQDGNLIVQFPGLYFIVCQLQFLVQCSNHSVDLTLQLLINSKIKKQTLVT VCESGVQSKNIYQNLSQFLLHYLQVNSTISVRVDNFQYVDTNTFPLDNVLSVFLYSSSD >ENSMUSP00000117531.1 pep:known chromosome:GRCm38:11:45928269:45944935:-1 gene:ENSMUSG00000044847.13 transcript:ENSMUST00000129820.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsm11 description:U7 snRNP-specific Sm-like protein LSM11 [Source:MGI Symbol;Acc:MGI:1919540] MEEREWGARSARAGSPASPPSPRLDVSSYSFDPLLALYAPRLPPIPYPNAPCFNNVAEYE SFLKGGRTGRGRARGTGEPASAGTSTGTSTGAGSSSRARRRAAPTPDPERIQRLRRLMVV KEDTDGTAGARRQGPGRSKKAPRNVLTRMPLHEGSPLGELHRCIREGVKVNVHIRTFKGL RGVCTGFLVAFDKFWNMALTDVDETYRKPVLGKAYERDSSLTLTRLFDRLKLQDSSKKEA DSKSAVEDSTLSRYSQTSTWKVASVWGRGDTDRSSHRRSRSVPSSLQASAREESRSELSG RTTRTEGSSVGGTFSRATTLSRGQSRKKKRKPKVDYQQVFTRHINQIFIRGENVLLVHLA Q >ENSMUSP00000057343.5 pep:known chromosome:GRCm38:11:45931080:45944935:-1 gene:ENSMUSG00000044847.13 transcript:ENSMUST00000062458.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lsm11 description:U7 snRNP-specific Sm-like protein LSM11 [Source:MGI Symbol;Acc:MGI:1919540] MEEREWGARSARAGSPASPPSPRLDVSSYSFDPLLALYAPRLPPIPYPNAPCFNNVAEYE SFLKGGRTGRGRARGTGEPASAGTSTGTSTGAGSSSRARRRAAPTPDPERIQRLRRLMVV KEDTDGTAGARRQGPGRSKKAPRNVLTRMPLHEGSPLGELHRCIREGVKVNVHIRTFKGL RGVCTGFLVAFDKFWNMALTDVDETYRKPVLGKAYERDSSLTLTRLFDRLKLQDSSKKEA DSKSAVEDSTLSRYSQTSTWKVASVWGRGDTDRSSHRRSRSVPSSLQASAREESRSELSG RTTRTEGSSVGGTFSRATTLSRGQSRKKKRKPKVDYQQVFTRHINQIFIRGENVLLVHLA Q >ENSMUSP00000094950.1 pep:known chromosome:GRCm38:17:35062693:35066184:-1 gene:ENSMUSG00000073414.8 transcript:ENSMUST00000097337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6b description:immunoreceptor tyrosine-based inhibitory motif (ITIM) containing platelet receptor [Source:MGI Symbol;Acc:MGI:2146995] MALVLPLLPLLLSKVQGNPEVSLEGSPGDRVNLSCIGVSDPTRWAWAPSFPACKGLSKGR RPILWASTRGTPTVLQHFSGRLRSLDNGIKRLELLLSAGDSGTFFCKGRHENESRTVLQV LGDKAGCRPAGSTHGYEYPKVLIPLLGVGLVLGLGVAGVVWRRRRACTTLIWTTLSSGGT AGCPQWFLVMPPLSMRL >ENSMUSP00000133377.1 pep:known chromosome:GRCm38:17:35064332:35066170:-1 gene:ENSMUSG00000073414.8 transcript:ENSMUST00000174190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6b description:immunoreceptor tyrosine-based inhibitory motif (ITIM) containing platelet receptor [Source:MGI Symbol;Acc:MGI:2146995] MALVLPLLPLLLSKVQGNPEVSLEGSPGDRVNLSCIGVSDPTRWAWAPSFPACKGLSKGR RPILWASTRGTPTVLQHFSGRLRSLDNGIKRLELLLSAGDSGTFFCKGRHENESRTVLQV LGDKAGCRPAGSTHGYEYPKVLIPLLGVGLVLGLGVAGVVWRRRRLSPPPPPPPPPGPLP TFAPVINAEPQRPLEQESKISGHLDQEPSLHYADLDHSVLGRHRRMSTVVSGDASTVYAV VV >ENSMUSP00000143564.1 pep:known chromosome:GRCm38:5:3341485:3344453:1 gene:ENSMUSG00000040274.11 transcript:ENSMUST00000199156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk6 description:cyclin-dependent kinase 6 [Source:MGI Symbol;Acc:MGI:1277162] MEKDSLSRADQQYECVAEIGEGAYGKVFK >ENSMUSP00000142796.1 pep:known chromosome:GRCm38:5:3343732:3344437:1 gene:ENSMUSG00000040274.11 transcript:ENSMUST00000197607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk6 description:cyclin-dependent kinase 6 [Source:MGI Symbol;Acc:MGI:1277162] MEKDSLSRADQQYECVAEIGEGA >ENSMUSP00000126024.1 pep:known chromosome:GRCm38:5:3343893:3531008:1 gene:ENSMUSG00000040274.11 transcript:ENSMUST00000165117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk6 description:cyclin-dependent kinase 6 [Source:MGI Symbol;Acc:MGI:1277162] MEKDSLSRADQQYECVAEIGEGAYGKVFKARDLKNGGRFVALKRVRVQTSEEGMPLSTIR EVAVLRHLETFEHPNVVRLFDVCTVSRTDRETKLTLVFEHVDQDLTTYLDKVPEPGVPTE TIKDMMFQLLRGLDFLHSHRVVHRDLKPQNILVTSSGQIKLADFGLARIYSFQMALTSVV VTLWYRAPEVLLQSSYATPVDLWSVGCIFAEMFRRKPLFRGSSDVDQLGKILDIIGLPGE EDWPRDVALPRQAFHSKSAQPIEKFVTDIDELGKDLLLKCLTFNPAKRISAYGALNHPYF QDLERYKDNLNSHLPSNQSTSELNTA >ENSMUSP00000143492.1 pep:known chromosome:GRCm38:5:3343916:3390693:1 gene:ENSMUSG00000040274.11 transcript:ENSMUST00000197385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk6 description:cyclin-dependent kinase 6 [Source:MGI Symbol;Acc:MGI:1277162] MEKDSLSRADQQYECVAEIGEGAYGKVFKARDLKNGGRFVALKRVRVQTSEEGMPLSTIR EVAVLRHLETFEHPNVVRLFDV >ENSMUSP00000037925.4 pep:known chromosome:GRCm38:5:3344312:3522225:1 gene:ENSMUSG00000040274.11 transcript:ENSMUST00000042410.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk6 description:cyclin-dependent kinase 6 [Source:MGI Symbol;Acc:MGI:1277162] MEKDSLSRADQQYECVAEIGEGAYGKVFKARDLKNGGRFVALKRVRVQTSEEGMPLSTIR EVAVLRHLETFEHPNVVRLFDVCTVSRTDRETKLTLVFEHVDQDLTTYLDKVPEPGVPTE TIKDMMFQLLRGLDFLHSHRVVHRDLKPQNILVTSSGQIKLADFGLARIYSFQMALTSVV VTLWYRAPEVLLQSSYATPVDLWSVGCIFAEMFRRKPLFRGSSDVDQLGKILDIIGLPGE EDWPRDVALPRQAFHSKSAQPIEKFVTDIDELGKDLLLKCLTFNPAKRISAYGALNHPYF QDLERYKDNLNSHLPSNQSTSELNTA >ENSMUSP00000053567.4 pep:known chromosome:GRCm38:7:25221425:25227507:1 gene:ENSMUSG00000046541.9 transcript:ENSMUST00000055604.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp526 description:zinc finger protein 526 [Source:MGI Symbol;Acc:MGI:2445181] MAEMVAEAAEIPTQSSGAVEISTAVSGELAEMATAVTEMTSGEALASSLFFQHHQFMCSE CGSLYNTLEEVLSHQEQHLLTMSEEETLTTQDAGLEPELVPSTGEGPFQCGECSQLILSP SELLAHQDAHLQESASQIQYQCGDCQELFPSPELWVAHRKTQHLSSAADEPPSPLPPPTP PPPPPPPPPPPPPEVKMEPYECPECSTLCATPEEFLEHQGTHFDSLEKEEHNGLEEEEED EEEGEEEEDDDDEETDEEEASSELTADDTGSNKSTADSAQSCGDCPQHCTSSGARHKHRR ASHGPASATHAFHCSQCQRSFSSANRLVAHGRAHVGGTHECTTCSKVFKKAASLEQHQRL HRGEARYLCVDCGRGFGTELTLVAHRRAHTANPLHRCRCGKTFSNMTKFLYHRRTHTGKS GTPTRVATVSPAPAEPTPPPLPPPAQLPCPQCPKSFASASRLSRHRRAVHGPPERRHRCG VCGKGFKKLVHVRNHLRTHTGERPFQCHSCGKTFASLANLSRHQLTHTGVRPYQCLDCGK RFTQSSNLQQHRRLHLRPVAFARAPRLPITGLYNKSPYYCGTCGRWFRAMAGLRLHQRVH ARARSLTLQPPRSPSPVPPPPPEPQQTIMCTELGETIAIIETSQPLALEDTLQLCQAALG ASEASGLLQLDTAFV >ENSMUSP00000134194.1 pep:known chromosome:GRCm38:17:35065388:35069625:1 gene:ENSMUSG00000092586.8 transcript:ENSMUST00000173207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g6c description:lymphocyte antigen 6 complex, locus G6C [Source:MGI Symbol;Acc:MGI:2148930] MPWSGSWRPGNLLSTAGAVDILRTLTMKHLLLLTLSALLYCWVSADTRCHSCYKVPVLGC VDRQSCRLEPGHKCLTTNVYLGKMWVFSNLRCGTPEEPCREVFNETNHKLGLNYNTTCCD KDNCNSPAPRPTPALALISLTSLAGLGLWLLH >ENSMUSP00000133510.1 pep:known chromosome:GRCm38:17:35067325:35070050:1 gene:ENSMUSG00000092586.8 transcript:ENSMUST00000173478.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g6c description:lymphocyte antigen 6 complex, locus G6C [Source:MGI Symbol;Acc:MGI:2148930] MKHLLLLTLSALLYCWVSADTRCHSCYKVPVLGCVDRQSCRLEPGHKCLTTNVYLGKMWV FSNLRCGTPEEPCREVFNETNHKLGLNYNTTCCDKDNCNSPAPRPTPALALISLTSLAGL GLWLLH >ENSMUSP00000133838.1 pep:known chromosome:GRCm38:17:35067390:35069534:1 gene:ENSMUSG00000092586.8 transcript:ENSMUST00000174876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g6c description:lymphocyte antigen 6 complex, locus G6C [Source:MGI Symbol;Acc:MGI:2148930] MKHLLLLTLSALLYCWVSADTRCHSCYKVPVLGCVDRQSCRLEPGHKCLTTNVYLGNTPS SLGREGRVGDDLFV >ENSMUSP00000002360.9 pep:known chromosome:GRCm38:17:33773750:33781575:-1 gene:ENSMUSG00000002289.15 transcript:ENSMUST00000002360.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angptl4 description:angiopoietin-like 4 [Source:MGI Symbol;Acc:MGI:1888999] MRCAPTAGAALVLCAATAGLLSAQGRPAQPEPPRFASWDEMNLLAHGLLQLGHGLREHVE RTRGQLGALERRMAACGNACQGPKGKDAPFKDSEDRVPEGQTPETLQSLQTQLKAQNSKI QQLFQKVAQQQRYLSKQNLRIQNLQSQIDLLAPTHLDNGVDKTSRGKRLPKMTQLIGLTP NATHLHRPPRDCQELFQEGERHSGLFQIQPLGSPPFLVNCEMTSDGGWTVIQRRLNGSVD FNQSWEAYKDGFGDPQGEFWLGLEKMHSITGNRGSQLAVQLQDWDGNAKLLQFPIHLGGE DTAYSLQLTEPTANELGATNVSPNGLSLPFSTWDQDHDLRGDLNCAKSLSGGWWFGTCSH SNLNGQYFHSIPRQRQERKKGIFWKTWKGRYYPLQATTLLIQPMEATAAS >ENSMUSP00000133417.1 pep:known chromosome:GRCm38:17:33774908:33781543:-1 gene:ENSMUSG00000002289.15 transcript:ENSMUST00000173869.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Angptl4 description:angiopoietin-like 4 [Source:MGI Symbol;Acc:MGI:1888999] MRCAPTAGAALVLCAATAGLLSAQGRPAQPEPPRFASWDEMNLLAHGLLQLGHGLREHVE RTRGQLGALERRMAACGNACQGPKGKDAPFKDSEDRVPEGQTPETLQSLQTQLKAQNSKI QQLFQKVAQQQRYLSKQNLRIQNLQSQTSWPPRT >ENSMUSP00000003509.8 pep:known chromosome:GRCm38:2:13651021:13794064:-1 gene:ENSMUSG00000003418.11 transcript:ENSMUST00000003509.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St8sia6 description:ST8 alpha-N-acetyl-neuraminide alpha-2,8-sialyltransferase 6 [Source:MGI Symbol;Acc:MGI:2386797] MRSGGTLFALIGSLMLLLLLRMLWCPADAPARSRLLMEGSREDTSGTSAALKTLWSPTTP VPRTRNSTYLDEKTTQITEKCKDLQYSLNSLSNKTRRYSEDDYLQTITNIQRCPWNRQAE EYDNFRAKLASCCDAIQDFVVSQNNTPVGTNMSYEVESKKHIPIRENIFHMFPVSQPFVD YPYNQCAVVGNGGILNKSLCGAEIDKSDFVFRCNLPPITGSASKDVGSKTNLVTVNPSII TLKYQNLKEKKAQFLEDISTYGDAFLLLPAFSYRANTGISFKVYQTLKESKMRQKVLFFH PRYLRHLALFWRTKGVTAYRLSTGLMIASVAVELCENVKLYGFWPFSKTIEDTPLSHHYY DNMLPKHGFHQMPKEYSQMLQLHMRGILKLQFSKCETA >ENSMUSP00000047873.2 pep:known chromosome:GRCm38:12:8528483:8599066:-1 gene:ENSMUSG00000020600.13 transcript:ENSMUST00000036938.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a15 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 15 [Source:MGI Symbol;Acc:MGI:3045351] MTAVPLVTILYVLVNISYLLVMSPSEILSSDAIAVIWGDRVLGSWAWLVPLAVALSTFGT VNGGFFSGSRVCYAAAREGHMPQLMSMIHVNRLTPAPAQIFTTAVALLLVIPGNFSTFVN LLSFLSWLTYGTTFACLLYLRIKTKNLPHTYKVPTFIPAIMLLVSLYLVLAPIIDHPQIE FLYIFLFVLSGFPVYFLLFHFQCQFKCIQTATMHLQLLLEVAPTTKDH >ENSMUSP00000093548.3 pep:known chromosome:GRCm38:12:8529345:8539545:-1 gene:ENSMUSG00000020600.13 transcript:ENSMUST00000095863.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a15 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 15 [Source:MGI Symbol;Acc:MGI:3045351] MERSEEKDGSNKPAGQEQGSGTAGLMLKREIGLWSAVSMTAGCMIGSGIFMSPQGVLVYI GSPGASLIVWATCGLLAMLGALCYAELGSLVPESGGDYAYILRTFGSLPAFLVIYVYVLV GRPAGITAVSLSFAEYVLAPFYPGCSSLPQVIVKIVASSCILLLLLINFWSSRMSTVLMN VCTTAKVFSLLVIVVGGAVVLMQGHASTESLLFAFHNTTQQAGRIGMAFYQGLWSFDGWS NINTVIEELKNPKQNLLWAVMTAVPLVTILYVLVNISYLLVMSPSEILSSDAIAVIWGDR VLGSWAWLVPLAVALSTFGTVNGGFFSGSRVCYAAAREGHMPQLMSMIHVNRLTPAPAQI FTTAVALLLVIPGNFSTFVNLLSFLSWLTYGTTFACLLYLRIKTKNLPHTYKVPTFIPAI MLLVSLYLVLAPIIDHPQIEFLYIFLFVLSGFPVYFLLFHFQCQFKCIQTATMHLQLLLE VAPTTKDH >ENSMUSP00000129806.1 pep:known chromosome:GRCm38:12:8529345:8539545:-1 gene:ENSMUSG00000020600.13 transcript:ENSMUST00000165657.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a15 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 15 [Source:MGI Symbol;Acc:MGI:3045351] MTAVPLVTILYVLVNISYLLVMSPSEILSSDAIAVIWGDRVLGSWAWLVPLAVALSTFGT VNGGFFSGSRVCYAAAREGHMPQLMSMIHVNRLTPAPAQIFTTAVALLLVIPGNFSTFVN LLSFLSWLTYGTTFACLLYLRIKTKNLPHTYKVPTFIPAIMLLVSLYLVLAPIIDHPQIE FLYIFLFVLSGFPVYFLLFHFQCQFKCIQTATMHLQLLLEVAPTTKDH >ENSMUSP00000009411.7 pep:known chromosome:GRCm38:6:47920476:47932639:1 gene:ENSMUSG00000052763.7 transcript:ENSMUST00000009411.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp212 description:Zinc finger protein 212 [Source:MGI Symbol;Acc:MGI:2682609] MAESTPTRHRRKRRATPLAPATPPSQTTEKSPYFQTTEISLWTVVAAIQAVEKKMESQAA RLQSLEGRAGSAEKKLADFEKTAVEFSNQLEGKWAVLGTLLQEYGLLQRRLENVENLLRN KNFWVLRLPPGSKGETPKVSGSLENDGVCFSEQEWENLEDWQKELYRNVMESNYETLVSL KVLGQPEEEVELGAEMVGDLVEEGTHLADRAMVKQEMLYKQEGPAGLPNMFSSAVEQQAF FGAEQAMFWNSPGDSALLESGPGDSNPESVETSRDPAPGRNLDCPRKQKSHRQVQLGQEC GQGLKVKRDSSPYKCPECQISFRYKQQLTAHLQSHAGRESYSATEPEESLRPRPRLKPQA KRSKLHQCDVCHRSFSCKVSLVTHQRCHQQEGPSTSPQIQERFSPNSLVALPGHIPWRKS RSSLICGYCGKSFSHPSDLVRHQRIHTGERPYSCPECEKSFVQKQHLLQHQKIHQRERGG LASESARPNGLL >ENSMUSP00000120461.1 pep:known chromosome:GRCm38:X:74365718:74368673:-1 gene:ENSMUSG00000015290.15 transcript:ENSMUST00000155676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl4a description:ubiquitin-like 4A [Source:MGI Symbol;Acc:MGI:95049] MQLTVKALQGRECSLQVAEDELVSTLKHLVSDKLNVPVRQQRLLFKGKALADEKRLSDYN IGPNSKLNLVVKPLEKVLLEEGSAHRLVDSPATPIWQLISKVLARHFSVADASRVLEQLQ RDYDRSLSRLTLDDIERLASRFLHPEVTEAMEKGFCK >ENSMUSP00000015434.8 pep:known chromosome:GRCm38:X:74367272:74368466:-1 gene:ENSMUSG00000015290.15 transcript:ENSMUST00000015434.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ubl4a description:ubiquitin-like 4A [Source:MGI Symbol;Acc:MGI:95049] MQLTVKALQGRECSLQVAEDELVSTLKHLVSDKLNVPVRQQRLLFKGKALAARCCSASLW LSVAGLLPSHLHISEEGTTPISLWQMKNDCQITTLGPILSST >ENSMUSP00000136070.1 pep:known chromosome:GRCm38:X:74367465:74373218:-1 gene:ENSMUSG00000015290.15 transcript:ENSMUST00000178691.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubl4a description:ubiquitin-like 4A [Source:MGI Symbol;Acc:MGI:95049] MQLTVKALQGRECSLQVAEDELVSTLKHLVSDKLNVPVRQQRLLFKGKALADEKRLSDYN IGPNSKLNLVVKPLEKVLLEEGSAHRLVDSPATPIWQLISKVLARHFSVADASRVLEQLQ RDYDRSLSRLTLDDIERLASRFLHPEVTEAMEKGFCK >ENSMUSP00000088857.5 pep:known chromosome:GRCm38:3:19957054:19993138:1 gene:ENSMUSG00000003617.16 transcript:ENSMUST00000091309.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cp description:ceruloplasmin [Source:MGI Symbol;Acc:MGI:88476] MKFLLLSTFIFLYSSLALARDKHYFIGITEAVWDYASGTEEKKLISVDTEQSNFYLQNGP DRIGRKYKKALYFEYTDGTFSKTIDKPAWLGFLGPVIKAEVEDKVYVHLKNLASRIYTFH AHGVTYTKEYEGAVYPDNTTDFQRADDKVLPGQQYVYVLHANEPSPGEGDSNCVTRIYHS HVDAPKDIASGLIGPLILCKKGSLYKEKEKNIDQEFVLMFSVVDENLSWYLEDNIKTFCS EPEKVDKDNEDFQESNRMYSINGYTFGSLPGLSMCAADRVKWYLFGMGNEVDVHSAFFHG QALTSRNYQTDIINLFPATLIDAYMVAQNPGVWMLSCQNLNHLKAGLQAFFQVRDCNKPS PEDNIQDRHVRHYYIAAEEVIWNYAPSGTDIFTGENLTALESDSRVFFEQGATRIGGSYK KMAYREYTDGSFTNRKQRGPDEEHLGILGPVIWAEVGDTIKVTFHNKGQHPLSIQPMGVS FTAENEGTYYGPPGRSSQQAASHVAPKETFTYEWTVPKEMGPTYADPVCLSKMYYSGVDP TKDIFTGLIGPMKICKKGSLLADGRQKDVDKEFYLFPTVFDENESLLLDDNIRMFTTAPD QVDKEDEDFQESNKMHSMNGFMYGNQPGLNMCLGESIVWYLFSAGNEADVHGIYFSGNTY LSKGERRDTANLFPHKSLTLLMNPDTKGTFDVECLTTDHYTGGMKQKYTVNQCQRQFEDF TVYLGERTYYVAAVEVEWDYSPSRAWEKELHHLQEQNVSNVFLDKEEFFIGSKYKKVVYR QFTDSSFREQVKRRAEDEHLGILGPPIHANVGDKVKVVFKNMATRPYSIHAHGVKTESST VVPTLPGEVRTYTWQIPERSGAGREDSACIPWAYYSTVDRVKDLYSGLIGPLIVCRKSYV KVFSPKKKMEFFLLFLVFDENESWYLDDNIKTYSEHPEKVNKDNEEFLESNKMHAINGKM FGNLQGLTMHVKDEVNWYVMGMGNEIDLHTVHFHGHSFQYKHRGVYSSDVFDLFPGTYQT LEMFPQTPGTWLLHCHVTDHVHAGMATTYTVLPVEQETKSG >ENSMUSP00000003714.6 pep:known chromosome:GRCm38:3:19957069:20009145:1 gene:ENSMUSG00000003617.16 transcript:ENSMUST00000003714.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cp description:ceruloplasmin [Source:MGI Symbol;Acc:MGI:88476] MKFLLLSTFIFLYSSLALARDKHYFIGITEAVWDYASGTEEKKLISVDTEQSNFYLQNGP DRIGRKYKKALYFEYTDGTFSKTIDKPAWLGFLGPVIKAEVEDKVYVHLKNLASRIYTFH AHGVTYTKEYEGAVYPDNTTDFQRADDKVLPGQQYVYVLHANEPSPGEGDSNCVTRIYHS HVDAPKDIASGLIGPLILCKKGSLYKEKEKNIDQEFVLMFSVVDENLSWYLEDNIKTFCS EPEKVDKDNEDFQESNRMYSINGYTFGSLPGLSMCAADRVKWYLFGMGNEVDVHSAFFHG QALTSRNYQTDIINLFPATLIDAYMVAQNPGVWMLSCQNLNHLKAGLQAFFQVRDCNKPS PEDNIQDRHVRHYYIAAEEVIWNYAPSGTDIFTGENLTALESDSRVFFEQGATRIGGSYK KMAYREYTDGSFTNRKQRGPDEEHLGILGPVIWAEVGDTIKVTFHNKGQHPLSIQPMGVS FTAENEGTYYGPPGRSSQQASHVAPKETFTYEWTVPKEMGPTYADPVCLSKMYYSGVDPT KDIFTGLIGPMKICKKGSLLADGRQKDVDKEFYLFPTVFDENESLLLDDNIRMFTTAPDQ VDKEDEDFQESNKMHSMNGFMYGNQPGLNMCLGESIVWYLFSAGNEADVHGIYFSGNTYL SKGERRDTANLFPHKSLTLLMNPDTKGTFDVECLTTDHYTGGMKQKYTVNQCQRQFEDFT VYLGERTYYVAAVEVEWDYSPSRAWEKELHHLQEQNVSNVFLDKEEFFIGSKYKKVVYRQ FTDSSFREQVKRRAEDEHLGILGPPIHANVGDKVKVVFKNMATRPYSIHAHGVKTESSTV VPTLPGEVRTYTWQIPERSGAGREDSACIPWAYYSTVDRVKDLYSGLIGPLIVCRKSYVK VFSPKKKMEFFLLFLVFDENESWYLDDNIKTYSEHPEKVNKDNEEFLESNKMHAINGKMF GNLQGLTMHVKDEVNWYVMGMGNEIDLHTVHFHGHSFQYKHRGVYSSDVFDLFPGTYQTL EMFPQTPGTWLLHCHVTDHVHAGMATTYTVLPVEQVSSQSYRMTWNILYTLLISIIILFQ MSTKE >ENSMUSP00000103964.1 pep:known chromosome:GRCm38:3:19957111:20007609:1 gene:ENSMUSG00000003617.16 transcript:ENSMUST00000108328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cp description:ceruloplasmin [Source:MGI Symbol;Acc:MGI:88476] MKFLLLSTFIFLYSSLALARDKHYFIGITEAVWDYASGTEEKKLISVDTEQSNFYLQNGP DRIGRKYKKALYFEYTDGTFSKTIDKPAWLGFLGPVIKAEVEDKVYVHLKNLASRIYTFH AHGVTYTKEYEGAVYPDNTTDFQRADDKVLPGQQYVYVLHANEPSPGEGDSNCVTRIYHS HVDAPKDIASGLIGPLILCKKGSLYKEKEKNIDQEFVLMFSVVDENLSWYLEDNIKTFCS EPEKVDKDNEDFQESNRMYSINGYTFGSLPGLSMCAADRVKWYLFGMGNEVDVHSAFFHG QALTSRNYQTDIINLFPATLIDAYMVAQNPGVWMLSCQNLNHLKAGLQAFFQVRDCNKPS PEDNIQDRHVRHYYIAAEEVIWNYAPSGTDIFTGENLTALESDSRVFFEQGATRIGGSYK KMAYREYTDGSFTNRKQRGPDEEHLGILGPVIWAEVGDTIKVTFHNKGQHPLSIQPMGVS FTAENEGTYYGPPGRSSQQASHVAPKETFTYEWTVPKEMGPTYADPVCLSKMYYSGVDPT KDIFTGLIGPMKICKKGSLLADGRQKDVDKEFYLFPTVFDENESLLLDDNIRMFTTAPDQ VDKEDEDFQESNKMHSMNGFMYGNQPGLNMCLGESIVWYLFSAGNEADVHGIYFSGNTYL SKGERRDTANLFPHKSLTLLMNPDTKGTFDVECLTTDHYTGGMKQKYTVNQCQRQFEDFT VYLGERTYYVAAVEVEWDYSPSRAWEKELHHLQEQNVSNVFLDKEEFFIGSKYKKVVYRQ FTDSSFREQVKRRAEDEHLGILGPPIHANVGDKVKVVFKNMATRPYSIHAHGVKTESSTV VPTLPGEVRTYTWQIPERSGAGREDSACIPWAYYSTVDRVKDLYSGLIGPLIVCRKSYVK VFSPKKKMEFFLLFLVFDENESWYLDDNIKTYSEHPEKVNKDNEEFLESNKMHAINGKMF GNLQGLTMHVKDEVNWYVMGMGNEIDLHTVHFHGHSFQYKHRGVYSSDVFDLFPGTYQTL EMFPQTPGTWLLHCHVTDHVHAGMATTYTVLPVEQVSSQSYRMTWNILYTLLISIIILFQ MSTKE >ENSMUSP00000103961.2 pep:known chromosome:GRCm38:3:19957284:19992525:1 gene:ENSMUSG00000003617.16 transcript:ENSMUST00000108325.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cp description:ceruloplasmin [Source:MGI Symbol;Acc:MGI:88476] MKFLLLSTFIFLYSSLALARDKHYFIGITEAVWDYASGTEEKKLISVDTEQSNFYLQNGP DRIGRKYKKALYFEYTDGTFSKTIDKPAWLGFLGPVIKAEVEDKVYVHLKNLASRIYTFH AHGVTYTKEYEGAVYPDNTTDFQRADDKVLPGQQYVYVLHANEPSPGEGDSNCVTRIYHS HVDAPKDIASGLIGPLILCKKGSLYKEKEKNIDQEFVLMFSVVDENLSWYLEDNIKTFCS EPEKVDKDNEDFQESNRMYSINGYTFGSLPGLSMCAADRVKWYLFGMGNEVDVHSAFFHG QALTSRNYQTDIINLFPATLIDAYMVAQNPGVWMLSCQNLNHLKAGLQAFFQVRDCNKPS PEDNIQDRHVRHYYIAAEEVIWNYAPSGTDIFTGENLTALESDSRVFFEQGATRIGGSYK KMAYREYTDGSFTNRKQRGPDEEHLGILGPVIWAEVGDTIKVTFHNKGQHPLSIQPMGVS FTAENEGTYYGPPGRSSQQASHVAPKETFTYEWTVPKEMGPTYADPVCLSKMYYSGVDPT KDIFTGLIGPMKICKKGSLLADGRQKDVDKEFYLFPTVFDENESLLLDDNIRMFTTAPDQ VDKEDEDFQESNKMHSMNGFMYGNQPGLNMCLGESIVWYLFSAGNEADVHGIYFSGNTYL SKGERRDTANLFPHKSLTLLMNPDTKGTFDVECLTTDHYTGGMKQKYTVNQCQRQFEDFT VYLGERTYYVAAVEVEWDYSPSRAWEKELHHLQEQNVSNVFLDKEEFFIGSKYKKVVYRQ FTDSSFREQVKRRAEDEHLGILGPPIHANVGDKVKVVFKNMATRPYSIHAHGVKTESSTV VPTLPGEVRTYTWQIPERSGAGREDSACIPWAYYSTVDRVKDLYSGLIGPLIVCRKSYVK VFSPKKKMEFFLLFLVFDENESWYLDDNIKTYSEHPEKVNKDNEEFLESNKMHAINGKMF GNLQGLTMHVKDEVNWYVMGMGNEIDLHTVHFHGHSFQYKHRGVYSSDVFDLFPGTYQTL EMFPQTPGTWLLHCHVTDHVHAGMATTYTVLPVEQETKSG >ENSMUSP00000134347.1 pep:known chromosome:GRCm38:3:19980628:19987396:1 gene:ENSMUSG00000003617.16 transcript:ENSMUST00000172605.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cp description:ceruloplasmin [Source:MGI Symbol;Acc:MGI:88476] XTGGMKQKYTVNQCQRQFEDFTVYLGERTYYVAAVEVEWDYSPSRAWEKELHHLQEQK >ENSMUSP00000133676.1 pep:known chromosome:GRCm38:3:19982003:19985903:1 gene:ENSMUSG00000003617.16 transcript:ENSMUST00000173848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cp description:ceruloplasmin [Source:MGI Symbol;Acc:MGI:88476] GSKYKKVVYRQFTDSSFREQVKRRAEDEHLGILGPPIHANVGDKVKVVFKNMATRPYSIH AHGVKTESSTVVPTLPGEVRTYTWQIPERSGAGREDSACIPWAYYSTVDRVKVNFKPSLL >ENSMUSP00000133374.1 pep:known chromosome:GRCm38:3:19985612:19992582:1 gene:ENSMUSG00000003617.16 transcript:ENSMUST00000172860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cp description:ceruloplasmin [Source:MGI Symbol;Acc:MGI:88476] XEDSACIPWAYYSTVDRVKDLYSGLIGPLIVCRKSYVKVFSPKKKMEFFLLFLVFDENES WYLDDNIKTYSEHPEKVNKDNEEFLESNKMHAINGKMFGNLQGLTMHVKDEVNWYVMGMG NEIDLHTVHFHGHSFQYKHRGVYSSDVFDLFPGTYQTLEMFPQTPGTWLLHCHVTDHVHA GMATTYTVLPVEQGKSR >ENSMUSP00000133643.1 pep:known chromosome:GRCm38:3:19989152:20006358:1 gene:ENSMUSG00000003617.16 transcript:ENSMUST00000173779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cp description:ceruloplasmin [Source:MGI Symbol;Acc:MGI:88476] CHVTDHVHAGMATTYTVLPVEQVSSQSYRMTWNILYTLLISIIILFQMSTKE >ENSMUSP00000103965.1 pep:known chromosome:GRCm38:3:19957054:20007609:1 gene:ENSMUSG00000003617.16 transcript:ENSMUST00000108329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cp description:ceruloplasmin [Source:MGI Symbol;Acc:MGI:88476] MKFLLLSTFIFLYSSLALARDKHYFIGITEAVWDYASGTEEKKLISVDTEQSNFYLQNGP DRIGRKYKKALYFEYTDGTFSKTIDKPAWLGFLGPVIKAEVEDKVYVHLKNLASRIYTFH AHGVTYTKEYEGAVYPDNTTDFQRADDKVLPGQQYVYVLHANEPSPGEGDSNCVTRIYHS HVDAPKDIASGLIGPLILCKKGSLYKEKEKNIDQEFVLMFSVVDENLSWYLEDNIKTFCS EPEKVDKDNEDFQESNRMYSINGYTFGSLPGLSMCAADRVKWYLFGMGNEVDVHSAFFHG QALTSRNYQTDIINLFPATLIDAYMVAQNPGVWMLSCQNLNHLKAGLQAFFQVRDCNKPS PEDNIQDRHVRHYYIAAEEVIWNYAPSGTDIFTGENLTALESDSRVFFEQGATRIGGSYK KMAYREYTDGSFTNRKQRGPDEEHLGILGPVIWAEVGDTIKVTFHNKGQHPLSIQPMGVS FTAENEGTYYGPPGRSSQQAASHVAPKETFTYEWTVPKEMGPTYADPVCLSKMYYSGVDP TKDIFTGLIGPMKICKKGSLLADGRQKDVDKEFYLFPTVFDENESLLLDDNIRMFTTAPD QVDKEDEDFQESNKMHSMNGFMYGNQPGLNMCLGESIVWYLFSAGNEADVHGIYFSGNTY LSKGERRDTANLFPHKSLTLLMNPDTKGTFDVECLTTDHYTGGMKQKYTVNQCQRQFEDF TVYLGERTYYVAAVEVEWDYSPSRAWEKELHHLQEQNVSNVFLDKEEFFIGSKYKKVVYR QFTDSSFREQVKRRAEDEHLGILGPPIHANVGDKVKVVFKNMATRPYSIHAHGVKTESST VVPTLPGEVRTYTWQIPERSGAGREDSACIPWAYYSTVDRVKDLYSGLIGPLIVCRKSYV KVFSPKKKMEFFLLFLVFDENESWYLDDNIKTYSEHPEKVNKDNEEFLESNKMHAINGKM FGNLQGLTMHVKDEVNWYVMGMGNEIDLHTVHFHGHSFQYKHRGVYSSDVFDLFPGTYQT LEMFPQTPGTWLLHCHVTDHVHAGMATTYTVLPVEQVSSQSYRMTWNILYTLLISIIILF QMSTKE >ENSMUSP00000064103.4 pep:known chromosome:GRCm38:17:21286533:21287653:1 gene:ENSMUSG00000054142.4 transcript:ENSMUST00000066998.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r236 description:vomeronasal 1 receptor 236 [Source:MGI Symbol;Acc:MGI:2159638] MDSFRIENGDCVSTFTLPCRILSLRTRTDNMEASELSIGIIFISLTIIGILGNVTLLYHY TYFYLTRYKLRSTDWILIHLIVANILTVLCKGVPQTISSFGFKDFLNDIGCKLVSSLHRV GRGTGIGSTSFLSVFQAIIISPRNSRDSELKVRTHKCICCSVYLNWVIYLLISSVNLVHM RARYGNESTTNLKSFIYCYSVRHDPTSDILYATLLSGPEVLLLGLMLWASGSMVLTLYRH KQMMQYMSRTSLSSRSSPESRATKTILLLVCTFVSFYTISSVCQSFGALLYNPKWSLVNI TAMSSLLFPTVCPFLLMSQDSRISSYLKRNIHFP >ENSMUSP00000128193.1 pep:known chromosome:GRCm38:19:27217020:27234856:1 gene:ENSMUSG00000024924.14 transcript:ENSMUST00000164746.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vldlr description:very low density lipoprotein receptor [Source:MGI Symbol;Acc:MGI:98935] MGTSARWALWLLLALCWAPRDSGATASGKKAKCDSSQFQCTNGRCITLLWKCDGDEDCAD GSDEKNCVKKTCAESDFVCKNGQCVPNRW >ENSMUSP00000126730.1 pep:known chromosome:GRCm38:19:27217020:27249862:1 gene:ENSMUSG00000024924.14 transcript:ENSMUST00000172302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vldlr description:very low density lipoprotein receptor [Source:MGI Symbol;Acc:MGI:98935] MGTSARWALWLLLALCWAPRDSGATASGKKAKCDSSQFQCTNGRCITLLWKCDGDEDCAD GSDEKNCVKKTCAESDFVCKNGQCVPNRWQCDGDPDCEDGSDESPEQCHMRTCRINEISC GARSTQCIPVSWRCDGENDCDNGEDEENCGNITCSADEFTCSSGRCVSRNFVCNGQDDCD DGSDELDCAPPTCGAHEFQCSTSSCIPLSWVCDDDADCSDQSDESLEQCGRQPVIHTKCP TSEIQCGSGECIHKKWRCDGDPDCKDGSDEVNCPSRTCRPDQFECEDGSCIHGSRQCNGI RDCVDGSDEVNCKNVNQCLGPGKFKCRSGECIDMSKVCDQEQDCRDWSDEPLKECHINEC LVNNGGCSHICKDLVIGYECDCAAGFELIDRKTCGDIDECQNPGICSQICINLKGGYKCE CSRGYQMDLATGVCKAVGKEPSLIFTNRRDIRKIGLERKEYIQLVEQLRNTVALDADIAA QKLFWADLSQKAIFSASIDDKVGRHFKMIDNVYNPAAIAVDWVYKTIYWTDAASKTISVA TLDGAKRKFLFNSDLREPASIAVDPLSGFVYWSDWGEPAKIEKAGMNGFDRRPLVTEDIQ WPNGITLDLVKSRLYWLDSKLHMLSSVDLNGQDRRIVLKSLEFLAHPLALTIFEDRVYWI DGENEAVYGANKFTGSELATLVNNLNDAQDIIVYHELVQPSGKNWCEDDMENGGCEYLCL PAPQINDHSPKYTCSCPNGYNLEENGRECQRINVTTAVSEVSVPPKGTSAAWAILPLLLL VMAAVGGYLMWRNWQHKNMKSMNFDNPVYLKTTEEDLSIDIGRHSASVGHTYPAISVVST DDDLA >ENSMUSP00000049145.6 pep:known chromosome:GRCm38:19:27217357:27249727:1 gene:ENSMUSG00000024924.14 transcript:ENSMUST00000047645.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vldlr description:very low density lipoprotein receptor [Source:MGI Symbol;Acc:MGI:98935] MGTSARWALWLLLALCWAPRDSGATASGKKAKCDSSQFQCTNGRCITLLWKCDGDEDCAD GSDEKNCVKKTCAESDFVCKNGQCVPNRWQCDGDPDCEDGSDESPEQCRNITCSADEFTC SSGRCVSRNFVCNGQDDCDDGSDELDCAPPTCGAHEFQCSTSSCIPLSWVCDDDADCSDQ SDESLEQCGRQPVIHTKCPTSEIQCGSGECIHKKWRCDGDPDCKDGSDEVNCPSRTCRPD QFECEDGSCIHGSRQCNGIRDCVDGSDEVNCKNVNQCLGPGKFKCRSGECIDMSKVCDQE QDCRDWSDEPLKECHINECLVNNGGCSHICKDLVIGYECDCAAGFELIDRKTCGDIDECQ NPGICSQICINLKGGYKCECSRGYQMDLATGVCKAVGKEPSLIFTNRRDIRKIGLERKEY IQLVEQLRNTVALDADIAAQKLFWADLSQKAIFSASIDDKVGRHFKMIDNVYNPAAIAVD WVYKTIYWTDAASKTISVATLDGAKRKFLFNSDLREPASIAVDPLSGFVYWSDWGEPAKI EKAGMNGFDRRPLVTEDIQWPNGITLDLVKSRLYWLDSKLHMLSSVDLNGQDRRIVLKSL EFLAHPLALTIFEDRVYWIDGENEAVYGANKFTGSELATLVNNLNDAQDIIVYHELVQPS GKNWCEDDMENGGCEYLCLPAPQINDHSPKYTCSCPNGYNLEENGRECQRINVTTAVSEV SVPPKGTSAAWAILPLLLLVMAAVGGYLMWRNWQHKNMKSMNFDNPVYLKTTEEDLSIDI GRHSASVGHTYPAISVVSTDDDLA >ENSMUSP00000127329.1 pep:known chromosome:GRCm38:19:27217357:27254231:1 gene:ENSMUSG00000024924.14 transcript:ENSMUST00000167487.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vldlr description:very low density lipoprotein receptor [Source:MGI Symbol;Acc:MGI:98935] MGTSARWALWLLLALCWAPRDSGATASGKKAKCDSSQFQCTNGRCITLLWKCDGDEDCAD GSDEKNCVKKTCAESDFVCKNGQCVPNRWQCDGDPDCEDGSDESPEQCHMRTCRINEISC GARSTQCIPVSWRCDGENDCDNGEDEENCGNITCSADEFTCSSGRCVSRNFVCNGQDDCD DGSDELDCAPPTCGAHEFQCSTSSCIPLSWVCDDDADCSDQSDESLEQCGRQPVIHTKCP TSEIQCGSGECIHKKWRCDGDPDCKDGSDEVNCPSRTCRPDQFECEDGSCIHGSRQCNGI RDCVDGSDEVNCKNVNQCLGPGKFKCRSGECIDMSKVCDQEQDCRDWSDEPLKECHINEC LVNNGGCSHICKDLVIGYECDCAAGFELIDRKTCGDIDECQNPGICSQICINLKGGYKCE CSRGYQMDLATGVCKAVGKEPSLIFTNRRDIRKIGLERKEYIQLVEQLRNTVALDADIAA QKLFWADLSQKAIFSASIDDKVGRHFKMIDNVYNPAAIAVDWVYKTIYWTDAASKTISVA TLDGAKRKFLFNSDLREPASIAVDPLSGFVYWSDWGEPAKIEKAGMNGFDRRPLVTEDIQ WPNGITLDLVKSRLYWLDSKLHMLSSVDLNGQDRRIVLKSLEFLAHPLALTIFEDRVYWI DGENEAVYGANKFTGSELATLVNNLNDAQDIIVYHELVQPSGKNWCEDDMENGGCEYLCL PAPQINDHSPKYTCSCPNGYNLEENGRECQSTSTPVTYSETKDINTTDILRTSGLVPGGI NVTTAVSEVSVPPKGTSAAWAILPLLLLVMAAVGGYLMWRNWQHKNMKSMNFDNPVYLKT TEEDLSIDIGRHSASVGHTYPAISVVSTDDDLA >ENSMUSP00000025866.7 pep:known chromosome:GRCm38:19:27217458:27249763:1 gene:ENSMUSG00000024924.14 transcript:ENSMUST00000025866.13 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Vldlr description:very low density lipoprotein receptor [Source:MGI Symbol;Acc:MGI:98935] MGTSARWALWLLLALCWAPRDSGATASGKKAKCDSSQFQCTNGRCITLLWKCDGDEDCAD GSDEKNCVKKTCAESDFVCKNGQCVPNRWQCDGDPDCEDGSDESPEQCHMRTCRINEISC GARSTQCIPVSWRCDGENDCDNGEDEENCGNITCSADEFTCSSGRCVSRNFVCNGQDDCD DGSDELDCAPPTCGAHEFQCSTSSCIPLSWVCDDDADCSDQSDESLEQCGRQPVIHTKCP TSEIQCGSGECIHKKWRCDGDPDCKDGSDEVNCPSRTCRPDQFECEDGSCIHGSRQCNGI RDCVDGSDEVNCKNVNQCLGPGKFKCRSGECIDMSKVCDQEQDCRDWSDEPLKECHINEC LVNNGGCSHICKDLVIGYECDCAAGFELIDRKTCGDIDECQNPGICSQICINLKGGYKCE CSRGYQMDLATGVCKAVGKEPSLIFTNRRDIRKIGLERKEYIQLVEQLRNTVALDADIAA QKLFWADLSQKAIFSHCC >ENSMUSP00000130382.1 pep:known chromosome:GRCm38:19:27238774:27243828:1 gene:ENSMUSG00000024924.14 transcript:ENSMUST00000165761.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vldlr description:very low density lipoprotein receptor [Source:MGI Symbol;Acc:MGI:98935] IDMSKVCDQEQDCRDWSDEPLKECHINECLVNNGGCSHICKDLVIGYECDCAAGFELIDR KTCGGKEPSLIFTNRRDIRKIGLERKEYIQLVEQLRNTVALDADIAAQKLFWADLSQKAI FSASIDDKVGRHFKMIDNVYNPAAIAVDWVYKTIYWTDAASKTISVATLDGAKRKFLFNS DLREPASIAVDPLSGFVYWSDWGEPAKIEKAGMNGFDRRPLVTEDIQWPNGITLDLVKSR LYWLDSKLHMLSSVDLNG >ENSMUSP00000109784.1 pep:known chromosome:GRCm38:X:74369217:74371011:-1 gene:ENSMUSG00000032806.13 transcript:ENSMUST00000114147.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a3 description:solute carrier family 10 (sodium/bile acid cotransporter family), member 3 [Source:MGI Symbol;Acc:MGI:95048] MVVKKSRGSSQQLPGLGGQGGCTGFVGMLGTALLFISLPWGAQVMASANISTALGHTSGH YLSIGDGSVTEFDFPEKSEGIIVISSQYTGQTNGTGPSPILKVISLDTEVLTIKNLMDFY EAPPLLIEERRDFCIRVSPAEDLPSALNTNLGHFSENPILYLLLPLIFVNKCSFGCKVEL EVLKELLQSPQPMLLGLLGQFLVMPFYAFLMAKVFMLPKALALGLIITCSSPGGGGSYLF SLLLGGDVTLAISMTFISTVAATGFLPLSSAIYSYLLSIHETLHVPISKILGTLLFIAIP IAAGVVIKSKLPKFSELLLQVIKPFSFILLLGGLFLAYHMGVFILVGVRLPIVLVGFTVP LVGLLVGYSLAICLKLPVAQRRTVSIEVGVQNSLLALAMLQLSLRRLQADYASQAPFIVA LSGTSEMLALVIGQFIYSILFPVP >ENSMUSP00000109783.1 pep:known chromosome:GRCm38:X:74369217:74373246:-1 gene:ENSMUSG00000032806.13 transcript:ENSMUST00000114146.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a3 description:solute carrier family 10 (sodium/bile acid cotransporter family), member 3 [Source:MGI Symbol;Acc:MGI:95048] MVVKKSRGSSQQLPGLGGQGGCTGFVGMLGTALLFISLPWGAQVMASANISTALGHTSGH YLSIGDGSVTEFDFPEKSEGIIVISSQYTGQTNGTGPSPILKVISLDTEVLTIKNVSAIT WSSGGGFVVGIHSGLAGLAPLHLQLMDFYEAPPLLIEERRDFCIRVSPAEDLPSALNTNL GHFSENPILYLLLPLIFVNKCSFGCKVELEVLKELLQSPQPMLLGLLGQFLVMPFYAFLM AKVFMLPKALALGLIITCSSPGGGGSYLFSLLLGGDVTLAISMTFISTVAATGFLPLSSA IYSYLLSIHETLHVPISKILGTLLFIAIPIAAGVVIKSKLPKFSELLLQVIKPFSFILLL GGLFLAYHMGVFILVGVRLPIVLVGFTVPLVGLLVGYSLAICLKLPVAQRRTVSIEVGVQ NSLLALAMLQLSLRRLQADYASQAPFIVALSGTSEMLALVIGQFIYSILFPVP >ENSMUSP00000072818.4 pep:known chromosome:GRCm38:X:74369217:74373305:-1 gene:ENSMUSG00000032806.13 transcript:ENSMUST00000073067.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a3 description:solute carrier family 10 (sodium/bile acid cotransporter family), member 3 [Source:MGI Symbol;Acc:MGI:95048] MVVKKSRGSSQQLPGLGGQGGCTGFVGMLGTALLFISLPWGAQVMASANISTALGHTSGH YLSIGDGSVTEFDFPEKSEGIIVISSQYTGQTNGTGPSPILKVISLDTEVLTIKNVSAIT WSSGGGFVVGIHSGLAGLAPLHLQLMDFYEAPPLLIEERRDFCIRVSPAEDLPSALNTNL GHFSENPILYLLLPLIFVNKCSFGCKVELEVLKELLQSPQPMLLGLLGQFLVMPFYAFLM AKVFMLPKALALGLIITCSSPGGGGSYLFSLLLGGDVTLAISMTFISTVAATGFLPLSSA IYSYLLSIHETLHVPISKILGTLLFIAIPIAAGVVIKSKLPKFSELLLQVIKPFSFILLL GGLFLAYHMGVFILVGVRLPIVLVGFTVPLVGLLVGYSLAICLKLPVAQRRTVSIEVGVQ NSLLALAMLQLSLRRLQADYASQAPFIVALSGTSEMLALVIGQFIYSILFPVP >ENSMUSP00000045150.5 pep:known chromosome:GRCm38:X:74369217:74373349:-1 gene:ENSMUSG00000032806.13 transcript:ENSMUST00000037967.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc10a3 description:solute carrier family 10 (sodium/bile acid cotransporter family), member 3 [Source:MGI Symbol;Acc:MGI:95048] MVVKKSRGSSQQLPGLGGQGGCTGFVGMLGTALLFISLPWGAQVMASANISTALGHTSGH YLSIGDGSVTEFDFPEKSEGIIVISSQYTGQTNGTGPSPILKVISLDTEVLTIKNVSAIT WSSGGGFVVGIHSGLAGLAPLHLQLMDFYEAPPLLIEERRDFCIRVSPAEDLPSALNTNL GHFSENPILYLLLPLIFVNKCSFGCKVELEVLKELLQSPQPMLLGLLGQFLVMPFYAFLM AKVFMLPKALALGLIITCSSPGGGGSYLFSLLLGGDVTLAISMTFISTVAATGFLPLSSA IYSYLLSIHETLHVPISKILGTLLFIAIPIAAGVVIKSKLPKFSELLLQVIKPFSFILLL GGLFLAYHMGVFILVGVRLPIVLVGFTVPLVGLLVGYSLAICLKLPVAQRRTVSIEVGVQ NSLLALAMLQLSLRRLQADYASQAPFIVALSGTSEMLALVIGQFIYSILFPVP >ENSMUSP00000110734.3 pep:known chromosome:GRCm38:2:3409047:3419129:-1 gene:ENSMUSG00000026650.15 transcript:ENSMUST00000115082.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meig1 description:meiosis expressed gene 1 [Source:MGI Symbol;Acc:MGI:1202878] MATSDVKPKSISRAKKWSEEIENLYRFQQAGYRDEIEYKQVKQVAMVDRWPETGYVKKLQ RRDNTFFYYNKERECEDKEVHKVKVYVY >ENSMUSP00000070310.7 pep:known chromosome:GRCm38:2:3409043:3422648:-1 gene:ENSMUSG00000026650.15 transcript:ENSMUST00000064685.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meig1 description:meiosis expressed gene 1 [Source:MGI Symbol;Acc:MGI:1202878] MATSDVKPKSISRAKKWSEEIENLYRFQQAGYRDEIEYKQVKQVAMVDRWPETGYVKKLQ RRDNTFFYYNKERECEDKEVHKVKVYVY >ENSMUSP00000110736.1 pep:known chromosome:GRCm38:2:3409043:3419019:-1 gene:ENSMUSG00000026650.15 transcript:ENSMUST00000115084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meig1 description:meiosis expressed gene 1 [Source:MGI Symbol;Acc:MGI:1202878] MATSDVKPKSISRAKKWSEEIENLYRFQQAGYRDEIEYKQVKQVAMVDRWPETGYVKKLQ RRDNTFFYYNKERECEDKEVHKVKVYVY >ENSMUSP00000110733.1 pep:known chromosome:GRCm38:2:3409110:3418581:-1 gene:ENSMUSG00000026650.15 transcript:ENSMUST00000115081.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meig1 description:meiosis expressed gene 1 [Source:MGI Symbol;Acc:MGI:1202878] MATSDVKPKSISRAKKWSEEIENLYRFQQAGYRDEIEYKQVKQVAMVDRWPETGYVKKLQ RRDNTFFYYNKERECEDKEVHKVKVYVY >ENSMUSP00000110735.1 pep:known chromosome:GRCm38:2:3409046:3419041:-1 gene:ENSMUSG00000026650.15 transcript:ENSMUST00000115083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meig1 description:meiosis expressed gene 1 [Source:MGI Symbol;Acc:MGI:1202878] MATSDVKPKSISRAKKWSEEIENLYRFQQAGYRDEIEYKQVKQVAMVDRWPETGYVKKLQ RRDNTFFYYNKERECEDKEVHKVKVYVY >ENSMUSP00000123118.1 pep:known chromosome:GRCm38:2:3409267:3422594:-1 gene:ENSMUSG00000026650.15 transcript:ENSMUST00000144584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Meig1 description:meiosis expressed gene 1 [Source:MGI Symbol;Acc:MGI:1202878] MATSDVKPKSISRAKKWSEEIENLYRFQQAGYRDEIEYKQVKQVAMVDRWPETGYVKKLQ RRDNT >ENSMUSP00000102839.1 pep:known chromosome:GRCm38:7:90223873:90265418:-1 gene:ENSMUSG00000030617.13 transcript:ENSMUST00000107221.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc83 description:coiled-coil domain containing 83 [Source:MGI Symbol;Acc:MGI:1918255] MDSSAKGSKKDAPDGPPKDSKLPVSEALLDYHREIKENAVERFMFHIKKLREKNQKYQER NRRLKEEQNWHIKNLIKELKEKNLDEAPIVTREEVEEAMKEKWEFERQQEASLKEMRIQI NEAEKLFLEKLSEKEYWEEYKNVGSAQHAQLIVSLQNDIDTVKENAEKMSEQYKVTLEDE KKRISRETMIQLKQRKEWATQHAVRFIDKNNYREIWENDWLKKEITIHKKEVEELEASIH LLEEENLLLIDQLINCRLVDLKIPKKLYITQAAGLQVTPEDESLNLAEADTEENLQLPSK EGSEDMLDLLLGSNHGTSSPEIQPKKTVSKDGSNPEMESSITQHLLHEDEQDFKEYVNLG PLALKLMTVQGKKMPIHFQEKEIPAEVYEDMQRNPESHITHKLMKTFI >ENSMUSP00000102838.1 pep:known chromosome:GRCm38:7:90234404:90265432:-1 gene:ENSMUSG00000030617.13 transcript:ENSMUST00000107220.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc83 description:coiled-coil domain containing 83 [Source:MGI Symbol;Acc:MGI:1918255] MDSSAKGSKKDAPDGPPKDSKLPVSEALLDYHREIKENAVERFMFHIKKLREKNQKYQER NRRLKEEQNWHIKNLIKELKEKNLDEAPIVTREEVEEAMKEKWEFERQQEASLKEMRIQI NEAEKLFLEKLSEKEYWEEYKNVGSAQHAQLIVSLQNDIDTVKENAEKMSEQYKVTLEDE KKRISRETMIQLKQRKEWATQHAVRFIDKNNYREIWENDWLKKEPQEKLGVETVLRMQWW RQYHHPTDPC >ENSMUSP00000047758.1 pep:known chromosome:GRCm38:7:90235761:90265432:-1 gene:ENSMUSG00000030617.13 transcript:ENSMUST00000040413.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc83 description:coiled-coil domain containing 83 [Source:MGI Symbol;Acc:MGI:1918255] MDSSAKGSKKDAPDGPPKDSKLPVSEALLDYHREIKENAVERFMFHIKKLREKNQKYQER NRRLKEEQNWHIKNLIKELKEKNLDEAPIVTREEVEEAMKEKWEFERQQEASLKEMRIQI NEAEKLFLEKLSEKEYWEEYKNVGSAQHAQLIVSLQNDIDTVKENAEKMSEQYKVTLEDE KKRISRETMIQLKQRKEWATQHAVRFIDKNNYREIWENDWLKKEGDTASFGNVLSLLDFL PPDLHTAEPCVSSGQSRGSLRILAPFALQLWSKLSGLLPTLVTPDPVCIIWSFGPGQTNS MAICP >ENSMUSP00000076531.3 pep:known chromosome:GRCm38:17:21313963:21314912:1 gene:ENSMUSG00000058030.4 transcript:ENSMUST00000077301.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r237 description:vomeronasal 1 receptor 237 [Source:MGI Symbol;Acc:MGI:2159637] MENSDIVISVIFLSQTVVGILGNSFLVYHYLMLYYMRCRLKFTDWILQHLIVANFLTLLC KGIPHTVSAFGLKNFLDDIGCKLTFYLHRIGRGVSISSTCFLSVFQAITISPSASRWTQL KAKSPSYIASCVYMSWALSFLVNIAFPMDMRARQNNRNITRLRVYGICSTVHHNKVSDII NAVLLSIPDVVFMVLMVWSSGYMVCILYRHKQRMKHIHRSTFSFRSSAEFRATKTILLLV STFVFFYTISCLFQINLALFHNPTSLWVNMASVVTACFPTVSPFLVISS >ENSMUSP00000116112.1 pep:known chromosome:GRCm38:X:101849385:102076276:1 gene:ENSMUSG00000079481.11 transcript:ENSMUST00000124279.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhsl2 description:NHS-like 2 [Source:MGI Symbol;Acc:MGI:3645090] MPFYRRTVVPQRLCPRNPPQPLAELRDVSHLAALSLLRQLADLCGHSLALLEDLEGHLLA LGRRTDSLYRRTVRLRRRLPCRLLGPEDDEELLGACHWVNGTRILRARELADVASTGQQF DRHASFRHSLFNTETAVNPKSTLRRRRTIIGFSN >ENSMUSP00000098893.4 pep:known chromosome:GRCm38:X:101849385:102092055:1 gene:ENSMUSG00000079481.11 transcript:ENSMUST00000101339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhsl2 description:NHS-like 2 [Source:MGI Symbol;Acc:MGI:3645090] MPFYRRTVVPQRLCPRNPPQPLAELRDVSHLAALSLLRQLADLCGHSLALLEDLEGHLLA LGRRTDSLYRRTVRLRRRLPCRLLGPEDDEELLAAANSGRENATATAHSRSSWRQPVNVF LSSGRPPSVEELLREAQLNLQSLLQEEYEEQYSEARLLGQTFRSSDGAPEPTPSPRPQSA KRLEFVLMPAKRQLSEDETTTQGVRAPEACLSLSTANKQSAWNDPFPLPILKERLWLQPC STQSDLVPINISGQQFDRHASFRHSLFNTETAVNPKSTLRRRRTIIGFSNFSQRDQGHSS SPTGSLARSATSDIRPGHSAPQVVQGRVAVGQEARFPSLTSPGLRHSSSEPGDAHQARSA SDHPGMESMAVVYSVPSSCNGPTESTFSTSWKGDAFTYMTPSASSQGNQVSENGKNPSSG NSWVPLNTLPPLVPKEAATLFVTRDNPAGCTGLPSYSEHPTLRRQIPERPPKIGLLARGT SRLETGPGGTNRFRERSLSVPTDSGVTSVDYDEEQKTSETRILPYASTSSEGSNSTDNIA ALSTEQEARHRRQRSKSISLKKAKKKPSPPMRSVSLVKDEPALPPEGELVLPKDQRPRSL CLSLEHQGHHPPHPDAQGHPAVPMLKDPGSTQFSHHWYLTDWKSGDTYQSLSSSSTATGT TVIECTQVQGSSESLASPSTSRATTPSQLSIEVEAREVASPGRPTGLMSPSSGYSSQSET PTPTVSMSLTLGHLPPPSASVRVRPVVPERKSSLPPTSPMEKICKSRLSFDLPLTSSTTL DLSGMSISIRSKTKVSRHHSDTNFGVKLAQKTSPNQPIMPMVTQSDLRSVRLRSVSKSEP EDDIESPDYIEEPGAEEVFTMPERKVKPPIAEKPPLARRPPSLVHRPPSLPGEYPLTSPT MAMASRSSIPHMKQLPQDSYTVLRKPKSPSFPGESTASSSLVLSPLASSSGAFFSGTQQP PQASVEDGGPKVRALPERIGLQSQEEAEKKMTKIPPPVPKKPSVLYLPLTSPVAQMDACM AEPRLPFSPIITLEEDGKCPSTGDDQKSPGKGVTSPLHTDTEKEAISPGRSVEPSAEEKS LISDKTAEWIAEEEDDVFVASRTTEDLFTVIHRSKRKLLGWKETGEGFTGSKPSSHSPVK NTADSPTGEAAAAPGPSSSACLDAGRNDDFKALLQKKGSKATPRTRPSAAELLKTTNPLA RRIIAQFSKDYEPTDNPST >ENSMUSP00000143176.1 pep:known chromosome:GRCm38:X:102005044:102077856:1 gene:ENSMUSG00000079481.11 transcript:ENSMUST00000144753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhsl2 description:NHS-like 2 [Source:MGI Symbol;Acc:MGI:3645090] MMGNSHHKQPRSKSQSSRMHSATGHSSSPTGSLARSATSDIRPGHSAPQVVQGRVAVGQE ARFPSLTSPGLRHSSSEPGDAHQARSASDHPGMESMAVVYSVPSSCNGPTESTFSTSWKG DAFTYMTPSASSQGNQVSENGKNPSSGN >ENSMUSP00000103393.2 pep:known chromosome:GRCm38:4:46393989:46404236:-1 gene:ENSMUSG00000028332.13 transcript:ENSMUST00000107764.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hemgn description:hemogen [Source:MGI Symbol;Acc:MGI:2136910] MDMGKGRPRLKLPQMPEAHPQKSCAPDIIGSWSLRNREQLRKRKAEAQGRQTSQWLLGEQ KKRKYQRTGKGNKRGRKRQGNVEQKAEPWSQTERERVQEVLVSAEEETEHPGNSATEALP LVPSPTKAVPADQCSEAHQESIQCQERAIQNHSQTHLSPTTCQGIAVLQHSPKMCQDMAE PEVFSPNMCQETAVPQTYPPKALEEMAAAEPLSPKMCQETTVSPNHSSKVPQDMAGPEAL SPNMCQEPTVPQEHTLKMCHDVARPEVLSPKTHQEMAVPKAFPCVTPGDAAGLEGCAPKA LPQSDVAEGCPLDTTPTSVTPEQTTSDPDLGMAVTEGFFSEARECTVSEGVSTKTHQEAV EPEFISHETYKEFTVPIVSSQKTIQESPEPEQYSPETCQPIPGPENYSLETCHEMSGPED LSIKTCQDREEPKHSLPEGAQKVGGAQGQDADAQDSENAGAFSQDFTEMEEENKADQDPE APASPQGSQETCPENGIYSSALF >ENSMUSP00000066383.2 pep:known chromosome:GRCm38:4:46393989:46413506:-1 gene:ENSMUSG00000028332.13 transcript:ENSMUST00000071096.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hemgn description:hemogen [Source:MGI Symbol;Acc:MGI:2136910] MDMGKGRPRLKLPQMPEAHPQKSCAPDIIGSWSLRNREQLRKRKAEAQGRQTSQWLLGEQ KKRKYQRTGKGNKRGRKRQGNVEQKAEPWSQTERERVQEVLVSAEEETEHPGNSATEALP LVPSPTKAVPADQCSEAHQESIQCQERAIQNHSQTHLSPTTCQGIAVLQHSPKMCQDMAE PEVFSPNMCQETAVPQTYPPKALEEMAAAEPLSPKMCQETTVSPNHSSKVPQDMAGPEAL SPNMCQEPTVPQEHTLKMCHDVARPEVLSPKTHQEMAVPKAFPCVTPGDAAGLEGCAPKA LPQSDVAEGCPLDTTPTSVTPEQTTSDPDLGMAVTEGFFSEARECTVSEGVSTKTHQEAV EPEFISHETYKEFTVPIVSSQKTIQESPEPEQYSPETCQPIPGPENYSLETCHEMSGPED LSIKTCQDREEPKHSLPEGAQKVGGAQGQDADAQDSENAGAFSQDFTEMEEENKADQDPE APASPQGSQETCPENGIYSSALF >ENSMUSP00000075266.6 pep:known chromosome:GRCm38:16:36963460:36990467:-1 gene:ENSMUSG00000047746.14 transcript:ENSMUST00000075869.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo40 description:F-box protein 40 [Source:MGI Symbol;Acc:MGI:2443753] MGRARKPPPALHRHCEGCFNRHCHVPVEPSVSCLVISCHLLCGATFHMCKESEHTLLCPL EQVPCLNSEYGCPLSMARHKLAKHLQVCPASVVCCSMEWIRWPNVDSETFLHENIMKETP SEECLDTALALQDQKVLFRSLKMVELFPETRDATEEEPDMNGDTSWEETGGAVGGVDARL APNSCLPATSRQMMELSQEERDALAKTKEGMDLDKFGKWESMFSKEHAASVLTGSLGKSE DKNGDVAGKEQCSSNVRIGDAEGSAERRGPQESQKSQELPATMEMTGLAPWQDGVLERLK TAVDAKDYNMYLVHNGRMLIHFGQMPACTPKERDFVYGNLEAQEVKTVYTFKIPVSYCGK RARLGDAMLKCRPSEHKAVDTSDLGISVEDLPKSDLIKTTLQCALERELKGHVISESRSI DGLFMDLATQTYNFEPEQFSSETVLADLLGTAQPGGLHVELHSECVTRRHNKSSSAFTFT CNKFFRRDEFPLHFKNVHTDIQSSLDGWFQHRCPLAYLGCTFVQNHFRPPGQKAKVIYSQ ELKTFAIKPEVAPELSEKWKSDHLSGRDGKSLNSLTSLPLEVLQYIAGFLDSISLSQLSQ VSVLMRNICATLLQERGMVLSQWKKKRYSHGGTSWKVHNQIWQFSSLFSKINSWEFNDVT SMSEHLKTCPFNIVERKTDPIRLTSMCQPQEKARESLVSTFRARPRGRHF >ENSMUSP00000110454.1 pep:known chromosome:GRCm38:16:36966073:36979136:-1 gene:ENSMUSG00000047746.14 transcript:ENSMUST00000114806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo40 description:F-box protein 40 [Source:MGI Symbol;Acc:MGI:2443753] MGRARKPPPALHRHCEGCFNRHCHVPVEPSVSCLVISCHLLCGATFHMCKESEHTLLCPL EQVPCLNSEYGCPLSMARHKLAKHLQVCPASVVCCSMEWIRWPNVDSETFLHENIMKETP SEECLDTALALQDQKVLFRSLKMVELFPETRDATEEEPDMNGDTSWEETGGAVGGVDARL APNSCLPATSRQMMELSQEERDALAKTKEGMDLDKFGKWESMFSKEHAASVLTGSLGKSE DKNGDVAGKEQCSSNVRIGDAEGSAERRGPQESQKSQELPATMEMTGLAPWQDGVLERLK TAVDAKDYNMYLVHNGRMLIHFGQMPACTPKERDFVYGNLEAQEVKTVYTFKIPVSYCGK RARLGDAMLKCRPSEHKAVDTSDLGISVEDLPKSDLIKTTLQCALERELKGHVISESRSI DGLFMDLATQTYNFEPEQFSSETVLADLLGTAQPGGLHVELHSECVTRRHNKSSSAFTFT CNKFFRRDEFPLHFKNVHTDIQSSLDGWFQHRCPLAYLGCTFVQNHFRPPGQKAKVIYSQ ELKTFAIKPEVAPELSEKWKSDHLSGRDGKSLNSLTSLPLEVLQYIAGFLDSISLSQLSQ VSVLMRNICATLLQERGMVLSQWKKKRYSHGGTSWKVHNQIWQFSSLFSKINSWEFNDVT SMSEHLKTCPFNIVERKTDPIRLTSMCQPQEKARESLVSTFRARPRGRHF >ENSMUSP00000003320.6 pep:known chromosome:GRCm38:16:20498817:20509955:1 gene:ENSMUSG00000003235.12 transcript:ENSMUST00000003320.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b5 description:eukaryotic translation initiation factor 2B, subunit 5 epsilon [Source:MGI Symbol;Acc:MGI:2446176] MAATAAVPGAAAGRASKRGGGGSGGGGTQGAEEEPPPPLQAVLVADSFNRRFFPISKDQP RVLLPLANVALIDYTLEFLTATGVQETFVFCCWKAAQIKEHLQKSKWCHPTSPNVVRIIT SELYRSLGDVLRDVDAKALVRSDFLLIYGDVISNINICRALEEHRLRRKLEKNVSVMTMV FKESSPSHPTRCHEDNVVMAVDSATNRVLHFQKTQGLRRFSFPLSLFQGSGDGVEIRYDL LDCHISICSPQVAQLFTDNFDYQTRDDFVRGILMNEEVLGNQIHLHVTTREYGARVSNLH MYSAVCADVIRRWVYPLTPEVNFTDSTTQSYTHSRHNIYRGPEVSLGHGSVLEENVLLGA GTVIGSNCSITNSVIGPNCHIGDNVVLDQAYLWQGVRVAAGAQIHQSLLCDRAEVKERVK LKPYCVLTSQVVVGPDITLPEGSVISLHPPDAEEDEDDGQFSDDSGADQEKEKVKLKGYN PAEVGLEGQGYLWKAEGVNSKEDEELRQSLWGLMIKTEEESETESEGSVDPEELDSRAGS PQLDDIRVFQNEVLGTLQRGREENISCENLVLEINSLKHAYNISLKEVMQVLTLVVLEFP LQQVDGLLDPNRYCALLLPLLKAWSPVLRNYIKRAADHLEALAAIEDFFLEHETLVTSMA KVLMAFYQLEILAEETILSWFSQRDTTDEGQQLRKNQQLQRFIQWLREAEEESSEDD >ENSMUSP00000121169.1 pep:known chromosome:GRCm38:16:20506398:20509323:1 gene:ENSMUSG00000003235.12 transcript:ENSMUST00000148714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif2b5 description:eukaryotic translation initiation factor 2B, subunit 5 epsilon [Source:MGI Symbol;Acc:MGI:2446176] LGTLQRGREENISCENLVLEINSLKHAYNISLKEVMQVLTLVVLEFPLQQVDGLLDPNRY CALLLPLLKAWSPVLRNYIKRAADHLEALAAIEDFFLEHETLVTSMAKVLMAFYQLEILA EETILSWFSQRDTTDEGQQLRKNQQLSPLLPCSCRGSSSG >ENSMUSP00000113737.1 pep:known chromosome:GRCm38:X:102802963:102809942:1 gene:ENSMUSG00000067562.11 transcript:ENSMUST00000118218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1c1 description:DMRT-like family C1c1 [Source:MGI Symbol;Acc:MGI:1918333] MSLRTMGPKDKAAVSCRPSTSECNMGNKTGAAAPVMEPILRGRANHPGRGHSCGTRTQVR DHGEALLAVGSEQKGYSARGKRRQIRLPRTTLPRKPFDQAKKTPTKGRGRPAKENPVSQP EDLPQASPQEESPHGSQVYCWPPALSPLPYMSVPPEQQLVAPPSTEIHGAFAESNPCSSM VLLPRTSTDHHHPLESQGSGASDQASVPASLECQEILEAAEALMTLKNSSWTWHQTHS >ENSMUSP00000119462.1 pep:known chromosome:GRCm38:X:102804223:102805916:1 gene:ENSMUSG00000067562.11 transcript:ENSMUST00000131451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1c1 description:DMRT-like family C1c1 [Source:MGI Symbol;Acc:MGI:1918333] MGPKDKAAVSCRPSTSECNMGNKTGAAAPVMEPILRGRANHPGRGHSCGTRTQVRDHGEA LLAVGSEQKGYSARGKRRQIRLPRTTLPRKPFDQAKKTPTKGRGRPAKENPVSQPEDLPQ ASPQEESPHGSQVYCWPPAL >ENSMUSP00000022985.1 pep:known chromosome:GRCm38:15:58314573:58324169:-1 gene:ENSMUSG00000022357.2 transcript:ENSMUST00000022985.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl38 description:kelch-like 38 [Source:MGI Symbol;Acc:MGI:3045310] MDEELPDGVVFKDHSFSSDLLRQLNGLRQSKILTDVSICSGAWEVPCHRSVLASSSPYFK AMFCSHFRESREAKVQMKGISSTTLEQVITYVYTGEVHISAANVLPLMEAAAMLQYPRVF EACSSYLQSQLAPSNCLGLVRLAEILSCDSLKKKAKEVALTYFPEVAASADLKELCAMEL RDYLGDDRLCGEEEKVFEALMAWVKHDLQARWRHMQELLQQVRLQYIHPAFFHHFIANDA LLQSSPACQAILEMARKQIFSLYGPSAQDCKLLWRMPPRSSYQDFLLLLGGRKDNQQTTR DVLLYSGQTGQWQSLAKLPIRLYKASAVTLHRSVYVLGGMTVSEGKSLISCGVYIFSLKL NQWRVGEPMLAARYSHRSTTHRNFIFSIGGTGEGQELLASMERYDSIRDVWESMAGMPVA VLHPAVAVKDQRLYLFGGEDIMQNPVRLIQVYHISRNTWYKMETRMIKNVCAPAVVLGEQ IVIVGGYTRRILAYDPQSNKFVKCADMKDRRMHHGATVMGNKLYVTGGRRLTTDCNIEDS ASFDCYDPETDTWTSQGQLPHKLFDHACLTLQCIPHMTSLS >ENSMUSP00000140184.1 pep:known chromosome:GRCm38:3:129787881:129804030:-1 gene:ENSMUSG00000093865.7 transcript:ENSMUST00000185462.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrit3 description:leucine-rich repeat, immunoglobulin-like and transmembrane domains 3 [Source:MGI Symbol;Acc:MGI:2685267] MWLSACLCLVLSFLGGVNGTCPSQCSCEYHGRHDGSGSRLVLCNDLDMNEVPANFPVDTS KLRIEKTVVRRLPAEAFYYLVELQYLWLAYNSVASIETSSFYNLRQLHELRLDGNSLTAF PWVSLLDMPHLRTLDLHNNRIASVPNEAVRYLRNLTCLDLSSNRLTTLPPDFLDSWSHLA VTPSRSPDFPPRRIILGLQDNPWFCDCHISKVIELSKVTDHAVVLLDPLMVCSEPERFQG ILFQRVELEKCLKPSVMMSATKITSALGSNVLLRCDAKGHPTPQLTWTRSDGSTVNYTVI QESPGEGIRWSIISLTSISHKDAGDYRCKAKNLAGISEAVVTVTVVGGVTTTLSPDSSER SPGEPPEQHPQPGLGGSTPPSKSWLSPGLTSAPSYPTPSAALYTSTWSPPPSSLPPIFSA ASATTSVQTSISGRTARTSHQPPLLHPGGKSNAKIEKNGRKFPPLSASKKEELALLDQAA PMETNVTIKDLRVARETGVSVTLMWNSSSSTQESSVTVLYSKYGEKDLLLVNADDYGKNQ ATINGLEPGSQYVACVCPKGVGPREDLCITFSTNRVEGRGSQWSLLLVVTSTACVIVVPL ICFLLYKVCKLQCTSDPFWEEDLSKETYIQFETLSPRSQSIGELWTRRHRDDGERLLLCS QSSVDSQMNLKSDGCRTEYYG >ENSMUSP00000136912.1 pep:known chromosome:GRCm38:3:129788291:129804030:-1 gene:ENSMUSG00000093865.7 transcript:ENSMUST00000179187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrit3 description:leucine-rich repeat, immunoglobulin-like and transmembrane domains 3 [Source:MGI Symbol;Acc:MGI:2685267] MWLSACLCLVLSFLGGVNGTCPSQCSCEYHGRHDGSGSRLVLCNDLDMNEVPANFPVDTS KLRIEKTVVRRLPAEAFYYLVELQYLWLAYNSVASIETSSFYNLRQLHELRLDGNSLTAF PWVSLLDMPHLRTLDLHNNRIASVPNEAVRYLRNLTCLDLSSNRLTTLPPDFLDSWSHLA VTPSRSPDFPPRRIILGLQDNPWFCDCHISKVIELSKVTDHAVVLLDPLMVCSEPERFQG ILFQRVELEKCLKPSVMMSATKITSALGSNVLLRCDAKGHPTPQLTWTRSDGSTVNYTAA SATTSVQTSISGRTARTSHQPPLLHPGGKSNAKIEKNGRKFPPLSASKKEELALLDQAAP METNVTIKDLRVARETGVSVTLMWNSSSSTQESSVTVLYSKYGEKDLLLVNADDYGKNQA TINGLEPGSQYVACVCPKGVGPREDLCITFSTNRVEGRGSQWSLLLVVTSTACVIVVPLI CFLLYKVCKLQCTSDPFWEEDLSKETYIQFETLSPRSQSIGELWTRRHRDDGERLLLCSQ SSVDSQMNLKSDGCRTEYYG >ENSMUSP00000066504.4 pep:known chromosome:GRCm38:7:11161374:11166148:-1 gene:ENSMUSG00000090714.9 transcript:ENSMUST00000067210.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan4d description:zinc finger and SCAN domain containing 4D [Source:MGI Symbol;Acc:MGI:3645954] MASQQAPAKDLQTNNLEFTPSHSSGVQWVEDISNSPSAQLNFSPSNNGCWATQELQSLWK MFNSWLQPEKQTKEQMISQLVLEQFLLIGHCKDKYALTEKWKASGSDMRRFMESLTDECL KPPVMVHVSMQGQEALFSENMPLKEVIKLLKQQQSATRPTPDNEQMPVDTTQDRLLATGQ ENSENECNNSCNATEANVGESCSGNEMDSLLIIQKEQYPEHEEGNVVFQFPLDARRASQG NSSHHVDFRSAPTPADVPMEEQPKDLSRENISEDKNNCYNTSRNAATQVYRSDNIPRKKT DSLSINKRIYHSEPEEGDIPYGVPQDSTRASQGTSTCLQESLGECFSEKDPRELPGLESR QEEPISDPVFLGKDHEANLPCESHQKRFRRDAKLFKCEECSRMFKHARSLSSHQRTHLNK KSELLCVTCQKMFKRVSDRRTHEIIHMPEKPFKCSTCEKSFSHKTNLKSHEMIHTGEMPY VCSLCSRRFRQSSTYHRHLRNYHRSD >ENSMUSP00000131258.2 pep:known chromosome:GRCm38:7:11161792:11166182:-1 gene:ENSMUSG00000090714.9 transcript:ENSMUST00000165848.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan4d description:zinc finger and SCAN domain containing 4D [Source:MGI Symbol;Acc:MGI:3645954] MASQQAPAKDLQTNNLEFTPSHSSGVQWVEDISNSPSAQLNFSPSNNGCWATQELQSLWK MFNSWLQPEKQTKEQMISQLVLEQFLLIGHCKDKYALTEKWKASGSDMRRFMESLTDECL KPPVMVHVSMQGQEALFSENMPLKEVIKLLKQQQSATRPTPDNEQMPVDTTQDRLLATGQ ENSENECNNSCNATEANVGESCSGNEMDSLLIIQKEQYPEHEEGNVVFQFPLDARRASQG NSSHHVDFRSAPTPADVPMEEQPKDLSRENISEDKNNCYNTSRNAATQVYRSDNIPRKKT DSLSINKRIYHSEPEEGDIPYGVPQDSTRASQGTSTCLQESLGECFSEKDPRELPGLESR QEEPISDPVFLGKDHEANLPCESHQKRFRRDAKLFKCEECSRMFKHARSLSSHQRTHLNK KSELLCVTCQKMFKRVSDRRTHEIIHMPEKPFKCSTCEKSFSHKTNLKSHEMIHTGEMPY VCSLCSRRFRQSSTYHRHLRNYHRSD >ENSMUSP00000092446.4 pep:known chromosome:GRCm38:7:11163112:11166167:-1 gene:ENSMUSG00000090714.9 transcript:ENSMUST00000094850.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan4d description:zinc finger and SCAN domain containing 4D [Source:MGI Symbol;Acc:MGI:3645954] MASQQAPAKDLQTNNLEFTPSHSSGVQWVEDISNSPSAQLNFSPSNNGCWATQELQSLWK MFNSWLQPEKQTKEQMISQLVLEQFLLIGHCKDKYALTEKWKASGSDMRRFMESLTDECL KPPVMVHVSMQGQEALFS >ENSMUSP00000109261.1 pep:known chromosome:GRCm38:X:102066544:102071196:-1 gene:ENSMUSG00000049191.12 transcript:ENSMUST00000113631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgag4 description:retrotransposon gag domain containing 4 [Source:MGI Symbol;Acc:MGI:3045324] MSEAAGNLNSLRLANVALREELNALRGENVQLGLQLGRALAEVNSLRGNVSSYIRWPMPI VPVLAEENFEFLLNETDPTPEEEEEEEEEVPFLCWPPPRTDPEYVSDDLLINVVQDYTNP DGSSDPPLSPSPSQPELHSPMLKEPTFEFLLPPLERPDIEPFSGDPVYLAEFLMQLETFI ADHEDHFPGGAERVAFLISFFTGEARDWAISVTQEGSSLHANFPRFLDEIRKEFCGPIPS RVAKKAIRKLKQGNCTLGSYADAFQFLAQFLSWDDCRLQNQFLKGLSEIFRKELLWSTEV ADLDELILECVKIERKVRVPKTASLTGVQNSCCPFALIPNEDENEGVEFYSENEGEGEEA GGYRLYLKDQRQHMTAFPQEMREEEEEMRKEEDEMEDEEDEDEDEDYEFEEEDEDDDDEE EEEEEEEEEDKEEEMKNEDSDENKYEEEDEVIVRVLEPEQEQEREEIEHEHVYVHEHIHA HVHTLAAHHHGLHGELMVMDEPVLVDTSTQTISSAIGYHAENYLGVSPSVMHSSRQRSQN RVPLLEGLPGTNSSFYSPPPLMRHAGRLGQRQMRRCPSVLFCLTPRQGGHRATQGRIRV >ENSMUSP00000133001.1 pep:known chromosome:GRCm38:X:102066544:102071304:-1 gene:ENSMUSG00000049191.12 transcript:ENSMUST00000119076.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rgag4 description:retrotransposon gag domain containing 4 [Source:MGI Symbol;Acc:MGI:3045324] MSEAAGNLNSLRLANVALREELNALRGENVQLGLQLGRALAEVNSLRGNVSSYIRWPMPI VPVLAEENFEFLLNETDPTPEEEEEEEEEVPFLCWPPPRTDPEYVSDDLLINVVQDYTNP DGSSDPPLSPSPSQPELHSPMLKEPTFEFLLPPLERPDIEPFSGDPVYLAEFLMQLETFI ADHEDHFPGGAERVAFLISFFTGEARDWAISVTQEGSSLHANFPRFLDEIRKEFCGPIPS RVAKKAIRKLKQGNCTLGSYADAFQFLAQFLSWDDCRLQNQFLKGLSEIFRKELLWSTEV ADLDELILECVKIERKVRVPKTASLTGVQNSCCPFALIPNEDENEGVEFYSENEGEGEEA GGYRLYLKDQRQHMTAFPQEMREEEEEMRKEEDEMEDEEDEDEDEDYEFEEEDEDDDDEE EEEEEEEEEDKEEEMKNEDSDENKYEEEDEVIVRVLEPEQEQEREEIEHEHVYVHEHIHA HVHTLAAHHHGLHGELMVMDEPVLVDTSTQTISSAIGYHAENYLGVSPSVMHSSRQRSQN RVPLLEGLPGTNSSFYSPPPLMRHAGRLGQRQMRRCPSVLFCLTPRQGGHRATQGRIRV >ENSMUSP00000139504.1 pep:known chromosome:GRCm38:X:102066544:102071304:-1 gene:ENSMUSG00000049191.12 transcript:ENSMUST00000188731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgag4 description:retrotransposon gag domain containing 4 [Source:MGI Symbol;Acc:MGI:3045324] MSEAAGNLNSLRLANVALREELNALRGENVQLGLQLGRALAEVNSLRGNVSSYIRWPMPI VPVLAEENFEFLLNETDPTPEEEEEEEEEVPFLCWPPPRTDPEYVSDDLLINVVQDYTNP DGSSDPPLSPSPSQPELHSPMLKEPTFEFLLPPLERPDIEPFSGDPVYLAEFLMQLETFI ADHEDHFPGGAERVAFLISFFTGEARDWAISVTQEGSSLHANFPRFLDEIRKEFCGPIPS RVAKKAIRKLKQGNCTLGSYADAFQFLAQFLSWDDCRLQNQFLKGLSEIFRKELLWSTEV ADLDELILECVKIERKVRVPKTASLTGVQNSCCPFALIPNEDENEGVEFYSENEGEGEEA GGYRLYLKDQRQHMTAFPQEMREEEEEMRKEEDEMEDEEDEDEDEDYEFEEEDEDDDDEE EEEEEEEEEDKEEEMKNEDSDENKYEEEDEVIVRVLEPEQEQEREEIEHEHVYVHEHIHA HVHTLAAHHHGLHGELMVMDEPVLVDTSTQTISSAIGYHAENYLGVSPSVMHSSRQRSQN RVPLLEGLPGTNSSFYSPPPLMRHAGRLGQRQMRRCPSVLFCLTPRQGGHRATQGRIRV >ENSMUSP00000004756.7 pep:known chromosome:GRCm38:8:114439655:115352708:1 gene:ENSMUSG00000004637.15 transcript:ENSMUST00000004756.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwox description:WW domain-containing oxidoreductase [Source:MGI Symbol;Acc:MGI:1931237] MAALRYAGLDDTDSEDELPPGWEERTTKDGWVYYANHTEEKTQWEHPKTGKRKRVAGDLP YGWEQETDENGQVFFVDHINKRTTYLDPRLAFTVDDNPTKPTTRQRYDGSTTAMEILQGR DFTGKVVLVTGANSGIGFETAKSFALHGAHVILACRNLSRASEAVSRILEEWHKAKVEAM TLDLAVLRSVQHFAEAFKAKNVSLHVLVCNAGTFALPWGLTKDGLETTFQVNHLGHFYLV QLLQDVLCRSSPARVIVVSSESHRFTDINDSSGKLDLSRLSPPRSDYWAMLAYNRSKLCN ILFSNELHRRLSPRGVTSNAVHPGNMMYSAIHRNSWVYKLLFTLARPFTKSMQQGAATTV YCAVAPELEGLGGMYFNNCCRCLPSEEAQSEETARALWELSERLIQDRLGSPSS >ENSMUSP00000104735.2 pep:known chromosome:GRCm38:8:114439702:114474529:1 gene:ENSMUSG00000004637.15 transcript:ENSMUST00000109107.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwox description:WW domain-containing oxidoreductase [Source:MGI Symbol;Acc:MGI:1931237] MAALRYAGLDDTDSEDELPPGWEERTTKDGWVYYANHTEEKTQWEHPKTGKRKRVAGDLP YGWEQETDENGQVFFVDHINKRTTYLDPRLAFTVDDNPTKPTTRQRYDGSTTAMEILQGR DFTGKVVLVTGANSGIALSPLLGERCIRRRQVLCSVFG >ENSMUSP00000125626.1 pep:known chromosome:GRCm38:8:114439695:114718679:1 gene:ENSMUSG00000004637.15 transcript:ENSMUST00000160862.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwox description:WW domain-containing oxidoreductase [Source:MGI Symbol;Acc:MGI:1931237] MAALRYAGLDDTDSEDELPPGWEERTTKDGWVYYANHTEEKTQWEHPKTGKRKRVAGDLP YGWEQETDENGQVFFVDHINKRTTYLDPRLAFTVDDNPTKPTTRQRYDGSTTAMEILQGR DFTGKVVLVTGANSGIGFETAKSFALHGAHVILACRNLSRASEAVSRILEEWHKAKVEAM TLDLAVLRSVQHFAEAFKAKNVSLHVLVCNAGTFALPWGLTKDGLETTFQVNHLGHFYLV QLLQDVLCRSSPARVIVVSSESHRFTDINDSSGKLDLSRLSPPRSDYWAMLAYNRSKLCN ILFSNELHRRLSPRGVTSNAVHPGNMMYSAIHRNSWVYKLLFTLARPFTKSMIL >ENSMUSP00000104736.2 pep:known chromosome:GRCm38:8:114439695:114875238:1 gene:ENSMUSG00000004637.15 transcript:ENSMUST00000109108.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wwox description:WW domain-containing oxidoreductase [Source:MGI Symbol;Acc:MGI:1931237] MAALRYAGLDDTDSEDELPPGWEERTTKDGWVYYANHTEEKTQWEHPKTGKRKRVAGDLP YGWEQETDENGQVFFVDHINKRTTYLDPRLAFTVDDNPTKPTTRQRYDGSTTAMEILQGR DFTGKVVLVTGANSGIGFETAKSFALHGAHVILACRNLSRASEAVSRILEEWHKAKVEAM TLDLAVLRSVQHFAEAFKAKNVSLHVLVCNAGTFALPWGLTKDGLETTFQVNHLGHFYLV QLLQDVLCRSSPARVIVVSSESHRFTDINDSSGKLDLSRLSPPRSDYWAMLAYNRSKLCN ILFSNELHRRLSPRGVTSNAVHPGNMMYSAIHRNSWVYKLLFTLARPFTKSMKPCMIGHT CDPNPRN >ENSMUSP00000094153.2 pep:known chromosome:GRCm38:X:68821093:68825318:1 gene:ENSMUSG00000071748.2 transcript:ENSMUST00000096420.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14698 description:predicted gene 14698 [Source:MGI Symbol;Acc:MGI:3709613] MEDVKLEFPSLPQCKDDAEEWTYPMRREMQEVLPGLFLGPYSSAMKSKLPILQKHGITHI ICIRQNIEANFIKPNFQQLFRYLVLDIADNPVENIIRFFPMTKEFIDGSLQNGGKVLVHG NAGISRSAAFVIAYIMETFGMKYRDAFAYVQERRFCINPNAGFVHQLQEYEAIYLAKLTI QMMSPLQIERSLAVHSGTTGSVKRTHEEDDDFGNMQVATAQNG >ENSMUSP00000013294.9 pep:known chromosome:GRCm38:8:105713854:105758664:-1 gene:ENSMUSG00000013150.15 transcript:ENSMUST00000013294.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfod2 description:glucose-fructose oxidoreductase domain containing 2 [Source:MGI Symbol;Acc:MGI:1917825] MKLLPGVGVFGTGSSARVLVPLLRAEGFTVEALWGKTEEEAKQLAEEMNITFYTSRTDDV LLHQDVDLVCINIPPPLTRQISVKALGIGKNVVCEKAATSMDAFRMVTASRYYPQLMSLV GNVLRFLPAFVRMKQLIAEHYVGAVMICDARIYSGSLLSPSYGWICDELMGGGGLHTMGT YIVDLLTHLTGQKAEKVHGLLKTFVRQNATIRGIRHVTSDDFCFFQMLMGGGVCSTVTLN FNMPGAFVHEVMVVGSAGRLVARGADLYGQKNSAAQEELLVRDSLAVGAGLPEQGPQDVP LLYLKGMVYMVQALRQSFQGQGDRRTWDRTPVSMAASFEDGLYMQSVVDAIKRSSRSGEW ETVEMLAEEPDANQNLSETLQRNNL >ENSMUSP00000122772.2 pep:known chromosome:GRCm38:8:105717417:105758630:-1 gene:ENSMUSG00000013150.15 transcript:ENSMUST00000155038.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gfod2 description:glucose-fructose oxidoreductase domain containing 2 [Source:MGI Symbol;Acc:MGI:1917825] MKLLPGVGVFGTGSSARVLVPLLRAEGFTVEALWGKTEEEAKQLAEEMNITFYTSRTDDV LLHQDVDLVCINIPPPLTRQISVKALAPVVKLQLSGEEQEVEGDPGLTSLDAVTPYSTGA PCCSRKGQHPEAPLCVDDKCSVDGSRVRVLGRNWEERGV >ENSMUSP00000089612.3 pep:known chromosome:GRCm38:10:129790609:129791614:-1 gene:ENSMUSG00000069421.3 transcript:ENSMUST00000091986.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr810 description:olfactory receptor 810 [Source:MGI Symbol;Acc:MGI:3030644] MKNHTRVTVFIIAGLTDDPQWKVVLFIFLLLTYLLSITGNLAIITLTLVDTHLKTPMYFF LRNFSFLEFSYTTTCIPKLLVTMATGDKTISYGNCLTQVFFAFLFGASEFYLLAAMSYDR YVAICKPLHYMTIMNNKVCVQLVLSCWLAGFFVIFPPLLLGLNLDFCASNIVDHFYCDTT PLLQLSCTDTQLLETMGFVSALVTLLLTLVMVIVSYIYIAITILKIPSASQRKKAFSTCS SHMIVISLSYGSCIFMYVKPSVKQRVSISKGISVLNTSVAPLLNPFIYTLRNQQVKKAFI NTVHRIVSFSKK >ENSMUSP00000096773.1 pep:known chromosome:GRCm38:3:28892617:28926724:1 gene:ENSMUSG00000074655.1 transcript:ENSMUST00000099170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1527 description:predicted gene 1527 [Source:MGI Symbol;Acc:MGI:2686373] METIVKKDMGGIHRTLLCQGPVKLKCFQKTKKKRHLSLFNDVLVVSRILNKREFKIKCII PLHLLWVVVDDVAQRRKNEICTCKTLYLHCPNGHFWATFRSQEQKDQWHYFLQRSIHEAK KGIKTNLSLKIHTEDIPTCDSTLSVTATNLDTVNDIIEKLLPMIRMRNSEDYQLWFSHSR EEAPRALQGFKYPHIIIMTNFQNTCNGSNSRILTAFPALPGMFVQDLNSDAQGHFFLKPR QPAINQQQSAEESHSKIQRPVLTSCFHRGSVPHQDQVCTVPTANNGKLFGTELSCIYHEG KWPKLIVDILSVIRKQGPSTEGIFVIAPEETSCKALKEKLESGEELDLKKFSVHEVAWIL KEFLGHIKGCVLTSTLYEQWLDVPNKVNNKDKLRAVKSLLDKLPLENAALLGNLFRILHT IASSSSINKMKPYNISTGIASSILWLPSYRKVINDIDQKISLITFMIENSPEIFGIDMVW YETSLFYPQEAKASCSQNATSNTGNIKETEPELSSCPSGRTHTPGHYARQISPAAPLVCE SIIDAQKDEGNAYITQHEPSPPAVTQNRESMNQVQHTTFYNRNLVMTKESVDPCISMFPS TSHSKKAEEIIRRNNFRFLFNHTYLVQVVSFTILILCCIIHFVINYNKWH >ENSMUSP00000115723.1 pep:known chromosome:GRCm38:11:75588097:75628804:1 gene:ENSMUSG00000017781.17 transcript:ENSMUST00000143219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpna description:phosphatidylinositol transfer protein, alpha [Source:MGI Symbol;Acc:MGI:99887] MVLLKEYRVILPVSVDEYQVGQLYSVAEASKNETGGGEGVEVLVNEPYEKDDGEKGQYTH KIYHLQSKVPTFVRMLAPEGALNIHEKAWNAYPYCRTVITNEYMKEDFLIKIETWHKPDL GTQENVHKLEPEAWKHVEAIYIDIADRSQVLSKDYKAEEDPAKFKSVKTGRGPLGPNWKQ ELVNQKDCPYMCAYKLVTVKFKWWGLQNKVENFIHKQEKRLFTNFHRQLFCWLDKWVDLT MDDIRRMEEETKRQLDEMRQKDPVKGMTADD >ENSMUSP00000099567.4 pep:known chromosome:GRCm38:11:75588159:75626433:1 gene:ENSMUSG00000017781.17 transcript:ENSMUST00000102509.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pitpna description:phosphatidylinositol transfer protein, alpha [Source:MGI Symbol;Acc:MGI:99887] MVLLKEYRVILPVSVDEYQVGQLYSVAEASKNETGGGEGVEVLVNEPYEKDDGEKGQYTH KIYHLQSKVPTFVRMLAPEGALNIHEKAWNAYPYCRTGA >ENSMUSP00000137601.1 pep:known chromosome:GRCm38:11:75588119:75626730:1 gene:ENSMUSG00000017781.17 transcript:ENSMUST00000179445.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpna description:phosphatidylinositol transfer protein, alpha [Source:MGI Symbol;Acc:MGI:99887] MVLLKEYRVILPVSVDEYQVGQLYSVAEASKNETGGGEGVEVLVNEPYEKDDGEKGQYTH KIYHLQSKVPTFVRMLAPEGALNIHEKAWNAYPYCRTVSANEYMKEDFLIKIETWHKPDL GTQENVHKLEPEAWKHVEAIYIDIADRSQVLSKDYKAEEDPAKFKSVKTGRGPLGPNWKQ ELVNQKDCPYMCAYKLVTVKFKWWGLQNKVENFIHKQEKRLFTNFHRQLFCWLDKWVDLT MDDIRRMEEETKRQLDEMRQKDPVKGMTADD >ENSMUSP00000137510.1 pep:known chromosome:GRCm38:11:75588108:75628778:1 gene:ENSMUSG00000017781.17 transcript:ENSMUST00000179521.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpna description:phosphatidylinositol transfer protein, alpha [Source:MGI Symbol;Acc:MGI:99887] MVLLKEYRVILPVSVDEYQVGQLYSVAEASKNETGGGEGVEVLVNEPYEKDDGEKGQYTH KIYHLQSKVPTFVRMLAPEGALNIHEKAWNAYPYCRTVITKNEYMKEDFLIKIETWHKPD LGTQENVHKLEPEAWKHVEAIYIDIADRSQVLSKDYKAEEDPAKFKSVKTGRGPLGPNWK QELVNQKDCPYMCAYKLVTVKFKWWGLQNKVENFIHKQEKRLFTNFHRQLFCWLDKWVDL TMDDIRRMEEETKRQLDEMRQKDPVKGMTADD >ENSMUSP00000140041.1 pep:known chromosome:GRCm38:13:119893388:119894785:-1 gene:ENSMUSG00000096284.2 transcript:ENSMUST00000190512.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcstv1 description:2-cell-stage, variable group, member 1 [Source:MGI Symbol;Acc:MGI:1933437] MDKAKKMMQSIPSFVKDTSDIEEHALPSAQVLPAQSTRCSNSEALCLGKDQSHCSEDGWI AEWDLYSFCVFESVDYLRSYRRLNSAMKKGTEVFQSESQRKPKVSPGDVENYKDKDTEKP DQPSPSLLREKGLDLVTCDGGDCPVRDPVSDSSRHLGCWAWFQRAFGHKKK >ENSMUSP00000137348.1 pep:known chromosome:GRCm38:13:119893467:119894746:-1 gene:ENSMUSG00000096284.2 transcript:ENSMUST00000178510.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcstv1 description:2-cell-stage, variable group, member 1 [Source:MGI Symbol;Acc:MGI:1933437] MDKAKKMMQSIPSFVKDTSDIEEHALPSAQVLPAQSTRCSNSEALCLGKDQSHCSEDGWI AEWDLYSFCVFESVDYLRSYRRLNSAMKKGTEVFQSESQRKPKVSPGDVENYKDKDTEKP DQPSPSLLREKGLDLVTCDGGDCPVRDPVSDSSRHLGCWAWFQRAFGHKKK >ENSMUSP00000074433.1 pep:known chromosome:GRCm38:X:68892375:68893053:-1 gene:ENSMUSG00000056815.1 transcript:ENSMUST00000074894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6812 description:predicted gene 6812 [Source:MGI Symbol;Acc:MGI:3704138] MGLINTKLQEFHMAESKSATTQAVKKNEKFKYKVKETKKPVFMNIFNQATVKKPLPPRAQ TPLVKVKKEIKPQRLCLYHRVNEKPNQDVQYDLDKSEDSSTSSTTSYELDSQ >ENSMUSP00000029516.2 pep:known chromosome:GRCm38:3:93468754:93471980:1 gene:ENSMUSG00000027908.2 transcript:ENSMUST00000029516.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tchhl1 description:trichohyalin-like 1 [Source:MGI Symbol;Acc:MGI:1918575] MPRLLRGVFCVVEIFHKYAIEDGGNQATLTRTELRQLLEGEIGDFLQPHVFHAVERKLNL LNFDRDGTISFEEFVLAIFSLLNPSYFDISLLNSEPRLMSKSEKIDAVDLGAIGGNIQQV VGVGPTQERLIFPSEMASSGQPSNEEGEVGDEPMVSPCEDIKTHSLPRNVSEPNDPENQQ PKEDAQEVAQNVPATEYDGVQFKRNTVVEVPKQSTSPTQEIPRERSKPSRRLSDTKISDH MIQRPTEDEEHTSTTQDPFLQKRDKATGSENTDLSVVAATRKSSQTQEIFEPMDDTKLSE AQETGKDAGRIPPETNLEEPKADAKVAESHGLPAQEREHNTRDQSVQSRSRNVSETSSRG EQEGEWKEHERITLSPTADAETQDEKCQEFPGSWRENDAKKDSAAKDPSSEEGNQNLPEI KEDSVSGKEARHSEEDTVYAFEINKNSPAAEETLETRERSQELAPLEKQSQRKKHRATRI QDKPVRKEDHNEGEDSELSLTQSDEGFCEIPNSLAPEVGKSSSEIAEPHVPEDSQSQIDH HGDAKQESHTNNPDPQKQGAPGESSREQEAVVLSIQEDGQLPEGQEQSARDGLHDGLSSR TKGGPGAAVEPSEGEEVQEATAGRENRKALEAESLEAQ >ENSMUSP00000083557.4 pep:known chromosome:GRCm38:2:28462001:28466332:-1 gene:ENSMUSG00000026831.16 transcript:ENSMUST00000086370.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700007K13Rik description:RIKEN cDNA 1700007K13 gene [Source:MGI Symbol;Acc:MGI:1916577] MSEEKPQQSAEEPEPGEPKAKPAPEEPEPGEPKAKPAPEEPEPGEPKAKPAPEKTSDYYR ISEKLPVRFNNPGWFHGYGTKEAVSMYRTSNQTYGSRAPTVHEMPKVFYPSSNKFSRQHA AFGMFQSHNINVTLEKSLVTGPDNHITHYDRLNFHPSYNVNRPSICD >ENSMUSP00000116111.1 pep:known chromosome:GRCm38:2:28462348:28466316:-1 gene:ENSMUSG00000026831.16 transcript:ENSMUST00000127683.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700007K13Rik description:RIKEN cDNA 1700007K13 gene [Source:MGI Symbol;Acc:MGI:1916577] MSEEKPQQSAEEPEPGEPKAKPAPEEPEPGEPKAKPAPEEPEPGEPKAKPAPEKTSDYYR ISEKLPVRFNNPGWFHGYGTKEAVSMYRTSNQTYGSRAPTVHEMPYFIHLQISFPDNTQL LECSRVIILMSP >ENSMUSP00000097399.1 pep:known chromosome:GRCm38:2:88767303:88768313:-1 gene:ENSMUSG00000075115.1 transcript:ENSMUST00000099811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1200 description:olfactory receptor 1200 [Source:MGI Symbol;Acc:MGI:3031034] MENHKNITEFIFMGLWENRQIELLFFFLFLLCYLAVLMGNSVIFLTITCSHLIEQPMYYF LCHLSLMDLCYPSTVIPRLIRDLAATRKNISYNECMTQLFTAHLLAGVEIFILVSMALDR YVAIVKPLHYMVIMSRKRCDMLIVTAWILGFWHSIALLLMVLSLPFCGPNHINHYFCDIK PLLKLVCKDVHVVSILAIVNSGMVLFAIFIVLLASYILILYSLRTRSSAGKRKALSTCSS HIMVVVLFFGPCIYTYVLPAGSENKDKEISVFYTVIAPILNPVIYTLRNSEMKSAMHKVW SRLSNLGLKYVKPSCNSALMFLGQLLSNRSQKVQTI >ENSMUSP00000011398.6 pep:known chromosome:GRCm38:11:45946843:45955494:-1 gene:ENSMUSG00000011254.16 transcript:ENSMUST00000011398.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thg1l description:tRNA-histidine guanylyltransferase 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913878] MWAFRTARIGSLLAATSVILRRCLRLGVAMAKSKFEYVRNFEVQDTCLPHCWVVVRLDGR NFHRFAEEHNFAKPNDSRALHLMTKCAQTVMEELEDIVIAYGQSDEYSFVFRKKSNWFKR RASKFMTLVASQFASSYVFYWRDYFEDQPLRYPPGFDGRVVLYPSNQTLKDYLSWRQADC HINNLYNTVFWALIQQSGLTPVQAQQRLKGTLTADKNEILFSEFHINYNNEPHMYRKGTV LVWQKVEEVRTQEVRLPAEMEGEKKAVARTRTRVVALNCDLIGDAFWKEHPEILAEEN >ENSMUSP00000104877.1 pep:known chromosome:GRCm38:11:45946843:45955188:-1 gene:ENSMUSG00000011254.16 transcript:ENSMUST00000109254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thg1l description:tRNA-histidine guanylyltransferase 1-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913878] MTSRFAEEHNFAKPNDSRALHLMTKCAQTVMEELEDIVIAYGQSDEYSFVFRKKSNWFKR RASKFMTLVASQFASSYVFYWRDYFEDQPLRYPPGFDGRVVLYPSNQTLKDYLSWRQADC HINNLYNTVFWALIQQSGLTPVQAQQRLKGTLTADKNEILFSEFHINYNNEPHMYRKGTV LVWQKVEEVRTQEVRLPAEMEGEKKAVARTRTRVVALNCDLIGDAFWKEHPEILAEEN >ENSMUSP00000104153.3 pep:known chromosome:GRCm38:7:15833241:15839678:-1 gene:ENSMUSG00000041583.8 transcript:ENSMUST00000108513.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox6 description:oocyte specific homeobox 6 [Source:MGI Symbol;Acc:MGI:2149036] MLQYNQSPHMPQDPSLHSKFQMSSSAPIEISFQMHQEPARNLPFQMCQSPLVIPRSPMQS SHSVPERDLCPQESQGPSGKSSIQMQPGLVMDPALPILRSLLMHSPHQIPSRSSVRAGFQ GSLGPMVRSPSYGDRRVSLVTPRKHRKIRTVYTEEQKCVLKKHFHKCTYPSREQRMALAV LVGVTANEIQIWFKNHRAKSKRESLQNVPAALPETNGSSEAVSESVHFPDSLPVVASANG ESMWSGTFGEDSIPNLNWSQESSPPHYQACDSARYCPQEYLLNGHAPVTAWNSGQSVAVE VQTGLAVAEAPVVMVASTQGPEYAQDSGPSTEELWQRVLEDFDELGD >ENSMUSP00000052667.4 pep:known chromosome:GRCm38:17:21383748:21399262:1 gene:ENSMUSG00000062743.9 transcript:ENSMUST00000056107.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp677 description:zinc finger protein 677 [Source:MGI Symbol;Acc:MGI:3053207] MTDSPGNMSQGLLAFKDVAVEFSQEEWGCLDSAQRSLYINVMLENYNNLVSVENYCIRDT VDQHVNTEKESCQYNELGKILHEHFKCALYKTSITIETSKNYRCCSDRDVSNDSPNADRH RSTHTGEEPYESKHCEKTFSLRSNISQDQRLYTAKKEHRQEEYDEHFSSTHRLMQQINYI GEKPHQCGKCGKCFSTSSNLTVHQRIHTGEKPYKCNLCDKSFSQSTTLKTHQRLHTGEKP YKCRECGMSFPYLSSLKVHQNLHPGAKPYKCKECDKSFTIKSTLIKHQRNHTGEKPYTCN VCDRSFRQCANLKTHQRLHTGEKPYKCKECGKAFTQSSSLKKHLNLHTGKKPYKCEECDK SFTEKSTLTTHKRIHTGKKPYKCNICGKSFNSCTNLKTHQTLHTGEKPYKCKECGKAFPY MSSLKIHQNLHPGEKPYKCKECDKSFTLKSSLRTHQIIHTGEKPYKCNICGKSFNQCTNL KTHQRLHTGEKPYKCKECGKSFHYWSSLKSHQNLHSGEKPYKCKECDKSFTEKSTLIKHQ RIHTGKKLYKCNICDKSFTWCASLKTHKKFHTGEKPYKCRKCGKSFPQLSTLKRHQKIHE DKGHTGEKLYKCNDCDRSYSYHSSFRRHQKIHSS >ENSMUSP00000125295.1 pep:known chromosome:GRCm38:17:21383748:21399265:1 gene:ENSMUSG00000062743.9 transcript:ENSMUST00000162659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp677 description:zinc finger protein 677 [Source:MGI Symbol;Acc:MGI:3053207] MTDSPGNMSQGLLAFKDVAVEFSQEEWGCLDSAQRSLYINVMLENYNNLVSVENYCIRDT VDQHVNTEKESCQYNELGKILHEHFKCALYKTSITIETSKNYRCCSDRDVSNDSPNADRH RSTHTGEEPYESKHCEKTFSLRSNISQDQRLYTAKKEHRQEEYDEHFSSTHRLMQQINYI GEKPHQCGKCGKCFSTSSNLTVHQRIHTGEKPYKCNLCDKSFSQSTTLKTHQRLHTGEKP YKCRECGMSFPYLSSLKVHQNLHPGAKPYKCKECDKSFTIKSTLIKHQRNHTGEKPYTCN VCDRSFRQCANLKTHQRLHTGEKPYKCKECGKAFTQSSSLKKHLNLHTGKKPYKCEECDK SFTEKSTLTTHKRIHTGKKPYKCNICGKSFNSCTNLKTHQTLHTGEKPYKCKECGKAFPY MSSLKIHQNLHPGEKPYKCKECDKSFTLKSSLRTHQIIHTGEKPYKCNICGKSFNQCTNL KTHQRLHTGEKPYKCKECGKSFHYWSSLKSHQNLHSGEKPYKCKECDKSFTEKSTLIKHQ RIHTGKKLYKCNICDKSFTWCASLKTHKKFHTGEKPYKCRKCGKSFPQLSTLKRHQKIHE DKGHTGEKLYKCNDCDRSYSYHSSFRRHQKIHSS >ENSMUSP00000073163.1 pep:known chromosome:GRCm38:2:88794384:88795307:1 gene:ENSMUSG00000059023.1 transcript:ENSMUST00000073458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1201 description:olfactory receptor 1201 [Source:MGI Symbol;Acc:MGI:3031035] MQQNSTVTEFILLGLTQDPLKQKMVFIIFLVFYLGTVVGNTLIIVTIKFSRTLGSPMYFF LFYLSFSDSCFSTSTAPRLIVDALSKKNIISYNECMTQVFALHLFGCMDVFILIFMAVDR YVAICKPLRYSVIMRRQVCVILIIVAWIGSFLHSTTQIVLALRLPFCGPNLIDHYCCDLQ PLLKLACMDTYMINLLLVFNSGAICSSSFVILIISYFVILHSLRNHSAEGRKKALSTCTS HIIVVILSFVPCIFIYARPPTTFPMDKMVTVFYTIGTPFLNPIIYTLRNAEVKNAMKKLW HVKFIMK >ENSMUSP00000145387.1 pep:known chromosome:GRCm38:11:58782961:58787020:1 gene:ENSMUSG00000059504.5 transcript:ENSMUST00000205189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr314 description:olfactory receptor 314 [Source:MGI Symbol;Acc:MGI:3030148] MDRGNTTAGFVLLGLFNHTRAHLFLFVLVLTVAFNSVVGNALLLLLIHQDRRLHTPMYFL LSQLSLMDMMLVSTVVPQMAAGYLMGKKFISAAGCGFQIFFFLTLGGGECFLLAAMSYDR YVAICHPLRYPVLMSWQLCLRLTVASWLLGAADGAMQAAATLSFQFCSRNEIDHFFCEAP VLLRLACGDTSAFEFFMYICCVLMLLIPFSLILMSYGLILAAVLRMRSTEARKKAFATCS SHLAVVGLFYGAATFSYMRPTS >ENSMUSP00000075729.3 pep:known chromosome:GRCm38:11:58786139:58787269:1 gene:ENSMUSG00000059504.5 transcript:ENSMUST00000076393.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr314 description:olfactory receptor 314 [Source:MGI Symbol;Acc:MGI:3030148] MDRGNTTAGFVLLGLFNHTRAHLFLFVLVLTVAFNSVVGNALLLLLIHQDRRLHTPMYFL LSQLSLMDMMLVSTVVPQMAAGYLMGKKFISAAGCGFQIFFFLTLGGGECFLLAAMSYDR YVAICHPLRYPVLMSWQLCLRLTVASWLLGAADGAMQAAATLSFQFCSRNEIDHFFCEAP VLLRLACGDTSAFEFFMYICCVLMLLIPFSLILMSYGLILAAVLRMRSTEARKKAFATCS SHLAVVGLFYGAATFSYMRPTSSRSANHDKVVSAFYTIVTPMLNPLIYSLRNSEVKGSLR KCVTRCAALTSKDALAGLDLAGGTKGKGIQ >ENSMUSP00000045344.8 pep:known chromosome:GRCm38:4:126556935:126593903:1 gene:ENSMUSG00000042489.15 transcript:ENSMUST00000048391.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clspn description:claspin [Source:MGI Symbol;Acc:MGI:2445153] MTGEVGSEVNLEVNDLKLLSQEAADSPVDSGQGSFETLEPLSERDSDEEIFVSKKPKSRK VLQDSDSEAEDRDDAPEKPTYDDSAEDTQENLHSGKSQSRSFPKALADSDESDMEETPSQ ESPETQEAPSLEPGHQTGHSVDFTTGRKLSKTLLREGAEGKAKSKRRLEKEERTMEKIRR LKKKETRCEESDADRPLNDSGCLLEDSDLFETGLEEENDSALEDEESLESIRAAVKNKVK NRKKKEPTLESEAFSLEDGNELSKGSARKERKAARLSKEALKKLHSETQRLVRESALNLP YHMPESKTIHDFFKRKPRPTCQGSAMALLKSCKYQSGHYKETVNPADAAGMGAEDSSRGS EQRTGAGIAAETNVLSEVSEEAGITAGSDEACGKDPVRRGELEIEETEKHSDDRPYSPGD RSMSQQESSIPRIEDNEGHQAGDLTESDPPALEGEELKTVEKTDAKEGMPEQKTQSAAAA AVAVVTAAAAPPEKVRRFTVDRLRQLGVDVSSQPRLGADEDSFVILDEPKTNRELEALKQ RFWRHANPAASPRACQTVNVNIIVKDLGTNGKEELKAEVVPVTLAAEKLEGASHAKPGEK LQMLKAKLQEAMKLRRLEERQKRQALFKLDNEDGFEEEEEEEEMTDESEEDGEEETTEYL LGSEDTETKDEKETDKENTDTSSDIGKSVALCVPKPLSSDSTLLLFKDSSSKMGYFPTEE KSETDEYLAKQSDKLDEDDSSSLLTKESSHNSSFELIGSTIPSYQPCNRQIGRGASFLPT AGFRSPSPGLFRGSLISSASKSSGKLSEPSLPVEDSQDLYTASPEPKTLFLGAGDFQFCL EDDTQSQLLDADGFLNIRNHRHRYQAVKPQLPLASMDENAMDANMDELLDLCTGQFTSQP EEKCQPRKNDKKENMEELLNLCSGKFPTQDASPVAPLGLRSQEKESSTEDPMEEALALCS GSFPTDREEEGEEEEFGDFQLVSKENGFASDEDEHSDSNDEELALDLEDDEEELLKQSEK MKRQMRLKKYLEDEAEVSGSDVGSEDEYDGEEIDEYEEDVIDEVLPSDEELESQIKKIHM KTMLDDDKRRLRLYQERYLADGDLHSDGPGRTRKFRWKHIDDTSQMDLFHRDSDDDQVEE QLDETEAKWRKERIEREQWLREQAQQGKIAADEEDIGDDSQFMMLAKKVTAKALQKNASH TVVVQESKSVLRNPFETIRPGGAHQLKTGSLLNQPKAVLQKLAALSDLNPSAPRNSRNFV FHTLSPTKAEAAKDSSKPQVRRRGLSSMMSPSPKRLKTNGSSPGPKRSIFRYLES >ENSMUSP00000116699.1 pep:known chromosome:GRCm38:4:126556995:126560286:1 gene:ENSMUSG00000042489.15 transcript:ENSMUST00000147675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clspn description:claspin [Source:MGI Symbol;Acc:MGI:2445153] MTGEVNLEVNDLKLLSQEAADSPVDSGQGSFETLEPLSERDSDEEIFVSKKPKSRKVLQD SDSEAEDRDDAPEKPTYDDSAEDTQENLHSGKSQSRSFPKALADSDESDMEETPSQESPE TQEAPSLEPGHQTGHSVDFTTGRKLSKTLLREGAEGKAKSKRR >ENSMUSP00000120683.1 pep:known chromosome:GRCm38:4:126560278:126566273:1 gene:ENSMUSG00000042489.15 transcript:ENSMUST00000129795.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clspn description:claspin [Source:MGI Symbol;Acc:MGI:2445153] KRRLEKEERTMEKIRRLKKKETRCEESDADRPLNDSGCLLEDSDLFETGLEEENDSALED EESLESIRAAVKNKKKEPTLESEAFSLEDGNELSKGSARKERKAARLSKEALKKLHSETQ RLVRESALNLPYHMPESKTIHDFFKRKPRPTCQGSAMALLKSCKYQSGHYKETVNPADAA GMGAEDSSRGSEQRTGAGIAAETNVLSEVSEEAGITAGSDEACGKDPVRRGELEIEETEK HSDDRPYSPGDRSMSQQESSIP >ENSMUSP00000119437.1 pep:known chromosome:GRCm38:4:126566555:126577748:1 gene:ENSMUSG00000042489.15 transcript:ENSMUST00000126512.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clspn description:claspin [Source:MGI Symbol;Acc:MGI:2445153] XLDEPKTNRELEALKQRFWRHANPAASPRACQTVNVNIIVKDLGTNGKEELKAEVVPVTL AAEKLEGASHAKPGEKLQMLKAKLQEAMKLRRLEERQKRQALFKLDNEDGFEEEEEEEEM TDESEEDGEEEVGEEEEEDQDIQETTEYLLGSEDTETKDEKETDKENTDTSSDIGKSVAL CVPKPLSSDSTLLLFKDSSSKMGYFPTEEKSETDEYLAKQSDKLDEDDSSSLLTKESSHN SSFELIGSTIPSYQPCNRQIGRGASFLPTAGFRSPSPGLFRGSLISSASKSSGKLSEPSL PVEDSQDLYTASPEPKTLFLGAGDFQFCLEDDTQSQLLDADGFLNIRNHRHRYQAVKPQL PLASMDENAMDANMDELLDLCTGQFTS >ENSMUSP00000023176.4 pep:known chromosome:GRCm38:16:3744093:3750781:1 gene:ENSMUSG00000022529.11 transcript:ENSMUST00000023176.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp263 description:zinc finger protein 263 [Source:MGI Symbol;Acc:MGI:1921370] MTMAAGPSSQEPEGLLIVKLEEDCAWSHEVPPPEPEPSPEASHLRFRRFRFQDAPGPREA LSRLQELCRGWLRPEMRTKEQILELLVLEQFLTILPQEIQSRVQELRPESGEEAVTLVER MQKELGKLRQQVTNQGRGAEVLLEEPLPLETAGESPSFKLEPMETERSPGPRLQELLDPS PQRDSQAVKERALSAPWLSLFPPEGNVEDKDMTGTQLPESLEDMAMYISQEWDHQDPSKR ALSRYMVQDSYENSGTLESSIPSQEVSSTHVEQGEKLWDSSVQTCKEGMNPRNPVPGVEK FENQERNVESVSPESTHPPVLLPGQARREVPWSPEQGRLDDREGHWECPPEDKIEESLVG TPSCKGLVQAKEQPKKLHLCALCGKNFSNNSNLIRHQRIHAAEKLCMDVECGEVFGGHPH FLSLHRTHIGEEAHKCLECGKCFSQNTHLTRHQRTHTGEKPFQCNACGKSFSCNSNLNRH QRTHTGEKPYKCPECGEIFAHSSNLLRHQRIHTGERPYRCSECGKSFSRSSHLVIHERTH EKERLDPFPECGQGMNDSAPFLTNHRVEKKLFECSTCGKSFRQGMHLTRHQRTHTGEKPY KCILCGENFSHRSNLIRHQRIHTGEKPYTCHECGDSFSHSSNRIRHLRTHTGERPYKCSE CGESFSRSSRLTSHQRTHTG >ENSMUSP00000124433.1 pep:known chromosome:GRCm38:16:3747887:3750784:1 gene:ENSMUSG00000022529.11 transcript:ENSMUST00000162207.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp263 description:zinc finger protein 263 [Source:MGI Symbol;Acc:MGI:1921370] MNPRNPVPGVEKFENQERNVESVSPESTHPPVLLPGQARREVPWSPEQGRLDDREGHWEC PPEDKIEESLVGTPSCKGLVQAKEQPKKLHLCALCGKNFSNNSNLIRHQRIHAAEKLCMD VECGEVFGGHPHFLSLHRTHIGEEAHKCLECGKCFSQNTHLTRHQRTHTGEKPFQCNACG KSFSCNSNLNRHQRTHTGEKPYKCPECGEIFAHSSNLLRHQRIHTGERPYRCSECGKSFS RSSHLVIHERTHEKERLDPFPECGQGMNDSAPFLTNHRVEKKLFECSTCGKSFRQGMHLT RHQRTHTGEKPYKCILCGENFSHRSNLIRHQRIHTGEKPYTCHECGDSFSHSSNRIRHLR THTGERPYKCSECGESFSRSSRLTSHQRTHTG >ENSMUSP00000123725.1 pep:known chromosome:GRCm38:16:3744232:3750790:1 gene:ENSMUSG00000022529.11 transcript:ENSMUST00000161630.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp263 description:zinc finger protein 263 [Source:MGI Symbol;Acc:MGI:1921370] MTMAAGPSSQEPEGLLIVKLEEDCAWSHEVPPPEPEPSPEASHLRFRRFRFQDAPGPREA LSRLQELCRGWLRPEMRTKEQILELLVLEQFLTILPQEIQSRVQELRPESGEEAVTLVER MQKELGKLRQQVTAVLPVVWPGSHCASLFCSRIYLIVLSTLYRKERDFFVAYPFLPDCSH MTTVGSEEHFHQYLVM >ENSMUSP00000080740.1 pep:known chromosome:GRCm38:7:106688782:106689729:-1 gene:ENSMUSG00000064223.1 transcript:ENSMUST00000082091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr694 description:olfactory receptor 694 [Source:MGI Symbol;Acc:MGI:3030528] MEVCNSTLGSGFILVGILDDSGFPELLCATITALYFLAITSNGLLLLVITMDARLHVTMY LLLWQLSLMDLLLTSVITPKAILDYLLKDNTISFGGCALQMFLELTLGSAEDLLLAFMAY DRYVAICHPLNYTILMSQKVCCLMIATSWILASLSALGYSIYTMQYPFCKSRQIRHLFCE IPPLLKLACADTSTYELMVYVMGVTLLIPPLAAILASYSLILFTVLHMPSNEGRKKALVT CSSHLTVVGMWYGGAIVMYVLPSSFHSPKQDNISSVFYTIFTPALNPLIYSLRNKEVTGA LRRVLGKRFSVQSTF >ENSMUSP00000128748.1 pep:known chromosome:GRCm38:14:6965449:6973818:-1 gene:ENSMUSG00000091400.1 transcript:ENSMUST00000166618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6356 description:predicted gene 6356 [Source:MGI Symbol;Acc:MGI:3646390] MFSRLLRLFQKENGDEGETRPAEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCGILNVYMYEDLNYRMNTEFDIIKSQHEKTILDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLFRECTQLNEKVRILLNENRKLLVEQAGTQVSC EEEKRFCE >ENSMUSP00000125718.1 pep:known chromosome:GRCm38:15:58510048:58662933:1 gene:ENSMUSG00000037106.8 transcript:ENSMUST00000161028.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fer1l6 description:fer-1-like 6 (C. elegans) [Source:MGI Symbol;Acc:MGI:3645398] MFGLKLKKKKKDKAEKGLVLTNKGAQDGNESEIPQKGPSHQEGPEDLTKDDAFLAPGPSA PSKRRAKLLTKIHDGEIKSQNYQITVTITEARQLVGENIDPVVTIEIGDDKKQSTVKEGT NSPFYNEYFVFDFIGPQVHLFDKIIKISVLHHKLIGSILIGSFRVDVGTVYNQPGHQFCD KWALLTDPGDIRTGTKGYLKCDISVTGKGDILKTNPKTSDAEEQIEKNLLIPQGFPSERP WARFYVRLYRAEGLPKMNSSIMANVTKAFVGDSKDLVDPFVEVSFAGQTGRTSVQKNCAD PVWHEQVVFKEMFPPLCRRVKIQVWDEGSMNDVALATHFIDLKKISNEQDGDKGFLPTFG PAWINLYGSPRNHSLMDDYQELNEGFGEGVSFRGRILVEIAVEILSGGAQESKFSKALKE LKLSSKDKDSKSSKGSSKDKADKADDSKAQQTTDKTNSTEVEVESFAVPPEIIPEKYEDF LLFGAFFEATMIDRKIGDKPISFEVSVGNFGNLIDGGSHHGSKKKSTELTEEDVLPLLHE GEKDVAQDSAISMASTTHPEKPLVTDGNRNYNYLPFGAKKPCVSFISSWGDQTFRLHWSN MLEKMADLLEEGIEEVRELVKVSQEAPEEKMKTALRDFITQSRVFISEAEKKPKTLNQTA LDKKRLTLCWQELKAMADEAEGVIRQQKKKLSLDEMIREAQNFVEKIHFLVDEPQHTIPD VFIWMLSNNKRVAYARIAAKDLLYSPIEEQMGKHCGKIKTHFLKLPGKRPPGWTVQAKVD IYLWLGSTRYSNTILDNLPVGYEAEMPPKSSGTHHPPSALLYQAQHVFQLRAHMYQARGL IAADSNGLSDPFAKVTFLSQCQTTKIISQTLSPTWNQMLLFNELVLHGEEKELLESPPHV VVELYDSDAVGKPEYLGATVAAPVVTLADQDYEPPKLSYHPIFCGNLSGGDLLAVFELLQ VPPSGLQGLPPTGPPDITQIYPVPSNIRPVLSKYRVEVLFWGVREMKKVQLLSVDRPQVL IECGGGGVKSCVIQSYKNNPNFSVQAEAFEVELPENELLHPPLSICVVDWRAFGRSTLVG TYTINCLKQFLCKPRETFALTSQTDGDGARPAISDSLAATESSNLLSSSQDLPADHVYVD VEPPPTMLPDSAQAQTATLVDIPDSSPMLETEHKPVAQGPPKDGHGKDPRKPSRRSTKRR RRTIADESAENVIDWWSKYYASVKRAQKEKENNHKEKRATEAKPDEVVLDMEDGPKRKKD KMLKKKLKDDGNDAIPNLAALKIYDGDLESEFNNFEDWVKTFELFRGKSTEEDHGLDGDR VIGKFKGSFCIYKSPEDSTSEDSGQLRIQQGIPPNHPVQVLIRVYIVAAFNLSPADPDGK SDPYIVLRLGKTEIKDRDKYIPKQLNPVFGRSFEIQATFPKESLLSVLIYDHDMIGSDDL IGETKIDLENRFYSKHRAICGLQSQYEIEGYNAWRDTSKPTEILTKLCKDNKLDGPYFRP GKIQIGKKVFSGKTVFNEEDSEEMVESYEHLALKVLHSWEDIPEAGWRLVPEHIETRPLY HKDKPGMEQGRLQMWVDMFPKDTPQLGPPVDISPRKPKGYELRVTIWNTEDVILEDENIF TGQKSSDIYVKGWIKGLEDDRQETDVHYNSLTGEGNFNWRFLFPFQYLPAEKQMVISKRE NIFSLEKMECKTPAVLVLQVWDFERLSSDDFLGSLEMNLNSFPRAAKSAKACDLSKFENA VQENKISIFQQKRVRGWWPFAKSKELTGKVEAEFHLVTAEEAEKNPVGKARKEPEPLAKP NRPDTSFSWFVSPFKCLYHLIWKNYKKYIIIAFILIILIVFLVLFIYTLPGAISRRIVVG GS >ENSMUSP00000017488.4 pep:known chromosome:GRCm38:11:78499120:78502324:1 gene:ENSMUSG00000017344.4 transcript:ENSMUST00000017488.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vtn description:vitronectin [Source:MGI Symbol;Acc:MGI:98940] MAPLRPFFILALVAWVSLADQESCKGRCTQGFMASKKCQCDELCTYYQSCCADYMEQCKP QVTRGDVFTMPEDDYWSYDYVEEPKNNTNTGVQPENTSPPGDLNPRTDGTLKPTAFLDPE EQPSTPAPKVEQQEEILRPDTTDQGTPEFPEEELCSGKPFDAFTDLKNGSLFAFRGQYCY ELDETAVRPGYPKLIQDVWGIEGPIDAAFTRINCQGKTYLFKGSQYWRFEDGVLDPGYPR NISEGFSGIPDNVDAAFALPAHRYSGRERVYFFKGKQYWEYEFQQQPSQEECEGSSLSAV FEHFALLQRDSWENIFELLFWGRSSDGAREPQFISRNWHGVPGKVDAAMAGRIYVTGSLS HSAQAKKQKSKRRSRKRYRSRRGRGHRRSQSSNSRRSSRSIWFSLFSSEESGLGTYNNYD YDMDWLVPATCEPIQSVYFFSGDKYYRVNLRTRRVDSVNPPYPRSIAQYWLGCPTSEK >ENSMUSP00000114368.1 pep:known chromosome:GRCm38:10:58813359:59050020:1 gene:ENSMUSG00000037990.18 transcript:ENSMUST00000135526.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3rf3 description:SH3 domain containing ring finger 3 [Source:MGI Symbol;Acc:MGI:2444637] MLLGASWLCASKAAATAARGEGEDRQGEQQRGAQARTEEDMDESSLLDLLECSVCLERLD TTAKVLPCQHTFCRRCLESIVCSRHELRCPECRILVGCGVDELPANILLVRLLDGIRQRP RTGASPGSSPPARPGPGTFSALAGGAGGATGSPPCSPVFLSAAAGSSTSSLCDVATNRSV PVAKTLSQLPYAKALYSYEGKEPGDLKFNKGDIIILRRKVDENWYHGELQGMHGFLPASY IQCVRPLPQALPQGKALYDFEMKDRDQDKDCLTFTKDEVLTVIRRVDDNWAEGMLGDKIG IFPLLYVELNDSAKQLIEMDKLCPAATTAYNYDALLSSDPSTVASVAPGPTLSSSGAVSA FQRRVDSKKNAKKRHSFTALSVTHKSSQAASHRHSMEISAPVLISSSDPRAAARIGELAH LSCTVPTQVIPRAGGLCP >ENSMUSP00000120938.1 pep:known chromosome:GRCm38:10:58813458:59138916:1 gene:ENSMUSG00000037990.18 transcript:ENSMUST00000153031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3rf3 description:SH3 domain containing ring finger 3 [Source:MGI Symbol;Acc:MGI:2444637] MLLGASWLCASKAAATAARGEGEDRQGEQQRGAQARTEEDMDESSLLDLLECSVCLERLD TTAKVLPCQHTFCRRCLESIVCSRHELRCPECRILVGCGVDELPANILLVRLLDGIRQRP RTGASPGSSPPARPGPGTFSALAGGAGGATGSPPCSPVFLSAAAGSSTSSLCDVATNRSV PVAKTLSQLPYAKALYSYEGKEPGDLKFNKGDIIILRRKVDENWYHGELQGMHGFLPASY IQCVRPLPQALPQGKALYDFEMKDRDQDKDCLTFTKDEVLTVIRRVDDNWAEGMLGDKIG IFPLLYVELNDSAKQLIEMDKLCPAATTAYNYDALLSSDPSTVASVAPGPTLSSSGAVSA FQRRVDSKKNAKKRHSFTALSVTHKSSQAASHRHSMEISAPVLISSSDPRAAARIGELAH LSCTVPTQDSSSAGPVPTALPRAAAVAGEQGMSPKVQLPLNVYLALYAYKPQKNDELELR KGEMYRVLEKCQDGWFKGASLKTGVSGVFPGNYVTPVSRVPGGGAGLPWNNVLGGSPLAK GMATIMHPGGGSLSSPATAARSALPLTTLQDHMQHPATSLPTGSCLRHSAQPTASQAGDT TIPTATHASAQALDRPTATVSPLRTQTSPSRLPSTGLRPRSVASPQHGQQSPAQMCPRPA IPFTSAASAITPPNVSAANLSGEVGGTPISGLSTPSLINTGFKPDDKKNEKKEKKSGLLK LLAGASTKKKSRSPPSVSPTHDPQSAMDTSLQGAMGPEVSPLTVHGRAGSCPIESEMQGA IGLEPLHRKAGSLDLNFSLSPSRQATLSMASIRPEPKPLPRERYRVVVSYPPQSEAEIEL KEGDIVFVHKKHEDGWFKGTLQRNGRTGLFPGSFVESF >ENSMUSP00000109022.1 pep:known chromosome:GRCm38:2:32278816:32282864:-1 gene:ENSMUSG00000044627.11 transcript:ENSMUST00000113395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Swi5 description:SWI5 recombination repair homolog (yeast) [Source:MGI Symbol;Acc:MGI:1920181] MIDENNDVSEEALSSDIKKLKEKHDMLDKEISQLIAEGYRVIELEKHISLLHEYNDIKDV SQMLLGKLAVTRGVTTKELYPDFDLNLND >ENSMUSP00000139369.1 pep:known chromosome:GRCm38:2:32278816:32287935:-1 gene:ENSMUSG00000044627.11 transcript:ENSMUST00000140406.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Swi5 description:SWI5 recombination repair homolog (yeast) [Source:MGI Symbol;Acc:MGI:1920181] EFKPTPLRVPRTLGFRRGESEFSRLYHGGYRSPQRPFPMIDENNDVSEEALSSDIKKLKE KHDMLDKEISQLIAEGYRVIELEKHISLLHEYNDIKDVSQMLLGKLGSQAQVLLGNDFEQ DLPTCEIAVMVPVLHGLQRH >ENSMUSP00000109024.3 pep:known chromosome:GRCm38:2:32278816:32288005:-1 gene:ENSMUSG00000044627.11 transcript:ENSMUST00000113397.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Swi5 description:SWI5 recombination repair homolog (yeast) [Source:MGI Symbol;Acc:MGI:1920181] LDPSEFKPTPLRVPRTLGFRRGESEFSRLYHGGYRSPGLPCD >ENSMUSP00000057512.5 pep:known chromosome:GRCm38:2:32278816:32288075:-1 gene:ENSMUSG00000044627.11 transcript:ENSMUST00000050410.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Swi5 description:SWI5 recombination repair homolog (yeast) [Source:MGI Symbol;Acc:MGI:1920181] LDPSEFKPTPLRVPRTLGFRRGESEFSRLYHGGYRSPQRPFPMIDENNDVSEEALSSDIK KLKEKHDMLDKEISQLIAEGYRVIELEKHISLLHEYNDIKDVSQMLLGKLAVTRGVTTKE LYPDFDLNLND >ENSMUSP00000109027.2 pep:known chromosome:GRCm38:2:32278918:32288069:-1 gene:ENSMUSG00000044627.11 transcript:ENSMUST00000113400.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Swi5 description:SWI5 recombination repair homolog (yeast) [Source:MGI Symbol;Acc:MGI:1920181] MGSRGGTALTWGESEFSRLYHGGYRSPQRPFPMIDENNDVSEEALSSDIKKLKEKHDMLD KEISQLIAEGYRVIELEKHISLLHEYNDIKDVSQMLLGKLAVTRGVTTKELYPDFDLNLN D >ENSMUSP00000139299.1 pep:known chromosome:GRCm38:2:32278816:32288068:-1 gene:ENSMUSG00000044627.11 transcript:ENSMUST00000183946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Swi5 description:SWI5 recombination repair homolog (yeast) [Source:MGI Symbol;Acc:MGI:1920181] MIDENNDVSEEALSSDIKKLKEKHDMLDKEISQLIAEGYRVIELEKHISLLHEYNDIKDV SQMLLGKLAVTRGVTTKELYPDFDLNLND >ENSMUSP00000121558.1 pep:known chromosome:GRCm38:2:68656486:68688315:1 gene:ENSMUSG00000079324.11 transcript:ENSMUST00000125621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932414N04Rik description:RIKEN cDNA 4932414N04 gene [Source:MGI Symbol;Acc:MGI:1922971] MKVSSTEVKFTEEHKTENSVESTESMLMPECLLQKINVSHLPGQANQRRKTITSGEMKGS PKEYPPQFKESTIQRGSAFEYTAVVGMHASTSESEELGNEERAVEELKRHGSHQSLQSWT TSETFSLDDVVHLAKTMDERPGTTTNTEVKATKKEMASTEEP >ENSMUSP00000135792.2 pep:known chromosome:GRCm38:2:68657884:68748467:1 gene:ENSMUSG00000079324.11 transcript:ENSMUST00000128259.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932414N04Rik description:RIKEN cDNA 4932414N04 gene [Source:MGI Symbol;Acc:MGI:1922971] MLTKKTSSEKNKALKYADAMDDHQLSESLSEDYDLPDYDNILMIVDQLQMNYKDSGKPLE IQDAVHSYKMIVERNQNHSELLIEKSKNKRNQGHRVMKKPGETEKAKLQLRCRREEQQLD LLDVGSPEKQDMQQRNPDGWYEEMKKELTEKDPQHGREKQQLELRLRAQDMELQHLRNDI NKLQEAQHPETEAGHGDSMTKGHLQKVEHEVFKLVETIKKQSETIEQLERKLANEDKILT GTGHLTQAGRDFFNAFREMYTTSVMSQLELRIQHLEREFSEMKTRTWENGVVLENYVKLH QSHKLMETESKMKEVMSQFAMLTQHNTALLNLLSSVFASECPCKGRSKSLFTQENMLTST SGPQPANQSIIAHLDAPADDNEDFNKALTKSILSPQSELHQKKEKCLESAEEKTLRKKRF KPTKTSTVCENGEHSFFEVSNTRQFEKNTPVDEPQHEIIREDQETLDNIPGNHNTLIISP WEYRCTDLESEHSEERTHHAFCKAEPEQYKDPCLEWVPITRSPSQGQLRSKDRQDGPIRK NFNKMEAYTSTSNACMMSSGPEFGSGQLYNSLGYSRNFLQREPLMTTSRPQTFENSLSFP NRVREENQQTSYDKVGHHNASRIKPQKATLSTLQSKHPEVTHQASCKAEPKRYEEPHPER IQMTSPLTYDQLKSKDRQDESVRRNFVKMEAYSSVLNTYTTTSPAPGFGSGQCCNSLEHS RSFTPPISRPWRFVESVDSYLCRTWQQLHYHY >ENSMUSP00000059809.5 pep:known chromosome:GRCm38:2:68659842:68748465:1 gene:ENSMUSG00000079324.11 transcript:ENSMUST00000055930.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932414N04Rik description:RIKEN cDNA 4932414N04 gene [Source:MGI Symbol;Acc:MGI:1922971] MLTKKTSSEKNKALKYADAMDDHQLSESLSEDYDLPDYDNILMIVDQLQMNYKDSGKPLE IQDAVHSYKMIVERNQNHSELLIEKSKNKRNQGHRVMKKPGETEKAKLQLRCRREEQQLD LLDVGSPEKQDMQQRNPDGWYEEMKKELTEKDPQHGREKQQLELRLRAQDMELQHLRNDI NKLQEAQHPETEAGHGDSMTKGHLQKVEHEVFKLVETIKKQSETIEQLERKLANEDKILT GTGHLTQAGRDFFNAFREMYTTSVMSQLELRIQHLEREFSEMKTRTWENGVVLENYVKLH QSHKLMETESKMKEVMSQFAMLTQHNTALLNLLSSVFASECPCKGRSKSLFTQENMLTST SGPQPANQSIIAHLDAPADDNEDFNKALTKSILSPQSELHQKKEKCLESAEEKTLRKKRF KPTKTSTVCENGEHSFFEVSNTRQFEKNTPVDEPQHEIIREDQETLDNIPGNHNTLIISP WEYRCTDLESEHSEERTHHAFCKAEPEQYKDPCLEWVPITRSPSQGQLRSKDRQDGPIRK NFNKMEAYTSTSNACMMSSGPEFGSGQLYNSLGYSRNFLQREPLMTTSRPQTFENSLSFP NRVREENQQTSYDKVGHHNASRIKPQKATLSTLQSKHPEVTHQASCKAEPKRYEEPHPER IQMTSPLTYDQLKSKDRQDESVRRNFVKMEAYSSVLNTYTTTSPAPGFGSGQCCNSLEHS RSFTPPISRPWRFVESVDSYLCRTWQQLHYHY >ENSMUSP00000097097.2 pep:known chromosome:GRCm38:16:45093612:45127665:1 gene:ENSMUSG00000022665.14 transcript:ENSMUST00000099498.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc80 description:coiled-coil domain containing 80 [Source:MGI Symbol;Acc:MGI:1915146] MMWKMGPHFTTLLAMWLVCGSASHSPALDSDSHTGRKVPLVSPISSRSARYLRHTGRSGG VEKSTQEEPNPQPFQRRKSVPVLRLAHPTMRPPPSGINGVPVRPEVRPIARSSAREMVRD EGSSARTRMLRFPSGSSSPNILASFAGKNRVWVISAPHASEGYYRLMMSLLKDDVYCELA ERHIQQIVLFHQAGEEGGKVRRITNEGQILEQPLDPNLIPKLMSFLKLEKGKFSMVLLKK TLQVEERYPYPVRLEAMYEVIDQGPIRRIEKIRQKGFVQKCKASGIEGHVVQEGNEGGGG AGGTGLGGDKRKEDPRRTQVHPTREAPRKQATSKAATPQPPPTPRATTLPPAPVTTATRA TSRVVTIAARPTTTTAYPATQRPWTSRLHPFSVSHRPPATAEVTTARGPSVSEQLYPLPR KEQQREKPQATRRPSKATNYGSFTATPPPTLWEVSARVVGTSRFRDNRTDKREHGHQDPN AVPGPHKPVKGKLPKKKDRILSNEYEDKYDLSQPTSSQGEEERQVDSVPSQNAKESKKLE KLEKPEKEKKKKGKSAKQDKLLKSEKQAKKAEKKTKQEKDKNKKKKAGKTEQDDNQKPTA KHLAPSPKKSVADLLGSFEGKRRLLLITTPKAENNMYVQQRDEYLESFCKMATRRISVVT IFGPVNNSSMKIDHFQLDNEKPMRVVDDDDLVDQHLISELRKEYGMTYDDFFMVLTDVDL RVKQYYEVPIAMKSVFDLIDTFQSRIKDMEKQKKEGIACKEDKRQSLENFLSRFRWRRRL LVISAPNDEDWAYSQQLSALNGQACNFGLRHITILKLLGVGEEVGGVLELFPINGSSIVE REDVPAHLVKDIRNYFQVSPEYFSMLLVGKDGNVKSWYPSPMWSMVIVYDLIDSMQLRRQ EMAIQQSLGMRCPEDEYAGYGYHSYHQGYQDGYQDDYRHHESYHHGYPY >ENSMUSP00000058752.5 pep:known chromosome:GRCm38:16:45094053:45127924:1 gene:ENSMUSG00000022665.14 transcript:ENSMUST00000061050.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc80 description:coiled-coil domain containing 80 [Source:MGI Symbol;Acc:MGI:1915146] MMWKMGPHFTTLLAMWLVCGSASHSPALDSDSHTGRKVPLVSPISSRSARYLRHTGRSGG VEKSTQEEPNPQPFQRRKSVPVLRLAHPTMRPPPSGINGVPVRPEVRPIARSSAREMVRD EGSSARTRMLRFPSGSSSPNILASFAGKNRVWVISAPHASEGYYRLMMSLLKDDVYCELA ERHIQQIVLFHQAGEEGGKVRRITNEGQILEQPLDPNLIPKLMSFLKLEKGKFSMVLLKK TLQVEERYPYPVRLEAMYEVIDQGPIRRIEKIRQKGFVQKCKASGIEGHVVQEGNEGGGG AGGTGLGGDKRKEDPRRTQVHPTREAPRKQATSKAATPQPPPTPRATTLPPAPVTTATRA TSRVVTIAARPTTTTAYPATQRPWTSRLHPFSVSHRPPATAEVTTARGPSVSEQLYPLPR KEQQREKPQATRRPSKATNYGSFTATPPPTLWEVSARVVGTSRFRDNRTDKREHGHQDPN AVPGPHKPVKGKLPKKKDRILSNEYEDKYDLSQPTSSQGEEERQVDSVPSQNAKESKKLE KLEKPEKEKKKKGKSAKQDKLLKSEKQAKKAEKKTKQEKDKNKKKKAGKTEQDDNQKPTA KHLAPSPKKSVADLLGSFEGKRRLLLITTPKAENNMYVQQRDEYLESFCKMATRRISVVT IFGPVNNSSMKIDHFQLDNEKPMRVVDDDDLVDQHLISELRKEYGMTYDDFFMVLTDVDL RVKQYYEVPIAMKSVFDLIDTFQSRIKDMEKQKKEGIACKEDKRQSLENFLSRFRWRRRL LVISAPNDEDWAYSQQLSALNGQACNFGLRHITILKLLGVGEEVGGVLELFPINGSSIVE REDVPAHLVKDIRNYFQVSPEYFSMLLVGKDGNVKSWYPSPMWSMVIVYDLIDSMQLRRQ EMAIQQSLGMRCPEDEYAGYGYHSYHQGYQDGYQDDYRHHESYHHGYPY >ENSMUSP00000137472.1 pep:known chromosome:GRCm38:4:100478849:100550147:1 gene:ENSMUSG00000069733.11 transcript:ENSMUST00000133493.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2u description:ubiquitin-conjugating enzyme E2U (putative) [Source:MGI Symbol;Acc:MGI:3588216] MYSKAYILLEREFRELKRDTRKDITAYPVSDDMMNWKAEIEGLRNSVCEGLVFYLTLEFS QEYNSVPPNVKFTTIPFHPNVDPYTGKPSIDFLDKPGKWNTNYTVLSILLDLQMLLSYPV LKNPVNLEAAQLLIRNASTYKMVIQELLPPALPKRESSLVIPEKPQDRVRVIKTISFNDY YKTWSQIATTKVAEHSRNPFVGDPHFMGQYYKWKQQDRQNQVQWESKFALSKWQTARKKI MAQEKSNHYNQVIGIYPSPSELSFEYEIEEEEEEEEEEEEEEEEEEEEEEKEEEEEEPRI YQIPQEWPEEHDSKESWEEEADHLVSWTNGLDEESLNYEYFDNVKNYENLGN >ENSMUSP00000090406.3 pep:known chromosome:GRCm38:4:100478867:100550145:1 gene:ENSMUSG00000069733.11 transcript:ENSMUST00000092730.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2u description:ubiquitin-conjugating enzyme E2U (putative) [Source:MGI Symbol;Acc:MGI:3588216] MLLSYPVLKNPVNLEAAQLLIRNASTYKMVIQELLPPALPKRESSLVIPEKPQDRVRVIK TISFNDYYKTWSQIATTKVAEHSRNPFVGDPHFMGQYYKWKQQDRQNQVQWESKFALSKW QTARKKIMAQEKSNHYNQVIGIYPSPSELSFEYEIEEEEEEEEEEEEEEEEEEEEEEKEE EEEEPRIYQIPQEWPEEHDSKESWEEEADHLVSWTNGLDEESLNYEYFDNVKNYENLGN >ENSMUSP00000060118.7 pep:known chromosome:GRCm38:16:31251537:31275277:-1 gene:ENSMUSG00000047714.14 transcript:ENSMUST00000060188.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r2 description:protein phosphatase 1, regulatory (inhibitor) subunit 2 [Source:MGI Symbol;Acc:MGI:1914099] MAASTASHRPIKGILKNKTSAASPPVVPSAEQPRPIVEEELSKKSQKWDEMNILATYHPA DKDYGLMKIDEPNTPYHNMIGDDEDAYSDSEGNEVMTPDILAKKLAAAEGSEPKYRTREQ ESSGEEDNDLSPEEREKKRQFEMKRKLHYNEGLNIKLARQLISKDLHDDDEDEEMAETAD GDSMNVEESSQGSTTSDHLQHKSQSS >ENSMUSP00000110888.1 pep:known chromosome:GRCm38:16:31257975:31274992:-1 gene:ENSMUSG00000047714.14 transcript:ENSMUST00000115233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r2 description:protein phosphatase 1, regulatory (inhibitor) subunit 2 [Source:MGI Symbol;Acc:MGI:1914099] MAASTASHRPIKGILKNKTSAASPPVVPSAEQPRPIVEEELSKKSQKWDEMNILATYHPA DKDYGLMKIDEPNTPYHNMIGDDEDAYSDSEGNEVMTPDILAKKLAAAEGSEPKYRTREQ ESSGEEDNDLSPEEREKKRQFEMKRKLHYNEGLNIKLARQLISKDLHDDDEDEEMAETAD GDSMNVEESSQGQVP >ENSMUSP00000099945.3 pep:known chromosome:GRCm38:11:16971206:17008702:-1 gene:ENSMUSG00000020120.15 transcript:ENSMUST00000102881.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plek description:pleckstrin [Source:MGI Symbol;Acc:MGI:1860485] MEPKRIREGYLVKKGSVFNTWKPMWVVLLEDGIEFYKKKSDNSPKGMIPLKGSTLTSPCQ DFGKRMFVLKITTTKQQDHFFQAAFLEERDAWVRDIKKAIKCIEGGQKFARKSTRRSIRL PETIDLGALYLSMKDPEKGIKELNLEKDKKVFNHCLTGSGVIDWLVSNKLVRNRQEGLMI SASLLSEGYLQPAGDLSKNAADGIAENPFLDSPDAFYYFPDSGFFCEENSSDDDVILREE FRGVIIKQGCLLKQGHRRKNWKVRKFILREDPAYLHYYDPAGGEDPLGAVHLRGCVVTSV ESSHDVKKSDEENLFEIITADEVHYYLQAATSKERTEWIKAIQVASRTGK >ENSMUSP00000020321.6 pep:known chromosome:GRCm38:11:16971464:17052381:-1 gene:ENSMUSG00000020120.15 transcript:ENSMUST00000020321.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plek description:pleckstrin [Source:MGI Symbol;Acc:MGI:1860485] MWVVLLEDGIEFYKKKSDNSPKGMIPLKGSTLTSPCQDFGKRMFVLKITTTKQQDHFFQA AFLEERDAWVRDIKKAIKCIEGGQKFARKSTRRSIRLPETIDLGALYLSMKDPEKGIKEL NLEKDKKVFNHCLTGSGVIDWLVSNKLVRNRQEGLMISASLLSEGYLQPAGDLSKNAADG IAENPFLDSPDAFYYFPDSGFFCEENSSDDDVILREEFRGVIIKQGCLLKQGHRRKNWKV RKFILREDPAYLHYYDPAGGEDPLGAVHLRGCVVTSVESSHDVKKSDEENLFEIITADEV HYYLQAATSKERTEWIKAIQVASRTGK >ENSMUSP00000122225.1 pep:known chromosome:GRCm38:11:16994820:17002999:-1 gene:ENSMUSG00000020120.15 transcript:ENSMUST00000156101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plek description:pleckstrin [Source:MGI Symbol;Acc:MGI:1860485] MWVVLLEDGIEFYKKKSDNSPKGMIPLKGSTLTSPCQDFGKRMFVL >ENSMUSP00000142520.1 pep:known chromosome:GRCm38:5:43978858:43981779:-1 gene:ENSMUSG00000048373.8 transcript:ENSMUST00000199894.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfbp1 description:fibroblast growth factor binding protein 1 [Source:MGI Symbol;Acc:MGI:1096350] MRLHSLILLSFLLLATQAFSEKVRKRAKNAPHSTAEEGVEGSAPSLGKAQNKQRSRTSKS LTHGKFVTKDQATCRWAVTEEEQGISLKVQCTQADQEFSCVFAGDPTDCLKHDKDQIYWK QVARTLRKQKNICRNAKSVLKTRVCRKRFPESNLKLVNPNARGNTKPRKEKAEVSAREHN KVQEAVSTEPNRVKEDITLNPAATQTMAIRDPECLEDPDVLNQRKTALEFCGESWSSICT FFLNMLQATSC >ENSMUSP00000056900.7 pep:known chromosome:GRCm38:5:43978860:43981764:-1 gene:ENSMUSG00000048373.8 transcript:ENSMUST00000061299.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfbp1 description:fibroblast growth factor binding protein 1 [Source:MGI Symbol;Acc:MGI:1096350] MRLHSLILLSFLLLATQAFSEKVRKRAKNAPHSTAEEGVEGSAPSLGKAQNKQRSRTSKS LTHGKFVTKDQATCRWAVTEEEQGISLKVQCTQADQEFSCVFAGDPTDCLKHDKDQIYWK QVARTLRKQKNICRNAKSVLKTRVCRKRFPESNLKLVNPNARGNTKPRKEKAEVSAREHN KVQEAVSTEPNRVKEDITLNPAATQTMAIRDPECLEDPDVLNQRKTALEFCGESWSSICT FFLNMLQATSC >ENSMUSP00000143011.1 pep:known chromosome:GRCm38:5:43978863:43981053:-1 gene:ENSMUSG00000048373.8 transcript:ENSMUST00000199481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgfbp1 description:fibroblast growth factor binding protein 1 [Source:MGI Symbol;Acc:MGI:1096350] MRLHSLILLSFLLLATQAFSEKVRKRAKNAPHSTAEEGVEGSAPSLGKAQNKQRSRTSKS LTHGKFVTKDQATCRWAVTEEEQGISLKVQCTQADQEFSCVFAGDPTDCLKHDKDQIYWK QVARTLRKQKNICRNAKSVLKTRVCRKRFPESNLKLVNPNARGNTKPRKEKAEVSAREHN KVQEAVSTEPNRVKEDITLNPAATQTMAIRDPECLEDPDVLNQRKTALEFCGESWSSICT FFLNMLQATSC >ENSMUSP00000137670.1 pep:known chromosome:GRCm38:5:117414000:117775003:1 gene:ENSMUSG00000061578.8 transcript:ENSMUST00000180430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ksr2 description:kinase suppressor of ras 2 [Source:MGI Symbol;Acc:MGI:3610315] MDEENMTKSEEQQPLSLQKALQQCELVQNMIDLSISNLEGLRTKCAASNDLTQKEIRTLE SKLVKYFSRQLSCKKKVALQERNAELDGFPQLRHWFRIVDVRKEVLEEISPDQLSLEDLL EMTDEQVCETVEKYGANQEECARLNASLSCLRNVHKSGGNLSKQDWIIQWPTTEPGQESN PVCPPEPSPWIRTHLSQSPRVQTKCPQHFCPTSPTPGTPVYTQVDRLTVDAYPNLCPPPP PLESGHRSLPPSPRQRHVVRTPPRTPNIVTTVTPPGTPPMRRKNKLKPPGTPPPSSRKLI HLIPGFTALHRSKSHEFQLGNRVDEANTPKAKKKSKPLNLKIHSGVGSCENIPAQQRSPL LSERSLRSFFVGHGPFLPSTPPVHTEANFSANTLSVPRWSPQIPRRDLGNSIKHRFSTKY WMSQTCTVCGKGMLFGLKCKNCKLKCHNKCTKEAPPCHLLIIHRGDPARLVRTESVPCDI NNPVRKPARYSDLHISQTLPKTNKINKDHIPVPYQPDSSSNPSSTTSSTPSSPAPPLPPS ATPPSPLHPSPQCPRQKKNFNLPASHYYKYKQQFIFPDVVPVPETPTRAPQVILHPVTSN TILEGNPLLQIEVEPTSENEESHNEAEESEDEFEEMNLSLLSARSFPRKASQTSIFLQEW DIPFEQLEIGELIGKGRFGQVYHGRWHGEVAIRLIDIERDNEDQLKAFKREVMAYRQTRH ENVVLFMGACMSPPHLAIITSLCKGRTLYSVVRDAKIVLDVNKTRQIAQEIVKGMGYLHA KGILHKDLKSKNVFYDNGKVVITDFGLFSISGVLQAGRRDDKLRIQNGWLCHLAPEIIRQ LSPDTEEDKLPFSKHSDVFALGTIWYELHAREWPFKTQPAEAIIWQMGTGMKPNLSQIGM GKEISDILLFCWAFEQEERPTFTKLMDMLEKLPKRNRRLSHPGHFWKSAEL >ENSMUSP00000033532.6 pep:known chromosome:GRCm38:X:69360294:69868037:1 gene:ENSMUSG00000031189.12 transcript:ENSMUST00000033532.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aff2 description:AF4/FMR2 family, member 2 [Source:MGI Symbol;Acc:MGI:1202294] MDLFDFFRDWDLEQQCHYEQDRSALKKREWERRNQEVQQEEDLFSSGFDLFGEPYKVAEY TNKGDALANRVQNTLGSYDEMKDLLSNHSSQNHLVGIPKNSAPQTPISKSEASFYPEQKN RMIPSHQETTHSSTPMPPPSVVILNSTLIHSNRKSKSEWPRDSHNTSPAQASQTSSQPNK MQTSTQDPPQTRLEDFFVYPAEQPQIGTVEKSNPSSKEENNPNSGGEDTFKEIFQSNSPE ESEFTVQAPGSPLVASSLLAPSSGLSVPTFPPGLYCKTSMGQQKPTAYVRPMDGQDQATD ISPTLKPSIEFENSFGNLSFGSLLDGKPSAVSSKTKLPKFTILQTSEVSLTSDPSCVEEI LRESQHLTPGFTLQKWSDPSSRASTKMLEDDLKLSSDEDDLEPVKTLTTQCTANELYQAV EKAKPKNNPVNPLLATPQSTPATQTNVGSGSSSESESSSESDSDTESSTTDSESNEAPRV ATPEPEPPSTNKWQLDKWLNKVTSQNKSFICGQNETPTETISLPPPIIQPVEVQVKVKPN PSQAVAVPKERPLLSLIREKARPRPTQKTPETKALKHKLSTSVDTVSQRTIGKKQPKKVE KNTSFEEFTWPKPNITNSTPKEKGSVELPDPPRSRNKATAHKPVPRKEPRPHVPLATEKK KYRGPGKIVPKSREFIETDSSTSDSNTDQEETLQIKVLPPCITSKSKETSNASLTLSTLT NGNSNNLSTSNEETAFSPPPAMQTELLSPLRDHENPKNLWVKIDLDLLSRVPGQNSVPVT PAKTDYKETASKPKRQTAATAVEKPAPKGKRKHKPAETAEKIPEKKQRLEDNTTICLLPP CISPAPPHKPPSTRENSSRRANRKKEEKLFPPALSPLAEDPPRRRNVSGNNGHFGQDKNI SMAGQITSSKPKRSEGKFCATFKGISINEGDAPKKAASATVTVANMALATATATATVPAI VTATVTATATTTATATTTTTTTTISSITPTITSGLMDSSHLEMTSWAALPLLSSSSANVR RPKLTFDDSVHNADFYMQEAKKLKHKADALFEKFGKAVNYADAALSFTECGNAMERDPLE AKSPYTMYSETVELLRYAMRLKNFASPLASDGDKKLAVLCYRCLSLLYLRMFKLKKDHAM KYSRSLMEYFKQNASKVTQIPSPWVGNGKNTPSPVSLNNVSPINSVGNCNNGPVTIPQRI HHMAASHVNITSNVLRGYEHWDMADKLTRDNKEFFGDLDTLMGPLTQHSSMTNLVRYVRQ GLCWLRIDAHLL >ENSMUSP00000083462.4 pep:known chromosome:GRCm38:9:22247430:22259895:-1 gene:ENSMUSG00000062794.8 transcript:ENSMUST00000086281.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp599 description:zinc finger protein 599 [Source:MGI Symbol;Acc:MGI:2679006] MGLISFEDVAVDFTWEEWQDLDAAQRTLYRDVMLETYISLVSLGHCMNKPELIFKLEQGL GPWSVAEASDRNLSDFHILTAPIVTSQKNHKAYMWQARTTENKASNEKIAELKEQQKIHQ GSKSCEREAHGKTFFQKAQSTVIQMSPTRQTALHYTATLTKGQRPHRGKMSREYEECRKT IFHNSHVPGCQKTLIDTKLCGCTECRKDFSCNSKLTSHPRTRIRKRPYKCKECGKAFCSQ GKLTLHQIVHTGEKPYECTECGKAFSHKAYLTQHQKIHMSKKPYACTECGKAFYRLSHLT LHQRTHTNEKPYDCTECQKSFSCRSQLTLHQRTHTGERPFECMECGKSFYYKAHLIRHQR IHTNEKPFECIECEKSFYCQSDLTVHQRSHTGEKPYECKECGKSFYQKSKLTLHQRNHVG EKSYACTDCGEVFYCKSHLTLHQTVHTDEHPYICTECGKCFYYKSQLIVHGRTHTGDRPY KCGDCGKAFSRKSHLIRHQSITHIDKNNLNVANVGKVSTVRPDSLHTHSLYLSEHKHAPS ILKEKNAG >ENSMUSP00000035512.5 pep:known chromosome:GRCm38:12:71015990:71098592:1 gene:ENSMUSG00000048118.17 transcript:ENSMUST00000046305.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid4a description:AT rich interactive domain 4A (RBP1-like) [Source:MGI Symbol;Acc:MGI:2444354] MKAADEPAYLTVGTDVSAKYRGAFCEAKIKTVKRLVKVKVLLKQDNTTQLVQDDQVKGPL RVGAIVETRTSDGSIQEAIISKLTDASWYTVVFDDGDERTLRRTSLCLKGERHFAESETL DQLPLTNPEHFGTPVIAKKTNRGRRSSLPITEDEKEEESSEEEDEDKRRLNDELLGKVVS VASTAESTGWYPALVVSPSCNDDVTVKKDQCLVRSFIDSKFYSIARKDIKELDILTLPES ELCARPGLRRASVFLKGRIVPDNWKMDISEILESSSSDDEECPAEEHEEEKEKEAKKEEE ELPEEELDPEERDNFLQQLYKFMEDRGTPINKPPVLGYKDLNLFKLFRLVYHQGGCGNID SGAVWKQIYMDLGIPILNSAASYNVKTAYRKYLYGFEEYCRSANIQFRTIHHHEPKVKEE KKDFEDSMDEALKEAPEMPLLDVKSEPEENTDSNSESDREDTELKSPRGRRKIVRDANCI KKEIEEEKIEDKFLRDDLENKDAGDDDDDGDPAAKREHELLFGRKSTPKNKEKKIKKPED SERDSDEEEEKSQEREETESRCDSEGEDEEDDTEPCLTGTKVKVKYGRGKTQKIYEASIK STEMDDGEILYLVHYYGWNVRYDEWVKADRIIWPLDKGGPKKKQKKKVKNKEDSEKDEKR DEERQKSKRGRPPLKSTFSPNMPYSLSKTSNSEGKSDSCSSDSEADDQLEKSSGGEDLSP DVKEELEKNENAHDDKLDEENPKIVHISKENDRTQAQPSDTLTVEAGDSDQIVHIFGDKV DQVEEFKKQVEKSPKGKGRRSKTKDLSLELIKISPFGQEEAGSEAHGDVHSLEFSSLECK NFSSTEDDIDPYEKEKKLKRKILGQQSPEKKLRLDNGMEMTTGVSQERSDDGAGAEGMKG AHVEQHFETEGEGMPSLTAEPDQGLQELTSEKSDSPAEEEPVHTPLKEEEDAMPLIGPET LVCHEVDLDDLDEKDKTSIEDVVVEGSESNSLASVPPALPPVAQHNFSVASPLTLSQDES RSIKSESDITIEVDSIAEESQEGLCERESANGFEASVASGACSIIAHERESREKGQKRPS DGNSGLIAKKQKRTPKRTSAAAKTEKNGAGQSSDSEDLPAMDSSSNCTPVKRLTLPKSQK LPRSPARTSPHIKDAEKEKHREKHPNSSPRTYKWSFQLNELDNMNSTERISFLQEKLQEI RKYYMSLKSEVATIDRRRKRLKKKDREVSHAGASMSSASSDTGMSPSSSSPPQNVLAVEC R >ENSMUSP00000121109.1 pep:known chromosome:GRCm38:12:71016708:71047339:1 gene:ENSMUSG00000048118.17 transcript:ENSMUST00000125125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid4a description:AT rich interactive domain 4A (RBP1-like) [Source:MGI Symbol;Acc:MGI:2444354] MKAADEPAYLTVGTDVSAKYRGAFCEAKIKTVKRLVKVKVLLKQDNTTQLVQDDQVKGPL RVGAIVETRTSDGSIQEAIISKLTDASWYTVVFDDGDERTLRRTSLCLKGERHFAESETL DQLPLTNPEHFGTPVIAKKTNRGRRSSLPITEDEKEEESSEEEDEDKRRLNDELLGKVVS VASTAESTGWYPALVVSPSCNDDVTVKKDQCLVRSFIDSKFYSIARKDIKELDILTLPES ELCARPGLRRASVFLKGRIVPDNWKMDISEILESSSSDDEECPAEEHEEEKEKEA >ENSMUSP00000121319.1 pep:known chromosome:GRCm38:12:71048341:71075405:1 gene:ENSMUSG00000048118.17 transcript:ENSMUST00000135709.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid4a description:AT rich interactive domain 4A (RBP1-like) [Source:MGI Symbol;Acc:MGI:2444354] MEDRGTPINKPPVLGYKDLNLFKLFRLVYHQGGCGNIDSGAVWKQIYMDLGIPILNSAAS YNVKTAYRKYLYGFEEYCRSANIQFRTIHHHEPKVKEEKKDFEDSMDEALKEAPEMPLLD VKSEPEENTDSNSESDREDTELKSPRGRRKIVRDANCIKKEIEEEKIEDKFLRDDLENKD AGDDDDDGDPAAKREHELLFGRKSTPKNKEKKIKKPEDSERDSDEEEEKSQEREETESRC DSEGEDEEDDTEPCLTGTKVKVKYGRGKTQKIYEASIKSTEMDDGEILYLVHYYGWNVRY DEWVKADRIIWPLDKGGPKKKQKKKVKNKEDSEKDEKRDEERQKSKRGRPPLKSTFSPNM PYSLSKTSNSEGKSDSCSSDSEADDQLEKSSGGEDLSPDVKEELEKNENAHDDKLDEENP KIVHISKENDRTQAQPSDTLTVEAGDSDQIVHIFGDKVDQVEEFKKQVEKSPKGKGRRSK TKDLSLELIKISPFGQEEAGSEAHGDVHSLEFSSLECKNFSSTEDDIDPYE >ENSMUSP00000129779.1 pep:known chromosome:GRCm38:15:50654752:50889691:-1 gene:ENSMUSG00000038679.16 transcript:ENSMUST00000165201.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trps1 description:trichorhinophalangeal syndrome I (human) [Source:MGI Symbol;Acc:MGI:1927616] MVRKKNPPLRNVASEGEGQTLEPTATESKVSGKNKELSADQMSENTDQSDVAELNSKEEH STHGQEPSSSGKKDLQISGLSEKAGFNYESPSKGGSLVSFPHDEVTDRNMLAFSSPAAGG VCEPLKSPQRAEADDPQDMACTPSGDSLETKEEHKMSPKATEETGPVQSGQANCQGLSPV SVASKNPQVPSDGGVRLSKPKGDLLVNDNPDPAPLSPELQDFKCNICGYGYYGNDPTDLI KHFRKYHLGLHNRTRQDAELDSKILALHNMVQFSHSKDFQKVNRSVLSGVLQDISSSRPA LLNGTYDVQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNSSTELEQHFLQTHPN KIKVSLPSSEGVKPSEKNSNKSIPALRASDSGDVGKWQDKMTVKAGDDTPVGYSVPIKPL DSSRQNGTEATSYYWCKFCSFSCESSSSLKLLEHYGKQHGAVQSGGLNPELNDKLPRGSV INQNDLAKSVEGEPLTKPEKGLSGAKKKDFPSKGAEDNMVTSYNCQFCDFRYSKSHGPDV IVVGPLLRHYQQLHNIHKCTIKHCPFCPRGLCSPEKHLGEITYPFACRKSNCSHCALLLL HLSPGVAGSSRVKHQCHQCSFSTPDVDVLLFHYETVHESQASDVKQEANHLLGSDGQQAV RDSKEHSCTKCDFITQVEEEISRHYRRAHSCYKCRQCSFTAADTQSLLEHFNTVHCQEQE ITTANGEEGGHAIPTIKEEPKIDLKVYSLLNPDSKMGETVPESIVKREKLDDKEGLKDKI WTESSTDDLRGVAWRGADILRGSPSYTQASLGVLTPVSSSQEQTKTLRDSPNVEAAHLAR PMYGLAVDTKGFLQGAPAGSEKSASLTQQYPASGESKTKDESQSLLRRRRGSGVFCANCL TTKTSLWRKNANGGYVCNACGLYQKLHSTPRPLNIIKQNNGEQIIRRRTRKRLNPEALQA EQLNKQQRGSGEEQVNGSPLERRSEDHLSESHPREIPLPSLSKYEAQGSLTKSHSAQQPV LVSQALDIHKRMQPLHIQIKSPQESTGDPGNSSSVSDGKGSSERGSPIEKYMRPAKHPNY SPPGSPIEKYQYPLFGVPFVHNDFQSEADWLRFWSKYKLSVPGNPHYLSHVPGLPNPCQN YVPYPTFNLPPHFSAVGSDNDIPLDLAIKHSRPGPTANGASKEKTKAPPTVKNEGPLNVV KTEKVDRSTQDELSTKCVHCGIVFLDEVMYALHMSCHGDSGPFQCSICQHLCTDKYDFTT HIQRGLHRNNAQAEKNGKPKE >ENSMUSP00000139063.1 pep:known chromosome:GRCm38:15:50658411:50889713:-1 gene:ENSMUSG00000038679.16 transcript:ENSMUST00000184458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trps1 description:trichorhinophalangeal syndrome I (human) [Source:MGI Symbol;Acc:MGI:1927616] MALAAAPPSFGLRRVFLTINQQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNSS TELEQHFLQTHPNKIKVSLPSSEGVKPSEKNSNKSIPALRASDSGDVGKWQDKMTVKAGD DTPVGYSVPIKPLDSSRQNGTEATSYYWCKFCSFSCESSSSLKLLEHYGKQHGAVQSGGL NPELNDKLPRGSVINQNDLAKSVEGEPLTKPEKGLSGAKKKDFPSKGAEDNMVTSYNCQF CDFRYSKSHGPDVIVVGPLLRHYQQLHNIHKCTIKHCPFCPRGLCSPEKHLGEITYPFAC RKSNCSHCALLLLHLSPGVAGSSRVKHQCHQCSFSTPDVDVLLFHYETVHESQASDVKQE ANHLLGSDGQQAVRDSKEHSCTKCDFITQVEEEISRHYRRAHSCYKCRQCSFTAADTQSL LEHFNTVHCQEQEITTANGEEGGHAIPTIKEEPKIDLKVYSLLNPDSKMGETVPESIVKR EKLDDKEGLKDKIWTESSTDDLRGVAWRGADILRGSPSYTQASLGVLTPVSSSQEQTKTL RDSPNVEAAHLARPMYGLAVDTKGFLQGAPAGSEKSASLTQQYPASGESKTKDESQSLLR RRRGSGVFCANCLTTKTSLWRKNANGGYVCNACGLYQKLHSTPRPLNIIKQNNGEQIIRR RTRKRLNPEALQAEQLNKQQRGSGEEQVNGSPLERRSEDHLSESHPREIPLPSLSKYEAQ GSLTKSHSAQQPVLVSQALDIHKRMQPLHIQIKSPQESTGDPGNSSSVSDGKGSSERGSP IEKYMRPAKHPNYSPPGSPIEKYQYPLFGVPFVHNDFQSEADWLRFWSKYKLSVPGNPHY LSHVPGLPNPCQNYVPYPTFNLPPHFSAVGSDNDIPLDLAIKHSRPGPTANGASKEKTKA PPTVKNEGPLNVVKTEKVDRSTQDELSTKCVHCGIVFLDEVMYALHMSCHGDSGPFQCSI CQHLCTDKYDFTTHIQRGLHRNNAQAEKNGKPKE >ENSMUSP00000139017.1 pep:known chromosome:GRCm38:15:50658429:50889049:-1 gene:ENSMUSG00000038679.16 transcript:ENSMUST00000183757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trps1 description:trichorhinophalangeal syndrome I (human) [Source:MGI Symbol;Acc:MGI:1927616] MQSNMVRKKNPPLRNVASEGEGQTLEPTATESKVSGKNKELSADQMSENTDQSDVAELNS KEEHSTHGQEPSSSGKKDLQISGLSEKAGFNYESPSKGGSLVSFPHDEVTDRNMLAFSSP AAGGVCEPLKSPQRAEADDPQDMACTPSGDSLETKEEHKMSPKATEETGPVQSGQANCQG LSPVSVASKNPQVPSDGGVRLSKPKGDLLVNDNPDPAPLSPELQDFKCNICGYGYYGNDP TDLIKHFRKYHLGLHNRTRQDAELDSKILALHNMVQFSHSKDFQKVNRSVLSGVLQDISS SRPALLNGTYDVQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNSSTELEQHFLQ THPNKIKVSLPSSEGVKPSEKNSNKSIPALRASDSGDVGKWQDKMTVKAGDDTPVGYSVP IKPLDSSRQNGTEATSYYWCKFCSFSCESSSSLKLLEHYGKQHGAVQSGGLNPELNDKLP RGSVINQNDLAKSVEGEPLTKPEKGLSGAKKKDFPSKGAEDNMVTSYNCQFCDFRYSKSH GPDVIVVGPLLRHYQQLHNIHKCTIKHCPFCPRGLCSPEKHLGEITYPFACRKSNCSHCA LLLLHLSPGVAGSSRVKHQCHQCSFSTPDVDVLLFHYETVHESQASDVKQEANHLLGSDG QQAVRDSKEHSCTKCDFITQVEEEISRHYRRAHSCYKCRQCSFTAADTQSLLEHFNTVHC QEQEITTANGEEGGHAIPTIKEEPKIDLKVYSLLNPDSKMGETVPESIVKREKLDDKEGL KDKIWTESSTDDLRGVAWRGADILRGSPSYTQASLGVLTPVSSSQEQTKTLRDSPNVEAA HLARPMYGLAVDTKGFLQGAPAGSEKSASLTQQYPASGESKTKDESQSLLRRRRGSGVFC ANCLTTKTSLWRKNANGGYVCNACGLYQKLHSTPRPLNIIKQNNGEQIIRRRTRKRLNPE ALQAEQLNKQQRGSGEEQVNGSPLERRSEDHLSESHPREIPLPSLSKYEAQGSLTKSHSA QQPVLVSQALDIHKRMQPLHIQIKSPQESTGDPGNSSSVSDGKGSSERGSPIEKYMRPAK HPNYSPPGSPIEKYQYPLFGVPFVHNDFQSEADWLRFWSKYKLSVPGNPHYLSHVPGLPN PCQNYVPYPTFNLPPHFSAVGSDNDIPLDLAIKHSRPGPTANGASKEKTKAPPTVKNEGP LNVVKTEKVDRSTQDELSTKCVHCGIVFLDEVMYALHMSCHGDSGPFQCSICQHLCTDKY DFTTHIQRGLHRNNAQAEKNGKPKE >ENSMUSP00000139115.1 pep:known chromosome:GRCm38:15:50660434:50889046:-1 gene:ENSMUSG00000038679.16 transcript:ENSMUST00000183997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trps1 description:trichorhinophalangeal syndrome I (human) [Source:MGI Symbol;Acc:MGI:1927616] MQSNMVRKKNPPLRNVASEGEGQTLEPTATESKVSGKNKELSADQMSENTDQSDVAELNS KEEHSTHGQEPSSSGKKDLQISGLSEKAGFNYESPSKGGSLVSFPHDEVTDRNMLAFSSP AAGGVCEPLKSPQRAEADDPQDMACTPSGDSLETKEEHKMSPKATEETGPVQSGQANCQG LSPVSVASKNPQVPSDGGVRLSKPKGDLLVNDNPDPAPLSPELQDFKCNICGYGYYGNDP TDLIKHFRKYHLGLHNRTRQDAELDSKILALHNMVQFSHSKDFQKVNRSVLSGVLQDISS SRPALLNGTYDVQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNSSTELEQHFLQ THPNKIKVSLPSSEGVKPSEKNSNKSIPALRASDSGDVGKWQDKMTVKAGDDTPVGYSVP IKPLDSSRQNDVLLFHYETVHESQASDVKQEANHLLGSDGQQAVRDSKEHSCTKCDFITQ VEEEISRHYRRAHSCYKCRQCSFTAADTQSLLEHFNTVHCQEQEITTANGEEGGHAIPTI KEEPKIDLKVYSLLNPDSKMGETVPESIVKREKLDDKEGLKDKIWTESSTDDLRGVAWRG ADILRGSPSYTQASLGVLTPVSSSQEQTKTLRDSPNVEAAHLARPMYGLAVDTKGFLQGA PAGSEKSASLTQQYPASGESKTKDESQSLLRAVTISGTPNRRRRRGSGVFCANCLTTKTS LWRKNANGGYVCNACGLYQKLHSTPRPLNIIKQNNGEQIIRRRTRKRLNPEALQAEQLNK QQRGSGEEQVNGSPLERRSEDHLSESHPREIPLPSLSKYEAQGSLTKSHSAQQPVLVSQA LDIHKRMQPLHIQIKSPQESTGDPGNSSSVSDGKGSSERGSPIEKYMRPAKHPNYSPPGS PIEKYQYPLFGVPFVHNDFQSEADWLRFWSKYKLSVPGNPHYLSHVPGLPNPCQNYVPYP TFNLPPHFSAVGSDNDIPLDLAIKHSRPGPTANGASKEKTKAPPTVKNEGPLNVVKTEKV DRSTQDELSTKCVHCGIVFLDEVMYALHMSCHGDSGPFQCSICQHLCTDKYDFTTHIQRG LHRNNAQAEKNGKPKE >ENSMUSP00000138905.1 pep:known chromosome:GRCm38:15:50660435:50882806:-1 gene:ENSMUSG00000038679.16 transcript:ENSMUST00000184885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trps1 description:trichorhinophalangeal syndrome I (human) [Source:MGI Symbol;Acc:MGI:1927616] MVRKKNPPLRNVASEGEGQTLEPTATESKVSGKNKELSADQMSENTDQSDVAELNSKEEH STHGQEPSSSAAGGVCEPLKSPQRAEADDPQDMACTPSGDSLETKEEHKMSPKATEETGP VQSGQANCQGLSPVSVASKNPQVPSDGGVRLSKPKGDLLVNDNPDPAPLSPELQDFKCNI CGYGYYGNDPTDLIKHFRKYHLGLHNRTRQDAELDSKILALHNMVQFSHSKDFQKVNRSV LSGVLQDISSSRPALLNGTYDVQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNS STELEQHFLQTHPNKIKVSLPSSEGVKPSEKNSNKSIPALRASDSGDVGKWQDKMTVKAG DDTPVGYSVPIKPLDSSRQNDVLLFHYETVHESQASDVKQEANHLLGSDGQQAVRDSKEH SCTKCDFITQVEEEISRHYRRAHSCYKCRQCSFTAADTQSLLEHFNTVHCQEQEITTANG EEGGHAIPTIKEEPKIDLKVYSLLNPDSKMGETVPESIVKREKLDDKEGLKDKIWTESST DDLRGVAWRGADILRGSPSYTQASLGVLTPVSSSQEQTKTLRDSPNVEAAHLARPMYGLA VDTKGFLQGAPAGSEKSASLTQQYPASGESKTKDESQSLLRRRRGSGVFCANCLTTKTSL WRKNANGGYVCNACGLYQKLHSTPRPLNIIKQNNGEQIIRRRTRKRLNPEALQAEQLNKQ QRGSGEEQVNGSPLERRSEDHLSESHPREIPLPSLSKYEAQGSLTKSHSAQQPVLVSQAL DIHKRMQPLHIQIKSPQESTGDPGNSSSVSDGKGSSERGSPIEKYMRPAKHPNYSPPGSP IEKYQYPLFGVPFVHNDFQSEADWLRFWSKYKLSVPGNPHYLSHVPGLPNPCQNYVPYPT FNLPPHFSAVGSDNDIPLDLAIKHSRPGPTANGASKEKTKAPPTVKNEGPLNVVKTEKVD RSTQDELSTKCVHCGIVFLDEVMYALHMSCHGDSGPFQCSICQHLCTDKYDFTTHIQRGL HRNNAQAEKNGKPKE >ENSMUSP00000138835.1 pep:known chromosome:GRCm38:15:50819499:50827521:-1 gene:ENSMUSG00000038679.16 transcript:ENSMUST00000183421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trps1 description:trichorhinophalangeal syndrome I (human) [Source:MGI Symbol;Acc:MGI:1927616] MNLFIEKYSIFYLQSSPGLRRAHSCYKCRQCSFTAADTQSLLEHFNTVHCQEQEITTANG EEGGHAIPTIKEEPKIDLKVYSLLNPDSKMGETVPESIVKREKLDDKEGLKDKIWTESST DDLRGVAWRGADILRGSPSYTQASLGVLTPVSSSQEQTKTLRDSPNVEAAHLARPMYGLA VDTKGFLQGAPAGSEKSASLTQQYPASGESKTKDESQSLLRVGRFPYLK >ENSMUSP00000138967.1 pep:known chromosome:GRCm38:15:50846176:50890463:-1 gene:ENSMUSG00000038679.16 transcript:ENSMUST00000185183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trps1 description:trichorhinophalangeal syndrome I (human) [Source:MGI Symbol;Acc:MGI:1927616] MVRKKNPPLRNVASEGEGQTLEPTATESKVSGKNKELSADQMSENTDQSDVAELNSKEEH STHGQEPSSSGKKDLQISGLSEKAGFNYESPSKGGSLVSFPHDEVTDRNMLAFSSPAAGG VCEPLKSPQRAEADDPQDMACTPSGDSLETKEEHKMSPKATEETGPVQSGQANCQGLSPV SVASKNPQVPSDGGVRLSKPKGDLLVNDNPDPAPLSPELQDFKCNICGYGYYGNDPTDLI KHFRKYHLGLHNRTR >ENSMUSP00000077089.5 pep:known chromosome:GRCm38:15:50660451:50890041:-1 gene:ENSMUSG00000038679.16 transcript:ENSMUST00000077935.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trps1 description:trichorhinophalangeal syndrome I (human) [Source:MGI Symbol;Acc:MGI:1927616] MVRKKNPPLRNVASEGEGQTLEPTATESKVSGKNKELSADQMSENTDQSDVAELNSKEEH STHGQEPSSSGKKDLQISGLSEKAGFNYESPSKGGSLVSFPHDEVTDRNMLAFSSPAAGG VCEPLKSPQRAEADDPQDMACTPSGDSLETKEEHKMSPKATEETGPVQSGQANCQGLSPV SVASKNPQVPSDGGVRLSKPKGDLLVNDNPDPAPLSPELQDFKCNICGYGYYGNDPTDLI KHFRKYHLGLHNRTRQDAELDSKILALHNMVQFSHSKDFQKVNRSVLSGVLQDISSSRPA LLNGTYDVQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNSSTELEQHFLQTHPN KIKVSLPSSEGVKPSEKNSNKSIPALRASDSGDVGKWQDKMTVKAGDDTPVGYSVPIKPL DSSRQNGTEATSYYWCKFCSFSCESSSSLKLLEHYGKQHGAVQSGGLNPELNDKLPRGSV INQNDLAKSVEGEPLTKPEKGLSGAKKKDFPSKGAEDNMVTSYNCQFCDFRYSKSHGPDV IVVGPLLRHYQQLHNIHKCTIKHCPFCPRGLCSPEKHLGEITYPFACRKSNCSHCALLLL HLSPGVAGSSRVKHQCHQCSFSTPDVDVLLFHYETVHESQASDVKQEANHLLGSDGQQAV RDSKEHSCTKCDFITQVEEEISRHYRRAHSCYKCRQCSFTAADTQSLLEHFNTVHCQEQE ITTANGEEGGHAIPTIKEEPKIDLKVYSLLNPDSKMGETVPESIVKREKLDDKEGLKDKI WTESSTDDLRGVAWRGADILRGSPSYTQASLGVLTPVSSSQEQTKTLRDSPNVEAAHLAR PMYGLAVDTKGFLQGAPAGSEKSASLTQQYPASGESKTKDESQSLLRRRRGSGVFCANCL TTKTSLWRKNANGGYVCNACGLYQKLHSTPRPLNIIKQNNGEQIIRRRTRKRLNPEALQA EQLNKQQRGSGEEQVNGSPLERRSEDHLSESHPREIPLPSLSKYEAQGSLTKSHSAQQPV LVSQALDIHKRMQPLHIQIKSPQESTGDPGNSSSVSDGKGSSERGSPIEKYMRPAKHPNY SPPGSPIEKYQYPLFGVPFVHNDFQSEADWLRFWSKYKLSVPGNPHYLSHVPGLPNPCQN YVPYPTFNLPPHFSAVGSDNDIPLDLAIKHSRPGPTANGASKEKTKAPPTVKNEGPLNVV KTEKVDRSTQDELSTKCVHCGIVFLDEVMYALHMSCHGDSGPFQCSICQHLCTDKYDFTT HIQRGLHRNNAQAEKNGKPKE >ENSMUSP00000022597.7 pep:known chromosome:GRCm38:14:79334507:79390778:-1 gene:ENSMUSG00000022020.14 transcript:ENSMUST00000022597.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa16 description:N(alpha)-acetyltransferase 16, NatA auxiliary subunit [Source:MGI Symbol;Acc:MGI:1914147] MPCVQLPAKESALFKRVLKCYEQKQYKNGLKFCKMILSNPKFAEHGETLAMKGLILNCLG KREEAYEFVRKGLRSDVRSHVCWHVYGLLQRSDKKYDEAIKCYRNALKLDKDNLQILRDL SLLQIQMRDLEGYRETRYQLLQLRPTQRASWIGYAIAYHLLKDYDTALKLLEEFRQTQQV PPNKIAYEYSELLLYQNQVMREANLFQESLEHIETYEKLICDKLLVEEIKGEMLLKLGRL KEASEVFRNLIDWNAENWCYYEGLEKALQLRSLDERLQLYEEVSKQHPRAVSPRRLPLSF APGKKFRELMDKFLRPNFSKGCPPLFTTLKSLYCDTEKVSIIQELVTNYEASLKMNGYFS PYENGEKEPPTTLIWVQYFLAQHYDKLGQYFLALEYINAVIASTPTLIELFYMKAKIYKH MGNLKEAAQWMDEAQSLDTADRFINSKCAKYMLRANMIKEAEEMCSRFTREGTSAMENLN EMQCMWFETECISAYQRLGRYGDALKKCHEVERHFLEITDDQFDFHTYCMRKMTLRAYVG LLRLEDALRRHTFYFKAARSAIEIYLKLHDNPLTNDSKQQDIDSENLSAKEMKKMLSKQR RAQKKAKVEEERKHTERERQQKNQKKKREEEEEVTSGHKEELIPEKLERVDNPLEEAIKF LTPLKTLAAESIDTHLLAFEIYFRKGKFLLMLQSVKRAFAIESNNPWLHECLIKFSKSVS NHSNLPDIVSKVLAQEMKKIFVNKDLHSFNEDFLRHNATSLQHLLAGAKMMYFLDKSRQE KAIATATRLDETIKNKNVKTLIKVSEALLDGSFGNCSSQYEEYRKTCHSLLPLTPAFLPA AREALGLSAELNHTADHKLLMNEI >ENSMUSP00000131268.1 pep:known chromosome:GRCm38:14:79340977:79387106:-1 gene:ENSMUSG00000022020.14 transcript:ENSMUST00000163486.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa16 description:N(alpha)-acetyltransferase 16, NatA auxiliary subunit [Source:MGI Symbol;Acc:MGI:1914147] MILSNPKFAEHGETLAMKGLILNCLGKREEAYEFVRKGLRSDVRSHVCWHVYGLLQRSDK KYDEAIKCYRNALKLDKDNLQILRDLSLLQIQMRDLEGYRETRYQLLQLRPTQRASWIGY AIAYHLLKDYDTALKLLEEFRQTQQVPPNKIAYEYSELLLYQNQVMREANLFQESLEHIE TYEKLICDKLLVEEIKGEMLLKLGRLKEASEVFRNLIDWNAENWCYYEGLEKALQLRSLD ERLQLYEEVSKQHPRAVSPRRLPLSFAPGKKFRELMDKFLRPNFSKGCPPLFTTLKSLYC DTEKVSIIQELVTNYEASLKMNGYFSPYENGEKEPPTTLIWVQYFLAQHYDKLGQYFLAL EYINAVIASTPTLIELFYMKAKIYKHMGNLKEAAQWMDEAQSLDTADRFINSKCAKYMLR ANMIKEAEEMCSRFTREGTSAMENLNEMQCMWFETECISAYQRLGRYGDALKKCHEVERH SPSTWTTGGKEPQMHP >ENSMUSP00000127298.1 pep:known chromosome:GRCm38:14:79369146:79390692:-1 gene:ENSMUSG00000022020.14 transcript:ENSMUST00000169500.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa16 description:N(alpha)-acetyltransferase 16, NatA auxiliary subunit [Source:MGI Symbol;Acc:MGI:1914147] MPCVQLPAKESALFKRVLKCYEQKQYKNGLKFCKMILSNPKFAEHGGDEIPTSSVAPNTA CFLDWICHRLSFAERL >ENSMUSP00000099514.4 pep:known chromosome:GRCm38:11:80477023:80481184:1 gene:ENSMUSG00000048895.13 transcript:ENSMUST00000053413.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5r1 description:cyclin-dependent kinase 5, regulatory subunit 1 (p35) [Source:MGI Symbol;Acc:MGI:101764] MGTVLSLSPSYRKATLFEDGAATVGHYTAVQNSKNAKDKNLKRHSIISVLPWKRIVAVSA KKKNSKKAQPNSSYQSNIAHLNNENLKKSLSCANLSTFAQPPPAQPPAPPASQLSGSQTG VSSSVKKAPHPAITSAGTPKRVIVQASTSELLRCLGEFLCRRCYRLKHLSPTDPVLWLRS VDRSLLLQGWQDQGFITPANVVFLYMLCRDVISSEVGSDHELQAVLLTCLYLSYSYMGNE ISYPLKPFLVESCKEAFWDRCLSVINLMSSKMLQINADPHYFTQVFSDLKNESGQEDKKR LLLGLDR >ENSMUSP00000120964.1 pep:known chromosome:GRCm38:11:80477056:80477923:1 gene:ENSMUSG00000048895.13 transcript:ENSMUST00000147694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5r1 description:cyclin-dependent kinase 5, regulatory subunit 1 (p35) [Source:MGI Symbol;Acc:MGI:101764] MGTVLSLSPSYRKATLFEDGAATVGHYTAVQNSKNAKDKNLKRHSIISVLPWKRIVAVSA KKKNSKKAQPNSSYQSNIAHLNNENLKKSLSCANLSTFAQPPPAQPPAPPASQLSGSQTG VSSSVKKAPHPAITSAGT >ENSMUSP00000080538.6 pep:known chromosome:GRCm38:14:54941981:54966641:-1 gene:ENSMUSG00000040752.15 transcript:ENSMUST00000081857.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh6 description:myosin, heavy polypeptide 6, cardiac muscle, alpha [Source:MGI Symbol;Acc:MGI:97255] MTDAQMADFGAAAQYLRKSEKERLEAQTRPFDIRTECFVPDDKEEYVKAKVVSREGGKVT AETENGKTVTIKEDQVMQQNPPKFDKIEDMAMLTFLHEPAVLYNLKERYAAWMIYTYSGL FCVTVNPYKWLPVYNAEVVAAYRGKKRSEAPPHIFSISDNAYQYMLTDRENQSILITGES GAGKTVNTKRVIQYFASIAAIGDRSKKENPNANKGTLEDQIIQANPALEAFGNAKTVRND NSSRFGKFIRIHFGATGKLASADIETYLLEKSRVIFQLKAERNYHIFYQILSNKKPELLD MLLVTNNPYDYAFVSQGEVSVASIDDSEELLATDSAFDVLSFTAEEKAGVYKLTGAIMHY GNMKFKQKQREEQAEPDGTEDADKSAYLMGLNSADLLKGLCHPRVKVGNEYVTKGQSVQQ VYYSIGALAKSVYEKMFNWMVTRINATLETKQPRQYFIGVLDIAGFEIFDFNSFEQLCIN FTNEKLQQFFNHHMFVLEQEEYKKEGIEWEFIDFGMDLQACIDLIEKPMGIMSILEEECM FPKASDMTFKAKLYDNHLGKSNNFQKPRNVKGKQEAHFSLVHYAGTVDYNIMGWLEKNKD PLNETVVGLYQKSSLKLMATLFSTYASADTGDSGKGKGGKKKGSSFQTVSALHRENLNKL MTNLKTTHPHFVRCIIPNERKAPGVMDNPLVMHQLRCNGVLEGIRICRKGFPNRILYGDF RQRYRILNPAAIPEGQFIDSRKGAEKLLGSLDIDHNQYKFGHTKVFFKAGLLGLLEEMRD ERLSRIITRIQAQARGQLMRIEFKKIVERRDALLVIQWNIRAFMGVKNWPWMKLYFKIKP LLKSAETEKEMANMKEEFGRVKDALEKSEARRKELEEKMVSLLQEKNDLQLQVQAEQDNL NDAEERCDQLIKNKIQLEAKVKEMTERLEDEEEMNAELTAKKRKLEDECSELKKDIDDLE LTLAKVEKEKHATENKVKNLTEEMAGLDEIIAKLTKEKKALQEAHQQALDDLQAEEDKVN TLTKSKVKLEQQVDDLEGSLEQEKKVRMDLERAKRKLEGDLKLTQESIMDLENDKLQLEE KLKKKEFDISQQNSKIEDEQALALQLQKKLKENQARIEELEEELEAERTARAKVEKLRSD LSRELEEISERLEEAGGATSVQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHAD SVAELGEQIDNLQRVKQKLEKEKSEFKLELDDVTSNMEQIIKAKANLEKVSRTLEDQANE YRVKLEEAQRSLNDFTTQRAKLQTENGELARQLEEKEALISQLTRGKLSYTQQMEDLKRQ LEEEGKAKNALAHALQSSRHDCDLLREQYEEEMEAKAELQRVLSKANSEVAQWRTKYETD AIQRTEELEEAKKKLAQRLQDAEEAVEAVNAKCSSLEKTKHRLQNEIEDLMVDVERSNAA AAALDKKQRNFDKILAEWKQKYEESQSELESSQKEARSLSTELFKLKNAYEESLEHLETF KRENKNLQEEISDLTEQLGEGGKNVHELEKIRKQLEVEKLELQSALEEAEASLEHEEGKI LRAQLEFNQIKAEIERKLAEKDEEMEQAKRNHLRMVDSLQTSLDAETRSRNEALRVKKKM EGDLNEMEIQLSQANRIASEAQKHLKNSQAHLKDTQLQLDDAVHANDDLKENIAIVERRN NLLQAELEELRAVVEQTERSRKLAEQELIETSERVQLLHSQNTSLINQKKKMESDLTQLQ TEVEEAVQECRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTIKDLQHRLD EAEQIALKGGKKQLQKLEARVRELENELEAEQKRNAESVKGMRKSERRIKELTYQTEEDK KNLMRLQDLVDKLQLKVKAYKRQAEEAEEQANTNLSKFRKVQHELDEAEERADIAESQVN KLRAKSRDIGAKKMHDEE >ENSMUSP00000115615.1 pep:known chromosome:GRCm38:14:54963958:54966574:-1 gene:ENSMUSG00000040752.15 transcript:ENSMUST00000124930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh6 description:myosin, heavy polypeptide 6, cardiac muscle, alpha [Source:MGI Symbol;Acc:MGI:97255] MTDAQMADFGAAAQYLRKSEKERLEAQTRPFDIRTECFVPDDKEEYVKAKVVSREGGKVT AETENGKTVTIKEDQVMQQNPPKFDKIEDMAMLT >ENSMUSP00000116370.1 pep:known chromosome:GRCm38:14:54963958:54966605:-1 gene:ENSMUSG00000040752.15 transcript:ENSMUST00000134256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh6 description:myosin, heavy polypeptide 6, cardiac muscle, alpha [Source:MGI Symbol;Acc:MGI:97255] MTDAQMADFGAAAQYLRKSEKERLEAQTRPFDIRTECFVPDDKEEYVKAKVVSREGGKVT AETENGKTVTIKEDQVMQQNPPKFDKIEDMAMLT >ENSMUSP00000107083.1 pep:known chromosome:GRCm38:14:54965270:54966927:-1 gene:ENSMUSG00000040752.15 transcript:ENSMUST00000111456.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh6 description:myosin, heavy polypeptide 6, cardiac muscle, alpha [Source:MGI Symbol;Acc:MGI:97255] MTDAQMADFGAAAQYLRKSEKERLEAQTRPFDIRTECFVPDDKEEYVKAKVVSREGGKVT AET >ENSMUSP00000047737.7 pep:known chromosome:GRCm38:3:90514435:90524581:1 gene:ENSMUSG00000042312.9 transcript:ENSMUST00000048138.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a13 description:S100 calcium binding protein A13 [Source:MGI Symbol;Acc:MGI:109581] MASDSRGGPLSGGGNLTLQTWEWLSPCLVLINFSLRAPDRLAASHLLSRSICVDISSCFG ILMAAETLTELEAAIETVVSTFFTFAGREGRKGSLNINEFKELATQQLPHLLKDVGSLDE KMKTLDVNQDSELRFSEYWRLIGELAKEVRKEKALGIRKK >ENSMUSP00000047281.6 pep:known chromosome:GRCm38:15:93239742:93275161:-1 gene:ENSMUSG00000036197.15 transcript:ENSMUST00000049484.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gxylt1 description:glucoside xylosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2684933] MRRYLRVVGLCLACGFCSLLYAFSQLAVSLEEGAAGGRRPQAAVVSWLADGGRGTGRGAG SAGPGRTGRYDMKTRPDEKMHLAVVACGERLEETVTMLKSALIFSIKPLHVHIFAEDQLH DSFKDRLASWSFLRRFDYSLYPITFPGDSAADWKKLFKPCASQRLFLPLILKEVDSLLYV DTDILFLRPVDDIWSLLKKFNSTQIAAMAPEHEEPRIGWYNRFARHPYYGRTGVNSGVML MNMTRMRRKYFKNDMTTARLQWGDILMPLLKKYKLNITWGDQDLLNIVFSHNPESLFVFP CQWNYRPDHCIYGSNCREAEEEGVFILHGNRGVYHDDKQPAFRAVYEALRNCWCLTASAV SLRGFRGWSGTD >ENSMUSP00000081947.3 pep:known chromosome:GRCm38:15:93244455:93275151:-1 gene:ENSMUSG00000036197.15 transcript:ENSMUST00000057896.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gxylt1 description:glucoside xylosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2684933] MRRYLRVVGLCLACGFCSLLYAFSQLAVSLEEGAAGGRRPQAAVVSWLADGGRGTGRGAG SAGPGRTGRCKEFSLSYWNPYWMLPSDVCGMNCFWEAAFRYDMKTRPDEKMHLAVVACGE RLEETVTMLKSALIFSIKPLHVHIFAEDQLHDSFKDRLASWSFLRRFDYSLYPITFPGDS AADWKKLFKPCASQRLFLPLILKEVDSLLYVDTDILFLRPVDDIWSLLKKFNSTQIAAMA PEHEEPRIGWYNRFARHPYYGRTGVNSGVMLMNMTRMRRKYFKNDMTTARLQWGDILMPL LKKYKLNITWGDQDLLNIVFSHNPESLFVFPCQWNYRPDHCIYGSNCREAEEEGVFILHG NRGVYHDDKQPAFRAVYEALRNCSLEDDSVRSLLKPLELELQKTVHTYCGKTYKIFIKQL TKSIRNRYDTPPKER >ENSMUSP00000129373.2 pep:known chromosome:GRCm38:X:13071498:13123449:1 gene:ENSMUSG00000031010.17 transcript:ENSMUST00000169594.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp9x description:ubiquitin specific peptidase 9, X chromosome [Source:MGI Symbol;Acc:MGI:894681] MTATTRGSPVGGNDNQGQAPDGQSQPPLQQNQTSSPDSSNENSPATPPDEQGQGDAPPQI EDEEPAFPHTDLAKLDDMINRPRWVVPVLPKGELEVLLEAAIDLSKKGLDVKSEACQRFF RDGLTISFTKILTDEAVSGWKFEIHRCIINNTHRLVELCVAKLAQDWFPLLELLAMALNP HCKFHIYNGTRPCESVSSSVQLPEDELFARSPDPRSPKGWLVDLLNKFGTLNGFQILHDR FINGSALNVQIIAALIKPFGQCYEFLTLHTVKKYFLPIIEMVPQFLENLTDEELKKEAKN EAKNDALSMIIKSLKNLASRVPGQEETVKNLEIFRLKMILRLLQISSFNGKMNALNEVNK VISSVSYYTHRHGSSEDEEWLTAERMAEWIQQNNILSIVLRDSLHQPQYVEKLEKILRFV IKEKALTLQDLDNIWAAQAGKHEAIVKNVHDLLAKLAWDFSPEQLDHLFDCFKASWTNAS KKQREKLLELIRRLAEDDKDGVMAHKVLNLLWNLAHSDDVPVDIMDLALSAHIKILDYSC SQDRDTQKIQWIDRFIEELRTNDKWVIPALKQIREICSLFGEAPQNLSQSQRSPHVFYRH DLINQLQHNHALVTLVAENLATYMESMRMYGRDNEDYDPQTVRLGSRYSHVQEVQERLNF LRFLLKDGQLWLCAPQAKQIWKCLAENAVYLCDREACFKWYSKLMGDEPDLDPDINKDFF ESNVLQLDPSLLTENGMKCFERFFKAVNCREGKLVA >ENSMUSP00000086716.4 pep:known chromosome:GRCm38:X:13071514:13173328:1 gene:ENSMUSG00000031010.17 transcript:ENSMUST00000089302.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp9x description:ubiquitin specific peptidase 9, X chromosome [Source:MGI Symbol;Acc:MGI:894681] MTATTRGSPVGGNDNQGQAPDGQSQPPLQQNQTSSPDSSNENSPATPPDEQGQGDAPPQI EDEEPAFPHTDLAKLDDMINRPRWVVPVLPKGELEVLLEAAIDLSKKGLDVKSEACQRFF RDGLTISFTKILTDEAVSGWKFEIHRCIINNTHRLVELCVAKLAQDWFPLLELLAMALNP HCKFHIYNGTRPCESVSSSVQLPEDELFARSPDPRSPKGWLVDLLNKFGTLNGFQILHDR FINGSALNVQIIAALIKPFGQCYEFLTLHTVKKYFLPIIEMVPQFLENLTDEELKKEAKN EAKNDALSMIIKSLKNLASRVPGQEETVKNLEIFRLKMILRLLQISSFNGKMNALNEVNK VISSVSYYTHRHGSSEDEEWLTAERMAEWIQQNNILSIVLRDSLHQPQYVEKLEKILRFV IKEKALTLQDLDNIWAAQAGKHEAIVKNVHDLLAKLAWDFSPEQLDHLFDCFKASWTNAS KKQREKLLELIRRLAEDDKDGVMAHKVLNLLWNLAHSDDVPVDIMDLALSAHIKILDYSC SQDRDTQKIQWIDRFIEELRTNDKWVIPALKQIREICSLFGEAPQNLSQSQRSPHVFYRH DLINQLQHNHALVTLVAENLATYMESMRMYGRDNEDYDPQTVRLGSRYSHVQEVQERLNF LRFLLKDGQLWLCAPQAKQIWKCLAENAVYLCDREACFKWYSKLMGDEPDLDPDINKDFF ESNVLQLDPSLLTENGMKCFERFFKAVNCREGKLVAKRRAYMMDDLELIGLDYLWRVVIQ SNDDIACRAIDLLKEIYTNLGPRLQVNQVVIHEDFIQSCFDRLKASYDTLCVLDGDKDSI NCARQEAVRMVRVLTVLREYINECDSDYHEERTILPMSRAFRGKHLSFIVRFPNQGRQVD DLEVWSHTNDTIGSVRRCILNRIKANVAHTKIELFVGGELIDPGDDRKLIGQLNLKDKSL ITAKLTQISSNMPSSPDSSSDSSTGSPGNHGNHYSDGPNPEVESCLPGVIMSLHPRYISF LWQVADLGSSLNMPPLRDGARVLMKLMPPDSTTIEKLRAICLDHAKLGESSLSPSLDSLF FGPSASQVLYLTEVVYALLMPAGAPLTDDSSDFQFHFLKSGGLPLVLSMLTRNNFLPNAD METRRGAYLNALKIAKLLLTAIGYGHVRAVAEACQPGVEGVNPMTSVNQVTHDQAVVLQS ALQSIPNPSSECMLRNVSVRLAQQISDEASRYMPDICVIRAIQKIIWTSGCGGLQLVFSP NEEVTKIYEKTNAGNEPDLEDEQVCCEALEVMTLCFALIPTALDALSKEKAWQTFIIDLL LHCHSKTVRQVAQEQFFLMCTRCCMGHRPLLFFITLLFTVLGSTARERAKHSGDYFTLLR HLLNYAYNSNINVPNAEVLLNNEIDWLKRIRDDVKRTGETGVEETILEGHLGVTKELLAF QTPEKKFHIGCEKGGANLIKELIDDFIFPASNVYLQYMRNGELPAEQAIPVCGSPATINA GFELLVALAVGCVRNLKQIVDSLTEMYYIGTAITTCEALTEWEYLPPVGPRPPKGFVGLK NAGATCYMNSVIQQLYMIPSIRNGILAIEGTGSDVDDDMSGDEKQDNESNVDPRDDVFGY PQQFEDKPPLSKTEDRKEYNIGVLRHLQVIFGHLAASRLQYYVPRGFWKQFRLWGEPVNL REQHDALEFFNSLVDSLDEALKALGHPAMLSKVLGGSFADQKICQGCPHRYECEESFTTL NVDIRNHQNLLDSLEQYVKGDLLEGANAYHCEKCNKKVDTVKRLLIKKLPPVLAIQLKRF DYDWERECAIKFNDYFEFPRELDMEPYTVAGVAKLEGDNVNPESQLIQQNEQSESEKAGS TKYRLVGVLVHSGQASGGHYYSYIIQRNGGDGEKNRWYKFDDGDVTECKMDDDEEMKNQC FGGEYMGEVFDHMMKRMSYRRQKRWWNAYILFYERMDTIGHDDEVIRYISEIAITTRPHQ IVMPSAIERSVRKQNVQFMHNRMQYSLEYFQFMKKLLTCNGVYLNPPPGQDHLSPEAEEI TMISIQLAARFLFTTGFHTKKIVRGSASDWYDALCILLRHSKNVRFWFAHNVLFNVSNRF SEYLLECPSAEVRGAFAKLIVFIAHFSLQDGPCPSPFASPGPSSQAYDNLSLSDHLLRAV LNLLRREVSEHGRHLQQYFNLFVMYANLGVAEKTQLLKLSVPATFMLVSLDEGPGPPIKY QYAELGKLYSVVSQLIRCCNVSSRMQSSINGNPSLPNPFGDPNLSQPIMPIQQNVVDILF VRTSYVKKIIEDCSNSDETVKLLRFCCWENPQFSSTVLSELLWQVAYSYTYELRPYLDLL LQILLIEDSWQTHRIHNALKGIPDDRDGLFDTIQRSKNHYQKRAYQCIKCMVALFSSCPV AYQILQGNGDLKRKWTWAVEWLGDELERRPYTGNPQYTYNNWSPPVQSNETSNGYFLERS HSARMTLAKACELCPEEEPDDQDAPDEHESPPPEDAPLYPHSPGSQYQQNNHVHGQPYTG PAAHHMNNPQRTGQRAQENYEGGEEVSPPQTKDQ >ENSMUSP00000133948.1 pep:known chromosome:GRCm38:X:13113684:13123233:1 gene:ENSMUSG00000031010.17 transcript:ENSMUST00000149021.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp9x description:ubiquitin specific peptidase 9, X chromosome [Source:MGI Symbol;Acc:MGI:894681] XHSDDVPVDIMDLALSAHIKILDYSCSQDRDTQKIQWIDRFIEELRTNDKWVIPALKQIR EICSLFGEAPQNLSSSRFSQSQRSPHVFYRHDLINQLQHNHALVTLVAENLATYMESMRM YGRDNEDYDPQTVRLGSRYSHVQEVQERLNFLRFLLKDGQLWLCAPQAKQIWKC >ENSMUSP00000134612.1 pep:known chromosome:GRCm38:X:13160857:13169898:1 gene:ENSMUSG00000031010.17 transcript:ENSMUST00000133997.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp9x description:ubiquitin specific peptidase 9, X chromosome [Source:MGI Symbol;Acc:MGI:894681] GKLYSVVSQLIRCCNVSSRMQSSINGNPSLPNPFGDPNLSQPIMPIQQNVVDILFVRTSY VKKIIEDCSNSDETVKLLRFCCWENPQFSSTVLSELLWQVAYSYTYELRPYLDLLLQILL IEDSWQTHRIHNALKGIPDDRDGLFDTIQRSKNHYQKRAYQCIKCMVALFSSCPVAYQIL QGNGDLKRKWTWAVEWLGDELERRPYTGNPQYTYNNWSPPVQSNETSNGYFLERSHSARM TLAKACELCPEEVKKATSLQEIEMEESKEPDDQDAPDEHESPPPEDAPLYPHSPGSQYQQ NNHVHGQPYTGPAAHHMNNPQRTGQRAQENYEGGEEVSPPQTKDQ >ENSMUSP00000029515.4 pep:known chromosome:GRCm38:3:93520488:93526287:1 gene:ENSMUSG00000027907.4 transcript:ENSMUST00000029515.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a11 description:S100 calcium binding protein A11 [Source:MGI Symbol;Acc:MGI:1338798] MPTETERCIESLIAVFQKYSGKDGNNTQLSKTEFLSFMNTELAAFTKNQKDPGVLDRMMK KLDLNCDGQLDFQEFLNLIGGLAIACHDSFIQTSQKRI >ENSMUSP00000141406.1 pep:known chromosome:GRCm38:2:13850282:14056036:-1 gene:ENSMUSG00000063275.15 transcript:ENSMUST00000131730.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hacd1 description:3-hydroxyacyl-CoA dehydratase 1 [Source:MGI Symbol;Acc:MGI:1353592] MASSEEDGTNGASEASDEKEAAGKRRRLGLLATAWLTFYNIAMTAGWLVLAIAMVRFYME KGTHRGLYKSIQKTLKFFQTFALLEVVHCLIGIVPTSVLVTGVQVSSRIFMVWLITHSIK PIQFVYHLISRWSCWGTSHNIRRLALRKEVRNVLSTASQQVQCFF >ENSMUSP00000110401.1 pep:known chromosome:GRCm38:2:14026831:14056020:-1 gene:ENSMUSG00000063275.15 transcript:ENSMUST00000114753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacd1 description:3-hydroxyacyl-CoA dehydratase 1 [Source:MGI Symbol;Acc:MGI:1353592] MASSEEDGTNGASEASDEKEAAGKRRRLGLLATAWLTFYNIAMTAGWLVLAIAMVRFYME KGTHRGLYKSIQKTLKFFQTFALLEVVHCLIGIVPTSVLVTGVQVSSRIFMVWLITHSIK PIQNEESVVLFLVSWTVTEITRYSFYTFSLLDHLPHFIKWARYNLFIILYPVGVAGELLT IYAALPYVKKSGMFSVRLPNKYNVSFDYYYFLLITMASYIPLFPQLYFHMLRQRRKVLHG EVIAEKDD >ENSMUSP00000088998.5 pep:known chromosome:GRCm38:2:14026831:14056020:-1 gene:ENSMUSG00000063275.15 transcript:ENSMUST00000091429.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacd1 description:3-hydroxyacyl-CoA dehydratase 1 [Source:MGI Symbol;Acc:MGI:1353592] MASSEEDGTNGASEASDEKEAAGKRRRLGLLATAWLTFYNIAMTAGWLVLAIAMVRFYME KGTHRGLYKSIQKTLKFFQTFALLEVVHCLIGIVPTSVLVTGVQVSSRIFMVWLITHSIK PIQFVYHLISRWSCWGTSHNIRRLALRKEVRNVLSTASQQVQCFF >ENSMUSP00000074397.7 pep:known chromosome:GRCm38:2:14026902:14056135:-1 gene:ENSMUSG00000063275.15 transcript:ENSMUST00000074854.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hacd1 description:3-hydroxyacyl-CoA dehydratase 1 [Source:MGI Symbol;Acc:MGI:1353592] MASSEEDGTNGASEASDEKEAAGKRRRLGLLATAWLTFYNIAMTAGWLVLAIAMVRFYME KGTHRGLYKSIQKTLKFFQTFALLEVVHCLIGIVPTSVLVTGVQVSSRIFMVWLITHSIK PIQNEESVVLFLVSWTVTEITRYSFYTFSLLDHLPHFIKWARYNLFIILYPVGVAGELLT IYAALPYVKKSGMFSVRLPNKYNVSFDYYYFLLITMASYIPLFPQLYFHMLRQRRKVLHG EVIAEKDD >ENSMUSP00000077363.3 pep:known chromosome:GRCm38:13:4507159:4523344:-1 gene:ENSMUSG00000054757.12 transcript:ENSMUST00000078239.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c20 description:aldo-keto reductase family 1, member C20 [Source:MGI Symbol;Acc:MGI:2151104] MNSKQQTVLLNDGHFIPILGFGTSAPQEVPRSKATEATKIAIDAGFRHIDCAAVYQNEKE VGLAIRSKIVDGTVKREDIFCTSKVWQTFHRPELVQPGENYFPKDENGKFIYDAVDICDT WEAMEKCKDAGLAKSIGVCNFNRRQLEKILSKPGLKYKPVCNQVECHPYLNQRKLLDFCR SKDIVLVAHSALGSNRDKEWVDKSFPVLLDDPVLGSMAKKYNRTPALIALRYQVQRGVVV LAKSFIEKRIKENMQVMSCFGY >ENSMUSP00000079232.5 pep:known chromosome:GRCm38:13:4486972:4523329:-1 gene:ENSMUSG00000054757.12 transcript:ENSMUST00000080361.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c20 description:aldo-keto reductase family 1, member C20 [Source:MGI Symbol;Acc:MGI:2151104] MNSKQQTVLLNDGHFIPILGFGTSAPQEVPRSKATEATKIAIDAGFRHIDCAAVYQNEKE VGLAIRSKIVDGTVKREDIFCTSKVWQTFHRPELVQVCLEQSLKQLQLDYVDLYLIHFPI AMKPGENYFPKDENGKFIYDAVDICDTWEAMEKCKDAGLAKSIGVCNFNRRQLEKILSKP GLKYKPVCNQVECHPYLNQRKLLDFCRSKDIVLVAHSALGSNRDKEWVDKSFPVLLDDPV LGSMAKKYNRTPALIALRYQVQRGVVVLAKSFIEKRIKENMQVFEFQLTSVDMKVLDGLN KNIRYIGSSISEDHPDFPFLDEY >ENSMUSP00000131552.1 pep:known chromosome:GRCm38:3:87922601:87930127:-1 gene:ENSMUSG00000004896.16 transcript:ENSMUST00000160648.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rrnad1 description:ribosomal RNA adenine dimethylase domain containing 1 [Source:MGI Symbol;Acc:MGI:2387197] MRGVSAHGLSHEERRQLAVDLTRVLAHYRSILDAYIIEFFTDSLWGTLPHSWQEALDGLN PPQLATLLLGMPRDGEEMSPQLVPSPLPGHLAFTPHQSSWRTPARAPD >ENSMUSP00000005016.9 pep:known chromosome:GRCm38:3:87922905:87930144:-1 gene:ENSMUSG00000004896.16 transcript:ENSMUST00000005016.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrnad1 description:ribosomal RNA adenine dimethylase domain containing 1 [Source:MGI Symbol;Acc:MGI:2387197] MRGVSAHGLSHEERRQLAVDLTRVLAHYRSILDAYIIEFFTDSLWGTLPHSWQEALDGLN PPQLATLLLGMPRDGEEMRYRSVWPLTLLALKSTACALAFTRTPGFHTPSEFLENPSQSS RLTAPFRKHVKPKKQHEIRRLGELVKKLSDLTGCTQVVDVGSGQGHLSRFMSLGLGLMVK SLEGNQRLVKRAQHLDQELLKALDKMEKRHPKMVQRGPRHRPHHVVQWVSPTTLCEELLL PLERPGQSSARLLLTGLHACGDLSVALLRHFCCCPEVVALASVGCCYMKLSDPGSYPLSQ WIAGLPGHELPYRLREGACHALEDYAERLHKAEPGLQTHCFRAALETVIRQVCPELRRPG VQGIPRVHELKIEEYVKQGLKRVGLDPQLPLDLAALQAHQAQENRVVAFFSLALLLAPLV ETLILLDRMLYLQEQGFYAELLPIFSPELSPRNLVLVATKTPLGQAFSTLETEDN >ENSMUSP00000125365.1 pep:known chromosome:GRCm38:3:87923658:87928258:-1 gene:ENSMUSG00000004896.16 transcript:ENSMUST00000160074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrnad1 description:ribosomal RNA adenine dimethylase domain containing 1 [Source:MGI Symbol;Acc:MGI:2387197] MARSTGWTEPTTAGHPAAGDAEGRGGNESTACALAFTRTPGFHTPSEFLENPSQSSRLTA PFRKHVKPKKQHEIRRLGELVKKLSDLTGCTQVVDVGSGQGHLSRFMSLGLGLMVKSLEG NQRLVKRAQHLDQELLKALDKMEKRHPKMVQRGPRHRPHHVVQWVSPTTLCEELLLPLER PGQSSARLLLTGLHACGDLSVALLRHFCCCPEVVALASVGCCYMKLSDPGSYPLSQWIAG LPGHELPYRLREGACHALEDYAERLHKAEPGLQTHCFRAALETVIRQVCPELRRPGVQGI PRVHELKIEEYVKQGLKRVGLDPQLPLDLAALQAHQAQENRVVAFFSLALLLAPLVETLI LLDRMLYLQEQGFYAELLPIFSPELSPRNLVLVATKTPLGQAFSTLETEDN >ENSMUSP00000124113.1 pep:known chromosome:GRCm38:3:87923783:87930583:-1 gene:ENSMUSG00000004896.16 transcript:ENSMUST00000160143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrnad1 description:ribosomal RNA adenine dimethylase domain containing 1 [Source:MGI Symbol;Acc:MGI:2387197] MRGVSAHGLSHEERRQLAVDLTRVLAHYRSILDAYIIEFFTDSLWGTLPHSWQEALDGLN PPQLATLLLGMPRDGEEMRYRSVWPLTLLALKSTACALAFTRTPGFHTPSEFLENPSQSS RLTAPFRKHVKPKKQHEIRRLGELVKKLSDLTGCTQVVDVGSGQGHLSRFMSLGLGLMVK SLEGNQRLVKRAQHLDQELLKALDKMEKRHPKICETRVKASGSGPPAAFGSGCPPGPPGP RESCGGLLQLGPPAGPTGRDIDSTGPDALSSGARLLC >ENSMUSP00000125786.1 pep:known chromosome:GRCm38:3:87925256:87930138:-1 gene:ENSMUSG00000004896.16 transcript:ENSMUST00000164439.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rrnad1 description:ribosomal RNA adenine dimethylase domain containing 1 [Source:MGI Symbol;Acc:MGI:2387197] MARSTGWTEPTTAGHPAAGDAEGRGGNEVQVCVATHPAGPEVHSLCPRLYPDTWLSHPIR VPGEPQPELPTNSSFSETCQAQEAA >ENSMUSP00000118385.1 pep:known chromosome:GRCm38:19:33775521:33787462:-1 gene:ENSMUSG00000079342.10 transcript:ENSMUST00000148137.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5097 description:predicted gene 5097 [Source:MGI Symbol;Acc:MGI:3647308] XRFKMLWLLRTMCLIHVLGKIFCLIGPNKNPESNMNVSQIIKHWEYPSEEYEVVTDDGYI LPINRIPHGKNNANSSAPKMVVFCLPGLFSTAGVWVSNPPDNSLAFILADAGYDVWLGNN RGSTWAKKHVTLNPDSKILFSCSYDEMIKYDLPAIINFILEKTGQKQIYYAGHSQGTLIA LGAFATNQELAEKIKLSILIAPIHTVKYVKGSGRLPAYFTPTAFKVLFILTHKMCKSLGL KFGTH >ENSMUSP00000136249.1 pep:known chromosome:GRCm38:19:33776546:33787452:-1 gene:ENSMUSG00000079342.10 transcript:ENSMUST00000178735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5097 description:predicted gene 5097 [Source:MGI Symbol;Acc:MGI:3647308] MLWLLRTMCLIHVLGKIFCLIGPNKNPESNMNVSQIIKHWEYPSEEYEVVTDDGYILPIN RIPHGKNNANSSAPKMVVFCLPGLFSTAGVWVSNPPDNSLAFILADAGYDVWLGNNRGST WAKKHVTLNPDSKEFWAFSYDEMIKYDLPAIINFILEKTGQKQIYYAGHSQGTLIALGAF ATNQELAEKIKLSILIAPIHTVKYVKGSGRLPAYFTPTAFKIVFGEKEFFPTKVFSRLSQ HVCDIKLVDAGCATVLGSLTGYSPEQLNTSRVDVYITHSLAESSVQILIHYGQAIRSGVF QAYDWGSPSLNMQHYNQTTPPVYNVEDMKVPTAMFSGLKDFLSNPEDVANLVPKISNLTY HKIISDFSHLDFITGLNAREEVSEEILTILRKYDGD >ENSMUSP00000040220.7 pep:known chromosome:GRCm38:2:25998543:26021747:-1 gene:ENSMUSG00000036352.16 transcript:ENSMUST00000036509.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubac1 description:ubiquitin associated domain containing 1 [Source:MGI Symbol;Acc:MGI:1920995] MFVQEEKIFAGKVLRLHICAADGAEWLEEATEDTSVEKLKESCLKHGAHGSLEDPKNVTH HKLIHAASERVLSDSKTILEENIQDQDVLLLIKKRVPSPLPKMADVSAEEKKKQEQKAPD KDAILRATANLPACSTDRTAVQTTMRDFQTELRKILVSLIEVAQKLLALNPDAVELFKKA NAMLDEDEDERVDETALRQLTEMGFPESRASKALRLNHMSVPQAMEWLIEHSEDPAIDTP LPGHAAQAGASAAATTSSTSSEAAVGTSVEDEESRDELTEIFKKIRRKKEFRADARAVIS LMEMGFDEKEVIDALRVNNNQQNAACEWLLGDRKPSPEELDQGIDPNSPLFQAILDNPVV QLGLTNPKTLLAFEDMLENPLNSTQWMNDPETGPVMLQISRIFQTLNRT >ENSMUSP00000123115.1 pep:known chromosome:GRCm38:2:26006527:26021532:-1 gene:ENSMUSG00000036352.16 transcript:ENSMUST00000136750.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubac1 description:ubiquitin associated domain containing 1 [Source:MGI Symbol;Acc:MGI:1920995] XFVQEEKIFAGKVLRLHICAADGAEWLEEATEDTSVEKLKESCLKHKKQEQKAPDKDAIL RATANLPACSTDRTAVQTTMRDFQTELRKILVSLIEVAQKLLALNPDAVELFKKANAMLD EDEDERVDETALRQLTEMGFPESRASKALRLNHMSVPQAMEWLIEHSEDPAIDTPLPGHA AQAGASAAATTSSTSSEAAVGTSVEDEESRDELTEIFKKIRRKKEFRADARAVISLMEMG FDEKEVIDALRVNNNQQNAA >ENSMUSP00000117683.1 pep:known chromosome:GRCm38:2:26005404:26016312:-1 gene:ENSMUSG00000036352.16 transcript:ENSMUST00000146363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubac1 description:ubiquitin associated domain containing 1 [Source:MGI Symbol;Acc:MGI:1920995] XNIQDQDVLLLIKKRVPSPLPKMADVSAEEKKKQEQKAPDKDAILRATANLPACSTDRTA VQTTMRDFQTELRKILVSLIEVAQKLLALNPDAVELFKKANAAVGTSVEDEESRDELTEI FKKIRRKKEFRADARAVISLMEMGFDEKEVIDALRVNNNQQNAACEWLLGDRKPSPEELD QGID >ENSMUSP00000000542.7 pep:known chromosome:GRCm38:15:101128522:101145336:1 gene:ENSMUSG00000000530.16 transcript:ENSMUST00000000542.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvrl1 description:activin A receptor, type II-like 1 [Source:MGI Symbol;Acc:MGI:1338946] MTLGSFRRGLLMLSVAFGLTRGDLAKPSKLVNCTCESPHCKRPFCQGSWCTVVLVREQGR HPQVYRGCGSLNQELCLGRPTEFLNHHCCYRSFCNHNVSLMLEATQTPSEEPEVDAHLPL ILGPVLALPVLVALGALGLWRVRRRQEKQRDLHSDLGESSLILKASEQADSMLGDFLDSD CTTGSGSGLPFLVQRTVARQVALVECVGKGRYGEVWRGSWHGESVAVKIFSSRDEQSWFR ETEIYNTVLLRHDNILGFIASDMTSRNSSTQLWLITHYHEHGSLYDFLQRQTLEPQLALR LAVSAACGLAHLHVEIFGTQGKPAIAHRDLKSRNVLVKSNLQCCIADLGLAVMHSQSSDY LDIGNNPRVGTKRYMAPEVLDEHIRTDCFESYKWTDIWAFGLVLWEIARRTIINGIVEDY RPPFYDMVPNDPSFEDMKKVVCVDQQTPTIPNRLAADPVLSGLAQMMRECWYPNPSARLT ALRIKKTLQKLSHNPEKPKVIH >ENSMUSP00000115241.1 pep:known chromosome:GRCm38:15:101128537:101134694:1 gene:ENSMUSG00000000530.16 transcript:ENSMUST00000144229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvrl1 description:activin A receptor, type II-like 1 [Source:MGI Symbol;Acc:MGI:1338946] MTLGS >ENSMUSP00000122998.1 pep:known chromosome:GRCm38:15:101128551:101135311:1 gene:ENSMUSG00000000530.16 transcript:ENSMUST00000130432.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvrl1 description:activin A receptor, type II-like 1 [Source:MGI Symbol;Acc:MGI:1338946] MTLGSFRRGLLMLSVAFGLTRGDLAK >ENSMUSP00000113297.1 pep:known chromosome:GRCm38:15:101128551:101143639:1 gene:ENSMUSG00000000530.16 transcript:ENSMUST00000120028.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvrl1 description:activin A receptor, type II-like 1 [Source:MGI Symbol;Acc:MGI:1338946] MTLGSFRRGLLMLSVAFGLTRGDLAKPSKLVNCTCESPHCKRPFCQGSWCTVVLVREQGR HPQVYRGCGSLNQELCLGRPTEFLNHHCCYRSFCNHNVSLMLEATQTPSEEPEVDAHLPL ILGPVLALPVLVALGALGLWRVRRRQEKQRDLHSDLGESSLILKASEQADSMLGDFLDSD CTTGSGSGLPFLVQRTVARQVALVECVGKGRYGEVWRGSWHGESVAVKIFSSRDEQSWFR ETEIYNTVLLRHDNILGFIASDMTSRNSSTQLWLITHYHEHGSLYDFLQRQTLEPQLALR LAVSAACGLAHLHVEIFGTQGKPAIAHRDLKSRNVLVKSNLQCCIADLGLAVMHSQSSDY LDIGNNPRVGTKRYMAPEVLDEHIRTDCFESYKWTDIWAFGLVLWEIARRTIINGIVEDY RPPFYDMVPNDPSFEDMKKVVCVDQQTPTIPNRLAADPVLSGLAQMMRECWYPNPSARLT ALRIKKTLQKLSHNPEKPKVIH >ENSMUSP00000113505.1 pep:known chromosome:GRCm38:15:101128574:101143638:1 gene:ENSMUSG00000000530.16 transcript:ENSMUST00000117984.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvrl1 description:activin A receptor, type II-like 1 [Source:MGI Symbol;Acc:MGI:1338946] MTLGSFRRGLLMLSVAFGLTRGDLAKPSKLVNCTCESPHCKRPFCQGSWCTVVLVREQGR HPQVYRGCGSLNQELCLGRPTEFLNHHCCYRSFCNHNVSLMLEATQTPSEEPEVDAHLPL ILGPVLALPVLVALGALGLWRVRRRQEKQRDLHSDLGESSLILKASEQADSMLGDFLDSD CTTGSGSGLPFLVQRTVARQVALVECVGKGRYGEVWRGSWHGESVAVKIFSSRDEQSWFR ETEIYNTVLLRHDNILGFIASDMTSRNSSTQLWLITHYHEHGSLYDFLQRQTLEPQLALR LAVSAACGLAHLHVEIFGTQGKPAIAHRDLKSRNVLVKSNLQCCIADLGLAVMHSQSSDY LDIGNNPRVGTKRYMAPEVLDEHIRTDCFESYKWTDIWAFGLVLWEIARRTIINGIVEDY RPPFYDMVPNDPSFEDMKKVVCVDQQTPTIPNRLAADPVLSGLAQMMRECWYPNPSARLT ALRIKKTLQKLSHNPEKPKVIH >ENSMUSP00000113536.1 pep:known chromosome:GRCm38:15:101128922:101143640:1 gene:ENSMUSG00000000530.16 transcript:ENSMUST00000119063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvrl1 description:activin A receptor, type II-like 1 [Source:MGI Symbol;Acc:MGI:1338946] MTLGSFRRGLLMLSVAFGLTRGDLAKPSKLVNCTCESPHCKRPFCQGSWCTVVLVREQGR HPQVYRGCGSLNQELCLGRPTEFLNHHCCYRSFCNHNVSLMLEATQTPSEEPEVDAHLPL ILGPVLALPVLVALGALGLWRVRRRQEKQRDLHSDLGESSLILKASEQADSMLGDFLDSD CTTGSGSGLPFLVQRTVARQVALVECVGKGRYGEVWRGSWHGESVAVKIFSSRDEQSWFR ETEIYNTVLLRHDNILGFIASDMTSRNSSTQLWLITHYHEHGSLYDFLQRQTLEPQLALR LAVSAACGLAHLHVEIFGTQGKPAIAHRDLKSRNVLVKSNLQCCIADLGLAVMHSQSSDY LDIGNNPRVGTKRYMAPEVLDEHIRTDCFESYKWTDIWAFGLVLWEIARRTIINGIVEDY RPPFYDMVPNDPSFEDMKKVVCVDQQTPTIPNRLAADPVLSGLAQMMRECWYPNPSARLT ALRIKKTLQKLSHNPEKPKVIH >ENSMUSP00000114027.1 pep:known chromosome:GRCm38:15:101128924:101143638:1 gene:ENSMUSG00000000530.16 transcript:ENSMUST00000121718.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvrl1 description:activin A receptor, type II-like 1 [Source:MGI Symbol;Acc:MGI:1338946] MTLGSFRRGLLMLSVAFGLTRGDLAKPSKLVNCTCESPHCKRPFCQGSWCTVVLVREQGR HPQVYRGCGSLNQELCLGRPTEFLNHHCCYRSFCNHNVSLMLEATQTPSEEPEVDAHLPL ILGPVLALPVLVALGALGLWRVRRRQEKQRDLHSDLGESSLILKASEQADSMLGDFLDSD CTTGSGSGLPFLVQRTVARQVALVECVGKGRYGEVWRGSWHGESVAVKIFSSRDEQSWFR ETEIYNTVLLRHDNILGFIASDMTSRNSSTQLWLITHYHEHGSLYDFLQRQTLEPQLALR LAVSAACGLAHLHVEIFGTQGKPAIAHRDLKSRNVLVKSNLQCCIADLGLAVMHSQSSDY LDIGNNPRVGTKRYMAPEVLDEHIRTDCFESYKWTDIWAFGLVLWEIARRTIINGIVEDY RPPFYDMVPNDPSFEDMKKVVCVDQQTPTIPNRLAADPVLSGLAQMMRECWYPNPSARLT ALRIKKTLQKLSHNPEKPKVIH >ENSMUSP00000114829.1 pep:known chromosome:GRCm38:15:101133415:101135462:1 gene:ENSMUSG00000000530.16 transcript:ENSMUST00000124151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvrl1 description:activin A receptor, type II-like 1 [Source:MGI Symbol;Acc:MGI:1338946] MTLGSFRRGLLMLSVAFGLTRGDLAKPSKLVNCTCESPHCKRPFCQGSWCTVVLVREQGR HPQVYRGCGSLNQELC >ENSMUSP00000112490.1 pep:known chromosome:GRCm38:15:101134133:101145336:1 gene:ENSMUSG00000000530.16 transcript:ENSMUST00000120754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvrl1 description:activin A receptor, type II-like 1 [Source:MGI Symbol;Acc:MGI:1338946] MTLGSFRRGLLMLSVAFGLTRGDLAKPSKLVNCTCESPHCKRPFCQGSWCTVVLVREQGR HPQVYRGCGSLNQELCLGRPTEFLNHHCCYRSFCNHNVSLMLEATQTPSEEPEVDAHLPL ILGPVLALPVLVALGALGLWRVRRRQEKQRDLHSDLGESSLILKASEQADSMLGDFLDSD CTTGSGSGLPFLVQRTVARQVALVECVGKGRYGEVWRGSWHGESVAVKIFSSRDEQSWFR ETEIYNTVLLRHDNILGFIASDMTSRNSSTQLWLITHYHEHGSLYDFLQRQTLEPQLALR LAVSAACGLAHLHVEIFGTQGKPAIAHRDLKSRNVLVKSNLQCCIADLGLAVMHSQSSDY LDIGNNPRVGTKRYMAPEVLDEHIRTDCFESYKWTDIWAFGLVLWEIARRTIINGIVEDY RPPFYDMVPNDPSFEDMKKVVCVDQQTPTIPNRLAADPVLSGLAQMMRECWYPNPSARLT ALRIKKTLQKLSHNPEKPKVIH >ENSMUSP00000139604.1 pep:known chromosome:GRCm38:Y:10533609:10536042:-1 gene:ENSMUSG00000095950.2 transcript:ENSMUST00000187245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20737 description:predicted gene, 20737 [Source:MGI Symbol;Acc:MGI:5434093] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000136272.1 pep:known chromosome:GRCm38:Y:10533730:10534428:-1 gene:ENSMUSG00000095950.2 transcript:ENSMUST00000179665.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20737 description:predicted gene, 20737 [Source:MGI Symbol;Acc:MGI:5434093] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000050036.5 pep:known chromosome:GRCm38:11:17051818:17079371:1 gene:ENSMUSG00000044629.5 transcript:ENSMUST00000058159.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnrip1 description:cannabinoid receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917505] MGDLPGLVRLSIALRIQPNDGPVFFKVDGQRFGQNRTIKLLTGSSYKVEVKIKPTTLQVE NISIGGVLVPLELKGKEPDGERVVYTGIYDTEGVAPTKSGERQPIQITMPFTDIGTFETV WQVKFYNYHKRDHCQWGSPFSVIEYECKPNETRSLMWVNKESFL >ENSMUSP00000122150.1 pep:known chromosome:GRCm38:11:17051586:17055004:1 gene:ENSMUSG00000044629.5 transcript:ENSMUST00000131515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnrip1 description:cannabinoid receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917505] MGDLPGLVRLSIALRIQPNDGPVFFKVDGQRFGQNRTIKLLTGSSYKVEVKIKPTTLQVE NISIGGVLVPLELKGKEPDGERVVYTGIYDTEGVAPTKSGERQPIQITMPVSPSCGCGDM ASEQRNCRGRNHYFFTNKERCAGASFYGNRVQFPSWTCEKRERVIFTDAFFFHERLTFKK IKIK >ENSMUSP00000098429.2 pep:known chromosome:GRCm38:11:74619605:74641516:1 gene:ENSMUSG00000069814.6 transcript:ENSMUST00000100866.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E130309D14Rik description:RIKEN cDNA E130309D14 gene [Source:MGI Symbol;Acc:MGI:3588240] MSAASAGEELFQRPSEARAGPGGSLGSAGGAQAWVAMDTVSLEHQIQSVQRHISFLKKEQ MALLRDLHLEILRLQKRCSELTHDLEMREVQSHQQEEASRELEDKCRALESQLEARAAAN EELRREVAQREALVSALRCSLRSEERRFLEELRRRSHRATVLGTELQKHTEAAAYLSCQL HAARQRLQGPRSGAAAAEPRVRRRAQRARRPPEAAAKGPSRDWATWEDADPMPDPALFLY PRRPPRPGARGPRPLLRQEMPDQDAPHPVPHQEPLYQGDPHPGPSESAVATPSAPGDPE >ENSMUSP00000018841.2 pep:known chromosome:GRCm38:11:84422855:84513522:-1 gene:ENSMUSG00000018697.14 transcript:ENSMUST00000018841.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aatf description:apoptosis antagonizing transcription factor [Source:MGI Symbol;Acc:MGI:1929608] MAAPQPLALQLEQLLNPRPREADPEADPEEATRARVIDRFDEGEEEKDDLAVSSIRKLAP VSLLDTDKRYSGKTTSRKAWKEDHWEQALPSSSDNEASDEGGSEDGDSEGLGLEEISEDV DEDLEDNKISDEGGSEDGDSEGLGLEEFSEDVEEDLEGEDEEDREEDRNSEDDGVVAAFS SVKVSEEVEKGRAVKNQIALWDQLLEGRIKLQKALLTTNQLPQPDVFPVFKDKGGPEFAS ALKNSHKALKALLRSLVDLQEELLFQYPDTRHIVNGAKPNTESEEISSEDDELVGEKKKQ RKAPPKRKLEMEDYPSFMAKRFADFTIYRNHTLQKWHDKTKLASGKLGKGFGAFERSILT QIDHIMMDKERLLRRTQTKRSAYRVLGKPEPVPEPVAETLPGEPETLPQGPANAHLRDLD EEIFDDDDFYHQLLRELIERKTSSLDPNDQVAMGRQWLAIQKLRSKIRKKVDRKASKGRK LRFHVLSKLLSFMAPIDHTAMSDDARTELFRSLFGQLNPPDADRGK >ENSMUSP00000032818.6 pep:known chromosome:GRCm38:7:28716804:28738144:1 gene:ENSMUSG00000030598.15 transcript:ENSMUST00000032818.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo17 description:F-box protein 17 [Source:MGI Symbol;Acc:MGI:1354707] MGARPSRRQMTEARRLALTKLPPELLVQVLSHVPPRALVTRCRPVCRAWRDLVDGPSIWL LQLARDRSAEGRALYALAQRCPADADADADADGNRHDEFPFCALARFCLRAPFGRNLIHN SCGEQGFRGWEVEHGGNGWAVEKNLTLVPGAPSQTCFVTSFEWCSKRQLVDLVKEGVWQE LLDSGQIEICIADWWGARENCGCIYRLRVRLLDEYENEVVKFSASPNPVLQWTERSCRQV SHVFTNFGKGIRYVSFEQYGRDTRSWVGHYGALVTHSSVRVRIRLS >ENSMUSP00000103913.2 pep:known chromosome:GRCm38:7:28716832:28738138:1 gene:ENSMUSG00000030598.15 transcript:ENSMUST00000108278.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbxo17 description:F-box protein 17 [Source:MGI Symbol;Acc:MGI:1354707] MGARPSRRQMTEARRLALTKLPPELLVQVLSHVPPRALVTRCRPVCRAWRDLVDGPSIWL LQLARDRSAEGRALYALAQRCPADADADADADGNRHDEFPFCALARFCLRAPFGRNLIHN SCGEQGFRGWEVEHGGNGWAVEKNLTLVPGAPSQTCFVTSFEWCSKRQLVDLVKEGVWQE LLDSGQIEICIADWWGARENCGCIYRLRVRLLDEYENEVVKFSASPNPVLQWTERSCRQV SHVFTNFGKGIRYVSFEQYGRDTRSWVGHYGALVTHSSVRVRIRLS >ENSMUSP00000103914.2 pep:known chromosome:GRCm38:7:28716873:28738144:1 gene:ENSMUSG00000030598.15 transcript:ENSMUST00000108279.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo17 description:F-box protein 17 [Source:MGI Symbol;Acc:MGI:1354707] MGARPSRRQMTEARRLALTKLPPELLVQVLSHVPPRALVTRCRPVCRAWRDLVDGPSIWL LQLARDRSAEGRALYALAQRCPADADADADADGNRHDEFPFCALARFCLRAPFGRNLIHN SCGEQGFRGWEVEHGGNGWAVEKNLTLVPGAPSQTCFVTSFEWCSKRQLVDLVKEGVWQE LLDSGQIEICIADWWGARENCGCIYRLRVRLLDEYENEVVKFSASPNPVLQWTERSCRQG LP >ENSMUSP00000130422.1 pep:known chromosome:GRCm38:7:28732500:28737482:1 gene:ENSMUSG00000030598.15 transcript:ENSMUST00000167118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo17 description:F-box protein 17 [Source:MGI Symbol;Acc:MGI:1354707] MGARPSRRQMTEARRLALTKLPPELLVQVLSHVPPRALVTRCRPVCRAWRDLVDGPSIWL LQLARDRSAEGRALYALAQRCPADADADADADGNRHDEFPFCALARFCLRAPFGRNLIHN SCGEQGFRGWEVEHGGNGWAVEKNLTLVPGAPSQTCFVTSFEWCSKRQLVDLVKEGVWQE LLDSGQIEICIADWWGARENCGCIYRLRVRLLDEYENEVVKFSASPNPVLQWTERSCRQV SHVFTNFGKGIRYVSFEQYGRDTRSWVGHYGALVTHSSVRVRIRLS >ENSMUSP00000143360.1 pep:known chromosome:GRCm38:3:121670762:121682982:1 gene:ENSMUSG00000074248.7 transcript:ENSMUST00000196899.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930432M17Rik description:RIKEN cDNA 4930432M17 gene [Source:MGI Symbol;Acc:MGI:3588188] MVSPAASHLGYHGFPTLMDFKLEPVGTLVEYAVTTGHCHTPPVLASLEGSTQPEPTAGAG QAHQGVAPLPVTDTQPLLGGQGKQSCHHSNYHVSSPSDKPRMSRNLPGFHVMLSAIPFVN DGLSCSTAEHQGAERGCVTCHKTQKNRNKNKQDRIKPPRLYQRGQNEEKFCCCF >ENSMUSP00000096243.3 pep:known chromosome:GRCm38:3:121671317:121682835:1 gene:ENSMUSG00000074248.7 transcript:ENSMUST00000098646.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930432M17Rik description:RIKEN cDNA 4930432M17 gene [Source:MGI Symbol;Acc:MGI:3588188] MVSPAASHLGYHGFPTLMDFKLEPVGTLVEYAVTTGHCHTPPVLASLEGSTQPEPTAGAG QAHQGVAPLPVTDTQPLLGGQGKQSCHHSNYHVSSPSDKPRMSRNLPGFHVMLSAIPFVN DGLSCSTAEHQGAERGCVTCHKTQKNRNKNKQDRIKPPRLYQRGQNEEKFCCCF >ENSMUSP00000017530.3 pep:known chromosome:GRCm38:11:78159400:78165589:-1 gene:ENSMUSG00000017386.10 transcript:ENSMUST00000017530.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf4 description:TNF receptor associated factor 4 [Source:MGI Symbol;Acc:MGI:1202880] MPGFDYKFLEKPKRRLLCPLCGKPMREPVQVSTCGHRFCDTCLQEFLSEGVFKCPEDQLP LDYAKIYPDPELEVQVLGLAIRCIHSEEGCRWSGPLRHLQGHLNTCSFNVVPCPNRCPAK LSRRDLPAHLQHDCPKRRLKCEFCGCDFSGEAYESHEGVCPQESVYCENKCGARMMRRLL AQHATSECPKRTQPCAYCTKEFVYDTIQSHQYQCPRLPVPCPNQCGVGTVAREDLPTHLK DSCSTAFVLCPFKESGCKHRCPKLAMGRHVEESVKPHLAMMCALVSRQRQELQELRRELE ELSIGSDGVLIWKIGSYGRRLQEAKAKPNLECFSPAFYTHKYGYKLQVSAFLNGNGSGEG THLSIYIRVLPGAFDNLLEWPFARRVTFSLLDQSDPGLAKPQHVTETFHPDPNWKNFQKP GTWRGSLDESSLGFGYPKFISHQDIRKRNYVRDDAVFIRASVELPRKILS >ENSMUSP00000022529.6 pep:known chromosome:GRCm38:14:30549131:30574722:1 gene:ENSMUSG00000021957.6 transcript:ENSMUST00000022529.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tkt description:transketolase [Source:MGI Symbol;Acc:MGI:105992] MEGYHKPDQQKLQALKDTANRLRISSIQATTAAGSGHPTSCCSAAEIMAVLFFHTMRYKA LDPRNPHNDRFVLSKGHAAPILYAVWAEAGFLPEAELLNLRKISSDLDGHPVPKQAFTDV ATGSLGQGLGAACGMAYTGKYFDKASYRVYCMLGDGEVSEGSVWEAMAFAGIYKLDNLVA IFDINRLGQSDPAPLQHQVDIYQKRCEAFGWHTIIVDGHSVEELCKAFGQAKHQPTAIIA KTFKGRGITGIEDKEAWHGKPLPKNMAEQIIQEIYSQVQSKKKILATPPQEDAPSVDIAN IRMPTPPSYKVGDKIATRKAYGLALAKLGHASDRIIALDGDTKNSTFSELFKKEHPDRFI ECYIAEQNMVSIAVGCATRDRTVPFCSTFAAFFTRAFDQIRMAAISESNINLCGSHCGVS IGEDGPSQMALEDLAMFRSVPMSTVFYPSDGVATEKAVELAANTKGICFIRTSRPENAII YSNNEDFQVGQAKVVLKSKDDQVTVIGAGVTLHEALAAAESLKKDKISIRVLDPFTIKPL DRKLILDSARATKGRILTVEDHYYEGGIGEAVSAAVVGEPGVTVTRLAVSQVPRSGKPAE LLKMFGIDKDAIVQAVKGLVTKG >ENSMUSP00000124485.1 pep:known chromosome:GRCm38:14:30549211:30560606:1 gene:ENSMUSG00000021957.6 transcript:ENSMUST00000162356.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tkt description:transketolase [Source:MGI Symbol;Acc:MGI:105992] MEGYHKPDQQKLQALKDTANRLRISSIQATTAAGSGCSVFPP >ENSMUSP00000036725.3 pep:known chromosome:GRCm38:2:28468066:28471178:1 gene:ENSMUSG00000035772.11 transcript:ENSMUST00000038600.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps2 description:mitochondrial ribosomal protein S2 [Source:MGI Symbol;Acc:MGI:2153089] MAPAPAVLTRLLCAGVRRWPGFLQKAIPGPAEQNGRKVTGAPVPAVSEPQDGDDFQSRIL DTPLQHSDFFNVKELFSVKSLFEARVHLGHKAGCRHRFMEPYIFGNRLGQDIIDLDQTAL NLQLALNFTAHVAYRKGIILFVSRNRQFSHLIETTAQACGEYAHTRYFKGGLLTNAQLLF GPSVRLPDLIIFLHTLNNVFEPHVAVRDAAKMNIPTVGIVDTNCNPCLITYPIPGNDDSP QAIQLFCKLFRTTINRAKEKRRQMEALHRLQSPKGSEGSGTSPVPDKSHSP >ENSMUSP00000132983.1 pep:known chromosome:GRCm38:17:21423227:21435640:1 gene:ENSMUSG00000023882.15 transcript:ENSMUST00000165230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp54 description:zinc finger protein 54 [Source:MGI Symbol;Acc:MGI:99201] MADSSVNLSQGLLTFRDVTVDFSQEEWECLDSAQRALYIEVMLENYSNLVYVENYCICDT VCQHVKTEKESCNELGEMLHEPSNCALYNRSDTTEASNNYRCCKDQDASLDSSNPDRLKS THTGKERCESKDCAKSSSLCSSIAQDQRTNSTNKEQRQEKYDDHFISTHSLMQQAIYIGE NPHQCMKYGKCFSSASSLGVQQRTDTGNKPYKCNICDKSFTECSSLKEHRKTHQRLRAGT NPYKCNDCGKSFSYLSALQSHHKRHTGEKRYKCKECGKSYAYRTGLKRHQKIHTAEECYS CQYCGKVFHQLSHFKSHFTLHTGEKPYKCNECHRSFPHYVFFRRHKKNHSLQKSHKCKEC GKSFFILSHLKTHYRIHTGEKPYKCTKCDKLFTQYSHLRRHQRIYTGKKLYRCEVCDKWF TLSSSLSRHQKIHTEAKTYKCKDCDIFFNHYSSLRRHQKVHTGERHYTCKQCGKSFTRGS TLRVHQRIHTGEKPYKCSECDKSFTQASQLRTHQRVHTGEKPYVCKECGKSLTTCAILRA HQKIHTGEKPYKCMECDRSYIQYSHLKRHQKVHTGEKHKIVNNVT >ENSMUSP00000007884.8 pep:known chromosome:GRCm38:17:21423233:21435154:1 gene:ENSMUSG00000023882.15 transcript:ENSMUST00000007884.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp54 description:zinc finger protein 54 [Source:MGI Symbol;Acc:MGI:99201] MADSSVNLSQGLLTFRDVTVDFSQEEWECLDSAQRALYIEVMLENYSNLVYVENYCICDT VCQHVKTEKESCNELGEMLHEPSNCALYNRSDTTEASNNYRCCKDQDASLDSSNPDRLKS THTGKERCESKDCAKSSSLCSSIAQDQRTNSTNKEQRQEKYDDHFISTHSLMQQAIYIGE NPHQCMKYGKCFSSASSLGVQQRTDTGNKPYKCNICDKSFTECSSLKEHRKTHQRLRAGT NPYKCNDCGKSFSYLSALQSHHKRHTGEKRYKCKECGKSYAYRTGLKRHQKIHTAEECYS CQYCGKVFHQLSHFKSHFTLHTGEKPYKCNECHRSFPHYVFFRRHKKNHSLQKSHKCKEC GKSFFILSHLKTHYRIHTGEKPYKCTKCDKLFTQYSHLRRHQRIYTGKKLYRCEVCDKWF TLSSSLSRHQKIHTEAKTYKCKDCDIFFNHYSSLRRHQKVHTGERHYTCKQCGKSFTRGS TLRVHQRIHTGEKPYKCSECDKSFTQASQLRTHQRVHTGEKPYVCKECGKSLTTCAILRA HQKIHTGEKPYKCMECDRSYIQYSHLKRHQKVHTGEKHKIVNNVT >ENSMUSP00000127089.1 pep:known chromosome:GRCm38:17:21423258:21433945:1 gene:ENSMUSG00000023882.15 transcript:ENSMUST00000167749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp54 description:zinc finger protein 54 [Source:MGI Symbol;Acc:MGI:99201] MADSSVNLSQGLLTFRDVTVDFSQEEWECLDSAQRALYIEVMLENYSNLVYVENYCICDT VCQHVKTEKESCNELGEMLHEPSNCALYNRSDTTEASNNYRCCKDQDASLDSSNPDRLKS THTGKERCESKDCAKSSSLCSSIAQDQRTNSTNKEQRQEKYDDHFISTHSLMQQAIYIGE NPHQCMKYGKCFSSASSLGVQQRTDTGNKPYKCNICDKSFTECSSLKEHRKTH >ENSMUSP00000061243.4 pep:known chromosome:GRCm38:7:19212538:19224174:1 gene:ENSMUSG00000044317.7 transcript:ENSMUST00000060225.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr4 description:G protein-coupled receptor 4 [Source:MGI Symbol;Acc:MGI:2441992] MDNSTGTGEGCHVDSRVDHLFPPSLYIFVIGVGLPTNCLALWAAYRQVRQHNELGVYLMN LSIADLLYICTLPLWVDYFLHHDNWIHGPGSCKLFGFIFYSNIYISIAFLCCISVDRYLA VAHPLRFARLRRVKTAVAVSSVVWATELGANSAPLFHDELFRDRYNHTFCFEKFPMERWV AWMNLYRVFVGFLFPWALMLLCYRGILRAVQSSVSTERQEKVKIKRLALSLIAIVLVCFA PYHALLLSRSAVYLGRPWDCGFEERVFSAYHSSLAFTSLNCVADPILYCLVNEGARSDVA KALHNLLRFLASNKPQEMANASLTLETPLTSKRSTTGKSSGAVWAVPPTAQGDQVPLKVL LPPAQ >ENSMUSP00000058572.7 pep:known chromosome:GRCm38:10:107517049:107720051:-1 gene:ENSMUSG00000035916.7 transcript:ENSMUST00000050702.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprq description:protein tyrosine phosphatase, receptor type, Q [Source:MGI Symbol;Acc:MGI:1096349] MDFLFFFLFSLIGTSESQVDVSGSFDDTVYDITLSSISATTYSSPVSRTLATNVSKPGPP VFLAGERVGSAGILLSWNTPPNPNGRIISYVVKYKEVCPWMQTAYTRVRAKPDSLEVLLT NLNPGTTYEIKVAAENSAGIGVFSDPFLFQTAESAPGKVVNLTVEALNYSAVNLIWYLPR QPNGKITSFKISVKHARSGIVVKDVSIKVEDLLSGKLPECNENSDSFLWSTTSPSPTLSR ATPPLRTTHLSNTLARNKISSVWKEPISFVVTHLRPYTTYLFEVSAVTTEAGYIDSTIVR TPESVPEGPPQNCITGNVTGKAFSISWDPPAIVTGKFSYRVELYGPTGRILDNSTKDLRF VFTHLTPFTMYDVYVAAETSAGVGPKSNLSVFTPPDVPGAVFDLQIVEVEATEIRVSWRK PRQPNGIISQYRVKVSVLESGVILENTLLTGQDEYINNPMTPEIMNLVDPMIGFYEGSGE MSSDLHSLASFIYNSHPHDFPARTRVEDQRSPVVATRNQYMTDIAAEHLSYVIRRLVPFT EHTISVSAFTVMGEGPPTVLTVRTREQVPSSIQIINYKNISSSSILLYWDPPEYPNGKIT HYTIYAMELDTNRAFQMTTVDNSFLITGLKKYTRYKMRVAASTHVGESSLSEENDLFVRT PEDEPESSPQDVKVTDVSPSELSLTWSPPEKPNGIIIAYEVFYQNADALFVKNTSTTNIT LSDLKPYTLYNISIQSYTRLGHGNQSSSLLSVRTSETVPDSAPENITYKNISSEEIEIFF LPPRSPNGIIQKYTIYLKRSNSHEARTIETTSLTLTIGGLKKYTHYVIEVSASTLKGEGV RSMPISILTEEDAPDSPPQNFSVKQLSGVTVMLSWQPPLEPNGIILYYTVYVWDKVSLKT INATEVSLELSDLDYHADYSAYVTASTRFGDGKTRSSVINFRTPEGEPSDPPKDVHYVNL SSSSIILFWTPPVKPNGIIQYYSVYYQNTSSTFVQNFTLLEVTQEPGNVTVSARIYKLAV FSYYTFWLTASTLVGNGNKSSDVIHVYTDQDIPEGGVGNLTYESLSSTAINVSWTPPSQP NGLVFYYVSLNLQQSPPRHRRPPLTTYENSIYFDNLEKYTDYIFKITPSTEKGFSETYTA QLHIKTEEDVPDTPPIINTFKNLSSTSILLSWDPPLKPNGAILSYHLTLQGTHANRTFVT SGNHIVLEELSPFTLYSFLAAARTMKGLGPSSILFFYTDESAPLAPPQNLTLINYTSDFV WLTWSPSPLPGGIVKVYSFKIHEHETDTVFYKNISGFQTDAKLAGLEPVSTYSISVSAFT KVGNGNQFSNVVKFTTQESVPDAVQNIACVARDWQSVSVMWDPPRKANGIIIHYMITVEG NSTKVSPRDPMYTFTKLLANTSYIFEVRASTSAGEGNESQCNVSTLPETVPSVPTNTAFS NVQSTSVTLRWIKPDTILGYFQNYKITTQLRAQKCREWEPEECVEHQEVQYLYEANQTED TVRGLKKFQWYRFQVAASTNAGYGNASSWISTQTLPGPPDGPPENVRVVATSPFGINISW NEPAIITGPTFYLIDVKSVDNDNFNISFVKSNEENKTTEINDLEVFTRYSVVITAFVGNV SGAYTDGKSSAEVIITTLESVPKDPPNNMTFQKIPDEVTKFQLSFLPPSQPNGNIQVYQA LVYREDDPTAVQIHNLSIIQKTDTSVIAMLEGLKGGHTYNISVYAINSAGAGPKVQMRIT MDIKAPARPKTKPIPIHDATGKLLVTSTTITIRMPICYYNDDHGPIRNVQVLVAEAGAQQ DGNVTKWYDAYFNKARPYFTNEGFPNPPCIEGKTKFSGNEEIYVIGADNACMIPGNEEKI CNGPLKPKKQYLFKFRATNVMGQFTDSEYSDPIKTLGEGLSERTVEIILSVTLCILSIIL LGTAIFAFARIRQKQKEGGTYSPRDAEIIDTKFKLDQLITVADLELKDERLTRLLSYRKS IKPVSKKSFLQHVEELCTNNNLKFQEEFSELPKFLQDLSSTDADLPWNRAKNRFPNIKPY NNNRVKLIADVSIPGSDYINASYVSGYLCPNEFIATQGPLPGTVGDFWRMVWETRAKTLV MLTQCFEKGRIRCHQYWPEDNKPVTVFGDILITKLMEDIQIDWTIRDLKIERHGDCMTVR QCNFTGWPEHGVPENTTPLIHFVKLVRTSRAHDATPMVVHCSAGVGRTGVFIALDHLTQH IHDHDFVDIYGLVAELRSERMCMVQNLAQYIFLHQCILDLLSNKGGHQPVCFVNYSTLQK MDSLDAMEGDVELEWEETTM >ENSMUSP00000091768.3 pep:known chromosome:GRCm38:7:83892000:83901532:1 gene:ENSMUSG00000038503.15 transcript:ENSMUST00000094215.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mesdc2 description:mesoderm development candidate 2 [Source:MGI Symbol;Acc:MGI:1891421] MAASRWLRAVLLFLCASDLLLLPPPNAYAADTPGEATPPPRKKKDIRDYNDADMARLLEQ WEKDDDIEEGDLPEHKRPSAPIDFSKLDPGKPESILKMTKKGKTLMMFVTVSGNPTEKET EEITSLWQGSLFNANYDVQRFIVGSDRAIFMLRDGSYAWEIKDFLVSQDRCAEVTLEGQM YPGKGGGSKEKNKTKPEKAKKKEGDPKPRASKEDNRAGSRREDL >ENSMUSP00000114218.1 pep:known chromosome:GRCm38:7:83892002:83897767:1 gene:ENSMUSG00000038503.15 transcript:ENSMUST00000130103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mesdc2 description:mesoderm development candidate 2 [Source:MGI Symbol;Acc:MGI:1891421] MRSGQEEPERGGVRWLRAVLLFLCASDLLLLPPPNAYAADTPGEATPPPRKKKDIRDYND ADMARLLEQWEKDDDIEEGDLPEHKRPSAPIDFSKLDPGKPESILKMTKKGKTLMMFVTV SGNPTEKETEEITSLWQGSLFNANYDVQRFIVGS >ENSMUSP00000115696.1 pep:known chromosome:GRCm38:7:83892330:83898080:1 gene:ENSMUSG00000038503.15 transcript:ENSMUST00000153377.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mesdc2 description:mesoderm development candidate 2 [Source:MGI Symbol;Acc:MGI:1891421] XCASDLLLLPPPNAYAADTPGEATPPPRKKKDIRDYNDADMARLLEQWEVCVACTLLSIS HTDPFSPNPYPLGHLTF >ENSMUSP00000001884.7 pep:known chromosome:GRCm38:7:101663633:101790168:1 gene:ENSMUSG00000001829.16 transcript:ENSMUST00000001884.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clpb description:ClpB caseinolytic peptidase B [Source:MGI Symbol;Acc:MGI:1100517] MMLSAVLRRTTPAPRLFLGLIKSPSLQSRGGAYGRGVVTGDRGEPQRLRAAAWVRPGASS TFVPGRGAATWGRRGERTEIPYLTAASSERGPSPEETLPGQDSWNGVPNKTGLGMWALAM ALVVQCYSKNPSNKDAALMEAARANNVQEVRRLLSEGADVNARHKLGWTALMVASISHNE SVVQVLLAAGADPNLGDEFSSVYKTANEQGVHSLEVLVTREDDFNNRLNHRASFKGCTAL HYAVLADDYSIVKELLDRGANPLQRNEMGHTPLDYAREGEVMKLLKTSETKYMEKQRKRE AEERRRFPLEQRLKEHIIGQESAIATVGAAIRRKENGWYDEEHPLVFLFLGSSGIGKTEL AKQTAKYMHKDAKKGFIRLDMSEFQERHEVAKFIGSPPGYIGHEEGGQLTKKLKQCPNAV VLFDEVDKAHPDVLTIMLQLFDEGRLTDGKGKTIDCKDAIFIMTSNVASDEIAQHALQLR QEALEMSRNRIAENLGDVQMSDKITISKNFKENVIRPILKAHFRRDEFLGRINEIVYFLP FCHSELIQLVNKELNFWAKRAKQRHNITLLWDREVADVLVDGYNVHYGARSIKHEVERRV VNQLAAAYEQDLLPGGCTLRITVEDSDKHLLKSPELPSPQAEKRPPTLRLEIIDKDSKTR KLDIQAPLHPEKVCYTI >ENSMUSP00000102611.1 pep:known chromosome:GRCm38:7:101663705:101787810:1 gene:ENSMUSG00000001829.16 transcript:ENSMUST00000106998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clpb description:ClpB caseinolytic peptidase B [Source:MGI Symbol;Acc:MGI:1100517] MMLSAVLRRTTPAPRLFLGLIKSPSLQSRGGAYGRGVVTGDRGEPQRLRAAAWVRPGASS TFVPGRGAATWGRRGERTEIPYLTAASSERGPSPEETLPGQDSWNGVPNKTGLGMWALAM ALVVQCYSKNPSNKDAALMEAARANNVQEVRRLLSEGADVNARHKLGWTALMVASISHNE SVVQVLLAAGADPNLGDEFSSVYKTANEQGVHSLEDGRQDCASWRMTNQWTSALEFRRWL GVPVGVLVTREDDFNNRLNHRASFKGCTALHYAVLADDYSIVKELLDRGANPLQRNEMGH TPLDYAREGEVMKLLKTSETKYMEKQRKREAEERRRFPLEQRLKEHIIGQESAIATVGAA IRRKENGWYDEEHPLVFLFLGSSGIGKTELAKQTAKYMHKDAKKGFIRLDMSEFQERHEV AKFIGSPPGYIGHEEGGQLTKKLKQCPNAVVLFDEVDKAHPDVLTIMLQLFDEGRLTDGK GKTIDCKDAIFIMTSNVASDEIAQHALQLRQEALEMSRNRIAENLGDVQMSDKITISKNF KENVIRPILKAHFRRDEFLGRINEIVYFLPFCHSELIQLVNKELNFWAKRAKQRHNITLL WDREVADVLVDGYNVHYGARSIKHEVERRVVNQLAAAYEQDLLPGGCTLRITVEDSDKHL LKSPELPSPQAEKRPPTLRLEIIDKDSKTRKLDIQAPLHPEKVCYTI >ENSMUSP00000121861.1 pep:known chromosome:GRCm38:7:101663775:101706547:1 gene:ENSMUSG00000001829.16 transcript:ENSMUST00000123870.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clpb description:ClpB caseinolytic peptidase B [Source:MGI Symbol;Acc:MGI:1100517] MMLSAVLRRTTPAPRLFLGLIKSPSLQSRGGAYGRGVVTGDRGEPQRLRAAAWVRPGASS TFVPGRGAATWGRRGERTEIPYLTAASSERGPSPEETLPGQDSWNGVPNKTGLGMWALAM ALVVQCYSKNPSNKAGAYLGPIKYMNENNP >ENSMUSP00000115609.1 pep:known chromosome:GRCm38:7:101682116:101763695:1 gene:ENSMUSG00000001829.16 transcript:ENSMUST00000147439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clpb description:ClpB caseinolytic peptidase B [Source:MGI Symbol;Acc:MGI:1100517] MVASISHNESVVQVLLAAGADPNLGDEFSSVYKTANEQGVHSLEVLVTREDDFNNRLNHR ASFKGCTALHYAVLADDYSIVKELLDRGANPLQRNEMGHTPLDYAREGEVMKLLKTSETK YMEKQRKREAEERRRFPLEQRLKEHIIGQESAIATVGA >ENSMUSP00000119922.1 pep:known chromosome:GRCm38:7:101683197:101751322:1 gene:ENSMUSG00000001829.16 transcript:ENSMUST00000153473.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clpb description:ClpB caseinolytic peptidase B [Source:MGI Symbol;Acc:MGI:1100517] MVASISHNESVVQVLLAAGADPNLGDEFSSVYKTANEQGVHSLEVLVTREDDFNNRLNHR ASFKGCTALHYAVLADDYSIVKELLDRGANPLQRNEMGHTPLDYAREGEVMKLLKTS >ENSMUSP00000031091.9 pep:known chromosome:GRCm38:5:36600485:36695937:-1 gene:ENSMUSG00000029190.19 transcript:ENSMUST00000031091.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D5Ertd579e description:DNA segment, Chr 5, ERATO Doi 579, expressed [Source:MGI Symbol;Acc:MGI:1261849] MRPVCTVVVDGLPSESTSSSYPGPVSVSDMSLLHALGPVQTWLGQELEKCGIDAMIYSRY ILSLLLHDSYDYDLQEQENDILSWEKGAYKKWGRSKKKCSDLTLEEMKKQAAVQCLRSAS DESSGIETLVEELCCRLKDLQSEQEEKIHKKLEGSPSPEEELSPTAKDQVEMYYEAFPPL SEKPVCLQEIMTVWNKSKPCSYSSSSSSSTVPPASTDTSSPKDCNSESEAVRERSSVASV PMHEKAQSRSRHEKESKLSSSTIEEKPAFYKRQIRHKPEGKTRPRSWSSGSSEAGSSSSG NQGELKASMKYVKVRHKAREIRNRKGRNGQNRHSLKHCGKAERGVHAGSGGSSSSSSNGS IRQLCKRGKRPAKETGRSDSGNTAVKDLYVDSRNNKEYKEEPLWYTEPIAEYFVPLSRKS KLETTYRNREDTSTLTAEAVEDLSDSVRGLCISNSNIHRTYLAAGTFIDGHFVEMPAVIN EDIDLAGTSLCSLPEDNKYLDDIHLSELTHFYEVDIDQSMLDPGASETMQGESRILNMIR QKSKENTDFEAECCIVLDGMELQGERAIWTDSTSSVGAEGFFLQDLGNLAQFWECCSSSS GDADGESFGGDSPVRLSPILDSTMLSSHILAGNQEPFSNINEGSGINSCFSVFEVQCSNS VLPFSFETLNLGSEHADSSANMLGKTQSRLLIWTKNSAFEENEHCSNLSTRTCSPWSHSE EARSDNETLNIQFEESTQFTAEDINYVVPRVSSDFVDEELLDFLQDETCQQNSRTLGEIP TLVFKKRSKLESVCGIQLEQKAESKNFETTHACSESSPHGDGYSSGVIKDIWTKMVGRSS VAAVETERTGEELFSTDVNNYCCCLDTEAKMEALQEPSRAVQRSEYHLWEGQKENMEKRA FVSSELSKVDGGDYTTPSKPWDVAQDKENTFILGGVYGELKTFNSDGEWAVVPPGHTKGS LLQCAASDVVTIAGTDVFMTPGNSFAPGHRQLWKPFVSFEQSDMPKSGENGVNKGFSFIF HEDLLGACSNFQVEDPGLEYSLSSFDLSNPFSQVLHVECSFEPEGIASFSPSFKPKSILC SDSDSEVFHPRICGVERTQYRAIRISPRTHFRPISASELSPGGGSESEFESEKDEASVPI PSQVDVFEDPQADLKPLEEDAEKEGHYYGKLELESGKFLPRLKKSGMEKSAQTSLDSQEE ATGILPKQNQCLECNFNESLEINLESSAANCKIMTQCEEEMSEFCSCKAGCQFPACEDNP VSSGQLEEFPVLNTDVQEVTRNQEKQSWWEKALYSPLFPTSECEECYTNAKGENGIEECP DAKETPSREERLLDFNRVSSVYEARCTGERGSETKPNGLHRKMCSSASSDTGDTGSEAGG EWVGPSREELFSRTHL >ENSMUSP00000116548.2 pep:known chromosome:GRCm38:5:36602250:36613730:-1 gene:ENSMUSG00000029190.19 transcript:ENSMUST00000132383.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D5Ertd579e description:DNA segment, Chr 5, ERATO Doi 579, expressed [Source:MGI Symbol;Acc:MGI:1261849] XRTHFRPISASELSPGGGSESEFESEKDEASVPIPSQVDVFEDPQADLKPLEEDAEKEGH YYGKLELESGKFLPRLKKSGMEKSAQTSLDSQEEATGILPKQNQCLECNFNESLEINLES SAANCKIMTQCEEEMSEFCSCKAGCQFPACEDNPVSSGQLEEFPVLNTDVQEVTRNQEKQ SWWEKALYSPLFPTSECEGVFCL >ENSMUSP00000144240.1 pep:known chromosome:GRCm38:5:36671399:36696024:-1 gene:ENSMUSG00000029190.19 transcript:ENSMUST00000140653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D5Ertd579e description:DNA segment, Chr 5, ERATO Doi 579, expressed [Source:MGI Symbol;Acc:MGI:1261849] MRPVCTVVVDGLPSESTSSSYPGPVSVSDMSLLHALGPVQTWLGQELEKCGIDAMIYSRY ILSLLLHDSYDYDLQEQVGIDAC >ENSMUSP00000100631.1 pep:known chromosome:GRCm38:X:4370636:4372595:1 gene:ENSMUSG00000094273.1 transcript:ENSMUST00000105014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10922 description:predicted gene 10922 [Source:MGI Symbol;Acc:MGI:3709272] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANTWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000114111.1 pep:known chromosome:GRCm38:3:87919506:87923662:1 gene:ENSMUSG00000019710.13 transcript:ENSMUST00000119968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl24 description:mitochondrial ribosomal protein L24 [Source:MGI Symbol;Acc:MGI:1914957] MRLSALLALASKATSSPFYRYGMSRPGSIADKRKNPPWSRRRPVVVEPISDEDWHLFCGD MVEILEGKDAGKQGKVVQVVRQRNWVVLEGLNTHYRYIGRTKDHRGTMIASEAPLLHHQV KLVDPVDRKPTEIQWRFTEAGERVRVSTRSGRIIPKPEFPRADGIVPETWTDGPKDTSVE DALERTYVPRLKTLEEDVMEAMGIQETRRFKKVYWY >ENSMUSP00000019854.6 pep:known chromosome:GRCm38:3:87919506:87923672:1 gene:ENSMUSG00000019710.13 transcript:ENSMUST00000019854.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl24 description:mitochondrial ribosomal protein L24 [Source:MGI Symbol;Acc:MGI:1914957] MRLSALLALASKATSSPFYRYGMSRPGSIADKRKNPPWSRRRPVVVEPISDEDWHLFCGD MVEILEGKDAGKQGKVVQVVRQRNWVVLEGLNTHYRYIGRTKDHRGTMIASEAPLLHHQV KLVDPVDRKPTEIQWRFTEAGERVRVSTRSGRIIPKPEFPRADGIVPETWTDGPKDTSVE DALERTYVPRLKTLEEDVMEAMGIQETRRFKKVYWY >ENSMUSP00000142071.1 pep:known chromosome:GRCm38:3:87919536:87922052:1 gene:ENSMUSG00000019710.13 transcript:ENSMUST00000137775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl24 description:mitochondrial ribosomal protein L24 [Source:MGI Symbol;Acc:MGI:1914957] MRLSALLALASKATSSPFYRYGMSRPGSIADKRKNPPWSRRRPVVVEPISDEDWHLFCGD MV >ENSMUSP00000112885.1 pep:known chromosome:GRCm38:3:87919563:87923672:1 gene:ENSMUSG00000019710.13 transcript:ENSMUST00000121920.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl24 description:mitochondrial ribosomal protein L24 [Source:MGI Symbol;Acc:MGI:1914957] MRLSALLALASKATSSPFYRYGMSRPGSIADKRKNPPWSRRRPVVVEPISDEDWHLFCGD MVEILEGKDAGKQGKVVQVVRQRNWVVLEGLNTHYRYIGRTKDHRGTMIASEAPLLHHQV KLVDPVDRKPTEIQWRFTEAGERVRVSTRSGRIIPKPEFPRADGIVPETWTDGPKDTSVE DALERTYVPRLKTLEEDVMEAMGIQETRRFKKVYWY >ENSMUSP00000113959.1 pep:known chromosome:GRCm38:3:87921609:87923672:1 gene:ENSMUSG00000019710.13 transcript:ENSMUST00000121048.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl24 description:mitochondrial ribosomal protein L24 [Source:MGI Symbol;Acc:MGI:1914957] MRLSALLALASKATSSPFYRYGMSRPGSIADKRKNPPWSRRRPVVVEPISDEDWHLFCGD MVEILEGKDAGKQGKVVQVVRQRNWVVLEGLNTHYRYIGRTKDHRGTMIASEAPLLHHQV KLVDPVDRKPTEIQWRFTEAGERVRVSTRSGRIIPKPEFPRADGIVPETWTDGPKDTSVE DALERTYVPRLKTLEEDVMEAMGIQETRRFKKVYWY >ENSMUSP00000020524.8 pep:known chromosome:GRCm38:11:32209585:32222300:-1 gene:ENSMUSG00000020282.18 transcript:ENSMUST00000020524.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdf1 description:rhomboid family 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:104328] MSEARRDSTSSLQRKKPPWLKLDIPAAVPPAAEEPSFLQPLRRQAFLRSVSMPAETARVP SPHHEPRRLVLQRQTSITQTIRRGTADWFGVSKDSDSTQKWQRKSIRHCSQRYGKLKPQV IRELDLPSQDNVSLTSTETPPPLYVGPCQLGMQKIIDPLARGRAFRMADDTADGLSAPHT PVTPGAASLCSFSSSRSGFNRLPRRRKRESVAKMSFRAAAALVKGRSIRDGTLRRGQRRS FTPASFLEEDMVDFPDELDTSFFAREGVLHEEMSTYPDEVFESPSEAALKDWEKAPDQAD LTGGALDRSELERSHLMLPLERGWRKQKEGGPLAPQPKVRLRQEVVSAAGPRRGQRIAVP VRKLFAREKRPYGLGMVGRLTNRTYRKRIDSYVKRQIEDMDDHRPFFTYWLTFVHSLVTI LAVCIYGIAPVGFSQHETVDSVLRKRGVYENVKYVQQENFWIGPSSEALIHLGAKFSPCM RQDPQVHSFILAAREREKHSACCVRNDRSGCVQTSKEECSSTLAVWVKWPVHPSAPDLAG NKRQFGSVCHQDPRVCDEPSSEDPHEWPEDITKWPICTKSSAGNHTNHPHMDCVITGRPC CIGTKGRCEITSREYCDFMRGYFHEEATLCSQVHCMDDVCGLLPFLNPEVPDQFYRLWLS LFLHAGILHCLVSVCFQMTVLRDLEKLAGWHRIAIIYLLSGITGNLASAIFLPYRAEVGP AGSQFGILACLFVELFQSWQILARPWRAFFKLLAVVLFLFAFGLLPWIDNFAHISGFVSG LFLSFAFLPYISFGKFDLYRKRCQIIIFQVVFLGLLAGLVVLFYFYPVRCEWCEFLTCIP FTDKFCEKYELDAQLH >ENSMUSP00000118985.1 pep:known chromosome:GRCm38:11:32209587:32222280:-1 gene:ENSMUSG00000020282.18 transcript:ENSMUST00000146179.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rhbdf1 description:rhomboid family 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:104328] MSEARRDSTSSLQRKKPPWLKLDIPAAVPPAAEEPSFLQPLRRQAFLRSVSMPAETARVP SPHHEPRRLVLQRQTSITQTIRRGTADWFGVSKDSDSTQKWQRKSIRHCSQRYGKLKPQV IRELDLPSQDNVSLTSTETPPPLYVGPCQLGMQKLPLRFQPTPSASQT >ENSMUSP00000117471.1 pep:known chromosome:GRCm38:11:32209804:32211139:-1 gene:ENSMUSG00000020282.18 transcript:ENSMUST00000143988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdf1 description:rhomboid family 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:104328] RPCCIGTKGRCEITSREYCDFMRGYFHEEATLCSQVHCMDDVCGLLPFLNPEMTVLRDLE KLAGWHRIAIIYLLSGITGNLASAIFLPYRAEVGPAGSQFGILACLFVELFQSWQILARP WRAFFKLLAVVLFLFAFGLLPWIDNFAHISGFVSGLFLSFAFLPYISFGKFDLYRKRCQI IIFQVVFLGLLAGLVVLFYFYPVRCEWCEFLTCIPFTDKFCEKYELDAQLH >ENSMUSP00000120543.1 pep:known chromosome:GRCm38:11:32214286:32222280:-1 gene:ENSMUSG00000020282.18 transcript:ENSMUST00000132578.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rhbdf1 description:rhomboid family 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:104328] MSEARRDSTSSLQRKKPPWLKLDIPAAVPPAAEEPSFLQPLRRQAFLRSVSMPAETARVP SPHHEPRRLVLQRQTSITQTIRRGTADWFGVSKDSDSTQKWQRKSIRHCSQRYGKLKPQV IRELDLPSQDNVSLTSTETPPPLYVGPCQLGMQKLPLRFQPTPSASQT >ENSMUSP00000118769.1 pep:known chromosome:GRCm38:11:32215068:32222244:-1 gene:ENSMUSG00000020282.18 transcript:ENSMUST00000150381.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdf1 description:rhomboid family 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:104328] MSEARRDSTSSLQRKKPPWLKLDIPAAVPPAAEEPSFLQPLRRQAFLRSVSMPAETARVP SPHHEPRRLVLQRQTSITQTIRSRQVRFGRVHTLPLLRPRAARKAHPQRQSLSWSLLRGT ADWFGVSKDSDSTQKW >ENSMUSP00000122533.1 pep:known chromosome:GRCm38:11:32216009:32222259:-1 gene:ENSMUSG00000020282.18 transcript:ENSMUST00000144902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdf1 description:rhomboid family 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:104328] MSEARRDSTSSLQRKKPPWLKLDIPAAVPPAAEEPSFLQPLRRQAFLRSVSMPAETAR >ENSMUSP00000069965.8 pep:known chromosome:GRCm38:7:19228334:19247868:1 gene:ENSMUSG00000052214.9 transcript:ENSMUST00000063976.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opa3 description:optic atrophy 3 [Source:MGI Symbol;Acc:MGI:2686271] MVVGAFPMAKLFYLGIRQVSKPLANRIKDAARRSEFFKTYICLPPAQLYHWVEMRTKMRI MGFRGTTIKPLNEEAAAELGAELLGEATIFIVGGGCLVLEYWRHQTQQRNKEEEQRAAWN ALQDEVGRLALALEALQAQAQAMPSLSALEELREELQEVRGQVCNAHCTSKCQAASSKK >ENSMUSP00000145909.1 pep:known chromosome:GRCm38:7:19228383:19256543:1 gene:ENSMUSG00000052214.9 transcript:ENSMUST00000161711.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Opa3 description:optic atrophy 3 [Source:MGI Symbol;Acc:MGI:2686271] MVVGAFPMAKLFYLGIRQVSKPLANRIKDAARRSEFFKTYICLPPAQLYHWLEMRTKMRI MGFHAEAIKPLNEDAAAELGANLLGEAIIFAAAGSCLLLEFWRQKSSKHRREVAQVATVL SLREDVEYLENMLDEVQVQVQAALPRNSLDELRAELRAELRAELRTELQAELRAELQDEL QKFRTQICKDCYEPELKPELQCPEAPKE >ENSMUSP00000022461.4 pep:known chromosome:GRCm38:14:32080566:32085609:-1 gene:ENSMUSG00000021905.13 transcript:ENSMUST00000022461.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph3 description:diphthamine biosynthesis 3 [Source:MGI Symbol;Acc:MGI:1922658] MAVFHDEVEIEDFQYDEDSETYFYPCPCGDNFAITKEDLENGEDVATCPSCSLIIKVIYD KDQFMCGETVPAPSTNKELVKC >ENSMUSP00000068491.5 pep:known chromosome:GRCm38:14:32080569:32085609:-1 gene:ENSMUSG00000021905.13 transcript:ENSMUST00000067955.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph3 description:diphthamine biosynthesis 3 [Source:MGI Symbol;Acc:MGI:1922658] MAVFHDEVEIEDFQYDEDSETYFYPCPCGDNFAITKEDLENGEDVATCPSCSLIIKVIYD KDQFMCGETVPAPSTNKELVKC >ENSMUSP00000127944.1 pep:known chromosome:GRCm38:14:32080569:32085609:-1 gene:ENSMUSG00000021905.13 transcript:ENSMUST00000112001.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dph3 description:diphthamine biosynthesis 3 [Source:MGI Symbol;Acc:MGI:1922658] MAVFHDEVEIEDFQYDEDSETYFYPCPCGDNFAITKMTLGRKVLCVVTQLKTCP >ENSMUSP00000120583.1 pep:known chromosome:GRCm38:14:32082758:32085609:-1 gene:ENSMUSG00000021905.13 transcript:ENSMUST00000124303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph3 description:diphthamine biosynthesis 3 [Source:MGI Symbol;Acc:MGI:1922658] MAVFHDEVEIEDFQYDEDSETYFYPCPCGDNFAITKDQFMCGETVPAPSTNKELVKC >ENSMUSP00000107631.1 pep:known chromosome:GRCm38:14:32084696:32085609:-1 gene:ENSMUSG00000021905.13 transcript:ENSMUST00000112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dph3 description:diphthamine biosynthesis 3 [Source:MGI Symbol;Acc:MGI:1922658] MAVFHDEVEIEDFQYDEDSETYFYPCPCGDNFAITKEDLENGEDVATCPSCSLIIKVIYD KVRDMLKGMGGVS >ENSMUSP00000120149.1 pep:known chromosome:GRCm38:7:11397915:11402318:1 gene:ENSMUSG00000070828.9 transcript:ENSMUST00000145237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan4f description:zinc finger and SCAN domain containing 4F [Source:MGI Symbol;Acc:MGI:3708485] MASQQAPAKDLQTNNLEFTPTDSSGVQWAEDISNSPSAQLNFSPSNNGCWATQELQSLWK MFNSWLQPEKQTKEQMISQLVLEQFLLTGHCKDKYALTEKWKASGSDMRRFMESLTDECL KPPVMVHVSMQGQEALFSENMPLKEVIKLLKQQQSATRPTPDNEQMPVDTTQDRLLATGQ ENSENECNNSCNATEANVGESCSGNEMDSLLIMQKEQHPEHEEGNVVCQFPHGARRASQG TPSHHVDFPSAPTTADVPMEEQPKDLSRENISEDKNNCYNTSRNAATQVYSGDNIPRNKS DSLFINKRIYHPEPEVGDIPYGVPQDSTRASQGTSTCLQESLGECFSEKDPREVPGLQSR QEQLISDPVLLGKNHEANLPCESHQKRFCRDAKLYKCEECSRMFKHARSLSSHQRTHLNK KSELLCVTCQKMFKRVSDRRTHEIIHMPEKPFKCSTCEKSFSHKTNLKSHEMIHTGEMPY VCSLCSRRFRQSSTYHRHLRNYHRSD >ENSMUSP00000122083.1 pep:known chromosome:GRCm38:7:11397934:11398979:1 gene:ENSMUSG00000070828.9 transcript:ENSMUST00000141491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan4f description:zinc finger and SCAN domain containing 4F [Source:MGI Symbol;Acc:MGI:3708485] MASQQAPAKDLQTNNLEFTPTDSSGVQWAEDISNSPSAQLNFSPSNNGCWATQELQSLWK MFNSWLQPEKQTKEQMI >ENSMUSP00000089014.2 pep:known chromosome:GRCm38:7:11397938:11402741:1 gene:ENSMUSG00000070828.9 transcript:ENSMUST00000091440.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan4f description:zinc finger and SCAN domain containing 4F [Source:MGI Symbol;Acc:MGI:3708485] MASQQAPAKDLQTNNLEFTPTDSSGVQWAEDISNSPSAQLNFSPSNNGCWATQELQSLWK MFNSWLQPEKQTKEQMISQLVLEQFLLTGHCKDKYALTEKWKASGSDMRRFMESLTDECL KPPVMVHVSMQGQEALFSENMPLKEVIKLLKQQQSATRPTPDNEQMPVDTTQDRLLATGQ ENSENECNNSCNATEANVGESCSGNEMDSLLIMQKEQHPEHEEGNVVCQFPHGARRASQG TPSHHVDFPSAPTTADVPMEEQPKDLSRENISEDKNNCYNTSRNAATQVYSGDNIPRNKS DSLFINKRIYHPEPEVGDIPYGVPQDSTRASQGTSTCLQESLGECFSEKDPREVPGLQSR QEQLISDPVLLGKNHEANLPCESHQKRFCRDAKLYKCEECSRMFKHARSLSSHQRTHLNK KSELLCVTCQKMFKRVSDRRTHEIIHMPEKPFKCSTCEKSFSHKTNLKSHEMIHTGEMPY VCSLCSRRFRQSSTYHRHLRNYHRSD >ENSMUSP00000012796.6 pep:known chromosome:GRCm38:7:43296197:43313247:-1 gene:ENSMUSG00000012640.16 transcript:ENSMUST00000012796.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp715 description:zinc finger protein 715 [Source:MGI Symbol;Acc:MGI:1917180] MTLEGFGFATKKTSRTEDRGAMAADPNFQQKSQPLGAEEQPESCERWMSFEDVTVNFSQE EWQHLDSAQRCLYQEVMLEIYSHLLAVGYSIPSPGVIFRMEKGKEAQTAKAEFPGQRCQG ERPYKCSSCWKAFCTKVQLQEHERIHTGERPYVCTHCGKAFRSSMCDNIGTNNSVIFLKA ICV >ENSMUSP00000040282.7 pep:known chromosome:GRCm38:7:43296523:43311486:-1 gene:ENSMUSG00000012640.16 transcript:ENSMUST00000048015.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp715 description:zinc finger protein 715 [Source:MGI Symbol;Acc:MGI:1917180] MTLEGFGFATKKTSRTEDRGAMAADPNFQQKSQPLGAEEQPESCERWMSFEDVTVNFSQE EWQHLDSAQRCLYQEVMLEIYSHLLAVGYSIPSPGVIFRMEKGKEAQTAKAEFPGQRCQE KSGNDTLPQKASGKTSVYSNVASEVTRDGSWCSLLQELWQGADITKRGQQNQILLFPPGS FLKKTLSKNSDHEYQKPRETIPLGPYLISTQEGLPQYHSLPKSLDVSLGANGHNERDQLI DIVASSQLLIPGSCNANCVVTHGGEESYRSTGNGDVLSHKQPLIYGNRFQVKADQSSQCQ EVLYAISLHKPEITLTVDRPIVSYNGGKAFLYVSDSLSHPFQLKVDRVVHTGGDPYKCSS CEKSFCNAAALQQHEQIHTEEKLYVCTLCGKAFSDGSAFYEHELIHKNHTPFICDICGKA FLRKSELTSHKQCHNGEKPYKCNDCEKSFKFPSQLKVHHQIHTGEKPYECRECGKSFSKT AKLKVHQRIHTGEKPYVCSQCGKAFNQKSILDRHEKLHPGEKPYKCNDCGKSFNYPSQLK VHCHSHTGEKPYKCHECGKSFNFPCELKVHYQNHTGEKPYKCRECWKLFSKMSQLKAHYR VHTGERPYKCSHCGKAFSTKEQVQEHERIHTGEKPFVCTECGKAFSSRSSFRKHQLIHTK EKPFVSQKCETGLQEATLIPHQQLHIGEKPYKCPDCGKLFNYPSQLKSHYQIHTGEKPCK CPDCGKSFSKTSQLKAHSRIHTGERPYVCSVCGKAFKQLSTLSRHEKIHMVEKPYKCSFC GKSFCSPSELKVHLLIHTGERPYKCSSCWKAFCTKVQLQEHERIHTGERPYVCTHCGKAF RSRSVFSKHKLIHRKETPFICERCGKVFLQKSELTSHLQTHIEDKP >ENSMUSP00000103620.2 pep:known chromosome:GRCm38:7:43296523:43313255:-1 gene:ENSMUSG00000012640.16 transcript:ENSMUST00000107986.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp715 description:zinc finger protein 715 [Source:MGI Symbol;Acc:MGI:1917180] MTLEGFGFATKKTSRTEDRGAMAADPNFQQKSQPLGAEEQPESCERWMSFEDVTVNFSQE EWQHLDSAQRCLYQEVMLEIYSHLLAVGYSIPSPGVIFRMEKGKEAQTAKAEFPGQRCQE KSGNDTLPQKASGKTSVYSNVASEVTRDGSWCSLLQELWQGADITKRGQQNQILLFPPGS FLKKTLSKNSDHEYQKPRETIPLGPYLISTQEGLPQYHSLPKSLDVSLGANGHNERDQLI DIVASSQLLIPGSCNANCVVTHGGEESYRSTGNGDVLSHKQPLIYGNRFQVKADQSSQCQ EVLYAISLHKPEITLTVDRPIVSYNGGKAFLYVSDSLSHPFQLKVDRVVHTGGDPYKCSS CEKSFCNAAALQQHEQIHTEEKLYVCTLCGKAFSDGSAFYEHELIHKNHTPFICDICGKA FLRKSELTSHKQCHNGEKPYKCNDCEKSFKFPSQLKVHHQIHTGEKPYECRECGKSFSKT AKLKVHQRIHTGEKPYVCSQCGKAFNQKSILDRHEKLHPGEKPYKCNDCGKSFNYPSQLK VHCHSHTGEKPYKCHECGKSFNFPCELKVHYQNHTGEKPYKCRECWKLFSKMSQLKAHYR VHTGERPYKCSHCGKAFSTKEQVQEHERIHTGEKPFVCTECGKAFSSRSSFRKHQLIHTK EKPFVSQKCETGLQEATLIPHQQLHIGEKPYKCPDCGKLFNYPSQLKSHYQIHTGEKPCK CPDCGKSFSKTSQLKAHSRIHTGERPYVCSVCGKAFKQLSTLSRHEKIHMVEKPYKCSFC GKSFCSPSELKVHLLIHTGERPYKCSSCWKAFCTKVQLQEHERIHTGERPYVCTHCGKAF RSRSVFSKHKLIHRKETPFICERCGKVFLQKSELTSHLQTHIEDKP >ENSMUSP00000138240.1 pep:known chromosome:GRCm38:7:43296523:43313263:-1 gene:ENSMUSG00000012640.16 transcript:ENSMUST00000145622.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp715 description:zinc finger protein 715 [Source:MGI Symbol;Acc:MGI:1917180] MTLEGFGFATKKTSRTEDRGAMAADPNFQQKSQPLGAEEQPESCETVFLGIALAVLNSQC RPAGLELRNLLVSFPSAGTKEMDVL >ENSMUSP00000114600.1 pep:known chromosome:GRCm38:7:43299952:43313095:-1 gene:ENSMUSG00000012640.16 transcript:ENSMUST00000139061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp715 description:zinc finger protein 715 [Source:MGI Symbol;Acc:MGI:1917180] MTLEGFGFATKKTSRTEDRGAMAADPNFQQKSQPLGAEEQPESCERWMSFEDVTVNFSQE EWQHLDSAQRCLYQEVMLEIYSHLLAVGYSIPSPGVIFRMEKGKEAQTAKAEFPGQRCQE KSGNDTLPQKASGKTSVYSNVASEVTRDGSWCSLLQELWQGADITKRGQQNQILLFPPGS FLKKTLSKNSDHEY >ENSMUSP00000122812.1 pep:known chromosome:GRCm38:7:43301610:43313063:-1 gene:ENSMUSG00000012640.16 transcript:ENSMUST00000135130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp715 description:zinc finger protein 715 [Source:MGI Symbol;Acc:MGI:1917180] MTLEGFGFATKKTSRTEDRGAMAADPNFQQKSQPLGAEEQPESCERWMSFEDVTVNFSQE EWQHLDSAQRCLYQEVMLEIYSHLLAVG >ENSMUSP00000038203.9 pep:known chromosome:GRCm38:15:86139129:86186141:-1 gene:ENSMUSG00000035891.16 transcript:ENSMUST00000044332.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cerk description:ceramide kinase [Source:MGI Symbol;Acc:MGI:2386052] MGAMGAAEPLHSVLWVKRRRCAVSLEPARALLRWWRSPEPGPSAPGADARSVLVSEIIAV EEKDDCEKHASSGRWHKMENPFAFTVHRVKRVRHHRWKWARVTFWSADEQLCHLWLQTLR GLLESLTSRPKHLLVFINPFGGKGQGKRIYEKTVAPLFTLASITTEIIITEHANQAKETL YEINTDSYDGIVCVGGDGMFSEVLHGVIGRTQQSAGIDPNHPRAVLVPSTLRIGIIPAGS TDCVCYSTVGTNDAETSALHIIIGDSLAIDVSSVHYHNTLLRYSVSLLGYGFYGDLIKDS EKKRWMGLVRYDFSGLKTFLSHQYYEGTLSFLPAQHTVGSPRDNKPCRAGCFVCRQSKQQ LEEEEKKALYGLENAEEMEEWQVTCGKFLAINATNMSCACPRSPGGLSPFAHLGDGSSDL ILIRKCSRFNFLRFLIRHTNQEDQFDFTFVEVYRVKKFHFTSKHVEDEDNDSKEQEKQKF GKICKDRPSCTCSASRSSWNCDGEVMHSPAIEVRVHCQLVRLFARGIEEES >ENSMUSP00000119472.1 pep:known chromosome:GRCm38:15:86140321:86152448:-1 gene:ENSMUSG00000035891.16 transcript:ENSMUST00000156546.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cerk description:ceramide kinase [Source:MGI Symbol;Acc:MGI:2386052] MGLVRYDFSGLKTFLSHQYYEGTLSFLPAQHTVGSPRDNKPCRAGCFVCRQSKQQLEEEE KKALYGLENAEEMEEWQVTCGKFLAINATNMSCACPRSPGGLSPFAHLGDGSSDLILIRK CSRFNFLRFLIRHTNQEDQFDFTFVEVYRVKKFHFTSKHVEDEDNDSKEQEKQKFGKICK DRPSCTCSASRSSWNCDGEVMHSPAIEVRVHCQLVRLFARGIEEES >ENSMUSP00000040240.2 pep:known chromosome:GRCm38:1:57377620:57385423:1 gene:ENSMUSG00000038323.3 transcript:ENSMUST00000042734.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700066M21Rik description:RIKEN cDNA 1700066M21 gene [Source:MGI Symbol;Acc:MGI:1920717] MLGSRRLRSPALVLLLLRPLLASGDSASRLQTRAMNPGGGERGSPEDSHRLQRSTVPGSD PQRSNELLLLTSREGDSPEQRHHVLYFPGDVQNYHEIMTRHPENYQWENWSLENIATILA RRFPNSYIWVIKCSRMHLHKFSCYDNFVKSNMFGAPEHTPDFGAFKHLYMLLVNAFNLTQ NGMLFKNRSVWNKDCKASNCESNPSTSNGGQKENERTCEHVDEPSMSFPPLSLDGASFTL IGFSKGCVVLNQLLFELKEAKKDKNIDAFIKSIRTMYWLDGGHSGGSNTWVTYPEVLEEF AQTGITVHTHVTPYQVHDPMRSWIGKEHKKFVQILRDLGMQVTSQIHFAKETPSIENHFR VHEVF >ENSMUSP00000110975.1 pep:known chromosome:GRCm38:6:28239927:28261911:-1 gene:ENSMUSG00000039841.14 transcript:ENSMUST00000115320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp800 description:zinc finger protein 800 [Source:MGI Symbol;Acc:MGI:1889334] MPLRDKYCQTDHHHHGCCEPVYILEPGDPPLLQQPVQTSKSGIQQIIECFRSGTKQLKHI LLKDVDTIFECKLCRSLFRGLPNLITHKKFYCPPSLQMDDNLPDVNDKQSQAISDLLEAI YPRVDKREYIIKLEPIETNQNAVFQYISRTDNPAEVTESSSTPEQTEVQIQETSSEQLKA VPDADTEVEEAIEPPSIETVVDEAAAPTEEQPQESQADLETSDSSDLGHQLICCLCRKEF NSRRGVRRHIRKVHKKKMEELKKYIETRKTPNQSSKGRSKSVLVSLSRSCPVCCKSFATK ANVRRHFDEVHRGLRRDSITPDIATKPGQPLFLDSASPKKSFKTRKQKSSKAEYNLTACK CLLCKRKYSSQIMLKRHMQIVHKITLSGANSKREKGPNNTANSSEVKVELADSVESSPPS ITHSPQNELKGTNHSNEKKNTPATQKNKVKQDSESPKSASPSAAGGQQKTRKPKLSAGFD FKQLYCKLCKRQFTSKQNLTKHIELHTDGNNIYVKFYKCPLCTYETRRKRDVIRHITVVH KKSSRYLGKITASLEIRAIKKPIDFVLNKVAKRGPSREEAKHNDSKQDGTSNSPSKKYEV ADVGIEVKVTKNFSLHRCNKCGKAFAKKTYLEHHKKTHKANATNSPEGNKTKGRSTRSKA LV >ENSMUSP00000039222.4 pep:known chromosome:GRCm38:6:28240240:28398005:-1 gene:ENSMUSG00000039841.14 transcript:ENSMUST00000035930.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp800 description:zinc finger protein 800 [Source:MGI Symbol;Acc:MGI:1889334] MPLRDKYCQTDHHHHGCCEPVYILEPGDPPLLQQPVQTSKSGIQQIIECFRSGTKQLKHI LLKDVDTIFECKLCRSLFRGLPNLITHKKFYCPPSLQMDDNLPDVNDKQSQAISDLLEAI YPRVDKREYIIKLEPIETNQNAVFQYISRTDNPAEVTESSSTPEQTEVQIQETSSEQLKA VPDADTEVEEAIEPPSIETVVDEAAAPTEEQPQESQADLETSDSSDLGHQLICCLCRKEF NSRRGVRRHIRKVHKKKMEELKKYIETRKTPNQSSKGRSKSVLVSLSRSCPVCCKSFATK ANVRRHFDEVHRGLRRDSITPDIATKPGQPLFLDSASPKKSFKTRKQKSSKAEYNLTACK CLLCKRKYSSQIMLKRHMQIVHKITLSGANSKREKGPNNTANSSEVKVELADSVESSPPS ITHSPQNELKGTNHSNEKKNTPATQKNKVKQDSESPKSASPSAAGGQQKTRKPKLSAGFD FKQLYCKLCKRQFTSKQNLTKHIELHTDGNNIYVKFYKCPLCTYETRRKRDVIRHITVVH KKSSRYLGKITASLEIRAIKKPIDFVLNKVAKRGPSREEAKHNDSKQDGTSNSPSKKYEV ADVGIEVKVTKNFSLHRCNKCGKAFAKKTYLEHHKKTHKANATNSPEGNKTKGRSTRSKA LV >ENSMUSP00000110976.2 pep:known chromosome:GRCm38:6:28241449:28261923:-1 gene:ENSMUSG00000039841.14 transcript:ENSMUST00000115321.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp800 description:zinc finger protein 800 [Source:MGI Symbol;Acc:MGI:1889334] MPLRDKYCQTDHHHHGCCEPVYILEPGDPPLLQQPVQTSKSGIQQIIECFRSGTKQLKHI LLKDVDTIFECKLCRSLFRGLPNLITHKKFYCPPSLQMDDNLPDVNDKQSQAISDLLEAI YPRVDKREYIIKLEPIETNQNAVFQYISRTDNPAEVTESSSTPEQTEVQIQETSSEQLKA VPDADTEVEEAIEPPSIETVVDEAAAPTEEQPQESQADLETSDSSDLGHQLICCLCRKEF NSRRGVRRHIRKVHKKKMEELKKYIETRKTPNQSSKGRSKSVLVSLSRSCPVCCKSFATK ANVRRHFDEVHRGLRRDSITPDIATKPGQPLFLDSASPKKSFKTRKQKSSKAEYNLTACK CLLCKRKYSSQIMLKRHMQIVHKITLSGANSKREKGPNNTANSSEVKVELADSVESSPPS ITHSPQNELKGTNHSNEKKNTPATQKNKVKQDSESPKSASPSAAGGQQKTRKPKLSAGFD FKQLYCKLCKRQFTSKQNLTKHIELHTDGNNIYVKFYKCPLCTYETRRKRDVIRHITVVH KKSSRYLGKITASLEIRAIKKPIDFVLNKVAKRGPSREEAKHNDSKQDGTSNSPSKKYEV ADVGIEVKVTKNFSLHRCNKCGKAFAKKTYLEHHKKTHKANATNSPEGNKTKGRSTRSKA LV >ENSMUSP00000114604.1 pep:known chromosome:GRCm38:6:28243558:28261914:-1 gene:ENSMUSG00000039841.14 transcript:ENSMUST00000123098.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp800 description:zinc finger protein 800 [Source:MGI Symbol;Acc:MGI:1889334] MPLRDKYCQTDHHHHGCCEPVYILEPGDPPLLQQPVQTSKSGIQQIIECFRSGTKQLKHI LLKDVDTIFECKLCRSLFRGLPNLITHKKFYCPPSLQMDDNLPDVNDKQSQAISDLLEAI YPRVDKREYIIKLEPIETNQNAVFQYISRTDNPAEVTESSSTPEQTEVQIQETSSEQLKA VPDADTEVEEAIEPPSIETVVDEAAAPTEEQPQESQADLETSDSSDLGHQLICCLCRKEF NSRRGVRRHIRKVHKKKMEELKKYIETRKTPNQSSKGRSKSVLVSLSRSCPVCCKSFATK ANVRRHFDEVHRGLRRDSITPDIATKPGQPLFLDSASPKKSFKTRKQKSSKAEYNLTACK CLLCKRKYSSQIMLKRHMQIVHKITLSGANSKREKGPNNTANSSEVKVELADSVESSPPS ITHSPQNELKGTNHSNEKKNTPATQKNKVKQDSESPKSASPSAAGGQQK >ENSMUSP00000120392.1 pep:known chromosome:GRCm38:6:28244485:28261932:-1 gene:ENSMUSG00000039841.14 transcript:ENSMUST00000155494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp800 description:zinc finger protein 800 [Source:MGI Symbol;Acc:MGI:1889334] MPLRDKYCQTDHHHHGCCEPVYILEPGDPPLLQQPVQTSKSGIQQIIECFRSGTKQLKHI LLKDVDTIFECKLCRSLFRGLPNLITHKKFYCPPSLQMDDNLPDVNDKQSQAISDLLEAI YPRVDKREYIIKLEPIETNQNAVFQYISRTDNPAEVTESS >ENSMUSP00000113890.1 pep:known chromosome:GRCm38:13:100144063:100202092:-1 gene:ENSMUSG00000078945.10 transcript:ENSMUST00000117913.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naip2 description:NLR family, apoptosis inhibitory protein 2 [Source:MGI Symbol;Acc:MGI:1298226] MAAQGEAVEEIICEFDDDLVSELSTLLRVDALSVLKRQQEEDHKTRMKMKKGFNSQMRSE AKRLKTFETYDKFRSWTPQEMAAAGFYHTGVKLGVQCFCCSLILFSTRLRKLPIENHKKL RPECEFLLGKDVGNIGKYDIRVKSPEKMLRGDKARYHEEEARLESFEDWPFYAHGTSPRV LSAAGFVFTGKRDTVQCFSCGGCLGNWEEGDDPWKEHAKWFPKCEFLQSKKSPEEITQYV QSYEGFLHVTGEHFVNSWVRRELPMVSAYCNDSVFANEELRMDTFKDWPHESPGAVEALV KAGLFYTGKRDIVQCFSCGGCMEKWAEGDNPIEDHTKFFPNCVFLQTLKSSAEVIPALQS HCALPEAMETTSESNHDDAAAVHSTVVDVSPSEAQELEPASSLVSVLCRDQDHSEAQGRG CASSGTYLPSTDLGQSEAQWLQEARSLSEQLRDTYTKATFRHMNLPEVYSSLGTDHLLSC DVSIISKHISQPVQGSLTIPEVFSNLNSVMCVEGEAGSGKTTFLKRIAFLWASGCCPLLY RFQLVFYLSLSSITPGQELAKIICAQLLGAGGCISEVCLSSIIQQLQHQVLFLLDDYSGL ASLPQALHTLITKNYLSRTCLLIAVHTNKVRGIRPYLDTSLEIKEFPFYNTVSVLRKLFS HDIMRVRKFINYFGFHEELQGIHKTPLFVAAVCTDWFKNPSDQPFQDVALFKAYMQYLSL KHKGAAKPLQATVSSCGQLALTGLFSSCFEFNSDNLAEAGVDEDEELTTCLMSKFTAQRL RPVYRFLGPLFQEFLAAVRLTELLSSDRQEDQDLGLYYLRQINSPLKAMSIYHTFLKYVS SHPSSKAAPTVVSHLLQLVDEKESLENMSENEDYMKLHPEALLWIECLRGLWQLSPESFS LFISENLLRICLNFAHESNTVAACSPVILQFLRGRTLDLKVLSLQYFWDHPETLLLLKSI KISLNGNNWVQRIDFSLIEKSFEKVQPPTIDQDYAIAFQPINEVQKNLSEKKHIIKKYED MKHQIPLNISTGYWKLSPKPYKIPKLEVQVTNTGPADQALLQVLMEVFSASQSIEFRLSD SSGFLESIRPALELSKASVTKCSMSRLELSREDQKLLLTLPTLQSLEVSETNQLPDQLFH NLHKFLGLKELCVRLDSKPDVLSVLPGEFPNLHHMEKLSIRTSTESDLSKLVKLIQNSPN LHVFHLKCNFLSNCEPLMTVLASCKKLREIEFSGRCFEAMTFVNILPNFVFLKILNLRDQ QFPDKETSEKFAQALGSLRNLEKLFVPTGDGIHQVAKLIVRQCLQLPCLRVLVFAETLDD DSVLEIAKGATRGGFQKLENLDLTLNHKITEEGYRNFFQVLDNLPNLKNLDISRHIPECI QIQAITVKALGQCVSRLPSLTRLGMLSWLLDEEDIKVINDVKERHPQSKRLTVHWRWVVP FSPVIQK >ENSMUSP00000125852.2 pep:known chromosome:GRCm38:13:100144231:100201961:-1 gene:ENSMUSG00000078945.10 transcript:ENSMUST00000167986.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naip2 description:NLR family, apoptosis inhibitory protein 2 [Source:MGI Symbol;Acc:MGI:1298226] MAAQGEAVEEIICEFDDDLVSELSTLLRVDALSVLKRQQEEDHKTRMKMKKGFNSQMRSE AKRLKTFETYDKFRSWTPQEMAAAGFYHTGVKLGVQCFCCSLILFSTRLRKLPIENHKKL RPECEFLLGKDVGNIGKYDIRVKSPEKMLRGDKARYHEEEARLESFEDWPFYAHGTSPRV LSAAGFVFTGKRDTVQCFSCGGCLGNWEEGDDPWKEHAKWFPKCEFLQSKKSPEEITQYV QSYEGFLHVTGEHFVNSWVRRELPMVSAYCNDSVFANEELRMDTFKDWPHESPGAVEALV KAGLFYTGKRDIVQCFSCGGCMEKWAEGDNPIEDHTKFFPNCVFLQTLKSSAEVIPALQS HCALPEAMETTSESNHDDAAAVHSTVVDVSPSEAQELEPASSLVSVLCRDQDHSEAQGRG CASSGTYLPSTDLGQSEAQWLQEARSLSEQLRDTYTKATFRHMNLPEVYSSLGTDHLLSC DVSIISKHISQPVQGSLTIPEVFSNLNSVMCVEGEAGSGKTTFLKRIAFLWASGCCPLLY RFQLVFYLSLSSITPGQELAKIICAQLLGAGGCISEVCLSSIIQQLQHQVLFLLDDYSGL ASLPQALHTLITKNYLSRTCLLIAVHTNKVRGIRPYLDTSLEIKEFPFYNTVSVLRKLFS HDIMRVRKFINYFGFHEELQGIHKTPLFVAAVCTDWFKNPSDQPFQDVALFKAYMQYLSL KHKGAAKPLQATVSSCGQLALTGLFSSCFEFNSDNLAEAGVDEDEELTTCLMSKFTAQRL RPVYRFLGPLFQEFLAAVRLTELLSSDRQEDQDLGLYYLRQINSPLKAMSIYHTFLKYVS SHPSSKAAPTVVSHLLQLVDEKESLENMSENEDYMKLHPEALLWIECLRGLWQLSPESFS LFISENLLRICLNFAHESNTVAACSPVILQFLRGRTLDLKVLSLQYFWDHPETLLLLKSI KISLNGNNWVQRIDFSLIEKSFEKVQPPTIDQDYAIAFQPINEVQKNLSEKKHIIKKYED MKHQIPLNISTGYWKLSPKPYKIPKLEVQVTNTGPADQALLQVLMEVFSASQSIEFRLSD SSGFLESIRPALELSKASVTKCSMSRLELSREDQKLLLTLPTLQSLEVSETNQLPVKLIQ NSPNLHVFHLKCNFLSNCEPLMTVLASCKKLREIEFSGRCFEAMTFVNILPNFVFLKILN LRDQQFPDKETSEKFAQALGSLRNLEKLFVPTGDGIHQVAKLIVRQCLQLPCLRVLVFAE TLDDDSVLEIAKGATRGGFQKLENLDLTLNHKITEEGYRNFFQVLDNLPNLKNLDISRHI PECIQIQAITVKALGQCVSRLPSLTRLGMLSWLLDEEDIKVINDVKERHPQSKRLTVHWR WVVPFSPVIQK >ENSMUSP00000070827.5 pep:known chromosome:GRCm38:13:100144063:100197147:-1 gene:ENSMUSG00000078945.10 transcript:ENSMUST00000067975.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naip2 description:NLR family, apoptosis inhibitory protein 2 [Source:MGI Symbol;Acc:MGI:1298226] MAAQGEAVEEIICEFDDDLVSELSTLLRVDALSVLKRQQEEDHKTRMKMKKGFNSQMRSE AKRLKTFETYDKFRSWTPQEMAAAGFYHTGVKLGVQCFCCSLILFSTRLRKLPIENHKKL RPECEFLLGKDVGNIGKYDIRVKSPEKMLRGDKARYHEEEARLESFEDWPFYAHGTSPRV LSAAGFVFTGKRDTVQCFSCGGCLGNWEEGDDPWKEHAKWFPKCEFLQSKKSPEEITQYV QSYEGFLHVTGEHFVNSWVRRELPMVSAYCNDSVFANEELRMDTFKDWPHESPGAVEALV KAGLFYTGKRDIVQCFSCGGCMEKWAEGDNPIEDHTKFFPNCVFLQTLKSSAEVIPALQS HCALPEAMETTSESNHDDAAAVHSTVVDVSPSEAQELEPASSLVSVLCRDQDHSEAQGRG CASSGTYLPSTDLGQSEAQWLQEARSLSEQLRDTYTKATFRHMNLPEVYSSLGTDHLLSC DVSIISKHISQPVQGSLTIPEVFSNLNSVMCVEGEAGSGKTTFLKRIAFLWASGCCPLLY RFQLVFYLSLSSITPGQELAKIICAQLLGAGGCISEVCLSSIIQQLQHQVLFLLDDYSGL ASLPQALHTLITKNYLSRTCLLIAVHTNKVRGIRPYLDTSLEIKEFPFYNTVSVLRKLFS HDIMRVRKFINYFGFHEELQGIHKTPLFVAAVCTDWFKNPSDQPFQDVALFKAYMQYLSL KHKGAAKPLQATVSSCGQLALTGLFSSCFEFNSDNLAEAGVDEDEELTTCLMSKFTAQRL RPVYRFLGPLFQEFLAAVRLTELLSSDRQEDQDLGLYYLRQINSPLKAMSIYHTFLKYVS SHPSSKAAPTVVSHLLQLVDEKESLENMSENEDYMKLHPEALLWIECLRGLWQLSPESFS LFISENLLRICLNFAHESNTVAACSPVILQFLRGRTLDLKVLSLQYFWDHPETLLLLKSI KISLNGNNWVQRIDFSLIEKSFEKVQPPTIDQDYAIAFQPINEVQKNLSEKKHIIKKYED MKHQIPLNISTGYWKLSPKPYKIPKLEVQVTNTGPADQALLQVLMEVFSASQSIEFRLSD SSGFLESIRPALELSKASVTKCSMSRLELSREDQKLLLTLPTLQSLEVSETNQLPDQLFH NLHKFLGLKELCVRLDSKPDVLSVLPGEFPNLHHMEKLSIRTSTESDLSKLVKLIQNSPN LHVFHLKCNFLSNCEPLMTVLASCKKLREIEFSGRCFEAMTFVNILPNFVFLKILNLRDQ QFPDKETSEKFAQALGSLRNLEKLFVPTGDGIHQVAKLIVRQCLQLPCLRVLVFAETLDD DSVLEIAKGATRGGFQKLENLDLTLNHKITEEGYRNFFQVLDNLPNLKNLDISRHIPECI QIQAITVKALGQCVSRLPSLTRLGMLSWLLDEEDIKVINDVKERHPQSKRLTVHWRWVVP FSPVIQK >ENSMUSP00000120528.1 pep:known chromosome:GRCm38:4:3831334:3835665:-1 gene:ENSMUSG00000028234.6 transcript:ENSMUST00000138502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps20 description:ribosomal protein S20 [Source:MGI Symbol;Acc:MGI:1914677] MAFKDTGKTPVEPEVAIHRIRITLTSRNVKSLEKVCADLIRGAKEKNLKVKGPVRMPTKT LRITTRKTPCGEGSKTWDRFQMRIHKRLIDLHSPSEIVKQITSISIEPGVEVEVTIADA >ENSMUSP00000029336.4 pep:known chromosome:GRCm38:3:62468013:62507004:-1 gene:ENSMUSG00000027770.5 transcript:ENSMUST00000029336.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx36 description:DEAH (Asp-Glu-Ala-His) box polypeptide 36 [Source:MGI Symbol;Acc:MGI:1919412] MSYDYHQSWSRDGGPRGSGQGSSGGGGGGSRGSGGGGGGRGGRGRHPAHLKGREIGLWYA KKQTQKNKEAERQERAVVHMDERREEQIVQLLNSVQAKTDKDSEAQISWFAPEDHGYGTE VSSEKKINSEKKLDNQEKKLLNQEKKTFRITDKSYIDRDSEYLLQENEPNLSLDQHLLED LQRKKTDPRYIEMQRFRKKLPSYGMQKELVNLINNHQVTVISGETGCGKTTQVTQFILDN YIERGKGSACRIVCTQPRRISAISVAERVATERAESCGNGNSTGYQIRLQSRLPRKQGSI LYCTTGIILQWLQSDSRLSSVSHIVLDEIHERNLQSDVLMTVIKDLLHFRSDLKVILMSA TLNAEKFSEYFGNCPMIHIPGFTFPVVEYLLEDIIEKIRYVPDQKEHRSQFKRGFMQGHV NRQEKEEKEAIYKERWPAYIKELRTRYSASTVDVLQMMDDDKVDLNLIAALIRYIVLEEE DGAILVFLPGWDNISTLHDLLMSQVMFKSDKFLIIPLHSLMPTVNQTQVFKKTPPGVRKI VIATNIAETSITIDDVVYVIDGGKIKETHFDTQNNISTMSAEWVSKANAKQRKGRAGRVQ PGHCYHLYNGLRASLLDDYQLPEILRTPLEELCLQIKILRLGGIAYFLSRLMDPPSNEAV VLSIKHLMELSALDKQEELTPLGVHLARLPVEPHIGKMILFGALFCCLDPVLTIAASLSF KDPFVIPLGKEKIADARRKELAKETRSDHLTVVNAFEGWEEAKRRGFRYEKDYCWEYFLS SNTLQMLHNMKGQFAEHLLGAGFVSSRSPKDPKANINSDNEKIIKAVICAGLYPKVAKIR LNLGKKRKMVKVHTKSDGLVSIHPKSVNVEQTDFHYNWLIYHLKMRTSSIYLYDCTEVSP YCLLFFGGDISIQKDKDQEIIAVDEWIVFQSPERIAHLVKGLRKELDSLLQEKIESPHPV DWDDTKSRDCAVLSAILDLIKTQEKATPRNLPPRSQDGYYS >ENSMUSP00000071935.1 pep:known chromosome:GRCm38:2:88817173:88818102:1 gene:ENSMUSG00000064084.1 transcript:ENSMUST00000072057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1202 description:olfactory receptor 1202 [Source:MGI Symbol;Acc:MGI:3031036] MEIKNNVTEFVLLGLTQNLHLQKIVFVVFLVIYVFSVVGNLLIVITITNSQLLGYPMYYF LAYLSFIDACYSSVNTPKVIADSLHKRKSIKFNGCMTQVFAEHFIGGTEVILLTVMAYDR YVAICKPLHYATIMNRQLCNILVGVSWVGGFLHGGIQILFIIGLPFCGPNVIDHFMCDLN PLLDLACIDTHILGLFVAANSGFICLLNFLLLLVSYLVILNSLRSHSAEGRRKALSTCVS HITVVVLFFVPCIFVYMRPVATLPIDKAVTLFYTMITPMLNPLIYTLRNAQMKNAIWKLF SVKVQSDDK >ENSMUSP00000027009.4 pep:known chromosome:GRCm38:9:5345430:5373032:1 gene:ENSMUSG00000025887.10 transcript:ENSMUST00000027009.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp12 description:caspase 12 [Source:MGI Symbol;Acc:MGI:1312922] MAARRTHERDPIYKIKGLAKDMLDGVFDDLVEKNVLNGDELLKIGESASFILNKAENLVE NFLEKTDMAGKIFAGHIANSQEQLSLQFSNDEDDGPQKICTPSSPSESKRKVEDDEMEVN AGLAHESHLMLTAPHGLQSSEVQDTLKLCPRDQFCKIKTERAKEIYPVMEKEGRTRLALI ICNKKFDYLFDRDNADTDILNMQELLENLGYSVVLKENLTAQEMETELMQFAGRPEHQSS DSTFLVFMSHGILEGICGVKHRNKKPDVLHDDTIFKIFNNSNCRSLRNKPKILIMQACRG RYNGTIWVSTNKGIATADTDEERVLSCKWNNSITKAHVETDFIAFKSSTPHNISWKVGKT GSLFISKLIDCFKKYCWCYHLEEIFRKVQHSFEVPGELTQMPTIERVSMTRYFYLFPGN >ENSMUSP00000121565.1 pep:known chromosome:GRCm38:9:5345476:5372881:1 gene:ENSMUSG00000025887.10 transcript:ENSMUST00000151788.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Casp12 description:caspase 12 [Source:MGI Symbol;Acc:MGI:1312922] MAARRTHERDPIYKIKGLAKDMLDGVFDDLVEKNVLNGDELLKIGESASFILNKAENLVE NFLEKTDMAGKIFAGHIANSQEQLSLQFSNDEDDGPQKICTPSSPSESKRKVEDDEMEVN AGLAHESHLMLTAPHGLQSSEVQDTLKLCPRDQFCKIKTERAKEIYPVMEKEGRTRLALI ICNKKFDYLFDRDNADTDILNMQELLENLGYSVVLKENLTAQSLFRKWRQS >ENSMUSP00000122201.1 pep:known chromosome:GRCm38:9:5345504:5358823:1 gene:ENSMUSG00000025887.10 transcript:ENSMUST00000151332.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casp12 description:caspase 12 [Source:MGI Symbol;Acc:MGI:1312922] MAARRTHERDPIYKIKEFSNDEDDGPQKICTPSSPSESKRKVEDDEMEVNAGLAHESHLM LTAPHGLQSSEVQDTLKLCPRDQFCKIKTERAKEIYPVMEKEGRTRLALIICNKKFDYLF DRDNADTDILNMQELLENLGYSVVLKENLTAQEMETELMQFAGRPEHQSSDSTFLVFMSH GILEGICGVKHRNKKPDVLHDDTIFKIFNNSNCRSLRNKPKILIMQACRGRYNGTIWVST NKGIATADTDEERVLSCKWNNSITKAHVETDFIAFKSSTPHNISWKVGKTGSLFISKLID CFKKYCWCYHLEEIFRKVQHSFEVPGELTQMPTIERVSMTRYFYLFPGN >ENSMUSP00000130215.1 pep:known chromosome:GRCm38:14:32085374:32091676:1 gene:ENSMUSG00000021906.13 transcript:ENSMUST00000166810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxnad1 description:oxidoreductase NAD-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1916953] MAHVALIPGLS >ENSMUSP00000132162.1 pep:known chromosome:GRCm38:14:32085376:32096544:1 gene:ENSMUSG00000021906.13 transcript:ENSMUST00000171598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxnad1 description:oxidoreductase NAD-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1916953] MAHVALIPGLSRGSVGAVCTQAASWGLKASTLRHLTLASIIKSRRKTDHLERTASVLRRE VMAAAKVCEITHESPSVKSLRLLVADKDFSFKAGQWVDFFIPGVSVVGGFSICSS >ENSMUSP00000022462.7 pep:known chromosome:GRCm38:14:32085413:32103202:1 gene:ENSMUSG00000021906.13 transcript:ENSMUST00000022462.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxnad1 description:oxidoreductase NAD-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1916953] MAHVALIPGLSRGSVGAVCTQAASWGLKASTLRHLTLASIIKSRRKTDHLERTASVLRRE VMAAAKVCEITHESPSVKSLRLLVADKDFSFKAGQWVDFFIPGVSVVGGFSICSSPQRLE RDRIIELAVKYADHPPAVWVHNKCTLDSEVALRVGGEFFFDPQPTDAPRNLILIAGGVGI NPLLSILRHSADLHRDHADKGRSYEIGTVKLFYSAKNTSELLFKKDILDLVHEFPEKISC SFHVTKQTAQISAELKPYVTDGRITEKEIRDHISAETLFYVCGPPPMTDFFSKHLESCHV PKEHICFEKWW >ENSMUSP00000129545.1 pep:known chromosome:GRCm38:14:32085787:32099631:1 gene:ENSMUSG00000021906.13 transcript:ENSMUST00000165523.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oxnad1 description:oxidoreductase NAD-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1916953] MAHVALIPGLSRGSVGAVCTQAASWGLKASTLRHLTLASIIKSRRKTDHLERTASVLRRE G >ENSMUSP00000125791.1 pep:known chromosome:GRCm38:14:32085811:32103202:1 gene:ENSMUSG00000021906.13 transcript:ENSMUST00000164868.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oxnad1 description:oxidoreductase NAD-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1916953] MAHVALIPGLSRGSVGAVCTQAASWGLKASTLRHLTLASIIKSRRKTDHLERTASVLRRE VMAAAKVCEITHESPSVKSLRLLVADKDFSFKAGQWVDFFIPGVSVVGGFSICSSPQRLE RDRIIELAVKYADHPPAVWVHNKISTGTTQTKDVAMR >ENSMUSP00000130998.1 pep:known chromosome:GRCm38:14:32085826:32099500:1 gene:ENSMUSG00000021906.13 transcript:ENSMUST00000170600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxnad1 description:oxidoreductase NAD-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1916953] MAHVALIPGLSRGSVGAVCTQAASWGLKASTLRHLTLASIIKSRRKTDHLERTASVLRRE VMAAAKVCEITHESPSVKSLRLLVADKDFSFKAGQWVDFFIPGVSVVGGFSICSSPQRLE RDRIIELAVKYADHPPAVWVHNKPTDAPRNLILIAGGVGI >ENSMUSP00000126372.1 pep:known chromosome:GRCm38:14:32085834:32096624:1 gene:ENSMUSG00000021906.13 transcript:ENSMUST00000168986.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxnad1 description:oxidoreductase NAD-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1916953] MAHVALIPGLSRGSVGAVCTQAASWGLKASTLRHLTLASIIKSRRKTDHLERTASVLRRE VMAAAKVCEITHESPSVKSLRLLVADKDFSFKAGQWVDFFIPGVSVVGGFSICSSPQRLE RDRIIELAVKYADHPPAVWVH >ENSMUSP00000126731.1 pep:known chromosome:GRCm38:14:32085877:32096584:1 gene:ENSMUSG00000021906.13 transcript:ENSMUST00000169649.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxnad1 description:oxidoreductase NAD-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1916953] MAHVALIPGLSRGSVGAVCTQAASWGLKASTLRHLTLARVDFFIPGVSVVGGFSICSSPQ RLERDRIIELA >ENSMUSP00000132560.1 pep:known chromosome:GRCm38:14:32095399:32102655:1 gene:ENSMUSG00000021906.13 transcript:ENSMUST00000170519.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oxnad1 description:oxidoreductase NAD-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1916953] XESPSVKSLRLLVADKDFSFKAGQWVDFFIPGVSVVGGFSICSSPQRLERDRIIELAVKY ADHPPAVWVHNKISTGTTQTKDVAMR >ENSMUSP00000127884.1 pep:known chromosome:GRCm38:14:32095462:32102852:1 gene:ENSMUSG00000021906.13 transcript:ENSMUST00000171849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxnad1 description:oxidoreductase NAD-binding domain containing 1 [Source:MGI Symbol;Acc:MGI:1916953] XGQWVDFFIPGVSVVGGFSICSSPQRLERDRIIELAVKYADHPPAVWVHNKGHISDCHKG GGGERVPKGRTTGRQAYRIYASKQTLAWSAKFKWLKQLKTTWVST >ENSMUSP00000029771.8 pep:known chromosome:GRCm38:3:121723537:121735048:1 gene:ENSMUSG00000028128.13 transcript:ENSMUST00000029771.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F3 description:coagulation factor III [Source:MGI Symbol;Acc:MGI:88381] MAILVRPRLLAALAPTFLGCLLLQVTAGAGIPEKAFNLTWISTDFKTILEWQPKPTNYTY TVQISDRSRNWKNKCFSTTDTECDLTDEIVKDVTWAYEAKVLSVPRRNSVHGDGDQLVIH GEEPPFTNAPKFLPYRDTNLGQPVIQQFEQDGRKLNVVVKDSLTLVRKNGTFLTLRQVFG KDLGYIITYRKGSSTGKKTNITNTNEFSIDVEEGVSYCFFVQAMIFSRKTNQNSPGSSTV CTEQWKSFLGETLIIVGAVVLLATIFIILLSISLCKRRKNRAGQKGKNTPSRLA >ENSMUSP00000143678.1 pep:known chromosome:GRCm38:3:121729547:121735048:1 gene:ENSMUSG00000028128.13 transcript:ENSMUST00000199997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F3 description:coagulation factor III [Source:MGI Symbol;Acc:MGI:88381] XRDTNLGQPVIQQFEQDGRKLNVVVKDSLTLVRKNGTFLTLRQVFGKDLGYIITYRKGSS TGKKHSSLWEQWCSWPPSLSSSCPYLCASAERTERDRKGRTPRRAWHRGKAEAANAHTAC TALLRRALMGTVQHGAWSLPILAQRGCLHGLLLQLTL >ENSMUSP00000050694.4 pep:known chromosome:GRCm38:7:106713732:106714679:-1 gene:ENSMUSG00000109058.1 transcript:ENSMUST00000060879.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr695 description:olfactory receptor 695 [Source:MGI Symbol;Acc:MGI:3030529] MEVFNSTLGSGFILVGILNDSGFPEMLCAIIIALYFLALTSNGLLLLVITMDARLHMPMY LLLWQLSLMDLLQPSVIIPKAVLDFLLKDNTISFGGCAFQMFLALTLGSAEDLLLSFMAY DRYVAICHPLNYTILMSQKVCYLMIATSWILASLSALGYSMYTMQYPFCKSRQIRHLFCE IPPLLKLACGDTSTYELMVYLMGVTLLFPALAAILTSYSLILLTVLHMPSNEGRKKALVT CSSHLTVVGMWYGGAIFMYILPSSFHSPKQDNISSVFYMIVTPALNPLIYSLRNNEVIEA LKRVLGKKFSVHSTF >ENSMUSP00000054852.9 pep:known chromosome:GRCm38:11:5520659:5525893:1 gene:ENSMUSG00000020484.18 transcript:ENSMUST00000063084.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xbp1 description:X-box binding protein 1 [Source:MGI Symbol;Acc:MGI:98970] MVVVAAAPSAATAAPKVLLLSGQPASGGRALPLMVPGPRAAGSEASGTPQARKRQRLTHL SPEEKALRRKLKNRVAAQTARDRKKARMSELEQQVVDLEEENHKLQLENQLLREKTHGLV VENQELRTRLGMDTLDPDEVPEVEAKGSGVRLVAGSAESAALRLCAPLQQVQAQLSPPQN IFPWTLTLLPLQILSLISFWAFWTSWTLSCFSNVLPQSLLVWRNSQRSTQKDLVPYQPPF LCQWGPHQPSWKPLMNSFVLTMYTPSL >ENSMUSP00000135768.1 pep:known chromosome:GRCm38:11:5521663:5524943:1 gene:ENSMUSG00000020484.18 transcript:ENSMUST00000149623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xbp1 description:X-box binding protein 1 [Source:MGI Symbol;Acc:MGI:98970] MKAGDDSVMFPVSSPRKLKNRVAAQTARDRKKARMSELEQQVVDLEEENHKLQLENQLLR EKTHGLVVENQELRTRLGMDTLDPDEVPEVEAKGSGVRLVAGSAESAALRLCAPLQQVQA QLSPPQNIFPWTLTLLPLQILSLISFWAFWTSWTLSCFSNVLPQSLLVWRNSQRSTQKDL VPYQPPFLCQWGPHQPSWKPLMNSFVLTMYTPSL >ENSMUSP00000134088.1 pep:known chromosome:GRCm38:11:5521923:5525105:1 gene:ENSMUSG00000020484.18 transcript:ENSMUST00000149159.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xbp1 description:X-box binding protein 1 [Source:MGI Symbol;Acc:MGI:98970] XKLKNRVAAQTARDRKKARMSELEQQVVDLEEENHKLQLENQLLREKTHGLVVENQELRT RLGMDTLDPDEVPEVEAKGSGVRLVAGSAESAALRLCAPLQQVQAQLSPPQNIFPWTLTL LPLQILR >ENSMUSP00000121816.1 pep:known chromosome:GRCm38:5:36490604:36574325:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000146430.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MTDGNLSTSMNGVALMGILDGRQGDSLQDLQHLSIKAAPRSLSVPDYGPSLKLGALEDRH SLQSVDSGIPTLEIGNPEPVPCSVVHVKRKQSESEIVPERAFQSACPLPSCTPSAPTCSE REQVVRKSSTFPRTGYDSVKLYSPTSKALSRSDNVSVCSVSSLGTELSTTLSVSNEDILD LMVTSNSSAIVTLENDDDPQFTDVTLSSINETSDLHQQDCVAETEEGRKLKLLHPFSHFF TRNLLARKQNARLDRQRDLGWKLFGKVPLRETAQKDSKKTQKEYEDKAGRPSRPPSPKQN VRKNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYEEMVLQAKKRELKEAQRRRKQ LEERCKVEESIGNAVLTWNNEILPNWETMWCSKKVRDLWWQGIPPSVRGKVWSLAIGNEL NITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADREASLELIKLDISRTFPNLCI FQQGGPYHDMLHSILGAYTCYRPDVGYVQGMSFIAAVLILNLDTADAFIAFSNLLNKPCQ MAFFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTADIYLIDWIFTLYSKSLPLDL ACRIWDVFCRDGEEFLFRTALGILKLFEDILTRMDFIHSAQFLTRLPEDLPADEVFAAIS TVQMQSRNKKWAQVLSALQKDSREMEKGSPSLRH >ENSMUSP00000115467.1 pep:known chromosome:GRCm38:5:36490604:36581803:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000136189.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MTDGNLSTSMNGVALMGILDGRQGDSLQDLQHLSIKAAPRSLSVPDYGPSLKLGALEDRH SLQSVDSGIPTLEIGNPEPVPCSVVHVKRKQSESEIVPERAFQSACPLPSCTPSAPTCSE REQVVRKSSTFPRTGYDSVKLYSPTSKALSRSDNVSVCSVSSLGTELSTTLSVSNEDILD LMVTSNSSAIVTLENDDDPQFTDVTLSSINETSDLHQQDCVAETEEGRKLKLLHPFSHFF TRNLLARKQNARLDRQRDLGWKLFGKVPLRETAQKDSKKTQKEYEDKAGRPSRPPSPKQN VRKNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYEEMVLQAKKRELKEAQRRRKQ LEERCKVEESIGNAVLTWNNEILPNWETMWCSKKVRDLWWQGIPPSVRGKVWSLAIGNEL NITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADREASLELIKLDISRTFPNLCI FQQVFFEENLPKLFAHFKKNNLTADIYLIDWIFTLYSKSLPLDLACRIWDVFCRDGEEFL FRTALGILKLFEDILTRMDFIHSAQFLTRLPEDLPADEVFAAISTVQMQSRNKKWAQVLS ALQKDSREMEKGSPSLRH >ENSMUSP00000031094.8 pep:known chromosome:GRCm38:5:36490604:36582397:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000031094.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MTDGNLSTSMNGVALMGILDGRQGDSLQDLQHLSIKAAPRSLSVPDYGPSLKLGALEDRH SLQSVDSGIPTLEIGNPEPVPCSVVHVKRKQSESEIVPERAFQSACPLPSCTPSAPTCSE REQVVRKSSTFPRTGYDSVKLYSPTSKALSRSDNVSVCSVSSLGTELSTTLSVSNEDILD LMVTSNSSAIVTLENDDDPQFTDVTLSSINETSDLHQQDCVAETEEGRKLKLLHPFSHFF TRNLLARKQNARLDRQRDLGWKLFGKVPLRETAQKDSKKTQKEYEDKAGRPSRPPSPKQN VRKNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYEEMVLQAKKRELKEAQRRRKQ LEERCKVEESIGNAVLTWNNEILPNWETMWCSKKVRDLWWQGIPPSVRGKVWSLAIGNEL NITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADREASLELIKLDISRTFPNLCI FQQGGPYHDMLHSILGAYTCYRPDVGYVQGMSFIAAVLILNLDTADAFIAFSNLLNKPCQ MAFFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTADIYLIDWIFTLYSKSLPLDL ACRIWDVFCRDGEEFLFRTALGILKLFEDILTRMDFIHSAQFLTRLPEDLPADEVFAAIS TVQMQSRNKKWAQVLSALQKDSREMEKGSPSLRH >ENSMUSP00000114508.1 pep:known chromosome:GRCm38:5:36490608:36531602:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000126077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MEYEDKAGRPSRPPSPKQNVRKNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYEE MVLQAKKRELKEAQRRRKQLEERCKVEESIGNAVLTWNNEILPNWETMWCSKKVRDLWWQ GIPPSVRGKVWSLAIGNELNITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADRE ASLELIKLDISRTFPNLCIFQQGGPYHDMLHSILGAYTCYRPDVGYVQGMSFIAAVLILN LDTADAFIAFSNLLNKPCQMAFFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTAD IYLIDWIFTLYSKSLPLDLACRIWDVFCRDGEEFLFRTALGILKLFEDILTRMDFIHSAQ FLTRLPEDLPADEVFAAISTVQMQSRNKKWAQVLSALQKDSREMEKGSPSLRH >ENSMUSP00000121516.1 pep:known chromosome:GRCm38:5:36490608:36581792:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000140607.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MTDGNLSTSMNGVALMGILDGRQGDSLQDLQHLSIKAAPRSLSVPDYGPSLKLGALEDRH SLQSVDSGIPTLEIGNPEPVPCSVVHVKRKQSESEIVPERAFQSACPLPSCTPSAPTCSE REQVVRKSSTFPRTGYDSVKLYSPTSKALSRSDNVSVCSVSSLGTELSTTLSVSNEDILD LMVTSNSSAIVTLENDDDPQFTDVTLSSINETSDLHQQDCVAETEEGRKLKLLHPFSHFF TRNLLARKQNARLDRQRDLGWKLFGKVPLRETAQKDSKKTQKEYEDKAGRPSRPPSPKQN VRKNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYEEMVLQAKKRELKEAQRRRKQ LEERCKVEESIGNAVLTWNNEILPNWETMWCSKKVRDLWWQGIPPSVRGKVWSLAIGNEL NITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADREASLELIKLDISRTFPNLCI FQQGGPYHDMLHSILGAYTCYRPDVGYVQGMSFIAAVLILNLDTADAFIAFSNLLNKPCQ MAFFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTADIYLIDWIFTLYSKSLPLDL ACRIWDVFCRDGEEFLFRTALGILKLFEDILTRMDFIHSAQFLTRLPEDLPADEVFAAIS TVQMQSRNKKWAQVLSALQKDSREMEKGSPSLRH >ENSMUSP00000134405.1 pep:known chromosome:GRCm38:5:36492621:36507662:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000173757.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] XDTADAFIAFSNLLNKPCQMAFFRVDHGLDFHLVQ >ENSMUSP00000117414.1 pep:known chromosome:GRCm38:5:36492739:36586265:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000124036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MRLYLDRFWGLMAKVSSGTKMTDGNLSTSMNGVALMGILDGRQGDSLQDLQHLSIKAAPR SLSVPDYGPSLKLGALEDRHSLQSVDSGIPTLEIGNPEPVPCSVVHVKRKQSESEIVPER AFQSACPLPSCTPSAPTCSEREQVVRKSSTFPRTGYDSVKLYSPTSKALSRSDNVSVCSV SSLGTELSTTLSVSNEDILDLMVTSNSSAIVTLENDDDPQFTDVTLSSINETSDLHQQDC VAETEEGRKLKLLHPFSHFFTRNLLARKQNARLDRQRDLGWKLFGKVPLRETAQKDSKKT QKEYEDKAGRPSRPPSPKQNVRKNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYE EMVLQAKKRELKEAQRRRKQLEERCKVEESIGNAVLTWNNEILPNWETMWCSKKVRDLWW QGIPPSVRGKVWSLAIGNELNITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADR EASLELIKLDISRTFPNLCIFQQGGPYHDMLHSILGAYTCYRPDVGYVQGMSFIAAVLIL NLDTADAFIAFSNLLNKPCQMAFFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTA DIYLIDWIFTLYSKSLPLDLACRIWDVFCRDGEEFLFRTALGILKLFEDILTRMDFIHSA QFLTRLPEDLPADEVFAAISTVQMQSRNKKWAQVLSALQKDSREMEKGSPSLRH >ENSMUSP00000116519.1 pep:known chromosome:GRCm38:5:36492741:36586257:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000130417.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MRLYLDRFWGLMAKVSSGTKMTDGNLSTSMNGVALMGILDGRQGDSLQDLQHLSIKAAPR SLSVPDYGPSLKLGALEDRHSLQSVDSGIPTLEIGNPEPVPCSVVHVKRKQSESEIVPER AFQSACPLPSCTPSAPTCSEREQVVRKSSTFPRTGYDSVKLYSPTSKALSRSDNVSVCSV SSLGTELSTTLSVSNEDILDLMVTSNSSAIVTLENDDDPQFTDVTLSSINETSDLHQQDC VAETEEGRKLKLLHPFSHFFTRNLLARKQNARLDRQRDLGWKLFGKVPLRETAQKDSKKT QKEYEDKAGRPSRPPSPKQNVRKNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYE EMVLQAKKRELKEAQRRRKQLEERCKVEESIGNAVLTWNNEILPNWETMWCSKKVRDLWW QGIPPSVRGKVWSLAIGNELNITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADR EASLELIKLDISRTFPNLCIFQQGGPYHDMLHSILGAYTCYRPDVGYVQGMSFIAAVLIL NLDTADAFIAFSNLLNKPCQMAFFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTA DIYLIDWIFTLYSKSLPLDLACRIWDVFCRDGEEFLFRTALGILKLFEDILTRMDFIHSA QFLTRLPEDLPADEVFAAISTVQMQSRNKKWAQVLSALQKDSREMEKGSPSLRH >ENSMUSP00000133477.1 pep:known chromosome:GRCm38:5:36492946:36571545:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000173532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] XVSSLGTELSTTLSVSNEDILDLMVTSNSSAIVTLENDDDPQFTDVTLSSINETSDLHQQ DCVAETEEGRKLKLLHPFSHFFTRIFTLYSKSLPLDLACRIWDVFCRDGEEFLFRTALGI LKLFEDILTRMDFIHSAQFLTRLPEDLPADEVFAAISTVQMQSRNKKWAQVLSALQKDSR EMEKGSPSLRH >ENSMUSP00000115926.1 pep:known chromosome:GRCm38:5:36493014:36530548:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000150813.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MEYEDKAGRPSRPPSPKQNVRKNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYEE MVLQAKKRELKEAQRRRKQLEERCKVEESIGNAVLTWNNEILPNWETMWCSKKVRDLWWQ GIPPSVRGKVWSLAIGNELNITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADRE ASLELIKLDISRTFPNLCIFQQGGPYHDMLHSILGAYTCYRPDVGYVQGMSFIAAVLILN LDTADAFIAFSNLLNKPCQMAFFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTAD IYLID >ENSMUSP00000116217.1 pep:known chromosome:GRCm38:5:36519298:36530519:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000141017.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MEYEDKAGRPSRPPSPKQNVRKNLDFEPLSTTALILEDRPA >ENSMUSP00000116735.2 pep:known chromosome:GRCm38:5:36543242:36573495:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000140179.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MTDGNLSTSMNGVALMGILDGRQGDSLQDLQHLSIKAAPRSLSVPDYGPSLKLGALEDRH SLQSVDSGIPTLEIGNPEPVPCSVVHVKRKQSESEIVPERAFQSACPLPSCTPSAPTCSE REQVVRKSSTFPRTGYDSVKLYSPTSKALSRSDNVSVCSVSSLGTELSTTLSVSNEDILD LMVTSNSSAIVTLENDDDPQFTDVTLSSINETSDLHQQDCVAETEEGRKLKLLHPFSHFF TRNLLARKQNARLDRQRDLG >ENSMUSP00000122452.1 pep:known chromosome:GRCm38:5:36571545:36586224:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000139182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MRLYLDRFWGLMAKVSSGTKMTDGNLSTSMNGVALMGILDGRQGDSLQDLQHLSIKAAPR SLSVPDYGPSLKLGALEDRHSLQSVDSGIPTLEIGNPEPVPCSVVHVKRKQSESEIVPER AFQSACPLPSCTPSAPTCSEREQVVRKSSTFPRTGYDSVKLYSPTSKALSRSDNVSVC >ENSMUSP00000120017.1 pep:known chromosome:GRCm38:5:36571594:36573266:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000144997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MTDGNLSTSMNGVALMGILDGRQGDSLQDLQHLSIKAAPRSLSVPDYGPSLKLGALEDRH SLQSVDSGIPTLEIGNPEPVPCSVVHVKRKQSESEIVPERAFQSACPLPSCTPSAPTCSE REQVVRKSSTFPRTGYDSVKLY >ENSMUSP00000117997.1 pep:known chromosome:GRCm38:5:36571789:36593251:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000136490.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MRLYLDRFWGLMAKVSSGTKMTDGNLSTSMNGVALMGILDGRQGDSLQDLQHLSIKAAPR SLSVPDYGPSLKLGALEDRHSLQSVDSGIPTLEIGNP >ENSMUSP00000119408.1 pep:known chromosome:GRCm38:5:36572017:36593276:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000147603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MRLYLDRFWGLMAKVSSGTKM >ENSMUSP00000131876.1 pep:known chromosome:GRCm38:5:36490605:36530623:-1 gene:ENSMUSG00000029192.17 transcript:ENSMUST00000171385.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d14 description:TBC1 domain family, member 14 [Source:MGI Symbol;Acc:MGI:1098708] MEYEDKAGRPSRPPSPKQNVRKNLDFEPLSTTALILEDRPANLPAKPAEEAQKHRQQYEE MVLQAKKRELKEAQRRRKQLEERCKVEESIGNAVLTWNNEILPNWETMWCSKKVRDLWWQ GIPPSVRGKVWSLAIGNELNITHELFDICLARAKERWRSLSTGGSEVENEDAGFSAADRE ASLELIKLDISRTFPNLCIFQQGGPYHDMLHSILGAYTCYRPDVGYVQGMSFIAAVLILN LDTADAFIAFSNLLNKPCQMAFFRVDHGLMLTYFAAFEVFFEENLPKLFAHFKKNNLTAD IYLIDWIFTLYSKSLPLDLACRIWDVFCRDGEEFLFRTALGILKLFEDILTRMDFIHSAQ FLTRLPEDLPADEVFAAISTVQMQSRNKKWAQVLSALQKDSREMEKGSPSLRH >ENSMUSP00000049614.3 pep:known chromosome:GRCm38:7:126830468:126831496:-1 gene:ENSMUSG00000045989.4 transcript:ENSMUST00000061695.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930451I11Rik description:RIKEN cDNA 4930451I11 gene [Source:MGI Symbol;Acc:MGI:1925368] MKLWLWVAVGVWMLMAELGTIETAPRRDGTRPSVSGARPQQVVNRLFFDYPDSDRASLLA VARFIGEKPITFVKTDSSPGLFQNILVGTLVVAFFFLLFQFCLHVNFQKGA >ENSMUSP00000146298.1 pep:known chromosome:GRCm38:7:126830477:126831472:-1 gene:ENSMUSG00000045989.4 transcript:ENSMUST00000205320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930451I11Rik description:RIKEN cDNA 4930451I11 gene [Source:MGI Symbol;Acc:MGI:1925368] XWLWVAVGVWMLMAELGTIETAPRRDGTRPSVSGARPQQVVNRLFFDYPDSDRASLLAVA RFIGEKPITFVKTGTSRKGPNEISSQSQLR >ENSMUSP00000059783.6 pep:known chromosome:GRCm38:3:87930314:87940686:1 gene:ENSMUSG00000048039.8 transcript:ENSMUST00000055984.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isg20l2 description:interferon stimulated exonuclease gene 20-like 2 [Source:MGI Symbol;Acc:MGI:2140076] MSTILLNLDFGQPSKKAFGGNAKHQRFVKKRRFLEQKGFLNKKNQPPNKVSKLNSEPPKK GETSRVDGILKILPCPKKKEAAASKRDSEQSKDKKAPLSWLTPAPSKKTASVVSKIDLLG EFQSALPKTKSTQKKGSKKKSLKKKIATENSTQAQSKDKGSKKKPLKKNAVPNSTQARSE DKCPTVPQNLPGKMVAIDCEMVGTGPKGRVSSLARCSIVNYNGDVLYDEYVLPPCYIVNY RTRWSGIRKCHMVNATPFKTARSQILKILSGKVVIGHAIHNDYKALQYFHPKSLTRDTSR IPLLNRKADCPENVTLSLKHLTKKLLSRDIQVGNTGHSSVEDAQATMELYKLVEVEWEQH LAQNPPEN >ENSMUSP00000109435.1 pep:known chromosome:GRCm38:16:96158407:96192250:-1 gene:ENSMUSG00000045275.15 transcript:ENSMUST00000113804.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lca5l description:Leber congenital amaurosis 5-like [Source:MGI Symbol;Acc:MGI:3041157] MSLADTTEAHTNEHFPSLALGSNKKSTEGKRSPGAGGQSQNSQASNGSVDYSRSQCSCTS LTSHYDYSEDFLSDCSETAVRRLQSEKPLAKAKEKRKYNAGKLPQPRGQKDIPAEKKQFW NASLISSQIQTIAKRRDTMTHRILSARLHKIKELKNELADVHRKLEASAIENQFLKQLQL RHLKAIGKYVNSQNNLPQITAKHQNEVKNLRQLLRKSQEKERAVSRKLRETDGELLRTKD VLQALQRLSEDKNLAEREELTDRLTDLTAKMEANDKKIQNLEKQLRLNNRSYSRQLAKEN RKTLAAQTATKTLQAEVRQLQQKLKEKDRELEIKNIYTNRILKNLNDKEDYPKVSSTKSV QADRKSLPSVNMRHQETQKSDVPFWITKGKRGKGNIAHKEKSTETNHDIPYYVCKLPKQE ESKRKYEANLTVLKSMPSPPASWGLVATEQICAFHLECHSCTHTADLSKEVEHRKPQTSL ETPRRPKENKEDQEKRAIPAEAEPTAKESEAHKDAEDKALTRAAGNAGDAGDAGDAGNDR EVVGEHKVVGAQEVVGAQELPGADEADEVHGAGEAPRDVEPGRGRRKTPRKHYSFTEATE NLHHGLPTSCRQVSGSPHCRCRHDMGKHRSEQELRLEPAGYEPSFGKGAGARARARARAT AFRDRKSSLMEELFGAGFAGRAGSSDSEAVSKSPQTGPQASAGNAFGDSRATVAGSIQAS PTEANRKTVV >ENSMUSP00000061337.7 pep:known chromosome:GRCm38:16:96159068:96192257:-1 gene:ENSMUSG00000045275.15 transcript:ENSMUST00000054855.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lca5l description:Leber congenital amaurosis 5-like [Source:MGI Symbol;Acc:MGI:3041157] MRLWTMSLADTTEAHTNEHFPSLALGSNKKSTEGKRSPGAGGQSQNSQASNGSVDYSRSQ CSCTSLTSHYDYSEDFLSDCSETAVRRLQSEKPLAKAKEKRKYNAGKLPQPRGQKDIPAE KKQFWNASLISSQIQTIAKRRDTMTHRILSARLHKIKELKNELADVHRKLEASAIENQFL KQLQLRHLKAIGKYVNSQNNLPQITAKHQNEVKNLRQLLRKSQEKERAVSRKLRETDGEL LRTKDVLQALQRLSEDKNLAEREELTDRLTDLTAKMEANDKKIQNLEKQLRLNNRSYSRQ LAKENRKTLAAQTATKTLQAEVRQLQQKLKEKDRELEIKNIYTNRILKNLNDKEDYPKVS STKSVQADRKSLPSVNMRHQETQKSDVPFWITKGKRGKGNIAHKEKSTETNHDIPYYVCK LPKQEESKRKYEANLTVLKSMPSPPASWGLVATEQICAFHLECHSCTHTADLSKEVEHRK PQTSLETPRRPKENKEDQEKRAIPAEAEPTAKESEAHKDAEDKALTRAAGNAGDAGDAGD AGNDREVVGEHKVVGAQEVVGAQELPGADEADEVHGAGEAPRDVEPGRGRRKTPRKHYSF TEATENLHHGLPTSCRQVSGSPHCRCRHDMGKHRSEQELRLEPAGYEPSFGKGAGARARA RARATAFRDRKSSLMEELFGAGFAGRAGSSDSEAVSKSPQTGPQASAGNAFGDSRATVAG SIQASPTEANRKTVV >ENSMUSP00000063025.7 pep:known chromosome:GRCm38:13:33661405:33671581:1 gene:ENSMUSG00000047889.8 transcript:ENSMUST00000059637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6d description:serine (or cysteine) peptidase inhibitor, clade B, member 6d [Source:MGI Symbol;Acc:MGI:2667783] MDPLPKLNTKFAFKLLKALDDDTSKNIFLSPPSIASSLAMTLLGAKENTARQIRQTLSLD KCSSDPCEDIHQDFHLLLNEVNKTDPGIILKTENRLFVEKTFHIKKSFKDASQKFYKAEI EELDFKGDTEQSRQHINTWVTKNTDEKIKDLLSPGSVNSNTRLVLVNDFYFKGYWEKPFN KEDTREMPFRVSKNVVKPVQMMFQKSTFKITYIEEISTKILLLPYAGNKLNMIIMLPDEH VELRMLEKKMTYEKFVEWTSLDKMNEEEVEVFLPRFKLEEIYDMNNVLYKMGMTDAFEEG RADFSGISSKQGLFLSKVIYKAFIEVIEKGTKVAAATDIVMMGASPTTHTFCADHPFIFT HMTEDFMIIGRFSSP >ENSMUSP00000003318.5 pep:known chromosome:GRCm38:16:20516982:20531650:1 gene:ENSMUSG00000003233.11 transcript:ENSMUST00000003318.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dvl3 description:dishevelled segment polarity protein 3 [Source:MGI Symbol;Acc:MGI:108100] MGETKIIYHLDGQETPYLVKLPLPAERVTLADFKGVLQRPSYKFFFKSMDDDFGVVKEEI SDDNAKLPCFNGRVVSWLVSAEGSHPEPAPFCADNPSELPPSMERTGGIGDSRPPSFHPH ASGGSQENLDNDTETDSLVSAQRERPRRRDGPEHAARLNGTTKGERRREPGGYDSSSTLM SSELETTSFFDSDEDDSTSRFSSSTEQSSASRLMRRHKRRRRKQKVSRIERSSSFSSITD STMSLNIITVTLNMEKYNFLGISIVGQSNERGDGGIYIGSIMKGGAVAADGRIEPGDMLL QVNEINFENMSNDDAVRVLREIVHKPGPITLTVAKCWDPSPRGCFTLPRSEPIRPIDPAA WVSHTAAMTGTFPAYGMSPSLSTITSTSSSITSSIPDTERLDDFHLSIHSDMAAIVKAMA SPESGLEVRDRMWLKITIPNAFIGSDVVDWLYHNVEGFTDRREARKYASNLLKAGFIRHT VNKITFSEQCYYIFGDLCGNMANLSLHDHDGSSGASDQDTLAPLPHPGAAPWPMAFPYQY PPPPHPYNPHPGFPELGYSYGGGSASSQHSEGSRSSGSNRSGSDRRKEKDPKAGDSKSGG SGSESDHTTRSSLRGPRERAPSERSGPAASEHSHRSHHSLTSSLRSHHTHPSYGPPGVPP LYGPPMLMMTPPPAAMGPPGAPPGRDLASVPPELTASRQSFRMAMGNPSEFFVDVM >ENSMUSP00000130925.1 pep:known chromosome:GRCm38:16:20517064:20532520:1 gene:ENSMUSG00000003233.11 transcript:ENSMUST00000171572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dvl3 description:dishevelled segment polarity protein 3 [Source:MGI Symbol;Acc:MGI:108100] MGETKIIYHLDGQETPYLVKLPLPAERVTLADFKGVLQRPSYKFFFKSMDDDFGVVKEEI SDDNAKLPCFNGRVVSWLVSAEGSHPEPAPFCADNPSELPPSMERTGGIGDSRPPSFHPH ASGGSQENLDNDTETDSLVSAQRERPRRRDGPEHAARLNGTTKGERRREPGGYDSSSTLM SSELETTSFFDSDEDDSTSRFSSSTEQSSASRLMRRHKRRRRKQKVSRIERSSSFSSITD STMSLNIITVTLNMEKYNFLGISIVGQSNERGDGGIYIGSIMKGGAVAADGRIEPGDMLL QVNEINFENMSNDDAVRVLREIVHKPGPITLTVAKCWDPSPRGCFTLPRSEPIRPIDPAA WVSHTAAMTGTFPAYGMSPSLSTITSTSSSITSSIPDTERLDDFHLSIHSDMAAIVKAMA SPESGLEVRDRMWLKITIPNAFIGSDVVDWLYHNVEGFTDRREARKYASNLLKAGFIRHT VNKITFSEQCYYIFGDLCGNMANLSLHDHDGSSGASDQDTLAPLPHPGAAPWPMAFPYQY PPPPHPYNPHPGFPELGYSYGGGSASSQHSEGSRSSGSNRSGSDRRKEKDPKAGDSKSGG SGSESDHTTRSSLRGPRERAPSERSGPAASEHSHRSHHSLTSSLRSHHTHPSYGPPGVPP LYGPPMLMMTPPPAAMGPPGAPPGRDLASVPPELTASRQSFRMAMGNPTKNFGLFDFL >ENSMUSP00000126082.1 pep:known chromosome:GRCm38:16:20517106:20531646:1 gene:ENSMUSG00000003233.11 transcript:ENSMUST00000171774.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dvl3 description:dishevelled segment polarity protein 3 [Source:MGI Symbol;Acc:MGI:108100] MGETKIIYHLDGQETPYLVKLPLPAERVTLADFKGVLQRPSYKFFFKSMDDDFGVVKEEI SDDNAKLPCFNGRVVSWLVSAEGSHPEPAPFCADNPSELPPSMERTGGIGDSRPPSFHPH ASGGSQENLDNDTETDSLVSAQRERPRRRDGPEHAARLNGTTKGERRREPGGYDSSSTLM SSELETTSFFDSDEDDSTSRFSSSTEQSSASRLMRRHKRRRRKQKVSRIERSSSFSSITD STMSLNIITVTLNMEKYNFLGISIVGQSNERGDGGIYIGSIMKGGAVAADGRIEPGDMLL QVNEINFENMSNDDAVRVLREIVHKPGPITLTVAKCWDPSPRGCFTLPRTMTGTFPAYGM SPSLSTITSTSSSITSSIPDTERLDDFHLSIHSDMAAIVKAMASPESGLEVRDRMWLKIT IPNAFIGSDVVDWLYHNVEGFTDRREARKYASNLLKAGFIRHTVNKITFSEQCYYIFGDL CGNMANLSLHDHDGSSGASDQDTLAPLPHPGAAPWPMAFPYQYPPPPHPYNPHPGFPELG YSYGGGSASSQHSEGSRSSGSNRSGSDRRKEKDPKAGDSKSGGSGSESDHTTRSSLRGPR ERAPSERSGPAASEHSHRSHHSLTSSLRSHHTHPSYGPPGVPPLYGPPMLMMTPPPAAMG PPGAPPGRDLASVPPELTASRQSFRMAMGNPSEFFVDVM >ENSMUSP00000079720.1 pep:known chromosome:GRCm38:16:3838975:3839913:1 gene:ENSMUSG00000059043.1 transcript:ENSMUST00000080917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr15 description:olfactory receptor 15 [Source:MGI Symbol;Acc:MGI:106182] MEVDSNSSSGSFILMGVSDHPHLEIIFFAVILASYLLTLVGNLTIILLSRLDARLHTPMY FFLSNLSSLDLAFTTSSVPQMLKNLWGPDKTISYGGCVTQLYVFLWLGATECILLVVMAF DRYVAVCRPLHYMTVMNPRLCWGLAAISWLGGLGNSVIQSTFTLQLPFCGHRKVDNFLCE VPAMIKLACGDTSLNEAVLNGVCTFFTVVPVSVILVSYCFIAQAVMKIRSVEGRRKAFNT CVSHLVVVFLFYGSAIYGYLLPAKSSNQSQGKFISLFYSVVTPMVNPLIYTLRNKEVKGA LGRLLGKGRGAS >ENSMUSP00000098455.3 pep:known chromosome:GRCm38:14:7006115:7022599:-1 gene:ENSMUSG00000093985.7 transcript:ENSMUST00000100895.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10406 description:predicted gene 10406 [Source:MGI Symbol;Acc:MGI:3711272] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGYKCPV GKKRGSLRRPARTSVSQVPRNSSVK >ENSMUSP00000130661.2 pep:known chromosome:GRCm38:14:7006115:7027449:-1 gene:ENSMUSG00000093985.7 transcript:ENSMUST00000170738.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10406 description:predicted gene 10406 [Source:MGI Symbol;Acc:MGI:3711272] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGYKCPV GKKRGSLRRPARTSVSQVPRNSSVK >ENSMUSP00000075769.1 pep:known chromosome:GRCm38:2:88831119:88832042:1 gene:ENSMUSG00000057447.1 transcript:ENSMUST00000076438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1205 description:olfactory receptor 1205 [Source:MGI Symbol;Acc:MGI:3031039] MQQNSTVTEFILLGLTQDPLKQKMVFIIFLVFYMGTVVGNTLIIVTIKFSRTLGGPMYFF LFYLSFADSCFSTSTAPRLIVDALSKKNIISYNECMTQVFALHLFGCMEVFVLIFMAVDR YVAICKPLHYPVIMRRQVCVILIIVAWIGSFLHSTTQIVLALRLPFCGPNLIDHYCCDLQ PLLELACMDTHMINLLLVFNSGAICSSSFLILIISYFVILYSLRNHSAEGRKKALSTCTS HIIVVVLSFGPCIFIYARPPTTFSMDKMVTVFFTIGSPFLNPIIYTLRNAEVKNAMKKLW HVKIMTE >ENSMUSP00000137143.1 pep:known chromosome:GRCm38:7:79374870:79387048:-1 gene:ENSMUSG00000039194.15 transcript:ENSMUST00000179243.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlbp1 description:retinaldehyde binding protein 1 [Source:MGI Symbol;Acc:MGI:97930] MSDGVGTFRMVPEEEQELRAQLEQLTTKDHGPVFGPCSQLPRHTLQKAKDELNEKEETRE EAVRELQELVQAQAASGEELALAVAERVQARDSAFLLRFIRARKFDVGRAYELLKGYVNF RLQYPELFDSLSMEALRCTIEAGYPGVLSSRDKYGRVVMLFNIENWHCEEVTFDEILQAY CFILEKLLENEETQINGFCIVENFKGFTMQQAAGLRPSDLKKMVDMLQDSFPARFKAIHF IHQPWYFTTTYNVVKPFLKNKLLQRVFVHGDDLDGFFQEIDENILPADFGGTLPKYDGKV VAEQLFGPRAEVENTAL >ENSMUSP00000054545.8 pep:known chromosome:GRCm38:7:79374871:79386959:-1 gene:ENSMUSG00000039194.15 transcript:ENSMUST00000053718.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlbp1 description:retinaldehyde binding protein 1 [Source:MGI Symbol;Acc:MGI:97930] MSDGVGTFRMVPEEEQELRAQLEQLTTKDHGPVFGPCSQLPRHTLQKAKDELNEKEETRE EAVRELQELVQAQAASGEELALAVAERVQARDSAFLLRFIRARKFDVGRAYELLKGYVNF RLQYPELFDSLSMEALRCTIEAGYPGVLSSRDKYGRVVMLFNIENWHCEEVTFDEILQAY CFILEKLLENEETQINGFCIVENFKGFTMQQAAGLRPSDLKKMVDMLQDSFPARFKAIHF IHQPWYFTTTYNVVKPFLKNKLLQRVFVHGDDLDGFFQEIDENILPADFGGTLPKYDGKV VAEQLFGPRAEVENTAL >ENSMUSP00000146196.1 pep:known chromosome:GRCm38:7:79375904:79384112:-1 gene:ENSMUSG00000039194.15 transcript:ENSMUST00000206162.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlbp1 description:retinaldehyde binding protein 1 [Source:MGI Symbol;Acc:MGI:97930] MSDGVGTFRMVPEEEQELRAQLEQLTTKDHGPVFGPCSQLPRHTLQKAKDELNEKEETRE EAVRELQELVQAQAASGEELALAVAERVQARDSAFLLRFIRARKFDVGRAYELLKGYVNF RLQYPELFDSLSMEALRCTIEAGYPGVLSSRDKYGRVVMLFNIENWHCEEVTFDEILQAY CFILEKLLENEETQINGFCIVENFKGFTMQQAAGLRPSDLKKMVDMLQDSFPARFKAIHF IHQPWYFTTTYNVVKPFLKNKLL >ENSMUSP00000145611.1 pep:known chromosome:GRCm38:7:79377384:79386541:-1 gene:ENSMUSG00000039194.15 transcript:ENSMUST00000206320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlbp1 description:retinaldehyde binding protein 1 [Source:MGI Symbol;Acc:MGI:97930] MSDGVGTFRMVPEEEQELRAQLEQLTTKDHGPVFGPCSQLPRHTLQKAKDELNEKEETRE EAVRELQELVQAQAASGEELALAVAERVQARDSAFLLRFIRARKFDVGRAYELLKGYVNF RLQYPELFDSLSMEALRCTIEAGYPGVLSSRDKYGRVVMLFNIENWHCEEVTFDE >ENSMUSP00000145850.1 pep:known chromosome:GRCm38:7:79380124:79386605:-1 gene:ENSMUSG00000039194.15 transcript:ENSMUST00000205442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlbp1 description:retinaldehyde binding protein 1 [Source:MGI Symbol;Acc:MGI:97930] MSDGVGTFRMVPEEEQELRAQLEQLTTKDHGPVFGPCSQLPRHTLQKAKDELNEKEETRE EAVRELQELVQAQAASGEELALAVAERVQARDSAFLLRFIRARKFDVGRAYELLKGYVNF >ENSMUSP00000146144.1 pep:known chromosome:GRCm38:7:79381796:79386474:-1 gene:ENSMUSG00000039194.15 transcript:ENSMUST00000205638.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlbp1 description:retinaldehyde binding protein 1 [Source:MGI Symbol;Acc:MGI:97930] MSDGVGTFRMVPEEEQELRAQLEQLTTKDHGPVFGPCSQLPRHTLQKAKDELNEKEETRE E >ENSMUSP00000146075.1 pep:known chromosome:GRCm38:7:79383858:79386912:-1 gene:ENSMUSG00000039194.15 transcript:ENSMUST00000206695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rlbp1 description:retinaldehyde binding protein 1 [Source:MGI Symbol;Acc:MGI:97930] MSDGVSILGSSSLLPFLPTPQQSLWGPDL >ENSMUSP00000097929.2 pep:known chromosome:GRCm38:14:79451835:79454816:1 gene:ENSMUSG00000075502.1 transcript:ENSMUST00000100359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd6 description:kelch repeat and BTB (POZ) domain containing 6 [Source:MGI Symbol;Acc:MGI:3643058] MQSREDAPRSRRLASPRGGRRPKRISKPSVSAFFTGPEELKDAAHSAALLAQLKSFYDAR LLCDVTIEVVTPGSGPGTGRLFSCNRNVLAAACPYFKSMFTGGMYESQQASVTIHDVDAE SFEVLVDYCYTGRVSLSEANVQRLYAASDMLQLEYVREACASFLARRLDLTNCTAILKFA DAFDHHKLRSQAQSFIAHNFKQLSRMGSIREETLADLTLAQLLAVLRLDSLDVESEKTVC HVAVQWLEAAPKERGPSAGEVFKCIRWAHFPAEEQDYLEELLSKPIVKKYCLDIIEGALR QFRFDDGLPKALAQIPSNSNNSNSNNNDTSNTVVPAEENPPHRLGMCVKRMMVFCGHPKH HFFCFDPYSEEIYKVSLPVTCLAHTRTLTTLAVYISPDHDICPTVQSRRGVWVYKPAQNN LYQLTDHLLCPEGMDMTYLNGYIYILGGRDPVTGAELTDVGRYSVQKNRRPLVAQLPHSF TSFDFW >ENSMUSP00000141043.1 pep:known chromosome:GRCm38:13:119912095:119929272:-1 gene:ENSMUSG00000096175.3 transcript:ENSMUST00000187530.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21761 description:predicted gene, 21761 [Source:MGI Symbol;Acc:MGI:5433925] MDKAKKMMQSIPSFVKDTSDIEEHALPTAQVLPAQSTRCSKSETLCFSKEQSHCSEDGWI AEWDLYSFCVFESVDYLRSYRRLNSAMKKGTEVFQSESQRKPQVSPGDVENYKDKDTEEP DQPFPSLLREKGLDLATCDGGDCPDQDPVSDNSRHLGCWAWLQRAFGQKKK >ENSMUSP00000136787.1 pep:known chromosome:GRCm38:13:119912237:119912752:-1 gene:ENSMUSG00000096175.3 transcript:ENSMUST00000179502.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21761 description:predicted gene, 21761 [Source:MGI Symbol;Acc:MGI:5433925] MDKAKKMMQSIPSFVKDTSDIEEHALPTAQVLPAQSTRCSKSETLCFSKEQSHCSEDGWI AEWDLYSFCVFESVDYLRSYRRLNSAMKKGTEVFQSESQRKPQVSPGDVENYKDKDTEEP DQPFPSLLREKGLDLATCDGGDCPDQDPVSDNSRHLGCWAWLQRAFGQKKK >ENSMUSP00000077701.1 pep:known chromosome:GRCm38:2:88851952:88852881:1 gene:ENSMUSG00000061798.1 transcript:ENSMUST00000078631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1204 description:olfactory receptor 1204 [Source:MGI Symbol;Acc:MGI:3031038] MEIKNNVTEFVLLGLTQNPQLQKILFVVFLVIYVFSVAGNLLILITITNSQLLGYPMYYF LAYLSFIDACYSSVNTPKLLADTFHKRKSIKFNGCMTQVFAEHFIGGTEVILLTVMAYDR YVAICKPLHYATIMNRRLCNILVGVSWVGGFLHGGIQILFIIELPFCGPNVIDHFMCDLN PLLDLACIDTHILGLFVAANSGFICLLNFLLLLVSYLVILNSLRTHSAEGRRKALSTCVS HITVVVLFFVPCIFVYMRPAATLPIDKAVALFYTMITPMLNPLIYTLRNAQMKNAIWKLF SVKVQSDDK >ENSMUSP00000006380.4 pep:known chromosome:GRCm38:4:141368220:141384175:1 gene:ENSMUSG00000006218.4 transcript:ENSMUST00000006380.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam131c description:family with sequence similarity 131, member C [Source:MGI Symbol;Acc:MGI:2685539] MGSCVSRDLFTSAHKNSPRPQGTDLLNRDLPSSHSPAIVPDHVAGKDKQMDFCWDPWQRC FQTTNGYISDSRACPSNYSVAALATSSLVGVVQSIKDHITKPTAMARGRVAHLIEWKGWS AQQSGWELSPAEDEHYCCLPDELREARFAAGVAEQFAITEATLSAWSSLDDEELQPEDST QGAFQLQDLESIYLQDSLLSGPSQDDSLLACSPGLTLNDGWPSPEEPPSPTQQHHRQRLP GAQGLDDRAHLHGSLPSVDSGSLSEEEDEVFYN >ENSMUSP00000091708.4 pep:known chromosome:GRCm38:11:58792797:58801960:-1 gene:ENSMUSG00000049154.11 transcript:ENSMUST00000094156.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam183b description:family with sequence similarity 183, member B [Source:MGI Symbol;Acc:MGI:1922679] MAMAGRVGQMKNQDEVHQNQILRELFLKELRAQKLYTQYHVNPLRKVHTITRKPMSWHDN LEEPEDAKFLNLIHHAAQGPKKKYSETQTEAQEIGWDPNPLINPDRQDHRLNHFRVYHDI TLYKAKLWSLGEDDHQK >ENSMUSP00000051960.3 pep:known chromosome:GRCm38:11:58792811:58801960:-1 gene:ENSMUSG00000049154.11 transcript:ENSMUST00000060581.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam183b description:family with sequence similarity 183, member B [Source:MGI Symbol;Acc:MGI:1922679] MAMAGRVGQMKNQDEVHQNQILRELFLKELRAQKLYTQYHVNPLRKAKFLNLIHHAAQGP KKKYSETQTEAQEIGWDPNPLINPDRQDHRLNHFRVYHDITLYKAKLWSLGEDDHQK >ENSMUSP00000028612.7 pep:known chromosome:GRCm38:2:102550012:102643041:1 gene:ENSMUSG00000027188.8 transcript:ENSMUST00000028612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pamr1 description:peptidase domain containing associated with muscle regeneration 1 [Source:MGI Symbol;Acc:MGI:2445082] MELDRWAQLGLVFLQLLLISSLPREYTVINEACPGAEWNIMCRECCEYDQIECLCPGKKE VVGYTIPCCRNEDNECDSCLIHPGCTIFENCKSCRNGSWGGTLDDFYVKGFYCAECRAGW YGGDCMRCGQVLRASKGQILLESYPLNAHCEWTIHARPGFIIQLRFGMLSLEFDYMCQYD YVEVRDGDNSDSPIIKRFCGNERPAPIRSTGSSLHVLFHSDGSKNFDGFHAVFEEITACS SSPCFHDGTCLLDTTGSFKCACLAGYTGQRCENLLEERNCSDLGGPVNGYKKITEGPGLL NERHVKIGTVVSFFCNGSYVLSGNEKRTCQQNGEWSGKQPVCMKACREPKISDLVRRRVL SMQVQSRETPLHQLYSTAFSKQKLQDASTKKPALPFGDLPPGYQHLHTQVQYECISPFYR RLGSSRRTCLRTGKWSGRAPSCIPICGKIESTPSPKTQGTRWPWQAAIYRRTSGVHDGGL HKGAWFLVCSGALVNERTVVVAAHCVTELGKATIIKTADLKVVLGKFYRDDDRDEKSIQN LRVSAIILHPNYDPILLDTDIAVLKLLDKARISTRVQPICLATTRDLSTSFQESHITVAG WNILADVRSPGFKNDTLHYGMVRVVDPMLCEEQHEDHGIPVSVTDNMFCASKDPSTPSDI CTAETGGIAALSFPGRASPEPRWHLVGLVSWSYDKTCSNGLSTAFTKVLPFKDWIERNMK >ENSMUSP00000055207.2 pep:known chromosome:GRCm38:7:106740905:106741956:-1 gene:ENSMUSG00000051591.3 transcript:ENSMUST00000050541.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr697 description:olfactory receptor 697 [Source:MGI Symbol;Acc:MGI:3030531] MEFRNSTLGSGFILVGILNGSDSPELLCATITFLYTLALTSNGLLLLVITVDTRLHVPMY LLLGQLSLIDLLLTSVITPKAVMDFLLRDNTISFGGCALQMFIELVLGGAEDLLLAFMAY DRYVAICHPLNYMILMSPRVCWLMVTASWILSIQMALGFTINTMHYSFCKSRHIRHLFCE IPPLLDLACADTSSYKLVVYLVGVFMLILPLTAIFFSYARILFTVLHMPSNESRKKALVT CSSHMTVVGMYYGALTVMYFLPSSYHNPKQDNILSVFYTIVTPALNPLIHSLRNKEVTGA LRKVLGNTCCHHPIHLR >ENSMUSP00000091381.4 pep:known chromosome:GRCm38:4:49661611:49845549:-1 gene:ENSMUSG00000039579.15 transcript:ENSMUST00000093859.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin3a description:glutamate receptor ionotropic, NMDA3A [Source:MGI Symbol;Acc:MGI:1933206] MRRLSLWWLLSRVCLLLPPPCALVLAGVPSSSSHPQPCQILKRIGHAVRVGAVHLQPWTT APRAASRAQDGGRAGAQRDEPESGTWRPPAPSQGARWLGSALHGRGPPGSRKLGEGAGTE TLWPRDALLFAVENLNRVEGLLPYNLSLEVVMAIEAGLGDLPLMPFSSPSSPWSSDPFSF LQSVCHTVVVQGVSALLAFPQSQGEMMELDLVSSVLHIPVLSIVRHEFPRESQNPLHLQL SLENSLSSDADVTVSILTMNNWYNFSLLLCQEDWNITDFLLLTENNSKFHLESIINITAN LSSTKDLLSFLQVQLENIRNSTPTMVMFGCDMGSIRQIFEMSTQFGLSPPDLHWVLGDSQ NVEELRTEGLPLGLIAHGKTTQSVFEYYVQDAMELVARAVATATMIQPELALLPSTMNCM DVKTTNLTSGQYLSRFLANTTFRGLSGSIKVKGSTIVSSENNFFIWNLQYDPMGKPMWTR LGSWQGGRIVMDSGIWPEQAQRHKTHFHHPNKLHLRVVTLIEHPFVFTREVDDEGLCPAG QLCLDPMTNDSSILDSLFSSLHSSNDTVPIKFKKCCYGYCIDLLEQLAEDMNFDFDLYIV GDGKYGAWKNGHWTGLVGDLLSGTANMAVTSFSINTARSQVIDFTSPFFSTSLGILVRTR DTAAPIGAFMWPLHWTMWLGIFVALHITAIFLTLYEWKSPFGMTPKGRNRNKVFSFSSAL NVCYALLFGRTAAIKPPKCWTGRFLMNLWAIFCMFCLSTYTANLAAVMVGEKIYEELSGI HDPKLHHPSQGFRFGTVRESSAEDYVRQSFPEMHEYMRRYNVPATPDGVQYLKNDPEKLD AFIMDKALLDYEVSIDADCKLLTVGKPFAIEGYGIGLPPNSPLTSNISELISQYKSHGFM DVLHDKWYKVVPCGKRSFAVTETLQMGIKHFSGLFVLLCIGFGLSILTTIGEHIVYRLLL PRIKNKSKLQYWLHTSQRFHRALNTSFVEEKQPCSKTKRVEKSRWRRWTCKTEGDSELSL FPRSNMGPQQLMVWNTSNLSHDNQRKYIFNDEEGQNQLGTQTHQDIPLPPRRRELPASLT TNGKADSLNVARNSVMQELSELEKQIQVIRQELQLAVSRKTELEEYQRTNRTCES >ENSMUSP00000075970.3 pep:known chromosome:GRCm38:4:49661611:49845549:-1 gene:ENSMUSG00000039579.15 transcript:ENSMUST00000076674.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grin3a description:glutamate receptor ionotropic, NMDA3A [Source:MGI Symbol;Acc:MGI:1933206] MRRLSLWWLLSRVCLLLPPPCALVLAGVPSSSSHPQPCQILKRIGHAVRVGAVHLQPWTT APRAASRAQDGGRAGAQRDEPESGTWRPPAPSQGARWLGSALHGRGPPGSRKLGEGAGTE TLWPRDALLFAVENLNRVEGLLPYNLSLEVVMAIEAGLGDLPLMPFSSPSSPWSSDPFSF LQSVCHTVVVQGVSALLAFPQSQGEMMELDLVSSVLHIPVLSIVRHEFPRESQNPLHLQL SLENSLSSDADVTVSILTMNNWYNFSLLLCQEDWNITDFLLLTENNSKFHLESIINITAN LSSTKDLLSFLQVQLENIRNSTPTMVMFGCDMGSIRQIFEMSTQFGLSPPDLHWVLGDSQ NVEELRTEGLPLGLIAHGKTTQSVFEYYVQDAMELVARAVATATMIQPELALLPSTMNCM DVKTTNLTSGQYLSRFLANTTFRGLSGSIKVKGSTIVSSENNFFIWNLQYDPMGKPMWTR LGSWQGGRIVMDSGIWPEQAQRHKTHFHHPNKLHLRVVTLIEHPFVFTREVDDEGLCPAG QLCLDPMTNDSSILDSLFSSLHSSNDTVPIKFKKCCYGYCIDLLEQLAEDMNFDFDLYIV GDGKYGAWKNGHWTGLVGDLLSGTANMAVTSFSINTARSQVIDFTSPFFSTSLGILVRTR DTAAPIGAFMWPLHWTMWLGIFVALHITAIFLTLYEWKSPFGMTPKGRNRNKVFSFSSAL NVCYALLFGRTAAIKPPKCWTGRFLMNLWAIFCMFCLSTYTANLAAVMVGEKIYEELSGI HDPKLHHPSQGFRFGTVRESSAEDYVRQSFPEMHEYMRRYNVPATPDGVQYLKNDPEKLD AFIMDKALLDYEVSIDADCKLLTVGKPFAIEGYGIGLPPNSPLTSNISELISQYKSHGFM DVLHDKWYKVVPCGKRSFAVTETLQMGIKHFSGLFVLLCIGFGLSILTTIGEHIVYRLLL PRIKNKSKLQYWLHTSQRFHRALNTSFVEEKQPCSKTKRVEKRSNMGPQQLMVWNTSNLS HDNQRKYIFNDEEGQNQLGTQTHQDIPLPPRRRELPASLTTNGKADSLNVARNSVMQELS ELEKQIQVIRQELQLAVSRKTELEEYQRTNRTCES >ENSMUSP00000074381.2 pep:known chromosome:GRCm38:4:128615443:128618619:-1 gene:ENSMUSG00000062545.4 transcript:ENSMUST00000074829.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tlr12 description:toll-like receptor 12 [Source:MGI Symbol;Acc:MGI:3045221] MGRYWLLPGLLLSLPLVTGWSTSNCLVTEGSRLPLVSRYFTFCRHSKLSFLAACLSVSNL TQTLEVVPRTVEGLCLGGTVSTLLPDAFSAFPGLKVLALSLHLTQLLPGALRGLGQLQSL SFFDSPLRRSLFLPPDAFSDLISLQRLHISGPCLDKKAGIRLPPGLQWLGVTLSCIQDVG ELAGMFPDLVQGSSSRVSWTLQKLDLSSNWKLKMASPGSLQGLQVEILDLTRTPLDAVWL KGLGLQKLDVLYAQTATAELAAEAVAHFELQGLIVKESKIGSISQEALASCHSLKTLGLS STGLTKLPPGFLTAMPRLQRLELSGNQLQSAVLCMNETGDVSGLTTLDLSGNRLRILPPA AFSCLPHLRELLLRYNQLLSLEGYLFQELQQLETLKLDGNPLLHLGKNWLAALPALTTLS LLDTQIRMSPEPGFWGAKNLHTLSLKLPALPAPAVLFLPMYLTSLELHIASGTTEHWTLS PAIFPSLETLTISGGGLKLKLGSQNASGVFPALQKLSLLKNSLDAFCSQGTSNLFLWQLP KLQSLRVWGAGNSSRPCLITGLPSLRELKLASLQSITQPRSVQLEELVGDLPQLQALVLS STGLKSLSAAAFQRLHSLQVLVLEYEKDLMLQDSLREYSPQMPHYIYILESNLACHCANA WMEPWVKRSTKTYIYIRDNRLCPGQDRLSARGSLPSFLWDHCPQTLELKLFLASSALVFM LIALPLLQEARNSWIPYLQALFRVWLQGLRGKGDKGKRFLFDVFVSHCRQDQGWVIEELL PALEGFLPAGLGLRLCLPERDFEPGKDVVDNVVDSMLSSRTTLCVLSGQALCNPRCRLEL RLATSLLLAAPSPPVLLLVFLEPISRHQLPGYHRLARLLRRGDYCLWPEEEERKSGFWTW LRSRLG >ENSMUSP00000064655.7 pep:known chromosome:GRCm38:6:71199827:71205023:1 gene:ENSMUSG00000054422.7 transcript:ENSMUST00000067492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp1 description:fatty acid binding protein 1, liver [Source:MGI Symbol;Acc:MGI:95479] MNFSGKYQLQSQENFEPFMKAIGLPEDLIQKGKDIKGVSEIVHEGKKIKLTITYGPKVVR NEFTLGEECELETMTGEKVKAVVKLEGDNKMVTTFKGIKSVTELNGDTITNTMTLGDIVY KRVSKRI >ENSMUSP00000052997.3 pep:known chromosome:GRCm38:7:11669599:11670519:-1 gene:ENSMUSG00000095430.1 transcript:ENSMUST00000053134.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r72 description:vomeronasal 1 receptor 72 [Source:MGI Symbol;Acc:MGI:2182256] MKMASENVAMGIFLFSQMTVGMLGNSSILFYYVILMSTGKNLMPKDLIIEHLTFANCLSI ISRGIPQTLADYGFKDFLDVTGCKLIMYIYRITRGVSLYAMCLLSCFQAITISPNNSKGL MLKQRVIKYIGPSCSVSWLVHIFLNILTPARVLGPSYKKNMTNMMSYAYCSWFSSGNFAT ALYMFLLCFSDGLCLGLMACSSVSMIIILYRHKRQVRHIYKAQYFLKQSPENRATQTILI LVFTFIISYSFSSIVVIFTTYSKYPMLWGVSVFTFVEICFPIFCPFVLLSNMKPISRLFL PYFVKR >ENSMUSP00000043436.6 pep:known chromosome:GRCm38:7:15865947:15879968:-1 gene:ENSMUSG00000041578.15 transcript:ENSMUST00000044434.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crx description:cone-rod homeobox [Source:MGI Symbol;Acc:MGI:1194883] MMAYMNPGPHYSVNALALSGPNVDLMHQAVPYSSAPRKQRRERTTFTRSQLEELEALFAK TQYPDVYAREEVALKINLPESRVQVWFKNRRAKCRQQRQQQKQQQQPPGAQTKARPAKRK AGTSPRPSTDVCTDPLGISDSYSPSLPGPSGSPTTAVATVSIWSPASEAPLPEAQRAGLV ASGPSLTSAPYAMTYAPASAFCSSPSAYASPSSYFSGLDPYLSPMVPQLGGPALSPLSGP SVGPSLAQSPTSLSGQSYSTYSPVDSLEFKDPTGTWKFTYNPMDPLDYKDQSAWKFQIL >ENSMUSP00000134400.2 pep:known chromosome:GRCm38:7:15865948:15872388:-1 gene:ENSMUSG00000041578.15 transcript:ENSMUST00000174318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crx description:cone-rod homeobox [Source:MGI Symbol;Acc:MGI:1194883] MAQWLRTLTALSKVPSSGPQSLKIMMAYMNPGPHYSVNALALSGPNVDLMHQAVPYSSAP RKQRRERTTFTRSQLEELEALFAKTQYPDVYAREEVALKINLPESRVQVWFKNRRAKCRQ QRQQQKQQQQPPGAQTKARPAKRKAGTSPRPSTDVCTDPLGISDSYSPSLPGPSGSPTTA VATVSIWSPASEAPLPEAQRAGLVASGPSLTSAPYAMTYAPASAFCSSPSAYASPSSYFS GLDPYLSPMVPQLGGPALSPLSGPSVGPSLAQSPTSLSGQSYSTYSPVDSLEFKDPTGTW KFTYNPMDPLDYKDQSAWKFQIL >ENSMUSP00000134463.1 pep:known chromosome:GRCm38:7:15867226:15879844:-1 gene:ENSMUSG00000041578.15 transcript:ENSMUST00000172758.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crx description:cone-rod homeobox [Source:MGI Symbol;Acc:MGI:1194883] MMAYMNPGPHYSVNALALSGPNVDLMHQAVPYSSAPRKQRRERTTFTRSQLEELEALFAK TQYPDVYARLVQES >ENSMUSP00000133833.1 pep:known chromosome:GRCm38:7:15868056:15871402:-1 gene:ENSMUSG00000041578.15 transcript:ENSMUST00000132563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crx description:cone-rod homeobox [Source:MGI Symbol;Acc:MGI:1194883] MMAYMNPGPHYSVNALALSGPNVDLMHQAVPYSSAPRKQRRERTTFTRSQLEELEALFAK TQYPDVYAREEVALKINLPESRVQVWFKNRRAKCRQQRQQQKQQQQPPGAQTKARPAKRK AGTSPRPSTDVCTDPLGISDSYSPSLPGPSGSPTTAVATVSIWSPASEAPLPEAQRAGLV ASGPSLTSAPYAMTYAPASAFCSSPSAY >ENSMUSP00000134008.1 pep:known chromosome:GRCm38:3:103102604:103169769:1 gene:ENSMUSG00000007379.15 transcript:ENSMUST00000173206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd2c description:DENN/MADD domain containing 2C [Source:MGI Symbol;Acc:MGI:3036254] MHPTGNMDVGFTRSAVQTLSRSHCKNIKQKISQWEGRANGVTKTAKFHPKDFGVRYNCHQ ESPPHKRPTGEERNGALPRNTDVKSRDQSEDEGEGGECRGSHPSEAGLDSSLVCARVKQI EGCQAVAVGPEASLPPGNFYTSQIPWRSIDGLSPDKPLNLALAPCNSTGREPDLSVLDSS YGITKSLENIYYEPEGQECGPSINPLPKPRRTFRYLSESDGIPYKERNCDQKYCESISCV DPSLASSQDPEPKKYGGKIRGRSKRKSFEFEDIQHFRNSRKIHEELGRNAGSALYYTQSE DNIYEDIIYPAKENPYEDIPVQSFPVWRSPSAWRLPPAKSAFRTPKLVLKINDTFESKRG KKRVKLQPYTGKEAPSSKGETSGNESDAEYLPKNRHKRLAQLQPSSKRNPHYQTLERDLI ELQEQQLFELFVVVSLQKKPAGYTPQVIQQFPSKGDHGYKQSKDTEERLKVIPRFCFPDS EDSAPTLELKSETFSFVLTGEDGSRWFGYCKKLLPEGRGKRLPEVYCMVSRLGCFNLFSK ILDEVEKRREMSPALVYPFMRSVMEAPFPAPGRTITVKSYLPGAGDESIELCRPLDSRLE HVDFECLFKCLSVRHLIRVCASLLLERRVIFVANSLSTLSKCGHAVVATLYPFTWQHTYI PVLPVSMIDIVCSPTPFFIGILSCSLPHLQDLPIEEVLIVDLCADRFLQEVSDEDEILPP KLQAALVQILEDRDEVLAQEQQFSQEVTLSSLVSEAFVRFFVELVGHYSLNMTVTERGER VFQREPFRKSHTSRSVRHFLDLFMETQMFAGFVQDRELRQSGVKGLFEVRALQYLETIPE SEPSGVNRILRSLGSKMKFLHKK >ENSMUSP00000127187.2 pep:known chromosome:GRCm38:3:103127556:103169738:1 gene:ENSMUSG00000007379.15 transcript:ENSMUST00000172288.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dennd2c description:DENN/MADD domain containing 2C [Source:MGI Symbol;Acc:MGI:3036254] MHPTGNMDVGFTRSAVQTLSRSHCKNIKQKISQWEGRANGVTKTAKFHPKDFGVRYNCHQ ESPPHKRPTGEERNGALPRNTDVKSRDQSEDEGEGGECRGSHPSEAGLDSSLVCARVKQI EGCQAVAVGPEASLPPGNFYTSQIPWRSIDGLSPDKPLNLALAPCNSTGREPDLSVLDSS YGITKSLENIYYEPEGQECGPSINPLPKPRRTFRYLSESDGIPYKERNCDQKYCESISCV DPSLASSQDPEPKKYGGKIRGRSKRKSFEFEDIQHFRNSRKIHEELGRNAGSALYYTQSE DNIYEDIIYPAKENPYEDIPVQSFPVWRSPSAWRLPPAKSAFRTPKLPPKPQFFQRKTME LKNSQAYFRSKITKDTTLPVTLTEWKLFRAGEVANKKKRNLPPLVLKINDTFESKRGKKR VKLQPYTGKEAPSSKGETSGNESDAEYLPKNRHKRLAQLQPSSKRNPHYQTLERDLIELQ EQQLFELFVVVSLQKKPAGYTPQVIQQFPSKGDHGYKQSKDTEERLKVIPRFCFPDSEDS APTLELKSETFSFVLTGEDGSRWFGYCKKLLPEGRGKRLPEVYCMVSRLGCFNLFSKILD EVEKRREMSPALVYPFMRSVMEAPFPAPGRTITVKSYLPGAGDESIELCRPLDSRLEHVD FECLFKCLSVRHLIRVCASLLLERRVIFVANSLSTLSKCGHAVVATLYPFTWQHTYIPVL PVSMIDIVCSPTPFFIGILSCSLPHLQDLPIEEVLIVDLCADRFLQEVSDEDEILPPKLQ AALVQILEDRDEVLAQEQQFSQEVTLSSLVSEAFVRFFVELVGHYSLNMTVTERGERVFQ REPFRKSHTSRSVRHFLDLFMETQMFAGFVQDRELRQSGVKGLFEVRALQYLETIPESEP SGVNRILRSLGSKMKFLHKK >ENSMUSP00000104500.2 pep:known chromosome:GRCm38:11:55204350:55236330:1 gene:ENSMUSG00000020261.15 transcript:ENSMUST00000108872.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc36a1 description:solute carrier family 36 (proton/amino acid symporter), member 1 [Source:MGI Symbol;Acc:MGI:2445299] MSTQRLRNEDYHDYSSTDVSPEESPSEGLGSFSPGSYQRLGENSSMTWFQTLIHLLKGNI GTGLLGLPLAVKNAGLLLGPLSLLVIGIVAVHCMGILVKCAHHLCRRLNKPFLDYGDTVM YGLECSPSTWVRNHSHWGRRIVDFFLIVTQLGFCCVYFVFLADNFKQVIEAANGTTTNCN NNVTVIPTPTMDSRLYMLSFLPFLVLLSFIRNLRVLSIFSLLANISMFVSLIMIYQFIVQ RIPDPSHLPLVAPWKTYPLFFGTAIFAFEGIGVVLPLENKMKDSQKFPLILYLGMAIITV LYISLGSLGYLQFGANIKGSITLNLPNCWLYQSVKLLYSIGIFFTYALQFYVAAEIIIPA IVSRVPEHFELMVDLCVRTAMVCVTCVLAILIPRLDLVISLVGSVSSSALALIIPPLLEV VTYYGEGISPLTVTKDALISILGFVGFVVGTYESLCELIQPSHSDSSTNSTSAFI >ENSMUSP00000121398.1 pep:known chromosome:GRCm38:11:55204374:55222074:1 gene:ENSMUSG00000020261.15 transcript:ENSMUST00000147506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc36a1 description:solute carrier family 36 (proton/amino acid symporter), member 1 [Source:MGI Symbol;Acc:MGI:2445299] MSTQRLRNEDYHDYSSTDVSPEESPSEGLGSFSPGSYQRLGENSSMTWFQTLIHLLKGNI GTGLLGLPLAVKNAGLLLGPLSLLVIGIVAVHCMGILVKCAHHLCRRLNKPFLDYGDTVM YGLECSPSTWVRNHSHWGRRIVDFFLIVTQLGFCCVYFVFLADN >ENSMUSP00000104495.1 pep:known chromosome:GRCm38:11:55213795:55232681:1 gene:ENSMUSG00000020261.15 transcript:ENSMUST00000108867.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc36a1 description:solute carrier family 36 (proton/amino acid symporter), member 1 [Source:MGI Symbol;Acc:MGI:2445299] MSTQRLRNEDYHDYSSTDVSPEESPSEGLGSFSPGSYQRLGENSSMTWFQTLIHLLKGNI GTGLLGLPLAVKNAGLLLGPLSLLVIGIVAVHCMGILVKCAHHLCRRLNKPFLDYGDTVM YGLECSPSTWVRNHSHWGRRIVDFFLIVTQLGFCCVYFVFLADNFKQVIEAANGTTTNCN NNVTVIPTPTMDSRLYMLSFLPFLVLLSFIRNLRVLSIFSLLANISMFVSLIMIYQFIVQ RIPDPSHLPLVAPWKTYPLFFGTAIFAFEGIGVVLPLENKMKDSQKFPLILYLGMAIITV LYISLGSLGYLQFGANIKGSITLNLPNCWLYQSVKLLYSIGIFFTYALQFYVAAEIIIPA IVSRVPEHFELMVDLCVRTAMVCVTCVLAILIPRLDLVISLVGSVSSSALALIIPPLLEV VTYYGEGISPLTVTKDALISILGFVGFVVGTYESLCELIQPSHSDSSTNSTSAFI >ENSMUSP00000020499.7 pep:known chromosome:GRCm38:11:55204376:55233837:1 gene:ENSMUSG00000020261.15 transcript:ENSMUST00000020499.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc36a1 description:solute carrier family 36 (proton/amino acid symporter), member 1 [Source:MGI Symbol;Acc:MGI:2445299] MSTQRLRNEDYHDYSSTDVSPEESPSEGLGSFSPGSYQRLGENSSMTWFQTLIHLLKGNI GTGLLGLPLAVKNAGLLLGPLSLLVIGIVAVHCMGILVKCAHHLCRRLNKPFLDYGDTVM YGLECSPSTWVRNHSHWGRRIVDFFLIVTQLGFCCVYFVFLADNFKQVIEAANGTTTNCN NNVTVIPTPTMDSRLYMLSFLPFLVLLSFIRNLRVLSIFSLLANISMFVSLIMIYQFIVQ RIPDPSHLPLVAPWKTYPLFFGTAIFAFEGIGVVLPLENKMKDSQKFPLILYLGMAIITV LYISLGSLGYLQFGANIKGSITLNLPNCWLYQSVKLLYSIGIFFTYALQFYVAAEIIIPA IVSRVPEHFELMVDLCVRTAMVCVTCVLAILIPRLDLVISLVGSVSSSALALIIPPLLEV VTYYGEGISPLTVTKDALISILGFVGFVVGTYESLCELIQPSHSDSSTNSTSAFI >ENSMUSP00000030280.6 pep:known chromosome:GRCm38:4:99030954:99038065:1 gene:ENSMUSG00000028553.12 transcript:ENSMUST00000030280.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angptl3 description:angiopoietin-like 3 [Source:MGI Symbol;Acc:MGI:1353627] MHTIKLFLFVVPLVIASRVDPDLSSFDSAPSEPKSRFAMLDDVKILANGLLQLGHGLKDF VHKTKGQINDIFQKLNIFDQSFYDLSLRTNEIKEEEKELRRTTSTLQVKNEEVKNMSVEL NSKLESLLEEKTALQHKVRALEEQLTNLILSPAGAQEHPEVTSLKSFVEQQDNSIRELLQ SVEEQYKQLSQQHMQIKEIEKQLRKTGIQEPSENSLSSKSRAPRTTPPLQLNETENTEQD DLPADCSAVYNRGEHTSGVYTIKPRNSQGFNVYCDTQSGSPWTLIQHRKDGSQDFNETWE NYEKGFGRLDGEFWLGLEKIYAIVQQSNYILRLELQDWKDSKHYVEYSFHLGSHETNYTL HVAEIAGNIPGALPEHTDLMFSTWNHRAKGQLYCPESYSGGWWWNDICGENNLNGKYNKP RTKSRPERRRGIYWRPQSRKLYAIKSSKMMLQPTT >ENSMUSP00000002091.5 pep:known chromosome:GRCm38:X:73686178:73716175:-1 gene:ENSMUSG00000002015.5 transcript:ENSMUST00000002091.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcap31 description:B cell receptor associated protein 31 [Source:MGI Symbol;Acc:MGI:1350933] MSLQWTTVATFLYAEVFAVLLLCIPFISPKRWQKVFKSRLVELVVTYGNTFFVVLIVILV LLVIDAVREILKYDDVTEKVNLQNNPGAMEHFHMKLFRAQRNLYIAGFSLLLSFLLRRLV TLISQQATLLASNEAFKKQAESASEAAKKYMEENDQLKKGAAEDGDKLDIGNTEMKLEEN KSLKNDLRKLKDELASTKKKLEKAENEALAMQKQSEGLTKEYDRLLEEHAKLQASVRGPS VKKEE >ENSMUSP00000003117.8 pep:known chromosome:GRCm38:8:72240018:72257385:1 gene:ENSMUSG00000003033.14 transcript:ENSMUST00000003117.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1m1 description:adaptor-related protein complex AP-1, mu subunit 1 [Source:MGI Symbol;Acc:MGI:102776] MSASAVYVLDLKGKVLICRNYRGDVDMSEVEHFMPILMEKEEEGMLSPILAHGGVRFMWI KHNNLYLVATSKKNACVSLVFSFLYKVVQVFSEYFKELEEESIRDNFVIIYELLDELMDF GYPQTTDSKILQEYITQEGHKLETGAPRPPATVTNAVSWRSEGIKYRKNEVFLDVIEAVN LLVSANGNVLRSEIVGSIKMRVFLSGMPELRLGLNDKVLFDNTGRGKSKSVELEDVKFHQ CVRLSRFENDRTISFIPPDGEFELMSYRLNTHVKPLIWIESVIEKHSHSRIEYMVKAKSQ FKRRSTANNVEIHIPVPNDADSPKFKTTVGSVKWVPENSEIVWSVKSFPGGKEYLMRAHF GLPSVEAEDKEGKPPISVKFEIPYFTTSGIQVRYLKIIEKSGYQALPWVRYITQNGDYQL RTQ >ENSMUSP00000138319.1 pep:known chromosome:GRCm38:8:72240110:72249881:1 gene:ENSMUSG00000003033.14 transcript:ENSMUST00000145213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1m1 description:adaptor-related protein complex AP-1, mu subunit 1 [Source:MGI Symbol;Acc:MGI:102776] MSEVEHFMPILMEKEEEGMLSPILAHGGVRFMWIKHNNLYLVATSKKNACVSLVFSFLYK VVQVFSEYFKELEEESIRDNFVIIYELLDELMDFGYPQTTDSKILQE >ENSMUSP00000120435.1 pep:known chromosome:GRCm38:8:72240323:72251817:1 gene:ENSMUSG00000003033.14 transcript:ENSMUST00000126885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap1m1 description:adaptor-related protein complex AP-1, mu subunit 1 [Source:MGI Symbol;Acc:MGI:102776] MSEVEHFMPILMEKEEEGMLSPILAHGGVRFMWIKHNNLYLVATSKKNACVSLVFSFLYK VVQVFSEYFKELEEESIRDNFVIIYELLDELMDFGYPQTTDSKILQEYITQEGHKLETGA PRPPATVTNAVSWRSEGIKYRKNEVFLDVIEAVNLLVSANGNVLRSEIVGSIKMRVFLSG M >ENSMUSP00000102931.2 pep:known chromosome:GRCm38:4:73916728:73920760:-1 gene:ENSMUSG00000093962.7 transcript:ENSMUST00000107310.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11756 description:predicted gene 11756 [Source:MGI Symbol;Acc:MGI:3702095] MQREDNRVQSVRNDKEVNRRRRLRQEGQSSSGPWTEDEIWILLQEWAMVEYELGDPGNKM HAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILYEILG HPRSQGGYVPGLWFDGHSKPPASYAPSLCIGGAISPGPSFSPWTDPEIKIFLQEWQVVER EIGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTLKDLHSTLSRERSRTVPLFSPY RDYLERIFDPKCQRGHVPGALYNWSGYHRPSSSPQTPMVMPSPVYQPWDYGMSASSGQLH GNPSLIMSSQDSLVPRWDAWNATYPLPVQHVLLASLSGDNNFQLAWSPRDESSSPQ >ENSMUSP00000102933.1 pep:known chromosome:GRCm38:4:73916732:73920760:-1 gene:ENSMUSG00000093962.7 transcript:ENSMUST00000107312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11756 description:predicted gene 11756 [Source:MGI Symbol;Acc:MGI:3702095] MQREDNRVQSVRNDKEVNRRRRLRQEGQSSSGPWTEDEIWILLQEWAMVEYELGDPGNKM HAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILYEILG HPRSQGGYVPGALYNWSGYHRPSSSPQTPMVMPSPVYQPWDYGMSASSGQLHGNPSLIMS SQDSLVPRWDAWNATYPLPVQHVLLASLSGDNNFQLAWSPRDESSSPQ >ENSMUSP00000011152.7 pep:known chromosome:GRCm38:3:146149833:146195513:1 gene:ENSMUSG00000011008.13 transcript:ENSMUST00000011152.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln2 description:mucolipin 2 [Source:MGI Symbol;Acc:MGI:1915529] MPGDEETLDLPAWNRVPDLTWGPHHRSAMASLDSEVREECLREDLKFYFMSPCEKYRARR QIPWKLGLQILKIVMVTTQLVRFGLSNQLVVAFKEDNTVAFKHLFLKGFSGVDEDDYSCS IYTQENTYESIFFAIKQYRHLKNISLATLGYGESEDNRTGLKVCKQHYKTGAMFSSNETL NIDSDIETDCIHLDLQVLTTEPEDWAQTSFFRLDFYRLVQVDISFALKGIDLQAVHSREI PDCYLFQNTITFDNTAHSGKIKIYLNSEANIEECKNMNISGSTQRSTHYLLVFDVFVIMI CLASLILCTRSIVLALRLRKRFLNFFLEKYKQRVCGADQWEFVNGWYVLVTISDLMTIIG SILKMEIKAKKLTNYDVCSILLGTSTLFVWVGVIRYLGYFQTYNVLILTMQASLPKVLRF CACAGMIYLGYTFCGWIVLGPYHEKFENLNIVAECLFSLVNGDDMFATFAQIQQKSILVW LFSRLYLYSFISLFIYMVLSLFIALITDSYHTIKKYQQHGFPETDLQKFLKESGSKDGYQ KQPSALLSCLCCLRRRRSNDHLILID >ENSMUSP00000096125.4 pep:known chromosome:GRCm38:3:146150174:146195512:1 gene:ENSMUSG00000011008.13 transcript:ENSMUST00000098524.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln2 description:mucolipin 2 [Source:MGI Symbol;Acc:MGI:1915529] MASLDSEVREECLREDLKFYFMSPCEKYRARRQIPWKLGLQILKIVMVTTQLVRFGLSNQ LVVAFKEDNTVAFKHLFLKGFSGVDEDDYSCSIYTQENTYESIFFAIKQYRHLKNISLAT LGYGESEDNRTGLKVCKQHYKTGAMFSSNETLNIDSDIETDCIHLDLQVLTTEPEDWAQT SFFRLDFYRLVQVDISFALKGIDLQAVHSREIPDCYLFQNTITFDNTAHSGKIKIYLNSE ANIEECKNMNISGSTQRSTHYLLVFDVFVIMICLASLILCTRSIVLALRLRKRFLNFFLE KYKQRVCGADQWEFVNGWYVLVTISDLMTIIGSILKMEIKAKKLTNYDVCSILLGTSTLF VWVGVIRYLGYFQTYNVLILTMQASLPKVLRFCACAGMIYLGYTFCGWIVLGPYHEKFEN LNIVAECLFSLVNGDDMFATFAQIQQKSILVWLFSRLYLYSFISLFIYMVLSLFIALITD SYHTIKKYQQHGFPETDLQKFLKESGSKDGYQKQPSALLSCLCCLRRRRSNDHLILID >ENSMUSP00000128900.1 pep:known chromosome:GRCm38:3:146190365:146192486:1 gene:ENSMUSG00000011008.13 transcript:ENSMUST00000170972.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mcoln2 description:mucolipin 2 [Source:MGI Symbol;Acc:MGI:1915529] LFSRLYLYSFISLFIYMVLSLFIALITDSYHTIKKYQQHGFPETDLQKFLKESGSKDGYQ KQPSALLSCLCCLRRSVLSVEGRQSSQLNSALRNLPVVGNGCSFFSLLPHRFV >ENSMUSP00000139524.1 pep:known chromosome:GRCm38:15:79612317:79658793:-1 gene:ENSMUSG00000042564.12 transcript:ENSMUST00000187519.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam227a description:family with sequence similarity 227, member A [Source:MGI Symbol;Acc:MGI:1922979] MDIINVNALPIIPLDERLVVSANVRTVLEDALRKRMQGSPPICLSACIIGSMSQVNQRIM EVELGSSLMISTLAIEDYELEKKSLREKTHGSPGDKVKKQKEEPPISCQGSELRNARVLP TKRKTADKNLLAELHEHPPFDEMKPNKLPNGVDFCDMVGNVIRSEKNPLSGKSYCSDREL EKFLCSPFLAAMWLDSFWWLFHERYQPDKEIQKKLFDRIARNYASLLFKMCRSHYEEALL KRLSSLLSKAMYTSFCCCFPQSWFNTHEFKSEICNTMNLWVSGMYPCLQSYNNWDYSELD PERFRQEELMLQSSRLLKAREFTLFTCKKSSIQQIEQKHTKFHNLVGLLKASHSKVMKEK ELSNKTTEESYRCRGMKDQNIPTLLSRKATKQVKQISHVRACMDTFVKTSHPACKSPELT SNQFNLYGRSPLMTYFFLNYSQLQLTGQDLLVSRREKTEIIPDSAMTYADIIQLVTKNME IRKKKLRQLDQMHENEWNCFNNYLTELQENFERELEIINKKEREKRKDKNRVVSSTSLFE SSGKKSKGNKQRETAFLSRKKKKEVEERPKGFYSPFSFQNSMDVDNNSLGLKSPYRIKSP SSEGSSSISTIKQDMLFQLSPSSME >ENSMUSP00000140661.1 pep:known chromosome:GRCm38:15:79612371:79658793:-1 gene:ENSMUSG00000042564.12 transcript:ENSMUST00000191401.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam227a description:family with sequence similarity 227, member A [Source:MGI Symbol;Acc:MGI:1922979] MDIINVNALPIIPLDERLVVSANVRTVLEDALRKRMQGSPPTCIIGSMSQVNQRIMEVEL GSSLMISTLSRNRRKSHRYLAKAQSSGMPECFPQKGKQQIRICSQSCTNTPRLMK >ENSMUSP00000105273.2 pep:known chromosome:GRCm38:15:79612371:79658749:-1 gene:ENSMUSG00000042564.12 transcript:ENSMUST00000109646.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam227a description:family with sequence similarity 227, member A [Source:MGI Symbol;Acc:MGI:1922979] MKEKELSNKTTEESYRCRGMKDQNIPTLLSRKATKQVKQISHVRACMDTFVKTSHPACKS PELTSNQFNLYGRSPLMTYFFLNYSQLQLTGQDLLVSRREKTEIIPDSAMTYADIIQLVT KNMEIRKKKLRQLDQMHENEWNCFNNYLTELQENFERELEIINKKEREKRKDKNRVVSST SLFESSGKKSKGNKQRETAFLSRKKKKEVEERPKGFYSPFSFQNSMDVDNNSLGLKSPYR IKSPSSEGSSSISTIKQDMLFQLSPSSME >ENSMUSP00000105275.2 pep:known chromosome:GRCm38:15:79609576:79658956:-1 gene:ENSMUSG00000042564.12 transcript:ENSMUST00000109648.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam227a description:family with sequence similarity 227, member A [Source:MGI Symbol;Acc:MGI:1922979] MDIINVNALPIIPLDERLVVSANVRTVLEDALRKRMQGSPPICLSACIIGSMSQVNQRIM EVELGSSLMISTLAIEDYELEKKSLREKTHGSPGDKVKKQKEEPPISCQGSELRNARVLP TKRKTADKNLLAELHEHPPFDEMKPNKLPNGVDFCDMVGNVIRSEKNPLSGKSYCSDREL EKFLCSPFLAAMWLDSFWWLFHERYQPDKEIQKKLFDRIARNYASLLFKMCRSHYEEALL KRLSSLLSKAMYTSFCCCFPQSWFNTHEFKSEICNTMNLWVSGMYPCLQSYNNWDYSELD PERFRQEELMLQSSRLLKAREFTLFTCKKSSIQQIEQKHTKFHNLVGLLKASHSKVMKEK ELSNKTTEESYRCRGMKDQNIPTLLSRKATKQVKQISHVRACMDTFVKTSHPACKSPELT SNQFNLYGRSPLMTYFFLNYSQLQLTGQDLLVSRREKTEIIPDSAMTYADIIQLVTKNME IRKKKLRQLDQMHENEWNCFNNYLTELQENFERELEIINKKEREKRKDKNRVVSSTSLFE SSGKKSKGNKQRETAFLSRKKKKEVEERPKGFYSPFSFQNSMDVDNNSLGLKSPYRIKSP SSEGSSSISTIKQDMLFQLSPSSME >ENSMUSP00000029991.2 pep:known chromosome:GRCm38:4:49678747:49682024:-1 gene:ENSMUSG00000028310.2 transcript:ENSMUST00000029991.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp3r2 description:protein phosphatase 3, regulatory subunit B, alpha isoform (calcineurin B, type II) [Source:MGI Symbol;Acc:MGI:107171] MGNEASYQTELCNHFDQEEIRRLGKSFRKLDLDKSGSLSIEEFMRLPELQQNPLVGRVID IFDTDGNGEVDFHEFIVGTSQFSVKGDEEQKLRFAFRIYDMDNDGFISNGELFQVLKMMV GNNLKDWQLQQLVDKSILVLDKDGDGRISFEEFSDVVKTMEIHKKLVVFVEHGQEDLKA >ENSMUSP00000037210.3 pep:known chromosome:GRCm38:11:77493562:77507786:1 gene:ENSMUSG00000011877.13 transcript:ENSMUST00000037285.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git1 description:G protein-coupled receptor kinase-interactor 1 [Source:MGI Symbol;Acc:MGI:1927140] MSRKGPRAEVCADCSAPDPGWASISRGVLVCDECCSVHRSLGRHISIVKHLRHSAWPPTL LQMVHTLASNGANSIWEHSLLDPAQVQSGRRKANPQDKVHPIKSEFIRAKYQMLAFVHKL PCRDDDGVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGTTPLHVAAKAGQT LQAELLVVYGADPGSPDVNGRTPIDYARQAGHHELAERLVECQYELTDRLAFYLCGRKPD HKNGHYIIPQMADRSRQKCMSQSLDLSELAKAAKKKLQALSNRLFEELAMDVYDEVDRRE NDAVWLATQNHSTLVTERSAVPFLPVNPEYSATRNQGRQKLARFNAREFATLIIDILSEA KRRQQGKSLSSPTDNLELSARSQSELDDQHDYDSVASDEDTDQEPLPSAGATRNNRARSM DSSDLSDGAVTLQEYLELKKALATSEAKVQQLMKVNSSLSDELRRLQREIHKLQAENLQL RQPPGPVPPPSLPSERAEHTLMGPGGSTHRRDRQAFSMYEPGSALKPFGGTPGDELATRL QPFHSTELEDDAIYSVHVPAGLYRIRKGVSASSVPFTPSSPLLSCSQEGSRHASKLSRHG SGADSDYENTQSGDPLLGLEGKRFLELSKEDELHPELESLDGDLDPGLPSTEDVILKTEQ VTKNIQELLRAAQEFKHDSFVPCSEKIHLAVTEMASLFPKRPALEPVRSSLRLLNASAYR LQSECRKTVPPEPGAPVDFQLLTQQVIQCAYDIAKAAKQLVTITTREKKQ >ENSMUSP00000098375.3 pep:known chromosome:GRCm38:11:77493571:77507786:1 gene:ENSMUSG00000011877.13 transcript:ENSMUST00000100812.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Git1 description:G protein-coupled receptor kinase-interactor 1 [Source:MGI Symbol;Acc:MGI:1927140] MSRKGPRAEVCADCSAPDPGWASISRGVLVCDECCSVHRSLGRHISIVKHLRHSAWPPTL LQMVHTLASNGANSIWEHSLLDPAQVQSGRRKANPQDKVHPIKSEFIRAKYQMLAFVHKL PCRDDDGVTAKDLSKQLHSSVRTGNLETCLRLLSLGAQANFFHPEKGTTPLHVAAKAGQT LQAELLVVYGADPGSPDVNGRTPIDYARQAGHHELAERLVECQYELTDRLAFYLCGRKPD HKNGHYIIPQMADSLDLSELAKAAKKKLQALSNRLFEELAMDVYDEVDRRENDAVWLATQ NHSTLVTERSAVPFLPVNPEYSATRNQGRQKLARFNAREFATLIIDILSEAKRRQQGKSL SSPTDNLELSARSQSELDDQHDYDSVASDEDTDQEPLPSAGATRNNRARSMDSSDLSDGA VTLQEYLELKKALATSEAKVQQLMKVNSSLSDELRRLQREIHKLQAENLQLRQPPGPVPP PSLPSERAEHTLMGPGGSTHRRDRQAFSMYEPGSALKPFGGTPGDELATRLQPFHSTELE DDAIYSVHVPAGLYRIRKGVSASSVPFTPSSPLLSCSQEGSRHASKLSRHGSGADSDYEN TQSGDPLLGLEGKRFLELSKEDELHPELESLDGDLDPGLPSTEDVILKTEQVTKNIQELL RAAQEFKHDSFVPCSEKIHLAVTEMASLFPKRPALEPVRSSLRLLNASAYRLQSECRKTV PPEPGAPVDFQLLTQQVIQCAYDIAKAAKQLVTITTREKKQ >ENSMUSP00000101874.3 pep:known chromosome:GRCm38:7:127803900:127824549:-1 gene:ENSMUSG00000030806.6 transcript:ENSMUST00000106267.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx1b description:syntaxin 1B [Source:MGI Symbol;Acc:MGI:1930705] MKDRTQELRSAKDSDDEEEVVHVDRDHFMDEFFEQVEEIRGCIEKLSEDVEQVKKQHSAI LAAPNPDEKTKQELEDLTADIKKTANKVRSKLKAIEQSIEQEEGLNRSSADLRIRKTQHS TLSRKFVEVMTEYNATQSKYRDRCKDRIQRQLEITGRTTTNEELEDMLESGKLAIFTDDI KMDSQMTKQALNEIETRHNEIIKLETSIRELHDMFVDMAMLVESQGEMIDRIEYNVEHSV DYVERAVSDTKKAVKYQSKARRKKIMIIICCVVLGVVLASSIGGTLGL >ENSMUSP00000145698.1 pep:known chromosome:GRCm38:7:127807372:127810924:-1 gene:ENSMUSG00000030806.6 transcript:ENSMUST00000156135.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx1b description:syntaxin 1B [Source:MGI Symbol;Acc:MGI:1930705] RIQRQLEITGRTTTNEELEDMLESGKLAIFTDDIKMDSQMTKQALNEIETRHNEIIKLET SIRELHDMFVDMAMLVESQGEMIDRIEYNVEHSVDYVERAVSDTKKAVKYQSKARRVSQR RLPEAGGQAKRSVGGVWQL >ENSMUSP00000109104.1 pep:known chromosome:GRCm38:19:6399340:6415210:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000113476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPMELAEHLTYLEYRSFCKILFQDYHS FVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPTATQRALVITHFVHVAERLLQLQNF NTLMAVVGGLSHSSISRLKETHSHVSPDTIKLWEGLTELVTATGNYSNYRRRLAACVGFR FPILGVHLKDLVALQLALPDWLDPGRTRLNGAKMRQLFCILEELAMVTSLRPPVQANPDL LSLLTVSLDQYQTEDELYQLSLQREPRSKSSPTSPTSCTPPPRPPVLEEWTSVAKPKLDQ ALVAEHIEKMVESVFRNFDVDGDGHISQEEFQIIRGNFPYLSAFGDLDQNQDGCISREEM ISYFLRSSSVLGGRMGFVHNFQESNSLRPVACRHCKALILGIYKQGLKCRACGVNCHKQC KERLSVECRRRAQSVSLEGSAPSPSPTHTHHRAFSFSLPRPGRRSSRPPEIREEEVQSVE DGVFDIHL >ENSMUSP00000109103.1 pep:known chromosome:GRCm38:19:6399456:6403633:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000113475.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVCVGAERKGHYACYTICA >ENSMUSP00000109096.1 pep:known chromosome:GRCm38:19:6399746:6403485:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000113468.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELA >ENSMUSP00000117681.1 pep:known chromosome:GRCm38:19:6399864:6402574:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000146601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLH >ENSMUSP00000120949.1 pep:known chromosome:GRCm38:19:6399868:6403478:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000150713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPE >ENSMUSP00000123036.1 pep:known chromosome:GRCm38:19:6399874:6404337:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000139522.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVL >ENSMUSP00000109100.1 pep:known chromosome:GRCm38:19:6400113:6403638:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000113472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVCVGAERKGHYACYTICA >ENSMUSP00000119740.1 pep:known chromosome:GRCm38:19:6400533:6415205:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000127021.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFAGFETAPLLSAIPEGQLQFSTDENVSLGQVLDLSLP SRVRLEPRAG >ENSMUSP00000120630.1 pep:known chromosome:GRCm38:19:6400547:6404421:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000146831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPMELAEHLTY >ENSMUSP00000041135.8 pep:known chromosome:GRCm38:19:6400562:6415216:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000035716.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPMELAEHLTYLEYRSFCKILFQDYHS FVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPTATQRALVITHFVHVAERLLQLQNF NTLMAVVGGLSHSSISRLKETHSHVSPDTIKLWEGLTELVTATGNYSNYRRRLAACVGFR FPILGVHLKDLVALQLALPDWLDPGRTRLNGAKMRQLFCILEELAMVTSLRPPVQANPDL LSLLTVSLDQYQTEDELYQLSLQREPRSKSSPTSPTSCTPPPRPPVLEEWTSVAKPKLDQ ALVAEHIEKMVESVFRNFDVDGDGHISQEEFQIIRGNFPYLSAFGDLDQNQDGCISREEM ISYFLRSSSVLGGRMGFVHNFQESNSLRPVACRHCKALILGIYKQGLKCRACGVNCHKQC KERLSVECRRRAQSVSLEGSAPSPSPTHTHHRAFSFSLPRPGRRSSRPPEIREEEVQSVE DGVFDIHL >ENSMUSP00000121635.1 pep:known chromosome:GRCm38:19:6400564:6404824:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000138555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPMELAEHLTYLEYRSFCKILFQDYHS FVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPTATQRALVITHFVHVA >ENSMUSP00000109095.1 pep:known chromosome:GRCm38:19:6400623:6403612:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000113467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFAIPEGQLQFSTDENVSLGQVLDLSLPSRVRLEPRAG >ENSMUSP00000109099.1 pep:known chromosome:GRCm38:19:6401702:6403638:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000113471.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVCVGAERKGHYACYTICA >ENSMUSP00000109097.2 pep:known chromosome:GRCm38:19:6401718:6403638:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000113469.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFAGFETAPLLSAIPEGQLQFSTDENVSLGQVLDLSLP SRVRLEPRAG >ENSMUSP00000129873.1 pep:known chromosome:GRCm38:19:6400583:6415215:1 gene:ENSMUSG00000032946.16 transcript:ENSMUST00000167240.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPMELAEHLTYLEYRSFCKILFQDYHS FVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPTATQRALVITHFVHVAERLLQLQNF NTLMAVVGGLSHSSISRLKETHSHVSPDTIKLWEGLTELVTATGNYSNYRRRLAACVGFR FPILGVHLKDLVALQLALPDWLDPGRTRLNGAKMRQLFCILEELAMVTSLRPPVQANPDL LSLLTVSLDQYQTEDELYQLSLQREPRSKSSPTSPTSCTPPPRPPVLEEWTSVAKPKLDQ ALVAEHIEKMVESVFRNFDVDGDGHISQEEFQIIRGNFPYLSAFGDLDQNQDGCISREEM ISYFLRSSSVLGGRMGFVHNFQESNSLRPVACRHCKALILGIYKQGLKCRACGVNCHKQC KERLSVECRRRAQSVSLEGSAPSPSPTHTHHRAFSFSLPRPGRRSSRPPEIREEEVQSVE DGVFDIHL >ENSMUSP00000094676.3 pep:known chromosome:GRCm38:X:26522656:26545565:-1 gene:ENSMUSG00000095063.1 transcript:ENSMUST00000096913.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slx description:Sycp3 like X-linked [Source:MGI Symbol;Acc:MGI:99543] MSIKKLWVIPKDGYLLLLDFDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSVEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEVVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLFECSQSQTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000074129.5 pep:known chromosome:GRCm38:6:52768797:53068631:-1 gene:ENSMUSG00000063568.11 transcript:ENSMUST00000074541.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jazf1 description:JAZF zinc finger 1 [Source:MGI Symbol;Acc:MGI:2141450] MTGIAAASFFSNTCRFGGCGLHFPTLADLIEHIEDNHIDTDPRVLEKQELQQPTYVALSY INRFMTDAARREQESLKKKIQPKLSLTLSSSVSRGNVSTPPRHSSGSLTPPVTPPITPSS SFRSSTPTGSEYDEEEVDYEESDSDESWTTESAISSEAILSSMCMNGGEEKPFACPVPGC KKRYKNVNGIKYHAKNGHRTQIRVRKPFKCRCGKSYKTAQGLRHHTINFHPPVSAEMIRK MQQ >ENSMUSP00000076026.6 pep:known chromosome:GRCm38:18:20376729:20410196:1 gene:ENSMUSG00000061928.6 transcript:ENSMUST00000076737.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsg1b description:desmoglein 1 beta [Source:MGI Symbol;Acc:MGI:2664357] MDWHSFRIAALLLTSLVVLEVNSEFQIQVRDHNAKNGTIKWHSIRRQKREWIKFAAACRE GEDNSKRNPIAKIHSDCAANQPVTYRISGVGIDQPPYGIFIINQKTGEINITSIVDREVT PFFIIYCRALNAQGQDLENPLELRVRVMDINDNPPVFSMTTFLGQIEENSNANTLVMKLN ATDADEPNNLNSMIAFKIIRQEPSDSPMFIINRKTGEIRTMNNFLDREQYSQYSLVVRGS DRDGGADGMSAESECSITILDVNDNIPYLEQSSYDIEIEENALHSQLVQIRVIDLDEEFS DNWKAIIFFISGNEGNWFEIEMNERTNVGTLKVVKPLDYEAMKNLQLSIGVRNVAEFHQS IISQYRLTATMVTVTVLNVIEGSVFRPGSKTFVVDSRMEANHRVGEFVATDLDTGRASTN VRYEMGNNPENLLVVDSRTGIITLRNRVTMEQYQRLNGEYKGTVLSIDDSLQRTCTGTIV IELSGTGWVPGSDGGGSSSGSGGNRDPVTNGYQGTSTVGPQRVTGSGGVTSSGGGSGVNN TPGRQNPLDEPEPEPFDITEDNVHFGPAGIGLLIMGFLVLGLVPFLLICCDCGGAPGGGA GFEPVPECSDGAIHTWAIEGPQPEPHDGITTICVPQMPPGNANVIEYIDNSGVYTNEYCG REMQDLGGGERTTGFELMDGVKTSAAPEICQEYSGTLRRNSMRECRDGGLNMNFMESYFC QKAYAYADEDEGRPSNDCLLIYDIEGVGSPAGSVGCCSFIGEDLDESFLDTLGPKFKKLA DISLGKEIDSYPDPDSSWPPQSTEPMCPQSTEPLGSGYPPISPHFGTTTVISENAYPSGP GVQHPLPIPDPLGYGNVTVRESYATSGTLKPSVHFHDNQQASNVVVTERVVGPVPGADLH GMLEIPDLRDGTNVIVTERVIAPGSSLPTSLTIPNPRETSNVVVTERVIQPTSGMIGNLS MTPELSSAQNVIVTERVVSGAGMSGIAGTAGLGGVGGIGSSGLVSTTMGAAGTGLNMGGT ATIGHMRSSSDHHFSQTIGSASPNMARSRITKYSTVQYSK >ENSMUSP00000040126.4 pep:known chromosome:GRCm38:17:33810520:33822918:1 gene:ENSMUSG00000042099.15 transcript:ENSMUST00000048560.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kank3 description:KN motif and ankyrin repeat domains 3 [Source:MGI Symbol;Acc:MGI:1098615] MAKFVLNQNLPDLGGPPLYPGPTGSARSPSSPYSVETPYGFHLDLDFLKYVEEIERGPAS RRTPGPPHARRPRASRTGLAGARSPGAWTSSESLASDDGGASGALSPGAFPGLSLPPLSP RSLSRNPRVEHTLLETSRRLEQAQARERALSPARAVTRSPRGSGRSSPAPNPALASPGPA QLQLVREQMAAALRRLRELEDQARALPELQEQVRALRAEKARLLAGRVQPEQEVEIEARP DKLAQLRRLTERLATSDRGVRSRASPRAEDPDGLAARRSEGALQVLDPGSRTPDGEPRTR ETGTEVVPETREVDAQAVPETGEAGVEVVPETVEVDTWVTEELLGLPEAAERELELLRTS LEHQRGVSELLRGRLRELEEAHEAAVTRPQSRDVAAQTTLGCTEKTTQTELPVENQPRPT AGDEMAPVGILKSIMKKKDGIPGAQSSQGPKSLQFVGVLNGEYESSSSEDGNSDDEDGVA EHPRSSSSGSDDSSGGSDAGTPGPHNDKDAGDCELETHPELTAGREGRCELNPRLREACI ALNQQLNRPRGVTSRDGNAARLVAQEWFRVSSQKRSQAESVAGVLRGVKSLGPELLAYVV NLADGNGNTALHYSVSHGNLAISSLLLDTGVCDVNHQNRAGYSALMLAALTSVGQEEEDM AVAQRLFSMGDVNAKASQTGQTALMLAISHGHQDMVAALLECGADVNVQDADGATALMCA SEYGRLDTVQLLLAQPGCDLTILDNEGTSALAIALEAEQDEVAALLHAHLTSNHQGQSST GSPTAKECNDK >ENSMUSP00000133760.1 pep:known chromosome:GRCm38:17:33810523:33822914:1 gene:ENSMUSG00000042099.15 transcript:ENSMUST00000172649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kank3 description:KN motif and ankyrin repeat domains 3 [Source:MGI Symbol;Acc:MGI:1098615] MAAALRRLRELEDQARALPELQEQVRALRAEKARLLAGRVQPEQEVEIEARPDKLAQLRR LTERLATSDRGVRSRASPRAEDPDGLAARRSEGALQVLDPGSRTPDGEPRTRETGTEVVP ETREVDAQAVPETGEAGVEVVPETVEVDTWVTEELLGLPEAAERELELLRTSLEHQRGVS ELLRGRLRELEEAHEAAVTRPQSRDVAAQTTLGCTEKTTQTELPVENQPRPTAGDEMAPV GILKSIMKKKDGIPGAQSSQGPKSLQFVGVLNGEYESSSSEDGNSDDEDGVAEHPRSSSS GSDDSSGGSDAGTPGPHNDKDAGDCELETHPELTAGREGRCELNPRLREACIALNQQLNR PRGVTSRDGNAARLVAQEWFRVSSQKRSQAESVAGVLRGVKSLGPELLAYVVNLADGNGN TALHYSVSHGNLAISSLLLDTGVCDVNHQNRAGYSALMLAALTSVGQEEEDMAVAQRLFS MGDVNAKASQTGQTALMLAISHGHQDMVAALLECGADVNVQDADGATALMCASEYGRLDT VQLLLAQPGCDLTILDNEGTSALAIALEAEQDEVAALLHAHLTSNHQGQSSTGSPTAKEC NDK >ENSMUSP00000133625.1 pep:known chromosome:GRCm38:17:33810523:33822917:1 gene:ENSMUSG00000042099.15 transcript:ENSMUST00000173789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kank3 description:KN motif and ankyrin repeat domains 3 [Source:MGI Symbol;Acc:MGI:1098615] MAKFVLNQNLPGVCDVNHQNRAGYSALMLAALTSVGQEEEDMAVAQRLFSMGDVNAKASQ TGQTALMLAISHGHQDMVAALLECGADVNVQDADGATALMCASEYGRLDTVQLLLAQPGC DLTILDNEGTSALAIALEAEQDEVAALLHAHLTSNHQGQSSTGSPTAKECNDK >ENSMUSP00000134656.1 pep:known chromosome:GRCm38:17:33822143:33822917:1 gene:ENSMUSG00000042099.15 transcript:ENSMUST00000174608.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kank3 description:KN motif and ankyrin repeat domains 3 [Source:MGI Symbol;Acc:MGI:1098615] XRLDTVQLLLAQPGCDLTILDNEGTSALAIALEAEQDEVAALLHAHLTSNHQVSVVPKKQ RC >ENSMUSP00000126624.1 pep:known chromosome:GRCm38:14:7036535:7044970:-1 gene:ENSMUSG00000094021.7 transcript:ENSMUST00000169215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3685 description:predicted gene 3685 [Source:MGI Symbol;Acc:MGI:3781861] MFSWLIRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHCLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000137412.1 pep:known chromosome:GRCm38:14:7041928:7044907:-1 gene:ENSMUSG00000094021.7 transcript:ENSMUST00000179199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3685 description:predicted gene 3685 [Source:MGI Symbol;Acc:MGI:3781861] MFSWLIRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHCLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000032114.7 pep:known chromosome:GRCm38:6:83115496:83118898:1 gene:ENSMUSG00000030036.8 transcript:ENSMUST00000032114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mogs description:mannosyl-oligosaccharide glucosidase [Source:MGI Symbol;Acc:MGI:1929872] MARGERRRRAAAAEGARPLERARAAGRRDGRAGGARGSASGAALAVVVLALAFGLSGRWV LAWLRVRRALTLHPAPSALPPDSSSPAVAPELFWGTYRPHVYFGMKTRSPKPLLTGLMWA QQGATPGTPPKLRHTCEQGDGVGPYGWEFHDGRTFGRQHIHDGALRLTTEFVKRPGGQHG GDWSWRVTVEPQASGTPSFPLVSLFFYVVTDGQEVLLPEIGAKGQLKSISGHTSELGDFR LTLLPPTSPGDTVPKHGSYNVFWSSNPGLPQLTDMVKSRLNSWFQHRPPGASPDRYLGLP GSLKWEERGPSGQGQFLIQQVTLKAPFSVEFVFESGSAATGGNQASGRLVGSQLTQALES HAAAFKERFEKTFQLKEKGLSPEEQALGQVALSGLLGGIGYFYGQGLVLPDTSMEGSEQK MDPALFPPVPLFSGVPSRSFFPRGFLWDEGFHQLVVQRWDPHLTREALGHWLGLLNADGW IGREQILGDEARARVPPEFLVQRAAHANPPTLLLPVVHMLEGHDPDDLAFLRKAFPRLHA WFSWLHQSQAGPVPLSYRWRGRDLALPTLLNPKTLPSGLDDYPRASHPSTAERHLDLRCW VALGARVLSQLAEQLGETEAAAELGPLAASLEEPGSLDELHWAPELGVFADFGNHTKAVQ LKSRPPQGLVRVVGRPPPRLQYVDALGYVSLFPLLLQLLDPSSPRLGPLLDVLADSRHLW SPFGLRSLSASSLFYKQRNTEHDPPYWRGAVWLNINYLALGALHHYGHVEGPHKVQAAKL YHELRANVVRNVRQQYQATGFLWEQYSDQDGRGMGCRPFQGWTSLVLLIMAEEY >ENSMUSP00000033075.7 pep:known chromosome:GRCm38:7:127841764:127849019:1 gene:ENSMUSG00000030805.14 transcript:ENSMUST00000033075.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx4a description:syntaxin 4A (placental) [Source:MGI Symbol;Acc:MGI:893577] MRDRTHELRQGDNISDDEDEVRVALVVHSGAARLGSPDDEFFQKVQTIRQTMAKLESKVR ELEKQQVTILATPLPEESMKQGLQNLREEIKQLGREVRAQLKAIEPQKEEADENYNSVNT RMKKTQHGVLSQQFVELINKCNSMQSEYREKNVERIRRQLKITNAGMVSDEELEQMLDSG QSEVFVSNILKDTQVTRQALNEISARHSEIQQLERSIRELHEIFTFLATEVEMQGEMINR IEKNILSSADYVERGQEHVKIALENQKKARKKKVMIAICVSVTVLILAVIIGITITVG >ENSMUSP00000112927.1 pep:known chromosome:GRCm38:7:127841968:127849000:1 gene:ENSMUSG00000030805.14 transcript:ENSMUST00000121705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx4a description:syntaxin 4A (placental) [Source:MGI Symbol;Acc:MGI:893577] MRDRTHELRQGDNISDDEDEVRVALVVHSGAARLGSPDDEFFQKVQTIRQTMAKLESKVR ELEKQQVTILATPLPEESMKQGLQNLREEIKQLGREVRAQLKAIEPQKEEADENYNSVNT RMKKTQHGVLSQQFVELINKCNSMQSEYREKNVERIRRQLKITNAGMVSDEELEQMLDSG QSEVFVSNILKDTQVTRQALNEISARHSEIQQLERSIRELHEIFTFLATEVEMQGEMINR IEKNILSSADYVERGQEHVKIALENQKKARKKKVMIAICVSVTVLILAVIIGITITVG >ENSMUSP00000118034.1 pep:known chromosome:GRCm38:7:127841978:127846618:1 gene:ENSMUSG00000030805.14 transcript:ENSMUST00000156537.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stx4a description:syntaxin 4A (placental) [Source:MGI Symbol;Acc:MGI:893577] MRDRTHELRQGDNISDDEDEVRVALVVHSGAARLGSPDDEFFQKPSW >ENSMUSP00000035326.4 pep:known chromosome:GRCm38:19:44562850:44576274:1 gene:ENSMUSG00000036450.4 transcript:ENSMUST00000040455.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hif1an description:hypoxia-inducible factor 1, alpha subunit inhibitor [Source:MGI Symbol;Acc:MGI:2442345] MAATAAEVAASGSGEAREEAEAPGPAWDESQLRSYSFPTRPIPRLSQSDPRAEELIENEE PVVLTDTNLVYPALKWDLEYLQENIGNGDFSVYSASTHKFLYYDEKKMGNFQNFKPRSNR EEIKFHEFVEKLQAIQQRGGEERLYLQQTLNDTVGRKIVMDFLGFNWNWINKQQGKRGWG QLTSNLLLIGMEGNVTPAHYDEQQNFFAQIKGHKRCILFPPDQFECLYPYPVHHPCDRQS QVDFDNPDYERFPNFRNVVGYETVVGPGDVLYIPMYWWHHIESLLNGGITITVNFWYKGA PTPKRIEYPLKAHQKVAIMRNIEKMLGEALGNPQEVGPLLNTMIKGRYN >ENSMUSP00000132868.1 pep:known chromosome:GRCm38:14:7083208:7100621:-1 gene:ENSMUSG00000092167.8 transcript:ENSMUST00000167923.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3696 description:predicted gene 3696 [Source:MGI Symbol;Acc:MGI:3781872] MFSWLLRLFQKENGDEGETRPKKKEEGILSHEKGRRKWLWRRHRSARNTSTQNSKMTKKR SKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPQEHLCPKCQGTAV >ENSMUSP00000137098.1 pep:known chromosome:GRCm38:14:7084348:7090812:-1 gene:ENSMUSG00000092167.8 transcript:ENSMUST00000179898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3696 description:predicted gene 3696 [Source:MGI Symbol;Acc:MGI:3781872] MFSWLLRLFQKENGDEGETRPKKKEEGILSHEKGRRKWLWRRHRSARNTSTQNSKMTKKR SKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPQEHLCPKCQGTAV >ENSMUSP00000020208.4 pep:known chromosome:GRCm38:10:94036001:94145339:1 gene:ENSMUSG00000020021.4 transcript:ENSMUST00000020208.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd6 description:FYVE, RhoGEF and PH domain containing 6 [Source:MGI Symbol;Acc:MGI:1261419] MTSAAELKKPPLAPKPKLVGTNNKPPPPPIAPKPDIGSASVPRLTKKTKPAIAPKPKVPT NSVVQDIKHPPSKKPTLNLEEREPELPESTGKSNCKDVRDPHSDYILPTCSCSSGCIHEP RTRETQCVEQLVLEPLGMKENLENSKNGESSKRGSSWDSSSEKCRGQSGVVLKASILEEK LKEVLTQQRSPCGSPGRHRAPKKPEMNGDHSCTRQIRIEFADVSSSLTGFEKVPAHHNCH PQLPRDESQTLKTCQDGSAESRGHTDSCEPENKRVASDGISQKTEVKGLGPLEIHLLPYT SKFPTPKPRKTHAAARLRRQKHVDTPGESTEEPGNSNNGSSCLLEDYCLKNNKVSVLRQN ALYNQGPVDEVRPANQRALTGDSNSGGQDSVGSQKAVQQQTPSLDTDSSLTSDSSGSGVS PAVDKETTYTQCSTQPLSLPKQVTSACTDQPPATCNPEVSAPPIQKESSSSRIIPKKPQR HSLPAAGVLKKAASEELVEKSSSGKETNVEKGLHRNYLHHPGPPNHGASASPFDMPNPTS EKPVWKLPHPILPFSGSPEALKRVTLSLNNEPSVSLTKPRAKSLSAVDADRCNKPCKDPP KKTSFKKLINVKLSIGFIKSDFQKIRSKSCQHGDVSAGHPLAREPKGLESDWQGLATGEE KRSKPTKAHSAENCSLESQKVKSWGQSSAVNGQRAESLDDRILSRHTSCTGDFGPEYENV RHYEEIPEYENLPFVMAGRNTPDLGWQNSSSVEDTDASLYEVEEPYNAPDGQLQLDPRHQ PCSSGTSQEGKDALHLGLSDLPSDEEVINSSDEDDVSSESSKGEPDPLEDKQDEDAGMKS KVHHIAKEIMSSEKVFVDVLKLLHIDFRGAVAHASRQLGKPVIEDRILNQILYYLPQLYE LNRDLLKELEERMLTWTEQQRIADIFVKKGPYLKMYSTYIKEFDKNVALLDEQCKKNPGF AAVVREFEMSPRCANLALKHYLLKPVQRIPQYRLLLTDYLKNLLEDSVDHRDTQDALAVV IEVANHANDTMKQGDNFQKLMQIQYSLSGHHEIVQPGRVFLKEGTLMKLSRKVMQPRMFF LFNDALLYTTPMQSGMYKLNNMLSLAGMKVRKPTQEAYQNELKIESVERSFILSASSAAE RDDWLEAISSSIEEYAKKRITFCPSRSLDEDSERKEEVSPLGAKAPIWIPDTRATMCMIC TSEFTLTWRRHHCRACGKIVCQACSSNKYGLDYLKGQLARVCEHCFQELQKLDHQLSPRV GSPGNHKSPSSALSSVLHSIPSGRKQKKIPAALKEVSANTEDSTMSGYLYRSKGSKKPWK HLWFVIKNKVLYTYAASEDVAALESQPLLGFTVTLVKDENSESKVFQLLHKGMVFYVFKA DDAHSTQRWIDAFQEGTVL >ENSMUSP00000134682.1 pep:known chromosome:GRCm38:15:77915047:77927845:-1 gene:ENSMUSG00000005354.15 transcript:ENSMUST00000173631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txn2 description:thioredoxin 2 [Source:MGI Symbol;Acc:MGI:1929468] XNAGGLTVMPSPARTVHTTRVCLTTFNVQDGPDFQDRVVNSETPVVVDFHAQWCGPCKIL GPRLEKMVAKQHGKVVMAKVDIDDHTDLAIEYEVSAVPTVLAIKNGDVVDKFVGIKDEDQ LEAFLKKLIG >ENSMUSP00000005487.5 pep:known chromosome:GRCm38:15:77915047:77929006:-1 gene:ENSMUSG00000005354.15 transcript:ENSMUST00000005487.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txn2 description:thioredoxin 2 [Source:MGI Symbol;Acc:MGI:1929468] MAQRLLLGRFLTSVISRKPPQGVWASLTSKTLQTPQYNAGGLTVMPSPARTVHTTRVCLT TFNVQDGPDFQDRVVNSETPVVVDFHAQWCGPCKILGPRLEKMVAKQHGKVVMAKVDIDD HTDLAIEYEVSAVPTVLAIKNGDVVDKFVGIKDEDQLEAFLKKLIG >ENSMUSP00000133548.1 pep:known chromosome:GRCm38:15:77915204:77927728:-1 gene:ENSMUSG00000005354.15 transcript:ENSMUST00000174468.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txn2 description:thioredoxin 2 [Source:MGI Symbol;Acc:MGI:1929468] XSETPVVVDFHAQWCGPCKILGPRLEKMVAKQHGKVVMAKVDIDDHTDLAIEYEMLEKAW ASL >ENSMUSP00000105370.2 pep:known chromosome:GRCm38:15:77915210:77928968:-1 gene:ENSMUSG00000005354.15 transcript:ENSMUST00000109748.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txn2 description:thioredoxin 2 [Source:MGI Symbol;Acc:MGI:1929468] MAQRLLLGRFLTSVISRKPPQGVWASLTSKTLQTPQYNAGGLTVMPSPARTVHTTRVCLT TFNVQDGPDFQDRVVNSETPVVVDFHAQWCGPCKILGPRLEKMVAKQHGKVVMAKVDIDD HTDLAIEYEVSAVPTVLAIKNGDVVDKFVGIKDEDQLEAFLKKLIG >ENSMUSP00000133605.1 pep:known chromosome:GRCm38:15:77919534:77927735:-1 gene:ENSMUSG00000005354.15 transcript:ENSMUST00000174529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txn2 description:thioredoxin 2 [Source:MGI Symbol;Acc:MGI:1929468] VVNSETPVVVDFHAQWCGPCKILGPRLEKMVAKQHGKVVMAKVDIDDHTDLAIEYEMCIM RGYACVESMCTLPCIHVEAPGRRWKSPSSPVHGGRSLTQGLLARSL >ENSMUSP00000105369.2 pep:known chromosome:GRCm38:15:77923220:77928974:-1 gene:ENSMUSG00000005354.15 transcript:ENSMUST00000109747.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txn2 description:thioredoxin 2 [Source:MGI Symbol;Acc:MGI:1929468] MAQRLLLGRFLTSVISRKPPQGVWASLTSKTLQTPQYNAGGLTVMPSPARTVHTTRVCLT TFNVQDGPDFQDRVVNSETPVVVDFHAQWCGPCKILGPRLEKMVAKQHGKVVMAKVDIDD HTDLAIEYEVGVGRALPQGSQGRCAVTSK >ENSMUSP00000098055.5 pep:known chromosome:GRCm38:15:77926398:77928997:-1 gene:ENSMUSG00000005354.15 transcript:ENSMUST00000100486.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txn2 description:thioredoxin 2 [Source:MGI Symbol;Acc:MGI:1929468] MAQRLLLGRFLTSVISRKPPQGVWASLTSKTLQTPQYNAGGLTVMPSPARTVHTTRVCLT TFNVQDGPDFQDRVVNSETPVVVDFHAQRVPAPQPRRDRSRTLQCDPRPLTQNQKKVLE >ENSMUSP00000080852.2 pep:known chromosome:GRCm38:11:58816928:58818001:1 gene:ENSMUSG00000070438.4 transcript:ENSMUST00000082220.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr313 description:olfactory receptor 313 [Source:MGI Symbol;Acc:MGI:3030147] MSNHTRVTHFILRGFSDIPQLRLMAIPVFLLIYTFGLLGNLSIITAVTRDSRLHSPMYFF LKNLSFLDICYTSATIPKAVVISLTGSGVISYQECVAQLYIFLTFSSSECFLLTAMAYDR CLAILRPLIYGTIMSHKYCSALVVTAWVGGAIYSAFHTFNTFSLPYCGPNVIDHFFCDIP PVMRLSCTDYHLSEEVGFAVSSCIVMSSFALTVVSYIGIVATVLCIPSVEGRWKAFSTCS SHLTTVILFYGTGSFVYLRPASQYSPTLGPLASIFYSVVTPSLNPVVYCLRNKDMKFALQ KLYCGRKY >ENSMUSP00000137705.1 pep:known chromosome:GRCm38:13:119949357:119950806:-1 gene:ENSMUSG00000078537.3 transcript:ENSMUST00000180768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B020031M17Rik description:RIKEN cDNA B020031M17 gene [Source:MGI Symbol;Acc:MGI:3588226] MGKAKKMVQSFSGFVKDTSDTEEHALPSAQALAAQSTRCSKSETLCLGKEQNHCSEEGWI ADWDLYSFCVFESVDYLRSHLRLNSAKKKGTEIFQSVSQREPQVSPGVVDMDNDKDTEEP DQPSPSLLREKRLDLVTCDGGDCTDQDPAPDSPRSLGCWAWLQRAFGQKKKKK >ENSMUSP00000111142.2 pep:known chromosome:GRCm38:13:119949547:119950068:-1 gene:ENSMUSG00000078537.3 transcript:ENSMUST00000080505.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B020031M17Rik description:RIKEN cDNA B020031M17 gene [Source:MGI Symbol;Acc:MGI:3588226] MGKAKKMVQSFSGFVKDTSDTEEHALPSAQALAAQSTRCSKSETLCLGKEQNHCSEEGWI ADWDLYSFCVFESVDYLRSHLRLNSAKKKGTEIFQSVSQREPQVSPGVVDMDNDKDTEEP DQPSPSLLREKRLDLVTCDGGDCTDQDPAPDSPRSLGCWAWLQRAFGQKKKKK >ENSMUSP00000030286.7 pep:known chromosome:GRCm38:4:98936671:99120915:-1 gene:ENSMUSG00000028556.15 transcript:ENSMUST00000030286.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock7 description:dedicator of cytokinesis 7 [Source:MGI Symbol;Acc:MGI:1914549] MAERRAFAQKISRTVAAEVRKQISGQYSGSPQLLKNLNIVGNISHHTTVPLTEAVDPVDL EDYLVTHPLSGDSGPLRDLVEFPPDDIEVVYSPRDCRTLVSAVPEESEMDPHVRDCIRSY TEDWAVVVRKYHKLGTGFNPNTLDKQKERQKGLPRQVFESDEAPDGSSYQDEQDDLKRRS MSIDDTPRGSWACSIFDLKNSLPDALLPNLLDRTPNEEIDHQNDDQRKSNRHKELFALHP SPDEEEPIERLSVPDVPKEHFGQRLLVKCLSLKFEIEIEPIFASLALYDVKEKKKISENF YFDLNSEQMKGLLRPHVPPAAITTLARSAIFSITYPSQDVFLVIKLEKVLQQGDIGECAE PYMIFKEADATKNKEKLEKLKSQADQFCQRLGKYRMPFAWTAIHLMNIVSSAGSLERDST EVEISTGERKGSWSERRNSSLVGRRSLERTTSGDDACNLTSFRPATLTVANFFKQEGDRL SDEDLYKFLADMRRPSSVLRRLRPITAQLKIDISPAPENPHYCLTPELLQVKLYPDSRVR PTREILEFPARDVYVPNTTYRNLLYIYPQSLNFANRQGSARNITVKVQFMYGEDPSNAMP VIFGKSSCSEFSKEAYTAVVYHNRSPDFHEEIKVKLPATLTDHHHLLFTFYHVSCQQKQN TPLETPVGYTWIPMLQNGRLKTGQFCLPVSLEKPPQAYSVLSPEVPLPGMKWVDNHKGVF NVEVVAVSSIHTQDPYLDKFFALVNALDEHMFPVRIGDMRIMENNLESELKSSISALNSS QLEPVVRFLHLLLDKLILLVVRPPVIAGQIVNLGQASFEAMASIINRLHKNLEGNHDQHG RNNLLASYIYYVFRLPNTYPNSPSPGPGGLGGSVHYATMARSAVRPASLNLNRSRSLSNS NPDISGTPTSPDDEVRSIIGSKGLDRSNSWVNTGPKAAPWGSNPSPSAESTQAMDRSCNR MSSHTETSSFLQTLTGRLPTKKLFHEELALQWVVCSGSVRESALQQAWFFFELMVKSMVH HLYFNDKLDAPRKSRFPERFMDDIAALVSTIAGDVVSRFQKDTEMVERLNTSLAFFLNDL LSVMDRGFVFSLIKSCYKQVSAKLYSLPNPSVLVSLRLDFLRIICSHEHYVTLNLPCSLL TPPASPSPSVSSATSQSSGFSTSVQDQKIANMFELSLPFRQQHYLAGLVLTELALILDPD AEGLFGLHKKVINMVHNLLSTHDSDPRYSDPQIKARVAMLYLPLIGIIMETVPQLYDFTE SHNQRGRPICIAPDDYDSESGSMISQTVAMAIAGTSVPQLTRPGSFLLTSTSGRQHTTFS AESSRSLLICLLWVLKNADETVLQKWFTDLSVLQLNRLLDLLYLCVSCFEYKGKKVFERM NSLTFKKSKDMRAKLEEAILGSIGARQEMVRRSRGQLERSPSGSAFGSQENLRWRKDMTH WRQNSEKLDKSRAEIEHEALIDGNLATEANLIILDTLEIIVQTVSVTESKESILGGVLKV LLQSMACNQSAVYLQHCFATQRALVSKFPELLFEEETEQCADLCLRLLRHCSSSISTIRS HASASLYLLMRQNFEIGNNFARVKMQVTMSLSSLVGTSQNFNEEFLRRSLKTILTYAEED LELRETTFPDQVQDLVFNLHMILSDTVKMKEHQEDPEMLIDLMYRIAKGYQTSPDLRLTW LQNMAGKHSERSNHAEAAQCLVHSAALVAEYLSMLEDRKYLPVGCVTFQNISSNVLEESA VSDDVVSPDEEGICSGKYFTESGLVGLLEQAAASFSMAGMYEAVNEVYKVLIPIHEANRD AKKLSTIHGKLQEAFSKIVHQDGKRMFGTYFRVGFYGTKFGDLDEQEFVYKEPAITKLAE ISHRLEGFYGERFGEDVLEVIKDSNPVDKCKLDPNKAYIQITYVEPFFDTYEMKDRITYF DKNYNLRRFMYCTPFTLDGRAHGELHEQFKRKTILTTSHAFPYIKTRVNVTHKEEIILTP IEVAIEDMQKKTQELAFATHQDPADPKMLQMVLQGSVGTTVNQGPLEVAQVFLSEIPGDP KLFRHHNKLRLCFKDFTKRCEDALRKNKSLIGPDQKEYQRELERNYHRLKEALQPLINRK IPQLYKAVLPVTCHRDSFSRMSLRKMEL >ENSMUSP00000117797.2 pep:known chromosome:GRCm38:4:98936855:99120762:-1 gene:ENSMUSG00000028556.15 transcript:ENSMUST00000127417.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock7 description:dedicator of cytokinesis 7 [Source:MGI Symbol;Acc:MGI:1914549] MAERRAFAQKISRTVAAEVRKQISGQYSGSPQLLKNLNIVGNISHHTTVPLTEAVDPVDL EDYLVTHPLSGDSGPLRDLVEFPPDDIEVVYSPRDCRTLVSAVPEESEMDPHVRDCIRSY TEDWAVVVRKYHKLGTGFNPNTLDKQKERQKGLPRQVFESDEAPDGSSYQDEQDDLKRRS MSIDDTPRGSWACSIFDLKNSLPDALLPNLLDRTPNEEIDHQNDDQRKSNRHKELFALHP SPDEEEPIERLSVPDVPKEHFGQRLLVKCLSLKFEIEIEPIFASLALYDVKEKKKISENF YFDLNSEQMKGLLRPHVPPAAITTLARSAIFSITYPSQDVFLVIKLEKVLQQGDIGECAE PYMIFKEADATKNKEKLEKLKSQADQFCQRLGKYRMPFAWTAIHLMNIVSSAGSLERDST EVEISTGERKGSWSERRNSSLVGRRSLERTTSGDDACNLTSFRPATLTVANFFKQEGDRL SDEDLYKFLADMRRPSSVLRRLRPITAQLKIDISPAPENPHYCLTPELLQVKLYPDSRVR PTREILEFPARDVYVPNTTYRNLLYIYPQSLNFANRQGSARNITVKVQFMYGEDPSNAMP VIFGKSSCSEFSKEAYTAVVYHNRSPDFHEEIKVKLPATLTDHHHLLFTFYHVSCQQKQN TPLETPVGYTWIPMLQNGRLKTGQFCLPVSLEKPPQAYSVLSPEVPLPGMKWVDNHKGVF NVEVVAVSSIHTQDPYLDKFFALVNALDEHMFPVRIGDMRIMENNLESELKSSISALNSS QLEPVVRFLHLLLDKLILLVVRPPVIAGQIVNLGQASFEAMASIINRLHKNLEGNHDQHG RNNLLASYIYYVFRLPNTYPNSPSPGPGGLGGSVHYATMARSAVRPASLNLNRSRSLSNS NPDISGTPTSPDDEVRSIIGSKGLDRSNSWVNTGPKAAPWGSNPSPSAESTQAMDRSCNR MSSHTETSSFLQTLTGRLPTKKLFHEELALQWVVCSGSVRESALQQAWFFFELMVKSMVH HLYFNDKLDAPRKSRFPERFMDDIAALVSTIAGDVVSRFQKDTEMVERLNTSLAFFLNDL LSVMDRGFVFSLIKSCYKQVSAKLYSLPNPSVLVSLRLDFLRIICSHEHYVTLNLPCSLL TPPASPSPSVSSATSQSSGFSTSVQDQKIANMFELSLPFRQQHYLAGLVLTELALILDPD AEGLFGLHKKVINMVHNLLSTHDSDPRYSDPQIKARVAMLYLPLIGIIMETVPQLYDFTE SHNQRGRPICIAPDDYDSESGSMISQTVAMAIAGTSVPQLTRPGSFLLTSTSGRQHTTFS AESSRSLLICLLWVLKNADETVLQKWFTDLSVLQLNRLLDLLYLCVSCFEYKGKKVFERM NSLTFKKSKDMRAKLEEAILGSIGARQEMVRRSRGQLERSPSGSAFGSQENLRWRKDMTH WRQNSEKLDKSRAEIEHEALIDGNLATEANLIILDTLEIIVQTVSVTESKESILGGVLKV LLQSMACNQSAVYLQHCFATQRALVSKFPELLFEEETEQCADLCLRLLRHCSSSISTIRS HASASLYLLMRQNFEIGNNFARVKMQVTMSLSSLVGTSQNFNEEFLRRSLKTILTYAEED LELRETTFPDQVQDLVFNLHMILSDTVKMKEHQEDPEMLIDLMYRIAKGYQTSPDLRLTW LQNMAGKHSERSNHAEAAQCLVHSAALVAEYLSMLEDRKYLPVGCVTFQNISSNVLEESA VSDDVVSPDEEGICSGKYFTESGLVGLLEQAAASFSMAGMYEAVNEVYKVLIPIHEANRD AKKLSTIHGKLQEAFSKIVHQSTGWERMFGTYFRVGFYGTKFGDLDEQEFVYKEPAITKL AEISHRLEGFYGERFGEDVLEVIKDSNPVDKCKLDPNKAYIQITYVEPFFDTYEMKDRIT YFDKNYNLRRFMYCTPFTLDGRAHGELHEQFKRKTILTTSHAFPYIKTRVNVTHKEEIIL TPIEVAIEDMQKKTQELAFATHQDPADPKMLQMVLQGSVGTTVNQGPLEVAQVFLSEIPG DPKLFRHHNKLRLCFKDFTKRCEDALRKNKSLIGPDQKEYQRELERNYHRLKEALQPLIN RKIPQLYKAVLPVTCHRDSFSRMSLRKMEL >ENSMUSP00000145749.1 pep:known chromosome:GRCm38:4:98936857:99023390:-1 gene:ENSMUSG00000028556.15 transcript:ENSMUST00000124466.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dock7 description:dedicator of cytokinesis 7 [Source:MGI Symbol;Acc:MGI:1914549] XVKVQFMYGEDPSNAMPVIFGKSSCSEFSKEAYTAVVYHNRSPDFHEEIKVKLPATLTDH HHLLFTFYHVSCQQKQNTPLETPVGYTWIPMLQNGRLKTGQFCLPVSLEKPPQAYSVLSP EVPLPGMKWVDNHKGVFNVEVVAVSSIHTQDPYLDKFFALVNALDEHMFPVRIGDMRIME NNLESELKSSISALNSSQLEPVVRFLHLLLDKLILLVVRPPVIAGQIVNLGQASFEAMAS IINRLHKNLEGNHDQHGRNNLLASYIYYVFRLPNTYPNSPSPGPGGLGGSVHYATMARSA VRPASLNLNRSRSLSNSNPDISGTPTSPDDEVRSIIGSKAMDRSCNRMSSHTETSSFLQT LTGRLPTKKLFHEELALQWVVCSGSVRESALQQAWFFFELMVKSMVHHLYFNDKLDAPRK SRFPERFMDDIAALVSTIAGDVVSRFQKDTEMVERLNTSLAFFLNDLLSVMDRGFVFSLI KSCYKQVSAKLYSLPNPSVLVSLRLDFLRIICSHEHYVTLNLPCSLLTPPASPSPSVSSA TSQSSGFSTSVQDQKIANMFELSLPFRQQHYLAGLVLTELALILDPDAEGLFGLHKKVIN MVHNLLSTHDSDPRYSDPQIKARVAMLYLPLIGIIMETVPQLYDFTESHNQRGRPICIAP DDYDSESGSMISQTVAMAIAGTSVPQLTRPGSFLLTSTSGRQHTTFSAESSRSLLICLLW VLKNADETVLQKWFTDLSVLQLNRLLDLLYLCVSCFEYKGKKVFERMNSLTFKKSKDMRA KLEEAILGSIGARQEMVRRSRGQLGRYIFSS >ENSMUSP00000075233.6 pep:known chromosome:GRCm38:4:98936857:99120762:-1 gene:ENSMUSG00000028556.15 transcript:ENSMUST00000075836.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock7 description:dedicator of cytokinesis 7 [Source:MGI Symbol;Acc:MGI:1914549] MAERRAFAQKISRTVAAEVRKQISGQYSGSPQLLKNLNIVGNISHHTTVPLTEAVDPVDL EDYLVTHPLSGDSGPLRDLVEFPPDDIEVVYSPRDCRTLVSAVPEESEMDPHVRDCIRSY TEDWAVVVRKYHKLGTGFNPNTLDKQKERQKGLPRQVFESDEAPDGSSYQDEQDDLKRRS MSIDDTPRGSWACSIFDLKNSLPDALLPNLLDRTPNEEIDHQNDDQRKSNRHKELFALHP SPDEEEPIERLSVPDVPKEHFGQRLLVKCLSLKFEIEIEPIFASLALYDVKEKKKISENF YFDLNSEQMKGLLRPHVPPAAITTLARSAIFSITYPSQDVFLVIKLEKVLQQGDIGECAE PYMIFKEADATKNKEKLEKLKSQADQFCQRLGKYRMPFAWTAIHLMNIVSSAGSLERDST EVEISTGERKGSWSERRNSSLVGRRSLERTTSGDDACNLTSFRPATLTVANFFKQEGDRL SDEDLYKFLADMRRPSSVLRRLRPITAQLKIDISPAPENPHYCLTPELLQVKLYPDSRVR PTREILEFPARDVYVPNTTYRNLLYIYPQSLNFANRQGSARNITVKVQFMYGEDPSNAMP VIFGKSSCSEFSKEAYTAVVYHNRSPDFHEEIKVKLPATLTDHHHLLFTFYHVSCQQKQN TPLETPVGYTWIPMLQNGRLKTGQFCLPVSLEKPPQAYSVLSPEVPLPGMKWVDNHKGVF NVEVVAVSSIHTQDPYLDKFFALVNALDEHMFPVRIGDMRIMENNLESELKSSISALNSS QLEPVVRFLHLLLDKLILLVVRPPVIAGQIVNLGQASFEAMASIINRLHKNLEGNHDQHG RNNLLASYIYYVFRLPNTYPNSPSPGPGGLGGSVHYATMARSAVRPASLNLNRSRSLSNS NPDISGTPTSPDDEVRSIIGSKAMDRSCNRMSSHTETSSFLQTLTGRLPTKKLFHEELAL QWVVCSGSVRESALQQAWFFFELMVKSMVHHLYFNDKLDAPRKSRFPERFMDDIAALVST IAGDVVSRFQKDTEMVERLNTSLAFFLNDLLSVMDRGFVFSLIKSCYKQVSAKLYSLPNP SVLVSLRLDFLRIICSHEHYVTLNLPCSLLTPPASPSPSVSSATSQSSGFSTSVQDQKIA NMFELSLPFRQQHYLAGLVLTELALILDPDAEGLFGLHKKVINMVHNLLSTHDSDPRYSD PQIKARVAMLYLPLIGIIMETVPQLYDFTESHNQRGRPICIAPDDYDSESGSMISQTVAM AIAGTSVPQLTRPGSFLLTSTSGRQHTTFSAESSRSLLICLLWVLKNADETVLQKWFTDL SVLQLNRLLDLLYLCVSCFEYKGKKVFERMNSLTFKKSKDMRAKLEEAILGSIGARQEMV RRSRGQLERSPSGSAFGSQENLRWRKDMTHWRQNSEKLDKSRAEIEHEALIDGNLATEAN LIILDTLEIIVQTVSVTESKESILGGVLKVLLQSMACNQSAVYLQHCFATQRALVSKFPE LLFEEETEQCADLCLRLLRHCSSSISTIRSHASASLYLLMRQNFEIGNNFARVKMQVTMS LSSLVGTSQNFNEEFLRRSLKTILTYAEEDLELRETTFPDQVQDLVFNLHMILSDTVKMK EHQEDPEMLIDLMYRIAKGYQTSPDLRLTWLQNMAGKHSERSNHAEAAQCLVHSAALVAE YLSMLEDRKYLPVGCVTFQNISSNVLEESAVSDDVVSPDEEGICSGKYFTESGLVGLLEQ AAASFSMAGMYEAVNEVYKVLIPIHEANRDAKKLSTIHGKLQEAFSKIVHQSTGWERMFG TYFRVGFYGTKFGDLDEQEFVYKEPAITKLAEISHRLEGFYGERFGEDVLEVIKDSNPVD KCKLDPNKAYIQITYVEPFFDTYEMKDRITYFDKNYNLRRFMYCTPFTLDGRAHGELHEQ FKRKTILTTSHAFPYIKTRVNVTHKEEIILTPIEVAIEDMQKKTQELAFATHQDPADPKM LQMVLQGSVGTTVNQGPLEVAQVFLSEIPGDPKLFRHHNKLRLCFKDFTKRCEDALRKNK SLIGPDQKEYQRELERNYHRLKEALQPLINRKIPQLYKAVLPVTCHRDSFSRMSLRKMEL >ENSMUSP00000145604.1 pep:known chromosome:GRCm38:4:98937258:99120762:-1 gene:ENSMUSG00000028556.15 transcript:ENSMUST00000205650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock7 description:dedicator of cytokinesis 7 [Source:MGI Symbol;Acc:MGI:1914549] MAERRAFAQKISRTVAAEVRKQISGQYSGSPQLLKNLNIVGNISHHTTVPLTEAVDPVDL EDYLVTHPLSGDSGPLRDLVEFPPDDIEVVYSPRDCRTLVSAVPEESEMDPHVRDCIRSY TEDWAVVVRKYHKLGTGFNPNTLDKQKERQKGLPRQVFESDEAPDGSSYQDEQDDLKRRS MSIDDTPRGSWACSIFDLKNSLPDALLPNLLDRTPNEEIDHQNDDQRKSNRHKELFALHP SPDEEEPIERLSVPDVPKEHFGQRLLVKCLSLKFEIEIEPIFASLALYDVKEKKKISENF YFDLNSEQMKGLLRPHVPPAAITTLARSAIFSITYPSQDVFLVIKLEKVLQQGDIGECAE PYMIFKEADATKNKEKLEKLKSQADQFCQRLGKYRMPFAWTAIHLMNIVSSAGSLERDST EVEISTGERKGSWSERRNSSLVGRRSLERTTSGDDACNLTSFRPATLTVANFFKQEGDRL SDEDLYKFLADMRRPSSVLRRLRPITAQLKIDISPAPENPHYCLTPELLQVKLYPDSRVR PTREILEFPARDVYVPNTTYRNLLYIYPQSLNFANRQGSARNITVKVQFMYGEDPSNAMP VIFGKSSCSEFSKEAYTAVVYHNRSPDFHEEIKVKLPATLTDHHHLLFTFYHVSCQQKQN TPLETPVGYTWIPMLQNGRLKTGQFCLPVSLEKPPQAYSVLSPEVPLPGMKWVDNHKGVF NVEVVAVSSIHTQDPYLDKFFALVNALDEHMFPVRIGDMRIMENNLESELKSSISALNSS QLEPVVRFLHLLLDKLILLVVRPPVIAGQIVNLGQASFEAMASIINRLHKNLEGNHDQHG RNNLLASYIYYVFRLPNTYPNSPSPGPGGLGGSVHYATMARSAVRPASLNLNRSRSLSNS NPDISGTPTSPDDEVRSIIGSKAMDRSCNRMSSHTETSSFLQTLTGRLPTKKLFHEELAL QWVVCSGSVRESALQQAWFFFELMVKSMVHHLYFNDKLDAPRKSRFPERFMDDIAALVST IAGDVVSRFQKDTEMVERLNTSLAFFLNDLLSVMDRGFVFSLIKSCYKQVSAKLYSLPNP SVLVSLRLDFLRIICSHEHYVTLNLPCSLLTPPASPSPSVSSATSQSSGFSTSVQDQKIA NMFELSLPFRQQHYLAGLVLTELALILDPDAEGLFGLHKKVINMVHNLLSTHDSDPRYSD PQIKARVAMLYLPLIGIIMETVPQLYDFTESHNQRGRPICIAPDDYDSESGSMISQTVAM AIAGTSVPQLTRPGSFLLTSTSGRQHTTFSAESSRSLLICLLWVLKNADETVLQKWFTDL SVLQLNRLLDLLYLCVSCFEYKGKKVFERMNSLTFKKSKDMRAKLEEAILGSIGARQEMV RRSRGQLERSPSGSAFGSQENLRWRKDMTHWRQNSEKLDKSRAEIEHEALIDGNLATEAN LIILDTLEIIVQTVSVTESKESILGGVLKVLLQSMACNQSAVYLQHCFATQRALVSKFPE LLFEEETEQCADLCLRLLRHCSSSISTIRSHASASLYLLMRQNFEIGNNFARVKMQVTMS LSSLVGTSQNFNEEFLRRSLKTILTYAEEDLELRETTFPDQVQDLVFNLHMILSDTVKMK EHQEDPEMLIDLMYRIAKGYQTSPDLRLTWLQNMAGKHSERSNHAEAAQCLVHSAALVAE YLSMLEDRKYLPVGCVTFQNISSNVLEESAVSDDVVSPDEEGICSGKYFTESGLVGLLEQ AAASFSMAGMYEAVNEVYKVLIPIHEANRDAKKLSTIHGKLQEAFSKIVHQDGKRMFGTY FRVGFYGTKFGDLDEQEFVYKEPAITKLAEISHRLEGFYGERFGEDVLEVIKDSNPVDKC KLDPNKAYIQITYVEPFFDTYEMKDRITYFDKNYNLRRFMYCTPFTLDGRAHGELHEQFK RKTILTTSHAFPYIKTRVNVTHKEEIILTPIEVAIEDMQKKTQELAFATHQDPADPKMLQ MVLQGSVGTTVNQGPLEVAQVFLSEIPGDPKLFRHHNKLRLCFKDFTKRCEDALRKNKSL IGPDQKEYQRELERNYHRLKEALQPLINRKIPQLYKAVLPVTCHRDSFSRMSLRKMEL >ENSMUSP00000145833.1 pep:known chromosome:GRCm38:4:98945563:98958118:-1 gene:ENSMUSG00000028556.15 transcript:ENSMUST00000205652.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dock7 description:dedicator of cytokinesis 7 [Source:MGI Symbol;Acc:MGI:1914549] FTESGLVGLLEQAAASFSMAGMYEAVNEVYKVLIPIHEANRDAKKLSTIHGKLQEAFSKI VHQFFFMTCPFFTFYYTEHWLGADVWHLFPCWFLWNQVRGFG >ENSMUSP00000114204.1 pep:known chromosome:GRCm38:4:98989309:99009305:-1 gene:ENSMUSG00000028556.15 transcript:ENSMUST00000150254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock7 description:dedicator of cytokinesis 7 [Source:MGI Symbol;Acc:MGI:1914549] XKGVFNVEVVAVSSIHTQDPYLDKFFALVNALDEHMFPVRIGDMRIMENNLESELKSSIS ALNSSQLEPVVRFLHLLLDKLILLVVRPPVIAGQIVNLGQASFEAMASIINRLHKNLEGN HDQHGRNNLLASYIYYVFRLPNTYPNSPSPGPGGLGGSVHYATMARSAVRPASLNLNRSR SLSNSNPDISGTPTSPDDEVRSIIGSKLFHEELALQWVVCSGSVRESALQQAWFFFELMV KSMVHHLYFNDKLDA >ENSMUSP00000119103.2 pep:known chromosome:GRCm38:4:98991393:99009270:-1 gene:ENSMUSG00000028556.15 transcript:ENSMUST00000127946.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dock7 description:dedicator of cytokinesis 7 [Source:MGI Symbol;Acc:MGI:1914549] SSIHTQDPYLDKFFALVNALDEHMFPVRIGDMRIMENNLESELKSSISALNSSQLEPVVR FLHLLLDKLILLVVRPPVIAGQIVNLGQASFEAMASIINRLHKNLEGNHDQHGRNNLLAS YIYYVFRLPNTYPNSPSPGPGGLGGSVHYATMARSAVRPASLNLNRSRSLSNSNPDISGT PTSPDDEVRSIIGSKGLDRSNSWVNTGPKAAPWGSNPSPSAESTQLFHEELALQWVVCSG SVRESAL >ENSMUSP00000145985.1 pep:known chromosome:GRCm38:4:99007941:99055333:-1 gene:ENSMUSG00000028556.15 transcript:ENSMUST00000131386.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dock7 description:dedicator of cytokinesis 7 [Source:MGI Symbol;Acc:MGI:1914549] XTTYRNLLYIYPQSLNFANRQGSARNITVKVQFMYGEDPSNAMPVS >ENSMUSP00000076532.1 pep:known chromosome:GRCm38:2:88864607:88865530:1 gene:ENSMUSG00000062757.1 transcript:ENSMUST00000077302.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1206 description:olfactory receptor 1206 [Source:MGI Symbol;Acc:MGI:3031040] MQHNSTVTKFILLGLTQDPLKQKMVFIIFLVFYLGTVVGNTLIIMTIKFSRTLGSPMYFF LFYLSFADSCFSTSTAPRLIVDALSKKNIISYNECMTQVFALHLFGCMEIFVLILMAVDR YVAICKPLRYPVIMSRQVCVILIILAWIGSFIHSTAQIVLALRLPFCGPNLIDHYCCDLQ PLLKLACMDTYMINLLLVSNSGAICSSSFVILIISYFVILHSLRNHSAEGRKKALSTCTS HIIVVILFFGPCIFIYARPPTTFSMDKMVAVFYTIGTPFLNPIIYTLRNAEVKNAMKKLW HVKIMTE >ENSMUSP00000077344.1 pep:known chromosome:GRCm38:11:58831156:58832082:1 gene:ENSMUSG00000096806.1 transcript:ENSMUST00000078217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr312 description:olfactory receptor 312 [Source:MGI Symbol;Acc:MGI:3030146] MSNHTRVTHFILRGFSDVPQLRLVLIPFFLFFYTFGILGNFSIITAVTRDSRLHSPMYFF LKNLSFLDICYTSATIPKAVVISLTGSGVISYQECAAQLYIIFTFACTECFLLTAMAYDR CLAILRPLIYGTIMSQKYCSALVVTAWVGGAIYSAFHTFNTFSLPYCGPNVIDHFFCDMP PVMRLSCTDYHLTEEVGFAVSSCIVMSSFVLTVVSYIGIVATVLRIPSVEGRWKAFSTCS SHLTTVILFYGTGSFVYLRPASQYSPTLGRLASIFYSVVTPSLNPVVYCLRNKDMKFALQ KLYCGRKY >ENSMUSP00000137949.1 pep:known chromosome:GRCm38:13:120263114:120264517:1 gene:ENSMUSG00000095071.2 transcript:ENSMUST00000181748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AF067061 description:cDNA sequence AF067061 [Source:MGI Symbol;Acc:MGI:2681166] MDKAKKMMQSIPSFVKDTSDIEEHAVPSAQVLPAQSTRCSKSETLCFSKEQSHCSEDGWI ANWDLYSFCVFESVDYLRSYRRLNSAMKKGTEVFQSESQRKPQVSPGDVESYKDKDTEEP DQPSPSLLREKGLDLATCDGGDCPDQDPVSDSSRHLGCWAWLQRAFGQKKK >ENSMUSP00000136309.1 pep:known chromosome:GRCm38:13:120263191:120264364:1 gene:ENSMUSG00000095071.2 transcript:ENSMUST00000179905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AF067061 description:cDNA sequence AF067061 [Source:MGI Symbol;Acc:MGI:2681166] MDKAKKMMQSIPSFVKDTSDIEEHAVPSAQVLPAQSTRCSKSETLCFSKEQSHCSEDGWI ANWDLYSFCVFESVDYLRSYRRLNSAMKKGTEVFQSESQRKPQVSPGDVESYKDKDTEEP DQPSPSLLREKGLDLATCDGGDCPDQDPVSDSSRHLGCWAWLQRAFGQKKK >ENSMUSP00000029568.1 pep:known chromosome:GRCm38:3:79812564:79842679:-1 gene:ENSMUSG00000027956.11 transcript:ENSMUST00000029568.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem144 description:transmembrane protein 144 [Source:MGI Symbol;Acc:MGI:1917902] MSSNATDLTTGYLSSAAAILLFGSNFVPLKKYDTGDGMFLQWVLCAAIWLVALVVNLILH CPKFWPFAMLGGCIWATGNIAVVPIIKTIGLGLGILIWGSFNTLTGWASSRFGWFGMDAE EVSKPMLNYVGAGLSVVSALTFLFIKSEIPNNPGSSDTTPLMTEPVINRTEDRSADSSWV DRLSTTYHRIVGCSLAVISGILYGSTFVPIIYIKDHSRRNDSMYAGASQYDLDYVFAHSS GIFLTSTVYFVAYCVAMKNRPKLYPEAVLPGLLSGVLWAIATCCWFIANHSLSAVISFPI ITAGPGLIAALWGILIFKEIQGLRNYLLMMLAFCIILAGALCTAFSKV >ENSMUSP00000127837.1 pep:known chromosome:GRCm38:3:79813133:79841729:-1 gene:ENSMUSG00000027956.11 transcript:ENSMUST00000168038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem144 description:transmembrane protein 144 [Source:MGI Symbol;Acc:MGI:1917902] MSSNATDLTTGYLSSAAAILLFGSNFVPLKKYDTGDGMFLQWVLCAAIWLVALVVNLILH CPKFWPFAMLGGCIWATGNIAVVPIIKTIGLGLGILIWGSFNTLTGWASSRFGWFGMDAE EVSKPMLNYVGAGLSVVSALTFLFIKSEIPNNPGSSDTTPLMTEPVINRTEDRSADSSWV DRLSTTYHRIVGCSLAVISGILYGSTFVPIIYIKDHSRRNDSMYAGASQYDLDYVFAHSS GIFLTSTVYFVAYCVAMKNRPKLYPEAVLPGLLSGVLWAIATCCWFIANHSLSAVISFPI ITAGPGLIAALWGILIFKEIQGLRNYLLMMLAFCIILAGALCTAFSKV >ENSMUSP00000141832.1 pep:known chromosome:GRCm38:3:79832062:79852773:-1 gene:ENSMUSG00000027956.11 transcript:ENSMUST00000193410.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem144 description:transmembrane protein 144 [Source:MGI Symbol;Acc:MGI:1917902] MSSNATDLTTGYLSSAAAILLFGSNFVPLKKYDTGDGMFLQWVLCAAIWLVALVVNLILH CPKFWPFAMLGGCIWATGNIAVVPIIKTIGLGLGILIWGSFNTLTGWASSRFGWFGMDAE EVSKPMLNYVGAGLSVV >ENSMUSP00000142289.1 pep:known chromosome:GRCm38:3:79839140:79852768:-1 gene:ENSMUSG00000027956.11 transcript:ENSMUST00000192341.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem144 description:transmembrane protein 144 [Source:MGI Symbol;Acc:MGI:1917902] MSSNATDLTTGYLSSAAAILLFGSNFVPLKKYDTG >ENSMUSP00000057180.4 pep:known chromosome:GRCm38:7:106752451:106753385:-1 gene:ENSMUSG00000059087.2 transcript:ENSMUST00000052535.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr698 description:olfactory receptor 698 [Source:MGI Symbol;Acc:MGI:3030532] MDARLHVPMYLLLGQLSLMDLLLTSVITPKAVVDFLLKDNTISFGGCALQMFLELALGSA EDLLLAFMAYDRYVAICQPLNYTILMSHKVCWLMIATSWILASLSALGYSIYTMQYSFCK NRQINHLFCEIPPLLKLACADTSTYELMVYLMGVIVLILPLTAILASYSLILFTVLNMPS NEGRKKALVTCSSHLTVVGMWYGGASFMYVLPSPFHSPKQDNISSVFYTIVTPALNPLIY SLRNKEVTGALKRVLGKRLSA >ENSMUSP00000074509.1 pep:known chromosome:GRCm38:7:106752451:106753386:-1 gene:ENSMUSG00000059087.2 transcript:ENSMUST00000074981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr698 description:olfactory receptor 698 [Source:MGI Symbol;Acc:MGI:3030532] MELWNSTVGSGFILVGILDGSGSPELLCAAITALYFLALTSNGLLLLVITMDARLHVPMY LLLGQLSLMDLLLTSVITPKAVVDFLLKDNTISFGGCALQMFLELALGSAEDLLLAFMAY DRYVAICQPLNYTILMSHKVCWLMIATSWILASLSALGYSIYTMQYSFCKNRQINHLFCE IPPLLKLACADTSTYELMVYLMGVIVLILPLTAILASYSLILFTVLNMPSNEGRKKALVT CSSHLTVVGMWYGGASFMYVLPSPFHSPKQDNISSVFYTIVTPALNPLIYSLRNKEVTGA LKRVLGKRLSA >ENSMUSP00000085206.4 pep:known chromosome:GRCm38:X:102847639:102853356:-1 gene:ENSMUSG00000067561.12 transcript:ENSMUST00000087896.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1c2 description:DMRT-like family C1c2 [Source:MGI Symbol;Acc:MGI:3802967] MGPKDKAAVSCRPSTSECNMGNKTGAAAPVMEPILRGRANHPGRGHSCGTRTQVRDHGEA LLAVGSEQKGYSARGKRRQIRLPRTTLPRKPFDQAKKTPTKGRGRPAKENPVSQPEDLPQ ASPQEESPHGSQVYCWPPALSPLPYMSVPPEQQLVAPPSTEIHGAFAESNPCSSMVLLPR TSTDHHHPLESQGSGASDQASVPASLECQEILEAAEALMTLKNSSWTWHQTHS >ENSMUSP00000113033.1 pep:known chromosome:GRCm38:X:102847639:102854616:-1 gene:ENSMUSG00000067561.12 transcript:ENSMUST00000120314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1c2 description:DMRT-like family C1c2 [Source:MGI Symbol;Acc:MGI:3802967] MSLRTMGPKDKAAVSCRPSTSECNMGNKTGAAAPVMEPILRGRANHPGRGHSCGTRTQVR DHGEALLAVGSEQKGYSARGKRRQIRLPRTTLPRKPFDQAKKTPTKGRGRPAKENPVSQP EDLPQASPQEESPHGSQVYCWPPALSPLPYMSVPPEQQLVAPPSTEIHGAFAESNPCSSM VLLPRTSTDHHHPLESQGSGASDQASVPASLECQEILEAAEALMTLKNSSWTWHQTHS >ENSMUSP00000085209.3 pep:known chromosome:GRCm38:X:102850319:102854138:-1 gene:ENSMUSG00000067561.12 transcript:ENSMUST00000087899.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1c2 description:DMRT-like family C1c2 [Source:MGI Symbol;Acc:MGI:3802967] MSLRTMGPKDKAAVSCRPSTSECNMGNKTGAAAPVMEPILRGRANHPGRGHSCGTRTQVR DHGEALLAVGSEQKGYSARGKRRQIRLPRTTLPRKPFDQAKKTPTKGRGRPAKENPVSQP EDLPQASPQEESPHGSQVYCWPPALSPLPYMSVPPEQQLVAPPSTEIHGAFAESNPCSSM VLLPRTSTDHHHPLESQGSGASDQASVPASLECQEILEAAEALMTLKNSSWTWHQTHS >ENSMUSP00000038813.3 pep:known chromosome:GRCm38:4:41135743:41193380:1 gene:ENSMUSG00000036241.3 transcript:ENSMUST00000040008.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2r2 description:ubiquitin-conjugating enzyme E2R 2 [Source:MGI Symbol;Acc:MGI:1914865] MAQQQMTSSQKALMLELKSLQEEPVEGFRITLVDESDLYNWEVAIFGPPNTLYEGGYFKA HIKFPIDYPYSPPTFRFLTKMWHPNIYENGDVCISILHPPVDDPQSGELPSERWNPTQNV RTILLSVISLLNEPNTFSPANVDASVMFRKWRDSKGKDKEYAEIIRKQVSATKAEAEKDG VKVPTTLAEYCIKTKVPSNDNSSDLLYDDLYDDDIDDEDEEEEDADCYDDDDSGNEES >ENSMUSP00000028081.6 pep:known chromosome:GRCm38:2:16356304:16755839:1 gene:ENSMUSG00000026748.13 transcript:ENSMUST00000028081.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxdc2 description:plexin domain containing 2 [Source:MGI Symbol;Acc:MGI:1914698] MARFRRADLAAAGVMLLCHFLTDRFQFAHGEPGHHTNDWIYEVTNAFPWNEEGVEVDSQA YNHRWKRNVDPFKAVDTNRASMGQASPESKGFTDLLLDDGQDNNTQIEEDTDHNYYISRI YGPADSASRDLWVNIDQMEKDKVKIHGILSNTHRQAARVNLSFDFPFYGHFLNEVTVATG GFIYTGEVVHRMLTATQYIAPLMANFDPSVSRNSTVRYFDNGTALVVQWDHVHLQDNYNL GSFTFQATLLMDGRIIFGYKEIPVLVTQISSTNHPVKVGLSDAFVVVHRIQQIPNVRRRT IYEYHRVELQMSKITNISAVEMTPLPTCLQFNGCGPCVSSQIGFNCSWCSKLQRCSSGFD RHRQDWVDSGCPEEVQSKEKMCEKTEPGETSQTTTTSHTTTMQFRVLTTTRRAVTSQMPT SLPTEDDTKIALHLKDSGASTDDSAAEKKGGTLHAGLIVGILILVLIIAAAILVTVYMYH HPTSAASIFFIERRPSRWPAMKFRRGSGHPAYAEVEPVGEKEGFIVSEQC >ENSMUSP00000110350.1 pep:known chromosome:GRCm38:2:16357117:16751857:1 gene:ENSMUSG00000026748.13 transcript:ENSMUST00000114702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxdc2 description:plexin domain containing 2 [Source:MGI Symbol;Acc:MGI:1914698] MARFRRADLAAAGVMLLCHFLTDRFQFAHGEPGHHTNDWIYEVTNAFPWNEEGVEVDSQA YNHRWKRNVDPFKAVDTNRASMGQASPESKGFTDLLLDDGQDNNTQIEEDTDHNYYISRI YGPADSASRDLWVNIDQMEKDKVKIHGILSNTHRQAARVNLSFDFPFYGHFLNEVTVATG GFIYTGEVVHRMLTATQYIAPLMANFDPSVSRNSTVRYFDNGTALVVQWDHVHLQDNYNL GSFTFQATLLMDGRIIFGYKEIPVLVTQISSTNHPVKVGLSDAFVVVHRIQQIPNVRRRT IYEYHRVELQMSKITNISAVEMTPLPTCLQFNGCGPCVSSQIGFNCSWCSKLQRCSSGFD RHRQDWVDSGCPEESKEKMCEKTEPGETSQTTTTSHTTTMQFRVLTTTRRAVTSQMPTSL PTEDDTKIALHLKDSGASTDDSAAEKKGGTLHAGLIVGILILVLIIAAAILVTVYMYHHP TSAASIFFIERRPSRWPAMKFRRGSGHPAYAEVEPVGEKEGFIVSEQC >ENSMUSP00000110351.3 pep:known chromosome:GRCm38:2:16356887:16751689:1 gene:ENSMUSG00000026748.13 transcript:ENSMUST00000114703.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxdc2 description:plexin domain containing 2 [Source:MGI Symbol;Acc:MGI:1914698] MARFRRADLAAAGVMLLCHFLTDRFQFAHGEPGHHTNDWIYEVTNAFPWNEEGVEVDSQA YNHRWKRNVDPFKAVDTNRASMGQASPESKGFTDLLLDDGQDNNTQIERVNLSFDFPFYG HFLNEVTVATGGFIYTGEVVHRMLTATQYIAPLMANFDPSVSRNSTVRYFDNGTALVVQW DHVHLQDNYNLGSFTFQATLLMDGRIIFGYKEIPVLVTQISSTNHPVKVGLSDAFVVVHR IQQIPNVRRRTIYEYHRVELQMSKITNISAVEMTPLPTCLQFNGCGPCVSSQIGFNCSWC SKLQRCSSGFDRHRQDWVDSGCPEESKEKMCEKTEPGETSQTTTTSHTTTMQFRVLTTTR RAVTSQMPTSLPTEDDTKIALHLKDSGASTDDSAAEKKGGTLHAGLIVGILILVLIIAAA ILVTVYMYHHPTSAASIFFIERRPSRWPAMKFRRGSGHPAYAEVEPVGEKEGFIVSEQC >ENSMUSP00000130300.1 pep:known chromosome:GRCm38:14:7116798:7125412:-1 gene:ENSMUSG00000096869.7 transcript:ENSMUST00000166493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6676 description:predicted gene 6676 [Source:MGI Symbol;Acc:MGI:3646103] MFSRLLRLCLKGNGDEGETRPKKKEEGILSHEKGRRKLFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGSMQYSMELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQLP >ENSMUSP00000137293.1 pep:known chromosome:GRCm38:14:7122347:7125330:-1 gene:ENSMUSG00000096869.7 transcript:ENSMUST00000178376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6676 description:predicted gene 6676 [Source:MGI Symbol;Acc:MGI:3646103] MFSRLLRLCLKGNGDEGETRPKKKEEGILSHEKGRRKLFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGSMQYSMELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000068281.5 pep:known chromosome:GRCm38:11:98741811:98769006:1 gene:ENSMUSG00000058756.13 transcript:ENSMUST00000064187.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thra description:thyroid hormone receptor alpha [Source:MGI Symbol;Acc:MGI:98742] MEQKPSKVECGSDPEENSARSPDGKRKRKNGQCPLKSSMSGYIPSYLDKDEQCVVCGDKA TGYHYRCITCEGCKGFFRRTIQKNLHPTYSCKYDSCCVIDKITRNQCQLCRFKKCIAVGM AMDLVLDDSKRVAKRKLIEQNRERRRKEEMIRSLQQRPEPTPEEWDLIHVATEAHRSTNA QGSHWKQRRKFLPDDIGQSPIVSMPDGDKVDLEAFSEFTKIITPAITRVVDFAKKLPMFS ELPCEDQIILLKGCCMEIMSLRAAVRYDPESDTLTLSGEMAVKREQLKNGGLGVVSDAIF ELGKSLSAFNLDDTEVALLQAVLLMSTDRSGLLCVDKIEKSQEAYLLAFEHYVNHRKHNI PHFWPKLLMKEREVQSSILYKGAAAEGRPGGSLGVHPEGQQLLGMHVVQGPQVRQLEQQL GEAGSLRGPVLQHQSPKSPQQRLLELLHRSGILHSRAVCGEDDSSEASSLSSSSDTEDTE VCEDQAGKAASP >ENSMUSP00000099428.4 pep:known chromosome:GRCm38:11:98741873:98765111:1 gene:ENSMUSG00000058756.13 transcript:ENSMUST00000103139.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thra description:thyroid hormone receptor alpha [Source:MGI Symbol;Acc:MGI:98742] MEQKPSKVECGSDPEENSARSPDGKRKRKNGQCPLKSSMSGYIPSYLDKDEQCVVCGDKA TGYHYRCITCEGCKGFFRRTIQKNLHPTYSCKYDSCCVIDKITRNQCQLCRFKKCIAVGM AMDLVLDDSKRVAKRKLIEQNRERRRKEEMIRSLQQRPEPTPEEWDLIHVATEAHRSTNA QGSHWKQRRKFLPDDIGQSPIVSMPDGDKVDLEAFSEFTKIITPAITRVVDFAKKLPMFS ELPCEDQIILLKGCCMEIMSLRAAVRYDPESDTLTLSGEMAVKREQLKNGGLGVVSDAIF ELGKSLSAFNLDDTEVALLQAVLLMSTDRSGLLCVDKIEKSQEAYLLAFEHYVNHRKHNI PHFWPKLLMKVTDLRMIGACHASRFLHMKVECPTELFPPLFLEVFEDQEV >ENSMUSP00000123056.1 pep:known chromosome:GRCm38:11:98742158:98756995:1 gene:ENSMUSG00000058756.13 transcript:ENSMUST00000153043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thra description:thyroid hormone receptor alpha [Source:MGI Symbol;Acc:MGI:98742] MEQKPSKVECGSDPEENSARSPDGKRKRKNGQCPLKSSMSGYIPSYLDKDEQCVVCGDKA TGYH >ENSMUSP00000115323.1 pep:known chromosome:GRCm38:11:98753586:98768403:1 gene:ENSMUSG00000058756.13 transcript:ENSMUST00000124072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thra description:thyroid hormone receptor alpha [Source:MGI Symbol;Acc:MGI:98742] MEQKPSKVECGSDPEENSARSPDGKRKRKNGQCPLKSSMSGYIPSYLDKDEQCVVCGDKA TGYHYRCITCEGCKGFFRRTIQKNLHPTYSCKYDSCCVIDKITRNQCQLCRFKKCIAVGM AMDLVLDDSKRVAKRKLIEQNRERRRKEEMIRSLQQRPEPTPEEWDLIHVATEAHRSTNA QGSHWKQRRKFLPDDIGQSPIVSMPDGDKVDLEAFSEFTKIITPAITRVVDFAKKLPMFS ELPCEDQIILLKGCCMEIMSLRAAVRYDPESDTLTLSGEMAVKREQLKNGGLGVVSDAIF ELGKSLSAFNLDDTEVALLQAVLLMSTDRSGLLCVDKIEKSQEAYLLAFEHYVNHRKHNI PHFWPKLLMKGPQVRQLEQQLGEAGSLRGPVLQHQSPKSPQQRLLELLHRSGI >ENSMUSP00000097398.2 pep:known chromosome:GRCm38:2:88896669:88897595:-1 gene:ENSMUSG00000075114.3 transcript:ENSMUST00000099810.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1208 description:olfactory receptor 1208 [Source:MGI Symbol;Acc:MGI:3031042] MGYGNLTEFILLGLFHNEDVKAICAVLFLLCYLAILCGNLVVLLTIKGSQLSEQPMYFFL SYLSFMDVCFTSTVAPKFIIGLLVQCNTISYNGCIAQMFYAHFFGATEIFILVVMAYDRY VAICRPLYYMITMSRQVCYILVIGSVFGAFIHSLVHVLVIIRLPFCGSNEIDHYFCDIFP LLKLACTDTRLLVIVIITTTGVMSILTFVALVISYIIILSILRTRSSESRRKALSTCGSH ITVVFMFFLPLIFTYVPMGDSVGDDKVFALFYTMIAPLFNPLIYTLRNTDMKNAMRKVWC QDKLFEGK >ENSMUSP00000119939.1 pep:known chromosome:GRCm38:1:43746966:43827800:-1 gene:ENSMUSG00000057363.12 transcript:ENSMUST00000126008.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uxs1 description:UDP-glucuronate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:1915133] MVSKGLLRLVSSVNRRRMKLLLGIALFAYAASVWGNFVNMRSIQENGELKIESKIEEIVE PLREKIRDLEKSFTQKYPPVKFLSEKDRKRILITGGAGFVGSHLTDKLMMDGHEVTVVDN FFTGRKRNVEHWIGHENFELINHDVVEPLYIEVDQIYHLASPASPPNYMYNPIKTLKTNT IGTLNMLGLAKRVGARLLLASTSEVYGDPEVHPQSEDYWGHVNPIGPRACYDEGKRVAET MCYAYMKQEGVEVRVARIFNTFGPRMHMNDGRVVSNFILQALQGEPLTVYGSGSQTRAFQ YVSDLVNGLVALMNSNVSSPVNLGNPEEHTILEFAQLIKNLVGSGSEIQFLSEAQDDPQK RKPDIKKAKLMLGWEPVVPLEEGLNKAIHYFRKELEYQANNQYIPKPKPARVKKGRTRHS >ENSMUSP00000076259.6 pep:known chromosome:GRCm38:1:43749512:43827741:-1 gene:ENSMUSG00000057363.12 transcript:ENSMUST00000076997.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uxs1 description:UDP-glucuronate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:1915133] MVSKGLLRLVSSVNRRRMKLLLGIALFAYAASVWGNFVNMRSIQENGELKIESKIEEIVE PLREKIRDLEKSFTQKYPPVKFLSEKDRKRILAGREMWNTGLAMRTSS >ENSMUSP00000118468.1 pep:known chromosome:GRCm38:1:43765007:43827800:-1 gene:ENSMUSG00000057363.12 transcript:ENSMUST00000139451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uxs1 description:UDP-glucuronate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:1915133] MVSKGLLRLVSSVNRRRMKLLLGIALFAYAASVWGNFVNMSFLLNRSIQENGELKIESKI EEIVEPLREKIRDLEKSFTQKYPPVKFLSEKDRKRILITGGAGFVGSHLTDKLMMDGHEV TVVDNFFTGRKRNVEHWIGHENFELINHDVVEPLYIEVDQIYHLASPASPPNYMYNPIKT LKTNTIGTLNMLGLAKRVGARLLLASTSEVYGDPEVHPQSEDYWGHVNPIGPRACYDEGK RVAETMCYAYMKQE >ENSMUSP00000144114.1 pep:known chromosome:GRCm38:1:43771730:43827750:-1 gene:ENSMUSG00000057363.12 transcript:ENSMUST00000153317.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uxs1 description:UDP-glucuronate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:1915133] MVSKGLLRLVSSVNRRRMKLLLGIALFAYAAFDQIYHLASPASPPNYMYNPIKTLKTNTI GTLNMLGLAKRVGARLLLASTSEVYGDPEVHPQSEDYWGHVNPIGPRACY >ENSMUSP00000121869.1 pep:known chromosome:GRCm38:1:43780118:43827762:-1 gene:ENSMUSG00000057363.12 transcript:ENSMUST00000136704.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uxs1 description:UDP-glucuronate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:1915133] MVSKGLLRLVSSVNRRRMKLLLGIALFAYAASDVLYSSHH >ENSMUSP00000116719.1 pep:known chromosome:GRCm38:1:43790631:43827774:-1 gene:ENSMUSG00000057363.12 transcript:ENSMUST00000128261.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uxs1 description:UDP-glucuronate decarboxylase 1 [Source:MGI Symbol;Acc:MGI:1915133] MVSKGLLRLVSSVNRRRMKLLLGIALFAYAASVWGNFVNMRSIQENGELKIESKIEEIVE PLREKIRDLEKSLLNSASAVWMTLSTTVFLVPTILALGLFLCSFTQKYPPVKFLSEKDRK RILITGGA >ENSMUSP00000071553.1 pep:known chromosome:GRCm38:11:58841116:58842042:1 gene:ENSMUSG00000094805.1 transcript:ENSMUST00000071625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr311 description:olfactory receptor 311 [Source:MGI Symbol;Acc:MGI:3030145] MANHTRVTHFILRGFSDVPQLRLVLIPFFLLFYTFGILGNFSIITAVIRDSRLHSPMYFF LKNLSFLDICYTSATIPKAVVISLTGSGVISYQECVAQLYMFITLCGTECFLLTAMAYDR CLAILRPLIYGTIMSHKYCSALVVTAWVGGAIYSAFHTFNTFSLPYCGPNVVDHFFCDMP PVMRLSCTDYHLNEEVGFAVIGCIIMSSFALTVVSYIGIVATVLHIPSVEGRWKAFSTCS SHLTTVILFYGTGSFVYLRPASQYSPTLDPLASIFYSVVTPSLNPVIYCLRNKDMKFALQ KLYCGRKY >ENSMUSP00000068023.1 pep:known chromosome:GRCm38:7:106790049:106790999:-1 gene:ENSMUSG00000096714.1 transcript:ENSMUST00000065024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr699 description:olfactory receptor 699 [Source:MGI Symbol;Acc:MGI:3030533] MESWNSTLGGTFILVGILDDSGSPDLLCAVITALYMLAMISNGLLLLVITMDAQLHVPMY LLLGQLSLIDFFLTSIIIPKAVMDFLLKDNTISLEGCALQMFLALTLGGAEDLLLAFMAY DRYVAICHPLNYMIFMRPSICWLGVATSWILGLLSALGYTIHTMQYPFCKSRKIRDLYCE IPPLLKLACADTSKYELMVYVMGVAFLIPPLAAILASYILILFTVLNKPSNEGRKKALVT CFSHLTVVGLYYGALTVMYVLPSSYLSPKQENLLSVFYTVVTPALNPLIYSLRNKEVTGA LRRVLGKWFLPTQSTF >ENSMUSP00000019426.4 pep:known chromosome:GRCm38:18:20436175:20471821:1 gene:ENSMUSG00000001804.9 transcript:ENSMUST00000019426.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsg4 description:desmoglein 4 [Source:MGI Symbol;Acc:MGI:2661061] MDWLLFRNICLLILFMVVLGVNSEFIVEVKELDIENGTTTWQTVRRQKREWIKFAAACRE GEDNSKRNPIARIRSDCEVSQRITYRISGAGIDRPPYGVFTINPRTGEINITSVVDREIT PLFLIHCRALNSRGEDLERPLELRVKVMDVNDNPPVFTQNVYTANIEENSDANALVVKLS ATDADEDNHLNSKIAYKIISQEPAGAPMFMVNRYTGEVRTMSNFLDREQHSMYNLLVRGS DRDGATDGLSSECDCRIKILDVNDNFPILEKTSYSASIEENCLSSELIRLQAIDLDEEGT DNWLAQYSILSGNDGNWFEIQTDPKTNEGILKVVKMLDYEQEPNIYLSIGVRNQAEFHHS VASQFQMHSTPVRIQVVNVREGPTFSPSSMTFSLRGGMRGASLMNYVLGTYTAIDMDTGN PATNVRYVIGHDAGSWLKVDSRTGEIQFSREFDMKSKYITDGIYAAQILAIDDGSGRTAT GTICIEIPDANDYCPVIYAESRSVCTHASSVRIYVNDHSFGSPFTFCVVDESPDIANIWD IRSINGTSAILMTEQTLSPGPYQIPILVKDSHNRACELPQTVLLDACFCDDHHVCLHSST TGIYTGDITWVTDDMYGTVTDDGVRQSNVGLGPAGIGMIILGLLLLLLSPLLLLMCCCKR RQPEGLGTRFAPVPEGGEGVMQPWRIEGAHPEDRDVSNICVPMTASNTQDRIDSSEIYTN TYAGGGTVEGGVSGVELNTGVGTATGMVAAGATGTLRKRSSTIGTLREYQDTGMNMAFLD SYFSEKAYAYADEDEGRPANDCLLIYDHEGAGSPVGSIGCCSWIVDDLDESYIETLDPKF RTLAEICLDTEIEPFPSHQACIPISTDLPLLGPNYFVNESSGMTLSEAEFQAEMAAASEP MIHGDIIVTETYTTSDPCVQPTTIVFDSQIPPNVVVTETVMAPVYDVQGNICVPAEIANT HNVYYAERVVASPGIPDMGNSNISDACIGPVMSGGILVGPEIQVTQMMSPDIHISQTTGS TSPMTSQHRVTRYSNMHYSRQ >ENSMUSP00000139029.1 pep:known chromosome:GRCm38:15:75884137:75888770:-1 gene:ENSMUSG00000098678.1 transcript:ENSMUST00000184858.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mroh6 description:maestro heat-like repeat family member 6 [Source:MGI Symbol;Acc:MGI:5011755] MAGGVWGRGRDGRDGPVGSLTLTALAEGIRASQGQPVGPSSTGPQSDPQELGPEPEPYIQ TLTPAFEAQPGNEVVAPTAGSEIYSPHNAQEPAPERPYQASQYSWEEGTLADLALYTAAC LEEAGYAGTQATALTLSSALEARGQRLEDQVHGLVRGLLAQVPSLAEGRPRRAALRVLSA LALEHAQDVVCALLPSSLPPDRAASELWRSLSRNQRVNGQVLVQLLWALKGVAAPESEAL AATRALGEMLAVSGCVGATRGFYPHLLLALVTQLHELAQGAHSPDSPKVWEPSHRGPPHS HASCTVEALKALLTGDGGRMVVTCMEQAGGWRRLVGAHTHLEGVLLLASAMVAHADHHLR GLFADLLPRLRSANNPQRLTAMAFFTGLLQSQSTARLLREEVILERLRTWQGDPEPTVRW LGLLALGNLAMNRGKIRHVNMLLPVLLRALGEGDVRLVGAALGALRRLLLQPGAPVRLLS SELRPRLPPLLDDARDSVRASAVGLLGTLVRRGQRGLRLGLRGPLRKLVLQSLVPLLLRL HDSSQDAAESSEWTLARCDQALRWGLLEEMVTVAHYDSPEALSRVCHRLVQRYPSHVQYF LSQTQGYLRSPQDTLRRAATVLIGFLVYHTRPKGISQDLLDSLFQDLGQLQGDPESAVSS AAHVSAQQVALLARAQVQTRSPNLFRFIRRHHSYPPRPHPLYDDSPFLRRSLAGRWSCLE PH >ENSMUSP00000036949.7 pep:known chromosome:GRCm38:3:93555080:93564643:1 gene:ENSMUSG00000041959.14 transcript:ENSMUST00000045756.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a10 description:S100 calcium binding protein A10 (calpactin) [Source:MGI Symbol;Acc:MGI:1339468] MPSQMEHAMETMMLTFHRFAGDKDHLTKEDLRVLMEREFPGFLENQKDPLAVDKIMKDLD QCRDGKVGFQSFLSLVAGLTIACNDYFVVNMKQKGKK >ENSMUSP00000130712.1 pep:known chromosome:GRCm38:3:93560727:93564450:1 gene:ENSMUSG00000041959.14 transcript:ENSMUST00000170612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a10 description:S100 calcium binding protein A10 (calpactin) [Source:MGI Symbol;Acc:MGI:1339468] MPSQMEHAMETMMLTFHRFAGDKDHLTKEDLRVLMEREFPGFLENQKDPLAVDKIMKDLD QCRDGKVGFQSFLSLVAGLTIACNDYFVVNMKQKGKK >ENSMUSP00000023760.6 pep:known chromosome:GRCm38:15:99717515:99725005:1 gene:ENSMUSG00000023019.12 transcript:ENSMUST00000023760.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpd1 description:glycerol-3-phosphate dehydrogenase 1 (soluble) [Source:MGI Symbol;Acc:MGI:95679] MAGKKVCIVGSGNWGSAIAKIVGSNAGRLAHFDPRVTMWVFEEDIGGRKLTEIINTQHEN VKYLPGHKLPPNVVAIPDVVQAATGADILVFVVPHQFIGKICDQLKGHLKANTIGISLIK GVDEGPNGLKLISEVIGERLGIPMSVLMGANIASEVAEEKFCETTIGCKDPAQGQLLKDL MQTPNFRITVVQEVDTVEICGALKNIVAVGAGFCDGLGFGDNTKAAVIRLGLMEMIAFAK LFCSGTVSSATFLESCGVADLITTCYGGRNRKVAEAFARTGKSIEQLEKEMLNGQKLQGP QTARELHSILQHKGLVDKFPLFTAVYKVCYEGQPVGEFIRCLQNHPEHM >ENSMUSP00000125164.1 pep:known chromosome:GRCm38:15:99717579:99723792:1 gene:ENSMUSG00000023019.12 transcript:ENSMUST00000162194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpd1 description:glycerol-3-phosphate dehydrogenase 1 (soluble) [Source:MGI Symbol;Acc:MGI:95679] MAGKKVCIVGSGNWGSAIAKIVGSNAGRLAHFDPRVTMWVFEEDIGGRKLTEIINTQHEN VKYLPGHKLPPNVFIGKICDQLKGHLKANTIGISLIKGVDEGPNGLKLISEVIGERLGIP MSVLMGANIASEVAEEKFCETTIGCKDPAQGQLLKDLMQTPNFRITVVQEVDTVEICGAL KNIVAVGAGFCDGLGFGDNTKAAVIRLGLMEMIAFAKLFCSGTVSSATFLESCGVADLIT TCYGGRNRKVAEAFARTGKSIEQLEKEMLNGQKLQGPQTARELHSILQHKGLVDKFPLFT AVYKVCYEGQPVGEFIRCLQNHPEHM >ENSMUSP00000097397.1 pep:known chromosome:GRCm38:2:88909459:88910391:-1 gene:ENSMUSG00000075113.1 transcript:ENSMUST00000099809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1209 description:olfactory receptor 1209 [Source:MGI Symbol;Acc:MGI:3031043] MWLNNNVTEFILLGLTQDPFRKKILFVVFLLFYMGTLLGNLLIIATIKTSQTLGSPMYFF LFYLSLSDTCFSTTIAPRTIVDSLLKEASISFTECIIQVFTFHFFGCLEIFILILMAVDR YVAICKPLHYMTIMSRRVCGVLVAIAWVGSCVHSLVQIFLALSLPFCGPNEIDHYFCDLQ PLLKLACSDTYLINLLLVSNSGAICTVSFLMLMVSYVIILRSLRNHSAEGRRKALSTCIS HIIVVILFFGPCIFIYTRPATTFPMDKMIAIFYSIGTPLLNPLIYTLRNAEVKNAMKKLW RKKVVSDDRK >ENSMUSP00000134615.1 pep:known chromosome:GRCm38:17:33819027:33824492:-1 gene:ENSMUSG00000067288.12 transcript:ENSMUST00000173019.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps28 description:ribosomal protein S28 [Source:MGI Symbol;Acc:MGI:1859516] MDTSRVQPIKLARVTKVLGRTGSQGQCTQVRVEFMDDTSRSIIRNVKGPVREGDVLTLLE SEREARRLR >ENSMUSP00000110013.4 pep:known chromosome:GRCm38:17:33823036:33824562:-1 gene:ENSMUSG00000067288.12 transcript:ENSMUST00000087342.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps28 description:ribosomal protein S28 [Source:MGI Symbol;Acc:MGI:1859516] MDTSRVQPIKLARVTKVLGRTGSQGQCTQVRVEFMDDTSRSIIRNVKGPVREGDVLTLLE SEREARRLR >ENSMUSP00000134240.1 pep:known chromosome:GRCm38:17:33823037:33824346:-1 gene:ENSMUSG00000067288.12 transcript:ENSMUST00000173879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps28 description:ribosomal protein S28 [Source:MGI Symbol;Acc:MGI:1859516] VTKVLGRTGSQGQCTQVRVEFMDDTSRSIIRNVKGPVREGDVLTLLESEREARRLR >ENSMUSP00000133357.1 pep:known chromosome:GRCm38:17:33823050:33824562:-1 gene:ENSMUSG00000067288.12 transcript:ENSMUST00000173844.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps28 description:ribosomal protein S28 [Source:MGI Symbol;Acc:MGI:1859516] MDTSRVQPIKLARVTKVLGRTGSQGQCTQVRVEFMDDTSRSIIRNVKGPVREGDVLTLLE SEREARRLR >ENSMUSP00000133642.1 pep:known chromosome:GRCm38:17:33823052:33824476:-1 gene:ENSMUSG00000067288.12 transcript:ENSMUST00000166693.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps28 description:ribosomal protein S28 [Source:MGI Symbol;Acc:MGI:1859516] MDTSRVQPIKLARVTKVLGRTGSQGQCTQVRVEFMDDTSRSIIRNVKGPVREGDVLTLLE SEREARRLR >ENSMUSP00000030101.3 pep:known chromosome:GRCm38:4:73939371:73950846:-1 gene:ENSMUSG00000028396.5 transcript:ENSMUST00000030101.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310002L09Rik description:RIKEN cDNA 2310002L09 gene [Source:MGI Symbol;Acc:MGI:1916780] MAKCLVKIRTQRSLHLHMMNHCSSNVFVRLLKHGSKVIPRNAGAPLSKVDASEHMVASSP VKGAQSAGSPRKEEDKVKEDPLILSELAYNTSASLLPTQVDDDEVDMLFDCPSRFVLERE DTDTFEDVEADENVFLMAEEEKVKEAHQALSWSYSILTGRIWLNPLVKRCSRLLVVGLGL LLFVFPLVLLLLESVPVSMP >ENSMUSP00000092633.1 pep:known chromosome:GRCm38:4:73941579:73950845:-1 gene:ENSMUSG00000028396.5 transcript:ENSMUST00000095023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310002L09Rik description:RIKEN cDNA 2310002L09 gene [Source:MGI Symbol;Acc:MGI:1916780] MAKCLVKIRTQRSLHLHMMNHCSSNVFVRLLKHGSKVIPRNAGAPLSKVDASEHMVASSP VKGAQSAGSPRKEEDKVKEDPLILSELAYNTSASLLPTQVDDDEVDMLFDCPSRFVLERE DTDTFEDVEADENVFLMAEEEKVKEAHQALSWSYSILTGRIWLNPLVKRCSRLLVVGLGL LLFVFPLVLLLLESGIDISFLFKNMNLSNFTMNTTVL >ENSMUSP00000104821.1 pep:known chromosome:GRCm38:11:49203292:49218553:1 gene:ENSMUSG00000046311.13 transcript:ENSMUST00000109198.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp62 description:zinc finger protein 62 [Source:MGI Symbol;Acc:MGI:99662] MEDEESSKKNENDSNADSQCPSVGFFHKDHMQKSKTGDTCDLFPKWKILKEGKSSIREMI DTHTNAANIKLEQDDETSEKSFYPSTNTMHQTIPTEPNCTKQGEHTENINGNVHPAHIAD KKLHKCDECGKSFKYNSRLVQHKIMHTGEKRYECDDCRGTFRSSSSLRVHKRIHTGEKPY KCDECGKAYMSYSSLINHKSTHSGEKNCKCDECGKSFNYSSVLDQHKRIHTGEKPYECGE CGKAFRNSSGLRVHKRIHTGEKPYECDTCGKTFSNSSGLRVHKRIHTGEKPYECDECGKA FITCRTLLNHKSIHFGDKPYKCDECEKSFNYSSLLIQHKVIHTGEKPYECDECGKAFRNS SGLIVHKRIHTGEKPYKCDICGKAFSYSSGLAVHKSIHPGKKAHECKDCGKSFSYNSLLL QHKTIHTGERPYVCDVCGKTFRNNSGLKVHRRLHTGEKPYKCDVCGKAYISRSSLKNHKG IHMGEKPYKCSYCEKSFNYSSALEQHKRIHTREKPFGCDECGKAFRNNSGLKVHKRIHTG ERPYKCEECGKAYISLSSLINHKSVHPGEKPFKCDECEKAFITYRTLLNHKKIHLGEKPY KCDVCEKSFNYTSLLSQHKRVHTREKPFECDRCEKVFRNNSSLKVHKRIHTGEKPYECDI CGKAYISHSSLINHKSTHPGKTSYTCDECGKAFFSSRTLISHKRVHLGEKPFKCVECGKS FSYSSLLSQHKRIHTGEKPYVCDWCGKAFRNSSGLTVHKRIHTGEKPYGCDECEKAYISH SSLINHKSVHRGKQPYNCECGKSFNYRSVLDQHKRIHTGKKPYRCNDCGKAFNIRSNLTK HKRIHTGEESLNMANMESHSGTFQKMIYYEGGNSLDGTRMQMPVWEAEHAKSQRNQIEEK LYEYNNF >ENSMUSP00000114147.1 pep:known chromosome:GRCm38:11:49203296:49215280:1 gene:ENSMUSG00000046311.13 transcript:ENSMUST00000137061.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp62 description:zinc finger protein 62 [Source:MGI Symbol;Acc:MGI:99662] MEDEESSKKNENDSNADSQCPSVGFFHKDHMQKSKTGDTCDLFPKWKILKEGKSSIREMI DTHTNA >ENSMUSP00000121132.1 pep:known chromosome:GRCm38:11:49203485:49215111:1 gene:ENSMUSG00000046311.13 transcript:ENSMUST00000150284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp62 description:zinc finger protein 62 [Source:MGI Symbol;Acc:MGI:99662] MEDEESSKK >ENSMUSP00000104820.1 pep:known chromosome:GRCm38:11:49203498:49218816:1 gene:ENSMUSG00000046311.13 transcript:ENSMUST00000109197.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp62 description:zinc finger protein 62 [Source:MGI Symbol;Acc:MGI:99662] MEDEESSKKNENDSNADSQCPSVGFFHKDHMQKSKTGDTCDLFPKWKILKEGKSSIREMI DTHTNAANIKLEQDDETSEKSFYPSTNTMHQTIPTEPNCTKQGEHTENINGNVHPAHIAD KKLHKCDECGKSFKYNSRLVQHKIMHTGEKRYECDDCRGTFRSSSSLRVHKRIHTGEKPY KCDECGKAYMSYSSLINHKSTHSGEKNCKCDECGKSFNYSSVLDQHKRIHTGEKPYECGE CGKAFRNSSGLRVHKRIHTGEKPYECDTCGKTFSNSSGLRVHKRIHTGEKPYECDECGKA FITCRTLLNHKSIHFGDKPYKCDECEKSFNYSSLLIQHKVIHTGEKPYECDECGKAFRNS SGLIVHKRIHTGEKPYKCDICGKAFSYSSGLAVHKSIHPGKKAHECKDCGKSFSYNSLLL QHKTIHTGERPYVCDVCGKTFRNNSGLKVHRRLHTGEKPYKCDVCGKAYISRSSLKNHKG IHMGEKPYKCSYCEKSFNYSSALEQHKRIHTREKPFGCDECGKAFRNNSGLKVHKRIHTG ERPYKCEECGKAYISLSSLINHKSVHPGEKPFKCDECEKAFITYRTLLNHKKIHLGEKPY KCDVCEKSFNYTSLLSQHKRVHTREKPFECDRCEKVFRNNSSLKVHKRIHTGEKPYECDI CGKAYISHSSLINHKSTHPGKTSYTCDECGKAFFSSRTLISHKRVHLGEKPFKCVECGKS FSYSSLLSQHKRIHTGEKPYVCDWCGKAFRNSSGLTVHKRIHTGEKPYGCDECEKAYISH SSLINHKSVHRGKQPYNCECGKSFNYRSVLDQHKRIHTGKKPYRCNDCGKAFNIRSNLTK HKRIHTGEESLNMANMESHSGTFQKMIYYEGGNSLDGTRMQMPVWEAEHAKSQRNQIEEK LYEYNNF >ENSMUSP00000117774.1 pep:known chromosome:GRCm38:11:49203506:49215670:1 gene:ENSMUSG00000046311.13 transcript:ENSMUST00000151228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp62 description:zinc finger protein 62 [Source:MGI Symbol;Acc:MGI:99662] MEDEESSKKNENDSNADSQCPSVGFFHKDHMQKSKTGDTCDLFPKWKILKEGKSSIREMI DTHTNAANIKLEQDDETSEKSFYPSTNTMHQTIPTEPNCTKQGEHTENINGNVHPAHIAD KKLHKCDECGKSFKYNSRLVQHKIMHTGEKRYECDDCRGTFRSSSSLRVHKRIHTGEKPY KCDECGKAYMSYSSL >ENSMUSP00000115576.1 pep:known chromosome:GRCm38:11:49203734:49215177:1 gene:ENSMUSG00000046311.13 transcript:ENSMUST00000133150.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp62 description:zinc finger protein 62 [Source:MGI Symbol;Acc:MGI:99662] MEDEESSKKNENDSNADSQCPSVGFFHKDHM >ENSMUSP00000116045.1 pep:known chromosome:GRCm38:11:49204319:49217763:1 gene:ENSMUSG00000046311.13 transcript:ENSMUST00000136539.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp62 description:zinc finger protein 62 [Source:MGI Symbol;Acc:MGI:99662] MEDEESSKKNENDSNADSQCPSVGFFHKDHMQKSKTGDTCDLFPKWKILKEGKSSIREMI DTHTNAANIKLEQDDETSEKSFYPSTNTMHQTIPTEPNCTKQGEHTENINGNVHPAHIAD KKLHKCDECGKSFKYNSRLVQHKIMHTGEKRYECDDCRGTFRSSSSLRVHKRIHTGEKPY KCDECGKAYMSYSSLINHKSTHSGEKNCKCDECGKSFNYSSVLDQHKRIHTGEKPYECGE CGKAFRNSSGLRVHKRIHTGEKPYECDTCGKTFSNSSGLRVHKRIHTGEKPYECDECGKA FITCRTLLNHKSIHFGDKPYKCDECEKSFNYSSLLIQHKVIHTGEKPYECDECGKAFRNS SGLIVHKRIHTGEKPYKCDICGKAFSYSSGLAVHKSIHPGKKAHECKDCGKSFSYNSLLL QHKTIHTGERPYVCDVCGKTFRNNSGLKVHRRLHTGEKPYKCDVCGKAYISRSSLKNHKG IHMGEKPYKCSYCEKSFNYSSALEQHKRIHTREKPFGCDECGKAFRNNSGLKVHKRIHTG ERPYKCEECGKAYISLSSLINHKSVHPGEKPFKCDECEKAFITYRTLLNHKKIHLGEKPY KCDVCEKSFNYTSLLSQHKRVHTREKPFECDRCEKVFRNNSSLKVHKRIHTGEKPYECDI CGKAYISHSSLINHKSTHPGKTSYTCDECGKAFFSSRTLISHKRVHLGEKPFKCVECGKS FSYSSLLSQHKRIHTGEKPYVCDWCGKAFRNSSGLTVHKRIHTGEKPYGCDECEKAYISH SSLINHKSVHRGKQPYNCECGKSFNYRSVLDQHKRIHTGKKPYRCNDCGKAFNIRSNLTK HKRIHTGEESLNMANMESHSGTFQKMIYYEGGNSLDGTRMQMPVWEAEHAKSQ >ENSMUSP00000115849.1 pep:known chromosome:GRCm38:11:49213592:49215323:1 gene:ENSMUSG00000046311.13 transcript:ENSMUST00000136691.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp62 description:zinc finger protein 62 [Source:MGI Symbol;Acc:MGI:99662] MEDEESSKKNENDSNADSQCPSVGFFHKDHMQKSKTGDTCDLFPKWKILKEGKSSIREMI DTHTNAANIKLEQDDETSEK >ENSMUSP00000056226.3 pep:known chromosome:GRCm38:11:49214549:49218809:1 gene:ENSMUSG00000046311.13 transcript:ENSMUST00000061757.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp62 description:zinc finger protein 62 [Source:MGI Symbol;Acc:MGI:99662] MEDEESSKKNENDSNADSQCPSVGFFHKDHMQKSKTGDTCDLFPKWKILKEGKSSIREMI DTHTNAANIKLEQDDETSEKSFYPSTNTMHQTIPTEPNCTKQGEHTENINGNVHPAHIAD KKLHKCDECGKSFKYNSRLVQHKIMHTGEKRYECDDCRGTFRSSSSLRVHKRIHTGEKPY KCDECGKAYMSYSSLINHKSTHSGEKNCKCDECGKSFNYSSVLDQHKRIHTGEKPYECGE CGKAFRNSSGLRVHKRIHTGEKPYECDTCGKTFSNSSGLRVHKRIHTGEKPYECDECGKA FITCRTLLNHKSIHFGDKPYKCDECEKSFNYSSLLIQHKVIHTGEKPYECDECGKAFRNS SGLIVHKRIHTGEKPYKCDICGKAFSYSSGLAVHKSIHPGKKAHECKDCGKSFSYNSLLL QHKTIHTGERPYVCDVCGKTFRNNSGLKVHRRLHTGEKPYKCDVCGKAYISRSSLKNHKG IHMGEKPYKCSYCEKSFNYSSALEQHKRIHTREKPFGCDECGKAFRNNSGLKVHKRIHTG ERPYKCEECGKAYISLSSLINHKSVHPGEKPFKCDECEKAFITYRTLLNHKKIHLGEKPY KCDVCEKSFNYTSLLSQHKRVHTREKPFECDRCEKVFRNNSSLKVHKRIHTGEKPYECDI CGKAYISHSSLINHKSTHPGKTSYTCDECGKAFFSSRTLISHKRVHLGEKPFKCVECGKS FSYSSLLSQHKRIHTGEKPYVCDWCGKAFRNSSGLTVHKRIHTGEKPYGCDECEKAYISH SSLINHKSVHRGKQPYNCECGKSFNYRSVLDQHKRIHTGKKPYRCNDCGKAFNIRSNLTK HKRIHTGEESLNMANMESHSGTFQKMIYYEGGNSLDGTRMQMPVWEAEHAKSQRNQIEEK LYEYNNF >ENSMUSP00000137583.1 pep:known chromosome:GRCm38:11:49212688:49218809:1 gene:ENSMUSG00000046311.13 transcript:ENSMUST00000180016.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp62 description:zinc finger protein 62 [Source:MGI Symbol;Acc:MGI:99662] MEDEESSKKNENDSNADSQCPSVGFFHKDHMQKSKTGDTCDLFPKWKILKEGKSSIREMI DTHTNAANIKLEQDDETSEKSFYPSTNTMHQTIPTEPNCTKQGEHTENINGNVHPAHIAD KKLHKCDECGKSFKYNSRLVQHKIMHTGEKRYECDDCRGTFRSSSSLRVHKRIHTGEKPY KCDECGKAYMSYSSLINHKSTHSGEKNCKCDECGKSFNYSSVLDQHKRIHTGEKPYECGE CGKAFRNSSGLRVHKRIHTGEKPYECDTCGKTFSNSSGLRVHKRIHTGEKPYECDECGKA FITCRTLLNHKSIHFGDKPYKCDECEKSFNYSSLLIQHKVIHTGEKPYECDECGKAFRNS SGLIVHKRIHTGEKPYKCDICGKAFSYSSGLAVHKSIHPGKKAHECKDCGKSFSYNSLLL QHKTIHTGERPYVCDVCGKTFRNNSGLKVHRRLHTGEKPYKCDVCGKAYISRSSLKNHKG IHMGEKPYKCSYCEKSFNYSSALEQHKRIHTREKPFGCDECGKAFRNNSGLKVHKRIHTG ERPYKCEECGKAYISLSSLINHKSVHPGEKPFKCDECEKAFITYRTLLNHKKIHLGEKPY KCDVCEKSFNYTSLLSQHKRVHTREKPFECDRCEKVFRNNSSLKVHKRIHTGEKPYECDI CGKAYISHSSLINHKSTHPGKTSYTCDECGKAFFSSRTLISHKRVHLGEKPFKCVECGKS FSYSSLLSQHKRIHTGEKPYVCDWCGKAFRNSSGLTVHKRIHTGEKPYGCDECEKAYISH SSLINHKSVHRGKQPYNCECGKSFNYRSVLDQHKRIHTGKKPYRCNDCGKAFNIRSNLTK HKRIHTGEESLNMANMESHSGTFQKMIYYEGGNSLDGTRMQMPVWEAEHAKSQRNQIEEK LYEYNNF >ENSMUSP00000104757.1 pep:known chromosome:GRCm38:11:50898712:50910810:-1 gene:ENSMUSG00000049321.17 transcript:ENSMUST00000109129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp2 description:zinc finger protein 2 [Source:MGI Symbol;Acc:MGI:99167] MDREDLWHSALGAVWDPTCWLKGQQERYLGQVTVAQKEIYNEKSVCGGNTTENSSTEGSM LNTPQSIPVTPCNWNSYRKDSKQNSELMKTSRMFVQKKVYGCDECGKTFRQSSSLLKHQR IHTGEKPYTCNVCDKHFIERSSLTVHQRTHTGEKPYKCHECGKAFSQSMNLTVHQRTHTG EKPYQCKECGKAFRKNSSLIQHERIHTGEKPYKCHDCGKAFTQSMNLTVHQRTHTGEKPY ECNQCGKAFSQSMHLIVHQRSHTGEKPYECSECGKAFSKSSTLTLHQRNHTGEKPYKCNK CGKSFSQSTYLIEHQRLHSGVKPFECNQCGKAFSKNSSLTQHRRIHTGEKPYECMICGKH FTGRSSLTVHQVIHTGEKPYECTECGKAFSQSAYLIEHQRIHTGEKPYECDQCGKAFIKN SSLIVHQRIHTGEKPYQCNECGKSFSRSTNLTRHQRTHT >ENSMUSP00000112079.1 pep:known chromosome:GRCm38:11:50898712:50916142:-1 gene:ENSMUSG00000049321.17 transcript:ENSMUST00000116378.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp2 description:zinc finger protein 2 [Source:MGI Symbol;Acc:MGI:99167] MDREDLWHSALGAVWDPTCWLKGQQERYLGQVTVAQKEIYNEKSVCGGNTTENSSTEGSM LNTPQSIPVTPCNWNSYRKDSKQNSELMKTSRMFVQKKVYGCDECGKTFRQSSSLLKHQR IHTGEKPYTCNVCDKHFIERSSLTVHQRTHTGEKPYKCHECGKAFSQSMNLTVHQRTHTG EKPYQCKECGKAFRKNSSLIQHERIHTGEKPYKCHDCGKAFTQSMNLTVHQRTHTGEKPY ECNQCGKAFSQSMHLIVHQRSHTGEKPYECSECGKAFSKSSTLTLHQRNHTGEKPYKCNK CGKSFSQSTYLIEHQRLHSGVKPFECNQCGKAFSKNSSLTQHRRIHTGEKPYECMICGKH FTGRSSLTVHQVIHTGEKPYECTECGKAFSQSAYLIEHQRIHTGEKPYECDQCGKAFIKN SSLIVHQRIHTGEKPYQCNECGKSFSRSTNLTRHQRTHT >ENSMUSP00000104756.1 pep:known chromosome:GRCm38:11:50899059:50916165:-1 gene:ENSMUSG00000049321.17 transcript:ENSMUST00000109128.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp2 description:zinc finger protein 2 [Source:MGI Symbol;Acc:MGI:99167] MDREDLWHSALGAVWDPTCWLKGQQERYLGQVTVAQKEIYNEKSVCGGNTTENSSTEGSM LNTPQSIPVTPCNWNSYRKDSKQNSELMKTSRMFVQKKVYGCDECGKTFRQSSSLLKHQR IHTGEKPYTCNVCDKHFIERSSLTVHQRTHTGEKPYKCHECGKAFSQSMNLTVHQRTHTG EKPYQCKECGKAFRKNSSLIQHERIHTGEKPYKCHDCGKAFTQSMNLTVHQRTHTGEKPY ECNQCGKAFSQSMHLIVHQRSHTGEKPYECSECGKAFSKSSTLTLHQRNHTGEKPYKCNK CGKSFSQSTYLIEHQRLHSGVKPFECNQCGKAFSKNSSLTQHRRIHTGEKPYECMICGKH FTGRSSLTVHQVIHTGEKPYECTECGKAFSQSAYLIEHQRIHTGEKPYECDQCGKAFIKN SSLIVHQRIHTGEKPYQCNECGKSFSRSTNLTRHQRTHT >ENSMUSP00000078855.1 pep:known chromosome:GRCm38:7:106805510:106806460:-1 gene:ENSMUSG00000094493.1 transcript:ENSMUST00000079936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr700 description:olfactory receptor 700 [Source:MGI Symbol;Acc:MGI:3030534] MELWNSTLGSGFILVGILDDSGSPEILCATFTALYMLAMISNGILLLVITMDARLHVPMY LLLWQLSLMDLLLTSVITPKAVIDFLLKDNTISFGGCALQMFLELTLGGAEDLLLAFMAY GRYVAICHPLNYMTFMKPRICWLGVFISWTLASLSAVGYTIYTMQYPFCKSRKIRHLFCE IPPLLKLACADTSKYELMVYVMGVIFLLLPLAAILSSYTLILLTVLHMPSHEGRKKALVT CSSHLTVVGMWYGGASFMYVLPSSFHTPKQDNIFSVFYTIVTPALNPLIYSLRNKEVTGA LRRVLGGRLLPEHSTF >ENSMUSP00000141776.1 pep:known chromosome:GRCm38:Y:20967477:20993657:-1 gene:ENSMUSG00000102668.1 transcript:ENSMUST00000191675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29866 description:predicted gene, 29866 [Source:MGI Symbol;Acc:MGI:5589025] MRRMALKKLKVIPKEGYLLFLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000071654.5 pep:known chromosome:GRCm38:7:25228258:25237851:-1 gene:ENSMUSG00000057177.12 transcript:ENSMUST00000071739.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsk3a description:glycogen synthase kinase 3 alpha [Source:MGI Symbol;Acc:MGI:2152453] MSGGGPSGGGPGGSGRARTSSFAEPGGGGGGGGGGPGGSASGPGGTGGGKASVGAMGGGV GASSSGGGPSGSGGGGSGGPGAGTSFPPPGVKLGRDSGKVTTVVATVGQGPERSQEVAYT DIKVIGNGSFGVVYQARLAETRELVAIKKVLQDKRFKNRELQIMRKLDHCNIVRLRYFFY SSGEKKDELYLNLVLEYVPETVYRVARHFTKAKLITPIIYIKVYMYQLFRSLAYIHSQGV CHRDIKPQNLLVDPDTAVLKLCDFGSAKQLVRGEPNVSYICSRYYRAPELIFGATDYTSS IDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIREMNPNYTEFKFPQIK AHPWTKVFKSSKTPPEAIALCSSLLEYTPSSRLSPLEACAHSFFDELRRLGAQLPNDRPL PPLFNFSPGELSIQPSLNAILIPPHLRSPAGPASPLTTSYNPSSQALTEAQTGQDWQPSD ATTATLASSS >ENSMUSP00000104049.1 pep:known chromosome:GRCm38:7:25228258:25237851:-1 gene:ENSMUSG00000057177.12 transcript:ENSMUST00000108411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsk3a description:glycogen synthase kinase 3 alpha [Source:MGI Symbol;Acc:MGI:2152453] MSGGGPSGGGPGGSGRARTSSFAEPGGGGGGGGGGPGGSASGPGGTGGGKASVGAMGGGV GASSSGGGPSGSGGGGSGGPGAGTSFPPPGVKLGRDSGKVTTVVATVGQGPERSQEVAYT DIKVIGNGSFGVVYQARLAETRELVAIKKVLQDKRFKNRELQIMRKLDHCNIVRLRYFFY SSGEKKDELYLNLVLEYVPETVYRVARHFTKAKLITPIIYIKVYMYQLFRSLAYIHSQGV CHRDIKPQNLLVDPDTAVLKLCDFGSAKQLVRGEPNVSYICSRYYRAPELIFGATDYTSS IDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIREMNPNYTEFKFPQIK AHPWTKVFKSSKTPPEAIALCSSLLEYTPSSRLSPLEACAHSFFDELRRLGAQLPNDRPL PPLFNFSPGELSIQPSLNAILIPPHLRSPAGPASPLTTSYNPSSQAQTGQDWQPSDATTA TLASSS >ENSMUSP00000099576.3 pep:known chromosome:GRCm38:4:137862237:137965229:1 gene:ENSMUSG00000057530.14 transcript:ENSMUST00000102518.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece1 description:endothelin converting enzyme 1 [Source:MGI Symbol;Acc:MGI:1101357] MSTYKRATLDEEDLVDSLSEGDVYPNGLQVNFRSSRSGQRCWAARTSVEKRLVVLVTLLA AGLVACLAALGIQYQTRTPPVCLTEACVSVTSSILNSMDPTVDPCQDFFSYACGGWIKAN PVPDGHSRWGTFSNLWEHNQAVIKHLLENATASVSEAERKAQVYYRACMNETRIEELRAK PLMELIEKLGGWNITGPWAKDNFQDTLQVVTAHYRTSPFFSVYVSADSKNSNSNVIQVDQ SGLGLPSRDYYLNKTENEKVLTGYLNYMVQLGKLLGGGDEDAIRPQMQQILDFETALANI TIPQEKRRDEELIYHKVTAAELQTLAPAINWLPFLNTIFYPVEINESEPIVVYDKEYLRQ VSTLINNTDKCLLNNYMMWNLVRKTSSFLDQRFQDADEKFMEVMYGTKKTCIPRWKFCVS DTENNLGFALGPMFVKATFAEDSKNIASEIIMEIKKAFEESLSTLKWMDEETRRSAKEKA DAIYNMIGYPNFIMDPKELDKVFNDYTAVPDLYFENAMRFFNFSWRVTADQLRKAPNRDQ WSMTPPMVNAYYSPTKNEIVFPAGILQAPFYTRSSPNALNFGGIGVVVGHELTHAFDDQG REYDKDGNLRPWWKNSSVEAFKQQTECMVQQYSNYSVNGEPVNGRHTLGENIADNGGLKA AYRAYQNWVKKNGAEQTLPTLGLTSNQLFFLGFAQVWCSVRTPESSHEGLITDPHSPSRF RVIGSLSNSKEFSEHFRCPPGSPMNPHHKCEVW >ENSMUSP00000125529.1 pep:known chromosome:GRCm38:4:137862297:137913854:1 gene:ENSMUSG00000057530.14 transcript:ENSMUST00000130407.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece1 description:endothelin converting enzyme 1 [Source:MGI Symbol;Acc:MGI:1101357] MSTYKRATLDEEDLVDSLSEGDVYPNGLQ >ENSMUSP00000114671.1 pep:known chromosome:GRCm38:4:137913471:137935387:1 gene:ENSMUSG00000057530.14 transcript:ENSMUST00000151110.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ece1 description:endothelin converting enzyme 1 [Source:MGI Symbol;Acc:MGI:1101357] MRTVWSPLAAALAALGMSTYKRATLDEEDLVDSLSEGDVYPNGLQVNFRSSRSGQRCWAA RTSVEKRLVVLVTLLAAGLVACLAALGIQYQTRTPPVCLTEACVSVTSSILNSMDPTVDP CQDFFSYACGGWIKANPVPDGHSRWGTFSNLWEHNQAVIKHLLENATASVSEAERKAQVY YRACMNETRIEELRAKPLMELIEKVG >ENSMUSP00000127813.1 pep:known chromosome:GRCm38:14:7153511:7174533:-1 gene:ENSMUSG00000090363.8 transcript:ENSMUST00000172431.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3512 description:predicted gene 3512 [Source:MGI Symbol;Acc:MGI:3781689] MRKISNDMEEMCGILDLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKMIQSIIGSMQYSK ELIEDNYSYSIKEDHLLHECTQLNKKVRIFLNENRRLLVEQAGHKCPVGKKRGSLMRPAR TSVSQVPRNSSVK >ENSMUSP00000088159.6 pep:known chromosome:GRCm38:14:7154623:7159273:-1 gene:ENSMUSG00000090363.8 transcript:ENSMUST00000090663.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3512 description:predicted gene 3512 [Source:MGI Symbol;Acc:MGI:3781689] EMCGILDLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKMIQSIIGSMQYSKELIEDNYSY SIKEDHLLHECTQLNKKVRIFLNENRRLLVEQAGHKCPVGKKRGSLMRPARTSVSQVPRN SRQAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000097396.1 pep:known chromosome:GRCm38:2:88929378:88930313:-1 gene:ENSMUSG00000075112.1 transcript:ENSMUST00000099808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1211 description:olfactory receptor 1211 [Source:MGI Symbol;Acc:MGI:3031045] MQNQSFVTEFIFLGLSQNPKVQKIVFIVFLFVYIATVGGNMIIVVTIVCSPALIDCPMYF FLAFLSLLDACFSSVITPKMVVDSLYEKKTISFEGCMMQLFAEHFLAAVEVIVLTAMAYD RYVAICKPLHYSSIMNWRLCGTLMGIAWTGGFLHSIIQIIFTLQLPFCGPNVIDHFMCDL FPLLELACTDTHIFGLLVVANSGSICIIIFSILLVSYGVILFSLKAHSSEGRWKALSTCG SHIAVVVLFFVPCIFIYARPPSAFSFDKMVAIFYTILTPLLNPVIYTFRNKDMKNAMKKV WKRLAVVSDGK >ENSMUSP00000025062.3 pep:known chromosome:GRCm38:17:28558210:28560766:-1 gene:ENSMUSG00000024225.4 transcript:ENSMUST00000025062.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clps description:colipase, pancreatic [Source:MGI Symbol;Acc:MGI:88421] MEKVLVLLLVSLLAVAYAAPGPRGLIINLEDGEICLNSMQCKSRCCQHDTILGIARCTHK AMENSECSPKTLYGIYYRCPCERGLTCEGDRSIIGAITNTNYGICLDSRRSKQ >ENSMUSP00000110433.1 pep:known chromosome:GRCm38:17:28558213:28560711:-1 gene:ENSMUSG00000024225.4 transcript:ENSMUST00000114785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clps description:colipase, pancreatic [Source:MGI Symbol;Acc:MGI:88421] MEKVLVLLLVSLLAVAYAAPGPRGLIINLTLYGIYYRCPCERGLTCEGDRSIIGAITNTN YGICLDSRRSKQ >ENSMUSP00000117644.2 pep:known chromosome:GRCm38:11:58847158:58861956:1 gene:ENSMUSG00000072915.3 transcript:ENSMUST00000139337.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12258 description:predicted gene 12258 [Source:MGI Symbol;Acc:MGI:3651534] MDTSEGLVSFEDVSVDFTWDEWQDLDDSQRKLYRDVMLETYSSLLSLNQCDAKPELILKL EKEAEPWKEDDAPDQSLPDMQNVDDRNEVSQDSQKIRRCSLVIAKSSVSAERAPAGKALS SSHRVLDLAIKNSTSSGMSPGPLNMRDRMLLPREPSEMQARKELGLPDVTRVSPRLPEPL SLYRSTECEEQHVQYCGPSEAFHVKPVWLQKAFPMSNPSSKLRDYRKELEKLALPAKGET RAQVQTFECKVCGKLFCKNSHLTQHLKMHKEKRCYKGSNCEPILNIQPKLPKHQSLRAGE ELYTCNGDEKYIRPKSGKSVQQKIIGDGRIVCGKTECSKLNCSVEQNPLKHEETHEFSLA GKTVRKSDLHQLETPHRYERVYECSACGKTFSHTPHVYSPSGAEKPYECEDCQQSKLVVS QPAPTQEKRYVCNVCGKAFYKRAHLHAHQRTHTGEKPYDCQECGKSFRLKSFLVVHQRIH TGEKPFACDTCGKSFKQRTSLYTHIRIHTGEKPYECKECRKSFILKSYLTVHQRTHSGEK PYECDVCGKSFKQNSHLHAHKRTHTSEKPYECIVCGKSYKQSPSLYTHKKIHTSEKPYEC KQCRKSFSLKFHLTRHQRTHSGEKHYQ >ENSMUSP00000091282.3 pep:known chromosome:GRCm38:11:58859433:58861956:1 gene:ENSMUSG00000072915.3 transcript:ENSMUST00000093768.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12258 description:predicted gene 12258 [Source:MGI Symbol;Acc:MGI:3651534] IHTGEKPFACDTCGKSFKQRTSLYTHIRIHTGEKPYECKECRKSFILKSYLTVHQRTHSG EKPYECDVCGKSFKQNSHLHAHKRTHTSEKPYECIVCGKSYKQSPSLYTHKKIHTSEKPY ECKQCRKSFSLKFHLTRHQRTHSGEKHYQ >ENSMUSP00000076686.5 pep:known chromosome:GRCm38:17:34823993:34836945:-1 gene:ENSMUSG00000061207.11 transcript:ENSMUST00000077477.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk19 description:serine/threonine kinase 19 [Source:MGI Symbol;Acc:MGI:1860085] MNRKRRLLASEAFGVKRRRAPGPVRADPLRTRAGSAREAIEELVKLFPRGLFEDALPPIA LRSQVYSLVPDRTVADLQLKELQELGEIRIIQLGFDLDAHGIVFTEDYRTRVLKACDGRP CAGAVQKFLASVLPACGDLSFQQDQMTQTYGFRDPEITQLVNAGVLTVRDAGSWWLAVPG AGRFIKCFVKGRQAVLSMVRKAKYRELALSELLGRRAPLAVRLGLAYHVHDLIGAQLVDC VPTTSGTLLRLPDT >ENSMUSP00000124667.1 pep:known chromosome:GRCm38:17:34823994:34836867:-1 gene:ENSMUSG00000061207.11 transcript:ENSMUST00000161885.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stk19 description:serine/threonine kinase 19 [Source:MGI Symbol;Acc:MGI:1860085] KRRLLASEAFGVKRRRAPGPVRADPLRTRAGSAREAIEELVKLFPRGLFEDALPPIALRS QVYSLVPDRTVADLQLAAGERWGPHCPRCWKLVAGCAWSWEIHQVLC >ENSMUSP00000125311.1 pep:known chromosome:GRCm38:17:34824082:34836465:-1 gene:ENSMUSG00000061207.11 transcript:ENSMUST00000159333.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk19 description:serine/threonine kinase 19 [Source:MGI Symbol;Acc:MGI:1860085] LVPDRTVADLQLKELQELGEIRIIQLGFDLDAHGIVFTEDYRTRVLKACDGRPCAGAVQK FLASVLPACGDLSFQQDQMTQTYGFRDPEITQLVNAGVLTVRDAGSWWLAVPGAGRFIKC FVKVSPPLLEPSFACQIHEEPMLGFCNSLSEVPGSATPGWLSKSPQWVPGSAAASWAST >ENSMUSP00000123988.1 pep:known chromosome:GRCm38:17:34824189:34832495:-1 gene:ENSMUSG00000061207.11 transcript:ENSMUST00000162055.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stk19 description:serine/threonine kinase 19 [Source:MGI Symbol;Acc:MGI:1860085] XDLDAHGIVFTEDYRTRVLKACDGRPCAGAVQKFLASVLPACGDLSFQQDQMTQTYGFRD PEIT >ENSMUSP00000098448.3 pep:known chromosome:GRCm38:14:7185927:7194540:-1 gene:ENSMUSG00000095015.7 transcript:ENSMUST00000100888.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16434 description:predicted gene 16434 [Source:MGI Symbol;Acc:MGI:3646563] MFSRLLRLCLKGNGDEGETRPKKKEEGILSHEKGRRKLFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGSMQYSMELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQLP >ENSMUSP00000136540.1 pep:known chromosome:GRCm38:14:7191475:7194458:-1 gene:ENSMUSG00000095015.7 transcript:ENSMUST00000177712.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16434 description:predicted gene 16434 [Source:MGI Symbol;Acc:MGI:3646563] MFSRLLRLCLKGNGDEGETRPKKKEEGILSHEKGRRKLFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCEILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGSMQYSMELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000113783.1 pep:known chromosome:GRCm38:10:41476314:41487032:1 gene:ENSMUSG00000019823.17 transcript:ENSMUST00000119962.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical1 description:microtubule associated monooxygenase, calponin and LIM domain containing 1 [Source:MGI Symbol;Acc:MGI:2385847] MASPASTNPAHDHFETFVQAQLCQDVLSSFQGLCRALGVESGGGLSQYHKIKAQLNYWSA KSLWAKLDKRASQPVYQQGQACTNTKCLVVGAGPCGLRAAVELALLGARVVLVEKRIKFS RHNVLHLWPFTIHDLRALGAKKFYGRFCTGTLDHISIRQLQLLLLKVALLLGVEIHWGVK FTGLQPPPRKGDGWRAQLQPNPPAQLASYEFDVLISAAGGKFVPEGFTIREMRGKLAIGI TANFVNGRTVEETQVPEISGVARIYNQKFFQSLLKATGIDLENIVYYKDETHYFVMTAKK QCLLRLGVLRQDLSETDQLLGKANVVPEALQRFARAAADFATHGKLGKLEFAQDARGRPD VAAFDFTSMMRAESSARVQEKHGARLLLGLVGDCLVEPFWPLGTGVARGFLAAFDAAWMV KRWAEGAGPLEVLAERESLYQLLSQTSPENMHRNVAQYGLDPATRYPNLNLRAVTPNQVQ DLYDMMDKEHAQRKSDEPDSRKTTTGSAGTEELLHWCQEQTAGFPGVHVTDFSSSWADGL ALCALVHHLQPGLLEPSELQGMGALEATTWALRVAEHELGITPVLSAQAVMAGSDPLGLI AYLSHFHSAFKNTSHSSGLVSQPSGTPSAILFLGKLQRSLQRTRAKVDEETPSTEEPPVS EPSMSPNTPELSEHQEAGAEELCELCGKHLYILERFCVDGHFFHRSCFCCHTCEATLWPG GYGQHPGDGHFYCLQHLPQEDQKEADNNGSLESQELPTPGDSNMQPDPSSPPVTRVSPVP SPSQPARRLIRLSSLERLRLSSLNIIPDSGAEPPPKPPRSCSDLARESLKSSFVGWGVPV QAPQVPEAIEKGDDEEEEEEEEEEEEEPLPPLEPELEQTLLTLAKNPGAMTKYPTWRRTL MRRAKEEEMKRFCKAQAIQRRLNEIEATMRELEAEGTKLELALRKESSSPEQQKKLWLDQ LLRLIQKKNSLVTEEAELMITVQELDLEEKQRQLDHELRGYMNREETMKTEADLQSENQV LRKLLEVVNQRDALIQFQEERRLREMPA >ENSMUSP00000097519.4 pep:known chromosome:GRCm38:10:41476368:41487032:1 gene:ENSMUSG00000019823.17 transcript:ENSMUST00000099934.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical1 description:microtubule associated monooxygenase, calponin and LIM domain containing 1 [Source:MGI Symbol;Acc:MGI:2385847] MASPASTNPAHDHFETFVQAQLCQDVLSSFQGLCRALGVESGGGLSQYHKIKAQLNYWSA KSLWAKLDKRASQPVYQQGQACTNTKLQLLLLKVALLLGVEIHWGVKFTGLQPPPRKGSG WRAQLQPNPPAQLASYEFDVLISAAGGKFVPEGFTIREMRGKLAIGITANFVNGRTVEET QVPEISGVARIYNQKFFQSLLKATGIDLENIVYYKDETHYFVMTAKKQCLLRLGVLRQDL SETDQLLGKANVVPEALQRFARAAADFATHGKLGKLEFAQDARGRPDVAAFDFTSMMRAE SSARVQEKHGARLLLGLVGDCLVEPFWPLGTGVARGFLAAFDAAWMVKRWAEGAGPLEVL AERESLYQLLSQTSPENMHRNVAQYGLDPATRYPNLNLRAVTPNQVQDLYDMMDKEHAQR KSDEPDSRKTTTGSAGTEELLHWCQEQTAGFPGVHVTDFSSSWADGLALCALVHHLQPGL LEPSELQGMGALEATTWALRVAEHELGITPVLSAQAVMAGSDPLGLIAYLSHFHSAFKNT SHSSGLVSQPSGTPSAILFLGKLQRSLQRTRAKVDEETPSTEEPPVSEPSMSPNTPELSE HQEAGAEELCELCGKHLYILERFCVDGHFFHRSCFCCHTCEATLWPGGYGQHPGDGHFYC LQHLPQEDQKEADNNGSLESQELPTPGDSNMQPDPSSPPVTRVSPVPSPSQPARRLIRLS SLERLRLSSLNIIPDSGAEPPPKPPRSCSDLARESLKSSFVGWGVPVQAPQVPEAIEKGD DEEEEEEEEEEEEEPLPPLEPELEQTLLTLAKNPGAMTKYPTWRRTLMRRAKEEEMKRFC KAQAIQRRLNEIEATMRELEAEGTKLELALRKESSSPEQQKKLWLDQLLRLIQKKNSLVT EEAELMITVQELDLEEKQRQLDHELRGYMNREETMKTEADLQSENQVLRKLLEVVNQRDA LIQFQEERRLREMPA >ENSMUSP00000114969.1 pep:known chromosome:GRCm38:10:41476377:41487032:1 gene:ENSMUSG00000019823.17 transcript:ENSMUST00000126436.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mical1 description:microtubule associated monooxygenase, calponin and LIM domain containing 1 [Source:MGI Symbol;Acc:MGI:2385847] MASPASTNPAHDHFETFVQAQLCQDVLSSFQGLCRALGVESGGGLSQYHKIKAQLNYWSA KSLWAKLDKRASQPVYQQGQACTNTKCLVVGAGPCGLRAAVELALLGARVVLVEKRIKFS RHNVLHLWPFTIHDLRALGAKKFYGRFCTGTLDHISIRQLQLLLLKVALLLGVEIHWGVK FTGLQPPPRKGDGWRAQLQPNPPAQLASYEFDVLISAAGGKFVPEGFTIREMRGKLAIGI TANFVNGRTVEETQVPEISGVARIYNQKFFQSLLKATGIDLENIVYYKDETHYFVMTAKK QCLLRLGVLRQDLSETDQLLGKANVVPEALQRFARAAADFATHGKLGKLEFAQDARGRPD VAAFDFTSMMRAESSARVQEKHGARLLLGLVGDCLVEPFWPLGTGVARGFLAAFDAAWMV KRWAEGAGPLEVLAERESLYQLLSQTSPENMHRNVAQYGLDPATRYPNLNLRAVTPNQVQ DLYDMMDKEHAQRKSDEPDSRKTTTGSAGTEELLHWCQEQTAGFPGVHVTDFSSSWADGL ALCALVHHLQPGLLEPSELQGMGALEATTWALRVAEHELGITPVLSAQAVMAGSDPLGLI AYLSHFHSAFKNTSHSSGLVSQPSGTPSAILFLGKLQRSLQRTRAKVDEETPSTEEPPVS EPSMSPNTPELSEHQEAGAEELCELCGKHLYILERFCVDGHFFHRSCFCCHTCEATLWPG GYGQHPGDGHFYCLQHLPQEDQKEADNNGSLESQELPTPGDSNMQPDPSSPPVTRVSPVP SPSQPARRLIRLSSLERLRLSSLNIIPDSGAEPPPKPPRSCSDLARESLKSSFVGWGVPV QAPQVPEAIEKGDDEEEEEEEEEEEEEPLPPLEPELEQTLLTLAKNPGAMTKYPTWRRTL MRRAKEEEMKRFCKAQALQNSRRNSGWISCYGSFRRRTA >ENSMUSP00000116386.1 pep:known chromosome:GRCm38:10:41477079:41478185:1 gene:ENSMUSG00000019823.17 transcript:ENSMUST00000151486.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical1 description:microtubule associated monooxygenase, calponin and LIM domain containing 1 [Source:MGI Symbol;Acc:MGI:2385847] MASPASTNPAHDHFETFVQAQLCQDVLSSFQGLCRALGVESGG >ENSMUSP00000019967.9 pep:known chromosome:GRCm38:10:41476314:41487030:1 gene:ENSMUSG00000019823.17 transcript:ENSMUST00000019967.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mical1 description:microtubule associated monooxygenase, calponin and LIM domain containing 1 [Source:MGI Symbol;Acc:MGI:2385847] MASPASTNPAHDHFETFVQAQLCQDVLSSFQGLCRALGVESGGGLSQYHKIKAQLNYWSA KSLWAKLDKRASQPVYQQGQACTNTKCLVVGAGPCGLRAAVELALLGARVVLVEKRIKFS RHNVLHLWPFTIHDLRALGAKKFYGRFCTGTLDHISIRQLQLLLLKVALLLGVEIHWGVK FTGLQPPPRKGSGWRAQLQPNPPAQLASYEFDVLISAAGGKFVPEGFTIREMRGKLAIGI TANFVNGRTVEETQVPEISGVARIYNQKFFQSLLKATGIDLENIVYYKDETHYFVMTAKK QCLLRLGVLRQDLSETDQLLGKANVVPEALQRFARAAADFATHGKLGKLEFAQDARGRPD VAAFDFTSMMRAESSARVQEKHGARLLLGLVGDCLVEPFWPLGTGVARGFLAAFDAAWMV KRWAEGAGPLEVLAERESLYQLLSQTSPENMHRNVAQYGLDPATRYPNLNLRAVTPNQVQ DLYDMMDKEHAQRKSDEPDSRKTTTGSAGTEELLHWCQEQTAGFPGVHVTDFSSSWADGL ALCALVHHLQPGLLEPSELQGMGALEATTWALRVAEHELGITPVLSAQAVMAGSDPLGLI AYLSHFHSAFKNTSHSSGLVSQPSGTPSAILFLGKLQRSLQRTRAKVDEETPSTEEPPVS EPSMSPNTPELSEHQEAGAEELCELCGKHLYILERFCVDGHFFHRSCFCCHTCEATLWPG GYGQHPGDGHFYCLQHLPQEDQKEADNNGSLESQELPTPGDSNMQPDPSSPPVTRVSPVP SPSQPARRLIRLSSLERLRLSSLNIIPDSGAEPPPKPPRSCSDLARESLKSSFVGWGVPV QAPQVPEAIEKGDDEEEEEEEEEEEEEPLPPLEPELEQTLLTLAKNPGAMTKYPTWRRTL MRRAKEEEMKRFCKAQAIQRRLNEIEATMRELEAEGTKLELALRKESSSPEQQKKLWLDQ LLRLIQKKNSLVTEEAELMITVQELDLEEKQRQLDHELRGYMNREETMKTEADLQSENQV LRKLLEVVNQRDALIQFQEERRLREMPA >ENSMUSP00000074868.1 pep:known chromosome:GRCm38:7:106814144:106820709:1 gene:ENSMUSG00000036744.11 transcript:ENSMUST00000075414.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr701 description:olfactory receptor 701 [Source:MGI Symbol;Acc:MGI:3030535] MEPWNSTLESGFILVGILDGSGSPELLCATVTTLYMLALISNGLLLLVITVDARLHVPMY LLLRQLSLIDLLFTSVVTPNTVVDFLLRDNTISFEGCALQLFSAMTLGGAEDLLLAFMAY DRYVAICHPLNYMIFMSPKACRLMVAISWILASLSALGHTVYTMHFPFCMSQEIRHLLCE VPPLLKLACADTSQYELMVYVTGVIFLLLPLSAIITSYSLILFTVLHMPSNEGRKKALVT CSSHLTVVGMFYGGATFMYVLPSSFHSPKQDNIISVFYTIVTPALNPLIYSLRNKEVIGA VRRVLGRHILPAHATV >ENSMUSP00000130958.1 pep:known chromosome:GRCm38:7:106814179:106819147:1 gene:ENSMUSG00000036744.11 transcript:ENSMUST00000166880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr701 description:olfactory receptor 701 [Source:MGI Symbol;Acc:MGI:3030535] MEPWNSTLESGFILVGILDGSGSPELLCATVTTLYMLALISNGLLLLVITVDARLHVPMY LLLRQLSLIDLLFTSVVTPNTVVDFLLRDNTISFEGCALQLFSAMTLGGAEDLLLAFMAY DRYVAICHPLNYMIFMSPKACRLMVAISWILASLSALGHTVYTMHFPFCMSQEIRHLLCE VPPLLKLACADTSQYELMVYVTGVIFLLLPLSAIITSYSLILFTVLHMPSNEGRKKALVT CSSHLTVVGMFYGGATFMYVLPSSFHSPKQDNIISVFYTIVTPALNPLIYSLRNKEVIGA VRRVLGRHILPAHATV >ENSMUSP00000109878.1 pep:known chromosome:GRCm38:16:75858794:76022270:-1 gene:ENSMUSG00000022876.17 transcript:ENSMUST00000114240.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samsn1 description:SAM domain, SH3 domain and nuclear localization signals, 1 [Source:MGI Symbol;Acc:MGI:1914992] MRTLNRLQKWLWIRKARVPSLQEVKPVLIDPQGGEDTLLSCVKLTKSQGKKDIDMATKKS KIPNAGPQSLSASLSGTSVSNAHNPEDPVSPYEIEVPVWGNLKPFPENPFWASTDFPIGQ GGYWGSCSSCQHLRLKASYTDMDLLSTWRSSSFGNFDRFRNNSVSKSDDSIEVHDRELTN GSEEQSKTSSSGGSLGKKVRAISWTMKKKVGKKYIKALSEEKEEESGEEALPYRNSDPMI GTHTEKISLKASDSMDSLYSGQSSSSGITSCSDGTSNRDSFRLDDDSPYSGPFCGRAKVH TDFTPSPYDTDSLKIKKGDIIDIICKTPMGMWTGMLNNKVGNFKFIYVDVILEEEAAPKK IKVPRSRRRENHQTIQEFLERIHLQEYTSTLLLNGYETLDDLKDIKESHLIELNIADPED RARLLSAAESLLDEETTVEHEKESVPLSSNPDILSASQLEDCPRDSGCYISSENSDNGKE DLESENLSDMVQKIAITESSD >ENSMUSP00000109877.2 pep:known chromosome:GRCm38:16:75858793:75909310:-1 gene:ENSMUSG00000022876.17 transcript:ENSMUST00000114239.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samsn1 description:SAM domain, SH3 domain and nuclear localization signals, 1 [Source:MGI Symbol;Acc:MGI:1914992] MLKRKPSNASDKEKHQKPKRSSSFGNFDRFRNNSVSKSDDSIEVHDRELTNGSEEQSKTS SSGGSLGKKVRAISWTMKKKVGKKYIKALSEEKEEESGEEALPYRNSDPMIGTHTEKISL KASDSMDSLYSGQSSSSGITSCSDGTSNRDSFRLDDDSPYSGPFCGRAKVHTDFTPSPYD TDSLKIKKGDIIDIICKTPMGMWTGMLNNKVGNFKFIYVDVILEEEAAPKKIKVPRSRRR ENHQTIQEFLERIHLQEYTSTLLLNGYETLDDLKDIKESHLIELNIADPEDRARLLSAAE SLLDEETTVEHEKESVPLSSNPDILSASQLEDCPRDSGCYISSENSDNGKEDLESENLSD MVQKIAITESSD >ENSMUSP00000069505.6 pep:known chromosome:GRCm38:11:98767932:98775333:-1 gene:ENSMUSG00000020889.11 transcript:ENSMUST00000064941.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr1d1 description:nuclear receptor subfamily 1, group D, member 1 [Source:MGI Symbol;Acc:MGI:2444210] MTTLDSNNNTGGVITYIGSSGSSPSRTSPESLYSDSSNGSFQSLTQGCPTYFPPSPTGSL TQDPARSFGSAPPSLSDDSSPSSASSSSSSSSSSFYNGSPPGSLQVAMEDSSRVSPSKGT SNITKLNGMVLLCKVCGDVASGFHYGVHACEGCKGFFRRSIQQNIQYKRCLKNENCSIVR INRNRCQQCRFKKCLSVGMSRDAVRFGRIPKREKQRMLAEMQSAMNLANNQLSSLCPLET SPTPHPTSGSMGPSPPPAPAPTPLVGFSQFPQQLTPPRSPSPEPTMEDVISQVARAHREI FTYAHDKLGTSPGNFNANHASGSPSATTPHRWESQGCPSAPNDNNLLAAQRHNEALNGLR QGPSSYPPTWPSGPTHHSCHQPNSNGHRLCPTHVYSAPEGEAPANSLRQGNTKNVLLACP MNMYPHGRSGRTVQEIWEDFSMSFTPAVREVVEFAKHIPGFRDLSQHDQVTLLKAGTFEV LMVRFASLFNVKDQTVMFLSRTTYSLQELGAMGMGDLLNAMFDFSEKLNSLALTEEELGL FTAVVLVSADRSGMENSASVEQLQETLLRALRALVLKNRPSETSRFTKLLLKLPDLRTLN NMHSEKLLSFRVDAQ >ENSMUSP00000098989.4 pep:known chromosome:GRCm38:6:47953390:47965300:1 gene:ENSMUSG00000045466.18 transcript:ENSMUST00000101445.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp956 description:zinc finger protein 956 [Source:MGI Symbol;Acc:MGI:2141515] MTEAAAAPDWHMGTDLQQQTQIQSAEISLLAILSAVQAVEKKTESQAVHLQNLEVRTGSA EKKLADFEKTAMELSSQLEGKWAVLGTLLQEYGLLQRRLENLENQMQNRNPWVLRLPPSS RDEAPKVSVTTEEVAGCFPEQQEGSPEDWQKELCKRVAKERREVLGSLETGQLESAPSVL PWIKQEEEVCEKSLQETASASLCSETWLANKKRTLEGMSMAPEPSWATEGGPGKEDFEQG SCVDLLPVCREREAAPLPRGSQSQPVPAAEATEDDQNFSVRELSTQPEYSHHGSQPFASL QCPQSATQQVTLTRNRRAPTAQRAYTCVQCGKSFVHQSTLTTHYRTHTGEKPYKCAECEK RFGRLSTLLEHQRTHTGERPFPCAQCGRRFGRLSTLVEHRRTHTGEKPFPCTQCDKRFTR LANLTVHQSVHSGEHAFQCTQCGSCFTHKPSFLRHLRSHSQEKRFSCGQCGKSFTCRSWL VRHQSSHAHLASSAYVACERSSPSHVSPTGLFKGAARGKFSSDPPVSPISKDTVVSQDHK AYGGGQQLSDHGQESLVGSLHSCLSVKMENAG >ENSMUSP00000114227.1 pep:known chromosome:GRCm38:6:47953447:47965297:1 gene:ENSMUSG00000045466.18 transcript:ENSMUST00000140719.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp956 description:zinc finger protein 956 [Source:MGI Symbol;Acc:MGI:2141515] MTEAAAAPDWHMGTDLQQQTQIQSAEISLLAILSAVQAVEKKTESQAVHLQNLEVRTGSA EKKLADFEKTAMELSSQLEGKWAVLGTLLQEYGLLQRRLENLENQMQNRNPWVLRLPPSS RDEAPKVSVTTEEVAGCFPEQQEGSPEDWQKELCKRVAKERREVLGSLGKRHPQGLPNVR SFFSSMYFLSDSWI >ENSMUSP00000099990.4 pep:known chromosome:GRCm38:4:46450902:46472657:1 gene:ENSMUSG00000028333.10 transcript:ENSMUST00000102926.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anp32b description:acidic (leucine-rich) nuclear phosphoprotein 32 family, member B [Source:MGI Symbol;Acc:MGI:1914878] MDMKRRIHLELRNRTPAAVRELVLDNCKAMDGKIEGLTDEFVNLEFLSLISVGLFSVSDL PKLPKLKKLELSENRIFGGLDRLAEELPSLTHLNLSGNNLKDISTLEPLKRLDCLKSLDL FGCEVTNRSDYRETVFRLLPQLSYLDGYDREDQEAPDSDVEVDSVEEAPDSDGEVDGVDK EEEDEEGEDEEEEEDEDGEEEEDEDEEDEDEDEDVEGEDDEDEVSGEEEEFGHDGEVDED EEDEDEDEDEEEEESGKGEKRKRETDDEGEDD >ENSMUSP00000064934.5 pep:known chromosome:GRCm38:7:24743983:24760311:-1 gene:ENSMUSG00000052212.6 transcript:ENSMUST00000063956.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd177 description:CD177 antigen [Source:MGI Symbol;Acc:MGI:1916141] MNSIPVLTLLGVTALLPCVPALTCQKSSAQAVRNVAELPLRWWGAGEKTCEVSEGCQDLI MLLYNGPKVNLVIIKGCTEVEDQEPKVIWLRTGPGLSVVSYTRVCRHGDLCNDVNSTKIL EELPTPTVPGSLRCPLCLSNDSCENAPEQVCPVGSTHCYDGVLRLRGDGIRTNLKVQGCM AQPDCNLLNGTQAIGTLYMSENCDLIGPQALDCNSGSLETVRNVSDLHLSWTTGWQTCEA GEGCYETVMLIQNGHEFHMVLTKGCTRDMNKKARLTRHRTGPGISIVSYVHVCRDRDFCN DLSTTDPLWTPPPDTELGTLRCRHCLSTGSCVSASELVCPAGSTHCYSGVLSLRGGGVIS DLKVQGCISQSQPGCNLLNGTQTIGPVDVREDCGLQLDALKCQHGTLKTIQDISKLPLQW TAGQKICNVGEGCQDTLMLIENGEQVNLVLTKGCTTAKDQEAKVTEHRTGPGLSVTSYTR VCRKKDFCNDLSTTAPLWAPPPVTAPGTTRCPLCFSEQACENAPEQVCPAGSTHCYSGVL SLRGGGIISDLKVQGCMSQPGCNLLNGTQTIGPVDVSERCSPPSETTELSCYRGVMFELG NGFAEEPVKWTAPGSQVCAPDEICQETLLLIDVGQKSAFLGSKGCSSPGAQDNIGVSIFS RLPGMLVASYTKFCSSHLCNGADSSSVLLSILPRPDVPPPGDVQCPMCVELFGSCKSTDS VTCPRGATHCYKGDIALQGGGLTTRVSIQGCMAPPIKPLLGDSKTIGIFSAEESSNYRHE DDVTSAPSLAWTLRLSAWMLGLSALLSSLYAGICPLC >ENSMUSP00000101364.2 pep:known chromosome:GRCm38:4:145670685:145704441:1 gene:ENSMUSG00000058186.13 transcript:ENSMUST00000105738.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13242 description:predicted gene 13242 [Source:MGI Symbol;Acc:MGI:3712454] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVLEQDTQHIVNEHGHNRKKSSKCNELRNVIHESSESTPYN TNHRDATLQSSNLKRHKTGTTKEVCTYKDCVNCLKGSSIISLNQGTHIEKKEHNRNKNLD EVLVSRQNNSEMSTYTCGEFDKCFTQSDNLQSQQRIYPGKKSYIYSESDKCFTQLSHLSI HHTIHSGEKPYKCSECDKCFTDKFTLRKHQRIHTGEKPYKCNECDKCFTDKGSLRVHQRI HTGEKPYKCSECDKCFTQPSHLSIHRRIHSGEKPYKCSECDKCFTDKSSLRVHQRIHTGE KPYQCSECDKCFTDKGSLRVHHRIHAGEKPYQCSECDKCFTDKGSLRVHHRIHAGEKPYK CSECDKCFTHKDSLRVHHRIHAGEKPYKCSECDKCFTEKNGLRRHQRIHTGEKPYKCSEC DKCFTDKSSLRVHQRIHTGEKPYQCSECDKCFTDKGSLRVHHRIHAGEKPYKCSECDKCF TDKGYLRVHHRIHAGEKPYKCSECDKCFTHKGSLRVHHRIHAGEKPYKCSECDKCFTEKN GLRRHQRIHTGEKPYKCSECDKCFTRKSHLSIHQNSYRRETVQMQ >ENSMUSP00000137589.1 pep:known chromosome:GRCm38:4:145696161:145702640:1 gene:ENSMUSG00000058186.13 transcript:ENSMUST00000180014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13242 description:predicted gene 13242 [Source:MGI Symbol;Acc:MGI:3712454] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVLEQDTQHIVNEHGHNRKKSSKCNELRNVIHESSESTPYN TNHRDATLQSSNLKRHKTGTTKEVCTYKDCVNCLKGSSIISLNQGTHIEKKEHNRNKNLD EVLVSRQNNSEMSTYTCGEFDKCFTQSDNLQSQQRIYPGKKSYIYSESDKCFTQLSHLSI HHTIHSGEKPYKCSECDKCFTDKFTLRKHQRIHTGEKPYKCNECDKCFTDKGSLRVHQRI HTGEKPYKCSECDKCFTQPSHLSIHRRIHSGEKPYKCSECDKCFTDKSSLRVHQRIHTGE KPYQCSECDKCFTDKGSLRVHHRIHAGEKPYQCSECDKCFTDKGSLRVHHRIHAGEKPYK CSECDKCFTHKDSLRVHHRIHAGEKPYKCSECDKCFTEKNGLRRHQRIHTGEKPYKCSEC DKCFTDKSSLRVHQRIHTGEKPYQCSECDKCFTDKGSLRVHHRIHAGEKPYKCSECDKCF TDKGYLRVHHRIHAGEKPYKCSECDKCFTHKGSLRVHHRIHAGEKPYKCSECDKCFTEKN GLRRHQRIHTGEKPYKCSECDKCFTRKSHLSIHQNSYRRETVQMQ >ENSMUSP00000073579.3 pep:known chromosome:GRCm38:11:58867216:58883288:1 gene:ENSMUSG00000020491.15 transcript:ENSMUST00000073924.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810021J22Rik description:RIKEN cDNA 2810021J22 gene [Source:MGI Symbol;Acc:MGI:1917194] MSSIVGLVSFEDVSVDFTWDEWQDLDDSQRKLYRDVMLETYSSLLSLNQCDAKPELILKL EQGAGPWKEDNAPDQSLPALQPMKSLKEARRDTGKKHLSHLLVTGSASAEERGRLGEILS MNSNHVLHLALKTRSTSRMRSEVLDTWGDVYLPGGPIEMQATEELDHLNPSKMLPRPPAP LSLDFSAERGPQHGQCAQHGEAFDVRTVWTRTVFPLGDSSTNAFDKLALTAQEGTHIREE TFDCNMCKKPFSDTCNHTRHLKPHLKKQCGKCPDGEPAFRAKAGLQHTLHSWGKPRGCND NKKCVHQMPKPRSNQSVFSSKESNCVKTFCPDSTLSVQQRPHTGKKPQESSTPVKINSQP RRRHRCGRTYQCKVCGKAFKHTQNLYLHHRTHTGEKPYECKECKKLFSVKSNLSVHQKTH TGEKPYECNICGNAFKRRCDLTIHQRVHTGEKPYECKECRKTFSIKSGLIVHQRIHTGEK PYECSVCGKRFNQKSNLSTHEKIHTGEKPFECKECSKAFSVKSYLTIHQKTHVGEKPHS >ENSMUSP00000120692.1 pep:known chromosome:GRCm38:11:58867267:58878004:1 gene:ENSMUSG00000020491.15 transcript:ENSMUST00000132570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2810021J22Rik description:RIKEN cDNA 2810021J22 gene [Source:MGI Symbol;Acc:MGI:1917194] MSSIVGLVSFEDVSVDFTWDEWQDLDDSQRKLYRDVMLETYSSLLSLSAGQASTVSKFLT DFCSHGLW >ENSMUSP00000045912.5 pep:known chromosome:GRCm38:17:12923833:12940402:-1 gene:ENSMUSG00000062480.11 transcript:ENSMUST00000043923.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acat3 description:acetyl-Coenzyme A acetyltransferase 3 [Source:MGI Symbol;Acc:MGI:109182] MNTRSDPVVIVSAARTAIGSFNGALSTVPVHEMGTTVIKEVLQRAKVAPEEVSEVIFGHV LTAGCGQNPTRQASVGAGIPYSVPAWSCQMICGSGLKAVCLAAQSIAMGDSTIVVAGGME NMSKAPHLTHLRTGVRVGEVPLADSILCDGLTDAFHNYHMGITAENVAKKWQVSREAQDK VAVLSQNRAEHAQKAGHFDKEIVPVLVSSRKGLTEVKIDEFPRHGSNLEAMGKLKPYFLT DGTGTVTTANATGMNDGAAAVVLMKKTEAERRMLKPLARIVSWSQAGVEPSVMGVGPIPA IKQAVAKAGWSLEDVDLFEINEAYAALSVAIAKELGLNPEKVNINGGAIALGHPLGASGC RILVTLLHTLERVGGTRGVAALCIGGGMGVAMCVQRG >ENSMUSP00000125454.1 pep:known chromosome:GRCm38:17:12924042:12940355:-1 gene:ENSMUSG00000062480.11 transcript:ENSMUST00000160378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acat3 description:acetyl-Coenzyme A acetyltransferase 3 [Source:MGI Symbol;Acc:MGI:109182] MNTRSDPVVIVSAARTAIGSFNGALSTVPVHEMGTTVIKEVLQRAKVAPEEVSEVIFGHV LTAGCGQNPTRQASVGAGIPYSVPAWSCQMICGSGLKAVCLAAQSIAMGDSTIVVAGGME NMSKAPHLTHLRTGVRVGEVPLADSILCDGLTDAFHNYHMGITAENVAKKWQVSREAQDK VAVLSQNRAEHAQKAGHFDKEIVPVLVSSRKGLTEVKIDEFPRHGSNLEAMGKLKPYFLT DGTGTSVT >ENSMUSP00000049834.9 pep:known chromosome:GRCm38:2:73283105:73312701:-1 gene:ENSMUSG00000041777.11 transcript:ENSMUST00000058615.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cir1 description:corepressor interacting with RBPJ, 1 [Source:MGI Symbol;Acc:MGI:1914185] MGKSFANFMCKKDFHPASKSNIKKVWMAEQKISYDKKKQEELMQQYLKEQESYDNRLLMG DERVKNGLNFMYEAPPGVKKENKEKEETEGETEYKFEWQKGAPREKYAKDDMNIRDQPFG IQVRNVRCIKCHKWGHVNTDRECPLFGLSGINASSVPTDGSGPSMHPSELIAEMRNSGFA LKRNVLGRNLTANDPSQDYVASDCEEDPEVEFLKSLTTKQKQKLLRKLDRLEKKKKKKKS DKKKKKLQKSKNKHKKRKNKSPSSSSSSSSSSSSSSSSSSSSSSSSETSDSSSESDNKEK KREKEKRKKKKKTKCSESKSSDCKEDKPKNMLYEELSSSHSDRGKAQEKLRFPKQESSGE NSMWVHSASDRTSRSHRHSPEKKGSDRNRGIRSRSRSRAESSRRSRSRSPYRQKHREVRS RPHRSPSEEQKGRKGTRSHGEGDHRREHVR >ENSMUSP00000029915.5 pep:known chromosome:GRCm38:4:21757382:21767212:-1 gene:ENSMUSG00000028251.5 transcript:ENSMUST00000029915.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tstd3 description:thiosulfate sulfurtransferase (rhodanese)-like domain containing 3 [Source:MGI Symbol;Acc:MGI:1924282] MLARLVLGTSGRAALGSVEPALGGLKSIWRCSQAFCSTPKGVTYRELKSLLNSKDIMLID VRNTLEILEQGKIPGSINIPLDEVGEALQMNPVDFKEKYCQVKPSKSDRLVFSCLAGVRS KKAMDTAISLGFNSAQHYAGGWKEWVTYEISEEKQES >ENSMUSP00000138773.1 pep:known chromosome:GRCm38:1:87183313:87188405:1 gene:ENSMUSG00000036480.9 transcript:ENSMUST00000044533.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss56 description:protease, serine 56 [Source:MGI Symbol;Acc:MGI:1916703] MPLAMLLLLLLLLSPDSQTAHGHPLYTRLSPGALQVLSAQGTQALQAAQRSAQWAIKRVL MEIQHRLHECQGPGRPRPQAPLLQDPPEPVQCGERHQGVANTTRAHGRIVGGSTAPSGAW PWLVRLQLGGLPLCGGVLVAASWVLTAAHCFAGASNELLWTVMLAEGPQGEQAEEVQVNR ILPHPKFDPQTFHNDLALVQLWTPVSPEGPARPICLPQGSREPPAGTPCAIAGWGALFED GPESEAVREARVPLLSADTCQKVLGPGLRPSTMLCAGYLAGGIDSCQGDSGGPLTCSEPG PRPREVLFGVTSWGDGCGEPGKPGVYTRVTVFKDWLQEQMSAGPSTREPSCRELLNWNAR EEEPFTDAPGLCAFYARQCLGSESSCARLALQQCLQRRRRCELRSLAHTLLGLLRGAQEL LGPRPGLRRGVSAPARSAPSLQELPGHNPREQRLYSGSRIAGTWLQKPKPERRPETKGCP GLEPLQQKLAAIQRAHAWILQIPAEHLAMNFHEVLADLGSKTLTGLFRAWVRAGLGDQRV VFSGLVGLEPSTLAHSLPRLLVQALKAFRSASLTEGEPQAPWIGADQGQRLGKERQGQLQ PPVP >ENSMUSP00000138517.1 pep:known chromosome:GRCm38:13:90883433:90899813:-1 gene:ENSMUSG00000078958.9 transcript:ENSMUST00000183162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6ap1l description:ATPase, H+ transporting, lysosomal accessory protein 1-like [Source:MGI Symbol;Acc:MGI:3648665] XKEVVVRNGQKNSGEVKPVQNFTRIPIAQTFNYNLSNKPWSTFSHHNPVQFSRDGIPCIL FWTKRITVKFKNQTWLDLTDEALGQNTTVDTGNSNCSEESATLSLKFGDDEDPKDIDIRS KASPSRGHSLLRPETAALPSHRLF >ENSMUSP00000138791.1 pep:known chromosome:GRCm38:13:90883439:90905307:-1 gene:ENSMUSG00000078958.9 transcript:ENSMUST00000182446.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp6ap1l description:ATPase, H+ transporting, lysosomal accessory protein 1-like [Source:MGI Symbol;Acc:MGI:3648665] MGRKLLFSFSLLFLCMVFSVSLDQILARKNVGSKE >ENSMUSP00000105168.2 pep:known chromosome:GRCm38:13:90883439:90905355:-1 gene:ENSMUSG00000078958.9 transcript:ENSMUST00000109541.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6ap1l description:ATPase, H+ transporting, lysosomal accessory protein 1-like [Source:MGI Symbol;Acc:MGI:3648665] MGRKLLFSFSLLFLCMVFSVSLDQILARKNVGSQESSSKEVVVRNGQKNSGEVKPVQNFT RIPIAQTFNYNLSNKPWSTFSHHNPVQFSRDGIPCILFWTKRITVKFKNQTWLDLTDEAL GQNTTVDTGNSNCSEESATLSLKFGDDEDPKDIDIRFTLTNYNKLASQSWFSLHRVEIIF NNSVQATFNATGIYALSTYSYRCQRVSSLRRNDALLLLSSSDDVTSLWEVTFIDFQIQGF SIKGAQFAQARDCSSSFSPAILIGLAMSLILLLVLAYALHMLIYLRYLDRHYEFITSPDH FPSLRALDTGEEKELLGSQGAECYELRNQQICKIYI >ENSMUSP00000138331.1 pep:known chromosome:GRCm38:13:90883453:90898824:-1 gene:ENSMUSG00000078958.9 transcript:ENSMUST00000182104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6ap1l description:ATPase, H+ transporting, lysosomal accessory protein 1-like [Source:MGI Symbol;Acc:MGI:3648665] XTFSHHNPVQFSRDGIPCILFWTKRITVKFKNQTWLDLTDEALGQNTTVDTGNSNCSEES ATSKASPSRGHSLLRPETAALPSHRLF >ENSMUSP00000135826.1 pep:known chromosome:GRCm38:16:3884648:3919517:1 gene:ENSMUSG00000093575.1 transcript:ENSMUST00000176233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20695 description:predicted gene 20695 [Source:MGI Symbol;Acc:MGI:5313142] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYR GAIVGMIVAEIKNRTKIHKEDGDILASSFSVDTQVAYILSLGVVKEFRKHGIDFTEMMRA LGYPRHISMENFRTPNFGLVSEVLLWLVKRYEPQTDIPSDIETEQDRVFFIKAIAQFMAT KAHIKLNTKKLYQADGYAVKELLKITSVLYNAMKTKGMEGSNVGEEDISKFKFDLGSKIA DLKAARQLASEITAKGASLYDLLGKEVELRELRTEAIARPLE >ENSMUSP00000049063.3 pep:known chromosome:GRCm38:X:144381671:144688180:-1 gene:ENSMUSG00000041710.4 transcript:ENSMUST00000040184.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc5 description:transient receptor potential cation channel, subfamily C, member 5 [Source:MGI Symbol;Acc:MGI:109524] MAQLYYKKVNYSPYRDRIPLQIVRAETELSAEEKAFLSAVEKGDYATVKQALQEAEIYYN VNINCMDPLGRSALLIAIENENLEIMELLLNHSVYVGDALLYAIRKEVVGAVELLLSYRK PSGEKQVPTLMMDTQFSEFTPDITPIMLAAHTNNYEIIKLLVQKRVTIPRPHQIRCNCVE CVSSSEVDSLRHSRSRLNIYKALASPSLIALSSEDPILTAFRLGWELKELSKVENEFKAE YEELSQQCKLFAKDLLDQARSSRELEIILNHRDDHSEELDPQKYHDLAKLKVAIKYHQKE FVAQPNCQQLLATLWYDGFPGWRRKHWVVKLLTCMTIGFLFPMLSIAYLISPRSNLGLFI KKPFIKFICHTASYLTFLFMLLLASQHIVRTDLHVQGPPPTVVEWMILPWVLGFIWGEIK EMWDGGFTEYIHDWWNLMDFAMNSLYLATISLKIVAYVKYNGSRPREEWEMWHPTLIAEA LFAISNILSSLRLISLFTANSHLGPLQISLGRMLLDILKFLFIYCLVLLAFANGLNQLYF YYETRAIDEPNNCKGIRCEKQNNAFSTLFETLQSLFWSVFGLLNLYVTNVKARHEFTEFV GATMFGTYNVISLVVLLNMLIAMMNNSYQLIADHADIEWKFARTKLWMSYFDEGGTLPPP FNIIPSPKSFLYLGNWFNNTFCPKRDPDGRRRRHNLRSFTERHADSLIQNQHYQEVIRNL VKRYVAAMIRNSKTNEGLTEENFKELKQDISSFRYEVLDLLGNRKHPRRSLSTSSADFSQ RDDTNDGSGGARAKSKSVSFNVGCKKKACHGAPLIRTVPRASGAQGKPKSESSSKRSFMG PSFKKLGLFFSKFNGQTSEPTSEPMYTISDGIAQQHCMWQDIRYSQMEKGKAEACSQSQM NLGEVELGEIRGAAARSSECPLACSSSLHCASGICSSNSKLLDSSEDVFETWGEACDLLM HKWGDGQEEQVTTRL >ENSMUSP00000028172.1 pep:known chromosome:GRCm38:2:28497746:28505415:1 gene:ENSMUSG00000026829.9 transcript:ENSMUST00000028172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbgt1 description:globoside alpha-1,3-N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:2449143] MTRPRLAQGLAFFLLGGTGLWVLWKFIKDWLLVSYIPYYLPCPEFFNMKLPFRKEKPLQP VTQLQYPQPKLLEHGPTELLTLTPWLAPIVSEGTFDPELLKSMYQPLNLTIGVTVFAVGK YTCFIQRFLESAEEFFMRGYQVHYYLFTHDPTAVPRVPLGPGRLLSIIPIQGYSRWEEIS MRRMETINKHIAKRAHKEVDYLFCVDVDMVFRNPWGPETLGDLVAAIHPGYFAVPRRKFP YERRQVSSAFVADNEGDFYYGGALFGGRVARVYEFTRACHMAILADKANSIMAAWQEESH LNRHFIWHKPSKVLSPEYLWDERKPRPRSLKMIRFSSVKKNANWLRT >ENSMUSP00000127071.1 pep:known chromosome:GRCm38:2:28496891:28505415:1 gene:ENSMUSG00000026829.9 transcript:ENSMUST00000163121.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbgt1 description:globoside alpha-1,3-N-acetylgalactosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:2449143] MTRPRLAQGLAFFLLGGTGLWVLWKFIKDWLLVSYIPYYLPCPEFFNMKLPFRKEKPLQP VTQLQYPQPKLLEHGPTELLTLTPWLAPIVSEGTFDPELLKSMYQPLNLTIGVTVFAVGK YTCFIQRFLESAEEFFMRGYQVHYYLFTHDPTAVPRVPLGPGRLLSIIPIQGYSRWEEIS MRRMETINKHIAKRAHKEVDYLFCVDVDMVFRNPWGPETLGDLVAAIHPGYFAVPRRKFP YERRQVSSAFVADNEGDFYYGGALFGGRVARVYEFTRACHMAILADKANSIMAAWQEESH LNRHFIWHKPSKVLSPEYLWDERKPRPRSLKMIRFSSVKKNANWLRT >ENSMUSP00000124581.1 pep:known chromosome:GRCm38:3:144822623:144849357:-1 gene:ENSMUSG00000037033.9 transcript:ENSMUST00000159989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clca3b description:chloride channel accessory 3B [Source:MGI Symbol;Acc:MGI:2181989] MVPGLQVLLFLTLHLLQNTESSMVHLNSNGYEGVVIAINPSVPEDERLIPSIKEMVTQAS TYLFEATERRFYFRNVSILVPITWKSKTEYLTPKQESYDQADVIVADPHLQHGDDPYTLQ YGQCGDRGQYIHFTPNFLLTDNLGIYGPRGRVFVHEWAHLRWGVFDEYNMDRPFYMSRKN TVEATRCSTDITGTSVVRECQGGSCVSRRCRRDAKTGMQEAKCTFIPNKSQTARGSIMFM QSLDSVVEFCTEKTHNVEAPNLQNKMCNLRSTWDVIKASADFQNASPMTGTEAPPLPTFS LLKSRQRVVCLVLDKSGSMRLGSPITRLTLMNQAAELYLIQIIEKESLVGLVTFDSTATI QTNLIRIINDSSYLAISTKLPQYPNGGTSICNGLKKGFEAITSSDQSTSGSEIVLLTDGE DNRISSCFQEVKHSGAIIHTIALGPSAARELETLSDMTGGLRFYAKEDVNGLIDAFSGIS SKSGSISQQALQLESKAFNVGAGAWINSTVPVDSTVGNDTFFVITWTVRKPEIILQDPKG KNYTTSDFQEDKLNIFSVRLRIPGIAETGTWTYSLLNKGATSQLLTVTVTTRARSPTTLP VIATAHMSQSTAQYPSRMIVYARVSQGFLPVLGANVTAVIEAESGNQVTLELWDNGAGAD TLKNDGIYSRYFTDFHGNGRYSLKVNAQARKNMAKLNLKQKNKSLYIPGYVENDQIVLNP PRPEIPEATEATVEDFSRLTSGGSFTVSGAPPDGDHARVFPPSKVTDLEAEFIGDHIHLT WTAPGKVLDKGRAYRYVIRMSGHSLALQEDFSNSTLVNTSSVMPKEAGSKETFKFKPETF KIENGTQVYIAIQADNEARLSSEVSNIAQAVKFIPPQVYLTPSTPPGLSTPSTPPGLSTP STPPGLSTPSTPPGLSTPSTPPGLSTPSTPPGLSTPSTPPGLSTPSTPPGLSTPSTPPGL STPSTPPGLSTPSTPPGLSTPSTPPGLSTPSTPPGLSTPSTPPGLSTPSTPPGLSTPSTP PGLGTKVSVPSLTVFVLVATLFIF >ENSMUSP00000122189.1 pep:known chromosome:GRCm38:3:36552606:36563271:1 gene:ENSMUSG00000027714.11 transcript:ENSMUST00000155866.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Exosc9 description:exosome component 9 [Source:MGI Symbol;Acc:MGI:1355319] MKETPLSNCERRFLLRAIEEKKRLDGRQTYDYRNIRISFGTDYGCCIVELGKTRVLGQVS CELVSPKLNRATEGILFFNLELSQMAAPAFEPGRQSDLLVKLNRLLERCLRNSKCIDTES LCVVAGEKVWQIRVDLHLLNHDGNIIDAASIAAIVALCHFRRPDVSVQGEEVTLYTPEER DPVPLSIHHMPICVSFAFFQQGTYLLVDPNEREERVMDGLLVIAMNKHREICTIQSSGFQ MQ >ENSMUSP00000029269.5 pep:known chromosome:GRCm38:3:36552606:36565727:1 gene:ENSMUSG00000027714.11 transcript:ENSMUST00000029269.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc9 description:exosome component 9 [Source:MGI Symbol;Acc:MGI:1355319] MKETPLSNCERRFLLRAIEEKKRLDGRQTYDYRNIRISFGTDYGCCIVELGKTRVLGQVS CELVSPKLNRATEGILFFNLELSQMAAPAFEPGRQSDLLVKLNRLLERCLRNSKCIDTES LCVVAGEKVWQIRVDLHLLNHDGNIIDAASIAAIVALCHFRRPDVSVQGEEVTLYTPEER DPVPLSIHHMPICVSFAFFQQGTYLLVDPNEREERVMDGLLVIAMNKHREICTIQSSGGI MLLKDQVFRCSKIAGVKVAEITELIQKALENDQRVRKEGGKFGFAESIANQRITAFKMET APIDTSNIEERAEEIIAEAEPPPEVVSQPVLWTPGTAQIGDGIENSWGDLEDSEKEEEEE EGGIDEAVILDDTKMDTGEVSDIGSQGAPIVLSDSEEEEMIILEPEKNPKKIRAQTSANQ KAPSKGQGKRKKKKRTAN >ENSMUSP00000121047.1 pep:known chromosome:GRCm38:3:36552638:36556951:1 gene:ENSMUSG00000027714.11 transcript:ENSMUST00000136890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc9 description:exosome component 9 [Source:MGI Symbol;Acc:MGI:1355319] MAAPAFEPGRQSDLLVKLNRLLERCLRNSKCIDTESLCVVAGEKVWQIRVDLHLLNHDGN IIDAASIAAIVALCHFRRPDVSVQGEEVTLYTPEERDPVPLSIHHMPICVSFAFFQQGTY LLVDPNEREERVMDGLLVIAMNKHREI >ENSMUSP00000143326.1 pep:known chromosome:GRCm38:3:36555553:36563246:1 gene:ENSMUSG00000027714.11 transcript:ENSMUST00000156100.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc9 description:exosome component 9 [Source:MGI Symbol;Acc:MGI:1355319] XFQQGTYLLVDPNEREERVMDGLLVIAMNKHREICTIQSSGGIMLLKDQVFRCSKIAGVK VAEITELIQKALENDQRVRKEGGKFGFAESIANQRITAFKMETAPIDTSNIEERAEEIIA EAEPPPEVLETE >ENSMUSP00000117235.1 pep:known chromosome:GRCm38:3:36562096:36565645:1 gene:ENSMUSG00000027714.11 transcript:ENSMUST00000131316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exosc9 description:exosome component 9 [Source:MGI Symbol;Acc:MGI:1355319] XIDTSNIEERAEEIIAEAEPPPEVVSQPVLWTPGTAQIGDGIENSWGDLEDSEKEEEEEE GGIDEAVILDDTKMDTGEVSDIGSQGAPIVLSDSEEEEMIILEPEKNPKKIR >ENSMUSP00000099764.1 pep:known chromosome:GRCm38:11:58888153:58904225:-1 gene:ENSMUSG00000037001.10 transcript:ENSMUST00000102703.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp39 description:zinc finger protein 39 [Source:MGI Symbol;Acc:MGI:99183] MRNLQPDSVENSLSQLPSRCLETRKRKRSYKKRPVTYSYWRRTQRNRARKHKAPVKGLVS FEDVSVDFTWDEWQDLDDSQRKLYRDVMLETYSSLESLGHCITKPEVIFKLEQGAEPWRA EDVPKQSRADVQKITELNETSQDNEERHLWHHAITYSNKSTEEKVKLGNIVNVSSNCVSN LTVKNGNSSGMRPVALTVWQSVLPPNKPDDTRIGEELDASLTSEPPIHAEHPGLYSRAPG TGQQFQCCMQEVTCNTKALWTKRFHIAHGSSKFGESEKVPDEVALHAQDVSWVRAETFEC SICKKTFCTKCELMKHKKIHKGQQYYTCRDCEKTFIKESYHTDQRVHAGVGSHRCKQCEK CFHQKNQQNVHERVPREARLWEVYQSENSFGEKPNLRRYQRTRAGYKPYGCNLCGKAFYR KSHLGRHQKIHTGEKPYGCEECKKTFYHKSSLTIHQRTHTGEKPYECKKCRKTFYCKSDL NVHHRTHTGEKPYECDECRKTFYSKSHLVIHQKVHTGDKPYECEECQKAFSRKSNLTVHQ KTHTGEKPYECNVCGKTFHRQSHLNMHQGTHTGQKPYQCEECGKAFYQKSSLRRHQRNHT GSRPYACEECRKTFLHKSSLTVHQRSHTGYKPYSCEECRKTFYSKSHLTVHQRTHTGEKP YECKLCKKAFHQKSYLNRHQVTHGSEKRFECQECRKTFYHKSSLTVHQRIHLRELLCV >ENSMUSP00000067109.8 pep:known chromosome:GRCm38:4:21776272:21837870:1 gene:ENSMUSG00000040455.17 transcript:ENSMUST00000065111.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp45 description:ubiquitin specific petidase 45 [Source:MGI Symbol;Acc:MGI:101850] MRVKDPSKDLPEKGKRNKRPLLPHDEDSSDDIAVGLTCQHVSYAVSVNHVKKAVAESLWS VCSECLKERRFCDGQPVLPADVWLCLKCGLQGCGKNSESQHSLRHFKSSGTESHCVVISL STWVIWCYECNEKLSTHCNKKVLAQIVDFLQKHAFKTQTGAFSRIIKLCEEKREAGEIKK GKKGCTVPSVKGITNLGNTCFFNAVIQNLAQTYILFELMNEIKEDGTKFKISLSSAPQLE PLVVELSSPGPLTSALFLFLHSMKEAEKGPLSPKVLFNQLCQKAPRFKGFQQQDSQELLH HLLDAVRTEETKRIQASILKAFNNPTTKTADDETRKKVKAYGKEGVKMNFIDRIFIGELT STVMCEECANISTMKDPFIDISLPIIEERVSKPVLLGKMSKCRSLQETDQDHNKGTVTVG NAHQPRASRKHSSPNDKNQLSHDRKHLRKWPSEEEKTVVTHPKNDNLEASPPASTLSTEA SLNESLTDGSERDASLESSVDADSEASEPEIASKQPVLLRSRGDSCGHAEQHPHLPLASE LPQAKETHGGEEEMAEAIAELHLSGTVTGNRDFHREKQPLNVPNNLCFSEGKHTRLHSAQ NAFQTLSQSYVTTSKECSVQSCLYQFTSMELLMGNNKLLCEDCTEKRRKCHKETSSAEKK AGGVYTNARKQLLISAVPAILILHLKRFHQAGLSLRKVNRHVDFPLTLDLAPFCAATCKN ISVGEKVLYGLYGIVEHSGSMRGGHYTAYVKVRVPSRKLSECITGRKTAAGLKEPDGELG GHWVHVSDTYVQVVPESRALSAQAYLLFYERIL >ENSMUSP00000048324.5 pep:known chromosome:GRCm38:4:21776272:21837872:1 gene:ENSMUSG00000040455.17 transcript:ENSMUST00000040429.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp45 description:ubiquitin specific petidase 45 [Source:MGI Symbol;Acc:MGI:101850] MRVKDPSKDLPEKGKRNKRPLLPHDEDSSDDIAVGLTCQHVSYAVSVNHVKKAVAESLWS VCSECLKERRFCDGQPVLPADVWLCLKCGLQGCGKNSESQHSLRHFKSSGTESHCVVISL STWVIWCYECNEKLSTHCNKKVLAQIVDFLQKHAFKTQTGAFSRIIKLCEEKREAGEIKK GKKGCTVPSVKGITNLGNTCFFNAVIQNLAQTYILFELMNEIKEDGTKFKISLSSAPQLE PLVVELSSPGPLTSALFLFLHSMKEAEKGPLSPKVLFNQLCQKAPRFKGFQQQDSQELLH HLLDAVRTEETKRIQASILKAFNNPTTKTADDETRKKVKAYGKEGVKMNFIDRIFIGELT STVMCEECANISTMKDPFIDISLPIIEERNQLSHDRKHLRKWPSEEEKTVVTHPKNDNLE ASPPASTLSTEASLNESLTDGSERDASLESSVDADSEASEPEIASKQPVLLRSRGDSCGH AEQHPHLPLASELPQAKETHGGEEEMAEAIAELHLSGTVTGNRDFHREKQPLNVPNNLCF SEGKHTRLHSAQNAFQTLSQSYVTTSKECSVQSCLYQFTSMELLMGNNKLLCEDCTEKRR KCHKETSSAEKKAGGVYTNARKQLLISAVPAILILHLKRFHQAGLSLRKVNRHVDFPLTL DLAPFCAATCKNISVGEKVLYGLYGIVEHSGSMRGGHYTAYVKVRVPSRKLSECITGRKT AAGLKEPDGELGGHWVHVSDTYVQVVPESRALSAQAYLLFYERIL >ENSMUSP00000127194.1 pep:known chromosome:GRCm38:4:21776281:21798661:1 gene:ENSMUSG00000040455.17 transcript:ENSMUST00000148304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp45 description:ubiquitin specific petidase 45 [Source:MGI Symbol;Acc:MGI:101850] MRVKDPSKDLPEKGKRNKRPLLPHDEDSSDDIAGLTCQHVSYAVSVNHVKKAVAESLWSV CSECLKERRFCDGQPVLPADVWLCLKCGLQGCGKNSESQHSLRHFKSSGTESHCVVISLS TWVIWCYECNEKLSTHCNKKVLAQIVDFLQKHAFKTQTGAFSRIIKLCEEKREAGEIKKG KKGCTVPSVKGITNLGNTCFFNAVIQNLAQTYILFELMNEIKEDGTKFKISLSSAPQLEP LVVELSSPGPLTSALFL >ENSMUSP00000103867.2 pep:known chromosome:GRCm38:4:21776298:21837872:1 gene:ENSMUSG00000040455.17 transcript:ENSMUST00000108232.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp45 description:ubiquitin specific petidase 45 [Source:MGI Symbol;Acc:MGI:101850] MRVKDPSKDLPEKGKRNKRPLLPHDEDSSDDIAVGLTCQHVSYAVSVNHVKKAVAESLWS VCSECLKERRFCDGQPVLPADVWLCLKCGLQGCGKNSESQHSLRHFKSSGTESHCVVISL STWVIWCYECNEKLSTHCNKKVLAQIVDFLQKHAFKTQTGAFSRIIKLCEEKREAGEIKK GKKGCTVPSVKGITNLGNTCFFNAVIQNLAQTYILFELMNEIKEDGTKFKISLSSAPQLE PLVVELSSPGPLTSALFLFLHSMKEAEKGPLSPKVLFNQLCQKAPRFKGFQQQDSQELLH HLLDAVRTEETKRIQASILKAFNNPTTKTADDETRKKVKAYGKEGVKMNFIDRIFIGELT STVMCEECANISTMKDPFIDISLPIIEERVSKPVLLGKMSKCRSLQETDQDHNKGTVTVG NAHQPRASRKHSSPNDKNQLSHDRKHLRKWPSEEEKTVVTHPKNDNLEASPPASTLSTEA SLNESLTDGSERDASLESSVDADSEASEPEIASKQPVLLRSRGDSCGHAEQHPHLPLASE LPQAKETHGGEEEMAEAIAELHLSGTVTGNRDFHREKQPLNVPNNLCFSEGKHTRLHSAQ NAFQTLSQSYVTTSKECSVQSCLYQFTSMELLMGNNKLLCEDCTEKRRKCHKETSSAEKK AGGVYTNARKQLLISAVPAILILHLKRFHQAGLSLRKVNRHVDFPLTLDLAPFCAATCKN ISVGEKVLYGLYGIVEHSGSMRGGHYTAYVKVRVPSRKLSECITGRKTAAGLKEPDGELG GHWVHVSDTYVQVVPESRALSAQAYLLFYERIL >ENSMUSP00000125982.1 pep:known chromosome:GRCm38:4:21781737:21837870:1 gene:ENSMUSG00000040455.17 transcript:ENSMUST00000137293.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp45 description:ubiquitin specific petidase 45 [Source:MGI Symbol;Acc:MGI:101850] XGLTCQHVSYAVSVNHVKKAVAESLWSVCSECLKERRFCDGQPVLPADVWLCLKCGLQGC GKNSESQHSLRHFKSSGTESHCVVISLSTWVIWCYECNEKLSTHCNKKVLAQIVDFLQKH AFKTQTGAFSRIIKLCEEKREAGEIKKGKKGCTVPSVKGITNLGNTCFFNAVIQNLAQTY ILFELMNEIKEDGTKFKISLSSAPQLGSSV >ENSMUSP00000128859.1 pep:known chromosome:GRCm38:4:21784724:21816893:1 gene:ENSMUSG00000040455.17 transcript:ENSMUST00000148647.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp45 description:ubiquitin specific petidase 45 [Source:MGI Symbol;Acc:MGI:101850] HCNKKVLAQIVDFLQKHAFKTQTGAFSRIIKLCEEKREAGEIKKGKKGCTVPSVKGITNL GNTCFFNAVIQNLAQTYILFELMNEIKEDGTKFKISLSSAPQLEPLVVELSSPGPLTSAL FLFLHSMKEAEKGPLSPKVLFNQLCQKGYKPAF >ENSMUSP00000025680.4 pep:known chromosome:GRCm38:19:33961187:33976810:1 gene:ENSMUSG00000024768.5 transcript:ENSMUST00000025680.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipf description:lipase, gastric [Source:MGI Symbol;Acc:MGI:1914967] MWLLLVTSVLSAFGGAHGLFGKLGPKNPEANMNVSQMITYWGYPSEEYEVVTEDGYILGV YRIPYGKKNSENIGKRPVAYLQHGLIASATNWITNLPNNSLAFILADAGYDVWLGNSRGN TWSRKNVYYSPDSVEFWAFSFDEMAKYDLPATIDFIVQKTGQEKIHYVGHSQGTTIGFIA FSTNPALAKKIKRFYALAPVATVKYTESPFKKISLIPKFLLKVIFGNKMFMPHNYLDQFL GTEVCSRELLDLLCSNALFIFCGFDKKNLNVSRFDVYLGHNPAGTSTQDLFHWAQLAKSG KLQAYNWGSPLQNMLHYNQKTPPYYDVSAMTVPIAVWNGGHDILADPQDVAMLLPKLPNL LYHKEILPYNHLDFIWAMDAPQEVYNEIVTMMAED >ENSMUSP00000075768.1 pep:known chromosome:GRCm38:10:129801564:129802523:-1 gene:ENSMUSG00000063173.1 transcript:ENSMUST00000076437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr811 description:olfactory receptor 811 [Source:MGI Symbol;Acc:MGI:3030645] MKNQSLKIEFILLGLTDDPQLQIPIFLFLFFNYILSLMGNYMIIFLTLLDPHLKTPMYFF LRNFSFLEIAFTTVCIPRFLISILSGDRTISYNACAAQLFFFFLLGSTEFYLLAAMSYDR YVAICRPLHYPIIMNSKVCHQLVLSSWVTGFLVVFPGLLLGLKLDFCASKTIDHFLCDSS PLLQLSCTDTHFIELLDFALAVMTLVITLILVILSYTLIIKTILKFPSAQQRRKAFSTCT SHMVVVSITYGSCIFMYMKTSAKERVTLNKGVAVLNTSVAPLLNPFIYTLRNQQVKEAFK HVLHRFCSLQNSETRFRHK >ENSMUSP00000122559.1 pep:known chromosome:GRCm38:10:7919340:7924260:-1 gene:ENSMUSG00000015755.16 transcript:ENSMUST00000130322.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tab2 description:TGF-beta activated kinase 1/MAP3K7 binding protein 2 [Source:MGI Symbol;Acc:MGI:1915902] MTSLNLDLQSQNVYHHGREGSRVNGSRTLTHSVSDGQLHGGQSNNELFQQEPQTAPAQVP QGFNVFGMPSTSGASNSTPHLGFHLGSKGTSNLSQQTPRFNPIMVTLAPNIQTGRSTPTS LHIHGVPPPVLNSPQGNSIYIRPYITTPSGTARQTQQHSGWVSQFNPMNPQQAYQPSQPG PWTTYPASNPLPHTSTQQPNQQGHQTSHVYMPISSPTTPQPPTIHSSGSSQSSAHSQYNI QNISTGPRKNQIEIKLEPPQRNSSSKLRSSGPRTASTSSLVNSQTLNRNQPTVYIAASPP NTDEMISRSQPKVYISANATAGDEQGMRNQPTLFISTNSGPSAASRNMSGQVSMGPAFIH HHPPKSRVLGGNSATSPRVVVTQPN >ENSMUSP00000121266.1 pep:known chromosome:GRCm38:10:7905653:7956230:-1 gene:ENSMUSG00000015755.16 transcript:ENSMUST00000146444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tab2 description:TGF-beta activated kinase 1/MAP3K7 binding protein 2 [Source:MGI Symbol;Acc:MGI:1915902] MAQGSHQIDFQVLHDLRQKFPEVPEVVVSRCMLQNNNNLDACCAVLSQESTRYLYGEGDL NFSDESGISGLRNHMTSLNLDLQSQNVYHHGREGSRVNGSRTLTHSVSDGQLHGGQSNNE LFQQEPQTAPAQVPQGFNVFGMPSTSGASNSTPHLGFHLGSKGTSNLSQQTPRFNPIMVT LAPNIQTGRSTPTSLHIHGVPPPVLNSPQGNSIYIRPYITTPSGTARQTQQHSGWVSQFN PMNPQQAYQPSQPGPWTTYPASNPLPHTSTQQPNQQGHQTSHVYMPISSPTTPQPPTIHS SGSSQSSAHSQYNIQNISTGPRKNQIEIKLEPPQRNSSSKLRSSGPRTASTSSLVNSQTL NRNQPTVYIAASPPNTDEMISRSQPKVYISANATAGDEQGMRNQPTLFISTNSGPSAASR NMSGQVSMGPAFIHHHPPKSRVLGGNSATSPRVVVTQPNTKYTFKITVSPNKPPAVSPGV VSPTFELTNLLNHPDHYVETENIQHLTDPALAHVDRISEARKLSMGSDDAAYTQALLVHQ KARMERLQRELEMQKKKLDKLKSEVNEMENNLTRRRLKRSNSISQIPSLEEMQQLRSCNR QLQIDIDCLTKEIDLFQARGPHFNPSAIHNFYDNIGFVGPVPPKPKDQRSTIKAPKTQDA EDEEGAQWNCTACTFLNHPALIRCEQCEMPRHF >ENSMUSP00000119515.1 pep:known chromosome:GRCm38:10:7911492:7955893:-1 gene:ENSMUSG00000015755.16 transcript:ENSMUST00000147938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tab2 description:TGF-beta activated kinase 1/MAP3K7 binding protein 2 [Source:MGI Symbol;Acc:MGI:1915902] MAQGSHQIDFQVLHDLRQKFPEVPEVVVSRCMLQNNNNLDACCAVLSQESTRYLYGEGDL NFSDESGISGLRNHMTSLNLDLQSQNVYHHGREGSRVNGSRTLTHSVSDGQLHGGQSNNE LFQQEPQTAPAQVPQGFNVFGMPSTSGASNSTPHLGFHLGSKGTSNLSQQTPRFNPIMVT LAPNIQTGRSTPTSLHIHGVPPPVLNSPQGNSIYIRPYITTPSGTARQTQQHSGWVSQFN PMNPQQAYQPSQPGPWTTYPASNPLPHTSTQQPNQQGHQTSHVYMPISSPTTPQPPTIHS SGSSQSSAHSQYNIQNISTGPRKNQIEIKLEPPQRNSSSKLRSSGPRTASTSSLVNSQTL NRNQPTVYIAASPPNTDEMISRSQPKVYISANATAGDEQGMRNQPTLFISTNSGPSAASR NMSGQVSMGPAFIHHHPPKSRVLGGNSATSPRVVVTQPNTKYTFKITVSPNKPPAVSPGV VSPTFELTNLLNHPDHYVETENIQHLTDPALAHVDRISEARKLSMGSDDAAYTQALLVHQ KARMERLQRELEM >ENSMUSP00000108045.1 pep:known chromosome:GRCm38:5:110773667:110779967:-1 gene:ENSMUSG00000029507.16 transcript:ENSMUST00000112426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus1 description:pseudouridine synthase 1 [Source:MGI Symbol;Acc:MGI:1929237] MAGNKVPPALASHQPDRKGRGGWVWEETEHPAKRVKGGEDEEPPRKLPKRKIVLLMAYSG KGYHGMQGVSAAGQVVSLKVWLIDDILDKINSHLPSHIRILGLKRVTGGFNSKNKCDART YCYMLPTFAFAHKDRDVQDESYRLSAETLQQVNRLLACYKGTHNFHNFTSQKGPREPSAR RYILEMYCEEPFVREGLEFAVIKVKGQSFMMHQIRKMVGLVVAIVKGYAPESVLERSWGE EKVDVPKAPGLGLVLERVHFEKYNQRFGGDGLHEPLDWTQEEGKVTAFKEQYIYPTIVST ERDERSMAQWLNTLPIHNFSGTALGAADTGAKVPSSLEGSEGDGDTD >ENSMUSP00000031483.8 pep:known chromosome:GRCm38:5:110773667:110780596:-1 gene:ENSMUSG00000029507.16 transcript:ENSMUST00000031483.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus1 description:pseudouridine synthase 1 [Source:MGI Symbol;Acc:MGI:1929237] MGFPRLWAALLRNWGRWTARPGPRVPGLPPMAGNKVPPALASHQPDRKGRGGWVWEETEH PAKRVKGGEDEEPPRKLPKRKIVLLMAYSGKGYHGMQRNLGSSQFRTIEDDLVSALVQAG CIPENHGTDMRKMSFQRCARTDKGVSAAGQVVSLKVWLIDDILDKINSHLPSHIRILGLK RVTGGFNSKNKCDARTYCYMLPTFAFAHKDRDVQDESYRLSAETLQQVNRLLACYKGTHN FHNFTSQKGPREPSARRYILEMYCEEPFVREGLEFAVIKVKGQSFMMHQIRKMVGLVVAI VKGYAPESVLERSWGEEKVDVPKAPGLGLVLERVHFEKYNQRFGGDGLHEPLDWTQEEGK VTAFKEQYIYPTIVSTERDERSMAQWLNTLPIHNFSGTALGAADTGAKVPSSLEGSEGDG DTD >ENSMUSP00000083844.5 pep:known chromosome:GRCm38:5:110773667:110780596:-1 gene:ENSMUSG00000029507.16 transcript:ENSMUST00000086643.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus1 description:pseudouridine synthase 1 [Source:MGI Symbol;Acc:MGI:1929237] MGFPRLWAALLRNWGRWTARPGPRVPGLPPMAGNKVPPALASHQPDRKGRGGWVWEETEH PAKRVKGGEDEEPPRKLPKRKIVLLMAYSGKGYHGMQRNLGSSQFRTIEDDLVSALVQAG CIPENHGTDMRKMSFQRCARTDKPLRTSACFCLYTGFLFHWGVSAAGQVVSLKVWLIDDI LDKINSHLPSHIRILGLKRVTGGFNSKNKCDARTYCYMLPTFAFAHKDRDVQDESYRLSA ETLQQVNRLLACYKGTHNFHNFTSQKGPREPSARRYILEMYCEEPFVREGLEFAVIKVKG QSFMMHQIRKMVGLVVAIVKGYAPESVLERSWGEEKVDVPKAPGLGLVLERVHFEKYNQR FGGDGLHEPLDWTQEEGKVTAFKEQYIYPTIVSTERDERSMAQWLNTLPIHNFSGTALGA ADTGAKVPSSLEGSEGDGDTD >ENSMUSP00000031481.6 pep:known chromosome:GRCm38:5:110773667:110780659:-1 gene:ENSMUSG00000029507.16 transcript:ENSMUST00000031481.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus1 description:pseudouridine synthase 1 [Source:MGI Symbol;Acc:MGI:1929237] MAGNKVPPALASHQPDRKGRGGWVWEETEHPAKRVKGGEDEEPPRKLPKRKIVLLMAYSG KGYHGMQRNLGSSQFRTIEDDLVSALVQAGCIPENHGTDMRKMSFQRCARTDKGVSAAGQ VVSLKVWLIDDILDKINSHLPSHIRILGLKRVTGGFNSKNKCDARTYCYMLPTFAFAHKD RDVQDESYRLSAETLQQVNRLLACYKGTHNFHNFTSQKGPREPSARRYILEMYCEEPFVR EGLEFAVIKVKGQSFMMHQIRKMVGLVVAIVKGYAPESVLERSWGEEKVDVPKAPGLGLV LERVHFEKYNQRFGGDGLHEPLDWTQEEGKVTAFKEQYIYPTIVSTERDERSMAQWLNTL PIHNFSGTALGAADTGAKVPSSLEGSEGDGDTD >ENSMUSP00000115143.1 pep:known chromosome:GRCm38:5:110775536:110780146:-1 gene:ENSMUSG00000029507.16 transcript:ENSMUST00000136483.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus1 description:pseudouridine synthase 1 [Source:MGI Symbol;Acc:MGI:1929237] MAGNKVPPALASHQPDRKGRGGWVWEETEHPAKRVKGGEDEEPPRKLPKRKIVLLMAYSG KGYHGMQRNLGSSQFRTIEDDLVSALVQAGCIPENHGTDMRKMSFQRCARTDKGVSAAGQ VVSLKVWLIDDILDKINSHLPSHIRIL >ENSMUSP00000115468.1 pep:known chromosome:GRCm38:5:110775545:110780591:-1 gene:ENSMUSG00000029507.16 transcript:ENSMUST00000149208.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus1 description:pseudouridine synthase 1 [Source:MGI Symbol;Acc:MGI:1929237] MAGNKVPPALASHQPDRKGRGGWVWEETEHPAKRVKGGEDEEPPRKLPKRKIVLLMAYSG KGYHGMQRNLGSSQFRTIEDDLVSALVQAGCIPENHGTDMRKMSFQRCARTDKPLRTSAC FCLYTGFLFHWGVSAAGQVVSLKVWLIDDILDKINSHLPSHI >ENSMUSP00000130814.1 pep:known chromosome:GRCm38:5:110773668:110780615:-1 gene:ENSMUSG00000029507.16 transcript:ENSMUST00000170468.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pus1 description:pseudouridine synthase 1 [Source:MGI Symbol;Acc:MGI:1929237] MAGNKVPPALASHQPDRKGRGGWVWEETEHPAKRVKGGEDEEPPRKLPKRKIVLLMAYSG KGYHGMQRNLGSSQFRTIEDDLVSALVQAGCIPENHGTDMRKMSFQRCARTDKGVSAAGQ VVSLKVWLIDDILDKINSHLPSHIRILGLKRVTGGFNSKNKCDARTYCYMLPTFAFAHKD RDVQDESYRLSAETLQQVNRLLACYKGTHNFHNFTSQKGPREPSARRYILEMYCEEPFVR EGLEFAVIKVKGQSFMMHQIRKMVGLVVAIVKGYAPESVLERSWGEEKVDVPKAPGLGLV LERVHFEKYNQRFGGDGLHEPLDWTQEEGKVTAFKEQYIYPTIVSTERDERSMAQWLNTL PIHNFSGTALGAADTGAKVPSSLEGSEGDGDTD >ENSMUSP00000103884.2 pep:known chromosome:GRCm38:3:30995747:31052959:1 gene:ENSMUSG00000037643.14 transcript:ENSMUST00000108249.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkci description:protein kinase C, iota [Source:MGI Symbol;Acc:MGI:99260] MPTQRDSSTMSHTVACGGGGDHSHQVRVKAYYRGDIMITHFEPSISFEGLCSEVRDMCSF DNEQPFTMKWIDEEGDPCTVSSQLELEEAFRLYELNKDSELLIHVFPCVPERPGMPCPGE DKSIYRRGARRWRKLYCANGHTFQAKRFNRRAHCAICTDRIWGLGRQGYKCINCKLLVHK KCHKLVTIECGRHSLPPEPMMPMDQTMHPDHTQTVIPYNPSSHESLDQVGEEKEAMNTRE SGKASSSLGLQDFDLLRVIGRGSYAKVLLVRLKKTDRIYAMKVVKKELVNDDEDIDWVQT EKHVFEQASNHPFLVGLHSCFQTESRLFFVIEYVNGGDLMFHMQRQRKLPEEHARFYSAE ISLALNYLHERGIIYRDLKLDNVLLDSEGHIKLTDYGMCKEGLRPGDTTSTFCGTPNYIA PEILRGEDYGFSVDWWALGVLMFEMMAGRSPFDIVGSSDNPDQNTEDYLFQVILEKQIRI PRSLSVKAASVLKSFLNKDPKERLGCHPQTGFADIQGHPFFRNVDWDMMEQKQVVPPFKP NISGEFGLDNFDSQFTNEPVQLTPDDDDIVRKIDQSEFEGFEYINPLLMSAEECV >ENSMUSP00000123671.1 pep:known chromosome:GRCm38:3:31005193:31034513:1 gene:ENSMUSG00000037643.14 transcript:ENSMUST00000130238.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkci description:protein kinase C, iota [Source:MGI Symbol;Acc:MGI:99260] MITHFEPSISFEGLCSEVRDMCSFDNEQPFTMKWIDEEGDPCTVSSQLELEEAFRLYELN KDSELLIHVFPCVPERPGMPCPGEDKSIYRRGARRWRKLYCANGHTFQAKRFNRRAHCAI CTDRIWGLGRQGYKCINCKLLVHKKCHKLVTIECGRHSLPPEPMMPMDQTMHPDHTQTVI PYN >ENSMUSP00000119192.1 pep:known chromosome:GRCm38:3:31038450:31041224:1 gene:ENSMUSG00000037643.14 transcript:ENSMUST00000136086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkci description:protein kinase C, iota [Source:MGI Symbol;Acc:MGI:99260] DIDWVQTEKHVFEQASNHPFLVGLHSCFQTESRLFFVIEYVNGGDLMFHMQRQRKLPEEH ARFYSAEISLALNYLHERGIIYRDLKLDNVLLDSEGHIKLTDYGMCKLLKYQSRRHSR >ENSMUSP00000031547.5 pep:known chromosome:GRCm38:5:114853664:114883880:1 gene:ENSMUSG00000029564.12 transcript:ENSMUST00000031547.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930519G04Rik description:RIKEN cDNA 4930519G04 gene [Source:MGI Symbol;Acc:MGI:1914843] MSVEIRQATPSWSLSWYIQKYMSWILRIRKERTEVGCCIPDEDVPQRKRHFVYGTRTRLW PPSRVESTDPNDDSNRVIMEVVPCVSSEEQEQEEEEEEESVGKVESLDKENVILESSGDP FHCHRRSEESIEYPSWIVQRQWDWSSDEEDCEGYSISVTALVHAETCWSDTPEEEEKAEA AVSSQDDASKEDEPVATKMAEAAAL >ENSMUSP00000116852.1 pep:known chromosome:GRCm38:5:114863499:114879765:1 gene:ENSMUSG00000029564.12 transcript:ENSMUST00000142582.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930519G04Rik description:RIKEN cDNA 4930519G04 gene [Source:MGI Symbol;Acc:MGI:1914843] XKYMSWILRIRKERTEVGCCIPDEDVPQRKRHFVYGTRTRLWPPSRVESTDPNDDSNRVI MEVVPCVSSEEQEQEEEEEEESVGKVESLDKENVILESSGDPFHCHRRSEESIEYPSWIV QRQWDWSSDEEDCEGYSISVTALVHAETCWSDTPEEEEKAEAAVSTWLNLLAESLHISYQ LSATHFHTPSPFPTRQGFPVHL >ENSMUSP00000144618.1 pep:known chromosome:GRCm38:5:114865470:114879765:1 gene:ENSMUSG00000029564.12 transcript:ENSMUST00000134389.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930519G04Rik description:RIKEN cDNA 4930519G04 gene [Source:MGI Symbol;Acc:MGI:1914843] MGNLNCCCRGCCIPDEDVPQRKRHFVYGTRTRLWPPSRVESTDPNDDSNRVIMEVVPCVS SEEQEQEEEEEEESVGKVESLDKENVILESSGDPFHCHRRSEESIEYPSWIVQRQWDWSS DEEDCEGYSISVTALVHAETCWSDTPEEEEKAEAAVSTWLNLLAESLHISYQLSATHFHT PSPFPTRQGFPVHL >ENSMUSP00000110193.2 pep:known chromosome:GRCm38:X:72987360:73031543:1 gene:ENSMUSG00000031351.15 transcript:ENSMUST00000114546.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp185 description:zinc finger protein 185 [Source:MGI Symbol;Acc:MGI:108095] MSISSLGSIGKGRTVPSGEEERNNILRQMKVRTTLKGDQSWITKHDDSEDHTIEPLSRHN RATSFSSVRDESNARSPNARAPAGYIIRGVFTRTIDSSSHSQHRPSKTNGAPRSASGQLG AANSGHPLQSSGYKMTTEDYKKLAPYNIRRSSISGTEEEEVPFTPDEQKRRSQAALGVLR KTAPREHSYVLSAAKKTTSPTQELQSPFLAKRVDVVDEDVLPEKNQEPPALARPDPGRSS STTEKIAHRQITPPTAELHLVAPDLEALSTPDSCEENNAAPKIIKEIPGTLQDGQSDPTV ASQQLADLSILEPQGSPSGAEQQIKAEDCTNMLMSPSSCMVTVTISDTSEQSQLCVPGVS SKVDSSSTIKGILFVKEYMNTSEVSSGKPVSSHCDSPSSIEDSLDLAKKAPHEGTPSERP TEGVCTYCSHEIQDCPKITLEHLGICCHEYCFKCGICNKPMGDLLDQIFIHRDTIHCGKC YEKLF >ENSMUSP00000115266.1 pep:known chromosome:GRCm38:X:72988253:72997405:1 gene:ENSMUSG00000031351.15 transcript:ENSMUST00000134588.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp185 description:zinc finger protein 185 [Source:MGI Symbol;Acc:MGI:108095] MSISSLGSIGKGRTVPSGEEERNNILRQMKVRTTLKGDQSWITKHDDSEDHT >ENSMUSP00000126066.1 pep:known chromosome:GRCm38:X:72987339:73031543:1 gene:ENSMUSG00000031351.15 transcript:ENSMUST00000164800.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp185 description:zinc finger protein 185 [Source:MGI Symbol;Acc:MGI:108095] MSISSLGSIGKGRTVPSGEEERNNILRQMKVRTTLKGDQSWITKHDDSEDHTIEPLSRHN RATSFSSVRDESNARSPNARAPAGYIIRGVFTRTIDSSSHSQHRPSKTNGAPRSASGQLG AANSGHPLQSSGYKMTTEDYKKLAPYNIRRSSISGTEEEEVPFTPDEQKRRSQAALGVLR KTAPREHSYVLSAAKKTTSSPTQELQSPFLAKRVDVVDEDVLPEKNQEPPALARPDPGRS SSTTEKIAHRQITPPTAELHLVAPDLEALSTPDSCEENNAAPKIIKEIPGTLQDGQSDPT VASQQLADLSILEPQGSPSGAEQQIKAEDCTNMLMSPSSCMVTVTISDTSEQSQLCVPGV SSKVDSSSTIKGILFVKEYMNTSEVSSGKPVSSHCDSPSSIEDSLDLAKKAPHEGTPSER PTEGVCTYCSHEIQDCPKITLEHLGICCHEYCFKCGICNKPMGDLLDQIFIHRDTIHCGK CYEKLF >ENSMUSP00000006139.1 pep:known chromosome:GRCm38:16:3895181:3907757:-1 gene:ENSMUSG00000005983.15 transcript:ENSMUST00000006139.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700037C18Rik description:RIKEN cDNA 1700037C18 gene [Source:MGI Symbol;Acc:MGI:1920511] MEALVCAFSELRIREDAVSWAPGRPSHPDTPPNIYEGGLGAQQQQGPSAQGSKPKNFRLR HLRSLALYLPGHMQPAGQCGSHWLGRLMAGGSLTRPEGSPWPLDLPQGTLGPGNSHCSAL LEAHLPRDSLGNTATIIPTMAPL >ENSMUSP00000111525.1 pep:known chromosome:GRCm38:16:3904303:3908689:-1 gene:ENSMUSG00000005983.15 transcript:ENSMUST00000115859.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700037C18Rik description:RIKEN cDNA 1700037C18 gene [Source:MGI Symbol;Acc:MGI:1920511] MDAVSWAPGRPSHPDTPPNIYEGGLGAQQQQGPSAQGSKPKNFRLRHLRSLALYLPGHMQ PAGQCGSHWLGRLMAGGSLTRPEGSPWPLDLPQGTLGPGNSHCSALLEAHLPRDSLGNTA SSSSMDPAKGVPSQSGPPEGLGLRPKRSWRALEETMCPLCKRTRSGALERT >ENSMUSP00000135766.1 pep:known chromosome:GRCm38:16:3905798:3907702:-1 gene:ENSMUSG00000005983.15 transcript:ENSMUST00000177323.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700037C18Rik description:RIKEN cDNA 1700037C18 gene [Source:MGI Symbol;Acc:MGI:1920511] MEALVYAVSWAPGRPSHPDTPPNIYEGGLGAQQQQGPSAQGSKPKNFRLRHLRSLALYLP GHMQPAGQCGSHWLGRLMAGGSLTRPEGSPWPLDLPQGTLGPGNSHCSALLEAHLPRDSL GNTASSSSMDPAKGVPSQSGPPEGLGLRPKRSWRALEETMCPLCKRTRSGALERT >ENSMUSP00000134800.1 pep:known chromosome:GRCm38:16:3906258:3907651:-1 gene:ENSMUSG00000005983.15 transcript:ENSMUST00000177221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700037C18Rik description:RIKEN cDNA 1700037C18 gene [Source:MGI Symbol;Acc:MGI:1920511] LVCAFSELRIREDAVSWAPGRPSHPDTPPNIYEGGLGAQQQQGPSAQGSKPKNFRLRHLR SLALYLPGHMQPAGQCGSHWLGRLMAGGSLTRPEGSPWPLDLPQGTLGPGNSHCSALLEA HLPRDSLGNTASSSSMDPAKGVPS >ENSMUSP00000135233.1 pep:known chromosome:GRCm38:16:3907013:3908623:-1 gene:ENSMUSG00000005983.15 transcript:ENSMUST00000123235.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700037C18Rik description:RIKEN cDNA 1700037C18 gene [Source:MGI Symbol;Acc:MGI:1920511] MIQISTDAVSWAPGRPSHPDTPPNIYEGGLGAQQQQGPSAQGSKPKNFRLRHLRSLALYL PGHMQPA >ENSMUSP00000127909.1 pep:known chromosome:GRCm38:16:17208135:17213921:1 gene:ENSMUSG00000071636.6 transcript:ENSMUST00000169803.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rimbp3 description:RIMS binding protein 3 [Source:MGI Symbol;Acc:MGI:2685449] MTKDSPTPLGGGRASPKKPSSPGPAAAVLEEQRRELEKLRAELEGERARGRSERRRFATQ TRQLRESAEQERQQLADHLRSKWEARRLRELRQLQEEVQREREAEIRQLLRWKEAEMRQL QQLLHQERDVVLRQARELQRQLAQELVNRGYCSRSGASEASAAQCRCRLQEVLALLRWET DGEQAARIRHLQAALDVERQLFLKYILEHFRWQPALPGPADPQATHSLEEPPLEAQSNTG GTPKPARRLGSLESLNTGVRVHSPNDLLPTRAGSLESLATAHSCSLDNTLNCSQASESEV RAPATSASIPDTSSPQPPPQLPSIHRKPNDLQKESSENKPCEASTSSPPGLDYQELVRQN SELAEALQVLVRRCCDLREENLHLRRKGFSEEAGEKVKWLKVKHAELTDLAQRLEDRARK LQETNLRAMSAPVPGESLEGLDLSQVFTCQRAQDLSEQAGALQAKDLQIEALRRECHLLQ ARIAADLGSSSHPEEGATCAQWCNISDLDRLQRESQREVLRLQRQLTLHQSKAGAWADAG RPSTPSEITRHQVQALERELGLQRRECEELSVQAAAAERRYEETEAQLQAALHKGARLSE ENARLQALANWMKKMADENSNVSRQQSHTRQRQELEATSLLAEQLLQQEGYAQDRRQQLQ HYKNKALSDLRTSGKEMQGLQFQPGHPSETSETTQASESQARDSGRPTFKTKSEERVLPL PTRDIQPPACLSQQENPVIVEEPAAGPQVSDRNSTSQSLDSKPQAKKTSSQSNSSSEVES MWATVPSCLSLDMDTASEVDDLEPDSMSTPLEMRSLEAPIIPKLKLFLARSSYNPFEGPS EHCQGKLPLTAGDYVYVFGDMDEDGFYEGELVNGQRGLVPSNLVEPISGSPILNHLFLKS PDIGPTALPAGHSKVLKKGSLLLGEVQERGLCQVGRVDSKTDMAAESLKTKTEACWLGLK SSLEEQSFSRPLLEAKGAFCLAPMELQLQNVTATSATITWASGSNRYPHVVYLDDEEHIL TPSGVNHYTFQGLHPGTCYRVRVGVQLPRDLLQVLWETTSSTLTFDTPLAGPPDPPLDVL VEHHASPGVLVVSWLPVTIDSAGSSNGVQVTGYAVYVDGFKVTEVADATAGNTLLEFSQL QVPLSCQKVSVRTMSLYGESLDSVPAQIPEDFFSCCPFLGAPPFNYTDGNPFPVCHQKLV QASLGAKSSPRGPGNCGEPQAKFLEAFPEEHPRKHLSLSSLSSDGTNSQAQGPTEAWKGY EKDLSFQKSPQNHKPPLLFGQSGVEEGHAPHICISGSPAPGFVHLSSEIGHGKIRCWEKP GLEKALLQNQYAPMVPPHQQGSSQCQPADFHHVFEEKEALCLDSQGTEKPEQRKNKSQNG QRQGTPGSKRECSVLCPAPTNKVIKMTSGSPDQLETDANNPVRVFLALFDHSPLVISVNS EAAEEELAFQKGQLLRVWGSLDLHGFYHGECNGHLGKIPGHLVVEVEVGTQQTDGRWHLP AQGHLLSETQREDLEGLTNSQGSYMPQGNSRTPTLWTPKTMVAALDYDPRDGRAGVQAKG KLVLRAGDVVTVYGPVDDKGFYYGEYGGHRGLVPAHLLDDLPVHGE >ENSMUSP00000128268.1 pep:known chromosome:GRCm38:14:7255889:7264714:-1 gene:ENSMUSG00000096183.7 transcript:ENSMUST00000168458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3727 description:predicted gene 3727 [Source:MGI Symbol;Acc:MGI:3781902] MFSRLVRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKMKISNNMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLCECTQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000136127.1 pep:known chromosome:GRCm38:14:7261685:7264653:-1 gene:ENSMUSG00000096183.7 transcript:ENSMUST00000178251.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3727 description:predicted gene 3727 [Source:MGI Symbol;Acc:MGI:3781902] MFSRLVRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKMKISNNMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLCECTQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000139995.1 pep:known chromosome:GRCm38:1:64532645:64597695:1 gene:ENSMUSG00000025958.14 transcript:ENSMUST00000185594.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb1 description:cAMP responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:88494] MTMESGADNQQSGDAAVTEAENQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPN GQTVQVHGVIQAAQPSVIQSPQVQTVQISTIAESEDSQESVDSVTDSQKRREILSRRPSY RKILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPIYQTSSGQYIAITQGGAIQLA NNGTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQILVPSNQVVVQAASGDVQTYQI RTAPTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNREAARECRRKKKEYVKCLENR VAVLENQNKTLIEELKALKDLYCHKSD >ENSMUSP00000132860.1 pep:known chromosome:GRCm38:1:64532797:64597571:1 gene:ENSMUSG00000025958.14 transcript:ENSMUST00000171164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb1 description:cAMP responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:88494] MPAAHATSSAPTVTLVQLPNGQTVQVHGVIQAAQPSVIQSPQVQTVQISTIAESEDSQES VDSVTDSQKRREILSRRPSYRKILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPI YQTSSGQYIAITQGGAIQLANNGTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQIL VPSNQVVVQAASGDVQTYQIRTAPTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNR EAARECRRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKSD >ENSMUSP00000140649.1 pep:known chromosome:GRCm38:1:64532809:64578216:1 gene:ENSMUSG00000025958.14 transcript:ENSMUST00000187811.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb1 description:cAMP responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:88494] MTMESGADNQQSGDAAVTEAENQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPN GQTVQVHGVIQAAQPSVIQSPQVQTVQISTIAESEDSQESVDSVTDSQKRREILSRRPSY RKILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPIYQTSSGQYIAITQGGAIQLA NNGTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQILVPSNQVVVQAASGDVQTYQI RTAPTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNSLKPACSGVELPTQSFCHAHC WTCGFAVWSRARGHPAI >ENSMUSP00000059973.5 pep:known chromosome:GRCm38:1:64532809:64601257:1 gene:ENSMUSG00000025958.14 transcript:ENSMUST00000049932.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb1 description:cAMP responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:88494] MTMESGADNQQSGDAAVTEAENQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPN GQTVQVHGVIQAAQPSVIQSPQVQTVQSSCKDLKRLFSGTQISTIAESEDSQESVDSVTD SQKRREILSRRPSYRKILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPIYQTSSG QYIAITQGGAIQLANNGTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQILVPSNQV VVQAASGDVQTYQIRTAPTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNREAAREC RRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKSD >ENSMUSP00000084624.4 pep:known chromosome:GRCm38:1:64532819:64604548:1 gene:ENSMUSG00000025958.14 transcript:ENSMUST00000087366.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb1 description:cAMP responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:88494] MTMESGADNQQSGDAAVTEAENQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPN GQTVQVHGVIQAAQPSVIQSPQVQTVQISTIAESEDSQESVDSVTDSQKRREILSRRPSY RKILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPIYQTSSGQYIAITQGGAIQLA NNGTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQILVPSNQVVVQAASGDVQTYQI RTAPTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNREAARECRRKKKEYVKCLENR VAVLENQNKTLIEELKALKDLYCHKSD >ENSMUSP00000140189.1 pep:known chromosome:GRCm38:1:64532859:64597467:1 gene:ENSMUSG00000025958.14 transcript:ENSMUST00000190876.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Creb1 description:cAMP responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:88494] MTMESGADNQQSGDAAVTEAENQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPN GQTVQVHGVIQAAQPSVIQSPQVQTVQSLF >ENSMUSP00000140112.1 pep:known chromosome:GRCm38:1:64532894:64597477:1 gene:ENSMUSG00000025958.14 transcript:ENSMUST00000190348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb1 description:cAMP responsive element binding protein 1 [Source:MGI Symbol;Acc:MGI:88494] MTMESGADNQQSGDAAVTEAENQQMTVQAQPQIATLAQVSMPAAHATSSAPTVTLVQLPN GQTVQVHGVIQAAQPSVIQSPQVQTVQSSCKDLKRLFSGTQISTIAESEDSQESVDSVTD SQKRREILSRRPSYRKILNDLSSDAPGVPRIEEEKSEEETSAPAITTVTVPTPIYQTSSG QYIAITQGGAIQLANNGTDGVQGLQTLTMTNAAATQPGTTILQYAQTTDGQQILVPSNQV VVQAASGDVQTYQIRTAPTSTIAPGVVMASSPALPTQPAEEAARKREVRLMKNREAAREC RRKKKEYVKCLENRVAVLENQNKTLIEELKALKDLYCHKSD >ENSMUSP00000032066.9 pep:known chromosome:GRCm38:6:86195223:86275719:1 gene:ENSMUSG00000029999.14 transcript:ENSMUST00000032066.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfa description:transforming growth factor alpha [Source:MGI Symbol;Acc:MGI:98724] MVPATGQLALLALGILLAVCQALENSTSPLSDSPVAAAVVSHFNKCPDSHTQYCFHGTCR FLVQEEKPACVCHSGYVGVRCEHADLLAVVAASQKKQAITALVVVSIVALAVLIITCVLI HCCQLRKHCEWCRALVCRHEKPSALLKGRTACCHSETVV >ENSMUSP00000141395.1 pep:known chromosome:GRCm38:6:86195390:86272029:1 gene:ENSMUSG00000029999.14 transcript:ENSMUST00000192058.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfa description:transforming growth factor alpha [Source:MGI Symbol;Acc:MGI:98724] MVPATGQLALLALGILLAVCQALENSTSPLSDSPVAAAVVSHFNKCPDSHTQYCFHGTCR FLVQEEKPACVGLKIPEEEFGQVAYDSPTKKRHLGTTP >ENSMUSP00000097615.2 pep:known chromosome:GRCm38:2:70964561:71055583:-1 gene:ENSMUSG00000041975.17 transcript:ENSMUST00000100037.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl8 description:methyltransferase like 8 [Source:MGI Symbol;Acc:MGI:2385142] MDHMQWSKEEEDAARKKVEENSATRVAPEEQVKFESDANKYWDIFYQTHKNKFFKNRNWL LREFPEILPVNQNTKEKVGESSWDQVGSSISRTQGTETHCQESFVSPEPGSRGRSAPDPD LEEYSKGPGKTEPFPGSNATFRILEVGCGAGNSVFPILNTLQNIPGSFLYCCDFASEAVE LVKSHESYSEAQCSAFIHDVCDDGLAYPFPDGILDVVLLVFVLSSIHPDRMQAVAHRLSR LLKPGGMLLFRDHGRYDNAQLRFKKGRCLSENFYVRGDGTRAYFFTKGEIRRMFCEAGLH EKQNLVDHRLQVNRKKQVQMHRVWIQGKFQKPSPWTPQSGN >ENSMUSP00000107800.2 pep:known chromosome:GRCm38:2:70964561:71055583:-1 gene:ENSMUSG00000041975.17 transcript:ENSMUST00000112179.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl8 description:methyltransferase like 8 [Source:MGI Symbol;Acc:MGI:2385142] MNVIWRSCICRLRQGKVPHRCQSGVHPVAPLGSRILTDPAKVFEHNMWDHMQWSKEEEDA ARKKVEENSATRVAPEEQVKFESDANKYWDIFYQTHKNKFFKNRNWLLREFPEILPVNQN TKEKVGESSWDQVGSSISRTQGTETHCQESFVSPEPGSRGRSAPDPDLEEYSKGPGKTEP FPGSNATFRILEEHSRILSLLLRLCL >ENSMUSP00000115855.1 pep:known chromosome:GRCm38:2:70964561:71055583:-1 gene:ENSMUSG00000041975.17 transcript:ENSMUST00000148876.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl8 description:methyltransferase like 8 [Source:MGI Symbol;Acc:MGI:2385142] MNVIWRSCICRLRQGKVPHRCQSGVHPVAPLGSRILTDPAKVFEHNMWDHMQWSKEEEDA ARKKVEENSATRVAPEEQVKFESDANKYWDIFYQTHKNKFFKNRNWLLREFPEILPVNQN TKEKVGESSWDQVGSSISRTQGTETHCQESFVSPEPGSRGRSAPDPDLEEYSKGPGKTEP FPGSNATFRILEVGCGAGNSVFPILNTLQNIPGSFLYCCDFASEAVELVKSHESYSEAQC SAFIHDVCDDGLAYPFPDGILDVVLLVFVLSSIHPDRQVPPCLPNRTCDFYKMSQPPGRG YRPA >ENSMUSP00000107804.2 pep:known chromosome:GRCm38:2:70964561:71055583:-1 gene:ENSMUSG00000041975.17 transcript:ENSMUST00000112186.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl8 description:methyltransferase like 8 [Source:MGI Symbol;Acc:MGI:2385142] MNVIWRSCICRLRQGKVPHRCQSGVHPVAPLGSRILTDPAKVFEHNMWDHMQWSKEEEDA ARKKVEENSATRVAPEEQVKFESDANKYWDIFYQTHKNKFFKNRNWLLREFPEILPVNQN TKEKVGESSWDQVGSSISRTQGTETHCQESFVSPEPGSRGRSAPDPDLEEYSKGPGKTEP FPGSNATFRILEVGCGAGNSVFPILNTLQNIPGSFLYCCDFASEAVELVKSHESYSEAQC SAFIHDVCDDGLAYPFPDGILDVVLLVFVLSSIHPDRMQAVAHRLSRLLKPGGMLLFRDH GRYDNAQLRFKKGRCLSENFYVRGDGTRAYFFTKGEIRRMFCEAGLHEKQNLVDHRLQVN RKKQVQMHRVWIQGKFQKPSPWTPQSGN >ENSMUSP00000113642.1 pep:known chromosome:GRCm38:2:70965437:71018374:-1 gene:ENSMUSG00000041975.17 transcript:ENSMUST00000121586.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl8 description:methyltransferase like 8 [Source:MGI Symbol;Acc:MGI:2385142] MNVIWRSCICRLRQGKVPHRCQSGVHPVAPLGSRILTDPAKVFEHNMWDHMQWSKEEEDA ARKKVEENSATRVAPEEQVKFESDANKYWDIFYQTHKNKFFKNRNWLLREFPEILPVNQN TKEKVGESSWDQVGSSISRTQGTETHCQESFVSPEPGSRGRSAPDPDLEEYSKGPGKTEP FPGSNATFRILEVGCGAGNSVFPILNTLQNIPGSFLYCCDFASEAVELVKSHESYSEAQC SAFIHDVCDDGLAYPFPDGILDVVLLVFVLSSIHPDRALFI >ENSMUSP00000118026.1 pep:known chromosome:GRCm38:2:70966165:70973388:-1 gene:ENSMUSG00000041975.17 transcript:ENSMUST00000140293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl8 description:methyltransferase like 8 [Source:MGI Symbol;Acc:MGI:2385142] XESYSEAQCSAFIHDVCDDGLAYPFPDGILDVVLLVFVLSSIHPDRMQAVAHRLSRLLKP GGMLLFRDHGRYDNAQLRFKKGRCLSENFYVRGDGTRAYFFTKGMLISLA >ENSMUSP00000119863.1 pep:known chromosome:GRCm38:2:70966483:70982184:-1 gene:ENSMUSG00000041975.17 transcript:ENSMUST00000149181.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mettl8 description:methyltransferase like 8 [Source:MGI Symbol;Acc:MGI:2385142] SDANKYWDIFYQTHKNKFFKNRNWLLREFPEILPVNQNTKEKVGESSWDQVGSSISRTQG TETHCQESFVSPEPGSRGRSAPDPDLEEYSKGPGKTEPFPGSNATFRILEVGCGAGNSVF PILNTLHPTSPTARPSVLPLFMTCVTTA >ENSMUSP00000088359.5 pep:known chromosome:GRCm38:2:70978924:71055572:-1 gene:ENSMUSG00000041975.17 transcript:ENSMUST00000090849.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mettl8 description:methyltransferase like 8 [Source:MGI Symbol;Acc:MGI:2385142] MNVIWRSCICRLRQGKVPHRCQSGVHPVAPLGSRILTDPAKVFEHNMWDHMQWSKEEEDA ARKKVEENSATRVAPEEQEPGSRGRSAPDPDLEEYSKGPGKTEPFPGSNATFRILEVGCG AGNSVFPILNTLQRQKGKEREIATPHHHHQAVPCLASDMACSS >ENSMUSP00000086418.6 pep:known chromosome:GRCm38:17:12916329:12924556:1 gene:ENSMUSG00000068039.12 transcript:ENSMUST00000089024.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp1 description:t-complex protein 1 [Source:MGI Symbol;Acc:MGI:98535] MDVTITNDGATILKLLEVEHPAAKVLCELADLQDKEVGDGTTSVVIIAAELLKNADELVK QKIHPTSVISGYRLACKEAVRYINENLIINTDELGRDCLINAAKTSMSSKIIGINGDYFA NMVVDAVLAVKYTDARGQPRYPVNSVNILKAHGRSQIESMLINGYALNCVVGSQGMPKRI VNAKIACLDFSLQKTKMKLGVQVVITDPEKLDQIRQRESDITKERIQKILATGANVILTT GGIDDMYLKYFVEAGAMAVRRVLKRDLKHVAKASGASILSTLANLEGEETFEVTMLGQAE EVVQERICDDELILIKNTKARTSASIILRGANDFMCDEMERSLHDALCVVKRVLELKSVV PGGGAVEAALSIYLENYATSMGSREQLAIAEFARSLLVIPNTLAVNAAQDSTDLVAKLRA FHNEAQVNPERKNLKWIGLDLVHGKPRDNKQAGVFEPTIVKVKSLKFATEAAITILRIDD LIKLHPESKDDKHGSYENAVHSGALDD >ENSMUSP00000116108.1 pep:known chromosome:GRCm38:17:12916382:12925067:1 gene:ENSMUSG00000068039.12 transcript:ENSMUST00000151287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp1 description:t-complex protein 1 [Source:MGI Symbol;Acc:MGI:98535] MEGPLSVFGDRSTGEAVRSQNVMAAASIANIVKSSFGPVGLDKMLVDDIGDVTITNDGAT ILKLLEVEHPAAKVLCELADLQDKEVGDGTTSVVIIAAELLKNADELVKQKIHPTSVISG YRLACKEAVRYINENLIINTDELGRDCLINAAKTSMSSKIIGINGDYFANMVVDAVLAVK YTDARGQPRYPVNSVNILKAHGRSQIESMLINGYALNCVVGSQGMPKRIVNAKIACLDFS LQKTKMKLGVQVVITDPEKLDQIRQRESDITKERIQKILATGANVILTTGGIDDMYLKYF VEAGAMAVRRVLKRDLKHVAKASGASILSTLANLEGEETFEVTMLGQAEEVVQERICDDE LILIKNTKARTSASIILRGANDFMCDEMERSLHDALCVVKRVLELKSVVPGGGAVEAALS IYLENYATSMGSREQLAIAEFARSLLVIPNTLAVNAAQDSTDLVAKLRAFHNEAQVNPER KNLKWIGLDLVHGKPRDNKQAGVFEPTIVKVKSLKFATEAAITILRIDDLIKLHPESKDD KHGSYENAVHSGALDD >ENSMUSP00000116511.1 pep:known chromosome:GRCm38:17:12916439:12918379:1 gene:ENSMUSG00000068039.12 transcript:ENSMUST00000143961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcp1 description:t-complex protein 1 [Source:MGI Symbol;Acc:MGI:98535] MEGPLSVFGDRSTGEAVRSQNVMAAASIANIVKSSFGPVGLDKMLVDDIGDVTITNDGAT ILKLLEVEHPAAKVLCELADLQDKEVGDGTTSVVRRYQEMARE >ENSMUSP00000088320.4 pep:known chromosome:GRCm38:2:73312601:73337818:1 gene:ENSMUSG00000008226.14 transcript:ENSMUST00000090811.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrn3 description:secernin 3 [Source:MGI Symbol;Acc:MGI:1921866] MEPYSCDTFVALPPATVGNRVIFGKNSDRLFDEVQEVIYCPAAVHNDLEKRLKCTYIEVD QVPETYAVVLSRPAWLWGAEMGANEHGVCIGNEAVWGREDISKEEALLGMDLVRLGLERA DTAEKALDVIVDLLEKYGQGGNCAEGKEFSYYNSFLIADRNEAWILETSGKYWAAERVQG VRNISNQLSITTKIDREHPDMRNYAKQRGWWDGKEEFDFTAAYSYIDTAAMMTSPSRYCQ GYKLLDKHRGNITFETMMEILRDRPSGINMKGEFLTTASMVSVLPQDPSLPCIHLFTATP HPERSVFKPFIFVPHISPLLDTKSPTFEPERPVAKKPYVKPDRRHPLYQKHQEALEMISN SKEKGKTILDKMRKLEKAVSEEIESILQSGHLDEEKTVNLFPQYVKDEIKIYQSNISS >ENSMUSP00000107681.1 pep:known chromosome:GRCm38:2:73312654:73320796:1 gene:ENSMUSG00000008226.14 transcript:ENSMUST00000112050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scrn3 description:secernin 3 [Source:MGI Symbol;Acc:MGI:1921866] MEPYSCDTFVALPPATVGNRVIFGKNSDRLFDEVQEVIYCPAAVHNDLEKRLKCTYIEVD QVPETYAVVLSRPAWLWGAEMGANEHGVCIGNEAVWGREDISKEEALLGMDLVRLGLERA DTAEKALDVIVDLLEKYGQGGNCAEGKEFSYYNSFLIADRNEAWILETSGKYWAAERVQG VNSYLQFGS >ENSMUSP00000052837.2 pep:known chromosome:GRCm38:2:88958468:88959403:1 gene:ENSMUSG00000048226.4 transcript:ENSMUST00000055895.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1212 description:olfactory receptor 1212 [Source:MGI Symbol;Acc:MGI:3031046] MPNQTIVTEFILLGLSENPTVQKIVFVVFSFVYMATIGGNIIIAVTILCTPALLGSPMYF FLAFLSFLDACITSVITPKMIVDSVNESKTISFEGCMTQIFAEHFFAAVEVIVLISMAYD RYVAICKPLHYSSIMNWRLCGTLVGIAWAGGFLHSIIQIIFTLQLPFCGPNVIDHFMCDL FPLLELACTNTYVYGLLVFANSGSICIIIFSMLLISYGVILFSLRSHSSEGRWKALSTCG SHIAVVVSFFVPCIFIYARSTSASSFEKKVAVFDGIMTPLLNPLIYTFRNKEMKNAIRKM WNRFRMVSDKF >ENSMUSP00000144653.1 pep:known chromosome:GRCm38:5:130217081:130229548:1 gene:ENSMUSG00000053094.15 transcript:ENSMUST00000202612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem248 description:transmembrane protein 248 [Source:MGI Symbol;Acc:MGI:1918917] MFSINPLENLKLYISSRPPLVVFMISV >ENSMUSP00000144452.1 pep:known chromosome:GRCm38:5:130219744:130232041:1 gene:ENSMUSG00000053094.15 transcript:ENSMUST00000202305.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem248 description:transmembrane protein 248 [Source:MGI Symbol;Acc:MGI:1918917] MFSINPLENLKLYISSRPPLVVFMISVSAMAIAFLTLGYFFKIKEIKSPEMAEDWNTFLL RFNDLDLCVSENETLKHLSNDTTTPESTMTVGQARSSTQPPQSLEESGPINISVAITLTL DPLKPFGGYSRNVTHLYSTILGHQIGLSG >ENSMUSP00000144363.1 pep:known chromosome:GRCm38:5:130219840:130231917:1 gene:ENSMUSG00000053094.15 transcript:ENSMUST00000200802.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem248 description:transmembrane protein 248 [Source:MGI Symbol;Acc:MGI:1918917] MFSINPLENLKLYISSRPPLVVFMISVSAMAIAFLTLGYFFKIKEIKSPEMAEDWNTFLL RFNDLDLCVSENETLKHLSNDTTTPESTMTVGQARSSTQPPQSLEES >ENSMUSP00000106929.4 pep:known chromosome:GRCm38:5:130222335:130243666:1 gene:ENSMUSG00000053094.15 transcript:ENSMUST00000111298.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem248 description:transmembrane protein 248 [Source:MGI Symbol;Acc:MGI:1918917] MFSINPLENLKLYISSRPPLVVFMISVSAMAIAFLTLGYFFKIKEIKSPEMAEDWNTFLL RFNDLDLCVSENETLKHLSNDTTTPESTMTVGQARSSTQPPQSLEESGPINISVAITLTL DPLKPFGGYSRNVTHLYSTILGHQIGLSGREAHEEINITFTLPAAWNADDCALHGHCEQA VFTACMTLTAAPGVFPVTVQPPHCIPDTYSNATLWYKIFTTARDANTKYAQDYNPFWCYK GAIGKVYHALNPKLTVVVPDDDRSLINLHLMHTSYFLFVMVITMFCYAVIKGRPSKLRQS NPEFCPEKVALADA >ENSMUSP00000067501.6 pep:known chromosome:GRCm38:5:130219744:130243765:1 gene:ENSMUSG00000053094.15 transcript:ENSMUST00000065329.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem248 description:transmembrane protein 248 [Source:MGI Symbol;Acc:MGI:1918917] MFSINPLENLKLYISSRPPLVVFMISVSAMAIAFLTLGYFFKIKEIKSPEMAEDWNTFLL RFNDLDLCVSENETLKHLSNDTTTPESTMTVGQARSSTQPPQSLEESGPINISVAITLTL DPLKPFGGYSRNVTHLYSTILGHQIGLSGREAHEEINITFTLPAAWNADDCALHGHCEQA VFTACMTLTAAPGVFPVTVQPPHCIPDTYSNATLWYKIFTTARDANTKYAQDYNPFWCYK GAIGKVYHALNPKLTVVVPDDDRSLINLHLMHTSYFLFVMVITMFCYAVIKGRPSKLRQS NPEFCPEKVALADA >ENSMUSP00000127597.1 pep:known chromosome:GRCm38:14:7293648:7315049:-1 gene:ENSMUSG00000091472.2 transcript:ENSMUST00000165744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3739 description:predicted gene 3739 [Source:MGI Symbol;Acc:MGI:3781914] MLNSSKLGVSGMFSWLLRLFQKENGDEGETRPKMKEEGILSHEKGRRKWLWRRHRSARNT STKNSKMTKQRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRL LVEQAGHKCPVGKKRGSLRRPARTSVSQVPRNSSVK >ENSMUSP00000023344.3 pep:known chromosome:GRCm38:16:45139573:45158706:-1 gene:ENSMUSG00000022664.11 transcript:ENSMUST00000023344.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a5 description:solute carrier family 35, member A5 [Source:MGI Symbol;Acc:MGI:1921352] MKVIFLRQLKTRGMERKCSRRPGLGPPTLYTFLLGIIFITLSSSRILLVKYSANEENKYD YLPTTVNVCSELMKLILCILVSLCVIKKEDHQSRHLRCTSWKEFSSFMKWSIPAFLYFLD NLIVFYVLSYLQPAMAVIFSNFSIITTALLFRIVLKRHLNWIQWASLLILFLSIVALTAS TKTSQHELAGHGFHHDAFFTPSNSCLHFRRDCSLRDNCTSKEWTFSEVQWNTTARVFSHI RLGLGHVLIIVQCFISSMANIYNEKILKEGTQLTESIFIQNSKLYFFGIVFNGLTLVLQS SNRDQIQNCGFFYGHNAFSVVLIFVTAFQGLSVAFILKFLDNMFHVLMAQVTTVIITTVS VLVFDFRPSLDFFLEAPSVLLSIFIYNASKPQNLECAPKQERIRHLSGSLWERSSGDGEE LERLTKLKSDDSDDDTL >ENSMUSP00000137789.1 pep:known chromosome:GRCm38:16:45141946:45158566:-1 gene:ENSMUSG00000022664.11 transcript:ENSMUST00000181177.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a5 description:solute carrier family 35, member A5 [Source:MGI Symbol;Acc:MGI:1921352] MKVIFLRQLKTRGMERKCSRRPGLGPPTLYTFLLGIIFITLSSSRILLVKYSANEDNMFH VLMAQVTTVIITTVSVLVFDFRPSLDFFLEAPSVLLSIFIYNASKPQNLECAPKQERIRH LSGSLWERSSGDGEELERLTKLKSDDSDDDTL >ENSMUSP00000137821.1 pep:known chromosome:GRCm38:16:45144170:45158644:-1 gene:ENSMUSG00000022664.11 transcript:ENSMUST00000180636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a5 description:solute carrier family 35, member A5 [Source:MGI Symbol;Acc:MGI:1921352] MKVIFLRQLKTRGMERKCSRRPGLGPPTLYTFLLGIIFITLSSSRILLVKYSANEENKYD YLPTTVNVCSELMKLILCILVSLCVIKKEDHQSRHLRCTSWKEFSSFMKWSIPAFLYFLD NLIVFYVLSYLQPAMAVIFSNFSIITTALLFRIVLKRHLNWIQWASLLILFLSIVALTAS TKTSQHELAGHGFHHDAFFTPSNSCLHFRRDCSLRDNCTSKEWTFSEVQWNTT >ENSMUSP00000110247.1 pep:known chromosome:GRCm38:16:45144190:45158183:-1 gene:ENSMUSG00000022664.11 transcript:ENSMUST00000114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a5 description:solute carrier family 35, member A5 [Source:MGI Symbol;Acc:MGI:1921352] MFPSYLCALGLPTGLLMKVIFLRQLKTRGMERKCSRRPGLGPPTLYTFLLGIIFITLSSS RILLVKYSANEENKYDYLPTTVNVCSELMKLILCILVSLCVIKKEDHQSRHLRCTSWKEF SSFMKWSIPAFLYFLDNLIVFYVLSYLQPAASELDPVGIPPDSVLVYRGPNSQYQNVPA >ENSMUSP00000137937.1 pep:known chromosome:GRCm38:16:45152605:45158453:-1 gene:ENSMUSG00000022664.11 transcript:ENSMUST00000181750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35a5 description:solute carrier family 35, member A5 [Source:MGI Symbol;Acc:MGI:1921352] MERKCSRRPGLGPPTLYTFLLGIIFITLSSSRILLVKYSANEENKYDYLPTTVNVCSELM KLILCI >ENSMUSP00000132443.1 pep:known chromosome:GRCm38:7:28739641:28741770:-1 gene:ENSMUSG00000045948.8 transcript:ENSMUST00000171183.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps12 description:mitochondrial ribosomal protein S12 [Source:MGI Symbol;Acc:MGI:1346333] MSWPGLLYGLTTSLSRGLALAPQLWAARSMATLNQMHRLGPRKEPPKRLGPTEGRPQLKG VVLRTFIRKPKKPNSANRKCCRVRLSTGKEAVCFIPGEGHTLQEHHVVLVEGGRTQDLPG VKLKVVRGKYDCGHVQKKK >ENSMUSP00000062066.8 pep:known chromosome:GRCm38:7:28739642:28741820:-1 gene:ENSMUSG00000045948.8 transcript:ENSMUST00000056078.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps12 description:mitochondrial ribosomal protein S12 [Source:MGI Symbol;Acc:MGI:1346333] MSWPGLLYGLTTSLSRGLALAPQLWAARSMATLNQMHRLGPRKEPPKRLGPTEGRPQLKG VVLRTFIRKPKKPNSANRKCCRVRLSTGKEAVCFIPGEGHTLQEHHVVLVEGGRTQDLPG VKLKVVRGKYDCGHVQKKK >ENSMUSP00000129492.1 pep:known chromosome:GRCm38:7:28739892:28740821:-1 gene:ENSMUSG00000045948.8 transcript:ENSMUST00000165004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps12 description:mitochondrial ribosomal protein S12 [Source:MGI Symbol;Acc:MGI:1346333] MFLDIAQTSGLALAPQLWAARSMATLNQMHRLGPRKEPPKRLGPTEGRPQLKGVVLRTFI RKPKKPNSANRKCCRVRLSTGKEAVCFIPGEGHTLQEHHVVLVEGGRTQDLPGVKLKVVR GKYDC >ENSMUSP00000087656.5 pep:known chromosome:GRCm38:16:17233572:17263430:1 gene:ENSMUSG00000050240.14 transcript:ENSMUST00000090190.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hic2 description:hypermethylated in cancer 2 [Source:MGI Symbol;Acc:MGI:1929869] MVSGPLALRWCPWAGHRDMGPDMELPSHSKQLLLQLNQQRAKGFLCDVIIMVENSIFRAH KNVLAASSIYFKSLVLHDNLINLDTDMVSSTVFQQILDFIYTGKLLPSDQPSEPNFSTLL TAASYLQLPELAALCRRKLKRAGKPFGPGRVGTAGIGRPTRSQRLSTASVIQARFPGLVD VRKGHPAPQELPQAKGSDDELFLGTSTQESTHGLGLGGPAGGEMGLGGCSTSTNGSSGGC EQELGLDLSKKSPPLPPTTPGPHLTPEDPAQLSDSQRESPAPTSTSALPVGNSASFVELG ATPEEPMDVEGAEENHLSLLEGQGGQPRKSLRHSARKKDWNKKEPVAGSPFDRRETGSKG SCPGEEGEGTGDRVPNGVLASSAGGGGPSASYGEQSFPCKEEEENGKDGSEDSGQSGSEG GSGHTGAHYVYRQEGYETVSYGDNVYVCIPCAKGFPSSEQLNAHVETHTEEELFIKEEGA YETGSGGAEEEAEDLSTPSAAYTADSRPFKCSVCEKTYKDPATLRQHEKTHWLTRPFPCN ICGKMFTQRGTMTRHMRSHLGLKPFACDECGMRFTRQYRLTEHMRVHSGEKPYECQLCGG KFTQQRNLISHLRMHTSPS >ENSMUSP00000111362.2 pep:known chromosome:GRCm38:16:17233664:17261595:1 gene:ENSMUSG00000050240.14 transcript:ENSMUST00000115698.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hic2 description:hypermethylated in cancer 2 [Source:MGI Symbol;Acc:MGI:1929869] MVSGPLALRWCPWAGHRDMGPDMELPSHSKQLLLQLNQQRAKGFLCDVIIMVENSIFRAH KNVLAASSIYFKSLVLHDNLINLDTDMVSSTVFQQILDFIYTGKLLPSDQPSEPNFSTLL TAASYLQLPELAALCRRKLKRAGKPFGPGRVGTAGIGRPTRSQRLSTASVIQARFPGLVD VRKGHPAPQELPQAKGSDDELFLGTSTQESTHGLGLGGPAGGEMGLGGCSTSTNGSSGGC EQELGLDLSKKSPPLPPTTPGPHLTPEDPAQLSDSQRESPAPTSTSALPVGNSASFVELG ATPEEPMDVEGAEENHLSLLEGQGGQPRKSLRHSARKKDWNKKEPVAGSPFDRRETGSKG SCPGEEGEGTGDRVPNGVLASSAGGGGPSASYGEQSFPCKEEEENGKDGSEDSGQSGSEG GSGHTGAHYVYRQEGYETVSYGDNVYVCIPCAKGFPSSEQLNAHVETHTEEELFIKEEGA YETGSGGAEEEAEDLSTPSAAYTADSRPFKCSVCEKTYKDPATLRQHEKTHWLTRPFPCN ICGSEPTPSCPLQSSGMSGNLQQSQR >ENSMUSP00000020835.9 pep:known chromosome:GRCm38:11:85311244:85347066:1 gene:ENSMUSG00000020525.17 transcript:ENSMUST00000020835.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1d description:protein phosphatase 1D magnesium-dependent, delta isoform [Source:MGI Symbol;Acc:MGI:1858214] MAGLYSLGVSVFSDQGGRKYMEDVTQIVVEPEPAAEDKPAPVPRRALGLPATPTLAGVGP SEKGPAAARDPAPDAAASLPAGRCCRRRSSVAFFAVCDGHGGREAAQFAREHLWGFIKKQ KGFTSSEPAKVCAAIRKGFLACHLAMWKKLAEWPKTMTGLPSTSGTTASVVIIRGMKMYV AHVGDSGVVLGIQDDPKDDFVRAVEVTQDHKPELPKERERIEGLGGSVMNKSGVNRVVWK RPRLTHSGPVRRSTVIDQIPFLAVARALGDLWSYDFFSGKFVVSPEPDTSVHTLDPRKHK YIILGSDGLWNMVPPQDAISMCQDQEEKKYLMGEQGQSCAKMLVNRALGRWRQRMLRADN TSAIVICISPEVDNQGNFTNEDELFLNLTDSPTYNSQETCVMTSSPSSTPPIKSPEEDAW PRLSSKDHIPALVRSNAFSEKFLEVPAEIARGNIQTVVMTSKDSETLEENCPKALTLRIH DSLNNTLSVGLIPTNSTNTIMDQKNLKMSTPGQMKAQEVERTPPANFKRTLEESNSGPLM KKHRRNGLSRSSGAQASSLPTASQRRHSVKLTLRRRLRGQRKMGNPLLHQHRKTVCVC >ENSMUSP00000115606.1 pep:known chromosome:GRCm38:11:85312164:85337203:1 gene:ENSMUSG00000020525.17 transcript:ENSMUST00000127717.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1d description:protein phosphatase 1D magnesium-dependent, delta isoform [Source:MGI Symbol;Acc:MGI:1858214] MALARSEWPKTMTGLPSTSGTTASVVIIRGMKMYVAHVGDSGVVLGIQDDPKDDFVRAVE VTQDHKPELPKERERIEGLGGSVMNKSGVNRVVWKRPRLTHSGPVRRSTVIDQIPFLAVA RALGDLWSYDFFSGKFVVSPEPDTSVHTLDPRKHKYIILGSDGLWNMVPP >ENSMUSP00000081225.5 pep:known chromosome:GRCm38:8:14911663:15001085:1 gene:ENSMUSG00000071176.10 transcript:ENSMUST00000084207.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10 description:Rho guanine nucleotide exchange factor (GEF) 10 [Source:MGI Symbol;Acc:MGI:2444453] MEQGEASPPVPAEHEAKCDTSNNEEEGELFDFDSGDEVPEADRQVPSADDRTRGAEAGGA DENTCPAGNGTGAEPAPEAEPAKLVVPTKVNPYSVIDITPLQEDQPSSPDANTEEEGVGL RVPSGYSVPVPCGYAVPSNLPLLLPAYSSPVIIRAESVEEEEAAETVGDGQCNSLSSEDL PHSSEQGSQEGSALARWAADPANTAWMENPEEAIYDDVPRENSDSEPDEMIYDDVENGEE GGNSSPEYGWSSSEFESYEEPSDSEGKNGIPRSFLRSSHKKQLSHDLTRFKAHCEEKMRG LVASTVGAMEIQQAKQRQERKMQKLMKAAKEGTKDGLEKTKAAVKRGGSFIRTRSLVSQD HRCYFEEEQNLFIDVDCKHPEAVLTPMPEGLSQQQVVRRYILGSIVESEKNYVDALRRIL EQYEKPLSEMEPRLLSDRKLRMVFYRVKEILQCHSMFQIALASRVSEWDVVETIGDVFVA SFSKSMVLDAYSEYVNNFSTAVAVLKKTCATKPAFLEFLKLSQDSSPDRVTLHSLMMRPI QRFPQFILLLQDMLKNTAKGHPDRLPLQMALTELETLAEKLNERKRDADQRCEIKQIAKA INERYLNKLLSSGNRYLVRSDDVIETVYNDRGEIVKTKQRRIFMLNDVLMCATASSRNSH ESHAVMSQRYLLKWSVPLGHVDVIQYNGGSGAGEHCRHHAAHSPESLAVVANAKPHKVYM GPGQLYQDLQNLLHDLNVVGQISQLIGNLRGSYQNLNQSVAHDWTSGLQRLILRKEDAIR AADRCRIQLQLPGKQDKSGRPTFFTAVFNTLTPAIKESWVSSLQMAKLALEEENHMGWFC VDDDGNLAKKETHPLLVGHMPVMVAKQPEFKIECAAYNPEPYLSNESQPASPSTARGFLW IGSCSNQMGQVAIVSFQGSNPKVIECFNVESRILCMVYIPAEESKPQETTETKDPEATAS RAPHVPTICLGTEEGSISIYKSSQGCKKVRLQHFYAPDKSTVMSLACSPQGLYAGLVNGS VASYTKAPDGSWNSEPQQVIKLGVLPVRSLLLVEGALWAASGGQVFMASVETHTIENQLE AHQDEGMVISHMAVAGVGIWIAFTSGSTLRLFHTETLKHLQDVNIDAPVHSMLPGHQRLS VTSLLVCHGLLMVGTSLGVVVALPVPRLQGIPKVTGRGMVSYHAHNGPVKFIVSATAFQN KDRARDSPRSGSELQDEDPKDLLCSEEGPSCPGQPDTYTSVWLGDSLGLPTQKNDLSSSS GSLNLSHGSSSLEHRSVDSSLCDLLRDPSASPRSRPQGSRRARASSALVVCGGQGHRRVH RKARQPSQEDLVSSVMVWQIPLLGM >ENSMUSP00000125606.1 pep:known chromosome:GRCm38:8:14911724:14961202:1 gene:ENSMUSG00000071176.10 transcript:ENSMUST00000161162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10 description:Rho guanine nucleotide exchange factor (GEF) 10 [Source:MGI Symbol;Acc:MGI:2444453] MEQGEASPPVPAEHEAKCDTSNNEEEGELFDFDSGDEVPEADRQVPSADDRTRGAEAGGA DENTCPAGNGTGAEPAPEAEPAKLVVPTKVNPYSVIDITPLQEDQPSSPDANTEEEGVGL RVPSGYSVPVPCGYAVPSNLPLLLPAYSSPVIIRAESVEEEEAAETVGDGQCNSLSSEDL PHSEQGSQEGSALARWAADPANTAWMENPEEAIYDDVPRENSDSEPDEMIYDDVENGEEG GNSSPEYGWSSSEFESYEEPSDSEGKNGIPRSFLRSSHKKQLSHDLTRFKAHCEEKMRGL VASTVGAMEIQQAKQRQERKMQKLMKAAKEGTKDGLEKTKAAVKRGGSFIRTRSLVSQDH RCYFEEEQNLFIDVDCKHPEAVLTPMPEGLSQQQVVRRYILGSIVESEKNYVDALRRILE QYEKPLSEMEPRLLSDRKLRMVFYRVKEILQCHSMFQIALASRVSEWDVVETIGDVFVAS FSKSMVLDAYSEYVNNFSTAVAVLKKTCATKPAFLEFLKLSQDSSPDRVTLHSLMMRPIQ RFPQFILLLQDMLKNTAKGHPDRLPLQMALTELETLAEK >ENSMUSP00000106424.2 pep:known chromosome:GRCm38:8:14911738:15001085:1 gene:ENSMUSG00000071176.10 transcript:ENSMUST00000110800.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10 description:Rho guanine nucleotide exchange factor (GEF) 10 [Source:MGI Symbol;Acc:MGI:2444453] MEQGEASPPVPAEHEAKCDTSNNEEEGELFDFDSGDEVPEADRQVPSADDRTRGAEAGGA DENTCPAGNGTGAEPAPEAEPAKLVVPTKVNPYSVIDITPLQEDQPSSPDANTEEEGVGL RVPSGYSVPVPCGYAVPSNLPLLLPAYSSPVIIRAESVEEEEAAETVGDGQCNSLSSEDL PHSSEQGSQEGSALARWAADPANTAWMENPEEAIYDDVPRENSDSEPDEMIYDDVENGEE GGNSSPEYGWSSSEFESYEEPSDSEGKNGIPRSFLRSSHKKQMQKLMKAAKEGTKDGLEK TKAAVKRGGSFIRTRSLVSQDHRCYFEEEQNLFIDVDCKHPEAVLTPMPEGLSQQQVVRR YILGSIVESEKNYVDALRRILEQYEKPLSEMEPRLLSDRKLRMVFYRVKEILQCHSMFQI ALASRVSEWDVVETIGDVFVASFSKSMVLDAYSEYVNNFSTAVAVLKKTCATKPAFLEFL KLSQDSSPDRVTLHSLMMRPIQRFPQFILLLQDMLKNTAKGHPDRLPLQMALTELETLAE KLNERKRDADQRCEIKQIAKAINERYLNKLLSSGNRYLVRSDDVIETVYNDRGEIVKTKQ RRIFMLNDVLMCATASSRNSHESHAVMSQRYLLKWSVPLGHVDVIQYNGGSGAGEHCRHH AAHSPESLAVVANAKPHKVYMGPGQLYQDLQNLLHDLNVVGQISQLIGNLRGSYQNLNQS VAHDWTSGLQRLILRKEDAIRAADRCRIQLQLPGKQDKSGRPTFFTAVFNTLTPAIKESW VSSLQMAKLALEEENHMGWFCVDDDGNLAKKETHPLLVGHMPVMVAKQPEFKIECAAYNP EPYLSNESQPASPSTARGFLWIGSCSNQMGQVAIVSFQGSNPKVIECFNVESRILCMVYI PAEESKPQETTETKDPEATASRAPHVPTICLGTEEGSISIYKSSQGCKKVRLQHFYAPDK STVMSLACSPQGLYAGLVNGSVASYTKAPDGSWNSEPQQVIKLGVLPVRSLLLVEGALWA ASGGQVFMASVETHTIENQLEAHQDEGMVISHMAVAGVGIWIAFTSGSTLRLFHTETLKH LQDVNIDAPVHSMLPGHQRLSVTSLLVCHGLLMVGTSLGVVVALPVPRLQGIPKVTGRGM VSYHAHNGPVKFIVSATAFQNKDRARDSPRSGSELQDEDPKDLLCSEEGPSCPGQPDTYT SVWLGDSLGLPTQKNDLSSSSGSLNLSHGSSSLEHRSVDSSLCDLLRDPSASPRSRPQGS RRARASSALVVCGGQGHRRVHRKARQPSQEDLVSSVMVWQIPLLGM >ENSMUSP00000125526.1 pep:known chromosome:GRCm38:8:14945301:15001082:1 gene:ENSMUSG00000071176.10 transcript:ENSMUST00000163062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgef10 description:Rho guanine nucleotide exchange factor (GEF) 10 [Source:MGI Symbol;Acc:MGI:2444453] AKEGTKDGLEKTKAAVKRGGSFIRTRSLVSQDHRCYFEEEQNLFIDVDCKHPEAVLTPMP EGLSQQQVVRRYILGSIVESEKNYVDALRRILEQYEKPLSEMEPRLLSDRKLRMVFYRVK EILQCHSMFQIALASRVSEWDVVETIGDVFVASFSKSMVLDAYSEYVNNFSTAVAVLKKT CATKPAFLEFLKLSQDSSPDRVTLHSLMMRPIQRFPQFILLLQDMLKNTAKGHPDRLPLQ MALTELETLAEKLNERKRDADQRCEIKQIAKAINERYLNKLLSSGNRYLVRSDDVIETVY NDRGEIVKTKQRRIFMLNDVLMCATASSRNSHESHAVMSQRYLLKWSVPLGHVDVIQYNG GSGAGEHCRHHAAHSPESLAVVANAKPHKVYMGPGQLYQDLQNLLHDLNVVGQISQLIGN LRGSYQNLNQSVAHDWTSGLQRLILRKEDAIRAADRCRIQLQLPGKQDKSGRPTFFTAVF NTLTPAIKESWVSSLQMAKLALEEENHMGWFCVDDDGNLAKKETHPLLVGHMPVMVAKQP EFKIGSCSNQMGQVAIVSFQGSNPKVIECFNVESRILCMVYIPAEESKPQETTETKDPEA TASRAPHVPTICLGTEEGSISIYKSSQGCKKVRLQHFYAPDKSTVMSLACSPQGLYAGLV NGSVASYTKAPDGSWNSEPQQVIKLGVLPVRSLLLVEGALWAASGGQVFMASVETHTIEN QLEAHQDEGMVISHMAVAGVGIWIAFTSGSTLRLFHTETLKHLQDVNIDAPVHSMLPGHQ RLSVTSLLVCHGLLMVGTSLGVVVALPVPRLQGIPKVTGRGMVSYHAHNGPVKFIVSATA FQNKDRARDSPRSGSELQDEDPKDLLCSEEGPSCPGQPDTYTSVWLGDSLGLPTQKNDLS SSSGSLNLSHGSSSLEHRSVDSSLCDLLRDPSASPRSRPQGSRRARASSALVVCGGQGHR RVHRKARQPSQEDLVSSVMVWQIPLLGM >ENSMUSP00000126588.1 pep:known chromosome:GRCm38:2:88972954:88980257:-1 gene:ENSMUSG00000075111.9 transcript:ENSMUST00000168169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13762 description:predicted gene 13762 [Source:MGI Symbol;Acc:MGI:3713316] MQNQTLVTEFLLLGLSQNPKVQKIVFVVFLFIYIATVGGNMIIVVTIICSRALLGSPMYF FLACLSFLDACISSVITPKVTVDLLYEKRTISFEGCMAQVFAVHFFTGVEVIVLISMAYD RYVAICKPLYYSSIMNRRLCGILMGMAWTGGFLHSTIQIVFILCLPFCGPNVIDHFLCDL FPLLKLACTDTYIFVILVFANSGSFCIIIFSLLLISYGVILFSLRTHSTEGRRKALSTCG SHITVVVLFFVPCIIIYARPTSAFFSEKNMFLFATILTPLLNPMIYTFRNKEMKNAIRKI WKKLILDYGIS >ENSMUSP00000017458.3 pep:known chromosome:GRCm38:11:102057015:102088515:-1 gene:ENSMUSG00000017314.12 transcript:ENSMUST00000017458.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp2 description:membrane protein, palmitoylated 2 (MAGUK p55 subfamily member 2) [Source:MGI Symbol;Acc:MGI:1858257] MPVAATNSESAMQQVLDNLGSLPNATGAAELDLIFLRGIMESPIVRSLAKAHERLEETKL EAVRDNNLELVQEILRDLAELAEQSSTAAELARILQEPHFQSLLETHDSVASKTYETPPP SPGLDPTFSNQPVPPDAVRMVGIRKTAGEHLGVTFRVEGGELVIARILHGGMVAQQGLLH VGDIIKEVNGQPVGSDPRALQELLRSASGSVILKILPSYQEPHLPRQVFVKCHFDYDPAR DSLSPCKEAGLRFNAGDLLQIVNQDDANWWQACHVEGGSAGLIPSQLLEEKRKAFVKRDL ELTPTSGTLCGSLSGKKKKRMMYLTTKNAEFDRHELLIYEEVARMPPFRRKTLVLIGAQG VGRRSLKNKLILWDPDRYGTTVPYTSRRPKDSEREGQGYSFVSRGEMEADIRAGRYLEHG EYEGNLYGTRIDSIRGVVASGKVCVLDVNPQAVKVLRTAEFVPYVVFIEAPDYETLRAMN RAALESGVSTKQLTEADLRRTVEESSRIQRGYGHYFDLSLVNSNLERTFRELQTAMEKLR TEPQWVPVSWVY >ENSMUSP00000097967.4 pep:known chromosome:GRCm38:11:102057524:102082484:-1 gene:ENSMUSG00000017314.12 transcript:ENSMUST00000100398.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpp2 description:membrane protein, palmitoylated 2 (MAGUK p55 subfamily member 2) [Source:MGI Symbol;Acc:MGI:1858257] MACSPGSEGSLEGISLGSSEEAELRREAMQQVLDNLGSLPNATGAAELDLIFLRGIMESP IVRSLAKAHERLEETKLEAVRDNNLELVQEILRDLAELAEQSSTAAELARILQEPHFQSL LETHDSVASKTYETPPPSPGLDPTFSNQPVPPDAVRMVGIRKTAGEHLGVTFRVEGGELV IARILHGGMVAQQGLLHVGDIIKEVNGQPVGSDPRALQELLRSASGSVILKILPSYQEPH LPRQVFVKCHFDYDPARDSLSPCKEAGLRFNAGDLLQIVNQDDANWWQACHVEGGSAGLI PSQLLEEKRKAFVKRDLELTPTSGTLCGSLSGKKKKRMMYLTTKNAEFDRHELLIYEEVA RMPPFRRKTLVLIGAQGVGRRSLKNKLILWDPDRYGTTVPYTSRRPKDSEREGQGYSFVS RGEMEADIRAGRYLEHGEYEGNLYGTRIDSIRGVVASGKVCVLDVNPQAVKVLRTAEFVP YVVFIEAPDYETLRAMNRAALESGVSTKQLTEADLRRTVEESSRIQRGYGHYFDLSLVNS NLERTFRELQTAMEKLRTEPQWVPVSWVY >ENSMUSP00000141988.1 pep:known chromosome:GRCm38:3:49892526:50443614:-1 gene:ENSMUSG00000027737.10 transcript:ENSMUST00000194462.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a11 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 11 [Source:MGI Symbol;Acc:MGI:1347355] MVRKPVVATISKGGYLQGNMSGRLPSMGDQEPPGQEKVVLKKKITLLRGVSIIIGTVIGS GIFISPKGILQNTGSVGMSLVFWSACGVLSLFGALSYAELGTSIKKSGGHYTYILEVFGP LLAFVRVWVELLVIRPGATAVISLAFGRYILEPFFIQCEIPELAIKLVTAVGITVVMVLN STSVSWSARIQIFLTFCKLTAILIIIVPGVIQLIKGQTHHFKDAFSGRDTSLMGLPLAFY YGMYAYAGWFYLNFITEEVDNPEKTIPLAICISMAIITVGYVLTNVAYFTTISAEELLQS SAVAVTFSERLLGKFSLAVPIFVALSCFGSMNGGVFAVSRLFYVASREGHLPEILSMIHV HKHTPLPAVIVLHPLTMVMLFSGDLYSLLNFLSFARWLFMGLAVAGLIYLRYKRPDMHRP FKVPLFIPALFSFTCLFMVVLSLYSDPFSTGVGFLITLTGVPAYYLFIVWDKKPKWFRRL SAYYPPEKEG >ENSMUSP00000029297.4 pep:known chromosome:GRCm38:3:50364938:50443611:-1 gene:ENSMUSG00000027737.10 transcript:ENSMUST00000029297.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a11 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 11 [Source:MGI Symbol;Acc:MGI:1347355] MVRKPVVATISKGGYLQGNMSGRLPSMGDQEPPGQEKVVLKKKITLLRGVSIIIGTVIGS GIFISPKGILQNTGSVGMSLVFWSACGVLSLFGALSYAELGTSIKKSGGHYTYILEVFGP LLAFVRVWVELLVIRPGATAVISLAFGRYILEPFFIQCEIPELAIKLVTAVGITVVMVLN STSVSWSARIQIFLTFCKLTAILIIIVPGVIQLIKGQTHHFKDAFSGRDTSLMGLPLAFY YGMYAYAGWFYLNFITEEVDNPEKTIPLAICISMAIITVGYVLTNVAYFTTISAEELLQS SAVAVTFSERLLGKFSLAVPIFVALSCFGSMNGGVFAVSRLFYVASREGHLPEILSMIHV HKHTPLPAVIVLHPLTMVMLFSGDLYSLLNFLSFARWLFMGLAVAGLIYLRYKRPDMHRP FKVPLFIPALFSFTCLFMVVLSLYSDPFSTGVGFLITLTGVPAYYLFIVWDKKPKWFRRL SDRITRTLQIILEVVPEDSKEL >ENSMUSP00000029429.4 pep:known chromosome:GRCm38:3:104945272:104961921:-1 gene:ENSMUSG00000027840.5 transcript:ENSMUST00000029429.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt2b description:wingless-type MMTV integration site family, member 2B [Source:MGI Symbol;Acc:MGI:1261834] MLKLQGEDEAAQLAPRRARVPVPRPTAPDVSPSSARLGLACLLLLLLLTLPARVDTSWWY IGALGARVICDNIPGLVSRQRQLCQRYPDIMRSVGEGAREWIRECQHQFRHHRWNCTTLD RDHTVFGRAMLRSSREAAFVYAISSAGVVHAITRACSQGELSVCSCDPYTRGRHHDQRGD FDWGGCSDNIHYGVRFAKAFVDAKEKRLKDARALMNLHNNRCGRTAVRRFLKLECKCHGV SGSCTLRTCWRALSDFRRTGDYLRRRYDGAVQVTATQDGANFTAARQGYRHATRTDLVYF DNSPDYCVLDKAAGSLGTAGRVCSKTSKGTDGCEIMCCGRGYDTTRVTRVTQCECKFHWC CAVRCKECRNTVDVHTCKAPKKAEWLDQT >ENSMUSP00000109340.2 pep:known chromosome:GRCm38:2:30031546:30048881:-1 gene:ENSMUSG00000039715.8 transcript:ENSMUST00000113711.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr34 description:WD repeat domain 34 [Source:MGI Symbol;Acc:MGI:1919070] MAMCASPRPFRRVGSAGAAALAAGGAGGAERRGRPAPLQDETLGVASVPSQWRSVQGIRG ETKSCQTAGIATAESSAQARTHADAQVQTEAPEEPAAMAPVSQYDTLRLEAFLRRVEAMV IRELNNNWQSHAFDGYEVNWTEQQQTVSCLHTLVYPLAQGQGLHVTGISWNSTGSVLACA YGRLDDGDWSTLKSYVCTWNLDRQGLNPQQPSVVVEVPSAVMCLAFHPTQPSHIAGGLYS GEVLVWDMSRPEDPLLWRTGLTDDTHTDPVYQVLWLPEPRHSHRFQVLSAATDGKVLLWR GSGAGQLRLTKGFALAVQQLPRSTKLKKPPRGETEVGVTSVAFSSFDSSLFVLGTEGGFP LKCSLASEVAALTRMPSSVPLRAPVQFTFSPHGGPVYSVSCSPFHRNLFLSAGTDGHVHL YSMLQAQPLTSLQLSHKYLFAVRWSPVRPLVFAAASGEGDVQLFDLQKSSQKPTVSITQT QDGSPVYCLEFNSQQTQLLAAGDAKGMVKVWQLSTAFTEQGPREVEDLDQLEAEITT >ENSMUSP00000125720.1 pep:known chromosome:GRCm38:X:57383530:57392981:-1 gene:ENSMUSG00000031134.16 transcript:ENSMUST00000140384.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbmx description:RNA binding motif protein, X chromosome [Source:MGI Symbol;Acc:MGI:1343044] MVEADRPGKLFIGGLNTETNEKALEAVFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPA DAKDAARDMNGKSLDGKAIKVEQATKPSFESGRRGLPPPPRSRGPPRGLRGGRGGSGGTR GPPSRGGHMDDGGYSMNFTMSSSRGPLPVKRGPPPRSGGPPPKRSAPSGPVRSSSGLGGR APVSRGRDGYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSREYPSSRDTRDYAPPPRD YTYRDYGHSSSRDDYPSRGYSDRDGYGRDRDYSDHPSGGSYRDSYESYG >ENSMUSP00000096070.2 pep:known chromosome:GRCm38:X:57386030:57393036:-1 gene:ENSMUSG00000031134.16 transcript:ENSMUST00000098470.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbmx description:RNA binding motif protein, X chromosome [Source:MGI Symbol;Acc:MGI:1343044] MVEADRPGKLFIGGLNTETNEKALEAVFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPA DAKDAARDMNGKSLDGKAIKVEQATKPSFESGRRGLPPPPRSRGPPRGLRGGRGGSGGTR GPPSRGGHMDDGGYSMNFTMSSSRGPLPVKRGPPPRSGGPPPKRSAPSGPVRSSSGLGGR APVSRGRDGYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSREYPSSRDTRDYAPPPRD YTYRDYGHSSSRDDYPSRGYSDRDGYGRDRDYSDHPSGGSYRDSYESYGKIPVKDLKLSP A >ENSMUSP00000110378.1 pep:known chromosome:GRCm38:X:57386358:57392962:-1 gene:ENSMUSG00000031134.16 transcript:ENSMUST00000114730.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbmx description:RNA binding motif protein, X chromosome [Source:MGI Symbol;Acc:MGI:1343044] MVEADRPGKLFIGGLNTETNEKALEAVFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPA DAKDAARDMNGKSLDGKAIKVEQATKPSFESGRRGLPPPPRSRGPPRGLRGGRGGSGGTR GPPSRGGHMDDGGYSMNFTMSSSRGPLPVKRGPPPRSGGPPPKRSAPSGPVRSSSGLGGR APVSRGRDGYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSREYPSSRDTRDYAPPPRD YTYRDYGHSSSRDDYPSRGYSDRDGYGRDRDYSDHPSGGSYRDSYESYGNSRSAPPTRGP PPSYGGSSRYDDYSSSRDGYGGSRDSYSSSRSDLYSSGRDRVGRQERGLPPSMERGYPPP RDSYSSSSRGAPRGGGRGGSRSDRGGGRSRY >ENSMUSP00000110374.1 pep:known chromosome:GRCm38:X:57386362:57393036:-1 gene:ENSMUSG00000031134.16 transcript:ENSMUST00000114726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbmx description:RNA binding motif protein, X chromosome [Source:MGI Symbol;Acc:MGI:1343044] MVEADRPGKLFIGGLNTETNEKALEAVFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPA DAKDAARDMNGKSLDGKAIKVEQATKPSFESGRRGLPPPPRSRGPPRGLRGGRGGSGGTR GPPSRGGHMDDGGYSMNFTMSSSRGPLPVKRGPPPRSGGPPPKRSAPSGPVRSSSGLGGR APVSRGRDGYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSREYPSSRDTRDYAPPPRD YTYRDYGHSSSRDDYPSRGYSDRDGYGRDRDYSDHPSGGSYRDSYESYGNSRSAPPTRGP PPSYGGSSRYDDYSSSRDGYGGSRDSYSSSRSDLYSSGRDRVGRQERGLPPSMERGYPPP RDSYSSSSRGAPRGGGRGGSRSDRGGGRSRY >ENSMUSP00000138198.1 pep:known chromosome:GRCm38:X:57386916:57393020:-1 gene:ENSMUSG00000031134.16 transcript:ENSMUST00000143310.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbmx description:RNA binding motif protein, X chromosome [Source:MGI Symbol;Acc:MGI:1343044] MDDGGYSMNFTMSSSRGPLPVKRGPPPRSGGPPPKRSAPSGPVRSSSGLGGRAPVSRGRD GYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSREYPSSRDTRDYAPPPRDYTYRDYGH SSSRDDYPSRGYSDRDGYGRDRDYSDHPSGGSYRDSYESYGNSRSAPPTRGPPPSYGGSS RYDDYSSSRDGYGGSRDSYSSSRSDLYSSGRDRVGRQERGLPPSMERGYPPPRDSYSSSS RGAPRGGGRGGSRSDRGGGRSRY >ENSMUSP00000118121.1 pep:known chromosome:GRCm38:X:57390143:57392797:-1 gene:ENSMUSG00000031134.16 transcript:ENSMUST00000133346.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbmx description:RNA binding motif protein, X chromosome [Source:MGI Symbol;Acc:MGI:1343044] MVEADRPGKLFIGGLNTETNEKALEAVFGKYGRIVEVLLMKDRETNKSRGFAFVTFESPA DAKDAARDMNGKSLDGKAIKVEQATKPSFESGRRGLPPPPRSR >ENSMUSP00000024829.6 pep:known chromosome:GRCm38:17:31057698:31115777:1 gene:ENSMUSG00000024030.6 transcript:ENSMUST00000024829.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcg1 description:ATP-binding cassette, sub-family G (WHITE), member 1 [Source:MGI Symbol;Acc:MGI:107704] MACLMAAFSVGTAMNASSYSAAMTEPKSVCVSVDEVVSSNVDEVETDLLNGHLKKVDNNF TEAQRFSSLPRRAAVNIEFKDLSYSVPEGPWWKKKGYKTLLKGISGKFNSGELVAIMGPS GAGKSTLMNILAGYRETGMKGAVLINGMPRDLRCFRKVSCYIMQDDMLLPHLTVQEAMMV SAHLKLQEKDEGRREMVKEILTALGLLPCANTRTGSLSGGQRKRLAIALELVNNPPVMFF DEPTSGLDSASCFQVVSLMKGLAQGGRSIVCTIHQPSAKLFELFDQLYVLSQGQCVYRGK VSNLVPYLRDLGLNCPTYHNPADFVMEVASGEYGDQNSRLVRAVREGMCDADYKRDLGGD TDVNPFLWHRPAEEDSASMEGCHSFSASCLTQFCILFKRTFLSIMRDSVLTHLRITSHIG IGLLIGLLYLGIGNEAKKVLSNSGFLFFSMLFLMFAALMPTVLTFPLEMSVFLREHLNYW YSLKAYYLAKTMADVPFQIMFPVAYCSIVYWMTSQPSDAVRFVLFAALGTMTSLVAQSLG LLIGAASTSLQVATFVGPVTAIPVLLFSGFFVSFDTIPAYLQWMSYISYVRYGFEGVILS IYGLDREDLHCDIAETCHFQKSEAILRELDVENAKLYLDFIVLGIFFISLRLIAYFVLRY KIRAER >ENSMUSP00000097394.1 pep:known chromosome:GRCm38:2:88972954:88973889:-1 gene:ENSMUSG00000089751.3 transcript:ENSMUST00000099806.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1213 description:olfactory receptor 1213 [Source:MGI Symbol;Acc:MGI:3031047] MQNQTLVTEFLLLGLSQNPKVQKIVFVVFLFIYIATVGGNMIIVVTIICSRALLGSPMYF FLACLSFLDACISSVITPKVTVDLLYEKRTISFEGCMAQVFAVHFFTGVEVIVLISMAYD RYVAICKPLYYSSIMNRRLCGILMGMAWTGGFLHSTIQIVFILCLPFCGPNVIDHFLCDL FPLLKLACTDTYIFVILVFANSGSFCIIIFSLLLISYGVILFSLRTHSTEGRRKALSTCG SHITVVVLFFVPCIIIYARPTSAFFSEKNMFLFATILTPLLNPMIYTFRNKEMKNAIRKI WKKLILDYGIS >ENSMUSP00000119325.1 pep:known chromosome:GRCm38:5:88886818:89239653:1 gene:ENSMUSG00000060961.14 transcript:ENSMUST00000148750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a4 description:solute carrier family 4 (anion exchanger), member 4 [Source:MGI Symbol;Acc:MGI:1927555] MEDEAVLDRGASFLKHVCDEEEVEGHHTIYIGVHVPKSYRRRRRHKRKAGHKEKKEKERI SENYSDKSDVENADESSSSILKPLISPAAERIRFILGEEDDSPAPPQLFTELDELLAVDG QEMEWKETARWIKFEEKVEQGGERWSKPHVATLSLHSLFELRTCMEKGSIMLDREASSLP QLVEMIADHQIETGLLKPDLKDKVTYTLLRKHRHQTKKSNLRSLADIGKTVSSASRMFSN PDNGSPAMTHRNLTSSSLNDISDKPEKDQLKNKFMKKLPRDAEASNVLVGEVDFLDTPFI AFVRLQQAVMLGALTEVPVPTRFLFILLGPKGKAKSYHEIGRAIATLMSDEVFHDIAYKA KDRHDLIAGIDEFLDEVIVLPPGEWDPTIRIEPPKSLPSSDKRKNMYSGGENVQMNGDTP HDGGHGGGGHGDCEELQRTGRFCGGLIKDIKRKAPFFASDFYDALNIQALSAILFIYLAT VTNAITFGGLLGDATDNMQGVLESFLGTAVSGAIFCLFAGQPLTILSSTGPVLVFERLLF NFSKDHNFDYLEFRLWIGLWSAFMCLVLVATDASFLVQYFTRFTEEGFSSLISFIFIYDA FKKMIKLADYYPINSDFKVGYNTHFSCACLPPDPVNLSVSNDTTLAPEDLPTISSTDMYH NVTFDWAYLSKKECVKYGGKLVGNNCDFVPDITLMSFILFLGTYTSSMAMKKFKTSRYFP TTARKLISDFAIILSILIFCVIDALVGVDTPKLIVPSEFKPTSPNRGWFVPPFGGNPWWV CLAAAIPALLVTILIFMDQQITAVIVNRKEHKLKKGAGYHLDLFWVAILMVVCSFMALPW YVAATVISIAHIDSLKMETETSAPGEQPKFLGVREQRVTGTLVFILTGLSVFMAPILKFI PMPVLYGVFLYMGVASLNGVQFMDRLKLLLMPLKHQPDFIYLRHVPLRRVHLFTFLQVLC LALLWILKSTVAAIIFPVMILALVAVRKGMDYLFSQHDLSFLDDVIPEKDKKKKEDEKKK KKKKGSLDSDNDDSDCPYSEKVPSIKIPMDIMEQQPFLSDNKPLDRERSSTFLERHTSC >ENSMUSP00000108844.3 pep:known chromosome:GRCm38:5:88887297:89234867:1 gene:ENSMUSG00000060961.14 transcript:ENSMUST00000113218.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a4 description:solute carrier family 4 (anion exchanger), member 4 [Source:MGI Symbol;Acc:MGI:1927555] MEDEAVLDRGASFLKHVCDEEEVEGHHTIYIGVHVPKSYRRRRRHKRKAGHKEKKEKERI SENYSDKSDVENADESSSSILKPLISPAAERIRFILGEEDDSPAPPQLFTELDELLAVDG QEMEWKETARWIKFEEKVEQGGERWSKPHVATLSLHSLFELRTCMEKGSIMLDREASSLP QLVEMIADHQIETGLLKPDLKDKVTYTLLRKHRHQTKKSNLRSLADIGKTVSSASSPAMT HRNLTSSSLNDISDKPEKDQLKNKFMKKLPRDAEASNVLVGEVDFLDTPFIAFVRLQQAV MLGALTEVPVPTRFLFILLGPKGKAKSYHEIGRAIATLMSDEVFHDIAYKAKDRHDLIAG IDEFLDEVIVLPPGEWDPTIRIEPPKSLPSSDKRKNMYSGGENVQMNGDTPHDGGHGGGG HGDCEELQRTGRFCGGLIKDIKRKAPFFASDFYDALNIQALSAILFIYLATVTNAITFGG LLGDATDNMQGVLESFLGTAVSGAIFCLFAGQPLTILSSTGPVLVFERLLFNFSKDHNFD YLEFRLWIGLWSAFMCLVLVATDASFLVQYFTRFTEEGFSSLISFIFIYDAFKKMIKLAD YYPINSDFKVGYNTHFSCACLPPDPVNLSVSNDTTLAPEDLPTISSTDMYHNVTFDWAYL SKKECVKYGGKLVGNNCDFVPDITLMSFILFLGTYTSSMAMKKFKTSRYFPTTARKLISD FAIILSILIFCVIDALVGVDTPKLIVPSEFKPTSPNRGWFVPPFGGNPWWVCLAAAIPAL LVTILIFMDQQITAVIVNRKEHKLKKGAGYHLDLFWVAILMVVCSFMALPWYVAATVISI AHIDSLKMETETSAPGEQPKFLGVREQRVTGTLVFILTGLSVFMAPILKFIPMPVLYGVF LYMGVASLNGVQFMDRLKLLLMPLKHQPDFIYLRHVPLRRVHLFTFLQVLCLALLWILKS TVAAIIFPVMILALVAVRKGMDYLFSQHDLSFLDDVIPEKDKKKKEDEKKKKKKKGSLDS DNDDSDCPYSEKVPSIKIPMDIMEQQPFLSDNKPLDRERSSTFLERHTSC >ENSMUSP00000121744.1 pep:known chromosome:GRCm38:5:88887299:89235794:1 gene:ENSMUSG00000060961.14 transcript:ENSMUST00000156238.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a4 description:solute carrier family 4 (anion exchanger), member 4 [Source:MGI Symbol;Acc:MGI:1927555] MEDEAVLDRGASFLKHVCDEEEVEGHHTIYIGVHVPKSYRRRRRHKRKAGHKEKKEKERI SENYSDKSDVENADESSSSILKPLISPAAERIRFILGEEDDSPAPPQLFTELDELLAVDG QEMEWKETARWIKFEEKVEQGGERWSKPHVATLSLHSLFELRTCMEKGSIMLDREASSLP QLVEMIADHQIETGLLKPDLKDKVTYTLLRKHRHQTKKSNLRSLADIGKTVSSASRMFSN PDNGSPAMTHRNLTSSSLNDISDKPEKDQLKNKFMKKLPRDAEASNVLVGEVDFLDTPFI AFVRLQQAVMLGALTEVPVPTRFLFILLGPKGKAKSYHEIGRAIATLMSDEVFHDIAYKA KDRHDLIAGIDEFLDEVIVLPPGEWDPTIRIEPPKSLPSSDKRKNMYSGGENVQMNGDTP HDGGHGGGGHGDCEELQRTGRFCGGLIKDIKRKAPFFASDFYDALNIQALSAILFIYLAT VTNAITFGGLLGDATDNMQGVLESFLGTAVSGAIFCLFAGQPLTILSSTGPVLVFERLLF NFSKDHNFDYLEFRLWIGLWSAFMCLVLVATDASFLVQYFTRFTEEGFSSLISFIFIYDA FKKMIKLADYYPINSDFKVGYNTHFSCACLPPDPVNLSVSNDTTLAPEDLPTISSTDMYH NVTFDWAYLSKKECVKYGGKLVGNNCDFVPDITLMSFILFLGTYTSSMAMKKFKTSRYFP TTARKLISDFAIILSILIFCVIDALVGVDTPKLIVPSEFKPTSPNRGWFVPPFGGNPWWV CLAAAIPALLVTILIFMDQQITAVIVNRKEHKLKKGAGYHLDLFWVAILMVVCSFMALPW YVAATVISIAHIDSLKMETETSAPGEQPKFLGVREQRVTGTLVFILTGLSVFMAPILKFI PMPVLYGVFLYMGVASLNGVQFMDRLKLLLMPLKHQPDFIYLRHVPLRRVHLFTFLQVLC LALLWILKSTVAAIIFPVMILALVAVRKGMDYLFSQHDLSFLDDVIPEKDKKKKEDEKKK KKKKGSLDSDNDDEKDPQHSSNATHHADKIPFLESLGLPSPPRTPVKVVPQIRIEREPED NDYLWRSKGTETTL >ENSMUSP00000122975.1 pep:known chromosome:GRCm38:5:88934532:88954947:1 gene:ENSMUSG00000060961.14 transcript:ENSMUST00000144713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a4 description:solute carrier family 4 (anion exchanger), member 4 [Source:MGI Symbol;Acc:MGI:1927555] MNNSLMEDEAVLDRGASFLKHVCDEEEVEGHHTIYIGVHVPKSYRRRRRHKRKAGHKEKK EKERISENYSDKSDVENADESSSSIL >ENSMUSP00000118413.1 pep:known chromosome:GRCm38:5:89027966:89234861:1 gene:ENSMUSG00000060961.14 transcript:ENSMUST00000130041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a4 description:solute carrier family 4 (anion exchanger), member 4 [Source:MGI Symbol;Acc:MGI:1927555] MSTENVEGKPNNLGERGRARSSTFLRVFQPMFNHSIFTSAVSPAAERIRFILGEEDDSPA PPQLFTELDELLAVDGQEMEWKETARWIKFEEKVEQGGERWSKPHVATLSLHSLFELRTC MEKGSIMLDREASSLPQLVEMIADHQIETGLLKPDLKDKVTYTLLRKHRHQTKKSNLRSL ADIGKTVSSASRMFSNPDNGSPAMTHRNLTSSSLNDISDKPEKDQLKNKFMKKLPRDAEA SNVLVGEVDFLDTPFIAFVRLQQAVMLGALTEVPVPTRFLFILLGPKGKAKSYHEIGRAI ATLMSDEVFHDIAYKAKDRHDLIAGIDEFLDEVIVLPPGEWDPTIRIEPPKSLPSSDKRK NMYSGGENVQMNGDTPHDGGHGGGGHGDCEELQRTGRFCGGLIKDIKRKAPFFASDFYDA LNIQALSAILFIYLATVTNAITFGGLLGDATDNMQGVLESFLGTAVSGAIFCLFAGQPLT ILSSTGPVLVFERLLFNFSKDHNFDYLEFRLWIGLWSAFMCLVLVATDASFLVQYFTRFT EEGFSSLISFIFIYDAFKKMIKLADYYPINSDFKVGYNTHFSCACLPPDPVNLSVSNDTT LAPEDLPTISSTDMYHNVTFDWAYLSKKECVKYGGKLVGNNCDFVPDITLMSFILFLGTY TSSMAMKKFKTSRYFPTTARKLISDFAIILSILIFCVIDALVGVDTPKLIVPSEFKPTSP NRGWFVPPFGGNPWWVCLAAAIPALLVTILIFMDQQITAVIVNRKEHKLKKGAGYHLDLF WVAILMVVCSFMALPWYVAATVISIAHIDSLKMETETSAPGEQPKFLGVREQRVTGTLVF ILTGLSVFMAPILKFIPMPVLYGVFLYMGVASLNGVQFMDRLKLLLMPLKHQPDFIYLRH VPLRRVHLFTFLQVLCLALLWILKSTVAAIIFPVMILALVAVRKGMDYLFSQHDLSFLDD VIPEKDKKKKEDEKKKKKKKGSLDSDNDDSDCPYSEKVPSIKIPMDIMEQQPFLSDNKPL DRERSSTFLERHTSC >ENSMUSP00000108842.2 pep:known chromosome:GRCm38:5:89028077:89042362:1 gene:ENSMUSG00000060961.14 transcript:ENSMUST00000113216.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a4 description:solute carrier family 4 (anion exchanger), member 4 [Source:MGI Symbol;Acc:MGI:1927555] MSTENVEGKPNNLGERGRARSSTFLRVFQPMFNHSIFTSAVSPAAERIRFILGEEDDSPA PPQLFTELDELLAVDGQEMEWKETARWIKFEEKVEQGGERWSKPHVATLSLHSLFELRTC MEKGSIMLDREASSLPQLVELLGESRKVIRPAGFIRP >ENSMUSP00000119976.1 pep:known chromosome:GRCm38:5:89028092:89122453:1 gene:ENSMUSG00000060961.14 transcript:ENSMUST00000134303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a4 description:solute carrier family 4 (anion exchanger), member 4 [Source:MGI Symbol;Acc:MGI:1927555] MSTENVEGKPNNLGERGRARSSTFLRVFQPMFNHSIFTSAVSPAAERIRFILGEEDDSPA PPQLFTELDELLAVDGQEMEWKETARWIKFEEKVEQGGERWSKPHVATLSLHSLFELRTC MEKGSIMLDREASSLPQLVEMIADHQIETGLLKPDLKDKVTYTLLRKHRHQTKKSNLRSL ADIGKTVSSASSPAMTHRNLTSSSLNDISDKPEKDQLKNKFMKKLPRDAEASN >ENSMUSP00000100012.3 pep:known chromosome:GRCm38:13:29906575:29985668:-1 gene:ENSMUSG00000016477.17 transcript:ENSMUST00000102948.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f3 description:E2F transcription factor 3 [Source:MGI Symbol;Acc:MGI:1096340] MRKGIQPALEQYLVTAGGGEGAAVVAAAAAASMDKRALLASPGFAAAAAPGTYIQILTTN PSTTSCATSLQSGALTAGPLLPSVPGTEPAASSLYTTPQGPSSRVGLLQQPPAPGRGGGG GPPAKRRLELGESGHQYLSDGLKTPKGKGRAALRSPDSPKTPKSPSEKTRYDTSLGLLTK KFIQLLSQSPDGVLDLNKAAEVLKVQKRRIYDITNVLEGIHLIKKKSKNNVQWMGCSLSE DGGMLAQCQGLSKEVTELSQEEKKLDELIQSCTLDLKLLTEDSENQRLAYVTYQDIRKIS GLKDQTVIVVKAPPETRLEVPDSIESLQIHLASTQGPIEVYLCPEETETHRPMKTNNQDH NGNIPKPTSKDLASNNSGHSDCSVSTANLSPLASPANLLQQTEDQIPSNLEGPFVNLLPP LLQEDYLLSLGEEEGISDLFDAYDLEKLPLVEDFMCS >ENSMUSP00000114152.1 pep:known chromosome:GRCm38:13:29918784:29984219:-1 gene:ENSMUSG00000016477.17 transcript:ENSMUST00000146092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E2f3 description:E2F transcription factor 3 [Source:MGI Symbol;Acc:MGI:1096340] MPLQQQAKRRLELGESGHQYLSDGLKTPKGKGRAALRSPDSPKTPKS >ENSMUSP00000145284.1 pep:known chromosome:GRCm38:10:129842020:129845997:-1 gene:ENSMUSG00000049052.3 transcript:ENSMUST00000203571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr812 description:olfactory receptor 812 [Source:MGI Symbol;Acc:MGI:3030646] MKNQSGELEFILLGLTDDPQLQILIFLFLFFNYILSMMGNLTIILLTLLDPHLKTPMYFF LRNFSFIEIAFTTVCIPRFLISILSGDRTISYNACAAQLFFVFLLGSTEFYLLAAMSYDR YVAICRPLHYPIIMNSKVCHLLVLSSWVTGFLIIFPPLLLGLKLDFCTSGAMDHFLCDPS PVLQLSCTDTQLIELMTFVLAIMTLIITLILVILSYTLIIKTILKFPSAQQRRKAFSTCS SHMVVVSITYGSCIFMYVKTSAKERVTLNKGVAVLNTSVAPLLNPFIYTLRNQQVKDAFK HMLHRFCTKQ >ENSMUSP00000053359.2 pep:known chromosome:GRCm38:10:129842020:129843062:-1 gene:ENSMUSG00000049052.3 transcript:ENSMUST00000057775.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr812 description:olfactory receptor 812 [Source:MGI Symbol;Acc:MGI:3030646] MKNQSGELEFILLGLTDDPQLQILIFLFLFFNYILSMMGNLTIILLTLLDPHLKTPMYFF LRNFSFIEIAFTTVCIPRFLISILSGDRTISYNACAAQLFFVFLLGSTEFYLLAAMSYDR YVAICRPLHYPIIMNSKVCHLLVLSSWVTGFLIIFPPLLLGLKLDFCTSGAMDHFLCDPS PVLQLSCTDTQLIELMTFVLAIMTLIITLILVILSYTLIIKTILKFPSAQQRRKAFSTCS SHMVVVSITYGSCIFMYVKTSAKERVTLNKGVAVLNTSVAPLLNPFIYTLRNQQVKDAFK HMLHRFCTKQ >ENSMUSP00000018842.6 pep:known chromosome:GRCm38:11:84518284:84525535:-1 gene:ENSMUSG00000018698.15 transcript:ENSMUST00000018842.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx1 description:LIM homeobox protein 1 [Source:MGI Symbol;Acc:MGI:99783] MVHCAGCKRPILDRFLLNVLDRAWHVKCVQCCECKCNLTEKCFSREGKLYCKNDFFRCFG TKCAGCAQGISPSDLVRRARSKVFHLNCFTCMMCNKQLSTGEELYIIDENKFVCKEDYLS NSSVAKENSLHSATTGSDPSLSPDSQDPSQDDAKDSESANVSDKEGGSNENDDQNLGAKR RGPRTTIKAKQLETLKAAFAATPKPTRHIREQLAQETGLNMRVIQVWFQNRRSKERRMKQ LSALGARRHAFFRSPRRMRPLVDRLEPGELIPNGPFSFYGDYQSEYYGPGGNYDFFPQGP PSSQAQTPVDLPFVPSSGPSGTPLGGLDHPLPGHHPSSEAQRFTDILAHPPGDSPSPEPS LPGPLHSMSAEVFGPSPPFSSLSVNGGASYGNHLSHPPEMNEAAVW >ENSMUSP00000138899.2 pep:known chromosome:GRCm38:11:84519442:84522331:-1 gene:ENSMUSG00000018698.15 transcript:ENSMUST00000184646.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx1 description:LIM homeobox protein 1 [Source:MGI Symbol;Acc:MGI:99783] MMCNKQLSTGEELYIIDENKFVCKEDYLSNSSVAKENSLHSATTGSDPSLSPDSQDPSQD DAKDSESANVSDKEGGSNENDDQNLGAKRRGPRTTIKAKQLETLKAAFAATPKPTRHIRE QLAQETGLNMRVIQVWFQNRRSKERRMKQLSALGARRHAFFRSPRRMRPLVDRLEPGELI PNGPFSFYGDYQSEYYGPGGNYDFFPQGPPSSQAQTPVDLPFVPSSGPSGTPLGGLDHPL PGHHPSSEAQRFTDILAHPPGDSPSPEPSLPGPLHSMSAEVFGPSPPFSSLSVNGGASYG NHLSHPPEMNEAAVW >ENSMUSP00000090503.4 pep:known chromosome:GRCm38:11:84521896:84522711:-1 gene:ENSMUSG00000018698.15 transcript:ENSMUST00000092827.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhx1 description:LIM homeobox protein 1 [Source:MGI Symbol;Acc:MGI:99783] MVKERQSKRKIAGCFGTKCAGCAQGISPSDLVRRARSKVFHLNCFTCMMCNKQLSTGEEL YIIDENKFVCKEDYLSNSSVAKENSLHSATTGSDPSLSPDSQDPSQDDAKDS >ENSMUSP00000088322.5 pep:known chromosome:GRCm38:2:73271925:73277471:1 gene:ENSMUSG00000068859.5 transcript:ENSMUST00000090813.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sp9 description:trans-acting transcription factor 9 [Source:MGI Symbol;Acc:MGI:3574660] MATSILGEEPRFGTTPLAMLAATCNKIGNTSPLTTLPESSAFAKGGFHPWKRSSSSCNLG SSLSGFAVATGGRGSGSLAGGSGAANSAFCLASTSPTSSAFSSDYGGLFSNSAAAAAAAA GVSPQEAGGQSAFISKVHTTAADGLYPRVGMAHPYESWYKSGFHSTLAAGEVTNGAASSW WDVHSSPGSWLEVQNPAGGLQSSLHSGAPQASLHSQLGTYNPDFSSLTHSAFSSTGLGSS AAAASHLLSTSQHLLAQDGFKPVLPSYSDSSAAVAAAAASAMISGAAAAAAGGSSARSAR RYSGRATCDCPNCQEAERLGPAGASLRRKGLHSCHIPGCGKVYGKTSHLKAHLRWHTGER PFVCNWLFCGKRFTRSDELQRHLRTHTGEKRFACPVCNKRFMRSDHLSKHIKTHNGGGGG KKGSDSDTDASNLETPRSESPDLILHDSGVSAARAAAAAAAAAAAAAAAASAGGKEAATG PNDS >ENSMUSP00000081514.5 pep:known chromosome:GRCm38:4:74013444:74189928:1 gene:ENSMUSG00000049122.17 transcript:ENSMUST00000084474.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd3 description:FERM domain containing 3 [Source:MGI Symbol;Acc:MGI:2442466] MFASCHCAPRGRRTMKMIHFRSSSIKSLNQEMKCTIRLLDDSEVSCHIQRETKGQFLIEY ICNYYSLLEKDYFGIRYVDPEKQRHWLEPNKSIFKQMKSHPPYTMCFRVKFYPHEPLKIK EELTRYLLYLQIKRDIFHGRLLCSFSDAAYLGACIVQAEFGDYYPDEHPENYISEFEIFP KQSQKLERKIMEIHNNELRGQSPAIAEFNLLLKAHTLETYGVDPHPCKDSRGATAFLGFT AAGFVVFQGNKRIHLRKWSDVCKLKFEGKTFYVIGSQKEKNAVLAFHTSTPAACKHLWKC GVENQAFYKYAKSSQIKTVSSSKIFFKGSRFRYSGKVAKEVVEASSKIQRDPPEVHRVNI TQSRSFHSLNKQLIINMEPLQPLLPSPTEQEEEVPVGEGVPLPKMDVSEPLIASSPVKGA QCADPPDEEEDRVKEDPLTISELAYNPSASLLPTPVDDDEINMLFDCPSRLELEREDTDS FEELEADENAFLIAEEEELKEARQALSWSYSILTGHIWVNPLVKSFSRLLVVGLGLLLFV FPLLLLLLESGIDLSFLCEIRQTPEFEQFHYEYYCPLKEWVAGKVNIVLYMLGCS >ENSMUSP00000095615.2 pep:known chromosome:GRCm38:4:74013442:74202214:1 gene:ENSMUSG00000049122.17 transcript:ENSMUST00000098006.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmd3 description:FERM domain containing 3 [Source:MGI Symbol;Acc:MGI:2442466] MFASCHCAPRGRRTMKMIHFRSSSIKSLNQEMKCTIRLLDDSEVSCHIQRETKGQFLIEY ICNYYSLLEKDYFGIRYVDPEKQRHWLEPNKSIFKQMKSHPPYTMCFRVKFYPHEPLKIK EELTRYLLYLQIKRDIFHGRLLCSFSDAAYLGACIVQAEFGDYYPDEHPENYISEFEIFP KQSQKLERKIMEIHNNELRGQSPAIAEFNLLLKAHTLETYGVDPHPCKDSRGATAFLGFT AAGFVVFQGNKRIHLRKWSDVCKLKFEGKTFYVIGSQKEKNAVLAFHTSTPAACKHLWKC GVENQAFYKYAKSSQIKTVSSSKIFFKGSRFRYSGKVAKEVVEASSKIQRDPPEVHRVNI TQSRSFHSLNKQLIINMEPLQPLLPSPTEQEEEVPVGEGVPLPKMDVSEPLIASSPVKGA QCADPPDEEEDRVKEDPLTISELAYNPSASLLPTPVDDDEINMLFDCPSRLELEREDTDS FEELEADENAFLIAEEEELKEARQALSWSYSILTGHIWVNPLVKSFSRLLVVGLGLLLFV FPLLLLLLESVSMP >ENSMUSP00000099031.3 pep:known chromosome:GRCm38:6:40401375:40436135:-1 gene:ENSMUSG00000037172.14 transcript:ENSMUST00000101492.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330009J07Rik description:RIKEN cDNA E330009J07 gene [Source:MGI Symbol;Acc:MGI:2444256] MVEQGDAAPLLRWAEGPAVSVPQDPALQAGGWVRGGSGEGRVAAEAPRRREPDEPAPPEV LLQPGRLELGDVEEDQVVAVFVVTFDPRSGNMVEWCLPQDIDLEGVEFKSMASGSHKVQS DFIYFRKGPFFGLACFANMPVESELERGARMKSVGILSPSYTLLYRYMHFLENQVRHQLE MPGHYSHLAAFYEDKKGVLHAGPGRGGSLPPVYWLPSIHRYMYPEMKITHPAGCMSQFIK FFGEQILILWKFALLRKRILIFSPPPVGVVCYRVYCCCCLANVSLPGIGGTIPESKPFFY VNVADIESLEVEVSYVACTTEKIFEEKRELYDVYVDNQNVKTHHDHLQPLLKINSADREK YRRLNEQRQMLLYSQEVEGDYSPCEEDLFVLFFLEQNNRIFQTLLEVSASQDKTLTAEHA RGMGLDPQGDRSFLMDLLEAYGIDVMLVIDNPCCP >ENSMUSP00000045103.6 pep:known chromosome:GRCm38:6:40407500:40436133:-1 gene:ENSMUSG00000037172.14 transcript:ENSMUST00000039008.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330009J07Rik description:RIKEN cDNA E330009J07 gene [Source:MGI Symbol;Acc:MGI:2444256] MVEQGDAAPLLRWAEGPAVSVPQDPALQAGGWVRGGSGEGRVAAEAPRRREPDEPAPPEV LLQPGRLELGDVEEDQVVAVFVVTFDPRSGNMVEWCLPQDIDLEGVEFKSMASGSHKVQS DFIYFRKGPFFGLACFANMPVESELERGARMKSVGILSPSYTLLYRYMHFLENQVRHQLE MPGHYSHLAAFYEDKKGVLHAGPGRGGSLPPVYWLPSIHRYMYPEMKITHPAGCMSQFIK FFGEQILILWKFALLRKRILIFSPPPVGVVCYRVYCCCCLANVSLPGIGGTIPESKPFFY VNVADIESLEVEVSYVACTTEKIFEEKRELYDVYVDNQNVKTHHDHLQPLLKINSADREK YRRLNEQRQMLLYSQEVEGDYSPCEEDLFVL >ENSMUSP00000143916.1 pep:known chromosome:GRCm38:6:40418546:40431408:-1 gene:ENSMUSG00000037172.14 transcript:ENSMUST00000201712.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E330009J07Rik description:RIKEN cDNA E330009J07 gene [Source:MGI Symbol;Acc:MGI:2444256] NLSWAAGDSPALWFQAARNMVEWCLPQDIDLEGVEFKSMASGSHKVQSDFIYFRKGPFFG LACFANMPVESELERGARMKSVGILSPSYTLLYRYMHFLENQVRHQLEMPGHYSHLAAFY EDKKGVLHAGPGRGGSL >ENSMUSP00000034840.3 pep:known chromosome:GRCm38:9:59578337:59607292:1 gene:ENSMUSG00000032297.11 transcript:ENSMUST00000034840.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf6 description:CUGBP, Elav-like family member 6 [Source:MGI Symbol;Acc:MGI:1923433] MAAAPGGSAPPAGPSPRLAFSTADSGGGMSGLNPGPAVPMKDHDAIKLFVGQIPRGLDEQ DLKPLFEEFGRIYELTVLKDRLTGLHKGCAFLTYCARDSALKAQSALHEQKTLPGMNRPI QVKPAASEGRGEDRKLFVGMLGKQQGEEDVRRLFQPFGHIEECTVLRSPDGTSKGCAFVK FGSQGEAQAAIQGLHGSRTMTGASSSLVVKLADTDRERALRRMQQMAGQLGAFHPAPLPL GACGAYTTAILQHQAALLAAAQGPGLGQVAAVAAQMQHVAAFSLVAAPLLPAAANTSPGG NGPGALPGLPAPMGVNGFGSLTPQSNGQPGSDTLYNNGVSPYPAAYPSAYAPASTAFSQQ PSALPQQQREGPEGCNLFIYHLPQEFGDAELIQTFLPFGAVVSAKVFVDRATNQSKCFGF VSFDNPTSAQTAIQAMNGFQIGMKRLKVQLKRPKDANRPY >ENSMUSP00000113196.1 pep:known chromosome:GRCm38:9:59589288:59607291:1 gene:ENSMUSG00000032297.11 transcript:ENSMUST00000121266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf6 description:CUGBP, Elav-like family member 6 [Source:MGI Symbol;Acc:MGI:1923433] MNRPIQVKPAASEGRGEDRKLFVGMLGKQQGEEDVRRLFQPFGHIEECTVLRSPDGTSKG CAFVKFGSQGEAQAAIQGLHGSRTMTGASSSLVVKLADTDRERALRRMQQMAGQLGAFHP APLPLGACGAYTTAILQHQAALLAAAQGPGLGQVAAVAAQMQHVAAFSLVAAPLLPAAAN TSPGGNGPGALPGLPAPMGVNGFGSLTPQSNGQPGSDTLYNNGVSPYPAAYPSAYAPAST AFSQQPSALPQQQREGPEGCNLFIYHLPQEFGDAELIQTFLPFGAVVSAKVFVDRATNQS KCFGFVSFDNPTSAQTAIQAMNGFQIGMKRLKVQLKRPKDANRPY >ENSMUSP00000112403.1 pep:known chromosome:GRCm38:9:59589339:59606318:1 gene:ENSMUSG00000032297.11 transcript:ENSMUST00000118164.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf6 description:CUGBP, Elav-like family member 6 [Source:MGI Symbol;Acc:MGI:1923433] MNRPIQVKPAASEGRGEDRKLFVGMLGKQQGEEDVRRLFQPFGHIEECTVLRSPDGTSKG CAFVKFGSQGEAQAAIQGLHGSRTMTGASSSLVVKLADTDRERALRRMQQMAGQLGAFHP APLPLGACGAYTTAILQHQAALLAAAQGPGLGQVAAVAAQMQHVAAFSLVAAPLLPAAAN TSPGGNGPGALPGLPAPMGVNGFGSLTPQSNGQPGSDTLYNNGVSPYPAYPSAYAPASTA FSQQPSALPQQQREGPEGCNLFIYHLPQEFGDAELIQTFLPFGAVVSAKVFVDRATNQSK CFGFVSFDNPTSAQTAIQAMNGFQIGMKRLKVQLKRPKDANRPY >ENSMUSP00000118556.1 pep:known chromosome:GRCm38:9:59577917:59607292:1 gene:ENSMUSG00000032297.11 transcript:ENSMUST00000143916.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Celf6 description:CUGBP, Elav-like family member 6 [Source:MGI Symbol;Acc:MGI:1923433] MAAAPGGSAPPAGPSPRLAFSTADSGGGMSGLNPGPAVPMKDHDAIKLFVGQIPRGLDEQ DLKPLFEEFGRIYELTVLKDRLTGLHKGCAFLTYCARDSALKAQSALHEQKTLPGMNRPI QVKPAASEGRGEDRKLFVGMLGKQQGEEDVRRLFQPFGHIEECTVLRSPDGTSKGCLLQP GG >ENSMUSP00000112755.1 pep:known chromosome:GRCm38:9:59578192:59607292:1 gene:ENSMUSG00000032297.11 transcript:ENSMUST00000118549.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf6 description:CUGBP, Elav-like family member 6 [Source:MGI Symbol;Acc:MGI:1923433] MAAAPGGSAPPAGPSPRLAFSTADSGGGMSGLNPGPAVPMKDHDAIKLFVGQIPRGLDEQ DLKPLFEEFGRIYELTVLKDRLTGLHKGCAFLTYCARDSALKAQSALHEQKTLPGMNRPI QVKPAASEGRGEDRKLFVGMLGKQQGEEDVRRLFQPFGHIEECTVLRSPDGTSKGCAFVK FGSQGEAQAAIQGLHGSRTMTGASSSLVVKLADTDRERALRRMQQMAGQLGAFHPAPLPL GACGAYTTAILQHQAALLAAAQGPGLGQVAAVAAQMQHVAAFSLVAAPLLPAAANTSPGG NGPGALPGLPAPMGVNGFGSLTPQSNGQPGSDTLYNNGVSPYPAKSPSVADPLQQAYAGI HHYAAAYPSAYAPASTAFSQQPSALPQQQREGPEGCNLFIYHLPQEFGDAELIQTFLPFG AVVSAKVFVDRATNQSKCFGFVSFDNPTSAQTAIQAMNGFQIGMKRLKVQLKRPKDANRP Y >ENSMUSP00000122167.1 pep:known chromosome:GRCm38:9:59578192:59607292:1 gene:ENSMUSG00000032297.11 transcript:ENSMUST00000129357.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Celf6 description:CUGBP, Elav-like family member 6 [Source:MGI Symbol;Acc:MGI:1923433] MAAAPGGSAPPAGPSPRLAFSTADSGGGMSGLNPGPAVPMKDHDAIKLFVGQIPRGLDEQ DLKPLFEEFGRIYELTVLKDRLTGLHKGCAFLTYCARDSALKAQSALHEQKTLPGRTGSC LWGCWASSRVRRMSDVCSSPSAISRSALSCGVRTVPVKAVPL >ENSMUSP00000140091.1 pep:known chromosome:GRCm38:1:12866549:12992650:-1 gene:ENSMUSG00000025938.16 transcript:ENSMUST00000188454.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco5a1 description:solute carrier organic anion transporter family, member 5A1 [Source:MGI Symbol;Acc:MGI:2443431] MDEGDAQSRAQQQLEAPSSVGAVQEKCESESFRSKSLPVLHSASCRQNFSAGNADGKLAL GCNEAPGRQETHLGRNLIVPSPSAPSTSAGTTTELMDCNHRMDPSKTVSVSSTLATLQER RCLYVVLTDSRCFLVCMCFLTFIQALMVSGYLSSVITTIERRYSLKSSESGLLVSCFDIG NLVVVVFVSYFGGRGRRPLWLAVGGLLIAIGAALFALPHFISPPYQIQELNASASNDGLC QNGNSTAILEPPPCPKDSGGNSHWIYVALFVCAQVLIGMGSTPIYTLGPTYLDDNVKKDN ASLYLAIMYVMGALGPAVGYLLGGLLIGFYVDPRNPVHLDQSDPRFIGNWWSGFLLCAIA MFLVIFPMFTFPKKLPPRHKKKKKFSADVVIDDDIIKEKSNTSEQMNKKVSPMGFGKNVR DLPRAAVRILSNMTFLFVSLSYTAESAIVTAFITFIPKFIESQFGIPASNASIYTGVIIV PSAGVGIVLGGYIIKKLKLGARESAKLAMICSGVSLLCFSTLFIVGCESINLGGINIPYT TGPSLTMPHRNLTGSCNVNCGCKIHEYEPVCGSDGITYFNPCLAGCINSGNLTTGVRNYT ECTCVQSRQVITPPTVGQRSQLRVVIVKTYLNENGYAVSGKCKRTCNTLIPFLVFLFIVT FITACAQPSAIIVTLRSVEDEERPFALGMQFVLLRTLAYIPTPIYFGAVIDTTCMLWQQE CGVQGSCWEYNVTSFRFVYFGLAAGLKFVGFIFIFLAWYSIKYKEDGLQRRRCREFPLST VSEQVGQPSKAEKYSRTTSCPAFSTQGEVHEETALQKGFPCTTQTYPGPFSEAVSSSADP GQDESPDAAL >ENSMUSP00000111061.1 pep:known chromosome:GRCm38:1:12871348:12991177:-1 gene:ENSMUSG00000025938.16 transcript:ENSMUST00000115402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco5a1 description:solute carrier organic anion transporter family, member 5A1 [Source:MGI Symbol;Acc:MGI:2443431] MDEGDAQSRAQQQLEAPSSVGAVQEKCESESFRSKSLPVLHSASCRQNFSAGNADGKLAL GCNEAPGRQETHLGRNLIVPSPSAPSTSAGTTTELMDCNHRMDPSKTVSVSSTLATLQER RCLYVVLTDSRCFLVCMCFLTFIQALMVSGYLSSVITTIERRYSLKSSESGLLVSCFDIG NLVVVVFVSYFGGRGRRPLWLAVGGLLIAIGAALFALPHFISPPYQIQELNASASNDGLC QNGNSTAILEPPPCPKDSGGNSHWIYVALFVCAQVLIGMGSTPIYTLGPTYLDDNVKKDN ASLYLAIMYVMGALGPAVGYLLGGLLIGFYVDPRNPVHLDQSDPRFIGNWWSGFLLCAIA MFLVIFPMFTFPKKLPPRHKKKKKFSADVVIDDDIIKEKSNTSEQMNKKVSPMGFGKNVR DLPRAAVRILSNMTFLFVSLSYTAESAIVTAFITFIPKFIESQFGIPASNASIYTGVIIV PSAGVGIVLGGYIIKKLKLGARESAKLAMICSGVSLLCFSTLFIVGCESINLGGINIPYT TGPSLTMPHRNLTGSCNVNCGCKIHEYEPVCGSDGITYFNPCLAGCINSGNLTTGVRNYT ECTCVQSRQVITPPTVGQRSQLRVVIVKTYLNENGYAVSGKCKRTCNTLIPFLVFLFIVT FITACAQPSAIIVTLSIHSYSNLLWSCD >ENSMUSP00000139533.1 pep:known chromosome:GRCm38:1:12878595:12991135:-1 gene:ENSMUSG00000025938.16 transcript:ENSMUST00000136197.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco5a1 description:solute carrier organic anion transporter family, member 5A1 [Source:MGI Symbol;Acc:MGI:2443431] MDEGDAQSRAQQQLEAPSSVGAVQEKCESESFRSKSLPVLHSASCRQNFSAGNADGKLAL GCNEAPGRQETHLGRNLIVPSPSAPSTSAGTTTELMDCNHRMDPSKTVSVSSTLATLQER RCLYVVLTDSRCFLVCMCFLTFIQALMVSGYLSSVITTIERRYSLKSSESGLLVSCFDIG NLVVVVFVSYFGGRGRRPLWLAVGGLLIAIGAALFALPHFISPPYQIQELNASASNDGLC QNGNSTAILEPPPCPKDSGGNSHWIYVALFVCAQVLIGMGSTPIYTLGPTYLDDNVKKDN ASLYLAIMYVMGALGPAVGYLLGGLLIGFYVDPRNPVHLDQSDPRFIGNWWSGFLLCAIA MFLVIFPMFTFPKKLPPRHKKKKKFSADVVIDDDIIKEKSNTSEQMNKKVSPMGFGKNVR DLPRAAVRILSNMTFLFVSLSYTAESAIVTAFITFIPKFIESQFGIPASNASIYTGVIIV PSAGVGIVLGGYIIKKLKLGARESAKLAMICSGVSLLCFSTLFIVGCESINLGGINIPYT TGPSLTMPHRNLTGSCNVNCGCKIHEYEPVCGSDGITYFNPCLAGCINSGNLTTGVSRRP TLFFYCEASIMRQVMGPQAPMMTSVSLVHEAPNSPPGTSEKPLPRQKIVQGYYFCQMC >ENSMUSP00000139586.1 pep:known chromosome:GRCm38:1:12879683:12990914:-1 gene:ENSMUSG00000025938.16 transcript:ENSMUST00000146763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco5a1 description:solute carrier organic anion transporter family, member 5A1 [Source:MGI Symbol;Acc:MGI:2443431] MDEGDAQSRAQQQLEAPSSVGAVQEKCESESFRSKSLPVLHSASCRQNFSAGNADGKLAL GCNEAPGRQETHLGRNLIVPSPSAPSTSAGTTTELMDCNHRMDPSKTVSVSSTLATLQER RCLYVVLTDSRCFLVCMCFLTFIQALMVSGYLSSVITTIERRYSLKSSESGLLVSCFDIG NLVVVVFVSYFGGRGRRPLWLAVGGLLIAIGAALFALPHFISPPYQIQELNASASNDGLC QNGNSTAILEPPPCPKDSGGNSHWIYVALFVCAQVLIGMGSTPIYTLGPTYLDDNVKKDN ASLYLAIMYVMGALGPAVGYLLGGLLIGFYVDPRNPVHLDQSDPRFIGNWCHHCPQCRCW YCPGRLHHKKTEAWCKRICKASHDLQRGFSAVLLHPVHCWL >ENSMUSP00000140658.1 pep:known chromosome:GRCm38:1:12879683:12990914:-1 gene:ENSMUSG00000025938.16 transcript:ENSMUST00000147606.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco5a1 description:solute carrier organic anion transporter family, member 5A1 [Source:MGI Symbol;Acc:MGI:2443431] MDEGDAQSRAQQQLEAPSSVGAVQEKCESESFRSKSLPVLHSASCRQNFSAGNADGKLAL GCNEAPGRQETHLGRNLIVPSPSAPSTSAGTTTELMDCNHRMDPSKTVSVSSTLATLQER RCLYVVLTDSRCFLVCMCFLTFIQALMVSGYLSSVITTIERRYSLKSSESGLLVSCFDIG NLVVVVFVSYFGGRGRRPLWLAVGGLLIAIGAALFALPHFISPPYQIQELNASASNDGLC QNGNSTAILEPPPCPKDSGGNSHWIYVALFVCAQVLIGMGSTPIYTLGPTYLDDNVKKDN ASLYLAIMYVMGALGPAVGYLLGGLLIGFYVDPRNPVHLDQSDPRFIGNWWSGFLLCAIA MFLVIFPMFTFPKKLPPRHKKKKKFSADVVIDDDIIKEKSNTSEQMNKKVSPMGFGKNVR DLPRAAVRILSNMTFLFVSLSYTAESAIVTAFITFIPKFIESQFGIPASNASIYTDLL >ENSMUSP00000111062.2 pep:known chromosome:GRCm38:1:12866552:12991135:-1 gene:ENSMUSG00000025938.16 transcript:ENSMUST00000115403.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slco5a1 description:solute carrier organic anion transporter family, member 5A1 [Source:MGI Symbol;Acc:MGI:2443431] MDEGDAQSRAQQQLEAPSSVGAVQEKCESESFRSKSLPVLHSASCRQNFSAGNADGKLAL GCNEAPGRQETHLGRNLIVPSPSAPSTSAGTTTELMDCNHRMDPSKTVSVSSTLATLQER RCLYVVLTDSRCFLVCMCFLTFIQALMVSGYLSSVITTIERRYSLKSSESGLLVSCFDIG NLVVVVFVSYFGGRGRRPLWLAVGGLLIAIGAALFALPHFISPPYQIQELNASASNDGLC QNGNSTAILEPPPCPKDSGGNSHWIYVALFVCAQVLIGMGSTPIYTLGPTYLDDNVKKDN ASLYLAIMYVMGALGPAVGYLLGGLLIGFYVDPRNPVHLDQSDPRFIGNWWSGFLLCAIA MFLVIFPMFTFPKKLPPRHKKKKKFSADVVIDDDIIKEKSNTSEQMNKKVSPMGFGKNVR DLPRAAVRILSNMTFLFVSLSYTAESAIVTAFITFIPKFIESQFGIPASNASIYTGVIIV PSAGVGIVLGGYIIKKLKLGARESAKLAMICSGVSLLCFSTLFIVGCESINLGGINIPYT TGPSLTMPHRNLTGSCNVNCGCKIHEYEPVCGSDGITYFNPCLAGCINSGNLTTGVRNYT ECTCVQSRQVITPPTVGQRSQLRVVIVKTYLNENGYAVSGKCKRTCNTLIPFLVFLFIVT FITACAQPSAIIVTLRSVEDEERPFALGMQFVLLRTLAYIPTPIYFGAVIDTTCMLWQQE CGVQGSCWEYNVTSFRFVYFGLAAGLKFVGFIFIFLAWYSIKYKEDGLQRRRCREFPLST VSEQVGQPSKAEKYSRTTSCPAFSTQGEVHEETALQKGFPCTTQTYPGPFSEAVSSSADP GQDESPDAAL >ENSMUSP00000025682.5 pep:known chromosome:GRCm38:19:34067358:34084918:1 gene:ENSMUSG00000024770.14 transcript:ENSMUST00000025682.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipn description:lipase, family member N [Source:MGI Symbol;Acc:MGI:1917416] MPMMWLFLTTACLIPGTLSAGGFLDFENKVNPEVWMNASEIIMYNGYPSEEYDVTTADGY ILAINRIPHGRAQTGQTGPRPVVYMQHALFADNAYWLENFANGSLGFILADAGYDVWMGN SRGNTWSRRHKTLSANEEKFWAFSFNEMAKYDLPGIIDFIVNKTGQEKLYFIGHSLGTTI GFVAFSTMPELAQRIKMNFALGPVISFKYPTSVFTNLFLLPKSIIKLVFGTKGVLLEDKN ARMSFITFCNQKLLQPLCSEFMSLWAGFNKKNMNMSRLDVYMAHAPTGSSIQNMLHIKQL YRSDEFRAYDWGSEAENMNHYNQSYPPLYDLTAMKVPTAIWAGGHDVLVTPQDVARILPQ ITNLRYFKQFPDWNHFDFVWGLDAPQRLYSKIISLMKEYF >ENSMUSP00000120184.1 pep:known chromosome:GRCm38:19:34067529:34081158:1 gene:ENSMUSG00000024770.14 transcript:ENSMUST00000148821.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lipn description:lipase, family member N [Source:MGI Symbol;Acc:MGI:1917416] MPMMWLFLTTACLIPGTLSAGGFLDFENKVNPEVWMNASEIIMYNGYPSEEYDVTTADGY ILAINRIPHGRAQTGQTASMKWPNMTSQG >ENSMUSP00000114551.1 pep:known chromosome:GRCm38:19:34067378:34073627:1 gene:ENSMUSG00000024770.14 transcript:ENSMUST00000126710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lipn description:lipase, family member N [Source:MGI Symbol;Acc:MGI:1917416] MPMMWLFLTTACLIPGTLSAGGFLDFENKVNPEVWMNASEIIMYNGYPSEEYDVTTADGY ILAINRIPHGRAQTGQTGPRPVVYMQHALFADNAYWLENFANGSLGFILADAGYDVWMGN SRGNTWSRRHKTLSANEEKFWAFSFNEMAKYDLPGIIDFIVNKTGQEKLYFIGHSLGTTI G >ENSMUSP00000071984.5 pep:known chromosome:GRCm38:15:58782269:58823530:-1 gene:ENSMUSG00000062373.7 transcript:ENSMUST00000072113.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem65 description:transmembrane protein 65 [Source:MGI Symbol;Acc:MGI:1922118] MSRLLPLLGSRTARSLRPGPAAAPRLPSWCCCGRGLLALGVPGGPRLLGTHPKKEPMEAL NTAQGARDFIYSLHSTERSCLLKELHRFESIAIAQEKLEALPPTPGQLRYVFFHNAIPFV GFGFLDNAIMIVAGTQIELSIGIILGISTMAAAALGNLVSDLAGLGLAGYVEALASRLGL SIPDLTPKQVDMWQTRVSTHLGKAVGVTIGCILGMFPLIFFGGSEEDEKLETTN >ENSMUSP00000022603.7 pep:known chromosome:GRCm38:14:79637693:79662170:-1 gene:ENSMUSG00000022025.13 transcript:ENSMUST00000022603.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lect1 description:leukocyte cell derived chemotaxin 1 [Source:MGI Symbol;Acc:MGI:1341171] MTENSDKVPITMVGPEDVEFCSPPAYTTVTVKPSGSPTRLLKVGAVVLISGAVLLLFGAI GAFYFWKGNDNHIYNVHYSMSINGKLQDGSMEIDAVNNLETFKMGSGAEEAIEVNDFKNG ITGIRFAGGEKCYIKAQVKARIPEVGTVTKQSISELEGKIMPANYEENSLIWVAVDQPVK DSSFLSSKILELCGDLPIFWLKPMYPKEIQRERREVVRNSAPSTTRRPHSEPRGNAGPGR LSNGTRPNVQDDAEPFNPDNPYHQQEGESMTFDPRLDHEGICCIECRRSYTHCQKICEPL GGYYPWPYNYQGCRSACRVVMPCSWWVARILGMV >ENSMUSP00000126958.1 pep:known chromosome:GRCm38:14:79637690:79662084:-1 gene:ENSMUSG00000022025.13 transcript:ENSMUST00000165835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lect1 description:leukocyte cell derived chemotaxin 1 [Source:MGI Symbol;Acc:MGI:1341171] MTENSDKVPITMVGPEDVEFCSPPAYTTVTVKPSGSPTRLLKVGAVVLISGAVLLLFGAI GAFYFWKGNDNHIYNVHYSMSINGKLQDGSMEIDAVNNLETFKMGSGAEEAIEVNDFKNG ITGIRFAGGEKCYIKAQVKARIPEVGTVTKQSISELEGKIMPANYEENSLIWVAVDQPVK DSSFLSSKILELCGDLPIFWLKPMYPKANFAEIQRERREVVRNSAPSTTRRPHSEPRGNA GPGRLSNGTRPNVQDDAEPFNPDNPYHQQEGESMTFDPRLDHEGICCIECRRSYTHCQKI CEPLGGYYPWPYNYQGCRSACRVVMPCSWWVARILGMV >ENSMUSP00000045805.7 pep:known chromosome:GRCm38:16:3847268:3858880:1 gene:ENSMUSG00000054939.6 transcript:ENSMUST00000041778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp174 description:zinc finger protein 174 [Source:MGI Symbol;Acc:MGI:2686600] MAARMEITLSTHTEDSDKQERHIIMKLEENRGPPQQKAYPDPELSRQGFRHFCYQEVSGP QEALSCLQQLCRQWLQPELHTKEQILELLVMEQFLVILPPEIQAQVWHRYPKSSREIVTL VEDLHRTSKKPKQWVTVCMQGQKVLLEKTGAQLVEQELRDFQPQTPSRDIQEDSLEEPSC EGSHEQLSPHHWEKTVLQEPVLRLTETESSRMRGDKENPKQEEARGAKACTVLHGRPKGG TLHSPEPRGVTASDARLLQWQVRPPQSPKSLAHYQKHCRELEYISNPLRGHPLRELKRSR GGRRRSLSGLLQCLGHQAAHPAKKPYSCEDCGKNFTWNSELKRHRRVHTGERPYICGECG NCFGRQSTLKLHQRIHTGEKPYQCSHCGKCFRQSSNLHQHHRLHHGN >ENSMUSP00000005234.9 pep:known chromosome:GRCm38:5:38526813:38561806:-1 gene:ENSMUSG00000005103.12 transcript:ENSMUST00000005234.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr1 description:WD repeat domain 1 [Source:MGI Symbol;Acc:MGI:1337100] MPYEIKKVFASLPQVERGVSKILGGDPKGDHFLYTNGKCVILRNIDNPAIADIYTEHAHQ VVVAKYAPSGFYIASGDISGKLRIWDTTQKEHLLKYEYQPFAGKIKDIAWTEDSKRIAVV GEGREKFGAVFLWDTGSSVGEITGHNKVINSVDIKQTRPYRLATGSDDNCAAFFEGPPFK FKFTIGDHSRFVNCVRFSPDGNRFATASADGQIFIYDGKTGEKVCALGESKAHDGGIYAI SWSPDSTHLLSASGDKTSKIWDVNVNSVVSTFPMGSNVLDQQLGCLWQKDHLLSISLSGY INYLDKNNPSKPLRVIKGHSKSIQCLTVHRNGGKSYIYSGSHDGHINYWDSETGENDSFS GKGHTNQVSRMTVNESEQLVSCSMDDTVRYTNLTLRDYSGQGVVKLDVQPKCVAVGPGGY TVVVCIGQIVLLKDQKKCFSIDNPGYEPEVVAVHPGGDTVAVGGTDGNVRVYSILASTLK DEGKLLEAKGPVTDVAYSHDGAFLAVCDASKVVTVFSVADGYSENNVFYGHHAKIVCLAW SPDNEHFASGGMDMMVYVWTLSDPETKVKIQDAHRLHHVSSLAWLDEHTLVTTSHDASVK EWTITY >ENSMUSP00000143937.1 pep:known chromosome:GRCm38:5:38527587:38561635:-1 gene:ENSMUSG00000005103.12 transcript:ENSMUST00000201260.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr1 description:WD repeat domain 1 [Source:MGI Symbol;Acc:MGI:1337100] MGSNVLDQQLGCLWQKDHLLSISLSGYINYLDKNNPSKPLRVIKGHSKSIQCLTVHRNGG KSYIYSGSHDGHINYWDSETGENDSFSGKGHTNQVSRMTVNESEQLVSCSMDDTVRYTNL TLRDYSGQGVVKLDVQPKCVAVGPGGYTVVVCIGQIVLLKDQKKCFSIDNPGYEPEVVAV HPGGDTVAVGGTDGNVRVYSILASTLKDEGKLLEAKGPVTDVAYSHDGAFLAVCDASKVV TVFSVADGYSENNVFYGHHAKIVCLAWSPDNEHFASGGMDMMVYVWTLSDPETKVKIQDA HRLHHVSSLAWLDEHTLVTTSHDASVKEWTITY >ENSMUSP00000003079.5 pep:known chromosome:GRCm38:12:31958476:32061235:-1 gene:ENSMUSG00000002997.15 transcript:ENSMUST00000003079.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar2b description:protein kinase, cAMP dependent regulatory, type II beta [Source:MGI Symbol;Acc:MGI:97760] MSIEIPAGLTELLQGFTVEVLRHQPADLLEFALQHFTRLQQENERKGAARFGHEGRTWGD AGAAAGGGIPSKGVNFAEEPMRSDSENGEEEEAAEAGAFNAPVINRFTRRASVCAEAYNP DEEEDDAESRIIHPKTDDQRNRLQEACKDILLFKNLDPEQMSQVLDAMFEKLVKEGEHVI DQGDDGDNFYVIDRGTFDIYVKCDGVGRCVGNYDNRGSFGELALMYNTPRAATITATSPG ALWGLDRVTFRRIIVKNNAKKRKMYESFIESLPFLKSLEVSERLKVVDVIGTKVYNDGEQ IIAQGDLADSFFIVESGEVKITMKRKGKSEVEENGAVEIARCFRGQYFGELALVTNKPRA ASAHAIGTVKCLAMDVQAFERLLGPCMEIMKRNIATYEEQLVALFGTNMDIVEPTA >ENSMUSP00000039797.9 pep:known chromosome:GRCm38:12:31958476:32061296:-1 gene:ENSMUSG00000002997.15 transcript:ENSMUST00000036497.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar2b description:protein kinase, cAMP dependent regulatory, type II beta [Source:MGI Symbol;Acc:MGI:97760] MSIEIPAGLTELLQGFTVEVLRHQPADLLEFALQHFTRLQQENERKGAARFGHEGRTWGD AGAAAGGGIPSKGVNFAEEPMRSDSENGEEEEAAEAGAFNAPVINRFTRRASVCAEAYNP DEEEDDAESRIIHPKTDDQRNRLQEACKDILLFKNLDPEQMSQVLDAMFEKLVKEGEHVI DQGDDGDNFYVIDRGTFDIYVKCDGVGRCVGNYDNRGSFGELALMYNTPRAATITATSPG ALWGLDRVTFRRIIVKNNAKKRKMYESFIESLPFLKSLEVSERLKVVDVIGTKVYNDGEQ IIAQGDLADSFFIVESGEVKITMKRKGKSEVEENGAVEIARCFRGQYFGELALVTNKPRA ASAHAIGTVKCLAMDVQAFERLLGPCMEIMKRNIATYEEQLVALFGTNMDIVEPTA >ENSMUSP00000135290.1 pep:known chromosome:GRCm38:12:31958480:32060589:-1 gene:ENSMUSG00000002997.15 transcript:ENSMUST00000146865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkar2b description:protein kinase, cAMP dependent regulatory, type II beta [Source:MGI Symbol;Acc:MGI:97760] MSQVLDAMFEKLVKEGEHVIDQGDDGDNFYVIDRGTFDIYVKCDGVGRCVGNYDNRGSFG ELALMYNTPRAATITATSPGALWGLDRVTFRRIIVKNNAKKRKMYESFIESLPFLKSLEV SERLKVVDVIGTKVYNDGEQIIAQGDLADSFFIVESGEVKITMKRKGKSEVEENGAVEIA RCFRGQYFGELALVTNKPRAASAHAIGTVKCLAMDVQAFERLLGPCMEIMKRNIATYEEQ LVALFGTNMDIVEPTA >ENSMUSP00000038352.8 pep:known chromosome:GRCm38:12:69157722:69159186:-1 gene:ENSMUSG00000034892.8 transcript:ENSMUST00000037023.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps29 description:ribosomal protein S29 [Source:MGI Symbol;Acc:MGI:107681] MGHQQLYWSHPRKFGQGSRSCRVCSNRHGLIRKYGLNMCRQCFRQYAKDIGFIKLD >ENSMUSP00000140963.1 pep:known chromosome:GRCm38:1:22288422:22432843:-1 gene:ENSMUSG00000041670.15 transcript:ENSMUST00000185942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims1 description:regulating synaptic membrane exocytosis 1 [Source:MGI Symbol;Acc:MGI:2152971] XSQRISDSDISDYEVDDGIGVVPPVGYRASARESKATTLTVPEQQRTTHHRSRSVSPHRG DDQGRPRSRLPNVPLQRSLDEIHPTRRSRSPTRHHDASRSLADHRSRHAESQYSSEPDSE LLMLPRAKRGRSAECLHMTSELQPSLDRARSASTNCLRPDTSLHSPERERGRWSPSLARR RPASPRIQIQHASPENDRHSRKSERSSIQKQSRKGTASDADRVLPPCLSRRGYAIPRATD QPVIRGKHTTRSRSSEHSSIRTLCSMHHLAPGGSAPPSPLLTRTHRQGSPTQSPPADTSF GSRRGRQLPQVPVRSGSIEQASLVVEERTRQMKMKVHRFKQTTGSGSSQELDHEQYSKYN IHKDQYRSCDNASAKSSDSDVSDVSAISRASSTSRLSSTSFMSEQSERPRGRISSFTPKM QGRRMGTSGRAIIKSTSVSGEIYTLEHNDGSQSDTAVGTVGAGGKKRRSSLSAKVVAIVS RRSRSTSQLSQTESGHKKLKSTIQRSTETGMAAEMRKMVRQPSRESTDGSINSYSSEGNL IFPGVRVGPDSQFSDFLDGLGPAQLVGRQTLATPAMGDIQIGMEDKKGQLEVEVIRARSL TQKPGSKSTPAPYVKVYLLENGACIAKKKTRIARKTLDPLYQQSLVFDESPQGKVLQVIV WGDYGRMDHKCFMGVAQILLEELDLSSMVIGWYKLFPPSSLVDPTLTPLTRRASQSSLES SSGPPCIRS >ENSMUSP00000095417.5 pep:known chromosome:GRCm38:1:22468191:22805724:-1 gene:ENSMUSG00000041670.15 transcript:ENSMUST00000097808.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims1 description:regulating synaptic membrane exocytosis 1 [Source:MGI Symbol;Acc:MGI:2152971] MSSAVGPRGPRPPTVPPPMQELPDLSHLTEEERNIIMAVMDRQKEEEEKEEAMLKCVVRD MAKPAACKTPRNAESQPHQPPLNIFRCVCVPRKPSSEEGGPDRNWRLHQQFESYKEQVRK IGEEARRYQGEHKDDAPTCGICHKTKFADGCGHLCSYCRTKFCARCGGRVSLRSNNEDKV VMWVCNLCRKQQEILTKSGAWFFGSGPQQPSQDGTLSDTATGAGSEVPREKKARLQERSR SQTPLSTAAVSSQDTASHGAPLDRNKGAEPSQQALGPEQKQASRSRSEPPRERKKAPGLS EQNGKGGQKSERKRVPKSVVQPGEGTADERERKERRETRRLEKGRSQDYPDRLEKREDGR VAEDEKQRKEEEYQTRYRSDPNLARYPVKAPLEEQQMRMHARVSRARHERRHSDVALPHT EAAAAVSAETTAGKRAQTTARVSPPESPRARAPAAQPPAEHGPPPPRPAPGPAEPPEPRV PEPLRKQGRLDPGSAVLLRKAKREKAESMLRNDSLSSDQSESVRPSPPKPHRPKRGGKRR QMSVSSSEEEGVSTPEYTSCEDVELESESVSEKGDLDYWLDPATWHSRETSPISSHPVTW QPSKEGDRLIGRVILNKRTTMPKESGALLGLKVVGGKMTDLGRLGAFITKVKKGSLADVV GHLRAGDEVLEWNGKPLPGATNEEVYNIILESKSEPQVEIIVSRPIGDIPRIPESSHPPL ESSSSSFESQKMERPSISVISPTSPGALKDAPQVLPGQLSVKLWYDKVGHQLIVNVLQAT DLPPRVDGRPRNPYVKMYFLPDR >ENSMUSP00000110928.2 pep:known chromosome:GRCm38:1:22286251:22805724:-1 gene:ENSMUSG00000041670.15 transcript:ENSMUST00000115273.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims1 description:regulating synaptic membrane exocytosis 1 [Source:MGI Symbol;Acc:MGI:2152971] MSSAVGPRGPRPPTVPPPMQELPDLSHLTEEERNIIMAVMDRQKEEEEKEEAMLKCVVRD MAKPAACKTPRNAESQPHQPPLNIFRCVCVPRKPSSEEGGPDRNWRLHQQFESYKEQVRK IGEEARRYQGEHKDDAPTCGICHKTKFADGCGHLCSYCRTKFCARCGGRVSLRSNNKGKY VMWVCNLCRKQQEILTKSGAWFFGSGPQQPSQDGTLSDTATGAGSEVPREKKARLQERSR SQTPLSTAAVSSQDTASHGAPLDRNKGAEPSQQALGPEQKQASRSRSEPPRERKKAPGLS EQNGKGGQKSERKRVPKSVVQPGEGTADERERKERRETRRLEKGRSQDYPDRLEKREDGR VAEDEKQRKEEEGVSTPEYTSCEDVELESESVSEKGDLDYWLDPATWHSRETSPISSHPV TWQPSKEGDRLIGRVILNKRTTMPKESGALLGLKVVGGKMTDLGRLGAFITKVKKGSLAD VVGHLRAGDEVLEWNGKPLPGATNEEVYNIILESKSEPQVEIIVSRPIGDIPRIPESSHP PLESSSSSFESQKMERPSISVISPTSPGALKDAPQVLPGQLSVKLWYDKVGHQLIVNVLQ ATDLPPRVDGRPRNPYVKMYFLPDRSTISLGDQTALFHKTVSYTFNFQKYVIFFETNPFL EKPDLAYYKLSCSTMSFACRKPSGHTEVSVCLTVVQSQRISDSDISDYEVDDGIGVVPPV GYRASARESKATTLTVPEQQRTTHHRSRSVSPHRGDDQGRPRSRLPNVPLQRSLDEIHPT RRSRSPTRHHDASRSLADHRSRHAESQYSSEPDSELLMLPRAKRGRSAECLHMTSELQPS LDRARSASTNCLRPDTSLHSPERERTHRQGSPTQSPPADTSFGSRRGRQLPQVPVRSGSI EQASLVVEERTRQMKMKVHRFKQTTGSGSSQELDHEQYSKYNIHKDQYRSCDNASAKSSD SDVSDVSAISRASSTSRLSSTSFMSEQSERPRGRISSFTPKMQGRRMGTSGRAIIKSTSV SGEIYTLEHNDGSQSDTAVGTVGAGGKKRRSSLSAKVVAIVSRRSRSTSQLSQTESGHKK LKSTIQRSTETGMAAEMRKMVRQPSRESTDGSINSYSSEGNLIFPGVRVGPDSQFSDFLD GLGPAQLVGRQTLATPAMGDIQIGMEDKKGQLEVEVIRARSLTQKPGSKSTPAPYVKVYL LENGACIAKKKTRIARKTLDPLYQQSLVFDESPQGKVLQVIVWGDYGRMDHKCFMGVAQI LLEELDLSSMVIGWYKLFPPSSLVDPTLTPLTRRASQSSLESSSGPPCIRS >ENSMUSP00000080259.6 pep:known chromosome:GRCm38:1:22286251:22805724:-1 gene:ENSMUSG00000041670.15 transcript:ENSMUST00000081544.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims1 description:regulating synaptic membrane exocytosis 1 [Source:MGI Symbol;Acc:MGI:2152971] MSSAVGPRGPRPPTVPPPMQELPDLSHLTEEERNIIMAVMDRQKEEEEKEEAMLKCVVRD MAKPAACKTPRNAESQPHQPPLNIFRCVCVPRKPSSEEGGPDRNWRLHQQFESYKEQVRK IGEEARRYQGEHKDDAPTCGICHKTKFADGCGHLCSYCRTKFCARCGGRVSLRSNNKGKY VMWVCNLCRKQQEILTKSGAWFFGSGPQQPSQDGTLSDTATGAGSEVPREKKARLQERSR SQTPLSTAAVSSQDTASHGAPLDRNKGAEPSQQALGPEQKQASRSRSEPPRERKKAPGLS EQNGKGGQKSERKRVPKSVVQPGEGTADERERKERRETRRLEKGRSQDYPDRLEKREDGR VAEDEKQRKEEEGVSTPEYTSCEDVELESESVSEKGDLDYWLDPATWHSRETSPISSHPV TWQPSKEGDRLIGRVILNKRTTMPKESGALLGLKVVGGKMTDLGRLGAFITKVKKGSLAD VVGHLRAGDEVLEWNGKPLPGATNEEVYNIILESKSEPQVEIIVSRPIGDIPRIPESSHP PLESSSSSFESQKMERPSISVISPTSPGALKDAPQVLPGQLSVKLWYDKVGHQLIVNVLQ ATDLPPRVDGRPRNPYVKMYFLPDRSTISLGDQTALFHKTVSYTFNFQKYVIFFETNPFL EKPDLAYYKLSCSTMSFACRKPSGHTEVSVCLTVVQSQRISDSDISDYEVDDGIGVVPPV GYRASARESKATTLTVPEQQRTTHHRSRSVSPHRGDDQGRPRSRLPNVPLQRSLDEIHPT RRSRSPTRHHDASRSLADHRSRHAESQYSSEPDRTHRQGSPTQSPPADTSFGSRRGRQLP QVPVRSGSIEQASLVVEERTRQMKMKVHRFKQTTGSGSSQELDHEQYSKYNIHKDQYRSC DNASAKSSDSDVSDVSAISRASSTSRLSSTSFMSEQSERPRGRISSFTPKMQGRRMGTSG RAIIKSTSVSGEIYTLEHNDGSQSDTAVGTVGAGGKKRRSSLSAKVVAIVSRRSRSTSQL SQTESGHKKLKSTIQRSTETGMAAEMRKMVRQPSRESTDGSINSYSSEGNLIFPGVRVGP DSQFSDFLDGLGPAQLVGRQTLATPAMGDIQIGMEDKKGQLEVEVIRARSLTQKPGSKST PAPYVKVYLLENGACIAKKKTRIARKTLDPLYQQSLVFDESPQGKVLQVIVWGDYGRMDH KCFMGVAQILLEELDLSSMVIGWYKLFPPSSLVDPTLTPLTRRASQSSLESSSGPPCIRS >ENSMUSP00000095420.3 pep:known chromosome:GRCm38:1:22286251:22805724:-1 gene:ENSMUSG00000041670.15 transcript:ENSMUST00000097811.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims1 description:regulating synaptic membrane exocytosis 1 [Source:MGI Symbol;Acc:MGI:2152971] MSSAVGPRGPRPPTVPPPMQELPDLSHLTEEERNIIMAVMDRQKEEEEKEEAMLKCVVRD MAKPAACKTPRNAESQPHQPPLNIFRCVCVPRKPSSEEGGPDRNWRLHQQFESYKEQVRK IGEEARRYQGEHKDDAPTCGICHKTKFADGCGHLCSYCRTKFCARCGGRVSLRSNNVSKV VMWVCNLCRKQQEILTKSGAWFFGSGPQQPSQDGTLSDTATGAGSEVPREKKARLQERSR SQTPLSTAAVSSQDTASHGAPLDRNKGAEPSQQALGPEQKQASRSRSEPPRERKKAPGLS EQNGKGGQKSERKRVPKSVVQPGEGTADERERKERRETRRLEKGRSQDYPDRLEKREDGR VAEDEKQRKEEEGVSTPEYTSCEDVELESESVSEKGDLDYWLDPATWHSRETSPISSHPV TWQPSKEGDRLIGRVILNKRTTMPKESGALLGLKVVGGKMTDLGRLGAFITKVKKGSLAD VVGHLRAGDEVLEWNGKPLPGATNEEVYNIILESKSEPQVEIIVSRPIGDIPRIPESSHP PLESSSSSFESQKMERPSISVISPTSPGALKDAPQVLPGQLSVKLWYDKVGHQLIVNVLQ ATDLPPRVDGRPRNPYVKMYFLPDRSTISLGDQTALFHKTVSYTFNFQKYVIFFETNPFL EKPDLAYYKLSCSTMSFACRKPSGHTEVSVCLTVVQSQRISDSDISDYEVDDGIGVVPPV GYRASARESKATTLTVPEQQRTTHHRSRSVSPHRGDDQGRPRSRLPNVPLQRSLDEIHPT RRSRSPTRHHDASRSLADHRSRHAESQYSSEPDSELLMLPRAKRGRSAECLHMTSELQPS LDRARSASTNCLRPDTSLHSPERERGRWSPSLARRRPASPRIQIQHASPENDRHSRKSER SSIQKQSRKGTASDADRVLPPCLSRRGYAIPRATDQPVIRGKHTTRSRSSEHSSIRTLCS MHHLAPGGSAPPSPLLTRTHRQGSPTQSPPADTSFGSRRGRQLPQVPVRSGSIEQASLVV EERTRQMKMKVHRFKQTTGSGSSQELDHEQYSKYNIHKDQYRSCDNASAKSSDSDVSDVS AISRASSTSRLSSTSFMSEQSERPRGRISSFTPKMQGRRMGTSGRAIIKSTSVSGEIYTL EHNDGSQSDTAVGTVGAGGKKRRSSLSAKVVAIVSRRSRSTSQLSQTESGHKKLKSTIQR STETGMAAEMRKMVRQPSRESTDGSINSYSSEGNLIFPGVRVGPDSQFSDFLDGLGPAQL VGRQTLATPAMGDIQIGMEDKKGQLEVEVIRARSLTQKPGSKSTPAPYVKVYLLENGACI AKKKTRIARKTLDPLYQQSLVFDESPQGKVLQVIVWGDYGRMDHKCFMGVAQILLEELDL SSMVIGWYKLFPPSSLVDPTLTPLTRRASQSSLESSSGPPCIRS >ENSMUSP00000095419.3 pep:known chromosome:GRCm38:1:22286251:22805724:-1 gene:ENSMUSG00000041670.15 transcript:ENSMUST00000097810.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims1 description:regulating synaptic membrane exocytosis 1 [Source:MGI Symbol;Acc:MGI:2152971] MSSAVGPRGPRPPTVPPPMQELPDLSHLTEEERNIIMAVMDRQKEEEEKEEAMLKCVVRD MAKPAACKTPRNAESQPHQPPLNIFRCVCVPRKPSSEEGGPDRNWRLHQQFESYKEQVRK IGEEARRYQGEHKDDAPTCGICHKTKFADGCGHLCSYCRTKFCARCGGRVSLRSNNVSKV VMWVCNLCRKQQEILTKSGAWFFGSGPQQPSQDGTLSDTATGAGSEVPREKKARLQERSR SQTPLSTAAVSSQDTASHGAPLDRNKGAEPSQQALGPEQKQASRSRSEPPRERKKAPGLS EQNGKGGQKSERKRVPKSVVQPGEGTADERERKERRETRRLEKGRSQDYPDRLEKREDGR VAEDEKQRKEEEGVSTPEYTSCEDVELESESVSEKGDLDYWLDPATWHSRETSPISSHPV TWQPSKEGDRLIGRVILNKRTTMPKESGALLGLKVVGGKMTDLGRLGAFITKVKKGSLAD VVGHLRAGDEVLEWNGKPLPGATNEEVYNIILESKSEPQVEIIVSRPIGDIPRIPESSHP PLESSSSSFESQKMERPSISVISPTSPGALKDAPQVLPGQLSVKLWYDKVGHQLIVNVLQ ATDLPPRVDGRPRNPYVKMYFLPDRSTISLGDQTALFHKTVSYTFNFQKYVIFFETNPFL EKPDLAYYKLSCSTMSFACRKPSGHTEVSVCLTVVQSQRISDSDISDYEVDDGIGVVPPV GYRASARESKATTLTVPEQQRTTHHRSRSVSPHRGDDQGRPRSRLPNVPLQRSLDEIHPT RRSRSPTRHHDASRSLADHRSRHAESQYSSEPDSELLMLPRAKRGRSAECLHMTSELQPS LDRARSASTNCLRPDTSLHSPERERHSRKSERSSIQKQSRKGTASDADRVLPPCLSRRGY AIPRATDQPVIRGKHTTRSRSSEHSSIRTLCSMHHLAPGGSAPPSPLLTRTHRQGSPTQS PPADTSFGSRRGRQLPQVPVRSGSIEQASLVVEERTRQMKMKVHRFKQTTGSGSSQELDH EQYSKYNIHKDQYRSCDNASAKSSDSDVSDVSAISRASSTSRLSSTSFMSEQSERPRGRI SSFTPKMQGRRMGTSGRAIIKSTSVSGEIYTLEHNDGSQSDTAVGTVGAGGKKRRSSLSA KVVAIVSRRSRSTSQLSQTESGHKKLKSTIQRSTETGMAAEMRKMVRQPSRESTDGSINS YSSEGNLIFPGVRVGPDSQFSDFLDGLGPAQLVGRQTLATPAMGDIQIGMEDKKGQLEVE VIRARSLTQKPGSKSTPAPYVKVYLLENGACIAKKKTRIARKTLDPLYQQSLVFDESPQG KVLQVIVWGDYGRMDHKCFMGVAQILLEELDLSSMVIGWYKLFPPSSLVDPTLTPLTRRA SQSSLESSSGPPCIRS >ENSMUSP00000095418.3 pep:known chromosome:GRCm38:1:22286251:22805724:-1 gene:ENSMUSG00000041670.15 transcript:ENSMUST00000097809.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims1 description:regulating synaptic membrane exocytosis 1 [Source:MGI Symbol;Acc:MGI:2152971] MSSAVGPRGPRPPTVPPPMQELPDLSHLTEEERNIIMAVMDRQKEEEEKEEAMLKCVVRD MAKPAACKTPRNAESQPHQPPLNIFRCVCVPRKPSSEEGGPDRNWRLHQQFESYKEQVRK IGEEARRYQGEHKDDAPTCGICHKTKFADGCGHLCSYCRTKFCARCGGRVSLRSNNKGKY VMWVCNLCRKQQEILTKSGAWFFGSGPQQPSQDGTLSDTATGAGSEVPREKKARLQERSR SQTPLSTAAVSSQDTASHGAPLDRNKGAEPSQQALGPEQKQASRSRSEPPRERKKAPGLS EQNGKGGQKSERKRVPKSVVQPGEGTADERERKERRETRRLEKGRSQDYPDRLEKREDGR VAEDEKQRKEEEGVSTPEYTSCEDVELESESVSEKGDLDYWLDPATWHSRETSPISSHPV TWQPSKEGDRLIGRVILNKRTTMPKESGALLGLKVVGGKMTDLGRLGAFITKVKKGSLAD VVGHLRAGDEVLEWNGKPLPGATNEEVYNIILESKSEPQVEIIVSRPIGDIPRIPESSHP PLESSSSSFESQKMERPSISVISPTSPGALKDAPQVLPGQLSVKLWYDKVGHQLIVNVLQ ATDLPPRVDGRPRNPYVKMYFLPDRSTISLGDQTALFHKTVSYTFNFQKYVIFFETNPFL EKPDLAYYKLSCSTMSFACRKPSGHTEVSVCLTVVQSQRISDSDISDYEVDDGIGVVPPV GYRASARESKATTLTVPEQQRTTHHRSRSVSPHRGDDQGRPRSRLPNVPLQRSLDEIHPT RRSRSPTRHHDASRSLADHRSRHAESQYSSEPDSELLMLPRAKRGRSAECLHMTSELQPS LDRARSASTNCLRPDTSLHSPERERHSRKSERSSIQKQSRKGTASDADRTHRQGSPTQSP PADTSFGSRRGRQLPQVPVRSGSIEQASLVVEERTRQMKMKVHRFKQTTGSGSSQELDHE QYSKYNIHKDQYRSCDNASAKSSDSDVSDVSAISRASSTSRLSSTSFMSEQSERPRGRIS SFTPKMQGRRMGTSGRAIIKSTSVSGEIYTLEHNDGSQSDTAVGTVGAGGKKRRSSLSAK VVAIVSRRSRSTSQLSQTESGHKKLKSTIQRSTETGMAAEMRKMVRQPSRESTDGSINSY SSEGNLIFPGVRVGPDSQFSDFLDGLGPAQLVGRQTLATPAMGDIQIGMEDKKGQLEVEV IRARSLTQKPGSKSTPAPYVKVYLLENGACIAKKKTRIARKTLDPLYQQSLVFDESPQGK VLQVIVWGDYGRMDHKCFMGVAQILLEELDLSSMVIGWYKLFPPSSLVDPTLTPLTRRAS QSSLESSSGPPCIRS >ENSMUSP00000131808.1 pep:known chromosome:GRCm38:1:22286915:22315805:-1 gene:ENSMUSG00000041670.15 transcript:ENSMUST00000164877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rims1 description:regulating synaptic membrane exocytosis 1 [Source:MGI Symbol;Acc:MGI:2152971] MAAEMRKMVRQPSRESTDGSINSYSSEGNLIFPGVRVGPDSQFSDFLDGLGPAQLVGRQT LATPAMGDIQIGMEDKKGQLEVEVIRARSLTQKPGSKSTPAPYVKVYLLENGACIAKKKT RIARKTLDPLYQQSLVFDESPQGKVLQVIVWGDYGRMDHKCFMGVAQILLEELDLSSMVI GWYKLFPPSSLVDPTLTPLTRRASQSSLESSSGPPCIRS >ENSMUSP00000029270.3 pep:known chromosome:GRCm38:3:36564865:36572150:-1 gene:ENSMUSG00000027715.9 transcript:ENSMUST00000029270.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccna2 description:cyclin A2 [Source:MGI Symbol;Acc:MGI:108069] MPGTSRHSGRDAGSALLSLHQEDQENVNPEKLAPAQQPRAQAVLKAGNVRGPAPQQKLKT RRVAPLKDLPINDEHVTAGPSWKAVSKQPAFTIHVDEAEETQKRPAELKETECEDALAFN AAVSLPGARKPLTPLDYPMDGSFESPHAMDMSIVLEDKPVNVNEVPDYQEDIHTYLREME VKCKPKVGYMKRQPDITNSMRAILVDWLVEVGEEYKLQNETLHLAVNYIDRFLSSMSVLR GKLQLVGTAAMLLASKFEEIYPPEVAEFVYITDDTYSKKQVLRMEHLVLKVLAFDLAAPT VNQFLTQYFLHLQPANCKVESLAMFLGELSLIDADPYLKYLPSLIAGAAFHLALYTVTGQ SWPESLAQQTGYTLESLKPCLVDLHQTYLKAPQHAQQSIREKYKHSKYHSVSLLNPPETL SV >ENSMUSP00000142946.1 pep:known chromosome:GRCm38:3:36565978:36571551:-1 gene:ENSMUSG00000027715.9 transcript:ENSMUST00000196316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccna2 description:cyclin A2 [Source:MGI Symbol;Acc:MGI:108069] METRDTESPHAMDMSIVLEDKPVNVNEVPDYQEDIHTYLREMEVKCKPKVGYMKRQPDIT NSMRAILVDWLVEVGEEYKLQNETLHLAVNYIDRFLSSMSVLRGKLQLVGTAAMLLASKF EEIYPPEVAEFVYITDDTYSKKQVLRMEHLVLKVLAFDLAAPTVNQFLTQYFLHLQPANC KVESLAMFLGELSLIDADPYLKYLPSLIAGAAFHLALYTVTGQSWPESLAQQTGYTLESL KPCLVDLHQTYLKAPQHAQQSIREKYKHSKYHSVSLLNPPETLSV >ENSMUSP00000118239.1 pep:known chromosome:GRCm38:3:36565978:36571927:-1 gene:ENSMUSG00000027715.9 transcript:ENSMUST00000147380.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccna2 description:cyclin A2 [Source:MGI Symbol;Acc:MGI:108069] MPGTSRHSGRDAGSALLSLHQEDQENVNPEKLAPAQQPRAQAVLKAGNVRGPAPQQKLKT RRVAPLKDLPINDEHVTAGPSWKAVSKQPAFTIHVDEAEETQKRPAELKETECEDALAFN AAVSLPGARKPLTPLDYPMDGSFACRSDTWKAK >ENSMUSP00000079598.6 pep:known chromosome:GRCm38:17:28575718:28583593:1 gene:ENSMUSG00000062252.8 transcript:ENSMUST00000080780.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl5 description:lipoma HMGIC fusion partner-like 5 [Source:MGI Symbol;Acc:MGI:1915382] MVKLLPAQEAAKIYHTNYVRNSRAVGVMWGTLTICFSVLVMALFIQPYWIGDSVSTPQAG YFGLFSYCVGNVLSSELICKGGPLDFSSIPSRAFKTAMFFVALAMFLIIGSIICFSLFFV CNTATVYKICAWMQLAAATGLMIGCLVYPDGWDSSEVRRMCGEQTGKYTLGHCTIRWAFM LAILSIGDALILSFLAFVLGYRQDKLLPDDYKADGNEEV >ENSMUSP00000043865.8 pep:known chromosome:GRCm38:9:41011098:41158062:-1 gene:ENSMUSG00000032020.15 transcript:ENSMUST00000044155.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubash3b description:ubiquitin associated and SH3 domain containing, B [Source:MGI Symbol;Acc:MGI:1920078] MAAREELYSKVTPRRDRLQRPGTVKHGSALDVLLSMGFPRARAQKALASTGGRSVQAACD WLFSHVGDPFLDDPLPREYVLYLRPTGPLAQKLSDFWQQSKQICGKNKAHNIFPHITLCQ FFMCEDSKVDALGEALQTTVSRWKCKFSAPLPLELYTSSNFIGLFVKEDSAEVLKKFAAD FAAEAASKTEVHVEPHKKQLHVTLAYHFQASHLPTLEKLAQNIDVKLGCDWVATIFSRDI RFANHETLQVIYPYSPQNDDELELVPGDFIFMSPMEQTSTSEGWIYGTSLTTGCSGLLPE NYITKADECSTWIFHGSYSILNTVSSSSLAFGDGALERRQYEDQGLGETTPLTIICQPMQ PLRVNSQPGPQKRCLFVCRHGERMDVVFGKYWLSQCFDAKGRYIRTNLNMPHSLPQRSGG FRDYEKDAPITVFGCMQARLVGEALLESNTVIDHVYCSPSLRCVQTAHNILKGLQQDNHL KIRVEPGLFEWTKWVAGSTLPAWIPPSELAAANLSVDTTYRPHIPVSKLAISESYDTYIN RSFQVTKEIISECKSKGNNILIVAHASSLEACTCQLQGLSPQNSKDFVQMVRKIPYLGFC SCEELGETGIWQLTDPPILPLTHGPTGGFNWRETLLQE >ENSMUSP00000116038.1 pep:known chromosome:GRCm38:9:41014721:41079506:-1 gene:ENSMUSG00000032020.15 transcript:ENSMUST00000151485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubash3b description:ubiquitin associated and SH3 domain containing, B [Source:MGI Symbol;Acc:MGI:1920078] MCEDSKVDALGEALQTTVSRWKCKFSAPLPLELYTSSNFIGLFVKEDSAEVLKKFAADFA AEAASKTEVHVEPHKKQLHVTLAYHFQASHLPTLEKLAQNIDVKLGCDWVATIFSRDIRF ANHETLQVIYPYSPQNDDELELVPGDFIFMSPMEQTSTSEGWIYGTSLTTGCSGLLPENY ITKADECSTWIFHGSYSILNTVSSSSLAFGDGALERRQYEDQGLGETTPLTIICQPMQPL RVNSQPGPQKRCLFVCRHGERMDVVFGKYWLSQCFDAKGRYIRTNLNMPHSLPQRSGGFR DYEKDAPITVFGCMQARLVGEALLESNTVIDHVYCSPSLRCVQTAHNILKGLQQDNHLKI RVEPGLFEWTKWVAGSTLPAWIPPSELAAANLSVDTTYRPHIPVSKLAISESYDTYINRS FQVTKEIISECKSKGNNILIVAHASSLEACTCQLQGLSPQNSKDFVQMVRKIPYLGFCSC EELGETGIWQLTDPPILPLTHGPTGGFNWRETLLQE >ENSMUSP00000114176.1 pep:known chromosome:GRCm38:9:41050554:41157502:-1 gene:ENSMUSG00000032020.15 transcript:ENSMUST00000136530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubash3b description:ubiquitin associated and SH3 domain containing, B [Source:MGI Symbol;Acc:MGI:1920078] MAAREELYSKVTPRRDRLQRPGTVKHGSALDVLLSMGFPRARATARETLFFSEASCEPSL GKLRAWSLLNSPGRQKALASTGGRSVQAACDWLFSHVGDPFLDDPLPREYVLYLRP >ENSMUSP00000134923.1 pep:known chromosome:GRCm38:9:41050612:41161688:-1 gene:ENSMUSG00000032020.15 transcript:ENSMUST00000129906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubash3b description:ubiquitin associated and SH3 domain containing, B [Source:MGI Symbol;Acc:MGI:1920078] MMSHAPRTPLEIRSYSHSQRFKSCFHSSGAHSALRPGSSLRTFPAVLKQLLTFPPGPREG EGERQNRLLKEQKALASTGGRSVQAACDWLFSHV >ENSMUSP00000146678.1 pep:known chromosome:GRCm38:7:29697219:29726996:-1 gene:ENSMUSG00000049123.10 transcript:ENSMUST00000208607.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Catsperg2 description:catsper channel auxiliary subunit gamma 2 [Source:MGI Symbol;Acc:MGI:1923968] MVSRPAMSPVSPVWPRKPNLWAFWVLRLVLLLSLKSWAEDALQHCTWLLVLNKFEKVGLH LSKDRFQDHEPIDTVAKVFQKLTDSPIDPSENYLSFPYYLQINFSCPGQNIEELARKGHL MGMKPMVQINYMYSVNFYRWEMENVQILMEAAPMRSTGYCPAEAMCVLNWYTPMPFKNGS VVSSVDIYTNGIGPFVSKKRFYVNMNGFLKRDASGKSLFAIGYESLVLKSSHFRLSKSRP LWYTVNHAPVFILGGFYDEKSILFSDSNFQDYVLLELSIDSCWVGSFYCPILGFSATIHD AIATESTLFIRQNQLVYYFTGTYITLFDKSHGSSRWVRVLPSECIKRLCPVYASGNGSEY VLALTTGKNEGYIHIGTITDGLVSFEMVPDGWSVCEKLPGKNCSIDWATYIADERNLLLL VKIDSGQFYLVNFNTEFKTLNILYKIPEFIPEAKELDFLVLLDTVTYTNTPMTPKGLFFN TLNNMLYIWGNFILQSYNREEFIFLADFPKESTIKYMVNSFKGQMAVVTENEEIWYFLEG GYDVYQVVPSQGWETYHNLQKMQKSSFHSEDESLVSLFFEDGKLFQLVYLFDVGKERLVK RLLPVGTLMEYNLPKPFTVVNQGNYQAISFTHTCPFKEIHLIDVPKKHHASRTESYVALP PLVSESLGFHNNNTLAVYQGLVYYLLWLHSKYDKPYADPVHDPTWRWWQHKTKDKDYFFY LFSNRLAAEGIYINMNAYQKLYNMSGDYGIPDLFFLDKGNWFTITVVLLSHQDTFTSSDS QGLWLGPT >ENSMUSP00000147099.1 pep:known chromosome:GRCm38:7:29697639:29725469:-1 gene:ENSMUSG00000049123.10 transcript:ENSMUST00000209126.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsperg2 description:catsper channel auxiliary subunit gamma 2 [Source:MGI Symbol;Acc:MGI:1923968] MVSRPAMSPVSPVWPRKPNLWAFWVLRLVLLLSLKSWAEDALQHCTWLLVLNKFEKVGLH LSKDRFQDHEPIDTVAKVFQKLTDSPIDPSENYLSFPYYLQINFSCPGQNIEELARKGHL MGMKPMVQINYMYSVNFYRWEMENVQILMEAAPMRSTGYCPAEAMCVLNWYTPMPFKNGS VVSSVDIYTNGIGPFVSKKRFYVNMNGFLKRDASGKSLFAIGYESLVLKSSHFRLSKSRP LWYTVNHAPVFILGGFYDEKSILFSDSNFQDYVLLELSIDSCWVGSFYCPILGFSATIHD AIATESTLFIRQNQLVYYFTGTYITLFDKSHGSSRWVRVLPSECIKRLCPVYASGNGSEY VLALTTGKNEGYIHIGTITDGLVSFEMVPDGWSVCEKLPGKNCSIDWATYIADERNLLLL VKIDSGQFYLVNFNTEFKTLNILYKIPEFIPEAKELDFLVLLDTVTYTNTPMTPKGLFFN TLNNMLYIWGNFILQSYNREEFIFLADFPKESTIKYMVNSFKGQMAVVTENEEIWYFLEG GYDVYQVVPSQGWETYHNLQKMQKSSFHSEDESLVSLFFEDGKLFQLVYLFDVGKERLVK RLLPVGTLMEYNLPKPFTVVNQGNYQAISFTHTCPFKEIHLIDVPKKHHASRTESYVALP PLVSESLGFHNNNTLAVYQGLVYYLLWLHSKYDKPYADPVHDPTWRWWQHKTKDKDYFFY LFSNRLAAEGIYINMNAYQKLYNMSGDYGIPDLFFLDKGNWFTITVVLLSHQDTFTSSDS QGPTINVDKKLAIAVTIADPECLSVTVTQDVLLNRNAVINKIKVIDKKRCSEQGMIGRNI KKTSMMLKVLGAPGNCIQRTYLGGIIQGFKVVPIFIGCPPGKRLAFDVSYTIMHSEEINK HYFDCVIKDAEMPCFLFRDLFQPFFLVQDLVTGDSGSFLGSYVLKVVGGGRTLNTIRDYT EEEIFRYNSPLDTTNSLIWKTKVERTTEDKKFYIMSHESPGVEWLCLENSPCYDIIPQSI YPPEFFFKLLVSNRGVDNSTYCDYKLTFIVHIHGLPLSSKRTSFIVMVSTSFFIALVVFY ILFCLVWPHIVKAWVSLRWRINNIMASESYYTYASSTAGFSLQSHSFEGPSRAGSKEDNV QAKTA >ENSMUSP00000146472.1 pep:known chromosome:GRCm38:7:29697691:29725250:-1 gene:ENSMUSG00000049123.10 transcript:ENSMUST00000208371.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Catsperg2 description:catsper channel auxiliary subunit gamma 2 [Source:MGI Symbol;Acc:MGI:1923968] MTPKGLFFNTLNNMLYIWGNFILQSYNREEFIFLADFPKESTIKYMVNSFKGQMAVVTEN EEPYADPVHDPTWRWWQHKTKDKDYFFYLFSNRLAAEGIYINMNAYQKLYNMSGDYGIPD LFFLDKGNWFTITVVLLSHQDTFTSSDSQGPTINVDKKLAIAVTIADPECLSVTVTQDVL LNRNAVINKIKVIDKKRCSEQGMIGRNIKKTSMMLKVLGAPGNCIQRTYLGGIIQGFKVV PIFIGCPPGKRLAFDVSYTIMHSEEINKHYFDCVIKDAEMPCFLFRDLFQPFFLVQDLVT GDSGSFLGSYVLKVVGGGRTLNTIRDYTEEEIFRYNSPLDT >ENSMUSP00000147029.1 pep:known chromosome:GRCm38:7:29697691:29726995:-1 gene:ENSMUSG00000049123.10 transcript:ENSMUST00000207115.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Catsperg2 description:catsper channel auxiliary subunit gamma 2 [Source:MGI Symbol;Acc:MGI:1923968] MGMKPMVQINYMYSVNFYRWEMENVQILMEAAPMRSTGYCPAEAMCVLNWYTPMPFKNGS VVSSVDIYTNGIGPFVSKKRFYVNMNGFLKRDASGKSLFAIGYESLVLKSSHFRLSKSRP LWYTVNHAPVFILGGFYDEKSILFSDSNFQDYVLLELSIDSCWVGSFYCPILGFSATIHD AIATESTLFIRQNQLVYYFTGTYITLFDKSHGSSRWVRVLPSECIKRLCPVYASGNGSEY VLALTTGKNEGYIHIGTITDGLVSFEMVPDGWSVCEKLPGKNCSIDWATYIADERNLLLL VKIDSGQFYLVNFNTEFKTLNILYKIPEFIPEAKELDFLVLLDTVTYTNTPMTPKGLFFN TLNNMLYIWGNFILQSYNREEFIFLADFPKESTIKYMVNSFKGQMAVVTENEELVYLFDV GKERLVKRLLPVGTLMEYNLPKPFTVVNQGNYQAISFTHTCPFKEIHLIDVPKKHHASRT ESYVALPPLVSESLGFHNNNTLAVYQGLVYYLLWLHSKYDKPYADPVHDPTWRWWQHKTK DKDYFFYLFSNRLAAEGIYINMNAYQKLYNMSGDYGIPDLFFLDKGNWFTITVVLLSHQD TFTSSDSQGPTINVDKKLAIAVTIADPECLSVTVTQDVLLNRNAVINKIKVIDKKRCSEQ GMIGRNIKKTSMMLKVLGAPGNCIQRTYLGGIIQGFKVVPIFIGCPPGKRLAFDVSYTIM HSEEINKHYFDCVIKDAEMPCFLFRDLFQPFFLVQDLVTGDSGSFLGSYVLKVVGGGRTL NTIRDYTEEEIFRYNSPLDT >ENSMUSP00000052285.3 pep:known chromosome:GRCm38:7:29697219:29727015:-1 gene:ENSMUSG00000049123.10 transcript:ENSMUST00000061193.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Catsperg2 description:catsper channel auxiliary subunit gamma 2 [Source:MGI Symbol;Acc:MGI:1923968] MVSRPAMSPVSPVWPRKPNLWAFWVLRLVLLLSLKSWAEDALQHCTWLLVLNKFEKVGLH LSKDRFQDHEPIDTVAKVFQKLTDSPIDPSENYLSFPYYLQINFSCPGQNIEELARKGHL MGMKPMVQINYMYSVNFYRWEMENVQILMEAAPMRSTGYCPAEAMCVLNWYTPMPFKNGS VVSSVDIYTNGIGPFVSKKRFYVNMNGFLKRDASGKSLFAIGYESLVLKSSHFRLSKSRP LWYTVNHAPVFILGGFYDEKSILFSDSNFQDYVLLELSIDSCWVGSFYCPILGFSATIHD AIATESTLFIRQNQLVYYFTGTYITLFDKSHGSSRWVRVLPSECIKRLCPVYASGNGSEY VLALTTGKNEGYIHIGTITDGLVSFEMVPDGWSVCEKLPGKNCSIDWATYIADERNLLLL VKIDSGQFYLVNFNTEFKTLNILYKIPEFIPEAKELDFLVLLDTVTYTNTPMTPKGLFFN TLNNMLYIWGNFILQSYNREEFIFLADFPKESTIKYMVNSFKGQMAVVTENEEIWYFLEG GYDVYQVVPSQGWETYHNLQKMQKSSFHSEDESLVSLFFEDGKLFQLVYLFDVGKERLVK RLLPVGTLMEYNLPKPFTVVNQGNYQAISFTHTCPFKEIHLIDVPKKHHASRTESYVALP PLVSESLGFHNNNTLAVYQGLVYYLLWLHSKYDKPYADPVHDPTWRWWQHKTKDKDYFFY LFSNRLAAEGIYINMNAYQKLYNMSGDYGIPDLFFLDKGNWFTITVVLLSHQDTFTSSDS QGPTINVDKKLAIAVTIADPECLSVTVTQDVLLNRNAVINKIKVIDKKRCSEQGMIGRNI KKTSMMLKVLGAPGNCIQRTYLGGIIQGFKVVPIFIGCPPGKRLAFDVSYTIMHSEEINK HYFDCVIKDAEMPCFLFRDLFQPFFLVQDLVTGDSGSFLGSYVLKVVGGGRTLNTIRDYT EEEIFRYNSPLDTTNSLIWKTKVERTTEDKKFYIMSHESPGVEWLCLENSPCYDIIPQSI YPPEFFFKLLVSNRGVDNSTYCDYKLTFIVHIHGLPLSSKRTSFIVMVSTSFFIALVVFY ILFCLVWPHIVKAWVSLRWRINNIMASESYYTYASSTAGFSLQSHSFEGPSRAGSKEDNV QAKTA >ENSMUSP00000138648.1 pep:known chromosome:GRCm38:16:37011786:37094992:1 gene:ENSMUSG00000034206.15 transcript:ENSMUST00000183112.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polq description:polymerase (DNA directed), theta [Source:MGI Symbol;Acc:MGI:2155399] MSLPRRSRKRRRSSSGSDTFSGDGDSFVSPQLRCGPVLSPPPGLGRGRRLTGTGTNKRRV SDDQIDQLLLANWGLPKAVLEKYHSFGVRKMFEWQAECLLLGHVLEGKNLVYSAPTSAGK TLVAELLILKRVLETRKKALFILPFVSVAKEKKCYLQRPNSNQAGPSQEPPLSLDSK >ENSMUSP00000071396.4 pep:known chromosome:GRCm38:16:37011786:37094992:1 gene:ENSMUSG00000034206.15 transcript:ENSMUST00000071452.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polq description:polymerase (DNA directed), theta [Source:MGI Symbol;Acc:MGI:2155399] MSLPRRSRKRRRSSSGSDTFSGDGDSFVSPQLRCGPVLSPPPGLGRGRRLTGTGTNKRRV SDDQIDQLLLANWGLPKAVLEKYHSFGVRKMFEWQAECLLLGHVLEGKNLVYSAPTSAGK TLVAELLILKRVLETRKKALFILPFVSVAKEKKCYLQSLFQEVGLKVDGYMGSTSPTGQF SSLDIAVCTIERANGLVNRLIEENKMDLLGESILVCKNSEKSKGIALLQGSLEPVHSCLQ RQGEVTASMIRAILEIIVGGVASTSQDMQTYAACTFLAAAIQEGKQGMQRNQDDAQLGAI DACVTWLLENEFIQVAEPGDGTGGKVYHPTHLGSATLSSSLSPTDTLDIFADLQRAMKGF VLENDLHIVYLVTPVFEDWISIDWYRFFCLWEKLPTSMKRVAELVGVEEGFLARCVKGKV VARTERQHRQMAIHKRFFTSLVLLDLISEIPLKDINQKYGCNRGQIQSLQQSAAVYAGMI TVFSNRLGWHNMELLLSQFQKRLTFGIQRELCDLIRVSLLNAQRARFLYASGFLTVADLA RADSAEVEVALKNSLPFKSARKAVDEEEEAAEERRSMRTIWVTGKGLSAREAAALIVEEA KMILQQDLIEMGVRWDPKSPLSSSTHSRTSTSEVKEHTFKSQTKSSHKRLASMGRNSIRA SGSNDKPSPDAERGIDDCSEHADSLCKFQGNFEPQTPSICTARKRTSLGINKEMLRKSLK EGKPSTKEVLQTFSSEKTRKTALSFSSEQVNNTLPSGRDRKYQKKSWGSSPVRDSGMHRG DLQGQTMCTSALCEDSQKSLEEQNAEFRSPGLFAKHLPSCAKEKCKKPSLPLQRQQACSR RSTESCAAVGHPAAGSSPAAARDRRGLAARETEKGNEALTENGGESQLQDTYPVSQYLEY HSEKHTNTCTRQKTLTEGQAGSSYVARDSNDAAPIKCERMKLNSKDRDSNPCRQALGSYT GRTEALQSTAKLGQAGGQCENLLNSSGVQGKTGAHATNRTEHSHASNPAFCDFGDSLDLD TQSEEIIEQMATENTMQGAKAVVIMEEGSAMQNKCHSTPGDQHVPGAANTDHVDSKKVES VKANTEKNINRGAPVSLIFHTQGENGACFKGNEHSVTDSQLNSFLQGFETQEIVKPIIPL APQMRTPTGVEEESLPETSLNMSDSILFDSFGEDGFGQGQSPDIKANQPLLSEMTPNHFS NPPHPQEDPVMTPTVSEPQGTQQQGVCLSGESIIFSDIDSAQVIEALDNMAAFHVQENCN SVALKTLEPSDSAVLGNECPQGKLVRGDQNEGSPKPKLTETNQDNSFTWSGASFNLSPEL QRILDKVSSPRENEKPKMIHVNLSSFEGNSKESHEREEINSDLGTVQRTSVFPSNEVKNR TEGLESKARHGGASSPLPRKESAAADDNGLIPPTPVPASASKVAFPEILGTSVKRQKASS ALQPGESCLFGSPSDNQNQDLSQELRDSLKDYDGSVADTSFFLQSQDGLLLTQASCSSES LAIIDVASDQILFQTFVKEWQCQKRFSISLACEKMTSSMSSKTATIGGKLKQVSLPQEAT VEDAGFPVRGCDGAVVVGLAVCWGAKDAYYLSLQKEQKQSEISPSLAPPPLDATLTVKER MECLQSCLQKKSDRERSVVTYDFIQTYKVLLLSCGISLEPSYEDPKVACWLLDPDSKEPT LHSIVTSFLPHELALLEGMETGPGIQSLGLNVNTEHSGRYRASVESVLIFNSMNQLNSLL QKENLHDIFCKVEMPSQYCLALLELNGIGFSTAECESQKHVMQAKLDAIETQAYQLAGHS FSFTSADDIAQVLFLELKLPPNGEMKTQGSKKTLGSTRRGNESGRRMRLGRQFSTSKDIL NKLKGLHPLPGLILEWRRISNAITKVVFPLQREKHLNPLLRMERIYPVSQSHTATGRITF TEPNIQNVPRDFEIKMPTLVRESPPSQAPKGRFPMAIGQDKKVYGLHPGHRTQMEEKASD RGVPFSVSMRHAFVPFPGGLILAADYSQLELRILAHLSRDCRLIQVLNTGADVFRSIAAE WKMIEPDAVGDDLRQHAKQICYGIIYGMGAKSLGEQMGIKENDAASYIDSFKSRYKGINH FMRDTVKNCRKNGFVETILGRRRYLPGIKDDNPYHKAHAERQAINTTVQGSAADIVKIAT VNIQKQLETFRSTFKSHGHRESMLQNDRTGLLPKRKLKGMFCPMRGGFFILQLHDELLYE VAEEDVVQVAQIVKNEMECAIKLSVKLKVKVKIGASWGELKDFDV >ENSMUSP00000138685.1 pep:known chromosome:GRCm38:16:37011786:37095397:1 gene:ENSMUSG00000034206.15 transcript:ENSMUST00000182946.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Polq description:polymerase (DNA directed), theta [Source:MGI Symbol;Acc:MGI:2155399] MSLPRRSRKRRRSSSGSDTFSGDGDSFVSPQLRCGPVLSPPPGLGRGRRLTGTGTNKRRV SDDQIDQLLLANWGLPKAVLEKYHSFGVRKMFEWQAECLLLGHVLEGKNLVYSAPTSAGK TLVAELLILKRVLETRKKALFILPFVSVAKEKKCYLQRPNSNQAGPSQEPPLSLDSK >ENSMUSP00000059757.5 pep:known chromosome:GRCm38:16:37011786:37095417:1 gene:ENSMUSG00000034206.15 transcript:ENSMUST00000054034.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Polq description:polymerase (DNA directed), theta [Source:MGI Symbol;Acc:MGI:2155399] MSLPRRSRKRRRSSSGSDTFSGDGDSFVSPQLRCGPVLSPPPGLGRGRRLTGTGTNKRRV SDDQIDQLLLANWGLPKAVLEKYHSFGVRKMFEWQAECLLLGHVLEGKNLVYSAPTSAGK TLVAELLILKRVLETRKKALFILPFVSVAKEKKCYLQSLFQEVGLKVDGYMGSTSPTGQF SSLDIAVCTIERANGLVNRLIEENKMDLLGMVVVDELHMLGDSHRGYLLELLLTKICYVT RKSASHQAESASTLSNAVQIVGMSATLPNLQLVASWLNAELYHTDFRPVPLLESIKIGNS IYDSSMKLVREFQPLLQVKGDEDHIVSLCYETIQDNHSVLIFCPSKKWCEKVADIIAREF YNLHHQPEGLVKSSEFPPVILDQKSLLEVMDQLKRSPSGLDSVLKNTVPWGVAFHHAGLT FEERDIIEGAFRQGFIRVLAATSTLSSGVNLPARRVIIRTPIFSGQPLDILTYKQMVGRA GRKGVDTMGESILVCKNSEKSKGIALLQGSLEPVHSCLQRQGEVTASMIRAILEIIVGGV ASTSQDMQTYAACTFLAAAIQEGKQGMQRNQDDAQLGAIDACVTWLLENEFIQVAEPGDG TGGKVYHPTHLGSATLSSSLSPTDTLDIFADLQRAMKGFVLENDLHIVYLVTPVFEDWIS IDWYRFFCLWEKLPTSMKRVAELVGVEEGFLARCVKGKVVARTERQHRQMAIHKRFFTSL VLLDLISEIPLKDINQKYGCNRGQIQSLQQSAAVYAGMITVFSNRLGWHNMELLLSQFQK RLTFGIQRELCDLIRVSLLNAQRARFLYASGFLTVADLARADSAEVEVALKNSLPFKSAR KAVDEEEEAAEERRSMRTIWVTGKGLSAREAAALIVEEAKMILQQDLIEMGVRWDPKSPL SSSTHSRTSTSEVKEHTFKSQTKSSHKRLASMGRNSIRASGSNDKPSPDAERGIDDCSEH ADSLCKFQGNFEPQTPSICTARKRTSLGINKEMLRKSLKEGKPSTKEVLQTFSSEKTRKT ALSFSSEQVNNTLPSGRDRKYQKKSWGSSPVRDSGMHRGDLQGQTMCTSALCEDSQKSLE EQNAEFRSPGLFAKHLPSCAKEKCKKPSLPLQRQQACSRRSTESCAAVGHPAAGSSPAAA RDRRGLAARETEKGNEALTENGGESQLQDTYPVSQYLEYHSEKHTNTCTRQKTLTEGQAG SSYVARDSNDAAPIKCERMKLNSKDRDSNPCRQALGSYTGRTEALQSTAKLGQAGGQCEN LLNSSGVQGKTGAHATNRTEHSHASNPAFCDFGDSLDLDTQSEEIIEQMATENTMQGAKA VVIMEEGSAMQNKCHSTPGDQHVPGAANTDHVDSKKVESVKANTEKNINRGAPVSLIFHT QGENGACFKGNEHSVTDSQLNSFLQGFETQEIVKPIIPLAPQMRTPTGVEEESLPETSLN MSDSILFDSFGEDGFGQGQSPDIKANQPLLSEMTPNHFSNPPHPQEDPVMTPTVSEPQGT QQQGVCLSGESIIFSDIDSAQVIEALDNMAAFHVQENCNSVALKTLEPSDSAVLGNECPQ GKLVRGDQNEGSPKPKLTETNQDNSFTWSGASFNLSPELQRILDKVSSPRENEKPKMIHV NLSSFEGNSKESHEREEINSDLGTVQRTSVFPSNEVKNRTEGLESKARHGGASSPLPRKE SAAADDNGLIPPTPVPASASKVAFPEILGTSVKRQKASSALQPGESCLFGSPSDNQNQDL SQELRDSLKDYDGSVADTSFFLQSQDGLLLTQASCSSESLAIIDVASDQILFQTFVKEWQ CQKRFSISLACEKMTSSMSSKTATIGGKLKQVSLPQEATVEDAGFPVRGCDGAVVVGLAV CWGAKDAYYLSLQKEQKQSEISPSLAPPPLDATLTVKERMECLQSCLQKKSDRERSVVTY DFIQTYKVLLLSCGISLEPSYEDPKVACWLLDPDSKEPTLHSIVTSFLPHELALLEGMET GPGIQSLGLNVNTEHSGRYRASVESVLIFNSMNQLNSLLQKENLHDIFCKVEMPSQYCLA LLELNGIGFSTAECESQKHVMQAKLDAIETQAYQLAGHSFSFTSADDIAQVLFLELKLPP NGEMKTQGSKKTLGSTRRGNESGRRMRLGRQFSTSKDILNKLKGLHPLPGLILEWRRISN AITKVVFPLQREKHLNPLLRMERIYPVSQSHTATGRITFTEPNIQNVPRDFEIKMPTLVR ESPPSQAPKGRFPMAIGQDKKVYGLHPGHRTQMEEKASDRGVPFSVSMRHAFVPFPGGLI LAADYSQLELRILAHLSRDCRLIQVLNTGADVFRSIAAEWKMIEPDAVGDDLRQHAKQIC YGIIYGMGAKSLGEQMGIKENDAASYIDSFKSRYKGINHFMRDTVKNCRKNGFVETILGR RRYLPGIKDDNPYHKAHAERQAINTTVQGSAADIVKIATVNIQKQLETFRSTFKSHGHRE SMLQNDRTGLLPKRKLKGMFCPMRGGFFILQLHDELLYEVAEEDVVQVAQIVKNEMECAI KLSVKLKVKVKIGASWGELKDFDV >ENSMUSP00000147225.1 pep:known chromosome:GRCm38:7:106823489:106824616:-1 gene:ENSMUSG00000056863.3 transcript:ENSMUST00000208895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr702 description:olfactory receptor 702 [Source:MGI Symbol;Acc:MGI:3030536] MGGGNQTYIVEFILLGLSENPKVQILLFCIFLIIYFLSVFGNLVIIILIQIDSRLHTPMY FFLKNLSFADLCFSTSIVPQMLVHFLSKRKTISFIGCSIQIVVFLLAGCTECALLAVMSY DRYVAVCKPLHYSTIMTQRVCCQLAIVSWISGAFACSVDSAFTLCIPYQGQNVINHYFCE PPALLKLASADTYNAEMALFLVGVIILLAPVSLILVSYGNIISTVIRMQSREGRLKVFST CGSHLTVVVLYYGSGIFAYMRPNSKTMSEKDKVVSVFYSVMTSMLNPIIYSLRNKDVKGA LGKLVGRLSTVKGGAAEM >ENSMUSP00000146445.1 pep:known chromosome:GRCm38:7:106824151:106833893:-1 gene:ENSMUSG00000056863.3 transcript:ENSMUST00000208864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr702 description:olfactory receptor 702 [Source:MGI Symbol;Acc:MGI:3030536] MGGGNQTYIVEFILLGLSENPKVQILLFCIFLIIYFLSVFGNLVIIILIQIDSRLHTPMY FFLKNLSFADLCFSTSIVPQMLVHFLSKRKTISFIGCSIQIVVFLLAGCTECALLAVMSY DRYVA >ENSMUSP00000147086.1 pep:known chromosome:GRCm38:7:106824477:106826743:-1 gene:ENSMUSG00000056863.3 transcript:ENSMUST00000208989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr702 description:olfactory receptor 702 [Source:MGI Symbol;Acc:MGI:3030536] MGGGNQTYIVEFILLG >ENSMUSP00000079704.2 pep:known chromosome:GRCm38:7:106823489:106826743:-1 gene:ENSMUSG00000056863.3 transcript:ENSMUST00000080899.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr702 description:olfactory receptor 702 [Source:MGI Symbol;Acc:MGI:3030536] MGGGNQTYIVEFILLGLSENPKVQILLFCIFLIIYFLSVFGNLVIIILIQIDSRLHTPMY FFLKNLSFADLCFSTSIVPQMLVHFLSKRKTISFIGCSIQIVVFLLAGCTECALLAVMSY DRYVAVCKPLHYSTIMTQRVCCQLAIVSWISGAFACSVDSAFTLCIPYQGQNVINHYFCE PPALLKLASADTYNAEMALFLVGVIILLAPVSLILVSYGNIISTVIRMQSREGRLKVFST CGSHLTVVVLYYGSGIFAYMRPNSKTMSEKDKVVSVFYSVMTSMLNPIIYSLRNKDVKGA LGKLVGRLSTVKGGAAEM >ENSMUSP00000091033.5 pep:known chromosome:GRCm38:8:87563853:87589424:1 gene:ENSMUSG00000069971.5 transcript:ENSMUST00000093342.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933402J07Rik description:RIKEN cDNA 4933402J07 gene [Source:MGI Symbol;Acc:MGI:3607717] MTEVVEDTENLMPTERKSFWRSAEERRMSDLTRVLEWLERRQGKKRALQKKKEETKSTEE KAGKKNVGAKKKLEKVSRKVTFHKGYVRPVKKKEPTIYHKRNEIDLKSKRLSMITANFRD SNKKSGQSGSRRTSESTDIDIKDVIALETNSRVSAFRRQSSVDPGIQEGMFGSRRAGILR EWANKAPDNNYERKLKSLMEKGMDPKMEISKMLKPEEVLSCRYLRLSKNNIKTLIKLCKD AGMDVDIHPHMVEAEIDAKKIFGQRLSVAL >ENSMUSP00000072983.2 pep:known chromosome:GRCm38:1:87190637:87200070:1 gene:ENSMUSG00000026251.13 transcript:ENSMUST00000073252.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrnd description:cholinergic receptor, nicotinic, delta polypeptide [Source:MGI Symbol;Acc:MGI:87893] MAGPVLTLGLLAALVVCALPGSWGLNEEQRLIQHLFNEKGYDKDLRPVARKEDKVDVALS LTLSNLISLKEVEETLTTNVWIDHAWVDSRLQWDANDFGNITVLRLPPDMVWLPEIVLEN NNDGSFQISYACNVLVYDSGYVTWLPPAIFRSSCPISVTYFPFDWQNCSLKFSSLKYTAK EITLSLKQEEENNRSYPIEWIIIDPEGFTENGEWEIVHRAAKLNVDPSVPMDSTNHQDVT FYLIIRRKPLFYIINILVPCVLISFMINLVFYLPGDCGEKTSVAISVLLAQSVFLLLISK RLPATSMAIPLVGKFLLFGMVLVTMVVVICVIVLNIHFRTPSTHVLSEGVKKFFLETLPK LLHMSRPAEEDPGPRALIRRSSSLGYICKAEEYFSLKSRSDLMFEKQSERHGLARRLTTA RRPPASSEQVQQELFNEMKPAVDGANFIVNHMRDQNSYNEEKDNWNQVARTVDRLCLFVV TPVMVVGTAWIFLQGVYNQPPLQPFPGDPFSYSEQDKRFI >ENSMUSP00000139537.1 pep:known chromosome:GRCm38:1:87190844:87200069:1 gene:ENSMUSG00000026251.13 transcript:ENSMUST00000186373.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrnd description:cholinergic receptor, nicotinic, delta polypeptide [Source:MGI Symbol;Acc:MGI:87893] MVWLPEIVLENNNDGSFQISYACNVLVYDSGYVTWLPPAIFRSSCPISVTYFPFDWQNCS LKFSSLKYTAKEITLSLKQEEENNRSYPIEWIIIDPEGFTENGEWEIVHRAAKLNVDPSV PMDSTNHQDVTFYLIIRRKPLFYIINILVPCVLISFMINLVFYLPGDCGEKTSVAISVLL AQSVFLLLISKRLPATSMAIPLVGKFLLFGMVLVTMVVVICVIVLNIHFRTPSTHVLSEG VKKFFLETLPKLLHMSRPAEEDPGPRALIRRSSSLGYICKAEEYFSLKSRSDLMFEKQSE RHGLARRLTTARRPPASSEQVQQELFNEMKPAVDGANFIVNHMRDQNSYNEEKDNWNQVA RTVDRLCLFVVTPVMVVGTAWIFLQGVYNQPPLQPFPGDPFSYSEQDKRFI >ENSMUSP00000119827.1 pep:known chromosome:GRCm38:16:31296192:31314808:-1 gene:ENSMUSG00000022548.14 transcript:ENSMUST00000130560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apod description:apolipoprotein D [Source:MGI Symbol;Acc:MGI:88056] MVTMLMFLATLAGLFTTAKGQNFHLGKCPSPPVQENFDVKKYLGRWYEIEKIPASFEKGN CIQANYSLMENGNIEVLNKELSPDGTMNQVKGEAKQSNVSEPAKLEVQFFPLMPPAPYWI LATDYENYALVYSCTTFFWLFHVDFVWILGRNPYLPPETITYLKDILTSNGIDIEKMTTT DQANCPDFL >ENSMUSP00000110885.1 pep:known chromosome:GRCm38:16:31297122:31314804:-1 gene:ENSMUSG00000022548.14 transcript:ENSMUST00000115230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apod description:apolipoprotein D [Source:MGI Symbol;Acc:MGI:88056] MVTMLMFLATLAGLFTTAKGQNFHLGKCPSPPVQENFDVKKYLGRWYEIEKIPASFEKGN CIQANYSLMENGNIEVLNKELSPDGTMNQVKGEAKQSNVSEPAKLEVQFFPLMPPAPYWI LATDYENYALVYSCTTFFWLFHVDFVWILGRNPYLPPETITYLKDILTSNGIDIEKMTTT DQANCPDFL >ENSMUSP00000037036.7 pep:known chromosome:GRCm38:7:24777206:24784656:1 gene:ENSMUSG00000054169.7 transcript:ENSMUST00000038069.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam10 description:carcinoembryonic antigen-related cell adhesion molecule 10 [Source:MGI Symbol;Acc:MGI:1347248] MELASAHLHKGQVPWVGLLLTASLLTYWSPATTAQVTVEAVPPNVTADNNVLLLVHNLPQ TLRVFYWYKGNSGAGHNEIGRFVTSINRSKMGLAHSGRETIYSNGSLFFQSVTKNDEGVY TLYMLDQNFEITPISVRFHVHPSLLPSLSPPTTGQVTVEAVRPNVAEGENVLLLVHNLPR TLRAIYWYRGTTAGERNEIARFITASNKIILGPAHSDREIIYNNGSLFFQGVTKNDEGAY ALDMLFQNFDHTLMPVQFNVHAKKQ >ENSMUSP00000146217.1 pep:known chromosome:GRCm38:7:24777228:24784652:1 gene:ENSMUSG00000054169.7 transcript:ENSMUST00000206847.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ceacam10 description:carcinoembryonic antigen-related cell adhesion molecule 10 [Source:MGI Symbol;Acc:MGI:1347248] MELASAHLHKGQVPWVGLLLTASLLPSLSPPTTGQVTVEAVRPNVAEGENVLLLVHNLPR TLRAIYWYRGTTAGERNEIARFITASNKIILGPAHSDREIIYNNGSLFFQGVTKNDEGAY ALDMLFQNFDHTLMPVQFNVHAKKQ >ENSMUSP00000146284.1 pep:known chromosome:GRCm38:7:24777281:24784657:1 gene:ENSMUSG00000054169.7 transcript:ENSMUST00000206850.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ceacam10 description:carcinoembryonic antigen-related cell adhesion molecule 10 [Source:MGI Symbol;Acc:MGI:1347248] XLASAHLHKGQVPWVGLLLTASLLTYWSPATTAQVTVEAVPPNVTADNNVLLLVHNLPQT LRVFYCLTFTLLEPSHHWPSHR >ENSMUSP00000062475.2 pep:known chromosome:GRCm38:6:38287396:38299259:-1 gene:ENSMUSG00000047749.2 transcript:ENSMUST00000058524.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3hav1l description:zinc finger CCCH-type, antiviral 1-like [Source:MGI Symbol;Acc:MGI:2443387] MADLTLCAFLTKVLCAHGGRMFLQDLRGHVELSEAKLRAVLRRAGPERFLLQEVELRDGP WDAEAEVAAGEGAGAGSGGGATACRVMAVSSARLCARYQRGECQGCDQLHLCRRHMLGKC PHRDCWSTCSLSHDIHTPINVQVLKSRGLFGLNEGQLRILLLQNDPCLFPEVCQMYNKGV DVLYGYCSLKDRCNKFHVCKSFVRGECPFQPCKRSHQLIHAATLKLLEDQELSVSSVVNF QIISVYRHKKLHKMLEEKDHSASTEQPQGLGKQGALGAVEARPFLPARAQSPRKPQ >ENSMUSP00000069076.4 pep:known chromosome:GRCm38:4:21727701:21750546:1 gene:ENSMUSG00000028252.20 transcript:ENSMUST00000065928.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnc description:cyclin C [Source:MGI Symbol;Acc:MGI:1858199] MAGNFWQSSHYLQWILDKQDLLKERQKDLKFLSEEEYWKLQIFFTNVIQALGEHLKLRQQ VIATATVYFKRFYARYSLKSIDPVLMAPTCVFLASKVEEFGVVSNTRLIAATTSVLKTRF SYAFPKEFPYRMNHILECEFYLLELMDCCLIVYHPYRPLLQYVQDMGQEDVLLPLAWRIV NDTYRTDLCLLYPPFMIALACLHVACVVQQKDARQWFAELSVDMEKILEIIRVILKLYEQ WKNFDERKEMATILSKMPKPKPPPNRSSLSDSPLMAGPEAAR >ENSMUSP00000100062.1 pep:known chromosome:GRCm38:4:21727726:21750546:1 gene:ENSMUSG00000028252.20 transcript:ENSMUST00000102997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnc description:cyclin C [Source:MGI Symbol;Acc:MGI:1858199] MAGNFWQSSHYLQWILDKQDLLKERQKDLKFLSEEEYWKLQIFFTNVIQALGEHLKLRQQ VIATATVYFKRFYARYSLKSIDPVLMAPTCVFLASKVEEFGVVSNTRLIAATTSVLKTRF SYAFPKEFPYRMNHILECEFYLLELMDCCLIVYHPYRPLLQYVQDMGQEDVLLPLAWRIV NDTYRTDLCLLYPPFMIALACLHVACVVQQKDARQWFAELSVDMEKILEIIRVILKLYEQ WKNFDERKEMATILSKMPKPKPPPNSEGEQGPNGSQNSSYSQS >ENSMUSP00000113682.1 pep:known chromosome:GRCm38:4:21727726:21759922:1 gene:ENSMUSG00000028252.20 transcript:ENSMUST00000120679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnc description:cyclin C [Source:MGI Symbol;Acc:MGI:1858199] MAGNFWQSSHYLQWILDKQDLLKERQKDLKFLSEEEYWKLQIFFTNVIQALGEHLKLRQQ VIATATVYFKRFYARYSLKSIDPVLMAPTCVFLASKVEEFGVVSNTRLIAATTSVLKTRF SYAFPKEFPYRMNHILECEFYLLELMDCCLIVYHPYRPLLQYVQDMGQEDVLLPLAWRIV NDTYRTDLCLLYPPFMIALACLHVACVVQQKDARQWFAELSVDMEKILEIIRVILKLYEQ WKNFDERKEMATILSKMPKPKPPPNS >ENSMUSP00000103875.2 pep:known chromosome:GRCm38:4:21727755:21747962:1 gene:ENSMUSG00000028252.20 transcript:ENSMUST00000108240.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnc description:cyclin C [Source:MGI Symbol;Acc:MGI:1858199] MAGNFWQSSHYLQWILDKQDLLKERQKDLKFLSEEEYWKLQIFFTNVIQALGEHLKLRQQ VIATATVYFKRFYARYSLKSIDPVLMAPTCVFLASKVEEFGVVSNTRLIAATTSVLKTRF SYAFPKEFPYRMNHILECEFYLLELMDCCLIVYHPYRPLLQYVQDMGQEDVLLPLAWRIV NDTYRTDLCLLYPPFMIALACLHVACVVQQKDARQWFAELSVDMEKILEIIRVILKLYEQ WKNFDERKEMATILSKMPKPKPPPNRSSLSDSPLMAGPEAAR >ENSMUSP00000031533.7 pep:known chromosome:GRCm38:6:16833373:16898441:-1 gene:ENSMUSG00000029553.10 transcript:ENSMUST00000031533.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfec description:transcription factor EC [Source:MGI Symbol;Acc:MGI:1333760] MTFDCRVCDQTLKRAQPPAASCMPLAEHEPMSPDSDAGCAGNPFTNLLALGKKDGAEKWH LSGSILDVYSDEQGISSANAGLTDAPCPSILPMRKEIAETDGRALAKERQKKDNHNLIER RRRYNINYRIKELGTLIPKSNDPDMRWNKGTILKASVDYIKWLQKEQQRARELEHRQKKL EHANRQLRLRIQELEIQARAHGLPILASLGTADVGTHITKQQTHPERNLGGCCLQLTPTQ GTSPEFYEQAVAFSDPLSHFTDLSFSAALKEEQRLDGMLLSDTICPFGTDPLLSAISPAV SKASSRSSLSSEDGDEL >ENSMUSP00000143880.1 pep:known chromosome:GRCm38:6:16833388:16898399:-1 gene:ENSMUSG00000029553.10 transcript:ENSMUST00000202997.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tfec description:transcription factor EC [Source:MGI Symbol;Acc:MGI:1333760] MTFDCRVCDQTLKRAQPPAASCMPLAEHEPMSPDSDAGCAGNPFTNLLALGKKDGAEKWH LSGSILDVYSDEQGISSANAGLTDAPCPSILPMRKEIAETDGRALAKERQKKDNHNLMIC AGTKGPF >ENSMUSP00000144445.1 pep:known chromosome:GRCm38:6:16834692:16835263:-1 gene:ENSMUSG00000029553.10 transcript:ENSMUST00000201406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfec description:transcription factor EC [Source:MGI Symbol;Acc:MGI:1333760] XLEHRQKKLEHANRQLRLRIQVVMRWLP >ENSMUSP00000081574.5 pep:known chromosome:GRCm38:4:62360727:62391769:1 gene:ENSMUSG00000066150.12 transcript:ENSMUST00000084526.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc31a1 description:solute carrier family 31, member 1 [Source:MGI Symbol;Acc:MGI:1333843] MNHMGMNHMEMHHHMGMNHTDDNITMPPHHHPTTSASHSHGGGDSMMMMPMTFYFDFKNV NLLFSGLVINTPGEMAGAFVAVFLLAMFYEGLKIAREGLLRKSQVSIRYNSMPVPGPNGT ILMETHKTVGQQMLSFPHLLQTVLHIIQVVISYFLMLIFMTYNGYLCIAVAAGAGTGYFL FSWKKAVVVDITEHCH >ENSMUSP00000112822.1 pep:known chromosome:GRCm38:4:62385501:62391769:1 gene:ENSMUSG00000066150.12 transcript:ENSMUST00000122092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc31a1 description:solute carrier family 31, member 1 [Source:MGI Symbol;Acc:MGI:1333843] MNHMGMNHMEMHHHMGMNHTDDNITMPPHHHPTTSASHSHGGGDSMMMMPMTFYFDFKNV NLLFSGLVINTPGEMAGAFVAVFLLAMFYEGLKIAREGLLRKSQVSIRYNSMPVPGPNGT ILMETHKTVG >ENSMUSP00000102981.2 pep:known chromosome:GRCm38:11:100780731:100822528:-1 gene:ENSMUSG00000020919.11 transcript:ENSMUST00000107358.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat5b description:signal transducer and activator of transcription 5B [Source:MGI Symbol;Acc:MGI:103035] MAMWIQAQQLQGDALHQMQALYGQHFPIEVRHYLSQWIESQAWDSIDLDNPQENIKATQL LEGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQSTYDRCPMELVRCIRHILYNEQRLV REANNGSSPAGSLADAMSQKHLQINQTFEELRLITQDTENELKKLQQTQEYFIIQYQESL RIQAQFAQLGQLNPQERMSRETALQQKQVSLETWLQREAQTLQQYRVELAEKHQKTLQLL RKQQTIILDDELIQWKRRQQLAGNGGPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHLC QQLPIPGPVEEMLAEVNATITDIISALVTSTFIIEKQPPQVLKTQTKFAATVRLLVGGKL NVHMNPPQVKATIISEQQAKSLLKNENTRNDYSGEILNNCCVMEYHQATGTLSAHFRNMS LKRIKRSDRRGAESVTEEKFTILFDSQFSVGGNELVFQVKTLSLPVVVIVHGSQDNNATA TVLWDNAFAEPGRVPFAVPDKVLWPQLCEALNMKFKAEVQSNRGLTKENLVFLAQKLFNI SSNHLEDYNSMSVSWSQFNRENLPGRNYTFWQWFDGVMEVLKKHLKPHWNDGAILGFVNK QQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSQERMFWNLMPFTTRDFSIRSLADRL GDLNYLIYVFPDRPKDEVYSKYYTPVPCEPATAKAADGYVKPQIKQVVPEFANASTDAGS GATYMDQAPSPVVCPQAHYNMYPPNPDSVLDTDGDFDLEDTMDVARRVEELLGRPMDSQW IPHAQS >ENSMUSP00000004143.2 pep:known chromosome:GRCm38:11:100780731:100850724:-1 gene:ENSMUSG00000020919.11 transcript:ENSMUST00000004143.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat5b description:signal transducer and activator of transcription 5B [Source:MGI Symbol;Acc:MGI:103035] MAMWIQAQQLQGDALHQMQALYGQHFPIEVRHYLSQWIESQAWDSIDLDNPQENIKATQL LEGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQSTYDRCPMELVRCIRHILYNEQRLV REANNGSSPAGSLADAMSQKHLQINQTFEELRLITQDTENELKKLQQTQEYFIIQYQESL RIQAQFAQLGQLNPQERMSRETALQQKQVSLETWLQREAQTLQQYRVELAEKHQKTLQLL RKQQTIILDDELIQWKRRQQLAGNGGPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHLC QQLPIPGPVEEMLAEVNATITDIISALVTSTFIIEKQPPQVLKTQTKFAATVRLLVGGKL NVHMNPPQVKATIISEQQAKSLLKNENTRNDYSGEILNNCCVMEYHQATGTLSAHFRNMS LKRIKRSDRRGAESVTEEKFTILFDSQFSVGGNELVFQVKTLSLPVVVIVHGSQDNNATA TVLWDNAFAEPGRVPFAVPDKVLWPQLCEALNMKFKAEVQSNRGLTKENLVFLAQKLFNI SSNHLEDYNSMSVSWSQFNRENLPGRNYTFWQWFDGVMEVLKKHLKPHWNDGAILGFVNK QQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSQERMFWNLMPFTTRDFSIRSLADRL GDLNYLIYVFPDRPKDEVYSKYYTPVPCEPATAKAADGYVKPQIKQVVPEFANASTDAGS GATYMDQAPSPVVCPQAHYNMYPPNPDSVLDTDGDFDLEDTMDVARRVEELLGRPMDSQW IPHAQS >ENSMUSP00000118782.1 pep:known chromosome:GRCm38:16:3872375:3900811:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000151988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYR GAIVGMIVAEIKNRTKIHKEDGDILASSFSVDTQVAYILSLGVVKEFRKHGIGSLLLESL KDHISTTAQDHCKAIYLHVLTTNNTAINFYENRDFRQHHYLPYYYSIRG >ENSMUSP00000134768.1 pep:known chromosome:GRCm38:16:3884659:3902048:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000176625.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MIVAEIKNRTKIHKEDGDILASSFSVDTQVAYILSLGVVKEFRKHGIGSLLLESLKDHIS TTAQDHCKAIYLHVLTTNNTAINFYENRDFRQHHYLPYYYSIRGVLKDGFTYVLYINGGH PPWTILDYIQHLGSALANLSPCSIPHRIYRQAHSLLCSFLPWSSISTKGGIEYSRTM >ENSMUSP00000114615.1 pep:known chromosome:GRCm38:16:3884668:3897348:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000135093.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEGFRTLWQRGFETAAHSPVDRKSR GVVTEKATSKLFPLRALPQ >ENSMUSP00000135188.1 pep:known chromosome:GRCm38:16:3884683:3903749:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000143537.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYR GAIVGMIVAEIKNRTKIHKEDGDILASSFSVDTQVAYILSLGVVKEFRKHGIGPLQSHLP ACSHHQQYSNKLL >ENSMUSP00000006138.6 pep:known chromosome:GRCm38:16:3884683:3904770:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000006138.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYR GAIVGMIVAEIKNRTKIHKEDGDILASSFSVDTQVAYILSLGVVKEFRKHGIGSLLLESL KDHISTTAQDHCKAIYLHVLTTNNTAINFYENRDFRQHHYLPYYYSIRGVLKDGFTYVLY INGGHPPWTILDYIQHLGSALANLSPCSIPHRIYRQAHSLLCSFLPWSSISTKGGIEYSR TM >ENSMUSP00000135141.1 pep:known chromosome:GRCm38:16:3884686:3897379:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000147949.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEGFRTLWQRGFETAAHSPVDRKSR GVVTEKATSKLFPLRALPQ >ENSMUSP00000111526.1 pep:known chromosome:GRCm38:16:3884769:3904769:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000115860.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYR GAIVGMIVAEIKNRTKIHKEDGDILASSFSVDTQVAYILSLGVVKEFRKHGIGSLLLESL KDHISTTAQDHCKAIYLHVLTTNNTAINFYENRDFRQHHYLPYYYSIRGVLKDGFTYVLY INGGHPPWTILDYIQHLGSALANLSPCSIPHRIYRQAHSLLCSFLPWSSISTKGGIEYSR TM >ENSMUSP00000135810.1 pep:known chromosome:GRCm38:16:3894375:3901814:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000175809.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYR GAIVGMIVAEIKNRTKIHKESPQTTSSTWAQH >ENSMUSP00000135152.1 pep:known chromosome:GRCm38:16:3894375:3901939:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000176224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYR GAIVGMIVAEIKNRTKIHKEDGDILASSFSVDTQVAYILSLGVVKEFRKHGIEPTDYIQH LGSALANLSPCSIPHRIYRQAHSLLCSFLPWSSISTKGGIEYSRTM >ENSMUSP00000135206.1 pep:known chromosome:GRCm38:16:3894375:3902376:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000150655.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYR GAIVGMIVAEIKNRTKIHKEDGDILASSFSVDTQVAYILSLGVVKEFRKHGIGSLLLESL KDHISTTAQDHCKAIYLHVLTTNNTAINFYENRDFRQHHYLPYYYSIRGVLKDGFTYVLY INGGHPPWTILDYIQHLGSALANLSPCSIPHRIYRQAHSLLCSFLPWSSISTKGGIEYSR TM >ENSMUSP00000140031.1 pep:known chromosome:GRCm38:16:3884619:3904780:1 gene:ENSMUSG00000005982.13 transcript:ENSMUST00000186375.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa60 description:N(alpha)-acetyltransferase 60, NatF catalytic subunit [Source:MGI Symbol;Acc:MGI:1922013] MTEVVPSSALSEVSLRLLCHDDIDTVKHLCGDWFPIEYPDSWYRDITSNKKFFSLAATYR GAIVGMIVAEIKNRTKIHKEDGDILASSFSVDTQVAYILSLGVVKEFRKHGIGSLLLESL KDHISTTAQDHCKAIYLHVLTTNNTAINFYENRDFRQHHYLPYYYSIRGVLKDGFTYVLY INGGHPPWTILDYIQHLGSALANLSPCSIPHRIYRQAHSLLCSFLPWSSISTKGGIEYSR TM >ENSMUSP00000143054.1 pep:known chromosome:GRCm38:3:129808572:129822505:-1 gene:ENSMUSG00000028012.15 transcript:ENSMUST00000200079.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrh description:retinal pigment epithelium derived rhodopsin homolog [Source:MGI Symbol;Acc:MGI:1097709] MLSEASDFNSSGSRSEGSVFSRTEHSVIAAYLIVAGRRMTTNTYLSMILGAWINGLFWAL MPIIGWASYAPDPTGATCTINWRNNDTSFVSYTMMVIVVNFIVPLTVMFYCYYHVSRSLR LYAASDCTAHLHRDWADQADVTKMSVIMILMFLLAWSPYSIVCLWACFGNPKKIPPSMAI IAPLFAKSSTFYNPCIYVAAHKKFRKAMLAMFKCQPHLAVPEPSTLPMDMPQSSLAPVRI >ENSMUSP00000029648.7 pep:known chromosome:GRCm38:3:129808575:129822491:-1 gene:ENSMUSG00000028012.15 transcript:ENSMUST00000029648.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrh description:retinal pigment epithelium derived rhodopsin homolog [Source:MGI Symbol;Acc:MGI:1097709] MLSEASDFNSSGSRSEGSVFSRTEHSVIAAYLIVAGITSILSNVVVLGIFIKYKELRTPT NAVIINLAFTDIGVSSIGYPMSAASDLHGSWKFGHAGCQFQGRNDRQVTGCLYTCLVPHV CNAGGAKRRAVDLLKLTLQMDIYAGLNIFFGMVSIGLLTVVAMDRYLTISCPDVGRRMTT NTYLSMILGAWINGLFWALMPIIGWASYAPDPTGATCTINWRNNDTSFVSYTMMVIVVNF IVPLTVMFYCYYHVSRSLRLYAASDCTAHLHRDWADQADVTKMSVIMILMFLLAWSPYSI VCLWACFGNPKKIPPSMAIIAPLFAKSSTFYNPCIYVAAHKKFRKAMLAMFKCQPHLAVP EPSTLPMDMPQSSLAPVRI >ENSMUSP00000143093.1 pep:known chromosome:GRCm38:3:129808801:129822587:-1 gene:ENSMUSG00000028012.15 transcript:ENSMUST00000196902.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrh description:retinal pigment epithelium derived rhodopsin homolog [Source:MGI Symbol;Acc:MGI:1097709] MLSEASDFNSSGSRSEGSVFSRTEHSVIAAYLIVAGITSILSNVVVLGIFIKYKELRTPT NAVIINLAFTDIGVSSIGYPMSAASDLHGSWKFGHAGCQIYAGLNIFFGMVSIGLLTVVA MDRYLTISCPDVGRRMTTNTYLSMILGAWINGLFWALMPIIGWASYAPDPTGATCTINWR NNDTSFVSYTMMVIVVNFIVPLTVMFYCYYHVSRSLRLYAASDCTAHLHRDWADQADVTK MSVIMILMFLLAWSPYSIVCLWACFGNPKKIPPSMAIIAPLFAKSSTFYNPCIYVAAHKK FRKAMLAMFKCQPHLAVPEPSTLPMDMPQSSLAPVRI >ENSMUSP00000143245.1 pep:known chromosome:GRCm38:3:129808909:129822462:-1 gene:ENSMUSG00000028012.15 transcript:ENSMUST00000197535.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rrh description:retinal pigment epithelium derived rhodopsin homolog [Source:MGI Symbol;Acc:MGI:1097709] XFNSSGSRSEGSVFSRTEHSVIAAYLIVAGITSILSNVVVLGIFIKYKELRTPTNAVIIN LAFTDIGVSSIGYPMSAASDLHGSWKFGHAGCQLVRVLHHDGYRGELHCALDSDVLLLLP RQSVPETLRR >ENSMUSP00000132360.1 pep:known chromosome:GRCm38:3:129804408:129822493:-1 gene:ENSMUSG00000028012.15 transcript:ENSMUST00000171313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rrh description:retinal pigment epithelium derived rhodopsin homolog [Source:MGI Symbol;Acc:MGI:1097709] MLSEASDFNSSGSRSEGSVFSRTEHSVIAAYLIVAGITSILSNVVVLGIFIKYKELRTPT NAVIINLAFTDIGVSSIGYPMSAASDLHGSWKFGHAGCQIYAGLNIFFGMVSIGLLTVVA MDRYLTISCPDVGRRMTTNTYLSMILGAWINGLFWALMPIIGWASYAPDPTGATCTINWR NNDTSFVSYTMMVIVVNFIVPLTVMFYCYYHVSRSLRLYAASDCTAHLHRDWADQADVTK MSVIMILMFLLAWSPYSIVCLWACFGNPKKIPPSMAIIAPLFAKSSTFYNPCIYVAAHKK FRKAMLAMFKCQPHLAVPEPSTLPMDMPQSSLAPVRI >ENSMUSP00000114314.1 pep:known chromosome:GRCm38:3:29082023:29153601:1 gene:ENSMUSG00000063600.14 transcript:ENSMUST00000124809.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfem1 description:EGF-like and EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:1922990] MRSWLVLSRPNVCREEQLTLVRLSRPCAQAFIDTIQFWKQGCSGPRWCVGYERRIRYYII YRHVYATEHQTVFRCCPGWIQWDDEPGCFSSLSSLGTHFSGRECSYQDTRQCLCSQGFHG PHCQY >ENSMUSP00000118156.1 pep:known chromosome:GRCm38:3:29082300:29582912:1 gene:ENSMUSG00000063600.14 transcript:ENSMUST00000146943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfem1 description:EGF-like and EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:1922990] MLDASPATARSPLEKRRPNVCREEQLTLVRLSRPCAQAFIDTIQFWKQGCSGPRWCVGYE RRIRYYIIYRHVYATEHQTVFRCCPGWIQWDDEPGCFSSLSSLGTHFSGRECSYQDTRQC LCSQGFHGPHCQYDINECAVDNGGCRDRCCNTIGSYYCRCQAGQKLEEDGRGCEDV >ENSMUSP00000112943.1 pep:known chromosome:GRCm38:3:29082733:29691209:1 gene:ENSMUSG00000063600.14 transcript:ENSMUST00000119598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfem1 description:EGF-like and EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:1922990] MTSPLCFWCFCVWAAANWPPGSALQLQPGMPNVCREEQLTLVRLSRPCAQAFIDTIQFWK QGCSGPRWCVGYERRIRYYIIYRHVYATEHQTVFRCCPGWIQWDDEPGCFSSLSSLGTHF SGRECSYQDTRQCLCSQGFHGPHCQYEKNKHLESELTPGFLQKNVDECAVVNGGCQQRCI NTLGTFHCECDTGYRRHADERTCIKTDPCAGANGCAHLCQTENGMARCACHAGYQLSEDK KACEDINECAEELAPCAHHCVNSKGSFTCTCHPGFELGADRKHCYRIELEIVNICEKNNG GCSHHCEPAIGGAHCSCNHGHQLDTDGKTCIDFDECESGEACCAQLCINYLGGYECSCEE GFQISSDGCGCDALDEQLEEEEEEIDILRFPGRLAQNPPQPFPYLDPSLTASYEDEDNDD ADSEAEGEVQGLTALYRVVCLDGTFGLDCSLSCEDCMNGGRCQEGKSGCLCPAEWTGLIC NESSVLRTGEDQQAPAGCLKGFFGKNCKRKCHCANNVHCHRVYGACMCDLGRYGRFCHLS CPRGAYGASCSLECQCVEENTLECSAKNGSCTCKSGYQGNRCQEELPLPA >ENSMUSP00000112907.1 pep:known chromosome:GRCm38:3:29082771:29690510:1 gene:ENSMUSG00000063600.14 transcript:ENSMUST00000118531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfem1 description:EGF-like and EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:1922990] MTSPLCFWCFCVWAAANWPPGSALQLQPGMPNVCREEQLTLVRLSRPCAQAFIDTIQFWK QGCSGPRWCVGYERRIRYYIIYRHVYATEHQTVFRCCPGWIQWDDEPGCFSSLSSLGTHF SGRECSYQDTRQCLCSQGFHGPHCQYDINECAVDNGGCRDRCCNTIGSYYCRCQAGQKLE EDGRGCEDVDECAVVNGGCQQRCINTLGTFHCECDTGYRRHADERTCIRIELEIVNICEK NNGGCSHHCEPAIGGAHCSCNHGHQLDTDGKTCIDFDECESGEACCAQLCINYLGGYECS CEEGFQISSDGCGCDALDEQLEEEEEEIDILRFPGRLAQNPPQPFPYLDPSLTASYEDED NDDADSEAEGEVQGLTALYRVVCLDGTFGLDCSLSCEDCMNGGRCQEGKSGCLCPAEWTG LICNESSVLRTGEDQQAPAGCLKGFFGKNCKRKCHCANNVHCHRVYGACMCDLGRYGRFC HLSCPRGAYGASCSLECQCVEENTLECSAKNGSCTCKSGYQGNRCQEELPLPA >ENSMUSP00000117016.1 pep:known chromosome:GRCm38:3:29513906:29670734:1 gene:ENSMUSG00000063600.14 transcript:ENSMUST00000140288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfem1 description:EGF-like and EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:1922990] XDNGGCRDRCCNTIGSYYCRCQAGQKLEEDGRGCEDVDECAVVNGGCQQRCINTLGTFHC ECDTGYRRHADERTCIRIELEIVNICEKNNGGCSHHCEPAIGGAHCSCNHGHQLDTDGKT CIALDEQLEEEEEEIDILRFPGRLAQNPPQPFPYLDPSLTASYEDEDNDDADSEAEGEVQ GLTALYRVVCLDGTFGLDCSLSCEDCMNGGRCQEGKSGCLCPAEWTGLICNESSVLRTGE DQQAP >ENSMUSP00000030771.5 pep:known chromosome:GRCm38:5:21757267:21785251:-1 gene:ENSMUSG00000029014.14 transcript:ENSMUST00000030771.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc2 description:DnaJ heat shock protein family (Hsp40) member C2 [Source:MGI Symbol;Acc:MGI:99470] MLLLPSAAEGQGTAITHALTSASSVCQVEPVGRWFEAFVKRRNRNASTSFQELEDKKELS EESEDEELQLEEFPMLKTLDPKDWKNQDHYAVLGLGHVRYTATQRQIKAAHKAMVLKHHP DKRKAAGEPIKEGDNDYFTCITKAYEMLSDPVKRRAFNSVDPTFDNSVPSKSEAKDNFFQ VFSPVFERNSRWSNKKNVPKLGDMNSSFEDVDAFYSFWYNFDSWREFSYLDEEEKEKAEC RDERKWIEKQNRATRAQRKKEEMNRIRTLVDNAYSCDPRIKKFKEEEKAKKEAEKKAKAE ARRKEQEAKEKQRQAELEAVRLAKEKEEEEVRQQALLAKKEKDIQKKAIKKERQKLRNSC KSWNHFSDNEADRVKMMEEVEKLCDRLELASLQGLNEILASSTREVGKAALEKQIEEVNE QMRREKEEADARMRQASKNAEKSTGGSGSGSKNWSEDDLQLLIKAVNLFPAGTNSRWEVI ANYMNIHSSSGVKRTAKDVISKAKSLQKLDPHQKDDINKKAFDKFKKEHGVASQADSAAP SERFEGPCIDSTPWTTEEQKLLEQALKTYPVNTPERWEKIAEAVPGRTKKDCMRRYKELV EMVKAKKAAQEQVLNASRARK >ENSMUSP00000110847.1 pep:known chromosome:GRCm38:5:21757272:21785184:-1 gene:ENSMUSG00000029014.14 transcript:ENSMUST00000115193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc2 description:DnaJ heat shock protein family (Hsp40) member C2 [Source:MGI Symbol;Acc:MGI:99470] MLLLPSAAEGQGTAITHALTSASSVCQVEPVGRWFEAFVKRRNRNASTSFQELEDKKELS EESEDEELQLEEFPMLKTLDPKDWKNQDHYAVLGLGHVRYTATQRQIKAAHKAMVLKHHP DKRKAAGEPIKEGDNDYFTCITKAYEMLSDPVKRRAFNSVDPTFDNSVPSKSEAKDNFFQ VFSPVFERNSRWSNKKNVPKLGDMNSSFEDVDAFYSFWYNFDSWREFSYLDEEEKEKAEC RDERKWIEKQNRATRAQRKKEEMNRIRTLVDNAYSCDPRIKKFKEEEKAKKEAEKKAKAE ARRKEQEAKEKQRQAELEAVRLAKEKEEEEVRQQALLAKKEKDIQKKAIKKERQKLRNSC KSWNHFSDNEADRVKMMEEVEKLCDRLELASLQGLNEILASSTREVGKAALEKQIEEVNE QMRREKEEADARMRQASKNAEKSTGGSGSGSKNWSEDDLQLLIKAVNLFPAGTNSRWEVI ANYMNIHSSSGVKRTAKDVISKAKSLQKLDPHQKDDINKKAFDKFKKEHGVASQADSAAP SERFEGPCIDSTPWTTEEQKLLEQALKTYPVNTPERWEKIAEAVPGRTKKDCMRRYKVMA LTDSHSLDYGVASALLCAN >ENSMUSP00000110849.1 pep:known chromosome:GRCm38:5:21757282:21785216:-1 gene:ENSMUSG00000029014.14 transcript:ENSMUST00000115195.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc2 description:DnaJ heat shock protein family (Hsp40) member C2 [Source:MGI Symbol;Acc:MGI:99470] MLKTLDPKDWKNQDHYAVLGLGHVRYTATQRQIKAAHKAMVLKHHPDKRKAAGEPIKEGD NDYFTCITKAYEMLSDPVKRRAFNSVDPTFDNSVPSKSEAKDNFFQVFSPVFERNSRWSN KKNVPKLGDMNSSFEDVDAFYSFWYNFDSWREFSYLDEEEKEKAECRDERKWIEKQNRAT RAQRKKEEMNRIRTLVDNAYSCDPRIKKFKEEEKAKKEAEKKAKAEARRKEQEAKEKQRQ AELEAVRLAKEKEEEEVRQQALLAKKEKDIQKKAIKKERQKLRNSCKSWNHFSDNEADRV KMMEEVEKLCDRLELASLQGLNEILASSTREVGKAALEKQIEEVNEQMRREKEEADARMR QASKNAEKSTGGSGSGSKNWSEDDLQLLIKAVNLFPAGTNSRWEVIANYMNIHSSSGVKR TAKDVISKAKSLQKLDPHQKDDINKKAFDKFKKEHGVASQADSAAPSERFEGPCIDSTPW TTEEQKLLEQALKTYPVNTPERWEKIAEAVPGRTKKDCMRRYKELVEMVKAKKAAQEQVL NASRARK >ENSMUSP00000110846.1 pep:known chromosome:GRCm38:5:21774507:21785185:-1 gene:ENSMUSG00000029014.14 transcript:ENSMUST00000115192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc2 description:DnaJ heat shock protein family (Hsp40) member C2 [Source:MGI Symbol;Acc:MGI:99470] MLLLPSAAEGQGTAITHALTSASSVCQVEPVGRWFEAFVKRRNRNASTSFQELEDKKELS EESEDEELQLEEFPMLKTLDPKDWKNQDHYAVLGLGHVRYTATQRQIKAAHKAMVLKHHP DKRKAAGEPIKEGDNDYFTCITKAYEMLSDPVKRRAFNSVDPTFDNSVPSKSEAKDNFFQ VFSPVFERNSR >ENSMUSP00000120496.1 pep:known chromosome:GRCm38:4:62383654:62408642:-1 gene:ENSMUSG00000066149.11 transcript:ENSMUST00000134727.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdc26 description:cell division cycle 26 [Source:MGI Symbol;Acc:MGI:1913690] MLRRKPTRLELKLDDIEEFESIRKDLEARKKQKEDVEGVGTSDGEGAAGLSSDPKSREQM INDRIGYKPQLKSNNRTSQFGNFEF >ENSMUSP00000081573.5 pep:known chromosome:GRCm38:4:62394583:62408642:-1 gene:ENSMUSG00000066149.11 transcript:ENSMUST00000084525.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc26 description:cell division cycle 26 [Source:MGI Symbol;Acc:MGI:1913690] MLRRKPTRLELKLDDIEEFESIRKDLEARKKQKEDVEGVGTSDGEGAAGLSSDPKSREQM INDRIGYKPQLKSNNRTSQFGNFEF >ENSMUSP00000103083.1 pep:known chromosome:GRCm38:4:62394634:62408625:-1 gene:ENSMUSG00000066149.11 transcript:ENSMUST00000107459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc26 description:cell division cycle 26 [Source:MGI Symbol;Acc:MGI:1913690] MLRRKPTRLELKLDDIEEFESIRKDLEARKKQKEDVEGVGTSDGEGAAGLSSDPKSREQM INDRIGYKPQLKSNNRTSQFGNFEF >ENSMUSP00000016696.6 pep:known chromosome:GRCm38:15:77940522:77956722:-1 gene:ENSMUSG00000016552.13 transcript:ENSMUST00000016696.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxred2 description:FAD-dependent oxidoreductase domain containing 2 [Source:MGI Symbol;Acc:MGI:106315] MGPSGLLVALALHLAVCSRPHRDYCVLGAGPAGLQMAAFLHRAGRDYEVFERESAPGSFF TRYPRHRKLISINKRHTGKANAEFNLRHDWNSLLSDDPHLLFRHYSQAYFPDASDMVRYL GDFARRLGLHVLYNTNITHVTLDKDPQAWNGHYFILTDQKGQVYQCSVLLVATGLAVPKL VDFPGSEYVEGYESVSVDPEDFVGQNVLILGHGNSAFETAENILGVTNFVHMLSRSRVRL SWATHYVGDVRAINNGLLDTYQLKSLDGLLESDLEYLALVKDSKGKFHVTLKFLLENNSS QSADSIPLPEDDNDNFAMRVAYDRVIRCLGWTFDFSIFDQSLRLSSGTEFSKKYPLIKAS YESKGSRGLFILGTASHSVDYRKSAGGFIHGFRYTVRAVHRLLEHRHHGIPWPSTEYPIT QLTSSIIRRVNEASGLYQMFSVLADIILLKENATAFEYLEEFPMQMLAQLEMLTGRTARH GLFVINMEYGKNFSGPEKDVFYYDRSVAHIEDAWMSNFLHPVIYYYRHLPTEQDMRFRPA QWPLPRPTAIHHIVEDFLTDWTAPVGHILPLRRFLENCLDTDLRSFYAESCFLFTLTRQR LPPFCQQGYLRMQGLSSTKSLWQHGVESRLLQDYTAMENSNRWLGDHSTAPEPLTQSLDS NKEEL >ENSMUSP00000113403.1 pep:known chromosome:GRCm38:15:77941019:77956675:-1 gene:ENSMUSG00000016552.13 transcript:ENSMUST00000117725.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxred2 description:FAD-dependent oxidoreductase domain containing 2 [Source:MGI Symbol;Acc:MGI:106315] MGPSGLLVALALHLAVCSRPHRDYCVLGAGPAGLQMAAFLHRAGRDYEVFERESAPGSFF TRYPRHRKLISINKRHTGKANAEFNLRHDWNSLLSDDPHLLFRHYSQAYFPDASDMVRYL GDFARRLGLHVLYNTNITHVTLDKDPQAWNGHYFILTDQKGQVYQCSVLLVATGLAVPKL VDFPGSEYVEGYESVSVDPEDFVGQNVLILGHGNSAFETAENILGVTNFVHMLSRSRVRL SWATHYVGDVRAINNGLLDTYQLKSLDGLLESDLEYLALVKDSKGKFHVTLKFLLENNSS QSADSIPLPEDDNDNFAMRVAYDRVIRCLGWTFDFSIFDQSLRLSSGTEFSKKYPLIKAS YESKGSRGLFILGTASHSVDYRKSAGGFIHGFRYTVRAVHRLLEHRHHGIPWPSTEYPIT QLTSSIIRRVNEASGLYQMFSVLADIILLKENATAFEYLEEFPMQMLAQLEMLTGRTARH GLFVINMEYGKNFSGPEKDVFYYDRSVAHIEDAWMSNFLHPVIYYYRHLPTEQDMRFRPA QWPLPRPTAIHHIVEDFLTDWTAPVGHILPLRRFLENCLDTDLRSFYAESCFLFTLTRQR LPPFCQQGYLRMQGLSSTKSLWQHGVESRLLQDYTAMENSNRWLGDHSTAPEPLTQSLDS NKEEL >ENSMUSP00000015596.3 pep:known chromosome:GRCm38:17:34597862:34600932:1 gene:ENSMUSG00000015452.14 transcript:ENSMUST00000015596.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ager description:advanced glycosylation end product-specific receptor [Source:MGI Symbol;Acc:MGI:893592] MPAGTAARAWVLVLALWGAVAGGQNITARIGEPLVLSCKGAPKKPPQQLEWKLNTGRTEA WKVLSPQGGPWDSVARILPNGSLLLPATGIVDEGTFRCRATNRRGKEVKSNYRVRVYQIP GKPEIVDPASELTASVPNKVGTCVSEGSYPAGTLSWHLDGKLLIPDGKETLVKEETRRHP ETGLFTLRSELTVIPTQGGTHPTFSCSFSLGLPRRRPLNTAPIQLRVREPGPPEGIQLLV EPEGGIVAPGGTVTLTCAISAQPPPQVHWIKDGAPLPLAPSPVLLLPEVGHEDEGTYSCV ATHPSHGPQESPPVSIRVTETGDEGPAEGSVGESGLGTLALALGILGGLGVVALLVGAIL WRKRQPRREERKAPESQEDEEERAELNQSEEAEMPENGAGGP >ENSMUSP00000134401.1 pep:known chromosome:GRCm38:17:34597862:34600936:1 gene:ENSMUSG00000015452.14 transcript:ENSMUST00000174496.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ager description:advanced glycosylation end product-specific receptor [Source:MGI Symbol;Acc:MGI:893592] MPAGTAARAWVLVLALWGAVAGGQNITARIGEPLVLSCKGAPKKPPQQLEWKLNTGRTEA WKVLSPQGGPWDSVARILPNGSLLLPATGIVDEGTFRCRATNRRGKEVKSNYRVRVYQIP GKPEIVDPASELTASVPNKVGTCVSEGSYPAGTLSWHLDGKLLIPDGKETLVKEETRRHP ETGLFTLRSELTVIPTQGGTHPTFSCSFSLGLPRRRPLNTAPIQLRVREPGPPEGIQLLV EPEGGIVAPGGTVTLTCAISAQPPPQVHWIKDGAPLPLAPSPVLLLPEVGHEDEGTYSCV ATHPSHGPQESPPVSIRVTGSVGESGLGTLALALGILGGLGVVALLVGAILWRKRQPRRE ERKAPESQEDEEERAELNQSEEAEMPENGAGGP >ENSMUSP00000134579.1 pep:known chromosome:GRCm38:17:34597894:34600896:1 gene:ENSMUSG00000015452.14 transcript:ENSMUST00000173992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ager description:advanced glycosylation end product-specific receptor [Source:MGI Symbol;Acc:MGI:893592] MPAGTAARAWVLVLALWGAVAGGQNITARIGEPLVLSCKGAPKKPPQQLEWKLVLSPQGG PWDSVARILPNGSLLLPATGIVDEGTFRCRATNRRGKEVKSNYRVRVYQIPGKPEIVDPA SELTASVPNKVGTCVSEGSYPAGTLSWHLDGKLLIPDGKETLVKEETRRHPETGLFTLRS ELTVIPTQGGTHPTFSCSFSLGLPRRRPLNTAPIQLRVREPGPPEGIQLLVEPEGGIVAP GGTVTLTCAISAQPPPQVHWIKDGAPLPLAPSPVLLLPEVGHEDEGTYSCVATHPSHGPQ ESPPVSIRVTGSVGESGLGTLALALGILGGLGVVALLVGAILWRKRQPRREERKAPESQE DEEERAELNQSEEAEMPENGAGGP >ENSMUSP00000133391.1 pep:known chromosome:GRCm38:17:34597894:34600896:1 gene:ENSMUSG00000015452.14 transcript:ENSMUST00000174069.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ager description:advanced glycosylation end product-specific receptor [Source:MGI Symbol;Acc:MGI:893592] MPAGTAARAWVLVLALWGAVAGGQNITARIGEPLVLSCKGAPKKPPQQLEWKLNTGRTEA WKVLSPQGGPWDSVARILPNGSLLLPATGIVDEGTFRCRATNRRGKEVKSNYRVRVYQIP GKPEIVDPASELTASVPNKVGTCVSEGSYPAGTLSWHLDGKLLIPDGKETLVKEETRRHP ETGLFTLRSELTVIPTQGGTHPTFSCSFSLGLPRRRPLNTAPIQLRVREPGPPEGIQLLV EPEGGIVAPGGTVTLTCAISAQPPPQVHWIKDGAPLPLAPSPVLLLPEVGHEDEGTYSCV ATHPSHGPQESPPVSIRVTETGDEGPAEGEGLD >ENSMUSP00000009099.6 pep:known chromosome:GRCm38:12:113098278:113137206:1 gene:ENSMUSG00000021144.14 transcript:ENSMUST00000009099.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta1 description:metastasis associated 1 [Source:MGI Symbol;Acc:MGI:2150037] MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTANGNVEAKVVCFYRRRDISSSLIALAD KHATLSVCYRAGPGADTGEEGEVEEEVENPEMVDLPEKLKHQLRHRELFLSRQLESLPAT HIRGKCSVTLLNETESLKSYLEREDFFFYSLVYDPQQKTLLADKGEIRVGNRYQADITDL LKEGEEDGRDQSKLETKVWEAHNPLVDKQIDQFLVVARSVGTFARALDCSSSVRQPSLHM SAAAASRDITLFHAMDTLHKNIYDISKAISALVPQGGPVLCRDEMEEWSASEANLFEEAL EKYGKDFTDIQQDFLPWKSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPNYN KPNPNQISASSVKATVVNGTGTPGQSPGAGRACESCYTTQSYQWYSWGPPNMQCRLCASC WTYWKKYGGLKMPTRLDGERPGPNRNNMSPHGIPARSSGSPKFAMKTRQAFYLHTTKLTR IARRLCREILRPWHAARHPYMPINSAAIKAECTARLPEASQSPLVLKQVVRKPLEAVLRY LETHPRPPKPDPVKSSSSVLSSLTPAKSAPVINNGSPTILGKRSYEQHNGVDGNMKKRLL MPSRGLANHGQTRHMGPSRNLLLNGKSYPTKVRLIRGGSLPPVKRRRMNWIDAPDDVFYM ATEETRKIRKLLSSSETKRAARRPYKPIALRQSQALPLRPPPPAPVNDEPIVIED >ENSMUSP00000105345.1 pep:known chromosome:GRCm38:12:113098278:113137206:1 gene:ENSMUSG00000021144.14 transcript:ENSMUST00000109723.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta1 description:metastasis associated 1 [Source:MGI Symbol;Acc:MGI:2150037] MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTANGNVEAKVVCFYRRRDISSSLIALAD KHATLSVCYRAGPGADTGEEGEVEEEVENPEMVDLPEKLKHQLRHRELFLSRQLESLPAT HIRGKCSVTLLNETESLKSYLEREDFFFYSLVYDPQQKTLLADKGEIRVGNRYQADITDL LKEGEEDGRDQSKLETKVWEAHNPLVDKQIDQFLVVARSVGTFARALDCSSSVRQPSLHM SAAAASRDITLFHAMDTLHKNIYDISKAISALVPQGGPVLCRDEMEEWSASEANLFEEAL EKYGKDFTDIQQDFLPWKSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPNYN KPNPNQISASSVKATVVNGTGTPGQSPGAGRACESCYTTQSYQWYSWGPPNMQCRLCASC WTYWKKYGGLKMPTRLDGERPGPNRNNMSPHGIPARSSGSPKFAMKTRQAFYLHTTKLTR IARRLCREILRPWHAARHPYMPINSAAIKAECTARLPEASQSPLVLKQVVRKPLEAVLRY LETHPRPPKPDPVKSSSSVLSSLTPAKSAPVINNGSPTILGKRSYEQHNGVDGLANHGQT RHMGPSRNLLLNGKSYPTKVRLIRGGSLPPVKRRRMNWIDAPDDVFYMATEETRKIRKLL SSSETKRAARRPYKPIALRQSQALPLRPPPPAPVNDEPIVIED >ENSMUSP00000064338.4 pep:known chromosome:GRCm38:12:113098323:113137173:1 gene:ENSMUSG00000021144.14 transcript:ENSMUST00000069690.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta1 description:metastasis associated 1 [Source:MGI Symbol;Acc:MGI:2150037] MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTANGNVEAKVVCFYRRRDISSSLIALAD KHAREVEEEVENPEMVDLPEKLKHQLRHRELFLSRQLESLPATHIRGKCSVTLLNETESL KSYLEREDFFFYSLVYDPQQKTLLADKGEIRVGNRYQADITDLLKEGEEDGRDQSKLETK VWEAHNPLVDKQIDQFLVVARSVGTFARALDCSSSVRQPSLHMSAAAASRDITLFHAMDT LHKNIYDISKAISALVPQGGPVLCRDEMEEWSASEANLFEEALEKYGKDFTDIQQDFLPW KSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPNYNKPNPNQISASSVKATVV NGTGTPGQSPGAGRACESCYTTQSYQWYSWGPPNMQCRLCASCWTYWKKYGGLKMPTRLD GERPGPNRNNMSPHGIPARSSGSPKFAMKTRQAFYLHTTKLTRIARRLCREILRPWHAAR HPYMPINSAAIKAECTARLPEASQSPLVLKQVVRKPLEAVLRYLETHPRPPKPDPVKSSS SVLSSLTPAKSAPVINNGSPTILGKRSYEQHNGVDGNMKKRLLMPSRGLANHGQTRHMGP SRNLLLNGKSYPTKVRLIRGGSLPPVKRRRMNWIDAPDDVFYMATEETRKIRKLLSSSET KRAARRPYKPIALRQSQALPLRPPPPAPVNDEPIVIED >ENSMUSP00000105348.1 pep:known chromosome:GRCm38:12:113098278:113137206:1 gene:ENSMUSG00000021144.14 transcript:ENSMUST00000109726.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta1 description:metastasis associated 1 [Source:MGI Symbol;Acc:MGI:2150037] MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTANGNVEAKVVCFYRRRDISSSLIALAD KHAREVEEEVENPEMVDLPEKLKHQLRHRELFLSRQLESLPATHIRGKCSVTLLNETESL KSYLEREDFFFYSLVYDPQQKTLLADKGEIRVGNRYQADITDLLKEGEEDGRDQSKLETK VWEAHNPLVDKQIDQFLVVARSVGTFARALDCSSSVRQPSLHMSAAAASRDITLFHAMDT LHKNIYDISKAISALVPQGGPVLCRDEMEEWSASEANLFEEALEKYGKDFTDIQQDFLPW KSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPNYNKPNPNQISASSVKATVV NGTGTPGQSPGAGRACESCYTTQSYQWYSWGPPNMQCRLCASCWTYWKKYGGLKMPTRLD GERPGPNRNNMSPHGIPARSSGSPKFAMKTRQAFYLHTTKLTRIARRLCREILRPWHAAR HPYMPINSAAIKAECTARLPEASQSPLVLKQVVRKPLEAVLRYLETHPRPPKPDPVKSSS SVLSSLTPAKSAPVINNGSPTILGKRSYEQHNGVDGNMKKRLLMPSRGLANHGQTRHMGP SRNLLLNGKSYPTKVRLIRGGSLPPVKRRRMNWIDAPDDVFYMATEETRKIRKLLSSSET KRAARRPYKPIALRQSQALPLRPPPPAPVNDEPIVIED >ENSMUSP00000105349.2 pep:known chromosome:GRCm38:12:113098278:113137205:1 gene:ENSMUSG00000021144.14 transcript:ENSMUST00000109727.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mta1 description:metastasis associated 1 [Source:MGI Symbol;Acc:MGI:2150037] MAANMYRVGDYVYFENSSSNPYLIRRIEELNKTANGNVEAKVVCFYRRRDISSSLIALAD KHATLSVCYRAGPGADTGEEGEVEEEVENPEMVDLPEKLKHQLRHRELFLSRQLESLPAT HIRGKCSVTLLNETESLKSYLEREDFFFYSLVYDPQQKTLLADKGEIRVGNRYQADITDL LKEGEEDGRDQSKLETKVWEAHNPLVDKQIDQFLVVARSVGTFARALDCSSSVRQPSLHM SAAAASRDITLFHAMDTLHKNIYDISKAISALVPQGGPVLCRDEMEEWSASEANLFEEAL EKYGKDFTDIQQDFLPWKSLTSIIEYYYMWKTTDRYVQQKRLKAAEAESKLKQVYIPNYN KPNPNQISASSVKATVVNGTGTPGQSPGAGRACESCYTTQSYQWYSWGPPNMQCRLCASC WTYWKKYGGLKMPTRLDGERPGPNRNNMSPHGIPARSSGSPKFAMKTRQAFYLHTTKLTR IARRLCREILRPWHAARHPYMPINSAAIKAECTARLPEASQSPLVLKQVVRKPLEAVLRY LETHPRPPKPDPVKSSSSVLSSLTPAKSAPVINNGSPTILGKRSYEQHNGVDGLANHGQT RHMGPSRNLLLNGKSYPTKVRLIRGGSLPPVKRRRMNWIDAPDDVFYMATEETRKIRKLL SSSETKRAARRPYKPIALRQSQALPLRPPPPAPVNDEPIVIED >ENSMUSP00000062832.2 pep:known chromosome:GRCm38:6:122626410:122630272:1 gene:ENSMUSG00000046323.8 transcript:ENSMUST00000049644.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dppa3 description:developmental pluripotency-associated 3 [Source:MGI Symbol;Acc:MGI:1920958] MEEPSEKVDPMKDPETPQKKDEEDALDDTDVLQPETLVKVMKKLTLNPGVKRSARRRSLR NRIAAVPVENKSEKIRREVQSAFPKRRVRTLLSVLKDPIAKMRRLVRIEQRQKRLEGNEF ERDSEPFRCLCTFCHYQRWDPSENAKIGKN >ENSMUSP00000115252.1 pep:known chromosome:GRCm38:6:122626753:122630272:1 gene:ENSMUSG00000046323.8 transcript:ENSMUST00000123429.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dppa3 description:developmental pluripotency-associated 3 [Source:MGI Symbol;Acc:MGI:1920958] XKPKPEMMVKNIHLPRGENADVLQPETLVKVMKKLTLNPGVKRSARRRSLRNRIAAVPVE NKSEKIRREVQSAFPKRRVRTLLSVLKDPIAKMRRLVRIEQRQKRLEGNEFERDSEPFRC LCTFCHYQRWDPSENAKIGKN >ENSMUSP00000067556.6 pep:known chromosome:GRCm38:11:55250609:55336564:-1 gene:ENSMUSG00000055333.14 transcript:ENSMUST00000068853.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fat2 description:FAT atypical cadherin 2 [Source:MGI Symbol;Acc:MGI:2685369] MTLVLLGVAMVLLHRAACEKPLEETITPLTWRFTHSLYNATIYENSAPKTYVESPVKMGM YLAEPHWVVKYRIISGDAAGVFKTEEHVVGNFCFLRIRTKSSNTALLNREVRDSYTLVVQ ASDKSLEFEALTQVVVHILDQNDLKPLFSPPSYRFTISEDRPLKSPICKVTATDADLGQN AEFYYAFNARSEVFAIHPTSGVVTVAGKLNVTWRGKYELQVLAVDRMRKISEGNGFGNLA PLVIYVEPVHRKPPVITLVVLNPPEGDEGDIYATVTVDTNGSGAEVDSLEVVGGDPGKYF KVLKSYAQGNEFNLVAVRDINWAEHLHGFNISLQTHSRSRFPSRSIIRAFHLPPYTLANL RFEKAVYRVKLSEFSPPGSRVALVRVTTALPTLRYALKPSSGSTVFKLNARTGLITTTKP VDFHEQNQYQLHVKTSLGQATTTVIIDIVDCNNHAPVFNRSSYEGTLDENIPPGTSVLTV TATDQDHGDNGHITYSIAGPKAVPFSIDPYLGVISTTKSMDYELMKRIYTFRVRASDWGS PFRQEKEVSVSLRLKNLNDNQPMFEEVNCTVSLRQDAPVGKSIMAVSAIDMDELQNLKYE IVSGNEQDYFHLNHFSGVISLKRSFMNLTAVRPTVYSLKITASDGKNYASPTTLKVTVVK DPRSEVPVQCDKTGVLTHITKTILQSAGLQGQEMGEEDFTSLGNYQINHHAPQFEDHFPQ SIDILEQVPVNTPLAHLAATDPDPGFHGRLVYVIADGNEEGCFDIELETGLLTVAAALDY ETTSFYVLNVTVYDLGTPPKSSWKLLTVTVKDWNDKPPRFPPGGYQLTISEDTEVGTTVA ELKTRDTDSEDNGRVRYTLLTPTEKFSLHPLTGELVVTGQLDRESEPQYILKAEARDQPT KGHQLFSVTDVIVTLEDINDNPPQCITEHSSLKVPEDMPLGTVLTFLDASDPDLGPAGEV KYILVDDVHGTFRVDPMTGALSLEKELDFERRAGYNLSFWASDSGRPLARRTLCHVEVLV MDVNENLHSPHFSSFVYQGQVQENSPAGTQVMVVTAQDDDSGLDGELQYFLRAGTGLEAF SINQDTGMLETLAPLDREFTPYYWLTVLAVDRGSVPLSAVTEVYIEVTDINDNIPSMSRP VFYPSVKEDAPLGTSVLQLEAWDPDFSSQGKLTFNLTSGNHMGHFIVHPFTGLLSTAKQL DRENKDEYVLEVTVQDNGDPPLRSTSRVVVCVLDVNDNSPMFSHKLFNVRLSERLSPLSP EPVYRLVASDPDEGLNGSITYSIEESDEESFRIDPVTGVVSSSSTFAAGEYNILTIKATD SGQPALSTSVRLHIEWIPQPRPSSIPLSFDESHYSFAVMETDPVNHMLGVISVEGRPGLF WFHISDGDKDMDFDIEKTTGSIVIARPLDTRRKSSYNLTVEVTDGFHTIATQVHILMIAN INHHRPQFLQDHYDIRVPQDTLPGVELLRVQATDQDHGKGLIYTIHSSRDPGSANLFQLD PSSGVLVTVGTLDLHSGPSQHILTVMVRDQEMPIKRNFVWVTIHVEDGNLHSPHFTQPRY EANVPDTTTPGTELLQVRAVDADRGANAEVHYSFLKGNSEGFFNIDSLLGIITVAQRLDH VHLNRHALTVKAEDQGSPQRHDLAMVVVHVHPSDSSAPIFSKDEYFIEIPESVPIGSPIL LISAASSSDVTYELREGNKNSVFSMNSYSGLISTQKRLDHEKVSSYQLKIRGSNMAGVFT EVVALVYIIDENDNAPAFLKSTFVGHISEAAPLHSLILGEDNSPLVIRASDSDQEANSLL VYKILEPEALKFFKIDPSMGTLTITSELDYETTPLFQFSIYVHDQGTPILFAPRSARVII HVRDVNDSPPRFSEQIYEVAVVEPIHPGMELLTVQAEDNDSKVTYSIKTSNTDEAVTIHP ITGQISVVNPAALRLFPKLNIRAFDGLYQDTAVVKISLTQALDKSLQFDQDIYRARVTEN TPHSNVLVILGVHGNHLNDTLSYFLLNGTDLFHMVKSAGVLQTRGVTFDREQQDTHEVAV EVRDNRVPRRVAQALVRVSVEDVNDNIPEFQHLPYYTVIQDGTEPGDVLFQVSATDKDLG ANGTVTYGFAEDYAYFRIDPYVGDISLKKPFDYQALNKYHLRVTARDAGTPPLQTEVEVH VTVRNKSNPLFQSPYYKVKVPENITLYTPILHTQARSPEGLRLIYNIVEEEPLMLFTTDF KTGVLTVTGPLDYESKNKHVFTVRATDTALGSFSEATVEVLVEDINDNPPTFSQLVYSTS VSEGSPAQTPVIQLLASDQDSGQNQDVSYQIVEDGSDVSKFFRINGSTGEMFTIQELDYE AHQHFRVKVRATDRGDPPLTGETLVVVNVSDINDNPPEFREPQYEANVSELATCGHLVLK VQALDPDIGDTSRLEYLILSGNQDRHFSINSTSGIISMFNLCKKQLDSSYNLRVGASDGV FQATVPVYINTTNANKYSPEFQQNVYEAELAENAKVGTKVIELLAIDKDSGPYGTVDYTI INKLAGERFFINPSGQITTLQKLDRENSTERVIAIKIMARDGGGKVAFCTVKIILTDEND NAPQFKASGYTVSIPSNVSRDSPIIQVLAYDADEGRNADVTYSVDSTEDLAEEIIEVNPT TGVVKVKESLVGLENKAVDFNIKAQDGGPPHWDSLVPVRLQVVPNEIPLPKFSEPLYTFS ASEDLPEGSEIGSVKAVAAQDPIIYSLVQGTTPESNSDDVFSLDQDTGVLKVKKAMDHES TKWYQIDLMAHCPHEDTDLVSLVSVNIQVEDVNDNRPVFEADPYKAFLTENMPGGTTVIQ VTANDQDTGSDGQVSYRLSVEPGSNIHQLFAVDSESGWITTLQELDCETQQTYRFYVVAF DHGQTIQLSSQALVEVSITDENDNPPRFASEDYRGSVVENNEPGELVATLKTLDADISEQ NRQVTCYITEGDPLGQFSISQVGDEWRITSRKTLDREHIAKYLLRITASDGKFQASVPVE VFVLDINDNSPQCSQLLYTGKVREDVTPGHFILKVSAIDVDMDTNAQITYSLHGPGAQEF KLDPHTGELTTLSVLDRERKDVYNLVAKATDGGGQSCQAEVTLHIEDVNDNAPRFFPSHC AVAVFDNTTVKTPVAVVFARDPDQGVNAQVVYSLTDSADGQFSIDATSGVIRLEKPLQVR SSSAVELTVRASDLGTPIPLSTLGTVTVSIVGLEDYLPIFLNSEHSTQVPEDALIDMEVL YLATLTRPGSEKTGYHITGGNEQGKFRLDAHTGILYVNGSLDFETNPKYFLSIECSRKSS SSLSDVTTIVINVTDVNEHHPRFTHDLYTVRVLENAIVGDVILTVSASDDDGPVNSVITY SLVGGNQLGHFTIDPKKGKLQVAKALDWEQTPSYSLRIRATDSGQPPLHEDTEVAVEVVD VNDNPPRFFQLNYSTAVQENSPIGIKVLQLILDDPDSPQNGPPYFFRITEGNTGSVFRVT PDGWLVTAGSLSRRAQEWYQLHIEVSDSGLPPLSSSTLVRVHITEQSRYPPSTLPLEIFI TKGEEEFQGGMVGKIHATDRDPQDTLTYSLDREGSLGKYFTVGASDGKIIASQGLPRGRY LFNVTVSDGTFTTTTGVHVHVWHMGQEAPQQAVWLGFHQLTPEELVSDHWRNLQRFLSNI LDIKRANIHLASLQPAEVTAGVDVLLAFEGHSGTSYDLQELASAIAHSAKEMEHSVGIQM RSALPVVPCQGPSCQDQTCQETVSLEPRVGPSYSTARLSILTPRHHLGKNCSCNGTTWRF SGQSYMRYRPLEAQNWQIHFYLKTLQPWALLMFTNETASISLKLANGFLHLEYRCPGGFY GNLSSHRPVNDGQWHSMLLEERDTSVHLLVDITDNTSLVIPEECQGLRTERHLLLGGLVP SNPSSNVSLGFEGCLDAVVVNSERLELLGHRKKMAGYLETWALSQCCWPGTTCSQNPCLN GGSCSPALGSGYLCRCPPLFSGRNCELGRENCTSAPCQEGGTCVSSPEGTSCSCPHPYTG DRCEMEARGCSGGHCLITPEIKRGDWGQQEFLVIIVALPLLIIATVGLLLYCRRCKSHKP VAMEDPDLLARSIGVDTQASPAIELDPLNAGSCNDLNQLEPSKTSVPNELVTFGPSSKQR PMVCSVPPRLPPAVVSSHPGHEPIIKRTWSGEELVYPSGAAVWPPTYSRKEHWEYPHPEA MQGPLPPSPRRHVSPAVMPDPAGLYGGFPFPLELENKRAPLPPRYSNQNLEDLIPPRPPS PREHLLAPCLNEYTAISYYHSQFRQGGGGPCLAEGGYKGVSMRLSRAGPSYADCEVNGGP APGRSQPRAPPNYEGSDMVESDYGSCEEVMF >ENSMUSP00000104492.1 pep:known chromosome:GRCm38:11:55250610:55312257:-1 gene:ENSMUSG00000055333.14 transcript:ENSMUST00000108864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fat2 description:FAT atypical cadherin 2 [Source:MGI Symbol;Acc:MGI:2685369] MTLVLLGVAMVLLHRAACEKPLEETITPLTWRFTHSLYNATIYENSAPKTYVESPVKMGM YLAEPHWVVKYRIISGDAAGVFKTEEHVVGNFCFLRIRTKSSNTALLNREVRDSYTLVVQ ASDKSLEFEALTQVVVHILDQNDLKPLFSPPSYRFTISEDRPLKSPICKVTATDADLGQN AEFYYAFNARSEVFAIHPTSGVVTVAGKLNVTWRGKYELQVLAVDRMRKISEGNGFGNLA PLVIYVEPVHRKPPVITLVVLNPPEGDEGDIYATVTVDTNGSGAEVDSLEVVGGDPGKYF KVLKSYAQGNEFNLVAVRDINWAEHLHGFNISLQTHSRSRFPSRSIIRAFHLPPYTLANL RFEKAVYRVKLSEFSPPGSRVALVRVTTALPTLRYALKPSSGSTVFKLNARTGLITTTKP VDFHEQNQYQLHVKTSLGQATTTVIIDIVDCNNHAPVFNRSSYEGTLDENIPPGTSVLTV TATDQDHGDNGHITYSIAGPKAVPFSIDPYLGVISTTKSMDYELMKRIYTFRVRASDWGS PFRQEKEVSVSLRLKNLNDNQPMFEEVNCTVSLRQDAPVGKSIMAVSAIDMDELQNLKYE IVSGNEQDYFHLNHFSGVISLKRSFMNLTAVRPTVYSLKITASDGKNYASPTTLKVTVVK DPRSEVPVQCDKTGVLTHITKTILQSAGLQGQEMGEEDFTSLGNYQINHHAPQFEDHFPQ SIDILEQVPVNTPLAHLAATDPDPGFHGRLVYVIADGNEEGCFDIELETGLLTVAAALDY ETTSFYVLNVTVYDLGTPPKSSWKLLTVTVKDWNDKPPRFPPGGYQLTISEDTEVGTTVA ELKTRDTDSEDNGRVRYTLLTPTEKFSLHPLTGELVVTGQLDRESEPQYILKAEARDQPT KGHQLFSVTDVIVTLEDINDNPPQCITEHSSLKVPEDMPLGTVLTFLDASDPDLGPAGEV KYILVDDVHGTFRVDPMTGALSLEKELDFERRAGYNLSFWASDSGRPLARRTLCHVEVLV MDVNENLHSPHFSSFVYQGQVQENSPAGTQVMVVTAQDDDSGLDGELQYFLRAGTGLEAF SINQDTGMLETLAPLDREFTPYYWLTVLAVDRGSVPLSAVTEVYIEVTDINDNIPSMSRP VFYPSVKEDAPLGTSVLQLEAWDPDFSSQGKLTFNLTSGNHMGHFIVHPFTGLLSTAKQL DRENKDEYVLEVTVQDNGDPPLRSTSRVVVCVLDVNDNSPMFSHKLFNVRLSERLSPLSP EPVYRLVASDPDEGLNGSITYSIEESDEESFRIDPVTGVVSSSSTFAAGEYNILTIKATD SGQPALSTSVRLHIEWIPQPRPSSIPLSFDESHYSFAVMETDPVNHMLGVISVEGRPGLF WFHISDGDKDMDFDIEKTTGSIVIARPLDTRRKSSYNLTVEVTDGFHTIATQVHILMIAN INHHRPQFLQDHYDIRVPQDTLPGVELLRVQATDQDHGKGLIYTIHSSRDPGSANLFQLD PSSGVLVTVGTLDLHSGPSQHILTVMVRDQEMPIKRNFVWVTIHVEDGNLHSPHFTQPRY EANVPDTTTPGTELLQVRAVDADRGANAEVHYSFLKGNSEGFFNIDSLLGIITVAQRLDH VHLNRHALTVKAEDQGSPQRHDLAMVVVHVHPSDSSAPIFSKDEYFIEIPESVPIGSPIL LISAASSSDVTYELREGNKNSVFSMNSYSGLISTQKRLDHEKVSSYQLKIRGSNMAGVFT EVVALVYIIDENDNAPAFLKSTFVGHISEAAPLHSLILGEDNSPLVIRASDSDQEANSLL VYKILEPEALKFFKIDPSMGTLTITSELDYETTPLFQFSIYVHDQGTPILFAPRSARVII HVRDVNDSPPRFSEQIYEVAVVEPIHPGMELLTVQAEDNDSKVTYSIKTSNTDEAVTIHP ITGQISVVNPAALRLFPKLNIRAFDGLYQDTAVVKISLTQALDKSLQFDQDIYRARVTEN TPHSNVLVILGVHGNHLNDTLSYFLLNGTDLFHMVKSAGVLQTRGVTFDREQQDTHEVAV EVRDNRVPRRVAQALVRVSVEDVNDNIPEFQHLPYYTVIQDGTEPGDVLFQVSATDKDLG ANGTVTYGFAEDYAYFRIDPYVGDISLKKPFDYQALNKYHLRVTARDAGTPPLQTEVEVH VTVRNKSNPLFQSPYYKVKVPENITLYTPILHTQARSPEGLRLIYNIVEEEPLMLFTTDF KTGVLTVTGPLDYESKNKHVFTVRATDTALGSFSEATVEVLVEDINDNPPTFSQLVYSTS VSEGSPAQTPVIQLLASDQDSGQNQDVSYQIVEDGSDVSKFFRINGSTGEMFTIQELDYE AHQHFRVKVRATDRGDPPLTGETLVVVNVSDINDNPPEFREPQYEANVSELATCGHLVLK VQALDPDIGDTSRLEYLILSGNQDRHFSINSTSGIISMFNLCKKQLDSSYNLRVGASDGV FQATVPVYINTTNANKYSPEFQQNVYEAELAENAKVGTKVIELLAIDKDSGPYGTVDYTI INKLAGERFFINPSGQITTLQKLDRENSTERVIAIKIMARDGGGKVAFCTVKIILTDEND NAPQFKASGYTVSIPSNVSRDSPIIQVLAYDADEGRNADVTYSVDSTEDLAEEIIEVNPT TGVVKVKESLVGLENKAVDFNIKAQDGGPPHWDSLVPVRLQVVPNEIPLPKFSEPLYTFS ASEDLPEGSEIGSVKAVAAQDPIIYSLVQGTTPESNSDDVFSLDQDTGVLKVKKAMDHES TKWYQIDLMAHCPHEDTDLVSLVSVNIQVEDVNDNRPVFEADPYKAFLTENMPGGTTVIQ VTANDQDTGSDGQVSYRLSVEPGSNIHQLFAVDSESGWITTLQELDCETQQTYRFYVVAF DHGQTIQLSSQALVEVSITDENDNPPRFASEDYRGSVVENNEPGELVATLKTLDADISEQ NRQVTCYITEGDPLGQFSISQVGDEWRITSRKTLDREHIAKYLLRITASDGKFQASVPVE VFVLDINDNSPQCSQLLYTGKVREDVTPGHFILKVSAIDVDMDTNAQITYSLHGPGAQEF KLDPHTGELTTLSVLDRERKDVYNLVAKATDGGGQSCQAEVTLHIEDVNDNAPRFFPSHC AVAVFDNTTVKTPVAVVFARDPDQGVNAQVVYSLTDSADGQFSIDATSGVIRLEKPLQVR SSSAVELTVRASDLGTPIPLSTLGTVTVSIVGLEDYLPIFLNSEHSTQVPEDALIDMEVL YLATLTRPGSEKTGYHITGGNEQGKFRLDAHTGILYVNGSLDFETNPKYFLSIECSRKSS SSLSDVTTIVINVTDVNEHHPRFTHDLYTVRVLENAIVGDVILTVSASDDDGPVNSVITY SLVGGNQLGHFTIDPKKGKLQVAKALDWEQTPSYSLRIRATDSGQPPLHEDTEVAVEVVD VNDNPPRFFQLNYSTAVQENSPIGIKVLQLILDDPDSPQNGPPYFFRITEGNTGSVFRVT PDGWLVTAGSLSRRAQEWYQLHIEVSDSGLPPLSSSTLVRVHITEQSRYPPSTLPLEIFI TKGEEEFQGGMVGKIHATDRDPQDTLTYSLDREGSLGKYFTVGASDGKIIASQGLPRGRY LFNVTVSDGTFTTTTGVHVHVWHMGQEAPQQAVWLGFHQLTPEELVSDHWRNLQRFLSNI LDIKRANIHLASLQPAEVTAGVDVLLAFEGHSGTSYDLQELASAIAHSAKEMEHSVGIQM RSALPVVPCQGPSCQDQTCQETVSLEPRVGPSYSTARLSILTPRHHLGKNCSCNGTTWRF SGQSYMRYRPLEAQNWQIHFYLKTLQPWALLMFTNETASISLKLANGFLHLEYRCPGGFY GNLSSHRPVNDGQWHSMLLEERDTSVHLLVDITDNTSLVIPEECQGLRTERHLLLGGLVP SNPSSNVSLGFEGCLDAVVVNSERLELLGHRKKMAGYLETWALSQCCWPGTTCSQNPCLN GGSCSPALGSGYLCRCPPLFSGRNCELGRENCTSAPCQEGGTCVSSPEGTSCSCPHPYTG DRCEMEARGCSGGHCLITPEIKRGDWGQQEFLVIIVALPLLIIATVGLLLYCRRCKSHKP VAMEDPDLLARSIGVDTQASPAIELDPLNAGSCNDLNQLEPSKTSVPNELVTFGPSSKQR PMVCSVPPRLPPAVVSSHPGHEPIIKRTWSGEELVYPSGAAVWPPTYSRKEHWEYPHPEA MQGPLPPSPRRHVSPAVMPDPAGLYGGFPFPLELENKRAPLPPRYSNQNLEDLIPPRPPS PREHLLAPCLNEYTAISYYHSQFRQGGGGPCLAEGGYKGVSMRLSRAGPSYADCEVNGGP APGRSQPRAPPNYEGSDMVESDYGSCEEVMF >ENSMUSP00000119217.1 pep:known chromosome:GRCm38:1:107399655:107435399:1 gene:ENSMUSG00000067001.11 transcript:ENSMUST00000154538.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb7 description:serine (or cysteine) peptidase inhibitor, clade B, member 7 [Source:MGI Symbol;Acc:MGI:2151053] MASLAAANAEFGFDLFREMDSSQGNGNVFFSSLSIFTALTLIRLGARGDCARQIDKALHF NIPSRQGNSSNNQPGLQYQLKRVLADINSSHKDYELS >ENSMUSP00000083896.4 pep:known chromosome:GRCm38:1:107422689:107452689:1 gene:ENSMUSG00000067001.11 transcript:ENSMUST00000086690.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb7 description:serine (or cysteine) peptidase inhibitor, clade B, member 7 [Source:MGI Symbol;Acc:MGI:2151053] MASLAAANAEFGFDLFREMDSSQGNGNVFFSSLSIFTALTLIRLGARGDCARQIDKALHF NIPSRQGNSSNNQPGLQYQLKRVLADINSSHKDYELSIATGVFAEKVYDFHKNYIECAEN LYNAKVERVDFTNDVQDTRFKINKWIENETHGKIKKVLGDSSLSSSAVMVLVNAVYFKGK WKSAFTKTDTLSCRFRSPTCPGKVVNMMHQERRFNLSTIQQPPMQVLELQYHGGISMYIM LPEDGLCEIESKLSFQNLMDWTNRRKMKSQYVNVFLPQFKIEKNYEMTHHLKSLGLKDIF DESSADLSGIASGGRLYVSKLMHKSFIEVSEEGTEATAATENNIVEKQLPESTVFRADRP FLFVIKKNDIILFTGKVSCP >ENSMUSP00000076751.5 pep:known chromosome:GRCm38:3:105001915:105053146:-1 gene:ENSMUSG00000062127.11 transcript:ENSMUST00000077548.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cttnbp2nl description:CTTNBP2 N-terminal like [Source:MGI Symbol;Acc:MGI:1933137] MNLEKLSKPELLTLFSILEGELEARDLVIEALKAQHRDTFIEERYGKYNISDPLMALQRD FETLKEKNDSEKQPVCTNPLSVLKAVMKQCKNMQERMLSQLAAAESRHRKVILDLEEERQ RHAQDTAEGDDVTYMLEKERERLTQQLEFEKSQVKKFEKEQKKLSSQLEEERTRHKQLSS MLVLECRKATSKAAEEGQKAGELSLKLDKEKSRASKLEEELAAERKRGLQTEAQVEKQLS EFDIEREQLRAKLNREENRTRALKEEVESLKKLVKDLEAAQQHRSTSEQGREPVTMSRGT ATEPPMRVSAFCQTESVQTERSHGSVITKLTDTGLPGPTTAAYSYAKANGHCDPEIQTTR ELTSDSSTENQGPPREKSAVAAQEKPVENGGCPVGTETPVTMPSHLPSSGSSLSPSSTAS SSLTSSPCSSPVLTKRLLGSAASSPGYQSSYQVGINQRFHAARHKFQSQADQDQQASGLQ SPPSRDLSPTLLDNSAAKQLARNTVTQVLSRFTNQGPIKPVSPNSSPFGTDYRNLASTAS PRGDTSHSPTPGKVSSPLSPLSPGIKSPTIPRAERGNPPPIPPKKPGLTPSQSATTPVTK THSQASSLAATEDLASSCSPSAVVANGKDVEILLPTSS >ENSMUSP00000096359.2 pep:known chromosome:GRCm38:3:105001917:105052951:-1 gene:ENSMUSG00000062127.11 transcript:ENSMUST00000098763.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cttnbp2nl description:CTTNBP2 N-terminal like [Source:MGI Symbol;Acc:MGI:1933137] MNLEKLSKPELLTLFSILEGELEARDLVIEALKAQHRDTFIEERYGKYNISDPLMALQRD FETLKEKNDSEKQPVCTNPLSVLKAVMKQCKNMQERMLSQLAAAESRHRKVILDLEEERQ RHAQDTAEGDDVTYMLEKERERLTQQLEFEKSQVKKFEKEQKKLSSQLEEERTRHKQLSS MLVLECRKATSKAAEEGQKAGELSLKLDKEKSRASKLEEELAAERKRGLQTEAQVEKQLS EFDIEREQLRAKLNREENRTRALKEEVESLKKLVKDLEAAQQHRSTSEQGREPVTMSRGT ATEPPMRVSAFCQTESVQTERSHGSVITKLTDTGLPGPTTAAYSYAKANGHCDPEIQTTR ELTSDSSTENQGPPREKSAVAAQEKPVENGGCPVGTETPVTMPSHLPSSGSSLSPSSTAS SSLTSSPCSSPVLTKRLLGSAASSPGYQSSYQVGINQRFHAARHKFQSQADQDQQASGLQ SPPSRDLSPTLLDNSAAKQLARNTVTQVLSRFTNQGPIKPVSPNSSPFGTDYRNLASTAS PRGDTSHSPTPGKVSSPLSPLSPGIKSPTIPRAERGNPPPIPPKKPGLTPSQSATTPVTK THSQASSLAATEDLASSCSPSAVVANGKDVEILLPTSS >ENSMUSP00000143282.1 pep:known chromosome:GRCm38:3:105006065:105052954:-1 gene:ENSMUSG00000062127.11 transcript:ENSMUST00000197437.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cttnbp2nl description:CTTNBP2 N-terminal like [Source:MGI Symbol;Acc:MGI:1933137] MNLEKLSKPELLTLFSILEGELEARDLVIEALKAQHRDTFIEERYGKYNISDPLMALQRD FETLKEKNDSEKQPVCTNPLSVLKAVMKQCKNMQERMLSQLAAAESRHRKVILDLEEERQ RHAQDTAEGDDVTYMLEKERERLTQQLEFEKSQVKKFEKEQKKLSSQ >ENSMUSP00000054980.2 pep:known chromosome:GRCm38:2:119516505:119547627:-1 gene:ENSMUSG00000048647.9 transcript:ENSMUST00000060009.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exd1 description:exonuclease 3'-5' domain containing 1 [Source:MGI Symbol;Acc:MGI:3045306] MDPSSDYHFLNQILWRRVKLTLVSGIFEGVLQHVDPNKIVVLKNVRNAESGRSVPGVKVF FGHEILNVELMDEAEGASGEKASAVSINTERAGMEKVKNEDVNVCEPASPAPEVPTSSLL SDLKYCPSEEEEVTYTVIDQFQQKFGAAMLHIKKQSVLSVAAEGANVCRHGKLCWLQVAT NSRVYLFDIFLLGSRAFNNGLQMILEDKRILKVIHDCRWLSDCLSHQYGIMLNNVFDTQV ADVLQFSMETGGFLPNCISTLQESLIRHLKVAPRYLFFLEERQKRIQENPEIWLTRPLPP SLLKILALETTYLLPLRLVLLDEVMSDLTTLVDGYLNTYREGSADRLAGTEPACMELPAE LLQLQDFQKQRRERAVKEYRVNARGLLIRTPLHPKEPTACTAGKEERVQGFLFYKTDGGD QVPRFLCPKSHEDEKFLDKESKQTTAKSQIVPPRKEGEAHKDSKNKPGCWESAGPEDPRA QKAHALPPTWASQSQFSLKEEIEQLTVVGNKGALTSPKEGALVSPSLLQETWEAPTDTFH LPEKAEVSTLPPCPALEKTDSWISPSLNLF >ENSMUSP00000116082.1 pep:known chromosome:GRCm38:2:119538837:119547194:-1 gene:ENSMUSG00000048647.9 transcript:ENSMUST00000133668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exd1 description:exonuclease 3'-5' domain containing 1 [Source:MGI Symbol;Acc:MGI:3045306] MDPSSDYHFLNQILWRRVKLTLVSGIFEGVLQHVDPNKIVVLKNVRNAESGRSVPGVKVF FGHEILNVELMDEAEGASGEKASAVSINTERAGMEKVK >ENSMUSP00000126713.1 pep:known chromosome:GRCm38:2:119516511:119541513:-1 gene:ENSMUSG00000048647.9 transcript:ENSMUST00000171024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exd1 description:exonuclease 3'-5' domain containing 1 [Source:MGI Symbol;Acc:MGI:3045306] MDPSSDYHFLNQILWRRVKLTLVSGIFEGVLQHVDPNKIVVLKNVRNAESGRSVPGVKVF FGHEILNVELMDEAEGASGEKASAVSINTERAGMEKVKNEDVNVCEPASPAPEVPTSSLL SDLKYCPSEEEEVTYTVIDQFQQKFGAAMLHIKKQSVLSVAAEGANVCRHGKLCWLQVAT NSRVYLFDIFLLGSRAFNNGLQMILEDKRILKVIHDCRWLSDCLSHQYGIMLNNVFDTQV ADVLQFSMETGGFLPNCISTLQESLIRHLKVAPRYLFFLEERQKRIQENPEIWLTRPLPP SLLKILALETTYLLPLRLVLLDEVMSDLTTLVDGYLNTYREGSADRLAGTEPACMELPAE LLQLQDFQKQRRERAVKEYRVNARGLLIRTPLHPKEPTACTAGKEERVQGFLFYKTDGGD QVPRFLCPKSHEDEKFLDKESKQTTAKSQIVPPRKEGEAHKDSKNKPGCWESAGPEDPRA QKAHALPPTWASQSQFSLKEEIEQLTVVGNKGALTSPKEGALVSPSLLQETWEAPTDTFH LPEKAEVSTLPPCPALEKTDSWISPSLNLF >ENSMUSP00000078123.3 pep:known chromosome:GRCm38:17:12911349:12916345:-1 gene:ENSMUSG00000057388.9 transcript:ENSMUST00000079121.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl18 description:mitochondrial ribosomal protein L18 [Source:MGI Symbol;Acc:MGI:1914931] MALRPRFWKCLSVCRKLECGFAALSTSSVPAVQPDVESKENEAVAPEFTNRNPRNLELLG VARKERGWATVWPNREFWHRLRVVKTQHHVEAFVEHLNGQVVVSASTREWAIKKHLYSTR NVVACESIGRVLAQRCLEAGINFMVYQPTPWEASSDSIKRLQNAMTESGVMLREPRRIYE >ENSMUSP00000067704.6 pep:known chromosome:GRCm38:8:115682942:115707096:-1 gene:ENSMUSG00000055435.6 transcript:ENSMUST00000069009.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maf description:avian musculoaponeurotic fibrosarcoma (v-maf) AS42 oncogene homolog [Source:MGI Symbol;Acc:MGI:96909] MASELAMNNSDLPTSPLAMEYVNDFDLMKFEVKKEPVETDRIISQCGRLIAGGSLSSTPM STPCSSVPPSPSFSAPSPGSGSEQKAHLEDYYWMTGYPQQLNPEALGFSPEDAVEALISN SHQLQGGFDGYARGAQQLAAAAGAGAGASLGGSGEEMGPAAAVVSAVIAAAAAQSGAAPH YHHHHHHAAGHHHHPTAGAPGAAGGASASASGAGGAGGGGPASAGGGGGGGGGGGTAGAG GALHPHHAAGGLHFDDRFSDEQLVTMSVRELNRQLRGVSKEEVIRLKQKRRTLKNRGYAQ SCRFKRVQQRHVLESEKNQLLQQVDHLKQEISRLVRERDAYKEKYEKLVSNGFRENGSSS DNPSSPEFFMYPRDSSTSVM >ENSMUSP00000104732.1 pep:known chromosome:GRCm38:8:115701435:115707794:-1 gene:ENSMUSG00000055435.6 transcript:ENSMUST00000109104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Maf description:avian musculoaponeurotic fibrosarcoma (v-maf) AS42 oncogene homolog [Source:MGI Symbol;Acc:MGI:96909] MASELAMNNSDLPTSPLAMEYVNDFDLMKFEVKKEPVETDRIISQCGRLIAGGSLSSTPM STPCSSVPPSPSFSAPSPGSGSEQKAHLEDYYWMTGYPQQLNPEALGFSPEDAVEALISN SHQLQGGFDGYARGAQQLAAAAGAGAGASLGGSGEEMGPAAAVVSAVIAAAAAQSGAAPH YHHHHHHAAGHHHHPTAGAPGAAGGASASASGAGGAGGGGPASAGGGGGGGGGGGTAGAG GALHPHHAAGGLHFDDRFSDEQLVTMSVRELNRQLRGVSKEEVIRLKQKRRTLKNRGYAQ SCRFKRVQQRHVLESEKNQLLQQVDHLKQEISRLVRERDAYKEKYEKLVSNGFRENGSSS DNPSSPEFFM >ENSMUSP00000033431.7 pep:known chromosome:GRCm38:X:48623578:48662294:1 gene:ENSMUSG00000031105.16 transcript:ENSMUST00000033431.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a14 description:solute carrier family 25 (mitochondrial carrier, brain), member 14 [Source:MGI Symbol;Acc:MGI:1330823] MGIFPGIILIFLRVKFATAAVIHQKSSTLSHEMSGLNWKPFVYGGLASIVAEFGTFPVDL TKTRLQVQGQSIDVRFKEIKYRGMFHALFRIYKEEGILALYSGIAPALLRQASYGTIKIG IYQSLKRLFVERLEDETLLINMICGVVSGVISSTIANPTDVLKIRMQAQGSLFQGSMIGS FIDIYQQEGTRGLWRGVVPTAQRAAIVVGVELPVYDITKKHLIVSGMLGDTILTHFVSSF TCGLAGALASNPVDVVRTRMMNQRAIVGHVDLYKGTLDGILKMWKHEGFFALYKGFWPNW LRLGPWNIIFFITYEQLKRLQI >ENSMUSP00000120332.2 pep:known chromosome:GRCm38:X:48623578:48662294:1 gene:ENSMUSG00000031105.16 transcript:ENSMUST00000134257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a14 description:solute carrier family 25 (mitochondrial carrier, brain), member 14 [Source:MGI Symbol;Acc:MGI:1330823] MSGLNWKPFVYGGLASIVAEFGTFPVDLTKTRLQVQGQSIDVRFKEIKYRGMFHALFRIY KEEGILALYSGIAPALLRQASYGTIKIGIYQSLKRLFVERLEDETLLINMICGVVSGVIS STIANPTDVLKIRMQAQGSLFQGSMIGSFIDIYQQEGTRGLWRGVVPTAQRAAIVVGVEL PVYDITKKHLIVSGMLGDTILTHFVSSFTCGLAGALASNPVDVVRTRMMNQRAIVGHVDL YKGTLDGILKMWKHEGFFALYKGFWPNWLRLGPWNIIFFITYEQLKRLQI >ENSMUSP00000114655.1 pep:known chromosome:GRCm38:X:48623578:48662294:1 gene:ENSMUSG00000031105.16 transcript:ENSMUST00000134757.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a14 description:solute carrier family 25 (mitochondrial carrier, brain), member 14 [Source:MGI Symbol;Acc:MGI:1330823] MGIFPGIILIFLRVKFATAAVIVSGEKPRRLTRIS >ENSMUSP00000110586.1 pep:known chromosome:GRCm38:X:48623765:48662294:1 gene:ENSMUSG00000031105.16 transcript:ENSMUST00000114936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a14 description:solute carrier family 25 (mitochondrial carrier, brain), member 14 [Source:MGI Symbol;Acc:MGI:1330823] MGIFPGIILIFLRVKFATAAVIVSGHQKSSTLSHEMSGLNWKPFVYGGLASIVAEFGTFP VDLTKTRLQVQGQSIDVRFKEIKYRGMFHALFRIYKEEGILALYSGIAPALLRQASYGTI KIGIYQSLKRLFVERLEDETLLINMICGVVSGVISSTIANPTDVLKIRMQAQGSLFQGSM IGSFIDIYQQEGTRGLWRGVVPTAQRAAIVVGVELPVYDITKKHLIVSGMLGDTILTHFV SSFTCGLAGALASNPVDVVRTRMMNQRAIVGHVDLYKGTLDGILKMWKHEGFFALYKGFW PNWLRLGPWNIIFFITYEQLKRLQI >ENSMUSP00000136140.1 pep:known chromosome:GRCm38:X:48623962:48662292:1 gene:ENSMUSG00000031105.16 transcript:ENSMUST00000177710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a14 description:solute carrier family 25 (mitochondrial carrier, brain), member 14 [Source:MGI Symbol;Acc:MGI:1330823] MGIFPGIILIFLRVKFATAAVIVSGHQKSSTLSHEMSGLNWKPFVYGGLASIVAEFGTFP VDLTKTRLQVQGQSIDVRFKEIKYRGMFHALFRIYKEEGILALYSGIAPALLRQASYGTI KIGIYQSLKRLFVERLEDETLLINMICGVVSGVISSTIANPTDVLKIRMQAQGSLFQGSM IGSFIDIYQQEGTRGLWRGVVPTAQRAAIVVGVELPVYDITKKHLIVSGMLGDTILTHFV SSFTCGLAGALASNPVDVVRTRMMNQRAIVGHVDLYKGTLDGILKMWKHEGFFALYKGFW PNWLRLGPWNIIFFITYEQLKRLQI >ENSMUSP00000027114.5 pep:known chromosome:GRCm38:1:57406328:57417953:1 gene:ENSMUSG00000025971.6 transcript:ENSMUST00000027114.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9430016H08Rik description:RIKEN cDNA 9430016H08 gene [Source:MGI Symbol;Acc:MGI:1915365] MALAARLLPLPLLSRPLPGPVTRLRTLGSTEVRLTLTEICCLCRRRLGSSAAPIPRYTRA WTSPALRSWSSRRSLLGRVEHPPALASLPASPSRSYSTEEQPQQRQRTRMIILGFSNPIN WVRTRIYAFLIWAYFDKEFSIAEFSEGAKQAFAYVSKLLSQCKFDLLEELVAKEVLQILK EKVTSLSDNHKNALAADIDDIVYTSTGDISIYYDEKGRKFVNILMCFWYLTSANIPSESL SGANVFQVKLGDQSVETKQLLSASYEFQREFTQGVKPDWTIARIEHSKLLE >ENSMUSP00000091455.4 pep:known chromosome:GRCm38:11:104132855:104175523:1 gene:ENSMUSG00000018634.10 transcript:ENSMUST00000093925.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crhr1 description:corticotropin releasing hormone receptor 1 [Source:MGI Symbol;Acc:MGI:88498] MGQRPQLRLVKALLLLGLNPVSTSLQDQQCESLSLASNVSGLQCNASVDLIGTCWPRSPA GQLVVRPCPAFFYGVRYNTTNNGYRECLANGSWAARVNYSECQEILNEEKKSKVHYHIAV IINYLGHCISLVALLVAFVLFLRLRSIRCLRNIIHWNLISAFILRNATWFVVQLTVSPEV HQSNVAWCRLVTAAYNYFHVTNFFWMFGEGCYLHTAIVLTYSTDRLRKWMFVCIGWGVPF PIIVAWAIGKLYYDNEKCWFGKRPGVYTDYIYQGPMILVLLINFIFLFNIVRILMTKLRA STTSETIQYRKAVKATLVLLPLLGITYMLFFVNPGEDEVSRVVFIYFNSFLESFQGFFVS VFYCFLNSEVRSAIRKRWRRWQDKHSIRARVARAMSIPTSPTRVSFHSIKQSTAV >ENSMUSP00000032259.3 pep:known chromosome:GRCm38:6:129267325:129275436:-1 gene:ENSMUSG00000030156.5 transcript:ENSMUST00000032259.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd69 description:CD69 antigen [Source:MGI Symbol;Acc:MGI:88343] MDSENCSITENSSSHLERGQKDHGTSIHFEKHHEGSIQVSIPWAVLIVVLITSLIIALIA LNVGKYNCPGLYEKLESSDHHVATCKNEWISYKRTCYFFSTTTKSWALAQRSCSEDAATL AVIDSEKDMTFLKRYSGELEHWIGLKNEANQTWKWANGKEFNSWFNLTGSGRCVSVNHKN VTAVDCEANFHWVCSKPSR >ENSMUSP00000144734.1 pep:known chromosome:GRCm38:6:129268259:129275366:-1 gene:ENSMUSG00000030156.5 transcript:ENSMUST00000204411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd69 description:CD69 antigen [Source:MGI Symbol;Acc:MGI:88343] MDSENCSITENSSSHLERGQKVGKYNCPGLYEKLESSDHHVATCKNEWISYKRTCYFFST TTKSWALAQRSCSEDAATLAVIDSEKDMTFLKRYSGELEHWIGLKNEANQTWKWANGKEF NSWFNLTGSGRCVSVNHKNVTAVDCEANFHWVCSKPSR >ENSMUSP00000117830.1 pep:known chromosome:GRCm38:2:71055328:71086618:1 gene:ENSMUSG00000041966.18 transcript:ENSMUST00000130292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf17 description:DDB1 and CUL4 associated factor 17 [Source:MGI Symbol;Acc:MGI:1923013] XTTSQVRLRESHGAYLWRVPLATTPLWTRGQSLAGPKEGLAVVPRPGQKGTASWDTQEST KFKNVWTTHSKSPIAYERGRIYFDNYRCCVSSVASEPRKLYEMPKCSKSEKIEDALLWEC PVGDILPDPSDYKSSLIALTAHNWLLRISATTGEVLEKIYLASYCKFRYLSWDTPQEVIA VKSAQNKGSAAARQAGTSPPVLLYLAVFRVLPFSLVGILEINKKVFENVTDATLSHGILI VMYSSGLVRLYSFQAIIEQFMQQKLDLGCACSQGGTTGTVGEAPFGIPCNVKITDSPPPL FEVSSLENAFQIGGHPWHYIITPNKKKQKGVFHICALKDNSLAKNGIQEMECCSLESDWI YFHPDASGRIIHVGPNQVKVLKLSEVENDSSQHQISEDFVIWAKR >ENSMUSP00000120016.1 pep:known chromosome:GRCm38:2:71055744:71095858:1 gene:ENSMUSG00000041966.18 transcript:ENSMUST00000154704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf17 description:DDB1 and CUL4 associated factor 17 [Source:MGI Symbol;Acc:MGI:1923013] MGRTRKANVCRRLSRRALGFYARDAGVVQRTNLGILRALVCQESTKFKNVWTTHSKSPIA YERGRIYFDNYRCCVSSVASEPRKLYEMPKCSKSEKIEDALLWECPVGDILPDPSDYKSS LIALTAHNWLLRISATTGEVLEKIYLASYCKFRYLSWDTPQEVIAVKSAQNKGSAAARQA GTSPPVLLYLAVFRVLPFSLVGILEINKKVFENVTDATLSHGILIVMYSSGLVRLYSFQA IIEQFMQQKLDLGCACSQGGTTGTVGEAPFGIPCNVKITDSPPPLFEVSSLENAFQIGGH PWHYIITPNKKKQKGVFHICALKDNSLAKNGIQEMECCSLESDWIYFHPDASGRIIHVGP NQVKVLKLSEVENDSSQHQISEDFVIWAKREDRKENLITVTASGRVVKRNVSLLDDDPEQ ETFKIVDYEDELDLLSVVAVTQIDAEGKAHLDFHCNEYGTLLKSIPLVESWDVTYSHEVY FDRDLVLHIEQKPNRVFSCYVYQMVCDPGEEEEAVNRSG >ENSMUSP00000118011.1 pep:known chromosome:GRCm38:2:71055758:71078164:1 gene:ENSMUSG00000041966.18 transcript:ENSMUST00000135357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf17 description:DDB1 and CUL4 associated factor 17 [Source:MGI Symbol;Acc:MGI:1923013] MPKCSKSEKIEDALLWECPVGDILPDPSDYKSSLIALTAHNWLLRISATTGEVLEKIYLA SYCKFRYLSWDTPQEVIAVKSAQNKGSAAARQAGTSPPVLLYLAVFRVLPFSLVGILEIN KKVFENVTDATLSHGILIVMYSSGLVRLYSFQAIIEQ >ENSMUSP00000065624.5 pep:known chromosome:GRCm38:2:71055781:71099142:1 gene:ENSMUSG00000041966.18 transcript:ENSMUST00000064141.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf17 description:DDB1 and CUL4 associated factor 17 [Source:MGI Symbol;Acc:MGI:1923013] MGRTRKANVCRRLSRRALGFYARDAGVVQRTNLGILRALVCQESTKFKNVWTTHSKSPIA YERGRIYFDNYRCCVSSVASEPRKLYEMPKCSKSEKIEDALLWECPVGDILPDPSDYKSS LIALTAHNWLLRISATTGEVLEKIYLASYCKFRYLSWDTPQEVIAVKSAQNKGSAAARQA GTSPPVLLYLAVFRVLPFSLVGILEINKKVFENVTDATLSHGILIVMYSSGLVRLYSFQA IIEQFMQQKLDLGCACSQGGTTGTVGEAPFGIPCNVKITDSPPPLFEVSSLENAFQIGGH PWHYIITPNKKKQKGVFHICALKDNSLAKNGIQEMECCSLESDWIYFHPDASGRIIHVGP NQVKVLKLSEVENDSSQHQISEDFVIWAKREDRKENLITVTASGRVVKRNVSLLDDDPEQ ETFKIVDYEDELDLLSVVAVTQIDAEGKAHLDFHCNEYGTLLKSIPLVESWDVTYSHEVY FDRDLVLHIEQKPNRVFSCYVYQMVCDPGEEEEAVNRSG >ENSMUSP00000107785.2 pep:known chromosome:GRCm38:2:71055784:71083458:1 gene:ENSMUSG00000041966.18 transcript:ENSMUST00000112159.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf17 description:DDB1 and CUL4 associated factor 17 [Source:MGI Symbol;Acc:MGI:1923013] MGRTRKANVCRRLSRRALGFYARDAGVVQRTNLGILRALVCQESTKFKNVWTTHSKSPIA YERGRIYFDNYRCCVSSVASEPRKLYEMPKCSKSEKIEDALLWECPVGDILPDPSDYKSS LIALTAHNWLLRISATTGEVLEKIYLASYCKFRYLSWDTPQEVIAVKSAQNKGSAAARQA GTSPPVLLYLAVFRVLPFSLVGILEINKKVFENVTDATLSHGILIVMYSSGLVRLYSFQA IIEQTHHLHSLKFHLWRTHSRLEAILGTTSSRLIRRNRKEFFIFVP >ENSMUSP00000107791.2 pep:known chromosome:GRCm38:2:71055846:71090278:1 gene:ENSMUSG00000041966.18 transcript:ENSMUST00000112167.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcaf17 description:DDB1 and CUL4 associated factor 17 [Source:MGI Symbol;Acc:MGI:1923013] MGRTRKANVCRRLSRRALGFYARDAGVVQRTNLGILRALVCQESTKFKNVWTTHSKSPIA YERGRIYFDNYRCCVSSVASEPRKLYEMPKCSKSEKIEDALLWECPVGDILPDPSDYKSS LIALTAHNWLLRISATTGEVLEKIYLASYCKFRWIF >ENSMUSP00000099762.3 pep:known chromosome:GRCm38:2:71055852:71088644:1 gene:ENSMUSG00000041966.18 transcript:ENSMUST00000102701.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf17 description:DDB1 and CUL4 associated factor 17 [Source:MGI Symbol;Acc:MGI:1923013] MGRTRKANVCRRLSRRALGFYARDAGVVQRTNLGILRALVCQESTKFKNVWTTHSKSPIA YERGRIYFDNYRCCVSSVASEPRKLYEMPKCSKSEKIEDALLWECPVGDILPDPSDYKSS LIALTAHNWLLRISATTGEVLEKIYLASYCKFRYLSWDTPQEVIAVKSAQNKGSAAARQA GTSPPVLLYLAVFRVLPFSLVGILEINKKVFENVTDATLSHGILIVMYSSGLVRLYSFQA IIEQFMQQKLDLGCACSQGGTTGTVGEAPFGIPCNVKITDSPPPLFEVSSLENAFQIGGH PWHYIITPNKKKQKGVFHICALKDNSLAKNGIQEMECCSLESDWIYFHPDASGRIIHVGP NQVKVLKLSEVENDSSQHQISEDFVIWAKREDRKENLITVTASGRVVKRNVSLLDDDPEQ ETFKIVDYEDELDLLSVVAVTQIDAEGKAHLDFHCNEYGTLLKSIPLVESWDVVCITSGT LSCKGFLYRCHLLDHVHISPDSPML >ENSMUSP00000114459.1 pep:known chromosome:GRCm38:2:71063424:71078145:1 gene:ENSMUSG00000041966.18 transcript:ENSMUST00000136299.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dcaf17 description:DDB1 and CUL4 associated factor 17 [Source:MGI Symbol;Acc:MGI:1923013] XLLRISATTGEVLEKIYLASYCKFRTLPQPSKLLPPNWRCNCVFKRPFHLSISPAASG >ENSMUSP00000019965.6 pep:known chromosome:GRCm38:10:41487172:41490340:-1 gene:ENSMUSG00000019822.12 transcript:ENSMUST00000019965.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd2 description:sphingomyelin phosphodiesterase 2, neutral [Source:MGI Symbol;Acc:MGI:1278330] MKLNFSLRLRVFNLNCWDIPYLSKHRADRMKRLGDFLNLENFDLALLEEVWSEQDFQYLR QRLSLTYPDAHYFRSGMIGSGLCVFSKHPIQEIFQHVYSLNGYPYMFHHGDWFCGKSVGL LVLRLSGLVLNAYVTHLHAEYSRQKDIYFAHRVAQAWELAQFIHHTSKNADVVLLCGDLN MHPKDLGCCLLKEWTGLHDAFVETEDFKGSDDGCTMVPKNCYVSQQDLGPFPSGIRIDYV LYKAVSEFHVCCETLKTTTGCDPHSDKPFSDHEALMATLYVKHSPPQEDPCTACGPLERS DLISVLREARTELGLGIAKARWWAAFSGYVIVWGLSLLVLLCVLAAGEEAREVAIILCIP SVGLVLVAGAVYLFHKQEAKGLCRAQAEMLHVLTRETETQDRGSEPHLAYCLQQEGDRA >ENSMUSP00000115461.1 pep:known chromosome:GRCm38:10:41487639:41490097:-1 gene:ENSMUSG00000019822.12 transcript:ENSMUST00000155411.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smpd2 description:sphingomyelin phosphodiesterase 2, neutral [Source:MGI Symbol;Acc:MGI:1278330] MKLNFSLRLRVFNLNCWDIPYLSKHRADRMKRLGDFLNLENFDLALLEEVWSEQDFQYLR QRLSLTYPDAHYFRRKSSSMSTV >ENSMUSP00000146206.1 pep:known chromosome:GRCm38:19:61053840:61140795:-1 gene:ENSMUSG00000074733.14 transcript:ENSMUST00000122927.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp950 description:zinc finger protein 950 [Source:MGI Symbol;Acc:MGI:2652824] MDAVTYDEVHVNFTQEEWALLDHSQKSLYRDVMLETYKNLTAIGYNWDDHNIEEHCQSSR RHGSVCALGSLQRKLKEKFWKNSIFF >ENSMUSP00000145951.1 pep:known chromosome:GRCm38:19:61114984:61140840:-1 gene:ENSMUSG00000074733.14 transcript:ENSMUST00000127290.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp950 description:zinc finger protein 950 [Source:MGI Symbol;Acc:MGI:2652824] MDAVTYDEVHVNFTQEEWALLDHSQKSLYRDVMLETYKNLTAIGYNWDDHNIEEHCQSSR RHGSRSAFSKSPPNTTN >ENSMUSP00000145786.1 pep:known chromosome:GRCm38:19:61117124:61140817:-1 gene:ENSMUSG00000074733.14 transcript:ENSMUST00000205854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp950 description:zinc finger protein 950 [Source:MGI Symbol;Acc:MGI:2652824] MDAVTYDEVHVNFTQEEWALLDHSQKSLYRDVMLETYKNLTAIGYNWDDHNIEEHCQSSR RHGRRMFSLPRSVTP >ENSMUSP00000146094.1 pep:known chromosome:GRCm38:19:61117143:61140819:-1 gene:ENSMUSG00000074733.14 transcript:ENSMUST00000127117.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp950 description:zinc finger protein 950 [Source:MGI Symbol;Acc:MGI:2652824] MDAVTYDEVHVNFTQEEWALLDHSQKSLYRDVMLETYKNLTAIGYNWDDHNIEEHCQSSR RHGSRSAFSKSPPNTTN >ENSMUSP00000145648.1 pep:known chromosome:GRCm38:19:61117274:61140770:-1 gene:ENSMUSG00000074733.14 transcript:ENSMUST00000205712.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp950 description:zinc finger protein 950 [Source:MGI Symbol;Acc:MGI:2652824] MDAVTYDEVHVNFTQEEWALLDHSQKSLYRDVMLETYKNLTAIGYNWDDHNIEEHCQSSR RHGRHETSHPGEKPSQYTHCGKAFVYWSHPQRHERIHTGEKPYGCNHCGQHFLNLPQILP TEKD >ENSMUSP00000146243.1 pep:known chromosome:GRCm38:19:61118131:61140762:-1 gene:ENSMUSG00000074733.14 transcript:ENSMUST00000180544.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp950 description:zinc finger protein 950 [Source:MGI Symbol;Acc:MGI:2652824] MDAVTYDEVHVNFTQEEWALLDHSQKSLYRDVMLETYKNLTAIGYNWDDHNIEEHCQSSR RHGRHETSHPGEKPSQYTHCGKAFVYWSHPQRHERIHTGEKPYGCNHCGKAFAHHSNLQR HERIHTGEKPYECNQCGKAFVTHSDLQIHKRTHTGEKPYECNQCGKAFAYHSNLQRHERI HTGEKPYECNQCGKAFAYHSNLQRHERIHTGEKPYECNKCGKAFAWHSHLQRHEITHTGE KPYECNQCGKAFAHDSNLHIHKRKHAGEKPYECNQCGKAFPCYNLLQIHKRTHTGEKPYE CNQCGKTFAYHSYLQMHERIHTGEKPYECNHCGKAFSQHSSLRVHKRTHTGEKPYECNQC GEAFVYHSHLQIHKTMHTGEKPFQCNQCDKAFVYNNHLLVHKRIHTGEKPYECNHCGKAF SQLSGLHVHKRTHTGEKPYKCNECGKAFARNSHLQRHKRIHTGEKPHECNQCGKAFARHS TLQVHKRKHAGEKPYECNQCGKAFPSHNLLHIHKRTHTGEKPYECNQCGKTFAYHSHLQV HGRIHTGEKPYKCNQCGKAFACHRNLRVHKRKHTGEKPYEFNHYSKAFSQHSSLQVHKRT HIGEKSYEL >ENSMUSP00000145915.1 pep:known chromosome:GRCm38:19:61122456:61140790:-1 gene:ENSMUSG00000074733.14 transcript:ENSMUST00000143264.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp950 description:zinc finger protein 950 [Source:MGI Symbol;Acc:MGI:2652824] MDAVTYDEVHVNFTQEEWALLDHSQKSLYRDVMLETYKNLTAIGYNWDDHNIEEHCQSSR RHGR >ENSMUSP00000145709.1 pep:known chromosome:GRCm38:19:61119420:61119590:-1 gene:ENSMUSG00000074733.14 transcript:ENSMUST00000178819.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp950 description:zinc finger protein 950 [Source:MGI Symbol;Acc:MGI:2652824] EKPYECNQCGEAFVYHSHLQIHKTMHTGEKPFQCNQCDKAFVYNNHLLVHKRIHTGE >ENSMUSP00000145979.1 pep:known chromosome:GRCm38:19:61127003:61127564:-1 gene:ENSMUSG00000074733.14 transcript:ENSMUST00000148569.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp950 description:zinc finger protein 950 [Source:MGI Symbol;Acc:MGI:2652824] DAVTYDEVHVNFTQEEWALLDHSQKSLYRDVMLETYKNLTAIGYNWDDHNIEEHCQSSRR HGR >ENSMUSP00000097648.2 pep:known chromosome:GRCm38:10:9627259:9675208:-1 gene:ENSMUSG00000060487.5 transcript:ENSMUST00000100070.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd5 description:sterile alpha motif domain containing 5 [Source:MGI Symbol;Acc:MGI:2444815] MCTNIVYEWLKALQLPQYAESFVDNGYDDLEVCKQIGDPDLDAIGVLAPAHRRRILEAVH RLREQDAAAAGLYFTLEPQPVPPAPLVEAVPPGRRGEPCGSSAQGTRGDPRGQPGAPCSR ELVSYPKLKLKIMIRDKLVRDGIHLSKPPYSRKVPMAGILEYLMNWPKSSQNH >ENSMUSP00000063431.2 pep:known chromosome:GRCm38:10:129856520:129857452:1 gene:ENSMUSG00000052818.4 transcript:ENSMUST00000064893.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr813 description:olfactory receptor 813 [Source:MGI Symbol;Acc:MGI:3030647] MRNRTSVTYFILLGLTDDPELEVVIFFFLFLTYLLSITGNLTIITLTLLDSHLKTPMYFF LRNFSFLEISFTSVCNPRFLVSILTKDKSISYNACVAQLFFFIFLGSTEFFLLASMSYDR YVAICKPLHYTTIISNKICHQLIISSWLAGFLVIFPPLAMGLELDFCDSNIIDHFTCDSA PLLQISCTDTSTLELMSFILALITLMTTLMLIILSYICILRTILKFPSAKQREKAFSTCS SHMIVISISYGSCIFMYVKTSAKAGVALTKGVAMLNTSVAPMLNPFIYTLRNQQVKQAFK DLVRRKLASK >ENSMUSP00000125427.1 pep:known chromosome:GRCm38:1:57388237:57407101:-1 gene:ENSMUSG00000048495.16 transcript:ENSMUST00000162686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyw5 description:tRNA-yW synthesizing protein 5 [Source:MGI Symbol;Acc:MGI:1915986] MAEQRLPVPRLRGVSREQFMEHLYPQRKPLVLEGLDLGSCTSKWTVDYLSQVGGTKEVKI HVAAVPQMDFISKNFVYRTLPFNKLVQRAAEETHKEFFISEDEKYYLRSLGEDPRKDVAD IRQQFPSLGGDITFPMFFREEQFFSSVFRISSPGLQLWTHYDVMDNFLIQVTGKKRITLF NPRDAQYLYLSGSKSEVLNIDSPDLDKYPLFPKARRYECSLEAGDVLFIPALWFHNVVSE EFGVGVNIFWKHLPSECYDTTDTYGNKDPVAASRAVQILDRALKTLAELPEEYRDFYARQ MVLRIQDKAYSKNFE >ENSMUSP00000078912.6 pep:known chromosome:GRCm38:1:57388240:57406674:-1 gene:ENSMUSG00000048495.16 transcript:ENSMUST00000079998.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tyw5 description:tRNA-yW synthesizing protein 5 [Source:MGI Symbol;Acc:MGI:1915986] MAEQRLPVPRLRGVSREQFMEHLYPQRKPLVLEGLDLGSCTSKWTVDYLSQVGGTKEVKI HVAAVPQMDFIKLYLLTSWSREQPKKHIKNSSFQRMRNTTYGHLEKTQGRMLQTSDSSSH H >ENSMUSP00000128576.1 pep:known chromosome:GRCm38:1:57388666:57406443:-1 gene:ENSMUSG00000048495.16 transcript:ENSMUST00000160837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyw5 description:tRNA-yW synthesizing protein 5 [Source:MGI Symbol;Acc:MGI:1915986] PVPRLRGVSREQFMEHLYPQRKPLVLEGLDLGSCTSKWTVDYLSQVGGTKEVKIHVAAVP QMDFIKLYLLTSWSREQPKKHIKNSSFQRMRNTTYGHLEKTQGSRKNSFTGKDVADIRQQ FPSLGGDITFPMFFREEQFFSSVFRISSPGLQLWTHYDVMDNFLIQVTGKKRITLFNPRD AQYLYLSGSKSEVLNIDSPDLDKYPLFPKARRYECSLEAGDVLFIPALWFHNVVSEEFGV GVNIFWKHLPSECYD >ENSMUSP00000125386.1 pep:known chromosome:GRCm38:1:57391429:57406471:-1 gene:ENSMUSG00000048495.16 transcript:ENSMUST00000160118.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tyw5 description:tRNA-yW synthesizing protein 5 [Source:MGI Symbol;Acc:MGI:1915986] MAEQRLPVPRLRGVSREQFMEHLYPQRKPLVLEGLDLGSCTSKWTVDYLSQVGGTKEVKI HVAAVPQMDFIKLYLLTSWSREQPKKHIKNSSFQRMRNTTYGHLEKTQGSRKNSFTGKVG CCCWAFPIIGL >ENSMUSP00000019942.5 pep:known chromosome:GRCm38:10:13652451:13868957:-1 gene:ENSMUSG00000019806.13 transcript:ENSMUST00000019942.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aig1 description:androgen-induced 1 [Source:MGI Symbol;Acc:MGI:1913503] MALVPCQVLRVAILLSYCSILCNYKAIEMPSHQTYGGSWKFLTFIDLVIQAVFFGICVLT DLSSLLTRGSGNQEQERQLRKLISLRDWTLAVLAFPVGVFVVAVFWTIYAYDREMIYPRL LDNFIPGWLNHGMHTTVLPFILIEMRTSHHQYPSRSSGLAAICTFSVGYILWVCWIHHVT GMWVYPFLEHIGSGARIIFFGSTTILMNFLYLLGEVLNSYIWDTQRTKAPSCQDTQSSLS CAKPVQNLCKDTFMLEGGKARA >ENSMUSP00000125366.1 pep:known chromosome:GRCm38:10:13647054:13868980:-1 gene:ENSMUSG00000019806.13 transcript:ENSMUST00000162610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aig1 description:androgen-induced 1 [Source:MGI Symbol;Acc:MGI:1913503] MALVPCQVLRVAILLSYCSILCNYKAIEMPSHQTYGGSWKFLTFIDLVIQAVFFGICVLT DLSSLLTRGSGNQEQERQLRKLISLRDWTLAVLAFPVGVFVVAVFWTIYAYDREMIYPRL LDNFIPGWLNHGMHTTVLPFILIEMRTSHHQYPSRSSGLAAICTFSVGYILWVCWIHHVT GMWVYPFLEHIGSGARIIFFGSTTILMNFLYLLGEVLNSYIWDTQRSIEEEKEKPKLE >ENSMUSP00000101174.3 pep:known chromosome:GRCm38:10:13647669:13868822:-1 gene:ENSMUSG00000019806.13 transcript:ENSMUST00000105534.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aig1 description:androgen-induced 1 [Source:MGI Symbol;Acc:MGI:1913503] MALVPCQVLRVAILLSYCSILCNYKAIEMPSHQTYGGSWKFLTFIDLVIQAVFFGICVLT DLSSLLTRGSGNQEQERQLRKLISLRDWTLAVLAFPVGVFVVAVFWTIYAYDREMIYPRL LDNFIPGWLNHGMHTTVLPFILIEMRTSHHQYPSRSSGLAAICTFSVGYILWYRRRKREA >ENSMUSP00000079179.6 pep:known chromosome:GRCm38:15:93284278:93336808:-1 gene:ENSMUSG00000022634.9 transcript:ENSMUST00000080299.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yaf2 description:YY1 associated factor 2 [Source:MGI Symbol;Acc:MGI:1914307] MGDKKSPTRPKRQPKPASDEGYWDCSVCTFRNSAEAFKCMMCDVRKGTSTRKPRPVSQLV AQQVTQQFVPPTQSKKEKKDRVEKDKSEKEAASKKNCHKKTRPRLKNVDRSSAQHLEVTV GDLTVIITDFKEKAKSAPASSAAGDQHSQGSCSSDSTERGVSRSSSPRGEASSLNGESH >ENSMUSP00000108200.1 pep:known chromosome:GRCm38:6:122707489:122714633:1 gene:ENSMUSG00000012396.12 transcript:ENSMUST00000112581.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nanog description:Nanog homeobox [Source:MGI Symbol;Acc:MGI:1919200] MPAVFHPENYSCLQGSATEMLCTEAASPRPSSEDLPLQGSPDSSTSPKQKLSSPEADKGP EEEENKVLARKQKMRTVFSQAQLCALKDRFQKQKYLSLQQMQELSSILNLSYKQVKTWFQ NQRMKCKRWQKNQWLKTSNGLIQKGSAPVEYPSIHCSYPQGYLVNASGSLSMWGSQTWTN PTWSSQTWTNPTWNNQTWTNPTWSSQAWTAQSWNGQPWNAAPLHNFGEDFLQPYVQLQQN FSASDLEVNLEATRESHAHFSTPQALELFLNYSVTPPGEI >ENSMUSP00000108199.1 pep:known chromosome:GRCm38:6:122707565:122714633:1 gene:ENSMUSG00000012396.12 transcript:ENSMUST00000112580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nanog description:Nanog homeobox [Source:MGI Symbol;Acc:MGI:1919200] MPAVFHPENYSCLQGSATEMLCTEAASPRPSSEDLPLQGSPDSSTSPKQKLSSPEADKGP EEEENKVLARKQKMRTVFSQAQLCALKDRFQKQKYLSLQQMQELSSILNLSYKQVKTWFQ NQRMKCKRWQKNQWLKTSNGLIQKGSAPVEYPSIHCSYPQGYLVNASGSLSMWGSQTWTN PTWSSQTWTNPTWNNQTWTNPTWSSQAWTAQSWNGQPWNAAPLHNFGEDFLQPYVQLQQN FSASDLEVNLEATRESHAHFSTPQALELFLNYSVTPPGEI >ENSMUSP00000012540.3 pep:known chromosome:GRCm38:6:122707568:122714633:1 gene:ENSMUSG00000012396.12 transcript:ENSMUST00000012540.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nanog description:Nanog homeobox [Source:MGI Symbol;Acc:MGI:1919200] MSVGLPGPHSLPSSEEASNSGNASSMPAVFHPENYSCLQGSATEMLCTEAASPRPSSEDL PLQGSPDSSTSPKQKLSSPEADKGPEEEENKVLARKQKMRTVFSQAQLCALKDRFQKQKY LSLQQMQELSSILNLSYKQVKTWFQNQRMKCKRWQKNQWLKTSNGLIQKGSAPVEYPSIH CSYPQGYLVNASGSLSMWGSQTWTNPTWSSQTWTNPTWNNQTWTNPTWSSQAWTAQSWNG QPWNAAPLHNFGEDFLQPYVQLQQNFSASDLEVNLEATRESHAHFSTPQALELFLNYSVT PPGEI >ENSMUSP00000080106.1 pep:known chromosome:GRCm38:10:129873823:129874755:-1 gene:ENSMUSG00000059134.1 transcript:ENSMUST00000081367.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr814 description:olfactory receptor 814 [Source:MGI Symbol;Acc:MGI:3030648] MKNHTRQIEFILLGLTDNPQLQTLIFVSLLLNYLLSMLGNLSIIALTLLDPILKTPMYFF LRNFSFLEILFTTTCIPRFLITIVTQEKTISYNGCFCQLFFYIFLGATEFFFLATMSYDR YIAICKPLHYASIMNSKVCHQLVLGSWVTGFLVIFPPLIIGLDLDFCASNVIDHFLCDVS PLLQLSCSDTSLLEVMAFILALMTLIVTLIIVILSYAHIVKTIIKFPSAQQKKKAFSTCS SHMIVVSLTYGSCIFIYIKPSANERVTLSKGIAVLNTSVAPLLNPFIYTLRNKQVKQACG AILRKIFSAS >ENSMUSP00000132082.1 pep:known chromosome:GRCm38:13:49682100:49734267:1 gene:ENSMUSG00000037851.13 transcript:ENSMUST00000165316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iars description:isoleucine-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2145219] MVQQVPENISFPAEEEKILEFWSKHNCFQECLKQSKLRPKFTFYDGPPFATGLPHYGHIL AGTIKDIVTRYAHQSGFHVDRRFGWDCHGLPVEYEIDKTLGIKGPEDVAKMGIAEYNKQC RAIVMRYSAEWKSTVTRLGRWIDFDNDYKTLYPQFMESVWWVFKQLYDKGLVYRGVKVMP FSTACGTPLSNFESNQNYKDVQDPSVFVTFPLEEDENTSLVAWTTTPWTLPSNLALCVNP EIQYVKIKDVARGKLFILTEARLSALYKQESDYEILERFPGASLKGKKYKPLFDYFIKCK ENGAFTVLVDHYVKDEEGTGVVHQAPYFGADDHRVCMDFNIIQKDSVPVCPVDASGCFTE EVTHFVGQYVKDADKNIIRMLKEQGRLLAAGTFTHSYPFCWRSDTPLIYKSVPSWFVRVE PMVDQLLKNNDLCYWVPEFVREKRFGNWLKEARDWAISRNRYWGTPIPLWVSEDLEEVVC IGSVAELEELSGTKISDLHRESIDHLTIPSRCGKAPLRRVSEVFDCWFESGSMPYAQVHY PFESKREFEDAFPADFIAEGIDQTRGWFYTLLVLATALFGQPPFKNVIVNGLILASDGQK MSKRKKNYPDPVSIIDKYGADALRLYLINSPVVRAENLRFKEEGVRDVLKDVLLPWYNAY RFFIQNVFRLHKEEEVKFLYNEHTVRESPNITDRWVLSFMQSLLGFFETEMAAYRLYTVV PRLVKFVDILTNWYVRMNRRRLKGESGVEDCVMALETLFSVLLSLCRLMAPYTPFLTELM YQNLKLLIDPASLRDKDTLSIHYLMLPRVREELIDKKTENAVSRMQSVIELGRVIRDRKT IPIKYPLKEIVVIHQDPEALEDIRSLEKYIIEELNVRKVTLSTDKNKYGIRLRAEPDHMV LGKRLKGAFKAVMMAIKRLSNEELERFQKSGSIVVEGHELHEEDIRLMYTFDQATGGTAQ FEAHSDAQALVLLDVTPDQSMVDEGMAREVINRIQKLRKKCNLVPTDEITVYYNAKSEGR YLNNVIESHTDFIFATIKAPLKPYPVPTSDNILIQEQTQLKGSELEITLTKGSCVPGPAC AYVNLNICANGTEQGGVLLLENPKGDNQLNLVKLKTVVTSVFGVKNAKLSVFHGETEIQN QTDLLSLSGRTLCVTAGASPSPISSPSTLLCQYLNLQLLNAEPQECLTGTVGTLLLENPL GQNGLTHQGLVHEAAKVFGLRSRRLRLFLNETQTQEITEDIPMKTLNMKTVYVSVLPTTA DG >ENSMUSP00000130058.1 pep:known chromosome:GRCm38:13:49682191:49688313:1 gene:ENSMUSG00000037851.13 transcript:ENSMUST00000172254.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iars description:isoleucine-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2145219] MVQQVPENISFPAEEEKILEFWSKHNCFQECLKQSKLRPKFTFYDGPPFATGLPHYGHIL AGTIKDIVTRYAHQSGFHVDRRFGWDCHGLPV >ENSMUSP00000130204.1 pep:known chromosome:GRCm38:13:49705806:49711781:1 gene:ENSMUSG00000037851.13 transcript:ENSMUST00000171510.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Iars description:isoleucine-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2145219] YKSVPSWFVRVEPMVDQLLKNNDLCYWVPEFVREKRFGNWLKEARDWAISRNRYWGTPIP LWVSEDLEECALDQWQSLKNCREQKSQISTERASTT >ENSMUSP00000126806.1 pep:known chromosome:GRCm38:13:49687393:49733741:1 gene:ENSMUSG00000037851.13 transcript:ENSMUST00000164260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iars description:isoleucine-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2145219] MVQQVPENISFPAEEEKILEFWSKHNCFQECLKQSKLRPKFTFYDGPPFATGLPHYGHIL AGTIKDIVTRYAHQSGFHVDRRFGWDCHGLPVEYEIDKTLGIKGPEDVAKMGIAEYNKQC RAIVMRYSAEWKSTVTRLGRWIDFDNDYKTLYPQFMESVWWVFKQLYDKGLVYRGVKVMP FSTACGTPLSNFESNQNYKDVQDPSVFVTFPLEEDENTSLVAWTTTPWTLPSNLALCVNP EIQYVKIKDVARGKLFILTEARLSALYKQESDYEILERFPGASLKGKKYKPLFDYFIKCK ENGAFTVLVDHYVKDEEGTGVVHQAPYFGADDHRVCMDFNIIQKDSVPVCPVDASGCFTE EVTHFVGQYVKDADKNIIRMLKEQGRLLAAGTFTHSYPFCWRSDTPLIYKSVPSWFVRVE PMVDQLLKNNDLCYWVPEFVREKRFGNWLKEARDWAISRNRYWGTPIPLWVSEDLEEVVC IGSVAELEELSGTKISDLHRESIDHLTIPSRCGKAPLRRVSEVFDCWFESGSMPYAQVHY PFESKREFEDAFPADFIAEGIDQTRGWFYTLLVLATALFGQPPFKNVIVNGLILASDGQK MSKRKKNYPDPVSIIDKYGADALRLYLINSPVVRAENLRFKEEGVRDVLKDVLLPWYNAY RFFIQNVFRLHKEEEVKFLYNEHTVRESPNITDRWVLSFMQSLLGFFETEMAAYRLYTVV PRLVKFVDILTNWYVRMNRRRLKGESGVEDCVMALETLFSVLLSLCRLMAPYTPFLTELM YQNLKLLIDPASLRDKDTLSIHYLMLPRVREELIDKKTENAVSRMQSVIELGRVIRDRKT IPIKYPLKEIVVIHQDPEALEDIRSLEKYIIEELNVRKVTLSTDKNKYGIRLRAEPDHMV LGKRLKGAFKAVMMAIKRLSNEELERFQKSGSIVVEGHELHEEDIRLMYTFDQATGGTAQ FEAHSDAQALVLLDVTPDQSMVDEGMAREVINRIQKLRKKCNLVPTDEITVYYNAKSEGR YLNNVIESHTDFIFATIKAPLKPYPVPTSDNILIQEQTQLKGSELEITLTKGSCVPGPAC AYVNLNICANGTEQGGVLLLENPKGDNQLNLVKLKTVVTSVFGVKNAKLSVFHGETEIQN QTDLLSLSGRTLCVTAGASPSPISSPSTLLCQYLNLQLLNAEPQECLTGTVGTLLLENPL GQNGLTHQGLVHEAAKVFGLRSRRLRLFLNETQTQEITEDIPMKTLNMKTVYVSVLPTTA DG >ENSMUSP00000048096.7 pep:known chromosome:GRCm38:13:49682130:49734267:1 gene:ENSMUSG00000037851.13 transcript:ENSMUST00000047363.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iars description:isoleucine-tRNA synthetase [Source:MGI Symbol;Acc:MGI:2145219] MVQQVPENISFPAEEEKILEFWSKHNCFQECLKQSKLRPKFTFYDGPPFATGLPHYGHIL AGTIKDIVTRYAHQSGFHVDRRFGWDCHGLPVEYEIDKTLGIKGPEDVAKMGIAEYNKQC RAIVMRYSAEWKSTVTRLGRWIDFDNDYKTLYPQFMESVWWVFKQLYDKGLVYRGVKVMP FSTACGTPLSNFESNQNYKDVQDPSVFVTFPLEEDENTSLVAWTTTPWTLPSNLALCVNP EIQYVKIKDVARGKLFILTEARLSALYKQESDYEILERFPGASLKGKKYKPLFDYFIKCK ENGAFTVLVDHYVKDEEGTGVVHQAPYFGADDHRVCMDFNIIQKDSVPVCPVDASGCFTE EVTHFVGQYVKDADKNIIRMLKEQGRLLAAGTFTHSYPFCWRSDTPLIYKSVPSWFVRVE PMVDQLLKNNDLCYWVPEFVREKRFGNWLKEARDWAISRNRYWGTPIPLWVSEDLEEVVC IGSVAELEELSGTKISDLHRESIDHLTIPSRCGKAPLRRVSEVFDCWFESGSMPYAQVHY PFESKREFEDAFPADFIAEGIDQTRGWFYTLLVLATALFGQPPFKNVIVNGLILASDGQK MSKRKKNYPDPVSIIDKYGADALRLYLINSPVVRAENLRFKEEGVRDVLKDVLLPWYNAY RFFIQNVFRLHKEEEVKFLYNEHTVRESPNITDRWVLSFMQSLLGFFETEMAAYRLYTVV PRLVKFVDILTNWYVRMNRRRLKGESGVEDCVMALETLFSVLLSLCRLMAPYTPFLTELM YQNLKLLIDPASLRDKDTLSIHYLMLPRVREELIDKKTENAVSRMQSVIELGRVIRDRKT IPIKYPLKEIVVIHQDPEALEDIRSLEKYIIEELNVRKVTLSTDKNKYGIRLRAEPDHMV LGKRLKGAFKAVMMAIKRLSNEELERFQKSGSIVVEGHELHEEDIRLMYTFDQATGGTAQ FEAHSDAQALVLLDVTPDQSMVDEGMAREVINRIQKLRKKCNLVPTDEITVYYNAKSEGR YLNNVIESHTDFIFATIKAPLKPYPVPTSDNILIQEQTQLKGSELEITLTKGSCVPGPAC AYVNLNICANGTEQGGVLLLENPKGDNQLNLVKLKTVVTSVFGVKNAKLSVFHGETEIQN QTDLLSLSGRTLCVTAGASPSPISSPSTLLCQYLNLQLLNAEPQECLTGTVGTLLLENPL GQNGLTHQGLVHEAAKVFGLRSRRLRLFLNETQTQEITEDIPMKTLNMKTVYVSVLPTTA DG >ENSMUSP00000037819.7 pep:known chromosome:GRCm38:11:80482126:80780025:-1 gene:ENSMUSG00000035441.14 transcript:ENSMUST00000041065.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1d description:myosin ID [Source:MGI Symbol;Acc:MGI:107728] MAEQESLEFGKADFVLMDTVSMPEFMANLRLRFEKGRIYTFIGEVVVSVNPYKVLNIYGR DTVEQYKGRELYERPPHLFAIADAAYKAMKRRSKDTCIMISGESGAGKTEASKYIMQYIA AITNPSQRAEIERVKNMLLKSNCVLEAFGNAKTNRNDNSSRFGKYMDINFDFKGDPIGGH INNYLLEKSRVIVQQPGERSFHSFYQLLQGGSEQMLHSLHLQKSLSSYNYIRVGAQLKSS INDAAEFKVVADAMKVIGFKPEEIQTVYKILAVILHLGNLKFIVDGDTPLIENGKVVSVI AELLSTKADMVEKALLYRTVATGRDIIDKQHTEQEASYGRDAFAKAIYERLFCWIVTRIN DIIEVKNYDTTIHGKNTVIGVLDIYGFEIFDNNSFEQFCINYCNEKLQQLFIQLVLKQEQ EEYQREGIPWKHIDYFNNQIIVDLVEQQHKGIIAILDDACMNVGKVTDGMFLEALNSKLG KHGHFSSRKTCASDKILEFDRDFRIRHYAGDVVYSAIGFIDKNKDTLFQDFKRLMYNSSN PVLKNMWPEGKLSITEVTKRPLTAATLFKNSMIALVDNLASKEPYYVRCIKPNDKKSPQI FDDERCRHQVEYLGLLENVRVRRAGFAFRQTYEKFLHRYKMISEFTWPNHDLPSDKEAVK KLIERCGFQDDVAYGKSKIFIRTPRTLFTLEELRAQMLVRVVLFLQKVWRGTLARMRYKR TKAALTIIRYYRRYKVKSYIHEVARRFHGVKNMRDYGKHVKWPTPPKVLRRFEEALQSIF NRWRASQLIKTIPASDLPQVRAKVAAMEMLKGQRADLGLQRAWEGNYLASKPDTPQTSGT FVPVANELKRKDKYMNVLFSCHVRKVNRFSKVEDRAIFVTDRHLYKMDPTKQYKVMKTIP LYNLTGLSVSNGKDQLVVFHTKDNKDLIVCLFSKQPTHESRIGELVGVLVNHFKSEKRHL QVNVTNPVQCSLHGKKCTVSVETRLNQPQPDFTKNRSGFILSVPGN >ENSMUSP00000066948.5 pep:known chromosome:GRCm38:11:80583827:80780025:-1 gene:ENSMUSG00000035441.14 transcript:ENSMUST00000070997.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo1d description:myosin ID [Source:MGI Symbol;Acc:MGI:107728] MAEQESLEFGKADFVLMDTVSMPEFMANLRLRFEKGRIYTFIGEVVVSVNPYKVLNIYGR DTVEQYKGRELYERPPHLFAIADAAYKAMKRRSKDTCIMISGESGAGKTEASKYIMQYIA AITNPSQRAEIERVKNMLLKSNCVLEAFGNAKTNRNDNSSRFGKYMDINFDFKGDPIGGH INNYLLEKSRVIVQQPGERSFHSFYQLLQGGSEQMLHSLHLQKSLSSYNYIRVGAQLKSS INDAAEFKVVADAMKVIGFKPEEIQTVYKILAVILHLGNLKFIVDGDTPLIENGKVVSVI AELLSTKADMVEKALLYRTVATGRDIIDKQHTEQEASYGRDAFAKAIYERLFCWIVTRIN DIIEVKNYDTTIHGKNTVIGVLDIYGFEIFDNNSFEQFCINYCNEKLQQLFIQLVLKQEQ EEYQREGIPWKHIDYFNNQIIVDLVEQQHKGIIAILDDACMNVGKVTDGMFLEALNSKLG KHGHFSSRKTCASDKILEFDRDFRIRHYAGDVVYSAIGFIDKNKDTLFQDFKRLMYNSSN PVLKNMWPEGKLSITEVTKRPLTAATLFKNSMIALVDNLASKEPYYVRCIKPNDKKSPQI FDDERCRHQVEYLGLLENVRVRRAGFAFRQTYEKFLHRYKMISEFTWPNHDLPSDKEAVK KLIERCGFQDDVAYGKSKIFIRTPRTLFTLEELRAQMLVRVVLFLQKVWRGTLARMRYKR TKAALTIIRYYRRYKVKSYIHEVARRFHGVKNMRDYGKHVKWPTPPKVLRRFEEALQSIF NRWRASQLIKTIPASDLPQVRAKVAAMEMLKGQRADLGLQRAWEGNYLASKPDTPQTSGT FVPVANELKRKDKYMNVLFSCHVRKVNRFSKVEDRAIFVTDRHLYKMDPTKQYKVMKTIP LYNISHAHSSALTLHLLLGRTNFRTMEWLPPLVRQTGYKGGLQM >ENSMUSP00000102613.1 pep:known chromosome:GRCm38:11:104186327:104188551:1 gene:ENSMUSG00000049506.7 transcript:ENSMUST00000107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sppl2c description:signal peptide peptidase 2C [Source:MGI Symbol;Acc:MGI:3045264] MACLGSLHPLGSLLLLFLLLLLSPEARGEYGLVRVVSKNWSKDYCVLYSSDYVNLPRDLH HAPLLSLHDGTKTPWCPDEDSFHQAQDSSPRQRPLHQTTTMVTRGNCSFYAKGWLAQDQG AQGLLIVSRARNQQCSDTISKPQDPSKPWPALTIPVAVLRYTDMLDIVSHTYGDTDVRVA MFAPLEPVTDYNMAIIFILAVGTVAAGGYWAGLMEANKLQRRQAQRGGGLGGHNQQQTVA AERSQRAWEDDDFEDAPMDFTPAMTGAVVTMSCSIMILLYFFYDCFVYVMIGIFSLGAST GLYSCLAPILCHLPLWRYQWVLPGQRVSVTWPLLLLAGLCAMVTVLWVIHRNEDHWAWLL QDTLGVAYCLFVLRRVRLPTFKNCTLFLLALLAFDVFFVFITPLFTKTGESIMVEVASGP ADSSSHERLPMVLKVPRLSFSALTLCNQPFSILGFGDIVVPGFLVAYCHRFDMQVQSRQV YYMACTVAYAVGLLVTFVAMILMQMGQPALLYLVSSTLLTSLAVATCRQEFTLFWTGQGR AKIPAEPVAQPCIASAVGSKMKLEDAKDSRTTNRFEQAVDGESGDLESSTGDDMAEMVTL SEDEATSPEGHSESSEGWSDTNLDPNELPSGSPMALEAMLIPLIQPIPHPSELGHIRTQS RVHDSSLPWMGLHKRKGLKVKKSMSAQAPL >ENSMUSP00000091453.5 pep:known chromosome:GRCm38:11:104186327:104191163:1 gene:ENSMUSG00000049506.7 transcript:ENSMUST00000059448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sppl2c description:signal peptide peptidase 2C [Source:MGI Symbol;Acc:MGI:3045264] MACLGSLHPLGSLLLLFLLLLLSPEARGEYGLVRVVSKNWSKDYCVLYSSDYVNLPRDLH HAPLLSLHDGTKTPWCPDEDSFHQAQDSSPRQRPLHQTTTMVTRGNCSFYAKGWLAQDQG AQGLLIVSRARNQQCSDTISKPQDPSKPWPALTIPVAVLRYTDMLDIVSHTYGDTDVRVA MFAPLEPVTDYNMAIIFILAVGTVAAGGYWAGLMEANKLQRRQAQRGGGLGGHNQQQTVA AERSQRAWEDDDFEDAPMDFTPAMTGAVVTMSCSIMILLYFFYDCFVYVMIGIFSLGAST GLYSCLAPILCHLPLWRYQWVLPGQRVSVTWPLLLLAGLCAMVTVLWVIHRNEDHWAWLL QDTLGVAYCLFVLRRVRLPTFKNCTLFLLALLAFDVFFVFITPLFTKTGESIMVEVASGP ADSSSHERLPMVLKVPRLSFSALTLCNQPFSILGFGDIVVPGFLVAYCHRFDMQVQSRQV YYMACTVAYAVGLLVTFVAMILMQMGQPALLYLVSSTLLTSLAVATCRQEFTLFWTGQGR AKIPAEPVAQPCIASAVGSKMKLEDAKDSRTTNRMPEEDFV >ENSMUSP00000145482.1 pep:known chromosome:GRCm38:10:129901776:129902708:-1 gene:ENSMUSG00000061961.2 transcript:ENSMUST00000205013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr815 description:olfactory receptor 815 [Source:MGI Symbol;Acc:MGI:3030649] MKNHTRQIEFILLGLTDNPQLQTLIFVSLLLNYLLSMLGNLAIIALTLLDPILKTPMYFF LRNFSFLEILFTTTCIPRFLISIVTQEKTISYNGCVCQLFFYIFLGATEFFFLATMSYDR YIAICKPLHYASIMNSKVCHQLVLGSWVTGFLVIFPPLIIGLDLDFCASNVIDHFLCDVS PLLQLSCSNTNLLDLMAFILALMTLIVTLVIVIFSYAHIAKTIMKFPSVQQKKKAFSTCS SHMIVVSLTYGSCIFIYIKPSANERVTLSKGIAVLNTSVAPLLNPFIYTLRNKQVKQACG VVLRKIFSAS >ENSMUSP00000071488.1 pep:known chromosome:GRCm38:10:129901776:129902726:-1 gene:ENSMUSG00000061961.2 transcript:ENSMUST00000071557.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr815 description:olfactory receptor 815 [Source:MGI Symbol;Acc:MGI:3030649] MISQREMKNHTRQIEFILLGLTDNPQLQTLIFVSLLLNYLLSMLGNLAIIALTLLDPILK TPMYFFLRNFSFLEILFTTTCIPRFLISIVTQEKTISYNGCVCQLFFYIFLGATEFFFLA TMSYDRYIAICKPLHYASIMNSKVCHQLVLGSWVTGFLVIFPPLIIGLDLDFCASNVIDH FLCDVSPLLQLSCSNTNLLDLMAFILALMTLIVTLVIVIFSYAHIAKTIMKFPSVQQKKK AFSTCSSHMIVVSLTYGSCIFIYIKPSANERVTLSKGIAVLNTSVAPLLNPFIYTLRNKQ VKQACGVVLRKIFSAS >ENSMUSP00000131279.2 pep:known chromosome:GRCm38:19:42052248:42053627:1 gene:ENSMUSG00000090369.3 transcript:ENSMUST00000164518.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933411K16Rik description:RIKEN cDNA 4933411K16 gene [Source:MGI Symbol;Acc:MGI:1914015] MLWAHRKKRKAATETTEDKPLESHRANDSWIKSHFSRLSEERLPTYRYVSNNGHSPESRH GEVNTTLHVDTLTTKHGERGAALHRDSFASKQKISGSSMTKEMQRESGKSPSMEDDTWAA VAACTKEIDAKGHRVANSMLQRSTTHRRKGHAESRNISPEELKALEEVEIKLKGNFLTHH ETGVAGANQSHTVYSQSRHSNQSHHSYPSHQSNQSHPVYSSYQGHHPSHLSPQSYPSYSS HQSHPGHSNHQGHSGLSSHQTHLGHSNHQGHPGHSSHQSHQGQPGHPSHQSHNLPNRRNP IYGS >ENSMUSP00000146101.1 pep:known chromosome:GRCm38:6:91904233:91950725:1 gene:ENSMUSG00000034063.8 transcript:ENSMUST00000205686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930590J08Rik description:RIKEN cDNA 4930590J08 gene [Source:MGI Symbol;Acc:MGI:2685917] MNQIKSNRELYLQYSASAPKLLAHVSKLLIFCRNSGISIPKGIRNIFEFTWEELINDPAV PTASEIQDLVVTFGTPTVVLAEVIPVQVLPMQKKQPPPAPPPTLMPAATSGGKYVPPTST SAKLMPNGQNTLHKFQRQSIHLLTELLSLKMKAMVESASAGANPLDITKRFVEASQLLHL NAKEMAFDCLTGTVGKSCLSTAQLGKESSMNISAMGVNTPYQLVYQTSTACLSFSLCTGK ESRKKDIGAKPKPVDDVSPQPVRARTPPENTVVELPDPCPEAREKLQDMCRHIEAERILW RGRNASCPMIFRNYRSRISSHLMLASKGDSHHPLTTGTQITASAPHQPSSQHAQMGRHSQ EWKGPKKPIKLHYTFYDGSSFIYYPSGNIALLQIPTCCRGKPITCLFNDMPNTFLALFNA EGLGCVYYNLKNCCPYVLVLDEEGGITNDQKGYIVHRWSWASKTETLLSLEYKVNEQMKL TVLGQDSITVTFTSMNETVTISVSPKSCPHNVLHDKRPVRRISLMDEKVSKTNRALAEIK KRFQKTVTQFMNSVLLAAGLFTLEYPNPKETETSRVKLKPGSNQDRIRKTSLYQGENHMR IQSARQDSIINETLKEDSILTSLAHVQKKNGKVQVKVLPRGKRREVRSPTRWAASPSDCP LVLRRLILKEDIRAGCKCIVKAPLVSDLELERFLSAPRDPNQVLVFGIMSSQDPTLTAQL QWLMDTLYSHLQQGRSSPCIQCRHDPYRLLRYDLDSPLQKDPPLMVKKFAVIHGMVLMFA GGKLLFGGCVLNGYGFSKQNLLKQIFRAQQDCKMGYFLPDNYKFKHDAFKASRIAKPCGL SSRGVPSQFLGLETEPSMSTYVTNLDDTESTKKPPSDDYEGSVSSVALVDKIEKEPPPSP EKVKPPEIELQPFTKMRRSSKKTAGFKKLNSKK >ENSMUSP00000145930.1 pep:known chromosome:GRCm38:6:91944264:91950030:1 gene:ENSMUSG00000034063.8 transcript:ENSMUST00000205569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930590J08Rik description:RIKEN cDNA 4930590J08 gene [Source:MGI Symbol;Acc:MGI:2685917] XGFDVCWGQAPIWGLCAKWLRLQQAESAETDLPGSTGLQDGLLPARQLQIQHVNLCHKPG >ENSMUSP00000053778.7 pep:known chromosome:GRCm38:6:91914759:91950640:1 gene:ENSMUSG00000034063.8 transcript:ENSMUST00000059318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930590J08Rik description:RIKEN cDNA 4930590J08 gene [Source:MGI Symbol;Acc:MGI:2685917] MNQIKSNRELYLQYSASAPKLLAHVSKLLIFCRNSGISIPKGIRNIFEFTWEELINDPAV PTASEIQDLVVTFGTPTVVLAEVIPVQVLPMQKKQPPPAPPPTLMPAATSGGKYVPPTST SAKLMPNGQNTLHKFQRQSIHLLTELLSLKMKAMVESASAGANPLDITKRFVEASQLLHL NAKEMAFDCLTGTVGKSCLSTAQLGKESSMNISAMGVNTPYQLVYQTSTACLSFSLCTGK ESRKKDIGAKPKPVDDVSPQPVRARTPPENTVVELPDPCPEAREKLQDMCRHIEAERILW RGRNASCPMIFRNYRSRISSHLMLASKGDSHHPLTTGTQITASAPHQPSSQHAQMGRHSQ EWKGPKKPIKLHYTFYDGSSFIYYPSGNIALLQIPTCCRGKPITCLFNDMPNTFLALFNA EGLGCVYYNLKNCCPYVLVLDEEGGITNDQKGYIVHRWSWASKTETLLSLEYKVNEQMKL TVLGQDSITVTFTSMNETVTISVSPKSCPHNVLHDKRPVRRISLMDEKVSKTNRALAEIK KRFQKTVTQFMNSVLLAAGLFTLEYPNPKETETSRVKLKPGSNQDRIRKTSLYQGENHMR IQSARQDSIINETLKEDSILTSLAHVQKKNGKVQVKVLPRGKRREVRSPTRWAASPSDCP LVLRRLILKEDIRAGCKCIVKAPLVSDLELERFLSAPRDPNQVLVFGIMSSQDPTLTAQL QWLMDTLYSHLQQGRSSPCIQCRHDPYRLLRYDLDSPLQKDPPLMVKKFAVIHGMVLMFA GGKLLFGGCVLNGYGFSKQNLLKQIFRAQQDCKMGYFLPDNYKFKHDAFKASRIAKPCGL SSRGVPSQFLGLETEPSMSTYVTNLDDTESTKKPPSDDYEGSVSSVALVDKIEKEPPPSP EKVKPPEIELQPFTKMRRSSKKTAGFKKLNSKK >ENSMUSP00000126037.1 pep:known chromosome:GRCm38:19:42045792:42070365:1 gene:ENSMUSG00000025176.14 transcript:ENSMUST00000172244.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoga1 description:4-hydroxy-2-oxoglutarate aldolase 1 [Source:MGI Symbol;Acc:MGI:1914682] MLGPQIWASMRQGLSRGLSRNVKGKKVDIAGIYPPVTTPFTATAEVDYGKLEENLNRLAT FPFRGAVGGICGLANVLGAQVCQLERLCLTGQWEAAQELQHRLIEPNTAVTRRFGIPGLK KTMDWFGYYGGPCRAPLQELSPTEEEALRLDFSNNGWL >ENSMUSP00000080414.4 pep:known chromosome:GRCm38:19:42045832:42070953:1 gene:ENSMUSG00000025176.14 transcript:ENSMUST00000081714.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hoga1 description:4-hydroxy-2-oxoglutarate aldolase 1 [Source:MGI Symbol;Acc:MGI:1914682] MLGPQIWASMRQGLSRGLSRNVKGKKVDIAGIYPPVTTPFTATAEVDYGKLEENLNRLAT FPFRGFVVQGSTGEFPFLTSLERLEVVSRVRQAIPKDKFLIAGSGCESTQATVEMTVSMA QVGADVAMVVTPCYYRGRMSSAALIHHYTKVADVSPIPVVLYSVPANTGLELPVDAVVTL SQHPNIIGLKDSGGDVTRIGLIVHKTSKQDFQVLAGSAGFLLASYAVGAVGGICGLANVL GAQVCQLERLCLTGQWEAAQELQHRLIEPNTAVTRRFGIPGLKKTMDWFGYYGGPCRAPL QELSPTEEEALRLDFSNNGWL >ENSMUSP00000140967.1 pep:known chromosome:GRCm38:9:102626296:102634425:1 gene:ENSMUSG00000035048.13 transcript:ENSMUST00000190279.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc13 description:anaphase promoting complex subunit 13 [Source:MGI Symbol;Acc:MGI:1916260] MDSEVQRDGRILDLIDDAWREDKLPYEDVAIPLSELPEPEQDNGGTTESVKEQEMKWTDL ALQGLHENVPPAGN >ENSMUSP00000140325.1 pep:known chromosome:GRCm38:9:102626324:102634250:1 gene:ENSMUSG00000035048.13 transcript:ENSMUST00000188398.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc13 description:anaphase promoting complex subunit 13 [Source:MGI Symbol;Acc:MGI:1916260] MDSEVQRDGRILDLIDDAWREDKLPYEDVAIPLSELPEPEQDNGGTTESVKEQEMKWTDL ALQGLHENVPPAGN >ENSMUSP00000039761.7 pep:known chromosome:GRCm38:9:102626663:102634242:1 gene:ENSMUSG00000035048.13 transcript:ENSMUST00000038673.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc13 description:anaphase promoting complex subunit 13 [Source:MGI Symbol;Acc:MGI:1916260] MDSEVQRDGRILDLIDDAWREDKLPYEDVAIPLSELPEPEQDNGGTTESVKEQEMKWTDL ALQGLHENVPPAGN >ENSMUSP00000139762.1 pep:known chromosome:GRCm38:9:102626679:102634242:1 gene:ENSMUSG00000035048.13 transcript:ENSMUST00000186693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anapc13 description:anaphase promoting complex subunit 13 [Source:MGI Symbol;Acc:MGI:1916260] MDSEVQRDGRILDLIDDAWREDKLPYEDVAIPLSELPEPEQDNGGTTESVKEQEMKWTDL ALQGLHENVPPAGN >ENSMUSP00000115813.1 pep:known chromosome:GRCm38:15:98134637:98165625:-1 gene:ENSMUSG00000048175.13 transcript:ENSMUST00000143400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb8 description:ankyrin repeat and SOCS box-containing 8 [Source:MGI Symbol;Acc:MGI:1925791] MSSSMWYIMQSIQSKYSLSERLIRTIAAIRSFPHDNVEDLIRGGADVNCTHGTLKPLHCA CMVSDADCVELLLEKGAEVNALDGYNRTALHYAAEKDEACVEVLLEYGANPNALDGNRDT PLHWAAFKNNAECVRALLESGASVNALDYNNDTPLSWAAMKGNLESVSILLDYGAEVRVI NLKGQTPISRLVALLVRGLGTEKEDSCFELLHRAVGQFELRKNGIMPREVTKDQQLCEKL TVLCSAPGTLKTLARYAVRRSLGLQYLPDAVKGLPLPVSLKDYLLLLE >ENSMUSP00000121383.1 pep:known chromosome:GRCm38:15:98135714:98145706:-1 gene:ENSMUSG00000048175.13 transcript:ENSMUST00000123626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb8 description:ankyrin repeat and SOCS box-containing 8 [Source:MGI Symbol;Acc:MGI:1925791] MSSSMWYIMQSIQSKYSLSERLIRTIAAIRSFPHDNVEDLIRGGADVNCTHGTLKPLHCA CMVSDADCVELLLEKGAEVNALDGYNRTALHYAAEKDEACVEVLLEYGANPNALDGNRDT PLHWAAFKNNAECVRALLESGASVNALDYNNDTPLSWAAMKGNLESVSILLDYGAEVRVI NLKGQTPISRLVALLVRGLGTEKEDSCFELLHRAVGQFELRKNGIMPREVTKDQQLCEKL TVLCSAPGTLKTLARYAVRRSLGLQYLPDAVKGLPLPVSLKDYLLLLE >ENSMUSP00000057864.5 pep:known chromosome:GRCm38:15:98135714:98145659:-1 gene:ENSMUSG00000048175.13 transcript:ENSMUST00000059112.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb8 description:ankyrin repeat and SOCS box-containing 8 [Source:MGI Symbol;Acc:MGI:1925791] MSSSMWYIMQSIQSKYSLSERLIRTIAAIRSFPHDNVEDLIRGGADVNCTHGTLKPLHCA CMVSDADCVELLLEKGAEVNALDGYNRTALHYAAEKDEACVEVLLEYGANPNALDGNRDT PLHWAAFKNNAECVRALLESGASVNALDYNNDTPLSWAAMKGNLESVSILLDYGAEVRVI NLKGQTPISRLVALLVRGLGTEKEDSCFELLHRAVGQFELRKNGIMPREVTKDQQLCEKL TVLCSAPGTLKTLARYAVRRSLGLQYLPDAVKGLPLPVSLKDYLLLLE >ENSMUSP00000119481.1 pep:known chromosome:GRCm38:15:98135714:98165560:-1 gene:ENSMUSG00000048175.13 transcript:ENSMUST00000123922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb8 description:ankyrin repeat and SOCS box-containing 8 [Source:MGI Symbol;Acc:MGI:1925791] MSSSMWYIMQSIQSKYSLSERLIRTIAAIRSFPHDNVEDLIRGGADVNCTHGTLKPLHCA CMVSDADCVELLLEKGAEVNALDGYNRTALHYAAEKDEACVEVLLEYGANPNALDGNRDT PLHWAAFKNNAECVRALLESGASVNALDYNNDTPLSWAAMKGNLESVSILLDYGAEVRVI NLKGQTPISRLVALLVRGLGTEKEDSCFELLHRAVGQFELRKNGIMPREVTKDQQLCEKL TVLCSAPGTLKTLARYAVRRSLGLQYLPDAVKGLPLPVSLKDYLLLLE >ENSMUSP00000032111.4 pep:known chromosome:GRCm38:6:83119044:83121559:-1 gene:ENSMUSG00000030035.14 transcript:ENSMUST00000032111.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp1 description:WW domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104710] MARASSRNSSEEAWGSLQAPQQQQSPAASSLEGAIWRRAGTQTRALDTILYHPQQSHLLR ELCPGVNTQPYLCETGHCCGETGCCTYYYELWWFWLLWTVLILFSCCCAFRHRRAKLRLQ QQQRQREINLLAYHGACHGAGPVPTGSLLDLRLLSAFKPPAYEDVVHHPGTPPPPYTVGP GYPWTTSSECTRCSSESSCSAHLEGTNVEGVSSQQSALPHQEGEPRAGLSPVHIPPSCRY RRLTGDSGIELCPCPDSSEGEPLKEARASASQPDLEDHSPCALPPDSVSQVPPMGLASSC GDIP >ENSMUSP00000109569.3 pep:known chromosome:GRCm38:6:83119044:83121559:-1 gene:ENSMUSG00000030035.14 transcript:ENSMUST00000113936.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp1 description:WW domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104710] MARASSRNSSEEAWGSLQAPQQQLRELCPGVNTQPYLCETGHCCGETGCCTYYYELWWFW LLWTVLILFSCCCAFRHRRAKLRLQQQQRQREINLLAYHGACHGAGPVPTGSLLDLRLLS AFKPPAYEDVVHHPGTPPPPYTVGPGYPWTTSSECTRCSSESSCSAHLEGTNVEGVSSQQ SALPHQEGEPRAGLSPVHIPPSCRYRRLTGDSGIELCPCPDSSEGEPLKEARASASQPDL EDHSPCALPPDSVSQVPPMGLASSCGDIP >ENSMUSP00000122900.1 pep:known chromosome:GRCm38:6:83119307:83121387:-1 gene:ENSMUSG00000030035.14 transcript:ENSMUST00000146328.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp1 description:WW domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104710] MARASSRNSSEEAWGSLQAPQQQSPAASSLEGAIWRRAGTQTRALDTILYHPQQSHLLRE LCPGVNTQPYLCETGHCCGETGCCTYYYELWWFWLLWTVLILFSCCCAFRHRRAKLRLQQ QQRQREINLLAYHGACHGAGPVPTGSLLDLRLLSAFKPPAYEDVVHHPGTPPPPYTVGPG YPWTTSSECTRCSSESSCSAHLEGTNVEGVSSQQSALPHQEGEPRAGLSPVHIPPSCRYR RLTGDSGIELCPCPDSSEGEPLKEARASASQPDLEDHSPCALPPDSVS >ENSMUSP00000145130.1 pep:known chromosome:GRCm38:6:83119436:83121406:-1 gene:ENSMUSG00000030035.14 transcript:ENSMUST00000151393.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp1 description:WW domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104710] MARASSRNSSEEAWGSLQAPQQQQSPAASSLEGAIWRRAGTQTRALDTILYHPQQSHLLR ELCPGVNTQPYLCETGHCCGETGCCTYYYELWSAVVPSATEGLNSGCNSNSGSVKSTCWL TMGHATGLALFQPVHCLTFASSAPSNPQPTRMWFTTQAHRHLLTLWAQATLGLLPVNAPA ALPNPAALPTWRGQM >ENSMUSP00000144735.1 pep:known chromosome:GRCm38:6:83119550:83120208:-1 gene:ENSMUSG00000030035.14 transcript:ENSMUST00000131936.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp1 description:WW domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104710] XTYYYELWCESSKGSISGFWLLWTVLILFSCCCAFRHRRAKLRLQQQQRQREINLLAYHG ACHGAGPVPTGSLLDLRLLSAFKPPAYEDVVHHPGTPPPPYTVGPGYPWTTSSECTRCSS ESSCSAHLEGTNV >ENSMUSP00000145178.1 pep:known chromosome:GRCm38:6:83120559:83121274:-1 gene:ENSMUSG00000030035.14 transcript:ENSMUST00000205023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp1 description:WW domain binding protein 1 [Source:MGI Symbol;Acc:MGI:104710] MARASSRNSSEEAWGSLQAPQQQVSQQL >ENSMUSP00000111127.4 pep:known chromosome:GRCm38:6:17065149:17105823:1 gene:ENSMUSG00000029552.19 transcript:ENSMUST00000115467.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tes description:testis derived transcript [Source:MGI Symbol;Acc:MGI:105081] MDLETKMKKMGLGHEQGFGAPCLKCKENCEGFELHFWRKICRNCKCGQEEHDVLLSNEED RKVGRLFEDTKYTTLIAKLKSDGIPMYKRNVMILTNPVAAKKNVSINTVTYEWAPPVQNQ ALARQYMQMLPKEKQPVAGSEGAQYRKKQLAKQLPAHDQDPSKCHELSPKEVKEMEQFVK KYKSEALGVGDVKFPSEMNAQGDKVHNPAGNRHAPAAVASKDKSAESKKTQYSCYCCKHT MNEGEPAIYAERAGYDKLWHPACFICSTCGELLVDMIYFWKNGKLYCGRHYCDSEKPRCA GCDELIFSNEYTQAENQNWHLKHFCCFDCDHILAGKIYVMVTDKPVCKPCYVKNHAVVCQ GCHNAIDPEVQRVTYNNFSWHASTECFLCSCCSKCLIGQKFMPVEGMVFCSVECKRMMS >ENSMUSP00000118791.1 pep:known chromosome:GRCm38:6:17065168:17097388:1 gene:ENSMUSG00000029552.19 transcript:ENSMUST00000154266.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tes description:testis derived transcript [Source:MGI Symbol;Acc:MGI:105081] MYKRNVMILTNPVAAKKNVSINTVTYEWAPPVQNQALARQYMQMLPKEKQPVAGSEGAQY RKKQLAKQLPAHDQDPSKC >ENSMUSP00000075950.7 pep:known chromosome:GRCm38:6:17065224:17105828:1 gene:ENSMUSG00000029552.19 transcript:ENSMUST00000076654.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tes description:testis derived transcript [Source:MGI Symbol;Acc:MGI:105081] MGLGHEQGFGAPCLKCKENCEGFELHFWRKICRNCKCGQEEHDVLLSNEEDRKVGRLFED TKYTTLIAKLKSDGIPMYKRNVMILTNPVAAKKNVSINTVTYEWAPPVQNQALARQYMQM LPKEKQPVAGSEGAQYRKKQLAKQLPAHDQDPSKCHELSPKEVKEMEQFVKKYKSEALGV GDVKFPSEMNAQGDKVHNPAGNRHAPAAVASKDKSAESKKTQYSCYCCKHTMNEGEPAIY AERAGYDKLWHPACFICSTCGELLVDMIYFWKNGKLYCGRHYCDSEKPRCAGCDELIFSN EYTQAENQNWHLKHFCCFDCDHILAGKIYVMVTDKPVCKPCYVKNHAVVCQGCHNAIDPE VQRVTYNNFSWHASTECFLCSCCSKCLIGQKFMPVEGMVFCSVECKRMMS >ENSMUSP00000001130.6 pep:known chromosome:GRCm38:11:78503447:78505081:1 gene:ENSMUSG00000001103.7 transcript:ENSMUST00000001130.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sebox description:SEBOX homeobox [Source:MGI Symbol;Acc:MGI:108012] MASPVEASPGCASGLGPHRRKRTTFSVGQLVELERVFAARPYPDISTREHLAQVTHLPEA KIQVWFQNRRAKRIKDRKPGALNSRLELPPNSCSLPDTPQLPWDPGTSSHPLHPTSSAQY TSACPPQTSCLGPILGPGQSWSGAKVAAPWGTSGASGIHSSLEQIVPQTSLGNLSDLIYT SAIVTNVDHF >ENSMUSP00000129606.2 pep:known chromosome:GRCm38:11:78503499:78505074:1 gene:ENSMUSG00000001103.7 transcript:ENSMUST00000125670.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sebox description:SEBOX homeobox [Source:MGI Symbol;Acc:MGI:108012] MASPVEASPGDLAVPVGWVPIGGRGPLSVSGSWWSWSGYLQLGPILTSAPVSTWLR >ENSMUSP00000003569.5 pep:known chromosome:GRCm38:6:55170626:55175043:-1 gene:ENSMUSG00000003477.5 transcript:ENSMUST00000003569.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inmt description:indolethylamine N-methyltransferase [Source:MGI Symbol;Acc:MGI:102963] MEGKVYIGGEDYEKEFTPKDYLTTYYSFHSGPVAEQEIVKFSLQNLYQTFSTGGVGGDVL IDIGSGPTIYQLLSACEVFREIIVTDYTPQNLQELQKWLKKEPGAYDWSSIVQHACELEG DRSRWQEKEAKLRRTVTRVLRCDVTKTPPLGSAQVPLADCVLTFLAMECACPDIDTYRAA LRRLAGLLKPGGHLVTLVTLRFQHYMVGPKKFSGVYLEKEVVEKAIQDAGCQVLKCNCVS LSYSEAYCSHDGLCFVVARKGPSA >ENSMUSP00000116971.1 pep:known chromosome:GRCm38:9:118050887:118061884:1 gene:ENSMUSG00000039285.12 transcript:ENSMUST00000135251.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azi2 description:5-azacytidine induced gene 2 [Source:MGI Symbol;Acc:MGI:1351332] MMRNLNPPSSSWEVEKLSCDLKIHGLEQELGLLRKECSDLRTELQKARQTGPPQEDILQG RDVIRPSLSREEHVPHQGLHHSDNMQHAYWELKREMSNLHLVTQVQAELLRKLKTSAAVK KACTPVGCVEDLGRDSTKLHLTNFTATYKRHPSLSPNGKAPCYAPSSPLPGDRKVFSD >ENSMUSP00000114634.1 pep:known chromosome:GRCm38:9:118049315:118062489:1 gene:ENSMUSG00000039285.12 transcript:ENSMUST00000130735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azi2 description:5-azacytidine induced gene 2 [Source:MGI Symbol;Acc:MGI:1351332] XGARADEETSSVGREQVNKAYHAYREVCIDRDNLKNQLEKINKDNSESLKMLNEQLQSKE VELLQLRTEVETQQVMRNLNPPSSSWEVEKLSCDLKIHGLEQELGLLRKECSDLRTELQK ARQTGPPQEDILQGRDVIRPSLSREEHVPHQGLHHSDNMQHAYWELKREMSNLHLVTQVQ AELLRKLKTSAAVKKGHLI >ENSMUSP00000121245.1 pep:known chromosome:GRCm38:9:118059056:118062260:1 gene:ENSMUSG00000039285.12 transcript:ENSMUST00000123690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azi2 description:5-azacytidine induced gene 2 [Source:MGI Symbol;Acc:MGI:1351332] XDNMQHAYWELKREMSNLHLVTQVQAELLRKLKTSAAVKKDSSSAGRHRQERSLTSILQT KQLPVPQ >ENSMUSP00000044350.5 pep:known chromosome:GRCm38:9:118040499:118063839:1 gene:ENSMUSG00000039285.12 transcript:ENSMUST00000044454.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azi2 description:5-azacytidine induced gene 2 [Source:MGI Symbol;Acc:MGI:1351332] MDTLVEDDICILNHEKAHRREAVTPLSAYPGDESVASHFALVTAYEDIKKRLKDSEKENS FLKKRIRALEERLVGARADEETSSVGREQVNKAYHAYREVCIDRDNLKNQLEKINKDNSE SLKMLNEQLQSKEVELLQLRTEVETQQVMRNLNPPSSSWEVEKLSCDLKIHGLEQELGLL RKECSDLRTELQKARQTGPPQEDILQGRDVIRPSLSREEHVPHQGLHHSDNMQHAYWELK REMSNLHLVTQVQAELLRKLKTSAAVKKACTPVGCVEDLGRDSTKLHLTNFTATYKRHPS LSPNGKAPCYAPSSPLPGDRKVFSDKAVLQSWTDNERLVPNDGADFPEHSSYGRNSLEDN SWVFPSPPKSSETAFGENKSKILPLSNLPPLHYLDQQNQNCLYKS >ENSMUSP00000118765.1 pep:known chromosome:GRCm38:9:118040557:118062739:1 gene:ENSMUSG00000039285.12 transcript:ENSMUST00000133580.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azi2 description:5-azacytidine induced gene 2 [Source:MGI Symbol;Acc:MGI:1351332] MDTLVEDDICILNHEKAHRREAVTPLSAYPGDESVASHFALVTAYEDIKKRLKDSEKENS FLKKRIRALEERLVGARADEETSSVGREQVNKAYHAYREVCIDRDNLKNQLEKINKDNSE SLKMLNEQLQSKEVELLQLRTEVETQQVMRNLNPPSSSWEVEKLSCDLKIHGLEQELGLL RKECSDLRTELQKARQTGPPQEDILQGRDVIRPSLSREEHVPHQGLHHSDNMQHAYWELK REMSNLHLVTQVQAELLRKLKTSAAVKKACTPVGCVEDLGRDSTKLHLTNFTATYKRHPS LSPNGKAPCYAPSSPLPGDRKVFSDKAVLQSWTDNERLVPNDGADFPEHSSYGRNSLEDN SWVFPSPPKSSETAFGENKSKILPLSNLPPLHYLDQQNQNCLYKS >ENSMUSP00000114980.1 pep:known chromosome:GRCm38:9:118040579:118060484:1 gene:ENSMUSG00000039285.12 transcript:ENSMUST00000134433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Azi2 description:5-azacytidine induced gene 2 [Source:MGI Symbol;Acc:MGI:1351332] MDTLVEDDICILNHEKAHRREAVTPLSAYPGDESVASHFALVTAYEDIKKRLKDSEKENS FLKKRIRALEERLVGARADEETSSVGREQVNKAYHAYREVCIDRDNLKNQLEKINKDNSE SLKMLNEQLQSKEVELLQLRTEVETQQVMRNLNPPSSSWEVEKLSCDLKIHGLEQELGLL RKECSDLRTELQKARQTGPPQEDILQGRDVIRPSLSREEHVPHQGLHHSDNMQHAYWELK REMSNLHLVTQVQAELLRKLKTSAAVKKGKSLLAANADSSQTA >ENSMUSP00000122063.1 pep:known chromosome:GRCm38:9:118040616:118069794:1 gene:ENSMUSG00000039285.12 transcript:ENSMUST00000154583.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Azi2 description:5-azacytidine induced gene 2 [Source:MGI Symbol;Acc:MGI:1351332] MDTLVEDDICILNHEKAHRREAVTPLSAYPGDESVASHFALVTAYEDIKKRLKDSEKENS FLKKRIRALEERLVGARADEETSSVGREQVNKAYHAYREVCIDRDNLKNQLEKI >ENSMUSP00000048978.6 pep:known chromosome:GRCm38:11:29373658:29510808:1 gene:ENSMUSG00000032740.16 transcript:ENSMUST00000040182.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc88a description:coiled coil domain containing 88A [Source:MGI Symbol;Acc:MGI:1925177] MENEIFTPLLEQFMTSPLVTWVKTFGPLAAGNGTNLDEYVALVDGVFLNQVMLQINPKSE SQRVNKKVNNDASLRIHNLSILVKQIKFYYQETLQQLIMMPLPDILIIGKNPFSEQGTEE VKKLLLLLLGCAVQCQKKEEFIEKIQGLDFDTKAAVAAHIQEVTHNQENVFDLQWMEVTD MSQEDIEPLLKNMVSHLRRLIDERDEHSETIVELSEERDGVHFLPHASSSAQSPCGSPGM KRTESRQHLSVELADAKAKIRRLRQELEEKTEQLLDCKQELEQIEVELKRLQQENMNLLS DARSARMYRDELDALREKAVRVDKLESELSRYKERLHDIEFYKARVEELKEDNQVLLETK TMLEDQLEGTRARSDKLHELEKENLQLKAKLHDMEMERDMDRKKIEELMEENMTLEMAQK QSMDESLHLGWELEQISRTSELAEAPQKSLGHEVNELTSSKLLKLEMENQSLTKTVEELR STADSAAGSTSKILKVEKENQRLNKKVEILENEIIQEKQSLQNCQNLSKDLMKEKAQLEK TIETLRENSERQIKILEQENEHLNQTVSSLRQRSQISAEARVKDIEKENKILHESIKETC GKLSKIEFEKRQMKKELELYKEKGERAEELENELNHLGKENELLQKKITNLKITCEKLET LEQENSELERENRKFKKTLDSFKNLTFQLESLEKENSQLDEENLELRRSVESLKCASMRM AQLQLENKELESEKEQLRKGLELMRASFKKTERLEVSYQGLDTENQRLQKALENSNKKIQ QLESELQDLEMENQTLQKSLEELKISSKRLEQLEKENKSLEQETSQLEKDKKQLEKENKR LRQQAEIKDTTLEENNVKIGNLEKENKTLFKEINVYKESCVRLKELEKENKELVKRATID IKTLVTLREDLVSEKLKTQQMNNDLEKLTHELEKIGLNKERLLHDEQSTDDSRYKLLESK LESTLKKSLEIKEEKIAALEARLEESTNYNQQLRHELKTVKKNYEALKQRQDEERMVQSS IPVSGEDDKWGRESQEATRELLKVKDRLIEVERNNATLQAEKQALKTQLKQLETQNNNLQ AQILALQRQTVSLQEQNTTLQTQNAKLQVENSTLNSQSTSLMNQNAQLLIQQSSLENENE SIMKEREDLKSLYDALIKDHEKLELLHERQASEYESLISKHGTLKSAHKNLEVEHKDLED RYNQLLKQKGQLEDLEKMIKTEQEKMLLESKNHEVVASEYKKLCGENDRLNYTYSQLLKE TEILQMDHKNLKSVLNNSKLEQTRLEAEFSKLKEQYQQLDITSTKLNNQCELLSQLKGNL EEENRHLLDQIQTLMLQNRTLLEQNMESKDLFHVEQRQYIDKLNELRRQKEKLEEKIMDQ YKFYDPSPPRRRGNWITLKMRKLIKSKKDINRERQKSLTLTPTRSDSSEGFLQLPHQDSQ DSSSVGSNSLEDGQTLGTKKSSTMNDLVQSMVLAGGQWTGSTENLEVPDDISTGKRRKEL GAMAFSTTAINFSTVNSSAAFRSKQLVNNKDTTSFEDISPQGISDDSSTGSRVHASRPAS LDSGRTSTSNSNNNASLHEVKAGAVNIQSRPQSHSSGDFSLLHDHETWSSSGSSPIQYLK RQTRSSPMLQHKISETIESRAHHKMKAGSPGSEVVTLQQFLEESNKLTSIQLKSSSQENL LDEVMKSLSVSSDFLGKDKPVSCTLARSVSGKTPGDFYDRRTTKPEFLRTGPQKTEDAYT ISSAGKPTPSTQGKIKLVKETSVSRQSKDSNPYATLPRASSVISTAEGTTRRTSIHDFLS KDSRLPVSVDSSPPTAGSSSTTASNVNKVQESRNSKSRSREQQSS >ENSMUSP00000119173.1 pep:known chromosome:GRCm38:11:29470354:29504106:1 gene:ENSMUSG00000032740.16 transcript:ENSMUST00000123561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc88a description:coiled coil domain containing 88A [Source:MGI Symbol;Acc:MGI:1925177] XKKSLEIKEEKIAALEARLEESTNYNQQLRHELKTNATLQAEKQALKTQLKQLETQNNNL QAQILALQRQTVSLQEQNTTLQTQNAKLQVENSTLNSQSTSLMNQNAQLLIQQSSLENEN ESIMKEREDLKSLYDALIKDHEKLELLHERQASEYESLISKHGTLKSAHKNLEVEHKDLE DRYNQLLKQKGQLEDLEKMIKTEQEKMLLESKNHEVVASEYKKLCGENDRLNYTYSQLLK ETEILQMDHKNLKSVLNNSKLEQTRLEAEFSKLKEQYQQLDITSTKLNNQCELLSQLKGN LEEENRHLLDQIQTLMLQNRTLLEQNMESKDLFHVEQRQYIDKLNELRRQKEKLEEKIMD QYKFYDPSPPRRRGNWITLKMRKLIKSKKDINRERQKSLTLTPTRSDSSEGFLQLPHQDS QDSSSVGSNSLEDGQTLGTKKSSTMNDLVQSMVLAGGQWTGSTENLEVPDDISTGKRRKE LGAMAFSTTAINFSTVNSSAAFRSKQLVNNKDTTSFEDISPQGISDDSSTGSRVHASRPA SLDSGRTSTSNSNNNASLHEVKAGAVNIQSRPQSHSSGDFSLLHDHETWSSSGSSPIQYL KRQTRSSPMLQHKISETIESRAHHKMKAGSPGSEVVTLQQFLEESNKLTSIQLKSSSQEN LLDEVMKSLSVSSDFLGKDKPVSCTLARSVSGKTPGDFYDRRTTKPEFLRTGPQKTEDAY TISSAGKPTPSTQGKIK >ENSMUSP00000115117.1 pep:known chromosome:GRCm38:11:29463888:29482639:1 gene:ENSMUSG00000032740.16 transcript:ENSMUST00000155854.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc88a description:coiled coil domain containing 88A [Source:MGI Symbol;Acc:MGI:1925177] RLEQLEKENKSLEQETSQLEKDKKQLEKENKRLRQQAEIKDTTLEENNVKIGNLEKENKT LFKEINVYKESCVRLKELEKENKELVKRATIDIKTLVTLREDLVSEKLKTQQMNNDLEKL THELEKIGLNKERLLHDEQSTDDRYKLLESKLESTLKKSLEIKEEKIAALEARLEESTNY NQQLRHELKTVKKNYEALKQRQDEERMVQSSIPVSGEDDKWGRESQEATRELLKVKDRLI EVERNNATLQAEKQALKTQLKQLETQNNNLQAQILALQRQTVSLQEQNTTLQTQNAKLQV ENSTLNSQSTSLMNQNAQLLIQQSSLENENESIMKEREDLKSLYDALIKDHEKLELLHER QASEYESLISKHGTLKSAHKNLEVEHKDLEDRYNQLLKQKGQLED >ENSMUSP00000114942.1 pep:known chromosome:GRCm38:11:29485979:29503518:1 gene:ENSMUSG00000032740.16 transcript:ENSMUST00000140194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc88a description:coiled coil domain containing 88A [Source:MGI Symbol;Acc:MGI:1925177] ITSTKLNNQCELLSQLKGNLEEENRHLLDQIQTLMLQNRTLLEQNMESKDLFHVEQRQYI DKLNELRRQKEKLEEKIMDQYKFYDPSPPRRRGNWITLKMRKLIKSKKDINRERQKSLTL TPTRSDSSEGFLQLPHQDSQDSSSVGSNSLEDGQTLGTKKSSMVALKRLPFLRNRPKDKD KMKACYRRSMSMNDLVQSMVLAGGQWTGSTENLEVPDDISTGKRRKELGAMAFSTTAINF STVNSSAAFRSKQLVNNKDTTSFEDISPQGISDDSSTGSRVHASRPASLDSGRTSTSNSN NNASLHEVKAGAVNIQSRPQSHSSGDFSLLHDHETWSSSGSSPIQYLKRQTRSSP >ENSMUSP00000105103.1 pep:known chromosome:GRCm38:11:29373777:29423411:1 gene:ENSMUSG00000032740.16 transcript:ENSMUST00000109477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc88a description:coiled coil domain containing 88A [Source:MGI Symbol;Acc:MGI:1925177] MENEIFTPLLEQFMTSPLVTWVKTFGPLAAGNGTNLDEYVALVDGVFLNQVMLQINPKSE SQRVNKKVNNDASLRIHNLSILVKQIKFYYQETLQQLIMMPLPDILIIGKNPFSGKF >ENSMUSP00000098446.3 pep:known chromosome:GRCm38:14:7323988:7332395:-1 gene:ENSMUSG00000072726.3 transcript:ENSMUST00000100886.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5797 description:predicted gene 5797 [Source:MGI Symbol;Acc:MGI:3646487] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI LNINKVRELKLHMRKISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKIMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGTQVSR GEEKRFCEEASKNICASSAKEQQE >ENSMUSP00000139817.1 pep:known chromosome:GRCm38:1:92950865:92982686:1 gene:ENSMUSG00000026271.15 transcript:ENSMUST00000185421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr35 description:G protein-coupled receptor 35 [Source:MGI Symbol;Acc:MGI:1929509] MNSTTCNSTLTWPASVNNFFIIYSALLLVLGLLLNSVAL >ENSMUSP00000139787.1 pep:known chromosome:GRCm38:1:92956164:92983009:1 gene:ENSMUSG00000026271.15 transcript:ENSMUST00000189697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr35 description:G protein-coupled receptor 35 [Source:MGI Symbol;Acc:MGI:1929509] MNSTTCNSTLTWPASVNNFFIIYSALLLVLGLLLNSVALWVFCYRMHQWTETRIYMTNLA VADLCLLCSLPFVLYSLKYSSSDTPVCQLSQGIYLANRYMSISLVTAIAVDRYVAVRHPL RARELRSPRQAAAVCVALWVIVVTSLV >ENSMUSP00000139648.1 pep:known chromosome:GRCm38:1:92973122:92985044:1 gene:ENSMUSG00000026271.15 transcript:ENSMUST00000186298.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr35 description:G protein-coupled receptor 35 [Source:MGI Symbol;Acc:MGI:1929509] MNSTTCNSTLTWPASVNNFFIIYSALLLVLGLLLNSVALWVFCYRMHQWTETRIYMTNLA VADLCLLCSLPFVLYSLKYSSSDTPVCQLSQGIYLANRYMSISLVTAIAVDRYVAVRHPL RARELRSPRQAAAVCVALWVIVVTSLVVRWRLGMQEGGFCFSSQTRRNFSTTAFSLLGFY LPLAIVVFCSLQVVTVLSRRPAADVGQAEATQKATHMVWANLAVFVICFLPLHVVLTVQV SLNLNTCAARDTFSRALSITGKLSDTNCCLDAICYYYMAREFQEASKPATSSNTPHKSQD SQILSLT >ENSMUSP00000027489.8 pep:known chromosome:GRCm38:1:92973122:92986253:1 gene:ENSMUSG00000026271.15 transcript:ENSMUST00000027489.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr35 description:G protein-coupled receptor 35 [Source:MGI Symbol;Acc:MGI:1929509] MVWANLAVFVICFLPLHVVLTVQVSLNLNTCAARDTFSRALSITGKLSDTNCCLDAICYY YMAREFQEASKPATSSNTPHKSQDSQILSLT >ENSMUSP00000070832.6 pep:known chromosome:GRCm38:1:92975347:92985864:1 gene:ENSMUSG00000026271.15 transcript:ENSMUST00000064480.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr35 description:G protein-coupled receptor 35 [Source:MGI Symbol;Acc:MGI:1929509] MNSTTCNSTLTWPASVNNFFIIYSALLLVLGLLLNSVALWVFCYRMHQWTETRIYMTNLA VADLCLLCSLPFVLYSLKYSSSDTPVCQLSQGIYLANRYMSISLVTAIAVDRYVAVRHPL RARELRSPRQAAAVCVALWVIVVTSLVVRWRLGMQEGGFCFSSQTRRNFSTTAFSLLGFY LPLAIVVFCSLQVVTVLSRRPAADVGQAEATQKATHMVWANLAVFVICFLPLHVVLTVQV SLNLNTCAARDTFSRALSITGKLSDTNCCLDAICYYYMAREFQEASKPATSSNTPHKSQD SQILSLT >ENSMUSP00000126914.1 pep:known chromosome:GRCm38:1:92978922:92986391:1 gene:ENSMUSG00000026271.15 transcript:ENSMUST00000169198.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr35 description:G protein-coupled receptor 35 [Source:MGI Symbol;Acc:MGI:1929509] MNSTTCNSTLTWPASVNNFFIIYSALLLVLGLLLNSVALWVFCYRMHQWTETRIYMTNLA VADLCLLCSLPFVLYSLKYSSSDTPVCQLSQGIYLANRYMSISLVTAIAVDRYVAVRHPL RARELRSPRQAAAVCVALWVIVVTSLVVRWRLGMQEGGFCFSSQTRRNFSTTAFSLLGFY LPLAIVVFCSLQVVTVLSRRPAADVGQAEATQKATHMVWANLAVFVICFLPLHVVLTVQV SLNLNTCAARDTFSRALSITGKLSDTNCCLDAICYYYMAREFQEASKPATSSNTPHKSQD SQILSLT >ENSMUSP00000126297.1 pep:known chromosome:GRCm38:5:108065711:108107458:1 gene:ENSMUSG00000029267.17 transcript:ENSMUST00000124195.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtf2 description:metal response element binding transcription factor 2 [Source:MGI Symbol;Acc:MGI:105050] MRDSTGAGNSLVHKRSPLRRNQKTSASLNKLSLQDGHKAKKPACKFEEGQDVLARWSDGL FYLGTIKKANKHIETELLHHI >ENSMUSP00000126452.1 pep:known chromosome:GRCm38:5:108065729:108087368:1 gene:ENSMUSG00000029267.17 transcript:ENSMUST00000172045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtf2 description:metal response element binding transcription factor 2 [Source:MGI Symbol;Acc:MGI:105050] MVCTICQEEYSEAPNEMVICDKCGQGYHQLCHTPHIDSSVIDSDEKWLCR >ENSMUSP00000128797.1 pep:known chromosome:GRCm38:5:108065742:108107000:1 gene:ENSMUSG00000029267.17 transcript:ENSMUST00000134026.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtf2 description:metal response element binding transcription factor 2 [Source:MGI Symbol;Acc:MGI:105050] MRDSTGAGNSLVHKRSPLRRNQKTSASLNKLSLQDGHKAKKPACKFEEGQDVLARWSDGL FYLGTIKKINILKQSCFIIFEDSSKSWVLWKDIQTGATGSGEMVCTICQEEYSEAPNEMV ICDKCGQGYHQLCHTPHIDSSVIDSDEKWLCRQCVFATTTKRGGALKKGPNAKALQVMKQ TLPYSVADLEWDAGHKTNVQQCYCYCGGPGDWYLKMLQCCKCKQWFHEACVQCLQKPMLF GDRFYTFICSVCSSGPEYLKRLPLQCWQTHPNLKDMSMFWRH >ENSMUSP00000132596.1 pep:known chromosome:GRCm38:5:108065742:108108270:1 gene:ENSMUSG00000029267.17 transcript:ENSMUST00000143412.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtf2 description:metal response element binding transcription factor 2 [Source:MGI Symbol;Acc:MGI:105050] MRDSTGAGNSLVHKRSPLRRNQKTSASLNKLSLQDGHKAKKPACKFEEGQDVLARWSDGL FYLGTIKKINILKQSCFIIFEDSSKSWVLWKDIQTGRSHWEWGNGLYNMSRRVFRSSQ >ENSMUSP00000080278.4 pep:known chromosome:GRCm38:5:108065742:108109004:1 gene:ENSMUSG00000029267.17 transcript:ENSMUST00000081567.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtf2 description:metal response element binding transcription factor 2 [Source:MGI Symbol;Acc:MGI:105050] MRDSTGAGNSLVHKRSPLRRNQKTSASLNKLSLQDGHKAKKPACKFEEGQDVLARWSDGL FYLGTIKKINILKQSCFIIFEDSSKSWVLWKDIQTGATGSGEMVCTICQEEYSEAPNEMV ICDKCGQGYHQLCHTPHIDSSVIDSDEKWLCRQCVFATTTKRGGALKKGPNAKALQVMKQ TLPYSVADLEWDAGHKTNVQQCYCYCGGPGDWYLKMLQCCKCKQWFHEACVQCLQKPMLF GDRFYTFICSVCSSGPEYLKRLPLQWVDIAHLCLYNLSVIHKKKYFDSELELMTYINENW DRLHPGELADTPKSERYEHVLEALNDYKTMFMSGKEIKKKKHLFGLRIRVPPVPPNVAFK AEKEPEGTSHEFKIKGRKASKPTSDSREVSNGIEKKGKKKSVGRPPGPYTRKMIQKTAEL PLDKESVSENPTLDLPCSIGRTEGIAHSSNTSDVDLTGASSANETTSASISRHCGLSDSR KRTRTGRSWPAAIPHLRRRRGRLPRRALQTQNSEVVKDDEGKEDYQFEELNTEILNNLAD QELQLNHLKNSITSYFGAAGRIACGEKYRVLARRVTLDGKVQYLVEWEGATAS >ENSMUSP00000130536.1 pep:known chromosome:GRCm38:5:108065744:108094169:1 gene:ENSMUSG00000029267.17 transcript:ENSMUST00000170319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtf2 description:metal response element binding transcription factor 2 [Source:MGI Symbol;Acc:MGI:105050] MVICDKCGQGYHQLCHTPHIDSSVIDSDEKWLCRQCVFATTTKRGGALKKGPNAKALQVM KQTLPYSVADLEWDAGHKTNVQQCYCYCGGPGDWYLKMLQCCKCKQWFHEACVQCLQKPM LFGDRFYTFICSVCSSGPEYLKRLPLQWVDIAHLCLYNLSVI >ENSMUSP00000108245.1 pep:known chromosome:GRCm38:5:108065744:108107085:1 gene:ENSMUSG00000029267.17 transcript:ENSMUST00000112626.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtf2 description:metal response element binding transcription factor 2 [Source:MGI Symbol;Acc:MGI:105050] MRDSTGAGNSLVHKRSPLRRNQKTSASLNKLSLQDGHKAKKPACKFEEGQDVLARWSDGL FYLGTIKKINILKQSCFIIFEDSSKSWVLWKDIQTGATGSGEMVCTICQEEYSEAPNEMV ICDKCGQGYHQLCHTPHIDSSVIDSDEKWLCRQCVFATTTKRGGALKKGPNAKALQVMKQ TLPYSVADLEWDAGHKTNVQQCYCYCGGPGDWYLKMLQCCKCKQWFHEACVQCLQKPMLF GDRFYTFICSVCSSGPEYLKRLPLQWVDIAHLCLYNLSVIHKKKYFDSELELMTYINENW DRLHPGELADTPKSERYEHVLEALNDYKTMEVSNGIEKKGKKKSVGRPPGPYTRKMIQKT AELPLDKESVSENPTLDLPCSIGRTEGIAHSSNTSDVDLTGASSANETTSASISRHCGLS DSRKRTRTGRSWPAAIPHLRRRRGRLPRRALQTQNSEVVKDDEGKEDYQFEELNTEILNN LADQELQLNHLKNSITSYFGAAGRIACGEKYRVLARRVTLDGKVQYLVEWEGATAS >ENSMUSP00000121697.1 pep:known chromosome:GRCm38:5:108086996:108100824:1 gene:ENSMUSG00000029267.17 transcript:ENSMUST00000137996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtf2 description:metal response element binding transcription factor 2 [Source:MGI Symbol;Acc:MGI:105050] XGATGSGEMVCTICQEEYSEAPNEMVICDKCGQGYHQLCHTPHIDSSVIDSDEKWLCRQC VFATTTKRGGALKKGPNAKALQVMKQTLPYSVADLEWDAGHKTNVQQCYCYCGGPGDWYL KMLQCCKCKQWFHEACVQCLQKPMLFGDRFYTFICSVCSSGPEYLKRLPLQWVDIAHLCL YNLSVIHKKKYFDSELELMTYINENWDRLHPGELADTPKSERYEHVLEALNDYKTMFMSG >ENSMUSP00000137843.1 pep:known chromosome:GRCm38:5:108092105:108104039:1 gene:ENSMUSG00000029267.17 transcript:ENSMUST00000129921.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtf2 description:metal response element binding transcription factor 2 [Source:MGI Symbol;Acc:MGI:105050] XHEACVQCLQKPMLFGDRFYTFICSVCSSGPEYLKRLPLQWVDIAHLCLYNLSVIHKKKY FDSELELMTYINENWDRLHPGELADTPKSERYEHVLEALNDYKTIPLSSFKSSMSTFLEN IFKMTRKFL >ENSMUSP00000128994.1 pep:known chromosome:GRCm38:14:7362097:7365134:-1 gene:ENSMUSG00000091676.1 transcript:ENSMUST00000163826.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8374 description:predicted gene 8374 [Source:MGI Symbol;Acc:MGI:3647524] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITKQI SNINKVRELKLDMRKISNDMEEMCGILNVYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHHLRECTQLKEKVSILPNENRKVLLE >ENSMUSP00000071534.1 pep:known chromosome:GRCm38:10:129911338:129912276:-1 gene:ENSMUSG00000063715.1 transcript:ENSMUST00000071605.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr816 description:olfactory receptor 816 [Source:MGI Symbol;Acc:MGI:3030650] MKNHTEVTVFILAGLTDDPQWKVVLFIFLLLTYLLSVTGNLTIITLTLVDTHLKTPMYFF LRNFSFLEFSYTTTCIPKLLVTMATGDKTISYGNCVTQVFFAFLFGASEFYLLAAMSYDR YVAICKPLHYMTIMNNKVCVQLVLSCWLAGFFVIFPPLVLGLNLEFCASNIVDHFYCDTT PLLQISCTDTQLLETMGFVSALVTLLLTLVMVIISYTYIAITILKIPSTEQRKKAFSTCS SHMIVISISYGSCIFMYVKPSVKQRISISKGISVLNTSVAPLLNPFIYTLRNQQVKKAFI TTVHRIASSSKK >ENSMUSP00000026448.9 pep:known chromosome:GRCm38:11:120369562:120378764:-1 gene:ENSMUSG00000025384.15 transcript:ENSMUST00000026448.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Faap100 description:Fanconi anemia core complex associated protein 100 [Source:MGI Symbol;Acc:MGI:1919135] MAGASSRVHYLSDFCCPLGGRAAGKPFVLRHEAEVFLSTGREFVYVYDQEGGLLTAVYQF PDQVWHLQLLAIRRALYVLCARTGIYCLSLDSLDRSGSQACEDKEEAAPPYPVIHVDPDA CVLPDAALCAFTVLDDMLVTLAQGPTQWKMQLFERPCAGEEPLPRGQIGEVELSTCTPPG GVPEKPAAPRFLPVLCCVFPPDSRAPHGHPQGCGCFTLEEALFGLLFGVDATLLQSPVIL CGLPDGQLCCVVLKALVTSGLAPGDPKVLVKILHHLEEPVIFIGALRAEPHEEEAAGELL PGQHEHSDCLVALGHQGRTLAIKASWSESGNLVPELREYCLPGPVLCAACDRDGHVYHST PSDLCVVDLTRRDSPWNPEKPDGAIGGLPSVLCPASLNICSALALCVTARAPTGSTELLA LSSKGRLITCSLDLNSEAPVPAKMAMANAGQKIKELLLDIGDVSERVSFLKKAVDQRNKA ITSLNEAMNVSCALLSHPEGDRPIACTITTSWSRLELRDMLMATCTLENSSSFSLDQGWT LCIQVLTSSSALDLDGTGSAFTYTIPVDRLGPGSRREVTLPLGPSESGVLDLPVTMSCWL FYSLREVVGAALAPSDPLEAPYLEQFPLSLPKQEGVCLPLCKRTVDMLQCLRFAGAATHP AQAPCMPGPACEPVETFLKTCQAPGSEPTGAASLRAKYLPPSTASIRVSAGLLRAALEDS HSGFHLCSATLRWLLAENAAVDVVRAQTLSSIQGIAPDGTDVNLTVHEVAVTDLSPAGPI QAVEIQVESSSLANMCRAHHAIIRRIQTMVTEQAALGSSPPDLRMQYLQQIHANHQELLR EVQALRDQLCTEDELSSCSTAQKLLHIYKQLRNPSLVLL >ENSMUSP00000091306.3 pep:known chromosome:GRCm38:9:102586578:102626124:-1 gene:ENSMUSG00000032534.16 transcript:ENSMUST00000093791.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep63 description:centrosomal protein 63 [Source:MGI Symbol;Acc:MGI:2158560] MPGCGCPVVAPLGSSSSLWDSGLAGQTTAMNWWPSNLQRWKSRSSRTQSCCQNKEDLEME ALLEGIQNRGHSGGFLTSCEAELQELMKQIDIMVAHKKSEWEGQTHALETCLDIRDRELK ALRSQLDMKHKEVGILHQQIEEHEKTKQEMAMEYKEELLKLQEELSRLKRSYEKLQKKQL REFRGNTKSFREDRSEIERLTGKIEEFRQKSLDWEKQRLIYQQQVSSLEAQRKALAEQSE IIQAQLANRKQKLESVELSSQSEIQHLNSKLERAKDTICANELEIERLNIRVNDLMGTNM TILQDHRQKEEKLRESEKLLEALQEEQKELKASLQSQETFILEAKMQEKLQTTLKAVGTQ QSVERPLEDCQKERKYSSPGQGVLDNVLSQLDFSHSSEELLQAEVTRLEGSLESVSATCK QLSQELMEKYEELKRMEGHNNEYRTEIKKLKEQILQADQTYSSALEGMKMEISQLTRELH QRDITIASAKCSSSDMEKQLKAEMQKAEEKAVEHKEILSQLESLKLENHRLSETVMKLEL GLHEAKEISLADLQENYIEALNKLVSENQQLQKDLMSTKSELEHATNMCKKKDGEIFNPA HSRAAGFKNAELKPIHGQHRHDGIKTEQYKTGHHSPRGQTLDSIDPVARGPSPLSSHISP GSSTVSLPSNFLFEAHSLPSVLDINDVNFSDSLSDCMNDQEEFVSSGSLPTSPLGSIATR FLEEEELRSHHILERLDAHIEELKRESEKTVRQFTALV >ENSMUSP00000125621.1 pep:known chromosome:GRCm38:9:102586591:102626534:-1 gene:ENSMUSG00000032534.16 transcript:ENSMUST00000162655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep63 description:centrosomal protein 63 [Source:MGI Symbol;Acc:MGI:2158560] MEALLEGIQNRGHSGGFLTSCEAELQELMKQIDIMVAHKKSEWEGQTHALETCLDIRDRE LKALRSQLDMKHKEVGILHQQIEEHEKTKQEMAMEYKEELLKLQEELSRLKRSYEKLQKK QLREFRGNTKSFREDRSEIERLTGKIEEFRQKSLDWEKQRLIYQQQVSSLEAQRKALAEQ SEIIQAQLANRKQKLESVELSSQSEIQHLNSKLERAKDTICANELEIERLNIRVNDLMGT NMTILQDHRQKEEKLRESEKLLEALQEEQKELKASLQSQETFILEAKMQEKLQTTLKAVG TQQSVERPLEDCQKERKYSSPGQGVLDNVLSQLDFSHSSEELLQAEVTRLEGSLESVSAT CKQLSQELMEKYEELKRMEGHNNEYRTEIKKLKEQILQADQTYSSALEGMKMEISQLTRE LHQRDITIASAKCSSSDMEKQLKAEMQKAEEKAVEHKEILSQLESLKLENHRLSETVMKL ELGLHEGSLPTSPLGSIATRFLEEEELRSHHILERLDAHIEELKRESEKTVRQFTALV >ENSMUSP00000124836.1 pep:known chromosome:GRCm38:9:102615314:102626023:-1 gene:ENSMUSG00000032534.16 transcript:ENSMUST00000159100.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cep63 description:centrosomal protein 63 [Source:MGI Symbol;Acc:MGI:2158560] MPGCGCPVVAPLGSSSSLWDSGLAGQTTAMNWWPSNLQRWKSRSSRTQSCPTLGYFLLPK QRGFRDGGFVGRNTKSGA >ENSMUSP00000123954.1 pep:known chromosome:GRCm38:9:102618817:102626509:-1 gene:ENSMUSG00000032534.16 transcript:ENSMUST00000161645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep63 description:centrosomal protein 63 [Source:MGI Symbol;Acc:MGI:2158560] MEALLEGIQNRGHSGRNHLGMSCDWSVGACRGFLTSCEAELQELMKQIDIMVAHKKSEWE GQTHALETCLDIRDRELKALRSQLDMKH >ENSMUSP00000125705.1 pep:known chromosome:GRCm38:9:102618867:102626509:-1 gene:ENSMUSG00000032534.16 transcript:ENSMUST00000162297.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep63 description:centrosomal protein 63 [Source:MGI Symbol;Acc:MGI:2158560] MEALLEGIQNRGHSGRNHLGMSCDWSVGACRGFLTSCEAELQELMKQIDIMVAHKKSEWE GQTHALETCLD >ENSMUSP00000034878.5 pep:known chromosome:GRCm38:9:79768943:79793430:-1 gene:ENSMUSG00000032328.12 transcript:ENSMUST00000034878.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem30a description:transmembrane protein 30A [Source:MGI Symbol;Acc:MGI:106402] MAMNYSAKDEVDGGPAGPPGGAAKTRRPDNTAFKQQRLPAWQPILTAGTVLPTFFIIGLI FIPIGIGIFVTSNNIREIEIDYTGTEPSSPCNKCLSPNVTSCACTINFTLKQSFEGNVFM YYGLSNFYQNHRRYVKSRDDSQLNGDPSALLNPSKECEPYRRNEDRPIAPCGAIANSMFN DTLELYLVANESDPKPIPIPLKKKGIAWWTDKNVKFRNPPGKESLEEKFKDTIKPVNWHK AVYELDPEDESNNGFINEDFIVWMRTAALPTFRKLYRLIERRDDLHPTLPAGQYFLNITY NYPVHSFDGRKRMILSTISWMGGKNPFLGIAYITIGSISFLLGVVLLVINHKYRNSSNTA DITI >ENSMUSP00000114042.1 pep:known chromosome:GRCm38:9:79768943:79793507:-1 gene:ENSMUSG00000032328.12 transcript:ENSMUST00000120690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem30a description:transmembrane protein 30A [Source:MGI Symbol;Acc:MGI:106402] MAMNYSAKDEVDGGPAGPPGGAAKTRRPDNTAFKQQRLPAWQPILTAGTVLPTFFIIGLI FIPIGIGIFVTSNNIREIEGNVFMYYGLSNFYQNHRRYVKSRDDSQLNGDPSALLNPSKE CEPYRRNEDRPIAPCGAIANSMFNDTLELYLVANESDPKPIPIPLKKKGIAWWTDKNVKF RNPPGKESLEEKFKDTIKPVNWHKAVYELDPEDESNNGFINEDFIVWMRTAALPTFRKLY RLIERRDDLHPTLPAGQYFLNITYNYPVHSFDGRKRMILSTISWMGGKNPFLGIAYITIG SISFLLGVVLLVINHKYRNSSNTADITI >ENSMUSP00000044491.7 pep:known chromosome:GRCm38:X:99042581:99074728:1 gene:ENSMUSG00000031216.13 transcript:ENSMUST00000036606.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard8 description:START domain containing 8 [Source:MGI Symbol;Acc:MGI:2448556] MTLNNCASMKLEVHFQCKQDDDSEEEEQCTISSHWAFEQESKCGSLMGSSALLAPPSPSL LGTSSCESVLTELSAASLPAISASLSPESADQPLLGLVPSPSNQPFLSPPQGQEGSQDKV KKHYSRSFLKHLESLRRKEKGDSRQTEPEQCLATSEKATKASSFRTCRGFLSAGFHRAKN RVTTSARVRDGETQKAWEAWPVATFRHPQPIRRRDYLVHVPGDHKPGTFPRSLSIESLCP DEGRHLADWQSSRCWGYEGRRGSCGSTGSHASTYDNLPELYPAEPIQAEAEAEAEEGEGS YAHLDDILEHVWGLQQRVELWSQTMYPDLRPGDKEEEEEEEEEEEEATSSVEVATVEVEG QDEDLAQAESQAHRGFPTQVKEEVPLIVLDQAPNVVEPLVQAEAEAPAQAQDLEQEANST AEPISASSLSVEEGHSISDTAVSSSELDSSGNSMNEADAADAPAGLQASVPRERRDSGVG ASLTRPCRKLRWHSFQNSHRPSLNSESLEINRQFAGQINLLHKGSLLRLTGFMEKYTVPH KQAWVWSMPKFMKRNKTPDYRGHHVFGVPPLIHVQRTGQPLPQSIQQAMRYLRSQCLDQV GIFRKSGVKSRIQSLRQMNENSPDNVCYEGQSAYDVADLLKQYFRDLPEPIFTSKLTTTF LQIYQLLPKEQWLAAAQAATLLLPDENREVLQTLLYFLSDIASAEENQMTAGNLAVCLAP SIFHLNVSKKDSSSPRIKSKRSLVGRPGPRDLSENMAATQGLSHMISDCKKLFQVPQDMV VQLCGSYSAAELSPPGPALAELRQAQAAGVSLSLYMEESVQELLRDAAERFKGWTNVPGP QHTELACRKAPDGHPLRMWKASTEVAAPPAVVLHRVLRERALWDEDLLRAQVLEALMPGV ELYHYVTDSMAPHPCRDFVVLRMWRSDLPRGGCLLVSQSLDPEQPVPESGVRALMLTSQY LMEPCGLGRSRLTHICRADLRGRSPDWYNKVFGHLCAMEVAKIRDSFPTLQAAGPETKL >ENSMUSP00000114897.1 pep:known chromosome:GRCm38:X:99003248:99065672:1 gene:ENSMUSG00000031216.13 transcript:ENSMUST00000149999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard8 description:START domain containing 8 [Source:MGI Symbol;Acc:MGI:2448556] MTLNNCASMKLEVHFQCKQDDDSEEEEQCTISSHWAFEQESKCGSLMGSSALLAPPS >ENSMUSP00000073918.2 pep:known chromosome:GRCm38:10:129945104:129946060:-1 gene:ENSMUSG00000058071.3 transcript:ENSMUST00000074308.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr818 description:olfactory receptor 818 [Source:MGI Symbol;Acc:MGI:3030652] MKNQSLEVVFVLLGLTGDPQLQILIFLFLFFNYILSLMGNLVIILLTLLDPHLKTPMYFF LRNFSFLEIAFTTVCIPRFLTSILLGEKMILYNACVAQLFFFFLLGATEFYLLAAMSYDR YVAICRPLHYPIIMNSKVCHLLVLSSWVTGFFVILPPLLLGLKLDFCASKTVDHFLCDTS VLQLSCTDTRLIELMAFALAIMTLIITLILVLFSYTLIIKTILKFPSAQQRKKAFSTCSS HMVVVSITYGSCIFMYVKTSAKERVTLNKGIAVLNTSVAPLLNPFIYTLRNQQVKEAFKN VIHRFCSFKNHETRFRHQ >ENSMUSP00000145108.1 pep:known chromosome:GRCm38:10:129945346:129949100:-1 gene:ENSMUSG00000058071.3 transcript:ENSMUST00000205227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr818 description:olfactory receptor 818 [Source:MGI Symbol;Acc:MGI:3030652] MSYDRYVAICRPLHYPIIMNSKVCHLLVLSSWVTGFFVILPPLLLGLKLDFCASKTVDHF LCDTSVLQLSCTDTRLIELMAFALAIMTLIITLILVLFSYTLIIKTILKFPSAQQRKKAF STC >ENSMUSP00000063279.6 pep:known chromosome:GRCm38:11:58917908:58926608:1 gene:ENSMUSG00000020490.16 transcript:ENSMUST00000069941.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl10 description:butyrophilin-like 10 [Source:MGI Symbol;Acc:MGI:2182073] MARAHPGDATLPSILVSFIFLQLLTSGNGKSDFLVLGPPHPLLAIVGQDKELPCKLSLNI SAEGMELRWYRDKPSSVVHVYKNGEDVYDEQMVEYKGRTSFNGSHVARGEAAVKIHNVTV FDNGTYHCVFKEYTSHSQATLWLKVAGRGSSPRIRVTDTQDKGIRAECTSAGWYPEPKVE WLDLKGQPVSAESHFSVSASTGLVALLSIVTPQDTAVGGLTCSISNPLLPEKVTETYLLA SLSRRPLSTESGPALPLILTALGLVSAAIACAFGKCHKEEHKTNQEEEDPGARDEAGLFH VSLSLDPETASPKLMVSEDQKSVKRLLFDQDVFPSSRRFNQDPCILAQERFEAGRHYWEV EVGDRRAWILGVCLESLVREGRIPKSPQHGLWALEFYKKKLQALSYPRTCLSPPEPLRRV GILLDFEAGQISFYNSTNGSLIYVFSGFSFSGPLQPFFCLWTHDPRPLTICSVVTETKDT ESSGDPGLPGDS >ENSMUSP00000124234.1 pep:known chromosome:GRCm38:11:58917952:58927158:1 gene:ENSMUSG00000020490.16 transcript:ENSMUST00000142499.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Btnl10 description:butyrophilin-like 10 [Source:MGI Symbol;Acc:MGI:2182073] MARAHPGDATLPSILVSFIFLQLLTSGNGKSDFLVLGPPHPLLAIVGQDKELPCKLSLNI SAEGMELRWYRDKPSSVVHVYKNGEDVYDEQMVEYKGRTSFNGSHVARGEAAVKIHNVTV FDNGTYHCVFKEYTSHSQATLWLKVAGRGSSPRIRVTDTQDKGIRAECTSAGWYPEPKVE WLDLKGQPVSAESHFSVSASTGLVALLSIVTPQDTAVGGLTCSISNPLLPEKVTETYLLA SLSRRPLSTESGPALPLILTALGLVSAAIACAFGKCHKEEHKTNQEEEDPGARDEAGLFH VSLSLDPETASPKLMVSEDQKSVKRLLFDQDVFPSSRRFNQDPCILAQERFEAGRHYWEV EVGDRRAWILGVCLESLVREGRIPKSPQHGLWALEFYKKKLQALSYPRTCLSPPEPLRRV GILLDFEAGQISFYNSTNGSLIYVFSGFSFSGPLQPFFCLWTHDPRPLTICSVVTETKDT ESSGDPGLPGDS >ENSMUSP00000020792.5 pep:known chromosome:GRCm38:11:58918057:58926965:1 gene:ENSMUSG00000020490.16 transcript:ENSMUST00000020792.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl10 description:butyrophilin-like 10 [Source:MGI Symbol;Acc:MGI:2182073] MARAHPGDATLPSILVSFIFLQLLTSGNGKSDFLVLGPPHPLLAIVGQDKELPCKLSLNI SAEGMELRWYRDKPSSVVHVYKNGEDVYDEQMVEYKGRTSFNGSHVARGEAAVKIHNVTV FDNGTYHCVFKEYTSHSQATLWLKVAGRGSSPRIRVTDTQDKGIRAECTSAGWYPEPKVE WLDLKGQPVSAESHFSVSASTGLVALLSIVTPQDTAVGGLTCSISNPLLPEKDTGFLAAV VKVSVSGAHTGNIGQSVQSHGSIIKSSESFSVKVP >ENSMUSP00000104446.3 pep:known chromosome:GRCm38:11:58918057:58926965:1 gene:ENSMUSG00000020490.16 transcript:ENSMUST00000108818.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl10 description:butyrophilin-like 10 [Source:MGI Symbol;Acc:MGI:2182073] MARAHPGDATLPSILVSFIFLQLLTSGNGKSDFLVLGPPHPLLAIVGQDKELPCKLSLNI SAEGMELRWYRDKPSSVVHVYKNGEDVYDEQMVEYKGRTSFNGSHVARGEAAVKIHNVTV FDNGTYHCVFKEYTSHSQATLWLKVAGRGSSPRIRVTDTQDKGIRAECTSAGWYPEPKVE WLDLKGQPVSAESHFSVSASTGLVALLSIVTPQDTAVGGLTCSISNPLLPEQDTGFLAAV VKVSVSGAHTGNIGQSVQSHGSIIKSSESFSVKVP >ENSMUSP00000131761.1 pep:known chromosome:GRCm38:10:129965736:129966698:-1 gene:ENSMUSG00000094295.1 transcript:ENSMUST00000167974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr819 description:olfactory receptor 819 [Source:MGI Symbol;Acc:MGI:3030653] MSNSELMKNGSLSLCTEFTLVAFSSLAELQLVLFVVFLVLYLFTVGGNLTIICVIWTTPS LHTPMYFFLANLSFLEMCYISSVVPQMLVHLLVQLKTISVAGCAAQMYVFTILGLTECCL LATMAYDRFVAICYPLHYTLRMDPSVCLKLAGASWMTGILVESAQTTWIFTLPFCGAGTI QHFFCDIMPVVKLACVDTSQNEIVIFIISFIFIMSPCLFILCSYVRIILTFLKMPSAAGR HKAFSTCSSHILVVSLFYGTALFTYLQPKSSHTPDTDKVTALMYTVVTPALNPVIYTLRN KEVKEAFQKVTQRKLHRQRD >ENSMUSP00000116281.1 pep:known chromosome:GRCm38:4:145868794:145899975:1 gene:ENSMUSG00000078500.3 transcript:ENSMUST00000123460.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13235 description:predicted gene 13235 [Source:MGI Symbol;Acc:MGI:3649925] MSVCLVNTPQGLLTFKDVALDFSLEEWECLSFAQRSLYMDVMLENYNNLLFVENHCIRGK NGKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILESLQCTPYKTNHSSDALQFSNQKRLK PRNTKEVCKYNDSVNSLSLFSTISLNQGINMQKKKHNRNAELEKVFVSKHKVMVKKDNIA GNPYKCSEFHKYLTQREKLQSQQRIYHGKKPYESSKSDKCFTHQIHLSIHQEIHTEEKIY KCSECDKCFKNKFNLTMHQRIHTGEKPYKCSECDKCFTKQSNLSIHQRIHTGEKPYKCSE CDKCFTQQSCLSIHQRIHTGEKPYKCSECGKCFTEKGSLRIHQRIHTGEKPYKCSECDKC FTQQSNLTIHQRIHTGEKPYKCPECGKCFTEKSSLRIHQRI >ENSMUSP00000130542.1 pep:known chromosome:GRCm38:4:145899900:145899962:1 gene:ENSMUSG00000078500.3 transcript:ENSMUST00000166629.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13235 description:predicted gene 13235 [Source:MGI Symbol;Acc:MGI:3649925] EKPYKCPECGKCFTEKSSLRI >ENSMUSP00000097944.4 pep:known chromosome:GRCm38:15:83125978:83149331:-1 gene:ENSMUSG00000041815.13 transcript:ENSMUST00000100375.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poldip3 description:polymerase (DNA-directed), delta interacting protein 3 [Source:MGI Symbol;Acc:MGI:1921076] MADLSLDELIRKRGTAAKGRLSVRPGIGGVRSRVGIQHSLVNQPARTATFQQRFDARQKI GLSDARLKLGVKDAREKLLQKDARFRIKGKVQDAREMLNSRKQQGTVPQKPRQVADAREK ISLKRRSPAAFTSPPIGTVTPALKLTKTIQNLYDLDEDDDIVVPVPPKQMKFAATGSLVH HMTGLSSSKLSMSKALPLTKVVQNDAYTAPVLPSSVRTKALTSMSRTLVNKEEPPKELPP AEPVLSPLEGTKMTVNNLHPRVTEEDIVELFCVCGALKRARLVHPGVAEVVFVKKDDAIT AYKKYNNRCLDGQPMKCNLHMNGNVITSDQPILLRLSDSPSVKKESELPRRGNPASSNPP AEVDPDTVLRALFKSSGASVTTQPTEFKIKL >ENSMUSP00000054548.6 pep:known chromosome:GRCm38:15:83125978:83149384:-1 gene:ENSMUSG00000041815.13 transcript:ENSMUST00000058793.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poldip3 description:polymerase (DNA-directed), delta interacting protein 3 [Source:MGI Symbol;Acc:MGI:1921076] MADLSLDELIRKRGTAAKGRLSVRPGIGGVRSRVGIQHSLVNQPARTATFQQRFDARQKI GLSDARLKLGVKDAREKLLQKDARFRIKGKVQDAREMLNSRKQQGTVPQKPRQVADAREK ISLKRRSPAAFTSPPIGTVTPALKLTKTIQVPQQKAMVPLHAHPAGMRINVVNNHQAKQN LYDLDEDDDIVVPVPPKQMKFAATGSLVHHMTGLSSSKLSMSKALPLTKVVQNDAYTAPV LPSSVRTKALTSMSRTLVNKEEPPKELPPAEPVLSPLEGTKMTVNNLHPRVTEEDIVELF CVCGALKRARLVHPGVAEVVFVKKDDAITAYKKYNNRCLDGQPMKCNLHMNGNVITSDQP ILLRLSDSPSVKKESELPRRGNPASSNPPAEVDPDTVLRALFKSSGASVTTQPTEFKIKL >ENSMUSP00000120859.1 pep:known chromosome:GRCm38:15:83133170:83149301:-1 gene:ENSMUSG00000041815.13 transcript:ENSMUST00000129372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Poldip3 description:polymerase (DNA-directed), delta interacting protein 3 [Source:MGI Symbol;Acc:MGI:1921076] MADLSLDELIRKRGTAAKGRLSVRPGIGGVRSRVGIQHSLVNQPARTATFQQRFDARQKI GLSDARLKLGVKDAREKLLQKDARFRIKGKVQDAREMLNSRKQQGTVPQKPRQVADAREK ISLKRRSPAAFTSPPIGTVTPALKLTKTIQTGLSSSKLSMSKALPLTKVVQNDAYTAPVL PSSVRTKALTSMSRTLVNKEEP >ENSMUSP00000024827.4 pep:known chromosome:GRCm38:17:31125306:31129646:-1 gene:ENSMUSG00000024029.4 transcript:ENSMUST00000024827.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tff3 description:trefoil factor 3, intestinal [Source:MGI Symbol;Acc:MGI:104638] METRALWLMLLVVLVAGSSGIAADYVGLSPSQCMVPANVRVDCGYPSVTSEQCNNRGCCF DSSIPNVPWCFKPLQETECTF >ENSMUSP00000067867.7 pep:known chromosome:GRCm38:16:56690332:56717450:-1 gene:ENSMUSG00000022757.18 transcript:ENSMUST00000065515.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfg description:Trk-fused gene [Source:MGI Symbol;Acc:MGI:1338041] MNGQLDLSGKLIIKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKD EDGDLITIFDSSDLSFAIQCSRILKLTLFVNGQPRPLESSQVKYLRRELVELRNKVNRLL DSLEPPGEPGPSTSIPENDTVDGREEKPAASDSSGKQSTQVMAASMSAFDPLKNQDEINK NVMSAFGLTDDQVSGPPSAPTEDRSGTPDSIASSSSAAHPAVQPQQPPYAGAQTQAGQIE GQMYQQYQQQAGYSAQQPQAPPQQYGVQYSASYSQQTGPQQPQQFQGYGQQPTSQAPAPA FSGQPQQLPAQPPQQYQASTYPPQTYTTQPSQPANYTVPPGSQPGMAPSQPGAYQPRPGF TPSPASTMTPPSSGANPYARNRPPFGQGYAQPGPGYR >ENSMUSP00000121564.1 pep:known chromosome:GRCm38:16:56690877:56705694:-1 gene:ENSMUSG00000022757.18 transcript:ENSMUST00000127994.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfg description:Trk-fused gene [Source:MGI Symbol;Acc:MGI:1338041] XGDLITIFDSSDLSFAIQCSRILKLTLFVNGQPRPLESSQVKYLRRELVELRNKVNRLLD SLEPPGEPGPSTSIPENDTVDGREEKPAASDSSGKQSTQVMAASMSAFDPLKNQDEINKN VMSAFGLTDDQVSGPPSAPTEDRSGTPDSIASSSSAAHPAVQPQQPPYAGAQTQAGQIEG QMYQQYQQQAGYSAQQPQAPPQQYGVQYSGFQSMERFHCK >ENSMUSP00000119884.1 pep:known chromosome:GRCm38:16:56690968:56704463:-1 gene:ENSMUSG00000022757.18 transcript:ENSMUST00000156522.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfg description:Trk-fused gene [Source:MGI Symbol;Acc:MGI:1338041] GEPGPSTSIPENDTVDGREEKPAASDSSGKQSTQVMAASMSAFDPLKNQDEINKNVMSAF GLTDDQVSGPPSAPTEDRSGTPDSIASSSSAAHPAVQPQQPPYAGAQTQAGQMYQQYQQQ AGYSAQQPQAPPQQYGVQYSASYSQQTGPQQPQQFQGYGQQPTSQAPAPAFSGQPQQLPA QPPQQYQASTYPPQTYTTQPSQ >ENSMUSP00000112562.1 pep:known chromosome:GRCm38:16:56703496:56717311:-1 gene:ENSMUSG00000022757.18 transcript:ENSMUST00000121554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfg description:Trk-fused gene [Source:MGI Symbol;Acc:MGI:1338041] MNGQLDLSGKLIIKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKD EDGDLITIFDSSDLSFAIQCSRILKLTLFVNGQPRPLESSQVKYLRRELVELRNKVNRLL DSLEPPGEPGPSTSIPENGKMIL >ENSMUSP00000120677.1 pep:known chromosome:GRCm38:16:56704449:56712976:-1 gene:ENSMUSG00000022757.18 transcript:ENSMUST00000136394.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfg description:Trk-fused gene [Source:MGI Symbol;Acc:MGI:1338041] MNGQLDLSGKLIIKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKD EDGDLITIFDSSDLSFAIQCSRILKLTLFVNGQPRPLESSQVKYLRRELVELRNKVNRLL DSLEPPGEPGP >ENSMUSP00000119561.1 pep:known chromosome:GRCm38:16:56704531:56717360:-1 gene:ENSMUSG00000022757.18 transcript:ENSMUST00000128551.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfg description:Trk-fused gene [Source:MGI Symbol;Acc:MGI:1338041] MNGQLDLSGKLIIKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKD EDGDLITIFDSSDLSFAIQCSRILKLTLFVNGQPRPLESSQVK >ENSMUSP00000117405.1 pep:known chromosome:GRCm38:16:56705611:56717182:-1 gene:ENSMUSG00000022757.18 transcript:ENSMUST00000141404.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfg description:Trk-fused gene [Source:MGI Symbol;Acc:MGI:1338041] MNGQLDLSGKLIIKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKD EDGDLITIFDSSDLSFAIQCSRILKLTLF >ENSMUSP00000069751.6 pep:known chromosome:GRCm38:15:28203752:28472045:1 gene:ENSMUSG00000022262.7 transcript:ENSMUST00000067048.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah5 description:dynein, axonemal, heavy chain 5 [Source:MGI Symbol;Acc:MGI:107718] MFRIGRRQLWKQSVTRVLTQRLKEEKEAKRARLDGRHDYLFAIVASCLDLNKPEVEDALL EGNQIERMDQLFAVGGLRHLMFYYQDVEGAEAGHCGSSGGVNPASGKMKKPKVFVTEGKD VALMGACVFFIRSDPSKAITPENIHREVSFNTLDTADGGLLNSVRRLLSDIFIPALRASS HGWGELEGLQDASSIRQEFLSSLEGFVGILSGAQNSLKEKVNLQKCDIIELKSLKEPTDY LALASNPETVEKVECCMRVWIKQMEQILAENSQLRKEADDVGPRAELEHWKQRLSRFNYL LDQLKSPDVKAALALLAAAKSKLLKVWRDTDIRITDAANEAKDNVKYLYTLEKCCDPLYS SDPVTMIDAIPTLINAIKMVYSISHYYNTSEKITSLFVKVTNQMISACKAHITNNGTATI WSQPQEIVMQKIAAVIKLKQGYQSCFQETKQKLKQNPSEKQFDFSEMYIFGKFETFHRRL AKIMDIFTTFKTYSVLQDSKIEGLEDMATKYQDIVAAIKKKEYNFLDQREMDFDQDYEEF CKRINELHNDLQRFMDITFEKIPSTRQALSTLKKFERLNIPNLGIEEKYQIIFQNFATDI DTISKLYTKQKYDPPLARNQPPIAGKILWARQLFHRLEQPMQLFQQHPFVLRTAEAKPVI RSYNRIAKVLLEFEVLYHRAWLQQIEEIHAGLEASLLVKAPGTGELFVNFDPQILVLFRE TQCMSQLGLPVSPFAAALFQKRDMFKKNFSDMKMMLSEYERVKLKMPPAIEQLMFPHLAR VDEALQPGLAVLTWTSLNIGGYLENAFAKIKDLELLLDRVNDLIEFRIHAILEEMSSMAL CQLPQDDPLTCEEFLQMTKDLCVSGAQLLHFKSSLVEEAVNELINMLLDVDVPPEEAAEN VCHENASPSGNTSGRREGHSEALASSFNAGASSLPLTATARKKKETEVLEEARELLSHFN HQNTDALLKVTRNTLEAIRRRIHFSHMINFRDSNDASKAKQNHLPIFRASVTLAIPNIAM TPALEDIQQTLNKAVECIISVPKGVRQWSSELLSKRKMHERKMAAVKNNEDSDSDAEVEE NELQETLEIASINLPIPVQTQNYYKNISDNKEIVKLVSVLSTVISSTKKEVITSMDRFKR YNHIWQKEKEDTIMTFIAQNPLLPEFESRILYFQSLEQEINAEPEYIRVGSIALYTADLK LSLTAETKAWMAVIGRHCNRKYRAEMENILTVVEESQKKLSRPIKDLDDIRIAMAALKEI REQQISTDFQVGPIEESYALLNKYGLLVAKEEMDKVDTLRYAWEKLLARASDVQNELGAL QPSFRKELISTVEVFLQDCQQFYLDYDLNGPMASGLKPQEASGRLIIFQNQFDNIYRKYI TYTGGEELFGLPVTPYPQLLEIKRQLNLLQKIYSLYNNVIETVNSYQDTLWSDVNIEKIN NELLEFQNRCRKLPRALKDWQAFLDLKKTIDDFSECCPLLEYMASNAMVERHWQRITALT GHSLDVGNETFKLRNIMEAPLLKYKEEIEDICISAVKERDIEQKLRQVINEWDNKTLTFS GFKTRGELLLRGDSTSEVIASMEDSLMLLGSLLSNRYNMPFKAQIQKWVQCLSNSTDIIE NWMTVQNLWIYLEAVFVGGDIAKQLPKEAKRFSNIDKSWVKIMTRAHEIPNVVQCCVGDE TMGQLLPHLLDQLEICQKSLTGYLEKKRLCFPRFFFVSDPALLEILGQASDSHTIQAHLL NVFDNIKTVKFHDKIYDRILSISSREGETIELDKPVMAEGNVEVWLNSLLEESQSSLHLV IRQAAANIQEPGFQLIEFLSSFPAQVGLLGIQMLWTRDSEEALRNAKFDKKIMQKTNQAF LELLNMLIEITTKDLSSMERVKYETLITIHVHQRDIFDDLCHMHVKSPTDFEWLKQCRFY FKEDSDKTMIHITDVAFIYQNEFLGCTDRLVITPLTDRCYITLAQALGMSMGGAPAGPAG TGKTETTKDMGRCLGKYVVVFNCSDQMDFRGLGRIFKGLAQSGSWGCFDEFNRIDLPVLS VAAQQISIILTCKKEHKKSFIFTDGDNVTMNPEFGLFLTMNPGYAGRQELPENLKINFRS VAMMVPDRQIIIRVKLASCGFIDNVVLARKFFTLYQLCEEQLSKQVHYDFGLRNILSVLR TLGAAKRASPTDTESTIVMRVLRDMNLSKLIDEDEPLFLSLIEDLFPNILLDKAGYPELE TAISKQVEEAGLINHPPWKLKVIQLFETQRVRHGMMTLGPSGSGKTTCIHTLMKAMTDCG KPHREMRMNPKAITAPQMFGRLDVATNDWTDGIFSTLWRKTLKAKKGEHIWIVLDGPVDA IWIENLNSVLDDNKTLTLANGDRIPMAPNCKIVFEPHNIDNASPATVSRNGMVFMSSSVL DWSPILEGFLKRRSPQEAEILRQLYAETFPDLYRFSIQNLEFKMEVLEAFVITQSTHMLQ GLIPPKEQAGEVDPEHLGRLFVFAMMWSVGAVLELEGRRRMELWLRSREGPTLHLPQLTD AGDTMFDYYVAPNGTWRHWSLCTPEYVYPPDTTPEYGSILVPNVDNVRTDFLIKTIAKQG KAVLLIGEQGTAKTVIIKGFMSKFDPESHMVKNLNFSSATTPVMFQRTIESYVDKRMGTT YGPPAGKKMAVFIDDLNMPVINEWGDQVTNEIVRQLMEQSGFYNLEKPGEFTSIVDIQFL AAMIHPGGGRNDIPQRLKRQFSIFNCTLPSDASMDKIFGVIGAGYYCAQRGFSEEVQDAL IKLVPLTRRLWQMTKLKMLPTPAKFHYVFNLRDLSRIWQGMLNITSEVIKDTDELLRLWK HECKRVIADRFSMSSDVTWFDKAVVSLVEEEFGEEKAPVVDCGVDAYFVDFLRDAPEATG ETPEEADAEMPKLYEPIASLNHLRERLSVFLQLYNESIRGTGMDMVFFIDAMVHLVKISR VIRTPRGNALLVGVGGSGKQSLTRLASFIAGYTSFQITLTRSYNTSNLMEDLKVLYRTAG QQGKGITFIFTDNEIKEESFLEYMNNVLSSGEVSNLFARDEIDEINSDLTPIMKKEHPRR PPTNDNLYEYFMSRVRGNLHIVLCFSPVGEKFRNRALKFPALISGCTIDWFSRWPKDALV AVSEHFLSSYTIDCTAEIKKELVQCMGSFQDGVAEKCADYFQRFRRSTHVTPKSYLSFIQ GYKFIYEEKHMEVQSLANRMNTGLEKLKEASESVAALSKELAGKEKELQVANEKADTVLK EVTMKAQAAEKVKAEVQKVKDKAQAIVDSISKDKAIAEEKLEAAKPALEEAEAALQTIKP SDIATVRTLGRPPHLIMRIMDCVLLLFQRRVNAVKIDVDKGCTMPSWQESLKLMTAGNFL QNLQQFPKDTINEEVIEFLNPYFEMSDYNIETAKRVCGNVAGLCSWTKAMASFFSINKEV LPLKANLIVQENRHILAMQDLQKAQAELDAKQAELDVVQAEYEQAMAEKQTLLEDADRCR HKMQTASTLISGLAGEKERWTEQSKEFAAQTKRLVGDVLLATAFLSYSGPFNQEFRDLLL HDWKKEMKARKIPFGNGLNLNEMLIDAPTISEWNLQGLPNDDLSIQNGIIVTKASRYPLL IDPQTQGKIWIKNKESQNELQITSLNHKYFRNHLEDSLSLGRPLLIEDVGEELDPALDNV LEKNFIKTGSTFKVKVGDKEVDVMDGFKLYITTKLPNPAYTPEISARTSIIDFTVTVKGL EDQLLGRVILTEKQELEKERTHLLEDVTANKRRMKELEDNLLYRLTSTQGSLVEDESLII VLSNTKKTAEEVTQKLEISGETEIQINSAREEYRPVATRGSILYFLITEMRLVNEMYQTS LRQFLGLFDLSLARSVKSPITSKRIANIIEHMTYEVFKYAARGLYEEHKFLFTLLLTLKI DIQRNLVKHEEFLTLIKGGASLDLKACPPKPSKWILDMTWLNLVELSKLKQFSDILDQIS RNEKMWRVWFDKENPEEEPLPNAYDKSLDCFRRLLLIRSWCPDRTIAQARKYIMDSMGEN YAEGVILDLEKTWEESDPRTPLICLLSMGSDPTDSIIALGKRLKIETRYVSMGQGQEVHA RKLLHQTMANGGWVLLQNCHLGLDFLDELMDVVTETETVHDTFRLWITTEVHKQFPITLL QMSIKFANEPPQGLRAGLRRTYGGVSQDLLDVSVGAQWKPMLYAVAFLHSTVQERRKFGP LGWNIPYEFNQADFNATVQFIQNHLDDMDVKKGVSWTTVRYMIGEIQYGGRVTDDYDKRL LNTFAKVWFSENMFGPDFTFYQGYNIPKCSTVDGYLQYIQSLPAYDSPEVFGLHPNADIT YQSKLAKDVLDTILGIQPKDSSGGGDETREAVVARLADDMLEKLPEDYSPFEVKERLQKM GPFQPMNIFLRQEIDRMQRVLSLVRSTLTELKLAVDGTIIMSENLRDALDCMFDARIPAR WKKASWVSSTLGFWFTELLERNCQFTSWVSNGRPHCFWMTGFFNPQGFLTAMRQEITRAN KGWALDNMVLCNEVTKFMKDDISAPPTEGVYVYGLYLEGAGWDKRNMKLIESKPKVLFEL MPVIRIFAENNTARDPRLYCCPIYKKPVRTDLNYIAAVDLKTAQAPEHWVLRGVALLCDV K >ENSMUSP00000134661.1 pep:known chromosome:GRCm38:19:44756045:44837851:1 gene:ENSMUSG00000004231.15 transcript:ENSMUST00000174490.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax2 description:paired box 2 [Source:MGI Symbol;Acc:MGI:97486] MDMHCKADPFSAMHPGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRV SHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVDKIAEYKRQNPTMFAWEIRDRLLA EGICDNDTVPSVSSINRIIRTKVQQPFHPTPDGAGTGVTAPGHTIVPSTASPPVSSASND PVGSYSINGILGIPRSNGEKRKREEDVSEGSVPNGDSQSGVDSLRKHLRADTFTQQQLEA LDRVFERPSYPDVFQASEHIKSEQGNEYSLPALTPGLDEVKSSLSASANPELGSNVSGTQ TYPVVTGRDMTSTTLPGYPPHVPPTGQGSYPTSTLAGMVPGSEFSGNPYSHPQYTAYNEA WRFSNPALLSSPYYYSAAPRGSAPAAAAAAYDRH >ENSMUSP00000134311.1 pep:known chromosome:GRCm38:19:44759915:44818554:1 gene:ENSMUSG00000004231.15 transcript:ENSMUST00000173346.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax2 description:paired box 2 [Source:MGI Symbol;Acc:MGI:97486] MAGPCCVWGVVFFSCLSPAGHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISR QLRVSHGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVDKIAEYKRQNPTMFAWEIRD RLLAEGICDNDTVPSVSSINRIIRTKVQQPFHPTPDGAGTGVTAPGHTIVPSTASPPVSS ASNDPVGSYSINGILGIPRSNDVSEGSVPNGDSQSGVDSLRKHLRADTFTQQQLEALDRV FERPSYPDVFQASEHIKSEQGNEYSLPALTPGLDEVKSSLSASANPELGSNVSGTQTYPV VTG >ENSMUSP00000004340.4 pep:known chromosome:GRCm38:19:44757394:44837871:1 gene:ENSMUSG00000004231.15 transcript:ENSMUST00000004340.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pax2 description:paired box 2 [Source:MGI Symbol;Acc:MGI:97486] MDMHCKADPFSAMHRHGGVNQLGGVFVNGRPLPDVVRQRIVELAHQGVRPCDISRQLRVS HGCVSKILGRYYETGSIKPGVIGGSKPKVATPKVVDKIAEYKRQNPTMFAWEIRDRLLAE GICDNDTVPSVSSINRIIRTKVQQPFHPTPDGAGTGVTAPGHTIVPSTASPPVSSASNDP VGSYSINGILGIPRSNGEKRKREEVEVYTDPAHIRGGGGLHLVWTLRDVSEGSVPNGDSQ SGVDSLRKHLRADTFTQQQLEALDRVFERPSYPDVFQASEHIKSEQGNEYSLPALTPGLD EVKSSLSASANPELGSNVSGTQTYPVVTGRDMTSTTLPGYPPHVPPTGQGSYPTSTLAGM VPGSEFSGNPYSHPQYTAYNEAWRFSNPALLSSPYYYSAAPRGSAPAAAAAAYDRH >ENSMUSP00000030056.5 pep:known chromosome:GRCm38:4:63959785:64020725:-1 gene:ENSMUSG00000028364.15 transcript:ENSMUST00000030056.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnc description:tenascin C [Source:MGI Symbol;Acc:MGI:101922] MGAVTWLLPGIFLALFALTPEGGVLKKIIRHKRESGLNMTLPEENQPVVFNHIYNIKLPM GSQCSVDLESASGEKDLTPTPESSGSFQEHTVDGENQIVFTHRINIPRRACGCAAAPDVK ELLSRLEELELLVSSLREQCTMGTGCCLQPAEGRLDTRPFCSGRGNFSAEGCGCVCEPGW KGPNCSEPDCPGNCNLRGQCLDGQCICDEGFTGEDCSQLACPNDCNDQGRCVNGVCVCFE GYAGPDCGLEVCPVPCSEEHGMCVDGRCVCKDGFAGEDCNEPLCLNNCYNRGRCVENECV CDEGFTGEDCSELICPNDCFDRGRCINGTCYCEEGFTGEDCGELTCPNDCQGRGQCEEGQ CVCNEGFAGADCSEKRCPADCHHRGRCLNGQCECDDGFTGADCGDLQCPNGCSGHGRCVN GQCVCDEGYTGEDCSQRRCPNDCHNRGLCVQGKCICEQGFKGFDCSEMSCPNDCHQHGRC VNGMCICDDDYTGEDCRDRRCPRDCSQRGRCVDGQCICEDGFTGPDCAELSCPSDCHGHG RCVNGQCICHEGFTGKDCKEQRCPSDCHGQGRCEDGQCICHEGFTGLDCGQRSCPNDCSN QGQCVSGRCICNEGYTGIDCSEVSPPKDLIVTEVTEETVNLAWDNEMRVTEYLIMYTPTH ADGLEMQFRVPGDQTSTTIRELEPGVEYFIRVFAILENKRSIPVSARVATYLPAPEGLKF KSIKETSVEVEWDPLDIAFETWEIIFRNMNKEDEGEITKSLRRPETSYRQTGLAPGQEYE ISLHIVKNNTRGPGLKKVTTTRLDAPSHIEVKDVTDTTALITWFKPLAEIDSIELSYGIK DVPGDRTTIDLTHEDNQYSIGNLRPDTEYEVSLISRRVDMASNPAKETFITGLDAPRNLR RVSQTDNSITLEWRNVKADIDSYRIKYAPISGGDHAEIDVPKSQQATTKTTLTGLRPGTE YGIGVSAVKGDKESDPATINAATEIDAPKDLRVSETTQDSLTFFWTTPLAKFDRYRLNYS LPTGQSMEVQLPKDATSHVLTDLEPGQEYTVLLIAEKGRHKSKPARVKASTEEVPSLENL TVTEAGWDGLRLNWTADDLAYEYFVIQVQEANNVETAHNFTVPGNLRAADIPGLKVATSY RVSIYGVARGYRTPVLSAETSTGTTPNLGEVTVAEVGWDALTLNWTAPEGAYKNFFIQVL EADTTQTVQNLTVPGGLRSVDLPGLKAATRYYITLRGVTQDFGTAPLSVEVLTEDLPQLG GLSVTEVSWDGLTLNWTTDDLAYKHFVVQVQEANNVEAAQNLTVPGSLRAVDIPGLKADT PYRVSIYGVIQGYRTPMLSTDVSTAREPEIGNLNVSDVTPKSFNLSWTATDGIFDMFTIE IIDSNRLLQTAEHNISGAERTAHISGLPPSTDFIVYLSGIAPSIRTKTISTTATTEAEPE VDNLLVSDATPDGFRLSWTADEGIFDSFVIRIRDTKKQSEPQEISLPSPERTRDITGLRE ATEYEIELYGISRGRRSQPVSAIATTAMGSPKEIMFSDITENAATVSWRAPTAQVESFRI TYVPMTGGAPSMVTVDGTDTETRLVKLTPGVEYRVSVIAMKGFEESDPVSGTLITALDGP SGLLIANITDSEALAMWQPAIATVDSYVISYTGERVPEVTRTVSGNTVEYELHDLEPATE YILSIFAEKGQQKSSTIATKFTTDLDSPREFTATEVQSETALLTWRPPRASVTGYLLVYE SVDGTVKEVIVGPDTTSYSLADLSPSTHYSARIQALSGSLRSKLIQTIFTTIGLLYPFPR DCSQAMLNGDTTSGLYTIYINGDKTQALEVYCDMTSDGGGWIVFLRRKNGREDFYRNWKA YAAGFGDRREEFWLGLDNLSKITAQGQYELRVDLQDHGESAYAVYDRFSVGDAKSRYKLK VEGYSGTAGDSMNYHNGRSFSTYDKDTDSAITNCALSYKGAFWYKNCHRVNLMGRYGDNN HSQGVNWFHWKGHEYSIQFAEMKLRPSNFRNLEGRRKRA >ENSMUSP00000102995.1 pep:known chromosome:GRCm38:4:63959785:64020725:-1 gene:ENSMUSG00000028364.15 transcript:ENSMUST00000107372.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnc description:tenascin C [Source:MGI Symbol;Acc:MGI:101922] MGAVTWLLPGIFLALFALTPEGGVLKKIIRHKRESGLNMTLPEENQPVVFNHIYNIKLPM GSQCSVDLESASGEKDLTPTPESSGSFQEHTVDGENQIVFTHRINIPRRACGCAAAPDVK ELLSRLEELELLVSSLREQCTMGTGCCLQPAEGRLDTRPFCSGRGNFSAEGCGCVCEPGW KGPNCSEPDCPGNCNLRGQCLDGQCICDEGFTGEDCSQLACPNDCNDQGRCVNGVCVCFE GYAGPDCGLEVCPVPCSEEHGMCVDGRCVCKDGFAGEDCNEPLCLNNCYNRGRCVENECV CDEGFTGEDCSELICPNDCFDRGRCINGTCYCEEGFTGEDCGELTCPNDCQGRGQCEEGQ CVCNEGFAGADCSEKRCPADCHHRGRCLNGQCECDDGFTGADCGDLQCPNGCSGHGRCVN GQCVCDEGYTGEDCSQRRCPNDCHNRGLCVQGKCICEQGFKGFDCSEMSCPNDCHQHGRC VNGMCICDDDYTGEDCRDRRCPRDCSQRGRCVDGQCICEDGFTGPDCAELSCPSDCHGHG RCVNGQCICHEGFTGKDCKEQRCPSDCHGQGRCEDGQCICHEGFTGLDCGQRSCPNDCSN QGQCVSGRCICNEGYTGIDCSEVSPPKDLIVTEVTEETVNLAWDNEMRVTEYLIMYTPTH ADGLEMQFRVPGDQTSTTIRELEPGVEYFIRVFAILENKRSIPVSARVATYLPAPEGLKF KSIKETSVEVEWDPLDIAFETWEIIFRNMNKEDEGEITKSLRRPETSYRQTGLAPGQEYE ISLHIVKNNTRGPGLKKVTTTRLDAPSHIEVKDVTDTTALITWFKPLAEIDSIELSYGIK DVPGDRTTIDLTHEDNQYSIGNLRPDTEYEVSLISRRVDMASNPAKETFITGLDAPRNLR RVSQTDNSITLEWRNVKADIDSYRIKYAPISGGDHAEIDVPKSQQATTKTTLTGLRPGTE YGIGVSAVKGDKESDPATINAATEIDAPKDLRVSETTQDSLTFFWTTPLAKFDRYRLNYS LPTGQSMEVQLPKDATSHVLTDLEPGQEYTVLLIAEKGRHKSKPARVKASTEEVPSLENL TVTEAGWDGLRLNWTADDLAYEYFVIQVQEANNVETAHNFTVPGNLRAADIPGLKVATSY RVSIYGVARGYRTPVLSAETSTGTTPNLGEVTVAEVGWDALTLNWTAPEGAYKNFFIQVL EADTTQTVQNLTVPGGLRSVDLPGLKAATRYYITLRGVTQDFGTAPLSVEVLTEDLPQLG GLSVTEVSWDGLTLNWTTDDLAYKHFVVQVQEANNVEAAQNLTVPGSLRAVDIPGLKADT PYRVSIYGVIQGYRTPMLSTDVSTAREPEIGNLNVSDVTPKSFNLSWTATDGIFDMFTIE IIDSNRLLQTAEHNISGAERTAHISGLPPSTDFIVYLSGIAPSIRTKTISTTATTEALPL LENLTISDTNPYGFTVSWTASENAFDSFLVTVVDSGKLLDPQEFTLSGTQRKLELRGLIT GIGYEVLVSGFTQGHQTKPLRAETITEAEPEVDNLLVSDATPDGFRLSWTADEGIFDSFV IRIRDTKKQSEPQEISLPSPERTRDITGLREATEYEIELYGISRGRRSQPVSAIATTAMG SPKEIMFSDITENAATVSWRAPTAQVESFRITYVPMTGGAPSMVTVDGTDTETRLVKLTP GVEYRVSVIAMKGFEESDPVSGTLITALDGPSGLLIANITDSEALAMWQPAIATVDSYVI SYTGERVPEVTRTVSGNTVEYELHDLEPATEYILSIFAEKGQQKSSTIATKFTTDLDSPR EFTATEVQSETALLTWRPPRASVTGYLLVYESVDGTVKEVIVGPDTTSYSLADLSPSTHY SARIQALSGSLRSKLIQTIFTTIGLLYPFPRDCSQAMLNGDTTSGLYTIYINGDKTQALE VYCDMTSDGGGWIVFLRRKNGREDFYRNWKAYAAGFGDRREEFWLGLDNLSKITAQGQYE LRVDLQDHGESAYAVYDRFSVGDAKSRYKLKVEGYSGTAGDSMNYHNGRSFSTYDKDTDS AITNCALSYKGAFWYKNCHRVNLMGRYGDNNHSQGVNWFHWKGHEYSIQFAEMKLRPSNF RNLEGRRKRA >ENSMUSP00000102994.1 pep:known chromosome:GRCm38:4:64012669:64020725:-1 gene:ENSMUSG00000028364.15 transcript:ENSMUST00000107371.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnc description:tenascin C [Source:MGI Symbol;Acc:MGI:101922] MGAVTWLLPGIFLALFALTPEGGVLKKIIRHKRESGLNMTLPEENQPVVFNHIYNIKLPM GSQCSVDLESASGEKDLTPTPESSGSFQEHTVDGENQIVFTHRINIPRRACGCAAAPDVK ELLSRLEELELLVSSLREQCTMGTGCCLQPAEGRLDTRPFCSGRGNFSAEGCGCVCEPGW KGPNCSEPDCPGNCNLRGQCLDGQCICDEGFTGEDCSQLACPNDCNDQGRCVNGVCVCFE GYAGPDCGLEVCPVPCSEEHGMCVDGRCVCKDGFAGEDCNEPLCLNNCYNRGRCVENECV CDEGFTGEDCSELICPNDCFDRGRCINGTCYCEEGFTGEDCGELTCPNDCQGRGQCEEGQ CVCNEGFAGADCSEKRCPADCHHRGRCLNGQCECDDGFTGADCGDLQCPNGCSGHGRCVN GQCVCDEGYTGEDCSQRRCPNDCHNRGLCVQGKCICEQGFKGFDCSEMSCPNDCHQHGRC VNGMCICDDDYTGEDCRDRRCPRDCSQRGRCVDGQCICEDGFTGPDCAELSCPSDCHGHG RCVNGQCICHEGFTGKDCKEQRCPSDCHGQGRCEDGQCICHEGFTGLDCGQRSCPNDCSN QGQCVSGRCICNEGYTGIDCSEVSPPKDLIVTEVTEETVNLAWDNEMRVTEYLIMYTPTH ADGLEMQFRVPGDQTSTTIRELEPGVEYFIRVFAILENKRSIPVSARVATYLPAPEGLKF KSIKETSVEVEWDPLDIAFETWEIIFRNMNKEDEGEITKSLRRPETSYRQTGLAPGQEYE ISLHIVKNNTRGPGLKKVTTTREYQPRPVIELIVSLCNFWLIAYPLTQHLHQ >ENSMUSP00000103000.3 pep:known chromosome:GRCm38:4:63959785:64047015:-1 gene:ENSMUSG00000028364.15 transcript:ENSMUST00000107377.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnc description:tenascin C [Source:MGI Symbol;Acc:MGI:101922] MGAVTWLLPGIFLALFALTPEGGVLKKIIRHKRESGLNMTLPEENQPVVFNHIYNIKLPM GSQCSVDLESASGEKDLTPTPESSGSFQEHTVDGENQIVFTHRINIPRRACGCAAAPDVK ELLSRLEELELLVSSLREQCTMGTGCCLQPAEGRLDTRPFCSGRGNFSAEGCGCVCEPGW KGPNCSEPDCPGNCNLRGQCLDGQCICDEGFTGEDCSQLACPNDCNDQGRCVNGVCVCFE GYAGPDCGLEVCPVPCSEEHGMCVDGRCVCKDGFAGEDCNEPLCLNNCYNRGRCVENECV CDEGFTGEDCSELICPNDCFDRGRCINGTCYCEEGFTGEDCGELTCPNDCQGRGQCEEGQ CVCNEGFAGADCSEKRCPADCHHRGRCLNGQCECDDGFTGADCGDLQCPNGCSGHGRCVN GQCVCDEGYTGEDCSQRRCPNDCHNRGLCVQGKCICEQGFKGFDCSEMSCPNDCHQHGRC VNGMCICDDDYTGEDCRDRRCPRDCSQRGRCVDGQCICEDGFTGPDCAELSCPSDCHGHG RCVNGQCICHEGFTGKDCKEQRCPSDCHGQGRCEDGQCICHEGFTGLDCGQRSCPNDCSN QGQCVSGRCICNEGYTGIDCSEVSPPKDLIVTEVTEETVNLAWDNEMRVTEYLIMYTPTH ADGLEMQFRVPGDQTSTTIRELEPGVEYFIRVFAILENKRSIPVSARVATYLPAPEGLKF KSIKETSVEVEWDPLDIAFETWEIIFRNMNKEDEGEITKSLRRPETSYRQTGLAPGQEYE ISLHIVKNNTRGPGLKKVTTTRLDAPSHIEVKDVTDTTALITWFKPLAEIDSIELSYGIK DVPGDRTTIDLTHEDNQYSIGNLRPDTEYEVSLISRRVDMASNPAKETFITGLDAPRNLR RVSQTDNSITLEWRNVKADIDSYRIKYAPISGGDHAEIDVPKSQQATTKTTLTGLRPGTE YGIGVSAVKGDKESDPATINAATEIDAPKDLRVSETTQDSLTFFWTTPLAKFDRYRLNYS LPTGQSMEVQLPKDATSHVLTDLEPGQEYTVLLIAEKGRHKSKPARVKASTEEVPSLENL TVTEAGWDGLRLNWTADDLAYEYFVIQVQEANNVETAHNFTVPGNLRAADIPGLKVATSY RVSIYGVARGYRTPVLSAETSTGTTPNLGEVTVAEVGWDALTLNWTAPEGAYKNFFIQVL EADTTQTVQNLTVPGGLRSVDLPGLKAATRYYITLRGVTQDFGTAPLSVEVLTEDLPQLG GLSVTEVSWDGLTLNWTTDDLAYKHFVVQVQEANNVEAAQNLTVPGSLRAVDIPGLKADT PYRVSIYGVIQGYRTPMLSTDVSTAREPEIGNLNVSDVTPKSFNLSWTATDGIFDMFTIE IIDSNRLLQTAEHNISGAERTAHISGLPPSTDFIVYLSGIAPSIRTKTISTTATTEAEPE VDNLLVSDATPDGFRLSWTADEGIFDSFVIRIRDTKKQSEPQEISLPSPERTRDITGLRE ATEYEIELYGISRGRRSQPVSAIATTAMGSPKEIMFSDITENAATVSWRAPTAQVESFRI TYVPMTGGAPSMVTVDGTDTETRLVKLTPGVEYRVSVIAMKGFEESDPVSGTLITALDGP SGLLIANITDSEALAMWQPAIATVDSYVISYTGERVPEVTRTVSGNTVEYELHDLEPATE YILSIFAEKGQQKSSTIATKFTTDLDSPREFTATEVQSETALLTWRPPRASVTGYLLVYE SVDGTVKEVIVGPDTTSYSLADLSPSTHYSARIQALSGSLRSKLIQTIFTTIGLLYPFPR DCSQAMLNGDTTSGLYTIYINGDKTQALEVYCDMTSDGGGWIVFLRRKNGREDFYRNWKA YAAGFGDRREEFWLGLDNLSKITAQGQYELRVDLQDHGESAYAVYDRFSVGDAKSRYKLK VEGYSGTAGDSMNYHNGRSFSTYDKDTDSAITNCALSYKGAFWYKNCHRVNLMGRYGDNN HSQGVNWFHWKGHEYSIQFAEMKLRPSNFRNLEGRRKRA >ENSMUSP00000137745.1 pep:known chromosome:GRCm38:14:7374667:7412375:-1 gene:ENSMUSG00000071591.2 transcript:ENSMUST00000181509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10339 description:predicted gene 10339 [Source:MGI Symbol;Acc:MGI:3704428] MPGPEASTTLRASLEELSLGPVRFPLLLQDQERIRPTVNQSRRGPRSWSIKCPPLDRWGP SSIDLPRLITAEIQVTAHLTKLKARSEERETLDSHWTTTIGSEIMRNYCKQTDALMSLRS CKRDSCL >ENSMUSP00000093865.1 pep:known chromosome:GRCm38:14:7375423:7404092:-1 gene:ENSMUSG00000071591.2 transcript:ENSMUST00000096151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10339 description:predicted gene 10339 [Source:MGI Symbol;Acc:MGI:3704428] MPGPEASTTLRASLEELSLGPVRFPLLLQDQERIRPTVNQSRRGPRSWSIKCPPLDRWGP SSIDLPRLITAEIQVTAHLTKLKARSEERETLDSHWTTTIGSEIMRNYCKQTDALMSLRS CKRDSCL >ENSMUSP00000023062.3 pep:known chromosome:GRCm38:15:79670861:79672862:1 gene:ENSMUSG00000022427.3 transcript:ENSMUST00000023062.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tomm22 description:translocase of outer mitochondrial membrane 22 homolog (yeast) [Source:MGI Symbol;Acc:MGI:2450248] MAAAVAAAGAGEPLSPEELLPKAEAEKAEEELEEDDDDELDETLSERLWGLTEMFPERVR SAAGATFDLSLFVAQKMYRFSRAALWIGTTSFMILVLPVVFETEKLQMEQQQQLQQRQIL LGPNTGLSGGMPGALPPLPGKM >ENSMUSP00000030882.5 pep:known chromosome:GRCm38:5:21737141:21757152:1 gene:ENSMUSG00000029017.13 transcript:ENSMUST00000030882.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmpcb description:peptidase (mitochondrial processing) beta [Source:MGI Symbol;Acc:MGI:1920328] MAAAALSRTLLPEARRRLWGFTRRLPLRRAAAQPLYFGGDRLRSTQAAPQVVLNVPETQV TCLENGLRVASENSGLSTCTVGLWIDAGSRYENEKNNGTAHFLEHMAFKGTKKRSQLDLE LEIENMGAHLNAYTSREQTVYYAKAFSRDLPRAVEILADIIQNSTLGEAEIERERGVILR EMQEVETNLQEVVFDYLHATAYQNTALGRTILGPTENIKSINRKDLVDYITTHYKGPRIV LAAAGGVCHNELLELAKFHFGDSLCSHKGAIPALPPCKFTGSEIRVRDDKMPLAHLAIAV EAVGWAHPDTICLMVANTLIGNWDRSFGGGMNLSSKLAQLTCHGNLCHSFQSFNTSYTDT GLWGLYMVCEQATVADMLHVVQNEWKRLCTDVTESEVARAKNLLKTNMLLQLDGSTPICE DIGRQMLCYNRRIPIPELEARIDAVDAETVRRVCTKYIHDKSPAIAALGPIERLPDFNQI CSNMRWIRD >ENSMUSP00000119619.1 pep:known chromosome:GRCm38:5:21738036:21742765:1 gene:ENSMUSG00000029017.13 transcript:ENSMUST00000149648.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmpcb description:peptidase (mitochondrial processing) beta [Source:MGI Symbol;Acc:MGI:1920328] MAFKGTKKRSQLDLELEIENMGAHLNAYTSREQTVYYAKAFSRDLPRAVE >ENSMUSP00000122278.1 pep:known chromosome:GRCm38:5:21756645:21757152:1 gene:ENSMUSG00000029017.13 transcript:ENSMUST00000141022.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pmpcb description:peptidase (mitochondrial processing) beta [Source:MGI Symbol;Acc:MGI:1920328] XPIPELEARIDYHPY >ENSMUSP00000030984.7 pep:known chromosome:GRCm38:5:34949445:35033595:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000030984.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] MYRAGEPGKRQPGPAPPRVRSVEVARGRAGYGFTLSGQAPCVLSCVMRGSPADFVGLRAG DQILAINEINVKKASHEDVVKLIGKCSGVLHMVIAEGTSHVESCSSDEEGGLYEGKGWLR PKLDSKALGINRAERVVEEVQSGGIFNMIFESSSLCASGPEPLKLKQRSLSESAALRLDA GQAGLCAPHPSMLSKEDISKVINDDSVFTVGLDSHDDFGLDASILNVAMVVGYLGSIELP STSSNLEHDSLQAIRGCMRRLRAEQKIHSLVTMKVMHDCVQLVTDRAGVVAEYPAEKLAF SAVCPDDRRFFGLVTMQTNDDGGLAQEDEGALRTSCHVFMVDPDLFHHKIHQGIARRFGF ACTADPDTSGCLEFPASSLPVLQFISVLYRDMGELIEGVRARAFLDGDADAHQNNSTSSN SDSGIGNFNQEEKSNRVLVVDLGGGSSRHGQGSSPGWESGGGRGSQPWSAPWNGAFCHDS EAGSPLETSPNTDRFWDLTKHSGPVSHMEVPPATLRSSIPPSKRGAAGSSCGFNQRWLPV HVLQEWQCGHASDQESYTDSTDGWSSVNCGTLPPPMSKIPADRYRVEGSFAQAPLSTQKR DWSRKAFGMQNLFGPHRNVRKTKEDKKSSKLGRGVALAQTSQRTSARRSFGRSRRFSITR SLDDLESATVSDGELTGADLKDCISNNSLSSNASLPSVQSCRRLRERRVASWAVSFERLL QDPVGVRYFSDFLRKEFSEENILFWQACECFSHVPAHDKKELSYRAREIFSKFLCSKATT PVNIDSQAQLADDILNAPHPDMFKEQQLQIFNLMKFDSYTRFLKSQLYQECVLAEVEGRT LPDSQQVPSSPASKHSISSDHSNVSTPKKLSGKSKSGRSLNEDVGEEDSEKKRRGAFFSW SRSRSTGRSQKKKDHGDHAHDAPHANGGLCRRESQGSVSSAGSLDLSEACRTSALEKDKA AKHCCVHLPDGTSCVVAVKSGFSIKEILSGLCERHGINGAAVDLFLVGGDKPLVLHQDSS ILATRDLRLEKRTLFRLDLVPINRSVGLKAKPTKPVTEVLRPVVAKYGLDLGSLLVRLSG EKEPLDLGAPISSLDGQRVILEERDPSRGKVSTDKQKGAPVKQNSAVNSSPRNHLAMGEE RTLGKSNSIKIRGENGKSARDPRLSKREESIAKIGKKKYQKINLDEAEEFFELISKAQSN RADDQRGLLRKEDLVLPEFLRLPAGSSELALSSPPPVKGYSKRAVTGHGQEGAAQTEESY SDSPATSPASAQSPCSAYSPGSAHSPGSAHSTPGPPGTTQPGEKPTKPSCVSMVQEGTTQ AWRRLSPEMEAGGIQTVEDEQVADLTLMGEGDISSPNSTLLPPPPTPQDTPGPPRPGTSR F >ENSMUSP00000084970.4 pep:known chromosome:GRCm38:5:34964773:35039644:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000087684.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] MYRAGEPGKRQPGPAPPRVRSVEVARGRAGYGFTLSGQAPCVLSCVMRGSPADFVGLRAG DQILAINEINVKKASHEDVVKLIGKCSGVLHMVIAEGTSHVESCSSDEEGGLYEGKGWLR PKLDSKALGINRAERVVEEVQSGGIFNMIFESSSLCASGPEPLKLKQRSLSESAALRLDA GQAGLCAPHPSMLSKEDISKVINDDSVFTVGLDSHDDFGLDASILNVAMVVGYLGSIELP STSSNLEHDSLQAIRGCMRRLRAEQKIHSLVTMKVMHDCVQLVTDRAGVVAEYPAEKLAF SAVCPDDRRFFGLVTMQTNDDGGLAQEDEGALRTSCHVFMVDPDLFHHKIHQGIARRFGF ACTADPDTSGCLEFPASSLPVLQFISVLYRDMGELIEGVRARAFLDGDADAHQNNSTSSN SDSGIGNFNQEEKSNRVLVVDLGGGSSRHGQGSSPGWESGGGRGSQPWSAPWNGAFCHDS EAGSPLETSPNTDRFWDLTKHSGPVSHMEVPPATLRSSIPPSKRGAAGSSCGFNQRWLPV HVLQEWQCGHASDQESYTDSTDGWSSVNCGTLPPPMSKIPADRYRVEGSFAQAPLSTQKR DWSRKAFGMQNLFGPHRNVRKTKEDKKSSKLGRGVALAQTSQRTSARRSFGRSRRFSITR SLDDLESATVSDGELTGADLKDCISNNSLSSNASLPSVQSCRRLRERRVASWAVSFERLL QDPVGVRYFSDFLRKEFSEENILFWQACECFSHVPAHDKKELSYRAREIFSKFLCSKATT PVNIDSQAQLADDILNAPHPDMFKEQQLQIFNLMKFDSYTRFLKSQLYQECVLAEVEGRT LPDSQQVPSSPASKHSISSDHSNVSTPKKLSGKSKSGRSLNEDVGEEDSEKKRRGAFFSW SRSRSTGRSQKKKDHGDHAHDAPHANGGLCRRESQGSVSSAGSLDLSEACRTSALEKDKA AKHCCVHLPDGTSCVVAVKSGFSIKEILSGLCERHGINGAAVDLFLVGGDKPLVLHQDSS ILATRDLRLEKRTLFRLDLVPINRSVGLKAKPTKPVTEVLRPVVAKYGLDLGSLLVRLSG EKEPLDLGAPISSLDGQRVILEERDPSRGKVSTDKQKGAPVKQNSAVNSSPRNHLAMGEE RTLGKSNSIKIRGENGKSARDPRLSKREESIAKIGKKKYQKINLDEAEEFFELISKAQSN RADDQRGLLRKEDLVLPEFLRLPAGSSELALSSPPPVKGYSKRAVTGHGQEGAAQTEESY SDSPATSPASAQSPCSAYSPGSAHSPGSAHSTPGPPGTTQPGEKPTKPSCVSMVQEGTTQ AWRRLSPEMEAGGIQTVEDEQVADLTLMGEGDISSPNSTLLPPPPTPQDTPGPPRPGGGA RVSGGLPVNRIIDVDLVSGVSPGWGGSILGVQLGPRRVKANGPSLPNGPGLSTIPGEPTK PKTSTHHATFV >ENSMUSP00000115064.1 pep:known chromosome:GRCm38:5:34989128:35023172:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000156339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] MFKEQQLQIFNLMKFDSYTRFLKSQLYQECVLAEVEGRTLPDSQQVPSSPASKHSISSDH SNVSTPKKLSGKSKSGRSLNEDVGEEDSEKKRRGAFFSWSRSRSTGRSQKKKDHGD >ENSMUSP00000109923.1 pep:known chromosome:GRCm38:5:34989384:35033442:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000114284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] MNLEKGLSDDSDVFIDQQSATVSDGELTGADLKDCISNNSLSSNASLPSVQSCRRLRERR VASWAVSFERLLQDPVGVRYFSDFLRKEFSEENILFWQACECFSHVPAHDKKELSYRARE IFSKFLCSKATTPVNIDSQAQLADDILNAPHPDMFKEQQLQIFNLMKFDSYTRFLKSQLY QECVLAEVEGRTLPDSQQVPSSPASKHSISSDHSNVSTPKKLSGKSKSGRSLNEDVGEED SEKKRRGAFFSWSRSRSTGRSQKKKDHGDHAHDAPHANGGLCRRESQGSVSSAGSLDLSE ACRTSALEKDKAAKHCCVHLPDGTSCVVAVKSGFSIKEILSGLCERHGINGAAVDLFLVG GDKPLVLHQDSSILATRDLRLEKRTLFRLDLVPINRSVGLKAKPTKPVTEVLRPVVAKYG LDLGSLLVRLSGEKEPLDLGAPISSLDGQRVILEERDPSRGKVSTDKQKGAPVKQNSAVN SSPRNHLAMGEERTLGKSNSIKIRGENGKSARDPRLSKREESIAKIGKKKYQKINLDEAE EFFELISKAQSNRADDQRGLLRKEDLVLPEFLRLPAGSSELALSSPPPVKGYSKRAVTGH GQEGAAQTEESYSDSPATSPASAQSPCSAYSPGSAHSPGSAHSTPGPPGTTQPGEKPTKP SCVSMVQEGTTQAWRRLSPEMEAGGIQTVEDEQVADLTLMGEGDISSPNSTLLPPPPTPQ DTPGPPRPGTSRF >ENSMUSP00000109924.1 pep:known chromosome:GRCm38:5:34989549:35039635:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000114285.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] MNLEKGLSDDSDVFIDQQSATVSDGELTGADLKDCISNNSLSSNASLPSVQSCRRLRERR VASWAVSFERLLQDPVGVRYFSDFLRKEFSEENILFWQACECFSHVPAHDKKELSYRARE IFSKFLCSKATTPVNIDSQAQLADDILNAPHPDMFKEQQLQIFNLMKFDSYTRFLKSQLY QECVLAEVEGRTLPDSQQVPSSPASKHSISSDHSNVSTPKKLSGKSKSGRSLNEDVGEED SEKKRRGAFFSWSRSRSTGRSQKKKDHGDHAHDAPHANGGLCRRESQGSVSSAGSLDLSE ACRTSALEKDKAAKHCCVHLPDGTSCVVAVKSGFSIKEILSGLCERHGINGAAVDLFLVG GDKPLVLHQDSSILATRDLRLEKRTLFRLDLVPINRSVGLKAKPTKPVTEVLRPVVAKYG LDLGSLLVRLSGEKEPLDLGAPISSLDGQRVILEERDPSRGKVSTDKQKGAPVKQNSAVN SSPRNHLAMGEERTLGKSNSIKIRGENGKSARDPRLSKREESIAKIGKKKYQKINLDEAE EFFELISKAQSNRADDQRGLLRKEDLVLPEFLRLPAGSSELALSSPPPVKGYSKRAVTGH GQEGAAQTEESYSDSPATSPASAQSPCSAYSPGSAHSPGSAHSTPGPPGTTQPGEKPTKP SCVSMVQEGTTQAWRRLSPEMEAGGIQTVEDEQVADLTLMGEGDISSPNSTLLPPPPTPQ DTPGPPRPGGGARVSGGLPVNRIIDVDLVSGVSPGWGGSILGVQLGPRRVKANGPSLPNG PGLSTIPGEPTKPKTSTHHATFV >ENSMUSP00000109920.1 pep:known chromosome:GRCm38:5:34999057:35033591:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000114281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] MSLSFFFQSATVSDGELTGADLKDCISNNSLSSNASLPSVQSCRRLRERRVASWAVSFER LLQDPVGVRYFSDFLRKEFSEENILFWQACECFSHVPAHDKKELSYRAREIFSKFLCSKA TTPVNIDSQAQLADDILNAPHPDMFKEQQLQIFNLMKFDSYTRFLKSQLYQECVLAEVEG RTLPDSQQVPSSPASKHSISSDHSNVSTPKKLSGKSKSGRSLNEDVGEEDSEKKRRGAFF SWSRSRSTGRSQKKKDHGDHAHDAPHANGGLCRRESQGSVSSAGSLDLSEACRTSALEKD KAAKHCCVHLPDGTSCVVAVKSGFSIKEILSGLCERHGINGAAVDLFLVGGDKPLVLHQD SSILATRDLRLEKRTLFRLDLVPINRSVGLKAKPTKPVTEVLRPVVAKYGLDLGSLLVRL SGEKEPLDLGAPISSLDGQRVILEERDPSRGKVSTDKQKGAPVKQNSAVNSSPRNHLAMG EERTLGKSNSIKIRGENGKSARDPRLSKREESIAKIGKKKYQKINLDEAEEFFELISKAQ SNRADDQRGLLRKEDLVLPEFLRLPAGSSELALSSPPPVKGYSKRAVTGHGQEGAAQTEE SYSDSPATSPASAQSPCSAYSPGSAHSPGSAHSTPGPPGTTQPGEKPTKPSCVSMVQEGT TQAWRRLSPEMEAGGIQTVEDEQVADLTLMGEGDISSPNSTLLPPPPTPQDTPGPPRPGT SRF >ENSMUSP00000109919.1 pep:known chromosome:GRCm38:5:34999078:35033104:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000114280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] MSLSFFFQSATVSDGELTGADLKDCISNNSLSSNASLPSVQSCRRLRERRVASWAVSFER LLQDPVGVRYFSDFLRKEFSEENILFWQACECFSHVPAHDKKELSYRAREIFSKFLCSKA TTPVNIDSQAQLADDILNAPHPDMFKEQQLQIFNLMKFDSYTRFLKSQLYQECVLAEVEG RTLPDSQQVPSSPASKHSISSDHSNVSTPKKLSGKSKSGRSLNEDVGEEDSEKKRRGAFF SWSRSRSTGRSQKKKDHGDHAHDAPHANGGLCRRESQGSVSSAGSLDLSEACRTSALEKD KAAKHCCVHLPDGTSCVVAVKSGFSIKEILSGLCERHGINGAAVDLFLVGGDKPLVLHQD SSILATRDLRLEKRTLFRLDLVPINRSVGLKAKPTKPVTEVLRPVVAKYGLDLGSLLVRL SGEKEPLDLGAPISSLDGQRVILEERDPSRGKVSTDKQKGAPVKQNSAVNSSPRNHLAMS FLSSFPKLRATEPMTSVGC >ENSMUSP00000109922.1 pep:known chromosome:GRCm38:5:34999184:35039635:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000114283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] MSLSFFFQSATVSDGELTGADLKDCISNNSLSSNASLPSVQSCRRLRERRVASWAVSFER LLQDPVGVRYFSDFLRKEFSEENILFWQACECFSHVPAHDKKELSYRAREIFSKFLCSKA TTPVNIDSQAQLADDILNAPHPDMFKEQQLQIFNLMKFDSYTRFLKSQLYQECVLAEVEG RTLPDSQQVPSSPASKHSISSDHSNVSTPKKLSGKSKSGRSLNEDVGEEDSEKKRRGAFF SWSRSRSTGRSQKKKDHGDHAHDAPHANGGLCRRESQGSVSSAGSLDLSEACRTSALEKD KAAKHCCVHLPDGTSCVVAVKSGFSIKEILSGLCERHGINGAAVDLFLVGGDKPLVLHQD SSILATRDLRLEKRTLFRLDLVPINRSVGLKAKPTKPVTEVLRPVVAKYGLDLGSLLVRL SGEKEPLDLGAPISSLDGQRVILEERDPSRGKVSTDKQKGAPVKQNSAVNSSPRNHLAMG EERTLGKSNSIKIRGENGKSARDPRLSKREESIAKIGKKKYQKINLDEAEEFFELISKAQ SNRADDQRGLLRKEDLVLPEFLRLPAGSSELALSSPPPVKGYSKRAVTGHGQEGAAQTEE SYSDSPATSPASAQSPCSAYSPGSAHSPGSAHSTPGPPGTTQPGEKPTKPSCVSMVQEGT TQAWRRLSPEMEAGGIQTVEDEQVADLTLMGEGDISSPNSTLLPPPPTPQDTPGPPRPGG GARVSGGLPVNRIIDVDLVSGVSPGWGGSILGVQLGPRRVKANGPSLPNGPGLSTIPGEP TKPKTSTHHATFV >ENSMUSP00000143979.1 pep:known chromosome:GRCm38:5:35022770:35026970:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000201936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] XLSGKSKSGRSLNEDVGEEDSEKKRRGAFFSWSRSRSTGRSQKKKDHGDHAHDAPHANGG LCRRESQGSVSSAGSLDLTGHPVWLLSSPGSPSKRFCLDCVNGMASMGLLWTSSWWAETS LLCCIRTAASWPPGTYAWKSGLCFGWISFRLTGQWDSRPSPPSQSRKCCGPWWPNMAWTW >ENSMUSP00000116913.1 pep:known chromosome:GRCm38:5:35024341:35030090:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000156819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] XSLDLSEACRTSALEKDKAAKHCCVHLPDGTSCVVAVKSGFSIKEILSGLCERHGINGAA VDLFLVGGDKPLVLHQDSSILATRDLRLEKRTLFRLDLVPINRSVGLKAKPTKPVTEVLR PVVAKYGLDLGSLLVRLSGEKEPLDLGAPISSLDGQRVILEERDPSRGKGKPFPSLAILH SRKCCTLALILGRLSAICTASQQLPPWLAMSTDKQKGAPVKQNSAVNSSPRNHLAM >ENSMUSP00000117158.1 pep:known chromosome:GRCm38:5:35026970:35039636:1 gene:ENSMUSG00000029101.14 transcript:ENSMUST00000150139.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs12 description:regulator of G-protein signaling 12 [Source:MGI Symbol;Acc:MGI:1918979] XSLLVRLSGEKEPLDLGAPISSLDGQRVILEERDPSRGKVSTDKQKGAPVKQNSAVNSSP RNHLAMGAGPESAEASRSTGSSMWTSFRVCLLGGEAAFSGYSWVQGG >ENSMUSP00000026387.4 pep:known chromosome:GRCm38:5:130245731:130255530:-1 gene:ENSMUSG00000025337.10 transcript:ENSMUST00000026387.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbds description:Shwachman-Bodian-Diamond syndrome homolog (human) [Source:MGI Symbol;Acc:MGI:1913961] MSIFTPTNQIRLTNVAVVRMKRGGKRFEIACYKNKVVGWRSGVEKDLDEVLQTHSVFVNV SKGQVAKKEDLISAFGTDDQTEICKQILTKGEVQVSDKERHTQLEQMFRDIATIVADKCV NPETKRPYTVILIERAMKDIHYSVKPNKSTKQQALEVIKQLKEKMKIERAHMRLRFILPV NEGKKLKEKLKPLMKVVESEDYSQQLEIVCLIDPGCFREIDELIKKETKGRGSLEVLSLK DVEEGDEKFE >ENSMUSP00000117348.1 pep:known chromosome:GRCm38:5:130246405:130255405:-1 gene:ENSMUSG00000025337.10 transcript:ENSMUST00000139211.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sbds description:Shwachman-Bodian-Diamond syndrome homolog (human) [Source:MGI Symbol;Acc:MGI:1913961] MSIFTPTNQIRLTNVAVVRMKRGGKRFEIACYKNKVVGWRSGV >ENSMUSP00000115494.1 pep:known chromosome:GRCm38:5:130247884:130254085:-1 gene:ENSMUSG00000025337.10 transcript:ENSMUST00000125625.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbds description:Shwachman-Bodian-Diamond syndrome homolog (human) [Source:MGI Symbol;Acc:MGI:1913961] SPALSREKDLDEVLQTHSVFVNVSKGQVAKKEDLISAFGTDDQTEICKQILTKGEVQVSD KERHTQLEQMFRDIATIVADKCVNPETKRPYTVILIERAMKDIHYSVKPNKSTKQQALEV IKQLKEKMKIERAHMRLRFILPVNEGKKLKEKLKPLMKVVESEDYSQQLEIVR >ENSMUSP00000126798.2 pep:known chromosome:GRCm38:11:102099930:102101310:-1 gene:ENSMUSG00000017316.13 transcript:ENSMUST00000170554.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppy description:pancreatic polypeptide [Source:MGI Symbol;Acc:MGI:97753] MAVAYCCLSLFLVSTWVALLLQPLQGTWGAPLEPMYPGDYATPEQMAQYETQLRRYINTL TRPRYGKRAEEENTGGLPGVQLSPCTSPPVGLIPCSAPWS >ENSMUSP00000017460.5 pep:known chromosome:GRCm38:11:102099930:102101319:-1 gene:ENSMUSG00000017316.13 transcript:ENSMUST00000017460.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppy description:pancreatic polypeptide [Source:MGI Symbol;Acc:MGI:97753] MAVAYCCLSLFLVSTWVALLLQPLQGTWGAPLEPMYPGDYATPEQMAQYETQLRRYINTL TRPRYGKRAEEENTGGLPGVQLSPCTSPPVGLIPCSAPWS >ENSMUSP00000026700.7 pep:known chromosome:GRCm38:16:87553330:87595336:1 gene:ENSMUSG00000025610.7 transcript:ENSMUST00000026700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map3k7cl description:Map3k7 C-terminal like [Source:MGI Symbol;Acc:MGI:2446584] MISTARVPADKPVRIAFSLNDAPDDTPSEDAIPLVFPELEQQLQPLPPCHDSVESMQVFK QHCQIAEEYHEVKKEIALLEERKKELIAKLDQAEKEKLDAAQLVQEFEALTEENRTLKMA QSQCVEQLENLRIQYQKRQGSS >ENSMUSP00000095866.2 pep:known chromosome:GRCm38:7:99535466:99606771:1 gene:ENSMUSG00000018909.15 transcript:ENSMUST00000098266.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb1 description:arrestin, beta 1 [Source:MGI Symbol;Acc:MGI:99473] MGDKGTRVFKKASPNGKLTVYLGKRDFVDHIDLVDPVDGVVLVDPEYLKERRVYVTLTCA FRYGREDLDVLGLTFRKDLFVANVQSFPPAPEDKKPLTRLQERLIKKLGEHACPFTFEIP PNLPCSVTLQPGPEDTGKACGVDYEVKAFCAENLEEKIHKRNSVRLVIRKVQYAPERPGP QPTAETTRQFLMSDKPLHLEASLDKEIYYHGEPISVNVHVTNNTNKTVKKIKISVRQYAD ICLFNTAQYKCPVAMEEADDNVAPSSTFCKVYTLTPFLANNREKRGLALDGKLKHEDTNL ASSTLLREGANREILGIIVSYKVKVKLVVSRGGLLGDLASSDVAVELPFTLMHPKPKEEP PHREVPESETPVDTNLIELDTNDDDIVFEDFARQRLKGMKDDKDEEDDGTGSPHLNNR >ENSMUSP00000032995.8 pep:known chromosome:GRCm38:7:99535662:99603574:1 gene:ENSMUSG00000018909.15 transcript:ENSMUST00000032995.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb1 description:arrestin, beta 1 [Source:MGI Symbol;Acc:MGI:99473] MGDKGTRVFKKASPNGKLTVYLGKRDFVDHIDLVDPVDGVVLVDPEYLKERRVYVTLTCA FRYGREDLDVLGLTFRKDLFVANVQSFPPAPEDKKPLTRLQERLIKKLGEHACPFTFEIP PNLPCSVTLQPGPEDTGKACGVDYEVKAFCAENLEEKIHKRNSVRLVIRKVQYAPERPGP QPTAETTRQFLMSDKPLHLEASLDKEIYYHGEPISVNVHVTNNTNKTVKKIKISVRQYAD ICLFNTAQYKCPVAMEEADDNVAPSSTFCKVYTLTPFLANNREKRGLALDGKLKHEDTNL ASSTLLREGANREILGIIVSYKVKVKLVVSRGGDVAVELPFTLMHPKPKEEPPHREVPES ETPVDTNLIELDTNDDDIVFEDFARQRLKGMKDDKDEEDDGTGSPHLNNR >ENSMUSP00000124351.1 pep:known chromosome:GRCm38:7:99535704:99588941:1 gene:ENSMUSG00000018909.15 transcript:ENSMUST00000162404.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb1 description:arrestin, beta 1 [Source:MGI Symbol;Acc:MGI:99473] MGDKGTRVFKKASPNGKLTVYLGKRDFVDHIDLVDPVVYVTLTCAFRYGREDLDVLGLTF RKDLFVANVQSFPPAPEDKKPLTRLQERLIKKLGEHACPFTFEIPPNLPCSVTLQPGP >ENSMUSP00000124483.1 pep:known chromosome:GRCm38:7:99539669:99588136:1 gene:ENSMUSG00000018909.15 transcript:ENSMUST00000161525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb1 description:arrestin, beta 1 [Source:MGI Symbol;Acc:MGI:99473] MACFLSSLDLLARETETSSWHGVRGPSPWTFPTGCVDGRQQQGRVFKKASPNGKLTVYLG KRDFVDHIDLVDPVDGVVLVDPEYLKERRVYVTLTCAFRYGREDLDVLGLTFRKDLFVAN VQSFPPAPEDKKPLTR >ENSMUSP00000125056.1 pep:known chromosome:GRCm38:7:99594623:99600081:1 gene:ENSMUSG00000018909.15 transcript:ENSMUST00000162290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb1 description:arrestin, beta 1 [Source:MGI Symbol;Acc:MGI:99473] XNVAPSSTFCKVYTLTPFLANNREKRGLALDGKLKHEDTNLASSTLLREGANREILGIIV SYKVKVKLVVSRGGDVAVELPFTLMHPKPKEEPPHREVPESETPVDTNLIELDTNNLLAC M >ENSMUSP00000136963.1 pep:known chromosome:GRCm38:7:99535466:99606771:1 gene:ENSMUSG00000018909.15 transcript:ENSMUST00000179755.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrb1 description:arrestin, beta 1 [Source:MGI Symbol;Acc:MGI:99473] MGDKGTRVFKKASPNGKLTVYLGKRDFVDHIDLVDPVDGVVLVDPEYLKERRVYVTLTCA FRYGREDLDVLGLTFRKDLFVANVQSFPPAPEDKKPLTRLQERLIKKLGEHACPFTFEIP PNLPCSVTLQPGPEDTGKACGVDYEVKAFCAENLEEKIHKRNSVRLVIRKVQYAPERPGP QPTAETTRQFLMSDKPLHLEASLDKEIYYHGEPISVNVHVTNNTNKTVKKIKISVRQYAD ICLFNTAQYKCPVAMEEADDNVAPSSTFCKVYTLTPFLANNREKRGLALDGKLKHEDTNL ASSTLLREGANREILGIIVSYKVKVKLVVSRGGLAGRGVCFSDVAVELPFTLMHPKPKEE PPHREVPESETPVDTNLIELDTNDDDIVFEDFARQRLKGMKDDKDEEDDGTGSPHLNNR >ENSMUSP00000116855.2 pep:known chromosome:GRCm38:16:3908801:3923856:1 gene:ENSMUSG00000014232.14 transcript:ENSMUST00000145150.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cluap1 description:clusterin associated protein 1 [Source:MGI Symbol;Acc:MGI:1924029] MMRALGYPRHISMENFRTPNFGLVSEVLLWLVKRYEPQTDIPSDIETEQDRVFFIKAIAQ FMATKAHIKLNTKKLYQADGYAVKELLKITSVLYNAMKTKGMEGSNVGEEDISKFKFDLG SKIADLKAARQLASEITAKGASLYDLLGKEVELRELRTEAIARPLEINETEKVMRIAIKD LLAQVQKT >ENSMUSP00000043397.7 pep:known chromosome:GRCm38:16:3908825:3941147:1 gene:ENSMUSG00000014232.14 transcript:ENSMUST00000040881.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cluap1 description:clusterin associated protein 1 [Source:MGI Symbol;Acc:MGI:1924029] MSFRDLRNFTEMMRALGYPRHISMENFRTPNFGLVSEVLLWLVKRYEPQTDIPSDIETEQ DRVFFIKAIAQFMATKAHIKLNTKKLYQADGYAVKELLKITSVLYNAMKTKGMEGSNVGE EDISKFKFDLGSKIADLKAARQLASEITAKGASLYDLLGKEVELRELRTEAIARPLEINE TEKVMRIAIKDLLAQVQKTKDLLNNVASDEANLEAKIEKRKLELERNRKRLQTLQSVRPA FMDEYEKVEEDLQKQYDVYLEKFRNLAYLEQQLEDHHRMEQERFEEAENTLRLMQNKLKE EEKRLLKSGSNDDSDIDIQEDDESDSELEDRRMSKPRTAMEVLMQGRPSKRIVGAMQGGD SDEDEDSEDSEIDMEDDEEDDDDLEDESIALSPAKPSRRIRKPEPLDESDNDF >ENSMUSP00000121029.1 pep:known chromosome:GRCm38:16:3909076:3929867:1 gene:ENSMUSG00000014232.14 transcript:ENSMUST00000139294.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cluap1 description:clusterin associated protein 1 [Source:MGI Symbol;Acc:MGI:1924029] MSFRDLRSKAAPGFPVGFPGSRDSPAGPLPEPWAQAGRGSLGQPGADRTLQHLWKVRFHR DDESLGVPTPYFYGEFPHTQLWACL >ENSMUSP00000119490.1 pep:known chromosome:GRCm38:16:3909106:3923912:1 gene:ENSMUSG00000014232.14 transcript:ENSMUST00000124849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cluap1 description:clusterin associated protein 1 [Source:MGI Symbol;Acc:MGI:1924029] MMRALGYPRHISMENFRTPNFGLVSEVLLWLVKRYEPQTDIPSDIETEQDRVFFIKAIAQ FMATKAHIKLNTKKLYQADGYAVKELLKITSVLYNAMKTKGMEGSNVGEEDISKFKFDLG SKIADLKAARQLASEITAKGASLYDLLGKEVELRELRTEAIARPLEINETEKVMRIAIKD LLAQVQKTKDLLNNVASDEANLEAKI >ENSMUSP00000145151.1 pep:known chromosome:GRCm38:6:55203383:55278625:1 gene:ENSMUSG00000038022.11 transcript:ENSMUST00000204842.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam188b description:family with sequence similarity 188, member B [Source:MGI Symbol;Acc:MGI:3583959] MDSLYVEEVAASLVREFLSRKGLNKTFVTMDQERPRCELSINSRNDLRKVLHLEFLYKEN KAKEKPLRTNLELITRYFLDNVGNTDNSESQEVPIPAIPVPKKNNKLPLRHSETTLVNIY DLSDEDTGRRTSWSEAGKARHDSLDGDILGNFVSSKKPSHKSKAAHVDLGDSLPLVPAWE KVDQLHSSEPGIDVKKTMERTRPKSGLIVRGMMAGPVASSPQDSFRKRSLRRSSALSRKL QTPEEIQQQSEPFVHTPAYLGPQEVPDSSSDSVSRSPLGQLNELSIEKPNVTSSSQGLSQ RDRPRLRSVSEDSPLGYSHTEGNSRMAQDQLERAFKRQGVQPPSLRKNQLVSDRTDDKPD ALQLEDVEDELIKEDIVLFPPPSMLKLQTVSKPIDLSLAKEIKTLLFGSTFCCFSEEWKL QNFSFNDIASLKYGIVQNKGGPCGVLAAVQGCVLQKLLFEGDNRTNSNLRLQPSDAQRTR CLALAIADILWRAGGKEQAVVALASGTPHFSPTGKYKADGVLETVRLSGKLSCHLWLCLG TISFHISKSYPSWGWLAIPAAQAPRILPALWGLGRAEWLGGLAWFGMVWRGLAHQSWFSG >ENSMUSP00000061221.7 pep:known chromosome:GRCm38:6:55203383:55320222:1 gene:ENSMUSG00000038022.11 transcript:ENSMUST00000053094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam188b description:family with sequence similarity 188, member B [Source:MGI Symbol;Acc:MGI:3583959] MDSLYVEEVAASLVREFLSRKGLNKTFVTMDQERPRCELSINSRNDLRKVLHLEFLYKEN KAKEKPLRTNLELITRYFLDNVGNTDNSESQEVPIPAIPVPKKNNKLPLRHSETTLVNIY DLSDEDTGRRTSWSEAGKARHDSLDGDILGNFVSSKKPSHKSKAAHVDLGDSLPLVPAWE KVDQLHSSEPGIDVKKTMERTRPKSGLIVRGMMAGPVASSPQDSFRKRSLRRSSALSRKL QTPEEIQQQSEPFVHTPAYLGPQEVPDSSSDSVSRSPLGQLNELSIEKPNVTSSSQGLSQ RDRPRLRSVSEDSPLGYSHTEGNSRMAQDQLERAFKRQGVQPPSLRKNQLVSDRTDDKPD ALQLEDVEDELIKEDIVLFPPPSMLKLQTVSKPIDLSLAKEIKTLLFGSTFCCFSEEWKL QNFSFNDIASLKYGIVQNKGGPCGVLAAVQGCVLQKLLFEGDNRTNSNLRLQPSDAQRTR CLALAIADILWRAGGKEQAVVALASGTPHFSPTGKYKADGVLETLTLYSLTSSEDLVTFI QQSVHQFEAGPYGCILLTLSAILSRSLELVRQDFDVPTSHLIGAHGYCTQELVNLLLTGR AVSNVFNDVVELDSGDGNITLLRGIEARSDIGFLSLFEHYNVCQVGCFLKTPRFPIWVVC SESHFSILFSLQPELLCDWRSERLFDLYYYDGLANQQEEIRLTVDTTKTAPADSCSDLVP PLELCIRTKWKGASVNWNGSDPIL >ENSMUSP00000024826.7 pep:known chromosome:GRCm38:17:31141049:31144282:-1 gene:ENSMUSG00000024028.7 transcript:ENSMUST00000024826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tff2 description:trefoil factor 2 (spasmolytic protein 1) [Source:MGI Symbol;Acc:MGI:1306805] MRPRGAPLLAVVLVLGLHALVEGEKPSPCRCSRLTPHNRKNCGFPGITSEQCFDLGCCFD SSVAGVPWCFHPLPNQESEQCVMEVSARKNCGYPGISPEDCASRNCCFSNLIFEVPWCFF PQSVEDCHY >ENSMUSP00000037850.3 pep:known chromosome:GRCm38:11:116220559:116238077:-1 gene:ENSMUSG00000034282.3 transcript:ENSMUST00000037007.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Evpl description:envoplakin [Source:MGI Symbol;Acc:MGI:107507] MFKGLSKGSQGKGSPKGSPAKGSPKGSPNKHNRAATQELALLISRMQANADQVERDILET QKKLQQDRQNGEQNQALQHQQETGRNLKEAEVLLKDLFLDVDKARRLKHPQAEEIEKDIK QLHERVTQECSEYRALYEKMVLPPDVGPRVDWARVLEQKQNLVREGHYGPGMAELEQQVA EHNILQREIEAYGQQLRTLVGPDANTIRNQYRELLKAASWRRQSLGSLYTHLQGCTKQLS ALADQQGRILQQDWSDLMPDPAGVRREYEHFKQHELLAQERSINQLEDDADRMVELGHPA IGPIQVHQEALKMEWQNFLNLCICQESQLQRVEDYRRFQEEADSVSQTLAKLSSNLDTKY GFGTGDSSGSPTELLLQLEAEEKQLAIAERAVGDLQQRSQEVAPLPQRRNPSKQPLHVDS ICDWDSGEVQLLRGERYTLKDNADPYTWLVQGPGGETKSAPAACLCIPAPDPEAVAKASR LATELQTLKQKLSTEKNRLKAAAVEHLQPGQQAPAGSAPADPQGQTLLSQMTQLDGDLGQ IERQVLSWARSPLSQSSSLKDLEGRIHSCEGTAQRLQSLGAEKEAAQQECEAFLSTKPTG SAALQLPVVLNSVKNRYNDVQSLCHLYGEKAKAALGLEKQIQEADRVIQGFEAALALEGP VPEGSGALQERVSELQRQRKELLQQQACVLGLHRQLKATEHACSALQNNFQEFCQDLPRQ QRQVRALTDRYHAVGDQLDLREKIVQDASLTYQQLRNSRDNLSSWLEQLPHHRVQPSDGP SQISYKLQAQKRLIQEILGREQDQATVSRLTRDLQEALQDYELQADTYRCSLEPALAVSA PKRLRVISLQESIQAQEKNLAKAYTEVAAAEQQQLRQLEFAKKMLRKKELDEDIQAIHSA RQGSGSPAHARTAESEVLKTQLEEERKRVAEVQRDLEEQRQRLLQLRTQQPVARLEEKEV VEFYRDPQLESNLSQAASRVEEEGKRRARLQAELEAVAQKVVHLEGKRKTMQPHLLTKEV TQIERDPGLDSQVTQLHSEMQRLRGENGVLTARLEELKDELLALEQKEMNVKEKVVVKEV VKVEKDLEMVKAAQTLRLQIEEDAARRKGAKETVAKIQARIKDLEQAISSVEPKVIVKEV KKVEQDPGLLKEASRLRSLLEEEKNNNVALARELQELQEKYRVVEKQKPKVQLQERVSEI FQVLPETEQEIRRLRAQLQETGSKKSGVEQEVEKLLPELEVLRAQKPVVEYKEVTQEVVR HEKNPEVLREIDRLKAQLNELVNTNGRSQEQLIRLQGERDEWKRERSKVETKMVSKEVVR HEKDPVLEKEAERLRQEVREAVQRRRATEDAVYELQNKLLLLERRRPEEQIVVQEVVVTQ KDPKLREEHSRLSRSLDEEVGRRRQLELEVRQLGARVEEEEARLSFEEDRSKKLAAEREL RQLTLKIQELEKRPPALQEKIIMEEVVKLEKDPDLERSTEALRRELDQEKNRVTELHREC QGLQVQVDLLQKTKSQEKTIYKEVIRVEKDPVLEGERARVWEILNRERAARKGREEDVRS LQERIDRAEALRRSWSREEAELQRARDQASQDCGRLQRQLRELEQQKQQKARQLQEEGRL LSQKTESERQKAAQRSQAVTQLEAAILQEKDKIYEKERTLRDLHTKVSREELNQETQTRE TNLSTKICILEPETGNDMSPYEAYKRGVIDRGQYLQLQELECDWEEVTTSSPCGEESVLL DRKSGKQYSIEAALRCRRISKEEYHRYKDGRLPISEFALLVAGETKPSSSLSIGSIISKS PVCSPGPQSTGFFSPGLSFGLTEDSFPIAGIYDTTTDNKCSIKAAVAKNMLDPITGQKLL EAQAATGGIVDLLSRERYSVHKAVERGLIENTSTQRLLNAQKAFTGIEDPVTRKRLSVGE AIQKGWMPQESVLPHLLVQHLTGGLIDPKRTGRIPVPQAVLCGMISEDLGQLLQDESGYE KDLTDPITKERLSYKEAMGRCRKDPLSGLLLLPAMLEGYRCYRAASPTLPRSCVR >ENSMUSP00000101017.2 pep:known chromosome:GRCm38:10:79894708:79908921:-1 gene:ENSMUSG00000013833.15 transcript:ENSMUST00000105378.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med16 description:mediator complex subunit 16 [Source:MGI Symbol;Acc:MGI:2158394] MAASDPGRWGECGPRPPGRLGARGGQPGTVLGAVGMMDLAYVCEWEKWAKSTYCPSLPLA CAWSCRNLIAFTTDLRNDDQDLTHMIHILDTEHPWEVHSVSSGHSEAITCLEWDQSGSRL LSADADGQIKCWSMADHLANSWESSVGSQVEGDPIVALSWLHNGVKLALHVEKSGASSFG EKFSRVKFSPSLTLFGGKPMEGWIAVTVSGLVTVSLLKPSGQVLTSTESLCRLRGRVALA DIAFTGGGNIVVAAADGSSASPVKFYKVCVSVVSEKCRIDTEILPSLFMRCTTDPNRKDR FPAITHLKFLARDMSEQVLLCASSQTSSLVECWSLRKEGLPVNNIFQQISPVVGDKQPMI LKWRILSATNDLDRVSAVALPKLPISLTNTDLKVASDTQFYPGLGLALAFQDGSVHMVHR LSLQTMAVFYSSAPRSLDEPALKRPRTTCPAVHFKAMQLSWTSLALVGIDNHGKLSMLRI SPSLGHPLEPKLALQHLLFLLEYCMVTGYDWWDILLHVQPGMVQSLVERLHEEYTRQKPA LQQVLSTRILAMKASLCKLSPCTVARVCDYHTKLFLMAITSTLKSLLRPHFLNTPDKSPG DRLAEICAKITDVDIDKVMINLKTEEFVLDMNTLQALQQLLQWVGDFVLYLLVSLPNQGS PLRPGHSFLRDGTSLGMLRELMVVIRIWGLLKPSCLPVYTATSDTQDSMSLLFRLLTKLW ICCRDEGAASEPDEGLVDECCLLPSQLLVPNLDWLPASDGLVSRLQPKQPLRLRFGRAPT LPSSTSTLQLDGLTRAPGQPKIDHLRRLHLGAYPTEECKACTRCGCVTMLKSPNKTTAVT QWEQRWIKNCLCGGLWRRVPLSCS >ENSMUSP00000129375.1 pep:known chromosome:GRCm38:10:79894935:79908898:-1 gene:ENSMUSG00000013833.15 transcript:ENSMUST00000165684.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med16 description:mediator complex subunit 16 [Source:MGI Symbol;Acc:MGI:2158394] MAASDPGRWGECGPRPPGRLGARGGQEPGTVLGAVGMMDLAYVCEWEKWAKSTYCPSLPL ACAWSCRNLIAFTTDLRNDDQDLTHMIHILDTEHPWEVHSVSSGHSEAITCLEWDQSGSR LLSADADGQIKCWSMADHLANSWESSVGSQVEGDPIVALSWLHNGVKLALHVEKSGASSF GEKFSRVKFSPSLTLFGGKPMEGWIAVTVSGLVTVSLLKPSGQVLTSTESLCRLRGRVAL ADIAFTGGGNIVVAAADGSSASPVKFYKVCVSVVSEKCRIDTEILPSLFMRCTTDPNRKD RFPAITHLKFLARDMSEQVLLCASSQTSSLVECWSLRKEGLPVNNIFQQISPVVGDKQPM ILKWRILSATNDLDRVSAVALPKLPISLTNTDLKVASDTQFYPGLGLALAFQDGSVHMVH RLSLQTMAVFYSSAPRSLDEPALKRPRTTCPAVHFKAMQLSWTSLALVGIDNHGKLSMLR ISPSLGHPLEPKLALQHLLFLLEYCMVTGYDWWDILLHVQPGMVQSLVERLHEEYTRQKP ALQQVLSTRILAMKASLCKLSPCTVARVCDYHTKLFLMAITSTLKSLLRPHFLNTPDKSP GDRLAEICAKITDVDIDKVMINLKTEEFVLDMNTLQALQQLLQWVGDFVLYLLVSLPNQG SPLRPGHSFLRDGTSLGMLRELMVVIRIWGLLKPSCLPVYTATSDTQDSMSLLFRLLTKL WICCRDEGAASEPDEGLVDECCLLPSQLLVPNLDWLPASDGLVSRLQPKQPLRLRFGRAP TLPSSTSTLQLDGLTRAPGQPKIDHLRRLHLGAYPTEECKACTRCGCVTMLKSPNKTTAV TQWEQRWIKNCLCGGLWRRVPLSCS >ENSMUSP00000129229.1 pep:known chromosome:GRCm38:10:79903179:79908910:-1 gene:ENSMUSG00000013833.15 transcript:ENSMUST00000164705.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med16 description:mediator complex subunit 16 [Source:MGI Symbol;Acc:MGI:2158394] MIHILDTEHPWEVHSVSSGHSEAITCLEWDQSGSRLLSADADGQIKCWSMADHLANSWES SVGSQVEGDPIVALSWLHNGVKLALHVEKSGASSFGEKFSRVKFSPSLTLFGGKPMEGWI AVTVSGLVTVSLLKPSGQVLTSTESLCRLRGRVALADIAFTGGGNIVVAAAD >ENSMUSP00000128463.1 pep:known chromosome:GRCm38:10:79903355:79908321:-1 gene:ENSMUSG00000013833.15 transcript:ENSMUST00000166964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med16 description:mediator complex subunit 16 [Source:MGI Symbol;Acc:MGI:2158394] MMDLAYVCEWEKWAKSTYCPSLPLACAWSCRNLIAFTTDLRNDDQDLTHMIHILDTEHPW EVHSVSSGHSEAITCLEWDQSGSRLLSADADGQIKCWSMADHLANSWESSVGSQVEGDPI VALSWLHNGVKLALHVEKSGASSFGEKFSRVKFSPSLTLFGG >ENSMUSP00000126729.1 pep:known chromosome:GRCm38:10:79903382:79908923:-1 gene:ENSMUSG00000013833.15 transcript:ENSMUST00000170409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med16 description:mediator complex subunit 16 [Source:MGI Symbol;Acc:MGI:2158394] MIHILDTEHPWEVHSVSSGHSEAITCLEWDQSGSRLLSADADGQIKCWSMADHLANSWES SVGSQVEGDPIVALSWLHNGVKLALHVEKSGASSFGEKFSRVKFS >ENSMUSP00000136289.1 pep:known chromosome:GRCm38:15:88600302:88601669:1 gene:ENSMUSG00000054117.8 transcript:ENSMUST00000066949.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc25 description:zinc finger, DHHC domain containing 25 [Source:MGI Symbol;Acc:MGI:1917323] MSSWVQNHGTSEHSAGLETPLQAPNQRCWFILDPIGILCAMAAWALVLSGGWVLFRDLLI PSNNMLYIVANGVVFHLLASLALASHLRTMLTDPGSVPLGNPPGPDTVSYCTDCHSAIPR TACHCTVCQRCIRKNDHHCPWINNCIGEDNQKYFLLFTMYIGLTSTHVLLLLGIPVLCSY MRGEWDSSSTVSLPAPILFLLLVAIMGFLFAVVMLCSQMCVIYSDKTTTELLYQNTHSGG RWSKCANMKAVCGSHVSLAWLSPFHSPEHYKVSEHHDMA >ENSMUSP00000132286.1 pep:known chromosome:GRCm38:14:7466362:7483762:-1 gene:ENSMUSG00000091617.8 transcript:ENSMUST00000164366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3752 description:predicted gene 3752 [Source:MGI Symbol;Acc:MGI:3781927] MTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNTSVI >ENSMUSP00000126299.2 pep:known chromosome:GRCm38:14:7470886:7473073:-1 gene:ENSMUSG00000091617.8 transcript:ENSMUST00000167833.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3752 description:predicted gene 3752 [Source:MGI Symbol;Acc:MGI:3781927] MTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNTSVC >ENSMUSP00000131825.1 pep:known chromosome:GRCm38:4:43651335:43652159:-1 gene:ENSMUSG00000066196.11 transcript:ENSMUST00000149575.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag8 description:sperm associated antigen 8 [Source:MGI Symbol;Acc:MGI:3056295] XREAMLEMLLRHQICKEVQAEQEPARKLFETESVTHHDYRVELVRAAPPASTKEQPETFW IQRAARLPGVSDIRTLDTPFRKNCSFSTPVPLSLGQPLPYELESGPHQVGVISSLACQGG GQGCGRTKTTPI >ENSMUSP00000081696.4 pep:known chromosome:GRCm38:4:43651335:43653552:-1 gene:ENSMUSG00000066196.11 transcript:ENSMUST00000084646.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag8 description:sperm associated antigen 8 [Source:MGI Symbol;Acc:MGI:3056295] METTESTEGSLSRSCDVQPSSERLDTPSEPVPSSSSSPRSTAPAEAPAQYSVLTEPSSDS LYGAPCPPAHHRGHGFGFQPFYVSCIPQDPCNMADLSSRADPTSSYPCHSSVHGSGSGTC GLGQSSEPSQGSGPTSGPAPASVPSLVSGPDSASGPDSSASGPALASGPGPADPGQGPKF STCIPQGYRCIPVDLAPDYNAWCQHLHWKPQRSWEPLQVSEPGVRGPYKPPEPGALGPCE PCEPCEPPEAESEETLCKARPRGQCLLYNWEEERATNQLDQIPPLQDGSESYFFRHGHQG LLTTQPQSPMSSSTTQRDSYQLPRHICQPLRGKREAMLEMLLRHQICKEVQAEQEPARKL FETESVTHHDYRVELVRAAPPASTKPHDYRQEQPETFWIQRAARLPGVSDIRTLDTPFRK NCSFSTPVPLSLGQPLPYELESGPHQVGVISSLACQGGGQGCGRTKTTPI >ENSMUSP00000103502.2 pep:known chromosome:GRCm38:4:43651676:43653594:-1 gene:ENSMUSG00000066196.11 transcript:ENSMUST00000107870.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag8 description:sperm associated antigen 8 [Source:MGI Symbol;Acc:MGI:3056295] METTESTEGSLSRSCDVQPSSERLDTPSEPVPSSSSSPRSTAPAEAPAQYSVLTEPSSDS LYGAPCPPAHHRGHGFGFQPFYVSCIPQDPCNMADLSSRADPTSSYPCHSSVHGSGSGTC GLGQSSEPSQGSGPTSGPAPASVPSLVSGPDSASGPDSSASGPALASGPGPADPGQGPKF STCIPQGYRCIPVDLAPDYNAWCQHLHWKPQRSWEPLQVSEPGVRGPYKPPEPGALGPCE PCEPCEPPEAESEETLCKARPRGQCLLYNWEEERATNQLDQIPPLQDGSESYFFRHGHQG LLTTQPQSPMSSSTTQRDSYQLPRHICQPLRGKREAMLEMLLRHQICKEVQAEQEPARKL FETESVTHHDYRVELVRAAPPASTKPHDYRQEQPETFWIQRAARLPVCVGD >ENSMUSP00000037519.3 pep:known chromosome:GRCm38:11:45980310:46017994:1 gene:ENSMUSG00000040489.5 transcript:ENSMUST00000049038.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox30 description:SRY (sex determining region Y)-box 30 [Source:MGI Symbol;Acc:MGI:1341157] MERARPEPPPPPPPPPRQPPRPTPPRPLRPAPPAQPVEAATFRAAAAERSQSPSAQATAA MAAVASSCGEAAAAGAQAAGTRRLLQVKPEQVLLLPPGGPGVPPAPDEGAAAAAAAAAAA ASSAQARLLQLRPELLLLPPQSAADGGPCRPELHPMQPRTLLVKAEKQELGAGLDLSVGS RRTTEAGPRASRAAKLDGTGKALDGRRSDEKKAKLEAEEAPRDALKGGEGKSLLAIGEGV IKTEEPDRPRDDCRLGTEATSNGLVHSSKEAILAQPPSAFGPHQQDLRFPLTLHTVPPGA RIQFQGPPPSELIRLSKVPLTPVPIKMQSLLEPSVKIETKDVPLTVLPSDAGIPDTPFSK DRNGHVKRPMNAFMVWARIHRPALAKANPAANNAEISVQLGLEWNKLSEEQKKPYYDEAQ KIKEKHREEFPGWVYQPRPGKRKRFPLSVSNVFSGTTQNIISTNPTTIYPYRSPTYSVVI PGLQNTITHPVGEAPPAIQLPTPAVQRPSPITLFQPSVSSTGPVAVPPPSLTPRPSLPPQ RFSGPSQTDIHRLPSGSSRSVKRSTPGSLESTTRIPAGASTAHARFATSPIQPPKEYASV STCPRSTPIPPATPIPHSHVYQPPPLGHPATLFGTPPRFSFHHPYFLPGPHYFPSSTCPY SRPPFGYGNFPSSMPECLGYYEDRYQKHEAIFSALNRDYPFRDYPDEHTHSEDSRSCESM DGPPYYSSHGHGGEEYLNAMPTLDIGALENVFTAPASAPSGVQQVNVTDSDEEEEEKVLR NL >ENSMUSP00000132468.1 pep:known chromosome:GRCm38:14:7440924:7450917:-1 gene:ENSMUSG00000091227.1 transcript:ENSMUST00000169555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3755 description:predicted gene 3755 [Source:MGI Symbol;Acc:MGI:3781930] XEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQRSKINELEELKLDMRKISNDMEEMG GILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEMTQSIIGSMQYSKELIEDNYSYSIK EDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSHEEEKRFCEEAS >ENSMUSP00000025684.3 pep:known chromosome:GRCm38:19:6276725:6300096:1 gene:ENSMUSG00000024772.9 transcript:ENSMUST00000025684.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehd1 description:EH-domain containing 1 [Source:MGI Symbol;Acc:MGI:1341878] MFSWVSKDARRKKEPELFQTVAEGLRQLYAQKLLPLEEHYRFHEFHSPALEDADFDNKPM VLLVGQYSTGKTTFIRHLIEQDFPGMRIGPEPTTDSFIAVMHGPTEGVVPGNALVVDPRR PFRKLNAFGNAFLNRFMCAQLPNPVLDSISIIDTPGILSGEKQRISRGYDFAAVLEWFAE RVDRIILLFDAHKLDISDEFSEVIKALKNHEDKIRVVLNKADQIETQQLMRVYGALMWSL GKIINTPEVVRVYIGSFWSHPLLIPDNRKLFEAEEQDLFKDIQSLPRNAALRKLNDLIKR ARLAKVHAYIISSLKKEMPNVFGKESKKKELVNNLGEIYQKIEREHQISSGDFPSLRKMQ ELLQTQDFSKFQALKPKLLDTVDDMLANDIARLMVMVRQEESLMPSQAVKGGAFDGTMNG PFGHGYGEGAGEGIDDVEWVVGKDKPTYDEIFYTLSPVNGKITGANAKKEMVKSKLPNTV LGKIWKLADVDKDGLLDDEEFALANHLIKVKLEGHELPADLPPHLIPPSKRRHE >ENSMUSP00000135594.1 pep:known chromosome:GRCm38:8:4493140:4515725:1 gene:ENSMUSG00000008206.16 transcript:ENSMUST00000176042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers4 description:ceramide synthase 4 [Source:MGI Symbol;Acc:MGI:1914510] MSFSLSEWLWQETYWLPPNVTWAELEDRDGLVFAHPHHVLAAFPVALVLVAVRIVF >ENSMUSP00000008350.8 pep:known chromosome:GRCm38:8:4493199:4531680:1 gene:ENSMUSG00000008206.16 transcript:ENSMUST00000008350.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers4 description:ceramide synthase 4 [Source:MGI Symbol;Acc:MGI:1914510] MSFSLSEWLWQETYWLPPNVTWAELEDRDGLVFAHPHHVLAAFPVALVLVAVRIVFERFV ALPLSRWMGVQDPIRRKIKPNPVLEKYFLRMKQCPEETQMVLLASQCGLTLRQTQRWFRR RRNQDRPSLSKKFCEACWRFVFYLCSFVGGTSILYHESWLWSPSLCWENYPHQTLNLSLY WWYLLELGFYLSLLITLPFDVKRKDFKEQVVHHFVAVGLIGFSYSVNLLRIGAVVLLLHD CSDYLLEGCKILNYAHFRRGCDALFIMFALVFFYTRLIFFPTQVIYTSVYDSIKNSGPFF GYYFFIVLLVMLQILHVYWFCLILRMLYSFLHKGQMTEDIRSDVEEPDSSDDEPVSEGPQ LKNGMARGSRVAVTNGPRSRAAACLTNGHTRAT >ENSMUSP00000135652.1 pep:known chromosome:GRCm38:8:4493576:4523692:1 gene:ENSMUSG00000008206.16 transcript:ENSMUST00000176130.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cers4 description:ceramide synthase 4 [Source:MGI Symbol;Acc:MGI:1914510] MSFSLSEWLWQETYWLPPNVTWAELEDRDGLVFAHPHHVLAAFPVALVLVAVRIVFERFV ALPLSRWMGVQDPIRRKIKPNPVLEKYFLRMKQCPEETQMVLLASQCGLTLRQTQRWFRR RRNQDRPSLSKKFCEACWRFVFYLCSFVGGTSILYHESWLWSPSLCWENYPHQTLNLSLY WWYLLELGFYLSLLITLPFDVKRKGHLHVCV >ENSMUSP00000091329.4 pep:known chromosome:GRCm38:9:79815051:79977804:-1 gene:ENSMUSG00000034898.15 transcript:ENSMUST00000093811.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Filip1 description:filamin A interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917848] MRSRNQGGESSSNGHVSCPKPSIISSDGGKGPSEDAKKNKANRKGEDDVMASGTVKRHLK PSGESEKKTKKPLELSKEDLIQLLSIMEGELQAREDVIHMLKTEKTKPEVLEAHYGSAEP EKVLRVLHRDAILAQEKSIGEDVYEKPISELDRLEEKQKETYRRMLEQLLLAEKCHRRTV YELENEKHKHTDYMNKSDDFTNLLEQERERLKKLLEQEKAYQARKEKENAKRLNKLRDEL VKLKSFALMLVDERQMHIEQLGLQSQKVQDLTQKLREEEEKLKAITYKSKEDRQKLLKLE VDFEHKASRFSQEHEEMNAKLANQESHNRQLRLKLVGLSQRIEELEETNKSLQKAEEELQ ELRDKIAKGECGNSSLMAEVESLRKRVLEMEGKDEEITKTEAQCRELKKKLQEEEHHSKE LRLEVEKLQKRMSELEKLEEAFSRSKSECTQLHLNLEKEKNLTKDLLNELEVVKSRVKEL ECSESRLEKVELSLKDDLTKLKSFTVMLVDERKNMMEKIKQEERKVDGLNKNFKVEQGKV MDVTEKLIEESKKLLKLKSEMEEKVYSLTKERDELMGKLRSEEERSCELSCSVDLLKKRL DGIEEVEREINRGRLCKGSEFTCPEDNKIRELTLEIERLKKRLQQLEVVEGDLMKTEDEY DQLEQKFRTEQDKANFLSQQLEEIKHQMAKNKAIEKGEAVSQEAELRHRFRMEEAKSRDL QAEVQALKEKIHELMNKEDQLSQLQVDYSVLQQRFMEEETKNKNMGREVLNLTKELELSK RYSRALRPSGNGRRMVDVPVASTGVQTEAVCGDAAEEETPAVFIRKSFQEENHIMSNLRQ VGLKKPMERSSVLDRYPPAANELTMRKSWIPWMRKRENGPSAPQEKGPRPNQGTGHPGEL VLAPKQGQPLHIRVTPDHENSTATLEITSPTSEEFFSSTTVIPTLGNQKPRITIIPSPNV MSQKPKSADPTLGPERAMSPVTITTISREKSPEGGRGAFADRPASPIQIMTVSTSAAPPE IAVSPDSQEVPMGRTILKVTPEKQTVPTPMRKYNANANIITTEDNKIHIHLGSQFKRSPG PAAAEGVSPVITVRPVNVTAEKEVSTGTVLRSPRNHLSSRPGANKVTSTITITPVTTSST RGTQSVSGQDGSSQRPTPTRIPMSKGMKAGKPVVAAPGAGNLTKFQPRAETQSMKIELKK SAASSTASLGGGKG >ENSMUSP00000134427.1 pep:known chromosome:GRCm38:9:79820661:79962033:-1 gene:ENSMUSG00000034898.15 transcript:ENSMUST00000172973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Filip1 description:filamin A interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917848] MRSRNQGGESSSNGHVSCPKPSIISSDGGKGPSEDAKKNKANRKGEDDVMASGTVKRHLK PSGESEKKTKKPLELSKEDLIQLLSIMEGELQAREDVIHMLKTEKTKPEVLEAHYGSAEP EKVLRVLHRDAILAQEKSIGEDVYEKPISELDRLEEKQKETYRRMLEQLLLAEKCHRRTV YELENEKHKHTDYMNKSDDFTNLLEQERERLKKLLEQEKAYQARK >ENSMUSP00000120966.1 pep:known chromosome:GRCm38:8:116888685:116921455:-1 gene:ENSMUSG00000014633.15 transcript:ENSMUST00000148235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmc2 description:COX assembly mitochondrial protein 2 [Source:MGI Symbol;Acc:MGI:1913781] MHPDLSPHLHTEECNVLINLLKECHKNHNILKFFGHCNDLDREMRKCLKNEYSERRTRSR EHGAAMRRRLSDPPEEAGR >ENSMUSP00000121322.1 pep:known chromosome:GRCm38:8:116889279:116921422:-1 gene:ENSMUSG00000014633.15 transcript:ENSMUST00000131616.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cmc2 description:COX assembly mitochondrial protein 2 [Source:MGI Symbol;Acc:MGI:1913781] MHPDLSPHLHTEECNVLINLLKECHKNVRVQKSDCRAQVKLSTTF >ENSMUSP00000120255.1 pep:known chromosome:GRCm38:8:116889518:116921447:-1 gene:ENSMUSG00000014633.15 transcript:ENSMUST00000150128.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cmc2 description:COX assembly mitochondrial protein 2 [Source:MGI Symbol;Acc:MGI:1913781] MHPDLSPHLHTEECNVLINLLKECHKNEQECKKQERAKTKPRPY >ENSMUSP00000077663.6 pep:known chromosome:GRCm38:8:116889533:116921439:-1 gene:ENSMUSG00000014633.15 transcript:ENSMUST00000078589.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmc2 description:COX assembly mitochondrial protein 2 [Source:MGI Symbol;Acc:MGI:1913781] MHPDLSPHLHTEECNVLINLLKECHKNYSERRTRSREHGAAMRRRLSDPPEEAGR >ENSMUSP00000120919.1 pep:known chromosome:GRCm38:8:116889632:116921365:-1 gene:ENSMUSG00000014633.15 transcript:ENSMUST00000128304.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmc2 description:COX assembly mitochondrial protein 2 [Source:MGI Symbol;Acc:MGI:1913781] MHPDLSPHLHTEECNVLINLLKECHKNVRVQKSDCRAQVKLSTQRGGPGAGSTAPPCDGG FLTLQRKLGDRLTGASAGRPEGGWWPKNILSYLPLGLFV >ENSMUSP00000047398.5 pep:known chromosome:GRCm38:6:113077365:113153435:1 gene:ENSMUSG00000034269.12 transcript:ENSMUST00000042889.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd5 description:SET domain containing 5 [Source:MGI Symbol;Acc:MGI:1920145] MSIAIPLGVTTPDTSYSDMAAGSDPESVEASPAVNEKSVYSTHNYGTTQRHGCRGLPYAT IIPRSDLNGLPSPVEERCGDSPNSEGETVPTWCPCGLSQDGFLLNCDKCRGMSRGKVIRL HRRKQDNISGGDSSATESWDEELSPSTVLYTATQHTPTSITLTVRRTKPKKRKKSPEKGR AAPKTKKIKNSPSEAQNLDENTTEGWENRIRLWTDQYEEAFTNQYSADVQNALEQHLHSN KEFVGKPAILDTINKTELACNNTVIGSQMQLQLGRVTRVQKHRKILRAARDLALDTLIIE YRGKVMLRQQFEVNGHFFKKPYPFVLFYSKFNGVEMCVDARTFGNDARFIRRSCTPNAEV RHMIADGMIHLCIYAVSAITKDAEVTIAFDYEYSNCNYKVDCACHKGNRNCPIQKRNPNA AELPLPPPPSFPTIGAETRRRKARRKELELEQQNEVPEENPDPQPQEVPEKVTVSNEHEE VDNPEEKPEEEEKEEATDDQENSAHSRRTREDRKVEAIMHAFESLEKRKKRRDQPVEQSS SDIEITTSSSEIVVGEETKTAAPESEVSSPVSNVAIPSTPQSTGVNTRRSSHAGDVAAEK PIPKPPPAKPSRPRPKSRISRYRTSSAQRLKRQKQAIAQQAELSQAALEEGGSNNSVTPP EAGNTDSSGENRQLTGSDPTVISVTGSHVNRAASKYPKTKKYLVTEWLNDKAEKQECPVE CPLRITTDPTVLATTLNMLPGLIHSPLICTTPKHYIRFGSPFMPERRRRPLLPDGTFSSC KKRWIKQALEEGMTQTSSVPQETRTQHLYQSNETSNSSSICKDNADLLSPLKKWKSRYLM EQNITKLLQPLSPVTPPPPSSGSKSPQLTTPGQTHPGEEECRNGYSLMFSPITSLTTASR SNTPLQFELCHRKDLDLTKVGFPDSSTHSCADRPSLLNCNHPDLASHPSVVPTSEAGFPS RSGDGPQTLLRNSDQAFRTEFNLMYAYSPLNAMPRADGLYRGSPLVGDRKPLHLDGGYCS PAEGFSSRYEHGFMKDLSRGSMSPGGERTCEGVPSAPQNPPQRKKVSLLEYRKRKQEAKE NSGGGNDSSQSKSKSSGAGQGSSNSVSDTGAHGVQGSSAGTPSSPHKKFSPSHSSASHLE AVSPSDSRGTSSSHCRPQENISSRWMVPTSVERLREGGSIPKVLRSSVRVAQKGEPSPTW ESNITEKESDPADGEGPEPLSSALSKGATVYSPSRYSYQLLQCDSPRTESQSLLQQSSSP FRGHPTQSPGYSYRTTALRPGNPPSHGSSESSLSSTSYPSPAHPVSTDSLAPFTGTPGYY SSQPHSGNSTGSNLPRRSCSSSAASPTPQGPSDSPTSDSVSQSSTGTLSSTSFPQNSRSS LPSDLRTISLPNAGQSAAYQASRVSAVSNSQHYPHRGSGGVHQYRLQPLQGSGVKTQTGL S >ENSMUSP00000108780.1 pep:known chromosome:GRCm38:6:113105037:113153435:1 gene:ENSMUSG00000034269.12 transcript:ENSMUST00000113155.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd5 description:SET domain containing 5 [Source:MGI Symbol;Acc:MGI:1920145] MSIAIPLGVTTPDTSYSDMAAGSDPESVEASPAVNEKSVYSTHNYGTTQRHGCRGLPYAD HNYGAPPPPTPPASPPVQTIIPRSDLNGLPSPVEERCGDSPNSEGETVPTWCPCGLSQDG FLLNCDKCRGMSRGKVIRLHRRKQDNISGGDSSATESWDEELSPSTVLYTATQHTPTSIT LTVRRTKPKKRKKSPEKGRAAPKTKKIKNSPSEAQNLDENTTEGWENRIRLWTDQYEEAF TNQYSADVQNALEQHLHSNKEFVGKPAILDTINKTELACNNTVIGSQMQLQLGRVTRVQK HRKILRAARDLALDTLIIEYRGKVMLRQQFEVNGHFFKKPYPFVLFYSKFNGVEMCVDAR TFGNDARFIRRSCTPNAEVRHMIADGMIHLCIYAVSAITKDAEVTIAFDYEYSNCNYKVD CACHKGNRNCPIQKRNPNAAELPLPPPPSFPTIGAETRRRKARRKELELEQQNEVPEENP DPQPQEVPEKVTVSNEHEEVDNPEEKPEEEEKEEATDDQENSAHSRRTREDRKVEAIMHA FESLEKRKKRRDQPVEQSSSDIEITTSSSEIVVGEETKTAAPESEVSSPVSNVAIPSTPQ STGVNTRRSSHAGDVAAEKPIPKPPPAKPSRPRPKSRISRYRTSSAQRLKRQKQAIAQQA ELSQAALEEGGSNNSVTPPEAGNTDSSGENRQLTGSDPTVISVTGSHVNRAASKYPKTKK YLVTEWLNDKAEKQECPVECPLRITTDPTVLATTLNMLPGLIHSPLICTTPKHYIRFGSP FMPERRRRPLLPDGTFSSCKKRWIKQALEEGMTQTSSVPQETRTQHLYQSNETSNSSSIC KDNADLLSPLKKWKSRYLMEQNITKLLQPLSPVTPPPPSSGSKSPQLTTPGQTHPGEEEC RNGYSLMFSPITSLTTASRSNTPLQFELCHRKDLDLTKVGFPDSSTHSCADRPSLLNCNH PDLASHPSVVPTSEAGFPSRSGDGPQTLLRNSDQAFRTEFNLMYAYSPLNAMPRADGLYR GSPLVGDRKPLHLDGGYCSPAEGFSSRYEHGFMKDLSRGSMSPGGERTCEGVPSAPQNPP QRKKVSLLEYRKRKQEAKENSGGGNDSSQSKSKSSGAGQGSSNSVSDTGAHGVQGSSAGT PSSPHKKFSPSHSSASHLEAVSPSDSRGTSSSHCRPQENISSRWMVPTSVERLREGGSIP KVLRSSVRVAQKGEPSPTWESNITEKESDPADGEGPEPLSSALSKGATVYSPSRYSYQLL QCDSPRTESQSLLQQSSSPFRGHPTQSPGYSYRTTALRPGNPPSHGSSESSLSSTSYPSP AHPVSTDSLAPFTGTPGYYSSQPHSGNSTGSNLPRRSCSSSAASPTPQGPSDSPTSDSVS QSSTGTLSSTSFPQNSRSSLPSDLRTISLPNAGQSAAYQASRVSAVSNSQHYPHRGSGGV HQYRLQPLQGSGVKTQTGLS >ENSMUSP00000108782.1 pep:known chromosome:GRCm38:6:113077639:113153424:1 gene:ENSMUSG00000034269.12 transcript:ENSMUST00000113157.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Setd5 description:SET domain containing 5 [Source:MGI Symbol;Acc:MGI:1920145] MSIAIPLGVTTPDTSYSDMAAGSDPESVEASPAVNEKSVYSTHNYGTTQRHGCRGLPYAD HNYGAPPPPTPPASPPVQTIIPRSDLNGLPSPVEERCGDSPNSEGETVPTWCPCGLSQDG FLLNCDKCRGMSRGKVIRLHRRKQDNISGGDSSATESWDEELSPSTVLYTATQHTPTSIT LTVRRTKPKKRKKSPEKGRAAPKTKKIKNSPSEAQNLDENTTEGWENRIRLWTDQYEEAF TNQYSADVQNALEQHLHSNKEFVGKPAILDTINKTELACNNTVIGSQMQLQLGRVTRVQK HRKILRAARDLALDTLIIEYRGKVMLRQQFEVNGHFFKKPYPFVLFYSKFNGVEMCVDAR TFGNDARFIRRSCTPNAEVRHMIADGMIHLCIYAVSAITKDAEVTIAFDYEYSNCNYKVD CACHKGNRNCPIQKRNPNAAELPLPPPPSFPTIGAETRRRKARRKELELEQQNEVPEENP DPQPQEVPEKVTVSNEHEEVDNPEEKPEEEEKEEATDDQENSAHSRRTREDRKVEAIMHA FESLEKRKKRRDQPVEQSSSDIEITTSSSEIVVGEETKTAAPESEVSSPVSNVAIPSTPQ STGVNTRRSSHAGDVAAEKPIPKPPPAKPSRPRPKSRISRYRTSSAQRLKRQKQAIAQQA ELSQAALEEGGSNNSVTPPEAGNTDSSGENRQLTGSDPTVISVTGSHVNRAASKYPKTKK YLVTEWLNDKAEKQECPVECPLRITTDPTVLATTLNMLPGLIHSPLICTTPKHYIRFGSP FMPERRRRPLLPDGTFSSCKKRWIKQALEEGMTQTSSVPQETRTQHLYQSNETSNSSSIC KDNADLLSPLKKWKSRYLMEQNITKLLQPLSPVTPPPPSSGSKSPQLTTPGQTHPGEEEC RNGYSLMFSPITSLTTASRSNTPLQFELCHRKDLDLTKVGFPDSSTHSCADRPSLLNCNH PDLASHPSVVPTSEAGFPSRSGDGPQTLLRNSDQAFRTEFNLMYAYSPLNAMPRADGLYR GSPLVGDRKPLHLDGGYCSPAEGFSSRYEHGFMKDLSRGSMSPGGERTCEGVPSAPQNPP QRKKVSLLEYRKRKQEAKENSGGGNDSSQSKSKSSGAGQGSSNSVSDTGAHGVQGSSAGT PSSPHKKFSPSHSSASHLEAVSPSDSRGTSSSHCRPQENISSRWMVPTSVERLREGGSIP KVLRSSVRVAQKGEPSPTWESNITEKESDPADGEGPEPLSSALSKGATVYSPSRYSYQLL QCDSPRTESQSLLQQSSSPFRGHPTQSPGYSYRTTALRPGNPPSHGSSESSLSSTSYPSP AHPVSTDSLAPFTGTPGYYSSQPHSGNSTGSNLPRRSCSSSAASPTPQGPSDSPTSDSVS QSSTGTLSSTSFPQNSRSSLPSDLRTISLPNAGQSAAYQASRVSAVSNSQHYPHRGSGGV HQYRLQPLQGSGVKTQTGLS >ENSMUSP00000146884.1 pep:known chromosome:GRCm38:7:28741992:28747029:1 gene:ENSMUSG00000070699.5 transcript:ENSMUST00000207877.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sars2 description:seryl-aminoacyl-tRNA synthetase 2 [Source:MGI Symbol;Acc:MGI:1919234] MAASMARLWWPFLARQGLRSRGRCVCSQNPRRSFATEKRVRNLLYEHAREGYSELPYLDM ESVCACPEKAARSLELRKGELRPADLPAIISTWQELRQLREQIRSLEAEKEAVAEAVRAL LCIDRTPSQSQDGCQQVRDKVYLQVFSKSKETIP >ENSMUSP00000092216.4 pep:known chromosome:GRCm38:7:28742002:28753871:1 gene:ENSMUSG00000070699.5 transcript:ENSMUST00000094632.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sars2 description:seryl-aminoacyl-tRNA synthetase 2 [Source:MGI Symbol;Acc:MGI:1919234] MAASMARLWWPFLARQGLRSRGRCVCSQNPRRSFATEKRVRNLLYEHAREGYSELPYLDM ESVCACPEKAARSLELRKGELRPADLPAIISTWQELRQLREQIRSLEAEKEAVAEAVRAL LANQDSDQVQKDPQYQGLRARGREIRKQLTPLYPQETQLEEQLYQQALRLPNQTHPDTPV GDESQARVVRVVGEKPAFSFQPRGHLEIGEKLDIIRQKRLSHVSGHRSYYLRGAGALLQH GLVNFTLSKLVSRGFTPMTVPDLLRGAVFEGCGMTPNANPSQIYNIDPSRFEDLNLAGTA EVGLAGYFMDHSVAFRDLPVRMVCASTCYRAETDTGKEPWGLYRVHHFTKVEMFGVTGPG LEQSSQLLDEFLSLQVEILTELGLHFRVLDMPTQELGLPAYRKFDIEAWMPGRGRYGEVT SASNCTDFQSRRLYIMFETETGELQFAHTVNATACAVPRVLIALLESNQQKDGSVLVPAA LQPYLGTDRITAPTHVPLQYIGPNQPQKPRLPGQSATR >ENSMUSP00000138320.1 pep:known chromosome:GRCm38:3:86070915:86130526:1 gene:ENSMUSG00000028082.13 transcript:ENSMUST00000182666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3d19 description:SH3 domain protein D19 [Source:MGI Symbol;Acc:MGI:1350923] MNIMNTEQSQNTIVSRIKAFEGQTNTEIPGLPKKPEIIPRTIPPKPAVSSGKPLVAPKPA ANRASGEWDTWAENRLKVTSREGLTPYSSPQEAGITPVTKPELPKKPTPGLTRSVNHETS GGRPMAESPDTGKKIPTPAPRPLLPKKSASTDAPPYPSIPPKLVSAPPRLSVASQAKAFR SLGEGLPSNPPVPAPQSKALGDIDLISFDDDVLPTSGSPAEEPTGSETVLDPFQLPTKTE ATKERAVQPAPTRKPTVIRIPAKPGKCLHEEPQSPPPLPAEKPVGNTHSAVSGRPSHSDR TRNPELEQASESGGLVQGPPRLPPRPVHGKVIPVWRPPPKGAPERPPPPKLPASKSSNKN LPFNRSSSDMDLQKKQSHFVSGLSKAKSQIFKNQDPVLPPRPKPGHPLYRKYMLSVPHGI ANEDIVSRNPTELSCKRGDVLVILKQAENNYLECQRGEGTGRVHPSQMKIVTPLDERPRG RPNDSGHSQKPVDSGAPHAVALHDFPAEQADDLSLTSGEIVYLLEKIDAEWYRGKCRNQT GVFPANYVKVIVDIPEGRSGKRESFSSHCAKGPRCVARFEYIGDQKDELSFSEGEVIILT EYVNEEWGRGEIRDRSGIFPLNFVELVGDHPTSGANILSTKVPPKTKNEDPGSNSQDSSP PGEWCKALHSFTAETSEDLPFKRGDRILILERLDSDWYRGRLHDREGIFPAVFVQPCPAE AKGVASAIPKGRKVKALYDFLGENEDELSFKAGDVITELEPIDDAWMRGELMGRAGMFPK NYVQFLQVS >ENSMUSP00000103291.2 pep:known chromosome:GRCm38:3:86084434:86130522:1 gene:ENSMUSG00000028082.13 transcript:ENSMUST00000107664.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3d19 description:SH3 domain protein D19 [Source:MGI Symbol;Acc:MGI:1350923] MNIMNTEQSQNTIVSRIKAFEGQTNTEIPGLPKKPEIIPRTIPPKPAVSSGKPLVAPKPA ANRASGEWDTWAENRLKVTSREGLTPYSSPQEAGITPVTKPELPKKPTPGLTRSVNHETS GGRPMAESPDTGKKIPTPAPRPLLPKKSASTDAPPYPSIPPKLVSAPPRLSVASQAKAFR SLGEGLPSNPPVPAPQSKALGDIDLISFDDDVLPTSGSPAEEPTGSETVLDPFQLPTKTE ATKERAVQPAPTRKPTVIRIPAKPGKCLHEEPQSPPPLPAEKPVGNTHSAVSGRPSHSDR TRNPELEQASESGGLVQGPPRLPPRPVHGKVIPVWRPPPKGAPERPPPPKLPASKSSNKN LPFNRSSSDMDLQKKQSHFVSGLSKAKSQIFKNQDPVLPPRPKPGHPLYRKYMLSVPHGI ANEDIVSRNPTELSCKRGDVLVILKQAENNYLECQRGEGTGRVHPSQMKIVTPLDERPRG RPNDSGHSQKPVDSGAPHAVALHDFPAEQADDLSLTSGEIVYLLEKIDAEWYRGKCRNQT GVFPANYVKVIVDIPEGRSGKRESFSSHCAKGPRCVARFEYIGDQKDELSFSEGEVIILT EYVNEEWGRGEIRDRSGIFPLNFVELVGDHPTSGANILSTKVPPKTKNEDPGSNSQDSSP PGEWCKALHSFTAETSEDLPFKRGDRILILERLDSDWYRGRLHDREGIFPAVFVQPCPAE AKGVASAIPKGRKVKALYDFLGENEDELSFKAGDVITELEPIDDAWMRGELMGRAGMFPK NYVQFLQVS >ENSMUSP00000116687.1 pep:known chromosome:GRCm38:11:109669749:109722279:-1 gene:ENSMUSG00000020614.13 transcript:ENSMUST00000155559.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam20a description:family with sequence similarity 20, member A [Source:MGI Symbol;Acc:MGI:2388266] MPGLRRDRLLALLLLGALFSADLYFHLWPQVQRQLRPGERPAACPCSGRAPSASLHSAAA SRDLGTASHNFSGALPRVEHPSRGHPAPRSKLQALFAHSLYQVLEDPPLLGPEDWLLASQ EALRYYRRKVARWNRRHKIYKEQFNLTSLDPPLQFRPEASWVQFHLGINSHGLYSRSSLA ISKLLHDMRHFPTISADYSQDEKALLGACDCSQIVKPSGVHLKLVLRFSDFGKAMFKPMR QQREEETPEDFFYFIDFQRHNAEIAAFHLDRILDFRRVPPTVGRLVNVTKEILEVTKNEI LQSVFFVSPANNVCFFAKCPYMCKTEYAVCGNPHLLEGSLSAFLPSLNLAPRLSVPNPWI RSYSLSGKEEWELNPLYCDTVKQIYPYNSSNRLLGIIDMAVFDFLIGNMDRHHYEMFTKF GDDGYLIHLDNARGFGRHSQDEISILAPLAQCCMIKRKTLLHLQLLAQADYRLSDVMRES LLEDQLSPVLTEPHLLALDRRLQIILKTVEDCIEAHGERRVIAEGSAQRSAPDSGQANLT S >ENSMUSP00000020938.7 pep:known chromosome:GRCm38:11:109672926:109722256:-1 gene:ENSMUSG00000020614.13 transcript:ENSMUST00000020938.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam20a description:family with sequence similarity 20, member A [Source:MGI Symbol;Acc:MGI:2388266] MPGLRRDRLLALLLLGALFSADLYFHLWPQVQRQLRPGERPAACPCSGRAPSASLHSAAA SRDLGTASHNFSGALPRVEHPSRGHPAPRSKLQALFAHSLYQVLEDPPLLGPEDWLLASQ EALRYYRRKVARWNRRHKIYKEQFNLTSLDPPLQFRPEASWVQFHLGINSHGLYSRSSLA ISKLLHDMRHFPTISADYSQDEKALLGACDCSQIVKPSGVHLKLVLRFSDFGKAMFKPMR QQREEETPEDFFYFIDFQRHNAEIAAFHLDRILDFRRVPPTVGRLVNVTKEILEVTKNEI LQSVFFVSPANNVCFFAKCPYMCKTEYAVCGNPHLLEGSLSAFLPSLNLAPRLSVPNPWI RSYSLSGKEEWELNPLYCDTVKQIYPYNSSNRLLGIIDMAVFDFLIGNMDRHHYEMFTKF GDDGYLIHLDNARGFGRHSQDEISILAPLAQCCMIKRKTLLHLQLLAQADYRLSDVMRES LLEDQLSPVLTEPHLLALDRRLQIILKTVEDCIEAHGERRVIAEGSAQRSAPDSGQANLT S >ENSMUSP00000043765.7 pep:known chromosome:GRCm38:3:126921612:126998462:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000044443.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] MTEVLDVSDEEGDDTVTGDGGEYLRPEDLKELGDDSLPSSQFLDGMNYLRYSLEGGRSDS LRSFSSDRSHTLSHASYLRDSAMIDDTVVIPSHQVSALAKEAERNSYRLSWGTENLDNVA LSSSPIHSGFLVSFMVDARGGAMRGCRHNGLRIIIPPRKCTAPTRVTCRLVKRHRLATMP PMVEGEGLASRLIEVGPSGAQFLGPVIVEIPHFAALRGKERELVVLRSENGDSWKEHFCD YTEDELNEILNGMDEVLDSPEDLEKKRICRIITRDFPQYFAVVSRIKQDSNLIGPEGGVL SSTVVSQVQAVFPEGALTKRIRVGLQAQPMHSELVKKILGNKATFSPIVTLEPRRRKFHK PITMTIPVPKASSDVMLNGFGGDAPTLRLLCSITGGTTPAQWEDITGTTPLTFVNECVSF TTNVSARFWLIDCRQIQESVAFASQVYREIICVPYMAKFVVFAKSHDPIEARLRCFCMTD DKVDKTLEQQENFSEVARSRDVEVLEGKPIYVDCFGNLVPLTKSGQHHIFSFFAFKENRL PLFVKVRDTTQEPCGRLSFMKEPKSTRGLVHQAICNLNITLPIYAKESESDQEPEEEIGM TSEKNPQDEQERMEERLAYIADHLGFSWTELARELDFTEEQIHQIRIENPNSLQDQSHAL LKYWLERDGKHATDTILIECLTKINRMDIVHLLETNTEPLQERMGRSYAEIEQTITLDHS EGFSVLPDELCAAKEKKEQEASKESESSDHPPMVSEEDISVGYSTFQDCLPKTEGDSPAA ALSPQMHQEPVQQDFSGKTQDQQEYYVTTPGAEVEDPQKATAVPDSLCKTPEDISTPPEG TKPCLQTPVTSERGSPIVQEPEEASEPKEESSPRKTSLVIVESTDDQSQVFERLDGDAAF QKGDDMPDIPPETVTEEEYVDENGHTVVKKVTRKIIRRYVSSDGTEKEEVTMQGMPQEPV NIEDGDNYSKVIKRVVLKSDTQQSEVTLSEPSVLSSTSQFQAEPVEGRRVSKVVKTTMVH GERMEKSLGDSSLATDLPSAKDDFEEDNNE >ENSMUSP00000138753.2 pep:known chromosome:GRCm38:3:126921614:126943386:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182078.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] RSSPEDVVVTNSSNRTVSGEESHCESHDLETESEQKSALWAAQSDAPPLAVAPTASDAAS VTGEQASKVIITKTDADADSWSEIREDDAAFEARVKEEEQKIFGLMVDRQSQGTTPDTTP ARTPTEEGTPTSEQNPFLFQEGKLFEMTRSGAIDMTKRPYADESLHFFQIGQESNEEAIS EDLKEGATGAEPPQTETTSESLELSEPKEAMDDEGELLPDDVSEEIEDLPASDANIDSQV IISASTETPTKEAVSTAVEEPPTTQRSDSLSTVKQTPRPAVPGPVGQLDFSPVTRSVYSG QDDESPESSPEEQKSVIEIPTAPVDNVPSAESKPQIPIRTLPTLVPAPPSAEDESAFSDD FPSSLDEDSKEGGAKPKSKIPVKAPTQRTEWQPSPTDIPLQKTAVPQGQETLSRAPDGRS KSESDASSLDAKTKCPVKARSYIETETESRERAEGFESESEDGATKPKLFASRLPVKSRS TSSSGRPGTSPTRESREHFFDLYRNSIEFFEEISDEASKLVDRLTQSEREQEPPSDDESS SALEVSVIESLPPVDIEHSAPEDIFDTRPIWDESIETMIERIPDENGHDRAEDPQDEQER MEERLAYIADHLGFSWTELARELDFTEEQIHQIRIENPNSLQDQSHALLKYWLERDGKHA TDTILIECLTKINRMDIVHLLETNTEPLQERMGRSYAEIEQTITLDHSEGFSVLPDELCA AKEKKEQEASKESESSDHPPMVSEEDISVGYSTFQDCLPKTEGDSPAAALSPQMHQEPVQ QDFSGKTQDQQEYYVTTPGAEVEDPQKATAVPDSLCKTPEDISTPPEGTKPCLQTPVTSE RGSPIVQEPEEASEPKEESSPRKTSLVIVESTDDQSQVFERLDGDAAFQKGDDMPDIPPE TVTEEEYVDENGHTVVKKVTRKIIRRYVSSDGTEKEEVTMQGMPQEPVNIEDGDNYSKVI KRVVLKSDTQQSEVTLSEPSVLSSTSQFQAEPVEGRRVSKVVKTTMVHGERMEKSLGDSS LATDLPSAKDDFEEDNNE >ENSMUSP00000138323.1 pep:known chromosome:GRCm38:3:126923912:126929812:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] XPQEPVNIEDGDNYSKVIKRVVLKSDTQQSEALGYTGSHMNVHLPSLVENEILKEDGSII KRTTMSKARTQRRAVVKDQQG >ENSMUSP00000138747.1 pep:known chromosome:GRCm38:3:126923913:126929812:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] XPQEPVNIEDGDNYSKVIKRVVLKSDTQQSEVTLSEPSVLSSTSQFQAEPVEGRRVSKVV KTTMVHGERMEKSLGDSSLATDLPSAKDDFEEALGYTGSHMNVHLPSLVENEILKEDGSI IKRTTMSKARTQRRAVVKDQQG >ENSMUSP00000138279.1 pep:known chromosome:GRCm38:3:126937767:126956074:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] XIQESVAFASQVYREIICVPYMAKFVVFAKSHDPIEARLRCFCMTDDKVDKTLEQQENFS EVARSRDVEVRDTTQEPCGRLSFMKEPKSTRGLVHQAICNLNITLPIYAKESESDQEPEE EIGMTSEKNPQDEQERMEERLAYIADHLGFSWTELARELDFTEEQIHQIRIENPNSLQDQ SHALLKY >ENSMUSP00000138157.1 pep:known chromosome:GRCm38:3:126940112:126955873:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182025.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] XEVLEGKPIYVDCFGNLVPLTKSGQHHIFSFFAFKENRLPLFVKVRDTTQEPCGRLSFMK EPKSTRGLVHQAICNLNITLPIYAKESESDQEPEEEIGMTSEKNRTWW >ENSMUSP00000138620.2 pep:known chromosome:GRCm38:3:126947112:127409059:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] MTTMLQKSDSNASFLRAARAGNLDKVVEYLKGGIDINTCNQNGLNALHLAAKEGHVGLVQ ELLGRGSSVDSATKKGNTALHIASLAGQAEVVKVLVKEGANINAQSQNGFTPLYMAAQEN HIDVVKYLLENGANQSTATEDGFTPLAVALQQGHNQAVAILLENDTKGKVRLPALHIAAR KDDTKSAALLLQNDHNADVQSKMMVNRTTESGFTPLHIAAHYGNVNVATLLLNRGAAVDF TARNGITPLHVASKRGNTNMVKLLLDRGGQIDAKTRDGLTPLHCAARSGHDQVVELLLER KAPLLARTKNGLSPLHMAAQGDHVECVKHLLQYKAPVDDVTLDYLTALHVAAHCGHYRVT KLLLDKRANPNARALNGFTPLHIACKKNRIKVMELLVKYGASIQAITESGLTPIHVAAFM GHLNIVLLLLQNGASPDVTNIRGETALHMAARAGQVEVVRCLLRNGALVDARAREEQTPL HIASRLGKTEIVQLLLQHMAHPDAATTNGYTPLHISAREGQVDVASVLLEAGAAHSLATK KGFTPLHVAAKYGSLDVAKLLLQRRAAADSAGKNGYTPLHIAAKKNQMQIASTLLNYGAE TNTVTKQGVTPLHLASQEGHTDMVTLLLDKGANIHMSTKSGLTSLHLAAQEDKVNVADIL TKHGADRDAYTKLGYTPLIVACHYGNVKMVNFLLKQGANVNAKTKNGYTPLHQAAQQGHT HIINVLLQHGAKPNATTANGNTALAIAKRLGYISVVDTLKVVTEEVTTTTTTITEKHKLN VPETMTEVLDVSDEEGDDTVTGDGGEYLRPEDLKELGDDSLPSSQFLDGMNYLRYSLEGG RSDSLRSFSSDRSHTLSHASYLRDSAMIDDTVVIPSHQVSALAKEAERNSYRLSWGTENL DNVALSSSPIHSGFLVSFMVDARGGAMRGCRHNGLRIIIPPRKCTAPTRVTCRLVKRHRL ATMPPMVEGEGLASRLIEVGPSGAQFLGPVIVEIPHFAALRGKERELVVLRSENGDSWKE HFCDYTEDELNEILNGMDEVLDSPEDLEKKRICRIITRDFPQYFAVVSRIKQDSNLIGPE GGVLSSTVVSQVQAVFPEGALTKRIRVGLQAQPMHSELVKKILGNKATFSPIVTLEPRRR KFHKPITMTIPVPKASSDVMLNGFGGDAPTLRLLCSITGGTTPAQWEDITGTTPLTFVNE CVSFTTNVSARFWLIDCRQIQESVAFASQVYREIICVPYMAKFVVFAKSHDPIEARLRCF CMTDDKVDKTLEQQENFSEVARSRDVEVLEGKPIYVDCFGNLVPLTKSGQHHIFSFFAFK ENRLPLFVKVRDTTQEPCGRLSFMKEPKSTRGLVHQAICNLNITLPIYAKESESDQEPEE EIGMTSEKNDETESTETSVLKSHLVNEVPVLASPDLLSEVSEMKQDLIKMTAILTTDVSD KAGSLKVKELAKAGEEEPGEPFEIVERVKEDLEKVNAILRSGTCMRDEGRARSSQSEREL EEEWVIVSDEEIQEAKQHAPVEIDEHPCIEVRVDRETKAKVEKDSTGLVNYLTDDLNSYT SPHEKKPHTAPEKSGETSQASAVGKSSESNKGKATSAEEKQSAQKQLKPGLAIKKPVRRK >ENSMUSP00000138781.2 pep:known chromosome:GRCm38:3:126959007:127499350:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182711.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] MASPTSPGPEGGACTPQNPPRIRQSDSNASFLRAARAGNLDKVVEYLKGGIDINTCNQNG LNALHLAAKEGHVGLVQELLGRGSSVDSATKKGNTALHIASLAGQAEVVKVLVKEGANIN AQSQNGFTPLYMAAQENHIDVVKYLLENGANQSTATEDGFTPLAVALQQGHNQAVAILLE NDTKGKVRLPALHIAARKDDTKSAALLLQNDHNADVQSKMMVNRTTESGFTPLHIAAHYG NVNVATLLLNRGAAVDFTARNGITPLHVASKRGNTNMVKLLLDRGGQIDAKTRDGLTPLH CAARSGHDQVVELLLERKAPLLARTKNGLSPLHMAAQGDHVECVKHLLQYKAPVDDVTLD YLTALHVAAHCGHYRVTKLLLDKRANPNARALNGFTPLHIACKKNRIKVMELLVKYGASI QAITESGLTPIHVAAFMGHLNIVLLLLQNGASPDVTNIRGETALHMAARAGQVEVVRCLL RNGALVDARAREEQTPLHIASRLGKTEIVQLLLQHMAHPDAATTNGYTPLHISAREGQVD VASVLLEAGAAHSLATKKGFTPLHVAAKYGSLDVAKLLLQRRAAADSAGKNGLTPLHVAA HYDNQKVALLLLEKGASPHATAKNGYTPLHIAAKKNQMQIASTLLNYGAETNTVTKQGVT PLHLASQEGHTDMVTLLLDKGANIHMSTKSGLTSLHLAAQEDKVNVADILTKHGADRDAY TKLGYTPLIVACHYGNVKMVNFLLKQGANVNAKTKNGYTPLHQAAQQGHTHIINVLLQHG AKPNATTANGNTALAIAKRLGYISVVDTLKVVTEEVTTTTTTITEKHKLNVPETMTEVLD VSDEEGDDTVTGDGGEYLRPEDLKELGDDSLPSSQFLDGMNYLRYSLEGGRSDSTIPSSD RSHTLSHASYLRDSAMIDDTVVIPSHQVSALAKEAERNSYRLSWGTENLDNVALSSSPIH SGFLVSFMVDARGGAMRGCRHNGLRIIIPPRKCTAPTRVTCRLVKRHRLATMPPMVEGEG LASRLIEVGPSGAQFLGKLHLPTAPPPLNEGESLVSRILQLGPPGTKFLGPVIVEIPHFA ALRGKERELVVLRSENGDSWKEHFCDYTEDELNEILNGMDEVLDSPEDLEKKRICRIITR DFPQYFAVVSRIKQDSNLIGPEGGVLSSTVVSQVQAVFPEGALTKRIRVGLQAQPMHSEL VKKILGNKATFSPIVTLEP >ENSMUSP00000138251.1 pep:known chromosome:GRCm38:3:126959839:127408998:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] MTTMLQKSDSNASFLRAARAGNLDKVVEYLKGGIDINTCNQNGLNALHLAAKEGHVGLVQ ELLGRGSSVDSATKKGNTALHIASLAGQAEVVKVLVKEGANINAQSQNGFTPLYMAAQEN HIDVVKYLLENGANQSTATEDGFTPLAVALQQGHNQAVAILLENDTKGKVRLPALHIAAR KDDTKSAALLLQNDHNADVQSKMMVNRTTESGFTPLHIAAHYGNVNVATLLLNRGAAVDF TARNGITPLHVASKRGNTNMVKLLLDRGGQIDAKTRDGLTPLHCAARSGHDQVVELLLER KAPLLARTKNGLSPLHMAAQGDHVECVKHLLQYKAPVDDVTLDYLTALHVAAHCGHYRVT KLLLDKRANPNARALNGFTPLHIACKKNRIKVMELLVKYGASIQAITESGLTPIHVAAFM GHLNIVLLLLQNGASPDVTNIRGETALHMAARAGQVEVVRCLLRNGALVDARAREEQTPL HIASRLGKTEIVQLLLQHMAHPDAATTNGYTPLHISAREGQVDVASVLLEAGAAHSLATK KGFTPLHVAAKYGSLDVAKLLLQRRAAADSAGKNGLTPLHVAAHYDNQKVALLLLEKGAS PHATAKNGYTPLHIAAKKNQMQIASTLLNYGAETNTVTKQGVTPLHLASQEGHTDMVTLL LDKGANIHMSTKSGLTSLHLAAQEDKVNVADILTKHGADRDAYTKLGYTPLIVACHYGNV KMVNFLLKQGANVNAKTKNGYTPLHQAAQQGHTHIINVLLQHGAKPNATTANGNTALAIA KRLGYISVVDTLKVVTEEVTTTTTTITEKHKLNVPETMTEVLDVSDEEGDDTVTGDGGEY LRPEDLKELGDDSLPSSQFLDGMNYLRYSLEGGRSDSLRSFSSDRSHTLSHASYLRDSAM IDDTVVIPSHQVSALAKEAERNSYRLSWGTENLDNVALSSSPIHSGFLVSFMVDARGGAM RGCRHNGLRIIIPPRKCTAPTRVTCRLVKRHRLATMPPMVEGEGLASRLIEVGPSGAQFL GKLHLPTAPPPLNEGESLVSRILQLGPPGTKFLGPVIVEIPHFAALRGKERELVVLRSEN GDSWKEHFCDYTEDELNEILNGMDEVLDSPEDLE >ENSMUSP00000138730.1 pep:known chromosome:GRCm38:3:127024774:127409031:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182008.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] MTTMLQKSQNKCESQTTCNEVTQSSCIQRKDPNGVHPDDQSDSNASFLRAARAGNLDKVV EYLKGGIDINTCNQNGLNALHLAAKEGHVGLVQELLGRGSSVDSATKKGNTALHIASLAG QAEVVKVLVKEGANINAQSQNGFTPLYMAAQENHIDVVKYLLENGANQSTATEDGFTPLA VALQQGHNQAVAILLENDTKGKVRLPALHIAARKDDTKSAALLLQNDHNADVQSKSGFTP LHIAAHYGNVNVATLLLNRGAAVDFTARNGITPLHVASKRGNTNMVKLLLDRGGQIDAKT RDGLTPLHCAARSGHDQVVELLLERKAPLLARTKNGLSPLHMAAQGDHVECVKHLLQYKA PVDDVTLDYLTALHVAAHCGHYRVTKLLLDKRANPNARALNGFTPLHIACKKNRIKVMEL LVKYGASIQAITESGLTPIHVAAFMGHLNIVLLLLQNGASPDVTNIMQPKWESMSLSAEP V >ENSMUSP00000138602.1 pep:known chromosome:GRCm38:3:127024844:127409031:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182547.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] MTTMLQKSQNKCESQTTCNEVTQSSCIQRKDPNGVHPDDQSDSNASFLRAARAGNLDKVV EYLKGGIDINTCNQNGLNALHLAAKEGHVGLVQELLGRGSSVDSATKKGNTALHIASLAG QAEVVKVLVKEGANINAQSQNGFTPLYMAAQENHIDVVKYLLENGANQSTATEDGFTPLA VALQQGHNQAVAILLENDTKGKVRLPALHIAARKDDTKSAALLLQNDHNADVQSKMMVNR TTESGFTPLHIAAHYGNVNVATLLLNRGAAVDFTARNGITPLHVASKRGNTNMVKLLLDR GGQIDAKTRDGLTPLHCAARSGHDQVVELLLERKAPLLARTKNGLSPLHMAAQGDHVECV KHLLQYKAPVDDVTLDYLTALHVAAHCGHYRVTKLLLDKRANPNARALNGFTPLHIACKK NRIKVMELLVKYGASIQAITESGLTPIHVAAFMGHLNIVLLLLQNGASPDVTNIMQPKWE SMSLSAEPV >ENSMUSP00000138089.1 pep:known chromosome:GRCm38:3:127031173:127162346:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182994.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] MAHAAASIKKVREAELDEKEKNLDRERKKQRKIPRDRMERKRKSDSNASFLRAARAGNLD KVVEYLKGGIDINTCNQNGLNALHLAAKEGHVGLVQELLGRGSSVDSATKKGNTALHIAS LAGQAEVVKVLVKEGANINAQSQNGFTPLYMAAQENHIDVVKYLLENGANQSTATEDGFT PLAVALQQGHNQAVAILLENDTKGKVRLPALHIAARKDDTKSAALLLQNDHNADVQSKMM VNRTTESGFTPLHIAAHYGNVNVATLLLNRGAAVDFTARNGITPLHVASKRGNTNMVKLL LDRGGQIDAKTRDGLTPLHCAARSGHDQVVELLLERKAPLLARTKNGLSPLHMAAQGDHV ECVKHLLQYKAPVDDVTLDYLTALHVAAHCGHYRVTKLLLDKRANPNARALVNLAQSTFT QCSKTTLLGQRHLHQCKSMDLWLITAKLFPPLNH >ENSMUSP00000138231.1 pep:known chromosome:GRCm38:3:127031173:127408967:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182610.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] MTTMLQKSDSNASFLRAARAGNLDKVVEYLKGGIDINTCNQNGLNALHLAAKEGHVGLVQ ELLGRGSSVDSATKKGNTALHIASLAGQAEVVKVLVKEGANINAQSQNGFTPLYMAAQEN HIDVVKYLLENGANQSTATEDGFTPLAVALQQGHNQAVAILLENDTKGKVRLPALHIAAR KDDTKSAALLLQNDHNADVQSKMMVNRTTESGFTPLHIAAHYGNVNVATLLLNRGAAVDF TARNGITPLHVASKRGNTNMVKLLLDRGGQIDAKTRDGLTPLHCAARSGHDQVVELLLER KAPLLARTKNGLSPLHMAAQGDHVECVKHLLQYKAPVDDVTLDYLTALHVAAHCGHYRVT KLLLDKRANPNARALVNLAQSTFTQCSKTTLLGQRHLHQCKSMDLWLITAKLFPPLNH >ENSMUSP00000138373.2 pep:known chromosome:GRCm38:3:127077514:127225933:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000182452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] MMNEDAAQKSDSGEKFNGSSQRRKRPKKSDSNASFLRAARAGNLDKVVEYLKGGIDINTC NQNGLNALHLAAKEGHVGLVQELLGRGSSVDSATKKGNTALHIASLAGQAEVVKVLVKEG ANIN >ENSMUSP00000138487.2 pep:known chromosome:GRCm38:3:127079945:127408954:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000183095.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] MTTMLQKSDSNASFLRAARAGNLDKVVEYLKGGIDINTCNQQWKSNRSMWTKETKSQLDV IVHAFNLSIWETENGLNALHLAAKEGHVGL >ENSMUSP00000140700.1 pep:known chromosome:GRCm38:3:127498663:127499001:-1 gene:ENSMUSG00000032826.16 transcript:ENSMUST00000189368.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ank2 description:ankyrin 2, brain [Source:MGI Symbol;Acc:MGI:88025] SSSRLALVAGPARSPLGAPWPHGTSRRGRWARAAGLHGRSRPRPTFCGRLEARGSPGEPE RRRPGAEETRTRGTAGLDRGKEFRERGHGFSHLPWPGGRGLHPAEPPADPSGG >ENSMUSP00000055353.1 pep:known chromosome:GRCm38:7:11756257:11757168:1 gene:ENSMUSG00000051687.1 transcript:ENSMUST00000055070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r73 description:vomeronasal 1 receptor 73 [Source:MGI Symbol;Acc:MGI:2159641] MASEDFAMGIFLFSQTTVGMLGNSSILFYYIILISTGKPLMPKDVIIEHLTFANCLSVIS KGIPQTLLNYGFKDFLDDIGCKLINYVYRITRGMSLYSMCILSCFQAITISPNNYRWVTL KQRATKYIGPSCSVGWLVHLFLNIMIPLRVSGPRYNKNVTKWEIYEYCSWFTFGNVGAAL YMFLLCFSDGLCLGLMTCSSVSMVSILYRHKRQVKYIHSSQHFLNASPENRATQSILILV CTFVISYLFSSIMVIFMSYFKYPMLLRVSVFTFLETCFPIICPFVLMSNIKPSFSLFLSC FCK >ENSMUSP00000032476.4 pep:known chromosome:GRCm38:6:122727809:122742745:-1 gene:ENSMUSG00000003153.10 transcript:ENSMUST00000032476.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a3 description:solute carrier family 2 (facilitated glucose transporter), member 3 [Source:MGI Symbol;Acc:MGI:95757] MGTTKVTPSLVFAVTVATIGSFQFGYNTGVINAPETILKDFLNYTLEERLEDLPSEGLLT ALWSLCVAIFSVGGMIGSFSVGLFVNRFGRRNSMLLVNLLAIIAGCLMGFAKIAESVEML ILGRLLIGIFCGLCTGFVPMYIGEVSPTALRGAFGTLNQLGIVVGILVAQIFGLDFILGS EELWPGLLGLTIIPAILQSAALPFCPESPRFLLINKKEEDQATEILQRLWGTSDVVQEIQ EMKDESVRMSQEKQVTVLELFRSPNYVQPLLISIVLQLSQQLSGINAVFYYSTGIFKDAG VQEPIYATIGAGVVNTIFTVVSLFLVERAGRRTLHMIGLGGMAVCSVFMTISLLLKDDYE AMSFVCIVAILIYVAFFEIGPGPIPWFIVAELFSQGPRPAAIAVAGCCNWTSNFLVGMLF PSAAAYLGAYVFIIFAAFLIFFLIFTFFKVPETKGRTFEDIARAFEGQAHSGKGPAGVEL NSMQPVKETPGNA >ENSMUSP00000132586.1 pep:known chromosome:GRCm38:6:122729931:122742390:-1 gene:ENSMUSG00000003153.10 transcript:ENSMUST00000166135.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc2a3 description:solute carrier family 2 (facilitated glucose transporter), member 3 [Source:MGI Symbol;Acc:MGI:95757] MGTTKVTPSLVFAVTVATIGSFQFGYNTGVINAPETTQLYASSQLAGHHCGLPYGIRQDS GVC >ENSMUSP00000129925.1 pep:known chromosome:GRCm38:6:122737085:122801640:-1 gene:ENSMUSG00000003153.10 transcript:ENSMUST00000165884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a3 description:solute carrier family 2 (facilitated glucose transporter), member 3 [Source:MGI Symbol;Acc:MGI:95757] MESSKHNVTPSLVFAVTVATIGSFQFGYNTGVINAPETILKDFLNYTLEERLEDLPSEGL LTALWSLCVAIFSVGGMIGSFSVGLFVNRFGRRNSMLLVNLLAIIAGCLMGFAKIAESVE MLILGRLLIGIFCGLCTGFVPMYIGEVSPTALRGAFGTLNQLG >ENSMUSP00000131615.1 pep:known chromosome:GRCm38:6:122737278:122779957:-1 gene:ENSMUSG00000003153.10 transcript:ENSMUST00000171541.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc2a3 description:solute carrier family 2 (facilitated glucose transporter), member 3 [Source:MGI Symbol;Acc:MGI:95757] MHHLSNCKCAGDPISGVRRDCCHDRLFPVWLQHWSHQCT >ENSMUSP00000128076.1 pep:known chromosome:GRCm38:6:122739833:122742262:-1 gene:ENSMUSG00000003153.10 transcript:ENSMUST00000170724.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a3 description:solute carrier family 2 (facilitated glucose transporter), member 3 [Source:MGI Symbol;Acc:MGI:95757] MRAKRVTPSLVFAVTVATIGSFQFGYNTGVINAPETILKDFLNYTLEERLEDLPSEGLLT ALWSLCVAIFSVGGMIGSFSVGLFVNRFG >ENSMUSP00000129604.1 pep:known chromosome:GRCm38:6:122739892:122742920:-1 gene:ENSMUSG00000003153.10 transcript:ENSMUST00000168801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a3 description:solute carrier family 2 (facilitated glucose transporter), member 3 [Source:MGI Symbol;Acc:MGI:95757] MGTTKVTPSLVFAVTVATIGSFQFGYNTGVINAPETILKDFLNYTLEERLEDLPSEGLLT ALWSLCVAIF >ENSMUSP00000088009.4 pep:known chromosome:GRCm38:16:3861528:3872396:-1 gene:ENSMUSG00000039789.7 transcript:ENSMUST00000090522.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp597 description:zinc finger protein 597 [Source:MGI Symbol;Acc:MGI:1918313] MASTLPTSDEQRPLLFEDLDVYFSQEECVSLHPAQKTLSREAPLKSFENLDSIGGEDQTE SSQELSLEPVELEELSLEKDSIAAPVVHYSEQSEQDDEILEDKMSGGTLTCKTKLISLLV TIDNQTPLVELSQCLGVKTLSDIIEVPWEEAKNVYKCPDCDQSFSDNTYLVLHQKIHLRE KKYKCSTCEKTFSHRTNLRTHKRIHTGEKPYKCTECAASFRQQSHLSRHMNSHLKEKLYT CSVCGRGFMWLPGLAEHQKSHTDKKSYECADHNREANLALPEERGSSDTLPQHTHCVKTL EQPSDPTLPEKDHKEDSKNCSIDDEDFFSFSRFKPLQCLDCDMTFPCFSELVSHQTIHDL EKPHKCKTCTKTFAFESELVSHEKSHRREEPFKCTVCGKSFSVSMQLVTHKRAHRRNSK >ENSMUSP00000116259.1 pep:known chromosome:GRCm38:17:28587648:28622521:-1 gene:ENSMUSG00000004865.15 transcript:ENSMUST00000130643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpk1 description:serine/arginine-rich protein specific kinase 1 [Source:MGI Symbol;Acc:MGI:106908] MERKVLALQARKKRTKAKKDKAQRKPETQHRGSAPHSESDIPEQEEEILGSDDDEQEDPN DYCKGGYHLVKIGDLFNGRYHVIRKLGWGHFSTVWLSWDIQGKKFVAMKVVKSAEHYTET ALDEIRLLKSVRNSDPNDPNGEMVVQLLDDFKISGVNGTHICMVFEVLGHHLLKWIIKSN YQGLPLPCVKKIIQQVLQGLDYLHTKCRIIHTDIKPENILLSVNEQYIRRLAAEATEWQR SGAPPPSGSAVSTAPQPKPADKMSKNKKKKLKKKQKRQAELLEKRMQEIEEMEKESGPGQ KRPNKQEESESPVDRPLTENPPNKMTQEKLEESNSIGQDQTLTERGGEGGAPEINCNGVI GVVNYPENSNNETLRHKEDLHNANDCDVHTLKQEPSFLNSSNGDSSPSQDTDSCTPTASE TMVCQSSAEQSLTRQDITQLEESIRADTPSGDEQEPNGALDSKGKFSAGNFLINPLEPKN AEKLQVKIADLGNACWVHKHFTEDIQTRQYRSLEVLIGSGYNTPADIWSTACMAFELATG DYLFEPHSGEDYTRDEDHIALIIELLGKVPRKLIVAGKYSKEFFTKKGDLKHITKLKPWG LLEVLVEKYEWPQEEAAGFTDFLLPMLELMPEKRATAAECLRHPWLNS >ENSMUSP00000004987.9 pep:known chromosome:GRCm38:17:28589591:28607169:-1 gene:ENSMUSG00000004865.15 transcript:ENSMUST00000004987.15 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srpk1 description:serine/arginine-rich protein specific kinase 1 [Source:MGI Symbol;Acc:MGI:106908] GDLFNGRYHVIRKLGWGHFSTVWLSWDIQGKKFVAMKVVKSAEHYTETALDEIRLLKSVR NSDPNDPNGEMVVQLLDDFKISGVNGTHICMVFEVLGHHLLKWIIKSNYQGLPLPCVKKI IQQVLQGLDYLHTKCRIIHTDIKPENILLSVNEQYIRRLAAEATEWQRSGAPPPSGSAVS TAPQPKPKSQIPLARTRHSRSVVERVVRQRLIAME >ENSMUSP00000110415.1 pep:known chromosome:GRCm38:17:28620143:28622472:-1 gene:ENSMUSG00000004865.15 transcript:ENSMUST00000114767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpk1 description:serine/arginine-rich protein specific kinase 1 [Source:MGI Symbol;Acc:MGI:106908] MERKVLALQARKKRTKAKKDKAQRNPGSPGTPSVD >ENSMUSP00000057607.5 pep:known chromosome:GRCm38:3:70007613:70028708:1 gene:ENSMUSG00000027788.6 transcript:ENSMUST00000053013.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otol1 description:otolin 1 homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:2685260] MWIFSSLCAVLTILAMDDVATEAKTTPYTKFTKKSEGKEMLKGLKPSSGFFLDGEETVHT ETAAMAEPTTGSPALAMAESTAGPSASATTRLLPFESFSLDTTGFVLNCCHCCSFVTGQK GEPGKMGKQGPKGETGDTGSPGHPGTTGPQGPKGQKGEKGLKGDRGDQGAGGIPGYPGKP GEQGALGPKGDKGTIGPAGTKGQKGSKGELCGNGTKGEKGDPGASGAHGFIGEPGAKGEK GGVGEKGYRGDLGERGEKGQKGEKGMEGEKGSRGDVGSEGKRGSDGLPGLRGDSGPKGEK GEIGSPGFTGPAGPKGELGSKGVRGPTGKKGSRGVKGSKGEATQVPQSAFSALLSKPFPP PNVPIKFDKILSNDQGDYSPVTGKFNCSVPGTYIFSYHVTVRGRPARISLVARNRKQFKS RETLYGQQVDQASLLLILKLSAGDQVWLEVSKDWNGLYVGPEDDSIFSGFLLYPEETFSK SP >ENSMUSP00000075079.1 pep:known chromosome:GRCm38:X:69945300:69945978:1 gene:ENSMUSG00000059690.1 transcript:ENSMUST00000075654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700020N15Rik description:RIKEN cDNA 1700020N15 gene [Source:MGI Symbol;Acc:MGI:1922759] MGLINTKLQEFHMAESKSATTQAVKKNEKFKYKVKETKKPVFMNIFNQATVKKPLPPRAQ TPLVKVKKERKPQRLCLYHRVNEKPNQDVQYDLDKSEDSSTSSTTSYELDSQ >ENSMUSP00000021921.5 pep:known chromosome:GRCm38:13:63508328:63565735:-1 gene:ENSMUSG00000021466.11 transcript:ENSMUST00000021921.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptch1 description:patched homolog 1 [Source:MGI Symbol;Acc:MGI:105373] MASAGNAAGALGRQAGGGRRRRTGGPHRAAPDRDYLHRPSYCDAAFALEQISKGKATGRK APLWLRAKFQRLLFKLGCYIQKNCGKFLVVGLLIFGAFAVGLKAANLETNVEELWVEVGG RVSRELNYTRQKIGEEAMFNPQLMIQTPKEEGANVLTTEALLQHLDSALQASRVHVYMYN RQWKLEHLCYKSGELITETGYMDQIIEYLYPCLIITPLDCFWEGAKLQSGTAYLLGKPPL RWTNFDPLEFLEELKKINYQVDSWEEMLNKAEVGHGYMDRPCLNPADPDCPATAPNKNST KPLDVALVLNGGCQGLSRKYMHWQEELIVGGTVKNATGKLVSAHALQTMFQLMTPKQMYE HFRGYDYVSHINWNEDRAAAILEAWQRTYVEVVHQSVAPNSTQKVLPFTTTTLDDILKSF SDVSVIRVASGYLLMLAYACLTMLRWDCSKSQGAVGLAGVLLVALSVAAGLGLCSLIGIS FNAATTQVLPFLALGVGVDDVFLLAHAFSETGQNKRIPFEDRTGECLKRTGASVALTSIS NVTAFFMAALIPIPALRAFSLQAAVVVVFNFAMVLLIFPAILSMDLYRREDRRLDIFCCF TSPCVSRVIQVEPQAYTEPHSNTRYSPPPPYTSHSFAHETHITMQSTVQLRTEYDPHTHV YYTTAEPRSEISVQPVTVTQDNLSCQSPESTSSTRDLLSQFSDSSLHCLEPPCTKWTLSS FAEKHYAPFLLKPKAKVVVILLFLGLLGVSLYGTTRVRDGLDLTDIVPRETREYDFIAAQ FKYFSFYNMYIVTQKADYPNIQHLLYDLHKSFSNVKYVMLEENKQLPQMWLHYFRDWLQG LQDAFDSDWETGRIMPNNYKNGSDDGVLAYKLLVQTGSRDKPIDISQLTKQRLVDADGII NPSAFYIYLTAWVSNDPVAYAASQANIRPHRPEWVHDKADYMPETRLRIPAAEPIEYAQF PFYLNGLRDTSDFVEAIEKVRVICNNYTSLGLSSYPNGYPFLFWEQYISLRHWLLLSISV VLACTFLVCAVFLLNPWTAGIIVMVLALMTVELFGMMGLIGIKLSAVPVVILIASVGIGV EFTVHVALAFLTAIGDKNHRAMLALEHMFAPVLDGAVSTLLGVLMLAGSEFDFIVRYFFA VLAILTVLGVLNGLVLLPVLLSFFGPCPEVSPANGLNRLPTPSPEPPPSVVRFAVPPGHT NNGSDSSDSEYSSQTTVSGISEELRQYEAQQGAGGPAHQVIVEATENPVFARSTVVHPDS RHQPPLTPRQQPHLDSGSLSPGRQGQQPRRDPPREGLRPPPYRPRRDAFEISTEGHSGPS NRDRSGPRGARSHNPRNPTSTAMGSSVPSYCQPITTVTASASVTVAVHPPPGPGRNPRGG PCPGYESYPETDHGVFEDPHVPFHVRCERRDSKVEVIELQDVECEERPWGSSSN >ENSMUSP00000141766.1 pep:known chromosome:GRCm38:13:63508329:63573460:-1 gene:ENSMUSG00000021466.11 transcript:ENSMUST00000194663.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptch1 description:patched homolog 1 [Source:MGI Symbol;Acc:MGI:105373] MGKATGRKAPLWLRAKFQRLLFKLGCYIQKNCGKFLVVGLLIFGAFAVGLKAANLETNVE ELWVEVGGRVSRELNYTRQKIGEEAMFNPQLMIQTPKEEGANVLTTEALLQHLDSALQAS RVHVYMYNRQWKLEHLCYKSGELITETGYMDQIIEYLYPCLIITPLDCFWEGAKLQSGTA YLLGKPPLRWTNFDPLEFLEELKKINYQVDSWEEMLNKAEVGHGYMDRPCLNPADPDCPA TAPNKNSTKPLDVALVLNGGCQGLSRKYMHWQEELIVGGTVKNATGKLVSAHALQTMFQL MTPKQMYEHFRGYDYVSHINWNEDRAAAILEAWQRTYVEVVHQSVAPNSTQKVLPFTTTT LDDILKSFSDVSVIRVASGYLLMLAYACLTMLRWDCSKSQGAVGLAGVLLVALSVAAGLG LCSLIGISFNAATTQVLPFLALGVGVDDVFLLAHAFSETGQNKRIPFEDRTGECLKRTGA SVALTSISNVTAFFMAALIPIPALRAFSLQAAVVVVFNFAMVLLIFPAILSMDLYRREDR RLDIFCCFTSPCVSRVIQVEPQLRPRNPYHYAVHRSAPHRV >ENSMUSP00000141489.1 pep:known chromosome:GRCm38:13:63508336:63564535:-1 gene:ENSMUSG00000021466.11 transcript:ENSMUST00000192155.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptch1 description:patched homolog 1 [Source:MGI Symbol;Acc:MGI:105373] MFNPQLMIQTPKEEGANVLTTEALLQHLDSALQASRVHVYMYNRQWKLEHLCYKSGELIT ETGYMDQIIEYLYPCLIITPLDCFWEGAKLQSGTAYLLGKPPLRWTNFDPLEFLEELKKI NYQVDSWEEMLNKAEVGHGYMDRPCLNPADPDCPATAPNKNSTKPLDVALVLNGGCQGLS RKYMHWQEELIVGGTVKNATGKLVSAHALQTMFQLMTPKQMYEHFRGYDYVSHINWNEDR AAAILEAWQRTYVEVVHQSVAPNSTQKVLPFTTTTLDDILKSFSDVSVIRVASGYLLMLA YACLTMLRWDCSKSQGAVGLAGVLLVALSVAAGLGLCSLIGISFNAATTQVLPFLALGVG VDDVFLLAHAFSETGQNKRIPFEDRTGECLKRTGASVALTSISNVTAFFMAALIPIPALR AFSLQAAVVVVFNFAMVLLIFPAILSMDLYRREDRRLDIFCCFTSPCVSRVIQVEPQAYT EPHSNTRYSPPPPYTSHSFAHETHITMQSTVQLRTEYDPHTHVYYTTAEPRSEISVQPVT VTQDNLSCQSPESTSSTRDLLSQFSDSSLHCLEPPCTKWTLSSFAEKHYAPFLLKPKAKV VVILLFLGLLGVSLYGTTRVRDGLDLTDIVPRETREYDFIAAQFKYFSFYNMYIVTQKAD YPNIQHLLYDLHKSFSNVKYVMLEENKQLPQMWLHYFRDWLQGLQDAFDSDWETGRIMPN NYKNGSDDGVLAYKLLVQTGSRDKPIDISQLTKQRLVDADGIINPSAFYIYLTAWVSNDP VAYAASQANIRPHRPEWVHDKADYMPETRLRIPAAEPIEYAQFPFYLNGLRDTSDFVEAI EKVRVICNNYTSLGLSSYPNGYPFLFWEQYISLRHWLLLSISVVLACTFLVCAVFLLNPW TAGIIVMVLALMTVELFGMMGLIGIKLSAVPVVILIASVGIGVEFTVHVALAFLTAIGDK NHRAMLALEHMFAPVLDGAVSTLLGVLMLAGSEFDFIVRYFFAVLAILTVLGVLNGLVLL PVLLSFFGPCPEVSPANGLNRLPTPSPEPPPSVVRFAVPPGHTNNGSDSSDSEYSSQTTV SGISEELRQYEAQQGAGGPAHQVIVEATENPVFARSTVVHPDSRHQPPLTPRQQPHLDSG SLSPGRQGQQPRRDPPREGLRPPPYRPRRDAFEISTEGHSGPSNRDRSGPRGARSHNPRN PTSTAMGSSVPSYCQPITTVTASASVTVAVHPPPGPGRNPRGGPCPGYESYPETDHGVFE DPHVPFHVRCERRDSKVEVIELQDVECEERPWGSSSN >ENSMUSP00000141309.1 pep:known chromosome:GRCm38:13:63518069:63563815:-1 gene:ENSMUSG00000021466.11 transcript:ENSMUST00000195258.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptch1 description:patched homolog 1 [Source:MGI Symbol;Acc:MGI:105373] MFNPQLMIQTPKEEGANVLTTEALLQHLDSALQASRVHVYMYNRQWKLEHLCYKSGELIT ETGYMDQIIEYLYPCLIITPLDCFWEGAKLQSGTAYLLGKPPLRWTNFDPLEFLEELKKI NYQVDSWEEMLNKAEVGHGYMDRPCLNPADPDCPATAPNKNSTKPLDVALVLNGGCQGLS RKYMHWQEELIVGGTVKNATGKLVSAHALQTMFQLMTPKQMYEHFRGYDYVSHINWNEDR AAAILEAWQRTYVEVVHQSVAPNSTQKVLPFTTTTLDDILKSFSDVSVIRVASGYLLMLA YACLTMLRWDCSKSQGAVGLAGVLLVALSVAAGLGLCSLIGISFNAATTQVLPFLALGVG VDDVFLLAHAFSETGQNKRIPFEDRTGECLKRTGASVALTSISNVTAFFMAALIPIPALR AFSLQY >ENSMUSP00000141990.1 pep:known chromosome:GRCm38:13:63543176:63564326:-1 gene:ENSMUSG00000021466.11 transcript:ENSMUST00000195756.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptch1 description:patched homolog 1 [Source:MGI Symbol;Acc:MGI:105373] MFNPQLMIQTPKEEGANVLTTEALLQHLDSALQASRVHVYMYNRQWKLEHLCYKSGELIT ETGYMDQIIEYLYPCLIITPLDCFWEGAKLQSGTAYLLGKPPLRWTNFDPLEFLEELKKI NYQVDSWEEMLNKAEVGHGYMDRPCLNPADPDCPATAPNKNSTKPLDVALVLNG >ENSMUSP00000142036.1 pep:known chromosome:GRCm38:13:63543176:63565274:-1 gene:ENSMUSG00000021466.11 transcript:ENSMUST00000193953.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptch1 description:patched homolog 1 [Source:MGI Symbol;Acc:MGI:105373] MFNPQLMIQTPKEEGANVLTTEALLQHLDSALQASRVHVYMYNRQWKLEHLCYKSGELIT ETGYMDQIIEYLYPCLIITPLDCFWEGAKLQSGTAYLLGKPPLRWTNFDPLEFLEELKKI NYQVDSWEEMLNKAEVGHGYMDRPCLNPADPDCPATAPNKNSTKPLDVALVLNG >ENSMUSP00000141298.1 pep:known chromosome:GRCm38:13:63543176:63573598:-1 gene:ENSMUSG00000021466.11 transcript:ENSMUST00000195106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptch1 description:patched homolog 1 [Source:MGI Symbol;Acc:MGI:105373] MDLLNRNRLVIVSPRRTPPKACGGPARRGFYTFRSFCKDGGGGEDEDEDGGGGKREGDRA DKETRGDRGKATGRKAPLWLRAKFQRLLFKLGCYIQKNCGKFLVVGLLIFGAFAVGLKAA NLETNVEELWVEVGGRVSRELNYTRQKIGEEAMFNPQLMIQTPKEEGANVLTTEALLQHL DSALQASRVHVYMYNRQWKLEHLCYKSGELITETGYMDQIIEYLYPCLIITPLDCFWEGA KLQSGTAYLLGKPPLRWTNFDPLEFLEELKKINYQVDSWEEMLNKAEVGHGYMDRPCLNP ADPDCPATAPNKNSTKPLDVALVLNG >ENSMUSP00000138478.1 pep:known chromosome:GRCm38:17:21730795:21745061:1 gene:ENSMUSG00000061544.13 transcript:ENSMUST00000182827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp229 description:zinc finger protein 229 [Source:MGI Symbol;Acc:MGI:2679295] MAGSPVNTFQGLLTFRDVAVDFSQEEWECLDSAQRALYIGVMLENYSNLVSVGIIVCKAD LVPHLEQIYIPWNVKIKEMVAKPGRESYRM >ENSMUSP00000138265.1 pep:known chromosome:GRCm38:17:21733724:21769342:1 gene:ENSMUSG00000061544.13 transcript:ENSMUST00000183192.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp229 description:zinc finger protein 229 [Source:MGI Symbol;Acc:MGI:2679295] MAGSPVNTFQGLLTFRDVAVDFSQEEWECLDSAQRALYIGVMLENYSNLVSVGIIVCKAD LVPHLEQIYIPWNVKIKEMVAKPGRGAM >ENSMUSP00000138107.1 pep:known chromosome:GRCm38:17:21735912:21748969:1 gene:ENSMUSG00000061544.13 transcript:ENSMUST00000182603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp229 description:zinc finger protein 229 [Source:MGI Symbol;Acc:MGI:2679295] MAGSPVNTFQGLLTFRDVAVDFSQEEWECLDSAQRALYIGVMLENYSNLVSVGIIVCKAD LVPHLEQIYIPWNVKIKEMVAKPGRESYRMCSESEIVFYQDSKNIIYEHVDIQWKSSECN EIGKMLHESSGGWILCKTNNTPEICNKYRFDNHKDAYIESTNLSRHKSMHTEEEPCKYKD YGKSLSLFSNVSQSHGIYIGEKEYKECDKTFGSFPKLVQLRIHSGKNPQKCRKCRTGFRT CSSLSTHQRTYTGEKPWICTECDKAFRWLSDLKTHYRIHPGEKPFKCKECDRSFTFYNSL REHQNIHKGKLYKCWKCDKFFTRCSSLRAHEKIHTGEKPFKCKECIKSFYMLSQLRKHAR THTGEKPYKCKECDKSFTYSSSLREHQNMHAGKLYKCQECDKCFTWCSSLRAHEKIHTGE KPFKCKECGKSFCMLSQLRKHARTHTGEKPYKCKECEKSFTQCSTLRIHQKIHAGEKPYK CKECGKCFYKLSYLKVHYRTHTGEKPYQCKECGKGFSQLSCLKSHERIHTGEKPYKCSEC DKSFTHCSTCRRHQSTHAAKPYKCEECDKSFAKQSSLRTHQKVHAGEKPHACKECGKAFY QLSHLKVHYRIHTGEKPYKCDECEKSFTKCSSLRTHQKIHAGEKPHACEKCGKAFYHLSH LNIHYRTHTGEKPYKCSDCDKSFTTLANLRRHHRLHTGEKTFHSK >ENSMUSP00000065379.7 pep:known chromosome:GRCm38:17:21733724:21748968:1 gene:ENSMUSG00000061544.13 transcript:ENSMUST00000065871.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp229 description:zinc finger protein 229 [Source:MGI Symbol;Acc:MGI:2679295] MAGSPVNTFQGLLTFRDVAVDFSQEEWECLDSAQRALYIGVMLENYSNLVSVGIIVCKAD LVPHLEQIYIPWNVKIKEMVAKPGRESYRMCSESEIVFYQDSKNIIYEHVDIQWKSSECN EIGKMLHESSGGWILCKTNNTPEICNKYRFDNHKDAYIESTNLSRHKSMHTEEEPCKYKD YGKSLSLFSNVSQSHGIYIGEKEYKECDKTFGSFPKLVQLRIHSGKNPQKCRKCRTGFRT CSSLSTHQRTYTGEKPWICTECDKAFRWLSDLKTHYRIHPGEKPFKCKECDRSFTFYNSL REHQNIHKGKLYKCWKCDKFFTRCSSLRAHEKIHTGEKPFKCKECIKSFYMLSQLRKHAR THTGEKPYKCKECDKSFTYSSSLREHQNMHAGKLYKCQECDKCFTWCSSLRAHEKIHTGE KPFKCKECGKSFCMLSQLRKHARTHTGEKPYKCKECEKSFTQCSTLRIHQKIHAGEKPYK CKECGKCFYKLSYLKVHYRTHTGEKPYQCKECGKGFSQLSCLKSHERIHTGEKPYKCSEC DKSFTHCSTCRRHQSTHAAKPYKCEECDKSFAKQSSLRTHQKVHAGEKPHACKECGKAFY QLSHLKVHYRIHTGEKPYKCDECEKSFTKCSSLRTHQKIHAGEKPHACEKCGKAFYHLSH LNIHYRTHTGEKPYKCSDCDKSFTTLANLRRHHRLHTGEKTFHSK >ENSMUSP00000031542.9 pep:known chromosome:GRCm38:5:114896936:114912234:1 gene:ENSMUSG00000029561.17 transcript:ENSMUST00000031542.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oasl2 description:2'-5' oligoadenylate synthetase-like 2 [Source:MGI Symbol;Acc:MGI:1344390] MDPFPDLYATPGDSLDHFLEHSLQPQRDWKEEGQDAWERIERFFREQCFRDELLLDQEVR VIKVVKGGSSGKGTTLNHRSDQDMILFLSCFSSFEEQARNREVVISFIKKRLIHCSRSLA YNIIVLTHREGKRAPRSLTLKVQSRKTDDIIWMDILPAYDALGPISRDSKPAPAIYETLI RSKGYPGDFSPSFTELQRHFVKTRPVKLKNLLRLVKFWYLQCLRRKYGRGAVLPSKYALE LLTIYAWEMGTESSDSFNLDEGFVAVMELLVNYRDICIYWTKYYNFQNEVVRNFLKKQLK GDRPIILDPADPTNNLGRRKGWEQVAAEAAFCLLQVCCTTVGPSERWNVQRARDVQVRVK QTGTVDWTLWTNPYSPIRKMKAEIRREKNFGGELRISFQEPGGERQLLSSRKTLADYGIF SKVNIQVLETFPPEILVFVKYPGGQSKPFTIDPDDTILDLKEKIEDAGGPCAEDQVLLLD DEELEDDESLKELEIKDCDTIILIRVID >ENSMUSP00000117795.1 pep:known chromosome:GRCm38:5:114897001:114899846:1 gene:ENSMUSG00000029561.17 transcript:ENSMUST00000146072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oasl2 description:2'-5' oligoadenylate synthetase-like 2 [Source:MGI Symbol;Acc:MGI:1344390] MDPFPDLYATPGDSLDHFLEHSLQPQRDWKEEGQDAWERIERFFREQCFRDELLLDQEVR VIKVVKGGSSGKGTTLNHRSDQDMILFLSCFSSFEEQARNR >ENSMUSP00000119042.1 pep:known chromosome:GRCm38:5:114897030:114899971:1 gene:ENSMUSG00000029561.17 transcript:ENSMUST00000150361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oasl2 description:2'-5' oligoadenylate synthetase-like 2 [Source:MGI Symbol;Acc:MGI:1344390] MDPFPDLYATPGDSLDHFLEHSLQPQRDWKEEGQDAWERIERFFREQCFRDELLLDQEVR VIKVVKGGSSGKGTTLNHRSDQDMILFLSCFSSFEEQARNREVVISFIKKRLIHCSRSLA YNIIVLTHREGKRAPRSLTLKV >ENSMUSP00000117876.1 pep:known chromosome:GRCm38:5:114897742:114912226:1 gene:ENSMUSG00000029561.17 transcript:ENSMUST00000144064.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Oasl2 description:2'-5' oligoadenylate synthetase-like 2 [Source:MGI Symbol;Acc:MGI:1344390] XRDWKEEGQDAWERIERFFREQCFRDELLLDQEVRVIKVVKDLFPETQNQHRQSTRL >ENSMUSP00000115070.2 pep:known chromosome:GRCm38:5:114901353:114911220:1 gene:ENSMUSG00000029561.17 transcript:ENSMUST00000124716.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oasl2 description:2'-5' oligoadenylate synthetase-like 2 [Source:MGI Symbol;Acc:MGI:1344390] MCLRRKYGRGAVLPSKYALELLTIYAWEMGTESSDSFNLDEGFVAVMELLVNYRDICIYW TKYYNFQNEVVRNFLKKQLKGDRPIILDPADPTNNLGRRKGWEQVAAEAAFCLLQVCCTT VGPSERWNVQRARDVQVRVKQTGTVDWTLWTNPYSPIRKMKAEIRREKNFGGELRISFQE PGGERQLLSSRKTLADYGIFSKVNIQVLETFPPEILVFVKYPGGQSKPFTIDPDDTILDL >ENSMUSP00000139600.1 pep:known chromosome:GRCm38:1:87204657:87208296:1 gene:ENSMUSG00000026253.14 transcript:ENSMUST00000185763.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrng description:cholinergic receptor, nicotinic, gamma polypeptide [Source:MGI Symbol;Acc:MGI:87895] MFEIVGHMTGGPRAQSRNQEERLLADLMRNYDPHLRPAERDSDVVNVSLKLTLTNLISLV SNRRRGMMDITQGHRLAGEINECWGSNPSTRNSRGSYNGVGRTHETPGATTRCEAEGLLV LLNEREEALTTNVWIEMQWCDYRLRWDPKDYEGLWILRVPSTMVWRPDIVLENNVDGVFE VALYCNVLVSPDGCIYWLPPAIFRSSCSISVTYFPFDWQNCSLVFQSQTYSTSEINLQLS QEDGQAIEWIFIDPE >ENSMUSP00000140796.1 pep:known chromosome:GRCm38:1:87205810:87208295:1 gene:ENSMUSG00000026253.14 transcript:ENSMUST00000188796.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrng description:cholinergic receptor, nicotinic, gamma polypeptide [Source:MGI Symbol;Acc:MGI:87895] MQGGQRPQLLLLLLAVCLGAQSRNQEERLLADLMRNYDPHLRPAERDSDVVNVSLKLTLT NLISLNEREEALTTNVWIEMQWCDYRLRWDPKDYEGLWILRVPSTMVWRPDIVLENKSQT YSTSEINLQLSQEDGQAIEWIFIDPE >ENSMUSP00000027470.7 pep:known chromosome:GRCm38:1:87205811:87212694:1 gene:ENSMUSG00000026253.14 transcript:ENSMUST00000027470.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrng description:cholinergic receptor, nicotinic, gamma polypeptide [Source:MGI Symbol;Acc:MGI:87895] MQGGQRPQLLLLLLAVCLGAQSRNQEERLLADLMRNYDPHLRPAERDSDVVNVSLKLTLT NLISLNEREEALTTNVWIEMQWCDYRLRWDPKDYEGLWILRVPSTMVWRPDIVLENNVDG VFEVALYCNVLVSPDGCIYWLPPAIFRSSCSISVTYFPFDWQNCSLVFQSQTYSTSEINL QLSQEDGQAIEWIFIDPEAFTENGEWAIRHRPAKMLLDSVAPAEEAGHQKVVFYLLIQRK PLFYVINIIAPCVLISSVAILIYFLPAKAGGQKCTVATNVLLAQTVFLFLVAKKVPETSQ AVPLISKYLTFLMVVTILIVVNSVVVLNVSLRSPHTHSMARGVRKVFLRLLPQLLRMHVR PLAPAAVQDARFRLQNGSSSGWPIMAREEGDLCLPRSELLFRQRQRNGLVQAVLEKLENG PEVRQSQEFCGSLKQASPAIQACVDACNLMARARRQQSHFDSGNEEWLLVGRVLDRVCFL AMLSLFICGTAGIFLMAHYNQVPDLPFPGDPRPYLPLPD >ENSMUSP00000141001.1 pep:known chromosome:GRCm38:1:87205885:87208295:1 gene:ENSMUSG00000026253.14 transcript:ENSMUST00000186038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrng description:cholinergic receptor, nicotinic, gamma polypeptide [Source:MGI Symbol;Acc:MGI:87895] MEGLGVTCTLPSPSTLPAPSLHLIPLCLLLLWSEPPPSVAGAQSRNQEERLLADLMRNYD PHLRPAERDSDVVNVSLKLTLTNLISLNEREEALTTNVWIEMQWCDYRLRWDPKDYEGLW ILRVPSTMVWRPDIVLENNVDGVFEVALYCNVLVSPDGCIYWLPPAIFRSSCSISVTYFP FDWQNCSLVFQSQTYSTSEINLQLSQEDGQAIEWIFIDPE >ENSMUSP00000051199.3 pep:known chromosome:GRCm38:3:85993810:86002491:-1 gene:ENSMUSG00000049013.3 transcript:ENSMUST00000061343.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss48 description:protease, serine 48 [Source:MGI Symbol;Acc:MGI:2685865] MGPAGLKVLLLLFLGAFQGSFTKKKNLQSVCGRPVHTGRIVGGQDAALGRWPWQVSLRFD YTHSCGGSLISDHWVLTAAHCIKKTWYSFLYSVWLGSIDREYSSTGKEYYVSRIAIPDKH RHTEADIALLKLSSRVTFSSVILPICLPNISKQLTVPASCWVTGWGQNQEGHYPSTLQEL EVPVISSEACEQLYNPIGVFLPDLERVIKEDMFCAGERQSRKDSCKGDSGGPLSCHIDGV WRLMGVVSWGLECGKDLPGVYTNVTYYQKWISAIISRAPPGWGGDSTHMTSCSLLCYFLW LSWDPPEPLALA >ENSMUSP00000117244.1 pep:known chromosome:GRCm38:11:95824499:95830701:1 gene:ENSMUSG00000050860.6 transcript:ENSMUST00000150134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phospho1 description:phosphatase, orphan 1 [Source:MGI Symbol;Acc:MGI:2447348] MAAPGAPRFLLTFDFDETIVDENSDDSIVRAAPGQQLPESLRATYRE >ENSMUSP00000057858.3 pep:known chromosome:GRCm38:11:95824500:95832140:1 gene:ENSMUSG00000050860.6 transcript:ENSMUST00000054173.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phospho1 description:phosphatase, orphan 1 [Source:MGI Symbol;Acc:MGI:2447348] MSGCFPAVGLRCLSRDGRMAAPGAPRFLLTFDFDETIVDENSDDSIVRAAPGQQLPESLR ATYREGYYNEYMQRVFKYLGEQGVRPRDLRAVYETIPLSPGMGDLLQFIAKQGSCFEVIL ISDANTFGVESALRAAGHHSLFRRILSNPSGPDARGLLTLRPFHTHSCSRCPANMCKHKV LSEYLRERARDGVHFERLFYVGDGANDFCPMGLLAGGDVAFPRRGYPMHRLIQEAQKAEP SSFRAHVVPWETAADVRQHLQQVLKMC >ENSMUSP00000135721.1 pep:known chromosome:GRCm38:11:95830763:95831592:1 gene:ENSMUSG00000050860.6 transcript:ENSMUST00000176538.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phospho1 description:phosphatase, orphan 1 [Source:MGI Symbol;Acc:MGI:2447348] XRDLRAVYETIPLSPGMGDLLQFIAKQGSCFEVILISDANTFGVESALRAAGHHSLFRRI LSNPSGPDARGLLTLRPFHTHSCSRCPANMCKHKVLSEYLRERARDGVHFERLFYVGDGA NDFCPMGLLAGGDVAFPRRGYPMHRLIQEAQKAEPSSFRAHVVPWETAADVRQHLQQGGE HPLEGRQCPSN >ENSMUSP00000039750.5 pep:known chromosome:GRCm38:4:51216678:51230272:1 gene:ENSMUSG00000039555.13 transcript:ENSMUST00000041392.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cylc2 description:cylicin, basic protein of sperm head cytoskeleton 2 [Source:MGI Symbol;Acc:MGI:1922164] MSIPRFLKVTYGAYDNYIPVSELSKKSWNQQYFSLAFPKPPRPGKKRRSLPSQLQNNTAP VIDEEKLGVHRPPLWMHRSLMRISERPSVYLAARKGLIPKPLHFGKGESKSVGTHKSLAS EKTKKEVKMKKDGFEAKEKTALKTDKEGSPKPAKKNIPRDSQKDKGRVSSDSEGEKAGVK KGSKKVKNTPKGKDSASESEGEKAGSKKEAKTTKKGSKDKVSATESGGEKAGSKKEAKAT KKGSKDKVSGTESGGEKAGSKKEAKTTKKESKDKVSATESGGEKAGSKKEAKDDKKDATS SQETLLSTAADKDGKKKEEKPVKQSSKSKDTVKDSASEKGDEKKEDKKEGKKEKKKKDGE GKEGGKKEKKDKKDKKDKKDKKDKKDKKDKKEKDKKDKKDKKDKKDKKDKKDKKDKKDKK AK >ENSMUSP00000125758.1 pep:known chromosome:GRCm38:4:51216678:51229928:1 gene:ENSMUSG00000039555.13 transcript:ENSMUST00000166749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cylc2 description:cylicin, basic protein of sperm head cytoskeleton 2 [Source:MGI Symbol;Acc:MGI:1922164] MSIPRFLKVTYGAYDNYIPVSELSKKSWNQQYFSLAFPKPPRPGKKRRSLPSQLQNNTAP VIDEEKLGVHRPPLWMHRSLMRISERPSVYLAARKGLIPKPLHFGKGESKSVGTHKSLAS EKTKKEVKMKKDGFEAKEKTALKTDKEGSPKPAKKNIPRDSQKDKGRVSSDSEGEKAGVK KGSKKVKNTPKGKDSASESEGEKAGSKKEAKTTKKGSKDKVSATESGGEKAGSKKEAKAT KKGSKDKVSGTESGGEKAGSKKEAKTTKKESKDKVSATESGGEKAGSKKEAKDDKKDATS SQETLLSTAADKDGKKKEEKPVKQSSKSKDTVKDSASEKGDEKKEDKKEGKKEKKKKDGE GKEGGKKEKKDKKDKKDKKDKKDKKDKKDKKEKDKKDKKDKKDKKDKKDKKDKKDKKDKK AK >ENSMUSP00000053146.4 pep:known chromosome:GRCm38:14:59276913:59297522:-1 gene:ENSMUSG00000044703.5 transcript:ENSMUST00000062307.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf11a description:PHD finger protein 11A [Source:MGI Symbol;Acc:MGI:1918441] MAQEKPGCSNPVPNGDCPIIEKMEKRTCALCPEGHEWSQIYFSPSANIVAHENCLLYSSG LVECEAPDLPNTVRNFDVKSVKKEIGRGRRLKCSFCKNKGATMGYDLQSCTKNYHLSCAM EDHAILQVDEDHGTYKLFCQKHAPEGQEPTQRDAAVKAPFLKKCQEAGLLNVLLEYILEK MDLIHGRLLNETASESDYEGIETLLFGCGLFGDTLRKFQEVINSKACEWEERQRLMKQQL EALADLQQNLCSFQENGDLDCSSSTSGSLLPPEDHQVRCQESPEVQAGSGDSL >ENSMUSP00000106067.3 pep:known chromosome:GRCm38:2:126154541:126165279:1 gene:ENSMUSG00000023330.12 transcript:ENSMUST00000110437.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtwd1 description:DTW domain containing 1 [Source:MGI Symbol;Acc:MGI:1916435] MALSPSVVPQESKEDNANCVETKPSQTTSIASEDPLQNLCLASQEVLRKAQQSGRSRCRQ CGGSRMFYCYTCCVPVGNVPTEQIPCVQLPLKIDIIKHPNETDGKSTAVHAKLLAPDSVN IYTYPCIPEYEGKDHEVVLVFPGPQSISIEDVSFHLQKRIESKGRNKADNLDVPPRKLKR TTDEEGWDLHESTRQGPELKRVVFIDSTWSQTNQIASDERLRELLQVELRTRKTCFWRHQ KGKPDTFLSTIEAIYYFLVDYHSAVQKEKYRGQYDNLLFFYSFMYRLIKNARGSGEKAKP QLVQ >ENSMUSP00000127662.1 pep:known chromosome:GRCm38:2:126152141:126165276:1 gene:ENSMUSG00000023330.12 transcript:ENSMUST00000170908.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtwd1 description:DTW domain containing 1 [Source:MGI Symbol;Acc:MGI:1916435] MALSPSVVPQESKEDNANCVETKPSQTTSIASEDPLQNLCLASQEVLRKAQQSGRSRCRQ CGGSRMFYCYTCCVPVGNVPTEQIPCVQLPLKIDIIKHPNETDGKSTAVHAKLLAPDSVN IYTYPCIPEYEGKDHEVVLVFPGPQSISIEDVSFHLQKRIESKGRNKADNLDVPPRKLKR TTDEEGWDLHESTRQGPELKRVVFIDSTWSQTNQIASDERLRELLQVELRTRKTCFWRHQ KGKPDTFLSTIEAIYYFLVDYHSAVQKEKYRGQYDNLLFFYSFMYRLIKNARGSGEKAKP QLVQ >ENSMUSP00000030018.4 pep:known chromosome:GRCm38:4:46489248:46503632:1 gene:ENSMUSG00000028334.10 transcript:ENSMUST00000030018.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nans description:N-acetylneuraminic acid synthase (sialic acid synthase) [Source:MGI Symbol;Acc:MGI:2149820] MPLELELCPGRWVGGKHPCFIIAEIGQNHQGDIDVAKRMIRTAKECGADCAKFQKSELEF KFNRKALERPYTSKHSWGKTYGEHKRHLEFSHDQYKELQSYAQEIGIFFTASGMDEMAVE FLHELNVPFFKVGSGDTNNFPYLEKTAKKGRPMVISSGMQSMDTMKQVYQIVKPLNPNFC FLQCTSAYPLQPEDANLRVISEYQKLFPDIPIGYSGHETGIAISVAAVALGAKVLERHIT LDKTWKGSDHSASLEPGELAELVRSVRLVERALGSPTKQLLPCEMACNEKLGKSVVAKVK IPAGTTLTLDMLTVKVGEPKGYPPEDIFNLAGKKVLVTIEEDDTVMEESVESHSKKIKA >ENSMUSP00000032141.7 pep:known chromosome:GRCm38:6:87913935:87936629:1 gene:ENSMUSG00000030060.14 transcript:ENSMUST00000032141.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmces description:5-hydroxymethylcytosine (hmC) binding, ES cell specific [Source:MGI Symbol;Acc:MGI:1914053] MCGRTSCHLPREVLTRACAYQDRQGRRRLPQWRDPDKYCPSYNKSPQSSSPVLLSRLHFE KDADSSDRIIIPMRWGLVPSWFKESDPSKLQFNTTNCRSDTIMEKQSFKVPLGKGRRCVV LADGFYEWQRCQGTNQRQPYFIYFPQIKTEKSGGNDASDSSDNKEKVWDNWRLLTMAGIF DCWEAPGGECLYSYSIITVDSCRGLSDIHSRMPAILDGEEAVSKWLDFGEVATQEALKLI HPIDNITFHPVSPVVNNSRNNTPECLAPADLLVKKEPKANGSSQRMMQWLATKSPKKEVP DSPKKDASGLPQWSSQFLQKSPLPAKRGATSSFLDRWLKQEKEDEPMAKKPNS >ENSMUSP00000145504.1 pep:known chromosome:GRCm38:6:87913979:87921551:1 gene:ENSMUSG00000030060.14 transcript:ENSMUST00000204232.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hmces description:5-hydroxymethylcytosine (hmC) binding, ES cell specific [Source:MGI Symbol;Acc:MGI:1914053] MCGRTSCHLPREVLTRACAYQDRQGRRRLPQWRDPDKYCPSYNKSPQSSSPVLLSRLHFE KTGLLCVSLAVLELSL >ENSMUSP00000109236.1 pep:known chromosome:GRCm38:6:87914306:87936629:1 gene:ENSMUSG00000030060.14 transcript:ENSMUST00000113606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmces description:5-hydroxymethylcytosine (hmC) binding, ES cell specific [Source:MGI Symbol;Acc:MGI:1914053] MCGRTSCHLPREVLTRACAYQDRQGRRRLPQWRDPDKYCPSYNKSPQSSSPVLLSRLHFE KDADSSDRIIIPMRWGLVPSWFKESDPSKLQFNTTNCRSDTIMEKQSFKVPLGKGRRCVV LADGFYEWQRCQGTNQRQPYFIYFPQIKTEKSGGNDASDSSDNKEKVWDNWRLLTMAGIF DCWEAPGGECLYSYSIITVDSCRGLSDIHSRMPAILDGEEAVSKWLDFGEVATQEALKLI HPIDNITFHPVSPVVNNSRNNTPECLAPADLLVKKEPKANGSSQRMMQWLATKSPKKEVP DSPKKDASGLPQWSSQFLQKSPLPAKRGATSSFLDRWLKQEKEDEPMAKKPNS >ENSMUSP00000142428.1 pep:known chromosome:GRCm38:3:90526856:90528230:1 gene:ENSMUSG00000042306.11 transcript:ENSMUST00000199538.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a14 description:S100 calcium binding protein A14 [Source:MGI Symbol;Acc:MGI:1913416] MGQCRSANAEDAQEFSDVERAIETLIKNFHKYSVAGKKETLTPAELRDLVTQQLPHLMPS NCGLEEKIANLGNCNDSKLEFGSFWELIGEAAKSVKMERPVTRS >ENSMUSP00000129862.2 pep:known chromosome:GRCm38:3:90526867:90528837:1 gene:ENSMUSG00000042306.11 transcript:ENSMUST00000164481.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a14 description:S100 calcium binding protein A14 [Source:MGI Symbol;Acc:MGI:1913416] MGQCRSANAESNCGLEEKIANLGNCNDSKLEFGSFWELIGEAAKSVKMERPVTRS >ENSMUSP00000126821.2 pep:known chromosome:GRCm38:3:90526870:90528837:1 gene:ENSMUSG00000042306.11 transcript:ENSMUST00000167598.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a14 description:S100 calcium binding protein A14 [Source:MGI Symbol;Acc:MGI:1913416] MGQCRSANAEDAQEFSDVERAIETLIKNFHKYSVAGKKETLTPAELRDLVTQQLPHLMPS NCGLEEKIANLGNCNDSKLEFGSFWELIGEAAKSVKMERPVTRS >ENSMUSP00000128634.1 pep:known chromosome:GRCm38:10:128401395:128409728:-1 gene:ENSMUSG00000025374.13 transcript:ENSMUST00000164199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp2 description:nucleic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1917167] MTTETFVKDIKPGLKNLNLIFIVLETGRVTKTKDGHEVRTCKVADKTGSINISVWDDVGN LIQPGDIIRLTKGYASVFKGCLTLYTGRGGDLQKIGEFCMVYSEVPNFSEPNPEYNTQQA PNKSVQNNDNSPTAPQATTGPPAASPASENQNGNGLSTQLGPVGGPHPSHTPSHPPSTRI TRSQPNHTPSGPPGPSSNPVSNGKETRRSSKR >ENSMUSP00000026439.7 pep:known chromosome:GRCm38:10:128401395:128409874:-1 gene:ENSMUSG00000025374.13 transcript:ENSMUST00000026439.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp2 description:nucleic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1917167] MTTETFVKDIKPGLKNLNLIFIVLETGRVTKTKDGHEVRTCKVADKTGSINISVWDDVGN LIQPGDIIRLTKGYASVFKGCLTLYTGRGGDLQKIGEFCMVYSEVPNFSEPNPEYNTQQA PNKSVQNNDNSPTAPQATTGPPAASPASENQNGNGLSTQLGPVGGPHPSHTPSHPPSTRI TRSQPNHTPSGPPGPSSNPVSNGKETRRSSKR >ENSMUSP00000131171.1 pep:known chromosome:GRCm38:10:128401474:128409722:-1 gene:ENSMUSG00000025374.13 transcript:ENSMUST00000166608.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp2 description:nucleic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1917167] MRVQEDLPTGCHGSGSMTTETFVKDIKPGLKNLNLIFIVLETGRVTKTKDGHEVRTCKVA DKTGSINISVWDDVGNLIQPGDIIRLTKGYASVFKGCLTLYTGRGGDLQKIGEFCMVYSE VPNFSEPNPEYNTQQAPNKSVQNNDNSPTAPQATTGPPAASPASENQNGNGLSTQLGPVG GPHPSHTPSHPPSTRITRSQPNHTPSGPPGPSSNPVSNGKETRRSSKR >ENSMUSP00000127015.1 pep:known chromosome:GRCm38:10:128401900:128409632:-1 gene:ENSMUSG00000025374.13 transcript:ENSMUST00000172348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp2 description:nucleic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1917167] MTTETFVKDIKPGLKNLNLIFIVLETGRVTKTKDGHEVRTCKVADKTGSINISVWDDVGN LIQPGDIIRLTKGYASVFKGCLTLYTGRGGDLQKIGEFCMVYSEVPNFSEPNPEYNTQQA PNKSVQNNDNSPTAPQATTGPPAASPASENQNGN >ENSMUSP00000127605.1 pep:known chromosome:GRCm38:10:128401910:128410551:-1 gene:ENSMUSG00000025374.13 transcript:ENSMUST00000164664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp2 description:nucleic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1917167] MTTETFVKDIKPGLKNLNLIFIVLETGRVTKTKDGHEVRTCKVADKTGSINISVWDDVGN LIQPGDIIRLTKGYASVFKGCLTLYTGRGGDLQKIGEFCMVYSEVPNFSEPNPEYNTQQA PNKSVQNNDNSPTAPQATTGPPAASPASENQ >ENSMUSP00000130608.1 pep:known chromosome:GRCm38:10:128408163:128409180:-1 gene:ENSMUSG00000025374.13 transcript:ENSMUST00000172238.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nabp2 description:nucleic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1917167] MGRCGQPDPTWGHYPTHQRVCFSVQRLSDTVHWPWGRSAEDWRILYGLFRSP >ENSMUSP00000126842.1 pep:known chromosome:GRCm38:10:128408719:128411492:-1 gene:ENSMUSG00000025374.13 transcript:ENSMUST00000171494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp2 description:nucleic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1917167] MTTETFVKDIKPGLKNLNLIFIVLETGRVTKTKDGHEVRTCKVADKTGSINISVWDDVGN LIQPG >ENSMUSP00000127436.1 pep:known chromosome:GRCm38:10:128408747:128409736:-1 gene:ENSMUSG00000025374.13 transcript:ENSMUST00000171370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nabp2 description:nucleic acid binding protein 2 [Source:MGI Symbol;Acc:MGI:1917167] MTTETFVKDIKPGLKNLNLIFIVLETGRVTKTKDGHEVRTCKVADKTGSINISVWD >ENSMUSP00000061893.3 pep:known chromosome:GRCm38:11:95830074:95842409:-1 gene:ENSMUSG00000018381.15 transcript:ENSMUST00000059026.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi3 description:ABI gene family, member 3 [Source:MGI Symbol;Acc:MGI:1913860] MAELQQLQQLQEFDIPTGREALRGNHSALLRVANYCEDNYLQATDKRKALEETMAFTTQA LASVAYQVGNLAGHTLRMLDLQGAALRQVEAKMSTLGQMVNMHMEKVARREIGTLATVVR LPSNQKVIPPESLPSLTPYHRKPLNFACLDDIGHGVKDLSTQLSRTGTLSRKSIKAPATP VSATLGRPPRIPEPVQLPAVPDGKLSAASSASSLASAGSAEGASGIPQSKGQVAPATPPP PPVAPVTPPPPPLSAEVFLPPPPLEVSQPPLEAELPLPPPPALEGDELGLLPPPPPGFGP DEPSWVPASYLEKVVTLYPYTRQKDNELSFSEGTVICVTRRYSDGWCEGVSSEGTGFFPG NYVEPSC >ENSMUSP00000119990.1 pep:known chromosome:GRCm38:11:95832723:95835890:-1 gene:ENSMUSG00000018381.15 transcript:ENSMUST00000137645.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abi3 description:ABI gene family, member 3 [Source:MGI Symbol;Acc:MGI:1913860] XLPSNQKVIPPESLPSLTPYHRKPLNFACLDDIGHGVKDLSTQLSRTGTLSRKSIKAPAT PVSATLGRPPRIPEPVQLPAVPDGKLSAASSASSLASAGSAEGASGIPQSKGQVAPATPP PPPVAPVTPPPPPLSAEVFLPPPPLEVSQPPLAELPLPPPPALEGDELGLLPPPPPGFGP DEPSWVPASYLEKVVTLYPYTRQKDNELSFSEGTVICVTRRYSDGWCEGVSSEGTGFFPG NYVEP >ENSMUSP00000023282.2 pep:known chromosome:GRCm38:15:89334398:89337015:1 gene:ENSMUSG00000022613.8 transcript:ENSMUST00000023282.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Miox description:myo-inositol oxygenase [Source:MGI Symbol;Acc:MGI:1891725] MKVDVGPDPSLVYRPDVDPEMAKSKDSFRNYTSGPLLDRVFTTYKLMHTHQTVDFVSRKR IQYGSFSYKKMTIMEAVGMLDDLVDESDPDVDFPNSFHAFQTAEGIRKAHPDKDWFHLVG LLHDLGKIMALWGEPQWAVVGDTFPVGCRPQASVVFCDSTFQDNPDLQDPRYSTELGMYQ PHCGLENVLMSWGHDEYLYQMMKFNKFSLPSEAFYMIRFHSFYPWHTGGDYRQLCSQQDL DMLPWVQEFNKFDLYTKCPDLPDVESLRPYYQGLIDKYCPGTLSW >ENSMUSP00000125302.1 pep:known chromosome:GRCm38:15:89334930:89336412:1 gene:ENSMUSG00000022613.8 transcript:ENSMUST00000162756.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Miox description:myo-inositol oxygenase [Source:MGI Symbol;Acc:MGI:1891725] TWLVVKWVKFLFNQGPDPSLVYRPDVDPEMAKSKDSFRNYTSGPLLDRVFTTYKLMHTHQ TVDFVSRKRIQYGSFSYKKMTIMEAVGMLDDLVDESDPDVDFPNSFHAFQTAEGIRKAHP DKGSRSYVPFPQTGSTWSDFCTIWGKLWLCGGNLSGLLLETRSPWAAVPRPLWCSVTLLS RTILTSRILDTAQNSACTSLTVD >ENSMUSP00000123732.1 pep:known chromosome:GRCm38:15:89336433:89337011:1 gene:ENSMUSG00000022613.8 transcript:ENSMUST00000162033.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Miox description:myo-inositol oxygenase [Source:MGI Symbol;Acc:MGI:1891725] XPSEAFYMIRFHSFYPWHTGGDYRQLCSQQDLDMLPWVQEFNLISTRSALTYRMWRACGP TIKG >ENSMUSP00000046540.8 pep:known chromosome:GRCm38:5:92415540:92435219:-1 gene:ENSMUSG00000034826.14 transcript:ENSMUST00000038514.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup54 description:nucleoporin 54 [Source:MGI Symbol;Acc:MGI:1920460] MAFNFGAPSGTSGTSTATAAPAGGFGGFGTTTTTAGSAFSFSAPTNTGSTGLLGGTQNKG FGFGTGFGTTTGTGTGLGTGLGTGLGFGGFNTQQQQQQQQTSLGGLFSQPTQAPAQSTQL INTASALSAPTLLGDERDAILAKWNQLQAFWGTGKGYFNNNIPPVEFTQENPFCRFKAVG YSCMPNNKDEDGLVVLIFNKKETDIRSQQQQLVESLHKVLGGNQTLTVNVEGIKTLPDDQ TEVVIYVVERSPNGTSRRVPATTLYAHFEQANIKAQLQQLGVTLSMTRTELSPAQIKQLL QNPPAGVDPIIWEQAKVDNPDSEKLIPVPMVGFKELLRRLKVQDQMTKQHQTRLDIISED ISELQKNQTTTMAKIAQYKRKLMELSHRTLQVLIKQEIQRKSGYAIQADEEQLRVQLDTI QGELNAPTQFKGRLNELMSQIRMQNHFGAVKSEEKYYIDADLLREIKQHLKQQQEGLSHL ISIIKDDLEDIKLVEHGLNETIHSRGGVFS >ENSMUSP00000121171.1 pep:known chromosome:GRCm38:5:92428292:92435114:-1 gene:ENSMUSG00000034826.14 transcript:ENSMUST00000146470.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nup54 description:nucleoporin 54 [Source:MGI Symbol;Acc:MGI:1920460] MAFNFGAPSGTSGTSTATAAPADILSGGKGRWISEFEASLVYRVGLEDLEQQLQLQALPS AFQPQQTQAVQAFSVALRTKVLALVLVLAQRRELALV >ENSMUSP00000117237.1 pep:known chromosome:GRCm38:5:92430900:92435123:-1 gene:ENSMUSG00000034826.14 transcript:ENSMUST00000135112.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup54 description:nucleoporin 54 [Source:MGI Symbol;Acc:MGI:1920460] MAFNFGAPSGTSGTSTATAAPAGFGGLETANSTAGGFNFGGFGLTANPAVNFNIGNFGVS TTSATPFNFGNSLASAGGFGGFGTTTTTAGSAFSFSAPTNTGSTGLLGGTQNKGFGFGTG FG >ENSMUSP00000120937.1 pep:known chromosome:GRCm38:5:92430908:92434757:-1 gene:ENSMUSG00000034826.14 transcript:ENSMUST00000130156.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup54 description:nucleoporin 54 [Source:MGI Symbol;Acc:MGI:1920460] XPAIGVSAQERPEATPLSFPGTPRPLVRLSLKVQTGFGGLETANSTAGGFNFGGFGLTAN PAVNFNIGNFGVSTTSATPFNFGNSLASAGGFGGFGTTTTTAGSAFSFSAPTNTGSTGLL GGTQNKGFGFGTG >ENSMUSP00000119261.2 pep:known chromosome:GRCm38:15:51877429:51884614:1 gene:ENSMUSG00000022313.10 transcript:ENSMUST00000137116.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp23 description:UTP23, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:1925831] MKITRQKHAKKHLGFFRNNFGVREPYQILLDGTFCQAALRGRIQLRDQLPRYLMGETQLC TTRCVLKELETLGKELYGAKLIAQKCQVRNCPHFKSPVSGSECLLSMVDEGNPHHYFVAT QDQNLSVKVKRTPGIPLMFIIQNTIVLDKPSPRTVAFVKAVEAGQLVSVHEKQSIKQLKE EQGLVRNPDLRRRRRKKKKVGGPNPLSCLKKKKKAQDTKSPASEKKRKRKRIRNRSTLKV SSEQQGAEG >ENSMUSP00000124157.1 pep:known chromosome:GRCm38:15:51877478:51882689:1 gene:ENSMUSG00000022313.10 transcript:ENSMUST00000161651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp23 description:UTP23, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:1925831] MKITRQKHAKKHLGFFRNNFGVREPYQILLDGTFCQAALRGGSAGLSARETEYQATEGGA RPGAEPGPEEEEEEKEEGGRPQSSQLPEEKEESTGYKVPCFRKEKEKETDSEQIHPESVF >ENSMUSP00000050603.9 pep:known chromosome:GRCm38:15:51877505:51882684:1 gene:ENSMUSG00000022313.10 transcript:ENSMUST00000059599.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp23 description:UTP23, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:1925831] MKITRQKHAKKHLGFFRNNFGVREPYQILLDGTFCQAALRGRIQLRDQLPRYLMGETQLC TTRIRTYL >ENSMUSP00000124832.1 pep:known chromosome:GRCm38:15:51877742:51882683:1 gene:ENSMUSG00000022313.10 transcript:ENSMUST00000136129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp23 description:UTP23, small subunit (SSU) processome component, homolog (yeast) [Source:MGI Symbol;Acc:MGI:1925831] MVDEGNPHHYFVATQDQNLSVKVKRTPGIPLMFIIQNTIVLDKPSPRTVAFVKAVEAGQL VSVHEKQSIKQLKEEQGLVRNPDLRRRRRKKKKVGGPNPLSCLKKKKKAQDTKSPASEKK RKRKRIRNRSTLKVSSEQQGAEG >ENSMUSP00000141845.1 pep:known chromosome:GRCm38:3:7569994:7613727:-1 gene:ENSMUSG00000040329.14 transcript:ENSMUST00000194279.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il7 description:interleukin 7 [Source:MGI Symbol;Acc:MGI:96561] MFHVSFRYIFGIPPLILVLLPVTSSECHIKDKEGKAYESVLMISIDELDKMTGTDSNCPN NEPNFFRKHVCDDTKEAAFLNRAARKLKQFLKMNISEEFNVHLLTVSQGTQTLVNCTSKE EKNVKEQKKNDACFLKRLLREIKTCWNKILKGSI >ENSMUSP00000126219.3 pep:known chromosome:GRCm38:3:7572028:7605864:-1 gene:ENSMUSG00000040329.14 transcript:ENSMUST00000168269.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il7 description:interleukin 7 [Source:MGI Symbol;Acc:MGI:96561] MISIDELDKMTGTDSNCPNNEPNFFRKHVCDDTKEAAFLNRAARKLKQFLKMNISEEFNV HLLTVSQGTQTLVNCTSKEEKNVKEQKKNDACFLKRLLREIKTCWNKILKGSI >ENSMUSP00000141827.1 pep:known chromosome:GRCm38:3:7573127:7612893:-1 gene:ENSMUSG00000040329.14 transcript:ENSMUST00000194184.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il7 description:interleukin 7 [Source:MGI Symbol;Acc:MGI:96561] MISIDELDKMTGTDSNCPNNEPNFFRKHVCDDTKEAAFLNRAARKLKQFLKMNISEEFNV HLLTVSQGTQTLVNCTSKEEKNVKEQKKNDACFLKRLLREIKTCWNKILKGSI >ENSMUSP00000141508.1 pep:known chromosome:GRCm38:3:7573182:7613760:-1 gene:ENSMUSG00000040329.14 transcript:ENSMUST00000192202.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il7 description:interleukin 7 [Source:MGI Symbol;Acc:MGI:96561] MISIDELDKMTGTDSNCPNNEPNFFRKHVCDDTKEAAFLNRAARKLKQFLKMNISEEFNV HLLTVSQGTQTLVNCTSKEEKNVKEQKKNDACFLKRLLREIKTCWNKILKGSI >ENSMUSP00000044360.6 pep:known chromosome:GRCm38:X:102859186:102866353:-1 gene:ENSMUSG00000032894.13 transcript:ENSMUST00000048061.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700031F05Rik description:RIKEN cDNA 1700031F05 gene [Source:MGI Symbol;Acc:MGI:1920550] MADAFSTPMSALQCPPSSAVMDQHLYPLDQPEDLLHTGPQQGILQVPLMLSLPTPVINMS CIPVTLNLQMTPSFSWNPSQPMTIPCLFPNMIMQPSTSTAAPVKLAQVLDSNISSQHPTT ANQVPVIWAQNNTFNQVPEVYTQGPVVSTQGSSSAEEHRQKVMDAAEALLILHNSREALQ ETSTTPGPDGE >ENSMUSP00000136319.1 pep:known chromosome:GRCm38:X:102859186:102867971:-1 gene:ENSMUSG00000032894.13 transcript:ENSMUST00000124592.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700031F05Rik description:RIKEN cDNA 1700031F05 gene [Source:MGI Symbol;Acc:MGI:1920550] MADAFSTPMSALQCPPSSAVMDQHLYPLDQPEDLLHTGPQQGILQVPLMLSLPTPVINMS CIPVTLNLQMTPSFSWNPSQPMTIPCLFPNMIMQPSTSTAAPVKLAQVLDSNISSQHPTT ANQVPVIWAQNNTFNQVPEVYTQGPVVSTQGSSSAEEHRQKVMDAAEALLILHNSREALQ ETSTTPGPDGE >ENSMUSP00000133645.1 pep:known chromosome:GRCm38:17:35076902:35078294:1 gene:ENSMUSG00000013766.11 transcript:ENSMUST00000172494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g6e description:lymphocyte antigen 6 complex, locus G6E [Source:MGI Symbol;Acc:MGI:1917524] MGPSSAFLGVLFLSGTLGLTTSPARGRLRCYTCSFAKPCDPVPRECREDESKRRRKSLSG KAASPEPSALCWAMRPTGHGPTLSGTSAVSRTYAMLPPHSHPPTFPS >ENSMUSP00000134073.1 pep:known chromosome:GRCm38:17:35076931:35078550:1 gene:ENSMUSG00000013766.11 transcript:ENSMUST00000172678.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g6e description:lymphocyte antigen 6 complex, locus G6E [Source:MGI Symbol;Acc:MGI:1917524] MGPSSAFLGVLFLSGTLGLTTSPARGRLRCYTCSFAKPCDPVPRECREDEVCGVSVGTSE QKEEEVIERKGCLPRAQCPLLGHATYWSRSYSLRHQCCEQDLCNAAASQPPPNLPLMTLL PLAAMIGWGVHDFL >ENSMUSP00000013910.4 pep:known chromosome:GRCm38:17:35076937:35078804:1 gene:ENSMUSG00000013766.11 transcript:ENSMUST00000013910.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g6e description:lymphocyte antigen 6 complex, locus G6E [Source:MGI Symbol;Acc:MGI:1917524] MGPSSAFLGVLFLSGTLGLTTSPARGRLRCYTCSFAKPCDPVPRECREDEVCGVSVGTSE QKEEEVIERKGCLPRAQCPLLGHATYWSRSYSLRHQCCEQDLCNAAASQPPPNLPLMTLL PLAAMIGWGVHDFL >ENSMUSP00000133753.1 pep:known chromosome:GRCm38:17:35077155:35078285:1 gene:ENSMUSG00000013766.11 transcript:ENSMUST00000172959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g6e description:lymphocyte antigen 6 complex, locus G6E [Source:MGI Symbol;Acc:MGI:1917524] MGPSSAFLGVLFLSGTLGLTTSPARGRLRCYTCSFAKPCDPVPRECREDEVCGVSVGTSG RTLVRWPFSRWLLSFLPMAAAATSAFLFCPTEQKEEEVIERKGCLPRAQCPLLGHATYWS RSYSLRHQCCEQDLCNAAASQPPPNLPLMTLLPLAAMIGWGVHDFL >ENSMUSP00000065101.7 pep:known chromosome:GRCm38:11:5971672:6065545:-1 gene:ENSMUSG00000057897.14 transcript:ENSMUST00000066431.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2b description:calcium/calmodulin-dependent protein kinase II, beta [Source:MGI Symbol;Acc:MGI:88257] MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKL KGAILTTMLATRNFSAAKSLLNKKADGVKESSDSTNTTIEDEDAKARKQEIIKTTEQLIE AVNNGDFEAYAKICDPGLTSFEPEALGNLVEGMDFHRFYFENLLAKNSKPIHTTILNPHV HVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWQNVHFHCSGAPVAPLQ >ENSMUSP00000091046.5 pep:known chromosome:GRCm38:11:5971669:6065582:-1 gene:ENSMUSG00000057897.14 transcript:ENSMUST00000093355.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2b description:calcium/calmodulin-dependent protein kinase II, beta [Source:MGI Symbol;Acc:MGI:88257] MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKL KGAILTTMLATRNFSAAKSLLNKKADGVKPQTNSTKNSSAITSPKGSLPPAALESSDSTN TTIEDEDAKAPRISDILNSVRRGCGTPEAEGPLSVGPPPCLSPGLLGPLPTPSPRISDIL NSVRRGSGTPEAEGLPPVGPPPCPSPTLPGPLPTPSRKQEIIKTTEQLIEAVNNGDFEAY AKICDPGLTSFEPEALGNLVEGMDFHRFYFENLLAKNSKPIHTTILNPHVHVIGEDAACI AYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWQNVHFHCSGAPVAPLQ >ENSMUSP00000105438.2 pep:known chromosome:GRCm38:11:5969644:6065748:-1 gene:ENSMUSG00000057897.14 transcript:ENSMUST00000109813.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2b description:calcium/calmodulin-dependent protein kinase II, beta [Source:MGI Symbol;Acc:MGI:88257] MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKL KGAILTTMLATRNFSVGRQTTAPATMSTAASGTTMGLVEQAKSLLNKKADGVKPQTNSTK NSSAITSPKGSLPPAALEPQTTVIHNPVDGIKESSDSTNTTIEDEDAKARKQEIIKTTEQ LIEAVNNGDFEAYAKICDPGLTSFEPEALGNLVEGMDFHRFYFENLLAKNSKPIHTTILN PHVHVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWQNVHFHCSGAPVAP LQ >ENSMUSP00000099119.3 pep:known chromosome:GRCm38:11:5971735:6065538:-1 gene:ENSMUSG00000057897.14 transcript:ENSMUST00000101585.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2b description:calcium/calmodulin-dependent protein kinase II, beta [Source:MGI Symbol;Acc:MGI:88257] MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKL KGAILTTMLATRNFSVGRQTTAPATMSTAASGTTMGLVEQAKSLLNKKADGVKEPQTTVI HNPVDGIKESSDSTNTTIEDEDAKARKQEIIKTTEQLIEAVNNGDFEAYAKICDPGLTSF EPEALGNLVEGMDFHRFYFENLLAKNSKPIHTTILNPHVHVIGEDAACIAYIRLTQYIDG QGRPRTSQSEETRVWHRRDGKWQNVHFHCSGAPVAPLQ >ENSMUSP00000002817.5 pep:known chromosome:GRCm38:11:5971672:6065742:-1 gene:ENSMUSG00000057897.14 transcript:ENSMUST00000002817.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2b description:calcium/calmodulin-dependent protein kinase II, beta [Source:MGI Symbol;Acc:MGI:88257] MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKL KGAILTTMLATRNFSAAKSLLNKKADGVKPQTNSTKNSSAITSPKGSLPPAALESSDSTN TTIEDEDAKARKQEIIKTTEQLIEAVNNGDFEAYAKICDPGLTSFEPEALGNLVEGMDFH RFYFENLLAKNSKPIHTTILNPHVHVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVW HRRDGKWQNVHFHCSGAPVAPLQ >ENSMUSP00000019133.4 pep:known chromosome:GRCm38:11:5971680:6065612:-1 gene:ENSMUSG00000057897.14 transcript:ENSMUST00000019133.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2b description:calcium/calmodulin-dependent protein kinase II, beta [Source:MGI Symbol;Acc:MGI:88257] MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKL KGAILTTMLATRNFSVGRQTTAPATMSTAASGTTMGLVEQAKSLLNKKADGVKPQTNSTK NSSAITSPKGSLPPAALEPQTTVIHNPVDGIKESSDSTNTTIEDEDAKAPRVPDVLSLVR RASGAPEAEGPLSCQSPVPISPLPTPSPRISDILNSVRRGCGTPEAEGPLSVGPPPCLSP GLLGPLPTPSPRISDILNSVRRGSGTPEAEGLPPVGPPPCPSPTLPGPLPTPSRKQEIIK TTEQLIEAVNNGDFEAYAKICDPGLTSFEPEALGNLVEGMDFHRFYFENLLAKNSKPIHT TILNPHVHVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWQNVHFHCSGA PVAPLQ >ENSMUSP00000105437.2 pep:known chromosome:GRCm38:11:5971568:6065563:-1 gene:ENSMUSG00000057897.14 transcript:ENSMUST00000109812.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2b description:calcium/calmodulin-dependent protein kinase II, beta [Source:MGI Symbol;Acc:MGI:88257] MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKEAYGKPVDIWACGHYVCLPVLIIGVILYILLVGYPPFWDEDQHKLYQQIKAG AYDFPSPEWDTVTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVE CLKKFNARRKLKGAILTTMLATRNFSAAKSLLNKKADGVKPQTNSTKNSSAITSPKGSLP PAALEPQTTVIHNPVDGIKESSDSTNTTIEDEDAKARKQEIIKTTEQLIEAVNNGDFEAY AKICDPGLTSFEPEALGNLVEGMDFHRFYFENLLAKNSKPIHTTILNPHVHVIGEDAACI AYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWQNVHFHCSGAPVAPLQ >ENSMUSP00000099120.2 pep:known chromosome:GRCm38:11:5972217:6065570:-1 gene:ENSMUSG00000057897.14 transcript:ENSMUST00000101586.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2b description:calcium/calmodulin-dependent protein kinase II, beta [Source:MGI Symbol;Acc:MGI:88257] MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKL KGAILTTMLATRNFSAAKSLLNKKADGVKPQTNSTKNSSAITSPKGSLPPAALEPQTTVI HNPVDGIKESSDSTNTTIEDEDAKARKQEIIKTTEQLIEAVNNGDFEAYAKICDPGLTSF EPEALGNLVEGMDFHRFYFENLLAKNSKPIHTTILNPHVHVIGEDAACIAYIRLTQYIDG QGRPRTSQSEETRVWHRRDGKWQNVHFHCSGAPVAPLQ >ENSMUSP00000087925.3 pep:known chromosome:GRCm38:11:5969672:6065748:-1 gene:ENSMUSG00000057897.14 transcript:ENSMUST00000090443.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2b description:calcium/calmodulin-dependent protein kinase II, beta [Source:MGI Symbol;Acc:MGI:88257] MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKL KGAILTTMLATRNFSAKSLLNKKADGVKPQTNSTKNSSAITSPKGSLPPAALESSDSTNT TIEDEDAKAPRISDILNSVRRGSGTPEAEGLPPVGPPPCPSPTLPGPLPTPSRKQEIIKT TEQLIEAVNNGDFEAYAKICDPGLTSFEPEALGNLVEGMDFHRFYFENLLAKNSKPIHTT ILNPHVHVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWQNVHFHCSGAP VAPLQ >ENSMUSP00000105440.2 pep:known chromosome:GRCm38:11:5969672:6065563:-1 gene:ENSMUSG00000057897.14 transcript:ENSMUST00000109815.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Camk2b description:calcium/calmodulin-dependent protein kinase II, beta [Source:MGI Symbol;Acc:MGI:88257] MATTVTCTRFTDEYQLYEDIGKGAFSVVRRCVKLCTGHEYAAKIINTKKLSARDHQKLER EARICRLLKHSNIVRLHDSISEEGFHYLVFDLVTGGELFEDIVAREYYSEADASHCIQQI LEAVLHCHQMGVVHRDLKPENLLLASKCKGAAVKLADFGLAIEVQGDQQAWFGFAGTPGY LSPEVLRKEAYGKPVDIWACGVILYILLVGYPPFWDEDQHKLYQQIKAGAYDFPSPEWDT VTPEAKNLINQMLTINPAKRITAHEALKHPWVCQRSTVASMMHRQETVECLKKFNARRKL KGAILTTMLATRNFSVGRQTTAPATMSTAASGTTMGLVEQAKSLLNKKADGVKPQTNSTK NSSAITSPKGSLPPAALEPQTTVIHNPVDGIKESSDSTNTTIEDEDAKARKQEIIKTTEQ LIEAVNNGDFEAYAKICDPGLTSFEPEALGNLVEGMDFHRFYFENLLAKNSKPIHTTILN PHVHVIGEDAACIAYIRLTQYIDGQGRPRTSQSEETRVWHRRDGKWQNVHFHCSGAPVAP LQ >ENSMUSP00000103386.1 pep:known chromosome:GRCm38:4:46536943:46566458:-1 gene:ENSMUSG00000028337.14 transcript:ENSMUST00000107757.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro2a description:coronin, actin binding protein 2A [Source:MGI Symbol;Acc:MGI:1345966] MWNGNSKLRPSSPVLKPNQMSWHPQYRSSKFRHVYGKPASKENCYDSVPITRSVHDNHFC AVNPHFIAVVTECAGGGAFLVIPLHQTGKLDPHYPKVCGHRGNVLDIKWNPFNDFEIASC SEDATIKIWNIPKQLLTRNLTTYRKELIGHARRVGLVEWHPTTANILFSAGYDYKVMVWN LDTKDSVIAGPVKTINCHQDVILSMSFNTNGSLLATTCKDRKIRIVDPRLGIVLQEASYK GHRANKVLFLGSLKKLLSTGTSRWNNRQMALWDQENLSVPLTEEDLDGSSGVLFPFFDSD TSMLYIVGKGDGNIRYYEVSMEKPHLTYLTEYRSYNPQKGIGIMPKRGLDVSSCEIFRFY KLITTKSLIEPVSMIVPRRSESYQEDIYPPTAAAQPSLTAHEWLSGMNRGPIMMSLRPGS ELLDSQTLPPERPLSNSMVQVSPQPLEPMKQPAEDGDQAPFSLLEEKLAKWTAEHHLGEK SCLTNGFDVFECSPPKTENELLQMFYRQQEEIRRLRELLIQREVQTKQLELEIKNLRMAL GQL >ENSMUSP00000103385.2 pep:known chromosome:GRCm38:4:46536943:46602202:-1 gene:ENSMUSG00000028337.14 transcript:ENSMUST00000107756.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro2a description:coronin, actin binding protein 2A [Source:MGI Symbol;Acc:MGI:1345966] MSWHPQYRSSKFRHVYGKPASKENCYDSVPITRSVHDNHFCAVNPHFIAVVTECAGGGAF LVIPLHQTGKLDPHYPKVCGHRGNVLDIKWNPFNDFEIASCSEDATIKIWNIPKQLLTRN LTTYRKELIGHARRVGLVEWHPTTANILFSAGYDYKVMVWNLDTKDSVIAGPVKTINCHQ DVILSMSFNTNGSLLATTCKDRKIRIVDPRLGIVLQEASYKGHRANKVLFLGSLKKLLST GTSRWNNRQMALWDQENLSVPLTEEDLDGSSGVLFPFFDSDTSMLYIVGKGDGNIRYYEV SMEKPHLTYLTEYRSYNPQKGIGIMPKRGLDVSSCEIFRFYKLITTKSLIEPVSMIVPRR SESYQEDIYPPTAAAQPSLTAHEWLSGMNRGPIMMSLRPGSELLDSQTLPPERPLSNSMV QVSPQPLEPMKQPAEDGDQAPFSLLEEKLAKWTAEHHLGEKSCLTNGFDVFECSPPKTEN ELLQMFYRQQEEIRRLRELLIQREVQTKQLELEIKNLRMALGQL >ENSMUSP00000030021.7 pep:known chromosome:GRCm38:4:46536937:46566440:-1 gene:ENSMUSG00000028337.14 transcript:ENSMUST00000030021.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro2a description:coronin, actin binding protein 2A [Source:MGI Symbol;Acc:MGI:1345966] MSWHPQYRSSKFRHVYGKPASKENCYDSVPITRSVHDNHFCAVNPHFIAVVTECAGGGAF LVIPLHQTGKLDPHYPKVCGHRGNVLDIKWNPFNDFEIASCSEDATIKIWNIPKQLLTRN LTTYRKELIGHARRVGLVEWHPTTANILFSAGYDYKVMVWNLDTKDSVIAGPVKTINCHQ DVILSMSFNTNGSLLATTCKDRKIRIVDPRLGIVLQEASYKGHRANKVLFLGSLKKLLST GTSRWNNRQMALWDQENLSVPLTEEDLDGSSGVLFPFFDSDTSMLYIVGKGDGNIRYYEV SMEKPHLTYLTEYRSYNPQKGIGIMPKRGLDVSSCEIFRFYKLITTKSLIEPVSMIVPRR SESYQEDIYPPTAAAQPSLTAHEWLSGMNRGPIMMSLRPGSELLDSQTLPPERPLSNSMV QVSPQPLEPMKQPAEDGDQAPFSLLEEKLAKWTAEHHLGEKSCLTNGFDVFECSPPKTEN ELLQMFYRQQEEIRRLRELLIQREVQTKQLELEIKNLRMALGQL >ENSMUSP00000028536.6 pep:known chromosome:GRCm38:2:106962527:106974424:-1 gene:ENSMUSG00000027122.15 transcript:ENSMUST00000028536.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl14ep description:ADP-ribosylation factor-like 14 effector protein [Source:MGI Symbol;Acc:MGI:1926020] MDPCSVGVQLRTTHDCHKTFYTRHTGFKTLKELSSNDMLLLQLRTGMTLSGNNTICLHHV KIYIDRFEDLQKSCCDPFNIHKKLAKKNLHVIDLDDATFLSAKFGRQLVPGWKLCPKCTQ IINGSVDVDSDDRQRRKPDSDGRTAKALRSLQFTNPGKQTEFAPEGGKREKRKLTKATSA ASDRQIIPAKSKVYDSQGLLIFSGMDLCDCLDEDCLGCFYACPTCGSTKCGAECRCDRKW LYEQIEIEGGEIIHNKHAGKAYGLLSPCHPYDILQK >ENSMUSP00000091409.3 pep:known chromosome:GRCm38:2:106964429:106970391:-1 gene:ENSMUSG00000027122.15 transcript:ENSMUST00000093883.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl14ep description:ADP-ribosylation factor-like 14 effector protein [Source:MGI Symbol;Acc:MGI:1926020] MDPCSVGVQLRTTHDCHKTFYTRHTGFKTLKELSSNDMLLLQLRTGMTLSGNNTICLHHV KIYIDRFEDLQKSCCDPFNIHKKLAKKNLHVIDLDDATFLSAKFGRQLVPGWKLCPKCTQ IINGSVDVDSDDRQRRKPDSDGRTAKALRSLQFTNPGKQTEFAPEGGKREKRKLTKATSA ASDRQIIPAKSKVYDSQGLLIFSGMDLCDCLDEDCLGCFYACPTCGSTKCGAECRCDRKW LYEQIEIEGGEIIHNKHAGKAYGLLSPCHPYDILQK >ENSMUSP00000078685.5 pep:known chromosome:GRCm38:6:116624016:116628999:-1 gene:ENSMUSG00000059878.12 transcript:ENSMUST00000079749.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp422 description:zinc finger protein 422 [Source:MGI Symbol;Acc:MGI:1914505] MRLGKPKGGISRSASQGKAYESKRKTARQRQKWGVAIRFDSGLSRRRRNVDEKPYKCAKC SKSFSQSSTLFQHKKIHTGKKSHKCADCGKSFFQSSNLIQHRRIHTGEKPYKCDECGERF KQSSNLIQHQRIHTGEKPYCCDECGRCFSQSSHLIQHQRTHTGEKPYQCEECDKCFSQSS HLRQHMKVHKEKKPHKRGKNARVKTHPVSWKRGKGRKAVAGIRQVKGATSGLFKKKK >ENSMUSP00000108501.1 pep:known chromosome:GRCm38:6:116624904:116628701:-1 gene:ENSMUSG00000059878.12 transcript:ENSMUST00000112880.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp422 description:zinc finger protein 422 [Source:MGI Symbol;Acc:MGI:1914505] MRLGKPKGGISRSASQGKAYESKRKTARQRQKWGVAIRFDSGLSRRRRNVDEKPYKCAKC SKSFSQSSTLFQHKKIHTGKKSHKCADCGKSFFQSSNLIQHRRIHTGEKPYKCDECGERF KQSSNLIQHQRIHTGEKPYCCDECGRCFSQSSHLIQHQRTHTGEKPYQCEECDKCFSQSS HLRQHMKVHKEKKPHKRGKNARVKTHPVSWKRGKGRKAVAGIRQVKGATSGLFKKKK >ENSMUSP00000084926.2 pep:known chromosome:GRCm38:6:116624904:116628922:-1 gene:ENSMUSG00000059878.12 transcript:ENSMUST00000057540.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp422 description:zinc finger protein 422 [Source:MGI Symbol;Acc:MGI:1914505] MRLGKPKGGISRSASQGKAYESKRKTARQRQKWGVAIRFDSGLSRRRRNVDEKPYKCAKC SKSFSQSSTLFQHKKIHTGKKSHKCADCGKSFFQSSNLIQHRRIHTGEKPYKCDECGERF KQSSNLIQHQRIHTGEKPYCCDECGRCFSQSSHLIQHQRTHTGEKPYQCEECDKCFSQSS HLRQHMKVHKEKKPHKRGKNARVKTHPVSWKRGKGRKAVAGIRQVKGATSGLFKKKK >ENSMUSP00000102350.1 pep:known chromosome:GRCm38:7:109449006:109493738:-1 gene:ENSMUSG00000031026.15 transcript:ENSMUST00000106739.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim66 description:tripartite motif-containing 66 [Source:MGI Symbol;Acc:MGI:2152406] MARNCSECKEKRAAHILCTYCNRWLCSSCTEEHRHVPAPGGPLFARAQKGSSGVNGGSGD FALYCPLHTQEVLKLFCETCDVLTCHSCLMVEHKEHRCRHVEEVLQNQRMLLESVTSQVA HKKSSLQTSAKQIEDRIFEVKHQHRKVENQIKMAKMVLMNELNKQANGLIEELEGITNER KRKLEQQLQSIMVLNRQFEHVQNFINWAVCSKSSVPFLFSKELIVFQMQRLLETRCNTDP GSPWSIRFTWEPNFWTKQLASLGCITTEGGQLTRADAAAASYGSLQGQPSFYQSHQAPMA QQEALSHPSHKFQSPALCSSSVCCSHCSPVSPSLKGQVPPPSIHPAHSFRQPSEMVPHQL GSLQCSTLLPREKELACSPHPPKLMQPWLEPQPPAEQESTSQRPGPQLVSQPVCIVPPQD VQPGAHAQPTIQTPSIQVQLGHHQKLKLSHFQQQPQQQPPPPPPPPPPPQHAPPPLPPSQ HLASSQHESPPGPACSQNVDIMHHKFELEEMQKDLELLLQAQQPSLQLSQTKSPQHLQQT IVGQINYIVRQPAPVQSQSQEETLQVTEEPPAPEGPKPALPVDKNTAAPLPQTSGEETPH SVPPVDGTSQHSSPNVVRKHATSVSIMGFSNTVEMELSSTRLARTIEPQIHRVSSLTAAP THTIPSLLSGPPQTVSSLMSVSNHAMPSLTASHLQPVPNLVRGTFQSTSNLRGDSSQAIT GLASNHSQAGPSLMSGHTQAAPSLATCPLQGMPPVSDVHVEPRSVSSPGSGPAAESLGTR DGAESSLGNALCKMESEDCTRFSDSVGQGPTASSLDGPKDLAIPSELEEPINLSVKKPFL APVINTSTALQQYRNPKEYENFEQGALELDTKENSDIRAISSEPKIPYVRLERLKICAAS SGEMPVFKLKPQKNSQDGNFLLVIECGTESSSMSIKVSQNSLPDASQGPGLGGRKVTVTS LTGQQPQEVESTSEEHRLIPRAPGAKKNTPAPIENEDFCAVCINGGELLCCDRCPKVYHL SCHVPALLSFPGGEWVCTLCRSLTQPEMEYDCENARYGHPGVRVLPGLSMYDQKKCEKLV LSLCCNSLSLPFHEPVSPLARHYYQIIKRPMDLSIIRRKLQKKDPAHYTTPEEVVSDVRL MFWNCAKFNYPDSEVAEAGRCLEVFFEGWLKEIYPDKCFAQPQQEDSDSEDVSGESGCST PQGFPWPPYMQEGIQPKRRRRHMVKSFCQPVGE >ENSMUSP00000102352.2 pep:known chromosome:GRCm38:7:109453776:109508134:-1 gene:ENSMUSG00000031026.15 transcript:ENSMUST00000106741.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim66 description:tripartite motif-containing 66 [Source:MGI Symbol;Acc:MGI:2152406] MSPGLPVSIPSQPHCSTDERVEALAPTCSMCGRDLQAEGSRLLPCQHLLCKDCYQGFMQE LGHATRAYPGKLISCPGCQRVYLTRDVTEHIFLQCFSPVKPTMARNCSECKEKRAAHILC TYCNRWLCSSCTEEHRHVPAPGGPLFARAQKGSSGVNGGSGDFALYCPLHTQEVLKLFCE TCDVLTCHSCLMVEHKEHRCRHVEEVLQNQRMLLESVTSQVAHKKSSLQTSAKQIEDRIF EVKHQHRKVENQIKMAKMVLMNELNKQANGLIEELEGITNERKRKLEQQLQSIMVLNRQF EHVQNFINWAVCSKSSVPFLFSKELIVFQMQRLLETRCNTDPGSPWSIRFTWEPNFWTKQ LASLGCITTEGGQLTRADAAAASYGSLQGQPSFYQSHQAPMAQQEALSHPSHKFQSPALC SSSVCCSHCSPVSPSLKGQVPPPSIHPAHSFRQPSEMVPHQLGSLQCSTLLPREKELACS PHPPKLMQPWLEPQPPAEQESTSQRPGPQLVSQPVCIVPPQDVQPGAHAQPTIQTPSIQV QLGHHQKLKLSHFQQQPQQQPPPPPPPPPPPQHAPPPLPPSQHLASSQHESPPGPACSQN VDIMHHKFELEEMQKDLELLLQAQQPSLQLSQTKSPQHLQQTIVGQINYIVRQPAPVQSQ SQEETLQVTEEPPAPEGPKPALPVDKNTAAPLPQTSGEETPHSVPPVDGTSQHSSPNVVR KHATSVSIMGFSNTVEMELSSTRLARTIEPQIHRVSSLTAAPTHTIPSLLSGPPQTVSSL MSVSNHAMPSLTASHLQPVPNLVRGTFQSTSNLRGDSSQAITGLASNHSQAGPSLMSGHT QAAPSLATCPLQGMPPVSDVHVEPRSVSSPGSGPAAESLGTRDGAESSLGNALCKMESED CTRFSDSVGQGPTASSLDGPKDLAIPSELEEPINLSVKKPFLAPVINTSTALQQYRNPKE YENFEQGALELDTKENSDIRAISSEPKIPYVRLERLKICAASSGEMPVFKLKPQKNSQDG NFLLVIECGTESSSMSIKVSQNSLPDASQGPGLGGRKVTVTSLTGQQPQEVESTSEEHRL IPRAPGAKKNTPAPIENEDFCAVCINGGELLCCDRCPKVYHLSCHVPALLSFPGGEWVCT LCRSLTQPEMEYDCENARYGHPGVRVLPGLSMYDQKKCEKLVLSLCCNSLSLPFHEPVSP LARHYYQIIKRPMDLSIIRRKLQKKDPAHYTTPEEVVSDVRLMFWNCAKFNYPDSEVAEA GRCLEVFFEGWLKEIYPDKCFAQPQQEDSDSEDVSGESGCSTPQGFPWPPYMQEGIQPKR RRRHMENEKTKRVSFRLANSISQV >ENSMUSP00000033339.6 pep:known chromosome:GRCm38:7:109453776:109508134:-1 gene:ENSMUSG00000031026.15 transcript:ENSMUST00000033339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim66 description:tripartite motif-containing 66 [Source:MGI Symbol;Acc:MGI:2152406] MARNCSECKEKRAAHILCTYCNRWLCSSCTEEHRHVPAPGGPLFARAQKGSSGVNGGSGD FALYCPLHTQEVLKLFCETCDVLTCHSCLMVEHKEHRCRHVEEVLQNQRMLLESVTSQVA HKKSSLQTSAKQIEDRIFEVKHQHRKVENQIKMAKMVLMNELNKQANGLIEELEGITNER KRKLEQQLQSIMVLNRQFEHVQNFINWAVCSKSSVPFLFSKELIVFQMQRLLETRCNTDP GSPWSIRFTWEPNFWTKQLASLGCITTEGGQLTRADAAAASYGSLQGQPSFYQSHQAPMA QQEALSHPSHKFQSPALCSSSVCCSHCSPVSPSLKGQVPPPSIHPAHSFRQPSEMVPHQL GSLQCSTLLPREKELACSPHPPKLMQPWLEPQPPAEQESTSQRPGPQLVSQPVCIVPPQD VQPGAHAQPTIQTPSIQVQLGHHQKLKLSHFQQQPQQQPPPPPPPPPPPQHAPPPLPPSQ HLASSQHESPPGPACSQNVDIMHHKFELEEMQKDLELLLQAQQPSLQLSQTKSPQHLQQT IVGQINYIVRQPAPVQSQSQEETLQVTEEPPAPEGPKPALPVDKNTAAPLPQTSGEETPH SVPPVDGTSQHSSPNVVRKHATSVSIMGFSNTVEMELSSTRLARTIEPQIHRVSSLTAAP THTIPSLLSGPPQTVSSLMSVSNHAMPSLTASHLQPVPNLVRGTFQSTSNLRGDSSQAIT GLASNHSQAGPSLMSGHTQAAPSLATCPLQGMPPVSDVHVEPRSVSSPGSGPAAESLGTR DGAESSLGNALCKMESEDCTRFSDSVGQGPTASSLDGPKDLAIPSELEEPINLSVKKPFL APVINTSTALQQYRNPKEYENFEQGALELDTKENSDIRAISSEPKIPYVRLERLKICAAS SGEMPVFKLKPQKNSQDGNFLLVIECGTESSSMSIKVSQNSLPDASQGPGLGGRKVTVTS LTGQQPQEVESTSEEHRLIPRAPGAKKNTPAPIENEDFCAVCINGGELLCCDRCPKVYHL SCHVPALLSFPGGEWVCTLCRSLTQPEMEYDCENARYGHPGVRVLPGLSMYDQKKCEKLV LSLCCNSLSLPFHEPVSPLARHYYQIIKRPMDLSIIRRKLQKKDPAHYTTPEEVVSDVRL MFWNCAKFNYPDSEVAEAGRCLEVFFEGWLKEIYPDKCFAQPQQEDSDSEDVSGESGCST PQGFPWPPYMQEGIQPKRRRRHMENEKTKRVSFRLANSISQV >ENSMUSP00000007259.3 pep:known chromosome:GRCm38:17:35071443:35074500:-1 gene:ENSMUSG00000073413.9 transcript:ENSMUST00000007259.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g6d description:lymphocyte antigen 6 complex, locus G6D [Source:MGI Symbol;Acc:MGI:2148931] MNSQLVGILLSALLGVALGHRTRCYDCGGGPSNSCKQTVITCGEGERCGFLDRKPQPSSE QAKQPSATLSHHYPACVATHHCNQVAIESVGDVTFTTQKNCCFGDLCNSAVASSVTPLCI LAAAVTTLAWLLPGL >ENSMUSP00000141299.1 pep:known chromosome:GRCm38:3:93651542:93652686:-1 gene:ENSMUSG00000103362.1 transcript:ENSMUST00000191960.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdpoz2 description:TD and POZ domain containing 2 [Source:MGI Symbol;Acc:MGI:3027902] MSGDMEAKIWGSTQISVKEFCYEWTISNFSFCMGGIRRKIKSPVFSLEANEEVAWCLRVH PNGFDEESKDYLSVYLVLVNCPKRQVRAKFEFWIKNSQGEKYQYTQSLNVPSFQRKQNWG FSKFILRDSLLSHRNWLLPKDKLTLCCKVSIVGAILNMPGQNMIPAIKDPRHMLTDDLGK LWENSLFTDCSLLVAGHEFRAHKVILAARSPVFRAMFEPQMEERLANCFEIQELDFQVFK EMMDFIYTGKAPTLHSHSMACDVLAAADKYGLEGLKVICEDSLCRNVSVENAAHTLIVAD LHSTEQLKTRALHFIAVHASEVSKSSGWKSMVESHPHLVDERFRSLASAQCFLGVIIQTP >ENSMUSP00000019276.4 pep:known chromosome:GRCm38:13:24801657:24814013:1 gene:ENSMUSG00000019132.10 transcript:ENSMUST00000019276.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC005537 description:cDNA sequence BC005537 [Source:MGI Symbol;Acc:MGI:2441726] MGDPNSRKKQALNRLRAQLRKKKESLADQFDFKMYIAFVFKEKKKKSALFEVSEVIPVMT NNYEENILKGVRDSSYSLESSLELLQKDVVQLHAPRYQSMRRDVIGCTQEMDFILWPRND IEKIVCLLFSRWKESDEPFRPVQAKFEFHHGDYEKQFLHVLSRKDKTGIVVNNPNQSVFL FIDRQHLQTPKNKATIFKLCSICLYLPQEQLTHWAVGTIEDHLRPYMPE >ENSMUSP00000123652.1 pep:known chromosome:GRCm38:13:24802020:24812660:1 gene:ENSMUSG00000019132.10 transcript:ENSMUST00000155575.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC005537 description:cDNA sequence BC005537 [Source:MGI Symbol;Acc:MGI:2441726] MSENPSDPVSPVVRKKKSALFEVSEVIPVMTNNYEENILKGVRDSSYSLESSLELLQKDV VQLHAPRYQSMRRDVIGCTQEMDFILWPRNDIEKIVCLLFSRWKESDEPFRPVQAKFEFH HGDYEKQFLHVLSRKDKTGIVVNNPNQSVFLFIDRQHLQTPKNKATI >ENSMUSP00000038110.8 pep:known chromosome:GRCm38:16:96200470:96228933:1 gene:ENSMUSG00000040666.17 transcript:ENSMUST00000048770.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgr description:SH3-binding domain glutamic acid-rich protein [Source:MGI Symbol;Acc:MGI:1354740] MVIKVFVATSSGSIAIRKKQQEVVGFLEANKIDFKELDIAGDEDNRKWMRENVPGEKKPQ NGIPLPPQIFNEEQYCGDFDSFFSAKEENIIYSFLGLAPPPGSKVTKSEEASSLPNGDVA GEAEGAAEGTEKAEKSGENEAQKEDSEDTGELSESQEKKEEEGEDGEEGEEGEEREEGGE GETTGETEEAPEEGAGGEAEEEEPEEEAGEGEDS >ENSMUSP00000129010.1 pep:known chromosome:GRCm38:16:96200704:96220591:1 gene:ENSMUSG00000040666.17 transcript:ENSMUST00000166952.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgr description:SH3-binding domain glutamic acid-rich protein [Source:MGI Symbol;Acc:MGI:1354740] MVIKVFVATSSGSIAIRKKQQEVVGFLEANKIDFKELDIAGDEDNRKWMRENVPGEKKPQ NGIPLPPQIFNEEQYCGDFDSFFSAKEENIIYSFLGLAPPPGSKGTEKAEKSGENEAQKE DSEDTGELSESQEKK >ENSMUSP00000120614.2 pep:known chromosome:GRCm38:16:96200729:96224591:1 gene:ENSMUSG00000040666.17 transcript:ENSMUST00000132424.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgr description:SH3-binding domain glutamic acid-rich protein [Source:MGI Symbol;Acc:MGI:1354740] XSSGSIAIRKKQQEVVGFLEANKIDFKELDIAGDEDNRKWMRENVPGEKKPQNGIPLPPQ IFNEEQYCGDFDSFFSAKEENIIYSFLGLAPPPGSKEEEGEDGEEGEEGEEREEGGEGET TGETEEAPEEGAGGEAEEEEPEEEAGEGEDS >ENSMUSP00000116740.1 pep:known chromosome:GRCm38:16:96205719:96220591:1 gene:ENSMUSG00000040666.17 transcript:ENSMUST00000129904.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgr description:SH3-binding domain glutamic acid-rich protein [Source:MGI Symbol;Acc:MGI:1354740] MERAQHDNMSSDLQTCSLGNSRALGILRIEKGPGEDIRKKQQEVVGFLEANKIDFKELDI AGDEDNRKWMRENVPGEKKPQNGIPLPPQIFNEEQYCGDFDSFFSAKEENIIYSFLGLAP PPGSKVTKSEEASSLPNGDVAGEAEGAAEGTEKAEKSGENEAQKEDSEDTGELSESQEKK >ENSMUSP00000116607.1 pep:known chromosome:GRCm38:16:96206643:96224563:1 gene:ENSMUSG00000040666.17 transcript:ENSMUST00000157060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgr description:SH3-binding domain glutamic acid-rich protein [Source:MGI Symbol;Acc:MGI:1354740] XFNEEQYCGGTEKAEKSGENEAQKEDSEDTGELSESQEKKEEEGEDGEEGEEGEEREEGG EGETTGETEEAPEEGAGGEAEEEEPEEEAGEGEDS >ENSMUSP00000116241.1 pep:known chromosome:GRCm38:16:96220499:96228925:1 gene:ENSMUSG00000040666.17 transcript:ENSMUST00000145069.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgr description:SH3-binding domain glutamic acid-rich protein [Source:MGI Symbol;Acc:MGI:1354740] GTEKAEKSGENEAQKEDSEDTGELSESQEKKNIRATRLHLNSLFSINATKYLAGSQHPSL GTPGFTEDSRPPRQLGACGSLPHCVNTRLNVKTARVRCLKFLVFA >ENSMUSP00000126581.2 pep:known chromosome:GRCm38:16:96200671:96224560:1 gene:ENSMUSG00000040666.17 transcript:ENSMUST00000171181.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgr description:SH3-binding domain glutamic acid-rich protein [Source:MGI Symbol;Acc:MGI:1354740] MVIKVFVATSSGSIAIRKKQQEVVGFLEANKIDFKELDIAGDEDNRKWMRENVPGEKKPQ NGIPLPPQIFNEEQYCGDFDSFFSAKEENIIYSFLGLAPPPGSKVTKSEEASSLPNGDVA GEAEGAAEGTEKAEKSGENEAQKEDSEDTGELSESQEKKEEEGEDGEEGEEGEEREEGGE GETTGETEEAPEEGAGGEAEEEEPEEEAGEGEDS >ENSMUSP00000116232.3 pep:known chromosome:GRCm38:16:96200671:96228928:1 gene:ENSMUSG00000040666.17 transcript:ENSMUST00000123728.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bgr description:SH3-binding domain glutamic acid-rich protein [Source:MGI Symbol;Acc:MGI:1354740] MVIKVFVATSSGSIAIRKKQQEVVGFLEANKIDFKELDIAGDEDNRKWMRENVPGEKKPQ NGIPLPPQIFNEEQYCGDFDSFFSAKEENIIYSFLGLAPPPGSKVTKSESQEKKEEAPEE GEEAGEGEDS >ENSMUSP00000012612.4 pep:known chromosome:GRCm38:11:6389364:6406158:1 gene:ENSMUSG00000041164.15 transcript:ENSMUST00000012612.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmiz2 description:zinc finger, MIZ-type containing 2 [Source:MGI Symbol;Acc:MGI:106374] MNPMNPMKPALPPAPHGDGSFAYESVPWQQSATQPAGSLSVVTTVWGVGNATQSQVLGNP MGPAGSPPGGSMMPGVAGGSSALTSPQCLGQQAFAEGGASKSYVQQGVYGRGSYPGGSSF TTGYAGGPAGLGLPTHAARPSTDFTQAAAAAAMAAAAATATATATATVAALQEKQSQELS QYGAMGTGQSFNSQFLQHGGPRGPSVPPGMNPSGMGGMMGPSGLSSMAMTPTRAAGMTPL YAGQRLPQHGYPGPPQGQPLPRQGIKRAYSEVYPGQQYLQGGQYAANTAQYAPGPGQPPG PASSYAGHRLPLQQGMAQSLSAPGPTGLHYKPTEQFNGQGASFNGGSISYSQPGLSGPSR SIPGYPSSPLPGNPTPPMTPSSNVPYMSPSQEVKSPFLPDLKPGLSSLHPSPSASVHCDE LRLTFPVRDGVVLEPFRLQHNLAVSNHVFQLRDSVYKTLMLRPDLELQFKCYHHEDRQMN TNWPASVQVSVNATPLSIERGDNKTSHKPLYLKHVCQPGRNTIQITVTACCCSHLFVLQL VHRPSVRSVLQGLLKKRLLPAEHCITKIKRNFSSGTIPGTPGPNGEDGVEQTAIKVSLKC PITFRRIQLPARGHDCRHIQCFDLESYLQLNCERGTWRCPVCNKTALLEGLEVDQYMLGI LIYIQNSDYEEITIDPTCSWKPVPVKPDLHIKEEPDGPVLKRCRTVSPAHVLMPSVMEMI AALGPGAAPFAPLQPPSAPTPSDYPSQGSNFMGPGTFPESFPSATPTTPNLAEFTQGPPP ISYQSDIPSSLLTPDKSTPCLPGQMAPAGHLDPAHNPGPPGLHTPNLGPTPGTQLHHPNP SPASRQPLGQPNTGPISELAFNPASGMMGPPSMTGAGEASEPALDLLPELTNPDELLSYL GPPDLPTNSSDDLLSLFENN >ENSMUSP00000105410.1 pep:known chromosome:GRCm38:11:6389074:6406158:1 gene:ENSMUSG00000041164.15 transcript:ENSMUST00000109787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmiz2 description:zinc finger, MIZ-type containing 2 [Source:MGI Symbol;Acc:MGI:106374] MNPMNPMKPALPPAPHGDGSFAYESVPWQQSATQPAGSLSVVTTVWGVGNATQSQVLGNP MGPAGSPPGGSMMPGVAGGSSALTSPQCLGQQAFAEGGASKSYVQQGVYGRGSYPGGSSF TTGYAGGPAGLGLPTHAARPSTDFTQAAAAAAMAAAAATATATATATVAALQEKQSQELS QYGAMGTGQSFNSQFLQHGGPRGPSVPPGMNPSGMGGMMGPSGLSSMAMTPTRAAGMTPL YAGQRLPQHGYPGPPQGQPLPRQGIKRAYSEVYPGQQYLQGGQYAANTAQYAPGPGQPPG PASSYAGHRLPLQQGMAQSLSAPGPTGLHYKPTEQFNGQGASFNGGSISYSQPGLSGPSR SIPGYPSSPLPGNPTPPMTPSSNVPYMSPSQEVKSPFLPDLKPGLSSLHPSPSASVHCDE LRLTFPVRDGVVLEPFRLQHNLAVSNHVFQLRDSVYKTLMLRPDLELQFKCYHHEDRQMN TNWPASVQVSVNATPLSIERGDNKTSHKPLYLKHVCQPGRNTIQITVTACCCSHLFVLQL VHRPSVRSVLQGLLKKRLLPAEHCITKIKRNFSSGTIPGTPGPNGEDGVEQTAIKVSLKC PITFRRIQLPARGHDCRHIQCFDLESYLQLNCERGTWRCPVCNKTALLEGLEVDQYMLGI LIYIQNSDYEEITIDPTCSWKPVPVKPDLHIKEEPDGPVLKRCRTVSPAHVLMPSVMEMI AALGPGAAPFAPLQPPSAPTPSDYPSQGSNFMGPGTFPESFPSATPTTPNLAEFTQGPPP ISYQSDIPSSLLTPDKSTPCLPGQMAPAGHLDPAHNPGPPGLHTPNLGPTPGTQLHHPNP SPASRQPLGQPNTGPISELAFNPASGMMGPPSMTGAGEASEPALDLLPELTNPDELLSYL GPPDLPTNSSDDLLSLFENN >ENSMUSP00000105409.1 pep:known chromosome:GRCm38:11:6389471:6406158:1 gene:ENSMUSG00000041164.15 transcript:ENSMUST00000109786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmiz2 description:zinc finger, MIZ-type containing 2 [Source:MGI Symbol;Acc:MGI:106374] MNPMNPMKPALPPAPHGDGSFAYESVPWQQSATQPAGSLSVVTTVWGVGNATQSQVLGNP MGPAGSPPGGSMMPGVAGGSSALTSPQCLGQQAFAEGGASKSYVQQGVYGRGSYPGGSSF TTGYAGGPAGLGLPTHAARPSTDFTQAAAAAAMAAAAATATATATATVAALQEKQSQELS QYGAMGTGQSFNSQFLQHGGPRGPSVPPGMNPSGMGGMMGPSGLSSMAMTPTRAAGMTPL YAGQRLPQHGYPGPPQGQPLPRQGIKRAYSEVYPGQQYLQGGQYAANTAQYAPGPGQPPG PASSYAGHRLPLQQGMAQSLSAPGPTGLHYKPTEQFNGQGASFNGGSISYSQPGLSGPSR SIPGYPSSPLPGNPTPPMTPSSNVPYMSPSQEVKSPFLPDLKPGLSSLHPSPSASVHCDE LRLTFPVRDGVVLEPFRLQHNLAVSNHVFQLRDSVYKTLMLRPDLELQFKCYHHEDRQMN TNWPASVQVSVNATPLSIERGDNKTSHKPLYLKHVCQPGRNTIQITVTACCCSHLFVLQL VHRPSVRSVLQGLLKKRLLPAEHCITKIKRNFSSGTIPGTPGPNGEDGVEQTAIKVSLKC PITFRRIQLPARGHDCRHIQCFDLESYLQLNCERGTWRCPVCNKTALLEGLEVDQYMLGI LIYIQNSDYEEITIDPTCSWKPVPVKPDLHIKEEPDGPVLKRCRTVSPAHVLMPSVMEMI AALGPGAAPFAPLQPPSAPTPSDYPSQGSNFMGPGTFPESFPSATPTTPNLAEFTQGPPP ISYQSDIPSSLLTPDKSTPCLPGQMAPAGHLDPAHNPGPPGLHTPNLGPTPGTQLHHPNP SPASRQPLGQPNTGPISELAFNPASGMMGPPSMTGAGEASEPALDLLPELTNPDELLSYL GPPDLPTNSSDDLLSLFENN >ENSMUSP00000099978.3 pep:known chromosome:GRCm38:11:6395188:6406074:1 gene:ENSMUSG00000041164.15 transcript:ENSMUST00000102914.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmiz2 description:zinc finger, MIZ-type containing 2 [Source:MGI Symbol;Acc:MGI:106374] MNPMNPMKPALPPAPHGDGSFAYESVPWQQSATQPAGSLSVVTTVWGVGNATQSQCLGQQ AFAEGGASKSYVQQGVYGRGSYPGGSSFTTGYAGGPAGLGLPTHAARPSTDFTQAAAAAA MAAAAATATATATATVAALQEKQSQELSQYGAMGTGQSFNSQFLQHGGPRGPSVPPGMNP SGMGGMMGPSGLSSMAMTPTRAAGMTPLYAGQRLPQHGYPGPPQGQPLPRQGIKRAYSEV YPGQQYLQGGQYAANTAQYAPGPGQPPGPASSYAGHRLPLQQGMAQSLSAPGPTGLHYKP TEQFNGQGASFNGGSISYSQPGLSGPSRSIPGYPSSPLPGNPTPPMTPSSNVPYMSPSQE VKSPFLPDLKPGLSSLHPSPSASVHCDELRLTFPVRDGVVLEPFRLQHNLAVSNHVFQLR DSVYKTLMLRPDLELQFKCYHHEDRQMNTNWPASVQVSVNATPLSIERGDNKTSHKPLYL KHVCQPGRNTIQITVTACCCSHLFVLQLVHRPSVRSVLQGLLKKRLLPAEHCITKIKRNF SSGTIPGTPGPNGEDGVEQTAIKVSLKCPITFRRIQLPARGHDCRHIQCFDLESYLQLNC ERGTWRCPVCNKTALLEGLEVDQYMLGILIYIQNSDYEEITIDPTCSWKPVPVKPDLHIK EEPDGPVLKRCRTVSPAHVLMPSVMEMIAALGPGAAPFAPLQPPSAPTPSDYPSQGSNFM GPGTFPESFPSATPTTPNLAEFTQGPPPISYQSDIPSSLLTPDKSTPCLPGQMAPAGHLD PAHNPGPPGLHTPNLGPTPGTQLHHPNPSPASRQPLGQPNTGPISELAFNPASGMMGPPS MTGAGEASEPALDLLPELTNPDELLSYLGPPDLPTNSSDDLLSLFENN >ENSMUSP00000105408.1 pep:known chromosome:GRCm38:11:6395605:6405930:1 gene:ENSMUSG00000041164.15 transcript:ENSMUST00000109785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zmiz2 description:zinc finger, MIZ-type containing 2 [Source:MGI Symbol;Acc:MGI:106374] MNPMNPMKPALPPAPHGDGSFAYESVPWQQSATQPAGSLSVVTTVWGVGNATQSQVLGNP MGPAGSPPGGSMMPGVAGGSSALTSPQCLGQQAFAEGGASKSYVQQGVYGRGSYPGGSSF TTGYAGGPAGLGLPTHAARPSTDFTQAAAAAAMAAAAATATATATATVAALQEKQSQELS QYGAMGTGQSFNSQFLQHGGPRGPSVPPGMNPSGMGGMMGPSGLSSMAMTPTRAAGMTPL YAGQRLPQHGYPGPPQGQPLPRQGIKRAYSEVYPGQQYLQGGQYAANTAQYAPGPGQPPG PASSYAGHRLPLQQGMAQSLSAPGPTGLHYKPSRSIPGYPSSPLPGNPTPPMTPSSNVPY MSPSQEVKSPFLPDLKPGLSSLHPSPSASVHCDELRLTFPVRDGVVLEPFRLQHNLAVSN HVFQLRDSVYKTLMLRPDLELQFKCYHHEDRQMNTNWPASVQVSVNATPLSIERGDNKTS HKPLYLKHVCQPGRNTIQITVTACCCSHLFVLQLVHRPSVRSVLQGLLKKRLLPAEHCIT KIKRNFSSGTIPGTPGPNGEDGVEQTAIKVSLKCPITFRRIQLPARGHDCRHIQCFDLES YLQLNCERGTWRCPVCNKTALLEGLEVDQYMLGILIYIQNSDYEEITIDPTCSWKPVPVK PDLHIKEEPDGPVLKRCRTVSPAHVLMPSVMEMIAALGPGAAPFAPLQPPSAPTPSDYPS QGSNFMGPGTFPESFPSATPTTPNLAEFTQGPPPISYQSDIPSSLLTPDKSTPCLPGQMA PAGHLDPAHNPGPPGLHTPNLGPTPGTQLHHPNPSPASRQPLGQPNTGPISELAFNPASG MMGPPSMTGAGEASEPALDLLPELTNPDELLSYLGPPDLPTNSSDDLLSLFENN >ENSMUSP00000109237.3 pep:known chromosome:GRCm38:6:87887814:87913595:1 gene:ENSMUSG00000030058.17 transcript:ENSMUST00000113607.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Copg1 description:coatomer protein complex, subunit gamma 1 [Source:MGI Symbol;Acc:MGI:1858696] MLKKFDKKDEESGGGSNPLQHLEKSAVLQEARVFNETPINPRKCAHILTKILYLINQGEH LGTTEATEAFFAMTKLFQSNDPTLRRMCYLTIKEMSCIAEDVIIVTSSLTKDMTGKEDNY RGPAVRALCQITDSTMLQAVERYMKQAIVDKVPSVSSSALVSSLHLLKCSFDVVKRWVNE AQEAASSDNIMVQYHALGLLYHVRKNDRLAVSKMISKFTRHGLKSPFAYCMMIRVASKQL EEEDGSRDSPLFDFIESCLRNKHEMVVYEAASAIVNLPGCSAKELAPAVSVLQLFCSSPK AALRYAAVRTLNKVAMKHPSAVTACNLDLENLVTDSNRSIATLAITTLLKTGSESSIDRL MKQISSFMSEISDEFKVVVVQAISALCQKYPRKHAVLMNFLFTMLREEGGFEYKRAIVDC IISIIEENSESKETGLSHLCEFIEDCEFTVLATRILHLLGQEGPKTNNPSKYIRFIYNRV VLEHEEVRAGAVSALAKFGAQNEEMLPSILVLLKRCVMDDDNEVRDRATFYLNVLEQKQK ALNAGYILNGLTVSIPGLEKALQQYTLEPSEKPFDLKSVPLATTPMAEQRPESTATAAVK QPEKVAATRQEIFQEQLAAVPEFQGLGPLFKSSPEPVALTESETEYVIRCTKHTFSDHLV FQFDCTNTLNDQTLENVTVQMEPTEAYEVLSYVPARSLPYNQPGTCYTLVALPTEDPTAV ACTFSCVMKFTVKDCDPNTGEIDEEGYEDEYVLEDLEVTVADHIQKVMKVNFEAAWDEVG DEFEKEETFTLSTIKTLEEAVGNIVKFLGMHPCERSDKVPENKNTHTLLLAGVFRGGHDI LVRSRLLLLDTVTMQVTARSSEELPVDIILASVG >ENSMUSP00000050858.5 pep:known chromosome:GRCm38:6:87887928:87890759:1 gene:ENSMUSG00000030058.17 transcript:ENSMUST00000049966.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Copg1 description:coatomer protein complex, subunit gamma 1 [Source:MGI Symbol;Acc:MGI:1858696] MLKKFDKKDEESGGGSNPLQHLEKSAVLQEARVFNETPINPRKCAHILTKILYLINQGEH LGTTEATEAFFAMTKLFQSNDPTLRRMCYLTIKEMSCIAEDVIIVTSRHVLGRGGSSGGI H >ENSMUSP00000125278.1 pep:known chromosome:GRCm38:1:136052750:136119530:1 gene:ENSMUSG00000026407.17 transcript:ENSMUST00000160641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1s description:calcium channel, voltage-dependent, L type, alpha 1S subunit [Source:MGI Symbol;Acc:MGI:88294] MEPPSPQDEGLRKKQPKKPVPEILPRPPRALFCLTLQNPLRKACISIVEWKPFETIILLT IFANCVALAVYLPMPEDDNNTLNLGLEKLEYFFLIVFSIEAAMKIIAYGFLFHQDAYLRS GWNVLDFIIVFLGVFTVILEQVNIIQTNTAPMSSKGAGLDVKALRAFRVLRPLRLVSGVP SLQVVLNSIFKAMLPLFHIALLVLFMVIIYAIIGLELFKGKMHKTCYFIGTDIVATVENE KPSPCARTGSGRPCTINGSECRGGWPGPNHGITHFDNFGFSMLTVYQCISMEGWTDVLYW VNDAIGNEWPWIYFVTLILLGSFFILNLVLGVLSGEFTKEREKAKSRGTFQKLREKQQLE EDLRGYMSWITQGEVMDVDDLREGKLSLDEGGSDTESLYEIEGLNKIIQFIRHWRQWNRV FRWKCHDLVKSKVFYWLVILIVALNTLSIASEHHNQPLWLTHLQDVANRVLLTLFTIEML MKMYGLGLRQYFMSIFNRFDCFVVCSGILEILLVESGAMSPLGISVLRCIRLLRLFKITK YWTSLSNLVASLLNSIRSIASLLLLLFLFIIIFALLGMQLFGGRYDFEDTEVRRSNFDNF PQALISVFQVLTGEDWNSVMYNGIMAYGGPTYPGVLVCIYFIILFVCGNYILLNVFLAIA VDNLAEAESLTSAQKAKAEERKRRKMSKGLPDKSEEERATVTKKLEQKSKGEGIPTTAKL KIDEFESNVNEVKDPYPSADFPGDDEEDEPEIPVSPRPRPLAELQLKEKAVPIPEASSFF IFSPTNKIRVLCHRIVNATWFTNFILLFILLSSAALAAEDPIRADSMRNQILEYFDYVFT AVFTVEIVLKMTTYGAFLHKGSFCRNYFNILDLLVVAVSLISMGLESSAISVVKILRVLR VLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVLVTTLLQFMFACIGVQLFKGKFYSCNDL SKMTEEECRGYYYIYKDGDPTQIELRPRQWIHNDFHFDNVLSAMMSLFTVSTFEGWPQLL YKAIDSNEEDTGPVYNNRVEMAIFFIIYIILIAFFMMNIFVGFVIVTFQEQGETEYKNCE LDKNQRQCVQYALKARPLRCYIPKNPYQYQVWYVVTSSYFEYLMFALIMLNTICLGMQHY NQSEQMNHISDILNVAFTIIFTLEMVLKLIAFKPRGYFGDPWNVFDFLIVIGSIIDVILS EIDTFLASSGGLYCLGGGCGNVDPDESARISSAFFRLFRVMRLVKLLNRAEGVRTLLWTF IKSFQALPYVALLIVMLFFIYAVIGMQMFGKIAMVDGTQINRNNNFQTFPQAVLLLFRCA TGEAWQEILLACSYGKLCDPESDYAPGEEHTCGTNFAYYYFISFYMLCAFLIINLFVAVI MDNFDYLTRDWSILGPHHLDEFKAIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKFCP HRVACKRLVGMNMPLNSDGTVTFNATLFALVRTALKIKTEGNFEQANEELRAIIKKIWKR TSMKLLDQVIPPIGDDEVTVGKFYATFLIQEHFRKFMKRQEEYYGYRPKKDTVQIQAGLR TIEEEAAPEIHRAISGDLTAEEELERAMVEAAMEEGIFRRTGGLFGQVDNFLERTNSLPP VMANQRPLQFAEIEMEELESPVFLEDFPQNPGTHPLARANTNNANANVAYGNSSHRNNPV FSSICYEREFLGEADMPVTREGPLSQPCRASGPHSRSHVDKLKRPMTQRGMPEGQVPPSP CQLSQAEHPVQKEGKGPTSRFLETPNSRNFEEHVPRNSAHRCTAPATAMLIQEALVRGGL DSLAADANFVMATGQALADACQMEPEEVEVAATELLKQESPEGGAVPWEP >ENSMUSP00000107699.3 pep:known chromosome:GRCm38:1:136052784:136119535:1 gene:ENSMUSG00000026407.17 transcript:ENSMUST00000112068.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1s description:calcium channel, voltage-dependent, L type, alpha 1S subunit [Source:MGI Symbol;Acc:MGI:88294] MEPPSPQDEGLRKKQPKKPVPEILPRPPRALFCLTLQNPLRKACISIVEWKPFETIILLT IFANCVALAVYLPMPEDDNNTLNLGLEKLEYFFLIVFSIEAAMKIIAYGFLFHQDAYLRS GWNVLDFIIVFLGVFTVILEQVNIIQTNTAPMSSKGAGLDVKALRAFRVLRPLRLVSGVP SLQVVLNSIFKAMLPLFHIALLVLFMVIIYAIIGLELFKGKMHKTCYFIGTDIVATVENE KPSPCARTGSGRPCTINGSECRGGWPGPNHGITHFDNFGFSMLTVYQCISMEGWTDVLYW VNDAIGNEWPWIYFVTLILLGSFFILNLVLGVLSGEFTKEREKAKSRGTFQKLREKQQLE EDLRGYMSWITQGEVMDVDDLREGKLSLDEGGSDTESLYEIEGLNKIIQFIRHWRQWNRV FRWKCHDLVKSKVFYWLVILIVALNTLSIASEHHNQPLWLTHLQDVANRVLLTLFTIEML MKMYGLGLRQYFMSIFNRFDCFVVCSGILEILLVESGAMSPLGISVLRCIRLLRLFKITK YWTSLSNLVASLLNSIRSIASLLLLLFLFIIIFALLGMQLFGGRYDFEDTEVRRSNFDNF PQALISVFQVLTGEDWNSVMYNGIMAYGGPTYPGVLVCIYFIILFVCGNYILLNVFLAIA VDNLAEAESLTSAQKAKAEERKRRKMSKGLPDKSEEERATVTKKLEQKSKGEGIPTTAKL KIDEFESNVNEVKDPYPSADFPGDDEEDEPEIPVSPRPRPLAELQLKEKAVPIPEASSFF IFSPTNKIRVLCHRIVNATWFTNFILLFILLSSAALAAEDPIRADSMRNQILEYFDYVFT AVFTVEIVLKMTTYGAFLHKGSFCRNYFNILDLLVVAVSLISMGLESSAISVVKILRVLR VLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVLVTTLLQFMFACIGVQLFKGKFYSCNDL SKMTEEECRGYYYIYKDGDPTQIELRPRQWIHNDFHFDNVLSAMMSLFTVSTFEGWPQLL YKAIDSNEEDTGPVYNNRVEMAIFFIIYIILIAFFMMNIFVGFVIVTFQEQGETEYKNCE LDKNQRQCVQYALKARPLRCYIPKNPYQYQVWYVVTSSYFEYLMFALIMLNTICLGMQHY NQSEQMNHISDILNVAFTIIFTLEMVLKLIAFKPRGYFGDPWNVFDFLIVIGSIIDVILS EIDDPDESARISSAFFRLFRVMRLVKLLNRAEGVRTLLWTFIKSFQALPYVALLIVMLFF IYAVIGMQMFGKIAMVDGTQINRNNNFQTFPQAVLLLFRCATGEAWQEILLACSYGKLCD PESDYAPGEEHTCGTNFAYYYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHL DEFKAIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKFCPHRVACKRLVGMNMPLNSDG TVTFNATLFALVRTALKIKTEGNFEQANEELRAIIKKIWKRTSMKLLDQVIPPIGDDEVT VGKFYATFLIQEHFRKFMKRQEEYYGYRPKKDTVQIQAGLRTIEEEAAPEIHRAISGDLT AEEELERAMVEAAMEEGIFRRTGGLFGQVDNFLERTNSLPPVMANQRPLQFAEIEMEELE SPVFLEDFPQNPGTHPLARANTNNANANVAYGNSSHRNNPVFSSICYEREFLGEADMPVT REGPLSQPCRASGPHSRSHVDKLKRPMTQRGMPEGQVPPSPCQLSQAEHPVQKEGKGPTS RFLETPNSRNFEEHVPRNSAHRCTAPATAMLIQEALVRGGLDSLAADANFVMATGQALAD ACQMEPEEVEVAATELLKQESPEGGAVPWEP >ENSMUSP00000125262.1 pep:known chromosome:GRCm38:1:136073554:136119529:1 gene:ENSMUSG00000026407.17 transcript:ENSMUST00000161865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1s description:calcium channel, voltage-dependent, L type, alpha 1S subunit [Source:MGI Symbol;Acc:MGI:88294] TGSGRPCTINGSECRGGWPGPNHGITHFDNFGFSMLTVYQCISMEGWTDVLYWVNDAIGN EWPWIYFVTLILLGSFFILNLVLGVLSGEFTKEREKAKSRGTFQKLREKQQLEEDLRGYM SWITQGEVMDVDDLREGKLSLDEGGSDTESLYEIEGLNKIIQFIRHWRQWNRVFRWKCHD LVKSKVFYWLVILIVALNTLSIASEHHNQPLWLTHLQDVANRVLLTLFTIEMLMKMYGLG LRQYFMSIFNRFDCFVVCSGILEILLVESGAMSPLGISVLRCIRLLRLFKITKYWTSLSN LVASLLNSIRSIASLLLLLFLFIIIFALLGMQLFGGRYDFEDTEVRRSNFDNFPQALISV FQVLTGEDWNSVMYNGIMAYGGPTYPGVLVCIYFIILFVCGNYILLNVFLAIAVDNLAEA ESLTSAQKAKAEERKRRKMSKGLPDKSEEERATVTKKLEQKSKGEGIPTTAKLKIDEFES NVNEVKDPYPSADFPGDDEEDEPEIPVSPRPRPLAELQLKEKAVPIPEASSFFIFSPTNK IRVLCHRIVNATWFTNFILLFILLSSAALAAEDPIRADSMRNQILEYFDYVFTAVFTVEI VLKMTTYGAFLHKGSFCRNYFNILDLLVVAVSLISMGLESSAISVVKILRVLRVLRPLRA INRAKGLKHVVQCVFVAIRTIGNIVLVTTLLQFMFACIGVQLFKGKFYSCNDLSKMTEEE CRGYYYIYKDGDPTQIELRPRQWIHNDFHFDNVLSAMMSLFTVSTFEGWPQLLYKAIDSN EEDTGPVYNNRVEMAIFFIIYIILIAFFMMNIFVGFVIVTFQEQGETEYKNCELDKNQRQ CVQYALKARPLRCYIPKNPYQYQVWYVVTSSYFEYLMFALIMLNTICLGMQHYNQSEQMN HISDILNVAFTIIFTLEMVLKLIAFKPRGYFGDPWNVFDFLIVIGSIIDVILSEIDDPDE SARISSAFFRLFRVMRLVKLLNRAEGVRTLLWTFIKSFQALPYVALLIVMLFFIYAVIGM QMFGKIAMVDGTQINRNNNFQTFPQAVLLLFRCATGEAWQEILLACSYGKLCDPESDYAP GEEHTCGTNFAYYYFISFYMLCAFLIINLFVAVIMDNFDYLTRDWSILGPHHLDEFKAIW AEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKFCPHRVACKRLVGMNMPLNSDGTVTFNAT LFALVRTALKIKTEGNFEQANEELRAIIKKIWKRTSMKLLDQVIPPIGDDEVTVGKFYAT FLIQEHFRKFMKRQEEYYGYRPKKDTVQIQAGLRTIEEEAAPEIHRAISGDLTAEEELER AMVEAAMEEGIFRRTGGLFGQVDNFLERTNSLPPVMANQRPLQFAEIEMEELESPVFLED FPQNPGTHPLARANTNNANANVAYGNSSHRNNPVFSSICYEREFLGEADMPVTREGPLSQ PCRASGPHSRSHVDKLKRPMTQRGMPEGQVPPSPCQLSQMEPEEVEVAATELLKQESPEG GAVPWEP >ENSMUSP00000107695.1 pep:known chromosome:GRCm38:1:136052901:136119822:1 gene:ENSMUSG00000026407.17 transcript:ENSMUST00000112064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna1s description:calcium channel, voltage-dependent, L type, alpha 1S subunit [Source:MGI Symbol;Acc:MGI:88294] MEPPSPQDEGLRKKQPKKPVPEILPRPPRALFCLTLQNPLRKACISIVEWKPFETIILLT IFANCVALAVYLPMPEDDNNTLNLGLEKLEYFFLIVFSIEAAMKIIAYGFLFHQDAYLRS GWNVLDFIIVFLGVFTVILEQVNIIQTNTAPMSSKGAGLDVKALRAFRVLRPLRLVSGVP SLQVVLNSIFKAMLPLFHIALLVLFMVIIYAIIGLELFKGKMHKTCYFIGTDIVATVENE KPSPCARTGSGRPCTINGSECRGGWPGPNHGITHFDNFGFSMLTVYQCISMEGWTDVLYW VNDAIGNEWPWIYFVTLILLGSFFILNLVLGVLSGEFTKEREKAKSRGTFQKLREKQQLE EDLRGYMSWITQGEVMDVDDLREGKLSLDEGGSDTESLYEIEGLNKIIQFIRHWRQWNRV FRWKCHDLVKSKVFYWLVILIVALNTLSIASEHHNQPLWLTHLQDVANRVLLTLFTIEML MKMYGLGLRQYFMSIFNRFDCFVVCSGILEILLVESGAMSPLGISVLRCIRLLRLFKITK YWTSLSNLVASLLNSIRSIASLLLLLFLFIIIFALLGMQLFGGRYDFEDTEVRRSNFDNF PQALISVFQVLTGEDWNSVMYNGIMAYGGPTYPGVLVCIYFIILFVCGNYILLNVFLAIA VDNLAEAESLTSAQKAKAEERKRRKMSKGLPDKSEEERATVTKKLEQKSKGEGIPTTAKL KIDEFESNVNEVKDPYPSADFPGDDEEDEPEIPVSPRPRPLAELQLKEKAVPIPEASSFF IFSPTNKIRVLCHRIVNATWFTNFILLFILLSSAALAAEDPIRADSMRNQILEYFDYVFT AVFTVEIVLKMTTYGAFLHKGSFCRNYFNILDLLVVAVSLISMGLESSAISVVKILRVLR VLRPLRAINRAKGLKHVVQCVFVAIRTIGNIVLVTTLLQFMFACIGVQLFKGKFYSCNDL SKMTEEECRGYYYIYKDGDPTQIELRPRQWIHNDFHFDNVLSAMMSLFTVSTFEGWPQLL YKAIDSNEEDTGPVYNNRVEMAIFFIIYIILIAFFMMNIFVGFVIVTFQEQGETEYKNCE LDKNQRQCVQYALKARPLRCYIPKNPYQYQVWYVVTSSYFEYLMFALIMLNTICLGMQHY NQSEQMNHISDILNVAFTIIFTLEMVLKLIAFKPRGYFGDPWNVFDFLIVIGSIIDVILS EIDTFLASSGGLYCLGGGCGNVDPDESARISSAFFRLFRVMRLVKLLNRAEGVRTLLWTF IKSFQALPYVALLIVMLFFIYAVIGMQMFGKIAMVDGTQINRNNNFQTFPQAVLLLFRCA TGEAWQEILLACSYGKLCDPESDYAPGEEHTCGTNFAYYYFISFYMLCAFLIINLFVAVI MDNFDYLTRDWSILGPHHLDEFKAIWAEYDPEAKGRIKHLDVVTLLRRIQPPLGFGKFCP HRVACKRLVGMNMPLNSDGTVTFNATLFALVRTALKIKTEGNFEQANEELRAIIKKIWKR TSMKLLDQVIPPIGDDEVTVGKFYATFLIQEHFRKFMKRQEEYYGYRPKKDTVQIQAGLR TIEEEAAPEIHRAISGDLTAEEELERAMVEAAMEEGIFRRTGGLFGQVDNFLERTNSLPP VMANQRPLQFAEIEMEELESPVFLEDFPQNPGTHPLARANTNNANANVAYGNSSHRNNPV FSSICYEREFLGEADMPVTREGPLSQPCRASGPHSRSHVDKLKRPMTQRGMPEGQVPPSP CQVTGAKAEHPVQKEGKGPTSRFLETPNSRNFEEHVPRNSAHRCTAPATAMLIQEALVRG GLDSLAADANFVMATGQALADACQMEPEEVEVAATELLKQESPEGGAVPWEP >ENSMUSP00000028426.2 pep:known chromosome:GRCm38:2:68861441:69114282:1 gene:ENSMUSG00000027035.10 transcript:ENSMUST00000028426.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers6 description:ceramide synthase 6 [Source:MGI Symbol;Acc:MGI:2442564] MAGILAWFWNERFWLPHNVTWADLKNTEEATFPQAEDLYLAFPLAFCIFMVRLIFERFIA KPCAIALNIQANGPQTAQPNAILEKVFTAITKHPDEKRLEGLSKQLDWDVRSIQRWFRQR RNQEKPSTLTRFCESMWRFSFYLYVFSYGVRFLKQTPWLWNTRHCWYNYPYQPLTADLHY YYILELSFYWSLMVSQFTDIKRKDFGIMFLHHLATIFLITFSYVNNMARVGTLVLCLHDS ADALLEAAKMANYAKFQKMCDLLFVMFAVVFITTRLGIFPLWVLNTTLFESWEIVGPYPS WWVFNLLLLLLQGLNCFWSYLIVKIACKTVSKGKVSKDDRSDIESSSDDEDSEPPGKKPH SSTTTNGTSGTNGYLLTGPCSVDD >ENSMUSP00000135604.1 pep:known chromosome:GRCm38:2:68861586:69108644:1 gene:ENSMUSG00000027035.10 transcript:ENSMUST00000176018.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers6 description:ceramide synthase 6 [Source:MGI Symbol;Acc:MGI:2442564] MAGILAWFWNERFWLPHNVTWADLKNTEEATFPQAEDLYLAFPLAFCIFMVRLIFERFIA KPCAIALNIQANGPQTAQPNAILEKVFTAITKHPDEKRLEGLSKQLDWDVRSIQRWFRQR RNQEKPSTLTRFCESMWRFSFYLYVFSYGVRFLKQTPWLWNTRHCWYNYPYQPLTADLHY YYILELSFYWSLMVSQFTDIKRKDFGIMFLHHLATIFLITFSYVNNMARVGTLVLCLHDS ADALLEAAKMANYAKFQKMCDLLFVMFAVVFITTRLGIFPLWVLNTTLFESWEIVGPYPS WWVFNLLLLLLQGLNCFWSYLIVKIACKTVSKGKAGKWNPLHVSKDDRSDIESSSDDEDS EPPGKKPHSSTTTNGTSGTNGYLLTGPCSVDD >ENSMUSP00000024736.7 pep:known chromosome:GRCm38:17:53674786:53689333:-1 gene:ENSMUSG00000023940.14 transcript:ENSMUST00000024736.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgol1 description:shugoshin-like 1 (S. pombe) [Source:MGI Symbol;Acc:MGI:1919665] MAKERCQKRSFQDTLEDIKNRMKEKRNKNLAGIGKRKSFIVAPGQVPTNTATLLRYYQDN NRLLVLALENEKSKVREAQDVILQLRKECYYLTCQLYALKEKLTSRQSEETTQNWKGRPS DVVSSIDNTTRDLSGKSLQQIAVEETDCPYQTTEPSPAVTPETQGCDFDSGKVESTDEVL PRTISIRRHLRKDFSNISHSTTLEDCKASPRVAQSLEVKGSRCREVTVTLHRLENVCLWN KDQISLCSRLINPAKITETEVILSSKPEQIESKHKRARKRRAEQRRTKQRCKSKSSLRSK GNKNKDKQGLPPTTLDGGIGSCDAYDFNLKGTVHPTPFRQKMNNGCNKETDSSNSEVSDL ECSTSEDESDDLYLPPSKRLRDYRESERAVTRPRSKRGLQYPDGKERKEVLPSTAPTGIP PETQESPRCSLKDVTNILQCPRVKIRKPSLPPKRREDSPAVALTKRRCSTIKSYKEPTLA SKLRRGDPFTDLCFLNSPIFKQKRGMRCPKRRTKQTQ >ENSMUSP00000115205.1 pep:known chromosome:GRCm38:7:144838083:144842719:1 gene:ENSMUSG00000031074.14 transcript:ENSMUST00000155320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf3 description:fibroblast growth factor 3 [Source:MGI Symbol;Acc:MGI:95517] MGLIWLLLLSLLEPSWPTTGPGTRLRRDAGGRGGVYEHLGGAPRRRKLYCATKYHLQLHP SGRVNGSLENSAYSILEITAVEVGVVAIKGLFSGRYLAMNKRGRLYASDHYNAECEFVER IHELGYNTYASRLYRTGSSGPGAQRQPGAQRPWYVS >ENSMUSP00000101518.1 pep:known chromosome:GRCm38:7:144838595:144844436:1 gene:ENSMUSG00000031074.14 transcript:ENSMUST00000105898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf3 description:fibroblast growth factor 3 [Source:MGI Symbol;Acc:MGI:95517] MGLIWLLLLSLLEPSWPTTGPGTRLRRDAGGRGGVYEHLGGAPRRRKLYCATKYHLQLHP SGRVNGSLENSAYSILEITAVEVGVVAIKGLFSGRYLAMNKRGRLYASDHYNAECEFVER IHELGYNTYASRLYRTGSSGPGAQRQPGAQRPWYVSVNGKGRPRRGFKTRRTQKSSLFLP RVLGHKDHEMVRLLQSSQPRAPGEGSQPRQRRQKKQSPSDHGKMETLSTRATPSTQLHTG GLAVA >ENSMUSP00000010205.7 pep:known chromosome:GRCm38:9:107674474:107679712:-1 gene:ENSMUSG00000034837.12 transcript:ENSMUST00000010205.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnat1 description:guanine nucleotide binding protein, alpha transducing 1 [Source:MGI Symbol;Acc:MGI:95778] MGAGASAEEKHSRELEKKLKEDAEKDARTVKLLLLGAGESGKSTIVKQMKIIHQDGYSLE ECLEFIAIIYGNTLQSILAIVRAMTTLNIQYGDSARQDDARKLMHMADTIEEGTMPKEMS DIIQRLWKDSGIQACFDRASEYQLNDSAGYYLSDLERLVTPGYVPTEQDVLRSRVKTTGI IETQFSFKDLNFRMFDVGGQRSERKKWIHCFEGVTCIIFIAALSAYDMVLVEDDEVNRMH ESLHLFNSICNHRYFATTSIVLFLNKKDVFSEKIKKAHLSICFPDYDGPNTYEDAGNYIK VQFLELNMRRDVKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF >ENSMUSP00000141571.1 pep:known chromosome:GRCm38:9:107676974:107679585:-1 gene:ENSMUSG00000034837.12 transcript:ENSMUST00000192271.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gnat1 description:guanine nucleotide binding protein, alpha transducing 1 [Source:MGI Symbol;Acc:MGI:95778] MGAGASAEEKHSRELEKKLKEDAEKDARTVKLLLLDLFIIICNYTVAVFRHTRRGSQILL QMVVSHHVVAGILNSGPSEEQSGALTH >ENSMUSP00000132237.1 pep:known chromosome:GRCm38:15:98186013:98195542:-1 gene:ENSMUSG00000075427.12 transcript:ENSMUST00000165379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr288 description:olfactory receptor 288 [Source:MGI Symbol;Acc:MGI:3030122] MRSQTADPKNSSSTVTEFILVGFEQSSPSTRALLFTLFLALYSLAMAMNGLIIFITWTDP RLNSPMYFFLGHLSFLDVCFITTTIPQMLVHLVTKNHTVSFVSCMTQMYLVFLVGVAECI LLAFMAYDRYVAICHPLNYAQIMSQKVCVRLVCSSWIFGMVNGIFLEYISFRNPFCKDNH IENFFCEAPIVIALSCGDLKFTMKLIFVDAIVVLLSPMVLIITSYARILASILHRASSSS HRKTFSTCASHLTVVIFLYTSAMFSYMNPRSTHGPDKDKSFSLLYTIIMPMCNPVIYSFR NKEMKGAMGRALGRGSLA >ENSMUSP00000103340.1 pep:known chromosome:GRCm38:11:95837216:95845734:1 gene:ENSMUSG00000038811.13 transcript:ENSMUST00000107712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gngt2 description:guanine nucleotide binding protein (G protein), gamma transducing activity polypeptide 2 [Source:MGI Symbol;Acc:MGI:893584] MAQDLSEKELLRMEVEQLKKEVKNPRDLISKTGKEIKDYVEAQAGTDPLLKGIPEDKNPF KEKGTCVLS >ENSMUSP00000103342.2 pep:known chromosome:GRCm38:11:95842295:95845734:1 gene:ENSMUSG00000038811.13 transcript:ENSMUST00000107714.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gngt2 description:guanine nucleotide binding protein (G protein), gamma transducing activity polypeptide 2 [Source:MGI Symbol;Acc:MGI:893584] MAQDLSEKELLRMEVEQLKKEVKNPRDLISKTGKEIKDYVEAQAGTDPLLKGIPEDKNPF KEKGTCVLS >ENSMUSP00000103339.1 pep:known chromosome:GRCm38:11:95842309:95845734:1 gene:ENSMUSG00000038811.13 transcript:ENSMUST00000107711.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gngt2 description:guanine nucleotide binding protein (G protein), gamma transducing activity polypeptide 2 [Source:MGI Symbol;Acc:MGI:893584] MAQDLSEKELLRMEVEQLKKEVKNPRDLISKTGKEIKDYVEAQAGTDPLLKGIPEDKNPF KEKGTCVLS >ENSMUSP00000098101.3 pep:known chromosome:GRCm38:11:95842668:95845734:1 gene:ENSMUSG00000038811.13 transcript:ENSMUST00000100532.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gngt2 description:guanine nucleotide binding protein (G protein), gamma transducing activity polypeptide 2 [Source:MGI Symbol;Acc:MGI:893584] MAQDLSEKELLRMEVEQLKKEVKNPRDLISKTGKEIKDYVEAQAGTDPLLKGIPEDKNPF KEKGTCVLS >ENSMUSP00000047586.4 pep:known chromosome:GRCm38:11:95842670:95845734:1 gene:ENSMUSG00000038811.13 transcript:ENSMUST00000036088.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gngt2 description:guanine nucleotide binding protein (G protein), gamma transducing activity polypeptide 2 [Source:MGI Symbol;Acc:MGI:893584] MAQDLSEKELLRMEVEQLKKEVKNPRDLISKTGKEIKDYVEAQAGTDPLLKGIPEDKNPF KEKGTCVLS >ENSMUSP00000103337.1 pep:known chromosome:GRCm38:11:95843232:95845734:1 gene:ENSMUSG00000038811.13 transcript:ENSMUST00000107709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gngt2 description:guanine nucleotide binding protein (G protein), gamma transducing activity polypeptide 2 [Source:MGI Symbol;Acc:MGI:893584] MAQDLSEKELLRMEVEQLKKEVKNPRDLISKTGKEIKDYVEAQAGTDPLLKGIPEDKNPF KEKGTCVLS >ENSMUSP00000103336.1 pep:known chromosome:GRCm38:11:95843609:95845734:1 gene:ENSMUSG00000038811.13 transcript:ENSMUST00000107708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gngt2 description:guanine nucleotide binding protein (G protein), gamma transducing activity polypeptide 2 [Source:MGI Symbol;Acc:MGI:893584] MAQDLSEKELLRMEVEQLKKEVKNPRDLISKTGKEIKDYVEAQAGTDPLLKGIPEDKNPF KEKGTCVLS >ENSMUSP00000029700.5 pep:known chromosome:GRCm38:3:88435959:88455739:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000029700.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKG LRDFDTLLLSDDGNTLYVGAREAVLALNIQNPGIPRLKNMIPWPASERKKTECAFKKKSN ETQCFNFIRVLVSYNATHLYACGTFAFSPACTFIELQDSLLLPILIDKVMDGKGQSPFDP VHKHTAVLVDGMLYSGTMNNFLGSEPILMRTLGSQPVLKTDIFLRWLHADASFVAAIPST QVVYFFFEETASEFDFFEELYISRVAQVCKNDVGGEKLLQKKWTTFLKAQLLCAQPGQLP FNIIRHAVLLPADSPSVSRIYAVFTSQWQVGGTRSSAVCAFSLTDIERVFKGKYKELNKE TSRWTTYRGSEVSPRPGSCSMGPSSDKALTFMKDHFLMDEHVVGTPLLVKSGVEYTRLAV ESARGLDGSSHVVMYLGTSTGSLHKAVVPQDSSAYLVEEIQLSPDSEPVRNLQLAPAQGA VFAGFSGGIWRVPRANCSVYESCVDCVLARDPHCAWDPESRLCSLLSGSTKPWKQDMERG NPEWVCTRGPMARSPRRQSPPQLIKEVLTVPNSILELPCPHLSALASYHWSHGRAKISEA SATVYNGSLLLLPQDGVGGLYQCVATENGYSYPVVSYWVDSQDQPLALDPELAGVPRERV QVPLTRVGGGASMAAQRSYWPHFLIVTVLLAIVLLGVLTLLLASPLGALRARGKVQGCGM LPPREKAPLSRDQHLQPSKDHRTSASDVDADNNHLGAEVA >ENSMUSP00000103155.1 pep:known chromosome:GRCm38:3:88435962:88455697:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000107531.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MIPWPASERKKTECAFKKKSNEELQDSLLLPILIDKVMDGKGQSPFDPVHKHTAVLVDGM LYSGTMNNFLGSEPILMRTLGSQPVLKTDIFLRWLHADASFVAAIPSTQVVYFFFEETAS EFDFFEELYISRVAQVCKNDVGGEKLLQKKWTTFLKAQLLCAQPGQLPFNIIRHAVLLPA DSPSVSRIYAVFTSQWQVGGTRSSAVCAFSLTDIERVFKGKYKELNKETSRWTTYRGSEV SPRPGSCSMGPSSDKALTFMKDHFLMDEHVVGTPLLVKSGVEYTRLAVESARGLDGSSHV VMYLGTSTGSLHKAVVPQDSSAYLVEEIQLSPDSEPVRNLQLAPAQGAVFAGFSGGIWRV PRANCSVYESCVDCVLARDPHCAWDPESRLCSLLSGSTKPWKQDMERGNPEWVCTRGPMA RSPRRQSPPQLIKEVLTVPNSILELPCPHLSALASYHWSHGRAKISEASATVYNGSLLLL PQDGVGGLYQCVATENGYSYPVVSYWVDSQDQPLALDPELAGVPRERVQVPLTRVGGGAS MAAQRSYWPHFLIVTVLLAIVLLGVLTLLLASPLGALRARGKVQGCGMLPPREKAPLSRD QHLQPSKDHRTSASDVDADNNHLGAEVA >ENSMUSP00000138858.1 pep:known chromosome:GRCm38:3:88449686:88451450:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000185137.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] ELQDSLLLPILIDKVMDGKGQSPFDPVHKHTAVLVGGGYCLLNRLKR >ENSMUSP00000139126.1 pep:known chromosome:GRCm38:3:88450035:88455314:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000184487.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKG LRDFDTLLLSDDGNTLYVGAREAVLALNIQNPGIPRLKNMIPWPASERKKTECAFKKKSN ETQCFNFIRVLVSYNATHLYACGTFAFSPACTFIPLPPSRNSKIPSCCPS >ENSMUSP00000118706.1 pep:known chromosome:GRCm38:3:88450097:88459101:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000127436.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKG LRDFDTLLLSDDGNTLYVGAREAVLALNIQNPGIPRLKNMIPWPASERKKTECAFKKKSN ETQCFNFIRVLVSYNATHLYACGTFAFSPACTFIELQDSLLLPILIDKVMDGKGQSPFDP VHKHTAVLVDGMLYSGTMNNFLGSEPILMRTLGSQPVLKTDIFLRWLHADASFV >ENSMUSP00000139159.1 pep:known chromosome:GRCm38:3:88450568:88459030:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000184876.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKG LRDFDTLLLSDDGNTLYVGAREAVLALNIQNPGIPRLKNMIPWPASERKKTECAFKKKSN ETQCFNFIRVLVSYNATHLYACGTFAFSPACTFITCDPGILGSQPLPPSRNSKIPSCCPS >ENSMUSP00000123061.1 pep:known chromosome:GRCm38:3:88450585:88458876:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000147200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKG LRDFDTLLLSDDGNTLYVGAREAVLALNIQNPGIPRLKNMIPWPASERKKTECAFKKKSN ETQCFNFIRVLVSYNATHLYACGTFAFSPACTFIELQDSLLLPILIDKVMDGKGQSPFDP VHKHTAVLVDGMLYSGTMNNFLG >ENSMUSP00000119028.1 pep:known chromosome:GRCm38:3:88452044:88455305:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000125526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKG LRDFDTLLLSDDGNTLYVGAREAVLALNIQNPGIPRLKNMIPWPASERKKTEC >ENSMUSP00000114330.1 pep:known chromosome:GRCm38:3:88453089:88456415:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000141471.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKG L >ENSMUSP00000120084.1 pep:known chromosome:GRCm38:3:88454765:88456436:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000123753.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVF >ENSMUSP00000125909.1 pep:known chromosome:GRCm38:3:88435962:88461182:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000166237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKG LRDFDTLLLSDDGNTLYVGAREAVLALNIQNPGIPRLKNMIPWPASERKKTECAFKKKSN ETQCFNFIRVLVSYNATHLYACGTFAFSPACTFIELQDSLLLPILIDKVMDGKGQSPFDP VHKHTAVLVDGMLYSGTMNNFLGSEPILMRTLGSQPVLKTDIFLRWLHADASFVAAIPST QVVYFFFEETASEFDFFEELYISRVAQVCKNDVGGEKLLQKKWTTFLKAQLLCAQPGQLP FNIIRHAVLLPADSPSVSRIYAVFTSQWQVGGTRSSAVCAFSLTDIERVFKGKYKELNKE TSRWTTYRGSEVSPRPGSCSMGPSSDKALTFMKDHFLMDEHVVGTPLLVKSGVEYTRLAV ESARGLDGSSHVVMYLGTSTGSLHKAVVPQDSSAYLVEEIQLSPDSEPVRNLQLAPAQGA VFAGFSGGIWRVPRANCSVYESCVDCVLARDPHCAWDPESRLCSLLSGSTKPWKQDMERG NPEWVCTRGPMARSPRRQSPPQLIKEVLTVPNSILELPCPHLSALASYHWSHGRAKISEA SATVYNGSLLLLPQDGVGGLYQCVATENGYSYPVVSYWVDSQDQPLALDPELAGVPRERV QVPLTRVGGGASMAAQRSYWPHFLIVTVLLAIVLLGVLTLLLASPLGALRARGKVQGCGM LPPREKAPLSRDQHLQPSKDHRTSASDVDADNNHLGAEVA >ENSMUSP00000128510.1 pep:known chromosome:GRCm38:3:88435962:88459101:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000165898.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKG LRDFDTLLLSDDGNTLYVGAREAVLALNIQNPGIPRLKNMIPWPASERKKTECAFKKKSN ETQCFNFIRVLVSYNATHLYACGTFAFSPACTFIELQDSLLLPILIDKVMDGKGQSPFDP VHKHTAVLVDGMLYSGTMNNFLGSEPILMRTLGSQPVLKTDIFLRWLHADASFVAAIPST QVVYFFFEETASEFDFFEELYISRVAQVCKNDVGGEKLLQKKWTTFLKAQLLCAQPGQLP FNIIRHAVLLPADSPSVSRIYAVFTSQWQVGGTRSSAVCAFSLTDIERVFKGKYKELNKE TSRWTTYRGSEVSPRPGSCSMGPSSDKALTFMKDHFLMDEHVVGTPLLVKSGVEYTRLAV ESARGLDGSSHVVMYLGTSTGSLHKAVVPQDSSAYLVEEIQLSPDSEPVRNLQLAPAQGA VFAGFSGGIWRVPRANCSVYESCVDCVLARDPHCAWDPESRLCSLLSGSTKPWKQDMERG NPEWVCTRGPMARSPRRQSPPQLIKEVLTVPNSILELPCPHLSALASYHWSHGRAKISEA SATVYNGSLLLLPQDGVGGLYQCVATENGYSYPVVSYWVDSQDQPLALDPELAGVPRERV QVPLTRVGGGASMAAQRSYWPHFLIVTVLLAIVLLGVLTLLLASPLGALRARGKVQGCGM LPPREKAPLSRDQHLQPSKDHRTSASDVDADNNHLGAEVA >ENSMUSP00000128887.1 pep:known chromosome:GRCm38:3:88435962:88458884:-1 gene:ENSMUSG00000028064.17 transcript:ENSMUST00000169222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4a description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4A [Source:MGI Symbol;Acc:MGI:107560] MALPSLGQDSWSLLRVFFFQLFLLPSLPPASGTGGQGPMPRVKYHAGDGHRALSFFQQKG LRDFDTLLLSDDGNTLYVGAREAVLALNIQNPGIPRLKNMIPWPASERKKTECAFKKKSN ETQCFNFIRVLVSYNATHLYACGTFAFSPACTFIELQDSLLLPILIDKVMDGKGQSPFDP VHKHTAVLVDGMLYSGTMNNFLGSEPILMRTLGSQPVLKTDIFLRWLHADASFVAAIPST QVVYFFFEETASEFDFFEELYISRVAQVCKNDVGGEKLLQKKWTTFLKAQLLCAQPGQLP FNIIRHAVLLPADSPSVSRIYAVFTSQWQVGGTRSSAVCAFSLTDIERVFKGKYKELNKE TSRWTTYRGSEVSPRPGSCSMGPSSDKALTFMKDHFLMDEHVVGTPLLVKSGVEYTRLAV ESARGLDGSSHVVMYLGTSTGSLHKAVVPQDSSAYLVEEIQLSPDSEPVRNLQLAPAQGA VFAGFSGGIWRVPRANCSVYESCVDCVLARDPHCAWDPESRLCSLLSGSTKPWKQDMERG NPEWVCTRGPMARSPRRQSPPQLIKEVLTVPNSILELPCPHLSALASYHWSHGRAKISEA SATVYNGSLLLLPQDGVGGLYQCVATENGYSYPVVSYWVDSQDQPLALDPELAGVPRERV QVPLTRVGGGASMAAQRSYWPHFLIVTVLLAIVLLGVLTLLLASPLGALRARGKVQGCGM LPPREKAPLSRDQHLQPSKDHRTSASDVDADNNHLGAEVA >ENSMUSP00000129271.1 pep:known chromosome:GRCm38:15:98206971:98221056:-1 gene:ENSMUSG00000090129.8 transcript:ENSMUST00000170618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr287 description:olfactory receptor 287 [Source:MGI Symbol;Acc:MGI:3030121] MRSQTADPKNSSTVTEFILVGFEQSSPSTRALLFTLFLALYSLAMAMNGLIIFITWTDPR LNSPMYFFLGHLSFLDVCFITTTIPQMLVHLVTKNHTVSFVSCMTQMYLVFLVGVAECIL LAFMAYDRYVAICHPLNYAQIMSQKVCVRLVCSSWIFGMVNGIFLEYISFRNPFCKDNHI ENFFCEAPIVIALSCGDLKFTMKLIFVDAIVVLLSPMVLIITSYARILASILRRASSSGR GKTFSTCASHLTVVVFFYTSAMFSYMNPRSTHGPDKDKPFSLLYTIITPMCNPVIYSFRN KEMKGAMGRALGIGSLAQAESV >ENSMUSP00000023151.5 pep:known chromosome:GRCm38:16:23965052:23988852:-1 gene:ENSMUSG00000022508.5 transcript:ENSMUST00000023151.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl6 description:B cell leukemia/lymphoma 6 [Source:MGI Symbol;Acc:MGI:107187] MASPADSCIQFTRHASDVLLNLNRLRSRDILTDVVIVVSREQFRAHKTVLMACSGLFYSI FTDQLKCNLSVINLDPEISPEGFCILLDFMYTSRLNLREGNIMAVMTTAMYLQMEHVVDT CRKFIKASEAEMAPALKPPREEFLNSRMLMPHDIMAYRGREVVENNMPLRNTPGCESRAF APPLYSGLSTPPASYPMYSHLPLSTFLFSDEELRDAPRMPVANPFPKERALPCDSARQVP NEYSRPAMEVSPSLCHSNIYSPKEAVPEEARSDIHYSVPEGPKPAVPSARNAPYFPCDKA SKEEERPSSEDEIALHFEPPNAPLNRKGLVSPQSPQKSDCQPNSPTESCSSKNACILQAS GSPPAKSPTDPKACNWKKYKFIVLNSLNQNAKPEGSEQAELGRLSPRAYPAPPACQPPME PANLDLQSPTKLSASGEDSTIPQASRLNNLVNRSLAGSPRSSSESHSPLYMHPPKCTSCG SQSPQHTEMCLHTAGPTFPEEMGETQSEYSDSSCENGTFFCNECDCRFSEEASLKRHTLQ THSDKPYKCDRCQASFRYKGNLASHKTVHTGEKPYRCNICGAQFNRPANLKTHTRIHSGE KPYKCETCGARFVQVAHLRAHVLIHTGEKPYPCEICGTRFRHLQTLKSHLRIHTGEKPYH CEKCNLHFRHKSQLRLHLRQKHGAITNTKVQYRVSAADLPPELPKAC >ENSMUSP00000077853.6 pep:known chromosome:GRCm38:17:48386885:48409765:-1 gene:ENSMUSG00000023994.13 transcript:ENSMUST00000078800.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfya description:nuclear transcription factor-Y alpha [Source:MGI Symbol;Acc:MGI:97316] MEQYTTNSNSSTEQIVVQAGQIQQQQGGVTAVQLQTEAQVASASGQQVQTLQVVQGQPLM VQVSGGQLITSTGQPIMVQAVPGGQGQTIMQVPVSGTQGLQQIQLVPPGQIQIQGGQAVQ VQGQQGQTQQIIIQQPQTAVTAGQTQTQQQIAVQGQQVAQTAEGQTIVYQPVNADGTILQ QVTVPVSGMITIPAASLAGAQIVQTGANTNTTSSGQGTVTVTLPVAGNVVNSGGMVMMVP GAGSVPAIQRIPLPGAEMLEEEPLYVNAKQYHRILKRRQARAKLEAEGKIPKERRKYLHE SRHRHAMARKRGEGGRFFSPKEKDSPHMQDPNQADEEAMTQIIRVS >ENSMUSP00000123785.1 pep:known chromosome:GRCm38:17:48386889:48409906:-1 gene:ENSMUSG00000023994.13 transcript:ENSMUST00000162460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfya description:nuclear transcription factor-Y alpha [Source:MGI Symbol;Acc:MGI:97316] MEQYTTNSNSSTEQIVVQAGQIQQQVQGQPLMVQVSGGQLITSTGQPIMVQAVPGGQGQT IMQVPVSGTQGLQQIQLVPPGQIQIQGGQAVQVQGQQGQTQQIIIQQPQTAVTAGQTQTQ QQIAVQGQQVAQTAEGQTIVYQPVNADGTILQQVTVPVSGMITIPAASLAGAQIVQTGAN TNTTSSGQGTVTVTLPVAGNVVNSGGMVMMVPGAGSVPAIQRIPLPGAEMLEEEPLYVNA KQYHRILKRRQARAKLEAEGKIPKERRKYLHESRHRHAMARKRGEGGRFFSPKEKDSPHM QDPNQADEEAMTQIIRVS >ENSMUSP00000043909.7 pep:known chromosome:GRCm38:17:48386896:48409765:-1 gene:ENSMUSG00000023994.13 transcript:ENSMUST00000046719.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfya description:nuclear transcription factor-Y alpha [Source:MGI Symbol;Acc:MGI:97316] MEQYTTNSNSSTEQIVVQAGQIQQQQQGGVTAVQLQTEAQVASASGQQVQTLQVVQGQPL MVQVSGGQLITSTGQPIMVQAVPGGQGQTIMQVPVSGTQGLQQIQLVPPGQIQIQGGQAV QVQGQQGQTQQIIIQQPQTAVTAGQTQTQQQIAVQGQQVAQTAEGQTIVYQPVNADGTIL QQVTVPVSGMITIPAASLAGAQIVQTGANTNTTSSGQGTVTVTLPVAGNVVNSGGMVMMV PGAGSVPAIQRIPLPGAEMLEEEPLYVNAKQYHRILKRRQARAKLEAEGKIPKERRKYLH ESRHRHAMARKRGEGGRFFSPKEKDSPHMQDPNQADEEAMTQIIRVS >ENSMUSP00000124404.1 pep:known chromosome:GRCm38:17:48389005:48400544:-1 gene:ENSMUSG00000023994.13 transcript:ENSMUST00000159063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfya description:nuclear transcription factor-Y alpha [Source:MGI Symbol;Acc:MGI:97316] MEQYTTNSNSSTEQIVVQAGQIQQQVQGQPLMVQVSGGQLITSTGQPIMVQAVPGGQGQT IMQVPVSGTQGLQQIQLVPPGQIQIQGGQAVQVQGQQGQTQQIIIQQPQTAVTAGQTQTQ QQIAVQGQQVAQTAEGQTIVYQPVNADGTILQQGMITIPAASLAGAQIVQTGANTNTTSS GQGTVTVTLPVAGNVVNSGGMVMMVPGAGSVPAIQRIPLPGAEMLEEEPLYVNAKQYHRI LKRRQARAKLEAEGKIPKERRKYLHESRHRHAMARKRGEGGRFFSPKEKDSPHMQDPNQA DEEAMTQIIRVS >ENSMUSP00000124245.1 pep:known chromosome:GRCm38:17:48389081:48409730:-1 gene:ENSMUSG00000023994.13 transcript:ENSMUST00000160319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfya description:nuclear transcription factor-Y alpha [Source:MGI Symbol;Acc:MGI:97316] MEQYTTNSNSSTEQIVVQAGQIQQQQQGGVTAVQLQTEAQVASASGQQVQTLQVVQGQPL MVQVSGGQLITSTGQPIMVQAVPGGQGQTIMQVPVSGTQGLQQIQLVPPGQIQIQGGQAV QVQGQQGQTQQIIIQQPQTAVTAGQTQTQQQIAVQGQQVAQTAEGQTIVYQPVNADGTIL QQGMITIPAASLAGAQIVQTGANTNTTSSGQGTVTVTLPVAGNVVNSGGMVMMVPGAGSV PAIQRIPLPGAEMLEEEPLYVNAKQYHRILKRRQARAKLEAEGKIPKERRKYLHESRHRH AMARKRGEGGRFFSPKEKDSPHMQDPNQADEEAMTQIIRVS >ENSMUSP00000124115.1 pep:known chromosome:GRCm38:17:48389109:48393223:-1 gene:ENSMUSG00000023994.13 transcript:ENSMUST00000159237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfya description:nuclear transcription factor-Y alpha [Source:MGI Symbol;Acc:MGI:97316] XVYQPVNADGTILQQGVYLESQDRRCKHHTECKGLERYALGRGVTVPVSGMITIPAASLA GAQIVQTGANTNTTSSGQGTVTVTLPVAGNVVNSGGMVMMVPGAGSVPAIQRIPLPGAEM LEEEPLYVNAKQYHRILKRRQARAKLEAEGKIPKERRKYLHESRHRHAMARKRGEGGRFF SPKEKDSPHMQDPNQADEEAMTQIIRVS >ENSMUSP00000125034.1 pep:known chromosome:GRCm38:17:48389224:48393459:-1 gene:ENSMUSG00000023994.13 transcript:ENSMUST00000161256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfya description:nuclear transcription factor-Y alpha [Source:MGI Symbol;Acc:MGI:97316] QQPQTAVTAGQTQTQQQIAVQGQQVAQTAEGQTIVYQPVNADGTILQQVPVSGMITIPAA SLAGAQIVQTGANTNTTSSGQGTVTVTLPVAGNVVNSGGMVMMVPGAGSVPAIQRIPLPG AEMLEEEPLYVNAKQYHRILKRRQARAKLEAEGKIPKERRKYLHESRHRHAMARKRGEGG RFFSPKEKDSPHMQDPNQADEEAMTQIIRVS >ENSMUSP00000124965.1 pep:known chromosome:GRCm38:17:48392016:48399038:-1 gene:ENSMUSG00000023994.13 transcript:ENSMUST00000161117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfya description:nuclear transcription factor-Y alpha [Source:MGI Symbol;Acc:MGI:97316] QTEAQVASASGQQVQTLQVVQGQPLMVQVSGGQLITSTGQPIMVQAVPGGQGQTIMQVPV SGTQGLQQIQLVPPGQIQIQGGQAVQVQGQQGQTQQIIIQQPQTAVTAGQTQTQQQIAVQ GQQVAQTAEGQTIVYQPVNADGTILQQVTVPVSGMITIPAASLAGAQIVQTGANTNTTSS GQGTVTVTLPVAGNVVNSGGMVMMVPGAGSVPAI >ENSMUSP00000124501.1 pep:known chromosome:GRCm38:17:48392044:48409741:-1 gene:ENSMUSG00000023994.13 transcript:ENSMUST00000159535.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfya description:nuclear transcription factor-Y alpha [Source:MGI Symbol;Acc:MGI:97316] MEQYTTNSNSSTEQIVVQAGQIQQQQGGVTAVQLQTEAQVASASGQQVQTLQVQGQPLMV QVSGGQLITSTGQPIMVQAVPGGQGQTIMQVPVSGTQGLQQIQLVPPGQIQIQGGQAVQV QGQQGQTQQIIIQQPQTAVTAGQTQTQQQIAVQGQQVAQTAEGQTIVYQPVNADGTILQQ GMITIPAASLAGAQIVQTGANTNTTSSGQGTVTVTLPVAGNVVNSGGMVMMV >ENSMUSP00000100789.1 pep:known chromosome:GRCm38:4:3870657:3872105:-1 gene:ENSMUSG00000078365.5 transcript:ENSMUST00000105158.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mos description:Moloney sarcoma oncogene [Source:MGI Symbol;Acc:MGI:97052] MPSPLSLCRYLPRELSPSVDSRSCSIPLVAPRKAGKLFLGTTPPRAPGLPRRLAWFSIDW EQVCLMHRLGSGGFGSVYKATYHGVPVAIKQVNKCTKDLRASQRSFWAELNIARLRHDNI VRVVAASTRTPEDSNSLGTIIMEFGGNVTLHQVIYGATRSPEPLSCREQLSLGKCLKYSL DVVNGLLFLHSQSILHLDLKPANILISEQDVCKISDFGCSQKLQDLRCRQASPHHIGGTY THQAPEILKGEIATPKADIYSFGITLWQMTTREVPYSGEPQYVQYAVVAYNLRPSLAGAV FTASLTGKTLQNIIQSCWEARALQRPGAELLQRDLKAFRGALG >ENSMUSP00000055148.1 pep:known chromosome:GRCm38:7:11846775:11847689:1 gene:ENSMUSG00000047655.1 transcript:ENSMUST00000050416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r74 description:vomeronasal 1 receptor 74 [Source:MGI Symbol;Acc:MGI:2159644] MAFENIVLGIFLFFQIAMGIIGNSLILVYYVSLKLTGKYLMPKDLIIEHLTFANCLFIIS KGIPQTLSNYGYKNFLDDFGCKLIIYAYRITRGMSLYAMCLLCCFQAITISPNYSRWMMF KHRATKYLGPSCSLCWLVQLFLNILAAARVSGPHYNKNTINRMHLGYCSSFASGNFATAL YLFLLCFCDGLCLGLMAYSSVSMVSILYRHKKQVKHIHSAQHFLKVSPEDRATQTILILV CTFVLSYLYSSILIIFTTYSKYPMLWVVSIFTFLEICFPIFCPFVLISSMKSRSSILLPC FGKR >ENSMUSP00000048057.6 pep:known chromosome:GRCm38:7:101822632:101838076:-1 gene:ENSMUSG00000032737.12 transcript:ENSMUST00000035836.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inppl1 description:inositol polyphosphate phosphatase-like 1 [Source:MGI Symbol;Acc:MGI:1333787] MASVCGTPSPGGALGSPAPAWYHRDLSRAAAEELLARAGRDGSFLVRDSESVAGAFALCV LYQKHVHTYRILPDGEDFLAVQTSQGVPVRRFQTLGELIGLYAQPNQGLVCALLLPVEGE REPDPPDDRDASDVEDEKPPLPPRSGSTSISAPVGPSSPLPTPETPTTPAAESTPNGLST VSHEYLKGSYGLDLEAVRGGASNLPHLTRTLVTSCRRLHSEVDKVLSGLEILSKVFDQQS SPMVTRLLQQQSLPQTGEQELESLVLKLSVLKDFLSGIQKKALKALQDMSSTAPPAPLQP SIRKAKTIPVQAFEVKLDVTLGDLTKIGKSQKFTLSVDVEGGRLVLLRRQRDSQEDWTTF THDRIRQLIKSQRVQNKLGVVFEKEKDRTQRKDFIFVSARKREAFCQLLQLMKNRHSKQD EPDMISVFIGTWNMGSVPPPKNVTSWFTSKGLGKALDEVTVTIPHDIYVFGTQENSVGDR EWLDLLRGGLKELTDLDYRPIAMQSLWNIKVAVLVKPEHENRISHVSTSSVKTGIANTLG NKGAVGVSFMFNGTSFGFVNCHLTSGNEKTTRRNQNYLDILRLLSLGDRQLSAFDISLRF THLFWFGDLNYRLDMDIQEILNYISRREFEPLLRVDQLNLEREKHKVFLRFSEEEISFPP TYRYERGSRDTYAWHKQKPTGVRTNVPSWCDRILWKSYPETHIICNSYGCTDDIVTSDHS PVFGTFEVGVTSQFISKKGLSKTSDQAYIEFESIEAIVKTASRTKFFIEFYSTCLEEYKK SFENDAQSSDNINFLKVQWSSRQLPTLKPILADIEYLQDQHLLLTVKSMDGYESYGECVV ALKSMIGSTAQQFLTFLSHRGEETGNIRGSMKVRVPTERLGTRERLYEWISIDKDDTGAK SKVPSVSRGSQEHRSGSRKPASTETSCPLSKLFEEPEKPPPTGRPPAPPRAVPREEPLNP RLKSEGTSEQEGVAAPPPKNSFNNPAYYVLEGVPHQLLPLEPPSLARAPLPPATKNKVAI TVPAPQLGRHRTPRVGEGSSSDEDSGGTLPPPDFPPPPLPDSAIFLPPNLDPLSMPVVRG RSGGEARGPPPPKAHPRPPLPPGTSPASTFLGEVASGDDRSCSVLQMAKTLSEVDYAPGP GRSALLPNPLELQPPRGPSDYGRPLSFPPPRIRESIQEDLAEEAPCPQGGRASGLGEAGM GAWLRAIGLERYEEGLVHNGWDDLEFLSDITEEDLEEAGVQDPAHKRLLLDTLQLSK >ENSMUSP00000132883.1 pep:known chromosome:GRCm38:7:101822639:101837803:-1 gene:ENSMUSG00000032737.12 transcript:ENSMUST00000165052.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inppl1 description:inositol polyphosphate phosphatase-like 1 [Source:MGI Symbol;Acc:MGI:1333787] MASVCGTPSPGGALGSPAPAWYHRDLSRAAAEELLARAGRDGSFLVRDSESVAGAFALCV LYQKHVHTYRILPDGEDFLAVQTSQGVPVRRFQTLGELIGLYAQPNQGLVCALLLPVEGE REPDPPDDRDASDVEDEKPPLPPRSGSTSISAPVGPSSPLPTPETPTTPAAESTPNGLST VSHEYLKGSYGLDLEAVRGGASNLPHLTRTLVTSCRRLHSEVDKVLSGLEILSKVFDQQS SPMVTRLLQQQSLPQTGEQELESLVLKLSVLKDFLSGIQKKALKALQDMSSTAPPAPLQP SIRKAKTIPVQAFEVKLDVTLGDLTKIGKSQKFTLSVDVEGGRLVLLRRQRDSQEDWTTF THDRIRQLIKSQRVQNKLGVVFEKEKDRTQRKDFIFVSARKREAFCQLLQLMKNRHSKQD EPDMISVFIGTWNMGSVPPPKNVTSWFTSKGLGKALDEVTVTIPHDIYVFGTQENSVGDR EWLDLLRGGLKELTDLDYRPIAMQSLWNIKVAVLVKPEHENRISHVSTSSVKTGIANTLG NKGAVGVSFMFNGTSFGFVNCHLTSGNEKTTRRNQNYLDILRLLSLGDRQLSAFDISLRF THLFWFGDLNYRLDMDIQEILNYISRREFEPLLRVDQLNLEREKHKVFLRFSEEEISFPP TYRYERGSRDTYAWHKQKPTGVRTNVPSWCDRILWKSYPETHIICNSYGCTDDIVTSDHS PVFGTFEVGVTSQFISKKGLSKTSDQAYIEFESIEAIVKTASRTKFFIEFYSTCLEEYKK SFENDAQSSDNINFLKVQWSSRQLPTLKPILADIEYLQDQHLLLTVKSMDGYESYGECVV ALKSMIGSTAQQFLTFLSHRGEETGNIRGSMKVRVPTERLGTRERLYEWISIDKDDTGAK SKVPSVSRGSQEHRSGSRKPASTETSCPLSKLFEEPEKPPPTGRPPAPPRAVPREEPLNP RLKSEGTSEQEGVAAPPPKNSFNNPAYYVLEGVPHQLLPLEPPSLARAPLPPATKNKVAI TVPAPQLGRHRTPRVGEGSSSDEDSGGTLPPPDFPPPPLPDSAIFLPPNLDPLSMPVVRG RSGGEARGPPPPKAHPRPPLPPGTSPASTFLGEVASGDDRSCSVLQMAKTLSEVDYAPGP GRSALLPNPLELQPPRGPSDYGRPLSFPPPRIRESIQEDLAEEAPCPQGGRASGLGEAGM GAWLRAIGLERYEEGLVHNGWDDLEFLSDITEEDLEEAGVQDPAHKRLLLDTLQLSK >ENSMUSP00000139910.1 pep:known chromosome:GRCm38:7:101823944:101837784:-1 gene:ENSMUSG00000032737.12 transcript:ENSMUST00000185929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inppl1 description:inositol polyphosphate phosphatase-like 1 [Source:MGI Symbol;Acc:MGI:1333787] MASVCGTPSPGGALGSPAPAWYHRDLSRAAAEELLARAGRDGSFLVRDSESVAGAFALCV LYQKHVHTYRILPDGEDFLAVQTSQGVPVRRFQTLGELIGLYAQPNQGLVCALLLPVEGE REPDPPDDRDASDVEDEKPPLPPRSGSTSISAPVGPSSPLPTPETPTTPAAESTPNGLST VSHEYLKGSYGLDLEAVRGGASNLPHLTRTLVTSCRRLHSEVDKVLSGLEILSKVFDQQS SPMVTRLLQQQSLPQTGEQELESLVLKLSVLKDFLSGIQKKALKALQDMSSTAPPAPLQP SIRKAKTIPVQAFEVKLDVTLGDLTKIGKSQKFTLSVDVEGGRLVLLRRQRDSQEDWTTF THDRIRQLIKSQRVQNKLGVVFEKEKDRTQRKDFIFVSARKREAFCQLLQLMKNRHSKQD EPDMISVFIGTWNMGSVPPPKNVTSWFTSKGLGKALDEVTVTIPHDIYVFGTQENSVGDR EWLDLLRGGLKELTDLDYRPIAMQSLWNIKVAVLVKPEHENRISHVSTSSVKTGIANTLG NKGAVGVSFMFNGTSFGFVNCHLTSGNEKTTRRNQNYLDILRLLSLGDRQLSAFDISLRF THLFWFGDLNYRLDMDIQEILNYISRREFEPLLRVDQLNLEREKHKVFLRFSEEEISFPP TYRYERGSRDTYAWHKQKPTGVRTNVPSWCDRILWKSYPETHIICNSYGCTDDIVTSDHS PVFGTFEVGVTSQFISKKGLSKTSDQAYIEFESIEAIVKTASRTKFFIEFYSTCLEEYKK SFENDAQSSDNINFLKVQWSSRQLPTLKPILADIEYLQDQHLLLTVKSMDGYESYGECVV ALKSMIGSTAQQFLTFLSHRGEETGNIRGSMKVRVPTERLGTRERLYEWISIDKDDTGAK SKVPSVSRGSQEHRSGSRKPASTETSCPLSKLFEEPEKPPPTGRPPAPPRAVPREEPLNP RLKSEGTSEQEGVAAPPPKNSFNNPAYYVLEGVPHQLLPLEPPSLARAPLPPATKNKVAI TVPAPQLGRHRTPRVGEGSSSDEDSGGTLPPPDFPPPPLPDSAIFLPPNLDPLSMPVVRG RSGGEARGPPPPKAHPRPPLPPGTSPASTFLGEVASGDDRSCSVLQMAKTLSEVDYAPGP GRSALLPNPLELQPPRGPSDYGRPLS >ENSMUSP00000051510.1 pep:known chromosome:GRCm38:7:11880343:11881260:1 gene:ENSMUSG00000043308.1 transcript:ENSMUST00000057229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r75 description:vomeronasal 1 receptor 75 [Source:MGI Symbol;Acc:MGI:2159645] MRMATRHFAMGIFLFSQITLGILGNSSILFHYIFLILTGKHLMPKDLILKHLTFANCLSI ISRGIPRAMSDCGLKDFLDDIACKLIVYICRITRGMSLYAMCLLSCFQAITINPSNCRCL TLKHRATKYIGHCCSVSWLLHLFLNILTPARVSGPSYKKNVTNMSYGYCSWVASGNVATA LYMLLLCFSDALCLTLMACSSVSMVSVLYRHKRQVKHIHSAQHALKDSPEDRATQTILIL MCIFVLSYSFSSIVVILTTYSKYPMLWGVSVLTFIEICFPIFCPFVIISNMNSSSSPFLP CFGKR >ENSMUSP00000138876.1 pep:known chromosome:GRCm38:4:46493781:46536122:-1 gene:ENSMUSG00000039853.18 transcript:ENSMUST00000184112.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trim14 description:tripartite motif-containing 14 [Source:MGI Symbol;Acc:MGI:1921985] MASETTEARAPFQPDGAYGWRCPEHSERPAELFCRRCGRCVCALCPVLGAHRGHPVGLAE EEAVRVQKLIQDCLECLATKKRQHADNIAHLEDAGERLKVYADSSKAWLTQKFTELRLLL DEEEVLAKKFIDKSTQLTLQVYREQAETCGKQIEVMDDFSTRVWGIGQEPNPVQLLQT >ENSMUSP00000038719.6 pep:known chromosome:GRCm38:4:46506847:46536141:-1 gene:ENSMUSG00000039853.18 transcript:ENSMUST00000046897.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim14 description:tripartite motif-containing 14 [Source:MGI Symbol;Acc:MGI:1921985] MASETTEARAPFQPDGAYGWRCPEHSERPAELFCRRCGRCVCALCPVLGAHRGHPVGLAE EEAVRVQKLIQDCLECLATKKRQHADNIAHLEDAGERLKVYADSSKAWLTQKFTELRLLL DEEEVLAKKFIDKSTQLTLQVYREQAETCGKQIEVMDDFSTRVWGIGQEPNPVQLLQAYI ATKTEMGQQMSPSELSHPVPLSFEPVKNFFKEFVEAIGNTLQTPMDTRLKENINCQLSNS SSTKPGALLKTSPSPERALFLKYARTPTLDPDTMHARLRLSPDGLTVRCSLLGRLGPRPA PRFDALRQVLGRDGFAAGRHYWEVDVQEAGVGWWVGAAYPSLRRRGASAAARLGCNRESW CVKRYDLEYWAFHDGQRSRLRPRRDPHRLGVFLDYEAGILAFYDVAGGMSHLHTFHAAFQ EPLYPALRLWEGPISIPRLP >ENSMUSP00000099988.2 pep:known chromosome:GRCm38:4:46509676:46536114:-1 gene:ENSMUSG00000039853.18 transcript:ENSMUST00000102924.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim14 description:tripartite motif-containing 14 [Source:MGI Symbol;Acc:MGI:1921985] MASETTEARAPFQPDGAYGWRCPEHSERPAELFCRRCGRCVCALCPVLGAHRGHPVGLAE EEAVRVQKLIQDCLECLATKKRQHADNIAHLEDAGERLKVYADSSKAWLTQKFTELRLLL DEEEVLAKKFIDKSTQLTLQVYREQAETCGKQIEVMDDFSTRVWGIGQEPNPVQLLQAYI ATKTEMGQQMSPSELSHPVPLSFEPVKNFFKEFVEAIGNTLQTPMDTRLKENINCQLSNS SSTKPGALLKTSPSPERALFLKCETGEWEREVGWEQRWMGARK >ENSMUSP00000144817.1 pep:known chromosome:GRCm38:11:72203952:72206840:-1 gene:ENSMUSG00000107877.1 transcript:ENSMUST00000204457.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm43951 description:predicted gene, 43951 [Source:MGI Symbol;Acc:MGI:5690343] MPAHCMRARRLRRGVRPLWARRWGAGLSRGYGVRRRVRSSVRRMLCANIGWLQNGQQPLE KRTAEPAVPARVNRAFGIPGTAGSVEAAMDPRTVWVNGTVGEPEVVGPAGSVWVTVSGEE ELDNRSSPSCERKGRPGTLGHESILELWLKVQAMRVASGCWEGSRVELHPVPVGEGPIEK GIPGRASWVETSRGGVTGPWVRGNTRTFPQASGLPTARGIGAGCERVSILCRQGQAGKTP PPVGGPEVVEKIDCVSSGPWEKRQTVVVPEAIGWSQVLKRPRAGEVRECEGTSCLCGGGQ SGQFSGALAEGAEYGHMLWERGLMEGGPDALLVLPRAMEDGTTSHGGPGLWQRRQEMEDI GSRGIPGLRTMGQLVGVPCAREEEARCGGDPGSWGAAQAVELCASEEHEIGSRGAQGLWG IEQAMGAPRALGKEADDASIPGLWGKGQLPGLQTVVVPGGREEETSYDVSGLWERQQSAQ EAPLAEPLPGPVMEETYNGNPLSLWERRQVMREPEAQEPAALGIHGAVDQDVRCGALSCP CGRRRAVGLTEAVVPKHRWATEGVPSALGVPAALWVCQESSSTDGVNLPGRTQVACARPV APEECSSVLEDAEPGALPCSWGRRPAIGVPLAPEIPGSLKIEAGSRSFSNLSGRRQTVGI PVTVGVSPAGVGVALRGSGCVWEHSCRRASDSGGRPPTRVPVTVGLPESMGDATLSAGVQ RRQPAVMPVAARIPTAVGETTASGLCGPHGVVDRGCGESSGIWQRRQMTEVPTAASVPEL EREAGSEGISDLWRRHSGTVPEAGREALHPGRVPMVRHVPAPVWVAGPSGEEADEGVSDL TVVRRPATEGVRSSGEETVSRGSLGLVGRGQAVEVSYTGELGTRMWVSSRSAGEVTTYEN LPRVSAMRTTVPAVSGEEIDIGHFRDDLQGSGKRAVGRIPEARVTVDALGEMGEGGLRGT FQ >ENSMUSP00000117929.2 pep:known chromosome:GRCm38:19:46599084:46657389:1 gene:ENSMUSG00000047731.17 transcript:ENSMUST00000138302.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp1l description:WW domain binding protein 1 like [Source:MGI Symbol;Acc:MGI:107577] MERRRLLGGMALLLLQALPSPLSVRAEPPQDKEACVGTNNQSYICDTGHCCGQSQCCNYY YELWWFWLVWTVVIILSCCCVCHHRRAKHRLQAQQRQHEINLIAYREAHNYSALPFYFRF LPNSLLPPYEEVVNRPPTPPPPYSAFQLQQQQQLLPPPPQGGPPGGSPPGADPPPQGSQG AQSSPLSGPSRSSTRPPSVADPQSPEVPTDREATKASGTESGSPMAGHGELDPGAFLDQD SECKEELLKDSRSERGGVSPDSEDKTPGRHRRFTGDSGIEVCVCNRGHHDDDLKEFNTLI DDALDGPLDFCDSCHVRPPVDEEEGLCLSSEGQAREHGHPHLPRPPACLLLNTINEQDSP NSQHSGSPS >ENSMUSP00000121821.2 pep:known chromosome:GRCm38:19:46599113:46657385:1 gene:ENSMUSG00000047731.17 transcript:ENSMUST00000132202.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wbp1l description:WW domain binding protein 1 like [Source:MGI Symbol;Acc:MGI:107577] MERRRLLGGMALLLLQALPSPLSVRAEPPQDKEACVGTNNQSYICDTGHCCGQSQCCNYY YELWCKSLSRGFLASQGPGYCLCSLGNRGLASLF >ENSMUSP00000096975.4 pep:known chromosome:GRCm38:19:46599114:46657382:1 gene:ENSMUSG00000047731.17 transcript:ENSMUST00000099376.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp1l description:WW domain binding protein 1 like [Source:MGI Symbol;Acc:MGI:107577] MERRRLLGGMALLLLQALPSPLSVRAEPPQVRLRSQKTVGLVRAYQDKEACVGTNNQSYI CDTGHCCGQSQCCNYYYELWWFWLVWTVVIILSCCCVCHHRRAKHRLQAQQRQHEINLIA YREAHNYSALPFYFRFLPNSLLPPYEEVVNRPPTPPPPYSAFQLQQQQQLLPPPPQGGPP GGSPPGADPPPQGSQGAQSSPLSGPSRSSTRPPSVADPQSPEVPTDREATKASGTESGSP MAGHGELDPGAFLDQDSECKEELLKDSRSERGGVSPDSEDKTPGRHRRFTGDSGIEVCVC NRGHHDDDLKEFNTLIDDALDGPLDFCDSCHVRPPVDEEEGLCLSSEGQAREHGHPHLPR PPACLLLNTINEQDSPNSQHSGSPS >ENSMUSP00000107486.4 pep:known chromosome:GRCm38:19:46623401:46657385:1 gene:ENSMUSG00000047731.17 transcript:ENSMUST00000111855.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wbp1l description:WW domain binding protein 1 like [Source:MGI Symbol;Acc:MGI:107577] MPFLWGLRQDKEACVGTNNQSYICDTGHCCGQSQCCNYYYELWWFWLVWTVVIILSCCCV CHHRRAKHRLQAQQRQHEINLIAYREAHNYSALPFYFRFLPNSLLPPYEEVVNRPPTPPP PYSAFQLQQQQQLLPPPPQGGPPGGSPPGADPPPQGSQGAQSSPLSGPSRSSTRPPSVAD PQSPEVPTDREATKASGTESGSPMAGHGELDPGAFLDQDSECKEELLKDSRSERGGVSPD SEDKTPGRHRRFTGDSGIEVCVCNRGHHDDDLKEFNTLIDDALDGPLDFCDSCHVRPPVD EEEGLCLSSEGQAREHGHPHLPRPPACLLLNTINEQDSPNSQHSGSPS >ENSMUSP00000113922.1 pep:known chromosome:GRCm38:5:108106366:108132565:-1 gene:ENSMUSG00000063406.11 transcript:ENSMUST00000118036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed5 description:transmembrane emp24 protein transport domain containing 5 [Source:MGI Symbol;Acc:MGI:1921586] MGGRMWLPFPVLLLSALPAALLRGAAGFTPSLDSDFTFTLPAGRKECFYQPMPLKASLEI EYQVLDGGELDIDFHLTSPEGRTLVFEQRKSDGVHTKRVQ >ENSMUSP00000056449.6 pep:known chromosome:GRCm38:5:108117661:108132583:-1 gene:ENSMUSG00000063406.11 transcript:ENSMUST00000061203.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed5 description:transmembrane emp24 protein transport domain containing 5 [Source:MGI Symbol;Acc:MGI:1921586] MGGRMWLPFPVLLLSALPAALLRGAAGFTPSLDSDFTFTLPAGRKECFYQPMPLKASLEI EYQVLDGGELDIDFHLTSPEGRTLVFEQRKSDGVHTVYWLAWNSDLTASTSGVLELKTYV TTPSKT >ENSMUSP00000002837.4 pep:known chromosome:GRCm38:5:108121627:108132620:-1 gene:ENSMUSG00000063406.11 transcript:ENSMUST00000002837.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed5 description:transmembrane emp24 protein transport domain containing 5 [Source:MGI Symbol;Acc:MGI:1921586] MGGRMWLPFPVLLLSALPAALLRGAAGFTPSLDSDFTFTLPAGRKECFYQPMPLKASLEI EYQVLDGGELDIDFHLTSPEGRTLVFEQRKSDGVHTIETEDGDYMFCFDNTFSTISEKVI FFELILDNMGEEVQGQEDWKKYITNTDVLEMKLEDILESINSIKSRLSKSGHIQTLLRAF EARDRNIQESNFDRVNFWSVVNLMVMVVVSAIQVYTLKSLFEDKRKSRT >ENSMUSP00000112406.1 pep:known chromosome:GRCm38:5:108123061:108132549:-1 gene:ENSMUSG00000063406.11 transcript:ENSMUST00000119437.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed5 description:transmembrane emp24 protein transport domain containing 5 [Source:MGI Symbol;Acc:MGI:1921586] MGGRMWLPFPVLLLSALPAALLRGAAGFTPSLDSDFTFTLPAGRKECFYQPMPLKASLEI EYQVLDGGELDIDFHLTSPEGRTLVFEQRKSDGVHTIETEDGDYMFCFDNTFSTISEKVI FFELILDNMGEEVQGQEDWKKYITNTDVLEMKLEDILVSRPF >ENSMUSP00000112612.1 pep:known chromosome:GRCm38:5:108129467:108132533:-1 gene:ENSMUSG00000063406.11 transcript:ENSMUST00000117759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed5 description:transmembrane emp24 protein transport domain containing 5 [Source:MGI Symbol;Acc:MGI:1921586] MGGRMWLPFPVLLLSALPAALLRGAAGFTPSLDSDFTFTLPAGRKECFYQPMPLKASLEI EYQVLDGGELDIDFHLTSPEGRTLVFEQRKSDGVHTISIT >ENSMUSP00000113422.1 pep:known chromosome:GRCm38:5:108129722:108132530:-1 gene:ENSMUSG00000063406.11 transcript:ENSMUST00000119784.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed5 description:transmembrane emp24 protein transport domain containing 5 [Source:MGI Symbol;Acc:MGI:1921586] MGGRMWLPFPVLLLSALPAALLRGAAGFTPSLDSDFTFTLPAGRKECFYQPMPLKASLEI EYQVLDGGELDIDFHLTSPEGRTLVFEQRKSDGVHT >ENSMUSP00000138008.1 pep:known chromosome:GRCm38:3:93669340:93676310:-1 gene:ENSMUSG00000094084.2 transcript:ENSMUST00000181541.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdpoz1 description:TD and POZ domain containing 1 [Source:MGI Symbol;Acc:MGI:2449436] MSEDMEFENWGSTQSSVEKFCYKWTISNFSFCMGGIQRRITSPVFSSEENKEVAWCLRVY PKGADKESKDYLSVYLVLLSHLQIPVWAKFKFWIINSQGEKYQKIKSPTVECFLTNEQNG FKKFLPRDLLLSHRNCLLPEDQLTICCKVTILGRKYNMPSQNITPAIKDPRHLLTDDLGE LWENSLFTDCCLLVAGHEFRAHKAILAARSPVFRAMFEHEMKESLKTPIKIHNLNPQVFK EMMGFIYTGKAPHLHSHSMACDVLPAADKYGLVSLKVLCEDALCRNLSVKNATHTLILAD LHSTEKLKTQALDFIAYYASEVCETSEWKSILESHPHLVAEAFQSLASAQCSFLEPKVIS GSNQL >ENSMUSP00000091980.4 pep:known chromosome:GRCm38:3:93669333:93671569:-1 gene:ENSMUSG00000094084.2 transcript:ENSMUST00000094413.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdpoz1 description:TD and POZ domain containing 1 [Source:MGI Symbol;Acc:MGI:2449436] MSEDMEFENWGSTQSSVEKFCYKWTISNFSFCMGGIQRRITSPVFSSEENKEVAWCLRVY PKGADKESKDYLSVYLVLLSHLQIPVWAKFKFWIINSQGEKYQKIKSPTVECFLTNEQNG FKKFLPRDLLLSHRNCLLPEDQLTICCKVTILGRKYNMPSQNITPAIKDPRHLLTDDLGE LWENSLFTDCCLLVAGHEFRAHKAILAARSPVFRAMFEHEMKESLKTPIKIHNLNPQVFK EMMGFIYTGKAPHLHSHSMACDVLPAADKYGLVSLKVLCEDALCRNLSVKNATHTLILAD LHSTEKLKTQALDFIAYYASEVCETSEWKSILESHPHLVAEAFQSLASAQCSFLEPKVIS GSNQL >ENSMUSP00000109779.3 pep:known chromosome:GRCm38:X:74384719:74393272:-1 gene:ENSMUSG00000031399.15 transcript:ENSMUST00000114143.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3a description:family with sequence similarity 3, member A [Source:MGI Symbol;Acc:MGI:1913544] MRLAGPLRIVALIIIMGLTWILVTILLGGPGVGLPRIQQFFTSPENSVTAEPRARKYKCG LPQPCPEEHLSFRIVSGAANVIGPKICLEDKMLMSSVKDNVGRGLNIALVNGVSGELLEA RAFDMWAGDVNDLLKFIRPLHEGTLVFVASYDDPATKMNEETRKLFSELGSRNAKDLAFR DSWVFVGAKGVQNKSPFEQHMKNSKHTNKYEGWPEALEMEGCIPRRSIAG >ENSMUSP00000015427.6 pep:known chromosome:GRCm38:X:74384761:74393003:-1 gene:ENSMUSG00000031399.15 transcript:ENSMUST00000015427.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3a description:family with sequence similarity 3, member A [Source:MGI Symbol;Acc:MGI:1913544] MRLAGPLRIVALIIIMGLTWILVTILLGGPGVGLPRIQQFFTSPENSVTAEPRARKYKCG LPQPCPEEHLSFRIVSGAANVIGPKICLEDKMLMSSVKDNVGRGLNIALVNGVSGELLEA RAFDMWAGDVNDLLKFIRPLHEGTLVFVASYDDPATKMNEETRKLFSELGSRNAKDLAFR DSWVFVGAKGVQNKSPFEQHMKNSKHTNKYEGWPEALEMEGCIPRRSIAG >ENSMUSP00000109778.1 pep:known chromosome:GRCm38:X:74384761:74393103:-1 gene:ENSMUSG00000031399.15 transcript:ENSMUST00000114142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3a description:family with sequence similarity 3, member A [Source:MGI Symbol;Acc:MGI:1913544] MRLAGPLRIVALIIIMGLTWILVTILLGGPGVGLPRIQQFFTSPENSVTAEPRARKYKCG LPQPCPEEHLSFRIVSGAANVIGPKICLEDKMLMSSVKDNVGRGLNIALVNGVSGELLEA RAFDMWAGDVNDLLKFIRPLHEGTLVFVASYDDPATKMNEETRKLFSELGSRNAKDLAFR DSWVFVGAKGVQNKSPFEQHMKNSKHTNKYEGWPEALEMEGCIPRRSIAG >ENSMUSP00000109777.1 pep:known chromosome:GRCm38:X:74385204:74393182:-1 gene:ENSMUSG00000031399.15 transcript:ENSMUST00000114141.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3a description:family with sequence similarity 3, member A [Source:MGI Symbol;Acc:MGI:1913544] MRLAGPLRIVALIIIMGLTWILVTILLGGPGVGLPRIQQFFTSPENSVTAEPRARKYKCG LPQPCPEEHLSFRIVSGAANVIGPKICLEDKMLMSSVKDNVGRGLNIALVNAVLSLDTGV SGELLEARAFDMWAGDVNDLLKFIRPLHEGTLVFVASYDDPATKMNEETRKLFSELGSRN AKDLAFRDSWVFVGAKGVQNKSPFEQHMKNSKHTNKYEGWPEALEMEGCIPRRSIAG >ENSMUSP00000109775.1 pep:known chromosome:GRCm38:X:74385302:74393103:-1 gene:ENSMUSG00000031399.15 transcript:ENSMUST00000114139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3a description:family with sequence similarity 3, member A [Source:MGI Symbol;Acc:MGI:1913544] MRLAGPENSVTAEPRARKYKCGLPQPCPEEHLSFRIVSGAANVIGPKICLEDKMLMSSVK DNVGRGLNIALVNGVSGELLEARAFDMWAGDVNDLLKFIRPLHEGTLVFVASYDDPATKM NEETRKLFSELGSRNAKDLAFRDSWVFVGAKGVQNKSPFEQHMKNSKHTNKYEGWPEALE MEGCIPRRSIAG >ENSMUSP00000114748.1 pep:known chromosome:GRCm38:X:74386422:74393255:-1 gene:ENSMUSG00000031399.15 transcript:ENSMUST00000122894.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3a description:family with sequence similarity 3, member A [Source:MGI Symbol;Acc:MGI:1913544] MRLAGPLRIVALIIIMGLTWILVTILLGGPGVGLPRIQQFFTSPENSVTAEPRARKYKCG LPQPCPEEHLSFRIVSGAANVIGPKICLEDKMLMSSVKDNVGRGLNIALVNGVSGELLEA RAFDMWAGDVNDLLKFIRPLHEGTLVFVASYDDPATKMNEETRKLFSELGSRNAKDLAFR DSWVFVGAKGVQNKSPFEQ >ENSMUSP00000121662.1 pep:known chromosome:GRCm38:X:74386632:74393103:-1 gene:ENSMUSG00000031399.15 transcript:ENSMUST00000149218.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam3a description:family with sequence similarity 3, member A [Source:MGI Symbol;Acc:MGI:1913544] MRLAGPLRIVALIIIMGLTWILVTILLGGPGVGLPRIQQFFTSPENSVTADLR >ENSMUSP00000109774.1 pep:known chromosome:GRCm38:X:74386912:74392687:-1 gene:ENSMUSG00000031399.15 transcript:ENSMUST00000114138.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam3a description:family with sequence similarity 3, member A [Source:MGI Symbol;Acc:MGI:1913544] MRLAGPLRIVALIIIMGLTWILVTILLGGPGVGLPRIQQFFTSPENSVTAEPRARKYKCG LPQPCPEEHLSFRIVSGAANVIGPKICLEDKMLMSSVKDNVGRGLNIALVNGVSGELLEA RAFDMWAGGEWPTTATYCIFSP >ENSMUSP00000120127.1 pep:known chromosome:GRCm38:X:74386912:74392750:-1 gene:ENSMUSG00000031399.15 transcript:ENSMUST00000141604.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam3a description:family with sequence similarity 3, member A [Source:MGI Symbol;Acc:MGI:1913544] MRLAGPLRIVALIIIMGLTWILVTILLGGPGVGLPRIQQFFTSPENSVTAGS >ENSMUSP00000020776.4 pep:known chromosome:GRCm38:11:5528887:5542187:-1 gene:ENSMUSG00000020482.4 transcript:ENSMUST00000020776.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc117 description:coiled-coil domain containing 117 [Source:MGI Symbol;Acc:MGI:2144383] MAALGRPFSGLPLSGSADFLQPPPAFAGRAFPPGAAGHDLAPRPGVRGAPSSPGGRTARG RVSIHCRKKHKRLAEDDECPVRKKRLTEAELGAVTDEWALGAHQGREGHGVNTCPSSLSM PSMLDVVCEEMDQTTGEPQCEVARRRLQEIEDRIIDEDEEVESDRNVSHLPSLVLSDTMK TGLKREFDEVFTKRMIESMSRPSMELVLWKPLPELLPEKPKPSSSPKNYRRESQAKHAAP GTAFPQRTEGLLEPQCADAPLYRSLEAATSTEEEMEL >ENSMUSP00000104146.2 pep:known chromosome:GRCm38:11:72154097:72203771:-1 gene:ENSMUSG00000020807.14 transcript:ENSMUST00000108506.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933427D14Rik description:RIKEN cDNA 4933427D14 gene [Source:MGI Symbol;Acc:MGI:1921727] MGPSQPASTCVHLAPSLQLDAMSDPRNLQPQNQLQFNRNVPTNPSNLAVRYSCPHGIKIE KLKHSYNELNHGKDLDFKAGNELSSSVSFSVISEERLSYAVHLAKRDVKRRQFEEHVKDH LRSLPHSSHKGMHTKVERKDSKSQDVCHCSHQPSRVSLSSSGAKVYVYTSQPGRADLTVP NSPPTHDPGLQPQPRIEENKNLWEQKGLLEVQRLQKELSGCIRKIEALTKKDRLEEALDP DEEHRIRVRRQEQAVRCARMLYVLQQQVKEIQEELDKLSPQKIKHTKKSWAVSRLAAAHR AAIRALQVFVTQFTDRGEHPVPARCRELGSLIRQLSLCSAKLDADPSVPDVVIDILQQIE ALESLLEKKLSPKKAKKCFTEIRSRFPVGSQKILERWPTVLPKSERRPLVTKETFPQETS RPPVAKRLLAAMCQPDGELQRLESEPDVLDAHLLPEEAPRIEDNGTDFKDGTLTPAKPQA VRRKALTGSMPIRKKDTVESARPQQGLHIAERNRPNQPYSKSRLQQTTVSSRLKMNRQPM KDHRAPWIPPNPTSPPASPKCAAWMKVKYSPRDAAKEQSLQQEDTHKESQLRGDAEQEAA RLSWPDAESSKRLKELEELEAKEMERKQKQRLNWLEAETSRRTKELDELKAEEMDRLQKL SVSATQLADKVEEAVLERLKPLLIKAQRVNSSVEANSHLKDRPSRHAAAAAQPAEQASDV RFESRNIPQLRDCLEDTAHELWARTQDKILGSETSARLGDSKDSPDLETMMLRMEEMEKY QETVRQRYNKIVYADPHLWMHEERNDQNTPAVSEGPLASHPIKITKTATQKCPAVNILLE RPCNANSLDESVTTEEGSEKREAPLPLSREDLHQRKGQTPLSVPPRMRHSIGAYCSRFEQ FLRIIAHEAIGSFNPWLIAESFSDELVDEALGAVAAELQDVCEDYAEAVFTSEFLEAAA >ENSMUSP00000122273.1 pep:known chromosome:GRCm38:11:72153930:72207267:-1 gene:ENSMUSG00000020807.14 transcript:ENSMUST00000131546.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4933427D14Rik description:RIKEN cDNA 4933427D14 gene [Source:MGI Symbol;Acc:MGI:1921727] MGPSQPASTCVHLAPSLQLDAMSDPRNLQPQNQLQFNRNVPTNPSNLAVRYSCPHGIKIE KLKHSYNELNHGKDLDFKAGNELSSSVSFSVISEERLSYAVHLAKRDVKRRQFEEHVKDH LRSLPHSSHKGMHTKVERKDSKSQDVCHCSHQPSRVSLSSSGAKVYVYTSQPGRADLTVP NSPPTHDPGLQPQPRIEENKNLWEQKGLLEVQRLQKELSGCIRKIEALTKKDRLEEALDP DEEHRIRVRRQEQAVRCARMLYVLQQQVKEIQEELDKLSPQKIKHTKKSWAVSRLAAAHR AAIRALQVFVTQFTDRGEHPVPARCRELGSLIRQLSLCSAKLDADPSVPDVVIDILQQIE ALESLLEKKLSPKKAKKCFTEIRSRFPVGSQKILERWPTVLPKSERRPLVTKETFPQETS RPPVAKRLLAAMCQPDGELQRLESEPDVLDAHLLPEEAPRIEDNGTDFKDGTLTPAKPQA VRRKALTGSMPIRKKDTVESARPQQGLHIAERNRPNQPYSKSRLQQTTVSSRLKMNRQPM KDHRAPWIPPNPTSPPASPKCAAWMKVKYSPRDAAKEQSLQQEDTHKESQLRGDAEQEAA RLSWPDAESSKRLKELEELEAKEMERKQKQRLNWLEAETSRRTKELDELKAEEMDRLQKL S >ENSMUSP00000104145.1 pep:known chromosome:GRCm38:11:72195375:72207431:-1 gene:ENSMUSG00000020807.14 transcript:ENSMUST00000108505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933427D14Rik description:RIKEN cDNA 4933427D14 gene [Source:MGI Symbol;Acc:MGI:1921727] MGPSQPASTCVHLAPSLQLDAMSDPRNLQPQNQLQFNRNVPTNPSNLAVRYSCPHGIKIE KLKHSYNELNHGKDLDFKAGNELSSSVSFSVISEERLSYAVHLAKRDVKRRQFEEHVKDH LRSLPHSSHKGMHTKVERKDSKSQDVCHCSHQPSRVSLSSSGAKVYVYTSQPGRADLTVP NSPPTHDPGLQPQPRIEENKNLWEQKGLLEVQRLQKELSGCIRKIEALTKKDRLEEALDP DEEHRIRVRRQEQAVRCARMLYVLQQQVWKSQGSWSKWPACGFLRDLYLLFPQSQGLCIS ANEFSGSIS >ENSMUSP00000115276.1 pep:known chromosome:GRCm38:11:72153929:72207459:-1 gene:ENSMUSG00000020807.14 transcript:ENSMUST00000142530.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4933427D14Rik description:RIKEN cDNA 4933427D14 gene [Source:MGI Symbol;Acc:MGI:1921727] MGPSQPASTCVHLAPSLQLDAMSDPRNLQPQNQLQFNRNVPTNPSNLAVRYSCPHGIKIE KLKHSYNELNHGKDLDFKAGNELSSSVSFSVISEERLSYAVHLAKRDVKRRQFEEHVKDH LRSLPHSSHKGMHTKVERKDSKSQDVCHCSHQPSRVSLSSSGAKVYVYTSQPGRADLTVP NSPPTHDPGLQPQPRIEENKNLWEQKGLLEVQRLQKELSGCIRKIEALTKKDRLEEALDP DEEHRIRVRRQEQAVRCARMLYVLQQQVKEIQEELDKLSPQKIKHTKKSWAVSRLAAAHR AAIRALQVFVTQFTDRGEHPVPARCRELGSLIRQLSLCSAKLDADPSVPDVVIDILQQIE FQSVRP >ENSMUSP00000026972.7 pep:known chromosome:GRCm38:5:138754514:138810077:1 gene:ENSMUSG00000025854.15 transcript:ENSMUST00000026972.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam20c description:family with sequence similarity 20, member C [Source:MGI Symbol;Acc:MGI:2136853] MKMILVRRFRVLILVVFLLACALHIAVDLLPKLDRRATRSSGEPGCSCAQPAAEAAGPGW AQARSRPGESAGGDAGWPNKHTLRILQDFSSDPASNLTSHSLEKLPSAAEPVDHAPRGQE PRSPPPRDPAHRPLLRDPGPRPRVPPPGPSGDGSLLAKLFEHPLYQGAVPPLTEDDVLFN VNSDIRFNPKAAENPDWPHEGAEGAEFLPTGEAAVNLYPNWLKFHIGINRYELYSRHNPA IDALLRDLGSQKITSVAMKSGGTQLKLIMTFQNYGQALFKPMKQTREQETPPDFFYFSDY ERHNAEIAAFHLDRILDFRRVPPVAGRMINMTKEIRDVTRDKKLWRTFFVSPANNICFYG ECSYYCSTEHALCGRPDQIEGSLAAFLPDLSLAKRKTWRNPWRRSYHKRKKAEWEVDPDY CEEVKQTPPYDSGHRILDIMDMTVFDFLMGNMDRHHYETFEKFGNETFIIHLDNGRGFGK YSHDELSILAPLHQCCRIRRSTYLRLQLLAKEEHKLSLLMAESLQHDKVAPVLYQLHLEA LDRRLRIVLQAVRDCVEKDGLSSVVEDDLATEHRASTER >ENSMUSP00000124584.1 pep:known chromosome:GRCm38:5:138755083:138809381:1 gene:ENSMUSG00000025854.15 transcript:ENSMUST00000160645.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam20c description:family with sequence similarity 20, member C [Source:MGI Symbol;Acc:MGI:2136853] MKMILVRRFRVLILVVFLLACALHIAVDLLPKLDRRATRSSGEPGCSCAQPAAEAAGPGW AQARSRPGESAGGDAGWPNKHTLRILQDFSSDPASNLTSHSLEKLPSAAEPVDHAPRGQE PRSPPPRDPAHRPLLRDPGPRPRVPPPGPSGDGSLLAKLFEHPLYQGAVPPLTEDDVLFN VNSDIRFNPKAAENPDCHGDKSG >ENSMUSP00000044639.9 pep:known chromosome:GRCm38:17:25838457:25844851:-1 gene:ENSMUSG00000025733.17 transcript:ENSMUST00000043897.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhot2 description:ras homolog gene family, member T2 [Source:MGI Symbol;Acc:MGI:2384892] MRRDVRILLLGEAQVGKTSLILSLVGEEFPEEVPARAEEITIPADVTPEKVPTHIVDYSE AEQTEEELQEEIHKANVVCVVYDVSEETTIEKIRTKWIPLVNGRTATGPRLPIILVGNKS DLRPGSTMEAVLPIMSQFPEIETCVECSAKHLRNISELFYYAQKAVLHPTAPLYDPEAKQ LRPACAQALTRIFRLSDQDRDHGLSDEELNAFQKSCFGHPLAPQALEDVKRVVCKNVSGG VQNDRLTLEGFLFLNTLFIQRGRHETTWTILRRFGYSDSLELTPDYLYPALHVPPGCSTE LNHRGYQFVQRMFEKHDQDHDGVLSPTELQNLFSVFSGAPWGPELLHTVPTQAGCLPLHG YLCQWTLMTYLDVQQCLAHLGYLGYPTLCEQDSQAQAITVTREKKLDQEKGQTQRSVLMC KVLGARGVGKSAFLQAFLGNSLGEARDPPEKFPLHTINTVRVNGQEKYLILCEVNADSLL DTSLDTTCDVACLMFDSSDPKTFVHCATIYKRYYMDGQTPCLFIASKADLPEGVAPPGLS PAEFCRRHRLPAPASFSCLGPAMPSTDVFTQLATMATFPHLVHTELHPTSFWLRGVLVAV GTAVAAVLSFSLYRVLVKSR >ENSMUSP00000135611.1 pep:known chromosome:GRCm38:17:25841760:25844417:-1 gene:ENSMUSG00000025733.17 transcript:ENSMUST00000176591.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhot2 description:ras homolog gene family, member T2 [Source:MGI Symbol;Acc:MGI:2384892] XLLLGEAQVGKTSLILSLVGEEFPEEVPARAEEITIPADVTPEKVPTHIVDYSEAEQTEE ELQEEIHKANVVCVVYDVSEETTIEKIRTKWIPLVNGRTATGPRLPIILVGNKSDLRPGS TMEAVLPIMSQFPEIETCVELRPACAQALTRIFRLSDQDRDHGLSDEELNAF >ENSMUSP00000135436.1 pep:known chromosome:GRCm38:17:25842252:25844525:-1 gene:ENSMUSG00000025733.17 transcript:ENSMUST00000176709.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhot2 description:ras homolog gene family, member T2 [Source:MGI Symbol;Acc:MGI:2384892] MRRDVRILLLGEAQVGKTSLILSLVGEEFPEEVPARAEEITIPADVTPEKVPTHIVDYSA EQTEEELQEEIHKANVVCVVYDVSEETTIEKIRTKWIPLVNGRTATGPRLPIILVGNKSD L >ENSMUSP00000072920.5 pep:known chromosome:GRCm38:1:23100473:23102253:-1 gene:ENSMUSG00000073730.2 transcript:ENSMUST00000073179.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933415F23Rik description:RIKEN cDNA 4933415F23 gene [Source:MGI Symbol;Acc:MGI:1914005] MADSGPSGGPALTDPGPEPGSANTGPRVYFESPPGTPGETPGPGNNPDKDDQVRRPHRPD KIIVKYDRKELRKRLNLDDWILEQLTGLYDCKEEEIPELEIDVDELLDMESDDTRAARVK ELLVDCYKPTEAFINDLLDRIRGMQKLTIPLKN >ENSMUSP00000025686.7 pep:known chromosome:GRCm38:19:34122549:34166053:-1 gene:ENSMUSG00000024774.15 transcript:ENSMUST00000025686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd22 description:ankyrin repeat domain 22 [Source:MGI Symbol;Acc:MGI:1277101] MGILYSEPICQAAYQNDLGQVWRWAKESNHYVDVQDSFNGDTPLICACRRGHLRIVSFLL RRNADVNLKNLKERTCLHYAVKKRFTFFDYLLIILLMPVLLIGYFLMVSKTKQNETLVRM LLNAGVEVNATDCDGYTALHYACQMKNQTLIPLLLEAHADPMIKNKHGESSLDIAQRLKF SQIALMLKRAS >ENSMUSP00000142038.1 pep:known chromosome:GRCm38:3:93692050:93693070:-1 gene:ENSMUSG00000103350.1 transcript:ENSMUST00000193565.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm37596 description:predicted gene, 37596 [Source:MGI Symbol;Acc:MGI:5610824] MEVMNWGYTHISVKEFCYVWTIRNFSPCIDGIRRTITSPVFSLEANDEVTWCLRAHPNGV DEVSECYMSVFLELLSCRKSPVWAKYEFWITTSQGEKYQCMKSFNVHSFQKNQYRGFKKF ILGDFLISHPRRLLPENKLTLCCKVSIVGSVFGMPGQNITPAIKDPRHLLTDDLGELWEN SLFTDCCLLVAGHEFRAHKVILAARSPVFRAMFEHEMEERLANPTEIHDLDPKVFKEMMG FIYTGKAPHLQSHSMATDVLTAADEYGLEGLKVLCEDALCRNLSVENAAQTLILADLHKR EQLKTQALDFIALHASVVSETSEWKSMMESHPHLVG >ENSMUSP00000146819.1 pep:known chromosome:GRCm38:7:4940512:4964406:-1 gene:ENSMUSG00000030433.15 transcript:ENSMUST00000208109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbk2 description:SH3-binding domain kinase family, member 2 [Source:MGI Symbol;Acc:MGI:2685925] MPGKQSEDKPMEVSTVEDGGDEGLGGLTVEELQQGQEAALALEDMMALSAQTLVQTEVEE LYEEVRPLGQGRFGRVLLVTHRQKGTPLALKQLPKQSTSLRGFLYEFCVGLSLGTHSAIV TAYGIGIESANSYSFLTEPVLHGDLITFIQPKVTTRAFCTLDWNAQSHNLSLCCLDWSQL SESEPRLVL >ENSMUSP00000138504.1 pep:known chromosome:GRCm38:7:4956134:4964345:-1 gene:ENSMUSG00000030433.15 transcript:ENSMUST00000182214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbk2 description:SH3-binding domain kinase family, member 2 [Source:MGI Symbol;Acc:MGI:2685925] MPGKQSEDKPMEVSTVEDGGDEGLGGLTVEELQQGQEAALALEDMMALSAQTLVQTEVEE LYEEVRPLGQGRFGRVLLVTHRQKGTPLALKQLPKQSTSLRGFLYEFCVGLSLGTHSAIV TAYGIGIESANSYSFLTEPVLHGDLITFIQPKVGLPQPAAQRCAAQLASALEHIHSHGLV YRDLKPENVLVCDPACQRVKLTDFGHTRPRGTLLRLTGPPIPYTAPELCAPPPLPEGLPI QPSLDAWALGVLIFCLLTGYFPWDQPLVEVDPFFEDFLIWQASGQPQDRPQPWYSLSPAA DTLLWGLLDPHPRKRNPVGSIKSYLGQPWKQREGEAEELATELREDGWRGGQEAAKGEQP AC >ENSMUSP00000138187.1 pep:known chromosome:GRCm38:7:4957522:4964354:-1 gene:ENSMUSG00000030433.15 transcript:ENSMUST00000183170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbk2 description:SH3-binding domain kinase family, member 2 [Source:MGI Symbol;Acc:MGI:2685925] MPGKQSEDKPMEVSTVEDGGDEGLGGLTVEELQQGQEAALALEDMMALSAQTLVQTEVEE LYEEVRPLGQGRFGRVLLVTHRQKGTPLALKQLPKQSTSLRGFLYEFCVGLSLGTHSAIV TAYGIGIESANSYSFLTEPVLHGDLITFIQPKVGLPQPAAQRCAAQLASALEHIHSHGLV YRDLKPENVLVCDPACQRVKLTDFGHTRPRGTLLRL >ENSMUSP00000032598.7 pep:known chromosome:GRCm38:7:4957081:4964348:-1 gene:ENSMUSG00000030433.15 transcript:ENSMUST00000032598.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbk2 description:SH3-binding domain kinase family, member 2 [Source:MGI Symbol;Acc:MGI:2685925] MPGKQSEDKPMEVSTVEDGGDEGLGGLTVEELQQGQEAALALEDMMALSAQTLVQTEVEE LYEEVRPLGQGRFGRVLLVTHRQKGTPLALKQLPKQSTSLRGFLYEFCVGLSLGTHSAIV TAYGIGIESANSYSFLTEPVLHGDLITFIQPKVGLPQPAAQRCAAQLASALEHIHSHGLV YRDLKPENVLVCDPACQRVKLTDFGHTRPRGTLLRLTGPPIPYTAPELCAPPPLPEGLPI QPSLDAWALGVLIFCLLTGYFPWDQPLVEVDPFFEDFLIWQASGQPQDRPQPWYSLSPAA DTLLWGLLDPHPRKRNPVGSIKSYLGQPWKQREGEAEELATELREDGWRGGQEAAKGEQP AC >ENSMUSP00000137876.1 pep:known chromosome:GRCm38:Y:21164570:21166905:1 gene:ENSMUSG00000094294.2 transcript:ENSMUST00000181205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20909 description:predicted gene, 20909 [Source:MGI Symbol;Acc:MGI:5434265] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000137500.1 pep:known chromosome:GRCm38:Y:21166083:21166766:1 gene:ENSMUSG00000094294.2 transcript:ENSMUST00000178234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20909 description:predicted gene, 20909 [Source:MGI Symbol;Acc:MGI:5434265] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000127857.1 pep:known chromosome:GRCm38:14:59320964:59341351:-1 gene:ENSMUSG00000091649.3 transcript:ENSMUST00000166121.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf11b description:PHD finger protein 11B [Source:MGI Symbol;Acc:MGI:3645789] MDQEKPGCSNPVPNGDCPIIEKMEKRTCALCPEGHEWSQIYFSPSGNIVAHENCLLYSSG LVESEAPDLPNTIRNFDVKSVKKEIGRGRRLKCSFCKNKGATMGCDLQSCTKNYHLSCAM EDHAILQVDEDHGTYKLFCQKHAPEAQEPTQRDATVKAPFLKKCQEAGLLNVLLEYILEK MDLIHGRLLDETASESDYEGIETLLFESGLFEDTLIQFQEVIKSKACEWEERQRLMKQQL EALADLQQSLCSYQENGDLDCSSSTSGSLLPPEDHQ >ENSMUSP00000030311.4 pep:known chromosome:GRCm38:4:94614491:94693229:1 gene:ENSMUSG00000028576.12 transcript:ENSMUST00000030311.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift74 description:intraflagellar transport 74 [Source:MGI Symbol;Acc:MGI:1914944] MASNHKSSAPRPISRGGIGLTGRPPSGIRPPSGNVRVATAMPPTTARPGSRGGPLGTGGV LSSQIKVADRPVTQQGLSGMKTGMKGPQRQILDKSYYLGLLRSKISELTTEINKLQKEIE MYNQENSVYLSYEKRAETLAVEIKDFQGQLADYNMLVDKLNTNTEMEEVMSDYNMLKAQN DRETQSMDVIFTERQAKEKQIRSVEEEVEQEKQAADGIIKNMSPEKQVKYIEMKTTNEKL LQELDTLQQQLDSLNMKKESLETEIAHSQVKQEAVLLYEKLYELESHRDQMIAEDKSMGS PMEERERLLKQVKEDNQEIASMERQLTDIKEKINQFSEEIRQLDMDLEEHQGEMNQKYKE LKKREENMDAFIETFEETKNQELERKAQIEASIITLLEHCSRNINRMKQISSITNQELKM MQDDLSFKSTEMQKSQTTARNLTSDSQRLQLDLQKMELLESKMTEEQQSLKNKIKQMTAD LETYSDLAALKSSAEEKKKKLHQERTVLSTHRNAFKKIMEKLTSDYDTLKRQLQDNETHA QLTNLERKWQHLEQNNFVMKEFIATKSQESDYQPVIKNVMKQIAEYNKTIMDALHNASRS >ENSMUSP00000102721.2 pep:known chromosome:GRCm38:4:94614491:94664698:1 gene:ENSMUSG00000028576.12 transcript:ENSMUST00000107104.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ift74 description:intraflagellar transport 74 [Source:MGI Symbol;Acc:MGI:1914944] MASNHKSSAPRPISRGGIGLTGRPPSGIRPPSGNVRVATAMPPTTARPGSRGGPLGTGGV LSSQIKVADRPVTQQGLSGMKTGMKGPQRQILDKSYYLGLLRSKISELTTEINKLQKEIE MYNQENSVYLSYEKRAETLAVEIKDFQGQLADYNMLVDKLNTNTEMEEVMSDYNMLKAQN DRETQSMDVIFTERQAKEKQIRSVEEEVEQEKQAADGIIKNMSPEKQVKYIEMKTTNEKL LQELDTLQQQLDSLNMKKESLETEIAHSQVKQEAVLLYEKLYELESHRDQMIAEDKSMGS PMEERERLLKQVKEDNQEIASMERQLTDIKEKINQFSEEIRQLDMDLEEHQAWATLLLGL AAHTLNPLTEDRSRSVPVNLSTTPLRII >ENSMUSP00000047567.7 pep:known chromosome:GRCm38:6:143828425:144209480:-1 gene:ENSMUSG00000041540.16 transcript:ENSMUST00000038815.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox5 description:SRY (sex determining region Y)-box 5 [Source:MGI Symbol;Acc:MGI:98367] MLTDPDLPQEFERMSSKRPASPYGETDGEVAMVTSRQKVEEEESERLPAFHLPLHVSFPN KPHSEEFQPVSLLTQETCGPRTPTVQHNTMEVDGNKVMSSLAPYNSSTSPQKAEEGGRQS GESVSSAALGTPERRKGSLADVVDTLKQRKMEELIKNEPEDTPSIEKLLSKDWKDKLLAM GSGNFGEIKGTPESLAEKERQLMGMINQLTSLREQLLAAHDEQKKLAASQIEKQRQQMEL AKQQQEQIARQQQQLLQQQHKINLLQQQIQVQGQLPPLMIPVFPPDQRTLAAAAQQGFLL PPGFSYKAGCSDPYPVQLIPTTMAAAAAATPGLGPLQLQQFYAAQLAAMQVSPGGKLLGL PQGNLGAAVSPTSIHTDKSTNSPPPKSKDEVAQPLNLSAKPKTSDGKSPASPTSPHMPAL RINSGAGPLKASVPAALASPSARVSTIGYLNDHDAVTKAIQEARQMKEQLRREQQALDGK VAVVNSIGLSNCRTEKEKTTLESLTQQLAVKQNEEGKFSHGMMDFNMSGDSDGSAGVSES RIYRESRGRGSNEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWKAMTNL EKQPYYEEQARLSKQHLEKYPDYKYKPRPKRTCLVDGKKLRIGEYKAIMRNRRQEMRQYF NVGQQAQIPIATAGVVYPSAIAMAGMPSPHLPSEHSSVSSSPEPGMPVIQSTYGAKGEEP HIKEEIQAEDINGEIYEEYDEEEEDPDVDYGSDSENHIAGQAN >ENSMUSP00000107378.1 pep:known chromosome:GRCm38:6:143831615:144209558:-1 gene:ENSMUSG00000041540.16 transcript:ENSMUST00000111749.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox5 description:SRY (sex determining region Y)-box 5 [Source:MGI Symbol;Acc:MGI:98367] MLTDPDLPQEFERMSSKRPASPYGETDGEVAMVTSRQKVEEEESERLPAFHLPLHEVDGN KVMSSLAPYNSSTSPQKAEEGGRQSGESVSSAALGTPERRKGSLADVVDTLKQRKMEELI KNEPEDTPSIEKLLSKDWKDKLLAMGSGNFGEIKGTPESLAEKERQLMGMINQLTSLREQ LLAAHDEQKKLAASQIEKQRQQMELAKQQQEQIARQQQQLLQQQHKINLLQQQIQVQGQL PPLMIPVFPPDQRTLAAAAQQGFLLPPGFSYKAGCSDPYPVQLIPTTMAAAAAATPGLGP LQLQDEVAQPLNLSAKPKTSDGKSPASPTSPHMPALRINSGAGPLKASVPAALASPSARV STIGYLNDHDAVTKAIQEARQMKEQLRREQQALDGKVAVVNSIGLSNCRTEKEKTTLESL TQQLAVKQNEEGKFSHGMMDFNMSGDSDGSAGVSESRIYRESRGRGSNEPHIKRPMNAFM VWAKDERRKILQAFPDMHNSNISKILGSRWKAMTNLEKQPYYEEQARLSKQHLEKYPDYK YKPRPKRTCLVDGKKLRIGEYKAIMRNRRQEMRQYFNVGQQAQIPIATAGVVYPSAIAMA GMPSPHLPSEHSSVSSSPEPGMPVIQSTYGAKGEEPHIKEEIQAEDINGEIYEEYDEEEE DPDVDYGSDSENHIAGQAN >ENSMUSP00000107377.1 pep:known chromosome:GRCm38:6:143832835:143947106:-1 gene:ENSMUSG00000041540.16 transcript:ENSMUST00000111748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox5 description:SRY (sex determining region Y)-box 5 [Source:MGI Symbol;Acc:MGI:98367] MAAAAAATPGLGPLQLQDEVAQPLNLSAKPKTSDGKSPASPTSPHMPALRINSGAGPLKA SVPAALASPSARVSTIGYLNDHDAVTKAIQEARQMKEQLRREQQALDGKVAVVNSIGLSN CRTEKEKTTLESLTQQLAVKQNEEGKFSHGMMDFNMSGDSDGSAGVSESRIYRESRGRGS NEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKILGSRWKAMTNLEKQPYYEEQAR LSKQHLEKYPDYKYKPRPKRTCLVDGKKLRIGEYKAIMRNRRQEMRQYFNVGQQAQIPIA TAGVVYPSAIAMAGMPSPHLPSEHSSVSSSPEPGMPVIQSTYGAKGEEPHIKEEIQAEDI NGEIYEEYDEEEEDPDVDYGSDSENHIAGQAN >ENSMUSP00000076403.5 pep:known chromosome:GRCm38:6:143832970:144209452:-1 gene:ENSMUSG00000041540.16 transcript:ENSMUST00000077160.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox5 description:SRY (sex determining region Y)-box 5 [Source:MGI Symbol;Acc:MGI:98367] MLTDPDLPQEFERMSSKRPASPYGETDGEVAMVTSRQKVEEEESERLPAFHLPLHVSFPN KPHSEEFQPVSLLTQETCGPRTPTVQHNTMEVDGNKVMSSLAPYNSSTSPQKAEEGGRQS GESVSSAALGTPERRKGSLADVVDTLKQRKMEELIKNEPEDTPSIEKLLSKDWKDKLLAM GSGNFGEIKGTPESLAEKERQLMGMINQLTSLREQLLAAHDEQKKLAASQIEKQRQQMEL AKQQQEQIARQQQQLLQQQHKINLLQQQIQQVQGQLPPLMIPVFPPDQRTLAAAAQQGFL LPPGFSYKAGCSDPYPVQLIPTTMAAAAAATPGLGPLQLQDEVAQPLNLSAKPKTSDGKS PASPTSPHMPALRINSGAGPLKASVPAALASPSARVSTIGYLNDHDAVTKAIQEARQMKE QLRREQQALDGKVAVVNSIGLSNCRTEKEKTTLESLTQQLAVKQNEEGKFSHGMMDFNMS GDSDGSAGVSESRIYRESRGRGSNEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISK ILGSRWKAMTNLEKQPYYEEQARLSKQHLEKYPDYKYKPRPKRTCLVDGKKLRIGEYKAI MRNRRQEMRQYFNVGQQAQIPIATAGVVYPSAIAMAGMPSPHLPSEHSSVSSSPEPGMPV IQSTYGAKGEEPHIKEEIQAEDINGEIYEEYDEEEEDPDVDYGSDSENHIAGQAN >ENSMUSP00000122786.1 pep:known chromosome:GRCm38:6:143844815:143947097:-1 gene:ENSMUSG00000041540.16 transcript:ENSMUST00000144289.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox5 description:SRY (sex determining region Y)-box 5 [Source:MGI Symbol;Acc:MGI:98367] MAAAAAATPGLGPLQLQQFYAAQLAAMQVSPGGKLLGLPQGNLGAAVSPTSIHTDKSTNS PPPKSKDEVAQPLNLSAKPKTSDGKSPASPTSPHMPALRINSGAGPLKASVPAALASPSA RVSTIGYLNDHDAVTKAIQEARQMKEQLRREQQALDGKVAVVNSIGLSNCRTEKEKTTLE SLTQ >ENSMUSP00000114602.2 pep:known chromosome:GRCm38:6:143861441:143947088:-1 gene:ENSMUSG00000041540.16 transcript:ENSMUST00000124233.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox5 description:SRY (sex determining region Y)-box 5 [Source:MGI Symbol;Acc:MGI:98367] MLLSLRASLGCVLTSCKGDPYPVQLIPTTMAAAAAATPGLGPLQLQDEVAQPLNLSAKPK TSDGKSPASPTSPHMPALRINSGAGPLKASVPAALASPSARVSTIGYLNDHDAVTKAIQE ARQMKEQLRREQQAL >ENSMUSP00000133041.2 pep:known chromosome:GRCm38:6:143828425:144209568:-1 gene:ENSMUSG00000041540.16 transcript:ENSMUST00000170367.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox5 description:SRY (sex determining region Y)-box 5 [Source:MGI Symbol;Acc:MGI:98367] MLTDPDLPQEFERMSSKRPASPYGETDGEVAMVTSRQKVEEEESERLPAFHLPLHVSFPN KPHSEEFQPVSLLTQETCGPRTPTVQHNTMEVDGNKVMSSLAPYNSSTSPQKAEEGGRQS GESVSSAALGTPERRKGSLADVVDTLKQRKMEELIKNEPEDTPSIEKLLSKDWKDKLLAM GSGNFGEIKGTPESLAEKERQLMGMINQLTSLREQLLAAHDEQKKLAASQIEKQRQQMEL AKQQQEQIARQQQQLLQQQHKINLLQQQIQVQGQLPPLMIPVFPPDQRTLAAAAQQGFLL PPGFSYKAGCSDPYPVQLIPTTMAAAAAATPGLGPLQLQDEVAQPLNLSAKPKTSDGKSP ASPTSPHMPALRINSGAGPLKASVPAALASPSARVSTIGYLNDHDAVTKAIQEARQMKEQ LRREQQALDGKVAVVNSIGLSNCRTEKEKTTLESLTQQLAVKQNEEGKFSHGMMDFNMSG DSDGSAGVSESRIYRESRGRGSNEPHIKRPMNAFMVWAKDERRKILQAFPDMHNSNISKI LGSRWKAMTNLEKQPYYEEQARLSKQHLEKYPDYKYKPRPKRTCLVDGKKLRIGEYKAIM RNRRQEMRQYFNVGQQAQIPIATAGVVYPSAIAMAGMPSPHLPSEHSSVSSSPEPGMPVI QSTYGAKGEEPHIKEEIQAEDINGEIYEEYDEEEEDPDVDYGSDSENHIAGQAN >ENSMUSP00000111465.1 pep:known chromosome:GRCm38:17:4996421:5347656:1 gene:ENSMUSG00000069729.13 transcript:ENSMUST00000115799.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid1b description:AT rich interactive domain 1B (SWI-like) [Source:MGI Symbol;Acc:MGI:1926129] MSPGTPGPTMGRSQGSPMDPMVMKRPQLYGMGTHPHSQPQQSSPYPGGSYGPPGAQRYPL GMQGRAPGALGGLQYPQQQMPPQYGQQAVSGYCQQGQQPYYNQQPQPSHLPPQAQYLQPA AAQSQQRYQPQQDMSQEGYGTRSQPPLAPGKSNHEDLNLIQQERPSSLPDLSGSIDDLPT GTEATLSSAVSASGSTSSQGDQSNPAQSPFSPHASPHLSSIPGGPSPSPVGSPVGSNQSR SGPISPASIPGFMTGTQRNPQMSQYGPQQTGPSMSPHPSPGGQMHPGISNFQQSNSSGTY GPQMSQYGPQGNYSRTPTYSGVPSASYSGPGPGMGINANNQMHGQGPAQPCGAMPLGRMP SAGMQNRPFPGTMSSVTPSSPGMSQQGGPGMGPPMPTVNRKAQEAAAAVMQAAANSAQSR QGSFPGMNQSGLVASSSPYSQSMNNNSSLMSTQAQPYSMTPTMVNSSTASMGLADMMSPS ESKLSVPLKADGKEEGVSQPESKSKDSYGSQGISQPPTPGNLPVPSPMSPSSASISSFHG DESDSISSPGWPKTPSSPKSSSSSTTGEKITKVYELGNEPERKLWVDRYLTFMEERGSPV SSLPAVGKKPLDLFRLYVCVKEIGGLAQVNKNKKWRELATNLNVGTSSSAASSLKKQYIQ YLFAFECKTERGEEPPPEVFSTGDSKKQPKLQPPSPANSGSLQGPQTPQSTGSNSMAEVP GDLKPPTPASTPHGQMTPMQSGRSSTVSVHDPFSDVSDSAYPKRNSMTPNAPYQQGMGMP DMMGRMPYEPNKDPFSGMRKVPGSSEPFMTQGQVPNSGMQDMYNQSPSGAMSNLGMGQRQ QFPYGTSYDRRHEAYGQQYPGQGPPTGQPPYGGHQPGLYPQQPNYKRHMDGMYGPPAKRH EGDMYNMQYGSQQQEMYNQYGGSYSGPDRRPIQGQYPYPYNRERMQGPGQMQPHGIPPQM MGGPMQSSSSEGPQQNMWATRNDMPYPYQSRQGPGGPAQAPPYPGMNRTDDMMVPEQRIN HESQWPSHVSQRQPYMSSSASMQPITRPPQSSYQTPPSLPNHISRAPSPASFQRSLESRM SPSKSPFLPTMKMQKVMPTVPTSQVTGPPPQPPPIRREITFPPGSVEASQPILKQRRKIT SKDIVTPEAWRVMMSLKSGLLAESTWALDTINILLYDDSTVATFNLSQLSGFLELLVEYF RKCLIDIFGILMEYEVGDPSQKALDHRSGKKDDSQSLEDDSGKEDDDAECLVEEEEEEEE EEEDSEKIESEGKSSPALAAPDASVDPKETPKQASKFDKLPIKIVKKNKLFVVDRSDKLG RVQEFSSGLLHWQLGGGDTTEHIQTHFESKMEIPPRRRPPPPLSSTGKKKELEGKGDSEE QPEKSIIATIDDVLSARPGALPEDTNPGPQTDSGKFPFGIQQAKSHRNIRLLEDEPRSRD ETPLCTIAHWQDSLAKRCICVSNIVRSLSFVPGNDAEMSKHPGLVLILGKLILLHHEHPE RKRAPQTYEKEEDEDKGVACSKDEWWWDCLEVLRDNTLVTLANISGQLDLSAYTESICLP ILDGLLHWMVCPSAEAQDPFPTVGPNSVLSPQRLVLETLCKLSIQDNNVDLILATPPFSR QEKFYATLVRYVGDRKNPVCREMSMALLSNLAQGDTLAARAIAVQKGSIGNLISFLEDGV TMAQYQQSQHNLMHMQPPPLEPPSVDMMCRAAKALLAMARVDENRSEFLLHEGRLLDISI SAVLNSLVASVICDVLFQIGQL >ENSMUSP00000090398.4 pep:known chromosome:GRCm38:17:4994939:5343505:1 gene:ENSMUSG00000069729.13 transcript:ENSMUST00000092723.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid1b description:AT rich interactive domain 1B (SWI-like) [Source:MGI Symbol;Acc:MGI:1926129] MAHSASAAAAASSNSAQSGRSEAALKEGGSAAALSSSAAVAASSSSAGPGSTMETGLLPN HKLKAVGEAPAAPPHQQHHHHHAHHHHHHHAHHLHHLHHHHALQQQLNQFQQPQPPQPQQ QQPPPPPQQQHPTANNSLGGAGGGAPQPGPDMEQPQHGGAKDSVAGNQADPQGQPLLSKP GDEDDAPPKMGEPAGSRYEHPGLGAQQQPAPVAVPGGGGGPAAVSEFNNYYGSAAPASGG PGGRAGPCFDQHGGQQSPGMGMMHSASAAAGAPSSMDPLQNSHEGYPNSQYNHYPGYSRP GAGGGGGGGGGGGGSGGGGGGGGAGGAGGAAAAAAGAGAVAAAAAAAAAAAAAAGGGGGG GYGSSSSGYGVLSSPRQQGGGMMMGPGGGGAASLSKAAAGAAAAAGGFQRFAGQNQHPSG ATPTLNQLLTSPSPMMRSYGGSYPDYSSSSAPPPPSQPQSQAAAGAAAGGQQAAAGMGLG KDLGAQYAAASPAWAAAQQRSHPAMSPGTPGPTMGRSQGSPMDPMVMKRPQLYGMGTHPH SQPQQSSPYPGGSYGPPGAQRYPLGMQGRAPGALGGLQYPQQQMPPQYGQQAVSGYCQQG QQPYYNQQPQPSHLPPQAQYLQPAAAQSQQRYQPQQDMSQEGYGTRSQPPLAPGKSNHED LNLIQQERPSSLPDLSGSIDDLPTGTEATLSSAVSASGSTSSQGDQSNPAQSPFSPHASP HLSSIPGGPSPSPVGSPVGSNQSRSGPISPASIPGSQMPPQPPGSQSESSSHPALSQSPM PQERGFMTGTQRNPQMSQYGPQQTGPSMSPHPSPGGQMHPGISNFQQSNSSGTYGPQMSQ YGPQGNYSRTPTYSGVPSASYSGPGPGMGINANNQMHGQGPAQPCGAMPLGRMPSAGMQN RPFPGTMSSVTPSSPGMSQQGGPGMGPPMPTVNRKAQEAAAAVMQAAANSAQSRQGSFPG MNQSGLVASSSPYSQSMNNNSSLMSTQAQPYSMTPTMVNSSTASMGLADMMSPSESKLSV PLKADGKEEGVSQPESKSKKSSSSSTTGEKITKVYELGNEPERKLWVDRYLTFMEERGSP VSSLPAVGKKPLDLFRLYVCVKEIGGLAQVNKNKKWRELATNLNVGTSSSAASSLKKQYI QYLFAFECKTERGEEPPPEVFSTGDSKKQPKLQPPSPANSGSLQGPQTPQSTGSNSMAEV PGDLKPPTPASTPHGQMTPMQSGRSSTVSVHDPFSDVSDSAYPKRNSMTPNAPYQQGMGM PDMMGRMPYEPNKDPFSGMRKVPGSSEPFMTQGQVPNSGMQDMYNQSPSGAMSNLGMGQR QQFPYGTSYDRRHEAYGQQYPGQGPPTGQPPYGGHQPGLYPQQPNYKRHMDGMYGPPAKR HEGDMYNMQYGSQQQEMYNQYGGSYSGPDRRPIQGQYPYPYNRERMQGPGQMQPHGIPPQ MMGGPMQSSSSEGPQQNMWATRNDMPYPYQSRQGPGGPAQAPPYPGMNRTDDMMVPEQRI NHESQWPSHVSQRQPYMSSSASMQPITRPPQSSYQTPPSLPNHISRAPSPASFQRSLESR MSPSKSPFLPTMKMQKVMPTVPTSQVTGPPPQPPPIRREITFPPGSVEASQPILKQRRKI TSKDIVTPEAWRVMMSLKSGLLAESTWALDTINILLYDDSTVATFNLSQLSGFLELLVEY FRKCLIDIFGILMEYEVGDPSQKALDHRSGKKDDSQSLEDDSGKEDDDAECLVEEEEEEE EEEEDSEKIESEGKSSPALAAPDASVDPKETPKQASKFDKLPIKIVKKNKLFVVDRSDKL GRVQEFSSGLLHWQLGGGDTTEHIQTHFESKMEIPPRRRPPPPLSSTGKKKELEGKGDSE EQPEKSIIATIDDVLSARPGALPEDTNPGPQTDSGKFPFGIQQAKSHRNIRLLEDEPRSR DETPLCTIAHWQDSLAKRCICVSNIVRSLSFVPGNDAEMSKHPGLVLILGKLILLHHEHP ERKRAPQTYEKEEDEDKGVACSKDEWWWDCLEVLRDNTLVTLANISGQLDLSAYTESICL PILDGLLHWMVCPSAEAQDPFPTVGPNSVLSPQRLVLETLCKLSIQDNNVDLILATPPFS RQEKFYATLVRYVGDRKNPVCREMSMALLSNLAQGDTLAARAIAVQKGSIGNLISFLEDG VTMAQYQQSQHNLMHMQPPPLEPPSVDMMCRAAKALLAMARVDENRSEFLLHEGRLLDIS ISAVLNSLVASVICDVLFQIGQL >ENSMUSP00000111463.2 pep:known chromosome:GRCm38:17:4995074:5347656:1 gene:ENSMUSG00000069729.13 transcript:ENSMUST00000115797.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid1b description:AT rich interactive domain 1B (SWI-like) [Source:MGI Symbol;Acc:MGI:1926129] METGLLPNHKLKAVGEAPAAPPHQQHHHHHAHHHHHHHAHHLHHLHHHHALQQQLNQFQQ PQPPQPQQQQPPPPPQQQHPTANNSLGGAGGGAPQPGPDMEQPQHGGAKDSVAGNQADPQ GQPLLSKPGDEDDAPPKMGEPAGSRYEHPGLGAQQQPAPVAVPGGGGGPAAVSEFNNYYG SAAPASGGPGGRAGPCFDQHGGQQSPGMGMMHSASAAAGAPSSMDPLQNSHEGYPNSQYN HYPGYSRPGAGGGGGGGGGGGGSGGGGGGGGAGGAGGAAAAAAGAGAVAAAAAAAAAAAA AAGGGGGGGYGSSSSGYGVLSSPRQQGGGMMMGPGGGGAASLSKAAAGAAAAAGGFQRFA GQNQHPSGATPTLNQLLTSPSPMMRSYGGSYPDYSSSSAPPPPSQPQSQAAAGAAAGGQQ AAAGMGLGKDLGAQYAAASPAWAAAQQRSHPAMSPGTPGPTMGRSQGSPMDPMVMKRPQL YGMGTHPHSQPQQSSPYPGGSYGPPGAQRYPLGMQGRAPGALGGLQYPQQQMPPQYGQQA VSGYCQQGQQPYYNQQPQPSHLPPQAQYLQPAAAQSQQRYQPQQDMSQEGYGTRSQPPLA PGKSNHEDLNLIQQERPSSLPDLSGSIDDLPTGTEATLSSAVSASGSTSSQGDQSNPAQS PFSPHASPHLSSIPGGPSPSPVGSPVGSNQSRSGPISPASIPGSQMPPQPPGSQSESSSH PALSQSPMPQERGFMTGTQRNPQMSQYGPQQTGPSMSPHPSPGGQMHPGISNFQQSNSSG TYGPQMSQYGPQGNYSRTPTYSGVPSASYSGPGPGMGINANNQMHGQGPAQPCGAMPLGR MPSAGMQNRPFPGTMSSVTPSSPGMSQQGGPGMGPPMPTVNRKAQEAAAAVMQAAANSAQ SRQGSFPGMNQSGLVASSSPYSQSMNNNSSLMSTQAQPYSMTPTMVNSSTASMGLADMMS PSESKLSVPLKADGKEEGVSQPESKSKDSYGSQGISQPPTPGNLPVPSPMSPSSASISSF HGDESDSISSPGWPKTPSSPKSSSSSTTGEKITKVYELGNEPERKLWVDRYLTFMEERGS PVSSLPAVGKKPLDLFRLYVCVKEIGGLAQVNKNKKWRELATNLNVGTSSSAASSLKKQY IQYLFAFECKTERGEEPPPEVFSTGDSKKQPKLQPPSPANSGSLQGPQTPQSTGSNSMAE VPGDLKPPTPASTPHGQMTPMQSGRSSTVSVHDPFSDVSDSAYPKRNSMTPNAPYQQGMG MPDMMGRMPYEPNKDPFSGMRKVPGSSEPFMTQGQVPNSGMQDMYNQSPSGAMSNLGMGQ RQQFPYGTSYDRRHEAYGQQYPGQGPPTGQPPYGGHQPGLYPQQPNYKRHMDGMYGPPAK RHEGDMYNMQYGSQQQEMYNQYGGSYSGPDRRPIQGQYPYPYNRERMQGPGQMQPHGIPP QMMGGPMQSSSSEGPQQNMWATRNDMPYPYQSRQGPGGPAQAPPYPGMNRTDDMMVPEQR INHESQWPSHVSQRQPYMSSSASMQPITRPPQSSYQTPPSLPNHISRAPSPASFQRSLES RMSPSKSPFLPTMKMQKVMPTVPTSQVTGPPPQPPPIRREITFPPGSVEASQPILKQRRK ITSKDIVTPEAWRVMMSLKSGLLAESTWALDTINILLYDDSTVATFNLSQLSGFLELLVE YFRKCLIDIFGILMEYEVGDPSQKALDHRSGKKDDSQSLEDDSGKEDDDAECLVEEEEEE EEEEEDSEKIESEGKSSPALAAPDASVDPKETPKQASKFDKLPIKIVKKNKLFVVDRSDK LGRVQEFSSGLLHWQLGGGDTTEHIQTHFESKMEIPPRRRPPPPLSSTGKKKELEGKGDS EEQPEKSIIATIDDVLSARPGALPEDTNPGPQTDSGKFPFGIQQAKSHRNIRLLEDEPRS RDETPLCTIAHWQDSLAKRCICVSNIVRSLSFVPGNDAEMSKHPGLVLILGKLILLHHEH PERKRAPQTYEKEEDEDKGVACSKDEWWWDCLEVLRDNTLVTLANISGQLDLSAYTESIC LPILDGLLHWMVCPSAEAQDPFPTVGPNSVLSPQRLVLETLCKLSIQDNNVDLILATPPF SRQEKFYATLVRYVGDRKNPVCREMSMALLSNLAQGDTLAARAIAVQKGSIGNLISFLED GVTMAQYQQSQHNLMHMQPPPLEPPSVDMMCRAAKALLAMARVDENRSEFLLHEGRLLDI SISAVLNSLVASVICDVLFQIGQL >ENSMUSP00000032815.4 pep:known chromosome:GRCm38:7:28758251:28766640:-1 gene:ENSMUSG00000030595.15 transcript:ENSMUST00000032815.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbib description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, beta [Source:MGI Symbol;Acc:MGI:104752] MAGVACLGKTADADEWCDSGLGSLGPDAAAPGGPGLGAELGPELSWAPLVFGYVTEDGDT ALHLAVIHQHEPFLDFLLGFSAGTEYLDLQNDLGQTALHLAAILGEASTVEKLYAAGAGV LVAERGGHTALHLACRVRAHTCACVLLQPRPSHPRDASDTYLTQSQDCTPDTSHAPAAVD SQPNPENEEEPRDEDWRLQLEAENYDGHTPLHVAVIHKDAEMVRLLRDAGADLNKPEPTC GRTPLHLAVEAQAASVLELLLKAGADPTARMYGGRTPLGSALLRPNPILARLLRAHGAPE PEDEDDKLSPCSSSGSDSDSDNRDEGDEYDDIVVHSGRSQNRQPPSPASKPLPDDPNPA >ENSMUSP00000083012.5 pep:known chromosome:GRCm38:7:28759032:28766514:-1 gene:ENSMUSG00000030595.15 transcript:ENSMUST00000085851.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbib description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, beta [Source:MGI Symbol;Acc:MGI:104752] MAGVACLGKTADADEWCDSGLGSLGPDAAAPGGPGLGAELGPELSWAPLVFGYVTEDGDT ALHLAVIHQHEPFLDFLLGFSAGTEYLDLQNDLGQTALHLAAILGEASTVEKLYAAGAGV LVAERGGHTALHLACRVRAHTCACVLLQPRPSHPRDASDTYLTQSQDCTPDTSHAPAAVD SQPNPENEEEPRDEDWRLQLEAENYDGHTPLHVAVIHKDAEMVRLLRDAGADLNKPEPTC GRTPLHLAVEAQAASVLELLLKAGADPTARMYGGRTPLGSALLRPNPILARLLRAHGAPE PEDEDDKLSPCSSSGSDSDSDNRDEGDEYDDIVVHSGRSQNRQPPSPASKPLPDDPNPA >ENSMUSP00000117769.1 pep:known chromosome:GRCm38:7:28761769:28767512:-1 gene:ENSMUSG00000030595.15 transcript:ENSMUST00000137121.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbib description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, beta [Source:MGI Symbol;Acc:MGI:104752] MAGVACLGKTADADEWCDSGLGSLGPDAAAPGGPGLGAELGPELSWAPLVFGYVTEDGDT ALHLAVIHQHEPFLDFLLGFSAGTEYLDLQNDLGQTALHLAAILGEASTVEKLYAAGAGV LVAERGGHTALHLACRVRAHTCACVLLQPRP >ENSMUSP00000046772.8 pep:known chromosome:GRCm38:5:103955440:103977364:-1 gene:ENSMUSG00000034528.15 transcript:ENSMUST00000048118.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b13 description:hydroxysteroid (17-beta) dehydrogenase 13 [Source:MGI Symbol;Acc:MGI:2140804] MNLILEFLLLVGVIIYSYLESLVKFFIPRRRKSVTGQTVLITGAGHGIGRLTAYEFAKQK SRLVLWDINKRGVEETADKCRKLGAVVHVFVVDCSNRAEIYNSVDQVKREVGDVEIVVNN AGAIYPADLLSAKDEEITKTFEVNILGHFWIIKALLPSMLRRNSGHIVTVASVCGHGVIP YLIPYCSSKFAAVGFHRALTAELDTLGKTGIQTSCLCPVFVNTGFTKNPSTRLWPVLEPE EVARSLINGILTNKKMIFVPSYINISLILEKGPGFSSKHPHGGSQQPVTPIPGDLTPSSD FLKH >ENSMUSP00000113599.1 pep:known chromosome:GRCm38:5:103963461:103977326:-1 gene:ENSMUSG00000034528.15 transcript:ENSMUST00000120320.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b13 description:hydroxysteroid (17-beta) dehydrogenase 13 [Source:MGI Symbol;Acc:MGI:2140804] MNLILEFLLLVGVIIYSYLESLVKFFIPRRRKSVTGQTVLITGAGHGIGRLTAYEFAKQK SRLVLWDINKVKREVGDVEIVVNNAGAIYPADLLSAKDEEITKTFEVNILGHFWIIKALL PSMLRRNSGHIVTVASVCGHGVIPYLIPYCSSKFAAVGFHRALTAELDTLGKTGIQTSCL CPVFVNTGFTKNPSTRLWPVLEPEEVARSLINGILTNKKMIFVPSYINISLILEKFLPER ALKAISRIQNIQFEAIVGHKTKMK >ENSMUSP00000108422.2 pep:known chromosome:GRCm38:5:103963461:103977410:-1 gene:ENSMUSG00000034528.15 transcript:ENSMUST00000112803.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b13 description:hydroxysteroid (17-beta) dehydrogenase 13 [Source:MGI Symbol;Acc:MGI:2140804] MNLILEFLLLVGVIIYSYLESLVKFFIPRRRKSVTGQTVLITGAGHGIGRLTAYEFAKQK SRLVLWDINKRGVEETADKCRKLGAVVHVFVVDCSNRAEIYNSVDQVKREVGDVEIVVNN AGAIYPADLLSAKDEEITKTFEVNILGHFWIIKALLPSMLRRNSGHIVTVASVCGHGVIP YLIPYCSSKFAAVGFHRALTAELDTLGKTGIQTSCLCPVFVNTGFTKNPSTRLWPVLEPE EVARSLINGILTNKKMIFVPSYINISLILEKFLPERALKAISRIQNIQFEAIVGHKTKMK >ENSMUSP00000052126.7 pep:known chromosome:GRCm38:7:4925785:4944826:1 gene:ENSMUSG00000035279.8 transcript:ENSMUST00000057612.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssc5d description:scavenger receptor cysteine rich family, 5 domains [Source:MGI Symbol;Acc:MGI:3606211] MRGLACLLAMLVGIQAIERLRLADGPHGCAGRLEVWHSGRWGTVCDDGWDLRDAEVACRV LGCGGALAAPGGAFFGEGTGPVWLSELNCRGNEGQLGICPHRGWKAHICSHEEDAGVVCV GQRAANSREDSMSLLDGDPWLALSGELSPSSEEPPITHAPQPAASSQNGPRKKNPRPPKQ TKSTRAPVLTNGAPHQERLRLVSGPHGCAGRLEVWHGGRWGTVCDDGWDLRDAAVACREL GCGGALAAPGGARFGPGEGPVWMDDVGCGGGEEALRDCPRSPWGRSNCDHTEDAGLVCTG PAPRIRLADGPHGCAGRLEVWHGGRWGSVCDDAWDLRDAAVACKELGCGGALAAPGGAFF GEGTGPIILDDLRCRGNETALRFCPARPWGQHDCHHREDAGAVCDGMPLGAVQPTVPAVD SNSTAHRLLSTSVGQMPGPAGPWPPSASPTAPPEPGPEAGSPQLRLVAGPSRCSGRLEVW HDGRWGTVCDDSWDMRDSAVVCRELGCGRPRQPDPAAGRFGWGAGPIWLDDVGCMGTEAS LSECPAASWGKHNCAHNEDVGVTCTGTPGLDTISDPFSWSWLPGLGRDQDAWLPGELTTK PSASLTSSVPQKPTKVPGKAPKSTKKWVTKNARRPTTQPPGMPTTKHSRAPGTPTSLHPT ARTSELPKRLTTEAPHRQTSHTTVRLTPRVPWEWTSEPVVSQSTQGPQEVTSEATTTENP QTSLEPSGENTEGSLESSQDPATTPTAGVPVPSGPFRVRLADGPNRCAGRLEVWHAGLWG TVCDDSWDIRDATVACWELGCGKVRPRVGKTHYGPGTGPIWLDDMGCKGSEMSLSDCPSG AWGKHNCDHEEDVVLTCTGYTGDDDYPSWTWDPTSGEDLTKGTTVAARPGHTLSWATTTN TEVPSPATQNLPDTDDQGGYESSWTWDTPSGRGLFKGTPTTTKPGSTVTTSTSKSPGHPF PAPRARAGSPRKPTPERRPLPTSATTSSPASSSSPEPSGSRQTSGSWPQLIPDSKQEGTS SSPKPSLLTPGLPSPATFALSTPNTSLLPTRSPELSGSPTPTSPEGLTSASSMLSEVSRL SPTSELTPGPDTTPAPEIIPESSDSSDLPMNTRTPTQPFTASHPTSIPQLNTTSYPTIAP QPTTNPQQPRSPHPATSPQPPTNTHPSSTPATPTESLPSSRKTELSSPTKPRLNSELTFE EAPSTDASQTQNLELFLASESGPSSPSPASNLDPLPTDAFKPPRSQTLHSASDHLTQGPT PNHNPDPFGPCVSPLPPVRVMACEPPALVELVGAVREVGDQLQRLTWVLEQDRQERQVLA LGLAQLVEAAQGLGQLSETVKRLAEVAWPPSTPVPMTTTTEEEERPLRGDV >ENSMUSP00000088366.3 pep:known chromosome:GRCm38:3:93773000:93774142:1 gene:ENSMUSG00000068879.4 transcript:ENSMUST00000090853.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5773 description:predicted pseudogene 5773 [Source:MGI Symbol;Acc:MGI:3645792] MSGDMEAKIWGSTQISVKEFCYEWTISNFSFCMGGIRRKIKSPVFSLVANEEVAWCLRVH PNGFDEESKDYLSVYLVLVNCPKRQVRAKFEFWIKNSQGEKYQYTQSLNVPSFQRKQNWG FSKFILRDSLLSHRNWLLPKDKLTLCCKVSIVGAILNMPGQNMIPAIKDPRHMLTDDLGK LWENPLFTDCSLLVAGHEIRAHKAILAARSPVFRAMFEHQMEERLANCFEIQELDFQVFK EMMDFIYTGKAPTLHSHSMACDVLAAAEKYGLEGLKVICEDSLCRNLSVENAAHTLIVAD LHSTEQLKTRALHFIAVHASEVSKSSGWKSMVESHPHLVDERFHSLASAQSVFLESSFKC LKGF >ENSMUSP00000144615.1 pep:known chromosome:GRCm38:5:30868012:30879180:1 gene:ENSMUSG00000038828.13 transcript:ENSMUST00000201203.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem214 description:transmembrane protein 214 [Source:MGI Symbol;Acc:MGI:1916046] MAARSAGSGGWEVVKRGRRPGASSGGRGGGGGSDRRALGEANGVLKYDLSSPIQTTSTLY ERGFEKIMKRQNKEQVPPPAAESKKPINKKQPKKVTAVPSQNQKQGPFRRLEDALKALDV AALQKELDKSQSVFTGNPSVWLKDLASYLNYKLQTPRMEPTLSQYPHDYPYSLVSRELRG IIRGLLTKAAGSVELFFDHCLFTMLQELDKTPGESLHGYRICIQAVLQDKPKIVTSNLDK FLELLRSHQSRPAKCLTIMWALGQAGFTNLTEGLKVWLGIMLPVLGIKALSPFAIAYLDR LLLMHPNLTKGFGMIGPKDFFPLLDFAYMPNNSLSPSLQEQLCQLFPRLKVLAFGAKPES SLHTYFPSFLSRATPSCPAAMKKELLASLTQCLTVDPLSTSVWRQLYPKHLSQSSLLLEH LLKSWEHIPKKARKSLQETIQSLKVTNQELLKKGSGGSEHVLTCDTACKGLLQRARGPRP PWARLFLLLLVFAVGFLCHDLRSNSSLQASLTGRLLRSSGLLPVGQQVCARLSSYSLQSY NWLQETLPACGSHLLAVVQPSLQLAWTHIYAIFSFLSAHCASYLACFSDSLAGFFQRVQL PEALQQLFHALKELLLLFCHSVLLPTWHLLLAALAQVQEHCHEACRGDVTWDCIKTQLSR AAQWTWLCLQDVTVAFLDWALTMISQQ >ENSMUSP00000110364.3 pep:known chromosome:GRCm38:5:30869631:30877044:1 gene:ENSMUSG00000038828.13 transcript:ENSMUST00000114716.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem214 description:transmembrane protein 214 [Source:MGI Symbol;Acc:MGI:1916046] MAARSAGSGGWEVVKRGRRPGASSGGRGGGGGSDRRALGEANGVLKYDLSSPIQTTSTLY ERGFEKIMKRQNKEQVPPPAAESKKPINKKQPKKVTAVPSQNQKQGPFRRLEDALKALDV AALQKELDKSQSVFTGNPSVWLKDLASYLNYKLQTPRMEPTLSQYPHGESLHGYRICIQA VLQDKPKIVTSNLDKFLELLRSHQSRPAKCLTIMWALGQAGFTNLTEGLKVWLGIMLPVL GIKALSPFAIAYLDRLLLMHPNLTKGFGMIGPKDFFPLLDFAYMPNNSLSPSLQEQLCQL FPRLKVLAFGAKPESSLHTYFPSFLSRATPSCPAAMKKELLASLTQCLTVDPLSTSVWRQ LYPKHLSQSSLLLEHLLKSWEHIPKKARKSLQETIQSLKVTNQELLKKGSGGSEHVLTCD TACKGLLQRARGPRPPWARLFLLLLVFAVGFLCHDLRSNSSLQASLTGRLLRSSGLLPVG QQVCARLSSYSLQSYNWLQETLPACGSHLLAVVQPSLQLAWTHIYAIFSFLSAHCASYLA CFSDSLAGFFQRVQLPEALQQLFHALKELLLLFCHSVLLPTWHLLLAALAQVQEHCHEAC RGDVTWDCIKTQLSRAAQWTWLCLQDVTVAFLDWALTMISQQ >ENSMUSP00000060893.5 pep:known chromosome:GRCm38:3:62529077:62605140:-1 gene:ENSMUSG00000043441.5 transcript:ENSMUST00000058535.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr149 description:G protein-coupled receptor 149 [Source:MGI Symbol;Acc:MGI:2443628] MSFFLSNLTNDSNLWKASHNSTETDLMNSPATLTLSLFCLICIMTLAALVGSIFSLVSLL TMQYRTVLSILVTSWSVDDLLSVLSVAIFMVLQWPKEAQGYFQSLCTTSALLYMCQGLSS NLKATLIVCYNFYTMNRTVESQSSSWRLGQVLGVTLTVWAVSLLLASLPLCGWGVFVRTP WGCLTDCSSPYVLLLFAVYASAFGLLAVLSVPLTHQLLCSEEPLRLHANYQEISRGASTP GTPAAAGRVLCLPPEDVEIPALRCTGGCSPSSDVVFAPGQPAASGAGAGRRENPGTPQGT NSFPLSLAQKRFSLILALTKVILWLPMMIHMVVKHVVGFQSLPVDMLSFLLSLLASSVTP VFVLSKRWAHLPCGCIINCQPDTYSVAFDGKKSKRKGFEFNLSFQQSYGLYKIPHADCYD DDENSISYHNPKNYECEATKEPWGDNRSVFNTITVEISTTPPLDSATLTGVHKCTNTDIP ESKQAMKEEKGAFSVKTESDINYGETTSFEGPERRLSHEENQKPDLSDWEWCRSKSERTP RQRSGGGLAIPICAFQGTVSLQAPTGKTLSLSTYEVSAEGQKITPASKKIEVYRSKSVGH EPNSEESPSTFADTSVKIHLEVLEICDNDEALDTVSIISNISQSSTKVRSPSLRYSRKEN RFVSCDLGETASYSLFLPTSDPDGDINISIPDTVEAHRQNSRRQHEERDGYQEEIQLLNK AYRKREAESKGD >ENSMUSP00000059211.8 pep:known chromosome:GRCm38:13:108158738:108194985:1 gene:ENSMUSG00000021694.18 transcript:ENSMUST00000054835.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc8 description:excision repaiross-complementing rodent repair deficiency, complementation group 8 [Source:MGI Symbol;Acc:MGI:1919241] MLSFLSARQSGLEDPLRLRRAQSTRRVLGLELNKDRDVERIHGSGVNTLDIEPVEGRYML SGGSDGVVVLYDLENASRQPHYTCKAVCSVGRSHPDVHKYSVETVQWYPHDTGMFTSSSF DKTLKVWDTNTLQAADVFNFEETVYSHHMSPAATKHCLVAVGTRGPKVQLCDLKSGSCSH ILQGHRQEILAVSWSPRHDYILATASADSRVKLWDVRRASGCLLTLDQHNGKKSQAAESA NTAHNGKVNGLCFTSDGLHLLTIGTDNRMRLWNSSSGDNTLVNYGKVCNDSRKGLQFAVS CGCSSEFVFVPHGSTIAVYAVHSGERLAMLKGHYKSVDCCVFQPNFQELYSGSRDCNILA WVPPSYEPVPDDDDEAPAKSQLNPAFADAWSSSDEDG >ENSMUSP00000117492.1 pep:known chromosome:GRCm38:13:108158731:108195364:1 gene:ENSMUSG00000021694.18 transcript:ENSMUST00000123657.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc8 description:excision repaiross-complementing rodent repair deficiency, complementation group 8 [Source:MGI Symbol;Acc:MGI:1919241] MLSFLSARQSGLEDPLRLRRAQSTRRVLGLELNKDRDVERIHGSGVNTLDIEPVEGR >ENSMUSP00000112746.1 pep:known chromosome:GRCm38:13:108158738:108174598:1 gene:ENSMUSG00000021694.18 transcript:ENSMUST00000120672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc8 description:excision repaiross-complementing rodent repair deficiency, complementation group 8 [Source:MGI Symbol;Acc:MGI:1919241] MLSFLSARQSGLEDPLRLRRAQSTRRVLGLELNKDRDVERIHGSGVNTLDIEPVEGRYML SGGSDGVVVLYDLENASRQPHYTCKAVCSVGRSHPDVHKYSVETVQWYPHDTGMFTSSSF DKTLKVWDTNTLQAADVFNFEETVYSHHMSPAATKHCLVAVGTRGPKVQLCDLKSGSCSH ILQGIFSFFKYGTTTTFTKQ >ENSMUSP00000116507.1 pep:known chromosome:GRCm38:13:108158738:108177248:1 gene:ENSMUSG00000021694.18 transcript:ENSMUST00000129117.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc8 description:excision repaiross-complementing rodent repair deficiency, complementation group 8 [Source:MGI Symbol;Acc:MGI:1919241] MLSFLSARQSGLEDPLRLRRAQSTRRVLGLELNKDRDVERIHGSGVNTLDIEPVEGR >ENSMUSP00000122802.1 pep:known chromosome:GRCm38:13:108158754:108194985:1 gene:ENSMUSG00000021694.18 transcript:ENSMUST00000152634.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc8 description:excision repaiross-complementing rodent repair deficiency, complementation group 8 [Source:MGI Symbol;Acc:MGI:1919241] MLSFLSARQSGLEDPLRLRRAQSTRRVLGLELNKDRDVERIHGSGVNTLDIEPVEGR >ENSMUSP00000118154.1 pep:known chromosome:GRCm38:13:108158771:108194977:1 gene:ENSMUSG00000021694.18 transcript:ENSMUST00000142931.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc8 description:excision repaiross-complementing rodent repair deficiency, complementation group 8 [Source:MGI Symbol;Acc:MGI:1919241] MLSFLSARQSGLEDPLRLRRAQSTRRVLGLELNKDRDVERIHGSGVNTLDIEPVEGRYML SGGSDGVVVLYDLENASRQPHYTCKAVCSVGRSHPDVHKYSVETVQWYPHDTGMFTSSSF DKTLKVWDTNTLQAADVFNFEETVYSHHMSPAATKHCLVAVGTRGPKVTDRKSWQFPGHH AMTISWQQQVLTVE >ENSMUSP00000121777.1 pep:known chromosome:GRCm38:13:108158775:108173104:1 gene:ENSMUSG00000021694.18 transcript:ENSMUST00000123182.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc8 description:excision repaiross-complementing rodent repair deficiency, complementation group 8 [Source:MGI Symbol;Acc:MGI:1919241] MLSFLSARQSGLEDPLRLRRAQSTRRVLGLELNKDRDVERIHGSGVNTLDIEPVEGR >ENSMUSP00000119212.1 pep:known chromosome:GRCm38:13:108158804:108178664:1 gene:ENSMUSG00000021694.18 transcript:ENSMUST00000123138.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc8 description:excision repaiross-complementing rodent repair deficiency, complementation group 8 [Source:MGI Symbol;Acc:MGI:1919241] XLSARQSGLEDPLRLRRAQSTRRVLGLELNKDRDVERIHGSGVNTLDIEPVEGR >ENSMUSP00000116226.1 pep:known chromosome:GRCm38:13:108158803:108183921:1 gene:ENSMUSG00000021694.18 transcript:ENSMUST00000133957.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc8 description:excision repaiross-complementing rodent repair deficiency, complementation group 8 [Source:MGI Symbol;Acc:MGI:1919241] FLSARQSGLEDPLRLRRAQSTRRVLGLELNKDRDVERIHGSGVNTLDIEPVEGR >ENSMUSP00000138020.1 pep:known chromosome:GRCm38:Y:21241575:21243910:1 gene:ENSMUSG00000093848.2 transcript:ENSMUST00000181163.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20865 description:predicted gene, 20865 [Source:MGI Symbol;Acc:MGI:5434221] MTSLKKKSRRKPSSQALGNIVGCRISHEWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVSTQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136326.1 pep:known chromosome:GRCm38:Y:21242966:21243821:1 gene:ENSMUSG00000093848.2 transcript:ENSMUST00000179095.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20865 description:predicted gene, 20865 [Source:MGI Symbol;Acc:MGI:5434221] MTSLKKKSRRKPSSQALGNIVGCRISHEWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVSTQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000014642.3 pep:known chromosome:GRCm38:10:128377119:128394006:1 gene:ENSMUSG00000014498.9 transcript:ENSMUST00000014642.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd52 description:ankyrin repeat domain 52 [Source:MGI Symbol;Acc:MGI:2444029] MGILSITDQPPLVQAIFSRDVEEVRSLLSQKENINVLDQERRTPLHAAAYVGDVPILQLL LMSGANVNAKDTLWLTPLHRAAASRNEKVLGLLLAHSADVNARDKLWQTPLHVAAANRAT KCAEALAPLLSSLNVADRSGRSALHHAVHSGHLETVNLLLNKGASLNVCDKKERQPLHWA AFLGHLEVLKLLVARGADLSCKDRKGYGLLHTAAASGQIEVVKHLLRMGAEIDEPNAFGN TALHIACYLGQDAVAIELVNAGANVNQPNDKGFTPLHVAAVSTNGALCLELLVNNGADVN YQSKEGKSPLHMAAIHGRFTRSQILIQNGSEIDCADKFGNTPLHVAARYGHELLISTLMT NGADTARRGIHDMFPLHLAVLFGFSDCCRKLLSSGQLYSIVSSLSNEHVLSAGFDINTPD SLGRTCLHAAASGGNVECLNLLLSSGADLRRRDKFGRTPLHYAAANGSYQCAVTLVTAGA GVNEADCKGCSPLHYAAASDTYRRAEPHTASSHDAEEDELLKESRRKEAFFCLEFLLDNG ADPSLRDRQGYTAVHYAAAYGNRQNLELLLEMSFNCLEDVESTVPVSPLHLAAYNGHCEA LKTLAETLVNLDVRDHKGRTALFLATERGSTECVEVLTAHGASALIKERKRKWTPLHAAA ASGHTDSLHLLIDSGERADITDVMDAYGQTPLMLAIMNGHVDCVHLLLEKGSTADAADLR GRTALHRGAVTGCEDCLAALLDHDAFVLCRDFKGRTPIHLASACGHTAVLRTLLQAALST DPLDAGVDYSGYSPMHWASYTGHEDCLELLLEHSPFSYLEGNPFTPLHCAVINNQDSTTE MLLGALGAKIVNSRDAKGRTPLHAAAFADNVSGLRMLLQHQAEVNATDHTGRTALMTAAE SGQTAAVEFLLYRGKADLTVLDENKNTALHLACSKGHEKCALMILAETQDLGLINATNSA LQMPLHIAARNGLASVVQALLSRGATVLAVDEEGHTPALACAPNKDVADCLALILSTMKP FPPKDAVSPFSFSLLKNCGIAAAKTVGGCGALPHGASCPYSQERHGAIGLDGCYSE >ENSMUSP00000128794.1 pep:known chromosome:GRCm38:10:128389290:128408704:1 gene:ENSMUSG00000014498.9 transcript:ENSMUST00000166577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ankrd52 description:ankyrin repeat domain 52 [Source:MGI Symbol;Acc:MGI:2444029] XLRMLLQHQAEVNATDHTGRTALMTAAESGQTAAVEFLLYRGKADLTVLDENKNTALHLA CSKGHEKCALMILAETQDLGLINATNSALQMPLHIAARNGLASVVQALLSRGATVLAVDE EGHTPALACAPNKDVADCLALILSTMKPFPPKDAVSPFSFSLLKNCGIAAAKTIAPTASV QCQTTFEH >ENSMUSP00000103345.1 pep:known chromosome:GRCm38:11:95712673:95772353:1 gene:ENSMUSG00000075595.9 transcript:ENSMUST00000107717.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp652 description:zinc finger protein 652 [Source:MGI Symbol;Acc:MGI:2442221] MSYTASPCPELVEPCAVHAEGMAQEESHRSQAPPTFYHGASQELDLSTKVYKRESGSPYS VLADTKMSKPHLHETEEQPYFREPRAVSDVHTVKEDRENSDDTEEEEEVSYKREQIIVEV NLNNQTLNVSKGEKGVSSQSKETPVLKTSSEEDEEETEEEATDNSSDYGENGRQKKKEKQ VERVRVTQRRTRRAASAAAATTSPAPRTTRGRRKSAELPKRKKRATKEAKAPVQKAKCEE KETLTCEKCPRVFNTRWYLEKHMNVTHRRMQICDKCGKKFVLESELSLHQQTDCEKNIQC VSCNKSFKKLWSLHEHIKIVHGYAEKKFACEICEKKFYTMAHVRKHMVAHTKDMPFTCET CGKSFKRSMSLKVHSLQHSGEKPFRCENCDERFQYKYQLRSHMSIHIGHKQFMCQWCGKD FNMKQYFDEHMKTHTGEKPFICEICGKSFTSRPNMKRHRRTHTGEKPYPCDVCGQRFRFS NMLKAHKEKCFRVTSPVNVPPAVQIPLASAPAAPAPAVANTPTSPAPAVSMSPVGAVLPS RPVPHPFSHLHIHTHPHHAHHLPIPPVPHLPPPPALFKSEPLNHRSQSEDTFLRHLAEKN SAAPAQHH >ENSMUSP00000122280.1 pep:known chromosome:GRCm38:11:95713011:95835115:1 gene:ENSMUSG00000075595.9 transcript:ENSMUST00000133070.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp652 description:zinc finger protein 652 [Source:MGI Symbol;Acc:MGI:2442221] MSYTASPCPELVEPCAVHAEGMAQEESHRSQAPPTFYHGASQELDLSTKVYKRESGSPYS VLADTKMSKPHLHETEEQPYFREPRAVSDVHTVKEDRENSDDTEEEEEVSYKREQIIVEV NLNNQTLNVSKGEKGVSSQSKETPVLKTSSEEDEEETEEEATDNSSDYGENGRQKKKEKQ VERVRVTQRRTRRAASAAAATTSPAPRTTRGRRKSAELPKRKKRATKEAKAPVQKAKCEE KETLTCEKCPRVFNTRWYLEKHMNVTHRRMQICDKCGKKFVLESELSLHQQTDCEKNIQC VSCNKSFKKLWSLHEHIKIVHGYAEKKFACEICEKKFYTMAHVRKHMVAHTKDMPFTCET CGKSFKRSMSLKVHSLQHSGEKPFRCENCDERFQYKYQLRSHMSIHIGHKQFMCQWCGKD FNMKQYFDEHMKTHTGALDDSWRPTVSKGGG >ENSMUSP00000115393.1 pep:known chromosome:GRCm38:11:95749000:95772353:1 gene:ENSMUSG00000075595.9 transcript:ENSMUST00000148945.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp652 description:zinc finger protein 652 [Source:MGI Symbol;Acc:MGI:2442221] MSYTASPCPELVEPCAVHAEGMAQEESHRSQAPPTFYHGASQELDLSTKVYKRESGSPYS VLADTKMSKPHLHETEEQPYFREPRAVSDVHTVKEDRENSDDTEEEEEVSYKREQIIVEC VSCNKSFKKLWSLHEHIKIVHGYAEKKFACEICEKKFYTMAHVRKHMVAHTKDMPFTCET CGKSFKRSMSLKVHSLQHSGEKPFRCENCDERFQYKYQLRSHMSIHIGHKQFMCQWCGKD FNMKQYFDEHMKTHTGEKPFICEICGKSFTSRPNMKRHRRTHTGEKPYPCDVCGQRFRFS NMLKAHKEKCFRVTSPVNVPPAVQIPLASAPAAPAPAVANTPTSPAPAVSMSPVGAVLPS RPVPHPFSHLHIHTHPHHAHHLPIPPVPHLPPPPALFKSEPLNHRSQSEDTFLRHLAEKN SAAPAQHH >ENSMUSP00000089153.4 pep:known chromosome:GRCm38:11:95749067:95764711:1 gene:ENSMUSG00000075595.9 transcript:ENSMUST00000091565.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp652 description:zinc finger protein 652 [Source:MGI Symbol;Acc:MGI:2442221] MSYTASPCPELVEPCAVHAEGMAQEESHRSQAPPTFYHGASQELDLSTKVYKRESGSPYS VLADTKMSKPHLHETEEQPYFREPRAVSDVHTVKEDRENSDDTEEEEEVSYKREQIIVEV NLNNQTLNVSKGEKGVSSQSKETPVLKTSSEEDEEETEEEATDNSSDYGENGRQKKKEKQ VERVRVTQRRTRRAASAAAATTSPAPRTTRGRRKSAELPKRKKRATKEAKAPVQKAKCEE KETLTCEKCPRVFNTRWYLEKHMNVTHRRMQICDKCGKKFVLESELSLHQQTDCEKNIQC VSCNKSFKKLWSLHEHIKIVHGYAEKKFACEICEKKFYTMAHVRKHMVAHTKDMPFTCET CGKSFKRSMSLKVHSLQHSGEKPFRCENCDERFQYKYQLRSHMSIHIGHKQFMCQWCGKD FNMKQYFDEHMKTHTGEKPFICEICGKSFTSRPNMKRHRRTHTGEKPYPCDVCGQRFRFS NMLKAHKEKCFRVTSPVNVPPAVQIPLASAPAAPAPAVANTPTSPAPAVSMSPVGAVLPS RPVPHPFSHLHIHTHPHHAHHLPIPPVPHLPPPPALFKSEPLNHRSQSEDTFLRHLAEKN SAAPAQHH >ENSMUSP00000064823.7 pep:known chromosome:GRCm38:8:72319033:72321656:1 gene:ENSMUSG00000055148.7 transcript:ENSMUST00000067912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf2 description:Kruppel-like factor 2 (lung) [Source:MGI Symbol;Acc:MGI:1342772] MALSEPILPSFATFASPCERGLQERWPRNEPEAGGTDEDLNNVLDFILSMGLDGLGAENP PEPPPQPPPPAFYYPEPGAPPPYSIPAASLGTELLRPDLDPPQGPALHGRFLLAPPGRLV KAEPPEVDGGGYGCAPGLAHGPRGLKLEGAPGATGACMRGPAGRPPPPPDTPPLSPDGPL RIPASGPRNPFPPPFGPGPSFGGPGPALHYGPPAPGAFGLFEDAAAAAAALGLAPPATRG LLTPPSSPLELLEAKPKRGRRSWPRKRAATHTCSYTNCGKTYTKSSHLKAHLRTHTGEKP YHCNWEGCGWKFARSDELTRHYRKHTGHRPFQCHLCDRAFSRSDHLALHMKRHM >ENSMUSP00000021133.9 pep:known chromosome:GRCm38:11:116245166:116274217:-1 gene:ENSMUSG00000020780.15 transcript:ENSMUST00000021133.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp68 description:signal recognition particle 68 [Source:MGI Symbol;Acc:MGI:1917447] MAAEKQIPGGGSGGGGSGSGGGGGGSGGGRSAGGDENKENERPSAGSKANKEFGDSLSLE ILQIIKESQQQHGLRHGDFQRYRGYCSRRQRRLRKTLNFKMGNRHKFTGKKVTEELLTDN RYLLLVLMDAERAWSYAMQLKQEANTEPRKRFHLLSRLRKAVKHAEELERLCESNRVDAK TKLEAQAYTAYLSGMLRFEHQEWKSAIEAFNKCKTIYEKLASAFTEEQAVLYNQRVEEIS PNIRYCAYNIGDQSAINELMQMRLRSGGTEGLLAEKLEALITQTRAKQAATMSEVEWRGR TVPVKIDKVRIFLLGLADNEAAIVQAESEETKERLFESMLSECRDALQAVREELKPDQKQ RDYALDGESGKVSNLQYLHSYLTYIKLSTAIRRNENMAKGLHRALLQQQPEDDSKRSPRP QDLIRLYDIILQNLVELLQLPGLEEDRTFQKEISLKTLVFKAYRCFFIAQSYVLVKKWSE ALVLYDRVLKYANEVSSHGGASKNSLKDLPDVQELITQVRSEKCSLQAAAILDANDSHQT DTSSQVKDNTPLVERFESFCLDPSLVTKQANLVHFPPGFQPIPCKPLFFDLALNHVAFPP LEDKLEQKTKSGLTGYIKGIFGFRS >ENSMUSP00000102033.3 pep:known chromosome:GRCm38:11:116245166:116274135:-1 gene:ENSMUSG00000020780.15 transcript:ENSMUST00000106425.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srp68 description:signal recognition particle 68 [Source:MGI Symbol;Acc:MGI:1917447] MAAEKQIPGGGSGGGGSGSGGGGGGSGGGRSAGGDENKENERPSAGSKANKEFGDSLSLE ILQIIKESQQQHGLRHGDFQRYRYLLLVLMDAERAWSYAMQLKQEANTEPRKRFHLLSRL RKAVKHAEELERLCESNRVDAKTKLEAQAYTAYLSGMLRFEHQEWKSAIEAFNKCKTIYE KLASAFTEEQAVLYNQRVEEISPNIRYCAYNIGDQSAINELMQMRLRSGGTEGLLAEKLE ALITQTRAKQAATMSEVEWRGRTVPVKIDKVRIFLLGLADNEAAIVQAESEETKERLFES MLSECRDALQAVREELKPDQKQRDYALDGESGKVSNLQYLHSYLTYIKLSTAIRRNENMA KGLHRALLQQQPEDDSKRSPRPQDLIRLYDIILQNLVELLQLPGLEEDRTFQKEISLKTL VFKAYRCFFIAQSYVLVKKWSEALVLYDRVLKYANEVSSHGGASKNSLKDLPDVQELITQ VRSEKCSLQAAAILDANDSHQTDTSSQVKDNTPLVERFESFCLDPSLVTKQANLVHFPPG FQPIPCKPLFFDLALNHVAFPPLEDKLEQKTKSGLTGYIKGIFGFRS >ENSMUSP00000027291.4 pep:known chromosome:GRCm38:1:36761798:36782818:1 gene:ENSMUSG00000026117.10 transcript:ENSMUST00000027291.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zap70 description:zeta-chain (TCR) associated protein kinase [Source:MGI Symbol;Acc:MGI:99613] MPDPAAHLPFFYGSISRAEAEEHLKLAGMADGLFLLRQCLRSLGGYVLSLVHDVRFHHFP IERQLNGTYAIAGGKAHCGPAELCQFYSQDPDGLPCNLRKPCNRPPGLEPQPGVFDCLRD AMVRDYVRQTWKLEGDALEQAIISQAPQVEKLIATTAHERMPWYHSSLTREEAERKLYSG QQTDGKFLLRPRKEQGTYALSLVYGKTVYHYLISQDKAGKYCIPEGTKFDTLWQLVEYLK LKADGLIYRLKEVCPNSSASAAVAAPTLPAHPSTFTQPQRRVDTLNSDGYTPEPARLASS TDKPRPMPMDTSVYESPYSDPEELKDKKLFLKRENLLVADIELGCGNFGSVRQGVYRMRK KQIDVAIKVLKQGTEKADKDEMMREAQIMHQLDNPYIVRLIGVCQAEALMLVMEMAGGGP LHKFLLGKKEEIPVSNVAELLHQVAMGMKYLEEKNFVHRDLAARNVLLVNRHYAKISDFG LSKALGADDSYYTARSAGKWPLKWYAPECINFRKFSSRSDVWSYGVTMWEAFSYGQKPYK KMKGPEVLDFIKQGKRMECPPECPPEMYALMSDCWIYKWEDRPDFLTVEQRMRNYYYSLA SRAEGPPQCEQVAEAACG >ENSMUSP00000139990.1 pep:known chromosome:GRCm38:1:36766934:36771067:1 gene:ENSMUSG00000026117.10 transcript:ENSMUST00000185871.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zap70 description:zeta-chain (TCR) associated protein kinase [Source:MGI Symbol;Acc:MGI:99613] MPDPAAHLPFFYGSISRAEAEEHLKLAGMADGLFLLRQCLRSLGGYVLSLVHDVRFHHFP IERQLNGTYAIAGGKAHCGPAELCQ >ENSMUSP00000018965.3 pep:known chromosome:GRCm38:19:42123273:42129059:-1 gene:ENSMUSG00000018821.3 transcript:ENSMUST00000018965.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avpi1 description:arginine vasopressin-induced 1 [Source:MGI Symbol;Acc:MGI:1916784] MGTPASVVSEPPLWQVSTPQTRGRKQASANIFQDAELVQIQGLFQRSGDQLAEERAQIIW ECAGDHRVAEALRRLRRKRPPKQNHCSRLRVPEPGSTASDPQASTTDTASSEQSGNSRRT SARAPRNWNKPGPTGYLHQIRH >ENSMUSP00000124617.1 pep:known chromosome:GRCm38:19:42124779:42128989:-1 gene:ENSMUSG00000018821.3 transcript:ENSMUST00000161873.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Avpi1 description:arginine vasopressin-induced 1 [Source:MGI Symbol;Acc:MGI:1916784] MGTPASVVSEPPLWQVSTPQTRGRKQASANIFQDAELVQIQGLFQRSGDQLAEERAQIIW ECAGDHRVAEALRRLRRKR >ENSMUSP00000137487.1 pep:known chromosome:GRCm38:7:28756174:28758968:1 gene:ENSMUSG00000096257.2 transcript:ENSMUST00000178767.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccer2 description:coiled-coil glutamate-rich protein 2 [Source:MGI Symbol;Acc:MGI:3645242] MLLRVTTSAALLLLPLPPLLALLLGAASSAPLMPRPSKEELTRCLAEVVMEVLTLGQAQR GPCTALLHKEIFETEPHSCVSPEEKKLLGEDFNQQESGKMRSSQEIRDEEEEDEAERTHK SGVQEQAVHTKLHSQLHQEEEEEEKEEEEKSMPGKTSEHVWKQHPEGGLQKRVAEKASDE ETAQFQEEGKGMQLLGGGRNLWQGAGRVGGERREESSKHSHHLEQPGTKAKQEEEAEEEE ALEQEEHDVERLERMQEQLKKATAMLGEALGREG >ENSMUSP00000035535.3 pep:known chromosome:GRCm38:7:126861972:126865377:1 gene:ENSMUSG00000042606.9 transcript:ENSMUST00000037248.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hirip3 description:HIRA interacting protein 3 [Source:MGI Symbol;Acc:MGI:2142364] MAPGDEMRKFTRSFFRACPDLSSVTHAIVRQKFLIHVGRDHLEPEEKQALKRLVEEELPK MQADAGTREGKPDFIKVKRSPAPCSDPKKKRFRFNSESESSSSPSSPDGSGPSTKNRTTK KTCLRRALKKAVESTDEDHQTDLDAKMGLEESSEGEAEGSVRSGKVTEEEEDMKQEQKGQ VRKQAGAKDKQVPLKADRKQVREESGSSEEEAVLQRAKVEGSTGANCQEESEESGEESPA KKKELSEPRSRSNRAERTARERKSYKQKSRPGRPTGGLRDSEAEKEGGTVGSGDSSEEGE AEKEGGTVGSGDSSEKGEAEKEEGTVGSGDSSEEGEEHSVKRKSKVRTQTESGRRQNTSS RDDSNSTQEQAAAQGTTKSGSLGSSNGDSDTEREVSDSQAGQNTKEERKSRSSNKSSKNG QARSCSSSSDSSPEPTGQKGKARSSSSSSDSGPEPTGRKAASRCGEDHPAVARLKRYIRA CGAHRNYKKLLGSCSSHKARLSVLRAELEALGMKGNPSLEKCRALKLQREEAAEVAALDV ANIISSTGRPRRRNAWNPSGEGTSPGETYRRTLDSEEEQPRQAPPDWSHMRDIISSDGDS S >ENSMUSP00000115145.1 pep:known chromosome:GRCm38:7:126862481:126863431:1 gene:ENSMUSG00000042606.9 transcript:ENSMUST00000132808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hirip3 description:HIRA interacting protein 3 [Source:MGI Symbol;Acc:MGI:2142364] MQADAGTREGKPDFIKVKRSPAPCSDPKKKRFRFNSESESSSSPSSPDGSGPSTKNRTTK KTCLRRALKKAVESTDEDHQTDLDAKMGLEESSEGEAEGSVRSGKVTEEEEDMKQEQKGQ VRKQAG >ENSMUSP00000146975.1 pep:known chromosome:GRCm38:7:144847367:144862890:1 gene:ENSMUSG00000050917.5 transcript:ENSMUST00000208265.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf4 description:fibroblast growth factor 4 [Source:MGI Symbol;Acc:MGI:95518] MSSRGKLFGVPFFTDECKFKEILLPNNYNAYESYAYPGMFMALSKNGRTKKG >ENSMUSP00000056752.4 pep:known chromosome:GRCm38:7:144861386:144865243:1 gene:ENSMUSG00000050917.5 transcript:ENSMUST00000060336.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf4 description:fibroblast growth factor 4 [Source:MGI Symbol;Acc:MGI:95518] MAKRGPTTGTLLPRVLLALVVALADRGTAAPNGTRHAELGHGWDGLVARSLARLPVAAQP PQAAVRSGAGDYLLGLKRLRRLYCNVGIGFHLQVLPDGRIGGVHADTRDSLLELSPVQRG VVSIFGVASRFFVAMSSRGKLFGVPFFTDECKFKEILLPNNYNAYESYAYPGMFMALSKN GRTKKGNRVSPTMKVTHFLPRL >ENSMUSP00000147217.1 pep:known chromosome:GRCm38:7:28766735:28788660:1 gene:ENSMUSG00000015149.14 transcript:ENSMUST00000122915.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt2 description:sirtuin 2 [Source:MGI Symbol;Acc:MGI:1927664] MDFLRNLFTQTLGLGSQKERLLDELTLEGVTRYMQSERCRKVICLVGAGISTSAGIPDFR SPSTGLYANLEKYHLPYPEAIFEISYFKKHPEPFFALAKELYPGQFKPTICHYFIRLLKE KGLLLRCYTQNIDTLERVAGLEPQDLVEAHGTFYTSHCVNTSCRKEYTMGWMKEKIFSEA TPRCEQCQSVVKPDIVFFGENLPSRFFSCMQSDFSKVDLLIIMGTSLQVQPFASLISKAP LATPRLLINKEKTGQTDPFLGMMMGLGGGMDFDSKKAYRDVAWLGDCDQGCLALADLLGW KKELEDLVRREHANIDAQSGSQAPNPSTTISPGKSPPPAKEAARTKEKEEQQ >ENSMUSP00000072732.4 pep:known chromosome:GRCm38:7:28766767:28788660:1 gene:ENSMUSG00000015149.14 transcript:ENSMUST00000072965.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt2 description:sirtuin 2 [Source:MGI Symbol;Acc:MGI:1927664] MAEPDPSDPLETQAGKVQEAQDSDSDTEGGATGGEAEMDFLRNLFTQTLGLGSQKERLLD ELTLEGVTRYMQSERCRKVICLVGAGISTSAGIPDFRSPSTGLYANLEKYHLPYPEAIFE ISYFKKHPEPFFALAKELYPGQFKPTICHYFIRLLKEKGLLLRCYTQNIDTLERVAGLEP QDLVEAHGTFYTSHCVNTSCRKEYTMGWMKEKIFSEATPRCEQCQSVVKPDIVFFGENLP SRFFSCMQSDFSKVDLLIIMGTSLQVQPFASLISKAPLATPRLLINKEKTGQTDPFLGMM MGLGGGMDFDSKKAYRDVAWLGDCDQGCLALADLLGWKKELEDLVRREHANIDAQSGSQA PNPSTTISPGKSPPPAKEAARTKEKEEQQ >ENSMUSP00000132783.1 pep:known chromosome:GRCm38:7:28766816:28788661:1 gene:ENSMUSG00000015149.14 transcript:ENSMUST00000170068.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt2 description:sirtuin 2 [Source:MGI Symbol;Acc:MGI:1927664] MAEPDRRKVICLVGAGISTSAGIPDFRSPSTGLYANLEKYHLPYPEAIFEISYFKKHPEP FFALAKELYPGQFKPTICHYFIRLLKEKGLLLRCYTQNIDTLERVAGLEPQDLVEAHGTF YTSHCVNTSCRKEYTMGWMKEKIFSEATPRCEQCQSVVKPDIVFFGENLPSRFFSCMQSD FSKVDLLIIMGTSLQVQPFASLISKAPLATPRLLINKEKTGQTDPFLGMMMGLGGGMDFD SKKAYRDVAWLGDCDQGCLALADLLGWKKELEDLVRREHANIDAQSGSQAPNPSTTISPG KSPPPAKEAARTKEKEEQQ >ENSMUSP00000146462.1 pep:known chromosome:GRCm38:7:28767254:28788660:1 gene:ENSMUSG00000015149.14 transcript:ENSMUST00000155327.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirt2 description:sirtuin 2 [Source:MGI Symbol;Acc:MGI:1927664] MDFLRNLFTQTLGLGSQKERLLDELTLEGVTRYMQSERCRKVICLVGAGISTSAGIPDFR SPSTGLYANLEKYHLPYPEAIFEISYFKKHPEPFFALAKELYPGQFKPTICHYFIRLLKE KGLLLRCYTQNIDTLERVAGLEPQDLVEAHGTFYTSHCVNTSCRKEYTMGWMKEKIFSEA TPRCEQCQSVVKPDIVFFGENLPSRFFSCMQSDFSKVDLLIIMGTSLQVQPFASLISKAP LATPRLLINKEKTGQTDPFLGMMMGLGGGMDFDSKKAYRDVAWLGDCDQGCLALADLLGW KELEDLVRREHANIDAQSGSQAPNPSTTISPGKSPPPAKEAARTKEKEEQQ >ENSMUSP00000090857.2 pep:known chromosome:GRCm38:11:46051354:46052311:-1 gene:ENSMUSG00000069899.3 transcript:ENSMUST00000093169.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12166 description:predicted gene 12166 [Source:MGI Symbol;Acc:MGI:3650635] MEKLRRVLSGQDDEEQGLTAQVLDASSLSFNTRLKWFVICFVAGIFFSFLGTGLLWLPNG MKLFAVFYTLGNLAALASTCFLMGPVKQLKKMFETTRLLATIIMLLCLVFTLCAALWWRK KGLALLFCILQFLSMTWYSLSYIPYARDAVLKCCSSLLG >ENSMUSP00000101355.1 pep:known chromosome:GRCm38:4:146097312:146121977:1 gene:ENSMUSG00000066009.8 transcript:ENSMUST00000105730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13051 description:predicted gene 13051 [Source:MGI Symbol;Acc:MGI:3702694] MVKVKETLTKDPDLDVKFQEEFKLCSSLDPGRRTTTRMSVCLVNTPQGLLTFKDVALDFS LEEWECLSFAQRSLYMDV >ENSMUSP00000089493.5 pep:known chromosome:GRCm38:4:146097345:146126621:1 gene:ENSMUSG00000066009.8 transcript:ENSMUST00000091878.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13051 description:predicted gene 13051 [Source:MGI Symbol;Acc:MGI:3702694] MSVCLVNTPQGLLTFKDVALDFSLEEWECLSFAQRSLYMDVMLENYNNLLFVENHCIRGK NGKVLDQDSQYIVHEHMNIQEKSSKWDKLSNVILESLQCTPYKTNHSSDALQFSNQKRLK PRNTKEVCKYNDSVNSLSLFSTISLNQGINMQKKKHNRNAELDKIFVSKHKVMVKKDNIA GNPYKCSEFHKYLTQRENLQSQQRIYHGKKPYKSSKSDKCFTHQIHLSIHQEIHTEEKIY KCSECDKCFKNKFNLTMHQRIHTGEKPYKCSECDKCFTKQSNLSIHQRIHTGEKPYKCSE CDKCFTQESYLSIHQRIHTGEKPYKCSECGKCFTEKSSLRIHQRIHTGEKPYKCSECDKC FTQQSHLSIHQRIHTGEKPYKCSECDKCFTQQSNLSIHQRIHTGEKPYKCSECGKCFTEK SSLRIHQRIHTGEKPYKCSECGKCFTEKSSLRIHQRIHTGEKPYKCNECGKCFTEKSSLR IHQRIHTGEKPYKCSECGKCFTVKSSLRIHQKIHTGEKPYKCSECDKCFTKPSHLSIHQR IHTGEKPYKCSECEKCFNEKNILKIHQRIHTGERPYKCSECDKCFSRKFHLGIHQRIHTG KKPYKCSECDKCFTQKSHLSIHQKIHTGEKPYK >ENSMUSP00000114161.1 pep:known chromosome:GRCm38:X:73033988:73036103:-1 gene:ENSMUSG00000050424.9 transcript:ENSMUST00000152209.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnma5 description:paraneoplastic antigen family 5 [Source:MGI Symbol;Acc:MGI:2180566] XLTRDEEEWESTVVVEEQEQVRRDSSACEAANEVVTQAEDSREVSTQTTGEEMTSVKRRR LLWRHSAGEEGQRKESGFWAESEPDEQKPYVRAQESGNERGAWAVSHPNPKEIEAQDSQE FLPVAGNRDTLTKSWGSPDKGTGDMSVAEGQQGQGKAPNFLLARNDPNKQEQIPHSSVTT KWQDRGECQRLKWGASMITRPQGNPDRSWDTSGSQDGEDGCSELRMPTGTEAAQGVEEPA TGLSWAEDTSAWEQARLGRETVPRRGGRRIQPVFRIIYTALGEPHEGSTLESFRE >ENSMUSP00000063061.6 pep:known chromosome:GRCm38:X:73034319:73037103:-1 gene:ENSMUSG00000050424.9 transcript:ENSMUST00000051569.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnma5 description:paraneoplastic antigen family 5 [Source:MGI Symbol;Acc:MGI:2180566] MAVALLDDWCKGMDLDPKKAVLIVGIPVQYTEAAINDALKEGLPPLCAYKVIGRMFRRED EAKAVLIELPEVVDYTMMPTHIPAEGGAWEVVVKPRSPDDEFMNKLIYFLRDEGRRIVDV AKALGFSTVPTGKIELKNLDQDKPKGLKSLCNNSTCYKKLKVFSGSPFPGPGEESFETWL EEVTELMQLWQVSEREKKQCLLESLRGSALSIMQALWTSNDSLTVEQCLKALKHIFGNKE DSKVLQFRFLQSSQKPAEKVSDYLLRLEPLLQKAVQQSPLSAHSADSIRLKHVLSQVSMT TGLRGKLSLLDQQGCPPTFLELMKLTRDEEEWESTVVVEEQEQVRRDSSACEAANEVVTQ AEDSREVSTQTTGEEMTSVKRRRLLWRHSAGEEGQRKESGFWAESEPDEQKPYVRAQESG NERGAWAVSHPNPKEIEAQDSQEFLPVAGNRDTLTKSWGSPDKGTGDMSVAEGQQGQGKA PNFLLARNDPNKQEQIPHSSVTTKWQDRGECQRLKWGASMITRPQGNPDRSWDTSGSQDG EDGCSELRMPTGTEAAQGVEEPATGLSWAEDTSAWEQARLGRETVPRRGGRRIQPVFRII YTALGEPHEGSTLESFRE >ENSMUSP00000110187.3 pep:known chromosome:GRCm38:X:73033981:73037103:-1 gene:ENSMUSG00000050424.9 transcript:ENSMUST00000114540.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnma5 description:paraneoplastic antigen family 5 [Source:MGI Symbol;Acc:MGI:2180566] MAVALLDDWCKGMDLDPKKAVLIVGIPVQYTEAAINDALKEGLPPLCAYKVIGRMFRRED EAKAVLIELPEVVDYTMMPTHIPAEGGAWEVVVKPRSPDDEFMNKLIYFLRDEGRRIVDV AKALGFSTVPTGKIELKNLDQDKPKGLKSLCNNSTCYKKLKVFSGSPFPGPGEESFETWL EEVTELMQLWQVSEREKKQCLLESLRGSALSIMQALWTSNDSLTVEQCLKALKHIFGNKE DSKVLQFRFLQSSQKPAEKVSDYLLRLEPLLQKAVQQSPLSAHSADSIRLKHVLSQVSMT TGLRGKLSLLDQQGCPPTFLELMKLTRDEEEWESTVVVEEQEQVRRDSSACEAANEVVTQ AEDSREVSTQTTGEEMTSVKRRRLLWRHSAGEEGQRKESGFWAESEPDEQKPYVRAQESG NERGAWAVSHPNPKEIEAQDSQEFLPVAGNRDTLTKSWGSPDKGTGDMSVAEGQQGQGKA PNFLLARNDPNKQEQIPHSSVTTKWQDRGECQRLKWGASMITRPQGNPDRSWDTSGSQDG EDGCSELRMPTGTEAAQGVEEPATGLSWAEDTSAWEQARLGRETVPRRGGRRIQPVFRII YTALGEPHEGSTLESFRE >ENSMUSP00000127149.1 pep:known chromosome:GRCm38:7:141455198:141460743:1 gene:ENSMUSG00000025509.15 transcript:ENSMUST00000164016.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla2 description:patatin-like phospholipase domain containing 2 [Source:MGI Symbol;Acc:MGI:1914103] MFPRETKWNISFAGCGFLGVYHIGVASCLREHAPFLVANATHIYGASAGALTATALVTGA CLGEAGANIIEVSKEARKRFLGPLHPSFNLVKTIRGCLLKTLPADCHERANGRLGISLTR VSDGENVIISHFSSKDELIQANVCSTFIPVYCGLIPPTLQGVRYVDGGISDNLPLYELKN TITVSPFSGESDICPQDSSTNIHELRVTNTSIQFNLRNLYRLSKALFPPEPMVLREMCKQ GYRDGLRFLRRNGLLNQPNPLLALPPVVPQEEDAEEAAVVEERAGEEDQLQPYRKDRILE HLPARLNEALLEACVEPKDLMTTLSNMLPVRLATAMMVPYTLPLESAVSFTIRLLEWLPD VPEDIRWMKEQTGSICQYLVMRAKRKLGDHLPSRLSEQVELRRAQSLPSVPLSCATYSEA LPNWVRNNLSLGDALAKWEECQRQLLLGLFCTNVAFPPDALRMRAPASPTAADPATPQDP PGLPPC >ENSMUSP00000026583.8 pep:known chromosome:GRCm38:7:141455206:141460092:1 gene:ENSMUSG00000025509.15 transcript:ENSMUST00000026583.14 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnpla2 description:patatin-like phospholipase domain containing 2 [Source:MGI Symbol;Acc:MGI:1914103] MFPRETKWNISFAGCGFLGVYHIGVASCLREHAPFLVANATHIYGASAGALTATALVTGA CLGDLEQLEQ >ENSMUSP00000065116.6 pep:known chromosome:GRCm38:7:141455207:141460094:1 gene:ENSMUSG00000025509.15 transcript:ENSMUST00000064151.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla2 description:patatin-like phospholipase domain containing 2 [Source:MGI Symbol;Acc:MGI:1914103] MFPRETKWNISFAGCGFLGVYHIGVASCLREHAPFLVANATHIYGASAGALTATALVTGA CLGEAGANIIEVSKEARKRFLGPLHPSFNLVKTIRGCLLKTLPADCHERANGRLGISLTR VSDGENVIISHFSSKDELIQANVCSTFIPVYCGLIPPTLQGVRYVDGGISDNLPLYELKN TITVSPFSGESDICPQDSSTNIHELRVTNTSIQFNLRNLYRLSKALFPPEPMVLREMCKQ GYRDGLRFLRRNALLEACVEPKDLMTTLSNMLPVRLATAMMVPYTLPLESAVSFTIRLLE WLPDVPEDIRWMKEQTGSICQYLVMRAKRKLGDHLPSRLSEQVELRRAQSLPSVPLSCAT YSEALPNWVRNNLSLGDALAKWEECQRQLLLGLFCTNVAFPPDALRMRAPASPTAADPAT PQDPPGLPPC >ENSMUSP00000127983.1 pep:known chromosome:GRCm38:7:141455219:141458938:1 gene:ENSMUSG00000025509.15 transcript:ENSMUST00000169665.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla2 description:patatin-like phospholipase domain containing 2 [Source:MGI Symbol;Acc:MGI:1914103] MFPRETKWNISFAGCGFLGVYHIGVASCLREHAPFLVANATHIYGASAGALTATALVTGA CLGEAGANIIEVSKEARKRFLGPLHPSFNLVKTIRGCLLKTLPADCHERANGRLGISLTR VSDGENVIISHFSSKDELIQANVCSTFIPVYCGLIPPTLQGVDSSTNIHELRVTNTSIQF NLRNLYRLSKALFPPEPMVLREMCKQGYRDGLRFLRRNGLLNQPNPLLALPPVVPQEEDA EEAAVVEERAGEEDQLQPYRKDRILEHLPARLNEALLEACVEPKDLMTTLSNMLPVRLAT AMMVP >ENSMUSP00000129632.1 pep:known chromosome:GRCm38:7:141455229:141460103:1 gene:ENSMUSG00000025509.15 transcript:ENSMUST00000164924.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnpla2 description:patatin-like phospholipase domain containing 2 [Source:MGI Symbol;Acc:MGI:1914103] MFPRETKWNISFAGCGFLGVYHIGVASCLREHAPFLVANATHIYGASAGALTATALVTGA CLGDLEQLEQ >ENSMUSP00000132038.1 pep:known chromosome:GRCm38:7:141455607:141458151:1 gene:ENSMUSG00000025509.15 transcript:ENSMUST00000165487.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpla2 description:patatin-like phospholipase domain containing 2 [Source:MGI Symbol;Acc:MGI:1914103] MTESPVLSSGEAGANIIEVSKEARKRFLGPLHPSFNLVKTIRGCLLKTLPADCHERANGR LGISLTRVSDGENVIISHFSSKDELIQANVCSTFIPVYCGLIPPTLQGVRYVDGGISDNL PLYELKNTITVSPFSGESDICPQDSSTNIHELRVTNTS >ENSMUSP00000126098.1 pep:known chromosome:GRCm38:7:141457018:141458686:1 gene:ENSMUSG00000025509.15 transcript:ENSMUST00000169723.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pnpla2 description:patatin-like phospholipase domain containing 2 [Source:MGI Symbol;Acc:MGI:1914103] MLGMLSLIPALLSQVKQVPTLLRCPRRPGSGSWVLCIPPSTW >ENSMUSP00000128078.1 pep:known chromosome:GRCm38:10:129974605:129975567:-1 gene:ENSMUSG00000095608.1 transcript:ENSMUST00000170920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr247 description:olfactory receptor 247 [Source:MGI Symbol;Acc:MGI:3030081] MSNSELMKNGSLSLCTEFTLVAFSSLAELQLVLFVVFLVLYLFTVGGNLTIICVIWTTPS LHTPMYFFLANLSFLEMCYISSVVPQMLVHLLVQLKTISVAGCAAQMYVFTILGLTECCL LATMAYDRFVAICYPLHYTLWMDPSVCLKLAGASWMTGILVESAQTTWIFTLPFCGAGTI QHFFCDIMPVVKLACVDTSQNEMVIFIISLIFIMSPCLFILCSYVRIILTILKMPSAAGR HKAFSTCSSHILVVSLFYGTALFTYLQPKSSHTPDTDKVTALMYTVVTPALNPVIYTLRN KEVKEAFQKVTQRKLHRQID >ENSMUSP00000053733.8 pep:known chromosome:GRCm38:19:42147400:42151703:1 gene:ENSMUSG00000044345.9 transcript:ENSMUST00000061111.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marveld1 description:MARVEL (membrane-associating) domain containing 1 [Source:MGI Symbol;Acc:MGI:2147570] MLPPPPRQPPPQARTARGSLRLQRAFLRGPLGVLRLLQLLAGAAFWITIATSKYQGPVHF ALFVSVLFWLLTLGLYFITLLGKQELVPVLGSRWLVVNVAHDLLAAALYGAATGIMIDQT QRHSYCNLKNYRLPCAYHAFLAASVCGGLCLGLYLLSALYGCCRRYQGKEEVV >ENSMUSP00000139254.1 pep:known chromosome:GRCm38:19:42147708:42151462:1 gene:ENSMUSG00000044345.9 transcript:ENSMUST00000184186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Marveld1 description:MARVEL (membrane-associating) domain containing 1 [Source:MGI Symbol;Acc:MGI:2147570] XRLQRAFLRGPLGVLRLLQLLAGAAFWITIATSKYQGPVHFALFVSVLFWLLTLGLYFIT LLGKQELVPVLGSRWLVVNVAHDLLAAALYGAATGIMIDQTQRHSYCNLKNYRLPCAYHA FLAASVCGGLCLGLYLLSALYGCCRRYQGKEEVV >ENSMUSP00000036434.7 pep:known chromosome:GRCm38:4:149649168:149698698:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000038859.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL IGKGLHEFDSLRDPEVNDFRTKMRQFCEEAAAHRQQLGWVEWLQYSFPLQLEPSARGWRA GLLRVSNRALLVNVKFEGSEESFTFQVSTKDMPLALMACALRKKATVFRQPLVEQPEEYA LQVNGRHEYLYGNYPLCHFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPR AKPPPIPAKKPSSVSLWSLEQPFSIELIEGRKVNADERMKLVVQAGLFHGNEMLCKTVSS SEVNVCSEPVWKQRLEFDISVCDLPRMARLCFALYAVVEKAKKARSTKKKSKKADCPIAW ANLMLFDYKDQLKTGERCLYMWPSVPDEKGELLNPAGTVRGNPNTESAAALVIYLPEVAP HPVYFPALEKILELGRHGERGRITEEEQLQLREILERRGSGELYEHEKDLVWKMRHEVQE HFPEALARLLLVTKWNKHEDVAQMLYLLCSWPELPVLSALELLDFSFPDCYVGSFAIKSL RKLTDDELFQYLLQLVQVLKYESYLDCELTKFLLGRALANRKIGHFLFWHLRSEMHVPSV ALRFGLIMEAYCRGSTHHMKVLMKQGEALSKLKALNDFVKVSSQKTTKPQTKEMMHMCMR QETYMEALSHLQSPLDPSTLLEEVCSVEQCTFMDSKMKPLWIMYSSEEAGSAGNVGIIFK NGDDLRQDMLTLQMIQLMDVLWKQEGLDLRMTPYGCLPTGDRTGLIEVVLHSDTIANIQL NKSNMAATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSDNI MIRESGQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFRGY CERAYTILRRHGLLFLHLFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRVKF NEALRESWKTKVNWLAHNVSKDNRQ >ENSMUSP00000101315.2 pep:known chromosome:GRCm38:4:149649168:149698708:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000105690.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL IGKGLHEFDSLRDPEVNDFRTKMRQFCEEAAAHRQQLGWVEWLQYSFPLQLEPSARGWRA GLLRVSNRALLVNVKFEGSEESFTFQVSTKDMPLALMACALRKKATVFRQPLVEQPEEYA LQVNGRHEYLYGNYPLCHFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPR AKPPPIPAKKPSSVSLWSLEQPFSIELIEGRKVNADERMKLVVQAGLFHGNEMLCKTVSS SEVNVCSEPVWKQRLEFDISVCDLPRMARLCFALYAVVEKAKKARSTKKKSKKADCPIAW ANLMLFDYKDQLKTGERCLYMWPSVPDEKGELLNPAGTVRGNPNTESAAALVIYLPEVAP HPVYFPALEKILELGRHGERGRITEEEQLQLREILERRGSGELYEHEKDLVWKMRHEVQE HFPEALARLLLVTKWNKHEDVAQLSQMLYLLCSWPELPVLSALELLDFSFPDCYVGSFAI KSLRKLTDDELFQYLLQLVQVLKYESYLDCELTKFLLGRALANRKIGHFLFWHLRSEMHV PSVALRFGLIMEAYCRGSTHHMKVLMKQGEALSKLKALNDFVKVSSQKTTKPQTKEMMHM CMRQETYMEALSHLQSPLDPSTLLEEVCVEQCTFMDSKMKPLWIMYSSEEAGSAGNVGII FKNGDDLRQDMLTLQMIQLMDVLWKQEGLDLRMTPYGCLPTGDRTGLIEVVLHSDTIANI QLNKSNMAATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSD NIMIRESGQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFR GYCERAYTILRRHGLLFLHLFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRV KFNEALRESWKTKVNWLAHNVSKDNRQ >ENSMUSP00000113844.1 pep:known chromosome:GRCm38:4:149649170:149701590:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000122059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL IGKGLHEFDSLRDPEVNDFRTKMRQFCEEAAAHRQQLGWVEWLQYSFPLQLEPSARGWRA GLLRVSNRALLVNVKFEGSEESFTFQVSTKDMPLALMACALRKKATVFRQPLVEQPEEYA LQVNGRHEYLYGNYPLCHFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPR AKPPPIPAKKPSSVSLWSLEQPFSIELIEGRKVNADERMKAGLFHGNEMLCKTVSSSEVN VCSEPVWKQRLEFDISVCDLPRMARLCFALYAVVEKAKKARSTKKKSKKADCPIAWANLM LFDYKDQLKTGERCLYMWPSVPDEKGELLNPAGTVRGNPNTESAAALVIYLPEVAPHPVY FPALEKILELGRHGERGRITEEEQLQLREILERRGSGELYEHEKDLVWKMRHEVQEHFPE ALARLLLVTKWNKHEDVAQMLYLLCSWPELPVLSALELLDFSFPDCYVGSFAIKSLRKLT DDELFQYLLQLVQVLKYESYLDCELTKFLLGRALANRKIGHFLFWHLRSEMHVPSVALRF GLIMEAYCRGSTHHMKVLMKQGEALSKLKALNDFVKVSSQKTTKPQTKEMMHMCMRQETY MEALSHLQSPLDPSTLLEEVCVEQCTFMDSKMKPLWIMYSSEEAGSAGNVGIIFKNGDDL RQDMLTLQMIQLMDVLWKQEGLDLRMTPYGCLPTGDRTGLIEVVLHSDTIANIQLNKSNM AATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSDNIMIRES GQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFRGYCERAY TILRRHGLLFLHLFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRVKFNEALR ESWKTKVNWLAHNVSKDNRQ >ENSMUSP00000112863.1 pep:known chromosome:GRCm38:4:149649171:149676044:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000118704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL IGKGLHEFDSLRDPEVNDFRTKMRQFCEEAAAHRQQLGWVEWLQYSFPLQLEPSARGWRA GLLRVSNRALLVNVKFEGSEESFTFQVSTKDMPLALMACALRKKATVFRQPLVEQPEEYA LQVNGRHEYLYGNYPLCHFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPR AKPPPIPAKKPSSVSLWSLEQPFSIELIEGRKVNADERMKLVVQAGLFHGNEMLCKTVSS SEVNVCSEPVWKQRLEFDISVCDLPRMARLCFALYAVVEKAKKARSTKKKSKKADCPIAW ANLMLFDYKDQLKTGERCLYMWPSVPDEKGELLNPAGTVRGNPNTESAAALVIYLPEVAP HPVYFPALEKILELGRHGERGRITEEELQLREILERRGSGELYEHEKDLVWKMRHEVQEH FPEALARLLLVTKWNKHEDVAQLSQMLYLLCSWPELPVLSALELLDFSFPDCYVGSFAIK SLRKLTDDELFQYLLQLVQVLKYESYLDCELTKFLLGRALANRKIGHFLFWHLRSEMHVP SVALRFGLIMEAYCRGSTHHMKVLMKQGEALSKLKALNDFVKVSSQKTTKPQTKEMMHMC MRQETYMEALSHLQSPLDPSTLLEEVCVEQCTFMDSKMKPLWIMYSSEEAGSAGNVGIIF KNGDDLRQDMLTLQMIQLMDVLWKQEGLDLRMTPYGCLPTGDRTGLIEVVLHSDTIANIQ LNKSNMAATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSDN IMIRESGQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFRG YCERAYTILRRHGLLFLHLFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRVK FNEALRESWKTKVNWLAHNVSKDNRQ >ENSMUSP00000101313.3 pep:known chromosome:GRCm38:4:149649817:149698605:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000105688.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL IGKGLHEFDSLRDPEVNDFRTKMRQFCEEAAAHRQQLGWVEWLQYSFPLQLEPSARGWRA GLLRVSNRALLVNVKFEGSEESFTFQVSTKDMPLALMACALRKKATVFRQPLVEQPEEYA LQVNGRHEYLYGNYPLCHFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPR AKPPPIPAKKPSSVSLWSLEQPFSIELIEGRKVNADERMKLVVQAGLFHGNEMLCKTVSS SEVNVCSEPVWKQRLEFDISVCDLPRMARLCFALYAVVEKAKKARSTKKKSKKADCPIAW ANLMLFDYKDQLKTGERCLYMWPSVPDEKGELLNPAGTVRGNPNTESAAALVIYLPEVAP HPVYFPALEKILELGRHGERGRITEEEQLQLREILERRGSGELYEHEKDLVWKMRHEVQE HFPEALARLLLVTKWNKHEDVAQMLYLLCSWPELPVLSALELLDFSFPDCYVGSFAIKSL RKLTDDELFQYLLQLVQVLKYESYLDCELTKFLLGRALANRKIGHFLFWHLRSEMHVPSV ALRFGLIMEAYCRGSTHHMKVLMKQGEALSKLKALNDFVKVSSQKTTKPQTKEMMHMCMR QETYMEALSHLQSPLDPSTLLEEVCVEQCTFMDSKMKPLWIMYSSEEAGSAGNVGIIFKN GDDLRQDMLTLQMIQLMDVLWKQEGLDLRMTPYGCLPTGDRTGLIEVVLHSDTIANIQLN KSNMAATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSDNIM IRESGQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFRGYC ERAYTILRRHGLLFLHLFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRVKFN EALRESWKTKVNWLAHNVSKDNRQ >ENSMUSP00000101314.1 pep:known chromosome:GRCm38:4:149650640:149663284:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000105689.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL IGKGLHEFDSLRDPEVNDFRTKMRQFCEEAAAHRQQLGWVEWLQYSFPLQLEPSARGWRA GLLRVSNRALLVNVKFEGSEESFTFQVSTKDMPLALMACALRKKATVFRQPLVEQPEEYA LQVNGRHEYLYGNYPLCHFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPR AKPPPIPAKKPSSVSLWSLEQPFSIELIEGRKVNADERMKLVVQAGLFHGNEMLCKTVSS SEVNVCSEPVWKQRLEFDISVCDLPRMARLCFALYAVVEKAKKARSTKKKSKKADCPIAW ANLMLFDYKDQLKTGERCLYMWPSVPDEKGELLNPAGTVRGNPNTESAAALVIYLPEVAP HPVYFPALEKILELGRHGERGRITEEELQLREILERRGSGELYEHEKDLVWKMRHEVQEH FPEALARLLLVTKWNKHEDVAQMLYLLCSWPELPVLSALELLDFSFPDCYVGSFAIKSLR KLTDDELFQYLLQLVQVLKYESYLDCELTKFLLGRALANRKIGHFLFWHLRSEMHVPSVA LRFGLIMEAYCRGSTHHMKVLMKQGEALSKLKALNDFVKVSSQKTTKPQTKEMMHMCMRQ ETYMEALSHLQSPLDPSTLLEEVCVEQCTFMDSKMKPLWIMYSSEEAGSAGNVGIIFKNG DDLRQDMLTLQMIQLMDVLWKQEGLDLRMTPYGCLPTGDRTGLIEVVLHSDTIANIQLNK SNMAATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSDNIMI RESGQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFRGYCE RAYTILRRHGLLFLHLFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRVKFNE ALRESWKTKVNWLAHNVSKDNRQ >ENSMUSP00000119858.1 pep:known chromosome:GRCm38:4:149659778:149675186:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000127273.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL IGKGLHEFDSLRDPEVNDFRTKMRQFCEEAAAHRQQLGWVEWLQYSFPLQL >ENSMUSP00000115542.1 pep:known chromosome:GRCm38:4:149659857:149675625:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000131224.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL IGKGLHEFDSLRDPEVNDFRTKMRQ >ENSMUSP00000121843.1 pep:known chromosome:GRCm38:4:149660019:149698604:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000146612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQI >ENSMUSP00000118988.1 pep:known chromosome:GRCm38:4:149660184:149698552:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000134534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH ML >ENSMUSP00000115716.1 pep:known chromosome:GRCm38:4:149663153:149702571:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000156309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLST >ENSMUSP00000136045.1 pep:known chromosome:GRCm38:4:149649170:149674194:-1 gene:ENSMUSG00000039936.18 transcript:ENSMUST00000177654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3cd description:phosphatidylinositol 3-kinase catalytic delta polypeptide [Source:MGI Symbol;Acc:MGI:1098211] MPPGVDCPMEFWTKEESQSVVVDFLLPTGVYLNFPVSRNANLSTIKQVLWHRAQYEPLFH MLSDPEAYVFTCVNQTAEQQELEDEQRRLCDIQPFLPVLRLVAREGDRVKKLINSQISLL IGKGLHEFDSLRDPEVNDFRTKMRQFCEEAAAHRQQLGWVEWLQYSFPLQLEPSARGWRA GLLRVSNRALLVNVKFEGSEESFTFQVSTKDMPLALMACALRKKATVFRQPLVEQPEEYA LQVNGRHEYLYGNYPLCHFQYICSCLHSGLTPHLTMVHSSSILAMRDEQSNPAPQVQKPR AKPPPIPAKKPSSVSLWSLEQPFSIELIEGRKVNADERMKLVVQAGLFHGNEMLCKTVSS SEVNVCSEPVWKQRLEFDISVCDLPRMARLCFALYAVVEKAKKARSTKKKSKKADCPIAW ANLMLFDYKDQLKTGERCLYMWPSVPDEKGELLNPAGTVRGNPNTESAAALVIYLPEVAP HPVYFPALEKILELGRHGERGRITEEEQLQLREILERRGSGELYEHEKDLVWKMRHEVQE HFPEALARLLLVTKWNKHEDVAQLSQMLYLLCSWPELPVLSALELLDFSFPDCYVGSFAI KSLRKLTDDELFQYLLQLVQVLKYESYLDCELTKFLLGRALANRKIGHFLFWHLRSEMHV PSVALRFGLIMEAYCRGSTHHMKVLMKQGEALSKLKALNDFVKVSSQKTTKPQTKEMMHM CMRQETYMEALSHLQSPLDPSTLLEEVCVEQCTFMDSKMKPLWIMYSSEEAGSAGNVGII FKNGDDLRQDMLTLQMIQLMDVLWKQEGLDLRMTPYGCLPTGDRTGLIEVVLHSDTIANI QLNKSNMAATAAFNKDALLNWLKSKNPGEALDRAIEEFTLSCAGYCVATYVLGIGDRHSD NIMIRESGQLFHIDFGHFLGNFKTKFGINRERVPFILTYDFVHVIQQGKTNNSEKFERFR GYCERAYTILRRHGLLFLHLFALMRAAGLPELSCSKDIQYLKDSLALGKTEEEALKHFRV KFNEALRESWKTKVNWLAHNVSKDNRQ >ENSMUSP00000118143.1 pep:known chromosome:GRCm38:7:126847416:126849525:1 gene:ENSMUSG00000052301.14 transcript:ENSMUST00000141805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Doc2a description:double C2, alpha [Source:MGI Symbol;Acc:MGI:109446] MRGRRGDRMTINIQEHMAINVCPGPIRPIRQISDYFPRRGPGPEGGGGGGGTGCGEAPAH LAPLALAPPAALLGATTPDDGAEVDSYDSDDTTALGTLEFDLLYDQASCMLHCRILRAKG LKPMDFNGLADPYV >ENSMUSP00000070119.7 pep:known chromosome:GRCm38:7:126847553:126852705:1 gene:ENSMUSG00000052301.14 transcript:ENSMUST00000064110.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Doc2a description:double C2, alpha [Source:MGI Symbol;Acc:MGI:109446] MRGRRGDRMTINIQEHMAINVCPGPIRPIRQISDYFPRRGPGPEGGGGGGGTGCGEAPAH LAPLALAPPAALLGATTPDDGAEVDSYDSDDTTALGTLEFDLLYDQASCMLHCRILRAKG LKPMDFNGLADPYVKLHLLPGACKANKLKTKTQRNTLNPVWNEELTYSGITDDDITHKVL RISVCDEDKLSHNEFIGEIRVPLRRLKPSQKKHFNICLERQVPLPSPSSMSAALRGISCY LKELEQAEQGPGLLEERGRILLSLSYSSRRHGLLVGIVRCAHLAAMDVNGYSDPYVKTYL RPDVDKKSKHKTCVKKKTLNPEFNEEFFYEIELSTLATKTLEVTVWDYDIGKSNDFIGGV SLGPGARGEAQKHWNDCLHQPDTALERWHTLTSELPPAAGAYPLA >ENSMUSP00000145630.1 pep:known chromosome:GRCm38:7:126847698:126848692:1 gene:ENSMUSG00000052301.14 transcript:ENSMUST00000205938.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Doc2a description:double C2, alpha [Source:MGI Symbol;Acc:MGI:109446] MRGRRGDRMTINIQEHMAINVCPGPIRPIRQISDY >ENSMUSP00000123483.1 pep:known chromosome:GRCm38:7:126847726:126851339:1 gene:ENSMUSG00000052301.14 transcript:ENSMUST00000152051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Doc2a description:double C2, alpha [Source:MGI Symbol;Acc:MGI:109446] MRGRRGDRMTINIQEHMAINVCPGPIRPIRQISDYFPRRGPGPEGGGGGGGTGCGEAPAH LAPLALAPPAALLGATTPDDGAEVDSYDSDDTTALGTLEFDLLYDQASCMLHCRILRAKG LKPMDFNGLADPYVKLHLLPGACKANKLKTKTQRNTLNPVWNEELTYSGITDDDITHKVL RISVCDEDKLSHNEFIGEIRVPLRRLKPSQKKHFNICLERQVPLPSPSSMSAALRGISCY LKELEQAEQGPGLLEERGRILL >ENSMUSP00000123143.1 pep:known chromosome:GRCm38:7:126847927:126848836:1 gene:ENSMUSG00000052301.14 transcript:ENSMUST00000147257.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Doc2a description:double C2, alpha [Source:MGI Symbol;Acc:MGI:109446] MRGRRGDRMTINIQEHMAINVCPGPIRPIRQISDYFPRRGPGPEGGGGGGGTGCGEAPAH LAPLALAPPAALLGATTPDDGAEV >ENSMUSP00000117376.1 pep:known chromosome:GRCm38:7:126847962:126848835:1 gene:ENSMUSG00000052301.14 transcript:ENSMUST00000139174.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Doc2a description:double C2, alpha [Source:MGI Symbol;Acc:MGI:109446] MRGRRGDRMTINIQEHMAINVCPGPIRPIRQISDYFPRRGPGPEGGGGGGGTGCGEAPAH LAPLALAPPAALLGATTPDDGAE >ENSMUSP00000119779.1 pep:known chromosome:GRCm38:7:126848255:126849760:1 gene:ENSMUSG00000052301.14 transcript:ENSMUST00000137873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Doc2a description:double C2, alpha [Source:MGI Symbol;Acc:MGI:109446] MRGRRGDRMTINIQEHMAINVCPGPIRPIRQISDYFPRRGPGPEGGGGGGGTGCGEAPAH LAPLALAPPAALLGATTPDDGAEVDSYDSDDTTALGTLEFDLLYDQASCMLHCRILRAKG LKPMDFNGLADPYVKLHLLPGACKANKLKTKTQRNTLNPVWNEELTYSGITDDDITH >ENSMUSP00000135114.1 pep:known chromosome:GRCm38:7:126848797:126852036:1 gene:ENSMUSG00000052301.14 transcript:ENSMUST00000176771.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Doc2a description:double C2, alpha [Source:MGI Symbol;Acc:MGI:109446] XLLGATTPDDGAEVDSYDSDDTTALGTLEFDLLYDQASCMLHCRILRAKGLKPMDFNGLA DPYVKLHLLPGACKANKLKTKTQRNTLNPVWNEELTYSGITDDDITHKVLRISVCDEDKL SHNEFIGEIRVPLRRLKPSQKKHFNICLERQVPLPSPSSMSAALRGISCYLKELEQAEQG PGLLEERGRILLSLSYSSRRHGLLVLETRCG >ENSMUSP00000104752.3 pep:known chromosome:GRCm38:11:50921823:50931633:-1 gene:ENSMUSG00000020335.13 transcript:ENSMUST00000109124.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp354b description:zinc finger protein 354B [Source:MGI Symbol;Acc:MGI:1351476] MAPEQREGKSQVSVTFEDVAVLFTRDEWKKLVPSQRSLYREVMLENYSNLASLGFPFTKP KMISVLQQGEEPWKSEKESHGCSPLGCHGSLQTTKSTQTKESLFQELKRKQLKRDEAWDF TSGKSCRPDNSFRTQDTNESLEIISINHTKILTIDKSRKNFKFGPSVGLKSIGKQKIAGE KTQRNSLEENSTLLSQPKLKTVEKRYKCSTCEKAFIHNSSLRKHLKNHTGERLFQCKDCL KAFSQSSALIQHQRTHTGEKPYICKECGKAFSHSASLCKHLRTHTLEKSYTCKECGKSFS RRSGLFLHQKIHARENPHKYNPGRKASTSLSGCQRIHSRKKTYLCNECGNTFKSSSSLRY HQRIHTGEKPFKCSECGRAFSQSASLIQHERIHTGEKPYRCSECGKGFTSISRLNRHRII HTGEKFYNCNECGKALSSHSTLIIHERIHTGEKPCKCKVCGKAFRQSSALIQHQRMHTGE RPYKCNECGKTFRCNSSLSNHQRTHTGEKPYRCQECGMSFGQSAALIQHRRIHTGEKPFK CNTCGKSFRQSSSLIAHQRIHTGEKPYECSACGKLFSQRSSLTNHYRIHIEEDALNIDLH E >ENSMUSP00000127671.1 pep:known chromosome:GRCm38:11:50922291:50930933:-1 gene:ENSMUSG00000020335.13 transcript:ENSMUST00000164280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp354b description:zinc finger protein 354B [Source:MGI Symbol;Acc:MGI:1351476] MAPEQREGKSQVSVTFEDVAVLFTRDEWKKLVPSQRSLYREVMLENYSNLASLGFPFTKP KMISVLQQGEEPWKSEKESHGCSPLGCHGSLQTTKSTQTKESLFQELKRKQLKRDEAWDF TSGKSCRPDNSFRTQDTNESLEIISINHTKILTIDKSRKNFKFGPSVGLKSIGKQKIAGE KTQRNSLEENSTLLSQPKLKTVEKRYKCSTCEKAFIHNSSLRKHLKNHTGERLFQCKDCL KAFSQSSALIQHQRTHTGEKPYICKECGKAFSHSASLCKHLRTHTLEKSYTCKECGKSFS RRSGLFLHQKIHARENPHKYNPGRKASTSLSGCQRIHSRKKTYLCNECGNTFKSSSSLRY HQRIHTGEKPFKCSECGRAFSQSASLIQHERIHTGEKPYRCSECGKGFTSISRLNRHRII HTGEKFYNCNECGKALSSHSTLIIHERIHTGEKPCKCKVCGKAFRQSSALIQHQRMHTGE RPYKCNECGKTFRCNSSLSNHQRTHTGEKPYRCQECGMSFGQSAALIQHRRIHTGEKPFK CNTCGKSFRQSSSLIAHQRIHTGEKPYECSACGKLFSQRSSLTNHYRIHIEEDALNIDLH E >ENSMUSP00000004208.5 pep:known chromosome:GRCm38:2:33216069:33247717:1 gene:ENSMUSG00000004105.8 transcript:ENSMUST00000004208.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angptl2 description:angiopoietin-like 2 [Source:MGI Symbol;Acc:MGI:1347002] MRPLCMTYWWLGLLATVGAATGPEADVEGTEDGSQREYIYLNRYKRAGESPDKCTYTFIV PQQRVTGAICVNSKEPEVHLENRVHKQELELLNNELLKQKRQIETLQQLVEVDGGIVSEV KLLRKESRNMNSRVTQLYMQLLHEIIRKRDNALELSQLENRILNQTADMLQLASKYKDLE HKFQHLAMLAHNQSEVIAQLEEHCQRVPAARPMPQPPPAAPPRVYQPPTYNRIINQISTN EIQSDQNLKVLPPSLPTMPALTSLPSSTDKPSGPWRDCLQALEDGHSTSSIYLVKPENTN RLMQVWCDQRHDPGGWTVIQRRLDGSVNFFRNWETYKQGFGNIDGEYWLGLENIYWLTNQ GNYKLLVTMEDWSGRKVFAEYASFRLEPESEYYKLRLGRYHGNAGDSFTWHNGKQFTTLD RDHDVYTGNCAHYQKGGWWYNACAHSNLNGVWYRGGHYRSRYQDGVYWAEFRGGSYSLKK VVMMIRPNPNTFH >ENSMUSP00000142084.1 pep:known chromosome:GRCm38:2:33216117:33242393:1 gene:ENSMUSG00000004105.8 transcript:ENSMUST00000193373.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Angptl2 description:angiopoietin-like 2 [Source:MGI Symbol;Acc:MGI:1347002] MRPLCMTYWWLGLLATVGAATGPEADVEGTEDGSQREYIYLNRYKRAGPWRDCLQALEDG HSTSSIYLVKPENTNRLMQVWCDQRHDPGGWTVIQRRLDGSVNFFRNWETYK >ENSMUSP00000056094.2 pep:known chromosome:GRCm38:4:94636653:94650144:-1 gene:ENSMUSG00000049799.13 transcript:ENSMUST00000053419.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc19 description:leucine rich repeat containing 19 [Source:MGI Symbol;Acc:MGI:2140219] MKVTRFMFWLFSMLLPSVKSQASETEVPCNFSRRNYTLIPEGISTNVTILDLSYNRITLN AADSRVLQMYSLLTELYLMENNIIALYNSSFRNLLNLEILNICGNSISVIQQGSFVGLNE LKQLFLCQNKILQLNPDTFVPLNNLKVLNLQGNLIRLFDAPQLPHLEILTLDGNPWNCTC GLLELHNWLNTSNVTLENENMTMCSYPDELKHDSIKSAPFTTECHSTFISTITEDFQSTR NSSFNSSSHNLTWTSEHEPLGKSWAFLVGVVATVLLTSLLIFIAIKCPVWYNILLSYNHH RLEEHEAETYENGLTRNPSSLSQITDTNSEDTTVIFEQLHAFVVDDDGFIEDRYIDINEV HEEK >ENSMUSP00000102718.1 pep:known chromosome:GRCm38:4:94636653:94650116:-1 gene:ENSMUSG00000049799.13 transcript:ENSMUST00000107101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc19 description:leucine rich repeat containing 19 [Source:MGI Symbol;Acc:MGI:2140219] MKVTRFMFWLFSMLLPSVKSQASETEVPCNFSRRNYTLIPEGISTNVTILDLSYNRITLN AADSRVLQMYSLLTELYLMENNIIALYNSSFRNLLNLEILNICGNSISVIQQGSFVGLNE LKQLFLCQNKILQLNPDTFVPLNNLKVLNLQGNLIRLFDAPQLPHLEILTLDGNPWNCTC GLLELHNWLNTSNVTLENENMTMCSYPDELKHDSIKSAPFTTECHSTFISTITEDFQSTR NSSFNSSSHNLTWTSEHEPLGKSWAFLVGVVATVLLTSLLIFIAIKCPVWYNILLSYNHH RLEEHEAETYENGLTRNPSSLSQITDTNSEDTTVIFEQLHAFVVDDDGFIEDRYIDINEV HEEK >ENSMUSP00000110565.2 pep:known chromosome:GRCm38:2:9883042:9883993:-1 gene:ENSMUSG00000079602.2 transcript:ENSMUST00000114915.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9230102O04Rik description:RIKEN cDNA 9230102O04 gene [Source:MGI Symbol;Acc:MGI:1924932] MSTVLIGKVSPLRSRKPQPAWPRCLLRFPPRFDFALFSVFQLMRGKKRKEDMVKAKALWI DQAAAAPTHAPRKNSGPGDDQG >ENSMUSP00000009356.4 pep:known chromosome:GRCm38:1:107511423:107525598:1 gene:ENSMUSG00000062345.10 transcript:ENSMUST00000009356.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb2 description:serine (or cysteine) peptidase inhibitor, clade B, member 2 [Source:MGI Symbol;Acc:MGI:97609] MEELSMANTMFALNLLKQIEKSNSTQNIFISPWSISSTLAIVLLGAGGNTEQQMAKVLQF NEIGSYGITTRNPENFSGCDFAQQIQKENYPSAILQAQAGDKIHSAFSSLSSTINTPQGD YLLESANKLFGEKSARFKEEYIQLSKKYYSTEPEAVDFLECAEEAREKINSWVKTQTKGE IPNLLPEGSVDEDTKMVLVNAVYFKGKWKTPFEKKLNGLYPFRVNSHESIPVQMMFLHAK LNIGYIKDLKTQILELPHTGNISMLLLLPDEIEDASTGLELLESEINFANFNKWISKDTL DEDDVVVYIPKFKLAQSYELKSILQSMGMEDAFNKGKANFSGMSERNDLFLSEVFHQASV DVTEEGTVAAGGTGAVMTGRTGHGGPQFVADHPFLFFIMDKITHTILFVGRFSSP >ENSMUSP00000065277.2 pep:known chromosome:GRCm38:1:107511497:107525592:1 gene:ENSMUSG00000062345.10 transcript:ENSMUST00000064916.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb2 description:serine (or cysteine) peptidase inhibitor, clade B, member 2 [Source:MGI Symbol;Acc:MGI:97609] MEELSMANTMFALNLLKQIEKSNSTQNIFISPWSISSTLAIVLLGAGGNTEQQMAKVLQF NEIGSYGITTRNPENFSGCDFAQQIQKENYPSAILQAQAGDKIHSAFSSLSSTINTPQGD YLLESANKLFGEKSARFKEEYIQLSKKYYSTEPEAVDFLECAEEAREKINSWVKTQTKGE IPNLLPEGSVDEDTKMVLVNAVYFKGKWKTPFEKKLNGLYPFRVNSHESIPVQMMFLHAK LNIGYIKDLKTQILELPHTGNISMLLLLPDEIEDASTGLELLESEINFANFNKWISKDTL DEDDVVVYIPKFKLAQSYELKSILQSMGMEDAFNKGKANFSGMSERNDLFLSEVFHQASV DVTEEGTVAAGGTGAVMTGRTGHGGPQFVADHPFLFFIMDKITHTILFVGRFSSP >ENSMUSP00000114751.1 pep:known chromosome:GRCm38:1:107522230:107535478:1 gene:ENSMUSG00000062345.10 transcript:ENSMUST00000143832.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serpinb2 description:serine (or cysteine) peptidase inhibitor, clade B, member 2 [Source:MGI Symbol;Acc:MGI:97609] XSANKLFGEKSARFKEEYIQLSKKYYSTEPEAVDFLECAEEAREKINSWVKTQTKGEIPN LLPEGSVDEDTKMVLVNAVYFKGKWKTPFEKKLNGLYPFRVNSHESIPVQMMFLHAKLNI GYIKDLKTQILELPHTGNISMLLLLPDEIEDASTGLELLESEINFANFNKWISKDTLDED DVVVAQWPH >ENSMUSP00000119795.1 pep:known chromosome:GRCm38:1:107523944:107532535:1 gene:ENSMUSG00000062345.10 transcript:ENSMUST00000146597.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serpinb2 description:serine (or cysteine) peptidase inhibitor, clade B, member 2 [Source:MGI Symbol;Acc:MGI:97609] STGLELLESEINFANFNKWISKDTLDEDDVVLKVT >ENSMUSP00000008090.8 pep:known chromosome:GRCm38:7:101818313:101822726:1 gene:ENSMUSG00000007946.10 transcript:ENSMUST00000008090.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phox2a description:paired-like homeobox 2a [Source:MGI Symbol;Acc:MGI:106633] MDYSYLNSYDSCVAAMEASAYGDFGACSQPGGFQYSPLRPAFPAAGPPCPALGSSNCALG ALRDHQPAPYSAVPYKFFPEPSGLHEKRKQRRIRTTFTSAQLKELERVFAETHYPDIYTR EELALKIDLTEARVQVWFQNRRAKFRKQERAASAKGAAGATGAKKGEARCSSEDDDSKES TCSPTPDSTASLPPPPAPSLASPRLSPSPLPAALGSGPGPQPLKGALWAGVAGGGGGGPG TGAAELLKAWQPAEPGPGPFSGVLSSFHRKPGPALKTNLF >ENSMUSP00000023530.4 pep:known chromosome:GRCm38:16:34651188:34678618:1 gene:ENSMUSG00000022832.10 transcript:ENSMUST00000023530.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ropn1 description:ropporin, rhophilin associated protein 1 [Source:MGI Symbol;Acc:MGI:1923628] MPQTDKQVCIPPELPELLKQFTKDAIRTQPPDLIQWAAEYFGAMSRGEIPPVRERSEQIP LSNWAELTPELLKVLHSRVAGRLIIHADELAQMWKVLNLPTDLFNSVMNVGRFTEEIEWL KFLALACSSLGVTIAKTLKIVCEVLSSDHDGGPPRIPFSTFQFLYTYIAEVDGEISSSHV SRMLNYIEQEVIGPDGLIKVNDFTQNPRVRLE >ENSMUSP00000122122.1 pep:known chromosome:GRCm38:16:34649921:34677201:1 gene:ENSMUSG00000022832.10 transcript:ENSMUST00000148562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ropn1 description:ropporin, rhophilin associated protein 1 [Source:MGI Symbol;Acc:MGI:1923628] MPQTDKQVCIPPELPELLKQFTKDAIRTQPPDLIQWAAEYFGAMSRGEIPPVRERSEQIP LSNWAELTPELLKVLHSRVAGRLIIHADELAQMWKVLNLPTDLFNSVMNVGRFTEEIEWL KFLALACSSLGVTIAKTLKIVCEVLSSDHDGGPP >ENSMUSP00000021217.4 pep:known chromosome:GRCm38:11:93949814:93956259:-1 gene:ENSMUSG00000020857.11 transcript:ENSMUST00000021217.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme2 description:NME/NM23 nucleoside diphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:97356] MANLERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVAMKFLRASEEHLKQHYIDLKDRPF FPGLVKYMNSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGS DSVESAEKEIHLWFKPEELIDYKSCAHDWVYE >ENSMUSP00000103476.1 pep:known chromosome:GRCm38:11:93949817:93955783:-1 gene:ENSMUSG00000020857.11 transcript:ENSMUST00000072566.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme2 description:NME/NM23 nucleoside diphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:97356] MANLERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVAMKFLRASEEHLKQHYIDLKDRPF FPGLVKYMNSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGS DSVESAEKEIHLWFKPEELIDYKSCAHDWVYE >ENSMUSP00000112735.1 pep:known chromosome:GRCm38:3:54361109:54391034:1 gene:ENSMUSG00000027750.16 transcript:ENSMUST00000117373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Postn description:periostin, osteoblast specific factor [Source:MGI Symbol;Acc:MGI:1926321] MVPLLPLYALLLLFLCDINPANANSYYDKVLAHSRIRGRDQGPNVCALQQILGTKKKYFS SCKNWYQGAICGKKTTVLYECCPGYMRMEGMKGCPAVMPIDHVYGTLGIVGATTTQHYSD VSKLREEIEGKGSYTYFAPSNEAWENLDSDIRRGLENNVNVELLNALHSHMVNKRMLTKD LKHGMVIPSMYNNLGLFINHYPNGVVTVNCARVIHGNQIATNGVVHVIDRVLTQIGTSIQ DFLEAEDDLSSFRAAAITSDLLESLGRDGHFTLFAPTNEAFEKLPRGVLERIMGDKVASE ALMKYHILNTLQCSEAITGGAVFETMEGNTIEIGCEGDSISINGIKMVNKKDIVTKNGVI HLIDEVLIPDSAKQVIELAGKQQTTFTDLVAQLGLASSLKPDGEYTLLAPVNNAFSDDTL SMDQRLLKLILQNHILKVKVGLSDLYNGQILETIGGKQLRVFVYRTAICIENSCMVRGSK QGRNGAIHIFREIIQPAEKSLHDKLRQDKRFSIFLSLLEAADLKDLLTQPGDWTLFAPTN DAFKGMTSEERELLIGDKNALQNIILYHLTPGVYIGKGFEPGVTNILKTTQGSKIYLKGV NETLLVNELKSKESDIMTTNGVIHVVDKLLYPADIPVGNDQLLELLNKLIKYIQIKFVRG STFKEIPMTVYRPAMTKIQIEGDPDFRLIKEGETVTEVIHGEPVIKKYTKIIDGVPVEIT EKQTREERIITGPEIKYTRISTGGGETGETLQKFLQKDTPAKKIPANKRVQGPRRRSREG RSQ >ENSMUSP00000103619.3 pep:known chromosome:GRCm38:3:54361109:54391037:1 gene:ENSMUSG00000027750.16 transcript:ENSMUST00000107985.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Postn description:periostin, osteoblast specific factor [Source:MGI Symbol;Acc:MGI:1926321] MVPLLPLYALLLLFLCDINPANANSYYDKVLAHSRIRGRDQGPNVCALQQILGTKKKYFS SCKNWYQGAICGKKTTVLYECCPGYMRMEGMKGCPAVMPIDHVYGTLGIVGATTTQHYSD VSKLREEIEGKGSYTYFAPSNEAWENLDSDIRRGLENNVNVELLNALHSHMVNKRMLTKD LKHGMVIPSMYNNLGLFINHYPNGVVTVNCARVIHGNQIATNGVVHVIDRVLTQIGTSIQ DFLEAEDDLSSFRAAAITSDLLESLGRDGHFTLFAPTNEAFEKLPRGVLERIMGDKVASE ALMKYHILNTLQCSEAITGGAVFETMEGNTIEIGCEGDSISINGIKMVNKKDIVTKNGVI HLIDEVLIPDSAKQVIELAGKQQTTFTDLVAQLGLASSLKPDGEYTLLAPVNNAFSDDTL SMDQRLLKLILQNHILKVKVGLSDLYNGQILETIGGKQLRVFVYRTAICIENSCMVRGSK QGRNGAIHIFREIIQPAEKSLHDKLRQDKRFSIFLSLLEAADLKDLLTQPGDWTLFAPTN DAFKGMTSEERELLIGDKNALQNIILYHLTPGVYIGKGFEPGVTNILKTTQGSKIYLKGV NETLLVNELKSKESDIMTTNGVIHVVDKLLYPADIPVGNDQLLELLNKLIKYIQIKFVRG STFKEIPMTVYTTKIITKVVEPKIKVIQGSLQPIIKTEGPAMTKIQIEGDPDFRLIKEGE TVTEVIHGEPVIKKYTKIIDGVPVEITEKQTREERIITGPEIKYTRISTGGGETGETLQK FLQKDTPAKKIPANKRVQGPRRRSREGRSQ >ENSMUSP00000072773.6 pep:known chromosome:GRCm38:3:54361125:54391034:1 gene:ENSMUSG00000027750.16 transcript:ENSMUST00000073012.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Postn description:periostin, osteoblast specific factor [Source:MGI Symbol;Acc:MGI:1926321] MVPLLPLYALLLLFLCDINPANANSYYDKVLAHSRIRGRDQGPNVCALQQILGTKKKYFS SCKNWYQGAICGKKTTVLYECCPGYMRMEGMKGCPAVMPIDHVYGTLGIVGATTTQHYSD VSKLREEIEGKGSYTYFAPSNEAWENLDSDIRRGLENNVNVELLNALHSHMVNKRMLTKD LKHGMVIPSMYNNLGLFINHYPNGVVTVNCARVIHGNQIATNGVVHVIDRVLTQIGTSIQ DFLEAEDDLSSFRAAAITSDLLESLGRDGHFTLFAPTNEAFEKLPRGVLERIMGDKVASE ALMKYHILNTLQCSEAITGGAVFETMEGNTIEIGCEGDSISINGIKMVNKKDIVTKNGVI HLIDEVLIPDSAKQVIELAGKQQTTFTDLVAQLGLASSLKPDGEYTLLAPVNNAFSDDTL SMDQRLLKLILQNHILKVKVGLSDLYNGQILETIGGKQLRVFVYRTAICIENSCMVRGSK QGRNGAIHIFREIIQPAEKSLHDKLRQDKRFSIFLSLLEAADLKDLLTQPGDWTLFAPTN DAFKGMTSEERELLIGDKNALQNIILYHLTPGVYIGKGFEPGVTNILKTTQGSKIYLKGV NETLLVNELKSKESDIMTTNGVIHVVDKLLYPADIPVGNDQLLELLNKLIKYIQIKFVRG STFKEIPMTVYRPAMTKIQIEGDPDFRLIKEGETVTEVIHGEPVIKKYTKIIDGVPVEIT EKQTREERIITGPEIKYTRISTGGGETGETLQKFLQKEVSKVTKFIEGGDGHLFEDEEIK RLLQGDTPAKKIPANKRVQGPRRRSREGRSQ >ENSMUSP00000080276.6 pep:known chromosome:GRCm38:3:54361143:54390452:1 gene:ENSMUSG00000027750.16 transcript:ENSMUST00000081564.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Postn description:periostin, osteoblast specific factor [Source:MGI Symbol;Acc:MGI:1926321] MVPLLPLYALLLLFLCDINPANANSYYDKVLAHSRIRGRDQGPNVCALQQILGTKKKYFS SCKNWYQGAICGKKTTVLYECCPGYMRMEGMKGCPAVMPIDHVYGTLGIVGATTTQHYSD VSKLREEIEGKGSYTYFAPSNEAWENLDSDIRRGLENNVNVELLNALHSHMVNKRMLTKD LKHGMVIPSMYNNLGLFINHYPNGVVTVNCARVIHGNQIATNGVVHVIDRVLTQIGTSIQ DFLEAEDDLSSFRAAAITSDLLESLGRDGHFTLFAPTNEAFEKLPRGVLERIMGDKVASE ALMKYHILNTLQCSEAITGGAVFETMEGNTIEIGCEGDSISINGIKMVNKKDIVTKNGVI HLIDEVLIPDSAKQVIELAGKQQTTFTDLVAQLGLASSLKPDGEYTLLAPVNNAFSDDTL SMDQRLLKLILQNHILKVKVGLSDLYNGQILETIGGKQLRVFVYRTAICIENSCMVRGSK QGRNGAIHIFREIIQPAEKSLHDKLRQDKRFSIFLSLLEAADLKDLLTQPGDWTLFAPTN DAFKGMTSEERELLIGDKNALQNIILYHLTPGVYIGKGFEPGVTNILKTTQGSKIYLKGV NETLLVNELKSKESDIMTTNGVIHVVDKLLYPADIPVGNDQLLELLNKLIKYIQIKFVRG STFKEIPMTVYTTKIITKVVEPKIKVIQGSLQPIIKTEGPAMTKIQIEGDPDFRLIKEGE TVTEVIHGEPVIKKYTKIIDGVPVEITEKQTREERIITGPEIKYTRISTGGGETGETLQK FLQKEVSKVTKFIEGGDGHLFEDEEIKRLLQGDTPAKKIPANKRVQGPRRRSREGRSQ >ENSMUSP00000116685.1 pep:known chromosome:GRCm38:3:54377560:54390647:1 gene:ENSMUSG00000027750.16 transcript:ENSMUST00000143258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Postn description:periostin, osteoblast specific factor [Source:MGI Symbol;Acc:MGI:1926321] XFVRGSTFKEIPMTVYRPAMTKIQIEGDPDFRLIKEGETVTEVIHGEPVIKKYTKIIDGV PVEITEKQTREERIITDTPAKKIPANKRVQGPRRRSREGRSQ >ENSMUSP00000026889.1 pep:known chromosome:GRCm38:2:9881252:9883921:1 gene:ENSMUSG00000025783.2 transcript:ENSMUST00000026889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930412O13Rik description:RIKEN cDNA 4930412O13 gene [Source:MGI Symbol;Acc:MGI:1921185] MRLINRKRSEKTVVAVRLGRKRRAEWPLLKQLARDNNHRDAFNSIPCTLQSPPGLCDMQA WDNPEGLVVKLKYREESKIKPRWKTKQAAGPCWLRLPAPQRRHLSN >ENSMUSP00000110569.1 pep:known chromosome:GRCm38:2:9882636:9883335:1 gene:ENSMUSG00000025783.2 transcript:ENSMUST00000114919.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930412O13Rik description:RIKEN cDNA 4930412O13 gene [Source:MGI Symbol;Acc:MGI:1921185] MRLINRKRSEKTVVAVRLGRKRRAEWPLLKQLARDNNHRDAFNSIPCTLQSPPGLCDMQA WDNPEGLVVKVRVGFLDHFVLGMEVVKERREGELDLPPSKPR >ENSMUSP00000045333.5 pep:known chromosome:GRCm38:14:79766775:79771312:-1 gene:ENSMUSG00000036422.10 transcript:ENSMUST00000039568.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh8 description:protocadherin 8 [Source:MGI Symbol;Acc:MGI:1306800] MSPAKRWGSPCLFPLQLFSLCWVLSVAQSKTVRYSTFEEDAPGTVIGTLAEDLHMKVSGD TSFRLMKQFNSSLLRVREGDGQLTVGDAGLDRERLCGPSPQCVLAFDVVSFSQEQFRLVH VEVEVRDVNDHAPRFPRAQIPVEVSESAPVGTRIPLEVPVDEDVGANGLQSVRLAEPHSP FRVELQTRADGAQCADLVLLQELDRESQASYSLELVAQDGGRPPRSATAALSVRVLDAND HSPAFPQGAVAEVELAEDAPVGSLLLDLDAADPDEGPNGDVVFTFGARTPPEARHLFRLD PRSGRLTLAGQVDYERQDTYELDVRAQDRGPGPRTATCKVIVRIRDVNDNAPEISITPLA APGAPATSPFAAAAAAAALGGADAASSTGSGTQEAGITSLVPEGAARESLVALVSTSDRD SGANGQVRCALYGHEHFRLQPAYAGSYLVVTAASLDRERIAEYNLTLVAEDRGTPPLRTV RPYTVRVGDENDNAPIFTKPVYEVSVRENNPPGAYLATVAARDPDVGRNGQVTYRLVEAE VGRSGEAVSTYVSVDPATGAIYALRSFDYETLRQLDVRVQASDGGSPQLSSNALVQVRVL DQNDHSPILVHPAPANGSLEVAVPGRSTKDTAVARIQARDADEGANGELAFDLLQQEPRE AFSIGRHTGEIMLTGDLSQEPPGRVFKALLVISDGGRPPLTTTATVSFVVTAGGGSAVPA SSGSPEHSRPPGSRLAPSGPSLQWDTPLIVIIVLAGSCTLLLAAIIAIATTCNRRKKEVR KGGALREERPGAAGGGASAPGSPDETARGTGPRPNMFDVLTFPGSGKAPFGSPAADAPPP AVAAAEVPGSEGGSATGESACHFEGQQRLRGAHAEPYGASPGFGKEPAAPPVAVWKGHSF NTISGREAEKFSGKDSGKGDSDFNDSDSDISGDALKKDLINHMQSGLWACTAECKILGHS DRCWSPSCAGPNVHPPPHPPAQMSTFCKSTSLPRDPLRRDNYYQAQLPKTVGLQSVYEKV LHRDYDRTVTLLSPPRPGRLPDLQEIGVPLYESPPGSRYVSPKKGINENV >ENSMUSP00000141417.1 pep:known chromosome:GRCm38:14:79766780:79771312:-1 gene:ENSMUSG00000036422.10 transcript:ENSMUST00000195355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh8 description:protocadherin 8 [Source:MGI Symbol;Acc:MGI:1306800] MSPAKRWGSPCLFPLQLFSLCWVLSVAQSKTVRYSTFEEDAPGTVIGTLAEDLHMKVSGD TSFRLMKQFNSSLLRVREGDGQLTVGDAGLDRERLCGPSPQCVLAFDVVSFSQEQFRLVH VEVEVRDVNDHAPRFPRAQIPVEVSESAPVGTRIPLEVPVDEDVGANGLQSVRLAEPHSP FRVELQTRADGAQCADLVLLQELDRESQASYSLELVAQDGGRPPRSATAALSVRVLDAND HSPAFPQGAVAEVELAEDAPVGSLLLDLDAADPDEGPNGDVVFTFGARTPPEARHLFRLD PRSGRLTLAGQVDYERQDTYELDVRAQDRGPGPRTATCKVIVRIRDVNDNAPEISITPLA APGAPATSPFAAAAAAAALGGADAASSTGSGTQEAGITSLVPEGAARESLVALVSTSDRD SGANGQVRCALYGHEHFRLQPAYAGSYLVVTAASLDRERIAEYNLTLVAEDRGTPPLRTV RPYTVRVGDENDNAPIFTKPVYEVSVRENNPPGAYLATVAARDPDVGRNGQVTYRLVEAE VGRSGEAVSTYVSVDPATGAIYALRSFDYETLRQLDVRVQASDGGSPQLSSNALVQVRVL DQNDHSPILVHPAPANGSLEVAVPGRSTKDTAVARIQARDADEGANGELAFDLLQQEPRE AFSIGRHTGEIMLTGDLSQEPPGRVFKALLVISDGGRPPLTTTATVSFVVTAGGGSAVPA SSGSPEHSRPPGSRLAPSGPSLQWDTPLIVIIVLAGSCTLLLAAIIAIATTCNRRKKEPY GASPGFGKEPAAPPVAVWKGHSFNTISGREAEKFSGKDSGKGDSDFNDSDSDISGDALKK DLINHMQSGLWACTAECKILGHSDRCWSPSCAGPNVHPPPHPPAQMSTFCKSTSLPRDPL RRDNYYQAQLPKTVGLQSVYEKVLHRDYDRTVTLLSPPRPGRLPDLQEIGVPLYESPPGS RYVSPKKGINENV >ENSMUSP00000054177.6 pep:known chromosome:GRCm38:X:73064787:73068191:1 gene:ENSMUSG00000046287.7 transcript:ENSMUST00000060418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnma3 description:paraneoplastic antigen MA3 [Source:MGI Symbol;Acc:MGI:2180565] MPLNLLQDWCRGEHLNTQRSMLILGIPEDCSEDEFEETLHEALKHLGRYRIIGRMFRREE NAQAFLVELARDFDYALVPREIEGKGGPWEVVVKPPHSDDEFLNRLNHFLEEERRTVSDM NRVLGTHSNHSPTKTTISADFWVWAQTLGAVMQPLLEQMLYRELRVFSGNTISIPGLLAF DSWLEHTTEMLQMWQVPEVEKRRRLMECLRGPALQVVNVLRANNAAITVKECLEALRQVF GSVDNRKIAQLKFCKAYQEPGEKVSSFVVRLETLLQKALEKNAISRKNVNQTRLKRILGG AILSAKLREKLKMLKQRRRPPGFLALVKLFREEEEEWEATRGSERSCYEGLELGPSPSNI GSEERELFVPAFGSVLEERPYQGSRRRRHRRRGQHRKGGVPRDDSQGTRKQNYDTFCYSC GEDGHIRVHCFNPSNRTLVKQKRQAAMEKGNRSWAWEKSHPKPKTK >ENSMUSP00000108462.1 pep:known chromosome:GRCm38:X:144688907:145122411:1 gene:ENSMUSG00000071679.4 transcript:ENSMUST00000112843.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc16 description:zinc finger, CCHC domain containing 16 [Source:MGI Symbol;Acc:MGI:3588192] MEKCTESLPNLNAETSFLRGGNLILQPQVQHPTDDKPPITGQVVPALNTPVMSGPYSGDH IPQFHGNPASVKGFFAQVTTYLRALDISNPADNARVKHFFDYLSQQMQNCDVLSESTQNN LLKQYENFVLELQQSFGEPMTQETTPPMNVTVDKSNISPQDATNFQLHAPNLSYRETNQR DQFQNGQADPTQNEEITDIMDNLPDLITQCIQLDKKHKDRPELLQSESHVPMFASTNHYQ SFIGPVRPLPKDGPRQLQGAHLPVTPAKRARQQETQLCVYCNQAGHFTRDCLAKRSRTPA RKKM >ENSMUSP00000108463.2 pep:known chromosome:GRCm38:X:145119501:145121258:1 gene:ENSMUSG00000071679.4 transcript:ENSMUST00000096301.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc16 description:zinc finger, CCHC domain containing 16 [Source:MGI Symbol;Acc:MGI:3588192] MEKCTESLPNLNAETSFLRGGNLILQPQVQHPTDDKPPITGQVVPALNTPVMSGPYSGDH IPQFHGNPASVKGFFAQVTTYLRALDISNPADNARVKHFFDYLSQQMQNCDVLSESTQNN LLKQYENFVLELQQSFGEPMTQETTPPMNVTVDKSNISPQDATNFQLHAPNLSYRETNQR DQFQNGQADPTQNEEITDIMDNLPDLITQCIQLDKKHKDRPELLQSESHVPMFASTNHYQ SFIGPVRPLPKDGPRQLQGAHLPVTPAKRARQQETQLCVYCNQAGHFTRDCLAKRSRTPA RKKM >ENSMUSP00000025840.9 pep:known chromosome:GRCm38:19:3388857:3407823:1 gene:ENSMUSG00000024905.15 transcript:ENSMUST00000025840.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtl5 description:metallothionein-like 5, testis-specific (tesmin) [Source:MGI Symbol;Acc:MGI:1340029] MEDALLGAMTGPEDELGAELFGSERVFADGLALSPAGGAADRDELPVLADAYLGATEPGE PLLRALSPPPGAEVPAALLGDFPGLPELRSPDDAAPPPAYSVHVLSSLLPGARGPALLPL SAGVRVIPVEIKEAGGSVPGGSPEDAAFQAPLAQESCCKFPSSQEAEEASSCPRKKDSSP MVICQLKGGAQMLCIDNCGARELKALHLLPQYDDQSSFPQSELPKPMTTLVGRLLPVPAK LNLITQVDNGALPSAVNGAAFPSGPALQGPPKITLSGYCDCFSSGDFCNSCSCNNLRHEL ERFKAIKACLDRNPEAFQPKMGKGRLGAAKLRHSKGCNCKRSGCLKNYCECYEAKIMCSS ICKCIACKNYEESPERKMLMSTPHYMEPGDFESSHYLSPAKFSGPPKLRKNRQAFSCISW EVVEATCACLLAQGEEAEQEHCSPSLAEQMILEEFGRCLSQILHIEFKSKGLKIE >ENSMUSP00000114171.1 pep:known chromosome:GRCm38:19:3389379:3407785:1 gene:ENSMUSG00000024905.15 transcript:ENSMUST00000142193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtl5 description:metallothionein-like 5, testis-specific (tesmin) [Source:MGI Symbol;Acc:MGI:1340029] MVICQLKGGAQMLCIDNCGARELKALHLLPQYDDQSSFPQSELPKPMTTLVGRLLPVPAK LNLITQVDNGALPSAVNGAAFPSGPALQGPPKITLSGYCDCFSSGDFCNSCSCNNLRHEL ERFKAIKACLDRNPEAFQPKMGKGRLGAAKLRHSKGCNCKRSGCLKNYCECYEAKIMCSS ICKCIACKNYEESPERKMLMSTPHYMEPGDFESSHYLSPAKFSGPPKLRKNRQAFSCISW EVVEATCACLLAQGEEAEQEHCSPSLAEQMILEEFGRCLSQILHIEFKSKGLKIE >ENSMUSP00000122687.1 pep:known chromosome:GRCm38:19:3389379:3407289:1 gene:ENSMUSG00000024905.15 transcript:ENSMUST00000127142.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtl5 description:metallothionein-like 5, testis-specific (tesmin) [Source:MGI Symbol;Acc:MGI:1340029] MVICQLKGGAQMLCIDNCGARELKALHLLPQYDDQSSFPQSELPKPMTTLVGRLLPVPAK LNLITQVDNGALPSAVNGAAFPSGPALQGPPKITLSGYCDCFSSGDFCNSCSCNNLRHEL ERFKAIKACLDRNPEAFQPKMGKGRLGAAKLRHSKGCNCKRSGCLKNYCECYEAKIMCSS ICKCIACKNYEESPERKMLMSTPHYMEPGDFESSHYLSPAKFSGPPKLRKNRQAFSCISW EVVEATCACLLAQGEEAEQEHCSPSLAEQMILEEFGRCLSQILHIEFKSKGLKIE >ENSMUSP00000114142.1 pep:known chromosome:GRCm38:19:3388885:3398172:1 gene:ENSMUSG00000024905.15 transcript:ENSMUST00000151341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtl5 description:metallothionein-like 5, testis-specific (tesmin) [Source:MGI Symbol;Acc:MGI:1340029] MEDALLGAMTGPEDELGAELFGSERVFADGLALSPAGGAADRDELPVLADAYLGATEPGE PLLRALSPPPGAEVPAALLGDFPGLPELRSPDDAAPPPAYSVHVLSSLLPGARGPALLPL SAGVRVIPVEIKEAGGSVPGGSPEDAAFQAPLAQESCCKFPSSQEAEEASSCPRKKDSSP MVICQLKGGAQMLCIDNCGARELKALHLLPQYDDQSSFPQSELPKPMTTLVGRLLPVPAK LNLITQVDNGALPSAVNGAAFPSGPALQGPPKITLSG >ENSMUSP00000118363.2 pep:known chromosome:GRCm38:2:33133417:33371429:-1 gene:ENSMUSG00000038831.16 transcript:ENSMUST00000131298.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps1 description:Ral GEF with PH domain and SH3 binding motif 1 [Source:MGI Symbol;Acc:MGI:1922008] MYKRNGLMASVLVTSATPQGSSSSDSLEGQSCDYASKSYDAVVFDVLKVTPEEFASQITL MDIPVFKAIQPEELASCGWSKKEKHSLAPNVVAFTRRFNQVSFWVVREILTAQTLKIRAE ILSHFVKIAKKLLELNNLHSLMSVVSALQSAPIFRLTKTWALLNRKDKTTFEKLDYLMSK EDNYKRTRDYIRSLKMVPSIPYLGIYLLDLIYIDSAYPASGSIMENEQRSNQMNNILRII ADLQVSCSYDHLTTLPHVQKYLKSVRYIEELQKFVEDDNYKLSLRIEPGSSSPRLVSSKE DLAGPSAGSSSARFRRRPTCPDTSVAGSLPTPPVPRHRKSHSLGNNRGRLYATLGPNWRV PVRNSPRTRSCVYSPTSPCTCTVGSSATVPTMEGPLRRKTLLKEGRKPALSSWTRYWVVL SGATLLYYGAKSLRGTDRKHYKSTPGKKVSIVGWMVQLPDDPEHPDIFQLNNPDKGNVYK FQTGSRFHAILWHKHLDDACKSSRPQVPANLMSFE >ENSMUSP00000048451.6 pep:known chromosome:GRCm38:2:33133421:33371481:-1 gene:ENSMUSG00000038831.16 transcript:ENSMUST00000042615.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps1 description:Ral GEF with PH domain and SH3 binding motif 1 [Source:MGI Symbol;Acc:MGI:1922008] MYKRNGLMASVLVTSATPQGSSSSDSLEGQSCDYASKSYDAVVFDVLKVTPEEFAELASC GWSKKEKHSLAPNVVAFTRRFNQVSFWVVREILTAQTLKIRAEILSHFVKIAKKLLELNN LHSLMSVVSALQSAPIFRLTKTWALLNRKDKTTFEKLDYLMSKEDNYKRTRDYIRSLKMV PSIPYLGIYLLDLIYIDSAYPASGSIMENEQRSNQMNNILRIIADLQVSCSYDHLTTLPH VQKYLKSVRYIEELQKFVEDDNYKLSLRIEPGSSSPRLVSSKEDLAGPSAGSSSARFRRR PTCPDTSVAGSLPTPPVPRHRKSHSLGNKGRLYATLGPNWRVPVRNSPRTRSCVYSPTSP CTCTVGSSATVPTMEGPLRRKTLLKEGRKPALSSWTRYWVVLSGATLLYYGAKSLRGTDR KHYKSTPGKKVSIVGWMVQLPDDPEHPDIFQLNNPDKGNVYKFQTGSRFHAILWHKHLDD ACKSSRPQVPANLMSFE >ENSMUSP00000108790.2 pep:known chromosome:GRCm38:2:33133421:33371486:-1 gene:ENSMUSG00000038831.16 transcript:ENSMUST00000113165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps1 description:Ral GEF with PH domain and SH3 binding motif 1 [Source:MGI Symbol;Acc:MGI:1922008] MYKRNGLMASVLVTSATPQGSSSSDSLEGQSCDYASKSYDAVVFDVLKVTPEEFASQITL MDIPVFKAIQPEELASCGWSKKEKHSLAPNVVAFTRRFNQVSFWVVREILTAQTLKIRAE ILSHFVKIAKKLLELNNLHSLMSVVSALQSAPIFRLTKTWALLNRKDKTTFEKLDYLMSK EDNYKRTRDYIRSLKMVPSIPYLGIYLLDLIYIDSAYPASGSIMENEQRSNQMNNILRII ADLQVSCSYDHLTTLPHVQKYLKSVRYIEELQKFVEDDNYKLSLRIEPGSSSPRLVSSKE DLAGPSAGSSSARFRRRPTCPDTSVAGSLPTPPVPRHRKSHSLGNNLMCQLSVVESKSAT FPSEKARHLLDDSVLESRSPRRGLTHTSSTAITNGLSLGSSESSEFSEEMSAGLESPTSP CTCTVGSSATVPTMEGPLRRKTLLKEGRKPALSSWTRYWVVLSGATLLYYGAKSLRGTDR KHYKSTPGKKVSIVGWMVQLPDDPEHPDIFQLNNPDKGNVYKFQTGSRFHAILWHKHLDD ACKSSRPQVPANLMSFE >ENSMUSP00000088563.2 pep:known chromosome:GRCm38:2:33136973:33371478:-1 gene:ENSMUSG00000038831.16 transcript:ENSMUST00000091039.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgps1 description:Ral GEF with PH domain and SH3 binding motif 1 [Source:MGI Symbol;Acc:MGI:1922008] MYKRNGLMASVLVTSATPQGSSSSDSLEGQSCDYASKSYDAVVFDVLKVTPEEFASQITL MDIPVFKAIQPEELASCGWSKKEKHSLAPNVVAFTRRFNQVSFWVVREILTAQTLKIRAE ILSHFVKIAKKLLELNNLHSLMSVVSALQSAPIFRLTKTWALLNRKDKTTFEKLDYLMSK EDNYKRTRDYIRSLKMVPSIPYLGIYLLDLIYIDSAYPASGSIMENEQRSNQMNNILRII ADLQVSCSYDHLTTLPHVQKYLKSVRYIEELQKFVEDDNYKLSLRIEPGSSSPRLVSSKE DLAGPSAGSSSARFRRRPTCPDTSVAGSLPTPPVPRHRKSHSLGNNLMCQLSVVESKSAT FPSEKARHLLDDSVLESRSPRRGLTHTSSTAITNGLSLGSSESSEFSEEMSAGLESRGRL YATLGPNWRVPVRNSPRTRSCVYSPTSPCTCTVGSSATVPTMEGPLRRKTLLKEGRKPAL SSWTRYWVVLSGATLLYYGAKSLRGTDRKHYKSTPGKKVSIVGWMVQLPDDPEHPDIFQL NNPDKGNVYKFQTGSRFHAILWHKHLDDACKSSRPQVPANLMSFE >ENSMUSP00000090593.5 pep:known chromosome:GRCm38:11:74649515:74670847:1 gene:ENSMUSG00000020741.17 transcript:ENSMUST00000092915.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cluh description:clustered mitochondria (cluA/CLU1) homolog [Source:MGI Symbol;Acc:MGI:1921398] MVIKTDELPAAAPADSAREHGSQAGGKGRPNAADLPSVMLLNGDCSENLKKEEGTSEPPR ENGLDEGEPGDETTGQEVIVIQDTGFSVKILAPGIEPFSLQVSPQEMVQEIHQVLMDRED TCHRTCFSLHLDGNMLDHFSELRSVEGLQEGSVLRVVEEPYTVREARIHVRHVRDLLKSL DPSDAFNGVDCNSLSFLSVFTDGDLGDSGKRKKGLEMDPIDCTPPEYILPGSRERPLCPL QPQNRDWKPLQCLKVLTMSGWNPPPGNRKMHGDLMYLFVITAEDRQVSITASTRGFYLNQ STAYHFNPKPASPRFLSHSLVELLNQISPTFKKNFAVLQKKRVQRHPFERIATPFQVYSW TAPQAEHAMDCVRAEDAYTSRLGYEEHIPGQTRDWNEELQTTRELPRKNLPERLLRERAI FKVHSDFTAAATRGAMAVIDGNVMAINPSEETKMQMFIWNNIFFSLGFDVRDHYKDFGGD VAAYVAPTNDLNGVRTYNAVDVEGLYTLGTVVVDYRGYRVTAQSIIPGILERDQEQSVIY GSIDFGKTVVSHPRYLELLERTSRPLKILRHRVLNDRDEEVELCSSVECKGIIGNDGRHY ILDLLRTFPPDLNFLPVPGEELPEECSRAGFPRTHRHKLCCLRQELVDAFVEHRYLLFMK LAALQLMQQKASKVETTTSLENGGLPSSAETKSEDSIGPEAGCEEEGSSVSGLAKVKELA ETIASDDGTVDPRSREVIRNACKAVGSISSTAFDIRFNPDIFSPGVRFPESCQDEVRDQK QLLKDAAAFLLSCQIPGLVKDCTEYAVLPMDGATLAEVMRQRGINMRYLGKVLDLVLRSP ARDQLDHIYKIGIGELITRSAKHIFKTYLQGVELSGLSAAISHFLNCFLSSYPNPVAHLP ADELLSKKRNKRRKNRPPGAADNTAWAVMTPQELWKNICHEAKNYFDFTLECDSVDQAVE TYGLQKITLLREISLKTGIQILLKEYSFDSRHKPAFTEEDVLNIFPVVKHVNPKASDAFH FFQSGQAKVQQGFLKEGCELINEALNLFNNVYGAMHVEICACLRLLARLHYIMGDYAEAL SNQQKAVLMSERVMGIEHPNTIQEYMHLALYCFASSQLSTALSLLYRARYLMLLVFGEDH PEMALLDNNIGLVLHGVMEYDLSLRFLENALAVTTKYHGPKALKVALSHHLVARVYESKA EFRSALQHEKEGYTIYKTQLGEDHEKTKESSEYLKCLTQQAVALQRTMNEIYRNGSSANI PPLKFTAPSMTSVLEQLNVINGILFIPLSQKDLENLKAEVARRHQLQEANRNRDKAEEQP MAPEPEPEPERAVEDMGSPQTAKEGPSSLNLQG >ENSMUSP00000113371.1 pep:known chromosome:GRCm38:11:74649515:74669905:1 gene:ENSMUSG00000020741.17 transcript:ENSMUST00000117818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cluh description:clustered mitochondria (cluA/CLU1) homolog [Source:MGI Symbol;Acc:MGI:1921398] MVIKTDELPAAAPADSAREHGSQAGGKGRPNAADLPSVMLLNGDCSENLKKEEGTSEPPR ENGLDEGEPGDETTGQEVIVIQDTGFSVKILAPGIEPFSLQVSPQEMVQEIHQVLMDRED TCHRTCFSLHLDGNMLDHFSELRSVEGLQEGSVLRVVEEPYTVREARIHVRHVRDLLKSL DPSDAFNGVDCNSLSFLSVFTDGDLGDSGKRKKGLEMDPIDCTPPEYILPGSRERPLCPL QPQNRDWKPLQCLKVLTMSGWNPPPGNRKMHGDLMYLFVITAEDRQVSITASTRGFYLNQ STAYHFNPKPASPRFLSHSLVELLNQISPTFKKNFAVLQKKRVQRHPFERIATPFQVYSW TAPQAEHAMDCVRAEDAYTSRLGYEEHIPGQTRDWNEELQTTRELPRKNLPERLLRERAI FKVHSDFTAAATRGAMAVIDGNVMAINPSEETKMQMFIWNNIFFSLGFDVRDHYKDFGGD VAAYVAPTNDLNGVRTYNAVDVEGLYTLGTVVVDYRGYRVTAQSIIPGILERDQEQSVIY GSIDFGKTVVSHPRYLELLERTSRPLKILRHRVLNDRDEEVELCSSVECKGIIGNDGRHY ILDLLRTFPPDLNFLPVPGEELPEECSRAGFPRTHRHKLCCLRQELVDAFVEHRYLLFMK LAALQLMQQKASKVETTTSLENGGLPSSAETKSEDSIGPEAGCEEEGSSVSGLAKVKELA ETIASDDGTVDPRSREVIRNACKAVGSISSTAFDIRFNPDIFSPGVRFPESCQDEVRDQK QLLKDAAAFLLSCQIPGLKIGIGELITRSAKHIFKTYLQGVELSGLSAAISHFLNCFLSS YPNPVAHLPADELLSKKRNKRRKNRPPGAADNTAWAVMTPQELWKNICHEAKNYFDFTLE CDSVDQAVETYGLQKITLLREISLKTGIQILLKEYSFDSRHKPAFTEEDVLNIFPVVKHV NPKASDAFHFFQSGQAKVQQGFLKEGCELINEALNLFNNVYGAMHVEICACLRLLARLHY IMGDYAEALSNQQKAVLMSERVMGIEHPNTIQEYMHLALYCFASSQLSTALSLLYRARYL MLLVFGEDHPEMALLDNNIGLVLHGVMEYDLSLRFLENALAVTTKYHGPKALKVALSHHL VARVYESKAEFRSALQHEKEGYTIYKTQLGEDHEKTKESSEYLKCLTQQAVALQRTMNEI YRNGSSANIPPLKFTAPSMTSVLEQLNVINGILFIPLSQKDLENLKAEVARRHQLQEANR NRDKAEEQPMAPEPEPEPERAVEDMGSPQTAKEGPSSLNLQG >ENSMUSP00000104751.1 pep:known chromosome:GRCm38:11:50940711:50943765:-1 gene:ENSMUSG00000072983.4 transcript:ENSMUST00000109123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933414I15Rik description:RIKEN cDNA 4933414I15 gene [Source:MGI Symbol;Acc:MGI:1918374] MVLTPQQGSDATAVRSHPVAITCSALNHVPDFFRLLTLPSHPVFQRPESKSATVSLPSVH WMLASETRLMAPRLSALSPSLWTRTDLESSVRALPWVPEDRDRAPPPRSHVCKTHNLSPE SPVKVYATRFPLS >ENSMUSP00000100041.3 pep:known chromosome:GRCm38:2:9857078:9878600:-1 gene:ENSMUSG00000015619.10 transcript:ENSMUST00000102976.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata3 description:GATA binding protein 3 [Source:MGI Symbol;Acc:MGI:95663] MEVTADQPRWVSHHHPAVLNGQHPDTHHPGLGHSYMEAQYPLTEEVDVLFNIDGQGNHVP SYYGNSVRATVQRYPPTHHGSQVCRPPLLHGSLPWLDGGKALSSHHTASPWNLSPFSKTS IHHGSPGPLSVYPPASSSSLAAGHSSPHLFTFPPTPPKDVSPDPSLSTPGSAGSARQDEK ECLKYQVQLPDSMKLETSHSRGSMTTLGGASSSAHHPITTYPPYVPEYSSGLFPPSSLLG GSPTGFGCKSRPKARSSTEGRECVNCGATSTPLWRRDGTGHYLCNACGLYHKMNGQNRPL IKPKRRLSAARRAGTSCANCQTTTTTLWRRNANGDPVCNACGLYYKLHNINRPLTMKKEG IQTRNRKMSSKSKKCKKVHDALEDFPKSSSFNPAALSRHMSSLSHISPFSHSSHMLTTPT PMHPPSGLSFGPHHPSSMVTAMG >ENSMUSP00000119730.1 pep:known chromosome:GRCm38:2:9874702:9890034:-1 gene:ENSMUSG00000015619.10 transcript:ENSMUST00000130615.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gata3 description:GATA binding protein 3 [Source:MGI Symbol;Acc:MGI:95663] MEAQYPLTEEVDVLFNIDGQGNHVPSYYGNSVRATVQRYPPTHHGSQVCRPPLLHGSLPW LDGGKALSSHHTASPWNLSPFSKTSIHHGSPGPLSVYPPASSSSLAAGHSSPHLFTFPP >ENSMUSP00000095746.1 pep:known chromosome:GRCm38:7:106844589:106845572:1 gene:ENSMUSG00000073901.2 transcript:ENSMUST00000098142.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr703 description:olfactory receptor 703 [Source:MGI Symbol;Acc:MGI:3030537] MEFRNSTMGNGFILVGILDDSGAPDLLCATITALYMLALTSNGVLLLVITMDARLRVPMY LLLGQLSLMDLLLTSVITPKAVIDFLLKDNTISFGGCALQMFLELVLGSAEDLLLAFMAY DRYVAICHPLNYMIFMRPSVCWFIVGTIWILASVIALGFTIYTMNYPFCKSRQIRHLFCE IPPLLKLACEDTSTYELMVYLAGVSVLILPLAVILASYVRILFTVLHMPSNEGRKKALVT CSSHLIVVGMWYGGSSLMYVLPSQFHSPKQDNILSIFYTIVTPALNPLIYSLRNKEVTGA LRRIFGKWLGPAHFLGSSF >ENSMUSP00000078426.8 pep:known chromosome:GRCm38:17:25844771:25861475:-1 gene:ENSMUSG00000073434.11 transcript:ENSMUST00000079461.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr90 description:WD repeat domain 90 [Source:MGI Symbol;Acc:MGI:1921267] MAGGSGARETRCRQGSRPGSPGSEVPAASVTGPRAEARPAGGGGGSRRAAPDRCSGGVPS AAWQHPFLNVFRHFRVDEWKRSSKEGDVAVVTDKVLKSAVYRIRGSVSASNYIQLPRTST QSLGLTGRYLYVLFRPVPTKHFVIHLDVSTEDGQVIRVSFSNLFKEFKSSTTWLQFPFVF ETKTPRRGGDIWSKRDGLNEGLKRDDLAGVALPRARWTCLQLDLRDILMFYLGRHYSHLK SIRLCASLLVRNLYTSDLCFDPAVTVTEARRAKLSVNPMPREMAFPVPKGESWHDNYIHV RFPSDCSKVPDEQDEKSCSPPEAVFLGRMSRHLPHPGVLGKPLLSSKSPVAQACSSALPC QVLSASSRLPEVSRTYRYREVSSVSASSIQSQRPSVRDEVPDAHTVSGERHVLADRSSGV PMALEDIGSCRLFLPDPVLRLKGVIGFGGHSTQWALWTKDGVAVVYPCHAVIIVLQIDTG QQRFFLGHTDKVSALALNGSDTLLASAQVQPPSMVRLWDFQTGSCLSLFRSPLHTICSLS FSGSGALLCGVGKDRHGRTVVVAWSTEQAGLGGEVVVLAKVHTDFDIRAFQVAFFDETRM ASCGRGSVRLWRLRGGVLRSCAVDLGEYCSLELTDLAFAQALDGHCAPSAGTLFVCSHSG HILEIDHQRMSVQHVRRLLPARSPGAPLAEKQNFSVGSGIAISSLSVSTATCAVGSEDGY LRLWPLDFSSVLLEAEHDGPVSSVSFSPDGLRVLSTTTSGHLGFLDVPSREYTVLARSHM APVLALSTEPNRGQMATVSLDHTVRIWDLATLQQLYDFSSSEDTPCAVAFHPTMPNFFCG FSSGAVRSFSLESSGVLVEHTRHRGAITSLVITLDGRFLFSSCSQGSLVQYSCADSQCCV LRVAANMVCQDGRPNPNILAVSGDSCRLAFVGPSKCMVTIVESASLDELLRVDVSTLNLA SNHLDWAVAICFSPGNSGHLLVSTSSNKVVVLDAVSGHTLRELSSVRSRACCSLALSEDA HLLLAATDRTITVWDYPTQANPSCQVYIGHSEPVHAVAFTPDQLQVISVGDAIFLWDILA TPERDGGDHEAPPGHEAGSSSGQLDDLASGASGLPRQQVPIPFQALPPPLSSHDRLLDGS TGTFSTSDEEGLCEENHVSEALLQGQAPTPHVLVDREASGAGDAPRETAGSSWTPAERPS PHSHMSEWSLRSGKAGLPTRPDSYKHFTPRYKTSPRVKSVYFPPIGRERLRLKAIVGYNG NGRANMVWRPDTGFFAYTCGRLVVVEDLHSGTQRHWLGHSQEISTLALNQDGQILASASC CGNTAARCQIRIWDVPKGLCRHLLSHHDTAVQALAFSPDDEFLVTLGDYADRNLALWSMA TYELLSSTRLLEPVHGVAFNPWDANELICVGTNAITFWLLQHHGADTCFQVHREPIPEEL GASELTSLCYGASPLLYCGSSSGQVCVWDTGTGHCFLAWEADDGEIGVLLCSGSRLISGS NTKRLRLWAVGVVPELRRKGSSARSSSVFMERELTLDGAVVSASFDSGMDMGVVGTTAGT IWYISWTEGTSTRLISGHRTKVNEVVFSPGESHCATCGEDGSVRVWSLASMELVIQFQVL NQSCLCLAWTPPSCELPEQQQVVAGYSDGTLRVFSISRTAMELKMHPHRTALTAIAFSTD GQTILSGDKDGLVAISHPCTGMTFRVLSDHRGAPISAIQSTSKEYGDLGVEGVELWLAAS GDQRVSIWVSDWLRDRCELLEWLSFPAPAVSEAPGLLPPSLAAFCPWDKAILVCVGLGAH EEVIFYSLRQKQVIQKTPLPFFAMSLSLSPGSQLMVVGFAECMMRLLDCASGTAQDLEGH DDSVHLCRFTPSGRLLFTAAHNEILVWEVTDP >ENSMUSP00000135420.1 pep:known chromosome:GRCm38:17:25845068:25861501:-1 gene:ENSMUSG00000073434.11 transcript:ENSMUST00000176923.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr90 description:WD repeat domain 90 [Source:MGI Symbol;Acc:MGI:1921267] MAGGSGARETRCRQGSRPGSPGSEVPAASVTGPRAEARPAGGGGGSRRAAPDRCSGGVPS AAWQHPFLNVFRHFRVDEWKRSSKEGDVAVVTDKVLKSAVYRIRGSVSASNYIQLPRTST QSLGLTGRYLYVLFRPVPTKHFVIHLDVSTEDGQVIRVSFSNLFKEFKSSTTWLQFPFVF ETKTPRRDLAGVALPRARWTCLQLDLRDILMFYLGRHYSHLKSIRLCASLLVRNLYTSDL CFDPAVTVTEARRAKLSVNPMPREMAFPVPKGESWHDNYIHVRFPSDCSKVPDEQDEKSC SPPEAVFLGRMSRHLPHPGVLGKPLLSSKSPVAQACSSALPCQVLSASSRLPEVSRTYRY REVSSVSASSIQSQRPSVRDEVPDAHTVSGERHVLADRSSGVPMALEDIGSCRLFLPDPV LRLKGVIGFGGHSTQWALWTKDGVAVVYPCHAVIIVLQIDTGQQRFFLGHTDKVSALALN GSDTLLASAQVQPPSMVRLWDFQTGSCLSLFRSPLHTICSLSFSGSGALLCGVGKDRHGR TVVVAWSTEQAGLGGEVVVLAKVHTDFDIRAFQVAFFDETRMASCGRGSVRLWRLRGGVL RSCAVDLGEYCSLELTDLAFAQALDGHCAPSAGTLFVCSHSGHILEIDHQRMSVQHVRRL LPARSPGAPLAEKQNFSVGSGIAISSLSVSTATCAVGSEDGYLRLWPLDFSSVLLEAEHD GPVSSVSFSPDGLRVLSTTTSGHLGFLDVPSREYTVLARSHMAPVLALSTEPNRGQMATV SLDHTVRIWDLATLQQLYDFSSSEDTPCAVAFHPTMPNFFCGFSSGAVRSFSLESSGVLV EHTRHRGAITSLVITLDGRFLFSSCSQGSLVQYSCADSQCCVLRVAANMVCQDGRPNPNI LAVSGDSCRLAFVGPSKCMVTIVESASLDELLRVDVSTLNLASNHLDWAVAICFSPGNSG HLLVSTSSNKVVVLDAVSGHTLRELSSVRSRACCSLALSEDAHLLLAATDRTITVWDYPT QANPSCQVYIGHSEPVHAVAFTPDQLQVISVGDAIFLWDILATPERDGGDHEAPPGHEAG SSSGQLDDLASGASGLPRQQVPIPFQALPPPLSSHDRLLDGSTGTFSTSDEEGLCEENHV SEALLQGQAPTPHVLVDREASGAGDAPRETAGSSWTPAERPSPHSHMSEWSLRSGKAGLP TRPDSYKHFTPRYKTSPRVKSVYFPPIGRERLRLKAIVGYNGNGRANMVWRPDTGFFAYT CGRLVVVEDLHSGTQRHWLGHSQEISTLALNQDGQILASASCCGNTAARCQIRIWDVPKG LCRHLLSHHDTAVQALAFSPDDEFLVTLGDYADRNLALWSMATYELLSSTRLLEPVHGVA FNPWDANELICVGTNAITFWLLQHHGADTCFQVHREPIPEELGASELTSLCYGASPLLYC GSSSGQVCVWDTGTGHCFLAWEADDGEIGVLLCSGSRLISGSNTKRLRLWAVGVVPELRR KGSSARSSSVFMERELTLDGAVVSASFDSGMDMGVVGTTAGTIWYISWTEGTSTRLISGH RTKVNEVVFSPGESHCATCGEDGSVRVWSLASMELVIQFQVLNQSCLCLAWTPPSCELPE QQQVVAGYSDGTLRVFSISRTAMELKMHPHRTALTAIAFSTDGQTILSGDKDGLVAISHP CTGMTFRVLSDHRGAPISAIQSTSKEYGDLGVEGVELWLAASGDQRVSIWVSDWLRDRCE LLEWLSFPAPAVSEAPGLLPPSLAAFCPWDKAILVCVGLGAHEEVIFYSLRQKQVIQKTP LPFFAMSLSLSPGSQLMVVGFAECMMRLLDCASGTAQDLEGHDDSVHLCRFTPSGRLLFT AAHNEILVWEVTDP >ENSMUSP00000135506.1 pep:known chromosome:GRCm38:17:25855779:25859226:-1 gene:ENSMUSG00000073434.11 transcript:ENSMUST00000176678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr90 description:WD repeat domain 90 [Source:MGI Symbol;Acc:MGI:1921267] XQSQRPSVRDEVPDAHTVSGERHVLADRSSGVPMALEDIGSCRALWTKDGVAVVYPCHAV IIVLQIDTGQQRFFLGHTDKVSALALNGSDTLLASAQVQPPSMVRLWDFQTGSCLSLFRS PLHTICSLSFSGSGALLCGVGKDRHGRTVVVAWSTEQAGLGGEVVVLAKVHTDFDIRAFQ V >ENSMUSP00000109568.1 pep:known chromosome:GRCm38:6:83121765:83125431:-1 gene:ENSMUSG00000030034.11 transcript:ENSMUST00000113935.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80b description:INO80 complex subunit B [Source:MGI Symbol;Acc:MGI:1917270] MSACVPTVSSPLPLQDPMSKLWRRGSTSGAMEAPEPGETLELSLAGAHGHGVHKKKHKKH KKKHKKKHHQEEEAGPTLQTPAKPQLKLKIKLGGQVLGTKSVPTFTVIPEGPRSPSPLMV VDNEEEPMEGVPLEQYRAWLDEDSNLSPSPLRDLPGDLEGQEEEEEQRWLDALEKGELDD NGDLKKEINERLLTARQRALLQKARSQPSPTLPLPVGGGCPAPALTEEMLLKREERARKR RLQAARRAEEHKNQTIERLTKTAAPSGRGGRGAARGERRGGRAAAPAPAPMVRYCSGAQG STLSFPPGVPTPTAVAQRPAPSGPAPRCSVPGCPHPRRYACSRTGQALCSLQCYRINLQL RLGGPEGPGSPLLAT >ENSMUSP00000117556.1 pep:known chromosome:GRCm38:6:83121765:83125431:-1 gene:ENSMUSG00000030034.11 transcript:ENSMUST00000143814.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ino80b description:INO80 complex subunit B [Source:MGI Symbol;Acc:MGI:1917270] MSACVPTVSSPLPLQDPMSKLWRRGSTSGAMEAPEPVSPLSL >ENSMUSP00000032109.4 pep:known chromosome:GRCm38:6:83121828:83125029:-1 gene:ENSMUSG00000030034.11 transcript:ENSMUST00000032109.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80b description:INO80 complex subunit B [Source:MGI Symbol;Acc:MGI:1917270] MEAPEPGETLELSLAGAHGHGVHKKKHKKHKKKHKKKHHQEEEAGPTLQTPAKPQLKLKI KLGGQVLGTKSVPTFTVIPEGPRSPSPLMVVDNEEEPMEGVPLEQYRAWLDEDSNLSPSP LRDLPGDLEGQEEEEEQRWLDALEKGELDDNGDLKKEINERLLTARQRALLQKARSQPSP TLPLPVGGGCPAPALTEEMLLKREERARKRRLQAARRAEEHKNQTIERLTKTAAPSGRGG RGAARGERRGGRAAAPAPAPMVRYCSGAQGSTLSFPPGVPTPTAVAQRPAPSGPAPRCSV PGCPHPRRYACSRTGQALCSLQCYRINLQLRLGGPEGPGSPLLAT >ENSMUSP00000031590.8 pep:known chromosome:GRCm38:5:120116465:120198981:1 gene:ENSMUSG00000029594.12 transcript:ENSMUST00000031590.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbm19 description:RNA binding motif protein 19 [Source:MGI Symbol;Acc:MGI:1921361] MSRLIVKNLPNGMKEERFRQLFAAFGTLTDCSLKFTKDGKFRKFGFIGFKSEEEAQAALN HFHRSFIDTTRITVEFCKSFGDPSKPRAWSKHAQKSSQPKQPSQDSVPSDTKKDKKKKGP SDLEKLKEDAKFQEFLSIHQKRTQVATWANDALEAKLPKAKTKASSDYLNFDSDSNSDSG QESEEEPAREDPEEEQGLQPKAAVQKELSDMDYLKSKMVRAEVSSEDEDEEDSEDEAVNC EEGSEEEEEEGSPASPAKQGGVSRGAVPGVLRPQEAAGKVEKPVSQKEPTTPYTVKLRGA PFNVTEKNVIEFLAPLKPVAIRIVRNAHGNKTGYVFVDLSSEEEVKKALKCNRDYMGGRY IEVFREKQAPTARGPPKSTTPWQGRTLGENEEEEDLADSGRLFVRNLSYTSSEEDLEKLF SAYGPLSELHYPIDSLTKKPKGFAFVTFMFPEHAVKAYAEVDGQVFQGRMLHVLPSTIKK EASQEANAPGSSYKKKKEAMDKANSSSSHNWNTLFMGPNAVADAIAQKYNATKSQVFDHE TRGSVAVRVALGETQLVQEVRSFLIDNGVCLDSFSQAAAERSKTVILAKNLPAGTLAAEI QETFSRFGSLGRVLLPEGGITAIVEFLEPLEARKAFRHLAYSKFHHVPLYLEWAPIGVFG AAPQKKDSQHEQPAEKAEVEQETVLDPEGEKASVEGAEASTGKMEEEEEEEEEEEEESIP GCTLFIKNLNFSTTEETLKGVFSKVGAIKSCTISKKKNKAGVLLSMGFGFVEYKKPEQAQ KALKQLQGHTVDGHKLEVRISERATKPALTSTRKKQVPKKQTTSKILVRNIPFQANQREI RELFSTFGELKTVRLPKKMTGTGAHRGFGFVDFITKQDAKKAFNALCHSTHLYGRRLVLE WADSEVTVQTLRRKTARHFQEPPKKKRSAVLDGILEQLEDEDNSDGEQALQL >ENSMUSP00000144339.1 pep:known chromosome:GRCm38:5:120116520:120198979:1 gene:ENSMUSG00000029594.12 transcript:ENSMUST00000202777.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rbm19 description:RNA binding motif protein 19 [Source:MGI Symbol;Acc:MGI:1921361] MSRLIVKNLPNGMKEERFRQLFAAFGTLTDCSLKFTKDGKFRKFGFIGFKSEEEAQAALN HFHRSFIDTTRITVEFCKSFGDPSKPRAWSKHAQKSSQPKQPSQDSVPSDTKKDKKKKGP SDLEKLKEDAKFQEFLSIHQKRTQVATWANDALEAKLPKAKTKASSDYLNFDSDSNSDSG QESEEEPAREDPEEEQGLQPKAAVQKELSDMDYLKSKMVRAEVSSEDEDEEDSEDEAVNC EEGSEEEEEEGSPASPAKQGGVSRGAVPGVLRPQEAAGKVEKPVSQKEPTTPYTVKLRGA PFNVTEKNVIEFLAPLKPVAIRIVRNAHGNKTGYVFVDLSSEEEVKKALKCNRDYMGGRY IEVFREKQAPTARGPPKSTTPWQGRTLGENEEEEDLADSGRLFVRNLSYTSSEEDLEKLF SAYGPLSELHYPIDSLTKKPKGFAFVTFMFPEHAVKAYAEVDGQVFQGRMLHVLPSTIKK EASQEANAPGSSYKKKKEAMDKANSSSSHNWNTLFMGPNAVADAIAQKYNATKSQVFDHE TRGSVAVRVALGETQLVQEVRSFLIDNGVCLDSFSQAAAERSKTVILAKNLPAGTLAAEI QETFSRFGSLGRVLLPEGGITAIVEFLEPLEARKAFRHLAYSKFHHVPLYLEWAPIGVFG AAPQKKDSQHEQPAEKAEVEQETVLDPEGEKASVEGAEASTGKMEEEEEEEEEEEEESIP GCTLFIKNLNFSTTEETLKGVFSKVGAIKSCTISKKKNKAGVLLSMGFGFVEYKKPEQAQ KALKQLQGHTVDGHKLEVRISERATKPALTSTRKKQVPKKQTTSKILVRNIPFQANQREI RELFSTFGELKTVRLPKKMTGTESLQCAVS >ENSMUSP00000140872.1 pep:known chromosome:GRCm38:Y:10750004:10752450:-1 gene:ENSMUSG00000094813.2 transcript:ENSMUST00000187434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20777 description:predicted gene, 20777 [Source:MGI Symbol;Acc:MGI:5434133] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000136036.1 pep:known chromosome:GRCm38:Y:10750128:10750826:-1 gene:ENSMUSG00000094813.2 transcript:ENSMUST00000177818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20777 description:predicted gene, 20777 [Source:MGI Symbol;Acc:MGI:5434133] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000115499.1 pep:known chromosome:GRCm38:7:127876796:127880188:1 gene:ENSMUSG00000049739.10 transcript:ENSMUST00000131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp646 description:zinc finger protein 646 [Source:MGI Symbol;Acc:MGI:3665412] MEDMPLSFSCSDCQRHFPNLPELARHRELRHSSAKQDGEEADGIPHPYGSHPENLANHQR NHETLFFPCTTCGKDFSNRLALQSHMRTHAPESHRRHGPPHAMETAPHLGSETMATDSWG QRLGSGEGWENQTKLVRETHDWESGADPRAASGTWEDPPTKQRQGLEMQPDSKGGTADWV PAVSSEGASPLPTPASNLLSNLEQYLAESVVNFTEAQEPTEPLPTEGERKYRCSECGKTY KHAGSLTNHRQSHTLGIYPCSICFKEFSNLMALKNHSRLHAQYRPYHCPHCPRAFRLPRD LLEHQQSHEGLKQEQPWEDKEMPTTNGHADERSWGQLSKTGMLNGSGELSSSGQLEDGGS EEYRPFCCGDCGRTYRHAGSLINHRKSHQTGIYPCSICSKQLFNAAALKNHIRAHHRPRQ GAGEGGQSSVSSTALTSAENTPKVDEVPTASLDHRPYKCNECGRAYRHRGSLVNHRHSHR TGEYQCSLCPRKYPNLMALRNHVRVHCKATRR >ENSMUSP00000052641.7 pep:known chromosome:GRCm38:7:127877701:127885996:1 gene:ENSMUSG00000049739.10 transcript:ENSMUST00000050383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp646 description:zinc finger protein 646 [Source:MGI Symbol;Acc:MGI:3665412] MEDMPLSFSCSDCQRHFPNLPELARHRELRHSSAKQDGEEADGIPHPYGSHPENLANHQR NHETLFFPCTTCGKDFSNRLALQSHMRTHAPESHRRHGPPHAMETAPHLGSETMATDSWG QRLGSGEGWENQTKLVRETHDWESGADPRAASGTWEDPPTKQRQGLEMQPDSKGGTADWV PAVSSEGASPLPTPASNLLSNLEQYLAESVVNFTEAQEPTEPLPTEGERKYRCSECGKTY KHAGSLTNHRQSHTLGIYPCSICFKEFSNLMALKNHSRLHAQYRPYHCPHCPRAFRLPRD LLEHQQSHEGLKQEQPWEDKEMPTTNGHADERSWGQLSKTGMLNGSGELSSSGQLEDGGS EEYRPFCCGDCGRTYRHAGSLINHRKSHQTGIYPCSICSKQLFNAAALKNHIRAHHRPRQ GAGEGGQSSVSSTALTSAENTPKVDEVPTASLDHRPYKCNECGRAYRHRGSLVNHRHSHR TGEYQCSLCPRKYPNLMALRNHVRVHCKATRRSTDPGTEGSPSPVKKEQHDPVGMEAAFH GDEEHACKREEEATSNPSMADRTVPQVCSICGMLFEDLKSLEHHSVTHREGEKSRTDSTV SPTRTFACQDCGKSYRHSGSLINHRQTHQTGDFSCGACAKHFHTMAAMKSHLRRHSRQWN RRRQKQDSGTGEVATLPPGGAWTLKLENDEDPDSSQDPLGESPCETEDNLERDGDCLQAG SRGNECVLKREEACFLGDKEGTEEGLEEREACFLDDLGTPGDECNETGFCGGLPGMDSDR KRGICHSDSSSHPADADTVWKAAATHTCSDCGDSFSHAAGLLSHRSCHPPGIYQCSLCPK EFDSLPALRSHFQTHRPGEVASAQPFLCCLCGMIFPGRTGYRRHLRQAHGASAMTEGSEE EEEGTAETASTHSPPLQLSEAELLNQLQREVEALDGAGYGHICGCCGQTYDDLGSLERHH QSQSSSNRTENVPSHLEGAGDATEMVADHGFEGTVTSVSEEGGDIKSEEGVGGTVADSLC MQAGESFLESHPRPFQCNQCGKTYRHGGSLVNHRKIHQTGDFICPVCSRCYPNLAAYRNH LRNHPRCKGSEPQMGPISEAGGCSEPQNAAEAGQEQAVIGQLQEELKVEPLEELAGVKEE VWEGTAVKEEELEQELETGCQTEVTSERPFSCEVCGRTYKHAGSLINHRQSHQTGHFGCQ ACSKGFSNLMSLKNHRRIHADPRQFRCSECGKAFRLRKQLANHQRVHAERRRSRGTQKLT REDRPFRCGQCGRTYRHAGSLMNHQCNPEASRYSCPFCFKTYSNRTALKDHQRVHSDSQQ RRQSGCPQRAAAVRCTLCGCGFSGQGSLEQHLQEHEDTKLEVASGQGGQHATEGSEENLD DWGLEGRSDGTEVLQVEHETKRPGGHSQSPSSPACSGGTESTQQVGKVDGSQGDRGQMNH NGAWVLQDQLTKPEGKLEDTVSRNPCHLSESQSNGPTLRYRDSWKGADSGSQLQPESHCC SQCGKAYCQPDGLLNPSINGKDCHICLLCSKEFLNPVTTEIHNHTTAQRFACSNCSKVCE SHSELATHMKTHAVEHSQMSGQMEKTRGPQAGMAEVGPPGPGKAQEAPSELPGDPEENGV PANGGQGIHFPAAEDKERPFCCAQCGRSYRHAGSLLNHQKAHTIGLYPCSLCPKLLPNLL SLKNHSRTHTDPKRYSCNICGKAFRTAARLQGHGRVHAPQEGPFTCSHCPRRFRHRISFL RHQQQHQEEWPVSSSGASVAPAASREDSSTASLPNPSPQWPADLSLSL >ENSMUSP00000145675.1 pep:known chromosome:GRCm38:7:127879311:127885992:1 gene:ENSMUSG00000049739.10 transcript:ENSMUST00000206340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp646 description:zinc finger protein 646 [Source:MGI Symbol;Acc:MGI:3665412] XEPLPTEGERKYRCSECGKTYKHAGSLTNHRQSHTLGIYPCSICFKEFSNLMALKNHSRL HAQYRPYHCPHCPRAFRLPRDLLEHQQSHEGLKQEQPWEDKEMPTTNGHADERSWGQLSK TGMLNGSGELSSSGQLEDGGSEEYRPFCCGDCGRTYRHAGSLINHRKSHQTGIYPCSICS KQLFNAAALKNHIRAHHRPRQGAGEGGQSSVSSTALTSAENTPKVDEVPTASLDHRPYKC NECGRAYRHRGSLVNHRHSHRTGEYQCSLCPRKYPNLMALRNHVRVHCKATRRSTDPGTE GSPSPVKKEQHDPVGMEAAFHGDEEHACKREEEATSNPSMADRTVPQVCSICGMLFEDLK SLEHHSVTHREGEKSRTDSTVSPTRTFACQDCGKSYRHSGSLINHRQTHQTGDFSCGACA KHFHTMAAMKSHLRRHSRQWNRRRQKQDSGTGEVATLPPGGAWTLKLENDEDPDSSQDPL GESPCETEDNLERDGDCLQAGSRGNECVLKREEACFLGDKEGTEEGLEEREACFLDDLGT PGDECNETGFCGGLPGMDSDRKRGICHSDSSSHPADADTVWKAAATHTCSDCGDSFSHAA GLLSHRSCHPPGIYQCSLCPKEFDSLPALRSHFQTHRPGEVASAQPFLCCLCGMIFPGRT GYRRHLRQAHGASAMTEGSEEEEEGTAETASTHSPPLQLSEAELLNQLQREVEALDGAGY GHICGCCGQTYDDLGSLERHHQSQSSSNRTENVPSHLEGAGDATEMVADHGFEGTVTSVS EEGGDIKSEEGVGGTVADSLCMQAGESFLESHPRPFQCNQCGKTYRHGGSLVNHRKIHQT GDFICPVCSRCYPNLAAYRNHLRNHPRCKGSEPQMGPISEAGGCSEPQNAAEAGQEQAVI GQLQEELKVEPLEELAGVKEEVWEGTAVKEEELEQELETGCQTEVTSERPFSCEVCGRTY KHAGSLINHRQSHQTGHFGCQACSKGFSNLMSLKNHRRIHADPRQFRCSECGKAFRLRKQ LANHQRVHAERRRSRGTQKLTREDRPFRCGQCGRTYRHAGSLMNHQCNPEASRYSCPFCF KTYSNRTALKDHQRVHSDSQQRRQSGCPQRAAAVRCTLCGCGFSGQGSLEQHLQEHEDTK LEVASGQGGQHATEGSEENLDDWGLEGRSDGTEVLQVEHETKRPGGHSQSPSSPACSGGT ESTQQVGKVDGSQGDRGQMNHNGAWVLQDQLTKPEGKLEDTVSRNPCHLSESQSNGPTLR YRDSWKGADSGSQLQPESHCCSQCGKAYCQPDGLLNPSINGKDCHICLLCSKEFLNPVTT EIHNHTTAQRFACSNCSKVCESHSELATHMKTHAVEHSQMSGQMEKTRGPQAGMAEVGPP GPGKAQEAPSELPGDPEENGVPANGGQGIHFPAAEDKERPFCCAQCGRSYRHAGSLLNHQ KAHTIGLYPCSLCPKLLPNLLSLKNHSRTHTDPKRYSCNICGKAFRTAARLQGHGRVHAP QEGPFTCSHCPRRFRHRISFLRHQQQHQEEWPVSSSGMWLGRGLRGSRRRWAQRRESMAR SR >ENSMUSP00000129724.2 pep:known chromosome:GRCm38:8:87661810:87804439:-1 gene:ENSMUSG00000045333.15 transcript:ENSMUST00000165770.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp423 description:zinc finger protein 423 [Source:MGI Symbol;Acc:MGI:1891217] MIGDGCDLGLGEEEGGTGLPYPCQFCDKSFIRLSYLKRHEQIHSDKLPFKCTFCSRLFKH KRSRDRHIKLHTGDKKYHCHECEAAFSRSDHLKIHLKTHSSSKPFKCSVCKRGFSSTSSL QSHMQAHKKNKEHLAKSEKEAKKDDFMCDYCEDTFSQTEELEKHVLTLHPQLSEKADLQC IHCPEVFVDESTLLAHIHQAHANQKHKCPMCPEQFSSVEGVYCHLDSHRQPDSSNHSVSP DPVLGSVASMSSATPDSSASVERGSTPDSTLKPLRGQKKMRDDGQSWPKVVYSCPYCSKR DFTSLAVLEIHLKTIHADKPQQSHTCQICLDSMPTLYNLNEHVRKLHKSHAYPVMQFGNI SAFHCNYCPEMFADINSLQEHIRVSHCGPNANPPDGNNAFFCNQCSMGFLTESSLTEHIQ QAHCSVGSTKLESPVVQPTQSFMEVYSCPYCTNSPIFGSILKLTKHIKENHKNIPLAHSK KSKAEQSPVSSDVEVSSPKRQRLSGSANSISNGEYPCNQCDLKFSNFESFQTHLKLHLEL LLRKQACPQCKEDFDSQESLLQHLTVHYMTTSTHYVCESCDKQFSSVDDLQKHLLDMHTF VLYHCTLCQEVFDSKVSIQVHLAVKHSNEKKMYRCTACNWDFRKEADLQVHVKHSHLGNP AKAHKCIFCGETFSTEVELQCHITTHSKKYNCRFCSKAFHAVILLEKHLREKHCVFDAAA ENGTANGVPPTSTKKAEPADLQGMLLKNPEAPNSHEASEDDVDASEPMYGCDICGAAYTM EVLLQNHRLRDHNIRPGEDDGSRKKAEFIKGSHKCNVCSRTFFSENGLREHLQTHRGPAK HYMCPICGERFPSLLTLTEHKVTHSKSLDTGTCRICKMPLQSEEEFIEHCQMHPDLRNSL TGFRCVVCMQTVTSTLELKIHGTFHMQKLAGSSAASSPNGQGLQKLYKCALCLKEFRSKQ DLVRLDVNGLPYGLCAGCMARSANGQVGGLAPPEPADRPCAGLRCPECNVKFESAEDLES HMQVDHRDLTPETSGPRKGAQTSPVPRKKTYQCIKCQMTFENEREIQIHVANHMIEEGIN HECKLCNQMFDSPAKLLCHLIEHSFEGMGGTFKCPVCFTVFVQANKLQQHIFAVHGQEDK IYDCSQCPQKFFFQTELQNHTMSQHAQ >ENSMUSP00000105282.2 pep:known chromosome:GRCm38:8:87661810:87959595:-1 gene:ENSMUSG00000045333.15 transcript:ENSMUST00000109655.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp423 description:zinc finger protein 423 [Source:MGI Symbol;Acc:MGI:1891217] MSRRKQAKPRSVKVEEGEASDFSLAWDSSVAAAGGLEGEPECDRKTSRALEDRNSVTSQE ERNEDDEDVEDESIYTCDHCQQDFESLADLTDHRAHRCPGDGDDDPQLSWVASSPSSKDV ASPTQMIGDGCDLGLGEEEGGTGLPYPCQFCDKSFIRLSYLKRHEQIHSDKLPFKCTFCS RLFKHKRSRDRHIKLHTGDKKYHCHECEAAFSRSDHLKIHLKTHSSSKPFKCSVCKRGFS STSSLQSHMQAHKKNKEHLAKSEKEAKKDDFMCDYCEDTFSQTEELEKHVLTLHPQLSEK ADLQCIHCPEVFVDESTLLAHIHQAHANQKHKCPMCPEQFSSVEGVYCHLDSHRQPDSSN HSVSPDPVLGSVASMSSATPDSSASVERGSTPDSTLKPLRGQKKMRDDGQSWPKVVYSCP YCSKRDFTSLAVLEIHLKTIHADKPQQSHTCQICLDSMPTLYNLNEHVRKLHKSHAYPVM QFGNISAFHCNYCPEMFADINSLQEHIRVSHCGPNANPPDGNNAFFCNQCSMGFLTESSL TEHIQQAHCSVGSTKLESPVVQPTQSFMEVYSCPYCTNSPIFGSILKLTKHIKENHKNIP LAHSKKSKAEQSPVSSDVEVSSPKRQRLSGSANSISNGEYPCNQCDLKFSNFESFQTHLK LHLELLLRKQACPQCKEDFDSQESLLQHLTVHYMTTSTHYVCESCDKQFSSVDDLQKHLL DMHTFVLYHCTLCQEVFDSKVSIQVHLAVKHSNEKKMYRCTACNWDFRKEADLQVHVKHS HLGNPAKAHKCIFCGETFSTEVELQCHITTHSKKYNCRFCSKAFHAVILLEKHLREKHCV FDAAAENGTANGVPPTSTKKAEPADLQGMLLKNPEAPNSHEASEDDVDASEPMYGCDICG AAYTMEVLLQNHRLRDHNIRPGEDDGSRKKAEFIKGSHKCNVCSRTFFSENGLREHLQTH RGPAKHYMCPICGERFPSLLTLTEHKVTHSKSLDTGTCRICKMPLQSEEEFIEHCQMHPD LRNSLTGFRCVVCMQTVTSTLELKIHGTFHMQKLAGSSAASSPNGQGLQKLYKCALCLKE FRSKQDLVRLDVNGLPYGLCAGCMARSANGQVGGLAPPEPADRPCAGLRCPECNVKFESA EDLESHMQVDHRDLTPETSGPRKGAQTSPVPRKKTYQCIKCQMTFENEREIQIHVANHMI EEGINHECKLCNQMFDSPAKLLCHLIEHSFEGMGGTFKCPVCFTVFVQANKLQQHIFAVH GQEDKIYDCSQCPQKFFFQTELQNHTMSQHAQ >ENSMUSP00000052379.8 pep:known chromosome:GRCm38:8:87661812:87895735:-1 gene:ENSMUSG00000045333.15 transcript:ENSMUST00000052250.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp423 description:zinc finger protein 423 [Source:MGI Symbol;Acc:MGI:1891217] MTGAERGPLCYHGGLEGEPECDRKTSRALEDRNSVTSQEERNEDDEDVEDESIYTCDHCQ QDFESLADLTDHRAHRCPGDGDDDPQLSWVASSPSSKDVASPTQMIGDGCDLGLGEEEGG TGLPYPCQFCDKSFIRLSYLKRHEQIHSDKLPFKCTFCSRLFKHKRSRDRHIKLHTGDKK YHCHECEAAFSRSDHLKIHLKTHSSSKPFKCSVCKRGFSSTSSLQSHMQAHKKNKEHLAK SEKEAKKDDFMCDYCEDTFSQTEELEKHVLTLHPQLSEKADLQCIHCPEVFVDESTLLAH IHQAHANQKHKCPMCPEQFSSVEGVYCHLDSHRQPDSSNHSVSPDPVLGSVASMSSATPD SSASVERGSTPDSTLKPLRGQKKMRDDGQSWPKVVYSCPYCSKRDFTSLAVLEIHLKTIH ADKPQQSHTCQICLDSMPTLYNLNEHVRKLHKSHAYPVMQFGNISAFHCNYCPEMFADIN SLQEHIRVSHCGPNANPPDGNNAFFCNQCSMGFLTESSLTEHIQQAHCSVGSTKLESPVV QPTQSFMEVYSCPYCTNSPIFGSILKLTKHIKENHKNIPLAHSKKSKAEQSPVSSDVEVS SPKRQRLSGSANSISNGEYPCNQCDLKFSNFESFQTHLKLHLELLLRKQACPQCKEDFDS QESLLQHLTVHYMTTSTHYVCESCDKQFSSVDDLQKHLLDMHTFVLYHCTLCQEVFDSKV SIQVHLAVKHSNEKKMYRCTACNWDFRKEADLQVHVKHSHLGNPAKAHKCIFCGETFSTE VELQCHITTHSKKYNCRFCSKAFHAVILLEKHLREKHCVFDAAAENGTANGVPPTSTKKA EPADLQGMLLKNPEAPNSHEASEDDVDASEPMYGCDICGAAYTMEVLLQNHRLRDHNIRP GEDDGSRKKAEFIKGSHKCNVCSRTFFSENGLREHLQTHRGPAKHYMCPICGERFPSLLT LTEHKVTHSKSLDTGTCRICKMPLQSEEEFIEHCQMHPDLRNSLTGFRCVVCMQTVTSTL ELKIHGTFHMQKLAGSSAASSPNGQGLQKLYKCALCLKEFRSKQDLVRLDVNGLPYGLCA GCMARSANGQVGGLAPPEPADRPCAGLRCPECNVKFESAEDLESHMQVDHRDLTPETSGP RKGAQTSPVPRKKTYQCIKCQMTFENEREIQIHVANHMIEEGINHECKLCNQMFDSPAKL LCHLIEHSFEGMGGTFKCPVCFTVFVQANKLQQHIFAVHGQEDKIYDCSQCPQKFFFQTE LQNHTMSQHAQ >ENSMUSP00000134575.1 pep:known chromosome:GRCm38:8:87782992:87953862:-1 gene:ENSMUSG00000045333.15 transcript:ENSMUST00000174764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp423 description:zinc finger protein 423 [Source:MGI Symbol;Acc:MGI:1891217] MAVFKESGPLPIFSYKVEEGEASDFSLAWDSSVAAAGGLEGEPECDRKTSRALEDRNSVT SQEERNEDDEDVEDESIYTCDHCQQDFESLADLTDHRAHRCPGDGDDDPQLSWVASSPSS KDVASPTQMIGDGCDLGLGEEEGGTGLPYPCQFCDKSFIRLSYLKRHEQIHSDKLPFKCT FCSRLFKHKRSRDRHIKLHTGDKKYHCHECEAAFSRSDHLKIHLKTHSSSKPFKCSVCKR GFSS >ENSMUSP00000134103.1 pep:known chromosome:GRCm38:8:87783147:87803823:-1 gene:ENSMUSG00000045333.15 transcript:ENSMUST00000174249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp423 description:zinc finger protein 423 [Source:MGI Symbol;Acc:MGI:1891217] MSHSLLPPLLRGVTCLQDKKPGLWTGSWGLAGDGDDDPQLSWVASSPSSKDVASPTQMIG DGCDLGLGEEEGGTGLPYPCQFCDKSFIRLSYLKRHEQIHSDKLPFKCTFCSRLFKHKRS R >ENSMUSP00000138351.1 pep:known chromosome:GRCm38:10:59221953:59226434:1 gene:ENSMUSG00000098188.1 transcript:ENSMUST00000182161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sowahc description:sosondowah ankyrin repeat domain family member C [Source:MGI Symbol;Acc:MGI:3606051] MEGSLELSSEAILRFLAERGGRAGHSELVQHFRDVLGGQREQRTRARERFKELVNAVATV RTDPADGTKYVHLKKRFCTGDSPPLEAKLPREPPRIEVTEEPQVPDLAAEPCEGSQLQEA NPQLSLGLGGEVSDQEPPAPAQGGAQGKDSPPQEVEAVSWASGPGSSENLKLPPQGEAEG GSSPSGPNTPRSARQNFRDLVLGSSPQLKRSVGPGDGNAGGRSRGGGDSDTASLASSSAE EESSVGASVTLDPLDHAWMLSASEGKWDSLEGLLTCEPGLLSKRDFITGFTCLHWAAKHG RQELLAMLVNFATKHQLPVNINAKSSGGYTALHLAAMHGHVEVVKLLVGAYDADVDIRDY SGRKASQYLSESIAEEIKNLVGALDEDDGDSPAARGGGRWRLSKVLPSHITHKLSPVVED GAELHHHVPEGWTGGSKAKDSGRKASGSSSGRMKPRLNKIRFRTQIIHTTPSFRDAKPTL EEGEEEEEEEEERSLRGYSSSFKLRPKSNVFG >ENSMUSP00000123566.1 pep:known chromosome:GRCm38:7:127886227:127895454:-1 gene:ENSMUSG00000030804.8 transcript:ENSMUST00000126662.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm21974 description:predicted gene 21974 [Source:MGI Symbol;Acc:MGI:5439443] XDVGTAISCSRVFSSRWGRGFGLVEHMLGADSVLNQSNSIFGCLFYTLQLLLGCLRGRWA SILLVLSSLVSVAGSVYLAWILFFVLYDFCIVCITTYAINVGLMLLSFQKVPEHKTKKH >ENSMUSP00000137574.1 pep:known chromosome:GRCm38:19:61174686:61176309:-1 gene:ENSMUSG00000094649.1 transcript:ENSMUST00000180168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7102 description:predicted gene 7102 [Source:MGI Symbol;Acc:MGI:3648735] MYRPNFRPPTPPYPSPGIGGWGGGNNFWGALGGGPRPPSLWDCYRSPHHTPPCGPRARPY GSRQSQQHSSNFSGVRFASPSPGGYPGSYSRSPAGSQHQFGYSPGQQQTYPQGSPRTSTP FGSGRGREKRMSNELESYFKPSMLEDPWAGLEPVSVVDISQQYSNTQTFTDKKGRYFS >ENSMUSP00000132590.1 pep:known chromosome:GRCm38:11:93949819:93968293:-1 gene:ENSMUSG00000091228.1 transcript:ENSMUST00000170303.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20390 description:predicted gene 20390 [Source:MGI Symbol;Acc:MGI:5141855] MANSERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVGLKFLQASEDLLKEHYTDLKDRPF FTGLVKYMHSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRTMANLE RTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVAMKFLRASEEHLKQHYIDLKDRPFFPGLV KYMNSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGSDSVES AEKEIHLWFKPEELIDYKSCAHDWVYE >ENSMUSP00000109796.2 pep:known chromosome:GRCm38:2:26055535:26092177:-1 gene:ENSMUSG00000026932.14 transcript:ENSMUST00000114159.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nacc2 description:nucleus accumbens associated 2, BEN and BTB (POZ) domain containing [Source:MGI Symbol;Acc:MGI:1915241] MSQMLHIEIPNFGNTVLGCLNEQRLLGLYCDVSIVVKGQAFKAHRAVLAASSLYFRDLFS GNSKSAFELPGTVPPACFQQILSFCYTGKLTMAASEQLVVMYTAGFLQIQHIVERGTDLM FKVSSPHCDSQTAMIEDASSEPQSPCNQLQPATAAYVTSPSVPIPLLTRVKHEAMEMPPA SGPGLASKRPLETGPRDGVAVATGAAGTPGTAPLKLPRVSYYGVPSLATLIPSIQQVPYP PGERTSPGASSLPTTDSPTSYHNEEDEEDDEAYDTMVEEQYGQMYIKATGNYAVQEKPEP VPLESRSCVLIRRDLVALPASLISQIGYRCHPKLYSEGDPGEKLELVAGSGVYITRGQLM NCHLCAGVKHKVLLRRLLATFFDRNTLANSCGTGIRSSTSDPSRKPLDSRVLNAVKLYCQ NFAPSFKESEMNVIAADMCTNARRVRKRWLPKIKSMLPEGVEMYRSVMGASAASLPLDPE FPSAAPQVFEQRIYAERRSDAATIVALRTDAVNVDLSTSANPAFEANEEVDGGGSVIQEV AAPEQLPADGQSSPQAFEQGNTSSSRPQTPVATATRRPEGTYAGTL >ENSMUSP00000028300.5 pep:known chromosome:GRCm38:2:26055535:26122818:-1 gene:ENSMUSG00000026932.14 transcript:ENSMUST00000028300.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nacc2 description:nucleus accumbens associated 2, BEN and BTB (POZ) domain containing [Source:MGI Symbol;Acc:MGI:1915241] MSQMLHIEIPNFGNTVLGCLNEQRLLGLYCDVSIVVKGQAFKAHRAVLAASSLYFRDLFS GNSKSAFELPGTVPPACFQQILSFCYTGKLTMAASEQLVVMYTAGFLQIQHIVERGTDLM FKVSSPHCDSQTAMIEDASSEPQSPCNQLQPATAAYVTSPSVPIPLLTRVKHEAMEMPPA SGPGLASKRPLETGPRDGVAVATGAAGTPGTAPLKLPRVSYYGVPSLATLIPSIQQVPYP PGERTSPGASSLPTTDSPTSYHNEEDEEDDEAYDTMVEEQYGQMYIKATGNYAVQEKPEP VPLESRSCVLIRRDLVALPASLISQIGYRCHPKLYSEGDPGEKLELVAGSGVYITRGQLM NCHLCAGVKHKVLLRRLLATFFDRNTLANSCGTGIRSSTSDPSRKPLDSRVLNAVKLYCQ NFAPSFKESEMNVIAADMCTNARRVRKRWLPKIKSMLPEGVEMYRSVMGASAASLPLDPE FPSAAPQVFEQRIYAERRSDAATIVALRTDAVNVDLSTSANPAFEANEEVDGGGSVIQEV AAPEQLPADGQSSPQAFEQGNTSSSRPQTPVATATRRPEGTYAGTL >ENSMUSP00000120198.1 pep:known chromosome:GRCm38:2:26090086:26122783:-1 gene:ENSMUSG00000026932.14 transcript:ENSMUST00000140993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nacc2 description:nucleus accumbens associated 2, BEN and BTB (POZ) domain containing [Source:MGI Symbol;Acc:MGI:1915241] MSQMLHIEIPNFGNTVLGCLNEQRLLGLYCDVSIVVKGQAFKAHRAVLAASSLYFRDLFS GKLTMAASEQLVVMYTAGFLQIQHI >ENSMUSP00000112972.1 pep:known chromosome:GRCm38:7:127885841:127890970:-1 gene:ENSMUSG00000044139.12 transcript:ENSMUST00000121394.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss53 description:protease, serine 53 [Source:MGI Symbol;Acc:MGI:2652890] MRQSWRPELLIVGAVVVIEGLQAAQRACGQRGPGPPEPQEGNTLPGEWPWQASVRRQGVH ICSGSLVADTWVLTAAHCFEKMATAELSSWSVVLGSLKQEGQSPGAEEVGVAALQLPKAY NHYSQGSDLALLQLTHPTVQTTLCLPQPTYHFPFGASCWATGWDQNTSDVSRTLRNLRLR LISRPTCNCLYNRLHQRLLSNPARPGMLCGGAQPGEQGPCQGDSGGPVMCREPDGHWVQV GIISFTSKCAQEDTPVLLTDMAVHSSWLQAHVHEAAFLVQAPGVVKMSDENSCVACGSLR SAGPQAGALSQWPWDARLKHHGKLACGGALVSEVVVLTAAHCFIGRQTLEEWSVGLGAGP EEWGLKQLILHGAYTHPEGGYDVAFLLLAQPVTLGPGLRPLCLPYADHHLPDGEHGWVLG LTQKAGINYPQTVPVTVLGPMACSRQHAAPGGTGIPILPGMVCTTVVGEPPHCEGLSGAP LVHEIRGTWFLVGLHSFGDTCQSSAKPAVFAALSAYEDWISNLDWQVYFAEEPEPEAETG SCLVNSSQPASC >ENSMUSP00000145763.1 pep:known chromosome:GRCm38:7:127886093:127890967:-1 gene:ENSMUSG00000044139.12 transcript:ENSMUST00000205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss53 description:protease, serine 53 [Source:MGI Symbol;Acc:MGI:2652890] MRQSWRPELLIVGAVVVIEGLQAAQRACGQRGPGPPEPQEGNTLPGEWPWQASVRRQGVH ICSGSLVADTWVLTAAHCFEKMATAELSSWSVVLGSLKQEGQSPGAEEVGVAALQLPKAY NHYSQGSDLALLQLTHPTVQTTLCLPQPTYHFPFGASCWATGWDQNTSDVSRTLRNLRLR LISRPTCNCLYNRLHQRLLSNPARPGMLCGGAQPGEQGPCQGDSGGPVMCREPDGHWVQV GIISFTSKCAQEDTPVLLTDMAVHSSWLQAHVHEAAFLVQAPGVVKMSDENSCVACGSLR SAGPQAGALSQWPWDARLKHHGKLACGGALVSEVVVLTAAHCFIGRQTLEEWSVGLGAGP EEWGLKQLILHGAYTHPEGGYDVAFLLLAQPVTLGPGLRPLCLPYADHHLPDGEHGWVLG LTQKAGINYPQTVPVTVLGPMACSRQHAAPGGTGIPILPGMVCTTVVGEPPHCEANQPVV DW >ENSMUSP00000146097.1 pep:known chromosome:GRCm38:7:126850960:126861271:-1 gene:ENSMUSG00000030689.15 transcript:ENSMUST00000205619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80e description:INO80 complex subunit E [Source:MGI Symbol;Acc:MGI:2141881] XQYENVDEDSSDSDATASSDNSETEGTPKLSDTPAPKRKRSPPMGGVPSPSSLSLPPSTG FPLQTSGAPSPYLSSLASPPYPPFPSDYLALQLPEPSPLRPKLEKRPRLPRKLKVTGYAP QRRRH >ENSMUSP00000049851.7 pep:known chromosome:GRCm38:7:126851580:126861463:-1 gene:ENSMUSG00000030689.15 transcript:ENSMUST00000050833.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80e description:INO80 complex subunit E [Source:MGI Symbol;Acc:MGI:2141881] MGIPTLLPTCLSFLLDRLLQYENVDEDSSDSDATASSDNSETEGTPKLSDTPAPKRKRSP PMGGVPSPSSLSLPPSTGFPLQTSGAPSPYLSSLASPPYPPFPSDYLALQLPEPSPLRPK LEKRPRLPRKLKMSVGPPDCPVGGPLAFPARGSGASVGAALTPLPPPKMPPHTILSTVPQ QMFSDAGSGDDALDGDDDLVIDIPE >ENSMUSP00000101949.1 pep:known chromosome:GRCm38:7:126851580:126861686:-1 gene:ENSMUSG00000030689.15 transcript:ENSMUST00000106342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80e description:INO80 complex subunit E [Source:MGI Symbol;Acc:MGI:2141881] MRTWMKTPLIQMPPHLQITVRQRGHPSCLTRQPLRGFPLQTSGAPSPYLSSLASPPYPPF PSDYLALQLPEPSPLRPKLEKRPRLPRKLKMSVGPPDCPVGGPLAFPARGSGASVGAALT PLPPPKMPPHTILSTVPQQMFSDAGSGDDALDGDDDLVIDIPE >ENSMUSP00000101950.1 pep:known chromosome:GRCm38:7:126851585:126861838:-1 gene:ENSMUSG00000030689.15 transcript:ENSMUST00000106343.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80e description:INO80 complex subunit E [Source:MGI Symbol;Acc:MGI:2141881] MNGPADGEVDYKKKYRNLKRKLKFLIYEHECFQEELRKAQRKLLKVSRDKSFLLDRLLQY ENVDEDSSDSDATASSDNSETEGTPKLSDTPAPKRKRSPPMGGVPSPSSLSLPPSTGFPL QTSGAPSPYLSSLASPPYPPFPSDYLALQLPEPSPLRPKLEKRPRLPRKLKMSVGPPDCP VGGPLAFPARGSGASVGAALTPLPPPKMPPHTILSTVPQQMFSDAGSGDDALDGDDDLVI DIPE >ENSMUSP00000146297.1 pep:known chromosome:GRCm38:7:126852580:126861860:-1 gene:ENSMUSG00000030689.15 transcript:ENSMUST00000206349.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80e description:INO80 complex subunit E [Source:MGI Symbol;Acc:MGI:2141881] MNGPADGEVDYKKKYRNLKRKLKFLIYEHECFQEELRKAQRKLLKVSRDKSFLLDRLLQY ENVDEDSSDSDATASSDNSETEGTPKLSDTPAPKRKRSPPMGGVPSPSSLSLPPSTGFPL QTSGAPSPYLSSMSVGPPDCPVGGPLAFPARGSGASVGAALTPLPPPKMPPHTILSTVPQ QMFSDAGSGDDALDGDDDLVIDIPE >ENSMUSP00000145964.1 pep:known chromosome:GRCm38:7:126852818:126857730:-1 gene:ENSMUSG00000030689.15 transcript:ENSMUST00000206090.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80e description:INO80 complex subunit E [Source:MGI Symbol;Acc:MGI:2141881] XSTGFPLQTSGAPSPYLSSLASPPYPPFPSDYLALQLPEPSPLRPKLEKRPRLPRKLKSD HSGRSVEEELELGEAEGRESS >ENSMUSP00000145893.1 pep:known chromosome:GRCm38:7:126852895:126862361:-1 gene:ENSMUSG00000030689.15 transcript:ENSMUST00000206968.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80e description:INO80 complex subunit E [Source:MGI Symbol;Acc:MGI:2141881] MWSTETENFGRPCFFLSSCVQLLCDLSSPRSHWKQRSFRVFPQEGGRCDYAPRARRTLGV VVRFIRRCKVSGSGGVRGRADLGSGTLLRGVSPWRVMNGPADGEVDYKKKYRNLKRKLKF LIYEHECFQEELRKAQRKLLKVSRDKSFLLDRLLQYENVDEDSSDSDATASSDNSETEGT PKLSDTPAPKRKRSPPMGGVPSPSSLSLPPSTGFPLQTSGAPSPYLSSMSVGPPDCPVGG PLAFPARGSGASVGAALTPL >ENSMUSP00000145764.1 pep:known chromosome:GRCm38:7:126852930:126861783:-1 gene:ENSMUSG00000030689.15 transcript:ENSMUST00000205958.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ino80e description:INO80 complex subunit E [Source:MGI Symbol;Acc:MGI:2141881] XNGPADGEVDYKKKYRNLKRKLKFLIYFPSRSTSAV >ENSMUSP00000145866.1 pep:known chromosome:GRCm38:7:126857699:126861844:-1 gene:ENSMUSG00000030689.15 transcript:ENSMUST00000205349.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80e description:INO80 complex subunit E [Source:MGI Symbol;Acc:MGI:2141881] MNGPADGEVDYKKKYRNLKRKLKFLIYHPPCPPQEHECFQEELRKAQRKLLKVSRDKSFL LDRLLQYENVDEDSSDSDATASSDNSETEGTPKLSDTPAPKRKRSPPMGGVPSPSSLSLP PSTGFPLQTSG >ENSMUSP00000114606.1 pep:known chromosome:GRCm38:7:126857714:126861648:-1 gene:ENSMUSG00000030689.15 transcript:ENSMUST00000129812.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ino80e description:INO80 complex subunit E [Source:MGI Symbol;Acc:MGI:2141881] MRTWMKTPLIQMPPHLQITVRQRGHPSCLTRQPLRGREAPPWEVSHPLPASPCLLQQAFP >ENSMUSP00000117022.1 pep:known chromosome:GRCm38:11:93956979:93968521:-1 gene:ENSMUSG00000037601.11 transcript:ENSMUST00000135884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme1 description:NME/NM23 nucleoside diphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:97355] MANSERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVGLKFLQASEDLLKEHYTDLKDRPF FTGLVKYMHSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGS DSVKSAEKEISLWFQPEELVEYKSCAQNWIYE >ENSMUSP00000021220.3 pep:known chromosome:GRCm38:11:93959472:93966043:-1 gene:ENSMUSG00000037601.11 transcript:ENSMUST00000021220.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme1 description:NME/NM23 nucleoside diphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:97355] MANSERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVGLKFLQASEDLLKEHYTDLKDRPF FTGLVKYMHSGPVVAMVWEGLNVVKTGRVMLGETNPADSKPGTIRGDFCIQVGRNIIHGS DSVKSAE >ENSMUSP00000103475.2 pep:known chromosome:GRCm38:11:93960668:93968272:-1 gene:ENSMUSG00000037601.11 transcript:ENSMUST00000107844.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme1 description:NME/NM23 nucleoside diphosphate kinase 1 [Source:MGI Symbol;Acc:MGI:97355] MANSERTFIAIKPDGVQRGLVGEIIKRFEQKGFRLVGLKFLQASEDLLKEHYTDLKDRPF FTGLVKYMHSGPVVAMVFYRERVSPLTVSSAVLGGLGGSECGEDRPRDAWRDQPRRL >ENSMUSP00000031627.8 pep:known chromosome:GRCm38:5:145128769:145140238:-1 gene:ENSMUSG00000029623.14 transcript:ENSMUST00000031627.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdap1 description:PDGFA associated protein 1 [Source:MGI Symbol;Acc:MGI:2448536] MPKGGRKGGHKGRVRQYTSPEEIDAQLQAEKQKANEEDEQEEGGDGASGDPKKEKKSLDS DESEDEDDDYQQKRKGVEGLIDIENPNRVAQTTKKVTQLDLDGPKELSRREREEIEKQKA KERYMKMHLAGKTEQAKADLARLAIIRKQREEAARKKEEERKAKDDATLSGKRMQSLSLN K >ENSMUSP00000033389.5 pep:known chromosome:GRCm38:7:144896531:144900953:1 gene:ENSMUSG00000031073.6 transcript:ENSMUST00000033389.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf15 description:fibroblast growth factor 15 [Source:MGI Symbol;Acc:MGI:1096383] MARKWNGRAVARALVLATLWLAVSGRPLAQQSQSVSDEDPLFLYGWGKITRLQYLYSAGP YVSNCFLRIRSDGSVDCEEDQNERNLLEFRAVALKTIAIKDVSSVRYLCMSADGKIYGLI RYSEEDCTFREEMDCLGYNQYRSMKHHLHIIFIQAKPREQLQDQKPSNFIPVFHRSFFET GDQLRSKMFSLPLESDSMDPFRMVEDVDHLVKSPSFQK >ENSMUSP00000146870.1 pep:known chromosome:GRCm38:7:144896564:144899881:1 gene:ENSMUSG00000031073.6 transcript:ENSMUST00000207229.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf15 description:fibroblast growth factor 15 [Source:MGI Symbol;Acc:MGI:1096383] MARKWNGRAVARALVLATLWLAVSGRPLAQQSQSVSDEDPLFLYGWGKITRLQYLYSAGP YVSNCFLRIRSDGSVDCEEDQNERNLLEFRAVALKTIAIKDVSSVRYLCMSADGKIYGLA KPREQLQDQKPSNFIPVFHRSFFETGDQLRSKMFSLPLESD >ENSMUSP00000041878.7 pep:known chromosome:GRCm38:1:72159442:72212307:-1 gene:ENSMUSG00000039395.8 transcript:ENSMUST00000048860.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mreg description:melanoregulin [Source:MGI Symbol;Acc:MGI:2151839] MGLRRWLRSACCCCPCRCLEEPARPEKEPLVSGNNPYSSFGATLERDDEKNLWSMPHDVS HTEADDDRILYNLIVIRNQQTKDSEEWQRLNYDIYTLRQIRREVRNRWRRILEDLGFQRE ADSLLSVTKLSTMSDSKNTRKAREMLLKLAEETSIFPASWELSERYLLVVDRLIALDAAE DFFKIASQMYPKKPGVPCLVDGQRKLHCLPFPSP >ENSMUSP00000023437.4 pep:known chromosome:GRCm38:16:56724609:56795855:-1 gene:ENSMUSG00000022755.4 transcript:ENSMUST00000023437.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg7 description:adhesion G protein-coupled receptor G7 [Source:MGI Symbol;Acc:MGI:2441732] MRSCRSCNVRVLVAIVCGLLTGIVLGLGIWRMVIRINRGIFVPVPSIPVQFCRNGGTWQN GRCICTEEWKGLRCTIANFCENSTDGEFTFGSIPVGRYGPSLQTCEPGTLNAGSPKATRL CNVSEFGNIELQNVTKGSCNINLQTLEIQINNQTASAENISREAQVLTADASKLTAQNIT SATTVVGQIFGKANNESQAKKTAIATVSQILDASEDVFQKAAEMDNSKSFSNLIKQMENY SYSQGDQTVVEPNIAIQSVTRDDNSGPSVLFSVQKGSSNSLVSGRILINKTANGLNPDGQ TELQILLNTGENRKSCGFMVYQNHKLFQSKTFTATSDFSQKIISSKINESEQQRQNKVSV EMVFNPTYDKRELRLHSYACVYWNFLINDWDTQGCQKTGNTTEFLRCNCSHTTNFAVLMS FKKDYKYPKSLDILSNIGCALSIAGLALTILFQILTRKIRKTSVTWVLVSLCSSMLIFNL LFVFGIENSNKNLKTSDSDINVKPENNKIPESDTIETPNPSCTAIAALLHYFLLVTFTWN GLSATQLYFLLIRTMKPLPRHFIIFISLVGWGVPAIIVGVTIGSIYALSGNKRYWELDYR QEEICWLAVPKDNDYARSPLLWSFIIPVTIILITNITIFVIITVKVLWKNNQNLTSTKKV SSLKKVFSTLSIAVVFGVTWILAYAMLISNDDIRIVFSYIFCLFNTTQGLQIFILYTVRT KVFQSEASKILKSLSSSFDRTKPMPSITPLKLRVRMYNMLRSLPSLNERFRLLEPSGMTE ETSLS >ENSMUSP00000024747.7 pep:known chromosome:GRCm38:17:46016993:46031815:-1 gene:ENSMUSG00000023951.16 transcript:ENSMUST00000024747.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vegfa description:vascular endothelial growth factor A [Source:MGI Symbol;Acc:MGI:103178] MNFLLSWVHWTLALLLYLHHAKWSQAAPTTEGEQKSHEVIKFMDVYQRSYCRPIETLVDI FQEYPDEIEYIFKPSCVPLMRCAGCCNDEALECVPTSESNITMQIMRIKPHQSQHIGEMS FLQHSRCECRPKKDRTKPEKCDKPRR >ENSMUSP00000071575.5 pep:known chromosome:GRCm38:17:46016993:46032369:-1 gene:ENSMUSG00000023951.16 transcript:ENSMUST00000071648.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vegfa description:vascular endothelial growth factor A [Source:MGI Symbol;Acc:MGI:103178] LTDRQTDTAPSPSAHLLAGGLPTVDAAASREEPKPAPGGGVEGVGARGIARKLFVQLLGS SRSVVAVVCAAGDKPIGAGRSASSGLEKPGPEKRGEEEKEEERGPQWALGSQEPSSWTGE AAVCADSAPAARAPQAPARASVPEGRGARQGAQESGLPRSPSRRGSASRAGPGRASETMN FLLSWVHWTLALLLYLHHAKWSQAAPTTEGEQKSHEVIKFMDVYQRSYCRPIETLVDIFQ EYPDEIEYIFKPSCVPLMRCAGCCNDEALECVPTSESNITMQIMRIKPHQSQHIGEMSFL QHSRCECRPKKDRTKPENHCEPCSERRKHLFVQDPQTCKCSCKNTDSRCKARQLELNERT CRCDKPRR >ENSMUSP00000115883.2 pep:known chromosome:GRCm38:17:46018543:46032369:-1 gene:ENSMUSG00000023951.16 transcript:ENSMUST00000142351.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vegfa description:vascular endothelial growth factor A [Source:MGI Symbol;Acc:MGI:103178] LTDRQTDTAPSPSAHLLAGGLPTVDAAASREEPKPAPGGGVEGVGARGIARKLFVQLLGS SRSVVAVVCAAGDKPIGAGRSASSGLEKPGPEKRGEEEKEEERGPQWALGSQEPSSWTGE AAVCADSAPAARAPQAPARASVPEGRGARQGAQESGLPRSPSRRGSASRAGPGRASETMN FLLSWVHWTLALLLYLHHAKWSQAAPTTEGEQKSHEVIKFMDVYQRSYCRPIETLVDIFQ EYPDEIEYIFKPSCVPLMRCAGCCNDEALECVPTSESNITMQIMRIKPHQSQHIGEMSFL QHSRCECRPKKDRTKPEKKSVRGKGKGQKRKRKKSRFKSWSVHCEPCSERRKHLFVQDPQ TCKCSCKNTDSRCKARQLELNERTCRCDKPRR >ENSMUSP00000109148.1 pep:known chromosome:GRCm38:17:46018831:46031356:-1 gene:ENSMUSG00000023951.16 transcript:ENSMUST00000113520.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vegfa description:vascular endothelial growth factor A [Source:MGI Symbol;Acc:MGI:103178] MNFLLSWVHWTLALLLYLHHAKWSQAAPTTEGEQKSHEVIKFMDVYQRSYCRPIETLVDI FQEYPDEIEYIFKPSCVPLMRCAGCCNDEALECVPTSESNITMQIMRIKPHQSQHIGEMS FLQHSRCECRPKKDRTKPEKKSVRGKGKGQKRKRKKSRHCEPCSERRKHLFVQDPQTCKC SCKNTDSRCKARQLELNERTCRCDKPRR >ENSMUSP00000109147.1 pep:known chromosome:GRCm38:17:46018858:46031356:-1 gene:ENSMUSG00000023951.16 transcript:ENSMUST00000113519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vegfa description:vascular endothelial growth factor A [Source:MGI Symbol;Acc:MGI:103178] MNFLLSWVHWTLALLLYLHHAKWSQAAPTTEGEQKSHEVIKFMDVYQRSYCRPIETLVDI FQEYPDEIEYIFKPSCVPLMRCAGCCNDEALECVPTSESNITMQIMRIKPHQSQHIGEMS FLQHSRCECRPKKDRTKPEKKSVRGKGKGQKRKRKKSRFKSWSVCDKPRR >ENSMUSP00000131901.1 pep:known chromosome:GRCm38:17:46016996:46032377:-1 gene:ENSMUSG00000023951.16 transcript:ENSMUST00000167860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vegfa description:vascular endothelial growth factor A [Source:MGI Symbol;Acc:MGI:103178] LTDRQTDTAPSPSAHLLAGGLPTVDAAASREEPKPAPGGGVEGVGARGIARKLFVQLLGS SRSVVAVVCAAGDKPIGAGRSASSGLEKPGPEKRGEEEKEEERGPQWALGSQEPSSWTGE AAVCADSAPAARAPQAPARASVPEGRGARQGAQESGLPRSPSRRGSASRAGPGRASETMN FLLSWVHWTLALLLYLHHAKWSQAAPTTEGEQKSHEVIKFMDVYQRSYCRPIETLVDIFQ EYPDEIEYIFKPSCVPLMRCAGCCNDEALECVPTSESNITMQIMRIKPHQSQHIGEMSFL QHSRCECRPKKDRTKPEKCDKPRR >ENSMUSP00000034643.5 pep:known chromosome:GRCm38:9:37416045:37430134:-1 gene:ENSMUSG00000032128.14 transcript:ENSMUST00000034643.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Robo3 description:roundabout homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1343102] MSEAEGSLTLQSKPGLPPVALPGYLELPSSPGSRVGPEDAMPRIVEQPPDLVVSRGEPAT LPCRAEGRPRPNIEWYKNGARVATAREDPRAHRLLLPSGALFFPRIVHGRRSRPDEGVYT CVARNYLGAAASRNASLEVAVLRDDFRQSPGNVVVAVGEPAVMECVPPKGHPEPLVTWKK GKIKLKEEEGRITIRGGKLMMSHTFKSDAGMYMCVASNMAGERESGAAELVVLERPSFLR RPINQVVLADAPVNFLCEVQGDPQPNLHWRKDDGELPAGRYEIRSDHSLWIDQVSSEDEG TYTCVAENSVGRAEASGSLSVHVPPQFVTKPQNQTVAPGANVSFQCETKGNPPPAIFWQK EGSQVLLFPSQSLQPMGRLLVSPRGQLNITEVKIGDGGYYVCQAVSVAGSILAKALLEIK GASIDGLPPIILQGPANQTLVLGSSVWLPCRVIGNPQPNIQWKKDERWLQGDDSQFNLMD NGTLHIASIQEMDMGFYSCVAKSSIGEATWNSWLRKQEDWGASPGPATGPSNPPGPPSQP IVTEVTANSITLTWKPNPQSGATATSYVIEAFSQAAGNTWRTVADGVQLETYTISGLQPN TIYLFLVRAVGAWGLSEPSPVSEPVQTQDSSLSRPAEDPWKGQRGLAEVAVRMQEPTVLG PRTLQVSWTVDGPVQLVQGFRVSWRIAGLDQGSWTMLDLQSPHKQSTVLRGLPPGAQIQI KVQVQGQEGLGAESPFVTRSIPEEAPSGPPQGVAVALGGDRNSSVTVSWEPPLPSQRNGV ITEYQIWCLGNESRFHLNRSAAGWARSVTFSGLLPGQIYRALVAAATSAGVGVASAPVLV QLPFPPAAEPGPEVSEGLAERLAKVLRKPAFLAGSSAACGALLLGFCAALYRRQKQRKEL SHYTASFAYTPAVSFPHSEGLSGSSSRPPMGLGPAAYPWLADSWPHPPRSPSAQEPRGSC CPSNPDPDDRYYNEAGISLYLAQTARGANASGEGPVYSTIDPVGEELQTFHGGFPQHSSG DPSTWSQYAPPEWSEGDSGARGGQGKLLGKPVQMPSLSWPEALPPPPPSCELSCPEGPEE ELKGSSDLEEWCPPVPEKSHLVGSSSSGACMVAPAPRDTPSPTSSYGQQSTATLTPSPPD PPQPPTDIPHLHQMPRRVPLGPSSPLSVSQPALSSHDGRPVGLGAGPVLSYHASPSPVPS TASSAPGRTRQVTGEMTPPLHGHRARIRKKPKALPYRREHSPGDLPPPPLPPPEEETSWP LGLRAAGSMSSLERERSGERRVVQAVPLGAQPLGAQRGPHPDAALLGCAAEEAWLPYGRP SFLSHGQGTSTCSTAGSNSSRGSNSSRGSRGSRGPGRSRSRSRSQSQSQRPGRNRREEPR >ENSMUSP00000110690.1 pep:known chromosome:GRCm38:9:37416045:37433163:-1 gene:ENSMUSG00000032128.14 transcript:ENSMUST00000115038.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Robo3 description:roundabout homolog 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:1343102] MLRYLLKTLLQMNLFADSLARDISNSSELLFGFNSSLAALNPSLLPPGDPSLNGSRVGPE DAMPRIVEQPPDLVVSRGEPATLPCRAEGRPRPNIEWYKNGARVATAREDPRAHRLLLPS GALFFPRIVHGRRSRPDEGVYTCVARNYLGAAASRNASLEVAVLRDDFRQSPGNVVVAVG EPAVMECVPPKGHPEPLVTWKKGKIKLKEEEGRITIRGGKLMMSHTFKSDAGMYMCVASN MAGERESGAAELVVLERPSFLRRPINQVVLADAPVNFLCEVQGDPQPNLHWRKDDGELPA GRYEIRSDHSLWIDQVSSEDEGTYTCVAENSVGRAEASGSLSVHVPPQFVTKPQNQTVAP GANVSFQCETKGNPPPAIFWQKEGSQVLLFPSQSLQPMGRLLVSPRGQLNITEVKIGDGG YYVCQAVSVAGSILAKALLEIKGASIDGLPPIILQGPANQTLVLGSSVWLPCRVIGNPQP NIQWKKDERWLQGDDSQFNLMDNGTLHIASIQEMDMGFYSCVAKSSIGEATWNSWLRKQE DWGASPGPATGPSNPPGPPSQPIVTEVTANSITLTWKPNPQSGATATSYVIEAFSQAAGN TWRTVADGVQLETYTISGLQPNTIYLFLVRAVGAWGLSEPSPVSEPVQTQDSSLSRPAED PWKGQRGLAEVAVRMQEPTVLGPRTLQVSWTVDGPVQLVQGFRVSWRIAGLDQGSWTMLD LQSPHKQSTVLRGLPPGAQIQIKVQVQGQEGLGAESPFVTRSIPEEAPSGPPQGVAVALG GDRNSSVTVSWEPPLPSQRNGVITEYQIWCLGNESRFHLNRSAAGWARSVTFSGLLPGQI YRALVAAATSAGVGVASAPVLVQLPFPPAAEPGPEVSEGLAERLAKVLRKPAFLAGSSAA CGALLLGFCAALYRRQKQRKELSHYTASFAYTPAVSFPHSEGLSGSSSRPPMGLGPAAYP WLADSWPHPPRSPSAQEPRGSCCPSNPDPDDRYYNEAGISLYLAQTARGANASGEGPVYS TIDPVGEELQTFHGGFPQHSSGDPSTWSQYAPPEWSEGDSGARGGQGKLLGKPVQMPSLS WPEALPPPPPSCELSCPEGPEEELKGSSDLEEWCPPVPEKSHLVGSSSSGACMVAPAPRD TPSPTSSYGQQSTATLTPSPPDPPQPPTDIPHLHQMPRRVPLGPSSPLSVSQPALSSHDG RPVGLGAGPVLSYHASPSPVPSTASSAPGRTRQVTGEMTPPLHGHRARIRKKPKALPYRR EHSPGDLPPPPLPPPEEETSWPLGLRAAGSMSSLERERSGERRVVQAVPLGAQPLGAQRG PHPDAALLGCAAEEAWLPYGRPSFLSHGQGTSTCSTAGSNSSRGSNSSRGSRGSRGPGRS RSRSRSQSQSQRPGRNRREEPR >ENSMUSP00000019290.2 pep:known chromosome:GRCm38:15:77991748:78120028:-1 gene:ENSMUSG00000019146.2 transcript:ENSMUST00000019290.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng2 description:calcium channel, voltage-dependent, gamma subunit 2 [Source:MGI Symbol;Acc:MGI:1316660] MGLFDRGVQMLLTTVGAFAAFSLMTIAVGTDYWLYSRGVCKTKSVSENETSKKNEEVMTH SGLWRTCCLEGNFKGLCKQIDHFPEDADYEADTAEYFLRAVRASSIFPILSVILLFMGGL CIAASEFYKTRHNIILSAGIFFVSAGLSNIIGIIVYISANAGDPSKSDSKKNSYSYGWSF YFGALSFIIAEMVGVLAVHMFIDRHKQLRATARATDYLQASAITRIPSYRYRYQRRSRSS SRSTEPSHSRDASPVGVKGFNTLPSTEISMYTLSRDPLKAATTPTATYNSDRDNSFLQVH NCIQKDSKDSLHANTANRRTTPV >ENSMUSP00000144628.1 pep:known chromosome:GRCm38:6:40439088:40455127:1 gene:ENSMUSG00000037159.11 transcript:ENSMUST00000202464.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wee2 description:WEE1 homolog 2 (S. pombe) [Source:MGI Symbol;Acc:MGI:3027899] MADTETDQGLNKKLSFSFCEEDTESEGQMTAQDIGGAQSQKPGREESEELEGPVPPTRDE LHTSLSRDKESPGPDLWKSVSGPPKCPETPVLHSKSKLPVPTNFSTPKNSLGQPEISLLE KLSSRSSRHMRLTPASLMDEKTSLSLVNINPFTPETYRKLLLQSKGKRKTRDYLEETGEE ESKSVQWLPAKRSILQETNMASRYEKEFF >ENSMUSP00000038754.8 pep:known chromosome:GRCm38:6:40442863:40466813:1 gene:ENSMUSG00000037159.11 transcript:ENSMUST00000038907.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wee2 description:WEE1 homolog 2 (S. pombe) [Source:MGI Symbol;Acc:MGI:3027899] MADTETDQGLNKKLSFSFCEEDTESEGQMTAQDIGGAQSQKPGREESEELEGPVPPTRDE LHTSLSRDKESPGPDLWKSVSGPPKCPETPVLHSKSKLPVPTNFSTPKNSLGQPEISLLE KLSSRSSRHMRLTPASLMDEKTSLSLVNINPFTPETYRKLLLQSKGKRKTRDYLEETGEE ESKSVQWLPAKRSILQETNMASRYEKEFFEIEKIGVGEFGTVYKCIKRLDGCIYAIKRSA KSFSGLSNELDLHEVYAHAVLGHHPHVVRYYSSWIEDDHVVIQNEYCNGGSLQAAISENT ASNNHFQEPKLKDILLQISLGLKYIHNSGMVHLDIKPSNIFICHKMQCDSPVGPEEAESE ADWFLNASVMYKIGDLGHATSISKPKVEEGDTRFLANEILQENYQHLPKADIFALGLTIA VAAGAESLPINGDMWHHIRKGNFPEISQELSDDFYGLLKNMIHPAPKERPSAAALARSRI LWPFLEKTDELQKQLNLEKSKTATLKRELKKARHIQTPQREVHHCYYQICKGSRHLLVGG RRKAPSSFTRGTSSV >ENSMUSP00000122678.1 pep:known chromosome:GRCm38:6:40442937:40444154:1 gene:ENSMUSG00000037159.11 transcript:ENSMUST00000141490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wee2 description:WEE1 homolog 2 (S. pombe) [Source:MGI Symbol;Acc:MGI:3027899] MADTETDQGLNKKLSFSFCEEDTESEGQMTAQDIGGAQSQKPGREESEELEGPVPPTRD >ENSMUSP00000104663.4 pep:known chromosome:GRCm38:15:99734881:99772462:-1 gene:ENSMUSG00000023021.15 transcript:ENSMUST00000109035.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers5 description:ceramide synthase 5 [Source:MGI Symbol;Acc:MGI:1919199] MATAAAETLGLLWGWLWSESFWLPQNVSWADLEGPGDGYGYPRAQHVLSVFPLAVCIFSV RMLFERFIAKPCALRVGIKDSPVNKVEPNDTLEKVFVSVTKYPDEKRLKGLSKQLDWSVR KIQCWFRHRRNQDKPPTLTKFCESMWRFTYYLCIFCYGIRFLWSMPWFWDTRQCWYNYPY QPLSRELYYYYITQLAFYWSLMFSQFIDVKRKDFLMMFIHHMIGIMLTTFSYVNNMVRVG ALIFCLHDFADPLLEAAKMANYARRERLCTTLFVIFGAAFIVSRLAIFPLWILNTTLFES WEIIGPYPSWWLFNALLLILQVLHAIWSYLIVQTASKALSRGKVLSQEGLDPGSVPSALS HLLPLFSAWWQLDSSILCMCLRMTAVM >ENSMUSP00000135074.2 pep:known chromosome:GRCm38:15:99735311:99772465:-1 gene:ENSMUSG00000023021.15 transcript:ENSMUST00000176248.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cers5 description:ceramide synthase 5 [Source:MGI Symbol;Acc:MGI:1919199] MATAAAETLGLLWGWLWSESFWLPQNVSWADLEGPGDGYGYPRAQHVLSVFPLAVCIFSV RMLFERFIAKPCALRVGIKDSPVNKVEPNDTLEKVFVSVTKYPDEKRLKGLSKQLDWSVR KIQCWFRHRRNQDKPPTLTKFCESMWRFTYYLCIFCYGIRFLWSDFLMMFIHHMIGIMLT TFSYVNNMVRVGALIFCLHDFADPLLEAAKMANYARRERLCTTLFVIFGAAFIVSRLAIF PLWCSMPSGPT >ENSMUSP00000023762.6 pep:known chromosome:GRCm38:15:99735592:99772601:-1 gene:ENSMUSG00000023021.15 transcript:ENSMUST00000023762.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers5 description:ceramide synthase 5 [Source:MGI Symbol;Acc:MGI:1919199] MATAAAETLGLLWGWLWSESFWLPQNVSWADLEGPGDGYGYPRAQHVLSVFPLAVCIFSV RMLFERFIAKPCALRVGIKDSPVNKVEPNDTLEKVFVSVTKYPDEKRLKGLSKQLDWSVR KIQCWFRHRRNQDKPPTLTKFCESMWRFTYYLCIFCYGIRFLWSMPWFWDTRQCWYNYPY QPLSRELYYYYITQLAFYWSLMFSQFIDVKRKDFLMMFIHHMIGIMLTTFSYVNNMVRVG ALIFCLHDFADPLLEAAKMANYARRERLCTTLFVIFGAAFIVSRLAIFPLWILNTTLFES WEIIGPYPSWWLFNALLLILQVLHAIWSYLIVQTASKALSRGKVSKDDRSDVESSSEEED ETTHKNNLSGSSSSNGANCMNGYMGGSHLAEEQGTCKATGNLHFRASPHLHSCD >ENSMUSP00000135723.2 pep:known chromosome:GRCm38:15:99737335:99747070:-1 gene:ENSMUSG00000023021.15 transcript:ENSMUST00000176970.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers5 description:ceramide synthase 5 [Source:MGI Symbol;Acc:MGI:1919199] XPPTLTKFCESMWRFTYYLCIFCYGIRFLWSMPWFWDTRQCWYNYPYQPLSRELYYYYIT QLAFYWSLMFSQFIDVKRKAAKMANYARRERLCTTLFVIFGAAFIVSRLAIFPLWILNTT LFESWEIIGPYPSWWLFNALLLILQVLHAIWSYLIVQTASKALSRGKVLSQEGLDPGSVP SALSHLLPLFSAWWQLDSSILCM >ENSMUSP00000134845.1 pep:known chromosome:GRCm38:15:99739408:99772885:-1 gene:ENSMUSG00000023021.15 transcript:ENSMUST00000175876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers5 description:ceramide synthase 5 [Source:MGI Symbol;Acc:MGI:1919199] MVMSNLLKETGRGSGCRFIAKPCALRVGIKDSPVNKVEPNDTLEKVFVSVTKYPDEKRLK GLSKQLDWSVRKIQCWFRHRRNQDKPPTLTKFCESMWRFTYYLCIFCYGIRFLWSMPWFW DTRQCWYNYPYQPLSRELYYYYITQLAFYWSLMFSQFIDVKRKDFLMMFIHHMIGIMLTT FSYVNNMVRVGALIFCLHDFADPLLEAAKMANYARRERLCTTLFVIFGAAFIVSRLAIFP L >ENSMUSP00000134988.2 pep:known chromosome:GRCm38:15:99745942:99772092:-1 gene:ENSMUSG00000023021.15 transcript:ENSMUST00000176627.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cers5 description:ceramide synthase 5 [Source:MGI Symbol;Acc:MGI:1919199] MELSKAASQSPGTQEFIAKPCALRVGIKDSPVNKVEPNDTLEKVFVSVTKYPDEKRLKGL SKQLDWSVRKIQCWFRHRRNQDKPPTLTKFCESMW >ENSMUSP00000020941.4 pep:known chromosome:GRCm38:11:109791557:109828022:-1 gene:ENSMUSG00000020617.13 transcript:ENSMUST00000020941.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700012B07Rik description:RIKEN cDNA 1700012B07 gene [Source:MGI Symbol;Acc:MGI:1916574] MSHFNNWKSAQTSQSLTSQDSIRVSHERKSENKNHEELPESHHSSHGQDKEGVWLPGSRT EEAEEDPAAEEGPFLSKTELQKDTQGACDSKVVGQGRELSPPTTKSIRIYDASPFSSYPL QAEKMSPHRRARMARLPQQGPFRHLMDMKAEKRLAGWKERRSRFGDINVHKCYQFGQVFS PFQALATTEMRRLVLPRDLPMSSHRRRINPSSLGDMNLQDSPLSPELTLGNDDGHHEKDK PVSHIKKPLFPPIVKTMKYNDMK >ENSMUSP00000115395.1 pep:known chromosome:GRCm38:11:109791598:109814074:-1 gene:ENSMUSG00000020617.13 transcript:ENSMUST00000143578.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700012B07Rik description:RIKEN cDNA 1700012B07 gene [Source:MGI Symbol;Acc:MGI:1916574] MASPAVEDCLLPNCKVSHERKSENKNHEELPESHHSSHGQDKEGVWLPGSRTEEAEEDPA AEEGPFLSKTELQKDTQGACDSKVVGQGRELSPPTTKSIRIYDASPFSSYPLQAEKMSPH RREMRRLVLPRDLPMSSHRRRINPSSLGDMNLQDSPLSPELTLGNDDGHHEKDKPVSHIK KPLFPPIV >ENSMUSP00000102285.1 pep:known chromosome:GRCm38:11:109787651:109828046:-1 gene:ENSMUSG00000020617.13 transcript:ENSMUST00000106674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700012B07Rik description:RIKEN cDNA 1700012B07 gene [Source:MGI Symbol;Acc:MGI:1916574] MSHFNNWKSAQTSQSLTSQDSIRVSHERKSENKNHEELPESHHSSHGQDKEGVWLPGSRT EEAEEDPAAEEGPFLSKTELQKDTQGACDSKVVGQGRELSPPTTKSIRIYDASPFSSYPL QAEKMSPHRRARMARLPQQGPFRHLMDMKAEKRLAGWKERRSRFGDINVHKCYQFGQVFS PFQALATTEMRRLVLPRDLPMSSHRRRINPSSLGDMNLQDSPLSPELTLGNDDGHHEKDK PVHHSIIRVLSHVMDVTEASNLCLHLITAPGRLFHP >ENSMUSP00000082822.6 pep:known chromosome:GRCm38:5:145114215:145129699:1 gene:ENSMUSG00000029622.16 transcript:ENSMUST00000085679.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc1b description:actin related protein 2/3 complex, subunit 1B [Source:MGI Symbol;Acc:MGI:1343142] MAYHSFLVEPISCHAWNKDRTQIAICPNNHEVHIYEKSGAKWNKVHELKEHNGQVTGIDW APESNRIVTCGTDRNAYVWTLKGRTWKPTLVILRINRAARCVRWAPNENKFAVGSGSRVI SICYFEQENDWWVCKHIKKPIRSTVLSLDWHPNNVLLAAGSCDFKCRIFSAYIKEVEERP APTPWGSKMPFGELMFESSSSCGWVHGVCFSASGSRVAWVSHDSTVCLVDADKKMAVATL ASETLPLLAVTFITENSLVAAGHDCFPVLFTYDNAAVTLSFGGRLDVPKQSSQRGMTARE RFQNLDKKASSEGGAATGAGLDSLHKNSVSQISVLSGGKAKCSQFCTTGMDGGMSIWDVK SLESALKDLKIK >ENSMUSP00000143438.1 pep:known chromosome:GRCm38:5:145114312:145128073:1 gene:ENSMUSG00000029622.16 transcript:ENSMUST00000196111.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc1b description:actin related protein 2/3 complex, subunit 1B [Source:MGI Symbol;Acc:MGI:1343142] MAYHSFLVEPISCHAWNKDRTQIAICPNNHEVHIYEKSGAKWNKVHELKEHNGQVTGIDW APESNRIVTCGTDRNAYVWTLKGRTWKPTLVILRINRAARCVRWAPNENKFAVGSGSRVI SICYFEQENDWWVCKHIKKPIRSTVLSLDWHPNNVLLAAGSCDFKCRIFSAYIKEVEERP APTPWGSKMPFGELMFESSSSCGWVHGVCFSASGSRVAWVSHDSTVCLVDADKKMALSFS VATLASETLPLLAVTFITENSLVAAGHDCFPVLFTYDNAAVTLSFGGRLDVPKQSSQRGM TARERFQNLDKKASSEGGAATGAGLDSLHKNSVSQISVLSGGKAKCSQFCTTGMDGGMSI WDVKSLESALKDLKIK >ENSMUSP00000122340.1 pep:known chromosome:GRCm38:5:145114316:145122635:1 gene:ENSMUSG00000029622.16 transcript:ENSMUST00000141602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc1b description:actin related protein 2/3 complex, subunit 1B [Source:MGI Symbol;Acc:MGI:1343142] MAYHSFLVEPISCHAWNKDRTQIAICPNNHEVHIYEKSGAKWNKVHELKEHNGQVT >ENSMUSP00000115022.1 pep:known chromosome:GRCm38:5:145123679:145126786:1 gene:ENSMUSG00000029622.16 transcript:ENSMUST00000136074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc1b description:actin related protein 2/3 complex, subunit 1B [Source:MGI Symbol;Acc:MGI:1343142] RINRAARCVRWAPNENKFAVGSGSRVISICYFEQENDWWVLGWGWRNLGWGTGAYVMQDQ NVPEHGRCNVETPGHLSSEPHWWVCKHIKKPIRSTVLSLDWHPNNVLLAAGSCDFKCRIF SAYIKEVEERPAPTPWGSKMPFGELMFESSSSCGWVHGVCFSASGSRVAWVSHDSTVCLV DADKKMAVATLASETLPLLAVTFITENSLVAAGHDCFPVLFTYDNAAVTLSF >ENSMUSP00000115515.1 pep:known chromosome:GRCm38:5:145126758:145130705:1 gene:ENSMUSG00000029622.16 transcript:ENSMUST00000138922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arpc1b description:actin related protein 2/3 complex, subunit 1B [Source:MGI Symbol;Acc:MGI:1343142] XDNAAVTLSFGGRLDVPKQSSQRGMTARERFQNLDKKASSEGGAATGAGLDSLHKNSVSQ ISVLSGGKAKCSQFCTTGMDGGMSIWDVKDFKTEASETFCAHRLR >ENSMUSP00000056105.5 pep:known chromosome:GRCm38:7:127863047:127876828:-1 gene:ENSMUSG00000049728.14 transcript:ENSMUST00000054415.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp668 description:zinc finger protein 668 [Source:MGI Symbol;Acc:MGI:2442943] MEVEATEARSPGPCYKRSGRRYKCLFCTKTFPNAPRAARHAATHTPTDCTEEVREAQPKV DTEPKAEEASGDKVSASVAKPRPYACPLCPKAYKTAPELRSHGRSHTGEKPFPCPECGRR FMQPVCLRVHLASHAGELPFRCTHCPKAYGTLSKLKIHQRGHTGERPYACPDCGKSFADP SVFRKHRRTHAGLRPYSCERCGKAYAELKDLRNHERSHTGERPFLCSECGKSFSRSSSLT CHQRIHAAQKPYRCPACGKGFTQLSSYQSHERTHSGEKPFLCPRCGRMFSDPSSFRRHQR AHEGVKPYRCEKCGKDFRQPADLAMHRRVHTGDRPFKCLQCDKTFVASWDLKRHALVHSG QRPFRCEECGRAFAERASLTKHSRMHSGERPFHCNACGKSFVVLSSLRKHERTHRSNETT GAAPQQELVLGLALPVGVVGEGSAAPVAGAGVGDAPAGLLGLPPESGGVVATQWQVVGMT VEHVECQDAGVGEAPSTLGDAGEVGGEETDEKPPQFVCRECKETFSTLTLLRRHERSHPE LRPFPCTQCGKSFSDRAGLRKHSRTHSSVRPYSCSQCPKAFLSASDLRKHERTHPVPIGT PIPLEPLVALLGMPEEGSA >ENSMUSP00000101870.1 pep:known chromosome:GRCm38:7:127865717:127876780:-1 gene:ENSMUSG00000049728.14 transcript:ENSMUST00000106263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp668 description:zinc finger protein 668 [Source:MGI Symbol;Acc:MGI:2442943] MEVEATEARSPGPCYKRSGRRYKCLFCTKTFPNAPRAARHAATHTPTDCTEEVREAQPKV DTEPKAEEASGDKVSASVAKPRPYACPLCPKAYKTAPELRSHGRSHTGEKPFPCPECGRR FMQPVCLRVHLASHAGELPFRCTHCPKAYGTLSKLKIHQRGHTGERPYACPDCGKSFADP SVFRKHRRTHAGLRPYSCERCGKAYAELKDLRNHERSHTGERPFLCSECGKSFSRSSSLT CHQRIHAAQKPYRCPACGKGFTQLSSYQSHERTHSGEKPFLCPRCGRMFSDPSSFRRHQR AHEGVKPYRCEKCGKDFRQPADLAMHRRVHTGDRPFKCLQCDKTFVASWDLKRHALVHSG QRPFRCEECGRAFAERASLTKHSRMHSGERPFHCNACGKSFVVLSSLRKHERTHRSNETT GAAPQQELVLGLALPVGVVGEGSAAPVAGAGVGDAPAGLLGLPPESGGVVATQWQVVGMT VEHVECQDAGVGEAPSTLGDAGEVGGEETDEKPPQFVCRECKETFSTLTLLRRHERSHPE LRPFPCTQCGKSFSDRAGLRKHSRTHSSVRPYSCSQCPKAFLSASDLRKHERTHPVPIGT PIPLEPLVALLGMPEEGSA >ENSMUSP00000101868.1 pep:known chromosome:GRCm38:7:127865993:127875646:-1 gene:ENSMUSG00000049728.14 transcript:ENSMUST00000106261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp668 description:zinc finger protein 668 [Source:MGI Symbol;Acc:MGI:2442943] MEVEATEARSPGPCYKRSGRRYKCLFCTKTFPNAPRAARHAATHTPTDCTEEVREAQPKV DTEPKAEEASGDKVSASVAKPRPYACPLCPKAYKTAPELRSHGRSHTGEKPFPCPECGRR FMQPVCLRVHLASHAGELPFRCTHCPKAYGTLSKLKIHQRGHTGERPYACPDCGKSFADP SVFRKHRRTHAGLRPYSCERCGKAYAELKDLRNHERSHTGERPFLCSECGKSFSRSSSLT CHQRIHAAQKPYRCPACGKGFTQLSSYQSHERTHSGEKPFLCPRCGRMFSDPSSFRRHQR AHEGVKPYRCEKCGKDFRQPADLAMHRRVHTGDRPFKCLQCDKTFVASWDLKRHALVHSG QRPFRCEECGRAFAERASLTKHSRMHSGERPFHCNACGKSFVVLSSLRKHERTHRSNETT GAAPQQELVLGLALPVGVVGEGSAAPVAGAGVGDAPAGLLGLPPESGGVVATQWQVVGMT VEHVECQDAGVGEAPSTLGDAGEVGGEETDEKPPQFVCRECKETFSTLTLLRRHERSHPE LRPFPCTQCGKSFSDRAGLRKHSRTHSSVRPYSCSQCPKAFLSASDLRKHERTHPVPIGT PIPLEPLVALLGMPEEGSA >ENSMUSP00000101869.1 pep:known chromosome:GRCm38:7:127865993:127876777:-1 gene:ENSMUSG00000049728.14 transcript:ENSMUST00000106262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp668 description:zinc finger protein 668 [Source:MGI Symbol;Acc:MGI:2442943] MEVEATEARSPGPCYKRSGRRYKCLFCTKTFPNAPRAARHAATHTPTDCTEEVREAQPKV DTEPKAEEASGDKVSASVAKPRPYACPLCPKAYKTAPELRSHGRSHTGEKPFPCPECGRR FMQPVCLRVHLASHAGELPFRCTHCPKAYGTLSKLKIHQRGHTGERPYACPDCGKSFADP SVFRKHRRTHAGLRPYSCERCGKAYAELKDLRNHERSHTGERPFLCSECGKSFSRSSSLT CHQRIHAAQKPYRCPACGKGFTQLSSYQSHERTHSGEKPFLCPRCGRMFSDPSSFRRHQR AHEGVKPYRCEKCGKDFRQPADLAMHRRVHTGDRPFKCLQCDKTFVASWDLKRHALVHSG QRPFRCEECGRAFAERASLTKHSRMHSGERPFHCNACGKSFVVLSSLRKHERTHRSNETT GAAPQQELVLGLALPVGVVGEGSAAPVAGAGVGDAPAGLLGLPPESGGVVATQWQVVGMT VEHVECQDAGVGEAPSTLGDAGEVGGEETDEKPPQFVCRECKETFSTLTLLRRHERSHPE LRPFPCTQCGKSFSDRAGLRKHSRTHSSVRPYSCSQCPKAFLSASDLRKHERTHPVPIGT PIPLEPLVALLGMPEEGSA >ENSMUSP00000116730.1 pep:known chromosome:GRCm38:7:127868041:127875657:-1 gene:ENSMUSG00000049728.14 transcript:ENSMUST00000144721.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp668 description:zinc finger protein 668 [Source:MGI Symbol;Acc:MGI:2442943] MEVEATEARSPGPCYKRSGRRYKCLFCTKTFPNAPRAARHAATHTPTDCTEEVREAQPKV DTEPKAEEASGDKVSASVAKPRPYACPLCPKAYKTAPELRSHGRSHTGEKPFPCPECGRR >ENSMUSP00000062670.8 pep:known chromosome:GRCm38:6:5264147:5298455:-1 gene:ENSMUSG00000032667.17 transcript:ENSMUST00000057792.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pon2 description:paraoxonase 2 [Source:MGI Symbol;Acc:MGI:106687] MGRMVALSLLGIGLALLGERFLALRSRLKASREVESVDLPNCHLIKGIETGAEDIDILPN GLAFFSVGLKFPGLHSFAPDKPGGILMMDLKDERPRALELRVSWGFDLASFNPHGISTFI DDDDTVYLFVVNHPQFKSTVEIFKFQEEENSLLHLKTIKHELLPSVNDIIAVGPTHFYAT NDHYFSDPFLKYLETYLNLHWANVVYYSPEEVKLVAEGFDSANGINISPDKKYVYVADIL AHEIHVLEKQPNMNLTQLKVLQLGTLVDNLSIDPSSGDIWVGCHPNGQRLFVYHPNHPPA SEVLRIQNILSEKPSVTTVYINNGSVLQGSSVATIYDRKLLVGTLYQKALYCEL >ENSMUSP00000110550.1 pep:known chromosome:GRCm38:6:38305286:38354273:-1 gene:ENSMUSG00000029826.14 transcript:ENSMUST00000114900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3hav1 description:zinc finger CCCH type, antiviral 1 [Source:MGI Symbol;Acc:MGI:1926031] MTDPEVFCFITKILCAHGGRMTLEELLGEISLPEAQLYELLKAAGPDRFVLLETGDQAGI TRSVVATTRARVCRRKYCQRPCDSLHLCKLNLLGRCHYAQSQRNLCKYSHDVLSEQNFQV LKNHELSGLNQEELAVLLVQSDPFFMPEICKSYKGEGRKQICGQPQPCERLHICEHFTRG NCSYLNCLRSHNLMDRKVLAIMREHGLSSDVVQNIQDICNNKHTRRNPPSMRAPHPHRRG GAHRDRSKSRDRFHHNSLEVLSTVSPLGSGPPSPDVTGCKDPLEDVSADVTQKFKYLGTQ DRAQLSSVSSKAAGVRGPSQMRASQEFLEDGDPDGLFSRNRSDSSTSRTSAAGFPLVAAQ RNEAGAMKMGMPSGHHVEVKGKNEDIDRVPFLNSYIDGVTMEEATVSGILGKRATDNGLE EMILSSNHQKSVAKTQDPQTAGRITDSGQDTAFLHSKYEENPAWPGTSTHNGPNGFSQIM DETPNVSKSSPTGFGIKSAVTGGKEAVYSGVQSLRSHVLAMPGETTTPVQGSNRLPPSPL SSSTSHRVAASGSPGKSSTHASVSPASEPSRMMMMMSDPAEYSLCYIVNPVSPRMDDHGL KEICLDHLYRGCQQVNCNKNHFHLPYRWQLFILPTWMDFQDMEYIERAYCDPQIEIIVIE KHRINFKKMTCDSYPIRRLSTPSFVEKTLNSVFTTKWLWYWRNELNEYTQYGHESPSHTS SEINSAYLESFFHSCPRGVLQFHAGSQNYELSFQGMIQTNIASKTQRHVVRRPVFVSSKD VEQKRRGPDHQPVMPQADALTLFSSPQRNASTVSSNEYEFIELNNQDEEYAKISEQFKAS MKQFKIVTIKRIWNQKLWDTFERKKQKMKNKTEMFLFHAVGRIHMDYICKNNFEWILHGN REIRYGKGNYFTKEAMYSHKSCSYDSRGTVMFVARVLVGSVIEGNMTLSSPPALYDSCVD TRLNPSVFVIFRKEQIYPEYVIEYMELEKEKGCIIS >ENSMUSP00000144312.1 pep:known chromosome:GRCm38:6:38310587:38354273:-1 gene:ENSMUSG00000029826.14 transcript:ENSMUST00000143702.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3hav1 description:zinc finger CCCH type, antiviral 1 [Source:MGI Symbol;Acc:MGI:1926031] MTDPEVFCFITKILCAHGGRMTLEELLGEISLPEAQLYELLKAAGPDRFVLLETGDQAGI TRSVVATTRARVCRRKYCQRPCDSLHLCKLNLLGRCHYAQSQRNLCKYSHDVLSEQNFQV LKNHELSGLNQEELAVLLVQSDPFFMPEICKSYKGEGRKQICGQPQPCERLHICEHFTRG NCSYLNCLRSHNLMDRKVLAIMREHGLSSDVVQNIQDICNNKHTRRNPPSMRAPHPHRRG GAHRDRSKSRDRFHHNSLEVLSTVSPLGSGPPSPDVTGCKDPLEDVSADVTQKFKYLGTQ DRAQLSSVSSKAAGVRGPSQMRASQEFLEDGDPDGLFSRNRSDSSTSRTSAAGFPLVAAQ RNEAGAMKMGMPSGHHVEVKGKNEDIDRVPFLNSYIDGVTMEEATVSGILGKRATDNGLE EMILSSNHQKSVAKTQDPQTAGRITDSGQDTAFLHSKYEENPAWPGTSTHNGPNGFSQIM DETPNVSKSSPTGFGIKSAVTGGKEAVYSGVQSLRSHVLAMPGETTTPVQGSNRLPPSPL SSSTSHRVAASGSPGKSSTHASVSPASEPSRMMMMMSDPAEYSLCYIVNPVSPRMDDHGL KEICLDHLYRGCQQVNCNKNHFHLPYRWQLFILPTWMDFQDMEYIERAYCDPQIEIIVIE KHRINFKKMTCDSYPIRRLSTPSFVEKTLNSVFTTKWLWYWRNELNEYTQYGHESPSHTS SEINSAYLESFFHSCPRGVLQFHAGSQNYELSFQGMIQTNIASKTQRHVVRRPVFVSSKD VEQKRRGPDHQPVMPQADALTLFSSPQRNASTVSSNEYEFIELNNQDEEYAKISEQFKAS MKQFKIVTIKRIWNQKLWDTFERKKQKMKNKTEMFLFHAVGRIHMDYICKNNFEWILHGN REIRYGKGLCWRRENCDSSHAHGFLEMPLASLGRTASLDSSGLQRK >ENSMUSP00000031850.4 pep:known chromosome:GRCm38:6:38315668:38354603:-1 gene:ENSMUSG00000029826.14 transcript:ENSMUST00000031850.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3hav1 description:zinc finger CCCH type, antiviral 1 [Source:MGI Symbol;Acc:MGI:1926031] MTDPEVFCFITKILCAHGGRMTLEELLGEISLPEAQLYELLKAAGPDRFVLLETGDQAGI TRSVVATTRARVCRRKYCQRPCDSLHLCKLNLLGRCHYAQSQRNLCKYSHDVLSEQNFQV LKNHELSGLNQEELAVLLVQSDPFFMPEICKSYKGEGRKQICGQPQPCERLHICEHFTRG NCSYLNCLRSHNLMDRKVLAIMREHGLSSDVVQNIQDICNNKHTRRNPPSMRAPHPHRRG GAHRDRSKSRDRFHHNSLEVLSTVSPLGSGPPSPDVTGCKDPLEDVSADVTQKFKYLGTQ DRAQLSSVSSKAAGVRGPSQMRASQEFLEDGDPDGLFSRNRSDSSTSRTSAAGFPLVAAQ RNEAGAMKMGMPSGHHVEVKGKNEDIDRVPFLNSYIDGVTMEEATVSGILGKRATDNGLE EMILSSNHQKSVAKTQDPQTAGRITDSGQDTAFLHSKYEENPAWPGTSTHNGPNGFSQIM DETPNVSKSSPTGFGIKSAVTGGKEAVYSGVQSLRSHVLAMPGETTTPVQGSNRLPPSPL SSSTSHRVAASGSPGKSSTHASVSPASEPSRMMMMMSDPAEYSLCYIVNPVSPRMDDHGL KEICLDHLYRGCQQVNCNKNHFHLPYRWQLFILPTWMDFQDMEYIERAYCDPQIEIIVIE KHRINFKKMTCDSYPIRRLSTPSFVEKTLNSVFTTKWLWYWRNELNEYTQYGHESPSHTS SEINSAYLESFFHSCPRGVLQFHAGSQNYELSFQGMIQTNIASKTQRHVVRRPVFVSSKD VEQKRRGPE >ENSMUSP00000110548.1 pep:known chromosome:GRCm38:6:38315668:38354603:-1 gene:ENSMUSG00000029826.14 transcript:ENSMUST00000114898.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zc3hav1 description:zinc finger CCCH type, antiviral 1 [Source:MGI Symbol;Acc:MGI:1926031] MTDPEVFCFITKILCAHGGRMTLEELLGEISLPEAQLYELLKAAGPDRFVLLETGDQAGI TRSVVATTRARVCRRKYCQRPCDSLHLCKLNLLGRCHYAQSQRNLCKYSHDVLSEQNFQV LKNHELSGLNQEELAVLLVQSDPFFMPEICKSYKGEGRKQICGQPQPCERLHICEHFTRG NCSYLNCLRSHNLMDRKVLAIMREHGLSSDVVQNIQDICNNKHTRRNPPSMRAPHPHRRG GAHRDRSKSRDRFHHNSLEVLSTVSPLGSGPPSPDVTGCKDPLEDVSADVTQKFKYLGTQ DRAQLSSVSSKAAGVRGPSQMRASQEFLEDGDPDGLFSRNRSDSSTSRTSAAGFPLVAAQ RNEAGAMKMGMPSGHHVEVKGKNEDIDRVPFLNSYIDGVTMEEATVSGILGKRATDNGLE EMILSSNHQKSVAKTQDPQTAGRITDSGQDTAFLHSKYEENPAWPDSLCYIVNPVSPRMD DHGLKEICLDHLYRGCQQVNCNKNHFHLPYRWQLFILPTWMDFQDMEYIERAYCDPQIEI IVIEKHRINFKKMTCDSYPIRRLSTPSFVEKTLNSVFTTKWLWYWRNELNEYTQYGHESP SHTSSEINSAYLESFFHSCPRGVLQFHAGSQNYELSFQGMIQTNIASKTQRHVVRRPVFV SSKDVEQKRRGPE >ENSMUSP00000070112.4 pep:known chromosome:GRCm38:X:157566119:157568985:-1 gene:ENSMUSG00000091736.3 transcript:ENSMUST00000065806.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Yy2 description:Yy2 transcription factor [Source:MGI Symbol;Acc:MGI:3837947] MASETEKLLCLNTESAEIPADFVELLPPDNIGDIEAVSLETSVGQTIEVYGDVGVDWAHG SQYHSPVIALQPLVGSSLSSRDHDKEMFVVQTREEEVVGYQDSDNLLFSPEFGSQMVLPV NEDDYLQPTTASFTGFLAAENGQGELSPYEGNLCGLTTFIEAGAEESVNADLGDKQWEQK QIDGLDGEFPFTMWDDVNEKEDPIAEEQAGESPPDYSEYMTGKKFPPEGIPGIDLSDPKQ LAEFTSMRPKKPKGDFPRPIACSHKGCEKMFKDNSAMRKHLHIHGPRVHVCAECGKAFVE SSKLKRHQLVHTGEKPYQCTFEGCGRRFSLDFNLRTHVRIHTGDKPFVCPFDACNKKFAQ STNLKSHILTHVKNKNDQ >ENSMUSP00000099867.3 pep:known chromosome:GRCm38:14:54970688:54994634:-1 gene:ENSMUSG00000053093.15 transcript:ENSMUST00000102803.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh7 description:myosin, heavy polypeptide 7, cardiac muscle, beta [Source:MGI Symbol;Acc:MGI:2155600] MADAEMAAFGAAAPFLRKSEKERLEAQTRPFDLKKDVFVPDDKEEFVKAKIVSREGGKVT AETENGKTVTVKEDQVMQQNPPKFDKIEDMAMLTFLHEPAVLYNLKERYASWMIYTYSGL FCVTVNPYKWLPVYNAEVVAAYRGKKRSEAPPHIFSISDNAYQYMLTDRENQSILITGES GAGKTVNTKRVIQYFAVIAAIGDRSKKDQTPGKGTLEDQIIQANPALEAFGNAKTVRNDN SSRFGKFIRIHFGATGKLASADIETYLLEKSRVIFQLKAERDYHIFYQILSNKKPELLDM LLITNNPYDYAFISQGETTVASIDDSEELMATDSAFDVLGFTPEEKNSIYKLTGAIMHFG NMKFKQKQREEQAEPDGTEEADKSAYLMGLNSADLLKGLCHPRVKVGNEYVTKGQNVQQV SYAIGALAKSVYEKMFNWMVTRINATLETKQPRQYFIGVLDIAGFEIFDFNSFEQLCINF TNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLQACIDLIEKPMGIMSILEEECMF PKATDMTFKAKLYDNHLGKSNNFQKPRNVKGKQEAHFSLVHYAGTVDYNILGWLQKNKDP LNETVVGLYQKSSLKLLSNLFANYAGADAPADKGKGKAKKGSSFQTVSALHRENLNKLMT NLRSTHPHFVRCIIPNETKSPGVMDNPLVMHQLRCNGVLEGIRICRKGFPNRILYGDFRQ RYRILNPAAIPEGQFIDSRKGAEKLLGSLDIDHNQYKFGHTKVFFKAGLLGLLEEMRDER LSRIITRIQAQSRGVLSRMEFKKLLERRDSLLIIQWNIRAFMGVKNWPWMKLYFKIKPLL KSAETEKEMATMKEEFGRVKDALEKSEARRKELEEKMVSLLQEKNDLQLQVQAEQDNLAD AEERCDQLIKNKIQLEAKVKEMTERLEDEEEMNAELTAKKRKLEDECSELKRDIDDLELT LAKVEKEKHATENKVKNLTEEMAGLDEIIVKLTKEKKALQEAHQQALDDLQAEEDKVNTL TKAKVKLEQQVDDLEGSLEQEKKVRMDLERAKRKLEGDLKLTQESIMDLENDKQQLDERL KKKDFELNALNARIEDEQALGSQLQKKLKELQARIEELEEELEAERTARAKVEKLRSDLS RELEEISERLEEAGGATSVQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHADSV AELGEQIDNLQRVKQKLEKEKSEFKLELDDVTSNMEQIIKAKANLEKMCRTLEDQMNEHR SKAEETQRSVNDLTSQRAKLQTENGELSRQLDEKEALISQLTRGKLTYTQQLEDLKRQLE EEVKAKNALAHALQSARHDCDLLREQYEEETEAKAELQRVLSKANSEVAQWRTKYETDAI QRTEELEEAKKKLAQRLQDAEEAVEAVNAKCSSLEKTKHRLQNEIEDLMVDVERSNAAAA ALDKKQRNFDKILAEWKQKYEESQSELESSQKEARSLSTELFKLKNAYEESLEHLETFKR ENKNLQEEISDLTEQLGSTGKSIHELEKIRKQLEAEKLELQSALEEAEASLEHEEGKILR AQLEFNQIKAEIERKLAEKDEEMEQAKRNHLRMVDSLQTSLDAETRSRNEALRVKKKMEG DLNEMEIQLSHANRMAAEAQKQVKSLQSLLKDTQIQLDDAVRANDDLKENIAIVERRNNL LQAELEELRAVVEQTERSRKLAEQELIETSERVQLLHSQNTSLINQKKKMDADLSQLQTE VEEAVQECRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTIKDLQHRLDEA EQIALKGGKKQLQKLEARVRELENELEAEQKRNAESVKGMRKSERRIKELTYQTEEDRKN LLRLQDLVDKLQLKVKAYKRQAEEAEEQANTNLSKFRKVQHELDEAEERADIAESQVNKL RAKSRDIGAKGLNEE >ENSMUSP00000116595.1 pep:known chromosome:GRCm38:14:54992692:54994548:-1 gene:ENSMUSG00000053093.15 transcript:ENSMUST00000153783.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh7 description:myosin, heavy polypeptide 7, cardiac muscle, beta [Source:MGI Symbol;Acc:MGI:2155600] MADAEMAAFGAAAPFLRKSEKERLEAQTRPFDLKKDVFVPDDKEEFVKAKIVSREGGKVT A >ENSMUSP00000126840.1 pep:known chromosome:GRCm38:14:54970767:54994626:-1 gene:ENSMUSG00000053093.15 transcript:ENSMUST00000168485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh7 description:myosin, heavy polypeptide 7, cardiac muscle, beta [Source:MGI Symbol;Acc:MGI:2155600] MADAEMAAFGAAAPFLRKSEKERLEAQTRPFDLKKDVFVPDDKEEFVKAKIVSREGGKVT AETENGKTVTVKEDQVMQQNPPKFDKIEDMAMLTFLHEPAVLYNLKERYASWMIYTYSGL FCVTVNPYKWLPVYNAEVVAAYRGKKRSEAPPHIFSISDNAYQYMLTDRENQSILITGES GAGKTVNTKRVIQYFAVIAAIGDRSKKDQTPGKGTLEDQIIQANPALEAFGNAKTVRNDN SSRFGKFIRIHFGATGKLASADIETYLLEKSRVIFQLKAERDYHIFYQILSNKKPELLDM LLITNNPYDYAFISQGETTVASIDDSEELMATDSAFDVLGFTPEEKNSIYKLTGAIMHFG NMKFKQKQREEQAEPDGTEEADKSAYLMGLNSADLLKGLCHPRVKVGNEYVTKGQNVQQV SYAIGALAKSVYEKMFNWMVTRINATLETKQPRQYFIGVLDIAGFEIFDFNSFEQLCINF TNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLQACIDLIEKPMGIMSILEEECMF PKATDMTFKAKLYDNHLGKSNNFQKPRNVKGKQEAHFSLVHYAGTVDYNILGWLQKNKDP LNETVVGLYQKSSLKLLSNLFANYAGADAPADKGKGKAKKGSSFQTVSALHRENLNKLMT NLRSTHPHFVRCIIPNETKSPGVMDNPLVMHQLRCNGVLEGIRICRKGFPNRILYGDFRQ RYRILNPAAIPEGQFIDSRKGAEKLLGSLDIDHNQYKFGHTKVFFKAGLLGLLEEMRDER LSRIITRIQAQSRGVLSRMEFKKLLERRDSLLIIQWNIRAFMGVKNWPWMKLYFKIKPLL KSAETEKEMATMKEEFGRVKDALEKSEARRKELEEKMVSLLQEKNDLQLQVQAEQDNLAD AEERCDQLIKNKIQLEAKVKEMTERLEDEEEMNAELTAKKRKLEDECSELKRDIDDLELT LAKVEKEKHATENKVKNLTEEMAGLDEIIVKLTKEKKALQEAHQQALDDLQAEEDKVNTL TKAKVKLEQQVDDLEGSLEQEKKVRMDLERAKRKLEGDLKLTQESIMDLENDKQQLDERL KKKDFELNALNARIEDEQALGSQLQKKLKELQARIEELEEELEAERTARAKVEKLRSDLS RELEEISERLEEAGGATSVQIEMNKKREAEFQKMRRDLEEATLQHEATAAALRKKHADSV AELGEQIDNLQRVKQKLEKEKSEFKLELDDVTSNMEQIIKAKANLEKMCRTLEDQMNEHR SKAEETQRSVNDLTSQRAKLQTENGELSRQLDEKEALISQLTRGKLTYTQQLEDLKRQLE EEVKAKNALAHALQSARHDCDLLREQYEEETEAKAELQRVLSKANSEVAQWRTKYETDAI QRTEELEEAKKKLAQRLQDAEEAVEAVNAKCSSLEKTKHRLQNEIEDLMVDVERSNAAAA ALDKKQRNFDKILAEWKQKYEESQSELESSQKEARSLSTELFKLKNAYEESLEHLETFKR ENKNLQEEISDLTEQLGSTGKSIHELEKIRKQLEAEKLELQSALEEAEASLEHEEGKILR AQLEFNQIKAEIERKLAEKDEEMEQAKRNHLRMVDSLQTSLDAETRSRNEALRVKKKMEG DLNEMEIQLSHANRMAAEAQKQVKSLQSLLKDTQIQLDDAVRANDDLKENIAIVERRNNL LQAELEELRAVVEQTERSRKLAEQELIETSERVQLLHSQNTSLINQKKKMDADLSQLQTE VEEAVQECRNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTIKDLQHRLDEA EQIALKGGKKQLQKLEARVRELENELEAEQKRNAESVKGMRKSERRIKELTYQTEEDRKN LLRLQDLVDKLQLKVKAYKRQAEEAEEQANTNLSKFRKVQHELDEAEERADIAESQVNKL RAKSRDIGAKGLNEE >ENSMUSP00000059471.8 pep:known chromosome:GRCm38:X:157547824:157598715:-1 gene:ENSMUSG00000046873.18 transcript:ENSMUST00000058098.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtps2 description:membrane-bound transcription factor peptidase, site 2 [Source:MGI Symbol;Acc:MGI:2444506] MIPVSLLVVVVGGWTAVYLADLVLKSSVYFKHSYEDWLENNGLSISPFHIRWQTSIFNRA FYSWGRRKARMLYQWFNFGMVFGVIAMFSSFFLLGKTLMQTLAQMMADSPSPYSSSSSSS SSSSSSSSSSSSLHNEQVLQVVVPGINLPVNQLTYFFAAVLISGVVHEIGHGIAAIREQV RFNGFGIFLFIIYPGAFVDLFTTHLQLISPVQQLRIFCAGIWHNFVLALLGILALVLLPV ILLPFYYTGVGVLITEVAEDSPAIGPRGLFVGDLVTHLQDCPVTNVQDWNECLDTIAYEP QIGYCISASTLQQLSFPVRAYKRLDGSTECCNNHSLTDVCFSYRNNFNKRLHTCLPARKA VEATQVCRSNKDCKSGASSSFCIVPSLETHTRLIKVKHPPQIDMLYVGHPLHLHYTVSIT SFIPRFNFLSIDLPVIVETFVKYLISLSGALAIVNAVPCFALDGQWILNSFLDATLTSVI GDNDVKDLIGFFILLGGSVLLAANVTLGLWMVTAR >ENSMUSP00000108141.2 pep:known chromosome:GRCm38:X:157565946:157598650:-1 gene:ENSMUSG00000046873.18 transcript:ENSMUST00000112522.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtps2 description:membrane-bound transcription factor peptidase, site 2 [Source:MGI Symbol;Acc:MGI:2444506] MIPVSLLVVVVGGWTAVYLADLVLKSSVYFKHSYEDWLENNGLSISPFHIRWQTSIFNRA FYSWGRRKARMLYQWFNFGMVFGVIAMFSSFFLLGKTLMQTLAQMMADSPSPYSSSSSSS SSSSSSSSSSSSLHNEQVLQVVVPGINLPVNQLTYFFAAVLISGVVHEIGHGIAAIREQV RFNGFGIFLFIIYPGAFVDLFTTHLQLISPVQQLRIFCAV >ENSMUSP00000118134.1 pep:known chromosome:GRCm38:X:157572948:157598679:-1 gene:ENSMUSG00000046873.18 transcript:ENSMUST00000149249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtps2 description:membrane-bound transcription factor peptidase, site 2 [Source:MGI Symbol;Acc:MGI:2444506] MVFGVIAMFSSFFLLGKTLMQTLAQMMADSPSPYSSSSSSSSSSSSSSSSSSSLHNEQVL QVVVPGINLPVNQLTYFFAAVLISGVVHEIGHGIAAIREQVRFNGFGIFL >ENSMUSP00000137233.1 pep:known chromosome:GRCm38:X:157565947:157598650:-1 gene:ENSMUSG00000046873.18 transcript:ENSMUST00000179062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbtps2 description:membrane-bound transcription factor peptidase, site 2 [Source:MGI Symbol;Acc:MGI:2444506] MASETEKLLCLNTESAEIPADFVELLPPDNIGDIEAVSLETSVGQTIEVYGDVGVDWAHG SQYHSPVIALQPLVGSSLSSRDHDKEMFVVQTREEEVVGYQDSDNLLFSPEFGSQMVLPV NEDDYLQPTTASFTGFLAAENGQGELSPYEGNLCGLTTFIEAGAEESVNADLGDKQWEQK QIDGLDGEFPFTMWDDVNEKEDPIAEEQAGESPPDYSEYMTGKKFPPEGIPGIDLSDPKQ LAEFTSMRPKKPKGDFPRPIACSHKGCEKMFKDNSAMRKHLHIHGPRVHVCAECGKAFVE SSKLKRHQLVHTGEKPYQCTFEGCGRRFSLDFNLRTHVRIHTGDKPFVCPFDACNKKFAQ STNLKSHILTHVKNKNDQ >ENSMUSP00000105904.1 pep:known chromosome:GRCm38:13:33832345:33843408:-1 gene:ENSMUSG00000069248.11 transcript:ENSMUST00000110275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6e description:serine (or cysteine) peptidase inhibitor, clade B, member 6e [Source:MGI Symbol;Acc:MGI:2667778] MDTLCMCLYFPCCICLLFTKSEHNVGESENSGYILDCVFIMLIVILHSRYTIMDSLLEAN ATFTLKLFRVLGEDSSKNVFFSSSSMFSSLALILMGANGTTASQISQVLSLDKCSNGGAD VQQGFQSLLTEVNKTDTGHMLRRANKIFSDNNFDIMESFKESCYKLYRVEIEKLDFKGTP EQCRQHINAWVAKKTKDVIRELLSLYTVNSNTRLILVNATYFKGKWEKQFNKEDTREMPF KVSKNEKKTVQMMSKKSTFKTYYAEEISTTIVFLPYTDKELSMIIMLPDEQVELSMVENQ ISYKKLIQWTRLVKMEEEEVQVFLPRFKLEATYDMKDVLCKLGMTDAFEESRADFSGISS KKGLFLSNVVHKSFVEVNEEGTEAAVATEIVTVGSPLTQRCLIADRPFLFLIQGDKSKEI LFLGRFSSP >ENSMUSP00000117577.1 pep:known chromosome:GRCm38:13:33841003:33843408:-1 gene:ENSMUSG00000069248.11 transcript:ENSMUST00000145221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6e description:serine (or cysteine) peptidase inhibitor, clade B, member 6e [Source:MGI Symbol;Acc:MGI:2667778] MDTLCMCLYFPCCICLLFTKSEHNVGESENSGYILDCVFIMLIVILHSRYTIMDSLLEAN ATFTLKLFRVLGEDSSKNVFFSSSSMFSSLALILMGANGTT >ENSMUSP00000124984.1 pep:known chromosome:GRCm38:16:42907645:43577725:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000151244.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MQMRKGSSRKKPKTAENQKASEENEITQPGGSSAKPALPCLNFEAVLSPAPALIHSTHSL TNS >ENSMUSP00000110342.2 pep:known chromosome:GRCm38:16:42907652:43642602:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000114694.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MLERKKPKTAENQKASEENEITQPGGSSAKPALPCLNFEAVLSPAPALIHSTHSLTNSHA HTGSSDCDISCKGMTERIHSINLHNFSNSVLETLNEQRNRGHFCDVTVRIHGSMLRAHRC VLAAGSPFFQDKLLLGYSDIEIPSVVSVQSVQKLIDFMYSGVLRVSQSEALQILTAASIL QIKTVIDECTRIVSQNVGDVFPGIQDSGQDTPRGTPESGTSGQSSDTESGYLQSHPQHSV DRIYSALYACSMQNGSGERSFYSGAVVSHHETALGLPRDHHMEDPSWITRIHERSQQMER YLSTTPETTHCRKQPRPVRIQTLVGNIHIKQEMEDDYDYYGQQRVQILERNESEECTEDT DQAEGTESEPKGESFDSGVSSSIGTEPDSVEQQFGAAAPRDGQAEPAQPEQAAEAPAESS AQPNQLEPGASSPERSNESEMDNTVITVSNSSDKGVLQQPSVNTSIGQPLPSTQLYLRQT ETLTSNLRMPLTLTSNTQVIGTAGNTYLPALFTTQPAGSGPKPFLFSLPQPLTGQQTQFV TVSQPGLSTFTAQLPAPQPLASSAGHSTASGQGDKKPYECTLCNKTFTAKQNYVKHMFVH TGEKPHQCSICWRSFSLKDYLIKHMVTHTGVRAYQCSICNKRFTQKSSLNVHMRLHRGEK SYECYICKKKFSHKTLLERHVALHSASNGTPPAGTPPGARAGPPGVVACTEGTTYVCSVC PAKFDQIEQFNDHMRMHVSDG >ENSMUSP00000125233.1 pep:known chromosome:GRCm38:16:43235880:43609573:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000146708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MTERIHSINLHNFSNSVLETLNEQRNRGHFCDVTVRIHGSMLRAHRCVLAAGSPFFQDKL LLGYSDIEIPSVVSV >ENSMUSP00000110339.1 pep:known chromosome:GRCm38:16:43247284:43619096:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000114691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MTERIHSINLHNFSNSVLETLNEQRNRGHFCDVTVRIHGSMLRAHRCVLAAGSPFFQDKL LLGYSDIEIPSVVSVQSVQKLIDFMYSGVLRVSQSEALQILTAASILQIKTVIDECTRIV SQNVGDVFPGIQDSGQDTPRGTPESGTSGQSSDTESGYLQSHPQHSVDRIYSALYACSMQ NGSGERSFYSGAVVSHHETALGLPRDHHMEDPSWITRIHERSQQMERYLSTTPETTHCRK QPRPVRIQTLVGNIHIKQEMEDDYDYYGQQRVQILERNESEECTEDTDQAEGTESEPKGE SFDSGVSSSIGTEPDSVEQQFGAAAPRDGQAEPAQPEQAAEAPAESSAQPNQLEPGASSP ERSNESEMDNTVITVSNSSDKGVLQQPSVNTSIGQPLPSTQLYLRQTETLTSNLRMPLTL TSNTQVIGTAGNTYLPALFTTQPAGSGPKPFLFSLPQPLTGQQTQFVTVSQPGLSTFTAQ LPAPQPLASSAGHSTASGQGDKKPYECTLCNKTFTAKQNYVKHMFVHTGEKPHQCSICWR SFSLKDYLIKHMVTHTGVRAYQCSICNKRFTQKSSLNVHMRLHRGEKSYECYICKKKFSH KTLLERHVALHSASNGTPPAGTPPGARAGPPGVVACTEGTTYVCSVCPAKFDQIEQFNDH MRMHVSDG >ENSMUSP00000124126.1 pep:known chromosome:GRCm38:16:43363873:43609520:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000156367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MLERKKPKTAENQKASEENEITQPGGSSAKPALPCLNFEAVLSPAPALIHSTHSLTNSHA HTGSSDCDISCKGMTERIHSINLHNFSNSVLETLNEQRNRGHFCDVTVRIHGSMLRAHRC VLAAGSPFFQD >ENSMUSP00000125016.1 pep:known chromosome:GRCm38:16:43364204:43609527:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000148775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MTERIHSINLHNFSNSVLETLNEQRNRGHFCDVTVRIHGSMLRAHRCVLAAGSPFFQDKL >ENSMUSP00000125241.1 pep:known chromosome:GRCm38:16:43503614:43577193:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000126100.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MLER >ENSMUSP00000124798.1 pep:known chromosome:GRCm38:16:43503622:43571775:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000123047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MQM >ENSMUSP00000124189.1 pep:known chromosome:GRCm38:16:43503642:43609762:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000156981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MTERIHSINLHNFSNSVLETLNEQRNRGHFCDVTVRIHGSMLRAHRCVLAAGSPFFQDKL LLGYSDIEIPSVVSVQSVQKLIDFMYSGVLRVSQSEALQILTAASILQIKTVIDECTRIV SQNVGDVFPGIQDSGQDTP >ENSMUSP00000110338.1 pep:known chromosome:GRCm38:16:43508263:43619127:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000114690.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MTERIHSINLHNFSNSVLETLNEQRNRGHFCDVTVRIHGSMLRAHRCVLAAGSPFFQDKL LLGYSDIEIPSVVSVQSVQKLIDFMYSGVLRVSQSEALQILTAASILQIKTVIDECTRIV SQNVGDVFPGIQDSGQDTPRGTPESGTSGQSSDTESGYLQSHPQHSVDRIYSALYACSMQ NGSGERSFYSGAVVSHHETALGLPRDHHMEDPSWITRIHERSQQMERYLSTTPETTHCRK QPRPVRIQTLVGNIHIKQEMEDDYDYYGQQRVQILERNESEECTEDTDQAEGTESEPKGE SFDSGVSSSIGTEPDSVEQQFGAAAPRDGQAEPAQPEQAAEAPAESSAQPNQLEPGASSP ERSNESEMDNTVITVSNSSDKGVLQQPSVNTSIGQPLPSTQLYLRQTETLTSNLRMPLTL TSNTQVIGTAGNTYLPALFTTQPAGSGPKPFLFSLPQPLTGQQTQFVTVSQPGLSTFTAQ LPAPQPLASSAGHSTASGQGDKKPYECTLCNKTFTAKQNYVKHMFVHTGEKPHQCSICWR SFSLKDYLIKHMVTHTGVRAYQCSICNKRFTQKSSLNVHMRLHRGEKSYECYICKKKFSH KTLLERHVALHSASNGTPPAGTPPGARAGPPGVVACTEGTTYVCSVCPAKFDQIEQFNDH MRMHVSDG >ENSMUSP00000110343.2 pep:known chromosome:GRCm38:16:43510308:43619137:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000114695.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MLERKKPKTAENQKASEENEITQPGGSSAKPALPCLNFEAVLSPAPALIHSTHSLTNSHA HTGSSDCDISCKGMTERIHSINLHNFSNSVLETLNEQRNRGHFCDVTVRIHGSMLRAHRC VLAAGSPFFQDKLLLGYSDIEIPSVVSVQSVQKLIDFMYSGVLRVSQSEALQILTAASIL QIKTVIDECTRIVSQNVGDVFPGIQDSGQDTPRGTPESGTSGQSSDTESGYLQSHPQHSV DRIYSALYACSMQNGSGERSFYSGAVVSHHETALGLPRDHHMEDPSWITRIHERSQQMER YLSTTPETTHCRKQPRPVRIQTLVGNIHIKQEMEDDYDYYGQQRVQILERNESEECTEDT DQAEGTESEPKGESFDSGVSSSIGTEPDSVEQQFGAAAPRDGQAEPAQPEQAAEAPAESS AQPNQLEPGASSPERSNESEMDNTVITVSNSSDKGVLQQPSVNTSIGQPLPSTQLYLRQT ETLTSNLRMPLTLTSNTQVIGTAGNTYLPALFTTQPAGSGPKPFLFSLPQPLTGQQTQFV TVSQPGLSTFTAQLPAPQPLASSAGHSTASGQGDKKPYECTLCNKTFTAKQNYVKHMFVH TGEKPHQCSICWRSFSLKDYLIKHMVTHTGVRAYQCSICNKRFTQKSSLNVHMRLHRGEK SYECYICKKKFSHKTLLERHVALHSASNGTPPAGTPPGARAGPPGVVACTEGTTYVCSVC PAKFDQIEQFNDHMRMHVSDG >ENSMUSP00000078410.6 pep:known chromosome:GRCm38:16:43247284:43619069:1 gene:ENSMUSG00000022708.16 transcript:ENSMUST00000079441.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb20 description:zinc finger and BTB domain containing 20 [Source:MGI Symbol;Acc:MGI:1929213] MLERKKPKTAENQKASEENEITQPGGSSAKPALPCLNFEAVLSPAPALIHSTHSLTNSHA HTGSSDCDISCKGMTERIHSINLHNFSNSVLETLNEQRNRGHFCDVTVRIHGSMLRAHRC VLAAGSPFFQDKLLLGYSDIEIPSVVSVQSVQKLIDFMYSGVLRVSQSEALQILTAASIL QIKTVIDECTRIVSQNVGDVFPGIQDSGQDTPRGTPESGTSGQSSDTESGYLQSHPQHSV DRIYSALYACSMQNGSGERSFYSGAVVSHHETALGLPRDHHMEDPSWITRIHERSQQMER YLSTTPETTHCRKQPRPVRIQTLVGNIHIKQEMEDDYDYYGQQRVQILERNESEECTEDT DQAEGTESEPKGESFDSGVSSSIGTEPDSVEQQFGAAAPRDGQAEPAQPEQAAEAPAESS AQPNQLEPGASSPERSNESEMDNTVITVSNSSDKGVLQQPSVNTSIGQPLPSTQLYLRQT ETLTSNLRMPLTLTSNTQVIGTAGNTYLPALFTTQPAGSGPKPFLFSLPQPLTGQQTQFV TVSQPGLSTFTAQLPAPQPLASSAGHSTASGQGDKKPYECTLCNKTFTAKQNYVKHMFVH TGEKPHQCSICWRSFSLKDYLIKHMVTHTGVRAYQCSICNKRFTQKSSLNVHMRLHRGEK SYECYICKKKFSHKTLLERHVALHSASNGTPPAGTPPGARAGPPGVVACTEGTTYVCSVC PAKFDQIEQFNDHMRMHVSDG >ENSMUSP00000033074.6 pep:known chromosome:GRCm38:7:127893063:127895617:-1 gene:ENSMUSG00000096145.2 transcript:ENSMUST00000033074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vkorc1 description:vitamin K epoxide reductase complex, subunit 1 [Source:MGI Symbol;Acc:MGI:106442] MGTTWRSPGLVRLALCLAGLALSLYALHVKAARARDENYRALCDVGTAISCSRVFSSRWG RGFGLVEHMLGADSVLNQSNSIFGCLFYTLQLLLGCLRGRWASILLVLSSLVSVAGSVYL AWILFFVLYDFCIVCITTYAINVGLMLLSFQKVPEHKTKKH >ENSMUSP00000145795.1 pep:known chromosome:GRCm38:7:127893065:127895251:-1 gene:ENSMUSG00000096145.2 transcript:ENSMUST00000206053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vkorc1 description:vitamin K epoxide reductase complex, subunit 1 [Source:MGI Symbol;Acc:MGI:106442] MMGRLEEAGWGRGFGLVEHMLGADSVLNQSNSIFGCLFYTLQLLLGCLRGRWASILLVLS SLVSVAGSVYLAWILFFVLYDFCIVCITTYAINVGLMLLSFQKVPEHKTKKH >ENSMUSP00000112542.1 pep:known chromosome:GRCm38:7:127893238:127895309:-1 gene:ENSMUSG00000096145.2 transcript:ENSMUST00000119922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vkorc1 description:vitamin K epoxide reductase complex, subunit 1 [Source:MGI Symbol;Acc:MGI:106442] MLGADSVLNQSNSIFGCLFYTLQLLLGCLRGRWASILLVLSSLVSVAGSVYLAWILFFVL YDFCIVCITTYAINVGLMLLSFQKVPEHKTKKH >ENSMUSP00000052440.9 pep:known chromosome:GRCm38:2:32787602:32847245:-1 gene:ENSMUSG00000026797.16 transcript:ENSMUST00000050000.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp1 description:syntaxin binding protein 1 [Source:MGI Symbol;Acc:MGI:107363] MAPIGLKAVVGEKIMHDVIKKVKKKGEWKVLVVDQLSMRMLSSCCKMTDIMTEGITIVED INKRREPLPSLEAVYLITPSEKSVHSLISDFKDPPTAKYRAAHVFFTDSCPDALFNELVK SRAAKVIKTLTEINIAFLPYESQVYSLDSADSFQSFYSPHKAQMKNPILERLAEQIATLC ATLKEYPAVRYRGEYKDNALLAQLIQDKLDAYKADDPTMGEGPDKARSQLLILDRGFDPS SPVLHELTFQAMSYDLLPIENDVYKYETSGIGEARVKEVLLDEDDDLWIALRHKHIAEVS QEVTRSLKDFSSSKRMNTGEKTTMRDLSQMLKKMPQYQKELSKYSTHLHLAEDCMKHYQG TVDKLCRVEQDLAMGTDAEGEKIKDPMRAIVPILLDANVSTYDKIRIILLYIFLKNGITE ENLNKLIQHAQIPPEDSEIITNMAHLGVPIVTDSTLRRRSKPERKERISEQTYQLSRWTP IIKDIMEDTIEDKLDTKHYPYISTRSSASFSTTAVSARYGHWHKNKAPGEYRSGPRLIIF ILGGVSLNEMRCAYEVTQANGKWEVLIGSTHILTPQKLLDTLKKLNKTDEEISS >ENSMUSP00000089051.3 pep:known chromosome:GRCm38:2:32787961:32847202:-1 gene:ENSMUSG00000026797.16 transcript:ENSMUST00000077458.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp1 description:syntaxin binding protein 1 [Source:MGI Symbol;Acc:MGI:107363] MAPIGLKAVVGEKIMHDVIKKVKKKGEWKVLVVDQLSMRMLSSCCKMTDIMTEGITIVED INKRREPLPSLEAVYLITPSEKSVHSLISDFKDPPTAKYRAAHVFFTDSCPDALFNELVK SRAAKVIKTLTEINIAFLPYESQVYSLDSADSFQSFYSPHKAQMKNPILERLAEQIATLC ATLKEYPAVRYRGEYKDNALLAQLIQDKLDAYKADDPTMGEGPDKARSQLLILDRGFDPS SPVLHELTFQAMSYDLLPIENDVYKYETSGIGEARVKEVLLDEDDDLWIALRHKHIAEVS QEVTRSLKDFSSSKRMNTGEKTTMRDLSQMLKKMPQYQKELSKYSTHLHLAEDCMKHYQG TVDKLCRVEQDLAMGTDAEGEKIKDPMRAIVPILLDANVSTYDKIRIILLYIFLKNGITE ENLNKLIQHAQIPPEDSEIITNMAHLGVPIVTDSTLRRRSKPERKERISEQTYQLSRWTP IIKDIMEDTIEDKLDTKHYPYISTRSSASFSTTAVSARYGHWHKNKAPGEYRSGPRLIIF ILGGVSLNEMRCAYEVTQANGKWEVLIGSTHILTPTKFLMDLRHPDFRESSRVSFEDQAP TME >ENSMUSP00000146437.1 pep:known chromosome:GRCm38:2:32817302:32847206:-1 gene:ENSMUSG00000026797.16 transcript:ENSMUST00000208840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp1 description:syntaxin binding protein 1 [Source:MGI Symbol;Acc:MGI:107363] MRMLSSCCKMTDIMTEGITIVEDINKRREPLPSLEAVYLITPSEKSVHSLISDFKDPPTA KYRAAHVFFTDSCPDALFNELVKSRAAKVIKTLTEINIAFL >ENSMUSP00000142744.1 pep:known chromosome:GRCm38:5:3543833:3570238:1 gene:ENSMUSG00000058503.11 transcript:ENSMUST00000197082.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam133b description:family with sequence similarity 133, member B [Source:MGI Symbol;Acc:MGI:1915402] MGKRDNRVAYMNPIAMARSRGPIQSSGPTIQDYLNRPRPTWEEVKEQLEKKKKGSKALAE FEEKMNENWKKELEKHREKLLSGNESSSKKRQKKKKEKKKSGRYSSSSSSSSDSSSSSSD SEDEDKKQTKRRKKKKSRCHKSPESSGSDSASDSKDTKGLSKKRKMYEDKPLSSESLSES DCGEVQAKRKKSGEERERTTDKAKKRRKHKKHSKKKKKKAASSSSDSP >ENSMUSP00000111189.3 pep:known chromosome:GRCm38:5:3543838:3569740:1 gene:ENSMUSG00000058503.11 transcript:ENSMUST00000115527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam133b description:family with sequence similarity 133, member B [Source:MGI Symbol;Acc:MGI:1915402] MGKRDNRVAYMNPIAMARSRGPIQSSGPTIQDYLNRPRPTWEEVKEQLEKKKKGSKALAE FEEKMNENWKKELEKHREKLLSGNESSSKKRQKKKKEKKKSGRYSSSSSSSSDSSSSSSD SEDEDKKQTKRRKKKKSRCHKSPESSGSDSASDSKDGSKKKKKSKDVTEREKDTKGLSKK RKMYEDKPLSSESLSESDCGEVQAKRKKSGEERERTTDKAKKRRKHKKHSKKKKKKAASS SSDSP >ENSMUSP00000143004.1 pep:known chromosome:GRCm38:5:3543838:3569740:1 gene:ENSMUSG00000058503.11 transcript:ENSMUST00000199666.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam133b description:family with sequence similarity 133, member B [Source:MGI Symbol;Acc:MGI:1915402] MGKRDNRVAYMNPIAMARSRGPIQSSGPTIQDYLNRPRPTWEEVKEQLEKKKKGSKALAE FEEKMNENWKKELEKHREKLLSGNESSSKKRQKKKKEKKKSGRFFRFRR >ENSMUSP00000018186.9 pep:known chromosome:GRCm38:15:83153494:83172592:-1 gene:ENSMUSG00000018042.17 transcript:ENSMUST00000018186.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5r3 description:cytochrome b5 reductase 3 [Source:MGI Symbol;Acc:MGI:94893] MGAQLSTLSHVVLSPVWFIYSLFMKLFQRSTPAITLENPDIKYPLRLIDKEVISPDTRRF RFALPSPQHILGLPIGQHIYLSTRIDGNLVIRPYTPVSSDDDKGFVDLVVKVYFKDTHPK FPAGGKMSQYLENMKIGDTIEFRGPNGLLVYQGKGKFAIRADKKSNPVVRTVKSVGMIAG GTGITPMLQVIRAVLKDPNDHTVCYLLFANQSEKDILLRPELEELRNEHSARFKLWYTVD KAPDAWDYSQGFVNEEMIRDHLPTPGEEPLILMCGPPPMIQFACLPNLERVGHPKERCFT F >ENSMUSP00000125636.1 pep:known chromosome:GRCm38:15:83157064:83170506:-1 gene:ENSMUSG00000018042.17 transcript:ENSMUST00000162178.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5r3 description:cytochrome b5 reductase 3 [Source:MGI Symbol;Acc:MGI:94893] MKLFQRSTPAITLENPDIKYPLRLIDKEVISPDTRRFRFALPSPQHILGLPIGQHIYLST RIDGNLVIRPYTPVSSDDDKGFVDLVVKVYFKDTHPKFPAGGKMSQYLENMKIGDTIEFR GPNGLLVYQGKGKFAIRADKKSNPVVRTVKSVGMIAGGTGITPMLQVIRAVLKDPNDHTV CYLLFANQSEKDILLRPELEELRNEHSARFKLWYTVDKAPDGEHGKPLVEQEAAHAVLVA CSWLWRNQTSEGKCPQPTAREQQSQGGDPRPCFLVSHTAPGWQRSPLISLGLAHFGAGLG AVLRVGWLLLCSW >ENSMUSP00000124062.1 pep:known chromosome:GRCm38:15:83160385:83170177:-1 gene:ENSMUSG00000018042.17 transcript:ENSMUST00000162834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyb5r3 description:cytochrome b5 reductase 3 [Source:MGI Symbol;Acc:MGI:94893] MKLFQRSTPAITLENPDIKYPLRLIDKEVISPDTRRFRFALPSPQHILGLPIGQHIYLST RIDGNLVIRPYTPVSSDDDKGFVDLVVKVYFKDTHPKFPAGGKMSQYLENMKIGDTIEFR GPNGLLVYQGKGKFAIRADKKSNP >ENSMUSP00000115537.1 pep:known chromosome:GRCm38:4:11261315:11303361:-1 gene:ENSMUSG00000045205.16 transcript:ENSMUST00000139385.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpy19l4 description:dpy-19-like 4 (C. elegans) [Source:MGI Symbol;Acc:MGI:2685869] GTWLAGMLTVAWFLINRVDTTRIEYSIPLRENWALPYFACQVAALTGYLKRNLNTYAERF CYLLLSTSTYTFMMVWEYSHYVLFLQAVSLLLLDIFSVEQSDKVYEVYKVYIFSLFLGYL LQFENPALLVSPLLSLVGAFMLVKCLQLNGKKGTFVAKVIKVFEFYLLCTLPVTLNLIVK MFVPHKENEHVLKFLEVKFGLNMTKNFTLNWLLCQESLQAPSQDFFFRLTQSSLLPFYVL VLIICLLSMTQVFFRRMSLKFIWTPYVCMLAAFGVCSPELWMTLLKWLRLRTVHPMLLAL ILSMAVPTIIGLSLWKEFFPRLITELTELQEFYDPDTVELMTWIKRQAPVAAVFAGSPQL MGVIKLCTGWTVTSLPLYSDDDLLQRNENIYQIYSKRSAEDIYKILTSYKANYLIVEDAI CNELGTTRGCRVKDLLDIANGHVVFEEGDKLTYSKYGRFCHEVKINYSPYVNYFTRVYWN RSYFVYKVNTVISFQS >ENSMUSP00000081954.5 pep:known chromosome:GRCm38:4:11261315:11322137:-1 gene:ENSMUSG00000045205.16 transcript:ENSMUST00000084892.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpy19l4 description:dpy-19-like 4 (C. elegans) [Source:MGI Symbol;Acc:MGI:2685869] MAKEEGTSVEPRQRKKQRTSGSQEAKAEKIRRTPAPERAPKYVSFQRFAKIVIGCLAAVI SGMMHVFYLSAYHERKFWFSNRQELEREITFQGDSAIYYSYYKDMLKAPSFERGVYELTH NNKTISLKTINAMQQMSLYPELIASVLYQATGSNEVIEPVYFYIGIVFGLQGMYVTALFV TSWLMSGTWLAGMLTVAWFLINRVDTTRIEYSIPLRENWALPYFACQVAALTGYLKRNLN TYAERFCYLLLSTSTYTFMMVWEYSHYVLFLQAVSLLLLDIFSVEQSDKVYEVYKVYIFS LFLGYLLQFENPALLVSPLLSLVGAFMLVKCLQLNGKKGTFVAKVIKVFEFYLLCTLPVT LNLIVKMFVPHKENEHVLKFLEVKFGLNMTKNFTLNWLLCQESLQAPSQDFFFRLTQSSL LPFYVLVLIICLLSMTQVFFRRMSGKSKKETVTLEDGRIGERPEIIYHVIHTLLLGSLAM LMEGLKFIWTPYVCMLAAFGVCSPELWMTLLKWLRLRTVHPMLLALILSMAVPTIIGLSL WKEFFPRLITELTELQEFYDPDTVELMTWIKRQAPVAAVFAGSPQLMGVIKLCTGWTVTS LPLYSDDDLLQRNENIYQIYSKRSAEDIYKILTSYKANYLIVEDAICNELGTTRGCRVKD LLDIANGHVVFEEGDKLTYSKYGRFCHEVKINYSPYVNYFTRVYWNRSYFVYKVNTVISF QS >ENSMUSP00000122823.1 pep:known chromosome:GRCm38:4:11276872:11322137:-1 gene:ENSMUSG00000045205.16 transcript:ENSMUST00000128024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpy19l4 description:dpy-19-like 4 (C. elegans) [Source:MGI Symbol;Acc:MGI:2685869] MAKEEGTSVEPRQRKKQRTSGSQEAKAEKIRRTPAPERAPKYVSFQRFAKIVIGCLAAVI SGMMHVFYLSAYHERKFWFSNRQELEREITFQGDSAIYYSYYKDMLKAPSFERGVYELTH NNKTISLKTINAMQQMSLYPELIASVLYQATGSNEVIEPVYFYIGIVFGLQGMYVTALFV TSWLMSGTWLAGMLTVAWFLINRVDTTRIEYSIPLRENWALPYFACQVAALTGYLKRNLN TYAERFCYLLLSTSTYTFMMVWEYSHYVLFLQAVSLLLLDIFSVEQSDKLNGKKGTFVAK VIKVFEFYLLCTLPVTLNLIVKMFVPHKENEHVLKFLEVKFGLNMTKNFTLNWLLCQESL QAPSQDFFFRLTQSSLLPFYVLVLIICLLSMTQVFFRRMSGKSKKETVTLEDGRIGERPE IIYHVIHTLLLGSLAMLMEGLKFIWTPYVCMLAAFGVCSPELWMTLLKWLRLRTVHPMLL ALILSMAVPTIIGLSLWKEFFPRLITELTELQEFYDPDTVELMT >ENSMUSP00000119923.1 pep:known chromosome:GRCm38:4:11279975:11322075:-1 gene:ENSMUSG00000045205.16 transcript:ENSMUST00000142005.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dpy19l4 description:dpy-19-like 4 (C. elegans) [Source:MGI Symbol;Acc:MGI:2685869] MAKEEGTSVEPRQRKKQRTSGSQEAKAEKIRRTPAPERAPKYVSFQRFAKIVIGCLAAVI SGMMHVFYLSAYHERKFWFSNRQELEREITFQGDSAIYYSYYKDMLKAPSFERGVYELTH NNKTISLKTINAMQQMSLYPELIASVLYQATGSNEVIEPVYFYIGIVFGLQGMYVTALFV TSWLMSGTWLAGMLTVAWFLINRVDTTRIEYSIPLRENWALPYFACQVAALTGYLKRNLN TYAEVLLPVAEYLDVHFHDGVGVQPLRLVSSGSIPAAARYLLSGTK >ENSMUSP00000058611.2 pep:known chromosome:GRCm38:13:100211739:100246323:-1 gene:ENSMUSG00000071203.6 transcript:ENSMUST00000049789.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naip5 description:NLR family, apoptosis inhibitory protein 5 [Source:MGI Symbol;Acc:MGI:1298220] MAEHGESSEDRISEIDYEFLPELSALLGVDAFQVAKSQEEEEHKERMKMKKGFNSQMRSE AKRLKTFETYDTFRSWTPQEMAAAGFYHTGVRLGVQCFCCSLILFGNSLRKLPIERHKKL RPECEFLQGKDVGNIGKYDIRVKRPEKMLRGGKARYHEEEARLESFEDWPFYAHGTSPRV LSAAGFVFTGKRDTVQCFSCGGSLGNWEEGDDPWKEHAKWFPKCEFLQSKKSSEEIAQYI QSYEGFVHVTGEHFVKSWVRRELPMVSAYCNDSVFANEELRMDMFKDWPQESPVGVEALV RAGFFYTGKKDIVRCFSCGGCLEKWAEGDDPMEDHIKFFPECVFLQTLKSSAEVIPTLQS QYALPEATETTRESNHGDAAAVHSTVVDLGRSEAQWFQEARSLSEQLRDNYTKATFRHMN LPEVCSSLGTDHLLSCDVSIISKHISQPVQEALTIPEVFSNLNSVMCVEGETGSGKTTFL KRIAFLWASGCCPLLYRFQLVFYLSLSSITPDQGLANIICAQLLGAGGCISEVCLSSSIQ QLQHQVLFLLDDYSGLASLPQALHTLITKNYLSRTCLLIAVHTNRVRDIRLYLGTSLEIQ EFPFYNTVSVLRKFFSHDIICVEKLIIYFIDNKDLQGVYKTPLFVAAVCTDWIQNASAQD KFQDVTLFQSYMQYLSLKYKATAEPLQATVSSCGQLALTGLFSSCFEFNSDDLAEAGVDE DEKLTTLLMSKFTAQRLRPVYRFLGPLFQEFLAAVRLTELLSSDRQEDQDLGLYYLRQID SPLKAINSFNIFLYYVSSHSSSKAAPTVVSHLLQLVDEKESLENMSENEDYMKLHPQTFL WFQFVRGLWLVSPESSSSFVSEHLLRLALIFAYESNTVAECSPFILQFLRGKTLALRVLN LQYFRDHPESLLLLRSLKVSINGNKMSSYVDYSFKTYFENLQPPAIDEEYTSAFEHISEW RRNFAQDEEIIKNYENIRPRALPDISEGYWKLSPKPCKIPKLEVQVNNTDAADQALLQVL MEVFSASQSIEFRLFNSSGFLESICPALELSKASVTKCSMSRLELSRAEQELLLTLPALQ SLEVSETNQLPEQLFHNLHKFLGLKELCVRLDGKPNVLSVLPREFPNLLHMEKLSIQTST ESDLSKLVKFIQNFPNLHVFHLKCDFLSNCESLMAVLASCKKLREIEFSGRCFEAMTFVN ILPNFVSLKILNLKDQQFPDKETSEKFAQALGSLRNLEELLVPTGDGIHQVAKLIVRQCL QLPCLRVLTFHDILDDDSVIEIARAATSGGFQKLENLDISMNHKITEEGYRNFFQALDNL PNLQELNICRNIPGRIQVQATTVKALGQCVSRLPSLIRLHMLSWLLDEEDMKVINDVKER HPQSKRLIIFWKLIVPFSPVILE >ENSMUSP00000100614.3 pep:known chromosome:GRCm38:2:25255439:25256292:1 gene:ENSMUSG00000078201.5 transcript:ENSMUST00000104998.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem203 description:transmembrane protein 203 [Source:MGI Symbol;Acc:MGI:2443597] MLFSLRELVQWLGFATFEIFVHLLALLVFSVLLALRVDGLTPGLSWWNVFVPFFAADGLS TYFTTIVSVRLFQDGEKRLAVLRLFWVLTVLSLKFVFEMLLCQKLVEQTRELWFGLITSP VFILLQLLMIRACRVN >ENSMUSP00000037712.2 pep:known chromosome:GRCm38:3:146220963:146286186:1 gene:ENSMUSG00000036832.5 transcript:ENSMUST00000039164.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar3 description:lysophosphatidic acid receptor 3 [Source:MGI Symbol;Acc:MGI:1929469] MNECHYDKRMDFFYNRSNTDTADEWTGTKLVIVLCVGTFFCLFIFFSNSLVIAAVITNRK FHFPFYYLLANLAAADFFAGIAYVFLMFNTGPVSKTLTVNRWFLRQGLLDTSLTASLANL LVIAVERHMSIMRMRVHSNLTKKRVTLLILLVWAIAIFMGAVPTLGWNCLCNISACSSLA PIYSRSYLIFWTVSNLLAFFIMVAVYVRIYMYVKRKTNVLSPHTSGSISRRRAPMKLMKT VMTVLGAFVVCWTPGLVVLLLDGLNCKQCNVQHVKRWFLLLALLNSVMNPIIYSYKDEDM YNTMRKMICCALQDSNTERRPSRNPSTIHSRSETGSQYLEDSISQGPVCNKNGS >ENSMUSP00000060622.4 pep:known chromosome:GRCm38:1:93006334:93012269:1 gene:ENSMUSG00000045091.4 transcript:ENSMUST00000059676.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp12 description:aquaporin 12 [Source:MGI Symbol;Acc:MGI:2664636] MASLNVSLCFFFATCAICEVARRASKALLPAGTYASFARGAVGAAQLAACCLEMRVLVEL GPWAGGFGPDLLLTLVFLLFLVHGVTFDGASANPTVALQEFLMVEASLPNTLLKLSAQVL GAQAACALTQRCWAWELSELHLLQSLMAAHCSSTLRTSVLQGMLVEGACTFFFHLSLLHL QHSLLVYRVPALALLVTLMAYTAGPYTSAFFNPALAASVTFHCPGNTLLEYAHVYCLGPV AGMILAVLLHQGHLPRLFQRNLFYRQKSKYRTPRGKLSPGSVDAKMHKGE >ENSMUSP00000121589.1 pep:known chromosome:GRCm38:6:32790976:32892330:-1 gene:ENSMUSG00000053768.13 transcript:ENSMUST00000129069.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd3 description:coiled-coil-helix-coiled-coil-helix domain containing 3 [Source:MGI Symbol;Acc:MGI:1913325] AFYKEQLARLEERSSEFYKVTTEEYQKAAEEVEAKFKRYEYHPVCADLQTKILQCYRQNT QQTLSCSALASQYMHCVNHAKQSMLEKGG >ENSMUSP00000070149.4 pep:known chromosome:GRCm38:6:32792217:33060260:-1 gene:ENSMUSG00000053768.13 transcript:ENSMUST00000066379.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd3 description:coiled-coil-helix-coiled-coil-helix domain containing 3 [Source:MGI Symbol;Acc:MGI:1913325] MGGTASTRRVTFEADENENITVVKGIRLSENVIDRMKESSPSGSKSQRYSSVYGASVSDE DLKRRVAEELALEQAKKESEHQRRLKQARDLERERAAANEQLTRAVLRERISSEEERMKA KHLARQLEEKDRVMRKQDAFYKEQLARLEERSSEFYKVTTEEYQKAAEEVEAKFKRYEYH PVCADLQTKILQCYRQNTQQTLSCSALASQYMHCVNHAKQSMLEKGG >ENSMUSP00000138484.1 pep:known chromosome:GRCm38:6:32792224:33060236:-1 gene:ENSMUSG00000053768.13 transcript:ENSMUST00000124436.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chchd3 description:coiled-coil-helix-coiled-coil-helix domain containing 3 [Source:MGI Symbol;Acc:MGI:1913325] MGGTASTRRVTFEADENENITVVKGIRLSENVIDRMKESSPSGSKSQRYSSVYGASVSDE DLKRRVAEELALEQAKKESEHQRR >ENSMUSP00000123220.1 pep:known chromosome:GRCm38:6:32803988:33060237:-1 gene:ENSMUSG00000053768.13 transcript:ENSMUST00000127666.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd3 description:coiled-coil-helix-coiled-coil-helix domain containing 3 [Source:MGI Symbol;Acc:MGI:1913325] MGGTASTRRVTFEADENENITVVKGIRLSENVIDRMKESSPSGSKSQRYSSVYGASVSDE DLKRRVAEELALEQAKKESEHQRRLKQARDLERERAAANEQLTRAVLRERISSEEERMKA KHLDIEDKARQLEEKDRVMRKQDAFYKEQLARLEERSSEFYKVTTEEYQKAAEEVEAKFK RYEYHPVCADLQ >ENSMUSP00000110743.1 pep:known chromosome:GRCm38:6:32851443:33060217:-1 gene:ENSMUSG00000053768.13 transcript:ENSMUST00000115091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chchd3 description:coiled-coil-helix-coiled-coil-helix domain containing 3 [Source:MGI Symbol;Acc:MGI:1913325] MGGTASTRRVTFEADENENITVVKGIRLSENVIDRMKESSPSGSKSQRYSSVYGASVSDE DLKRRVAEELALEQAKKESEHQRRLKQARDLERERAAANEQLTRAVLRERISSEEERMKA KHLARQLEEKDRVMRKQDAFYKEQLARLEERSSEFYKVTTEEYQKAAEEVEAKFK >ENSMUSP00000097903.3 pep:known chromosome:GRCm38:2:25244821:25255383:-1 gene:ENSMUSG00000006471.17 transcript:ENSMUST00000100329.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndor1 description:NADPH dependent diflavin oxidoreductase 1 [Source:MGI Symbol;Acc:MGI:1926047] MQVPQLLVLFGSQTGTAQDEAERLGREARRRRLGCRVQALDSYSVANLIREPLVIFVCAT TGQGDPPDNMKNFWRFIFRKSLPSSSLCQMDFAVLGLGDSSYANLPSKFIFQFLQEVPSI GAEELNIASSAPQTPPSELQPFLAPVITNQRVTGPQHFQDVRLIEFDITDSNISFAAGDV VFILPSNSEAHTQQFCQVLCLDPNQFFTLKPREPGVPDPPGLPQPCTVWNLVSQYLDIAS VPRRSFFELLACLSQHALEREKLLELSSARGQEELWEYCSRPRRTILEVLCDFPHTAGAI PPDYLLDLIPRIRPRAFSIASSLLAHPRRLQILVAVVKYQTRLKEPRHGLCSSWLASLNP GQAGPVRVPLWVRPGSLVFPKTPDTPIIMVGAGTGVAPFRAAIQERVAHGQTGNFLFFGC RQRDQDFYWQTEWQKLEQKGWLTLVTAFSREQEQKVYVQHRLRELGPLVWELLDGQGAYF YLAGNAKYLPTDVSEALMSIFQEEGRLSTADASAYLARLQQTLRFQTETWA >ENSMUSP00000124985.1 pep:known chromosome:GRCm38:2:25244863:25255386:-1 gene:ENSMUSG00000006471.17 transcript:ENSMUST00000162680.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndor1 description:NADPH dependent diflavin oxidoreductase 1 [Source:MGI Symbol;Acc:MGI:1926047] MQVPQLLVLFGSQTGTAQDEAERLGREARRRRLGCRVQALDSYSVVRLGRYGGDPPPDFA PSDGGRLSESH >ENSMUSP00000109989.2 pep:known chromosome:GRCm38:2:25247229:25255409:-1 gene:ENSMUSG00000006471.17 transcript:ENSMUST00000114349.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndor1 description:NADPH dependent diflavin oxidoreductase 1 [Source:MGI Symbol;Acc:MGI:1926047] MQVPQLLVLFGSQTGTAQDEAERLGREARRRRLGCRVQALDSYSVANLIREPLVIFVCAT TGQGDPPDNMKNFWRFIFRKSLPSSSLCQMDFAVLGLGDSSYAKFNFVAKKLHRRLLQLG GSALLPPCLGDDQHELGPDAAIDPWVGDLWEKIMVMYPVPLDIPEIPHGVPLPSKFIFQF LQEVPSIGAEELNIASSAPQTPPSELQPFLAPVITNQRVTGPQHFQDVRLIEFDITDSNI SFAAGDVVFILPSNSEAHTQQFCQVLCLDPNQFFTLKPREPGVPDPPGLPQPCTVWNLVS QYLDIASVPRRSFFELLACLSQHALEREKLLELSSARGQEELWEYCSRPRRTILEVLCDF PHTAGAIPPDYLLDLIPRIRPRAFSIASSLLAHPRRLQILVAVVKYQTRLKEPRHGLCSS WLASLNPGQAGPVRVPLWVRPGSLVFPKTPDTPIIMVGAGTGVAPFRAAIQERVAHGQTG NFLFFGCRQRDQDFYWQTEWQKLEQKGWLTLVTAFSREQEQKVYVQHRLRELGPLVWELL DGQGAYFYLAGNAKYLPTDVSEALMSIFQEEGRLSTADASAYLARLQQTLRFQTETWA >ENSMUSP00000123958.1 pep:known chromosome:GRCm38:2:25247428:25255386:-1 gene:ENSMUSG00000006471.17 transcript:ENSMUST00000148589.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndor1 description:NADPH dependent diflavin oxidoreductase 1 [Source:MGI Symbol;Acc:MGI:1926047] MQVPQLLVLFGSQTGTAQDEAERLGREARRRRLGCRVQALDSYSVVKVVVGCGAGRALIK AARDLTSTCVLSSRRISLGSPW >ENSMUSP00000123247.1 pep:known chromosome:GRCm38:2:25249472:25255346:-1 gene:ENSMUSG00000006471.17 transcript:ENSMUST00000141808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndor1 description:NADPH dependent diflavin oxidoreductase 1 [Source:MGI Symbol;Acc:MGI:1926047] XVPQLLVLFGSQTGTAQDEAERLGREARRRRLGCRVQALDSYSVANLIREPLVIFVCATT GQGDPPDNMKNFWRFIFRKSLPSSSLCQMDFAVLGLGDSSYAKPDAAIDPWVGDLWEKIM VMYPVPLDIPEIPHGVPLPSKFIFQFLQEVPSIGAEELNIASSAPQTPPSELQPFLAPVI TNQRVTGPQHFQDVRLIEFDITDSNISFAAGDVVFILPSNSEAHT >ENSMUSP00000125259.1 pep:known chromosome:GRCm38:2:25250124:25255416:-1 gene:ENSMUSG00000006471.17 transcript:ENSMUST00000147866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndor1 description:NADPH dependent diflavin oxidoreductase 1 [Source:MGI Symbol;Acc:MGI:1926047] MQVPQLLVLFGSQTGTAQDEAERLGREARRRRLGCRVQALDSYSVVRLGRYGGDPPPDFA PSDGGRLSEGGGGMRCREGTDQGCQRPYIYLCLVLEANLIREPLVIFVCATTGQGDPPDN MKNFWRFIFRKSLPSSSLCQMDFAVLGLGDSSYAKFNFVAKKLHRRLLQLGGSALLPPCL GDDQHELGPDAAIDPWVGDLWEKIMVMYPVPL >ENSMUSP00000125018.1 pep:known chromosome:GRCm38:2:25251748:25256022:-1 gene:ENSMUSG00000006471.17 transcript:ENSMUST00000132128.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndor1 description:NADPH dependent diflavin oxidoreductase 1 [Source:MGI Symbol;Acc:MGI:1926047] MQVPQLLVLFGSQTGTAQDEAERLGREARRRRLGCRVQALDSYSVVRLGRYGGDPPPDFA PSDGGRLSESH >ENSMUSP00000108595.1 pep:known chromosome:GRCm38:X:140956907:141164270:1 gene:ENSMUSG00000079418.3 transcript:ENSMUST00000112971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg4a description:autophagy related 4A, cysteine peptidase [Source:MGI Symbol;Acc:MGI:2147903] MESVMSKYENQILIFPDYLEEFPDTDELVWILGKQHPLKTEKSKLLSDISARLWFTYRRK FSPIGGTGPSSDAGWGCMLRCGQMMLAQALICRHLGRDWNWERQKEQPKEYQRILQCFLD RKDCCYSIHQMAQMGVGEGKSIGEWFGPNTVAQVIKKLALFDEWNSLAVYVSMDNTVVIE DIKKMCCVLPVGAADPAGDFLTASNQSRDTSVPCSAWKPLLLIVPLRLGINQINPVYVEA FKECFKMPQSLGALGGKPNNAYYFIGFLGDELIFLDPHTTQTFVDIEESGLVDDQTFHCL QSPQRMSILNLDPSVALGFFCKEEKDFDNWCSLVQKEILKENLRMFELVQKHPSHWPPFV PPAKPEVTTTGAEFIESTEQLEDFELEEDFEILSVG >ENSMUSP00000092867.2 pep:known chromosome:GRCm38:10:129983480:129984442:-1 gene:ENSMUSG00000094632.1 transcript:ENSMUST00000095239.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10310 description:predicted pseudogene 10310 [Source:MGI Symbol;Acc:MGI:3710649] MSNSELMKNGSLSLCTEFTLVAFSSLAELQLVLFVVFLVLYLFTVGGNLTIICVIWTTPS LHTPMYFFLANLSFLEMCYISSVVPQMLVHLLVQLKTISVAGCAAQMYVFTILGLTECCL LATMAYDRFVAICYPLHYTLWMDPSVCLKLAGASWMTGILVESAQTTWIFTLPFCGAGTI QHFFCDIMPVVKLACVDTSQNEMVIFIISLIFIMSPCLFILCSYVRIILTILKMPSAAGR HKAFSTCSSHILVVSLFYGTALFTYLQPKSSHTPDTDKVTALMYTVVTPALNPVIYTLRN KEVKEAFQKVTQRKLHRQID >ENSMUSP00000090425.5 pep:known chromosome:GRCm38:4:146157690:146198755:1 gene:ENSMUSG00000066007.15 transcript:ENSMUST00000092750.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp600 description:zinc finger protein 600 [Source:MGI Symbol;Acc:MGI:3705222] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVLEQETQHIVNEHGHNRKKSSKCNEVRNVIHESSESTPYN TNHRDATLQSSNLKRHKTGTTKGVCTYKDCVNCLKGSSIISLNQGTHIEKKEHNRNKNLD EVLVSRQNNSEMNTYTCGEFDKCFTQSDNLQSQQRIYPGKKSYIYSESDKCFTQPSHLSI HHTIHSGEKPYKCSECDKCFTDKCTLRKHQRIHTGEKPYKCSECDKCFTDKCTLRKHQRI HTGEKPYKCNECDKCFTDKGSLRVHQRIHTGEKPYKCSECDKCFTQPSHLSIHRRIHSGE KPYKCSECDKCFTDKCTLRKHQRIHTGEKPYKCSECDKCFTEKFTLRKHQRIHTGEKPYK CNECDKCFTDKGSLRVHQRIHTGEKPYKCSECDKCFTKPSHLSIHRRIHSGEKPYKCSEC DKCFTQPSPLSIHRRIHSGEKPYKCSECDKCFTDKGSLRVHQRIHIGEKPYKCSECDKCF TQPSHLSIHCRIHSGEKPYKCSECDKCFTDKSSLRVHQRIHTGEKPYQCSECDKCFTDKG SLRVHHRIHAGEKPYKCSECGKCFTDKGYLRVHYRIHAGEKPYKCSECDKCFTHKGSLRV HHRIHTGEKPYKCSECDKCFTRKSHLSIHQRIHTGEKLYKCNECDKCFSDKHSLRRHQRI HTGETPYKCNECDKCFT >ENSMUSP00000117892.1 pep:known chromosome:GRCm38:4:146161909:146195169:1 gene:ENSMUSG00000066007.15 transcript:ENSMUST00000131932.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp600 description:zinc finger protein 600 [Source:MGI Symbol;Acc:MGI:3705222] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVLEQETQHIVNEHGHNRKKSSKCNEVRNVIHESSESTPYN TNHRDATLQSSNLKR >ENSMUSP00000126879.2 pep:known chromosome:GRCm38:4:146156824:146198749:1 gene:ENSMUSG00000066007.15 transcript:ENSMUST00000168483.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp600 description:zinc finger protein 600 [Source:MGI Symbol;Acc:MGI:3705222] MFAPHQESGRRTTKRMSASLENTAQCLLTFKDVFLDFSSEEWECLNFAQRTLYMDVMLEN YNNLLFVENHCICGNYEKEKVLEQETQHIVNEHGHNRKKSSKCNEVRNVIHESSESTPYN TNHRDATLQSSNLKRHKTGTTKGVCTYKDCVNCLKGSSIISLNQGTHIEKKEHNRNKNLD EVLVSRQNNSEMNTYTCGEFDKCFTQSDNLQSQQRIYPGKKSYIYSESDKCFTQPSHLSI HHTIHSGEKPYKCSECDKCFTDKCTLRKHQRIHTGEKPYKCSECDKCFTDKCTLRKHQRI HTGEKPYKCNECDKCFTDKGSLRVHQRIHTGEKPYKCSECDKCFTQPSHLSIHRRIHSGE KPYKCSECDKCFTDKCTLRKHQRIHTGEKPYKCSECDKCFTEKFTLRKHQRIHTGEKPYK CNECDKCFTDKGSLRVHQRIHTGEKPYKCSECDKCFTKPSHLSIHRRIHSGEKPYKCSEC DKCFTQPSPLSIHRRIHSGEKPYKCSECDKCFTDKGSLRVHQRIHIGEKPYKCSECDKCF TQPSHLSIHCRIHSGEKPYKCSECDKCFTDKSSLRVHQRIHTGEKPYQCSECDKCFTDKG SLRVHHRIHAGEKPYKCSECGKCFTDKGYLRVHYRIHAGEKPYKCSECDKCFTHKGSLRV HHRIHTGEKPYKCSECDKCFTRKSHLSIHQRIHTGEKLYKCNECDKCFSDKHSLRRHQRI HTGETPYKCNECDKCFT >ENSMUSP00000088265.2 pep:known chromosome:GRCm38:2:79707780:79810313:1 gene:ENSMUSG00000034683.12 transcript:ENSMUST00000090760.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r1c description:protein phosphatase 1, regulatory (inhibitor) subunit 1C [Source:MGI Symbol;Acc:MGI:1923185] MEPNSPKKIQFAVPLFQSQIAPEAAEQIRKRRPTPASLVILNEHNSPEIDEKRVTNTQES QNASPKQRKQSVYTPPAMKGVKHLKDQNGSAFPEEEESASEREEKWNH >ENSMUSP00000042784.4 pep:known chromosome:GRCm38:2:79707922:79808536:1 gene:ENSMUSG00000034683.12 transcript:ENSMUST00000040863.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r1c description:protein phosphatase 1, regulatory (inhibitor) subunit 1C [Source:MGI Symbol;Acc:MGI:1923185] MEPNSPKKIQFAVPLFQSQIAPEAAEQIRKRRPTPASLVILNEHNSPGVKHLKDQNGSAF PEEEESASEREEKWNH >ENSMUSP00000107410.2 pep:known chromosome:GRCm38:2:79707923:79815593:1 gene:ENSMUSG00000034683.12 transcript:ENSMUST00000111780.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r1c description:protein phosphatase 1, regulatory (inhibitor) subunit 1C [Source:MGI Symbol;Acc:MGI:1923185] MEPNSPKKIQFAVPLFQSQIAPEAAEQIRKRRPTPASLVILNEHNSPEIDEKRVTNTQES QNASPKQRKQSVYTPPAMKGASNQEEKKRWE >ENSMUSP00000070203.5 pep:known chromosome:GRCm38:6:116650609:116652794:1 gene:ENSMUSG00000048489.12 transcript:ENSMUST00000067354.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:8430408G22Rik description:RIKEN cDNA 8430408G22 gene [Source:MGI Symbol;Acc:MGI:1918730] MRSRLLLPVPHLPTIREMSEELSHGAAGQEPPASPSLDDYVRCICQLAQPTSVLDKVTAQ SRPNRPSRPAWTREKRRQAESPGDSSLCVSSLQPTLPSPGTDNPLDWLFGKSQGEQADGR GRPNRTGSSDPWDVPRQMGKDTGRLCEARVPEHSLGRKPGPRHQTSDLKSWTSRKSCRAL ASVSSSRPSSILGTLYLHLPVIHEL >ENSMUSP00000136165.1 pep:known chromosome:GRCm38:6:116650628:116652794:1 gene:ENSMUSG00000048489.12 transcript:ENSMUST00000178241.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:8430408G22Rik description:RIKEN cDNA 8430408G22 gene [Source:MGI Symbol;Acc:MGI:1918730] MRSRLLLPVPHLPTIREMSEELSHGAAGQEPPASPSLDDYVRCICQLAQPTSVLDKVTAQ SRPNRPSRPAWTREKRRQAESPGDSSLCVSSLQPTLPSPGTDNPLDWLFGKSQGEQADGR GRPNRTGSSDPWDVPRQMGKDTGRLCEARVPEHSLGRKPGPRHQTSDLKSWTSRKSCRAL ASVSSSRPSSILGTLYLHLPVIHEL >ENSMUSP00000145125.1 pep:known chromosome:GRCm38:6:116650696:116651909:1 gene:ENSMUSG00000048489.12 transcript:ENSMUST00000204555.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:8430408G22Rik description:RIKEN cDNA 8430408G22 gene [Source:MGI Symbol;Acc:MGI:1918730] MRSRLLLPVPHLPTIREMSEELSHGAAGQEPPASPSLDDYVRCICQLAQPTSVLDKVTAQ SRPNRPSRPA >ENSMUSP00000101673.2 pep:known chromosome:GRCm38:3:157534160:157548410:1 gene:ENSMUSG00000028180.18 transcript:ENSMUST00000106058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zranb2 description:zinc finger, RAN-binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1858211] MSTKNFRVSDGDWICPDKKCGNVNFARRTSCNRCGREKTTEAKMMKAGGTEIGKTLAEKS RGLFSANDWQCKTCSNVNWARRSECNMCNTPKYAKLEERTGYGGGFNERENVEYIEREES DGEYDEFGRKKKKYRGKAVGPASILKEVEDKESEGEEEDEDEDLSKYKLDEDEDEDDADL SKYNLDASEEEDSNKKKSNRRSRSKSRSSHSRSSSRSSSPSSSRSRSRSRSRSSSSSQSR SHSGSREHSRSRGSKSRSSSRSHRGSSSPRKRSYSSSSSSPERDRKRSRSRPSSPAVRKK RRTRSRSPERHHRSSSGSTHSGSRSSSKKK >ENSMUSP00000138986.1 pep:known chromosome:GRCm38:3:157534359:157548336:1 gene:ENSMUSG00000028180.18 transcript:ENSMUST00000184802.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zranb2 description:zinc finger, RAN-binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1858211] MSTKNFRVSDGDWICPDKKCGNVNFARRTSCNRCGRVAVM >ENSMUSP00000143533.1 pep:known chromosome:GRCm38:3:157534376:157543201:1 gene:ENSMUSG00000028180.18 transcript:ENSMUST00000198915.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zranb2 description:zinc finger, RAN-binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1858211] MSTKNFRVSDGDWICPDKKCGNVNFARRTSCNRCGRDN >ENSMUSP00000101672.2 pep:known chromosome:GRCm38:3:157534388:157548376:1 gene:ENSMUSG00000028180.18 transcript:ENSMUST00000106057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zranb2 description:zinc finger, RAN-binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1858211] MSTKNFRVSDGDWICPDKKCGNVNFARRTSCNRCGREKTTEAKMMKAGGTEIGKTLAEKS RGLFSANDWQCKTCSNVNWARRSECNMCNTPKYAKLEERTGYGGGFNERENVEYIEREES DGEYDEFGRKKKKYRGKAVGPASILKEVEDKESEGEEEDEDEDLSKYKLDEDEDEDDADL SKYNLDASEEEDSNKKKSNRRSRSKSRSSHSRSSSRSSSPSSSRSRSRSRSRSSSSSQSR SHSGSREHSRSRGSKSRSSSRSHRGSSSPRKRSYSSSSSSPERDRKRSRSRPSSPAVRKK RRTRSRSPESQVIGENIKQP >ENSMUSP00000101678.3 pep:known chromosome:GRCm38:3:157540651:157546803:1 gene:ENSMUSG00000028180.18 transcript:ENSMUST00000106063.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zranb2 description:zinc finger, RAN-binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1858211] XERENVEYIEREESDGEYDEFGRKKKKYRGKAVGPASILKEVEDKESEGEEEDEDEDLSK YKLDEDEDEDDADLSKYNLDASEEEDSNKKKSNRRSRSKSRSSHSRSRSRSSSSSQSRSH SGSREHSRSRGSKSRSSSRSHRGSSSPRKRSYSSSSSSPERDRKRSRSRPSSPAVRKKRR TRSRSPERHHRSSSGSTHSGSRSSSKKK >ENSMUSP00000029831.9 pep:known chromosome:GRCm38:3:157534359:157548336:1 gene:ENSMUSG00000028180.18 transcript:ENSMUST00000029831.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zranb2 description:zinc finger, RAN-binding domain containing 2 [Source:MGI Symbol;Acc:MGI:1858211] MSTKNFRVSDGDWICPDKKCGNVNFARRTSCNRCGVCSNVNWARRSECNMCNTPKYAKLE ERTGYGGGFNERENVEYIEREESDGEYDEFGRKKKKYRGKAVGPASILKEVEDKESEGEE EDEDEDLSKYKLDEDEDEDDADLSKYNLDASEEEDSNKKKSNRRSRSKSRSSHSRSSSRS SSPSSSRSRSRSRSRSSSSSQSRSHSGSREHSRSRGSKSRSSSRSHRGSSSPRKRSYSSS SSSPERDRKRSRSRPSSPAVRKKRRTRSRSPERHHRSSSGSTHSGSRSSSKKK >ENSMUSP00000050563.7 pep:known chromosome:GRCm38:5:123728426:123749389:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000050827.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MIRTNFLLKQGRRHESKDKSSKRHKSEEHNDKEHSSDKGRERLNSSENGEDRHKRKERKS SRGRSHSRSRSRERRHRSRSRERKKSRSRSRDRKKSRSRSRDRKKSRSRSRDRKRRIRTR SRSRSRHRHRTRSRSRSRSRSRDRKKRIEKPRRFSRSLSRTPSPPPFRGRNTAMDAQEAL ARRLERAKKLQEQREKEMVEKQKQQEMAAAAAATGGSVLNVAALLASGTQVTPQIAMAAQ MAALQAKALAETGIAVPSYYNPAAVNPMKFAEQEKKRKMLWQGKKEGDKSQSAEIWEKLN FGNKDQNVKFRKLMGIKSEDEAGCSSVDEESYKTLKQQEEVFRNLDAQYEMARSQTHTQR GMGLGFTSSMRGMDTV >ENSMUSP00000138280.1 pep:known chromosome:GRCm38:5:123728967:123749371:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000182955.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MDAQEALARRLERAKKLQEQREKEMVEKQKQQEMAAAAAATGGSVLNVAALLASGTQVTP QIAMAAQMAALQAKALAETGIAVPSYYNPAAVNPMKFAEQEKKRKMLWQGKKEGDKSQSA EIWEKLNFGNKDQNVKFRKLMGIKSEDEAGCSSVDEESYKTLKQQEEVFRNLDAQYEMAR SQTHTQRGMGLGFTSSMRGMDTV >ENSMUSP00000138691.1 pep:known chromosome:GRCm38:5:123729007:123749414:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000182309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MAAIDTERDGLALEKTSPDREKKKEQSDISISPRASKHHYSRSRSRSRERKRKSDDGRKH RSRSRSKEGRRHESKDKSSKRHKSEEHNDKEHSSDKGRERLNSSENGEDRHKRKERKSSR GRSHSRSRSRERRHRSRSRERKKSRSRSRDRKKSRSRSRDRKKSRSRSRDRKRRIRTRSR SRSRHRHRTRSRSRSRSRSRDRKKRIEKPRRFSRSLSRTPSPPPFRGRNTAMDAQEALAR RLERAKKLQEQREKEMVEKQKQQEMAAAAAATGGSVLNVAALLASGTQVTPQIAMAAQMA ALQAKALAETGIAVPSYYNPAAVNPMKFAEQEKKRKMLWQGKKEGDKSQSAEIWEKLNFG NKDQNVKFRKLMGIKSEDEAGCSSVDEESYKTLKQQEEVFRNLDAQYEMARSQTHTQRGM GLGFTSSMRGMDTV >ENSMUSP00000138518.1 pep:known chromosome:GRCm38:5:123729018:123749380:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000182489.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MMEGSTGAGAEAKRTNFLLKQGRRHESKDKSSKRHKSEEHNDKEHSSDKGRERLNSSENG EDRHKRKERKSSRGRSHSRSRSRERRHRSRSRERKKSRSRSRDRKKSRSRSRDRKKSRSR SRDRKRRIRTRSRSRSRHRHRTRSRSRSRSRSRDRKKRIEKPRRFSRSLSRTPSPPPFRG RNTAMDAQEALARRLERAKKLQEQREKEMVEKQKQQEMAAAAAATGGSVLNVAALLASGT QVTPQIAMAAQMAALQAKALAETGIAVPSYYNPAAVNPMKFAEQEKKRKMLWQGKKEGDK SQSAEIWEKLNFGNKDQNVKFRKLMGIKSEDEAGCSSVDEESYKTLKQQEEVFRNLDAQY EMARSQTHTQRGMGLGFTSSMRGMDTV >ENSMUSP00000138631.1 pep:known chromosome:GRCm38:5:123729084:123742858:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000182556.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MIRTNFLLKQGRRHESKDKSSKRHKSEEHNDKEHSSDKGRERLNSSENGEDRHKRKERKS SRGRSHSRSRSRERRHRSRSRERKKSRSRSRDRKKSRSRSRDRKKSRSRSRDRKRRIRTR SRSRSRHRHRTRSRSRSRSRSRDRKKRIEKPRRFSRSLSRTPSPPPFRGRNTAMDAQEAL ARRKGKEITRTTRKGNG >ENSMUSP00000138260.1 pep:known chromosome:GRCm38:5:123729084:123743645:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000182584.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] XKERANARRD >ENSMUSP00000138725.1 pep:known chromosome:GRCm38:5:123729084:123743645:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000182861.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] XKERANARRD >ENSMUSP00000138301.1 pep:known chromosome:GRCm38:5:123730529:123749386:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000183147.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MIREEDMSPKISPLRDTSLRSTMTKNILLTKEESD >ENSMUSP00000138210.1 pep:known chromosome:GRCm38:5:123733603:123749381:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000182015.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MAAIDTERDGLALEKTSPDREKKKEQSDISISPRASKHHYSRSRSRSRERKRKSDDGRKH RSRSRSKERANARRD >ENSMUSP00000138505.1 pep:known chromosome:GRCm38:5:123733603:123749382:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000181980.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MIREEDMSPKISPLRDTSLRSTMTKNILLTKEESD >ENSMUSP00000138439.1 pep:known chromosome:GRCm38:5:123736615:123749371:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000182411.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MAAIDTERDGLALEKTSPDREKKKEQSDISISPRASKHHYSRSRSRSRERKRKSDDGRKH RSRSRSKENQLLVKTGKKT >ENSMUSP00000138162.1 pep:known chromosome:GRCm38:5:123736662:123749412:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000182241.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MAAIDTERDGLALEKTSPDREKKKEQSDISISPRASKHHYSRSRSRSRERKRKSDDGRKH RSRSRSKERANARRD >ENSMUSP00000138242.1 pep:known chromosome:GRCm38:5:123739671:123749369:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000182093.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MMEGSTGAGAEAKREEDMSPKISPLRDTSLRSTMTKNILLTKEESD >ENSMUSP00000049942.5 pep:known chromosome:GRCm38:5:123728430:123749412:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000057795.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MIRTNFLLKQGRRHESKDKSSKRHKSEEHNDKEHSSDKGRERLNSSENGEDRHKRKERKS SRGRSHSRSRSRERRHRSRSRERKKSRSRSRDRKKSRSRSRDRKKSRSRSRDRKRRIRTR SRSRSRHRHRTRSRSRSRSRSRDRKKRIEKPRRFSRSLSRTPSPPPFRGRNTAMDAQEAL ARRLERAKKLQEQREKEMVEKQKQQEMAAAAAATGGSVLNVAALLASGTQVTPQIAMAAQ MAALQAKALAETGIAVPSYYNPAAVNPMKFAEQEKKRKMLWQGKKEGDKSQSAEIWEKLN FGNKDQNVKFRKLMGIKSEDEAGCSSVDEESYKTLKQQEEVFRNLDAQYEMARSQTHTQR GMGLGFTSSMRGMDTV >ENSMUSP00000107140.1 pep:known chromosome:GRCm38:5:123733369:123749412:-1 gene:ENSMUSG00000029422.14 transcript:ENSMUST00000111515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsrc2 description:arginine/serine-rich coiled-coil 2 [Source:MGI Symbol;Acc:MGI:1913489] MIRTNFLLKQGRRHESKDKSSKRHKSEEHNDKEHSSDKGRERLNSSENGEDRHKRKERKS SRGRSHSRSRSRERRHRSRSRERKKSRSRSRDRKKSRSRSRDRKKSRSRSRDRKRRIRTR SRSRSRHRHRTRSRSRSRSRSRDRKKRIEKPRRFSRSLSRTPSPPPFRGRNTAMDAQEAL ARRYVSFPM >ENSMUSP00000048267.4 pep:known chromosome:GRCm38:6:116633008:116673952:-1 gene:ENSMUSG00000042129.8 transcript:ENSMUST00000035842.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf4 description:Ras association (RalGDS/AF-6) domain family member 4 [Source:MGI Symbol;Acc:MGI:2386853] MKEACSSSSHVPVSDSKYILKSELLSLLKTYNCYHEGRSFQLRHREEEGTLIIEGLLNIA WGLRRPIRLQMQDDRERVHLPSATWVPERLSYLQKEASPQDSKVPTEEPGTQPANKAEVS GDSSGALEGEEEEVPQLMRTKSDASCIIQRRSKSRAPSEAQKIRRHRFSINGHFYNHKTS VFTPAYGSVTNVRVNSTMTTQQVLTLLLNKFRVEDGPSEFALYTVHESGEQTKLKDCEYP LISRILHGPCEKIVKIFLMEADLSEEVPHDVAQYIKFEMPVLDSFVEKLKEEEEREIIKL TMKFQALRLTMLQRLEQLVEAK >ENSMUSP00000144786.1 pep:known chromosome:GRCm38:6:116639224:116673809:-1 gene:ENSMUSG00000042129.8 transcript:ENSMUST00000203029.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf4 description:Ras association (RalGDS/AF-6) domain family member 4 [Source:MGI Symbol;Acc:MGI:2386853] MKEACSSSSHVPVSDSKYILKSELLSLLKTYNCYHEGRSFQLRHREEEGTLIIEGLLNIA WGLRRPIRLQMQDDRERVHLPSATWVPERLSYLQKEASPQDSKVPTEEPGTQPANKAEVS GDSSGALEGEEEEVPQLMRTKSDASCIIQRRSKSRAPSEAQKIRRHRFSINGHFYNHKTS VFTPAYGSVTNVRVNSTMTTQQVLTLLLNKFRVEDGPSEFALYTVHESGEQTKLKDCEYP LISRILHGPCEKIVKIFLMEADLSEEVPHDVAQYIKFEMPVLDSFVEKLKEEEEREIIKL TMK >ENSMUSP00000145076.1 pep:known chromosome:GRCm38:6:116645903:116673771:-1 gene:ENSMUSG00000042129.8 transcript:ENSMUST00000204203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf4 description:Ras association (RalGDS/AF-6) domain family member 4 [Source:MGI Symbol;Acc:MGI:2386853] MQDDRERVHLPSATWVPERLSYLQKEASPQDSKVPTEEPGTQPANKAEV >ENSMUSP00000145394.1 pep:known chromosome:GRCm38:6:116645974:116673798:-1 gene:ENSMUSG00000042129.8 transcript:ENSMUST00000204576.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rassf4 description:Ras association (RalGDS/AF-6) domain family member 4 [Source:MGI Symbol;Acc:MGI:2386853] MFLSATAKLLSLLKTYNCYHEGRSFQLRHREEEGTLIIEGLLNIAWGLRRPIRLQMQDDR ERVHLPSATWVPERLSYLQK >ENSMUSP00000104652.2 pep:known chromosome:GRCm38:15:99778470:99820143:-1 gene:ENSMUSG00000023022.13 transcript:ENSMUST00000109024.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lima1 description:LIM domain and actin binding 1 [Source:MGI Symbol;Acc:MGI:1920992] MENCLGDSRHEAEKPETSENTETSGKIEKYNVPLNRLKMMFEKGEHNQTKSLWTQSRNAG GRRLSENNCSLDDWEIGAGHLSSSAFNSEKNESKRNLELPRLSETSIKDRMAKYQAAVSK QSSPASYTNELKTSESKTHKWEQKENVPPGPEACSVHQEGSKVSTTENSLVALSVPAEDD TCNSQVKSEAQQPMHPKPLSPDARTSSLPESSPSKTAKKFQAPAKESCVECQKTVYPMER LLANQQVFHISCFRCSYCNNKLSLGTYASLHGRIYCKPHFNQLFKSKGNYDEGFGHKQHK DLWASKSDNEETLGRPAQPPNAGESPHSPGVEDAPIAKVGVLAASMEAKASSQREREDKP AETKKLRIAWPPPAELGGSGSALEEGIKVSKPKWPPEDDVCKTEAPEDVDLDLKKLRRSS SLKERSRPFTVAASFRTSSIKSPKASSPSLRKGWSESEQSEEFGGGIATMERKQTENARP SGEKENVGKSRWQGEEVPRSKDRSSFELESENFMENGANIAEDDNHVHAQQSPLEPEAPG WSGFVDTTAAKEFTTQNQKSQDVGFWEGEVVRELSVEEQIKRNRYYDEDEDEE >ENSMUSP00000073371.3 pep:known chromosome:GRCm38:15:99778470:99875428:-1 gene:ENSMUSG00000023022.13 transcript:ENSMUST00000073691.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lima1 description:LIM domain and actin binding 1 [Source:MGI Symbol;Acc:MGI:1920992] MESTPFNRRQWTSLSLRVTAKELSLVNKNKSSAIVEIFSKYQKAAEEANMERKKNNPESL PQHFRRGTLSVLKKKWENPVAGAEFHTDSLPNSSSEGGHTADYPPAEVTDKPAPGVRADR EEHTQPKPRFGSRPEAVIQSRYPRSENSHDFKAQATESQKMENCLGDSRHEAEKPETSEN TETSGKIEKYNVPLNRLKMMFEKGEHNQTKSLWTQSRNAGGRRLSENNCSLDDWEIGAGH LSSSAFNSEKNESKRNLELPRLSETSIKDRMAKYQAAVSKQSSPASYTNELKTSESKTHK WEQKENVPPGPEACSVHQEGSKVSTTENSLVALSVPAEDDTCNSQVKSEAQQPMHPKPLS PDARTSSLPESSPSKTAKKFQAPAKESCVECQKTVYPMERLLANQQVFHISCFRCSYCNN KLSLGTYASLHGRIYCKPHFNQLFKSKGNYDEGFGHKQHKDLWASKSDNEETLGRPAQPP NAGESPHSPGVEDAPIAKVGVLAASMEAKASSQREREDKPAETKKLRIAWPPPAELGGSG SALEEGIKVSKPKWPPEDDVCKTEAPEDVDLDLKKLRRSSSLKERSRPFTVAASFRTSSI KSPKASSPSLRKGWSESEQSEEFGGGIATMERKQTENARPSGEKENVGKSRWQGEEVPRS KDRSSFELESENFMENGANIAEDDNHVHAQQSPLEPEAPGWSGFVDTTAAKEFTTQNQKS QDVGFWEGEVVRELSVEEQIKRNRYYDEDEDEE >ENSMUSP00000106250.1 pep:known chromosome:GRCm38:12:69182731:69183986:-1 gene:ENSMUSG00000049751.6 transcript:ENSMUST00000110620.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl36al description:ribosomal protein L36A-like [Source:MGI Symbol;Acc:MGI:1913733] MVNVPKTRRTFCKKCGKHQPHKVTQYKKGKDSLYAQGKRRYDRKQSGYGGQTKPIFRKKA KTTKKIVLRLECVEPNCRSKRMLAIKRCKHFELGGDKKRKGQVIQF >ENSMUSP00000106249.1 pep:known chromosome:GRCm38:12:69182738:69184013:-1 gene:ENSMUSG00000049751.6 transcript:ENSMUST00000110619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl36al description:ribosomal protein L36A-like [Source:MGI Symbol;Acc:MGI:1913733] MVNVPKTRRTFCKKCGKHQPHKVTQYKKGKDSLYAQGKRRYDRKQSGYGGQTKPIFRKKA KTTKKIVLRLECVEPNCRSKRMLAIKRCKHFELGGDKKRKGQVIQF >ENSMUSP00000059766.6 pep:known chromosome:GRCm38:12:69182741:69184083:-1 gene:ENSMUSG00000049751.6 transcript:ENSMUST00000054544.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl36al description:ribosomal protein L36A-like [Source:MGI Symbol;Acc:MGI:1913733] MVNVPKTRRTFCKKCGKHQPHKVTQYKKGKDSLYAQGKRRYDRKQSGYGGQTKPIFRKKA KTTKKIVLRLECVEPNCRSKRMLAIKRCKHFELGGDKKRKGQVIQF >ENSMUSP00000100628.2 pep:known chromosome:GRCm38:X:4800496:4801986:1 gene:ENSMUSG00000078213.3 transcript:ENSMUST00000105011.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3750 description:predicted gene 3750 [Source:MGI Symbol;Acc:MGI:3781925] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQRMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVIQQ CEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLMYL LALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNISFL ESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEYGV IGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQRCD GSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFPMC IFCNFLFVNLGNAENK >ENSMUSP00000075338.1 pep:known chromosome:GRCm38:3:93796398:93797510:1 gene:ENSMUSG00000060256.1 transcript:ENSMUST00000075953.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdpoz4 description:TD and POZ domain containing 4 [Source:MGI Symbol;Acc:MGI:3027904] MSGELEAKSRGDTQSHEQKLCYRWTISNFSFFVEETEEYITSLVFSLEDNDKMTWCLRVY PTGVDEKNKDYVSLYLILLSCEKGSVWAKFEVCILNAKGEKCNTERIPSFSRIQPHQPFG FEKFITRDSFLSPAQVLTPDDKFTLLCKVSVLQDSFSISGQNPRPAIKVTRCTLENDVGE LWENPLFTDCSLLVAGHEFRAHKAILAARSPVFRAMFEHEMEERLTNCVEIHDLDPQVFK EMMGFIYTGKVPHLHSHSMACDLLAAADRYGLEDLMVMCEDALCRSLSVENAAHTLIVAD LHSTEHLKTQALDFIIVYASEVSKTSGWMSMVESHPRLVAEAFHSLASAQRVFWALPFKQ LKWSLRPTQL >ENSMUSP00000114093.1 pep:known chromosome:GRCm38:3:79884533:79946280:1 gene:ENSMUSG00000027955.16 transcript:ENSMUST00000118853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam198b description:family with sequence similarity 198, member B [Source:MGI Symbol;Acc:MGI:1915909] MTCPDKPGQLVNWFVCSLCAPRVCKLWSSRRPRTRRNLLLGTACAIYLGFLVSQVGRGSF QHGQATDRGPPNGHDIFKVPFSEIPLDGTLAPPELQGNGSTLQPNVVYITLRSKRSKPAN IRGTVKPKRRKKYAVASAAPDQEVLVRPSLIQQEAARAADAEVPGYVQGYLTKVGERPWR VLRGPGVRTRGSNLQQPRARESNIRIYSESAPSWLSKEDIRRMRLLADSEVASILPISKS GTRLLVLEGSTSGSVPGCGPSPCGLLKQPLDMSEVFAFHLDRILGLNRTLPSVSRKLEFI QDGRPRPIILWDSSLASASNDSHSSVKITWGTYQRLLKQKCWLNGRVPRPEWDCTEIHHH EWSKMALFDFLLQIYNRLDTNCCGFRPRKEDACIQNGLRSNCEDQTSVTLAHIIQRKNDP RHLVFINNKGFFDRSEDNLNFKLLEGIREFPESAVSVLKSQHLRQKLLQSLFLDQVYWES QGGRQGIEKLIDVIERRARILITYINAHGARVLPMNE >ENSMUSP00000120603.1 pep:known chromosome:GRCm38:3:79884597:79936721:1 gene:ENSMUSG00000027955.16 transcript:ENSMUST00000145992.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam198b description:family with sequence similarity 198, member B [Source:MGI Symbol;Acc:MGI:1915909] MALFDFLLQIYNRLDTNCCGFRPRKEDACIQNGLRSNCEDQTSVTLAHIIQ >ENSMUSP00000117199.1 pep:known chromosome:GRCm38:3:79884931:79886243:1 gene:ENSMUSG00000027955.16 transcript:ENSMUST00000135021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam198b description:family with sequence similarity 198, member B [Source:MGI Symbol;Acc:MGI:1915909] MTCPD >ENSMUSP00000029567.8 pep:known chromosome:GRCm38:3:79885930:79946278:1 gene:ENSMUSG00000027955.16 transcript:ENSMUST00000029567.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam198b description:family with sequence similarity 198, member B [Source:MGI Symbol;Acc:MGI:1915909] MTCPDKPGQLVNWFVCSLCAPRVCKLWSSRRPRTRRNLLLGTACAIYLGFLVSQVGRGSF QHGQATDRGPPNGHDIFKVPFSEIPLDGTLAPPELQGNGSTLQPNVVYITLRSKRSKPAN IRGTVKPKRRKKYAVASAAPDQEVLVRPSLIQQEAARAADAEVPGYVQGYLTKVGERPWR VLRGPGVRTRGSNLQQPRARESNIRIYSESAPSWLSKEDIRRMRLLADSEVASILPISKS GTRLLVLEGSTSGSVPGCGPSPCGLLKQPLDMSEVFAFHLDRILGLNRTLPSVSRKLEFI QDGRPRPIILWDSSLASASNDSHSSVKITWGTYQRLLKQKCWLNGRVPRPEWDCTEIHHH EWSKMALFDFLLQIYNRLDTNCCGFRPRKEDACIQNGLRSNCEDQTSVTLAHIIQRKNDP RHLVFINNKGFFDRSEDNLNFKLLEGIREFPESAVSVLKSQHLRQKLLQSLFLDQVYWES QGGRQGIEKLIDVIERRARILITYINAHGARVLPMNE >ENSMUSP00000080472.3 pep:known chromosome:GRCm38:3:93826020:93827117:1 gene:ENSMUSG00000058005.3 transcript:ENSMUST00000081780.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdpoz3 description:TD and POZ domain containing 3 [Source:MGI Symbol;Acc:MGI:3027903] MAGDMEFKSWGYTQINVQKFCYNWTISNFSFCMGAHQKSITSPVFSLEASKEVAWCLRLY PNGVDEESKDYLSVYLELLSALESPILAKFEFWIINSQGEKYQSRKISNVQCFLQYEHRG FKKFLLRGLLLSHMNWFLPEDQFTICCKVSIVGTVFDMPVQKRTPAIKDPRHMLTDDLGE LWENSLFTDCCLLVAGHEFKAHKAILAARSPVFRAMFENEMKESLKNPIEIMDLDLDVFK EMMGFIYTGKAPHLHSHSMACDVLPAADKYGLVGLKVLCEDVLCRNLSVKTAAHTLILAD LNSTEKLKSQALDFIAIHACEVSETSEWKSMWKSHPHLVAEAFHSLASAKCSFLEPNVVL ESSQL >ENSMUSP00000095745.1 pep:known chromosome:GRCm38:7:106864982:106865929:1 gene:ENSMUSG00000073900.3 transcript:ENSMUST00000098141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr704 description:olfactory receptor 704 [Source:MGI Symbol;Acc:MGI:3030538] MEVCNSTLRSGFILMGILDDNDFPELLCATITALYLLALTSNGLLLLVITMDTRLHVPMY LLLWQLSLMDLLLTSVITPKAILDYLLKDNTISFGGCALQMFLALTLGTAEDLLLSFMAY DRYVAICHPLNYTILMSQKVCCLMIATSWSLASLSALGYSMYTMQYPFCKSRQIRHLFCE IPPLLKLACADTSTYELMVYLMGVTLLFPALAAILASYSLILFTVLHMPSNEGRRKALVT CSSHLTVVGMWYGGAIVMYVLPSSFHSPKQDNISSVFYTIFTPALNPLIYSLRNKEVTGA LRRVLGKRLSVQSTF >ENSMUSP00000021628.3 pep:known chromosome:GRCm38:13:4574075:4586541:1 gene:ENSMUSG00000021207.8 transcript:ENSMUST00000021628.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c21 description:aldo-keto reductase family 1, member C21 [Source:MGI Symbol;Acc:MGI:1924587] MNSKCHCVILNDGNFIPVLGFGTALPLECPKSKAKELTKIAIDAGFHHFDSASVYNTEDH VGEAIRSKIADGTVRREDIFYTSKVWCTSLHPELVRASLERSLQKLQFDYVDLYLIHYPM ALKPGEENFPVDEHGKLIFDRVDLCATWEAMEKCKDAGLTKSIGVSNFNYRQLEMILNKP GLKYKPVCNQVECHPYLNQMKLLDFCKSKDIVLVAYGVLGTQRYGGWVDQNSPVLLDEPV LGSMAKKYNRTPALIALRYQLQRGIVVLNTSLKEERIKENMQVFEFQLSSEDMKVLDGLN RNMRYIPAAIFKGHPNWPFLDEY >ENSMUSP00000101416.1 pep:known chromosome:GRCm38:4:141384610:141398204:-1 gene:ENSMUSG00000033770.13 transcript:ENSMUST00000105790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcnka description:chloride channel, voltage-sensitive Ka [Source:MGI Symbol;Acc:MGI:1329026] MEELVGLREGSSGKPVTLQELWGPCPRIRRGIRGGLEWLKERLFRAREDWYFLVALGVLM ALISYAMNFAIGRVVRAHKWLYREVGDGHLLRYLSWTVYPVALLSFSSGFSQSITPFSGG SGLPELKTMLSGVVLENYLDIKNFGAKVVGLSCTLATGSTIFLGKVGPFVHLSVMISAYL GRVRAKAVGDTESKAKEVEMLSAAAAVGVATVFAAPFSGVLFSIEVMSSHFSVWNYWRGF FAATCGAFMFRLLAVFNSEQETITSIYKTRFRVDVPFDLPEIFFFVALGFICGILSCVYL FCQRNFLRFIKTNRYTSKLLATSKPSYAALVALVLASVTYPPGVGRFMASRLSMAEHLHS LFDNNSWALMTRNASPPWPSEPDPQNLWFEWYHPRFTIFGTLAFFLIMKFWMLILATTIP MPAGYFMPIFIIGAAIGRLLGEALSVAFPEGIVAGGEVYPIMPGGYALAGAAAFSGAVTH TISTALLAFELTGQIVHALPVLMAVLAANVISQNLQPSFYDGTIMAKKLPYLPWIRGRQI GSYPVTVEHFMNSNLTTLAKDMPLEEVVKVVTSTDVSQYPLVETRESQTLVGIVERTHLV QALQTQPASWAPGQERFLQDILAGGCPTQPVTLQLSPETSLYQAHRLFELLTLQALFVTS RGRAVGSVSWVELKKAISTLINPPAPK >ENSMUSP00000048520.7 pep:known chromosome:GRCm38:4:141384610:141398724:-1 gene:ENSMUSG00000033770.13 transcript:ENSMUST00000042617.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcnka description:chloride channel, voltage-sensitive Ka [Source:MGI Symbol;Acc:MGI:1329026] MEELVGLREGSSGKPVTLQELWGPCPRIRRGIRGGLEWLKERLFRAREDWYFLVALGVLM ALISYAMNFAIGRVVRAHKWLYREVGDGHLLRYLSWTVYPVALLSFSSGFSQSITPFSGG SGLPELKTMLSGVVLENYLDIKNFGAKVVGLSCTLATGSTIFLGKVGPFVHLSVMISAYL GRVRAKAVGDTESKAKEVEMLSAAAAVGVATVFAAPFSGVLFSIEVMSSHFSVWNYWRGF FAATCGAFMFRLLAVFNSEQETITSIYKTRFRVDVPFDLPEIFFFVALGFICGILSCVYL FCQRNFLRFIKTNRYTSKLLATSKPSYAALVALVLASVTYPPGVGRFMASRLSMAEHLHS LFDNNSWALMTRNASPPWPSEPDPQNLWFEWYHPRFTIFGTLAFFLIMKFWMLILATTIP MPAGYFMPIFIIGAAIGRLLGEALSVAFPEGIVAGGEVYPIMPGGYALAGAAAFSGAVTH TISTALLAFELTGQIVHALPVLMAVLAANVISQNLQPSFYDGTIMAKKLPYLPWIRGRQI GSYPVTVEHFMNSNLTTLAKDMPLEEVVKVVTSTDVSQYPLVETRESQTLVGIVERTHLV QALQTQPASWAPGQERFLQDILAGGCPTQPVTLQLSPETSLYQAHRLFELLTLQALFVTS RGRAVGSVSWVELKKAISTLINPPAPK >ENSMUSP00000122396.1 pep:known chromosome:GRCm38:4:141395119:141398574:-1 gene:ENSMUSG00000033770.13 transcript:ENSMUST00000133676.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcnka description:chloride channel, voltage-sensitive Ka [Source:MGI Symbol;Acc:MGI:1329026] MEELVGLREGSSGKPVTLQELWGPCPRIRRGIRGGLEWLKERLFRAREDWYFLVALGVLM ALISYAMNFAIGRVVRAHKWLYREVGDGHLLRYLSWTVYPVALLSFSSGFSQSITPFSGG SGLPELKTMLSGVVLENYLDIKNFGAKVVGLSCTLATGSTIFLGKVGPFVHLSVMISAYL GRVRAKAVGDTE >ENSMUSP00000098226.3 pep:known chromosome:GRCm38:5:130257035:130277749:1 gene:ENSMUSG00000056310.14 transcript:ENSMUST00000100662.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyw1 description:tRNA-yW synthesizing protein 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2141161] MGPLDVWDLSPLLSLWMNRFYIYMGCALGLTLCICVQIIKKQVTRSQEKRVPGAPDSSLS PQKKQTHVSGVKIFYGSQTGTAKGFAVVLAKAVTSLDLPVAIINLKEYDPDDSLIGEITS KTVCAFLVATYTDGCPTESAEWFCKWLEESANDFRFGKTYLKGLRYAVFGLGDSAYRSHF NKVSTNVDKWLWMLGAQRVLTRGEGDCNAVQSKHGSIEADFTAWKTKFISRLQALQRGEK KACGGNCKRGKCESAQHGPGEARPHPQGELHPGDAEEEEPCESSSEDELGTQDYQSLTSV VDVEDLGNIMNPVKREKTSCSALSSYSDSAMSHHNKEKSVRPPSLIQRLLQQVLSMTVGW RRRSSEDSQVQGTSSARKWRPGRRPL >ENSMUSP00000037173.6 pep:known chromosome:GRCm38:5:130257035:130341563:1 gene:ENSMUSG00000056310.14 transcript:ENSMUST00000040213.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyw1 description:tRNA-yW synthesizing protein 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2141161] MGPLDVWDLSPLLSLWMNRFYIYMGCALGLTLCICVQIIKKQVTRSQEKRVPGAPDSSLS PQKKQTHVSGVKIFYGSQTGTAKGFAVVLAKAVTSLDLPVAIINLKEYDPDDSLIGEITS KTVCAFLVATYTDGCPTESAEWFCKWLEESANDFRFGKTYLKGLRYAVFGLGDSAYRSHF NKVSTNVDKWLWMLGAQRVLTRGEGDCNAVQSKHGSIEADFTAWKTKFISRLQALQRGEK KACGGNCKRGKCESAQHGPGEARPHPQGELHPGDAEEEEPCESSSEDELGTQDYQSLTSV VDVEDLGNIMNPVKREKREKSHQDGKAAMQRNPEKTEDGEGRAMITPALREALTKQGYQL IGSHSGVKLCRWTKSMLRGRGGCYKHTFYGIESHRCMEATPSLACANKCVFCWRHHTNPV GTEWRWKMDQPELILKEAIENHQNMIKQFKGVPGLKAERFEEGMEVKHCALSLVGEPIMY PEINRLLKLLHQHGISSFLVTNAQFPEEIRKLTPVTQLYVSVDASTRDGLKKIDRPLFKD FWQRFLDSLKALSAKQQRTVYRLTLVKCWNVDELQAYAELVSLGNPDFIEVKGVTYCGES AASSLTMANVPWHEEVVRFVRELVDLLPDYEVACEHEHSNCLLIGHKKFKIDGEWWTWIN YSRFQELVLQYEESGGSKTFSSRDYMARTPQWALFGARERGFDPKDTRYQRKNKTKDISG C >ENSMUSP00000123693.1 pep:known chromosome:GRCm38:5:130258930:130269391:1 gene:ENSMUSG00000056310.14 transcript:ENSMUST00000147619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyw1 description:tRNA-yW synthesizing protein 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2141161] MGCALGLTLCICVQIIKKQVTRSQEKRVPGAPDSSLSPQKKQTHVSGVKIFYGSQTGTAK GFAVVLAKAVTSLDLPVAIINLKEYDPDDSLIGEITSKTVCAFLVATYTDGCPTESAEWF CKWLEESANDFRFGKTYLKGLRYAVFGLGDSAYRSHFNKVSTNVDKWLWMLGAQRVLTRG EGDCNAVQSKHGSIEADFTAWKTKFISRLQALQRGEKKACGGNCKRGKCESAQH >ENSMUSP00000047318.5 pep:known chromosome:GRCm38:5:130255619:130285386:1 gene:ENSMUSG00000056310.14 transcript:ENSMUST00000044204.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tyw1 description:tRNA-yW synthesizing protein 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2141161] MGPLDVWDLSPLLSLWMNRFYIYMGCALGLTLCICVQIIKKQVTRSQEKRVPGAPDSSLS PQKKQTHVSGVKIFYGSQTGTAKGFAVVLAKAVTSLDLPVAIINLKEYDPDDSLIGEITS KTVCAFLVATYTDGCPTESAEWFCKWLEESANDFRFGKTYLKGLRYAVFGLGDSAYRSHF NKVSTNVDKWLWMLGAQRVLTRGEGDCNAVQSKHGSIEADFTAWKTKFISRLQALQRGEK KACGGNCKRGKCESAQHGPGEARPHPQGELHPGDAEEEEPCESSSEDELGTQDYQSLTSV VDVEDLGNIMNPVKREKREKSHQDGKAAMQRNPEKTEDGEGRAMITPALREALTKQGYQL IGSHSGVKLCRWTKVFIGFIQILFLFSATYLFLSIYFVCAHMCGGQSTAYRIWFSLWALG TKLSFSVTAATWMAPVWFSAVLLWYTSHAVRGSR >ENSMUSP00000005607.8 pep:known chromosome:GRCm38:8:83955507:83970197:1 gene:ENSMUSG00000005470.8 transcript:ENSMUST00000005607.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asf1b description:anti-silencing function 1B histone chaperone [Source:MGI Symbol;Acc:MGI:1914179] MAKVSVLNVAVLENPSPFHSPFRFEISFECSEALSDDLEWKIIYVGSAESEEFDQILDSV LVGPVPAGRHMFVFQADAPNPSLIPETDAVGVTVVLITCTYHGQEFIRVGYYVNNEYPDP ELRENPPPKPDFSQLQRNILASNPRVTRFHINWDNNPDSLEAIENQDPNVDFSLSLSCTP VKSLGLPSCIPGLLPENSMDCI >ENSMUSP00000097392.1 pep:known chromosome:GRCm38:2:88987265:88988200:-1 gene:ENSMUSG00000075110.1 transcript:ENSMUST00000099804.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1214 description:olfactory receptor 1214 [Source:MGI Symbol;Acc:MGI:3031048] MHNQSYVNEFILLGLSQNPQIVKISFVIFLLVYLATLVGNMIIVVTIVYSPALLGSPMYF FLAFLSFLDACVSSVVTPKMIVDMTYERKIISFECCMTQVFAVHFLTAVEVIVLAAMAYD RYVAICKPLHYSFIMNRRLCGTLVGVAWAGGFLHSIIQIAFILKLPFCGPNVIDHFICDL FPLLKLACTDIHIFIILVFANSGSICIIIFSFLLISYGVILFSLRAHSSEGRRKALSTCG SHITVVVFFFVSCILIYARPTSAFSFEKNVFVFTDVLTPLLNPMVYTFRNKEMINAIRKM RKRLIMVPDKY >ENSMUSP00000044245.6 pep:known chromosome:GRCm38:1:13113457:13127163:-1 gene:ENSMUSG00000042414.7 transcript:ENSMUST00000047577.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prdm14 description:PR domain containing 14 [Source:MGI Symbol;Acc:MGI:3588194] MALPPSGETQSQDKANYLPQSNPHHLTTYYAHAPGYSHFRNLATTEEEFQPWKLAAAVLE SQAMAPLDAFRMTAPLLNPGLAVQSEPLYNLPWYKLSPWNRIPQFTPEVPRFLDSTEHRS SGSSNQNLVLGGGGGQISGQRWEAENLLLPSPVIASLLPDGIKSSQSISVPQTLNQEGKL PFCGFNFTEEELSFVLYGAIASPEHPTDLQHAISGILVPTESSGSNHLHKTLDKDSLQLP EGLCLMQTSFGDVPHFGVFCSDFIAKGVRFGPFRGRVVNASEVKAHRDNSRMWEIFEDGH LSHFIDGKGSGNWMSYVNCARFPKEQNLLAVQHQGQIFYESCRDIQRNQELLVWYGNGYE KFLGVPMNLRVTEQGGQQLSESSEESAEGYRCERCGKVFTYKYYRDKHLKYTPCVDKGDR KFPCSLCQRSFEKRDRLRIHILHVHERHRPYLCSTCGKSFSQSSSLNKHMRVHSGDRPYQ CVYCTKKFTASSILRTHIRQHSGEKPFKCKHCGKAFASHAAHDSHVRRSHKDNGRSSCDI CGKGFLDQEAFYAHMRLHKTC >ENSMUSP00000054062.2 pep:known chromosome:GRCm38:11:116280939:116283938:1 gene:ENSMUSG00000020793.5 transcript:ENSMUST00000055872.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galr2 description:galanin receptor 2 [Source:MGI Symbol;Acc:MGI:1337018] MNGSDSQGAEDSSQEGGGGWQPEAVLVPLFFALIFLVGAVGNALVLAVLLRGGQAVSTTN LFILNLGVADLCFILCCVPFQATIYTLDDWVFGSLLCKAVHFLIFLTMHASSFTLAAVSL DRYLAIRYPLHSRELRTPRNALAAIGLIWGLALLFSGPYLSYYSQSQLANLTVCHPAWSA PRRRAMDLCTFVFSYLLPVLVLSLTYARTLHYLWRTVDPVAAGSGSQRAKRKVTRMIVIV AVLFCLCWMPHHALILCVWFGRFPLTRATYALRILSHLVSYANSCVNPIVYALVSKHFRK GFRKICAGLLRRAPRRASGRVCILAPGNHSGGMLEPESTDLTQVSEAAGPLVPAPALPNC TTLSRTLDPAC >ENSMUSP00000079726.3 pep:known chromosome:GRCm38:7:106873293:106874338:-1 gene:ENSMUSG00000108948.1 transcript:ENSMUST00000080925.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr705 description:olfactory receptor 705 [Source:MGI Symbol;Acc:MGI:3030539] MEPWNSTLGTDFNLVGILDDSGSPELLCATFTALYMLALISNGLLILVITMDARLHVPMY FLLGQLSLMDLLFTSVVTPKAVIDFLLRDNTISFEGCSLQMFLALTLGGAEDLLLAFMAY DRYVAICHPLNYMIFMRPSICWLMVATSWVLASLMALGYTTYTMQYSYCKSRKIRHLLCE IPPLLKLACADTSKYELMVYVMGVTFLIPPLAAILASYSLILFTVLHMPSNEGRKKALVT CSSHLTVVGMFYGAATFMYVLPNSFHSPRQDNIISVFYTIVTPALNPLIYSLRNKEVTGA LIRVLGRYIVPAHPTL >ENSMUSP00000104685.1 pep:known chromosome:GRCm38:11:52396428:52408728:1 gene:ENSMUSG00000036275.13 transcript:ENSMUST00000109057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9530068E07Rik description:RIKEN cDNA 9530068E07 gene [Source:MGI Symbol;Acc:MGI:2654705] MCGAAREKLSPGPGARGLGALARSLVLALLLVPVLCSDRSENPPNNATVSSPVVVTAPGN HTSPSVSQISTTLSPASAEKSGSSSAAPTPTAAPSAPEEEADSNEDPSMEEEDLLALNSS PATGKDTLDNGDYGEPDYDWTTNPRDEEPEDINIAISKESRRFRGFQDSVEVVKLPPPNR EDSHFFFHLLIFAFCAAVVYVTYHNKRKIFLLVQSRKWRDGLCSKTVEYHRLDQNVNEAM PSLKITNDYIF >ENSMUSP00000048441.4 pep:known chromosome:GRCm38:11:52396428:52408723:1 gene:ENSMUSG00000036275.13 transcript:ENSMUST00000036952.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9530068E07Rik description:RIKEN cDNA 9530068E07 gene [Source:MGI Symbol;Acc:MGI:2654705] MAASALGRMCGAAREKLSPGPGARGLGALARSLVLALLLVPVLCSDRSENPPNNATVSSP VVVTAPGNHTSPSVSQISTTLSPASAEKSGSSSAAPTPTAAPSAPEEEADSNEDPSMEEE DLLALNSSPATGKDTLDNGDYGEPDYDWTTNPRDEEPEDINIAISKESRRFRGFQDSVEV VKLPPPNREDSHFFFHLLIFAFCAAVVYVTYHNKRKIFLLVQSRKWRDGLCSKTVEYHRL DQNVNEAMPSLKITNDYIF >ENSMUSP00000101036.3 pep:known chromosome:GRCm38:10:77978524:77985434:1 gene:ENSMUSG00000020284.16 transcript:ENSMUST00000105397.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810043G02Rik description:RIKEN cDNA 1810043G02 gene [Source:MGI Symbol;Acc:MGI:1915134] MKLTRKMVLSRAKASELHNVRKLNCWGSQLTDISICREMPSLEVITLSVNSVSTLEPVRS CRRLSELYLRRNRIPSLNELFYLKDLPHLRVLWLAENPCCGTSPHLYRMTVLRNLPHLQK LDNQAVTEEELTRALMEGDEITAAPHREGAGNGCPKPPYALNSVSSATETSQHLLSYTEE TEVQGQTTTDQSPSFSPRDTMRSHKNRNILTAILLLLRELDTEGLETVQQTVGSRLQALH RPEPQEDME >ENSMUSP00000101037.1 pep:known chromosome:GRCm38:10:77978673:77986905:1 gene:ENSMUSG00000020284.16 transcript:ENSMUST00000105398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810043G02Rik description:RIKEN cDNA 1810043G02 gene [Source:MGI Symbol;Acc:MGI:1915134] MKLTRKMVLSRAKASELHNVRKLNCWGSQLTDISICREMPSLEVITLSVNSVSTLEPVRS CRRLSELYLRRNRIPSLNELFYLKDLPHLRVLWLAENPCCGTSPHLYRMTVLRNLPHLQK LDNQAVTEEELTRALMEGDEITAAPHREGAGNGCPKPPYALNSVSSATETSQHLLSYTEE TEVQGQTTTDQSPSFSPRDTMRSHKNRERDVT >ENSMUSP00000091703.6 pep:known chromosome:GRCm38:11:58932288:58938916:-1 gene:ENSMUSG00000020496.8 transcript:ENSMUST00000094151.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf187 description:ring finger protein 187 [Source:MGI Symbol;Acc:MGI:1914224] LALPAGPADAICALCQRAPREPVRADCGHRFCRACVVRFWAEEDGPFPCPECADDCWQRA VEPSRPPLSRRLLALEEAAAAPARDGPASEAALQLLCRADGDPLCSACRMAAGPEPPEWE PRWRKALRGKENKGSVEIMRKDLNDARDLHGQAESAAAVWKGHVMDRRKKALTDYKKLRA FFVEEEEHFLQEAEKDEGASEDDELADPADRFRSLLQAVSELEKKHRNLGLSMLLQ >ENSMUSP00000141134.1 pep:known chromosome:GRCm38:2:89001348:89002286:-1 gene:ENSMUSG00000100016.1 transcript:ENSMUST00000188399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1215 description:olfactory receptor 1215 [Source:MGI Symbol;Acc:MGI:3031049] MQNQTIVTEFVLLGLSQNPKVEKLLFVIFLLLYLATIGGNMTIVVTIASSPVLLGSPMYF FLAFLSLLDACVSSIVTPTMIIDLFYKRKTISFECCMTQVFSVHFFSAVEVIILAAMAYD RYVAICKPLHYSSIMNRRLCGILVGIAFAGGFLHSIIQIIFTLQLPFCGPNFIDHFICDL FPLLKLACTDTHIFVILVFANSGSICIIIFSFLLVSYVVILFSLRTHSSEGRRKALSTCG SHITVVVLFFVPCILIYARPTSPFSLEKNVFIFADVLTPLLNPVVYTFRNKEMKNAIRKM WRSLLVAPDILK >ENSMUSP00000125456.1 pep:known chromosome:GRCm38:8:104511028:104534636:-1 gene:ENSMUSG00000031878.17 transcript:ENSMUST00000162466.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nae1 description:NEDD8 activating enzyme E1 subunit 1 [Source:MGI Symbol;Acc:MGI:2384561] MAQPGKILKEQKYDRQLRLWGDHGQEALESAHVCLINATATGTEILKNLVLPGIGSFTII DGNLVSGEDAGNNFFLQKSSIGKSPENLLDNDPSFFCRFTIVVATQLLESTLLRLADVLW NSQIPLLICRTYGLVGYMRIIIKEHPVIESHPDNALEDLRLDKPFPELREHLQSYDLDHM EKKDHSHTPWIVIIAKYLAQWYNETNGRIPKSYKEKEDFRDLIRQGILKNENGAPEDEEN FEEAIKNVNTALNTTQIPSSIEDIFNDDRCINITKQTPTFWILARALKEFVAKEGQGNLP VRGTIPDMIADSNKYIKLQNVYREKAKKDAAAVGNHVAKLLQSVGQAPESISEKELKLLC SNSAFLRVVRCRSLAEEYGLDTVNKDEIISSMDNPDNEIVLYLMLRAVDRFHKQHGRYPG VSNYQVEEDIGKLKSCLTGFLQEYGLSVMVKDDYVHEFCRYGAAEPHTIAAFLGGAAAQE VIKIITKQFVIFNNTYIYSGMSQTSATFQL >ENSMUSP00000034349.9 pep:known chromosome:GRCm38:8:104511028:104534637:-1 gene:ENSMUSG00000031878.17 transcript:ENSMUST00000034349.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nae1 description:NEDD8 activating enzyme E1 subunit 1 [Source:MGI Symbol;Acc:MGI:2384561] MAQPGKILKEQKYDRQLRLWGDHGQEALESAHVCLINATATGTEILKNLVLPGIGSFTII DGNLVSGEDAGNNFFLQKSSIGKNRAQAAMEFLQELNSDVSGSFVEESPENLLDNDPSFF CRFTIVVATQLLESTLLRLADVLWNSQIPLLICRTYGLVGYMRIIIKEHPVIESHPDNAL EDLRLDKPFPELREHLQSYDLDHMEKKDHSHTPWIVIIAKYLAQWYNETNGRIPKSYKEK EDFRDLIRQGILKNENGAPEDEENFEEAIKNVNTALNTTQIPSSIEDIFNDDRCINITKQ TPTFWILARALKEFVAKEGQGNLPVRGTIPDMIADSNKYIKLQNVYREKAKKDAAAVGNH VAKLLQSVGQAPESISEKELKLLCSNSAFLRVVRCRSLAEEYGLDTVNKDEIISSMDNPD NEIVLYLMLRAVDRFHKQHGRYPGVSNYQVEEDIGKLKSCLTGFLQEYGLSVMVKDDYVH EFCRYGAAEPHTIAAFLGGAAAQEVIKIITKQFVIFNNTYIYSGMSQTSATFQL >ENSMUSP00000071569.4 pep:known chromosome:GRCm38:4:32657119:32775207:1 gene:ENSMUSG00000058006.12 transcript:ENSMUST00000071642.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdn1 description:midasin homolog (yeast) [Source:MGI Symbol;Acc:MGI:1926159] MEHLSVELVSGPLRLIAAKNEKSSGELNRFLAKSVWTPQDRQCILDSLAQLLLDKDYTLL LGRQLRPILLDLLERNAKAIKTGGQVNHDLHERLSVSMSKLIGSHPDVLPFALRYFKDTY PVFQRLFLESSDANPVRYGRRRMKLRDLMEAANIFLQHRQTVFRELWDWSVCVPLLRSHD ALVRWHTANCLALVTCMNEEHKLSFLRKIFSSDELVHFRLRLLEEAQLQDLEKALVLANP EVSLWHKGEEPQYIQGHLVSADLSSSVAAVCGVVLPKLSSSPGEQASDRSSSLEQELALK SFVLVESVCKNLQLLAVSVASQNAVLLEGPIGSGKTSLVEHLAAVTGRTKPPQLLKVQLG DQTDSKMLLGMYRCTDVPGEFVWQPGTLTQAATKGYWILLEDIDYAPLDVVSVLIPLLEH GELLIPGHGDCLKVAPTFQLFATRRLLSCGGSWYRPMNSHATMLDKYWTKIHLHNLNKKD LNEVLQSKYPSLLAATDHLLDIYIELTGEKHCCPSVAYDKAPQEVSEAERENRRVVLEGR ELSLRDLLNWCNRVAHGFDPTSSTALLHIFQEAMDCFTAMLSEQTKKLRMAEVIGSRLNI SKKKAEFYCKLYKPEIVINELDVQVGRVRLLRKQSEVVRIQKEKCTFAATRPSSVLLEQL AVCVSQGEPVLLVGETGTGKTSAVQYLAYATGQHLRVVNMNQQSDTADLLGGFKPVDHKL IWLPLRETFEELFVQTFSKKQNFTFLGHIQTCYRQKRWHDLLKLMLHVQKSASTKGGEQS QPGLLKEKWEAFGLRLNHAQQQMKMTENALLFAFVEGTLAQAIKKGEWILLDEINLAAPE TLECLSGLLEGSSGSLVLLDRGDTEPLVRHPDFRLFACMNPATDVGKRNLPPGIRNRFTE LYVEELESKEDLQILIVDYLKGLNVSKNTVQGIVNFYTALRKESGTKLVDGTGHRPHYSL RTLCRALRFAASNPCGSIQRSLYEGFCLGFLTQLDRASHPVVQKLICQHIISGNVKSLLK QPIPEPKGGRLIQVEGYWISVGDKEPKIDETYVLTPSVKLNLRDIARVVSAGTYPVLIQG ETSVGKTSLIRWLAAASGNHCVRINNHEHTDIQEYIGCYTSDTSGKLVFNEGVLIDAMRK GYWIVLDELNLAPTDVLEALNRLLDDNRELLITETQEVVRAHPRFMLFATQNPPGLYGGR KVLSRAFRNRFVELHFDELPSSELETILHKRCSLPPSYCSKLVKVMLDLQSYRRRSSVFA GKQGFIALRDLFRWAERYRLAEQTQEDYDWLQHLANDGFMLLAGRVRKQEEADVIQEVLE KHFKKKLCPQSLFSKENVLKLLGKSSTQTTVLESKFSHVVWTESMRRLAVLVGRALEFGE PVLLVGDTGCGKTTVCQMFSALANQKLYSVNCHLNMETSDFLGGLRPVRQKPNDKDELDT RLFEWHDGPLVLAMKEDSFFLLDEISLADDSVLERLNSVLEVEKCLVLAEKGSPESKDNE VELLTAGKHFRILATMNPGGDFGKKELSPALRNRFTEIWCPQSTKREDLIQIINHNLRPG LSLAGVGHKGAGVAEVMLAFITWLTRQEFGRKCVVSIRDILSWVNFMNSMAEDAAVKRPE AISTVTSFVHAACLVYIDGIGSGVTSSGFGTALLAREECLKFLIKKLSKVVRLTQRQKDE LKIYDRLRHKEFTSIDDFWGVHPFFIPRGPVLDGHNTGDYALTAGTTAMNAQRLLRAAKL NKPILLEGSPGVGKTSLVAALAKASGNTLVRINLSEQTDITDLFGADLPVEGGRGGEFAW CDGPLLAALKAGHWVVLDELNLASQSILEGLNACFDHRGEIYVPELGMSFQVQHEKTRIF GCQNPFRQGGGRKGLPKSFLNRFTQVFVDPLTVVDMEFIASTLFPTIDKDIVKKMVAFNN HIDHEVTVEKKWGQKGGPWEFNLRDLFRWCQLILVDQSPGCYDPGQHVFLVYGERMRTRE DKEKVVAVFKDVFASNSMPYIGTRQFHITPYDIQIGYSVLSRSSYVPHPSRRPLSLLHQS FQSLEPIMKCVQMNWMVILVGPASVGKTSLVQLLAHLTGHTLKIMAMNSAMDTTELLGGF EQVDIIRPWRLLLEKVERTVRVLLRDSLLINSVDTEVVLQAWSHFLLTYKPKCLGEDGKG VTIEIVNKLEAVLLLMQRLNNKINSYSKADFAKLVEDFRSFGVKLLQSASGRSHGSFEWV DSMLVQALKSGDWLLMDNVNFCNPSVLDRLNALLEPGGVLTINERGMVDGSTCTVTPNPN FRLFLSMDPIHGEISRAMRNRGLEIYISGEEDGSIQDNLDLKVLLHSLGLVGDSVCNILL ALHTEIQSLVRGSPASSVSTLSHTAILIVQYLQRGLSLHKAFFEACWEVYVCSQHSAANQ KLVQDLLESHTASLQARETWGHSILATGLWPDSVPSALFATEDSRLSVVRSEGQILAYCL NRMSLKTSSWTRSQPLTLQDLENIMQTCSPDDLTFNAVEVDTCWVDEPEVLATAVKLLIE RATNQDWMLRVKWLCHLAKNIPQGLESVRVHLEASATALRKFYSTSLSAGVSNVLKILQT NITDDFVIPLDPRWNMQALDIIRNSLDFDPQSDQAKQLFALLESVANKTFIYLDREKRIF TEANLVSVGSKKLRSSVLRMSFEFHKDPENYHSPPHEIVANLAAFFELIDGLILLWVQSP QGVVPDAHINKILGSVQWRDRFWTVADTVTVDASGLALLALHWHWVSKHLIYQIPQLLVN QENKYYKELQTVSEHIHSCLGSPADSFAGVKKLQAFLGRPFPFKDKLVVDCFLQLQALNR ALAIGEQLPVFGECGWQEDINRLQVVASEWNLKKSLLQAWGLILRANILEDVNLDELKNL VSTQCSELKSRGLSRGFLEKAHDASSPSQPDLSSLVHLTRRVQLWPAAEYLAMLWQYRVT ADFIAQACLRRSSKHDQMDEEISHHIAFCLKHTPIAPQKLWNLWSLLHIEMLSAQEVSCL WSELFTSTFGSSWSSPVTTAPECWLAWSPLPNIQQQEVPRSRWDSTLKGPGSLCRAVFSK CCFGVLTNSSRASYWDVSGLPLLSSSHVTLGEWVERAQQLQDISSLLWTNMAVPSVAEFR RTDSRLQGLVLCRYLLGLTELLPAHQRQEYMQNCEHLLLGNTQAFHHVDQTMGNIGGQEA LPKELLCLLLTSLHYFIGEGEGKQNLPEAARRGRLWVSLGLLQIQTWLPRARFDPAVKKA YKLRYAQDELRQLQCELKTRNLLSHLQTGRDLEDDIITSHSHPHIKLLPQRISQLESLVH SLSKKQAFRPHLPSYETLVQEIHHYVTSIAKVTAVQDLLMRLLQALHTDGPKSAQVLQNL LKEEASWQQSHHQFRKRLVEEYALYPDTVAPLLASILQLQHGMRLVASEVHASLHSGVLC AENLGALAMAVLAFPSVGPSFPTYHAHADALCSVNAAEALRSLGKLMVKRAARKEEAKSQ QAYPTREQLLMNALLYLRSHVLCKGELDQRALLLFRHLCQEIINEWDEQERIAQEKAEQE SSLYRYRSRSGRTALSEEEEEELELRKLFPLHEKDFADILMEPTLEEKKKVSDGREEEAA PDPTLLCQSSMQAVMLIHQQLCLSFARSLWYQQAVPPHEAKHYLSLFLSCYQTGASLVTH FYPLMGVELNDQLLGSQLLACTLSSNTLCGEATSDLMMRPDGPYDFYQHPNVAEARQCQP VLQGFSEAVSQLLQDWPEHPVLQQLLVVMDRIRGFPLSSPISKFLNGLEILLAKAQDWEE NASRVLSLRKHLDLVSQMIIRWRKLELNCWSMSLDNTMIRHTEKSTKHWFSIYQMLEKHM QERTEEQEDDKQMTLMLLVSTLQAFIEGSSLGEFHVRLQMLLVFHCHVLLMPQVEGKDSL CSVLWNLYHFYKQFLDPVKAKIMELRSPIEKELKEFVKISKWNDVSFWSIKQSVEKTHRT LFKFMKKFEAVLSEPCQSCLVESDKEEQPDCLPKPTEEATMETSPIQGLNNALRETLLAQ PVEWKPSGTEQCQDASPLSVEGELLRRLPRLSKRMRKMCLVFMKESPLPHLVESLDQFTG GVISSVSELQSLKVDPSAEKEKQHSEAKHILLQKHRALSDLFKHLANIGLSYRKGLAWAR SKSPQELLHLHPLDLRSALSIVSSTREADSRLLTEISSLWDGCQKYFYRSLARHTRLTAA LATPVKEVSMGTIERCKGFSAHLMKLLIRQRHSLTTLTEQWIILRNLLSCVQEIHSRLTG PPVYPVAFPPQDSVQQWTERLQHLAMQSQILLEQFSWLFQCCPSAEPTVGHRDAQVQEHP SASHLENIDFKETIAGVMPDLLSSDLSYPSPVPTSQLPSACRMRRQDQLWQQSTAGLTEM LKTIKTMKAGVDKIRQQSCETLFHTWEDFEVCSSGLNCLSQVSAHLQGLASLFILPGIEV EQTDSRMALVESLDYLRGEVSKAIDDFTAWKARLFVAHKQEGNQMVEESFVEDFSEQVET AIRAILCTIQNLAERNNKKAEDSAVDKRLQEEDGGKEEEASFKSLQPGHLTKLLEDDFWA SVSTLHVQKIISSVSELLERLKSCSEDGNTTKHKVFSQSCGLLVRLIPILCGFSDLVLFF LTVSLATHRSTAKLLSVLAQTFTELSQKGFCLPKELMEDSAGEGATQFHDYEGGGIGDGE GMKDVSDRIENEEQVEDTFQKGQEKDEEDLDSKPDTKGEDNAIEMSEDFDGKMHDGELEQ EEDDEKSDSEDGDLDKQMGNLNGEEADKLDERLWGDDEEEDEDGDGRAEETGPGVDEEDS ELVAKDDSLDAGNLNKNKKHQDEKEDSEPEDVEQGQEKINEQIDEREYDENEVDPYHGNQ EKLPEPEALDLPDDLKLDSEDKSGGEDTDNEEAEEENPLEIKEKTVDMEETDHEIEEPGA GQDEGESPHEPEEGPSEDKENMDMDTGADDQDRDTSSHAEEHSLEEEAEEEEEKGEKEED KATTDGGGESGVNPVDQGLQPQKEEEEEGEQSDAEEQVPEATERKEHATCGQTGVDNVQS AQAVELAGAAPEKEQGKEEHGSGAADANQAEGHESNLIARLSSQQHTNKNTQSFKRRPGQ ADNERSVGDYNERVRKRLRTVGTDRETEQEPTQAQVEDADAFEHVKQGSDAYDAQTYDVA SSEQQQTAKASGQDQEEEEIEDILMDTEEELMRAEDTEQLKPEAVQSETAATSGSSEMEV DMQTLKTKEDQDPRTTTPHQETENERPERSRDSTIHTLRQFLVDSVFQPILKDISELRQE MERQLETWQAHDYGNAEEEKAAAEMWQNYLVLTASLSQQLCEQLRLLLEPTQAAKLRGDY RTGKRLNMRKIIPYIASQFRKDRIWLRRTKPSKRQYQICLAIDDSSSMVDNHTKQLAFES LAVIGNALTLLEVGQIAVCSFGESVKLLHPFHEQFNDSSGTHILRLCTFQQRKTKIAQFL ETVAKMFAAAQKLSQNVSPETAQLLLIVSDGRGLFLEGKDRVLAAVQAAQNANIFVIFVV LDNPNSRDSILDIKVPIFKGPGEMPEIRSYMEEFPFPFYIILRDVNALPETLSDALRQWF ELVTASDHS >ENSMUSP00000136222.1 pep:known chromosome:GRCm38:4:32657119:32775217:1 gene:ENSMUSG00000058006.12 transcript:ENSMUST00000178134.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdn1 description:midasin homolog (yeast) [Source:MGI Symbol;Acc:MGI:1926159] MEHLSVELVSGPLRLIAAKNEKSSGELNRFLAKSVWTPQDRQCILDSLAQLLLDKDYTLL LGRQLRPILLDLLERNAKAIKTGGQVNHDLHERLSVSMSKLIGSHPDVLPFALRYFKDTY PVFQRLFLESSDANPVRYGRRRMKLRDLMEAANIFLQHRQTVFRELWDWSVCVPLLRSHD ALVRWHTANCLALVTCMNEEHKLSFLRKIFSSDELVHFRLRLLEEAQLQDLEKALVLANP EVSLWHKGEEPQYIQGHLVSADLSSSVAAVCGVVLPKLSSSPGEQASDRSSSLEQELALK SFVLVESVCKNLQLLAVSVASQNAVLLEGPIGSGKTSLVEHLAAVTGRTKPPQLLKVQLG DQTDSKMLLGMYRCTDVPGEFVWQPGTLTQAATKGYWILLEDIDYAPLDVVSVLIPLLEH GELLIPGHGDCLKVAPTFQLFATRRLLSCGGSWYRPMNSHATMLDKYWTKIHLHNLNKKD LNEVLQSKYPSLLAATDHLLDIYIELTGEKHCCPSVAYDKAPQEVSEAERENRRVVLEGR ELSLRDLLNWCNRVAHGFDPTSSTALLHIFQEAMDCFTAMLSEQTKKLRMAEVIGSRLNI SKKKAEFYCKLYKPEIVINELDVQVGRVRLLRKQSEVVRIQKEKCTFAATRPSSVLLEQL AVCVSQGEPVLLVGETGTGKTSAVQYLAYATGQHLRVVNMNQQSDTADLLGGFKPVDHKL IWLPLRETFEELFVQTFSKKQNFTFLGHIQTCYRQKRWHDLLKLMLHVQKSASTKGGEQS QPGLLKEKWEAFGLRLNHAQQQMKMTENALLFAFVEGTLAQAIKKGEWILLDEINLAAPE TLECLSGLLEGSSGSLVLLDRGDTEPLVRHPDFRLFACMNPATDVGKRNLPPGIRNRFTE LYVEELESKEDLQILIVDYLKGLNVSKNTVQGIVNFYTALRKESGTKLVDGTGHRPHYSL RTLCRALRFAASNPCGSIQRSLYEGFCLGFLTQLDRASHPVVQKLICQHIISGNVKSLLK QPIPEPKGGRLIQVEGYWISVGDKEPKIDETYVLTPSVKLNLRDIARVVSAGTYPVLIQG ETSVGKTSLIRWLAAASGNHCVRINNHEHTDIQEYIGCYTSDTSGKLVFNEGVLIDAMRK GYWIVLDELNLAPTDVLEALNRLLDDNRELLITETQEVVRAHPRFMLFATQNPPGLYGGR KVLSRAFRNRFVELHFDELPSSELETILHKRCSLPPSYCSKLVKVMLDLQSYRRRSSVFA GKQGFIALRDLFRWAERYRLAEQTQEDYDWLQHLANDGFMLLAGRVRKQEEADVIQEVLE KHFKKKLCPQSLFSKENVLKLLGKSSTQTTVLESKFSHVVWTESMRRLAVLVGRALEFGE PVLLVGDTGCGKTTVCQMFSALANQKLYSVNCHLNMETSDFLGGLRPVRQKPNDKDELDT RLFEWHDGPLVLAMKEDSFFLLDEISLADDSVLERLNSVLEVEKCLVLAEKGSPESKDNE VELLTAGKHFRILATMNPGGDFGKKELSPALRNRFTEIWCPQSTKREDLIQIINHNLRPG LSLAGVGHKGAGVAEVMLAFITWLTRQEFGRKCVVSIRDILSWVNFMNSMAEDAAVKRPE AISTVTSFVHAACLVYIDGIGSGVTSSGFGTALLAREECLKFLIKKLSKVVRLTQRQKDE LKIYDRLRHKEFTSIDDFWGVHPFFIPRGPVLDGHNTGDYALTAGTTAMNAQRLLRAAKL NKPILLEGSPGVGKTSLVAALAKASGNTLVRINLSEQTDITDLFGADLPVEGGRGGEFAW CDGPLLAALKAGHWVVLDELNLASQSILEGLNACFDHRGEIYVPELGMSFQVQHEKTRIF GCQNPFRQGGGRKGLPKSFLNRFTQVFVDPLTVVDMEFIASTLFPTIDKDIVKKMVAFNN HIDHEVTVEKKWGQKGGPWEFNLRDLFRWCQLILVDQSPGCYDPGQHVFLVYGERMRTRE DKEKVVAVFKDVFASNSMPYIGTRQFHITPYDIQIGYSVLSRSSYVPHPSRRPLSLLHQS FQSLEPIMKCVQMNWMVILVGPASVGKTSLVQLLAHLTGHTLKIMAMNSAMDTTELLGGF EQVDIIRPWRLLLEKVERTVRVLLRDSLLINSVDTEVVLQAWSHFLLTYKPKCLGEDGKG VTIEIVNKLEAVLLLMQRLNNKINSYSKADFAKLVEDFRSFGVKLLQSASGRSHGSFEWV DSMLVQALKSGDWLLMDNVNFCNPSVLDRLNALLEPGGVLTINERGMVDGSTCTVTPNPN FRLFLSMDPIHGEISRAMRNRGLEIYISGEEDGSIQDNLDLKVLLHSLGLVGDSVCNILL ALHTEIQSLVRGSPASSVSTLSHTAILIVQYLQRGLSLHKAFFEACWEVYVCSQHSAANQ KLVQDLLESHTASLQARETWGHSILATGLWPDSVPSALFATEDSRLSVVRSEGQILAYCL NRMSLKTSSWTRSQPLTLQDLENIMQTCSPDDLTFNAVEVDTCWVDEPEVLATAVKLLIE RATNQDWMLRVKWLCHLAKNIPQGLESVRVHLEASATALRKFYSTSLSAGVSNVLKILQT NITDDFVIPLDPRWNMQALDIIRNSLDFDPQSDQAKQLFALLESVANKTFIYLDREKRIF TEANLVSVGSKKLRSSVLRMSFEFHKDPENYHSPPHEIVANLAAFFELIDGLILLWVQSP QGVVPDAHINKILGSVQWRDRFWTVADTVTVDASGLALLALHWHWVSKHLIYQIPQLLVN QENKYYKELQTVSEHIHSCLGSPADSFAGVKKLQAFLGRPFPFKDKLVVDCFLQLQALNR ALAIGEQLPVFGECGWQEDINRLQVVASEWNLKKSLLQAWGLILRANILEDVNLDELKNL VSTQCSELKSRGLSRGFLEKAHDASSPSQPDLSSLVHLTRRVQLWPAAEYLAMLWQYRVT ADFIAQACLRRSSKHDQMDEEISHHIAFCLKHTPIAPQKLWNLWSLLHIEMLSAQEVSCL WSELFTSTFGSSWSSPVTTAPECWLAWSPLPNIQQQEVPRSRWDSTLKGPGSLCRAVFSK CCFGVLTNSSRASYWDVSGLPLLSSSHVTLGEWVERAQQLQDISSLLWTNMAVPSVAEFR RTDSRLQGLVLCRYLLGLTELLPAHQRQEYMQNCEHLLLGNTQAFHHVDQTMGNIGGQEA LPKELLCLLLTSLHYFIGEGEGKQNLPEAARRGRLWVSLGLLQIQTWLPRARFDPAVKKA YKLRYAQDELRQLQCELKTRNLLSHLQTGRDLEDDIITSHSHPHIKLLPQRISQLESLVH SLSKKQAFRPHLPSYETLVQEIHHYVTSIAKVTAVQDLLMRLLQALHTDGPKSAQVLQNL LKEEASWQQSHHQFRKRLVEEYALYPDTVAPLLASILQLQHGMRLVASEVHASLHSGVLC AENLGALAMAVLAFPSVGPSFPTYHAHADALCSVNAAEALRSLGKLMVKRAARKEEAKSQ QAYPTREQLLMNALLYLRSHVLCKGELDQRALLLFRHLCQEIINEWDEQERIAQEKAEQE SSLYRYRSRSGRTALSEEEEEELELRKLFPLHEKDFADILMEPTLEEKKKVSDGREEEAA PDPTLLCQSSMQAVMLIHQQLCLSFARSLWYQQAVPPHEAKHYLSLFLSCYQTGASLVTH FYPLMGVELNDQLLGSQLLACTLSSNTLCGEATSDLMMRPDGPYDFYQHPNVAEARQCQP VLQGFSEAVSQLLQDWPEHPVLQQLLVVMDRIRGFPLSSPISKFLNGLEILLAKAQDWEE NASRVLSLRKHLDLVSQMIIRWRKLELNCWSMSLDNTMIRHTEKSTKHWFSIYQMLEKHM QERTEEQEDDKQMTLMLLVSTLQAFIEGSSLGEFHVRLQMLLVFHCHVLLMPQVEGKDSL CSVLWNLYHFYKQFLDPVKAKIMELRSPIEKELKEFVKISKWNDVSFWSIKQSVEKTHRT LFKFMKKFEAVLSEPCQSCLVESDKEEQPDCLPKPTEEATMETSPIQGLNNALRETLLAQ PVEWKPSGTEQCQDASPLSVEGELLRRLPRLSKRMRKMCLVFMKESPLPHLVESLDQFTG GVISSVSELQSLKVDPSAEKEKQHSEAKHILLQKHRALSDLFKHLANIGLSYRKGLAWAR SKSPQELLHLHPLDLRSALSIVSSTREADSRLLTEISSLWDGCQKYFYRSLARHTRLTAA LATPVKEVSMGTIERCKGFSAHLMKLLIRQRHSLTTLTEQWIILRNLLSCVQEIHSRLTG PPVYPVAFPPQDSVQQWTERLQHLAMQSQILLEQFSWLFQCCPSAEPTVGHRDAQVQEHP SASHLENIDFKETIAGVMPDLLSSDLSYPSPVPTSQLPSACRMRRQDQLWQQSTAGLTEM LKTIKTMKAGVDKIRQQSCETLFHTWEDFEVCSSGLNCLSQVSAHLQGLASLFILPGIEV EQTDSRMALVESLDYLRGEVSKAIDDFTAWKARLFVAHKQEGNQMVEESFVEDFSEQVET AIRAILCTIQNLAERNNKKAEDSAVDKRLQEEDEASFKSLQPGHLTKLLEDDFWASVSTL HVQKIISSVSELLERLKSCSEDGNTTKHKVFSQSCGLLVRLIPILCGFSDLVLFFLTVSL ATHRSTAKLLSVLAQTFTELSQKGFCLPKELMEDSAGEGATQFHDYEGGGIGDGEGMKDV SDRIENEEQVEDTFQKGQEKDEEDLDSKPDTKGEDNAIEMSEDFDGKMHDGELEQEEDDE KSDSEDGDLDKQMGNLNGEEADKLDERLWGDDEEEDEDGDGRAEETGPGVDEEDSELVAK DDSLDAGNLNKNKKHQDEKEDSEPEDVEQGQEKINEQIDEREYDENEVDPYHGNQEKLPE PEALDLPDDLKLDSEDKSGGEDTDNEEAEEENPLEIKEKTVDMEETDHEIEEPGAGQDEG ESPHEPEEGPSEDKENMDMDTGADDQDRDTSSHAEEHSLEEEAEEEEEKGEKEEDKATTD GGGESGVNPVDQGLQPQEEEEGEQSDAEEQVPEATERKEHATCGQTGVDNVQSAQAVELA GAAPEKEQGKEEHGSGAADANQAEGHESNLIARLSSQQHTNKNTQSFKRRPGQADNERSV GDYNERVRKRLRTVGTDRETEQEPTQAQVEDADAFEHVKQGSDAYDAQTYDVASSEQQQT AKASGQDQEEEEIEDILMDTEEELMRAEDTEQLKPEAVQSETAATSGSSEMEVDMQTLKT KEDQDPRTTTPHQETENERPERSRDSTIHTLRQFLVDSVFQPILKDISELRQEMERQLET WQAHDYGNAEEEKAAAEMWQNYLVLTASLSQQLCEQLRLLLEPTQAAKLRGDYRTGKRLN MRKIIPYIASQFRKDRIWLRRTKPSKRQYQICLAIDDSSSMVDNHTKQLAFESLAVIGNA LTLLEVGQIAVCSFGESVKLLHPFHEQFNDSSGTHILRLCTFQQRKTKIAQFLETVAKMF AAAQKLSQNVSPETAQLLLIVSDGRGLFLEGKDRVLAAVQAAQNANIFVIFVVLDNPNSR DSILDIKVPIFKGPGEMPEIRSYMEEFPFPFYIILRDVNALPETLSDALRQWFELVTASD HS >ENSMUSP00000069820.4 pep:known chromosome:GRCm38:3:105066844:105078806:1 gene:ENSMUSG00000051788.4 transcript:ENSMUST00000070584.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930564D02Rik description:RIKEN cDNA 4930564D02 gene [Source:MGI Symbol;Acc:MGI:1922519] MDKKMDKTPPWLPKQRLTLGKQQSEQAVNGPALQGSIQQVGVWSCGRLAILSRTGWLLGH CAGRSTLATPLLFVRALVIQGSRGSKRVMWPLLLSPLSSSLQF >ENSMUSP00000030693.6 pep:known chromosome:GRCm38:4:132974102:133001910:1 gene:ENSMUSG00000028874.14 transcript:ENSMUST00000030693.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgr description:FGR proto-oncogene, Src family tyrosine kinase [Source:MGI Symbol;Acc:MGI:95527] MGCVFCKKLEPASKEDVGLEGDFRSQTAEERYFPDPTQGRTSSVFPQPTSPAFLNTGNMR SISGTGVTIFVALYDYEARTGDDLTFTKGEKFHILNNTEYDWWEARSLSSGHRGYVPSNY VAPVDSIQAEEWYFGKISRKDAERQLLSSGNPQGAFLIRESETTKGAYSLSIRDWDQNRG DHIKHYKIRKLDTGGYYITTRAQFDSIQDLVRHYMEVNDGLCYLLTAPCTTTKPQTLGLA KDAWEIDRNSIALERRLGTGCFGDVWLGTWNCSTKVAVKTLKPGTMSPKAFLEEAQIMKL LRHDKLVQLYAVVSEEPIYIVTEFMCYGSLLDFLKDREGQNLMLPHLVDMAAQVAEGMAY MERMNYIHRDLRAANILVGEYLICKIADFGLARLIEDNEYNPQQGTKFPIKWTAPEAALF GRFTVKSDVWSFGILLTELITKGRVPYPGMNNREVLEQVEHGYHMPCPPGCPASLYEVME QAWRLDPEERPTFEYLQSFLEDYFTSTEPQYQPGDQT >ENSMUSP00000128411.1 pep:known chromosome:GRCm38:4:132977116:133000767:1 gene:ENSMUSG00000028874.14 transcript:ENSMUST00000171223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgr description:FGR proto-oncogene, Src family tyrosine kinase [Source:MGI Symbol;Acc:MGI:95527] MGCVFCKKLEPASKEDVGLEGDFRSQTAEERYFPDPTQGRTSSVFPQPTSPAFLNTGNMR SISGTGVTIFVALYDYEARTGDDLTFTKGEKFHILNNTEYDWWEARSLSSGHRGYVPSNY VAPVDSIQAEEWYFGKISRKDAERQLLSSGNPQGAFLIRESETTKGAYSLSIRDWDQNRG DHIKHYKIRKLDTGGYYITTRAQFDSIQDLVRHYMEVNDGLCYLLTAPCTTTKPQTLGLA KDAWEIDRNSIALERRLGTGCFGDVWLGTWNCSTKVAVKTLKPGTMSPKAFLEEAQIMKL LRHDKLVQLYAVVSEEPIYIVTEFMCYGSLLDFLKDREGQNLMLPHLVDMAAQVAEGMAY MERMNYIHRDLRAANILVGEYLICKIADFGLARLIEDNEYNPQQGTKFPIKWTAPEAALF GRFTVKSDVWSFGILLTELITKGRVPYPGMNNREVLEQVEHGYHMPCPPGCPASLYEVME QAWRLDPEERPTFEYLQSFLEDYFTSTEPQYQPGDQT >ENSMUSP00000011400.7 pep:known chromosome:GRCm38:11:46055992:46147343:1 gene:ENSMUSG00000011256.16 transcript:ENSMUST00000011400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam19 description:a disintegrin and metallopeptidase domain 19 (meltrin beta) [Source:MGI Symbol;Acc:MGI:105377] MPGRAGVARFCLLALALQLHWPLAACEPGWTTRGSQEGSPPLQHELIIPQWRTSESPGRG KHPLRAELRVMAEGRELILDLEKNEHLFAPAYTETCYTASGNPQTSTLKSEDHCFYHGTV RDVDESSVTLSTCRGIRGLIIVRSNLSYIIEPVPNSDSQHRIYRSEHLTLPPGNCGFEHS GPTSKDWALQFTHQTKKQPRRMKREDLHSMKYVELYLVADYAEFQKNRHDQDATKRKLME IANYVDKFYRSLNIRIALVGLEVWTHGDKCEVSENPYSTLWSFLSWRRKLLAQKSHDNAQ LITGRSFQGTTIGLAPLMAMCSVYQSGGVSMDHSENAIGVASTVAHEIGHNFGMSHDSAH CCSASAADGGCIMAAATGHPFPKVFSWCNRKELDRYLQTGGGMCLSNMPDTRTLYGGRRC GNGYLEDGEECDCGEEEECKNPCCNASNCTLKEGAECAHGSCCHQCKLVAPGTQCREQVR QCDLPEFCTGKSPHCPTNYYQMDGTPCEGGQAYCYNGMCLTYQEQCQQLWGPGARPALDL CFERVNAAGDTYGNCGKGLNGQYRKCSPRDAKCGKIQCQSTQARPLESNAVSIDTTITLN GRRIHCRGTHVYRGPEEEEGEGDMLDPGLVMTGTKCGHNHICFEGQCRNTSFFETEGCGK KCNGHGVCNNNKNCHCFPGWSPPFCNTPGDGGSVDSGPLPPKSVGPVIAGVFSALFVLAV LVLLCHCYRQSHKLGKPSALPFKLRHQFSCPFRVSQSGGTGHANPTFKLQTPQGKRKVTN TPESLRKPSHPPPRPPPDYLRVESPPAPLPAHLNRAAGSSPEAGARIERKESARRPPPSR PMPPAPNCLLSQDFSRPRPPQKALPANPVPGQRTGPRSGGTSLLQPPTSGPQPPRPPAVP VPKLPEYRSQRVGAIISSKI >ENSMUSP00000035851.8 pep:known chromosome:GRCm38:11:120380370:120437708:-1 gene:ENSMUSG00000039703.15 transcript:ENSMUST00000044271.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nploc4 description:nuclear protein localization 4 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2679787] MAESIIIRVQSPDGVKRITATKRETAATFLKKVAKEFGFQNNGFSVYINRNKTGEITASS SKSLHLLKIKHGDLLFLFPSSLAGPSSEMETSTSVGLKAFGAPNVVEDEIDQYLSKQDGK IYRSRDPQLCRHGPLGKCVHCVPLEPFDEDYLNHLEPPVKHMSFHAYIRKLTGGADKGKF VALENISCKIKSGCEGHLPWPNGICTKCQPSAITLNRQKYRHVDNIMFENHTVADRFLDF WRKTGNQHFGYLYGRYTEHKDIPLGIRAEVAAIYEPPQIGTQNSLELLEDPKAEVVDEIA AKLGLRKVGWIFTDLVSEDTRKGTVRYSRNKDTYFLSSEECITAGDFQNKHPNICRLSPD GHFGSKFVTAVATGGPDNQVHFEGYQVSNQCMALVRDECLLPCKDAPELGYAKESSSEQY VPDVFYKDIDKFGNEITQLARPLPVEYLIIDITTTFPKDPVYTFSISQNPFPIENRDVLG ETQDFHSLATYLSQNTSSVFLDTISDFHLLLFLVTNEVMPLQDSISLLLEAVRTRNEELA QTWKKSEQWATIEQLCSTVGVQLPGLHEFGAVGGSARAATSAMWACQHCTFMNQPGTGHC EMCSLPRT >ENSMUSP00000099306.3 pep:known chromosome:GRCm38:11:120380370:120437708:-1 gene:ENSMUSG00000039703.15 transcript:ENSMUST00000103017.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nploc4 description:nuclear protein localization 4 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2679787] MAESIIIRVQSPDGVKRITATKRETAATFLKKVAKEFGFQNNGFSVYINRNKTGEITASS SKSLHLLKIKHGDLLFLFPSSLAGPSSEMETSTSVGLKAFGAPNVVEDEIDQYLSKQDGK IYRSRDPQLCRHGPLGKCVHCVPLEPFDEDYLNHLEPPVKHMSFHAYIRKLTGGADKGKF VALENISCKIKSGCEGHLPWPNGICTKCQPSAITLNRQKYRHVDNIMFENHTVADRFLDF WRKTGNQHFGYLYGRYTEHKDIPLGIRAEVAAIYEPPQIGTQNSLELLEDPKAEVVDEIA AKLGLRKVGWIFTDLVSEDTRKGTVRYSRNKDTYFLSSEECITAGDFQNKHPNICRLSPD GHFGSKFVTAVATGGPDNQVHFEGYQVSNQCMALVRDECLLPCKDAPELGYAKESSSEQY VPDVFYKDIDKFGNEITQLARPLPVEYLIIDDFHSLATYLSQNTSSVFLDTISDFHLLLF LVTNEVMPLQDSISLLLEAVRTRNEELAQTWKKSEQWATIEQLCSTVGVQLPGLHEFGAV GGSARAATSAMWACQHCTFMNQPGTGHCEMCSLPRT >ENSMUSP00000026703.5 pep:known chromosome:GRCm38:16:87698945:87733346:1 gene:ENSMUSG00000025612.5 transcript:ENSMUST00000026703.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bach1 description:BTB and CNC homology 1, basic leucine zipper transcription factor 1 [Source:MGI Symbol;Acc:MGI:894680] MSVSESAVFAYESSVHSTNVLLSLNDQRKKDVLCDVTVLVEGQRFRAHRSVLAACSSYFH SRIVGQTDAELTVTLPEEVTVKGFEPLIQFAYTAKLILSKDNVDEVCRCVEFLSVHNIEE SCFQFLKFKFLDSTSEQQECARKKCFSSHCQKADFKFSFSEQKDLEIDEADEFLEKKRVQ TPQCDSRRCQGSVKASPPLQDSVSQACQSLCTDKDGALALPSLCPKYRKFQKAFGTDKIR TLESGVRDVHTASVQPNETSELECFGGAQGCADLHVILKCEGMKAAMESEDTEGQDPSPQ CPAEQPQGTPLPQDSAGPHGLYSLSALHTYEQSGDVAFAGVQSKTVKTEKPLSRPDAQDE KPSENQDLYLKSSMGPKEDSSSLASEDRSSVEREVAEHLAKGFWSDICSTDSPCQMQLSP TVAKDGPEQGYSQRRSECPWLGIRISESPEPGQRTFTTLSSVNCPFISTLSSEGCSSNLE IGNYDYVSEPQQEPCPYACVISLGDDSETDTEGDSESCSAREQDCEVKLPFNAQRIISLS RNDFQSLLKMHKLTPEQLDCIHDIRRRSKNRIAAQRCRKRKLDCIQNLESEIEKLQSEKE SLLKERDHILSTLGETKQNLTGLCQQVCKEAALSPEQIQILAKYSASDCPLSFLISEKGK STPDGELAFTSVFSVSDVPPTAPPPCGRGSSAASQELVQESPPTTAAAPEQATLLEPCRQ SAGISDFCQQMSDKCTTDE >ENSMUSP00000026831.6 pep:known chromosome:GRCm38:17:25834465:25837295:-1 gene:ENSMUSG00000025735.13 transcript:ENSMUST00000026831.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdl1 description:rhomboid, veinlet-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384891] MDRSSLLQLIQEQQLDPENTGFIGADTFAGLVHSHELPLDPTKLDMLVALAQSNERGQVC YQELVDLISSKRSSSFKRAIANGQRALPRDGLLDEPGLSVYKRFVRYVAYEILPCEVDRR WYFYRHRTCPPPVFMASVTLAQIIVFLCYGARLNKWVLQTYHPEYMKSPLVYHPGHRARA WRFLTYMFMHVGLEQLGFNALLQLMIGVPLEMVHGVLRISLLYLAGVLAGSLTVSITDMR APVVGGSGGVYALCSAHLANVVMNWAGMRCPYKLLRMVLALVCMSSEVGRAVWLRFSPPL PASGPQPSFMAHLAGAVVGVSMGLTILRSYEERLRDQCGWWVVLLAYGTFLLFAIFWNVF AYDLLGADIPPPP >ENSMUSP00000139046.1 pep:known chromosome:GRCm38:17:25834602:25837082:-1 gene:ENSMUSG00000025735.13 transcript:ENSMUST00000183929.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdl1 description:rhomboid, veinlet-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384891] MDRSSLLQLIQEQQLDPENTGFIGADTFAGLVHSHELPLDPTKLDMLVALAQSNERGQVC YQELVDLVSAMISSKRSSSFKRAIANGQRALPRDGLLDEPGLSVYKRFVRYVAYEILPCE VDRRWYFYRHRTCPPPVFMASVTLAQIIVFLCYGARLNKWVLQTYHPEYMKSPLVYHPGH RARAWRFLTYMFMHVGLEQLGFNALLQLMIGVPLEMVHGVLRISLLYLAGVLADMRAPVV GGSGGVYALCSAHLANVVMNWAGMRCPYKLLRMVLALVCMSSEVGRAVWLRFSPPLPASG PQPSFMAHLAGAVVGVSMGLTILRSYEERLRDQCGWWVVLLAYGTFLLFAIFWNVFAYDL LGADIPPPP >ENSMUSP00000138927.1 pep:known chromosome:GRCm38:17:25835773:25837251:-1 gene:ENSMUSG00000025735.13 transcript:ENSMUST00000184865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhbdl1 description:rhomboid, veinlet-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:2384891] MDRSSLLQLIQEQISSKRSSSFKRAIANGQRALPRDGLLDEPGLSVYKRFVRYVAYEILP CEVDRRWYFYRHRTCPPPVFMASVTLAQIIVFLCYGARLNKWVLQTYHPEYMKSPLVYHP GHRARAWR >ENSMUSP00000080484.6 pep:known chromosome:GRCm38:11:49244246:49263027:1 gene:ENSMUSG00000020346.16 transcript:ENSMUST00000081794.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat1 description:mannoside acetylglucosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:96973] MLKKQTAGLVLWGAIIFVGWNALLLLFFWTRPAPGRLPSDSALGDDPASLTREVIHLAED AEAELERQRGLLQQIKEHYALWRQRWRVPTVAPPAWPRVPVTPSPVQIPILVIACDRSTV RRCLDKLLHYRPSAERFPIIVSQDCGHEETAQVIASYGTAVTHIRQPDLSNIAVQPDHRK FQGYYKIARHYRWALGQIFNKFKFPAAVVVEDDLEVAPDFFEYFQATYPLLRTDPSLWCV SAWNDNGKEQMVDSSKPELLYRTDFFPGLGWLLLADLWAELEPKWPKAFWDDWMRRPEQR KGRACIRPEISRTMTFGRKGVSHGQFFDQHLKFIKLNQQFVPFTQLDLSYLQQEAYDRDF LAQVYGAPQLQVEKVRTNDQKELGEVRVQYTSRDSFKAFAKALGVMDDLKSGVPRAGYRG IVTFQFRGRRVHLAPPQTWTGYDPSWN >ENSMUSP00000104817.1 pep:known chromosome:GRCm38:11:49247477:49262995:1 gene:ENSMUSG00000020346.16 transcript:ENSMUST00000109194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat1 description:mannoside acetylglucosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:96973] MLKKQTAGLVLWGAIIFVGWNALLLLFFWTRPAPGRLPSDSALGDDPASLTREVIHLAED AEAELERQRGLLQQIKEHYALWRQRWRVPTVAPPAWPRVPVTPSPVQIPILVIACDRSTV RRCLDKLLHYRPSAERFPIIVSQDCGHEETAQVIASYGTAVTHIRQPDLSNIAVQPDHRK FQGYYKIARHYRWALGQIFNKFKFPAAVVVEDDLEVAPDFFEYFQATYPLLRTDPSLWCV SAWNDNGKEQMVDSSKPELLYRTDFFPGLGWLLLADLWAELEPKWPKAFWDDWMRRPEQR KGRACIRPEISRTMTFGRKGVSHGQFFDQHLKFIKLNQQFVPFTQLDLSYLQQEAYDRDF LAQVYGAPQLQVEKVRTNDQKELGEVRVQYTSRDSFKAFAKALGVMDDLKSGVPRAGYRG IVTFQFRGRRVHLAPPQTWTGYDPSWN >ENSMUSP00000098851.4 pep:known chromosome:GRCm38:11:49250512:49263030:1 gene:ENSMUSG00000020346.16 transcript:ENSMUST00000101293.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat1 description:mannoside acetylglucosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:96973] MLKKQTAGLVLWGAIIFVGWNALLLLFFWTRPAPGRLPSDSALGDDPASLTREVIHLAED AEAELERQRGLLQQIKEHYALWRQRWRVPTVAPPAWPRVPVTPSPVQIPILVIACDRSTV RRCLDKLLHYRPSAERFPIIVSQDCGHEETAQVIASYGTAVTHIRQPDLSNIAVQPDHRK FQGYYKIARHYRWALGQIFNKFKFPAAVVVEDDLEVAPDFFEYFQATYPLLRTDPSLWCV SAWNDNGKEQMVDSSKPELLYRTDFFPGLGWLLLADLWAELEPKWPKAFWDDWMRRPEQR KGRACIRPEISRTMTFGRKGVSHGQFFDQHLKFIKLNQQFVPFTQLDLSYLQQEAYDRDF LAQVYGAPQLQVEKVRTNDQKELGEVRVQYTSRDSFKAFAKALGVMDDLKSGVPRAGYRG IVTFQFRGRRVHLAPPQTWTGYDPSWN >ENSMUSP00000114965.1 pep:known chromosome:GRCm38:11:49250590:49261291:1 gene:ENSMUSG00000020346.16 transcript:ENSMUST00000129588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat1 description:mannoside acetylglucosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:96973] MLKKQTAGLVLWGAIIFVGWNALLLLFFWTRPAPGRLPSDSALGDDPASLTREVIHLAED AEAELERQRGLLQQIKEHYALWRQRWRVPTVAPPAWPRVPVTPSPVQIPILVIACDRSTV RRCLDKLLHYRPSAERFPIIVSQDCGHEETAQVIASYGTAVTHIRQPDLSNIAVQPDHRK FQGYYKIARHYRWALGQIFN >ENSMUSP00000126303.1 pep:known chromosome:GRCm38:11:49244191:49263022:1 gene:ENSMUSG00000020346.16 transcript:ENSMUST00000167400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat1 description:mannoside acetylglucosaminyltransferase 1 [Source:MGI Symbol;Acc:MGI:96973] MLKKQTAGLVLWGAIIFVGWNALLLLFFWTRPAPGRLPSDSALGDDPASLTREVIHLAED AEAELERQRGLLQQIKEHYALWRQRWRVPTVAPPAWPRVPVTPSPVQIPILVIACDRSTV RRCLDKLLHYRPSAERFPIIVSQDCGHEETAQVIASYGTAVTHIRQPDLSNIAVQPDHRK FQGYYKIARHYRWALGQIFNKFKFPAAVVVEDDLEVAPDFFEYFQATYPLLRTDPSLWCV SAWNDNGKEQMVDSSKPELLYRTDFFPGLGWLLLADLWAELEPKWPKAFWDDWMRRPEQR KGRACIRPEISRTMTFGRKGVSHGQFFDQHLKFIKLNQQFVPFTQLDLSYLQQEAYDRDF LAQVYGAPQLQVEKVRTNDQKELGEVRVQYTSRDSFKAFAKALGVMDDLKSGVPRAGYRG IVTFQFRGRRVHLAPPQTWTGYDPSWN >ENSMUSP00000146412.1 pep:known chromosome:GRCm38:7:106885754:106886840:-1 gene:ENSMUSG00000109354.1 transcript:ENSMUST00000209025.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr706 description:olfactory receptor 706 [Source:MGI Symbol;Acc:MGI:3030540] MELWNSTLESGFILVGILNGSSSPELLCAIVTALYMLALISNGLLLLVITVDARLHVPMY LLLRQLSLIDLLFTSVVTPKAVMDFLLRDNTISFGGCALQMALALMLGSAEDLLLAFMAY DRYVAICHPLNYMVFMSPTVCWLIVSTSWILASLTAVGHTVYTMHFPFCMSQEIRHLLCE ILPLLKLSCVDTSQYELMVYVTGVTFLLLPLSAIVTSYTLILSTVLHMPSNEGKKKALVT CLSHLMVVGMFYGAATFMYVLPSSLHSAKQDNIISVFYTIVTPALNPLIYSLRNKEVIGA LRRVLGRYILPAHLTL >ENSMUSP00000002084.7 pep:known chromosome:GRCm38:X:73716597:73738534:1 gene:ENSMUSG00000031378.14 transcript:ENSMUST00000002084.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcd1 description:ATP-binding cassette, sub-family D (ALD), member 1 [Source:MGI Symbol;Acc:MGI:1349215] MPVLSTPRPSRVTTLKRTAVVLALTAYGVHKIYPLVRQCLTPARGPQVPAGEPTQEASGA TATKAGMNRVFLQRLLALLRLLFPRVLCRETGLLALHSAALVSRTFLSVYVARLDGRLAR CIVRKDPRAFSWQLLQWLLIALPATFINSAIRYLEGQLALSFRSRLVAHAYGLYFSQQTY YRVSNMDGRLRNPDQSLTEDVVAFAASVAHLYSNLTKPLLDVAVTSYTLLRAARSRGAGT AWPSAIAGLVVFLTANVLRAFSPKFGELVAEEARRKGELRYMHSRVVANSEEIAFYGGHE VELALLQHSYQDLASQINLILLERLWYVMLEQFLMKYVWSASGLLMVAVPIITATGYAES DSEAMKKAALEMKEEELVSERTEAFTIARNLLTAAADATERIMSSYKEVTELAGYTARVY EMFQVFEDVKHCRFKRTGDLEEAQAGPGVMVQSGVHVEGPLKIQGQVVDVEQGIICENIP IITPTGEVVVASLNIRVEEGMHLLITGPNGCGKSSLFRILGGLWPTYSGVLYKPPPQRMF YIPQRPYMSVGSLRDQVIYPDSAEDMRRKGCSEQQLEAILGIVHLRHILQREGGWEAVCD WKDVLSGGEKQRIGMARMFYHRPKYALLDECTSAVSIDVEGKIFQAAKDAGIALLSITHR PSLWKYHTHLLQFDGEGGWKFEKLDSAARLSLTEEKQRLEQQLAGIPKMQGRLQELRQIL GEAAAPVQPLVPGVPT >ENSMUSP00000110105.1 pep:known chromosome:GRCm38:X:73716740:73731432:1 gene:ENSMUSG00000031378.14 transcript:ENSMUST00000114461.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcd1 description:ATP-binding cassette, sub-family D (ALD), member 1 [Source:MGI Symbol;Acc:MGI:1349215] MPVLSTPRPSRVTTLKRTAVVLALTAYGVHKIYPLVRQCLTPARGPQVPAGEPTQEASGA TATKAGMNRVFLQRLLALLRLLFPRVLCRETGLLALHSAALVSRTFLSVYVARLDGRLAR CIVRKDPRAFSWQLLQWLLIALPATFINSAIRYLEGQLALSFRSRLVAHAYGLYFSQQTY YRVSNMDGRLRNPDQSLTEDVVAFAASVAHLYSNLTKPLLDVAVTSYTLLRAARSRGAGT AWPSAIAGLVVFLTANVLRAFSPKFGELVAEEARRKGELRYMHSRVVANSEEIAFYGGHE VELALLQHSYQDLASQINLILLERLWYVMLEQFLMKYVWSASGLLMVAVPIITATGYAES DSEAMKKAALEMKEEELVSERTEAFTIARNLLTAAADATERIMSSYKEVTELAGYTARVY EMFQVFEDVKHCRFKRTGDLEEAQAGPGVMVQSGVHVEGPLKIQGQVVDVEQGIICENIP IITPTGEVVVASLNIRVGQVEKHS >ENSMUSP00000130713.1 pep:known chromosome:GRCm38:1:51987148:52107189:1 gene:ENSMUSG00000062939.11 transcript:ENSMUST00000168302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat4 description:signal transducer and activator of transcription 4 [Source:MGI Symbol;Acc:MGI:103062] MSQWNQVQQLEIKFLEQVDQFYDDNFPMEIRHLLAQWIETQDWEVASNNETMATILLQNL LIQLDEQLGRVSKEKNLLLIHNLKRIRKVLQGKFHGNPMHVAVVISNCLREERRILAAAN MPIQGPLEKSLQSSSVSERQRNVEHKVSAIKNSVQMTEQDTKYLEDLQDEFDYRYKTIQT MDQGDKNSILVNQEVLTLQEMLNSLDFKRKEALSKMTQIVNETDLLMNSMLLEELQDWKK RQQIACIGGPLHNGLDQLQNCFTLLAESLFQLRQQLEKLQEQSTKMTYEGDPIPAQRAHL LERATFLIYNLFKNSFVVERQPCMPTHPQRPMVLKTLIQFTVKLRLLIKLPELNYQVKVK ASIDKNVSTLSNRRFVLCGTHVKAMSSEESSNGSLSVEFRHLQPKEMKCSTGSKGNEGCH MVTEELHSITFETQICLYGLTINLETSSLPVVMISNVSQLPNAWASIIWYNVSTNDSQNL VFFNNPPSVTLGQLLEVMSWQFSSYVGRGLNSEQLNMLAEKLTVQSNYNDGHLTWAKFCK EHLPGKTFTFWTWLEAILDLIKKHILPLWIDGYIMGFVSKEKERLLLKDKMPGTFLLRFS ESHLGGITFTWVDQSENGEVRFHSVEPYNKGRLSALPFADILRDYKVIMAENIPENPLKY LYPDIPKDKAFGKHYSSQPCEVSRPTERGDKGYVPSVFIPISTIRSDSTEPQSPSDLLPM SPSAYAVLRENLSPTTIETAMNSPYSAE >ENSMUSP00000027277.5 pep:known chromosome:GRCm38:1:52008243:52107184:1 gene:ENSMUSG00000062939.11 transcript:ENSMUST00000027277.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat4 description:signal transducer and activator of transcription 4 [Source:MGI Symbol;Acc:MGI:103062] MSQWNQVQQLEIKFLEQVDQFYDDNFPMEIRHLLAQWIETQDWEVASNNETMATILLQNL LIQLDEQLGRVSKEKNLLLIHNLKRIRKVLQGKFHGNPMHVAVVISNCLREERRILAAAN MPIQGPLEKSLQSSSVSERQRNVEHKVSAIKNSVQMTEQDTKYLEDLQDEFDYRYKTIQT MDQGDKNSILVNQEVLTLQEMLNSLDFKRKEALSKMTQIVNETDLLMNSMLLEELQDWKK RQQIACIGGPLHNGLDQLQNCFTLLAESLFQLRQQLEKLQEQSTKMTYEGDPIPAQRAHL LERATFLIYNLFKNSFVVERQPCMPTHPQRPMVLKTLIQFTVKLRLLIKLPELNYQVKVK ASIDKNVSTLSNRRFVLCGTHVKAMSSEESSNGSLSVEFRHLQPKEMKCSTGSKGNEGCH MVTEELHSITFETQICLYGLTINLETSSLPVVMISNVSQLPNAWASIIWYNVSTNDSQNL VFFNNPPSVTLGQLLEVMSWQFSSYVGRGLNSEQLNMLAEKLTVQSNYNDGHLTWAKFCK EHLPGKTFTFWTWLEAILDLIKKHILPLWIDGYIMGFVSKEKERLLLKDKMPGTFLLRFS ESHLGGITFTWVDQSENGEVRFHSVEPYNKGRLSALPFADILRDYKVIMAENIPENPLKY LYPDIPKDKAFGKHYSSQPCEVSRPTERGDKGYVPSVFIPISTIRSDSTEPQSPSDLLPM SPSAYAVLRENLSPTTIETAMNSPYSAE >ENSMUSP00000097388.1 pep:known chromosome:GRCm38:2:89013127:89014062:-1 gene:ENSMUSG00000075107.1 transcript:ENSMUST00000099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1216 description:olfactory receptor 1216 [Source:MGI Symbol;Acc:MGI:3031050] MQNQSSVTEFIILGLSQNPKIEKILFVVFLLVYMATVGGNMIIVVTIIYSPALLSSPMYF FLAFLSFLDACVSSTVTPKMVVDFLHEKKTISFGCCMTQLFSVHFFSGAEMIVLAAMAYD RYVAICKPLHYSSILTRRLCSILVAISWAGGFLHAIVQVIFTLQLPLCGPNVIDHYMCDL FPLLKLACTDTHIFVLLVFANSGAICIIIFSLLLVSYGVILFSLRAHSSEGRRKALSTCG AHVTVVVLFLVPCILIYARDTSAFSYEKDTLIFVNVLTPLLNPMVYTFRNKEMINAIRKM WKRLIVIFVRY >ENSMUSP00000021285.7 pep:known chromosome:GRCm38:11:67966193:68207148:1 gene:ENSMUSG00000020903.13 transcript:ENSMUST00000021285.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx8 description:syntaxin 8 [Source:MGI Symbol;Acc:MGI:1890156] MAPDPWFSTYDSTCQIAQEIAEKIQERNQCERRGEKTPKLTLTIRTLLKNLKVKIDLLKD LLLRAVSTRQITQLEGDRRQNLLDDLVTRERLLLASFKNEGAEPDLIRSSLMSEEAKRGT PNPWLCEEPEETRGLGFDEIRQQQQKIIQEQDAGLDALSSIISRQKQMGQEIGNELDEQN EIIDDLANLVENTDEKLRTEARRVTLVDRKSTSCGMIMVILLLLVAIVVVAVWPTN >ENSMUSP00000021286.4 pep:known chromosome:GRCm38:11:67966469:67987607:1 gene:ENSMUSG00000020903.13 transcript:ENSMUST00000021286.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx8 description:syntaxin 8 [Source:MGI Symbol;Acc:MGI:1890156] MAPDPWFSTYDSTCQIAQEIAEKIQERNQCERRGEKTPKLTLTIRTLLKNLKVKIDLLKD LLLRAVSTRQITQLEGDRRQNLLDDLVTRERLLLASFKNEGAEPDLISLGISVSLYLSPV PAEHQWQHLG >ENSMUSP00000104315.1 pep:known chromosome:GRCm38:11:67966480:67974591:1 gene:ENSMUSG00000020903.13 transcript:ENSMUST00000108675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stx8 description:syntaxin 8 [Source:MGI Symbol;Acc:MGI:1890156] MAPDPWFSTYDSTCQIAQEIAEKIQERNQCERRGEKTPKLTLTIRTLLKNLKVKIDLLKD LLLRAVSTRQMYPCKHFHLFWSGRKNNLKTGRNLGHPDFSTAQGGLGFHTVAIILHSVLS VCSLLQ >ENSMUSP00000092157.3 pep:known chromosome:GRCm38:5:100361649:100416234:-1 gene:ENSMUSG00000035325.16 transcript:ENSMUST00000094578.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec31a description:Sec31 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916412] MKLKEIDRTAMQAWSPAQNHPIYLATGTSAQQLDATFSTNASLEIFELDLSDPSLDMKSC ATFSSSHRYHKLIWGPHKMDSKGDVSGVLIAGGENGNIILYDPSKIIAGDKEVVIAQKDK HTGPVRALDVNIFQTNLVASGANESEIYIWDLNNFATPMTPGAKTQPPEDISCIAWNRQV QHILASASPSGRATVWDLRKNEPIIKVSDHSNRMHCSGLAWHPDVATQMVLASEDDRLPV IQMWDLRFASSPLRVLENHARGILAVAWSMADPELLLSCGKDAKILCSNPNTGEVLYELP TNTQWCFDIQWCPRNPAVLSAASFDGRISVYSIMGGSIDGLRQKQVDKLSSSFGNLDPFG TGQPLPPLQIPQQSAQHSIVLPLKKPPKWIRRPVGASFSFGGKLVTFESVAVPLQQGAEQ QRRQPVFISQVVTEKDFLNRSAQLQHAVQSQGFIGYCQKKIEASQTEFEKNVWSFLKVNF EEDSRGKYLELLGYRKEDLGQKIALALNKVDGPDVALKDSDQVAQSDGEESPAAEEQLLG ERIKEEKQECDFLPSAGGTFNISVSGDIDGLITRALLTGNFESAVDLCLHDNRMADAIIL AIAGGQELLAQTQKKYFAKSQSKITRLITAVVMKNWREIVESCDLKNWREALAAVLTYAK PDEFSALCDLLGTRLEREGDSLLRTQACLCYICAGNVERLVACWTKAQDGSSPLSLQDLI EKVVILRKAVQLTQALDTNTVGALLAEKMSQYASLLAAQGSIAAALAFLPDNTNQPNIVQ LRDRLCKAQGKPVSGQESSQSPYERQPLSKGRPGPVAGHSQMPRVQTQQYYPHGENPPPP GFIMQGNVIPNPAAPLPTAPGHMPSQLPPYPQPQPYQPAQQYSFGTGGAAAYRPQQPVAP PASNAYPNTPYISPVASYSGQPQMYTAQQASSPTSSSAASFPPPSSGASFQHGGPGAPPS SSAYALPPGTTGTPPAASELPASQRTENQSFQDQASILEGPQNGWNDPPALNRVPKKKKM PENFMPPVPITSPIMNPSGDPQSQGLQQQPSTPGPLSSHASFPQQHLAGGQPFHGVQQPL AQTGMPPSFSKPNTEGAPGAPIGNTIQHVQALPTEKITKKPIPEEHLILKTTFEDLIQRC LSSATDPQTKRKLDDASKRLEFLYDKLREQTLSPTIINGLHSIARSIETRNYSEGLSVHT HIVSTSNFSETSAFMPVLKVVLSQASKLGV >ENSMUSP00000138366.1 pep:known chromosome:GRCm38:5:100361650:100373484:-1 gene:ENSMUSG00000035325.16 transcript:ENSMUST00000182433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec31a description:Sec31 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916412] XGGPGAPPSSSAYALPPGTTENQSFQDQASILEGPQNGWNDPPALNRVPKKKKMPENFMP PVPITSPIMNPSGDPQSQGLQQQPSTPGPLSSHASFPQQHLAGGQPFHGVQQPLAQTGMP PSFSKPNTEGAPGAPIGNTIQHVQALPTEKITKKPIPEEHLILKTTFEDLIQRCLSSATD PQTKRKLDDASKRLEFLYDKLREQTLSPTIINGLHSIARSIETRNYSEGLSVHTHIVSTS NFSETSAFMPVLKVVLSQASKLGV >ENSMUSP00000138213.1 pep:known chromosome:GRCm38:5:100361654:100416175:-1 gene:ENSMUSG00000035325.16 transcript:ENSMUST00000182886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec31a description:Sec31 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916412] MKLKEIDRTAMQAWSPAQNHPIYLATGTSAQQLDATFSTNASLEIFELDLSDPSLDMKSC ATFSSSHRYHKLIWGPHKMDSKGDVSGVLIAGGENGNIILYDPSKIIAGDKEVVIAQKDK HTGPVRALDVNIFQTNLVASGANESEIYIWDLNNFATPMTPGAKTQPPEDISCIAWNRQV QHILASASPSGRATVWDLRKNEPIIKVSDHSNRMHCSGLAWHPDVATQMVLASEDDRLPV IQMWDLRFASSPLRVLENHARGILAVAWSMADPELLLSCGKDAKILCSNPNTGEVLYELP TNTQWCFDIQWCPRNPAVLSAASFDGRISVYSIMGGSIDGLRQKQVDKLSSSFGNLDPFG TGQPLPPLQIPQQSAQHSIVLPLKKPPKWIRRPVGASFSFGGKLVTFESVAVPLQQGAEQ QRRQPVFISQVVTEKDFLNRSAQLQHAVQSQGFIGYCQKKIEASQTEFEKNVWSFLKVNF EEDSRGKYLELLGYRKEDLGQKRIKEEKQECDFLPSAGGTFNISVSGDIDGLITRALLTG NFESAVDLCLHDNRMADAIILAIAGGQELLAQTQKKYFAKSQSKITRLITAVVMKNWREI VESCDLKNWREALAAVLTYAKPDEFSALCDLLGTRLEREGDSLLRTQACLCYICAGNVER LVACWTKAQDGSSPLSLQDLIEKVVILRKAVQLTQALDTNTVGALLAEKMSQYASLLAAQ GSIAAALAFLPDNTNQPNIVQLRDRLCKAQGKPVSGQESSQSPYERQPLSKGRPGPVAGH SQMPRVQTQQYYPHGENPPPPGFIMQGNVIPNPAAPLPTAPGHMPSQLPPYPQPQPYQPA QQYSFGTGGAAAYRPQQPVAPPASNAYPNTPYISPVASYSGQPQMYTAQQASSPTSSSAA SFPPPSSGASFQHGGPGAPPSSSAYALPPGTTGTPPAASELPASQRTENQSFQDQASILE GPQNGWNDPPALNRVPKKKKMPENFMPPVPITSPIMNPSGDPQSQGLQQQPSTPGPLSSH ASFPQQHLAGGQPFHGVQQPLAQTGMPPSFSKPNTEGAPGAPIGNTIQHVQALPTEKITK KPIPEEHLILKTTFEDLIQRCLSSATDPQTKRKLDDASKRLEFLYDKLREQTLSPTIING LHSIARSIETRNYSEGLSVHTHIVSTSNFSETSAFMPVLKVVLSQASKLGV >ENSMUSP00000138571.1 pep:known chromosome:GRCm38:5:100362008:100395901:-1 gene:ENSMUSG00000035325.16 transcript:ENSMUST00000182988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec31a description:Sec31 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916412] XGILAVAWSMADPELLLSCGKDAKILCSNPNTGEVLYELPTNTQWCFDIQWCPRNPAVLS AASFDGRISVYSIMGGSIDGLRQKQVDKLSSSFGNLDPFGTGQPLPPLQIPQQSAQHSIV LPLKKPPKWIRRPVGASFSFGGKLVTFESVAVPLQQGAEQQRRQPVFISQVVTEKDFLNR SAQLQHAVQSQGFIGYCQKKIEASQTEFEKNVWSFLKVNFEEDSRGKYLELLGYRKEDLG QKRIKEEKQECDFLPSAGGTFNISVSGDIDGLITRALLTGNFESAVDLCLHDNRMADAII LAIAGGQELLAQTQKKYFAKSQSKITRLITAVVMKNWREIVESCDLKNWREALAAVLTYA KPDEFSALCDLLGTRLEREGDSLLRTQACLCYICAGNVERLVACWTKAQDGSSPLSLQDL IEKVVILRKAVQLTQALDTNTVGALLAEKMSQYASLLAAQGSIAAALAFLPDNTNQPNIV QLRDRLCKAQGKPVSGQESSQSPYERQPLSKGRPGPVAGHSQMPRVQTQQYYPHVRIAPT VTTWSNKTPTALPSHPPAASPSDTQGENPPPPGFIMQGNVIPNPAAPLPTAPGHMPSQLP PYPQPQPYQPAQQYSFGTGGAAAYRPQQPVAPPASNAYPNTPYISPVASYSGQPQMYTAQ QASSPTSSSAASFPPPSSGASFQHGGPGAPPSSSAYALPPGTTGPQNGWNDPPALNRVPK KKKMPENFMPPVPITSPIMNPSGDPQSQGLQQQPSTPGPLSSHASFPQQHLAGGQPFHGV QQPLAQTGMPPSFSKPNTEGAPGAPIGNTIQHVQALPTEKITKKPIPEEHLILKTTFEDL IQRCLSSATDPQTKRKLDDASKRLEFLYDKLREQTLSPTIINGLHSIARSIETRNYSEGL SVHTHIVSTSNFSETSAFMPVLKVVLSQASKLGV >ENSMUSP00000138507.1 pep:known chromosome:GRCm38:5:100362211:100382847:-1 gene:ENSMUSG00000035325.16 transcript:ENSMUST00000182812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec31a description:Sec31 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916412] XCYICAGNVERLVACWTKAQDGSSPLSLQDLIEKVVILRKAVQLTQALDTNTVGALLAEK MSQYASLLAAQGSIAAALAFLPDNTNQPNIVQLRDRLCKAQGKPVSGQESSQSPYERQPL SKGRPGPVAGHSQMPRVQTQQYYPHGENPPPPGFIMQGNVIPNPAAPLPTAPGHMPSQLP PYPQPQRPQNGWNDPPALNRVPKKKKMPENFMPPVPITSPIMNPSGDPQSQGLQQQPSTP GPLSSHASFPQQHLAGGQPFHGVQQPLAQTGMPPSFSKPNTEGAPGAPIGNTIQHVQALP TEKITKKPIPEEHLILKTTFEDLIQRCLSSATDPQTKRKLDDASKRLEFLYDKLREQTLS PTII >ENSMUSP00000138428.1 pep:known chromosome:GRCm38:5:100365754:100378999:-1 gene:ENSMUSG00000035325.16 transcript:ENSMUST00000182002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec31a description:Sec31 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916412] XNIVQLRDRLCKAQGKPVSGQESSQSPYERQPLSKGRPGPVAGHSQMPRVQTQQYYPHGE NPPPPGFIMQGNVIPNPAAPLPTAPGHMPSQLPPYPQPQPYQPAQQYSFGTGGAAAYRPQ QPVAPPASNAYPNTPYISPVASYSGQPQMYTAQQASSPTSSSAASFPPPSSGASFQHGGP GAPPSSSAYALPPGTTGPQNGWNDPPALNRVPKKKKMPENFMPPVPITSPIMNPSGDPQS QGLQQQPSTPGPLSSHASFPQQHLAGGQPFHGVQQPLAQTGMPPSFSKPNTEGAPG >ENSMUSP00000138129.1 pep:known chromosome:GRCm38:5:100382879:100392613:-1 gene:ENSMUSG00000035325.16 transcript:ENSMUST00000183247.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec31a description:Sec31 homolog A (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916412] VTFESVAVPLQQGAEQQRRQPVFISQVVTEKDFLNRSAQLQHAVQSQGFIGYCQKKIEAS QTEFEKNVWSFLKVNFEEDSRGKYLELLGYRKEDLGQKIALALNKVDGPDVRIKEEKQEC DFLPSAGGTFNISVSGDIDGLITRALLTGNFESAVDLCLHDNRMADAIILAIAGGQELLA QTQKKYFAKSQSKITRLITAVVMKNWREIVESCDLKNWREALAAVLTYAKPDEFSALCDL LGTRLERE >ENSMUSP00000003369.3 pep:known chromosome:GRCm38:4:3900996:3938382:-1 gene:ENSMUSG00000003282.9 transcript:ENSMUST00000003369.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plag1 description:pleiomorphic adenoma gene 1 [Source:MGI Symbol;Acc:MGI:1891916] MATVIPGDLSEVRDTQKAPSGKRKRGESKPRKNFPCQLCDKAFNSVEKLKVHSFSHTGER PYKCTHQDCTKAFVSKYKLQRHMATHSPEKTHKCNYCEKMFHRKDHLKNHLHTHDPNKET FKCEECGKSYNTKLGFKRHLALHAATSGDLTCKVCLQNFESTGVLLEHLKSHAGKSSGGV KEKKHQCEHCERRFYTRKDVRRHMVVHTGRKDFLCQYCAQRFGRKDHLTRHMKKSHNQEL LKVKTEPVDFLDPFTCNMSVPIKDELLPVMSLPSSELLSKPFTNTLQLNLYNTPFQSMQS SGSAHQMITTLPLGMTCPIDMDAVHPSHHLAFKCPFSSTSYAISIPEKEQPLKGEIESYL MELQGGAPSSSQDSPASSSKLGLEPQSGSPDDGAGDLSLSKSSISISDPLSTPALDFSQL FNFIPLNGPPYNPLSVGSLGMSYSQEEAHSSVSQLPTQTQDLQDPANTVGLSSLHSLSAA FTSSLSSSTTLPRFHQAFQ >ENSMUSP00000117532.1 pep:known chromosome:GRCm38:4:3904890:3938405:-1 gene:ENSMUSG00000003282.9 transcript:ENSMUST00000137439.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plag1 description:pleiomorphic adenoma gene 1 [Source:MGI Symbol;Acc:MGI:1891916] MAAGKRRKETISRPAASSPKYEKKIIRNSAGGVKAADGPEGGC >ENSMUSP00000119937.1 pep:known chromosome:GRCm38:4:3905549:3938423:-1 gene:ENSMUSG00000003282.9 transcript:ENSMUST00000151543.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plag1 description:pleiomorphic adenoma gene 1 [Source:MGI Symbol;Acc:MGI:1891916] MAAGKRRKETISRPAASSPKYEKKIIRNSAGGVKAADGPEGGC >ENSMUSP00000003238.7 pep:known chromosome:GRCm38:6:122819914:122845366:1 gene:ENSMUSG00000003154.15 transcript:ENSMUST00000003238.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj2 description:forkhead box J2 [Source:MGI Symbol;Acc:MGI:1926805] MASDLESSLTSIDWLPQLTLRATIEKLGSASQAGPPGGARKCSPGSPTDPNATLSKDEAA VHQDGKPRYSYATLITYAINSSPAKKMTLSEIYRWICDNFPYYKNAGIGWKNSIRHNLSL NKCFRKVPRPRDDPGKGSYWTIDTCPDISRKRRHPPDDDLSQDSPEQEASKSPRGGVPGS GEASLSHEGTPQMSLQSPSSVANYSQGPGSVDGGAVAAGAPGQESTEGAPPLYNTNHDFK FSYSEINFQDLSWSFRNLYKSMLERSSSSQHGFSSLLGDMPPSNNYYVYQQQQQQQPPPQ PQPPPQQPQPQQQQAPTQGPSNVGGAPPLHTPSPDGCTTPGGKQAGAEGYGPPTGMAMHP PPLQHGGYHPHQHHPHSHPAQQPPQPQAQSQASINSTGFAFPPDWCSNIDSLKESFKMVN RLNWSSIEQSQFSELMESLRQAEQRNWTLDQHHIANLCDSLNHFLTQTGHMPQQGGSHRP PAPSRITDSCALTSGKPEPSMNQVNSYGHPQASHLYPGPAPMYPISTQDSAGYNRPAHHM VPRPPVPPPGANEEITDDFDWDLIT >ENSMUSP00000145438.1 pep:known chromosome:GRCm38:6:122826675:122833299:1 gene:ENSMUSG00000003154.15 transcript:ENSMUST00000203075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj2 description:forkhead box J2 [Source:MGI Symbol;Acc:MGI:1926805] MASDLESSLTSIDWLPQLTLRATIEKLGSASQAGPPGGARKCSPGSPTDPNATLSKDEAA VHQDGKPRYSYATLITYAINSSPAKKMTLSEIYRWICDNFPYYKNAGIGWKNSIRHNLSL NKCFRKVPRPRDDPGKGSYWTIDTCPDISRKRRHPPDDDLSQDSPEQEASKSPRGGVPGS GEASLSHEGTPQMSLQSPSSVANYS >ENSMUSP00000137645.1 pep:known chromosome:GRCm38:6:122820511:122845341:1 gene:ENSMUSG00000003154.15 transcript:ENSMUST00000177927.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxj2 description:forkhead box J2 [Source:MGI Symbol;Acc:MGI:1926805] MASDLESSLTSIDWLPQLTLRATIEKLGSASQAGPPGGARKCSPGSPTDPNATLSKDEAA VHQDGKPRYSYATLITYAINSSPAKKMTLSEIYRWICDNFPYYKNAGIGWKNSIRHNLSL NKCFRKVPRPRDDPGKGSYWTIDTCPDISRKRRHPPDDDLSQDSPEQEASKSPRGGVPGS GEASLSHEGTPQMSLQSPSSVANYSQGPGSVDGGAVAAGAPGQESTEGAPPLYNTNHDFK FSYSEINFQDLSWSFRNLYKSMLERSSSSQHGFSSLLGDMPPSNNYYVYQQQQQQQPPPQ PQPPPQQPQPQQQQAPTQGPSNVGGAPPLHTPSPDGCTTPGGKQAGAEGYGPPTGMAMHP PPLQHGGYHPHQHHPHSHPAQQPPQPQAQSQASINSTGFAFPPDWCSNIDSLKESFKMVN RLNWSSIEQSQFSELMESLRQAEQRNWTLDQHHIANLCDSLNHFLTQTGHMPQQGGSHRP PAPSRITDSCALTSGKPEPSMNQVNSYGHPQASHLYPGPAPMYPISTQDSAGYNRPAHHM VPRPPVPPPGANEEITDDFDWDLIT >ENSMUSP00000137099.1 pep:known chromosome:GRCm38:5:138820080:138821619:1 gene:ENSMUSG00000094504.2 transcript:ENSMUST00000179205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5294 description:predicted gene 5294 [Source:MGI Symbol;Acc:MGI:3646467] MFDSSQYPYNCFNDDADDYPAGSSDEEKRLTRPAYSYIALIAMAIQQSPAGRVTLSGIYD FIMRKFPYYRANQRAWQNSIRHNLSLNSCFVKVPRTEGNDKGKGNYWTFAGGCESLLDLF ENGNFRRRRRRRGPKREEAPGPLEPTARGSPGPDSAQAPDHEAQASPTTHRDIKFSIDYI LSSPDPFPTLRSSCHSQEARYPALEPQQMSFQFWAA >ENSMUSP00000000544.9 pep:known chromosome:GRCm38:15:101174067:101213679:1 gene:ENSMUSG00000000532.10 transcript:ENSMUST00000000544.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acvr1b description:activin A receptor, type 1B [Source:MGI Symbol;Acc:MGI:1338944] MAESAGASSFFPLVVLLLAGSGGSGPRGIQALLCACTSCLQTNYTCETDGACMVSIFNLD GVEHHVRTCIPKVELVPAGKPFYCLSSEDLRNTHCCYIDFCNKIDLRVPSGHLKEPAHPS MWGPVELVGIIAGPVFLLFLIIIIVFLVINYHQRVYHNRQRLDMEDPSCEMCLSKDKTLQ DLVYDLSTSGSGSGLPLFVQRTVARTIVLQEIIGKGRFGEVWRGRWRGGDVAVKIFSSRE ERSWFREAEIYQTVMLRHENILGFIAADNKDNGTWTQLWLVSDYHEHGSLFDYLNRYTVT IEGMIKLALSAASGLAHLHMEIVGTQGKPGIAHRDLKSKNILVKKNGMCAIADLGLAVRH DAVTDTIDIAPNQRVGTKRYMAPEVLDETINMKHFDSFKCADIYALGLVYWEIARRCNSG GVHEDYQLPYYDLVPSDPSIEEMRKVVCDQKLRPNVPNWWQSYEALRVMGKMMRECWYAN GAARLTALRIKKTLSQLSVQEDVKI >ENSMUSP00000096357.3 pep:known chromosome:GRCm38:3:105452330:105674002:1 gene:ENSMUSG00000040896.16 transcript:ENSMUST00000098761.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnd3 description:potassium voltage-gated channel, Shal-related family, member 3 [Source:MGI Symbol;Acc:MGI:1928743] MAAGVAAWLPFARAAAIGWMPVANCPMPLAPADKNKRQDELIVLNVSGRRFQTWRTTLER YPDTLLGSTEKEFFFNEDTKEYFFDRDPEVFRCVLNFYRTGKLHYPRYECISAYDDELAF YGILPEIIGDCCYEEYKDRKRENAERLMDDNDSENNQESMPSLSFRQTMWRAFENPHTST LALVFYYVTGFFIAVSVITNVVETVPCGTVPGSKELPCGERYSVAFFCLDTACVMIFTVE YLLRLFAAPSRYRFIRSVMSIIDVVAIMPYYIGLVMTNNEDVSGAFVTLRVFRVFRIFKF SRHSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGSSASKFTSIPASFWY TIVTMTTLGYGDMVPKTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQNQRADKRR AQKKARLARIRVAKTGSSNAYLHSKRNGLLNEALELTGTPEEEQMGKTTSLIESQHHHLL HCLEKTTNHEFIDEQMFEQNCMESSMQNYPSTRSPSLSSHSGLTTTCCSRRSKKTTHLPN SNLPATRLRSMQELSTLHIQGSEQPSLTTSRSSLNLKADDGLRPNCKTSQITTAIISIPT PPALTPEGESRPPPASPGPNTNIPSITSNVVKVSAL >ENSMUSP00000113436.1 pep:known chromosome:GRCm38:3:105453086:105669460:1 gene:ENSMUSG00000040896.16 transcript:ENSMUST00000118360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnd3 description:potassium voltage-gated channel, Shal-related family, member 3 [Source:MGI Symbol;Acc:MGI:1928743] MAAGVAAWLPFARAAAIGWMPVANCPMPLAPADKNKRQDELIVLNVSGRRFQTWRTTLER YPDTLLGSTEKEFFFNEDTKEYFFDRDPEVFRCVLNFYRTGKLHYPRYECISAYDDELAF YGILPEIIGDCCYEEYKDRKRENAERLMDDNDSENNQESMPSLSFRQTMWRAFENPHTST LALVFYYVTGFFIAVSVITNVVETVPCGTVPGSKELPCGERYSVAFFCLDTACVMIFTVE YLLRLFAAPSRYRFIRSVMSIIDVVAIMPYYIGLVMTNNEDVSGAFVTLRVFRVFRIFKF SRHSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGSSASKFTSIPASFWY TIVTMTTLGYGDMVPKTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQNQRADKRR AQKKARLARIRVAKTGSSNAYLHSKRNGLLNEALELTGTPEEEQMGKTTSLIESQHHHLL HCLEKTTGLSYLVDDPLLSVRTSTIKNHEFIDEQMFEQNCMESSMQNYPSTRSPSLSSHS GLTTTCCSRRSKKTTHLPNSNLPATRLRSMQELSTLHIQGSEQPSLTTSRSSLNLKADDG LRPNCKTSQITTAIISIPTPPALTPEGESRPPPASPGPNTNIPSITSNVVKVSAL >ENSMUSP00000078169.4 pep:known chromosome:GRCm38:3:105458782:105669309:1 gene:ENSMUSG00000040896.16 transcript:ENSMUST00000079169.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnd3 description:potassium voltage-gated channel, Shal-related family, member 3 [Source:MGI Symbol;Acc:MGI:1928743] MAAGVAAWLPFARAAAIGWMPVANCPMPLAPADKNKRQDELIVLNVSGRRFQTWRTTLER YPDTLLGSTEKEFFFNEDTKEYFFDRDPEVFRCVLNFYRTGKLHYPRYECISAYDDELAF YGILPEIIGDCCYEEYKDRKRENAERLMDDNDSENNQESMPSLSFRQTMWRAFENPHTST LALVFYYVTGFFIAVSVITNVVETVPCGTVPGSKELPCGERYSVAFFCLDTACVMIFTVE YLLRLFAAPSRYRFIRSVMSIIDVVAIMPYYIGLVMTNNEDVSGAFVTLRVFRVFRIFKF SRHSQGLRILGYTLKSCASELGFLLFSLTMAIIIFATVMFYAEKGSSASKFTSIPASFWY TIVTMTTLGYGDMVPKTIAGKIFGSICSLSGVLVIALPVPVIVSNFSRIYHQNQRADKRR AQKKARLARIRVAKTGSSNAYLHSKRNGLLNEALELTGTPEEEQMGKTTSLIESQHHHLL HCLEKTTGLSYLVDDPLLSVRTSTIKNHEFIDEQMFEQNCMESSMQNYPSTRSPSLSSHS GLTTTCCSRRSKKTTHLPNSNLPATRLRSMQELSTLHIQGSEQPSLTTSRSSLNLKADDG LRPNCKTSQITTAIISIPTPPALTPEGESRPPPASPGPNTNIPSITSNVVKVSAL >ENSMUSP00000040331.5 pep:known chromosome:GRCm38:6:5383386:5433022:1 gene:ENSMUSG00000042607.15 transcript:ENSMUST00000043294.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb4 description:ankyrin repeat and SOCS box-containing 4 [Source:MGI Symbol;Acc:MGI:1929751] MDGITAPISKAGAAKLVKKDFLEALKTNDFGKLKAILIERQIDVDTVFEVEDENMILASY KQGYWLPSYKLKSSWATGLHLSVLLGHVECLMVLLDHNATINCRPNGKTPLHVACEIANL ECVKILCDRGAKLNCYSLSGHTALHFCTTPSSILCAKQLVLRGANVNMKTNNQDEETPLH TAAHFGLSELVAFYVENGAIVDSMNAHMETPLAIATYWALRFKEQEYSREHHLICRTLLD NNAEVNARDDDFKSPLHKAAWNCDHVLMHMMLEAGAEANLMDINGCAAIQYVLKVTSVRP AAQPEICYQLLLNHGAARIYPPQFHKVIQACHSCPKAIEVVVNAYEHIRWNIKWRRAIPD DDLEKHWDFYHSLFKVCCNTPRTLMHLSRCAIRKALHNRCHKAIPMLSLPLPLKKYLLLE PEGIIY >ENSMUSP00000139245.1 pep:known chromosome:GRCm38:6:5390427:5402249:1 gene:ENSMUSG00000042607.15 transcript:ENSMUST00000183358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb4 description:ankyrin repeat and SOCS box-containing 4 [Source:MGI Symbol;Acc:MGI:1929751] MDGITAPISKAGAAKLVKKDFLEALKTNDFGKLKAILIERQIDVDTVFEVEDENMILASY KQGYWLPSYKLKSSWATGLHLSVLLGHVECLMVLLDHNATINCRPNGKTPLHVACEIANL ECVKILCDRGAKLNCYSLSGHTALHFCTTPSSILCAKQLVLRGLSQELVNFGEL >ENSMUSP00000108682.1 pep:known chromosome:GRCm38:9:90163069:90200100:1 gene:ENSMUSG00000032363.15 transcript:ENSMUST00000113059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts7 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 7 [Source:MGI Symbol;Acc:MGI:1347346] MHRGPSLLLILCALASRVLGPASGLVTEGRAGLDIVHPVRVDAGGSFLSYELWPRVLRKR DVSTTQASSAFYQLQYQGRELLFNLTTNPYLMAPGFVSEIRRHSTLGHAHIQTSVPTCHL LGDVQDPELEGGFAAISACDGLRGVFQLSNEDYFIEPLDGVSAQPGHAQPHVVYKHQGSR KQAQQGDSRPSGTCGMQVPPDLEQQREHWEQQQQKRRQQRSVSKEKWVETLVVADSKMVE YHGQPQVESYVLTIMNMVAGLFHDPSIGNPIHISIVRLIILEDEEKDLKITHHAEETLKN FCRWQKNINIKGDDHPQHHDTAILLTRKDLCASMNQPCETLGLSHVSGLCHPQLSCSVSE DTGMPLAFTVAHELGHSFGIQHDGTGNDCESIGKRPFIMSPQLLYDRGIPLTWSRCSREY ITRFLDRGWGLCLDDRPSKDVIALPSVLPGVLYDVNHQCRLQYGSHSAYCEDMDDVCHTL WCSVGTTCHSKLDAAVDGTSCGKNKWCLKGECVPEGFQPEAVDGGWSGWSAWSDCSRSCG VGVRSSERQCTQPVPKNRGKYCVGERKRSQLCNLPACPPDRPSFRHTQCSQFDGMLYKGK LHKWVPVPNDDNPCELHCRPSNSSNTEKLRDAVVDGTPCYQSRISRDICLNGICKNVGCD FVIDSGAEEDRCGVCRGDGSTCQTVSRTFKETEGQGYVDIGLIPAGAREILIEEVAEAAN FLALRSEDPDKYFLNGGWTIQWNGDYRVAGTTFTYARKGNWENLTSPGPTSEPVWIQLLF QEKNPGVHYQYTIQRDSHDQVRPPEFSWHYGPWSKCTVTCGTGVQRQSLYCMERQAGVVA EEYCNTLNRPDERQRKCSEEPCPPRWWAGEWQPCSRSCGPEGLSRRAVFCIRSMGLDEQR ALELSACEHLPRPLAETPCNRHVICPSTWGVGNWSQCSVTCGAGIRQRSVLCINNTDVPC DEAERPITETFCFLQPCQYPMYIVDTGASGSGSSSPELFNEVDFIPNQLAPRPSPASSPK PVSISNAIDEEELDPPGPVFVDDFYYDYNFINFHEDLSYGSFEEPHPDLVDNGGWTAPPH IRPTESPSDTPVPTAGALGAEAEDIQGSWSPSPLLSEASYSPPGLEQTSINPLANFLTEE DTPMGAPELGFPSLPWPPASVDDMMTPVGPGNPDELLVKEDEQSPPSTPWSDRNKLSTDG NPLGHTSPALPQSPIPTQPSPPSISPTQASPSPDVVEVSTGWNAAWDPVLEADLKPGHGE LPSTVEVASPPLLPMATVPGIWGRDSPLEPGTPTFSSPELSSQHLKTLTMPGTLLLTVPT DLRSPGPSGQPQTPNLEGTQSPGLLPTPARETQTNSSKDPEVQPLQPSLEEDGDPADPLP ARNASWQVGNWSQCSTTCGLGAIWRLVSCSSGNDEDCTLASRPQPARHCHLRPCAAWRTG NWSKCSRNCGGGSSTRDVQCVDTRDLRPLRPFHCQPGPTKPPNRQLCGTQPCLPWYTSSW RECSEACGGGEQQRLVTCPEPGLCEESLRPNNSRPCNTHPCTQWVVGPWGQCSAPCGGGV QRRLVRCVNTQTGLAEEDSDLCSHEAWPESSRPCATEDCELVEPPRCERDRLSFNFCETL RLLGRCQLPTIRAQCCRSCPPLSRGVPSRGHQRVARR >ENSMUSP00000129292.1 pep:known chromosome:GRCm38:9:90163078:90208071:1 gene:ENSMUSG00000032363.15 transcript:ENSMUST00000167122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts7 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 7 [Source:MGI Symbol;Acc:MGI:1347346] MHRGPSLLLILCALASRVLGPASGLVTEGRAGLDIVHPVRVDAGGSFLSYELWPRVLRKR DVSTTQASSAFYQLQYQGRELLFNLTTNPYLMAPGFVSEIRRHSTLGHAHIQTSVPTCHL LGDVQDPELEGGFAAISACDGLRGVFQLSNEDYFIEPLDGVSAQPGHAQPHVVYKHQGSR KQAQQGDSRPSGTCGMQVPPDLEQQREHWEQQQQKRRQQRSVSKEKWVETLVVADSKMVE YHGQPQVESYVLTIMNMVAGLFHDPSIGNPIHISIVRLIILEDEEKDLKITHHAEETLKN FCRWQKNINIKGDDHPQHHDTAILLTRKDLCASMNQPCETLGLSHVSGLCHPQLSCSVSE DTGMPLAFTVAHELGHSFGIQHDGTGNDCESIGKRPFIMSPQLLYDRGIPLTWSRCSREY ITRFLDRGWGLCLDDRPSKDVIALPSVLPGVLYDVNHQCRLQYGSHSAYCEDMDDVCHTL WCSVGTTCHSKLDAAVDGTSCGKNKWCLKGECVPEGFQPEAVDGGWSGWSAWSDCSRSCG VGVRSSERQCTQPVPKNRGKYCVGERKRSQLCNLPACPPDRPSFRHTQCSQFDGMLYKGK LHKWVPVPNDDNPCELHCRPSNSSNTEKLRDAVVDGTPCYQSRISRDICLNGICKNVGCD FVIDSGAEEDRCGVCRGDGSTCQTVSRTFKETEGQGYVDIGLIPAGAREILIEEVAEAAN FLALRSEDPDKYFLNGGWTIQWNGDYRVAGTTFTYARKGNWENLTSPGPTSEPVWIQLLF QEKNPGVHYQYTIQRDSHDQVRPPEFSWHYGPWSKCTVTCGTGVQRQSLYCMERQAGVVA EEYCNTLNRPDERQRKCSEEPCPPRWWAGEWQPCSRSCGPEGLSRRAVFCIRSMGLDEQR ALELSACEHLPRPLAETPCNRHVICPSTWGVGNWSQCSVTCGAGIRQRSVLCINNTDVPC DEAERPITETFCFLQPCQYPMYIVDTGASGSGSSSPELFNEVDFIPNQLAPRPSPASSPK PVSISNAIDEEELDPPGPVFVDDFYYDYNFINFHEDLSYGSFEEPHPDLVDNGGWTAPPH IRPTESPSDTPVPTAGALGAEAEDIQGSWSPSPLLSEASYSPPGLEQTSINPLANFLTEE DTPMGAPELGFPSLPWPPASVDDMMTPVGPGNPDELLVKEDEQSPPSTPWSDRNKLSTDG NPLGHTSPALPQSPIPTQPSPPSISPTQASPSPDVVEVSTGWNAAWDPVLEADLKPGHGE LPSTVEVASPPLLPMATVPGIWGRDSPLEPGTPTFSSPELSSQHLKTLTMPGTLLLTVPT DLRSPGPSGQPQTPNLEGTQSPGLLPTPARETQTNSSKDPEVQPLQPSLEEDGDPADPLP ARNASWQVGNWSQCSTTCGLGAIWRLVSCSSGNDEDCTLASRPQPARHCHLRPCAAWRTG NWSKCSRNCGGGSSTRDVQCVDTRDLRPLRPFHCQPGPTKPPNRQLCGTQPCLPWYTSSW RECSEACGGGEQQRLVTCPEPGLCEESLRPNNSRPCNTHPCTQWVVGPWGQCSAPCGGGV QRRLVRCVNTQTGLAEEDSDLCSHEAWPESSRPCATEDCELVEPPRKSTTAPWTTPSLRS LWTHLASRIVRVK >ENSMUSP00000119744.1 pep:known chromosome:GRCm38:9:90163124:90200100:1 gene:ENSMUSG00000032363.15 transcript:ENSMUST00000134996.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adamts7 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 7 [Source:MGI Symbol;Acc:MGI:1347346] MHRGPSLLLILCALASRVLGPASGLVTEGRAGLDIVHPVRVDAGGSFLSYELWPRVLRKR DVSTTQASSAFYQLQYQGRELLFNLTTNPYLMAPGFVSEIRRHSTLGHAHIQTSVPTCHL LGDVQDPELEGGFAAISACDGLRGVFQLSNEDYFIEPLDGVSAQPGHAQPHVVYKHQGSR KQAQQGDSRPSGTCGMQVPPDLEQQREHWEQQQQKRRQQRSVSKEKWVETLVVADSKMVE YHGQPQVESYVLTIMNMVAGLFHDPSIGNPIHISIVRLIILEDEEKDLKITHHAEETLKN FCRWQKNINIKGDDHPQHHDTAILLTRKDLCASMNQPCETLGLSHVSGLCHPQLSCSVSE DTGMPLAFTVAHELGHSFGIQHDGTGNDCESIGKRPFIMSPQLLYDRGIPLTWSRCSREY ITRFLDRGWGLCLDDRPSKDVIALPSVLPGVLYDVNHQCRLQYGSHSAYCEDMDDVCHTL WCSVGTTCHSKLDAAVDGTSCGKNKA >ENSMUSP00000115972.2 pep:known chromosome:GRCm38:9:90163202:90199990:1 gene:ENSMUSG00000032363.15 transcript:ENSMUST00000147250.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts7 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 7 [Source:MGI Symbol;Acc:MGI:1347346] MHRGPSLLLILCALASRVLGPASGLVTEGRAGLDIVHPVRVDAGGSFLSYELWPRVLRKR DVSTTQASSAFYQLQYQGRELLFNLTTNPYLMAPGFVSEIRRHSTLGHAHIQTSVPTCHL LGDVQDPELEGGFAAISACDGLRGVFQLSNEDYFIEPLDGVSAQPGHAQPHVVYKHQGSR KQAQQGDSRPSGTCGMQVPPDLEQQREHWEQQQQKRRQQRSVSKEKWVETLVVADSKMVE YHGQPQVESYVLTIMNMVAGLFHDPSIGNPIHISIVRLIILEDEEKDLKITHHAEETLKN FCRWQKNINIKGDDHPQHHDTAILLTRKDLCASMNQPCETLGLSHVSGLCHPQLSCSVSE DTGMPLAFTVAHELGHSFGIQHDGTGNDCESIGKRPFIMSPQLLYDRGIPLTWSRCSREY ITRFLDRGWGLCLDDRPSKDVIALPSVLPGVLYDVNHQCRLQYGSHSAYCEDMDDVCHTL WCSVGTTCHSKLDAAVDGTSCGKNKWCLKGECVPEGFQPEAVDGGWSGWSAWSDCSRSCG VGVRSSERQCTQPVPKNRGKYCVGERKRSQLCNLPACPPDRPSFRHTQCSQFDGMLYKGK LHKWVPVPNDDNPCELHCRPSNSSNTEKLRDAVVDGTPCYQSRISRDICLNGICKNVGCD FVIDSGAEEDRCGVCRGDGSTCQTVSRTFKETEGQGYVDIGLIPAGAREILIEEVAEAAN FLALRSEDPDKYFLNGGWTIQWNGDYRVAGTTFTYARKGNWENLTSPGPTSEPVWIQLLF QEKNPGVHYQYTIQRDSHDQVRPPEFSWHYGPWSKCTVTCGTGVQRQSLYCMERQAGVVA EEYCNTLNRPDERQRKCSEEPCPPRWWAGEWQPCSRSCGPEGLSRRAVFCIRSMGLDEQR ALELSACEHLPRPLAETPCNRHVICPSTWGVGNWSQYPMYIVDTGASGSGSSSPELFNEV DFIPNQLAPRPSPASSPKPVSISNAIDEEELDPPGPVFVDDFYYDYNFINFHEDLSYGSF EEPHPDLVDNGGWTAPPHIRPTESPSDTPVPTAGALGAEAEDIQGSWSPSPLLSEASYSP PGLEQTSINPLANFLTEEDTPMGAPELGFPSLPWPPASVDDMMTPVGPGNPDELLVKEDE QSPPSTPWSDRNKLSTDGNPLGHTSPALPQSPIPTQPSPPSISPTQASPSPDVVEVSTGW NAAWDPVLEADLKPGHVPTDLRSPGPSGQPQTPNLEGTQSPGLLPTPARETQTNSSKDPE VQPLQPSLEEDGDPADPLPARNASWQVGNWSQCSTTCGLGAIWRLVSCSSGNDEDCTLAS RPQPARHCHLRPCAAWRTGNWSKCSRNCGGGSSTRDVQCVDTRDLRPLRPFHCQPGPTKP PNRQLCGTQPCLPWYTSSWRECSEACGGGEQQRLVTCPEPGLCEESLRPNNSRPCNTHPC TQWVVGPWGQCSAPCGGGVQRRLVRCVNTQTGLAEEDSDLCSHEAWPESSRPCATEDCEL VEPPRCERDRLSFNFCETLRLLGRCQLPTIRAQCCRSCPPLSRGVPSRGHQRVARR >ENSMUSP00000108683.1 pep:known chromosome:GRCm38:9:90163226:90200102:1 gene:ENSMUSG00000032363.15 transcript:ENSMUST00000113060.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts7 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 7 [Source:MGI Symbol;Acc:MGI:1347346] MHRGPSLLLILCALASRVLGPASGLVTEGRAGLDIVHPVRVDAGGSFLSYELWPRVLRKR DVSTTQASSAFYQLQYQGRELLFNLTTNPYLMAPGFVSEIRRHSTLGHAHIQTSVPTCHL LGDVQDPELEGGFAAISACDGLRGVFQLSNEDYFIEPLDGVSAQPGHAQPHVVYKHQGSR KQAQQGDSRPSGTCGMQVPPDLEQQREHWEQQQQKRRQQRSVSKEKWVETLVVADSKMVE YHGQPQVESYVLTIMNMVAGLFHDPSIGNPIHISIVRLIILEDEEKDLKITHHAEETLKN FCRWQKNINIKGDDHPQHHDTAILLTRKDLCASMNQPCETLGLSHVSGLCHPQLSCSVSE DTGMPLAFTVAHELGHSFGIQHDGTGNDCESIGKRPFIMSPQLLYDRGIPLTWSRCSREY ITRFLDRGWGLCLDDRPSKDVIALPSVLPGVLYDVNHQCRLQYGSHSAYCEDMDDVCHTL WCSVGTTCHSKLDAAVDGTSCGKNKWCLKGECVPEGFQPEAVDGGWSGWSAWSDCSRSCG VGVRSSERQCTQPVPKNRGKYCVGERKRSQLCNLPACPPDRPSFRHTQCSQFDGMLYKGK LHKWVPVPNDDNPCELHCRPSNSSNTEKLRDAVVDGTPCYQSRISRDICLNGICKNVGCD FVIDSGAEEDRCGVCRGDGSTCQTVSRTFKETEGQGYVDIGLIPAGAREILIEEVAEAAN FLALRSEDPDKYFLNGGWTIQWNGDYRVAGTTFTYARKGNWENLTSPGPTSEPVWIQLLF QEKNPGVHYQYTIQRDSHDQVRPPEFSWHYGPWSKCTVTCGTGVQRQSLYCMERQAGVVA EEYCNTLNRPDERQRKCSEEPCPPRWWAGEWQPCSRSCGPEGLSRRAVFCIRSMGLDEQR ALELSACEHLPRPLAETPCNRHVICPSTWGVGNWSQYPMYIVDTGASGSGSSSPELFNEV DFIPNQLAPRPSPASSPKPVSISNAIDEEELDPPGPVFVDDFYYDYNFINFHEDLSYGSF EEPHPDLVDNGGWTAPPHIRPTESPSDTPVPTAGALGAEAEDIQGSWSPSPLLSEASYSP PGLEQTSINPLANFLTEEDTPMGAPELGFPSLPWPPASVDDMMTPVGPGNPDELLVKEDE QSPPSTPWSDRNKLSTDGNPLGHTSPALPQSPIPTQPSPPSISPTQASPSPDVVEVSTGW NAAWDPVLEADLKPGHGELPSTVEVASPPLLPMATVPGIWGRDSPLEPGTPTFSSPELSS QHLKTLTMPGTLLLTVPTDLRSPGPSGQPQTPNLEGTQSPGLLPTPARETQTNSSKDPEV QPLQPSLEEDGDPADPLPARNASWQVGNWSQCSTTCGLGAIWRLVSCSSGNDEDCTLASR PQPARHCHLRPCAAWRTGNWSKCSRNCGGGSSTRDVQCVDTRDLRPLRPFHCQPGPTKPP NRQLCGTQPCLPWYTSSWRECSEACGGGEQQRLVTCPEPGLCEESLRPNNSRPCNTHPCT QWVVGPWGQCSAPCGGGVQRRLVRCVNTQTGLAEEDSDLCSHEAWPESSRPCATEDCELV EPPRCERDRLSFNFCETLRLLGRCQLPTIRAQCCRSCPPLSRGVPSRGHQRVARR >ENSMUSP00000063277.5 pep:known chromosome:GRCm38:7:83932857:84086502:-1 gene:ENSMUSG00000052353.13 transcript:ENSMUST00000064174.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cemip description:cell migration inducing protein, hyaluronan binding [Source:MGI Symbol;Acc:MGI:2443629] MRASGRHDVSLKIVLATGCLLLANFSGASSAVATECPDQSPELQPWSPGHNRDYQVHIGH GRKLLLTSSATVHSITISGGGKLVIKDHHEHIVLRTRYILIDDGGELHAGSALCPFEGNF SIVLYGRADENILPDPYYGLKYIGVDKGGTLELHGQKKLSWTFLNKTLHPGGMQEGGYFF ERSWGHRGVIVHVIDAKLGTVVHSDRFDTYRSKKESERLVQYLNAVPDGRILSVAVNDEG SRNLDDTARKAMTKLGSKHFLHLGFRHPWSFITVKGNPSSSVEDHIEYHGHKGSAAARVF KLFQTEHGEHFNVSSSSEWVQDVEWTEWFDHDKVPQSKGGEKISDLRAAYPGKICNRPID IQATTMDGVALSTEVVYKNGQDYRFACYTRGRACRSYRVRFLCGKPVRPKLTVSIDTNVN STILSLVDNVRSWRPGDTLVVASTDYSMYQAEEFRVLPCKACTSTQVKVAGKPQYLHIGE EIDGVDMRAEVGLLTRNIVVMGEMEDRCYPYTNHICDFFDFDTFGGHIKFALGFKAAHLE GVELKYMGQQLVGQYPIHFHLAGDLDEQGGYDPPTYIRDLSIHHTFSRCITVHGSNGLLI KDVVGYNSLGHCFFTEDGPEERNTFDHCLGLLVKSGTLLPSDRDSRMCKVITEDSYPGYI PKPRQDCNAVSTFWMANPNNNLINCAAAGSEETGFWFIFHHVPTGPSVGMYSPGYSEHIP LGKFYNNRAHSNYRAGMIIDNGVKTTEASAKDKRPFLSIISARYSPHQDADPLKPREPAI IRHFTAYKNQDHGAWLRGGDVWLDSCRFADNGIGLTLASGGTFPYDDGSKQEIKNSLFVG ESGNVGTEMMDNRIWGPGGLDHSGRTLPIGQNFPIRGIQFYDGPINIQNCTFRKFAALEG RHTSALAFRLNNAWQSCPHNNVTNIAFEDVPITSRVFFGEPGPWFNQLDMDGDKTSVFHD LDGSVSEYPGSYLTKDDNWLVRHPDCINVPDWRGAICSGRYAQMYIQAYKSSNLRMKIIK NDFPSHPLYLEGALTRSTHYQQYQPVITLQKGYTIHWDQTAPAELAIWLINFNKGDWIRV GLCYPRGTTFSILSDVHNRLLKQTSKTGTFVRTLQMDKVEQSYPGRSHYYWDEDSGLLFL KLKAQNEREKFAFCSMKGCERIKIKALLPRNAGISDCTATAYPRFTERAIVDVPMPRKLF GAQLKTKDHFLEVKMESSRQHFFHLRNDFAYIEVDGRRYPCSEDGIQIVVIDGSRGHVVS HGSFRNAILQGIPWQLFNYVAAIPDNSIVLMASKGRYITRGPWTRVLEKLGADKGLKLKE KMAFVGFKGSFRPIWVTLETEDHKAKIFQVVPIPVVRKKKL >ENSMUSP00000135410.1 pep:known chromosome:GRCm38:17:25834465:25841763:-1 gene:ENSMUSG00000093593.1 transcript:ENSMUST00000176751.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20683 description:predicted gene 20683 [Source:MGI Symbol;Acc:MGI:5313130] XFQKSCFGHPLAPQALEDVKRVVCKNVSGGVQNDRLTLEGFLFLNTLFIQRGRHETTWTI LRRFGYSDSLELTPDYLYPALHVPPGCSTELNHRGYQFVQRMFEKHDQDHDGVLSPTELQ NLFSVFSGAPWGPELLHTVPTQAGCLPLHGYLCQWTLMTYLDVQQCLAHLGYLGYPTLCE QDSQAQAITVTREKKLDQEKGQTQRSVLMCKVLGARGVGKSAFLQAFLGNSLGEARDPPE KFPLHTINTVRVNGQEKYLILCEVNADSLLDTSLDTTCDVACLMFDSSDPKTFVHCATIY KRYYMDGQTPCLFIASKADLPEGVAPPGLSPAEFCRRHRLPAPASFSCLGPAMPSTDVFT QLATMATFPHLVHTELHPTSFWLRGVLVAVGTAVAAVLSFSLYRVLVKSR >ENSMUSP00000112867.1 pep:known chromosome:GRCm38:13:100281121:100317674:-1 gene:ENSMUSG00000078942.10 transcript:ENSMUST00000118574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naip6 description:NLR family, apoptosis inhibitory protein 6 [Source:MGI Symbol;Acc:MGI:1298222] MAEHGESSEDRISEIDYEFLAELSARFGMNLVQLAKSQEEEDHKERMKMKKGFNSQMRSE AKRLKTFESYDTFRSWTPQEMAAAGFYHTGVKLGVQCFCCSLILFGNSLRKLPIERHKKL RPECEFLQGKDVGNIGKYDIRVKSPEKMLRGGKARYHEEEARLESFEDWPFYAHGTSPRA LSAAGFVFTGKRDTVQCFSCGGSLGNWEEGDDPWKEHAKWFPKCEFLQSKKSSEEIAQYI QDYEGFVHVTGEHFVKSWVRRELPMVSAYCNDSVFTNEELRMDMFKDWPQESPVGFEALV RAGFFYTGKKDIVRCFSCGGCLEKWAEGDDPMEDHIKFFPECVFLQTLKSSAEVIPTLQS QYALPEATETTRESNHDDAAAVHSTVVDLGRSEAQWFQEARSLSEQLRDTYTKTSFCHMN LPEVCSSLGTDHLLGCDVSIISKHVSQPVQGALTIPEVFSNLSSVMCVEGEAGSGKTTFL KRIAFLWASGCCPLLYRFQLVFYLSLSSITPDQGLANIICTQLLGAGGCISEVCLSSSIQ QLQHQVLFLLDDYSGLASLPQALHTLITKNYLFRTCLLIAVHTNRVRDIRPYLGTSLEIQ EFPFYNTVFVLRKFFSHDIICVEKLIIYFSENKDLQGVYKTPLFVAAVCNDWNQNASAQD DFQDVTLFHSYMQYLSLKYKATAESLQATVSSCGQLALTGLFSSCFEFNSDDLAEAGVDE DVKLTTFLMSKFTAQRLRPVYRFLGPLFQEFLAAVRLTELLSSDRQEDQDLGLYYLRQID SPLKAINSFNIFLYYVSSHSSSKAAPTVVSHLLQLVDEKESLENMSENEDYMKLHPQTFL WFQFVRGLWLVSPESFSSFVSEHLLRLALIFAYESNTVAECSPFILQFLRGRTLALRVLN LEYFWDHPESLLLLRSLKVSINGNKMSSYVDYSFKTYFENLQPPAINEEYTSAFEHVSEW RRNFAQDEEIIKNYENIWPRALPDISEGYWNLSPKPCKIPKLEVQVNNMGPADQALLQVL MEVFSASQSIEFHLFNSSGFLESIRPALELSKASVTKCSMSRLELSRAEQELLLTLPALQ SLEVSETNQLPDQLFHNLHKFLGLKELCVRLDGKPDVLSVLPEEFLNLHHMEKLSIRTST ESDLSKLVKFIQNFPNLHVFHLKCDFLSNCESLMTALASCKKLREIEFSGQCFEAMTFVN ILPNFVSLKILSLKGQQFADKETSEKFAQALGSLRNLEELLVPTGDGIHQVAKLIVRQCL QLPCLRVLAFHDILDDESVIEIARAATSGSFQKLENLDISMNHKITEEGYRNFFQALDNL PNLQMLNICRNIPGRIQVQATTVKALGHCVSRLPSLTRLGMLSWLLDEEDMKVINDVKER HPQSKRLTIFWKWIVPFSPVVLE >ENSMUSP00000041766.2 pep:known chromosome:GRCm38:13:100281121:100316616:-1 gene:ENSMUSG00000078942.10 transcript:ENSMUST00000042220.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naip6 description:NLR family, apoptosis inhibitory protein 6 [Source:MGI Symbol;Acc:MGI:1298222] MAEHGESSEDRISEIDYEFLAELSARFGMNLVQLAKSQEEEDHKERMKMKKGFNSQMRSE AKRLKTFESYDTFRSWTPQEMAAAGFYHTGVKLGVQCFCCSLILFGNSLRKLPIERHKKL RPECEFLQGKDVGNIGKYDIRVKSPEKMLRGGKARYHEEEARLESFEDWPFYAHGTSPRA LSAAGFVFTGKRDTVQCFSCGGSLGNWEEGDDPWKEHAKWFPKCEFLQSKKSSEEIAQYI QDYEGFVHVTGEHFVKSWVRRELPMVSAYCNDSVFTNEELRMDMFKDWPQESPVGFEALV RAGFFYTGKKDIVRCFSCGGCLEKWAEGDDPMEDHIKFFPECVFLQTLKSSAEVIPTLQS QYALPEATETTRESNHDDAAAVHSTVVDLGRSEAQWFQEARSLSEQLRDTYTKTSFCHMN LPEVCSSLGTDHLLGCDVSIISKHVSQPVQGALTIPEVFSNLSSVMCVEGEAGSGKTTFL KRIAFLWASGCCPLLYRFQLVFYLSLSSITPDQGLANIICTQLLGAGGCISEVCLSSSIQ QLQHQVLFLLDDYSGLASLPQALHTLITKNYLFRTCLLIAVHTNRVRDIRPYLGTSLEIQ EFPFYNTVFVLRKFFSHDIICVEKLIIYFSENKDLQGVYKTPLFVAAVCNDWNQNASAQD DFQDVTLFHSYMQYLSLKYKATAESLQATVSSCGQLALTGLFSSCFEFNSDDLAEAGVDE DVKLTTFLMSKFTAQRLRPVYRFLGPLFQEFLAAVRLTELLSSDRQEDQDLGLYYLRQID SPLKAINSFNIFLYYVSSHSSSKAAPTVVSHLLQLVDEKESLENMSENEDYMKLHPQTFL WFQFVRGLWLVSPESFSSFVSEHLLRLALIFAYESNTVAECSPFILQFLRGRTLALRVLN LEYFWDHPESLLLLRSLKVSINGNKMSSYVDYSFKTYFENLQPPAINEEYTSAFEHVSEW RRNFAQDEEIIKNYENIWPRALPDISEGYWNLSPKPCKIPKLEVQVNNMGPADQALLQVL MEVFSASQSIEFHLFNSSGFLESIRPALELSKASVTKCSMSRLELSRAEQELLLTLPALQ SLEVSETNQLPDQLFHNLHKFLGLKELCVRLDGKPDVLSVLPEEFLNLHHMEKLSIRTST ESDLSKLVKFIQNFPNLHVFHLKCDFLSNCESLMTALASCKKLREIEFSGQCFEAMTFVN ILPNFVSLKILSLKGQQFADKETSEKFAQALGSLRNLEELLVPTGDGIHQVAKLIVRQCL QLPCLRVLAFHDILDDESVIEIARAATSGSFQKLENLDISMNHKITEEGYRNFFQALDNL PNLQMLNICRNIPGRIQVQATTVKALGHCVSRLPSLTRLGMLSWLLDEEDMKVINDVKER HPQSKRLTIFWKWIVPFSPVVLE >ENSMUSP00000146644.1 pep:known chromosome:GRCm38:7:106891118:106899414:-1 gene:ENSMUSG00000069390.3 transcript:ENSMUST00000208759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr707 description:olfactory receptor 707 [Source:MGI Symbol;Acc:MGI:3030541] MGEDNRTSVTEFIFLGLSQDPQTQVLLFFLFLFIYLLTVLGNLLIIVLIHSDPRLHTPMY FFLRNLSFADLCFSTTTVPQVLVHFLVKRKTISFAGCSTQIVVLLLVGCTECALLAVMSY DRYVAVCKPLHYSTIMTHWVCVQLAAGSWASGALVSLVDTTFTLRLPYRGNNVINHFFCE PPALLKLASADTYSTEMAIFAMGVVILLAPVSLILTSYWNIVSTVIQMQSGEGRLKVFST CGSHLIVVVLFYGSAIFAYMRPNSKIMNEKDKMISVFYSAVTPMLNPIIYSLRNKDVKGA LRRITLK >ENSMUSP00000086062.2 pep:known chromosome:GRCm38:7:106891184:106892107:-1 gene:ENSMUSG00000069390.3 transcript:ENSMUST00000088687.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr707 description:olfactory receptor 707 [Source:MGI Symbol;Acc:MGI:3030541] MGEDNRTSVTEFIFLGLSQDPQTQVLLFFLFLFIYLLTVLGNLLIIVLIHSDPRLHTPMY FFLRNLSFADLCFSTTTVPQVLVHFLVKRKTISFAGCSTQIVVLLLVGCTECALLAVMSY DRYVAVCKPLHYSTIMTHWVCVQLAAGSWASGALVSLVDTTFTLRLPYRGNNVINHFFCE PPALLKLASADTYSTEMAIFAMGVVILLAPVSLILTSYWNIVSTVIQMQSGEGRLKVFST CGSHLIVVVLFYGSAIFAYMRPNSKIMNEKDKMISVFYSAVTPMLNPIIYSLRNKDVKGA LRRITLK >ENSMUSP00000090795.5 pep:known chromosome:GRCm38:11:54303798:54364756:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000093106.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLVSVGALAAVLAYWLTHRPKALQPPCNLLKQ SEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQVFRRGLSISGNGPCLGFRKPEQPYQW LSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQNRPEWIIAELACYTYSMVVVPLYDT LGPGSISYIINTADICTVIVDKPHKATLLLEHVERKETPGLKLVILMEPFEDALRERGKK CGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGF LKVTEKVIFPRQDDVLISFLPLAHMFERVIQSVVYCHGGRVGFFQGDIRLLSDDMKALRP TIFPVVPRLLNRMYDKIFHQADTSLKRWLLEFAAKRKQAEVRSGIIRNNSIWDELFFNKI QASLGGHVRMIVTGAAPASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGDWTSGHV GAPLPCNHIKLVDAEELNYWTCKGEGEICVKGPNVFKGYLKDEDRTKEALDSDGWLHTGD IGKWLPEGTLKIIDRKKHIFKLAQGEYVAPEKIENIYIRSEPVAQIYVHGDSLKAFLVGI VVPDPEVMPSWAQKKGIEGTYQELCMKKELKKAILDDMVMLGKESGLHSFEQVKAIYIHC DMFSVQNGLLTPTLKAKRPELREYFKKQIEELYLVSV >ENSMUSP00000000145.5 pep:known chromosome:GRCm38:11:54304005:54361535:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000000145.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLDGGGARRSVIGGCTQLLTHYYDDARTMYQV FRRGLSISGNGPCLGFRKPEQPYQWLSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQ NRPEWIIAELACYTYSMVVVPLYDTLGPGSISYIINTADICTVIVDKPHKATLLLEHVER KETPGLKLVILMEPFEDALRERGKKCGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCF TSGTTGNPKGAMLTHGNVVADFSGFLKVTEGDIRLLSDDMKALRPTIFPVVPRLLNRMYD KIFHQADTSLKRWLLEFAAKRKQAEVRSGIIRNNSIWDELFFNKIQASLGGHVRMIVTGA APASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGDWTSGHVGAPLPCNHIKLVDAE ELNYWTCKGEGEICVKGPNVFKGYLKDEDRTKEALDSDGWLHTGDIGKWLPEGTLKIIDR KKHIFKLAQGEYVAPEKIENIYIRSEPVAQIYVHGDSLKAFLVGIVVPDPEVMPSWAQKK GIEGTYQELCMKKELKKAILDDMVMLGKESGLHSFEQVKAIYIHCDMFSVQNGLLTPTLK AKRPELREYFKKQIEELYLVSV >ENSMUSP00000117128.1 pep:known chromosome:GRCm38:11:54304022:54325660:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000138515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLVSVGALAAVLAYWLTHRPKALQPPCNLLKQ SEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQVFRRGLSISGNGPCLGFRKPEQPYQW LSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQNRPEWIIAELACYTYSMVVVPLYDT LGPGSISYIINT >ENSMUSP00000104532.3 pep:known chromosome:GRCm38:11:54304202:54361539:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000108904.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MLTFFLVSGGSLWLFAEIALSLLEKMQTQEILRILRLPELSDLGQFFRSLSATTLVSVGA LAAVLAYWLTHRPKALQPPCNLLKQSEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQV FRRGLSISGNGPCLGFRKPEQPYQWLSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQ NRPEWIIAELACYTYSMVVVPLYDTLGPGSISYIINTADICTVIVDKPHKATLLLEHVER KETPGLKLVILMEPFEDALRERGKKCGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCF TSGTTGNPKGAMLTHGNVVADFSGFLKVTESQWAPTCADVHFSYLPLAHMFERMVQSVVY CHGGRVGFFQGDIRLLSDDMKALRPTIFPVVPRLLNRMYDKIFHQADTSLKRWLLEFAAK RKQAEVRSGIIRNNSIWDELFFNKIQASLGGHVRMIVTGAAPASPTVLGFLRAALGCQVY EGYGQTECTAGCTFTTPGDWTSGHVGAPLPCNHIKLVDAEELNYWTCKGEGEICVKGPNV FKGYLKDEDRTKEALDSDGWLHTGDIGKWLPEGTLKIIDRKKHIFKLAQGEYVAPEKIEN IYIRSEPVAQIYVHGDSLKAFLVGIVVPDPEVMPSWAQKKGIEGTYQELCMKKELKKAIL DDMVMLGKESGLHSFEQVKAIYIHCDMFSVQNGLLTPTLKAKRPELREYFKKQIEELYLV SV >ENSMUSP00000104533.3 pep:known chromosome:GRCm38:11:54304202:54364752:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000108905.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MLTFFLVSGGSLWLFAEIALSLLEKMQTQEILRILRLPELSDLGQFFRSLSATTLVSVGA LAAVLAYWLTHRPKALQPPCNLLKQSEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQV FRRGLSISGNGPCLGFRKPEQPYQWLSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQ NRPEWIIAELACYTYSMVVVPLYDTLGPGSISYIINTADICTVIVDKPHKATLLLEHVER KETPGLKLVILMEPFEDALRERGKKCGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCF TSGTTGNPKGAMLTHGNVVADFSGFLKVTEKVIFPRQDDVLISFLPLAHMFERVIQSVVY CHGGRVGFFQGDIRLLSDDMKALRPTIFPVVPRLLNRMYDKIFHQADTSLKRWLLEFAAK RKQAEVRSGIIRNNSIWDELFFNKIQASLGGHVRMIVTGAAPASPTVLGFLRAALGCQVY EGYGQTECTAGCTFTTPGDWTSGHVGAPLPCNHIKLVDAEELNYWTCKGEGEICVKGPNV FKGYLKDEDRTKEALDSDGWLHTGDIGKWLPEGTLKIIDRKKHIFKLAQGEYVAPEKIEN IYIRSEPVAQIYVHGDSLKAFLVGIVVPDPEVMPSWAQKKGIEGTYQELCMKKELKKAIL DDMVMLGKESGLHSFEQVKAIYIHCDMFSVQNGLLTPTLKAKRPELREYFKKQIEELYLV SV >ENSMUSP00000120540.1 pep:known chromosome:GRCm38:11:54313677:54325107:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000149403.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLVSVGALAAVLAYWLTHRPKALQPPCNLLKQ SEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQVFRRGLSISGNGPCLGFRKPEQPYQW LSYQEVAKRAEFLGSGLLQ >ENSMUSP00000072040.4 pep:known chromosome:GRCm38:11:54314896:54361197:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000072178.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLVSVGALAAVLAYWLTHRPKALQPPCNLLKQ SEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQVFRRGLSISGNGPCLGFRKPEQPYQW LSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQNRPEWIIAELACYTYSMVVVPLYDT LGPGSISYIINTADICTVIVDKPHKATLLLEHVERKETPGLKLVILMEPFEDALRERGKK CGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGF LKVTEKVIFPRQDDVLISFLPLAHMFERVIQSVVYCHGGRVGFFQGDIRLLSDDMKALRP TIFPVVPRLLNRMYDKIFHQADTSLKRWLLEFAAKRKQAEVRSGIIRNNSIWDELFFNKI QASLGGHVRMIVTGAAPASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGDWTSGHV GAPLPCNHIKLVDAEELNYWTCKGEGEICVKGPNVFKGYLKDEDRTKEALDSDGWLHTGD IGKWLPEGTLKIIDRKKHIFKLAQGEYVAPEKIENIYIRSEPVAQIYVHGDSLKAFLVGI VVPDPEVMPSWAQKKGIEGTYQELCMKKELKKAILDDMVMLGKESGLHSFEQVKAIYIHC DMFSVQNGLLTPTLKAKRPELREYFKKQIEELYLVSV >ENSMUSP00000098771.2 pep:known chromosome:GRCm38:11:54314936:54361535:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000101211.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLVSVGALAAVLAYWLTHRPKALQPPCNLLKQ SEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQVFRRGLSISGNGPCLGFRKPEQPYQW LSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQNRPEWIIAELACYTYSMVVVPLYDT LGPGSISYIINTADICTVIVDKPHKATLLLEHVERKETPGLKLVILMEPFEDALRERGKK CGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGF LKVTESQWAPTCADVHFSYLPLAHMFERMVQSVVYCHGGRVGFFQGDIRLLSDDMKALRP TIFPVVPRLLNRMYDKIFHQADTSLKRWLLEFAAKRKQAEVRSGIIRNNSIWDELFFNKI QASLGGHVRMIVTGAAPASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGDWTSGHV GAPLPCNHIKLVDAEELNYWTCKGEGEICVKGPNVFKGYLKDEDRTKEALDSDGWLHTGD IGKWLPEGTLKIIDRKKHIFKLAQGEYVAPEKIENIYIRSEPVAQIYVHGDSLKAFLVGI VVPDPEVMPSWAQKKGIEGTYQELCMKKELKKAILDDMVMLGKESGLHSFEQVKAIYIHC DMFSVQNGLLTPTLKAKRPELREYFKKQIEELYLVSV >ENSMUSP00000098773.2 pep:known chromosome:GRCm38:11:54314936:54361535:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000101213.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLVSVGALAAVLAYWLTHRPKALQPPCNLLKQ SEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQVFRRGLSISGNGPCLGFRKPEQPYQW LSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQNRPEWIIAELACYTYSMVVVPLYDT LGPGSISYIINTADICTVIVDKPHKATLLLEHVERKETPGLKLVILMEPFEDALRERGKK CGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGF LKVTESQWAPTCADVHFSYLPLAHMFERMVQSVVYCHGGRVGFFQGDIRLLSDDMKALRP TIFPVVPRLLNRMYDKIFHQADTSLKRWLLEFAAKRKQAEVRSGIIRNNSIWDELFFNKI QASLGGHVRMIVTGAAPASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGDWTSGHV GAPLPCNHIKLVDAEELNYWTCKGEGEICVKGPNVFKGYLKDEDRTKEALDSDGWLHTGD IGKWLPEGTLKIIDRKKHIFKLAQGEYVAPEKIENIYIRSEPVAQIYVHGDSLKAFLVGI VVPDPEVMPSWAQKKGIEGTYQELCMKKELKKAILDDMVMLGKESGLHSFEQVKAIYIHC DMFSVQNGLLTPTLKAKRPELREYFKKQIEELYLVSV >ENSMUSP00000069844.3 pep:known chromosome:GRCm38:11:54314988:54337728:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000064690.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLVSVGALAAVLAYWLTHRPKALQPPCNLLKQ SEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQVFRRGLSISGNGPCLGFRKPEQPYQW LSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQNRPEWIIAELACYTYSMVVVPLYDT LGPGSISYIINTADICTVIVDKPHKATLLLEHVERKETPGLKLVILMEPFEDALRERGKK CGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGF LKVTESQWAPTCADVHFSYLPLAHMFERMVQVRPPGIREATLRAQNLHLTAGSHRPPVV >ENSMUSP00000104527.1 pep:known chromosome:GRCm38:11:54314988:54339287:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000108899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLVSVGALAAVLAYWLTHRPKALQPPCNLLKQ SEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQVFRRGLSISGNGPCLGFRKPEQPYQW LSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQNRPEWIIAELACYTYSMVVVPLYDT LGPGSISYIINTADICTVIVDKPHKATLLLEHVERKETPGLKLVILMEPFEDALRERGKK CGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGF LKVTESQWAPTCADVHFSYLPLAHMFERMVQSVVYCHGGRVGFFQGDIRLLSDDMKALRP TIFPVVPRLLNRMYDKIFHQADTSLKRWLLEFAAKRKQAEVRSGIIRNNSIWDELFFNKI QVKK >ENSMUSP00000091746.3 pep:known chromosome:GRCm38:11:54319856:54361501:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000094194.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLVSVGALAAVLAYWLTHRPKALQPPCNLLKQ SEEVEDGGGARRSVIGGCTQLLTHYYDDARTMYQVFRRGLSISGNGPCLGFRKPEQPYQW LSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQNRPEWIIAELACYTYSMVVVPLYDT LGPGSISYIINTADICTVIVDKPHKATLLLEHVERKETPGLKLVILMEPFEDALRERGKK CGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCFTSGTTGNPKGAMLTHGNVVADFSGF LKVTEKVIFPRQDDVLISFLPLAHMFERVIQSVVYCHGGRVGFFQGDIRLLSDDMKALRP TIFPVVPRLLNRMYDKIFHQADTSLKRWLLEFAAKRKQAEVRSGIIRNNSIWDELFFNKI QASLGGHVRMIVTGAAPASPTVLGFLRAALGCQVYEGYGQTECTAGCTFTTPGDWTSGHV GAPLPCNHIKLVDAEELNYWTCKGEGEICVKGPNVFKGYLKDEDRTKEALDSDGWLHTGD IGKWLPEGTLKIIDRKKHIFKLAQGEYVAPEKIENIYIRSEPVAQIYVHGDSLKAFLVGI VVPDPEVMPSWAQKKGIEGTYQELCMKKELKKAILDDMVMLGKESGLHSFEQVKAIYIHC DMFSVQNGLLTPTLKAKRPELREYFKKQIEELYLVSV >ENSMUSP00000119714.1 pep:known chromosome:GRCm38:11:54320152:54339071:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000156252.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] MQTQEILRILRLPELSDLGQFFRSLSATTLDGGGARRSVIGGCTQLLTHYYDDARTMYQV FRRGLSISGNGPCLGFRKPEQPYQWLSYQEVAKRAEFLGSGLLQHDCKVGTEQFVGVFAQ NRPEWIIAELACYTYSMVVVPLYDTLGPGSISYIINTADICTVIVDKPHKATLLLEHVER KETPGLKLVILMEPFEDALRERGKKCGVDIKSMQAIEDCGRENHHAPVPPRPDDLSIVCF TSGTTGNPKGAMLTHGNVVADFSGFLKVTESQWAPTCADVHFSYLPLAHMFERMVQSVVY CHGGRVGFFQGDIRLLSDDMKALRPTIFPVVPRLLNRMYDKIFHQADTSLKRWLLEFAAK RKQAE >ENSMUSP00000120693.1 pep:known chromosome:GRCm38:11:54345888:54361528:1 gene:ENSMUSG00000020333.17 transcript:ENSMUST00000139484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acsl6 description:acyl-CoA synthetase long-chain family member 6 [Source:MGI Symbol;Acc:MGI:894291] XGPNVFKGYLKDEDRTKEALDSDGWLHTGDIGKWLPEGTLKIIDRKKHIFKLAQGEYVAP EKIENIYIRSEPVAQIYVHGDSLKAFLVGIVVPDPEVMPSWAQKKGIEGTYQELCMKKES ECHSSK >ENSMUSP00000115840.1 pep:known chromosome:GRCm38:7:144915100:144930748:1 gene:ENSMUSG00000031072.14 transcript:ENSMUST00000128057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oraov1 description:oral cancer overexpressed 1 [Source:MGI Symbol;Acc:MGI:1919534] MKGMRGPGESRDTAMAVEQDIFDAVVMADERFHGEGYQEGYEEGSSLGIVEGKRYGMVHG AKIGSEIGCYRGFALAWKCLLHSGAGEKDSTTLLHWLPGCCTPADFLMSAYLDTISSLPS ASKNQGGKHSSTSMPFGHFLEC >ENSMUSP00000033388.5 pep:known chromosome:GRCm38:7:144915135:144921134:1 gene:ENSMUSG00000031072.14 transcript:ENSMUST00000033388.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oraov1 description:oral cancer overexpressed 1 [Source:MGI Symbol;Acc:MGI:1919534] MKGMRGPGESRDTAMAVEQDIFDAVVMADERFHGEGYQEGYEEGSSLGIVEGKRYGMVHG AKIGSEIGCYRGFALAWKCLLHSGAGEKDSRKMKVVEALIALLQDFPYDDPTYEKLHEDL DRIRGKFRQLCSLLNVQPDFKVTPGGSGLAF >ENSMUSP00000147240.1 pep:known chromosome:GRCm38:7:144915173:144916457:1 gene:ENSMUSG00000031072.14 transcript:ENSMUST00000141737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oraov1 description:oral cancer overexpressed 1 [Source:MGI Symbol;Acc:MGI:1919534] MKGMRGPGESRDTAMAVEQDIFDAVVMADERWAERPGSAPPRQLLGSPRGYPPSPSASCH FFLSFNCRTYGGIHGSADGYVGQAPLDPEKSPGNAWAGRWPRFHGEGYQEG >ENSMUSP00000101515.1 pep:known chromosome:GRCm38:7:144915180:144929495:1 gene:ENSMUSG00000031072.14 transcript:ENSMUST00000105895.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oraov1 description:oral cancer overexpressed 1 [Source:MGI Symbol;Acc:MGI:1919534] MKGMRGPGESRDTAMAVEQDIFDAVVMADERFHGEGYQEGYEEGSSLGIVEGKRYGMVHG AKIGSEIGCYRGFALAWKCLLHSGAGEKDSRKMKVVEALIALLQDFPYDDPTYEKLHEDL DRIRGKFRQYHSAALAAWLLHPSGFPHVSLPGHDKLAPQCF >ENSMUSP00000146778.1 pep:known chromosome:GRCm38:7:144917663:144931701:1 gene:ENSMUSG00000031072.14 transcript:ENSMUST00000207453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oraov1 description:oral cancer overexpressed 1 [Source:MGI Symbol;Acc:MGI:1919534] XAGEKDSRKMKVVEALIALLQDFPYDDPTYEKLHEDLDRIRGKFRQVMPSWFLLPNTSWH QRIPSTLQDRSRWCENRVLSS >ENSMUSP00000076397.3 pep:known chromosome:GRCm38:11:58948920:58949533:1 gene:ENSMUSG00000056895.4 transcript:ENSMUST00000078267.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist3h2ba description:histone cluster 3, H2ba [Source:MGI Symbol;Acc:MGI:1925553] MPEPSRSTPAPKKGSKKAITKAQKKDGKKRKRGRKESYSIYVYKVLKQVHPDTGISSKAM GIMNSFVNDIFERIASEASRLAHYNKRSTITSREVQTAVRLLLPGELAKHAVSEGTKAVT KYTSSK >ENSMUSP00000114557.1 pep:known chromosome:GRCm38:2:30057378:30070303:1 gene:ENSMUSG00000054766.13 transcript:ENSMUST00000134364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Set description:SET nuclear oncogene [Source:MGI Symbol;Acc:MGI:1860267] MAPKRQSAILPQPKKPRPAAAPKLEDKSASPGLPKGEKEQQEAIEHIDEVQNEIDRLNEQ ASEEILKVEQKYNKLRQPFFQKRSELIAKIPNFWVTTFVNHPQVSALLGEEDEEALHYLT RVEVTEFEDIKSGYRIDFYFDENPYFENKVLSKEFHLNESGDPSSKSTEIKWKSGKDLTK RSSQTQNKASRKRQHEEPESFFTWFTDHSDAGADE >ENSMUSP00000119824.1 pep:known chromosome:GRCm38:2:30061754:30069557:1 gene:ENSMUSG00000054766.13 transcript:ENSMUST00000149578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Set description:SET nuclear oncogene [Source:MGI Symbol;Acc:MGI:1860267] MAPKRQSAILPQPKKPRPAAAPKLEDKSASPGLPKGEKEQQEAIEHIDEVQNEIDRLNEQ ASEEILKVEQKYNKLRQPFFQKRSELIAKIPNFWVTTFVNHPQVSALLGEEDEEALHYLT RVEVTEFEDIKSGYRIDFYFDENPYFENKVL >ENSMUSP00000099930.3 pep:known chromosome:GRCm38:2:30061853:30072577:1 gene:ENSMUSG00000054766.13 transcript:ENSMUST00000102866.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Set description:SET nuclear oncogene [Source:MGI Symbol;Acc:MGI:1860267] MAPKRQSAILPQPKKPRPAAAPKLEDKSASPGLPKGEKEQQEAIEHIDEVQNEIDRLNEQ ASEEILKVEQKYNKLRQPFFQKRSELIAKIPNFWVTTFVNHPQVSALLGEEDEEALHYLT RVEVTEFEDIKSGYRIDFYFDENPYFENKVLSKEFHLNESGDPSSKSTEIKWKSGKDLTK RSSQTQNKASRKRQHEEPESFFTWFTDHSDAGADELGEVIKDDIWPNPLQYYLVPDMDDE EGEAEDDDDDDEEEEGLEDIDEEGDEDEGEEDDDEDEGEEGEEDEGEDD >ENSMUSP00000070002.6 pep:known chromosome:GRCm38:2:30066456:30072577:1 gene:ENSMUSG00000054766.13 transcript:ENSMUST00000067996.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Set description:SET nuclear oncogene [Source:MGI Symbol;Acc:MGI:1860267] MSAPTAKASKKELNSNHDGADETSEKEQQEAIEHIDEVQNEIDRLNEQASEEILKVEQKY NKLRQPFFQKRSELIAKIPNFWVTTFVNHPQVSALLGEEDEEALHYLTRVEVTEFEDIKS GYRIDFYFDENPYFENKVLSKEFHLNESGDPSSKSTEIKWKSGKDLTKRSSQTQNKASRK RQHEEPESFFTWFTDHSDAGADELGEVIKDDIWPNPLQYYLVPDMDDEEGEAEDDDDDDE EEEGLEDIDEEGDEDEGEEDDDEDEGEEGEEDEGEDD >ENSMUSP00000097387.2 pep:known chromosome:GRCm38:2:89023087:89024001:-1 gene:ENSMUSG00000101391.1 transcript:ENSMUST00000099799.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1217 description:olfactory receptor 1217 [Source:MGI Symbol;Acc:MGI:3031051] MQNQSFVTEFILLGLSQNLNVEKMLFVLFLFIYIATIGGNMMIVVTIIYSPALLGSPMYF FLAFLSFLDACTSSTVTPKIIVDCFYERKTISFECCMTQLFTVHFFTGAEVIVLASMAYD RYVAICKPLHYSSIMTQRLCGILVVVSWAGGFLHSIIQIIFTLQLPLCGPNVIDHYMCDL FPLLKLACTDTHIYVLLIFANSGAICIIIFSLLIVSYGVILFSLRAQSSEGRRKALSTCG SHITVVLLFFVPCILIYARPTSAFSFEKNMLIFVNVLTPLLNPMVYTFRNKEMINAIKKI WKRF >ENSMUSP00000052995.2 pep:known chromosome:GRCm38:10:130017301:130018361:1 gene:ENSMUSG00000048745.2 transcript:ENSMUST00000059244.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr820 description:olfactory receptor 820 [Source:MGI Symbol;Acc:MGI:3030654] MANHSSVTKFILLGLTNDINLQAVLFLFLILTYILSVMGNSAIILLTLLDHRLQTPMYFF LRNFAFLEISFTSVFVPKMLINIGTGDKTISFAGCFTQYFFAILLGATEFYLLAVMSYDR YVAICRPLHYTTIMSRRLCFQLVLSSWLSGFIVVAVPHAMTLQLPFCASNIINHYCCDYT ILLHLSCSDTHFIEVIQFLLAAVTLILTLLLVILSYTHIIKTILRIPSAQQRKKAFSTCS SHMIVVSLSYGSCIFMYINPSFKDAANFNKRVAVLNTSVAPLLNPFIYTLRNKQVKIAFK DMLSKTISFFKK >ENSMUSP00000137066.1 pep:known chromosome:GRCm38:X:4952135:4954077:1 gene:ENSMUSG00000094860.1 transcript:ENSMUST00000178143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3763 description:predicted gene 3763 [Source:MGI Symbol;Acc:MGI:3781937] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKLKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSHIKIRALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000142387.1 pep:known chromosome:GRCm38:3:121758774:121815166:-1 gene:ENSMUSG00000028127.10 transcript:ENSMUST00000197383.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcd3 description:ATP-binding cassette, sub-family D (ALD), member 3 [Source:MGI Symbol;Acc:MGI:1349216] MAAFSKYLTARNTSLAGAAFLLLCLLHKRRRALGLHGKKSGKPPLQNNEKEGKKERAVVD KVFLSRLSQILKIMVPRTFCKETGYLLLIAVMLVSRTYCDVWMIQNGTLIESGIIGRSSK DFKRYLFNFIAAMPLISLVNNFLKYGLNELKLCFRVRLTRYLYEEYLQAFTYYKMGNLDN RIANPDQLLTQDVEKFCNSVVDLYSNLSKPFLDIVLYIFKLTSAIGAQGPASMMAYLLVS GLFLTRLRRPIGKMTIMEQKYEGEYRYVNSRLITNSFTARITELMQVLKDLNHGRYERTM VSQQEKGIEGAQASPLVPGAGEIINTDNIIKFDHVPLATPNGDILIQDLSFEVRSGANVL ICGPNGCGKSSLFRVLGELWPLFGGRLTKPERGKLFYVPQRPYMTLGTLRDQVIYPDGKE DQKKRGISDQVLKEYLDNVQLGHILEREGGWDSVQDWMDVLSGGEKQRMAMARLFYHKPQ FAILDECTSAVSVDVEDYIYSHCRKVGITLFTVSHRKSLWKHHEYYLHMDGRGNYEFKKI TEDTVEFGS >ENSMUSP00000029770.5 pep:known chromosome:GRCm38:3:121758907:121815302:-1 gene:ENSMUSG00000028127.10 transcript:ENSMUST00000029770.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcd3 description:ATP-binding cassette, sub-family D (ALD), member 3 [Source:MGI Symbol;Acc:MGI:1349216] MAAFSKYLTARNTSLAGAAFLLLCLLHKRRRALGLHGKKSGKPPLQNNEKEGKKERAVVD KVFLSRLSQILKIMVPRTFCKETGYLLLIAVMLVSRTYCDVWMIQNGTLIESGIIGRSSK DFKRYLFNFIAAMPLISLVNNFLKYGLNELKLCFRVRLTRYLYEEYLQAFTYYKMGNLDN RIANPDQLLTQDVEKFCNSVVDLYSNLSKPFLDIVLYIFKLTSAIGAQGPASMMAYLLVS GLFLTRLRRPIGKMTIMEQKYEGEYRYVNSRLITNSEEIAFYNGNKREKQTIHSVFRKLV EHLHNFIFFRFSMGFIDSIIAKYVATVVGYLVVSRPFLDLAHPRHLHSTHSELLEDYYQS GRMLLRMSQALGRIVLAGREMTRLAGFTARITELMQVLKDLNHGRYERTMVSQQEKGIEG AQASPLVPGAGEIINTDNIIKFDHVPLATPNGDILIQDLSFEVRSGANVLICGPNGCGKS SLFRVLGELWPLFGGRLTKPERGKLFYVPQRPYMTLGTLRDQVIYPDGKEDQKKRGISDQ VLKEYLDNVQLGHILEREGGWDSVQDWMDVLSGGEKQRMAMARLFYHKPQFAILDECTSA VSVDVEDYIYSHCRKVGITLFTVSHRKSLWKHHEYYLHMDGRGNYEFKKITEDTVEFGS >ENSMUSP00000143487.1 pep:known chromosome:GRCm38:3:121759756:121815166:-1 gene:ENSMUSG00000028127.10 transcript:ENSMUST00000197662.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcd3 description:ATP-binding cassette, sub-family D (ALD), member 3 [Source:MGI Symbol;Acc:MGI:1349216] MAAFSKYLTARNTSLAGAAFLLLCLLHKRRRALGLHGKKERKSELWWTKCFYQGSHRS >ENSMUSP00000137210.2 pep:known chromosome:GRCm38:7:24862213:24862697:1 gene:ENSMUSG00000091955.2 transcript:ENSMUST00000170837.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9844 description:predicted pseudogene 9844 [Source:MGI Symbol;Acc:MGI:3704288] MADKPDMGEIASFDKAKLKKTETQEKNTLPTKETIEQEKRSEIS >ENSMUSP00000057905.8 pep:known chromosome:GRCm38:12:69184157:69186770:1 gene:ENSMUSG00000043998.10 transcript:ENSMUST00000060579.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mgat2 description:mannoside acetylglucosaminyltransferase 2 [Source:MGI Symbol;Acc:MGI:2384966] MRFRIYKRKVLILTLVVAACGFVLWSSNGRQRKSDALGPPLLDAEPVRGAGHLAVSVGIR RVSNESAAPLVPAVPRPEVDNLTLRYRSLVYQLNFDQMLRNVGNDGTWSPGELVLVVQVH NRPEYLRLLIDSLRKAQGIQEVLVIFSHDFWSAEINSLISRVDFCPVLQVFFPFSIQLYP NEFPGSDPRDCPRDLKKNAALKLGCINAEYPDSFGHYREAKFSQTKHHWWWKLHFVWERV KVLQDYTGLILFLEEDHYLAPDFYHVFKKMWKLKQQECPGCDVLSLGTYTTIRSFYGIAD KVDVKTWKSTEHNMGLALTRDAYQKLIECTDTFCTYDDYNWDWTLQYLTLACLPKIWKVL VPQAPRIFHAGDCGMHHKKTCRPSTQSAQIESLLNSNKQYLFPETLVIGEKFPMAAISPP RKNGGWGDIRDHELCKSYRRLQ >ENSMUSP00000028119.6 pep:known chromosome:GRCm38:2:23115606:23156024:-1 gene:ENSMUSG00000026779.6 transcript:ENSMUST00000028119.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mastl description:microtubule associated serine/threonine kinase-like [Source:MGI Symbol;Acc:MGI:1914371] MESASASEENEGGAAIEECVSRIPVPRPPSIEEFTIVKPISRGAFGKVYLGQKGGKLYAV KVVKKADMINKNMTHQVQAERDALALSKSPFVVHLYYSLQSASNIYLIMEYLIGGDVKSL LHIYGYFDEEMAIKYISEVALALDYLHRHGIIHRDLKPDNMLISNEGHIKLTDFGLSKVT LNRDINMMDILTTPSMSKPKQDYSRTPGQVLSLISSLGFFTPVGEKDQDSANMFSAPKSA AQLSRGFICPMSVDQKEPTSYSSKLLKSCFETLSSNPEIPVKCLTSNLLQCRKRLGTSST SSQSHTFVSSVESECHSNPKWERDCQSTESSGCAMSWNAVEMLYAKSTSAIKTKTELELA LSPIHDSSAIPAAGSNQVTLPRKCFREISWEARDPDNENMTIDKGQSGFCQSSQRSVNSS ATSEEHLGKRNYKRNFHLVDSSPCQEIMQSKKNCTEYEANKERQGCRANQSTGLTTEVQN LKLSGCESQQLDYANKENIVTYLTDRQTPEKLHIPTIAKNLMSELDEDCELSSKKDCLSS NSVCSDEDRALKTTCVDSDSSFPGVSMMESSLEIQALEPDKSIRDYSFEEPNTEDLFVLP KCQENSLPQDDCHACIQDSSQVSAHPSKAPKALTSKINVVAFRSFNSHINASTNSEPSKI SITSLDAMDISCDYSGSYPMAVSPTEKGRHYTSHQTPNQVKLGTSYRTPKSVRRGAAPVD DGRILGTPDYLAPELLLGTAHGPAVDWWALGVCLFEFLTGIPPFNDETPQQVFQNILKRD IPWPEGEEKLSDNAQSAMDMLLTIDDSKRAGMRELKQHPLFSEVDWENLQHQTMPFVPQP DDETDTSYFEARNNAQHLTISGFSL >ENSMUSP00000104314.2 pep:known chromosome:GRCm38:11:68209364:68400823:-1 gene:ENSMUSG00000020902.12 transcript:ENSMUST00000108674.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntn1 description:netrin 1 [Source:MGI Symbol;Acc:MGI:105088] MMRAVWEALAALAAVACLVGAVRGGPGLSMFAGQAAQPDPCSDENGHPRRCIPDFVNAAF GKDVRVSSTCGRPPARYCVVSERGEERLRSCHLCNSSDPKKAHPPAFLTDLNNPHNLTCW QSENYLQFPHNVTLTLSLGKKFEVTYVSLQFCSPRPESMAIYKSMDYGRTWVPFQFYSTQ CRKMYNRPHRAPITKQNEQEAVCTDSHTDMRPLSGGLIAFSTLDGRPSAHDFDNSPVLQD WVTATDIRVAFSRLHTFGDENEDDSELARDSYYYAVSDLQVGGRCKCNGHAARCVRDRDD SLVCDCRHNTAGPECDRCKPFHYDRPWQRATAREANECVACNCNLHARRCRFNMELYKLS GRKSGGVCLNCRHNTAGRHCHYCKEGFYRDMGKPITHRKACKACDCHPVGAAGKTCNQTT GQCPCKDGVTGITCNRCAKGYQQSRSPIAPCIKIPVAPPTTAASSVEEPEDCDSYCKASK GKLKMNMKKYCRKDYAVQIHILKADKAGDWWKFTVNIISVYKQGTSRIRRGDQSLWIRSR DIACKCPKIKPLKKYLLLGNAEDSPDQSGIVADKSSLVIQWRDTWARRLRKFQQREKKGK CKKA >ENSMUSP00000121193.1 pep:known chromosome:GRCm38:11:68385644:68387012:-1 gene:ENSMUSG00000020902.12 transcript:ENSMUST00000135141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntn1 description:netrin 1 [Source:MGI Symbol;Acc:MGI:105088] MMRAVWEALAALAAVACLVGAVRGGPGLSMFAGQAAQPDPCSDENGHPRRCIPDFVNAAF GKDVRVSSTCGRPPARYCVVSERGEERLRSCHLCNSSDPKKAHPPAFLTDLNNPHNLTCW QSENYLQFPHNVTLTLSLGKKFEVTYVSLQFCSPRPESM >ENSMUSP00000021284.2 pep:known chromosome:GRCm38:11:68209364:68386826:-1 gene:ENSMUSG00000020902.12 transcript:ENSMUST00000021284.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ntn1 description:netrin 1 [Source:MGI Symbol;Acc:MGI:105088] MMRAVWEALAALAAVACLVGAVRGGPGLSMFAGQAAQPDPCSDENGHPRRCIPDFVNAAF GKDVRVSSTCGRPPARYCVVSERGEERLRSCHLCNSSDPKKAHPPAFLTDLNNPHNLTCW QSENYLQFPHNVTLTLSLGKKFEVTYVSLQFCSPRPESMAIYKSMDYGRTWVPFQFYSTQ CRKMYNRPHRAPITKQNEQEAVCTDSHTDMRPLSGGLIAFSTLDGRPSAHDFDNSPVLQD WVTATDIRVAFSRLHTFGDENEDDSELARDSYYYAVSDLQVGGRCKCNGHAARCVRDRDD SLVCDCRHNTAGPECDRCKPFHYDRPWQRATAREANECVACNCNLHARRCRFNMELYKLS GRKSGGVCLNCRHNTAGRHCHYCKEGFYRDMGKPITHRKACKACDCHPVGAAGKTCNQTT GQCPCKDGVTGITCNRCAKGYQQSRSPIAPCIKIPVAPPTTAASSVEEPEDCDSYCKASK GKLKMNMKKYCRKDYAVQIHILKADKAGDWWKFTVNIISVYKQGTSRIRRGDQSLWIRSR DIACKCPKIKPLKKYLLLGNAEDSPDQSGIVADKSSLVIQWRDTWARRLRKFQQREKKGK CKKA >ENSMUSP00000046683.7 pep:known chromosome:GRCm38:2:154223742:154240902:1 gene:ENSMUSG00000038572.7 transcript:ENSMUST00000045959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb5 description:BPI fold containing family B, member 5 [Source:MGI Symbol;Acc:MGI:2385160] MLLLWALLLCWGVLPQARGATHTHLFLRINNSQLETDISNLLSENQVLEGMIRMAVTGSP SEGVSVMDSLPFVREELVDKKSGLDLSLVGDLLSGKTMPELKKLLTTAGLVIEDAKGPEV TLQILSDSLLQITLRCKLYLSFLEILWLEAIKNIRIGVRLEQIGNKTQVAFEECHTPPGS LNIKILKRSDTLLPNQLLKLVTNTLEKSLPFLLQKIVCPVATNLINFLLEDILHIILPPI ISGPDDFQYYVTTTEFTEGAILMRVALVTPCGPHQRAPRSEHMVPPPLPGLSQNSMADLA FWLEIYNDILACLYTSQEISVEPQDSSETDLWELLSLSSPQSQPKAYNLTRGSLGLIISA PNPPTVLLDGRRTTVTQQGLLMLHGTNASSDAVAWQLLCRGEFSLRSQKQQLQFAPHGAV VTLGPYPTIIKEQEERLKTLLLAVLKRWFLPHHNEWLREHSLPLPNIKGVSFSLAQMDFS EDYILLTVPE >ENSMUSP00000024831.6 pep:known chromosome:GRCm38:17:31161396:31165053:-1 gene:ENSMUSG00000024032.6 transcript:ENSMUST00000024831.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tff1 description:trefoil factor 1 [Source:MGI Symbol;Acc:MGI:88135] MEHKVICVLAVVLMLAFGSLAQAQAQAQAQEETCIMAPRERINCGFPGVTAQQCTERGCC FDDSVRGFPWCFHPMAIENTQEEECPF >ENSMUSP00000139717.1 pep:known chromosome:GRCm38:4:115242084:115254557:1 gene:ENSMUSG00000083138.3 transcript:ENSMUST00000118278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a29 description:cytochrome P450, family 4, subfamily a, polypeptide 29 [Source:MGI Symbol;Acc:MGI:3717143] MSISALSPIRFLECVSGFLQVIFLIGLLLLLFKAAQHYLRRQWLLRALYQFPSPPSHWLF GHCLQFKVDEELRWILRYLEKFPGAFLHWIWGSHVFIKVCDPDYMKVILGRADPKASLYR FLTPWLGHGLFFLNGDEWFQHRRLLTPAFHYDILKPYVGIMADSVQVMLEKWEQIACQDI TLEIFHPISLMMLDTIMKYAFSYQGSVQLDRSSQAYLQAVSDLNNLVTSRMKNVFLHNDI IYNLTSHSRRTNSACQIAHEHTDRVVKLRKAQLQDNKSMKKLRGKRCLDFLDILLLSRMD DGSSLSDKALRAEVDSLMFGGHDTPASGISWVFYALATHPDHQQRCREEVQSLLGDGSPI TWDHLHQMPYTTMCIKEALRLYPPIPSVGRKLSTPVTFPDGRSLPKGITVLLHFYALHHN PKVWPNPEVFDPSRFAMNSVQHSHAFLPFSGGSRNCIGKHLAMNVLKVAVALTLLRFELL PDPSRVPIPTQQLVLKSKNGIYLHLRKLQ >ENSMUSP00000116333.1 pep:known chromosome:GRCm38:4:128654738:128712194:1 gene:ENSMUSG00000028796.17 transcript:ENSMUST00000136377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc2 description:polyhomeotic-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1860454] MENELPVPHTSNRASVTTNTSGTNSSSGCISSSGGGGGSGGRPTAPQISVYSGIPDRQTV QVIQQALHRQPSTAAQYLQQMYAAQQQHLMLQTAALQQQHLSSAQLQSLAAVQQASLVAN RQGSTPGSSVSSQAPAQSSSLNLAASPAAAQLINRAQSVNSAAASGLAQQAVLLGNTSSP ALTASQAQMYLRAQMLIFTPTATVATVQPELCTGSPARPPTPAQVQNLTLRTQQTPAAAA SGPPPTQPVLPSLALKPTPSSSQPLPAPP >ENSMUSP00000101690.1 pep:known chromosome:GRCm38:4:128688795:128752881:1 gene:ENSMUSG00000028796.17 transcript:ENSMUST00000106080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc2 description:polyhomeotic-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1860454] MENELPVPHTSNRASVTTNTSGTNSSSGCISSSGGGGGSGGRPTAPQISVYSGIPDRQTV QVIQQALHRQPSTAAQYLQQMYAAQQQHLMLQTAALQQQHLSSAQLQSLAAVQQASLVAN RQGSTPGSSVSSQAPAQSSSLNLAASPAAAQLINRAQSVNSAAASGLAQQAVLLGNTSSP ALTASQAQMYLRAQMLIFTPTATVATVQPELCTGSPARPPTPAQVQNLTLRTQQTPAAAA SGPPPTQPVLPSLALKPTPSSSQPLPAPPQGRTMAQGSPAGAKPSGTDNAPETLKAGDGN CNMEGRPGPGRAVPAVATHPLIAPAYAHLQSHQLLPQPPAKHPQPQFVAQQQPQPPRPAP QVQSQPQLASVSPSLALQSSPEDHALPLGSVTQALPLQCSTTHVHKPGNSQQCHLPTLDT GSQNGHPEGGSHPPQRRFQHTSAVILQVQPASPVTPQQCAPDDWKEVVPAEKSVPVARPG PSPHQQAIIPAIPGGLPGPKSPNIQQCPAHETGQGIVHALTDLSSPGMTSGNGNSASSIA GTAPQNGENKPPQAIVKPQILTHVIEGFVIQEGAEPFPVGRSSLLVGNLKKKYAQGFLPE KPPQQDHTTTTDSEMEEPYLQESKEEGTPLKLKCELCGRVDFAYKFKRSKRFCSMACAKR YNVGCTKRVGLFHSDRSKLQKAGTTTHNRRRASKASLPTLTKDTKKQPSGTVPLSVTAAL QLAHSQEDSSRCSDNSSYEEPLSPISASSSTSRRRQGQRDLDLPDMHMRDLVGVGHHFLP SEPTKWNVEDVYEFIRSLPGCQEIAEEFRAQEIDGQALLLLKEDHLMSAMNIKLGPALKI YARISMLKDS >ENSMUSP00000120468.1 pep:known chromosome:GRCm38:4:128727581:128752881:1 gene:ENSMUSG00000028796.17 transcript:ENSMUST00000143632.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phc2 description:polyhomeotic-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1860454] MTSGNGNSASSIAGTAPQNGGTLVPAGRESQKEVCTGVLA >ENSMUSP00000101689.3 pep:known chromosome:GRCm38:4:128727585:128752881:1 gene:ENSMUSG00000028796.17 transcript:ENSMUST00000106079.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc2 description:polyhomeotic-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1860454] MTSGNGNSASSIAGTAPQNGENKPPQAIVKPQILTHVIEGFVIQEGAEPFPVGRSSLLVG NLKKKYAQGFLPEKPPQQDHTTTTDSEMEEPYLQESKEEGTPLKLKCELCGRVDFAYKFK RSKRFCSMACAKRYNVGCTKRVGLFHSDRSKLQKAGTTTHNRRRASKASLPTLTKDTKKQ PSGTVPLSVTAALQLAHSQEDSSRCSDNSSYEEPLSPISASSSTSRRRQGQRDLDLPDMH MRDLVGVGHHFLPSEPTKWNVEDVYEFIRSLPGCQEIAEEFRAQEIDGQALLLLKEDHLM SAMNIKLGPALKIYARISMLKDS >ENSMUSP00000117688.1 pep:known chromosome:GRCm38:4:128727682:128748217:1 gene:ENSMUSG00000028796.17 transcript:ENSMUST00000138445.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phc2 description:polyhomeotic-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1860454] MTSGNGNSASSIAGTAPQNGGTLVPAGRESQKEVCTGVLA >ENSMUSP00000117163.1 pep:known chromosome:GRCm38:4:128727710:128745008:1 gene:ENSMUSG00000028796.17 transcript:ENSMUST00000133439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc2 description:polyhomeotic-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1860454] MTSGNGNSASSIAGTAPQNGENKPPQAIVKPQILTHVIEGFVIQEGAEPFPVG >ENSMUSP00000118298.1 pep:known chromosome:GRCm38:4:128727933:128748074:1 gene:ENSMUSG00000028796.17 transcript:ENSMUST00000147572.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc2 description:polyhomeotic-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1860454] MTSGNGNSASSIAGTAPQNGENKPPQAIVKPQILTHVIEGFVIQEGAEPFPVGRSSLLVG NLKKKYAQGFLPEKPPQQDHTTTTDSEMEEPYLQESKEEGTPLKLKCELCGRVDFAYKFK RSKRFCSMACAKRYNVGCTKRVGLFHSDRSKLQKAGTTTHNRRRASKASLPTLTKDTKKQ PSGTVPLSVTAALQLAHSQEDS >ENSMUSP00000113875.1 pep:known chromosome:GRCm38:4:128727971:128743471:1 gene:ENSMUSG00000028796.17 transcript:ENSMUST00000120946.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc2 description:polyhomeotic-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1860454] MTSGNGNSASSIAGTAPQNGEN >ENSMUSP00000123307.1 pep:known chromosome:GRCm38:4:128727982:128747132:1 gene:ENSMUSG00000028796.17 transcript:ENSMUST00000134421.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc2 description:polyhomeotic-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1860454] MTSGNGNSASSIAGTAPQNGENKPPQAIVKPQILTHVIEGFVIQEGAEPFPVGRSSLLVG NLKKKYAQGFLPEKPPQQDHTTTTDSEMEEPYLQESKEEGTPLKLKCELCGRVDFAYKFK RSKRFCSMACAKRYNVGCTKRVG >ENSMUSP00000030588.6 pep:known chromosome:GRCm38:4:128654702:128752881:1 gene:ENSMUSG00000028796.17 transcript:ENSMUST00000030588.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc2 description:polyhomeotic-like 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1860454] MENELPVPHTSNRASVTTNTSGTNSSSGCISSSGGGGGSGGRPTAPQISVYSGIPDRQTV QVIQQALHRQPSTAAQYLQQMYAAQQQHLMLQTAALQQQHLSSAQLQSLAAVQQASLVAN RQGSTPGSSVSSQAPAQSSSLNLAASPAAAQLINRAQSVNSAAASGLAQQAVLLGNTSSP ALTASQAQMYLRAQMLIFTPTATVATVQPELCTGSPARPPTPAQVQNLTLRTQQTPAAAA SGPPPTQPVLPSLALKPTPSSSQPLPAPPQGRTMAQGSPAGAKPSGTDNAPETLKAGDGN CNMEGRPGPGRAVPAVATHPLIAPAYAHLQSHQLLPQPPAKHPQPQFVAQQQPQPPRPAP QVQSQPQLASVSPSLALQSSPEDHALPLGSVTQALPLQCSTTHVHKPGNSQQCHLPTLDT GSQNGHPEGGSHPPQRRFQHTSAVILQVQPASPVTPQQCAPDDWKEVVPAEKSVPVARPG PSPHQQAIIPAIPGGLPGPKSPNIQQCPAHETGQGIVHALTDLSSPGMTSGNGNSASSIA GTAPQNGENKPPQAIVKPQILTHVIEGFVIQEGAEPFPVGRSSLLVGNLKKKYAQGFLPE KPPQQDHTTTTDSEMEEPYLQESKEEGTPLKLKCELCGRVDFAYKFKRSKRFCSMACAKR YNVGCTKRVGLFHSDRSKLQKAGTTTHNRRRASKASLPTLTKDTKKQPSGTVPLSVTAAL QLAHSQEDSSRCSDNSSYEEPLSPISASSSTSRRRQGQRDLDLPDMHMRDLVGVGHHFLP SEPTKWNVEDVYEFIRSLPGCQEIAEEFRAQEIDGQALLLLKEDHLMSAMNIKLGPALKI YARISMLKDS >ENSMUSP00000097386.1 pep:known chromosome:GRCm38:2:89054489:89055424:-1 gene:ENSMUSG00000075105.1 transcript:ENSMUST00000099798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1218 description:olfactory receptor 1218 [Source:MGI Symbol;Acc:MGI:3031052] MQNQSFVTEFIILGLSQNPIVEKILFFVLLLVYLATIGGNIIIVVTIMYSPALLGSPMYF FLAFLSFLDLCVSSTVIPKMIVDFFYEKKTISFGCCMMQLFSVHFFSGTEMIVLAAMAYD RYVAICKPLHYFSILTRRLCSILVAISWAGGFLHSIIQVIFTLQLPLCGPNVIDHYTCDL FPLLKLACTDTHIFVLLVFANSGAICIIIFSLLLVSYGVILFSLRAHSSEGRRKALSTCG AHVTVVVLFLVPCILIYARDTSAFSFEKHTLLFVNVLTPLLNPTVYTFRNKEMINAIRKM CKRLKMIFVRF >ENSMUSP00000052585.3 pep:known chromosome:GRCm38:16:25286817:25422344:1 gene:ENSMUSG00000048399.4 transcript:ENSMUST00000056087.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tprg description:transformation related protein 63 regulated [Source:MGI Symbol;Acc:MGI:1918588] MSTIGSFDGFQPVSLKQEEEDQPSENDHLSTKEGNSGKDPGSRRISRQQSITKATLYPNP YRQPYVSRKYFVTRPGAIETAVEDLKGHIAQTSGETVQGFWLLTEIDHWNNEKEKILLLT DKTLLICKYDFIMLSCVQVQQVPLNAICCICLGKFVFPGMSLDKRPGDGLRIFWGSTEGW SLLSRWNPWSTEVPYATFTEHPMKQTSEKFLEICKLSEFTSKLVPAIENAHKNSAGSGSG KELVVLTQPILIETYTGLMSFIGNRNKLGYSLARGSIGF >ENSMUSP00000023343.3 pep:known chromosome:GRCm38:16:45158785:45188538:1 gene:ENSMUSG00000022663.3 transcript:ENSMUST00000023343.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg3 description:autophagy related 3 [Source:MGI Symbol;Acc:MGI:1915091] MQNVINTVKGKALEVAEYLTPVLKESKFKETGVITPEEFVAAGDHLVHHCPTWQWATGEE LKVKAYLPTDKQFLVTKNVPCYKRCKQMEYSDELEAIIEEDDGDGGWVDTYHNTGITGIT EAVKEITLESKDSIKLQDCSALCDEEDEEDEGEAADMEEYEESGLLETDEATLDTRKIVE ACKAKADAGGEDAILQTRTYDLYITYDKYYQTPRLWLFGYDEQRQPLTVEHMYEDISQDH VKKTVTIENHPHLPPPPMCSVHPCRHAEVMKKIIETVAEGGGELGVHMYLLIFLKFVQAV IPTIEYDYTRHFTM >ENSMUSP00000097385.1 pep:known chromosome:GRCm38:2:89074154:89075089:-1 gene:ENSMUSG00000075104.1 transcript:ENSMUST00000099797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1219 description:olfactory receptor 1219 [Source:MGI Symbol;Acc:MGI:3031053] MQNQSFVTEFILLGLSQNLYVEKILFVLFLLIYLATIGGNMIIVVTIIYSPALLGSPMYF FLIFLSLLDALTSSTVTPKIIVDCFYERKTISFECCMTQLFTVHFFTGAEVIVLASMAYD RYVAICKPLHYSSIMTRRLCGILVVVSWAGGFLHSIIQIIFTLQLPFCGPNVIDHYMCDL FPLLKLACTDTHIYVLLIFANSGAICIIIFSLLLVSYGIILFSLRAHSSEGRRKALSTCG SHIIVVLLCFVPCLLIYARPTSAFSFEKNMLIFINVLTPLLNPMVYTFRNKEMKNAIGKM WKRLIVVSDKF >ENSMUSP00000105007.1 pep:known chromosome:GRCm38:15:88687300:88734233:-1 gene:ENSMUSG00000022387.16 transcript:ENSMUST00000109381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd1 description:bromodomain containing 1 [Source:MGI Symbol;Acc:MGI:1924161] MRRKGRCHRGSAARHPSSPCSIKHSPTRETLTYAQAQRMVEIEIEGRLHRISIFDPLEII LEDDLTAQEMSECNSNKENSERPPVCLRTKRHKNNRVKKKNEVLPSTHGTPASASALPEP KVRIVEYSPPSAPRRPPVYYKFIEKSAEELDNEVEYDMDEEDYAWLEIINEKRKGDCVSA VSQNMFEFLMDRFEKESYCENQKQGEQQSLIDEDAVCCICMDGECQNSNVILFCDMCNLA VHQECYGVPYIPEGQWLCRHCLQSRARPADCVLCPNKGGAFKKTDDDRWGHVVCALWIPE VGFANTVFIEPIDGVRNIPPARWKLTCYLCKQKGVGACIQCHKANCYTAFHVTCAQKAGL YMKMEPVKELTGGSATFSVRKTAYCDVHTPPGCTRRPLNIYGDVEMKNGVCRKESSVKTV RSTSKVRKKAKKAKKTLAEPCAVLPTVCAPYIPPQRLNRIANQVAIQRKKQFVERAHSYW LLKRLSRNGAPLLRRLQSSLQSQRNTQQRENDEEMKAAKEKLKYWQRLRHDLERARLLIE LLRKREKLKREQVKVEQMAMELRLTPLTVLLRSVLEQLQEKDPAKIFAQPVSLKEVPDYL DHIKHPMDFATMRKRLEAQGYKNLHAFEEDFNLIVDNCMKYNAKDTVFYRAAVRLRDQGG VVLRQARREVESIGLEEASGMHLPERPIAAPRRPFSWEEVDRLLDPANRAHMSLEEQLRE LLDKLDLTCSMKSSGSRSKRAKLLKKEIALLRNKLSQQHSQAPPTGAGTGGFEDEAAPLA PDTAEEGANSPPKLEPSDALPLPSNSETNSEPPTLNPVELHPEQSKLFKRVTFDNESHST CTQSALVSGHPPEPTLASSGDVPAAAASAVAEPSSDVNRRTSVLFCKSKSVSPPKSAKNT ETQPTSPQLGTKTFLSVVLPRLETLLQPRKRSRSTCGDSEVEEESPGKRLDTGLTNGFGG ARSEQEPGGGPGRKAAPRRRCASESSICSSNSPLCDSSFSTPKCGRGKPALVRRHTLEDR SELISCIENGNYAKAARIAAEVGQSNMWISTDAAASVLEPLKVVWAKCSGYPSYPALIID PKMPRVPGHHNGVTIPAPPLDVLKIGEHMQTKSEEKLFLVLFFDNKRSWQWLPKSKMVPL GVDETIDKLKMMEGRNSSIRKAVRIAFDRAMNHLSRVHGEPASDLSDID >ENSMUSP00000105006.1 pep:known chromosome:GRCm38:15:88688296:88730771:-1 gene:ENSMUSG00000022387.16 transcript:ENSMUST00000109380.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd1 description:bromodomain containing 1 [Source:MGI Symbol;Acc:MGI:1924161] MRRKGRCHRGSAARHPSSPCSIKHSPTRETLTYAQAQRMVEIEIEGRLHRISIFDPLEII LEDDLTAQEMSECNSNKENSERPPVCLRTKRHKNNRVKKKNEVLPSTHGTPASASALPEP KVRIVEYSPPSAPRRPPVYYKFIEKSAEELDNEVEYDMDEEDYAWLEIINEKRKGDCVSA VSQNMFEFLMDRFEKESYCENQKQGEQQSLIDEDAVCCICMDGECQNSNVILFCDMCNLA VHQECYGVPYIPEGQWLCRHCLQSRARPADCVLCPNKGGAFKKTDDDRWGHVVCALWIPE VGFANTVFIEPIDGVRNIPPARWKLTCYLCKQKGVGACIQCHKANCYTAFHVTCAQKAGL YMKMEPVKELTGGSATFSVRKTAYCDVHTPPGCTRRPLNIYGDVEMKNGVCRKESSVKTV RSTSKVRKKAKKAKKTLAEPCAVLPTVCAPYIPPQRLNRIANQVAIQRKKQFVERAHSYW LLKRLSRNGAPLLRRLQSSLQSQRNTQQRENDEEMKAAKEKLKYWQRLRHDLERARLLIE LLRKREKLKREQVKVEQMAMELRLTPLTVLLRSVLEQLQEKDPAKIFAQPVSLKEVPDYL DHIKHPMDFATMRKRLEAQGYKNLHAFEEDFNLIVDNCMKYNAKDTVFYRAAVRLRDQGG VVLRQARREVESIGLEEASGMHLPERPIAAPRRPFSWEEVDRLLDPANRAHMSLEEQLRE LLDKLDLTCSMKSSGSRSKRAKLLKKEIALLRNKLSQQHSQAPPTGAGTGGFEDEAAPLA PDTAEEVLPRLETLLQPRKRSRSTCGDSEVEEESPGKRLDTGLTNGFGGARSEQEPGGGP GRKAAPRRRCASESSICSSNSPLCDSSFSTPKCGRGKPALVRRHTLEDRSELISCIENGN YAKAARIAAEVGQSNMWISTDAAASVLEPLKVVWAKCSGYPSYPALIIDPKMPRVPGHHN GVTIPAPPLDVLKIGEHMQTKSEEKLFLVLFFDNKRSWQWLPKSKMVPLGVDETIDKLKM MEGRNSSIRKAVRIAFDRAMNHLSRVHGEPASDLSDID >ENSMUSP00000086300.3 pep:known chromosome:GRCm38:15:88698851:88734230:-1 gene:ENSMUSG00000022387.16 transcript:ENSMUST00000088911.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brd1 description:bromodomain containing 1 [Source:MGI Symbol;Acc:MGI:1924161] MRRKGRCHRGSAARHPSSPCSIKHSPTRETLTYAQAQRMVEIEIEGRLHRISIFDPLEII LEDDLTAQEMSECNSNKENSERPPVCLRTKRHKNNRVKKKNEVLPSTHGTPASASALPEP KVRIVEYSPPSAPRRPPVYYKFIEKSAEELDNEVEYDMDEEDYAWLEIINEKRKGDCVSA VSQNMFEFLMDRFEKESYCENQKQGEQQSLIDEDAVCCICMDGECQNSNVILFCDMCNLA VHQECYGVPYIPEGQWLCRHCLQSRARPADCVLCPNKGGAFKKTDDDRWGHVVCALWIPE VGFANTVFIEPIDGVRNIPPARWKLTCYLCKQKGVGACIQCHKANCYTAFHVTCAQKAGL YMKMEPVKELTGGSATFSVRKTAYCDVHTPPGCTRRPLNIYGDVEMKNGVCRKESSVKTV RSTSKVRKKAKKAKKTLAEPCAVLPTVCAPYIPPQRLNRIANQVAIQRKKQFVERAHSYW LLKRLSRNGAPLLRRLQSSLQSQRNTQQRENDEEMKAAKEKLKYWQRLRHDLERARLLIE LLRKREKLKREQVKVEQMAMELRLTPLTVLLRSVLEQLQEKDPAKIFAQPVSLKEVPDYL DHIKHPMDFATMRKRLEAQGYKNLHAFEEDFNLIVDNCMKYNAKDTVFYRAAVRLRDQGG VVLRQARREVESIGLEEASGMHLPERPIAAPRRPFSWEEVDRLLDPANRAHMSLEEQLRE LLDKLDLTCSMKSSGSRSKRAKLLKKEIALLRNKLSQQHSQAPPTGAGTGGFEDEAAPLA PDTAEEVLPRLETLLQPRKRSRSTCGDSEVEEESPGKRLDTGKWQGQLSPRAHNRKPWCS AFVFAASSHSCQHVSDSRFGISTSLEV >ENSMUSP00000028533.6 pep:known chromosome:GRCm38:2:107056140:107059656:-1 gene:ENSMUSG00000027120.6 transcript:ENSMUST00000028533.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fshb description:follicle stimulating hormone beta [Source:MGI Symbol;Acc:MGI:95582] MMKLIQLCILFWCWRAICCHSCELTNITISVEKEECRFCISINTTWCAGYCYTRDLVYKD PARPNTQKVCTFKELVYETVRLPGCARHSDSLYTYPVATECHCGKCDSDSTDCTVRGLGP SYCSFSEMKE >ENSMUSP00000012798.7 pep:known chromosome:GRCm38:7:43351341:43359470:1 gene:ENSMUSG00000039013.16 transcript:ENSMUST00000012798.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglecf description:sialic acid binding Ig-like lectin F [Source:MGI Symbol;Acc:MGI:2681107] MRWAWLLPLLWAGCLATDGYSLSVTGSVTVQEGLCVFVACQVQYPNSKGPVFGYWFREGA NIFSGSPVATNDPQRSVLKEAQGRFYLMGKENSHNCSLDIRDAQKIDTGTYFFRLDGSVK YSFQKSMLSVLVIALTEVPNIQVTSTLVSGNSTKLLCSVPWACEQGTPPIFSWMSSALTS LGHRTTLSSELNLTPRPQDNGTNLTCQVNLPGTGVTVERTQQLSVIYAPQKMTIRVSWGD DTGTKVLQSGASLQIQEGESLSLVCMADSNPPAVLSWERPTQKPFQLSTPAELQLPRAEL EDQGKYICQAQNSQGAQTASVSLSIRSLLQLLGPSCSFEGQGLHCSCSSRAWPAPSLRWR LGEGVLEGNSSNGSFTVKSSSAGQWANSSLILSMEFSSNHRLSCEAWSDNRVQRATILLV SGPKVSQAGKSETSRGTVLGAIWGAGLMALLAVCLCLIFFTVKVLRKKSALKVAATKGNH LAKNPASTINSASITSSNIALGYPIQGHLNEPGSQTQKEQPPLATVPDTQKDEPELHYAS LSFQGPMPPKPQNTEAMKSVYTEIKIHKC >ENSMUSP00000113245.1 pep:known chromosome:GRCm38:7:43351408:43359531:1 gene:ENSMUSG00000039013.16 transcript:ENSMUST00000122423.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglecf description:sialic acid binding Ig-like lectin F [Source:MGI Symbol;Acc:MGI:2681107] MRWAWLLPLLWAGCLATDGYSLSVTGSVTVQEGLCVFVACQVQYPNSKGPVFGYWFREGA NIFSGSPVATNDPQRSVLKEAQGRFYLMGKENSHNCSLDIRDAQKIDTGTYFFRLDGSVK YSFQKSMLSVLVIALTEVPNIQVTSTLVSGNSTKLLCSVPWACEQGTPPIFSWMSSALTS LGHRTTLSSELNLTPRPQDNGTNLTCQVNLPGTGVTVERTQQLSVIYAPQKMTIRVSWGD DTGTKVLQSGASLQIQEGESLSLVCMADSNPPAVLSWERPTQKPFQLSTPAELQLPRAEL EDQGKYICQAQNSQGAQTASVSLSIRSLLQLLGPSCSFEGQGLHCSCSSRAWPAPSLRWR LGEGVLEGNSSNGSFTVKSSSAGQWANSSLILSMEFSSNHRLSCEAWSDNRVQRATILLV SGPKVSQAGKSETSRGTVLGAIWGAGLMALLAVCLCLIFFTVKVLRKKSALKVAATKGNH LAKNPASTINSASITSSNIALGYPIQGHLNEPGSQTQKEQPPLATVPDTQKDEPELHYAS LSFQGPMPPKPQNTEAMKSVYTEIKIHKC >ENSMUSP00000146009.1 pep:known chromosome:GRCm38:7:43351522:43359289:1 gene:ENSMUSG00000039013.16 transcript:ENSMUST00000206299.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglecf description:sialic acid binding Ig-like lectin F [Source:MGI Symbol;Acc:MGI:2681107] MRWAWLLPLLWAGCLATDGYSLSVTGSVTVQEGLCVFVACQVQYPNSKGPVFGYWFREGA NIFSGSPVATNDPQRSVLKEAQGRFYLMGKENSHNCSLDIRDAQKIDTGTYFFRLDGSVK YSFQKSMLSVLVIALTEVPNIQVTSTLVSGNSTKLLCSVPWACEQGTPPIFSWMSSALTS LGHRTTLSSELNLTPRPQDNGTNLTCQVNLPGTGVTVERTQQLSVIYAPQKMTIRVSWGD DTGTKVLQSGASLQIQEGESLSLVCMADSNPPAVLSWERPTQKPFQLSTPAELQLPRAEL EDQGKYICQAQNSQGAQTASVSLSIRSLLQLLGPSCSFEGQGLHCSCSSRAWPAPSLRWR LGEGVLEGNSSNGSFTVKSSSAGQWANSSLILSMEFSSNHRLSCEAWSDNRVQRATILLV SGPKVSQVKVLRKKSALKVAATKGNHLAKNPASTINSASITSSNIALGYPIQGHLNEPGS QTQKEQPPLATVPDTQKDEPELHYASLSFQGPMPPKPQNTEAMKSVYTEIKIHKC >ENSMUSP00000112583.1 pep:known chromosome:GRCm38:7:43351548:43356618:1 gene:ENSMUSG00000039013.16 transcript:ENSMUST00000121494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Siglecf description:sialic acid binding Ig-like lectin F [Source:MGI Symbol;Acc:MGI:2681107] MRWAWLLPLLWAGCLATDGYSLSVTGSVTVQEGLCVFVACQVQYPNSKGPVFGYWFREGA NIFSGSPVATNDPQRSVLKEAQGRFYLMGKENSHNCSLDIRDAQKIDTGTYFFRLDGSVK YSFQKSMLSVLVIALTEVPNIQVTSTLVSGNSTKLLCSVPWACEQGTPPIFSWMSSALTS LGHRTTLSSELNLTPRPQDNGTNLTCQVNLPGTGVTVERTQQLSVIYAPQKMTIRVSWGD DTGTKVLQSGASLQIQEGESLSLVCMADSNPPAVLSWERPTQKPFQLSTPAELQLPRAEL EDQGKYICQAQNSQGAQTASVSLSIRSLLQLLGPSCSFEGQGLHCSCSSRAWPAPSLRWR LGEGVLEGNSSNGSFTVKSSSAGQWANSSLILSMEFSSNHRLSCEAWSDNRVQRATILLV SGPKVSQAGKSETSRGTVLGAIWGAGLMALLAVCLCLIFFTVKVLRKKSALKVAATKGNH LAKNPASTINSASITSSNIALGYPIQVFAQACPLFLLAKLFPT >ENSMUSP00000091495.4 pep:known chromosome:GRCm38:7:144929931:144939869:-1 gene:ENSMUSG00000070348.5 transcript:ENSMUST00000093962.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccnd1 description:cyclin D1 [Source:MGI Symbol;Acc:MGI:88313] MEHQLLCCEVETIRRAYPDTNLLNDRVLRAMLKTEETCAPSVSYFKCVQKEIVPSMRKIV ATWMLEVCEEQKCEEEVFPLAMNYLDRFLSLEPLKKSRLQLLGATCMFVASKMKETIPLT AEKLCIYTDNSIRPEELLQMELLLVNKLKWNLAAMTPHDFIEHFLSKMPEADENKQTIRK HAQTFVALCATDVKFISNPPSMVAAGSVVAAMQGLNLGSPNNFLSCYRTTHFLSRVIKCD PDCLRACQEQIEALLESSLRQAQQNVDPKATEEEGEVEEEAGLACTPTDVRDVDI >ENSMUSP00000146909.1 pep:known chromosome:GRCm38:7:106908125:106915321:1 gene:ENSMUSG00000073899.2 transcript:ENSMUST00000207492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1532-ps1 description:olfactory receptor 1532, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031366] MGEDNRTSVTEFIFLGLSQDPQTQVLLFFLFLFIYLLTVLGNLLIIVLIHSDPRLHTPMY FFLRNLSFADLCFSTTTVPQVLVHFLVKRKTISFAGCSTQIVVLLLVGCTECALLAVMSY DRYVAVCKPLHYSTIMTHWVCVQLAAGSWASGALVSLVDTTFTLRLPYRGNNVINHFFCE PPALLKLASADTYSTEMAIFAMGVVILLAPVSLILTSYWNIVSTVIQMQSGEGRLKVFST CGSHLIVVVLFYGSGIFAYMRPNSKIMNEKDKMISVFYSAVTPMLNPIIYSLRNKDVKGA LKRITTK >ENSMUSP00000095744.1 pep:known chromosome:GRCm38:7:106914200:106915123:1 gene:ENSMUSG00000073899.2 transcript:ENSMUST00000098140.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1532-ps1 description:olfactory receptor 1532, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031366] MGEDNRTSVTEFIFLGLSQDPQTQVLLFFLFLFIYLLTVLGNLLIIVLIHSDPRLHTPMY FFLRNLSFADLCFSTTTVPQVLVHFLVKRKTISFAGCSTQIVVLLLVGCTECALLAVMSY DRYVAVCKPLHYSTIMTHWVCVQLAAGSWASGALVSLVDTTFTLRLPYRGNNVINHFFCE PPALLKLASADTYSTEMAIFAMGVVILLAPVSLILTSYWNIVSTVIQMQSGEGRLKVFST CGSHLIVVVLFYGSGIFAYMRPNSKIMNEKDKMISVFYSAVTPMLNPIIYSLRNKDVKGA LKRITTK >ENSMUSP00000078287.4 pep:known chromosome:GRCm38:7:24864620:24869941:-1 gene:ENSMUSG00000062732.10 transcript:ENSMUST00000079306.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd4 description:Ly6/Plaur domain containing 4 [Source:MGI Symbol;Acc:MGI:2687054] MILQAWRSLQLLYLLEAISLLPCTEALLCYEATASAFRAVSLHNWKWLLLRSMVCNQREG CEETVVFIETGTSKGVLSFKGCSSAFSYPPQISYLVSPPGVSIASYSRVCRSYLCNNLTN LEPFVRLKASQPMSTLPSAKSCPSCVGKHDQECLPSFVTTENCPFAASSCYSSTLKFQAG NLNTTFLIMGCARDSHKLLADFQHIGSIRVTEVINVLDKSEAVSAGHCSQGISWSVLLCL LILLRD >ENSMUSP00000122913.1 pep:known chromosome:GRCm38:7:24865325:24869732:-1 gene:ENSMUSG00000062732.10 transcript:ENSMUST00000122995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd4 description:Ly6/Plaur domain containing 4 [Source:MGI Symbol;Acc:MGI:2687054] MILQAWRSLQLLYLLEAISLLPCTEALLCYEATASAFRAVSLHNWKWLLLRSMVCNQREG CEETVVFIETGTSKGVLSFKGCSSAFSYPPQISYLVSPPGVSIASYSRVCRSYLCNNLTN LEPFVRLKA >ENSMUSP00000037248.5 pep:known chromosome:GRCm38:11:58954685:58973098:1 gene:ENSMUSG00000036964.14 transcript:ENSMUST00000047697.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim17 description:tripartite motif-containing 17 [Source:MGI Symbol;Acc:MGI:1861440] MDAVELARRLQEEATCSICLDYFTDPVMTACGHNFCRECIQMSWEKGKVKKGKKKQKGSF PCPECREMSPQRNLRPNRLLTKVAEMARQHPGLQKRDLCQAHQEPLKLFCQDDQSPICVV CREAQEHRMHRVLPLDEAAREYKLKLEEDIKYLREEMMKTETLQAKEEQTLTEWQERVKE RRERILEEFQKVVLFLVEEERRILQVLKKEEEDTLGKLQDSKASLDHQSRSLDLILLQLE ERSQQEPLQMLQDVKDTLNRRASACSTQRWSSPRLSRPCAEFQDR >ENSMUSP00000074639.6 pep:known chromosome:GRCm38:11:58963802:58973098:1 gene:ENSMUSG00000036964.14 transcript:ENSMUST00000075141.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim17 description:tripartite motif-containing 17 [Source:MGI Symbol;Acc:MGI:1861440] MDAVELARRLQEEATCSICLDYFTDPVMTACGHNFCRECIQMSWEKGKVKKGKKKQKGSF PCPECREMSPQRNLRPNRLLTKVAEMARQHPGLQKRDLCQAHQEPLKLFCQDDQSPICVV CREAQEHRMHRVLPLDEAAREYKLKLEEDIKYLREEMMKTETLQAKEEQTLTEWQERVKE RRERILEEFQKVVLFLVEEERRILQVLKKEEEDTLGKLQDSKASLDHQSRSLDLILLQLE ERSQQEPLQMLQDVKDTLNRKESFSVQYPEVVLPAAIKTLCRVPGQIEVLKSFQEDVMPD PSSAYPYLLLYESRQRRYLSPPPEGSAPYSKDRFVAYPCAVGQKSFSSGRHYWEVGMNLT GDALWALGVCRDNVSRKDRVLKSPENGFWVVQLSKGKKQLSLLPNSTLVTLTEPPSHMGI FLDFQAGEVSFYSVNDGSHLHSFSQAAFPGPLLPFFCLGAPKSGQMVISTVTMWVKG >ENSMUSP00000130684.1 pep:known chromosome:GRCm38:19:42170567:42194590:1 gene:ENSMUSG00000018820.13 transcript:ENSMUST00000169536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve27 description:zinc finger, FYVE domain containing 27 [Source:MGI Symbol;Acc:MGI:1919602] MQTSDRDLSGPEASPSGMPEVLSECPPAPTKSAAFDLFNLVLSYKRLEIYLEPLKDAGDG VRYLLRWQMPLCSLLTCLGLNILFLTLNEGAWYSMGALMISVPALLGYLQEVCRGQLPES ELMRRKYHSIRQEDLQRVRLSRVHLSRPEAVAEVKSFLIQLEAFLARLCYTCESAYRVLH WENPVVSSQFYGALLGMVCMLYLLPLCWVLALLNSTLFLGNGDFFRVVCEYRACLQRRMN PRQEECACESSALQGAGGRGLLDSSPAPTPTEDLTPGSVEEAEEAEPDEEFKDAIEETHL VVLEDEEGTPCPAEDELTLQDNGFLSKNEVLRSKVSRLTERLRKRYPTNNFGNCAGCAAT FSVLKKRRSCSNCGNSFCSRCCSFKVPRSSMGATAPEAQRETVCVCASCNQTLSK >ENSMUSP00000097042.4 pep:known chromosome:GRCm38:19:42170594:42191717:1 gene:ENSMUSG00000018820.13 transcript:ENSMUST00000099443.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve27 description:zinc finger, FYVE domain containing 27 [Source:MGI Symbol;Acc:MGI:1919602] MQTSDRDLSGPEASPSGMPEVLSECPPAPTKSAAFDLFNLVLSYKRLEIYLEPLKDAGDG VRYLLRWQMPLCSLLTCLGLNILFLTLNEGAWYSMGALMISVPALLGYLQEVCRGQLPES ELMRRKYHSIRQEDLQRVRLSRVHLSRPEAVAEVKSFLIQLEAFLARLCYTCESAYRVLH WENPVVSSQFYGALLGMVCMLYLLPLCWVLALLNSTLFLGNGDFFRVVCEYRACLQRRMN PRQEECACESSALQGAGGRGLLDSSPAPTPTEDLTPGSVEEAEEAEPDEEFKDAIEEDEE GTPCPAEDELTLQDNGFLSKNEVLRSKVSRLTERLRKRYPTNNFGNCAGCAATFSVLKKR RSCSNCGNSFCSRCCSFKVPRSSMGATAPEAQRETVCVCASCNQTLSK >ENSMUSP00000129561.1 pep:known chromosome:GRCm38:19:42178823:42184159:1 gene:ENSMUSG00000018820.13 transcript:ENSMUST00000168130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve27 description:zinc finger, FYVE domain containing 27 [Source:MGI Symbol;Acc:MGI:1919602] MGALMISVPALLGYLQEVCRGQLPESELMRRKYHSIRQEDLQRVRLSRVHLSRPEAVAEV KSFLIQLEAFLARLCYTCESAYRVLHWENPVVSSQFYGALLGMVCMLYLLPLCWVLALLN STLFLGNGDFFRVVCEYRACLQRRMNPRQEECACESSALQGAGGRGLLDSSPAPTPTEDL TPGSVEEAEEAE >ENSMUSP00000021356.5 pep:known chromosome:GRCm38:12:69189087:69198429:-1 gene:ENSMUSG00000020973.7 transcript:ENSMUST00000021356.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnaaf2 description:dynein, axonemal assembly factor 2 [Source:MGI Symbol;Acc:MGI:1923566] MAKAAASSALEDLDLSREEVQRFTSAFQDPEFRRMFSEYAAEITDPENRRRYEEEITALE RERGVDVRFVHPEPGHVLRTSLDGEHRCYVNVCSNSLVGVPSSRPGPGRGGTAAGSHWSL PYSLAPGRQYAGRNGARYTVYDVVFHPEALALARSHERFREMLDATALEAVEQQFGVRLD RRNAKTLKIKYKGMPEAAVLRTPLPGGVPAQPEGEPPGLFPDPPYPYRYPAAAAANTARS PASPAPEAVQRPEPTEPRCSVVQRHHVDLQDYRCSRDAAPSTVPHELVVTIELPLLRSVE RAELEVKGKLLCLDSRNPDYRLRLSLPYPVDDGRGKAQYNKARRQLVVTLPVALADARQE PPAATPEEPAEETGTDDVARTSAGDFAAAREESADGTGADHGEKSGVGAPDPGAAHAEGE LVPEPEQDFGGDSVAPLDLGKGTSPGDRSLPYSAFPGGDTESLCGDPGVQTNEEQERTRH DTAGSAMGDPGTESIAPVCPPLQCNQDEDSLTLLIQVPGILPQTLHGHLSPVGYELCFST QDSGYSCTLQFAPENKLSTREPETSVSLNNAVIVLAKSPESHGLWREWYCGLNKESLEER LFINEENVNGFLEEVLCSPLKQARSLAPPLIEVLQATDEQVQIHAELQECSDPAGLQGKG KGVREGCPLSEAEAADQSATSPAASDSAAAVEALKINTHGSAVDLQHGCPEVPHVLSGKP LQPEAKMDPEFIRESSTTYSTEEKENIREPVISKGEKINGDHPSSLLNKTVVQNIPDFDT IKETNMQDGSVQIIRDHTTHCAFSFQNPLLYDLD >ENSMUSP00000057231.1 pep:known chromosome:GRCm38:11:50950806:50953765:-1 gene:ENSMUSG00000044542.3 transcript:ENSMUST00000051159.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prop1 description:paired like homeodomain factor 1 [Source:MGI Symbol;Acc:MGI:109330] MEAQRRSHQEKQTKGHACGRSLPEPRVASGTLISTVDRSSEAYRRLSGTELGRPKLCPQR GRPHSRRRHRTTFNPAQLEQLESAFGRNQYPDIWAREGLAQDTGLSEARIQVWFQNRRAK QRKQERSLLQPIAHLSTATFSGFLPESSAYPYTYGTPPPPAPCFPHPYSHSLPSQPSTAA SLALPPQPEDWYPTLHPAPTGHLPCPPPPPMFPLSLETPKSWN >ENSMUSP00000125413.1 pep:known chromosome:GRCm38:11:50952214:50953751:-1 gene:ENSMUSG00000044542.3 transcript:ENSMUST00000162420.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prop1 description:paired like homeodomain factor 1 [Source:MGI Symbol;Acc:MGI:109330] MEAQRRSHQEKQTKGHACGRSLPEPRVASGTLISTTGALRLIGGSLV >ENSMUSP00000104445.3 pep:known chromosome:GRCm38:11:58954685:58956830:1 gene:ENSMUSG00000078851.4 transcript:ENSMUST00000108817.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hist3h2a description:histone cluster 3, H2a [Source:MGI Symbol;Acc:MGI:2448458] MSGRGKQGGKARAKAKSRSSRAGLQFPVGRVHRLLRKGNYSERVGAGAPVYLAAVLEYLT AEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGRVTIAQGGVLPNIQAVLLPKK TESHHKAKGK >ENSMUSP00000044054.9 pep:known chromosome:GRCm38:X:145290359:145348950:-1 gene:ENSMUSG00000041700.9 transcript:ENSMUST00000040084.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl1 description:lipoma HMGIC fusion partner-like 1 [Source:MGI Symbol;Acc:MGI:1891214] MRNSLTMVGTFWAFLSLVTAVASSTSYFLPYWLFGSQLGKPVSFSTFRRCNYPVRGDGHN LIMVEECGRYASFTAIPSLAWQMCTVVTGAGCALLLLVALAAVLGCCMEELISRMMGRCM GAAQFVGGLLISAGCALYPLGWNSPEVMQTCGNVSNQFQLGTCRLGWAYYCAGGGAAAAM LICTWLSCFAGRNPKPVMLVENIMRNTNSYALELDHCLKP >ENSMUSP00000114442.1 pep:known chromosome:GRCm38:X:145341009:145349089:-1 gene:ENSMUSG00000041700.9 transcript:ENSMUST00000123443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl1 description:lipoma HMGIC fusion partner-like 1 [Source:MGI Symbol;Acc:MGI:1891214] MRNSLTMVGTFWAFLSLVTAVASSTSYFLPYWL >ENSMUSP00000106319.3 pep:known chromosome:GRCm38:13:4590757:4609174:-1 gene:ENSMUSG00000045410.17 transcript:ENSMUST00000110691.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1e1 description:aldo-keto reductase family 1, member E1 [Source:MGI Symbol;Acc:MGI:1914758] MENIPTVGLGTWKLWCTCHKKSLVKTACTNTLEALNLDYLDLYLIHWPMGFKPGEKDIPL DRNGKVIPSHTSFLDTWEAMEDLVFEGLVKNLGVSNFNHEQLERLLDKPGLRVRPITNQI ECHPYLNQKKLIDFCHKRNVSVTAYRPLGGSGGGFHLMDDTVIRKIAKKHGKSPAQILIR FQIQRNLIVIPKSVTPSRIRENIQVFDFELTEKDMEELLSLDKNLRLATFPTTENHQDYP FHIEY >ENSMUSP00000089459.5 pep:known chromosome:GRCm38:13:4592490:4609174:-1 gene:ENSMUSG00000045410.17 transcript:ENSMUST00000091848.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1e1 description:aldo-keto reductase family 1, member E1 [Source:MGI Symbol;Acc:MGI:1914758] MENIPTVGLGTWKASPGEVTDAVKLAINLGYRHFDCAYLYHNESEVGMGISEKIKEGVVK REDLFVVSKLWCTCHKKSLVKTACTNTLEALNLDYLDLYLIHWPMGFKPGEKDIPLDRNG KVIPSHTSFLDTWEAMEDLVFEGLVKNLGVSNFNHEQLERLLDKPGLRVRPITNQIECHP YLNQKKLIDFCHKRNVSVTAYRPLGGSGGGFHLMDDTVIRKIAKKHGKSPAQILIRFQIQ RNLIVIPKSVTPSRIRENIQVFDFELTEKDMEELLSLDKNLRLATFPTTENHQDYPFHIE Y >ENSMUSP00000059927.8 pep:known chromosome:GRCm38:19:34192229:34240333:1 gene:ENSMUSG00000024776.17 transcript:ENSMUST00000054956.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stambpl1 description:STAM binding protein like 1 [Source:MGI Symbol;Acc:MGI:1923880] MEQPFTVNSLKKLAAMPDHTDVSLSPEERVRALSKLGCNISINEDITPRRYFRSGVEMER MASVYLEEGNLENAFVLYNKFITLFVEKLPSHRDYQQCAVPEKQDIMKKLKEIAFPRTDE LKTDLLRKYNIEYQEYLQSKNKYKAEILKKLEHQRLIEAERQRIAQMRQQQLESEQFLFF EDQLKKQELARGQIRGQDSPVLSEQTDGSALSCFSTHQSNSLRNAFADHPHKSDGSNFAN YSPPVNRALKPAATLSAVQNLVVEGLRCVVLSRDLCHKFLLLADSNTVRGIETCGILCGK LTHNEFTITHVVVPKQSAGPDYCDVENVEELFNVQDQHGLLTLGWIHTHPTQTAFLSSVD LHTHCSYQLMLPEAIAIVCSPKHKDTGIFRLTNAGMLEVSTCKKKGFHPHTKDPKLFSIC SHVLVKDIKTTVLDLR >ENSMUSP00000112938.1 pep:known chromosome:GRCm38:19:34217624:34240331:1 gene:ENSMUSG00000024776.17 transcript:ENSMUST00000119603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stambpl1 description:STAM binding protein like 1 [Source:MGI Symbol;Acc:MGI:1923880] MEQPFTVNSLKKLAAMPDHTDVSLSPEERVRALSKLGCNISINEDITPRRYFRSGVEMER MASVYLEEGNLENAFVLYNKFITLFVEKLPSHRDYQQCAVPEKQDIMKKLKEIAFPRTDE LKTDLLRKYNIEYQEYLQSKNKYKAEILKKLEHQRLIEAERQRIAQMRQQQLESEQFLFF EDQLKKQELARGQIRGQDSPVLSEQTDGSALSCFSTHQSNSLRNAFADHPHKSDGSNFAN YSPPVNRALKPAATLSAVQNLVVEGLRCVVLSRDLCHKFLLLADSNTVRGIETCGILCGK LTHNEFTITHVVVPKQSAGPDYCDVENVEELFNVQDQHGLLTLGWIHTHPTQTAFLSSVD LHTHCSYQLMLPEAIAIVCSPKHKDTGIFRLTNAGMLEVSTCKKKGFHPHTKDPKLFSIC SHVLVKDIKTTVLDLR >ENSMUSP00000115333.1 pep:known chromosome:GRCm38:19:34192822:34231442:1 gene:ENSMUSG00000024776.17 transcript:ENSMUST00000129535.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stambpl1 description:STAM binding protein like 1 [Source:MGI Symbol;Acc:MGI:1923880] MEQPFTVNSLKKLAAMPDHTDVSLSPEERVRALSKLGCNISINEDITPRRYFRSGVEMER MASVYLEEGNLENAFVLYNKFITLFVEKLPSHRDYQQCAVPEKQDIMKKLKE >ENSMUSP00000140518.1 pep:known chromosome:GRCm38:1:52119440:52155412:1 gene:ENSMUSG00000026104.14 transcript:ENSMUST00000186574.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat1 description:signal transducer and activator of transcription 1 [Source:MGI Symbol;Acc:MGI:103063] MSQWFELQQLDSKFLEQVHQLYDDSFPMEIRQYLAQWLEKQDWEHAAYDVSFATIRFHDL LSQLDDQYSRFSLENNFLLQHNIRKSKRNLQDNFQEDPVQMSMIIYNCLKEERKILENAQ RFNQAQEGNIQNTVMLDKQKELDSKVRNVKDQVMCIEQEIKTLEELQDEYDFKCKTSQNR EGEANGVAKSDQKQEQLLLHKMFLMLDNKRKEIIHKIRELLNSIELTQNTLINDELVEWK RRQQSACIGGPPNACLDQLQSWFTIVAETLQQIRQQLKKLEELEQKFTYEPDPITKNKQV LSDRTFLLFQQLIQSSFVVERQPCMPTHPQRPLVLKTGVQFTVKLRLLVKLQELNYNLKV KVSFDKDVNEKNTVKGFRKFNILGTHTKVMNMEESTNGSLAAEFRHLQLKEQKNAGNRTN EGPLIVTEELHSLSFETQLCQPGLVIDLETTSLPVVVISNVSQLPSGWASILWYNMLVTE PRNLSFFLNPPCAWWSQLSEVLSWQFSSVTKRGLNADQLSMLGEKLLGPNAGPDGLIPWT RFCKENINDKNFSFWPWIDTILELIKKHLLCLWNDGCIMGFISKERERALLKDQQPGTFL LRFSESSREGAITFTWVERSQNGGEPDFHAVEPYTKKELSAVTFPDIIRNYKVMAAENIP ENPLKYLYPNIDKDHAFGKYYSRPKEAPEPMELDDPKRTGYIKTELISVSEV >ENSMUSP00000066743.7 pep:known chromosome:GRCm38:1:52119455:52161865:1 gene:ENSMUSG00000026104.14 transcript:ENSMUST00000070968.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat1 description:signal transducer and activator of transcription 1 [Source:MGI Symbol;Acc:MGI:103063] MSQWFELQQLDSKFLEQVHQLYDDSFPMEIRQYLAQWLEKQDWEHAAYDVSFATIRFHDL LSQLDDQYSRFSLENNFLLQHNIRKSKRNLQDNFQEDPVQMSMIIYNCLKEERKILENAQ RFNQAQEGNIQNTVMLDKQKELDSKVRNVKDQVMCIEQEIKTLEELQDEYDFKCKTSQNR EGEANGVAKSDQKQEQLLLHKMFLMLDNKRKEIIHKIRELLNSIELTQNTLINDELVEWK RRQQSACIGGPPNACLDQLQSWFTIVAETLQQIRQQLKKLEELEQKFTYEPDPITKNKQV LSDRTFLLFQQLIQSSFVVERQPCMPTHPQRPLVLKTGVQFTVKLRLLVKLQELNYNLKV KVSFDKDVNEKNTVKGFRKFNILGTHTKVMNMEESTNGSLAAEFRHLQLKEQKNAGNRTN EGPLIVTEELHSLSFETQLCQPGLVIDLETTSLPVVVISNVSQLPSGWASILWYNMLVTE PRNLSFFLNPPCAWWSQLSEVLSWQFSSVTKRGLNADQLSMLGEKLLGPNAGPDGLIPWT RFCKENINDKNFSFWPWIDTILELIKKHLLCLWNDGCIMGFISKERERALLKDQQPGTFL LRFSESSREGAITFTWVERSQNGGEPDFHAVEPYTKKELSAVTFPDIIRNYKVMAAENIP ENPLKYLYPNIDKDHAFGKYYSRPKEAPEPMELDDPKRTGYIKTELISVSEVHPSRLQTT DNLLPMSPEEFDEMSRIVGPEFDSMMSTV >ENSMUSP00000139746.1 pep:known chromosome:GRCm38:1:52119490:52155412:1 gene:ENSMUSG00000026104.14 transcript:ENSMUST00000191435.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat1 description:signal transducer and activator of transcription 1 [Source:MGI Symbol;Acc:MGI:103063] MSQWFELQQLDSKFLEQVHQLYDDSFPMEIRQYLAQWLEKQDWEHAAYDVSFATIRFHDL LSQLDDQYSRFSLENNFLLQHNIRKSKRNLQDNFQEDPVQMSMIIYNCLKEERKILENAQ RFNQAQEGNIQNTVMLDKQKELDSKVRNVKDQVMCIEQEIKTLEELQDEYDFKCKTSQNR EGEANGVAKSDQKQEQLLLHKMFLMLDNKRKEIIHKIRELLNSIELTQNTLINDELVEWK RRQQSACIGGPPNACLDQLQSWFTIVAETLQQIRQQLKKLEELEQKFTYEPDPITKNKQV LSDRTFLLFQQLIQSSFVVERQPCMPTHPQRPLVLKTGVQFTVKLRLLVKLQELNYNLKV KVSFDKDVNEKNTVKGFRKFNILGTHTKVMNMEESTNGSLAAEFRHLQLKEQKNAGNRTN EGPLIVTEELHSLSFETQLCQPGLVIDLETTSLPVVVISNVSQLPSGWASILWYNMLVTE PRNLSFFLNPPCAWWSQLSEVLSWQFSSVTKRGLNADQLSMLGEKLLGPNAGPDGLIPWT RFCKENINDKNFSFWPWIDTILELIKKHLLCLWNDGCIMGFISKERERALLKDQQPGTFL LRFSESSREGAITFTWVERSQNGGEPDFHAVEPYTKKELSAVTFPDIIRNYKVMAAENIP ENPLKYLYPNIDKDHAFGKYYSRPKEAPEPMELDDPKRTGYIKTELISVSEV >ENSMUSP00000140875.1 pep:known chromosome:GRCm38:1:52119492:52161007:1 gene:ENSMUSG00000026104.14 transcript:ENSMUST00000186857.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat1 description:signal transducer and activator of transcription 1 [Source:MGI Symbol;Acc:MGI:103063] MSQWFELQQLDSKFLEQVHQLYDDSFPMEIRQYLAQWLEKQDWEHAAYDVSFATIRFHDL LSQLDDQYSRFSLENNFLLQHNIRKSKRNLQDNFQEDPVQMSMIIYNCLKEERKILENAQ RFNQAQEGNIQNTVMLDKQKELDSKVRNVKDQVMCIEQEIKTLEELQDEYDFKCKTSQNR EGEANGVAKSDQKQEQLLLHKMFLMLDNKRKEIIHKIRELLNSIELTQNTLINDELVEWK RRQQSACIGGPPNACLDQLQSWFTIVAETLQQIRQQLKKLEELEQKFTYEPDPITKNKQV LSDRTFLLFQQLIQSSFVVERQPCMPTHPQRPLVLKTGVQFTVKLRLLVKLQELNYNLKV KVSFDKDVNEKNTVKGFRKFNILGTHTKVMNMEESTNGSLAAEFRHLQLKEQKNAGNRTN EGPLIVTEELHSLSFETQLCQPGLVIDLETTSLPVVVISNVSQLPSGWASILWYNMLVTE PRNLSFFLNPPCAWWSQLSEVLSWQFSSVTKRGLNADQLSMLGEKLLGPNAGPDGLIPWT RFCKENINDKNFSFWPWIDTILELIKKHLLCLWNDGCIMGFISKERERALLKDQQPGTFL LRFSESSREGAITFTWVERSQNGGEPDFHAVEPYTKKELSAVTFPDIIRNYKVMAAENIP ENPLKYLYPNIDKDHAFGKYYSRPKEAPEPMELDDPKRTGYIKTELISVSEVHPSRLQTT DNLLPMSPEEFDEMSRIVGPEFDSMMSTV >ENSMUSP00000141144.1 pep:known chromosome:GRCm38:1:52119498:52132633:1 gene:ENSMUSG00000026104.14 transcript:ENSMUST00000188681.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat1 description:signal transducer and activator of transcription 1 [Source:MGI Symbol;Acc:MGI:103063] MSQWFELQQLDSKFLEQVHQLYDDSFPMEIRQYLAQWLEKQDWEHAAYDVSFATIRFHDL LSQLDDQYSRFSLENNFLLQHNIRKSKRNLQDNFQEDPVQMSMIIYNCLKEERKILENAQ RFNQAQEGNIQNTVMLDKQKELDSKVRNVKDQVM >ENSMUSP00000141125.1 pep:known chromosome:GRCm38:1:52119549:52155331:1 gene:ENSMUSG00000026104.14 transcript:ENSMUST00000189347.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat1 description:signal transducer and activator of transcription 1 [Source:MGI Symbol;Acc:MGI:103063] MSQWFELQQLDSKFLEQVHQLYDDSFPMEIRQYLAQWLEKQDWEHAAYDVSFATIRFHDL LSQLDDQYSRFSLENNFLLQHNIRKSKRNLQDNFQEDPVQMSMIIYNCLKEERKILENAQ RFNQAQEGNIQNTVMLDKQKELDSKVRNVKDQVMCIEQEIKTLEELQDEYDFKCKTSQNR EGEANGVAKSDQKQEQLLLHKMFLMLDNKRKEIIHKIRELLNSIELTQNTLINDELVEWK RRQQSACIGGPPNACLDQLQSWFTIVAETLQQIRQQLKKLEELEQKFTYEPDPITKNKQV LSDRTFLLFQQLIQSSFVVERQPCMPTHPQRPLVLKTGVQFTVKLRLLVKLQELNYNLKV KVSFDKDVNEKNTVKGFRKFNILGTHTKVMNMEESTNGSLAAEFRHLQLKEQKNAGNRTN EGPLIVTEELHSLSFETQLCQPGLVIDLETTSLPVVVISNVSQLPSGWASILWYNMLVTE PRNLSFFLNPPCAWWSQLSEVLSWQFSSVTKRGLNADQLSMLGEKLLGPNAGPDGLIPWT RFCKENINDKNFSFWPWIDTILELIKKHLLCLWNDGCIMGFISKERERALLKDQQPGTFL LRFSESSREGAITFTWVERSQNGGEPDFHAVEPYTKKELSAVTFPDIIRNYKVMAAENIP ENPLKYLYPNIDKDHAFGKYYSRPKEAPEPMELDDPKRTGYIKTELISVSEV >ENSMUSP00000141132.1 pep:known chromosome:GRCm38:1:52119624:52160730:1 gene:ENSMUSG00000026104.14 transcript:ENSMUST00000186057.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat1 description:signal transducer and activator of transcription 1 [Source:MGI Symbol;Acc:MGI:103063] MSQWFELQQLDSKFLEQVHQLYDDSFPMEIRQYLAQWLEKQDWEHAAYDVSFATIRFHDL LSQLDDQYSRFSLENNFLLQHNIRKSKRNLQDNFQEDPVQMSMIIYNCLKEERKILENAQ RFNQAQEGNIQNTVMLDKQKELDSKVRNVKDQVMCIEQEIKTLEELQDEYDFKCKTSQNR EGEANGVAKSDQKQEQLLLHKMFLMLDNKRKEIIHKIRELLNSIELTQNTLINDELVEWK RRQQSACIGGPPNACLDQLQSWFTIVAETLQQIRQQLKKLEELEQKFTYEPDPITKNKQV LSDRTFLLFQQLIQSSFVVERQPCMPTHPQRPLVLKTGVQFTVKLRLLVKLQELNYNLKV KVSFDKDVNEKNTVKGFRKFNILGTHTKVMNMEESTNGSLAAEFRHLQLKEQKNAGNRTN EGPLIVTEELHSLSFETQLCQPGLVIDLEVFVPFQTTSLPVVVISNVSQLPSGWASILWY NMLVTEPRNLSFFLNPPCAWWSQLSEVLSWQFSSVTKRGLNADQLSMLGEKLLGPNAGPD GLIPWTRFCKENINDKNFSFWPWIDTILELIKKHLLCLWNDGCIMGFISKERERALLKDQ QPGTFLLRFSESSREGAITFTWVERSQNGGEPDFHAVEPYTKKELSAVTFPDIIRNYKVM AAENIPENPLKYLYPNIDKDHAFGKYYSRPKEAPEPMELDDPKRTGYIKTELISVSEVHP SRLQTTDNLLPMSPEEFDEMSRIVGPEFDSMMSTV >ENSMUSP00000140482.1 pep:known chromosome:GRCm38:1:52150258:52161863:1 gene:ENSMUSG00000026104.14 transcript:ENSMUST00000189244.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat1 description:signal transducer and activator of transcription 1 [Source:MGI Symbol;Acc:MGI:103063] NQTNRQQHGGAHLSSQAGGVGGPNAGPDGLIPWTRFCKENINDKNFSFWPWIDTILELIK KHLLCLWNDGCIMGFISKERERALLKDQQPGTFLLRFSESSREGAITFTWVERSQNGGEP DFHAVEPYTKKELSAVTFPDIIRNYKVMAAENIPENPLKYLYPNIDKDHAFGKYYSRPKE APEPMELDDPKRTGYIKTELISVSEVHPSRLQTTDNLLPMSPEEFDEMSRIVGPEFDSMM STV >ENSMUSP00000140643.1 pep:known chromosome:GRCm38:1:52152253:52159560:1 gene:ENSMUSG00000026104.14 transcript:ENSMUST00000189336.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stat1 description:signal transducer and activator of transcription 1 [Source:MGI Symbol;Acc:MGI:103063] XKERERALLKDQQPGTFLLRFSESSREGAITFTWVERSQNGGEPDFHAVEPYTKKELSAV TFPDIIRNYKVMAAENIPENPLKYLYPNIDKDHAFGKYYSRPKEAPEPMELDDPKRTGYI KTELISVSEVR >ENSMUSP00000105902.1 pep:known chromosome:GRCm38:13:33879816:33905170:-1 gene:ENSMUSG00000052180.14 transcript:ENSMUST00000110273.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6c description:serine (or cysteine) peptidase inhibitor, clade B, member 6c [Source:MGI Symbol;Acc:MGI:2145481] MDPLLEANATFALNLLKILGEDRSKNVFLSPISISSALVMVLLGAKGTTAIQITQALSLG KCSSSEDGDVHQGFQLLLSEVNKTGTQYSLKAANRLFGEKTFDILASFKDSCHKFYEAEM EELDFKGATEQSRQHINTWVAKKTEDKIKELLSPGTIHSNTPLILVNAVYFKGKWEKQFN KEDTREMPFKVSKNEEKPVQMMFQKSTFKMTYVEEISTKILLLPYVGNELNMIIMLPDEH VELSTVEKEITHEKFIEWTRLDRMKGEKVEVFLPWFKLEENYDMKDVLCKLGMTDAFEEG RADFSGISSKQGLFLSNVIHKSVVEVNEEGSEATAATTIVLKGSSRSTPCFCVNRPFIFF IQHIKTNEILFLGRLSSP >ENSMUSP00000127619.1 pep:known chromosome:GRCm38:13:33879817:33905708:-1 gene:ENSMUSG00000052180.14 transcript:ENSMUST00000172184.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6c description:serine (or cysteine) peptidase inhibitor, clade B, member 6c [Source:MGI Symbol;Acc:MGI:2145481] MMDPLLEANATFALNLLKILGEDRSKNVFLSPISISSALVMVLLGAKGTTAIQITQALSL GKCSSSEDGDVHQGFQLLLSEVNKTGTQYSLKAANRLFGEKTFDILASFKDSCHKFYEAE MEELDFKGATEQSRQHINTWVAKKTEDKIKELLSPGTIHSNTPLILVNAVYFKGKWEKQF NKEDTREMPFKVSKNEEKPVQMMFQKSTFKMTYVEEISTKILLLPYVGNELNMIIMLPDE HVELSTVEKEITHEKFIEWTRLDRMKGEKVEVFLPWFKLEENYDMKDVLCKLGMTDAFEE GRADFSGISSKQGLFLSNVIHKSVVEVNEEGSEATAATTIVLKGSSRSTPCFCVNRPFIF FIQHIKTNEILFLGRLSSP >ENSMUSP00000086314.5 pep:known chromosome:GRCm38:2:154257854:154271245:1 gene:ENSMUSG00000067998.11 transcript:ENSMUST00000088924.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb9a description:BPI fold containing family B, member 9A [Source:MGI Symbol;Acc:MGI:3767993] MWVLQALAIMLSIQAGTLDLVETPPVVGNLPVAMPVPLNLPVGGLSPPVLKGPVNHQMLP PKRPVPPPKGGKCAPAARYFLSSDKLHDYLMSTLPPQIEDMVKCDEVNLEGMLADVLNTV ESSDLLSLLDGISLLKGGEGGGLGIGGLLGNEGNGDSSKPSSGSKATGGLGQLIPGGIPG TEALGGLLNLGGDKSSGKGLLNGDGLSKIKKPLEDAVENVSGIKDAIQEKVNEVVPDGVK EPLNDVLKMDIKDTLLELKVGQVTLDDMEINMEANGMQVLSMLTATIDGKGVLGPVISLL QFEAKMDVMTTIAVASNNTQCVNLDAQDTHMHVKEMKIQLVETVTGKVPLPVPLPLDQII PAIVTAKINENLEKSNSCAIVLNDFNNCKNNTGLFSYQVNTARISPKGLVILYCAKANIG NKTVPVPGGRLPPDPKNASIAVTISSTTLKTLVKEVAKNSSVQMDGLEAQITHIAFASQE NNTLRVVYKVDITKNGEHFATGETKLFISHGSKISNSTLIPDVKLIRSEHSVVPPEAKEE VEGILSEVGKVAWSNFNETYKKMNIPVGVSSHTLKNSDVKLMKSIDLQAAS >ENSMUSP00000034934.8 pep:known chromosome:GRCm38:9:66775202:66795490:-1 gene:ENSMUSG00000032375.15 transcript:ENSMUST00000034934.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aph1b description:aph1 homolog B, gamma secretase subunit [Source:MGI Symbol;Acc:MGI:3522097] MTAAVFFGCAFIAFGPALALYVFTIATDPLRVIFLIAGAFFWLVSLLLSSVFWFLVRVIT DNRDGPVQNYLLIFGVLLSVCIQELFRLAYYKLLKKASEGLKSINPEETAPSMRLLAYVS GLGFGIMSGVFSFVNTLSNSLGPGTVGIHGDSPQFFLNSAFMTLVVIMLHVFWGVVFFDG CEKNKWYTLLTVLLTHLVVSTQTFLSPYYEVNLVTAYIIMVLMGIWAFYVAGGSCRSLKL CLLCQDKDFLLYNQRSR >ENSMUSP00000125816.1 pep:known chromosome:GRCm38:9:66775209:66795436:-1 gene:ENSMUSG00000032375.15 transcript:ENSMUST00000168309.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Aph1b description:aph1 homolog B, gamma secretase subunit [Source:MGI Symbol;Acc:MGI:3522097] MTAAVFFGCAFIAFGPALALYVFTIATDPLRVIFLIAGAFFWLVSLLLSSVFWFLVRVIT DNRDGPVQNYLLIFGVLLSVCIQELFRLAYYKLLKKASEGLKSINPEETAPSMRLLAYVS GLGFGIMSGVFSFVNTLSNSLGPGTVGIHGDSPQFFLNSVRISASPMDINSVSHSREPVL CAASKLVFPVGKVFDFLRPAAYSSDYLTPALS >ENSMUSP00000109359.2 pep:known chromosome:GRCm38:9:66779193:66795376:-1 gene:ENSMUSG00000032375.15 transcript:ENSMUST00000113730.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aph1b description:aph1 homolog B, gamma secretase subunit [Source:MGI Symbol;Acc:MGI:3522097] MTAAVFFGCAFIAFGPALALYVFTIATDPLRVIFLIAGAFFWLVSLLLSSVFWFLVRVIT DNRDGPVQNYLLIFGVLLSVCIQELFRLAYYKLLKKASEGLKSINPEETAPSMRLLAYAF MTLVVIMLHVFWGVVFFDGCEKNKWYTLLTVLLTHLVVSTQTFLSPYYEVNLVTAYIIMV LMGIWAFYVAGGSCRSLKLCLLCQDKDFLLYNQRSR >ENSMUSP00000000514.4 pep:known chromosome:GRCm38:1:107590006:107608909:1 gene:ENSMUSG00000026315.13 transcript:ENSMUST00000000514.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb8 description:serine (or cysteine) peptidase inhibitor, clade B, member 8 [Source:MGI Symbol;Acc:MGI:894657] MDDLSEANGSFAISLLKILSEKDKSRNLFFCPMSVSSALAMVYLGAKGNTATQMSEVLGL SGNGDVHQSFQTLLAEINKTDTQYLLKSACRLFGEESCDFLSTFKESCHKFYQAGLEELS FAKDTEGCRKHINDWVSEKTEGKISEVLSPGTVCPLTKLVLVNAMYFKGKWKAQFDRKYT RGMPFKTNQEKKTVQMMFKHAKFKMGHVDEVNMQVLALPYAEEELSMVILLPDESTDLAV VEKALTYEKLRAWTNPETLTESQVQVFLPRLKLEESYDLETVLQNLGMTDAFEETRADFS GMTTKKNVPVSKVAHKCFVEVNEEGTEAAAATAVIRNARCCRTEPRFCADHPFLFFIWHH KTSSILFCGRFSSP >ENSMUSP00000108326.2 pep:known chromosome:GRCm38:1:107590006:107610484:1 gene:ENSMUSG00000026315.13 transcript:ENSMUST00000112706.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb8 description:serine (or cysteine) peptidase inhibitor, clade B, member 8 [Source:MGI Symbol;Acc:MGI:894657] MDDLSEANGSFAISLLKILSEKDKSRNLFFCPMSVSSALAMVYLGAKGNTATQMSEVLGL SGNGDVHQSFQTLLAEINKTDTQYLLKSACRLFGEESCDFLSTFKESCHKFYQAGLEELS FAKDTEGCRKHINDWVSEKTEGKISEVLSPGTVCPLTKLVLVNAMYFKGKWKAQFDRKYT RGMPFKTNQEKKTVQMMFKHAKFKMGHVDEVNMQVLALPYAEEELSMVILLPDESTDLAV VEKALTYEKLRAWTNPETLTESQVQVFLPRLKLEESYDLETVLQNLGMTDAFEETRADFS GMTTKKNVPVSKVAHKCFVEVNEEGTEAAAATAVIRNARCCRTEPRFCADHPFLFFIWHH KTSSILFCGRFSSP >ENSMUSP00000122907.1 pep:known chromosome:GRCm38:1:107605880:107607325:1 gene:ENSMUSG00000026315.13 transcript:ENSMUST00000123086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb8 description:serine (or cysteine) peptidase inhibitor, clade B, member 8 [Source:MGI Symbol;Acc:MGI:894657] EEELSMVILLPDESTDLAVIKAGGELRPGNCTSEFGNDRCL >ENSMUSP00000005860.9 pep:known chromosome:GRCm38:15:78191114:78204237:-1 gene:ENSMUSG00000005716.16 transcript:ENSMUST00000005860.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvalb description:parvalbumin [Source:MGI Symbol;Acc:MGI:97821] MSMTDVLSAEDIKKAIGAFAAADSFDHKKFFQMVGLKKKNPDEVKKVFHILDKDKSGFIE EDELGSILKGFSSDARDLSAKETKTLLAAGDKDGDGKIGVEEFSTLVAES >ENSMUSP00000112598.1 pep:known chromosome:GRCm38:15:78191117:78206400:-1 gene:ENSMUSG00000005716.16 transcript:ENSMUST00000120592.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pvalb description:parvalbumin [Source:MGI Symbol;Acc:MGI:97821] MSMTDVLSAEDIKKAIGAFAAADSFDHKKFFQMVGLKKKNPDEVKKVFHILDKDKSGFIE EDELGSILKGFSSDARDLSAKETKTLLAAGDKDGDGKIGVEEFSTLVAES >ENSMUSP00000112959.1 pep:known chromosome:GRCm38:16:76287400:76373827:-1 gene:ENSMUSG00000048490.13 transcript:ENSMUST00000121927.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrip1 description:nuclear receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1315213] MTHGEELGSDVHQDSIVLTYLEGLLMHQAAGGSGTAINKKSAGHKEEDQNFNLSGSAFPS CQSNGPTVSTQTYQGSGMLHLKKARLLQSSEDWNAAKRKRLSDSIVNLNVKKEALLAGMV DSVPKGKQDSTLLASLLQSFSSRLQTVALSQQIRQSLKEQGYALSHESLKVEKDLRCYGV ASSHLKTLLKKSKTKDQKSGPTLPDVTPNLIRDSFVESSHPAVGQSGTKVMSEPLSCAAR LQAVASMVEKRASPAASPKPSVACSQLALLLSSEAHLQQYSREHALKTQNAHQVASERLA AMARLQENGQKDVGSSQLSKGVSGHLNGQARALPASKLVANKNNAATFQSPMGVVPSSPK NTSYKNSLERNNLKQAANNSLLLHLLKSQTIPTPMNGHSQNERASSFESSTPTTIDEYSD NNPSFTDDSSGDESSYSNCVPIDLSCKHRIEKPEAERPVSLENLTQSLLNTWDPKIPGVD IKEDQDTSTNSKLNSHQKVTLLQLLLGHKSEETVERNASPQDIHSDGTKFSPQNYTRTSV IESPSTNRTTPVSTPPLYTASQAESPINLSQHSLVIKWNSPPYACSTPASKLTNTAPSHL MDLTKGKESQAEKPAPSEGAQNSATFSASKLLQNLAQCGLQSSGPGEEQRPCKQLLSGNP DKPLGLIDRLNSPLLSNKTNAAEESKAFSSQPAGPEPGLPGCEIENLLERRTVLQLLLGN SSKGKNEKKEKTPARDEAPQEHSERAANEQILMVKIKSEPCDDFQTHNTNLPLNHDAKSA PFLGVTPAIHRSTAALPVSEDFKSEPASPQDFSFSKNGLLSRLLRQNQESYPADEQDKSH RNSELPTLESKNICMVPKKRKLYTEPLENPFKKMKNTAVDTANHHSGPEVLYGSLLHQEE LKFSRNELDYKYPAGHSSASDGDHRSWARESKSFNVLKQLLLSENCVRDLSPHRSDSVPD TKKKGHKNNAPGSKPEFGISSLNGLMYSSPQPGSCVTDHRTFSYPGMVKTPLSPPFPEHL GCVGSRPEPGLLNGCSVPGEKGPIKWVIADMDKNEYEKDSPRLTKTNPILYYMLQKGGGN SVTTQETQDKDIWREPASAESLSQVTVKEELLPAAETKASFFNLRSPYNSHMGNNASRPH STNGEVYGLLGNALTIKKESE >ENSMUSP00000051726.1 pep:known chromosome:GRCm38:16:76290862:76373049:-1 gene:ENSMUSG00000048490.13 transcript:ENSMUST00000054178.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrip1 description:nuclear receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1315213] MTHGEELGSDVHQDSIVLTYLEGLLMHQAAGGSGTAINKKSAGHKEEDQNFNLSGSAFPS CQSNGPTVSTQTYQGSGMLHLKKARLLQSSEDWNAAKRKRLSDSIVNLNVKKEALLAGMV DSVPKGKQDSTLLASLLQSFSSRLQTVALSQQIRQSLKEQGYALSHESLKVEKDLRCYGV ASSHLKTLLKKSKTKDQKSGPTLPDVTPNLIRDSFVESSHPAVGQSGTKVMSEPLSCAAR LQAVASMVEKRASPAASPKPSVACSQLALLLSSEAHLQQYSREHALKTQNAHQVASERLA AMARLQENGQKDVGSSQLSKGVSGHLNGQARALPASKLVANKNNAATFQSPMGVVPSSPK NTSYKNSLERNNLKQAANNSLLLHLLKSQTIPTPMNGHSQNERASSFESSTPTTIDEYSD NNPSFTDDSSGDESSYSNCVPIDLSCKHRIEKPEAERPVSLENLTQSLLNTWDPKIPGVD IKEDQDTSTNSKLNSHQKVTLLQLLLGHKSEETVERNASPQDIHSDGTKFSPQNYTRTSV IESPSTNRTTPVSTPPLYTASQAESPINLSQHSLVIKWNSPPYACSTPASKLTNTAPSHL MDLTKGKESQAEKPAPSEGAQNSATFSASKLLQNLAQCGLQSSGPGEEQRPCKQLLSGNP DKPLGLIDRLNSPLLSNKTNAAEESKAFSSQPAGPEPGLPGCEIENLLERRTVLQLLLGN SSKGKNEKKEKTPARDEAPQEHSERAANEQILMVKIKSEPCDDFQTHNTNLPLNHDAKSA PFLGVTPAIHRSTAALPVSEDFKSEPASPQDFSFSKNGLLSRLLRQNQESYPADEQDKSH RNSELPTLESKNICMVPKKRKLYTEPLENPFKKMKNTAVDTANHHSGPEVLYGSLLHQEE LKFSRNELDYKYPAGHSSASDGDHRSWARESKSFNVLKQLLLSENCVRDLSPHRSDSVPD TKKKGHKNNAPGSKPEFGISSLNGLMYSSPQPGSCVTDHRTFSYPGMVKTPLSPPFPEHL GCVGSRPEPGLLNGCSVPGEKGPIKWVIADMDKNEYEKDSPRLTKTNPILYYMLQKGGGN SVTTQETQDKDIWREPASAESLSQVTVKEELLPAAETKASFFNLRSPYNSHMGNNASRPH STNGEVYGLLGNALTIKKESE >ENSMUSP00000123638.1 pep:known chromosome:GRCm38:16:76294646:76323658:-1 gene:ENSMUSG00000048490.13 transcript:ENSMUST00000140483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrip1 description:nuclear receptor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1315213] MTHGEEL >ENSMUSP00000096149.3 pep:known chromosome:GRCm38:3:144910921:144932529:-1 gene:ENSMUSG00000074195.3 transcript:ENSMUST00000098549.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clca4b description:chloride channel accessory 4B [Source:MGI Symbol;Acc:MGI:2139790] MMAFSRGPVFLLFLLYLLWGSDTSLIKLNGNGYEDIIIAIDPAVPEDTTIIEHIKGMVTK ASTYLFEATEKRFFFKNVSILIPESWKNSSQYRRPKQESYKHADIKVAPPAFEGRDEPYT RQFTQCEEKAEYIHFTPDFVLGRKQVEYGDSGKLLVHEWAHLRWGVFDEYNEDQPFYSAS SKKIEATRCSTGITGMNRVHTCQGGSCITRRCRTNSTTKLYEKDCQFFPDKVQSEKASIM FMQSIDSVTEFCKKENHNREAPTLHNEKCQWRSTWEVISSSEDFNSSTPMETPPAPPFFS LLRISERIVCLVLDVSGSMSSSDRLNRMNQAAKYFLSQIIENRSWVGMVHFSSQATIVHE LIQMNSDIERNKLLQTLPTSAIGGTSICSGIKTAFQVFKNGEYQTDGTEILLLSDGEDST AKDCIDEVKDSGSIVHFIALGPSADLAVTNMSILTGGNHKLATDEAQNNGLIDAFGALAS ENTDITQKSLQLESKGAILNNSLWLNDTVVIDSTVGRDTFFLVTWSKQAPAIYLRDPKGT QTTNFTMDFVSKMAYLSIPGTAEVGVWTYNLEAKENSEILTITVTSRAANSSVPPISVNA KVNTDTNTFPSPMIVYAEVLQGYTPIIGARVTATIESNSGKTEELVLLDNGAGADAFKDD GVYSRFFTAYSVNGRYSLKVRADGGTNSARRSLRHPSSRAAYIPGWVVDGEIQGNPPRPE MTEATQPVLENFSRTASGGAFVMSNVPIGPLPDQYPPNRITDLQATLDGEEISLTWTAPG DDYDVGRVQQYIIRTSENIIDLRDNFNNSLRVDTTKLTPKEANSKETFAFKPENISEENA TYIFIAIESVDKNNLSSGPSNIAQVAMFTPQAEPVPDESPRSSGVSISTIVLSVVGSVVL VCIIVSTTICILKNKRSSSGAATTF >ENSMUSP00000139764.1 pep:known chromosome:GRCm38:Y:11197024:11199374:-1 gene:ENSMUSG00000094838.2 transcript:ENSMUST00000190516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20828 description:predicted gene, 20828 [Source:MGI Symbol;Acc:MGI:5434184] MTSLKKKSRRKPSSHALGNIVGCRISHGWKEGNEPVTHWKAIILGQLTTNPSLYLVKYEG IDSVYGQEVHSDERILNLKVLPHKVVFLQVRDVHLASALVGREVQHKFDGKDGSEDNWSG MVLAQVPFLQDFFFYISYKKDPVLYHYQLLDDYKVGNLHIIPETFLAEARSGDDNDFLIG TCVQYTRDDGCKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000129237.1 pep:known chromosome:GRCm38:Y:11197162:11197848:-1 gene:ENSMUSG00000094838.2 transcript:ENSMUST00000170889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20828 description:predicted gene, 20828 [Source:MGI Symbol;Acc:MGI:5434184] MTSLKKKSRRKPSSHALGNIVGCRISHGWKEGNEPVTHWKAIILGQLTTNPSLYLVKYEG IDSVYGQEVHSDERILNLKVLPHKVVFLQVRDVHLASALVGREVQHKFDGKDGSEDNWSG MVLAQVPFLQDFFFYISYKKDPVLYHYQLLDDYKVGNLHIIPETFLAEARSGDDNDFLIG TCVQYTRDDGCKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000066427.2 pep:known chromosome:GRCm38:16:88505807:88506978:-1 gene:ENSMUSG00000055811.2 transcript:ENSMUST00000069549.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn17 description:claudin 17 [Source:MGI Symbol;Acc:MGI:2652030] MAFYPLQIAGLVLGFFGLVGTIGTTLLPQWRVSAFIGSNIIIFERIWEGLWMNCIQQAMV TLQCKFYNSILALPPVLEAARALMCVAVALALVALIIGICGMKQLQCTGSSERVKAYLLG TSGVLFILTGIFVLIPVSWTANIIIRDFYDPTVHAGQKRELGGALFLGWATAAVLFIGGG LLCGYCCCNRKERWHRYPVPAYRVPQKDNQRNVTVPRKSSTSYV >ENSMUSP00000095195.2 pep:known chromosome:GRCm38:1:131285251:131527347:-1 gene:ENSMUSG00000026425.15 transcript:ENSMUST00000097588.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap2 description:SLIT-ROBO Rho GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:109605] MTSPAKFKKDKEIIAEYDTQVKEIRAQLTEQMKCLDQQCELRVQLLQDLQDFFRKKAEIE MDYSRNLEKLAERFLAKTRSTKDQQFKKDQNVLSPVNCWNLLLNQVKRESRDHTTLSDIY LNNIIPRFVQVSEDSGRLFKKSKEVGQQLQDDLMKVLNELYSVMKTYHMYNADSISAQSK LKEAEKQEEKQIGKSVKQEDRQTPRSPDSTANVRIEEKHVRRSSVKKIEKMKEKRQAKYT ENKLKAIKARNEYLLALEATNASVFKYYIHDLSDIIDQCCDLGYHASLNRALRTFLSAEL NLEQSKHEGLDAIENAVENLDATSDKQRLMEMYNNVFCPPMKFEFQPHMGDMASQLCAQQ PVQSELVQRCQQLQSRLSTLKIENEEVKKTMEATLQTIQDIVTVEDFDVSDCFQYSNSME SVKSTVSETFMSKPSIAKRRANQQETEQFYFTKMKEYLEGRNLITKLQAKHDLLQKTLGE SQRTDCSLARRSSTVRKQDSSQAIPLVVESCIRFISRHGLQHEGIFRVSGSQVEVNDIKN AFERGEDPLAGDQNDHDMDSIAGVLKLYFRGLEHPLFPKDIFHDLIACVTMDNLQERAVH IRKVLLVLPKPTLIIMRYLFAFLNHLSQFSEENMMDPYNLAICFGPSLMSVPEGHDQVSC QAHVNELIKTIIIQHENIFPNPRELEGPIYSRGGSMEDYCDSTHGETTSAEDSTQDVTAE HHTSDDECEPIEAIAKFDYVGRTARELSFKKGASLLLYQRASDDWWEGRHNGIDGLIPHQ YIVVQDTEDGVVERSSPKSEIEVMSEPPEEKVTARTGASCPSGGHVADIYLANINKQRKR PESGSIRKAFRSDSHGLGSSLTDSSSLGVGASCRPSSQPIMSQNLPKEGPDKCSISGHGS LNSISRHSSLKNRMDSPQIRKTATAGRSKSFNNHRPMDPEVIAQDIEATMNSALNELQEL ERQSSAKHTPDVVLDTLEPLKTSPVVAPTSEPSSPLHTQLLKDPEPAFQRSASTAGDIAC AFRPVKSVKMAAPVKPPATRPKPTVFPKTNATSPGVNSSASPQATDKSCTV >ENSMUSP00000140927.1 pep:known chromosome:GRCm38:1:131289358:131300453:-1 gene:ENSMUSG00000026425.15 transcript:ENSMUST00000187042.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap2 description:SLIT-ROBO Rho GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:109605] TTSAEDSTQDVTAEHHTSDDECEPIEAIAKFDYVGRTARELSFKKGASLLLYQRASDDWW EGRHNGIDGLIPHQYIVVQDTEDGVVERSSPKSEIEVMSEPPEEKVTARTGASCPSGGHV ADIYLANINKQRKRPESGSIRKAFRSDSHGLGSSLTDSSSLGVGASCRPSSQPIMSQNLP KEGPDKCSISGHGSLNSISRHSSLKNRMDSPQIRKTATAGRSKSFNNHRPMDPEVIAQKP NPTSKHPATGATPSSSLRRLSQLYNFH >ENSMUSP00000139405.1 pep:known chromosome:GRCm38:1:131295218:131527347:-1 gene:ENSMUSG00000026425.15 transcript:ENSMUST00000186543.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap2 description:SLIT-ROBO Rho GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:109605] MTSPAKFKKDKEIIAEYDTQVKEIRAQLTEQMKCLDQQCELRVQLLQDLQDFFRKKAEIE MDYSRNLEKLAERFLAKTRSTKDQQFKKDQNVLSPVNCWNLLLNQVKRESRDHTTLSDIY LNNIIPRFVQVSEDSGRLFKKSKEVGQQLQDDLMKVLNELYSVMKTYHMYNADSISAQSK LKEAEKQEEKQIGKSVKQEDRQTPRSPDSTANVRIEEKHVRRSSVKKIEKMKEKRQAKYT ENKLKAIKARNEYLLALEATNASVFKYYIHDLSDIIDQCCDLGYHASLNRALRTFLSAEL NLEQSKHEGLDAIENAVENLDATSDKQRLMEMYNNVFCPPMKFEFQPHMGDMASQLCAQQ PVQSELVQRCQQLQSRLSTLKIENEEVKKTMEATLQTIQDIVTVEDFDVSDCFQYSNSME SVKSTVSETFMSKPSIAKRRANQQETEQFYFTKMKEYLEGRNLITKLQAKHDLLQKTLGE SQRTDCSLARRSSTVRKQDSSQAIPLVVESCIRFISRHGLQHEGIFRVSGSQVEVNDIKN AFERGEDPLAGDQNDHDMDSIAGVLKLYFRGLEHPLFPKDIFHDLIACVTMDNLQERAVH IRKVLLVLPKPTLIIMRYLFAFLNHLSQFSEENMMDPYNLAICFGPSLMSVPEGHDQVSC QAHVNELIKTIIIQHENIFPNPRELEGPIYSRGGSMEDYCDSTHGETTSAEDSTQDVTAE HHTSDDECEPIEAIAKFDYVGRTARELSFKKGASLLLYQRASDDWWEGRHNGIDGLIPHQ YIVVQDTEDGVVERSSPKSEIEVMSEPPEEKVTARTGASCPSGGHVADIYLANINKGIPR SPAHELPPSQEALRLLEN >ENSMUSP00000140910.1 pep:known chromosome:GRCm38:1:131295221:131344547:-1 gene:ENSMUSG00000026425.15 transcript:ENSMUST00000188770.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap2 description:SLIT-ROBO Rho GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:109605] XVKKTMEATLQTIQDIVTVEDFDVSDCFQYSNSMESVKSTVSETFMSKPSIAKRRANQQE TEQFYFTKMKEYLEGRNLITKLQAKHDLLQKTLGESQRTDCSLARRSSTVRKQDSSQAIP LVVESCIRFISRHGLQHEGIFRVSGSQVEVNDIKNAFERGEDPLAGDQNDHDMDSIAGVL KLYFRGLEHPLFPKDIFHDLIACVTMDNLQERAVHIRKVLLVLPKPTLIIMRYLFAFLNH LSQFSEENMMDPYNLAICFGPSLMSVPEGHDQVSCQAHVNELIKTIIIQHENIFPNPREL EGPIYSRGGSMEDYCDSTHGETTSAEDSTQDVTAEHHTSDDECEPIEAIAKFDYVGRTAR ELSFKKGASLLLYQRASDDWWEGRHNGIDGLIPHQYIVVQDTEDGVVERSSPKSEIEVMS EPPEEKVTARTGASCPSGGHVADIYLANINK >ENSMUSP00000141140.1 pep:known chromosome:GRCm38:1:131296641:131364064:-1 gene:ENSMUSG00000026425.15 transcript:ENSMUST00000185596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap2 description:SLIT-ROBO Rho GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:109605] SKEVGQQLQDDLMKVLNELYSVMKTYHMYNADSISAQSKLKEAEKQEEKQIGKSVKQEDR QTPRSPDSTANVRIEEKHVRRSSVKKIEKMKEKRQAKYTENKLKAIKARNEYLLALEATN ASVFKYYIHDLSDIIDQCCDLGYHASLNRALRTFLSAELNLEQSKHEGLDAIENAVENLD ATSDKQRLMEMYNNVFCPPMKFEFQPHMGDMASQLCAQQPVQSELVQRCQQLQSRLSTLK IENEEVKKTMEATLQTIQDIVTVEDFDVSDCFQYSNSMESVKSTVSETFMSKPSIAKRRA NQQETEQFYFTKMKEYLEGRNLITKLQAKHDLLQKTLGESQRTDCSLARRSSTVRKQDSS QAIPLVVESCIRFISRHGLQHEGIFRVSGSQVEVNDIKNAFERGEDPLAGDQNDHDMDSI AGVLKLYFRGLEHPLFPKDIFHDLIACVTMDNLQERAVHIRKVLLVLPKPTLIIMRYLFA FLNHLSQFSEENMMDPYNLAICFGPSLMSVPEGHDQVSCQAHVNELIKTIIIQHENIFPN PRELEGPIYSRGGSMEDYCDSTHGETTSAEDSTQDVTAEHHTSDDECEPIEAIAKFDYVG RTARELSFKKGASLLLYQRASDDWWEGRHNGIDGLIPHQYIVVQDTLIS >ENSMUSP00000140787.1 pep:known chromosome:GRCm38:1:131411800:131514232:-1 gene:ENSMUSG00000026425.15 transcript:ENSMUST00000185445.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap2 description:SLIT-ROBO Rho GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:109605] MKCLDQQCELRVQLLQDLQDFFRKKAEIEMDYSRNLEKLAERFLAKTRSTKDQQFKKDQN VLSPVNCWNLLLNQVKRESRDHTTLSDIYLNNIIPRFVQVSEDSGRLFKK >ENSMUSP00000139462.1 pep:known chromosome:GRCm38:1:131436675:131504366:-1 gene:ENSMUSG00000026425.15 transcript:ENSMUST00000187273.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srgap2 description:SLIT-ROBO Rho GTPase activating protein 2 [Source:MGI Symbol;Acc:MGI:109605] MKCLDQQCELRVQLLQDLQDFFRKKAEIEMDYSRNLEKLAERFLAKTRSTKDQQF >ENSMUSP00000099866.3 pep:known chromosome:GRCm38:16:45224315:45252892:1 gene:ENSMUSG00000052013.14 transcript:ENSMUST00000102802.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btla description:B and T lymphocyte associated [Source:MGI Symbol;Acc:MGI:2658978] MKTVPAMLGTPRLFREFFILHLGLWSILCEKATKRNDEECPVQLTITRNSKQSARTGELF KIQCPVKYCVHRPNVTWCKHNGTICVPLEVSPQLYTSWEENQSVPVFVLHFKPIHLSDNG SYSCSTNFNSQVINSHSVTIHVTERTQNSSEHPLIISDIPDATNASGPSTMEERPGRTWL LYTLLPLGALLLLLACVCLLCFLKRIQGKEKKPSDLAGRDTNLVDIPASSRTNHQALPSG TGIYDNDPWSSMQDESELTISLQSERNNQGIVYASLNHCVIGRNPRQENNMQEAPTEYAS ICVRS >ENSMUSP00000067877.4 pep:known chromosome:GRCm38:16:45224337:45252895:1 gene:ENSMUSG00000052013.14 transcript:ENSMUST00000063654.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btla description:B and T lymphocyte associated [Source:MGI Symbol;Acc:MGI:2658978] MKTVPAMLGTPRLFREFFILHLGLWSILCEKATKRNDEECPVQLTITRNSKQSARTGELF KIQCPVKYCVHRPNVTWCKHNGTICVPLEVSPQLYTSWEENQSVPVFVLHFKPIHLSDNG SYSCSTNFNSQVINSHSVTIHVTERTQNSSEHPLITVSDIPDATNASGPSTMEERPGRTW LLYTLLPLGALLLLLACVCLLCFLKRIQGKEKKPSDLAGRDTNLVDIPASSRTNHQALPS GTGIYDNDPWSSMQDESELTISLQSERNNQGIVYASLNHCVIGRNPRQENNMQEAPTEYA SICVRS >ENSMUSP00000067527.6 pep:known chromosome:GRCm38:1:172581758:172590568:-1 gene:ENSMUSG00000053318.7 transcript:ENSMUST00000065679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slamf8 description:SLAM family member 8 [Source:MGI Symbol;Acc:MGI:1921998] MWSLWSLLLFEALLPVVVVSVQVLSKVGDSELLVAECPPGFQVREAIWRSLWPSEELLAT FFRGSLETLYHSRFLGRVQLYDNLSLELGPLKPGDSGNFSVLMVDTRGQTWTQTLYLKVY DAVPKPEVQVFTAAAEETQPLNTCQVFLSCWAPNISDITYSWRREGTVDFNGEVHSHFSN GQVLSVSLGLGDKDVAFTCIASNPVSWDMTTVTPWESCHHEAASGKASYKDVLLVVVPIT LFLILAGLFGAWHHGLCSGKKKDACTDGVLPETENALV >ENSMUSP00000121851.1 pep:known chromosome:GRCm38:2:90847155:90866810:1 gene:ENSMUSG00000027282.17 transcript:ENSMUST00000136872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtch2 description:mitochondrial carrier homolog 2 (C. elegans) [Source:MGI Symbol;Acc:MGI:1929260] MADAASQVLLGSGLTILSQPLMYVKVLIQVGYEPLPPTIGRNIFGRQVCQLPGLFCYAQH IASIDGRRGLFTGLTPRLCSGVLGTVVHGKVLQYYQESEKPEELGSVTVQKEYSSSFDRV IKETTREMIARSAATLITHPFHVITLRSMVQFIGRESKYCGLCDSIVTIYREEGIVGFFA GLIPRLLGDIISLWLCNSLAYLINTYALDSGVSTMNEMKSYSQAVTGFFASMLTYPFVLV SNLMAVNNCGLAGGSPPYSPIYTSWIDCWCMLQKAGNMSRGNSLFFRKVPCGKTYCYDLR MLI >ENSMUSP00000118566.1 pep:known chromosome:GRCm38:2:90847231:90866640:1 gene:ENSMUSG00000027282.17 transcript:ENSMUST00000150232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtch2 description:mitochondrial carrier homolog 2 (C. elegans) [Source:MGI Symbol;Acc:MGI:1929260] MADAASQVLLGSGLTILSQPLMYVKVLIQVGYEPLPPTIGRNIFGRQVCQLPGLFCYAQH IASIDGRRGLFTGLTPRLCSGVLGTVVHGKVLQYYQESEKPEISVFAFDFQELGSVTVQK EYSSSFDRVIKETTREMIARSAATLITHPFHVITLRSMVQFIGRESKYCGLCDSIVTIYR EEGIVGFFAGLIPRLLGDIISLWLCNSLAYLINTYALDSGVSTMNEMKSYSQAVTGFFAS MLTYPFVLVSNLMAVNNCGLAGGSPPYSPIYTSWIDCWCMLQKAGNMSRGNSLFFRKVPC GKTYCYDLRMLI >ENSMUSP00000107092.3 pep:known chromosome:GRCm38:2:90847273:90866640:1 gene:ENSMUSG00000027282.17 transcript:ENSMUST00000111467.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtch2 description:mitochondrial carrier homolog 2 (C. elegans) [Source:MGI Symbol;Acc:MGI:1929260] MADAASQVLLGSGLTILSQPLMYVKVLIQVGYEPLPPTIGRNIFGRQVCQLPGLFCYAQH IASIDGRRGLFTGLTPRLCSGVLGTVVHGKVLQELGSVTVQKEYSSSFDRVIKETTREMI ARSAATLITHPFHVITLRSMVQFIGRESKYCGLCDSIVTIYREEGIVGFFAGLIPRLLGD IISLWLCNSLAYLINTYALDSGVSTMNEMKSYSQAVTGFFASMLTYPFVLVSNLMAVNNC GLAGGSPPYSPIYTSWIDCWCMLQKAGNMSRGNSLFFRKVPCGKTYCYDLRMLI >ENSMUSP00000107093.3 pep:known chromosome:GRCm38:2:90847178:90865388:1 gene:ENSMUSG00000027282.17 transcript:ENSMUST00000111468.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtch2 description:mitochondrial carrier homolog 2 (C. elegans) [Source:MGI Symbol;Acc:MGI:1929260] MADAASQVLLGSGLTILSQPLMYVKVLIQLSTLQASMGGVGCSQA >ENSMUSP00000139388.1 pep:known chromosome:GRCm38:7:15882617:15900293:1 gene:ENSMUSG00000074365.13 transcript:ENSMUST00000125993.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crxos description:cone-rod homeobox, opposite strand [Source:MGI Symbol;Acc:MGI:2451355] MATRLKLKEEQVEAWFIQRSLEEEMRPPLARLQQSALDGTSSPSHKALCCRPPSWKYRLI PINPPESSTSCKHSC >ENSMUSP00000138909.1 pep:known chromosome:GRCm38:7:15882653:15898617:1 gene:ENSMUSG00000074365.13 transcript:ENSMUST00000130566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crxos description:cone-rod homeobox, opposite strand [Source:MGI Symbol;Acc:MGI:2451355] MATRLKLKEEQVEAWFIQRSLEEEMRPPLARLQQSALDGTSSPSHKALCCRPPSWKYRLI PINPPESSTS >ENSMUSP00000096399.2 pep:known chromosome:GRCm38:7:15896124:15904020:1 gene:ENSMUSG00000074365.13 transcript:ENSMUST00000098801.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crxos description:cone-rod homeobox, opposite strand [Source:MGI Symbol;Acc:MGI:2451355] MEASPRSLTSCTLGPLDQKFSWEQLSELEAYFKVEPYPDLQDRKIMATRLKLKEEQVEAW FIQRSLEEEMRPPLARLQQSALDGTSSPSHKALCCRPPSWKYRLIPINPPESSTSCLKDS KTVLISKTELTDEQFQKLRKHFETDRCPNEETLQAFAEELKLRKDLIRSWFITQRHRMRG YRRLFMRYYRDWKTSREYSTTRSFDRQKNSKECSQNDPGLPEALEALKRLKLSSGYQSRD GMSQDF >ENSMUSP00000133563.1 pep:known chromosome:GRCm38:7:15896171:15900298:1 gene:ENSMUSG00000074365.13 transcript:ENSMUST00000150050.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crxos description:cone-rod homeobox, opposite strand [Source:MGI Symbol;Acc:MGI:2451355] MEASPRSLTSCTLGPLDQKFSWEQLSELEAYFKVEPYPDLQDRKIMATRLKLKEEQVEAW FIQRSLEEEMRPPLARLQQSALDGTSSPSHKALCCRPPSWKYRLIPINPPESSTSCKHSC >ENSMUSP00000138891.1 pep:known chromosome:GRCm38:7:15897406:15903922:1 gene:ENSMUSG00000074365.13 transcript:ENSMUST00000173808.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Crxos description:cone-rod homeobox, opposite strand [Source:MGI Symbol;Acc:MGI:2451355] SCTLGPLDQKFSWEQLSELEAYFKVEPYPDLQDRKIMATRLKLKEEQVEA >ENSMUSP00000130485.2 pep:known chromosome:GRCm38:7:15901646:15904020:1 gene:ENSMUSG00000074365.13 transcript:ENSMUST00000171280.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crxos description:cone-rod homeobox, opposite strand [Source:MGI Symbol;Acc:MGI:2451355] MEEIDGMIVQMRLKDSKTVLISKTELTDEQFQKLRKHFETDRCPNEETLQAFAEELKLRK DLIRSWFITQRHRMRGYRRLFMRYYRDWKTSREYSTTRSFDRQKNSKECSQNDPGLPEAL EALKRLKLSSGYQSRDGMSQDF >ENSMUSP00000109638.2 pep:known chromosome:GRCm38:2:27009926:27016849:1 gene:ENSMUSG00000015488.14 transcript:ENSMUST00000114005.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacfd1 description:calcium channel flower domain containing 1 [Source:MGI Symbol;Acc:MGI:1924317] MSGSGAAGAAAGPAPPAQEEGMTWWYRWLCRLAGVLGAVSCAISGLFNCVTIHPLNIAAG VWMIMNAFILLLCEAPFCCQFVEFANTVAEKVDRLRSWQKAVFYCGHGEALRGAWPDVRL PNRNTISL >ENSMUSP00000109637.1 pep:known chromosome:GRCm38:2:27009926:27017424:1 gene:ENSMUSG00000015488.14 transcript:ENSMUST00000114004.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacfd1 description:calcium channel flower domain containing 1 [Source:MGI Symbol;Acc:MGI:1924317] MSGSGAAGAAAGPAPPAQEEGMTWWYRWLCRLAGVLGAVSCAISGLFNCVTIHPLNIAAG VWMIMNAFILLLCEAPFCCQFVEFANTVAEKVDRLRSWQKAVFYCG >ENSMUSP00000109639.1 pep:known chromosome:GRCm38:2:27009926:27021089:1 gene:ENSMUSG00000015488.14 transcript:ENSMUST00000114006.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacfd1 description:calcium channel flower domain containing 1 [Source:MGI Symbol;Acc:MGI:1924317] MSGSGAAGAAAGPAPPAQEEGMTWWYRWLCRLAGVLGAVSCAISGLFNCVTIHPLNIAAG VWMIMNAFILLLCEAPFCCQFVEFANTVAEKVDRLRSWQKAVFYCGMAIVPIVMSLTLTT LLGNAIAFATGVLYGLSALGKKGDAISYARIQQQRQQADEEKLAETFEGEL >ENSMUSP00000109640.1 pep:known chromosome:GRCm38:2:27009926:27021089:1 gene:ENSMUSG00000015488.14 transcript:ENSMUST00000114007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacfd1 description:calcium channel flower domain containing 1 [Source:MGI Symbol;Acc:MGI:1924317] MSGSGAAGAAAGPAPPAQEEGMTWWYRWLCRLAGVLGAVSCAISGLFNCVTIHPLNIAAG VWMIMNAFILLLCEAPFCCQFVEFANTVAEKVDRLRSWQKAVFYCGMAIVPIVMSLTLTT LLGNAIAFATGVLYGLSALGKKGDAISYARIQQQRQQADEEKLAETFEGEL >ENSMUSP00000122562.1 pep:known chromosome:GRCm38:2:27010082:27015903:1 gene:ENSMUSG00000015488.14 transcript:ENSMUST00000133807.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacfd1 description:calcium channel flower domain containing 1 [Source:MGI Symbol;Acc:MGI:1924317] XGGSARSGVYTKCYFEGFCSGQNTSNSSRKRDARKRKPPPEKTAADKEKPEACAISGLFN CVTIHPLNIAAGVWMIMNAFILLLCEAPFCCQFVEFANTVAEKVDRLRSWQKAVFYCG >ENSMUSP00000109636.1 pep:known chromosome:GRCm38:2:27010593:27020298:1 gene:ENSMUSG00000015488.14 transcript:ENSMUST00000114003.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacfd1 description:calcium channel flower domain containing 1 [Source:MGI Symbol;Acc:MGI:1924317] MIMNAFILLLCEAPFCCQFVEFANTVAEKVDRLRSWQKAVFYCGMAIVPIVMSLTLTTLL GNAIAFATGVLYGLSALGKKGDAISYARIQQQRQQADEEKLAETFEGEL >ENSMUSP00000105627.1 pep:known chromosome:GRCm38:2:145903241:145916425:1 gene:ENSMUSG00000002728.14 transcript:ENSMUST00000110000.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa20 description:N(alpha)-acetyltransferase 20, NatB catalytic subunit [Source:MGI Symbol;Acc:MGI:1915127] MTTLRAFTCDDLFRFNNINLDPLTETYGIPFYLQYLAHWPEYFIVAEAPGGELMGYIMGK AEGSVAREEWHGHVTALSVAPEFRRLGLAAKLMELLEEISERKGGFFVDLFVRVSNQVAV NMYKQLGYSVYRTVIEYYSASNGEPDEDAYDMRKALSRDTEKKSIIPLPHPVRPEDIE >ENSMUSP00000002805.7 pep:known chromosome:GRCm38:2:145903241:145916425:1 gene:ENSMUSG00000002728.14 transcript:ENSMUST00000002805.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa20 description:N(alpha)-acetyltransferase 20, NatB catalytic subunit [Source:MGI Symbol;Acc:MGI:1915127] MTTLRAFTCDDLFRFNNINLDPLTETYGIPFYLQYLAHWPEYFIVAEAPGGELMGYSKYS TTSTFLVMGKAEGSVAREEWHGHVTALSVAPEFRRLGLAAKLMELLEEISERKGGFFVDL FVRVSNQVAVNMYKQLGYSVYRTVIEYYSASNGEPDEDAYDMRKALSRDTEKKSIIPLPH PVRPEDIE >ENSMUSP00000127844.1 pep:known chromosome:GRCm38:2:145902099:145912528:1 gene:ENSMUSG00000002728.14 transcript:ENSMUST00000150316.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa20 description:N(alpha)-acetyltransferase 20, NatB catalytic subunit [Source:MGI Symbol;Acc:MGI:1915127] MGYIMGKAEGSVAREEWHGHVTALSVAPEFRRLGLAAKLMELLEEISE >ENSMUSP00000126280.1 pep:known chromosome:GRCm38:2:145903294:145910404:1 gene:ENSMUSG00000002728.14 transcript:ENSMUST00000134759.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa20 description:N(alpha)-acetyltransferase 20, NatB catalytic subunit [Source:MGI Symbol;Acc:MGI:1915127] MTTLRAFTCDDLFRFNNINLDPLTETVSFLRKKKSKTKDYLWRRKLEKQKKKMSFEKCFK E >ENSMUSP00000132857.1 pep:known chromosome:GRCm38:2:145903257:145915557:1 gene:ENSMUSG00000002728.14 transcript:ENSMUST00000169732.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naa20 description:N(alpha)-acetyltransferase 20, NatB catalytic subunit [Source:MGI Symbol;Acc:MGI:1915127] MTTLRAFTCDDLFRFNNINLDPLTETYLAHWPEYFIVAEAPGGELMGYSKYSTTSTFLVM GKAEGSVAREEWHGHVTALSVAPEFRRLGLAAKLMELLEEISERKGGFFVDL >ENSMUSP00000127918.1 pep:known chromosome:GRCm38:2:145903262:145915631:1 gene:ENSMUSG00000002728.14 transcript:ENSMUST00000149461.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa20 description:N(alpha)-acetyltransferase 20, NatB catalytic subunit [Source:MGI Symbol;Acc:MGI:1915127] MTTLRAFTCDDLFRFNNINLDPLTETYGIPFYLQYLAHWPEYFIVAEAPGGELMGYITGV IFCWQLWAKQKAR >ENSMUSP00000129127.1 pep:known chromosome:GRCm38:2:145903253:145915551:1 gene:ENSMUSG00000002728.14 transcript:ENSMUST00000165635.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Naa20 description:N(alpha)-acetyltransferase 20, NatB catalytic subunit [Source:MGI Symbol;Acc:MGI:1915127] MTTLRAFTCDDLFRFNNITLRTGQSISSSLKHLAEN >ENSMUSP00000112458.1 pep:known chromosome:GRCm38:X:102903216:102908672:-1 gene:ENSMUSG00000031323.8 transcript:ENSMUST00000119624.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1a description:DMRT-like family C1a [Source:MGI Symbol;Acc:MGI:1918137] MQRSSGSREPRKDFSPVTTSKKEEATPLKRRLVERHKRTMAAAHPSHMHVKKLAVEEGVR TGKNTVQQIQAQVDTATQEESSQGPVLLNQHPETTSVPYTPETVGQQLMVSLPGEPHGTS AMPSMCPSLILQPCATTDPMLLQPQGPSASNQASVSATLEWQEMLEAAEALLALKNSSQT RHQPCGMPGTAGERGLQLANPSMPPRPTSSGSLPSGHLDCMSLLT >ENSMUSP00000112572.1 pep:known chromosome:GRCm38:X:102903222:102906469:-1 gene:ENSMUSG00000031323.8 transcript:ENSMUST00000120808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1a description:DMRT-like family C1a [Source:MGI Symbol;Acc:MGI:1918137] MVEEEVAKKYDSSFTAGKNTVQQIQAQVDTATQEESSQGPVLLNQHPETTSVPYTPETVG QQLMVSLPGEPHGTSAMPSMCPSLILQPCATTDPMLLQPQVMGPSASNQASVSATLEWQE MLEAAEALLALKNSSQTRHQPCGMPVKRELSGASRM >ENSMUSP00000113569.1 pep:known chromosome:GRCm38:X:102903222:102906558:-1 gene:ENSMUSG00000031323.8 transcript:ENSMUST00000121197.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1a description:DMRT-like family C1a [Source:MGI Symbol;Acc:MGI:1918137] MVEEEVAKKYDSSFTAGKNTVQQIQAQVDTATQEESSQGPVLLNQHPETTSVPYTPETVG QQLMVSLPGEPHGTSAMPSMCPSLILQPCATTDPMLLQPQVMGPSASNQASVSATLEWQE MLEAAEALLALKNSSQTRHQPCGMPGTAGERGLQLANPSMPPRPTSSGSLPSGHLDCMSL LT >ENSMUSP00000033686.1 pep:known chromosome:GRCm38:X:102903222:102908687:-1 gene:ENSMUSG00000031323.8 transcript:ENSMUST00000033686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1a description:DMRT-like family C1a [Source:MGI Symbol;Acc:MGI:1918137] MQRSSGSREPRKDFSPVTTSKKEEATPLKRRLVERHKRTMAAAHPSHMHVKKLAVEEGVR TGKNTVQQIQAQVDTATQEESSQGPVLLNQHPETTSVPYTPETVGQQLMVSLPGEPHGTS AMPSMCPSLILQPCATTDPMLLQPQVMGPSASNQASVSATLEWQEMLEAAEALLALKNSS QTRHQPCGMPVKRELSGASRM >ENSMUSP00000100927.2 pep:known chromosome:GRCm38:10:94148023:94197211:1 gene:ENSMUSG00000005897.14 transcript:ENSMUST00000105290.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2c1 description:nuclear receptor subfamily 2, group C, member 1 [Source:MGI Symbol;Acc:MGI:1352465] MATIEEIAHQIIDQQMGEIVTEQQTGQKIQIVTALDHSTQGKQFILANHEGSTPGKVFLT TPDAAGVNQLFFTSPDLSAPHLQLLTEKSPDQGPNKVFDLCVVCGDKASGRHYGAITCEG CKGFFKRSIRKNLVYSCRGSKDCVINKHHRNRCQYCRLQRCIAFGMKQDSVQCERKPIEV SREKSSNCAASTEKIYIRKDLRSPLAATPTFVTDSETARSAGLLDSGMFVNIHPSGIKTE PAMLMAPDKAESCQGDLSTLASVVTSLANLGKAKDLSHCGGDMPVVQSLRNGDTSFGAFH HDIQTNGDVSRAFDTLAKALTPGESSACQSPEEGMEGSPHLIAGEPSFVEKEGPLLSESH VAFRLTMPSPMPEYLNVHYIGESASRLLFLSMHWALSIPSFQALGQENSISLVKAYWNEL FTLGLAQCWQVMNVATILATFVNCLHSSLQQDKMSPERRKSLMEHIFKLQEFCNSMVKLC IDGHEYAYLKAIVLFSPDHPGLENMELIERFQEKAYVEFQDYITRTYPDDTYRLSRLLLR LPALRLMNATITEELFFKGLIGNVRIDSVIPHILKMEPADYNSQIIGHSL >ENSMUSP00000089858.4 pep:known chromosome:GRCm38:10:94148419:94195681:1 gene:ENSMUSG00000005897.14 transcript:ENSMUST00000092213.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2c1 description:nuclear receptor subfamily 2, group C, member 1 [Source:MGI Symbol;Acc:MGI:1352465] MATIEEIAHQIIDQQMGEIVTEQQTGQKIQIVTALDHSTQGKQFILANHEGSTPGKVFLT TPDAAGVNQLFFTSPDLSAPHLQLLTEKSPDQGPNKVFDLCVVCGDKASGRHYGAITCEG CKGFFKRSIRKNLVYSCRGSKDCVINKHHRNRCQYCRLQRCIAFGMKQDSVQCERKPIEV SREKSSNCAASTEKIYIRKDLRSPLAATPTFVTDSETARSAGLLDSGMFVNIHPSGIKTE PAMLMAPDKAESCQGDLSTLASVVTSLANLGKAKDLSHCGGDMPVVQSLRNGDTSFGAFH HDIQTNGDVSRAFDTLAKALTPGESSACQSPEEGMEGSPHLIAGEPSFVEKEGPLLSESH VAFRLTMPSPMPEYLNVHYIGESASRLLFLSMHWALSIPSFQALGQENSISLVKAYWNEL FTLGLAQCWQVMNVATILATFVNCLHSSLQQDKMSPERRKSLMEHIFKLQEFCNSMVKLC IDGHEYAYLKAIVLFSPDHPGLENMELIERFQEKAYVEFQDYITRTYPDDTYRLSRLLLR LPALRLMNATITEELFFKGLIGNVRIDSVIPHILKMEPADYNSQIIGHSL >ENSMUSP00000096945.1 pep:known chromosome:GRCm38:10:94156286:94195351:1 gene:ENSMUSG00000005897.14 transcript:ENSMUST00000099343.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2c1 description:nuclear receptor subfamily 2, group C, member 1 [Source:MGI Symbol;Acc:MGI:1352465] MATIEEIAHQIIDQQMGEIVTEQQTGQKIQIVTALDHSTQGKQFILANHEGSTPGKVFLT TPDAAGVNQLFFTSPDLSAPHLQLLTEKSPDQGPNKVFDLCVVCGDKASGRHYGAITCEG CKGFFKRSIRKNLVYSCRGSKDCVINKHHRNRCQYCRLQRCIAFGMKQDSVQCERKPIEV SREKSSNCAASTEKIYIRKDLRSPLAATPTFVTDSETARSAGLLDSGMFVNIHPSGIKTE PAMLMAPDKAESCQGDLSTLASVVTSLANLGKAKDLSHCGGDMPVVQSLRNGDTSFGAFH HDIQTNGDVSRAFDTLAKALTPGESSACQSPEEGMEGSPHLIAGEPSFVEKEGPLLSESH VAFRLTMPSPMPEYLNVHYIGESASRLLFLSMHWALSIPSFQALGQENSISLVKAYWNEL FTLGLAQCWQVMNVATILATFVNCLHSSLQQDKMSPERRKSLMEHIFKLQEFCNSMVKLC IDGHEYAYLKAIVLFSPDHPGLENMELIERFQEKAYVEFQDYITRTYPDDTYRLSRLLLR LPALRLMNATITEELFFKGLIGNVRIDSVIPHILKMEPADYNSQIIGHSL >ENSMUSP00000011493.5 pep:known chromosome:GRCm38:7:24870057:24877651:1 gene:ENSMUSG00000011349.5 transcript:ENSMUST00000011493.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc2 description:doublesex and mab-3 related transcription factor like family C2 [Source:MGI Symbol;Acc:MGI:1918491] MDPSETAALHHCSADSSPADEARVPQSTELIPRRPVSRSPTCARCRNHGVTAHLKGHKRL CLFQACECHKCVLILERRRVMAAQVALRRQQEAQLKRHLAQGLMKGATPLKAPLRVKKGA IRPGIPSGKENIAPQPQSPHGAVPLVLTPPGKENYGPLLLSRPPEALPLPWTPVPPGPWG PGHWLPPGLSMPPPVVCRLLCQEPAVPLHPFPGFDPGTSLRLPTHGTLPTCPGSRSVLTA PLSGEPQGPPNLPHTCSTLILQSCGTPDSLLLQPQAPGASCLAWTSGPSERQLQREAAEA LVGLKDSSQAPRLTPSVPPNPAWISLLHPCGPPAPPGGRGFQPVGPPLRPSPGSSVSLHI GRLGSISLLS >ENSMUSP00000097377.3 pep:known chromosome:GRCm38:2:89096990:89097925:-1 gene:ENSMUSG00000101480.1 transcript:ENSMUST00000099789.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1220 description:olfactory receptor 1220 [Source:MGI Symbol;Acc:MGI:3031054] MENQSIVNEFILLGLSQNPKIENILFVVFLLVYLATIGGNMMIIATIIYSPALLGSPMYF FLIFLSLLDTCTSTVVTPKLILDFFYERKTISFEGCMTQLFAFHFFTGAEVIVLAAMAYD RYVAICKPLHYSSIMTWRVCGVLLGVAWTGGFLHSIIQIIFTLQLPFCGPNVIDNYICDL FPLLKLACTDTHIFVFLVFANSGSICIIIFSLLLVSYGVILFSLRGHSSEGRLKALSTCG SHITVVVLFFVPCILIYARTTSPFPYEKYVAIFVNVITPLLNPMVYTFRNKEMKNAIQKM CRRSKVVSDNY >ENSMUSP00000109917.2 pep:known chromosome:GRCm38:2:25423553:25426374:1 gene:ENSMUSG00000036587.13 transcript:ENSMUST00000114278.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut7 description:fucosyltransferase 7 [Source:MGI Symbol;Acc:MGI:107692] MNCIGYHPTRRLRAWGGLAGGATFMVIWFFWLWGSAPGSAPVPQSTLTILIWHWPFTNRP PELPGDTCTRYGMASCRLSANRSLLASADAVVFHHRELQTRQSLLPLDQRPHGQPWVWAS MESPSNTHGLHRFRGIFNWVLSYRRDSDIFVPYGRLEPLSGPTSPLPAKSRMAAWVISNF QERQQRAKLYRQLAPHLQVDVFGRASGRPLCANCLLPTLARYRFYLAFENSQHRDYITEK FWRNALAAGAVPVALGPPRATYEAFVPPDAFVHVDDFSSARELAVFLVSMNESRYRGFFA WRDRLRVRLLGDWRERFCTICARYPYLPRSQVYEDLESWFQA >ENSMUSP00000123526.1 pep:known chromosome:GRCm38:2:25423267:25425183:1 gene:ENSMUSG00000036587.13 transcript:ENSMUST00000134259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut7 description:fucosyltransferase 7 [Source:MGI Symbol;Acc:MGI:107692] MNCIGYHPTRRLRAWGGLAGGATFMVIWFFWLWGSAPGSAPVPQSTLTILIWHWPFTNRP PELPGDTCTRYGMASCRLSANRSLLASADAVVFHHRELQTRQSL >ENSMUSP00000097895.4 pep:known chromosome:GRCm38:2:25423379:25426371:1 gene:ENSMUSG00000036587.13 transcript:ENSMUST00000100320.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut7 description:fucosyltransferase 7 [Source:MGI Symbol;Acc:MGI:107692] MPTPCPPACLSTPGTHRLLPFPDWKAPSWESRKEATCNSSSPGPWAEPTVQGYHPTRRLR AWGGLAGGATFMVIWFFWLWGSAPGSAPVPQSTLTILIWHWPFTNRPPELPGDTCTRYGM ASCRLSANRSLLASADAVVFHHRELQTRQSLLPLDQRPHGQPWVWASMESPSNTHGLHRF RGIFNWVLSYRRDSDIFVPYGRLEPLSGPTSPLPAKSRMAAWVISNFQERQQRAKLYRQL APHLQVDVFGRASGRPLCANCLLPTLARYRFYLAFENSQHRDYITEKFWRNALAAGAVPV ALGPPRATYEAFVPPDAFVHVDDFSSARELAVFLVSMNESRYRGFFAWRDRLRVRLLGDW RERFCTICARYPYLPRSQVYEDLESWFQA >ENSMUSP00000039985.5 pep:known chromosome:GRCm38:2:25423694:25426374:1 gene:ENSMUSG00000036587.13 transcript:ENSMUST00000041654.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fut7 description:fucosyltransferase 7 [Source:MGI Symbol;Acc:MGI:107692] MNCIGYHPTRRLRAWGGLAGGATFMVIWFFWLWGSAPGSAPVPQSTLTILIWHWPFTNRP PELPGDTCTRYGMASCRLSANRSLLASADAVVFHHRELQTRQSLLPLDQRPHGQPWVWAS MESPSNTHGLHRFRGIFNWVLSYRRDSDIFVPYGRLEPLSGPTSPLPAKSRMAAWVISNF QERQQRAKLYRQLAPHLQVDVFGRASGRPLCANCLLPTLARYRFYLAFENSQHRDYITEK FWRNALAAGAVPVALGPPRATYEAFVPPDAFVHVDDFSSARELAVFLVSMNESRYRGFFA WRDRLRVRLLGDWRERFCTICARYPYLPRSQVYEDLESWFQA >ENSMUSP00000096510.3 pep:known chromosome:GRCm38:3:90537254:90543148:1 gene:ENSMUSG00000074457.10 transcript:ENSMUST00000098911.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a16 description:S100 calcium binding protein A16 [Source:MGI Symbol;Acc:MGI:1915110] MADCYTELEKAVVVLVENFYKYVSKHSLVKNKISKSSFRKMLQRELNHMLTDTGNRKAAD KLIQNLDANHDGRICFDEYWTMIGGITSPMANLIRQQECQQESQQECQQESQQESQQESQ QGSS >ENSMUSP00000102958.1 pep:known chromosome:GRCm38:3:90537315:90543014:1 gene:ENSMUSG00000074457.10 transcript:ENSMUST00000107335.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a16 description:S100 calcium binding protein A16 [Source:MGI Symbol;Acc:MGI:1915110] MADCYTELEKAVVVLVENFYKYVSKHSLVKNKISKSSFRKMLQRELNHMLTDTGNRKAAD KLIQNLDANHDGRICFDEYWTMIGGITSPMANLIRQQECQQESQQECQQESQQESQQESQ QGSS >ENSMUSP00000102957.1 pep:known chromosome:GRCm38:3:90538885:90542927:1 gene:ENSMUSG00000074457.10 transcript:ENSMUST00000107334.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a16 description:S100 calcium binding protein A16 [Source:MGI Symbol;Acc:MGI:1915110] MADCYTELEKAVVVLVENFYKYVSKHSLVKNKISKSSFRKMLQRELNHMLTDTGNRKAAD KLIQNLDANHDGRICFDEYWTMIGGITSPMANLIRQQECQQESQQECQQESQQESQQESQ QGSS >ENSMUSP00000119168.1 pep:known chromosome:GRCm38:3:90539288:90542429:1 gene:ENSMUSG00000074457.10 transcript:ENSMUST00000150833.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a16 description:S100 calcium binding protein A16 [Source:MGI Symbol;Acc:MGI:1915110] MADCYTELEKAVVVLVENFYKYVSKHSLVKNKISKSSFRKMLQRELNHMLTDTGNRKAAD KLIQNLDANHDGR >ENSMUSP00000102956.1 pep:known chromosome:GRCm38:3:90541146:90542748:1 gene:ENSMUSG00000074457.10 transcript:ENSMUST00000107333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a16 description:S100 calcium binding protein A16 [Source:MGI Symbol;Acc:MGI:1915110] MADCYTELEKAVVVLVENFYKYVSKHSLVKNKISKSSFRKMLQRELNHMLTDTGNRKAAD KLIQNLDANHDGRICFDEYWTMIGGITSPMANLIRQQECQQESQQECQQESQQESQQESQ QGSS >ENSMUSP00000102954.1 pep:known chromosome:GRCm38:3:90541149:90542862:1 gene:ENSMUSG00000074457.10 transcript:ENSMUST00000107331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a16 description:S100 calcium binding protein A16 [Source:MGI Symbol;Acc:MGI:1915110] MADCYTELEKAVVVLVENFYKYVSKHSLVKNKISKSSFRKMLQRELNHMLTDTGNRKAAD KLIQNLDANHDGRICFDEYWTMIGGITSPMANLIRQQECQQESQQECQQESQQESQQESQ QGSS >ENSMUSP00000096509.2 pep:known chromosome:GRCm38:3:90541161:90543151:1 gene:ENSMUSG00000074457.10 transcript:ENSMUST00000098910.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a16 description:S100 calcium binding protein A16 [Source:MGI Symbol;Acc:MGI:1915110] MADCYTELEKAVVVLVENFYKYVSKHSLVKNKISKSSFRKMLQRELNHMLTDTGNRKAAD KLIQNLDANHDGRICFDEYWTMIGGITSPMANLIRQQECQQESQQECQQESQQESQQESQ QGSS >ENSMUSP00000018737.6 pep:known chromosome:GRCm38:11:55394500:55420080:-1 gene:ENSMUSG00000018593.12 transcript:ENSMUST00000018737.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sparc description:secreted acidic cysteine rich glycoprotein [Source:MGI Symbol;Acc:MGI:98373] MRAWIFFLLCLAGRALAAPQQTEVAEEIVEEETVVEETGVPVGANPVQVEMGEFEDGAEE TVEEVVADNPCQNHHCKHGKVCELDESNTPMCVCQDPTSCPAPIGEFEKVCSNDNKTFDS SCHFFATKCTLEGTKKGHKLHLDYIGPCKYIAPCLDSELTEFPLRMRDWLKNVLVTLYER DEGNNLLTEKQKLRVKKIHENEKRLEAGDHPVELLARDFEKNYNMYIFPVHWQFGQLDQH PIDGYLSHTELAPLRAPLIPMEHCTTRFFETCDLDNDKYIALEEWAGCFGIKEQDINKDL VI >ENSMUSP00000104486.1 pep:known chromosome:GRCm38:11:55394500:55419898:-1 gene:ENSMUSG00000018593.12 transcript:ENSMUST00000108858.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sparc description:secreted acidic cysteine rich glycoprotein [Source:MGI Symbol;Acc:MGI:98373] MRAWIFFLLCLAGRALAAPQTEVAEEIVEEETVVEETGVPVGANPVQVEMGEFEDGAEET VEEVVADNPCQNHHCKHGKVCELDESNTPMCVCQDPTSCPAPIGEFEKVCSNDNKTFDSS CHFFATKCTLEGTKKGHKLHLDYIGPCKYIAPCLDSELTEFPLRMRDWLKNVLVTLYERD EGNNLLTEKQKLRVKKIHENEKRLEAGDHPVELLARDFEKNYNMYIFPVHWQFGQLDQHP IDGYLSHTELAPLRAPLIPMEHCTTRFFETCDLDNDKYIALEEWAGCFGIKEQDINKDLV I >ENSMUSP00000119475.1 pep:known chromosome:GRCm38:11:55399252:55419898:-1 gene:ENSMUSG00000018593.12 transcript:ENSMUST00000141530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sparc description:secreted acidic cysteine rich glycoprotein [Source:MGI Symbol;Acc:MGI:98373] MRAWIFFLLCLAGRALAAPTEVAEEIVEEETVVEETGVPVGANPVQVEMGEFEDGAEETV EEVVADNPCQNHHCKHGKVCELDESNTPMCVCQDPTSCPAPIGEFEKVCSNDNKTFDSSC HFFATKCTLEGTKKGHKLHLDYIGPCKYIAPCLDSELTEFPLRMRDWLKNVL >ENSMUSP00000072205.2 pep:known chromosome:GRCm38:7:106926534:106927457:-1 gene:ENSMUSG00000062553.2 transcript:ENSMUST00000072368.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr709-ps1 description:olfactory receptor 709, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3030543] MGEDNRTSVTEFIFLGLSQDPQTQVLLFFLFLFIYLLTVLGNLLIIVLIHSDPRLHTPMY FFLRNLSFADLCFSTTTVPQVLVHFLVKRKTISFTGCSIQLVVLLLVGCTDCALLAVMSY DRYVAVCKPLHYSTIMTHWVCLQLAAGSWASGAFVSLVDATFTLRLPYRGDNVINHFFCE PPALLKLASADTYSTEMAIFAMGVVILLAPVSLILISYWNIICTVIQMQSGEGRLKVFST CGSHLIVVGLFYGSAIFAYMRPNSKIMNERDKMISVFYSAVTPMLNPIIYSLRNKDVKGA LRRITSR >ENSMUSP00000091861.4 pep:known chromosome:GRCm38:1:172596642:172602551:-1 gene:ENSMUSG00000070504.9 transcript:ENSMUST00000094303.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcrl6 description:Fc receptor-like 6 [Source:MGI Symbol;Acc:MGI:3618339] MLLWMVLLLCESMAEAQELFPNPELTEFTNSETMDVILKCTIKVDPKNPTLQLFYTFYKN NHVIQDRSPHSVFSAEAKEENSGLYQCMVDTEDGLIQKKSGYLDIQFWTPVSHPVLTLQH EATNLAVGDKVEFLCEAHQGSLPIFYSFYINGEILGKPLAPSGRAASLLASVKAEWSTKN YSCEAKNNISREISELKKFPLVVSGTAWIKSNMLPIWLPASLLGGMVIAAVVLMYFFKPC KKHARPETPTLKEPDSFLYVSVDNQRYK >ENSMUSP00000097383.1 pep:known chromosome:GRCm38:2:89111575:89112510:-1 gene:ENSMUSG00000075102.1 transcript:ENSMUST00000099795.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1221 description:olfactory receptor 1221 [Source:MGI Symbol;Acc:MGI:3031055] MQNQSLVNEFILLGLSQNTKVEKILFLLFLLIYLATIGGNMIIVATIIYSPALLGSPMYF FLVFLSLLDACTSTVVTPKMIVGFFYERKIISFEGCMTQLFAIHFFTAVEVIVLSAMAYD RYVAICKPLHYLSIMSKRVCGVLVGIAWAGGFLHSIIQIVFTLQLPFCGPNVIDHYMCDL FPLLKLACTDTQIFVILVFANSSSICIIIFSLLLVSYGVILFSLRAHSSEGRYKALSTCG SHITVVVLFFVPCILIYARPSSPFSFEKNTLIFANVLTPLLNPMVYTFRNKEMKSAIRKM WKRLVVVSDKY >ENSMUSP00000091202.2 pep:known chromosome:GRCm38:8:60910389:60983300:-1 gene:ENSMUSG00000004319.15 transcript:ENSMUST00000093490.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn3 description:chloride channel, voltage-sensitive 3 [Source:MGI Symbol;Acc:MGI:103555] MTNGGSINSSTHLLDLLDEPIPGVGTYDDFHTIDWVREKCKDRERHRRINSKKKESAWEM TKSLYDAWSGWLVVTLTGLASGALAGLIDIAADWMTDLKEGICLSALWYNHEQCCWGSNE TTFEERDKCPQWKTWAELIIGQAEGPGSYIMNYIMYIFWALSFAFLAVSLVKVFAPYACG SGIPEIKTILSGFIIRGYLGKWTLMIKTITLVLAVASGLSLGKEGPLVHVACCCGNIFSY LFPKYSTNEAKKREVLSAASAAGVSVAFGAPIGGVLFSLEEVSYYFPLKTLWRSFFAALV AAFVLRSINPFGNSRLVLFYVEYHTPWYLFELFPFILLGVFGGLWGAFFIRANIAWCRRR KSTKFGKYPVLEVIIVAAITAVIAFPNPYTRLNTSELIKELFTDCGPLESSSLCDYRNDM NASKIVDDIPDRPAGVGVYSAIWQLCLALIFKIIMTVFTFGIKVPSGLFIPSMAIGAIAG RIVGIAVEQLAYYHHDWFIFKEWCEVGADCITPGLYAMVGAAACLGGVTRMTVSLVVIVF ELTGGLEYIVPLMAAVMTSKWVGDAFGREGIYEAHIRLNGYPFLDAKEEFTHTTLAADVM RPRRSDPPLAVLTQDNMTVDDIENMINETSYNGFPVIMSKESQRLVGFALRRDLTIAIES ARKKQEGIVGSSRVCFAQHTPSLPAESPRPLKLRSILDMSPFTVTDHTPMEIVVDIFRKL GLRQCLVTHNGRLLGIITKKDILRHMAQTANQDPASIMFN >ENSMUSP00000004430.7 pep:known chromosome:GRCm38:8:60911923:60983239:-1 gene:ENSMUSG00000004319.15 transcript:ENSMUST00000004430.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn3 description:chloride channel, voltage-sensitive 3 [Source:MGI Symbol;Acc:MGI:103555] MESEQLFHRGYYRNSYNSITSASSDEELLDGAGAIMDFQTSEDDNLLDGDTAAGTHYTMT NGGSINSSTHLLDLLDEPIPGVGTYDDFHTIDWVREKCKDRERHRRINSKKKESAWEMTK SLYDAWSGWLVVTLTGLASGALAGLIDIAADWMTDLKEGICLSALWYNHEQCCWGSNETT FEERDKCPQWKTWAELIIGQAEGPGSYIMNYIMYIFWALSFAFLAVSLVKVFAPYACGSG IPEIKTILSGFIIRGYLGKWTLMIKTITLVLAVASGLSLGKEGPLVHVACCCGNIFSYLF PKYSTNEAKKREVLSAASAAGVSVAFGAPIGGVLFSLEEVSYYFPLKTLWRSFFAALVAA FVLRSINPFGNSRLVLFYVEYHTPWYLFELFPFILLGVFGGLWGAFFIRANIAWCRRRKS TKFGKYPVLEVIIVAAITAVIAFPNPYTRLNTSELIKELFTDCGPLESSSLCDYRNDMNA SKIVDDIPDRPAGVGVYSAIWQLCLALIFKIIMTVFTFGIKVPSGLFIPSMAIGAIAGRI VGIAVEQLAYYHHDWFIFKEWCEVGADCITPGLYAMVGAAACLGGVTRMTVSLVVIVFEL TGGLEYIVPLMAAVMTSKWVGDAFGREGIYEAHIRLNGYPFLDAKEEFTHTTLAADVMRP RRSDPPLAVLTQDNMTVDDIENMINETSYNGFPVIMSKESQRLVGFALRRDLTIAIESAR KKQEGIVGSSRVCFAQHTPSLPAESPRPLKLRSILDMSPFTVTDHTPMEIVVDIFRKLGL RQCLVTHNGIVLGIITKKNILEHLEQLKQHVEPLTPPWHYNKKRYPPSYGPDGKPRPRFN NVQLSPVDEDREETEEEVRLLNSTIL >ENSMUSP00000058648.5 pep:known chromosome:GRCm38:8:60910389:60955233:-1 gene:ENSMUSG00000004319.15 transcript:ENSMUST00000056508.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn3 description:chloride channel, voltage-sensitive 3 [Source:MGI Symbol;Acc:MGI:103555] MDASSDPYLPYDGGGDSIPLRELHKRGTHYTMTNGGSINSSTHLLDLLDEPIPGVGTYDD FHTIDWVREKCKDRERHRRINSKKKESAWEMTKSLYDAWSGWLVVTLTGLASGALAGLID IAADWMTDLKEGICLSALWYNHEQCCWGSNETTFEERDKCPQWKTWAELIIGQAEGPGSY IMNYIMYIFWALSFAFLAVSLVKVFAPYACGSGIPEIKTILSGFIIRGYLGKWTLMIKTI TLVLAVASGLSLGKEGPLVHVACCCGNIFSYLFPKYSTNEAKKREVLSAASAAGVSVAFG APIGGVLFSLEEVSYYFPLKTLWRSFFAALVAAFVLRSINPFGNSRLVLFYVEYHTPWYL FELFPFILLGVFGGLWGAFFIRANIAWCRRRKSTKFGKYPVLEVIIVAAITAVIAFPNPY TRLNTSELIKELFTDCGPLESSSLCDYRNDMNASKIVDDIPDRPAGVGVYSAIWQLCLAL IFKIIMTVFTFGIKVPSGLFIPSMAIGAIAGRIVGIAVEQLAYYHHDWFIFKEWCEVGAD CITPGLYAMVGAAACLGGVTRMTVSLVVIVFELTGGLEYIVPLMAAVMTSKWVGDAFGRE GIYEAHIRLNGYPFLDAKEEFTHTTLAADVMRPRRSDPPLAVLTQDNMTVDDIENMINET SYNGFPVIMSKESQRLVGFALRRDLTIAIESARKKQEGIVGSSRVCFAQHTPSLPAESPR PLKLRSILDMSPFTVTDHTPMEIVVDIFRKLGLRQCLVTHNGRLLGIITKKDILRHMAQT ANQDPASIMFN >ENSMUSP00000105930.1 pep:known chromosome:GRCm38:8:60911923:60983239:-1 gene:ENSMUSG00000004319.15 transcript:ENSMUST00000110301.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn3 description:chloride channel, voltage-sensitive 3 [Source:MGI Symbol;Acc:MGI:103555] MESEQLFHRGYYRNSYNSITSASSDEELLDGAGAIMDFQTSEDDNLLDGDTAAGTHYTMT NGGSINSSTHLLDLLDEPIPGVGTYDDFHTIDWVREKCKDRERHRRINSKKKESAWEMTK SLYDAWSGWLVVTLTGLASGALAGLIDIAADWMTDLKEGICLSALWYNHEQCCWGSNETT FEERDKCPQWKTWAELIIGQAEGPGSYIMNYIMYIFWALSFAFLAVSLVKVFAPYACGSG IPEIKTILSGFIIRGYLGKWTLMIKTITLVLAVASGLSLGKEGPLVHVACCCGNIFSYLF PKYSTNEAKKREVLSAASAAGVSVAFGAPIGGVLFSLEEVSYYFPLKTLWRSFFAALVAA FVLRSINPFGNSRLVLFYVEYHTPWYLFELFPFILLGVFGGLWGAFFIRANIAWCRRRKS TKFGKYPVLEVIIVAAITAVIAFPNPYTRLNTSELIKELFTDCGPLESSSLCDYRNDMNA SKIVDDIPDRPAGVGVYSAIWQLCLALIFKIIMTVFTFGIKVPSGLFIPSMAIGAIAGRI VGIAVEQLAYYHHDWFIFKEWCEVGADCITPGLYAMVGAAACLGGVTRMTVSLVVIVFEL TGGLEYIVPLMAAVMTSKWVGDAFGREGIYEAHIRLNGYPFLDAKEEFTHTTLAADVMRP RRSDPPLAVLTQDNMTVDDIENMINETSYNGFPVIMSKESQRLVGFALRRDLTIAIESAR KKQEGIVGSSRVCFAQHTPSLPAESPRPLKLRSILDMSPFTVTDHTPMEIVVDIFRKLGL RQCLVTHNGRLLGIITKKDILRHMAQTANQDPASIMFN >ENSMUSP00000105931.1 pep:known chromosome:GRCm38:8:60911896:60954748:-1 gene:ENSMUSG00000004319.15 transcript:ENSMUST00000110302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcn3 description:chloride channel, voltage-sensitive 3 [Source:MGI Symbol;Acc:MGI:103555] MDASSDPYLPYDGGGDSIPLRELHKRGTHYTMTNGGSINSSTHLLDLLDEPIPGVGTYDD FHTIDWVREKCKDRERHRRINSKKKESAWEMTKSLYDAWSGWLVVTLTGLASGALAGLID IAADWMTDLKEGICLSALWYNHEQCCWGSNETTFEERDKCPQWKTWAELIIGQAEGPGSY IMNYIMYIFWALSFAFLAVSLVKVFAPYACGSGIPEIKTILSGFIIRGYLGKWTLMIKTI TLVLAVASGLSLGKEGPLVHVACCCGNIFSYLFPKYSTNEAKKREVLSAASAAGVSVAFG APIGGVLFSLEEVSYYFPLKTLWRSFFAALVAAFVLRSINPFGNSRLVLFYVEYHTPWYL FELFPFILLGVFGGLWGAFFIRANIAWCRRRKSTKFGKYPVLEVIIVAAITAVIAFPNPY TRLNTSELIKELFTDCGPLESSSLCDYRNDMNASKIVDDIPDRPAGVGVYSAIWQLCLAL IFKIIMTVFTFGIKVPSGLFIPSMAIGAIAGRIVGIAVEQLAYYHHDWFIFKEWCEVGAD CITPGLYAMVGAAACLGGVTRMTVSLVVIVFELTGGLEYIVPLMAAVMTSKWVGDAFGRE GIYEAHIRLNGYPFLDAKEEFTHTTLAADVMRPRRSDPPLAVLTQDNMTVDDIENMINET SYNGFPVIMSKESQRLVGFALRRDLTIAIESARKKQEGIVGSSRVCFAQHTPSLPAESPR PLKLRSILDMSPFTVTDHTPMEIVVDIFRKLGLRQCLVTHNGIVLGIITKKNILEHLEQL KQHVEPLTPPWHYNKKRYPPSYGPDGKPRPRFNNVQLSPVDEDREETEEEVRLLNSTIL >ENSMUSP00000045715.5 pep:known chromosome:GRCm38:1:153653025:153700323:1 gene:ENSMUSG00000042671.12 transcript:ENSMUST00000041776.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs8 description:regulator of G-protein signaling 8 [Source:MGI Symbol;Acc:MGI:108408] MAALLMPRRNKGMRTRLGCLSHKSDSCSDFTAILPDKPNRALKRLSTEEATRWAESFDVL LSHKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTAKLVTKAHRIFEEFVDVQAPRE VNIDFQTREATRKNMQEPSLTCFDQAQGKVHSLMEKDSYPRFLRSKMYLDLLSQSQRRLS >ENSMUSP00000122518.1 pep:known chromosome:GRCm38:1:153661081:153691000:1 gene:ENSMUSG00000042671.12 transcript:ENSMUST00000124500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs8 description:regulator of G-protein signaling 8 [Source:MGI Symbol;Acc:MGI:108408] MAALLMPRRNKGMRTRLGCLSHKSDSCSDFTAILPDKPNRALKRLSTEEATRWAESFDVL LSHKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTA >ENSMUSP00000107446.1 pep:known chromosome:GRCm38:1:153664616:153692985:1 gene:ENSMUSG00000042671.12 transcript:ENSMUST00000111815.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs8 description:regulator of G-protein signaling 8 [Source:MGI Symbol;Acc:MGI:108408] MRTSQRQNKGMRTRLGCLSHKSDSCSDFTAILPDKPNRALKRLSTEEATRWAESFDVLLS HKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTAKLVTKAHRIFEEFVDVQAPREVN IDFQTREATRKNMQEPSLTCFDQAQGKVHSLMEKDSYPRFLRSKMYLDLLSQSQRRLS >ENSMUSP00000121910.1 pep:known chromosome:GRCm38:1:153665274:153692777:1 gene:ENSMUSG00000042671.12 transcript:ENSMUST00000152114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs8 description:regulator of G-protein signaling 8 [Source:MGI Symbol;Acc:MGI:108408] MAALLMPRRNKGMRTRLGCLSHKSDSCSDFTAILPDKPNRALKRLSTEEATRWAESFDVL LSHKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTAKLVTKAHRIFEEFVDVQAPRE VNIDFQTREATRKNMQEP >ENSMUSP00000107443.1 pep:known chromosome:GRCm38:1:153665312:153695578:1 gene:ENSMUSG00000042671.12 transcript:ENSMUST00000111812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs8 description:regulator of G-protein signaling 8 [Source:MGI Symbol;Acc:MGI:108408] MAALLMPRRNKGMRTRLGCLSHKSDSCSDFTAILPDKPNRALKRLSTEEATRWAESFDVL LSHKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTAKLVTKAHRIFEEFVDVQAPRE VNIDFQTREATRKNMQEPSLTCFDQAQGKVHSLMEKDSYPRFLRSKMYLDLLSQSQRRLS >ENSMUSP00000123565.1 pep:known chromosome:GRCm38:1:153665593:153691050:1 gene:ENSMUSG00000042671.12 transcript:ENSMUST00000147700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs8 description:regulator of G-protein signaling 8 [Source:MGI Symbol;Acc:MGI:108408] MAALLMPRRNKGMRTRLGCLSHKSDSCSDFTAILPDKPNRALKRLSTEEATRWAESFDVL LSHKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTAKLVTKAHRIFEEFVDV >ENSMUSP00000118365.1 pep:known chromosome:GRCm38:1:153665657:153691055:1 gene:ENSMUSG00000042671.12 transcript:ENSMUST00000147482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs8 description:regulator of G-protein signaling 8 [Source:MGI Symbol;Acc:MGI:108408] MRTSQRQNKGMRTRLGCLSHKSDSCSDFTAILPDKPNRALKRLSTEEATRWAESFDVLLS HKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTAKLVTKAHRIFEEFVDVQA >ENSMUSP00000107445.1 pep:known chromosome:GRCm38:1:153665673:153692946:1 gene:ENSMUSG00000042671.12 transcript:ENSMUST00000111814.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs8 description:regulator of G-protein signaling 8 [Source:MGI Symbol;Acc:MGI:108408] MRTSQRQNKGMRTRLGCLSHKSDSCSDFTAILPDKPNRALKRLSTEEATRWAESFDVLLS HKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTAKLVTKAHRIFEEFVDVQAPREVN IDFQTREATRKNMQEPSLTCFDQAQGKVHSLMEKDSYPRFLRSKMYLDLLSQSQRRLS >ENSMUSP00000107441.1 pep:known chromosome:GRCm38:1:153665709:153697667:1 gene:ENSMUSG00000042671.12 transcript:ENSMUST00000111810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs8 description:regulator of G-protein signaling 8 [Source:MGI Symbol;Acc:MGI:108408] MAALLMPRRNKGMRTRLGCLSHKSDSCSDFTAILPDKPNRALKRLSTEEATRWAESFDVL LSHKYGVAAFRAFLKTEFSEENLEFWLACEEFKKTRSTAKLVTKAHRIFEEFVDVQAPRE VNIDFQTREATRKNMQEPSLTCFDQAQGKVHSLMEKDSYPRFLRSKMYLDLLSQSQRRLS >ENSMUSP00000099710.3 pep:known chromosome:GRCm38:11:61871307:61930252:-1 gene:ENSMUSG00000047804.15 transcript:ENSMUST00000102650.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap10 description:A kinase (PRKA) anchor protein 10 [Source:MGI Symbol;Acc:MGI:1890218] MRGAGPSPRHSPRALRPDPGPAMSFFRRKVKGKEQEKTLDVKSTKASVAVHSPQKSTKNH ALLEAAGPSHVAINAISANMDSFSSSRTATLKKQPSHMEAAHFGDLGRSCLDYQTQETKS SLSKTLEQVLRDTVVLPYFLQFMELRRMEHLVKFWLEAESFHSTTWSRIRAHSLNTVKQS SLAEPVSPSKRHETPASSVTEALDRRLGDSSSAPLLVTQSEGTDLSSRTQNPQNHLLLSQ EGHSARSLHREVARTGSHQIPTDSQDSSSRLAVGSRNSCSSPLRELSEKLMKSIEQDAVN TFTKYISPDAAKPIPITEAMRNDIIAKICGEDGQVDPNCFVLAQAVVFSAMEQEHFSEFL RSHHFCKYQIEVLTSGTVYLADILFCESALFYFSEYMEKEDAVNILQFWLAADNFQSQLA AKKGQYDGQEAQNDAMILYDKYFSLQATHPLGFDDVVRLEIESNICREGGPLPNCFTTPL RQAWTTMEKVFLPGFLSSNLYYKYLNDLIHSVRGDEFLGGNVSLAAHGSVCLPEESHSGG SDGSTAQSSVKKASIKILKNFDEAIIVDAASLDPESLYQRTYAGKMSFGRVSDLGQFIRE SEPEPDVKKSKGFMFSQAMKKWVQGNTDEAQEELAWKIAKMIVSDVMQQAHHDQPLEKST KL >ENSMUSP00000054418.5 pep:known chromosome:GRCm38:11:61889790:61916218:-1 gene:ENSMUSG00000047804.15 transcript:ENSMUST00000058173.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap10 description:A kinase (PRKA) anchor protein 10 [Source:MGI Symbol;Acc:MGI:1890218] MDSFSSSRTATLKKQPSHMEAAHFGDLGRSCLDYQTQETKSSLSKTLEQVLRDTVVLPYF LQFMELRRMEHLVKFWLEAESFHSTTWSRIRAHSLNTVKQSSLAEPVSPSKRHETPASSV TEALDRRLGDSSSAPLLVTQSEGTDLSSRTQNPQNHLLLSQEGHSARSLHREVARTGSHQ IPTDSQDSSSRLAVGSRNSCSSPLRELSEKLMKSIEQDAVNTFTKYISPDAAKPIPITEA MRNDIIAKICGEDGQVDPNCFVLAQAVVFSAMEQEHFSEFLRSHHFCKYQIEVLTSGTVY LADILFCESALFYFSEYMEKEDAVNILQFWLAADNFQSQLAAKKGQYDGQEAQNDAMILY DKYFSLQATHPLGFDDVVRLEIESNICREGGPLPNCFTTPLRQAWTTMEKVFLPGFLSSN LYYKYLNDLIHSVRGDEFLGGNVSLAAHGSVCLPEESHSGGSDGSTAQSSVKKASIKILK NFDEAIIVDAASLDPESLYQRTYAG >ENSMUSP00000104350.1 pep:known chromosome:GRCm38:11:61891777:61930226:-1 gene:ENSMUSG00000047804.15 transcript:ENSMUST00000108710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akap10 description:A kinase (PRKA) anchor protein 10 [Source:MGI Symbol;Acc:MGI:1890218] MRGAGPSPRHSPRALRPDPGPAMSFFRRKVKGKEQEKTLDVKSTKASVAVHSPQKSTKNH ALLEAAGPSHVAINAISANMDSFSSSRTATLKKQPSHMEAAHFGDLGRSCLDYQTQETKS SLSKTLEQVLRDTVVLPYFLQFMELRRMEHLVKFWLEAESFHSTTWSRIRAHSLNTVKQS SLAEPVSPSKRHETPASSVTEALDRRLGDSSSAPLLVTQSEGTDLSSRTQNPQNHLLLSQ EGHSARSLHREVARTGSHQIPTDSQDSSSRLAVGSRNSCSSPLRELSEKLMKSIEQDAVN TFTKYISPDAAKPIPITEAMRNDIIAKICGEDGQVDPNCFVLAQAVVFSAMEQEHFSEFL RSHHFCKYQIEVLTSGTVYLADILFCESALFYFSEYMEKEDAVNILQFWLAADNFQSQLA AKKGQYDGQEAQNDAMILYDKYFSLQATHPLGFDDVVRLEIESNICREGGPLPNCFTTPL RQAWTTMEKVFLPGFLSSNLYYKYLNDLIHSVRGDEFLGGNVSLAAHGSVCLPEESHSGG SDGSTAQVLANVTLSMGWEA >ENSMUSP00000110412.1 pep:known chromosome:GRCm38:17:28637783:28689987:-1 gene:ENSMUSG00000036196.15 transcript:ENSMUST00000114764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a8 description:solute carrier family 26, member 8 [Source:MGI Symbol;Acc:MGI:2385046] MQTERSLQSFSNRYTQIPFVYDVKRSVYNEENFQQEHRKKGPTSGNVDIDITTFKHHVQC GCSWHKFLRCMLTVFPFLEWICLYRFKDWLLGDLLAGLSVGLVQVPQGLILSLLTRQLIP PLNVTYAAFCSSVIYVIFGSCHQMSIGPFFLVSALMINVLKDRPFNNGHLILGTFVKDDF SVPTFYLSYNRSLSMVASTTFLTGIIQLSMGMLGMGFMATYLPEAATSAYLAAVALHIIL AQMTCILGIMVSFHAGPISFIYNIINYCIALPKANSTSILLFITSVVALRINKCIRITFN RYPIEFPMELLLILGFSLLTSKITMATENSKMLMNMIPYSFVFPENPEFGILSRVVLQAL SLSFVSSFLLISLGKKIANFHNYRTNSNQDLIAIGLCNLLSSFFKCCVFTGSLSRTTIQD KSGGRQQFASLVGAGVMLLLMVKMESFFHNLPNAVLAGIILSNVVPYLEAIYNLPSLWRQ DQYECIIWMVTFSSAILLGLDVGLLISLAFTFFVITIRSHRTKILVLGQIPNTNIYRNVN DYREVILIPGVKIFQCCSSITFVNVYHLKQKVLKEVNMVKLPLKEEEIYTLFHESETSIA ENKLCRCFCDCEELEPEIRVVYTERYENRQEQDSSINLIRCSYLGSGDSSQVTSEEQIPY TVSSTSQRNIVQSYEDTEKAWLPNSPPRNSPLPPPEASESLAQSRSRSIIMPYSDTSVQN NTHTIILDFSMVHYVDNRALVILRQMCNAFYNANILVLISGCHTSVVKSFEKNDFFDEGI TKAQLFLSLHDAVLFALSRKFSEPSDLSMDETETVIQETYSESDKNGNLSNLRLKTGKAI IEGSQHASPGFTKNLKPGKDDLEFDLELDPMLSFEQSSGMDLNLDLDLDLDQSELDPGSE LDSEIQAKPELELESELETDAQTEPETEEEPELEPEPEPEPETEPEPEPERERKTRTRSQ SPWRNYFTAYRFGSSNSQSRAPPQTRPEKRKPHNYPNSP >ENSMUSP00000075441.2 pep:known chromosome:GRCm38:15:93386106:93398334:-1 gene:ENSMUSG00000022635.9 transcript:ENSMUST00000076070.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcrb1 description:zinc finger CCHC-type and RNA binding motif 1 [Source:MGI Symbol;Acc:MGI:1914447] MSGGLAPSKSTVYVSNLPFSLTNNDLYRIFSKYGKVVKVTIMKDKDTRKSKGVAFILFLD KDSALNCTRAINNKQLFGRVIKASIAIDNGRAAEFIRRRNYFDKSKCYECGESGHLSYAC PKNMLGEREPPKKKEKKKKRKLPEPEEEIEEVEVSEEEGEDPALDSLSQAIAFQQAKIEE EQSKWRPNPGGPSTSDDSRRPRIKKSAYFSDEEELSD >ENSMUSP00000124549.1 pep:known chromosome:GRCm38:15:93386097:93398301:-1 gene:ENSMUSG00000022635.9 transcript:ENSMUST00000162160.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcrb1 description:zinc finger CCHC-type and RNA binding motif 1 [Source:MGI Symbol;Acc:MGI:1914447] MSGGLAPSKSTVYVSNLPFSLTNNDLYRIFSKYGKVVKVTIMKDKDTRKSKGVAFILFLD KDSALNCTRAINNKQLFGRVIKASIAIDNGRAAEFIRRRNYFDKSKCYECGESGHLSYAC PKNMLGEREPPKKKEKKKKRKLPEPEEEIEEVEVSEEEGEDPALDSLSQAIAFQAKIEEE QSKWRPNPGGPSTSDDSRRPRIKKSAYFSDEEELSD >ENSMUSP00000125442.1 pep:known chromosome:GRCm38:15:93387801:93398334:-1 gene:ENSMUSG00000022635.9 transcript:ENSMUST00000161409.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zcrb1 description:zinc finger CCHC-type and RNA binding motif 1 [Source:MGI Symbol;Acc:MGI:1914447] MSGGLAPSKSTVYVSNLPFSLTNNDLYRIFSKYGKVVKPATELPGSPSFCLSRMRGQGYY NERQRY >ENSMUSP00000027826.5 pep:known chromosome:GRCm38:1:172630764:172632962:-1 gene:ENSMUSG00000026544.6 transcript:ENSMUST00000027826.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dusp23 description:dual specificity phosphatase 23 [Source:MGI Symbol;Acc:MGI:1915690] MGVQPPNFSWVLPGRLAGLALPRLPAHYQFLLDQGVRHLVSLTERGPPHSDSCPGLTLHR MRIPDFCPPSPEQIDQFVKIVDEANARGEAVGVHCALGFGRTGTMLACYLVKERALAAGD AIAEIRRLRPGSIETYEQEKAVFQFYQRTK >ENSMUSP00000113256.1 pep:known chromosome:GRCm38:3:31095058:31122573:1 gene:ENSMUSG00000027660.16 transcript:ENSMUST00000118470.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skil description:SKI-like [Source:MGI Symbol;Acc:MGI:106203] MENLQSKFSLVQGSNKKLNGMEDDGSPPVKKMMTDIHANGKTLTKVKKEHLDDYGDASVE PDGEHAKRNRVSLPETLNLNPSLKHTLAQFHLSSQSSLGGPAAFSARYSQESMSPTVFLP LPSPQVLPGPLLIPSDSSTELTQTLLEGESISCFQVGGEKRLCLPQVLNSVLREFSLQQI NTVCDELYIYCSRCTSDQLHILKVLGILPFNAPSCGLITLTDAQRLCNALLRPRTFPQNG SILPAKSSLAQLKETGSAFEVEHECLGKCQGLFAPQFYVQPDAPCIQCLECCGMFAPQTF VMHSHRSPDKRTCHWGFESAKWHCYLHVNQKYLGTPEEKKLKIILEEMKEKFSMRNGKRI QSKTDTPSGMELPSWYPVIKQEGDHVPQTHSFLHPSYYLYMCDKVVAPNVSLTSAASQSK EATKAETNSSISNNSTSRKKSESAVCSLVRGTSKRDSEDSSPLLVRDGEDDKGKIMEDVM RTYVRQQEKLNSILQRKQQLQMEVEMLSSSKAMKELTEEQQNLQKELESLQSEHAQRMEE FYIEQRDLEKKLEQVMQQKCTCDSTLEKDREAEYAAQLAELRQRLDHAEADRQELQDELR QEREARQKLEMMIKELKLQIGKSSKPSKD >ENSMUSP00000123522.1 pep:known chromosome:GRCm38:3:31095065:31097892:1 gene:ENSMUSG00000027660.16 transcript:ENSMUST00000123532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skil description:SKI-like [Source:MGI Symbol;Acc:MGI:106203] MENLQSKFSLVQGSNKKLNGMEDDGSPPVKKMMTDIHANGKTLTKVKKEHLDDYGDASVE PDGEHAKRNRVSLPETLNLNPSLKHTLAQFHLSSQSSLGGPAAFSARYSQESMSPTVFLP LPSPQVLPGPLLIPSDSSTELTQTLLEGESISCFQVGGEKRLCLPQVLNSVLREFSLQQI NTVCDEL >ENSMUSP00000113054.1 pep:known chromosome:GRCm38:3:31095125:31119280:1 gene:ENSMUSG00000027660.16 transcript:ENSMUST00000117728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skil description:SKI-like [Source:MGI Symbol;Acc:MGI:106203] MENLQSKFSLVQGSNKKLNGMEDDGSPPVKKMMTDIHANGKTLTKVLPGPLLIPSDSSTE LTQTLLEGESISCFQVGGEKRLCLPQVLNSVLREFSLQQINTVCDELYIYCSRCTSDQLH ILKVLGILPFNAPSCGLITLTDAQRLCNALLRPRTFPQNGSILPAKSSLAQLKETGSAFE VEHECLGKCQGLFAPQFYVQPDAPCIQCLECCGMFAPQTFVMHSHRSPDKRTCHWGFESA KWHCYLHVNQKYLGTPEEKKLKIILEEMKEKFSMRNGKRIQSKTDTPSGMELPSWYPVIK QEGDHVPQTHSFLHPSYYLYMCDKVVAPNVSLTSAASQSKEATKAETNSSISNNSTSRKK SESAVCSLVRGTSKRDSEDSSPLLVRDGEDDKGKIMEDVMRTYVRQQEKLNSILQRKQQL QMEVEMLSSSKAMKELTEEQQNLQKELESLQSEHAQRMEEFYIEQRDLEKKLEQVMQQKC TCDSTLEKDREAEYAAQLAELRQRLDHAEADRQELQDELRQEREARQKLEMMIKELKLQI GKSSKPSKD >ENSMUSP00000112413.1 pep:known chromosome:GRCm38:3:31096833:31118991:1 gene:ENSMUSG00000027660.16 transcript:ENSMUST00000118204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skil description:SKI-like [Source:MGI Symbol;Acc:MGI:106203] MENLQSKFSLVQGSNKKLNGMEDDGSPPVKKMMTDIHANGKTLTKVKKEHLDDYGDASVE PDGEHAKRNRVSLPETLNLNPSLKHTLAQFHLSSQSSLGGPAAFSARYSQESMSPTVFLP LPSPQVLPGPLLIPSDSSTELTQTLLEGESISCFQVGGEKRLCLPQVLNSVLREFSLQQI NTVCDELYIYCSRCTSDQLHILKVLGILPFNAPSCGLITLTDAQRLCNALLRPRTFPQNG SILPAKSSLAQLKETGSAFEVEHECLGKCQGLFAPQFYVQPDAPCIQCLECCGMFAPQTF VMHSHRSPDKRTCHWGFESAKWHCYLHVNQKYLGTPEEKKLKIILEEMKEKFSMRNGKRI QSKTDTPSGMELPSWYPVIKQEGDHVPQTHSFLHPSYYLYMCDKVVAPNVSLTSAASQSK EATKAETSKSTSKQSEKPHESSQHQKTVSYPDVSLEEQEKMDLKTSRELYSCLDSSISNN STSRKKSESAVCSLVRGTSKRDSEDSSPLLVRDGEDDKGKIMEDVMRTYVRQQEKLNSIL QRKQQLQMEVEMLSSSKAMKELTEEQQNLQKELESLQSEHAQRMEEFYIEQRDLEKKLEQ VMQQKCTCDSTLEKDREAEYAAQLAELRQRLDHAEADRQELQDELRQEREARQKLEMMIK ELKLQIGKSSKPSKD >ENSMUSP00000029194.5 pep:known chromosome:GRCm38:3:31095061:31122577:1 gene:ENSMUSG00000027660.16 transcript:ENSMUST00000029194.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skil description:SKI-like [Source:MGI Symbol;Acc:MGI:106203] MENLQSKFSLVQGSNKKLNGMEDDGSPPVKKMMTDIHANGKTLTKVKKEHLDDYGDASVE PDGEHAKRNRVSLPETLNLNPSLKHTLAQFHLSSQSSLGGPAAFSARYSQESMSPTVFLP LPSPQVLPGPLLIPSDSSTELTQTLLEGESISCFQVGGEKRLCLPQVLNSVLREFSLQQI NTVCDELYIYCSRCTSDQLHILKVLGILPFNAPSCGLITLTDAQRLCNALLRPRTFPQNG SILPAKSSLAQLKETGSAFEVEHECLGKCQGLFAPQFYVQPDAPCIQCLECCGMFAPQTF VMHSHRSPDKRTCHWGFESAKWHCYLHVNQKYLGTPEEKKLKIILEEMKEKFSMRNGKRI QSKTDTPSGMELPSWYPVIKQEGDHVPQTHSFLHPSYYLYMCDKVVAPNVSLTSAASQSK EATKAETSKSTSKQSEKPHESSQHQKTVSYPDVSLEEQEKMDLKTSRELYSCLDSSISNN STSRKKSESAVCSLVRGTSKRDSEDSSPLLVRDGEDDKGKIMEDVMRTYVRQQEKLNSIL QRKQQLQMEVEMLSSSKAMKELTEEQQNLQKELESLQSEHAQRMEEFYIEQRDLEKKLEQ VMQQKCTCDSTLEKDREAEYAAQLAELRQRLDHAEADRQELQDELRQEREARQKLEMMIK ELKLQIGKSSKPSKD >ENSMUSP00000109257.3 pep:known chromosome:GRCm38:X:102119447:102127669:1 gene:ENSMUSG00000079480.3 transcript:ENSMUST00000113627.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pin4 description:protein (peptidyl-prolyl cis/trans isomerase) NIMA-interacting, 4 (parvulin) [Source:MGI Symbol;Acc:MGI:1916963] MPPKGKSGSGKGGKGGAASGSDSADKKSQGPKGGGNAVKVRHILCEKHGKIMEAMEKLKS GMRFSEVATQYSEDKARQGGDLGWMTRGSMVGPFQEAAFALPVSGMDKPVFTDPPVKTKF GYHIIMVEGRK >ENSMUSP00000020948.8 pep:known chromosome:GRCm38:11:109932190:109995845:-1 gene:ENSMUSG00000020620.14 transcript:ENSMUST00000020948.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca8b description:ATP-binding cassette, sub-family A (ABC1), member 8b [Source:MGI Symbol;Acc:MGI:1351668] MIKREISVRQQTCALLQKNLLKKWRLKRESLMEWVSSLLLLLFLYWYPHGHGATDLSSVP TKDLGRVDSFRQSGFMIGYTPVTSMTQQIMEKVAATPFMADKKVLGLLDEENIKELTESH AEIIRVIFSDTFSYHLKFQFDQRIPTSRELRDHNAHCDGLYEDVNCLIAIFWKEGFVALQ AAINAAIIETTTNHSVMEELLSVSGKFMKIHPFVRQEGILTDFFIFTCIISFSPITYYVS INVARERKRMKGLMMMMGLRDPAFWLSWGLLYAGFVFIMALSLALVIKSVQFFILTSFMV VFSLFLLYGLSMITLAFLMSALVRKSVLTGLSVFLLTIFWGSLGFTSLYRYLPAPVEWTL SLFSPFAFTLGMAQLLRVDYDLNSNAPPDPASGSNLIIATNFMLVFDAFLYLALMMYFEK VLPNEYGHQHSPLFFLKSSFWLQTRKPAHVILEDGIDPVPSSGDSFEPVSPEFHGKESIR IRNISKEYKGKPNKIEALKDLTLDIYEGQITAVLGHSGAGKSTLLNILSGLSVPTKGSVT IYNNNLSEMADLENILRIAGVCPQANVQFDFLTVRENLRLFAKIRGIPPQDVEKEVQRVL LELEMKNIQNILAQNLSGGQKRKLTFGIAILGDSQIFLLDEPTAGLDPFSRHRVWNLLKE RRADRVVLFSTQFMDEADILADRKVFISNGRLKCAGSSLFLKKKWGVGYHLSLQLKEVCV PENITSLVKQHIPAAKLSAEGEGKLLYTLPLETTYRFPELCQSLDSCPGLGIENYGVSMT TLNEVFLKLEGKASIDEPEVDIVGEGQTERSGDTERLMEMEQTLSSLRETEKTDGMALWR QQTCAIAKVRLLKLKHERKTLLSVLLILVVGICPFLFENISTKIRQSSYTWELSPHDYFL APGQQPQGMLTQLLIINKTEASIDDFIHSVERQNIALEVDASGTRDGTDDPSYNGALIVS GNEKNHSFSFACNTKRLNCFPVLMDILSNGLLGMVKPSARIQTDRSTYLMDETIHPLEDL WKTAFWLILTSACPPYIAMSSVTDYKNRAWFQLRVSGLFPSAYWVGQAMVDIPLYCFVFL FMSLMDYLFRFPDTMFSIISHVIQIPCSVGYAISLIFLTYVISFISRKGKKNSGIWSLSF YIITVFSVAVILLAFDVDGTQYYIIFLIPPSTLVGCLILSLHLFIGQIFEEGQVIEPFLV FLIPFLHVFIFIFTLRCLEWKFGKKTMRKDPIFRISPRNNDVYQNPEEPEDEDEDVQMER MRTANALVSTSFDEKPVIIASCLRKEYAGKQKHCLSKKKAKIATRNVSFCVRKGEILGLL GHNGAGKSTSLKMISGDTKVTAGQVLLKGSREGDTPGFLGYCPQENALWPNLTVKEHLEI FAAVRGLRKSHAAVAITRLADALKLQDQLKSPVKTLSEGVKRKLCFVLSILGNPSILLLD EPSTGLDPEGQQQIWQAIRAIIKNTDRGALLTTHYMAEAEALCDRVAILVSGRLRCIGSI QHLKSKFGKDYLLEMKVKTLEQVEPLNTEILRLFPQASRQERYSSLMAYKLPVEAVQPLS QAFFKLEKVKQTFDLEEYSLSQSTLEQVFLELSKEQELDGLELEELDSSIKWKLLPQEEA >ENSMUSP00000102280.2 pep:known chromosome:GRCm38:11:109934273:109995743:-1 gene:ENSMUSG00000020620.14 transcript:ENSMUST00000106669.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abca8b description:ATP-binding cassette, sub-family A (ABC1), member 8b [Source:MGI Symbol;Acc:MGI:1351668] MIKREISVRQQTCALLQKNLLKKWRLKRESLMEWVSSLLLLLFLYWYPHGHGATDLSSVP TKDLGRVDSFRQSGFMIGYTPVTSMTQQIMEKVAATPFMADKKVLGLLDEENIKELTESH AEIIRVIFSDTFSYHLKFQFDQRIPTSRELRDHNAHCDGLYEDVNCLIAIFWKEGFVALQ AAINAAIIETTTNHSVMEELLSVSGKFMKIHPFVRQEGILTDFFIFTCIISFSPITYYVS INVARERKRMKGLMMMMGLRDPAFWLSWGLLYAGFVFIMALSLALVIKSVQFFILTSFMV VFSLFLLYGLSMLLRVDYDLNSNAPPDPASGSNLIIATNFMLVFDAFLYLALMMYFEKVL PNEYGHQHSPLFFLKSSFWLQTRKPAHVILEDGIDPVPSSGDSFEPVSPEFHGKESIRIR NISKEYKGKPNKIEALKDLTLDIYEGQITAVLGHSGAGKSTLLNILSGLSVPTKGSVTIY NNNLSEMADLENILRIAGVCPQANVQFDFLTVRENLRLFAKIRGIPPQDVEKEVQRVLLE LEMKNIQNILAQNLSGGQKRKLTFGIAILGDSQIFLLDEPTAGLDPFSRHRVWNLLKERR ADRVVLFSTQFMDEADILADRKVFISNGRLKCAGSSLFLKKKWGVGYHLSLQLKEVCVPE NITSLVKQHIPAAKLSAEGEGKLLYTLPLETTYRFPELCQSLDSCPGLGIENYGVSMTTL NEVFLKLEGKASIDEPEVDIVGEGQTERSGDTERLMEMEQTLSSLRETEKTDGMALWRQQ TCAIAKVRLLKLKHERKTLLSVLLILVVGICPFLFENISTKIRQSSYTWELSPHDYFLAP GQQPQGMLTQLLIINKTEASIDDFIHSVERQNIALEVDASGTRDGTDDPSYNGALIVSGN EKNHSFSFACNTKRLNCFPVLMDILSNGLLGMVKPSARIQTDRSTYLMDETIHPLEDLWK TAFWLILTSACPPYIAMSSVTDYKNRAWFQLRVSGLFPSAYWVGQAMVDIPLYCFVFLFM SLMDYLFRFPDTMFSIISHVIQIPCSVGYAISLIFLTYVISFISRKGKKNSGIWSLSFYI ITVFSVAVILLAFDVDGTQYYIIFLIPPSTLVGCLILSLHLFIGQIFEEGQVIEPFLVFL IPFLHVFIFIFTLRCLEWKFGKKTMRKDPIFRISPRNNDVYQNPEEPEDEDEDVQMERMR TANALVSTSFDEKPVIIASCLRKEYAGKQKHCLSKKKAKIATRNVSFCVRKGEILGLLGH NGAGKSTSLKMISGDTKVTAGQVLLKGSREGDTPGFLGYCPQENALWPNLTVKEHLEIFA AVRGLRKSHAAVAITRLADALKLQDQLKSPVKTLSEGVKRKLCFVLSILGNPSILLLDEP STGLDPEGQQQIWQAIRAIIKNTDRGALLTTHYMAEAEALCDRVAILVSGRLRCIGSIQH LKSKFGKDYLLEMKVKTLEQVEPLNTEILRLFPQASRQERYSSLMAYKLPVEAVQPLSQA FFKLEKVKQTFDLEEYSLSQSTLEQVFLELSKEQELDGLELEELDSSIKWKLLPQEEA >ENSMUSP00000030784.7 pep:known chromosome:GRCm38:5:24862744:25100642:-1 gene:ENSMUSG00000028944.14 transcript:ENSMUST00000030784.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkag2 description:protein kinase, AMP-activated, gamma 2 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336153] MGSAAMDTKKKKEVSSPGGSSGKKNPSLKRRSLRVHIPDLSSFAMPLLDGDVENSEKHSS RKVDSPFSSGSPSRGLFSRGPQPRPSSPVSAPVRPKTSPGSPKTVFPFSYQESPPRSPRR MSFSGIFRSSSKESSPNSNPSTSPGGIRFFSRSRKTSSVSSSPSTPTQVTKQHPFPLESY KQEPERPESRIYASSSPPDTGQRFCLAFQSPARPPLASPTYHAPLRTAVLAAAPGPAEAG MLEKLEFQEEEDSESGVYMRFMRSHKCYDIVPTSSKLVVFDTTLQVKKAFFALVANGVRA APLWESKKQSFVGMLTITDFINILHRYYKSPMVQIYELEEHKIETWRELYLQETFKPLVN ISPDASLFDAVYSLIKNKIHRLPVIDPISGNALYILTHKRILKFLQLFMSDMPKPAFMKQ NLDELGIGTYHNIAFIHPDTPIIKALNIFVERRISALPVVDESGKVVDIYSKFDVINLAA EKTYNNLDITVTQALQHRSQYFEGVVKCSKLETLETIVDRIVRAEVHRLVVVNEADSIVG IISLSDILQALILTPAGAKQKETETE >ENSMUSP00000110626.1 pep:known chromosome:GRCm38:5:24862748:24908469:-1 gene:ENSMUSG00000028944.14 transcript:ENSMUST00000114975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkag2 description:protein kinase, AMP-activated, gamma 2 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336153] MLEKLEFQEEEDSESGVYMRFMRSHKCYDIVPTSSKLVVFDTTLQVKKAFFALVANGVRA APLWESKKQSFVGMLTITDFINILHRYYKSPMVQIYELEEHKIETWRELYLQETFKPLVN ISPDASLFDAVYSLIKNKIHRLPVIDPISGNALYILTHKRILKFLQLFMSDMPKPAFMKQ NLDELGIGTYHNIAFIHPDTPIIKALNIFVERRISALPVVDESGKVVDIYSKFDVINLAA EKTYNNLDITVTQALQHRSQYFEGVVKCSKLETLETIVDRIVRAEVHRLVVVNEADSIVG IISLSDILQALILTPAGAKQKETETE >ENSMUSP00000075651.5 pep:known chromosome:GRCm38:5:24862748:24995753:-1 gene:ENSMUSG00000028944.14 transcript:ENSMUST00000076306.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkag2 description:protein kinase, AMP-activated, gamma 2 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336153] MKRFGSLRGTKKPKDQNRSTQRRQSEPHGLFASSVSSSPSTPTQVTKQHPFPLESYKQEP ERPESRIYASSSPPDTGQRFCLAFQSPARPPLASPTYHAPLRTAVLAAAPGPAEAGMLEK LEFQEEAEDSESGVYMRFMRSHKCYDIVPTSSKLVVFDTTLQVKKAFFALVANGVRAAPL WESKKQSFVGMLTITDFINILHRYYKSPMVQIYELEEHKIETWRELYLQETFKPLVNISP DASLFDAVYSLIKNKIHRLPVIDPISGNALYILTHKRILKFLQLFMSDMPKPAFMKQNLD ELGIGTYHNIAFIHPDTPIIKALNIFVERRISALPVVDESGKVVDIYSKFDVINLAAEKT YNNLDITVTQALQHRSQYFEGVVKCSKLETLETIVDRIVRAEVHRLVVVNEADSIVGIIS LSDILQALILTPAGAKQKETETE >ENSMUSP00000114978.1 pep:known chromosome:GRCm38:5:24869255:24908509:-1 gene:ENSMUSG00000028944.14 transcript:ENSMUST00000150135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkag2 description:protein kinase, AMP-activated, gamma 2 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336153] MLEKLEFQEEAEDSESGVYMRFMRSHKCYDIVPTSSKLVVFDTTLQVKKAFFALVANGVR AAPLWESKKQSFVGMLTITDFINILHRYYKSPMVQIYELEEHKIETWRELYLQETFKPLV NISPDASLFDAVYSLIKNKIHRLPVIDPISGNALYILTHKRILKFLQLFMSDMPKPAFMK QNLDELGIGTYHNIAFIHPDTPIIKALNIFVERRISALPVVDESGKVVDIYSKFDVINLA AEKT >ENSMUSP00000115760.1 pep:known chromosome:GRCm38:5:24871537:24902315:-1 gene:ENSMUSG00000028944.14 transcript:ENSMUST00000131486.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkag2 description:protein kinase, AMP-activated, gamma 2 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336153] MRFMRSHKCYDIVPTSSKLVVFDTTLQVKKAFFALVANGVRAAPLWESKKQSFVGMLTIT DFINILHRYYKSPMVQIYELEEHKIETWRELYLQETFKPLVNISPDASLFDAVYSLIKNK IHRLPVIDPISGNALYILTHKRILKFLQLFMSDMPKPAFMKQNLDELGIGTYHNIAFIHP DTPIIKALNIF >ENSMUSP00000117149.1 pep:known chromosome:GRCm38:5:24889161:24908977:-1 gene:ENSMUSG00000028944.14 transcript:ENSMUST00000123749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkag2 description:protein kinase, AMP-activated, gamma 2 non-catalytic subunit [Source:MGI Symbol;Acc:MGI:1336153] MLEKLEFQEEAEDSESGVY >ENSMUSP00000113090.1 pep:known chromosome:GRCm38:5:127241808:127565793:1 gene:ENSMUSG00000034324.16 transcript:ENSMUST00000119026.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem132c description:transmembrane protein 132C [Source:MGI Symbol;Acc:MGI:2443061] MDGVTAATMRSEGAAPRRAARYGALSLVLATLLGQVTESRGVMDNIQRFSSLPPYLPVSF HVLRAETAFFLKEANPDPLRNASLQSRVESFFIYKAQQPPVLNVSYGPYSAEKVIPLDLM LNPNFLGPTSKFPFDWRLKAYILQEKVYLSHPKVQVLFHIVGRDWDDHRDEKLPCLRVFA FRDSREVRGSCRLGGPLGLCVAQLEMLPGWFSPPAVVSGRRRPAERPEGSPVELYYAVQP GDERGDCTGGDTRKDNAIRPGKDGQEGRTSHLQKIGTISLYRAQDSTQLSELRLDGNVVI WLPSQPVKQGDIVTASVTIANNSTVDHFILRAKVKKGVNILTVQTSEPRQWDVRQEVGNG GKHTTTSVACQRLGPGARNRSSNLFSEVMQMNFEIASFSSLSGTQPITWQVEYPRKGATD IAVSEIFISQKDLVAIVPLAMDTELLNTAILTGKTVAMPVRVVSVEENSTLRDISELVEC KATDENVIKVSDHCDYVFVNGKEIKGKMDSVVNFTYQHLSAPLHVTVWVPRLPLQIEVSD TELSQVKGWRVPIVASKRPTRDSEEEEEEEQKGRGCTLQFQHATVRVLTQFVSEGAGPWG QLSHLLSPDWQFDITHLVADFMKLESPHIATLQDSRVLVGREVGMTTIQVLSPLSDSILA EKTVTVLDDKVSVTDLAVQVVAGLSVTLHPISENNKATSAVAMAEELLRAPKKEAIISTW LQFSDGSVTPLDIYDSKDFSLTAISLDEAVVSIPQPLSPWWPTVVAEGEGQGPLLRVDMS IAEACQKSKRKSVLAVGIGHVGVKFGWDDADSSQTGEKDEEEIKNHASDRRQKIQDLERP GQDELYHGNFPGDREEGALSATTTTKSLLDNNVGKSGRRDGARLHSIPIDFTNFPAHVDL PKAKTRGTLEENGLMQTAHGLSDLEIGMYALLGVFCLAILVFLINCATFAFKYRHKQVPL EGQASMTHSHDWVWLGNEAELLENIGDLSPPQDEHTTIIDRGLGGCEENNHLLLNGGSQK PTQSQVHRPPGSGGRQTREPRQEPANSPTSKMKKVKFATFTIPPEESCPTVNSILSGEDD IKWVCQDLDVGAPKELRTYLEKFQDSV >ENSMUSP00000121783.1 pep:known chromosome:GRCm38:5:127553254:127564486:1 gene:ENSMUSG00000034324.16 transcript:ENSMUST00000145748.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem132c description:transmembrane protein 132C [Source:MGI Symbol;Acc:MGI:2443061] XADFMKLESPHIATLQDSRVLVGREVGMTTIQVLSPLSDSILAEKTVTVLDDKVSVTDLA VQVVAGLSVTLHPISENNKATSAVAMAEELLRAPKKQQRMVGFQKFESLTQQRGRVDPKE NCSDMRELFYESNDTADKLPKIFVKKNI >ENSMUSP00000033723.3 pep:known chromosome:GRCm38:X:162857057:162888447:-1 gene:ENSMUSG00000031357.3 transcript:ENSMUST00000033723.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Syap1 description:synapse associated protein 1 [Source:MGI Symbol;Acc:MGI:1914293] MFGGLSSWLGLKPPEGAAAEGEEPPSRDGDKLSAGAAPSEESPERPVEPTEEQQQQPPTE DPQFLHQAKGLGNYLYNFASAATKKITESVTETAQTIKKSVEEGKIDDILDKTILGDFQK EQKKFVEEQNTKKSEAAVPPWVESHDEETIQQQILALSADKRNFLRDPPAGVQFNFDFDQ MYPVALVMLQEDELLSKMRFALVPKLVKEEVFWRNYFYRISLIKQSAQLTALAAQQQASG KEEKSSNRDDNLPLTEAVRPKTPPVVIKSQLKSQEDEEEISTSPGVSEFVSDAFDTCSLN QEDLRKEMEQLVLDKKQEEATALEEDSTDWEKELQQELQEYEVVAESEKRDENWDKEIEK MLQES >ENSMUSP00000068789.7 pep:known chromosome:GRCm38:X:7789765:7820567:1 gene:ENSMUSG00000031153.16 transcript:ENSMUST00000065932.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gripap1 description:GRIP1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1859616] MAQALSEEEFQRMQTQLLELRTNNYQLSDELRKNGVELSSLRQKVAYLDKEFSKAQKALS KSKKAQEVEVLLSENEMLQAKLHSQEEDFRLQNSTLMAEFSKLCSQLEQLELENRQLKEG VPGAAGAHVDGELLRLQAENTALQKNMAALQERYGKEAVRPSAVGEGQGDPPGDVLPTPL APMPLAEVELKWEMEREEKKLLWEQLQGLESSKQAETSRLQEELAKLSEKLKKKQESFCR LQTEKETLFNDSRNKIEELQQRKEADLKAQLARTQKLQQELEAANQSLAELRDQRQGERL EHAAALRALQDQIQTAKTQELNMLREQTSELASELQHRQAEYEELMGQKDDLNSQLQESL RANSRLLEQLQEIGQEKEQLTQDLQEARKSAEKRKVMLDELAMETLQEKSQHKEELGAVR LRHEKELLGVRARYERELRELHEDKKRQEEELRGQIREEKARTRELENLQHTVEELQAQV HSMDGAKGWFERRLKEAEESLQQQQQEQEETLKLCREEHAAELKGKDEELQNVREQLQQA QEERDGHVKTISNLKQEVKDTVDGQRILEKKGSAVLKDLKRQLHLERKRADKLQERLQEI LTNSKSRTGLEELVLSEMNSPSRTQTGDSSSVSSFSYREILKEKESSAIPARSLSSSPQA QPPRPAELSDEEVAELFQRLAETQQEKWMLEEKVKHLEVSSASMAEDLCRKSAIIETYVM DSRIDVSVAAGHTDRSGLGSVLRDLVKPGDENLREMNKKLQNMLEEQLTKNMHLHKDMEV LSQEIVRLSKECVGSPDPDLEPGEAN >ENSMUSP00000116140.1 pep:known chromosome:GRCm38:X:7789994:7812483:1 gene:ENSMUSG00000031153.16 transcript:ENSMUST00000136930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gripap1 description:GRIP1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1859616] MAQALSEEEFQRMQTQLLELRTNNYQLSDELRKNGVELSSLRQKVAYLDKEFSKAQKLCS QLEQLELENRQLKEGVPGAAGAHVDGELLRLQAENTALQKNMAALQERYGKEAVRPSAVG EGQGDPPGDVLPTPLAPMPLAEVELKWEMEREEKKLLWEQLQGLESSKQAETSRLQEELA KLSEKLKKKQESFCRLQTEKETLFNDSRNKIEELQQRKEADLKAQLARTQKLQQELEAAN QSLAELRDQRQGERLEHAAALRALQDQIQTAKTQELNMLREQTSELASELQHRQAEYEEL MGQKDDLNSQLQESLRANSRLLEQLQEIGQEKEQLTQDLQEARKSAEKRKVMLDELAMET LQEKSQHKEELGAVRLRHEKELLGVRARYERELRELHEDKKRQEEELRGQIREEKARTRE LENLQHTVEELQAQVHSMDGAKGWFERRLKEAEESLQQQQQEQEETLKLCREEHAAELKG KDEEL >ENSMUSP00000111339.2 pep:known chromosome:GRCm38:X:7789995:7820563:1 gene:ENSMUSG00000031153.16 transcript:ENSMUST00000115675.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gripap1 description:GRIP1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1859616] MAQALSEEEFQRMQTQLLELRTNNYQLSDELRKNGVELSSLRQKVAYLDKEFSKAQKALS KSKKAQEVEVLLSENEMLQAKLHSQEEDFRLQNSTLMAEFSKLCSQLEQLELENRQLKEG VPGAAGAHVDGELLRLQAENTALQKNMAALQERYGKEAVRPSAVGEGQGDPPGDVLPTPL APMPLAEVELKWEMEREEKKLLWEQLQGLESSKQAETSRLQEELAKLSEKLKKKQESFCR LQTEKETLFNDSRNKIEELQQRKEADLKAQLARTQKLQQELEAANQSLAELRDQRQGERL EHAAALRALQDQVSSQSADAQEQVEGLLAENSALRTSLAALEQIQTAKTQELNMLREQTS ELASELQHRQAEYEELMGQKDDLNSQLQESLRANSRLLEQLQEIGQEKEQLTQDLQEARK SAEKRKVMLDELAMETLQEKSQHKEELGAVRLRHEKELLGVRARYERELRELHEDKKRQE EELRGQIREEKARTRELENLQHTVEELQAQVHSMDGAKGWFERRLKEAEESLQQQQQEQE ETLKLCREEHAAELKGKDEELQNVREQLQQAQEERDGHVKTISNLKQEVKDTVDGQRILE KKGSAVLKDLKRQLHLERKRADKLQERLQEILTNSKSRTGLEELVLSEMNSPSRTQTGDS SSVSSFSYREILKEKESSAIPARSLSSSPQAQPPRPAELSDEEVAELFQRLAETQQEKWM LEEKVKHLEVSSASMAEDLCRKSAIIETYVMDSRIDVSVAAGHTDRSGLGSVLRDLVKPG DENLREMNKKLQNMLEEQLTKNMHLHKDMEVLSQEIVRLSKECVGSPDPDLEPGEAN >ENSMUSP00000099218.3 pep:known chromosome:GRCm38:X:7790008:7820563:1 gene:ENSMUSG00000031153.16 transcript:ENSMUST00000101694.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gripap1 description:GRIP1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1859616] MAQALSEEEFQRMQTQLLELRTNNYQLSDELRKNGVELSSLRQKVAYLDKEFSKAQKLCS QLEQLELENRQLKEGVPGAAGAHVDGELLRLQAENTALQKNMAALQERYGKEAVRPSAVG EGQGDPPGDVLPTPLAPMPLAEVELKWEMEREEKKLLWEQLQGLESSKQAETSRLQEELA KLSEKLKKKQESFCRLQTEKETLFNDSRNKIEELQQRKEADLKAQLARTQKLQQELEAAN QSLAELRDQRQGERLEHAAALRALQDQVSSQSADAQEQVEGLLAENSALRTSLAALEQIQ TAKTQELNMLREQTSELASELQHRQAEYEELMGQKDDLNSQLQESLRANSRLLEQLQEIG QEKEQLTQDLQEARKSAEKRKVMLDELAMETLQEKSQHKEELGAVRLRHEKELLGVRARY ERELRELHEDKKRQEEELRGQIREEKARTRELENLQHTVEELQAQVHSMDGAKGWFERRL KEAEESLQQQQQEQEETLKLCREEHAAELKGKDEELQNVREQLQQAQEERDGHVKTISNL KQEVKDTVDGQRILEKKGSAVLKDLKRQLHLERKRADKLQERLQEILTNSKSRTGLEELV LSEMNSPSRTQTGDSSSVSSFSYREILKEKESSAIPARSLSSSPQAQPPRPAELSDEEVA ELFQRLAETQQEKWMLEEKVKHLEVSSASMAEDLCRKSAIIETYVMDSRIDVSVAAGHTD RSGLGSVLRDLVKPGDENLREMNKKLQNMLEEQLTKNMHLHKDMEVLSQEIVRLSKECVG SPDPDLEPGEAN >ENSMUSP00000116683.1 pep:known chromosome:GRCm38:X:7790012:7812483:1 gene:ENSMUSG00000031153.16 transcript:ENSMUST00000140540.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gripap1 description:GRIP1 associated protein 1 [Source:MGI Symbol;Acc:MGI:1859616] MAQALSEEEFQRMQTQLLELRTNNYQLSDELRKNGVELSSLRQKVAYLDKEFSKAQKLCS QLEQLELENRQLKEGVPGAAGAHVDGELLRLQAENTALQKNMAALQERYGKEAVRPSAVG EGQGDPPGDVLPTPLAPMPLAEVELKWEMEREEKKLLWEQLQGLELSEKLKKKQESFCRL QTEKETLFNDSRNKIEELQQRKEADLKAQLARTQKLQQELEAANQSLAELRDQRQGERLE HAAALRALQDQIQTAKTQELNMLREQTSELASELQHRQAEYEELMGQKDDLNSQLQESLR ANSRLLEQLQEIGQEKEQLTQDLQEARKSAEKRKVMLDELAMETLQEKSQHKEELGAVRL RHEKELLGVRARYERELRELHEDKKRQEEELRGQIREEKARTRELENLQHTVEELQAQVH SMDGAKGWFERRLKEAEESLQQQQQEQEETLKLCREEHAAELKGKDEEL >ENSMUSP00000087192.2 pep:known chromosome:GRCm38:16:31422280:31457497:1 gene:ENSMUSG00000046598.14 transcript:ENSMUST00000089759.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdh1 description:3-hydroxybutyrate dehydrogenase, type 1 [Source:MGI Symbol;Acc:MGI:1919161] MLAARLSRPLSQLPGKALSVRDRENGTRHTLLFYPASFSPDTRRTYASQADAASGKAILI TGCDSGFGFSLAKHLHSKGFLVFAGCLMKDKGDAGVKELDSLKSDRLRTIQLNVCNSEEV EKAVETIRSGLKDPEKGMWGLVNNAGISTFGEVEFTSMETYKEVAEVNLWGTVRTTKSFL PLLRRAKGRVVNISSMLGRMANPARSPYCITKFGIEAFSDCLRYEMHPLGVKVSVVEPGN FIAATSLYSPERIQAIAKKMWDDLPEVVRKDYGRKYFDEKIAKMETYCNSGSTDTSSVIN AVTHALTAATPYTRYHPMDYYWWLRMQIMTHFPGAISDKIYIH >ENSMUSP00000110882.3 pep:known chromosome:GRCm38:16:31428748:31458901:1 gene:ENSMUSG00000046598.14 transcript:ENSMUST00000115227.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdh1 description:3-hydroxybutyrate dehydrogenase, type 1 [Source:MGI Symbol;Acc:MGI:1919161] MLAARLSRPLSQLPGKALSVRDRENGTRHTLLFYPASFSPDTRRTYASQADAASGKAILI TGCDSGFGFSLAKHLHSKGFLVFAGCLMKDKGDAGVKELDSLKSDRLRTIQLNVCNSEEV EKAVETIRSGLKDPEKGMWGLVNNAGISTFGEVEFTSMETYKEVAEVNLWGTVRTTKSFL PLLRRAKGRVVNISSMLGRMANPARSPYCITKFGIEAFSDCLRYEMHPLGVKVSVVEPGN FIAATSLYSPERIQAIAKKMWDDLPEVVRKDYGRKYFDEKIAKMETYCNSGSTDTSSVIN AVTHALTAATPYTRYHPMDYYWWLRMQIMTHFPGAISDKIYIH >ENSMUSP00000110881.1 pep:known chromosome:GRCm38:16:31429299:31457222:1 gene:ENSMUSG00000046598.14 transcript:ENSMUST00000115226.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdh1 description:3-hydroxybutyrate dehydrogenase, type 1 [Source:MGI Symbol;Acc:MGI:1919161] MLAARLSRPLSQLPGKALSVRDRENGTRHTLLFYPASFSPDTRRTYASQADAASGKAILI TGCDSGFGFSLAKHLHSKGFLVFAGCLMKDKGDAGVKELDSLKSDRLRTIQLNVCNSEEV EKAVETIRSGLKDPEKGMWGLVNNAGISTFGEVEFTSMETYKEVAEVNLWGTVRTTKSFL PLLRRAKGRVVNISSMLGRMANPARSPYCITKFGIEAFSDCLRYEMHPLGVKVSVVEPGN FIAATSLYSPERIQAIAKKMWDDLPEVVRKDYGRKYFDEKIAKMETYCNSGSTDTSSVIN AVTHALTAATPYTRYHPMDYYWWLRMQIMTHFPGAISDKIYIH >ENSMUSP00000119164.1 pep:known chromosome:GRCm38:16:31436091:31456750:1 gene:ENSMUSG00000046598.14 transcript:ENSMUST00000149039.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdh1 description:3-hydroxybutyrate dehydrogenase, type 1 [Source:MGI Symbol;Acc:MGI:1919161] MSSGWSIEALKHLGGFRSLCTRATRRKQSVLQLGATMLAARLSRPLSQLPGKALSVRDRE NGTRHTLLFYPASFSPDTRRTYASQADAASGKAILITGCDSGFGFSLAKHLHSKGFLVFA GCLMKDKGDAGVKELDSLKSDRLRTIQLNVCNSEEVEKAVETIRSGLKDPEKGMWGLVNN AGISTFGEVEFTSMETYKEVAEVNLWGTVRTTKSFLPLLRRAKGRVVNISSMLGRMANPA RSPYCITKFGIEAFSDCLRYEMHPL >ENSMUSP00000099117.3 pep:known chromosome:GRCm38:5:15934788:16371051:1 gene:ENSMUSG00000040118.15 transcript:ENSMUST00000101581.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d1 description:calcium channel, voltage-dependent, alpha2/delta subunit 1 [Source:MGI Symbol;Acc:MGI:88295] MAAGCLLALTLTLFQSGLIGPSSEEPFPSPVTIKSWVDKMQEDLVTLAKTASGVTQLADI YEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVRLAMEAEKVQAAHQWREDFASN EVVYYNAKDDLDPERNESEPGSQRIKPVFIEDANFGRQISYQHAAVHIPTDIYEGSTIVL NELNWTSALDEVFKRNRDEDPTLLWQVFGSATGLARYYPASPWVDNSRTPNKIDLYDVRR RPWYIQGAASPKDMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQD VSCFQHLVQANVRNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIML FTDGGEERAQEIFAKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYYEIPSIGAIR INTQEYLDVLGRPMVLAGDKAKQVQWTNVYLDALELGLVITGTLPVFNVTGQSENKTNLK NQLILGVMGVDVSLEDIKRLTPRFTLCPNGYYFAIDPNGYVLLHPNLQPKNPKSQEPVTL DFLDAELENEIKVEIRNKMIDGESGEKTFRTLVKSQDERYIDKGNRTYTWTPVNGTDYSL ALVLPTYSFYYIKAKLEETITQARSKKGKMKDSETLKPDNFEESGYTFIAPREYCNDLKP SDNNTEFLLNFNEFIDRKTPNNPSCNTDLINRILLDAGFTNELVQNYWSKQKNIKGVKAR FVVTDGGITRVYPKEAGENWQENPETYEDSFYKRSLDNDNYVFTAPYFNKSGPGAYESGI MVSKAVELYIQGKLLKPAVVGIKIDVNSWIENFTKTSIRDPCAGPVCDCKRNSDVMDCVI LDDGGFLLMANHDDYTNQIGRFFGEIDPSMMRHLVNISLYAFNKSYDYQSVCDPGAAPKQ GAGHRSAYVPSIADILQIGWWATAAAWSILQQLLLSLTFPRLLEAVEMEEDDFTASLSKQ SCITEQTQYFFKNDTKSFSGLLDCGNCSRIFHVEKLMNTNLVFIMVESKGTCPCDTRLLM QAEQTSDGPDPCDMVKQPRYRKGPDVCFDNNVLEDYTDCGGVSGLNPSLWSIFGLQFILL WLVSGSRHYLL >ENSMUSP00000049457.7 pep:known chromosome:GRCm38:5:15934788:16371069:1 gene:ENSMUSG00000040118.15 transcript:ENSMUST00000039370.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d1 description:calcium channel, voltage-dependent, alpha2/delta subunit 1 [Source:MGI Symbol;Acc:MGI:88295] MAAGCLLALTLTLFQSGLIGPSSEEPFPSPVTIKSWVDKMQEDLVTLAKTASGVTQLADI YEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVRLAMEAEKVQAAHQWREDFASN EVVYYNAKDDLDPERNESEPGSQRIKPVFIEDANFGRQISYQHAAVHIPTDIYEGSTIVL NELNWTSALDEVFKRNRDEDPTLLWQVFGSATGLARYYPASPWVDNSRTPNKIDLYDVRR RPWYIQGAASPKDMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQD VSCFQHLVQANVRNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIML FTDGGEERAQEIFAKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYYEIPSIGAIR INTQEYLDVLGRPMVLAGDKAKQVQWTNVYLDALELGLVITGTLPVFNVTGQSENKTNLK NQLILGVMGVDVSLEDIKRLTPRFTLCPNGYYFAIDPNGYVLLHPNLQPKPIGVGIPTIN LRKRRPNVQNPKSQEPVTLDFLDAELENEIKVEIRNKMIDGESGEKTFRTLVKSQDERYI DKGNRTYTWTPVNGTDYSLALVLPTYSFYYIKAKLEETITQARYSETLKPDNFEESGYTF IAPREYCNDLKPSDNNTEFLLNFNEFIDRKTPNNPSCNTDLINRILLDAGFTNELVQNYW SKQKNIKGVKARFVVTDGGITRVYPKEAGENWQENPETYEDSFYKRSLDNDNYVFTAPYF NKSGPGAYESGIMVSKAVELYIQGKLLKPAVVGIKIDVNSWIENFTKTSIRDPCAGPVCD CKRNSDVMDCVILDDGGFLLMANHDDYTNQIGRFFGEIDPSMMRHLVNISLYAFNKSYDY QSVCDPGAAPKQGAGHRSAYVPSIADILQIGWWATAAAWSILQQLLLSLTFPRLLEAVEM EEDDFTASLSKQSCITEQTQYFFKNDTKSFSGLLDCGNCSRIFHVEKLMNTNLVFIMVES KGTCPCDTRLLMQAEQTSDGPDPCDMVKQPRYRKGPDVCFDNNVLEDYTDCGGVSGLNPS LWSIFGLQFILLWLVSGSRHYLL >ENSMUSP00000142881.1 pep:known chromosome:GRCm38:5:15934788:16371069:1 gene:ENSMUSG00000040118.15 transcript:ENSMUST00000199704.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d1 description:calcium channel, voltage-dependent, alpha2/delta subunit 1 [Source:MGI Symbol;Acc:MGI:88295] MAAGCLLALTLTLFQSGLIGPSSEEPFPSPVTIKSWVDKMQEDLVTLAKTASGVTQLADI YEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVRLAMEAEKVQAAHQWREDFASN EVVYYNAKDDLDPERNESEPGSQRIKPVFIEDANFGRQISYQHAAVHIPTDIYEGSTIVL NELNWTSALDEVFKRNRDEDPTLLWQVFGSATGLARYYPASPWVDNSRTPNKIDLYDVRR RPWYIQGAASPKDMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQD VSCFQHLVQANVRNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIML FTDGGEERAQEIFAKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYYEIPSIGAIR INTQEYLDVLGRPMVLAGDKAKQVQWTNVYLDALELGLVITGTLPVFNVTGQSENKTNLK NQLILGVMGVDVSLEDIKRLTPRFTLCPNGYYFAIDPNGYVLLHPNLQPKEPVTLDFLDA ELENEIKVEIRNKMIDGESGEKTFRTLVKSQDERYIDKGNRTYTWTPVNGTDYSLALVLP TYSFYYIKAKLEETITQARYSETLKPDNFEESGYTFIAPREYCNDLKPSDNNTEFLLNFN EFIDRKTPNNPSCNTDLINRILLDAGFTNELVQNYWSKQKNIKGVKARFVVTDGGITRVY PKEAGENWQENPETYEDSFYKRSLDNDNYVFTAPYFNKSGPGAYESGIMVSKAVELYIQG KLLKPAVVGIKIDVNSWIENFTKTSIRDPCAGPVCDCKRNSDVMDCVILDDGGFLLMANH DDYTNQIGRFFGEIDPSMMRHLVNISLYAFNKSYDYQSVCDPGAAPKQGAGHRSAYVPSI ADILQIGWWATAAAWSILQQLLLSLTFPRLLEAVEMEEDDFTASLSKQSCITEQTQYFFK NDTKSFSGLLDCGNCSRIFHVEKLMNTNLVFIMVESKGTCPCDTRLLMQAEQTSDGPDPC DMVKQPRYRKGPDVCFDNNVLEDYTDCGGVSGLNPSLWSIFGLQFILLWLVSGSRHYLL >ENSMUSP00000136260.1 pep:known chromosome:GRCm38:5:15934788:16371069:1 gene:ENSMUSG00000040118.15 transcript:ENSMUST00000180204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d1 description:calcium channel, voltage-dependent, alpha2/delta subunit 1 [Source:MGI Symbol;Acc:MGI:88295] MAAGCLLALTLTLFQSGLIGPSSEEPFPSPVTIKSWVDKMQEDLVTLAKTASGVTQLADI YEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVRLAMEAEKVQAAHQWREDFASN EVVYYNAKDDLDPERNESEPGSQRIKPVFIEDANFGRQISYQHAAVHIPTDIYEGSTIVL NELNWTSALDEVFKRNRDEDPTLLWQVFGSATGLARYYPASPWVDNSRTPNKIDLYDVRR RPWYIQGAASPKDMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQD VSCFQHLVQANVRNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIML FTDGGEERAQEIFAKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYYEIPSIGAIR INTQEYLDVLGRPMVLAGDKAKQVQWTNVYLDALELGLVITGTLPVFNVTGQSENKTNLK NQLILGVMGVDVSLEDIKRLTPRFTLCPNGYYFAIDPNGYVLLHPNLQPKEPVTLDFLDA ELENEIKVEIRNKMIDGESGEKTFRTLVKSQDERYIDKGNRTYTWTPVNGTDYSLALVLP TYSFYYIKAKLEETITQARSKKGKMKDSETLKPDNFEESGYTFIAPREYCNDLKPSDNNT EFLLNFNEFIDRKTPNNPSCNTDLINRILLDAGFTNELVQNYWSKQKNIKGVKARFVVTD GGITRVYPKEAGENWQENPETYEDSFYKRSLDNDNYVFTAPYFNKSGPGAYESGIMVSKA VELYIQGKLLKPAVVGIKIDVNSWIENFTKTSIRDPCAGPVCDCKRNSDVMDCVILDDGG FLLMANHDDYTNQIGRFFGEIDPSMMRHLVNISLYAFNKSYDYQSVCDPGAAPKQGAGHR SAYVPSIADILQIGWWATAAAWSILQQLLLSLTFPRLLEAVEMEEDDFTASLSKQSCITE QTQYFFKNDTKSFSGLLDCGNCSRIFHVEKLMNTNLVFIMVESKGTCPCDTRLLMQAEQT SDGPDPCDMVKQPRYRKGPDVCFDNNVLEDYTDCGGVSGLNPSLWSIFGLQFILLWLVSG SRHYLL >ENSMUSP00000077391.6 pep:known chromosome:GRCm38:5:15934788:16374504:1 gene:ENSMUSG00000040118.15 transcript:ENSMUST00000078272.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d1 description:calcium channel, voltage-dependent, alpha2/delta subunit 1 [Source:MGI Symbol;Acc:MGI:88295] MAAGCLLALTLTLFQSGLIGPSSEEPFPSPVTIKSWVDKMQEDLVTLAKTASGVTQLADI YEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVRLAMEAEKVQAAHQWREDFASN EVVYYNAKDDLDPERNESEPGSQRIKPVFIEDANFGRQISYQHAAVHIPTDIYEGSTIVL NELNWTSALDEVFKRNRDEDPTLLWQVFGSATGLARYYPASPWVDNSRTPNKIDLYDVRR RPWYIQGAASPKDMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQD VSCFQHLVQANVRNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIML FTDGGEERAQEIFAKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYYEIPSIGAIR INTQEYLDVLGRPMVLAGDKAKQVQWTNVYLDALELGLVITGTLPVFNVTGQSENKTNLK NQLILGVMGVDVSLEDIKRLTPRFTLCPNGYYFAIDPNGYVLLHPNLQPKNPKSQEPVTL DFLDAELENEIKVEIRNKMIDGESGEKTFRTLVKSQDERYIDKGNRTYTWTPVNGTDYSL ALVLPTYSFYYIKAKLEETITQARYSETLKPDNFEESGYTFIAPREYCNDLKPSDNNTEF LLNFNEFIDRKTPNNPSCNTDLINRILLDAGFTNELVQNYWSKQKNIKGVKARFVVTDGG ITRVYPKEAGENWQENPETYEDSFYKRSLDNDNYVFTAPYFNKSGPGAYESGIMVSKAVE LYIQGKLLKPAVVGIKIDVNSWIENFTKTSIRDPCAGPVCDCKRNSDVMDCVILDDGGFL LMANHDDYTNQIGRFFGEIDPSMMRHLVNISLYAFNKSYDYQSVCDPGAAPKQGAGHRSA YVPSIADILQIGWWATAAAWSILQQLLLSLTFPRLLEAVEMEEDDFTASLSKQSCITEQT QYFFKNDTKSFSGLLDCGNCSRIFHVEKLMNTNLVFIMVESKGTCPCDTRLLMQAEQTSD GPDPCDMVKQPRYRKGPDVCFDNNVLEDYTDCGGVSGLNPSLWSIFGLQFILLWLVSGSR HYLL >ENSMUSP00000143082.1 pep:known chromosome:GRCm38:5:15934911:16089022:1 gene:ENSMUSG00000040118.15 transcript:ENSMUST00000196750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d1 description:calcium channel, voltage-dependent, alpha2/delta subunit 1 [Source:MGI Symbol;Acc:MGI:88295] MAAGCLLALTLTLFQSGLIGPSSEEPFPSPVTIKSWVDKMQEDLVTLAKTASGVTQLADI YEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVGH >ENSMUSP00000110936.2 pep:known chromosome:GRCm38:5:15934829:16370727:1 gene:ENSMUSG00000040118.15 transcript:ENSMUST00000115281.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d1 description:calcium channel, voltage-dependent, alpha2/delta subunit 1 [Source:MGI Symbol;Acc:MGI:88295] MAAGCLLALTLTLFQSGLIGPSSEEPFPSPVTIKSWVDKMQEDLVTLAKTASGVTQLADI YEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVRLAMEAEKVQAAHQWREDFASN EVVYYNAKDDLDPERNESEPGSQRIKPVFIEDANFGRQISYQHAAVHIPTDIYEGSTIVL NELNWTSALDEVFKRNRDEDPTLLWQVFGSATGLARYYPASPWVDNSRTPNKIDLYDVRR RPWYIQGAASPKDMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQD VSCFQHLVQANVRNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIML FTDGGEERAQEIFAKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYYEIPSIGAIR INTQEYLDVLGRPMVLAGDKAKQVQWTNVYLDALELGLVITGTLPVFNVTGQSENKTNLK NQLILGVMGVDVSLEDIKRLTPRFTLCPNGYYFAIDPNGYVLLHPNLQPKEPVTLDFLDA ELENEIKVEIRNKMIDGESGEKTFRTLVKSQDERYIDKGNRTYTWTPVNGTDYSLALVLP TYSFYYIKAKLEETITQARSKKGKMKDSETLKPDNFEESGYTFIAPREYCNDLKPSDNNT EFLLNFNEFIDRKTPNNPSCNTDLINRILLDAGFTNELVQNYWSKQKNIKGVKARFVVTD GGITRVYPKEAGENWQENPETYEDSFYKRSLDNDNYVFTAPYFNKSGPGAYESGIMVSKA VELYIQGKLLKPAVVGIKIDVNSWIENFTKTSIRDPCAGPVCDCKRNSDVMDCVILDDGG FLLMANHDDYTNQIGRFFGEIDPSMMRHLVNISLYAFNKSYDYQSVCDPGAAPKQGAGHR SAYVPSIADILQIGWWATAAAWSILQQLLLSLTFPRLLEAVEMEEDDFTASLSKQSCITE QTQYFFKNDTKSFSGLLDCGNCSRIFHVEKLMNTNLVFIMVESKGTCPCDTRLLMQAEQT SDGPDPCDMVKQPRYRKGPDVCFDNNVLEDYTDCGGVSGLNPSLWSIFGLQFILLWLVSG SRHYLL >ENSMUSP00000131507.2 pep:known chromosome:GRCm38:5:15934691:16374511:1 gene:ENSMUSG00000040118.15 transcript:ENSMUST00000167946.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacna2d1 description:calcium channel, voltage-dependent, alpha2/delta subunit 1 [Source:MGI Symbol;Acc:MGI:88295] MAAGCLLALTLTLFQSGLIGPSSEEPFPSPVTIKSWVDKMQEDLVTLAKTASGVTQLADI YEKYQDLYTVEPNNARQLVEIAARDIEKLLSNRSKALVRLAMEAEKVQAAHQWREDFASN EVVYYNAKDDLDPERNESEPGSQRIKPVFIEDANFGRQISYQHAAVHIPTDIYEGSTIVL NELNWTSALDEVFKRNRDEDPTLLWQVFGSATGLARYYPASPWVDNSRTPNKIDLYDVRR RPWYIQGAASPKDMLILVDVSGSVSGLTLKLIRTSVSEMLETLSDDDFVNVASFNSNAQD VSCFQHLVQANVRNKKVLKDAVNNITAKGITDYKKGFSFAFEQLLNYNVSRANCNKIIML FTDGGEERAQEIFAKYNKDKKVRVFTFSVGQHNYDRGPIQWMACENKGYYYEIPSIGAIR INTQEYLDVLGRPMVLAGDKAKQVQWTNVYLDALELGLVITGTLPVFNVTGQSENKTNLK NQLILGVMGVDVSLEDIKRLTPRFTLCPNGYYFAIDPNGYVLLHPNLQPKNPKSQEPVTL DFLDAELENEIKVEIRNKMIDGESGEKTFRTLVKSQDERYIDKGNRTYTWTPVNGTDYSL ALVLPTYSFYYIKAKLEETITQARCNLGIFSDSETLKPDNFEESGYTFIAPREYCNDLKP SDNNTEFLLNFNEFIDRKTPNNPSCNTDLINRILLDAGFTNELVQNYWSKQKNIKGVKAR FVVTDGGITRVYPKEAGENWQENPETYEDSFYKRSLDNDNYVFTAPYFNKSGPGAYESGI MVSKAVELYIQGKLLKPAVVGIKIDVNSWIENFTKTSIRDPCAGPVCDCKRNSDVMDCVI LDDGGFLLMANHDDYTNQIGRFFGEIDPSMMRHLVNISLYAFNKSYDYQSVCDPGAAPKQ GAGHRSAYVPSIADILQIGWWATAAAWSILQQLLLSLTFPRLLEAVEMEEDDFTASLSKQ SCITEQTQYFFKNDTKSFSGLLDCGNCSRIFHVEKLMNTNLVFIMVESKGTCPCDTRLLM QAEQTSDGPDPCDMVKQPRYRKGPDVCFDNNVLEDYTDCGGVSGLNPSLWSIFGLQFILL WLVSGSRHYLL >ENSMUSP00000057096.8 pep:known chromosome:GRCm38:18:20558074:20604521:1 gene:ENSMUSG00000044393.15 transcript:ENSMUST00000059787.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsg2 description:desmoglein 2 [Source:MGI Symbol;Acc:MGI:1196466] MARSPGDRCALLLLVQLLAVVCLDFGNGLHLEVFSPRNEGKPFPKHTHLVRQKRAWITAP VALREGEDLSRKNPIAKIHSDLAEEKGIKITYKYTGKGITEPPFGIFVFDRNTGELNITS ILDREETPYFLLTGYALDSRGNNLEKPLELRIKVLDINDNEPVFTQEVFVGSIEELSAAH TLVMKITATDADDPETLNAKVSYRIVSQEPANSHMFYLNKDTGEIYTTSFTLDREEHSSY SLTVEARDGNGQITDKPVQQAQVQIRILDVNDNIPVVENKMYEGTVEENQVNVEVMRIKV TDADEVGSDNWLANFTFASGNEGGYFHIETDTQTNEGIVTLVKEVDYEEMKKLDLSIIVT NKAAFHKSILSKYKATPIPITVKVKNVVEGIHFKSSVVSFRASEAMDRSSLSRSIGNFQV FDEDTGQAAKVTYVKVQDTDNWVSVDSVTSEIKLVKIPDFESRYVQNGTYTAKVVAISKE HPQKTITGTIVITVEDVNDNCPVLVDSVRSVCEDEPYVNVTAEDLDGAQNSAPFSFSIID QPPGTAQKWKITHQESTSVLLQQSERKRGRSEIPFLISDSQGFSCPERQVLQLTVCECLK GGGCVAAQYDNYVGLGPAAIALMILALLLLLLVPLLLLICHCGGGAKGFTPIPGTIEMLH PWNNEGAPPEDKVVPSLLVADHAESSAVRGGVGGAMLKEGMMKGSSSASVTKGQHELSEV DGRWEEHRSLLTAGATHHVRTAGTIAANEAVRTRATGSSRDMSGARGAVAVNEEFLRSYF TEKAASYNGEDDLHMAKDCLLVYSQEDTASLRGSVGCCSFIEGELDDLFLDDLGLKFKTL AEVCLGRKIDLDVDIEQRQKPVREASVSAASGSHYEQAVTSSESAYSSNTGFPAPKPLHE VHTEKVTQEIVTESSVSSRQSQKVVPPPDPVASGNIIVTETSYAKGSAVPPSTVLLAPRQ PQSLIVTERVYAPTSTLVDQHYANEEKVLVTERVIQPNGGIPKPLEVTQHLKDAQYVMVR ERESILAPSSGVQPTLAMPSVAAGGQNVTVTERILTPASTLQSSYQIPSETSITARNTVL SSVGSIGPLPNLDLEESDRPNSTITTSSTRVTKHSTMQHSYS >ENSMUSP00000113153.1 pep:known chromosome:GRCm38:18:20558155:20585553:1 gene:ENSMUSG00000044393.15 transcript:ENSMUST00000120102.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsg2 description:desmoglein 2 [Source:MGI Symbol;Acc:MGI:1196466] MARSPGDRCALLLLVQLLAVVCLDFGNGLHLEVFSPRNEGKPFPKHTHLVRQKRAWITAP VALREGEDLSRKNPIAKIHSDLAEEKGIKITYKYTGKGITEPPFGIFVFDRNTGELNITS ILDREETPYFLLTGYALDSRGNNLEKPLELRIKVLDINDNEPVFTQEVFVGSIEELSAAH TLVMKITATDADDPETLNAKVSYRIVSQEPANSHMFYLNKDTGEIYTTSFTLDREEHSSY SLTVEARDGNGQITDKPVQQAQVQIRILDVNDNIPVVENKMYEGTVEENQVNVEVMRIKV TDADEVGSDNWLANFTFASGNEGGYFHIETDTQTNEGIVTLVKVSCKCPPPGWDCGYRKD >ENSMUSP00000113029.1 pep:known chromosome:GRCm38:18:20558246:20582916:1 gene:ENSMUSG00000044393.15 transcript:ENSMUST00000121837.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dsg2 description:desmoglein 2 [Source:MGI Symbol;Acc:MGI:1196466] MARSPGDRCALLLLVQLLAVVCLDFGNGLHLEVFSPRNEGKPFPKHTHLVRQKRAWITAP VALREGEDLSRKNPIAKIHSDLAEEKGIKITYKYTGKGITEPPFGIFVFDRNTGELNITS ILDREETPYFLLTGYALDSRGNNLEKPLELRIKVLDINDNEPVFTQEVFVGSIEELSAAH TLVMKITATDADDPETLNAKVSYRIVSQEPANSHMFYLNKDTGEIYTTSFTLDREEHSSY SLTVEARDGNGQITDKPVQQAQVQIRILDVNDNIPVVENKMVTQFYSRLTIS >ENSMUSP00000023538.8 pep:known chromosome:GRCm38:16:34784921:35002420:1 gene:ENSMUSG00000022836.10 transcript:ENSMUST00000023538.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mylk description:myosin, light polypeptide kinase [Source:MGI Symbol;Acc:MGI:894806] MSGQLPGKTMGDVKLFASSHMSKTSHSVDPSKVSSMPLTEAPAFILPPRNLCVKEGATAK FEGRVRGYPEPQVTWHRKGQAITNGGRFLLDCGVRGTFSLVIHTVREEDKGKYTCEASNG SGARQVTVELTVEGNSMKKRDQPVLSKASGFPGETRPSIWGECPPKFATKLGRAVVKEGQ MGRFSCKITGRPPPQVTWLKGNVPLQPSARVSMSEKNGMQILEIRGVTRDDLGVYTCMVV NGSGKASMSAELSIPGLDNAARLAVRGTKAPSPDIRKEVTNGVSKDPETVAESKNCPSPQ RSGSSARATNSHLKSPQEPKPKLCEDAPRKVPQSSILQKSTSTITLQALKVQPEARVPAI GSFSPGEDRKSLAAPQQATLPTRQSSLGGSVGNKFVTGNIPRESQRESTFPRFESQPQSQ EVTEGQTVKFICEVSGIPKPDVGWFLEGIPVRRREGITEVYEDGVSHHLCLLRARTRDSG RYSCTASNSLGQVSCSWSLLVDRPNLAQTAPSFSSVLKDSVVIEGQDFVLRCSVQGTPAP RVTWLLNGQPIQFAHSICEAGVAELHIQDALPEDRGTYTCLAENAMGQVSCSATVTVQEK KGEGEREHRLSPARSKPIAPIFLQGLSDLKVMDGSQVTMTVQVSGNPPPEVIWLHDGNEI QESEDFHFEQKGGWHSLCIQEVFPEDTGTYTCEAWNSAGEVRTRAVLTVQEPHDGTQPWF ISKPRSVTATLGQSVLISCAIAGDPFPTVHWLRDGRALSKDSGHFELLQNEDVFTLVLKN VQPWHAGQYEILLKNRVGECSCQVSLMLHNSPSRAPPRGREPASCEGLCGGGGVGAHGDG DRHGTLRPCWPARGQGWPEEEDGEDVRGLLKRRVETRLHTEEAIRQQEVGQLDFRDLLGK KVSTKTVSEDDLKDIPAEQMDFRANLQRQVKPKTISEEERKVHSPQQVDFRSVLAKKGTP KTPVPEKAPPKAATPDFRSVLGGKKKSPSENGGNSAEVLNVKAGESPTPAGDAQAIGALK PVGNAKPAETPKPIGNAKPTETLKPVGNTKPAETLKPIANAQPSGSLKPVTNAQPAEPQK PVGNAKSAETSKPAGKEEVKEVKNDVNCKKGQVGATGNEKRPESQGSAPVFKEKLQDVHV AEGEKLLLQCQVISDPPATVTWSLNGKTLKTTKFIVLAQEGSRFSVSIEKALPEDRGLYK CVAKNSAGQAECSCQVTVDDAQTSENTKAPEMKSRRPKSSLPPVLGTESDATVKKKPAPK TPTKAAMPPQIIQFPEDQKVRAGEPVELFGKVAGTQPITCKWMKFRKQIQESEHIKVENG ESGSKLTILAARQEHCGCYTLVVENKLGSRQAQVNLTVVDKPDPPAGTPCASDIRSSSLT LSWYGSSYDGGSAVQSYNVEIWDTEDKVWKELATCRSTSFNVQDLLPDREYKFRVRAVNV YGTSEPSQESELTAVGEKPEEPKDEVEVSDDDEKEPEVDYRTVTVNTEQKVSDVYDIEER LGSGKFGQVFRLVEKKTGKIWAGKFFKAYSAKEKDNIRQEISIMNCLHHPKLVQCVDAFE EKANIVMVLEIVSGGELFERIIDEDFELTERECIKYMRQISEGVEYIHKQGIVHLDLKPE NIMCVNKTGTRIKLIDFGLARRLENAGSLKVLFGTPEFVAPEVINYEPIGYATDMWSIGV ICYILVSGLSPFMGDNDNETLANVTSATWDFDDEAFDEISDDAKDFISNLLKKDMKNRLD CTQCLQHPWLMKDTKNMEAKKLSKDRMKKYMARRKWQKTGNAVRAIGRLSSMAMISGLSG RKSSTGSPTSPINAEKLESEDDVSQAFLEAVAEEKPHVKPYFSKTIRDLEVVEGSAARFD CKIEGYPDPEVVWFKDDQSIRESRHFQIDYDEDGNCSLIISDVCGDDDAKYTCKAVNSLG EATCTAELIVETMEEGEGEEGGEEEEEEEE >ENSMUSP00000113675.1 pep:known chromosome:GRCm38:10:81459227:81482709:-1 gene:ENSMUSG00000034818.16 transcript:ENSMUST00000118763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf5 description:CUGBP, Elav-like family member 5 [Source:MGI Symbol;Acc:MGI:2442333] MKDLDAIKLFVGQIPRHLHEQDLKPLFEQFGRIYELTVLKDPHTGVHKGCAFLTYCARDS AIKAQTALHEQKTLPGMARPIQVKPADSESRGGRDRKLFVGMLNKQQSEEDVLRLFQPFG VIDECTVLRGPDGSSKGCAFVKFSSHTEAQAAIHALHGSQTMPGASSSLVVKFADTDKER TLRRMQQMVGQLGILTPSLTLPFSPYSAYAQALMQQQTTVLSTSGSYLSPGVAFPPCHIQ QIGAVSLNGLPATPIAPASGLHSPPLLGTAAVPGLMAPIPNGFPGVLPFPGSHPALETVY ANGLVPYPAQSPTVAETLHPAFSGVQQYTAMYPTAAIAPVAHSVPQPPHLLQQQREGVWR HGADPDVPPLRQYHLLQGVYGSGYQPEQVFRIREL >ENSMUSP00000113546.1 pep:known chromosome:GRCm38:10:81459228:81473127:-1 gene:ENSMUSG00000034818.16 transcript:ENSMUST00000119060.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf5 description:CUGBP, Elav-like family member 5 [Source:MGI Symbol;Acc:MGI:2442333] MARPIQVKPADSESRGGRDRKLFVGMLNKQQSEEDVLRLFQPFGVIDECTVLRGPDGSSK GCAFVKFSSHTEAQAAIHALHGSQTMPGASSSLVVKFADTDKERTLRRMQQMVGQLGILT PSLTLPFSPYSAYAQALMQQQTTVLSTSGSYLSPGVAFPPCHIQQIGAVSLNGLPATPIA PASGLHSPPLLGTAAVPGLMAPIPNGFPGVLPFPGSHPALETVYANGLVPYPAQSPTVAE TLHPAFSGVQQYTAMYPTAAIAPVAHSVPQPPHLLQQQREGVWRHGADPDVPPLRQYHLL QGVYGSGYQPEQVFRIREL >ENSMUSP00000113784.1 pep:known chromosome:GRCm38:10:81460930:81474844:-1 gene:ENSMUSG00000034818.16 transcript:ENSMUST00000120856.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf5 description:CUGBP, Elav-like family member 5 [Source:MGI Symbol;Acc:MGI:2442333] MARPIQVKPADSESRGGDRKLFVGMLNKQQSEEDVLRLFQPFGVIDECTVLRGPDGSSKG CAFVKFSSHTEAQAAIHALHGSQTMPGASSSLVVKFADTDKERTLRRMQQMVGQLGILTP SLTLPFSPYSAYAQALMQQQTTVLSTSGSYLSPGVAFPPCHIQQIGAVSLNGLPATPIAP ASGLHSPPLLGTAAVPGLMAPIPNGFPGVLPFPGSHPALETVYANGLVPYPAQSPTVAET LHPAFSGVQQYTAMYPTAAIAPVAHSVPQPPHLLQQQREGVWRHGADPDVPPLRQYHLLQ GVYGSGYQPEQVFRIREL >ENSMUSP00000113592.1 pep:known chromosome:GRCm38:10:81461548:81482684:-1 gene:ENSMUSG00000034818.16 transcript:ENSMUST00000120508.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf5 description:CUGBP, Elav-like family member 5 [Source:MGI Symbol;Acc:MGI:2442333] MKDLDAIKLFVGQIPRHLHEQDLKPLFEQFGRIYELTVLKDPHTGVHKGCAFLTYCARDS AIKAQTALHEQKTLPGMARPIQVKPADSESRGGDRKLFVGMLNKQQSEEDVLRLFQPFGV IDECTVLRGPDGSSKGCAFVKFSSHTEAQAAIHALHGSQTMPGASSSLVVKFADTDKERT LRRMQQMVGQLGILTPSLTLPFSPYSAYAQALMQQQTTVLSTSGSYLSPGVAFPPCHIQQ IGAVSLNGLPATPIAPASGLHSPPLLGTAAVPGLMAPIPNGFPGVLPFPGSHPALETVYA NGLVPYPAQSPTVAETLHPAFSGVQQYTAMYPTAAIAPVAHSVPQPPHLLQQQREGVWRH GADPDVPPLRQYHLLQGVYGSGYQPEQVFRIREL >ENSMUSP00000117430.1 pep:known chromosome:GRCm38:10:81469445:81473002:-1 gene:ENSMUSG00000034818.16 transcript:ENSMUST00000147524.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celf5 description:CUGBP, Elav-like family member 5 [Source:MGI Symbol;Acc:MGI:2442333] MWCGTQMARPIQVKPADSESRGGRDRKLFVGMLNKQQSEEDVLRLFQPFGVIDECTVLRG PDGSSKGCAFVKFSSHTEAQAAIHALHGSQTMP >ENSMUSP00000061753.4 pep:known chromosome:GRCm38:5:38668486:38684750:-1 gene:ENSMUSG00000046572.10 transcript:ENSMUST00000057258.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp518b description:zinc finger protein 518B [Source:MGI Symbol;Acc:MGI:2140750] MQIREMKDIGEQLYTTQVNGGPSSLTMSPKQPNRATRTERQEAQTLLYQGSEAEAATMTI ATCVQCKSVHKIPTQDLRKGPGQSQDTYVCFKCSLRAVPTQLHFVNNNAGAAHVRNETET ISSPVNKFKVRNFKPGKYYCDKCRFSTKDPLQYRKHTLQHEEIRFICSHCSYISYTKGEF QRHLVKHTGIFPYRCEYCDYGAIRNDYIVKHRRRVHERAGAKRPFKTVAKLEPKRTSIPK QSMELSKGPSPRAAFQNKLSDQLSRFSLHANKDKTHNLMLLPELKKYQKDVVCIPNKVTL SEPREVSLLGNKNVEVEVLSPSKEPVHPGMPLTVMAPSELVVPTNCLAQLMDVKVVNGAQ QLVLKLFPLEENARLETSRGDGGTSECLSTEKGSGGQKKMLSPEASRSLAVEGNAGDFVG LDRLHSLVQKQLKNVKWVKSCNFFMPNSGVHSQQESFLGSDTIKELQKSHSLCPPRALPS AAIKSHSPASVQNSVPYGPGATVSHFLSKAAVAFADDRRGARSNSQQLLPLASLPSKVPF SGEKGLLPIGESDLEARNRISRPETLVSSDRKLEDKQMESKAVGNTGQVSSVQNKEYLHI NITGEDKPRSQQPGDQPGQPKTSETAGATFEGPIISSVFSLSSGSENVPEAIKWNSSTTK IKSIELLRRKIAQLIESCGKPSSLSANSAQRRSIGQAPKLTSKATPKAIQEMSVSLTGPG PTPGPSVGPLQKPPNEDSITGSRQLVPQQVCPQFISANDGKMENRVTRKTPVATPVLIPK GAVLRVLNSSEDAHIIEATCDTPVSIPCSEAQLAGTLPFCPMKQTGSGSQPLTCRSGPAD MSPGLETSLRPKSRKEDTICSATAKKMVPVYSTAPGSSDSIRQGRPVSRNLTVSKNKTKQ VNSTKKKNKMQANPGRYFKDPPSFFQVARQLRLIAAKPDQLIKCPRRNQPVIVLNHPDVD SPEVTNVMKVINKYKGNVLKVVLSERTRCQLGVRRYHMRLTYQNVAETNHMKRQMMLKMK LKKVHKNNYQVVGSMPDDPAQCVFKCWFCGRLYEDQEEWMSHGQRHLIEATRDWDVLSSK GK >ENSMUSP00000136948.1 pep:known chromosome:GRCm38:5:38674176:38684826:-1 gene:ENSMUSG00000046572.10 transcript:ENSMUST00000180214.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp518b description:zinc finger protein 518B [Source:MGI Symbol;Acc:MGI:2140750] MQIREMKDIGEQLYTTQVNGGPSSLTMSPKQPNRATRTERQEAQTLLYQGSEAEAATMTI ATCVQCKSVHKIPTQDLRKGPGQSQDTYVCFKCSLRAVPTQLHFVNNNAGAAHVRNETET ISSPVNKFKVRNFKPGKYYCDKCRFSTKDPLQYRKHTLQHE >ENSMUSP00000136862.1 pep:known chromosome:GRCm38:5:38674627:38684757:-1 gene:ENSMUSG00000046572.10 transcript:ENSMUST00000178760.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp518b description:zinc finger protein 518B [Source:MGI Symbol;Acc:MGI:2140750] MQIREMKDIGE >ENSMUSP00000137381.1 pep:known chromosome:GRCm38:5:38668484:38684826:-1 gene:ENSMUSG00000046572.10 transcript:ENSMUST00000179555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp518b description:zinc finger protein 518B [Source:MGI Symbol;Acc:MGI:2140750] MQIREMKDIGEQLYTTQVNGGPSSLTMSPKQPNRATRTERQEAQTLLYQGSEAEAATMTI ATCVQCKSVHKIPTQDLRKGPGQSQDTYVCFKCSLRAVPTQLHFVNNNAGAAHVRNETET ISSPVNKFKVRNFKPGKYYCDKCRFSTKDPLQYRKHTLQHEEIRFICSHCSYISYTKGEF QRHLVKHTGIFPYRCEYCDYGAIRNDYIVKHRRRVHERAGAKRPFKTVAKLEPKRTSIPK QSMELSKGPSPRAAFQNKLSDQLSRFSLHANKDKTHNLMLLPELKKYQKDVVCIPNKVTL SEPREVSLLGNKNVEVEVLSPSKEPVHPGMPLTVMAPSELVVPTNCLAQLMDVKVVNGAQ QLVLKLFPLEENARLETSRGDGGTSECLSTEKGSGGQKKMLSPEASRSLAVEGNAGDFVG LDRLHSLVQKQLKNVKWVKSCNFFMPNSGVHSQQESFLGSDTIKELQKSHSLCPPRALPS AAIKSHSPASVQNSVPYGPGATVSHFLSKAAVAFADDRRGARSNSQQLLPLASLPSKVPF SGEKGLLPIGESDLEARNRISRPETLVSSDRKLEDKQMESKAVGNTGQVSSVQNKEYLHI NITGEDKPRSQQPGDQPGQPKTSETAGATFEGPIISSVFSLSSGSENVPEAIKWNSSTTK IKSIELLRRKIAQLIESCGKPSSLSANSAQRRSIGQAPKLTSKATPKAIQEMSVSLTGPG PTPGPSVGPLQKPPNEDSITGSRQLVPQQVCPQFISANDGKMENRVTRKTPVATPVLIPK GAVLRVLNSSEDAHIIEATCDTPVSIPCSEAQLAGTLPFCPMKQTGSGSQPLTCRSGPAD MSPGLETSLRPKSRKEDTICSATAKKMVPVYSTAPGSSDSIRQGRPVSRNLTVSKNKTKQ VNSTKKKNKMQANPGRYFKDPPSFFQVARQLRLIAAKPDQLIKCPRRNQPVIVLNHPDVD SPEVTNVMKVINKYKGNVLKVVLSERTRCQLGVRRYHMRLTYQNVAETNHMKRQMMLKMK LKKVHKNNYQVVGSMPDDPAQCVFKCWFCGRLYEDQEEWMSHGQRHLIEATRDWDVLSSK GK >ENSMUSP00000109693.3 pep:known chromosome:GRCm38:X:75785654:75875182:-1 gene:ENSMUSG00000016382.15 transcript:ENSMUST00000114059.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pls3 description:plastin 3 (T-isoform) [Source:MGI Symbol;Acc:MGI:104807] MDEMATTQISKDELDELKEAFAKVDLNSNGFICDYELHELFKEANMPLPGYKVREIIQKL MVDGDRNKDGKISFNEFVYIFQEVKSSDIAKTFRKAINRKEGICALGGTSELSSEGTQHS YSEEEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFKAVGDGIVLCKMINLSVPDTIDE RAINKKKLTPFIIQENLNLALNSASAIGCHVVNIGAEDLRAGKPHLVLGLLWQIIKIGLF ADIELSRNEALAALLRDGETLEELMKLSPEELLLRWANFHLENSGWQKINNFSADIKDSK AYFHLLNQIAPKGQKEGEPRIDINMSGFNETDDLKRAESMLQQADKLGCRQFVTPADVVS GNPKLNLAFVANLFNKYPALTKPENQDIDWTLLEGETREERTFRNWMNSLGVNPHVNHLY ADLQDALVILQLYERIKVPVDWSKVNKPPYPKLGANMKKLENCNYAVELGKNPAKFSLVG IGGQDLNDGNPTLTLAVVWQLMRRYTLNVLEDLGEGQKANDDIIVNWVNRTLSEAGKSTS IQSFKDKTISSSLAVVDLIDAIQPGCINYDLVKSGNLTEDDKHNNAKYAVSMARRIGARV YALPEDLVEVKPKMVMTVFACLMGRGMKRV >ENSMUSP00000109692.1 pep:known chromosome:GRCm38:X:75785663:75826953:-1 gene:ENSMUSG00000016382.15 transcript:ENSMUST00000114058.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pls3 description:plastin 3 (T-isoform) [Source:MGI Symbol;Acc:MGI:104807] MDEMATTQISKDELDELKEAFAKVDLNSNGFICDYELHELFKEANMPLPGYKVREIIQKL MVDGDRNKDGKISFNEFVYIFQEVKSSDIAKTFRKAINRKEGICALGGTSELSSEGTQHS YSEEEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFKAVGDGIVLCKMINLSVPDTIDE RAINKKKLTPFIIQENLNLALNSASAIGCHVVNIGAEDLRAGKPHLVLGLLWQIIKIGLF ADIELSRNEALAALLRDGETLEELMKLSPEELLLRWANFHLENSGWQKINNFSADIKLID FSNSVKDSKAYFHLLNQIAPKGQKEGEPRIDINMSGFNETDDLKRAESMLQQADKLGCRQ FVTPADVVSGNPKLNLAFVANLFNKYPALTKPENQDIDWTLLEGETREERTFRNWMNSLG VNPHVNHLYADLQDALVILQLYERIKVPVDWSKVNKPPYPKLGANMKKLENCNYAVELGK NPAKFSLVGIGGQDLNDGNPTLTLAVVWQLMRRYTLNVLEDLGEGQKANDDIIVNWVNRT LSEAGKSTSIQSFKDKTISSSLAVVDLIDAIQPGCINYDLVKSGNLTEDDKHNNAKYAVS MARRIGARVYALPEDLVEVKPKMVMTVFACLMGRGMKRV >ENSMUSP00000033547.7 pep:known chromosome:GRCm38:X:75785663:75874574:-1 gene:ENSMUSG00000016382.15 transcript:ENSMUST00000033547.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pls3 description:plastin 3 (T-isoform) [Source:MGI Symbol;Acc:MGI:104807] MDEMATTQISKDELDELKEAFAKVDLNSNGFICDYELHELFKEANMPLPGYKVREIIQKL MVDGDRNKDGKISFNEFVYIFQEVKSSDIAKTFRKAINRKEGICALGGTSELSSEGTQHS YSEEEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFKAVGDGIVLCKMINLSVPDTIDE RAINKKKLTPFIIQENLNLALNSASAIGCHVVNIGAEDLRAGKPHLVLGLLWQIIKIGLF ADIELSRNEALAALLRDGETLEELMKLSPEELLLRWANFHLENSGWQKINNFSADIKDSK AYFHLLNQIAPKGQKEGEPRIDINMSGFNETDDLKRAESMLQQADKLGCRQFVTPADVVS GNPKLNLAFVANLFNKYPALTKPENQDIDWTLLEGETREERTFRNWMNSLGVNPHVNHLY ADLQDALVILQLYERIKVPVDWSKVNKPPYPKLGANMKKLENCNYAVELGKNPAKFSLVG IGGQDLNDGNPTLTLAVVWQLMRRYTLNVLEDLGEGQKANDDIIVNWVNRTLSEAGKSTS IQSFKDKTISSSLAVVDLIDAIQPGCINYDLVKSGNLTEDDKHNNAKYAVSMARRIGARV YALPEDLVEVKPKMVMTVFACLMGRGMKRV >ENSMUSP00000109691.1 pep:known chromosome:GRCm38:X:75785668:75843063:-1 gene:ENSMUSG00000016382.15 transcript:ENSMUST00000114057.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pls3 description:plastin 3 (T-isoform) [Source:MGI Symbol;Acc:MGI:104807] MDEMATTQISKDELDELKEAFAKVDLNSNGFICDYELHELFKEANMPLPGYKVREIIQKL MVDGDRNKDGKISFNEFVYIFQEVKSSDIAKTFRKAINRKEGICALGGTSELSSEGTQHS YSEEEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFKAVGDGIVLCKMINLSVPDTIDE RAINKKKLTPFIIQENLNLALNSASAIGCHVVNIGAEDLRAGKPHLVLGLLWQIIKIGLF ADIELSRNEALAALLRDGETLEELMKLSPEELLLRWANFHLENSGWQKINNFSADIKDSK AYFHLLNQIAPKGQKEGEPRIDINMSGFNETDDLKRAESMLQQADKLGCRQFVTPADVVS GNPKLNLAFVANLFNKYPALTKPENQDIDWTLLEGETREERTFRNWMNSLGVNPHVNHLY ADLQDALVILQLYERIKVPVDWSKVNKPPYPKLGANMKKLENCNYAVELGKNPAKFSLVG IGGQDLNDGNPTLTLAVVWQLMRRYTLNVLEDLGEGQKANDDIIVNWVNRTLSEAGKSTS IQSFKDKTISSSLAVVDLIDAIQPGCINYDLVKSGNLTEDDKHNNAKYAVSMARRIGARV YALPEDLVEVKPKMVMTVFACLMGRGMKRV >ENSMUSP00000118995.1 pep:known chromosome:GRCm38:X:75786771:75843185:-1 gene:ENSMUSG00000016382.15 transcript:ENSMUST00000137192.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pls3 description:plastin 3 (T-isoform) [Source:MGI Symbol;Acc:MGI:104807] MDEMATTQISKDELDELKEAFAKVDLNSNGFICDYELHELFKEANMPLPGYKVREIIQKL MVDGDRNKDGKISFNEFVYIFQEVKSSDIAKTFRKAINRKEGICALGGTSELSSEGTQHS YSEEEKYAFVNWINKALENDPDCRHVIPMNPNTDDLFKAVGDGIVLCKMINLSVPDTIDE RAINKKKLTPFIIQENLNLALNSASAIGCHVVNIGAEDLRAGKPHLVLGLLWQIIKIGLF ADIELSRNEALAALLRDGETLEELMKLSPEELLLRWANFHLENSGWQKINNFSADIKDSK AYFHLLNQIAPKGQKEGEPRIDINMSGFNETDDLKRAESMLQQADKLGCRQFVTPADVVS GNPKLNLAFVANLFNKYPALTKPENQDIDWTLLEGETREERTFRNWMNSLGVNPHVNHLY ADLQDALVILQLYERIKVPVDWSKVNKPPYPKLGANMKKLENCNYAVELGKNPAKFSLVG IGGQDLNDGNPTLTLAVVWQLMRRYTLNVLEDLGEGQKANDDIIVNWVNRTLSEAGKSTS IQSFKDKTISSSLAVVDLIDAIQPGCINYDLVKSGNLTEDDKHNNAKYAVSMARRIGARV YALPEDLVEVKPKMVMTVFACLMGRGMKRV >ENSMUSP00000003908.4 pep:known chromosome:GRCm38:4:116306762:116463922:-1 gene:ENSMUSG00000003810.12 transcript:ENSMUST00000003908.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast2 description:microtubule associated serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894676] MVTGLSPLLFRKLSNPDIFAPTGKVKLQRQLSQDDCKLRRGSLASSLSGKQLLPLSSSVH SSVGQVTWQSTGEASNLVRMRNQSLGQSAPSLTAGLKELSLPRRGSFCRTSNRKSLIVTS STSPTLPRPHSPLHGHTGNSPLDSPRNFSPNAPAHFSFVPARRTDGRRWSLASLPSSGYG TNTPSSTVSSSCSSQEKLHQLPFQPTADELHFLTKHFSTENVPDEEGRRSPAMRPRSRSL SPGRSPVSFDSEIIMMNHVYKERFPKATAQMEERLADFISSNTPDSVLPLADGALSFIHH QVIEMARDCLDKSRSGLITSHYFYELQENLEKLLQDAHERSESSDVAFVIQLVKKLMIII ARPARLLECLEFDPEEFYHLLEAAEGHAKEGHGIKCDIPRYIVSQLGLTRDPLEEMAQLS SYDSPDTPETDDSVEGRGVSQPSQKTPSEEDFETIKLISNGAYGAVFLVRHKSTRQRFAM KKINKQNLILRNQIQQAFVERDILTFAENPFVVSMFCSFETKRHLCMVMEYVEGGDCATL LKNIGALPVDMVRLYFAETVLALEYLHNYGIVHRDLKPDNLLITSMGHIKLTDFGLSKIG LMSLTTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFLV GCVPFFGDTPEELFGQVISDEIVWPEGDDALPPDAQDLTSKLLHQNPLERLGTSSAYEVK QHPFFMGLDWTGLLRQKAEFIPQLESEDDTSYFDTRSERYHHVDSEDEEEVSEDGCLEIR QFSSCSPRFSKVYSSMERLSLLEERRTPPPTKRSLSEEKEDHSDGLAGLKGRDRSWVIGS PEILRKRLSVSESSHTESDSSPPMTVRHRCSGLPDGPHCPEETSSTPRKQQQEGIWVLIP PSGEGSSRPVPERPLERQLKLDEEPPGQSSRCCPALETRGRGTPQLAEEATAKAISDLAV RRARHRLLSGDSIEKRTTRPVNKVIKSASATALSLLIPSEHHACSPLASPMSPHSQSSNP SSRDSSPSRDFLPALGSLRPPIIIHRAGKKYGFTLRAIRVYMGDTDVYTVHHMVWHVEDG GPASEAGLRQGDLITHVNGEPVHGLVHTEVVELVLKSGNKVSISTTPLENTSIKVGPARK GSYKAKMARRSKRSKGKDGQESRKRSSLFRKITKQASLLHTSRSLSSLNRSLSSGESGPG SPTHSHSLSPRSPPQGYRVAPDAVHSVGGNSSQSSSPSSSVPSSPAGSGHTRPSSLHGLA PKLQRQYRSPRRKSAGSIPLSPLAHTPSPPATAASPQRSPSPLSGHGSQSFPTKLHLSPP LGRQLSRPKSAEPPRSPLLKRVQSAEKLAAALAAAEKKLAPSRKHSLDLPHGELKKELTP REASPLEVVGTRSVLSGKGPLPGKGVLQPAPSRALGTLRQDRAERRESLQKQEAIREVDS SEDDTDEEPENSQATQEPRLSPHPEASHNLLPKGSGEGTEEDTFLHRDLKKQGPVLSGLV TGATLGSPRVDVPGLSPRKLSRPQAFEEATNPLQVPSLSRSGPTSPTPSEGCWKAQHLHT QALTALCPSFSELTPTGCSAATSTSGKPGTWSWKFLIEGPDRASTNKTITRKGEPANSQD TNTTVPNLLKNLSPEEEKPQPPSVPGLTHPLLEVPSQNWPWESECEQMEKEEPSLSITEV PDSSGDRRQDIPCRAHPLSPETRPSLLWKSQELGGQQDHQDLALTSDELLKQT >ENSMUSP00000102093.1 pep:known chromosome:GRCm38:4:116306762:116463751:-1 gene:ENSMUSG00000003810.12 transcript:ENSMUST00000106484.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast2 description:microtubule associated serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894676] MVTGLSPLLFRKLSNPDIFAPTGKVKLQRQLSQDDCKLRRGSLASSLSGKQLLPLSSSVH SSVGQVTWQSTGEASNLVRMRNQSLGQSAPSLTAGLKELSLPRRGSFCRTSNRKSLIVTS STSPTLPRPHSPLHGHTGNSPLDSPRNFSPNAPAHFSFVPARSHGHRTDRTDGRRWSLAS LPSSGYGTNTPSSTVSSSCSSQEKLHQLPFQPTADELHFLTKHFSTENVPDEEGRRSPAM RPRSRSLSPGRSPVSFDSEIIMMNHVYKERFPKATAQMEERLADFISSNTPDSVLPLADG ALSFIHHQVIEMARDCLDKSRSGLITSHYFYELQENLEKLLQDAHERSESSDVAFVIQLV KKLMIIIARPARLLECLEFDPEEFYHLLEAAEGHAKEGHGIKCDIPRYIVSQLGLTRDPL EEMAQLSSYDSPDTPETDDSVEGRGVSQPSQKTPSEEDFETIKLISNGAYGAVFLVRHKS TRQRFAMKKINKQNLILRNQIQQAFVERDILTFAENPFVVSMFCSFETKRHLCMVMEYVE GGDCATLLKNIGALPVDMVRLYFAETVLALEYLHNYGIVHRDLKPDNLLITSMGHIKLTD FGLSKIGLMSLTTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGI ILYEFLVGCVPFFGDTPEELFGQVISDEIVWPEGDDALPPDAQDLTSKLLHQNPLERLGT SSAYEVKQHPFFMGLDWTGLLRQKAEFIPQLESEDDTSYFDTRSERYHHVDSEDEEEVSE DGCLEIRQFSSCSPRFSKVYSSMERLSLLEERRTPPPTKRSLSEEKEDHSDGLAGLKGRD RSWVIGSPEILRKRLSVSESSHTESDSSPPMTVRHRCSGLPDGPHCPEETSSTPRKQQQE GIWVLIPPSGEGSSRPVPERPLERQLKLDEEPPGQSSRCCPALETRGRGTPQLAEEATAK AISDLAVRRARHRLLSGDSIEKRTTRPVNKVIKSASATALSLLIPSEHHACSPLASPMSP HSQSSNPSSRDSSPSRDFLPALGSLRPPIIIHRAGKKYGFTLRAIRVYMGDTDVYTVHHM VWHVEDGGPASEAGLRQGDLITHVNGEPVHGLVHTEVVELVLKSGNKVSISTTPLENTSI KVGPARKGSYKAKMARRSKRSKGKDGQESRKRSSLFRKITKQASLLHTSRSLSSLNRSLS SGESGPGSPTHSHSLSPRSPPQGYRVAPDAVHSGGNSSQSSSPSSSVPSSPAGSGHTRPS SLHGLAPKLQRQYRSPRRKSAGSIPLSPLAHTPSPPATAASPQRSPSPLSGHGSQSFPTK LHLSPPLGRQLSRPKSAEPPRSPLLKRVQSAEKLAAALAAAEKKLAPSRKHSLDLPHGEL KKELTPREASPLEVVGTRSVLSGKGPLPGKGVLQPAPSRALGTLRQDRAERRESLQKQEA IREVDSSEDDTDEEPENSQATQEPRLSPHPEASHNLLPKGSGEGTEEDTFLHRDLKKQGP VLSGLVTGATLGSPRVDVPGLSPRKLSRPQAFEEATNPLQVPSLSRSGPTSPTPSEGCWK AQHLHTQALTALCPSFSELTPTGCSAATSTSGKPGTWSWKFLIEGPDRASTNKTITRKGE PANSQDTNTTVPNLLKNLSPEEEKPQPPSVPGLTHPLLEVPSQNWPWESECEQMEKEEPS LSITEVPDSSGDRRQDIPCRAHPLSPETRPSLLWKSQELGGQQDHQDLALTSDELLKQT >ENSMUSP00000122625.1 pep:known chromosome:GRCm38:4:116327647:116406002:-1 gene:ENSMUSG00000003810.12 transcript:ENSMUST00000144281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast2 description:microtubule associated serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894676] MDDSSILRRRGLQELSLPRRGSFCRTSNRKSLIVTSSTSPTLPRPHSPLHGHTGNSPLDS PRNFSPNAPAHFSFVPARRTDGRRWSLASLPSSGYGTNTPSSTVSSSCSSQEKLHQLPFQ PTADELHFLTKHFSTENVPDEEGR >ENSMUSP00000118340.1 pep:known chromosome:GRCm38:4:116322434:116405986:-1 gene:ENSMUSG00000003810.12 transcript:ENSMUST00000123072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast2 description:microtubule associated serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894676] MDDSSILRRRGLQKELSLPRRGSFCRTSNRKSLIVTSSTSPTLPRPHSPLHGHTGNSPLD SPRNFSPNAPAHFSFVPARSHGHRTDRTDGRRWSLASLPSSGYGTNTPSSTVSSSCSSQE KLHQLPFQPTADELHFLTKHFSTENVPDEEGRRSPAMRPRSRSLSPGRSPVSFDSEIIMM NHVYKERFPKATAQMEERLADFI >ENSMUSP00000102095.1 pep:known chromosome:GRCm38:4:116306763:116464183:-1 gene:ENSMUSG00000003810.12 transcript:ENSMUST00000106486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast2 description:microtubule associated serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894676] MKRSRCRERPQPPPARREDAAPRTAELPQPQSLPPRRRAPPGRQLLEERSGPLGHDSREQ DMVTGLSPLLFRKLSNPDIFAPTGKVKLQRQLSQDDCKLRRGSLASSLSGKQLLPLSSSV HSSVGQVTWQSTGEASNLVRMRNQSLGQSAPSLTAGLKELSLPRRGSFCRTSNRKSLIVT SSTSPTLPRPHSPLHGHTGNSPLDSPRNFSPNAPAHFSFVPARSHGHRTDRTDGRRWSLA SLPSSGYGTNTPSSTVSSSCSSQEKLHQLPFQPTADELHFLTKHFSTENVPDEEGRRSPA MRPRSRSLSPGRSPVSFDSEIIMMNHVYKERFPKATAQMEERLADFISSNTPDSVLPLAD GALSFIHHQVIEMARDCLDKSRSGLITSHYFYELQENLEKLLQDAHERSESSDVAFVIQL VKKLMIIIARPARLLECLEFDPEEFYHLLEAAEGHAKEGHGIKCDIPRYIVSQLGLTRDP LEEMAQLSSYDSPDTPETDDSVEGRGVSQPSQKTPSEEDFETIKLISNGAYGAVFLVRHK STRQRFAMKKINKQNLILRNQIQQAFVERDILTFAENPFVVSMFCSFETKRHLCMVMEYV EGGDCATLLKNIGALPVDMVRLYFAETVLALEYLHNYGIVHRDLKPDNLLITSMGHIKLT DFGLSKIGLMSLTTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMG IILYEFLVGCVPFFGDTPEELFGQVISDEIVWPEGDDALPPDAQDLTSKLLHQNPLERLG TSSAYEVKQHPFFMGLDWTGLLRQKAEFIPQLESEDDTSYFDTRSERYHHVDSEDEEEVS EDGCLEIRQFSSCSPRFSKVYSSMERLSLLEERRTPPPTKRSLSEEKEDHSDGLAGLKGR DRSWVIGSPEILRKRLSVSESSHTESDSSPPMTVRHRCSGLPDGPHCPEETSSTPRKQQQ EGIWVLIPPSGEGSSRPVPERPLERQLKLDEEPPGQSSRCCPALETRGRGTPQLAEEATA KAISDLAVRRARHRLLSGDSIEKRTTRPVNKVIKSASATALSLLIPSEHHACSPLASPMS PHSQSSNPSSRDSSPSRDFLPALGSLRPPIIIHRAGKKYGFTLRAIRVYMGDTDVYTVHH MVWHVEDGGPASEAGLRQGDLITHVNGEPVHGLVHTEVVELVLKSGNKVSISTTPLENTS IKVGPARKGSYKAKMARRSKRSKGKDGQESRKRSSLFRKITKQASLLHTSRSLSSLNRSL SSGESGPGSPTHSHSLSPRSPPQGYRVAPDAVHSGGNSSQSSSPSSSVPSSPAGSGHTRP SSLHGLAPKLQRQYRSPRRKSAGSIPLSPLAHTPSPPATAASPQRSPSPLSGHGSQSFPT KLHLSPPLGRQLSRPKSAEPPRSPLLKRVQSAEKLAAALAAAEKKLAPSRKHSLDLPHGE LKKELTPREASPLEVVGTRSVLSGKGPLPGKGVLQPAPSRALGTLRQDRAERRESLQKQE AIREVDSSEDDTDEEPENSQATQEPRLSPHPEASHNLLPKGSGEGTEEDTFLHRDLKKQG PVLSGLVTGATLGSPRVDVPGLSPRKLSRPQAFEEATNPLQVPSLSRSGPTSPTPSEGCW KAQHLHTQALTALCPSFSELTPTGCSAATSTSGKPGTWSWKFLIEGPDRASTNKTITRKG EPANSQDTNTTVPNLLKNLSPEEEKPQPPSVPGLTHPLLEVPSQNWPWESECEQMEKEEP SLSITEVPDSSGDRRQDIPCRAHPLSPETRPSLLWKSQELGGQQDHQDLALTSDELLKQT >ENSMUSP00000102094.1 pep:known chromosome:GRCm38:4:116306763:116464183:-1 gene:ENSMUSG00000003810.12 transcript:ENSMUST00000106485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mast2 description:microtubule associated serine/threonine kinase 2 [Source:MGI Symbol;Acc:MGI:894676] MKRSRCRERPQPPPARREDAAPRTAELPQPQSLPPRRRAPPGRQLLEERSGPLGHDSREQ DMVTGLSPLLFRKLSNPDIFAPTGKVKLQRQLSQDDCKLRRGSLASSLSGKQLLPLSSSV HSSVGQVTWQSTGEASNLVRMRNQSLGQSAPSLTAGLKELSLPRRGSFCRTSNRKSLIVT SSTSPTLPRPHSPLHGHTGNSPLDSPRNFSPNAPAHFSFVPARRTDGRRWSLASLPSSGY GTNTPSSTVSSSCSSQEKLHQLPFQPTADELHFLTKHFSTENVPDEEGRRSPAMRPRSRS LSPGRSPVSFDSEIIMMNHVYKERFPKATAQMEERLADFISSNTPDSVLPLADGALSFIH HQVIEMARDCLDKSRSGLITSHYFYELQENLEKLLQDAHERSESSDVAFVIQLVKKLMII IARPARLLECLEFDPEEFYHLLEAAEGHAKEGHGIKCDIPRYIVSQLGLTRDPLEEMAQL SSYDSPDTPETDDSVEGRGVSQPSQKTPSEEDFETIKLISNGAYGAVFLVRHKSTRQRFA MKKINKQNLILRNQIQQAFVERDILTFAENPFVVSMFCSFETKRHLCMVMEYVEGGDCAT LLKNIGALPVDMVRLYFAETVLALEYLHNYGIVHRDLKPDNLLITSMGHIKLTDFGLSKI GLMSLTTNLYEGHIEKDAREFLDKQVCGTPEYIAPEVILRQGYGKPVDWWAMGIILYEFL VGCVPFFGDTPEELFGQVISDEIVWPEGDDALPPDAQDLTSKLLHQNPLERLGTSSAYEV KQHPFFMGLDWTGLLRQKAEFIPQLESEDDTSYFDTRSERYHHVDSEDEEEVSEDGCLEI RQFSSCSPRFSKVYSSMERLSLLEERRTPPPTKRSLSEEKEDHSDGLAGLKGRDRSWVIG SPEILRKRLSVSESSHTESDSSPPMTVRHRCSGLPDGPHCPEETSSTPRKQQQEGIWVLI PPSGEGSSRPVPERPLERQLKLDEEPPGQSSRCCPALETRGRGTPQLAEEATAKAISDLA VRRARHRLLSGDSIEKRTTRPVNKVIKSASATALSLLIPSEHHACSPLASPMSPHSQSSN PSSRDSSPSRDFLPALGSLRPPIIIHRAGKKYGFTLRAIRVYMGDTDVYTVHHMVWHVED GGPASEAGLRQGDLITHVNGEPVHGLVHTEVVELVLKSGNKVSISTTPLENTSIKVGPAR KGSYKAKMARRSKRSKGKDGQESRKRSSLFRKITKQASLLHTSRSLSSLNRSLSSGESGP GSPTHSHSLSPRSPPQGYRVAPDAVHSVGGNSSQSSSPSSSVPSSPAGSGHTRPSSLHGL APKLQRQYRSPRRKSAGSIPLSPLAHTPSPPATAASPQRSPSPLSGHGSQSFPTKLHLSP PLGRQLSRPKSAEPPRSPLLKRVQSAEKLAAALAAAEKKLAPSRKHSLDLPHGELKKELT PREASPLEVVGTRSVLSGKGPLPGKGVLQPAPSRALGTLRQDRAERRESLQKQEAIREVD SSEDDTDEEPENSQATQEPRLSPHPEASHNLLPKGSGEGTEEDTFLHRDLKKQGPVLSGL VTGATLGSPRVDVPGLSPRKLSRPQAFEEATNPLQVPSLSRSGPTSPTPSEGCWKAQHLH TQALTALCPSFSELTPTGCSAATSTSGKPGTWSWKFLIEGPDRASTNKTITRKGEPANSQ DTNTTVPNLLKNLSPEEEKPQPPSVPGLTHPLLEVPSQNWPWESECEQMEKEEPSLSITE VPDSSGDRRQDIPCRAHPLSPETRPSLLWKSQELGGQQDHQDLALTSDELLKQT >ENSMUSP00000044665.3 pep:known chromosome:GRCm38:1:172698055:172699962:1 gene:ENSMUSG00000037942.5 transcript:ENSMUST00000038495.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crp description:C-reactive protein, pentraxin-related [Source:MGI Symbol;Acc:MGI:88512] MEKLLWCLLIMISFSRTFGHEDMFKKAFVFPKESDTSYVSLEAESKKPLNTFTVCLHFYT ALSTVRSFSVFSYATKKNSNDILIFWNKDKQYTFGVGGAEVRFMVSEIPEAPTHICASWE SATGIVEFWIDGKPKVRKSLHKGYTVGPDASIILGQEQDSYGGDFDAKQSLVGDIGDVNM WDFVLSPEQISTVYVGGTLSPNVLNWRALNYKAQGDVFIKPQLWS >ENSMUSP00000048099.3 pep:known chromosome:GRCm38:11:29512876:29515033:-1 gene:ENSMUSG00000032673.5 transcript:ENSMUST00000039900.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prorsd1 description:prolyl-tRNA synthetase domain containing 1 [Source:MGI Symbol;Acc:MGI:1915189] MAGSELRAELEQRLGALAIRTEVVEHPEVFTIEEMMPHIQHLKGAHSKNLFLKDKKKKNY WLVTVLHDRQINLNDLGKQLGVGSGNLRFADETAMLEKLKVGQGCATPLSLFCDDGDVKF VLDSAFLEGGHEKVYFHPMTNAATMGLSPEDFLIFVKATGHDPIILNFD >ENSMUSP00000117933.1 pep:known chromosome:GRCm38:11:29511757:29515031:-1 gene:ENSMUSG00000032673.5 transcript:ENSMUST00000133103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prorsd1 description:prolyl-tRNA synthetase domain containing 1 [Source:MGI Symbol;Acc:MGI:1915189] MAGSELRAELEQRLGALAIRTEVVEHPEMTRIYFSTHTESCLKLQFLFWPAPACATNKSV YN >ENSMUSP00000019876.5 pep:known chromosome:GRCm38:8:72424176:72443870:-1 gene:ENSMUSG00000019732.14 transcript:ENSMUST00000019876.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calr3 description:calreticulin 3 [Source:MGI Symbol;Acc:MGI:1920566] MVSARALLWAICVLRVALATVYFQEEFLDGERWRNRWVQSTNDSQFGHFRVSSGKFYGHK EKDKGLQTTQNSRFYAISASFKPFSNKGKTLVIQYTVKHEQKMDCGGGYIKVFPSDLDQK KMNGKSQYYIMFGPDICGFDIKKVHVILYFKNQYHENKKPIRCKVDGFTHLYTLILRPDL SYEVKVDGQSIESGSIEYDWNLTSLRKTEKTSLDSRDWDQVEGSKVQDWEKHFLDAGASK PSDWNSELDGDWLQKPPYEDGLKAEGIDKDVWLHQKMRPAGYLTQYDLSEFENIGAIGLE LWQVRSGTIFDNFLITDDEEYAEKFGKATWGETKGPEKEMDAIQAKEEVKKAREEDEEDL LMGKFHRHNHFSRFHRQGEL >ENSMUSP00000105601.1 pep:known chromosome:GRCm38:8:72424183:72435156:-1 gene:ENSMUSG00000019732.14 transcript:ENSMUST00000109974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calr3 description:calreticulin 3 [Source:MGI Symbol;Acc:MGI:1920566] MSSGELKSTPDRSSYCSLGGAGCLGPDICGFDIKKVHVILYFKNQYHENKKPIRCKVDGF THLYTLILRPDLSYEVKVDGQSIESGSIEYDWNLTSLRKTEKTSLDSRDWDQVEGSKVQD WEKHFLDAGASKPSDWNSELDGDWLQKPPYEDGLKAEGIDKDVWLHQKMRPAGYLTQYDL SEFENIGAIGLELWQVRSGTIFDNFLITDDEEYAEKFGKATWGETKGPEKEMDAIQAKEE VKKAREEDEEDLLMGKFHRHNHFSRFHRQGEL >ENSMUSP00000077831.6 pep:known chromosome:GRCm38:X:73074345:73082478:-1 gene:ENSMUSG00000079845.8 transcript:ENSMUST00000078775.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4a description:X-linked lymphocyte-regulated 4A [Source:MGI Symbol;Acc:MGI:3574098] MASKIKGRPPKQPKVTPALPSDDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSVNKTVQNIEWNVDHFLKVQHERRQELYKDYSHQFLTLVMMWNIDVDQI KKQAGKLSDILDEQQKLFQQFQSIHMQKIEEFKELCDRHLKNLQAIKCCRRKAIIEEARK LMDYLEKTVTEETVHVNKQEAKDGVQSSLLSLLIS >ENSMUSP00000118018.1 pep:known chromosome:GRCm38:X:73074837:73082434:-1 gene:ENSMUSG00000079845.8 transcript:ENSMUST00000139191.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4a description:X-linked lymphocyte-regulated 4A [Source:MGI Symbol;Acc:MGI:3574098] MASKIKGRPPKQPKVTPALPSDDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSVNKTVQNIEWNVDHFLKVQHERRQELYKDYSHQFLTLVMMWNIDVDQI KKQAGKLSDILDEQQKLFQQFQSIHMQKIEEFKELCDRHLKNLQAIKCCRRKAIIEEARK LMDYLEKTVTEE >ENSMUSP00000110180.1 pep:known chromosome:GRCm38:X:73079040:73082456:-1 gene:ENSMUSG00000079845.8 transcript:ENSMUST00000114534.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr4a description:X-linked lymphocyte-regulated 4A [Source:MGI Symbol;Acc:MGI:3574098] MASKIKGRPPKQPKVTPALPSDDSQQLHENNPGNNLALETCGESSSSHGTGGPKPGPSKK TLNERKRKYGVSV >ENSMUSP00000045441.8 pep:known chromosome:GRCm38:13:30136498:30246717:1 gene:ENSMUSG00000038732.14 transcript:ENSMUST00000047311.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mboat1 description:membrane bound O-acyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:2387184] MAARPPASLSYRTTGSTCLHPLSQLLGIPLDQVNFVACQLFALSAAFWFRIYLHPGKASP EVRHTLATILGIYFVVFCFGWYAVHLFVLVLMCYGVMVTASVSNIHRYSFFVAMGYLTIC HISRIYIFHYGILTTDFSGPLMIVTQKITTLAFQVHDGLGRKAEDLSAEQHRLAVKAKPS LLEYLSYHLNFMSVIAGPCNNFKDYVAFIEGRHIHMKLLEVNWTQRGFQSLPEPSPMGAV IQKLCVTLMSLLLFLTLSKSFPVTFLIDDWFVHKANFLSRLWYLYVVMQAAKPKYYFAWT LADAVHNAAGFGFNGMDTDGKSRWDLLSNLNIWKIETATSFKMYLENWNIQTSTWLKCVC YERVPWYPTVLTFLLSALWHGVYPGYYFTFLTGVPVTLAARAVRNNYRHHFLSSKARKIA YDVVTWAVTQLAVSYTAAPFVMLAVEPTISLYKSVFFFLHIICLLIILFLPIKPHQPQRQ SRSPNSVKKKAD >ENSMUSP00000121195.1 pep:known chromosome:GRCm38:13:30136531:30232033:1 gene:ENSMUSG00000038732.14 transcript:ENSMUST00000152798.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mboat1 description:membrane bound O-acyltransferase domain containing 1 [Source:MGI Symbol;Acc:MGI:2387184] MGYLTICHISRIYIFHYGILTTDFSGPLMIVTQKITTLAFQVHDGLGRKAEDLSAEQHRL AVKAKPSLLEYLSYHLNFMSVIAGPCNNFKDYVAFIEGRHIHMKLLEVNWTQRGFQSLPE PSPMGAVIQKLCVTLMSLLLFLTLSKSFPVTFLIDDWFVHKANFLSRLWYLYVVMQAAKP KYYFAWTLADAVHNAAGFGFNGMDTDGKSRW >ENSMUSP00000062024.6 pep:known chromosome:GRCm38:6:129322164:129331796:-1 gene:ENSMUSG00000047720.7 transcript:ENSMUST00000051283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4922502D21Rik description:RIKEN cDNA 4922502D21 gene [Source:MGI Symbol;Acc:MGI:2685920] MQTDTSPRLPQTSHERRHHRLMFDAKKVLTLWTLLGGAVAVLLWGFFSFPRKFTVTRTAR NKTCDDEVKLCPKDWNKLRQNCFSRIQHKNSWLTANDTCELHDATLAVFIDKTEVEILMN QIQEMKTYWIGLHRQNLLGIWVWTNGSKYNNLHEIQDHGQCAFVHQKGIDSTSCEDQKEF ICTREGQCP >ENSMUSP00000145310.1 pep:known chromosome:GRCm38:6:129322847:129326696:-1 gene:ENSMUSG00000047720.7 transcript:ENSMUST00000203159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4922502D21Rik description:RIKEN cDNA 4922502D21 gene [Source:MGI Symbol;Acc:MGI:2685920] XDATLAVFIDKTEVEILMNQIQEMKTYWIGLHRQNLLGIWVWTNGRA >ENSMUSP00000017549.6 pep:known chromosome:GRCm38:11:78166106:78176675:-1 gene:ENSMUSG00000017405.14 transcript:ENSMUST00000017549.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek8 description:NIMA (never in mitosis gene a)-related expressed kinase 8 [Source:MGI Symbol;Acc:MGI:1890646] MEKYERIRVVGRGAFGIVHLCLRKADQKLVILKQIPVEQMTKEERQAAQNECQVLKLLNH PNVIEYYENFLEDKALMIAMEYAPGGTLAEFIQKRCNSLLEEETILHFFVQILLALHHVH THLILHRDLKTQNILLDKHRMVVKIGDFGISKILSSKSKAYTVVGTPCYISPELCEGKPY NQKSDIWALGCVLYELASLKRAFEAANLPALVLKIMSGTFAPISDRYSPELRQLVLSLLS LEPAQRPPLSHIMAQPLCIRALLNIHTDVGSVRMRRAEKSLTPGPPIASGSTGSRATSAR CRGVPRGPVRPAIPPPLSSVYAWGGGLSSPLRLPMLNTEVVQVAAGRTQKAGVTRSGRLI LWEAPPLGAGGGTLLPGAVELPQPQFVSRFLEGQSGVTIKHVACGDLFTACLTDRGIIMT FGSGSNGCLGHGNLTDISQPTIVEALLGYEMVQVACGASHVLALSTDGELFAWGRGDGGR LGLGTRESHNCPQQVPVAPGQEAQRVVCGIDSSMILTSPGRVLACGSNRFNKLGLDHLSL DEEPVPYQQVEEALSFTPLGSAPLDQEPLLCVDLGTAHSAAITASGDCYTFGSNQHGQLG TSSRRVSRAPCRVQGLEGIKMVMVACGDAFTVAVGAEGEVYSWGKGTRGRLGRRDEDAGL PRPVQLDETHPYMVTSVSCCHGNTLLAVRSVTDEPVPP >ENSMUSP00000127554.1 pep:known chromosome:GRCm38:11:78171187:78176634:-1 gene:ENSMUSG00000017405.14 transcript:ENSMUST00000148154.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nek8 description:NIMA (never in mitosis gene a)-related expressed kinase 8 [Source:MGI Symbol;Acc:MGI:1890646] MVVKIGDFGISKILSSKSKAYTVVGTPCYISPELCEGKPYNQKSDIWALGCVLYELASLK RAFEAANLPALVLKIMSGTFAPISDRYSPELRQLVLSLLSLEP >ENSMUSP00000037958.2 pep:known chromosome:GRCm38:2:107290639:107298502:1 gene:ENSMUSG00000042604.5 transcript:ENSMUST00000037012.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcna4 description:potassium voltage-gated channel, shaker-related subfamily, member 4 [Source:MGI Symbol;Acc:MGI:96661] MEVAMVSAESSGCNSHMPYGYAAQARARERERLAHSRAAAAAAVAAATAAVEGTGGSGGG PHHHHQTRGAYSSHDPQGSRGSRRRRRQRTEKKKLHHRQSSFPHCSDLMPSGSEEKILRE LSEEEEDEEEEEEEEEEGRFYYSEEDHGDGCSYTDLLPQDDGGGGGYSSVRYSDCCERVV INVSGLRFETQMKTLAQFPETLLGDPEKRTQYFDPLRNEYFFDRNRPSFDAILYYYQSGG RLKRPVNVPFDIFTEEVKFYQLGEEALLKFREDEGFVREEEDRALPENEFKKQIWLLFEY PESSSPARGIAIVSVLVILISIVIFCLETLPEFRDDRDLIMALSAGGHSRLLNDTSAPHL ENSGHTIFNDPFFIVETVCIVWFSFEFVVRCFACPSQALFFKNIMNIIDIVSILPYFITL GTDLAQQQGGGNGQQQQAMSFAILRIIRLVRVFRIFKLSRHSKGLQILGHTLRASMRELG LLIFFLFIGVILFSSAVYFAEADEPTTHFQSIPDAFWWAVVTMTTVGYGDMKPITVGGKI VGSLCAIAGVLTIALPVPVIVSNFNYFYHRETENEEQTQLTQNAVSCPYLPSNLLKKFRS STSSSLGDKSEYLEMEEGVKESLCGKEEKCQGKGDESETDKNNCSNAKAVETDV >ENSMUSP00000004774.3 pep:known chromosome:GRCm38:6:55336432:55348555:1 gene:ENSMUSG00000004655.5 transcript:ENSMUST00000004774.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp1 description:aquaporin 1 [Source:MGI Symbol;Acc:MGI:103201] MASEIKKKLFWRAVVAEFLAMTLFVFISIGSALGFNYPLERNQTLVQDNVKVSLAFGLSI ATLAQSVGHISGAHLNPAVTLGLLLSCQISILRAVMYIIAQCVGAIVATAILSGITSSLV DNSLGRNDLAHGVNSGQGLGIEIIGTLQLVLCVLATTDRRRRDLGGSAPLAIGLSVALGH LLAIDYTGCGINPARSFGSAVLTRNFSNHWIFWVGPFIGGALAVLIYDFILAPRSSDFTD RMKVWTSGQVEEYDLDADDINSRVEMKPK >ENSMUSP00000138176.1 pep:known chromosome:GRCm38:5:117781032:117867456:1 gene:ENSMUSG00000029361.18 transcript:ENSMUST00000138579.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos1 description:nitric oxide synthase 1, neuronal [Source:MGI Symbol;Acc:MGI:97360] MEEHTFGVQQIQPNVISVRLFKRKVGGLGFLVKERVSKPPVIISDLIRGGAAEQSGLIQA GDIILAVNDRPLVDLSYDSA >ENSMUSP00000120421.1 pep:known chromosome:GRCm38:5:117841850:117958840:1 gene:ENSMUSG00000029361.18 transcript:ENSMUST00000142742.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos1 description:nitric oxide synthase 1, neuronal [Source:MGI Symbol;Acc:MGI:97360] MEEHTFGVQQIQPNVISVRLFKRKVGGLGFLVKERVSKPPVIISDLIRGGAAEQSGLIQA GDIILAVNDRPLVDLSYDSALEVLRGIASETHVVLILRGPEGFTTHLETTFTGDGTPKTI RVTQPLGTPTKAVDLSRQPSASKDQPLAVDRVPGPSNGPQHAQGRGQGAGSVSQANGVAI DPTMKNTKANLQDSGEQDELLKEIEPVLSILTGGGKAVNRGGPAKAEMKDTGIQVDRDLD GKLHKAPPLGGENDRVFNDLWGKGNVPVVLNNPYSENEQSPASGKQSPTKNGSPSRCPRF LKVKNWETDVVLTDTLHLKSTLETGCTEQICMGSIMLPSHHIRKSEDVRTKDQLFPLAKE FLDQYYSSIKRFGSKAHMDRLEEVNKEIESTSTYQLKDTELIYGAKHAWRNASRCVGRIQ WSKLQVFDARDCTTAHGMFNYICNHVKYATNKGNLRSAITIFPQRTDGKHDFRVWNSQLI RYAGYKQPDGSTLGDPANVEFTEICIQQGWKPPRGRFDVLPLLLQANGNDPELFQIPPEL VLEVPIRHPKFDWFKDLGLKWYGLPAVSNMLLEIGGLEFSACPFSGWYMGTEIGVRDYCD NSRYNILEEVAKKMDLDMRKTSSLWKDQALVEINIAVLYSFQSDKVTIVDHHSATESFIK HMENEYRCRGGCPADWVWIVPPMSGSITPVFHQEMLNYRLTPSFEYQPDPWNTHVWKGTN GTPTKRRAIGFKKLAEAVKFSAKLMGQAMAKRVKATILYATETGKSQAYAKTLCEIFKHA FDAKAMSMEEYDIVHLEHEALVLVVTSTFGNGDPPENGEKFGCALMEMRHPNSVQEERKS YKVRFNSVSSYSDSRKSSGDGPDLRDNFESTGPLANVRFSVFGLGSRAYPHFCAFGHAVD TLLEELGGERILKMREGDELCGQEEAFRTWAKKVFKAACDVFCVGDDVNIEKANNSLISN DRSWKRNKFRLTYVAEAPELTQGLSNVHKKRVSAARLLSRQNLQSPKSSRSTIFVRLHTN GNQELQYQPGDHLGVFPGNHEDLVNALIERLEDAPPANHVVKVEMLEERNTALGVISNWK DESRLPPCTIFQAFKYYLDITTPPTPLQLQQFASLATNEKEKQRLLVLSKGLQEYEEWKW GKNPTMVEVLEEFPSIQMPATLLLTQLSLLQPRYYSISSSPDMYPDEVHLTVAIVSYHTR DGEGPVHHGVCSSWLNRIQADDVVPCFVRGAPSFHLPRNPQVPCILVGPGTGIAPFRSFW QQRQFDIQHKGMNPCPMVLVFGCRQSKIDHIYREETLQAKNKGVFRELYTAYSREPDRPK KYVQDVLQEQLAESVYRALKEQGGHIYVCGDVTMAADVLKAIQRIMTQQGKLSEEDAGVF ISRLRDDNRYHEDIFGVTLRTYEVTNRLRSESIAFIEESKKDTDEVFSS >ENSMUSP00000138506.1 pep:known chromosome:GRCm38:5:117842186:117954364:1 gene:ENSMUSG00000029361.18 transcript:ENSMUST00000086451.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nos1 description:nitric oxide synthase 1, neuronal [Source:MGI Symbol;Acc:MGI:97360] MEEHTFGVQQIQPNVISVRLFKRKVGGLGFLVKERVSKPPVIISDLIRGGAAEQSGLIQA GDIILAVNDRPLVDLSYDSALEVLRGIASETHVVLILRGPEGFTTHLETTFTGDGTPKTI RVTQPLGTPTKAVDLSRQPSASKDQPLAVDRVPGPSNGPQHAQGRGQGAGSVSQANGVAI DPTMKNTKANLQDSGEQDELLKEIEPVLSILTGGGKAVNRGGPAKAEMKDTGIQVDRDLD GKLHKAPPLGGENDRVFNDLWGKGNVPVVLNNPYSENEQSPASGKQSPTKNGSPSRCPRF LKVKNWETDVVLTDTLHLKSTLETGCTEQICMGSIMLPSHHIRKSEDVRTKDQLFPLAKE FLDQYYSSIKRFGSKAHMDRLEEVNKEIESTSTYQLKDTELIYGAKHAWRNASRCVGRIQ WSKLQVFDARDCTTAHGMFNYICNHVKYATNKGNLRSAITIFPQRTDGKHDFRVWNSQLI RYAGYKQPDGSTLGDPANVEFTEICIQQGWKPPRGRFDVLPLLLQANGNDPELFQIPPEL VLEVPIRHPKFDWFKDLGLKWYGLPAVSNMLLEIGGLEFSACPFSGWYMGTEIGVRDYCD NSRYNILEEVAKKMDLDMRKTSSLWKDQALVEINIAVLYSFQSDKVTIVDHHSATESFIK HMENEYRCRGGCPADWVWIVPPMSGSITPVFHQEMLNYRLTPSFEYQPDPWNTHVWKGTN GTPTKRRAIGFKKLAEAVKFSAKLMGQAMAKRVKATILYATETGKSQAYAKTLCEIFKHA FDAKAMSMEEYDIVHLEHEALVLVVTSTFGNGDPPENGEKFGCALMEMRHPNSVQEERKS YKVRFNSVSSYSDSRKSSGDGPDLRDNFESTGPLANVRFSVFGLGSRAYPHFCAFGHAVD TLLEELGGERILKMREGDELCGQEEAFRTWAKKVFKAACDVFCVGDDVNIEKANNSLISN DRSWKRNKFRLTYVAEAPELTQGLSNVHKKRVSAARLLSRQNLQSPKSSRSTIFVRLHTN GNQELQYQPGDHLGVFPGNHEDLVNALIERLEDAPPANHVVKVEMLEERNTALGVISNWK DESRLPPCTIFQAFKYYLDITTPPTPLQLQQFASLATNEKEKQRLLVLSKGLQEYEEWKW GKNPTMVEVLEEFPSIQMPATLLLTQLSLLQPRYYSISSSPDMYPDEVHLTVAIVSYHTR DGEGPVHHGVCSSWLNRIQADDVVPCFVRGAPSFHLPRNPQVPCILVGPGTGIAPFRSFW QQRQFDIQHKGMNPCPMVLVFGCRQSKIDHIYREETLQAKNKGVFRELYTAYSREPDRPK KYVQDVLQEQLAESVYRALKEQGGHIYVCGDVTMAADVLKAIQRIMTQQGKLSEEDAGVF ISRLRDDNRYHEDIFGVTLRTYEVTNRLRSESIAFIEESKKDTDE >ENSMUSP00000099617.2 pep:known chromosome:GRCm38:5:117867114:117953909:1 gene:ENSMUSG00000029361.18 transcript:ENSMUST00000102557.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos1 description:nitric oxide synthase 1, neuronal [Source:MGI Symbol;Acc:MGI:97360] MEEHTFGVQQIQPNVISVRLFKRKVGGLGFLVKERVSKPPVIISDLIRGGAAEQSGLIQA GDIILAVNDRPLVDLSYDSALEVLRGIASETHVVLILRGPEGFTTHLETTFTGDGTPKTI RVTQPLGTPTKAVDLSRQPSASKDQPLAVDRVPGPSNGPQHAQGRGQGAGSVSQANGVAI DPTMKNTKANLQDSGEQDELLKEIEPVLSILTGGGKAVNRGGPAKAEMKDTGIQVDRDLD GKLHKAPPLGGENDRVFNDLWGKGNVPVVLNNPYSENEQSPASGKQSPTKNGSPSRCPRF LKVKNWETDVVLTDTLHLKSTLETGCTEQICMGSIMLPSHHIRKSEDVRTKDQLFPLAKE FLDQYYSSIKRFGSKAHMDRLEEVNKEIESTSTYQLKDTELIYGAKHAWRNASRCVGRIQ WSKLQVFDARDCTTAHGMFNYICNHVKYATNKGNLRSAITIFPQRTDGKHDFRVWNSQLI RYAGYKQPDGSTLGDPANVEFTEICIQQGWKPPRGRFDVLPLLLQANGNDPELFQIPPEL VLEVPIRHPKFDWFKDLGLKWYGLPAVSNMLLEIGGLEFSACPFSGWYMGTEIGVRDYCD NSRYNILEEVAKKMDLDMRKTSSLWKDQALVEINIAVLYSFQSDKVTIVDHHSATESFIK HMENEYRCRGGCPADWVWIVPPMSGSITPVFHQEMLNYRLTPSFEYQPDPWNTHVWKGTN GTPTKRRAIGFKKLAEAVKFSAKLMGQAMAKRVKATILYATETGKSQAYAKTLCEIFKHA FDAKAMSMEEYDIVHLEHEALVLVVTSTFGNGDPPENGEKFGCALMEMRHPNSVQEERKY PEPLRFFPRKGPSLSHADSEAHSLVAARDSQHRSYKVRFNSVSSYSDSRKSSGDGPDLRD NFESTGPLANVRFSVFGLGSRAYPHFCAFGHAVDTLLEELGGERILKMREGDELCGQEEA FRTWAKKVFKAACDVFCVGDDVNIEKANNSLISNDRSWKRNKFRLTYVAEAPELTQGLSN VHKKRVSAARLLSRQNLQSPKSSRSTIFVRLHTNGNQELQYQPGDHLGVFPGNHEDLVNA LIERLEDAPPANHVVKVEMLEERNTALGVISNWKDESRLPPCTIFQAFKYYLDITTPPTP LQLQQFASLATNEKEKQRLLVLSKGLQEYEEWKWGKNPTMVEVLEEFPSIQMPATLLLTQ LSLLQPRYYSISSSPDMYPDEVHLTVAIVSYHTRDGEGPVHHGVCSSWLNRIQADDVVPC FVRGAPSFHLPRNPQVPCILVGPGTGIAPFRSFWQQRQFDIQHKGMNPCPMVLVFGCRQS KIDHIYREETLQAKNKGVFRELYTAYSREPDRPKKYVQDVLQEQLAESVYRALKEQGGHI YVCGDVTMAADVLKAIQRIMTQQGKLSEEDAGVFISRLRDDNRYHEDIFGVTLRTYEVTN RLRSESIAFIEESKKDTDEVFSS >ENSMUSP00000127432.1 pep:known chromosome:GRCm38:5:117867119:117953813:1 gene:ENSMUSG00000029361.18 transcript:ENSMUST00000171055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nos1 description:nitric oxide synthase 1, neuronal [Source:MGI Symbol;Acc:MGI:97360] MEEHTFGVQQIQPNVISVRLFKRKVGGLGFLVKERVSKPPVIISDLIRGGAAEQSGLIQA GDIILAVNDRPLVDLSYDSALEVLRGIASETHVVLILRGPEGFTTHLETTFTGDGTPKTI RVTQPLGTPTKAVDLSRQPSASKDQPLAVDRVPGPSNGPQHAQGRGQGAGSVSQANGVAI DPTMKNTKANLQDSGEQDELLKEIEPVLSILTGGGKAVNRGGPAKAEMKDTGIQVDRDLD GKLHKAPPLGGENDRVFNDLWGKGNVPVVLNNPYSENEQSPASGKQSPTKNGSPSRCPRF LKVKNWETDVVLTDTLHLKSTLETGCTEQICMGSIMLPSHHIRKSEDVRTKDQLFPLAKE FLDQYYSSIKRFGSKAHMDRLEEVNKEIESTSTYQLKDTELIYGAKHAWRNASRCVGRIQ WSKLQVFDARDCTTAHGMFNYICNHVKYATNKGNLRSAITIFPQRTDGKHDFRVWNSQLI RYAGYKQPDGSTLGDPANVEFTEICIQQGWKPPRGRFDVLPLLLQANGNDPELFQIPPEL VLEVPIRHPKFDWFKDLGLKWYGLPAVSNMLLEIGGLEFSACPFSGWYMGTEIGVRDYCD NSRYNILEEVAKKMDLDMRKTSSLWKDQALVEINIAVLYSFQSDKVTIVDHHSATESFIK HMENEYRCRGGCPADWVWIVPPMSGSITPVFHQEMLNYRLTPSFEYQPDPWNTHVWKGTN GTPTKRRAIGFKKLAEAVKFSAKLMGQAMAKRVKATILYATETGKSQAYAKTLCEIFKHA FDAKAMSMEEYDIVHLEHEALVLVVTSTFGNGDPPENGEKFGCALMEMRHPNSVQEERKS YKVRFNSVSSYSDSRKSSGDGPDLRDNFESTGPLANVRFSVFGLGSRAYPHFCAFGHAVD TLLEELGGERILKMREGDELCGQEEAFRTWAKKVFKAACDVFCVGDDVNIEKANNSLISN DRSWKRNKFRLTYVAEAPELTQGLSNVHKKRVSAARLLSRQNLQSPKSSRSTIFVRLHTN GNQELQYQPGDHLGVFPGNHEDLVNALIERLEDAPPANHVVKVEMLEERNTALGVISNWK DESRLPPCTIFQAFKYYLDITTPPTPLQLQQFASLATNEKEKQRLLVLSKGLQEYEEWKW GKNPTMVEVLEEFPSIQMPATLLLTQLSLLQPRYYSISSSPDMYPDEVHLTVAIVSYHTR DGEGPVHHGVCSSWLNRIQADDVVPCFVRGAPSFHLPRNPQVPCILVGPGTGIAPFRSFW QQRQFDIQHKGMNPCPMVLVFGCRQSKIDHIYREETLQAKNKGVFRELYTAYSREPDRPK KYVQDVLQEQLAESVYRALKEQGGHIYVCGDVTMAADVLKAIQRIMTQQGKLSEEDAGVF ISRLRDDNRYHEDIFGVTLRTYEVTNRLRSESIAFIEESKKDTDEVFSS >ENSMUSP00000014221.6 pep:known chromosome:GRCm38:2:119547697:119587027:1 gene:ENSMUSG00000014077.13 transcript:ENSMUST00000014221.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chp1 description:calcineurin-like EF hand protein 1 [Source:MGI Symbol;Acc:MGI:1927185] MGSRASTLLRDEELEEIKKETGFSHSQITRLYSRFTSLDKGENGTLSREDFQRIPELAIN PLGDRIINAFFSEGEDQVNFRGFMRTLAHFRPIEDNEKSKDVNGPEPLNSRSNKLHFAFR LYDLDKDDKISRDELLQVLRMMVGVNISDEQLGSIADRTIQEADQDGDSAISFTEFVKVL EKVDVEQKMSIRFLH >ENSMUSP00000114013.1 pep:known chromosome:GRCm38:2:119547721:119587015:1 gene:ENSMUSG00000014077.13 transcript:ENSMUST00000119172.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chp1 description:calcineurin-like EF hand protein 1 [Source:MGI Symbol;Acc:MGI:1927185] MGSRASTLLRDEELEEIKKETGFSHSQITRLYSRFTSLDKGENGTLSREDFQRIPELAIN PLGDRIINAFFSEGEDQVNFRGFMRTLAHFRPIEDNEKSKDVNGPEPLNSRSNKLHFAFR LYDLDKDDKISRDELLQVLRMMVGVNISDEQLGSIADRTIQEADQDGDSAISFTEFVKVC PFDFFLLILFY >ENSMUSP00000122946.1 pep:known chromosome:GRCm38:2:119559512:119571895:1 gene:ENSMUSG00000014077.13 transcript:ENSMUST00000132448.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chp1 description:calcineurin-like EF hand protein 1 [Source:MGI Symbol;Acc:MGI:1927185] MRTLAHFRPIEDNEKSKDVNGPEPLNSRSNKLH >ENSMUSP00000038943.7 pep:known chromosome:GRCm38:7:15917208:15922402:-1 gene:ENSMUSG00000041571.9 transcript:ENSMUST00000044355.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sepw1 description:selenoprotein W, muscle 1 [Source:MGI Symbol;Acc:MGI:1100878] MALAVRVVYCGAUGYKPKYLQLKEKLEHEFPGCLDICGEGTPQVTGFFEVTVAGKLVHSK KRGDGYVDTESKFRKLVTAIKAALAQCQ >ENSMUSP00000144938.1 pep:known chromosome:GRCm38:10:130030390:130034560:1 gene:ENSMUSG00000044897.2 transcript:ENSMUST00000205181.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr821 description:olfactory receptor 821 [Source:MGI Symbol;Acc:MGI:3030655] MRNHTTVTVFILLGLTDDLQLQVVVFVLLFLTYMLSVTGNLTIITLTLLDSHLKTPMYFF LRNFSFLEISFTTVCIPKFLVSMATGDKTISYNECAAQLFFTILLGATEFFLLAAMSYDR YVAICKPLHYMTIMSSKICNLLVFVSWLSGFLIIFPPLLMGLQLDFCAANTVDHFFCDVS PILQLSCTDTHIIELMMLLSAILTLLVTLVLVSLSYTNIIRTILRIPSSQQRRKAFSTCS SHMVVVSISYGSCIFMYVKPSAKERVALNKGIALLSTSVAPMLNPFIYTLRNKQVKDAFK NMTKRWSFYQ >ENSMUSP00000056626.1 pep:known chromosome:GRCm38:10:130033628:130034560:1 gene:ENSMUSG00000044897.2 transcript:ENSMUST00000054364.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr821 description:olfactory receptor 821 [Source:MGI Symbol;Acc:MGI:3030655] MRNHTTVTVFILLGLTDDLQLQVVVFVLLFLTYMLSVTGNLTIITLTLLDSHLKTPMYFF LRNFSFLEISFTTVCIPKFLVSMATGDKTISYNECAAQLFFTILLGATEFFLLAAMSYDR YVAICKPLHYMTIMSSKICNLLVFVSWLSGFLIIFPPLLMGLQLDFCAANTVDHFFCDVS PILQLSCTDTHIIELMMLLSAILTLLVTLVLVSLSYTNIIRTILRIPSSQQRRKAFSTCS SHMVVVSISYGSCIFMYVKPSAKERVALNKGIALLSTSVAPMLNPFIYTLRNKQVKDAFK NMTKRWSFYQ >ENSMUSP00000050716.6 pep:known chromosome:GRCm38:X:99136130:99148991:1 gene:ENSMUSG00000031217.8 transcript:ENSMUST00000052839.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efnb1 description:ephrin B1 [Source:MGI Symbol;Acc:MGI:102708] MARPGQRWLSKWLVAMVVLTLCRLATPLAKNLEPVSWSSLNPKFLSGKGLVIYPKIGDKL DIICPRAEAGRPYEYYKLYLVRPEQAAACSTVLDPNVLVTCNKPHQEIRFTIKFQEFSPN YMGLEFKKYHDYYITSTSNGSLEGLENREGGVCRTRTMKIVMKVGQDPNAVTPEQLTTSR PSKESDNTVKTATQAPGRGSQGDSDGKHETVNQEEKSGPGAGGGGSGDSDSFFNSKVALF AAVGAGCVIFLLIIIFLTVLLLKLRKRHRKHTQQRAAALSLSTLASPKGGSGTAGTEPSD IIIPLRTTENNYCPHYEKVSGDYGHPVYIVQEMPPQSPANIYYKV >ENSMUSP00000118315.1 pep:known chromosome:GRCm38:6:129342691:129359415:1 gene:ENSMUSG00000053063.11 transcript:ENSMUST00000151671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec12a description:C-type lectin domain family 12, member a [Source:MGI Symbol;Acc:MGI:3040968] MSEEIVYANLKIQDPDKKEETQKSDKCGGKVSADASHSQQKTVLILILLCLLLFIGMGVL GGIFYTTLATEMIKSNQLQRAKEELQENVSLQLKHNLNSSKKIKNLSAMLQSTATQLCRE LYSKEPEHKCKPCPKGSEWYKDSCYSQLNQYGTWQESVMACSARNASLLKVKNKDVLEF >ENSMUSP00000063627.4 pep:known chromosome:GRCm38:6:129349964:129365303:1 gene:ENSMUSG00000053063.11 transcript:ENSMUST00000065289.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec12a description:C-type lectin domain family 12, member a [Source:MGI Symbol;Acc:MGI:3040968] MSEEIVYANLKIQDPDKKEETQKSDKCGGKVSADASHSQQKTVLILILLCLLLFIGMGVL GGIFYTTLATEMIKSNQLQRAKEELQENVSLQLKHNLNSSKKIKNLSAMLQSTATQLCRE LYSKEPEHKCKPCPKGSEWYKDSCYSQLNQYGTWQESVMACSARNASLLKVKNKDVLEFI KYKKLRYFWLALLPRKDRTQYPLSEKMFLSEESERSTDDIDKKYCGYIDRVNVYYTYCTD ENNIICEETASKVQLESVLNGLPEDSR >ENSMUSP00000033158.4 pep:known chromosome:GRCm38:7:122067175:122082194:1 gene:ENSMUSG00000030870.12 transcript:ENSMUST00000033158.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubfd1 description:ubiquitin family domain containing 1 [Source:MGI Symbol;Acc:MGI:107301] MLKRGRGRPGKRRRRVSIETSTCFRPACVKLGAGAGANLRQLASSRRPLRSWWVLYTIIM AAAGAPDGMEEPGMDTEAEAVATEAPARPLNCVEAEAAVGAAAEDSCDARGNLQPAPAQP PGDPAAQASVSNGEDAGGGVGKELVDLKIIWNKTKHDVKVPLDSTGSELKQKIHSITGLP PAMQKVMYKGLVPEDKTLREIKVTSGAKIMVVGSTINDVLAVNTPKDAAQQDAKAEENKK EPLCRQKQHRKVLDKGKPEDVMPSVKGAQERLPTVPLSGMYNKSGGKVRLTFKLEQDQLW IGTKERTEKLPMGSIKNVVSEPIEGHEDYHMMAFQLGPTEASYYWVYWVPTQYVDAIKDT VLGKWQYF >ENSMUSP00000146254.1 pep:known chromosome:GRCm38:7:122068899:122078611:1 gene:ENSMUSG00000030870.12 transcript:ENSMUST00000153640.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ubfd1 description:ubiquitin family domain containing 1 [Source:MGI Symbol;Acc:MGI:107301] XDVLAVNTPKDAAQQDAKAEENKKEPLCRQKQHRKVLDKGKPEDVMPSVKGAQERLPTVP LSGMYNKSGGKVRLTFKLEQDQLWIGTKGTFKAATHNILLSG >ENSMUSP00000058119.1 pep:known chromosome:GRCm38:11:50969020:50969967:1 gene:ENSMUSG00000050343.1 transcript:ENSMUST00000052285.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1378 description:olfactory receptor 1378 [Source:MGI Symbol;Acc:MGI:3031212] MVRENQSTAIEFLLLGIAGQSKEEEVIFGMFLWMYLVTVCGNLLIILAISCDPHLHTPMY FFLANLSSVDICFSSVTVPKALVNYMLGIKTISYTECMTQIYFFITFINMDGFLLSVMAY DRYVAICHPLHYTMMMRPRLCVLLVAISWAITNLHALLHTLLMVRLTFCSHNAMHHFFCD PYPILKLSCSDTFINDITAFTVGGLTSITPFTCITVSYGYILSNVLKFPSIQGIRKALST CGSHLTVVSLFYGAILGVYMHPSSTYSVQDMVATAFFTVVTPMVNPFIYSLRNRDVKGAL RKLMCRRLTSSRFYN >ENSMUSP00000017435.4 pep:known chromosome:GRCm38:11:77529162:77585506:-1 gene:ENSMUSG00000017291.13 transcript:ENSMUST00000017435.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok1 description:TAO kinase 1 [Source:MGI Symbol;Acc:MGI:1914490] MPSTNRAGSLKDPEIAELFFKEDPEKLFTDLREIGHGSFGAVYFARDVRTNEVVAIKKMS YSGKQSTEKWQDIIKEVKFLQRIKHPNSIEYKGCYLREHTAWLVMEYCLGSASDLLEVHK KPLQEVEIAAITHGALQGLAYLHSHTMIHRDIKAGNILLTEPGQVKLADFGSASMASPAN SFVGTPYWMAPEVILAMDEGQYDGKVDVWSLGITCIELAERKPPLFNMNAMSALYHIAQN ESPTLQSNEWSDYFRNFVDSCLQKIPQDRPTSEELLKHMFVLRERPETVLIDLIQRTKDA VRELDNLQYRKMKKLLFQEAHNGPAVEAQEEEEEQDHGVGRTGTVNSVGSNQSIPSMSIS ASSQSSSVNSLPDASDDKSELDMMEGDHTVMSNSSVIHLKPEEENYQEEGDPRTRASDPQ SPPQVSRHKSHYRNREHFATIRTASLVTRQMQEHEQDSELREQMSGYKRMRRQHQKQLMT LENKLKAEMDEHRLRLDKDLETQRNNFAAEMEKLIKKHQAAMEKEAKVMANEEKKFQQHI QAQQKKELNSFLESQKREYKLRKEQLKEELNENQSTPKKEKQEWLSKQKENIQHFQAEEE ANLLRRQRQYLELECRRFKRRMLLGRHNLEQDLVREELNKRQTQKDLEHAMLLRQHESMQ ELEFRHLNTIQKMRCELIRLQHQTELTNQLEYNKRRERELRRKHVMEVRQQPKSLKSKEL QIKKQFQDTCKIQTRQYKALRNHLLETTPKSEHKAVLKRLKEEQTRKLAILAEQYDHSIN EMLSTQALRLDEAQEAECQVLKMQLQQELELLNAYQSKIKMQAEAQHDRELRELEQRVSL RRALLEQKIEEEMLALQNERTERIRSLLERQAREIEAFDSESMRLGFSNMVLSNLSPEAF SHSYPGASSWSHNPTGGPGPHWGHPMGGTPQAWGHPMQGGPQPWGHPSGPMQGVPRGSSM GVRNSPQALRRTASGGRTEQGMSRSTSVTSQISNGSHMSYT >ENSMUSP00000055470.7 pep:known chromosome:GRCm38:11:77529162:77607815:-1 gene:ENSMUSG00000017291.13 transcript:ENSMUST00000058496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taok1 description:TAO kinase 1 [Source:MGI Symbol;Acc:MGI:1914490] MPSTNRAGSLKDPEIAELFFKEDPEKLFTDLREIGHGSFGAVYFARDVRTNEVVAIKKMS YSGKQSTEKWQDIIKEVKFLQRIKHPNSIEYKGCYLREHTAWLVMEYCLGSASDLLEVHK KPLQEVEIAAITHGALQGLAYLHSHTMIHRDIKAGNILLTEPGQVKLADFGSASMASPAN SFVGTPYWMAPEVILAMDEGQYDGKVDVWSLGITCIELAERKPPLFNMNAMSALYHIAQN ESPTLQSNEWSDYFRNFVDSCLQKIPQDRPTSEELLKHMFVLRERPETVLIDLIQRTKDA VRELDNLQYRKMKKLLFQEAHNGPAVEAQEEEEEQDHGVGRTGTVNSVGSNQSIPSMSIS ASSQSSSVNSLPDASDDKSELDMMEGDHTVMSNSSVIHLKPEEENYQEEGDPRTRASDPQ SPPQVSRHKSHYRNREHFATIRTASLVTRQMQEHEQDSELREQMSGYKRMRRQHQKQLMT LENKLKAEMDEHRLRLDKDLETQRNNFAAEMEKLIKKHQAAMEKEAKVMANEEKKFQQHI QAQQKKELNSFLESQKREYKLRKEQLKEELNENQSTPKKEKQEWLSKQKENIQHFQAEEE ANLLRRQRQYLELECRRFKRRMLLGRHNLEQDLVREELNKRQTQKDLEHAMLLRQHESMQ ELEFRHLNTIQKMRCELIRLQHQTELTNQLEYNKRRERELRRKHVMEVRQQPKSLKSKEL QIKKQFQDTCKIQTRQYKALRNHLLETTPKSEHKAVLKRLKEEQTRKLAILAEQYDHSIN EMLSTQALRLDEAQEAECQVLKMQLQQELELLNAYQSKIKMQAEAQHDRELRELEQRVSL RRALLEQKIEEEMLALQNERTERIRSLLERQAREIEAFDSESMRLGFSNMVLSNLSPEAF SHSYPGASSWSHNPTGGPGPHWGHPMGGTPQAWGHPMQGGPQPWGHPSGPMQGVPRGSSM GVRNSPQALRRTASGGRTEQGMSRSTSVTSQISNGSHMSYT >ENSMUSP00000071426.7 pep:known chromosome:GRCm38:6:86365646:86370058:1 gene:ENSMUSG00000057497.8 transcript:ENSMUST00000071492.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam136a description:family with sequence similarity 136, member A [Source:MGI Symbol;Acc:MGI:1913738] MAEVQQLRVQEAVDAMVKSVERENIRKMQGLMFRCSANCCEDTQASMQQVHQCIERCHAP LAQAQALVTSELERFQDRLARCTMHCNDKAKDSMDAGTKELQVKRQLDSCVTKCVDDHMH LIPTMTKKMKESLSSIGK >ENSMUSP00000145530.1 pep:known chromosome:GRCm38:6:86365724:86368798:1 gene:ENSMUSG00000057497.8 transcript:ENSMUST00000204893.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam136a description:family with sequence similarity 136, member A [Source:MGI Symbol;Acc:MGI:1913738] MAEVQQLRVQEAVDAMVKSVERENIRKMQGLMFRCSANCCEDTQASMQQVHQCIERCHAP LAQAQALVTSELERFQVRNASQLPSP >ENSMUSP00000045413.7 pep:known chromosome:GRCm38:9:90202027:90270769:-1 gene:ENSMUSG00000037410.13 transcript:ENSMUST00000041767.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d2b description:TBC1 domain family, member 2B [Source:MGI Symbol;Acc:MGI:1914266] MPGAGDGVEESCSGGEGAVPGTGSEAGAVAGREPSRLCGYLQKLSGKGPLRGYRSRWFVF DSRRCYLYYFKSPQDALPLGHLDIADACFSYQGRDEAAEPGADPPTHFQVHSAGAVTVLK APNRELMTYWLQELQQKRWEYCNSLDMMKWDSRTSPTPGDFPKGLVARDTTDIISQHPNP SAEKARTVLAVEAAPGELVGDRAAHQPAPGHPNPINFYSLKQWGNELKNSMSSFRPGRGH SESRRTVFYTNEEWELLDPPPKDLEESLVPEERKKPMPEGSKGVASSGFPFEFGRNPYKG KRPLKDIIGSYKNRHSSSDPLLEGTATSSGSSGGPTKPVPEMQLQIQSQQEELEQLKKDL SSQKELIRLLQQTVRSSQYDKYFTNPQISQGVPGDTLELLHQKDEQILGLSGQLERFGLE KESLQQEVRTLKSKVGELNERLGMLMETIQAKDEVIIKLSACEGSVSSPTLGPSSPLAIP ASKDQLELDRLKDSLQGYKSQNKFLNKEILELSALRRNAERRERDLMAKYSSLEAKLCQV ESKYLILLQEMKTPVCSEEQGPARDVIAQLLEDALQVESQEQPEQAFVKPHLVSEFDIYG FRTVPDDDEEEKLVAKVRALDLKTLYLTDNQEVSTGVKWENYFASTMNREMACSPELKNL IRAGIPHEHRSKVWKWCVDRHTRKFKDSMEPDYFQTLLQKALEKQNPASKQIELDLLRTL PNNKHYSSPTSEGIQKLRSVLLAFSWRNPDIGYCQGLNRLVAVALLYLDQEDAFWCLVTI VEVFMPRDYYTKTLLGSQVDQRVFRDLLSEKLPRLHTHFEQYKVDYTLITFNWFLVVFVD SVVSDILFKIWDSFLYEGPKVIFRFALALFKYKEEEILKLQDSMSIFKYLRYFTRTILDA RKLISISFGDLNPFPLRQIRNRRAYHLEKVRLELTELEAIREDFLRERDTSPDKGELVSD EEEDT >ENSMUSP00000118947.2 pep:known chromosome:GRCm38:9:90229053:90270804:-1 gene:ENSMUSG00000037410.13 transcript:ENSMUST00000144348.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d2b description:TBC1 domain family, member 2B [Source:MGI Symbol;Acc:MGI:1914266] MPGAGDGVEESCSGESPGRSAARPPGHRRRLLQLPGPR >ENSMUSP00000140684.1 pep:known chromosome:GRCm38:9:90238280:90270771:-1 gene:ENSMUSG00000037410.13 transcript:ENSMUST00000128874.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d2b description:TBC1 domain family, member 2B [Source:MGI Symbol;Acc:MGI:1914266] MPGAGDGVEESCSGGEGAVPGTGSEAGAVAGREPSRLCGYLQKLSGKGPLRGYRSRWFVF DSRRCYLYYFKSPQDALPLGHLDIADACFSYQGRDEAAEPGADPPTHFQVHSAGAVTVLK APNRELMTYWLQELQQKRWEYCNSLDMMKWDSRTSPTPGDFPKGLVARDTTDIISQHPNP SAEKARTVLAVEAAPGELVGDRAAHQPAPGHPNPINFYSLKQWGNELK >ENSMUSP00000042181.6 pep:known chromosome:GRCm38:3:31149920:31164324:1 gene:ENSMUSG00000037625.7 transcript:ENSMUST00000046174.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn11 description:claudin 11 [Source:MGI Symbol;Acc:MGI:106925] MVATCLQVVGFVTSFVGWIGIIVTTSTNDWVVTCSYTIPTCRKMDELGSKGLWADCVMAT GLYHCKPLVDILILPGYVQACRALMIAASVLGLPAILLLLTVLPCIRMGHEPGVAKYRRA QLAGVLLILLALCAIVATIWFPVCAHREITIVSFGYSLYAGWIGAVMCLVGGCVIVCCSG DAQSFGENRFYYSSGSSSPTHAKSAHV >ENSMUSP00000022977.7 pep:known chromosome:GRCm38:15:59315081:59331192:1 gene:ENSMUSG00000022351.14 transcript:ENSMUST00000022977.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqle description:squalene epoxidase [Source:MGI Symbol;Acc:MGI:109296] MWTFLGIATFTYFYKKCGDVTLANKELLLCVLVFLSLGLVLSYRCRHRHGGLLGRHQSGA QFAAFSDILSALPLIGFFWAKSPESEKKEQLESKKCRKEIGLSETTLTGAATSVSTSFVT DPEVIIVGSGVLGSALAAVLSRDGRKVTVIERDLKEPDRIVGELLQPGGYRVLQELGLGD TVEGLNAHHIHGYIVHDYESRSEVQIPYPLSETNQVQSGIAFHHGRFIMSLRKAAMAEPN VKFIEGVVLQLLEEDDAVIGVQYKDKETGDTKELHAPLTVVADGLFSKFRKSLISSKVSV SSHFVGFLMKDAPQFKPNFAELVLVNPSPVLIYQISSSETRVLVDIRGELPRNLREYMAE QIYPQLPEHLKESFLEASQNGRLRTMPASFLPPSSVNKRGVLILGDAYNLRHPLTGGGMT VALKDIKLWRQLLKDIPDLYDDAAIFQAKKSFFWSRKRTHSFVVNVLAQALYELFSATDD SLHQLRKACFLYFKLGGECVTGPVGLLSILSPHPLVLIRHFFSVAIYATYFCFKSEPWAT KPRALFSSGAVLYKACSILFPLIYSEMKYLVH >ENSMUSP00000098205.4 pep:known chromosome:GRCm38:15:59315107:59331192:1 gene:ENSMUSG00000022351.14 transcript:ENSMUST00000100640.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sqle description:squalene epoxidase [Source:MGI Symbol;Acc:MGI:109296] MWTFLGIATFTYFYKKCGDVTLANKELLLCVLVFLSLGLVLSYRCRHRHGGLLGRHQSGA QFAAFSDILSALPLIGFFWAKSPESEKKEQLESKKCRKEIGLSETTLTGAATSVSTSFVT DPEVIIVGSGVLGSALAAVLSRDGRKVTVIERDLKEPDRIVGELLQPGGYRVLQELGLGD TVEGLNAHHIHGYIVHDYESRSEVQIPYPLSETNQVQSGIAFHHGRFIMSLRKAAMAEPN VKFIEGVVLQLLEEDDAVIGVQYKDKETGDTKELHAPLTVVADGLFSKFRKSLISSKVSV SSHFVGFLMKDAPQFKPNFAELVLVNPSPVLIYQISSSETRVLVDIRGELPRNLREYMAE QIYPQLPGVLILGDAYNLRHPLTGGGMTVALKDIKLWRQLLKDIPDLYDDAAIFQAKKSF FWSRKRTHSFVVNVLAQALYELFSATDDSLHQLRKACFLYFKLGGECVTGPVGLLSILSP HPLVLIRHFFSVAIYATYFCFKSEPWATKPRALFSSGAVLYKACSILFPLIYSEMKYLVH >ENSMUSP00000009789.8 pep:known chromosome:GRCm38:10:59323296:59373304:1 gene:ENSMUSG00000019916.14 transcript:ENSMUST00000009789.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha1 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha 1 polypeptide [Source:MGI Symbol;Acc:MGI:97463] MIWVVLMMAILLPQSLAHPGFFTSIGQMTDLIHNEKDLVTSLKDYIKAEEDKLEQIKKWA EKLDRLTSTATKDPEGFVGHPVNAFKLMKRLNTEWSELENLILKDMSDGFISNLTIQRQY FPNDEDQVGAAKALFRLQDTYNLDTNTISKGNLPGVQHKSFLTAEDCFELGKVAYTEADY YHTELWMEQALTQLEEGELSTVDKVSVLDYLSYAVYQQGDLDKALLLTKKLLELDPEHQR ANGNLVYFEYIMSKEKDANKSASGDQSDQKTAPKKKGIAVDYLPERQKYEMLCRGEGIKM TPRRQKRLFCRYHDGNRNPKFILAPAKQEDEWDKPRIIRFHDIISDAEIEIVKDLAKPRL RRATISNPVTGALETVHYRISKSAWLSGYEDPVVSRINMRIQDLTGLDVSTAEELQVANY GVGGQYEPHFDFARKDEPDAFRELGTGNRIATWLFYMSDVSAGGATVFPEVGASVWPKKG TAVFWYNLFASGEGDYSTRHAACPVLVGNKWVSNKWLHERGQEFRRPCTLSELE >ENSMUSP00000090170.4 pep:known chromosome:GRCm38:10:59323364:59371956:1 gene:ENSMUSG00000019916.14 transcript:ENSMUST00000092512.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha1 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha 1 polypeptide [Source:MGI Symbol;Acc:MGI:97463] MIWVVLMMAILLPQSLAHPGFFTSIGQMTDLIHNEKDLVTSLKDYIKAEEDKLEQIKKWA EKLDRLTSTATKDPEGFVGHPVNAFKLMKRLNTEWSELENLILKDMSDGFISNLTIQRQY FPNDEDQVGAAKALFRLQDTYNLDTNTISKGNLPDPEHQRANGNLVYFEYIMSKEKDANK SASGDQSDQKTAPKKKGIAVDYLPERQKYEMLCRGEGIKMTPRRQKRLFCRYHDGNRNPK FILAPAKQEDEWDKPRIIRFHDIISDAEIEIVKDLAKPRLSRATVHDPETGKLTTAQYRV SKSAWLSGYEDPVVSRINMRIQDLTGLDVSTAEELQVANYGVGGQYEPHFDFARKDEPDA FRELGTGNRIATWLFYMSDVSAGGATVFPEVGASVWPKKGTAVFWYNLFASGEGDYSTRH AACPVLVGNKWVSNKWLHERGQEFRRPCTLSELE >ENSMUSP00000101106.2 pep:known chromosome:GRCm38:10:59323368:59372251:1 gene:ENSMUSG00000019916.14 transcript:ENSMUST00000105466.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha1 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha 1 polypeptide [Source:MGI Symbol;Acc:MGI:97463] MIWVVLMMAILLPQSLAHPGFFTSIGQMTDLIHNEKDLVTSLKDYIKAEEDKLEQIKKWA EKLDRLTSTATKDPEGFVGHPVNAFKLMKRLNTEWSELENLILKDMSDGFISNLTIQRQY FPNDEDQVGAAKALFRLQDTYNLDTNTISKGNLPGVQHKSFLTAEDCFELGKVAYTEADY YHTELWMEQALTQLEEGELSTVDKVSVLDYLSYAVYQQGDLDKALLLTKKLLELDPEHQR ANGNLVYFEYIMSKEKDANKSASGDQSDQKTAPKKKGIAVDYLPERQKYEMLCRGEGIKM TPRRQKRLFCRYHDGNRNPKFILAPAKQEDEWDKPRIIRFHDIISDAEIEIVKDLAKPRL SRATVHDPETGKLTTAQYRVSKSAWLSGYEDPVVSRINMRIQDLTGLDVSTAEELQVANY GVGGQYEPHFDFARKDEPDAFRELGTGNRIATWLFYMSDVSAGGATVFPEVGASVWPKKG TAVFWYNLFASGEGDYSTRHAACPVLVGNKWVSNKWLHERGQEFRRPCTLSELE >ENSMUSP00000099403.1 pep:known chromosome:GRCm38:11:100885098:100939404:-1 gene:ENSMUSG00000004040.16 transcript:ENSMUST00000103114.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat3 description:signal transducer and activator of transcription 3 [Source:MGI Symbol;Acc:MGI:103038] MAQWNQLQQLDTRYLEQLHQLYSDSFPMELRQFLAPWIESQDWAYAASKESHATLVFHNL LGEIDQQYSRFLQESNVLYQHNLRRIKQFLQSRYLEKPMEIARIVARCLWEESRLLQTAA TAAQQGGQANHPTAAVVTEKQQMLEQHLQDVRKRVQDLEQKMKVVENLQDDFDFNYKTLK SQGDMQDLNGNNQSVTRQKMQQLEQMLTALDQMRRSIVSELAGLLSAMEYVQKTLTDEEL ADWKRRQQIACIGGPPNICLDRLENWITSLAESQLQTRQQIKKLEELQQKVSYKGDPIVQ HRPMLEERIVELFRNLMKSAFVVERQPCMPMHPDRPLVIKTGVQFTTKVRLLVKFPELNY QLKIKVCIDKDSGDVAALRGSRKFNILGTNTKVMNMEESNNGSLSAEFKHLTLREQRCGN GGRANCDASLIVTEELHLITFETEVYHQGLKIDLETHSLPVVVISNICQMPNAWASILWY NMLTNNPKNVNFFTKPPIGTWDQVAEVLSWQFSSTTKRGLSIEQLTTLAEKLLGPGVNYS GCQITWAKFCKENMAGKGFSFWVWLDNIIDLVKKYILALWNEGYIMGFISKERERAILST KPPGTFLLRFSESSKEGGVTFTWVEKDISGKTQIQSVEPYTKQQLNNMSFAEIIMGYKIM DATNILVSPLVYLYPDIPKEEAFGKYCRPESQEHPEADPGSAAPYLKTKFICVTPFIDAV WK >ENSMUSP00000120152.1 pep:known chromosome:GRCm38:11:100886810:100939540:-1 gene:ENSMUSG00000004040.16 transcript:ENSMUST00000127638.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat3 description:signal transducer and activator of transcription 3 [Source:MGI Symbol;Acc:MGI:103038] MAQWNQLQQLDTRYLEQLHQLYSDSFPMELRQFLAPWIESQDWAYAASKESHATLVFHNL LGEIDQQYSRFLQESNVLYQHNLRRIKQFLQSRYLEKPMEIARIVARCLWEESRLLQTAA TAAQQGGQANHPTAAVVTEKQQMLEQHLQDVRKRVQDLEQKMKVVENLQDDFDFNYKTLK SQGDMQDLNGNNQSVTRQKMQQLEQMLTALDQMRRSIVSELAGLLSAMEYVQKTLTDEEL ADWKRRQQIACIGGPPNICLDRLENWITSLAESQLQTRQQIKKLEELQQKVSYKGDPIVQ HRPMLEERIVELFRNLMKSAFVVERQPCMPMHPDRPLVIKTGVQFTTKVRLLVKFPELNY QLKIKVCIDKDSGDVAALRGSRKFNILGTNTKVMNMEESNNGSLSAEFKHLTLREQRCGN GGRANCDASLIVTEELHLITFETEVYHQGLKIDLETHSLPVVVISNICQMPNAWASILWY NMLTNNPKNVNFFTKPPIGTWDQVAEVLSWQFSSTTKRGLSIEQLTTLAEKLLGPGVNYS GCQITWAKFCKENMAGKGFSFWVWLDNIIDLVKKYILALWNEGYIMGFISKERERAILST KPPGTFLLRFSESSKEGGVTFTWVEKDISGKTQIQSVEPYTKQQLNNMSFAEIIMGYKIM DATNILVSPLVYLYPDIPKEEAFGKYCRPESQEHPEADPGSAAPYLKTKFICVTPTTCSN TIDLPMSPRTLDSLMQFGNNGEGAEPSAGGQFESLTFDMDLTSECATSPM >ENSMUSP00000090342.5 pep:known chromosome:GRCm38:11:100888236:100939380:-1 gene:ENSMUSG00000004040.16 transcript:ENSMUST00000092671.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat3 description:signal transducer and activator of transcription 3 [Source:MGI Symbol;Acc:MGI:103038] MAQWNQLQQLDTRYLEQLHQLYSDSFPMELRQFLAPWIESQDWAYAASKESHATLVFHNL LGEIDQQYSRFLQESNVLYQHNLRRIKQFLQSRYLEKPMEIARIVARCLWEESRLLQTAA TAAQQGGQANHPTAAVVTEKQQMLEQHLQDVRKRVQDLEQKMKVVENLQDDFDFNYKTLK SQGDMQDLNGNNQSVTRQKMQQLEQMLTALDQMRRSIVSELAGLLSAMEYVQKTLTDEEL ADWKRRQQIACIGGPPNICLDRLENWITSLAESQLQTRQQIKKLEELQQKVSYKGDPIVQ HRPMLEERIVELFRNLMKSAFVVERQPCMPMHPDRPLVIKTGVQFTTKVRLLVKFPELNY QLKIKVCIDKDSGDVAALRGSRKFNILGTNTKVMNMEESNNGSLSAEFKHLTLREQRCGN GGRANCDASLIVTEELHLITFETEVYHQGLKIDLETHSLPVVVISNICQMPNAWASILWY NMLTNNPKNVNFFTKPPIGTWDQVAEVLSWQFSSTTKRGLSIEQLTTLAEKLLGPGVNYS GCQITWAKFCKENMAGKGFSFWVWLDNIIDLVKKYILALWNEGYIMGFISKERERAILST KPPGTFLLRFSESSKEGGVTFTWVEKDISGKTQIQSVEPYTKQQLNNMSFAEIIMGYKIM DATNILVSPLVYLYPDIPKEEAFGKYCRPESQEHPEADPGAAPYLKTKFICVTPTTCSNT IDLPMSPRTLDSLMQFGNNGEGAEPSAGGQFESLTFDMDLTSECATSPM >ENSMUSP00000121677.1 pep:known chromosome:GRCm38:11:100888681:100939479:-1 gene:ENSMUSG00000004040.16 transcript:ENSMUST00000138438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat3 description:signal transducer and activator of transcription 3 [Source:MGI Symbol;Acc:MGI:103038] MAQWNQLQQLDTRYLEQLHQLYSDSFPMELRQFLAPWIESQDWAYAASKESHATLVFHNL LGEIDQQYSRFLQESNVLYQHNLRRIKQFLQTAATAAQQGGQANHPTAAVVTEKQQMLEQ HLQDVRKRVQDLEQKMKVVENLQDDFDFNYKTLKSQGDMQDLNGNNQSVTRQKMQQLEQM LTALDQMRRSIVSELAGLLSAMEYVQKTLTDEELADWKRRQQIACIGGPPNICLDRLENW ITSLAESQLQTRQQIKKLEELQQKVSYKGDPIVQHRPMLEERIVELFRNLMKSAFVVERQ PCMPMHPDRPLVIKTGVQFTTKVRLLVKFPELNYQLKIKVCIDKDSGDVAALRGSRKFNI LGTNTKVMNMEESNNGSLSAEFKHLTLREQRCGNGGRANCDASLIVTEELHLITFETEVY HQGLKIDLETHSLPVVVISNICQMPNAWASILWYNMLTNNPKNVNFFTKPPIGTWDQVAE VLSWQFSSTTKRGLSIEQLTTLAEKLLGPGVNYSGCQITWAKFCKENMAGKGFSFWVWLD NIIDLVKKYILALWNEGYIMGFISKERERAILSTKPPGTFLLRFSESSKEGGVTFTWVEK DISGKTQIQSVEPYTKQQLNNMSFAEIIMGYKIMDATNILVSPLVYLYPDIPKEEAFGKY CRPESQEHPEADPGSAAPYLKTKFICVTPTTCSNTIDLPMSPRTLDSLMQFGNNGEGAEP SAGGQFESLTFDMDLTSECATSPM >ENSMUSP00000030257.8 pep:known chromosome:GRCm38:4:100776675:101004544:1 gene:ENSMUSG00000028532.14 transcript:ENSMUST00000030257.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cachd1 description:cache domain containing 1 [Source:MGI Symbol;Acc:MGI:2444177] MAREPEEEETVRPAAVVRRCPRCPGWPGAPRPPLWLLCLVACWILGAVADADFSILDEAQ VLASQMRRLAAEELGVVTMQRIFNSLVYTEKISNGESEVQQLAKKIREKFNRYLDVVNRN KQVVEASYTAHLTSPLTAIQDCCTIPPSMMEFDGNFNTNVSRTVSCDRLSTTVNSRAFNP GRDLNSVLADNLKSNPGIKWQYFSSEEGIFTVFPAHKFRCKGSYEHRSRPIYVSTVRPQS KHIVVILDHGASVTDTQLQIAKDAAQVILSAIDEHDKISVLTVADAVRTCSLDQCYKTYL SPATSETKRKMSTFVSSVKPSDSPTQHAVGFHRAFQLIRSTSNSTRFQANTDMVIIYLSA GITSKDSSEEDKKATLRVINEENGFLNNSVMILTYALMNDGVTGLKELAFLRDLAEQNSG KYGIPDRTALPVIKGSMMVLNQLSNLETTVGRFYTNLPNRMIDEAVFSLPFSDEMGDGLI MTVSKPCYFGNLLLGIVGVDVNLAYILEDVTYYQDSLASYTFLIDDKGYTLMHPSLTRPY LLSEPPLHTDIIHYENIPKFELVRQNILSLPLGSQIITVPVNSSLSWHINKLRETGKEAY NVSYAWKMVQDTSFILCIVVIQPEIPVKQLKNLNTVPSSKLLYHRLDLLGQPSACLHFKQ LATLESPTVMLSAGSFSSPYEHLSQPETKRMVEHYTAYLSDNTRLIANPGLKFSVRNEVM ATSHVTDEWMTQMEMSSLNTYIVRRYIATPNGVLRIYPGSLMDKAFDPTRRQWYLHAVAN PGLISLTGPYLDVGGAGYVVTISHTIHSSSTQLSSGHTVAVMGIDFTLRYFYKVLMDLLP VCNQDGGNKIRCFIMEDRGYLVAHPTLVDPKGHAPLEQQHITHKEPLVANDILNHPNFVK KNLCNSFSDRTVQRSYKFNTSLVGDLTNLVHGSHCSKYRLTRIPGTNAFVGIVNETCDSL AFCACSMVDRLCLNCHRMEQNECECPCECPLEVNECTGNLTNAENRNPSCEVHQEPVTYT AIDPGLQDALQQCVNSRCNQRMESGDCFGVLDCEWCVVDSDGKTHLDKSYCAPQKECFGG IVGAKSPYVDDMGAIGDEVITLNMIKSAPVGPVAGGIMGCIMVLVLAVYAYRHQIHRRSH QHMSPLAAQEMSVRMSNLENDRDERDDDSHEDRGIISNTRFIAAVMERHVHSPERRRRYW GRSGTESDHGYSTMSPQEDSENPPCNNDPLSAGVDVGNHDDDLDLDTPPQTAALLSHKFH HYRPHHPTLHHSHHLQAAVTVHTVDAEC >ENSMUSP00000095568.2 pep:known chromosome:GRCm38:4:100776675:101029220:1 gene:ENSMUSG00000028532.14 transcript:ENSMUST00000097955.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cachd1 description:cache domain containing 1 [Source:MGI Symbol;Acc:MGI:2444177] MAREPEEEETVRPAAVVRRCPRCPGWPGAPRPPLWLLCLVACWILGAVADADFSILDEAQ VLASQMRRLAAEELGVVTMQRIFNSLVYTEKISNGESEVQQLAKKIREKFNRYLDVVNRN KQVVEASYTAHLTSPLTAIQDCCTIPPSMMEFDGNFNTNVSRTVSCDRLSTTVNSRAFNP GRDLNSVLADNLKSNPGIKWQYFSSEEGIFTVFPAHKFRCKGSYEHRSRPIYVSTVRPQS KHIVVILDHGASVTDTQLQIAKDAAQVILSAIDEHDKISVLTVADAVRTCSLDQCYKTYL SPATSETKRKMSTFVSSVKPSDSPTQHAVGFHRAFQLIRSTSNSTRFQANTDMVIIYLSA GITSKDSSEEDKKATLRVINEENGFLNNSVMILTYALMNDGVTGLKELAFLRDLAEQNSG KYGIPDRTALPVIKGSMMVLNQLSNLETTVGRFYTNLPNRMIDEAVFSLPFSDEMGDGLI MTVSKPCYFGNLLLGIVGVDVNLAYILEDVTYYQDSLASYTFLIDDKGYTLMHPSLTRPY LLSEPPLHTDIIHYENIPKFELVRQNILSLPLGSQIITVPVNSSLSWHINKLRETGKEAY NVSYAWKMVQDTSFILCIVVIQPEIPVKQLKNLNTVPSSKLLYHRLDLLGQPSACLHFKQ LATLESPTVMLSAGSFSSPYEHLSQPETKRMVEHYTAYLSDNTRLIANPGLKFSVRNEVM ATSHVTDEWMTQMEMSSLNTYIVRRYIATPNGVLRIYPGSLMDKAFDPTRRQWYLHAVAN PGLISLTGPYLDVGGAGYVVTISHTIHSSSTQLSSGHTVAVMGIDFTLRYFYKVLMDLLP VCNQDGGNKIRCFIMEDRGYLVAHPTLVDPKGHAPLEQQHITHKEPLVANDILNHPNFVK KNLCNSFSDRTVQRSYKFNTSLVGDLTNLVHGSHCSKYRLTRIPGTNAFVGIVNETCDSL AFCACSMVDRLCLNCHRMEQNECECPCECPLEVNECTGNLTNAENRNPSCEVHQEPVTYT AIDPGLQDALQQCVNSRCNQRMESGDCFGVLDCEWCVVDSDGKTHLDKSYCAPQKECFGG IVGAKSPYVDDMGAIGDEVITLNMIKSAPVGPVAGGIMGCIMVLVLAVYAYRHQIHRRSH QHMSPLAAQEMSVRMSNLENDRDERDDDSHEDRGIISNTRFIAAVMERHVHSPERRRRYW GRSGTESDHG >ENSMUSP00000128473.1 pep:known chromosome:GRCm38:5:38706462:38876812:-1 gene:ENSMUSG00000039315.15 transcript:ENSMUST00000169819.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clnk description:cytokine-dependent hematopoietic cell linker [Source:MGI Symbol;Acc:MGI:1351468] MTSQGNKRTTKEGFGDLRFQNVSLLKNRSWPSLSSAKGRCRAVLEPLPDHRRNLAGVPGG EKCNSNNDYEDPEFQLLKAWPSMKILPARPIQESEYADTRYFQDTMEAPLLLPPKASVST ERQTRDVRMTHLEEVDKPTFKDVRSQRFKGFKYTKINKTPLPPPRPAITLPKKYQPLPPA PPEESSAYFAPKPTFPEVQRGPRQRSAKDFSRVLGAEEESHHQTKPESSCPSSNQNTQKS PPAIASSSYMPGKHSIQARDHTGSMQHCPAQRCQAAASHSPRMLPYENTNSEKPDPTKPD EKDVWQNEWYIGEYSRQAVEDVLMKENKDGTFLVRDCSTKSKAEPYVLVVFYGNKVYNVK IRFLESNQQFALGTGLRGNEMFDSVEDIIEHYTYFPILLIDGKDKAARRKQCYLTQPLPL ARLLLTQYSSQALHE >ENSMUSP00000132779.1 pep:known chromosome:GRCm38:5:38706462:38876812:-1 gene:ENSMUSG00000039315.15 transcript:ENSMUST00000171633.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clnk description:cytokine-dependent hematopoietic cell linker [Source:MGI Symbol;Acc:MGI:1351468] MTSQGNKRTTKEGFGDLRFQNVSLLKNRSWPSLSSAKGRCRAVLEPLPDHRRNLAGVPGG EKCNSNNDYEDPEFQLLKAWPSMKILPARPIQESEYADTRYFQDTMEAPLLLPPKASVST ERQTRDVRMTHLEEVDKPTFKDVRSQRFKGFKYTKINKTPLPPPRPAITLPKKYQPLPPA PPEESSAYFAPKPTFPEVQRGPRQRSAKDFSRVNSREHKSHHQTKPESSCPSSNQNTQKS PPAIASSSYMPGKHSIQARDHTGSMQHCPAQRCQAAASHSPRMLPYENTNSEKPDPTKPD EKDVWQNEWYIGEYSRQAVEDVLMKENKDGTFLVRDCSTKSKAEPYVLVVFYGNKVYNVK IRFLESNQQFALGTGLRGNEMFDSVEDIIEHYTYFPILLIDGKDKAARRKQCYLTQPLPL ARLLLTQYSSQALHE >ENSMUSP00000027343.5 pep:known chromosome:GRCm38:1:23366424:23383175:-1 gene:ENSMUSG00000026158.11 transcript:ENSMUST00000027343.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfrl1 description:opioid growth factor receptor-like 1 [Source:MGI Symbol;Acc:MGI:1917405] MGNLLGGVSFREPTTVEDCDSTWQTDSEPEPEQPGPAGGGEGQQHDEPEQPKQPPERAGG RPRASPVPEDHAEAAGAEQGGESTEGNAKPKRSFYAARDLYKYRHQYPNFKDIRYQNDLS NLRFYKNKIPFKPDGVYIEEVLNKWKGDYEKLEHNHTYIQWLFPLREQGLNFYAKELTTY EIEEFKKTKEAIRRFLLAYKMMLEFFGIKLIDKTGNVARAGNWQERFQHLNESQHNYLRI TRILKSLGELGYESFKSPLVKFILHEALVENTIPNIKQSALEYFVYTIRDRRERRKLLRF AQKHYTPSENFIWGPPKKELPERSKAQKTPTLPASGSNGQTSTHKKSKDSKISPGASHVN SKSVEEKKGASREPGEEADKPSPEPGSGDPKPRNTEKDSAADQSDSPPEKTVPDTAGKGE CPTSSEKDGEGEDQSKDSENPENAGCHAEVVAQQNATNPQTSSG >ENSMUSP00000139453.1 pep:known chromosome:GRCm38:1:23370268:23397541:-1 gene:ENSMUSG00000026158.11 transcript:ENSMUST00000188677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogfrl1 description:opioid growth factor receptor-like 1 [Source:MGI Symbol;Acc:MGI:1917405] MMLEFFGIKLIDKTGNVARAGNWQERFQHLNESQHNYLRITRILKSLGELGYESFKSPLV KFILHEALVENTIPNIKQSALEYFVYTIRDRR >ENSMUSP00000122298.1 pep:known chromosome:GRCm38:2:170346991:170406509:-1 gene:ENSMUSG00000013523.13 transcript:ENSMUST00000154650.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas1 description:breast carcinoma amplified sequence 1 [Source:MGI Symbol;Acc:MGI:1924210] XQTLPATGPLAPSPPESQAEAPAQDKDFGFLNRFFKLDKGRESAPVNSQPKEAKGSEDPE QATEAPAVPGNPHGVSAGEDIVDSEQRGQDVDTLSYSVPGDPEVPGTTKEDPQVVDTTEN SSSIMSFFKTLVSPNKTETKKDPEDTKATKADSVCDGHAAGQKMSETQAKSKKKRLDSPR LGLSFRKLFRHKDTENSPTTSANLKSDKANFTPQETRGKTKATKSCSPPPPPPEPTSEGR DSGKEKAGPTSLPLGKLFWKKTSNSVEKTPSPPEPEPAGTAQKNKETSSSKDKKSVDKKS ATENSKQKNGKQEVREPAPCVQPPTVEANAMQTGDKTPKKSEKRRQSLGGFLKGLGPKRM SDAQVQTDPVSIGPVGKSK >ENSMUSP00000069437.4 pep:known chromosome:GRCm38:2:170347144:170427845:-1 gene:ENSMUSG00000013523.13 transcript:ENSMUST00000068137.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas1 description:breast carcinoma amplified sequence 1 [Source:MGI Symbol;Acc:MGI:1924210] MGNQMSVPLRPGDQEHDPGADTCKGNPVVLSTRVIQHYEEVDLGISSSKDNVATSSPKTM EAQAVGDASGKNLGKEAKTKAPAARSHFFLTLSRPVPGRPGDQGTDSSAASGRFDVSPSA APENKDPSEHGALPVAAAPGQAPDKTPGCPEAKQQTLPATGPLAPSPPESQAEAPAQDKD FGFLNRFFKLDKGRESAPVNSQPKEAKGSEDPEQATEAPAVPGNPHGVSAGEDIVDSEQR GQDVDTLSYSVPGDPEVPGTTKEDPQVVDTTENSSSIMSFFKTLVSPNKTETKKDPEDTD TENSPTTSANLKSDKANFTPQETRGKTKATKSCSPPPPPPEPTSEGRDSGKEKAGPTSLP LGKLFWKKSVKEDTLSTGAEENAVCESPVETVRLEEVESSLQTVDLSEETQPEPTDVKVK EESKPRKTPLMAFLRQMSVRSSEGIPRSEESNVKDSSCQTSNSVEKTPSPPEPEPAGTAQ KNKETSSSKDKKSVDKKSATENSKQKNGKQEVREPAPCVQPPTVEANAMQTGDKTPKKSE KRRQSLGGFLKGLGPKRMSDAQVQTDPVSIGPVGKSK >ENSMUSP00000013667.2 pep:known chromosome:GRCm38:2:170347148:170427828:-1 gene:ENSMUSG00000013523.13 transcript:ENSMUST00000013667.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas1 description:breast carcinoma amplified sequence 1 [Source:MGI Symbol;Acc:MGI:1924210] MGNQMSVPLRPGDQEHDPGADTCKVTSDNECVQNGNPVVLSTRVIQHYEEVDLGISSSKD NVATSSPKTMEAQAVGDASGKNLGKEAKTKAPAARSHFFLTLSRPVPGRPGDQGTDSSAA SGRFDVSPSAAPENKDPSEHGALPVAAAPGQAPDKTPGCPEAKQQTLPATGPLAPSPPES QAEAPAQDKDFGFLNRFFKLDKGRESAPVNSQPKEAKGSEDPEQATEAPAVPGNPHGVSA GEDIVDSEQRGQDVDTLSYSVPGDPEVPGTTKEDPQVVDTTENSSSIMSFFKTLVSPNKT ETKKDPEDTKATKADSVCDGHAAGQKMSETQAKSKKKRLDSPRLGLSFRKLFRHKDTENS PTTSANLKSDKANFTPQETRGKTKATKSCSPPPPPPEPTSEGRDSGKEKAGPTSLPLGKL FWKKSVKEDTLSTGAEENAVCESPVETVRLEEVESSLQTVDLSEETQPEPTDVKVKEESK PRKTPLMAFLRQMSVRSSEGIPRSEESNVKDSSCQTSNSVEKTPSPPEPEPAGTAQKNKE TSSSKDKKSVDKKSATENSKQKNGKQEVREPAPCVQPPTVEANAMQTGDKTPKKSEKRRQ SLGGFLKGLGPKRMSDAQVQTDPVSIGPVGKSK >ENSMUSP00000104780.2 pep:known chromosome:GRCm38:2:170346991:170427845:-1 gene:ENSMUSG00000013523.13 transcript:ENSMUST00000109152.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas1 description:breast carcinoma amplified sequence 1 [Source:MGI Symbol;Acc:MGI:1924210] MGNQMSVPLRPGDQEHDPGADTCKVTSDNECVQNGNPVVLSTRVIQHYEEVDLGISSSKD NVATSSPKTMEAQAVGDASGKNLGKEAKTKAPAARSHFFLTLSRPVPGRPGDQGTDSSAA SGRFDVSPSAAPENKDPSEHGALPVAAAPGQAPDKTPGCPEAKQQTLPATGPLAPSPPES QAEAPAQDKDFGFLNRFFKLDKGRESAPVNSQPKEAKGSEDPEQATEAPAVPGNPHGVSA GEDIVDSEQRGQDVDTLSYSVPGDPEVPGTTKEDPQVVDTTENSSSIMSFFKTLVSPNKT ETKKDPEDTDTENSPTTSANLKSDKANFTPQETRGKTKATKSCSPPPPPPEPTSEGRDSG KEKAGPTSLPLGKLFWKKSVKEDTLSTGAEENAVCESPVETVRLEEVESSLQTVDLSEET QPEPTDVKVKEESKPRKTPLMAFLRQMSVRSSEGIPRSEESNVKDSSCQTSNSVEKTPSP PEPEPAGTAQKNKETSSSKDKKSVDKKSATENSKQKNGKQEVREPAPCVQPPTVEANAMQ TGDKTPKKSEKRRQSLGGFLKGLGPKRMSDAQVQTDPVSIGPVGKSK >ENSMUSP00000026012.7 pep:known chromosome:GRCm38:19:46667165:46672974:-1 gene:ENSMUSG00000003555.7 transcript:ENSMUST00000026012.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp17a1 description:cytochrome P450, family 17, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88586] MWELVGLLLLILAYFFWPKSKTPNAKFPRSLPFLPLVGSLPFLPRRGHMHANFFKLQEKY GPIYSLRLGTTTAVIVGHYQLAREVLVKKGKEFSGRPQMVTLGLLSDQGKGVAFADSSSS WQLHRKLVFSTFSLFRDDQKLEKMICQEANSLCDLILTYDGESRDLSTLIFKSVINIICT ICFNISFENKDPILTTIQTFTEGIVDVLGHSDLVDIFPWLKIFPNKNLEMIKEHTKIREK TLVEMFEKCKEKFNSESLSSLTDILIQAKMNAENNNTGEGQDPSVFSDKHILVTVGDIFG AGIETTSSVLNWILAFLVHNPEVKRKIQKEIDQYVGFSRTPSFNDRTHLLMLEATIREVL RIRPVAPLLIPHKANIDSSIGEFAIPKDTHVIINLWALHHDKNEWDQPDRFMPERFLDPT GSHLITPTPSYLPFGAGPRSCIGEALARQELFIFMALLLQRFDFDVSDDKQLPCLVGDPK VVFLIDPFKVKITVRQAWKDAQVEVST >ENSMUSP00000033159.3 pep:known chromosome:GRCm38:7:122038821:122067263:-1 gene:ENSMUSG00000030871.11 transcript:ENSMUST00000033159.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ears2 description:glutamyl-tRNA synthetase 2 (mitochondrial)(putative) [Source:MGI Symbol;Acc:MGI:1914667] MAAPLKRLLLAEPHVVALGHRVGRREASLGPDPGAPVRVRFAPSPTGFLHLGGLRTALYN YIFAKKHQGSFILRLEDTDQSRLVPGAAESIEDMLEWAGIPPDESPRRGGPAGPYCQSQR LALYAQATEALLRSGAAYPCFCLPQRLELLKKEALRSRQTPRYDNRCRNLSQAQVAQKLA VDPKPAIRFRLEEAVPAFQDLVYGWTQHEVASVEGDPVILKSDGFPTYHLACVVDDHHMS ISHVLRGSEWLVSTSKHLLLYQALGWQPPRFAHLPLLLNRDGSKLSKRQGDIFLEHFAAT GFLPEALLDIITNCGSGFAENQMGRTLPELITQFDLTRITCHSALLDLEKLPEFNRLHLR RLVSSETQRPQLVEKLQGLVKEAFGSELQNKDVLDPAYMERILLLRQGHISRLQDLVSPV YSYLWTRPAVHRSELGASSENVDVIAKRLLGLLERPGLSLTQDVLNRELKKLSEGLEGAK HSSVMKLLRMALSGQLQGPPVAEMMVSLGPKEVRERIQKVLSS >ENSMUSP00000041912.8 pep:known chromosome:GRCm38:7:25242561:25250761:-1 gene:ENSMUSG00000040857.15 transcript:ENSMUST00000045847.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erf description:Ets2 repressor factor [Source:MGI Symbol;Acc:MGI:109637] MKTPADTGFAFPDWAYKPESSPGSRQIQLWHFILELLRKEEYQGVIAWQGDYGEFVIKDP DEVARLWGVRKCKPQMNYDKLSRALRYYYNKRILHKTKGKRFTYKFNFNKLVLVNYPFID MGLAGGAVPQSAPPVPSGGSHFRFPPSTPSEVLSPTEDPRSPPACSSSSSSLFSAVVARR LGRGSVSDCSDGTSELEEPLGEDPRARPPGPPELGAFRGPPLARLPHDPGVFRVYPRPRG GPEPLSPFPVSPLAGPGSLLPPQLSPALPMTPTHLAYTPSPTLSPMYPSGGGGPSGSGGG SHFSFSPEDMKRYLQAHTQSVYNYHLSPRAFLHYPGLVVPQPQRPDKCPLPPMAPETPPV PSSASSSSSSSSSPFKFKLQPPPLGRRQRAAGEKALGGTDKSSGGSGSGGLAEGAGAVAP PPPPPQIKVEPISEGESEEVEVTDISDEDEEDGEVFKTPRAPPAPPKPEPGEAPGVAQCM PLKLRFKRRWSEDCRLEGGGCLSGGPEDEGEDKKVRGDVGPGESGGPLTPRRVSSDLQHA TAQLSLEHRDS >ENSMUSP00000112046.2 pep:known chromosome:GRCm38:7:25245447:25250730:-1 gene:ENSMUSG00000040857.15 transcript:ENSMUST00000116343.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erf description:Ets2 repressor factor [Source:MGI Symbol;Acc:MGI:109637] MKTPADTGFAFPDWAYKPESSPGSRQIQLWHFILELLRKEEYQGVIAWQGDYGEFVIKDP DEVARLWGVRKCKPQMNYDKLSRALRYYYNKRILHKTKGKRFTYKFNFNKLVLVNYPFID MGLAGECVACVSEEGEEVGFSVFRGDQRT >ENSMUSP00000051935.8 pep:known chromosome:GRCm38:1:58392898:58407353:1 gene:ENSMUSG00000051223.14 transcript:ENSMUST00000050552.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bzw1 description:basic leucine zipper and W2 domains 1 [Source:MGI Symbol;Acc:MGI:1914132] MNNQKQQKPTLSGQRFKTRKRDEKERFDPTQFQDCIIQGLTETGTDLEAVAKFLDASGAK LDYRRYAETLFDILVAGGMLAPGGTLADDMMRTDVCVFAAQEDLETMQAFAQVFNKLIRR YKYLEKGFEDEVKKLLLFLKGFSESERNKLAMLTGVLLANGTLNASILNSLYNENLVKEG VSAAFAVKLFKSWINEKDINAVAASLRKVSMDNRLMELFPANKQSVEHFTKYFTEAGLKE LSEYVRNQQTIGARKELQKELQEQMSRGDPFKDIILYVKEEMKKNNIPEPVVIGIVWSSV MSTVEWNKKEELVAEQAIKHLKQYSPLLAAFTTQGQSELTLLLKIQEYCYDNIHFMKAFQ KIVVLFYKAEVLSEEPILKWYKDAHVAKGKSVFLEQMKKFVEWLKNAEEESESEAEEGD >ENSMUSP00000139761.1 pep:known chromosome:GRCm38:1:58393124:58403004:1 gene:ENSMUSG00000051223.14 transcript:ENSMUST00000188898.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bzw1 description:basic leucine zipper and W2 domains 1 [Source:MGI Symbol;Acc:MGI:1914132] MNNQKQQKPTLSGQRFKTRKRAPGGTLADDMMRTDVCVFAAQEDLETMQAFAQVFNKLIR RYKYLEKGFEDEVKKLLLFLKGFSESERNKLAMLTGVLLANGTLNASILNSLYNENLVKE GVSAAFAVKLFKSWINEKDINAVAASLRKVSMDNRLMELFPANKQSVEHFTKYFTEAGLK ELSEYVRNQQTIGARKELQKELQEQMSRGDPFKDIILYVKEEMKKNNIPEPVVIGIVWSS VMSTVEWNKKEEL >ENSMUSP00000140898.1 pep:known chromosome:GRCm38:1:58393132:58405487:1 gene:ENSMUSG00000051223.14 transcript:ENSMUST00000188630.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bzw1 description:basic leucine zipper and W2 domains 1 [Source:MGI Symbol;Acc:MGI:1914132] MNNQKQQKPTLSGQRFKTRKRDEKERFDPTQFQDCIIQGLTETGTDLEAVAKFLDASGAK LDYRRYAETLFDILVAGGMLVTALPKGVFRVGEEQACHVDWCPSG >ENSMUSP00000140319.1 pep:known chromosome:GRCm38:1:58393470:58405131:1 gene:ENSMUSG00000051223.14 transcript:ENSMUST00000186949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bzw1 description:basic leucine zipper and W2 domains 1 [Source:MGI Symbol;Acc:MGI:1914132] MYGAAGAPARSASAPVVRSARRPPPQATGVSFMNNQKQQKPTLSGQRFKTRKRDEKERFD PTQFQDCIIQGLTETGTDLEAVAKFLDASGAKLDYRRYAETLFDILVAGGMLAPGGTLAD DMMRTDVCVFAAQEDLETMQAFAQVFNKLIRRYKYLEKGFEDEVKKLLLFLKGFSESERN KLAMLTGVLLANGTLNASILNSLYNENLVKEGVSAAFAVKLFKSWINEKDINAVAASLRK VSMDNRLMELFPANKQSVEHFTKYFTEAGLKELSEYVRNQQTIGARKELQKELQEQMSRG DPFKDIILYVKEEMKKNNIPEPVVIGIVWSSVMSTVEWNKKEELVAEQAIKHLKQYSPLL AAFTTQGQSELTLLLKIQEYCYDNIHFMKAFQKIVVLFYKAEVLSEEPILKWYKDAHVAK GKSVFLEQMKKFVEWLKNAEEESESEAEEGD >ENSMUSP00000060405.3 pep:known chromosome:GRCm38:9:108986010:108987164:1 gene:ENSMUSG00000049699.4 transcript:ENSMUST00000052724.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ucn2 description:urocortin 2 [Source:MGI Symbol;Acc:MGI:2176375] MMTRWALVVFVVLMLDRILFVPGTPIPTFQLLPQNSLETTPSSVTSESSSGTTTGPSASW SNSKASPYLDTRVILSLDVPIGLLRILLEQARYKAARNQAATNAQILAHVGRR >ENSMUSP00000086311.5 pep:known chromosome:GRCm38:2:154307227:154320646:1 gene:ENSMUSG00000067996.5 transcript:ENSMUST00000088921.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bpifb9b description:BPI fold containing family B, member 9B [Source:MGI Symbol;Acc:MGI:1918675] MWVLQALAIMLSIQAGTLDLVETPPVVGNLPVAVPAPLNLPVGGLSPPVLKGPVNHQMLP PKRPVPPPKGAKCAPAARYFLSSDKLHDYLMSTLPPQIEDMVKCDEVNLEGMLADVLNTV ESSDLLSLLDGISLLKGGEGGGLGIGGLLGNEGNGDSSKPSSGSKATGGLGQLIPGGIPG TEALGGLLNLGGDKSSGKGLLNGDGLSKIKKPLEDAVENVSGIKDAIQEKVNEVVPDGIK EPLNDVLKMDIKDTLLELKVGQVTLDDMEINMEANGMQVLSMLTATIDGKGVLGPVISLL QFEAKMDVMTTIAVASNNTQCVNLDAQDTHMHVKEMKIQLVETVTGKVPLPVPLPLDQII PAIVTAKINENLEKSNSCAIVLNDFNNCKNNTGLFSYQVNTARISPKGLVILYCAKANIG NKTVPVPGGRLPPDPKNASIAVTISSTTLKTLVKEVAKNSSVQMDGLEAQITHIAFASQE NNTLRVVYKVDITKNGEHFATGETKLFISHGSKISNSTLIPDVKLIRSEHSVVPPEAKEE VEGILSEVGKAAWSNFNGTYKKMNIPEGVSSHTLKNSDVKLMKSIDLQAAS >ENSMUSP00000048092.8 pep:known chromosome:GRCm38:6:122847138:122856161:-1 gene:ENSMUSG00000040552.8 transcript:ENSMUST00000042081.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C3ar1 description:complement component 3a receptor 1 [Source:MGI Symbol;Acc:MGI:1097680] MESFDADTNSTDLHSRPLFQPQDIASMVILGLTCLLGLLGNGLVLWVAGVKMKTTVNTVW FLHLTLADFLCCLSLPFSLAHLILQGHWPYGLFLCKLIPSIIILNMFASVFLLTAISLDR CLIVHKPIWCQNHRNVRTAFAICGCVWVVAFVMCVPVFVYRDLFIMDNRSICRYNFDSSR SYDYWDYVYKLSLPESNSTDNSTAQLTGHMNDRSAPSSVQARDYFWTVTTALQSQPFLTS PEDSFSLDSANQQPHYGGKPPNVLTAAVPSGFPVEDRKSNTLNADAFLSAHTELFPTASS GHLYPYDFQGDYVDQFTYDNHVPTPLMAITITRLVVGFLVPFFIMVICYSLIVFRMRKTN FTKSRNKTFRVAVAVVTVFFICWTPYHLVGVLLLITDPESSLGEAVMSWDHMSIALASAN SCFNPFLYALLGKDFRKKARQSIKGILEAAFSEELTHSTNCTQDKASSKRNNMSTDV >ENSMUSP00000144855.1 pep:known chromosome:GRCm38:11:50981967:50985413:1 gene:ENSMUSG00000061952.4 transcript:ENSMUST00000204581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1377 description:olfactory receptor 1377 [Source:MGI Symbol;Acc:MGI:3031211] MDGDNETMVAEFLLLGLSGKSEQEEVVFGMFLGMYLVTISGNLLIILAISCDPHLHTPMY FFLANLSSVDICFSSVTVPKALVNHVLGSKSISYTECMIQIYFFITFINMDGFLLSVMAY DRYVAICHPLHYTMMMRSRLCVLLVAISWVITNLHALLHTLLMVRLTFCSHNAVHHFFCD PYPILKLSCSDTFINDLMVFTVGGVIFLTPFSCIVVSYVYIFSKVLKIPSARGIRKA >ENSMUSP00000074672.2 pep:known chromosome:GRCm38:11:50984658:50985720:1 gene:ENSMUSG00000061952.4 transcript:ENSMUST00000075177.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1377 description:olfactory receptor 1377 [Source:MGI Symbol;Acc:MGI:3031211] MDGDNETMVAEFLLLGLSGKSEQEEVVFGMFLGMYLVTISGNLLIILAISCDPHLHTPMY FFLANLSSVDICFSSVTVPKALVNHVLGSKSISYTECMIQIYFFITFINMDGFLLSVMAY DRYVAICHPLHYTMMMRSRLCVLLVAISWVITNLHALLHTLLMVRLTFCSHNAVHHFFCD PYPILKLSCSDTFINDLMVFTVGGVIFLTPFSCIVVSYVYIFSKVLKIPSARGIRKALST CGSHLTVVSLFYGAILGVYMRPSSSYSLQDTVATVIFTVVTPLVNPFIYSLRNQDMKGAL RKIMLRS >ENSMUSP00000034396.7 pep:known chromosome:GRCm38:9:13748410:13806481:1 gene:ENSMUSG00000031918.16 transcript:ENSMUST00000034396.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr2 description:myotubularin related protein 2 [Source:MGI Symbol;Acc:MGI:1924366] MEKSSSCESLGAQLPAARLPSEDSLSSASTSHSENSVHTKSASAISSDSISTSADNFSPD LRVLREANKLAEMEEPALLPGENIKDMAKDVTYICPFTGAVRGTLTVTSYRLYFKSMERD PPFVLDASLGVISRVEKIGGASSRGENSYGLETVCKDIRNLRFAHKPEGRTRRSIFENLM KYAFPVSNGLPLFAFEYKEVFPENGWKLYDPLLEYRRQGIPNESWRITKINERYELCDTY PALLVVPANIPDEELKRVASFRSRGRIPVLSWIHPESQATVTRCSQPMVGVSGKRSKEDE KYLQAIMDSNAQSHKIFIFDARPSVNAVANKAKGGGYESEDAYQNAELVFLDIHNIHVMR ESLRKLKEIVYPTIEETHWLSNLESTHWLEHIKLILAGALRIADKVESGKTSVVVHCSDG WDRTAQLTSLAMLMLDGYYRTIRGFEVLVEKEWLSFGHRFQLRVGHGDKNHADADRSPVF LQFIDCVWQMTRQFPTAFEFNEYFLITILDHLYSCLFGTFLCNSEQQRGKENLPKKTVSL WSYINSQLEDFTNPLYGSYSNHVLYPVASMRHLELWVGYYIRWNPRMKPQEPIHSRYKEL LAKRAELQRKVEELQREISNRSTSSSERASSPAQCVTPVQTVV >ENSMUSP00000115906.1 pep:known chromosome:GRCm38:9:13749181:13806481:1 gene:ENSMUSG00000031918.16 transcript:ENSMUST00000155679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr2 description:myotubularin related protein 2 [Source:MGI Symbol;Acc:MGI:1924366] MEEPALLPGENIKDMAKDVTYICPFTGAVRGTLTVTSYRLYFKSMERDPPFVLDASLGVI SRVEKIGGASSRGENSYGLETVCKDIRNLRFAHKPEGRTRRSIFENLMKYAFPVSNGLPL FAFEYKEVFPENGWKLYDPLLEYRRQGIPNESWRITKINERYELCDTYPALLVVPANIPD EELKRVASFRSRGRIPVLSWIHPESQATVTRCSQPMVGVSGKRSKEDEKYLQAIMDSNAQ SHKIFIFDARPSVNAVANKAKGGGYESEDAYQNAELVFLDIHNIHVMRESLRKLKEIVYP TIEETHWLSNLESTHWLEHIKLILAGALRIADKVESGKTSVVVHCSDGWDRTAQLTSLAM LMLDGYYRTIRGFEVLVEKEWLSFGHRFQLRVGHGDKNHADADRSPVFLQFIDCVWQMTR QFPTAFEFNEYFLITILDHLYSCLFGTFLCNSEQQRGKENLPKKTVSLWSYINSQLEDFT NPLYGSYSNHVLYPVASMRHLELWVGYYIRWNPRMKPQEPIHSRYKELLAKRAELQRKVE ELQREISNRSTSSSERASSPAQCVTPVQTVV >ENSMUSP00000121933.1 pep:known chromosome:GRCm38:9:13749197:13806481:1 gene:ENSMUSG00000031918.16 transcript:ENSMUST00000134674.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr2 description:myotubularin related protein 2 [Source:MGI Symbol;Acc:MGI:1924366] MEKSSSCESLGAQLPAARLPSEDSLSSASTSHSENSVHTKSASAISSDSISTSADNFSPD LRECPAAWVLVDARHSQVDSQD >ENSMUSP00000114509.1 pep:known chromosome:GRCm38:9:13766043:13792043:1 gene:ENSMUSG00000031918.16 transcript:ENSMUST00000152532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr2 description:myotubularin related protein 2 [Source:MGI Symbol;Acc:MGI:1924366] MEEPALLPGENIKDMAKDVTYICPFTGAVRGTLTVTSYRLYFKSMERDPPFVLDASLGVI SRVEKIGGASSRGENSYGLETVCKDIRNLRFAHKPEGRTRRSIFE >ENSMUSP00000117332.1 pep:known chromosome:GRCm38:9:13749319:13786175:1 gene:ENSMUSG00000031918.16 transcript:ENSMUST00000156801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr2 description:myotubularin related protein 2 [Source:MGI Symbol;Acc:MGI:1924366] MEKSSSCESLGAQLPAARLPSEDSLSSASTSHSENSVHTKSASAISSDSISTSADNFSPD LRECPAAWVLVDARHSQVDSQD >ENSMUSP00000121223.1 pep:known chromosome:GRCm38:9:13749389:13786175:1 gene:ENSMUSG00000031918.16 transcript:ENSMUST00000134530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr2 description:myotubularin related protein 2 [Source:MGI Symbol;Acc:MGI:1924366] XSLSSASTSHSENSVHTKSASAISSDSISTSADNFSPDLRVLREANKLAEMEEPALLPGE NIKDMGL >ENSMUSP00000030192.4 pep:known chromosome:GRCm38:4:43654229:43656466:-1 gene:ENSMUSG00000028470.10 transcript:ENSMUST00000030192.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hint2 description:histidine triad nucleotide binding protein 2 [Source:MGI Symbol;Acc:MGI:1916167] MAAAVLLAVGLRAARRTLAAAGARGAQVRGNAGVSDGSEVAKAQKAAPGGASPTIFSRIL DRSLPADILYEDQQCLVFRDVAPQAPVHFLVIPRKPIPRISQAEEDDQQLLGHLLLVAKK IAQAQGLKDGYRLVVNDGKMGAQSVYHLHIHVLGGRQLQWPPG >ENSMUSP00000095708.3 pep:known chromosome:GRCm38:4:43446462:43454627:-1 gene:ENSMUSG00000028459.11 transcript:ENSMUST00000098104.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd72 description:CD72 antigen [Source:MGI Symbol;Acc:MGI:88345] MADAITYADLRFVKVPLKNSASNHLGQDCEAYEDGELTYENVQVSPVPGGPPGLASPALA DKADLQVSRQFQEGTRIWEATNSSLQQQLREKISQLGQKEVELQKARKELISSQDTLQEK QRTHEDAEQQLQACQAERAKTKENLKTEEERRRDLDQRLTSTRETLRRFFSDSSDTCCPC GWIPYQERCFYISHTLGSLEESQKYCTSLSSKLAAFDEPSKYYYEVSLPSGLEELLDRSK SYWIQMSKKWRQDSDSQSRHCVRIKTYYQKWERTISKCAELHPCICESEAFRFPDGINLN >ENSMUSP00000103559.1 pep:known chromosome:GRCm38:4:43447724:43454563:-1 gene:ENSMUSG00000028459.11 transcript:ENSMUST00000107926.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd72 description:CD72 antigen [Source:MGI Symbol;Acc:MGI:88345] MADAITYADLRFVKVPLKNSASNHLGQDCEAYEDGELTYENVQVSPVPGGPPGLASPALA DKAGVGSEQPTATWSSVNSSALRQIPRCPTVCLQYFLLGLLVSCLMLGVAVICLGVRYLQ VSRQFQEGTRIWEATNSSLQQQLREKISQLGQKEVELQKARKELISSQDTLQEKQRTHED AEQQLQACQAERAKTKENLKTEEERRRDLDQRLTSTRETLRRFFSDSSDTCCPCGWIPYQ ERCFYISHTLGSLEESQKYCTSLSSKLAAFDEPSKYYYEVSLPSGLEELLDRSKSYWIQM SKKWRQDSDSQSRHCVRIKTYYQKWERTISKCAELHPCICESEAFRFPDGINLN >ENSMUSP00000103558.1 pep:known chromosome:GRCm38:4:43447724:43454584:-1 gene:ENSMUSG00000028459.11 transcript:ENSMUST00000107925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd72 description:CD72 antigen [Source:MGI Symbol;Acc:MGI:88345] MADAITYADLRFVKVPLKNSASNHLGQDCEAYEDGELTYENVQVSPVPGGPPGLASPALA DKAGVGSEQPTATWSSVNSSALRQIPRCPTVCLQYFLLGLLVSCLMLGVAVICLGVRYLQ VSRQFQEGTRIWEATNSSLQQQLREKISQLGQKEVELQKARKELISSQDTLQEKQRTHED AEQQLQACQAERAKTKENLKTEEERRRDLDQRLTSTRETLRRFFSDSSDTCCPCGWIPYQ ERCFYISHTLGSLEESQKYCTSLSSKLAAFDEPSKYYYEVSLPSGLEELLDRSKSYWIQM SKKWSRHCVRIKTYYQKWERTISKCAELHPCICESEAFRFPDGINLN >ENSMUSP00000030179.4 pep:known chromosome:GRCm38:4:43447724:43454628:-1 gene:ENSMUSG00000028459.11 transcript:ENSMUST00000030179.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd72 description:CD72 antigen [Source:MGI Symbol;Acc:MGI:88345] MADAITYADLRFVKVPLKNSASNHLGQDCEAYEDGELTYENVQVSPVPGGPPGLASPALA DKAGVGSEQPTATWSSVNSSALRQIPRCPTVCLQYFLLGLLVSCLMLGVAVICLGVRYLQ VSRQFQEGTRIWEATNSSLQQQLREKISQLGQKEVELQKARKELISSQDTLQEKQRTHED AEQQLQACQAERAKTKENLKTEEERRRDLDQRLTSTRETLRRFFSDSSDTCCPCGWIPYQ ERCFYISHTLGSLEESQKYCTSLSSKLAAFDEPSKYYYEYLSDAPQVSLPSGLEELLDRS KSYWIQMSKKWRQDSDSQSRHCVRIKTYYQKWERTISKCAELHPCICESEAFRFPDGINL N >ENSMUSP00000095709.3 pep:known chromosome:GRCm38:4:43447735:43454626:-1 gene:ENSMUSG00000028459.11 transcript:ENSMUST00000098105.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd72 description:CD72 antigen [Source:MGI Symbol;Acc:MGI:88345] MADAITYADLRFVKVPLKNSASNHLGQDCEAYEDGELTYENVQVSPVPGGPPGLASPALA DKAGPTVCLQYFLLGLLVSCLMLGVAVICLGVRYLQVSRQFQEGTRIWEATNSSLQQQLR EKISQLGQKEVELQKARKELISSQDTLQEKQRTHEDAEQQLQACQAERAKTKENLKTEEE RRRDLDQRLTSTRETLRRFFSDSSDTCCPCGWIPYQERCFYISHTLGSLEESQKYCTSLS SKLAAFDEPSKYYYEYLSDAPQVSLPSGLEELLDRSKSYWIQMSKKWRQDSDSQSRHCVR IKTYYQKWERTISKCAELHPCICESEAFRFPDGINLN >ENSMUSP00000056152.6 pep:known chromosome:GRCm38:X:10252305:10321024:1 gene:ENSMUSG00000031173.13 transcript:ENSMUST00000049910.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otc description:ornithine transcarbamylase [Source:MGI Symbol;Acc:MGI:97448] MLSNLRILLNNAALRKGHTSVVRHFWCGKPVQSQVQLKGRDLLTLKNFTGEEIQYMLWLS ADLKFRIKQKGEYLPLLQGKSLGMIFEKRSTRTRLSTETGFALLGGHPSFLTTQDIHLGV NESLTDTARVLSSMTDAVLARVYKQSDLDTLAKEASIPIVNGLSDLYHPIQILADYLTLQ EHYGSLKGLTLSWIGDGNNILHSIMMSAAKFGMHLQAATPKGYEPDPNIVKLAEQYAKEN GTKLSMTNDPLEAARGGNVLITDTWISMGQEDEKKKRLQAFQGYQVTMKTAKVAASDWTF LHCLPRKPEEVDDEVFYSPRSLVFPEAENRKWTIMAVMVSLLTDYSPVLQKPKF >ENSMUSP00000111190.2 pep:known chromosome:GRCm38:X:10252367:10316864:1 gene:ENSMUSG00000031173.13 transcript:ENSMUST00000115528.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otc description:ornithine transcarbamylase [Source:MGI Symbol;Acc:MGI:97448] MLSNLRILLNNAALRKGHTSVVRHFWCGKPVQSQVQLKGRDLLTLKNFTGEEIQYMLWLS ADLKFRIKQKGEYLPLLQGKSLGMIFEKRSTRTRLSTETGFALLGGHPSFLTTQDIHLGV NESLTDTARVLSSMTDAVLARVYKQSDLDTLAKEASIPIVNGLSDLYHPIQILADYLTLQ EHYGSLKGLTLSWIGDGNNILHSIMMSAAKFGMHLQAATPKGYEPDPNIVKLAEQYAKEN GTKLSMTNDPLEAARGGNVLITDTWISMGQEDEKKKRLQAFQGYQVTMKTAKVAASDWTF LHCLPRKPEEVDDEVFYSPRSLVFPEAENRKWTIMVSLRSHHAPCLGSLFL >ENSMUSP00000054300.5 pep:known chromosome:GRCm38:2:3424131:3464130:1 gene:ENSMUSG00000026648.18 transcript:ENSMUST00000061852.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1c description:DNA cross-link repair 1C [Source:MGI Symbol;Acc:MGI:2441769] MSSFQGQMAEYPTISIDRFDRENLKARAYFLSHCHKDHMKGLRAPSLKRRLECSLKVFLY CSPVTKELLLTSPKYRFWENRIITIEIETPTQISLVDEASGEKEEVVVTLLPAGHCPGSV MFLFQGSNGTVLYTGDFRLAKGEASRMELLHSGGRVKDIQSVYLDTTFCDPRFYQIPSRE QCLRGILELVRSWVTRSPHHVVWLNCKAAYGYEYLFTNLSEELGVQVHVDKLDMFKNMPD ILHHLTTDRNTQIHACRHPKAEECFQWNKLPCGITSQNKTALHTISIKPSTMWFGERTRK TNVIVRTGESSYRACFSFHSSFSEIKDFLSYICPVNVYPNVIPVGLTVDKVMDVLKPLCR SPQSVEPKYKPLGKLKRARTIHLDSEEDDDLFDDPLPTPLRHKVPYQLTLQPELFSMKAL PLDQPELRQSPGGCKAESVWSPSLANFIDCEESNSDSGEELETPPPSLQGGLGPSTLVQQ NADPDVDIPQWEVFFKRRDEITVDTMIRTPRPRKMKGCGQWSLKMLLQNLEIQEEKHIFE NRGWKMAGQVKGSCGLLEGQSSLPTFKLATSLASNSSFWPPWHLHSHAHTQIFTFKKKTK TLL >ENSMUSP00000110718.1 pep:known chromosome:GRCm38:2:3424165:3461103:1 gene:ENSMUSG00000026648.18 transcript:ENSMUST00000115066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1c description:DNA cross-link repair 1C [Source:MGI Symbol;Acc:MGI:2441769] MRLRVRRLQTGKRRSFQNGASALWRVKDIQSVYLDTTFCDPRFYQIPSREQCLRGILELV RSWVTRSPHHVVWLNCKAAYGYEYLFTNLSEELGVQVHVDKLDMFKNMPDILHHLTTDRN TQIHACRHPKAEECFQWNKLPCGITSQNKTALHTISIKPSTMWFGERTRKTNVIVRTGES SYRACFSFHSSFSEIKDFLSYICPVNVYPNVIPVGLTVDKVMDVLKPLCRSPQSVEPKYK PLGKLKRARTIHLDSEEDDDLFDDPLPTPLRHKVPYQLTLQPELFSMKALPLDQPELRQS PGGCKAESVWSPSLANFIDCEESNSDSGEELETPPPSLQGGLGPSTLVQQNADPDVDIPQ WEVFFKRRDEITVDTMIRTPRPRKMKGCGQWSLKMLLQNLEIQEEKHIFENRGWKMAGQV KGSCGLLEGQSSLPTFKLATSLASNSSFWPPWHLHSHAHTQIFTFKKKTKTLL >ENSMUSP00000100053.3 pep:known chromosome:GRCm38:2:3424150:3454092:1 gene:ENSMUSG00000026648.18 transcript:ENSMUST00000102988.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1c description:DNA cross-link repair 1C [Source:MGI Symbol;Acc:MGI:2441769] MSSFQGQMAEYPTISIDRFDRENLKARAYFLSHCHKDHMKGLRAPSLKRRLECSLKVFLY CSPVTKELLLTSPKYRFWENRIITIEIETPTQISLVDEASGEKEEVVVTLLPAGHCPGSV MFLFQGSNGTVLYTGDFRLAKGEASRMELLHSGGRVKDIQSVYLDTTFCDPRFYQIPSRE QCLRGILELVRSWVTRSPHHVVWLNCKAAYGYEYLFTNLSEELGVQVHVDKLDMFKNMPD ILHHLTTDRNTQIHACRHPKAEECFQWNKLPCGITSQNKTALHTISIKPSTMWFGERTRK TNVIVRTGESSYRACFSFHSSFSEIKDFLSYICPVNVYPNVIPVGLTVDKVMDVLKPLCR SPQSVEPKYKPLGKLKRARTIHLDSEEDDDLFDDPLPTPLRHKVPYQLTLQPELFSMKAL PLDQPELRQSPGGCKAESVWSPSLANFIDCEESNSDSGEELETPPPSLQGGLGPSTLVQQ NADPDVDIPQWEVFFKRRDEITGECLEHLPSSIETGGSQSPKLCSDSPKLCSDSPKLCSD SDGDSTHISSQNSSQSTHITDQGSQGWDSQCDTVLLSSQEKSGGDSTSLNKGAYKPKLKE SISASQIEQDALCPQDTHCDLKSRAEVNGAPCLVELDTLSGRKSPPEKTLLSSTRADSQS SSDFEIPSTPEAELPTPEHLQCLYRKLATGQSIVVEKRKCSLLDS >ENSMUSP00000116883.1 pep:known chromosome:GRCm38:2:3440829:3458921:1 gene:ENSMUSG00000026648.18 transcript:ENSMUST00000129657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1c description:DNA cross-link repair 1C [Source:MGI Symbol;Acc:MGI:2441769] XTQIHACRHPKAEECFQWNKLPCGITSQNKTALHTISIKPSTMWFGERTRKTNVIVRTGE SSYRACFSFHSSFSEIKDFLSYICPVNVYPNVIPVGLTVDKVMDVLKPLCRSPQSVEPKY KPLGKLKRARTIHLDSVDTMIRTPRPRKMKGCGQWSLKMLLQNLEIQEEKHIFENRGWKM AGQVKGSCGLLEGQSSLPTFKLATSLASNSSFWPPWHLHSHAHTQIF >ENSMUSP00000098031.3 pep:known chromosome:GRCm38:2:3424131:3461111:1 gene:ENSMUSG00000026648.18 transcript:ENSMUST00000100463.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dclre1c description:DNA cross-link repair 1C [Source:MGI Symbol;Acc:MGI:2441769] MSSFQGQMAEYPTISIDRFDRENLKARAYFLSHCHKDHMKGLRAPSLKRRLECSLKVFLY CSPVTKELLLTSPKYRFWENRIITIEIETPTQISLVDEASGEKEEVVVTLLPAGHCPGSV MFLFQGSNGTVLYTGDFRLAKGEASRMELLHSGGRVKDIQSVYLDTTFCDPRFYQIPSRE QCLRGILELVRSWVTRSPHHVVWLNCKAAYGYEYLFTNLSEELGVQVHVDKLDMFKNMPD ILHHLTTDRNTQIHACRHPKAEECFQWNKLPCGITSQNKTALHTISIKPSTMWFGERTRK TNVIVRTGESSYRACFSFHSSFSEIKDFLSYICPVNVYPNVIPVGLTVDKVMDVLKPLCR SPQSVEPKYKPLGKLKRARTIHLDSVDTMIRTPRPRKMKGCGQWSLKMLLQNLEIQEEKH IFENRGWKMAGQVKGSCGLLEGQSSLPTFKLATSLASNSSFWPPWHLHSHAHTQIFTFKK KTKTLL >ENSMUSP00000039692.6 pep:known chromosome:GRCm38:17:33824591:33838316:1 gene:ENSMUSG00000041881.12 transcript:ENSMUST00000048249.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa7 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 7 (B14.5a) [Source:MGI Symbol;Acc:MGI:1913666] MASATRVIQKLRNWASGQDLQAKLQLRYQEIAKRTQPPPKLPVGPSHKLSNNYYCTRDGR REVVPPSIIMSSQKALVSGKAAESSAMAATEKKAVTPAPPMKRWELSKDQPYL >ENSMUSP00000133882.1 pep:known chromosome:GRCm38:17:33824604:33838309:1 gene:ENSMUSG00000041881.12 transcript:ENSMUST00000173132.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufa7 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 7 (B14.5a) [Source:MGI Symbol;Acc:MGI:1913666] MASATRVIQKLRNWASGDPATSETPRGPQSQAVQQLLLYS >ENSMUSP00000091541.4 pep:known chromosome:GRCm38:11:77515121:77520045:1 gene:ENSMUSG00000000686.11 transcript:ENSMUST00000094004.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd15 description:abhydrolase domain containing 15 [Source:MGI Symbol;Acc:MGI:1914727] MPPWAAALALLLAALALLLLRPWKRAVGARTSVRDHEEQEVASGGPADQFSDRREALPGG CSLICKPSALAQCLLRALRRSAALEPSPRSWLSGPHLQTFCHFILPVGPGPELAREYLQL ADDGLVALDWVIGPCARGRRVTNPGSLPPVLLVIPNAWGRLTRNVLGLCLLALERGYYPV IFHRRGHHGCPLVSPRLQPFGDPSDLKEAVTYIRFRHPAAPLFAVSEGSGSALLLSYLGE CGSSSYVTGAACISPVLRCREWFEAGLPWPYERGFLLHQKISLSRYASALEDTVDTGKLF RSGSLREFEETLFCHTKSCPISWDTYWDLNDPLRDVDEAAVPVLCICSADDPVCGPPEHT LPAELFHSNPYFFLLLSHHGGHCGFLRPEPLPAWSHEVILESFRALTEFFRMEERMKGLS RRRTSFLGGRRRWGGLQKREVSPSSNLEEIFNWKRSYTR >ENSMUSP00000117776.1 pep:known chromosome:GRCm38:11:77515984:77538607:1 gene:ENSMUSG00000000686.11 transcript:ENSMUST00000145676.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd15 description:abhydrolase domain containing 15 [Source:MGI Symbol;Acc:MGI:1914727] XFEAGLPWPYERGFLLHQKISLSRVKCFNFSGLTLEQATYSFCAFILQSQHLFFNLSR >ENSMUSP00000116682.1 pep:known chromosome:GRCm38:11:77518618:77538605:1 gene:ENSMUSG00000000686.11 transcript:ENSMUST00000147386.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abhd15 description:abhydrolase domain containing 15 [Source:MGI Symbol;Acc:MGI:1914727] XRPEPLPAWSHEVILESFRALTEFFRMEERMKGLSRRRTSFLGGRRRWGGLQKREVSPSS NLEEIFNWKRSYTRVKCFNFSGLTLEQATYSFCAFILQSQHLFFNLSR >ENSMUSP00000043981.8 pep:known chromosome:GRCm38:7:15936183:15946074:-1 gene:ENSMUSG00000041560.12 transcript:ENSMUST00000044158.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gltscr2 description:glioma tumor suppressor candidate region gene 2 [Source:MGI Symbol;Acc:MGI:2154441] MAAGGNRDGEKRGSRSQADSGFLGLRPTSVDPALRRRRRGPRNKKRGWRRLAEEPLGLEV DQFLEDVRLQERTTGGLLAEAPNEKLFFVDTGFKRKEPRKKRTLVQKKSQRLQKPLRVDL ALENHSKIPAPKDILAHQVPNAKKLRRKEELWEKLAKQGELPRDVRKAQARLLSPPTPKA KPGPQDIIERPFYDLWNPDNPLDTPLIGQDAFFLEQTKKKGVRRPQRLHIKPSQVPAVEV IPAGASYNPTFEDHQALLREAHEVELQREKEAEKLERQLALPTSEQAATQESVFREMCEG LLEESDGEDEHEAGRAGQPEAGDGTTEISPTGAAGPEKRMEKKTEQQRRREKAARKLRVQ QAALRAARLQHQELFRLRGIKAQVARRLAELARRREQRRIRRLAEADKPRRLGRLKYQAP DIDVQLSSELSGSLRTLKPEGHILRDRFKSFQKRNMIEPRERAKFKRKYKVKLVEKRAYR EIQL >ENSMUSP00000143400.1 pep:known chromosome:GRCm38:7:15937838:15945801:-1 gene:ENSMUSG00000041560.12 transcript:ENSMUST00000198688.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gltscr2 description:glioma tumor suppressor candidate region gene 2 [Source:MGI Symbol;Acc:MGI:2154441] MCEGLLEESDGEDEHEAGRAGQPEAGDGTTEISPTGAAGPEKRMEKKTEQQRRREKAARK LRVQQAALRAARLQHQELFRLRGIKAQVARRLAELARRREQRRIRRLAEADKPRRLGRLK YQAPDIDVQLSSELSGSLRTLKPEGHILRDRFKSFQKRNMIEPRERAKFKRKYKVKLVEK RAYREIQL >ENSMUSP00000075832.1 pep:known chromosome:GRCm38:11:51006974:51007897:1 gene:ENSMUSG00000060918.1 transcript:ENSMUST00000076514.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr51 description:olfactory receptor 51 [Source:MGI Symbol;Acc:MGI:1333747] MDGDNQTIVTEFILLGLTRQSEKEEVVFGLFLWMYLVTISGNLLIILAISCDPHLHTPMY FFLANLSSVDISAPSVIVPKALVNHMLGSKSISYTGCMTQIYFFITFSNMDGFLLSVMAY DRYVAICHPLHYTMMMRPRLCVLLVAISWAITNLHALLHTLLMVRLTFCSHNAVHHFFCD PYPILKLSCSDTFINDLMVFTIGGLVFMTPFTCIIVSYAYIFSKVLKLKSAHGIRKALST CGSHLTVVSLFYGAILGIYMHPSSTYTVQDTVATVIFTVVTPMVNPFIYSLRNRDIKGAL RKIILRS >ENSMUSP00000086748.2 pep:known chromosome:GRCm38:6:91978878:92076004:1 gene:ENSMUSG00000034037.14 transcript:ENSMUST00000089334.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd5 description:FYVE, RhoGEF and PH domain containing 5 [Source:MGI Symbol;Acc:MGI:2443369] MHRADSPKPPLAPKPKVATNPYAPAAKFPPSQRPDSFPSPNSMSRGPKPPIAPKPRLTGP SEYLNNSLGKCSNGRLLCEDRGLYDGHHSTLNCLELEPDEQYIMVPRAPQKEDTPVDGAT EEPGFEGEVQEHGTEQTGTEGDLEAPDEEAPSRDSEEGMVHALEDEDCDHDPETDGTPTS PDEGAPSRDSEEGEEDCDQGPGMEEHPMSEEEGEEEEVKEHVYNSDNRAPWDGEEPFPNE VILTHVRSQSPEVPCWEPGPPETPGEAEEDCEDICNNTEPGKPNQDTGQDTEDAGMGSPE SEVSPDVQEQEAATDNPEVFEEDSADAAEGEDQIEQEEPPNCDEEAYNRDAAAATMQVGE DLGEEGDHVQEDPAEESCQIIPFESDSVEEDFSPTLTENPYEIFPTESTSFCNNTYSLDE SANGHEPVCEICVEEVPGVGPPLNQHDSLPDGSGEDSPVVPDVVVVPENEGPVDDALSSP YVMGVGLLSLGEGAQSDTQAASGTLSGYSTWEEGDSEGGQVPVDRKNIATRARPHSGKVA GHVPETVLEETGPETCSSGMGIRDTSDEVRKIGILPEGKPPECVRALPAKPRAFTLYPRS FSVEGRESPLSMFREPEGAGLDSHRVRRKEDNLSLPGAIGSSGSFSQRSHLPSSGTSTPS SVVDIPPPFDLACITKKPITKSSPSLLIDGDTLEKASKKKKSSFKRFLELTFRKKTESKV HVDMNLSSSRSSSESSYHGPARVLELDRRSLSNSPQLKCRTGKLRASDSPAALIFYRDSK RKGVPFSRTVSRVESFEDRSRPPFLPLPLTKPRSISFPNADTSDYENIPAMNSDYENIQI PPRRPVRTGTFTKLFEEQSRALSTANENDGYVDMSSFNAFESKQQSSEQEAESAYTEPYK VCPISAAPREDLTSDEEQGSSEEEDSASRDPSLSHKGEGQSRALVIAQELLSSEKAYVQM LQHLSLDFHGAVLRALENVEQEGREPLAQEELRQGLRELPAICDLHQGILESLEQRLGDC GEGQPQVADIFLAQEQEFEHHAAHILQFDRYLGLLAESCLLSPRLATTVREFEQSSQGGG QSMKHRMLRVVQRLFQYQVLLTDYLNNLCPDSAEYDNTQSALTLISKVTDRANESMEQGE NLQKLVHIEYSVRGQGDLLQPGREFLKEGTLMRVRGKSRHPRHLFLMNDTLLYTHPQKDG KYRLKSSLPVANMKVSRPVMDKVPYALKIETPESCLTLSASSCAERDEWHYCLSRALPED YKTQALAAFHHSVEIRERLGISLGERLPTLVPVTHAMMCMNCGCDFSLTVRRHHCHACGK IVCRNCSRNKYPLKCLKNRMAKVCDGCFRELKLRNGPVPGSMRERPVSMSFPLSSSRFSS GSALSSVFQSISPSTFKKQKKVPSALSEVAASGEGSAISGYLSRCKSGKRRWKKLWLVIK GKVLYTYLASEDKVAMESIPLLGFTIAPEKEEGSSEVGPVFHLYHKKTLFYSFKAEDSNS AQRWMEAMEDASVL >ENSMUSP00000109093.1 pep:known chromosome:GRCm38:6:91987110:92076004:1 gene:ENSMUSG00000034037.14 transcript:ENSMUST00000113466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgd5 description:FYVE, RhoGEF and PH domain containing 5 [Source:MGI Symbol;Acc:MGI:2443369] MVHALEDEDCDHDPETDGTPTSPDEGAPSRDSEEGEEDCDQGPGMEEHPMSEEEGEEEEV KEHVYNSDNRAPWDGEEPFPNEVILTHVRSQSPEVPCWEPGPPETPGEAEEDCEDICNNT EPGKPNQDTGQDTEDAGMGSPESEVSPDVQEQEAATDNPEVFEEDSADAAEGEDQIEQEE PPNCDEEAYNRDAAAATMQVGEDLGEEGDHVQEDPAEESCQIIPFESDSVEEDFSPTLTE NPYEIFPTESTSFCNNTYSLDESANGHEPVCEICVEEVPGVGPPLNQHDSLPDGSGEDSP VVPDVVVVPENEGPVDDALSSPYVMGVGLLSLGEGAQSDTQAASGTLSGYSTWEEGDSEG GQVPVDRKNIATRARPHSGKVAGHVPETVLEETGPETCSSGMGIRDTSDEVRKIGILPEG KPPECVRALPAKPRAFTLYPRSFSVEGRESPLSMFREPEGAGLDSHRVRRKEDNLSLPGA IGSSGSFSQRSHLPSSGTSTPSSVVDIPPPFDLACITKKPITKSSPSLLIDGDTLEKASK KKKSSFKRFLELTFRKKTESKVHVDMNLSSSRSSSESSYHGPARVLELDRRSLSNSPQLK CRTGKLRASDSPAALIFYRDSKRKGVPFSRTVSRVESFEDRSRPPFLPLPLTKPRSISFP NADTSDYENIPAMNSDYENIQIPPRRPVRTGTFTKLFEEQSRALSTANENDGYVDMSSFN AFESKQQSSEQEAESAYTEPYKVCPISAAPREDLTSDEEQGSSEEEDSASRDPSLSHKGE GQSRALVIAQELLSSEKAYVQMLQHLSLDFHGAVLRALENVEQEGREPLAQEELRQGLRE LPAICDLHQGILESLEQRLGDCGEGQPQVADIFLAQEQEFEHHAAHILQFDRYLGLLAES CLLSPRLATTVREFEQSSQGGGQSMKHRMLRVVQRLFQYQVLLTDYLNNLCPDSAEYDNT QSALTLISKVTDRANESMEQGENLQKLVHIEYSVRGQGDLLQPGREFLKEGTLMRVRGKS RHPRHLFLMNDTLLYTHPQKDGKYRLKSSLPVANMKVSRPVMDKVPYALKIETPESCLTL SASSCAERDEWHYCLSRALPEDYKTQALAAFHHSVEIRERLGISLGERLPTLVPVTHAMM CMNCGCDFSLTVRRHHCHACGKIVCRNCSRNKYPLKCLKNRMAKVCDGCFRELKLRNGPV PGSMRERPVSMSFPLSSSRFSSGSALSSVFQSISPSTFKKQKKVPSALSEVAASGEGSAI SGYLSRCKSGKRRWKKLWLVIKGKVLYTYLASEDKVAMESIPLLGFTIAPEKEEGSSEVG PVFHLYHKKTLFYSFKAEDSNSAQRWMEAMEDASVL >ENSMUSP00000134332.1 pep:known chromosome:GRCm38:17:34837019:34838648:1 gene:ENSMUSG00000040482.15 transcript:ENSMUST00000173874.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dxo description:decapping exoribonuclease [Source:MGI Symbol;Acc:MGI:1890444] MEPRGTKRKAEKTEVEKPLHKLPRAVPLLRTQPSLYSGPFPFYRRPSELGCFSLDAQRQY HGDARALRYYSPPPINGPGPDFDLRDGYPDRYQPRDEEVQERLDHLLRWVLEHRNQLEGG PGWLAGATVTWRGHLTKLLTTPYERQEGWQLAASRFQGTLYLSEVETPAARAQRLARPPL LRELMYMGYKFEQYMCADKPGGSPDPSGEVNTNVAYCSVLRSRLGNHPLLFSGEVDCLNP QAPCTQPPSCYVELKTSKEMHSPGQWRSFYRHKLLKWWAQSFLPGVPHVVAGFRNPEGFV CSLK >ENSMUSP00000047018.8 pep:known chromosome:GRCm38:17:34837019:34839233:1 gene:ENSMUSG00000040482.15 transcript:ENSMUST00000046244.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dxo description:decapping exoribonuclease [Source:MGI Symbol;Acc:MGI:1890444] MEPRGTKRKAEKTEVEKPLHKLPRAVPLLRTQPSLYSGPFPFYRRPSELGCFSLDAQRQY HGDARALRYYSPPPINGPGPDFDLRDGYPDRYQPRDEEVQERLDHLLRWVLEHRNQLEGG PGWLAGATVTWRGHLTKLLTTPYERQEGWQLAASRFQGTLYLSEVETPAARAQRLARPPL LRELMYMGYKFEQYMCADKPGGSPDPSGEVNTNVAYCSVLRSRLGNHPLLFSGEVDCLNP QAPCTQPPSCYVELKTSKEMHSPGQWRSFYRHKLLKWWAQSFLPGVPHVVAGFRNPEGFV CSLKTFPTMEMFENVRNDREGWNPSVCMNFCAAFLSFAQSTVVQDDPRLVHLFSWEPGGP VTVSVHRDAPYAFLPSWYVETMTQDLPPLSKTPSPKD >ENSMUSP00000134583.1 pep:known chromosome:GRCm38:17:34837248:34837977:1 gene:ENSMUSG00000040482.15 transcript:ENSMUST00000173995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dxo description:decapping exoribonuclease [Source:MGI Symbol;Acc:MGI:1890444] MEPRGTKRKAEKTEVEKPLHKLPRAVPLLRTQPSLYSGPFPFYRRPSELGCFSLDAQRQY HGDARALRYYSPPPINGPGPDFDLRDGYPDRYQPRDEEVQERLDHLLRWVLEHRNQLEGG PGWLAGATVTWRGHLTKLLTTPYE >ENSMUSP00000133587.1 pep:known chromosome:GRCm38:17:34837399:34839137:1 gene:ENSMUSG00000040482.15 transcript:ENSMUST00000174092.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dxo description:decapping exoribonuclease [Source:MGI Symbol;Acc:MGI:1890444] MEPRGTKRKAEKTEVEKPLHKLPRAVPLLRTQPSLYSGPFPFYRRPSELGCFSLDAQRQY HGDARALRYYSPPPINGPGPDFDLRDGYPDRYQPRDEEVQERLDHLLRWVLEHRNQLEGH KLLKWWAQSFLPGVPHVVAGFRNPEGFVCSLKTFPTMEMFENVRNDREGWNPSVCMNFCA AFLSFAQSTVVQDDPRLVHLFSWEPGGPVTVSVHRDAPYAFLPSWYVETMTQDLPPLSKT PSPKD >ENSMUSP00000134164.1 pep:known chromosome:GRCm38:17:34837657:34839138:1 gene:ENSMUSG00000040482.15 transcript:ENSMUST00000173063.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dxo description:decapping exoribonuclease [Source:MGI Symbol;Acc:MGI:1890444] GYPDRYQPRDEEVQERLDHLLRWVLEHRNQLEGQTRRLPRPLWGS >ENSMUSP00000134653.1 pep:known chromosome:GRCm38:17:34837993:34838683:1 gene:ENSMUSG00000040482.15 transcript:ENSMUST00000174684.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dxo description:decapping exoribonuclease [Source:MGI Symbol;Acc:MGI:1890444] XLAASRFQGTLYLSEVETPAARAQRLARPPLLRELMYMGYKFEQYMCAAAWETTLSCSPG R >ENSMUSP00000133448.1 pep:known chromosome:GRCm38:17:34838295:34839137:1 gene:ENSMUSG00000040482.15 transcript:ENSMUST00000174569.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dxo description:decapping exoribonuclease [Source:MGI Symbol;Acc:MGI:1890444] XSRLGNHPLLFSGEVDCLNPQAPCTQPPSCYVELKTSKEMHSPGQWRSFYRHKLLKWWAQ FRLFLKDLSYHGDV >ENSMUSP00000133376.1 pep:known chromosome:GRCm38:17:34838324:34839137:1 gene:ENSMUSG00000040482.15 transcript:ENSMUST00000172612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dxo description:decapping exoribonuclease [Source:MGI Symbol;Acc:MGI:1890444] FSGEVDCLNPQAPCTQPPSCYVELKTSKEMHSPGQWRSFYRHKLLKWWAQSFLPGVPHVV AGFRNPEGFVCSLKTFPTMEMFENVRNDREGWNPSVCMNFCAAFLSFAQSTVVQDDPR >ENSMUSP00000134052.1 pep:known chromosome:GRCm38:17:34838444:34839123:1 gene:ENSMUSG00000040482.15 transcript:ENSMUST00000173768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dxo description:decapping exoribonuclease [Source:MGI Symbol;Acc:MGI:1890444] RHKLLKWWAQSFLPGVPHVVAGFRNPEGFVCSLKTFPTMEMFENVRVRQVSEWPSVSPPP VPSPQTQCPFATCLFLFPPPVFCLLCPLQNDREGWNPSVCMNFCAAFLSFAQSTVVQDDP RLVHLFSWEPGGPVTVSVHRDAPYAFLPSWYVETMTQDLPPLSKTP >ENSMUSP00000137234.1 pep:known chromosome:GRCm38:17:34837019:34839186:1 gene:ENSMUSG00000040482.15 transcript:ENSMUST00000180043.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dxo description:decapping exoribonuclease [Source:MGI Symbol;Acc:MGI:1890444] MEPRGTKRKAEKTEVEKPLHKLPRAVPLLRTQPSLYSGPFPFYRRPSELGCFSLDAQRQY HGDARALRYYSPPPINGPGPDFDLRDGYPDRYQPRDEEVQERLDHLLRWVLEHRNQLEGG PGWLAGATVTWRGHLTKLLTTPYERQEGWQLAASRFQGTLYLSEVETPAARAQRLARPPL LRELMYMGYKFEQYMCADKPGGSPDPSGEVNTNVAYCSVLRSRLGNHPLLFSGEVDCLNP QAPCTQPPSCYVELKTSKEMHSPGQWRSFYRHKLLKWWAQSFLPGVPHVVAGFRNPEGFV CSLKTFPTMEMFENVRNDREGWNPSVCMNFCAAFLSFAQSTVVQDDPRLVHLFSWEPGGP VTVSVHRDAPYAFLPSWYVETMTQDLPPLSKTPSPKD >ENSMUSP00000092779.4 pep:known chromosome:GRCm38:14:59347407:59365470:-1 gene:ENSMUSG00000068245.14 transcript:ENSMUST00000095157.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf11d description:PHD finger protein 11D [Source:MGI Symbol;Acc:MGI:1277133] MAQETAPPCGPVSRGDSPIIEKMEKRTCALCPEGHEWSQIYFSPSGNIVAHENCLLYSSG LVECETLDLRNTIRNFDVKSVKKEIWRGRRLKCSFCNKGGATVGCDLWFCKKSYHYVCAK KDQAILQVDGNHGTYKLFCPEHSPEQEEATESADDPSMKKKRGKNKRLSSGPPAQPKTMK CSNAKRHMTEEPHGHTDAAVKSPFLKKCQEAGLLTELFEHILENMDSVHGRLVDETASES DYEGIETLLFDCGLFKDTLRKFQEVIKSKACEWEERQRQMKQQLEALADLQQSLCSFQEN GDLDCSSSTSGSLLPPEDHQ >ENSMUSP00000124578.1 pep:known chromosome:GRCm38:14:59348732:59365444:-1 gene:ENSMUSG00000068245.14 transcript:ENSMUST00000160425.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf11d description:PHD finger protein 11D [Source:MGI Symbol;Acc:MGI:1277133] MAQETAPPCGPVSRGDSPIIEKMEKRTCALCPEGHEWSQIYFSPSGNIVAHENCLLYSSG LVECETLDLRNTIRNFDVKSVKKEIWRGRRLKCSFCNKGGATVGCDLWFCKKSYHYVCAK KDQAILQVDGNHGTYKLFCPEHSPEQEEATESADDPSMKKKRGKNKRLSSGPPAQPKTMK CSNAKRHMTEEPHGHTVIKSKACEWEERQRQMKQQLEALADLQQSLCSFQENGDLDCSSS TSGSLLPPEDHQVRSQESPEVQAGSGDSL >ENSMUSP00000125181.1 pep:known chromosome:GRCm38:14:59349053:59365410:-1 gene:ENSMUSG00000068245.14 transcript:ENSMUST00000161031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf11d description:PHD finger protein 11D [Source:MGI Symbol;Acc:MGI:1277133] MAQETAPPCGPVSRGDSPIIEKMEKRTCALCPEGHEWSQIYFSPSGNIVAHENCLLYSSG LVECETLDLRNTIRNFDVKSVKKEIWRGRRLKCSFCNKGGATVGCDLWFCKKSYHYVCAK KDQAILQVDGNHGTYKLFCPEHSPEQEEATESADDPSMKKKRGKNKRLSSGPPAQPKTMK CSNAKRHMTEEPHGHTDAAVKSPFLKKCQEAGLLTELFEHILENMDSVHGRLVDETASES DYEGIETLLFDCGLFKDTLRKFQEVIKSKACEWEERQRQMKQQLEALADLQQSLCSFQEN GDLDCSSSTSGSLLPPEDHQVRSQESPEVQAGSGDSL >ENSMUSP00000032261.6 pep:known chromosome:GRCm38:6:129375515:129385503:-1 gene:ENSMUSG00000030158.12 transcript:ENSMUST00000032261.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec12b description:C-type lectin domain family 12, member B [Source:MGI Symbol;Acc:MGI:1918433] MSDEVTYATLMLQDSARVRGNRDGNNLRKEGHPAQSSLWRGAALSLMTLCLVLVTGLVTL ATMFLQVSNDINSDSEKLSQLQKSIHPQQDNLSESLNSSRKSLTEESLQSQISALLERQE QMATKLCKEFLIHASDHKCNPCPKTWQWYGNSCYYFSINEEKSWSDSRKDCIDKNATLVK IDSTEERDLLQSQLSLTFSFFWLGLSWNSSGRNWLWEDGSFPPPTLLSDKELASFNGSRE CAYFERGNIYTSRCRAEIPWICEKRASLVKIEDLD >ENSMUSP00000107713.3 pep:known chromosome:GRCm38:6:129375787:129385874:-1 gene:ENSMUSG00000030158.12 transcript:ENSMUST00000112082.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec12b description:C-type lectin domain family 12, member B [Source:MGI Symbol;Acc:MGI:1918433] MSDEVTYATLMLQDSARVRGNRDGNNLRKEGHPAQSSLWRGAALSLMTLCLVLVTGLVTL ATMFLQVSNDINSDSEKLSQLQKSIHPQQDNLSESLNSSRKSLTEESLQSQISALLERQE QMATKLCKEFLIHASDHKCNPCPKTWQWYGNSCYYFSINEEKSWSDSRKDCIDKNATLVK IDSTEERDLLQSQLSLTFSFFWLGLSWNSSGRNWLWEDGSFPPPTLLSDKELASFNGSRE CAYFERGNIYTSRCRAEIPWICEKRASLVKIEDLD >ENSMUSP00000032477.4 pep:known chromosome:GRCm38:6:122874474:122888941:1 gene:ENSMUSG00000030327.8 transcript:ENSMUST00000032477.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Necap1 description:NECAP endocytosis associated 1 [Source:MGI Symbol;Acc:MGI:1914852] MAAELEYESVLCVKPDVSVYRIPPRASNRGYRASDWKLDQPDWTGRLRITSKGKIAYIKL EDKVSGELFAQAPVEQYPGIAVETVTDSSRYFVIRIQDGTGRSAFIGIGFTDRGDAFDFN VSLQDHFKWVKQETEISKESQEMDNRPKLDLGFKEGQTIKLSIGNITAKKGGASKPRASG TGGLSLLPPPPGGKVTIPPPSSSVAISNHVTPPPIPKSNHGGNDSDILLDLDSPAPVSTS APAPVSTSNDLWGDFSTASSSVPNQAPQPSNWVQF >ENSMUSP00000072016.1 pep:known chromosome:GRCm38:11:51027004:51027945:1 gene:ENSMUSG00000059397.1 transcript:ENSMUST00000072152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr54 description:olfactory receptor 54 [Source:MGI Symbol;Acc:MGI:1333750] MSKGRENETGVSEFLLLGITNDPQQQQILFWAFLCMYLVTVAGNTLIFLAIISDPRLHTP MYFFLANLSFVDVCFTTNLIPRLLAGHVAGTRTISYVHCLTQTYFLISFANVDTFLLAAM ALDRFVAICYPLQYHTIITPQLCVGLAAVVWMCSALISLMHTLLMSRLSFCSSIPEISHF YCDAYLLMKLACSDTRVNQLVFLGAVVLFVAPCILIVVSYVRITMVVLQIPSAKGRHKTF STCSSHLSVVTLFYGTVLGIYIRPPDSFSTQDTVATIMYTVVTPMLNPFIYSLRNKDMKE SVTRLLNRGSKSS >ENSMUSP00000057592.4 pep:known chromosome:GRCm38:11:77508099:77513370:-1 gene:ENSMUSG00000044328.13 transcript:ENSMUST00000060417.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53i13 description:transformation related protein 53 inducible protein 13 [Source:MGI Symbol;Acc:MGI:1915125] MVHPPPPPPRLLLVALVGLLSLREVVAEPAEEAGTPCPEGLWPVPPQVLPRVTYTQVSQG QAEGIAFFYHPCAHPWLKLQLALLAHLYVAKPTLIPDFSLTWDRPLVLTAWGTALELAWI EPAWVAHWLKRQRRRKQRKSVWFLSDNLFGPTPTMPASRRGKLCGRRCVQAPTLAFALRS WRPPGAQVTSRGSGRSSISVVKRRGLRAALGLQSTPPGLRVSLASSQSLKAQQLTLGTSS VAPVSLTTGGPGGNGRSRTEAQMPSGQGNHGGCACPGQVSPAPRAAGPPRVARGPTPRTE EAAWAAMALTFLLVLLTLATLCTRLHRNFRRSESIYWGPTADSQDTVAALLKRRLPLPSR RIKRSRRRPLLPPTPDSGPDSESSD >ENSMUSP00000122898.1 pep:known chromosome:GRCm38:11:77509101:77515731:-1 gene:ENSMUSG00000044328.13 transcript:ENSMUST00000136101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp53i13 description:transformation related protein 53 inducible protein 13 [Source:MGI Symbol;Acc:MGI:1915125] XVAALQGEQAEPQYIASEASPCIGDYQQHWREAPRVGDPAAPGTRSYDPIQSHQPIICQL QVVAEPAEEAGTPCPEGLWPVPPQVLPRVTYTQVSQGQAEGIAFFYHPCAHPWLKLQLAL LAHLYVAKPTLIPDFSLTWDRPLVLTAWGTALELAWIEPAWVAHWLKRQRRRKQRKSVWF LSDNLFGPTPTMPASRRG >ENSMUSP00000133806.1 pep:known chromosome:GRCm38:13:9276528:9668928:1 gene:ENSMUSG00000048264.15 transcript:ENSMUST00000174552.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dip2c description:disco interacting protein 2 homolog C [Source:MGI Symbol;Acc:MGI:1920179] MADRSLEGMALPLEVRARLAELELELSEGDITQKGYEKKRSKLIGAYLPQPPRVDQALPQ ERRAPVTPSSASRYHRRRSSGSRDERYRSDVHTEAVQAALAKHKERKMAVPMPSKRRSLV VQTSMDAYTPPDTSSGSEDEGSVQGDSQGTPTSSQGSINMEHWISQAIHGSTTSTTSSSS TQSGGSGAAHRLADVMAQTHIENHSAPPDVTTYTSEHSIQVERPQGSTTSRTAPKYGNAE LMETGDGVPVSSRVSAKIQQLVNTLKRPKRPPLREFFVDDFEELLEVQQPDPNQPKPEGA QMLATRGEQLGVVTNWPPSLEAALQRWGTISPKAPCLTTMDTNGKPLYILTYGKLWTRSM KVAYNILHKLGTKQEPMVRPGDRVALVFPNNDPAAFMVAFYGCLLAEVVPVPIEVPLTRK DAGSQQIGFLLGSCGVTVALTSDACHKGLPKSPTGEIPQFKGWPKLLWFVTESKHLSKPP RDWFPHIKDANNDTAYIEYKTCKDGSVLGVTVTRIALLTHCQALTQACGYTEAETIVNVL DFKKDVGLWHGILTSVMNMMHVISIPYSLMKVNPLSWIQKVCQYKAKVACVKSRDMHWAL VAHRDQRDVNLSSLRMLIVADGANPWSISSCDAFLNVFQSKGLRQEVICPCASSPEALTV AIRRPTDDSNQPPGRGVLSMHGLTYGVIRVDSEEKLSVLTVQDVGLVMPGAIMCSVKPDG IPQLCRTDEIGELCVCAVATGTSYYGLSGMTKNTFEVFPMTSSGAPISEYPFIRTGLLGF VGPGGLVFVVGKMDGLMVVSGRRHNADDIVATALAVEPMKFVYRGRIAVFSVTVLHDERI VIVAEQRPDSTEEDSFQWMSRVLQAIDSIHQVGVYCLALVPANTLPKTPLGGIHLSETKQ LFLEGSLHPCNVLMCPHTCVTNLPKPRQKQPEIGPASVMVGNLVSGKRIAQASGRDLGQI EDNDQARKFLFLSEVLQWRAQTTPDHLLYTLLNCRGTIANSLTCVQLHKRAEKIAVMLME RGHLQDGDHVALVYPPGIDLIAAFYGCLYAGCVPITVRPPHPQNIATTLPTVKMIVEVSR SACLMTTQLICKLLRSREAAAAVDVRTWPLILDTDDLPKKRPAQIYKPSNPDTLAYLDFS VSTTGMLAGVKMSHAATSAFCRSIKLQCELYPSREVAICLDPYCGLGFVLWCLCSVYSGH QSILIPPSELETNPALWLLAVSQYKVRDTFCSYSVMELCTKGLGSQTESLKARGLDLSRV RTCVVVAEERPRIALTQSFSKLFKDLGLHPRAVSTSFGCRVNLAICLQGTSGPDPTTVYV DMRALRHDRVRLVERGSPHSLPLMESGKILPGVRIIIANPETKGPLGDSHLGEIWVHSAH NASGYFTIYGDESLQSDHFNSRLSFGDTQTIWARTGYLGFLRRTELTDANGERHDALYVV GALDEAMELRGMRYHPIDIETSVIRAHKSVTECAVFTWTNLLVVVVELDGSEQEALDLVP LVTNVVLEEHYLIVGVVVVVDIGVIPINSRGEKQRMHLRDGFLADQLDPIYVAYNM >ENSMUSP00000131238.2 pep:known chromosome:GRCm38:13:9276933:9666178:1 gene:ENSMUSG00000048264.15 transcript:ENSMUST00000169960.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dip2c description:disco interacting protein 2 homolog C [Source:MGI Symbol;Acc:MGI:1920179] MADRSLEGMALPLEVRARLAELELELSEGDITQKGYEKKRSKLIGAYLPQPPTANGAAVV RCRLQPGEGVPRRPFRSAHIGVCDIREAAARERAASAAGNRPLFYFRFGVDQALPQERRA PVTPSSASRYHRRRSSGSRDERYRSDVHTEAVQAALAKHKERKMAVPMPSKRRSLVVQTS MDAYTPPDTSSGSEDEGSVQGDSQGTPTSSQGSINMEHWISQAIHGSTTSTTSSSSTQSG GSGAAHRLADVMAQTHIENHSAPPDVTTYTSEHSIQVERPQGSTTSRTAPKYGNAELMET GDGVPVSSRVSAKIQQLVNTLKRPKRPPLREFFVDDFEELLEVQQPDPNQPKPEGAQMLA TRGEQLGVVTNWPPSLEAALQRWGTISPKAPCLTTMDTNGKPLYILTYGKLWTRSMKVAY NILHKLGTKQEPMVRPGDRVALVFPNNDPAAFMVAFYGCLLAEVVPVPIEVPLTRKDAGS QQIGFLLGSCGVTVALTSDACHKGLPKSPTGEIPQFKGWPKLLWFVTESKHLSKPPRDWF PHIKDANNDTAYIEYKTCKDGSVLGVTVTRIALLTHCQALTQACGYTEAETIVNVLDFKK DVGLWHGILTSKGLRQEVICPCASSPEALTVAIRRPTDDSNQPPGRGVLSMHGLTYGVIR VDSEEKLSVLTVQDVGLVMPGAIMCSVKPDGIPQLCRTDEIGELCVCAVATGTSYYGLSG MTKNTFEVFPMTSSGAPISEYPFIRTGLLGFVGPGGLVFVVGKMDGLMVVSGRRHNADDI VATALAVEPMKFVYRGRIAVFSVTVLHDERIVIVAEQRPDSTEEDSFQWMSRVLQAIDSI HQVGVYCLALVPANTLPKTPLGGIHLSETKQLFLEGSLHPCNVLMCPHTCVTNLPKPRQK QPEIGPASVMVGNLVSGKRIAQASGRDLGQIEDNDQARKFLFLSEVLQWRAQTTPDHLLY TLLNCRGTIANSLTCVQLHKRAEKIAVMLMERGHLQDGDHVALVYPPGIDLIAAFYGCLY AGCVPITVRPPHPQNIATTLPTVKMIVEVSRSACLMTTQLICKLLRSREAAAAVDVRTWP LILDTDDLPKKRPAQIYKPSNPDTLAYLDFSVSTTGMLAGVKMSHAATSAFCRSIKLQCE LYPSREVAICLDPYCGLGFVLWCLCSVYSGHQSILIPPSELETNPALWLLAVSQYKVRDT FCSYSVMELCTKGLGSQTESLKARGLDLSRVRTCVVVAEERPRIALTQSFSKLFKDLGLH PRAVSTSFGCRVNLAICLQGTSGPDPTTVYVDMRALRHDRVRLVERGSPHSLPLMESGKI LPGVRIIIANPETKGPLGDSHLGEIWVHSAHNASGYFTIYGDESLQSDHFNSRLSFGDTQ TIWARTGYLGFLRRTELTDANGERHDALYVVGALDEAMELRGMRYHPIDIETSVIRAHKS VTECAVFTWTNLLVVVVELDGSEQEALDLVPLVTNVVLEEHYLIVGVVVVVDIGVIPINS RGEKQRMHLRDGFLADQLDPIYVAYNM >ENSMUSP00000126827.1 pep:known chromosome:GRCm38:13:9276982:9666178:1 gene:ENSMUSG00000048264.15 transcript:ENSMUST00000166299.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dip2c description:disco interacting protein 2 homolog C [Source:MGI Symbol;Acc:MGI:1920179] MADRSLEGMALPLEVRARLAELELELSEGDITQKGYEKKRSKLIGAYLPQPPRVDQALPQ ERRAPVTPSSASRYHRRRSSGSRDERYRSDVHTEAVQAALAKHKERKMAVPMPSKRRSLV VQTSMDAYTPPDTSSGSEDEGSVQGDSQGTPTSSQGSINMEHWISQAIHGSTTSTTSSSS TQSGGSGAAHRLADVMAQTHIENHSAPPDVTTYTSEHSIQVERPQGSTTSRTAPKYGNAE LMETGDGVPVSSRVSAKIQQLVNTLKRPKRPPLREFFVDDFEELLEVQQPDPNQPKPEGA QMLATRGEQLGVVTNWPPSLEAALQRWGTISPKAPCLTTMDTNGKPLYILTYGKLWTRSM KVAYNILHKLGTKQEPMVRPGDRVALVFPNNDPAAFMVAFYGCLLAEVVPVPIEVPLTRK DAGSQQIGFLLGSCGVTVALTSDACHKGLPKSPTGEIPQFKGWPKLLWFVTESKHLSKPP RDWFPHIKDANNDTAYIEYKTCKDGSVLGVTVTRIALLTHCQALTQACGYTEAETIVNVL DFKKDVGLWHGILTSVMNMMHVISIPYSLMKVNPLSWIQKVCQYKAKVACVKSRDMHWAL VAHRDQRDVNLSSLRMLIVADGANPWSISSCDAFLNVFQSKGLRQEVICPCASSPEALTV AIRRRGYGRLGNHSGRGVLSMHGLTYGVIRVDSEEKLSVLTVQDVGLVMPGAIMCSVKPD GIPQLCRTDEIGELCVCAVATGTSYYGLSGMTKNTFEVFPMTSSGAPISEYPFIRTGLLG FVGPGGLVFVVGKMDGLMVVSGRRHNADDIVATALAVEPMKFVYRGRIAVFSVTVLHDER IVIVAEQRPDSTEEDSFQWMSRVLQAIDSIHQVGVYCLALVPANTLPKTPLGGIHLSETK QLFLEGSLHPCNVLMCPHTCVTNLPKPRQKQPEIGPASVMVGNLVSGKRIAQASGRDLGQ IEDNDQARKFLFLSEVLQWRAQTTPDHLLYTLLNCRGTIANSLTCVQLHKRAEKIAVMLM ERGHLQDGDHVALVYPPGIDLIAAFYGCLYAGCVPITVRPPHPQNIATTLPTVKMIVEVS RSACLMTTQLICKLLRSREAAAAVDVRTWPLILDTDDLPKKRPAQIYKPSNPDTLAYLDF SVSTTGMLAGVKMSHAATSAFCRSIKLQCELYPSREVAICLDPYCGLGFVLWCLCSVYSG HQSILIPPSELETNPALWLLAVSQYKVRDTFCSYSVMELCTKGLGSQTESLKARGLDLSR VRTCVVVAEERPRIALTQSFSKLFKDLGLHPRAVSTSFGCRVNLAICLQGTSGPDPTTVY VDMRALRHDRVRLVERGSPHSLPLMESGKILPGVRIIIANPETKGPLGDSHLGEIWVHSA HNASGYFTIYGDESLQSDHFNSRLSFGDTQTIWARTGYLGFLRRTELTDANGERHDALYV VGALDEAMELRGMRYHPIDIETSVIRAHKSVTECAVFTWTNLLVVVVELDGSEQEALDLV PLVTNVVLEEHYLIVGVVVVVDIGVIPINSRGEKQRMHLRDGFLADQLDPIYVAYNM >ENSMUSP00000144756.1 pep:known chromosome:GRCm38:11:51048109:51049050:1 gene:ENSMUSG00000057890.5 transcript:ENSMUST00000073543.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1375 description:olfactory receptor 1375 [Source:MGI Symbol;Acc:MGI:3031209] MSKGRENETGVSEFLLLGITNDPQQQQILFWAFLCMYLVTVAGNTLIFLAIISDPCLHTP MYFFLANLSFVDVCFTTNLIPRLLAGHVAGTRTISYAQCLTQMFFMISFAHVDTLLLAAM ALDRFVAICYPLQYHTIITPQLCVGLAAVVWMCSALISLMHTLLMSRLRFCSSIPEISHF YCDAYLLMKLACSDTRVNQLASLGTLFLFVAPCILIVVSYVRITMAVFQIPSAKGRHKAF STCSSHLSVVILFYGTILGIYIRPPGSFSIQVSVATIMYTVVTPMLNPFIYSLRNKDMKE TVTRILNRDSKPS >ENSMUSP00000057942.6 pep:known chromosome:GRCm38:6:116679063:116716913:-1 gene:ENSMUSG00000048108.13 transcript:ENSMUST00000056623.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem72 description:transmembrane protein 72 [Source:MGI Symbol;Acc:MGI:2442707] MKLQVFWTGLEYTCRLLGIATAAVLIGVGTETFLRGRFKSLAFYLLFTGVTISVCEGTYF VAQLLAICFKCQPGSLAHRAKERAHWLGCFQKFLAYMLLSVACFLHPVLVWHVTIPGSML IITGLAYFLLSKRKKKKAAPEVAPPTEQYTDPSSSVVSTTGSGDTEQTYTFHEAFKEGPG SFFIHMKSILKGTKKPRVLQTQDTLMELALEPADSLAKKKQVHFEDNVVRIIPSLTEGLG DSDSEPEETSSDTTPIIPPSQTPHFLPSLMATDLF >ENSMUSP00000122220.1 pep:known chromosome:GRCm38:6:116695274:116716729:-1 gene:ENSMUSG00000048108.13 transcript:ENSMUST00000129255.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem72 description:transmembrane protein 72 [Source:MGI Symbol;Acc:MGI:2442707] MKLQVFWTGLEYTCRLLGIATAAVLIGVGTETFLRGRFKSLAFYLLGGAAVDAKVYRSHH LCV >ENSMUSP00000107393.1 pep:known chromosome:GRCm38:9:118478212:118485366:1 gene:ENSMUSG00000032446.14 transcript:ENSMUST00000111763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eomes description:eomesodermin [Source:MGI Symbol;Acc:MGI:1201683] MQLGEQLLVSSVNLPGAHFYSLESARGGGGGGGGGGGGGGGSVSLLPGAAPSPQRLDLDK ASKKFPGSLPCQAGSAEPAGAGAGAPAAMLSDADAGDTFGSTSAVAKPGPPDGRKGSPCA EEELPSAATAAATARYSMDSLSSERYYLPSPGPQGSELAAPCSLFQYPAAAGAAHGPVYP ASNGARYPYGSMLPPGGFPAAVCPPARAQFGPAAGSGSGAGSSGGGAGGPGAYPYGQGSP LYGPYAGTSAAGSCGGLGGLGVPGSGFRAHVYLCNRPLWLKFHRHQTEMIITKQGRRMFP FLSFNINGLNPTAHYNVFVEVVLADPNHWRFQGGKWVTCGKADNNMQGNKMYVHPESPNT GSHWMRQEISFGKLKLTNNKGANNNNTQMIVLQSLHKYQPRLHIVEVTEDGVEDLNEPSK TQTFTFSETQFIAVTAYQNTDITQLKIDHNPFAKGFRDNYDSSHQIVPGGRYGVQNFFPE PFVNTLPQARYYNGERTVPQTNGLLSPQQSEEVANPPQRWLVTPVQQPVTNKLDIGSYES EYTSSTLLPYGIKSLPLQTSHALGYYPDPTFPAMAGWGGRGAYQRKMAAGLPWTSRMSPP VFPEDQLAKEKVKEEISSSWIETPPSIKSLDSSDSGVYNSACKRKRLSPSTPSNGNSPPI KCEDINTEEYSKDTSKGMGAYYAFYTSP >ENSMUSP00000035020.8 pep:known chromosome:GRCm38:9:118478344:118486132:1 gene:ENSMUSG00000032446.14 transcript:ENSMUST00000035020.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eomes description:eomesodermin [Source:MGI Symbol;Acc:MGI:1201683] MQLGEQLLVSSVNLPGAHFYSLESARGGGGGGGGGGGGGGGSVSLLPGAAPSPQRLDLDK ASKKFPGSLPCQAGSAEPAGAGAGAPAAMLSDADAGDTFGSTSAVAKPGPPDGRKGSPCA EEELPSAATAAATARYSMDSLSSERYYLPSPGPQGSELAAPCSLFQYPAAAGAAHGPVYP ASNGARYPYGSMLPPGGFPAAVCPPARAQFGPAAGSGSGAGSSGGGAGGPGAYPYGQGSP LYGPYAGTSAAGSCGGLGGLGVPGSGFRAHVYLCNRPLWLKFHRHQTEMIITKQGRRMFP FLSFNINGLNPTAHYNVFVEVVLADPNHWRFQGGKWVTCGKADNNMQGNKMYVHPESPNT GSHWMRQEISFGKLKLTNNKGANNNNTQMIVLQSLHKYQPRLHIVEVTEDGVEDLNEPSK TQTFTFSETQFIAVTAYQNTDITQLKIDHNPFAKGFRDNYDSMYTASENDRLTPSPTDSP RSHQIVPGGRYGVQNFFPEPFVNTLPQARYYNGERTVPQTNGLLSPQQSEEVANPPQRWL VTPVQQPVTNKLDIGSYESEYTSSTLLPYGIKSLPLQTSHALGYYPDPTFPAMAGWGGRG AYQRKMAAGLPWTSRMSPPVFPEDQLAKEKVKEEISSSWIETPPSIKSLDSSDSGVYNSA CKRKRLSPSTPSNGNSPPIKCEDINTEEYSKDTSKGMGAYYAFYTSP >ENSMUSP00000118079.1 pep:known chromosome:GRCm38:9:118478861:118482757:1 gene:ENSMUSG00000032446.14 transcript:ENSMUST00000150633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eomes description:eomesodermin [Source:MGI Symbol;Acc:MGI:1201683] SLPCQAGSAEPAGAGAGAPAAMLSDADAGDTFGSTSAVAKPGPPDGRKGSPCAEEELPSA ATAAATARYSMDSLSSERYYLPSPGPQGSELAAPCSLFQYPAAAGAAHGPVYPASNGARY PYGSMLPPGGFPAAVCPPARAQFGPAAGSGSGAGSSGGGAGGPGAYPYGQGSPLYGPYAG TSAAGSCGGLGGLGVPGSGFRAHVYLCNRPLWLKFHRHQTEMIITKQGRRMFPFLSFNIN GLNPTAHYNVFVEVVLADPNHWRFQGGKWVTCGKADNNMQGNKMYVHPESPNTGSHWMRQ EISFGKLKLTNNKGANNNNTQMIVLQSLHKYQPRLHIVEVTEDGVEDLNEPSKTQTFTFS ETQFIAVTAYQNTDITQLKIDHNPFAKGFRDNYDS >ENSMUSP00000060591.3 pep:known chromosome:GRCm38:X:48667979:48674478:-1 gene:ENSMUSG00000051209.3 transcript:ENSMUST00000053970.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr119 description:G-protein coupled receptor 119 [Source:MGI Symbol;Acc:MGI:2668412] MESSFSFGVILAVLTILIIAVNALVVVAMLLSIYKNDGVGLCFTLNLAVADTLIGVAISG LVTDQLSSSAQHTQKTLCSLRMAFVTSSAAASVLTVMLIAFDRYLAIKQPLRYFQIMNGL VAGACIAGLWLVSYLIGFLPLGVSIFQQTTYHGPCSFFAVFHPRFVLTLSCAGFFPAVLL FVFFYCDMLKIASVHSQQIRKMEHAGAMAGAYRPPRSVNDFKAVRTIAVLIGSFTLSWSP FLITSIVQVACHKCCLYQVLEKYLWLLGVGNSLLNPLIYAYWQREVRQQLYHMALGVKKF FTSILLLLPARNRGPERTRESAYHIVTISHPELDG >ENSMUSP00000122082.1 pep:known chromosome:GRCm38:17:34039437:34052517:1 gene:ENSMUSG00000024330.16 transcript:ENSMUST00000131134.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col11a2 description:collagen, type XI, alpha 2 [Source:MGI Symbol;Acc:MGI:88447] MERCSRCHRLLLFLPLVLGLSAAPGWAGAPSVDVLRALRFPSLPDGVRRSKGVCPGDVAY RVARPAQLSAPTRQLFPGGFPKDFSLLTVVRTRPGLQAPLLTLYSAQGVQQLGLELGRPV RFLYEDQRGRPQASAQPIFRGLSLADGKWHHVAVAVKGQSVTLIVDCKKRVTRPLPRSVH PVLDTHGVVIFGAHILDDEVFEGDVQELLVVPGVQAAYQSCGQKDLECEREQRDGPQTQK PHRAQRSPKKEPARLHKPQSQEPQKQPTESLYYDYEPPYYDVMTTGTAPDYQYPTPGEEE GVLESSPLPFLEEEQTDLQVSPTADSFQAEEYGEGGTDSPAGFYDYTYGYGDDYREETEL GPALSAETAHSGAVAHGPRGLKGEKGEPAVLEPGMFVEGPPGPEGPAGLAGPPGIQGNPG PVGDPGERGPPGRAGLPGSDGPPGPPGTSLMLPFRFGSSGGDKGPVVAAQEAQAQAILQQ ARLALRGPPGPMGYTGRPGPLGQPGSPGLKGESGDLGPQGPRGPQGLTGPPGKAGRRGRA GADGARGMPGEPGMKGDRGFDGLPGLPGEKGQRGDTGAQGLPGPPGEDGERGDDGEIGPR GLPGESGPRGLLGPKGPPGIPGPP >ENSMUSP00000084772.4 pep:known chromosome:GRCm38:17:34039437:34066685:1 gene:ENSMUSG00000024330.16 transcript:ENSMUST00000087497.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col11a2 description:collagen, type XI, alpha 2 [Source:MGI Symbol;Acc:MGI:88447] MERCSRCHRLLLFLPLVLGLSAAPGWAGAPSVDVLRALRFPSLPDGVRRSKGVCPGDVAY RVARPAQLSAPTRQLFPGGFPKDFSLLTVVRTRPGLQAPLLTLYSAQGVQQLGLELGRPV RFLYEDQRGRPQASAQPIFRGLSLADGKWHHVAVAVKGQSVTLIVDCKKRVTRPLPRSVH PVLDTHGVVIFGAHILDDEVFEGDVQELLVVPGVQAAYQSCGQKDLECEREQRDGPQTQK PHRAQRSPKKEPARLHKPQSQEPQKQYPTPGEEEGVLESSPLPFLEEVAHGPRGLKGEKG EPAVLEPGMFVEGPPGPEGPAGLAGPPGIQGNPGPVGDPGERGPPGRAGLPGSDGPPGPP GTSLMLPFRFGSSGGDKGPVVAAQEAQAQAILQQARLALRGPPGPMGYTGRPGPLGQPGS PGLKGESGDLGPQGPRGPQGLTGPPGKAGRRGRAGADGARGMPGEPGMKGDRGFDGLPGL PGEKGQRGDTGAQGLPGPPGEDGERGDDGEIGPRGLPGESGPRGLLGPKGPPGIPGPPGV RGMDGPHGPKGSLGPQGEPGPPGQQGTPGAQGLPGPQGAIGPHGEKGARGKPGLPGMPGS DGLPGHPGKEGPPGTKGNQGPSGPQGPLGYPGPRGVKGVDGIRGLKGHKGEKGEDGFPGF KGDIGVKGDRGEVGVPGSRGEDGPEGPKGRTGPTGDPGPTGLMGEKGKLGVPGLPGYPGR QGPKGSLGFPGFPGASGEKGARGLSGKSGPRGERGPTGPRGQRGPRGATGKSGAKGTSGG DGPHGPPGERGLPGPQGPNGFPGPKGPPGPAGKDGLPGHPGQRGEVGFQGKTGPPGPPGV VGPQGTAGESGPMGERGHSGPPGPPGEQGLPGTSGKEGTKGDPGPPGAPGKDGPAGLRGF PGERGLPGTAGGPGLKGNEGPAGPPGPAGSPGERGAAGSGGPIGPPGRPGPQGPPGAAGE KGVPGEKGPIGPTGRDGVQGPVGLPGPAGPPGVAGEDGDKGEVGDPGQKGTKGNKGEHGP PGPPGPIGPVGQPGAAGADGEPGARGPQGHFGAKGDEGTRGFNGPPGPIGLQGLPGPSGE KGETGDGGPMGPPGPPGPRGPAGPNGADGPQGSPGGVGNLGPPGEKGEPGESGSPGVQGE PGVKGPRGERGEKGESGQAGEAGPPGPKGPTGDNGPKGNPGPVGFPGDPGPPGEAGPRGQ DGAKGDRGEDGEPGQPGSPGPTGENGPPGPLGKRGPAGTPGPEGRQGEKGAKGDPGAVGA PGKTGPVGPAGLAGKPGPDGLRGLPGSVGQQGRPGATGQAGPPGPVGPPGLPGLRGDAGA KGEKGHPGLIGLIGPTGEQGEKGDRGLPGPQGSPGQKGETGIPGASGPIGPGGPPGLPGP SGPKGAKGATGPAGPKGEKGVQGPPGHPGPPGEVIQPLPIQMPKKTRRSVDGSKLIQDEE AVPTGGAPGSPAGLEEIFGSLDSLREEIEQMRRPAGTQDSPARTCQDLKLCHPELPDGEY WVDPNQGCARDAFRVFCNFTAGGETCVTPRDDVTQFSYVDSEGSPVGVVQLTFLRLLSVS AHQDVSYPCSGVSQDGPLKLRGANEDELSPETSPYVKEFRDGCQTQQGRTVLEVRTPVLE QLPVLDASFADLGAPTRRGGVLLGPVCFMG >ENSMUSP00000115026.1 pep:known chromosome:GRCm38:17:34048280:34059952:1 gene:ENSMUSG00000024330.16 transcript:ENSMUST00000143354.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col11a2 description:collagen, type XI, alpha 2 [Source:MGI Symbol;Acc:MGI:88447] MFVEGPPGPEGPAGLAGPPGIQGNPGPVGDPGERGPPGRAGLPGSDGPPGPPGTSLMLPF RFGSSGGDKGPVVAAQEAQAQAILQQARLALRGPPGPMGYTGRPGPLGQPGSPGLKGESG DLGPQGPRGPQGLTGPPGKAGRRGRAGADGARGMPGEPGMKGDRGFDGLPGLPGEKGQRG DTGAQGLPGPPGEDGERGDDGEIGPRGLPGESGPRGLLGPKGPPGIPGPPGVRGMDGPHG PKGSLGPQGEPGPPGQQGTPGAQGLPGPQGAIGPHGEKGARGKPGLPGMPGSDGLPGHPG KEGPPGTKGNQGPSGPQGPLGYPGPRGVKGVDGIRGLKGHKGEKGEDGFPGFKGDIGVKG DRGEVGVPGSRGEDGPEGPKGRTGPTGDPGPTGLMGEKGKLGVPGLPGYPGRQGPKGSLG FPGFPGASGEKGARGLSGKSGPRGERGPTGPRGQRGPRGATGKSGAKGTSGGDGPHGPPG ERGLPGPQGPNGFPGPKGPPGPAGKDGLPGHPGQRGEVGFQGKTGPPGPPGVVGPQGTAG ESGPMGERGHSGPPGPPGEQGLPGTSGKEGTKGDPGPPGAPGKDGPAGLRGFPGERGLPG TAGGPGLKGNEGPAGPPGPAGSPGERGAAGSGGPIGPPGRPGPQGPPGAAGEKGVPGEKG PIGPTGRDGVQGPVGLPGPAGPPGVAGEDGDKGEVGDPGQKGTKGNKGEHGPPGPPGPIG PVGQPGAAGADGEPGARGPQGHFGAKGDEGTRGFNGPPGPIGLQGLPGPSG >ENSMUSP00000109890.1 pep:known chromosome:GRCm38:17:34039437:34066242:1 gene:ENSMUSG00000024330.16 transcript:ENSMUST00000114252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col11a2 description:collagen, type XI, alpha 2 [Source:MGI Symbol;Acc:MGI:88447] MERCSRCHRLLLFLPLVLGLSAAPGWAGAPSVDVLRALRFPSLPDGVRRSKGVCPGDVAY RVARPAQLSAPTRQLFPGGFPKDFSLLTVVRTRPGLQAPLLTLYSAQGVQQLGLELGRPV RFLYEDQRGRPQASAQPIFRGLSLADGKWHHVAVAVKGQSVTLIVDCKKRVTRPLPRSVH PVLDTHGVVIFGAHILDDEVFEGDVQELLVVPGVQAAYQSCGQKDLECEREQRDGPQTQK PHRAQRSPKKEPARLHKPQSQEPQKQPTESLYYDYEPPYYDVMTTGTAPDYQVAHGPRGL KGEKGEPAVLEPGMFVEGPPGPEGPAGLAGPPGIQGNPGPVGDPGERGPPGRAGLPGSDG PPGPPGTSLMLPFRFGSSGGDKGPVVAAQEAQAQAILQQARLALRGPPGPMGYTGRPGPL GQPGSPGLKGESGDLGPQGPRGPQGLTGPPGKAGRRGRAGADGARGMPGEPGMKGDRGFD GLPGLPGEKGQRGDTGAQGLPGPPGEDGERGDDGEIGPRGLPGESGPRGLLGPKGPPGIP GPPGVRGMDGPHGPKGSLGPQGEPGPPGQQGTPGAQGLPGPQGAIGPHGEKGARGKPGLP GMPGSDGLPGHPGKEGPPGTKGNQGPSGPQGPLGYPGPRGVKGVDGIRGLKGHKGEKGED GFPGFKGDIGVKGDRGEVGVPGSRGEDGPEGPKGRTGPTGDPGPTGLMGEKGKLGVPGLP GYPGRQGPKGSLGFPGFPGASGEKGARGLSGKSGPRGERGPTGPRGQRGPRGATGKSGAK GTSGGDGPHGPPGERGLPGPQGPNGFPGPKGPPGPAGKDGLPGHPGQRGEVGFQGKTGPP GPPGVVGPQGTAGESGPMGERGHSGPPGPPGEQGLPGTSGKEGTKGDPGPPGAPGKDGPA GLRGFPGERGLPGTAGGPGLKGNEGPAGPPGPAGSPGERGAAGSGGPIGPPGRPGPQGPP GAAGEKGVPGEKGPIGPTGRDGVQGPVGLPGPAGPPGVAGEDGDKGEVGDPGQKGTKGNK GEHGPPGPPGPIGPVGQPGAAGADGEPGARGPQGHFGAKGDEGTRGFNGPPGPIGLQGLP GPSGEKGETGDGGPMGPPGPPGPRGPAGPNGADGPQGSPGGVGNLGPPGEKGEPGESGSP GVQGEPGVKGPRGERGEKGESGQAGEAGPPGPKGPTGDNGPKGNPGPVGFPGDPGPPGEA GPRGQDGAKGDRGEDGEPGQPGSPGPTGENGPPGPLGKRGPAGTPGPEGRQGEKGAKGDP GAVGAPGKTGPVGPAGLAGKPGPDGLRGLPGSVGQQGRPGATGQAGPPGPVGPPGLPGLR GDAGAKGEKGHPGLIGLIGPTGEQGEKGDRGLPGPQGSPGQKGETGIPGASGPIGPGGPP GLPGPSGPKGAKGATGPAGPKGEKGVQGPPGHPGPPGEVIQPLPIQMPKKTRRSVDGSKL IQDEEAVPTGGAPGSPAGLEEIFGSLDSLREEIEQMRRPAGTQDSPARTCQDLKLCHPEL PDGEYWVDPNQGCARDAFRVFCNFTAGGETCVTPRDDVTQFSYVDSEGSPVGVVQLTFLR LLSVSAHQDVSYPCSGVSQDGPLKLRGANEDELSPETSPYVKEFRDGCQTQQGRTVLEVR TPVLEQLPVLDASFADLGAPTRRGGVLLGPVCFMG >ENSMUSP00000109893.1 pep:known chromosome:GRCm38:17:34039437:34066242:1 gene:ENSMUSG00000024330.16 transcript:ENSMUST00000114255.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col11a2 description:collagen, type XI, alpha 2 [Source:MGI Symbol;Acc:MGI:88447] MERCSRCHRLLLFLPLVLGLSAAPGWAGAPSVDVLRALRFPSLPDGVRRSKGVCPGDVAY RVARPAQLSAPTRQLFPGGFPKDFSLLTVVRTRPGLQAPLLTLYSAQGVQQLGLELGRPV RFLYEDQRGRPQASAQPIFRGLSLADGKWHHVAVAVKGQSVTLIVDCKKRVTRPLPRSVH PVLDTHGVVIFGAHILDDEVFEGDVQELLVVPGVQAAYQSCGQKDLECEREQRDGPQTQK PHRAQRSPKKEPARLHKPQSQEPQKQEQTDLQVSPTADSFQAEEYGEGGTDSPAGFYDYT YGYGDDYREETELGPALSAETAHSGAVAHGPRGLKGEKGEPAVLEPGMFVEGPPGPEGPA GLAGPPGIQGNPGPVGDPGERGPPGRAGLPGSDGPPGPPGTSLMLPFRFGSSGGDKGPVV AAQEAQAQAILQQARLALRGPPGPMGYTGRPGPLGQPGSPGLKGESGDLGPQGPRGPQGL TGPPGKAGRRGRAGADGARGMPGEPGMKGDRGFDGLPGLPGEKGQRGDTGAQGLPGPPGE DGERGDDGEIGPRGLPGESGPRGLLGPKGPPGIPGPPGVRGMDGPHGPKGSLGPQGEPGP PGQQGTPGAQGLPGPQGAIGPHGEKGARGKPGLPGMPGSDGLPGHPGKEGPPGTKGNQGP SGPQGPLGYPGPRGVKGVDGIRGLKGHKGEKGEDGFPGFKGDIGVKGDRGEVGVPGSRGE DGPEGPKGRTGPTGDPGPTGLMGEKGKLGVPGLPGYPGRQGPKGSLGFPGFPGASGEKGA RGLSGKSGPRGERGPTGPRGQRGPRGATGKSGAKGTSGGDGPHGPPGERGLPGPQGPNGF PGPKGPPGPAGKDGLPGHPGQRGEVGFQGKTGPPGPPGVVGPQGTAGESGPMGERGHSGP PGPPGEQGLPGTSGKEGTKGDPGPPGAPGKDGPAGLRGFPGERGLPGTAGGPGLKGNEGP AGPPGPAGSPGERGAAGSGGPIGPPGRPGPQGPPGAAGEKGVPGEKGPIGPTGRDGVQGP VGLPGPAGPPGVAGEDGDKGEVGDPGQKGTKGNKGEHGPPGPPGPIGPVGQPGAAGADGE PGARGPQGHFGAKGDEGTRGFNGPPGPIGLQGLPGPSGEKGETGDGGPMGPPGPPGPRGP AGPNGADGPQGSPGGVGNLGPPGEKGEPGESGSPGVQGEPGVKGPRGERGEKGESGQAGE AGPPGPKGPTGDNGPKGNPGPVGFPGDPGPPGEAGPRGQDGAKGDRGEDGEPGQPGSPGP TGENGPPGPLGKRGPAGTPGPEGRQGEKGAKGDPGAVGAPGKTGPVGPAGLAGKPGPDGL RGLPGSVGQQGRPGATGQAGPPGPVGPPGLPGLRGDAGAKGEKGHPGLIGLIGPTGEQGE KGDRGLPGPQGSPGQKGETGIPGASGPIGPGGPPGLPGPSGPKGAKGATGPAGPKGEKGV QGPPGHPGPPGEVIQPLPIQMPKKTRRSVDGSKLIQDEEAVPTGGAPGSPAGLEEIFGSL DSLREEIEQMRRPAGTQDSPARTCQDLKLCHPELPDGEYWVDPNQGCARDAFRVFCNFTA GGETCVTPRDDVTQFSYVDSEGSPVGVVQLTFLRLLSVSAHQDVSYPCSGVSQDGPLKLR GANEDELSPETSPYVKEFRDGCQTQQGRTVLEVRTPVLEQLPVLDASFADLGAPTRRGGV LLGPVCFMG >ENSMUSP00000079316.2 pep:known chromosome:GRCm38:10:130074409:130075350:1 gene:ENSMUSG00000058251.2 transcript:ENSMUST00000080460.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr822 description:olfactory receptor 822 [Source:MGI Symbol;Acc:MGI:3030656] MKNHTRVTIFIIAGLTDDPQWKVVLFIFLLLTYLLSITGNLTIITLTLVDTHLKTPMYFF LRNFSFLEISYTTTCIPKLLVTMATGDKTISYNNCAAQVFFAFLLGASEFYLLAAMSYDR YVAICKPLHYMTIMSNKVCVQLVLSCWLISFLIIFPPLVLGLNLDFCASNIIDHFYCDTT PLLQISCTDTQLIETIAFISALVTLLLTLVMVIISYTYIAMTILKIPSTSQRKKAFSTCS SHMIVISISYGSCIFMYVKPSVKQRVSISKGISVLNTSVAPLLNPFIYTLRNQQVKRAFI NTVHRIVSFSKK >ENSMUSP00000102605.3 pep:known chromosome:GRCm38:11:104231390:104332090:1 gene:ENSMUSG00000018411.17 transcript:ENSMUST00000106992.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapt description:microtubule-associated protein tau [Source:MGI Symbol;Acc:MGI:97180] MADPRQEFDTMEDHAGDYTLLQDQEGDMDHGLKAEEAGIGDTPNQEDQAAGHVTQARVAS KDRTGNDEKKAKGADGKTGAKIATPRGAASPAQKGTSNATRIPAKTTPSPKTPPGSGEPP KSGERSGYSSPGSPGTPGSRSRTPSLPTPPTREPKKVAVVRTPPKSPSASKSRLQTAPVP MPDLKNVRSKIGSTENLKHQPGGGKVQIINKKLDLSNVQSKCGSKDNIKHVPGGGSVQIV YKPVDLSKVTSKCGSLGNIHHKPGGGQVEVKSEKLDFKDRVQSKIGSLDNITHVPGGGNK KIETHKLTFRENAKAKTDHGAEIVYKSPVVSGDTSPRHLSNVSSTGSIDMVDSPQLATLA DEVSASLAKQGL >ENSMUSP00000102601.1 pep:known chromosome:GRCm38:11:104282381:104328187:1 gene:ENSMUSG00000018411.17 transcript:ENSMUST00000106988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapt description:microtubule-associated protein tau [Source:MGI Symbol;Acc:MGI:97180] MADPRQEFDTMEDHAGDYTLLQDQEGDMDHGLKESPPQPPADDGAEEPGSETSDAKSTPT AEDVTAPLVDERAPDKQAAAQPHTEIPEGITAEEAGIGDTPNQEDQAAGHVTQGRREGQA PDLGTSDWTRQQVSSMSGAPLLPQGLREATCQPSGTRPEDIEKSHPASELLRRGPPQKEG WGQDRLGSEEEVDEDLTVDESSQDSPPSQASLTPGRAAPQAGSGSVCGETASVPGLPTEG SVPLPADFFSKVSAETQASQPEGPGTGPMEEGHEAAPEFTFHVEIKASTPKEQDLEGATV VGVPGEEQKAQTQGPSVGKGTKEASLQEPPGKQPAAGLPGRPVSRVPQLKARVASKDRTG NDEKKAKTSTPSCAKAPSHRPCLSPTRPTLGSSDPLIKPSSPAVCPEPATSPKHVSSVTP RNGSPGTKQMKLKGADGKTGAKIATPRGAASPAQKGTSNATRIPAKTTPSPKTPPGSGEP PKSGERSGYSSPGSPGTPGSRSRTPSLPTPPTREPKKVAVVRTPPKSPSASKSRLQTAPV PMPDLKNVRSKIGSTENLKHQPGGGKVQIINKKLDLSNVQSKCGSKDNIKHVPGGGSVQI VYKPVDLSKVTSKCGSLGNIHHKPGGGQVEVKSEKLDFKDRVQSKIGSLDNITHVPGGGN KKIETHKLTFRENAKAKTDHGAEIVYKSPVVSGDTSPRHLSNVSSTGSIDMVDSPQLATL ADEVSASLAKQGL >ENSMUSP00000102602.1 pep:known chromosome:GRCm38:11:104282381:104328187:1 gene:ENSMUSG00000018411.17 transcript:ENSMUST00000106989.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapt description:microtubule-associated protein tau [Source:MGI Symbol;Acc:MGI:97180] MADPRQEFDTMEDHAGDYTLLQDQEGDMDHGLKESPPQPPADDGAEEPGSETSDAKSTPT AEDVTAPLVDERAPDKQAAAQPHTEIPEGITAEEAGIGDTPNQEDQAAGHVTQEPEKVEI FSQSLLVEPGRREGQAPDLGTSDWTRQQVSSMSGAPLLPQGLREATCQPSGTRPEDIEKS HPASELLRRGPPQKEGWGQDRLGSEEEVDEDLTVDESSQDSPPSQASLTPGRAAPQAGSG SVCGETASVPGLPTEGSVPLPADFFSKVSAETQASQPEGPGTGPMEEGHEAAPEFTFHVE IKASTPKEQDLEGATVVGVPGEEQKAQTQGPSVGKGTKEASLQEPPGKQPAAGLPGRPVS RVPQLKARVASKDRTGNDEKKAKTSTPSCAKAPSHRPCLSPTRPTLGSSDPLIKPSSPAV CPEPATSPKHVSSVTPRNGSPGTKQMKLKGADGKTGAKIATPRGAASPAQKGTSNATRIP AKTTPSPKTPPGSGEPPKSGERSGYSSPGSPGTPGSRSRTPSLPTPPTREPKKVAVVRTP PKSPSASKSRLQTAPVPMPDLKNVRSKIGSTENLKHQPGGGKVQIINKKLDLSNVQSKCG SKDNIKHVPGGGSVQIVYKPVDLSKVTSKCGSLGNIHHKPGGGQVEVKSEKLDFKDRVQS KIGSLDNITHVPGGGNKKIETHKLTFRENAKAKTDHGAEIVYKSPVVSGDTSPRHLSNVS STGSIDMVDSPQLATLADEVSASLAKQGL >ENSMUSP00000114716.1 pep:known chromosome:GRCm38:11:104231484:104306674:1 gene:ENSMUSG00000018411.17 transcript:ENSMUST00000145227.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapt description:microtubule-associated protein tau [Source:MGI Symbol;Acc:MGI:97180] MADPRQEFDTMEDHAGDYTLLQDQEGDMDHGLKAEEAGIGDTPNQEDQAAGHVTQARVAS KDRTGNDEKKAKTSTPSCAKAPSHRPCLSPTRPTLGSSDPLIKPSSPAVCPEPATSPKHV SSVTPRNGSPGTKQMKLKGADGKTGAKIATPRGA >ENSMUSP00000102606.3 pep:known chromosome:GRCm38:11:104231515:104328435:1 gene:ENSMUSG00000018411.17 transcript:ENSMUST00000106993.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapt description:microtubule-associated protein tau [Source:MGI Symbol;Acc:MGI:97180] MADPRQEFDTMEDHAGDYTLLQDQEGDMDHGLKAEEAGIGDTPNQEDQAAGHVTQARVAS KDRTGNDEKKAKGADGKTGAKIATPRGAASPAQKGTSNATRIPAKTTPSPKTPPGSASKQ PQRKLPPAGAKSERGEPPKSGERSGYSSPGSPGTPGSRSRTPSLPTPPTREPKKVAVVRT PPKSPSASKSRLQTAPVPMPDLKNVRSKIGSTENLKHQPGGGKVQIINKKLDLSNVQSKC GSKDNIKHVPGGGSVQIVYKPVDLSKVTSKCGSLGNIHHKPGGGQVEVKSEKLDFKDRVQ SKIGSLDNITHVPGGGNKKIETHKLTFRENAKAKTDHGAEIVYKSPVVSGDTSPRHLSNV SSTGSIDMVDSPQLATLADEVSASLAKQGL >ENSMUSP00000097919.4 pep:known chromosome:GRCm38:11:104231584:104328219:1 gene:ENSMUSG00000018411.17 transcript:ENSMUST00000100347.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapt description:microtubule-associated protein tau [Source:MGI Symbol;Acc:MGI:97180] MADPRQEFDTMEDHAGDYTLLQDQEGDMDHGLKESPPQPPADDGAEEPGSETSDAKSTPT AEDVTAPLVDERAPDKQAAAQPHTEIPEGITAEEAGIGDTPNQEDQAAGHVTQARVASKD RTGNDEKKAKGADGKTGAKIATPRGAASPAQKGTSNATRIPAKTTPSPKTPPGSGEPPKS GERSGYSSPGSPGTPGSRSRTPSLPTPPTREPKKVAVVRTPPKSPSASKSRLQTAPVPMP DLKNVRSKIGSTENLKHQPGGGKVQIINKKLDLSNVQSKCGSKDNIKHVPGGGSVQIVYK PVDLSKVTSKCGSLGNIHHKPGGGQVEVKSEKLDFKDRVQSKIGSLDNITHVPGGGNKKI ETHKLTFRENAKAKTDHGAEIVYKSPVVSGDTSPRHLSNVSSTGSIDMVDSPQLATLADE VSASLAKQGL >ENSMUSP00000119145.1 pep:known chromosome:GRCm38:11:104231581:104294924:1 gene:ENSMUSG00000018411.17 transcript:ENSMUST00000132245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapt description:microtubule-associated protein tau [Source:MGI Symbol;Acc:MGI:97180] MADPRQEFDTMEDHAGDYTLLQDQEGDMDHGLKESPPQPPADDGAEEPGSETSDAKSTPT AEAEEAGIGDTPNQEDQAAGHVTQ >ENSMUSP00000123260.1 pep:known chromosome:GRCm38:11:104231573:104306758:1 gene:ENSMUSG00000018411.17 transcript:ENSMUST00000132977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapt description:microtubule-associated protein tau [Source:MGI Symbol;Acc:MGI:97180] MADPRQEFDTMEDHAGDYTLLQDQEGDMDHGLKESPPQPPADDGAEEPGSETSDAKSTPT AEARVASKDRTGNDEKKAKGADGKTGAKIATPRGAASPAQKGTSNATRIPAKTTPSPKTP PGS >ENSMUSP00000017384.7 pep:known chromosome:GRCm38:11:98804905:98833814:1 gene:ENSMUSG00000078676.9 transcript:ENSMUST00000017384.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc3 description:cancer susceptibility candidate 3 [Source:MGI Symbol;Acc:MGI:2179723] MADRRRQRASQDTEDEESGASGSDSGSPARGGGSCSGSVGGGGSGSLPSQRGGRGGGLHL RRVESGGAKSAEESECESEDGMEGDAVLSDYESAEDSEGEEDYSEEENSKVELKSEANDA ADSSAKEKGEEKPESKGTVTGERQSGDGQESTEPVENKVGKKGPKHLDDDEDRKNPAYIP RKGLFFEHDLRGQTQEEEVRPKGRQRKLWKDEGRWEHDKFREDEQAPKSRQELIALYGYD IRSAHNPDDIKPRRIRKPRFGSSPQRDPNWIGDRSSKSHRHQGPGGNLPPRTFINRNTAG TGRMSASRNYSRSGGFKDGRTSFRPVEVAGQHGGRSAETLKHEASYRSRRLEQTPVRDPS PEPDAPLLGSPEKEEVASETPAAVPDITPPAPDRPIEKKSYSRARRTRTKVGDAVKAAEE VPPPSEGLASTATVPETTPAAKTGNWEAPVDSTTGGLEQDVAQLNIAEQSWSPSQPSFLQ PRELRGVPNHIHMGAGPPPQFNRMEEMGVQSGRAKRYSSQRQRPVPEPPAPPVHISIMEG HYYDPLQFQGPIYTHGDSPAPLPPQGMIVQPEMHLPHPGLHPHQSPGPLPNPGLYPPPVS MSPGQPPPQQLLAPTYFSAPGVMNFGNPNYPYAPGALPPPPPPHLYPNTQAPPQVYGGVT YYNPAQQQVQPKPSPPRRTPQPVSIKPPPPEVVSRGSS >ENSMUSP00000130926.1 pep:known chromosome:GRCm38:11:98809808:98833806:1 gene:ENSMUSG00000078676.9 transcript:ENSMUST00000169695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Casc3 description:cancer susceptibility candidate 3 [Source:MGI Symbol;Acc:MGI:2179723] MADRRRQRASQDTEDEESGASGSDSGSPARGGGSCSGSVGGGGSGSLPSQRGGRGGGLHL RRVESGGAKSAEESECESEDGMEGDAVLSDYESAEDSEGEEDYSEEENSKVELKSEANDA ADSSAKEKGEEKPESKGTVTGERQSGDGQESTEPVENKVGKKGPKHLDDDEDRKNPAYIP RKGLFFEHDLRGQTQEEEVRPKGRQRKLWKDEGRWEHDKFREDEQAPKSRQELIALYGYD IRSAHNPDDIKPRRIRKPRFGSSPQRDPNWIGDRSSKSHRHQGPGGNLPPRTFINRNTAG TGRMSASRNYSRSGGFKDGRTSFRPVEVAGQHGGRSAETLKHEASYRSRRLEQTPVRDPS PEPDAPLLGSPEKEEVASETPAAVPDITPPAPDRPIEKKSYSRARRTRTKVGDAVKAAEE VPPPSEGLASTATVPETTPAAKTGNWEAPVDSTTGGLEQDVAQLNIAEQSWSPSQPSFLQ PRELRGVPNHIHMGAGPPPQFNRMEEMGVQSGRAKRYSSQRQRPVPEPPAPPVHISIMEG HYYDPLQFQGPIYTHGDSPAPLPPQGMIVQPEMHLPHPGLHPHQSPGPLPNPGLYPPPVS MSPGQPPPQQLLAPTYFSAPGVMNFGNPNYPYAPGALPPPPPPHLYPNTQAPPQVYGGVT YYNPAQQQVQPKPSPPRRTPQPVSIKPPPPEVVSRGSS >ENSMUSP00000073911.3 pep:known chromosome:GRCm38:6:71213940:71262281:-1 gene:ENSMUSG00000055027.17 transcript:ENSMUST00000074301.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd1 description:SET and MYND domain containing 1 [Source:MGI Symbol;Acc:MGI:104790] MTIGSMENVEVFTSEGKGRGLKATKEFWAADVIFAERAYSAVVFDSLINFVCHTCFKRQE KLHRCGQCKFAHYCDRTCQKDAWLNHKNECAAIKKYGKVPNENIRLAARIMWRVEREGTG LTEGCLVSVDDLQNHVEHFGEEEQKELRVDVDTFLQYWPPQSQQFSMQYISHIFGVINCN GFTLSDQRGLQAVGVGIFPNLGLVNHDCWPNCTVIFNNGNHEAVKSMFHTQMRIELRALG KISEGEELTVSYIDFLHLSEERRRQLKKQYYFDCSCEHCQKGLKDDLFLAAKEDPKPSQE VVKEMIQFSKDTLEKIDKARSEGLYHEVVKLCRECLEKQEPVFADTNLYVLRLLSIASEV LSYLQAYEEASHYARRMVDGYMKLYHHNNAQLGMAVMRAGLTNWHAGHIEVGHGMICKAY AILLVTHGPSHPITKDLEAMRMQTEMELRMFRQNEFMYHKMREAALNNQPMQVMAEPSNE PAPALFHKKQ >ENSMUSP00000109824.2 pep:known chromosome:GRCm38:6:71213942:71262253:-1 gene:ENSMUSG00000055027.17 transcript:ENSMUST00000114186.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd1 description:SET and MYND domain containing 1 [Source:MGI Symbol;Acc:MGI:104790] MTIGSMENVEVFTSEGKGRGLKATKEFWAADVIFAERAYSAVVFDSLINFVCHTCFKRQE KLHRCGQCKFAHYCDRTCQKDAWLNHKNECAAIKKYGKVPNENIRLAARIMWRVEREGTG LTEGCLVSVDDLQNHVEHFGEEEQKELRVDVDTFLQYWPPQSQQFSMQYISHIFGVINCN GFTLSDQRGLQAVGVGIFPNLGLVNHDCWPNCTVIFNNGKIELRALGKISEGEELTVSYI DFLHLSEERRRQLKKQYYFDCSCEHCQKGLKDDLFLAAKEDPKPSQEVVKEMIQFSKDTL EKIDKARSEGLYHEVVKLCRECLEKQEPVFADTNLYVLRLLSIASEVLSYLQAYEEASHY ARRMVDGYMKLYHHNNAQLGMAVMRAGLTNWHAGHIEVGHGMICKAYAILLVTHGPSHPI TKDLEAMRMQTEMELRMFRQNEFMYHKMREAALNNQPMQVMAEPSNEPAPALFHKKQ >ENSMUSP00000109826.2 pep:known chromosome:GRCm38:6:71215342:71322233:-1 gene:ENSMUSG00000055027.17 transcript:ENSMUST00000114188.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd1 description:SET and MYND domain containing 1 [Source:MGI Symbol;Acc:MGI:104790] MKNGEACGGWQSLINFVCHTCFKRQEKLHRCGQCKFAHYCDRTCQKDAWLNHKNECAAIK KYGKVPNENIRLAARIMWRVEREGTGLTEGCLVSVDDLQNHVEHFGEEEQKELRVDVDTF LQYWPPQSQQFSMQYISHIFGVINCNGFTLSDQRGLQAVGVGIFPNLGLVNHDCWPNCTV IFNNGNHEAVKSMFHTQMRIELRALGKISEGEELTVSYIDFLHLSEERRRQLKKQYYFDC SCEHCQKGLKDDLFLAAKEDPKPSQEVVKEMIQFSKDTLEKIDKARSEGLYHEVVKLCRE CLEKQEPVFADTNLYVLRLLSIASEVLSYLQAYEEASHYARRMVDGYMKLYHHNNAQLGM AVMRAGLTNWHAGHIEVGHGMICKAYAILLVTHGPSHPITKDLEAMRMQTEMELRMFRQN EFMYHKMREAALNNQPMQVMAEPSNEPAPALFHKKQ >ENSMUSP00000134399.1 pep:known chromosome:GRCm38:6:71215500:71216874:-1 gene:ENSMUSG00000055027.17 transcript:ENSMUST00000173730.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd1 description:SET and MYND domain containing 1 [Source:MGI Symbol;Acc:MGI:104790] AQLGMAAMRMQTEMELRMFRQNEFMYHKMREAALNNQPMQVMAEPSNEPAPALFHKKQ >ENSMUSP00000133300.1 pep:known chromosome:GRCm38:6:71259605:71262232:-1 gene:ENSMUSG00000055027.17 transcript:ENSMUST00000129630.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd1 description:SET and MYND domain containing 1 [Source:MGI Symbol;Acc:MGI:104790] MTIGSMENVEVFTSEGKGRGLKATKEFWAADVIFAERAYSAVVFDRPATPWSPGCLGAHR DPPTLPTPT >ENSMUSP00000133878.1 pep:known chromosome:GRCm38:6:71312054:71322206:-1 gene:ENSMUSG00000055027.17 transcript:ENSMUST00000173297.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smyd1 description:SET and MYND domain containing 1 [Source:MGI Symbol;Acc:MGI:104790] MKNGEACGGWQSLRPHTVFLQ >ENSMUSP00000080189.1 pep:known chromosome:GRCm38:10:130111841:130112788:-1 gene:ENSMUSG00000096747.1 transcript:ENSMUST00000081469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr823 description:olfactory receptor 823 [Source:MGI Symbol;Acc:MGI:3030657] MKLEQSRNYTELTDFILLGFWTSPEARVPLFLLFLFIYLVIVLGNLSMLTVIKIDSRLHT PMYFFLQNLSFLDLCYSTVIAPKALATFFSKEKKISYNECATQFFFFALFVGTEGFFLAV MAYDRFSAICSPFLYTVHMSQPACIRLVAGSYICGCINSMIQTGFTFSLRFCGENRLDHF FCDVPALIKISCVDTFVNEIVLFILSALIIISTITIILVSYAYILSTVLKIPSTHGRSKT FSTCGSHIAVVSLFYGTVFFMYAQPGSISSPEKSKIVAVFYTLIIPMLNPLIYSLRNTEV KSALKKTLLRKIPWH >ENSMUSP00000024833.5 pep:known chromosome:GRCm38:17:31179272:31197749:-1 gene:ENSMUSG00000024034.12 transcript:ENSMUST00000024833.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss3 description:transmembrane protease, serine 3 [Source:MGI Symbol;Acc:MGI:2155445] MGENDPPAAEAPFSFRSLFGLDDLKISPVAPDGDAVAAQILSLLPLKFFPIIVIGIIALI LALAIGLGIHFDCSGKYRCHSSFKCIELTARCDGVSDCKNAEDEYRCVRVSGQRAALQVF TAAAWRTMCSDDWKSHYAKIACAQLGFPSYVSSDHLRVDALEEQFQGDFVSINHLLSDDK VTALHHSVYMREGCTSGHVVTLKCSACGTRTGYSPRIVGGNMSSLTQWPWQVSLQFQGYH LCGGSIITPLWIVTAAHCVYDLYHPKSWTVQVGLVSLMDSPVPSHLVEKIIYHSKYKPKR LGNDIALMKLSEPLTFDETIQPICLPNSEENFPDGKLCWTSGWGATEDGGDASPVLNHAA VPLISNKICNHRDVYGGIISPSMLCAGYLKGGVDSCQGDSGGPLVCQERRLWKLVGATSF GIGCAEVNKPGVYTRITSFLDWIHEQLERDLKT >ENSMUSP00000110196.2 pep:known chromosome:GRCm38:17:31179272:31198975:-1 gene:ENSMUSG00000024034.12 transcript:ENSMUST00000114549.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmprss3 description:transmembrane protease, serine 3 [Source:MGI Symbol;Acc:MGI:2155445] MAASEMVEVEPEPNIRGPEIVTMGENDPPAAEAPFSFRSLFGLDDLKISPVAPDGDAVAA QILSLLPLKFFPIIVIGIIALILALAIGLGIHFDCSGKYRCHSSFKCIELTARCDGVSDC KNAEDEYRCVRVSGQRAALQVFTAAAWRTMCSDDWKSHYAKIACAQLGFPSYVSSDHLRV DALEEQFQGDFVSINHLLSDDKVTALHHSVYMREGCTSGHVVTLKCSACGTRTGYSPRIV GGNMSSLTQWPWQVSLQFQGYHLCGGSIITPLWIVTAAHCVYDLYHPKSWTVQVGLVSLM DSPVPSHLVEKIIYHSKYKPKRLGNDIALMKLSEPLTFDETIQPICLPNSEENFPDGKLC WTSGWGATEDGGDASPVLNHAAVPLISNKICNHRDVYGGIISPSMLCAGYLKGGVDSCQG DSGGPLVCQERRLWKLVGATSFGIGCAEVNKPGVYTRITSFLDWIHEQLERDLKT >ENSMUSP00000041347.3 pep:known chromosome:GRCm38:19:47014698:47025327:1 gene:ENSMUSG00000034336.3 transcript:ENSMUST00000037636.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ina description:internexin neuronal intermediate filament protein, alpha [Source:MGI Symbol;Acc:MGI:96568] MSFGSEHYLCSASSYRKVFGDSSRLSARLSGPGGSGSFRSQSLSRSNVASTAACSSASSL GLGLAYRRLPASDGLDLSQAAARTNEYKIIRTNEKEQLQGLNDRFAVFIEKVHQLETQNR ALEAELAALRQRHAEPSRVGELFQRELRELRAQLEEASSARAQALLERDGLAEEVQRLRA RCEEESRGREGAERALKAQQRDVDGATLARLDLEKKVESLLDELAFVRQVHDEEVAELLA TLQASSQAAAEVDVAVAKPDLTSALREIRAQYESLAAKNLQSAEEWYKSKFANLNEQAAR STEAIRASREEIHEYRRQLQARTIEIEGLRGANESLERQILELEERHSAEVAGYQDSIGQ LESDLRNTKSEMARHLREYQDLLNVKMALDIEIAAYRKLLEGEETRFSTGGLSISGLNPL PNPSYLLPPRILSSTASKVSSAGLSLKKEEEEEEEEASKEVSKKTSKVGEGFEETLGEAV ISTKKTGKSATEESTSSSQKM >ENSMUSP00000134392.1 pep:known chromosome:GRCm38:12:3572381:3781796:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000173199.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLRYIFSQMSDSNGLMMFGKLDQFLKEALKLPTA VFEGPSFGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHP VECSYCHCESMMGFRYRCQQCHNYQLCQNCFWRGHASGAHSNQHQMKEHSSWKSPAKKLS HAISKSLGCVPSREPPHPVFPEQPEKPLDLAHLVPPRPLTNMNDTVVSHMSSGVPTPTKR LQYSQDMPNLLADEHALIASYVARLQHCTRVLDSPSRLDEEHRLIARYAARLAAEAGNMT RPPTDASFNFDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPMLLA ELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKEEEQKQAAQATGSPHTSPTHGG GRPMPMPVRSTSAGSTPTHGPQDSLSGVGGDVQEAFAQGTRRNLRNDLLVAADSITNTMS SLVKELHSG >ENSMUSP00000126194.2 pep:known chromosome:GRCm38:12:3572391:3781398:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000164578.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLRYIFSQMSDSNGLMMFGKLDQFLKEALKLPTA VFEGPSFGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHP VECSYCHCESMMGFRYRCQQCHNYQLCQNCFWRGHASGAHSNQHQMKEHSSWKSPAKKLS HAISKSLGCVPSREPPHPVFPEQPEKPLDLAHLVPPRPLTNMNDTVVSHMSSGVPTPTKR LQYSQDMPNLLADEHALIASYVARLQHCTRVLDSPSRLDEEHRLIARYAARLAAEAGNMT RPPTDASFNFDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPMLLA ELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKAQATGSPHTSPTHGGGRPMPMP VRSTSAGSTPTHGPQDSLSGVGGDVQEAFAQGTRRNLRNDLLVAADSITNTMSSLVKELH SGAEAEEQAGTEKTREGLPPRGTFLSVFLLHTWTKLAGCQTHSTSRERSQAYGKWGGTA >ENSMUSP00000134390.1 pep:known chromosome:GRCm38:12:3572417:3781359:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000173483.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNQEDNAEYVFRH HDG >ENSMUSP00000128230.2 pep:known chromosome:GRCm38:12:3572433:3781398:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000164607.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLRYIFSQMSDSNGLMMFGKLDQFLKEALKLPTA VFEGPSFGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHP VECSYCHCESMMGFRYRCQQCHNYQLCQNCFWRGHASGAHSNQHQMKEHSSWKSPAKKLS HAISKSLGCVPSREPPHPVFPEQPEKPLDLAHLVPPRPLTNMNDTVVSHMSSGVPTPTKR LQYSQDMPNLLADEHALIASYVARLQHCTRVLDSPSRLDEEHRLIARYAARLAAEAGNMT RPPTDASFNFDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPMLLA ELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKAQATGSPHTSPTHGGGRPMPMP VRSTSAGSTPTHGPQDSLSGVGGDVQEAFAQGTRRNLRNDLLVAADSITNTMSSLVKELH SGEVTPVS >ENSMUSP00000133702.1 pep:known chromosome:GRCm38:12:3572434:3646787:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000174479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLRYIFSQMSDSNGLMMFGKLDQFLKEALKLPTA VFEGPSFGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENGEHS LTLHPSGYREEETAVFLAIIVKSCK >ENSMUSP00000133722.1 pep:known chromosome:GRCm38:12:3572453:3781395:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000173240.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLRYIFSQMSDSNGLMMFGKLDQFLKEALKLPTA VFEGPSFGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHP VECSYCHCESMMGFRYRCQQCHNYQLCQNCFWRGHASGAHSNQHQMKEHSSWKSPAKKLS HAISKSLGCVPSREPPHPVFPEQPEKPLDLAHLVPPRPLTNMNDTVVSHMSSGVPTPTKS VLDSPSRLDEEHRLIARYAARLAAEAGNMTRPPTDASFNFDANKQQRQLIAELENKNREI LQEIQRLRLEHEQASQPTPEKAQQNPMLLAELRLLRQRKDELEQRMSALQESRRELMVQL EGLMKLLKEEEQKQAAQATGSPHTSPTHGGGRPMPMPVRSTSAGSTPTHGPQDSLSGVGG DVQEAFAQAEKGTEKEEKMQDEKDRG >ENSMUSP00000133601.1 pep:known chromosome:GRCm38:12:3572463:3781142:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000174639.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD RRR >ENSMUSP00000133697.1 pep:known chromosome:GRCm38:12:3572465:3781393:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000174290.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLR >ENSMUSP00000134146.1 pep:known chromosome:GRCm38:12:3572470:3781395:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000174663.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLRYIFSQMSDSNGLMMFGKLDQFLKEALKLPTA VFEGPSFGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHP VECSYCHCESMMGFRYRCQQCHNYQLCQNCFWRGHASGAHSNQHQMKEHSSWKSPAKKLS HAISKSLGCVPSREPPHPVFPEQPEKPLDLAHLVPPRPLTNMNDTVVSHMSSGVPTPTKR LQYSQDMPNLLADEHALIASYVARLQHCTRVLDSPSRLDEEHRLIARYAARLAAEAGNMT RPPTDASFNFDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPMLLA ELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKEEEQKQAAQATGSPHTSPTHGG GRPMPMPVRSTSAGSTPTHGPQDSLSGVGGDVQEAFAQGTRRNLRNDLLVAADSITNTMS SLVKELHSAEKGTEKEEKMQDEKDRG >ENSMUSP00000134519.1 pep:known chromosome:GRCm38:12:3572475:3781395:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000173736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLRYIFSQMSDSNGLMMFGKLDQFLKEALKLPTA VFEGPSFGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHP VECSYCHCESMMGFRYRCQQCHNYQLCQNCFWRGHASGAHSNQHQMKEHSSWKSPAKKLS HAISKSLGCVPSREPPHPVFPEQPEKPLDLAHLVPPRPLTNMNDTVVSHMSSGVPTPTKS VLDSPSRLDEEHRLIARYAARLAAEAGNMTRPPTDASFNFDANKQQRQLIAELENKNREI LQEIQRLRLEHEQASQPTPEKAQQNPMLLAELRLLRQRKDELEQRMSALQESRRELMVQL EGLMKLLKAQATGSPHTSPTHGGGRPMPMPVRSTSAGSTPTHGPQDSLSGVGGDVQEAFA QAEKGTEKEEKMQDEKDRG >ENSMUSP00000099161.4 pep:known chromosome:GRCm38:12:3572523:3781505:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000101637.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLRYIFSQMSDSNGLMMFGKLDQFLKEALKLPTA VFEGPSFGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHP VECSYCHCESMMGFRYRCQQCHNYQLCQNCFWRGHASGAHSNQHQMKEHSSWKSPAKKLS HAISKSLGCVPSREPPHPVFPEQPEKPLDLAHLVPPRPLTNMNDTVVSHMSSGVPTPTKR LQYSQDMPNLLADEHALIASYVARLQHCTRVLDSPSRLDEEHRLIARYAARLAAEAGNMT RPPTDASFNFDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPMLLA ELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKEEEQKQAAQATGSPHTSPTHGG GRPMPMPVRSTSAGSTPTHGPQDSLSGVGGDVQEAFAQGTRRNLRNDLLVAADSITNTMS SLVKELHSGEVTPVS >ENSMUSP00000133774.1 pep:known chromosome:GRCm38:12:3572536:3596852:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000173998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMLHLVDIWNMIEAFRDNGLNTLDHSTEISVSRLETVISS IYYQLNKRLPSTHQISVEQSISLLLNFMVA >ENSMUSP00000134151.1 pep:known chromosome:GRCm38:12:3572620:3751903:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000174109.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD RHFLPDVRFQWLNDVWKA >ENSMUSP00000077085.6 pep:known chromosome:GRCm38:12:3572984:3781391:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000077930.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLRYIFSQMSDSNGLMMFGKLDQFLKEALKLPTA VFEGPSFGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHP VECSYCHCESMMGFRYRCQQCHNYQLCQNCFWRGHASGAHSNQHQMKEHSSWKSPAKKLS HAISKSLGCVPSREPPHPVFPEQPEKPLDLAHLVPPRPLTNMNDTVVSHMSSGVPTPTKR LQYSQDMPNLLADEHALIASYVARLQHCTRVLDSPSRLDEEHRLIARYAARLAAEAGNMT RPPTDASFNFDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPMLLA ELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKAQATGSPHTSPTHGGGRPMPMP VRSTSAGSTPTHGPQDSLSGVGGDVQEAFAQGTRRNLRNDLLVAADSITNTMSSLVKELH SG >ENSMUSP00000133927.1 pep:known chromosome:GRCm38:12:3589172:3781395:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000174547.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] MIEEGGNKRKTMAEKRQLFIEMRAQNFDVIRLSTYRTACKLRFVQKRCNLHLVDIWNMIE AFRDNGLNTLDHSTEISVSRLETVISSIYYQLNKRLPSTHQISVEQSISLLLNFMVAAYD SEGRGKLTVFSVKAMLATMCGGKMLDKLRYIFSQMSDSNGLMMFGKLDQFLKEALKLPTA VFEGPSFGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHP VECSYCHCESMMGFRYRCQQCHNYQLCQNCFWRGHASGAHSNQHQMKEHSSWKSPAKKLS HAISKSLGCVPSREPPHPVFPEQPEKPLDLAHLVPPRPLTNMNDTVVSHMSSGVPTPTKR LQYSQDMPNLLADEHALIASYVARLQHCTRVLDSPSRLDEEHRLIARYAARLAAEAGNMT RPPTDASFNFDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPMLLA ELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKEEEQKQAAQATGSPHTSPTHGG GRPMPMPVRSTSAGSTPTHGPQDSLSGVGGDVQEAFAQAEKGTEKEEKMQDEKDRG >ENSMUSP00000134124.1 pep:known chromosome:GRCm38:12:3632922:3781395:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000172598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] XGYTEHAVRTCFPQQKKIMLNMFLDTMMADPPPQCLVWLPLMHRLAHVENVFHPVECSYC HCESMMGFRYRCQQCHNYQLCQNCFWRGHASGAHSNQHQMKEHSSWKSPAKKLSHAISKS LGCVPSREPPHPVFPEQPEKPLDLAHLVPPRPLTNMNDTVVSHMSSGVPTPTKRLQYSQD MPNLLADEHALIASYVARLQHCTRVLDSPSRLDEEHRLIARYAARLAAEAGNMTRPPTDA SFNFDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPMLLAELRLLR QRKDELEQRMSALQESRRELMVQLEGLMKLLKAQATGSPHTSPTHGGGRPMPMPVRSTSA GSTPTHGPQDSLSGVGGDVQEAFAQGTRRNLRNDLLVAADSITNTMSSLVKELHSAEKGT EKEEKMQDEKDRGAEAEEQAGTEKTREGLPPRGTFLSVFLLHTWTKLAGCQTHSTSRERS QAYGKWGGTA >ENSMUSP00000134036.1 pep:known chromosome:GRCm38:12:3749543:3781398:1 gene:ENSMUSG00000071454.13 transcript:ENSMUST00000173542.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dtnb description:dystrobrevin, beta [Source:MGI Symbol;Acc:MGI:1203728] QQNPMLLAELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKEEEQKQAAQATGSP HTSPTHGGGRPMPMPVRSTSAGSTPTHGPQDSLSGVGGDVQEAFAQG >ENSMUSP00000036265.9 pep:known chromosome:GRCm38:17:34839244:34850210:-1 gene:ENSMUSG00000040356.16 transcript:ENSMUST00000046022.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skiv2l description:superkiller viralicidic activity 2-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1099835] MMETERLVLPPLDPLNLPLRALEVGCTGRWELLNVPGPPESTLPHGLPPCAPDLCQEAEQ LFLSSPAWLPLHGVEHSARKWQRKTDPWSLLAAVETPVPSDLQAQRHPTTGHILGYKEVL LENTNLSATTSLSLRRPPGPASQSLWGNPTQYPFWPGGMDEPSITDLHTREEAEEEIDFE KDLLTVPPGFKKGVDFAPKDHPAPVPGLLSLSRLLEPLDLSGGDEDEGEAAGGPRGDNAS PSPSGTPLVRASSLEDLVLKEAATVVSTPEPPKPPPQEQWAVPVDVTSPVGDFYRLIPQP AFQWAFEPDVFQKQAILHLEQHDSVFVAAHTSAGKTVVAEYAIALAQKHMTRTIYTSPIK ALSNQKFRDFRNTFGDVGLLTGDVQLHPEASCLIMTTEILRSMLYSGSDVIRDLEWVIFD EVHYINDAERGVVWEEVLIMLPEHVSIILLSATVPNALEFADWIGRLKRRQIYVISTVAR PVPLEHYLFTGNSPKTQGELFLLLDSRGAFHTQGYYAAVEAKKERMSKHAQTFGAKQPTH QGGPAQDRGVYLSLLASLRTRAQLPVVVFTFSRGRCDEQASGLTSLDLTTSSEKSEIHLF LQRCLARLRGSDRQLPQVLHMSELLRRGLGVHHSGILPILKEIVEMLFSRGLVKVLFATE TFAMGVNMPARTVVFDSMRKHDGSTFRDLLPGEYVQMAGRAGRRGLDPTGTVILLCKGRV PEMADLHRMMMGKPSQLQSQFRLTYTMILNLLRVDALRVEDMMKRSFSEFPSRKDSKAHE QALADLTKRLGALEEPDVTGQLADLPEYYSWAEELTETQNMIQRRIMESVNGLKSLSVGR VVVVKNEEHHNALGVILQVSSNSTSRVFTTLVLCDKPVVSDNPRDKGPATPDVPHPDDLI GFKLFLPEGPCEHTVAKLQPGDVAAISTKVLRVNGEKISEDFSKRQQPKFRKDPPLAAVT TAVQELLRLAQSYPAGPPTLDPINDLQLKDVAVVEGGLRARKLEELIRGAQCVHSPRFPA QYVKLRERMQIQKEMERLRFLLSDQSLLLLPEYHQRVEVLRTLGYVDEAGTVKLAGRVAC AMSSHELLLTELMFDNALSALRPEEIAALLSGLVCQSPGDPGDQLPSTLKQGVERVKAVA KRIGEVQVACGLNQTVEEFVGELNFGLVEVVYEWARGMPFSELAGLSGTPEGLVVRCIQR LAEMCRSLRGAARLVGEPVLGAKMETAATLLRRDIVFAASLYTQ >ENSMUSP00000134209.1 pep:known chromosome:GRCm38:17:34840602:34842865:-1 gene:ENSMUSG00000040356.16 transcript:ENSMUST00000173415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skiv2l description:superkiller viralicidic activity 2-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1099835] LLCKGRVPEMADLHRMMMGKPSQLQSQFRLTYTMILNLLRVDALRVEDMMKRSFSEFPSR KDSKAHEQALADLTKRLGALEEPDVTGQLADLPEYYSWAEELTETQNMIQVSSNSTSRVF TTLVLCDKPVVSDNPRDKGPATPDVPHPDDLIGFKLFLPEGPCEHTVAKLQPGDVAAIST KVLRVNGEKISEDFSKRQQPKFRKDPPLAAVTTAVQELLRLAQSYPAGPPTLDPINDLQL KD >ENSMUSP00000134294.1 pep:known chromosome:GRCm38:17:34846522:34850195:-1 gene:ENSMUSG00000040356.16 transcript:ENSMUST00000172966.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Skiv2l description:superkiller viralicidic activity 2-like (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1099835] MMETERLENGRGRRIPGHSWLPWRLQSHLTFRPRDTQPQAIYWAIRRSCWRTQICQLQPP CPFAGLQGQPPSRYGAIQHSTLSGQVGWMSPA >ENSMUSP00000073794.1 pep:known chromosome:GRCm38:10:130126108:130127055:-1 gene:ENSMUSG00000095804.1 transcript:ENSMUST00000074161.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr824 description:olfactory receptor 824 [Source:MGI Symbol;Acc:MGI:3030658] MKLEQSRNYTELTDFILLGFWTSPEAQVPLFLLFLFIYLVILLGNLSMLTVIKIDSRLHT PMYFFLQNLSFLDLCYSTVIAPKTLATIFSKEKKISYNECATQFFFFALFVGTEGFLLAV MAYDRFSAICSPFLYTVHMSQPACIRLVAGSYICGCINSMIQTGFTFSLRFCGENRLDHF FCDVPALIKISCVDTFVNEIVLFILSALIIISTITIILVSYAYILSTVLKIPSTHGRSKT FSTCGSHIAVVSLFYGTVFFMYAQPGSISSPEKSKIVAVFYTLIIPMLNPLIYSLRNTEV KSALKKTLLRKISWQ >ENSMUSP00000143441.1 pep:known chromosome:GRCm38:3:89746195:89764608:-1 gene:ENSMUSG00000027950.7 transcript:ENSMUST00000200558.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrnb2 description:cholinergic receptor, nicotinic, beta polypeptide 2 (neuronal) [Source:MGI Symbol;Acc:MGI:87891] MARCSNSMALLFSFGLLWLCSGVLGTDTEERLVEHLLDPSRYNKLIRPATNGSELVTVQL MVSLAQLISVHEREQIMTTNVWLTQEWEDYRLTWKPEDFDNMKKVRLPSKHIWLPDVVLY NNADGMYEVSFYSNAVVSYDGSIFWLPPAIYKSACKIEVKHFPFDQQNCTMKFRSWTYDR TEIDLVLKSDVASLDDFTPSGEWDIIALPGRRNENPDDSTYVDITYDFIIRRKPLFYTIN LIIPCVLITSLAILVFYLPSDCGEKMTLCISVLLALTVFLLLISKIVPPTSLDVPLVGKY LMFTMVLVTFSIVTSVCVLNVHHRSPTTHTMAPWVKVVFLEKLPTLLFLQQPRHRCARQR LRLRRRQREREGAGTLFFREGPAADPCTCFVNPASMQGLAGAFQAEPAAAGLGRSMGPCS CGLREAVDGVRFIADHMRSEDDDQSMLFHRLDRTLHLSIYEHSCSPQVCFHAHTLPSWGE CGGVEHRLCGDCIYTSAGRERSDGIGHWRTEEGTATAGRLSVLSSPVTPTSHRPSLLHTL SHDQDTVWLSFYFQWTLPRAPRAHGAPQQPGVTQLSQATDTRKRTPLACMSPHCQLAPTT HHSPPWCEACLAWDFQRQGNGGTLCFPRHGHCRICQRGPHLHMAPCCQTITLVRTRPSPP PSLSSLMGLETICHQCQGHFLNPMWELAAGMPLPSGHAPQTQAGGAIVQVGGWSQGNTWT AEPGVQT >ENSMUSP00000029562.3 pep:known chromosome:GRCm38:3:89752433:89764632:-1 gene:ENSMUSG00000027950.7 transcript:ENSMUST00000029562.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chrnb2 description:cholinergic receptor, nicotinic, beta polypeptide 2 (neuronal) [Source:MGI Symbol;Acc:MGI:87891] MARCSNSMALLFSFGLLWLCSGVLGTDTEERLVEHLLDPSRYNKLIRPATNGSELVTVQL MVSLAQLISVHEREQIMTTNVWLTQEWEDYRLTWKPEDFDNMKKVRLPSKHIWLPDVVLY NNADGMYEVSFYSNAVVSYDGSIFWLPPAIYKSACKIEVKHFPFDQQNCTMKFRSWTYDR TEIDLVLKSDVASLDDFTPSGEWDIIALPGRRNENPDDSTYVDITYDFIIRRKPLFYTIN LIIPCVLITSLAILVFYLPSDCGEKMTLCISVLLALTVFLLLISKIVPPTSLDVPLVGKY LMFTMVLVTFSIVTSVCVLNVHHRSPTTHTMAPWVKVVFLEKLPTLLFLQQPRHRCARQR LRLRRRQREREGAGTLFFREGPAADPCTCFVNPASMQGLAGAFQAEPAAAGLGRSMGPCS CGLREAVDGVRFIADHMRSEDDDQSVREDWKYVAMVIDRLFLWIFVFVCVFGTIGMFLQP LFQNYTATTFLHSDHSAPSSK >ENSMUSP00000142259.1 pep:known chromosome:GRCm38:3:63241537:63327826:1 gene:ENSMUSG00000027820.12 transcript:ENSMUST00000194324.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mme description:membrane metallo endopeptidase [Source:MGI Symbol;Acc:MGI:97004] MGRSESQMDITDINAPKPKKKQRWTPLEISLSVLVLLLTIIAVTMIALYATYDDGICKSS DCIKSAARLIQNMDASVEPCTDFFKYACGGWLKRNVIPETSSRYSNFDILRDELEVILKD VLQEPKTEDIVAV >ENSMUSP00000141452.1 pep:known chromosome:GRCm38:3:63295266:63328195:1 gene:ENSMUSG00000027820.12 transcript:ENSMUST00000194836.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mme description:membrane metallo endopeptidase [Source:MGI Symbol;Acc:MGI:97004] MGRSESQMDITDINAPKPKKKQRWTPLEISLSVLVLLLTIIAVTMIALYATYDDGICKSS DCIKSAARLIQNMDASVEPCTDFFKYACGGWLKRNVIPETSSRYSNFDILRDELEVILKD VLQEPKTEDIVAVQKAKTLYRSCINESAIDSRGGQPLLKLLPDIYGWPVASDNWDQTYGT SWTAEKS >ENSMUSP00000141469.1 pep:known chromosome:GRCm38:3:63295448:63328187:1 gene:ENSMUSG00000027820.12 transcript:ENSMUST00000191633.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mme description:membrane metallo endopeptidase [Source:MGI Symbol;Acc:MGI:97004] MGRSESQMDITDINAPKPKKKQRWTPLEISLSVLVLLLTIIAVTMIALYATYDDGICKSS DCIKSDVLQEPKTEDIVAVQKAKTLYRSCINESAIDSRGGQPLLKLLPDIYGWPVASDNW DQTYGTSWTA >ENSMUSP00000141544.1 pep:known chromosome:GRCm38:3:63295561:63381096:1 gene:ENSMUSG00000027820.12 transcript:ENSMUST00000194150.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mme description:membrane metallo endopeptidase [Source:MGI Symbol;Acc:MGI:97004] MGRSESQMDITDINAPKPKKKQRWTPLEISLSVLVLLLTIIAVTMIALYATYDDGICKSS DCIKSAARLIQNMDASVEPCTDFFKYACGGWLKRNVIPETSSRYSNFDILRDELEVILKD VLQEPKTEDIVAVQKAKTLYRSCINESAIDSRGGQPLLKLLPDIYGWPVASDNWDQTYGT SWTAEKSIAQLNSKYGKKVLINFFVGTDDKNSTQHIIHFDQPRLGLPSRDYYECTGIYKE ACTAYVDFMISVARLIRQEQSLPIDENQLSLEMNKVMELEKEIANATTKPEDRNDPMLLY NKMTLAKLQNNFSLEVNGKSFSWSNFTNEIMSTVNINIQNEEEVVVYAPEYLTKLKPILT KYSPRDLQNLMSWRFIMDLVSSLSRNYKESRNAFRKALYGTTSETATWRRCANYVNGNME NAVGRLYVEAAFAGESKHVVEDLIAQIREVFIQTLDDLTWMDAETKKKAEEKALAIKERI GYPDDIISNENKLNNEYLELNYREDEYFENIIQNLKFSQSKQLKKLREKVDKDEWISGAA VVNAFYSSGRNQIVFPAGILQPPFFSAQQSNSLNYGGIGMVIGHEITHGFDDNGRNFNKD GDLVDWWTQQSANNFKDQSQCMVYQYGNFSWDLAGGQHLNGINTLGENIADNGGIGQAYR AYQNYVKKNGEEKLLPGLDLNHKQLFFLNFAQVWCGTYRPEYAVNSIKTDVHSPGNFRII GTLQNSAEFADAFHCRKNSYMNPERKCRVW >ENSMUSP00000142205.1 pep:known chromosome:GRCm38:3:63295872:63386030:1 gene:ENSMUSG00000027820.12 transcript:ENSMUST00000194134.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mme description:membrane metallo endopeptidase [Source:MGI Symbol;Acc:MGI:97004] MGRSESQMDITDINAPKPKKKQRWTPLEISLSVLVLLLTIIAVTMIALYATYDDGICKSS DCIKSAARLIQNMDASVEPCTDFFKYACGGWLKRNVIPETSSRYSNFDILRDELEVILKD VLQEPKTEDIVAVQKAKTLYRSCINESAIDSRGGQPLLKLLPDIYGWPVASDNWDQTYGT SWTAEKSIAQLNSKYGKKVLINFFVGTDDKNSTQHIIHFDQPRLGLPSRDYYECTGIYKE ACTAYVDFMISVARLIRQEQSLPIDENQLSLEMNKVMELEKEIANATTKPEDRNDPMLLY NKMTLAKLQNNFSLEVNGKSFSWSNFTNEIMSTVNINIQNEEEVVVYAPEYLTKLKPILT KYSPRDLQNLMSWRFIMDLVSSLSRNYKESRNAFRKALYGTTSETATWRRCANYVNGNME NAVGRLYVEAAFAGESKHVVEDLIAQIREVFIQTLDDLTWMDAETKKKAEEKALAIKERI GYPDDIISNENKLNNEYLELNYREDEYFENIIQNLKFSQSKQLKKLREKVDKDEWISGAA VVNAFYSSGRNQIVFPAGILQPPFFSAQQSNSLNYGGIGMVIGHEITHGFDDNGRNFNKD GDLVDWWTQQSANNFKDQSQCMVYQYGNFSWDLAGGQHLNGINTLGENIADNGGIGQAYR AYQNYVKKNGEEKLLPGLDLNHKQLFFLNFAQVWCGTYRPEYAVNSIKTDVHSPGNFRII GTLQNSAEFADAFHCRKNSYMNPERKCRVW >ENSMUSP00000029400.1 pep:known chromosome:GRCm38:3:63296095:63381623:1 gene:ENSMUSG00000027820.12 transcript:ENSMUST00000029400.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mme description:membrane metallo endopeptidase [Source:MGI Symbol;Acc:MGI:97004] MGRSESQMDITDINAPKPKKKQRWTPLEISLSVLVLLLTIIAVTMIALYATYDDGICKSS DCIKSAARLIQNMDASVEPCTDFFKYACGGWLKRNVIPETSSRYSNFDILRDELEVILKD VLQEPKTEDIVAVQKAKTLYRSCINESAIDSRGGQPLLKLLPDIYGWPVASDNWDQTYGT SWTAEKSIAQLNSKYGKKVLINFFVGTDDKNSTQHIIHFDQPRLGLPSRDYYECTGIYKE ACTAYVDFMISVARLIRQEQSLPIDENQLSLEMNKVMELEKEIANATTKPEDRNDPMLLY NKMTLAKLQNNFSLEVNGKSFSWSNFTNEIMSTVNINIQNEEEVVVYAPEYLTKLKPILT KYSPRDLQNLMSWRFIMDLVSSLSRNYKESRNAFRKALYGTTSETATWRRCANYVNGNME NAVGRLYVEAAFAGESKHVVEDLIAQIREVFIQTLDDLTWMDAETKKKAEEKALAIKERI GYPDDIISNENKLNNEYLELNYREDEYFENIIQNLKFSQSKQLKKLREKVDKDEWISGAA VVNAFYSSGRNQIVFPAGILQPPFFSAQQSNSLNYGGIGMVIGHEITHGFDDNGRNFNKD GDLVDWWTQQSANNFKDQSQCMVYQYGNFSWDLAGGQHLNGINTLGENIADNGGIGQAYR AYQNYVKKNGEEKLLPGLDLNHKQLFFLNFAQVWCGTYRPEYAVNSIKTDVHSPGNFRII GTLQNSAEFADAFHCRKNSYMNPERKCRVW >ENSMUSP00000141483.1 pep:known chromosome:GRCm38:3:63297052:63328067:1 gene:ENSMUSG00000027820.12 transcript:ENSMUST00000192002.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mme description:membrane metallo endopeptidase [Source:MGI Symbol;Acc:MGI:97004] MGRSESQMDITDINAPKPKKKQRWTPLEISLSVLVLLLTIIAVTMIALYATYDDGICKSS DCIKSAARLIQNMDASVEPCTDFFKYACGGWLKRNVIPETSSRYSNFDILRDELEVILKD VLQEPKTEDIVAVQKAKTLYRSCINESAIDSRGGQPLLKLLPDIYGWPVASDNWDQTY >ENSMUSP00000130898.2 pep:known chromosome:GRCm38:19:46887828:47015153:-1 gene:ENSMUSG00000025041.16 transcript:ENSMUST00000172239.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c2 description:5'-nucleotidase, cytosolic II [Source:MGI Symbol;Acc:MGI:2178563] MVPGSGPGARLRRDLARTRRIHPTNKMTTSWSDRLQNAADVPANMDKHALKKYRREAYHR VFVNRSLAMEKIKCFGFDMDYTLAVYKSPEYESLGFELTVERLVSIGYPQELLSFAYDST FPTRGLVFDTLYGNLLKVDAYGNLLVCAHGFNFIRGPETREQYPNKFIQRDDTERFYILN TLFNLPETYLLACLVDFFTNCPRYTSCDTGFKDGDLFMSYRSMFQDVRDAVDWVHYKGSL KEKTVENLEKYVVKDGKLPLLLSRMKEVGKVFLATNSDYKYTDKIMTYLFDFPHGPKPGS SHRPWQSYFDLILVDARKPLFFGEGTVLRQVDTKTGKLKIGTYTGPLQHGIVYSGGSSDT ICDLLGAKGKDILYIGDHIFGDILKSKKRQGWRTFLVIPELAQELHVWTDKSSLFEELQS LDIFLAELYKHLDSSSNERPDISSIQRRIKKVTHDMDMCYGMMGSLFRSGSRQTLFASQV MRYADLYAASFINLLYYPFSYLFRAAHVLMPHESTVEHTHVDINEMESPLATRNRTSVDF KDTDYKRHQLTRSISEIKPPNLFPLAPQEITHCHDEDDDEEEEEEE >ENSMUSP00000134590.1 pep:known chromosome:GRCm38:19:46891509:46898669:-1 gene:ENSMUSG00000025041.16 transcript:ENSMUST00000174731.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nt5c2 description:5'-nucleotidase, cytosolic II [Source:MGI Symbol;Acc:MGI:2178563] XLACLVDFFTNCPRYTSEFSASERCHIASLRDGQRQQLHAGSHLPHGPSPCQCAAAMFGR DHL >ENSMUSP00000084180.7 pep:known chromosome:GRCm38:19:46886831:46969474:-1 gene:ENSMUSG00000025041.16 transcript:ENSMUST00000086961.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c2 description:5'-nucleotidase, cytosolic II [Source:MGI Symbol;Acc:MGI:2178563] MQLRFRAIRCCLLTSKSTRIHPTNKMTTSWSDRLQNAADVPANMDKHALKKYRREAYHRV FVNRSLAMEKIKCFGFDMDYTLAVYKSPEYESLGFELTVERLVSIGYPQELLSFAYDSTF PTRGLVFDTLYGNLLKVDAYGNLLVCAHGFNFIRGPETREQYPNKFIQRDDTERFYILNT LFNLPETYLLACLVDFFTNCPRYTSCDTGFKDGDLFMSYRSMFQDVRDAVDWVHYKGSLK EKTVENLEKYVVKDGKLPLLLSRMKEVGKVFLATNSDYKYTDKIMTYLFDFPHGPKPGSS HRPWQSYFDLILVDARKPLFFGEGTVLRQVDTKTGKLKIGTYTGPLQHGIVYSGGSSDTI CDLLGAKGKDILYIGDHIFGDILKSKKRQGWRTFLVIPELAQELHVWTDKSSLFEELQSL DIFLAELYKHLDSSSNERPDISSIQRRIKKVTHDMDMCYGMMGSLFRSGSRQTLFASQVM RYADLYAASFINLLYYPFSYLFRAAHVLMPHESTVEHTHVDINEMESPLATRNRTSVDFK DTDYKRHQLTRSISEIKPPNLFPLAPQEITHCHDEDDDEEEEEEE >ENSMUSP00000129126.1 pep:known chromosome:GRCm38:19:46886831:46962351:-1 gene:ENSMUSG00000025041.16 transcript:ENSMUST00000168536.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nt5c2 description:5'-nucleotidase, cytosolic II [Source:MGI Symbol;Acc:MGI:2178563] MTTSWSDRLQNAADVPANMDKHALKKYRREAYHRVFVNRSLAMEKIKCFGFDMDYTLAVY KSPEYESLGFELTVERLVSIGYPQELLSFAYDSTFPTRGLVFDTLYGNLLKVDAYGNLLV CAHGFNFIRGPETREQYPNKFIQRDDTERFYILNTLFNLPETYLLACLVDFFTNCPRYTS CDTGFKDGDLFMSYRSMFQDVRDAVDWVHYKGSLKEKTVENLEKYVVKDGKLPLLLSRMK EVGKVFLATNSDYKYTDKIMTYLFDFPHGPKPGSSHRPWQSYFDLILVDARKPLFFGEGT VLRQVDTKTGKLKIGTYTGPLQHGIVYSGGSSDTICDLLGAKGKDILYIGDHIFGDILKS KKRQGWRTFLVIPELAQELHVWTDKSSLFEELQSLDIFLAELYKHLDSSSNERPDISSIQ RRIKKVTHDMDMCYGMMGSLFRSGSRQTLFASQVMRYADLYAASFINLLYYPFSYLFRAA HVLMPHESTVEHTHVDINEMESPLATRNRTSVDFKDTDYKRHQLTRSISEIKPPNLFPLA PQEITHCHDEDDDEEEEEEE >ENSMUSP00000044535.7 pep:known chromosome:GRCm38:10:9755547:9900683:-1 gene:ENSMUSG00000019790.16 transcript:ENSMUST00000038213.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp5 description:syntaxin binding protein 5 (tomosyn) [Source:MGI Symbol;Acc:MGI:1926058] MRKFNIRKVLDGLTAGSSSASQQQQQQQHPPGNREPEIQETLQSEHFQLCKTVRHGFPYQ PSALAFDPVQKILAVGTQTGALRLFGRPGVECYCQHDSGAAVIQLQFLINEGALVSALAD DTLHLWNLRQKRPAVLHSLKFCRERVTFCHLPFQSKWLYVGTERGNIHIVNVESFTLSGY VIMWNKAIELSSKAHPGPVVHISDNPMDEGKLLIGFESGTVVLWDLKSKKADYRYTYDEA IHSVAWHHEGKQFICSHSDGTLTIWNVRSPAKPVQTITPHGKQLKDGKKPEPCKPILKVE LKTTRSGEPFIILSGGLSYDTVGRRPCLTVMHGKSTAVLEMDYSIVDFLTLCETPYPNDF QEPYAVVVLLEKDLVLIDLAQNGYPIFENPYPLSIHESPVTCCEYFADCPVDLIPALYSV GARQKRQGYSKKEWPINGGNWGLGAQSYPEIIITGHADGSVKFWDASAITLQVLYKLKTS KVFEKSRNKDDRQNTDIVDEDPYAIQIISWCPESRMLCIAGVSAHVIIYRFSKQEVLTEV IPMLEVRLLYEINDVDTPEGEQPPPLSTPVGSSNPQPIPPQSHPSTSSSSSDGLRDNVPC LKVKNSPLKQSPGYQTELVIQLVWVGGEPPQQITSLALNSSYGLVVFGNCNGIAMVDYLQ KAVLLNLSTIELYGSNDPYRREPRSPRKSRQPSGAGLCDITEGTVVPEDRCKSPTSGSSS PHNSDDEQKVNNFIEKVKTQSRKFSKMVANDLAKMSRKLSLPTDLKPDLDVKDNSFSRSR SSSVTSIDKESRETISALHFCETLTRKADSSPSPCLWVGTTVGTAFVITLNLPPGPEQRL LQPVIVSPSGTILRLKGAILRMAFLDATGCLMSPAYEPWKEHNVAEEKDEKEKLKKRRPV SVSPSSSQEISENQYAVICSEKQAKVMSLPTQSCAYKQNITETSFVLRGDIVALSNSVCL ACFCANGHIMTFSLPSLRPLLDVYYLPLTNMRIARTFCFANNGQALYLVSPTEIQRLTYS QETCENLQEMLGELFTPVETPEAPNRGFFKGLFGGGAQSLDREELFGESSSGKASRSLAQ HIPGPGGIEGVKGAASGVVGELARARLALDERGQKLSDLEERTAAMMSSADSFSKHAHEM MLKYKDKKWYQF >ENSMUSP00000123253.1 pep:known chromosome:GRCm38:10:9759958:9901079:-1 gene:ENSMUSG00000019790.16 transcript:ENSMUST00000141722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp5 description:syntaxin binding protein 5 (tomosyn) [Source:MGI Symbol;Acc:MGI:1926058] MRKFNIRKVLDGLTAGSSSASQQQQQQQHPPGNREPEIQETLQSEHFQLCKTVRHGFPYQ PSALAFDPVQKILAVGTQTGALRLFGRPGVECYCQHDSGAAVIQLQFLINEGALVSALAD DTLHLWNLRQKRPAVLHSLKFCRERVTFCHLPFQSKWLYVGTERGNIHIVNVESFTLSGY VIMWNKAIELSSKAHPGPVVHISDNPMDEGKLLIGFESGTVVLWDLKSKKADYRYTYDEA IHSVAWHHEGKQFICSHSDGTLTIWNVRSPAKPVQTITPHGKQLKDGKKPEPCKPILKVE LKTTRSGEPFIILSGGLSYDTVGRRPCLTVMHGKSTAVLEMDYSIVDFLTLCETPYPNDF QEPYAVVVLLEKDLVLIDLAQNGYPIFENPYPLSIHESPVTCCEYFADCPVDLIPALYSV GARQKRQGYSKKEWPINGGNWGLGAQSYPEIIITGHADGSVKFWDASAITLQVLYKLKTS KVFEKSRNKDDRQNTDIVDEDPYAIQIISWCPESRMLCIAGVSAHVIIYRFSKQEVLTEV IPMLEVRLLYEINDVDTPEGEQPPPLSTPVGSSNPQPIPPQSHPSTSSSSSDGLRDNVPC LKVKNSPLKQSPGYQTELVIQLVWVGGEPPQQITSLALNSSYGLVVFGNCNGIAMVDYLQ KAVLLNLSTIELYGSNDPYRREPRSPRKSRQPSGAGLCDITEGTVVPEDRCKSPTSAKMS RKLSLPTDLKPDLDVKDNSFSRSRSSSVTSIDKESRETISALHFCETLTRKADSSPSPCL WVGTTVGTAFVITLNLPPGPEQRLLQPVIVSPSGTILRLKGAILRMAFLDATGCLMSPAY EPWKEHNVAEEKDEKEKLKKRRPVSVSPSSSQEISENQYAVICSEKQAKVMSLPTQSCAY KQNITETSFVLRGDIVALSNSVCLACFCANGHIMTFSLPSLRPLLDVYYLPLTNMRIART FCFANNGQALYLVSPTEIQRLTYSQETCENLQEMLGELFTPVETPEAPNRGFFKGLFGGG AQSLDREELFGESSSGKASRSLAQHIPGPGGIEGVKGAASGVVGELARARLALDERGQKL SDLEERTAAMMSSADSFSKHAHEMMLKYKDKKWYQF >ENSMUSP00000121507.1 pep:known chromosome:GRCm38:10:9760913:9900683:-1 gene:ENSMUSG00000019790.16 transcript:ENSMUST00000125200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp5 description:syntaxin binding protein 5 (tomosyn) [Source:MGI Symbol;Acc:MGI:1926058] MRKFNIRKVLDGLTAGSSSASQQQQQQQHPPGNREPEIQETLQSEHFQLCKTVRHGFPYQ PSALAFDPVQKILAVGTQTGALRLFGRPGVECYCQHDSGAAVIQLQFLINEGALVSALAD DTLHLWNLRQKRPAVLHSLKFCRERVTFCHLPFQSKWLYVGTERGNIHIVNVESFTLSGY VIMWNKAIELSSKAHPGPVVHISDNPMDEGKLLIGFESGTVVLWDLKSKKADYRYTYDEA IHSVAWHHEGKQFICSHSDGTLTIWNVRSPAKPVQTITPHGKQLKDGKKPEPCKPILKVE LKTTRSGEPFIILSGGLSYDTVGRRPCLTVMHGKSTAVLEMDYSIVDFLTLCETPYPNDF QEPYAVVVLLEKDLVLIDLAQNGYPIFENPYPLSIHESPVTCCEYFADCPVDLIPALYSV GARQKRQGYSKKEWPINGGNWGLGAQSYPEIIITGHADGSVKFWDASAITLQVLYKLKTS KVFEKSRNKDDRQNTDIVDEDPYAIQIISWCPESRMLCIAGVSAHVIIYRFSKQEVLTEV IPMLEVRLLYEINDVDTPEGEQPPPLSTPVGSSNPQPIPPQSHPSTSSSSSDGLRDNVPC LKVKNSPLKQSPGYQTELVIQLVWVGGEPPQQITSLALNSSYGLVVFGNCNGIAMVDYLQ KAVLLNLSTIELYGSNDPYRREPRSPRKSRQPSGAGLCDITEGTVVPEDRCKSPTSDVKD NSFSRSRSSSVTSIDKESRETISALHFCETLTRKADSSPSPCLWVGTTVGTAFVITLNLP PGPEQRLLQPVIVSPSGTILRLKGAILRMAFLDATGCLMSPAYEPWKEHNVAEEKDEKEK LKKRRPVSVSPSSSQEISENQYAVICSEKQAKVMSLPTQSCAYKQNITETSFVLRGDIVA LSNSVCLACFCANGHIMTFSLPSLRPLLDVYYLPLTNMRIARTFCFANNGQALYLVSPTE IQRLTYSQETCENLQEMLGELFTPVETPEAPNRGFFKGLFGGGAQSLDREELFGESSSGK ASRSLAQHIPGPGGIEGVKGAASGVVGELARARLALDERGQKLSDLEERTAAMMSSADSF SKHAHEMMLKYKDKKWYQF >ENSMUSP00000123355.1 pep:known chromosome:GRCm38:10:9769437:9798197:-1 gene:ENSMUSG00000019790.16 transcript:ENSMUST00000136324.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stxbp5 description:syntaxin binding protein 5 (tomosyn) [Source:MGI Symbol;Acc:MGI:1926058] IELYGSNDPYRREPRSPRKSRQPSGGMLLGTPCMCGLSSLYSESVKKLRSSVITGLCDIT EGTVVPEDRCKSPTSAKMSRKLSLPTDLKPDLDVKDNSFSRSRSSSVTSIDKESRETISA LHFCETLTRKADSSPSPCLWVGTTVGTAFVITLNLPPGPEQRLLQPVIVSPSGTILRLKG AILRMAFLDATGCLMSPAYEPWKEHNVAEEKDEKEKLKKRRPVSVSPSSSQEISENQYAV ICSEKQAKVMSLPTQSCAYKQNIT >ENSMUSP00000101924.1 pep:known chromosome:GRCm38:4:119311314:119320499:-1 gene:ENSMUSG00000060288.13 transcript:ENSMUST00000106317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppih description:peptidyl prolyl isomerase H [Source:MGI Symbol;Acc:MGI:106499] MAVANSSPVNPVVFFDVSIGGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGY KGSTFHRVIKDFMIQGGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMANSGPSTN GCQFFITCSKCDWLDGKHVVFGKIIDGLLVMRKIEFQAPLGKRVQAWTHSLTCPALTGIL ALILMPTE >ENSMUSP00000101925.1 pep:known chromosome:GRCm38:4:119300010:119320546:-1 gene:ENSMUSG00000060288.13 transcript:ENSMUST00000106318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppih description:peptidyl prolyl isomerase H [Source:MGI Symbol;Acc:MGI:106499] MAVANSSPVNPVVFFDVSIGGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGY KGSTFHRVIKDFMIQGGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMANSGPSTN GCQFFITCSKCDWLDGKHVVFGKIIDGLLVMRKIENVPTGPNNKPKLPVVISQCGEM >ENSMUSP00000101928.2 pep:known chromosome:GRCm38:4:119307160:119320484:-1 gene:ENSMUSG00000060288.13 transcript:ENSMUST00000106321.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppih description:peptidyl prolyl isomerase H [Source:MGI Symbol;Acc:MGI:106499] MAVANSSPVNPVVFFDVSIGGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGY KGSTFHRVIKDFMIQGGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMANSGPSTN GCQFFITCSKCDWLDGKHVVFGKIIDGLLVMRKIENVPTGPNNKPKLPVVISQCGEM >ENSMUSP00000051221.7 pep:known chromosome:GRCm38:4:119300010:119320484:-1 gene:ENSMUSG00000060288.13 transcript:ENSMUST00000056458.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppih description:peptidyl prolyl isomerase H [Source:MGI Symbol;Acc:MGI:106499] MAVANSSPVNPVVFFDVSIGGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGY KGSTFHRVIKDFMIQGGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMANSGPSTN GCQFFITCSKCDWLDGKHVVFGKIIDGLLVMRKIENVPTGPNNKPKLPVVISQCGEM >ENSMUSP00000101926.1 pep:known chromosome:GRCm38:4:119300010:119320497:-1 gene:ENSMUSG00000060288.13 transcript:ENSMUST00000106319.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppih description:peptidyl prolyl isomerase H [Source:MGI Symbol;Acc:MGI:106499] MAVANSSPVNPVVFFDVSIGGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGY KGSTFHRVIKDFMIQGGDFVNGDGTGVASIYRGPFADENFKLRHSAPGLLSMNVPTGPNN KPKLPVVISQCGEM >ENSMUSP00000118407.1 pep:known chromosome:GRCm38:4:119306585:119320417:-1 gene:ENSMUSG00000060288.13 transcript:ENSMUST00000147077.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppih description:peptidyl prolyl isomerase H [Source:MGI Symbol;Acc:MGI:106499] GGQEVGRMKIELFADVVPKTAENFRQFCTGEFRKDGVPIGYKGSTFHRVIKDFMIQGGDF VNGDGTGVASIYRGPFADENFKLRHSAPGLLSMANSGPSTNGCQFFITCSKCDWLDGKHV VFGKIIDGLLVMRKIENVPTGPNNKPKLPVVISQCGEM >ENSMUSP00000062956.5 pep:known chromosome:GRCm38:7:106944067:106944999:-1 gene:ENSMUSG00000045581.6 transcript:ENSMUST00000055923.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr710 description:olfactory receptor 710 [Source:MGI Symbol;Acc:MGI:3030544] MGKLNHTYLTEFILLGLSSDHQTQILLFVVFLIIYLITVFGNLLIILLIHVDSRLHTPMY FFLKILSFNDLCFSTTIVPKMLVHFLGVRKTISFAGCSVQMFSFLIMGCTESSLLAVMSY DRYIAVCKPLHYSTIMTHKVCVLLVVGSWTSGIFVSVVDTSFTLCLTYRGPNIINHYFCE PPALLKLASEETYTAEMVIFAMGIIILLGPVSLILFSYWNIISTVVQIQSGEGRLKVFST CSSHFIVVIFFYGSTIFTYMQPNSKKMNEKDKVISVFYSIVTSMMNPFIYSLRNKDVKGA LKKVLKREIR >ENSMUSP00000068120.3 pep:known chromosome:GRCm38:6:55376343:55388530:1 gene:ENSMUSG00000004654.6 transcript:ENSMUST00000063578.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghrhr description:growth hormone releasing hormone receptor [Source:MGI Symbol;Acc:MGI:95710] MDGLMWATRILCLLSLCGVTLGHLHLECDFITQLRDDELACLQAAEGTNNTSLGCPGTWD GLLCWPPTGSGQWVSLPCPEFFSHFGSDTGFVKRDCTITGWSNPFPPYPVACPVPLELLT KEKSYFSTVKIIYTTGHSISIVALCVAIAILVALRRLHCPRNYIHTQLFATFILKASAVF LKDAAIFQGDSTDHCSMSTVLCKVSVAISHLATMTNFSWLLAEAVYLSCLLASTSPRSKP AFWWLVLAGWGLPVLCTGTWVGCKLAFEDTECWDLDNSSPCWWIIKGPIVLSVGVNFGLF LNIICILLRKLEPAQGGLHTRAQYWRLSKSTLLLIPLFGIHYIIFNFLPDSAGLDIRVPL ELGLGSFQGFIVAVLYCFLNQEVRTEISRKWYGHDPELLPARRTCTEWTTPPRSRLKVLT SEC >ENSMUSP00000145224.1 pep:known chromosome:GRCm38:6:55376365:55388319:1 gene:ENSMUSG00000004654.6 transcript:ENSMUST00000203241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghrhr description:growth hormone releasing hormone receptor [Source:MGI Symbol;Acc:MGI:95710] MDGLMWATRILCLLSLCGVTLGHLHLECDFITQLRDDELACLQAAEGTNNTSLGCPGTWD GLLCWPPTGSGQWVSLPCPEFFSHFGSDTGFVKRDCTITGWSNPFPPYPVACPVPLELLT KEKSYFSTVKIIYTTGHSISIVALCVAIAILVALRRLHCPRNYIHTQLFATFILKASAVF LKDAAIFQGDSTDHCSMSTVLCKVSVAISHLATMTNFSWLLAEAVYLSCLLASTSPRSKP AFWWLVLAGWGLPVLCTGTWVGCKLAFEDTECWDLDNSSPCWWIIKGPIVLSVGVNFGLF LNIICILLRKLEPAQGGLHTRAQYWYHSCGFPSQHFSLYRCLEFITSSSTSCLTVLALTS VYPWSWGWGPFRASLLLSSTASSIKR >ENSMUSP00000046444.5 pep:known chromosome:GRCm38:2:126320973:126499847:-1 gene:ENSMUSG00000060131.11 transcript:ENSMUST00000040128.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b4 description:ATPase, class I, type 8B, member 4 [Source:MGI Symbol;Acc:MGI:1859664] MMFCNKKKLLEVERVVKANDRDYNEKFQYADNRIHTSKYNVLTFLPINLFEQLQRVANAY FLFLLILQLIPEISSLTWFTTIVPLVLVISMTAVKDATDDYFRHKSDNQVNNRQSKVLIN SKLQNEKWMNVKVGDIIKLENNQFVAADLLLLSSSEPHGLCYVETAELDGETNLKVRQAL PVTSELGADISSLAEFDGIVRCEAPNNKLDRFSGVLSWKDSKHALSNQKIILRGCVLRNT SWCFGMVLFAGPDTKLMQNSGKTKFKRTSIDRLMNTLVLWIFGFLVCLGIILAVGSSILE SEVGDQFRTPPFWREGEKSFLFSGFLTFWSYVIILNTLVPISLYVSVEVIRLGHSYFINW DRKMYYASKAMPAEARTTTLNEELGQIEYIFSDKTGTLTQNIMTFKKCSINGRVYAGEVL DDPIQKKEITKEKEATDFSSKSKSEKTLHFFDQSLMESIELGDPKVHEFLRLLALCHTVM SEENSAGQLVYQVQSPDEGALVTAARNFGFIFKSRTPETITIEELGTPVTYQLLAFLDFN NIRKRMSVIVRNPEGRIKLYSKGADTILFEKLHPSNEDLQSLTSDHLSEFAGEGLRTLAI AYRELDDKYFKMWQKMLEDANSATLERDERISGLYEEIERDLMLLGATAVEDKLQEGVIE TITSLSLANIKIWILTGDKQETAINIGYACNVLTDAMDALFVITGNTAGEVREELRKAKE NLLGQSTSFSNGHAVYDNKQRLGLDAGAGEAVTGEYALVINGHSLAHALESDVENDLLEL ACVCKTVVCCRVTPLQKAQVVELVKKHRNAVTLAIGDGANDVSMIKSAHIGIGISGQEGL QAVLASDYALAQFRYLQRLLLVHGRWSYYRMCKFLCYFFYKNFAFTLVHFWFAFFCGFSA QTVYDQWFITLFNIVYTSLPVLAMGMFDQDINEQNSMDYPQLYEPGQLNLLFNKRRFFIC VAHGIYTSLALFFIPYGAFYNVAAEDGQHIADLQSFAVTVATSLVIVVSIQIALDTSYWT VVNHVFIWGSVATYFSILLAMHSDGVFGIFPRHFPFVGNARHSLSQKFVWLVVLLTAVTS VMPVVVVRFLKMYLYPSLSDQIRRWQKAQRKKRPLRSRRPQTRRSSSRRSGYAFAHQEGY GELITSGKNMRAKSPAPASGLEKKLYNNTSWIENLCKKTTDAVSGFSHDKTVKL >ENSMUSP00000047302.6 pep:known chromosome:GRCm38:2:126322536:126491573:-1 gene:ENSMUSG00000060131.11 transcript:ENSMUST00000040149.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b4 description:ATPase, class I, type 8B, member 4 [Source:MGI Symbol;Acc:MGI:1859664] MMFCNKKKLLEVERVVKANDRDYNEKFQYADNRIHTSKYNVLTFLPINLFEQLQRVANAY FLFLLILQLIPEISSLTWFTTIVPLVLVISMTAVKDATDDYFRHKSDNQVNNRQSKVLIN SKLQNEKWMNVKVGDIIKLENNQFVAADLLLLSSSEPHGLCYVETAELDGETNLKVRQAL PVTSELGADISSLAEFDGIVRCEAPNNKLDRFSGVLSWKDSKHALSNQKIILRGCVLRNT SWCFGMVLFAGPDTKLMQNSGKTKFKRTSIDRLMNTLVLWIFGFLVCLGIILAVGSSILE SEVGDQFRTPPFWREGEKSFLFSGFLTFWSYVIILNTLVPISLYVSVEVIRLGHSYFINW DRKMYYASKAMPAEARTTTLNEELGQIEYIFSDKTGTLTQNIMTFKKCSINGRVYAGEVL DDPIQKKEITKEKEATDFSSKSKSEKTLHFFDQSLMESIELGDPKVHEFLRLLALCHTVM SEENSAGQLVYQVQSPDEGALVTAARNFGFIFKSRTPETITIEELGTPVTYQLLAFLDFN NIRKRMSVIVRNPEGRIKLYSKGADTILFEKLHPSNEDLQSLTSDHLSEFAGEGLRTLAI AYRELDDKYFKMWQKMLEDANSATLERDERISGLYEEIERDLMLLGATAVEDKLQEGVIE TITSLSLANIKIWILTGDKQETAINIGYACNVLTDAMDALFVITGNTAGEVREELRKAKE NLLGQSTSFSNGHAVYDNKQRLGLDAGAGEAVTGEYALVINGHSLAHALESDVENDLLEL ACVCKTVVCCRVTPLQKAQVVELVKKHRNAVTLAIGDGANDVSMIKSAHIGIGISGQEGL QAVLASDYALAQFRYLQRLLLVHGRWSYYRMCKFLCYFFYKNFAFTLVHFWFAFFCGFSA QTVYDQWFITLFNIVYTSLPVLAMGMFDQDINEQNSMDYPQLYEPGQLNLLFNKRRFFIC VAHGIYTSLALFFIPYGAFYNVAAEDGQHIADLQSFAVTVATSLVIVVSIQIALDTSYWT VVNHVFIWGSVATYFSILLAMHSDGVFGIFPRHFPFVGNARHSLSQKFVWLVVLLTAVTS VMPVVVVRFLKMYLYPSLSDQIRRWQKAQRKKRPLRSRRPQTRRSSSRRSGYAFAHQEGY GELITSGKNMRAKSPAPASGLEKKLYNNTSWIENLCKKTTDAVSGFSHDKTVKL >ENSMUSP00000114252.1 pep:known chromosome:GRCm38:2:126371585:126499989:-1 gene:ENSMUSG00000060131.11 transcript:ENSMUST00000147517.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp8b4 description:ATPase, class I, type 8B, member 4 [Source:MGI Symbol;Acc:MGI:1859664] MMFCNKKKLLEVERVVKANDRDYNEKFQYADNRIHTSKYNVLTFLPINLFEQLQRVANAY FLFLLILQLIPEISSLTWFTTIVPLVLVISMTAVKDATDDYFRHKSDNQVNNRQSKVLIN SKLQNEKWMNVKVGDIIKLENNQFVAADLLLLSSSEPHGLCYVETAELDGETNLKVRQAL PVTSELGADISSLAEFDGIVRCEAPNNKLDRFSGVLSWKDSKHALSNQKIILRGCVLRNT SWCFGMVLFAGPDTKLMQNSGKTKFKRTSIDRLMNTLVLWIFGFLVCLGIILAVGSSILE SEVGDQFRTPPFWREGEKSFLFSGFLTFWSYVIILNTLVPISLYVSVEVIRLGHSYFINW DRKMYYASKAMPAEARTTTLNEELGQIEYIFSDKTGTLTQNIMTFKKCSINGRVYGKGGY GLLKQVEIRKNTAFL >ENSMUSP00000118651.1 pep:known chromosome:GRCm38:2:126392817:126500674:-1 gene:ENSMUSG00000060131.11 transcript:ENSMUST00000129187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b4 description:ATPase, class I, type 8B, member 4 [Source:MGI Symbol;Acc:MGI:1859664] MMFCNKKKLLEVERVVKANDRDYNEKFQYADNRIHTSKYNVLTFLPINLFEQLQRVANAY FLFLLILQLIPEISSLTWFTTIVPLVLVISMTAVKDATDDYFRHKSDNQVNNRQSKVLIN SKLQNEKWMNVKVGDIIKLENNQFVAADLLLLSSSEPHGLCYVETAELDGETNLKVRQAL PVTSELGADISSLAEFDGIVRCEAPNNKLDRFSGVLSWKDSKHALSNQKIILRGCVLRNT SWCFGMVLFAGPDTKLMQNSGKTKFKRTSIDRLMNTLVLWIFGFLVCLGIILAVGSSILE SEVGDQFRTPPFWREGEKSFLFSGFLTFWSYVIILNTLVPISLYVSVEVIRLGHSYFINW DRKMYYASKAMPAEARTTTLNEELGQIEYIFSDKTGTLTQNIMTFKKCSINGRVYAGEVL DDPIQK >ENSMUSP00000020252.3 pep:known chromosome:GRCm38:10:88459569:88473235:1 gene:ENSMUSG00000020059.9 transcript:ENSMUST00000020252.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycp3 description:synaptonemal complex protein 3 [Source:MGI Symbol;Acc:MGI:109542] MLRGCGDSDSSPEPLSKHLKMVPGGRKHSGKSGKPPLVDQPKKAFDFEKDDKDLSGSEED VADEKAPVIDKHGKKRSAGIIEDVGGEVQNMLEKFGADINKALLAKRKRIEMYTKASFKA SNQKIEQIWKTQQEEIQKLNNEYSQQFMNVLQQWELDIQKFEEQGEKLSNLFRQQQKIFQ QSRIVQSQRMKAIKQIHEQFIKSLEDVEKNNDNLFTGTQSELKKEMAMLQKKVMMETQQQ EMANVRKSLQSMLF >ENSMUSP00000137704.1 pep:known chromosome:GRCm38:10:88459664:88473236:1 gene:ENSMUSG00000020059.9 transcript:ENSMUST00000123244.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sycp3 description:synaptonemal complex protein 3 [Source:MGI Symbol;Acc:MGI:109542] MLRGCGDSDSSPEPLSKHLKMVPGGRKHSGKSGKPPLVDQPKKAFDFEKDDKDLSGSEED VADEKAPVIDKHGKKRSAGIIEDVGGEVQNMLEKFGDRSLTMNILSNL >ENSMUSP00000137800.1 pep:known chromosome:GRCm38:10:88459664:88473236:1 gene:ENSMUSG00000020059.9 transcript:ENSMUST00000125612.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycp3 description:synaptonemal complex protein 3 [Source:MGI Symbol;Acc:MGI:109542] MLRGCGDSDSSPEPLSKHLKMVPGGRKHSGKSGKPPLVDQPKKAFDFEKDDKDLSGSEED VADEKAPVIDKHGKKRSAGIIEDVGGEVQNMLEKFGADINKALLAKRKRIEMYTKASFKA SNQKIEQIWKTQQEEIQKLNNEYSQQFMNVLQQWELDIQKFEEQGEKLSNLFRQQQKIFQ QSRIVQSQRMKAIKQIHEQFIKSLEDVEKNNDNLFTGTQSELKKEMAMLQKKVMMETVSS ILYCRNMN >ENSMUSP00000042792.2 pep:known chromosome:GRCm38:11:98795516:98807859:1 gene:ENSMUSG00000052915.14 transcript:ENSMUST00000037915.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msl1 description:male-specific lethal 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1921276] MTMRSAVFKAAAAPAGGNPEQRLDYERAAALGGPEDESGAAEAHFLPRHRKLKEPGPPLA SSQGGSPSPSPAGCGGGKGRGLLLPAGAAPGQQEESWGGSVPLPCPPPATKQAGIGGEPV AAGAGCSPRPKYQAVLPIQTGSIVVAAAKEPTPWAGDKGGAAPPAATASDPAGPPPLPLP GPPPLAPTATAGTLAASEGRWKSIRKSPLGGGGGSGASSQAACLKQILLLQLDLIEQQQQ QLQAKEKEIEELKSERDTLLARIERMERRMQLVKRDNEKERHKLLQGYEPEEREEAELSE KIKLERQPELCETSQALPSKPFSCGRSGKGHKRKTPFGNTERKTPVKKLAPEFSKVKTKT PKHSPIKEEPCGSISETVCKRELRSQETPEKPRSSVDTPPRLSTPQKGPSTHPKEKAFSS EMEDLPYLSTTEMYLCRWHQPPPSPLPLRESSPKKEETVARCLMPSSVAGETSVLAVPSW RDHSVEPLRDPNPSDILENLDDSVFSKRHAKLELDEKRRKRWDIQRIREQRILQRLQLRM YKKKGIQESEPEVTSFFPEPDDVESLLITPFLPVVAFGRPLPKLAPQNFELPWLDERSRC RLEIQKKHTPHRTCRK >ENSMUSP00000103109.1 pep:known chromosome:GRCm38:11:98795791:98801052:1 gene:ENSMUSG00000052915.14 transcript:ENSMUST00000107485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msl1 description:male-specific lethal 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1921276] MTMRSAVFKAAAAPAGGNPEQRLDYERAAALGGPEDESGAAEAHFLPRHRKLKEPGPPLA SSQGGSPSPSPAGCGGGKGRGLLLPAGAAPGQQEESWGGSVPLPCPPPATKQAGIGGEPV AAGAGCSPRPKYQAVLPIQTGSIVVAAAKEPTPWAGDKGGAAPPAATASDPAGPPPLPLP GPPPLAPTATAGTLAASEGRWKSIRKSPLGGGGGSGASSQAACLKQILLLQLDLIEQQQQ QLQAKEKEIEELKSERDTLLARIERMERRMQLVKRDNEKERHKLLQGYEPEEREEAELSE KIKLERQPELCETSQALPSKPFSCGRSGKGHKRKTPFGNTERKTPVKKLAPEFSKVKTKT PKHSPIKEEPCGSISETVCKRELRSQETPEKPRSSVDTPPRLSTPQKGPSTHPKEKAFSS EMEDLPYLSTTEMYLCRWHQPPPSPLPLRESSPKKEETVASKA >ENSMUSP00000103111.3 pep:known chromosome:GRCm38:11:98795800:98806434:1 gene:ENSMUSG00000052915.14 transcript:ENSMUST00000107487.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msl1 description:male-specific lethal 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1921276] MTMRSAVFKAAAAPAGGNPEQRLDYERAAALGGPEDESGAAEAHFLPRHRKLKEPGPPLA SSQGGSPSPSPAGCGGGKGRGLLLPAGAAPGQQEESWGGSVPLPCPPPATKQAGIGGEPV AAGAGCSPRPKYQAVLPIQTGSIVVAAAKEPTPWAGDKGGAAPPAATASDPAGPPPLPLP GPPPLAPTATAGTLAASEGRWKSIRKSPLGGGGGSGASSQAACLKQILLLQLDLIEQQQQ QLQAKEKEIEELKSERDTLLARIERMERRMQLVKRDNEKERHKLLQGYEPEEREEAELSE KIKLERQPELCETSQALPSKPFSCGRSGKGHKRKTPFGNTERKTPVKKLAPEFSKVKTKT PKHSPIKEEPCGSISETVCKRELRSQETPEKPRSSVDTPPRLSTPQKGPSTHPKEKAFSS EMEDLPYLSTTEMYLCRWHQPPPSPLPLRESSPKKEETVAIPSWRDHSVEPLRDPNPSDI LENLDDSVFSKRHAKLELDEKRRKRWDIQRIREQRILQRLQLRMYKKKGIQESEPEVTSF FPEPDDVESLLITPFLPVVAFGRPLPKLAPQNFELPWLDERSRCRLEIQKKHTPHRTCRK >ENSMUSP00000043328.6 pep:known chromosome:GRCm38:11:98798654:98805607:1 gene:ENSMUSG00000052915.14 transcript:ENSMUST00000037930.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msl1 description:male-specific lethal 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1921276] MLRVFARHGQEALIPSLAAQTTTTNRNKLLARIERMERRMQLVKRDNEKERHKLLQGYEP EEREEAELSEKIKLERQPELCETSQALPSKPFSCGRSGKGHKRKTPFGNTERKTPVKKLA PEFSKVKTKTPKHSPIKEEPCGSISETVCKRELRSQETPEKPRSSVDTPPRLSTPQKGPS THPKEKAFSSEMEDLPYLSTTEMYLCRWHQPPPSPLPLRESSPKKEETVAIPSWRDHSVE PLRDPNPSDILENLDDSVFSKRHAKLELDEKRRKRWDIQRIREQRILQRLQLRMYKKKGI QESEPEVTSFFPEPDDVESLLITPFLPVVAFGRPLPKLAPQNFELPWLDERSRCRLEIQK KHTPHRTCRK >ENSMUSP00000116598.1 pep:known chromosome:GRCm38:11:98800165:98804119:1 gene:ENSMUSG00000052915.14 transcript:ENSMUST00000126969.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msl1 description:male-specific lethal 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:1921276] XPEKPRSSVDTPPRLSTPQKGPSTHPKEKAFSSEMEDLPYLSTTEMYLCRWHQPPPSPLP LRESSPKKEETVARCLMPSSVAGETSVLAVPSWRDHSVEPLRDPNPSDILENLDDSVFSK RHAKLELDEKRRKRHTCWPFCGCPPSV >ENSMUSP00000020717.5 pep:known chromosome:GRCm38:6:28423560:28426602:1 gene:ENSMUSG00000020440.13 transcript:ENSMUST00000020717.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf5 description:ADP-ribosylation factor 5 [Source:MGI Symbol;Acc:MGI:99434] MGLTVSALFSRIFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN ICFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVQESADELQKMLQEDELRDAV LLVFANKQDMPNAMPVSELTDKLGLQHLRSRTWYVQATCATQGTGLYDGLDWLSHELSKR >ENSMUSP00000127281.1 pep:known chromosome:GRCm38:6:28423590:28426346:1 gene:ENSMUSG00000020440.13 transcript:ENSMUST00000169841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arf5 description:ADP-ribosylation factor 5 [Source:MGI Symbol;Acc:MGI:99434] MGLTVSALFSRIFGKKQMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKN ICFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVQESADELQKMLQEDELRDAV LLVFANKQDMPNAMPVSELTDKLGLQHLRSRTWYVQATCATQGTGLYDGLDWLSHELSKR >ENSMUSP00000079100.3 pep:known chromosome:GRCm38:2:102658659:102790784:1 gene:ENSMUSG00000005089.15 transcript:ENSMUST00000080210.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a2 description:solute carrier family 1 (glial high affinity glutamate transporter), member 2 [Source:MGI Symbol;Acc:MGI:101931] MASTEGANNMPKQVEVRMHDSHLSSDEPKHRNLGMRMCDKLGKNLLLSLTVFGVILGAVC GGLLRLASPIHPDVVMLIAFPGDILMRMLKMLILPLIISSLITGLSGLDAKASGRLGTRA MVYYMSTTIIAAVLGVILVLAIHPGNPKLKKQLGPGKKNDEVSSLDAFLDLIRNLFPENL VQACFQQIQTVTKKVLVAPPSEEANTTKAVISMLNETMNEAPEETKIVIKKGLEFKDGMN VLGLIGFFIAFGIAMGKMGEQAKLMVEFFNILNEIVMKLVIMIMWYSPLGIACLICGKII AIKDLEVVARQLGMYMITVIVGLIIHGGIFLPLIYFVVTRKNPFSFFAGIFQAWITALGT ASSAGTLPVTFRCLEDNLGIDKRVTRFVLPVGATINMDGTALYEAVAAIFIAQMNGVILD GGQIVTVSLTATLASIGAASIPSAGLVTMLLILTAVGLPTEDISLLVAVDWLLDRMRTSV NVVGDSFGAGIVYHLSKSELDTIDSQHRMQEDIEMTKTQSIYDDKNHRESNSNQCVYAAH NSVVIDECKVTLAANGKSADCSVEEEPWKREK >ENSMUSP00000106843.1 pep:known chromosome:GRCm38:2:102706438:102781743:1 gene:ENSMUSG00000005089.15 transcript:ENSMUST00000111212.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a2 description:solute carrier family 1 (glial high affinity glutamate transporter), member 2 [Source:MGI Symbol;Acc:MGI:101931] MVSANNMPKQVEVRMHDSHLSSDEPKHRNLGMRMCDKLGKNLLLSLTVFGVILGAVCGGL LRLASPIHPDVVMLIAFPGDILMRMLKMLILPLIISSLITGLSGLDAKASGRLGTRAMVY YMSTTIIAAVLGVILVLAIHPGNPKLKKQLGPGKKNDEVSSLDAFLDLIRNLFPENLVQA CFQQIQTVTKKVLVAPPSEEANTTKAVISMLNETMNEAPEETKIVIKKGLEFKDGMNVLG LIGFFIAFGIAMGKMGEQAKLMVEFFNILNEIVMKLVIMIMWYSPLGIACLICGKIIAIK DLEVVARQLGMYMITVIVGLIIHGGIFLPLIYFVVTRKNPFSFFAGIFQAWITALGTASS AGTLPVTFRCLEDNLGIDKRVTRFVLPVGATINMDGTALYEAVAAIFIAQMNGVILDGGQ IVTVSLTATLASIGAASIPSAGLVTMLLILTAVGLPTEDISLLVAVDWLLDRMRTSVNVV GDSFGAGIVYHLSKSELDTIDSQHRMQEDIEMTKTQSIYDDKNHRESNSNQCVYAAHNSV VIDECKVTLAANGKSADCSVEEEPWKREK >ENSMUSP00000005220.4 pep:known chromosome:GRCm38:2:102706438:102778106:1 gene:ENSMUSG00000005089.15 transcript:ENSMUST00000005220.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a2 description:solute carrier family 1 (glial high affinity glutamate transporter), member 2 [Source:MGI Symbol;Acc:MGI:101931] MVSANNMPKQVEVRMHDSHLSSDEPKHRNLGMRMCDKLGKNLLLSLTVFGVILGAVCGGL LRLASPIHPDVVMLIAFPGDILMRMLKMLILPLIISSLITGLSGLDAKASGRLGTRAMVY YMSTTIIAAVLGVILVLAIHPGNPKLKKQLGPGKKNDEVSSLDAFLDLIRNLFPENLVQA CFQQIQTVTKKVLVAPPSEEANTTKAVISMLNETMNEAPEETKIVIKKGLEFKDGMNVLG LIGFFIAFGIAMGKMGEQAKLMVEFFNILNEIVMKLVIMIMWYSPLGIACLICGKIIAIK DLEVVARQLGMYMITVIVGLIIHGGIFLPLIYFVVTRKNPFSFFAGIFQAWITALGTASS AGTLPVTFRCLEDNLGIDKRVTRFVLPVGATINMDGTALYEAVAAIFIAQMNGVILDGGQ IVTVSLTATLASIGAASIPSAGLVTMLLILTAVGLPTEDISLLVAVDWLLDRMRTSVNVV GDSFGAGIVYHLSKSELDTIDSQHRMQEDIEMTKTQSIYDDKNHRESNSNQCVYAAHNSV VIDECKVPFPFLDIETCI >ENSMUSP00000106844.1 pep:known chromosome:GRCm38:2:102659281:102778097:1 gene:ENSMUSG00000005089.15 transcript:ENSMUST00000111213.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a2 description:solute carrier family 1 (glial high affinity glutamate transporter), member 2 [Source:MGI Symbol;Acc:MGI:101931] MASTEGANNMPKQVEVRMHDSHLSSDEPKHRNLGMRMCDKLGKNLLLSLTVFGVILGAVC GGLLRLASPIHPDVVMLIAFPGDILMRMLKMLILPLIISSLITGLSGLDAKASGRLGTRA MVYYMSTTIIAAVLGVILVLAIHPGNPKLKKQLGPGKKNDEVSSLDAFLDLIRNLFPENL VQACFQQIQTVTKKVLVAPPSEEANTTKAVISMLNETMNEAPEETKIVIKKGLEFKDGMN VLGLIGFFIAFGIAMGKMGEQAKLMVEFFNILNEIVMKLVIMIMWYSPLGIACLICGKII AIKDLEVVARQLGMYMITVIVGLIIHGGIFLPLIYFVVTRKNPFSFFAGIFQAWITALGT ASSAGTLPVTFRCLEDNLGIDKRVTRFVLPVGATINMDGTALYEAVAAIFIAQMNGVILD GGQIVTVSLTATLASIGAASIPSAGLVTMLLILTAVGLPTEDISLLVAVDWLLDRMRTSV NVVGDSFGAGIVYHLSKSELDTIDSQHRMQEDIEMTKTQSIYDDKNHRESNSNQCVYAAH NSVVIDECKVPFPFLDIETCI >ENSMUSP00000117549.1 pep:known chromosome:GRCm38:2:102761173:102781557:1 gene:ENSMUSG00000005089.15 transcript:ENSMUST00000154446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a2 description:solute carrier family 1 (glial high affinity glutamate transporter), member 2 [Source:MGI Symbol;Acc:MGI:101931] XVILDGGQIVTVSLTATLASIGAASIPSAGLVTMLLILTAVGLPTEDISLLVAVDWLLDR MRTSVNVVGDSFGAGIVYHLSKSELDTIDSQHRMQEDIEMTKTQSIYDDKNHRESNSNQC VYAAHNSVVIDECKSLHYVEYQSWV >ENSMUSP00000115129.1 pep:known chromosome:GRCm38:2:102706356:102739876:1 gene:ENSMUSG00000005089.15 transcript:ENSMUST00000123759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a2 description:solute carrier family 1 (glial high affinity glutamate transporter), member 2 [Source:MGI Symbol;Acc:MGI:101931] MPKQVEVRMHDSHLSSDEPKHRNLGMRMCDKLGKNLLLSLTVFGVILGAVCGGLLRLASP IHPDVVMLIAFPGDILMRMLKMLILPLIISSLITGLSGLDAKASGRLGTRAMVYYMSTTI IAAVLGVILVLAIHPGNPKLKKQLGPGKKNDEVSSLD >ENSMUSP00000122094.1 pep:known chromosome:GRCm38:2:102755907:102777584:1 gene:ENSMUSG00000005089.15 transcript:ENSMUST00000136488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc1a2 description:solute carrier family 1 (glial high affinity glutamate transporter), member 2 [Source:MGI Symbol;Acc:MGI:101931] XYSPLGIACLICGKIIAIKDLEVVARQLGMYMITVIVGLIIHGGIFLPLIYFVVTRKNPF SFFAGIFQAWITALGTASSAGTLPVTFRCLEDNLGIDKRVTRFVLPVGATINMDGTALYE AVAAIFIAQMNGVILDGGQIVTVRDRMRTSVNVVGDSFGAGIVYHLSKSELDTIDSQHRM QEDIEMTKTQSIYDDK >ENSMUSP00000135292.1 pep:known chromosome:GRCm38:11:102106676:102107822:-1 gene:ENSMUSG00000017311.15 transcript:ENSMUST00000177304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pyy description:peptide YY [Source:MGI Symbol;Acc:MGI:99924] MVAVRRPWPVTVAMLLILLACLGALVDAYPAKPEAPGEDASPEELSRYYASLRHYLNLVT RQRYGKRDVPAALFSKLLFTDDSDSENLPFRSRPEGLDQW >ENSMUSP00000017455.8 pep:known chromosome:GRCm38:11:102106676:102107832:-1 gene:ENSMUSG00000017311.15 transcript:ENSMUST00000017455.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pyy description:peptide YY [Source:MGI Symbol;Acc:MGI:99924] MVAVRRPWPVTVAMLLILLACLGALVDAYPAKPEAPGEDASPEELSRYYASLRHYLNLVT RQRYGKRDVPAALFSKLLFTDDSDSENLPFRPEGLDQW >ENSMUSP00000134812.1 pep:known chromosome:GRCm38:11:102106680:102107416:-1 gene:ENSMUSG00000017311.15 transcript:ENSMUST00000127381.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pyy description:peptide YY [Source:MGI Symbol;Acc:MGI:99924] MVAVRRPWPVTVAMLLILLACLGALVDAYPAKPEAPGEDASPEELSRYYASLRHYLNLVT RQRYGKRDVPAALFSKLLFTDDSDSENLPFRSRPEGLDQW >ENSMUSP00000141802.1 pep:known chromosome:GRCm38:3:93936633:93943543:-1 gene:ENSMUSG00000095822.2 transcript:ENSMUST00000193529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9117 description:predicted pseudogene 9117 [Source:MGI Symbol;Acc:MGI:3704095] MSEDMEFENWGSTQSSVEKFCYKWTISNFSFCMGGIQRRITSPVFSSEENKEVAWCLRVY PKGADKESKDYLSVYLVLLSHLQSPVWAKFKFWIINSQGEKYQKTKSPIVECFLTYEQSG FKKFLPRDLLLSHRNCLLPEDQLTICCKVTILGRKYNMPSQNITPAIKDPRHLLTDDLGE LWENSLFTDCCLLVAGHEFRAHKAILAARSPVFRAMFEHEMKESLKTPIKIHNLNPQVFK EMMSFIYTGKAPYLHSHSMACDVLPAADKYGLVSLKVLCEDAFCRNLSVKNATHTLILAD LHSTEKLKTQALDFIAYYASEVCETSEWKSMVESHPHLVAEAFQSLASAQCSFLEPKVIS GSNQL >ENSMUSP00000136341.1 pep:known chromosome:GRCm38:3:93937674:93938771:-1 gene:ENSMUSG00000095822.2 transcript:ENSMUST00000177735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9117 description:predicted pseudogene 9117 [Source:MGI Symbol;Acc:MGI:3704095] MSEDMEFENWGSTQSSVEKFCYKWTISNFSFCMGGIQRRITSPVFSSEENKEVAWCLRVY PKGADKESKDYLSVYLVLLSHLQSPVWAKFKFWIINSQGEKYQKTKSPIVECFLTYEQSG FKKFLPRDLLLSHRNCLLPEDQLTICCKVTILGRKYNMPSQNITPAIKDPRHLLTDDLGE LWENSLFTDCCLLVAGHEFRAHKAILAARSPVFRAMFEHEMKESLKTPIKIHNLNPQVFK EMMSFIYTGKAPYLHSHSMACDVLPAADKYGLVSLKVLCEDAFCRNLSVKNATHTLILAD LHSTEKLKTQALDFIAYYASEVCETSEWKSMVESHPHLVAEAFQSLASAQCSFLEPKVIS GSNQL >ENSMUSP00000105353.1 pep:known chromosome:GRCm38:2:154335380:154373010:-1 gene:ENSMUSG00000027487.11 transcript:ENSMUST00000109731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap1 description:CDK5 regulatory subunit associated protein 1 [Source:MGI Symbol;Acc:MGI:1914221] MHPLRCVLQVQRLSAPFTSMCWVLLRTCRAQSSVSSTPCPSPEAKSSEAQKDFSSRLATG PTFQHFLRSASVPQEKPSSPEVEDPPPYLSGDELLGRQRKVYLETYGCQMNVNDTEIAWS ILQKSGYLRTSNLQEADVILLVTCSIREKAEQTIWNRLHQLKVLKTKRPRSRVPLRIGIL GCMAERLKGEILNREKMVDLLAGPDAYRDLPRLLAVVESGQQAANVLLSLDETYADIMPV QTSPSATSAFVSIMRGCDNMCSYCIVPFTRGRERSRPVASILDEVRKLSEQGLKEVTLLG QNVNSFRDNSEVQFNNAGSANLSRGFTTNYKPKQGGLRFSHLLDQVSRIDPEMRIRFTSP HPKDFPDEVLQLIRERHNICKQIHLPAQSGSSRVLDAMRRGYSREAYVALVHHVRETIPG VSLSSDFITGFCGETEDDHRQTVSLLREVQYNTGFLFAYSMRQKTRAYHRLKDDVPEEVK LRRLEELITVFREEASKANKTSVGCSQLVLVEGFSKRSTTDLCGRNDANLKVIFPDAEVE DITNPGLKVRAQPGDYVLVKITSASSQTLKGHILCRTTMKDSLTYCTT >ENSMUSP00000105352.2 pep:known chromosome:GRCm38:2:154361250:154372731:-1 gene:ENSMUSG00000027487.11 transcript:ENSMUST00000109730.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap1 description:CDK5 regulatory subunit associated protein 1 [Source:MGI Symbol;Acc:MGI:1914221] MHPLRCVLQVQRLSAPFTSMCWVLLRTCRAQSSVSSTPCPSPEAKSSEAQKDFSSRLATG PTFQHFLRSASVPQEKPSSPEVEDPPPYLSGDELLGRQRKVYLETYGCQMNVNDTEIAWS ILQKSGYLRTSNLQEADVILLVTCSIREKAEQTIWNRLHQLKVLKTKRPRSRVPLRIGIL GPELVSTPAS >ENSMUSP00000028990.3 pep:known chromosome:GRCm38:2:154335386:154372719:-1 gene:ENSMUSG00000027487.11 transcript:ENSMUST00000028990.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk5rap1 description:CDK5 regulatory subunit associated protein 1 [Source:MGI Symbol;Acc:MGI:1914221] MHPLRCVLQVQRLSAPFTSMCWVLLRTCRAQSSVSSTPCPSPEAKSSEAQKDFSSRLATG PTFQHFLRSASVPQEKPSSPEVEDPPPYLSGDELLGRQRKVYLETYGCQMNVNDTEIAWS ILQKSGYLRTSNLQEADVILLVTCSIREKAEQTIWNRLHQLKVLKTKRPRSRVPLRIGIL GCMAERLKGEILNREKMVDLLAGPDAYRDLPRLLAVVESGQQAANVLLSLDETYADIMPV QTSPSATSAFVSIMRGCDNMCSYCIVPFTRGRERSRPVASILDEVRKLSEQGLKEVTLLG QNVNSFRDNSEVQFNNAGSANLSRGFTTNYKPKQGGLRFSHLLDQVSRIDPEMRIRFTSP HPKDFPDEVLQLIRERHNICKQIHLPAQSGSSRVLDAMRRGYSREAYVALVHHVRETIPG VSLSSDFITGFCGETEDDHRQTVSLLREVQYNTGFLFAYSMRQKTRAYHRLKDDVPEEVK LRRLEELITVFREEASKANKTSVGCSQLVLVEGFSKRSTTDLCGRNDANLKVIFPDAEVE DITNPGLKVRAQPGDYVLVKITSASSQTLKGHILCRTTMKDSLTYCTT >ENSMUSP00000120074.1 pep:known chromosome:GRCm38:2:89125079:89125731:-1 gene:ENSMUSG00000075101.2 transcript:ENSMUST00000137595.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1222 description:olfactory receptor 1222 [Source:MGI Symbol;Acc:MGI:3031056] MQNQSFVTEFILLGLSQNPKVEKILFVVFLLVYIATIGGNMIIVVTIIYSPALLSSPMYF FLIFLSFLDACTSSTVTPKMIVDFFYERKTISFECCITQLFTSHFFAGVEVIILTSMAYD RYVAICKPLHYSSIMTRRLCGTLVMVAWTGGFLHSITQVIFTLQLPFCGPNFIDHFICDL FPLLQLACTDTHIFVILVFANSGSFCIIIFSLLIVSY >ENSMUSP00000097382.1 pep:known chromosome:GRCm38:2:89124794:89125729:-1 gene:ENSMUSG00000075101.2 transcript:ENSMUST00000099794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1222 description:olfactory receptor 1222 [Source:MGI Symbol;Acc:MGI:3031056] MQNQSFVTEFILLGLSQNPKVEKILFVVFLLVYIATIGGNMIIVVTIIYSPALLSSPMYF FLIFLSFLDACTSSTVTPKMIVDFFYERKTISFECCITQLFTSHFFAGVEVIILTSMAYD RYVAICKPLHYSSIMTRRLCGTLVMVAWTGGFLHSITQVIFTLQLPFCGPNFIDHFICDL FPLLQLACTDTHIFVILVFANSGSFCIIIFSLLIVSYGVILFSLRGHSSEGRRKALSTCG SHITVMILFFVPCMLIYARPSSAFSFEKNTLIFASVLTPLFNPMVYTFRNKEMKNAIRKM CRKLLVDSDNF >ENSMUSP00000032262.7 pep:known chromosome:GRCm38:6:129397297:129405333:1 gene:ENSMUSG00000030159.15 transcript:ENSMUST00000032262.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec1b description:C-type lectin domain family 1, member b [Source:MGI Symbol;Acc:MGI:1913287] MQDEDGYITLNIKPRKQALSSAEPASSWWRVMALVLLISSMGLVVGLVALGIMSVTQQKY LLAEKENLSATLQQLAKKFCQELIRQSEIKTKSTFEHKCSPCATKWRYHGDSCYGFFRRN LTWEESKQYCTEQNATLVKTASQSTLDYIAERITSVRWIGLSRQNSKKDWMWEDSSVLRK NGINLSGNTEENMNCAYLHNGKIHPASCKERHYLICERNAGMTRVDQLL >ENSMUSP00000107712.2 pep:known chromosome:GRCm38:6:129397607:129405263:1 gene:ENSMUSG00000030159.15 transcript:ENSMUST00000112081.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec1b description:C-type lectin domain family 1, member b [Source:MGI Symbol;Acc:MGI:1913287] MQDEDGYITLNIKPRKQALSSAVTQQKYLLAEKENLSATLQQLAKKFCQELIRQSEIKTK STFEHKCSPCATKWRYHGDSCYGFFRRNLTWEESKQYCTEQNATLVKTASQSTLDYIAER ITSVRWIGLSRQNSKKDWMWEDSSVLRKNGINLSGNTEENMNCAYLHNGKIHPASCKERH YLICERNAGMTRVDQLL >ENSMUSP00000107710.2 pep:known chromosome:GRCm38:6:129397610:129405259:1 gene:ENSMUSG00000030159.15 transcript:ENSMUST00000112079.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec1b description:C-type lectin domain family 1, member b [Source:MGI Symbol;Acc:MGI:1913287] MQDEDGYITLNIKPRKQALSSAVTQQKYLLAEKENLSATLQQLAKKFCQELIRQSEIKTK STFGLHCRKDYFSPLDWIITPEL >ENSMUSP00000033820.3 pep:known chromosome:GRCm38:8:13026034:13035809:1 gene:ENSMUSG00000031443.7 transcript:ENSMUST00000033820.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F7 description:coagulation factor VII [Source:MGI Symbol;Acc:MGI:109325] MVPQAHGLLLLCFLLQLQGPLGTAVFITQEEAHGVLHRQRRANSLLEELWPGSLERECNE EQCSFEEAREIFKSPERTKQFWIVYSDGDQCASNPCQNGGTCQDHLKSYVCFCLLDFEGR NCEKSKNEQLICANENGDCDQYCRDHVGTKRTCSCHEDYTLQPDEVSCKPKVEYPCGRIP VVEKRNSSSRQGRIVGGNVCPKGECPWQAVLKINGLLLCGAVLLDARWIVTAAHCFDNIR YWGNITVVMGEHDFSEKDGDEQVRRVTQVIMPDKYIRGKINHDIALLRLHRPVTFTDYVV PLCLPEKSFSENTLARIRFSRVSGWGQLLDRGATALELMSIEVPRLMTQDCLEHAKHSSN TPKITENMFCAGYMDGTKDACKGDSGGPHATHYHGTWYLTGVVSWGEGCAAIGHIGVYTR VSQYIDWLVRHMDSKLQVGVFRLPLL >ENSMUSP00000079400.3 pep:known chromosome:GRCm38:9:107681500:107710475:-1 gene:ENSMUSG00000034684.12 transcript:ENSMUST00000080560.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3f description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3F [Source:MGI Symbol;Acc:MGI:1096347] MLVTAFILWASLLTGAWPATPIQDQLPATPRVRLSFKELKATGTAHFFNFLLNTTDYRIL LKDEDHDRMYVGSKDYVLSLDLHDINREPLIIHWAASPQRIEECILSGKDGNGECGNFVR LIQPWNRTHLYVCGTGAYNPMCTYVNRGRRAQDYIFYLEPEKLESGKGKCPYDPKLDTAS ALINEELYAGVYIDFMGTDAAIFRTLGKQTAMRTDQYNSRWLNDPSFIHAELIPDSAERN DDKLYFFFRERSAEAPQNPAVYARIGRICLNDDGGHCCLVNKWSTFLKARLVCSVPGEDG IETHFDELQDVFVQQTQDVRNPVIYAVFTSSGSVFRGSAVCVYSMADIRMVFNGPFAHKE GPNYQWMPFSGKMPYPRPGTCPGGTFTPSMKSTKDYPDEVINFMRTHPLMYQAVYPLQRR PLVVRTGAPYRLTTVAVDQVDAADGRYEVLFLGTDRGTVQKVIVLPKDDQEVEELMLEEV EVFKEPAPVKTMTISSKRQQLYVASAVGVTHLSLHRCQAYGAACADCCLARDPYCAWDGQ ACSRYTASSKRRSRRQDVRHGNPIRQCRGFNSNANKNAVESVQYGVAGSAAFLECQPRSP QATVKWLFQRDPSDRRREIRAEDRFLRTEQGLLLRALQLGDRGLYSCTATENNFKHIVTR VQLHVLGRDAVHAALFPPLAVSVPPPPGTGPPTPPYQELAQLLAQPEVGLIHQYCQGYWR HVPPRPREAPGALRPPELQDQKKPRNRRHHPPDT >ENSMUSP00000141865.1 pep:known chromosome:GRCm38:9:107682418:107705556:-1 gene:ENSMUSG00000034684.12 transcript:ENSMUST00000192727.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3f description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3F [Source:MGI Symbol;Acc:MGI:1096347] MLVTAFILWASLLTGAWPATPIQDQLPATPRVRLSFKELKATGTAHFFNFLLNTTDYRIL LKDEDHDRMYVGSKDYVLSLDLHDINREPLIIHWAASPQRIEECILSGKDGNGECGNFVR LIQPWNRTHLYVCGTGAYNPMCTYVNRGRRAQALPWTQMQVVRGRGSRATDGADRPTPTA PRQDYIFYLEPEKLESGKGKCPYDPKLDTASALINEELYAGVYIDFMGTDAAIFRTLGKQ TAMRTDQYNSRWLNDPSFIHAELIPDSAERNDDKLYFFFRERSAEAPQNPAVYARIGRIC LNDDGGHCCLVNKWSTFLKARLVCSVPGEDGIETHFDELQDVFVQQTQDVRNPVIYAVFT SSGSVFRGSAVCVYSMADIRMVFNGPFAHKEGPNYQWMPFSGKMPYPRPGTCPGGTFTPS MKSTKDYPDEVINFMRTHPLMYQAVYPLQRRPLVVRTGAPYRLTTVAVDQVDAADGRYEV LFLGTDRGTVQKVIVLPKDDQEVEELMLEEVEVFKEPAPVKTMTISSKRQQLYVASAVGV THLSLHRCQAYGAACADCCLARDPYCAWDGQACSRYTASSKRRSRRQDVRHGNPIRQCRG FNSNANKNAVESVQYGVAGSAAFLECQPRSPQATVKWLFQRDPSDRRREIRAEDRFLRTE QGLLLRALQLGDRGLYSCTATENNFKHIVTRVQLHVLGRDAVHAALFPPLAVSVPPPPGT GPPTPPYQELAQLLAQPEVGLIHQYCQGYWRHVPPRPREAPGALRPPELQDQKKPRNRRH HPPDT >ENSMUSP00000142221.1 pep:known chromosome:GRCm38:9:107683122:107710019:-1 gene:ENSMUSG00000034684.12 transcript:ENSMUST00000194039.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema3f description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3F [Source:MGI Symbol;Acc:MGI:1096347] MLVTAFILWASLLTGAWPATPIQDQLPATPRVRLSFKELKATGTAHFFNFLLNTTDYRIL LKDEDHDRMYVGSKDYVLSLDLHDINREPLIIHWAASPQRIEECILSGKDGNGECGNFVR LIQPWNRTHLYVCGTGAYNPMCTYVNRGRRAQDYIFYLEPEKLESGKGKCPYDPKLDTAS ALISE >ENSMUSP00000142178.1 pep:known chromosome:GRCm38:9:107683991:107687317:-1 gene:ENSMUSG00000034684.12 transcript:ENSMUST00000194424.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema3f description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3F [Source:MGI Symbol;Acc:MGI:1096347] XAVCVYSMADIRMVFNGPFAHKEGPNYQWMPFSGKMPYPRPGTCPGGTFTPSMKSTKDYP DEVINFMRTHPLMYQAVYPLQRRPLVVRTGAPYRLTTVAVDQVDAADGRYEHPQPH >ENSMUSP00000141668.1 pep:known chromosome:GRCm38:9:107687742:107692642:-1 gene:ENSMUSG00000034684.12 transcript:ENSMUST00000192783.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3f description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3F [Source:MGI Symbol;Acc:MGI:1096347] DEDHDRMYVGSKDYVLSLDLHDINREPLIIHWAASPQRIEECILSGKDGNGECGNFVRLI QPWNRTHLYVCGTGAYNPMCTYVNRGRRAQALPWTQMQVVRGRGSRATDGADRPTPTAPR QCPQVLKAAPAGLLSASVGPLQARNPEATRITSSTWSLRNWSQEKANVPMTPSWTQPQPS SMRNSMQECTSILWVPMQPFSARWGSRQPCAQISTTPGGSMILPSYMLSSSLTVQSAMTI NSTSSSESARQRRHRTLLCMPASGASASTMTVAIAAWSTSGAHS >ENSMUSP00000141878.1 pep:known chromosome:GRCm38:9:107688326:107709479:-1 gene:ENSMUSG00000034684.12 transcript:ENSMUST00000193108.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3f description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3F [Source:MGI Symbol;Acc:MGI:1096347] MLVTAFILWASLLTGAWPATPIQDQLPATPRVRLSFKELKATGTAHFFNFLLNTTDYRIL LKDEDHDRMYVGSKDYVLSLDLHDINREPLIIHWAASPQRIEECILSGKDGNGECGNFVR LIQPWNRTHLYVCGTGAYNPMCTYVNRGRRAQDYIFYLEPEKLESGKGKCPYDPKLDTAS ALINEELYAGV >ENSMUSP00000141350.1 pep:known chromosome:GRCm38:9:107689686:107692703:-1 gene:ENSMUSG00000034684.12 transcript:ENSMUST00000195023.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3f description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3F [Source:MGI Symbol;Acc:MGI:1096347] XGTAHFFNFLLNTTDYRILLKDEDHDRMYVGSKDYVLSLDLHDINREPLIIHWAASPQRI EECILSGKDGNLSVWPQGECGNFVRLIQPWNRTHLYVCGTGAYNPMCTYVNRGRRAQDYI FYLEPEKLESGKGKCPYDPKLDTASALI >ENSMUSP00000048740.3 pep:known chromosome:GRCm38:7:106955984:106956934:-1 gene:ENSMUSG00000036647.3 transcript:ENSMUST00000040983.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr6 description:olfactory receptor 6 [Source:MGI Symbol;Acc:MGI:104713] MENITNISEFILMGFPTAPWLQILLFSIFFITYVFVLLENLVIILTVWVTGSLHKPMYYF LSTMSFLEAWYISVTVPKMLAGFLFHPNTISFLGCMTQLYFFMSLACTECVLLAAMAYDR YVAICWPLRYPVMMTTGFCVQLTISSWVSGFTISMAKVYFLSRVAFCGNNVLNHFFCDVS PILKLACMNLSMAETVDFALAIVILIFPLSATVLSYGFIVSTVLQIPSATGQRKAFSTCA SHLTVVVIFYTAVIFMYVRPRAIASFNSNKLISAIYAVFTPMLNPIIYCLRNKEVKDAIR KTIAGGRAPALGESIS >ENSMUSP00000096525.2 pep:known chromosome:GRCm38:3:89715022:89753446:1 gene:ENSMUSG00000027951.16 transcript:ENSMUST00000098924.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adar description:adenosine deaminase, RNA-specific [Source:MGI Symbol;Acc:MGI:1889575] MAEIKEKICDYLFNVSNSSALNLAKNIGLTKARDVTSVLIDLERQGDVYRQGATPPIWYL TDKKRERLQMKRSTHSAPAPTPTAVPEATRSPSFPACHPPPAGASSSVAASKRVENGQEP AIKHESRHEARPGPMRLRPHAYHNGPSRAGYVASENGQWATDDIPDNLNSIHTAPGEFRA IMEMPSFYSPTLPRCSPYKKLTECQLKNPVSGLLEYAQFTSQTCDFNLIEQSGPSHEPRF KFQVVINGREFPPAEAGSKKVAKQDAAVKAMAILLREAKAKDSGQPEDLSHCPMEEDSEK PAEAQAPSSSATSLFSGKSPVTTLLECMHKLGNSCEFRLLSKEGPAHDPKFQYCVAVGAQ TFPPVSAPSKKVAKQMAAEEAMKALQEEAASSADDQSGGANTDSLDESMAPNKIRRIGEL VRYLNTNPVGGLLEYARSHGFAAEFKLIDQSGPPHEPKFVYQAKVGGRWFPAVCAHSKKQ GKQDAADAALRVLIGESEKAEQLGFAEVTPVTGASLRRTMLLLSRSPDAHPKTLPLSGST FHDQIAMLSHRCFNALTNSFQPSLLGRKILAAIIMKRDPEDMGVVVSLGTGNRCVKGDSL SLKGETVNDCHAEIISRRGFIRFLYSELMKYNHHTAKNSIFELARGGEKLQIKKTVSFHL YISTAPCGDGALFDKSCSDRAVESTESRHYPVFENPKQGKLRTKVENGEGTIPVESSDIV PTWDGIRLGERLRTMSCSDKILRWNVLGLQGALLTHFLQPVYLKSVTLGYLFSQGHLTRA ICCRVTRDGKAFEDGLRYPFIVNHPKVGRVSVYDSKRQSGKTKETSVNWCMADGYDLEIL DGTRGTVDGPGKELSRVSKKNIFLQFKKLCSFRARRDLLQLSYGEAKKAARDYDLAKNYF KKSLRDMGYGNWISKPQEEKNFYLCPVPND >ENSMUSP00000029563.8 pep:known chromosome:GRCm38:3:89730748:89751406:1 gene:ENSMUSG00000027951.16 transcript:ENSMUST00000029563.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adar description:adenosine deaminase, RNA-specific [Source:MGI Symbol;Acc:MGI:1889575] MSQGFRGPTGVFPHQTQSYLDPSHEHSKWRYPQPQGPESYPRSFQLQQIEFLKGRLPEAP LIGIQTQSLPPFLPGHWPRFPGPPAQDRQLEIWEFPRSVTLRNQGFHIGPPLPPPHSRGT PWRGADGLCSHFRELSISQSPEQKVLNRLEELGEGKATTAHVLARELRIPKRDINRILYS LEKKGKLHRGRGKPPLWSLVPLSQAWTQPPGVVNPDSCIQEFPRGEPGLDSEDGDPASDL EGPSEPLDMAEIKEKICDYLFNVSNSSALNLAKNIGLTKARDVTSVLIDLERQGDVYRQG ATPPIWYLTDKKRERLQMKRSTHSAPAPTPTAVPEATRSPSFPACHPPPAGASSSVAASK RVENGQEPAIKHESRHEARPGPMRLRPHAYHNGPSRAGYVASENGQWATDDIPDNLNSIH TAPGEFRAIMEMPSFYSPTLPRCSPYKKLTECQLKNPVSGLLEYAQFTSQTCDFNLIEQS GPSHEPRFKFQVVINGREFPPAEAGSKKVAKQDAAVKAMAILLREAKAKDSGQPEDLSHC PMEEDSEKPAEAQAPSSSATSLFSGKSPVTTLLECMHKLGNSCEFRLLSKEGPAHDPKFQ YCVAVGAQTFPPVSAPSKKVAKQMAAEEAMKALQEEAASSADDQSGGANTDSLDESMAPN KIRRIGELVRYLNTNPVGGLLEYARSHGFAAEFKLIDQSGPPHEPKFVYQAKVGGRWFPA VCAHSKKQGKQDAADAALRVLIGESEKAEQLGFAELPLSGSTFHDQIAMLSHRCFNALTN SFQPSLLGRKILAAIIMKRDPEDMGVVVSLGTGNRCVKGDSLSLKGETVNDCHAEIISRR GFIRFLYSELMKYNHHTAKNSIFELARGGEKLQIKKTVSFHLYISTAPCGDGALFDKSCS DRAVESTESRHYPVFENPKQGKLRTKVENGEGTIPVESSDIVPTWDGIRLGERLRTMSCS DKILRWNVLGLQGALLTHFLQPVYLKSVTLGYLFSQGHLTRAICCRVTRDGKAFEDGLRY PFIVNHPKVGRVSVYDSKRQSGKTKETSVNWCMADGYDLEILDGTRGTVDGPGKELSRVS KKNIFLQFKKLCSFRARRDLLQLSYGEAKKAARDYDLAKNYFKKSLRDMGYGNWISKPQE EKNFYLCPVPND >ENSMUSP00000112969.1 pep:known chromosome:GRCm38:3:89730748:89751406:1 gene:ENSMUSG00000027951.16 transcript:ENSMUST00000121094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adar description:adenosine deaminase, RNA-specific [Source:MGI Symbol;Acc:MGI:1889575] MAILLREAKAKDSGQPEDLSHCPMEEDSEKPAEAQAPSSSATSLFSGKSPVTTLLECMHK LGNSCEFRLLSKEGPAHDPKFQYCVAVGAQTFPPVSAPSKKVAKQMAAEEAMKALQEEAA SSADDQSGGANTDSLDESMAPNKIRRIGELVRYLNTNPVGGLLEYARSHGFAAEFKLIDQ SGPPHEPKFVYQAKVGGRWFPAVCAHSKKQGKQDAADAALRVLIGESEKAEQLGFAELPL SGSTFHDQIAMLSHRCFNALTNSFQPSLLGRKILAAIIMKRDPEDMGVVVSLGTGNRCVK GDSLSLKGETVNDCHAEIISRRGFIRFLYSELMKYNHHTAKNSIFELARGGEKLQIKKTV SFHLYISTAPCGDGALFDKSCSDRAVESTESRHYPVFENPKQGKLRTKVENGEGTIPVES SDIVPTWDGIRLGERLRTMSCSDKILRWNVLGLQGALLTHFLQPVYLKSVTLGYLFSQGH LTRAICCRVTRDGKAFEDGLRYPFIVNHPKVGRVSVYDSKRQSGKTKETSVNWCMADGYD LEILDGTRGTVDGPGKELSRVSKKNIFLQFKKLCSFRARRDLLQLSYGEAKKAARDYDLA KNYFKKSLRDMGYGNWISKPQEEKNFYLCPVPND >ENSMUSP00000113453.1 pep:known chromosome:GRCm38:3:89730748:89751406:1 gene:ENSMUSG00000027951.16 transcript:ENSMUST00000118341.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adar description:adenosine deaminase, RNA-specific [Source:MGI Symbol;Acc:MGI:1889575] MAILLREAKAKDSGQPEDLSHCPMEEDSEKPAEAQAPSSSATSLFSGKSPVTTLLECMHK LGNSCEFRLLSKEGPAHDPKFQYCVAVGAQTFPPVSAPSKKVAKQMAAEEAMKALQEEAA SSADDQSGGANTDSLDESMAPNKIRRIGELVRYLNTNPVGGLLEYARSHGFAAEFKLIDQ SGPPHEPKFVYQAKVGGRWFPAVCAHSKKQGKQDAADAALRVLIGESEKAEQLGFAEVTP VTGASLRRTMLLLSRSPDAHPKTLPLSGSTFHDQIAMLSHRCFNALTNSFQPSLLGRKIL AAIIMKRDPEDMGVVVSLGTGNRCVKGDSLSLKGETVNDCHAEIISRRGFIRFLYSELMK YNHHTAKNSIFELARGGEKLQIKKTVSFHLYISTAPCGDGALFDKSCSDRAVESTESRHY PVFENPKQGKLRTKVENGEGTIPVESSDIVPTWDGIRLGERLRTMSCSDKILRWNVLGLQ GALLTHFLQPVYLKSVTLGYLFSQGHLTRAICCRVTRDGKAFEDGLRYPFIVNHPKVGRV SVYDSKRQSGKTKETSVNWCMADGYDLEILDGTRGTVDGPGKELSRVSKKNIFLQFKKLC SFRARRDLLQLSYGEAKKAARDYDLAKNYFKKSLRDMGYGNWISKPQEEKNFYLCPVPND >ENSMUSP00000103028.2 pep:known chromosome:GRCm38:3:89730748:89753446:1 gene:ENSMUSG00000027951.16 transcript:ENSMUST00000107405.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adar description:adenosine deaminase, RNA-specific [Source:MGI Symbol;Acc:MGI:1889575] MSQGFRGPTGVFPHQTQSYLDPSHEHSKWRYPQPQGPESYPRSFQLQQIEFLKGRLPEAP LIGIQTQSLPPFLPGHWPRFPGPPAQDRQLEIWEFPRSVTLRNQGFHIGPPLPPPHSRGT PWRGADGLCSHFRELSISQSPEQKVLNRLEELGEGKATTAHVLARELRIPKRDINRILYS LEKKGKLHRGRGKPPLWSLVPLSQAWTQPPGVVNPDSCIQEFPRGEPGLDSEDGDPASDL EGPSEPLDMAEIKEKICDYLFNVSNSSALNLAKNIGLTKARDVTSVLIDLERQGDVYRQG ATPPIWYLTDKKRERLQMKRSTHSAPAPTPTAVPEATRSPSFPACHPPPAGASSSVAASK RVENGQEPAIKHESRHEARPGPMRLRPHAYHNGPSRAGYVASENGQWATDDIPDNLNSIH TAPGEFRAIMEMPSFYSPTLPRCSPYKKLTECQLKNPVSGLLEYAQFTSQTCDFNLIEQS GPSHEPRFKFQVVINGREFPPAEAGSKKVAKQDAAVKAMAILLREAKAKDSGQPEDLSHC PMEEDSEKPAEAQAPSSSATSLFSGKSPVTTLLECMHKLGNSCEFRLLSKEGPAHDPKFQ YCVAVGAQTFPPVSAPSKKVAKQMAAEEAMKALQEEAASSADDQSGGANTDSLDESMAPN KIRRIGELVRYLNTNPVGGLLEYARSHGFAAEFKLIDQSGPPHEPKFVYQAKVGGRWFPA VCAHSKKQGKQDAADAALRVLIGESEKAEQLGFAEVTPVTGASLRRTMLLLSRSPDAHPK TLPLSGSTFHDQIAMLSHRCFNALTNSFQPSLLGRKILAAIIMKRDPEDMGVVVSLGTGN RCVKGDSLSLKGETVNDCHAEIISRRGFIRFLYSELMKYNHHTAKNSIFELARGGEKLQI KKTVSFHLYISTAPCGDGALFDKSCSDRAVESTESRHYPVFENPKQGKLRTKVENGEGTI PVESSDIVPTWDGIRLGERLRTMSCSDKILRWNVLGLQGALLTHFLQPVYLKSVTLGYLF SQGHLTRAICCRVTRDGKAFEDGLRYPFIVNHPKVGRVSVYDSKRQSGKTKETSVNWCMA DGYDLEILDGTRGTVDGPGKELSRVSKKNIFLQFKKLCSFRARRDLLQLSYGEAKKAARD YDLAKNYFKKSLRDMGYGNWISKPQEEKNFYLCPVPND >ENSMUSP00000027824.5 pep:known chromosome:GRCm38:1:172893961:172895041:-1 gene:ENSMUSG00000026542.6 transcript:ENSMUST00000027824.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apcs description:serum amyloid P-component [Source:MGI Symbol;Acc:MGI:98229] MDKLLLWMFVFTSLLSEAFCQTDLKRKVFVFPRESETDHVKLIPHLEKPLQNFTLCFRTY SDLSRSQSLFSYSVKGRDNELLIYKEKVGEYSLYIGQSKVTVRGMEEYLSPVHLCTTWES SSGIVEFWVNGKPWVKKSLQREYTVKAPPSIVLGQEQDNYGGGFQRSQSFVGEFSDLYMW DYVLTPQDILFVYRDSPVNPNILNWQALNYEINGYVVIRPRVWD >ENSMUSP00000023886.6 pep:known chromosome:GRCm38:17:53829637:53846339:-1 gene:ENSMUSG00000023122.6 transcript:ENSMUST00000023886.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1c2 description:sulfotransferase family, cytosolic, 1C, member 2 [Source:MGI Symbol;Acc:MGI:1916333] MALTPELSRQTKLKEVAGIPLQAPTVDNWRQIQTFEAKPDDLLICTYPKSGTTWIQEIVD MIEQNGDVEKCRRTIIQHRHPFIEWARPPQPSGVDKANEMPAPRILRTHLPTQLLPPSFW TNNCKFLYVARNAKDCMVSYYHFYRMSQVLPEPGTWDEYFETFINGKVSWGSWFDHVKGW WEIRDKYQILFLFYEDMKRNPKHEIQKVMQFMGKNLDEDVVDKIVLETSFEKMKENPMTN RSTAPKSILDQSISPFMRKGTVGDWKNHFTVAQNERFDEIYKQKMGRTSLNFSMEL >ENSMUSP00000031719.6 pep:known chromosome:GRCm38:6:28427789:28438622:1 gene:ENSMUSG00000029707.6 transcript:ENSMUST00000031719.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fscn3 description:fascin actin-bundling protein 3 [Source:MGI Symbol;Acc:MGI:1890386] MAEVDWIHRHPKAEDLRVGLISWAGTYLTFEAYKSSVTASAKSLGRRQTWELLVSNEHES QAVIRLKSLQGLYLLCEADGTVCYGRPRTSHHGCFLLRFHRNGKWTLQCIISGRYLESDG EDVFCNSRVLSAYHMWTPRPALHVHVILYSPIYHSYARADHTVGRIWVDAAIPCLEECGF LLHFQDGCYHLETSTHHFLSRVDRLVPQRSSQTAFHMQVRPRGLVALCDGEGGTLYPQGS HLLLGMGSAPMKGEEWFVLQHFPTWVSLKSKSRRFLSVIYDAEVCAASERLTQMSLFQYE CDSETPTLQLRSANGYYLAQRRHRAIIADGHPMESDTFFRVHWNCGKITLQSPNGRFLGI ASDGLLMANVTIPGPNEELGIRFANRPFLVLRGRYGYVGSSSDHDLLKCNMDQPDCIQLL PCRQGIYHFQAQGGSFWSITSFGTFRPWGKFALNFCIELQGSSLLTVLAPNGFYLRADRS GTLLADSEEITKECIWEF >ENSMUSP00000041524.4 pep:known chromosome:GRCm38:1:172956382:172957817:1 gene:ENSMUSG00000037924.5 transcript:ENSMUST00000038432.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr16 description:olfactory receptor 16 [Source:MGI Symbol;Acc:MGI:106648] MQRNNFTEVIEFVFLGFSSFGKHQITLFVVFLTIYILTLAGNIIIVTITHIDHHLHTPMY FFLSMLASSETVYTLVIVPRMLSSLIFYNLPISLAGCATQMFFFVTLATNNCFLLTAMGY DRYVAICNPLRYTIIMSKGMCALLVCGSLGTGLVMAVLHVPAMFHLPFCGTVVEHFFCDI YPVMKLSCVDTTVNEIINYGVSSFVILVPIGLIFISYVLIVSSILKIVSTEGQKKAFATC ASHLTVVIVHYGCASIAYLKPKSESSVEKDLLLSVTYTIITPLLNPVVYSLRNKEVKDAL CRAVGRNTS >ENSMUSP00000033433.2 pep:known chromosome:GRCm38:X:48695004:48710723:1 gene:ENSMUSG00000031107.6 transcript:ENSMUST00000033433.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rbmx2 description:RNA binding motif protein, X-linked 2 [Source:MGI Symbol;Acc:MGI:1919414] MNPLTKVKLINELNEREVQLGVAEKVSWHSEYKHSAWIFVGGLPYELTEGDIICVFSQYG EIVNINLVRDKKTGKSKGFCFLCYEDQRSTVLAVDNFNGIKIKGRTIRVDHVSNYRAPQE SEDVDDVTRELQEKGCGVKTPPSSPPEVSEDEDAKLTKKHKKDKKEKKKRKKEKTEGQAQ AEQPSCSRSATVKEKKDERASRKHSSKTSERAQKSEHRESKKSHSGSPDGRSSYHARAED PECKARKEKPKHEHKSASRREAEEKSRERERGRSSGTHSGRHRGHSDGRSHRSRSRSRSP DKSHRHKKYRHSRERDSYHGSDRRHH >ENSMUSP00000076091.1 pep:known chromosome:GRCm38:10:130162264:130163422:-1 gene:ENSMUSG00000058084.4 transcript:ENSMUST00000076814.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr825 description:olfactory receptor 825 [Source:MGI Symbol;Acc:MGI:3030659] MGDRETSNHSDMTDFILVGFRVSPELHILLFLLFLLVYAMILLGNLGMMAIIMTDPRLNT PMYFFLGNLSFIDLFYSSVIAPKAMSNFWTESKSISFAGCVAQIFLFALFIVAEGFLLAA MAYDRFIAICNPLLYSVHMSTRLCTQLVAGSYFCGCISSVLQTSMTFTLSFCASRAIDHF YCDSRPLQRLSCSDIFIHKIVSFSLSGIIILPTITVIIVSYMYIVSTVLKIRSVEGRKKA FSTCSSHLGVVSVLYGAVFFMYLTPDRFPELSKLASLCYSLVTPMLNPLIYSLRNKDVRD ALSKLLEKKKCSGSFFPFYK >ENSMUSP00000087997.3 pep:known chromosome:GRCm38:6:28416091:28421724:-1 gene:ENSMUSG00000029708.9 transcript:ENSMUST00000090511.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc1 description:golgi coiled coil 1 [Source:MGI Symbol;Acc:MGI:1921625] MEKFGMNFGGGPSKKDLLETIETQKKQLLQYQARLKDVVRAYKSLLKEKEALEASIKVLS VSHEADVGLSGVQPPGLTFPDSVDDRCSTHSEDSTGTATSLDTAASLTSVKGEFGVEDDR AARGPLPPKSEEASGSESGVSSSSGDGPSAGSEMDKRVHQLKTQLATLTSSLATVTQEKS RMEASYLADKKKMKQDLEDANKKAEEERGRLEGDLKVLQEQIAETKARLITQQHDRAQEQ SDHALMLRELQKLLQEERTQRQDLELRLEETREALAGRAYAADQVEGFELQTKQLTREVE ELKGELQTIRDEKNRPDPRLQELQQEAARLKSHFQAQLQQEMRKTALAEDQLRQQSQVEE QRVAALESQISEVSELLGTYEKAKQKDQLAIQKLKERLLQLDLENKTLALAASSRSSLDI HGDESSLDINVLKDKMEKLKKLLQVAARKSQVTLDVEKLCDPEIMANSEAADGEKATALY YQQELKQLKEEFERYKMRAQVVLKSKNTKDGSLGKELEAAQEQLAELKDKYISLRLSCEE LESQHQQEAEDWKQELARLQQLHRQELERSQLDFRDRTLKLEEELHKQRDRALAVLAEKD LELEQLRSVALSSGLPGRRSPVGGVGGGGLGDPADTASSDSLTQALQLAAANEPTFFLYA EQLARKEVEITSLRKQKHRLEVEAHQLQERLLEEGERHREEVGALQSHIEKNMRDQSREG ANLEYLKNIIYRFLTLPDSLGRQQTLTAILTILHFSPEEKQVLMRLPSGGSWWPSGKR >ENSMUSP00000067395.6 pep:known chromosome:GRCm38:6:28417829:28428390:-1 gene:ENSMUSG00000029708.9 transcript:ENSMUST00000064377.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc1 description:golgi coiled coil 1 [Source:MGI Symbol;Acc:MGI:1921625] MEKFGMNFGGGPSKKDLLETIETQKKQLLQYQARLKDVVRAYKSLLKEKEALEASIKVLS VSHEADVGLSGVQPPGLTFPDSVDDRCSTHSEDSTGTATSLDTAASLTSVKGEFGVEDDR AARGPLPPKSEEASGSESGVSSSSGDGPSAGSEMDKRVHQLKTQLATLTSSLATVTQEKS RMEASYLADKKKMKQDLEDANKKAEEERGRLEGDLKVLQEQIAETKARLITQQHDRAQEQ SDHALMLRELQKLLQEERTQRQDLELRLEETREALAGRAYAADQVEGFELQTKQLTREVE ELKGELQTIRDEKNRPDPRLQELQQEAARLKSHFQAQLQQEMRKTALAEDQLRQQSQVEE QRVAALESQISEVSELLGTYEKAKQKDQLAIQKLKERLLQLDLENKTLALAASSRSSLDI HGDESSLDINVLKDKMEKLKKLLQVAARKSQVTLDVEKLCDPEIMANSEAADGEKATALY YQQELKQLKEEFERYKMRAQVVLKSKNTKDGSLGKELEAAQEQLAELKDKYISLRLSCEE LESQHQQEAEDWKQELARLQQLHRQELERSQLDFRDRTLKLEEELHKQRDRALAVLAEKD LELEQLRSVALSSGLPGRRSPVGGVGGGGLGDPADTASSDSLTQALQLAAANEPTFFLYA EQLARKEVEITSLRKQKHRLEVEAHQLQERLLEEGERHREEVGALQSHIEKNMRDQSREG ANLEYLKNIIYRFLTLPDSLGRQQTLTAILTILHFSPEEKQVLMRLPSGGSWWPSGKR >ENSMUSP00000132415.1 pep:known chromosome:GRCm38:6:28419662:28420858:-1 gene:ENSMUSG00000029708.9 transcript:ENSMUST00000165455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc1 description:golgi coiled coil 1 [Source:MGI Symbol;Acc:MGI:1921625] XMDKRVHQLKTQLATLTSSLATVTQEKSRMEASYLADKKKMKQDLEDANKKAEEERGRLE GDLKVLQEQIAETKARLITQQHDRAQEQSDHALMLRELQKLLQEERTQRQDLELRLEETR EALAGRAYAADQVEGFELQTKQLTREVEELKGELQTIRDEKNRPDPRLQELQQEAARLKS HFQAQLQQEMRKVR >ENSMUSP00000130379.1 pep:known chromosome:GRCm38:6:28421280:28424153:-1 gene:ENSMUSG00000029708.9 transcript:ENSMUST00000170767.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc1 description:golgi coiled coil 1 [Source:MGI Symbol;Acc:MGI:1921625] MEKFGMNFGGGP >ENSMUSP00000122428.1 pep:known chromosome:GRCm38:10:88453004:88480872:-1 gene:ENSMUSG00000060002.14 transcript:ENSMUST00000134318.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpt1 description:choline phosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2384841] XNYFHVILHGGVGKNGSTIAGTSVLSPGLHIGLIIILAIMIYKKSATNMFEKHPCLYTLM FGCVFAKVAQKLVIAHMTKSELYLQDTVFIGPGLLFLDQYFNNFIDEYVVLWIAMVISSF DMMIYFTSLCLQISRHLHLNIFKTSCQQAPEQVIKVYEGL >ENSMUSP00000120167.1 pep:known chromosome:GRCm38:10:88452745:88476440:-1 gene:ENSMUSG00000060002.14 transcript:ENSMUST00000148899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpt1 description:choline phosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2384841] QDTVFIGPGLLFLDQYFNNFIDEYVVLWIAMVISSFDMMIYFTSLCLQISRHLHLNIFKT SCQQAPEQVLSSIPSNHMVAHNHL >ENSMUSP00000020253.8 pep:known chromosome:GRCm38:10:88472817:88503970:-1 gene:ENSMUSG00000060002.14 transcript:ENSMUST00000020253.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpt1 description:choline phosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2384841] MAAGAGARPAPRWVKALGEPLSAAQLRRLEEHRYTAVGESLFEPPLQLYWTWLLQWIPLW MAPNTITLIGLAINLVTTLVLIFYCPTVTEEAPYWTYLLCALGLFIYQSLDAIDGKQARR TNSCSPLGELFDHGCDSLSTVFMAIGASIAVRLGTHPDWLFFCSFVGMFMFYCAHWQTYV SGVLRFGRVDVTEIQVALVIVFMLSTFGGATMWDYTIPILEIKLKIVPVLGVVGGLIFSC SNYFHVILHGGVGKNGSTIAGTSVLSPGLHIGLIIILAIMIYKKSATNMFEKHPCLYTLM FGCVFAKVAQKLVIAHMTKSELYLQDTVFIGPGLLFLDQYFNNFIDEYVVLWIAMVISSF DMMIYFTSLCLQISRHLHLNIFKTSCQQAPEQVYKHID >ENSMUSP00000117620.1 pep:known chromosome:GRCm38:10:88472817:88503753:-1 gene:ENSMUSG00000060002.14 transcript:ENSMUST00000143108.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chpt1 description:choline phosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2384841] XAVGESLFEPPLQLYWTWLLQWIPLWMAPNTITLIGLAINLVTTLVLIFYCPTVTEEAPY WTYLLCALGLFIYQSLDAIDGKQARRTNSCSPLGELFDHGCDSLSTVFMAIGASIAVRLG THPDWLFFCSFVGMFMFYCAHWQTYVSGVLRFGRVDVTEIQVALVIVFMLSTFGGATMWD YTLS >ENSMUSP00000116413.1 pep:known chromosome:GRCm38:10:88472839:88503889:-1 gene:ENSMUSG00000060002.14 transcript:ENSMUST00000139109.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chpt1 description:choline phosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2384841] MAAGAGARPAPRWVKALGEPLSAAQLRRLEEHRYTAVGESLFEPPLQLYWTWLLQWIPLW MAPNTITLIGLAINLVTTLVLIFYCPTVTEEAPYWTYLLCALGLFIYQSLDAIDGKQARR TNSCSPLGELFDHGCDSLSTVFMAIGASIAVRLGTHPDWLFFCSFVGMFMFYCAHWQTYV SGVLRFGRVDVTEIQVALVIVFMLSTFGGATMWDYTIPILEIKLKIVPVLGVVGGLIFSC SNYFHVILHGGVGKNGSTIAGTSVLSPGLHIGLIIILAIMIYKKSATNMFEKHPCLYTLM FGCVFAKVAQKLVIAHMTKSELYLQDTVFIGPGLLFLDQYFNNFIDEYVVLWIAMVISSF DMMIYFTSLCLQISRHLHLNIFKTSCQQAPEQVYKHID >ENSMUSP00000112708.1 pep:known chromosome:GRCm38:10:88469514:88504073:-1 gene:ENSMUSG00000060002.14 transcript:ENSMUST00000117440.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpt1 description:choline phosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2384841] MAAGAGARPAPRWVKALGEPLSAAQLRRLEEHRYTAVGESLFEPPLQLYWTWLLQWIPLW MAPNTITLIGLAINLVTTLVLIFYCPTVTEEAPYWTYLLCALGLFIYQSLDAIDGKQARR TNSCSPLGELFDHGCDSLSTVFMAIGASIAVRLGTHPDWLFFCSFVGMFMFYCAHWQTYV SGVLRFGRVDVTEIQVALVIVFMLSTFGGATMWDYTIPILEIKLKIVPVLGVVGGLIFSC SNYFHVILHGGVGKNGSTIAGTSVLSPGLHIGLIIILAIMIYKKSATNMFEKHPCLYTLM FGCVFAKVAQKLVIAHMTKSELYLQDTVFIGPGLLFLDQYFNNFIDEYVVLWIAMVISSF DMMIYFTSLCLQISRHLHLNIFKTSCQQAPEQVQVLSSKTHQNNMD >ENSMUSP00000123429.1 pep:known chromosome:GRCm38:10:88472419:88503999:-1 gene:ENSMUSG00000060002.14 transcript:ENSMUST00000126074.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chpt1 description:choline phosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2384841] MAAGAGARPAPRWVKALGEPLSAAQLRRLEEHRYTAVGESLFEPPLQLYWTWLLQWIPLW MAPNTITLIGLAINLVTTLVLIFYCPTVTEEAPYWTYLLCALGLFIYQSLDAIDGKQARR TNSCSPLGELFDHGCDSLSTVFMAIGASIAVRLGTHPDWLFFCSFVGMFMFYCAHWQTYV SGVLRFGRVDVTEIQVALVIVFMLSTFGGATMWDYTLS >ENSMUSP00000073455.5 pep:known chromosome:GRCm38:10:88487042:88503925:-1 gene:ENSMUSG00000060002.14 transcript:ENSMUST00000073783.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpt1 description:choline phosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2384841] MAAGAGARPAPRWVKALGEPLSAAQLRRLEEHRYTAVGESLFEPPLQLYWTWLLQWIPLW MAPNTITLIGLAINLVTTLVLIFYCPTVTEEAPYWTYLLCALGLFIYQSLDAIDGKQARR TNSCSPLGELFDHGCDSLSTVFMAIGASIAVRLGTHPDWLFFCSFVGMFMFYCAHWQTYV SGVLRFGSQEVFFRAGEMAQWVRAPDCSSEGPEFKSQQPHGGSQPSVTRSDALFWSV >ENSMUSP00000113179.1 pep:known chromosome:GRCm38:10:88485593:88503918:-1 gene:ENSMUSG00000060002.14 transcript:ENSMUST00000117579.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpt1 description:choline phosphotransferase 1 [Source:MGI Symbol;Acc:MGI:2384841] MAAGAGARPAPRWVKALGEPLSAAQLRRLEEHRYTAVGESLFEPPLQLYWTWLLQWIPLW MAPNTITLIGLAINLVTTLVLIFYCPTVTEEAPYWTYLLCALGLFIYQSLDAIDGKQARR TNSCSPLGELFDHGCDSLSTVFMAIGASIAVRLGTHPDWLFFCSFVGMFMFYCAHWQTYV SGVLRFGRWRTLSSLSSTMPAACHHASCHNDHGLNLGTLNQLQWNVFFYKSC >ENSMUSP00000096478.1 pep:known chromosome:GRCm38:3:93960292:93961314:-1 gene:ENSMUSG00000094163.1 transcript:ENSMUST00000098879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdpoz5 description:TD and POZ domain containing 5 [Source:MGI Symbol;Acc:MGI:3027905] MSEDMEVTNWGYTHISVKEFCYVWTIRNFSPCIDGIRRTITSPVFSLEANDEVTWCLRAH PNGVDEVSECYMSVFLELLSCRKSPVWAKYEFWITTSQGEKYQCMKSFNVHSFQKNQYRG FKKFILGDFLISHPRRFLPENKLTLCCKVSIVGSVFGMPGQNITPAIKDPRHLLTDDLGE LWENSLFTDCCLLVAGHEFRAHKAILAARSPVFRAMFEHEMEERLGNPTEIHDLDPKVFK EMMGFIYTGKAPHLQSHSMATDVLTAADKYGLEGLKVLCEDALCRNLSVENAAQTLILAD LHKREQLKTQALYFIALHASVVSETSEWKSMMETHPHLVG >ENSMUSP00000050592.2 pep:known chromosome:GRCm38:X:102141716:102157091:-1 gene:ENSMUSG00000051220.2 transcript:ENSMUST00000056904.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc6l description:excision repair cross-complementing rodent repair deficiency complementation group 6 like [Source:MGI Symbol;Acc:MGI:2654144] MEASQGLAEVETLSPQLAESYLRYVQEAKEAAKNGDLEESLKLFNLAKDIFPTKKVMSRI QKLQEALEQLAEEEDDDEFIDVCSSGLLLYRELYEKLFEHQKEGIAFLYSLYKDGRKGGI LADDMGLGKTVQIIAFLSGMFDASLVNHVLLIMPTNLINTWVNEFAKWTPGMRVKTFHGS SKSERTRSLTRIQQRNGVVITTYQMLLNNWQQLASFNGQAFVWDYVILDEAHKIKSASTK SAVCARAIPASNRLLLTGTPVQNNLQELWSLFDFACQGSLLGTLKTFKMEYEHPIIRARE KDATPGEKALGLKISENLMEIIKPYFLRRTKEEVQTKKADNPEARLGEKNPAGEAICDMF SLARKNDLIVWIRLLPLQEEIYRKFVSLDHIKELLMETRSPLAELGVLKKLCDHPRLLSA RACRLLNLGTATFSAQDENEQEDVSNMNSIDHLPDKTLIQESGKMIFLMSLLERLQDEGH QTLVFSQSIKILNIIERLLKNKHFKTLRIDGTVTHLWEREKRIQLFQQNKEYSVFLLTTQ VGGVGLTLTAATRVVIFDPSWNPATDAQAVDRVYRIGQKENVVVYRLITCGTVEEKIYRR QVFKDSLIRQTTGEKKNPFRYFTKQELKELFTVGDLQKSATQMQLQCLHAAQRRSDEKLD EHIAYLHLLGIAGISDHDLMFTRDLSVKEELDMLEDSQYIHQRVQKAQFLVESESQNTVQ RQTTGIEETWLKAQEFPSQQKKKGTEFNKPQPQPSRLLTKPTQVEAISSQMASITICDQS AESEPQEHSEVHDVTSLQGSHHFNSTSDAGTIASLPQGAESIGEVSTDSLLSPAKGFAAE NDAMQKKGLQASPGQEAPSENLGSFHYLPRESSKASLGPNLDLQDSVVLYHRSPTANENQ NLESDVPMIEISDDLSEPPSALQGAQAIEAQLELKEDDPLKSPPQYACDFNLFLEDSADT RQNLSSKFLEHVEKEKSLQSPAANSRAKSALTLSLDSSPKSDEESEVISVKTKSKTRRIL SDDEDEDEEDAFKGSHTNSINISPFPFSSVKQFDASTPQSGSNPSRRFFSPKTPGEVNTS LHSRRSLASRRSLINVVLDDVEDMEERLDNSSEEESEPGLSEENNEEEALACTEEQPSGA TLASGNKSSNLTMSEPTSPAPQSSPCAPEPSSSDPMPDPPQDLAVEAGNDYESLVARGKE LKECGKIQEALNCLVKALDIKSADPEVMLMTLSLYKQLNI >ENSMUSP00000138432.1 pep:known chromosome:GRCm38:8:70172405:70183667:1 gene:ENSMUSG00000002342.17 transcript:ENSMUST00000182715.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem161a description:transmembrane protein 161A [Source:MGI Symbol;Acc:MGI:2384577] MAVLGVQLVVTLFTATLMHRLAPHCSFARWLLCNGRPSQHDPAPGAYSEEAGLGLDTPCD QVGHPPWAGGARLPAGRLPHFPWPEAGPDPPGRADPVCGPTTATAAPTHQLPVTPVHLVA LDKACRPGLPVPGTHKEHDFLCTVRRGFRLSAPLGVGGAVPVAPGSNPATPAGLPVPGQG SSGAAAQGGWPH >ENSMUSP00000002413.8 pep:known chromosome:GRCm38:8:70172405:70183681:1 gene:ENSMUSG00000002342.17 transcript:ENSMUST00000002413.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem161a description:transmembrane protein 161A [Source:MGI Symbol;Acc:MGI:2384577] MAVLGVQLVVTLFTATLMHRLAPHCSFARWLLCNGSLFRYIHPSEEELRALSGKLRPRVR KERWANGLHDEKPLSVPRDAHFQLQTCPLTAVDALVLRFFLEYQWFVDFAVYSVGVYLFT EAYYFVLGPVQETNIAVFWCLLTLAFSLKVFLMVTRLYFSTKEGGERSVCLSFAFLFLLL AMLVQVVREETLELGLEPGLASMTQHLEPILKKQDWDWTLPVIKLAIRLGLAVLGSLLGA FLIFPGLRLAQTHQDALTLSADRPLLQLLLHTSFLSPLCTLWLWTKPVARDFLYQAPTRN MTFSVPSEGAFDSLRLWVLVALCLLRLAVTRPHLQAYLCLAKARVEQLRKEAGRIEAREI QQRVVRVYCYVTVVSLQYLTPLILTLHCTLLLKTLGGYSWALSSTPPPLAPSQPSEALIP VDPAGDEAQQTAAQVAGILGGLLTPLFLRGMLAYIIWWTAACQLLSSLFGLYFHQHLAAS >ENSMUSP00000138499.1 pep:known chromosome:GRCm38:8:70172408:70182850:1 gene:ENSMUSG00000002342.17 transcript:ENSMUST00000182980.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem161a description:transmembrane protein 161A [Source:MGI Symbol;Acc:MGI:2384577] MVTRLYFSTKEGGERSVCLSFAFLFLLLAMLVQVVREETLELGLEPGLASMTQHLEPILK KQDWDWTLPVIKLAIRLGLAVLGSLLGAFLIFPGLRLAQTHQDALTLSADRPLLQLLLHT SFLSPLCTLWLWTKPVARDFLYQAPTRNMTFSVPSEGAFDSLRLWVLVALCLLRLAVTRP HLQAYLCLAKARVEQLRKEAGRIEAREIQQRVVRVYCYVTVVSLQYLTPLILTLHCTLLL KTLGGYSWALSSTPPPLAPSQPSEALIPVDPAGDEAQQTAAQVAGILGGLLTPLFLRGML AYIIWWTAACQLLSSLFGLYFHQHLAAS >ENSMUSP00000138017.1 pep:known chromosome:GRCm38:8:70172413:70182875:1 gene:ENSMUSG00000002342.17 transcript:ENSMUST00000147656.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem161a description:transmembrane protein 161A [Source:MGI Symbol;Acc:MGI:2384577] MAVLGVQLVVTLFTATLMHRLAPHCSFARWLLCNGSLFRYIHPSEEELRALSGKLRPRVR KERWANGLHDEKPLSVPRDAHFQLQTCPLTAVDALVLRFFLEYQWFVDFAVYSVGVYLFT EAYYFVLGPVQETNIAVFWCLLTLAFSLKVFLMVTRLYFSTKEGGERSVCLSFAFLFLLL AMLVQVVREETLELGLEPGLASMTQHLEPILKKQDWDWTLPVIKLAIRLGLAVLGSLLGA FLIFPGLRLAQTHQDALTLSADRPLLQLGGSPRTVRRGFRLSAPLGVGGAVPVAPGSNPA TPAGLPVPGQGSSGAAAQGGWPH >ENSMUSP00000138641.1 pep:known chromosome:GRCm38:8:70172419:70180738:1 gene:ENSMUSG00000002342.17 transcript:ENSMUST00000182365.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem161a description:transmembrane protein 161A [Source:MGI Symbol;Acc:MGI:2384577] MAVLGVQLVVTLFTATLMHRLAPHCSFARWLLCNGSLFRYIHPSEEELRALSGKLRPRVR KERWANGLHDEKPLSVPRDAHFQLQTCPLTAVDALGLASMTQHLEPILKKQDWDWTLPVI KLAIRLG >ENSMUSP00000123084.1 pep:known chromosome:GRCm38:8:70174303:70182867:1 gene:ENSMUSG00000002342.17 transcript:ENSMUST00000149105.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem161a description:transmembrane protein 161A [Source:MGI Symbol;Acc:MGI:2384577] AVLGVQLVVTLFTATLMHRLAPHCSFARWLLCNGSLFRYIHPSEEELRALSGKLRPRVRK ERWANGLHDEKPLSVPRDAHFQLQTCPLTAVDALEAYYFVLGPVQETNIAVFWCLLTLAF SLKVFLMVTRLYFSTKEGGERSVCLSFAFLFLLLAMLVQVVREETLELGLEPGLASMTQH LEPILKKQDWDWTLPVIKLAIRLGLAVLGSLLGAFLIFPGLRLAQTHQDALTLSADRPLL QLLLHTSFLSPLCTLWLWTKPVARDFLYQAPTRNMTFSVPSEGAFDSLRLWVLVALCLLR LAVTRPHLQAYLCLAKARVEQLRKEAGRIEAREIQQRVVRVYCYVTVVSLQYLTPLILTL HCTLLLKTLGGYSWALSSTPPPLAPSQPSEALIPVDPAGDEAQQTAAQVAGILGGLLTPL FLRGMLAYIIWWTAACQLLSSLFGLYFHQHLAAS >ENSMUSP00000137791.2 pep:known chromosome:GRCm38:8:70177490:70181212:1 gene:ENSMUSG00000002342.17 transcript:ENSMUST00000125906.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem161a description:transmembrane protein 161A [Source:MGI Symbol;Acc:MGI:2384577] XRSVLRWCVPLHRGLLFCAGPSPGDQHRCVLVPAHSGLLSEGVPHGDPAVLQYQGGR >ENSMUSP00000056625.8 pep:known chromosome:GRCm38:6:129408862:129424763:1 gene:ENSMUSG00000046080.17 transcript:ENSMUST00000058352.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec9a description:C-type lectin domain family 9, member a [Source:MGI Symbol;Acc:MGI:2444608] MHAEEIYTSLQWDIPTSEASQKCQSPSKCSGAWCVVTMISCVVCMGLLATSIFLGIKFFQ VSSLVLEQQERLIQQDTALVNLTQWQRKYTLEYCQALLQRSLHSGTDASTGPVLLTSPQM VPQTLDSKETGSDCSPCPHNWIQNGKSCYYVFERWEMWNISKKSCLKEGASLFQIDSKEE MEFISSIGKLKGGNKYWVGVFQDGISGSWFWEDGSSPLSDLLPAERQRSAGQICGYLKDS TLISDKCDSWKYFICEKKAFGSCI >ENSMUSP00000085394.5 pep:known chromosome:GRCm38:6:129408863:129422569:1 gene:ENSMUSG00000046080.17 transcript:ENSMUST00000088075.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec9a description:C-type lectin domain family 9, member a [Source:MGI Symbol;Acc:MGI:2444608] MHAEEIYTSLQWDIPTSEASQKCQSPSKCSGAWCVVTMISCVVCMGLLATSIFLGIKFFQ VSSLVLEQQERLIQQDTALVNLTQWQRKYTLEYCQALLQRSLHSGSDCSPCPHNWIQNGK SCYYVFERWEMWNISKKSCLKEGASLFQIDSKEEMEFISSIGKLKGGNKYWVGVFQDGIS GSWFWEDGSSPLSDLLPAERQRSAGQICGYLKDSTLISDKCDSWKYFICEKKAFGSCI >ENSMUSP00000144990.1 pep:known chromosome:GRCm38:6:129408955:129422358:1 gene:ENSMUSG00000046080.17 transcript:ENSMUST00000204860.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec9a description:C-type lectin domain family 9, member a [Source:MGI Symbol;Acc:MGI:2444608] MHAEEIYTSLQWDIPTSEASQKCQSPSKCSGAWCVVTMISCVVCMGLLATSIFLGIKFFQ VSSLVLEQQERLIQQDTALVNLTQWQRKYTLEYCQALLQRSLHSGCQQKDSDQPARSVDT SKILLSSQISAIAGNILSVRRRHLDPAS >ENSMUSP00000128622.2 pep:known chromosome:GRCm38:6:129408955:129422358:1 gene:ENSMUSG00000046080.17 transcript:ENSMUST00000164513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec9a description:C-type lectin domain family 9, member a [Source:MGI Symbol;Acc:MGI:2444608] MHAEEIYTSLQWDIPTSEASQKCQSPSKCSVFQVSSLVLEQQERLIQQDTALVNLTQWQR KYTLEYCQALLQRSLHSGTDASTGPVLLTSPQMVPQTLDSKETGSDCSPCPHNWIQNGKS CYYVFERWEMWNISKKSCLKEGASLFQIDSKEEMEFISSIGKLKGGNKYWVGVFQDGISG SWFWEDGSSPLSDLLPAERQRSAGQICGYLKDSTLISDKCDSWKYFICEKKAFGSCI >ENSMUSP00000041016.10 pep:known chromosome:GRCm38:13:33917918:33936198:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000043552.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGDKTCDLLASFKDSCLKFYEAEL EELDFQGATEESRQHINTWVAKKTEDKIKEVLSPGTVNSDTSLVLVNAIYFKGNWEKQFN KEHTREMPFKVSKNEEKPVQMMFKKSTFKMTYIGEIFTKILLLPYVSSELNMIIMLPDEH VELSTVEKEVTYEKFIEWTRLDKMDEEEVEVFLPKFKLEENYNMNDALYKLGMTDAFGGR ADFSGMSSKQGLFLSKVVHKAFVEVNEEGTEAAAATAGMMTVRCMRFTPRFCADHPFLFF IHHVKTNGILFCGRFSSP >ENSMUSP00000017188.7 pep:known chromosome:GRCm38:13:33917927:33935996:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000017188.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MGAARSRPGCCAGPRGYRLTIMDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALA MVFMGAKGTTASQMAQALALDKCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGD KTCDLLASFKDSCLKFYEAELEELDFQGATEESRQHINTWVAKKTEDKIKEVLSPGTVNS DTSLVLVNAIYFKGNWEKQFNKEHTREMPFKVSKNEEKPVQMMFKKSTFKMTYIGEIFTK ILLLPYVSSELNMIIMLPDEHVELSTVEKEVTYEKFIEWTRLDKMDEEEVEVFLPKFKLE ENYNMNDALYKLGMTDAFGGRADFSGMSSKQGLFLSKVVHKAFVEVNEEGTEAAAATAGM MTVRCMRFTPRFCADHPFLFFIHHVKTNGILFCGRFSSP >ENSMUSP00000132433.1 pep:known chromosome:GRCm38:13:33918790:34002794:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000171034.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGDKTCDLLASFKDSCLKFYEAEL EELDFQGATEESRQHINTWVAKKTEDKIKEVLSPGTVNSDTSLVLVNAIYFKGNWEKQFN KEHTREMPFKVSKNEEKPVQMMFKKSTFKMTYIGEIFTKILLLPYVSS >ENSMUSP00000127768.1 pep:known chromosome:GRCm38:13:33922926:33935875:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000167260.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGDKTCDLLASFKDSCLKFYEAEL EELDFQGATEESRQHINTWVAKKTEDKIKEVLSPGTVNSDTSLVLVNAIYFKGNWEKQFN KEHTREMPFKVSK >ENSMUSP00000126162.1 pep:known chromosome:GRCm38:13:33922942:33935955:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000171252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGDKTCDLLASFKDSCLKFYEAEL EELDFQGATEESRQHINTWVAKKTEDKIKEVLSPGTVNSDTSLVLVNAIYFKGNWEKQFN KEHTREMP >ENSMUSP00000130356.1 pep:known chromosome:GRCm38:13:33923019:33935848:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000168350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGDKTCDLLASFKDSCLKFYEAEL EELDFQGATEESRQHINTWVAKKTEDKIKEVLSPGTVNSDTS >ENSMUSP00000127224.1 pep:known chromosome:GRCm38:13:33925314:33935977:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000164627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGDKTCDLLASFKDSCLKFYEAEL EELDFQGATEESRQHINTWVAKKT >ENSMUSP00000126450.1 pep:known chromosome:GRCm38:13:33925386:34002767:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000168400.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGDKTCDLLASFKDSCLKFYEAEL >ENSMUSP00000131900.1 pep:known chromosome:GRCm38:13:33930125:33935954:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000170991.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGGDVHQG >ENSMUSP00000126287.1 pep:known chromosome:GRCm38:13:33930138:34002786:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000166354.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGG >ENSMUSP00000128267.1 pep:known chromosome:GRCm38:13:33931583:34002740:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000167237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKI >ENSMUSP00000075848.7 pep:known chromosome:GRCm38:13:33917918:34002794:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000076532.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGDKTCDLLASFKDSCLKFYEAEL EELDFQGATEESRQHINTWVAKKTEDKIKEVLSPGTVNSDTSLVLVNAIYFKGNWEKQFN KEHTREMPFKVSKNEEKPVQMMFKKSTFKMTYIGEIFTKILLLPYVSSELNMIIMLPDEH VELSTVEKEVTYEKFIEWTRLDKMDEEEVEVFLPKFKLEENYNMNDALYKLGMTDAFGGR ADFSGMSSKQGLFLSKVVHKAFVEVNEEGTEAAAATAGMMTVRCMRFTPRFCADHPFLFF IHHVKTNGILFCGRFSSP >ENSMUSP00000131115.1 pep:known chromosome:GRCm38:13:33917918:33936083:-1 gene:ENSMUSG00000060147.15 transcript:ENSMUST00000167163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6a description:serine (or cysteine) peptidase inhibitor, clade B, member 6a [Source:MGI Symbol;Acc:MGI:103123] MDPLQEANGTFALNLLKILGEDSSKNVFLSPMSISSALAMVFMGAKGTTASQMAQALALD KCSGNGGGDVHQGFQSLLTEVNKTGTQYLLRTANRLFGDKTCDLLASFKDSCLKFYEAEL EELDFQGATEESRQHINTWVAKKTEDKIKEVLSPGTVNSDTSLVLVNAIYFKGNWEKQFN KEHTREMPFKVSKNEEKPVQMMFKKSTFKMTYIGEIFTKILLLPYVSSELNMIIMLPDEH VELSTVEKEVTYEKFIEWTRLDKMDEEEVEVFLPKFKLEENYNMNDALYKLGMTDAFGGR ADFSGMSSKQGLFLSKVVHKAFVEVNEEGTEAAAATAGMMTVRCMRFTPRFCADHPFLFF IHHVKTNGILFCGRFSSP >ENSMUSP00000143864.1 pep:known chromosome:GRCm38:1:173130200:173137334:-1 gene:ENSMUSG00000062527.5 transcript:ENSMUST00000200689.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1408 description:olfactory receptor 1408 [Source:MGI Symbol;Acc:MGI:3031242] MKRANCTEVREFVFQGFSNFQEHQLTLFIIFFALYILTLTGNVIIVTIIRIDHHLHTPMY FFLSVLSTSETFYSLVIIPRMLGSLVGLSQTISLECCGTQLFFFLGFGITNCFLLAVMGY DRYVAICNPLRYSVIMNWRVCVILASSVCATGFLLSLVQALAIFRLPFCNTLIKHFFCDV RPILDLACTVPVINQVLTLVLTLMVLTAPAIFLFVSYALIISTILKIASSDGWKKTFATC SSHLTVVVIHYGCASIVYFKPKSENSKDQDQLLSVTYTVITPLLNPVVYSLRNKEVQDAL RKVLCRKSLS >ENSMUSP00000073345.2 pep:known chromosome:GRCm38:1:173130200:173131236:-1 gene:ENSMUSG00000062527.5 transcript:ENSMUST00000073663.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1408 description:olfactory receptor 1408 [Source:MGI Symbol;Acc:MGI:3031242] MKRANCTEVREFVFQGFSNFQEHQLTLFIIFFALYILTLTGNVIIVTIIRIDHHLHTPMY FFLSVLSTSETFYSLVIIPRMLGSLVGLSQTISLECCGTQLFFFLGFGITNCFLLAVMGY DRYVAICNPLRYSVIMNWRVCVILASSVCATGFLLSLVQALAIFRLPFCNTLIKHFFCDV RPILDLACTVPVINQVLTLVLTLMVLTAPAIFLFVSYALIISTILKIASSDGWKKTFATC SSHLTVVVIHYGCASIVYFKPKSENSKDQDQLLSVTYTVITPLLNPVVYSLRNKEVQDAL RKVLCRKSLS >ENSMUSP00000144979.1 pep:known chromosome:GRCm38:6:53287270:53700376:1 gene:ENSMUSG00000053007.8 transcript:ENSMUST00000203528.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb5 description:cAMP responsive element binding protein 5 [Source:MGI Symbol;Acc:MGI:2443973] MNLEQERPFVCSAPGCSQRFPTEDHLMIHRHKHEMTLKFPSIKTDNMLSDQTPTPTRFLK NCEEVGLFSELDCSFEHEFRKAQEEENSKRNISMHNTVGGTMTGPGAHQLGSTRMPNHDS SVVIQQAMPSPQSSSVITQAPSTNRQIGPVPGSLSSLLHLHNRQRQPMPASMPGTLPNPT MPGSSAVLMPMERQMSVNSSIMGMQGPNLSNPCASPQVQPMHSEAKMRLKAALTHHPAAM SNGNMSTIGHMMEMMGSRQDQTPHHHLHSHPHQHQTLPPHHPYPHQHQHPAHHPHPQPHH QQNHPHHHSHSHLHAHPAHHQTSPHPPLPTGNQAQVSPATQQMQPTQTIQPPQPTGGRRR RVVDEDPDERRRKFLERNRAAATRCRQKRKVWVMSLEKKAEELTQTNMQLQNEVSMLKNE VAQLKQLLLTHKDCPITAMQKESQGYLSPESSPPASPVPACSQQQVIQHNTITTSSSVSE VVGSSTLSQLTTHRTDLNPIL >ENSMUSP00000144851.1 pep:known chromosome:GRCm38:6:53290423:53694818:1 gene:ENSMUSG00000053007.8 transcript:ENSMUST00000203487.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb5 description:cAMP responsive element binding protein 5 [Source:MGI Symbol;Acc:MGI:2443973] MIYEESKMNLEQERPFVCSAPGCSQRFPTEDHLMIHRHKHEMTLKFPSIKTDNMLSDQTP TPTRFLKNCEEVGLFSELDCSFEHEFRKAQEEENSKRNISMHNTVGGTMTGPGAHQLGST RMPNHDSSVVIQQAMPSPQSSSVITQAPSTNRQIGPVPGSLSSLLHLHNRQRQPMPASMP GTLPNPTMPGSSAVLMPMERQMSVNSSIMGMQGPNLSNPCASPQVQPMHSEAKMRLKAAL THHPAAMSNGNMSTIGHMMEMMGSRQDQTPHHHLHSHPHQHQTLPPHHPYPHQHQHPAHH PHPQPHHQQNHPHHHSHSHLHAHPAHHQTSPHPPLPTGNQAQVSPATQQMQPTQTIQPPQ PTGGRRRRVVDEDPDERRRKFLERNRAAATRCRQKRKVWVMSLEKKAEELTQTNMQLQNE VSMLKNEVAQLKQLLLTHKDCPITAMQKESQGYLSPESSPPASPVPACSQQQVIQHNTIT TSSSVSEVVGSSTLSQLTTHRTDLNPIL >ENSMUSP00000038532.8 pep:known chromosome:GRCm38:6:53310871:53694818:1 gene:ENSMUSG00000053007.8 transcript:ENSMUST00000047450.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb5 description:cAMP responsive element binding protein 5 [Source:MGI Symbol;Acc:MGI:2443973] MNLEQERPFVCSAPGCSQRFPTEDHLMIHRHKHEMTLKFPSIKTDNMLSDQTPTPTRFLK NCEEVGLFSELDCSFEHEFRKAQEEENSKRNISMHNTVGGTMTGPGAHQLGSTRMPNHDS SVVIQQAMPSPQSSSVITQAPSTNRQIGPVPGSLSSLLHLHNRQRQPMPASMPGTLPNPT MPGSSAVLMPMERQMSVNSSIMGMQGPNLSNPCASPQVQPMHSEAKMRLKAALTHHPAAM SNGNMSTIGHMMEMMGSRQDQTPHHHLHSHPHQHQTLPPHHPYPHQHQHPAHHPHPQPHH QQNHPHHHSHSHLHAHPAHHQTSPHPPLPTGNQAQVSPATQQMQPTQTIQPPQPTGGRRR RVVDEDPDERRRKFLERNRAAATRCRQKRKVWVMSLEKKAEELTQTNMQLQNEVSMLKNE VAQLKQLLLTHKDCPITAMQKESQGYLSPESSPPASPVPACSQQQVIQHNTITTSSSVSE VVGSSTLSQLTTHRTDLNPIL >ENSMUSP00000144719.1 pep:known chromosome:GRCm38:6:53573300:53700367:1 gene:ENSMUSG00000053007.8 transcript:ENSMUST00000205120.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb5 description:cAMP responsive element binding protein 5 [Source:MGI Symbol;Acc:MGI:2443973] MSMRPVPGSLSSLLHLHNRQRQPMPASMPGTLPNPTMPGSSAVLMPMERQMSVNSSIMGM QGPNLSNPCASPQVQPMHSEAKMRLKAALTHHPAAMSNGNMSTIGHMMEMMGSRQDQTPH HHLHSHPHQHQTLPPHHPYPHQHQHPAHHPHPQPHHQQNHPHHHSHSHLHAHPAHHQTSP HPPLPTGNQAQVSPATQQMQPTQTIQPPQPTGGRRRRVVDEDPDERRRKFLERNRAAATR CRQKRKVWVMSLEKKAEELTQTNMQLQNEVSMLKNEVAQLKQLLLTHKDCPITAMQKESQ GYLSPESSPPASPVPACSQQQVIQHNTITTSSSVSEVVGSSTLSQLTTHRTDLNPIL >ENSMUSP00000144925.1 pep:known chromosome:GRCm38:6:53573376:53610451:1 gene:ENSMUSG00000053007.8 transcript:ENSMUST00000203641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Creb5 description:cAMP responsive element binding protein 5 [Source:MGI Symbol;Acc:MGI:2443973] MARPVPGSLSSLLHLHNRQRQPMPASMPGTLPNPTMPGSSAVLMPMERQMSVNSS >ENSMUSP00000142747.1 pep:known chromosome:GRCm38:3:90584659:90602478:1 gene:ENSMUSG00000001021.12 transcript:ENSMUST00000200508.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a3 description:S100 calcium binding protein A3 [Source:MGI Symbol;Acc:MGI:1338849] MTRPLEQAVAAIVCTFQEYAGRCGDKYKICQSELKELLQKELPTWTPSEFRECDYNKFMS VLDTNKDCEVDFGEYVRSLASLCLYCHEYFKECPPEPPCPQ >ENSMUSP00000142334.1 pep:known chromosome:GRCm38:3:90586952:90602524:1 gene:ENSMUSG00000001021.12 transcript:ENSMUST00000200290.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a3 description:S100 calcium binding protein A3 [Source:MGI Symbol;Acc:MGI:1338849] MTRPLEQAVAAIVCTFQEYAGRCGDKYKICQSELKELLQKELPTWTPSEFRECDYNKFMS VLDTNKDCEVDFGEYVRSLASLCLYCHEYFKECPPEPPCPQ >ENSMUSP00000001047.7 pep:known chromosome:GRCm38:3:90600215:90602702:1 gene:ENSMUSG00000001021.12 transcript:ENSMUST00000001047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a3 description:S100 calcium binding protein A3 [Source:MGI Symbol;Acc:MGI:1338849] MTRPLEQAVAAIVCTFQEYAGRCGDKYKICQSELKELLQKELPTWTPSEFRECDYNKFMS VLDTNKDCEVDFGEYVRSLASLCLYCHEYFKECPPEPPCPQ >ENSMUSP00000105350.1 pep:known chromosome:GRCm38:2:154376313:154408099:-1 gene:ENSMUSG00000027488.12 transcript:ENSMUST00000109728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snta1 description:syntrophin, acidic 1 [Source:MGI Symbol;Acc:MGI:101772] MASGRRAPRTGLLELRCGAGSGAGGERWQRVLLSLAEDALTVSPADGEPGPEPEPAQLNG AAEPGAAPPQLPEALLLQRRRVTVRKADAGGLGISIKGGRENKMPILISKIFKGLAADQT EALFVGDAILSVNGEDLSSATHDEAVQALKKTGKEVVLEVKYMKEVSPYFKNSAGGTSVG WDSPPASPLQRQPSSPGPQPRNLSEAKHVSLKMAYVSRRCTPTDPEPRYLEICAADGQDA VFLRAKDEASARSWAGAIQAQIGTFIPWVKDELQALLTATGTAGSQDIKQIGWLTEQLPS GGTAPTLALLTEKELLFYCSLPQSREALSRPTRTAPLIATRLVHSGPSKGSVPYDAELSF ALRTGTRHGVDTHLFSVESPQELAAWTRQLVDGCHRAAEGIQEVSTACTWNGRPCSLSVH IDKGFTLWAAEPGAARAMLLRQPFEKLQMSSDDGTSLLFLDFGGAEGEIQLDLHSCPKTM VFIIHSFLSAKVTRLGLLA >ENSMUSP00000028991.6 pep:known chromosome:GRCm38:2:154376313:154408081:-1 gene:ENSMUSG00000027488.12 transcript:ENSMUST00000028991.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snta1 description:syntrophin, acidic 1 [Source:MGI Symbol;Acc:MGI:101772] MASGRRAPRTGLLELRCGAGSGAGGERWQRVLLSLAEDALTVSPADGEPGPEPEPAQLNG AAEPGAAPPQLPEALLLQRRRVTVRKADAGGLGISIKGGRENKMPILISKIFKGLAADQT EALFVGDAILSVNGEDLSSATHDEAVQALKKTGKEVVLEVKYMKEVSPYFKNSAGGTSVG WDSPPASPLQRQPSSPGPQPRNLSEAKHVSLKMAYVSRRCTPTDPEPRYLEICAADGQDA VFLRAKDEASARSWAGAIQAQIGTFIPWVKDELQALLTATGTAGSQDIKQIGWLTEQLPS GGTAPTLALLTEKELLFYCSLPQSREALSRPTRTAPLIATSSAHRLVHSGPSKGSVPYDA ELSFALRTGTRHGVDTHLFSVESPQELAAWTRQLVDGCHRAAEGIQEVSTACTWNGRPCS LSVHIDKGFTLWAAEPGAARAMLLRQPFEKLQMSSDDGTSLLFLDFGGAEGEIQLDLHSC PKTMVFIIHSFLSAKVTRLGLLA >ENSMUSP00000096828.4 pep:known chromosome:GRCm38:3:10417817:10440102:-1 gene:ENSMUSG00000027534.16 transcript:ENSMUST00000099223.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx16 description:sorting nexin 16 [Source:MGI Symbol;Acc:MGI:1921968] MATPYVPVPMPIGNSASSFTNNRNQRSSSFGSVSTSSTSSKGQLEDSAVGSLKQTNVQDQ MDSASSMCGSPLIRTKFTGTDSSIEYSARPREAEEQHPEAVNWEDRPSTPTILGYEVMEE RAKFTVYKILVKKSPEESWVVFRRYTDFSRLNDKLKEMFPGFRLALPPKRWFKDNYNAEF LEDRQLGLQAFLQNLVAHKDIANCLAVREFLCLDDPPGPFDSLEESRAFCETLEETNYHL QRELLEKQKEVESLKKLLGEKQLHIDALETRIRADHKPHFNSREAGSVIAGIEVAQLAYN AEDD >ENSMUSP00000029047.6 pep:known chromosome:GRCm38:3:10418146:10440087:-1 gene:ENSMUSG00000027534.16 transcript:ENSMUST00000029047.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx16 description:sorting nexin 16 [Source:MGI Symbol;Acc:MGI:1921968] MATPYVPVPMPIGNSASSFTNNRNQRSSSFGSVSTSSTSSKGQLEDSAVGSLKQTNVQDQ MDSASSMCGSPLIRTKFTGTDSSIEYSARPREAEEQHPEAVNWEDRPSTPTILGYEVMEE RAKFTVYKILVKKSPEESWVVFRRYTDFSRLNDKLKEMFPGFRLALPPKRWFKDNYNAEF LEDRQLGLQAFLQNLVAHKDIANCLAVREFLCLDDPPGPFDSLEESRAFCETLEETNYHL QRELLEKQKEVESLKKLLGEKQLHIDALETRIRTLSLEPGASLYVSRAEGGQILRVEPSV LQVNRDVLDEESRADHKPHFNSREAGSVIAGIEVAQLAYNAEDD >ENSMUSP00000141230.1 pep:known chromosome:GRCm38:3:10418937:10440100:-1 gene:ENSMUSG00000027534.16 transcript:ENSMUST00000195822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snx16 description:sorting nexin 16 [Source:MGI Symbol;Acc:MGI:1921968] MATPYVPVPMPIGNSASSFTNNRNQRSSSFGSVSTSSTSSKGQLEDSAVGSLKQTNVQDQ MDSASSMCGSPLIRTKFTGTDSSIEYSARPREAEEQHPEAVNWEDRPSTPTILGYEVMEE RAKFTAFCETLEETNYHLQRELLEKQKEVESLKKLLGEKQLHIDALETRIRADHKPHFNS REAGSVIAGIEVAQLAYNAEDD >ENSMUSP00000099827.3 pep:known chromosome:GRCm38:11:51059257:51071362:1 gene:ENSMUSG00000020364.14 transcript:ENSMUST00000102766.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp354a description:zinc finger protein 354A [Source:MGI Symbol;Acc:MGI:103172] MAPEQWEATSQVSLTFEDVAVLFTRDEWKKLVPSQRSLYREVMLENYSNLASLGFPFTKP KVISLLQQGEDPWKVEEEGPGGFSLGLKCSQRTTKSTQTQDSSFRELIMRKSKRKEPWNM KSENLSIHEGKLEEKWDVNASTIERSYKSNELSPKSHREKRSSECEKQISYLSNPLGITP DKRYKCSMCEKTFINTSSLRKHEKNHSGEKLFKCKECSKAFSQSSALIQHQITHTGEKPY VCKECGKAFTLSTSLYKHLRTHTVEKSYRCKECGKSFGRRSGLFIHQKVHAGENPYKYNP GRKASTSLSGCQRIHSRKKTYLCNECGNTFKSSSSLRYHQRIHTGEKPFKCSECGRAFSQ SASLIQHERIHTGEKPYRCSECGKGFTSISRLNRHRIIHTGEKFYNCNECGKALSSHSTL IIHERIHTGEKPCKCKVCGKAFRQSSALIQHQRMHTGERPYKCNECGKTFRCNSSLSNHQ RTHTGEKPYRCQECGMSFGQSSALIQHRRIHTGEKPFKCNTCGKTFRQSSSRIAHQRIHT GEKPYECNTCGKLFNHRSSLTNHYKIHVDEDP >ENSMUSP00000104750.1 pep:known chromosome:GRCm38:11:51059294:51072799:1 gene:ENSMUSG00000020364.14 transcript:ENSMUST00000109122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp354a description:zinc finger protein 354A [Source:MGI Symbol;Acc:MGI:103172] MLLLTGAEQQVSLTFEDVAVLFTRDEWKKLVPSQRSLYREVMLENYSNLASLGFPFTKPK VISLLQQGEDPWKVEEEGPGGFSLGLKCSQRTTKSTQTQDSSFRELIMRKSKRKEPWNMK SENLSIHEGKLEEKWDVNASTIERSYKSNELSPKSHREKRSSECEKQISYLSNPLGITPD KRYKCSMCEKTFINTSSLRKHEKNHSGEKLFKCKECSKAFSQSSALIQHQITHTGEKPYV CKECGKAFTLSTSLYKHLRTHTVEKSYRCKECGKSFGRRSGLFIHQKVHAGENPYKYNPG RKASTSLSGCQRIHSRKKTYLCNECGNTFKSSSSLRYHQRIHTGEKPFKCSECGRAFSQS ASLIQHERIHTGEKPYRCSECGKGFTSISRLNRHRIIHTGEKFYNCNECGKALSSHSTLI IHERIHTGEKPCKCKVCGKAFRQSSALIQHQRMHTGERPYKCNECGKTFRCNSSLSNHQR THTGEKPYRCQECGMSFGQSSALIQHRRIHTGEKPFKCNTCGKTFRQSSSRIAHQRIHTG EKPYECNTCGKLFNHRSSLTNHYKIHVDEDP >ENSMUSP00000104747.1 pep:known chromosome:GRCm38:11:51059815:51070734:1 gene:ENSMUSG00000020364.14 transcript:ENSMUST00000109119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp354a description:zinc finger protein 354A [Source:MGI Symbol;Acc:MGI:103172] MAPEQWEATSQVSLTFEDVAVLFTRDEWKKLVPSQRSLYREVMLENYSNLASLAGFPFTK PKVISLLQQGEDPWKVEEEGPGGFSLGLKCSQRTTKSTQTQDSSFRELIMRKSKRKEPWN MKSENLSIHEGKLEEKWDVNASTIERSYKSNELSPKSHREKRSSECEKQISYLSNPLGIT PDKRYKCSMCEKTFINTSSLRKHEKNHSGEKLFKCKECSKAFSQSSALIQHQITHTGEKP YVCKECGKAFTLSTSLYKHLRTHTVEKSYRCKECGKSFGRRSGLFIHQKVHAGENPYKYN PGRKASTSLSGCQRIHSRKKTYLCNECGNTFKSSSSLRYHQRIHTGEKPFKCSECGRAFS QSASLIQHERIHTGEKPYRCSECGKGFTSISRLNRHRIIHTGEKFYNCNECGKALSSHST LIIHERIHTGEKPCKCKVCGKAFRQSSALIQHQRMHTGERPYKCNECGKTFRCNSSLSNH QRTHTGEKPYRCQECGMSFGQSSALIQHRRIHTGEKPFKCNTCGKTFRQSSSRIAHQRIH TGEKPYECNTCGKLFNHRSSLTNHYKIHVDEDP >ENSMUSP00000020628.5 pep:known chromosome:GRCm38:11:51060122:51070685:1 gene:ENSMUSG00000020364.14 transcript:ENSMUST00000020628.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp354a description:zinc finger protein 354A [Source:MGI Symbol;Acc:MGI:103172] MAPEQWEATSQVSLTFEDVAVLFTRDEWKKLVPSQRSLYREVMLENYSNLASLGFPFTKP KVISLLQQGEDPWKVEEEGPGGFSLGLKCSQRTTKSTQTQDSSFRELIMRKSKRKEPWNM KSENLSIHEGKLEEKWDVNASTIERSYKSNELSPKSHREKRSSECEKQISYLSNPLGITP DKRYKCSMCEKTFINTSSLRKHEKNHSGEKLFKCKECSKAFSQSSALIQHQITHTGEKPY VCKECGKAFTLSTSLYKHLRTHTVEKSYRCKECGKSFGRRSGLFIHQKVHAGENPYKYNP GRKASTSLSGCQRIHSRKKTYLCNECGNTFKSSSSLRYHQRIHTGEKPFKCSECGRAFSQ SASLIQHERIHTGEKPYRCSECGKGFTSISRLNRHRIIHTGEKFYNCNECGKALSSHSTL IIHERIHTGEKPCKCKVCGKAFRQSSALIQHQRMHTGERPYKCNECGKTFRCNSSLSNHQ RTHTGEKPYRCQECGMSFGQSSALIQHRRIHTGEKPFKCNTCGKTFRQSSSRIAHQRIHT GEKPYECNTCGKLFNHRSSLTNHYKIHVDEDP >ENSMUSP00000036162.5 pep:known chromosome:GRCm38:16:17280351:17406314:-1 gene:ENSMUSG00000041720.12 transcript:ENSMUST00000036161.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4ka description:phosphatidylinositol 4-kinase, catalytic, alpha polypeptide [Source:MGI Symbol;Acc:MGI:2448506] MCPVDFHGIFQLDERRRDAVIALGIFLIESDLQHKDCVVPYLLRLLRGLPKVYWVEESTA RKGRGNLPVAESFSFCLVTLLSDVACRDPSLRDEILEAILQVLHVLLGMCQALEIQEKEY LCKYAIPCLIGISRSFGRYSNSEESLLSKLFPKVSPHSLRIPEELEGVRRRSFNDFRSIL PSNLLTVCQEGTLKRKTSSVSSISQVSPERGIPPPSSPGGSAFHYFEASCLPDGTALEPE YYFSTISSSFSISPLFNGITYKEFCIPLEMLRELLNLVKKIVEEPVLKSLDAIVAGVMEA NPSADLYYTTFSDPLYLTMFKMLRDTLYYMKDLPTSFVKEIHDFVLEQFNMSQGELQKIL HDADRIHSEMSPLKLRCQANAACVDLMVWAVKDEQGAENLCIKLSEKLQSKTSSKVIIAH LPLLICCLQGLGRLCERFPVVVHSVTPSLRDFLVIPSPVLVKLYKYHSQYHTVAGSDIKI SVTNEHSESTLNVLPGKKNQPSMYEQLRDIAIDNICRCLKAGLTVDPVIVEAFLASLSNR LYISQESDKDAHLIPDHTIRALGHIAVALRDTPKVMEPILQILQQKFCQPPSPLDVLIID QLGCLVITGNQYIYQEVWNLFQQISVKASSVVYSATKDYKDHGYRHCSLAVINALANIAA NIQEEHLVDELLMNLLELFVQLGLEGKRASERASEKGPALKASSSAGNLGVLIPVIAVLT RRLPPIKEAKPRLQKLFRDFWLYSVLMGFAVEGSGLWPEEWYEGVCEIATKSPLLTFPSK EPLRSVLQYNSAMKNDTVTPAELSELRSTIINLLDPPPEVSALINKLDFAMSTYLLSVYR LEYMRVLRSTDPDRFQVMFCYFEDKAIQKDKSGMMQCVIAVADKVFDAFLNMMAEKAKTK ENEEELERHAQFLLVNFNHIHKRIRRVADKYLSGLVDKFPHLLWSGTVLKTMLDILQTLS LSLSADIHKDQPYYDIPDAPYRITVPDTYEARESIVKDFAARCGMILQEAMKWAPTVTKS HLQEYLNKHQNWVSGLSQHTGLAMATESILHFAGYNKQNTTLGATQLTERPACVKKDYSN FMASLNLRNRYAGEVHGMIRFSGATGQMSDLNKMMVQDLITALDHSHPQHYTQAMFKLTA MLISSKDCDPQLLHHLCWGPLRMFNEHGMETALACWEWLLAGKNGVEVPFMREMAGAWHM TVEQKFGLFSVETKEADPLAASEASQPRPCPPEVTPHYIWIDFLVQRFEIAKYCSSDQVE IFSSLLQRSMSLNIGGARGSMNRHVAAIGPRFKLLTLGLSLLHADVVPNATIRNVLREKI YSTAFDYFSCPPKFPTQGEKRLREDISIMIKFWTAMFSDKKYLTASQLVPPDNQDTRSNL DITVGSRQQATQGWINTYPLSSGMSTISKKSGMSKKTNRGSQLHKYYMKRRTLLLSLLAT EIERLITWYNPLSAPELELDQAGENSVANWRSKYISLSEKQWKDNVNLAWSISPYLAVQL PARFKNTEAIGNEVTRLVRLDPGAVSDVPEAIKFLVTWHTIDADAPELSHVLCWAPTDPP TGLSYFSSMYPPHPLTAQYGVKVLRSFPPDAILFYIPQIVQALRYDKMGYVREYILWAAA KSQLLAHQFIWNMKTNIYLDEEGHQKDPDIGDLLEQLVEEITGSLSGPAKDFYQREFDFF NKITNVSAIIKPYPKGDERKKACLSALSEVKVQPGCYLPSNPEAIVLDIDYKSGTPMQSA AKAPYLAKFKVKRCGVSELEKEGLQCRSDAEDECFSQEADGKKICWQAAIFKVGDDCRQD MLALQIIDLFKNIFQLVGLDLFVFPYRVVATAPGCGVIECIPDCTSRDQLGRQTDFGMYD YFTRQYGDESTLAFQQARYNFIRSMAAYSLLLFLLQIKDRHNGNIMLDKKGHIIHIDFGF MFESSPGGNLGWEPDIKLTDEMVMIMGGKMEATPFKWFMEMCVRGYLAVRPYMDAVVSLV TLMLDTGLPCFRGQTIKLLKHRFSPNMTEREAANFIMKVIQNCFLSNRSRTYDMIQYYQN DIPY >ENSMUSP00000123156.1 pep:known chromosome:GRCm38:16:17280352:17308107:-1 gene:ENSMUSG00000041720.12 transcript:ENSMUST00000155928.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4ka description:phosphatidylinositol 4-kinase, catalytic, alpha polypeptide [Source:MGI Symbol;Acc:MGI:2448506] XAMFKLTAMLISSKDCDPQLLHHLCWGPLRMFNEHGMETALACWEWLLAGKNGVEVPFMR EMAGAWHMTVEQKFGLFSVETKEADPLAASEASQPRPCPPEVTPHYIWIDFLVQRFEIAK YCSSDQMCVRGYLAVRPYMDAVVSLVTLMLDTGLPCFRGQTIKLLKHRFSPNMTEREAAN FIMKVIQNCFLSNRSRTYDMIQYYQNDIPY >ENSMUSP00000122550.1 pep:known chromosome:GRCm38:16:17280352:17405893:-1 gene:ENSMUSG00000041720.12 transcript:ENSMUST00000154364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4ka description:phosphatidylinositol 4-kinase, catalytic, alpha polypeptide [Source:MGI Symbol;Acc:MGI:2448506] MCPVDFHGIFQLDERRRDAVIALGIFLIESDLQHKDCVVPYLLRLLRGLPKVYWVEESTA RKGRGNLPVAESFSFCLVTLLSDVACRDPSLRDEILEAILQVLHVLLGMCQALEIQEKEY LCKYAIPCLIGISRSFGRYSNSEESLLSKLFPKVSPHSLRIPEELEGVRRRSFNDFRSIL PSNLLTVCQEGTLKRKTSSVSSISQVSPERGIPPPSSPGGSAFHYFEASCLPDGTALEPE YYFSTISSSFSISPLFNGITYKEFCIPLEMLRELLNLVKKIVEEPVLKSLDAIVAGVMEA NPSADLYYTTFSDPLYLTMFKMLRDTLYYMKDLPTSFVKEIHDFVLEQFNMSQGELQKIL HDADRIHSEMSPLKLRCQANAACVDLMVWAVKDEQGAENLCIKLSEKLQSKTSSKVIIAH LPLLICCLQGLGRLCERFPVVVHSVTPSLRDFLVIPSPVLVKLYKYHSQYHTVAGSDIKI SVTNEHSESTLNVLPGKKNQPSMYEQLRDIAIDNICRCLKAGLTVDPVIVEAFLASLSNR LYISQESDKDAHLIPDHTIRALGHIAVALRDTPKVMEPILQILQQKFCQPPSPLDVLIID QLGCLVITGNQYIYQEVWNLFQQISVKASSVVYSATKDYKDHGYRHCSLAVINALANIAA NIQEEHLVDELLMNLLELFVQLGLEGKRASERASEKGPALKASSSAGNLGVLIPVIAVLT RRLPPIKEAKPRLQKLFRDFWLYSVLMGFAVEGSGLWPEEWYEGVCEIATKSPLLTFPSK EPLRSVLQYNSAMKNDTVTPAELSELRSTIINLLDPPPEVSALINKLDFAMSTYLLSVYR LEYMRVLRSTDPDRFQVMFCYFEDKAIQKDKSGMMQCVIAVADKVFDAFLNMMAEKAKTK ENEEELERHAQFLLVNFNHIHKRIRRVADKYLSGLVDKFPHLLWSGTVLKTMLDILQTLS LSLSADIHKDQPYYDIPDAPYRITVPDTYEARESIVKDFAARCGMILQEAMKWAPTVTKS HLQEYLNKHQNWVSGLSQHTGLAMATESILHFAGYNKQNTTLGATQLTERPACVKKDYSN FMASLNLRNRYAGEVHGMIRFSGATGQMSDLNKMMVQDLITALDHSHPQHYTQAMFKLTA MLISSKDCDPQLLHHLCWGPLRMFNEHGMETALACWEWLLAGKNGVEVPFMREMAGAWHM TVEQKFGLFSVETKEADPLAASEASQPRPCPPEVTPHYIWIDFLVQRFEIAKYCSSDQVE IFSSLLQRSMSLNIGGARGSMNRHVAAIGPRFKLLTLGLSLLHADVVPNATIRNVLREKI YSTAFDYFSCPPKFPTQGEKRLREDISIMIKFWTAMFSDKKYLTASQLVPPDNQDTRSNL DITVGSRQQATQGWINTYPLSSGMSTISKKSGMSKKTNRGSQLHKYYMKRRTLLLSLLAT EIERLITWYNPLSAPELELDQAGENSVANWRSKYISLSEKQWKDNVNLAWSISPYLAVQL PARFKNTEAIGNEVTRLVRLDPGAVSDVPEAIKFLVTWHTIDADAPELSHVLCWAPTDPP TGLSYFSSMYPPHPLTAQYGVKVLRSFPPDAILFYIPQIVQALRYDKMGYVREYILWAAA KSQLLAHQFIWNMKTNIYLDEEGHQKDPDIGDLLEQLVEEITGSLSGPAKDFYQREFDFF NKITNVSAIIKPYPKGDERKKACLSALSEVKVQPGCYLPSNPEAIVLDIDYKSGTPMQSA AKAPYLAKFKVKRCGVSELEKEGLQCRSDAEDECFSQEADGKKICWQAAIFKVGDDCRQD MLALQIIDLFKNIFQLVGLDLFVFPYRVVATAPGCGVIECIPDCTSRDQLGRQTDFGMYD YFTRQYGDESTLAFQQARYNFIRSMAAYSLLLFLLQIKDRHNGNIMLDKKGHIIHIDFGF MFESSPGGNLGWEPDIKLTDEMVMIMGGKMEATPFKWFMEMCVRGYLAVRPYMDAVVSLV TLMLDTGLPCFRGQTIKLLKHRFSPNMTEREAANFIMKVIQNCFLSNRSRTYDMIQYYQN DIPY >ENSMUSP00000121728.1 pep:known chromosome:GRCm38:16:17297584:17307730:-1 gene:ENSMUSG00000041720.12 transcript:ENSMUST00000142876.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pi4ka description:phosphatidylinositol 4-kinase, catalytic, alpha polypeptide [Source:MGI Symbol;Acc:MGI:2448506] MREMAGAWHMTVEQKFGLFSVETKEADPLAASEASQPRPCPPEVTPHYIWIDFLVQRFEI AKYCSSDQVEIFSSLLQRSMSLNIGGARGSMNRHVAAIGPRFKLLTLGLSLLHADVVPNA TIRNVLREKIYSTAFDYFSSHN >ENSMUSP00000120752.1 pep:known chromosome:GRCm38:16:17308219:17325444:-1 gene:ENSMUSG00000041720.12 transcript:ENSMUST00000139768.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pi4ka description:phosphatidylinositol 4-kinase, catalytic, alpha polypeptide [Source:MGI Symbol;Acc:MGI:2448506] RSVLQYNSAMKNDTVTPAELSELRSTIINLLDPPPEVSALINKLDFAMSTYLLSVYRLEY MRVLRSTDPDRFQG >ENSMUSP00000117850.1 pep:known chromosome:GRCm38:16:17312431:17350832:-1 gene:ENSMUSG00000041720.12 transcript:ENSMUST00000149309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pi4ka description:phosphatidylinositol 4-kinase, catalytic, alpha polypeptide [Source:MGI Symbol;Acc:MGI:2448506] XFVQLGLEGKRASERASEKGPALKASSSAGNLGVLIPVIAVLTRRLPPIKEAKPRLQKLF RDFWLYSVLMGFAVEGSGLWPEEWYEGVCEIATKSPLLTFPSKEPLRSVLQYNSAMKNDT VTPAELSELRSTIINLLDPPPEVSALINKLDFAMSTYLLSVYRLEYMRVLRSTDPDRFQA KTKENEEELERHAQFLLVNFNHIHKRIRRVADKYLSGLVDKFPHLLWSGTVLKTMLDILQ TLSLSLSADIHKDQPYYDIPDAPYRITVPDTYEARESIVKDFAARCGMILQEAMKWAPTV TKSHLQEYLNKHQNWVSGLS >ENSMUSP00000113370.1 pep:known chromosome:GRCm38:7:80389194:80402762:-1 gene:ENSMUSG00000030530.15 transcript:ENSMUST00000122232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Furin description:furin (paired basic amino acid cleaving enzyme) [Source:MGI Symbol;Acc:MGI:97513] MELRSWLLWVVAAAGAVVLLAADAQGQKIFTNTWAVHIPGGPAVADRVAQKHGFHNLGQI FGDYYHFWHRAVTKRSLSPHRPRHSRLQREPQVKWLEQQVAKRRAKRDVYQEPTDPKFPQ QWYLSGVTQRDLNVKEAWAQGFTGHGIVVSILDDGIEKNHPDLAGNYDPGASFDVNDQDP DPQPRYTQMNDNRHGTRCAGEVAAVANNGVCGVGVAYNARIGGVRMLDGEVTDAVEARSL GLNPNHIHIYSASWGPEDDGKTVDGPARLAEEAFFRGVSQGRGGLGSIFVWASGNGGREH DSCNCDGYTNSIYTLSISSATQFGNVPWYSEACSSTLATTYSSGNQNEKQIVTTDLRQKC TESHTGTSASAPLAAGIIALTLEANKNLTWRDMQHLVVQTSKPAHLNADDWATNGVGRKV SHSYGYGLLDAGAMVALAQNWTTVAPQRKCIVEILVEPKDIGKRLEVRKAVTACLGEPNH ITRLEHVQARLTLSYNRRGDLAIHLISPMGTRSTLLAARPHDYSADGFNDWAFMTTHSWD EDPAGEWVLEIENTSEANNYGTLTKFTLVLYGTAPEGLSTPPESSGCKTLTSSQACVVCE EGYSLHQKSCVQHCPPGFIPQVLDTHYSTENDVEIIRASVCTPCHASCATCQGPAPTDCL SCPSHASLDPVEQTCSRQSQSSRESRPQQQPPALRPEVEMEPRLQAGLASHLPEVLAGLS CLIIVLIFGIVFLFLHRCSGFSFRGVKVYTMDRGLISYKGLPPEAWQEECPSDSEEDEGR GERTAFIKDQSAL >ENSMUSP00000113793.1 pep:known chromosome:GRCm38:7:80389196:80401707:-1 gene:ENSMUSG00000030530.15 transcript:ENSMUST00000120753.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Furin description:furin (paired basic amino acid cleaving enzyme) [Source:MGI Symbol;Acc:MGI:97513] MELRSWLLWVVAAAGAVVLLAADAQGQKIFTNTWAVHIPGGPAVADRVAQKHGFHNLGQI FGDYYHFWHRAVTKRSLSPHRPRHSRLQREPQVKWLEQQVAKRRAKRDVYQEPTDPKFPQ QWYLSGVTQRDLNVKEAWAQGFTGHGIVVSILDDGIEKNHPDLAGNYDPGASFDVNDQDP DPQPRYTQMNDNRHGTRCAGEVAAVANNGVCGVGVAYNARIGGVRMLDGEVTDAVEARSL GLNPNHIHIYSASWGPEDDGKTVDGPARLAEEAFFRGVSQGRGGLGSIFVWASGNGGREH DSCNCDGYTNSIYTLSISSATQFGNVPWYSEACSSTLATTYSSGNQNEKQIVTTDLRQKC TESHTGTSASAPLAAGIIALTLEANKNLTWRDMQHLVVQTSKPAHLNADDWATNGVGRKV SHSYGYGLLDAGAMVALAQNWTTVAPQRKCIVEILVEPKDIGKRLEVRKAVTACLGEPNH ITRLEHVQARLTLSYNRRGDLAIHLISPMGTRSTLLAARPHDYSADGFNDWAFMTTHSWD EDPAGEWVLEIENTSEANNYGTLTKFTLVLYGTAPEGLSTPPESSGCKTLTSSQACVVCE EGYSLHQKSCVQHCPPGFIPQVLDTHYSTENDVEIIRASVCTPCHASCATCQGPAPTDCL SCPSHASLDPVEQTCSRQSQSSRESRPQQQPPALRPEVEMEPRLQAGLASHLPEVLAGLS CLIIVLIFGIVFLFLHRCSGFSFRGVKVYTMDRGLISYKGLPPEAWQEECPSDSEEDEGR GERTAFIKDQSAL >ENSMUSP00000146304.1 pep:known chromosome:GRCm38:7:80396000:80402577:-1 gene:ENSMUSG00000030530.15 transcript:ENSMUST00000206352.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Furin description:furin (paired basic amino acid cleaving enzyme) [Source:MGI Symbol;Acc:MGI:97513] XAAALVRKSFPARAPQGSQAKSQEGRVSGAHGPQVPPAVVPVWCHSARPECEGGLGPGLH RPWHCGLHPG >ENSMUSP00000116734.1 pep:known chromosome:GRCm38:7:80398594:80405436:-1 gene:ENSMUSG00000030530.15 transcript:ENSMUST00000135306.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Furin description:furin (paired basic amino acid cleaving enzyme) [Source:MGI Symbol;Acc:MGI:97513] MELRSWLLWVVAAAGAVVLLAADAQGQKIFTNTWAVHIPGGPAVADRVAQKHGFHNLGQ >ENSMUSP00000121028.1 pep:known chromosome:GRCm38:7:80398641:80403322:-1 gene:ENSMUSG00000030530.15 transcript:ENSMUST00000147150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Furin description:furin (paired basic amino acid cleaving enzyme) [Source:MGI Symbol;Acc:MGI:97513] MELRSWLLWVVAAAGAVVLLAADAQGQKIFTNTWAVHIPGGPA >ENSMUSP00000102985.3 pep:known chromosome:GRCm38:7:80389194:80405431:-1 gene:ENSMUSG00000030530.15 transcript:ENSMUST00000107362.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Furin description:furin (paired basic amino acid cleaving enzyme) [Source:MGI Symbol;Acc:MGI:97513] MELRSWLLWVVAAAGAVVLLAADAQGQKIFTNTWAVHIPGGPAVADRVAQKHGFHNLGQI FGDYYHFWHRAVTKRSLSPHRPRHSRLQREPQVKWLEQQVAKRRAKRDVYQEPTDPKFPQ QWYLSGVTQRDLNVKEAWAQGFTGHGIVVSILDDGIEKNHPDLAGNYDPGASFDVNDQDP DPQPRYTQMNDNRHGTRCAGEVAAVANNGVCGVGVAYNARIGGVRMLDGEVTDAVEARSL GLNPNHIHIYSASWGPEDDGKTVDGPARLAEEAFFRGVSQGRGGLGSIFVWASGNGGREH DSCNCDGYTNSIYTLSISSATQFGNVPWYSEACSSTLATTYSSGNQNEKQIVTTDLRQKC TESHTGTSASAPLAAGIIALTLEANKNLTWRDMQHLVVQTSKPAHLNADDWATNGVGRKV SHSYGYGLLDAGAMVALAQNWTTVAPQRKCIVEILVEPKDIGKRLEVRKAVTACLGEPNH ITRLEHVQARLTLSYNRRGDLAIHLISPMGTRSTLLAARPHDYSADGFNDWAFMTTHSWD EDPAGEWVLEIENTSEANNYGTLTKFTLVLYGTAPEGLSTPPESSGCKTLTSSQACVVCE EGYSLHQKSCVQHCPPGFIPQVLDTHYSTENDVEIIRASVCTPCHASCATCQGPAPTDCL SCPSHASLDPVEQTCSRQSQSSRESRPQQQPPALRPEVEMEPRLQAGLASHLPEVLAGLS CLIIVLIFGIVFLFLHRCSGFSFRGVKVYTMDRGLISYKGLPPEAWQEECPSDSEEDEGR GERTAFIKDQSAL >ENSMUSP00000072231.2 pep:known chromosome:GRCm38:1:173183442:173184504:-1 gene:ENSMUSG00000058981.3 transcript:ENSMUST00000072395.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1406 description:olfactory receptor 1406 [Source:MGI Symbol;Acc:MGI:3031240] MKKTNCTHVREFVFQGFSNFQEHQLTLFVVFFVLYILTLAGNVIIVTIIRIDHHLHTPMY FFLSVLSTSETFYSLVIIPRMLGSLVGLSQTISLECCGTQLFFFLGFAITNCLLLAVMGY DRYVAICNPLRYSVIMNWRVCVILASSVGATGFLLSLIQAVAIFRLPFCNTLIEHFFCDV RPILDLACTVPVINDILTLALSLMVITAPATFLFVSYVLIISTILKIASAEGRRKTFATC ASHLTVVVIHYGCASIAYFKPKSENTRDQDQLISVTYTVITPLLNPVVYSLRNKEVQDAL RKVLGKKSLS >ENSMUSP00000144530.1 pep:known chromosome:GRCm38:1:173184169:173190635:-1 gene:ENSMUSG00000058981.3 transcript:ENSMUST00000201132.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1406 description:olfactory receptor 1406 [Source:MGI Symbol;Acc:MGI:3031240] MKKTNCTHVREFVFQGFSNFQEHQLTLFVVFFVLYILTLAGNVIIVTIIRIDHHLHTPMY FFLSVLSTSETFYSLVIIPRMLGSLVGL >ENSMUSP00000102953.2 pep:known chromosome:GRCm38:3:90601904:90605885:1 gene:ENSMUSG00000105518.1 transcript:ENSMUST00000107330.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm42674 description:predicted gene 42674 [Source:MGI Symbol;Acc:MGI:5662811] XELPTWTPSEFRECDYNKFMSVLDTNKDCEVDFGEYVRSLASLCLYCHESQRLPWQDPWR RPWM >ENSMUSP00000086724.4 pep:known chromosome:GRCm38:15:79724070:79742534:-1 gene:ENSMUSG00000042524.13 transcript:ENSMUST00000089311.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun2 description:Sad1 and UNC84 domain containing 2 [Source:MGI Symbol;Acc:MGI:2443011] MSRRSQRLTRYSQDDNDGGSSSSGASSVAGSQGTVFKDSPLRTLKRKSSNMKHLSPAPQL GPSSDSHTSYYSESVVRESYIGSPRAVSLARSALLDDHLHSEPYWSGDLRGRRRRGTGGS ESSKANGLTAESKASEDFFGSSSGYSSEDDLAGRLFGLLYWWIGTTWYRLTTAASLLDVF VLTRSRHFSLNLKSFLWFLLLLLLLTGLTYGAWHFYPLGLQTLQPAVVSWWAAKESRKQP EVWESRDASQHFQAEQRVLSRVHSLERRLEALAADFSSNWQKEAIRLERLELRQGAAGHG GGSSLSHEDALSLLEGLVSRREATLKEDLRRDTVAHIQEELATLRAEHHQDSEDLFKKIV QASQESEARVQQLKTEWKSMTQEAFQESSVKELGRLEAQLASLRQELAALTLKQNSVADE VGLLPQKIQAARADVESQFPDWIRQFLLGDRGARSGLLQRDEMHAQLQELENKILTKMAE MQGKSAREAAASLGQILQKEGIVGVTEEQVHRIVKQALQRYSEDRIGMVDYALESGGASV ISTRCSETYETKTALLSLFGIPLWYHSQSPRVILQPDVHPGNCWAFQGPQGFAVVRLSAR IRPTAVTLEHVPKALSPNSTISSAPKDFAIFGFDEDLQQEGTLLGTFAYDQDGEPIQTFY FQASKMATYQVVELRILTNWGHPEYTCIYRFRVHGEPAH >ENSMUSP00000098006.3 pep:known chromosome:GRCm38:15:79724071:79742504:-1 gene:ENSMUSG00000042524.13 transcript:ENSMUST00000100439.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun2 description:Sad1 and UNC84 domain containing 2 [Source:MGI Symbol;Acc:MGI:2443011] MSRRSQRLTRYSQDDNDGGSSSSGASSVAGSQGTVFKDSPLRTLKRKSSNMKHLSPAPQL GPSSDSHTSYYSESVVRESYIGSPRAVSLARSALLDDHLHSEPYWSGDLRGRRRRGTGGS ESSKANGLTAESKASEDFFGSSSGYSSEDDLAGYTDSDQHSSGSRLRSAASRAGSFVWTL VTFPGRLFGLLYWWIGTTWYRLTTAASLLDVFVLTRHFSLNLKSFLWFLLLLLLLTGLTY GAWHFYPLGLQTLQPAVVSWWAAKESRKQPEVWESRDASQHFQAEQRVLSRVHSLERRLE ALAADFSSNWQKEAIRLERLELRQGAAGHGGGSSLSHEDALSLLEGLVSRREATLKEDLR RDTVAHIQEELATLRAEHHQDSEDLFKKIVQASQESEARVQQLKTEWKSMTQEAFQESSV KELGRLEAQLASLRQELAALTLKQNSVADEVGLLPQKIQAARADVESQFPDWIRQFLLGD RGARSGLLQRDEMHAQLQELENKILTKMAEMQGKSAREAAASLGQILQKEGIVGVTEEQV HRIVKQALQRYSEDRIGMVDYALESGGASVISTRCSETYETKTALLSLFGIPLWYHSQSP RVILQPDVHPGNCWAFQGPQGFAVVRLSARIRPTAVTLEHVPKALSPNSTISSAPKDFAI FGFDEDLQQEGTLLGTFAYDQDGEPIQTFYFQASKMATYQVVELRILTNWGHPEYTCIYR FRVHGEPAH >ENSMUSP00000047864.7 pep:known chromosome:GRCm38:15:79724073:79742536:-1 gene:ENSMUSG00000042524.13 transcript:ENSMUST00000046259.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun2 description:Sad1 and UNC84 domain containing 2 [Source:MGI Symbol;Acc:MGI:2443011] MSRRSQRLTRYSQDDNDGGSSSSGASSVAGSQGTVFKDSPLRTLKRKSSNMKHLSPAPQL GPSSDSHTSYYSESVVRESYIGSPRAVSLARSALLDDHLHSEPYWSGDLRGRRRRGTGGS ESSKANGLTAESKASEDFFGSSSGYSSEDDLAGYTDSDQHSSGSRLRSAASRAGSFVWTL VTFPGRLFGLLYWWIGTTWYRLTTAASLLDVFVLTRSRHFSLNLKSFLWFLLLLLLLTGL TYGAWHFYPLGLQTLQPAVVSWWAAKESRKQPEVWESRDASQHFQAEQRVLSRVHSLERR LEALAADFSSNWQKEAIRLERLELRQGAAGHGGGSSLSHEDALSLLEGLVSRREATLKED LRRDTVAHIQEELATLRAEHHQDSEDLFKKIVQASQESEARVQQLKTEWKSMTQEAFQES SVKELGRLEAQLASLRQELAALTLKQNSVADEVGLLPQKIQAARADVESQFPDWIRQFLL GDRGARSGLLQRDEMHAQLQELENKILTKMAEMQGKSAREAAASLGQILQKEGIVGVTEE QVHRIVKQALQRYSEDRIGMVDYALESGGASVISTRCSETYETKTALLSLFGIPLWYHSQ SPRVILQPDVHPGNCWAFQGPQGFAVVRLSARIRPTAVTLEHVPKALSPNSTISSAPKDF AIFGFDEDLQQEGTLLGTFAYDQDGEPIQTFYFQASKMATYQVVELRILTNWGHPEYTCI YRFRVHGEPAH >ENSMUSP00000124504.1 pep:known chromosome:GRCm38:15:79738650:79742115:-1 gene:ENSMUSG00000042524.13 transcript:ENSMUST00000159660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun2 description:Sad1 and UNC84 domain containing 2 [Source:MGI Symbol;Acc:MGI:2443011] MSRRSQRLTRYSQDDNDGGSSSSGASSVAGSQGTVFKDSPLRTLKRKSSNMKHLSPAPQL GPSSDSHTSYYSESVVRESYIGSPRAVSLARSALLDDHLHSEPYWSGDL >ENSMUSP00000124515.1 pep:known chromosome:GRCm38:15:79739732:79742103:-1 gene:ENSMUSG00000042524.13 transcript:ENSMUST00000162499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun2 description:Sad1 and UNC84 domain containing 2 [Source:MGI Symbol;Acc:MGI:2443011] MSRRSQRLTRYSQDDNDGGSSSSGASSVAGSQGTVFKD >ENSMUSP00000124495.1 pep:known chromosome:GRCm38:15:79739839:79742092:-1 gene:ENSMUSG00000042524.13 transcript:ENSMUST00000160355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sun2 description:Sad1 and UNC84 domain containing 2 [Source:MGI Symbol;Acc:MGI:2443011] MSRIIFPRIGESSGPRLCSRKSFFRRVSLPCPIMS >ENSMUSP00000001046.5 pep:known chromosome:GRCm38:3:90603771:90606045:1 gene:ENSMUSG00000001020.8 transcript:ENSMUST00000001046.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a4 description:S100 calcium binding protein A4 [Source:MGI Symbol;Acc:MGI:1330282] MARPLEEALDVIVSTFHKYSGKEGDKFKLNKTELKELLTRELPSFLGKRTDEAAFQKVMS NLDSNRDNEVDFQEYCVFLSCIAMMCNEFFEGCPDKEPRKK >ENSMUSP00000143522.1 pep:known chromosome:GRCm38:3:90604911:90605833:1 gene:ENSMUSG00000001020.8 transcript:ENSMUST00000142476.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a4 description:S100 calcium binding protein A4 [Source:MGI Symbol;Acc:MGI:1330282] MARPLEEALDVIVSTFHKYSGKEGDKFKLNKTELKELLTRELPSFLGKRTDEAAFQKVMS NLDSNRDNEVDFQEYCVF >ENSMUSP00000109232.1 pep:known chromosome:GRCm38:X:102908905:102909651:1 gene:ENSMUSG00000079476.1 transcript:ENSMUST00000113602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700011M02Rik description:RIKEN cDNA 1700011M02 gene [Source:MGI Symbol;Acc:MGI:1922693] MNLVPYFPPPGQNVRNIIHYTQDSVTFAHTMENSSSSPRETREPAPSARSWRGRFQRALA RVSKFFRRGCQSTRGGDHWNPRHGGHAQ >ENSMUSP00000050087.6 pep:known chromosome:GRCm38:4:43441939:43448064:1 gene:ENSMUSG00000028458.12 transcript:ENSMUST00000060864.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tesk1 description:testis specific protein kinase 1 [Source:MGI Symbol;Acc:MGI:1201675] MAGERPPLRGPGPGEAPGEGPGGAGGGPGRGRPSSYRALRSAVSSLARVDDFDCAEKIGA GFFSEVYKVRHRQSGQVMVLKMNKLPSNRSNTLREVQLMNRLRHPNILRFMGVCVHQGQL HALTEYMNGGTLEQLLSSPEPLSWPVRLHLALDIAQGLRYLHAKGVFHRDLTSKNCLVRR EDRGFTAVVGDFGLAEKIPVYREGTRKEPLAVVGSPYWMAPEVLRGELYDEKADVFAFGI VLCELIARVPADPDYLPRTEDFGLDVPAFRTLVGNDCPLPFLLLAIHCCSMEPSTRAPFT EITQHLEQILEQQPEATPLAKPPLTKAPLTYNQGSVPRGGPSATLPRPDPRLSRSRSDLF LPPSPESPPSWGDNLTRVNPFSLREDLRGGKIKLLDTPCKPATPLPLVPPSPLTSTQLPL VTTPDILVQPETPVRRCRSLPSSPELPRRMETALPGPGPSPMGPTEERMDCEGSSPEPEP PGLAPQLPLAVATDNFISTCSSASQPWSPRSGPPLNNNPPAVVVNSPQGWAREPWNRAQH SLPRAAALERTEPSPPPSAPREPEEGLPCPGCCLGPFSFGFLSMCPRPTPAVARYRNLNC EAGSLLCHRGHHAKPPTPSLQLPGARS >ENSMUSP00000121067.1 pep:known chromosome:GRCm38:4:43443321:43447794:1 gene:ENSMUSG00000028458.12 transcript:ENSMUST00000138981.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tesk1 description:testis specific protein kinase 1 [Source:MGI Symbol;Acc:MGI:1201675] MAGERPPLRGPGPGEAPGEGPGGAGGGPGRGRPSSYRALRSAVSSLARVDDFDCAEKIGA GFFSEVYKVRHRQSGQVMVLKMNKLPSNRSNTLREVQLMNRLRHPNILRFMGVCVHQGQL HALTEYMNGGTLEQLLSSPEPLSWPVRLHLALDIAQGLRYLHAKGVFHRDLTSKGRDKEG ALGCGGLPVLDGSRGVAGRAV >ENSMUSP00000144755.1 pep:known chromosome:GRCm38:10:130179937:130184173:-1 gene:ENSMUSG00000059862.3 transcript:ENSMUST00000203720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr826 description:olfactory receptor 826 [Source:MGI Symbol;Acc:MGI:3030660] MGDRETSNHSDMTDFILVGFRVSPELHILLFLLFLLVYAMILLGNLGMMAIIMTDPRLNT PMYFFLGNLSFIDLFYSSVIAPKAMSNFWTESKSISFAGCVAQLFLFALFIVAEGFLLAA MAYDRFIAICNPLLYSVHMSTRLCTQLVAGSYFCGCISSVLQTSMTFTLSFCASRAIDHF YCDTRPVQRLSCNNLFVHKIVSFSLSSIIILPTVIVIIVSYMYIVSTVLKIRSVEGRKKA FSTCSSHLGVVSVLYGAVFFMYLTPDRFPELSKLASLCFSLVTPMLNPLIYSLRNKDVRD ALSKLLEKKKFIL >ENSMUSP00000077436.2 pep:known chromosome:GRCm38:10:130179937:130180878:-1 gene:ENSMUSG00000059862.3 transcript:ENSMUST00000078322.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr826 description:olfactory receptor 826 [Source:MGI Symbol;Acc:MGI:3030660] MGDRETSNHSDMTDFILVGFRVSPELHILLFLLFLLVYAMILLGNLGMMAIIMTDPRLNT PMYFFLGNLSFIDLFYSSVIAPKAMSNFWTESKSISFAGCVAQLFLFALFIVAEGFLLAA MAYDRFIAICNPLLYSVHMSTRLCTQLVAGSYFCGCISSVLQTSMTFTLSFCASRAIDHF YCDTRPVQRLSCNNLFVHKIVSFSLSSIIILPTVIVIIVSYMYIVSTVLKIRSVEGRKKA FSTCSSHLGVVSVLYGAVFFMYLTPDRFPELSKLASLCFSLVTPMLNPLIYSLRNKDVRD ALSKLLEKKKFIL >ENSMUSP00000138015.1 pep:known chromosome:GRCm38:3:94047981:94054885:-1 gene:ENSMUSG00000094328.2 transcript:ENSMUST00000180746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9125 description:predicted gene 9125 [Source:MGI Symbol;Acc:MGI:3702970] MSEDMEFENWGSTQSSVEKFCYKWTISNFSFCMGGIQRRITSPVFSSEENKEVAWCLRVY PKGADKESKDYLSVYLVLLSHLQSPVWAKFKFWIINSQGEKYQKTKSPIVECFLTYEQSG FKKFLPRDLLLSHRNCLLPEDQLTICCKVTILGRKYNMPSQNITPAIKDPRHLLTDDLGE LWENSLFTDCCLLVAGHEFRAHKAILAARSPVFRAMFEHEMKESLKTPIKIHNLNPQVFK EMMSFIYTGKAPYLHSHSMACDVLPAADKYGLVSLKVLCEDAFCRNLSVKNATHTLILAD LHSTEKLKTQALDFIAYYASEVCETSEWKSMVESHPHLVAEAFQSLASAQCSFLEPKVIS GSNQL >ENSMUSP00000136564.1 pep:known chromosome:GRCm38:3:94047981:94050213:-1 gene:ENSMUSG00000094328.2 transcript:ENSMUST00000178458.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9125 description:predicted gene 9125 [Source:MGI Symbol;Acc:MGI:3702970] MSEDMEFENWGSTQSSVEKFCYKWTISNFSFCMGGIQRRITSPVFSSEENKEVAWCLRVY PKGADKESKDYLSVYLVLLSHLQSPVWAKFKFWIINSQGEKYQKTKSPIVECFLTYEQSG FKKFLPRDLLLSHRNCLLPEDQLTICCKVTILGRKYNMPSQNITPAIKDPRHLLTDDLGE LWENSLFTDCCLLVAGHEFRAHKAILAARSPVFRAMFEHEMKESLKTPIKIHNLNPQVFK EMMSFIYTGKAPYLHSHSMACDVLPAADKYGLVSLKVLCEDAFCRNLSVKNATHTLILAD LHSTEKLKTQALDFIAYYASEVCETSEWKSMVESHPHLVAEAFQSLASAQCSFLEPKVIS GSNQL >ENSMUSP00000130601.1 pep:known chromosome:GRCm38:14:7545151:7568566:-1 gene:ENSMUSG00000079364.3 transcript:ENSMUST00000163790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3558 description:predicted gene 3558 [Source:MGI Symbol;Acc:MGI:3781735] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKWFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVPQVPRSSSVI >ENSMUSP00000114402.1 pep:known chromosome:GRCm38:6:92169525:92184033:-1 gene:ENSMUSG00000014551.8 transcript:ENSMUST00000140438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps25 description:mitochondrial ribosomal protein S25 [Source:MGI Symbol;Acc:MGI:1928140] MPMKGRFPIRRTLQYLGRGDVVFKESVKIMTVNYNTYGELGEGARKFVFFNIPQIQYKNP WVQIMMFKNMTPSPFLRFYLDSGEQVLVDVETKSNKEIMEHIKKILGKKEETLREEELEK QQRFHPGNFGPRKYCLRECMCEVEGQVPCPGLVPLPKEMTGKYKAALKAST >ENSMUSP00000053317.1 pep:known chromosome:GRCm38:1:173203358:173204299:1 gene:ENSMUSG00000046643.1 transcript:ENSMUST00000057548.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr218 description:olfactory receptor 218 [Source:MGI Symbol;Acc:MGI:3030052] MPKKNSTVVAEFLFEGFSSFDWQHRLGFFIVFLTLYLLTLSGNMIIVTIIRLDRHLHTPM YFFLSMLSISETFYTIAIIPRMLAGLLNPYQAIDIQGCATQLFFYLTFGINNCFLLTAMG YDRYVAICNPLRYSVIMGKKACILLASGSLGIGLSMAIVQVTSVFGLPFCDGFVIAHFFC DVRPLLKLACTDTTINEIINFIVSVCVLVLPMSLVFISYVVIISTILKIASAEGRKKAFA TCASHLTVVIIHYGCASIIYLKPKSQTSLGQDRLISVTYTVITPLLNPVVYSLRNKEVKE ALRKAIGRRPLSS >ENSMUSP00000139522.1 pep:known chromosome:GRCm38:Y:12273932:12306702:1 gene:ENSMUSG00000100231.1 transcript:ENSMUST00000187922.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29554 description:predicted gene 29554 [Source:MGI Symbol;Acc:MGI:5580260] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVNNPAIGKDENISPQVKGDED MGHEVGSTLDKSGDDIYKTLHIKRKWMETYVKESFKGSNQKLERFCKMNERERKNINNKF CEQYITTFQKSDMDVQKFNEEKEKSVNSCQKEQQALKLSKCTQNQTLEAVKKMHEKSMEV LMNLGTKN >ENSMUSP00000024738.7 pep:known chromosome:GRCm38:17:53961615:53990674:-1 gene:ENSMUSG00000023943.7 transcript:ENSMUST00000024738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sult1c1 description:sulfotransferase family, cytosolic, 1C, member 1 [Source:MGI Symbol;Acc:MGI:102928] MPLEKLKDLHLDEQNMQPETREVNGILMSKMMSENWDKIWNFQAKPDDLLIATYAKAGTT WTQEIVDMIQNDGDVQKCQRANTYDRHPFIEWTLPPPLNSGLDLANKMPSPRTLKTHLPV QMLPPSFWKENSKIIYVARNAKDCLVSYYYFSRMNKMLPDPGTLGEYIETFKAGKVLWGS WYDHVKGWWDVKDKHRILYLFYEDMKEDPKREIKKIVKFLEKDISEEVLNKIIHHTSFDV MKQNPMANYTTLPSSIMDHSISPFMRKGMPGDWKNYFTVAQSEDFDEDYRKKMAGSTITF RTEI >ENSMUSP00000051887.4 pep:known chromosome:GRCm38:16:88560828:88563183:-1 gene:ENSMUSG00000050520.4 transcript:ENSMUST00000049697.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cldn8 description:claudin 8 [Source:MGI Symbol;Acc:MGI:1859286] MATYALQMAALVLGGVGMVGTVAVTIMPQWRVSAFIESNIVVFENRWEGLWMNCMRHANI RMQCKVYDSLLALSPDLQASRGLMCAASVLAFLAFMTAILGMKCTRCTGDDENVKSRILL TAGIIFFITGLVVLIPVSWVANSIIRDFYNPLVDVALKRELGEALYIGWTTALVLIAGGA LFCCVFCCTERSNSYRYSVPSHRTTQRSFHAEKRSPSIYSKSQYV >ENSMUSP00000050406.2 pep:known chromosome:GRCm38:1:173215607:173216640:1 gene:ENSMUSG00000049456.2 transcript:ENSMUST00000056592.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1404 description:olfactory receptor 1404 [Source:MGI Symbol;Acc:MGI:3031238] MLKSNTTFVTEFLFEGFSGFGWQHRLAFFAIFLALYFLTLSGNVIIVSIIHLDHHLHTPM YFFLAILSISDTCYTVTIIPRMLSDLLNPYHTIAFRDCVVQIFFYLTFGINNCFLLMVMG YDRYVAICNPLRYSVIMGRKACVHLASGSLGIGLGMAIVQVTSVFSLPFCDRFVIPHFFC DVRPLLKLACTDTTINEIINFVVSVFVLILPMGVVFISYVVIISTILKIASAEGRKKAFA TCASHLTVVIIHYGCTAIIYMKPKSQSLLGQERLISVTYTLITPLLNPLVYTLRNKEVKD ALRRAMWQKPLSS >ENSMUSP00000139838.1 pep:known chromosome:GRCm38:Y:12381981:12384008:1 gene:ENSMUSG00000095508.2 transcript:ENSMUST00000189550.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20812 description:predicted gene, 20812 [Source:MGI Symbol;Acc:MGI:5434168] MTSLKKKSRRKPSSQALGNKVGCRISHGWKEGNVPATHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDKRILNLKVLPHKIVFPQVRDVHLTSALVGIEVQHKFERKHGSEKNWSG MVLAQVPFLKDWFYISYKKDLVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDSDFLIGT WVQYTRDDGSRKFGKVVYTFLANSNVYFIKFHGDLHIFVYTLVSNIT >ENSMUSP00000137553.1 pep:known chromosome:GRCm38:Y:12383184:12383867:1 gene:ENSMUSG00000095508.2 transcript:ENSMUST00000179220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20812 description:predicted gene, 20812 [Source:MGI Symbol;Acc:MGI:5434168] MTSLKKKSRRKPSSQALGNKVGCRISHGWKEGNVPATHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDKRILNLKVLPHKIVFPQVRDVHLTSALVGIEVQHKFERKHGSEKNWSG MVLAQVPFLKDWFYISYKKDLVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDSDFLIGT WVQYTRDDGSRKFGKVVYTFLANSNVYFIKFHGDLHIFVYTLVSNIT >ENSMUSP00000133560.1 pep:known chromosome:GRCm38:8:124369049:124439658:-1 gene:ENSMUSG00000050751.14 transcript:ENSMUST00000172566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgbd5 description:piggyBac transposable element derived 5 [Source:MGI Symbol;Acc:MGI:2429955] MPNSYHLSSVIPWLQYQSGPTRKMPPSASAVDFFQLFVPDNVLKNMVVQTNMYARKFQER FGSDGAWVEVTLAEMKAFLGYVISTSVSHCESVLSIWSGGFYSNRSLALVMSQARFEKIL KYFHVVAFRSSQTTHGLYKVQPFLDSLQSGFDAAFRPSQTQVLHEPLIDEDPVFIATCTE RELRKRKKRKFSLWVRQCSSTGFIIQIYVHLKEGGGPDGLDALKNKPQLHSMVARSLCRN AAGKNYIIFTGPSITSLNLFEEFEKQGIYCCGLLSSRKSDCTGLPPSMLTNPATPLARGQ HQIRTKGNMSLICWYNKGHFRFLTNAYSPVQKGVIIKRRSGEIPCPLAVEAFAAHLSYIC RYDDKYSKYFISHKPNKTWQQVFWFAISIAVNNAYILYKMSDAYHVKKYSRAQFGERLVR ELLGLEDSSPAH >ENSMUSP00000120984.1 pep:known chromosome:GRCm38:8:124369053:124434338:-1 gene:ENSMUSG00000050751.14 transcript:ENSMUST00000140012.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgbd5 description:piggyBac transposable element derived 5 [Source:MGI Symbol;Acc:MGI:2429955] MAEGGGGSRRRAPALLEAARARYESLHISDDVFGESGPDSGGNPFYSTSAASRSSSAASS DDERERPAPPGTAPPSYAADPLELEEDETGGGWSAVLRDRPSPRFEDTGGPTRKMPPSAS AVDFFQLFVPDNVLKNMVVQTNMYARKFQERFGSDGAWVEVTLAEMKAFLGYVISTSVSH CESVLSIWSGGFYSNRSLALVMSQARFEKILKYFHVVAFRSSQTTHGLYKVQPFLDSLQS GFDAAFRPSQTQVLHEPLIDEDPVFIATCTERELRKRKKRKFSLWVRQCSSTGFIIQIYV HLKEGGGPDGLDALKNKPQLHSMVARSLCRNAAGKNYIIFTGPSITSLNLFEEFEKQGIY CCGLLSSRKSDCTGLPPSMLTNPATPLARGQHQIRTKGNMSLICWYNKGHFRFLTNAYSP VQKGVIIKRRSGEIPCPLAVEAFAAHLSYICRYDDKYSKYFISHKPNKTWQQVFWFAISI AVNNAYILYKMSDAYHVKKYSRAQFGERLVRELLGLEDSSPAH >ENSMUSP00000123265.2 pep:known chromosome:GRCm38:8:124370023:124393216:-1 gene:ENSMUSG00000050751.14 transcript:ENSMUST00000136892.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgbd5 description:piggyBac transposable element derived 5 [Source:MGI Symbol;Acc:MGI:2429955] MPPSASAVDFFQLFVPDNVLKNMVVQTNMYARKFQERFGSDGAWVEVTLAEMKAFLGYVI STSVSHCESVLSIWSGGFYSNRSLALVMSQARFEKILKYFHVVAFRSSQTTHGLYKVQPF LDSLQSGFDAAFRPSQTQVLHEPLIDEDPVFIATCTERELRKRKKRKFSLWVRQCSSTGF IIQIYVHLKEGGGPDGLDALKNKPQLHSMVARSLCRNAAGKNYIIFTGPSITSLNLFEEF EKQGIYCCGLLSSRKSDCTGLPPSMLTNPATPLARGQHQIRTKGNMSLICWYNKGHFRFL TNAYSPVQKGVIIKRRSGEIPCPLAVEAFAAHLSYICRYDDKYSKYFISHKPNKTWQQVF WFAISIAVNNAYILYKMSDAYHVKKYSRAQFGERLVRELLGLEDSSPAH >ENSMUSP00000054788.3 pep:known chromosome:GRCm38:8:124369049:124433936:-1 gene:ENSMUSG00000050751.14 transcript:ENSMUST00000052580.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgbd5 description:piggyBac transposable element derived 5 [Source:MGI Symbol;Acc:MGI:2429955] MPPSASAVDFFQLFVPDNVLKNMVVQTNMYARKFQERFGSDGAWVEVTLAEMKAFLGYVI STSVSHCESVLSIWSGGFYSNRSLALVMSQARFEKILKYFHVVAFRSSQTTHGLYKVQPF LDSLQSGFDAAFRPSQTQVLHEPLIDEDPVFIATCTERELRKRKKRKFSLWVRQCSSTGF IIQIYVHLKEGGGPDGLDALKNKPQLHSMVARSLCRNAAGKNYIIFTGPSITSLNLFEEF EKQGIYCCGLLSSRKSDCTGLPPSMLTNPATPLARGQHQIRTKGNMSLICWYNKGHFRFL TNAYSPVQKGVIIKRRSGEIPCPLAVEAFAAHLSYICRYDDKYSKYFISHKPNKTWQQVF WFAISIAVNNAYILYKMSDAYHVKKYSRAQFGERLVRELLGLEDSSPAH >ENSMUSP00000110170.2 pep:known chromosome:GRCm38:X:73086293:73097017:-1 gene:ENSMUSG00000057836.12 transcript:ENSMUST00000114524.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr3a description:X-linked lymphocyte-regulated 3A [Source:MGI Symbol;Acc:MGI:109506] MSSRERKATDTAGRHSRMDPNLSSDDSQNPGAVAAANREVLDAGREDIISSGTERQQARK EKQDLVQEFEEPPNKVLQENREKFSRIMTSSFSAMEVKIKDVLKTQCEQRQKLCQDYSLQ FTNLSRKLTSDAYKLKKQAETLSNMFMEQQKFIHETLTLQKNRMEEFKSLCEKYLEKLEV LRDSRGNSIAEELRRLIATLEIKLLMLHNQQNTAAPPQSLLDVLFS >ENSMUSP00000074199.5 pep:known chromosome:GRCm38:X:73086295:73097095:-1 gene:ENSMUSG00000057836.12 transcript:ENSMUST00000074619.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xlr3a description:X-linked lymphocyte-regulated 3A [Source:MGI Symbol;Acc:MGI:109506] MSSRERKATDTAGRHSRMDPNLSSDDSQNPANREVLDAGREDIISSGTERQQARKEKQDL VQEFEEPPNKVLQENREKFSRIMTSSFSAMEVKIKDVLKTQCEQRQKLCQDYSLQFTNLS RKLTSDAYKLKKQAETLSNMFMEQQKFIHETLTLQKNRMEEFKSLCEKYLEKLEVLRDSR GNSIAEELRRLIATLEIKLLMLHNQQNTAAPPQSLLDVLFS >ENSMUSP00000134647.1 pep:known chromosome:GRCm38:X:73086691:73095003:-1 gene:ENSMUSG00000057836.12 transcript:ENSMUST00000172938.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xlr3a description:X-linked lymphocyte-regulated 3A [Source:MGI Symbol;Acc:MGI:109506] RMDPNLSSDDSQNPGAVAAETGGTEGFSGKFHR >ENSMUSP00000134475.1 pep:known chromosome:GRCm38:X:73090951:73096878:-1 gene:ENSMUSG00000057836.12 transcript:ENSMUST00000173742.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xlr3a description:X-linked lymphocyte-regulated 3A [Source:MGI Symbol;Acc:MGI:109506] MSSRERKATDTAGRHSRMDPNLSSDDSQNPGAVAAGKKTEDNNKTKTTS >ENSMUSP00000109087.1 pep:known chromosome:GRCm38:6:92091390:92173057:1 gene:ENSMUSG00000005893.14 transcript:ENSMUST00000113460.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2c2 description:nuclear receptor subfamily 2, group C, member 2 [Source:MGI Symbol;Acc:MGI:1352466] MTSPSPRIQIISTDSAVASPQRIQIVTDQQTGQKIQIVTAVDASGSSKQQFILTSPDGAG TGKVILASPETSSAKQLIFTTSDNLVPGRIQIVTDSASVERLLGKADVQRPQVVEYCVVC GDKASGRHYGAVSCEGCKGFFKRSVRKNLTYSCRSSQDCIINKHHRNRCQFCRLKKCLEM GMKMESVQSERKPFDVQREKPSNCAASTEKIYIRKDLRSPLIATPTFVADKDGARQTGLL DPGMLVNIQQPLIREDGTVLLAADSKAETSQGALGTLANVVTSLANLSESLNNGDASEMQ PEDQSASEITRAFDTLAKALNTTDSASPPSLADGIDASGGGSIHVISRDQSTPIIEVEGP LLSDTHVTFKLTMPSPMPEYLNVHYICESASRLLFLSMHWARSIPAFQALGQDCNTSLVR ACWNELFTLGLAQCAQVMSLSTILAAIVNHLQNSIQEDKLSGDRIKQVMEHIWKLQEFCN SMAKLDIDGYEYAYLKAIVLFSPDHPGLTGTSQIEKFQEKAQMELQDYVQKTYSEDTYRL ARILVRLPALRLMSSNITEELFFTGLIGNVSIDSIIPYILKMETAEYNGQITGASL >ENSMUSP00000109090.1 pep:known chromosome:GRCm38:6:92092433:92167705:1 gene:ENSMUSG00000005893.14 transcript:ENSMUST00000113463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2c2 description:nuclear receptor subfamily 2, group C, member 2 [Source:MGI Symbol;Acc:MGI:1352466] MATNMEGLVQHRVGTQQVAEVPRTQTSWPESPGMTSPSPRIQIISTDSAVASPQRIQIVT DQQTGQKIQIVTAVDASGSSKQQFILTSPDGAGTGKVILASPETSSAKQLIFTTSDNLVP GRIQIVTDSASVERLLGKADVQRPQVVEYCVVCGDKASGRHYGAVSCEGCKGFFKRSVRK NLTYSCRSSQDCIINKHHRNRCQFCRLKKCLEMGMKMESVQSERKPFDVQREKPSNCAAS TEKIYIRKDLRSPLIATPTFVADKDGARQTGLLDPGMLVNIQQPLIREDGTVLLAADSKA ETSQGALGTLANVVTSLANLSESLNNGDASEMQPEDQSASEITRAFDTLAKALNTTDSAS PPSLADGIDASGGGSIHVISRDQSTPIIEVEGPLLSDTHVTFKLTMPSPMPEYLNVHYIC ESASRLLFLSMHWARSIPAFQALGQDCNTSLVRACWNELFTLGLAQCAQVMSLSTILAAI VNHLQNSIQEDKLSGDRIKQVMEHIWKLQEFCNSMAKLDIDGYEYAYLKAIVLFSPDHPG LTGTSQIEKFQEKAQMELQDYVQKTYSEDTYRLARILVRLPALRLMSSNITEELFFTGLI GNVSIDSIIPYILKMETAEYNGQITGASL >ENSMUSP00000145094.1 pep:known chromosome:GRCm38:6:92138015:92139832:1 gene:ENSMUSG00000005893.14 transcript:ENSMUST00000133133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2c2 description:nuclear receptor subfamily 2, group C, member 2 [Source:MGI Symbol;Acc:MGI:1352466] MTSPS >ENSMUSP00000138465.1 pep:known chromosome:GRCm38:6:92139796:92168668:1 gene:ENSMUSG00000005893.14 transcript:ENSMUST00000146175.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nr2c2 description:nuclear receptor subfamily 2, group C, member 2 [Source:MGI Symbol;Acc:MGI:1352466] MTSPSPRIQIISTDSAVASPQRIQIVTDQQTGQKIQIVTAVDASGSSKQQFILTSPDGAG TGKVILASPETSSAKQLIFTTSDNLVPGRIQIVTDSASVERLLGKADVQRPQVVEYCVVC GDKASGRHYGAVSCEGCKGFFKRSVRKNLTYSCRSSQDCIINKHHRNRCQFCRLKKCLEM GMKMESVQSERKPFDVQREKPSNCAASTEKIYIRKDLRSPLIATPTFVADKDGARQTGLL DPGMLVNIQQPLIREDGTVLLAADSKAETSQGALGTLANVVTSLANLSESLNNGDASEMQ PEDQSASEITRAFDTLAKALNTTDSASPPSLADGIDASGGGSIHVISRDQSTPIIEVEGP LLSDTHVTFKLTMPSPMPEYLNVHYICESASRLLFLSMHWARSIPAFQALGQDCNTSLVR ACWNELFTLGLAQCAQVMSLSTILAAIVNHLQNSIQEDKLSGDRIKQVMEHIWKLQEFCN SMAKLDIDGYESSRFDRHKPD >ENSMUSP00000019721.4 pep:known chromosome:GRCm38:6:5483351:5496309:-1 gene:ENSMUSG00000019577.6 transcript:ENSMUST00000019721.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdk4 description:pyruvate dehydrogenase kinase, isoenzyme 4 [Source:MGI Symbol;Acc:MGI:1351481] MKAARFVMRSASSLSSASLVPREVELFSRYSPSPLSMKQLLDFGSENACERTSFAFLRQE LPVRLANILKEIDILPDRLVNTPSVQLVKSWYIQSLMDLVEFHEKSPEDQKALSEFVDTL VKVRNRHHNVVPTMAQGILEYKDTCTVDPVTNQNLQYFLDRFYMNRISTRMLMNQHILIF SDSKTGNPSHIGSIDPNCDVVAVVQDAFECAKMLCDQYYLTSPELNLTQVNGKFPGQPIH IVYVPSHLHHMLFELFKNAMRATVEHQENRPSLTPVEATVVLGKEDLTIKISDRGGGVPL RITDRLFSYTYSTAPTPVMDNSRNAPLAGFGYGLPISRLYAKYFQGDLNLYSMSGYGTDA IIYLKALSSESVEKLPVFNKSAFKHYQMSSEADDWCIPSREPKNLAKEKLAV >ENSMUSP00000145377.1 pep:known chromosome:GRCm38:6:5491591:5496261:-1 gene:ENSMUSG00000019577.6 transcript:ENSMUST00000203347.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdk4 description:pyruvate dehydrogenase kinase, isoenzyme 4 [Source:MGI Symbol;Acc:MGI:1351481] MDLVEFHEKSPEDQKALSEFVDTLVKVRNRHHNVVPTMAQGILEYKDTCTVDPVTNQNLQ YFLDRFYMNRISTRMLMNQHILIFSDSKTGNPSHIGSIDPNCDVVAVVQ >ENSMUSP00000033683.7 pep:known chromosome:GRCm38:X:102184941:102189394:-1 gene:ENSMUSG00000031320.9 transcript:ENSMUST00000033683.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps4x description:ribosomal protein S4, X-linked [Source:MGI Symbol;Acc:MGI:98158] MARGPKKHLKRVAAPKHWMLDKLTGVFAPRPSTGPHKLRECLPLIIFLRNRLKYALTGDE VKKICMQRFIKIDGKVRTDITYPAGFMDVISIDKTGENFRLIYDTKGRFAVHRITPEEAK YKLCKVRKIFVGTKGIPHLVTHDARTIRYPDPLIKVNDTIQIDLETGKITDFIKFDTGNL CMVTGGANLGRIGVITNRERHPGSFDVVHVKDANGNSFATRLSNIFVIGKGNKPWISLPR GKGIRLTIAEERDKRLAAKQSSG >ENSMUSP00000029049.5 pep:known chromosome:GRCm38:3:10366907:10395337:1 gene:ENSMUSG00000027536.6 transcript:ENSMUST00000029049.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp4c description:charged multivesicular body protein 4C [Source:MGI Symbol;Acc:MGI:1913621] MSKLGKFFKGTRSSRARAAPSAQEALARLRETEEMLAKKQEYLENRIQRELALAKKHGSQ NKRAALQALKRKKRFEKQLTQVDGTLSTIEFQREALENSHTNTEVLRNMGFAAKAMKAVH DNMDLNKIDDLMQDITEQQDIAQEISEAFSQRVQFADGFDEAELLAELEELEQEELNKKM TSLELPNVPSSSLPAQPSRKASMPSSVHRSRAASSRRAEEDDDFKQLAAWAT >ENSMUSP00000141606.1 pep:known chromosome:GRCm38:3:10366958:10428856:1 gene:ENSMUSG00000027536.6 transcript:ENSMUST00000191826.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Chmp4c description:charged multivesicular body protein 4C [Source:MGI Symbol;Acc:MGI:1913621] MSKLGKFFKGTRSSRARAAPSAQEALARLRETEEMLAKKQEYLENRIQRELALAKKHGSQ NKRVY >ENSMUSP00000089284.3 pep:known chromosome:GRCm38:3:94071622:94072644:-1 gene:ENSMUSG00000095251.1 transcript:ENSMUST00000091692.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10697 description:predicted gene 10697 [Source:MGI Symbol;Acc:MGI:3710527] MSEDMEVTNWGYTHISVKEFCYVWTIRNFSPCIDGIRRTITSPVFSLEANDEVTWCLRAH PNGVDEVSECYMSVFLELLSCRKSPVWAKYEFWITTSQGEKYQCMKSFNVHSFQKNQYRG FKKFILGDFLISHPRRFLPENKLTLCCKVSIVGSVFGMPGQNITPAIKDPRHLLTDDLGE LWENSLFTDCCLLVAGHEFRAHKAILAARSPVFRAMFEHEMEERLGNPTEIHDLDPKVFK EMMGFIYTGKAPHLQSHSMATDVLTAADKYGLEGLKVLCEDALCRNLSVENAAQTLILAD LHKREQLKTQALYFIALHASVVSETSEWKSMMETHPHLVG >ENSMUSP00000104485.1 pep:known chromosome:GRCm38:11:55446641:55461239:-1 gene:ENSMUSG00000018585.9 transcript:ENSMUST00000108857.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atox1 description:antioxidant 1 copper chaperone [Source:MGI Symbol;Acc:MGI:1333855] MPKHEFSVDMTCEGCAEAVSRVLNKLGGVEFNIDLPNKKVCIDSEHSSDTLLATLNKTGK AVSYLGPK >ENSMUSP00000027748.7 pep:known chromosome:GRCm38:1:153740349:153745468:1 gene:ENSMUSG00000026475.7 transcript:ENSMUST00000027748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs16 description:regulator of G-protein signaling 16 [Source:MGI Symbol;Acc:MGI:108407] MCRTLATFPNTCLERAKEFKTRLGIFLHKSELSSDTGGISKFEWASKHNKERSFSEDVLG WRESFDLLLNSKNGVAAFHAFLKTEFSEENLEFWLACEEFKKIRSATKLASRAHHIFDEY IRSEAPKEVNIDHETRELTKTNLQAATTSCFDVAQGKTRTLMEKDSYPRFLKSPAYRDLA AQASATSTSAPSGSPAEPSHT >ENSMUSP00000140290.1 pep:known chromosome:GRCm38:10:13966075:14150589:1 gene:ENSMUSG00000015501.10 transcript:ENSMUST00000187083.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hivep2 description:human immunodeficiency virus type I enhancer binding protein 2 [Source:MGI Symbol;Acc:MGI:1338076] MDTGDTALGQKATSRSGETDSVSGRWRQEQSAVLKMSTFSSQEGPRQPQIDPEQIGNAAS AQLFGSGKLASPGEGLHQVTEKQYPPHRPSPYPCQHSLSFPQHSLSQGMTHSHKPHQSLE GPPWLFPGPLPSVASEDLFPFPMHGHSGGYPRKKISNLNPAYSQYSQKSIEQAEDAHKKE HKPKKPGKYICPYCSRACAKPSVLKKHIRSHTGERPYPCIPCGFSFKTKSNLYKHRKSHA HAIKAGLVPFTESSVSKLDLEAGFIDVEAEIHSDGEQSTDTDEESSLFAEASDKVSPGPP VPLDIASRGGYHGSLEESLGGPMKVPILIIPKSGIPLASEGSQYLSSEMLPNPSLNAKAD DSHTVKQKLALRLSEKKGQDSEPSLNLLSPHSKGSTDSGYFSRSESAEQQISPPNTNAKS YEEIIFGKYCRLSPRNTLSVTPTGQERTAMGRRGIMEPLPHLNTRLEVKMFEDPISQLNP SKGEMDPGQINMLKTTKFNSECRQPQAIPSSVRNEGKPYPGNFLGSNPMLLEAPVDSSPL IRSNSMPTSSATNLSVPPSLRGSHSFDERMTGSDDVFYPGTVGIPPQRMLRRQAAFELPS VQEGHMESEHPARVSKGLASPSLKEKKLLPGDRPGYDYDVCRKPYKKWEDSETLKQSYLG SFKQGGEYFMDPSVPVQGVPTMFGTTCENRKRRKEKSVGDEEDVPMICGGMGNAPVGMMS SEYDPKLQDGGRSGFAMTAHESLAHGHSDRLDPARPQLPSRSPSLGSEDLPLAADPDKMT DLGKKPPGNVISVIQHTNSLSRPNSFERSESTEMVACPQDKTPSPAETCDSEVLEAPVSP EWAPPGDGGESGSKPTPSQQVPQHSYHAQPRLVRQHNIQVPEIRVTEEPDKPEKEKEAPT KEPEKPVEEFQWPQRSETLSQLPAEKLPPKKKRLRLADLEHSSGESSFESTGTGLSRSPS QESNLSHSSSFSMSFDREETVKLTAPPKQDESGKHSEFLTVPAGSYSLSVPGHHHQKEMR RCSSEQMPCPHPTEVPEIRSKSFDYGNLSHAPVAGTSPSTLSPSRERKKCFLVRQASFSG SPEIAQGEAGVDPSVKQEHMEHLHAGLRAAWSSVLPPLPGDDPGKQVGTCGPLSSGPPLH LTQQQIMHMDSQESLRNPLIQPTSYMTSKHLPEQPHLFPHQDAVPFSPIQNALFQFQYPT VCMVHLPAQQPPWWQTHFPHPFAPHPQNSYSKPPFQADLHSSYPLEHVAEHTGKKSADYP HAKEQTYPCYSGTSGLHSKNLPLKFPSDPGSKSTETPTEQLLREDFASENAGPLQSLPGT VVPVRIQTHVPSYGSVMYTSISQILGQNSPAIVICKVDENMTQRTLVTNAAMQGIGLNIA QVLGQHTGLEKYPLWKVPQTLPLGLESSIPLCLPSTSDNAASLGGSKRMLSPASSLELFM ETKQQKRVKEEKMYGQIVEELSAVELTNSDIKKGLSRPQKPQLVRQGCASEPKDGCFQSR SSSFSSLSPSSSQDHPSASGPFPPNREILPGSRAPPRRKFSGPSESRESSDELDMDETSS DMSMSPQSSALPTGGGQQEEEGKARKLPVSMLVHMASGPGGNVANSTLLFTDVADFQQIL QFPSLRTTTTVSWCFLNYTKPSFVQQATFKSSVYASWCISSCNPNPSGLNTKTTLALLRS KQKITAEIYTLAAMHRPGAGKLTSSSVWKQFAQMKPDAPFLFGNKLERKLAGNVLKERGK GEIHGDKDLGSKQTEPIRIKIFEGGYKSNEDYVYVRGRGRGKYICEECGIRCKKPSMLKK HIRTHTDVRPYVCKLCNFAFKTKGNLTKHMKSKAHMKKCLELGVSMTSVDDTETEEAENM EELHKTSEKHSMSGISTDHQFSDAEESDGEDGDDNDDDDEDDDDFDDQGDLTPKTRSRST SPQPPRFSSLPVNVGAVAHGVPSDSSLGHSSLISYLVTLPSIQVTQLMTPSDSCDDTQMT EYQRLFQSKSTDSEPDKDRLDIPSSMDEEAMLSSEPSSSPRDFSPSSYRSSPGYDSSPCR DNSPKRYLIPKGDLSPRRHLSPRRDLSPMRHLSPRKEAALRREMSQGDASPRRHLSPRRP LSPGKDITARRDLSPRRERRYMTTIRAPSPRRALYPNPPLSMGQYLQTEPIVLGPPNLRR GIPQVPYFSLYGDQEGAYEHHGSSLFPEGPTDYVFSHLPLHSQQQVRAPIPMVPVGGIQM VHSLPPALSGLHPPPTLPLPTEGSEEKKGAPGEAFAKDPYILSRRHEKQAPQVLQSSGLP SSPSSPRLLMKQSTSEDSLNSTEREQEENIQTCTKAIASLRIATEEAALLGADPPTWVQE SPQKPLESAHVSIRHFGGPEPGQPCTSAAHPDLHDGEKDTFGTSQTAVAHPTFYSKSSVD EKRVDFQSSKELSLSTEEGNEPSPEKNQLH >ENSMUSP00000015645.4 pep:known chromosome:GRCm38:10:13966379:14151373:1 gene:ENSMUSG00000015501.10 transcript:ENSMUST00000015645.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hivep2 description:human immunodeficiency virus type I enhancer binding protein 2 [Source:MGI Symbol;Acc:MGI:1338076] MDTGDTALGQKATSRSGETDSVSGRWRQEQSAVLKMSTFSSQEGPRQPQIDPEQIGNAAS AQLFGSGKLASPGEGLHQVTEKQYPPHRPSPYPCQHSLSFPQHSLSQGMTHSHKPHQSLE GPPWLFPGPLPSVASEDLFPFPMHGHSGGYPRKKISNLNPAYSQYSQKSIEQAEDAHKKE HKPKKPGKYICPYCSRACAKPSVLKKHIRSHTGERPYPCIPCGFSFKTKSNLYKHRKSHA HAIKAGLVPFTESSVSKLDLEAGFIDVEAEIHSDGEQSTDTDEESSLFAEASDKVSPGPP VPLDIASRGGYHGSLEESLGGPMKVPILIIPKSGIPLASEGSQYLSSEMLPNPSLNAKAD DSHTVKQKLALRLSEKKGQDSEPSLNLLSPHSKGSTDSGYFSRSESAEQQISPPNTNAKS YEEIIFGKYCRLSPRNTLSVTPTGQERTAMGRRGIMEPLPHLNTRLEVKMFEDPISQLNP SKGEMDPGQINMLKTTKFNSECRQPQAIPSSVRNEGKPYPGNFLGSNPMLLEAPVDSSPL IRSNSMPTSSATNLSVPPSLRGSHSFDERMTGSDDVFYPGTVGIPPQRMLRRQAAFELPS VQEGHMESEHPARVSKGLASPSLKEKKLLPGDRPGYDYDVCRKPYKKWEDSETLKQSYLG SFKQGGEYFMDPSVPVQGVPTMFGTTCENRKRRKEKSVGDEEDVPMICGGMGNAPVGMMS SEYDPKLQDGGRSGFAMTAHESLAHGHSDRLDPARPQLPSRSPSLGSEDLPLAADPDKMT DLGKKPPGNVISVIQHTNSLSRPNSFERSESTEMVACPQDKTPSPAETCDSEVLEAPVSP EWAPPGDGGESGSKPTPSQQVPQHSYHAQPRLVRQHNIQVPEIRVTEEPDKPEKEKEAPT KEPEKPVEEFQWPQRSETLSQLPAEKLPPKKKRLRLADLEHSSGESSFESTGTGLSRSPS QESNLSHSSSFSMSFDREETVKLTAPPKQDESGKHSEFLTVPAGSYSLSVPGHHHQKEMR RCSSEQMPCPHPTEVPEIRSKSFDYGNLSHAPVAGTSPSTLSPSRERKKCFLVRQASFSG SPEIAQGEAGVDPSVKQEHMEHLHAGLRAAWSSVLPPLPGDDPGKQVGTCGPLSSGPPLH LTQQQIMHMDSQESLRNPLIQPTSYMTSKHLPEQPHLFPHQDAVPFSPIQNALFQFQYPT VCMVHLPAQQPPWWQTHFPHPFAPHPQNSYSKPPFQADLHSSYPLEHVAEHTGKKSADYP HAKEQTYPCYSGTSGLHSKNLPLKFPSDPGSKSTETPTEQLLREDFASENAGPLQSLPGT VVPVRIQTHVPSYGSVMYTSISQILGQNSPAIVICKVDENMTQRTLVTNAAMQGIGLNIA QVLGQHTGLEKYPLWKVPQTLPLGLESSIPLCLPSTSDNAASLGGSKRMLSPASSLELFM ETKQQKRVKEEKMYGQIVEELSAVELTNSDIKKGLSRPQKPQLVRQGCASEPKDGCFQSR SSSFSSLSPSSSQDHPSASGPFPPNREILPGSRAPPRRKFSGPSESRESSDELDMDETSS DMSMSPQSSALPTGGGQQEEEGKARKLPVSMLVHMASGPGGNVANSTLLFTDVADFQQIL QFPSLRTTTTVSWCFLNYTKPSFVQQATFKSSVYASWCISSCNPNPSGLNTKTTLALLRS KQKITAEIYTLAAMHRPGAGKLTSSSVWKQFAQMKPDAPFLFGNKLERKLAGNVLKERGK GEIHGDKDLGSKQTEPIRIKIFEGGYKSNEDYVYVRGRGRGKYICEECGIRCKKPSMLKK HIRTHTDVRPYVCKLCNFAFKTKGNLTKHMKSKAHMKKCLELGVSMTSVDDTETEEAENM EELHKTSEKHSMSGISTDHQFSDAEESDGEDGDDNDDDDEDDDDFDDQGDLTPKTRSRST SPQPPRFSSLPVNVGAVAHGVPSDSSLGHSSLISYLVTLPSIQVTQLMTPSDSCDDTQMT EYQRLFQSKSTDSEPDKDRLDIPSSMDEEAMLSSEPSSSPRDFSPSSYRSSPGYDSSPCR DNSPKRYLIPKGDLSPRRHLSPRRDLSPMRHLSPRKEAALRREMSQGDASPRRHLSPRRP LSPGKDITARRDLSPRRERRYMTTIRAPSPRRALYPNPPLSMGQYLQTEPIVLGPPNLRR GIPQVPYFSLYGDQEGAYEHHGSSLFPEGPTDYVFSHLPLHSQQQVRAPIPMVPVGGIQM VHSLPPALSGLHPPPTLPLPTEGSEEKKGAPGEAFAKDPYILSRRHEKQAPQVLQSSGLP SSPSSPRLLMKQSTSEDSLNSTEREQEENIQTCTKAIASLRIATEEAALLGADPPTWVQE SPQKPLESAHVSIRHFGGPEPGQPCTSAAHPDLHDGEKDTFGTSQTAVAHPTFYSKSSVD EKRVDFQSSKELSLSTEEGNEPSPEKNQLH >ENSMUSP00000140150.1 pep:known chromosome:GRCm38:10:13966379:14151374:1 gene:ENSMUSG00000015501.10 transcript:ENSMUST00000191138.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hivep2 description:human immunodeficiency virus type I enhancer binding protein 2 [Source:MGI Symbol;Acc:MGI:1338076] MDTGDTALGQKATSRSGETDSVSGRWRQEQSAVLKMSTFSSQEGPRQPQIDPEQIGNAAS AQLFGSGKLASPGEGLHQVTEKQYPPHRPSPYPCQHSLSFPQHSLSQGMTHSHKPHQSLE GPPWLFPGPLPSVASEDLFPFPMHGHSGGYPRKKISNLNPAYSQYSQKSIEQAEDAHKKE HKPKKPGKYICPYCSRACAKPSVLKKHIRSHTGERPYPCIPCGFSFKTKSNLYKHRKSHA HAIKAGLVPFTESSVSKLDLEAGFIDVEAEIHSDGEQSTDTDEESSLFAEASDKVSPGPP VPLDIASRGGYHGSLEESLGGPMKVPILIIPKSGIPLASEGSQYLSSEMLPNPSLNAKAD DSHTVKQKLALRLSEKKGQDSEPSLNLLSPHSKGSTDSGYFSRSESAEQQISPPNTNAKS YEEIIFGKYCRLSPRNTLSVTPTGQERTAMGRRGIMEPLPHLNTRLEVKMFEDPISQLNP SKGEMDPGQINMLKTTKFNSECRQPQAIPSSVRNEGKPYPGNFLGSNPMLLEAPVDSSPL IRSNSMPTSSATNLSVPPSLRGSHSFDERMTGSDDVFYPGTVGIPPQRMLRRQAAFELPS VQEGHMESEHPARVSKGLASPSLKEKKLLPGDRPGYDYDVCRKPYKKWEDSETLKQSYLG SFKQGGEYFMDPSVPVQGVPTMFGTTCENRKRRKEKSVGDEEDVPMICGGMGNAPVGMMS SEYDPKLQDGGRSGFAMTAHESLAHGHSDRLDPARPQLPSRSPSLGSEDLPLAADPDKMT DLGKKPPGNVISVIQHTNSLSRPNSFERSESTEMVACPQDKTPSPAETCDSEVLEAPVSP EWAPPGDGGESGSKPTPSQQVPQHSYHAQPRLVRQHNIQVPEIRVTEEPDKPEKEKEAPT KEPEKPVEEFQWPQRSETLSQLPAEKLPPKKKRLRLADLEHSSGESSFESTGTGLSRSPS QESNLSHSSSFSMSFDREETVKLTAPPKQDESGKHSEFLTVPAGSYSLSVPGHHHQKEMR RCSSEQMPCPHPTEVPEIRSKSFDYGNLSHAPVAGTSPSTLSPSRERKKCFLVRQASFSG SPEIAQGEAGVDPSVKQEHMEHLHAGLRAAWSSVLPPLPGDDPGKQVGTCGPLSSGPPLH LTQQQIMHMDSQESLRNPLIQPTSYMTSKHLPEQPHLFPHQDAVPFSPIQNALFQFQYPT VCMVHLPAQQPPWWQTHFPHPFAPHPQNSYSKPPFQADLHSSYPLEHVAEHTGKKSADYP HAKEQTYPCYSGTSGLHSKNLPLKFPSDPGSKSTETPTEQLLREDFASENAGPLQSLPGT VVPVRIQTHVPSYGSVMYTSISQILGQNSPAIVICKVDENMTQRTLVTNAAMQGIGLNIA QVLGQHTGLEKYPLWKVPQTLPLGLESSIPLCLPSTSDNAASLGGSKRMLSPASSLELFM ETKQQKRVKEEKMYGQIVEELSAVELTNSDIKKGLSRPQKPQLVRQGCASEPKDGCFQSR SSSFSSLSPSSSQDHPSASGPFPPNREILPGSRAPPRRKFSGPSESRESSDELDMDETSS DMSMSPQSSALPTGGGQQEEEGKARKLPVSMLVHMASGPGGNVANSTLLFTDVADFQQIL QFPSLRTTTTVSWCFLNYTKPSFVQQATFKSSVYASWCISSCNPNPSGLNTKTTLALLRS KQKITAEIYTLAAMHRPGAGKLTSSSVWKQFAQMKPDAPFLFGNKLERKLAGNVLKERGK GEIHGDKDLGSKQTEPIRIKIFEGGYKSNEDYVYVRGRGRGKYICEECGIRCKKPSMLKK HIRTHTDVRPYVCKLCNFAFKTKGNLTKHMKSKAHMKKCLELGVSMTSVDDTETEEAENM EELHKTSEKHSMSGISTDHQFSDAEESDGEDGDDNDDDDEDDDDFDDQGDLTPKTRSRST SPQPPRFSSLPVNVGAVAHGVPSDSSLGHSSLISYLVTLPSIQVTQLMTPSDSCDDTQMT EYQRLFQSKSTDSEPDKDRLDIPSSMDEEAMLSSEPSSSPRDFSPSSYRSSPGYDSSPCR DNSPKRYLIPKGDLSPRRHLSPRRDLSPMRHLSPRKEAALRREMSQGDASPRRHLSPRRP LSPGKDITARRDLSPRRERRYMTTIRAPSPRRALYPNPPLSMGQYLQTEPIVLGPPNLRR GIPQVPYFSLYGDQEGAYEHHGSSLFPEGPTDYVFSHLPLHSQQQVRAPIPMVPVGGIQM VHSLPPALSGLHPPPTLPLPTEGSEEKKGAPGEAFAKDPYILSRRHEKQAPQVLQSSGLP SSPSSPRLLMKQSTSEDSLNSTEREQEENIQTCTKAIASLRIATEEAALLGADPPTWVQE SPQKPLESAHVSIRHFGGPEPGQPCTSAAHPDLHDGEKDTFGTSQTAVAHPTFYSKSSVD EKRVDFQSSKELSLSTEEGNEPSPEKNQLH >ENSMUSP00000140180.1 pep:known chromosome:GRCm38:10:13966646:14128780:1 gene:ENSMUSG00000015501.10 transcript:ENSMUST00000186989.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hivep2 description:human immunodeficiency virus type I enhancer binding protein 2 [Source:MGI Symbol;Acc:MGI:1338076] MDTGDTALGQKATSRSGETDSVSGRWRQEQSAVLKMSTFSSQEGPRQPQIDPEQIGNAAS AQLFGSGKLASPGEGLHQVTEKQYPPHRPSPYPCQHSLSFPQHSLSQGMTHSHKPHQSLE GPPWLFPGPLPSVASEDLFPFPMHGHSGGYPRKKISNLNPAYSQYSQKSIEQAEDAHKKE HKPKKPGKYICPYCSRACAKPSVLKKHIRSHTGERPYPCIPCGFSFKTKSNLYKHRKSHA HAIKAGLVPFTESSVSKLDLEAGFIDVEAEIHSDGEQSTDTDEESSLFAEASDKVSPGPP VPLDIASRGGYHGSLEESLGGPMKVPILIIPKSGIPLASEGSQYLSSEMLPNPSLNAKAD DSHTVKQKLALRLS >ENSMUSP00000119059.1 pep:known chromosome:GRCm38:4:141404353:141405357:-1 gene:ENSMUSG00000006216.10 transcript:ENSMUST00000135486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcnkb description:chloride channel, voltage-sensitive Kb [Source:MGI Symbol;Acc:MGI:1930643] XQTLVGVVKRTHLVQALQTEPASWAPGQQTHNLFELLNLQTLFVTSRGRAVGSVSWVELK KAISTLTNPPAPK >ENSMUSP00000006378.2 pep:known chromosome:GRCm38:4:141404353:141416014:-1 gene:ENSMUSG00000006216.10 transcript:ENSMUST00000006378.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcnkb description:chloride channel, voltage-sensitive Kb [Source:MGI Symbol;Acc:MGI:1930643] MEELVGLREGASKKPVPLQELWGPCPRIRRNIQGGLEWLKERLFRVGEDWYFLVALGVLM ALISYAMNFTIGRVVRAHKWLYREIGDGHLLRYLSWTVYPVALLSFSSGFSQSITPSSGG SGIPEVKTILTGVVLEDYLDIKNFGAKVVGLSCTLATGSTIFLGKLGPFVHLSVMIAAYL GRVRTKTVGEPESKTKEMELLAAGAAVGVATVFAAPISGVLFSIEVMSSHFSVWDYWRGF FAATCGAFMFHLLAVFNNEQETITSIYKTSFPVDIPFDLPEIFFFVALGAICGILSCGYN YSQRTFLFFLKANGFTSKLLATSKPLYSALAAVVLASITYPPGVGHFMASRLSMSEHLET LFDNNSWALMTKNSSPPWAAEPDPQKLWLEWCHPQLTVFGTLVFFLVMKFWMLILATTIP IPAGYFLPIFIYGAVIGRLFGEVLSVAFPEGIVAGGRVNPIMPGAYALAGAAAFSGAVTH TLSTALLAFEVTGQLVHALPVLMAVLAANAISQSFQPSFYDGTIIVKKLPYLPWIRGRQI GSHSVTVGHFMNCALTTLAKDMPLEQVIQVVISTDVTQYPLVETTESQTLVGVVKRTHLV QALQTEPASWAPGQQPCLQDILANGCPTQPVTLQLSLETSLHETHNLFELLNLQTLFVTS RGRAVGSVSWVELKKAISTLTNPPAPK >ENSMUSP00000101414.1 pep:known chromosome:GRCm38:4:141404353:141416014:-1 gene:ENSMUSG00000006216.10 transcript:ENSMUST00000105788.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcnkb description:chloride channel, voltage-sensitive Kb [Source:MGI Symbol;Acc:MGI:1930643] MEELVGLREGASKKPVPLQELWGPCPRIRRNIQGGLEWLKERLFRVGEDWYFLVALGVLM ALISYAMNFTIGRVVRAHKWLYREIGDGHLLRYLSWTVYPVALLSFSSGFSQSITPSSGG SGIPEVKTILTGVVLEDYLDIKNFGAKVVGLSCTLATGSTIFLGKLGPFVHLSVMIAAYL GRVRTKTVGEPESKTKEMELLAAGAAVGVATVFAAPISGVLFSIEVMSSHFSVWDYWRGF FAATCGAFMFHLLAVFNNEQETITSIYKTSFPVDIPFDLPEIFFFVALGAICGILSCGYN YSQRTFLFFLKANGFTSKLLATSKPLYSALAAVVLASITYPPGVGHFMASRLSMSEHLET LFDNNSWALMTKNSSPPWAAEPDPQKLWLEWCHPQLTVFGTLVFFLVMKFWMLILATTIP IPAGYFLPIFIYGAVIGRLFGEVLSVAFPEGIVAGGRVNPIMPGAYALAGAAAFSGAVTH TLSTALLAFEVTGQLVHALPVLMAVLAANAISQSFQPSFYDGTIIVKKLPYLPWIRGRQI GSHSVTVGHFMNCALTTLAKDMPLEQVIQVVISTDVTQYPLVETTESQTLVGVVKRTHLV QALQTEPASWAPGQQPCLQDILANGCPTQPVTLQLSLETSLHETHNLFELLNLQTLFVTS RGRAVGSVSWVEVLGS >ENSMUSP00000022464.6 pep:known chromosome:GRCm38:14:32142026:32158370:1 gene:ENSMUSG00000021907.12 transcript:ENSMUST00000022464.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msmb description:beta-microseminoprotein [Source:MGI Symbol;Acc:MGI:97166] MEAWLGSLLFLATMVIASKAVCSIENREIFPNQMSDDCMDADGNKHFLNTPWKKNCTWCS CDKTSITCCTNATRPLSYDKDNCDVQFHPENCTYSVVDRKNPGKTCRVDSWTM >ENSMUSP00000121451.1 pep:known chromosome:GRCm38:14:32147587:32158370:1 gene:ENSMUSG00000021907.12 transcript:ENSMUST00000130397.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Msmb description:beta-microseminoprotein [Source:MGI Symbol;Acc:MGI:97166] VSLIIRLAEAWLGSLLFLATMVIASKAVCSIENREIFPNQMSDDCMDADGNKHFLNTPWK KNCTWCSCDKTSITCCTNATRPLSYDKDNCDVQFHPENCTYSVVDRKNPGKTCRVDSWTM >ENSMUSP00000042007.7 pep:known chromosome:GRCm38:11:52764634:53188538:1 gene:ENSMUSG00000036264.9 transcript:ENSMUST00000036796.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fstl4 description:follistatin-like 4 [Source:MGI Symbol;Acc:MGI:2443199] MKPGGFWPHLALLGVSLPAVLGWMDQGASRSPNMVPGESQAEETRGFEVTRREGLPSPGL SASCGKKLCSHGSRCLLNRTTGQPSCQCLEVCRPRYMPVCGSDGRLYGNHCELRRAACLL GKRIVSVHSKDCFLKGDMCTMAGYARLKNVLLALQSRRQPLPQGTPRQSLASQKRLLVES LFKDLDADGNGHLGSLELAQYVLKEQDMDGSLNRCSPSDLLRFDDYNSDGSLTLGEFYTA FQVIQLSLAPEDKVSVTTVTVGLSTVLTCAIRGDLRPPIIWKRNGLTLSFLGLEDINDFG EDGSLYITKVTTVHMGNYTCHALGHEQLVQTHVLQVNVPPVIRVYPETQAQEPGVAASLR CHAEGIPLPRIIWLKNGMDVSTQMSKQLSLLANGSELHIGSVRYEDTGAYTCIAKNEVGV DEDISSLFIEDSARKTLANILWREEGLSVGNMFYVFAEDGIVVIHPVDCEVQRRLKPTEK IFMSYEEICPRVEGDATQPCQWASAVNVRNRYIYVAQPALNRVLVVDVQAQKVLQSIGVD PLPVKLSYDKSHDQVWVLSWGDMHKSQPSLQVITEASTGQGQHLIRTPFAGVDNFFIPPT NLIINHIRFGFIFNKTDPAVHKVDLETLMALKTISLRHYGCMPQAMAHTHLGGYFFVQCQ QDTPTSTGPQLLIDSVTDSVLGPNSDITGTPHVSPDGRFIVSVSNKGPWLHVQEVTVRGE IQTLYDLKINPGISDLAFQHSFTEGSQYNAYATLDKEPDLLFLELSTGKMGRLKNLKEPP RGPAPTWGGPRRVLRDSGLFGQYLLTPAQESLFLVNGRQNALRCEVSGIKGAATVVWVGE V >ENSMUSP00000089609.2 pep:known chromosome:GRCm38:10:130210092:130211149:-1 gene:ENSMUSG00000045559.5 transcript:ENSMUST00000058123.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr827 description:olfactory receptor 827 [Source:MGI Symbol;Acc:MGI:3030661] MGDKERDNHSEVTDFIHHSEVTDFILVGIRVRPELHSVLFLLFLIIYGMVLLGNLSMIGI IVTDPRLNTPMYFFLGNLSVIDLSYSTVIVPKAMVNILSQKKTISFVGCAAQLFLYALFM VTEAFILAAMAYDRFIAICNPLLYSVRMSRSVCVQLVAGSYLCGWVSSILQISVTFSMSF CASRVIDHFYCDSNPIEKISCSNTFINKMVSLSLAVLIILPTIIVIVVSYMYIVSTVLKI HSSEGRKKAFSTCSSHLGVVSLLYGTVSFVYLTPPNNPELRKIASVCYILFTPMLNPLIY SLRNKDVKDAMKKVLCKQKVLL >ENSMUSP00000005019.5 pep:known chromosome:GRCm38:3:87948666:87953376:1 gene:ENSMUSG00000004885.5 transcript:ENSMUST00000005019.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crabp2 description:cellular retinoic acid binding protein II [Source:MGI Symbol;Acc:MGI:88491] MPNFSGNWKIIRSENFEEMLKALGVNMMMRKIAVAAASKPAVEIKQENDTFYIKTSTTVR TTEINFKIGEEFEEQTVDGRPCKSLVKWESGNKMVCEQRLLKGEGPKTSWSRELTNDGEL ILTMTADDVVCTRVYVRE >ENSMUSP00000056940.5 pep:known chromosome:GRCm38:8:105893567:105895023:1 gene:ENSMUSG00000044287.6 transcript:ENSMUST00000060167.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrn1l description:neuritin 1-like [Source:MGI Symbol;Acc:MGI:2443642] MMCNCCHCHWRRRCQRLPCALTLLLLLPLAVASEGPNRCDTIYQGFAECLIRLGDGMGRG GELQTVCRSWNDFHACASRVLSGCPEEAAAVWESLQQEARRAPHPDNLHILCGAPVSVRE RIAGPETNQETLRATAPALAPAPAPVLLAAALALACLLGPLA >ENSMUSP00000113445.1 pep:known chromosome:GRCm38:8:105893572:105895017:1 gene:ENSMUSG00000044287.6 transcript:ENSMUST00000118920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrn1l description:neuritin 1-like [Source:MGI Symbol;Acc:MGI:2443642] MMCNCCHCHWRRRCQRLPCALTLLLLLPLVASEGPNRCDTIYQGFAECLIRLGDGMGRGG ELQTVCRSWNDFHACASRVLSGCPEEAAAVWESLQQEARRAPHPDNLHILCGAPVSVRER IAGPETNQETLRATAPALAPAPAPVLLAAALALACLLGPLA >ENSMUSP00000068389.5 pep:known chromosome:GRCm38:8:13037308:13056676:1 gene:ENSMUSG00000031444.16 transcript:ENSMUST00000063820.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F10 description:coagulation factor X [Source:MGI Symbol;Acc:MGI:103107] MGSPVQLSLLCVVLASLLLPGKGVFINRERANNVLARTRRANSFFEEFKKGNLERECMEE ICSYEEVREIFEDDEKTKEYWTKYKDGDQCESSPCQNQGACRDGIGGYTCTCSEGFEGKN CELFVRKLCRLDNGDCDQFCREEQNSVVCSCASGYFLGNDGKSCISTAPFPCGKITTGRR KRSVALNTSDSELDLEDALLDEDFLSPTENPIELLNLNETQPERSSDDLVRIVGGRECKD GECPWQALLINEDNEGFCGGTILNEFYILTAAHCLHQARRFKVRVGDRNTEKEEGNEMVH EVDVVIKHNKFQRDTYDYDIAVLRLKTPITFRMNVAPACLPQKDWAESTLMTQKTGIVSG FGRTHEKGRQSNILKMLEVPYVDRNTCKLSTSFSITQNMFCAGYEAKLEDACQGDSGGPH VTRFKNTYYVTGIVSWGEGCARKGKYGIYTKVTTFLKWIDRSMKARVGPTAETPRTAGPP N >ENSMUSP00000033821.4 pep:known chromosome:GRCm38:8:13037322:13055900:1 gene:ENSMUSG00000031444.16 transcript:ENSMUST00000033821.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F10 description:coagulation factor X [Source:MGI Symbol;Acc:MGI:103107] MPVTATAVLDHTMGSPVQLSLLCVVLASLLLPGKGVFINRERANNVLARTRRANSFFEEF KKGNLERECMEEICSYEEVREIFEDDEKTKEYWTKYKDGDQCESSPCQNQGACRDGIGGY TCTCSEGFEGKNCELFVRKLCRLDNGDCDQFCREEQNSVVCSCASGYFLGNDGKSCISTA PFPCGKITTGRRKRSVALNTSDSELDLEDALLDEDFLSPTENPIELLNLNETQPERSSDD LVRIVGGRECKDGECPWQALLINEDNEGFCGGTILNEFYILTAAHCLHQARRFKVRVGDR NTEKEEGNEMVHEVDVVIKHNKFQRDTYDYDIAVLRLKTPITFRMNVAPACLPQKDWAES TLMTQKTGIVSGFGRTHEKGRQSNILKMLEVPYVDRNTCKLSTSFSITQNMFCAGYEAKL EDACQGDSGGPHVTRFKNTYYVTGIVSWGEGCARKGKYGIYTKVTTFLKWIDRSMKARVG PTAETPRTAGPPN >ENSMUSP00000116984.1 pep:known chromosome:GRCm38:8:13037587:13046147:1 gene:ENSMUSG00000031444.16 transcript:ENSMUST00000123768.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F10 description:coagulation factor X [Source:MGI Symbol;Acc:MGI:103107] MGSPVQLSLLCVVLASLLLPGKGVFINRERANNVLARTRRANSFFEEFKKGNLERECMEE ICSYEEVREIFEDDEKTKEYWTKYKDGDQCESSPCQNQGACRDGIGGYTCTCSEGFEGK >ENSMUSP00000121830.1 pep:known chromosome:GRCm38:8:13037838:13055835:1 gene:ENSMUSG00000031444.16 transcript:ENSMUST00000128418.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F10 description:coagulation factor X [Source:MGI Symbol;Acc:MGI:103107] MGSPVQLSLLCVVLASLLLPGKGVFINRERANNVLARTRRANSFFEEFKKGNLERECMEE ICSYEEVREIFEDDEKTKEYWTKYKDGDQCESSPCQNQGACRDGIGGYTCTCSEGFEGKN CELFVRKLCRLDNGDCDQFCREEQNSVVCSCASGYFLGNDGKSCISTAPFPCGKITTGRR KRSVALNTSDSELDLEDALLDEDFLSPTENPIELLNLNETQPERSSDDLVRIVGGRECKD GECPWQALLINEDNEGFCGGTILNEFYILTAAHCLHQARRFKVRVIGTQRRKKATRWCTR WTWSLSTTSFRGTPTTMISPC >ENSMUSP00000117312.1 pep:known chromosome:GRCm38:8:13037838:13055895:1 gene:ENSMUSG00000031444.16 transcript:ENSMUST00000152034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F10 description:coagulation factor X [Source:MGI Symbol;Acc:MGI:103107] MGSPVQLSLLCVVLASLLLPGKGVFINRERANNVLARTRRANSFFEEFKKGNLERECMEE ICSYEEVREIFEDDEKTKEYWTKYKDGDQCESSPCQNQGACRDGIGGYTCTCSEGFEGKN CELFVRKLCRLDNGDCDQFCREEQNSVVCSCASGYFLGNDGKSCISTAPFPCGKITTGRR KRSVALNTSDSELDLEDALLDEDFLSPTENPIELLNLNETQPERSSDDLVRIVGGRECKD GECPWQALLINEDNEGFCGGTILNEFYILTAAHCLHQARRFKVIGTQRRKKATRWCTRWT WSLSTTSFRGTPTTMISPC >ENSMUSP00000121915.1 pep:known chromosome:GRCm38:19:4007497:4012666:-1 gene:ENSMUSG00000037916.13 transcript:ENSMUST00000134479.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv1 description:NADH dehydrogenase (ubiquinone) flavoprotein 1 [Source:MGI Symbol;Acc:MGI:107851] MKTSGLRGRGGAGFPTGLKWSFMNKPSDGRPKYLVVNADEGEPGTCKDREIMRHDPHKLV EGCLVGGRAMGARAAYIYIRGEFYNEASNLQVAIREAYEAGLIGKNACGSDYDFDVFVVR GAGAYICGEETALIESIEGKQGKPRLKPPFPADVGVFGCPTTVANVETVAVSPTICRRGG TWFAGFGRERNSGTKLFNISGHVNHPCTVEEEMSVPLKELIEKHAGGVTGGWDNLLAVIP GGSSTPLIPKSVCETVLMDFDALVQAQTGLGTAAVIVMDRSTDIVKAIARLIEFYKHESC GQCTPCREGVDWMNKVMARFVKGDARPAEIDSLWEISKQIEGHTICALGDGAAWPVQGLI RHFRPELEDRMQRFAQQHRAWQAAS >ENSMUSP00000042967.7 pep:known chromosome:GRCm38:19:4007497:4012806:-1 gene:ENSMUSG00000037916.13 transcript:ENSMUST00000042497.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv1 description:NADH dehydrogenase (ubiquinone) flavoprotein 1 [Source:MGI Symbol;Acc:MGI:107851] MLAARHFLGGLVPVRVSVRFSSGTTAPKKTSFGSLKDEDRIFTNLYGRHDWRLKGALRRG DWYKTKEILLKGPDWILGEMKTSGLRGRGGAGFPTGLKWSFMNKPSDGRPKYLVVNADEG EPGTCKDREIMRHDPHKLVEGCLVGGRAMGARAAYIYIRGEFYNEASNLQVAIREAYEAG LIGKNACGSDYDFDVFVVRGAGAYICGEETALIESIEGKQGKPRLKPPFPADVGVFGCPT TVANVETVAVSPTICRRGGTWFAGFGRERNSGTKLFNISGHVNHPCTVEEEMSVPLKELI EKHAGGVTGGWDNLLAVIPGGSSTPLIPKSVCETVLMDFDALVQAQTGLGTAAVIVMDRS TDIVKAIARLIEFYKHESCGQCTPCREGVDWMNKVMARFVKGDARPAEIDSLWEISKQIE GHTICALGDGAAWPVQGLIRHFRPELEDRMQRFAQQHRAWQAAS >ENSMUSP00000123069.1 pep:known chromosome:GRCm38:19:4007562:4012673:-1 gene:ENSMUSG00000037916.13 transcript:ENSMUST00000128787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv1 description:NADH dehydrogenase (ubiquinone) flavoprotein 1 [Source:MGI Symbol;Acc:MGI:107851] MLAARHFLGGLVPVRTAPKKTSFGSLKDEDRIFTNLYGRHDWRLKGALRRGDWYKTKEIL LKGPDWILGEMKTSGLRGRGGAGFPTGLKWSFMNKPSDGRPKYLVVNADEGEPGTCKDRE IMRHDPHKLVEGCLVGGRAMGARAAYIYIRGEFYNEASNLQVAIREAYEAGLIGKNACGS DYDFDVFVVRGAGAYICGEETALIESIEGKQGKPRLKPPFPADVGVFGCPTTVANVETVA VSPTICRRGGTWFAGFGRERNSGTKLFNISGHVNHPCTVEEEMSVPLKELIEKHAGGVTG GWDNLLAVIPGGSSTPLIPKSVCETVLMDFDALVQAQTGLGTAAVIVMDRSTDIVKAIAR LIEFYKHESCGQCTPCREGVDWMNKVMARFVKGDARPAEIDSLWEISKQIEGHTICALGD GAAWPVQGLIRHFRPELEDRMQRFAQQHRAWQAAS >ENSMUSP00000120223.1 pep:known chromosome:GRCm38:19:4009367:4012708:-1 gene:ENSMUSG00000037916.13 transcript:ENSMUST00000133474.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv1 description:NADH dehydrogenase (ubiquinone) flavoprotein 1 [Source:MGI Symbol;Acc:MGI:107851] MKTSGLRGRGGAGFPTGLKWSFMNKPSDGRPKYLVVNADEGEPGTCKDREIMRHDPHKLV EGCLVGGRAMGARAAYIYIRGEFYNEASNLQVAIREAYEAGLIGKNACGSDYDFDVFVVR GAGAYICGEETALIESIEGKQGKPRL >ENSMUSP00000115653.1 pep:known chromosome:GRCm38:19:4009418:4012665:-1 gene:ENSMUSG00000037916.13 transcript:ENSMUST00000129706.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufv1 description:NADH dehydrogenase (ubiquinone) flavoprotein 1 [Source:MGI Symbol;Acc:MGI:107851] MLAARHFLGGLVPVRVSVRFSSGTTAPKKTSFGSLKDEDRIFTNLYGRHDWRLKGALRRG DWYKTKEILLKGPDWILGEMKTSGLRGRGGAGFPTGLKWSFMNKPSDGRTPQAQVSGGEC >ENSMUSP00000123680.1 pep:known chromosome:GRCm38:19:4009462:4012759:-1 gene:ENSMUSG00000037916.13 transcript:ENSMUST00000136921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufv1 description:NADH dehydrogenase (ubiquinone) flavoprotein 1 [Source:MGI Symbol;Acc:MGI:107851] MKTSGLRGRGGAGFPTGLKWSFMNKPSDGRPKYLVVNADEGEPGTCKDREIMRHDPHKLV EGCLVGGRAMGARAAYIYIRGEFYNEASNLQVAIREAYEAGLIGKNACGSDYDFD >ENSMUSP00000140996.1 pep:known chromosome:GRCm38:19:11301249:11314454:-1 gene:ENSMUSG00000099398.1 transcript:ENSMUST00000187467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a14 description:membrane-spanning 4-domains, subfamily A, member 14 [Source:MGI Symbol;Acc:MGI:2686122] MESPSEEKRRNHVITIDPNETVLTAYPYRPHSSLLDFLKGEPKLLGAIQILLSLVIVGFG NILALNFTFSSKEFPLVILTGYPFWGAVIFLLTGLVTMSHDKPRRILKQGVTTMNVLSSL TALAGIALTLLSFTQQHRVCQTPSLEGPCVVGETLLLGILSVLLIITIVELSISVTVVSL RSRCWTSPREAVFFFPSEGAQNTEHPAPEENNTLQFEFQEKSSKDNTASSIKSVFLGGYA FFKLRVSRISSTPKTIQQKSDKGTSFMYVPEEQEATPPLSPEQEIKLNALPPPLTPYPSE NIPSQKDSTTDHLNDADLSSIIHQTSDMQSNLLDYENASVTRFKTPSSHNSLHFSPANLS SQSLMASLSTQVLQYKQPSFHISQSYDLISEYFLSENIPFQDNQSQDTPSQYTPSQDIQF QDMLAQDISFQEIQSQESPNQDVLYQETPPQETPSQGTPYQDLPYQVLLIKDTSPQGTPY QYILTKEIPFQETPSQGTLSQESPSQWTLSKGTPSQGTSPKGTPSQVTPPQGTPSQGTPS EGTSLQVSPSEVTPPQGTPSEVTPSQGTPSEGTSLQVSPSEVTPPQGTPSEMTPPQGTPS EGTSPQGTPSEETPPQGTPSEGTLPQGTPSQGTPPQGTPSEGTPPQGTPSQGTPPQGTPS EGTPSQETLPRETPSEGTPPQGTPSEGTPSQETVPQETPPQKLSFQDILTKDRSSQETAY QETPFQDLVTQNRPSQDSQYKETPSQEIFFQDTPFQNTPSQNIQYQDILSQDKISQGSQT QNSVSQEMASSDLQVLNTQVQIQQYPEVFYRDIRTEVMELTQEWKSNQGKKPTRRLSLSL PGKHGQVHPKRYSVDLQVKSEKPRRYSEDLQSKTSRRKSIDQQIKAWISPKKNTTEKQDA YTQTTDQLPHQQAEDQQTEDQQAQDQQADDQQAKEEVPVQQSQDEQIKDQKSIENLLPEE HPNDREDEGQQSDKEQPPEEQAQVQPVEDQQPKEQKAPNTQLQNWQGGQVLVKRAPRQLC DNWETQSFQFTEKSCSFWSTPSWQPISQRSQDWISQGWRNKDWKAQEWQFEVKPSLDWES QELLERESLRQRALYQQIQPQTTIVHQTPGHQLQNYIFQVGLCQGSRQQDSESGVLIEDV NEDDVQSREREPENTEETCQKPTDQQSEDMRPDNYPVSCQSLVPYTYVTCLSNIASEQEV QNNTPCSGSSKDLNTTSSTSYQRDQQQSEDSD >ENSMUSP00000088493.5 pep:known chromosome:GRCm38:3:87971093:87980451:1 gene:ENSMUSG00000004891.16 transcript:ENSMUST00000090973.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nes description:nestin [Source:MGI Symbol;Acc:MGI:101784] MEGCVGEESFQMWELNRRLEAYLTRVKTLEEQNQLLSAELGGLRAQSGDASWRARADDEL AALRVLVDQRWREKHEAEVQRDNLAEELESVAGRCQQVRLARERTIEEAACSRRALEAEK NARGWLSTQAAELERELEALRASHEEERAHLNAQAACTPRRPPAPAHASPIRAPEVEELA RRLGEVWRGAVRDYQERVAHMESSLGQARERLGQAVRGARESRLEVQQLQADRDSLQERR EALEQRLEGRWQDRLQATEKFQLAVEALEQEKQGLQSQIAQILEGGQQLAHLKMSLSLEV ATYRTLLEAENSRLQTPGRSSQASLGFPDPKLKLHFLGIPEDQHLGSVLPVLSPTSFSSP LPNTLETPVTAFLKTQEFLKARTPTLASTPIPPMSEAPYPKNAEVRAQDVPHSLLQGGRQ QAPEPLWAEATVPSSTGVLPELEEPGGEQPDHFPDDPTSLAPPLNPHHSILEAKDRESSE SRVSSIFQEEEGQIWELVKKEAATEVKVENSLAQEIQESGLDTEEIQDSQGPLQMETLEA LGDEPLMSLKTQNHETPGKENCNSSIEENSGTVKSPEKEKQTPLKSLEEKNVEAEKTLEN GVLELSKPLGEEEPRMEDQELMSPEHTLETVSFLGKENQEVVRSSEEQNLESLITFKEES QYPLGGPEAEDQMLERLVEKEDQRFPRSPEEDQQAFRPLEKENQEPLRFEEAEDQVLERL IEKERQESLKSPEEEDQQAFRLLEKENQEPLRFEDAEDQVLERLIEKERQESLKSPEEED QQAFRLLEKENQEPLRFEEAEDQVLERLVEKESQESLKSPEEEDQRTGKPLEKENQESLR SLDENQETIVLLESKNQRPLRSLEVEEEEQRIVKPLEKVSQVSLESLEKENVQSPRYLEE DDHMIKSLLEDKTHEILGSLEDRNGENFIPPENETQGSLRPPEEEDQRIVNHLEKESQEF LRSPEAEEEEEQVMVRSLEGENHDPLSSVVKEEQMAESKLENESQDSRKSLEDESQETFG SLEKENLESLRSLAGQDQEEQKLEQETQQPLRAVEDEQMTVNPPEKVDPELPKPLRNDQE VVRSLDKENQESLVSLNEGGMETVKSSETENIESLETVGECLGRRKSVDTQEPLWSTEVT SETIEPLEDETQEPLGCVDENQEVLTPLERESQELRSLGKWNPETVESPGGVEDSQQCLE VEEGPEREQHQESLRSLGEVEWELPGSGSQQRWEDVVEDGEGQEASLGATGVETEDKAEL HLRGQGGEEKAVEEGELLQDAVGEAWSLGSSEPKEQRVPAEPLDDLEGQPEQTGTLEVPV AQGMPEATEQDEDRAQAGEQDSVEVTLGLEAARAGLELEQEVVGLEDPRHFAREEAIHPS LGEESVKAKIDQGLEEPGKEPKEAGALDSGIPELPKTSSETLECKGWEESGEGWGEEEAS LETSDHEGSHAPQPRPPKTEEDEGLQAALTVPGPKLLEPCSPIPILTDAHELQPQAEGIQ EAGWQPEAGTEALGRVEDEPEFGRGEIPEGLQDWEEGREDSEADELGETLPDSTPLGLYL KSPASPKWEQAGEQRLFPQGEARKEGWSPAALAAQGLSDPPEEEQQGHDSDLSSEEFEDL GTEASLLPGVPKEVSDHLGQEPPVLQPACWDQGGESDGFADEEESGEEGEEEDADEEEGA ESGTQWWGPGPSGGGVKVQDVTQRGDLEHESVGDSGLWDDGLSGAAANVLVTALETVSQD SAEPSGSEGSESASLEGEEGQAIDHLDAPQEVTSVVPGAGDTFDISGQGPNLESEQVNGR MENGLEQAEGQVVLHGDEDQGIPLQEQGTLKAPLVGSPVHLGPSQPLKFTLSGVDGDSWS SGED >ENSMUSP00000125571.1 pep:known chromosome:GRCm38:3:87971129:87980451:1 gene:ENSMUSG00000004891.16 transcript:ENSMUST00000160694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nes description:nestin [Source:MGI Symbol;Acc:MGI:101784] MEGCVGEESFQMWELNRRLEAYLTRVKTLEEQNQLLSAELGGLRAQSGDASWRARADDEL AALRVLVDQRWREKHEAEVQRDNLAEELESVAGRCQQVRLARERTIEEAACSRRALEAEK NARGWLSTQAAELERELEALRASHEEERAHLNAQAACTPRRPPAPAHASPIRAPEVEELA RRLGEVWRGAVRDYQERVAHMESSLGQARERLGQAVRGARESRLEVQQLQADRDSLQERR EALEQRLEGRWQDRLQATEKFQLAVEALEQEKQGLQSQIAQILEGGQQLAHLKMSLSLEV ATYRTLLEAENSRLQTPGRSSQASLGFPDPKLKLHFLGIPEDQHLGSVLPVLSPTSFSSP LPNTLETPVTAFLKTQEFLKARTPTLASTPIPPMSEAPYPKNAEVRAQDVPHSLLQGGRQ QAPEPLWAEATVPSSTGVLPELEEPGGEQPDHFPDDPTSLAPPLNPHHSILEAKDRESSE SRVSSIFQEEEGQIWELVKKEAATEVKVENSLAQEIQESGLDTEEIQDSQGPLQMETLEA LGDEPLMSLKTQNHETPGKENCNSSIEENSGTVKSPEKEKQTPLKSLEEKNVEAEKTLEN GVLELSKPLGEEEPRMEDQELMSPEHTLETVSFLGKENQEVVRSSEEQNLESLITFKEES QYPLGGPEAEDQMLERLVEKEDQRFPRSPEEDQQAFRPLEKENQEPLRFEEAEDQVLERL IEKERQESLKSPEEEDQQAFRLLEKENQEPLRFEEAEDQVLERLVEKESQESLKSPEEED QRTGKPLEKENQESLRSLDENQETIVLLESKNQRPLRSLEVEEEEQRIVKPLEKVSQVSL ESLEKENVQSPRYLEEDDHMIKSLLEDKTHEILGSLEDRNGENFIPPENETQGSLRPPEE EDQRIVNHLEKESQEFLRSPEAEEEEEQVMVRSLEGENHDPLSSVVKEEQMAESKLENES QDSRKSLEDESQETFGSLEKENLESLRSLAGQDQEEQKLEQETQQPLRAVEDEQMTVNPP EKVDPELPKPLRNDQEVVRSLDKENQESLVSLNEGGMETVKSSETENIESLETVGECLGR RKSVDTQEPLWSTEVTSETIEPLEDETQEPLGCVDENQEVLTPLERESQELRSLGKWNPE TVESPGGVEDSQQCLEVEEGPEREQHQESLRSLGEVEWELPGSGSQQRWEDVVEDGEGQE ASLGATGVETEDKAELHLRGQGGEEKAVEEGELLQDAVGEAWSLGSSEPKEQRVPAEPLD DLEGQPEQTGTLEVPVAQGMPEATEQDEDRAQAGEQDSVEVTLGLEAARAGLELEQEVVG LEDPRHFAREEAIHPSLGEESVKAKIDQGLEEPGKEPKEAGALDSGIPELPKTSSETLEC KGWEESGEGWGEEEASLETSDHEGSHAPQPRPPKTEEDEGLQAALTVPGPKLLEPCSPIP ILTDAHELQPQAEGIQEAGWQPEAGTEALGRVEDEPEFGRGEIPEGLQDWEEGREDSEAD ELGETLPDSTPLGLYLKSPASPKWEQAGEQRLFPQGEARKEGWSPAALAAQGLSDPPEEE QQGHDSDLSSEEFEDLGTEASLLPGVPKEVSDHLGQEPPVLQPACWDQGGESDGFADEEE SGEEGEEEDADEEEGAESGTQWWGPGPSGGGVKVQDVTQRGDLEHESVGDSGLWDDGLSG AAANVLVTALETVSQDSAEPSGSEGSESASLEGEEGQAIDHLDAPQEVTSVVPGAGDTFD ISGQGPNLESEQVNGRMENGLEQAEGQVVLHGDEDQGIPLQEQGTLKAPLVGSPVHLGPS QPLKFTLSGVDGDSWSSGED >ENSMUSP00000047954.5 pep:known chromosome:GRCm38:2:170482708:170497145:-1 gene:ENSMUSG00000038567.5 transcript:ENSMUST00000038824.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp24a1 description:cytochrome P450, family 24, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88593] MSCPIDKRRPLIAFLRRLRDLGQPPRSVTSKAHVKRAPKEVPLCPLMTDGETRNVTSLPG PTNWPLLGSLLEIFWKGGLKKQHDTLAEYHKKYGQIFRMKLGSFDSVHLGSPSLLEALYR TESAHPQRLEIKPWKAYRDHRNEAYGLMILEGQEWQRVRSAFQKKLMKPVEIMKLDKKIN EVLADFMGQIDELRDERGRIQDLYSELNKWSFESICLVLYEKRFGLLQKDTEEEALTFIA AIKTMMSTFGKMMVTPVELHKRLNTKVWQAHTLAWDTIFKSVKPCIDHRLERYSQQPGAD FLCDIYQQDHLSKKELYAAVTELQLAAVETTANSLMWILYNLSRNPQVQQRLLREIQSVL PDNQTPRAEDVRNMPYLKACLKESMRLTPSVPFTTRTLDKPTVLGEYTLPKGTVLTLNTQ VLGSSEDNFEDADKFRPERWLEKEKKINPFAHLPFGVGKRMCIGRRLAELQLHLALCWII QKYNIVATDSEPVEMLHLGILVPSRELPIAFCPR >ENSMUSP00000053818.8 pep:known chromosome:GRCm38:3:108092789:108101432:1 gene:ENSMUSG00000009108.15 transcript:ENSMUST00000058669.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnat2 description:guanine nucleotide binding protein, alpha transducing 2 [Source:MGI Symbol;Acc:MGI:95779] MGSGISAEDKELARRSKELEKKLQEDADKEAKTVKLLLLGAGESGKSTIVKQMKIIHQDG YSPEECLEFKSVIYGNVLQSILAIIRAMSTLGIDYAEPSCADAGRQLNNLADSTEEGTMP PELVDVIRKLWKDGGVQACFDRAAEFQLNDSASYYLNQLDRITDPNYLPNEQDVLRSRVK TTGIIETKFSVKDLNFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDMVLVEDDEV NRMHESLHLFNSICNHKFFAATSIVLFLNKKDLFEEKIKKVHLSICFPEYDGNNSYEDAG NYIKSQFLDLNMRKDVKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF >ENSMUSP00000123125.1 pep:known chromosome:GRCm38:3:108092880:108096384:1 gene:ENSMUSG00000009108.15 transcript:ENSMUST00000145101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnat2 description:guanine nucleotide binding protein, alpha transducing 2 [Source:MGI Symbol;Acc:MGI:95779] MGSGISAEDKELARRSKELEKKLQEDADKEAKTVKLLLLGAGESGKSTIVKQMKIIHQDG YSPEECLEFKSVIYGNVLQSILAIIRAMSTLGIDYAEPSCADAGRQLNNLADS >ENSMUSP00000120425.1 pep:known chromosome:GRCm38:3:108093138:108098417:1 gene:ENSMUSG00000009108.15 transcript:ENSMUST00000151326.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnat2 description:guanine nucleotide binding protein, alpha transducing 2 [Source:MGI Symbol;Acc:MGI:95779] MGSGISAEDKELARRSKELEKKLQEDADKEAKTVKLLLLGAGESGKSTIVKQMKIIHQDG YSPEECLEFKSVIYGNVLQSILAIIRAMSTLGIDYAEPSCADAGRQLNNLADSTEEGTMP PELVDVIRKLWKDGGVQACFDRAAEFQLNDSASYYLNQLDRITDPNYLPNEQDVLRSRVK TTGIIETKFSVKDLNFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDM >ENSMUSP00000121533.2 pep:known chromosome:GRCm38:3:108094448:108098432:1 gene:ENSMUSG00000009108.15 transcript:ENSMUST00000131856.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnat2 description:guanine nucleotide binding protein, alpha transducing 2 [Source:MGI Symbol;Acc:MGI:95779] MKIIHQDGYSPEECLEFKSVIYGNVLQSILAIIRAMSTLGIDYAEPSCAVRDSCYLVQGG SRRRSRPETGRPQGESGVRSNARCFPLSKFPEPAWKATDLLPAHSQSDFWITQDAGRQLN NLADSTEEGTMPPELVDVIRKLWKDGGVQACFDRAAEFQLNDSASYYLNQLDRITDPNYL PNEQDVLRSRVKTTGIIETKFSVKDLNFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALS AYDMVLVED >ENSMUSP00000080640.3 pep:known chromosome:GRCm38:3:135825279:135888394:1 gene:ENSMUSG00000053897.15 transcript:ENSMUST00000081978.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a8 description:solute carrier family 39 (metal ion transporter), member 8 [Source:MGI Symbol;Acc:MGI:1914797] MAPGRAVAGLLLLAATSLGHPSEGPELAFSEDVLSVFGANRSLSAAQLGRLLERLGAASQ QGALDLGQLHFNQCLSAEDIFSLHGFSNVTQITSSNFSAICPAILQQLNFHPCEDLRKHN AKPSLSEVWGYGFLSVTIINLASLLGLILTPLIKKSYFPKILTYFVGLAIGTLFSNAIFQ LIPEAFGFNPKIDNYVEKAVAVFGGFYMLFFVERTLKMLLKTYGQNDHTHFRNDDFGSKE KTHQPKTLPLPAVNGVTCYANPAVTEPNGHIHFDTVSVVSLQDGKTEPSSCTCLKGPKLS EIGTIAWMITLCDALHNFIDGLAIGASCTLSLLQGLSTSIAILCEEFPHELGDFVILLNA GMSTRQALLFNFLSACSCYVGLAFGILVGNNFAPNIIFALAGGMFLYISLADMFPEMNDM LREKVTGRQTDFTFFMIQNAGMLTGFTAILLITLYAGDIELQ >ENSMUSP00000029810.5 pep:known chromosome:GRCm38:3:135826090:135888386:1 gene:ENSMUSG00000053897.15 transcript:ENSMUST00000029810.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a8 description:solute carrier family 39 (metal ion transporter), member 8 [Source:MGI Symbol;Acc:MGI:1914797] MAPGRAVAGLLLLAATSLGHPSEGPELAFSEDVLSVFGANRSLSAAQLGRLLERLGAASQ QGALDLGQLHFNQCLSAEDIFSLHGFSNVTQITSSNFSAICPAILQQLNFHPCEDLRKHN AKPSLSEVWGYGFLSVTIINLASLLGLILTPLIKKSYFPKILTYFVGLAIGTLFSNAIFQ LIPEAFGFNPKIDNYVEKAVAVFGGFYMLFFVERTLKMLLKTYGQNDHTHFRNDDFGSKE KTHQPKTLPLPAVNGVTCYANPAVTEPNGHIHFDTVSVVSLQDGKTEPSSCTCLKGPKLS EIGTIAWMITLCDALHNFIDGLAIGASCTLSLLQGLSTSIAILCEEFPHELGDFVILLNA GMSTRQALLFNFLSACSCYVGLAFGILVGNNFAPNIIFALAGGMFLYISLADMFPEMNDM LREKVTGRQTDFTFFMIQNAGMLTGFTAILLITLYAGDIELQ >ENSMUSP00000128245.1 pep:known chromosome:GRCm38:3:135825790:135888572:1 gene:ENSMUSG00000053897.15 transcript:ENSMUST00000167390.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a8 description:solute carrier family 39 (metal ion transporter), member 8 [Source:MGI Symbol;Acc:MGI:1914797] MAPGRAVAGLLLLAATSLGHPSEGPELAFSEDVLSVFGANRSLSAAQLGRLLERLGAASQ QGALDLGQLHFNQCLSAEDIFSLHGFSNVTQITSSNFSAICPAILQQLNFHPCEDLRKHN AKPSLSEVWGYGFLSVTIINLASLLGLILTPLIKKSYFPKILTYFVGLAIGTLFSNAIFQ LIPEAFGFNPKIDNYVEKAVAVFGGFYMLFFVERTLKMLLKTYGQNDHTHFRNDDFGSKE KTHQPKTLPLPAVNGVTCYANPAVTEPNGHIHFDTVSVVSLQDGKTEPSSCTCLKGPKLS EIGTIAWMITLCDALHNFIDGLAIGASCTLSLLQGLSTSIAILCEEFPHELGDFVILLNA GMSTRQALLFNFLSACSCYVGLAFGILVGNNFAPNIIFALAGGMFLYISLADMFPEMNDM LREKVTGRQTDFTFFMIQNAGMLTGFTAILLITLYAGDIELQ >ENSMUSP00000136634.1 pep:known chromosome:GRCm38:3:135825657:135888572:1 gene:ENSMUSG00000053897.15 transcript:ENSMUST00000180196.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a8 description:solute carrier family 39 (metal ion transporter), member 8 [Source:MGI Symbol;Acc:MGI:1914797] MAPGRAVAGLLLLAATSLGHPSEGPELAFSEDVLSVFGANRSLSAAQLGRLLERLGAASQ QGALDLGQLHFNQCLSAEDIFSLHGFSNVTQITSSNFSAICPAILQQLNFHPCEDLRKHN AKPSLSEVWGYGFLSVTIINLASLLGLILTPLIKKSYFPKILTYFVGLAIGTLFSNAIFQ LIPEAFGFNPKIDNYVEKAVAVFGGFYMLFFVERTLKMLLKTYGQNDHTHFRNDDFGSKE KTHQPKTLPLPAVNGVTCYANPAVTEPNGHIHFDTVSVVSLQDGKTEPSSCTCLKGPKLS EIGTIAWMITLCDALHNFIDGLAIGASCTLSLLQGLSTSIAILCEEFPHELGDFVILLNA GMSTRQALLFNFLSACSCYVGLAFGILVGNNFAPNIIFALAGGMFLYISLADMFPEMNDM LREKVTGRQTDFTFFMIQNAGMLTGFTAILLITLYAGDIELQ >ENSMUSP00000113334.1 pep:known chromosome:GRCm38:X:26672777:26702638:-1 gene:ENSMUSG00000071788.5 transcript:ENSMUST00000119649.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14525 description:predicted gene 14525 [Source:MGI Symbol;Acc:MGI:3802008] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQEALKLSECSQSQTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDSEETL >ENSMUSP00000039134.9 pep:known chromosome:GRCm38:8:105880881:105893225:1 gene:ENSMUSG00000036270.16 transcript:ENSMUST00000040254.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edc4 description:enhancer of mRNA decapping 4 [Source:MGI Symbol;Acc:MGI:2446249] MASCASIDIEDATQHLRDILKLDRPAGGSNAESQRPSSAYNGDLNGLLVPDPLSSGDGNS TNKPGIRTMPPINLQEKQVICLSGDDSSTCIGILAKEVEIVASSDSSISSKARGSNKVKI QPVAKYDWEQKYYYGNLIAVSNSFLAYAIRAANNGSAMVRVISVSTSERTLLKGFTGSVA DLAFAHLNSPQLACLDEAGNLFVWRLALVKGKIQEEILVHIRQPEGTALNHFRRIIWCPF IPEESEDCCEESSPTVALLHEDRAEVWDLDMLRSSHNTWPVDVSQIKQGFIVVKGHSTCL SEGALSPDGTVLATASHDGFVKFWQIYIEGQDEPRCLHEWKPHDGRPLSCLLFCDNHKKQ DPEVPFWRFLITGADQNRELKMWCTVSWTCLQTIRFSPDIFSSVSVPPSLKVCLDLSAEY LILSDVQRKVLYVMELLQNQDEGRACFSSISEFLLTHPVLSFGIQVVSRCRLRHTEVLPA EEENDSLGTESSHGAGALESAAGVLIKLFCVHTKALQDVQIRFQPQLNPDVVAPLSTHTA HEDFTFGESRPELGSEGLASAAHGSQPDLRRIVELPAPADFLSLSSETKPKLMTPDAFMT PTASLQQISASPSSSSSSSSSSSSSSSSSSSSLTAVSAVSSSSAMDPSLPRPPEELTLSP KLQLDGSLTLNSSSSSLQASPRSLLPGLLPGPADKLISKGPGQVSTAASALSLDLQEVEP LGLPQASPSRTRSPDVISSASTALSQDIPEIASEALSRGFGSSVPEGLIEPDSMASAASA LHLLSPRPRQGPELGSQLGLDGGPGDGDRHSTPSLLEAALTQEVATPDSQVWPTAPDITR ETCSTLTESPRNGLQEKHKSLAFHRPPYHLLQQRDSQDTSAEQSDHDDEVASLASASGGF GSKIPTPRLPSKDWKTKGSPRTSPKLKRKSKKDDGDSAVGSRLTEHQVAEPPEDWPALIW QQQRELAELWHNQEELLQRLCAQLEGLQSTVTDHVERALETRHEQEQRRLERALAEGQQR GGQLQEQLTQQLSQALSSAVAGRLERSVRDEIKKTVPPCVSRSLEPVAGQLSNSVATKLT AVEGSMKENISKLLKSKNLTDAIARAAADTLQGPMQAAYREAFQSVVLPAFEKSCQAMFQ QINDSFRLGTQEYLQQLESHMKSRKAREQEAREPVLAQLRGLVSTLQSATEQMAATVSSS VRAEVQHQLHVAVGSLQESILAQVQRIVKGEVSVALKEQQATVTSSIMQAMRSAAGTPVP SAHLDCQAQQAHILQLLQQGHLNQAFQQALTAADLNLVLYVCETVDPAQVFGQPPCPLSQ PVLLSLIQQLASDLGTRSDLKLSYLEEAVMHLDHSDPITRDHMGSVMAQVRQKLFQFLQA DPHNSLSKAARRLSLMLHGLVTPSLP >ENSMUSP00000113854.1 pep:known chromosome:GRCm38:8:105880951:105893208:1 gene:ENSMUSG00000036270.16 transcript:ENSMUST00000119261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edc4 description:enhancer of mRNA decapping 4 [Source:MGI Symbol;Acc:MGI:2446249] MASCASIDIEDATQHLRDILKLDRPAGGSNAESQRPSSAYNGDLNGLLVPDPLSSGDGNS TNKPGIRTMPPINLQEKQVICLSGDDSSTCIGILAKEVEIVASSDSSISSKARGSNKVKI QPVAKYDWEQKYYYGNLIAVSNSFLAYAIRAANNGSAMVRVISVSTSERTLLKGFTGSVA DLAFAHLNSPQLACLDEAGNLFVWRLALVKGKIQEEILVHIRQPEGTALNHFRRIIWCPF IPEESEDCCEESSPTVALLHEDRAEVWDLDMLRSSHNTWPVDVSQIKQGFIVVKGHSTCL SEGALSPDGTVLATASHDGFVKFWQIYIEGQDEPRCLHEWKPHDGRPLSCLLFCDNHKKQ DPEVPFWRFLITGADQNRELKMWCTVSWTCLQTIRFSPDIFSSVSVPPSLKVCLDLSAEY LILSDVQRKVLYVMELLQNQDEGRACFSSISEFLLTHPVLSFGIQVVSRCRLRHTEVLPA EEENDSLGTESSHGAGALESAAGVLIKLFCVHTKALQDVQIRFQPQLNPDVVAPLSTHTA HEDFTFGESRPELGSEGLASAAHGSQPDLRRIVELPAPADFLSLSSETKPKLMTPDAFMT PTASLQQISASPSSSSSSSSSSSSSSSSSSSSLTAVSAVSSSSAMDPSLPRPPEELTLSP KLQLDGSLTLNSSSSSLQASPRSLLPGLLPGPADKLISKGPGQVSTAASALSLDLQEVEP LGLPQASPSRTRSPDVISSASTALSQDIPEIASEALSRGFGSSVPEGLIEPDSMASAASA LHLLSPRPRQGPELGSQLGLDGGPGDGDRHSTPSLLEAALTQEVATPDSQVWPTAPDITR ETCSTLTERPPYHLLQQRDSQDTSAEQSDHDDEVASLASASGGFGSKIPTPRLPSKDWKT KGSPRTSPKLKRKSKKDDGDSAVGSRLTEHQVAEPPEDWPALIWQQQRELAELWHNQEEL LQRLCAQLEGLQSTVTDHVERALETRHEQEQRRLERALAEGQQRGGQLQEQLTQQLSQAL SSAVAGRLERSVRDEIKKTVPPCVSRSLEPVAGQLSNSVATKLTAVEGSMKENISKLLKS KNLTDAIARAAADTLQGPMQAAYREAFQSVVLPAFEKSCQAMFQQINDSFRLGTQEYLQQ LESHMKSRKAREQEAREPVLAQLRGLVSTLQSATEQMAATVSSSVRAEVQHQLHVAVGSL QESILAQVQRIVKGEVSVALKEQQATVTSSIMQAMRSAAGTPVPSAHLDCQAQQAHILQL LQQGHLNQAFQQALTAADLNLVLYVCETVDPAQVFGQPPCPLSQPVLLSLIQQLASDLGT RSDLKLSYLEEAVMHLDHSDPITRDHMGSVMAQVRQKLFQFLQADPHNSLSKAARRLSLM LHGLVTPSLP >ENSMUSP00000114285.1 pep:known chromosome:GRCm38:8:105881077:105894908:1 gene:ENSMUSG00000036270.16 transcript:ENSMUST00000136048.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Edc4 description:enhancer of mRNA decapping 4 [Source:MGI Symbol;Acc:MGI:2446249] MASCASIDIEDATQHLRDILKLDRPAGGSNAESQRPSSAYNGDLNGLLVPDPLSSGDGNS TNKPGIRTMPPINLQEKQVICLSGDDSSTCIGILAKEVEIVASSDSSISSKARGSNKVKI QPVAKYDWEQKYYYGNLIAVSNSFLAYAIRAANNGSAMVRVISVSTSERTLLKGFTGSVA DLAFAHLNSPQLACLDEAGNLFVWRLALVKGKIQEEILVHIRQPEGTALNHFRRIIWCPF IPEESEDCCEESSPTVALLHEDRAEVWDLDMLRSSHNTWPVDVSQIKQGFIVVKGHSTCL SEGALSPDGTVLATASHDGFVKFWQIYIEGQDEPSFSPDIFSSVSVPPSLKVCLDLSAEY LILSDVQRKVLYVMELLQNQDEGRACFSSISEFLLTHPVLSFGIQVVSRCRLRHTEVLPA EEENDSLGTESSHGAGALESAAGVLIKLFCVHTKALQDVQIRFQPQLNPDVVAPLSTHTA HEDFTFGESRPELGSEGLASAAHGSQPDLRRIVELPAPADFLSLSSETKPKLMTPDAFMT PTASLQQISASPSSSSSSSSSSSSSSSSSSSSLTAVSAVSSSSAMDPSLPRPPEELTLSP KLQLDGSLTLNSSSSSLQASPRSLLPGLLPGPADKLISKGPGQVSTAASALSLDLQEVEP LGLPQASPSRTRSPDVISSASTALSQDIPEIASEALSRGFGSSVPEGLIEPDSMASAASA LHLLSPRPRQGPELGSQLGLDGGPGDGDRHSTPSLLEAALTQEVATPDSQVWPTAPDITR ETCSTLTERNGLQEKHKSLAFHRPPYHLLQQRDSQDTSAEQSDHDDEVASLASASGGFGS KIPTPRLPSKDWKTKGSPRTSPKLKRKSKKDDGDSAVGSRLTEHQVAEPPEDWPALIWQQ QRELAELWHNQEELLQRLCAQLEGLQSTVTDHVERALETRHEQERILGVVAQHGKRRLER ALAEGQQRGGQLQEQLTQQLSQALSSAVAGRLERSVRDEIKKTVPPCVSRSLEPVAGQLS NSVATKLTAVEGSMKENISKLLKSKNLTDAIARAAADTLQGPMQAAYREAFQSVVLPAFE KSCQAMFQQINDSFRLGTQEYLQQLESHMKSRKAREQEAREPVLAQLRGLVSTLQSATEQ MAATVSSSVRAEVQHQLHVAVGSLQESILAQVQRIVKGEVSVALKEQQATVTSSIMQAMR SAAGTPVPSAHLDCQAQQAHILQLLQQGHLNQAFQQALTAADLNLVLYVCETVDPAQVFG QPPCPLSQPVLLSLIQQLASDLGTRSDLKLSYLEEAVMHLDHSDPITRDHMGSVMAQG >ENSMUSP00000114209.1 pep:known chromosome:GRCm38:8:105887378:105889532:1 gene:ENSMUSG00000036270.16 transcript:ENSMUST00000132680.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edc4 description:enhancer of mRNA decapping 4 [Source:MGI Symbol;Acc:MGI:2446249] XILSDVQRKVLYVMELLQNQDEGRACFSSISEFLLTHPVLSFGIQVVSRCRLRHTEVLPA EEENDSLGTESSHGAGALESAAGVLIKLFCVHTKALQDVQIRFQPQLNPDVVAPLSTHTA HEDFTFGESRPELGSEGLASAAHGSQPDLRRIVELPAPADFLSLSSETKPKLMTPDAFMT PTASLQQISASPSSSSSSSSSSSSSSSSSSSSLTAVSAVSSSSAMDPSLPSRPPEELTLS PKLQLDGSLTLNSSSSSLQASPRSLLPGLLPGPADKLISKGPGQVSTAASALSLDLQEVE PLGLPQASPSRTRSPDVISSASTALSQDIPEIASEALSRGFGSSVPEGLIEPDSMASAAS ALHLLSPRPRQGPELGSQLGLDGGPGDGDRHSTPSLLEAALTQEVATPDSQVWPTAPDIT RETCSTL >ENSMUSP00000118162.1 pep:known chromosome:GRCm38:8:105887392:105888713:1 gene:ENSMUSG00000036270.16 transcript:ENSMUST00000145618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edc4 description:enhancer of mRNA decapping 4 [Source:MGI Symbol;Acc:MGI:2446249] VQRKVLYVMELLQNQDEGRACFSSISEFLLTHPVLSFGIQVVSRCRLRHTEVLPAEEEND SLGTESSHGAGALESAAGVLIKLFCVHTKALQDVQIRFQPQLNPDVVAPLSTHTAHEDFT AFGESRPELGSEGLASAAHGSQPDLRRIVELPAPADFLSLSSETKPKLMTPDAFMTPTAS LQQISASPSSSSSSSSSSSSSSSSSSSSLTAVSAVSSSSAMDPSLPRPPEELTLSPKLQL DGSLTLNSSSSSLQASPRSLLPGLL >ENSMUSP00000050258.5 pep:known chromosome:GRCm38:11:102145549:102149477:1 gene:ENSMUSG00000048217.11 transcript:ENSMUST00000055409.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nags description:N-acetylglutamate synthase [Source:MGI Symbol;Acc:MGI:2387600] MATAWVATALRSAAAARRLRSPGGPGGSRRLSGSARRRGAKSASPGRRLSTARAHAEDAE GAKGRVQSPAVEEPSWTPLPTPLESPAPPAGRSLVQRDIQAFLNQCGASPGEARHWLTQF QTCYHSVDKPFAVMEVDEEVIRCPQAVSRLAFALAFLQRMDMKPLVVLGLPTPTAPSGCL SFWEAKAQLAQSCKVLVDELRHNAATAVPFFGGGSVLSAAEPAPHASYGGIVAVETDLLQ WCLESNSIPILCPIGETAARRSVLLDSLEVTASLAKALQPTKIIFLNNSGGLRNNSQKIL SNVNLPADLDLVTNAEWLSIKERQQIRLIVDVLSRLPHYSSAVITAASTLLTELFSNKGC GTLFKNAERMLRVRNLDSLDQGRLVNLVNASFGKKLREDYLESLRPRLHSIYVSEGYNAA AILTVEPVLGGTPYLDKFVVSSSRQGQGSGQMLWECLRRDLQTLFWRSRVTNPINPWYFK HSDGSFSNKQWIFFWFGLADIRDSYELVNHAKGLPDSFCKPASDPGS >ENSMUSP00000029923.6 pep:known chromosome:GRCm38:3:144952480:144975045:-1 gene:ENSMUSG00000068547.6 transcript:ENSMUST00000029923.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clca4a description:chloride channel accessory 4A [Source:MGI Symbol;Acc:MGI:2139744] MMAFSRGPVFLLLLLYLLWGSDTSLIRLNENGYEDIIIAIDPAVPEDTTIIEHIKGMVTK ASTYLFEATEKRFFFKNVSILIPESWKDSPQYRRPKQESYKHADIKVAPPTVEGRDEPYT RQFTQCEEKAEYIHFTPDFVLGRKQDEYGDSGKVLVHEWAHLRWGVFDEYNEDQPFYSAS SKKIEATRCSTGITGTNRVYACQGGSCAMRRCRTNSTTKLYEKDCQFFPDKVQSEKASIM FMQSIDSVTEFCKKENHNREAPTLHNKKCNYRSTWEVISTSEDFNSSTPMETSPSPPFFS LLRISERIMCLVLDVSGSMTSYDRLNRMNQAAKYFLSQIIENRSWVGMVHFSSQATIVHE LIQINSDIERNQLLQTLPTSANGGTSICSGIKAAFQVFKNGEYQTDGTEILLLSDGEDST AKDCIDEVKDSGSIVHFIALGPSADLAVTNMSILTGGNHKLATDEAQNNGLIDAFGALAS ENADITQKSLQLESKGAILNNSLWLNDTVVIDSTLGRDTFFLVTWSKQAPAIYLRDPKGT QTTNFTMDSASKMAYLSIPGTAQVGVWTYNLEAKENSEILTITVTSRAANSSVPPITVNA KVNTDTNTFPSPMIVYAEVLQGYTPIIGARVTATIESNSGKTEELVLLDNGAGADAFKDD GVYSRFFTAYSVNGRYSLKVRADGGRNSARRSLRHPSSRAAYIPGWVVDGEIQGNPPRPE TTEATQPVLEDFSRTASGGAFVMSNVPIGPLPDVYPPNRITDLQATLDGEEISLTWTAPG DDYDVGRVQQYIIRTSKNIIELRDNFNNSPRVDTTNLTPKEANSEETFAFKPENITEENA TYIFIAIESVDKSSLSSGPSNIAQVALFTPQAEPDPDESPSLSGVSVATIVLSVLGALVL VCIIVGTTICILKNKRSSSAAITKF >ENSMUSP00000058969.2 pep:known chromosome:GRCm38:16:88626786:88627666:-1 gene:ENSMUSG00000045331.3 transcript:ENSMUST00000052337.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310079G19Rik description:RIKEN cDNA 2310079G19 gene [Source:MGI Symbol;Acc:MGI:1916949] MSNNCCSEVHSSSSCRNSSHVPVTSPVTSGVCSTETRGKDAPCSPTSSQGSNTPRDNCQE PCGEPRSCQSTSCNRSTGSPSVCSPITSGISGSQEGTSCLPNTPRHSSFGRPAFCRQPMS CYVPSYLSDGCQPLGYMTYGRPPWRNLTYGSQPFSCMPGCYGSTSYNYSSFQPYSSSLSG WRYHY >ENSMUSP00000068321.5 pep:known chromosome:GRCm38:8:15011025:15033333:1 gene:ENSMUSG00000055675.6 transcript:ENSMUST00000069399.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kbtbd11 description:kelch repeat and BTB (POZ) domain containing 11 [Source:MGI Symbol;Acc:MGI:1922151] MENSVAPFVLYSGTEPRTPGEDSLPLPAEEEGAASTAQTPCSLSASLCFSSGDDSPPQSR ASAAEGSEASPPSLRSDLRVVETQWDVSSAASPESPEECARPEEPASPEDPPSRHEHARP VELESLDELGEPVPVPPGVGSVHGEPDLVIEVAGRRLRAHKAVLAARSDYFRARASRDVL RVQGVSFTALRLLLADAYSGRMAGVRPDNVAEVVAGARRLQLPGAAQRATEAMAPQLSLD NCYEVLSAGKRQRLTELRDAAYRFMSDHYLEVLREPAVFGRLSGAERDLLLRRRLCTGRA CLLAAALGTTGERSGSRPQSPSGDAESRGDAAVYCYQAEAGEWRELTRLPEGAPARGCGL CVLFNYLFLAGGVAPAGPDGRARPSDQVYCYNPVTDSWSTVRPLRQARSQVQLLALDGHL YAVGGECLLSVERYDPRADRWTAVAPLPRGAFAVAHEAATCNGEIYVSGGSLFYRLLKYD PRRDEWQECPCSSSRERSADMVALDGFLYRFDLCGSRGEAQAAVGSGGGVSVFRYHCLAK QWSQCAVHLRPPGAPAGLQPFRCVALDGTIYCVSRAGTWRFVPSQDTEAGSDMGPGGSFE PEPLGSPLDVRGVLFPFVLNLPEKPDRGEQGAV >ENSMUSP00000139292.1 pep:known chromosome:GRCm38:8:15027290:15033333:1 gene:ENSMUSG00000055675.6 transcript:ENSMUST00000183471.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Kbtbd11 description:kelch repeat and BTB (POZ) domain containing 11 [Source:MGI Symbol;Acc:MGI:1922151] MENSVAPFVLYSGTEPRTPGEDSLPLPAEEEGAASTAQTPCSLSASLCFSSGDDSPPQSR ASAAEGSEASPPSLRSDLRVVETQWDVSSAASPESPEECARPEEPASPEDPPSRHEHARP VELESLDELGEPVPVPPGVGSVHGEPDLVIEVAGRRLRAHKAVLAARSDYFRARASRDVL RVQGVSFTALRLLLADAYSGRMAGVRPDNVAEVVAGARRLQLPGAAQRATEAMAPQLSLD NCYEVLSAGKRQRLTELRDAAYRFMSDHYLEVLREPAVFGRLSGAERDLLLRRRLCTGRA CLLAAALGTTGERSGSRPQSPSGDAESRGDAAVYCYQAEAGEWRELTRLPEGAPARGCGL CVLFNYLFLAGGVAPAGPDGRARPSDQVYCYNPVTDSWSTVRPLRQARSQVQLLALDGHL YAVGGECLLSVERYDPRADRWTAVAPLPRGAFAVAHEAATCNGEIYVSGGSLFYRLLKYD PRRDEWQECPCSSSRERSADMVALDGFLYRFDLCGSRGEAQAAVGSGGGVSVFRYHCLAK QWSQCAVHLRPPGAPAGLQPFRCVALDGTIYCVSRAGTWRFVPSQDTEAGSDMGPGGSFE PEPLGSPLDVRGVLFPFVLNLPEKPDRGEQGAV >ENSMUSP00000121488.1 pep:known chromosome:GRCm38:2:131207086:131212736:1 gene:ENSMUSG00000068264.11 transcript:ENSMUST00000153097.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap5s1 description:adaptor-related protein 5 complex, sigma 1 subunit [Source:MGI Symbol;Acc:MGI:1916846] MTLQVESLCRLQQQAAGCSSTDLQPQFSAEPVSLHEAPHGAFHLAAGDPFQEPRTVLWLG ILSLGFALVLDTHENLLLAERTLRLLARLLLDHLRLLTP >ENSMUSP00000105839.1 pep:known chromosome:GRCm38:2:131210424:131213511:1 gene:ENSMUSG00000068264.11 transcript:ENSMUST00000110210.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap5s1 description:adaptor-related protein 5 complex, sigma 1 subunit [Source:MGI Symbol;Acc:MGI:1916846] MVHAFLIHTLRAPNLEDTGLCRVLYSCVFGAEKSPDDPRSHGAERDRLFRKEQILAVARQ VESLCRLQQQAAGCSSTDLQPQFSAEPVSLHEAPHGAFHLAAGDPFQEPRTVLWLGILSL GFALVLDTHENLLLAERTLRLLARLLLDHLRLLTPAVSTGAAYCRAHPSR >ENSMUSP00000086933.5 pep:known chromosome:GRCm38:2:131210440:131213514:1 gene:ENSMUSG00000068264.11 transcript:ENSMUST00000089506.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap5s1 description:adaptor-related protein 5 complex, sigma 1 subunit [Source:MGI Symbol;Acc:MGI:1916846] MVHAFLIHTLRAPNLEDTGLCRVLYSCVFGAEKSPDDPRSHGAERDRLFRKEQILAVARQ VESLCRLQQQAAGCSSTDLQPQFSAEPVSLHEAPHGAFHLAAGDPFQEPRTVLWLGILSL GFALVLDTHENLLLAERTLRLLARLLLDHLRLLTPVCPGSGEGIQCCLAPLTPHQTKSEG KGCILLGEVWHLPLAQPAPCSDVWPRSGHTDWASPKEGTWVEGCLCLSSGHWNCKVFIVE HPEAGTAP >ENSMUSP00000105837.1 pep:known chromosome:GRCm38:2:131210440:131213514:1 gene:ENSMUSG00000068264.11 transcript:ENSMUST00000110208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap5s1 description:adaptor-related protein 5 complex, sigma 1 subunit [Source:MGI Symbol;Acc:MGI:1916846] MVHAFLIHTLRAPNLEDTGLCRVLYSCVFGAEKSPDDPRSHGAERDRLFRKEQILAVARQ VESLCRLQQQAAGCSSTDLQPQFSAEPVSLHEAPHGAFHLAAGDPFQEPRTVLWLGILSL GFALVLDTHENLLLAERTLRLLARLLLDHLRLLTPGTNFLLRADRIEGILTRFLPHGQLL FLNDQFVQDLEKEFSAAWPR >ENSMUSP00000105835.1 pep:known chromosome:GRCm38:2:131210604:131212864:1 gene:ENSMUSG00000068264.11 transcript:ENSMUST00000110206.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ap5s1 description:adaptor-related protein 5 complex, sigma 1 subunit [Source:MGI Symbol;Acc:MGI:1916846] MVHAFLIHTLRAPNLEDTGLCRVLYSCVFGAEKSPDDPRSHGAERDRLFRKEQILAVARW SHCVGCSSKRLDVPPQTFSLSSQLNLCPCMRPLMEPSTWQPGTLSRSLGQCYGWVYSP >ENSMUSP00000093862.4 pep:known chromosome:GRCm38:14:7580617:7595592:-1 gene:ENSMUSG00000094706.7 transcript:ENSMUST00000096148.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10338 description:predicted gene 10338 [Source:MGI Symbol;Acc:MGI:3642676] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMFDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000137328.1 pep:known chromosome:GRCm38:14:7586017:7595529:-1 gene:ENSMUSG00000094706.7 transcript:ENSMUST00000178623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10338 description:predicted gene 10338 [Source:MGI Symbol;Acc:MGI:3642676] MFSWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMFDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000001818.4 pep:known chromosome:GRCm38:2:145917479:145935014:-1 gene:ENSMUSG00000001767.5 transcript:ENSMUST00000001818.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crnkl1 description:Crn, crooked neck-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1914127] MAASTAAGKQRIPKVAKVKNKAPAEVQITAEQLLREAKERELELLPPPPQQKITDEEELN DYKLRKRKTFEDNIRKNRTVISNWIKYAQWEESLKEIQRARSIYERALDVDYRNITLWLK YAEMEMKNRQVNHARNIWDRAITTLPRVNQFWYKYTYMEEMLGNVAGARQVFERWMEWQP EEQAWHSYINFELRYKEVERARTIYERFVLVHPAVKNWIKYARFEEKHAYFAHARKVYER AVEFFGDEHMDEHLYVAFAKFEENQKEFERVRVIYKYALDRISKQEAQELFKNYTIFEKK FGDRRGIEDIIVSKRRFQYEEEVKANPHNYDAWFDYLRLVESDAEADTVREVYERAIANV PPIQEKRHWKRYIYLWVNYALYEELEAKDPERTRQVYQASLELIPHKKFTFAKMWLYYAQ FEIRQKNLPFARRALGTSIGKCPKNKLFKGYIELELQLREFDRCRKLYEKFLEFGPENCT SWIKFAELETILGDIERARAIYELAISQPRLDMPEVLWKSYIDFEIEQEETERTRNLYRQ LLQRTQHVKVWISFAQFELSSGKEGSVAKCRQIYEEANKTMRNCEEKEERLMLLESWRSF EDEFGTVSDKERVDKLMPEKVKKRRKVQADDGSDAGWEEYYDYIFPEDAANQPNLKLLAM AKLWKKQQQEREAAEQDPDKDIDESESSSF >ENSMUSP00000129289.1 pep:known chromosome:GRCm38:16:88671026:88671671:-1 gene:ENSMUSG00000090515.2 transcript:ENSMUST00000165580.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap27-1 description:keratin associated protein 27-1 [Source:MGI Symbol;Acc:MGI:3646229] MLHSHCQSFRSLGKAPSLSSITHNSNPKSFEDGIVLPSSCHRRTWLLDNFQETYSETSTC QPANCGQNQCKVDPCVQSSQNSRAEQTICSNSKTCERTREISPAVPESASQTCQSRNYKQ VGFVAQSYQPASYMAKCCPLKSTAFESCQTLEFESSPCCSQVSESRSCNSSSSIVSGLHL VESSNTYEPTCCVTGGSQVPSK >ENSMUSP00000032561.8 pep:known chromosome:GRCm38:7:19256929:19271817:-1 gene:ENSMUSG00000030403.9 transcript:ENSMUST00000032561.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vasp description:vasodilator-stimulated phosphoprotein [Source:MGI Symbol;Acc:MGI:109268] MSETVICSSRATVMLYDDSNKRWLPAGTGPQAFSRVQIYHNPTANSFRVVGRKMQPDQQV VINCAIIRGVKYNQATPIFHQWRDARQVWGLNFGSKEDAIQFATGMANALEALEGGGPPP APAPPAWSAQNGPSPEELEQQKRQPEHMERRVSNAGGPPAPPAGGPPPPPGPPPPPGPPP PPGLPSSGVSGAGHGAGAAPPPAPPLPTAQGPNSGGSGAPGLAAAIAGAKLRKVSKQEEA SGGPLAPKAENSRSTGGGLMEEMNAMLARRRKATQVGEKPPKDESASEESEARLPAQSEP VRRPWEKNSTTLPRMKSSSSVTTSEAHPSTPCSSDDSDLERVKQELLEEVRKELQKMKEE IIEVFVQELRKRGSP >ENSMUSP00000101757.1 pep:known chromosome:GRCm38:3:146404642:146439782:1 gene:ENSMUSG00000036825.12 transcript:ENSMUST00000106151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssx2ip description:synovial sarcoma, X breakpoint 2 interacting protein [Source:MGI Symbol;Acc:MGI:2139150] MGDWMTVTDPVLCTENKNLSQYTSETKMSPSSLYSQQVLCSSVPLSKNVHGVFGVFCTGE NIEQSISYLDQELTTFGFPSLYEESKSKEAKRELNIVAVLNCMNELLVLQRKNLLAQESV ETQNLKLGSDMDHLQSCYAKLKEQLETSRREMIGLQERDRQLQCKNRSLHQLLKNEKDEV QKLQNIIASRATQYNHDVKRKEREYNKLKERLHQLVMNKKDKNIAMDVLNYVGRADGKRG SWRTDKTEARNEDEMYKILLNDYEYRQKQILMENAELKKVLQQMKKEMISLLSPQKKKPR ERAEDGTGTVAISDIEDDSGELSRDSVWGLSCDTVREQLTNSIRKQWRILKSHVEKLDNQ ASKVHSEGLNEEDVISRQDHEQETEKLELEIERCKEMIKAQQQLLQQLATTCDDDTTSLL RDCYLLEEKERLKEEWTLFKEQKKNFERERRSFTEAAIRLGLERKAFEEERASWVKQQFL NMTNFDHQNSENVKLFSAFSGSSDPDNLIVHSRPRQKKLHSVANGVPACTSKLTKSLPAS PSTSDFRQTHSCVSEHSSISVLNITPEESKPSEVARESTDQKWSVQSRPSSREGCYSGCS SAFRSAHGDRDDLP >ENSMUSP00000101759.2 pep:known chromosome:GRCm38:3:146404642:146440144:1 gene:ENSMUSG00000036825.12 transcript:ENSMUST00000106153.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssx2ip description:synovial sarcoma, X breakpoint 2 interacting protein [Source:MGI Symbol;Acc:MGI:2139150] MGDWMTVTDPVLCTENKNLSQYTSETKMSPSSLYSQQVLCSSVPLSKNVHGVFGVFCTGE NIEQSISYLDQELTTFGFPSLYEESKSKEAKRELNIVAVLNCMNELLVLQRKNLLAQESV ETQNLKLGSDMDHLQSCYAKLKEQLETSRREMIGLQERDRQLQCKNRSLHQLLKNEKDEV QKLQNIIASRATQYNHDVKRKEREYNKLKERLHQLVMNKKDKNIAMDVLNYVGRADGKRG SWRTDKTEARNEDEMYKILLNDYEYRQKQILMENAELKKVLQQMKKEMISLLSPQKKKPR ERAEDGTGTVAISDIEDDSGELSRDSVWGLSCDTVREQLTNSIRKQWRILKSHVEKLDNQ ASKVHSEGLNEEDVISRQDHEQETEKLELEIERCKEMIKAQQQLLQQQLATTCDDDTTSL LRDCYLLEEKERLKEEWTLFKEQKKNFERERRSFTEAAIRLGLERKAFEEERASWVKQQF LNMTNFDHQNSENVKLFSAFSGSSDPDNLIVHSRPRQKKLHSVANGVPACTSKLTKSLPA SPSTSDFRQTHSCVSEHSSISVLNITPEESKPSEVARESTDQKWSVQSRPSSREGCYSGC SSAFRSAHGDRDDLP >ENSMUSP00000045663.4 pep:known chromosome:GRCm38:3:146404664:146440133:1 gene:ENSMUSG00000036825.12 transcript:ENSMUST00000039021.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssx2ip description:synovial sarcoma, X breakpoint 2 interacting protein [Source:MGI Symbol;Acc:MGI:2139150] MGDWMTVTDPVLCTENKNLSQYTSETKMSPSSLYSQQVLCSSVPLSKNVHGVFGVFCTGE NIEQSISYLDQELTTFGFPSLYEESKSKEAKRELNIVAVLNCMNELLVLQRKNLLAQESV ETQNLKLGSDMDHLQSCYAKLKEQLETSRREMIGLQERDRQLQCKNRSLHQLLKNEKDEV QKLQNIIASRATQYNHDVKRKEREYNKLKERLHQLVMNKKDKNIAMDVLNYVGRADGKRG SWRTDKTEARNEDEMYKILLNDYEYRQKQILMENAELKKVLQQMKKEMISLLSPQKKKPR ERAEDGTGTVAISDIEDDSGELSRDSVWGLSCDTVREQLTNSIRKQWRILKSHVEKLDNQ ASKVHSEGLNEEDVISRQDHEQETEKLELEIERCKEMIKAQQQLLQQLATTCDDDTTSLL RDCYLLEEKERLKEEWTLFKEQKKNFERERRSFTEAAIRLGLERKAFEEERASWVKQQFL NMTNFDHQNSENVKLFSAFSGSSDPDNLIVHSRPRQKKLHSVANGVPACTSKLTKSLPAS PSTSDFRQTHSCVSEHSSISVLNITPEESKPSEVARESTDQKWSVQSRPSSREGCYSGCS SAFRSAHGDRDDLP >ENSMUSP00000101755.1 pep:known chromosome:GRCm38:3:146404686:146438906:1 gene:ENSMUSG00000036825.12 transcript:ENSMUST00000106149.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssx2ip description:synovial sarcoma, X breakpoint 2 interacting protein [Source:MGI Symbol;Acc:MGI:2139150] MGDWMTVTDPVLCTENKNLSQYTSETKMSPSSLYSQQVLCSSVPLSKNVHGVFGVFCTGE NIEQSISYLDQELTTFGFPSLYEESKSKEAKRELNIVAVLNCMNELLVLQRKNLLAQESV ETQNLKLGSDMDHLQSCYAKLKEQLETSRREMIGLQERDRQLQCKNRSLHQLLKNEKDEV QKLQNIIASRATQYNHDVKRKEREYNKLKERLHQLVMNKKDKNIAMDVLNYVGRADGKRG SWRTDKTEARNEDEMYKILLNDYEYRQKQILMENAELKKVLQQMKKEMISLLSPQKKKPR ERAEDGTGTVAISDIEDDSGELSRDSVWGLSCDTVREQLTNSIRKQWRILKSHVEKLDNQ ASKVHSEGLNEEDVISRQDHEQETEKLELEIERCKEMIKAQQQLLQQLATTCDDDTTSLL RDCYLLEEKERLKEEWTLFKEQKKNFERERRSFTEAAIRLGLERKAFEEERASWVKQQFL NMTNFDHQNSENVKLFSAFSGSSDPDNLIVHSRPRQKKLHSVANGVPACTSKLTKSLPAS PSTSDFRQTHSCVSEHSSISVLNITPEESKPSEVARESTDQKWSVQSRPSSREGCYSGCS SAFRSAHGDRDDLP >ENSMUSP00000122322.1 pep:known chromosome:GRCm38:3:146404691:146418365:1 gene:ENSMUSG00000036825.12 transcript:ENSMUST00000149262.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssx2ip description:synovial sarcoma, X breakpoint 2 interacting protein [Source:MGI Symbol;Acc:MGI:2139150] MGDWMTVTDPVLCTENKNLSQYTSETKMSPSSLYSQQVLCSSVP >ENSMUSP00000117501.1 pep:known chromosome:GRCm38:3:146404978:146419325:1 gene:ENSMUSG00000036825.12 transcript:ENSMUST00000129978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssx2ip description:synovial sarcoma, X breakpoint 2 interacting protein [Source:MGI Symbol;Acc:MGI:2139150] MGDWMTVTDPVLCTENKNLSQYTSETKMSPSSLYSQQVLCSSVPLSKNVHGVFGVFCTGE NIEQSISYLDQELTTFGFPSLYEESKSKEAKRELNIVAVLNCMNELLVLQRKNLLAQESV ETQNLKLGSDMDHL >ENSMUSP00000092839.3 pep:known chromosome:GRCm38:8:88118769:88135106:1 gene:ENSMUSG00000036810.16 transcript:ENSMUST00000095214.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnep1r1 description:CTD nuclear envelope phosphatase 1 regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1921981] MNSLEQAEDLKAFERRLTEYIHCLQPATGRWRMLLIVVSVCTATGAWNWLIDPETQKVSF FTSLWNHPFFTISCITLIGLFFAGIHKRVVAPSIIAARCRTVLAEYNMSCDDTGKLILKP RPHVQ >ENSMUSP00000120121.1 pep:known chromosome:GRCm38:8:88118787:88135110:1 gene:ENSMUSG00000036810.16 transcript:ENSMUST00000127490.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cnep1r1 description:CTD nuclear envelope phosphatase 1 regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1921981] MNSLEQAEDLKAFERRLTEYIHCLQPATGRWRSVLLHVIMEPSVFHY >ENSMUSP00000113435.1 pep:known chromosome:GRCm38:8:88118800:88131687:1 gene:ENSMUSG00000036810.16 transcript:ENSMUST00000121097.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnep1r1 description:CTD nuclear envelope phosphatase 1 regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1921981] MNSLEQAEDLKAFERRLTEYIHCLQPATGRWRMLLIVVSVCTATGAWNWLIDPETQKVSF FTSLWNHPFFTISCITLIGLFFAGIHKRVVAPSMYPLPRVEFCYHN >ENSMUSP00000113207.1 pep:known chromosome:GRCm38:8:88118807:88131070:1 gene:ENSMUSG00000036810.16 transcript:ENSMUST00000117775.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnep1r1 description:CTD nuclear envelope phosphatase 1 regulatory subunit 1 [Source:MGI Symbol;Acc:MGI:1921981] MNSLEQAEDLKAFERRLTEYIHCLQPATGRWRMLLIVVSVCTATGAWNWLIDPETQKVSF FTSLWNHPFFTISCITLIGLFFAGIHKRVVAPSIIAARCRTVLAEYNMSCDDP >ENSMUSP00000098765.2 pep:known chromosome:GRCm38:X:141165403:141474076:-1 gene:ENSMUSG00000031273.16 transcript:ENSMUST00000101205.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col4a6 description:collagen, type IV, alpha 6 [Source:MGI Symbol;Acc:MGI:2152695] MHPGLWLFLVSLCLTKELAESGQKMSYGKPCGSRDCHGVCTCFPEKGARGHPGPIGTQGP AGPEGFTGPTGLSGLKGERGSPGPLGPYGSKGDKGPIGVPGFVGISGIPGHPGQPGPRGP PGPDGCNGTQGAVGFPGPDGYPGILGPPGLPGHKGAKGEPVPFQGSITGIRGDPGLPGLD GIPGPSGSSGSPGDAGPIGPPGLQGPPGLPGLPGPEGNMGLGFQGEKGVKGDVGLPGPAG PPPSTGELEFMGFPKGKKGSKGEPGPPGFPGMSGPPGVPEFGSTGEKGEKGILGLPGPRG PMGSEGIQGHPGRQGKKGTSGFPGINGFPGIKGEKGDIGVRGPDSFTDADGAVISGYPGD PGVLGLPGLRGDEGVQGQRGPSGTPGLPSLTGLPGALGPQGFPGLKGDQGNSGRTTFGEA GLPGRVGLPGLPGLPGPSGPPGRTFETGHLSNIEPGFPGLQGEQGPKGHQGLKGVKGDSG FCACEGGAPNIGPHGEPGLPGIQGPIGLQGFKGTKGDPGSRGASGPAGTPGLFGPRGQTG LKGKKGEPTVSRGSKMSGDKGDPGPQGTPGLAGTPGKDGRPGLPGLPGIQGDGGSGFPGE RGLPGLPGEKGHDGPIGPPGIGLPGPPGPRGLPGDKGVDGLPGQQGLRGAQGVTLPCIIP GSYGPSGFPGAPGFPGSKGARGLPGIPGKPGTHGSKGGPGSPGLMHLPGLPGFPGVRGEK GLPGFPGLPGKDGYPGKAGSPGLPGFKGAAGDIFGAENGASGEQGLQGLPGDKGFPGDSG LPGPKGLNGKPGMLGPKGERGNPGTSGPPGQPGPSGSTDPFGIKGTSGFPGAPGLPGISG HPGKKGQRGDIGQPGSTGKRGLPGIKGLPGPQGSPGFLGSPGLSGVTGLPGIEGQKGEKG SSGPVGFPGLPGLPGLPGADGLKGFSGSFGKVGQPGQTGTSGEKGDRGDPGPVGISSPRP PMLNLWFKGEKGSRGSAGSDGFPGPRGDKGEPGIPGLPGPPGATGQTNTIKGLNGRPGSP GSMGRRGLPGLKGSLGIAGFPGMPGKSGSQGLTGTSGLLGATGIPGLKGDQGQTLGISGS PGPKGQPGELGFKGVKGKDGLVGDRGYPGNKGDGGKVGITGDPGFPGSPGLQGISGMNGD PGLPGSSGHLGSIGRPGPSGLIGPKGFPGAPGLHGLNGLPGTKGTHGTPGASITGVPGPA GLPGPKGERGVPGIVIGDPGKQGSKGQKGDQGSPGLQGPAGTPGAPGISLPSVIAGQPGD PGRPGLDGERGRPGPPGPPGPPGPSSDQGDPGDSGFPGIPGLQGFKGNQGLPGFSGLSGE LGLKGMRGEPGLMGTPGKIGQPGDPGFPGMKGKAGPRGFSGPQGAPGHTPIAEAMQVPPG PLGLPGIDGIPGLIGDPGSQGSVGLQGSKGLPGIPGKDGPSGLPGPSGILGDPGLPGLQG PPGFEGAPGNQGPIGQPGMPGHGVRVGYTLVKHSQSEQVPLCPTGMSRLWVGYSLLFVEG QEKAHNQDLGFAGSCLPRFSTMPFIYCNINEVCHYARRNDKSYWLSTTAPIPMMPVGQTQ IPQYISRCSVCEAPSQAIAVHSQDIIVPQCPLGWHSLWIGYSFLMHTAAGAEGGGQSLVS PGSCLEDFRATPFIECSGARGTCHYFANKYSFWLTTVEERGQFREEPVSETLKTGQLHTR VSRCQVCMKTP >ENSMUSP00000018727.3 pep:known chromosome:GRCm38:11:55469685:55504838:1 gene:ENSMUSG00000018583.5 transcript:ENSMUST00000018727.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G3bp1 description:GTPase activating protein (SH3 domain) binding protein 1 [Source:MGI Symbol;Acc:MGI:1351465] MVMEKPSPLLVGREFVRQYYTLLNQAPDMLHRFYGKNSSYAHGGLDSNGKPADAVYGQKE IHRKVMSQNFTNCHTKIRHVDAHATLNDGVVVQVMGLLSNNNQALRRFMQTFVLAPEGSV ANKFYVHNDIFRYQDEVFGGFVTEPQEESEEEVEEPEERQQTPEVVPDDSGTFYDQTVSN DLEEHLEEPVVEPEPEPEPEPEPEPVSDIQEDKPEAALEEAAPDDVQKSTSPAPADVAPA QEDLRTFSWASVTSKNLPPSGAVPVTGTPPHVVKVPASQPRPESKPDSQIPPQRPQRDQR VREQRINIPPQRGPRPIREAGEPGDVEPRRMVRHPDSHQLFIGNLPHEVDKSELKDFFQN FGNVVELRINSGGKLPNFGFVVFDDSEPVQKVLSNRPIMFRGAVRLNVEEKKTRAAREGD RRDNRLRGPGGPRGGPSGGMRGPPRGGMVQKPGFGVGRGITTPRQ >ENSMUSP00000075463.7 pep:known chromosome:GRCm38:5:138976014:138995037:-1 gene:ENSMUSG00000025856.15 transcript:ENSMUST00000076095.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfa description:platelet derived growth factor, alpha [Source:MGI Symbol;Acc:MGI:97527] MRTWACLLLLGCGYLAHALAEEAEIPRELIERLARSQIHSIRDLQRLLEIDSVGAEDALE TSLRAHGSHAINHVPEKRPVPIRRKRSIEEAIPAVCKTRTVIYEIPRSQVDPTSANFLIW PPCVEVKRCTGCCNTSSVKCQPSRVHHRSVKVAKVEYVRKKPKLKEVQVRLEEHLECACA TSNLNPDHREEETDVR >ENSMUSP00000038870.6 pep:known chromosome:GRCm38:5:138976516:138994953:-1 gene:ENSMUSG00000025856.15 transcript:ENSMUST00000046901.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfa description:platelet derived growth factor, alpha [Source:MGI Symbol;Acc:MGI:97527] MRTWACLLLLGCGYLAHALAEEAEIPRELIERLARSQIHSIRDLQRLLEIDSVGAEDALE TSLRAHGSHAINHVPEKRPVPIRRKRSIEEAIPAVCKTRTVIYEIPRSQVDPTSANFLIW PPCVEVKRCTGCCNTSSVKCQPSRVHHRSVKVAKVEYVRKKPKLKEVQVRLEEHLECACA TSNLNPDHREEETGRRRESGKKRKRKRLKPT >ENSMUSP00000106522.1 pep:known chromosome:GRCm38:5:138976942:138996087:-1 gene:ENSMUSG00000025856.15 transcript:ENSMUST00000110897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfa description:platelet derived growth factor, alpha [Source:MGI Symbol;Acc:MGI:97527] MRTWACLLLLGCGYLAHALAEEAEIPRELIERLARSQIHSIRDLQRLLEIDSVGAEDALE TSLRAHGSHAINHVPEKRPVPIRRKRSIEEAIPAVCKTRTVIYEIPRSQVDPTSANFLIW PPCVEVKRCTGCCNTSSVKCQPSRVHHRSVKVAKVEYVRKKPKLKEVQVRLEEHLECACA TSNLNPDHREEETDVR >ENSMUSP00000106521.1 pep:known chromosome:GRCm38:5:138976971:138997370:-1 gene:ENSMUSG00000025856.15 transcript:ENSMUST00000110896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdgfa description:platelet derived growth factor, alpha [Source:MGI Symbol;Acc:MGI:97527] MRTWACLLLLGCGYLAHALAEEAEIPRELIERLARSQIHSIRDLQRLLEIDSVGAEDALE TSLRAHGSHAINHVPEKRPVPIRRKRSIEEAIPAVCKTRTVIYEIPRSQVDPTSANFLIW PPCVEVKRCTGCCNTSSVKCQPSRVHHRSVKVAKVEYVRKKPKLKEVQVRLEEHLECACA TSNLNPDHREEETDVR >ENSMUSP00000038117.6 pep:known chromosome:GRCm38:16:25801916:25892102:1 gene:ENSMUSG00000022510.14 transcript:ENSMUST00000040231.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp63 description:transformation related protein 63 [Source:MGI Symbol;Acc:MGI:1330810] MLYLENNAQTQFSEPQYTNLGLLNSMDQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSS TFDALSPSPAIPSNTDYPGPHSFDVSFQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIK VMTPPPQGAVIRAMPVYKKAEHVTEVVKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQ YVEDPITGRQSVLVPYEPPQVGTEFTTVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQV LGRRCFEARICACPGRDRKADEDSIRKQQVSDSAKNGDGTKRPFRQNTHGIQMTSIKKRR SPDDELLYLPVRGRETYEMLLKIKESLELMQYLPQHTIETYRQQQQQQHQHLLQKQTSMQ SQSSYGNSSPPLNKMNSMNKLPSVSQLINPQQRNALTPTTMPEGMGANIPMMGTHMPMAG DMNGLSPTQALPPPLSMPSTSHCTPPPPYPTDCSIVSFLARLGCSSCLDYFTTQGLTTIY QIEHYSMDDLASLKIPEQFRHAIWKGILDHRQLHDFSSPPHLLRTPSGASTVSVGSSETR GERVIDAVRFTLRQTISFPPRDEWNDFNFDMDSRRNKQQRIKEEGE >ENSMUSP00000110961.1 pep:known chromosome:GRCm38:16:25801916:25892102:1 gene:ENSMUSG00000022510.14 transcript:ENSMUST00000115306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp63 description:transformation related protein 63 [Source:MGI Symbol;Acc:MGI:1330810] MLYLENNAQTQFSEPQYTNLGLLNSMDQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSS TFDALSPSPAIPSNTDYPGPHSFDVSFQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIK VMTPPPQGAVIRAMPVYKKAEHVTEVVKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQ YVEDPITGRQSVLVPYEPPQVGTEFTTVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQV LGRRCFEARICACPGRDRKADEDSIRKQQVSDSAKNGDAFRQNTHGIQMTSIKKRRSPDD ELLYLPVRGRETYEMLLKIKESLELMQYLPQHTIETYRQQQQQQHQHLLQKQTSMQSQSS YGNSSPPLNKMNSMNKLPSVSQLINPQQRNALTPTTMPEGMGANIPMMGTHMPMAGDMNG LSPTQALPPPLSMPSTSHCTPPPPYPTDCSIVSFLARLGCSSCLDYFTTQGLTTIYQIEH YSMDDLASLKIPEQFRHAIWKGILDHRQLHDFSSPPHLLRTPSGASTVSVGSSETRGERV IDAVRFTLRQTISFPPRDEWNDFNFDMDSRRNKQQRIKEEGE >ENSMUSP00000110965.2 pep:known chromosome:GRCm38:16:25683765:25892102:1 gene:ENSMUSG00000022510.14 transcript:ENSMUST00000115310.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp63 description:transformation related protein 63 [Source:MGI Symbol;Acc:MGI:1330810] MNFETSRCATLQYCPDPYIQRFIETPAHFSWKESYYRSAMSQSTQTSEFLSPEVFQHIWD FLEQPICSVQPIELNFVDEPSENGATNKIEISMDCIRMQDSDLSDPMWPQYTNLGLLNSM DQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSSTFDALSPSPAIPSNTDYPGPHSFDVS FQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIKVMTPPPQGAVIRAMPVYKKAEHVTEV VKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQYVEDPITGRQSVLVPYEPPQVGTEFT TVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQVLGRRCFEARICACPGRDRKADEDSIR KQQVSDSAKNGDGTKRPFRQNTHGIQMTSIKKRRSPDDELLYLPVRGRETYEMLLKIKES LELMQYLPQHTIETYRQQQQQQHQHLLQKQTSMQSQSSYGNSSPPLNKMNSMNKLPSVSQ LINPQQRNALTPTTMPEGMGANIPMMGTHMPMAGDMNGLSPTQALPPPLSMPSTSHCTPP PPYPTDCSIVSFLARLGCSSCLDYFTTQGLTTIYQIEHYSMDDLASLKIPEQFRHAIWKG ILDHRQLHDFSSPPHLLRTPSGASTVSVGSSETRGERVIDAVRFTLRQTISFPPRDEWND FNFDMDSRRNKQQRIKEEGE >ENSMUSP00000110962.1 pep:known chromosome:GRCm38:16:25683763:25821318:1 gene:ENSMUSG00000022510.14 transcript:ENSMUST00000115307.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp63 description:transformation related protein 63 [Source:MGI Symbol;Acc:MGI:1330810] MNFETSRCATLQYCPDPYIQRFIETPAHFSWKESYYRSAMSQSTQTSEFLSPEVFQHIWD FLEQPICSVQPIELNFVDEPSENGATNKIEISMDCIRMQDSDLSDPMWPQYTNLGLLNSM DQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSSTFDALSPSPAIPSNTDYPGPHSFDVS FQQSSTAKSATWTVRESDSEPQDGFHCVPGFVSLLCSNIAYPMRKEIISEH >ENSMUSP00000110959.1 pep:known chromosome:GRCm38:16:25801916:25867854:1 gene:ENSMUSG00000022510.14 transcript:ENSMUST00000115304.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp63 description:transformation related protein 63 [Source:MGI Symbol;Acc:MGI:1330810] MLYLENNAQTQFSEPQYTNLGLLNSMDQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSS TFDALSPSPAIPSNTDYPGPHSFDVSFQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIK VMTPPPQGAVIRAMPVYKKAEHVTEVVKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQ YVEDPITGRQSVLVPYEPPQVGTEFTTVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQV LGRRCFEARICACPGRDRKADEDSIRKQQVSDSAKNGDGTKRRK >ENSMUSP00000110960.1 pep:known chromosome:GRCm38:16:25801916:25877144:1 gene:ENSMUSG00000022510.14 transcript:ENSMUST00000115305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp63 description:transformation related protein 63 [Source:MGI Symbol;Acc:MGI:1330810] MLYLENNAQTQFSEPQYTNLGLLNSMDQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSS TFDALSPSPAIPSNTDYPGPHSFDVSFQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIK VMTPPPQGAVIRAMPVYKKAEHVTEVVKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQ YVEDPITGRQSVLVPYEPPQVGTEFTTVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQV LGRRCFEARICACPGRDRKADEDSIRKQQVSDSAKNGDGTKRPFRQNTHGIQMTSIKKRR SPDDELLYLPVRGRETYEMLLKIKESLELMQYLPQHTIETYRQQQQQQHQHLLQKHLLSA CFRNELVEPRGEAPTQSDVFFRHSNPPNHSVYP >ENSMUSP00000110963.2 pep:known chromosome:GRCm38:16:25683765:25877144:1 gene:ENSMUSG00000022510.14 transcript:ENSMUST00000115308.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp63 description:transformation related protein 63 [Source:MGI Symbol;Acc:MGI:1330810] MNFETSRCATLQYCPDPYIQRFIETPAHFSWKESYYRSAMSQSTQTSEFLSPEVFQHIWD FLEQPICSVQPIELNFVDEPSENGATNKIEISMDCIRMQDSDLSDPMWPQYTNLGLLNSM DQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSSTFDALSPSPAIPSNTDYPGPHSFDVS FQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIKVMTPPPQGAVIRAMPVYKKAEHVTEV VKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQYVEDPITGRQSVLVPYEPPQVGTEFT TVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQVLGRRCFEARICACPGRDRKADEDSIR KQQVSDSAKNGDAFRQNTHGIQMTSIKKRRSPDDELLYLPVRGRETYEMLLKIKESLELM QYLPQHTIETYRQQQQQQHQHLLQKHLLSACFRNELVEPRGEAPTQSDVFFRHSNPPNHS VYP >ENSMUSP00000067005.5 pep:known chromosome:GRCm38:16:25683765:25892087:1 gene:ENSMUSG00000022510.14 transcript:ENSMUST00000065523.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trp63 description:transformation related protein 63 [Source:MGI Symbol;Acc:MGI:1330810] MNFETSRCATLQYCPDPYIQRFIETPAHFSWKESYYRSAMSQSTQTSEFLSPEVFQHIWD FLEQPICSVQPIELNFVDEPSENGATNKIEISMDCIRMQDSDLSDPMWPQYTNLGLLNSM DQQIQNGSSSTSPYNTDHAQNSVTAPSPYAQPSSTFDALSPSPAIPSNTDYPGPHSFDVS FQQSSTAKSATWTYSTELKKLYCQIAKTCPIQIKVMTPPPQGAVIRAMPVYKKAEHVTEV VKRCPNHELSREFNEGQIAPPSHLIRVEGNSHAQYVEDPITGRQSVLVPYEPPQVGTEFT TVLYNFMCNSSCVGGMNRRPILIIVTLETRDGQVLGRRCFEARICACPGRDRKADEDSIR KQQVSDSAKNGDGTKRPFRQNTHGIQMTSIKKRRSPDDELLYLPVRGRETYEMLLKIKES LELMQYLPQHTIETYRQQQQQQHQHLLQKQTSMQSQSSYGNSSPPLNKMNSMNKLPSVSQ LINPQQRNALTPTTMPEGMGANIPMMGTHMPMAGDMNGLSPTQALPPPLSMPSTSHCTPP PPYPTDCSIVRIWQV >ENSMUSP00000021844.8 pep:known chromosome:GRCm38:13:34002363:34033677:1 gene:ENSMUSG00000021408.14 transcript:ENSMUST00000021844.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripk1 description:receptor (TNFRSF)-interacting serine-threonine kinase 1 [Source:MGI Symbol;Acc:MGI:108212] MQPDMSLDNIKMASSDLLEKTDLDSGGFGKVSLCYHRSHGFVILKKVYTGPNRAEYNEVL LEEGKMMHRLRHSRVVKLLGIIIEEGNYSLVMEYMEKGNLMHVLKTQIDVPLSLKGRIIV EAIEGMCYLHDKGVIHKDLKPENILVDRDFHIKIADLGVASFKTWSKLTKEKDNKQKEVS STTKKNNGGTLYYMAPEHLNDINAKPTEKSDVYSFGIVLWAIFAKKEPYENVICTEQFVI CIKSGNRPNVEEILEYCPREIISLMERCWQAIPEDRPTFLGIEEEFRPFYLSHFEEYVEE DVASLKKEYPDQSPVLQRMFSLQHDCVPLPPSRSNSEQPGSLHSSQGLQMGPVEESWFSS SPEYPQDENDRSVQAKLQEEASYHAFGIFAEKQTKPQPRQNEAYNREEERKRRVSHDPFA QQRARENIKSAGARGHSDPSTTSRGIAVQQLSWPATQTVWNNGLYNQHGFGTTGTGVWYP PNLSQMYSTYKTPVPETNIPGSTPTMPYFSGPVADDLIKYTIFNSSGIQIGNHNYMDVGL NSQPPNNTCKEESTSRHQAIFDNTTSLTDEHLNPIRENLGRQWKNCARKLGFTESQIDEI DHDYERDGLKEKVYQMLQKWLMREGTKGATVGKLAQALHQCCRIDLLNHLIRASQS >ENSMUSP00000129831.1 pep:known chromosome:GRCm38:13:34002874:34035170:1 gene:ENSMUSG00000021408.14 transcript:ENSMUST00000167374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripk1 description:receptor (TNFRSF)-interacting serine-threonine kinase 1 [Source:MGI Symbol;Acc:MGI:108212] MQPDMSLDNIKMASSDLLEKTDLDSGGFGKVSLCYHRSHGFVILKKVYTGPNRAEYNEVL LEEGKMMHRLRHSRVVKLLGIIIEEGNYSLVMEYMEKGNLMHVLKTQIDVPLSLKGRIIV EAIEGMCYLHDKGVIHKDLKPENILVDRDFHIKIADLGVASFKTWSKLTKEKDNKQKEVS STTKKNNGGTLYYMAPEHLNDINAKPTEKSDVYSFGIVLWAIFAKKEPYENVICTEQFVI CIKSGNRPNVEEILEYCPREIISLMERCWQAIPEDRPTFLGIEEEFRPFYLSHFEEYVEE DVASLKKEYPDQSPVLQRMFSLQHDCVPLPPSRSNSEQPGSLHSSQGLQMGPVEESWFSS SPEYPQDENDRSVQAKLQEEASYHAFGIFAEKQTKPQPRQNEAYNREEERKRRVSHDPFA QQRARENIKSAGARGHSDPSTTSRGIAVQQLSWPATQTVWNNGLYNQHGFGTTGTGVWYP PNLSQMYSTYKTPVPETNIPGSTPTMPYFSGPVADDLIKYTIFNSSGIQIGNHNYMDVGL NSQPPNNTCKEESTSRHQAIFDNTTSLTDEHLNPIRENLGRQWKNCARKLGFTESQIDEI DHDYERDGLKEKVYQMLQKWLMREGTKGATVGKLAQALHQCCRIDLLNHLIRASQS >ENSMUSP00000128511.1 pep:known chromosome:GRCm38:13:34009698:34032782:1 gene:ENSMUSG00000021408.14 transcript:ENSMUST00000171137.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ripk1 description:receptor (TNFRSF)-interacting serine-threonine kinase 1 [Source:MGI Symbol;Acc:MGI:108212] XSDLLEKTDLDSGGFGKVSLCYHRSHGFVILKKVYTGPNRAEYNEVLLEEGKMMHRLRHS RVVKLLGIIIEEGNYSLVMEYMEKGNLMHVLKTQIADLGVASFKTWSKLTKEKDNKQKEV SSTTKKNNGGTLYYMAPEHLNDINAKPTEKSDVYSFGIVLWAIFAKKEPYENVICTEQFV ICIKSGNRPNVEEILEYCPREIISLMERCWQAIPEDRPTFLGIEEEFRPFYLSHFEEYVE EDVASLKKEYPDQSPVLQRMFSLQHDCVPLPPSRSNSEQPGSLHSSQGLQMGPVEESWFS SSPEYPQDENDRSVQAKLQEEASYHAFGIFAEKQTKPQPRQNEAYNREEERKRRVSHDPF AQQRARENIKSAGARGHSDPSTTSRGIAVQQLSWPATQTVWNNGLYNQHGFGTTGTGVWY PPNLSQMYSTYKTPVPETNIPGSTPTMPYFSGPVADDLIKYTIFNSSGIQIGNHNYMDVG LNSQPPNNTCKEESTSRHQAIFDNTTSLTDEHLNPIRENLGRQWKNCARKLGFTESQIDE IDHDYERDGLKEKVYQMLQKWLMREGTKGATVGKLAQALHQCCRIDLLNHLIRASQS >ENSMUSP00000097381.1 pep:known chromosome:GRCm38:2:89144086:89145021:-1 gene:ENSMUSG00000075100.3 transcript:ENSMUST00000099793.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1223 description:olfactory receptor 1223 [Source:MGI Symbol;Acc:MGI:3031057] MLNQSFVTEFILLGLSQNPKVEKILFVLFFLVYLATIGGNIVIVVTILFSPALFGSPMYF FLSFLSFLDACISSVITPKMIVDFFYETKTISFECCMVQLFAVHFFTGVEVIVLSAMAYD RYVAICKPLHYSSIMNQRLCVILVGIAWAGGFLHSITQIIFTLQLPFCGPNVIEHFICDL FPLLKLACTNTHIFVILVFANSGSICIIIFSLLLVSYGVILFSLRSHSSEGRSKALSTCG SHITVVLLFFVPCILIYARNTSAFSFEKNVFIFADVLTPLLNPMVYTFRNKEMKNAIKKI WRRLFNISDKH >ENSMUSP00000124757.1 pep:known chromosome:GRCm38:16:17276309:17278661:1 gene:ENSMUSG00000055692.18 transcript:ENSMUST00000159242.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem191c description:transmembrane protein 191C [Source:MGI Symbol;Acc:MGI:107238] MEAAAALEATRGGSEPWNSEPRPVQDCAGSLMEEVARADCEKRLFGGTGAGSLRLWALSA LQTLLLLPLGFLVLPLIYVVLAKPDAVGPGLQSLGSDAVFRRLRYTLSPLLELRARGLLP A >ENSMUSP00000123791.1 pep:known chromosome:GRCm38:16:17276342:17278657:1 gene:ENSMUSG00000055692.18 transcript:ENSMUST00000159065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem191c description:transmembrane protein 191C [Source:MGI Symbol;Acc:MGI:107238] MEAAAALEATRGGSEPWNSEPRPVQDCAGSLMEEVARADCEKRLFGGTGAGSLRLWALSA LQTLLLLPLGFLVLPLIYVVLAKPDAVGPGLQSLGSDAVFRRLRYTLSPLLELRARGLLP A >ENSMUSP00000125715.1 pep:known chromosome:GRCm38:16:17276434:17277737:1 gene:ENSMUSG00000055692.18 transcript:ENSMUST00000159494.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem191c description:transmembrane protein 191C [Source:MGI Symbol;Acc:MGI:107238] MEAAAALEATRGGSEPWNSEPRPVQDCAGSLMEEVARA >ENSMUSP00000123710.1 pep:known chromosome:GRCm38:16:17276445:17278661:1 gene:ENSMUSG00000055692.18 transcript:ENSMUST00000159811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem191c description:transmembrane protein 191C [Source:MGI Symbol;Acc:MGI:107238] MEAAAALEATRGGSEPWNSEPRPVQDCAGSLMEEVARADCEKRLFGGTGAGSLRLWALSA LQTLLLLPLGFLVLPLIYVVLAKPDAVGPGLQSLGSDAVFRRLRYTLSPLLELRARGLLP A >ENSMUSP00000068902.4 pep:known chromosome:GRCm38:16:17276677:17278661:1 gene:ENSMUSG00000055692.18 transcript:ENSMUST00000069420.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem191c description:transmembrane protein 191C [Source:MGI Symbol;Acc:MGI:107238] MEAAAALEATRGGSEPWNSEPRPVQDCAGSLMEEVARADCEKRLFGGTGAGSLRLWALSA LQTLLLLPLGFLVLPLIYVVLAKPDAVGPGLQSLGSDAVFRRLRYTLSPLLELRARGLLP A >ENSMUSP00000123917.1 pep:known chromosome:GRCm38:16:17276860:17278205:1 gene:ENSMUSG00000055692.18 transcript:ENSMUST00000161775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem191c description:transmembrane protein 191C [Source:MGI Symbol;Acc:MGI:107238] MEAAAALEATRGGSEPWNSEPRPVQDCAGSLMEEVARADCEKRLFGGTGAGSLRLWALSA LQTLLLLPLGFLVLPLIYVVLAKPDAVGPGLQSLGSDAVFRRLRYTLSPLLELRARGLLP A >ENSMUSP00000124217.1 pep:known chromosome:GRCm38:16:17276970:17283229:1 gene:ENSMUSG00000055692.18 transcript:ENSMUST00000162085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem191c description:transmembrane protein 191C [Source:MGI Symbol;Acc:MGI:107238] MEAAAALEATRGGSEPWNSEPRPVQDCAGSLMEEVARADCEKRLFGGTGAGSLRNSPQGQ >ENSMUSP00000131127.1 pep:known chromosome:GRCm38:16:17276300:17278660:1 gene:ENSMUSG00000055692.18 transcript:ENSMUST00000164950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem191c description:transmembrane protein 191C [Source:MGI Symbol;Acc:MGI:107238] MTEPQEQLLQLQKDNRDGRLRKQELEELVRGLEAESESLTGRLEELRERERSLQRRRSQA SRAIRGEACEAARERAERARGLLEAAEQHRQDLEQHNRKLQEQWEELSSQLFYYGGEQLS QQRAEQQLGNQLVALQKHLELAEAKFSMQAEDLRQGAQRTEEAWASFQEQSGVLQELQGK VMEAAAALEATRGGSEPWNSEPRPVQDCAGSLMEEVARADCEKRLFGGTGAGSLRLWALS ALQTLLLLPLGFLVLPLIYVVLAKPDAVGPGLQSLGSDAVFRRLRYTLSPLLELRARGLL PA >ENSMUSP00000141784.1 pep:known chromosome:GRCm38:Y:22025164:22051353:-1 gene:ENSMUSG00000103528.1 transcript:ENSMUST00000192521.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm31571 description:predicted gene, 31571 [Source:MGI Symbol;Acc:MGI:5590730] MRRMSLKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEGDED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVLMNLGTKN >ENSMUSP00000024794.5 pep:known chromosome:GRCm38:17:48448435:48451522:-1 gene:ENSMUSG00000023995.11 transcript:ENSMUST00000024794.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspo2 description:translocator protein 2 [Source:MGI Symbol;Acc:MGI:1917276] MQLQGPVFVGVPLLGPILICMLIHQPSSRCEDERKLPWCPPHKVILLVWVTIYSVMGYAS YLVWKELGGGFRWPLALPLGLYSFQLALSWTFLVLFLAADSPGLALLDLLLLYGLVASLV FIWQPINKLAALLLLPYLAWLTVTTAITYRLWRDSLCPTYQP >ENSMUSP00000124308.1 pep:known chromosome:GRCm38:17:48448519:48450073:-1 gene:ENSMUSG00000023995.11 transcript:ENSMUST00000162481.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspo2 description:translocator protein 2 [Source:MGI Symbol;Acc:MGI:1917276] MQLQGPVFVGVPLLGPILICMLIHQPSSRCEDERKLPWCPPHKVILLVWVTIYSVMGPSW TSYCSMDW >ENSMUSP00000124843.1 pep:known chromosome:GRCm38:17:48448568:48450070:-1 gene:ENSMUSG00000023995.11 transcript:ENSMUST00000159988.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspo2 description:translocator protein 2 [Source:MGI Symbol;Acc:MGI:1917276] MQLQGPVFVGVPLLGPILICMLIHQPSSRYASYLVWKELGGGFRWPLALPLGLYSFQLAL SWTFLVLFLAADSPGLALLDLLLLYGLVASLVFIWQPINKLAALLLLPYLAWLTVTTAIT YRLWRDSLCPTYQP >ENSMUSP00000018549.7 pep:known chromosome:GRCm38:11:84813061:84819515:-1 gene:ENSMUSG00000018405.7 transcript:ENSMUST00000018549.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrm1 description:mitochondrial rRNA methyltransferase 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2443470] MRRLWTVGCFSRLIARHFSSVARRGERPGGEELSRLLLDDLAPAQRLERLFGLSPCLLAL RAARRRVARLLLQAGKAGLQGERAELLRVAEARGIPVLRPRRQKLDALCGYQVHQGVCME VSPLRPRPCDEAADTSSGDDPQQLWLVLEGLQDPRNLGAVMRSAHFLGVDRVITSQRNSC PLTPVVSKASAGAMEVMDVFATPDLPGFLQAKAQQGWLVVGTVGCPGPEISQSSKVPITS CLEFVWDRPTLLVLGSEGSGLSQEVFASCQLLLTILPRRHLPPGLESLNVSVATGILLHS ICSQKKGFPVQERGQLLQDS >ENSMUSP00000077017.3 pep:known chromosome:GRCm38:4:74242497:74405860:1 gene:ENSMUSG00000028397.13 transcript:ENSMUST00000077851.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4c description:lysine (K)-specific demethylase 4C [Source:MGI Symbol;Acc:MGI:1924054] MEVVEVESPLNPSCKIMTFRPSMEEFREFNKYLAYMESKGAHRAGLAKVIPPKEWKPRQC YDDIDNLLIPAPIQQMVTGQSGLFTQYNIQKKAMTVKEFRQLANSSKYCTPRYLDYEDLE RKYWKNLTFVAPIYGADINGSIYDEGVDEWNIARLNTVLDVVEEECGISIEGVNTPYLYF GMWKTTFAWHTEDMDLYSINYLHFGEPKSWYAIPPEHGKRLERLAQGFFPSSSQGCDAFL RHKMTLISPSVLKKYGIPFDKITQEAGEFMITFPYGYHAGFNHGFNCAESTNFATVRWID YGKVAKLCTCRNDMVKISMDIFVKKFQPDRYQIWKQGKDIYTIDHTKPTPESTPEVKTWL QRKKKLRKPPKSLQGNKPLCKRPKPEEDEEFAEFSGEEGANPAMGPRHLKVTEKPEKALK LGKLEESSAKEALDTRIQVDQSLLNDTKLSGKGCISSSVTAEIPPEDNRASAVISPSQLK EGADCIPLSHGHQAGKESHLLKILKLESPKIPSPLPESNKVLTEGEENDEEGHGSNLEPG EIPEALSEERNGLNIPKIIEGQPKTTKSWRHPLGKPPARSPMTLVKQQVASDEELPEVLS IDEEVEETESWAKPLIHLWQTKSPNFMAEQEYNATVAKMEPNCAICTLLMPYYKPDSSKE ENDSRWETAVNEVVQSGRKTKPIIPEMCFIYSEENVDYSPPNAFLEEDGTSLLISCAKCF VRVHASCYGVPSHEVCDGWLCARCKRNAWTAECCLCNLRGGALKQTKNNQWAHVICAVAV PEVRFTNVPERTQIDVDRIPLQRLKLKCIFCRHRVKKVSGACIQCSYGRCPASFHVTCAH AAGVLMEPDDWPYVVNITCFRHRVNSNAKSKTCEKAISVGQTVITKHRNTRYYSCRVIDV TSQTFYEVMFDDGSFSRDTFPEDIVSRNCVKLGPPAEGEVIQVKWPDGKLYGAKYLGSNV AYMYQVEFEDGSQIAMKREDIYTLDEELPKRVKARFSTASDMRFEDTFYGADVIQGERKR QRVLSSRLKNEYVDDPVYRTFLKSSFQKKCQKRQ >ENSMUSP00000030102.5 pep:known chromosome:GRCm38:4:74251936:74405860:1 gene:ENSMUSG00000028397.13 transcript:ENSMUST00000030102.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4c description:lysine (K)-specific demethylase 4C [Source:MGI Symbol;Acc:MGI:1924054] MEVVEVESPLNPSCKIMTFRPSMEEFREFNKYLAYMESKGAHRAGLAKVIPPKEWKPRQC YDDIDNLLIPAPIQQMVTGQSGLFTQYNIQKKAMTVKEFRQLANSSKYCTPRYLDYEDLE RKYWKNLTFVAPIYGADINGSIYDEGVDEWNIARLNTVLDVVEEECGISIEGVNTPYLYF GMWKTTFAWHTEDMDLYSINYLHFGEPKSWYAIPPEHGKRLERLAQGFFPSSSQGCDAFL RHKMTLISPSVLKKYGIPFDKITQEAGEFMITFPYGYHAGFNHGFNCAESTNFATVRWID YGKVAKLCTCRNDMVKISMDIFVKKFQPDRYQIWKQGKDIYTIDHTKPTPESTPEVKTWL QRKKKLRKPPKSLQGNKPLCKRPKPEEDEEFAEFSGEEGANPAMGPRHLKVTEKPEKALK LGKLEESSAKEALDTRIQVDQSLLNDTKLSGKGCISSSVTAEIPPEDNRASAVISPSQLK EGADCIPLSHGHQAGKESHLLKILKLESPKIPSPLPESNKVLTEGEENDEEGHGSNLEPG EIPEALSEERNGLNIPKIIEGQPKTTKSWRHPLGKPPARSPMTLVKQQVASDEELPEVLS IDEEVEETESWAKPLIHLWQTKSPNFMAEQEYNATVAKMEPNCAICTLLMPYYKPDSSKE ENDSRWETAVNEVVQSGRKTKPIIPEMCFIYSEENVDYSPPNAFLEEDGTSLLISCAKCF VRVHASCYGVPSHEVCDGWLCARCKRNAWTAECCLCNLRGGALKQTKNNQWAHVICAVAV PEVRFTNVPERTQIDVDRIPLQRLKLKCIFCRHRVKKVSGACIQCSYGRCPASFHVTCAH AAGVLMEPDDWPYVVNITCFRHRVNSNAKSKTCEKAISVGQTVITKHRNTRYYSCRVIDV TSQTFYEVMFDDGSFSRDTFPEDIVSRNCVKLGPPAEGEVIQVKWPDGKLYGAKYLGSNV AYMYQVEFEDGSQIAMKREDIYTLDEELPKRVKARFSTASDMRFEDTFYGADVIQGERKR QRVLSSRLKNEYVDDPVYRTFLKSSFQKKCQKRQ >ENSMUSP00000118728.1 pep:known chromosome:GRCm38:4:74262809:74333742:1 gene:ENSMUSG00000028397.13 transcript:ENSMUST00000149295.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kdm4c description:lysine (K)-specific demethylase 4C [Source:MGI Symbol;Acc:MGI:1924054] MEVVEVESPLNPSCKIMTFRPSMEEFREFNKYLAYMESKGAHRAGLAKCTCRNDMVKISM DIFVKKFQPDRYQIWKQGKDIYTIDHTKPTPESTPEVKTWLQRKKKLRKPPKSLQGNKPL CKRPKPEEDEEFAEFSGEEGANPAMGPRH >ENSMUSP00000007799.6 pep:known chromosome:GRCm38:6:17306335:17341324:1 gene:ENSMUSG00000007655.16 transcript:ENSMUST00000007799.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav1 description:caveolin 1, caveolae protein [Source:MGI Symbol;Acc:MGI:102709] MSGGKYVDSEGHLYTVPIREQGNIYKPNNKAMADEVTEKQVYDAHTKEIDLVNRDPKHLN DDVVKIDFEDVIAEPEGTHSFDGIWKASFTTFTVTKYWFYRLLSTIFGIPMALIWGIYFA ILSFLHIWAVVPCIKSFLIEIQCISRVYSIYVHTFCDPLFEAIGKIFSNIRISTQKEI >ENSMUSP00000111116.1 pep:known chromosome:GRCm38:6:17306345:17340429:1 gene:ENSMUSG00000007655.16 transcript:ENSMUST00000115456.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav1 description:caveolin 1, caveolae protein [Source:MGI Symbol;Acc:MGI:102709] MSGGKYVDSEGHLYTVPIREQGNIYKPNNKAMADEVTEKQVYDAHTKEIDLVNRDPKHLN DDVVKIDFEDVIAEPEGTHSFDGIWKASFTTFTVTKYWFYRLLSTIFGIPMALIWGIYFA ILSFLHIWAVVPCIKSFLIEIQCISRVYSIYVHTFCDPLFEAIGKIFSNIRISTQKEI >ENSMUSP00000111115.2 pep:known chromosome:GRCm38:6:17306410:17339296:1 gene:ENSMUSG00000007655.16 transcript:ENSMUST00000115455.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav1 description:caveolin 1, caveolae protein [Source:MGI Symbol;Acc:MGI:102709] MSGGKYVDSEGNIYKPNNKAMADEVTEKQVYDAHTKEIDLVNRDPKHLNDDVVKIDFEDV IAEPEGTHSFDGIWKASFTTFTVTKYWFYRLLSTIFGIPMALIWGIYFAILSFLH >ENSMUSP00000135875.1 pep:known chromosome:GRCm38:6:17306411:17339351:1 gene:ENSMUSG00000007655.16 transcript:ENSMUST00000177234.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cav1 description:caveolin 1, caveolae protein [Source:MGI Symbol;Acc:MGI:102709] MSGGKYVDSEVGLGTSLHCSHPGTGQHLQAQQQGHGRRGD >ENSMUSP00000135374.1 pep:known chromosome:GRCm38:6:17306423:17308203:1 gene:ENSMUSG00000007655.16 transcript:ENSMUST00000150901.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav1 description:caveolin 1, caveolae protein [Source:MGI Symbol;Acc:MGI:102709] MSGGKYVDSEGHLYTVPIREQGNIYKPNNKAMADEVTEKQVYDAHTKEIDLVNRDPKHLN DDVVKVSMLLSGSHSVLSQALTDKHSFFELGCV >ENSMUSP00000120252.1 pep:known chromosome:GRCm38:6:17307048:17339153:1 gene:ENSMUSG00000007655.16 transcript:ENSMUST00000123439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav1 description:caveolin 1, caveolae protein [Source:MGI Symbol;Acc:MGI:102709] MADEVTEKQVYDAHTKEIDLVNRDPKHLNDDVVKIDFEDVIAEPEGT >ENSMUSP00000111114.1 pep:known chromosome:GRCm38:6:17307278:17339519:1 gene:ENSMUSG00000007655.16 transcript:ENSMUST00000115454.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav1 description:caveolin 1, caveolae protein [Source:MGI Symbol;Acc:MGI:102709] MADEVTEKQVYDAHTKEIDLVNRDPKHLNDDVVKIDFEDVIAEPEGTHSFDGIWKASFTT FTVTKYWFYRLLSTIFGIPMALIWGIYFAILSFLHIWAVVPCIKSFLIEIQCISRVYSIY VHTFCDPLFEAIGKIFSNIRISTQKEI >ENSMUSP00000111113.1 pep:known chromosome:GRCm38:6:17307640:17341452:1 gene:ENSMUSG00000007655.16 transcript:ENSMUST00000115453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav1 description:caveolin 1, caveolae protein [Source:MGI Symbol;Acc:MGI:102709] MADEVTEKQVYDAHTKEIDLVNRDPKHLNDDVVKIDFEDVIAEPEGTHSFDGIWKASFTT FTVTKYWFYRLLSTIFGIPMALIWGIYFAILSFLHIWAVVPCIKSFLIEIQCISRVYSIY VHTFCDPLFEAIGKIFSNIRISTQKEI >ENSMUSP00000106111.1 pep:known chromosome:GRCm38:13:21444534:21453730:-1 gene:ENSMUSG00000022228.13 transcript:ENSMUST00000110485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan26 description:zinc finger and SCAN domain containing 26 [Source:MGI Symbol;Acc:MGI:3531417] MALALIHPSKRAYSLAPLNLKEELQGFKVQGDRKGVGQEPLCKQFRQLRYEESTGPREVL RRLRELCRQWLRPETHSKEQILELLVLEQFLTILPRDLQVQVLEHHPETGEELVGILEDL QLDRGKAGEQKDSAQRSRPTVLVGEPAPRREAREQPGCALPQKPEERGKETRSENGNLIA GTDSCGRMESSCTMTEPIEAQCEDLSLKKNPAMPKEKTNSQCLETKERLVQNSGLIEHDR AHTGEMSWESVGSQSSVAADHQEISKDKGHPCQECGKVFQRSSHLIRHQKIHLGEKPYQC KECGKVFSQNAGLLEHLRIHTGEKPYLCIHCGKNFRRSSHLNRHQKIHSQDEPRECKECG KTFSRALLLTHHQRVHGRSKRHHCNECGKAFSLTSDLIRHHRIHTGEKPFKCNVCQKAFR LNSHLDQHVRIHNEEKPYKCSECNEAFRQKSGLFQHQRHHHKSKLA >ENSMUSP00000032820.6 pep:known chromosome:GRCm38:13:21442175:21453727:-1 gene:ENSMUSG00000022228.13 transcript:ENSMUST00000032820.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zscan26 description:zinc finger and SCAN domain containing 26 [Source:MGI Symbol;Acc:MGI:3531417] MALALDSAQRSRPTVLVGEPAPRREAREQPGCALPQKPEERGKETRSENGNLIAGTDSCG RMESSCTMTEPIEAQCEDLSLKKNPAMPKEKTNSQCLETKERLVQNSGLIEHDRAHTGEM SWESVGSQSSVAADHQEISKDKGHPCQECGKVFQRSSHLIRHQKIHLGEKPYQCKECGKV FSQNAGLLEHLRIHTGEKPYLCIHCGKNFRRSSHLNRHQKIHSQDEPRECKECGKTFSRA LLLTHHQRVHGRSKRHHCNECGKAFSLTSDLIRHHRIHTGEKPFKCNVCQKAFRLNSHLD QHVRIHNEEKPYKCSECNEAFRQKSGLFQHQRHHHKSKLA >ENSMUSP00000134259.1 pep:known chromosome:GRCm38:15:36009479:36140400:-1 gene:ENSMUSG00000037627.15 transcript:ENSMUST00000172831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs22 description:regulator of G-protein signalling 22 [Source:MGI Symbol;Acc:MGI:3613651] MPEKRLSAEPPEVTEEEFENYLATDNFLVDYFNEFLSLPTFPEAIRFNVDYGVFEVVNDA PQLLEKQLKKILQNQQPRNPIYDVVRKGKSDSKSTQKSVPCEDEAINVNYSIMCLKREQG INWIKRERLPAFLESDCYFEYRLAKLISQATWSSTGMNFIVGTNFTPWILRRPPAPPPPS TDEDNYMIMKKFYVSLGQASYTQTKDWFTLAKESENTVTMASLPCCIPHHQTASPVIATA SEIFDDGVHPRTIKSLSKSSKAVSELDEEEEGSISMKDSPSQALLRVYLEKKGGKERNLT LHFSSVEEFLDAYIIFILREAIQHITGQSLSDTPEYINYYKVSHVIFDKVPPVPSNKAIV SPPVEMVEEISKDRLENVSLSSESESIGPESRADWCISHRTYDIGNRREFERFKKFLKGT LGERYWWLWMDIERLKVLKDPERHQRHLEKMKKCYLVSSGERYLSAEILSKFKLLHGSRW TADHLKNIQAEVLKPLLLYWAPRFCVTHSASAKNASTELKFWRLRQEKPRKDVDPFPQMA TLLPLRPKSCIPQTPEVQGEEINLFQPSKFKKLSKINAGTQQLGRSEPLNAVSSKDGGLE KGSKRLPESTTVVRLTSFTDISECLKPQLERKYTYTEEHNVKTVSNVGALGGFDMENLLQ SLYVENRAGFFFTKFCENSGNKLWKHSVYFWFDLQAYHQLFYQETLQPFKVCKQAQYLFA TYIAPSASFDIGLHQEGKKDIYMKIQPPFEDLFDTAEEFILLSLLEPWTQMVMSDKMAYK KVELQEETRQLDSACFRKLHALHKETISKKAEDTTGYAMAKLSLSDVSKQTEYWLNVPEG YKHFTFTDLLNNKLEFEHFRQFLESHSSSLDLMCWIDIEQFRRIIFKDQKQREEKSIYIK NKYLNKKYFFGPRSPASLHQQNQIMLLSGGWGRILHEQLDASVLVEIQKHVLNRLENVWL PLFLSSEQFASRQKIKTQMKDIADELLLQRHDRKIGVWKPVESKWISSSCEIIAFRKALL NPVIARQFQRFVALKGDLLENGVLFWQEVQKFKDLCHSHCDESIIHKKITTIINCFINSY IPPALQIDIPVEQAQKILEHRKELGPYVFREAQMTIFGVLFKFWPQFCEFRKNLTDEKIM SVLERRQEHKQKRKASDTEEDKAGKSGVKQYASTTGSLTKPVLGSESLLVLQSYGRQPTW CYSKYIEALEQERILLKIQEEVERKMFTGTSSFTNLLKPSTGSALSLKKNVSLHSIQR >ENSMUSP00000133703.1 pep:known chromosome:GRCm38:15:36009570:36040679:-1 gene:ENSMUSG00000037627.15 transcript:ENSMUST00000173018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs22 description:regulator of G-protein signalling 22 [Source:MGI Symbol;Acc:MGI:3613651] XIIAFRKALLNPVIARQFQRFVALKGDLLENGVLFWQEVQKFKDLCHSHCDESIIHKKIT TIINCFINSYIPPALQIDIPVEQAQKILEHRKELGPYVFREAQMTIFGVLFKFWPQFCEF RKNLTDEKIMSVLERRQEHKQKRKASDTEEDKAGKSGVKQYASTTGSLTKPVLGSESLLV LQSYGRQPTWCYSKYIEALEQERILLKIQEEVERKMFTG >ENSMUSP00000134185.1 pep:known chromosome:GRCm38:15:36010333:36107121:-1 gene:ENSMUSG00000037627.15 transcript:ENSMUST00000174881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs22 description:regulator of G-protein signalling 22 [Source:MGI Symbol;Acc:MGI:3613651] TFPEAIRFNVDYGVFEVVNDAPQLLEKQLKKILQNQQPRNPIYDVVRKGKSDSKSTQKSV PCEDEAINVNYSIMASYTQTKDWFTLAKESENTVTMASLPCCIPHHQTASPVIATASEIF DDGVHPRTIKSLSKSSKAVSELDEEEEGSISMKDSPSQALLRVYLEKKGGKERNLTLHFS SVEEFLDAYIIFILREAIQHITGQSLSDTPEYINYYKVSHVIFDKVPPVPSNKAIVSPPV EMVEEISKDRLENVSLSSESESIGPESRADWCISHRTYDIGNRREFERFKKFLKGTLGER YWWLWMDIERLKVLKDPERHQRHLEKMKKCYLVSSGERYLSAEILSKFKLLHGSRWTADH LKNIQAEVLKPLLLYWAPRFCVTHSASAKNASTELKFWRLRQEKPRKDVDPFPQMATLLP LRPKSCIPQTPEVQGEEINLFQPSKFKKLSKINAGTQQLGRSEPLNAVSSKDGGLEKGSK RLPESTTVVRLTSFTDISECLKPQLERKYTYTEEHNVKTVSNVGALGGFDMENLLQSLYV ENRAGFFFTKFCENSGNKLWKHSVYFWFDLQAYHQLFYQETLQPFKVCKQAQYLFATYIA PSASFDIGLHQEGKKDIYMKIQPPFEDLFDTAEEFILLSLLEPWTQMVMSDKMAYKKVEL QEETRQLDSACFRKLHALHKETISKKAEDTTGYAMAKLSLSDVSKQTEYWLNVPEGYKHF TFTDLLNNKLEFEHFRQFLESHSSSLDLMCWIDIEQFRRIIFKDQKQREEKSIYIKNKYL NKKYFFGPRSPASLHQQNQIMLLSGGWGRILHEQLDASVLVEIQKHVLNRLENVWLPLFL SSEQFASRQKIKTQMKDIADELLLQRHDRKIGVWKPVESKWISSSCEIIAFRKALLNPVI ARQFQRFVALKGDLLENGVLFWQEVQKFKDLCHSHCDESIIHKKITTIINCFINSYIPPA LQIDIPVEQAQKILEHRKELGPYVFREAQMTIFGVLFKFWPQFCEFRKNLTDEKIMSVLE RRQEHKQKRKASDTEEDKAGKSGVKQYASTTGSLTKPVLGSESLLVLQSYGRQPTWCYSK YIEALEQERILLKIQEEVERKMFTGTSSFTNLLKPSTGSALSLKKNVSLHSIQR >ENSMUSP00000133508.1 pep:known chromosome:GRCm38:15:36048875:36083741:-1 gene:ENSMUSG00000037627.15 transcript:ENSMUST00000172737.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rgs22 description:regulator of G-protein signalling 22 [Source:MGI Symbol;Acc:MGI:3613651] XSKRLPESTTVVRLTSFTDISECLKPQLERKYTYTEEHNVKTVSNVGALGGFDMENLLQS LYVENRAGFFFTKFCENSGNKAYHQLFYQETLQPFKVCKQAQYLFATYIAPSASFDIGLH QEGKKDIYMKIQPPFEDLFDTAEEFILLSLLEPWTQMVMSDKMAYKKVELQEETRQLDSA CFRKLHALHKETISKKAEDTTGYAMAKLSLSDVSKQTEYWLNVPEGYKHFTFTDLLNNKL EFEHFRQFLESHSSSLDLMCWI >ENSMUSP00000113498.1 pep:known chromosome:GRCm38:16:4287529:4419808:-1 gene:ENSMUSG00000005580.11 transcript:ENSMUST00000117801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy9 description:adenylate cyclase 9 [Source:MGI Symbol;Acc:MGI:108450] MASSPHQQLLHHHSTEVSCDSSGDSNSVRVKINPKQLSSNTHPKHCKYSISSSCSSSGDS GGLPRRVGGGGRLRRQKKLPQLFERASSRWWDPKFDSMNLEEACLERCFPQTQRRFRYAL FYVGFACLLWSIYFAVHMKSKVIVMVVPALCFLVVCVGFFLFTFTKLYARHYAWTSLALT LLVFALTLAAQFQVWTPLSGRVDSSNHTLTATPADTCLSQVGSFSICIEVLLLLYTVMQL PLYLSLFLGVVYSVLFETFGYHFRNEDCYPSPGPGALHWELLSRALLHVCIHAIGIHLFV MSQVRSRSTFLKVGQSIMHGKDLEVEKALKERMIHSVMPRIIADDLMKQGDEESENSVKR HATSSPKNRKKKSSIQKAPIAFRPFKMQQIEEVSILFADIVGFTKMSANKSAHALVGLLN DLFGRFDRLCEQTKCEKISTLGDCYYCVAGCPEPRADHAYCCIEMGLGMIKAIEQFCQEK KEMVNMRVGVHTGTVLCGILGMRRFKFDVWSNDVNLANLMEQLGVAGKVHISEATAKYLD DRYEMEDGRVIERLGQSVVADQLKGLKTYLISGQRAKESHCSCAEALLSGFEVIDDSRES SGPRGQGTASPGSVSDLAQTVKTFDNLKTCPSCGITFAPKSEAGAEGGTVQNGCQDEPKT STKASGGPNSKTQNGLLSPPAEEKLTNSQTSLCEILQEKGRWAGVSLDQSALLPLRFKNI REKTDAHFVDVIKEDSLMKDYFFKPPINQFSLNFLDQELERSYRTSYQEEVIKNSPVKTF ASATFSSLLDVFLSTTVFLILSITCFLKYGATATPPPPAALAVFGADLLLEVLSLIVSIR MVFFLEDVMTCTKWLLEWIAGWLPRHCIGAILVSLPALAVYSHITSEFETNIHVTMFTGS AVLVAVVHYCNFCQLSSWMRSSLATIVGAGLLLLLHISLCQDSSIVMSPLDSAQNFSAQR NPCNSSVLQDGRRPASLIGKELILTFFLLLLLVWFLNREFEVSYRLHYHGDVEADLHRTK IQSMRDQADWLLRNIIPYHVAEQLKVSQTYSKNHDSGGVIFASIVNFSEFYEENYEGGKE CYRVLNELIGDFDELLSKPDYNSIEKIKTIGATYMAASGLNTAQCQEGGHPQEHLRILFE FAKEMMRVVDDFNNNMLWFNFKLRVGFNHGPLTAGVIGTTKLLYDIWGDTVNIASRMDTT GVECRIQVSEESYRVLSKMGYDFDYRGTVNVKGKGQMKTYLYPKCTDNGVVPQHQLSISP DIRVQVDGSIGRSPTDEIANLVPSVQYSDKASLGSDDSTQAKEARLSSKRSWREPVKAEE RFPFGKAIEKDSCEDIGVEEASELSKLNVSKSV >ENSMUSP00000113421.1 pep:known chromosome:GRCm38:16:4287529:4420498:-1 gene:ENSMUSG00000005580.11 transcript:ENSMUST00000120080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy9 description:adenylate cyclase 9 [Source:MGI Symbol;Acc:MGI:108450] MQLPLYLSLFLGVVYSVLFETFGYHFRNEDCYPSPGPGALHWELLSRALLHVCIHAIGIH LFVMSQVRSRSTFLKVGQSIMHGKDLEVEKALKERMIHSVMPRIIADDLMKQGDEESENS VKRHATSSPKNRKKKSSIQKAPIAFRPFKMQQIEEVSILFADIVGFTKMSANKSAHALVG LLNDLFGRFDRLCEQTKCEKISTLGDCYYCVAGCPEPRADHAYCCIEMGLGMIKAIEQFC QEKKEMVNMRVGVHTGTVLCGILGMRRFKFDVWSNDVNLANLMEQLGVAGKVHISEATAK YLDDRYEMEDGRVIERLGQSVVADQLKGLKTYLISGQRAKESHCSCAEALLSGFEVIDDS RESSGPRGQGTASPGSVSDLAQTVKTFDNLKTCPSCGITFAPKSEAGAEGGTVQNGCQDE PKTSTKASGGPNSKTQNGLLSPPAEEKLTNSQTSLCEILQEKGRWAGVSLDQSALLPLRF KNIREKTDAHFVDVIKEDSLMKDYFFKPPINQFSLNFLDQELERSYRTSYQEEVIKNSPV KTFASATFSSLLDVFLSTTVFLILSITCFLKYGATATPPPPAALAVFGADLLLEVLSLIV SIRMVFFLEDVMTCTKWLLEWIAGWLPRHCIGAILVSLPALAVYSHITSEFETNIHVTMF TGSAVLVAVVHYCNFCQLSSWMRSSLATIVGAGLLLLLHISLCQDSSIVMSPLDSAQNFS AQRNPCNSSVLQDGRRPASLIGKELILTFFLLLLLVWFLNREFEVSYRLHYHGDVEADLH RTKIQSMRDQADWLLRNIIPYHVAEQLKVSQTYSKNHDSGGVIFASIVNFSEFYEENYEG GKECYRVLNELIGDFDELLSKPDYNSIEKIKTIGATYMAASGLNTAQCQEGGHPQEHLRI LFEFAKEMMRVVDDFNNNMLWFNFKLRVGFNHGPLTAGVIGTTKLLYDIWGDTVNIASRM DTTGVECRIQVSEESYRVLSKMGYDFDYRGTVNVKGKGQMKTYLYPKCTDNGVVPQHQLS ISPDIRVQVDGSIGRSPTDEIANLVPSVQYSDKASLGSDDSTQAKEARLSSKRSWREPVK AEERFPFGKAIEKDSCEDIGVEEASELSKLNVSKSV >ENSMUSP00000005719.3 pep:known chromosome:GRCm38:16:4287545:4419587:-1 gene:ENSMUSG00000005580.11 transcript:ENSMUST00000005719.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adcy9 description:adenylate cyclase 9 [Source:MGI Symbol;Acc:MGI:108450] MASSPHQQLLHHHSTEVSCDSSGDSNSVRVKINPKQLSSNTHPKHCKYSISSSCSSSGDS GGLPRRVGGGGRLRRQKKLPQLFERASSRWWDPKFDSMNLEEACLERCFPQTQRRFRYAL FYVGFACLLWSIYFAVHMKSKVIVMVVPALCFLVVCVGFFLFTFTKLYARHYAWTSLALT LLVFALTLAAQFQVWTPLSGRVDSSNHTLTATPADTCLSQVGSFSICIEVLLLLYTVMQL PLYLSLFLGVVYSVLFETFGYHFRNEDCYPSPGPGALHWELLSRALLHVCIHAIGIHLFV MSQVRSRSTFLKVGQSIMHGKDLEVEKALKERMIHSVMPRIIADDLMKQGDEESENSVKR HATSSPKNRKKKSSIQKAPIAFRPFKMQQIEEVSILFADIVGFTKMSANKSAHALVGLLN DLFGRFDRLCEQTKCEKISTLGDCYYCVAGCPEPRADHAYCCIEMGLGMIKAIEQFCQEK KEMVNMRVGVHTGTVLCGILGMRRFKFDVWSNDVNLANLMEQLGVAGKVHISEATAKYLD DRYEMEDGRVIERLGQSVVADQLKGLKTYLISGQRAKESHCSCAEALLSGFEVIDDSRES SGPRGQGTASPGSVSDLAQTVKTFDNLKTCPSCGITFAPKSEAGAEGGTVQNGCQDEPKT STKASGGPNSKTQNGLLSPPAEEKLTNSQTSLCEILQEKGRWAGVSLDQSALLPLRFKNI REKTDAHFVDVIKEDSLMKDYFFKPPINQFSLNFLDQELERSYRTSYQEEVIKNSPVKTF ASATFSSLLDVFLSTTVFLILSITCFLKYGATATPPPPAALAVFGADLLLEVLSLIVSIR MVFFLEDVMTCTKWLLEWIAGWLPRHCIGAILVSLPALAVYSHITSEFETNIHVTMFTGS AVLVAVVHYCNFCQLSSWMRSSLATIVGAGLLLLLHISLCQDSSIVMSPLDSAQNFSAQR NPCNSSVLQDGRRPASLIGKELILTFFLLLLLVWFLNREFEVSYRLHYHGDVEADLHRTK IQSMRDQADWLLRNIIPYHVAEQLKVSQTYSKNHDSGGVIFASIVNFSEFYEENYEGGKE CYRVLNELIGDFDELLSKPDYNSIEKIKTIGATYMAASGLNTAQCQEGGHPQEHLRILFE FAKEMMRVVDDFNNNMLWFNFKLRVGFNHGPLTAGVIGTTKLLYDIWGDTVNIASRMDTT GVECRIQVSEESYRVLSKMGYDFDYRGTVNVKGKGQMKTYLYPKCTDNGVVPQHQLSISP DIRVQVDGSIGRSPTDEIANLVPSVQYSDKASLGSDDSTQAKEARLSSKRSWREPVKAEE RFPFGKAIEKDSCEDIGVEEASELSKLNVSKSV >ENSMUSP00000062441.8 pep:known chromosome:GRCm38:6:122921848:122934619:1 gene:ENSMUSG00000049037.8 transcript:ENSMUST00000060484.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clec4a1 description:C-type lectin domain family 4, member a1 [Source:MGI Symbol;Acc:MGI:3036291] MALPNIYTDVNFKNQPVSSGLISDSSSCTVSDSSSALPKKTTIHKSNPGFPRLLLALWIF FLLLAILFSVALIILFQMYSDLLEEKYTLERLNHARLHCVKNHSSVEDKVWSCCPKNWKP FDSHCYFTSRDTASWSKSEEKCSLRGAHLLVIQSQEEQDFITNTLNPRAAYYVGLSDPKG HGQWQWVDQTPYDQNATSWHSDEPSGNTEFCVVLSYHPNVKGWGWSVAPCDGDHRLICEM RQLYV >ENSMUSP00000120762.1 pep:known chromosome:GRCm38:17:55445382:55483032:1 gene:ENSMUSG00000024172.10 transcript:ENSMUST00000133899.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6gal2 description:beta galactoside alpha 2,6 sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:2445190] MKPHLKQWRQRMLFGIFVWGLLFLAIFIYFTNSNPAAPMPSSFSFLERRGLLPLQGKQRV IMGALQEPSLPRSLDASKVLLDSHPENPFHPWPGDPQKWDQAPNGFDNGDEFFTSQVGRK SQSAFYPEEDSYFFVADQPELYHHRQGALELPSPGETSWRSGPVQPKQKLLHPRRGSLPE EAYDSDMLSASMSRAFLYRLWKGAVSSKMLNPRLQKAMRYYMSFNKHGVRFRRRGRREAT RTGPELLCEMRRRVRVRTLDGREAPFSGLGWRPLVPGVPLSQLHPRGLSSCAVVMSAGAI LNSSLGEEIGGSRGAVFSELSELLVPVWGCAKDARQASSILGTVVIMSRINQGQG >ENSMUSP00000084091.3 pep:known chromosome:GRCm38:17:55445389:55499226:1 gene:ENSMUSG00000024172.10 transcript:ENSMUST00000086878.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6gal2 description:beta galactoside alpha 2,6 sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:2445190] MKPHLKQWRQRMLFGIFVWGLLFLAIFIYFTNSNPAAPMPSSFSFLERRGLLPLQGKQRV IMGALQEPSLPRSLDASKVLLDSHPENPFHPWPGDPQKWDQAPNGFDNGDEFFTSQVGRK SQSAFYPEEDSYFFVADQPELYHHRQGALELPSPGETSWRSGPVQPKQKLLHPRRGSLPE EAYDSDMLSASMSRAFLYRLWKGAVSSKMLNPRLQKAMRYYMSFNKHGVRFRRRGRREAT RTGPELLCEMRRRVRVRTLDGREAPFSGLGWRPLVPGVPLSQLHPRGLSSCAVVMSAGAI LNSSLGEEIDSHDAVLRFNSAPTRGYEKDVGNKTTVRIINSQILANPSHHFIDSALYKDV ILVAWDPAPYSANLNLWYKKPDYNLFTPYIQHRRKYPTQPFYILHPKFIWQLWDIIQENT REKIQPNPPSSGFIGTCV >ENSMUSP00000025000.3 pep:known chromosome:GRCm38:17:55445550:55514581:1 gene:ENSMUSG00000024172.10 transcript:ENSMUST00000025000.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6gal2 description:beta galactoside alpha 2,6 sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:2445190] MKPHLKQWRQRMLFGIFVWGLLFLAIFIYFTNSNPAAPMPSSFSFLERRGLLPLQGKQRV IMGALQEPSLPRSLDASKVLLDSHPENPFHPWPGDPQKWDQAPNGFDNGDEFFTSQVGRK SQSAFYPEEDSYFFVADQPELYHHRQGALELPSPGETSWRSGPVQPKQKLLHPRRGSLPE EAYDSDMLSASMSRAFLYRLWKGAVSSKMLNPRLQKAMRYYMSFNKHGVRFRRRGRREAT RTGPELLCEMRRRVRVRTLDGREAPFSGLGWRPLVPGVPLSQLHPRGLSSCAVVMSAGAI LNSSLGEEIDSHDAVLRFNSAPTRGYEKDVGNKTTVRIINSQILANPSHHFIDSALYKDV ILVAWDPAPYSANLNLWYKKPDYNLFTPYIQHRRKYPTQPFYILHPKFIWQLWDIIQENT REKIQPNPPSSGFIGILIMMSMCKEVHVYEYIPSVRQTELCHYHELYYDAACTLGAYHPL LYEKLLVQRLNTGTQADLHHKGKVVLPGFQTLRCPVTSPNNTHS >ENSMUSP00000027956.2 pep:known chromosome:GRCm38:2:3455815:3475031:-1 gene:ENSMUSG00000026646.16 transcript:ENSMUST00000027956.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv39h2 description:suppressor of variegation 3-9 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890396] MAAARAKARGSEAGARCHRAPGPPPRPKARRTARRRRAETLTARRSRPSAGERRAGSQRA WSGAPRAAVFGDECARGALFKAWCVPCLVSLDTLQELCRKEKLTCKSIGITKRNLNNYEV EYLCDYKVAKGVEYYLVKWKGWPDSTNTWEPLRNLRCPQLLRQFSDDKKTYLAQERKCKA VNSKSLQPAIAEYIVQKAKQRIALQRWQDYLNRRKNHKGMIFVENTVDLEGPPLDFYYIN EYRPAPGISINSEATFGCSCTDCFFDKCCPAEAGVVLAYNKKQQIKIQPGTPIYECNSRC RCGPECPNRIVQKGTQYSLCIFRTSNGCGWGVKTLVKIKRMSFVMEYVGEVITSEEAERR GQFYDNKGITYLFDLDYESDEFTVDAARYGNVSHFVNHSCDPNLQVFSVFIDNLDTRLPR IALFSTRTINAGEELTFDYQMKGSGEASSDSIDHSPAKKRVRTQCKCGAETCRGYLN >ENSMUSP00000054169.6 pep:known chromosome:GRCm38:2:3457580:3474960:-1 gene:ENSMUSG00000026646.16 transcript:ENSMUST00000060618.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv39h2 description:suppressor of variegation 3-9 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890396] MAAARAKARGSEAGARCHRAPGPPPRPKARRTARRRRAETLTARRSRPSAGERRAGSQRA WSGAPRAAVFGDECARGALFKAWCVPCLVSLDTLQELCRKEKLTCKSIGITKRNLNNYEV EYLCDYKVAKVITSEEAERRGQFYDNKGITYLFDLDYESDEFTVDAARYGNVSHFVNHSC DPNLQVFSVFIDNLDTRLPRIALFSTRTINAGEELTFDYQMKGSGEASSDSIDHSPAKKR VRTQCKCGAETCRGYLN >ENSMUSP00000125485.1 pep:known chromosome:GRCm38:2:3457603:3474969:-1 gene:ENSMUSG00000026646.16 transcript:ENSMUST00000127540.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Suv39h2 description:suppressor of variegation 3-9 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890396] MAAARAKARGSEAGARCHRAPGPPPRPKARRTARRRRAETLTARRSRPSAGERRAGSQRA WSGAPRAAVFGDECARGALFKGCGILSCKMERMARFYKHLGALEKPQVSTAPAAVL >ENSMUSP00000098026.3 pep:known chromosome:GRCm38:2:3457581:3474419:-1 gene:ENSMUSG00000026646.16 transcript:ENSMUST00000100458.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Suv39h2 description:suppressor of variegation 3-9 homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890396] XLCKAFWNEKVVLQLFPPLHPDGDTIIPGVEYYLVKWKGWPDSTNTWEPLRNLRCPQLLR QFSDDKKTYLAQERKCKAVNSKSLQPAIAEYIVQKAKQRIALQRWQDYLNRRKNHKGMIF VENTVDLEGPPLDFYYINEYRPAPGISINSEATFGCSCTDCFFDKCCPAEAGVVLAYNKK QQIKIQPGTPIYECNSRCRCGPECPNRIVQKGTQYSLCIFRTSNGCGWGVKTLVKIKRMS FVMEYVGEVITSEEAERRGQFYDNKGITYLFDLDYESDEFTVDAARYGNVSHFVNHSCDP NLQVFSVFIDNLDTRLPRIALFSTRTINAGEELTFDYQMKGSGEASSDSIDHSPAKKRVR TQCKCGAETCRGYLN >ENSMUSP00000074596.1 pep:known chromosome:GRCm38:2:170496428:170519067:1 gene:ENSMUSG00000052033.13 transcript:ENSMUST00000075087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn4 description:prefoldin 4 [Source:MGI Symbol;Acc:MGI:1923512] MLADDDCLMIPYQIGDVFISHSQEETQEMLEDAKKTLQEEIDALESRVASIQRVLADLKV QLYAKFGSNINLEADES >ENSMUSP00000070596.5 pep:known chromosome:GRCm38:2:170511235:170519123:1 gene:ENSMUSG00000052033.13 transcript:ENSMUST00000063682.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn4 description:prefoldin 4 [Source:MGI Symbol;Acc:MGI:1923512] MAATMKKAAAEDVNVTFEDQQKINKFARNTSRITELKEEIEVKKKHLQNLEDACDDIMLA DDDCLMIPYQIGDVFISHSQEETQEMLEDAKKTLQEEIDALESRVASIQRVLADLKVQLY AKFGSNINLEADES >ENSMUSP00000130383.1 pep:known chromosome:GRCm38:2:170511445:170518862:1 gene:ENSMUSG00000052033.13 transcript:ENSMUST00000136839.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn4 description:prefoldin 4 [Source:MGI Symbol;Acc:MGI:1923512] MAATMKKAAAEDVNVTFEDQQKINKFARNTSRITELKEEIEVKKNLEDACDDIMLADDDC LMIPYQIGDVFISHSQEETQEMLEDAKKTLQEEIDALESRVASIQRVLADLKVQLYAKFG SNINLEADES >ENSMUSP00000104776.1 pep:known chromosome:GRCm38:2:170511520:170519123:1 gene:ENSMUSG00000052033.13 transcript:ENSMUST00000109148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn4 description:prefoldin 4 [Source:MGI Symbol;Acc:MGI:1923512] MLADDDCLMIPYQIGDVFISHSQEETQEMLEDAKKTLQEEIDALESRVASIQRVLADLKV QLYAKFGSNINLEADES >ENSMUSP00000131911.1 pep:known chromosome:GRCm38:2:170511560:170518711:1 gene:ENSMUSG00000052033.13 transcript:ENSMUST00000170167.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn4 description:prefoldin 4 [Source:MGI Symbol;Acc:MGI:1923512] MLADDDCLMIPYQIGDVFISHSQEETQEMLEDAKKTLQEEIDALESR >ENSMUSP00000104775.2 pep:known chromosome:GRCm38:2:170512558:170518718:1 gene:ENSMUSG00000052033.13 transcript:ENSMUST00000109147.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn4 description:prefoldin 4 [Source:MGI Symbol;Acc:MGI:1923512] MLADDDCLMIPYQIGDVFISHSQEETQEMLEDAKKTLQEEIDALESRVA >ENSMUSP00000127453.1 pep:known chromosome:GRCm38:2:170514890:170516633:1 gene:ENSMUSG00000052033.13 transcript:ENSMUST00000139328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfdn4 description:prefoldin 4 [Source:MGI Symbol;Acc:MGI:1923512] MLADDDCLMIPYQIG >ENSMUSP00000120175.1 pep:known chromosome:GRCm38:13:21421275:21440901:-1 gene:ENSMUSG00000055313.14 transcript:ENSMUST00000122872.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgbd1 description:piggyBac transposable element derived 1 [Source:MGI Symbol;Acc:MGI:2441675] MLRNFTACDFGTSVTMRQTYPLQRGDEAATLLENLASERGDTGQQATLCAQEQDMHLVVT EYPGASMEEYQSLHLLQSSVTPWTRDFPELPLGCERDSPSPTEKAVSTLNTVGSCHPPHD SWAKMHIASLQYITQKGREKAKFRVIELLQGHAFSDESETEEDNEPEVQPERKKIKASSI PEKSWTKRDSKPNFPSWSALDSGLLNLKSEKLNPVELFELFFDGETFNLIVNETNNYASQ KNVSLEVTVQEMRCVFGVLLCSGFVRHPRMGMYWEISDSDQTLVRNEIRRDRFELIFSCL HFADNKHLDQKDKFSNLRPLIKQMKNFPLVCPPEEYYCFDKSMCECFDCDQFLNGKPLQI GYKIWCGTTNYARLSGLV >ENSMUSP00000117669.1 pep:known chromosome:GRCm38:13:21421852:21441044:-1 gene:ENSMUSG00000055313.14 transcript:ENSMUST00000151743.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgbd1 description:piggyBac transposable element derived 1 [Source:MGI Symbol;Acc:MGI:2441675] MLRNFTACDFGTSVTMRQTYPLQRGDEAATLLENLASERGDTGQQATLCAQEQDMHLVVT EYPGASMEEYQSLHLLQSSVTPWTRDFPELPLGCERSLHLQRSKSAKKSLKTPCYIFDVT SSTTAGNDTELNRDSPSPTEKAVSTLNTVGSCHPPHDSWAKMHIASLQYITQKGREKAKF RVIELLQGHAFSDESETEEDNEPEVQPERKKIKASSIPEKSWTKRDSKPNFPSWSALDSG LLNLKSEKLNPVELFELFFDGETFNLIVNETNNYASQKNVSLEVTVQEMRCVFGVLLCSG FVRHPRMGMYWEISDSDQTLVRNEIRRDRFELIFSCLHFADNKHLDQKDKFSNLRPLIKQ MKNFPLVCPPEEYYCFDKSMCECFDCDQFLNGKPLQIGYKIWCGTTNYARLSGLV >ENSMUSP00000114882.1 pep:known chromosome:GRCm38:13:21421852:21441058:-1 gene:ENSMUSG00000055313.14 transcript:ENSMUST00000148071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgbd1 description:piggyBac transposable element derived 1 [Source:MGI Symbol;Acc:MGI:2441675] MLRNFTACDFGTSVTMRQTYPLQRGDEAATLLENLASERGDTGQQATLCAQEQDMHLVVT EYPGASMEEYQSLHLLQSSVTPWTRDFPELPLGCERDPNLLKRASKLLVTSSMLHPQPLQ EMILN >ENSMUSP00000118503.1 pep:known chromosome:GRCm38:13:21423194:21440710:-1 gene:ENSMUSG00000055313.14 transcript:ENSMUST00000145494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgbd1 description:piggyBac transposable element derived 1 [Source:MGI Symbol;Acc:MGI:2441675] MLRNFTACDFGTSVTMRQTYPLQRGDEAATLLENLASERGDTGQQATLCAQEQDMHLVVT EYPGASMEEYQSLHLLQSSVTPWTRDFPELPLGCERSLHLQRSKSAKKSLKTPCYIFDVT SSTTAGNDTELNRDSPSPTEKAVSTLNTVGSCHPPHDSWAKMHIASLQYITQKGREKAKF RVIELLQGHAFSDESETEEDNEPEVQPERKKIKASSIPEKSWTKRDSKPNFPSWSALDSG LLNLKSEKLNPVELFELFFDGETFNLIVNETNNYAS >ENSMUSP00000097307.3 pep:known chromosome:GRCm38:13:21421278:21440710:-1 gene:ENSMUSG00000055313.14 transcript:ENSMUST00000099719.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgbd1 description:piggyBac transposable element derived 1 [Source:MGI Symbol;Acc:MGI:2441675] MLRNFTACDFGTSVTMRQTYPLQRGDEAATLLENLASERGDTGQQATLCAQEQDMHLVVT EYPGASMEEYQSLHLLQSSVTPWTRDFPELPLGCERSLHLQRSKSAKKSLKTPCYIFDVT SSTTAGNDTELNRDSPSPTEKAVSTLNTVGSCHPPHDSWAKMHIASLQYITQKGREKAKF RVIELLQGHAFSDESETEEDNEPEVQPERKKIKASSIPEKSWTKRDSKPNFPSWSALDSG LLNLKSEKLNPVELFELFFDGETFNLIVNETNNYASQKNVSLEVTVQEMRCVFGVLLCSG FVRHPRMGMYWEISDSDQTLVRNEIRRDRFELIFSCLHFADNKHLDQKDKFSNLRPLIKQ MKNFPLVCPPEEYYCFDKSMCECFDCDQFLNGKPLQIGYKIWCGTTNYARLSGLV >ENSMUSP00000130004.1 pep:known chromosome:GRCm38:18:82593593:82692883:-1 gene:ENSMUSG00000041258.16 transcript:ENSMUST00000171071.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp236 description:zinc finger protein 236 [Source:MGI Symbol;Acc:MGI:1926950] MPRGRPPKPRDGAARGDSDGVLALNDEDIDDDCPIPNFHKCEICLLSFPKESQFQRHMRE HEQNDKPHRCDQCPQTFNVEFNLTLHKCTHNAEDPVCPVCNKKFSRVASLKAHIMLHEKE ENLICSECGDEFTLHSQLAIHMEEHRQELANTRAHTCKACKKEFETSPELKEHMKTHCKV RASGTRSYNRNIDRSSFPYSCPHCGKTFQKPSQLTRHIRIHTGERPFKCSECGKAFNQKG ALQTHMIKHTGEKPHACAFCPAAFSQKGNLQSHVQRVHSEATIFQTLPLQQVEAQVSSVS SEQSSQAVSDVIQQLLELSEPGPVEAQQSPQSGRQLSVTVGINQDILQQALENSGLSSLP VAAPPSDCSHAQTATVSTQSPHASSVSAEQADPMDAEQEKGQESPEKTDKKEKKLLKKKS PFLPGSIREENGVRWHVCPYCTKEFRKPSDLVRHIRIHTHEKPFKCPQCFRAFAVKSTLT AHIKTHTGIKAFKCQYCMKSFSTSGSLKVHIRLHTGVRPFACPHCDKKFRTSGHRKTHVA SHFKHTELRKLRQQRKPVKGRVGKSSVPVPDIPLQEPILITDLGLIQPIPKNQFFQNYFN NSFGNEADRPYKCFYCHRAYKKSCHLKQHIRSHTGEKPFKCSQCGRGFVSAGVLKAHVRT HTGLKSFKCLICNGAFTTGGSLRRHMGIHNDLRPYMCPYCQKTFKTSLNCKKHMKTHRYE LAQQLQQHQEASSMDDDSTVDQQSMHVAAPMPVEIESAELQQTPETVAADPESILELGPQ HVVGTEDAALGQQLADQPLEADEDGFTASQAPLPGHMDQFEEQGTPQPSFESAGLPQGFT VTDTYSQQTSFPPVQQLQDSSTLESQALSTSFHQQNLLQVPNSDAINVATRLLPESSQED LDLQTQGPQFLEDSEDQSRRSYRCDYCNKGFKKSSHLKQHVRSHTGEKPYKCKLCGRAFV SSGVLKSHEKTHTGVKAFSCSICNASFTTNGSLTRHMATHMSMKPYKCPFCEEGFRTAVH CRKHMKRHQAVSSAAAAAAETEGGDTCVEEDEENSDRSASRKPRPEVITFTEEETAQLAK IQPQESATVSEKVLVQSAAEKDRISEMKDKQAELEAEPKHANCCTYCPKSFKKPSDLVRH VRIHTGEKPYKCDECGKSFTVKSTLDCHVKTHTGQKLFSCHVCSNAFSTKGSLKVHMRLH TGAKPFKCPHCELRFRTSGRRKTHMQFHYKSDPKKARKPVTRSSSESLQSVNLLNSSSTD PNVFIMNNSVLTGQFDQNVLQPGLVGQAILPASVSAGGDLTVSLTDGSLATLEGIQLQLA ANLVGPNVQISGIDASSINNITLQIDPSILQQTLQQGSLLAQPITGESSTASQNSSLQTS DSTVPASVVIQPLSGLSLQPTVTSANLTIGPLSEQDSVLTTSSSGSQDLSQVMTSQGLVS TSTGPHEITLTINNSSLSQVLAQAAGPTASSSSGSPQEITLTISELNPSSGSLPSTAPMS PSAISAQNLVMSSSGVGADASVTLTLADTQGVLSGGLDTVTLNITSQGQQFPALLTDPSL SGQGGAGSPQVILVSHTPQSSSAAGEEIAYQVTDVPAQLTPHSQPEKEGLSHQCLDCDRA FSSAAVLMHHSKEVHGKERIHGCRVCRKAFKRATHLKEHMLTHQAGPSLSSQKPRVFKCD SCEKAFAKPSQLERHSRIHTGERPFHCTLCDKAFNQKSALQVHLKKHTGERPYRCDYCVM GFTQKSNMKLHMKRAHSFVGTLQAATVVQEQDGDELRTLHLEEVVPEAAGEWQTLTNVF >ENSMUSP00000138434.1 pep:known chromosome:GRCm38:18:82595476:82617750:-1 gene:ENSMUSG00000041258.16 transcript:ENSMUST00000183324.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp236 description:zinc finger protein 236 [Source:MGI Symbol;Acc:MGI:1926950] XSSGSPQEITLTISGSRSVQHIGGLHECGSMEALYLENSTYKT >ENSMUSP00000138557.1 pep:known chromosome:GRCm38:18:82597155:82692734:-1 gene:ENSMUSG00000041258.16 transcript:ENSMUST00000182122.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp236 description:zinc finger protein 236 [Source:MGI Symbol;Acc:MGI:1926950] MPRGRPPKPRDGAARGDSDGVLALNDEDIDDDCPIPNFHKCEICLLSFPKESQFQRHMRE HEQNDKPHRCDQCPQTFNVEFNLTLHKCTHNAEDPVCPVCNKKFSRVASLKAHIMLHEKE ENLICSECGDEFTLHSQLAIHMEEHRQELANTRAHTCKACKKEFETSPELKEHMKTHCKV RASGTRSYNRNIDRSSFPYSCPHCGKTFQKPSQLTRHIRIHTGERPFKCSECGKAFNQKG ALQTHMIKHTGEKPHACAFCPAAFSQKGNLQSHVQRVHSEVKNGPTYNCTECSCVFKSLG SLNTHISKMHMGGPPNSTTSAETAHVITATIFQTLPLQQVEAQVSSVSSEQSSQAVSDVI QQLLELSEPGPVEAQQSPQSGRQLSVTVGINQDILQQALENSGLSSLPVAAPPSDCSHAQ TATVSTQSPHASSVSAEQADPMDAEQEKGQESPEKTDKKEKKLLKKKSPFLPGSIREENG VRWHVCPYCTKEFRKPSDLVRHIRIHTHEKPFKCPQCFRAFAVKSTLTAHIKTHTGIKAF KCQYCMKSFSTSGSLKVHIRLHTGVRPFACPHCDKKFRTSGHRKTHVASHFKHTELRKLR QQRKPVKGRVGKSSVPVPDIPLQEPILITDLGLIQPIPKNQFFQNYFNNSFGNEADRPYK CFYCHRAYKKSCHLKQHIRSHTGEKPFKCSQCGRGFVSAGVLKAHVRTHTGLKSFKCLIC NGAFTTGGSLRRHMGIHNDLRPYMCPYCQKTFKTSLNCKKHMKTHRYELAQQLQQHQEAS SMDDDSTVDQQSMHVAAPMPVEIESAELQQTPETVAADPESILELGPQHVVGTEDAALGQ QLADQPLEADEDGFTASQAPLPGHMDQFEEQGTPQPSFESAGLPQGFTVTDTYSQQTSFP PVQQLQDSSTLESQALSTSFHQQNLLQVPNSDAINVATRLLPESSQEDLDLQTQGPQFLE DSEDQSRRSYRCDYCNKGFKKSSHLKQHVRSHTGEKPYKCKLCGRAFVSSGVLKSHEKTH TGVKAFSCSICNASFTTNGSLTRHMATHMSMKPYKCPFCEEGFRTAVHCRKHMKRHQAVS SAAAAAAETEGGDTCVEEDEENSDRSASRKPRPEVITFTEEETAQLAKIQPQESATVSEK VLVQSAAEKDRISEMKDKQAELEAEPKHANCCTYCPKSFKKPSDLVRHVRIHTGEKPYKC DECGKSFTVKSTLDCHVKTHTGQKLFSCHVCSNAFSTKGSLKVHMRLHTGAKPFKCPHCE LRFRTSGRRKTHMQFHYKSDPKKARKPVTRSSSESLQSVNLLNSSSTDPNVFIMNNSVLT GQFDQNVLQPGLVGQAILPASVSAGGDLTVSLTDGSLATLEGIQLQLAANLVGPNVQISG IDASSINNITLQIDPSILQQTLQQGSLLAQPITGESSTASQNSSLQTSDSTVPASVVIQP LSGLSLQPTVTSANLTIGPLSEQDSVLTTSSSGSQDLSQVMTSQGLVSTSTGPHEITLTI NNSSLSQVLAQAAGPTASSSSGSPQEITLTISELNPSSGSLPSTAPMSPSAISAQNLVMS SSGVGADASVTLTLADTQGVLSGGLDTVTLNITSQGQQFPALLTDPSLSGQGGAGSPQVI LVSHTPQSSSAAGEEIAYQVTDVPAQLTPHSQPEKEGLSHQCLDCDRAFSSAAVLMHHSK EVHGKERIHGCRVCRKAFKRATHLKEHMLTHQAGPSLSSQKPRVFKCDSCEKAFAKPSQL ERHSRIHTGERPFHCTLCDKAFNQKSALQVHLKKHTGERPYRCDYCVMGFTQKSNMKLHM KRAHSFVGTLQAATVVQEQDGDELRTLHLEEVVPEAAGEWQTLTNVF >ENSMUSP00000138179.1 pep:known chromosome:GRCm38:18:82597155:82692734:-1 gene:ENSMUSG00000041258.16 transcript:ENSMUST00000183048.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zfp236 description:zinc finger protein 236 [Source:MGI Symbol;Acc:MGI:1926950] MPRGRPPKPRDGAARGDSDGVLALNDEDIDDDCPIPNFHKCEICLLSFPKESQFQRHMRE HEQNDKPHRCDQCPQTFNVEFNLTLHKCTHNAEDPVCPVCNKKFSRVASLKAHIMLHEKE ENLICSECGDEFTLHSQLAIHMEEHRQELANTRAHTCKACKKEFETSPELKEHMKTHCKV RASGTRSYNRNIDRSSFPYSCPHCGKTFQKPSQLTRHIRIHTGERPFKCSECGKAFNQKG ALQTHMIKHTGEKPHACAFCPAAFSQKGNLQSHVQRVHSEVKNGPTYNCTECSCVFKSLG SLNTHISKMHMGGPPNSTTSAETAHVITATIFQTLPLQQVEAQVSSVSSEQSSQAVSDVI QQLLELSEPGPVEAQQSPQSGRQLSVTVGINQDILQQALENSGLSSLPVAAPPSDCSHAQ TATVSTQSPHASSVSAEQADPMDAEQEKGQESPEKTDKKEKKLLKKKSPFLPGMSSCVVT YNTEH >ENSMUSP00000138344.1 pep:known chromosome:GRCm38:18:82680712:82691799:-1 gene:ENSMUSG00000041258.16 transcript:ENSMUST00000182866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp236 description:zinc finger protein 236 [Source:MGI Symbol;Acc:MGI:1926950] MEDGVLALNDEDIDDDCPIPNFHKCEICLLSFPKESQFQRHMREHEQNDKPHRCDQCPQT FNVEF >ENSMUSP00000118626.1 pep:known chromosome:GRCm38:2:145934784:146043073:1 gene:ENSMUSG00000037143.17 transcript:ENSMUST00000126415.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap61 description:cilia and flagella associated protein 61 [Source:MGI Symbol;Acc:MGI:1926024] MSILTSPRGKVEVVHCRRTESQDIFCIKNLVRKFTQKLFGRLNIIYLLEKANLAVTVCND KEEIMAHSIFLDYPNWNVAKQDNWIPLFRELDKEIPCTPLNTLFMHFFVAVDEYATGCLK EIIRTVFKAVPELYFIFLIVPTYLSLGSTLITVFDQVGNIPCLNYNEDFAVHICHRHNHY PQLHIRKARVEDHDDLMPIFMHYDNTLKEIYGEYFLAELIEAQDKDHHAVVCEVEGKAVG FMSVCTSVNLPLLHECFDLGPFHGFCTPHPDDILKPSREPSLEEGEDAESQGSPKVVEEQ EMAPEITQEKETETSASCEEALPTVLGLVSEERLKQDMEKNLSILSLVDEEDVASSLSSS LLLSVESSHFRPLYLGDPIAFCIQLFCIDERYEARSLDFMSFVFSLFPGKNFCLISVPHL TPEFVLIQNFVKVVPFNNCTLDHDLYVFHRAGLLRSITIRLAKFLDTAGVEKLVSTLLLS TKILDDLAQYNEAGRDPDGTALQVFVAEVADQIVGIAVIRKEMDIEYIRSHYNIEDFIYF SHHQQEEHGRLNHFALNPIFRHYTKFFLKEILRLGYKSCLYYPVYPQTREGKVRGWHMGV HGGILCELHLICSDDSL >ENSMUSP00000113529.1 pep:known chromosome:GRCm38:2:145934816:145953814:1 gene:ENSMUSG00000037143.17 transcript:ENSMUST00000118002.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap61 description:cilia and flagella associated protein 61 [Source:MGI Symbol;Acc:MGI:1926024] MSILTSPRGKVEVVHCRRTESQDIFCIKNLVRKFTQKLFGRLNIIYLLEKANLAVTVCND KEEIMAHSIFLDYPNWNVAKQDNWIPLFRELDKEIPCTPLNTLFMHFFVAVDEYATGCLK EIIRTVFKAVPELYFIFLIVPTYLSLGSTLITVFDQVGNIPCLNYNEDFAVHICHRHNHY PQLHIRKARYGWHSAYVM >ENSMUSP00000120838.1 pep:known chromosome:GRCm38:2:145934805:145966223:1 gene:ENSMUSG00000037143.17 transcript:ENSMUST00000138774.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap61 description:cilia and flagella associated protein 61 [Source:MGI Symbol;Acc:MGI:1926024] MTRRRSWLTPSSWTIPTGTSPSRTTGSHCSGNSTKKSHAQTVFKAVPELYFIFLIVPTYL SLGSTLITVFDQVGNIPCLNYNEDFAVHICHRHNHYPQLHIRKARVEDHDDLMPIFMHYD NTLKEIYGEYFLAELIEAQDKDHHAVVCEVGDRSSWGQSERNERNGSCFFGLLSTRGADV PSC >ENSMUSP00000118411.1 pep:known chromosome:GRCm38:2:145934814:146215039:1 gene:ENSMUSG00000037143.17 transcript:ENSMUST00000133433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap61 description:cilia and flagella associated protein 61 [Source:MGI Symbol;Acc:MGI:1926024] MSILTSPRGKVEVVHCRRTESQDIFCIKNLVRKFTQKLFGRLNIIYLLEKANLAVTVCND KEEIMAHSIFLDYPNWNVAKQDNWIPLFRELDKEIPCTPLNTLFMHFFVAVDEYATGCLK EIIRTVFKAVPELYFIFLIVPTYLSLGSTLITVFDQVGNIPCLNYNEDFAVHICHRHNHY PQLHIRKARVEDHDDLMPIFMHYDNTLKEIYGEYFLAELIEAQDKDHHAVVCEVEGKAVG FMSVCTSVNLPLLHECFDLGPFHGFCTPHPDDILKPSREPSLEEGEDAESQGSPKVVEEQ EMAPEITQEKETETSASCEEALPTVLGLVSEERLKQDMEKNLSILSLVDEEDVASSLSSS LLLSVESSHFRPLYLGDPIAFCIQLFCIDERYEARSLDFMSFVFSLFPGKNFCLISVPHL TPEFVLIQNFVKVVPFNNCTLDHDLYVFHRAGLLRSITIRLAKFLDTAGVEKLVSTLLLS TKILDDLAQYNEAGRDPDGTALQVFVAEVADQIVGIAVIRKEMDIEYIRSHYNIEDFIYF SHHQQEEHGRLNHFALNPIFRHYTKFFLKEILRLGYKSCLYYPVYPQTREGKLQSSYSHS LTSALHYLVPVRPRRQIVYPLEKLGINAPSKEVSKDQVSFALNHTNRKLTLEPKVTVNAR IVVVGASSVGISFLETLVFCSHLKFNNLTLISTHGLPGKRLLHNEQRKFLASDHCFNDKD YALMSLCSWVNVVVGRMTAIDRAAKQVVVSKSEIVFYDHLILCTGLQYQVPCPTGADTEQ HLTNREVLELSKQRYTGTVPSNLFILNDEEDCVRMLCWLRNSSILTEGKVIVYGNTLDAY TTVETLLNIGMKGSGIYFVHPPTESNITCINNNDVESAVEDALSAAGVTVFHDALLAQWN HGQHPDPIYNACFTTSTKPIRLECSAFFSFYKKNVDYETFKAFNDACLVYDGRLVIDTTF HTNDIAIRAAGSLTKFSNRYYSNEWTHSNFSSKEIGFQLAAAMLSLFDPTLEPVTEPPAD LDRLIPMYKGAKIQGGILPGSYHYLHISKPAIPTPLNLQRSQPDFGSDVVTGNAKKGTYF RLYINNYKLVEAITCFSKEPFPSSNYIRLFGQHEQVLNNLCTRFDDKLIPDLYSYFTEPW CMALFHDRFIDLKKELRQILISKQEEDKPTMEEIAYRLEEEEINLNEKPQKYLQRVFEDS IYKSLLEKSILDYLHYNQYHLPMYAWPGII >ENSMUSP00000112099.1 pep:known chromosome:GRCm38:2:145934784:145964226:1 gene:ENSMUSG00000037143.17 transcript:ENSMUST00000116398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap61 description:cilia and flagella associated protein 61 [Source:MGI Symbol;Acc:MGI:1926024] MSILTSPRGKVEVVHCRRTESQDIFCIKNLVRKFTQKLFGRLNIIYLLEKANLAVTVCND KEEIMAHSIFLDYPNWNVAKQDNWIPLFRELDKEIPCTPLNTLFMHFFVAVDEYATGCLK EIIRTVFKAVPELYFIFLIVPTYLSLGSTLITVFDQVGNIPCLNYNEDFAVHICHRHNHY PQLHIRKARVEDHDDLMPIFMHYDNTLKEIYGEYFLAELIEAQDKDHHAVVCEVGDRSSW GQSERNERNGSCFFGLLSTRGADVPSC >ENSMUSP00000121294.1 pep:known chromosome:GRCm38:2:145934808:145963308:1 gene:ENSMUSG00000037143.17 transcript:ENSMUST00000130168.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap61 description:cilia and flagella associated protein 61 [Source:MGI Symbol;Acc:MGI:1926024] MSILTSPRGKVEVVHCRRTESQDIFCIKNLVRKFTQKLFGRLNIIYLLEKANLAVTVCND KEEIMAHSIFLDYPNWNVAKQDNWIPLFRELDKEIPCTPLNTLFMHFFVAVDEYATGCLK EIIRTVFKAVPELYFIFLIVPTYLSLGSTLITVFDQVGNIPCLNYNEDFAVHICHRHNHY PQLHIRKARVED >ENSMUSP00000116283.1 pep:known chromosome:GRCm38:2:145934805:145963453:1 gene:ENSMUSG00000037143.17 transcript:ENSMUST00000152515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap61 description:cilia and flagella associated protein 61 [Source:MGI Symbol;Acc:MGI:1926024] MSILTSPRGKVEVVHCRRTESQDIFCIKNLVRKFTQKLFGRLNIIYLLEKANLAVTVCND KEEIMAHSIFLDYPNWNVAKQDNWIPLFRELDKEIPCTPLNTLFMHFFVAVDEYATGCLK EIIRTVFKAVPELYFIFLIVPTYLSLG >ENSMUSP00000121560.1 pep:known chromosome:GRCm38:2:146045458:146129096:1 gene:ENSMUSG00000037143.17 transcript:ENSMUST00000125223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap61 description:cilia and flagella associated protein 61 [Source:MGI Symbol;Acc:MGI:1926024] LVPVRPRRQIVYPLEKLGINAPSKEVSKDQVSFALNHTNRKLTLEPKVTVNARIVVVGAS SVGISFLETLVFCSHLKFNNLTLISTHGLPGKRLLHNEQRKFLASDHCFNDKDYALMSLC SWVNVVVGRMTAIDRAAKQVVVSKSEIVFYDHLILCTGLQYQVPCPTGADTEQHLTNREV LELSKQRYTGTVPSNLFILNDEEDCVRMLCWLRNSSILTEVPTLLQTPPCCYWTMQNHLS TVNPRTGKVIVYGNTLDAYTTVETLLNIGMKGSGIYFVHPPTESNITCINNNDV >ENSMUSP00000129303.1 pep:known chromosome:GRCm38:2:146214613:146214795:1 gene:ENSMUSG00000037143.17 transcript:ENSMUST00000167179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap61 description:cilia and flagella associated protein 61 [Source:MGI Symbol;Acc:MGI:1926024] MEEIAYRLEEEEINLNEKPQKYLQRVFEDSIYKSLLEKSILDYLHYNQYHLPMYAWPGII >ENSMUSP00000055724.4 pep:known chromosome:GRCm38:7:106971368:106975272:-1 gene:ENSMUSG00000045013.5 transcript:ENSMUST00000051715.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr711 description:olfactory receptor 711 [Source:MGI Symbol;Acc:MGI:3030545] MLDMNITLVSEFILVGFPTAPWLQILLFFIFLVVYMLIIAENLVIIFTVWSTGSLHKPMY YFLSSMSFLEIWYVSVTVPKMLDGFLLQRRHISFTGCMTQLYFFISLACTECVLLAAMAY DRYVAICHPLRYPVIMTTVYCMQLMALSYFSGFMVSVVKVYFISHVAFCGSNVMNHFFCD ISPILKLACKDMSTAELVDFALAIVILVFPLITTVLSYVYIVSTILRIPSTQGRKKAFST CASHLTVVIIYYTAMIFMYVRPRAIASFNSNKLISAVYAVLTPMLNPFIYCLRNREVKDA IKKTLGGGQCFLLC >ENSMUSP00000146570.1 pep:known chromosome:GRCm38:7:106971910:106975451:-1 gene:ENSMUSG00000045013.5 transcript:ENSMUST00000207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr711 description:olfactory receptor 711 [Source:MGI Symbol;Acc:MGI:3030545] MLDMNITLVSEFILVGFPTAPWLQILLFFIFLVVYMLIIAENLVIIFTVWSTGSLHKPMY YFLSSMSFLEIWYVSVTVPKMLDGFLLQRRHISFTGCMTQLYFFISLACTECVLLAAMAY DRYVAICHPLRYPVIMTTVYCMQL >ENSMUSP00000086987.5 pep:known chromosome:GRCm38:6:86371304:86379328:1 gene:ENSMUSG00000057278.8 transcript:ENSMUST00000089558.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpg description:small nuclear ribonucleoprotein polypeptide G [Source:MGI Symbol;Acc:MGI:1915261] MSKAHPPELKKFMDKKLSLKLNGGRHVQGILRGFDPFMNLVIDECVEMATSGQQNNIGMV VIRGNSIIMLEALERV >ENSMUSP00000009790.7 pep:known chromosome:GRCm38:10:59403660:59421976:1 gene:ENSMUSG00000009646.13 transcript:ENSMUST00000009790.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g12b description:phospholipase A2, group XIIB [Source:MGI Symbol;Acc:MGI:1917086] MKLLCGFFLLWLGLVGNLAQSDPSPKEEESYSDWGLRQLRGSFESVNSYVDSFMELLGGK NGVCQYRCRYGKAPMPRPGYKAQEPNGCSSYFLGIKVPGSMDLGIPAMTKCCNQLDVCYD TCGANKYRCDAKFRWCLHSICSDLKRSLGFVSNVEAACDSLADTVFNTVWTLGCRPFMNS QRAACICAEEEKEEL >ENSMUSP00000123842.1 pep:known chromosome:GRCm38:10:59411027:59421970:1 gene:ENSMUSG00000009646.13 transcript:ENSMUST00000162643.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g12b description:phospholipase A2, group XIIB [Source:MGI Symbol;Acc:MGI:1917086] CSSYFLGIKVPGSMDLGIPAMTKCCNQLDVCYDTCGANKYRCDAKFRWCLHSICSDLKRS LGFVSNVEALCSSPWKYPASPAPGVVCAGSLRFYSSFSSSL >ENSMUSP00000047682.7 pep:known chromosome:GRCm38:4:117884326:117887329:-1 gene:ENSMUSG00000033379.13 transcript:ENSMUST00000036380.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0b description:ATPase, H+ transporting, lysosomal V0 subunit B [Source:MGI Symbol;Acc:MGI:1890510] MTGLELLYLGIFVAFWACMVVVGICYTIFDLGFRFDVAWFLTETSPFMWSNLGIGLAISL SVVGAAWGIYITGSSIIGGGVKAPRIKTKNLVSIIFCEAVAIYGIIMAIVISNMAEPFSA TEPKAIGHRNYHAGYSMFGAGLTVGLSNLFCGVCVGIVGSGAALADAQNPSLFVKILIVE IFGSAIGLFGVIVAILQTSRVKMGD >ENSMUSP00000119988.2 pep:known chromosome:GRCm38:4:117884333:117887320:-1 gene:ENSMUSG00000033379.13 transcript:ENSMUST00000150204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0b description:ATPase, H+ transporting, lysosomal V0 subunit B [Source:MGI Symbol;Acc:MGI:1890510] MWSNLGIGLAISLSVVGAAWGIYITGSSIIGGGVKAPRIKTKNLVSIIFCEAVAIYGIIM AIVISNMAEPFSATEPKAIGHRNYHAGYSMFGAGLTVGLSNLFCGVCVGIVGSGAALADA QNPSLFVKILIVEIFGSAIGLFGVIVAILQTSRVKMGD >ENSMUSP00000137788.1 pep:known chromosome:GRCm38:4:117885231:117886558:-1 gene:ENSMUSG00000033379.13 transcript:ENSMUST00000149868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0b description:ATPase, H+ transporting, lysosomal V0 subunit B [Source:MGI Symbol;Acc:MGI:1890510] MWSNLGIGLAISLSVVGAAWGIYITGSSIIGGGVKAPRIKTKNLVSIIFCEAVAIYGIIM AIVISNMAEPFSATEPKAIGHRNYHAGYSMFGAGLTVGLSNLFCGVCV >ENSMUSP00000137654.1 pep:known chromosome:GRCm38:4:117885635:117887287:-1 gene:ENSMUSG00000033379.13 transcript:ENSMUST00000132073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0b description:ATPase, H+ transporting, lysosomal V0 subunit B [Source:MGI Symbol;Acc:MGI:1890510] MWSNLGIGLAISLSVVGAAWGIYITGSSIIGGGVKAPRIKTKNLVSIIFCEAVAIYGIIM AIVISNMAEPFSATEPKAIGHRNY >ENSMUSP00000118538.2 pep:known chromosome:GRCm38:4:117886281:117887333:-1 gene:ENSMUSG00000033379.13 transcript:ENSMUST00000136596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0b description:ATPase, H+ transporting, lysosomal V0 subunit B [Source:MGI Symbol;Acc:MGI:1890510] MWSNLGIGLAISLSVVGA >ENSMUSP00000137945.1 pep:known chromosome:GRCm38:4:117886322:117887327:-1 gene:ENSMUSG00000033379.13 transcript:ENSMUST00000147845.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0b description:ATPase, H+ transporting, lysosomal V0 subunit B [Source:MGI Symbol;Acc:MGI:1890510] MWSN >ENSMUSP00000097380.1 pep:known chromosome:GRCm38:2:89156238:89157173:-1 gene:ENSMUSG00000075099.1 transcript:ENSMUST00000099792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1224-ps1 description:olfactory receptor 1224, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031058] MQNQSIVTEFILLGLSQNPKVEKILSVLFFLVYLATIGGNIIIVVTIVFSPALSGSPMYF FLSFLSFLDACVSSVITPKMIVDFFYERKTISFECCMIQLFAVHFFTGVEVIVLSAMAYD RYVAICKPLHYSSIMTQRLCGILVGIAWAGGFLHSIIQIIFTLQLPFCGPNVIDHFVCDL FPLLKLACTNTHIFVILVFANSGSICIIIFSILLVSYGVILFSLRNHSSEGRRKALSTCG SHITVVLLFFVPCILIYARNNSEFSFEKNVFIFDDVLTPMLNPLAYTFRNKEMKNAIKKM WRRLFNISDKH >ENSMUSP00000000058.6 pep:known chromosome:GRCm38:6:17281185:17289115:1 gene:ENSMUSG00000000058.6 transcript:ENSMUST00000000058.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav2 description:caveolin 2 [Source:MGI Symbol;Acc:MGI:107571] MGLETEKADVQLFMADDAYSHHSGVDYADPEKYVDSSHDRDPHQLNSHLKLGFEDLIAEP ETTHSFDKVWICSHALFEISKYVMYKFLTVFLAIPLAFIAGILFATLSCLHIWILMPFVK TCLMVLPSVQTIWKSVTDVVIGPLCTSVGRSFSSVSMQLSHD >ENSMUSP00000111119.1 pep:known chromosome:GRCm38:6:17281327:17282684:1 gene:ENSMUSG00000000058.6 transcript:ENSMUST00000115459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav2 description:caveolin 2 [Source:MGI Symbol;Acc:MGI:107571] MGLETEKADVQLFMADDAYSHHSGVDYADPEKYVDSSHDRDPHQLNSHLKLGFEDLIAEP ETTHSFDKVWICSHALFEISKYVMYKFLTVFLAIPLAFIAGILFATLSCLHIW >ENSMUSP00000111122.1 pep:known chromosome:GRCm38:6:17281359:17287438:1 gene:ENSMUSG00000000058.6 transcript:ENSMUST00000115462.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cav2 description:caveolin 2 [Source:MGI Symbol;Acc:MGI:107571] MGLETEKADVQLFMADDAYSHHSGVDYADPEKYVDSSHDRDPHQLNSHLKDPDAFCEDLP NGLAFRADNMEECDRRCHWPIVYKCGPQLLIGQHATEPRLTTQTLGRSRHLDTVQLY >ENSMUSP00000025574.4 pep:known chromosome:GRCm38:19:11321039:11334695:-1 gene:ENSMUSG00000024672.11 transcript:ENSMUST00000025574.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a7 description:membrane-spanning 4-domains, subfamily A, member 7 [Source:MGI Symbol;Acc:MGI:1918846] MLQIQPTFGFQCSAIPICPTGNKNPGFICIPGTMRLQLGTKNIGWDCFPKDIIIHKREKT GHTYEKEDDLLIGVPSEATVLGTIQLLCALILASFGGILVSASYFNPEVSTTLISGYLFI GSLCFAIAGILSIISEKISTKPFALSSLASNVASSVVAVIGLFLFTYCLIALGSAFPHCN SEKKFLSLLSYLKSHHWKNEDKNCYLAYVGAMSALGMMLLFTVLEVFLAGYSSIFWWKQV YSNKPGGTFFLPQSQDHTQLVKSNLLQ >ENSMUSP00000064534.4 pep:known chromosome:GRCm38:19:11321039:11336144:-1 gene:ENSMUSG00000024672.11 transcript:ENSMUST00000067532.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a7 description:membrane-spanning 4-domains, subfamily A, member 7 [Source:MGI Symbol;Acc:MGI:1918846] MRLQLGTKNIGWDCFPKDIIIHKREKTGHTYEKEDDLLIGVPSEATVLGTIQLLCALILA SFGGILVSASYFNPEVSTTLISGYLFIGSLCFAIAGILSIISEKISTKPFALSSLASNVA SSVVAVIGLFLFTYCLIALGSAFPHCNSEKKFLSLLSYLKSHHWKNEDKNCYLAYVGAMS ALGMMLLFTVLEVFLAGYSSIFWWKQVYSNKPGGTFFLPQSQDHTQLVKSNLLQ >ENSMUSP00000054830.2 pep:known chromosome:GRCm38:19:11321406:11336116:-1 gene:ENSMUSG00000024672.11 transcript:ENSMUST00000056035.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a7 description:membrane-spanning 4-domains, subfamily A, member 7 [Source:MGI Symbol;Acc:MGI:1918846] MRLQLGTKNIGWDCFPKDIIIHKREKTGHTYEKEDDLLIGVPSEATVLGTIQLLCALILA SFGGILVSASYFNPEVSTTLISGYLFIGSLCALSSLASNVASSVVAVIGLFLFTYCLIAL GSAFPHCNSEKKFLSLLSYLKSHHWKNEDKNCYLAYVGAMSALGMMLLFTVLEVFLAGYS SIFWWKQVYSNKPGGTFFLPQSQDHTQLVKSNLLQ >ENSMUSP00000124911.1 pep:known chromosome:GRCm38:19:11321409:11324628:-1 gene:ENSMUSG00000024672.11 transcript:ENSMUST00000159269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a7 description:membrane-spanning 4-domains, subfamily A, member 7 [Source:MGI Symbol;Acc:MGI:1918846] ALSSLASNVASSVVAVIGLFLFTYCLIALGSAFPHCNSEKKFLSLLSYLKSHHWKNEDKN CYLAYVGAMACAALQSALGMMLLFTVLEVFLAGYSSIFWWKQVYSNKPGGTFFLPQSQDH TQLVKSNLLQ >ENSMUSP00000044227.7 pep:known chromosome:GRCm38:9:118606690:118901003:1 gene:ENSMUSG00000039115.13 transcript:ENSMUST00000044165.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga9 description:integrin alpha 9 [Source:MGI Symbol;Acc:MGI:104756] MGGPAAARTGAGGLRALLLALVAAGVPAGAYNLDAQRPVRFQGPSGSFFGYAVLEHFHDN TRWVLVGAPKADSKYSTSVKSPGAVFKCRVHTNPDRRCTELDMARGRTRGAPCGKTCRGD RDDEWMGVSLARQPRADGRVLACAHRWKNIYYEADHILPHGFCYLIPSNLQAKGKVLIPC YEEYKKKYGEEHGSCQAGIAGFFTEELVVMGAPGSFYWAGTLKVLNLTDNTYFKLNDEAI MNRRYTYLGYAVTAGHFSHPSITDVVGGAPQDEGIGKVYIFRADRRSGTLIKIFQASGKK MGSYFGSSLCAVDLNMDGLSDLLVGAPMFSEIRDEGQVTVYLNQGHGALEEQLTLTGDAA YNAHFGESIANLGDIDDDGFPDVAVGAPKEEDFAGAVYIYHGDANGIVPKYSMKLSGRRL NPTLRMFGQSISGGIDMDGNGYPDVTIGAFLSDSVVLLRARPVITVDVSIFLPGSINITA PQCHDGQQPVNCLNVTVCFRFHGKNVPGEIGLNYNLTADVAQKEKGQLPRVYFVLFGETA GQVSERLQLSHMDEVCHHYVAHVKRRVQDVISPIVFEAAYSLDEHVMGEEDRELPDLTPV LRWKKGQRISQKNQTVFERNCQSEDCAADLQLRGKLLLSSVDEKTPHLALGAVKNISLNI SISNLGDDAYDANVSFNVSRELFFINMWQKEEMGISCELLESDFLKCSVGFPFMRSKSKY EFSVIFDTSHLSGEEEILSFIVTAQSGNLERSEALHDNTLTLTVPLVHEVDTSITGIVSP TSFVYGESVDASNFIQLDDQECHFQPVNITLQVYNMGPSTLPGSSVSISFPSRLSPGGAE MFQVQDMVVSQEKGNCSLQRNPTPCIIPQEQENIFHTIFAFFSKSGRKVLDCEKPGSFCL TLHCNLSALPKEESRTINLYMLLNTEILKKDSSSVIQFMARAKVKVEPALRVVEIANGNP EETLVVFEALHNLEPRGYVVGWIIAISLLVGILIFLLLAVLLWKMGFFRRRYKEIIEAEK NRKENEDGWDWVQKNQ >ENSMUSP00000122417.1 pep:known chromosome:GRCm38:9:118711657:118897723:1 gene:ENSMUSG00000039115.13 transcript:ENSMUST00000124360.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga9 description:integrin alpha 9 [Source:MGI Symbol;Acc:MGI:104756] MGEEDRELPDLTPVLRWKKGQRISQKNQTVFERNCQSEDCAADLQLRGKLLLSSVDEKTP HLALGAVKNISLNISISNLGDDAYDANVSFNVSRELFFINMWQKEEMGISCELLESDFLK CSVGFPFMRSKSKYEFSVIFDTSHLSGEEEILSFIVTAQSGNLERSEALHDNTLTLTVPL VHEVDTSITGIVSPTSFVYGESVDASNFIQLDDQECHFQPVNITLQVYNMGPSTLPGSSV SISFPSRLSPGGAEMFQVQDMVVSQEKGNCSLQRNPTPCIIPQEQENIFHTIFAFFSKSG RKVLDCEKPGSFCLTLHCNLSALPKEESRTINLYMLLNTEILKKDSSSVIQFMARAKVKV EPALRVVEIANGNPEETLVVFEALHNLEPRGYVVGWIIAISLLVGILIFLLLAVLLWKMG FFRRRYKEIIEAEKNRKENEDGWDWVQKNQ >ENSMUSP00000122408.1 pep:known chromosome:GRCm38:9:118807284:118843848:1 gene:ENSMUSG00000039115.13 transcript:ENSMUST00000149150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itga9 description:integrin alpha 9 [Source:MGI Symbol;Acc:MGI:104756] XELFFINMWQKEEMGISCELLESDFLKCSVGFPFMRSKSKYEFSVIFDTSHLSGEEEILS FIVTAQSGNLERSEALHDNTLTLTVPLVHEVDTSITGIVSPTSFVYGESVDASNFIQLDD QECHFQPVNITLQVPTGPGCDRSREDQLCFQRELFWSVFLVWGWGGSGAEILRRC >ENSMUSP00000115092.1 pep:known chromosome:GRCm38:2:28513125:28542950:1 gene:ENSMUSG00000026821.15 transcript:ENSMUST00000135803.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgds description:ral guanine nucleotide dissociation stimulator [Source:MGI Symbol;Acc:MGI:107485] MMVDCQSSTQEIGEELINGVIYSISLRKVQLHQGATKGQRWLGCENESALNLYETCKVRT VKAGTLEKLVEHLVPAFQGSDLSYVTVFLCTYRAFTTTQQVLDLLFKRYGCILPYSSEDG GPQDQLK >ENSMUSP00000028170.8 pep:known chromosome:GRCm38:2:28513326:28553081:1 gene:ENSMUSG00000026821.15 transcript:ENSMUST00000028170.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgds description:ral guanine nucleotide dissociation stimulator [Source:MGI Symbol;Acc:MGI:107485] MMVDCQSSTQEIGEELINGVIYSISLRKVQLHQGATKGQRWLGCENESALNLYETCKVRT VKAGTLEKLVEHLVPAFQGSDLSYVTVFLCTYRAFTTTQQVLDLLFKRYGRCDALTASSR YGCILPYSSEDGGPQDQLKNAISSILGTWLDQYSEDFCQPPDFPCLKQLVAYVQLNMPGS DLERRAHLLLAQLEDLEPSEAESEALSPAPVLSLKPASQLEPALLLTPSQVVTSTPVREP AAAPVPVLASSPVVAPAPELEPVPEPPQEPEPSLALAPELEPAVSQSLELESAPVPTPAL EPSWSLPEATENGLTEKPHLLLFPPDLVAEQFTLMDAELFKKVVPYHCLGSIWSQRDKKG KEHLAPTIRATVAQFNNVANCVITTCLGDQSMKAPDRARVVEHWIEVARECRALKNFSSL YAILSALQSNAIHRLKKTWEEVSRDSFRVFQKLSEIFSDENNYSLSRELLIKEGTSKFAT LEMNPRRAQRRQKETGVIQGTVPYLGTFLTDLVMLDTAMKDYLYGRLINFEKRRKEFEVI AQIKLLQSACNNYSIAPEEHFGTWFRAMERLSEAESYTLSCELEPPSESASNTLRSKKST AIVKRWSDRQAPSTELSTSSSAHSKSCDQLRCSPYLGSGDITDALSVHSAGSSSSDVEEI NMSFVPESPDGQEKKFWESASQSSPETSGISSASSSTSSSSASTTPVSTTRTHKRSVSGV CSYSSSLPLYNQQVGDCCIIRVSLDVDNGNMYKSILVTSQDKAPTVIRKAMDKHNLDEDE PEDYELVQIISEDHKLKIPENANVFYAMNSTANYDFILKKRTFTKGAKVKHGASSTLPRM KQKGLRIAKGIF >ENSMUSP00000109526.1 pep:known chromosome:GRCm38:2:28533355:28553066:1 gene:ENSMUSG00000026821.15 transcript:ENSMUST00000113893.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgds description:ral guanine nucleotide dissociation stimulator [Source:MGI Symbol;Acc:MGI:107485] MVQRMWAEAAGPIGGTEPLFPGSRRSRSVWDAVRLEVGVPDSCPVVLHSFTQLDPDLPRL ESSTQEIGEELINGVIYSISLRKVQLHQGATKGQRWLGCENESALNLYETCKVRTVKAGT LEKLVEHLVPAFQGSDLSYVTVFLCTYRAFTTTQQVLDLLFKRYGCILPYSSEDGGPQDQ LKNAISSILGTWLDQYSEDFCQPPDFPCLKQLVAYVQLNMPGSDLERRAHLLLAQLEDLE PSEAESEALSPAPVLSLKPASQLEPALLLTPSQVVTSTPVREPAAAPVPVLASSPVVAPA PELEPVPEPPQEPEPSLALAPELEPAVSQSLELESAPVPTPALEPSWSLPEATENGLTEK PHLLLFPPDLVAEQFTLMDAELFKKVVPYHCLGSIWSQRDKKGKEHLAPTIRATVAQFNN VANCVITTCLGDQSMKAPDRARVVEHWIEVARECRALKNFSSLYAILSALQSNAIHRLKK TWEEVSRDSFRVFQKLSEIFSDENNYSLSRELLIKEGTSKFATLEMNPRRAQRRQKETGV IQGTVPYLGTFLTDLVMLDTAMKDYLYGRLINFEKRRKEFEVIAQIKLLQSACNNYSIAP EEHFGTWFRAMERLSEAESYTLSCELEPPSESASNTLRSKKSTAIVKRWSDRQAPSTELS TSSSAHSKSCDQLRCSPYLGSGDITDALSVHSAGSSSSDVEEINMSFVPESPDGQEKKFW ESASQSSPETSGISSASSSTSSSSASTTPVSTTRTHKRSVSGVCSYSSSLPLYNQQVGDC CIIRVSLDVDNGNMYKSILVTSQDKAPTVIRKAMDKHNLDEDEPEDYELVQIISEDHKLK IPENANVFYAMNSTANYDFILKKRTFTKGAKVKHGASSTLPRMKQKGLRIAKGIF >ENSMUSP00000097812.3 pep:known chromosome:GRCm38:2:28533542:28552636:1 gene:ENSMUSG00000026821.15 transcript:ENSMUST00000100241.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgds description:ral guanine nucleotide dissociation stimulator [Source:MGI Symbol;Acc:MGI:107485] MVQRMWAEAAGPIGGTEPLFPGSRRSRSVWDAVRLEVGVPDSCPVVLHSFTQLDPDLPRL ESSTQEIGEELINGVIYSISLRKVQLHQGATKGQRWLGCENESALNLYETCKVRTVKAGT LEKLVEHLVPAFQGSDLSYVTVFLCTYRAFTTTQQVLDLLFKRYGRCDALTASSRYGCIL PYSSEDGGPQDQLKNAISSILGTWLDQYSEDFCQPPDFPCLKQLVAYVQLNMPGSDLERR AHLLLAQLEDLEPSEAESEALSPAPVLSLKPASQLEPALLLTPSQVVTSTPVREPAAAPV PVLASSPVVAPAPELEPVPEPPQEPEPSLALAPELEPAVSQSLELESAPVPTPALEPSWS LPEATENGLTEKPHLLLFPPDLVAEQFTLMDAELFKKVVPYHCLGSIWSQRDKKGKEHLA PTIRATVAQFNNVANCVITTCLGDQSMKAPDRARVVEHWIEVARECRALKNFSSLYAILS ALQSNAIHRLKKTWEEVSRDSFRVFQKLSEIFSDENNYSLSRELLIKEGTSKFATLEMNP RRAQRRQKETGVIQGTVPYLGTFLTDLVMLDTAMKDYLYGRLINFEKRRKEFEVIAQIKL LQSACNNYSIAPEEHFGTWFRAMERLSEAESYTLSCELEPPSESASNTLRSKKSTAIVKR WSDRQAPSTELSTSSSAHSKSCDQLRCSPYLGSGDITDALSVHSAGSSSSDVEEINMSFV PESPDGQEKKFWESASQSSPETSGISSASSSTSSSSASTTPVSTTRTHKRSVSGVCSYSS SLPLYNQQVGDCCIIRVSLDVDNGNMYKSILVTSQDKAPTVIRKAMDKHNLDEDEPEDYE LVQIISEDHKLKIPENANVFYAMNSTANYDFILKKRTFTKGAKVKHGASSTLPRMKQKGL RIAKGIF >ENSMUSP00000116215.1 pep:known chromosome:GRCm38:2:28542333:28545076:1 gene:ENSMUSG00000026821.15 transcript:ENSMUST00000137215.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ralgds description:ral guanine nucleotide dissociation stimulator [Source:MGI Symbol;Acc:MGI:107485] XRTVKAGTLEKLVEHLVPAFQGSDLSYVTVFLCTYRAFTTTQQVLDLLFKRYGCILPYSS EDGGPQDQLKNWWLMYSSTCLAQIWSAALTFSWPSWRTWSPVRLSLRPCPQLQCCL >ENSMUSP00000118966.1 pep:known chromosome:GRCm38:2:28549058:28552325:1 gene:ENSMUSG00000026821.15 transcript:ENSMUST00000140704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ralgds description:ral guanine nucleotide dissociation stimulator [Source:MGI Symbol;Acc:MGI:107485] DALSVHSAGSSSSDVEEINMSFVPESPDGQEKKVGDCCIIRVSLDVDNGNMYKSILVTSQ DKAPTVIRKAMDKHNLDEDEPEDYELVQIISEDHKLKIPENANVFYAMNSTANYDFILKK RTFTKGAKVKHGASSTLPRMKQKGLRIAKGIF >ENSMUSP00000053487.3 pep:known chromosome:GRCm38:8:15041444:15046069:-1 gene:ENSMUSG00000049008.4 transcript:ENSMUST00000050493.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BB014433 description:expressed sequence BB014433 [Source:MGI Symbol;Acc:MGI:2142823] MGNYKSMPTVSLRPQSFNTDSQVSVPRGKCPNAGVCAPRTGFRGPKQVICVPKAWCSGPI PGVCAPKACCRCPGVCTPKACFRGPNPGVCTPKARGRCPNPGICTPKVRGRGPNLCVWCR GPNLCVCGPKVGYRGPNPCVCTPKPGAEALTEVSATPKPGAEALTEVSAPPKPKAEALTE VSVPPKLSAEAPTQVSAPPKLCAEAPTRVCTPPKLCVEAPTRVCTPPKLCAEAPTPVCTP PKLCAEPPTWVCTPPKLCAEAPTRVCTPPKLCAEAPTRVCTPPKLCAEAPTRVCTPPKLC AEAPTRVCTPPKLCAEAPTPVCTPPKLCAEPPTWVCTPPKLCAEAPTPVCTPPKLCAEAP TRVCTPPKLCAEVPTRVCASPKPGEETII >ENSMUSP00000116138.1 pep:known chromosome:GRCm38:8:15042502:15046078:-1 gene:ENSMUSG00000049008.4 transcript:ENSMUST00000123331.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BB014433 description:expressed sequence BB014433 [Source:MGI Symbol;Acc:MGI:2142823] MGNYKSMPTVSLRPQSFNTDSQVSVPRGKCPNAGVCAPRTGFRGPKQVICVPKAWCSGPI PGVCAPKACCRCPGVCTPKACFRGPNPGVCTPKARGRCPNPGICTPKVRGRGPNLCV >ENSMUSP00000137001.1 pep:known chromosome:GRCm38:8:15041535:15043077:-1 gene:ENSMUSG00000049008.4 transcript:ENSMUST00000179941.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BB014433 description:expressed sequence BB014433 [Source:MGI Symbol;Acc:MGI:2142823] MGNYKSMPTVSLRPQSFNTDSQVSVPRGKCPNAGVCAPRTGFRGPKQVICVPKAWCSGPI PGVCAPKACCRCPGVCTPKACFRGPNPGVCTPKARGRCPNPGICTPKVRGRGPNLCVWCR GPNLCVCGPKVGYRGPNPCVCTPKPGAEALTEVSATPKPGAEALTEVSAPPKPKAEALTE VSVPPKLSAEAPTQVSAPPKLCAEAPTRVCTPPKLCVEAPTRVCTPPKLCAEAPTPVCTP PKLCAEPPTWVCTPPKLCAEAPTRVCTPPKLCAEAPTRVCTPPKLCAEAPTRVCTPPKLC AEAPTRVCTPPKLCAEAPTPVCTPPKLCAEPPTWVCTPPKLCAEAPTPVCTPPKLCAEAP TRVCTPPKLCAEVPTRVCASPKPGEETII >ENSMUSP00000102952.1 pep:known chromosome:GRCm38:3:90608523:90611780:1 gene:ENSMUSG00000001023.10 transcript:ENSMUST00000107329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a5 description:S100 calcium binding protein A5 [Source:MGI Symbol;Acc:MGI:1338915] METPLEKALTTMVTTFHKYSGREGSKLTLSRKELKELIKTELSLAEKMKESSIDNLMKSL DKNSDQEIDFKEYSVFLTTLCMAYNDFFLEDNK >ENSMUSP00000001049.4 pep:known chromosome:GRCm38:3:90609810:90611780:1 gene:ENSMUSG00000001023.10 transcript:ENSMUST00000001049.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a5 description:S100 calcium binding protein A5 [Source:MGI Symbol;Acc:MGI:1338915] METPLEKALTTMVTTFHKYSGREGSKLTLSRKELKELIKTELSLAEKMKESSIDNLMKSL DKNSDQEIDFKEYSVFLTTLCMAYNDFFLEDNK >ENSMUSP00000131542.1 pep:known chromosome:GRCm38:14:87416639:87514766:1 gene:ENSMUSG00000022019.15 transcript:ENSMUST00000169504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd3 description:tudor domain containing 3 [Source:MGI Symbol;Acc:MGI:2444023] MAEVSGAALSQAGWYLSDEGVEACTSSPGKGSINDIILIALNTDLRTIGKKFLPSDINGG KVEKLEGPCVLQIQKVRNVAAPKDNEESQAAPRMLRVQMTDGHTSCTAVEFSYISKISLN TPPGTKVKLSGTVDIKNGFLLLSDSNTTVLGGEVEHLIDKWALQRSLLKHNRSNIGAEGG PPPFLPFGQKCASNVQVDSRELDRRKTLQVSLPAKPANDNDEFEKQRTAAIAEVAKSKET KTFGGGGGGARSNLNIGAAGHRNREVLQKEKASKSESKNEGVYRELVDEKALKHITEMGF SKEASRQALMDNANNLEAALNVLLNSSKQKPAVGPPARGRGKGRGRGRSEDEEDLGTARP SAPSTLFDFLESKMGTLNVEEPKSQPQHLHQGQHRGWNAEQNGMKDGTQSRHLPRNDTRQ PRNERPPRFQKDTPTSKSTVENSVLSRNRGSERPSSSSGSDVWAEERIKCDRPYSRYDRT KDASHPLGLQHNDGAFKKRENSMQNRPGRGPLYAEAKENPLPPEFVDYNNQRRGRRENQT GHPDHCYERKPRTMNSEAVSGLKIEKHFSVNTDYPRPVQSNSLGVPNGETAPPLKGRRVG PIKSAGPVTAVPYDDKIFYNSGPKRRSGPIKPEKVIESSIPVEYAKVWKPGDECFALYWE DNKFYRAEVEALHSSGMTAVVKFTDYGNYEEVLLSNIKPVQTEAWVRDPNSVQRLL >ENSMUSP00000129019.1 pep:known chromosome:GRCm38:14:87416639:87545360:1 gene:ENSMUSG00000022019.15 transcript:ENSMUST00000168275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd3 description:tudor domain containing 3 [Source:MGI Symbol;Acc:MGI:2444023] MAEVSGAALSQAGWYLSDEGVEACTSSPGKGSINDIILIALNTDLRTIGKKFLPSDINGG KVEKLEGPCVLQIQKVRNVAAPKDNEESQAAPRMLRVQMTDGHTSCTAVEFSYISKISLN TPPGTKVKLSGTVDIKNGFLLLSDSNTTVLGGEVEHLIDKWALQRSLLKHNRSNIGAEGG PPPFLPFGQKCASNVQVDSRELDRRKTLQVSLPAKPANDNDEFEKQRTAAIAEVAKSKET KTFGGGGGGARSNLNIGAAGHRNREVLQKEKASKSESKNEGVYRELVDEKALKHITEMGF SKEASRQALMDNANNLEAALNVLLNSSKQKPAVGPPARGRGKGRGRGRSEDEEDLGTARP SAPSTLFDFLESKMGTLNVEEPKSQPQHLHQGQHRGWNAEQNGMKDGTQSRHLPRNDTRQ PRNERPPRFQKDTPTSKSTVENSVLSRNRGSERPSSSSGSDVWAEERIKCDRPYSRYDRT KDASHPLGLQHNDGAFKKRENSMQNRPGRGPLYAEAKENPLPPEFVDYNNQRRGRRENQT GHPDHCYERKPRTMNSEAVSGLKIEKHFSVNTDYPRPVQSNSLGVPNGETAPPLKGRRVG PIKSAGPVTAVPYDDKIFYNSGPKRRSGPIKPEKVIESSIPVEYAKVWKPGDECFALYWE DNKFYRAEVEALHSSGMTAVVKFTDYGNYEEVLLSNIKPVQTEAWEEEGTYDHTIEFRRG GDGQPRRSTRPTQQFYQPPRARN >ENSMUSP00000126212.1 pep:known chromosome:GRCm38:14:87457081:87480836:1 gene:ENSMUSG00000022019.15 transcript:ENSMUST00000170712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd3 description:tudor domain containing 3 [Source:MGI Symbol;Acc:MGI:2444023] XGSINDIILIALNTAPPIVLKSPTLYMDLSQTDLRTIGKKFLPSDINGGKVEKLEGPCVL QIQKVRNVAAPKDNEESQAAPRMLRVQMTDGHTSCTAVEFSYISKISLNTPPGTKVKLSG TVDIKNGFLLLSDSNTTVLGGEVEHLIDKWALQRSLLKHNRSNIGAEGGPPPFLPFGQKC ASNVQVDSRELDRRKTLQVSLPAKPANDNDEF >ENSMUSP00000128074.1 pep:known chromosome:GRCm38:14:87471907:87486338:1 gene:ENSMUSG00000022019.15 transcript:ENSMUST00000163714.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd3 description:tudor domain containing 3 [Source:MGI Symbol;Acc:MGI:2444023] MLRVQMTDGHTSCTAVEFSYISKISLNTPPGTKVKLSGTVDIKNGFLLLSDSNTTVLGGE VEHLIDKWALQRSLLKHNRSNIGAEGGPPPFLPFGQKCASNVQVDSRELDRRKTLQVSLP AKPANDNDEFEKQRTAAIAEVAKSKETKTFGGGGGGARSNLNIGAAGHRNREVLQKEKAS KSESKNEGVYRELVDEKALKHITEMGFSKEASRQALMDNANNLEAALNVLLNSSKQKPAV GP >ENSMUSP00000126189.1 pep:known chromosome:GRCm38:14:87416666:87545373:1 gene:ENSMUSG00000022019.15 transcript:ENSMUST00000170865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrd3 description:tudor domain containing 3 [Source:MGI Symbol;Acc:MGI:2444023] MLFILFNRYLSDEGVEACTSSPGKGSINDIILIALNTDLRTIGKKFLPSDINGGKVEKLE GPCVLQIQKVRNVAAPKDNEESQAAPRMLRVQMTDGHTSCTAVEFSYISKISLNTPPGTK VKLSGTVDIKNGFLLLSDSNTTVLGGEVEHLIDKWALQRSLLKHNRSNIGAEGGPPPFLP FGQKCASNVQVDSRELDRRKTLQVSLPAKPANDNDEFEKQRTAAIAEVAKSKETKTFGGG GGGARSNLNIGAAGHRNREVLQKEKASKSESKNEGVYRELVDEKALKHITEMGFSKEASR QALMDNANNLEAALNVLLNSSKQKPAVGPPARGRGKGRGRGRSEDEEDLGTARPSAPSTL FDFLESKMGTLNVEEPKSQPQHLHQGQHRGWNAEQNGMKDGTQSRHLPRNDTRQPRNERP PRFQKDTPTSKSTVENSVLSRNRGSERPSSSSGSDVWAEERIKCDRPYSRYDRTKDASHP LGLQHNDGAFKKRENSMQNRPGRGPLYAEAKENPLPPEFVDYNNQRRGRRENQTGHPDHC YERKPRTMNSEAVSGLKIEKHFSVNTDYPRPVQSNSLGVPNGETAPPLKGRRVGPIKSAG PVTAVPYDDKIFYNSGPKRRSGPIKPEKVIESSIPVEYAKVWKPGDECFALYWEDNKFYR AEVEALHSSGMTAVVKFTDYGNYEEVLLSNIKPVQTEAWEEEGTYDHTIEFRRGGDGQPR RSTRPTQQFYQPPRARN >ENSMUSP00000001565.8 pep:known chromosome:GRCm38:17:35667730:35673739:-1 gene:ENSMUSG00000001524.14 transcript:ENSMUST00000001565.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h4 description:general transcription factor II H, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1338799] MEITPARGGLNRAHLQCRNLQEFLGGLSPGVLDRLYGHPATCLAVFRELPSLAKNWVMRM LFLEQPLPQAAVALWVKKEFSKAQEESTGLLSGLRIWHTQLLPGGLQGLILNPVFRQNLR IALLGGGKAWSDDTSQLGPDKHARDVPSLDKYAEERWEVVLHFMVGSPSAAVSQDLAQLL SQAGLMKSTEPGEPPCITSAGFQFLLLDTPAQLWYFMLQYLQTAQSRGMDLVEILSFLFQ LSFSTLGKDYSVEGMSDSLLNFLQHLREFGLVFQRKRKSRRYYPTRLAINLSSGVSGAGG TVHQPGFIVVETNYRLYAYTESELQIALIALFSEMLYRFPNMVVAQVTRESVQQAIASGI TAQQIIHFLRTRAHPVMLKQNPVLPPTITDQIRLWELERDRLRFTEGVLYNQFLSQVDFE LLLAHARELGVLVFENSAKRLMVVTPAGHSDVKRFWKRQKHSS >ENSMUSP00000124335.1 pep:known chromosome:GRCm38:17:35667741:35673618:-1 gene:ENSMUSG00000001524.14 transcript:ENSMUST00000160734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h4 description:general transcription factor II H, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1338799] MEITPARGGLNRAHLQCRNLQEFLGGLSPGVLDRLYGHPATCLAVFRELPSLAKNWVMRM LFLEQPLPQAAVALWVKKEFSKAQEESTGLLSGLRIWHTQLLPGGLQGLILNPVFRQNLR IALLGGGKAWSDDTSQLGPDKHARDVPSLDKYAEERWEVVLHFMVGSPSAAVSQDLAQLL SQAGLMKSTEPGEPPCITSAGFQFLLLDTPAQLWYFMLQYLQTAQSRGMDLVEILSFLFQ LSFSTLGKDYSVEGMSDSLLNFLQHLREFGLVFQRKRKSRRYYPTRLAINLSSGVSGAGG TVHQPGFIVVETNYRLYAYTESELQIALIALFSEMLYRFPNMVVAQVTRESVQQAIASGI TAQQIIHFLRTRAHPVMLKQNPVLPPTITDQIRLWELERDRLRFTEGVLYNQFLSQVDFE LLLAHARELGVLVFENSAKRLMVVTPAGHSDVKRFWKRQKHSS >ENSMUSP00000124458.2 pep:known chromosome:GRCm38:17:35667830:35673703:-1 gene:ENSMUSG00000001524.14 transcript:ENSMUST00000160752.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gtf2h4 description:general transcription factor II H, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1338799] MEITPARGGLNRAHLQCRNLQEFLGGLSPGVLDRLYGHPATCLAVFRELPSLAKNWVMRM LFLEQPLPQAAVALWVKKEFSKAQEESTGLLSGLRIWHTQLLPGGLQGLILNPVFRQNLR IALLGARPGLMTQVSWDQTSMPGTSPHWTSMLRSAGRWSCTSWWAPPVQLSAKTWLSSSA RPGL >ENSMUSP00000124683.1 pep:known chromosome:GRCm38:17:35670728:35673690:-1 gene:ENSMUSG00000001524.14 transcript:ENSMUST00000160039.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h4 description:general transcription factor II H, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1338799] MEITPARGGLNRAHLQCRNLQEFLGGLSPGVLDRLYGHPATCLAVFRELPSLAKNWVMRM LFLEQPLPQAAVALWVKKEFSKAQEESTGLLSGLRIWHTQLLPGGLQGLILNPVFRQNLR IALLGGGKAWSDDTSQLGPDKHARDVPSLDKYAEERWEVVLHFM >ENSMUSP00000124103.1 pep:known chromosome:GRCm38:17:35671329:35673517:-1 gene:ENSMUSG00000001524.14 transcript:ENSMUST00000162266.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h4 description:general transcription factor II H, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1338799] MEITPARGGLNRAHLQCRNLQEFLGGLSPGVLDRLYGHPATCLAVFRELPSLAKNWVMRM LFLEQPLPQAAVALWVKKEFSKAQEESTGLL >ENSMUSP00000124060.1 pep:known chromosome:GRCm38:17:35672055:35673659:-1 gene:ENSMUSG00000001524.14 transcript:ENSMUST00000159852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h4 description:general transcription factor II H, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1338799] MEITPARGGLNRAHLQCRNLQEFLGGLSPGVLDRLYGHPATCLAVFRELPSLAKNWVMRM L >ENSMUSP00000068046.6 pep:known chromosome:GRCm38:12:69241832:69284632:1 gene:ENSMUSG00000051890.13 transcript:ENSMUST00000063445.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhdc1 description:kelch domain containing 1 [Source:MGI Symbol;Acc:MGI:2672853] MADPQAFCVAEERSGHCAVVDGHFLYVWGGYVSIEDNEVYLPNDEMWTYDIDSGLWKMHL MEGELPPSMSGSCGACIHGRLYVFGGYDDKGYSNRLYFVNLRTRDGTYTWEKITKFDGQP PTPRDKLSCWVYKDRLIYFGGYGYRRHSELQECFDVHDASWEEQIFWGWHNDVHVFDTKT RTWSQPEIKGGVPPQPRAAHSCAVLGNKGYVFGGRVLQTRMNDLHYLNLDTWVWSGRISV NGESPKHRSWHTLTAITDDKLFLFGGLNADNIPLSDGWIHNITTNCWKQLRHLPYTRPRL WHTACLGKENEIMVFGGSKDNLLFLDTGHCNDLLIFQTQPYSLLRSCLDCIGKNAIILKS QISLLPPKLLQQVLKKITFWTAANYRKEQRIRKEETENNQPRVSSC >ENSMUSP00000134180.1 pep:known chromosome:GRCm38:12:69241918:69283319:1 gene:ENSMUSG00000051890.13 transcript:ENSMUST00000173419.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhdc1 description:kelch domain containing 1 [Source:MGI Symbol;Acc:MGI:2672853] MADPQAFCVAEERSGHCAVVDGHFLYVWGGYVSIEDNEVYLPNDEMWTYDIDSGLWKMHL MEGELPPSMSGSCGACIHGRLYVFGGYDDKGYSNRLYFVNLRTRDGTYTWEKITKFDGQP PTPRDKLSCWVYKDRLIYFGGYGYRRHSELQECFDVHDASWEEQIFWGWHNDVHVFDTKT RTWSQPEIKGGVPPQPRAAHSCAVLGNKGYVFGGRVLQTRMNDLHYLNLDTWVWSGRISV NGESPKHRSWHTLTAITDDKLFLFGGLNADNIPLSDGWIHNITTNCWKQLRHLPYTRPRM FRS >ENSMUSP00000124942.1 pep:known chromosome:GRCm38:3:94174412:94178193:-1 gene:ENSMUSG00000074424.3 transcript:ENSMUST00000161475.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10696 description:predicted gene 10696 [Source:MGI Symbol;Acc:MGI:3702972] MSGDMEATGWGYTHISVYNFCYKWTISNFSFFMDGTREKITSPKFSLEASDKVEWCLRVH PNGSDEESKDYLSVYLGLLHCQKSPVWAKYEFWIINSQGEKYQSMERTNVVSFQKNQYRG FKKFILRDFLLSNPPWLLPEDQLTLCCQVSIVGTFFNTPEQNITPAIKDPRHMIADDLGE LWENSLCTDCCLFVAGQEFRAHKAILAARSPVFRAMFEHEMVERLTNRVDINDLDPKVFK EMMGFIYTGKAPHLHIHSMACDLLAAADRYGMEGLMVLCEDALSRNLSVENAAHTLILAD LHSTQQLKTQALDFIALHASKVCETSEWKSMVESNPHLVAEAFHFLASARRFLGVLT >ENSMUSP00000132199.1 pep:known chromosome:GRCm38:3:94174412:94176616:-1 gene:ENSMUSG00000074424.3 transcript:ENSMUST00000167916.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10696 description:predicted gene 10696 [Source:MGI Symbol;Acc:MGI:3702972] MSGDMEATGWGYTHISVYNFCYKWTISNFSFFMDGTREKITSPKFSLEASDKVEWCLRVH PNGSDEESKDYLSVYLGLLHCQKSPVWAKYEFWIINSQGEKYQSMERTNVVSFQKNQYRG FKKFILRDFLLSNPPWLLPEDQLTLCCQVSIVGTFFNTPEQNITPAIKDPRHMIADDLGE LWENSLCTDCCLFVAGQEFRAHKAILAARSPVFRAMFEHEMVERLTNRVDINDLDPKVFK EMMGFIYTGKAPHLHIHSMACDLLAAADRYGMEGLMVLCEDALSRNLSVENAAHTLILAD LHSTQQLKTQALDFIALHASKVCETSEWKSMVESNPHLVAEAFHFLASARRFLGVLT >ENSMUSP00000099890.3 pep:known chromosome:GRCm38:19:34550710:34576419:1 gene:ENSMUSG00000045932.12 transcript:ENSMUST00000102826.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifit2 description:interferon-induced protein with tetratricopeptide repeats 2 [Source:MGI Symbol;Acc:MGI:99449] MSTTSKESLESNLRQLKCHFTWNLIAEDESLDEFEDRVFNKDEFQNSEFKATMCNILAYV KHCRGLNEAALQCLGEAEGFIQQQHPDQVEIRSLVTWGNYAWVYYHMGQFSKAQAYLDKV KQVCKKFSSPYRIENPALDCEEGWARLKCTKNQNERVKVCFQKALEKDPKNPEFTSGWAI ANYRLDDWPARNYCIDSLEQAIQLSPDNTYVKVLLALKLDAVHKNQAMALVEEALKKDPS AIDTLLRAARFYCKVYDTDRAIQLLRKALEKLPNNAYVHYYMGCCYRSKVHHMLNRREMV FSGDRKKLEELIQLAVNHLRKAEEIKEMLEYSCSFLADLYIIAKKYDEADYYFQKELSKD LPPGPKQLLHLRYGNFQFFQMKRQDKAIYHYMEGVKIKKKTIPQKKMREKLQRIALRRLH EDESDSEALHILAFLQENGGGQQADKDSERGVDSANQVPSASLDEAGAEY >ENSMUSP00000120411.1 pep:known chromosome:GRCm38:19:34550694:34573192:1 gene:ENSMUSG00000045932.12 transcript:ENSMUST00000149829.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifit2 description:interferon-induced protein with tetratricopeptide repeats 2 [Source:MGI Symbol;Acc:MGI:99449] MSTTSKESLESNLRQLKCHFTWNLIAEDESLDEFEDRVFNKDE >ENSMUSP00000005830.8 pep:known chromosome:GRCm38:3:103171655:103179152:1 gene:ENSMUSG00000005687.14 transcript:ENSMUST00000005830.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas2 description:breast carcinoma amplified sequence 2 [Source:MGI Symbol;Acc:MGI:1915433] MAGTGLVAGEVVVDALPYFDQGYEAPGVREAAAALVEEETRRYRPTKNYLSYLTAPDYSA FETDIMRNEFERLAARQPIELLSMKRYELPAPSSGQKNDITAWQECVNNSMAQLEHQAVR IENLELMSQHGCNAWKVYNENLVHMIEHAQKELQKLRKHIQDLNWQRKNMQLTAGSKLRE MESNWVSLVSKNYEIERTIVQLENEIYQIKQQHGEANKENIRQDF >ENSMUSP00000116250.1 pep:known chromosome:GRCm38:3:103171762:103178447:1 gene:ENSMUSG00000005687.14 transcript:ENSMUST00000155520.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bcas2 description:breast carcinoma amplified sequence 2 [Source:MGI Symbol;Acc:MGI:1915433] MAGTGLVAGEVVVDALPYFDQGYEAPGVREAVSAGHVRRVRRRHAALSRRDPGLFSFLGG GPGGGGDAQIPTYQELPELPDGPGLFCL >ENSMUSP00000122413.1 pep:known chromosome:GRCm38:3:103171772:103179166:1 gene:ENSMUSG00000005687.14 transcript:ENSMUST00000135017.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bcas2 description:breast carcinoma amplified sequence 2 [Source:MGI Symbol;Acc:MGI:1915433] MAGTGLVAGEVVVDALPYFDQGYEAPGVREAAAALVEEETRRYRPTKNYLSYLTAPDYSA FEHDSVTNSLWCLCIRQT >ENSMUSP00000087643.2 pep:known chromosome:GRCm38:14:31217860:31230350:1 gene:ENSMUSG00000021904.5 transcript:ENSMUST00000090180.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema3g description:sema domain, immunoglobulin domain (Ig), short basic domain, secreted, (semaphorin) 3G [Source:MGI Symbol;Acc:MGI:3041242] MDPSAWAICCLLGSLLFHVGIPSPGPSPSVPRLRLSYRDLLSTNRSAIFLGPRGSLDLQV MYLDEYRDRLFLGSRDALYSLRLDQAWPDPREVLWLPQPGQKVECVRKGKDPLTECANFV RVLQPHNRTHLLACGTGAFQPICTFITVGHRGEHVLRLDASSVENGRGRCPHEPSRPFAS TFVGGELYTGLTADFLGREAMIFRSGGPRPALRSDSDQSLLHEPRFVMAARIPDNSDRDD DKVYFFFSETVPSPDGGPGHVTISRVGRVCVNDAGGQRVLVNKWSTFLKARLVCSVPGPG GAETHFDQLEDVFLLWPKAGKSLEVYALFSTVSAVFQGFAVCVYHMVDIWEVFNGPFAHR DGPQHQWGPYGGKVPFPRPGVCPSKMTAQPGRPFGSTKDYPDEVLQFVRDHPLMFQPVRP RRGRPVLVKTHLAQRLRQIVVDRVEAEDGTYDVIFLGTDSGSVLKVIALQGGGLTEPEEV VLEELQVFKVPTPITEMEISVKRQTLYVGSPLGVARLQLHQCETYGSACAECCLARDPYC AWDGTACARYRPSSGKRRFRRQDIRHGNPAVQCLGQGQSQNKAASGLMTRVFGTEHNSTF LECLPKSPQAAVRWFLQRPGDKGTDQVKTDERVVQTAQGLLFRRLSRHDAGNYTCTTLEH GFSQTVVRFALEVIAAVQLDSLFLRESRLEEPSAWGSLASASPKTWYKDILQLTGFANLP RVDEYCERVWCRGVGERSGSFRGKGKQAKGKSWAGLELGKKMKSRVLAEHNRTPREVEAT >ENSMUSP00000125267.1 pep:known chromosome:GRCm38:3:94196714:94199802:1 gene:ENSMUSG00000090268.1 transcript:ENSMUST00000159524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5286 description:predicted gene 5286 [Source:MGI Symbol;Acc:MGI:3644284] MEATGWGYTHIRVDSFCHKWTISHFSFCMDGIREKIISAKFSLEASDKVEWCLRVHPNGS DEESRNYLSVYLGLLHCQKSPVWAKYEFWIINSQGKNYQSRSSINVFSFQKNQYRGFKRF ILRDFLFSNPQRLLAEDQLTLCCKVSIVGALFNTPGENITPAIKDPKHMMADDLGELWEN SLFTDCCLLVAGQEFRAHKAILAARSPVFRAMFEHQLEKRLTNRVDINDLDPKVFKEMMG FIYTGKLPNLHSHSMACDLLAAADRYGLEGLKVICEDALSRNLSVENAAHTLILADLHNI QQLKNEALYFIAFYASVVSETSEWKSMMESHPHLVAETFHFLGPAQCFLEPSLKQLK >ENSMUSP00000019708.5 pep:known chromosome:GRCm38:10:79927043:79955018:1 gene:ENSMUSG00000019564.12 transcript:ENSMUST00000019708.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3a description:AT rich interactive domain 3A (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1328360] MKLQAVMETLIQRQQRARQELEARQAPPPPPPEPTGVRARTTMTDEDREPENARMHRTQM AALAAMRAAAAGLGHPSSPGGSEDGPPISGDEDTAREGTLSSPALHGSVLEGAGHAEGDR HLMDVGSDDDDTKSKWEEQELEELGEEEEEEEEEDDFEEEEEEEEGLGPPESASLGTAGL FTRKAPPAQAFRGDGGPRMLSGPERLGPGPAHPSHMASQMPPPDHGDWTFEEQFKQLYEL DADPKRKEFLDDLFSFMQKRGTPVNRIPIMAKQVLDLFMLYVLVTEKGGLVEVINKKLWR EITKGLNLPTSITSAAFTLRTQYMKYLYPYECERRGLSSPNELQAAIDSNRREGRRQSFG GSLFAYSPSGAHSMLPSPKLPVTPLGLAASTNGSSITPAPKIKKEEDSAIPITVPGRLPV SLAGHPVVAAQAAAVQAAAAQAAVAAQAAALEQLREKLESTEPPEKKMALVADEQQRLMQ RAVQQSFLAMTAQLPMNIRINSQASESRQDSAVSLTSANGSNSISMSVEMNGIVYTGVLF AQPPPPTAPSAPGKGGVSSIGTNTTTGSRTGASGSTVSGGQVGLPGVSTPTMSSTSNNSL P >ENSMUSP00000101016.1 pep:known chromosome:GRCm38:10:79927139:79954711:1 gene:ENSMUSG00000019564.12 transcript:ENSMUST00000105377.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3a description:AT rich interactive domain 3A (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1328360] MKLQAVMETLIQRQQRARQELEARQAPPPPPPEPTGVRARTTMTDEDREPENARMHRTQM AALAAMRAAAAGLGHPSSPGGSEDGPPISGDEDTAREGTLSSPALHGSVLEGAGHAEGDR HLMDVGSDDDDTKSKWEEQELEELGEEEEEEEEEDDFEEEEEEEEGLGPPESASLGTAGL FTRKAPPAQAFRGDGGPRMLSGPERLGPGPAHPSHMASQMPPPDHGDWTFEEQFKQLYEL DADPKRKEFLDDLFSFMQKRGTPVNRIPIMAKQVLDLFMLYVLVTEKGGLVEVINKKLWR EITKGLNLPTSITSAAFTLRTQYMKYLYPYECERRGLSSPNELQAAIDSNRREGRRQSFG GSLFAYSPSGAHSMLPSPKLPVTPLGLAASTNGSSITPAPKIKKDSAIPITVPGRLPVSL AGHPVVAAQAAAVQAAAAQAAVAAQAAALEQLREKLESTEPPEKKMALVADEQQRLMQRA VQQSFLAMTAQLPMNIRINSQASESRQDSAVSLTSANGSNSISMSVEMNGIVYTGVLFAQ PPPPTAPSAPGKGGVSSIGTNTTTGSRTGASGSTVSGGQVGLPGVSTPTMSSTSNNSLP >ENSMUSP00000101015.1 pep:known chromosome:GRCm38:10:79927341:79954703:1 gene:ENSMUSG00000019564.12 transcript:ENSMUST00000105376.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3a description:AT rich interactive domain 3A (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1328360] MKLQAVMETLIQRQQRARQELEARQAPPPPPPEPTGVRARTTMTDEDREPENARMHRTQM AALAAMRAAAAGLGHPSSPGGSEDGPPISGDEDTAREGTLSSPALHGSVLEGAGHAEGDR HLMDVGSDDDDTKSKWEEQELEELGEEEEEEEEEDDFEEEEEEEEGLGPPESASLGTAGL FTRKAPPAQAFRGDGGPRMLSGPERLGPGPAHPSHMASQMPPPDHGDWTFEEQFKQLYEL DADPKRKEFLDDLFSFMQKRGTPVNRIPIMAKQVLDLFMLYVLVTEKGGLVEVINKKLWR EITKGLNLPTSITSAAFTLRTQYMKYLYPYECERRGLSSPNELQAAIDSNRREGRRQSFG GSLFAYSPSGAHSMLPSPKLPVTPLGLAASTNGSSITPAPKIKKEEDSAIPITVPGRLPV SLAGHPVVAAQAAAVQAAAAQAAVAAQAAALEQLREKLESTEPPEKKMALVADEQQRLMQ RAVQQSFLAMTAQLPMNIRINSQASESRQDSAVSLTSANGSNSISMSVEMNGIVYTGVLF AQPPPPTAPSAPGKGGVSSIGTNTTTGSRTGASGSTVSGGQVGLPGVSTPTMSSTSNNSL P >ENSMUSP00000114261.1 pep:known chromosome:GRCm38:10:79930424:79931218:1 gene:ENSMUSG00000019564.12 transcript:ENSMUST00000131118.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arid3a description:AT rich interactive domain 3A (BRIGHT-like) [Source:MGI Symbol;Acc:MGI:1328360] MKLQAVMETLIQRQQRARQELEARQAPPPPPPEPTGVRARTTMTDEDREPENARMHRTQM AALAAMRAAAAGLGHPSSPGGSEDGPPISGDEDTAREGTLSSPALHGS >ENSMUSP00000088803.2 pep:known chromosome:GRCm38:3:31202858:31310378:-1 gene:ENSMUSG00000069072.9 transcript:ENSMUST00000091259.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a14 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 14 [Source:MGI Symbol;Acc:MGI:3040688] MSGFLASLDPRRVQWGAAWYAMHSRILRTKPVESMLEGTGTTSAHGTKLAQVLTTVDLIS LGVGSCVGTGMYVVSGLVAKEMAGPGVIVSFIIAAVASILSGVCYAEFGVRVPKTTGSAY TYSYVTVGEFVAFFIGWNLILEYLIGTAAGASALSSMFDSLANHSISRWMVDTVGTLNGL GKGEESYPDLLALVIAVIVTIIVALGVKNSVGFNNVLNVLNLAVWVFIMIAGLFFINGKY WAEGQFLPHGWSGVLQGAATCFYAFIGFDIIATTGEEAKNPNTSIPYAITASLVICLTAY VSVSMILTLMVPYYAIDTESPLMEMFVAHGFYAAKFVVAIGSVAGLTVSLLGSLFPMPRV IYAMAGDGLLFRFLAHVSSYTETPVVACIVSGFLAALLSLLVSLRDLIEMMSIGTLLAYT LVSVCVLLLRYQPESDIDGFVKFLSEEHTKKKEGILADCEKETCSPVSEGEEFSSPATNT CGAKNLPSLGDNEMLIGKSDKSAYNVNHPNYGTVDMTTGIEADESENIYLIKLKKLIGPR YYTMRIRLGLPGKMDRPTAATGHTVTICVLLLFILMFIFCSFIIFGSEYISGQSWWAILL VVLMMLLISVLVFVILQQPENPKKLPYMAPCLPFVPAFAMLVNIYLMLKLSTITWIRFAV WCFVGMLIYFGYGIWNSTLEISAREQALHQSTYQRYDVDDPFSVEEGFSYATEGESQEDW GGPAEDKGFYYQQMSDAKANSRTSSKAKSKSKHKQNSEALIANDELDCSPE >ENSMUSP00000103880.1 pep:known chromosome:GRCm38:3:31222720:31258394:-1 gene:ENSMUSG00000069072.9 transcript:ENSMUST00000108245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a14 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 14 [Source:MGI Symbol;Acc:MGI:3040688] MSGFLASLDPRRVQWGAAWYAMHSRILRTKPVESMLEGTGTTSAHGTKLAQVLTTVDLIS LGVGSCVGTGMYVVSGLVAKEMAGPGVIVSFIIAAVASILSGVCYAEFGVRVPKTTGSAY TYSYVTVGEFVAFFIGWNLILEYLIGTAAGASALSSMFDSLANHSISRWMVDTVGTLNGL GKGEESYPDLLALVIAVIVTIIVALGVKNSVGFNNVLNVLNLAVWVFIMIAGLFFINGKY WAEGQFLPHGWSGVLQGAATCFYAFIGFDIIATTGEEAKNPNTSIPYAITASLVICLTAY VSVSMILTLMVPYYAIDTESPLMEMFVAHGFYAAKFVVAIGSVAGLTVSLLGSLFPMPRV IYAMAGDGLLFRFLAHVSSYTETPVVACIVSGFLAALLSLLVSLRDLIEMMSIGTLLAYT LVSVCVLLLRYQPESDIDGFVKFLSEEHTKKKEGILADCEKETCSPVSEGEEFSSPATNT CGAKNLPSLGDNEMLIGKSDKSAYNVNHPNYGTVDMTTGIEADESENIYLIKLKKLIGPR YYTMRIRLGLPGKMDRPTAATGHTVTICVLLLFILMFIFCSFIIFGSEYISGQSWWAILL VVLMMLLISVLVFVILQQPENPKKLPYMAPCLPFVPAFAMLVNIYLMLKLSTITWIRFAV WCFVGKQCLLEPWAFPF >ENSMUSP00000130359.1 pep:known chromosome:GRCm38:9:66814994:66834726:-1 gene:ENSMUSG00000053040.13 transcript:ENSMUST00000169282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aph1c description:aph1 homolog C, gamma secretase subunit [Source:MGI Symbol;Acc:MGI:1915568] MTLPVFFGCAFIAFGPAFALYLFTIATDPLRVIFLIAGAFFWLVSLLLSSMFWFLVRVIT NNRDESVQNYLLIFGALLSVCIQELFRLAYYKLLKKASEGLKSINPEEDIAPSMRLLAYV SGLGFGIMSGVFSFVNTLSNSLGPGTVGIHGDSPQFFLNSAFMTLVVIMLHVFWGVVFFD GCEKNKWYTLLTVLLTHLVVSTQTFLSPYYEVNLVTAYIIMVLMGIWAFYVAGGSCRSLK FCLLCQDKDFLLYNQRSR >ENSMUSP00000056476.8 pep:known chromosome:GRCm38:9:66819284:66834648:-1 gene:ENSMUSG00000053040.13 transcript:ENSMUST00000057261.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aph1c description:aph1 homolog C, gamma secretase subunit [Source:MGI Symbol;Acc:MGI:1915568] MFWFLVRVITNNRDESVQNYLLIFGALLSVCIQELFRLAYYKLLKKASEGLKSINPEEDI APSMRLLAYVSGLGFGIMSGVFSFVNTLSNSLGPGTVGIHGDSPQFFLNSAFMTLVVIML HVFWGVVFFDGCEKNKWYTLLTVLLTHLVVSTQTFLSPYYEVNLVTAYIIMVLMGIWAFY VAGGSCRSLKFCLLCQDKDFLLYNQRSR >ENSMUSP00000056882.5 pep:known chromosome:GRCm38:1:173221284:173227214:-1 gene:ENSMUSG00000005339.10 transcript:ENSMUST00000049706.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer1a description:Fc receptor, IgE, high affinity I, alpha polypeptide [Source:MGI Symbol;Acc:MGI:95494] MVTGRSAQLCLALLFMSLDVILTATEKSVLTLDPPWIRIFTGEKVTLSCYGNNHLQMNST TKWIHNGTVSEVNSSHLVIVSATVQDSGKYICQKQGLFKSKPVYLNVTQDWLLLQTSADM VLVHGSFDIRCHGWKNWNVRKVIYYRNDHAFNYSYESPVSIREATLNDSGTYHCKGYLRQ VKYESDKFRIAVVKAYKCKYYWLQLIFPLLVAILFAVDTGLLLSTEEQFKSVLEIQKTGK YKKVETELLT >ENSMUSP00000141932.1 pep:known chromosome:GRCm38:1:173221393:173227194:-1 gene:ENSMUSG00000005339.10 transcript:ENSMUST00000193017.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcer1a description:Fc receptor, IgE, high affinity I, alpha polypeptide [Source:MGI Symbol;Acc:MGI:95494] MNSTTKWIHNGTVSEVNSSHLVIVSATVQDSGKYICQKQGLFKSKPVYLNVTQDWLLLQT SADMVLVHGSFDIRCHGWKNWNVRKVIYYRNDHAFNYSYESPVSIREATLNDSGTYHCKG YLRQVKYESDKFRIAVVKAYKCKYYWLQLIFPLLVAILFAVDTGLLLSTEEQFKSVLEIQ KTGKYKKVETELLT >ENSMUSP00000043042.9 pep:known chromosome:GRCm38:9:22475715:22888280:1 gene:ENSMUSG00000035919.16 transcript:ENSMUST00000039798.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs9 description:Bardet-Biedl syndrome 9 (human) [Source:MGI Symbol;Acc:MGI:2442833] MSLFKARDWWSTVLGEKEEFDQGCLCLADVDNSGNGHDKIIVGSFMGYLRIFSPHSVKAG GGPQAEDLLLEVHLRDPVLQVEVGKFVSGTEMLHLAVLHSRKLCVYSVSGTLGNVEHGNQ YQIKLMYEHHLQRTACNMTYGPFGGVKGRDLICIQSLDGMLMVFEQESYAFGRFLPGFLL PGPLAYSPRTDSFITVSSCRQVESYKYQVLAFATDADKKQEMEQQKLGSGKRLVVDWTLN IGEQALDICIFPLNQSASSVFVLGERNFFCLKDNGQIRFMKKLGYNPSCFLPYCSVSEGT INTLIGNHNHMLHIYQDVTLKWATQLPHVPVAVRVGCFHDLKGVIVTLSDDGHLQCSYLG TDPSLFQAPKVESRELNYDELDVELKELQKIIKDVKLQGVWPLTEQEDDLKVSASVSSTL DSVSQATNVEPGADSVPSITVKITLQNRVVLQKVKLSIYVQPPLQLTCDQFTFDFTVPDM TSSVAFSVYLKRNYTPSELEGNAVVSYSRPTGIPRVVQCKFRLPLKLICLPGQPSKTASH KLTIDTNKSPVSLLGLFPDFANPSDDDQVNVMGFRLLGGARVTLLASRTSQRYRIQSEQF EDLWLITNELILRLQEHFEKQGTKDFSCSFSGCVPLQEYFELIDHHFELRINGKKLEELL SERAVQFRAIQRRLLTRFRDKTPAPLQHLDTLLDGTYKQVIALADAIEENQDRLLQSFSG LKSATHLLILLIRLWQRLSADQTAILEAAFLPLQEDTQELGWEETVDAAIAYLLKTCLSK SSKEQALNLSSQLNIPKDTSRLKKHITLLCDRLAKGGRLCVSTDAAAPQAMVVPGGCTPI PESDLEERSLDDSTELFTNHKHLMTEPPMPEVSARQGVLE >ENSMUSP00000116629.1 pep:known chromosome:GRCm38:9:22475722:22888274:1 gene:ENSMUSG00000035919.16 transcript:ENSMUST00000150395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs9 description:Bardet-Biedl syndrome 9 (human) [Source:MGI Symbol;Acc:MGI:2442833] MSLFKARDWWSTVLGEKEEFDQGCLCLADVDNSGNGHDKIIVGSFMGYLRIFSPHSVKAG GGPQAEDLLLEVHLRDPVLQVEVGKFVSGTEMLHLAVLHSRKLCVYSVSGTLGNVEHGNQ YQIKLMYEHHLQRTACNMTYGPFGGVKGRDLICIQSLDGMLMVFEQESYAFGRFLPGFLL PGPLAYSPRTDSFITVSSCRQVESYKYQVLAFATDADKKQEMEQQKLGSGKRLVVDWTLN IGEQALDICIFPLNQSASSVFVLGERNFFCLKDNGQIRFMKKLGYNPSCFLPYCSVSEGT INTLIGNHNHMLHIYQDVTLKWATQLPHVPVAVRVGCFHDLKGVIVTLSDDGHLQCSYLG TDPSLFQAPKVESRELNYDELDVELKELQKIIKDVKLQGVWPLTEQEDDLKVSASVSSTL DSVSQATNVEPGADSVPSITVKITLQNRVVLQKVKLSIYVQPPLQLTCDQFTFDFTVPDM TSSVAFSVYLKRNYTPSELEGNAVVSYSRPTGIPRVVQCKFRLPLKLICLPGQPSKTASH KLTIDTNKSPVSLLGLFPDFANPSDDDQVNVMGFRLLGGARVTLLASRTSQRYRIQSEQF EDLWLITNELILRLQEHFEKQGTKDFSCSFSGCVPLQEYFELIDHHFELRINGKKLEELL SERAVQFRAIQRRLLTRFRDKTPAPLQHLDTLLDGTYKQVIALADAIEENQDRLLQSFSG LKSATHLLILLIRLWQRLSADQTAILEAAFLPLQEDTQELGWEETVDAAIAYLLKTCLSK SSKEQALNLSSQLNIPKDTSRLKKHITLLCDRLAKGGRLCVSTDAAAPQAMVVPGGCTPI PESDLEERSLDDSTELFTNHKHLMTEPPMPEVSARQGVLE >ENSMUSP00000122325.1 pep:known chromosome:GRCm38:9:22475731:22514047:1 gene:ENSMUSG00000035919.16 transcript:ENSMUST00000142313.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs9 description:Bardet-Biedl syndrome 9 (human) [Source:MGI Symbol;Acc:MGI:2442833] MSLFKARDWWSTVLGEKEEFDQGCLCLADVDNSGNGHDKIIVGSFMGYLRIFSPHSVKAG GGPQAEDLLLEVHLRDPVLQVEVGKFVSGTEMLHLAVLHSRKLCVYSVSGTLGNVEHGNQ YQIKLMYEHHLQ >ENSMUSP00000122058.1 pep:known chromosome:GRCm38:9:22475731:22888274:1 gene:ENSMUSG00000035919.16 transcript:ENSMUST00000147712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs9 description:Bardet-Biedl syndrome 9 (human) [Source:MGI Symbol;Acc:MGI:2442833] MSLFKARDWWSTVLGEKEEFDQGCLCLADVDNSGNGHDKIIVGSFMGYLRIFSPHSVKAG GGPQAEDLLLEVHLRDPVLQVEVGKFVSGTEMLHLAVLHSRKLCVYSVSGTLGNVEHGNQ YQIKLMYEHHLQRTACNMTYGPFGGVKGRDLICIQSLDGMLMVFEQESYAFGRFLPGFLL PGPLAYSPRTDSFITVSSCRQVESYKYQVLAFATDADKKQEMEQQKLGSGKRLVVDWTLN IGEQALDICIFPLNQSASSVFVLGERNFFCLKDNGQIRFMKKLGYNPSCFLPYCSVSEGT INTLIGNHNHMLHIYQDVTLKWATQLPHVPVAVRVGCFHDLKGVIVTLSDDGHLQCSYLG TDPSLFQAPKVESRELNYDELDVELKELQKIIKDVKLQGVWPLTEQEDDLKVSASVSSTL DSVSQATNVEPGADSVPSITVKITLQNRVVLQKVKLSIYVQPPLQLTCDQFTFDFTVPDM TSSVAFSVYLKRNYTPSELEGNAVVSYSRPTGIPRVVQCKFRLPLKLICLPGQPSKTASH KLTIDTNKSPVSLLGLFPDFANPSDDDQVNVMGFRLLGGARVTLLASRTSQRYRIQSEQF EDLWLITNELILRLQEHFEKQGTKDFSCSFSGCVPLQEYFELIDHHFELRINGKKLEELL SERAVQFRAIQRRLLTRFRDKTPAPLQHLDTLLDGTYKQVIALADAIEENQDRLLQSFSG LKSATHLLILLIRLWQRLSADQTAILEAAFLPLQEDTQELGWEETVDAAIAYLLKTCLSK SSKEQALNLSSQLNIPKDTSRLKKHITLLCDRLAKGGRLCVSTDAAAPQAMVVPGGCTPI PESDLEERSLDDSTELFTNHKHLMTEPPMPEVSARQGVLE >ENSMUSP00000117700.1 pep:known chromosome:GRCm38:9:22475867:22514093:1 gene:ENSMUSG00000035919.16 transcript:ENSMUST00000128812.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs9 description:Bardet-Biedl syndrome 9 (human) [Source:MGI Symbol;Acc:MGI:2442833] MSLFKARDWWSTVLGEKEEFDQGCLCLADVDNSGNGHDKIIVGSFMGYLRIFSPHSVKAG GGPQAEDLLLEVHLRDPVLQVEVGKFVSGTEMLHLAVLHSRKLCVYSVSGTLGNVEHGNQ YQIKLMYEHHLQRTACNMTYGPFGGVK >ENSMUSP00000120927.1 pep:known chromosome:GRCm38:9:22490829:22888280:1 gene:ENSMUSG00000035919.16 transcript:ENSMUST00000147405.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs9 description:Bardet-Biedl syndrome 9 (human) [Source:MGI Symbol;Acc:MGI:2442833] MSLFKARDWWSTVLGEKEEFDQGCLCLADVDNSGNGHDKIIVGSFMGYLRIFSPHSVKAG GGPQAEDLLLEVHLRDPVLQVEVGKFVSGTEMLHLAVLHSRKLCVYSVSGTLGNVEHGNQ YQIKLMYEHHLQRTACNMTYGPFGGVKGRDLICIQSLDGMLMVFEQESYAFGRFLPGFLL PGPLAYSPRTDSFITVSSCRQVESYKYQVLAFATDADKKQEMEQQKLGSGKRLVVDWTLN IGEQALDICIFPLNQSASSVFVLGERNFFCLKDNGQIRFMKKLGYNPSCFLPYCSVSEGT INTLIGNHNHMLHIYQDVTLKWATQLPHVPVAVRVGCFHDLKGVIVTLSDDGHLQCSYLG TDPSLFQAPKVESRELNYDELDVELKELQKIIKDVKLQGVWPLTEQEDDLKVSASVSSTL DSVSQATNVEPGADSVPSITVKITLQNRVVLQKVKLSIYVQPPLQLTCDQFTFDFTVPDM TSSVAFSVYLKRNYTPSELEGNAVVSYSRPTDRNPDGIPRVVQCKFRLPLKLICLPGQPS KTASHKLTIDTNKSPVSLLGLFPDFANPSDDDQVNVMGFRLLGGARVTLLASRTSQRYRI QSEQFEDLWLITNELILRLQEHFEKQGTKDFSCSFSGCVPLQEYFELIDHHFELRINGKK LEELLSERAVQFRAIQRRLLTRFRDKTPAPLQHLDTLLDGTYKQVIALADAIEENQDRLL QSFSGLKSATHLLILLIRLWQRLSADQTAILEAAFLPLQEDTQELGWEETVDAAIAYLLK TCLSKSSKEQALNLSSQLNIPKDTSRLKKHITLLCDRLAKGGRLCVSTDAAAPQAMVVPG GCTPIPESDLEERSLDDSTELFTNHKHLMTEPPMPEVSARQGVLE >ENSMUSP00000123160.1 pep:known chromosome:GRCm38:9:22635665:22888280:1 gene:ENSMUSG00000035919.16 transcript:ENSMUST00000136084.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bbs9 description:Bardet-Biedl syndrome 9 (human) [Source:MGI Symbol;Acc:MGI:2442833] XSTLDSVSQATNVEPGADSVPSITVKITLQNRVVLQKVKLSIYVQPPLQLTCDQFTFDFT VPDMTSSVAFSVYLKRNYTPSELEGNAVVSYSRPTGIPRVVQCKFRLPLKLICLPGQPSK TASHKLTIDTNKSPVSLLGLFPDFANPSDDDQKMVAAFSHMRL >ENSMUSP00000121992.1 pep:known chromosome:GRCm38:9:22643841:22888280:1 gene:ENSMUSG00000035919.16 transcript:ENSMUST00000127296.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bbs9 description:Bardet-Biedl syndrome 9 (human) [Source:MGI Symbol;Acc:MGI:2442833] FTVPDMTSSVAFSVYLKRNYTPSELEGNAVVSYSRPTDRNPDGCFIERVFLGLSNVNLDF L >ENSMUSP00000061524.2 pep:known chromosome:GRCm38:13:23353103:23369208:-1 gene:ENSMUSG00000046351.10 transcript:ENSMUST00000050101.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp322a description:zinc finger protein 322A [Source:MGI Symbol;Acc:MGI:2442566] MENHLGENHRRCTLQKRNVTRELKKGKHTMYALKRVKKIYIRVHEITQIDNQTYQCLERE QNFCENLARMCERTYTEEKPYRCDMCEKTFIQSSDLISHQRIHNYEKPYKCSKCEKSFWH HLALSGHQRTHAGKKFYTCDICGKNFGQSSDLLVHQRSHTGEKPYLCNECDKCFSRSTNL IRHRRTHTGEKPFKCLECEKAFSGKSDLISHQRTHTGERPYKCNKCEKSYRHRSAFIVHK RVHTGEKPYKCGACEKCFGQKSDLIVHQRVHTGEKPYKCLECMRSFTRSANLIRHQATHT HTFKCLEYEKSFNCSSDFIVHQRIHMEEKPHQWSMCESDFLLGMDFVAQQKMRAQTEELH YKYSVCDKTFHHSSALLQHQTVHIDDEYICNMSEKGLDLSSHASETSRVS >ENSMUSP00000118754.1 pep:known chromosome:GRCm38:13:23357031:23369000:-1 gene:ENSMUSG00000046351.10 transcript:ENSMUST00000152557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp322a description:zinc finger protein 322A [Source:MGI Symbol;Acc:MGI:2442566] MENHLGENHRRCTLQKRNVTRELKKGKHTMYALKRVKKIYIRVHEITQIDNQTYQCLERE QNFCENLARMCERTYTEEKPYRCDMCEKTFIQSSDLISHQRIHNYEKPYKCSKCEKSFWH HLALSGHQRTHAGKKFYTCDICGKNFGQSSDLLVHQRSHTGEKPYLCNECDKCFSRSTNL >ENSMUSP00000119883.1 pep:known chromosome:GRCm38:13:23357032:23369201:-1 gene:ENSMUSG00000046351.10 transcript:ENSMUST00000145451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp322a description:zinc finger protein 322A [Source:MGI Symbol;Acc:MGI:2442566] MENHLGENHRRCTLQKRNVTRELKKGKHTMYALKRVKKIYIRVHEITQIDNQTYQCLERE QNFCENLARMCERTYTEEKPYRCDMCEKTFIQSSDLISHQRIHNYEKPYKCSKCEKSFWH HLALSGHQRTHAGKKFYTCDICGKNFGQSSDLLVHQRSHTGEKPYLCNECDKCFSRSTNL >ENSMUSP00000120804.1 pep:known chromosome:GRCm38:13:23357193:23369194:-1 gene:ENSMUSG00000046351.10 transcript:ENSMUST00000125328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp322a description:zinc finger protein 322A [Source:MGI Symbol;Acc:MGI:2442566] MENHLGENHRRCTLQKRNVTRELKKGKHTMYALKRVKKIYIRVHEITQIDNQTYQCLERE QNFCENLARMCERTYTEEKPYRCDMCEKTFIQSSDLISHQRIHNYEKPYKCSKCEKSFWH HLALSG >ENSMUSP00000124222.1 pep:known chromosome:GRCm38:15:9578193:9748838:-1 gene:ENSMUSG00000072663.12 transcript:ENSMUST00000160236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spef2 description:sperm flagellar 2 [Source:MGI Symbol;Acc:MGI:2443727] MSEILCQWLNQELRVSRTVSPKSFAKAFSNGYLIGEVLFKFELQSDFAEFSESRGSTAKL NNFSRLQPTLHLLGLQFDQNVAQSIITEKPGAATKLLYQLYIALQKKKKTGLTGLEIQTM QPQTNLRLQTLKSEAFREQRLNRRRQNEIMAKIQAAIIQIPKPESNRTLKAIEAQKLMKK KKEAEDVANEIKKFEALIKKDLQIKESVSKTSLETTDQTTAELLNTYSDDDYIKKIQKRL EEDAFAREQREKRRRRLLMDQLMAHEAQEEAYREEQLIHRLMRQSQQERRIAVQLMHVRH EKEVLWQNRIFREKQFEERRLKDFQDALDREAALAKQAKIDFAEQTLREKEIHEKISVER AQQRYKKHYGICAEILDQMLDLCTKVADYRLLTNNLIPHKMMHDWKELFFSGIPIYEQTS LTHGQTEPTEDHRAEVKKRNLLDSKDYEDYKNMVGEWALPEDMVNSSPPSNNSILGHVLL RLIEKADPSASNAEATELPSLAVKGCILGKTLSGKTTVLKSLQNDFPVHVLSIDTLVQEA IQAFHERQKSGKTPPTQEDDKRDPVVNQEKVSKTQDKNVLAVSPVPGDRTSQKEGVKINE FEQFRSSDSFLSLSMRAQLGAKSELMLRRGKSIPDILLVSILVNAIKEIPVDQSWVLDGF PITLNQAKLLEEALTGYKRKFLQLKKKKEQMPTLALDPSTSTEVSLLPSALDFVILLDIS DNSSLARTNDIIAKEISHEISHENVGRPGTGTSQDNKSEDRNLRDHIQHRIVGFLDNWPL LEEWFTQPKNILTKVNAEIDEALLCQKVKEIFATETVNKKIKVEKTLEEKETEKKAGAPP AEPPAMSPPLSSEAEKDKELHQAKTPGKGKTQSVSPKGKAQGGKVSVKKSPVGSAEVSPT PTAPPPPKAGTEEWVYVNEPIPEELPSFLVPYWELIEKSYINHIKTVLRHLRERQHNVLS YLYETRTSFQEFLRRPDHKQDFVSQWQADFNSVPEDLWEDEETKAELHQRVNDLRDRLWD ICEARKEEAEQERLDIINESWLQDSIGITMNHFFSLMQAEVNRFQDTKRLLQDYYRAMES KIPLEDSKKFTRVPLVQLDGKEISESQLRIPLVPRISNSPENSAVKPKVGTFLKGRSDPP LEVLEANFEIDEKILLDTWQQASLAISNMVAAEVHQRLTEEEKEPPQLDSKEKSPQSGAN KKAKKEKEAPKKKKTDKKGKGKSSPVAEVSPVTVTPEEMAEMEKRNELRLRIKEEHLAAL QTEEQAAQFRLELIKLKALSVLEDLVTKVIDVYRLMEKWLGKRYLNEMASIQKLTELARY HIETATKIQNEIYLSQEDFYINGDIKVFPDPSPPTRPPPVEREENGTLTIEQLDNLRDQF LDMAPKGIIGNKAFSDILLDLITLNLGTNNFPSSWMHLSQLDLQEITSLLTVNTEFVDWR KFLMVTAMPWPMALEDELLDTLQRFKALDEAQTGTITYEQYKQAGLWFSGDEDIKIPENP LEPLPFNRQEHLIEFFFRLFADCEKEPPQLDYTQMLLYFACHPDTLEGVYRALSVAVGTH IFRQVETPMLMAEKTSISTVSPIEEFPETEESSAKEDRELKEEKDDQKEEEIPENANTEK ISMETLLKVFGGGNEVLDANRFASYLKSENIYAENFIKTFQDLGARNLEPIAVNILLKHP YIQDLIANYVDYKFPDIKMILQRSEHAQGSDGERSPSRLTDEKK >ENSMUSP00000146967.1 pep:known chromosome:GRCm38:15:9598789:9748838:-1 gene:ENSMUSG00000072663.12 transcript:ENSMUST00000208854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spef2 description:sperm flagellar 2 [Source:MGI Symbol;Acc:MGI:2443727] MSEILCQWLNQELRVSRTVSPKSFAKAFSNGYLIGEVLFKFELQSDFAEFSESRGSTAKL NNFSRLQPTLHLLGLQFDQNVAQSIITEKPGAATKLLYQLYIALQKKKKTGLTGLEIQTM QPQTNLRLQTLKSEAFREQRLNRRRQNEIMAKIQAAIIQIPKPESNRTLKAIEAQKLMKK KKEAEDVANEIKKFEALIKKDLQIKESVSKTSLETTDQTTAELLNTYSDDDYIKKIQKRL EEDAFAREQREKRRRRLLMDQLMAHEAQEEAYREEQLIHRLMRQSQQERRIAVQLMHVRH EKEVLWQNRIFREKQFEERRLKDFQDALDREAALAKQAKIDFAEQTLREKEIHEKISVER AQQRYKKHYGICAEILDQMLDLCTKVADYRLLTNNLIPHKMMHDWKELFFSGIPIYEQTS LTHGQTEPTEDHRAEVKKRNLLDSKDYEDYKNMVGEWALPEDMVNSSPPSNNSILGHVLL RLIEKADPSASNAEATELPSLAVKGCILGKTLSGKTTVLKSLQNDFPVHVLSIDTLVQEA IQAFHERQKSGKTPPTQEDDKRDPVVNQEKVSKTQDKNVLAVSPVPGDRTSQKEGVKINE FEQFRSSDSFLSLSMRAQLGAKSELMLRRGKSIPDILLVSILVNAIKEIPVDQSWVLDGF PITLNQAKLLEEALTGYKRKFLQLKKKKEQMPTLALDPSTSTEVSLLPSALDFVILLDIS DNSSLARTNDIIAKEISHEISHENVGRPGTGTSQDNKSEDRNLRDHIQHRIVGFLDNWPL LEEWFTQPKNILTKVNAEIDEALLCQKVKEIFATETVNKKIKVEKTLEEKETEKKAGAPP AEPPAMSPPLSSEAEKDKELHQAKTPGKGKTQSVSPKGKAQGGKVSVKKSPVGSAEVSPT PTAPPPPKAGTEEWVYVNEPIPEELPSFLVPYWELIEKSYINHIKTVLRHLRERQHNVLS YLYETRTSFQEFLRRPDHKQDFVSQWQADFNSVPEDLWEDEETKAELHQRVNDLRDRLWD ICEARKEEAEQERLDIINESWLQDSIGITMNHFFSLMQAEVNRFQDTKRLLQDYYRAMES KIPLEDSKKFTRVPLVQLDGKEISESQLRIPLVPRISNSPENSAVKPKVGTFLKGRSDPP LEVLEANFEIDEKILLDTWQQASLAISNMVAAEVHQRLTEEEKEPPQLDSKEKSPQSGAN KKAKKEKEAPKKKKTDKKGKGKSSPVAEVSPVTVTPEEMAEMEKRNELRLRIKEEHLAAL QTEEQAAQFRLELIKLKALSVLEDLVTKVIDVYRLMEKWLGKRYLNEMASIQKLTELARY HIETATKIQNEIYLSQEDFYINGDIKVFPDPSPPTRPPPVEREENGTLTIEQLDNLRDQF LDMAPKGKDSPNAVIPVTKKQKKSQKVDSFLPERNHQFLKIWLKKYPWLVYDEQLNLMFC VLCRKHGVTSGGSTVSFLYGTDNFRAEFLSAHHLSEAHAKASLMEVTSGSPVNRAATELT VRTMSKVTLARVENLFRSCHALVKTGHPLKDFIWMCKLDDMKGVDIGPVFRTKKSARIFT YFIAEVERKNLRENLEKSNFFSVITDGIVDSLGKEAKMVYVQFAHAGKVQCQIVGLQPVE PKDSLAIKNAIEKTLETNLHLKLSSQDWAKKLVGFGSDDSPGIEGKNRVALLLREIQPCV QSMYCFAHHLELSYKSMFQSVPLYNDLEALLTSIYHFYHNSPLFKSSLITAFRGLHLQPV MPSQIGGRRWLRGLQASLQNFLKGYPAIVQQLHSVGKGQNDTSEQNANSLLDLVLQADII RFAHFLTDIISILSLLSHTSRNRNSSIADVFASLESTLEMLQIYQSRPGPKERRVDSATH FHGNCLRGEANISSVRKVVLTHLIERLRGCFRDASLDVVRATVIGSFRLWPTKINQEFGV KEISILISYYEPVLKAANVKTDEVDTEWSMLKLELYGRFQNIRKLTWDFVNSIYSHKYPS VLMLVDLVLALPASSAEPERGCSQRKRMDERIKPETMTDILTVQLNSPDIRSFDPRKAIH LWNMQTQLPMAHRGSNLDSSSDSESQDESDEQCDLC >ENSMUSP00000035762.7 pep:known chromosome:GRCm38:15:9661546:9748868:-1 gene:ENSMUSG00000072663.12 transcript:ENSMUST00000041840.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spef2 description:sperm flagellar 2 [Source:MGI Symbol;Acc:MGI:2443727] MSEILCQWLNQELRVSRTVSPKSFAKAFSNGYLIGEVLFKFELQSDFAEFSESRGSTAKL NNFSRLQPTLHLLGLQFDQNVAQSIITEKPGAATKLLYQLYIALQKKKKTGLTGLEIQTM QPQTNLRLQTLKSEAFREQRLNRRRQNEIMAKIQAAIIQIPKPESNRTLKAIEAQKLMKK KKEAEDVANEIKKFEALIKKDLQIKESVSKTSLETTDQTTAELLNTYSDDDYIKKIQKRL EEDAFAREQREKRRRRLLMDQLMAHEAQEEAYREEQLIHRLMRQSQQERRIAVQLMHVRH EKEVLWQNRIFREKQFEERRLKDFQDALDREAALAKQAKIDFAEQTLREKEIHEKISVER AQQRYKKHYGICAEILDQMLDLCTKVADYRLLTNNLIPHKMMHDWKELFFSGIPIYEQTS LTHGQTEPTEDHRAEVKKRNLLDSKDYEDYKNMVGEWALPEDMVNSSPPSNNSILGHVLL RLIEKADPSASNAEATELPSLAVKGCILGKTLSGKTTVLKSLQNDFPVHVLSIDTLVQEA IQAFHERQKSGKTPPTQEDDKRDPVVNQEKVSKTQDKNVLAVSPVPGDRTSQKEGVKINE FEQFRSSDSFLSLSMRAQLGAKSELMLRRGKSIPDILLVSILVNAIKEIPVDQSWVLDGF PITLNQAKLLEEALTGYKRKFLQLKKKKEQMPTLALDPSTSTEVSLLPSALDFVILLDIS DNSSLARTNDIIAKEISHEISHENVGRPGTGTSQDNKSEDRNLRDHIQHRIVGFLDNWPL LEEWFTQPKNILTKVNAEIDEALLCQKVKEIFATETVNKKIKVEKTLEEKETEKKAGAPP AEPPAMSPPLSSEAEKDKELHQAKTPGKGKTQSGD >ENSMUSP00000124891.1 pep:known chromosome:GRCm38:15:9684842:9748820:-1 gene:ENSMUSG00000072663.12 transcript:ENSMUST00000159093.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spef2 description:sperm flagellar 2 [Source:MGI Symbol;Acc:MGI:2443727] MSEILCQWLNQELRVSRTVSPKSFAKAFSNGYLIGEVLFKFELQSDFAEFSESRGSTAKL NNFSRLQPTLHLLGLQFDQNVAQSIITEKPGAATKLLYQLYIALQKKKKTGLTGLEIQTM QPQTNLRLQTLKSEAFRERLKNLIPRQTDFNLMRVTCRFQEKCKQMKEDLARMNFEKFEK IQKLEEEQRHFNIEKQRLNRRRQNEIMAKIQAAIIQIPKPESNRTLKAIEAQKLMKKKKE AEDVANEIKKFEALIKKDLQIKESVSKTSLETTDQTTAELLNTYSDDDYIKKIQKRLEED AFAREQREKRRRRLLMDQLMAHEAQEEAYREEQLIHRLMRQSQQERRIAVQLMHVRHEKE VLWQNRIFREKQFEERRLKDFQDALDREAALAKQAKIDFAEQTLREKEIHEKISVERAQQ RYKKHYGICAEILDQMLDLCTKVADYRLLTNNLIPHKMMHDWKELFFSGIPIYEQTSLTH GQTEPTEDHRAEVKKRNLLDSKDYEDYKNMVGEWALPEDMVNSSPPSNNSILGHVLLRLI EKADPSASNAEATELPSLAVKGCILGKTLSGKTTVLKSLQNDFPVHVLSIDTLVQEAIQA FHERQKSGKTPPTQEDDKRDPV >ENSMUSP00000124393.1 pep:known chromosome:GRCm38:15:9704309:9748820:-1 gene:ENSMUSG00000072663.12 transcript:ENSMUST00000162780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spef2 description:sperm flagellar 2 [Source:MGI Symbol;Acc:MGI:2443727] MSEILCQWLNQELRVSRTVSPKSFAKAFSNGYLIGEVLFKFELQSDFAEFSESRGSTAKL NNFSRLQPTLHLLGLQFDQNVAQSIITEKPGAATKLLYQLYIALQKKKKTGLTGLEIQTM QPQTNLRLQTLKSEAFRERLKNLIPRQTDFNLMRVTCRFQEKCKQMKEDLARMNFEKFEK IQKLEEEQRHFNIEKQRLNRRRQNEIMAKIQAAIIQIPKPESNRTLKAIEAQKLMKKKKE AEDVANEIKKFEALIKKDLQIKESVSKTSLETTDQTTAELLNTYSDDDYIKKIQKRLEED AFAREQREKRRRRLLMDQLMAHEAQEEAYREEQLIHRLMRQSQQERRIAVQLMHVRHEKE VLWQNRIFREKQFEERRLKDFQDALDREAALAKQAKIDFAEQTLREKEIHEKISVERAQQ RYKKHYGICAEILDQMLDLCTKVADYRLLTNNLIPHKMMHDWKELFFSGIPIYEQTSLTH GQTEPTEDHRAEVKKRNLLDSKDYEDYKVHTNM >ENSMUSP00000124723.1 pep:known chromosome:GRCm38:15:9704312:9748777:-1 gene:ENSMUSG00000072663.12 transcript:ENSMUST00000159368.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spef2 description:sperm flagellar 2 [Source:MGI Symbol;Acc:MGI:2443727] MSEILCQWLNQELRVSRTVSPKSFAKAFSNGYLIGEVLFKFELQSDFAEFSESRGSTAKL NNFSRLQPTLHLLGLQFDQNVAQSIITEKPGAATKLLYQLYIALQKKKKTGLTGLEIQTM QPQTNLRLQTLKSEAFREQRLNRRRQNEIMAKIQAAIIQIPKPESNRTLKAIEAQKLMKK KKEAEDVANEIKKFEALIKKDLQIKESVSKTSLETTDQTTAELLNTYSDDDYIKKIQKRL EEDAFAREQREKRRRRLLMDQLMAHEAQEEAYREEQLIHRLMRQSQQERRIAVQLMHVRH EKEVLWQNRIFREKQFEERRLKDFQDALDREAALAKQAKIDFAEQTLREKEIHEKISVER AQQRYKKHYGICAEILDQMLDLCTKVADYRLLTNNLIPHKMMHDWKELFFSGIPIYEQTS LTHGQTEPTEDHRAEVKKRNLLDSKDYEDYKVHTNM >ENSMUSP00000117046.1 pep:known chromosome:GRCm38:15:101411103:101419507:1 gene:ENSMUSG00000023039.16 transcript:ENSMUST00000147662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt7 description:keratin 7 [Source:MGI Symbol;Acc:MGI:96704] MLETKWALLQEQKSAKSSQLPRIFEAQIAGLRQQLETLQLDGGRLEVELRNMQDVVEDFK NKYEEEINRRTAAENEFVLLKKDVDAAYTNKVELEAKADSLQDEINFLKTLHETE >ENSMUSP00000069900.8 pep:known chromosome:GRCm38:15:101412402:101430313:1 gene:ENSMUSG00000023039.16 transcript:ENSMUST00000068904.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krt7 description:keratin 7 [Source:MGI Symbol;Acc:MGI:96704] MSIHFSSRSTAYPGRGAQVRLSSGRASFGSRSLYGLGSSRPRVAVRSAYGGPVGAGIREI TINQSLLAPLSVDIDPTIQQVRQEEREQIKTLNNKFASFIDKVRFLEQQNKMLETKWALL QEQKSAKSSQLPRIFEAQIAGLRQQLETLQLDGGRLEVELRNMQDVVEDFKNKYEEEINR RTAAENEFVLLKKDVDAAYTNKVELEAKADSLQDEINFLKTLHETELAELQSQISDTSVV LSMDNSRSLDLDGIIADVKAQYEEMANHSRAEAEAWYQTKFETLQAQAGKHGDDLRNTRN EIAEMNRSIQRLQAEIDTLKNQRAKLESSIAEAEEQGELAIKDAHAKQGELEAALQKAKQ DVARQLREYQELLNTKLALDIEIATYRKLLEGEESRLSGDGMGPVNISVVNSTGGNGGKL IFGGTMGSNALSFSGGPGALRAYSIKTTSTTRRGTHN >ENSMUSP00000123718.2 pep:known chromosome:GRCm38:7:29134851:29152542:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000161522.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYQKAAKDAQELRQLQ ICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLPGLGKKRKVS LLFDHLETEELAQHLTYLEFRSFQAITPQDLRGYVLQGSVRGCPALEGSVGLSNSVSRWV QVMVLSRPGPAQRAQVLDKFIRVAQRLHQLQNFNTLMAVTGGLCHSAISRLKDSHVHLSP DSTKALLELTELLSSHNNYAHYRRTWAGCTGFRLPVLGVHLKDLVSLYEAHPDRLPDGRL HLPKLNSLYLRLQELMALQGQHPPCSANEDLLHLLTLSLDLFYTEDEIYELSYAREPRCP KSLPPSPFKAPVVVEWAQGVTPKPDSVTLGQHVEQLVESVFKNYDPEGRGSISLEDFERL SGNFPFACHGLHPPPRHGSGSFSREELTKYLLHASAICSKLGLAFLHAFQEVTFRKPTFC HSCSGFLWGVTKQGYRCRDCGLCCHRHCRDQVRVECKKRPETKGDPGPPGAPVPATSLPP ANCGSEESLSYTLSPDPESGCHLRHAWTQTESSHSSWEPEVVPCPARVLPSRASSKPSV >ENSMUSP00000144774.1 pep:known chromosome:GRCm38:7:29134851:29152542:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000204845.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYQKAAKDAQELRQLQ ICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLLSPGGPGPPP PMSSPGLGKKRKVSLLFDHLETEELAQHLTYLEFRSFQAITVMVLSRPGPAQRAQVLDKF IRVAQRLHQLQNFNTLMAVTGGLCHSAISRLKDSHVHLSPDSTKALLELTELLSSHNNYA HYRRTWAGCTGFRLPVLGVHLKDLVSLYEAHPDRLPDGRLHLPKLNSLYLRLQELMALQG QHPPCSANEDLLHLLTLSLDLFYTEDEIYELSYAREPRCPKSLPPSPFKAPVVVEWAQGV TPKPDSVTLGQHVEQLVESVFKNYDPEGRGSISLEDFERLSGNFPFACHGLHPPPRHGSG SFSREELTKYLLHASAICSKLGLAFLHAFQEVTFRKPTFCHSCSGFLWGVTKQGYRCRDC GLCCHRHCRDQVRVECKKRPETKGDPGPPGAPVPATSLPPANCGSEESLSYTLSPDPESG CHLRHAWTQTESSHSSWEPEVVPCPARVLPSRASSKPSV >ENSMUSP00000145186.1 pep:known chromosome:GRCm38:7:29134851:29152542:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000205027.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYQKAAKDAQELRQLQ ICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLLSPGGPGPPP PMSSPGLGKKRKVSLLFDHLETEELAQHLTYLEFRSFQAITLSLDLFYTEDEIYELSYAR EPRCPKSLPPSPFKAPVVVEWAQGVTPKPDSVTLGQHVEQLVESVFKNYDPEGRGSISLE DFERLSGNFPFACHGLHPPPRHGSGSFSREELTKYLLHASAICSKLGLAFLHAFQEVTFR KPTFCHSCSGFLWGVTKQGYRCRDCGLCCHRHCRDQVRVECKKRPETKGDPGPPGAPVPA TSLPPANCGSEESLSYTLSPDPESGCHLRHAWTQTESSHSSWEPEVVPCPARVLPSRASS KPSV >ENSMUSP00000145259.1 pep:known chromosome:GRCm38:7:29134851:29152542:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000204194.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYQKAAKDAQELRQLQ ICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLLSPGGPGPPP PMSSPGLGKKRKVSLLFDHLETEELAQHLTYLEFRSFQAITALLELTELLSSHNNYAHYR RTWAGCTGFRLPVLGVHLKDLVSLYEAHPDRLPDGRLHLPKLNSLYLRLQELMALQGQHP PCSANEDLLHLLTLSLDLFYTEDEIYELSYAREPRCPKSLPPSPFKAPVVVEWAQGVTPK PDSVTLGQHVEQLVESVFKNYDPEGRGSISLEDFERLSGNFPFACHGLHPPPRHGSGSFS REELTKYLLHASAICSKLGLAFLHAFQEVTFRKPTFCHSCSGFLWGVTKQGYRCRDCGLC CHRHCRDQVRVECKKRPETKGDPGPPGAPVPATSLPPANCGSEESLSYTLSPDPESGCHL RHAWTQTESSHSSWEPEVVPCPARVLPSRASSKPSV >ENSMUSP00000145352.1 pep:known chromosome:GRCm38:7:29134851:29152542:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000203070.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYQKAAKDAQELRQLQ ICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLLSPGGPGPPP PMSSPGLGKKRKVSLLFDHLETEELAQHLTYLEFRSFQAITPQDLRGYVLQGSVRGCPAL EGSVGLSNSVSRWVQVMVLSRPGPAQRAQVLDKFIRVAQRLHQLQNFNTLMAVTGGLCHS AISRLKDSHVHLSPDSTKLSLDLFYTEDEIYELSYAREPRCPKSLPPSPFKAPVVVEWAQ GVTPKPDSVTLGQHVEQLVESVFKNYDPEGRGSISLEDFERLSGNFPFACHGLHPPPRHG SGSFSREELTKYLLHASAICSKLGLAFLHAFQEVTFRKPTFCHSCSGFLWGVTKQGYRCR DCGLCCHRHCRDQVRVECKKRPETKGDPGPPGAPVPATSLPPANCGSEESLSYTLSPDPE SGCHLRHAWTQTESSHSSWEPEVVPCPARVLPSRASSKPSV >ENSMUSP00000144753.1 pep:known chromosome:GRCm38:7:29134851:29152542:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000203380.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYQKAAKDAQELRQLQ ICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLLSPGGPGPPP PMSSPGLGKKRKVSLLFDHLETEELAQHLTYLEFRSFQAITPQDLRGYVLQGSVRGCPAL EGSVGLSNSVSRWVQVMVLSRPGPAQRAQVLDKFIRVAQRLHQLQNFNTLMAVTGGLCHS AISRLKDSHVHLSPDSTKELMALQGQHPPCSANEDLLHLLTLSLDLFYTEDEIYELSYAR EPRCPKSLPPSPFKAPVVVEWAQGVTPKPDSVTLGQHVEQLVESVFKNYDPEGRGSISLE DFERLSGNFPFACHGLHPPPRHGSGSFSREELTKYLLHASAICSKLGLAFLHAFQEVTFR KPTFCHSCSGFLWGVTKQGYRCRDCGLCCHRHCRDQVRVECKKRPETKGDPGPPGAPVPA TSLPPANCGSEESLSYTLSPDPESGCHLRHAWTQTESSHSSWEPEVVPCPARVLPSRASS KPSV >ENSMUSP00000125137.1 pep:known chromosome:GRCm38:7:29134933:29151621:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000159975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYQKAAKDAQELRQLQ ICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLLSPGGPGPPP PMSSPGLGKKRKVSLLFDHLETEELAQHLTYLEFRSFQAITPQDLRGYVLQGSVRGCPAL EGSVGLSNSVSRWVQVMVLSRPGPAQRAQVLDKFIRVAQRLHQLQNFNTLMAVTGGLCHS AISRLKDSHVHLSPDSTKALLELTELLSSHNNYAHYRRTWAGCTGFRLPVLGVHLKDLVS LYEAHPDRLPDGRLHLPKLNSLYLRLQELMALQGQHPPCSANEDLLHLLTLSLDLFYTED EIYELSYAREPRCPKSLPPSPFKAPVVVEWAQGVTPKPDSVTLGQHVEQLVESVFKNYDP EGRGSISLEDFERLSGNFPFACHGLHPPPRHGSGSFSREELTKYLLHASAICSKLGLAFL HAFQEVTFRKPTFCHSCSGFVSTGPLWGVTKQGYRCRDCGLCCHRHCRDQVRVECKKRPE TKGDPGPPGAPVPATSLPPANCGSEESLSYTLSPDPESGCHLRHAWTQTESSHSSWEPEV VPCPARVLPSRASSKPSV >ENSMUSP00000032811.5 pep:known chromosome:GRCm38:7:29134933:29153961:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000032811.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYQKAAKDAQELRQLQ ICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLLSPGGPGPPP PMSSPGLGKKRKVSLLFDHLETEELAQHLTYLEFRSFQAITPQDLRGYVLQGSVRGCPAL EGSVGLSNSVSRWVQVMVLSRPGPAQRAQVLDKFIRVAQRLHQLQNFNTLMAVTGGLCHS AISRLKDSHVHLSPDSTKALLELTELLSSHNNYAHYRRTWAGCTGFRLPVLGVHLKDLVS LYEAHPDRLPDGRLHLPKLNSLYLRLQELMALQGQHPPCSANEDLLHLLTLSLDLFYTED EIYELSYAREPRCPKSLPPSPFKAPVVVEWAQGVTPKPDSVTLGQHVEQLVESVFKNYDP EGRGSISLEDFERLSGNFPFACHGLHPPPRHGSGSFSREELTKYLLHASAICSKLGLAFL HAFQEVTFRKPTFCHSCSGFLWGVTKQGYRCRDCGLCCHRHCRDQVRVECKKRPETKGDP GPPGAPVPATSLPPANCGSEESLSYTLSPDPESGCHLRHAWTQTESSHSSWEPEVVPCPA RVLPSRASSKPSV >ENSMUSP00000124908.2 pep:known chromosome:GRCm38:7:29135006:29148551:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000160194.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYQKAAKDAQELRQLQ ICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLLSPGGPGPPP PMSSPGLGKKRKVSLLFDHLETEELAQHLTYLEFRSFQAITGSVRGCPALEGSVGLSNSV SRWVQVMVLSRPGPAQRAQVLDKFIRVAQRLHQLQNFNTLMAVTGGLCHSAISRLKDSHV HLSPDSTKALLELTELLSSHNNYAHYRRTWAGCTGFRLPVLGVHLKDLVSLYEAHPDRLP DGRLHLPKLNSLYLRLQELMALQGQHPPCSANEDLLHLLTLSLDLFYTEDEIYELSYARE PRCPKSLPPS >ENSMUSP00000124183.1 pep:known chromosome:GRCm38:7:29135073:29151499:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000159351.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYQKAAKDAQELRQLQ ICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLLSPGGPGPPP PMSSPGLGKKRKVSLLFDHLETEELAQHLTYLEFRSFQAITPQDLRGYVLQGSVRGCPAL EGSVGLSNSVSRWVQVMVLSRPGPAQRAQVLDKFIRVAQRLHQLQNFNTLMAVTGGLCHS AISRLKDSHVHLSPDSTKALLELTELLSSHNNYAHYRRTWAGCTGFRLPVLGVHLKDLVS LYEAHPDRLPDGRLHLPKLNSLYLRLQELMALQGQHPPCSANEDLLHLLTLSLDLFYTED EIYELSYAREPRCPKSLPPSPFKAPVVVEWAQGVTPKPDSVTLGQHVEQLVESVFKNYDP EGRGSISLEDFERLSGNFPFACHGLHPPPRHGSGSFSREELTKYLLHASAICSKLGLAFL HAFQEVTFRKPTFCHSCSGFLWGVTKQGYRCRDTAGIK >ENSMUSP00000125544.1 pep:known chromosome:GRCm38:7:29150196:29151619:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000160396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] SYTLSPDPESGCHLRHAWTQTESSHSSWEPEVEVRQEPKPRTWRSAACLLSMASFLAPSG TTCPCSEVPCPARVLPSRASSKPSV >ENSMUSP00000092200.4 pep:known chromosome:GRCm38:7:29134933:29153939:1 gene:ENSMUSG00000030589.15 transcript:ENSMUST00000094617.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp4 description:RAS guanyl releasing protein 4 [Source:MGI Symbol;Acc:MGI:2386851] MNRKDIKRKSHQECSGKAGGRGRSRQARRHKTCPTPREISKVMASMNLGVLSESSCSEDE LLEECIRCFDSAGSLRRGDHILKMVLTMHSWVLPSSELAARLLTSYYQKAAKDAQELRQL QICYLVRYWLTHHHEAVHQEPQLEAVISRFWTTVAQEGNMAQRSLGDASSLLSPGGPGPP PPMSSPGLGKKRKVSLLFDHLETEELAQHLTYLEFRSFQAITPQDLRGYVLQGSVRGCPA LEGSVGLSNSVSRWVQVMVLSRPGPAQRAQVLDKFIRVAQRLHQLQNFNTLMAVTGGLCH SAISRLKDSHVHLSPDSTKALLELTELLSSHNNYAHYRRTWAGCTGFRLPVLGVHLKDLV SLYEAHPDRLPDGRLHLPKLNSLYLRLQELMALQGQHPPCSANEDLLHLLTLSLDLFYTE DEIYELSYAREPRCPKSLPPSPFKAPVVVEWAQGVTPKPDSVTLGQHVEQLVESVFKNYD PEGRGSISLEDFERLSGNFPFACHGLHPPPRHGSGSFSREELTKYLLHASAICSKLGLAF LHAFQEVTFRKPTFCHSCSGFVSTGPLWGVTKQGYRCRDCGLCCHRHCRDQVRVECKKRP ETKGDPGPPGAPVPATSLPPANCGSEESLSYTLSPDPESGCHLRHAWTQTESSHSSWEPE VVPCPARVLPSRASSKPSV >ENSMUSP00000036923.7 pep:known chromosome:GRCm38:2:69135800:69189330:1 gene:ENSMUSG00000034738.8 transcript:ENSMUST00000041865.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nostrin description:nitric oxide synthase trafficker [Source:MGI Symbol;Acc:MGI:3606242] MRDPLTDCSYNKVYKSLKEFAQHGDNFCKQITSVLQQRANLEISYAKGLQKLAVRLSKAL QSTKKNCLSTAWAWASESMKSAADLHQKLGKAIELEAIKPTHQVLSMQEKKRKSLDNEVE KTANLVINNWNQQIKAKKKLMMSTKKHEALFHLVESSKQSLTQKEKQKLLNKLKKSTEKL EKEDESYYQKNMAGYSTRLKWESTLENCYKSMLELEKERIQLLCNNLNQYSQHISLFGQT LTTCHTQIHCAISKVDVEKDIQALMEETAILSIENKSELLLADYFEEDPKNPMDKERRKS LLKPKLGRLQRDIEKASRDKEGLERKLKALASSSSFSDAKSQKDMETLMDENSLKLDLLQ ANSYKLSSVLADLEQRPKPCHPCSTCIFKWKEKEHSHTYVKISRPLLTKRLEKAESKAPA GGQNNPSSSPSGSTVSQASKHLCKALYTFQARQDDELNLEKGDIVTVHEKKEEGWWFGSL KGKRGHFPAAYVEELPPKAGNTATQA >ENSMUSP00000052418.2 pep:known chromosome:GRCm38:1:173270147:173271137:1 gene:ENSMUSG00000049605.2 transcript:ENSMUST00000059754.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr418 description:olfactory receptor 418 [Source:MGI Symbol;Acc:MGI:3030252] MMRRNHTVISEFVFQGFSSFQEYKFTLFMVFLTLYLLTLTGNAIIMIIIGIDRHLHTPMY FFLSMLSTSETVYTLVIVPRMLASLVGSSQPISLAGCATQMFFFITLAINNCFLLTAMGY DRYVAICNPLRYSVIMNKRVCAQLVWGSCNIGLLVAIIQIASVFRAPFCDREVAHYFCDI RPVMKLSCADTTLHDIVNFIISSLVIVVPMGLVFISYILIISTILKIASAEGRKKAFATC ASHLTVVIIHYGCASIAYLKPKSENTRDQDQLISVTYTVFTPLLNPVVYTLRNKEVKDAI YRAIGKNPLA >ENSMUSP00000100617.2 pep:known chromosome:GRCm38:2:19657752:19660583:1 gene:ENSMUSG00000043415.5 transcript:ENSMUST00000052168.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otud1 description:OTU domain containing 1 [Source:MGI Symbol;Acc:MGI:1918448] MQLYSSVCTHYPAGTPGPTAAAPPATAAAAFKVSLQSASPAAAAPEPDTGERPPAAATEP REAAAAAAMPAFSACFERSGSAAAPPGACSKPPLPPHFTSTAHIAVRALGAERLLLPPPS APSPPRRGSSAWLLEELLRPDEPAAPNAVRDAPDRNFRLSEHRQALAASQHRAPAPAPVG PEPGAGPGSGPWGEERRAERSSRGWDRASGRSDASGSDALRRQDPEAEAHPVPAPARSSG EPAQNGEGEAVGTSRADPRDEKLALYLAEVERQDKYLRQRNKYRFHIIPDGNCLYRAVSK TVYGDQSLHRELREQTVHYIADHLDHFSPLIEGDVGEFIIAAAQDGAWAGYPELLAMGQM LNVNIHLTTGGRLESPTVSTMIHYLGPEDSLRPSIWLSWLSNGHYDAVFDHSYPNPEYDN WCKQTQIQKKRDEELAKSMAISLSKMYIEQNACS >ENSMUSP00000023449.8 pep:known chromosome:GRCm38:16:17406003:17430826:1 gene:ENSMUSG00000022765.8 transcript:ENSMUST00000023449.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snap29 description:synaptosomal-associated protein 29 [Source:MGI Symbol;Acc:MGI:1914724] MSGYPKSYNPFDDDVEEEDTRPAPWKDVRDLPDGPDAPIDRQQYLRQEVLRRAEATAAST SRSLSLMYESEKIGVASSEELVRQRGVLEHTEKMVDKMDQDLKMSQKHINSIKSVFGGFI NYFKSKPVEPPPEQNGSIVSQPNSRLKEAINTSKDQENKYQASHPNLRRLQDAELDSVPK EPSSTVNTEVYPKNSTLRTYHQKIDSNLDELSVGLGHLKDIALGMQTEIEEQDDILDRLT TKVDKLDVNIKSTEKKVRQL >ENSMUSP00000049079.6 pep:known chromosome:GRCm38:11:4480875:4546268:-1 gene:ENSMUSG00000034354.17 transcript:ENSMUST00000040448.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr3 description:myotubularin related protein 3 [Source:MGI Symbol;Acc:MGI:1921552] MDEEMRHSLECIQANQIFPRKQLIREDENLQVPFLELHGESTEYVGRAEEAIIALSNYRL HIKFKESLVNVPLQLIESVECRDIFQLHLTCKDCKVIRCQFPTFEQCQDWLKRLNNAIRP PGKIEDLFSFAYHAWCMEVYASEKEQHGDLCRPGEHVTSRFKNEVERMGFDMNNAWRISN INEKYKLCGSYPQELIVPAWITDKELESVAGFRSWKRIPAVIYRHQSNGAVIARCGQPEV SWWGWRNADDEHLVQSVARACASDSQSSISKVSTRNSCRDFPNAGDLSDVEFDSSLSNTS GAESLALQPQKLLILDARSYAAAVANRAKGGGCECPEYYPNCEVVFMGMANIHSIRRSFQ SLRLLCTQMPDPGNWLSALESTKWLHHLSVLLKSALLVVHAVDRDQRPVLVHCSDGWDRT PQIVALAKLLLDPYYRTIEGFQVLVEMEWLDFGHKFADRCGHGEDSDDLNERCPVFLQWL DCVHQLQRQFPCSFEFNEAFLVKLVQHTYSCLFGTFLCNNAKERGEKQTQERTCSVWSLL RAGNKAFKNLLYSSQSEAVLYPVCHVRNLMLWSAVYLPCPSPSTPTDDSCAPYPVPGTSP DEPPLSRLPKTRSFDNLTTTCENMVPLASRRSSDPSLNEKWQEHGRSLELSSFASAGEEV PAMDSLRKPSRLLGGAELSVAAGVAEGQMENILQEATKEESGVEEPTHRGHTEVPEVKEE APLAKESSMAAEGPVVLYQEPQLDDATLRSHQGPSLSLFSQGIPEHQDGHNVLSSSLQAP LRGEDSQEVPVEQPQVENIAEDRENVAPAVPVDAKVGLGISQSSSLLPSQVPFETRGPHI NNSVHMLLEDKVKSESGPQLHHRPCPASSGRFSGKDMLPVAPEPRSAERPQWDSVLHRTS SPGNTLSLLQAPCALPLDKCRQGIVCNGALETENKASEQPAGFDTLQKYPTPNGHCANWE AGRSKDSLSHQLSATSCSSAHLYSRNLHHKWLNSHSGRPSTTSSPDQPSRSHLDDDGMPV YTDTIQQRLRQIESGHQQEVETLKKQVQELKSRLESQYLTSSLRFNGDFGDEVMTRWLPD HLAAHCYACDSAFWLASRKHHCRNCGNVFCSSCCNQKVPVPSQQLFEPSRVCKSCYSSLH PTSSSIDLELDKPIAATSN >ENSMUSP00000122422.1 pep:known chromosome:GRCm38:11:4480890:4528406:-1 gene:ENSMUSG00000034354.17 transcript:ENSMUST00000123506.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr3 description:myotubularin related protein 3 [Source:MGI Symbol;Acc:MGI:1921552] DEEMRHSLECIQANQIFPRKQLIREDENLQVPFLELHGESTEYVGRAEEAIIALSNYRLH IKFKESLVNVPLQLIESVECRDIFQLHLTCKDCKVIRCQFPTFEQCQDWLKRLNNAIRPP GKIEDLFSFAYHAWCMEVYASEKEQHGDLCRPGEHVTSRFKNEVERMGFDMNNAWRISNI NEKYKLCGSYPQELIVPAWITDKELESVAGFRSWKRIPAVIYRHQSNGAVIARCGQPEVS WWGWRNADDEHLVQSVARACASDSQSSISKVSTRNSCRDFPNAGDLSDVEFDSSLSNTSG AESLALQPQKLLILDARSYAAAVANRAKGGGCECPEYYPNCEVVFMGMANIHSIRRSFQS LRLLCTQMPDPGNWLSALESTKWLHHLSVLLKSALLVVHAVDRDQRPVLVHCSDGWDRTP QIVALAKLLLDPYYRTIEGFQVLVEMEWLDFGHKFADRCGHGEDSDDLNERCPVFLQWLD CVHQLQRQFPCSFEFNEAFLVKLVQHTYSCLFGTFLCNNAKERGEKQTQERTCSVWSLLR AGNKAFKNLLYSSQSEAVLYPVCHVRNLMLWSAVYLPCPSPSTPTDDSCAPYPVPGTSPD EPPLSRLPKTRSFDNLTTTCENMVPLASRRSSDPSLNEKWQEHGRSLELSSFASAGEEVP AMDSLRKPSRLLGGAELSVAAGVAEGQMENILQEATKEESGVEEPTHRGHTEVPEVKEEA PLAKESSMAAEGPVVLYQEPQLDDATLRSHQGPSLSLFSQGIPEHQDGHNVLSSSLQAPL RGEDSQEVPVEQPQVENIAEDRENVAPAVPVDAKVGLGISQSSSLLPSQVPFETRGPHIN NSVHMLLEDKVKSESGPQLHHRPCPASSGRFSGKDMLPVAPEPRSAERPQWDSVLHRTSS PGNTLSLLQAPCALPLDKCRQGIVCNGALETENKASEQPAGFDTLQKYPTPNGHCANWEA GRSKDSLSHQLSATSCSSAHLYSRNLHHKWLNSHSGRPSTTSSPDQPSRSHLDDDGMPVY TDTIQQRLRQIESGHQQEVETLKKQVQELKSRLESQYLTSSLRFNGDFGDEVTSIPDSES NLDQNCVSRCSTEIFSEASWEQVDKQDTEMTRWLPDHLAAHCYACDSAFWLASRKHHCRN CGNVFCSSCCNQKVPVPSQQLFEPSRVCKSCYSSLHPTSSSIDLELDKPIAATSN >ENSMUSP00000137687.1 pep:known chromosome:GRCm38:11:4482559:4594863:-1 gene:ENSMUSG00000034354.17 transcript:ENSMUST00000130716.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mtmr3 description:myotubularin related protein 3 [Source:MGI Symbol;Acc:MGI:1921552] MDEEMRHSLECIQANQIFPRKQLIREDENLQVPFLELHGESTEYVGRAEEAIIALSNYRL HIKFKESLVNVPLQLIESVECRDIFQLHLTCKDCKVIRCQFPTFEQCQDWLKRLNNAIRP PGKIEDLFSFAYHAWCMEVYASEKEQHGDLCRPGEHVTSRFKNEVERMGFDMNNAWRISN INEKYKLCGSYPQELIVPAWITDKELESVAGFRSWKRIPAVIYRHQSNGAVIARCGQPEV SWWGWRNADDEHLVQSVARACASDSQSSISKVSTRNSCRDFPNAGDLSDVEFDSSLSNTS GAESLALQPQKLLILDARSYAAAVANRAKGGGCECPEYYPNCEVVFMGMANIHSIRRSFQ SLRLLCTQMPDPGNWLSALESTKWLHHLSVLLKSALLVVHAVDRDQRPVLVHCSDGWDRT PQIVALAKLLLDPYYRTIEGFQVLVEMEWLDFGHKFADRCGHGEDSDDLNERCPVFLQWL DCVHQLQRQFPCSFEFNEAFLVKLVQHTYSCLFGTFLCNNAKERGEKQTQERTCSVWSLL RAGNKAFKNLLYSSQSEAVLYPVCHVRNLMLWSAVYLPCPSPSTPTDDSCAPYPVPGTSP DEPPLSRLPKTRSFDNLTTTCENMVPLASRRSSDPSLNEKWQEHGRSLELSSFASAGEEV PAMDSLRKPSRLLGGAELSVAAGVAEGQMENILQEATKEESGVEEPTHRGHTEVPEVKEE APLAKESSMAAEGPVVLYQEPQLDDATLRSHQGPSLSLFSQGIPEHQDGHNVLSSSLQAP LRGEDSQEVPVEQPQVENIAEDRENVAPAVPVDAKVGLGISQSSSLLPSQVPFETRGPHI NNSVHMLLEDKVKSESGPQLHHRPCPASSGRFSGKDMLPVAPEPRSAERPQWDSVLHRTS SPGNTLSLLQAPCALPLDKCRQGIVCNGALETENKASEQPAGFDTLQKYPTPNGHCANWE AGRSKDSLSHQLSATSCSSAHLYSRNLHHKWLNSHSGRPSTTSSPDQPSRSHLDDDGMPV YTDTIQQRLRQIESGHQQEVETLKKQVQELKSRLESQYLTSSLRFNGDFGDEVVS >ENSMUSP00000116315.1 pep:known chromosome:GRCm38:11:4482752:4528406:-1 gene:ENSMUSG00000034354.17 transcript:ENSMUST00000128256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr3 description:myotubularin related protein 3 [Source:MGI Symbol;Acc:MGI:1921552] DEEMRHSLECIQANQIFPRKQLIREDENLQVPFLELHGESTEYVGRAEEAIIALSNYRLH IKFKESLVNVPLQLIESVECRDIFQLHLTCKDCKVIRCQFPTFEQCQDWLKRLNNAIRPP GKIEDLFSFAYHAWCMEVYASEKEQHGDLCRPGEHVTSRFKNEVERMGFDMNNAWRISNI NEKYKLCGSYPQELIVPAWITDKELESVAGFRSWKRIPAVIYRHQSNGAVIARCGQPEVS WWGWRNADDEHLVQSVARACASDSQSSISKVSTRNSCRDFPNAGDLSDVEFDSSLSNTSG AESLALQPQKLLILDARSYAAAVANRAKGGGCECPEYYPNCEVVFMGMANIHSIRRSFQS LRLLCTQMPDPGNWLSALESTKWLHHLSVLLKSALLVVHAVDRDQRPVLVHCSDGWDRTP QIVALAKLLLDPYYRTIEGFQVLVEMEWLDFGHKFADRCGHGEDSDDLNERCPVFLQWLD CVHQLQRQFPCSFEFNEAFLVKLVQHTYSCLFGTFLCNNAKERGEKQTQERTCSVWSLLR AGNKAFKNLLYSSQSEAVLYPVCHVRNLMLWSAVYLPCPSPSTPTDDSCAPYPVPGTSPD EPPLSRLPKTRSFDNLTTTCENMVPLASRRSSDPSLNEKWQEHGRSLELSSFASAGEEVP AMDSLRKPSRLLGGAELSVAAGVAEGQMENILQEATKEESGVEEPTHRGHTEVPEVKEEA PLAKESSMAAEGPVVLYQEPQLDDATLRSHQGPSLSLFSQGIPEHQDGHNVLSSSLQAPL RGEDSQEVPVEQPQVENIAEDRENVAPAVPVDAKVGLGISQSSSLLPSQVPFETRGPHIN NSVHMLLEDKVKSESGPQLHHRPCPASSGRFSGKDMLPVAPEPRSAERPQWDSVLHRTSS PGNTLSLLQAPCALPLDKCRQGIVCNGALETENKASEQPAGFDTLQKYPTPNGHCANWEA GRSKDSLSHQLSATSCSSAHLYSRNLHHKWLNSHSGRPSTTSSPDQPSRSHLDDDGMPVY TDTIQQRLRQIESGHQQEVETLKKQVQELKSRLESQYLTSSLRFNGDFGDEVMTRWLPDH LAAHCYACDSAFWLASRKHHCRDTDCVDQTWNCGNVFCSSCCNQKVPVPSQQLFEPSRVC KSCYSSLHPTSSSIDLELDKPIAATSN >ENSMUSP00000105569.3 pep:known chromosome:GRCm38:11:4480868:4594815:-1 gene:ENSMUSG00000034354.17 transcript:ENSMUST00000109943.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtmr3 description:myotubularin related protein 3 [Source:MGI Symbol;Acc:MGI:1921552] MDEEMRHSLECIQANQIFPRKQLIREDENLQVPFLELHGESTEYVGRAEEAIIALSNYRL HIKFKESLVNVPLQLIESVECRDIFQLHLTCKDCKVIRCQFPTFEQCQDWLKRLNNAIRP PGKIEDLFSFAYHAWCMEVYASEKEQHGDLCRPGEHVTSRFKNEVERMGFDMNNAWRISN INEKYKLCGSYPQELIVPAWITDKELESVAGFRSWKRIPAVIYRHQSNGAVIARCGQPEV SWWGWRNADDEHLVQSVARACASDSQSSISKVSTRNSCRDFPNAGDLSDVEFDSSLSNTS GAESLALQPQKLLILDARSYAAAVANRAKGGGCECPEYYPNCEVVFMGMANIHSIRRSFQ SLRLLCTQMPDPGNWLSALESTKWLHHLSVLLKSALLVVHAVDRDQRPVLVHCSDGWDRT PQIVALAKLLLDPYYRTIEGFQVLVEMEWLDFGHKFADRCGHGEDSDDLNERCPVFLQWL DCVHQLQRQFPCSFEFNEAFLVKLVQHTYSCLFGTFLCNNAKERGEKQTQERTCSVWSLL RAGNKAFKNLLYSSQSEAVLYPVCHVRNLMLWSAVYLPCPSPSTPTDDSCAPYPVPGTSP DEPPLSRLPKTRSFDNLTTTCENMVPLASRRSSDPSLNEKWQEHGRSLELSSFASAGEEV PAMDSLRKPSRLLGGAELSVAAGVAEGQMENILQEATKEESGVEEPTHRGHTEVPEVKEE APLAKESSMAAEGPVVLYQEPQLDDATLRSHQGPSLSLFSQGIPEHQDGHNVLSSSLQAP LRGEDSQEVPVEQPQVENIAEDRENVAPAVPVDAKVGLGISQSSSLLPSQVPFETRGPHI NNSVHMLLEDKVKSESGPQLHHRPCPASSGRFSGKDMLPVAPEPRSAERPQWDSVLHRTS SPGNTLSLLQAPCALPLDKCRQGIVCNGALETENKASEQPAGFDTLQKYPTPNGHCANWE AGRSKDSLSHQLSATSCSSAHLYSRNLHHKWLNSHSGRPSTTSSPDQPSRSHLDDDGMPV YTDTIQQRLRQIESGHQQEVETLKKQVQELKSRLESQYLTSSLRFNGDFGDEVMTRWLPD HLAAHCYACDSAFWLASRKHHCRNCGNVFCSSCCNQKVPVPSQQLFEPSRVCKSCYSSLH PTSSSIDLELDKPIAATSN >ENSMUSP00000140442.1 pep:known chromosome:GRCm38:2:89170275:89171210:-1 gene:ENSMUSG00000101918.1 transcript:ENSMUST00000188861.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1225 description:olfactory receptor 1225 [Source:MGI Symbol;Acc:MGI:3031059] MNNQSCVTEFIFLGLSQNSKVEKILFFIFLLIYLATIGGNMIIVVTIIYSPALLGSPMYF FLIFLSLLDACTSSTVTPKMIVDFFYDRKTISFECCMTQLFAVHFFTGMEVIVLSAMAYD RYVAICKPLHYSSIMNRRLCGNLVMVSWAGGFLHSIIQIIFMLQLPFCGPNVIDHYMCDL FPLLKLACTDTYIFVILVFANSGSICIIIFSILLVSYGVILYSLRAHSSEGKFKALSTCG SHIIVVVLFFVPCILTYARPISAFSFEKNAVVFTTVLTPLLNSVVYTFRNKEMKNAIRKM WKKLIAVSDKH >ENSMUSP00000106855.3 pep:known chromosome:GRCm38:1:173274382:173277777:-1 gene:ENSMUSG00000079180.4 transcript:ENSMUST00000111224.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mptx2 description:mucosal pentraxin 2 [Source:MGI Symbol;Acc:MGI:3779286] MEKLIVGILFLSVLSGSVAQTDMKGKAFIFPQESSTAYVSLIPKVRKSLQNFTLCIKAFT DLTRPYSIFSYSTRTKDNEILLFVEKRGEYMLYVGNSGVSFKAPTNLPDPVRICVNWESG SGIAEFWLNGKAFGRKGLKKGYVVGGDAKIILGQEQDSFGGKFDVKQSFVGEIWDVSLWN YVVPIKEVHDSCNNGNIINWQALIHEDRGYVVTKPKLWT >ENSMUSP00000122085.1 pep:known chromosome:GRCm38:11:32225628:32267689:-1 gene:ENSMUSG00000020289.15 transcript:ENSMUST00000124640.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nprl3 description:nitrogen permease regulator-like 3 [Source:MGI Symbol;Acc:MGI:109258] MGDNTSPISVILVSSGSRGNKLLFRYPFQRSQEHPASQTNKPRSRYAVNNTGEHADDQDG DSRPMLIHQ >ENSMUSP00000120341.1 pep:known chromosome:GRCm38:11:32231263:32267689:-1 gene:ENSMUSG00000020289.15 transcript:ENSMUST00000141859.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nprl3 description:nitrogen permease regulator-like 3 [Source:MGI Symbol;Acc:MGI:109258] MGDNTSPISVILVSSGSRGNKLLFRYPFQRSQEHPASQTNKPRSRYAVNNTGEHADDQDG DSRSPKQIHLQSGKLRP >ENSMUSP00000020530.5 pep:known chromosome:GRCm38:11:32231963:32267707:-1 gene:ENSMUSG00000020289.15 transcript:ENSMUST00000020530.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nprl3 description:nitrogen permease regulator-like 3 [Source:MGI Symbol;Acc:MGI:109258] MGDNTSPISVILVSSGSRGNKLLFRYPFQRSQEHPASQTNKPRSRYAVNNTGEHADDQDG DSRFSDVILATILATKSEMCGQKFELKIDNVRFVGHPTLLQHALGQVSKTDPSPKREAPT MILFNVVFALRANADPSVINCLHNLSRRIATVLQHEERRCQYLTREAKLILALQDEVSAM ADANEGPQSPFQHILPKCKLARDLKEAYDSLCTSGVVRLHINSWLEVSFCLPHKIHYAAS SLIPPEAIERSLKAIRPYHALLLLSDEKSLLSELPIDCSPALVRVIKTTSAVKNLQQLAQ DADLALLQVFQLAAHLVYWGKAVIIYPLCENNVYVMSPNASVCLYSPLAEQFSRQFPSHD LPSVLAKFSLPVSLSEFRSPLAPPAQETQLIQMVVWMLQRRLLIQLHTYVCLMASPSEEE PRLREDDVPFTARVGGRSLSTPNALSFGSPTSSDDMTLTSPSMDNSSAELLPSGDSPLNK RMTENLLASLSEHERAAILNVPAAQNPEDLRMFARLLHYFRGRHHLEEIMYNENTRRSQL LMLFDKFRSVLVVTTHEDPVIAVFQALLT >ENSMUSP00000114781.1 pep:known chromosome:GRCm38:11:32232054:32267658:-1 gene:ENSMUSG00000020289.15 transcript:ENSMUST00000136903.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nprl3 description:nitrogen permease regulator-like 3 [Source:MGI Symbol;Acc:MGI:109258] MGDNTSPISVILINHGADMLSTTLANMLMTRTVTPGSRMLFWQQFWQPNLKCAAKNLN >ENSMUSP00000115594.1 pep:known chromosome:GRCm38:11:32232943:32267629:-1 gene:ENSMUSG00000020289.15 transcript:ENSMUST00000137950.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nprl3 description:nitrogen permease regulator-like 3 [Source:MGI Symbol;Acc:MGI:109258] MGDNTSPISVILVSSGSRGNKLLFRYPFQRSQEHPASQTNKPRSRYAVNNTGEHADDQDG DSRPMLIHQ >ENSMUSP00000105016.2 pep:known chromosome:GRCm38:11:32232951:32267547:-1 gene:ENSMUSG00000020289.15 transcript:ENSMUST00000109389.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nprl3 description:nitrogen permease regulator-like 3 [Source:MGI Symbol;Acc:MGI:109258] MGDNTSPISVILVSSGSRGNKLLFRYPFQRSQEHPASQTNKPRSRYAVNNTGEHADDQDG DSRFSDVILATILATKSEMCGQKFELKIDNVRFVGHPTLLQHALGQANADPSVINCLHNL SRRIATVLQHEERRCQYLTREAKLILALQDEVSAMADANEGPQSPFQHILPKCKLARDLK EAYDSLCTSGVVRLHINSWLEVSFCLPHKIHYAASSLIPPEAIERSLKAIRPYHALLLLS DEKSLLSELPIDCSPALVRVIKTTSAVKNLQQLAQDADLALLQVFQLAAHLVYWGKAVII YPLCENNVYVMSPNASVCLYSPLAEQFSRQFPSHDLPSVLAKFSLPVSLSEFRSPLAPPA QETQLIQMVVWMLQRRLLIQLHTYVCLMASPSEEEPRLREDDVPFTARVGGRSLSTPNAL SFGSPTSSDDMTLTSPSMDNSSAELLPSGDSPLNKRMTENLLASLSEHERAAILNVPAAQ NPEDLRMFARLLHYFRGRHHLEEIMYNENTRRSQLLMLFDKFRSVLVVTTHEDPVIAVFQ ALLT >ENSMUSP00000122231.1 pep:known chromosome:GRCm38:11:32239863:32267658:-1 gene:ENSMUSG00000020289.15 transcript:ENSMUST00000149526.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nprl3 description:nitrogen permease regulator-like 3 [Source:MGI Symbol;Acc:MGI:109258] MGDNTSPISVILANADPSVINCLHNLSRRIATVLQHEERRCQYLTREAKLILALQDEVSA MADACAHLVWYGFTLTAGWK >ENSMUSP00000123219.1 pep:known chromosome:GRCm38:11:32250163:32267615:-1 gene:ENSMUSG00000020289.15 transcript:ENSMUST00000129010.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nprl3 description:nitrogen permease regulator-like 3 [Source:MGI Symbol;Acc:MGI:109258] MGDNTSPISVILVSSGSRGNKLLFRYPFQRSQEHPASQTNKPRSRYAVNNTGEHADDQDG DSSKPCPPTDEQLVAGFSDVILATILATKSEMCGQKFELKIDNVRFVGHPTLLQHALGQV SKTDPSPKREAPTMILFNVVFALRANADPSVINCLHNLSRRIATVLQHEERRCQYLTREA KL >ENSMUSP00000102019.3 pep:known chromosome:GRCm38:11:116288001:116306734:-1 gene:ENSMUSG00000020792.15 transcript:ENSMUST00000106411.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc7 description:exocyst complex component 7 [Source:MGI Symbol;Acc:MGI:1859270] MIPPQEASARRREIEDKLKQEEETLSFIRDSLEKSDQLTRNMVSILSSFESRLMKLENSI IPVHKQTENLQRLQENVEKTLSCLDHVISYYHVASDTEKIIREGPTGRLEEYLGSMAKIQ KAVEYFQDNSPDSPELNKVKLLFERGKESLESEFRSLMTRHSKVVSPVLLLDLISADDEL EVQEDVVLEHLPESVLRDVVRISRWLVEYGRNQDFMNVYYQIRSSQLDRSIKGLKEHFRK SSSSSGVPYSPAIPNKRKDTPTKKPIKRPGRDDMLDVETDAYIHCVSAFVKLAQSEYRLL MEIIPEHHQKKTFDSLIQDALDGLMLEGENIVSAARKAIIRHDFSTVLTVFPILRHLKQT KPEFDQVLQGTAASTKNKLPGLITSMETIGAKALEDFADNIKNDPDKEYNMPKDGTVHEL TSNAILFLQQLLDFQETAGAMLASQETSSSATSYSSEFSKRLLSTYICKVLGNLQLNLLS KSKVYEDPALSAIFLHNNYNYILKSLEKSELIQLVAVTQKTAERSYREHIEQQIQTYQRS WLKVTDYIAEKNLPVFQPGVKLRDKERQMIKERFKGFNDGLEELCKIQKVWAIPDTEQRD KIRQAQKDIVKETYGAFLHRYGSVPFTKNPEKYIKYRVEQVGDMIDRLFDTSA >ENSMUSP00000102021.3 pep:known chromosome:GRCm38:11:116288001:116306734:-1 gene:ENSMUSG00000020792.15 transcript:ENSMUST00000106413.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc7 description:exocyst complex component 7 [Source:MGI Symbol;Acc:MGI:1859270] MIPPQEASARRREIEDKLKQEEETLSFIRDSLEKSDQLTRNMVSILSSFESRLMKLENSI IPVHKQTENLQRLQENVEKTLSCLDHVISYYHVASDTEKIIREGPTGRLEEYLGSMAKIQ KAVEYFQDNSPDSPELNKVKLLFERGKESLESEFRSLMTRHSKVVSPVLLLDLISADDEL EVQEDVVLEHLPESVLRDVVRISRWLVEYGRNQDFMNVYYQIRSSQLDRSIKGLKEHFRK SSSSSGVPYSPAIPNKRKDTPTKKPIKRPGTIRKAQNLLKQYSQHGLDGKKGGSNLIPLE GRDDMLDVETDAYIHCVSAFVKLAQSEYRLLMEIIPEHHQKKTFDSLIQDALDGLMLEGE NIVSAARKAIIRHDFSTVLTVFPILRHLKQTKPEFDQVLQGTAASTKNKLPGLITSMETI GAKALEDFADNIKNDPDKEYNMPKDGTVHELTSNAILFLQQLLDFQETAGAMLASQETSS SATSYSSEFSKRLLSTYICKVLGNLQLNLLSKSKVYEDPALSAIFLHNNYNYILKSLEKS ELIQLVAVTQKTAERSYREHIEQQIQTYQRSWLKVTDYIAEKNLPVFQPGVKLRDKERQM IKERFKGFNDGLEELCKIQKVWAIPDTEQRDKIRQAQKDIVKETYGAFLHRYGSVPFTKN PEKYIKYRVEQVGDMIDRLFDTSA >ENSMUSP00000021147.7 pep:known chromosome:GRCm38:11:116288001:116306734:-1 gene:ENSMUSG00000020792.15 transcript:ENSMUST00000021147.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc7 description:exocyst complex component 7 [Source:MGI Symbol;Acc:MGI:1859270] MIPPQEASARRREIEDKLKQEEETLSFIRDSLEKSDQLTRNMVSILSSFESRLMKLENSI IPVHKQTENLQRLQENVEKTLSCLDHVISYYHVASDTEKIIREGPTGRLEEYLGSMAKIQ KAVEYFQDNSPDSPELNKVKLLFERGKESLESEFRSLMTRHSKVVSPVLLLDLISADDEL EVQEDVVLEHLPESVLRDVVRISRWLVEYGRNQDFMNVYYQIRSSQLDRSIKGLKEHFRK SSSSSGVPYSPAIPNKRKDTPTKKPIKRPGTIRKAQNLLKQYSQHGLDGKKGGSNLIPLE GRDDMLDVETDAYIHCVSAFVKLAQSEYRLLMEIIPEHHQKKTFDSLIQDALDGLMLEGE NIVSAARKAIIRHDFSTVLTVFPILRHLKQTKPEFDQVLQGTAASTKNKLPGLITSMETI GAKALEDFADNIKNDPDKEYNMPKDGTVHELTSNAILFLQQLLDFQETAGAMLASQVLGD TYNIPLDPRETSSSATSYSSEFSKRLLSTYICKVLGNLQLNLLSKSKVYEDPALSAIFLH NNYNYILKSLEKSELIQLVAVTQKTAERSYREHIEQQIQTYQRSWLKVTDYIAEKNLPVF QPGVKLRDKERQMIKERFKGFNDGLEELCKIQKVWAIPDTEQRDKIRQAQKDIVKETYGA FLHRYGSVPFTKNPEKYIKYRVEQVGDMIDRLFDTSA >ENSMUSP00000121794.1 pep:known chromosome:GRCm38:11:116295682:116306652:-1 gene:ENSMUSG00000020792.15 transcript:ENSMUST00000126731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc7 description:exocyst complex component 7 [Source:MGI Symbol;Acc:MGI:1859270] SARRREIEDKLKQEEETLSFIRDSLEKSDQLTRNMVSILSSFESRLMKLENSIIPVHKQT ENLQRLQENVEKTLSCLDHVISYYHVASDTEKIIREGPTGRLEEYLGSMAKIQKAVEYFQ DNSPDSPELNKVKLLFERGKESLESEFRSLMTRHSKVVSPVLLLDLISADDELEVQEDVV LEHLPESVLRDVVRISRWLVEYGRNQDFMNVYYQIRSSQLDRSIKGLKEHFRKSSSSSGV PYSPAIPNKRKDTPTKKPIKRPGHEHDFRVKHLSEALNDKRGPLAGRD >ENSMUSP00000121150.1 pep:known chromosome:GRCm38:11:116304612:116306727:-1 gene:ENSMUSG00000020792.15 transcript:ENSMUST00000133468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc7 description:exocyst complex component 7 [Source:MGI Symbol;Acc:MGI:1859270] MIPPQEASARRREIEDKLKQVSILSSFESRLMKLENSIIPVHKQTENLQRLQENVEKTLS CLDHVISYYHVASDTEKIIREGPTGRLEEYLGSMAKIQKAVEYFQ >ENSMUSP00000115737.1 pep:known chromosome:GRCm38:11:116305031:116307233:-1 gene:ENSMUSG00000020792.15 transcript:ENSMUST00000124281.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Exoc7 description:exocyst complex component 7 [Source:MGI Symbol;Acc:MGI:1859270] MASCFSLPARGCLSKERANQPLATRKDPRMPQEEETLSFIRDSLEKSDQLTRNMVSILSS >ENSMUSP00000023450.6 pep:known chromosome:GRCm38:16:17331371:17343575:1 gene:ENSMUSG00000022766.12 transcript:ENSMUST00000023450.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpind1 description:serine (or cysteine) peptidase inhibitor, clade D, member 1 [Source:MGI Symbol;Acc:MGI:96051] MKHPLCTLLSLITFMCIGSKGLAEQLTNENLTTSFLPANFHKENTVTNDWIPEGEEDEDY LDLEKLLGEDDDYIYIIDAVSPTDSESSAGNILQLFQGKSRIQRLNILNAKFAFNLYRVL KDQATTSDNLFIAPVGISTAMGMISLGLRGETHEEVHSVLHFRDFVNASSKYEVTTIHNL FRKLTHRLFRRNFGYTLRSVNGLYIQKQFPIREDFKAAMREFYFAEAQEANFPDPAFISK ANNHILKLTKGLIKEALENIDPATQMLILNCIYFKGTWVNKFPVEMTHNHNFRLNEREVV KVSMMQTKGNFLAANDQELDCDILQLEYVGGISMLIVVPRKLSGMKTLEAQLTPQVVERW QKSMTNRTREVLLPKFKLEKNYNLVEVLKSMGITKLFNKNGNMSGISDQRIAIDLFKHQS TITVNEEGTQAAAVTTVGFMPLSTQVRFTVDRPFLFLVYEHRTSCLLFMGKVTNPAKS >ENSMUSP00000125507.1 pep:known chromosome:GRCm38:16:17331383:17343574:1 gene:ENSMUSG00000022766.12 transcript:ENSMUST00000161034.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpind1 description:serine (or cysteine) peptidase inhibitor, clade D, member 1 [Source:MGI Symbol;Acc:MGI:96051] MKHPLCTLLSLITFMCIGSKGLAEQLTNENLTTSFLPANFHKENTVTNDWIPEGEEDEDY LDLEKLLGEDDDYIYIIDAVSPTDSESSAGNILQLFQGKSRIQRLNILNAKFAFNLYRVL KDQATTSDNLFIAPVGISTAMGMISLGLRGETHEEVHSVLHFRDFVNASSKYEVTTIHNL FRKLTHRLFRRNFGYTLRSVNGLYIQKQFPIREDFKAAMREFYFAEAQEANFPDPAFISK ANNHILKLTKGLIKEALENIDPATQMLILNCIYFKGTWVNKFPVEMTHNHNFRLNEREVV KVSMMQTKGNFLAANDQELDCDILQLEYVGGISMLIVVPRKLSGMKTLEAQLTPQVVERW QKSMTNRTREVLLPKFKLEKNYNLVEVLKSMGITKLFNKNGNMSGISDQRIAIDLFKHQS TITVNEEGTQAAAVTTVGFMPLSTQVRFTVDRPFLFLVYEHRTSCLLFMGKVTNPAKS >ENSMUSP00000112771.1 pep:known chromosome:GRCm38:X:26912743:26935697:-1 gene:ENSMUSG00000080725.9 transcript:ENSMUST00000119231.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6121 description:predicted gene 6121 [Source:MGI Symbol;Acc:MGI:3779555] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPQVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSQTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDSEETL >ENSMUSP00000133203.1 pep:known chromosome:GRCm38:X:26912743:26935669:-1 gene:ENSMUSG00000080725.9 transcript:ENSMUST00000167438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6121 description:predicted gene 6121 [Source:MGI Symbol;Acc:MGI:3779555] MSIKKLWVIPKDGYLLLLDYDSDEEEEQAHSEVKRPAFGKHENMPPQVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQQALKLSECSQSQTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDSEETL >ENSMUSP00000108487.1 pep:known chromosome:GRCm38:6:117168535:117175069:1 gene:ENSMUSG00000061353.11 transcript:ENSMUST00000112866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl12 description:chemokine (C-X-C motif) ligand 12 [Source:MGI Symbol;Acc:MGI:103556] MDAKVVAVLALVLAALCISDGKPVSLSYRCPCRFFESHIARANVKHLKILNTPNCALQIV ARLKNNNRQVCIDPKLKWIQEYLEKALNK >ENSMUSP00000108492.1 pep:known chromosome:GRCm38:6:117168574:117181366:1 gene:ENSMUSG00000061353.11 transcript:ENSMUST00000112871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl12 description:chemokine (C-X-C motif) ligand 12 [Source:MGI Symbol;Acc:MGI:103556] MDAKVVAVLALVLAALCISDGKPVSLSYRCPCRFFESHIARANVKHLKILNTPNCALQIV ARLKNNNRQVCIDPKLKWIQEYLEKALNKRLKM >ENSMUSP00000072800.4 pep:known chromosome:GRCm38:6:117168577:117181367:1 gene:ENSMUSG00000061353.11 transcript:ENSMUST00000073043.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl12 description:chemokine (C-X-C motif) ligand 12 [Source:MGI Symbol;Acc:MGI:103556] MDAKVVAVLALVLAALCISDGKPVSLSYRCPCRFFESHIARANVKHLKILNTPNCALQIV ARLKNNNRQVCIDPKLKWIQEYLEKALNKGRREEKVGKKEKIGKKKRQKKRKAAQKRKN >ENSMUSP00000097378.1 pep:known chromosome:GRCm38:2:89193100:89194032:-1 gene:ENSMUSG00000075097.1 transcript:ENSMUST00000099790.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1226 description:olfactory receptor 1226 [Source:MGI Symbol;Acc:MGI:3031060] MLNHSSVTEFILLGLSQNSKVEKVLFVIFLLIYLATIGGNMIIVVTIIYSPALLGSPMYF FLIFLSFLDACTSSTVTPKMIVDFFYEKKTISFECCMTQLFAVHFFTGMEVIVLSAMAYD RYVAICKPLHYSSIMTRRLCGILVMLSWAGGFLHSIIQIIFTLQLPFCGPNVIDHYMCDL FPLLKLACTDTHIFVILVFANSGSICIIIFSILLVSYSVILFSLRAHSSEGRRKALSTCG SHITVVLLFFVSCILIYARPAAFSSEKNALVFATIITPLLNPMVYTFRNREMKNAIRKLW KRWKVVSGDI >ENSMUSP00000081370.3 pep:known chromosome:GRCm38:4:115299046:115332815:1 gene:ENSMUSG00000066071.6 transcript:ENSMUST00000084343.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a12a description:cytochrome P450, family 4, subfamily a, polypeptide 12a [Source:MGI Symbol;Acc:MGI:88612] MSASALSSIRFPGSISEYLQVASVLSLLLLLFKTAQLYLHRQWLLSSTQQFPSPPSHWLF GHKILKDQDLQDILTRIKNFPSACPQWLWGSKVRIQVYDPDYMKLILGRSDPKANGSYRF LAPWIGRGLLMLDGQTWFQHRRMLTPAFHYDILKPYTEIMADSVRVMLDKWEQIVGQDST LEIFRHITLMTLDTIMKCAFSHEGSVQLDRKYKSYIQAVEDLNDLVFSRVRNIFHQNDII YRVSSNGCKANSACKLAHDHTDQVIKSRRIQLQDEEELEKLKKKRRLDFLDILLFARMEN GKSLSDKDLRAEVDTFMFEGHDTTASGISWIFYALATNPEHQQRCRKEIQSLLGDGTSIT WNDLDKMPYTTMCIKEALRIYPPVPSVSRELSSPVTFPDGRSLPKGIHVMLSFYGLHHNP TVWPNPEVFDPSRFAPGSSRHSHSFLPFSGGARNCIGKQFAMNELKVAVALTLLRFELLP DPTRVPIPIPRIVLKSKNGIHLHLKKLQ >ENSMUSP00000143742.1 pep:known chromosome:GRCm38:13:83504034:83663343:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000198199.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEALNKKENKGSESPDPDSSYALTPRTEEKYKKINE EFDNMIKSHKIPAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRNSM SPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKSPP PMNLGMNNRKPDLRVLIPPGSKNTMPSVNQRINNSQSAQSLATPVVSVATPTLPGQGMGG YPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGDRT TTPSRYPQHTTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPS VKRMRLSEGWAT >ENSMUSP00000143315.1 pep:known chromosome:GRCm38:13:83504206:83663686:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000199450.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRN SMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKS PPPMNLGMNNRKPDLRVLIPPGSKNTMPSVNQRINNSQSAQSLATPVVSVATPTLPGQGM GGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGA CTSTHLSQSSNLSLPSTQSLSIKSEPVSPPRDRTTTPSRYPQHTTRHEAGRSPVDSLSSC SSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT >ENSMUSP00000143235.1 pep:known chromosome:GRCm38:13:83504240:83592810:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000198916.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLM >ENSMUSP00000142833.1 pep:known chromosome:GRCm38:13:83504240:83592876:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000200123.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MKKAYELSVLCDCEIALIIFNST >ENSMUSP00000005722.8 pep:known chromosome:GRCm38:13:83504240:83667078:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000005722.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEALNKKENKGSESPDPDSSYALTPRTEEKYKKINE EFDNMIKSHKIPAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRNSM SPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKSPP PMNLGMNNRKPDLRVLIPPGSKNTMPSVNQRINNSQSAQSLATPVVSVATPTLPGQGMGG YPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGACT STHLSQSSNLSLPSTQSLSIKSEPVSPPRDRTTTPSRYPQHTTRHEAGRSPVDSLSSCSS SYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT >ENSMUSP00000132547.2 pep:known chromosome:GRCm38:13:83504240:83667078:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000163888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSALNKKENKGSESPD PDSSYALTPRTEEKYKKINEEFDNMIKSHKIPAVPPPSFEMPVTIPVSSHNSLVYSNPVS TLGNPNLLPLAHPSLQRNSMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNS PGLLVSPGNLNKNIQAKSPPPMNLGMNNRKPDLRVLIPPGSKNTMPSVNQRINNSQSAQS LATPVVSVATPTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGW QQQHLHNMPPSALSQLGACTSTHLSQSSNLSLPSTQSLSIKSEPVSPPRDRTTTPSRYPQ HTTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSE GWAT >ENSMUSP00000142897.1 pep:known chromosome:GRCm38:13:83523473:83625393:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000196493.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMIS >ENSMUSP00000143598.1 pep:known chromosome:GRCm38:13:83524291:83633135:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000200394.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPV >ENSMUSP00000143401.1 pep:known chromosome:GRCm38:13:83524535:83664962:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000199019.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRN SMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKS PPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVAT PTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPP SALSQLGACTSTHLSQSSNLSLPSTQSLSIKSEPVSPPRDRTTTPSRYPQHTTRHEAGRS PVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT >ENSMUSP00000143212.1 pep:known chromosome:GRCm38:13:83524613:83664957:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000199105.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRN SMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKS PPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVAT PTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPP SALSQLGACTSTHLSQSSNLSLPSTQSLSIKSEPVSPPRDRTTTPSRYPQHTTRHEAGRS PVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT >ENSMUSP00000142714.1 pep:known chromosome:GRCm38:13:83524854:83664458:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000199432.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRN SMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKS PPPMNLGMNNRKPDLRVLIPPGSKNTMPSVNQRINNSQSAQSLATPVVSVATPTLPGQGM GGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGD RTTTPSRYPQHTTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERES PSVKRMRLSEGWAT >ENSMUSP00000143286.1 pep:known chromosome:GRCm38:13:83524855:83625535:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000198069.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEALNKKENKGSESPDPDSSYALT >ENSMUSP00000143420.1 pep:known chromosome:GRCm38:13:83524861:83663693:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000197681.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRN SMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKS PPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVAT PTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPP SALSQLGDRTTTPSRYPQHTTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTR PSPDERESPSVKRMRLSEGWAT >ENSMUSP00000142456.1 pep:known chromosome:GRCm38:13:83524866:83664439:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000197722.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRN SMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKS PPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVAT PTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPP SALSQLGQNLFLLLETVPPPLRDTHNTPRATRRGGLLLTA >ENSMUSP00000143187.1 pep:known chromosome:GRCm38:13:83524891:83652910:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000197938.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEALNKKENKGSESPDPDSSYALTPRTEEKYKKINE EFDNMIKSHKIPAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRNSM SPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKSPP PM >ENSMUSP00000142399.1 pep:known chromosome:GRCm38:13:83530138:83625384:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000198064.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDL >ENSMUSP00000143338.1 pep:known chromosome:GRCm38:13:83572348:83635453:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000196730.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEALNKKENKGSESPDPDSSYALTPRTEEKYKKINE EFDNMIKSHKIPAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRNSM SPGVTHRPPSAGNTGGLMGGDLTSG >ENSMUSP00000143221.1 pep:known chromosome:GRCm38:13:83572381:83625572:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000196207.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEALNKKENKGSESPDPDSSYALTPRTEEKYKKINE >ENSMUSP00000143227.1 pep:known chromosome:GRCm38:13:83573607:83667080:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000197146.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRN SMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKS PPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVAT PTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPP SALSQLGDRTTTPSRYPQHTTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTR PSPDERESPSVKRMRLSEGWAT >ENSMUSP00000138826.2 pep:known chromosome:GRCm38:13:83573622:83664473:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000185052.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEALNKKENKGSESPDPDSSYALTPRTEEKYKKINE EFDNMIKSHKIPAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRNSM SPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKSPP PMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVATPT LPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSA LSQLGACTSTHLSQSSNLSLPSTQSLSIKSEPVSPPRDRTTTPSRYPQHTTRHEAGRSPV DSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT >ENSMUSP00000143611.1 pep:known chromosome:GRCm38:13:83573625:83633236:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000195984.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRN SMSPGVTHRPPSAG >ENSMUSP00000142884.1 pep:known chromosome:GRCm38:13:83575145:83652863:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000199167.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEALNKKENKGSESPDPDSSYALTPRTEEKYKKINE EFDNMIKSHKIPAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRNSM SPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSP >ENSMUSP00000143339.1 pep:known chromosome:GRCm38:13:83575210:83633161:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000195904.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVETLRKKGLNGCDSPDPDADDSVGHSPESEDKYRKI NEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLL >ENSMUSP00000142487.1 pep:known chromosome:GRCm38:13:83575677:83662631:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000198217.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPN LLPLAHPSLQRNSMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVS PGNLNKNIQAKSPPPMNLGMNNRKPDLRVLIPPGSKNTMPSVNQRINNSQSAQSLATPVV SVATPTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLH NMPPSALSQLGACTSTHLSQSSNLSLPSTQSLSIKSEPVSPPRDRTTTPSRYPQHTTRHE AGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT >ENSMUSP00000142595.1 pep:known chromosome:GRCm38:13:83575677:83662631:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000199210.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] MGRKKIQITRIMDERNRQVTFTKRKFGLMKKAYELSVLCDCEIALIIFNSTNKLFQYAST DMDKVLLKYTEYNEPHESRTNSDIVEAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPN LLPLAHPSLQRNSMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVS PGNLNKNIQAKSPPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSA QSLATPVVSVATPTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVT GWQQQHLHNMPPSALSQLGDRTTTPSRYPQHTTRHEAGRSPVDSLSSCSSSYDGSDREDH RNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT >ENSMUSP00000142715.1 pep:known chromosome:GRCm38:13:83625603:83662736:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000200138.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] XPAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRNSMSPGVTHRPPS AGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKSPPPMNLGMNNRK PDLRVLIPPGSKNTMPSVNQRINNSQSAQSLATPVVSVATPTLPGQGMGGYPSAISTTYG TEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGPYHHPFEIPTTHH APRGGEVSC >ENSMUSP00000143058.1 pep:known chromosome:GRCm38:13:83633061:83655547:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000198360.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] PPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRNSMSPGVTHRPPSAGNT GGLMGGDLTSGAGTSAEKND >ENSMUSP00000142619.1 pep:known chromosome:GRCm38:13:83652888:83662739:1 gene:ENSMUSG00000005583.16 transcript:ENSMUST00000197145.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mef2c description:myocyte enhancer factor 2C [Source:MGI Symbol;Acc:MGI:99458] AKSPPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVS VATPTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHN MPPSALSQLGPYHHPFEIPTTHHAPRGGEVSC >ENSMUSP00000020704.7 pep:known chromosome:GRCm38:11:7197782:7202546:1 gene:ENSMUSG00000020429.7 transcript:ENSMUST00000020704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igfbp1 description:insulin-like growth factor binding protein 1 [Source:MGI Symbol;Acc:MGI:96436] MPEFLTVVSWPFLILLSFQIGVAAGAPQPWHCAPCTAERLGLCPPVPASCPEISRPAGCG CCPTCALPMGAACGVATARCAQGLSCRALPGEPRPLHALTRGQGACVPEPAAPATSTLFS SQHEEAKAAVVSADELSESPEMTEEQLLDSFHLMAPSREDQPILWNAISTYSSMRAREIA DLKKWKEPCQRELYKVLERLAAAQQKAGDEIYKFYLPNCNKNGFYHSKQCETSLDGEAGL CWCVYPWSGKKIPGSLETRGDPNCHQYFNVHN >ENSMUSP00000031377.7 pep:known chromosome:GRCm38:5:92441314:92505637:-1 gene:ENSMUSG00000029426.8 transcript:ENSMUST00000031377.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scarb2 description:scavenger receptor class B, member 2 [Source:MGI Symbol;Acc:MGI:1196458] MGRCCFYTAGTLSLLLLVTSVTLLVARVFQKAVDQTIEKNMVLQNGTKVFNSWEKPPLPV YIQFYFFNVTNPEEILQGEIPLLEEVGPYTYRELRNKANIQFGENGTTISAVTNKAYVFE RNQSVGDPNVDLIRTINIPLLTVVDLAQLTLLRELIEAMLKAYQQKLFVIHTVHELLWGY KDEILSLVHIFKPDVSPNFGLFYERNGTNDGEYVFLTGEDNYLNFSKIVEWNGKTSLDWW TTDTCNMINGTDGDSFHPLISKDEVLYLFPSDLCRSVHITFSSFENVEGLPAFRYKVPAE ILANTSENAGFCIPEGNCMDSGVLNISICKNGAPIIMSFPHFYQADEKFVSAIKGMHPNK EEHESFVDINPLTGIILRGAKRFQINTYVRKLDDFVETGDIRTMVFPVMYLNESVLIDKE TANQLKSVINTTLVVTNIPYIIMALGVFFGLVFTWLACRGQGSMDEGTADERAPLIRT >ENSMUSP00000146957.1 pep:known chromosome:GRCm38:7:107000875:107005966:-1 gene:ENSMUSG00000070417.3 transcript:ENSMUST00000207280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr2 description:olfactory receptor 2 [Source:MGI Symbol;Acc:MGI:97432] MERRNHTGRVSEFVLLGFPAPAPLRALLFFLSLLAYVLVLTENILIITAIRNHPTLHKPM YFFLANMSFLEIWYVTVTIPKMLAGFIGSEENHGQLISFEACMTQLYFFLGLGCTECVLL AVMAYDRYVAICHPLHYPVIVSSRLCVQMAAGSWAGGFGISMVKVFLISRLSYCGPNTIN HFFCDVSPLLNLSCTDMSTAELTDFILAIFILLGPLSVTGASYMAITGAVMRIPSAAGRH KAFSTCASHLTVVIIFYAASIFIYARPKALSAFDTNKLVSVLYAVIVPLLNPIIYCLRNQ EVKKALRRTLHLAQGQDANTKKSSRDG >ENSMUSP00000147026.1 pep:known chromosome:GRCm38:7:107001835:107002605:-1 gene:ENSMUSG00000070417.3 transcript:ENSMUST00000208147.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr2 description:olfactory receptor 2 [Source:MGI Symbol;Acc:MGI:97432] MERRNHTG >ENSMUSP00000091656.2 pep:known chromosome:GRCm38:7:107000875:107002605:-1 gene:ENSMUSG00000070417.3 transcript:ENSMUST00000094109.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr2 description:olfactory receptor 2 [Source:MGI Symbol;Acc:MGI:97432] MERRNHTGRVSEFVLLGFPAPAPLRALLFFLSLLAYVLVLTENILIITAIRNHPTLHKPM YFFLANMSFLEIWYVTVTIPKMLAGFIGSEENHGQLISFEACMTQLYFFLGLGCTECVLL AVMAYDRYVAICHPLHYPVIVSSRLCVQMAAGSWAGGFGISMVKVFLISRLSYCGPNTIN HFFCDVSPLLNLSCTDMSTAELTDFILAIFILLGPLSVTGASYMAITGAVMRIPSAAGRH KAFSTCASHLTVVIIFYAASIFIYARPKALSAFDTNKLVSVLYAVIVPLLNPIIYCLRNQ EVKKALRRTLHLAQGQDANTKKSSRDG >ENSMUSP00000115219.1 pep:known chromosome:GRCm38:2:119609971:119618469:-1 gene:ENSMUSG00000072980.3 transcript:ENSMUST00000123818.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oip5 description:Opa interacting protein 5 [Source:MGI Symbol;Acc:MGI:1917895] MATLSRRSLRFLETQSRRDSCDTPFSLTSSMEWDTQVVEGFSPLGSSESKVKASPVDLRL PAWLEPERCAVFHCARCYAVLGDTLHLAWDLSRSLGALAFSKVTNNVVLLEPFLVGIEGF LKSSTYNLLFCNSCGTPVGFHLYSTHAAMAALRGHFCLSSDKMLCYLLKTNAIVNTSEMD FHNVPLPEKIAELKEKIMLMHTRLNSLTGLLKGKSPHQFKQENQQARKQHILGLTASPKI L >ENSMUSP00000026225.8 pep:known chromosome:GRCm38:19:44989101:45003397:1 gene:ENSMUSG00000025207.15 transcript:ENSMUST00000026225.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4g description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4G [Source:MGI Symbol;Acc:MGI:1347047] MWGRLWPLLFSFLTVTAVPGPSLRRPSRELDATPRLTISYEELSQIRHFKGQTQNYSTLL LEEASERLLVGARGALFSLSARDIRDRTHKEIHWEASPEMQSKCHQKGKNNQTECFNHVR FLQRLNATHFYACGTHAFQPLCAAIDAETFILPTSFEEGKEKCPYDPARGFTGLIIDGGL YTATRYEFRSIPDIRRSRHPHSLRTEEAPMHWLNDAEFVFSVLVRESKTSAVGDDDKIYF FFMEREEGSSSFTQSRSSHRVARVARVCKGDLGGKKILQKKWTSFLKARLICHIPQYETL RGVCSLNADTSSHTHFYAVFTLTTQWKTLEASAICRYDLAEIQAVFTGPFMEYQDGARRW GRYEGGVPEPRPGSCITDSLRSRGYNSSQDLPSLVLDFVKLHPLMARPVVPTRGRPLLLK RNVRYTHLTGTHVSTPAGPTYDLLFLGTADGWIHKAVVLGSGMHIIEEIQVFREPQSVDN LVISPMQHSLYVGAASGVLQFPLSSCSRYQSCYDCILARDPYCGWDSSIHACMVATTVAN RTELIQDIERGNRGCEGSRDAGPPPPLKTRSVLRGDDVLLPCDQPSNLARALWLLNGSKS LSDGQDGYRVGVDGLLVTDTQLEHSGNYGCYAEENGLRMLLASYSLTVRPATPAPAPQAP ATPGAQLAHDMRMFYVVAIAILGGLCLILASSLLYVACLKGGRRGRRRKYSLGRAGRAGG SAVQLQTVSGQCPGEEDEGDDGEGTGGLESGCLQIIPGEGAPAPPPPPPPPPPAELTNGL VALPSRLRRMNGNSYVLLRQSNNGVPAGPCSFAEELSRILEKRKHTQLVEQLDESSV >ENSMUSP00000138321.1 pep:known chromosome:GRCm38:19:44989291:45003397:1 gene:ENSMUSG00000025207.15 transcript:ENSMUST00000130549.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sema4g description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4G [Source:MGI Symbol;Acc:MGI:1347047] MWGRLWPLLFSFLTVTAVPGPSLRRPSRELDATPRLTISYEELSQIRHFKGQTQNYSTLL LEEASERLLVGARGALFSLSARDIRDRTHKEIHWEASPEMQSKCHQKGKNNQTECFNHVR FLQRLNATHFYACGTHAFQPLCAAIDAETFILPTSFEEGKEKCPYDPARGFTGLIIDGGL YTATRYEFRSIPDIRRSRHPHSLRTEEAPMHWLNDAEFVFSVLVRESKTSAVGDDDKIYF FFMEREEGSSSFTQSRSSHRVARVARVCKGDLGGKKILQKKWTSFLKARLICHIPQYETL RGVCSLNADTSSHTHFYAVFTLTTQWKTLEASAICRYDLAEIQAVFTGPFMEYQDGARRW GRYEGGVPEPRPGSCITDSLRSRGYNSSQDLPSLVLDFVKLHPLMARPVVPTRGRPLLLK RNVRYTHLTGTHVSTPAGPTYDLLFLGTADGWIHKAVVLGSGMHIIEEIQVFREPQSVDN LVISPMQHSLYVGAASGVLQFPLSSCSRYQSCYDCILARDPYCGWDSSIHACMVATTVAN RTELIQDIERGNRGCEGSRDAGPPPPLKTRSVLRGDDVLLPCDQPSNLARALWLLNGSKS LSDGQDGYRVGVDGLLVTDTQLEHSGNYGCYAEENGLRMLLASYSLTVRPATPAPAPQAP ATPGAQLAHDMRMFYVVAIAILGGLCLILASSLLYVACLKGGRRGRRRKYSLGRAGRAGG SAVQLQTVSGQCPGEEDEGDDGEGTGGLESGCLQIIPGEGAPAPPPPPPPPPPAELTNGL VALPSRLRRMNGNSYVLLRQSNNGVPAGPCSFAEELSRILEKRKHTQLVEQLDESSV >ENSMUSP00000137395.1 pep:known chromosome:GRCm38:19:44992136:45003394:1 gene:ENSMUSG00000025207.15 transcript:ENSMUST00000179305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sema4g description:sema domain, immunoglobulin domain (Ig), transmembrane domain (TM) and short cytoplasmic domain, (semaphorin) 4G [Source:MGI Symbol;Acc:MGI:1347047] MWGRLWPLLFSFLTVTAVPGPSLRRPSRELDATPRLTISYEELSQIRHFKGQTQNYSTLL LEEASERLLVGARGALFSLSARDIRDRTHKEIHWEASPEMQSKCHQKGKNNQTECFNHVR FLQRLNATHFYACGTHAFQPLCAAIDAETFILPTSFEEGKEKCPYDPARGFTGLIIDGGL YTATRYEFRSIPDIRRSRHPHSLRTEEAPMHWLNDAEFVFSVLVRESKTSAVGDDDKIYF FFMEREEGSSSFTQSRSSHRVARVARVCKGDLGGKKILQKKWTSFLKARLICHIPQYETL RGVCSLNADTSSHTHFYAVFTLTTQWKTLEASAICRYDLAEIQAVFTGPFMEYQDGARRW GRYEGGVPEPRPGSCITDSLRSRGYNSSQDLPSLVLDFVKLHPLMARPVVPTRGRPLLLK RNVRYTHLTGTHVSTPAGPTYDLLFLGTADGWIHKAVVLGSGMHIIEEIQVFREPQSVDN LVISPMQHSLYVGAASGVLQFPLSSCSRYQSCYDCILARDPYCGWDSSIHACMVATTVAN RTELIQDIERGNRGCEGSRDAGPPPPLKTRSVLRGDDVLLPCDQPSNLARALWLLNGSKS LSDGQDGYRVGVDGLLVTDTQLEHSGNYGCYAEENGLRMLLASYSLTVRPATPAPAPQAP ATPGAQLAHDMRMFYVVAIAILGGLCLILASSLLYVACLKGGRRGRRRKYSLGRAGRAGG SAVQLQTVSGQCPGEEDEGDDGEGTGGLESGCLQIIPGEGAPAPPPPPPPPPPAELTNGL VALPSRLRRMNGNSYVLLRQSNNGVPAGPCSFAEELSRILEKRKHTQLVEQLDESSV >ENSMUSP00000027404.5 pep:known chromosome:GRCm38:1:75247027:75264213:-1 gene:ENSMUSG00000026204.15 transcript:ENSMUST00000027404.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprn description:protein tyrosine phosphatase, receptor type, N [Source:MGI Symbol;Acc:MGI:102765] MRRPRRPGGSGGSGGSGGLRLLVCLLLLSGRPGGCSAISAHGCLFDRRLCSHLEVCIQDG LFGQCQAGVGQARPLLQVTSPVLQRLQGVLRQLMSQGLSWHDDLTQHVISQEMERIPRLR PPEPHPRDRSGLVPRKPGPAGELLTQGNPTGSSPAAQGFPRPAGGGDGAGAGSPLSSLQA ELLPPLLEHLLMPPQPPHPALTYEPALLQPYLFHQFGSRDGSRGSESSSGVVGVGHLSKA EGPALFSRSASKAILGTHSGHSFGDLTGPSPAQLFQDSGLLYMAQELPVPGRARAPRLPE NGGNRAEDSSEGHEEEVLGGRGEKSPPQAAQPELSLQRLTAVLAGYGVELRQLTPEQFST LLTLLQLLPKGTGRNLEGAVNVGGADVKKTIQQMQRGDPAEALPPTPSLPGYLTASPASS EVQQVLSPGFPEPPHTPSPLGSSSVLLEKKSPLGQSQPTVVGRPSARPSAEEYGYIVTDQ KPLSLVAGVRLLEILAEHVHMSSGSFINISVVGPAVTFRIRHNEQNLSLADVTQQAGLVK SELEAQTGLQILQTGVGQREEAAEVLPRQAHGISPMRSVLLTLVALAGVAGLLVALAVAL CMRHHSRQRDKERLAALGPEGAHGDTTFEYQDLCRQHMATKSLFNRAEGQPEPSRVSSVS SQFSDAAQASPSSHSSTPSWCEEPAQANMDISTGHMILAYMEDHLRNRDRLAKEWQALCA YQAEPNTCAAAQDESNIKKNRHPDFLPYDHARIKLKVESSPSRSDYINASPIIEHDPRMP AYIATQGPLSHTIADFWQMVWESGCTVIVMLTPLVEDGVKQCDRYWPDEGSSLYHVYEVN LVSEHIWCEDFLVRSFYLKNLQTQETRTLTQFHFLSWPAEGTPASTRPLLDFRRKVNKCY RGRSCPIIVHCSDGAGRTGTYILIDMVLNRMAKGVKEIDIAATLEHVRDQRPGLVRSKDQ FEFALTAVAEEVNAILKALPQ >ENSMUSP00000139925.1 pep:known chromosome:GRCm38:1:75258491:75263907:-1 gene:ENSMUSG00000026204.15 transcript:ENSMUST00000186178.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprn description:protein tyrosine phosphatase, receptor type, N [Source:MGI Symbol;Acc:MGI:102765] MKGDGGCLFDRRLCSHLEVCIQDGLFGQCQAGVGQARPLLQVTSPVLQRLQGVLRQLMSQ GLSWHDDLTQHVISQEMERIPRLRPPEPHPRDRSGLVPRKPGPAGELLTQGNPTGSSPAA QGFPRPAGGGDGAGAGSPLSSL >ENSMUSP00000140168.1 pep:known chromosome:GRCm38:1:75258491:75264050:-1 gene:ENSMUSG00000026204.15 transcript:ENSMUST00000189769.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprn description:protein tyrosine phosphatase, receptor type, N [Source:MGI Symbol;Acc:MGI:102765] VAERPPRGLQRHQCPRSGENLMGAGGSVAKARSSGCLFDRRLCSHLEVCIQDGLFGQCQA GVGQARPLLQVTSPVLQRLQGVLRQLMSQGLSWHDDLTQHVISQEMERIPRLRPPEPHPR DRSGLVPRKPGPAGELLTQGNPTGSSPAAQGFPRPAGGGDGAGAGSPLSSL >ENSMUSP00000140062.1 pep:known chromosome:GRCm38:1:75258559:75264502:-1 gene:ENSMUSG00000026204.15 transcript:ENSMUST00000185849.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprn description:protein tyrosine phosphatase, receptor type, N [Source:MGI Symbol;Acc:MGI:102765] MSQGLSWHDDLTQHVISQEMERIPRLRPPEPHPRDRSGLVPRKPGPAGELLTQGNPTGSS PA >ENSMUSP00000036141.5 pep:known chromosome:GRCm38:17:24155833:24163766:-1 gene:ENSMUSG00000036820.11 transcript:ENSMUST00000040735.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amdhd2 description:amidohydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:2443978] MRSGQCAAGAPVLQFTNCRILRGGTLLREDLWVRGGRILDPEKLFFEERRVADEQRDCGG RILAPGFIDVQINGGFGVDFSKATEDVGSGVALVARRLLSHGVTSFCPTLVTSPPEVYHK VLPQIPVKSGGPHGAGVLGVHLEGPFISREKRGAHPEAYLRSFEANAFHDVLATYGPLDN VCIVTLAPELDRSHEVIQALTAQGIRVSLGHSVADLRAAEVAVQSGATFITHLFNAMLPF HHRDPGIVGLLTSDQLPPGHCIFYGMIADGIHTNPAALRIAHRAHPQGLVLVTDAVPALG LGNGRHTLGQQEVEVDGLIAYIAGTKTLGGSIAPMDVCVRHFLQATGCSVESALEAASLH PAQMLGLEKTKGSLDFGADADFVVLDDTLHVQATYISGELVWQAEEAGP >ENSMUSP00000122523.1 pep:known chromosome:GRCm38:17:24155835:24157592:-1 gene:ENSMUSG00000036820.11 transcript:ENSMUST00000138685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amdhd2 description:amidohydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:2443978] XKTLGGSIAPMDVCVRHFLQATGCSVESALEAASLHPAQMLGLEKTKGSLDFGADAAPPP VQITFLDGLSFLPGLSYE >ENSMUSP00000120520.1 pep:known chromosome:GRCm38:17:24158342:24163310:-1 gene:ENSMUSG00000036820.11 transcript:ENSMUST00000129523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amdhd2 description:amidohydrolase domain containing 2 [Source:MGI Symbol;Acc:MGI:2443978] GRILDPEKLFFEERRVADEQRDCGGRILAPGFIDVQINGGFGVDFSKATEDVGSGVALVA RRLLSHGVTSFCPTLVTSPPEVYHKAHSSSYKCARSSWALPGRPCSCEPCPHAPSPPLCF PHAAGSTGKVLPQIPVKSGGPHGAGVLGVHLEGPFISREKRGAHPEAYLRSFEANAFHDV LATYGPLDNVCIVTLAPELDRSHEVIQA >ENSMUSP00000062074.2 pep:known chromosome:GRCm38:16:88707169:88707962:-1 gene:ENSMUSG00000050704.3 transcript:ENSMUST00000050882.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310061N02Rik description:RIKEN cDNA 2310061N02 gene [Source:MGI Symbol;Acc:MGI:1916911] MTYSCRSGSFSSRSYGGQLCYPSSCGSSFPRQLTHRTNFCSPRNRHLGSSFRHGYHQNCF QPIRCQTSHVVHSSCQRPCYRPSVSSFCSPCRATYAGFLGFRSSSCHSQVYGSRRVYTSG CGSSCFRPVSYRVCGYPSFGYGSRFCHPTYVTSRNFQSSCHRPTCGSTKWRSSC >ENSMUSP00000139920.1 pep:known chromosome:GRCm38:2:89248721:89249692:-1 gene:ENSMUSG00000099486.1 transcript:ENSMUST00000190757.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1228 description:olfactory receptor 1228 [Source:MGI Symbol;Acc:MGI:3031062] MNRFYFNISLKTMQNQSFVTEFILLGLSQNPNVENILCVVFLFIYLATIGGNIMIVVTII YSPALLSSPMYFFLIFLSLLDACTSSTVTPKMMVDLFYERKTISFECCMTQLFAIHFFTG IEVIILSAMAYDRYVAICKPLHYSSIMTRRLCGILVMVSWTGGFLHSLIQIIFTLQLPFC GPNVIDHYLCDLFPLLKLACTDTHIFVILVFSNSGSISIIIFSILLVSYGVILFSLRAHS SEGRRKALSTCGSHITVVLLFFVPCFLIYARPPSAFSSEKNAFVFATIITPLLNPMVYTF RNKEMKNAIRKMWKKLIVVSHDF >ENSMUSP00000018641.7 pep:known chromosome:GRCm38:11:67171220:67197514:1 gene:ENSMUSG00000033196.17 transcript:ENSMUST00000018641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh2 description:myosin, heavy polypeptide 2, skeletal muscle, adult [Source:MGI Symbol;Acc:MGI:1339710] MSSDAEMAVFGEAAPYLRKSEKERIEAQNRPFDAKTSVFVAEPKESFVKGTIQSKDAGKV TVKTEAGATLTVKEDQIFPMNPPKYDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG LFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE SGAGKTVNTKRVIQYFATIAVTGDKKKEEATSGKMQGTLEDQIISANPLLEAFGNAKTVR NDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQITSNKKPEL IEMLLITTNPYDYPFVSQGEISVASIDDQEELMATDSAIDILGFTNDEKVSIYKLTGAVM HYGNMKFKQKQREEQAEPDGTEVADKAAYLQGLNSADLLKALCYPRVKVGNEYVTKGQTV EQVTNAVGALAKAMYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLC INFTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPMGIFSILEEE CMFPKATDTSFKNKLYEQHLGKSANFQKPKVVKGKAEAHFSLIHYAGTVDYNITGWLDKN KDPLNETVVGLYQKSSVKTLAYLFSGAQTAEAEASSGGAAKKGAKKKGSSFQTVSALFRE NLNKLMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRI LYADFKQRYKVLNASAIPEGQYIDSKKASEKLLGSIDIDHTQYKFGHTKVFFKAGLLGLL EEMRDDKLAQLITRTQAMCRGFLARVEYQKMVERRESIFCIQYNIRAFMNVKHWPWMKLF FKIKPLLKSAETEKEMATMKEEFQKTKDDLAKSEAKRKELEEKMVSLLKEKNDLQLQVQA EAEGLADAEERCDQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKD IDDLELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAE EDKVNTLTKAKIKLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESIMDIENEK QQLDERLKKKEFEMSNLQSKIEDEQAIGIQLQKKIKELQARIEELEEEIEAERASRAKAE KQRSDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLR KKHADSVAELGEQIDNLQRVKQKLEKEKSEMKMEIDDLASNVETVSKAKGNLEKMCRTLE DQVSELKSKEEEQQRLINDLTTQRGRLQTESGEFSRQLDEKEALVSQLSRGKQAFTQQIE ELKRQLEEEVKAKNALAHALQSSRHDCDLLREQYEEEQESKAELQRALSKANSEVAQWRT KYETDAIQRTEELEEAKKKLAQRLQAAEEHVEAVNAKCASLEKTKQRLQNEVEDLMLDVE RTNAACAALDKKQRNFDKILAEWKQKYEETHAELEASQKEARSLGTELFKMKNAYEESLD QLETLKRENKNLQQEISDLTEQIAEGGKRIHELEKIKKQVEQEKCELQAALEEAEASLEH EEGKILRIQLELNQVKSEIDRKIAEKDEEIDQLKRNHIRVVESMQSTLDAEIRSRNDAIR IKKKMEGDLNEMEIQLNHSNRMAAEALRNYRNTQGILKDTQLHLDDALRGQEDLKEQLAM VERRANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETD ISQIQGEMEDIVQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTVKDL QLRLDEAEQLALKGGKKQIQKLEARVRELEGEVESEQKRNAEAVKGLRKHERRVKELTYQ TEEDRKNILRLQDLVDKLQAKVKSYKRQAEEAEEQSNTNLSKFRKIQHELEEAEERADIA ESQVNKLRVKSREVHTKIISEE >ENSMUSP00000129544.1 pep:known chromosome:GRCm38:11:67171027:67197517:1 gene:ENSMUSG00000033196.17 transcript:ENSMUST00000170159.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh2 description:myosin, heavy polypeptide 2, skeletal muscle, adult [Source:MGI Symbol;Acc:MGI:1339710] MSSDAEMAVFGEAAPYLRKSEKERIEAQNRPFDAKTSVFVAEPKESFVKGTIQSKDAGKV TVKTEAGATLTVKEDQIFPMNPPKYDKIEDMAMMTHLHEPAVLYNLKERYAAWMIYTYSG LFCVTVNPYKWLPVYNPEVVAAYRGKKRQEAPPHIFSISDNAYQFMLTDRENQSILITGE SGAGKTVNTKRVIQYFATIAVTGDKKKEEATSGKMQGTLEDQIISANPLLEAFGNAKTVR NDNSSRFGKFIRIHFGTTGKLASADIETYLLEKSRVTFQLKAERSYHIFYQITSNKKPEL IEMLLITTNPYDYPFVSQGEISVASIDDQEELMATDSAIDILGFTNDEKVSIYKLTGAVM HYGNMKFKQKQREEQAEPDGTEVADKAAYLQGLNSADLLKALCYPRVKVGNEYVTKGQTV EQVTNAVGALAKAMYEKMFLWMVTRINQQLDTKQPRQYFIGVLDIAGFEIFDFNSLEQLC INFTNEKLQQFFNHHMFVLEQEEYKKEGIEWTFIDFGMDLAACIELIEKPMGIFSILEEE CMFPKATDTSFKNKLYEQHLGKSANFQKPKVVKGKAEAHFSLIHYAGTVDYNITGWLDKN KDPLNETVVGLYQKSSVKTLAYLFSGAQTAEAEASSGGAAKKGAKKKGSSFQTVSALFRE NLNKLMTNLRSTHPHFVRCIIPNETKTPGAMEHELVLHQLRCNGVLEGIRICRKGFPSRI LYADFKQRYKVLNASAIPEGQYIDSKKASEKLLGSIDIDHTQYKFGHTKVFFKAGLLGLL EEMRDDKLAQLITRTQAMCRGFLARVEYQKMVERRESIFCIQYNIRAFMNVKHWPWMKLF FKIKPLLKSAETEKEMATMKEEFQKTKDDLAKSEAKRKELEEKMVSLLKEKNDLQLQVQA EAEGLADAEERCDQLIKTKIQLEAKIKEVTERAEDEEEINAELTAKKRKLEDECSELKKD IDDLELTLAKVEKEKHATENKVKNLTEEMAGLDETIAKLTKEKKALQEAHQQTLDDLQAE EDKVNTLTKAKIKLEQQVDDLEGSLEQEKKLRMDLERAKRKLEGDLKLAQESIMDIENEK QQLDERLKKKEFEMSNLQSKIEDEQAIGIQLQKKIKELQARIEELEEEIEAERASRAKAE KQRSDLSRELEEISERLEEAGGATSAQIEMNKKREAEFQKMRRDLEEATLQHEATAATLR KKHADSVAELGEQIDNLQRVKQKLEKEKSEMKMEIDDLASNVETVSKAKGNLEKMCRTLE DQVSELKSKEEEQQRLINDLTTQRGRLQTESGEFSRQLDEKEALVSQLSRGKQAFTQQIE ELKRQLEEEVKAKNALAHALQSSRHDCDLLREQYEEEQESKAELQRALSKANSEVAQWRT KYETDAIQRTEELEEAKKKLAQRLQAAEEHVEAVNAKCASLEKTKQRLQNEVEDLMLDVE RTNAACAALDKKQRNFDKILAEWKQKYEETHAELEASQKEARSLGTELFKMKNAYEESLD QLETLKRENKNLQQEISDLTEQIAEGGKRIHELEKIKKQVEQEKCELQAALEEAEASLEH EEGKILRIQLELNQVKSEIDRKIAEKDEEIDQLKRNHIRVVESMQSTLDAEIRSRNDAIR IKKKMEGDLNEMEIQLNHSNRMAAEALRNYRNTQGILKDTQLHLDDALRGQEDLKEQLAM VERRANLLQAEIEELRATLEQTERSRKIAEQELLDASERVQLLHTQNTSLINTKKKLETD ISQIQGEMEDIVQEARNAEEKAKKAITDAAMMAEELKKEQDTSAHLERMKKNMEQTVKDL QLRLDEAEQLALKGGKKQIQKLEARVRELEGEVESEQKRNAEAVKGLRKHERRVKELTYQ TEEDRKNILRLQDLVDKLQAKVKSYKRQAEEAEEQSNTNLSKFRKIQHELEEAEERADIA ESQVNKLRVKSREVHTKIISEE >ENSMUSP00000112677.1 pep:known chromosome:GRCm38:X:103184176:103186640:-1 gene:ENSMUSG00000082229.1 transcript:ENSMUST00000121720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nap1l2 description:nucleosome assembly protein 1-like 2 [Source:MGI Symbol;Acc:MGI:106654] MAESVDHKELSESNQEELGSQVMAEGPGESQDRSEGVSIEPGDGGQHGEETVAAGVGEEG KGEEAAAGSGEDAGKCGGTDEDSDSDRPKGLIGYLLDTDFVESLPVKVKCRVLALKKLQT RAAHLESKFLREFHDIERKFAEMYQPLLEKRRQIINAVYEPTEEECEYKSDCEDYFEEEM DEEEETNGNEDGMVHEYVDEDDGYEDCYYDYDDEEEEEEEDDSAGATGGEEVNEEDPKGI PDFWLTVLKNVEALTPMIKKYDEPILKLLTDIKVKLSDPGEPLSFTLEFHFKPNEYFKNE LLTKTYVLKSKLACYDPHPYRGTAIEYATGCDIDWNEGKNVTLRTIKKKQRHRVWGTVRT VTEDFPKDSFFNFFSPHGISLNGGDENDDFLLGHNLRTYIIPRSVLFFSGDALESQQEGV VREVNDEIYDKIIYDDWMAAIEEVKACCKNLEALVEDIDR >ENSMUSP00000068713.8 pep:known chromosome:GRCm38:2:119618298:119650160:1 gene:ENSMUSG00000027306.15 transcript:ENSMUST00000068225.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nusap1 description:nucleolar and spindle associated protein 1 [Source:MGI Symbol;Acc:MGI:2675669] MTVPSAEELDSFKYSDLQNLAKRLGLRANMKADKLLKALKAHLNPETRKENKNQDENQFS TDETEIHVSSEEQAETESGGHVTKTRRRRRKKHKTIHGIPTSQTLLQDHLEMKGTDSSNF QNQENQENQDPRDTAEVPSLPEQRPEDGNAASSGEGEVNDIKDSKKPLEKRSLCTDEFSK LGNNKRTSATTPNFKKLHEARFKKMESIDEYIMRKKKHLKEHSSLNELKLDKKGIVTPVP PRGRLSVPCTPARQQCPQGHSATKMNVRFSAATKDNEHKCSLTKTPARKSPHVTAPGSAS KGQAVFRTPKSKATERTSIAVITPFKLMTEATQTPSSSKKPVFDLKASLSRPLNYKPHKG KLKPWGQAKENNSLNERVSRVTFHRKTYKQPHLQTREERWKRQEQERKEKKEKLLEARRN LGVTKAQ >ENSMUSP00000028771.7 pep:known chromosome:GRCm38:2:119618717:119651244:1 gene:ENSMUSG00000027306.15 transcript:ENSMUST00000028771.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nusap1 description:nucleolar and spindle associated protein 1 [Source:MGI Symbol;Acc:MGI:2675669] MTVPSAEELDSFKYSDLQNLAKRLGLRANMKADKLLKALKAHLNPETRKENKNQDENQFS TDETEIHVSSEEQAETESGGHVTKTRRRRRKKHKTIHGIPTSQTLLQDHLEMKGTDSSNF QNQENQENQDPRDTAEVPSLPEQRPEDGNAASSGEGEVNDFKKLHEARFKKMESIDEYIM RKKKHLKEHSSLNELKLDKKGIVTPVPPRGRLSVPCTPARQQCPQGHSATKMNVRFSAAT KDNEHKCSLTKTPARKSPHVTAPGSASKGQAVFRTPKSKATERTSIAVITPFKLMTEATQ TPSSSKKPVFDLKASLSRPLNYKPHKGKLKPWGQAKENNSLNERVSRVTFHRKTYKQPHL QTREERWKRQEQERKEKKEKLLEARRNLGVTKAQ >ENSMUSP00000095743.1 pep:known chromosome:GRCm38:7:107036136:107037110:1 gene:ENSMUSG00000073898.1 transcript:ENSMUST00000098139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr713 description:olfactory receptor 713 [Source:MGI Symbol;Acc:MGI:3030547] MLFMLIPMATGNQTRITEFILMSFSSLPTEIQTLLFLAFLTIYLVTLLGNSLIILVTLAD PMLQSPMYFFLRNLSFLEIGFNLVIVPKMLGTLIAQDTSISFLGCATQMYFFFFFGVAEC FLLATMAYDRYVAICSPLHYPVIMNQETRVKLAAASWFPGFPVATVQTTWLFSFPFCATN KVNHFFCDSPPVLRLVCADTAQFEVYAIVGTILVVMIPCLLILCSYTLIAASILKIPSAK GKHKAFSTCSSHLLVVSLFYVSSSLTYFRPKSNNSPESKKLLSLSYTVVTPMLNPIIYSL RNNEVKSALSRTFHKALALRNHIT >ENSMUSP00000092079.4 pep:known chromosome:GRCm38:10:130385316:130394241:-1 gene:ENSMUSG00000070601.4 transcript:ENSMUST00000094502.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r84 description:vomeronasal 2, receptor 84 [Source:MGI Symbol;Acc:MGI:3643367] MKKMCALTISFLLLKFSLILCCLKEPICFWRIKSSEYNDGNLQSECGYFVWTMKKLMKDD FYNVWLDFRIPASEYEFVLVMFFATNEINKNPDLLPNTSLVFSVMAGNCQDSLGNLDIQY MLTNDSLNYVNYVCDIDDSCAIGLTGPSWKTSLKLAIDSWTPTVFFGPFNPKLSDHDHFP YVHQIATKDTHLSHGMVSLMLHFRWTWIGLVISDDDQGVQLHSDLREESQRHGICLAFVN VIPETMKIYMTRGNMYDKQIMTSSAKVVIIYGEMNSTLEISFRRWAYLGARRIWITTSQW DVITNKKDFSLDLFHGTVTFAHHKGWIAKFKNFMQTMNTSKYPINISQSVLRWNYFNCSV SKNSIKMDHFTCENPLELTALHNYDMALSDEGYNLYNAVYAVAHTYHEHILQQVESQKMA EDKGEYTECQQLAPLLKTRVFTNPVGEMVNMNHREYQCAEYDIFIIWNFPQGLGLKVKIG SYFPCFPQSQQLHISEDLEWATGGTSVPSSMCSVTCTPGFRKIHQKETADCCFDCVQCPE NEVSNETDMEQCVRCPDDQYANLEQTHCLQRAVSFLAYEDPLGMALGCMALSFTAITILV LVTFVKYRDTPIVKANNCILSYILLISLVFCFLCSLLFIGHPNQVTCILQQTTFGLFFTV AVSTVLAKTITVVMAFKLTTPGGRMRGMMMMTGAPKLVIPICTLIQLVLCGIWLLTSPPF IDRDIQSEHGKTVIFCNTGSVLAFHVVLGYLGSLALGSFTVAFLARNLPDRFNEAKFLTF SMLVFCSVWITFLPVYHSTRGKVMVVVEVFSILASSAGLLGCIFVPKCYAILVRPDSNCI QKYKGKLLY >ENSMUSP00000104437.1 pep:known chromosome:GRCm38:11:58978093:58991455:1 gene:ENSMUSG00000020455.16 transcript:ENSMUST00000108809.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim11 description:tripartite motif-containing 11 [Source:MGI Symbol;Acc:MGI:2137355] MAAPDLSTNLQEEATCAICLDYFTDPVMTDCGHNFCRECIRRCWGQPEGPYACPECRELS AQRNLRPNRPLAKMAEMARRLHPPSPVPQGVCAAHREPLTTFCGDDLSLLCPICERSEHW THRVRPLQEAADDLKGRLEKSLEHLRKQMEDAMLFQAQAEETCALWQKMVESQRQNVLGE FERLRRLLAEEEQQLLQKLEEEELEVLPRLREGAARLGQQSTQLAALISELESRCQLPAL GLLQDIKDALCRM >ENSMUSP00000104438.3 pep:known chromosome:GRCm38:11:58978115:58991458:1 gene:ENSMUSG00000020455.16 transcript:ENSMUST00000108810.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim11 description:tripartite motif-containing 11 [Source:MGI Symbol;Acc:MGI:2137355] MAAPDLSTNLQEEATCAICLDYFTDPVMTDCGHNFCRECIRRCWGQPEGPYACPECRELS AQRNLRPNRPLAKMAEMARRLHPPSPVPQGVCAAHREPLTTFCGDDLSLLCPICERSEHW THRVRPLQEAADDLKGRLEKSLEHLRKQMEDAMLFQAQAEETCALWQKMVESQRQNVLGE FERLRRLLAEEEQQLLQKLEEEELEVLPRLREGAARLGQQSTQLAALISELESRCQLPAL GLLQLCIECCALEREASIAKDIKDALCRVQDVKLQPPAVVPMELRTVCRVPGLVETLRRF RGDITLDPDTANPELVLSEDRRSVQRGEQRQALPDNPERFDPGPCVLGQERITSGRHYWE VEVGDQTSWALGVCKETANRKEKGELSAGNGFWILVFLGSFYNSNEPAFSPLRDPPKRVG IFLDYEAGHLSFYSATDGSLLFIFPETLFSGTLRPLFSPLSSSPTPMTICRLIGVSGDTL GPQ >ENSMUSP00000090749.6 pep:known chromosome:GRCm38:11:58978116:58991457:1 gene:ENSMUSG00000020455.16 transcript:ENSMUST00000093061.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim11 description:tripartite motif-containing 11 [Source:MGI Symbol;Acc:MGI:2137355] MAAPDLSTNLQEEATCAICLDYFTDPVMTDCGHNFCRECIRRCWGQPEGPYACPECRELS AQRNLRPNRPLAKMAEMARRLHPPSPVPQGVCAAHREPLTTFCGDDLSLLCPICERSEHW THRVRPLQEAADDLKGRLEKSLEHLRKQMEDAMLFQAQAEETCALWQKMVESQRQNVLGE FERLRRLLAEEEQQLLQKLEEEELEVLPRLREGAARLGQQSTQLAALISELESRCQLPAL GLLQDIKDALCRVQDVKLQPPAVVPMELRTVCRVPGLVETLRRFRGDITLDPDTANPELV LSEDRRSVQRGEQRQALPDNPERFDPGPCVLGQERITSGRHYWEVEVGDQTSWALGVCKE TANRKEKGELSAGNGFWILVFLGSFYNSNEPAFSPLRDPPKRVGIFLDYEAGHLSFYSAT DGSLLFIFPETLFSGTLRPLFSPLSSSPTPMTICRLIGVSGDTLGPQ >ENSMUSP00000092487.3 pep:known chromosome:GRCm38:4:115411624:115439034:1 gene:ENSMUSG00000078597.2 transcript:ENSMUST00000094887.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4a12b description:cytochrome P450, family 4, subfamily a, polypeptide 12B [Source:MGI Symbol;Acc:MGI:3611747] MSASALSSIRFPGSISEYLQVASVLSLLLLLFKTAQLYLHRQWLLSSTQQFPSPPSHWLF GHKILKDQDLQDILTRIKNFPSACPQWLWGSKVRIQVYDPDYMKLILGRSDPKAHGSYRF LAPWIGRGLLLLDGQTWFQHRRMLTPAFHYDILKPYTEIMADSVHVMLDKWEQIVGQDST LEIFQHITLMTLDTIMKCAFSHEGSVQLDRKYKSYIQAVEDLNNLFFLRVRNIFHQNDII YRVSSNGCLANSACQLAHDHTDQVIKSRRSQLQDEEELEKLKKKRRLDFLDILLFARMEN GKSLSDKDLRAEVDTFMFEGHDTTASGISWIFYALATNPEHQQRCRKEIQSLLGDGASIT WNDLDKMPYTTMCIKEALRIYPPVPSVSRELSSPVTFPDGRSLPKGIHVMLSFYGLHHNP TVWPNPEVFDPSRFAPGSSRHSHSFLPFSGGARNCIGKQFAMNELKVAVALTLLRFELLP DPTRVPIPIPRIVLKSKNGIHLHLKKLQ >ENSMUSP00000037107.7 pep:known chromosome:GRCm38:7:3677789:3693523:-1 gene:ENSMUSG00000035596.14 transcript:ENSMUST00000038608.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mboat7 description:membrane bound O-acyltransferase domain containing 7 [Source:MGI Symbol;Acc:MGI:1924832] MTPEEWTYLMVLLISIPVGFLFKKAGPGLKRWGAAAVGLGLTLFTCGPHSLHSLITILGT WALIQAQPCSCHALALAWTFSYLLFFRALSLLGLPTPTPFTNAVQLLLTLKLVSLASEVQ DLHLAQRKEIASGFHKEPTLGLLPEVPSLMETLSYSYCYVGIMTGPFFRYRTYLDWLEQP FPEAVPSLRPLLRRAWPAPLFGLLFLLSSHLFPLEAVREDAFYARPLPTRLFYMIPVFFA FRMRFYVAWIAAECGCIAAGFGAYPVAAKARAGGGPTLQCPPPSSPEIAASLEYDYETIR NIDCYGTDFCVRVRDGMRYWNMTVQWWLAQYIYKSAPFRSYVLRSAWTMLLSAYWHGLHP GYYLSFMTIPLCLAAEGYLESALRRHLSPGGQKAWDWVHWFLKMRAYDYMCMGFVLLSMA DTLRYWASIYFWVHFLALACLGLGLVLGGGSPSKRKTPSQATSSQAKEKLREE >ENSMUSP00000116446.1 pep:known chromosome:GRCm38:7:3677793:3692118:-1 gene:ENSMUSG00000035596.14 transcript:ENSMUST00000127106.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mboat7 description:membrane bound O-acyltransferase domain containing 7 [Source:MGI Symbol;Acc:MGI:1924832] MTPEEWTYLMVLLISIPVGFLFKKAGPGLKRWGAAAVGLGLTLFTCGPHSLHSLITILGT WALIQAQPCW >ENSMUSP00000120521.1 pep:known chromosome:GRCm38:7:3678402:3691867:-1 gene:ENSMUSG00000035596.14 transcript:ENSMUST00000128364.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mboat7 description:membrane bound O-acyltransferase domain containing 7 [Source:MGI Symbol;Acc:MGI:1924832] HSLHSLITILGTWALIQAQPCSCHALALAWTFSYLLFFRALSLLGLPTPTPFTNAVQLLL TLKLVSLASEVQDLHLAQRKEIASGFHKEPTLGLLPEVPSLMETLSYSYCYVGIMTGVPG PCC >ENSMUSP00000145801.1 pep:known chromosome:GRCm38:7:3685769:3692986:-1 gene:ENSMUSG00000035596.14 transcript:ENSMUST00000206571.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mboat7 description:membrane bound O-acyltransferase domain containing 7 [Source:MGI Symbol;Acc:MGI:1924832] MIPVFFAFRMRFYVAWIAAE >ENSMUSP00000145657.1 pep:known chromosome:GRCm38:7:3685959:3692888:-1 gene:ENSMUSG00000035596.14 transcript:ENSMUST00000206343.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mboat7 description:membrane bound O-acyltransferase domain containing 7 [Source:MGI Symbol;Acc:MGI:1924832] MGGSSCGPGAHLIHLWPPQFAFSDHHLGNLGPHSGPALLLPCPGSCLDLLLSPLLPSPQP AGPAHSHALHQCCPAAVDTEVGESS >ENSMUSP00000112710.2 pep:known chromosome:GRCm38:7:3685975:3692888:-1 gene:ENSMUSG00000035596.14 transcript:ENSMUST00000118710.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mboat7 description:membrane bound O-acyltransferase domain containing 7 [Source:MGI Symbol;Acc:MGI:1924832] MGGSSCGPGAHLIHLWPPQFAFSDHHLGNLGPHSGPALLVSLASEVQDLHLAQRKEIASG FHKEPTLGLLPEVPSLMETLSYSYCYVGIMTGPFFRYRTYLDWLEQPFPEA >ENSMUSP00000146067.1 pep:known chromosome:GRCm38:7:3688654:3692762:-1 gene:ENSMUSG00000035596.14 transcript:ENSMUST00000206379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mboat7 description:membrane bound O-acyltransferase domain containing 7 [Source:MGI Symbol;Acc:MGI:1924832] MTPEEWTYLMVLLISIPVGFLFKKAGPGLKRWGAAAVGLGLTLFTCGPHSLHSLITILGT WALIQAQPCSCHALALAWTFSYLLFFRALSLLGLPTPTPFTNAVQLLLTLKLVSLASEVQ >ENSMUSP00000021158.3 pep:known chromosome:GRCm38:11:72207547:72210015:1 gene:ENSMUSG00000020803.3 transcript:ENSMUST00000021158.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txndc17 description:thioredoxin domain containing 17 [Source:MGI Symbol;Acc:MGI:1289248] MATFEEVSVLGFEEFDKAVKEHEGKTIFAYFSGSKDTEGKSWCPDCVEAEPVIREGLKHV TEDCVFIYCQVGDKPYWKDPNNDFRQKLKITAVPTLLKYGTPQKLVESECCQSSLVEMIF SED >ENSMUSP00000072852.5 pep:known chromosome:GRCm38:9:118926453:119043998:1 gene:ENSMUSG00000047409.13 transcript:ENSMUST00000073109.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdspl description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase-like [Source:MGI Symbol;Acc:MGI:1916524] MDGPAIITQVTNPKEDEARSPVAGEKASQRNISLKKQRGRSILSSFFCCFRDYNVEAPPA NSPSVLPPLVEENGGLQKGDQRQVIPVPSPPAKYLLPEVTVLDYGKKCVVIDLDETLVHS SFKPISNADFIVPVEIDGTIHQVYVLKRPHVDEFLQRMGQLFECVLFTASLAKYADPVAD LLDRWGVFRARLFRESCVFHRGNYVKDLSRLGRELSKVIIVDNSPASYIFHPENAVPVQS WFDDMTDTELLDLIPFFEGLSREDDVYSMLHRLCSR >ENSMUSP00000133755.1 pep:known chromosome:GRCm38:9:118926862:119040649:1 gene:ENSMUSG00000047409.13 transcript:ENSMUST00000172464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctdspl description:CTD (carboxy-terminal domain, RNA polymerase II, polypeptide A) small phosphatase-like [Source:MGI Symbol;Acc:MGI:1916524] MDGPAIITQVTNPKEDEARSPVAGEKASQRNISLKKQRGRSILSSFFCCFRDYNVEAPPA NSPSVLPPLVEENGGLQKPPAKYLLPEVTVLDYGKKCVVIDLDETLVHSSFKPISNADFI VPVEIDGTIHQVYVLKRPHVDEFLQRMGQLFECVLFTASLAKYADPVADLLDRWGVFRAR LFRESCVFHRGNYVKDLSRLGRELSKVIIVDNSPASYIFHPENAVPVQSWFDDMTDTELL DLIPFFEGLSREDDVYSMLHRLCSR >ENSMUSP00000142307.1 pep:known chromosome:GRCm38:1:36792194:36939822:-1 gene:ENSMUSG00000026116.11 transcript:ENSMUST00000194563.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem131 description:transmembrane protein 131 [Source:MGI Symbol;Acc:MGI:1927110] MGKRAGGAAAAAAAASTSSAAGLEPAAGRGGGPRSAAAGLLGALHLVMTLVVAAARAEKE AFIQSESIIEVLRFDDGGLLQTETTLGLGSYQQKSISLYRGNCRPIRFEPPMLDFHEQPV GMPKMEKVYLHNPSSEETITLVSISATTSHFHASFFQNRKILPGGNTSFDVVFLARVVGN VENTLFINTSNHGVFTYQVFGVGVPNPYRLRPFLGARVPVNSSFSPIINIHNPHSEPLQV VEMYSSGGDLHLELPTGQQGGTRKLWEIPPYETKGVMRASFSSREADNHTAFIRIKTNAS DSTEFIILPVEVEVTTAPGIYSSTEMLDFGTLRTQDLPKVLNLHLLNSGTKDVPITSVRP TPQNDAITVHFKPVTLKASESKYTKVASISFDASRAKKPSQFSGKITVKAKEKSYSKLEI PYQAEVLDGYLGFDHAATLFHIQDSPADPVERPIYLTNTFSFAILIHDVLLPEEARIMFQ VHNFSQPVLILPNESGYIFTLFFMPSTSSMHIDNNILLVTNASKFHLPVRVYTGFLDYFV LPPKIEERFIDFGVLSATEASSILFAIINSNPIELAIKSWHIIGDGLSIELVATERGNRS TVIASLPELERSSLPDQSPVTLASGHFAVFRVKLTAKKLEGVHDGAIQITTDYEILTIPV KAVIAVGSLTCFPKHMVLPPSFPGKIVHQSLNIMNSFSQKVKIQQIRSLSEDVRFYYKRL RGNREDLEPGKKSKIANIYFDPGLQCGDHCYIGLPFLSKSEPKVQPGVAMQEDLWDADWD AHQSLFKAWMGIKENAGHRLNAMFEVNTDLQKNIVSKVSAELSWPSVLSSPRLLKFPLTN TNCSSEEEISLENPADVPVYVQFIPLALYSNPSVFADKLVSRFNLSKVAKLDLRTLEFQV YRNSAHPLQSPTGFTEGLSRHFILNLILKPGEKKSVKVKFTPLHNRTVSSLIIVRNNLTV MDAVMVQGQGTTENLRVAGKLPGPGSSLRFKITEALLKDCIDRLKLREPNFTLKRTFKVE NTGQLEIRVETIEISGYACEGYGFKVVNCQEFALSANASRDIVILFTPDFTASRVIRELK FVTSSGSEFVFVLNASLPYHMLAACAEALPRPNWELALYIIISGVMSALFLLVIGTAYLE AQGIWEPFRRRLSFEASNPPFDVGRPFDLRRIVGISSEGNLNTLGCEHSHGRGFYSNASS RPGTGSHRQCGTSVHPHSSHGSKNSADVDNVRTRNSSSMSSRTSPQAAASQSTSKTSPLV SETAAATQGHTASRKSRGAKQGQHSSQHHSHSHSPLEQHSQPPPPVPQHQEPPPERLSPA PLTHPSHPERASTTRHSSEDSDITSLIEAMDKDFDHHDSSPLDVFTEQPPSPMSKSKGKG KSLQQRKAKPPKKQEEKEKRGKGKPQEDELKDALADDDSSSTTTETSNPDTEPLLREDTE KHKGRPAVPEKQESELSQGKPKSKKLLNAKKEIPTDVKGSSFELPYTPSLENKQRRNLPT KIPLPTTLASGSKSRNPPKTKGTNKLVENRPVALSKFLPSSQELGNTSSSEGEKDSPPPE WDAVPVHKPSSSTDSLYKLSLQTLNADIFLKQRQTSPTPASPSLPTAPCPFTSRGSYSSV VNSSGSDTKAKQTSSSKSKLTKAASLPGKNGNPTFAAVAAGYDKSPGGNGFAKISSNKSD FSSSLGISHIPVDSDGSDSSGLWSPVSNPNSPDFTPLNSFSAFGNSFNLTGAVFSKLSRS CSQSSQRSWNEFNSGPSYLWDSPATDPSPSWPASSSSPTHTATSILGNSSGLWSTTPFSS SIWSSNINSNLPFSTPTNALSSISLMGTENSAAAHTPSASGPADDLGQTYNPWRIWSPTV GRRSSDPWSNSHFPHEN >ENSMUSP00000140620.1 pep:known chromosome:GRCm38:1:36814142:36818761:-1 gene:ENSMUSG00000026116.11 transcript:ENSMUST00000189470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem131 description:transmembrane protein 131 [Source:MGI Symbol;Acc:MGI:1927110] QPGVAMQEDLWDADWDAHQSLFKAWMGIKENAGHRLNAMFEVNTDLQKNIVSKVSAELSW PSVLSSPRLLKFPLTNTNCSSEEEISLENPADVPVYVQFIPLALYSNPSVFADKLVSRFN LSKVAKLDLRTLEFQVYRNSGKYRSQ >ENSMUSP00000140187.1 pep:known chromosome:GRCm38:1:36815920:36819152:-1 gene:ENSMUSG00000026116.11 transcript:ENSMUST00000190442.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem131 description:transmembrane protein 131 [Source:MGI Symbol;Acc:MGI:1927110] QIRSLSEDVRFYYKRLRGNREDLEPGKKSKIANIYFDPGLQCGDHCYIGLPFLSKSEPKV QPGVAMQEDLWDADWDAHQSLFKAWMGIKENAGHRNCFSRLNAMFEVNTDLQKNIVSKVS AELSWPSVLSSPRLLKFPLTNTNCSSEEEISLENPADVPVYVQFIPLALYS >ENSMUSP00000142080.1 pep:known chromosome:GRCm38:1:36818660:36824781:-1 gene:ENSMUSG00000026116.11 transcript:ENSMUST00000186486.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem131 description:transmembrane protein 131 [Source:MGI Symbol;Acc:MGI:1927110] KLTAKKLEGVHDGAIQITTDYETFQSHFRTLVLVPPRALLNLLRPPNLTTVTGKCP >ENSMUSP00000141413.1 pep:known chromosome:GRCm38:1:36841672:36943666:-1 gene:ENSMUSG00000026116.11 transcript:ENSMUST00000185964.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem131 description:transmembrane protein 131 [Source:MGI Symbol;Acc:MGI:1927110] MLDFHEQPVGMPKMEKVYLHNPSSEETITLVSISATTSHFHASFFQNRKILPGGNTSFDV V >ENSMUSP00000027290.5 pep:known chromosome:GRCm38:1:36792191:36939527:-1 gene:ENSMUSG00000026116.11 transcript:ENSMUST00000027290.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem131 description:transmembrane protein 131 [Source:MGI Symbol;Acc:MGI:1927110] MGKRAGGAAAAAAAASTSSAAGLEPAAGRGGGPRSAAAGLLGALHLVMTLVVAAARAEKE AFIQSESIIEVLRFDDGGLLQTETTLGLGSYQQKSISLYRGNCRPIRFEPPMLDFHEQPV GMPKMEKVYLHNPSSEETITLVSISATTSHFHASFFQNRKILPGGNTSFDVVFLARVVGN VENTLFINTSNHGVFTYQVFGVGVPNPYRLRPFLGARVPVNSSFSPIINIHNPHSEPLQV VEMYSSGGDLHLELPTGQQGGTRKLWEIPPYETKGVMRASFSSREADNHTAFIRIKTNAS DSTEFIILPVEVEVTTAPGIYSSTEMLDFGTLRTQDLPKVLNLHLLNSGTKDVPITSVRP TPQNDAITVHFKPVTLKASESKYTKVASISFDASRAKKPSQFSGKITVKAKEKSYSKLEI PYQAEVLDGYLGFDHAATLFHIQDSPADPVERPIYLTNTFSFAILIHDVLLPEEARIMFQ VHNFSQPVLILPNESGYIFTLFFMPSTSSMHIDNNILLVTNASKFHLPVRVYTGFLDYFV LPPKIEERFIDFGVLSATEASSILFAIINSNPIELAIKSWHIIGDGLSIELVATERGNRS TVIASLPELERSSLPDQSPVTLASGHFAVFRVKLTAKKLEGVHDGAIQITTDYEILTIPV KAVIAVGSLTCFPKHMVLPPSFPGKIVHQSLNIMNSFSQKVKIQQIRSLSEDVRFYYKRL RGNREDLEPGKKSKIANIYFDPGLQCGDHCYIGLPFLSKSEPKVQPGVAMQEDLWDADWD AHQSLFKAWMGIKENAGHRLNAMFEVNTDLQKNIVSKVSAELSWPSVLSSPRLLKFPLTN TNCSSEEEISLENPADVPVYVQFIPLALYSNPSVFADKLVSRFNLSKVAKLDLRTLEFQV YRNSAHPLQSPTGFTEGLSRHFILNLILKPGEKKSVKVKFTPLHNRTVSSLIIVRNNLTV MDAVMVQGQGTTENLRVAGKLPGPGSSLRFKITEALLKDCIDRLKLREPNFTLKRTFKVE NTGQLEIRVETIEISGYACEGYGFKVVNCQEFALSANASRDIVILFTPDFTASRVIRELK FVTSSGSEFVFVLNASLPYHMLAACAEALPRPNWELALYIIISGVMSALFLLVIGTAYLE AQGIWEPFRRRLSFEASNPPFDVGRPFDLRRIVGISSEGNLNTLGCEHSHGRGFYSNASS RPGTGSHRQCGTSVHPHSSHGSKNSADVDNVRTRNSSSMSSRTSPQAAASQSTSKTSPLV SETAAATQGHTASRKSRGAKQGQHSSQHHSHSHSPLEQHSQPPPPVPQHQEPPPERLSPA PLTHPSHPERASTTRHSSEDSDITSLIEAMDKDFDHHDSSPLDVFTEQPPSPMSKSKGKG KSLQQRKAKPPKKQEEKEKRGKGKPQEDELKDALADDDSSSTTTETSNPDTEPLLREDTE KHKGRPAVPEKQESELSQGKPKSKKLLNAKKEIPTDVKGSSFELPYTPSLENKQRRNLPT KIPLPTTLASGSKSRNPPKTKGTNKLVENRPVALSKFLPSSQELGNTSSSEGEKDSPPPE WDAVPVHKPSSSTDSLYKLSLQTLNADIFLKQRQTSPTPASPSLPTAPCPFTSRGSYSSV VNSSGSDTKAKQTSSSKSKLTKAASLPGKNGNPTFAAVAAGYDKSPGGNGFAKISSNKSD FSSSLGISHIPVDSDGSDSSGLWSPVSNPNSPDFTPLNSFSAFGNSFNLTGAVFSKLSRS CSQSSQRSWNEFNSGPSYLWDSPATDPSPSWPASSSSPTHTATSILGNSSGLWSTTPFSS SIWSSNINSNLPFSTPTNALSSISLMGTENSAAAHTPSASGPADDLGQTYNPWRIWSPTV GRRSSDPWSNSHFPHEN >ENSMUSP00000107174.1 pep:known chromosome:GRCm38:2:89282196:89287954:-1 gene:ENSMUSG00000075095.3 transcript:ENSMUST00000111549.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1229 description:olfactory receptor 1229 [Source:MGI Symbol;Acc:MGI:3031063] MFIIIAKLYILYWAFIFSLETMQNQSFITEFVFLGLSQNPNVQKIIFVICLLVYIATIGG NMMIVVTVVSTPALLGSPMYFFLAFLSLLDASFSSAMTPKMIVDSLYERKTISFEGCMIQ LFAEHFFGGAEVIVLSAMAYDRYVAICKPLHYSSIMTLRLCGTLVGVAWTGGFLHSIIQI IFTLQLPFCGPNIIDHFICDLYPLLELACTDTHIFGLLVVANSGFICIIIFTLLLVSYGF ILLSLRSHSSEGRWKALSTCGSHIAVVVLFFVPCIFTYARPPTAFSFDKMVAIFYTMLSP LLNPMIYTFRNKDMKNAIRKMWTRLIVHSDKK >ENSMUSP00000097376.2 pep:known chromosome:GRCm38:2:89282139:89283157:-1 gene:ENSMUSG00000075095.3 transcript:ENSMUST00000099788.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1229 description:olfactory receptor 1229 [Source:MGI Symbol;Acc:MGI:3031063] MQNQSFITEFVFLGLSQNPNVQKIIFVICLLVYIATIGGNMMIVVTVVSTPALLGSPMYF FLAFLSLLDASFSSAMTPKMIVDSLYERKTISFEGCMIQLFAEHFFGGAEVIVLSAMAYD RYVAICKPLHYSSIMTLRLCGTLVGVAWTGGFLHSIIQIIFTLQLPFCGPNIIDHFICDL YPLLELACTDTHIFGLLVVANSGFICIIIFTLLLVSYGFILLSLRSHSSEGRWKALSTCG SHIAVVVLFFVPCIFTYARPPTAFSFDKMVAIFYTMLSPLLNPMIYTFRNKDMKNAIRKM WTRLIVHSDKK >ENSMUSP00000131128.1 pep:known chromosome:GRCm38:17:55592341:55624672:-1 gene:ENSMUSG00000091504.1 transcript:ENSMUST00000168440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r118 description:vomeronasal 2, receptor 118 [Source:MGI Symbol;Acc:MGI:3761695] MMFTSIFIVLLLKLPLLLCNSAENMCFWRVKLNENCDGDLPQDCAFLLYTQVDPAPKDFL KYLFKSCLPSKIHQYLLSLYFAMEEINSNPYLLPNVSLGIEYFKGKCNGYLSLFTNLNIM TKYYHTFPNYICDPGACEIALTGPLWISSAQVATILQLKFIPQVRQITYGPFYPLLSDQS KFPNLHQIAPKNRFLPEAIVSLLFHFSWIWVGLVIQDDDQGIQFLLDIKEEMQRNGVCLA FVNVIPQNMHLFTTRAEKYYNQIITSSANVVILYGELNTALEVSFKHWKYLGTQKIWFTT SQWDAITREKDFSLTSFYGTLTISQYHGDVSTLNNFFQGANLSKDTHYFSSERLGWMYFN CSILKSNCKTPNQCTSSNLLEWLPRHSFDMAMNDESYNIYNAIYAVAHALHEMVLQKIDF QQLENVTQLMQECVQIHAFLENMQFLNPIGDVVIINQQAKTDAEYEIYMIWNFPQGLGVK VKIGRFYSYFLHDQKLYLYEDMIQWASGYSQIPPSVCSVSCAPGFKKFYLEEKPSCCFDC SPCPENEISNATDLTQCVKCPDGQYANIERNHCFPKVVTYLAYADPLGIVLACMALFLSM LSVLVLGIFVKNQYTPIVKANNRTLSYILLISLTLCFLCSLFFIGHPSTARCLLQQITFG ILFTVAVSAVLAKTITVLLAFSITIPGRRVKWLLLFGTHKVIIPICSLFQLIICGFWLGL SPPFVERDTHTEHGHIIIMCNKGSLIAFYSVLGYLGCLALASFIMAFLVRNLPDTFNEAK FLTFSMLVFCSVWVTFLPVHHSTKGKAMVAVEVFSILASSAGLLGCIFIPKCYIIVLRPD RNFIQRSKGKNHS >ENSMUSP00000063244.6 pep:known chromosome:GRCm38:8:72443880:72461001:1 gene:ENSMUSG00000052794.13 transcript:ENSMUST00000064853.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700030K09Rik description:RIKEN cDNA 1700030K09 gene [Source:MGI Symbol;Acc:MGI:1919504] MASTRRPFGPPRSGFDLRDMFLDSSRMEEIRNLQARSLGQVTPGQSRILKRNQTMDEKYL MPKEEAMAGRGVSLGLRPPTISSKTRASEALRKLAQIETKILNRKQVPMAWSDVESDSTS IEQSLPKRTGAASVSSQYPHRTFQKQVNKTCVSKSDGPSGNGSRFLKKKELPTEARSPGL AVGTGKQALLPTKKESASDEEEEMLLLRSLMESSREKEANRNQELPGSSVSRSNLGKVFL DPTPDQPGVLSLLSVDQSSLKSPRPIQSTRVGLRTHSRQASSAGDTVSITASPPILDDFS KSASSKMGCIKLASSPSRTELESSEEPVSEAAADSLHDFRINILSIDDLVLADGDKSDGD QREEDCVREGISVRSSSPTSPTRLEAQMWPKNCVFQGTATVVGDGEGLTTESDVSEPPGT SSSAAVQSHSMSRALTASPAYSEDFEQFSGPLALEESLDRTLDTLSKFSSSGQTDIVARQ PLSRTEWGRGVTRVVKETAVQTLDPAFAYQWSKAGGIAAVGPALGGAYVDPAPIASHIVS ADAIEALTAYSPAVLALNDMLKQQLSLTQQFIEASHQLHGSLLQSLDGDSFHYHTLEEAK EYIRCHRPAPLTMEAALQEVREELQVPASEACLGTCPPRNQ >ENSMUSP00000113279.1 pep:known chromosome:GRCm38:8:72443932:72460641:1 gene:ENSMUSG00000052794.13 transcript:ENSMUST00000121902.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700030K09Rik description:RIKEN cDNA 1700030K09 gene [Source:MGI Symbol;Acc:MGI:1919504] MASTRRPFGPPRSGFDLRDMFLDSSRMEEIRNLQARSLGQVTPGQSRILKRNQTMDEKYL MPKEEAMAGRGVSLGLRPPTISSKTRASEALRKLAQIETKILNRKQVPMAWSDVESDSTS IEQSLPKRTGAASVSSQYPHRTFQKQVNKTCVSKSDGPSGNGSRFLKKKELPTEARSPGL AVGTGKQALLPTKKESASDEEEEMLLLRSLMESSREKEANRNQELPGSSVSRSNLGKVFL DPTPDQPGVLSLLSVDQSSLKSPRPIQSTRVGLRTHSRQASSAGDTVSITASPPILDDFS KSASSKMGCIKLASSPSRTELESSEEPVSEAAADSLHDFRINILSIDDLVLADGDKSDGD QRGTATVVGDGEGLTTESDVSEPPGTSSSAAVQSHSMSRALTASPAYSEDFEQFSGPLAL EESLDRTLDTLSKFSSSGQTDIVARQPLSRTEWGRGVTRVVKETAVQTLDPAFAYQWSKA GGIAAVGPALGGAYVDPAPIASHIVSADAIEALTAYSPAVLALNDMLKQQLSLTQQFIEA SHQLHGSLLQSLDGDSFHYHTLEEAKEYIRCHRPAPLTMEAALQEVREELQVPASEACLG TCPPRNQ >ENSMUSP00000144533.1 pep:known chromosome:GRCm38:7:30973804:30989720:1 gene:ENSMUSG00000046826.7 transcript:ENSMUST00000129773.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam187b description:family with sequence similarity 187, member B [Source:MGI Symbol;Acc:MGI:1923665] MDLHSGGQHLKVFQPATYRCFVEQELIAQFNPTERQSKAQNPWQPRIQPDKADSVLRRLK LMVLSISVLAVGGLLCKVVFRPVCGKKRSQVLLVK >ENSMUSP00000057020.4 pep:known chromosome:GRCm38:7:30977043:30989726:1 gene:ENSMUSG00000046826.7 transcript:ENSMUST00000058093.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam187b description:family with sequence similarity 187, member B [Source:MGI Symbol;Acc:MGI:1923665] MLATLWLVGLSLPMLWAQRLISCPYKNVCQYALLSGSDVILQCNYPKALWYFSSSLEDKL SLVNSKPDGRVLPGSDLQLSDPKPSQTGLYRCLDNHKARLVEYEIDFQNIALLHITHKDL GQEPMGNESMNLGGKVLVFTRWDPWQDCNRCQKPGERKRLGYCYVEEPQEKPMPCWLYLR EEKVTNSRLRPELQLQACQVPCDTATETNQPYFVFDTYLLDKPNSNARLKCPLASIYRPV HWEADNSPLTWQDQLSGQTVSTIMDLHSGGQHLKVFQPATYRCFVEQELIAQFNPTERQS KAQNPWQPRIQPDKADSVLRRLKLMVLSISVLAVGGLLCKVVFRPVCGKKRSQVLLVK >ENSMUSP00000144424.1 pep:known chromosome:GRCm38:7:30981870:30989462:1 gene:ENSMUSG00000046826.7 transcript:ENSMUST00000128384.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam187b description:family with sequence similarity 187, member B [Source:MGI Symbol;Acc:MGI:1923665] MCRLVASTQPSSQSTMLTILWTLLSSALPVLGPHTPVSCPKAKECFLALLSSHDVLLECT ISDTNWLFSRLSGGGKPVNLSSVSNIRKSPEGGLHIHNPLPSNTGLYQCLDKYGTQVTSY KIDFQDITKLHATHTNLGQKPLMNETLNLSHREVVYTQWGPWQRCNNCGRQGERKRLGYC YIKEPLEEPVPCGLYLWGANMFYARIRPEMQVEICFVGCQGHLTGGDYVIFDNFKLTEES GSARLTCPFASIYRPVHWEADNSPLTWQDQLSGQTVSTIMDLHSGGQHLKVFQPATYRCF VEQELIAQFNPTERQSKAQNPWQPRIQPDKADSVLRRLKLMVLSISVLAVGGLLCKVVFR PVCGKKRSQVLLVK >ENSMUSP00000103703.1 pep:known chromosome:GRCm38:4:41194313:41275144:-1 gene:ENSMUSG00000028433.14 transcript:ENSMUST00000108068.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2 description:ubiquitin-associated protein 2 [Source:MGI Symbol;Acc:MGI:1916176] MTSVSNDRCRGAREKPQMPTAHAAQSQKQVVQATAEQMRLAQVIFDKNDSDFEAKVKQLM EVTGKNQDECIVALHDCNGDVNKAINILLEGNSDTTSWETVGGKKKNFGRESSENKENRE KRTEREASRGRGTNNRKGRGGNRVREFKGEENGIDCSQGDKPAERGKRARGRGFGRGRGR GTGRFSAQSMGTFNPADYSESMSTDGCGTKLAVWEAAQNGTDEGPEGLAKSHSMSQEPPS KSSYGLKGAWKNSVEEWTTEDWTEDLSETKVFTASSAPAENHVTPGHSIDLVALLHKPAP PTQATEVNSFETSQQQGFGQALVFTNSQHNNQMAPGTANSTSASSYSPQSLSSVLGSGFG ELPQSNMVNISNSQILDKLKPPGLSPFPAASSAQQNDTASPPATTAAWDLKPSAPQPSVL SRLDFKSQPEPSPVLSQLSQRQQHQTQAVSVPPPGLESFSSLAKPRESTAGDGPSTVSRL LQLPNMTVENIVSAHQPQPKHIKLPKRRVPPASKVPVSAVEMPGSSDVTGLNVQFGALEF GSEPSLSEFGSAASASENSNQIPISLYPKSLSEPLNASFPMTSAVQSSTYTTSVVTSSTL TSSALSSTSPVTTSSSYDQSSVHTRIAYQSSASPPDSAPGSVANGHGGGRSQHTVDTTSS VPAPKKTDPSALPSVSTLPGPASCTALLPSSAQHTATLPSLTPAAAELSSSPLSQLSSSL SGHQNSMTSAHATRSTSTPHTHASVESTASSAAFSAAATSAPSAPSSGVVLPGSMSTVSS LCLGGTTVSVPSSSTRATALVTSGKAPPNLPQGVPPLLHNQYLVGPGGLLPAYPIYGYDE LQMLQSRLPMDYYGIPFAAPTALASRDGNLANNPYSGDVTKFGRGDSASPAPPTTPAQAQ QSQSQTHHTAQQPFLNPGLPPGYSYTGLPYYTGVPSAFQYGPTMFVPPTSAKQHGVALST PPTPFQQASGYGQHAYSTGYDDLTQGTAAGDYTKGGYGGSSQAPNKSTGSGPGKGVSVSS GTGLPDMTGSVYNKTQTFDKQGFHAGTPPPFSLPSALGSTGPLAPAAAPGYAPAPFLHIM PAHQQPHSQLLHHHLQQDAPSGSGQRSQPSSLQPKSQASKPTYGSAPYWTN >ENSMUSP00000121724.1 pep:known chromosome:GRCm38:4:41221589:41251619:-1 gene:ENSMUSG00000028433.14 transcript:ENSMUST00000134782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2 description:ubiquitin-associated protein 2 [Source:MGI Symbol;Acc:MGI:1916176] XAMIVAEVLGKNHRCQQHTQPSHRNKWCSCTDLLTLLELSLATAEQMRLAQVIFDKNDSD FEAKVKQLMEVTGKNQDECIVALHDCNGDVNKAINILLEGNSDTTSWETVGGKKKNFGRE SSENKENREKRTEREASRGRGTNNRKGRGGNRVREFKGEENGIDCSQGDKPAERGKRARG RGFGRGRGRGTGRFSAQSMGTFNPADYSESMSTDGCGTKLAVWEAAQNGTDEGPEGLAKS HSMSQ >ENSMUSP00000120205.1 pep:known chromosome:GRCm38:4:41195193:41195765:-1 gene:ENSMUSG00000028433.14 transcript:ENSMUST00000136057.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2 description:ubiquitin-associated protein 2 [Source:MGI Symbol;Acc:MGI:1916176] XGSGPGKGVSVSSGTGLPDMTGSVYNKTQTFDKQGFHAGTPPPFSLPSALGSTGPLAPAA APGYAPAPFLHIMPAHQQPHSQLLHHHLQQDAPVSAVMEAASPRGAWGSVPAFIAFSPLP PQSGSGQRSQPSSLQPKSQA >ENSMUSP00000122256.1 pep:known chromosome:GRCm38:4:41206895:41213828:-1 gene:ENSMUSG00000028433.14 transcript:ENSMUST00000135323.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2 description:ubiquitin-associated protein 2 [Source:MGI Symbol;Acc:MGI:1916176] MIPCGILPLSFLGQGNNFFPCHIDLVALLHKPAPPTQATEVNSFETSQQQGFGQALVFTN SQHNNQMAPGTANSTSASSYSPQSLSSVLGSGFGELPQSNMVNISNSQILDKLKPPGLSP FPAASSAQQNDTASPPATTAAWDLKPSAPQPSVLSRLDFKSQPEPSPVLSQLSQRQQHQT QAVSVPPPGLESFSSLAKPRESTAGDGPSTVSRLLQLPNMTVENIVS >ENSMUSP00000030143.6 pep:known chromosome:GRCm38:4:41194316:41275135:-1 gene:ENSMUSG00000028433.14 transcript:ENSMUST00000030143.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ubap2 description:ubiquitin-associated protein 2 [Source:MGI Symbol;Acc:MGI:1916176] MMTSVSNDRCRGAREKPQMPTAHAAQSQKQVVQATAEQMRLAQVIFDKNDSDFEAKVKQL MEVTGKNQDECIVALHDCNGDVNKAINILLEGNSDTTSWETVGGKKKNFGRESSENKENR EKRTEREASRGRGTNNRKGRGGNRVREFKGEENGIDCSQGDKPAERGKRARGRGFGRGRG RGTGRFSAQSMGTFNPADYSESMSTDGCGTKLAVWEAAQNGTDEGPEGLAKSHSMSQEPP SKSSYGLKGAWKNSVEEWTTEDWTEDLSETKVFTASSAPAENHVTPGHSIDLVALLHKPA PPTQATEVNSFETSQQQGFGQALVFTNSQHNNQMAPGTANSTSASSYSPQSLSSVLGSGF GELPQSNMVNISNSQILDKLKPPGLSPFPAASSAQQNDTASPPATTAAWDLKPSAPQPSV LSRLDFKSQPEPSPVLSQLSQRQQHQTQAVSVPPPGLESFSSLAKPRESTAGDGPSTVSR LLQLPNMTVENIVSAHQPQPKHIKLPKRRVPPASKVPVSAVEMPGSSDVTGLNVQFGALE FGSEPSLSEFGSAASASENSNQIPISLYPKSLSEPLNASFPMTSAVQSSTYTTSVVTSST LTSSALSSTSPVTTSSSYDQSSVHTRIAYQSSASPPDSAPGSVANGHGGGRSQHTVDTTS SVPAPKKTDPSALPSVSTLPGPASCTALLPSSAQHTATLPSLTPAAAELSSSPLSQLSSS LSGHQNSMTSAHATRSTSTPHTHASVESTASSAAFSAAATSAPSAPSSGVVLPGSMSTVS SLCLGGTTVSVPSSSTRATALVTSGKAPPNLPQGVPPLLHNQYLVGPGGLLPAYPIYGYD ELQMLQSRLPMDYYGIPFAAPTALASRDGNLANNPYSGDVTKFGRGDSASPAPPTTPAQA QQSQSQTHHTAQQPFLNPGLPPGYSYTGLPYYTGVPSAFQYGPTMFVPPTSAKQHGVALS TPPTPFQQASGYGQHAYSTGYDDLTQGTAAGDYTKGGYGGSSQAPNKSTGSGPGKGVSVS SGTGLPDMTGSVYNKTQTFDKQGFHAGTPPPFSLPSALGSTGPLAPAAAPGYAPAPFLHI MPAHQQPHSQLLHHHLQQDAPSGSGQRSQPSSLQPKSQASKPTYGSAPYWTN >ENSMUSP00000033372.6 pep:known chromosome:GRCm38:X:20364481:20400853:1 gene:ENSMUSG00000060090.16 transcript:ENSMUST00000033372.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rp2 description:retinitis pigmentosa 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1277953] MGCCFTKRRKSEKAEGEEEQPKLYSWDQREKVDPKDYMFSGLKDETVGRLPGKVAGQQFV IQDCENCNIYIFDHSATITIDDCTNCVIFLGPVKGSVFFRNCRDCKCTLACQQFRVRDCR KLEVFLCCATQPIIESSTNIKFGCFQWYYPELAAQFKDAGLSIFNNIWSHVHDFTPVSGE LNWSLLPENAVVQDYVPIPMTEEFKAVRISTEANRSIVPVSRGQRQKYSDESCLVVLFAD DYTTANARKLIDEMVGKGFSLVQTKEMSMKTEDAQRVFQEKASDFLLLLNKGPVIALEFN GDDAVQECHLIVNGMFNGTKMFVSEKKETASGDVDSFYNFAEIQMGI >ENSMUSP00000111049.1 pep:known chromosome:GRCm38:X:20364481:20400857:1 gene:ENSMUSG00000060090.16 transcript:ENSMUST00000115391.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rp2 description:retinitis pigmentosa 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1277953] MGCCFTKRRKSEKAEGEEEQPKLYSWDQREKVDPKDYMFSGLKDETVGRLPGKVAGQQFV IQDCENCNIYIFDHSATITIDDCTNCVIFLGPVKGSVFFRNCRDCKCTLACQQFRVRDCR KLEVFLCCATQPIIESSTNIKFGCFQWYYPELAAQFKDAGLSIFNNIWSHVHDFTPVSGE LNWSLLPENAVVQDYVPIPMTEEFKAVRISTEANRSIVPVSRGQRQKYSDESCLVVLFAD DYTTANARKLIDEMVGKGFSLVQTKEMSMKTEDAQRVFQEKASDFLLLLNKGPVIALEFN GDDAVQECHLIVNGMFNGTKMFVSEKKETASGDVDSFYNFAEIQMGI >ENSMUSP00000111045.1 pep:known chromosome:GRCm38:X:20364559:20400853:1 gene:ENSMUSG00000060090.16 transcript:ENSMUST00000115387.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rp2 description:retinitis pigmentosa 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1277953] MFSGLKDETVGRLPGKVAGQQFVIQDCENCNIYIFDHSATITIDDCTNCVIFLGPVKGSV FFRNCRDCKCTLACQQFRVRDCRKLEVFLCCATQPIIESSTNIKFGCFQWYYPELAAQFK DAGLSIFNNIWSHVHDFTPVSGELNWSLLPENAVVQDYVPIPMTEEFKAVRISTEANRSI VPVSRGQRQKYSDESCLVVLFADDYTTANARKLIDEMVGKGFSLVQTKEMSMKTEDAQRV FQEKASDFLLLLNKGPVIALEFNGDDAVQECHLIVNGMFNGTKMFVSEKKETASGDVDSF YNFAEIQMGI >ENSMUSP00000138352.1 pep:known chromosome:GRCm38:X:20364575:20405653:1 gene:ENSMUSG00000060090.16 transcript:ENSMUST00000134349.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rp2 description:retinitis pigmentosa 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1277953] MGCCFTKRRKSEKAEGEEEQPKLYSWDQREKVDPKDYMFSGLKDETVGRLPGKVAGQQFV IQDCENCNIYIFDHSATITIDDCTNCVIFLGPVKGSVFFRNCRDCKCTLACQQFRVRDCR KLEVFLCCATQPIIESSTNIKFGCFQWYYPELAAQFKDAGLSIFNNIWSHVHDFTPVSGE LNWSLLPENAVVQDYVPIPMTEEFKAVRISTEANRSIVPVSRGQRQKYSDESCLVVLFAD DYTTANARKLIDEMVGKGFSLVQTKEMSMKTEDAQRVFQEKASDFLLLLNKGPVIALEFN GDDAVQECHLIVNGMFNGTKVLGMEPRTLLGKKTECQKVWMACQAQTIVTELQCQKRALD PLNCGYKWL >ENSMUSP00000138724.1 pep:known chromosome:GRCm38:X:20364619:20405630:1 gene:ENSMUSG00000060090.16 transcript:ENSMUST00000133619.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rp2 description:retinitis pigmentosa 2 homolog (human) [Source:MGI Symbol;Acc:MGI:1277953] MGCCFTKRRKSEKAEGEEEQPKLYSWDQREKVDPKDYMFSGLKDETVGRLPGKVAGQQFV IQDCENCNIYIFDHSATITIDDCTNCVIFLGPVKGSVFFRNCRDCKCTLACQQFRVRDCR KLEVFLCCATQPIIESSTNIKFGCFQWYYPELAAQFKDAGLSIFNNIWSHVHDFTPVSGE LNWSLLPENAVVQDYVPIPMTEEFKAVRISTEANRSIVPVSRGQRQKYSDESCLVVLFAD DYTTANARKLIDEMVGKGFSLVQTKEMSMKTEDAQRVFQEKASDFLLLLNKGPVIALEFN GDDAVQECHLIVNGMFNGTKIP >ENSMUSP00000140130.1 pep:known chromosome:GRCm38:16:88758847:88759792:1 gene:ENSMUSG00000068078.4 transcript:ENSMUST00000089111.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310034C09Rik description:RIKEN cDNA 2310034C09 gene [Source:MGI Symbol;Acc:MGI:2152338] MAYSCCSGNFSSRSLRSCLPSSGSCRGSSYPSNLVYTTTSCSPSTCQLSSTLNPGFQETC IEPIRCQETCIEPIRCQETCTEPIRCQESCIEPIRCQETCIEPISCQRSCVVPSSCQKPC YYPRSSTPYRPCQGTYAGSLGFGSRSCSSLAYGSRSCYSVGYGNSGFRPLNCGVYGFPSL SYGSRYCSPIYFASRSCQPCYRPTWGSGLCGISC >ENSMUSP00000041883.8 pep:known chromosome:GRCm38:11:120442644:120446950:1 gene:ENSMUSG00000039691.10 transcript:ENSMUST00000044105.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspan10 description:tetraspanin 10 [Source:MGI Symbol;Acc:MGI:2384781] MMKEEECSPLLSQDTAGREHPLTRNSPPTANIPCPAPWENQKGSWGCRCCPGAKRQASGE GQASSLPLSTGSNCVKYLIFLSNFLFSLPSLLALAAGLWGLTVKRSQGIGWGGPVPTDPM LMLVLGGLVVSVVSLSGCLGAFCENSCLLHWYCGAVLFCLALEALAGVLMVTLWKPLQDS LKYTLHAAIIHYWDDPDLHFLLDQVQLGLQCCGAVSYQDWQQNLYFNCSSPGVQACSLPA SCCINPQEDGAVVNTQCGFGALGLDQNVAGQVVFLQGCWPALQEWLRGNTGAIGDCAVAV VMIQGTELLLAACLLRALAVHEAAEDIEAGPL >ENSMUSP00000045134.4 pep:known chromosome:GRCm38:1:173331890:173333502:-1 gene:ENSMUSG00000037872.5 transcript:ENSMUST00000038227.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ackr1 description:atypical chemokine receptor 1 (Duffy blood group) [Source:MGI Symbol;Acc:MGI:1097689] MGNCLYPVENLSLDKNGTQFTFDSWNYSFEDNYSYELSSDYSLTPAAPCYSCNLLGRSSL PFFMLTSVLGMLASGGILFAILRPFFHWQICPSWPILAELAVGSALFSIAVPILAPGLHS AHSTALCNLGYWVWYTSAFAQALLIGCYACLNPRLNIGQLRGFTLGLSVGLWGAAALLGL PVALASDAYNGFCAFPSSRDMEALKYMHYAICFTIFTVLPPTLLAAKGLKIALSKGPGPW VSVLWIWFIFWWPHGMVLIFDALVRSKIVLLYTCQSQKILDAMLNVTEALSMLHCVATPL LLALFCHQTTRRSLSSLSLPTRQASQMDALAGKS >ENSMUSP00000141765.1 pep:known chromosome:GRCm38:1:173331892:173333673:-1 gene:ENSMUSG00000037872.5 transcript:ENSMUST00000194046.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ackr1 description:atypical chemokine receptor 1 (Duffy blood group) [Source:MGI Symbol;Acc:MGI:1097689] MGNCLYPVENLSLDKNGTQFTFDSWNYSFEDNYSYELSSDYSLTPAAPCYSCNLLGRSSL PFFMLTSVLGMLASGGILFAILRPFFHWQICPSWPILAELAVGSALFSIAVPILAPGLHS AHSTALCNLGYWRHGSFEVHALCHLFYHLHCIATDSFGSQGAEDSTEQGAWPLG >ENSMUSP00000006761.3 pep:known chromosome:GRCm38:4:13743436:13893649:1 gene:ENSMUSG00000006586.15 transcript:ENSMUST00000006761.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx1t1 description:runt-related transcription factor 1; translocated to, 1 (cyclin D-related) [Source:MGI Symbol;Acc:MGI:104793] MVGLSSPVQYRTEKHSTMPDSPVDVKTQSRLTPPAMPPPPTTQGAPRTSSFTPTTLTNGT SHSPTALNGAPSPPNGFSNGPSSSSSSSLANQQLPPACGARQLSKLKRFLTTLQQFGNDI SPEIGERVRTLVLGLVNSTLTIEEFHSKLQEATNFPLRPFVIPFLKANLPLLQRELLHCA RLAKQNPAQYLAQHEQLLLDASTTSPVDSSELLLDVNENGKRRTPDRTKENGFDREPLHS EHPSKRPCTISPGQRYSPNNGLSYQPNGLPHPTPPPPQHYRLDDMAIAHHYRDSYRHPSH RDLRDRNRPMGLHGTRQEEMIDHRLTDREWAEEWKHLDHLLNCIMDMVEKTRRSLTVLRR CQEADREELNYWIRRYSDAEDLKKGGSSSSSHSRQQSPVNPDPVALDAHREFLHRPASGY VPEEIWKKAEEAVNEVKRQAMTELQKAVSEAERKAHDMITTERAKMERTVAEAKRQAAED ALAVINQQEDSSESCWNCGRKASETCSGCNTARYCGSFCQHKDWEKHHHICGQTLQAPQQ GDTPAVSSSVTPSSGAGSPMDTPPAATPRSTTPGTPSTIETTPR >ENSMUSP00000095857.3 pep:known chromosome:GRCm38:4:13771377:13890323:1 gene:ENSMUSG00000006586.15 transcript:ENSMUST00000098257.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx1t1 description:runt-related transcription factor 1; translocated to, 1 (cyclin D-related) [Source:MGI Symbol;Acc:MGI:104793] MISVKRNTWRALSVVIGDCRKKANFEYCQDRTEKHSTMPDSPVDVKTQSRLTPPAMPPPP TTQGAPRTSSFTPTTLTNGTSHSPTALNGAPSPPNGFSNGPSSSSSSSLANQQLPPACGA RQLSKLKRFLTTLQQFGNDISPEIGERVRTLVLGLVNSTLTIEEFHSKLQEATNFPLRPF VIPFLKANLPLLQRELLHCARLAKQNPAQYLAQHEQLLLDASTTSPVDSSELLLDVNENG KRRTPDRTKENGFDREPLHSEHPSKRPCTISPGQRYSPNNGLSYQPNGLPHPTPPPPQHY RLDDMAIAHHYRDSYRHPSHRDLRDRNRPMGLHGTRQEEMIDHRLTDREWAEEWKHLDHL LNCIMDMVEKTRRSLTVLRRCQEADREELNYWIRRYSDAEDLKKGGSSSSSHSRQQSPVN PDPVALDAHREFLHRPASGYVPEEIWKKAEEAVNEVKRQAMTELQKAVSEAERKAHDMIT TERAKMERTVAEAKRQAAEDALAVINQQEDSSESCWNCGRKASETCSGCNTARYCGSFCQ HKDWEKHHHICGQTLQAPQQGDTPAVSSSVTPSSGAGSPMDTPPAATPRSTTPGTPSTIE TTPR >ENSMUSP00000095856.3 pep:known chromosome:GRCm38:4:13784782:13891117:1 gene:ENSMUSG00000006586.15 transcript:ENSMUST00000098256.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx1t1 description:runt-related transcription factor 1; translocated to, 1 (cyclin D-related) [Source:MGI Symbol;Acc:MGI:104793] MPDRTEKHSTMPDSPVDVKTQSRLTPPAMPPPPTTQGAPRTSSFTPTTLTNGTSHSPTAL NGAPSPPNGFSNGPSSSSSSSLANQQLPPACGARQLSKLKRFLTTLQQFGNDISPEIGER VRTLVLGLVNSTLTIEEFHSKLQEATNFPLRPFVIPFLKANLPLLQRELLHCARLAKQNP AQYLAQHEQLLLDASTTSPVDSSELLLDVNENGKRRTPDRTKENGFDREPLHSEHPSKRP CTISPGQRYSPNNGLSYQPNGLPHPTPPPPQHYRLDDMAIAHHYRDSYRHPSHRDLRDRN RPMGLHGTRQEEMIDHRLTDREWAEEWKHLDHLLNCIMDMVEKTRRSLTVLRRCQEADRE ELNYWIRRYSDAEDLKKGGSSSSSHSRQQSPVNPDPVALDAHREFLHRPASGYVPEEIWK KAEEAVNEVKRQAMTELQKAVSEAERKAHDMITTERAKMERTVAEAKRQAAEDALAVINQ QEDSSESCWNCGRKASETCSGCNTARYCGSFCQHKDWEKHHHICGQTLQAPQQGDTPAVS SSVTPSSGAGSPMDTPPAATPRSTTPGTPSTIETTPR >ENSMUSP00000127109.1 pep:known chromosome:GRCm38:4:13751297:13893628:1 gene:ENSMUSG00000006586.15 transcript:ENSMUST00000105566.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Runx1t1 description:runt-related transcription factor 1; translocated to, 1 (cyclin D-related) [Source:MGI Symbol;Acc:MGI:104793] MISVKRNTWRALSVVIGDCRKKANFEYCQDRTEKHSTMPDSPVDVKTQSRLTPPAMPPPP TTQGAPRTSSFTPTTLTNGTSHSPTALNGAPSPPNGFSNGPSSSSSSSLANQQLPPACGA RQLSKLKRFLTTLQQFGNDISPEIGERVRTLVLGLVNSTLTIEEFHSKLQEATNFPLRPF VIPFLKANLPLLQRELLHCARLAKQNPAQYLAQHEQLLLDASTTSPVDSSELLLDVNENG KRRTPDRTKENGFDREPLHSEHPSKRPCTISPGQRYSPNNGLSYQPNGLPHPTPPPPQHY RLDDMAIAHHYRDSYRHPSHRDLRDRNRPMGLHGTRQEEMIDHRLTDREWAEEWKHLDHL LNCIMDMVEKTRRSLTVLRRCQEADREELNYWIRRYSDAEDLKKGGSSSSSHSRQQSPVN PDPVALDAHREFLHRPASGYVPEEIWKKAEEAVNEVKRQAMTELQKAVSEAERKAHDMIT TERAKMERTVAEAKRQAAEDALAVINQQEDSSESCWNCGRKASETCSGCNTARYCGSFCQ HKDWEKHHHICGQTLQAPQQGDTPAVSSSVTPSSGAGSPMDTPPAATPRSTTPGTPSTIE TTPR >ENSMUSP00000145416.1 pep:known chromosome:GRCm38:6:71271677:71285319:1 gene:ENSMUSG00000053012.17 transcript:ENSMUST00000204436.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krcc1 description:lysine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1889377] MKHSNKPYDSFQDELEDYIKVQKARGLEPKTCFRRMRGEYLESCGYREEFDSRPRYRMFD QRLPSGTNHSYPRSCSSSQTEDRVPQWLPAHDKIRLNSLSYCQFTRDGFSEKPVPLNLSQ QEYNCGSYSVESVVHKRLCSEHSTIDPQVSHRQMHQKRKKHVEEGREKQEERPKHERKRS SEEMDLNKHRSIQRKKTKAETETVQDGTEKLKNRKEKKTRDVSSKKEDRKRRKEKKEQGE ERTEEEMLWDQSILGF >ENSMUSP00000145024.1 pep:known chromosome:GRCm38:6:71271701:71284240:1 gene:ENSMUSG00000053012.17 transcript:ENSMUST00000205123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krcc1 description:lysine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1889377] MKHSNKPYDSFQDELEDYIKVQKARGLEPKTCFRRMRGEYLESCGYREEFDSRPRYRMFD QRLPSGTNHSYPRSCSSSQTEDRVP >ENSMUSP00000130252.1 pep:known chromosome:GRCm38:6:71272019:71285319:1 gene:ENSMUSG00000053012.17 transcript:ENSMUST00000168700.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krcc1 description:lysine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1889377] MKHSNKPYDSFQDELEDYIKVQKARGLEPKTCFRRMRGEYLESCGYREEFDSRPRYRMFD QRLPSGTNHSYPRSCSSSQTEDRVPQWLPAHDKIRLNSLSYCQFTRDGFSEKPVPLNLSQ QEYNCGSYSVESVVHKRLCSEHSTIDPQVSHRQMHQKRKKHVEEGREKQEERPKHERKRS SEEMDLNKHRSIQRKKTKAETETVQDGTEKLKNRKEKKTRDVSSKKEDRKRRKEKKEQGE ERTEEEMLWDQSILGF >ENSMUSP00000079748.7 pep:known chromosome:GRCm38:6:71282280:71285318:1 gene:ENSMUSG00000053012.17 transcript:ENSMUST00000080949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krcc1 description:lysine-rich coiled-coil 1 [Source:MGI Symbol;Acc:MGI:1889377] MKHSNKPYDSFQDELEDYIKVQKARGLEPKTCFRRMRGEYLESCGYREEFDSRPRYRMFD QRLPSGTNHSYPRSCSSSQTEDRVPQWLPAHDKIRLNSLSYCQFTRDGFSEKPVPLNLSQ QEYNCGSYSVESVVHKRLCSEHSTIDPQVSHRQMHQKRKKHVEEGREKQEERPKHERKRS SEEMDLNKHRSIQRKKTKAETETVQDGTEKLKNRKEKKTRDVSSKKEDRKRRKEKKEQGE ERTEEEMLWDQSILGF >ENSMUSP00000043467.7 pep:known chromosome:GRCm38:11:84820856:84828964:-1 gene:ENSMUSG00000034449.7 transcript:ENSMUST00000047560.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs11 description:dehydrogenase/reductase (SDR family) member 11 [Source:MGI Symbol;Acc:MGI:2652816] MTRAGMERWRDRLALVTGASGGIGAAVARALVQQGLKVVGCARTVGNIEELAAECKSAGY PGTLIPYRCDLSNEEDILSMFSAVRSQHSGVDICINNAGMARPDTLLSGSTSGWKDMFNV NVLALSICTREAYQSMKERNIDDGHIININSMCGHRVPPQSVIHFYSATKYAVTALTEGL RQELLEAQTHIRATCISPGLVETQFAFKLHDKDPGEAAATYEHIKCLRPEDVAEAVIYVL STPPHVQVGDIQMRPTEQVT >ENSMUSP00000122267.1 pep:known chromosome:GRCm38:11:84821992:84828994:-1 gene:ENSMUSG00000034449.7 transcript:ENSMUST00000151496.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhrs11 description:dehydrogenase/reductase (SDR family) member 11 [Source:MGI Symbol;Acc:MGI:2652816] MTRAGMERWRDRLALVTGASGGIGAAVARALVQQGLKVVGCARTVGNIEM >ENSMUSP00000107827.1 pep:known chromosome:GRCm38:14:30595369:30626210:-1 gene:ENSMUSG00000021948.15 transcript:ENSMUST00000112208.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcd description:protein kinase C, delta [Source:MGI Symbol;Acc:MGI:97598] MAPFLRISFNSYELGSLQVEDEASQPFCAVKMKEALSTERGKTLVQKKPTMYPEWKTTFD AHIYEGRVIQIVLMRAAEDPVSEVTVGVSVLAERCKKNNGKAEFWLDLQPQAKVLMCVQY FLEDGDCKQSMRSEEEAKFPTMNRRGAIKQAKIHYIKNHEFIATFFGQPTFCSVCKEFVW GLNKQGYKCRQCNAAIHKKCIDKIIGRCTGTATNSRDTIFQKERFNIDMPHRFKVYNYMS PTFCDHCGSLLWGLVKQGLKCEDCGMNVHHKCREKVANLCGINQKLLAEALNQVTQRSSR KLDTTESVGIYQGFEKKPEVSGSDILDNNGTYGKIWEGSTRCTLENFTFQKVLGKGSFGK VLLAELKGKDKYFAIKCLKKDVVLIDDDVECTMVEKRVLALAWESPFLTHLICTFQTKDH LFFVMEFLNGGDLMFHIQDKGRFELYRATFYAAEIICGLQFLHSKGIIYRDLKLDNVMLD RDGHIKIADFGMCKENIFGEGRASTFCGTPDYIAPEILQGLKYSFSVDWWSFGVLLYEML IGQSPFHGDDEDELFESIRVDTPHYPRWITKESKDIMEKLFERDPDKRLGVTGNIRIHPF FKTINWSLLEKRKVEPPFKPKVKSPSDYSNFDPEFLNEKPQLSFSDKNLIDSMDQEAFHG FSFVNPKFEQFLDI >ENSMUSP00000022521.6 pep:known chromosome:GRCm38:14:30595358:30610302:-1 gene:ENSMUSG00000021948.15 transcript:ENSMUST00000022521.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcd description:protein kinase C, delta [Source:MGI Symbol;Acc:MGI:97598] MAPFLRISFNSYELGSLQVEDEASQPFCAVKMKEALSTERGKTLVQKKPTMYPEWKTTFD AHIYEGRVIQIVLMRAAEDPVSEVTVGVSVLAERCKKNNGKAEFWLDLQPQAKVLMCVQY FLEDGDCKQSMRSEEEAKFPTMNRRGAIKQAKIHYIKNHEFIATFFGQPTFCSVCKEFVW GLNKQGYKCRQCNAAIHKKCIDKIIGRCTGTATNSRDTIFQKERFNIDMPHRFKVYNYMS PTFCDHCGSLLWGLVKQGLKCEDCGMNVHHKCREKVANLCGINQKLLAEALNQVTQRSSR KLDTTESVGIYQGFEKKPEVSGSDILGEAGSHISLKLSFPSRAKEKDSSETCDNNGTYGK IWEGSTRCTLENFTFQKVLGKGSFGKVLLAELKGKDKYFAIKCLKKDVVLIDDDVECTMV EKRVLALAWESPFLTHLICTFQTKDHLFFVMEFLNGGDLMFHIQDKGRFELYRATFYAAE IICGLQFLHSKGIIYRDLKLDNVMLDRDGHIKIADFGMCKENIFGEGRASTFCGTPDYIA PEILQGLKYSFSVDWWSFGVLLYEMLIGQSPFHGDDEDELFESIRVDTPHYPRWITKESK DIMEKLFERDPDKRLGVTGNIRIHPFFKTINWSLLEKRKVEPPFKPKVKSPSDYSNFDPE FLNEKPQLSFSDKNLIDSMDQEAFHGFSFVNPKFEQFLDI >ENSMUSP00000107825.1 pep:known chromosome:GRCm38:14:30595594:30607822:-1 gene:ENSMUSG00000021948.15 transcript:ENSMUST00000112206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcd description:protein kinase C, delta [Source:MGI Symbol;Acc:MGI:97598] MCVQYFLEDGDCKQSMRSEEEAKFPTMNRRGAIKQAKIHYIKNHEFIATFFGQPTFCSVC KEFVWGLNKQGYKCRQCNAAIHKKCIDKIIGRCTGTATNSRDTIFQKERFNIDMPHRFKV YNYMSPTFCDHCGSLLWGLVKQGLKCEDCGMNVHHKCREKVANLCGINQKLLAEALNQVT QRSSRKLDTTESVGIYQGFEKKPEVSGSDILGEAGSHISLKLSFPSRAKEKDSSETCDNN GTYGKIWEGSTRCTLENFTFQKVLGKGSFGKVLLAELKGKDKYFAIKCLKKDVVLIDDDV ECTMVEKRVLALAWESPFLTHLICTFQTKDHLFFVMEFLNGGDLMFHIQDKGRFELYRAT FYAAEIICGLQFLHSKGIIYRDLKLDNVMLDRDGHIKIADFGMCKENIFGEGRASTFCGT PDYIAPEILQGLKYSFSVDWWSFGVLLYEMLIGQSPFHGDDEDELFESIRVDTPHYPRWI TKESKDIMEKLFERDPDKRLGVTGNIRIHPFFKTINWSLLEKRKVEPPFKPKVKSPSDYS NFDPEFLNEKPQLSFSDKNLIDSMDQEAFHGFSFVNPKFEQFLDI >ENSMUSP00000107826.1 pep:known chromosome:GRCm38:14:30595592:30607822:-1 gene:ENSMUSG00000021948.15 transcript:ENSMUST00000112207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcd description:protein kinase C, delta [Source:MGI Symbol;Acc:MGI:97598] MCVQYFLEDGDCKQSMRSEEEAKFPTMNRRGAIKQAKIHYIKNHEFIATFFGQPTFCSVC KEFVWGLNKQGYKCRQCNAAIHKKCIDKIIGRCTGTATNSRDTIFQKERFNIDMPHRFKV YNYMSPTFCDHCGSLLWGLVKQGLKCEDCGMNVHHKCREKVANLCGINQKLLAEALNQVT QRSSRKLDTTESVGIYQGFEKKPEVSGSDILDNNGTYGKIWEGSTRCTLENFTFQKVLGK GSFGKVLLAELKGKDKYFAIKCLKKDVVLIDDDVECTMVEKRVLALAWESPFLTHLICTF QTKDHLFFVMEFLNGGDLMFHIQDKGRFELYRATFYAAEIICGLQFLHSKGIIYRDLKLD NVMLDRDGHIKIADFGMCKENIFGEGRASTFCGTPDYIAPEILQGLKYSFSVDWWSFGVL LYEMLIGQSPFHGDDEDELFESIRVDTPHYPRWITKESKDIMEKLFERDPDKRLGVTGNI RIHPFFKTINWSLLEKRKVEPPFKPKVKSPSDYSNFDPEFLNEKPQLSFSDKNLIDSMDQ EAFHGFSFVNPKFEQFLDI >ENSMUSP00000107821.1 pep:known chromosome:GRCm38:14:30598407:30607822:-1 gene:ENSMUSG00000021948.15 transcript:ENSMUST00000112202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcd description:protein kinase C, delta [Source:MGI Symbol;Acc:MGI:97598] MCVQYFLEDGDCKQSMRSEEEAKFPTMNRRGAIKQAKIHYIKNHEFIATFFGQPTFCSVC KEFVWGLNKQGYKCRQCNAAIHKKCIDKIIGRCTGTATNSRDTIFQKERFNIDMPHRFKV YNYMSPTFCDHCGSLLWGLVKQGLKCEDCGMNVHHKCREKVANLCGINQKLLAEALNQVT QRSSRKLDTTESVGIYQGFEKKPEVSGSDILGEAGSHISLKLSFPSRAKEKDSSETCDNN GTYGKIWEGSTRCTLENFTFQKVLGKGSFGKVLLAELKGKDKYFAIKCLKKDVVLIDDDV ECTMVEKRVLALAWESPFLTHLICTFQTKDHLFFVMEFLNGGDLMFHIQDKGRFELYRAT FYAAEIICGLQFLHSKGIIYRDLKLDNVMLDRDGHIKIADFGMCKENIFGEGRASTFCGT PDYIAPEILQGLKYSFSVDWWSFGVLLYEMLIGQSPFHGDDEDELFESIRVDTPHYPRWI TKESKDIMEKLFERDPDKRLGVTGNIRIHPFFKTINWSLLEKRKVEPPFKPKVQACFLTP PGMLCMYHSLYSCILAISALHSFRRQLELQI >ENSMUSP00000107822.1 pep:known chromosome:GRCm38:14:30598407:30607822:-1 gene:ENSMUSG00000021948.15 transcript:ENSMUST00000112203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcd description:protein kinase C, delta [Source:MGI Symbol;Acc:MGI:97598] MCVQYFLEDGDCKQSMRSEEEAKFPTMNRRGAIKQAKIHYIKNHEFIATFFGQPTFCSVC KEFVWGLNKQGYKCRQCNAAIHKKCIDKIIGRCTGTATNSRDTIFQKERFNIDMPHRFKV YNYMSPTFCDHCGSLLWGLVKQGLKCEDCGMNVHHKCREKVANLCGINQKLLAEALNQVT QRSSRKLDTTESVGIYQGFEKKPEVSGSDILDNNGTYGKIWEGSTRCTLENFTFQKVLGK GSFGKVLLAELKGKDKYFAIKCLKKDVVLIDDDVECTMVEKRVLALAWESPFLTHLICTF QTKDHLFFVMEFLNGGDLMFHIQDKGRFELYRATFYAAEIICGLQFLHSKGIIYRDLKLD NVMLDRDGHIKIADFGMCKENIFGEGRASTFCGTPDYIAPEILQGLKYSFSVDWWSFGVL LYEMLIGQSPFHGDDEDELFESIRVDTPHYPRWITKESKDIMEKLFERDPDKRLGVTGNI RIHPFFKTINWSLLEKRKVEPPFKPKVQACFLTPPGMLCMYHSLYSCILAISALHSFRRQ LELQI >ENSMUSP00000107830.2 pep:known chromosome:GRCm38:14:30595356:30626208:-1 gene:ENSMUSG00000021948.15 transcript:ENSMUST00000112211.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcd description:protein kinase C, delta [Source:MGI Symbol;Acc:MGI:97598] MAPFLRISFNSYELGSLQVEDEASQPFCAVKMKEALSTERGKTLVQKKPTMYPEWKTTFD AHIYEGRVIQIVLMRAAEDPVSEVTVGVSVLAERCKKNNGKAEFWLDLQPQAKVLMCVQY FLEDGDCKQSMRSEEEAKFPTMNRRGAIKQAKIHYIKNHEFIATFFGQPTFCSVCKEFVW GLNKQGYKCRQCNAAIHKKCIDKIIGRCTGTATNSRDTIFQKERFNIDMPHRFKVYNYMS PTFCDHCGSLLWGLVKQGLKCEDCGMNVHHKCREKVANLCGINQKLLAEALNQVTQRSSR KLDTTESVGIYQGFEKKPEVSGSDILGEAGSHISLKLSFPSRAKEKDSSETCDNNGTYGK IWEGSTRCTLENFTFQKVLGKGSFGKVLLAELKGKDKYFAIKCLKKDVVLIDDDVECTMV EKRVLALAWESPFLTHLICTFQTKDHLFFVMEFLNGGDLMFHIQDKGRFELYRATFYAAE IICGLQFLHSKGIIYRDLKLDNVMLDRDGHIKIADFGMCKENIFGEGRASTFCGTPDYIA PEILQGLKYSFSVDWWSFGVLLYEMLIGQSPFHGDDEDELFESIRVDTPHYPRWITKESK DIMEKLFERDPDKRLGVTGNIRIHPFFKTINWSLLEKRKVEPPFKPKVKSPSDYSNFDPE FLNEKPQLSFSDKNLIDSMDQEAFHGFSFVNPKFEQFLDI >ENSMUSP00000107829.3 pep:known chromosome:GRCm38:14:30595356:30626208:-1 gene:ENSMUSG00000021948.15 transcript:ENSMUST00000112210.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcd description:protein kinase C, delta [Source:MGI Symbol;Acc:MGI:97598] MAPFLRISFNSYELGSLQVEDEASQPFCAVKMKEALSTERGKTLVQKKPTMYPEWKTTFD AHIYEGRVIQIVLMRAAEDPVSEVTVGVSVLAERCKKNNGKAEFWLDLQPQAKVLMCVQY FLEDGDCKQSMRSEEEAKFPTMNRRGAIKQAKIHYIKNHEFIATFFGQPTFCSVCKEFVW GLNKQGYKCRQCNAAIHKKCIDKIIGRCTGTATNSRDTIFQKERFNIDMPHRFKVYNYMS PTFCDHCGSLLWGLVKQGLKCEDCGMNVHHKCREKVANLCGINQKLLAEALNQVTQRSSR KLDTTESVGIYQGFEKKPEVSGSDILDNNGTYGKIWEGSTRCTLENFTFQKVLGKGSFGK VLLAELKGKDKYFAIKCLKKDVVLIDDDVECTMVEKRVLALAWESPFLTHLICTFQTKDH LFFVMEFLNGGDLMFHIQDKGRFELYRATFYAAEIICGLQFLHSKGIIYRDLKLDNVMLD RDGHIKIADFGMCKENIFGEGRASTFCGTPDYIAPEILQGLKYSFSVDWWSFGVLLYEML IGQSPFHGDDEDELFESIRVDTPHYPRWITKESKDIMEKLFERDPDKRLGVTGNIRIHPF FKTINWSLLEKRKVEPPFKPKVKSPSDYSNFDPEFLNEKPQLSFSDKNLIDSMDQEAFHG FSFVNPKFEQFLDI >ENSMUSP00000123868.1 pep:known chromosome:GRCm38:3:94264036:94266784:1 gene:ENSMUSG00000089696.3 transcript:ENSMUST00000159517.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4778 description:predicted gene 4778 [Source:MGI Symbol;Acc:MGI:3643869] MSGDMEATGWGYTHISVDSFCHKWTISNFSFCMDGIMETITSPKFSLKASDKVEWGLKVH PNGIDEESKNYLSVYLALLHCQKSPVWAKYEFWIINSQGEKYQSRKSTKVVSFHKNKYRG FKKFILRDFLFSNPHRLLPEDQLTLCCQVSIMGAFFKTPGQSITPAVPDPRHMMADDLGE LWENSLCTDCCLLVAGKEFRAHKAILAARSPVFRAMFEHQMEERLTNRVDINGLDPKVFK EMMGFIYTGKAPHLHIHSMACDLLAAADRYGLEGLKVMCEDALCRNLSVENAAHTLILAD LHNIEQLKTQALDFIALHASEVSENSEWKSMMESHPHLVAETFHSLASAQCFLEPSIKHL K >ENSMUSP00000096477.3 pep:known chromosome:GRCm38:3:94265687:94266784:1 gene:ENSMUSG00000089696.3 transcript:ENSMUST00000098878.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4778 description:predicted gene 4778 [Source:MGI Symbol;Acc:MGI:3643869] PPAEMSGDMEATGWGYTHISVDSFCHKWTISNFSFCMDGIMETITSPKFSLKASDKVEWG LKVHPNGIDEESKNYLSVYLALLHCQKSPVWAKYEFWIINSQGEKYQSRKSTKVVSFHKN KYRGFKKFILRDFLFSNPHRLLPEDQLTLCCQVSIMGAFFKTPGQSITPAVPDPRHMMAD DLGELWENSLCTDCCLLVAGKEFRAHKAILAARSPVFRAMFEHQMEERLTNRVDINGLDP KVFKEMMGFIYTGKAPHLHIHSMACDLLAAADRYGLEGLKVMCEDALCRNLSVENAAHTL ILADLHNIEQLKTQALDFIALHASEVSENSEWKSMMESHPHLVAETFHSLASAQCFLEPS IKHLK >ENSMUSP00000004145.7 pep:known chromosome:GRCm38:11:100859351:100885169:1 gene:ENSMUSG00000004043.14 transcript:ENSMUST00000004145.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat5a description:signal transducer and activator of transcription 5A [Source:MGI Symbol;Acc:MGI:103036] MAGWIQAQQLQGDALRQMQVLYGQHFPIEVRHYLAQWIESQPWDAIDLDNPQDRGQATQL LEGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQNTYDRCPMELVRCIRHILYNEQRLV REANNCSSPAGVLVDAMSQKHLQINQRFEELRLITQDTENELKKLQQTQEYFIIQYQESL RIQAQFAQLGQLNPQERMSRETALQQKQVSLETWLQREAQTLQQYRVELAEKHQKTLQLL RKQQTIILDDELIQWKRRQQLAGNGGPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHLC QQLPIPGPVEEMLAEVNATITDIISALVTSTFIIEKQPPQVLKTQTKFAATVRLLVGGKL NVHMNPPQVKATIISEQQAKSLLKNENTRNECSGEILNNCCVMEYHQATGTLSAHFRNMS LKRIKRADRRGAESVTEEKFTVLFESQFSVGSNELVFQVKTLSLPVVVIVHGSQDHNATA TVLWDNAFAEPGRVPFAVPDKVLWPQLCEALNMKFKAEVQSNRGLTKENLVFLAQKLFNI SSNHLEDYNSMSVSWSQFNRENLPGWNYTFWQWFDGVMEVLKKHHKPHWNDGAILGFVNK QQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSPDRNLWNLKPFTTRDFSIRSLADRL GDLNYLIYVFPDRPKDEVFAKYYTPVLAKAVDGYVKPQIKQVVPEFVNASTDAGASATYM DQAPSPVVCPQPHYNMYPPNPDPVLDQDGEFDLDESMDVARHVEELLRRPMDSLDARLSP PAGLFTSARSSLS >ENSMUSP00000117204.1 pep:known chromosome:GRCm38:11:100859410:100861747:1 gene:ENSMUSG00000004043.14 transcript:ENSMUST00000133036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat5a description:signal transducer and activator of transcription 5A [Source:MGI Symbol;Acc:MGI:103036] MAGWIQAQQLQGDALRQMQVLYGQHFPIEVRHYLAQWIESQPWDAIDLDNP >ENSMUSP00000102979.1 pep:known chromosome:GRCm38:11:100859656:100885169:1 gene:ENSMUSG00000004043.14 transcript:ENSMUST00000107356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat5a description:signal transducer and activator of transcription 5A [Source:MGI Symbol;Acc:MGI:103036] MAGWIQAQQLQGDALRQMQVLYGQHFPIEVRHYLAQWIESQPWDAIDLDNPQDRGQATQL LEGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQNTYDRCPMELVRCIRHILYNEQRLV REANNCSSPAGVLVDAMSQKHLQINQRFEELRLITQDTENELKKLQQTQEYFIIQYQESL RIQAQFAQLGQLNPQERMSRETALQQKQVSLETWLQREAQTLQQYRVELAEKHQKTLQLL RKQQTIILDDELIQWKRRQQLAGNGGPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHLC QQLPIPGPVEEMLAEVNATITDIISALVTSTFIIEKQPPQVLKTQTKFAATVRLLVGGKL NVHMNPPQVKATIISEQQAKSLLKNENTRNECSGEILNNCCVMEYHQATGTLSAHFRNMS LKRIKRADRRGAESVTEEKFTVLFESQFSVGSNELVFQVKTLSLPVVVIVHGSQDHNATA TVLWDNAFAEPGRVPFAVPDKVLWPQLCEALNMKFKAEVQSNRGLTKENLVFLAQKLFNI SSNHLEDYNSMSVSWSQFNRENLPGWNYTFWQWFDGVMEVLKKHHKPHWNDGAILGFVNK QQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSPDRNLWNLKPFTTRDFSIRSLADRL GDLNYLIYVFPDRPKDEVFAKYYTPVLAKAVDGYVKPQIKQVVPEFVNASTDAGASATYM DQAPSPVVCPQPHYNMYPPNPDPVLDQDGEFDLDESMDVARHVEELLRRPMDSLDARLSP PAGLFTSARSSLS >ENSMUSP00000120039.1 pep:known chromosome:GRCm38:11:100860345:100863220:1 gene:ENSMUSG00000004043.14 transcript:ENSMUST00000138083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat5a description:signal transducer and activator of transcription 5A [Source:MGI Symbol;Acc:MGI:103036] MAGWIQAQQLQGDALRQMQVLYGQHFPIEVRHYLAQWIESQPWDAIDLDNPQDRGQATQL LEGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQNTYDRCPMELVRCIRHILYNEQRLV REANN >ENSMUSP00000102980.2 pep:known chromosome:GRCm38:11:100860484:100885168:1 gene:ENSMUSG00000004043.14 transcript:ENSMUST00000107357.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stat5a description:signal transducer and activator of transcription 5A [Source:MGI Symbol;Acc:MGI:103036] MAGWIQAQQLQGDALRQMQVLYGQHFPIEVRHYLAQWIESQPWDAIDLDNPQDRGQATQL LEGLVQELQKKAEHQVGEDGFLLKIKLGHYATQLQNTYDRCPMELVRCIRHILYNEQRLV REANNCSSPAGVLVDAMSQKHLQINQRFEELRLITQDTENELKKLQQTQEYFIIQYQESL RIQAQFAQLGQLNPQERMSRETALQQKQVSLETWLQREAQTLQQYRVELAEKHQKTLQLL RKQQTIILDDELIQWKRRQQLAGNGGPPEGSLDVLQSWCEKLAEIIWQNRQQIRRAEHLC QQLPIPGPVEEMLAEVNATITDIISALVTSTFIIEKQPPQVLKTQTKFAATVRLLVGGKL NVHMNPPQVKATIISEQQAKSLLKNENTRNECSGEILNNCCVMEYHQATGTLSAHFRNMS LKRIKRADRRGAESVTEEKFTVLFESQFSVGSNELVFQVKTLSLPVVVIVHGSQDHNATA TVLWDNAFAEPGRVPFAVPDKVLWPQLCEALNMKFKAEVQSNRGLTKENLVFLAQKLFNI SSNHLEDYNSMSVSWSQFNRENLPGWNYTFWQWFDGVMEVLKKHHKPHWNDGAILGFVNK QQAHDLLINKPDGTFLLRFSDSEIGGITIAWKFDSPDRNLWNLKPFTTRDFSIRSLADRL GDLNYLIYVFPDRPKDEVFAKYYTPVLGSSMHPQMPEPAPPTWTRLLPQSCALNLTTTCT HPTLTLSLTKMASLTWMRAWMLPGTWKNFYAGPWTVSTPASPHLLVSSPPLEAPCPERWT PCFSWKTTFSVRSPRQL >ENSMUSP00000106851.1 pep:known chromosome:GRCm38:1:173333258:173367695:-1 gene:ENSMUSG00000005338.13 transcript:ENSMUST00000111220.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm3 description:cell adhesion molecule 3 [Source:MGI Symbol;Acc:MGI:2137858] MGAPSALPLLLLLACSWAPGGANLSQDDSQPWTSDETVVAGGTVVLKCQVKDHEDSSLQW SNPAQQTLYFGEKRALRDNRIQLVSSTPHELSISISNVALADEGEYTCSIFTMPVRTAKS LVTVLGIPQKPIITGYKSSLREKETATLNCQSSGSKPAAQLTWRKGDQELHGDQTRIQED PNGKTFTVSSSVSFQVTREDDGANIVCSVNHESLKGADRSTSQRIEVLYTPTAMIRPEPA HPREGQKLLLHCEGRGNPVPQQYVWVKEGSEPPLKMTQESALIFPFLNKSDSGTYGCTAT SNMGSYTAYFTLNVNDPSPVPSSSSTYHAIIGGIVAFIVFLLLILLIFLGHYLIRHKGTY LTHEAKGSDDAPDADTAIINAEGGQSGGDDKKEYFI >ENSMUSP00000005470.4 pep:known chromosome:GRCm38:1:173335987:173367685:-1 gene:ENSMUSG00000005338.13 transcript:ENSMUST00000005470.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm3 description:cell adhesion molecule 3 [Source:MGI Symbol;Acc:MGI:2137858] MGAPSALPLLLLLACSWAPGGANLSQDGYWQEQDLELGTPAPLEEALSSTVWSNPDLLAS QDSQPWTSDETVVAGGTVVLKCQVKDHEDSSLQWSNPAQQTLYFGEKRALRDNRIQLVSS TPHELSISISNVALADEGEYTCSIFTMPVRTAKSLVTVLGIPQKPIITGYKSSLREKETA TLNCQSSGSKPAAQLTWRKGDQELHGDQTRIQEDPNGKTFTVSSSVSFQVTREDDGANIV CSVNHESLKGADRSTSQRIEVLYTPTAMIRPEPAHPREGQKLLLHCEGRGNPVPQQYVWV KEGSEPPLKMTQESALIFPFLNKSDSGTYGCTATSNMGSYTAYFTLNVNDPSPVPSSSST YHAIIGGIVAFIVFLLLILLIFLGHYLIRHKGTYLTHEAKGSDDAPDADTAIINAEGGQS GGDDKKEYFI >ENSMUSP00000100025.4 pep:known chromosome:GRCm38:2:14074098:14149634:1 gene:ENSMUSG00000026718.17 transcript:ENSMUST00000102960.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stam description:signal transducing adaptor molecule (SH3 domain and ITAM motif) 1 [Source:MGI Symbol;Acc:MGI:1329014] MPLFATNPFDQDVEKATSELNTAEDWGLILDICDKVGQSRTGPKDCLRSIMRRVNHKDPH VAMQALTLLGACVSNCGKIFHLEVCSRDFASEVSNVLNKGHPKVCEKLKALMVEWTDEFK NDPQLSLISAMIKNLKEQGVTFPAIGSQAAEQAKASPALVAKDPGTVATKKEEEDLAKAI ELSLKEQRQQSAPVSTLYPSTSNLLTNHQHEGRKVRAVYDFEAAEDNELTFKAGEIITVL DDSDPNWWKGETHQGVGLFPSNFVTADLTAEPEMIKTEKKTVQFNDDVQIETIEPEPEPA FIDEDKMDQLLQMLQSTDPSDNQPDLPELLHLEAMCHQMGPLIDEKLEDIDRKHSELSEL NVKVMEALSLYTKLMNEDPMYSMYAKLQSQQYYLQSSAVSASQVYPGPAQSGTYLVAGSA QMTHLQSYSLPPEQLSSISQGAVPSSANQALPSQQTQASYPNAMVSSVQGNSYPSQASIY SPPAAAAAAAAAAVVPVPVPADVTIYQNAGPTMSQVPNYTLTSSTLPQTGGSQQPPQPQQ AYSQKALL >ENSMUSP00000028050.7 pep:known chromosome:GRCm38:2:14074189:14144365:1 gene:ENSMUSG00000026718.17 transcript:ENSMUST00000028050.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stam description:signal transducing adaptor molecule (SH3 domain and ITAM motif) 1 [Source:MGI Symbol;Acc:MGI:1329014] MPLFATNPFDQDVEKATSELNTAEDWGLILDICDKVGQSRTGPKDCLRSIMRRVNHKDPH VAMQALTLLGACVSNCGKIFHLEVCSRDFASEVSNVLNKGHPKVCEKLKALMVEWTDEFK NDPQLSLISAMIKNLKEQGVTFPAIGSQAAEQAKASPALVAKDPGTVATKKEEEDLAKAI ELSLKEQRQQSAPVSTLYPSTSNLLTNHQHEGRKVRAVYDFEAAEDNELTFKAGEIITVL DDSDPNWWKGETHQGVGLFPSNFVTADLTAEPEMIKTEKKTVQFNDDVQIETIEPEPEPA FIDEDKMDQLLQMLQSTDPSDNQPDLPELLHLEAMCHQMGPLIDEKLEDIDRKHSELSEL NVKVMEALSLYTKLMNEDPMYSMYAKLQSQQYYLQSSAVSASQVYPGPAQSGTYLVAGSA QMTHLQSYSLPPEQLSSISQGAVPSSANQALPSQQTQASYPK >ENSMUSP00000141207.1 pep:known chromosome:GRCm38:2:14074222:14147540:1 gene:ENSMUSG00000026718.17 transcript:ENSMUST00000193636.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stam description:signal transducing adaptor molecule (SH3 domain and ITAM motif) 1 [Source:MGI Symbol;Acc:MGI:1329014] MPLFATNPFDQDVEKATSELNTAEDWGLILDICDKVGQSRTGVILKYVKN >ENSMUSP00000121228.1 pep:known chromosome:GRCm38:2:14074427:14129073:1 gene:ENSMUSG00000026718.17 transcript:ENSMUST00000138989.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stam description:signal transducing adaptor molecule (SH3 domain and ITAM motif) 1 [Source:MGI Symbol;Acc:MGI:1329014] MGLRTSLMGLEKATSELNTAEDWGLILDICDKVGQSRTGPKDCLRSIMRRVNHKDPHVAM QALTLLGACVSNCGKIFHLEVCSRDFASEVSNVLNKGHPKVCEKLKALMVEWTDEFKNDP QLSLISAMIKNLKEQGVTFPAIGSQAAEQAKASPALVAKDPGTVATKKEEEDLAKAIELS LKEQRQQSAPVSTLYPSTSNLLTNHQH >ENSMUSP00000116189.1 pep:known chromosome:GRCm38:X:145446425:145487046:-1 gene:ENSMUSG00000041688.16 transcript:ENSMUST00000125271.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amot description:angiomotin [Source:MGI Symbol;Acc:MGI:108440] XPLSPPQPNDLYKNATSSSEFYKAQGPPPSQHSLKGMEHRGPPPEYPFKGVPSQSVVCKS QEPGHFYSEHRLNQPGRTEGQLMRYQHPPEYGAARATQDISSLSLSARNSQPHSPTSSLT AGASSLPLLQSPPSTRLPPGQHLVSNQGDHSAHLSRHQQHLLSSQSHQGDHYRHAQASLT SAQQQPGEAYSAMPRAQQSASYQPMPADPFAMVSRAQQMVEILSDENRNLRQELDGCYEK VARLQKVETEIQRVSEAYENLVKSSSKREALEKAMRNKLEGEIRRMHDFNRDLRDRLETA NKQLAEKEYEGSEDTRKTISQLFAKHKENQREKEKLEAELATARSTNEDQRRHIEIRDQA LSNAQAKVVKLEEELKKKQVYVDKVEKMQQALVQLQAACEKREQLEHRLRTRLERELESL RIQQRQGNSQPTNASEYNAAALMELLREKEERILALEADMTKWEQKYLEENVMRHFALDA AATVAAQRDTTVISHSPNTSYDTALEARIQKEEEEILMANKRCLDMEGRIKTLHAQIIEK DAMIKVLQQRSRKEPSKTEQLSSMRPAKSLMSISNAGSGLLAHSSTLTGAPIMEEKRDDK SWKGSLGVLLGGDYRVEPVPSTPSPVPPSTPLLSAHSKTGSRDCSTQTERGPESTKTAAV TPISAPMAGPVAAAAPAAAINATAATNTATAATNTTIMVAAAPVAVAAVAAPAAAAATPS PANAAALAAAAAPATSVSAATSVSAANSISPAAPVAPAAVVPPAAPVSPAAAVQIPAAAS LTPATVSPTAATATAAVAAATTAAITAAAAAATTAIQVAPATSAPVPSPASIPAPATAQA SAPTPTQASTPAPTEPPSPVPTPTPALVQTEGPANPGASSGPRRLSTPNLMCNPDKPDAP AFHSSTLERKTPIQILGQEPDAEMVEYLI >ENSMUSP00000117777.1 pep:known chromosome:GRCm38:X:145451868:145487094:-1 gene:ENSMUSG00000041688.16 transcript:ENSMUST00000138187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amot description:angiomotin [Source:MGI Symbol;Acc:MGI:108440] XSLATSGVKAHPPVTSAPLSPPQPNDLYKNATSSSEFYKAQGPPPSQHSLKGMEHRGPPP EYPFKGVPSQSVVCKSQEPGHFYSEHRLNQPGRTEGQLMRYQHPPEYGAARATQDISSLS LSARNSQPHSPTSSLTAGASSLPLLQSPPSTRLPPGQHLVSNQGDHSAHLSRHQQHLLSS QSHQGDHYRHAQASLTSAQQQPGEAYSAMPRAQQSASYQPMPADPFAMVSRAQQMVEILS DENRNLRQELDGCYEKVARLQKVETEIQRVSEAYENLVKSSSKREALEKAMRNKLEGEIR RMHDFNRDLRDRLETANKQLAEKEYEGSEDTRKTISQLFAKHKENQREKEKLEAELATAR STNEDQRRHIEIRDQALSNAQAKVVKLEEELKKKQVYVDKVEKMQQALVQLQAACEKREQ LEHRLRTRLERELESLRIQQRQGNSQPTNASEYNAAALMELLREKEERILALEADMTKWE QKYLEENVMRHFALDAAATVAAQRDTTVISHSPNTSYDTALEARIQKEEEEILMANKRCL DMEGRIKTLHAQIIEKDAMIKVLQQRSRKEPSKTEQLSSMRPAKSLMSISNAGSGLLAHS STLTGAPIMEEKRDDKSWKGSLGKEKESNRSKGTVTDLESVLTLLHTARKRDNGPGSREE NLESPLSMELDL >ENSMUSP00000108454.1 pep:known chromosome:GRCm38:X:145446425:145505144:-1 gene:ENSMUSG00000041688.16 transcript:ENSMUST00000112835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amot description:angiomotin [Source:MGI Symbol;Acc:MGI:108440] MPRAQQSASYQPMPADPFAMVSRAQQMVEILSDENRNLRQELDGCYEKVARLQKVETEIQ RVSEAYENLVKSSSKREALEKAMRNKLEGEIRRMHDFNRDLRDRLETANKQLAEKEYEGS EDTRKTISQLFAKHKENQREKEKLEAELATARSTNEDQRRHIEIRDQALSNAQAKVVKLE EELKKKQVYVDKVEKMQQALVQLQAACEKREQLEHRLRTRLERELESLRIQQRQGNSQPT NASEYNAAALMELLREKEERILALEADMTKWEQKYLEENVMRHFALDAAATVAAQRDTTV ISHSPNTSYDTALEARIQKEEEEILMANKRCLDMEGRIKTLHAQIIEKDAMIKVLQQRSR KEPSKTEQLSSMRPAKSLMSISNAGSGLLAHSSTLTGAPIMEEKRDDKSWKGSLGVLLGG DYRVEPVPSTPSPVPPSTPLLSAHSKTGSRDCSTQTERGPESTKTAAVTPISAPMAGPVA AAAPAAAINATAATNTATAATNTTIMVAAAPVAVAAVAAPAAAAATPSPANAAALAAAAA PATSVSAATSVSAANSISPAAPVAPAAVVPPAAPVSPAAAVQIPAAASLTPATVSPTAAT ATAAVAAATTAAITAAAAAATTAIQVAPATSAPVPSPASIPAPATAQASAPTPTQASTPA PTEPPSPVPTPTPALVQTEGPANPGASSGPRRLSTPNLMCNPDKPDAPAFHSSTLERKTP IQILGQEPDAEMVEYLI >ENSMUSP00000120226.1 pep:known chromosome:GRCm38:X:145475720:145505181:-1 gene:ENSMUSG00000041688.16 transcript:ENSMUST00000143610.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amot description:angiomotin [Source:MGI Symbol;Acc:MGI:108440] MRSSDDQPSGGTTVLQRLLQEQLRYGNPSENRSLLAIHQQATGNSSPFSTGSGNQGPQND VLSSQDHHQQQLVAHPARQEPQGQEIQSENGVMEKQLSPRMQNNEELPTYEEAKVQSQYF RGQQHASVGAAFYVTGVTNQKMRTEGRPSVQRLTPGKMHQDEGLRDLKQGHVRSLSERLM QMSLATSGVKAHPPVTSAPLSPPQPNDLYKNATSSSEFYKAQGPPPSQHSLKGMEHRGPP PEYPFKGVPSQSVVCKSQEPGHFYSEHRLNQPGRTEGQLMRYQHPPEYGAARATQDISSL SLSARNSQPHSPTSSLTAGASSLPLLQSPPSTRLPPGQHLVSNQGDHSAHLSRHQQHLLS SQSHQGDHYRHAQASLTSAQQQPGEAYSAMPRAQQSASYQPMPADPFAMVSRAQQMVEIL SDENRNLRQELDGCYEKVARLQKVETEIQRVSEAYENLVKSSSKREALEKAMRNKLEGEI RRMHDFNRDLRDRLETANKQLAEKEYEGSEDTRKTISQLFAK >ENSMUSP00000108455.2 pep:known chromosome:GRCm38:X:145446425:145487639:-1 gene:ENSMUSG00000041688.16 transcript:ENSMUST00000112836.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amot description:angiomotin [Source:MGI Symbol;Acc:MGI:108440] MRSSDDQPSGGTTVLQRLLQEQLRYGNPSENRSLLAIHQQATGNSSPFSTGSGNQGPQND VLSSQDHHQQQLVAHPARQEPQGQEIQSENGVMEKQLSPRMQNNEELPTYEEAKVQSQYF RGQQHASVGAAFYVTGVTNQKMRTEGRPSVQRLTPGKMHQDEGLRDLKQGHVRSLSERLM QMSLATSGVKAHPPVTSAPLSPPQPNDLYKNATSSSEFYKAQGPPPSQHSLKGMEHRGPP PEYPFKGVPSQSVVCKSQEPGHFYSEHRLNQPGRTEGQLMRYQHPPEYGAARATQDISSL SLSARNSQPHSPTSSLTAGASSLPLLQSPPSTRLPPGQHLVSNQGDHSAHLSRHQQHLLS SQSHQGDHYRHAQASLTSAQQQPGEAYSAMPRAQQSASYQPMPADPFAMVSRAQQMVEIL SDENRNLRQELDGCYEKVARLQKVETEIQRVSEAYENLVKSSSKREALEKAMRNKLEGEI RRMHDFNRDLRDRLETANKQLAEKEYEGSEDTRKTISQLFAKHKENQREKEKLEAELATA RSTNEDQRRHIEIRDQALSNAQAKVVKLEEELKKKQVYVDKVEKMQQALVQLQAACEKRE QLEHRLRTRLERELESLRIQQRQGNSQPTNASEYNAAALMELLREKEERILALEADMTKW EQKYLEENVMRHFALDAAATVAAQRDTTVISHSPNTSYDTALEARIQKEEEEILMANKRC LDMEGRIKTLHAQIIEKDAMIKVLQQRSRKEPSKTEQLSSMRPAKSLMSISNAGSGLLAH SSTLTGAPIMEEKRDDKSWKGSLGVLLGGDYRVEPVPSTPSPVPPSTPLLSAHSKTGSRD CSTQTERGPESTKTAAVTPISAPMAGPVAAAAPAAAINATAATNTATAATNTTIMVAAAP VAVAAVAAPAAAAATPSPANAAALAAAAAPATSVSAATSVSAANSISPAAPVAPAAVVPP AAPVSPAAAVQIPAAASLTPATVSPTAATATAAVAAATTAAITAAAAAATTAIQVAPATS APVPSPASIPAPATAQASAPTPTQASTPAPTEPPSPVPTPTPALVQTEGPANPGASSGPR RLSTPNLMCNPDKPDAPAFHSSTLERKTPIQILGQEPDAEMVEYLI >ENSMUSP00000078587.6 pep:known chromosome:GRCm38:17:55864892:55878930:-1 gene:ENSMUSG00000057835.7 transcript:ENSMUST00000079642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp119a description:zinc finger protein 119a [Source:MGI Symbol;Acc:MGI:1345189] MDAVTYEDVHVNFSREEWVLLDPSQKSLYKDVMLETYWNLTCIGYKWKDHDNEEYCQNSG RHGRCINCPSAYGKKQCTNLFPRTIRRRYVVTPFVRRGGECDTSLQVLGFPASVGIHQNT DLGEKPSADKESGKPLVCPGSLCTCSVTHTIGECCECNQCGKALSSSCSLQRRDQTHMGK GSDKCEPSSKSLTHPRYLQIQKTAYNEEDLYVWNQCGKSSLQLHRRTHLEMKYSECNQGD KSFAFYNHHQVGRSNTTEKNYEYNQWGKAFSYPSYLQVHETIHTGKSPYECNQCGKTFAY KSHFHKHERIHTGEKPYECNRCGKAFSSNSNLQRHERIHTGEKPYECSQCGKAFAYRNSL HIHERNHTGEKPYKCYQCGKDFASNSNLQIHRRVHSGEKPYKCFECGKHFSCNSHLQMHE RIHTGEKPYKCNQCGKTFAYSSSFHMHERTHTGEKPYECNQCGKAFAYCCHLQRHERCHT GEKPYECNQCGKAFAYLSSLHKHERNHTGEKLFECNQCGKVFACLSTLQEHKRIHTDKIP KETNK >ENSMUSP00000118126.1 pep:known chromosome:GRCm38:9:102716672:102720721:1 gene:ENSMUSG00000032531.15 transcript:ENSMUST00000145913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl2 description:angiomotin-like 2 [Source:MGI Symbol;Acc:MGI:1929286] MRTLEDSSGTVLHRLIQEQLRYGNLTETRTLLAIQQQALRGGAGAGGTGSPQASLEIGAP EDSQVLQQATRQEPQGQEHQGGETHLAENRLYRLCPQPSKGEELPTYEEAKAHSQYYAAQ QAGSRPHVGDRDPRGGVSGGGRRQDEALRELRHGHVRSLSERLLQLSLERNGARVPSHMS SSHSFPQLARSQQ >ENSMUSP00000121113.1 pep:known chromosome:GRCm38:9:102716802:102733413:1 gene:ENSMUSG00000032531.15 transcript:ENSMUST00000153965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl2 description:angiomotin-like 2 [Source:MGI Symbol;Acc:MGI:1929286] MSGVREELDRMPSALRPGACWPLSRQVCASQRSMRTLEDSSGTVLHRLIQEQLRYGNLTE TRTLLAIQQQALRGGAGAGGTGSPQASLEIGAPEDSQVLQQATRQEPQGQEHQGGETHLA ENRLYRLCPQPSKGEELPTYEEAKAHSQYYAAQQAGSRPHVGDRDPRGGVSGGGRRQDEA LRELRHGHVRSLSERLLQLSLERNGARVPSHMSSSHSFPQLARSQQGPQPRGPPAEGPEP RGPPPQYPHAVMAQETAAVTDPRYRPRSSPHFQHAEVRILQAQVPPVFLQQQQYQYLPQP QEHSPPLHPAALGHGPPSSFGPPAVEGPPSAQATLGSAHLAQMETVLRENARLQRDNERL QRELESTSEKAGRIEKLENEIQRLSEAHESLMRTSSKREALEKTMRNKMDGEMRRLQDFN RDLRERLESANRHLASKTQEAQAGSQDMVAKLLAQSYEQQQEQEKLEREMALLRGAIEDQ RRHAELLEQALGNAQSRAARAEEELRKKQAYVEKVERLQQALGQLQAACEKREQLELRLR TRLEQELKALRAQQRQTGTLAGGGGSHGGSAELSALRLSEQLREKEEQILALEADMTKWE QKYLEERAMRQFAMDAAATAAAQRDTTLIRHSPQPSPSSSFNEGLLPGNHRHQEMESRLK VLHAQILEKDAVIKVLQQRSRKDPGKATQGTLRPAKSVPSIFAAAVGTQGWQGLVSSERQ TDARPAGDRVPAEEPPATAPLPAHTKHGSRDGSTQTDGPADNTSACLASEPDGLLGCNSS QRTPSLDSIAATRVQDLSDMVEILI >ENSMUSP00000119903.1 pep:known chromosome:GRCm38:9:102717289:102720733:1 gene:ENSMUSG00000032531.15 transcript:ENSMUST00000153911.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl2 description:angiomotin-like 2 [Source:MGI Symbol;Acc:MGI:1929286] MEGALRPGACWPLSRQVCASQRSMRTLEDSSGTVLHRLIQEQLRYGNLTETRTLLAIQQQ ALRGGAGAGGTGSPQASLEIGAPEDSQVLQQATRQEPQGQEHQGGETHLAENRLYRLCPQ PSKGEELPTYEEAKAHSQYYAAQQAGSRPHVGDRDPRGGVSGGGRRQDEALRELRHGHVR SLSERLLQLSLERNGARVPSHMSSSHSFPQLARSQQGPQP >ENSMUSP00000035121.7 pep:known chromosome:GRCm38:9:102717668:102733418:1 gene:ENSMUSG00000032531.15 transcript:ENSMUST00000035121.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl2 description:angiomotin-like 2 [Source:MGI Symbol;Acc:MGI:1929286] MRTLEDSSGTVLHRLIQEQLRYGNLTETRTLLAIQQQALRGGAGAGGTGSPQASLEIGAP EDSQVLQQATRQEPQGQEHQGGETHLAENRLYRLCPQPSKGEELPTYEEAKAHSQYYAAQ QAGSRPHVGDRDPRGGVSGGGRRQDEALRELRHGHVRSLSERLLQLSLERNGARVPSHMS SSHSFPQLARSQQGPQPRGPPAEGPEPRGPPPQYPHAVMAQETAAVTDPRYRPRSSPHFQ HAEVRILQAQVPPVFLQQQQYQYLPQPQEHSPPLHPAALGHGPPSSFGPPAVEGPPSAQA TLGSAHLAQMETVLRENARLQRDNERLQRELESTSEKAGRIEKLENEIQRLSEAHESLMR TSSKREALEKTMRNKMDGEMRRLQDFNRDLRERLESANRHLASKTQEAQAGSQDMVAKLL AQSYEQQQEQEKLEREMALLRGAIEDQRRHAELLEQALGNAQSRAARAEEELRKKQAYVE KVERLQQALGQLQAACEKREQLELRLRTRLEQELKALRAQQRQTGTLAGGGGSHGGSAEL SALRLSEQLREKEEQILALEADMTKWEQKYLEERAMRQFAMDAAATAAAQRDTTLIRHSP QPSPSSSFNEGLLPGNHRHQEMESRLKVLHAQILEKDAVIKVLQQRSRKDPGKATQGTLR PAKSVPSIFAAAVGTQGWQGLVSSERQTDARPAGDRVPAEEPPATAPLPAHTKHGSRDGS TQTDGPADNTSACLASEPDGLLGCNSSQRTPSLDSIAATRVQDLSDMVEILI >ENSMUSP00000120378.1 pep:known chromosome:GRCm38:9:102717668:102733418:1 gene:ENSMUSG00000032531.15 transcript:ENSMUST00000142011.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Amotl2 description:angiomotin-like 2 [Source:MGI Symbol;Acc:MGI:1929286] MRTLEDSSGTVLHRLIQEQLRYGNLTETRTLLAIQQQALRGGAGAGGTGSPQASLEIGAP EDSQVLQQATRQEPQGQEHQGGETHLAENRLYRLCPQPSKGEELPTYEEAKAHSQYYAAQ QAGSRPHVGDRDPRGGVSGGGRRQDEALRELRHGHVRSLSERLLQLSLERNGARVPSHMS SSHSFPQLARSQQGPQPRGPPAEGPEPRGPPPQYPHAVMAQETAAVTDPRYRPRSSPHFQ HAEVRILQAQVPPVFLQQQQYQYLPQPQEHSPPLHPAALGHGPPSSFGPPAVEGPPSAQA TLGSAHLAQMETVLRENARLQRDNERLQRELESTSEKAGRIEKLENEIQRLSEAHESLMR TSSKREALEKTMRNKMDGEMRRLQDFNRDLRERLESANRHLASKTQEAQAGSQDMVAKLL AQSYEQQQEQEKLEREMALLRGAIEDQRRHAELLEQALGNAQSRAARAEEELRKKQAYVE KVERLQQALGQLQAACEKREQLELRLRTRLEQELKALRAQQRQTGTLAGGGGSHGGSAEL SALRLSEQLREKEEQILALEADMTKWEQKYLEERAMRQFAMDAAATAAAQRDTTLIRHSP QPSPSSSFNEGLLPGNHRHQEMESRLKVLHAQILEKDAVIKVLQQRSRKDPGKATQGTLR PAKSVPSIFAAAVGTQGWQGLVSSERQTDARPAGEESCWAWWRTPLIPALGRQRQADF >ENSMUSP00000116554.1 pep:known chromosome:GRCm38:9:102718429:102720392:1 gene:ENSMUSG00000032531.15 transcript:ENSMUST00000156485.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl2 description:angiomotin-like 2 [Source:MGI Symbol;Acc:MGI:1929286] MRTLEDSSGTVLHRLIQEQLRYGNLTETRTLLAIQQQALRGGAGAGGTGSPQASLEIGAP EDSQVLQQATRQEPQGQEHQGGE >ENSMUSP00000114950.1 pep:known chromosome:GRCm38:9:102718470:102720400:1 gene:ENSMUSG00000032531.15 transcript:ENSMUST00000145937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl2 description:angiomotin-like 2 [Source:MGI Symbol;Acc:MGI:1929286] MRTLEDSSGTVLHRLIQEQLRYGNLTETRTLLAIQQQALRGGAGAGGTGSPQASLEIGAP EDSQVLQQATRQEPQGQEHQGGETHL >ENSMUSP00000118176.1 pep:known chromosome:GRCm38:9:102718485:102720212:1 gene:ENSMUSG00000032531.15 transcript:ENSMUST00000134483.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl2 description:angiomotin-like 2 [Source:MGI Symbol;Acc:MGI:1929286] MRTLEDSSGTVLHRLIQEQLRYG >ENSMUSP00000140688.1 pep:known chromosome:GRCm38:9:102718568:102728503:1 gene:ENSMUSG00000032531.15 transcript:ENSMUST00000190047.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl2 description:angiomotin-like 2 [Source:MGI Symbol;Acc:MGI:1929286] MRNKMDGEMRRLQDFNRDLRERLESANRHLASKTQEAQAGSQDMVAKLLAQSYEQQQEQE KLEREMALLRGAIEDQRRHAELLEQALGNAQSRAARAEEELRKKQAYVEKVERL >ENSMUSP00000115845.2 pep:known chromosome:GRCm38:9:102720287:102733418:1 gene:ENSMUSG00000032531.15 transcript:ENSMUST00000130602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amotl2 description:angiomotin-like 2 [Source:MGI Symbol;Acc:MGI:1929286] XEPPGFLGDRSTRGQSAEGPEPRGPPPQYPHAVMAQETAAVTDPRYRPRSSPHFQHAEVR ILQAQVPPVFLQQQQYQYLPQPQEHSPPLHPAALGHGPPSSFGPPAVEGPPSAQATLGSA HLAQMETVLRENARLQRDNERLQRELESTSEKAGRIEKLENEIQRLSEAHESLMRTSSKR EALEKTMRNKMDGEMRRLQDFNRDLRERLESANRHLASKTQEAQAGSQDMVAKLLAQSYE QQQEQEKLEREMALLRGAIEDQRRHAELLEQALGNAQSRAARAEEELRKKQAYVEKVERL QQALGQLQAACEKREQLELRLRTRLEQELKALRAQQRQTGTLAGGGGSHGGSAELSALRL SEQLREKEEQILALEADMTKWEQKYLEERAMRQFAMDAAATAAAQRDTTLIRHSPQPSPS SSFNEGLLPGNHRHQEMESRLKVLHAQILEKDAVIKVLQQRSRKDPGKATQGTLRPAKSV PSIFAAAVGTQGWQGLVSSERQTDARPAGDRVPAEEPPATAPLPAHTKHGSRDGSTQTDG PADNTSACLASEPDGLLGCNSSQRTPSLDSIAATRVQDLSDMVEILI >ENSMUSP00000099046.2 pep:known chromosome:GRCm38:X:70343069:70365084:-1 gene:ENSMUSG00000035847.15 transcript:ENSMUST00000101509.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ids description:iduronate 2-sulfatase [Source:MGI Symbol;Acc:MGI:96417] MSPPPPPPIWRQLSFSLLLGSFCIALESAAQGNSATDALNILLIIVDDLRPSLGCYGDKL VRSPNIDQLASHSVLFQNAFAQQAVCAPSRVSFLTGRRPDTTRLYDFNSYWRVHSGNFST IPQYFKENGYVTMSVGKVFHPGISSNHSDDYPYSWSFPPYHPSSEKYENTKTCKGQDGKL HANLLCPVDVADVPEGTLPDKQSTEEAIRLLEKMKTSASPFFLAVGYHKPHIPFRYPKEF QKLYPLENITLAPDPHVPDSLPPVAYNPWMDIREREDVQALNISVPYGPIPEDFQRKIRQ SYFASVSYLDTQVGHVLSALDDLRLAHNTIIAFTSDHGWALGEHGEWAKYSNFDVATRVP LMLYVPGRTAPLPAAGQKLFPYRDPFDPASDWMDAGRHTEDLVELVSLFPTLAGLAGLPV PPRCPIPSFHVELCREGQNLQKHLQLHDLEEEPDLFGNPRELIAYSQYPRPADFPQWNSD KPSLNDIKVMGYSIRTVDYRYTVWVGFDPSEFLANFSDIHAGELYFVDSDPLQDHNVYND SQHGGLLHSLRP >ENSMUSP00000118732.1 pep:known chromosome:GRCm38:X:70351070:70361091:-1 gene:ENSMUSG00000035847.15 transcript:ENSMUST00000130519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ids description:iduronate 2-sulfatase [Source:MGI Symbol;Acc:MGI:96417] XSFPPYHPSSEKYENTKTCKGQDGKLHANLLCPVDVADVPEGTLPDKQSTEEAIRLLEKM KTSASPFFLAVGYHKPHIPFRYPKEFQKLYPLENITLAPDPHVPDSLPPVAYNPWMDIRE REDVQALNISVPYGPIPEDFQHRCSICSILILRQSSCESSWKEHLLLLSRGFQLPSEVLC LFAERKIRQSYFASVSYLDTQVGHVLSALDDLRLAHNTIIAFTSDHGWALGEHGEWA >ENSMUSP00000088458.5 pep:known chromosome:GRCm38:2:58045113:58052864:-1 gene:ENSMUSG00000026830.9 transcript:ENSMUST00000090940.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ermn description:ermin, ERM-like protein [Source:MGI Symbol;Acc:MGI:1925017] MTDTPETLSGTECNGDRPPENGQQPSSQTRQETTDADETQAYYKVEPSLEDLPAKENQEE TGNTKGNILPKGPEDEKILNENPEENLFVVHQAIKDLSLQEISAEDMAFREGHPWKKIPP NSSNLEVSRQKERTAQQQLEQRGDASTTEIEWLGFQKSRPVDILHSKCDEEEEEEEEVWN EEINEEDVDECAEEEDEVRVIEFKRKHREGSPLKEESLAREDSPLGSPGSQPGTPDEQPV FGKKGDIARNSYSRYNTISYRKIRKGNTKQRIDEFESMMHL >ENSMUSP00000055899.5 pep:known chromosome:GRCm38:7:141461094:141466613:1 gene:ENSMUSG00000048200.11 transcript:ENSMUST00000053670.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cracr2b description:calcium release activated channel regulator 2B [Source:MGI Symbol;Acc:MGI:2446129] MASPGLPGSGEGQEGEETTGVSARHGVEVLQQAQELFLLCDKDAKGFITRQDLQGLQSDL PLTPEQLEAVFESLDQAHTGFLTAREFCLGLGKFVGVESAPGGSPLRTSEETFESGTGGS LEEEEEDVETFYTSLEKLGVARVLGEQWAVRTLWVGLQRERPELLGSLEEVLMRASACLE AAAREREGLEQALRRRESEHEREVRGLYEELEQQLGEQRHRRQSQNLPREEQRGHLELEL QTREQELERAGLRQRELEQQLQARAAEQLEAQAQHIQLQRAYEAIRAQLDQAQEQLSRLE GEAQGRQEQTQRDVVAVSRNMQKEKLSLLRQLELLRELNLRLRDERDACETKLLGSSHRK ALAIAHKPGPIYCCCCCGWARPPRRGSGHLPSAR >ENSMUSP00000127957.1 pep:known chromosome:GRCm38:7:141461895:141465029:1 gene:ENSMUSG00000048200.11 transcript:ENSMUST00000167491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cracr2b description:calcium release activated channel regulator 2B [Source:MGI Symbol;Acc:MGI:2446129] MASPGLPGSGEGQEGEETTGVSARHGVEVLQQAQELFLLCDKDAKGFITRQDLQGLQSDL PLTPEQLEAVFESLDQAHTGFLTAREFCLGLGKFVGVESAPGGSPLRTSEETFESGTGGS LEEEEEDVETFYTSLEKLGVARVLGEQWAVRTLWVGLQRERPELLGSLEEVLMRASACLE AAAREREGLEQALRRRESEHEREVRGLYEELEQQLGEQRHRRQSQ >ENSMUSP00000131175.1 pep:known chromosome:GRCm38:7:141461960:141463462:1 gene:ENSMUSG00000048200.11 transcript:ENSMUST00000165194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cracr2b description:calcium release activated channel regulator 2B [Source:MGI Symbol;Acc:MGI:2446129] MASPGLPGSGEGQEGEE >ENSMUSP00000132793.1 pep:known chromosome:GRCm38:7:141463111:141464729:1 gene:ENSMUSG00000048200.11 transcript:ENSMUST00000172215.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cracr2b description:calcium release activated channel regulator 2B [Source:MGI Symbol;Acc:MGI:2446129] MASPGLPGSGEGQEGEETTGVSARHGVEVLQQAQELFLLCDKDAKGFITRQDLQGLQSDL PLTPEQLEAVFESLDQAHTGFLTAREFCLGLGKFVGVESAPGGSPLRTSEETFESGTGGS LEEEEEDVETFYTSLEKLGVARVLGEQWAVRTLWVGL >ENSMUSP00000129946.1 pep:known chromosome:GRCm38:7:141463411:141466072:1 gene:ENSMUSG00000048200.11 transcript:ENSMUST00000170879.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cracr2b description:calcium release activated channel regulator 2B [Source:MGI Symbol;Acc:MGI:2446129] MASPGLPGSGEGQEGEETTGVSARHGVEVLQQAQELFLLCDKDAKGFITRQDLQGLQSDL PLTPEQLEAVFESLDQAHTGFLTAREFCLGLGKFVGVESAPGGSPLRTSEETFESGTGGS LEEEEEDVETFYTSLEKLGVARVLGEQWAVRTLWVGLQRERPELLGSLEEVLMRASACLE AAAREREGLEQALRRRESEHEREVRGLYEELEQQLGEQRHRRQSQNLPREEQRGHLELEL QTREQELERAGLRQRERCGCCLQEYAERETQPPKAAGAAEGAESEASR >ENSMUSP00000132824.1 pep:known chromosome:GRCm38:7:141465674:141466613:1 gene:ENSMUSG00000048200.11 transcript:ENSMUST00000116247.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cracr2b description:calcium release activated channel regulator 2B [Source:MGI Symbol;Acc:MGI:2446129] XQTQRDVVAVSRNMQKEKLSLLRQLELLRS >ENSMUSP00000027381.6 pep:known chromosome:GRCm38:1:72259172:72284314:-1 gene:ENSMUSG00000026189.13 transcript:ENSMUST00000027381.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pecr description:peroxisomal trans-2-enoyl-CoA reductase [Source:MGI Symbol;Acc:MGI:2148199] MGSWKTGQSYLAAGLLKNQVAVVTGGGTGIGKAVSRELLHLGCNVVIASRKLDRLTAAVD ELRASLPPSSSAEVSAIQCNIRKEEEVSNLVKSTLAKYGKINFLVNNGGGQFMAPVEDIT AKGWHAVIETNLTGTFYMCKEVYNSWMREHGGSIVNIIVLLNNGFPTAAHTGAAREGVYN LTKSMALAWASSGVRINCVAPGTIYSQTAVDNYGEMGQTLFEMAFDSIPAKRLGVPEEIS PLVCFLLSPAASYITGQLINVDGGQALYTHAFSIPDHDNWPVGAGDLSIVKRIKESFKKK AKL >ENSMUSP00000120890.1 pep:known chromosome:GRCm38:1:72259167:72284259:-1 gene:ENSMUSG00000026189.13 transcript:ENSMUST00000134840.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pecr description:peroxisomal trans-2-enoyl-CoA reductase [Source:MGI Symbol;Acc:MGI:2148199] MGSWKTGQSYLAAGLLKNQVAVVTGGGTGIGKAVSRELLHLGCNVVIASRKLDRLTAAVD ELRASLPPSSSAEVSAIQCNIRKEEEGFSV >ENSMUSP00000095304.4 pep:known chromosome:GRCm38:1:72266996:72284259:-1 gene:ENSMUSG00000026189.13 transcript:ENSMUST00000097698.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pecr description:peroxisomal trans-2-enoyl-CoA reductase [Source:MGI Symbol;Acc:MGI:2148199] MGSWKTGQSYLAAGLLKNQVAVVTGGGTGIGKAVSRELLHLGCNVVIASRKLDRLTAAVD ELRASLPPSSSAEVSAIQCNIRKEEEVSNLVKSTLAKYGKINFLVNNGGGQFMAPVEDIT AKGWHAVIETNLTGTFYMCKEVYNSWMREHGGSIVNIIVLLNNGFPTAAHTGAAREGVYN LTKSMALAWASSGVRINCVAPGTIYSQTAVDNYGEMGQTLFEMAFDSIPAKRLGVPEELQ FYYLQCWFQQPQASQIMTDS >ENSMUSP00000121931.1 pep:known chromosome:GRCm38:1:72259172:72284313:-1 gene:ENSMUSG00000026189.13 transcript:ENSMUST00000129458.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pecr description:peroxisomal trans-2-enoyl-CoA reductase [Source:MGI Symbol;Acc:MGI:2148199] MGSWKTGQSYLAAGLLKNQVAVVTGGGTGIGKAVSRELLHLDCC >ENSMUSP00000125651.1 pep:known chromosome:GRCm38:19:5425157:5427313:1 gene:ENSMUSG00000047423.9 transcript:ENSMUST00000159759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI837181 description:expressed sequence AI837181 [Source:MGI Symbol;Acc:MGI:2147598] MAAAAAAVAGAGRGGGGGGGGGGAADPGQERSRARSWVGAERSEGRRMEPNEELEEEDSP GGREDGFTAEHLAAEAMAADMDPWLVFDARTTPATELDAWLAKYPPSQVTRYGDPGSPNS EPVGWIAAYGQGYTPNSGDVQGLQAAWEALQTSGRPITPGTLRQLAITHHVLSGKWLIHL SPGFKLDHAWAGIARAVVEGRLQVAKVSPRAKEGGRQVICVYTDDFTDRLGVLEADSAIR AAGIKCLLTYKPDVYTYLGIYRANRWHLCPTLYESRFQLGGNTRGSRVLDRANNVELT >ENSMUSP00000062370.2 pep:known chromosome:GRCm38:16:88773179:88774206:-1 gene:ENSMUSG00000048830.3 transcript:ENSMUST00000054223.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310057N15Rik description:RIKEN cDNA 2310057N15 gene [Source:MGI Symbol;Acc:MGI:1916946] MAYSCCSGNFSSRSLRSCLPSSGSCRGSSYPSNLVYTTTSCSPSTCQLSSSVRSGCQESC IEPIRCQESCIEPIRCQETYIEPIRCQESCIEPIRCQRSCVVPSPCQKPCYYPRSSTPCR PCQGTYAGSLGFGSRSCSSLAYGSRSCYPVGCGSSGFRSLDCGVYGFPSLSYGSRFYYPV YVASTGFQPSCYRSVCGTGF >ENSMUSP00000083385.3 pep:known chromosome:GRCm38:1:153749426:153762401:1 gene:ENSMUSG00000066800.11 transcript:ENSMUST00000086209.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnasel description:ribonuclease L (2', 5'-oligoisoadenylate synthetase-dependent) [Source:MGI Symbol;Acc:MGI:1098272] METPDYNTPQGGTPSAGSQRTVVEDDSSLIKAVQKGDVVRVQQLLEKGADANACEDTWGW TPLHNAVQAGRVDIVNLLLSHGADPHRRKKNGATPFIIAGIQGDVKLLEILLSCGADVNE CDENGFTAFMEAAERGNAEALRFLFAKGANVNLRRQTTKDKRRLKQGGATALMSAAEKGH LEVLRILLNDMKAEVDARDNMGRNALIRTLLNWDCENVEEITSILIQHGADVNVRGERGK TPLIAAVERKHTGLVQMLLSREGINIDARDNEGKTALLIAVDKQLKEIVQLLLEKGADKC DDLVWIARRNHDYHLVKLLLPYVANPDTDPPAGDWSPHSSRWGTALKSLHSMTRPMIGKL KIFIHDDYKIAGTSEGAVYLGIYDNREVAVKVFRENSPRGCKEVSCLRDCGDHSNLVAFY GREDDKGCLYVCVSLCEWTLEEFLRLPREEPVENGEDKFAHSILLSIFEGVQKLHLHGYS HQDLQPQNILIDSKKAVRLADFDQSIRWMGESQMVRRDLEDLGRLVLYVVMKGEIPFETL KTQNDEVLLTMSPDEETKDLIHCLFSPGENVKNCLVDLLGHPFFWTWENRYRTLRNVGNE SDIKVRKCKSDLLRLLQHQTLEPPRSFDQWTSKIDKNVMDEMNHFYEKRKKNPYQDTVGD LLKFIRNIGEHINEEKKRGMKEILGDPSRYFQETFPDLVIYIYKKLKETEYRKHFPQPPP RLSVPEAVGPGGIQS >ENSMUSP00000138247.1 pep:known chromosome:GRCm38:1:153749504:153760830:1 gene:ENSMUSG00000066800.11 transcript:ENSMUST00000182722.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnasel description:ribonuclease L (2', 5'-oligoisoadenylate synthetase-dependent) [Source:MGI Symbol;Acc:MGI:1098272] METPDYNTPQGGTPSAGSQRTVVEDDSSLIKAVQKGDVVRVQQLLEKGADANACEDTWGW TPLHNAVQAGRVDIVNLLLSHGADPHRRKKNGATPFIIAGIQGDVKLLEILLSCGADVNE CDENGFTAFMEAAERGNAEALRFLFAKGANVNLRRQTTKDKRRLKQGGATALMSAAEKGH LEVLRILLNDMKAEVDARDNMGRNALIRTLLNWDCENVEEITSILIQHGADVNVRGERGK TPLIAAVERKHTGLVQMLLSREGINIDARDNEGKTALLIAVDKQLKEIVQLLLEKGADKC DDLVWIARRNHDYHLVKLLLPYVANPDTDPPAGDWSPHSSRWGTALKSLHSMTRPMIGKL KIFIHDDYKIAGTSEGAVYLGIYDNREVAVKVFRENSPRGCKEVSCLRDCGDHSNLVAFY GREDDKGCLYVCVSLCEWTLEEFLRLPREEPVENGEDKFAHSILLSIFEGVQKLHLHGYS HQDLQPQNILIDSKKAVRLADFDQSIRWMGESQMVRRDLEDLGRLVLYVVMKGEIPFETL KTQNDEVLLTMSPDEETKDLIHCLFSPGENVKNCLVDLLGHPFFWTWENRYRTLRNVGNE SDIKVRKCKSDLLRLLQHQTLEPPRSFDQWTSKIDKNVMDEMNHFYEKRKK >ENSMUSP00000138752.1 pep:known chromosome:GRCm38:1:153751952:153760898:1 gene:ENSMUSG00000066800.11 transcript:ENSMUST00000183241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnasel description:ribonuclease L (2', 5'-oligoisoadenylate synthetase-dependent) [Source:MGI Symbol;Acc:MGI:1098272] METPDYNTPQGGTPSAGSQRTVVEDDSSLIKAVQKGDVVRVQQLLEKGADANACEDTWGW TPLHNAVQAGRVDIVNLLLSHGADPHRRKKNGATPFIIAGIQGDVKLLEILLSCGADVNE CDENGFTAFMEAAERGNAEALRFLFAKGANVNLRRQTTKDKRRLKQGGATALMSAAEKGH LEVLRILLNDMKAEVDARDNMGRNALIRTLLNWDCENVEEITSILIQHGADVNVRGERGK TPLIAAVERKHTGLVQMLLSREGINIDARDNEGKTALLIAVDKQLKEIVQLLLEKGADKC DDLVWIARRNHDYHLVKLLLPYVANPDTDPPAGDWSPHSSRWGTALKSLHSMTRPMIGKL KIFIHDDYKIAGTSEGAVYLGIYDNREVAVKVFRENSPRGCKEVSCLRDCGDHSNLVAFY GREDDKGCLYVCVSLCEWTLEEFLRLPREEPVENGEDKFAHSILLSIFEGVQKLHLHGYS HQDLQPQNILIDSKKAVRLADFDQSIRWMGESQMVRRDLEDLGRLVLYVVMKGEIPFETL KTQNDEVLLTMSPDEETKDLIHCLFSPGENVKNCLVDLLGHPFFWTWENRYRTLRNVGNE SDIKVRKCKSDLLRLLQHQTLEPPRSFDQWTSKIDKNVMDEMNHFYEKRKKNPYQDTVGD LLKFIRNIGEHINE >ENSMUSP00000138734.2 pep:known chromosome:GRCm38:1:153752852:153764221:1 gene:ENSMUSG00000066800.11 transcript:ENSMUST00000182538.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnasel description:ribonuclease L (2', 5'-oligoisoadenylate synthetase-dependent) [Source:MGI Symbol;Acc:MGI:1098272] MGESQMVRRDLEDLGRLVLYVVMKGEIPFETLKTQNDEVLLTMSPDEETKDLIHCLFSPG ENVKNCLVDLLGHPFFWTWENRYRTLRNVGNESDIKVRKCKSDLLRLLQHQTLEPPRSFD QWTSKIDKNVMDEMNHFYEKRKKNPYQDTVGDLLKFIRNIGEHINEEKKRGMKEILGDPS RYFQETFPDLVIYIYKKLKETEYRKHFPQPPPRLSVPEAVGPGGIQS >ENSMUSP00000108235.1 pep:known chromosome:GRCm38:2:57997884:58039878:1 gene:ENSMUSG00000026828.11 transcript:ENSMUST00000112616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt5 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 5 [Source:MGI Symbol;Acc:MGI:2179403] MNKIRKFFRGSGRVLAFIFAASVIWLLFDMAALRLSFSEINAGLLKEDIIRREHTGFRVE PDQVKVLYTSIRGMGLPRNGAWGKENFRKAENHELKVEENMDQVQRKGKMQNLLGRRKAV PLWHLAHLQTLPVTIPMQKTQGRDSKPEVSSQYMMSKWMTVLESEKTPFTASRGVPLTKI AGRTETFDKKQEAPQNYNVSSDTSKQASERTLNMTISVKTDRSKQKSQTVTKLRMHFASP PILKSEEVTVIKKAEAQSKDLKHEALKALPLLKFIADMGHLKNQSTNETQLGRLPEDDAA KVAPGKKLNFSESHVVIITKEEELKTDTKEVPNSKTQTVFPKLLGGSPHKQIPRNQSKTS SSPPALKKAVSQSKPTISGGLHTARSNLTAKAPTVGYQQSHANIPENPGMHHVFRIDVTL SPRDLNAPGQFGRPVVVPPEKKKEAEQRWKEGNFNVYLSDLIPVDRAIEDTRPAGCAEQL VHNDLPTTSIIMCFVDEVWSALLRSVHSVLNRSPPHLIKEILLVDDFSTKEYLKADLDKY MSQFPKVRILRLKERHGLIRARLAGAQNATGDVLTFLDSHVECNVGWLEPLLERVYLNRK KVACPVIEVINDKDMSYMTVDNFQRGVFTWPMNFGWKTIPPDVVAKNGIKETDIIRCPVM AGGLFSIDKSYFYELGTYDPGLDVWGGENMELSFKVWMCGGEIEIIPCSRVGHIFRNDNP YSFPKDRMKTVERNLVRVAEVWLDDYRELFYGHGDHLIDQGLDVGNLTQQRELRKKLKCK SFKWYLDNVFPDLKAPVVRASGVLINMALGKCVSIENITVTLEDCDGSSQLQQFNYTWVR LIKHGEWCVAPIPEKGSLTLYHCDNRNNRLKWLHKSASAFHPELVDHIVFENYQQLLCME GNFSQKTLKLAACNPMELQQKWKFEKYYEV >ENSMUSP00000131362.1 pep:known chromosome:GRCm38:2:57997884:58045860:1 gene:ENSMUSG00000026828.11 transcript:ENSMUST00000166729.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt5 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 5 [Source:MGI Symbol;Acc:MGI:2179403] MNKIRKFFRGSGRVLAFIFAASVIWLLFDMAALRLSFSEINAGLLKEDIIRREHTGFRVE PDQVKVLYTSIRGMGLPRNGAWGKENFRKAENHELKVEENMDQVQRKGKMQNLLGRRKAV PLWHLAHLQTLPVTIPMQKTQGRDSKPEVSSQYMMSKWMTVLESEKTPFTASRGVPLTKI AGRTETFDKKQEAPQNYNVSSDTSKQASERTLNMTISVKTDRSKQKSQTVTKLRMHFASP PILKSEEVTVIKKAEAQSKDLKHEALKALPLLKFIADMGHLKNQSTNETQLGRLPEDDAA KVAPGKKLNFSESHVVIITKEEELKTDTKEVPNSKTQTVFPKLLGGSPHKQIPRNQSKTS SSPPALKKAVSQSKPTISGGLHTARSNLTAKAPTVGYQQSHANIPENPGMHHVFRIDVTL SPRDLNAPGQFGRPVVVPPEKKKEAEQRWKEGNFNVYLSDLIPVDRAIEDTRPAGCAEQL VHNDLPTTSIIMCFVDEVWSALLRSVHSVLNRSPPHLIKEILLVDDFSTKEYLKADLDKY MSQFPKVRILRLKERHGLIRARLAGAQNATGDVLTFLDSHVECNVGWLEPLLERVYLNRK KVACPVIEVINDKDMSYMTVDNFQRGVFTWPMNFGWKTIPPDVVAKNGIKETDIIRCPVM AGGLFSIDKSYFYELGTYDPGLDVWGGENMELSFKVWMCGGEIEIIPCSRVGHIFRNDNP YSFPKDRMKTVERNLVRVAEVWLDDYRELFYGHGDHLIDQGLDVGNLTQQRELRKKLKCK SFKWYLDNVFPDLKAPVVRASGVLINMALGKCVSIENITVTLEDCDGSSQLQQFNYTWVR LIKHGEWCVAPIPEKGSLTLYHCDNRNNRLKWLHKSASAFHPELVDHIVFENYQQLLCME GNFSQKTLKLAACNPMELQQKWKFEKYYEV >ENSMUSP00000137034.1 pep:known chromosome:GRCm38:5:67941669:67977070:1 gene:ENSMUSG00000094719.4 transcript:ENSMUST00000177891.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5108 description:predicted gene 5108 [Source:MGI Symbol;Acc:MGI:3645064] MTIGVQTLAQLETSLQFPTTSLAACERRCTLLSPQLIIEVQGLVKLYGNPENIRTRLALI QEKDSCISLSERSIMEERMEHCMSQRTPRSAAKWCLLDKTA >ENSMUSP00000029919.5 pep:known chromosome:GRCm38:3:145003817:145032776:-1 gene:ENSMUSG00000028255.6 transcript:ENSMUST00000029919.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clca1 description:chloride channel accessory 1 [Source:MGI Symbol;Acc:MGI:1346342] MESLKSPVFLLILHLLEGVLSESLIQLNNNGYEGIVIAIDHDVPEDEALIQHIKDMVTQA SPYLFEATGKRFYFKNVAILIPESWKAKPEYTRPKLETFKNADVLVSTTSPLGNDEPYTE HIGACGEKGIRIHLTPDFLAGKKLTQYGPQDRTFVHEWAHFRWGVFNEYNNDEKFYLSKG KPQAVRCSAAITGKNQVRRCQGGSCITNGKCVIDRVTGLYKDNCVFVPDPHQNEKASIMF NQNINSVVEFCTEKNHNQEAPNDQNQRCNLRSTWEVIQESEDFKQTTPMTAQPPAPTFSL LQIGQRIVCLVLDKSGSMLNDDRLNRMNQASRLFLLQTVEQGSWVGMVTFDSAAYVQSEL KQLNSGADRDLLIKHLPTVSAGGTSICSGLRTAFTVIKKKYPTDGSEIVLLTDGEDNTIS SCFDLVKQSGAIIHTVALGPAAAKELEQLSKMTGGLQTYSSDQVQNNGLVDAFAALSSGN AAIAQHSIQLESRGVNLQNNQWMNGSVIVDSSVGKDTLFLITWTTHPPTIFIWDPSGVEQ NGFILDTTTKVAYLQVPGTAKVGFWKYSIQASSQTLTLTVTSRAASATLPPITVTPVVNK NTGKFPSPVTVYASIRQGASPILRASVTALIESVNGKTVTLELLDNGAGADATKNDGVYS RFFTAFDANGRYSVKIWALGGVTSDRQRAAPPKNRAMYIDGWIEDGEVRMNPPRPETSYV QDKQLCFSRTSSGGSFVATNVPAAAPIPDLFPPCQITDLKASIQGQNLVNLTWTAPGDDY DHGRASNYIIRMSTSIVDLRDHFNTSLQVNTTGLIPKEASSEEIFEFELGGNTFGNGTDI FIAIQAVDKSNLKSEISNIARVSVFIPAQEPPIPEDSTPPCPDISINSTIPGIHVLKIMW KWLGEMQVTLGLH >ENSMUSP00000099929.4 pep:known chromosome:GRCm38:2:30090944:30093648:-1 gene:ENSMUSG00000015335.16 transcript:ENSMUST00000102865.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc12 description:zinc finger, DHHC domain containing 12 [Source:MGI Symbol;Acc:MGI:1913470] MALWPPLNSGMLVRTGHTVLTWGITLVLFLHDTELRQWEEQGELLLPLTFLLLVLSSLLL YLAVSLMDPGYVTTQPQPQGEPKEEQAAMVPQAVPLRRCRHCLVLQPLRARHCRDCRRCV RRYDHHCPWMENCVGERNHPLFVAYLALQLVVLLWGLCLAWSGLQFFQPWGLWLRSTGLL FTTFLLLSFFALVVALLLASHLYLVARNTTTWEFISSHRIAYLRQRTSNPFDRGPTRNLA HFFCGWPSGPWETLSAEEEEEGSSQVV >ENSMUSP00000080521.6 pep:known chromosome:GRCm38:2:30090951:30093635:-1 gene:ENSMUSG00000015335.16 transcript:ENSMUST00000081838.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc12 description:zinc finger, DHHC domain containing 12 [Source:MGI Symbol;Acc:MGI:1913470] MALWPPLNSGMLVRTGHTVLTWGITLVLFLHDTGEPDTAPPRAHPITQLRQWEEQGELLL PLTFLLLVLSSLLLYLAVSLMDPGYVTTQPQPQGEPKEEQAAMVPQAVPLRRCRHCLVLQ PLRARHCRDCRRCVRRYDHHCPWMENCVGERNHPLFVAYLALQLVVLLWGLCLAWSGLQF FQPWGLWLRSTGLLFTTFLLLSFFALVVALLLASHLYLVARNTTTWEFISSHRIAYLRQR TSNPFDRGPTRNLAHFFCGWPSGPWETLSAEEEEEGSSQVV >ENSMUSP00000115870.1 pep:known chromosome:GRCm38:1:23761753:23815740:1 gene:ENSMUSG00000026156.8 transcript:ENSMUST00000144602.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gat2 description:beta-1,3-glucuronyltransferase 2 (glucuronosyltransferase S) [Source:MGI Symbol;Acc:MGI:2389490] MRTTRKVSVWPVGLVGGRRYERPLVKNGKVVGWYTGWREDRPFAIDMAGEQNAWDICPCR MGPRRNWERPIFIKPLSVLHSSEEILIFKIGSLMVQVEVDFRSG >ENSMUSP00000066582.5 pep:known chromosome:GRCm38:1:23761926:23849158:1 gene:ENSMUSG00000026156.8 transcript:ENSMUST00000063663.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gat2 description:beta-1,3-glucuronyltransferase 2 (glucuronosyltransferase S) [Source:MGI Symbol;Acc:MGI:2389490] MKSALCSRFFILLPWILIVIIMLDVDPRRPAPQLTSRPYFSPHAVGCGGSRVPLRRSSPG RDAAEKRNESRPQLQPEPRLPTIYAITPTYSRPVQKAELTRLANTFRQVAQLHWILVEDR ATRSELVSSFLARAGLPNTHLHVPTPRRYKRPWLPRATEQRNAGLAWLRQRHQHQSAQPG VLFFADDDNTYSLELFQEMRTTRKVSVWPVGLVGGRRYERPLVKNGKVVGWYTGWREDRP FAIDMAGFAVSLQVILSNPKAVFKRRGSQPGMQESDFLKQITTVEELEPKASNCTKVLVW HTRTEKVNLANEPKYHLDTVNIEV >ENSMUSP00000117089.1 pep:known chromosome:GRCm38:1:23762011:23815739:1 gene:ENSMUSG00000026156.8 transcript:ENSMUST00000140583.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gat2 description:beta-1,3-glucuronyltransferase 2 (glucuronosyltransferase S) [Source:MGI Symbol;Acc:MGI:2389490] MKSALCSRFFILLPWILIVIIMLDVDPRRPAPQLTSRPYFSPHAVGCGGSRVPLRRSSPG RDAAEKRNESRPQLQPEPRLPTIYAITPTYSRPVQKAELTRLANTFRQVAQLHWILVEDR ATRSELVSSFLARAGLPNTHLHVPTPRRYKRPWLPRATEQRNAGLAWLRQRHQHQSAQPG VLFFADDDNTYSLELFQEMRTTRKVSVWPVGLVGGRRYERPLVKNGKVVGWYTGWREDRP FAIDMAGEQNAWDICPCRMGPRRNWERPIFIKPLSVLHSSEEILIFKIGSLMVQVEVDFR SG >ENSMUSP00000140888.1 pep:known chromosome:GRCm38:16:88828994:88829844:1 gene:ENSMUSG00000022931.7 transcript:ENSMUST00000187823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap15 description:keratin associated protein 15 [Source:MGI Symbol;Acc:MGI:1347350] MSYTCNSGNYSSQSFGGFLRQPVSTYNSFYPTSNVVYSPKNFQLGSSFYNGQQETFSEPL EGHLPCVGSASFHTSCFRPKQYFSSPCQGGFTGSFGYGNTGFGAFGFGSSGIRSQGCGSN FYRPGYFSSKSIQSSYYQPGYSSGFCGSNF >ENSMUSP00000023648.5 pep:known chromosome:GRCm38:16:88820215:88829484:1 gene:ENSMUSG00000022931.7 transcript:ENSMUST00000023648.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap15 description:keratin associated protein 15 [Source:MGI Symbol;Acc:MGI:1347350] SPSTCQLSSSFNSGCQETCIEPTSCQSFCVVPSPCQVPCYYPRSSTPCSPCQGTYAGSLG FGSSNVKSFGGFTGSFGYGNTGFGAFGFGSSGIRSQGCGSNFYRPGYFSSKSIQSSYYQP GYSSGFC >ENSMUSP00000118208.1 pep:known chromosome:GRCm38:11:32226505:32232022:1 gene:ENSMUSG00000020287.15 transcript:ENSMUST00000142964.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpg description:N-methylpurine-DNA glycosylase [Source:MGI Symbol;Acc:MGI:97073] MPARGGSARPGRGALKPVSVTLLPDTEQPPFLGRARRPGNARAGSLVTGYHEVGQMPAPL SRKIGQKKQRLADSEQQQTPKERLLSTPGLRRSIYFSSPEDHSGRLGPEFFDQPAVTLAR AFLGQCFQASMTNTGHLFSSTLPVTS >ENSMUSP00000020528.7 pep:known chromosome:GRCm38:11:32226511:32232700:1 gene:ENSMUSG00000020287.15 transcript:ENSMUST00000020528.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mpg description:N-methylpurine-DNA glycosylase [Source:MGI Symbol;Acc:MGI:97073] MPARGGSARPGRGALKPVSVTLLPDTEQPPFLGRARRPGNARAGSLVTGYHEVGQMPAPL SRKIGQKKQRLADSEQQQTPKERLLSTPGLRRSIYFSSPEDHSGRLGPEFFDQPAVTLAR AFLGQVLVRRLADGTELRGRIVETEAYLGPEDEAAHSRGGRQTPRNRGMFMKPGTLYVYL IYGMYFCLNVSSQGAGACVLLRALEPLEGLETMRQLRNSLRKSTVGRSLKDRELCSGPSK LCQALAIDKSFDQRDLAQDDAVWLEHGPLESSSPAVVVAAARIGIGHAGEWTQKPLRFYV QGSPWVSVVDRVAEQMDQPQQTACSEGLLIVQK >ENSMUSP00000121960.1 pep:known chromosome:GRCm38:11:32226539:32231244:1 gene:ENSMUSG00000020287.15 transcript:ENSMUST00000138050.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mpg description:N-methylpurine-DNA glycosylase [Source:MGI Symbol;Acc:MGI:97073] MPARGGSARPGRGALKPVSVTLLPDTEQPPFLGRARRPGNARAGSLVTGYHEVGQMPAPL SRKIGQKKQRLADSEQQQTPKERLLSTPGLRRSIYFSSPEDHSGRLGPEFFDQPAVTLAR AFLGQCFQASMTNTGHLFSSTLPVTS >ENSMUSP00000095496.3 pep:known chromosome:GRCm38:4:126609818:126614371:1 gene:ENSMUSG00000073755.4 transcript:ENSMUST00000097886.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730409E04Rik description:RIKEN cDNA 5730409E04Rik gene [Source:MGI Symbol;Acc:MGI:3609248] MFAAIQPGLAEGAQYPGSLPPGVCQPDLQPDNNSNFVESAKDANKNWHGVPGKVDPILIR SSSESPSDNQVFQATRLPEAGVRSPPEGAEIPGAEPEKLSGASSVCSPLEDIGYASSSLS IDSFSSSPEPVCDTPRGPSPLDPLLPSVAQAVQQLQAQERYKEQEKEKHHAHLVMYRRLA LLQWIRALQHQLVDQQARLQESFDTILDNRKELIRCLQQREAPCRHQDQG >ENSMUSP00000128538.1 pep:known chromosome:GRCm38:4:126609854:126614371:1 gene:ENSMUSG00000073755.4 transcript:ENSMUST00000164362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5730409E04Rik description:RIKEN cDNA 5730409E04Rik gene [Source:MGI Symbol;Acc:MGI:3609248] MFAAIQPGLAEGAQYPGSLPPGVCQPDLQPDNNSNFVESAKDANKNWHGVPGKVDPILIR SSSESPSDNQVFQATRLPEAGVRSPPEGAEIPGAEPEKLSGASSVCSPLEDIGYASSSLS IDSFSSSPEPVCDTPRGPSPLDPLLPSVAQAVQQLQAQERYKEQEKEKHHAHLVMYRRLA LLQWIRALQHQLVDQQARLQESFDTILDNRKELIRCLQQREAPCRHQDQG >ENSMUSP00000139983.1 pep:known chromosome:GRCm38:16:88825290:88826145:-1 gene:ENSMUSG00000074928.4 transcript:ENSMUST00000189774.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap14 description:keratin associated protein 14 [Source:MGI Symbol;Acc:MGI:1346079] MSCNSCSGTFSQSFGGQLQYPISSCGSSYPNNVFYSTDLQTPITHQLGSSLHSGCQETFC EPTNCQTAYVVSRPCQRPFYSQRIRGPCRPCQSTFSGSLGFGSRGFQSFGCGYPSQGFGS HGFQSVGCGTPTFSSLNCGSSFYRPTCFSTKSCQSVSYQPTCGTGFF >ENSMUSP00000136883.1 pep:known chromosome:GRCm38:16:88818667:88825822:-1 gene:ENSMUSG00000074928.4 transcript:ENSMUST00000099562.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap14 description:keratin associated protein 14 [Source:MGI Symbol;Acc:MGI:1346079] PCQSTFSGSLGFGSRGFQSFGCGYPSQGFGSHGFQSLNCGSSFYRPTCFSTKSCQSVSYQ PSYSVGFCFPNC >ENSMUSP00000001051.4 pep:known chromosome:GRCm38:3:90612882:90614414:1 gene:ENSMUSG00000001025.8 transcript:ENSMUST00000001051.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a6 description:S100 calcium binding protein A6 (calcyclin) [Source:MGI Symbol;Acc:MGI:1339467] MACPLDQAIGLLVAIFHKYSGKEGDKHTLSKKELKELIQKELTIGSKLQDAEIARLMDDL DRNKDQEVNFQEYVAFLGALALIYNEALK >ENSMUSP00000143111.1 pep:known chromosome:GRCm38:3:90613305:90614414:1 gene:ENSMUSG00000001025.8 transcript:ENSMUST00000198128.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S100a6 description:S100 calcium binding protein A6 (calcyclin) [Source:MGI Symbol;Acc:MGI:1339467] MACPLDQAIGLLVAIFHKYSGKEGDKHTLSKKELKELIQKELTIGSKLQDAEIARLMDDL DRNKDQEVNFQEYVAFLGALALIYNEALK >ENSMUSP00000143720.1 pep:known chromosome:GRCm38:3:90613760:90624181:1 gene:ENSMUSG00000001025.8 transcript:ENSMUST00000200289.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:S100a6 description:S100 calcium binding protein A6 (calcyclin) [Source:MGI Symbol;Acc:MGI:1339467] MACPLDQAIGLLVAIFHKYSGKEGDKHTLSKKELKELIQKELTIGSKLQDAEIARLMDDL DRNKDQEVNFQEYVAFLGALALIYNEALK >ENSMUSP00000145687.1 pep:known chromosome:GRCm38:7:3692863:3694806:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000205734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] MPVAIFLGGPRAKSCQKMLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQN SRLGLPLLLLPEEARLLAEIGAVTL >ENSMUSP00000104270.1 pep:known chromosome:GRCm38:7:3693375:3701024:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000108630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLLPEEARLL AEIGAVTLVSAPRPDPRNHGLALASFKRQQEQSFQDQNTLAAEARETRRQELLEKIVEGQ AAKKQKLEQDSGADEGGQEAGGSEATQGSETSDDGQPSAEQEGAAPSLDSSSPQPGPSNG VTPLPRSALLIQLATARPRPVKAKPLDWRVQSKDWPHAGRPAHELRYSIYRDLWERGFFL SAAGKFGGDFLVYPGDPLRFHAHYIAQCWSAEDPIPLQDLVSAGRLGTSVRKTLLLCSPQ PDGKVVYTSLQWASLQ >ENSMUSP00000123614.1 pep:known chromosome:GRCm38:7:3693602:3695186:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000123088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLLPEEARLL AEIGAVTLVSAPRPDPRNHGLALASFKRQQEQSFQDQNTLAAEARETRRQELLEKIVEGQ AAKKQKLEQDSGADEGGQEAGGSEATQGSET >ENSMUSP00000145965.1 pep:known chromosome:GRCm38:7:3693763:3700887:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000205287.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLLPEEARLL AEIGAVTLVSAPRPDPRNHGLALASFKRQQEQSFQDQNTLAAEARETRRQELLEKIVEGQ AAKKQKLEQDSGADEGGQEAGGSEATQGSETSDDGQPSAEQEGAAPSLDSSSPQPGPSNG VTPLPRSALLIQLATARPRPVKAKPLDWRVQSKDWPHAGRPAHELRYSIYRDLWERGFFL SAAGKFGGDFLVYPGDLKQDNKYARILLNSWRVVLSNTGSLPGAKISLEFAIPHR >ENSMUSP00000046911.7 pep:known chromosome:GRCm38:7:3693765:3701012:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000038521.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLLPEEARLL AEIGAVTLVSAPRPDPRNHGLALASFKRQQEQSFQDQNTLAAEARETRRQELLEKIVEGQ AAKKQKLEQDSGADEGGQEAGGSEATQGSETSDDGQPSAEQEGADSSSPQPGPSNGVTPL PRSALLIQLATARPRPVKAKPLDWRVQSKDWPHAGRPAHELRYSIYRDLWERGFFLSAAG KFGGDFLVYPGDPLRFHAHYIAQCWSAEDPIPLQDLVSAGRLGTSVRKTLLLCSPQPDGK VVYTSLQWASLQ >ENSMUSP00000104269.1 pep:known chromosome:GRCm38:7:3693809:3701004:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000108629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLLPEEARLL AEIGAVTLVSAPRPDPRNHGLALASFKRQQEQSFQDQNTLAAEARETRRQELLEKIVEGQ AAKKQKLEQDSGADEGGQEAGGSEATQGSETSDDGQPSAEQEGAAPSLDSSSPQPGPSNG VTPLPRSALLIQLATARPRPVKAKPLDWRVQSKDWPHAGRPAHELRYSIYRDLWERGFFL SAAGKFGGDFLVYPGRLGTSVRKTLLLCSPQPDGKVVYTSLQWASLQ >ENSMUSP00000118440.1 pep:known chromosome:GRCm38:7:3693829:3695209:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000142713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLLPEEARLL AEIGAVTLVSAPRPDPRNHGLALASFKRQQEQSFQDQNTLAAEARETRRQELLEKIVEGQ AAKKQKLEQDSGADEGGQEAGGSEATQGSETSDDGQPS >ENSMUSP00000120403.1 pep:known chromosome:GRCm38:7:3694298:3695093:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000137204.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] MVVRFGREDGHLELRGSGTVGPGKMLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGAL PRGPRQNSRLGLPLLLLPEEARLLAEIGAVTLVSAPRPDPRNHGLALASFKRQQEQSFQD QNTLAAEARETRRQELLEKIVEG >ENSMUSP00000118816.1 pep:known chromosome:GRCm38:7:3694508:3700602:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000155060.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLLPEEARLL AEIGAVTLVSAPRPDPRNHGLALASFKRQQEQSFQDQNTLAAEARETRRQELLEKIVEGQ AAKKQKLEQDSGADEGGQEAGGSEATQGSETSDDGQPSAEQEGAAHP >ENSMUSP00000104267.3 pep:known chromosome:GRCm38:7:3694523:3701004:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000108627.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] MLVVEVANGRSLVWGAEAVQALRERLGVGGRTVGALPRGPRQNSRLGLPLLLLPEEARLL AEIGAVTLVSAPRPDPRNHGLALASFKRQQEQSFQDQNTLAAEARETRRQELLEKIVEGQ AAKKQKLEQDSGADEGGQEAGGSEATQGSETSDDGQPSAEQEGAAPSLDSSSPQPGPSNG VTPLPRSALLIQLATARPRPVKAKPLDWRVQSKDWPHAGRPAHELRYSIYRDLWERGFFL SAAGKFGGDFLVYPGDPLRFHAHYIAQCWSAEDPIPLQDLVSAGRLGTSVRKTLLLCSPQ PDGKVVYTSLQWASLQ >ENSMUSP00000145563.1 pep:known chromosome:GRCm38:7:3695189:3700578:1 gene:ENSMUSG00000035585.16 transcript:ENSMUST00000147288.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsen34 description:tRNA splicing endonuclease 34 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913328] XDDGQPSAEQEGAGPSNGVTPLPRSALLIQLATARPRPVKAKPLDWRVQSKDWPHAGRPA HELRYSIYRDLWERGFFLSAAGKFGGDFLVYPGDPLRFHAHYIAQCWSA >ENSMUSP00000031251.9 pep:known chromosome:GRCm38:5:103989762:104021919:-1 gene:ENSMUSG00000029311.16 transcript:ENSMUST00000031251.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b11 description:hydroxysteroid (17-beta) dehydrogenase 11 [Source:MGI Symbol;Acc:MGI:2149821] MKYLLDLILLLPLLIVFSIESLVKLFIPKKKKSVAGEIVLITGAGHGIGRLTAYEFAKLN TKLVLWDINKNGIEETAAKCRKLGAQAHPFVVDCSQREEIYSAAKKVKEEVGDVSILVNN AGVVYTADLFATQDPQIEKTFEVNVLAHFWTTKAFLPVMMKNNHGHIVTVASAAGHTVVP FLLAYCSSKFAAVGFHRALTDELAALGRTGVRTSCLCPNFINTGFIKNPSTNLGPTLEPE EVVEHLMHGILTEKQMIFVPSSIALLTVLERIVPERFLQVLKHRINVKFDAVVGYKDK >ENSMUSP00000113455.1 pep:known chromosome:GRCm38:5:104001732:104021838:-1 gene:ENSMUSG00000029311.16 transcript:ENSMUST00000119025.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hsd17b11 description:hydroxysteroid (17-beta) dehydrogenase 11 [Source:MGI Symbol;Acc:MGI:2149821] MKYLLDLILLLPLLIVFSIESLVKLFIPKKKKSVAGEIVLITGAGHGIGRLTAYEFAKLN TKLVLWDINKNGIEETAAKCRKLGAQAHPFVVDCSQREEIYSAAKKVKEEVGDVSILVNN AGVVYTADLFATQDPQIEKTFEVNVLAHFWTTKAFLPVMMKNNHGHIVTVASAAGHTVVP FLLAYCSSKFAAVGFHRALTDELAALGRTGVRTSCLCPNFINTGFIKNPSTK >ENSMUSP00000021283.7 pep:known chromosome:GRCm38:11:68432121:68497849:1 gene:ENSMUSG00000020901.13 transcript:ENSMUST00000021283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pik3r5 description:phosphoinositide-3-kinase, regulatory subunit 5, p101 [Source:MGI Symbol;Acc:MGI:2443588] MQPAATTCTEDRIQHALERCLHGLSLGRRSAPWSAGLCLNCWSLQELVSRDPGHFLILLE QILQKTQEVQEKGTYDLLAPLALLFYSTVLCTPHFPPDSDLLLKAASTYHCFLTWPVPYC SICREMLTFIDAELKAPGISYQRLVRAEQGLPVRSHRSSTVTVLLLNPVEVQAEFLAVAD KLSTPGQSPHGTYTTLLLHAFQATFGAHCDLPKLHRKLQSKTIEELEDIFTETTEAQELA SGIGDVAEAREWLRTKLQAVGEKAGFPGILDTASPGKLHTIPIPVARCYTYSWNQDSFDI LQEVLLKEQELLQPGILGDDEEEEEEDLEMDRHCAERDSLLSTSSLVSHDSTLLLTSSQA SEPVLSRQMLTTFVSGLSDGMDSGYVEDSEENSEWPQKPGSQKRQGHRRPGQKFNRFYKL LKSTSQLVLRRDSRSLESSVDPTLPLRRAGSLCSPLDCPAQLPSRAQRSRSLPQAKLTTQ LPRWLLAPPSHHQRRRPFLSGDEDPKASTLRVVVFGSDRISGKVARAYSKLRRLETSHPI LTRFFKLQFFYVPVKRSHGTSPSACPSSLSQASPLPADSLKYPSPTDLGMAPWEDSTNDI SHYLGMLDPWYERNVLGLMHLPPEVLCQQSLKADSRPLEGSATQLPILADMLLYYCRFAA RPVLLQVYQTELTFVTGEKTTEIFIQSLELGHSATTRAIKASGRGRKRLGIDDDREAVPL TLQIIYSKGAISGRSRWSNLEKVCTSVNLSKACQKPEELDSSMEALTLTLTEVVKRQNPK SKKGFNQISTSYIKVDKVQIIGSSSCPFAVCLDQDERKILQSVVRCEVSPCYKPEKSSLP PERSFSQPAETGSDLCSLLCLPIMTFSGALP >ENSMUSP00000021296.6 pep:known chromosome:GRCm38:11:102152546:102156404:-1 gene:ENSMUSG00000020921.6 transcript:ENSMUST00000021296.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem101 description:transmembrane protein 101 [Source:MGI Symbol;Acc:MGI:1923797] MASKIGSRRWMLQLIMQLGSVLLTRCPFWGCFSQLMLYAERAEARRKPDIPVPYLYFDMG AAVLCASFMSFGVKRRWFALGAALQLAISTYTAYIGGYVHYGDWLKVRMYSRTVAIIGGF LVLASGAGELYRRKPRSRSLQSTGQVFLGIYLICVAYSLQHSKEDRLAYLNHLPGGELMV QLFFVLYGVLALAFLSGYYVTLAAQILAVLLPPVMLLIDGNVSYWHNTRRVEFWNQMKLL GESVGIFGAAVILATDG >ENSMUSP00000027339.7 pep:known chromosome:GRCm38:1:23845625:23922317:-1 gene:ENSMUSG00000026155.13 transcript:ENSMUST00000027339.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smap1 description:small ArfGAP 1 [Source:MGI Symbol;Acc:MGI:2138261] MATRSCREKAQKLNEQHQLILSKLLREEDNKYCADCEAKGPRWASWNIGVFICIRCAGIH RNLGVHISRVKSVNLDQWTPEQIQCMQDMGNTKARLLYEANLPENFRRPQTDQAVEFFIR DKYEKKKYYDKNAIAITNKEKEKKKDEKKREKEPEKPAKPLTTEKLPKKEEQQLEPKKST SPKNAAEPTIDLLGLDGPAEAPVTNGNPATAPALSDDLDIFGPMISNPLPAAVMPPAQGT ASVPAPATLSTVTSGDLDLFTEQTTKSEEVAKKQLSKDSILSLYGTGAQQSTPGVFMGPT NIPFTSQAPTAFQGFPSMGVPVPAAPGLIGNMMGQNTGMMVGMPMHNGFMGNAQTGVMPL PQNVVGPQGGMVGQMGAPQSKFGLPQAQQPQWNLSQMNQQMAAMNLSSANASAGFGQPPS TTAGWSGSSSGQTLSTQLWK >ENSMUSP00000117875.1 pep:known chromosome:GRCm38:1:23846296:23909689:-1 gene:ENSMUSG00000026155.13 transcript:ENSMUST00000129254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smap1 description:small ArfGAP 1 [Source:MGI Symbol;Acc:MGI:2138261] MQDMGNTKARLLYEANLPENFRRPQTDQAVEFFIRDKYEKKKYYDKNAIAITNKEKEKKK DEKKREKEPEKPAKPLTTEKLPKKEEQQLEPKKSTSPKNAAEPTIDLLGLDGPAEAPVTN GNPATAPALSDDLDIFGPMISNPLPAAVMPPAQGTASVPAPATLSTVTSGDLDLFTEQTT KSEEVAKKQLSKDSILSLYGTGAQQSTPGVFMGPTNIPFTSQAPTAFQGFPSMGVPVPAA PGLIGNMMGQNTGMMVGMPMHNGFMGNAQTGVMPLPQNVVGPQGGMVGQMGAPQSKFGLP QAQQPQWNLSQMNQQMAAMNLSSANASAGFGQPPSTTAGWSGSSSGQTLSTQLWK >ENSMUSP00000142185.1 pep:known chromosome:GRCm38:Y:13042891:13045326:1 gene:ENSMUSG00000104267.1 transcript:ENSMUST00000194687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20807 description:predicted gene, 20807 [Source:MGI Symbol;Acc:MGI:5434163] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHTFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKVLEVEKS >ENSMUSP00000079468.2 pep:known chromosome:GRCm38:7:31290519:31291821:-1 gene:ENSMUSG00000062556.3 transcript:ENSMUST00000080635.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb1b2 description:secretoglobin, family 1B, member 2 [Source:MGI Symbol;Acc:MGI:1930867] MKLTGALVILGATLLLLTSGGDCGICPAIKEDVHLFLFGTPEEYVNYVEKYKDDPETLEN TEKLKICVDRTLTKENKEHAAAFIEKIESSPLC >ENSMUSP00000142378.1 pep:known chromosome:GRCm38:9:108991778:109032228:1 gene:ENSMUSG00000025648.17 transcript:ENSMUST00000198140.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb4 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 4 [Source:MGI Symbol;Acc:MGI:2687284] MASPRELTQNPLKKIWMPYSNGRPALHASQRGVCMTNCPTLIVMVGLPARGKTYISKKLT RYLNWIGVPTREFNVGQYRRDIVKTYKSFEFFLPDNEEGLKIRKQCALAALSDVRKFLSE EGGHVAVFDATNTTRERRAMIFNFGEQNGYKTFFVESICVDPEVVAANIVQVKLGSPDYV NRDSDEATEDFMRRIECYENSYESLDEDLDRDLSYIKIMDVGQSYVVNRVADHIQSRIVY YLMNIHVTPRSIYLCRHGESELNLKGRIGGDPGLSPRGREFSKHLAQFISDQNIKDLKVW TSQMKRTIQTAEALSVPYEQWKVLNEIDAGVCEEMTYEEIQDHYPLEFALRDQDKYRYRY PKGESYEDLVQRLEPVIMELERQENVLVICHQAVMRCLLAYFLDKAAEELPYLKCPLHTV LKLTPVAYGCKVESIFLNVAAVNTHRDRPQNVDISRPSEEALVTVPAHQ >ENSMUSP00000057197.9 pep:known chromosome:GRCm38:9:108991902:109032228:1 gene:ENSMUSG00000025648.17 transcript:ENSMUST00000051873.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkfb4 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 4 [Source:MGI Symbol;Acc:MGI:2687284] MASPRELTQNPLKKIWMPYSNGRPALHASQRGARGKTYISKKLTRYLNWIGVPTREFNVG QYRRDIVKTYKSFEFFLPDNEEGLKIRKQCALAALSDVRKFLSEEGGHVAVFDATNTTRE RRAMIFNFGEQNGYKTFFVESICVDPEVVAANIVQVKLGSPDYVNRDSDEATEDFMRRIE CYENSYESLDEDLDRDLSYIKIMDVGQSYVVNRVADHIQSRIVYYLMNIHVTPRSIYLCR HGESELNLKGRIGGDPGLSPRGREFSKHLAQFISDQNIKDLKVWTSQMKRTIQTAEALSV PYEQWKVLNEIDAGVCEEMTYEEIQDHYPLEFALRDQDKYRYRYPKGESYEDLVQRLEPV IMELERQENVLVICHQAVMRCLLAYFLDKAAEELPYLKCPLHTVLKLTPVAYGCKVESIF LNVAAVNTHRDRPQNVDISRPSEEALVTVPAHQ >ENSMUSP00000143249.1 pep:known chromosome:GRCm38:9:108992016:109030712:1 gene:ENSMUSG00000025648.17 transcript:ENSMUST00000196249.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfkfb4 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 4 [Source:MGI Symbol;Acc:MGI:2687284] MASPRELTQNPLKKIWMPYSNGRPALHASQRGVLLAFQSA >ENSMUSP00000142992.1 pep:known chromosome:GRCm38:9:108992016:109030712:1 gene:ENSMUSG00000025648.17 transcript:ENSMUST00000199591.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfkfb4 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 4 [Source:MGI Symbol;Acc:MGI:2687284] MASPRELTQNPLKKIWMPYSNGRPALHASQRGVCMTNCPTLIVMVGLPARGKTYISKKLT RYLNWIGVPTREFNVGQYRRDIVKTYKSFEFFLPDNEEGLKIRKQCALAALSDVRKFLSE EGGHVAVFDATNTTRERRAMIFNFGEQNGYKTFFVESICVDPEVVAANIVQVKLGSPDYV NRDSDEATEDFMRRIECYENSYESLDEDLDRDLSYIKIMDVGQSYVVNRVADHIQSRIVY YLMNIHVTPRSIYLCRHGESELNLKGRIGGDPGLSPRGREFSKHLAQFISDQNIKDLKVW TSQMKRTIQTAEALSVPYEQWKVLNEIDAGVCEEMTYEEIQDHYPLEFALRDQDKYRPMK TWCSGWSPSSWNWRGRRMCWSFATRLSCAASWPTSLTRQLKSCPTSNAPCTQS >ENSMUSP00000142339.1 pep:known chromosome:GRCm38:9:109011186:109028895:1 gene:ENSMUSG00000025648.17 transcript:ENSMUST00000200015.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pfkfb4 description:6-phosphofructo-2-kinase/fructose-2,6-biphosphatase 4 [Source:MGI Symbol;Acc:MGI:2687284] XDQNIKDLKVWTSQMKRTIQTAEALSVPYEQWKVLNEIDAGVCEEMTYEEIQDHYPLEFA LRDQDKYRYRYPKGEASSFLRESPAIHFPDCSKRP >ENSMUSP00000146061.1 pep:known chromosome:GRCm38:7:31290508:31304977:1 gene:ENSMUSG00000036521.5 transcript:ENSMUST00000205821.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b2 description:secretoglobin, family 2B, member 2 [Source:MGI Symbol;Acc:MGI:3042579] MGLKAKTEDMKLMTTILFSSECRSYYTKEVLKNILVKFSKKLTHRS >ENSMUSP00000048540.4 pep:known chromosome:GRCm38:7:31302761:31304977:1 gene:ENSMUSG00000036521.5 transcript:ENSMUST00000038835.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scgb2b2 description:secretoglobin, family 2B, member 2 [Source:MGI Symbol;Acc:MGI:3042579] MKGTLLLLALLVTGELGFQRTEACIPFFGVYLGILSGNRIGLHTELAPFDPTVEEKEAFE KIQDCYEEEGLKAKTEDMKLMTTILFSSECRSYYTKEVLKNILVKFSKKLTHRS >ENSMUSP00000119465.1 pep:known chromosome:GRCm38:1:173350879:173466040:1 gene:ENSMUSG00000037860.15 transcript:ENSMUST00000147604.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aim2 description:absent in melanoma 2 [Source:MGI Symbol;Acc:MGI:2686159] MESEYREMLLLTGLDHITEEELKRFKYFALTEFQIARSTLDVADRTELADHLIQSAGAAS AVTKAINIFQKLNYMHIANALEEKKKEAERKLMTNTKKRGTQKVENRSQAENCSAASATR SDNDFKEQAATEVCPQAKPQKKQMVAEQEAIREDLQKDPLVVTVLKAINPFECETQEGRQ EIFHATVATETDFFFVKVLNAQFKDKFIPKRTIKISNYLWHSNFMEVTSSSVVVDVESNH EVPNNVVKRARETPRISKLKIQPCGTIVNGLFKVQKITEEKDRVLYGIHDKTGTMEVLVL GNPSKTKCEEGDKIRLTFFEVSKNGVKIQLKSGPCSFFKVIKAAKPKTDMKSVE >ENSMUSP00000134329.1 pep:known chromosome:GRCm38:1:173420598:173459719:1 gene:ENSMUSG00000037860.15 transcript:ENSMUST00000173023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aim2 description:absent in melanoma 2 [Source:MGI Symbol;Acc:MGI:2686159] MESEYREMLLLTGLDHITEEELKRFKYFALTEFQIARSTLDVADRTELADHLIQSAGAAS AVTKAINIFQKLNYMHIANALEEKKKEAERKLMT >ENSMUSP00000121333.1 pep:known chromosome:GRCm38:1:173454971:173455595:1 gene:ENSMUSG00000037860.15 transcript:ENSMUST00000151176.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aim2 description:absent in melanoma 2 [Source:MGI Symbol;Acc:MGI:2686159] MESEYREMLLLTGLDHITEEELKRFKYFALTEFQIARSTLDVADRTELADHLIQSAGAAS AVTKAINIFQKLNYMHIANAL >ENSMUSP00000132253.2 pep:known chromosome:GRCm38:1:173455354:173466032:1 gene:ENSMUSG00000037860.15 transcript:ENSMUST00000166137.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aim2 description:absent in melanoma 2 [Source:MGI Symbol;Acc:MGI:2686159] MESEYREMLLLTGLDHITEEELKRFKYFALTEFQIARSTLDVADRTELADHLIQSAGAAS AVTKAINIFQKLNYMHIANALEEKKKEAERKLMTNTKKRGTQKVENRSQAENCSAASATR SDNDFKEQAATEVCPQAKPQKKQMVAEQEAIREDLQKDPLVVTVLKAINPFECETQEGRQ EIFHATVATETDFFFVKVLNAQFKDKFIPKRTIKISNYLWHSNFMEVTSSSVVVDVESNH EVPNNVVKRARETPRISKLKIQPCGTIVNGLFKVQKITEEKDRVLYGIHDKTGTMEVLVL GNPSKTKCEEGDKIRLTFFEVSKNGVKIQLKSGPCSFFKVIKAAKPKTDMKSVE >ENSMUSP00000003720.4 pep:known chromosome:GRCm38:5:8966033:8997324:-1 gene:ENSMUSG00000003623.4 transcript:ENSMUST00000003720.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crot description:carnitine O-octanoyltransferase [Source:MGI Symbol;Acc:MGI:1921364] MENQLTKSVEERTFQYQDSLPSLPVPALEESLKKYLESVKPFANEDEYKKTEEIVQKFQE GAGKRLHQKLLERARGKRNWLEEWWLNVAYLDVRIPSQLNVNFVGPCPHFEHYWPAREGT QLERGSMMLWHNLNYWQLLRREKLPVHKSGNTPLDMNQFRMLFSTCKVPGITRDSIMNYF KTESEGHCPTHIAVLCRGRAFVFDVLHEGCLITPPELLRQLTYIHKKCSNEPVGPSIAAL TSEERTRWAKAREYLISLDPENLTLLEKIQTSLFVYSIEDSSPHATPEEYSQVFEMLLGG DPSVRWGDKSYNLISFANGIFGCCCDHAPYDAMVMVNIAHYVDERVLETEGRWKGSEKVR DIPLPEELVFTVDEKILNDVSQAKAQHLKAASDLQIAASTFTSFGKKLTKEEALHPDTFI QLALQLAYYRLHGRPGCCYETAMTRYFYHGRTETVRSCTVEAVRWCQSMQDPSASLLERQ QKMLEAFAKHNKMMKDCSHGKGFDRHLLGLLLIAKEEGLPVPELFEDPLFSRSGGGGNFV LSTSLVGYLRVQGVVVPMVHNGYGFFYHIRDDRFVVACSSWRSCPETDAEKLVQMIFHAF HDMIQLMNTAHL >ENSMUSP00000062924.5 pep:known chromosome:GRCm38:16:18127642:18152408:1 gene:ENSMUSG00000043811.5 transcript:ENSMUST00000059589.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtn4r description:reticulon 4 receptor [Source:MGI Symbol;Acc:MGI:2136886] MKRASSGGSRLLAWVLWLQAWRVATPCPGACVCYNEPKVTTSCPQQGLQAVPTGIPASSQ RIFLHGNRISHVPAASFQSCRNLTILWLHSNALARIDAAAFTGLTLLEQLDLSDNAQLHV VDPTTFHGLGHLHTLHLDRCGLRELGPGLFRGLAALQYLYLQDNNLQALPDNTFRDLGNL THLFLHGNRIPSVPEHAFRGLHSLDRLLLHQNHVARVHPHAFRDLGRLMTLYLFANNLSM LPAEVLMPLRSLQYLRLNDNPWVCDCRARPLWAWLQKFRGSSSEVPCNLPQRLADRDLKR LAASDLEGCAVASGPFRPIQTSQLTDEELLSLPKCCQPDAADKASVLEPGRPASAGNALK GRVPPGDTPPGNGSGPRHINDSPFGTLPSSAEPPLTALRPGGSEPPGLPTTGPRRRPGCS RKNRTRSHCRLGQAGSGASGTGDAEGSGALPALACSLAPLGLALVLWTVLGPC >ENSMUSP00000002011.7 pep:known chromosome:GRCm38:9:37528078:37538319:1 gene:ENSMUSG00000001946.13 transcript:ENSMUST00000002011.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esam description:endothelial cell-specific adhesion molecule [Source:MGI Symbol;Acc:MGI:1916774] MILQAGTPETSLLRVLFLGLSTLAAFSRAQMELHVPPGLNKLEAVEGEEVVLPAWYTMAR EESWSHPREVPILIWFLEQEGKEPNQVLSYINGVMTNKPGTALVHSISSRNVSLRLGALQ EGDSGTYRCSVNVQNDEGKSIGHSIKSIELKVLVPPAPPSCSLQGVPYVGTNVTLNCKSP RSKPTAQYQWERLAPSSQVFFGPALDAVRGSLKLTNLSIAMSGVYVCKAQNRVGFAKCNV TLDVMTGSKAAVVAGAVVGTFVGLVLIAGLVLLYQRRSKTLEELANDIKEDAIAPRTLPW TKGSDTISKNGTLSSVTSARALRPPKAAPPRPGTFTPTPSVSSQALSSPRLPRVDEPPPQ AVSLTPGGVSSSALSRMGAVPVMVPAQSQAGSLV >ENSMUSP00000122473.1 pep:known chromosome:GRCm38:9:37528781:37537112:1 gene:ENSMUSG00000001946.13 transcript:ENSMUST00000146860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esam description:endothelial cell-specific adhesion molecule [Source:MGI Symbol;Acc:MGI:1916774] MELHVPPGLNKLEAVEGEEVVLPAWYTMAREESWSHPREVPILIWFLEQEGKEPNQVLSY INGVMTNKPGTALVHSISSRNVSLRLGALQEGDSGTYRCSVNVQNDEGKSIGHSIKSIEL KVLVPPAPPSCSLQGVPYVGTNVTLNCKSPRSKPTAQYQWERLAPSSQVFFGPALDAVRG SLKLTNLSIAMSGVYVCKAQNRVGFAKCNVTLDVMTGSKAAVVAGAVVGTFVGLVLIAGL VLLYQRRSKTLE >ENSMUSP00000114632.1 pep:known chromosome:GRCm38:9:37530250:37531641:1 gene:ENSMUSG00000001946.13 transcript:ENSMUST00000144596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esam description:endothelial cell-specific adhesion molecule [Source:MGI Symbol;Acc:MGI:1916774] MELHVPPGLNKLEAVEGEEVVLPAWYTMAREESWSHPREVPILI >ENSMUSP00000116300.1 pep:known chromosome:GRCm38:9:37530924:37534199:1 gene:ENSMUSG00000001946.13 transcript:ENSMUST00000123198.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Esam description:endothelial cell-specific adhesion molecule [Source:MGI Symbol;Acc:MGI:1916774] MELHVPPGLNKLEAVEGEEVVLPAWYTMAREESWSHPREVPILIWFLEQEGKEPNQVLSY INGVMTNKPGTA >ENSMUSP00000009798.4 pep:known chromosome:GRCm38:10:59422958:59441778:-1 gene:ENSMUSG00000009654.4 transcript:ENSMUST00000009798.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oit3 description:oncoprotein induced transcript 3 [Source:MGI Symbol;Acc:MGI:1201782] MPLSLLLTCLSTTVTLVSPAVLDPCSAYISLNEPWRNTDHQFDESQNQPLCDNHMNGEWY RFTGMAGDAMPTFCIPENHCGTHAPVWLNGSHPLEEDGIVQRQACASFKGNCCLWNATVE VKACPRGYYVYRLARPSVCFHVYCGHFYDICDEDCHGNCLDTTECACSPGTSLGPDGQTC FDENECEHNNGGCSEICVNLKNSHRCACGVGRVLRSDGKTCEDIEGCHNNNGGCSHSCLG SEEGYQCECPRGLVLSEDNHTCQVPVLCKSSAIEVSVPRELVGGLELFLTNTSCRGVSNG THVNIVFSLKTCGTVVDVVNDKIVASNIVTGLPKETPGSSGDIIIRTSKLLIPVTCEFPR LYTISEGYVPNLRNAPLEIRSRNHGIFPFTLEIFKDHEFEEPYRETLPTLKLRDSLYFGI EPLVHVNGLESLVESCFATPTAKMDEILKYYLIQDGCVSDDSVKQYSSRDHLAKHFQAPV FKFVGKDHKEVFLHCRVLVCGVLDERSRCAQGCHRRVRREVGEDEDSAGLQSQTLTGGPI AIDWED >ENSMUSP00000129467.2 pep:known chromosome:GRCm38:10:107762223:107912134:-1 gene:ENSMUSG00000091455.3 transcript:ENSMUST00000165341.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otogl description:otogelin-like [Source:MGI Symbol;Acc:MGI:3647600] MVPWRALSLPILLVSLRGYVCASSVLSETSESEFYENEQRRALLAVQFEATSPRYFFHEA INWGESKIKGSCPHECLNGAFCSKTGTCDCQIFQALGTRCQIVPNMGSGRDGICKTWGQY HFETFDGIYYYFPGSCSYIFAKDCGNLEPQYTVWVHNSPKCLGSVYSCYRSISLFFSNQE EIRIYGHEIRKNGISLSLPQTLGQVHLEKVADYILVKTTFGFSLAWDGISGIYLKLSEEH RGKSCGLCANYNGIQSDDFVILQEDYTEDIAMFANSWLVLTPDDTKCVPTPSDFPNPCSS GMPAFEAIFFKCQILLQFPFLSCHEYIDPYLYIASCVNDLCKTDDDETYCRAATEYARAC SHAGFPIQDWRDDFPACTDKCDDSFVHRDCISCCPPSCTFEKQCLGSNLHCLDGCYCADG LIMDNGTCISLESCPCSFHGLAYSVGSKIEQECTECVCVGGVWNCTEHDCPVQCSVVGDS HFTTFDGRHYSFIGLCQYILVKGTGKDRFTITLQKAHCEQNLGLVCLQSITLILEDDFNK QVTLSRGGQIVTSPNQGFTLNGIVEIQTLSSLFILLRTTFGLKILFAIDGERIYIQLSSA WKRRTLGLCGTFNGNIRDDFLSPSGMIEGTPQLHAHAWRVSSTCFAPVHVPMVDPCNINQ QNIGYAAHCDVIHQELFAPCHVYVSPGLYYQLCRHDACKCGSPCLCNALAHYAYLCGQRG VPIDFRAHISFCAVVCQKGMLYHHCSSLCLRSCTSLSSPEQCKDDCAEGCNCPEGKFYEE TLNFCVPIYHCRCHYRGSIYQPGELIPTPSGLCQCSNGTVKCDELATPSTVHACPEGKEY FDCRFPDPALPAGGINCETTCANLAMNFTCAPSSPCISGCVCAAGMAEHKGKCYVPESCP CIWKDWEYSSGEVISTPCYTCVCRRGMFNCTYYPCPAVCTVYGDRHYHSFDGLEYDYISD CQVFLIKSTDDSDISVISQNKKCFDNDIVCSKSVLISIGDTEIYLNDAPYKQKRSGFFLE SRPEYQLWKAGFYIVIYFPEEDITILWDEKTTIHIKVGPQWKNKLAGLCGNFDKCTSNDM TTSNNIEVRNAQVFGDSWALGQCEDLMEALKPCEAHQNKFPYAKRECSILYSDVFAPCRN VIDVTSFAKNCHEDTCNCNLGGDCECLCTSVAAYAYKCCQEGVPVHWRSPTVCALDCEYY NQGLGEGPYMLASYGQSGLVLGANMTSRSVFSLPRSNNRGNLFFIFMITPGLFKEKTSSL ALVSLESAERPNYFLYVHDNDTLSLKLWRANSEFHQRATFFHHQGLWIPGYSAFELYSKK GYFIVFMGSSVKASKYDDSEEFKQSSSFSIEEIQAVVPYRRMCEWRYEPCATPCFKTCSD PEALACTFLPPVEGCLPYCPKNMILDETTLKCVHPEDCIPLFPTEPALPPDITPSDMTPT PGLECEPQQFDPVYNCSQYICLNMEWTFYNWSLNCPKDLEMPDCGFRGWPVQVNTDICCP EWECPCRCSMLSELSIITFDGNSAALSSMASYILVRVPGEIVVVHIDKCSMNQNGHALKK PASFGRISGLCFKKLNVTTSIHKILINRVVRKVDVDSIVVPLPFSSHELFIEDSGTMYVI TTPAGLIIKWAHLTGIIDIHFGPQFNLSSYTEGLCGICNDNPDDDLRMQNGTIITNMEDI ELFIGSWEIEKSFEVTMRRPVRNCTEYDCSHCIELLNREGFIPCHDKVSPRDFCEKMWIN YTYFWSYECDAISAYVALCNKFDICIQWRTPDYCPLSCPEGKEYQPCVRPCEARTCLNKW FYGHSSCLNLREDCVCKNGTILHRPDKTLCIPEQECVCTDREEHPRSAGEIWNGGIDECT LYKCLEDGNIIPIEPVCEEEPSPICERTAEVVIGIVDKLTCCSKKVCGCDMSLCDRTIPP CTNSQKLIVGYSALSCCPQYECECDTVRCPDISTPVCRDDQFVLQVRQGEPCCFYPSCVC KTCTEPTPQCTDGEFLTVNINTTHLCCPQYYCVCEPDLCPPPSLECAKDMNLVKENVSGQ CCPNWRCECNCETLVMPTCDVGEFAAIDQNFQTDCGCVQYLCEKDDVCVFQEVSVLNPGQ SLIKYLEEEFCYIIECLDEKDNYTDFHTLNVTMVNCSKDCDAHQIYIPSSSDYDCCGTCK NISCKFIMENGTSVIYEEGSTWHYNCSTYECVNTEEGATILNYSMVCPPFNETECKLNEG IVKLYNEGCCKICKREERICQKVIIKSIIKKQDCVSQSSISVASCDGKCPSATIYNINVE SHLRFCKCCRENGVRNVTVPLHCSGNGTEVMYTLQEPIDCTCQWN >ENSMUSP00000120268.1 pep:known chromosome:GRCm38:2:30077684:30081125:1 gene:ENSMUSG00000026785.14 transcript:ENSMUST00000125346.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkn3 description:protein kinase N3 [Source:MGI Symbol;Acc:MGI:2388285] MEPEGAVRQPGTGQRAPKDEKEMVRRAIQKELKIKEGMENMRRVATDRRHLGHVQQLLRA SNRRLEQLHGELRELHAQVLLPASAEPVTSEPQPRAEQSRARLSEALHRQLQVELKVKQG AENMIHTCASGTPKERKLLAAAQQMLKDSQLKVALLRMKISSLESSGSPEPGPDLLAEEL QHRLRVEAAVAAGAKNVVKLLGGQRMQDRKALAEAQAQLQESSQKLDLLRLALELLLE >ENSMUSP00000114492.1 pep:known chromosome:GRCm38:2:30078213:30079982:1 gene:ENSMUSG00000026785.14 transcript:ENSMUST00000150770.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkn3 description:protein kinase N3 [Source:MGI Symbol;Acc:MGI:2388285] MCHLSRRERYCSMPGQLKPGTGQRAPKDEKEMVRRAIQKELKIKEGMENMRRVATDRRHL GHVQQLLRASNRRLEQLHGELRELHAQVLLPASAEPVTSEPQPRAEQSRARLS >ENSMUSP00000041025.7 pep:known chromosome:GRCm38:2:30078584:30091019:1 gene:ENSMUSG00000026785.14 transcript:ENSMUST00000045246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkn3 description:protein kinase N3 [Source:MGI Symbol;Acc:MGI:2388285] MEHRKPGTGQRAPKDEKEMVRRAIQKELKIKEGMENMRRVATDRRHLGHVQQLLRASNRR LEQLHGELRELHAQVLLPASAEPVTSEPQPRAEQSRARLSEALHRQLQVELKVKQGAENM IHTCASGTPKERKLLAAAQQMLKDSQLKVALLRMKISSLESSGSPEPGPDLLAEELQHRL RVEAAVAAGAKNVVKLLGGQRMQDRKALAEAQAQLQESSQKLDLLRLALELLLERLPPTH SLRSRVTQELWMAMLGNPQPLGTLVKPIALTGTLQVRLLGCKDLLVAVPGRSPMAVLAGS PSESWLRTRSRQQRGGGELASEVLAVLKVDNRVVGQTGWGLVAEKSWDQSFIISLDRARE LEIGVHWRDWRQLCGVAFLKLEDFLDNACHQLSLSLVPQGRLFAQVTFCEPVIERRPRLQ RQRCIFSKRRGRDFMRASQMNLSMAAWGRLVMSLLPPCSSPNTASPPKGRPSTAVCGTPS AASPSNFLPMKTLSKEDTKPPPKPPRLYLQEPAPGTPCTKRPHMDPRPAVVPALAALSTR KPPRLQDFRCLAVLGRGHFGKVLLVQYKGTGKYYAIKALKKQEVLGRDEIDSLYCEKRIL ETVGRTGHPFLLSLLACLQTSSHACFVTEFLPGGDLMAQIHEDVFPEPQACFYLACVVLG LQFLHEKRIIYRDLKLDNLLLDAQGFLKIADFGLCKEGIGFGDRTSTFCGTPEFLAPEVL TQEAYTRAVDWWGLGVLLYEMLVGECPFPGDTEEEVFECIVSADVPCPHFLSVQGLELIQ KLLQKSPEKRLGAGERDAEEIKVQPFFRTTNWQALLARTVQPPFVPTLCGPADLRYFEGE FTSLPPTLTPPVSQSSLTARQQAAFRDFDFVSEQFLES >ENSMUSP00000108086.1 pep:known chromosome:GRCm38:19:34592891:34599327:-1 gene:ENSMUSG00000079339.6 transcript:ENSMUST00000112467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifit1bl1 description:interferon induced protein with tetratricpeptide repeats 1B like 1 [Source:MGI Symbol;Acc:MGI:3650685] MEQLLSPSNMSAKSHSCLIYDSLVELRCHFTWKLVIEKVDMPDLEVRISETEFFDASYSI GMHNLLAYVRHLKGQQEEALQSLKEAEALIQSEQLSKRRLVTWGNCAWLHYHRGSLAEAQ VYLDKVEKVCKEFSSPFQYRLECAEMDCEEGWALLKCGIQNYKGAMACFAKALKVEPENP EYNAGYAVVAYRLDHIDGTSLQHLQKAVSVKPEDPYLKVLLALKLQDLHKLEEAEKHIEE TLPRISSQPYVFGYVAKFYRRKGLVKEALEFLGRALQKQPCSTFLHFQIGLCHKKRLIQI KKASNMQPRGEDRKRADQSIHLAICHFKRTLELKPTYVMAYVTLAEMYIEKNQLKEAEDN FQKLLNMSNLEDHIQQEIHFRYGNFQQYYKKSEEAAITHYLKGLKIEVTSHYRDKPLKAL EKLAKRRKEDHVLENLGLLGFVYKLKGNTSEAMSCYERALRLTGAVNPEF >ENSMUSP00000132781.1 pep:known chromosome:GRCm38:19:34592888:34601968:-1 gene:ENSMUSG00000079339.6 transcript:ENSMUST00000168254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifit1bl1 description:interferon induced protein with tetratricpeptide repeats 1B like 1 [Source:MGI Symbol;Acc:MGI:3650685] MEQLLSPSNVSAKSHSCLIYDSLVELRCHFTWKLVIEKVDMPDLEVRISETEFFDASYSI GMHNLLAYVRHLKGQQEEALQSLKEAEALIQSEQLSKRRLVTWGNCAWLHYHRGSLAEAQ VYLDKVEKVCKEFSSPFQYRLECAEMDCEEGWALLKCGIQNYKGAMACFAKALKVEPENP EYNAGYAVVAYRLDHIDGTSLQHLQKAVSVKPEDPYLKVLLALKLQDLHKLEEAEKHIEE TLPRISSQPYVFGYVAKFYRRKGLVKEALEFLGRALQKQPCSTFLHFQIGLCHKKRLIQI KKASNMQPRGEDRKRADQSIHLAICHFKRTLELKPTYVMAYVTLAEMYIEKNQLKEAEDN FQKLLNMSNLEDHIQQEIHFRYGNFQQYYKKSEEAAITHYLKGLKIEVTSHYRDKPLKAL EKLAKRRKEDHVLENLGLLGFVYKLKGNTSEAMSCYERALRLTGAVNPEF >ENSMUSP00000139557.1 pep:known chromosome:GRCm38:16:88962273:88962873:-1 gene:ENSMUSG00000096534.2 transcript:ENSMUST00000179707.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap16-3 description:keratin associated protein 16-3 [Source:MGI Symbol;Acc:MGI:1918619] MSYYSGYSGGLGYGYGGFGGLGCGCNSIRRLGCGCGSGSYGYGSGFGGFGYGSGFGGYGY GSGYGGYGYGCGRPSCCGGYGFSSFY >ENSMUSP00000075599.5 pep:known chromosome:GRCm38:19:34607970:34613401:1 gene:ENSMUSG00000062488.9 transcript:ENSMUST00000076249.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifit3b description:interferon-induced protein with tetratricopeptide repeats 3B [Source:MGI Symbol;Acc:MGI:3698419] MSEVNRESLEAILPQLKCHFTWNLFREGSMSSHMEDRVCNQLEHLNSEEKATMYDLLAYI KHLDGESKAALECLGQAEDLRKSEHKDQAEIRRLVTWGNYAWIYYHMGRLSEAQAYVDKV RQVCQKFANPYSMECPELECEEGWTRLKCGRNERAKMCFEKALEEKPKDPECSSGMAIAM FRLEEKPEKQFSVDALKQAMELNPQNQYVKVLLALKLLRMGEEAEGERLIKDALGKAPNQ TDVLQKAAQFYKKKGNLDRAIELLGKALRSTVNNSPLYSLVMCRYREILEQLQNKGDADS SERRQRMAELRRLTMEFMQKTLQRRRSPLNSYSDLIDFPEVERCYQMVISKESPDVEEED LYERYCNLQEYHRKSEDLAALECLLQFPRNERSIEKEEVKQQT >ENSMUSP00000099889.3 pep:known chromosome:GRCm38:19:34583531:34588731:1 gene:ENSMUSG00000074896.3 transcript:ENSMUST00000102825.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifit3 description:interferon-induced protein with tetratricopeptide repeats 3 [Source:MGI Symbol;Acc:MGI:1101055] MSEVNRESLEAILPQLKCHFTWNLFREGSMSSHMEDRVCNQVEHLNSEEKATMYDLLAYI KHLDGESKAALECLGQAEDLRKSEHNDQSEIRRLVTWGNYAWIYYHMGRLSEAQAYVDKV RQVCQKFANPYSMECPELECEEGWTRLKCGRNERAKMCFEKALEEKPKDPECSSGMAIAM FRLEEKPEKQFSVDALKQAMELNPQNQYLKVLLALKLLRMGEEAEGERLIKDALGKAPNQ TDVLQKAAQFYKKKGNLDRAIELLGKALRSTVNNSPLYSLVMCRYREILEQLQNKGDADS SERRQRMAELRRLTMEFMQKTLQRRRSPLNSYSDLIDFPEVERCYQMVISKESPDVEEED LYERYCNLQEYHRKSEDLAALECLLQFPRNERSIEKEEVKEQT >ENSMUSP00000020317.7 pep:known chromosome:GRCm38:11:17203198:17211568:-1 gene:ENSMUSG00000020116.7 transcript:ENSMUST00000020317.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pno1 description:partner of NOB1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1913499] METQSTGTEDGFTPVTHRGGRRAKKRQAEQSSAAGQDGEAGRMDTEEARPAKRPVFPPLS GDQLLTGKEETRKIPVPGNRYTPLKENWMKIFTPIVEHLGLQIRFNLKSRNVEIRTCKDT KDVSALTKAADFVKAFVLGFQVEDALALIRLDDLFLESFEITDVKPLKGDHLSRAIGRIA GKGGKTKFTIENVTRTRIVLADVHVHILGSFQNIKMARTAICNLILGNPPSKVYGNIRAV ASRSADRF >ENSMUSP00000040342.3 pep:known chromosome:GRCm38:X:7919822:7924410:1 gene:ENSMUSG00000039278.10 transcript:ENSMUST00000041096.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcsk1n description:proprotein convertase subtilisin/kexin type 1 inhibitor [Source:MGI Symbol;Acc:MGI:1353431] MAGSPLLCGPRAGGVGILVLLLLGLLRLPPTLSARPVKEPRSLSAASAPLVETSTPLRLR RAVPRGEAAGAVQELARALAHLLEAERQERARAEAQEAEDQQARVLAQLLRAWGSPRASD PPLAPDDDPDAPAAQLARALLRARLDPAALAAQLVPAPAAAPRPRPPVYDDGPTGPDVED AGDETPDVDPELLRYLLGRILTGSSEPEAAPAPRRLRRSVDQDLGPEVPPENVLGALLRV KRLENPSPQAPARRLLPP >ENSMUSP00000086505.1 pep:known chromosome:GRCm38:16:89063412:89064002:-1 gene:ENSMUSG00000068073.2 transcript:ENSMUST00000089104.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1110025L11Rik description:RIKEN cDNA 1110025L11 gene [Source:MGI Symbol;Acc:MGI:1915887] MCGYYGNYYGGRGYGCCGYGGLGYGYGGLGCGYGSYYGCGYRGLGCGYGYGCGYGSRSLY GCGYGCGSGYGSGFGYYY >ENSMUSP00000124470.1 pep:known chromosome:GRCm38:6:113168090:113195384:-1 gene:ENSMUSG00000042873.11 transcript:ENSMUST00000162280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl4 description:lipoma HMGIC fusion partner-like protein 4 [Source:MGI Symbol;Acc:MGI:3057108] MLPSQEASKLYHEHYMRNSRAIGVLWAIFTICFAIINVVVFIQPYWVGDSVSTPKPGYFG LFHYCVGSGLAGRELTCRGSFTDFSTIPSSAFKAAAFFVLLSMVLILGCITCFALFFFCN TATVYKICAWMQLLAALCLVLGCMIFPDGWDAETIRDMCGAKTGKYSLGDCSVRWAYILA IIGILNALILSFLAFVLGNRQTDLLQEELKQENKDFVGTTVSSVLRPGGDVSGWGVLPCP VAHTQGP >ENSMUSP00000144899.1 pep:known chromosome:GRCm38:6:113175395:113193943:-1 gene:ENSMUSG00000042873.11 transcript:ENSMUST00000203665.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl4 description:lipoma HMGIC fusion partner-like protein 4 [Source:MGI Symbol;Acc:MGI:3057108] XAAFFVLLSMVLILGCITCFALFFFCNTATVYKICAWMQLLAALCLVLGCMIFPDGWDAE TIRDMCGAKTGKYSLGDCSVRWAYILAIIGILNALILSFLAFVLGNRQTDLLQEELKQEN KGKCVDQRARPAVRQERATADAIKGVKQKLHTGSWRFRALATLPEALG >ENSMUSP00000040355.3 pep:known chromosome:GRCm38:17:6079786:6086517:1 gene:ENSMUSG00000034345.14 transcript:ENSMUST00000039487.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h5 description:general transcription factor IIH, polypeptide 5 [Source:MGI Symbol;Acc:MGI:107227] MVNVLKGVLIECDPAMKQFLLYLDEANALGKKFIIQDIDDTHVFVIAELVNVLQERVGEL MDQNAFSLTQK >ENSMUSP00000098515.2 pep:known chromosome:GRCm38:17:6079930:6085132:1 gene:ENSMUSG00000034345.14 transcript:ENSMUST00000100955.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gtf2h5 description:general transcription factor IIH, polypeptide 5 [Source:MGI Symbol;Acc:MGI:107227] MVNVLKGVLIECDPAMKQFLLYLDEANALGKKFIIQDIDDTHVFVIAELVNVLQERVGEL MDQNAFSLTQK >ENSMUSP00000020522.8 pep:known chromosome:GRCm38:10:77986947:78009807:-1 gene:ENSMUSG00000020277.9 transcript:ENSMUST00000020522.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pfkl description:phosphofructokinase, liver, B-type [Source:MGI Symbol;Acc:MGI:97547] MATVDLEKLRMSGAGKAIGVLTSGGDAQGMNAAVRAVTRMGIYVGAKVFLIYEGYEGLVE GGENIKPANWLSVSNIIQLGGTIIGSARCKAFTTREGRLAAAYNLLQHGITNLCVIGGDG SLTGANIFRNEWGSLLEELVKEGKISESTAQNYAHLTIAGLVGSIDNDFCGTDMTIGTDS ALHRIMEVIDAITTTAQSHQRTFVLEVMGRHCGYLALVSALASGADWLFIPEAPPEDGWE NFMCERLGETRSRGSRLNIIIIAEGAIDRHGKPISSSYVKDLVVQRLGFDTRVTVLGHVQ RGGTPSAFDRILSSKMGMEAVMALLEATPDTPACVVSLSGNQSVRLPLMECVQVTKDVQK AMDEERFDEAIQLRGRSFENNWKIYKLLAHQKVSKEKSNFSLAILNVGAPAAGMNAAVRS AVRTGISEGHTVYIVHDGFEGLAKGQVQEVGWHDVAGWLGRGGSMLGTKRTLPKPHLEAI VENLRTYNIHALLVIGGFEAYEGVLQLVEARGRYEELCIVMCVIPATISNNVPGTDFSLG SDTAVNAAMESCDRIKQSASGTKRRVFIVETMGGYCGYLATVTGIAVGADAAYVFEDPFN IHDLKANVEHMTEKMKTDIQRGLVLRNEKCHEHYTTEFLYNLYSSEGRGVFDCRTNVLGH LQQGGAPTPFDRNYGTKLGVKAMLWVSEKLRDVYRKGRVFANAPDSACVIGLRKKVVAFS PVTELKKETDFEHRMPREQWWLNLRLMLKMLAHYRISMADYVSGELEHVTRRTLSIDKGF >ENSMUSP00000140246.1 pep:known chromosome:GRCm38:16:89084015:89084534:1 gene:ENSMUSG00000057855.2 transcript:ENSMUST00000185581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap6-3 description:keratin associated protein 6-3 [Source:MGI Symbol;Acc:MGI:1330279] MCYYRGYYGGLGYGYGGLGYGYGCGYGCGYGCGYGGYGGYGYGCCRPLCCRRYYGFY >ENSMUSP00000078152.1 pep:known chromosome:GRCm38:16:89084091:89084534:1 gene:ENSMUSG00000057855.2 transcript:ENSMUST00000079151.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap6-3 description:keratin associated protein 6-3 [Source:MGI Symbol;Acc:MGI:1330279] YRGYYGGLGYGYGGLGYGYGCGYGCGYGCGYGGYGGYGYGCCRPLCCRRYYGFY >ENSMUSP00000033500.4 pep:known chromosome:GRCm38:X:7924276:7928607:-1 gene:ENSMUSG00000031160.4 transcript:ENSMUST00000033500.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eras description:ES cell-expressed Ras [Source:MGI Symbol;Acc:MGI:2665023] MALPTKSSILDLSSGTPCTRSPEESHEAWAQCKDAGRQLPEYKAVVVGASGVGKSALTIQ MTHQCFVKDHDPTIQDSYWKEVARDNGGYILNVLDTSGQDIHRALRDQCLASGDGVLGVF ALDDPSSLDQLQQIWSTWTPHHKQPLVLVGNKCDLVTTAGDAHAAAALLAHKLGAPLVKT SAKTRQGVEEAFALLVHEIQRAQEAVAESSKKTRHQKAVCSCGCSVA >ENSMUSP00000028527.7 pep:known chromosome:GRCm38:2:109280738:109341747:1 gene:ENSMUSG00000027115.14 transcript:ENSMUST00000028527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kif18a description:kinesin family member 18A [Source:MGI Symbol;Acc:MGI:2446977] MSGTEEDLCHRMKVVVRVRPENTKEKAVQFCKVVHVVDKHILSFDPKQEEISFFHRKKTT NFDITKRQNKDLKFVFDAVFDETSTQMEVFEHTTKPILHSFLNGYNCTVFAYGATGSGKT HTMLGSAAEPGVMYLTMLDLFKCIDEIKEEKECSTAVSYLEVYNEQIRDLLTNSGPLAVR EDSQKGVVVQGLTLHQPKSSEEILQLLDNGNKNRTQHPTDVNAVSSRSHAVFQIYLRQQD KTASINQNVRIAKMSLIDLAGSERASVSGAKGSRFVEGTNINKSLLALGNVINALANTKR RNQHIPYRNSKLTRLLKDSLGGNCQTIMIAAVSPSSLFYDDTYNTLKYANRAKEIKSSLK SNVLNLNSHISQYVKICNMQKAEILMLKEKLKAYEEQKALSDRNDCAKLVHSNPEDRETE RFQEILNCLFQNREGIRQEYLKLEMLLKANALKSSYHQQCHKQIEMMCSEDKVEKATCKR DHRLEKLKTNSCFLEKKKEEVSKQFDENTNWLHRVENEMRLLGQNGDIPEALNKELHCHH LHLQNKELKTQMAHMTALACLQEQQHKQTEAVLNALLPVLRKQYWKLKETGLSNAAFDSD FKDIEHLVERKKVVAWADQTNEHSNRNDLPGISLLMTFPQLEPIQSISCCTSVSDPNVLK LTPQRRTRRKIIPSPLKVQHTQKSALSESTQLNDSFSKELQPIVYTPEDCKKAQDLFPSL TRTSSQSANVMNDNSQKALCRIESPLSRTECKQGLYSTSTLCDSIRGLKNKWPEQEPLAS SKSSVHRIESSSFSTKDSMPESAGVPSYMAMTTAAKRKWKQMSSTSNASIKSDESCGFAK RIRRDNSSVKPMQENRLKVGYKRNTNKTNSNMLRKFRRNTSKENVQ >ENSMUSP00000050697.1 pep:known chromosome:GRCm38:2:170579418:170602017:-1 gene:ENSMUSG00000043583.1 transcript:ENSMUST00000062355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930470P17Rik description:RIKEN cDNA 4930470P17 gene [Source:MGI Symbol;Acc:MGI:1914887] MESPFICRWSYMPEAIAMAHRSVLSTRPKRTTGLATAEEQRLSYMSRTALSLCGTSACLH ELPPTALGSGNTQDCWAHAKAFLHFFPSAPADAPDPWRLCITHSFEARHKDSSSLNPGRG KELLGLWILTNSASSRKFISALEKPYRSSVLLKVF >ENSMUSP00000029419.6 pep:known chromosome:GRCm38:3:66053558:66296837:-1 gene:ENSMUSG00000027831.9 transcript:ENSMUST00000029419.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Veph1 description:ventricular zone expressed PH domain-containing 1 [Source:MGI Symbol;Acc:MGI:1920039] MHQLFRLVLGQKDLSKAGDLFSLDDAEIEDSLTEALEQIKVISSSLDYQTNNNDQAVVEI CITRITTAIRETESIEKHARALVGLWDSCLEHNLRPAGKDEDTPHAKIASDIMSCILQNY NRTPVMVLAVPIAVKFLHRGSKELCRNMSNYLSLAAITKADLLADHTEGIIKSILQGNAM LLRVLPAVYEKQPQPINRHLAELLALMSQLEQTEQYHLLRLLHVAAKRKDVEVVQKCVPF LIRNLKDSTYNDIILNILIEIAGHEPLALNSFLPMLKEIAEQFPYLTGQMARIFGAVGHV DEERARSCLRYLVSQLANMEHPFHHILLLEIKSITDAFSSILGPHSRDIFRMSNSFTNIA KLLSRQLENSKADSSRRKTSTEVSIPEKLRELNSMEPESEDHEKLQVKIQAFEDKINAES NTPGSGRRYSLDHISKEERKSIRFSRSRSLALNTVLTNGVSVEDNEVEEKAGMHASISLS QIDPLSHGIGKLPFKTDTHGSPLRNSSASHPSIIHTEPETMPETFKENIQEEILEAATSP IEYQDKLYLHLRENLSKVKAYALEIAKKVPIPDQCTIEDTMRSCVAKLFFTCSLKGHYCL YSKSSFILVSQAPQPWIQVMFLSQQSLFPEPLSIQSGSVQFLKALWEKTQDTGAHSFEVA MTESTFPQQKDLEQLQLHLEEVRFFDVFGFSETAGAWQCFMCNNPEKATVVNQDGQPLIE GKLKEKQVRWKFIKRWKTHYFTLAGNQLLFQKGKSKDDPDDSPIELSKVQSVKAVAKKRR DRSLPRAFEIFTDSKTYVFKAKDEKNAEEWLQCINVALAQAKERESREVTTYL >ENSMUSP00000053979.8 pep:known chromosome:GRCm38:17:55892120:55898928:1 gene:ENSMUSG00000003198.9 transcript:ENSMUST00000054780.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp959 description:zinc finger protein 959 [Source:MGI Symbol;Acc:MGI:2385058] MDAMTYEDVHVNFSREEWALLDPSQKSLYKDVMLETYWNLTCIGYMWEDCNIEDHCQSSR RHGRCINCPSAYGKKQCTNLFPRTIRRRYVVTPFVRRGGECDTSLQVLGFPVSVGIHQNT DRGEKPSVDKESGKSPVCPGSLCTCRVTHSIGECYECSQCGKALSCSLQRRVQTHMGKGN DKCEPSSKSLTHPRYLQIQKTAYNEEDLYVWNQCGKSSLQLHQRNHLGMKSCEYNHEDKL ISHQNCNQVGRTNTRDTKHDYHQWGKAFTCPSYLQIYERIHTEEKPYECSQCGRAFTCHS TLQIHERIHTGEKPYKCNQCDKTFACKRYLQIHEKIHTGEKPYKCNQCGKTFACKRYLQV HERIHAAEKLYKCNQCGKAFACNSYLQMHERIHTGEKPYKCNHCGKAFACNSNLQKHERI HTGEKRYKCKQCGKAFAYSSNLQKHERTHTGEKPYKCNQCGKAFTCHSSLQIHERIHTGE KPYKCNQCGKPFDRKRYLHIHERIHTGEKPYECNQCGKSFAYSSSLQKHERIHTDKNIP >ENSMUSP00000095742.2 pep:known chromosome:GRCm38:7:107073830:107074783:1 gene:ENSMUSG00000049674.6 transcript:ENSMUST00000054629.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr714 description:olfactory receptor 714 [Source:MGI Symbol;Acc:MGI:3030548] MAAGNQTRIAEFILMGFSSLPTEIQTLLFLAFLTIYLVTLLGNSLIILVTLADPMLQSPM YFFLRNLSFMEIGFNLVILPKMLRTLIAQDTSISFLGCATQMYFFFFFGVAECFLLATMA YDRYVAICSPLHYPIIMNQGTRARLAAASWFPGFPVATVQTTWLFSFPFCANNKVNHFFC DSPPVLRLVCADTARFEVYAIVGTILVVMIPCLLILCSYTLIAASILKIPSAKGKHKAFS TCSSHLVVVSLFYVSLSLTYFRPKSKNSPESKKLLSLSYTVVTPLLNPIIYSLRNNEVKN ALSRTFHKALALRKFIL >ENSMUSP00000040938.6 pep:known chromosome:GRCm38:11:17211918:17233796:1 gene:ENSMUSG00000078970.5 transcript:ENSMUST00000046955.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr92 description:WD repeat domain 92 [Source:MGI Symbol;Acc:MGI:2144224] MSTFEKPQIIVHIQKGLNYTVFDSKWVPCSAKFVTMGNFARGTGVIQVYEIQRGDLKLLR EIEKAKPIKCGTFGAASLQQRFLATGDFGGNLHIWNLEAPEMPVYSVKGHKEIINTIDGV GGLGIGEGAPEIVTGSRDGTVKVWDPRQKEDPVANMEPAQGENKRDCWTVAFGNAYNQEE RVVCAGYDNGDIKLFDLRNMSLRWETNIKNGVCSLEFDRKDISMNKLVATSLEGKFHVFD MRTQHPTKGFASVTEKAHKSTVWQVRHLPQNREIFLTTGGAGSLHLWKYEYPTQRSKKDS EGLEMGVAGSVSLLQNVTVSTQPISSLDWSPDKRGLCVCSSFDQMVRVLIVTKLQTI >ENSMUSP00000127060.1 pep:known chromosome:GRCm38:7:13324655:13359350:1 gene:ENSMUSG00000033847.15 transcript:ENSMUST00000167232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g4c description:phospholipase A2, group IVC (cytosolic, calcium-independent) [Source:MGI Symbol;Acc:MGI:1196403] MSCAESPKSLHKRSSGVCPATRLQEAEKAAVHKRSPKVLEALRKLNIQADQAPVIAVLGS GGGLRAHIACLGVLSELKELGLLDAVTYLAGVSGSTWALSSLYTKNGNMEGIEEELKHRY EKNEWDFHESLEKAIQASKRENYSLTDFWAYLIVSRQIRELQDSNLSSLKKQVEEGVLPY PIFAAIDEDLLADWRERKTQNSWFEFTPHHAGYPALGAYVPITEFGSRFENGKLVKSEPE RDLTFLRGLWGSAFADIKEIKNYILNYFRNPFGKLKFIEGPVTYSEAPRMNVDAMLLDLV MAYFTDMNDPSIKDKLCALQQALGTETDEFGIEMAEIIQNWNETSAEKKEQFLDHLLDRF KKTQEDTTTYSLMNWNTGLVWDRCVFVNETRKCVSKWQWGTVYNFLYKHGKIADETMCSR ELLHLVDAGFAINTPYPLVLPPVRETHLILSFDFSAGDPLETIRATADYCQRHEIPFPEV SEDQLKEWAKAPASCYVLRGETGPVVMHFTLFNKDNCGDDIETWRKKYGTVKLSDSYTPD LVRDLLRVSKENVKKNKINILSEMRKVAGNPGNIPRVNKEACLGDRVKDPQGSQTVEFKK SHNISKD >ENSMUSP00000043672.9 pep:known chromosome:GRCm38:7:13329318:13360672:1 gene:ENSMUSG00000033847.15 transcript:ENSMUST00000043612.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g4c description:phospholipase A2, group IVC (cytosolic, calcium-independent) [Source:MGI Symbol;Acc:MGI:1196403] MELSSGVCPATRLQEAEKAAVHKRSPKVLEALRKLNIQADQAPVIAVLGSGGGLRAHIAC LGVLSELKELGLLDAVTYLAGVSGSTWALSSLYTKNGNMEGIEEELKHRYEKNEWDFHES LEKAIQASKRENYSLTDFWAYLIVSRQIRELQDSNLSSLKKQVEEGVLPYPIFAAIDEDL LADWRERKTQNSWFEFTPHHAGYPALGAYVPITEFGSRFENGKLVKSEPERDLTFLRGLW GSAFADIKEIKNYILNYFRNPFGKLKFIEGPVTYSEAPRMNVDAMLLDLVMAYFTDMNDP SIKDKLCALQQALGTETDEFGIEMAEIIQNWNETSAEKKEQFLDHLLDRFKKTQEDTTTY SLMNWNTGLVWDRCVFVNETRKCVSKWQWGTVYNFLYKHGKIADETMCSRELLHLVDAGF AINTPYPLVLPPVRETHLILSFDFSAGDPLETIRATADYCQRHEIPFPEVSEDQLKEWAK APASCYVLRGETGPVVMHFTLFNKDNCGDDIETWRKKYGTVKLSDSYTPDLVRDLLRVSK ENVKKNKINILSEMRKVAGNPGNIPRVNKEACLGDRVKDPQGSQTVEFKKSHNISKD >ENSMUSP00000104168.2 pep:known chromosome:GRCm38:7:13325667:13360668:1 gene:ENSMUSG00000033847.15 transcript:ENSMUST00000108528.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g4c description:phospholipase A2, group IVC (cytosolic, calcium-independent) [Source:MGI Symbol;Acc:MGI:1196403] MSCAESPKSLHKRSSGVCPATRLQEAEKAAVHKRSPKVLEALRKLNIQADQAPVIAVLGS GGGLRAHIACLGVLSELKELGLLDAVTYLAGVSGSTWALSSLYTKNGNMEGIEEELKHRY EKNEWDFHESLEKAIQASKRENYSLTDFWAYLIVSRQIRELQDSNLSSLKKQVEEGVLPY PIFAAIDEDLLADWRERKTQNSWFEFTPHHAGYPALGAYVPITEFGSRFENGKLVKSEPE RDLTFLRGLWGSAFADIKEIKNYILNYFRNPFGKLKFIEGPVTYSEAPRMNVDAMLLDLV MAYFTDMNDPSIKDKLCALQQALGTETDEFGIEMAEIIQNWNETSAEKKEQFLDHLLDRF KKTQEDTTTYSLMNWNTGLVWDRCVFVNETRKCVSKWQWGTVYNFLYKHGKIADETMCSR ELLHLVDAGFAINTPYPLVLPPVRETHLILSFDFSAGDPLETIRATADYCQRHEIPFPEV SEDQLKEWAKAPASCYVLRGETGPVVMHFTLFNKDNCGDDIETWRKKYGTVKLSDSYTPD LVRDLLRVSKENVKKNKINILSEMRKVAGNPGNIPRVNKEACLGDRVKDPQGSQTVEFKK SHNISKD >ENSMUSP00000051789.3 pep:known chromosome:GRCm38:X:102247381:102252181:-1 gene:ENSMUSG00000051159.16 transcript:ENSMUST00000050551.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cited1 description:Cbp/p300-interacting transactivator with Glu/Asp-rich carboxy-terminal domain 1 [Source:MGI Symbol;Acc:MGI:108023] MPTMSRPALDVKGGTTSGKEDANQEMNSLAYSNLGVKDRKAVTVLHYPGVTANGAKANGV PTSSSGSTSPIGSPTATPSSKPPSFNLHPTPHLMASMQLQKLNSQYQGAAATAAAALTGA GLPGEEEPMQNWVTAPLVVGGSPGSVSPPAGAQSPALIDSDPVDEEVLMSLVVELGLDRA NELPELWLGQNEFDFTADFPSGC >ENSMUSP00000098890.3 pep:known chromosome:GRCm38:X:102247404:102251852:-1 gene:ENSMUSG00000051159.16 transcript:ENSMUST00000101336.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cited1 description:Cbp/p300-interacting transactivator with Glu/Asp-rich carboxy-terminal domain 1 [Source:MGI Symbol;Acc:MGI:108023] MPTMSRPALDVKGGTTSGKEDANQEMNSLAYSNLGVKDRKAVTVLHYPGVTANGAKANGV PTSSSGSTSPIGSPTATPSSKPPSFNLHPTPHLMASMQLQKLNSQYQGAAATAAAALTGA GLPGEEEPMQNWVTAPLVVGGSPGSVSPPAGAQSPALIDSDPVDEEVLMSLVVELGLDRA NELPELWLGQNEFDFTADFPSGC >ENSMUSP00000117702.1 pep:known chromosome:GRCm38:X:102247714:102250946:-1 gene:ENSMUSG00000051159.16 transcript:ENSMUST00000134887.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cited1 description:Cbp/p300-interacting transactivator with Glu/Asp-rich carboxy-terminal domain 1 [Source:MGI Symbol;Acc:MGI:108023] MPTMSRPALDVKGGTTSGKEDANQEMNSLAYSNLGVKDRKAVTVLHYPGVTANGAKANGV PTSSSGSTSPIGSPTATPSSKPPSFNLHPTPHLMASMQLQKLNSQYQGAAATAAAALT >ENSMUSP00000119123.1 pep:known chromosome:GRCm38:X:102247821:102250775:-1 gene:ENSMUSG00000051159.16 transcript:ENSMUST00000130589.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cited1 description:Cbp/p300-interacting transactivator with Glu/Asp-rich carboxy-terminal domain 1 [Source:MGI Symbol;Acc:MGI:108023] MEVEYTDQQAQLAASTATDLSDFCPGSEMPTMSRPALDVKGGTTSGKEDANQEMNSLAYS NLGVKDRKAVTVLHYPGVTANGAKANGVPTSSSGSTSPIGSPTATPSSKPP >ENSMUSP00000114269.1 pep:known chromosome:GRCm38:X:102247886:102251918:-1 gene:ENSMUSG00000051159.16 transcript:ENSMUST00000136277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cited1 description:Cbp/p300-interacting transactivator with Glu/Asp-rich carboxy-terminal domain 1 [Source:MGI Symbol;Acc:MGI:108023] MPTMSRPALDVKGGTTSGKEDANQEMNSLAYSNLGVKDRKAVTVLHYPGVTANGAKANGV P >ENSMUSP00000097375.1 pep:known chromosome:GRCm38:2:89296351:89297268:-1 gene:ENSMUSG00000075094.1 transcript:ENSMUST00000099787.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1230 description:olfactory receptor 1230 [Source:MGI Symbol;Acc:MGI:3031064] MENANNVTEFILVSITKIPELRILFSALFLIMYVATLLGNLLIIVTVTVSPNLRSPMYFF LISLSLLDVIYSSVTAPKLIVDSLSENTTISLEGCMTQLFAEHFFGGVEIILLIVMAYDS YVAICKPLHYTTIVSPRVCWLMVGGAWVGGFAHGTIQLLFMYQIPFCGPNVIDHVICDLF PLLQLACMDTHILALLVILNSGVMCVTIFLILITSYVVILCSLKSSSSEGRRKALSTCSS HFTVVVLFFVPCIILYMRPVVTYPIDNAMALCATIFEPMLNPLIYSLRNAEVKHALRKLW MKRGP >ENSMUSP00000006053.6 pep:known chromosome:GRCm38:16:17619354:17644828:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000006053.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKELHAVFPWLVESIFGSLD GVLVGWNLRCLQGRVNPVEYSTAMEFLDPSGPMMKLVYKLQAEDYNFDFPVSCLPGPVKA SIQENVLPDSPLYHNKVQFPPTGGLGLNLALNPFEYYMFYFALSLISQKPMSMTLHVRTS DCAYFTLVDRYLSWFLPTEGSVPPPLCSSPGGSSPSPAPRTPAMPFASYGLHTSLLKRHI SHQTSVNADPASHEIWRSETLLQVFVEMWLHHYSLEMYQKMQSPHAKLEVLHYRLTVSSA LHSPAQPSLQALHAYQESFTPTEEHVLVVRLLLKHLHAFANSLKPDQASPSAHSHATSPL EEFKRAAVPRFVQQKLYVFLQHCFGHWPLDATFRAVLEMWLSYLQPWRYAPEKQAQGSDP QPRCVSEKWAPFIQENLLMYTKLFVSFLNRALRTDLVSPKNALMVFRVAKVFAQPNLAEM IQKGEQLFLEPELIIPHRQHRLFTVTTSFLSPWPPVVTDASFKVKSHVYSLEGQDCKYTP MFGPEIRTLVLRLAQLITQAKQTAKSISDQYVESPTGRSFLSWLTFGLTDTNSCYPANDL DEIGQDSIRKTDEYLEKALEYLRQIFRLSEAQLAQLTLALGSARDENGKQQLPDCIVGEE GLILTPLGRYQIINGLRRFEIEYQGDLELQPIRSYEITSLVRALFRLSSAINRRFAGQMA ALCSRNDFLGSFCRYHLTEPALSNRHLLSPVGRRQVTNPARGPRLSLRFLGSYRTLLLLL MAFFVASLFCIGPLSCSLLLVLGYVLYAIAMTLLTERGKLHQL >ENSMUSP00000131560.1 pep:known chromosome:GRCm38:16:17619357:17626446:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000171435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKLPHCALFQELHAVFPWLV ESIFGSLDGVLVGWNLRCLQGRVNPVEYSTAMEFLDPSGPMMKLVYKLQAEDYNFDFPVS CLPGPVKAS >ENSMUSP00000131867.1 pep:known chromosome:GRCm38:16:17619357:17644827:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000163476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKELHAVFPWLVESIFGSLD GVLVGWNLRCLQGRVNPVEYSTAMEFLDPSGPMMKLVYKLQAEDYNFDFPVSCLPGPVKA SIQENVLPDSPLYHNKVQFPPTGGLGLNLALNPFEYYMFYFALSLISQKPMSMTLHVRTS DCAYFTLVDRYLSWFLPTEGSVPPPLCSSPGGSSPSPAPRTPAMPFASYGLHTSLLKRHI SHQTSVNADPASHEIWRSETLLQVFVEMWLHHYSLEMYQKMQSPHAKESFTPTEEHVLVV RLLLKHLHAFANSLKPDQASPSAHSHATSPLEEFKRAAVPRFVQQKLYVFLQHCFGHWPL DATFRAVLEMWLSYLQPWRYAPEKQAQGSDPQPRCVSEKWAPFIQENLLMYTKLFVSFLN RALRTDLVSPKNALMVFRVAKVFAQPNLAEMIQKGEQLFLEPELIIPHRQHRLFTVTTSF LSPWPPVVTDASFKVKSHVYSLEGQDCKYTPMFGPEIRTLVLRLAQLITQAKQTAKSISD QYVESPTGRSFLSWLTFGLTDTNSCYPANDLDEIGQDSIRKTDEYLEKALEYLRQIFRLS EAQLAQLTLALGSARDENGKQQLPDCIVGEEGLILTPLGRYQIINGLRRFEIEYQGDLEL QPIRSYEITSLVRALFRLSSAINRRFAGQMAALCSRNDFLGSFCRYHLTEPALSNRHLLS PVGRRQVTNPARGPRLSLRFLGSYRTLLLLLMAFFVASLFCIGPLSCSLLLVLGYVLYAI AMTLLTERGKLHQL >ENSMUSP00000129995.1 pep:known chromosome:GRCm38:16:17619360:17626648:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000168101.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLELHAVFPWLVESIFGSLDGVLVGWNLRCLQGRVNPVEYSTAMEFLDP SGPMMKLVYKLQAEDYNFDFPVSCLPGPVKASIQENVLPDSPLYHNKVQFPPTGGLGLNL ALNPF >ENSMUSP00000130720.1 pep:known chromosome:GRCm38:16:17619360:17643347:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000165363.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKELHAVFPWLVESIFGSLD GVLVGWNLRCLQGRVNPVEYSTAMEFLDPSGPMMKLVYKLQAEDYNFDFPVSCLPGPVKA SIQENVLPDSPLYHNKVQFPPTGGLGLNLALNPFEYYMFYFALSLISQKPMSMTLHVRTS DCAYFTLVDRYLSWFLPTEGSVPPPLCSSPGGSSPSPAPRTPAMPFASYGLHTSLLKRHI SHQTSVNADPASHEIWRSETLLQVFVEMWLHHYSLEMYQKMQSPHAKESFTPTEEHVLVV RLLLKHLHAFANSLKPDQASPSAHSHATSPLEEFKRAAVPRFVQQKLYVFLQHCFGHWPL DATFRAVLEMWLSYLQPWRYAPEKQAQGSDPQPRCVSEKAPFIQENLLMYTKLFVSFLNR ALRTDLVSPKNALMVFRVAKVFAQPNLAEMIQKGEQLFLEPELIIPHRQHRLFTVTTSFL SPWPPVVTDASFKVKSHVYSLEGQDCKYTPMFGPEIRTLVLRLAQLITQAKQTAKSISDQ YVESPTGRSFLSWLTFGLTDTNSCYPANDLDEIGQDSIRKTDEYLEKALEYLRQIFRLSE AQLAQLTLALGSARDENGKQQLPDCIVGEEGLILTPLGRYQIINGLRRFEIEYQGDLELQ PIRSYEITSLVRALFRLSSAINRRFAGQMAALCSRNDFLGSFCRYHLTEPALSNRHLLSP VGRRQVTNPARGPRLSLRFLGSYRTLLLLLMAFFVASLFCIGPLSCSLLLVLGYVLYAIA MTLLTERGKLHQL >ENSMUSP00000125819.1 pep:known chromosome:GRCm38:16:17619363:17625840:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000169662.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKELHAVFPWLVESIFGSLD GVLVGWNLRCLQGRVNPVEYST >ENSMUSP00000087620.4 pep:known chromosome:GRCm38:16:17619363:17644827:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000090159.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKELHAVFPWLVESIFGSLD GVLVGWNLRCLQGRVNPVEYSTAMEFLDPSGPMMKLVYKLQAEDYNFDFPVSCLPGPVKA SIQENVLPDSPLYHNKVQFPPTGGLGLNLALNPFEYYMFYFALSLISQKPMSMTLHVRTS DCAYFTLVDRYLSWFLPTEGSVPPPLCSSPGGSSPSPAPRTPAMPFASYGLHTSLLKRHI SHQTSVNADPASHEIWRSETLLQVFVEMWLHHYSLEMYQKMQSPHAKESFTPTEEHVLVV RLLLKHLHAFANSLKPDQASPSAHSHATSPLEEFKRAAVPRFVQQKLYVFLQHCFGHWPL DATFRAVLEMWLSYLQPWRYAPEKQAQGSDPQPRCVSEKCLAPWSPYQHRGLRAPFIQEN LLMYTKLFVSFLNRALRTDLVSPKNALMVFRVAKVFAQPNLAEMIQKGEQLFLEPELIIP HRQHRLFTVTTSFLSPWPPVVTDASFKVKSHVYSLEGQDCKYTPMFGPEIRTLVLRLAQL ITQAKQTAKSISDQYVESPTGRSFLSWLTFGLTDTNSCYPANDLDEIGQDSIRKTDEYLE KALEYLRQIFRLSEAQLAQLTLALGSARDENGKQQLPDCIVGEEGLILTPLGRYQIINGL RRFEIEYQGDLELQPIRSYEITSLVRALFRLSSAINRRFAGQMAALCSRNDFLGSFCRYH LTEPALSNRHLLSPVGRRQVTNPARGPRLSLRFLGSYRTLLLLLMAFFVASLFCIGPLSC SLLLVLGYVLYAIAMTLLTERGKLHQL >ENSMUSP00000130570.1 pep:known chromosome:GRCm38:16:17619374:17638525:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000167217.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKELHAVFPWLVESIFGSLD GVLVGWNLRCLQGRVNPVEYSTAMEFLDPRAL >ENSMUSP00000126093.1 pep:known chromosome:GRCm38:16:17619377:17626685:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000172182.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKELHAVFPWLVESIFGSLD GVLVGWNLRCLQGRVNPVEYSTAMEFLDPRHPFKRMSSLTVLCTTTKSSFPRPEALA >ENSMUSP00000126998.1 pep:known chromosome:GRCm38:16:17619389:17626004:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000163592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKELHAVFPWLVESIFGSLD GVLVGWNLRCLQGRVNPVEYSTAMEFLDPSGPMMKLVYKLQAEDYNFDFP >ENSMUSP00000133249.1 pep:known chromosome:GRCm38:16:17619517:17623946:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000165092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQ >ENSMUSP00000129074.1 pep:known chromosome:GRCm38:16:17621487:17642803:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000170996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MQTLHPMRSGGQKLSSRFLWKCGFIITPWRCTKKCSPLMPRAAVPRFVQQKLYVFLQHCF GHWPLDATFRAVLEMWLSYLQPWRYAPEKQAQGSDPQPRCVSEKWAPFIQENLLMYTKLF VSFLNRALRTDLVSPKNALMVFRVAKVFAQPNLAEMIQKGEQLFLEPELIIPHRQHRLFT VTTSFLSPWPPVVTDASFKVKSHVYSLEGQDCKYTPMFGPEIRTLVLRLAQLITQAKQTA KSISDQYVESPTGRSFLSWLTFGLTDTNSCYPANDLDEIGQDSIRKTDEYLEKALEYLRQ IFRLSEAQLAQLTLALGSARDENGKQQLPDCIVGEEGLILTPLGRYQIINGLRRFEIEYQ GDLELQPIRSYEITSLVRALFRLSSAINRRFAGQMAALCSRNDFLGSFCRYHLTEPALSN RHLLSPVGRRQVTNPARGPRLSLRFLGSYRTLLLLLMAFFVASLFCIGPLSCSLLLVLGY VLYAIAMTLLTERGKLHQL >ENSMUSP00000132279.1 pep:known chromosome:GRCm38:16:17621487:17642803:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000170117.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKWSNDEVGL >ENSMUSP00000130990.1 pep:known chromosome:GRCm38:16:17621487:17642803:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000170366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKELHAVFPWLVESIFGSLD GVLVGWNLRCLQGRVNPVEYSTAMEFLDPSGPMMKLVYKLQAEDYNFDFPVSCLPGPVKA SIQENVLPDSPLYHNKVQFPPTGGLGLNLALNPFEYYMFYFALSLISQKPMSMTLHVRTS DCAYFTLVDRYLSWFLPTEGSVPPPLCSSPGGSSPSPAPRTPAMPFASYGLHTSLLKRHI SHQTSVNADPASHEIWRSETLLQVFVEMWLHHYSLEMYQKMQSPHAKESFTPTEEHVLVV RLLLKHLHAFANSLKPDQASPSAHSHATSPLEEFKRAAVPRFVQQKLYVFLQHCFGHWPL DATFRAVLEMWLSYLQPWRYAPEKQAQGSDPQPRCVSEKWAPFIQENLLMYTKLFVSFLN RALRTDLVSPKNALMVFRVAKVFAQPNLAEMIQKGEQLFLEPELIIPHRQHRLFTVTTSF LSPWPPVVTDASFKVKSHVYSLEGQDCKYTPMFGPEIRTLVLRLAQLITQAKQTAKSISD QYVESPTGRSFLSWLTFGLTDTNSCYPANDLDEIGQDSIRKTDEYLEKALEYLRQIFRLS EAQLAQLTLALGSARDENGKQQLPDCIVGEEGLILTPLGRYQFAGQMAALCSRNDFLGSF CRYHLTEPALSNRHLLSPVGRRQVTNPARGPRLSLRFLGSYRTLLLLLMAFFVASLFCIG PLSCSLLLVLGYVLYAIAMTLLTERGKLHQL >ENSMUSP00000128595.1 pep:known chromosome:GRCm38:16:17621487:17642803:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000163997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKVFVEMWLHHYSLEMYQKM QSPHAKESFTPTEEHVLVVRLLLKHLHAFANSLKPDQASPSAHSHATSPLEEFKRAAVPR FVQQKLYVFLQHCFGHWPLDATFRAVLEMWLSYLQPWRYAPEKQAQGSDPQPRCVSEKWA PFIQENLLMYTKLFVSFLNRALRTDLVSPKNALMVFRVAKVFAQPNLAEMIQKGEQLFLE PELIIPHRQHRLFTVTTSFLSPWPPVVTDASFKVKSHVYSLEGQDCKYTPMFGPEIRTLV LRLAQLITQAKQTAKSISDQYVESPTGRSFLSWLTFGLTDTNSCYPANDLDEIGQDSIRK TDEYLEKALEYLRQIFRLSEAQLAQLTLALGSARDENGKQQLPDCIVGEEGLILTPLGRY QIINGLRRFEIEYQGDLELQPIRSYEITSLVRALFRLSSAINRRFAGQMAALCSRNDFLG SFCRYHLTEPALSNRHLLSPVGRRQVTNPARGPRLSLRFLGSYRTLLLLLMAFFVASLFC IGPLSCSLLLVLGYVLYAIAMTLLTERGKLHQL >ENSMUSP00000129957.1 pep:known chromosome:GRCm38:16:17621487:17642803:1 gene:ENSMUSG00000005899.12 transcript:ENSMUST00000170273.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpd4 description:sphingomyelin phosphodiesterase 4 [Source:MGI Symbol;Acc:MGI:1924876] MAFPHLQQPSFLLASLKADSINKPFAQRCQDLVKVIEDFPAKGPVKASIQENVLPDSPLY HNKVQFPPTGGLGLNLALNPFEYYMFYFALSLISQKPMSMTLHVRTSDCAYFTLVDRYLS WFLPTEGSVPPPLCSSPGGSSPSPAPRTPAMPFASYGLHTSLLKRHISHQTSVNADPASH EIWRSETLLQVFVEMWLHHYSLEMYQKMQSPHAKESFTPTEEHVLVVRLLLKHLHAFANS LKPDQASPSAHSHATSPLEEFKRAAVPRFVQQKLYVFLQHCFGHWPLDATFRAVLEMWLS YLQPWRYAPEKQAQGSDPQPRCVSEKWAPFIQENLLMYTKLFVSFLNRALRTDLVSPKNA LMVFRVAKVFAQPNLAEMIQKGEQLFLEPELIIPHRQHRLFTVTTSFLSPWPPVVTDASF KVKSHVYSLEGQDCKYTPMFGPEIRTLVLRLAQLITQAKQTAKSISDQYVESPTGRSFLS WLTFGLTDTNSCYPANDLDEIGQDSIRKTDEYLEKALEYLRQIFRLSEAQLAQLTLALGS ARDENGKQQLPDCIVGEEGLILTPLGRYQIINGLRRFEIEYQGDLELQPIRSYEITSLVR ALFRLSSAINRRFAGQMAALCSRNDFLGSFCRYHLTEPALSNRHLLSPVGRRQVTNPARG PRLSLRFLGSYRTLLLLLMAFFVASLFCIGPLSCSLLLVLGYVLYAIAMTLLTERGKLHQ L >ENSMUSP00000029075.4 pep:known chromosome:GRCm38:2:170731807:170879769:1 gene:ENSMUSG00000027560.4 transcript:ENSMUST00000029075.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dok5 description:docking protein 5 [Source:MGI Symbol;Acc:MGI:1924079] MASNFNDIVKQGYVRIRSRRLGIYQRCWLVFKKASSKGPKRLEKFSDERAAYFRCYHKVT ELNNVKNVARLPKSTKKHAIGIYFNDDTSKTFACESDLEADEWCKVLQMECVGTRINDIS LGEPDLLATGVEREQSERFNVYLMPSPNLDVHGECALQITYEYICLWDVQNPRVKLISWP LSALRRYGRDTTWFTFEAGRMCETGEGLFIFQTRDGEAIYQKVHSAALAIAEQHERLLQS VKNSMLQMKKSERAASLSTVVPLPRSAYWQHITRQHSTGQLYHLQDVTSPLKLHRTETFP TYRSEH >ENSMUSP00000095741.2 pep:known chromosome:GRCm38:7:107097467:107098414:1 gene:ENSMUSG00000073897.2 transcript:ENSMUST00000098138.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr17 description:olfactory receptor 17 [Source:MGI Symbol;Acc:MGI:109148] MTWGNWTTVREFILMSFSSLSYEVQALLFLLFLIIYLVTLMGNVLIILVTTADSALQSPM YFFLRNLSFLEIGFNLVIVPKMLSTLILQDKTISFLGCATQMYFFFFFGAAECCLLATMA YDRYMAICDPLHYPIIMSRRSCAQLAAASWFSGFPVATVQTTWIFSFPFCGPNMVNHFFC DSPPVIALVCADTSLFELEALTATVLFILFPFLLILGSYVRILSTIFRMPSAEGKRKAFS TCSSHLLVVSLFYSTAILTYFRPRSNTSPENKKMLSLSYTVVTPMLNPIIYSLRNNEVKA ALRRIIHRTLGPQKL >ENSMUSP00000131536.1 pep:known chromosome:GRCm38:14:59380833:59395381:-1 gene:ENSMUSG00000091144.2 transcript:ENSMUST00000166912.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phf11c description:PHD finger protein 11C [Source:MGI Symbol;Acc:MGI:3648476] MALSQEEGPANFFKTRGATKHIKYVSVLTWLLFIGFCQLTEKMEKRTCALCPEGHDWSVI YFVPSANIAAHENCLLYSSGLVECEAHNPCKIARNVDVKSVLERIWRGSTMICSFCNNEG AIVRCGETSCAKNYHLFCAKEDYAVLQGGVTRTYKLFCPEHPPQQEEATESADGPSMKRK RGRKKRLSSGPPAQPKVVKFMRSKRHVTGEPLGHRDAAVKAPFLKKCKKAGLLNVLLEYI LEKMNSIYGRLLDETASESDYEGIETLLFGCGLFKDTLRKFQEVIKSKACEWEERQRLMK QQLEALADLQQSLCSFQENGDLDCSSSTSGSLLPPEDHQ >ENSMUSP00000023206.7 pep:known chromosome:GRCm38:16:13109684:13148734:1 gene:ENSMUSG00000022545.13 transcript:ENSMUST00000023206.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ercc4 description:excision repair cross-complementing rodent repair deficiency, complementation group 4 [Source:MGI Symbol;Acc:MGI:1354163] MEPGLSGERRSMAPLLEYERQQVLELLDSDGLVVCARGLGTDRLLYHFLRLHCHPACLVL VLNTQPAEEEYFINQLKIEGVEHLPRRVTNEIASNSRYEVYTQGGIIFATSRILVVDFLT GRIPSDLITGILVYRAHRIIESCQEAFILRLFRQKNKRGFIKAFTDNAVAFDTGFCHVER VMRNLFVRKLYLWPRFHVAVNSFLEQHKPEVVEIHVSMTPAMLAIQTAILDILNACLKEL KCHNPSLEVEDLSLENALGKPFDKTIRHYLDPLWHQLGAKTKSLVQDLKILRTLLQYLSQ YDCVTFLNLLESLRATEKVFGQNSGWLFLDASTSMFVNARARVYRVPDVKLNKKAKTSEK TSSPEVQETKKELVLESNPKWEALTDVLKEIEAENKESEALGGPGRVLICASDDRTCCQL RDYLSAGAETFLLRLYRKTFEKDGKAEEVWVNVRKGDGPKRTTKSDKRPKAAPNKERASA KRGAPLKRKKQELTLTQVLGSAEEPPEDKALEEDLCRQTSSSPEGCGVEIKRESFDLNVS SDAAYGILKEPLTIIHPLLGCSDPYALTRVLHEVEPRYVVLYDAELTFVRQLEIYRASRP GKPLRVYFLIYGGSTEEQRYLTALRKEKEAFEKLIREKASMVVPEEREGRDETNLDLARG SAALDAPTDTRKAGGQEQNGTQSSIVVDMREFRSELPSLIHRRGIDIEPVTLEVGDYILT PELCVERKSVSDLIGSLHSGRLYSQCLAMSRYYRRPVLLIEFDPSKPFSLAPRGAFFQEM SSSDVSSKLTLLTLHFPRLRLLWCPSPHATAELFEELKQNKPQPDAATAMAITADSETLP ESDRYNPGPQDFVLKMPGVNAKNCRSLMNQVKNIAELATLSLERLTTILGHSGNAKQLHD FLHTAYADLVSKGRVRK >ENSMUSP00000118553.1 pep:known chromosome:GRCm38:16:13109935:13127630:1 gene:ENSMUSG00000022545.13 transcript:ENSMUST00000141024.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc4 description:excision repair cross-complementing rodent repair deficiency, complementation group 4 [Source:MGI Symbol;Acc:MGI:1354163] MAPLLEYERQQVLELLDSDGLVVCARGLGTDRLLYHFLRLHCHPACLVLVLNTQPAEEEY FINQLKIEGVEHLPRRVTNEIASNSRYEVYTQGGIIFATSRILVVDFLTGILVYRAHRII ESCQEAFILRLFRQKNKRGFIKAFTDNAVAFDTGFCHVERVMRNLFVRKLYLWPRFHVAV NSFLEQHKPEVVEIHVSMTPAMLAIQTAILDILNACLKELKCHNPSLEVEDLSLENALGK PFDKTIRHYLDPLWHQLGAKTKSLVQDLKILRTLLQYLSQYDCVTFLNLLESLRATEKVF GQNSGWLFLDASTSMFVNARARVYRVPDVKLNKKAKTSEKTSSPEVQGQALHASPFTVAT AARSKCQFKANVASSALRRDACNVREEHTVKPG >ENSMUSP00000114639.1 pep:known chromosome:GRCm38:16:13111794:13150617:1 gene:ENSMUSG00000022545.13 transcript:ENSMUST00000129049.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ercc4 description:excision repair cross-complementing rodent repair deficiency, complementation group 4 [Source:MGI Symbol;Acc:MGI:1354163] EIASNSRYEVYTQGGIIFATSRILVVDFLTGRIPSDLITGILVYRAHRIIESCQEAFILR LFRQKNKRGFIKAFTDNAVAFDTGFCHVERVMRNLFVRKLYLWPRFHVAVNSFLEQHKPE VVEIHVSMTPAMLAIQTAILDILNACLKELKCHNPSLEVEDLSLENALGKPFDKTIRHYL DPLWHQLGAKTKSLVQDLKILRTLLQYLSQYDCVTFLNLLESLRATEKVFGQNSGWLFLD ASTSMFVNARARVYRVPDVKLNKKAKTSEKTSSPEVQETKKELVLESNPKWEALTDVLKE IEAENKESEALGGPGRVLICASDDRTCCQLRDYLSAGAETFLLRLYRKTFEKDGKAEEVW VNVRKGDGPKRTTKSDKRPKAAPNKERASAKRGAPLKRKKQELTLTQVLGSAEEPPEDKA LEEDLCRQTSSSPEGCGVEIKRESFDLNVSSDAAYGILKEPLTIIHPLLGCSDPYALTRV LHEVEPRYVVLYDAELTFVRQLEIYRASRPGKPLRQVCA >ENSMUSP00000122507.1 pep:known chromosome:GRCm38:7:4965260:4970961:-1 gene:ENSMUSG00000085272.7 transcript:ENSMUST00000144863.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbk3 description:SH3 domain binding kinase family, member 3 [Source:MGI Symbol;Acc:MGI:2685924] MALQRLVELTASRVTSVRSLCVQYRLIRKLGSGSYGRVLLAQPRQGGQTVALKLLRRDSV LRTTFLREFCVGRCVSSHPGLLQTLGRPLQTPRYFAFAQEFAPCGDLSGMLQEKGLPELM VKRIVAQLAGALDFLHGRGLVHADVKPDNVLVFDPDCNRVALGDLGLTRPEGSPTPAPPV PLPTAPPELCLLLPPNTLPLRPAVDSWALGVLLFCAATACFPWDVALAPDPEFEAFAGWM TTKPQPPRPPAPWDQFAPPALTLLQGLLDLDPETRSPPLAVFDVLGDNWGLQGSGEGSGS LGVIPYKDGEEEEGGSSLEEWTDEEEDEIKDSGGMEADNRAS >ENSMUSP00000120654.1 pep:known chromosome:GRCm38:7:4967340:4971168:-1 gene:ENSMUSG00000085272.7 transcript:ENSMUST00000133272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbk3 description:SH3 domain binding kinase family, member 3 [Source:MGI Symbol;Acc:MGI:2685924] MERRVLETTEDGDTEEDTAMALQRLVELTASRVTSVRSLCVQYRLIRKLGSGSYGRVLLA QPRQGGQTVALKLLRRDSVLRTTFLREFCVGRCVSSHPGLLQTLGRPLQTPRYFAFAQEF APCGDLSGMLQEKGLPELMVKRIVAQLAGALDFLHGRGLVHADVKPDNVLVFDPDCNRVA LGDLGLTRPEGSPTPAPPVPLPTAPPELCLLLPPNTLPLRPAVDSWALGVLLFCAATACF PWDVALAPDPEFEAFAGWMTTKPQPPRPPAPWDQFAPPALTLLQGLLDLDPETRSPPLAV FDVLGDNWGLQGSGEGSGSLGVIPYKDGEEEEGGSSLEEWTDEEEDEIKDSGGMEADNRA S >ENSMUSP00000143997.1 pep:known chromosome:GRCm38:5:31106285:31111984:1 gene:ENSMUSG00000053856.7 transcript:ENSMUST00000202433.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc5g description:DnaJ heat shock protein family (Hsp40) member C5 gamma [Source:MGI Symbol;Acc:MGI:3045263] MPLTYDATQRLSRTGKSLYAVLELKKGAETADIKKAYRHLLSFVACSLVVVVAAAAVYAV VDLIHQPRKRKRIAK >ENSMUSP00000144137.1 pep:known chromosome:GRCm38:5:31108307:31111739:1 gene:ENSMUSG00000053856.7 transcript:ENSMUST00000201740.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc5g description:DnaJ heat shock protein family (Hsp40) member C5 gamma [Source:MGI Symbol;Acc:MGI:3045263] MPLTYDATQRLSRTGKSLYAVLELKKGAETADIKKAYRKLALQYHPDKNPDNPLAAEIFK EINTAHAILTDPTKKKIYDRHGSLGLYLYDHFGEEGVRFYFIVNSCWFKVLAQQESPTLS PLDTLKKEESSPVSTLTQI >ENSMUSP00000144548.1 pep:known chromosome:GRCm38:5:31108317:31111843:1 gene:ENSMUSG00000053856.7 transcript:ENSMUST00000202760.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnajc5g description:DnaJ heat shock protein family (Hsp40) member C5 gamma [Source:MGI Symbol;Acc:MGI:3045263] MPLTYDATQRLSRTGKSLYAVLELKKGAETADIKKAYRHLLSFVACSLVVVVAAAAVYAV VDLIHQPRKRKRIAK >ENSMUSP00000064587.1 pep:known chromosome:GRCm38:5:31108319:31112526:1 gene:ENSMUSG00000053856.7 transcript:ENSMUST00000066544.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc5g description:DnaJ heat shock protein family (Hsp40) member C5 gamma [Source:MGI Symbol;Acc:MGI:3045263] MPLTYDATQRLSRTGKSLYAVLELKKGAETADIKKAYRKLALQYHPDKNPDNPLAAEIFK EINTAHAILTDPTKKKIYDRHGSLGLYLYDHFGEEGVRFYFIVNSCWFKTLVILCCLLTG CCCCCCCCLCCGRLNPSAEEEEENRQMNVSSQPSRSRTQDIEAED >ENSMUSP00000041428.7 pep:known chromosome:GRCm38:2:4622058:4652086:-1 gene:ENSMUSG00000039449.14 transcript:ENSMUST00000035721.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf18 description:PRP18 pre-mRNA processing factor 18 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914479] MDILKSEILRKRQLVEDRNLLVENKKYFKRSELARKEEEAYYERCGYKIQPKEDDQKPLT SSNPVLELELAEEKLPMTLSRQEVIRRLRERGEPIRLFGETDYDAFQRLRKIEILTPEVN KGLRNDLKAALDKIDQQYLNEIVGGQEPGEEDTQNDLKVHEENTTIEELEALGESLGKGD DHKDMDIITKFLKFLLGVWAKELNAREDYVKRSVQGKLNSATQKQTESYLRPLFRKLRKR NLPADIKESITDIIKFMLQREYVKANDAYLQMAIGNAPWPIGVTMVGIHARTGREKIFSK HVAHVLNDETQRKYIQGLKRLMTICQKHFPTDPSKCVEYNAL >ENSMUSP00000116495.1 pep:known chromosome:GRCm38:2:4624028:4652113:-1 gene:ENSMUSG00000039449.14 transcript:ENSMUST00000152362.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf18 description:PRP18 pre-mRNA processing factor 18 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914479] MDILKSEILRKRQLVEDRNLLVENKKYFKRSELARKEEEAYYERCGYKIQPKEDDQKPLT SSNPVLELELAEEKLPMTLSRQEVIRRLRERGEPIRLFGETDYDAFQRLRKIEILTPEVN KGLRNDLKAALDKIDQQYLNEIVGGQEPGEEDTQNDLKVHEENTTIEELEALGESLGKGD DHKDMDIITKFLKFLLGVWAKELNAREDYVKRSVQGKLNSATQKQTESYLRPLFRKLRKR NLPADIKESITDIIKFMLQREYVKANDAYLQMAIGNAPWPIGVTMVGIHARTGREKIFSK HVAHVLNDETQRKYIQGLKRLMTICQKHFPTDPSKCVEYNAL >ENSMUSP00000141457.1 pep:known chromosome:GRCm38:2:4638910:4652081:-1 gene:ENSMUSG00000039449.14 transcript:ENSMUST00000192470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf18 description:PRP18 pre-mRNA processing factor 18 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1914479] MTLSRQEVIRRLRERGEPIRLFGETDYDAFQRLRKIEILTPEVNKGLRNDLKAALDKIDQ QYLNEIVGGQEPG >ENSMUSP00000097374.1 pep:known chromosome:GRCm38:2:89302649:89303590:-1 gene:ENSMUSG00000075093.1 transcript:ENSMUST00000099786.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1231 description:olfactory receptor 1231 [Source:MGI Symbol;Acc:MGI:3031065] MELHSPPSNVTEFVLLGLTQNPRLQKILFIVFLFVFLFTVLANLLIVLTISFSPTLSAPM YFFLTYLSFIDAFYTSVTTPKMIIDLLYQRRTISLAGCLTQLFVEHFLGGSEIILLIVMA YDRYVAICKPLHYMTIMRQGLCRLLVVVAWIGGILHATMQIFFMINLPFCGPNVIDHFMC DLFPLLKLACRDTHKLGIIVAANSGAMCFLIFTMLLISYIVILRSLKSHSSEGRRKALST CGSHCTVVVLFFVPCIFTYMRPVTTYPVDKLVTVFFAILTPMLNPIIYTARNTEVKNAMR NLLKRQVTYPVLK >ENSMUSP00000042247.2 pep:known chromosome:GRCm38:2:113285732:113297190:1 gene:ENSMUSG00000041255.2 transcript:ENSMUST00000040856.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmco5b description:transmembrane and coiled-coil domains 5B [Source:MGI Symbol;Acc:MGI:1922525] MEDAGQNPLDDEAEITEIPTLEAIKQNLKYLNSDLEKDLQRLDEANQILLRKIQKKEESI QSLERDIALSIGRVPERDDFNEILAQKETALKDLELESAKLEKKNKTLSKNVMELQKKIS KGLKNIASDPETLKKKVTEFKVKLQKSTESCAQQEKEIAKMESDYQSVFQLCEDQAHYIK KYQEILREMEKEKEVMLLEKEISKAQNDSSQVVKPGSTLVETIQSNMEKNIIKKQKRKFW LRHFRYLFFMVMIVIRLLGYVFFHLQYVNPDFLVDTLPMLMSRSSLKWLRDILFPFLTLE VEDVLPH >ENSMUSP00000032802.4 pep:known chromosome:GRCm38:7:29768552:29779821:1 gene:ENSMUSG00000046185.10 transcript:ENSMUST00000032802.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp84 description:zinc finger protein 84 [Source:MGI Symbol;Acc:MGI:107780] MAVVDVSTVFKELVVFRDVAVEFCKEEWECLGPEQRSLYRDVMLENYSNFVSLGLAISKP SIISLLEQGKEPWIVEQAGWCPDLLSVNEAKSLSLKNGIFGKESLKWKIIERVGSSCLEE SCFGDDWKCKGLLETKRESTEEYLQGVTDPLEETSARFVQPAPIALSEVTHSETKLSEQD AGETITQQVRIPTSDKLNEMYENAFIYYTGEMKRQQDFAGDRCDELKACSRGFAYDFQLA PYQINKKPYQCEICGKIFEKHAYLVQHNRFHTGEKPCECKECGKAFTNCSLLVQHQRVHT DEKPYECKHCGKAFLYFSTFFQHQRTHTNEKPYECHKCQKAFNKSANLTRHQRIHSGEKP YECNLCGKTFTWASNLNDHQKIHTGEKPYECNYCEKAFLCHSAFMKHYRTHTNEKPYECQ ECMKAFRQKAHLIQHQRVHTGEKPYECKECGKAFACPSYFNRHQRIHTGERPYECKECGK AFIDCKTLILHQRIHTGEKPFQCQQCSKAFRQRSHLTQHQRIHTGEKPYECKECGQAFTR LLQVKKHQRVHTVGEILCI >ENSMUSP00000032402.5 pep:known chromosome:GRCm38:6:144993835:145048812:-1 gene:ENSMUSG00000030268.17 transcript:ENSMUST00000032402.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcat1 description:branched chain aminotransferase 1, cytosolic [Source:MGI Symbol;Acc:MGI:104861] MLHRLRSPLRRVLAGGSPIVPLSPATPNCSPGLSGSAVRHESPLCHVFPRSRSFVMACLP RATATLARQDCSNGCSAPFAGERGSEEVAETFRAKDLIITPATVLKEKPDPDSLVFGATF TDHMLTVEWSSASGWEKPHIKPFGNLPIHPAASVLHYAVELFEGLKAFRGVDNKIRLFRP DLNMDRMCRSAVRTTLPMFDKEELLKCILQLLQIDQEWVPYSTSASLYIRPTFIGTEPSL GVKKPSKALLFVILSPVGPYFSSGSFTPVSLWANPKYIRAWKGGTGDCKMGGNYGASLLA QCEAVENGCQQVLWLYGKDNQITEVGTMNLFLYWINEDGEEELATPPLDGIILPGVTRQS ILELAQQWGEFKVCERHLTMDDLATALEGNRVKEMFGSGTACVVCPVSDILYKGQMLHIP TMENGPKLASRILGKLTDIQYGRVESDWTIELP >ENSMUSP00000107371.1 pep:known chromosome:GRCm38:6:144999158:145076184:-1 gene:ENSMUSG00000030268.17 transcript:ENSMUST00000111742.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcat1 description:branched chain aminotransferase 1, cytosolic [Source:MGI Symbol;Acc:MGI:104861] MKDCSNGCSAPFAGERGSEEVAETFRAKDLIITPATVLKEKPDPDSLVFGATFTDHMLTV EWSSASGWEKPHIKPFGNLPIHPAASVLHYAVELFEGLKAFRGVDNKIRLFRPDLNMDRM CRSAVRTTLPMFDKEELLKCILQLLQIDQEWVPYSTSASLYIRPTFIGTEPSLGVKKPSK ALLFVILSPVGPYFSSGSFTPVSLWANPKYIRAWKGGTGDCKMGGNYGASLLAQCEAVEN GCQQVLWLYGKDNQITEVGTMNLFLYWINEDGEEELATPPLDGIILPGVTRQSILELAQQ WGEFKVCERHLTMDDLATALEGNRVKEMFGSGTACVVCPVSDILYKGQMLHIPTMENGPK LASRILGKLTDIQYGRVESDWTIELP >ENSMUSP00000039744.4 pep:known chromosome:GRCm38:6:145004118:145076184:-1 gene:ENSMUSG00000030268.17 transcript:ENSMUST00000048252.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcat1 description:branched chain aminotransferase 1, cytosolic [Source:MGI Symbol;Acc:MGI:104861] MKDCSNGCSAPFAGERGSEEVAETFRAKDLIITPATVLKEKPDPDSLVFGATFTDHMLTV EWSSASGWEKPHIKPFGNLPIHPAASVLHYAVELFEGLKAFRGVDNKIRLFRPDLNMDRM CRSAVRTTLPMFDKEELLKCILQLLQIDQEWVPYSTSASLYIRPTFIGTEPSLGVKKPSK ALLFVILSPVGPYFSSGSFTPVSLWANPKYIRAWKGGTGDCKMGGNYGASLLAQCEAVEN GCQQVLWLYGKDNQITEVGTMNLFLYWINEDGEEELATPPLDGIILPGVTRQSILELAQQ WGEFKVCERHLTMDDLATALEGNRVKEMFGSGTACVVCPVSDILYKGQMLHIPTMENGPK LASRILGKLTDIQVAIFTTWGWGPAGEMRWLRG >ENSMUSP00000144968.1 pep:known chromosome:GRCm38:6:145007730:145048610:-1 gene:ENSMUSG00000030268.17 transcript:ENSMUST00000204138.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcat1 description:branched chain aminotransferase 1, cytosolic [Source:MGI Symbol;Acc:MGI:104861] FVMACLPRATATLARQDCSNGCSAPFAGERGSEEVAETFRPSLGVKKPSKALLFVILSPV GPYFSSGSFTPVSLWANPKYIRAWKGGTGDCKMGGNYGASLLAQCEAVENGCQQVLWLYG KDNQITEVGTMNLFLYWINEDGEEELATPPLDGIILPGVTRQSILELAQQWGEFKVCERH >ENSMUSP00000117708.1 pep:known chromosome:GRCm38:6:145015676:145032843:-1 gene:ENSMUSG00000030268.17 transcript:ENSMUST00000136819.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcat1 description:branched chain aminotransferase 1, cytosolic [Source:MGI Symbol;Acc:MGI:104861] XWVPYSTSASLYIRPTFIGTEPSLGVKKPSKALLFVILSPVGPYFSSGSFTPVSLWANPK YIRAWKGGTGDCKMGGACMEIRG >ENSMUSP00000120180.1 pep:known chromosome:GRCm38:6:145019192:145047853:-1 gene:ENSMUSG00000030268.17 transcript:ENSMUST00000123930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcat1 description:branched chain aminotransferase 1, cytosolic [Source:MGI Symbol;Acc:MGI:104861] MDCSNGCSAPFAGERGSEEVAETFRAKDLIITPATVLKEKPDPDSLVFGATFTDHMLTVE WSSASGWEKPHIKPFGNLPIHPAASVLHYAVELFEGLKAFRGVDNKIRLFRPDLNMDRMC RSAVRTTLPMFDKEELLKCILQLLQIDQEWVPYSTSASLYIRPTFIGTEPSLGVKKPSKA LLFVILSPVGPYFSSGSFTPVSLWANPKYIRAWKGGTGDCKMGG >ENSMUSP00000116091.1 pep:known chromosome:GRCm38:6:145032809:145047661:-1 gene:ENSMUSG00000030268.17 transcript:ENSMUST00000149769.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcat1 description:branched chain aminotransferase 1, cytosolic [Source:MGI Symbol;Acc:MGI:104861] MAKDLIITPATVLKEKPDPDSLVFGATFTDHMLTVEWSSASGWEKPHIKPFGNLPIHPAA SVLHYAVELFEGLKAFRGVDNKIRLFRPDLNMDRMCRSAVRTTLPMFDKEELLKCILQLL QIDQEWVPYSTSASLY >ENSMUSP00000103696.1 pep:known chromosome:GRCm38:11:85353167:85826058:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000108061.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] MNETMATDSPRRPSRCTGGVVVRPQAVTEQSYMESVVTFLQDVVPQAYSGSPLTEEKEKI VWVRFENADLNDTSRNLEFHELHSTGNEPPLLVMIGYSDGMQVWGIPISGEAQELFSVRH GPVRAARILPAPQLGAQKCDNFAEKRPLLGVCKSIGSSGTTPPYCCVDLYSLRTGEMVKS IQFKTPIYDLHCNKRILVVVLQEKIAAFDSCTFTKKFFVTSCYPCPGPNMNPIALGSRWL AYAENKLIRCHQSRGGACGDNIQSYTATVLSAAKTLKSGLTMVGKVVTQLTGTLPSGVTE DDVALHCNSRRSPLVPGIITVIDTETVGEGQVLVSEDSDSDGIVAHFPAHEKPVCCMAFN TSGMLLVTTDTLGHDFHVFQILTHPWSSSQCAVHHLYTLHRGETEAKVQDICFSHDCRWV VVSTLRGTSHVFPINPYGGQPCVRTHMSPRVVNRMSRFQKSAGLEEIEQELTSKQGGRCS PVPGLSSSPSGSPLHGKLTSQDSYNNFTNNNPGNPRLSPLPSLMVVTPLAQIKQPMTLGT ITKRTGPYLFGAGCFSIKAPCKVKSPPQISPSKSMGGEFCVAAVFGTSRSWFANNAGLKR EKDQSKQVVVESLYIISCYGTLVEHMIEPRPISTAPKISDDTPLEIMTSPRASWTLVRTP QWNELQPPFNANHPLLLAAEAVQYYQLLLAGSLPPGSPGPITRHGSYDSLASDHSGQEDE EWLSQVEIVTHTGPHRRLWMGPQFHFKTIQTSGQTTVISTSSSVLQSHGPSDTPQPLLDF DTDDLDLNSLRIQPVRSDPVSMPGSSRAVSDRRGVSTVTDAASGTFDRSVTLLEVCGSWP EGFGLRHMSSMEHSEEGLRERLADAMAESPSRDVVGSGTDTALDVAVKNSTPERPMAVKC LGKKKGKKKQCQQPSVREQPNSNKACVRDGGRTSARGKHRDSE >ENSMUSP00000103697.1 pep:known chromosome:GRCm38:11:85353167:85826058:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000108062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] MNETMATDSPRRPSRCTGGVVVRPQAVTEQSYMESVVTFLQDVVPQAYSGSPLTEEKEKI VWVRFENADLNDTSRNLEFHELHSTGNEPPLLVMIGYSDGMQVWGIPISGEAQELFSVRH GPVRAARILPAPQLGAQKCDNFAEKRPLLGVCKSIGSSGTTPPYCCVDLYSLRTGEMVKS IQFKTPIYDLHCNKRILVVVLQEKIAAFDSCTFTKKFFVTSCYPCPGPNMNPIALGSRWL AYAENKLIRCHQSRGGACGDNIQSYTATVLSAAKTLKSGLTMVGKVVTQLTGTLPSGVTE DDVALHCNSRRSPLVPGIITVIDTETVGEGQVLVSEDSDSDGIVAHFPAHEKPVCCMAFN TSGMLLVTTDTLGHDFHVFQILTHPWSSSQCAVHHLYTLHRGETEAKVQDICFSHDCRWV VVSTLRGTSHVFPINPYGGQPCVRTHMSPRVVNRMSRFQKSAGLEEIEQELTSKQGGRCS PVPGLSSSPSGSPLHGKLTSQDSYNNFTNNNPGNPRLSPLPSLMVVTPLAQIKQPMTLGT ITKRTGPYLFGAGCFSIKAPCKVKSPPQISPSKSMGGEFCVAAVFGTSRSWFANNAGLKR EKDQSKQVVVESLYIISCYGTLVEHMIEPRPISTAPKISDDTPLEIMTSPRASWTLVRTP QWNELQPPFNANHPLLLAAEAVQYYQLLLAGSLPPGSPGPITRHGSYDSLASDHSGQEDE EWLSQVEIVTHTGPHRRLWMGPQFHFKTIQTSGQTTVISTSSSVLQSHGPSDTPQPLLDF DTDDLDLNSLRIQPVRSDPVSMPGSSRAVSDRRGVSTVTDAASGTFDRSVTLLEVCGSWP EGFGLRHMSSMEHSEEGLRERLADAMAESPSRDVVGSGTDTALDVAVKNSTPERPMAVKC LGKKKGKKKQCQQPSVREQPNSNKACVRDGGRTSARGKHRDSE >ENSMUSP00000103691.1 pep:known chromosome:GRCm38:11:85353167:85510991:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000108056.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] MNETMATDSPRRPSRCTGGVVVRPQAVTEQSYMESVVTFLQDVVPQAYSGSPLTEEKEKI VWVRFENADLNDTSRNLEFHELHSTGNEPPLLVMIGYSDGMQVWGIPISGEAQELFSVRH GPVRAARILPAPQLGAQKCDNFAEKRPLLGVCKSIGSSGTTPPYCCVDLYSLRTGEMVKS IQFKTPIYDLHCNKRILVVVLQEKIAAFDSCTFTKKFFVTSCYPCPGPNMNPIALGSRWL AYAENKLIRCHQSRGGACGDNIQSYTATVLSAAKTLKSGLTMVGKVVTQLTGTLPSGVTE DDVALHCNSRRSPLVPGIITVIDTETVGEGQVLVSEDSDSDGIVAHFPAHEKPVCCMAFN TSGMLLVTTDTLGHDFHVFQILTHPWSSSQCAVHHLYTLHRGETEAKVQDICFSHDCRWV VVSTLRGTSHVFPINPYGGQPCVRTHMSPRVVNRMSRFQKSAGLEEIEQELTSKQGGRCS PVPGLSSSPSGSPLHGKHFPLMLLSSRFLLYHLGSDANFYSVCAEHS >ENSMUSP00000122154.1 pep:known chromosome:GRCm38:11:85466159:85826058:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000154396.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] XCYPCPGPNMNPIALGSRWLAYAENKLIRCHQSRGGACGDNIQSYTATVLSAAKTLKSGL TMVGKVVTQLTGTLPSGVTEDDVALHCNSRRSPLVPGIITVIDTETVGEGQVLVSEDSDS DGIVAHFPAHEKPVCCMAFNTSGMLLVTTDTLGHDFHVFQILTHPWSSSQCAVHHLYTLH RGETEAKVQDICFSHDCRWVVVSTLRGTSHVFPINPYGGQPCVRTHMSPRVVNRMSRFQK SAGLEEIEQELTSKQGGRCSPVPGLSSSPSGSPLHGKLTSQDSYNNFTNNNPGNPRLSPL PSLMVVTPLAQIKQPMTLGTITKRTGKVKSPPQISPSKSMGGEFCVAAVFGTSRSWFANN AGLKREKDQSKQVVVESLYIISCYGTLVEHMIEPRPISTAPKISDDTPLEIMTSPRASWT LVRTPQWNELQPPFNANHPLLLAAEAVQYYQLLLAGSLPPGSPGPITRHGSYDSLASDHS GQEDEEWLSQVEIVTHTGPHRRLWMGPQFHFKTIQTSGQTTVISTSSSVLQSHGPSDTPQ PLLDFDTDDLDLNSLRIQPVRSDPVSMPGSSRAVSDRRGVSTVTDAASGTFDRSVTLLEV CGSWPEGFGLRHMSSMEHSEEGLRERLADAMAESPSRDVVGSGTELQREGSIETLSNSSG STSGSIPRNFDGYRSPLPTNESQPLSLFPTGFP >ENSMUSP00000122571.1 pep:known chromosome:GRCm38:11:85509627:85826058:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000142596.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] XQGGRCSPVPGLSSSPSGSPLHGKLTSQDSYNNFTNNNPGNPRLSPLPSLMVVTPLAQIK QPMTLGTITKRTGPYLFGAGCFSIKAPCKVKSPPQISPSKSMGGEFCVAAVFGTSRSWFA NNAGLKREKDQSKQVVVESLYIISCYGTLVEHMIEPRPISTAPKISDDTPLEIMTSPRAS WTLVRTPQWNELQPPFNANHPLLLAAEAVQYYQLLLAGSLPPGSPGPITRHGSYDSLASD HSGQEDEEWLSQVEIVTHTGPHRRLWMGPQFHFKTIQTSGQTTVISTSSSVLQSHGPSDT PQPLLDFDTDDLDLNSLRIQPVRSDPVSMPGSSRAVSDRRGVSTVTDAASGTFDRSVTLL EVCGSWPEGFGLRHMSSMEHSEEGLRERLADAMAESPSRDVVGSGTDTALDVAVKNSTPE RPMAVKCLELQREGSIETLSNSSGSTSGSIPRNFDGYRSPLPTNESQPLSLFPTGFP >ENSMUSP00000090497.4 pep:known chromosome:GRCm38:11:85509638:85826058:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000092822.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] RCSPVPGLSSSPSGSPLHGKLTSQDSYNNFTNNNPGNPRLSPLPSLMVVTPLAQIKQPMT LGTITKRTGKVKSPPQISPSKSMGGEFCVAAVFGTSRSWFANNAGLKREKDQSKQVVVES LYIISCYGTLVEHMIEPRPISTAPKISDDTPLEIMTSPRASWTLVRTPQWNELQPPFNAN HPLLLAAEAVQYYQLLLAGSLPPGSPGPITRHGSYDSLASDHSGQEDEEWLSQVEIVTHT GPHRRLWMGPQFHFKTIQTSGQTTVISTSSSVLQSHGPSDTPQPLLDFDTDDLDLNSLRI QPVRSDPVSMPGSSRAVSDRRGVSTVTDAASGTFDRSVTLLEVCGSWPEGFGLRHMSSME HSEEGLRERLADAMAESPSRDVVGSGTDTALDVAVKNSTPERPMAVKCLELQREGSIETL SNSSGSTSGSIPRNFDGYRSPLPTNESQPLSLFPTGFP >ENSMUSP00000120891.1 pep:known chromosome:GRCm38:11:85801821:85825390:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000149662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] XGSWPEGFGLRHMSSMEHSEEGLRERLADAMAESPSRDVVGSGTGKKKGKKKQCQQPSVR EQPNSNKACVRDGGRTSARGKHRDSE >ENSMUSP00000114415.1 pep:known chromosome:GRCm38:11:85470752:85496993:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000144276.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] LIRCHQSRGGACGDNIQSYTATVLSAAKTLKSGLTMVGKVVTQLTGTLPSGVTEDDVALH CNSRRSPLVPGIITVIDTETVGEGQVLVSEDSDSDGIVAHFPAHEKPVCCMAFNTSGMLL VTTDTLGHDFHVFQILTHPWSSSQCAVHHLYTLHRGETEAKTGFH >ENSMUSP00000117559.1 pep:known chromosome:GRCm38:11:85353185:85371163:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000138423.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] MNETMATDSPRRPSRCTGGVVVRPQAVTEQSYMESVVTFLQDVVPQVSYVWSRALCNKEA YSGSPLTEEKEKIVWVRFENADLNDTSRNLEFHELHSTGNEPPLLVMIGYSDGMQVWGIP >ENSMUSP00000090496.3 pep:known chromosome:GRCm38:11:85353212:85825350:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000092821.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] MNETMATDSPRRPSRCTGGVVVRPQAVTEQSYMESVVTFLQDVVPQAYSGSPLTEEKEKI VWVRFENADLNDTSRNLEFHELHSTGNEPPLLVMIGYSDGMQVWGIPISGEAQELFSVRH GPVRAARILPAPQLGAQKCDNFAEKRPLLGVCKSIGSSGTTPPYCCVDLYSLRTGEMVKS IQFKTPIYDLHCNKRILVVVLQEKIAAFDSCTFTKKFFVTSCYPCPGPNMNPIALGSRWL AYAENKLIRCHQSRGGACGDNIQSYTATVLSAAKTLKSGLTMVGKVVTQLTGTLPSGVTE DDVALHCNSRRSPLVPGIITVIDTETVGEGQVLVSEDSDSDGIVAHFPAHEKPVCCMAFN TSGMLLVTTDTLGHDFHVFQILTHPWSSSQCAVHHLYTLHRGETEAKVQDICFSHDCRWV VVSTLRGTSHVFPINPYGGQPCVRTHMSPRVVNRMSRFQKSAGLEEIEQELTSKQGGRCS PVPGLSSSPSGSPLHGKLTSQDSYNNFTNNNPGNPRLSPLPSLMVVTPLAQIKQPMTLGT ITKRTGKVKSPPQISPSKSMGGEFCVAAVFGTSRSWFANNAGLKREKDQSKQVVVESLYI ISCYGTLVEHMIEPRPISTAPKISDDTPLEIMTSPRASWTLVRTPQWNELQPPFNANHPL LLAAEAVQYYQLLLAGSLPPGSPGPITRHGSYDSLASDHSGQEDEEWLSQVEIVTHTGPH RRLWMGPQFHFKTIQTSGQTTVISTSSSVLQSHGPSDTPQPLLDFDTDDLDLNSLRIQPV RSDPVSMPGSSRAVSDRRGVSTVTDAASGTFDRSVTLLEVCGSWPEGFGLRHMSSMEHSE EGLRERLADAMAESPSRDVVGSGTELQREGSIETLSNSSGSTSGSIPRNFDGYRSPLPTN ESQPLSLFPTGFP >ENSMUSP00000074416.4 pep:known chromosome:GRCm38:11:85353212:85825350:1 gene:ENSMUSG00000059439.15 transcript:ENSMUST00000074875.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcas3 description:breast carcinoma amplified sequence 3 [Source:MGI Symbol;Acc:MGI:2385848] MNETMATDSPRRPSRCTGGVVVRPQAVTEQSYMESVVTFLQDVVPQAYSGSPLTEEKEKI VWVRFENADLNDTSRNLEFHELHSTGNEPPLLVMIGYSDGMQVWGIPISGEAQELFSVRH GPVRAARILPAPQLGAQKCDNFAEKRPLLGVCKSIGSSGTTPPYCCVDLYSLRTGEMVKS IQFKTPIYDLHCNKRILVVVLQEKIAAFDSCTFTKKFFVTSCYPCPGPNMNPIALGSRWL AYAENKLIRCHQSRGGACGDNIQSYTATVLSAAKTLKSGLTMVGKVVTQLTGTLPSGVTE DDVALHCNSRRSPLVPGIITVIDTETVGEGQVLVSEDSDSDGIVAHFPAHEKPVCCMAFN TSGMLLVTTDTLGHDFHVFQILTHPWSSSQCAVHHLYTLHRGETEAKVQDICFSHDCRWV VVSTLRGTSHVFPINPYGGQPCVRTHMSPRVVNRMSRFQKSAGLEEIEQELTSKQGGRCS PVPGLSSSPSGSPLHGKLTSQDSYNNFTNNNPGNPRLSPLPSLMVVTPLAQIKQPMTLGT ITKRTGPYLFGAGCFSIKAPCKVKSPPQISPSKSMGGEFCVAAVFGTSRSWFANNAGLKR EKDQSKQVVVESLYIISCYGTLVEHMIEPRPISTAPKISDDTPLEIMTSPRASWTLVRTP QWNELQPPFNANHPLLLAAEAVQYYQLLLAGSLPPGSPGPITRHGSYDSLASDHSGQEDE EWLSQVEIVTHTGPHRRLWMGPQFHFKTIQTSGQTTVISTSSSVLQSHGPSDTPQPLLDF DTDDLDLNSLRIQPVRSDPVSMPGSSRAVSDRRGVSTVTDAASGTFDRSVTLLEVCGSWP EGFGLRHMSSMEHSEEGLRERLADAMAESPSRDVVGSGTELQREGSIETLSNSSGSTSGS IPRNFDGYRSPLPTNESQPLSLFPTGFP >ENSMUSP00000099944.4 pep:known chromosome:GRCm38:11:17159263:17200375:1 gene:ENSMUSG00000033953.10 transcript:ENSMUST00000102880.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp3r1 description:protein phosphatase 3, regulatory subunit B, alpha isoform (calcineurin B, type I) [Source:MGI Symbol;Acc:MGI:107172] MGNEASYPLEMCSHFDADEIKRLGKRFKKLDLDNSGSLSVEEFMSLPELQQNPLVQRVID IFDTDGNGEVDFKEFIEGVSQFSVKGDKEQKLRFAFRIYDMDKDGYISNGELFQVLKMMV GNNLKDTQLQQIVDKTIINADKDGDGRISFEEFCAVVGGLDIHKKMVVDV >ENSMUSP00000108121.1 pep:known chromosome:GRCm38:2:61578585:61654171:1 gene:ENSMUSG00000064289.15 transcript:ENSMUST00000112502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tank description:TRAF family member-associated Nf-kappa B activator [Source:MGI Symbol;Acc:MGI:107676] MSLKRHSLRRNACHLETRAGIPTILYSDATGQRGMDKNIGEQLNRAYEAFRQACMDRDSA VRELQQKTENYEQRIREQQEQLSFQQNLIDRLKSQLLLVDSSRDNSYGYVPLLEDSDRRK NNLTLDEPHDKVKLGTLRDKQSKVRRQEVSSGKESAKGLNIPLHHERDNIEKTFWDLKEE FHRICLLAKAQKDHLSKLNIPDIATDTQCSVPIQCTDKTEKQEALFKPQAKDDINRGMSC VTAVTPRGLGRDEEDTSFESLSKFNVKFPPMDNDSIFLHSTPEAPSILAPATPETVCQDR FNMEVRDNPGNFVKTEETLFEIQGIDPITSAIQNLKTTDKTNPSNLRATCLPAGDHNVFY VNTFPLQDPPDAPFPSLDSPGKAVRGPQQPFWKPFLNQDTDLVVPSDSDSELLKPLVCEF CQELFPPSITSRGDFLRHLNTHFNGET >ENSMUSP00000108114.1 pep:known chromosome:GRCm38:2:61593077:61654171:1 gene:ENSMUSG00000064289.15 transcript:ENSMUST00000112495.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tank description:TRAF family member-associated Nf-kappa B activator [Source:MGI Symbol;Acc:MGI:107676] MDKNIGEQLNRAYEAFRQACMDRDSAVRELQQKTENYEQRIREQQEQLSFQQNLIDRLKS QLLLVDSSRDNSYGYVPLLEDSDRRKNNLTLDEPHDKVKLGTLRDKQSKVRRQEVSSGKE SAKGLNIPLHHERDNIEKTFWDLKEEFHRICLLAKAQKDHLSKLNIPDIATDTQCSVPIQ CTDKTEKQEALFKPQAKDDINRGMSCVTAVTPRGLGRDEEDTSFESLSKFNVKFPPMDND SIFLHSTPEAPSILAPATPETVCQDRFNMEVRDNPGNFVKTEETLFEIQGIDPITSAIQN LKTTDKTNPSNLRATCLPAGDHNVFYVNTFPLQDPPDAPFPSLDSPGKAVRGPQQPFWKP FLNQDTDLVVPSDSDSELLKPLVCEFCQELFPPSITSRGDFLRHLNTHFNGET >ENSMUSP00000108113.1 pep:known chromosome:GRCm38:2:61593136:61651799:1 gene:ENSMUSG00000064289.15 transcript:ENSMUST00000112494.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tank description:TRAF family member-associated Nf-kappa B activator [Source:MGI Symbol;Acc:MGI:107676] MDKNIGEQLNRAYEAFRQACMDRDSAVRELQQKTENYEQRIREQQEQLSFQQNLIDRLKS QLLLVDSSRDNSYGYVPLLEDSDRRKNNLTLDEPHDKVKLGTLRDKQSKVRRQEVSSGKE SAKGLNIPLHHERDNIEKTFWDLKEEFHRICLLAKAQKDHLSKLNIPDIATDTQCSVPIQ CTDKTEKQEALFKPQAKDDINRGMSCVTAVTPRGLGRDEEDTSFESLSKFNVKFPPMDND SIFLHSTPEAPSILAPATPETVCQDRFNMEVRDNPGNFVKTEETLFEIQGIDPITSAIQN LKTTDKTNPSNLRATCLPAGDHNVFYVNTFPLQDPPDAPFPSLDSPGKAVRGPQQVTVLH >ENSMUSP00000108120.2 pep:known chromosome:GRCm38:2:61593097:61654171:1 gene:ENSMUSG00000064289.15 transcript:ENSMUST00000112501.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tank description:TRAF family member-associated Nf-kappa B activator [Source:MGI Symbol;Acc:MGI:107676] MDKNIGEQLNRAYEAFRQACMDRDSAVRELQQKQTENYEQRIREQQEQLSFQQNLIDRLK SQLLLVDSSRDNSYGYVPLLEDSDRRKNNLTLDEPHDKVKLGTLRDKQSKVRRQEVSSGK ESAKGLNIPLHHERDNIEKTFWDLKEEFHRICLLAKAQKDHLSKLNIPDIATDTQCSVPI QCTDKTEKQEALFKPQAKDDINRGMSCVTAVTPRGLGRDEEDTSFESLSKFNVKFPPMDN DSIFLHSTPEAPSILAPATPETVCQDRFNMEVRDNPGNFVKTEETLFEIQGIDPITSAIQ NLKTTDKTNPSNLRATCLPAGDHNVFYVNTFPLQDPPDAPFPSLDSPGKAVRGPQQPFWK PFLNQDTDLVVPSDSDSELLKPLVCEFCQELFPPSITSRGDFLRHLNTHFNGET >ENSMUSP00000077219.2 pep:known chromosome:GRCm38:2:61578586:61654171:1 gene:ENSMUSG00000064289.15 transcript:ENSMUST00000078074.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tank description:TRAF family member-associated Nf-kappa B activator [Source:MGI Symbol;Acc:MGI:107676] MSLKRHSLRRNACHLETRAGIPTILYSDATGQRGMDKNIGEQLNRAYEAFRQACMDRDSA VRELQQKQTENYEQRIREQQEQLSFQQNLIDRLKSQLLLVDSSRDNSYGYVPLLEDSDRR KNNLTLDEPHDKVKLGTLRDKQSKVRRQEVSSGKESAKGLNIPLHHERDNIEKTFWDLKE EFHRICLLAKAQKDHLSKLNIPDIATDTQCSVPIQCTDKTEKQEALFKPQAKDDINRGMS CVTAVTPRGLGRDEEDTSFESLSKFNVKFPPMDNDSIFLHSTPEAPSILAPATPETVCQD RFNMEVRDNPGNFVKTEETLFEIQGIDPITSAIQNLKTTDKTNPSNLRATCLPAGDHNVF YVNTFPLQDPPDAPFPSLDSPGKAVRGPQQPFWKPFLNQDTDLVVPSDSDSELLKPLVCE FCQELFPPSITSRGDFLRHLNTHFNGET >ENSMUSP00000079834.3 pep:known chromosome:GRCm38:7:107105822:107106949:-1 gene:ENSMUSG00000062987.4 transcript:ENSMUST00000081044.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10081 description:predicted gene 10081 [Source:MGI Symbol;Acc:MGI:3647188] MMQANQTQVTEFILLGLSDDPHTQKLLFILFLGIYMVTVLGNLFLMFLVRADSRLHTPMY FFLCNLSLADLCFSTNIVPQALIHLLSRKKTISFRRCAAQLLLFLIFGCTQCALLAVMSY DRYVAICNPLHYSSTMTWRVCIQLATVSWTSGILVSVVDTTFTLRLPYRGSNSIAHFFCE APALLALASTDTQTSEMAIFLMGVVILLIPVSLILVSYGHIIVTVVKMKSAAGRFKAFST CGSHLMVVILFYGSGIITYMTPKSSKEQEKLVSVFYAMVTPMLNPLIYSLRNKDVKGALW KVATKNFSSRLRITH >ENSMUSP00000116964.1 pep:known chromosome:GRCm38:6:83135463:83149558:1 gene:ENSMUSG00000034930.15 transcript:ENSMUST00000135490.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtkn description:rhotekin [Source:MGI Symbol;Acc:MGI:107371] MRDGACKLLAACSQREQALEATKSLLVCNSRILSYMGELQRRKEAQVLEKTGRRPSDSVQ PAQHSPCRGRVCISDLRIPLMWKDTEYFKNKGDLHRWAVFLLLQIGEQIQDTEMVLVDRT LTDISFQNNVLFAEAEPDFELRLELYGACVEEEGALAGAPKRLATKLSSSLGRSSGKRVR ASLDSAGASGNSPVLLPTPAVGGPRFHLLAHTTLTLEEVQDGFRTHDLTLTSH >ENSMUSP00000065571.4 pep:known chromosome:GRCm38:6:83135812:83152579:1 gene:ENSMUSG00000034930.15 transcript:ENSMUST00000065512.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtkn description:rhotekin [Source:MGI Symbol;Acc:MGI:107371] MFSRNHRSRITVARGSALEMEFKRGRFRLSFFSESPEDTELQRKLDHEIRMRDGACKLLA ACSQREQALEATKSLLVCNSRILSYMGELQRRKEAQVLEKTGRRPSDSVQPAQHSPCRGR VCISDLRIPLMWKDTEYFKNKGDLHRWAVFLLLQIGEQIQDTEMVLVDRTLTDISFQNNV LFAEAEPDFELRLELYGACVEEEGALAGAPKRLATKLSSSLGRSSGKRVRASLDSAGASG NSPVLLPTPAVGGPRFHLLAHTTLTLEEVQDGFRTHDLTLTSHEENPAWLPLYGSVCCRL AAQPLCMIQPTASGALRVQQAGELQNGTLVHGVLKGTNLFCYWRSEDADTGQEPLFTIVI NKETRVRAGELEQAPEWPFTLSISNKYGDDEVTNTLQLESREALQNWMEALWQLFFDMSQ WRHCCDEVMKIETPAPRKPPQALAKQGSLYHEMAIEPLDDIAAVTDILAQREGTRLEPSP PWLAMFTDQPALPSSCSPASVAPVPTWMQPLPWGRPRTFSLDAAPADHSLGPSRSVAPLP PQRSPKSRGFYSKSQLGPWLQSPV >ENSMUSP00000112501.1 pep:known chromosome:GRCm38:6:83137089:83152579:1 gene:ENSMUSG00000034930.15 transcript:ENSMUST00000121093.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtkn description:rhotekin [Source:MGI Symbol;Acc:MGI:107371] MQDRLRILEDLNMLYIRQMALSLEDTELQRKLDHEIRMRDGACKLLAACSQREQALEATK SLLVCNSRILSYMGELQRRKEAQVLEKTGRRPSDSVQPAQHSPCRGRVCISDLRIPLMWK DTEYFKNKGDLHRWAVFLLLQIGEQIQDTEMVLVDRTLTDISFQNNVLFAEAEPDFELRL ELYGACVEEEGALAGAPKRLATKLSSSLGRSSGKRVRASLDSAGASGNSPVLLPTPAVGG PRFHLLAHTTLTLEEVQDGFRTHDLTLTSHEENPAWLPLYGSVCCRLAAQPLCMIQPTAS GALRVQQAGELQNGTLVHGVLKGTNLFCYWRSEDADTGQEPLFTIVINKETRVRAGELEQ APEWPFTLSISNKYGDDEVTNTLQLESREALQNWMEALWQLFFDMSQWRHCCDEVMKIET PAPRKPPQALAKQGSLYHEMAIEPLDDIAAVTDILAQREGTRLEPSPPWLAMFTDQPALP SSCSPASVAPVPTWMQPLPWGRPRTFSLDAAPADHSLGPSRSVAPLPPQRSPKSRGFYSK SQLGPWLQSPV >ENSMUSP00000085249.4 pep:known chromosome:GRCm38:6:83137110:83152579:1 gene:ENSMUSG00000034930.15 transcript:ENSMUST00000087938.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtkn description:rhotekin [Source:MGI Symbol;Acc:MGI:107371] MQDRLRILEDLNMLYIRQMALSLEDTELQRKLDHEIRMRDGACKLLAACSQREQALEATK SLLVCNSRILSYMGELQRRKEAQVLEKTGRRPSDSVQPAQHSPCRGRVCISDLRIPLMWK DTEYFKNKGDLHRWAVFLLLQIGEQIQDTEMVLVDRTLTDISFQNNVLFAEAEPDFELRL ELYGACVEEEGALAGAPKRLATKLSSSLGRSSGKRVRASLDSAGASGNSPVLLPTPAVGG PRFHLLAHTTLTLEEVQDGFRTHDLTLTSHEENPAWLPLYGSVCCRLAAQPLCMIQPTAS GALRVQQAGELQNGTLVHGVLKGTNLFCYWRSEDADTGQEPLFTIVINKETRVRAGELEQ APEWPFTLSISNKYGDDEVTNTLQLESREALQNWMEALWQLFFDMSQWRHCCDEVMKIET PAPRKPPQALAKQGSLYHEMAIEPLDDIAAVTDILAQREGTRLEPSPPWLAMFTDQPALP SSCSPASVAPVPTWMQPLPWGRPRTFSLDAAPADHSLGPSRSVAPLPPQRSPKSRGFYSK SQLGPWLQSPV >ENSMUSP00000122660.1 pep:known chromosome:GRCm38:6:83142392:83148154:1 gene:ENSMUSG00000034930.15 transcript:ENSMUST00000130622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtkn description:rhotekin [Source:MGI Symbol;Acc:MGI:107371] MSRAPTGTADAGGAVAPTLGVLQSPRDPPATLAPRVSATCDPSLTAGAMDKAREGSDTEL QRKLDHEIRMRDGACKLLAACSQREQALEATKSLLVCNSRILSYMGELQRRKEAQVLEKT GRRPSDSVQPAQHSPCRGRVCISDLRIPLMWKDTEYFKNKGDLHRWAVFLLLQIGEQIQD TEMVLVDRTLTDISFQNNVLFAEAEPDFELRLELYGA >ENSMUSP00000120640.1 pep:known chromosome:GRCm38:6:83142564:83147675:1 gene:ENSMUSG00000034930.15 transcript:ENSMUST00000129316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtkn description:rhotekin [Source:MGI Symbol;Acc:MGI:107371] MRDGACKLLAACSQREQALEATKSLLVCNSRILSYMGELQRRKEAQVLEKTGRRPSDSVQ PAQHSPCRGRVCISDLRIPLMW >ENSMUSP00000029421.4 pep:known chromosome:GRCm38:3:66219910:66225805:1 gene:ENSMUSG00000027832.5 transcript:ENSMUST00000029421.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptx3 description:pentraxin related gene [Source:MGI Symbol;Acc:MGI:104641] MHLPAILLCALWSAVVAETSDDYELMYVNLDNEIDNGLHPTEDPTPCDCRQEHSEWDKLF IMLENSQMREGMLLQATDDVLRGELQRLRAELGRLAGGMARPCAAGGPADARLVRALEPL LQESRDASLRLARLEDAEARRPEATVPGLGAVLEELRRTRADLSAVQSWVARHWLPAGCE TAIFFPMRSKKIFGSVHPVRPMKLESFSTCIWVKATDVLNKTILFSYGTKWNPYEIQLYL SSQSLVLVVGGKENKLAADTVVSLGRWSHLCGTWSSEQGSMSLWANGELVATTVEMAKSH SVPEGGLLQIGQEKNGCCVGGGFDESLAFSGRITGFNIWDRVLSEEEIRASGGVESCHIR GNVVGWGVTEIQAHGGAQYVS >ENSMUSP00000065168.4 pep:known chromosome:GRCm38:13:21467047:21468509:-1 gene:ENSMUSG00000059395.4 transcript:ENSMUST00000068235.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkapl description:NFKB activating protein-like [Source:MGI Symbol;Acc:MGI:1913957] MSPVSRSRPNEDTLGSQKRRRFSESPPSALQTSRSPRGGGFGSLLSGPEGLQPPRGAQGV RPLHPISRPRSGERPLPLGIRTLACSSSPICGGYRYHHNYAGDPSWAEDQEKEKEESYRQ RRLKERERIGELGAPEVWGLSPKIPEPDSDEHTPAEDEVKNQKSSSSDFTNEEKRTKTSH STKKKRKKKPSKRKHKKYYDSSSDCHSSSDSDKKKVKTKKKEKKKKHRAKQLKKKRTKKE YNDISCKASERDLPEDAWMEQSMSAESMDLIGPEAPVIHTSQDEKPLNYGHALLPGEGAA MAEYVKAGKRIPRRGEIGLTSEEIASFECSGYVMSGSRHRRMEAVRLRKENQIYSADEKR ALASFNQEERRKRENKILASFREMVYRKTKGKDDK >ENSMUSP00000045240.5 pep:known chromosome:GRCm38:4:154922210:154928077:-1 gene:ENSMUSG00000042333.14 transcript:ENSMUST00000045919.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf14 description:tumor necrosis factor receptor superfamily, member 14 (herpesvirus entry mediator) [Source:MGI Symbol;Acc:MGI:2675303] MEPLPGWGSAPWSQAPTDNTFRLVPCVFLLNLLQRISAQPSCRQEEFLVGDECCPMCNPG YHVKQVCSEHTGTVCAPCPPQTYTAHANGLSKCLPCGVCDPDMGLLTWQECSSWKDTVCR CIPGYFCENQDGSHCSTCLQHTTCPPGQRVEKRGTHDQDTVCADCLTGTFSLGGTQEECL PWTNCSAFQQEVRRGTNSTDTTCSSQVVYYVVSILLPLVIVGAGIAGFLICTRRHLHTSS VAKELEPFQEQQENTIRFPVTEVGFAETEEETASN >ENSMUSP00000116757.1 pep:known chromosome:GRCm38:4:154922210:154928202:-1 gene:ENSMUSG00000042333.14 transcript:ENSMUST00000123514.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf14 description:tumor necrosis factor receptor superfamily, member 14 (herpesvirus entry mediator) [Source:MGI Symbol;Acc:MGI:2675303] MEPLPGWGSAPWSQAPTDNTFRLVPCVFLLNLLQRISAQPSCRQEEFLVGDECCPMCNPG YHVKQVCSEHTGTVCAPCPPQTYTAHANGLSKCLPCGVCDPDMGLLTWQECSSWKDTVCR CIPGYFCENQDGSHCSTCLQHTTCPPGQRVEKRGTHDQDTVCADCLTGTFSLGGTQEECL PWTNCSAFQQEVRRGTNSTDTTCSSQVVYYVVSILLPLVIVGAGIAGFLICTRRHLHTSS VAKELEPFQEQQENTIRFPVTEVGFAETEEETASN >ENSMUSP00000117890.1 pep:known chromosome:GRCm38:4:154922261:154928552:-1 gene:ENSMUSG00000042333.14 transcript:ENSMUST00000152687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfrsf14 description:tumor necrosis factor receptor superfamily, member 14 (herpesvirus entry mediator) [Source:MGI Symbol;Acc:MGI:2675303] MGVGTLEPGPYRQHLQADMGLLTWQECSSWKDTVCRCIPGYFCENQDGSHCSTCLQHTTC PPGQRVEKRGTHDQDTVCADCLTGTFSLGGTQEECLPWTNCSAFQQEVRRGTNSTDTTCS SQVVYYVVSILLPLVIVGAGIAGFLICTRRHLHTSSVAKELEPFQEQQENTIRFPVTEVG FAETEE >ENSMUSP00000108858.1 pep:known chromosome:GRCm38:1:87213914:87226607:1 gene:ENSMUSG00000026254.17 transcript:ENSMUST00000113232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e2 description:eukaryotic translation initiation factor 4E member 2 [Source:MGI Symbol;Acc:MGI:1914440] MNNKFDALKDDDSGDHDQNEENSTQKDGEKEKTDRDKSQSSGKRKAVVPGPAEHPLQYNY TFWYSRRTPGRPTSSQSYEQNIKQIGTFASVEQFWKFYSHMVRPGDLTGHSDFHLFKEGI KPMWEDDANKNGGKWIIRLRKGLASRCWENLILAMLGEQFMVGEEICGAVVSVRFQEDII SIWNKTASDQATTARIRDTLRRVLNLPPNTIMEYKTHTDSIKYVLGGLGGTGP >ENSMUSP00000108859.1 pep:known chromosome:GRCm38:1:87213914:87228856:1 gene:ENSMUSG00000026254.17 transcript:ENSMUST00000113233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e2 description:eukaryotic translation initiation factor 4E member 2 [Source:MGI Symbol;Acc:MGI:1914440] MNNKFDALKDDDSGDHDQNEENSTQKDGEKEKTDRDKSQSSGKRKAVVPGPAEHPLQYNY TFWYSRRTPGRPTSSQSYEQNIKQIGTFASVEQFWKFYSHMVRPGDLTGHSDFHLFKEGI KPMWEDDANKNGGKWIIRLRKGLASRCWENLILAMLGEQFMVGEEICGAVVSVRFQEDII SIWNKTASDQATTARIRDTLRRVLNLPPNTIMEYKTHTDSIKMPGRLGPQRLLFQNLWKP RLNVP >ENSMUSP00000053403.7 pep:known chromosome:GRCm38:1:87213914:87234711:1 gene:ENSMUSG00000026254.17 transcript:ENSMUST00000050876.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e2 description:eukaryotic translation initiation factor 4E member 2 [Source:MGI Symbol;Acc:MGI:1914440] MNNKFDALKDDDSGDHDQNEENSTQKDGEKEKTDRDKSQSSGKRKAVVPGPAEHPLQYNY TFWYSRRTPGRPTSSQSYEQNIKQIGTFASVEQFWKFYSHMVRPGDLTGHSDFHLFKEGI KPMWEDDANKNGGKWIIRLRKGLASRCWENLILAMLGEQFMVGEEICGAVVSVRFQEDII SIWNKTASDQATTARIRDTLRRVLNLPPNTIMEYKTHTDSINIAPQGTMSSKLCLMVNPL KVTRPHASTFPLGSVHQQI >ENSMUSP00000075699.5 pep:known chromosome:GRCm38:1:87213914:87240488:1 gene:ENSMUSG00000026254.17 transcript:ENSMUST00000076362.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e2 description:eukaryotic translation initiation factor 4E member 2 [Source:MGI Symbol;Acc:MGI:1914440] MNNKFDALKDDDSGDHDQNEENSTQKDGEKEKTDRDKSQSSGKRKAVVPGPAEHPLQYNY TFWYSRRTPGRPTSSQSYEQNIKQIGTFASVEQFWKFYSHMVRPGDLTGHSDFHLFKEGI KPMWEDDANKNGGKWIIRLRKGLASRCWENLILAMLGEQFMVGEEICGAVVSVRFQEDII SIWNKTASDQATTARIRDTLRRVLNLPPNTIMEYKTHTDSIKDNSSFRNTKITL >ENSMUSP00000108861.1 pep:known chromosome:GRCm38:1:87213914:87240488:1 gene:ENSMUSG00000026254.17 transcript:ENSMUST00000113235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e2 description:eukaryotic translation initiation factor 4E member 2 [Source:MGI Symbol;Acc:MGI:1914440] MNNKFDALKDDDSGDHDQNEENSTQKDGEKEKTDRDKSQSSGKRKAVVPGPAEHPLQYNY TFWYSRRTPGRPTSSQSYEQNIKQIGTFASVEQFWKFYSHMVRPGDLTGHSDFHLFKEGI KPMWEDDANKNGGKWIIRLRKGLASRCWENLILAMLGEQFMVGEEICGAVVSVRFQEDII SIWNKTASDQATTARIRDTLRRVLNLPPNTIMEYKTHTDSIKAWEEFHGLVNSSGR >ENSMUSP00000108856.1 pep:known chromosome:GRCm38:1:87213939:87226600:1 gene:ENSMUSG00000026254.17 transcript:ENSMUST00000113230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e2 description:eukaryotic translation initiation factor 4E member 2 [Source:MGI Symbol;Acc:MGI:1914440] MSLKDDDSGDHDQNEENSTQKDGEKEKTDRDKSQSSGKRKAVVPGPAEHPLQYNYTFWYS RRTPGRPTSSQSYEQNIKQIGTFASVEQFWKFYSHMVRPGDLTGHSDFHLFKEGIKPMWE DDANKNGGKWIIRLRKGLASRCWENLILAMLGEQFMVGEEICGAVVSVRFQEDIISIWNK TASDQATTARIRDTLRRVLNLPPNTIMEYKTHTDSIKYVLGGLGGTGP >ENSMUSP00000137771.1 pep:known chromosome:GRCm38:1:87213979:87225873:1 gene:ENSMUSG00000026254.17 transcript:ENSMUST00000123735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e2 description:eukaryotic translation initiation factor 4E member 2 [Source:MGI Symbol;Acc:MGI:1914440] MSLKDDDSGDHDQNEENSTQKDGEKEKTDRDKSQSSGKRKAVVPGPAEHPLQYNYTFWYS RRTPGRPTSSQSYEQNIKQIGTFASVEQFWKFYSHMVRPGDLTGHSDFHLFKEGIKPMWE DDANKNGG >ENSMUSP00000108857.3 pep:known chromosome:GRCm38:1:87214286:87228064:1 gene:ENSMUSG00000026254.17 transcript:ENSMUST00000113231.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4e2 description:eukaryotic translation initiation factor 4E member 2 [Source:MGI Symbol;Acc:MGI:1914440] MSLKDDDSGDHDQNEENSTQKDGEKEKTDRDKSQSSGKRKAVVPGPAEHPLQYNYTFWYS RRTPGRPTSSQSYEQNIKQIGTFASVEQFWKFYSHMVRPGDLTGHSDFHLFKEGIKPMWE DDANKNGGKWIIRLRKGLASRCWENLILAMLGEQFMVGEEICGAVVSVRFQEDIISIWNK TASDQATTARIRDTLRRVLNLPPNTIMEYKTHTDSIKMPGRLGPQRLLFQNLWKPRLNVP >ENSMUSP00000097373.1 pep:known chromosome:GRCm38:2:89325243:89326178:-1 gene:ENSMUSG00000075092.1 transcript:ENSMUST00000099785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1232 description:olfactory receptor 1232 [Source:MGI Symbol;Acc:MGI:3031066] MQNQSFVTEFVFLGLSQNPNLQKLIFIICLVVYIATMGSNMMIVVTVVCSPTLLGSPMYF FLAFLSLLDASFSSAMTPKMILDSLYKRKTISFEGCMIQLFVEHFLGGAEMILLTAMAYD RYVAICKPLHYSSIMTRKVCGTLVGVAWAGGLLHSTVQIIFTLQLPFCGPNVINHFMCDL FPLLELACTDTHIFGLFVVANSGLICIIVFVLLLVSYGFILLSLRSQSSEGRWKALSTCG SHVAVVVLFFVPCIFIYARPHSAFSFDKMVALFYTMLSPLLNPIIYTFRNKDMKNAIRKL WKKLVMVSDER >ENSMUSP00000077127.7 pep:known chromosome:GRCm38:2:30364233:30385521:1 gene:ENSMUSG00000026858.18 transcript:ENSMUST00000077977.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73b description:family with sequence similarity 73, member B [Source:MGI Symbol;Acc:MGI:1922035] MAFRRTEGMSMIQALAMTVAEIPVFLYTTFGQSAFSQLRLTPGLRKVLFATALGTVALAL AAHQLKRRRRKKKQVGPEMGGEQLGTVPMPILMARKVPSVKKGCSSRRVQSPSSKSNDTL SGISSIEPSKHSGSSHSLASMVVVNSSSPTAACSGSWEARGMEESVPTTDGSAESLYVQG MELFEEALQKWEQALSVGQRGDGGSTPTPGDSLQNPDTASEALSEPESQRREFAEKLESL LHRAYHLQEEFGSTFPSDSMLLDLERTLMLPLTEGSLRLRADDEDSLTSEDSFFSATEIF ESLQIGEYPLPLSRPAAAYEEALQLVKEGRVPCRTLRTELLGCYSDQDFLAKLHCVRQAF EGLLEERSNQIFFGEVGRQMVTGLMTKAEKSPKGFLESYEEMLSYALRPETWATTRLELE GRGVACMSFFDIVLDFILMDAFEDLENPPSSVLAVLRNRWLSDSFKETALATACWSVLKA KRRLLMVPDGFISHFYSVSEHVSPVLAFGFLGPKPQLSEVCAFFKHQIVQYLRDMFDLDN VRYTSVPALAEDILQLSRRRSEILLGYLGAPVASSIGLNGPLPRENGPLEELQ >ENSMUSP00000135519.1 pep:known chromosome:GRCm38:2:30364254:30379094:1 gene:ENSMUSG00000026858.18 transcript:ENSMUST00000140075.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73b description:family with sequence similarity 73, member B [Source:MGI Symbol;Acc:MGI:1922035] MAFRRTEGMSMIQALAMTVAEIPVFLYTTFGQSAFSQLRLTPGLRKVLFATALGTVALAL AAHQLKRRRRKKKQVGPEMGGEQLGTVPMPILMARKVPSVKKGCSSRRVQSPSSKSNDTL SGISSIEPSKHSGSSHSLASMVVVNSSSPTAACSGSWEARGMEESVPTTDGSAESLYVQG MELFEEALQKWEQALSVGQRGDGGSTPTPGDSLQNPDTASEALSEPESQRREFAEKLESL LHRAYHLQEEFGSTFPSDSMLLDLERTLMLPLTEGSLRLRADDEDSLTSEDSFFSATEIF ESLQIGEYPLPLSRPAAAYEEALQLVKEGRVPCRTLRTELLGCYSDQDFLAKLHCVRQAF EGLLEERSNQIFFGEVGRQMVTGLMTKAEKVAGVGLLQIPLRTLPQAGLLLCFVLFCRLL TGFLTQKSWACEVTQWVQDWQPE >ENSMUSP00000118253.1 pep:known chromosome:GRCm38:2:30364293:30370405:1 gene:ENSMUSG00000026858.18 transcript:ENSMUST00000142801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73b description:family with sequence similarity 73, member B [Source:MGI Symbol;Acc:MGI:1922035] MAFRRTEGMSMIQALAMTVAEIPVFLYTTFGQSAFSQLRLTPGLRKVLFATALGTVALAL AAHQLKRRRRKKKQVGPEMGGEQLGTVPMPILMARKVPSVKKGCSSRRVQSPSSKSNDTL SGISSIEPSKHSGSSHSLA >ENSMUSP00000097787.3 pep:known chromosome:GRCm38:2:30364296:30385519:1 gene:ENSMUSG00000026858.18 transcript:ENSMUST00000100214.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73b description:family with sequence similarity 73, member B [Source:MGI Symbol;Acc:MGI:1922035] MAFRRTEGMSMIQALAMTVAEIPVFLYTTFGQSAFSQLRLTPGLRKVLFATALGTVALAL AAHQLKRRRRKKKQVGPEMGGEQLGTVPMPILMARKVPSVKKGCSSRRVQSPSSKSNDTL SGISSIEPSKHSGSSHSLASMVVVNSSSPTAACSGSWEARGMEESVPTTDGSAESLYVQG MELFEEALQKWEQALSVGQRGDGGSTPTPGDSLQNPDTASEALSEPESQRREFAEKLESL LHRAYHLQEEFGSTFPSDSMLLDLERTLMLPLTEGSLRLRADDEDSLTSEDSFFSATEIF ESLQIGEYPLPLSRPAAAYEEALQLVKEGRVPCRTLRTELLGCYSDQDFLAKLHCVRQAF EGLLEERSNQIFFGEVGRQMVTGLMTKAEKSPKGFLESYEEMLSYALRPETWATTRLELE GRGVACMSFFDIVLDFILMDAFEDLENPPSSVLAVLRNRWLSDSFKETALATACWSVLKA KRRLLMVPDGFISHFYSVSEHVSPVLAFGFLGPKPQLSEVCAFFKHQIVQYLRDMFDLDN VRYTSVPALAEDILQLSRRRSEILLGYLGAPVASSIGLNGPLPRENGPLEELQ >ENSMUSP00000135126.1 pep:known chromosome:GRCm38:2:30368415:30371202:1 gene:ENSMUSG00000026858.18 transcript:ENSMUST00000116543.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73b description:family with sequence similarity 73, member B [Source:MGI Symbol;Acc:MGI:1922035] MGGEQLGTVPMPILMARKVPSVKKGCSSRRVQSPSSKSNDTLSGISSIEPSKHSGSSHSL ASMVVVNSSSPTAACSGSWEARGMEESVPTT >ENSMUSP00000135812.1 pep:known chromosome:GRCm38:2:30381713:30384100:1 gene:ENSMUSG00000026858.18 transcript:ENSMUST00000175864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73b description:family with sequence similarity 73, member B [Source:MGI Symbol;Acc:MGI:1922035] RLELEGRGVACMSFFDIVLDFILMDAFEDLENPPSSVLAVLRNRWLSDSFKETALATACW SVLKAKRRLLMHQIVQYLRDMFDLDNVRYTSVPALAEDILQLSRRRSEILLGYLGAPVAS SIGLNGPL >ENSMUSP00000135644.1 pep:known chromosome:GRCm38:2:30381944:30384206:1 gene:ENSMUSG00000026858.18 transcript:ENSMUST00000176517.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam73b description:family with sequence similarity 73, member B [Source:MGI Symbol;Acc:MGI:1922035] XCMSFFDIVLDFILMDAFEDLENPPSSVLAVLRNRWLSDSFKETVGPSHRVLVCPESQEE APDAPDCTIPEGHVRPGQRALHICASPSRRHPTAVPAAQRDSIGLPGGTCG >ENSMUSP00000006286.2 pep:known chromosome:GRCm38:11:75630988:75648871:1 gene:ENSMUSG00000006127.9 transcript:ENSMUST00000006286.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5k description:inositol polyphosphate 5-phosphatase K [Source:MGI Symbol;Acc:MGI:1194899] MQHGDRNTPGYREGIMSAVSLRRPSAPKGFALSVHVVTWNVASAAPTVDLSDLLQLNNQD LNLDIYIIGLQEMNFGIISLLSDAAFEDPWSSLFMDMLSPLNFVKISQVRMQGLLLLVFA KYQHLPYIQIISTKSTPTGLYGYWGNKGGVNVCLKLYGYYVSIINCHLPPHMYNNDQRLE HFDRILESLTFEGYDVPNILDHDLILWFGDMNFRIEDFGLLFVQESITRKYYKELWEKDQ LFIAKKNDQLLREFQEGPLLFPPTYKFDRHSNNYDTSEKKRKPAWTDRILWRLKRQPSQA SPLASSVPTSYFLLTLKNYVSHMAYSISDHKPVTGTFDLELNPLMSVPLITMMPEHLWTM ENDMLISYTSTPEFLSSSWDWIGLYKVGMRHINDYVAYVWVGDNQVSYGNNPNQVYINIS AIPDTEDQFLLCYYSNNLHSVVGISQPFKIPIRSFLREDTLYEPEPQI >ENSMUSP00000119996.1 pep:known chromosome:GRCm38:11:75631055:75648865:1 gene:ENSMUSG00000006127.9 transcript:ENSMUST00000150857.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Inpp5k description:inositol polyphosphate 5-phosphatase K [Source:MGI Symbol;Acc:MGI:1194899] MQHGDRNTPGYREGIMSAVSLRRPSAPKGFALSPHCRPQ >ENSMUSP00000121060.1 pep:known chromosome:GRCm38:11:75646846:75647864:1 gene:ENSMUSG00000006127.9 transcript:ENSMUST00000139856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5k description:inositol polyphosphate 5-phosphatase K [Source:MGI Symbol;Acc:MGI:1194899] ENDMLISYTSTPEFLSSSWDWIGLYKVIQQVGMRHINDYVAYVWVGDNQVSYGNNPNQVY INISAIPDTEDQFLLCYYSNNLHSVVGISQPFKIPIRSFLREDTLYEPEPQI >ENSMUSP00000115754.1 pep:known chromosome:GRCm38:8:72480641:72492614:-1 gene:ENSMUSG00000019731.13 transcript:ENSMUST00000152080.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35e1 description:solute carrier family 35, member E1 [Source:MGI Symbol;Acc:MGI:2142403] MAAAATAGPGAGAGVPGAGGGGGAREGARVAVLCLLWYALSAGGNVVNKVILSAFPFPVT VSLCHILALCAGLPPLLRAWRVPPAPPVSGPGPGPHPASGPLLPPRFYPRYVLPLAFGKY FASVSAHVSIWKVPVSYAHTVKATMPIWVVLLSRIIMKEKQSTKVYLSLVPIISGVLLAT VTELSFDVWGLVSALAATLCFSLQNIFSKKVLRDSRIHHLRLLNILGCHAVFFMIPTWVL VDLSTFLVSSDLAYVSQWPWTLLLLAVSGFCNFAQNVIAFSILNLISPLSYSVANATKRI MVITVSLIMLRNPVTSTNVLGMMTAILGVFLYNKTKYDANQQARRHLLPISTSDLSSREH LRSPVEKPHNGALFPQQGDFQYRNILLTDHFQYSRQGHPNSYALSRHDV >ENSMUSP00000122215.1 pep:known chromosome:GRCm38:8:72484574:72492217:-1 gene:ENSMUSG00000019731.13 transcript:ENSMUST00000141352.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35e1 description:solute carrier family 35, member E1 [Source:MGI Symbol;Acc:MGI:2142403] VSAHVSIWKVPVSYAHTVKATMPIWVVLLSRIIMKEKQSTKVYLSLVPIISGVLLATVTE LSFDVWGLVSALAATLCFSLQNIFSKKVLRDSRIHHLRLLNILGCHAVFFMIPTWVLVDL STFLVSSDLAYVSQWPWTLLLLAVSGFCNFAQNVIAFSILNLISPLSYSVANATKRIMVI TVSLIMLRNPVTSTNVLGMMTAILGVFLYNKVSIGCYCPLAGTPLGQGAKGKRGEGFLAV >ENSMUSP00000033842.3 pep:known chromosome:GRCm38:8:15057653:15133541:1 gene:ENSMUSG00000031461.4 transcript:ENSMUST00000033842.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myom2 description:myomesin 2 [Source:MGI Symbol;Acc:MGI:1328358] MSLVAVPFYQKRHKHFDQSYRNIQTRYLLDQYALKKQATTQSSSQRSLTERSSSKRASSQ SSAGAMTCRLCAKRVSASEEEEVENENRYRSQAASYGEAKRQRFLSELAQLEENVHLARS QARDKLDKYFMEQTVEDNLAWERHSFEDRMSRAPEILVRLRSHTIWERMSVRLCFTVQGF PTPVVQWYKDGSLICQAGEPGKYRIESRYGVHTLEINRANFEDTATYSAVATNSHGQVST NAAVVVRRYRGEEEPFHSVGLPIGLPLSSVIPYTHFDVQFLEKFGVTFRREGETVTLKCT LLVTPDLKRVQPRAEWYRDDVLLKESKWTKMFFGEGQASLSFSHLNKDDEGLYTLRIVSR GGVSDHSAFMFVRDADPLVTGAPGAPMDLQCHDANRDYVIVTWKPPNTTTESPVIGYFID KCEVGTNNWVQCNDAPVKICKYPVTGLFEGRSYVFRVRAVNNAGISRPSRISDAVAALDP VDLRRLQAIHLEGEKEIVIYQDDLEGDVQIPGPPTNVQASEVSRNYVVLSWDPPSPRGKD PLMYFIEKSAVGSGSWQRVNAQTAVRSPRYAVFDLAEGKSYVFRVLSANKHGLSDPSEIT PPIQAQDMIVVPSAPGRVLASRNTKTSVVVQWDRPKHEEDLLGYYVDCCVAGTNMWEPCN HKPIGYNRFVVHGLTTGEQYIFRVKAVNAVGTSENSQESEVIKVQAALTVPSHPYGITLL NCDGHSMTLGWKVPKFSGGSAIIGYYLDKREVHHKNWHEVNSSPVKERILTVEGLTEGSL YEFKIAATNLAGIGQPSDPSEHFKCEAWTDPEPGPAYDLTFCEVRDTSLVILWKAPVYSG SSPVSGYFVDFKEEDSGEWKTTSEAATPNRYLKVCDLQQGKTYVFRIRAVNASGPGKPSD TSEPVLVEARPGTKEISAGVDEEGNIYLGFDCQEMTDASQFTWCKAYEEIADEERFEVHT EGDHSKLYFKNPDKEDLGTYSVSVSDTDGVSSSFVLDEEELERLMALSNEIKNPTIPLKS ELAYEIFDKGQVRFWLQAEHLSPDASFRFIINDREVSDSDTHRIKCDRSTGMIEMVMDRF TIENEGTYTVQIQDGKAKNQSSLVLIGDAFKAVLEEAEFQRKEFLRKQGPHFAEYLHWDV TEECEVRLVCKVANTKRETVFKWLKDDVLYETETPPDLEKGVCELLIPKLSKKDHGEYKA TLKDDRGQDVSVLEVGGKVYEDMILAMSRVCGASASPLKVLCTPEGIRLQCFMKYFTEEM KVSWYHKEAKISSSEHMRIGGSEEMAWLQICEPTEKDKGKYTFEIFDGKDSHQRSLDLSG QAFDEAYAEFQQLKAAAFAEKNRGKVIGGLPDVVTIMEGKTLNLTCTVFGNPDPEVVWFK NDKDIELSEHFLVKMEQSKYVSLTIQGVTAEDSGKYSINVKNKYGGEKIDVTVSVYKHGE KIPDISPPQQAKPKLIPASTSSD >ENSMUSP00000033466.1 pep:known chromosome:GRCm38:X:57212143:57224042:1 gene:ENSMUSG00000031132.1 transcript:ENSMUST00000033466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd40lg description:CD40 ligand [Source:MGI Symbol;Acc:MGI:88337] MIETYSQPSPRSVATGLPASMKIFMYLLTVFLITQMIGSVLFAVYLHRRLDKVEEEVNLH EDFVFIKKLKRCNKGEGSLSLLNCEEMRRQFEDLVKDITLNKEEKKENSFEMQRGDEDPQ IAAHVVSEANSNAASVLQWAKKGYYTMKSNLVMLENGKQLTVKREGLYYVYTQVTFCSNR EPSSQRPFIVGLWLKPSSGSERILLKAANTHSSSQLCEQQSVHLGGVFELQAGASVFVNV TEASQVIHRVGFSSFGLLKL >ENSMUSP00000139511.1 pep:known chromosome:GRCm38:15:75596628:75598213:1 gene:ENSMUSG00000022579.10 transcript:ENSMUST00000189944.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpihbp1 description:GPI-anchored HDL-binding protein 1 [Source:MGI Symbol;Acc:MGI:1915703] MKALRAVLLILLLSGQPGSGWAQEDGDADPEPENYNYDDDDDEEEEEETNMIPGSRDRAP LQCYFCQVLHSGESCNQTQSCSSSKPFCITLVSHSGTASSLVCGRQGPEDPFSSNQDALA SPS >ENSMUSP00000023243.4 pep:known chromosome:GRCm38:15:75596630:75598213:1 gene:ENSMUSG00000022579.10 transcript:ENSMUST00000023243.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpihbp1 description:GPI-anchored HDL-binding protein 1 [Source:MGI Symbol;Acc:MGI:1915703] MKALRAVLLILLLSGQPGSGWAQEDGDADPEPENYNYDDDDDEEEEEETNMIPGSRDRAP LQCYFCQVLHSGESCNQTQSCSSSKPFCITLVSHSGTDKGYLTTYSMWCTDTCQPIIKTV GGTQMTQTCCQSTLCNIPPWQNPQVQNPLGGRADSPLESGTRHPQGGKFSHPQVVKAAHP QSDGANLPKSGKANQPQGSGAGYPSGWTKFGNIALLLSFFTCLWASGA >ENSMUSP00000139792.1 pep:known chromosome:GRCm38:15:75596654:75599481:1 gene:ENSMUSG00000022579.10 transcript:ENSMUST00000189874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpihbp1 description:GPI-anchored HDL-binding protein 1 [Source:MGI Symbol;Acc:MGI:1915703] MKALRAVLLILLLSGQPGSGWAQEDGDADPEPENYNYDDDDDEEEEEETNMIPGSRDRAP LQCYFCQVLHSGESCNQTQSCSSSKPFCITLVSHSGTGRPGLSFLTTSFRE >ENSMUSP00000038379.5 pep:known chromosome:GRCm38:4:43381979:43427088:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000035645.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPLLELSRMDSPPKLTGETLIVHHIPLVHCQVPDRQCCGGAGGGGGGTRANPFCPPELGL TQPDHDLGQADSLLYPSLHSAPGAPTGSSDSVKSRSRDGRGPGAPKRHNPFLVQEGVGET GLGDLHDSSTGDSVTQQSFHLHSASQPFHLSSFQLPPSGPGQGRPWGATHSRPGVVEGQE QDPATALGTQCSTSHCCRPELEAERMELDECGGHGGSGSGGGTSDISGFSFEQEWKISSD ESPRHPGRSGSGTQHCHCSSTSSQSEAADQSMGYVSDSSCNSSDGVLVTFSTLYNKMHSS SRANLNSVPQSCSDSSFCSHADPGAFYLDLQPSPAESRMSCESHHPENGDREEGCGCPHV SSPELDANCNAYHPHSEPCPAVADLTACFQSQARLVVATQNYYKLVTCDLSSQSSPSPAG SSITSCSEEHTKISPPPGPCPDPDPNQPSEYYLFQKPDIQPEEQEAVGSPAEAATAMGPT VLEGQVYTNTSPPNLNTGRQRSRSYDRSLERSPPVRLGSLERMLSCPVRLSEGPAALAGP ASPPRRVTSFAELAKGRKKAAGSGSPPLRASVGDSSQEFSPIQEAQQDRAAPLDEGTRCS HSLPSLPLGPSLDLLGPEPWSTPVCQGSQSSEMPLPSLRAAGQGPLAQLMDPGPAFSGSP ATSHTQRDSRARADGGGTESRPVLRYSKEQRPTTLPIQPFVFQHHFPKQLAKARALHSLS QLYSLSMPCSRAQQPAPLVISTAQGPAPAPSGEPQPFTSQASGRGARNAGPEPETSRPSP LGSYSPVRSAGPFGSSTDSSASTSCSPPPEQGTAADSVSPWSHTCPPTVRPATSQQPPKE DQKIPTLAEYRLHGTGSLPPLGSWRSGFTRAESLVRGGGEGSMANRPNNANHLSPQALKW REYRRKNPLGPPGLSGSLDRRPPEARLARRNPIFEFPGSFGTTSHLNCRLNGQISKPLSL TCPDLQDPFSLTEKPPAEFCLSPDGNSEAISIDILQKKGLVKAVNTAVDLIVAHFGTSRD PGVKAKLGNSSVSPNVGHLVLKYLCPAVQAVLEDGLKAFVLDVIIGQRKNMPWSVVEAST QLGPSTKVLHGLYNKVSQFPELTSHTMRFNAFILGLLNIRSLEFWFNHLYNHEDIIQTHY QPWGFLRAAHTVCPGLFEELLLLLQPLALLPFSLDLLFQHRLLQSGRQQRQHKELLRVSQ DLLLSAHSTLQLARSRGQEGPGDMDRVAPGERVKGVGASEGGEEDEEDAEEVAVVAGSSD HGKWARGGQAGWWYQLMQSSQVYIDGTAEGSRFPRSSSSSSGSGSEKKKGVGSGGPSQAP PPPPREGVVEGAEACPAPEEALGQERGWPFWMGSPPDSVLAELRRSREREGPVAPPTENE EGTAEPSPGGIKWGHLFGSRKSQREARPTNRLPSDWLSLDKSVFQLVAQTMGARREPEPR ENLQESHPPAVPSKPPCEVQALCHHLATGPGQLSFHKGDILRVLGPARGDWLRCSRGPDT GLVPLAYVTLTPTPSSPPGSSQN >ENSMUSP00000121762.1 pep:known chromosome:GRCm38:4:43382057:43414796:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000144911.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPLLELSRMDSPPKLTGETLIVHHIPLVHCQVP >ENSMUSP00000115081.1 pep:known chromosome:GRCm38:4:43383449:43414840:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000135216.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPLLELSRMDSPPKLTGETLIVHHIPLVHCQVPDRQCCGGAGGGGGGT >ENSMUSP00000121298.1 pep:known chromosome:GRCm38:4:43383510:43414755:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000152322.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPLLELSRMDSPPKLTGETL >ENSMUSP00000123431.1 pep:known chromosome:GRCm38:4:43384332:43414893:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000136360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPLLELSRMDSPPKLTGETLIVHHIPLVHCQVPDRQCCGGAGGGGGGTRANPFCPPELGL TQPDHD >ENSMUSP00000116700.1 pep:known chromosome:GRCm38:4:43401253:43414739:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000125399.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPLLELSRMDSPPKL >ENSMUSP00000095710.2 pep:known chromosome:GRCm38:4:43406435:43427088:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000098106.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPLLELSRMDSPPKLTGETLIVHHIPLVHCQVPDRQCCGGAGGGGGGTRANPFCPPELGL TQPDHDLGQADSLLYPSLHSAPGAPTGSSDSVKSRSRDGRGPGAPKRHNPFLVQEGVGET GLGDLHDSSTGDSVTQQSFHLHSASQPFHLSSFQLPPSGPGQGRPWGATHSRPGVVEGQE QDPATALGTQCSTSHCCRPELEAERMELDECGGHGGSGSGGGTSDISGFSFEQEWKISSD ESPRHPGRSGSGTQHCHCSSTSSQSEAADQSMGYVSDSSCNSSDGVLVTFSTLYNKMHSS SRANLNSVPQSCSDSSFCSHADPGAFYLDLQPSPAESRMSCESHHPENGDREEGCGCPHV SSPELDANCNAYHPHSEPCPAVADLTACFQSQARLVVATQNYYKLVTCDLSSQSSPSPAG SSITSCSEEHTKISPPPGPCPDPDPNQPSEYYLFQKPDIQPEEQEAVGSPAEAATAMGPT VLEGQVYTNTSPPNLNTGRQRSRSYDRSLERSPPVRLGSLERMLSCPVRLSEGPAALAGP ASPPRRVTSFAELAKGRKKAAGSGSPPLRASVGDSSQEFSPIQEAQQDRAAPLDEGTRCS HSLPSLPLGPSLDLLGPEPWSTPVCQGSQSSEMPLPSLRAAGQGPLAQLMDPGPAFSGSP ATSHTQRDSRARADGGGTESRPVLRYSKEQRPTTLPIQPFVFQHHFPKQLAKARALHSLS QLYSLSMPCSRAQQPAPLVISTAQGPAPAPSGEPQPFTSQASGRGARNAGPEPETSRPSP LGSYSPVRSAGPFGSSTDSSASTSCSPPPEQGTAADSVSPWSHTCPPTVRPATSQQPPKE DQKIPTLAEYRLHGTGSLPPLGSWRSGFTRAESLVRGGGEGSMANRPNNANHLSPQALKW REYRRKNPLGPPGLSGSLDRRPPEARLARRNPIFEFPGSFGTTSHLNCRLNGQISKPLSL TCPDLQDPFSLTEKPPAEFCLSPDGNSEAISIDILQKKGLVKAVNTAVDLIVAHFGTSRD PGVKAKLGNSSVSPNVGHLVLKYLCPAVQAVLEDGLKAFVLDVIIGQRKNMPWSVVEAST QLGPSTKVLHGLYNKVSQFPELTSHTMRFNAFILGLLNIRSLEFWFNHLYNHEDIIQTHY QPWGFLRAAHTVCPGLFEELLLLLQPLALLPFSLDLLFQHRLLQSGRQQRQHKELLRVSQ DLLLSAHSTLQLARSRGQEGPGDMDRVAPGERVKGVGASEGGEEDEEDAEEVAVVAGSSD HGKWARGGQAGWWYQLMQSSQVYIDGTAEGSRFPRSSSSSSGSGSEKKKGVGSGGPSQAP PPPPREGVVEGAEACPAPEEALGQERGWPFWMGSPPDSVLAELRRSREREGPVAPPTENE EGTAEPSPGGIKWGHLFGSRKSQREARPTNRLPSDWLSLDKSVFQLVAQTMGARREPEPR ENLQESHPPAVPSKPPCEVQALCHHLATGPGQLSFHKGDILRVLGPARGDWLRCSRGPDT GLVPLAYVTLTPTPSSPPGSSQN >ENSMUSP00000121528.1 pep:known chromosome:GRCm38:4:43406557:43415179:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000139198.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPLLELSRMDSPPKLTGETLIVHHIPLVHCQVPDRQCCGGAGGGGGGTRANPFCPPELGL TQPDHDLGQADSLLYPSLHSAPGAPTGSSDSVKSRSRDGRGPGAPKRHNPFLVQEGVGET GLGDLHDSSTGDSVTQQSFHLHSASQPFHLSSFQLPPSGPG >ENSMUSP00000134247.1 pep:known chromosome:GRCm38:4:43407329:43421802:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000149221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPCSRAQQPAPLVI >ENSMUSP00000133715.1 pep:known chromosome:GRCm38:4:43414696:43426649:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000173682.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPLLELSRMDSPPKLTGETLIVHHIPLVHCQVPDRQCCGGAGGGGGGTRANPFCPPELGL TQPDHDLGQADSLLYPSLHSAPGAPTGSSDSVKSRSRDGRGPGAPKRHNPFLVQEGVGET GLGDLHDSSTGDSVTQQSFHLHSASQPFHLSSFQLPPSGPGQGRPWGATHSRPGVVEGQE QDPATALGTQCSTSHCCRPELEAERMELDECGGHGGSGSGGGTSDISGFSFEQEWKISSD ESPRHPGRSGSGTQHCHCSSTSSQSEAADQSMGYVSDSSCNSSDGVLVTFSTLYNKMHSS SRANLNSVPQSCSDSSFCSHADPGAFYLDLQPSPAESRMSCESHHPENGDREEGCGCPHV SSPELDANCNAYHPHSEPCPAVADLTACFQSQARLVVATQNYYKLVTCDLSSQSSPSPAG SSITSCSEEHTKISPPPGPCPDPDPNQPSEYYLFQKPDIQPEEQEAVGSPAEAATAMGPT VLEGQVYTNTSPPNLNTGRQRSRSYDRSLERSPPVRLGSLERMLSCPVRLSEGPAALAGP ASPPRRVTSFAELAKGRKKAAGSGSPPLRGVAPKADPSFATARNRGRPPYRSSRSCSNIT SPSSWPRPGPCTASPSSTASLCPAAAHSSPPRWLSLLLKAQPQLPQESRSHSHRRPLAEA PETLGLNQRPRGHRPWEATPQSGVPAPLGLAPTPLPLPPAPRLQSRAQQPTVYHHGAIPA LLLCALPHPSSHRRRTRRFRPWLSTGFMAQEVYPLWAPGDLASPGQRVWFEEVARAAWPT GPTMPTTCPLRPSSGGNTGGRTH >ENSMUSP00000118528.2 pep:known chromosome:GRCm38:4:43414696:43427088:1 gene:ENSMUSG00000035969.15 transcript:ENSMUST00000131668.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rusc2 description:RUN and SH3 domain containing 2 [Source:MGI Symbol;Acc:MGI:2140371] MPLLELSRMDSPPKLTGETLIVHHIPLVHCQVPDRQCCGGAGGGGGGTRANPFCPPELGL TQPDHDLGQADSLLYPSLHSAPGAPTGSSDSVKSRSRDGRGPGAPKRHNPFLVQEGVGET GLGDLHDSSTGDSVTQQSFHLHSASQPFHLSSFQLPPSGPGQGRPWGATHSRPGVVEGQE QDPATALGTQCSTSHCCRPELEAERMELDECGGHGGSGSGGGTSDISGFSFEQEWKISSD ESPRHPGRSGSGTQHCHCSSTSSQSEAADQSMGYVSDSSCNSSDGVLVTFSTLYNKMHSS SRANLNSVPQSCSDSSFCSHADPGAFYLDLQPSPAESRMSCESHHPENGDREEGCGCPHV SSPELDANCNAYHPHSEPCPAVADLTACFQSQARLVVATQNYYKLVTCDLSSQSSPSPAG SSITSCSEEHTKISPPPGPCPDPDPNQPSEYYLFQKPDIQPEEQEAVGSPAEAATAMGPT VLEGQVYTNTSPPNLNTGRQRSRSYDRSLERSPPVRLGSLERMLSCPVRLSEGPAALAGP ASPPRRVTSFAELAKGRKKAAGSGSPPLRASVGDSSQEFSPIQEAQQDRAAPLDEGTRCS HSLPSLPLGPSLDLLGPEPWSTPVCQGSQSSEMPLPSLRAAGQGPLAQLMDPGPAFSGSP ATSHTQRDSRARADGGGTESRPVLRYSKEQRPTTLPIQPFVFQHHFPKQLAKARALHSLS QLYSLSMPCSRAQQPAPLVISTAQGPAPAPSGEPQPFTSQASGRGARNAGPEPETSRPSP LGSYSPVRSAGPFGSSTDSSASTSCSPPPEQGTAADSVSPWSHTCPPTVRPATSQQPPKE DQKIPTLAEYRLHGTGSLPPLGSWRSGFTRAESLVRGGGEGSMANRPNNANHLSPQALKW REYRRKNPLGPPGLSGSLDRRPPEARLARRNPIFEFPGSFGTTSHLNCRLNGQISKPLSL TCPDLQDPFSLTEKPPAEFCLSPDGNSEAISIDILQKKGLVKAVNTAVDLIVAHFGTSRD PGVKAKLGNSSVSPNVGHLVLKYLCPAVQAVLEDGLKAFVLDVIIGQRKNMPWSVVEAST QLGPSTKVLHGLYNKVSQFPELTSHTMRFNAFILGLLNIRSLEFWFNHLYNHEDIIQTHY QPWGFLRAAHTVCPGLFEELLLLLQPLALLPFSLDLLFQHRLLQSGRQQRQHKELLRVSQ DLLLSAHSTLQLARSRGQEGPGDMDRVAPGERVKGVGASEGGEEDEEDAEEVAVVAGSSD HGKWARGGQAGWWYQLMQSSQVYIDGTAEGSRFPRSSSSSSGSGSEKKKGVGSGGPSQAP PPPPREGVVEGAEACPAPEEALGQERGWPFWMGSPPDSVLAELRRSREREGPVAPPTENE EGTAEPSPGGIKWGHLFGSRKSQREARPTNRLPSDWLSLDKSVFQLVAQTMGARREPEPR ENLQESHPPAVPSKPPWYAQGN >ENSMUSP00000003574.4 pep:known chromosome:GRCm38:8:71988482:72009626:-1 gene:ENSMUSG00000003484.4 transcript:ENSMUST00000003574.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp4f18 description:cytochrome P450, family 4, subfamily f, polypeptide 18 [Source:MGI Symbol;Acc:MGI:1919304] MSQLSMSWMGLGHTAASPWLLLLLAGASCLLAYILTPIYGVFENSLRLRCFPQPPKRNWI LGHLGLIQSSEEGLLYIQSLVRTFRDACCWWVGPLHPVIRIFHPAFIKPVVLAPALVAPK DTVFYRFLKPWLGDGLLMSTGDKWSRHRRMLTPAFHFNILKPYVKVFNDSTNIMHAKWQR LASKGSAYLNMFEHISLMTLDSLQKCVFSFDSNCQEKPSEYITAILELSTLVARRHQRLL LHVDLFYYLTHDGMRFRKACRLVHDFTDAVIRERRRTLLDQGGVDVLKAKAKAKTLDFID VLLLSKDEHGKALSDEDIRAEADTFMFGGHDTTASGLSWILYNLARHPEYQERCRQEVRE LLRDREPEEIEWDDLAQLPFLTMCIKESLRLHPPVTAISRCCTQDIVLPDGRVIPKGVIS RISIFGTHHNPAVWPDPEVYDPFRFDADNVKGRSPLAFIPFSAGPRNCIGQTFAMSEMKV ALALTLLRFRVLPDDKEPRRKPELILRAEGGLWLKVEPLSAGAQ >ENSMUSP00000084582.5 pep:known chromosome:GRCm38:5:64092950:64128351:1 gene:ENSMUSG00000029171.12 transcript:ENSMUST00000087324.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgm1 description:phosphoglucomutase 1 [Source:MGI Symbol;Acc:MGI:97564] MAAATPTETPAPEGSGLGMDARLDQETAQWLRWDQNPLTSESVKQLIAGGNKEELRKCFG ARMEFGTAGLRAPMGAGISRMNDLTIIQTTQGFCRYLEKQFSDLKQRGVVISFDARAHPA SGGSSRRFARLAATAFITQGVPVYLFSDITPTPFVPYTVSHLKLCAGIMITASHNPKQDN GYKVYWDNGAQIISPHDRGISQAIEENLEPWPQAWEESLVDSSPLLHNPSASIGNDYFED LKKYCFHRTVNKESKVKFVHTSVHGVGHEFVQLAFKAFDLAPPEAVPQQKDPDPEFPTVK YPNPEEGKGVLTLSFALADKIKAKIVLANDPDADRLAVAEKQDSGEWRVFSGNELGALLG WWLFTSWKEKNQDQSNLKDTYMLSSTVSSKILRAIALKEGFHFEETLTGFKWMGNRAQQL GDQGKTVLFAFEEAIGYMCCPFVLDKDGVSAAVICAELASFLATKNLSLSQQLNAIYVEY GYHITTASYFICHDQGTIQNLFGNLRNYDGKNNYPKMCGKFEISAIRDLTTGYDDSQPDK KAVLPTSKSSQMITFTFANGGVATMRTSGTEPKIKYYAELCAPPGNSDPEHLKKELDELV GAIEEHFFQPQKYNLQPKAE >ENSMUSP00000143020.1 pep:known chromosome:GRCm38:5:64103390:64110601:1 gene:ENSMUSG00000029171.12 transcript:ENSMUST00000199093.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pgm1 description:phosphoglucomutase 1 [Source:MGI Symbol;Acc:MGI:97564] XHLKLCAGIMITASHNPKQDNGYKVYWDNGAQIISPHDRGISQAIEENLEPWPQAWEESL VDSSPLLHNPSASIER >ENSMUSP00000143375.1 pep:known chromosome:GRCm38:5:64112101:64128164:1 gene:ENSMUSG00000029171.12 transcript:ENSMUST00000197577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgm1 description:phosphoglucomutase 1 [Source:MGI Symbol;Acc:MGI:97564] XKNNYPKMCGKFEISAIRDLTTGYDDSQPDKKA >ENSMUSP00000117303.1 pep:known chromosome:GRCm38:X:4037674:4039616:1 gene:ENSMUSG00000078218.2 transcript:ENSMUST00000140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3706 description:predicted gene 3706 [Source:MGI Symbol;Acc:MGI:3781882] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQRMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVIQQ CEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLMYL LALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNISFL ESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEYGV IGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQRCD GSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFPMC IFCNFLFVNLGNAENK >ENSMUSP00000048260.8 pep:known chromosome:GRCm38:7:44834623:44849075:-1 gene:ENSMUSG00000038520.15 transcript:ENSMUST00000047085.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d17 description:TBC1 domain family, member 17 [Source:MGI Symbol;Acc:MGI:2449973] MEGSSYRVVFEKGGVYLHTSARKHQDPDSLIAGVIRVVEKDSDVFLHWAPVEEAGDPTQI LFKKDPSRGEPSTSEEEPTFDPGYEPDWAVISTVRPQPHLAEPRKGAEPSSSRSSWAFSV SLGELKSIRRSKPGLSWAYLVLVTQAGGSLPALHFHRGGTRALLRVLSRYLLLASSPQDS RLYLVFPQDPSALSDSFHHLQLFDQDSSNVVSRFLQDPYSTTFSSFSRVTNFFRGALQPH PEGASSPNLPPLPDDEPEPGFEVISCVELGQRPTVERAPPVTEEEWNRYVGPEGRLQNVP ELKNRIFSGGLSPGLRREAWKFLLGYLSWESSAEEHKAHVRKKTDEYFRMKLQWKSVSAE QERRNSLLHGYRSLIERDVSRTDRTNKFYEGPENPGLSLLHDILLTYCMYHFDLGYVQGM SDLLSPILFVVQNEVDAFWCFCGFMELVHGNFEESQETMKRQLGQLLLLLRVLDQPLCDF LDSQDSGSLCFCFRWLLIWFKREFPFPDVLRLWEVLWTGLPGPNLHLLVACAILDMERDT LMLSGFGSNEILKHINELTMKLSVEDVLTRAEALYRQLTACPELPHNVQEILGLAQPEEP SSPSPPVSPMPLSPTRAPLPPPLPEEVIPQPDSSLEILPEDEDGA >ENSMUSP00000121546.1 pep:known chromosome:GRCm38:7:44841032:44849079:-1 gene:ENSMUSG00000038520.15 transcript:ENSMUST00000145959.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d17 description:TBC1 domain family, member 17 [Source:MGI Symbol;Acc:MGI:2449973] MEGSSYRVVFEKGGVYLHTSARKHQDPDSLIAGVIRVVEKDSDVFLHWAPVEEAGDPTQI LFKKDPSRGEPSTSEEEPTFDPGYEPDWAVISTVRPQPHLAEPRKGAEPSSSRSSWAFSV SLGELKSIRRSKPGLSWAYLVLVTQAGGSLPALHFHRGGTRALLRVLSRYLLLASSPQDS RLYLVFPQDPSALSDSFHHLQLFDQDSSNVVSRFLQDPYSTTFSSFSRVTNFFRGALQPH PEGASSPNLPPLPDDEPEPGFEVISCVELGQRPTVERAPPVTEEEWNRYVGPEGRLQNVP ELKNRIFSGGLSPGLRREAWKFLLGYLSWESSAEEHKAHVRKKTDEYFRMKLQWKSVSAE QERRNSLLHGYRSLIERDVSRTDRTNKFYEGPENPGLSLLHDILLTYCMYHFDLGYVQGM SDLLSPILFVVQNEVDAFWCFCGFMELVHGNFEESQETMKRQLGQLLLLLRVLDQPLCDF LDSQDSGSLCFCFRWLLIWFKREFPFPDVLRLWEVGGQLGCCGQGFLAPICTCWWPVPSW IWNGTP >ENSMUSP00000147046.1 pep:known chromosome:GRCm38:7:44841547:44843074:-1 gene:ENSMUSG00000038520.15 transcript:ENSMUST00000208714.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d17 description:TBC1 domain family, member 17 [Source:MGI Symbol;Acc:MGI:2449973] XYEGPENPGLSLLHDILLTYCMYHFDLERSRCLLVFLRLHGTCAWEL >ENSMUSP00000146488.1 pep:known chromosome:GRCm38:7:44845095:44848886:-1 gene:ENSMUSG00000038520.15 transcript:ENSMUST00000207293.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d17 description:TBC1 domain family, member 17 [Source:MGI Symbol;Acc:MGI:2449973] MEGSSYRVVFEKGGVYLHTSARKHQDPDSLIAGVIRVVEKDSDVFLHWAPVEEAGDPTQI LFKKDPSRGEPSTSEEEPTFDPGYEPDWAVISTVRPQPHLAEPRKGAEPSSSRSSWAFSV SLGELKSIRRSKPGLSWAYLVLVTQAGGSLPALHFHRGGTRALLRVLSRYLLLARTPLPC PTPSTTCSSLTRTAPMWCLVSFRTPTPPPSVASLE >ENSMUSP00000146691.1 pep:known chromosome:GRCm38:7:44845886:44848534:-1 gene:ENSMUSG00000038520.15 transcript:ENSMUST00000208890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d17 description:TBC1 domain family, member 17 [Source:MGI Symbol;Acc:MGI:2449973] XWCLKREACTCTPAPGNTRIQTRSSPASYEWWKRTATSFCTGLRWRRLETQPRFSSRRTR VGVSPAPQRRNLPLTQAMNLTGLSLARCGHSPTWQSPGKSPVPPGAPGPSP >ENSMUSP00000146935.1 pep:known chromosome:GRCm38:7:44846835:44848895:-1 gene:ENSMUSG00000038520.15 transcript:ENSMUST00000207532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d17 description:TBC1 domain family, member 17 [Source:MGI Symbol;Acc:MGI:2449973] MEGSSYRGGVYLHTSARKHQDPDSLIAGVIRVVEKDSDVFLHWAPVEEAGDPTQILFKKD PSRGEPSTSEEEPTF >ENSMUSP00000112650.1 pep:known chromosome:GRCm38:X:25548296:25570452:-1 gene:ENSMUSG00000073267.5 transcript:ENSMUST00000120268.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1993 description:predicted gene 1993 [Source:MGI Symbol;Acc:MGI:3780163] MSIKKLWVIPKDGYLLLLDFDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEQDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGDQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQEALKLSECSQRQTLEA IENMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000050992.6 pep:known chromosome:GRCm38:2:3328949:3332643:-1 gene:ENSMUSG00000049950.6 transcript:ENSMUST00000062672.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpp38 description:ribonuclease P/MRP 38 subunit [Source:MGI Symbol;Acc:MGI:2443607] MAAAPQAPKRGSIRKTRPLVVKTSLNNPYVISWSTLEREDIHFILQTLEAKFKLIGLQKI EDKKKRKKTALMKKQSCRPDIEISEDPKEPDGDVLVSGWTPVHVRKQLVIGVNEVTRALE RNELLLVLVCKSVKPAIITSHLIQLSLSRTVPACQVPQLSERIAPVIGLKCVLALGFRKN TRDFADEVEAIIPRVPSLNVPWLPDRTQGPTDSLETEPSESQDNEILDTSFDDLTKLSKR KLAEGGQASAATLQPLKIKKLIPNPSKIRKPPKSKKSISK >ENSMUSP00000118729.1 pep:known chromosome:GRCm38:15:26309064:26409576:1 gene:ENSMUSG00000022269.13 transcript:ENSMUST00000140840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March11 description:membrane-associated ring finger (C3HC4) 11 [Source:MGI Symbol;Acc:MGI:3608327] MSDEGKKRSSRADSLEAEPPLPPPPPPPPPGESVLVPTSPRYRPPLPAPLERIVGSGEPP VELAPRRKGAGEPLPPLPPSLLPGDQEVTAAGDSCEGPRRLPEVKLPEAATGKGSPGEPE AGACREGERRGTGDQPETRSVCSSRSSSSGGGSDQRSGHQHQHHQPICKICFQGAEQGEL LNPCRCDGSVRYTHQLCLLKWISERGSWTCELCCYRYHVTAIKMKQPCQWQSISITLVEK VQMIAVILGSLFLIASVTWLLWSAFSPYAVWQRKDILFQICYGMYGFMDLVCIGLIVHEG AAVYRVFKRWRAVNLHWDVLNYDKATDIEESSRGESSTSRTLWLPLSALRNRNLVHPTQL TSPRFQCGYVLLHLFNRMRAHEDVSEDNGSGEVVMRVTSV >ENSMUSP00000120622.1 pep:known chromosome:GRCm38:15:26309064:26409576:1 gene:ENSMUSG00000022269.13 transcript:ENSMUST00000152841.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March11 description:membrane-associated ring finger (C3HC4) 11 [Source:MGI Symbol;Acc:MGI:3608327] MSDEGKKRSSRADSLEAEPPLPPPPPPPPPGESVLVPTSPRYRPPLPAPLERIVGSGEPP VELAPRRKGAGEPLPPLPPSLLPGDQEVTAAGDSCEGPRRLPEVKLPEAATGKGSPGEPE AGACREGERRGTGDQPETRSVCSSRSSSSGGGSDQRSGHQHQHHQPICKICFQGAEQGEL LNPCRCDGSVRYTHQLCLLKWISERGSWTCELCCYRYHVTAIKMKQPCQASLCMKELPFT ECLSDGELLICTGMC >ENSMUSP00000102158.2 pep:known chromosome:GRCm38:4:115088723:115093899:1 gene:ENSMUSG00000028716.15 transcript:ENSMUST00000106548.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzk1ip1 description:PDZK1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1914432] MLAFSLLVLGLLAEVAPASCQQGLGNLQPWMQGLIAVAVFLVLVAIVFAVNHFWCQEEPE PGSTVMIIGNKADGVLVGMDGRYSSMASGFRSSEHKNAYENVLEEEGRVRSTPM >ENSMUSP00000030488.2 pep:known chromosome:GRCm38:4:115088723:115093899:1 gene:ENSMUSG00000028716.15 transcript:ENSMUST00000030488.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzk1ip1 description:PDZK1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1914432] MLAFSLLVLGLLAEVAPASCQQGLGNLQPWMQGLIAVAVFLVLVAIVFAVNHFWCQEEPE PGSTVMIIGNKADGVLVGMDGRYSSMASGFRSSEHKNAYENVLEEEGRVRSTPM >ENSMUSP00000128118.1 pep:known chromosome:GRCm38:4:115088708:115093894:1 gene:ENSMUSG00000028716.15 transcript:ENSMUST00000171877.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzk1ip1 description:PDZK1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1914432] MGGGQFLFTSLPVLPPRPLSTSALPNPTSPLESDCSPADLIQTPQTSSSSRSQKHQQWTE VLQLPAAMLAFSLLVLGLLAEVAPASCQQGLGNLQPWMQGLIAVAVFLVLVAIVFAVNHF WCQEEPEPGSTVMIIGNKADGVLVGMDGRYSSMASGFRSSEHKNAYENVLEEEGRVRSTP M >ENSMUSP00000136049.1 pep:known chromosome:GRCm38:4:115088708:115093894:1 gene:ENSMUSG00000028716.15 transcript:ENSMUST00000177647.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdzk1ip1 description:PDZK1 interacting protein 1 [Source:MGI Symbol;Acc:MGI:1914432] MLAFSLLVLGLLAEVAPASCQQGLGNLQPWMQGLIAVAVFLVLVAIVFAVNHFWCQEEPE PGSTVMIIGNKADGVLVGMDGRYSSMASGFRSSEHKNAYENVLEEEGRVRSTPM >ENSMUSP00000056417.7 pep:known chromosome:GRCm38:11:31665181:31671885:-1 gene:ENSMUSG00000044502.16 transcript:ENSMUST00000058060.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bod1 description:biorientation of chromosomes in cell division 1 [Source:MGI Symbol;Acc:MGI:1916806] MADGAGAGAAGQASGPSGGSSGAGGPVNPASLPPGDPQLIALIVEQLKSRGLFDSFRRDC LADVDTKPAYQNLRQKVDNFVSTHLDKQEWNPAMNKNQLRNGLRQSVVQSGMLEAGVDRI ISQVVDPKLNHIFRPQIERAIHEFLAAQKKEAVPAPPPEPESQDPPAPSQDAS >ENSMUSP00000105042.1 pep:known chromosome:GRCm38:11:31666487:31671727:-1 gene:ENSMUSG00000044502.16 transcript:ENSMUST00000109415.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bod1 description:biorientation of chromosomes in cell division 1 [Source:MGI Symbol;Acc:MGI:1916806] MADGAGAGAAGQASGPSGGSSGAGGPVNPASLPPGDPQLIALIVEQLKSRGLFDSFRRDC LADVDTKPAYQNLRQKVDNFVSTHLDKQEWNPAMNKNQLRNGLRQSVVQSGMLEAGVDRI ISQVVDPKLNHIFRPQIERAIHEFLAAQKKEAVPAPPPEPESQDPPAPSQDAS >ENSMUSP00000119283.1 pep:known chromosome:GRCm38:5:92331827:92392934:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000154245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQA >ENSMUSP00000117995.1 pep:known chromosome:GRCm38:5:92331830:92393131:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000125462.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPETADNQRVLTIQTCFGVAVGKFLNKEDDSVVLIPLSEVFQVSRKGTSND LVLQ >ENSMUSP00000113510.1 pep:known chromosome:GRCm38:5:92331841:92414628:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000121096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPETADNQRVLTIQTCFGVAVGKFLNKEDDSVVLIPLSEVFQVSRKGTSND LVLQSINSTCSYYECAFLGGLKTENCIANAEYIDPRYLYNPDMDNQKLEDSVPGIKPWAP CKLGRNNLDPDRMPEIKVLQTEENPLLPDEKPAPGLVPGPKSHPSASSGNTLLPSVMAST ILLVASAVNFIEL >ENSMUSP00000113008.1 pep:known chromosome:GRCm38:5:92376975:92393046:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000120781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPETADNQRVLTIQTCFGVAVGKFLN >ENSMUSP00000121620.1 pep:known chromosome:GRCm38:5:92386887:92393017:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000128246.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPETADNQRVLTIQTCF >ENSMUSP00000116218.1 pep:known chromosome:GRCm38:5:92387763:92392725:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000145072.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQT >ENSMUSP00000118746.1 pep:known chromosome:GRCm38:5:92387846:92392595:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000138687.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDAL >ENSMUSP00000119113.1 pep:known chromosome:GRCm38:5:92387851:92392976:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000124509.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPE >ENSMUSP00000113074.1 pep:known chromosome:GRCm38:5:92388143:92414622:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000120193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPETADNQRVLTIQTCFGVAVGKFLNKEDDSVVLIPLSEVFQVSRKGTSND LVLQSINSTCSYYECAFLGGLKTENCIANAEYIDPRYLYNPGEYTLLQTTQIWTIRSLKT LVGTTLTLTECQKLKCYKQRKILSCRMKSLLQVWFQVRNPIPLHPLAIRSFHQSWHPPFY SLLLL >ENSMUSP00000113041.1 pep:known chromosome:GRCm38:5:92388143:92414622:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000117108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPETADNQRVLTIQTCFGVAVGKFLNKEDDSVVLIPLSEVFQVSRKGTSND LVLQSINSTCSYYECAFLGGLKTENCIANAEYIDPRYLYNPGEYTLLQTTQIWTIRSLKT LVPGIKPWAPCKLGRNNLDPDRMPEIKVLQTEENPLLPDEKPAPGLVPGPKSHPSASSGN TLLPSVMASTILLVASAVNFIEL >ENSMUSP00000114083.1 pep:known chromosome:GRCm38:5:92388143:92414622:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000118106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPETADNQRVLTIQTCFGVAVGKFLNKEDDSVVLIPLSEVFQVSRKGTSND LVLQSINSTCSYYECAFLGGLKTENCIANAEYIDPRYLYNPGEYTLLQTTQIWTIRSLKT LVGTTLTLTECQKLKCYKQRKILSCRMKSLTEVEERPTILLQVWFQVRNPIPLHPLAIRS FHQSWHPPFYSLLLL >ENSMUSP00000113493.1 pep:known chromosome:GRCm38:5:92388143:92414622:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000120416.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPETADNQRVLTIQTCFGVAVGKFLNKEDDSVVLIPLSEVFQVSRKGTSND LVLQSINSTCSYYECAFLGGLKTENCIANAEYIDPRYLYNPGEYTLLQTTQIWTIRSLKT LVGTTLTLTECQKLKCYKQRKILSCRMKSLTEVEERPTILVRNTSFSLKNALKYKTVKLI LFFIKSSRSGSRSEIPSLCILWQYAPSISHGIHHFTRCFCCKLHRAIA >ENSMUSP00000112648.1 pep:known chromosome:GRCm38:5:92388143:92414623:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000119587.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPETADNQRVLTIQTCFGVAVGKFLNKEDDSVVLIPLSEVFQVSRKGTSND LVLQSINSTCSYYECAFLGGLKTENCIANAGRNNLDPDRMPEIKVLQTEENPLLPDEKPD RSRGKANNPTPGLVPGPKSHPSASSGNTLLPSVMASTILLVASAVNFIEL >ENSMUSP00000116110.1 pep:known chromosome:GRCm38:5:92392585:92414622:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000126281.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] XDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVKM AGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARLG NFTLAYSAKPETADNQRVLTIQTCFGVAVGKFLNKEDDSVVLIPLSEVFQVSRKGTSNDL VLQSINSTCSYYECAFLGGLKTENCIANAEYIDPRYLYNPDMDNQKLEDSGRNNLDPDRM PEIKVLQTEENPLLPDEKPDRSRGKANNPTPGLVPGPKSHPSASSGNTLLPSVMASTILL VASAVNFIEL >ENSMUSP00000123571.1 pep:known chromosome:GRCm38:5:92393059:92414623:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000138003.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] XVVLIPLSEVFQVSRKGTSNDLVLQSINSTCSYYECAFLGGLKTENCIANAEYIDPRYLY NPDMDNQKLEDSGRNNLDPDRMPEIKVLQTEENPLLPDEKPAPGLVPGPKSHPSASSGNT LLPSVMASTILLVASAVNFIEL >ENSMUSP00000114826.1 pep:known chromosome:GRCm38:5:92401998:92414447:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000152041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] LKTENCIANAEYIDPRYLYNPDMDNQKLEDSGRNNLDPDRMPEIKVLQTEENPLLPDEKP DRSRGKANNPSKKYLLFFEECSEI >ENSMUSP00000108706.2 pep:known chromosome:GRCm38:5:92331841:92414625:1 gene:ENSMUSG00000034842.16 transcript:ENSMUST00000113083.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Art3 description:ADP-ribosyltransferase 3 [Source:MGI Symbol;Acc:MGI:1202729] MKMGHFEMVTTLLAAAVLMDIFQVKAEVLDMAENAFDDEYLKCKSRMESKYIPQMKREEW ANDALLRMVWDNAEIQWEARKAQLFLPRNFKDTYGIALTAYVNEAQEQTSFYHTFSSAVK MAGLSRRRYIYNFPFKAFHFYLVRALQLLRRPCEKSYKTVVYSTSPDISFTFGEQNQARL GNFTLAYSAKPETADNQRVLTIQTCFGVAVGKFLNKEDDSVVLIPLSEVFQVSRKGTSND LVLQSINSTCSYYECAFLGGLKTENCIANAEYIDPRYLYNPDMDNQKLEDSGRNNLDPDR MPEIKVLQTEENPLLPDEKPAPGLVPGPKSHPSASSGNTLLPSVMASTILLVASAVNFIE L >ENSMUSP00000058980.3 pep:known chromosome:GRCm38:4:43427019:43429134:-1 gene:ENSMUSG00000042788.12 transcript:ENSMUST00000052829.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam166b description:family with sequence similarity 166, member B [Source:MGI Symbol;Acc:MGI:2445194] MAVVNTSIPGLSGENPHYIPGYTGHCPLLRFSMGQTYGQVTGQLLRGPPGLAWPPAHRTL LPPIQSPRSPVISKGRLPPRRGHERLSSSIIPGYTGFIPRAQFIFAKNCNQVWAEAMSEF TRRHGEQESHQLPDGAKGEREVEEDQLREAEEPPLKQELAHASPYSMDDTDPHKFFMSGE RKWVATKGEGRPQDVLTQHLPHPQVSRAMCPVPASSLAPASLCLPTRHCRNLGRCAHGAG RTRTPNLSPHFPGPRFRIWVSYLTTEATCQVRRAREGLRELRCVCGWGVLWQRELTDTER CPTLGFSFREETLRQFSGELGADFKGQFGGSTQLAFSCLWLYTCQTLGHAGYKFQFGGTF GHLTHDALGLSITQKQLPA >ENSMUSP00000127145.2 pep:known chromosome:GRCm38:4:43427076:43429117:-1 gene:ENSMUSG00000042788.12 transcript:ENSMUST00000171134.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam166b description:family with sequence similarity 166, member B [Source:MGI Symbol;Acc:MGI:2445194] MAVVNTSIPGLSGENPHYIPGYTGHCPLLRFSMGQTYGQVTGQLLRGPPGLAWPPAHRTL LPPIQSPRSPVISKGRLPPRRGHERLSSSIIPGYTGFIPRAQFIFAKNCNQVWAEAMSEF TRRHGEQESHQLPDGAKGEREVEEDQLREAEEPPLKQELAHASPYSMDDTDPHKFFMSGF TGYVPRARFLFGSSFPVLTNQALQEFGQMCSRGRAHKDPKPLSPLPRPTFQNLGLLPHYG GYVPG >ENSMUSP00000133752.1 pep:known chromosome:GRCm38:4:43427140:43428027:-1 gene:ENSMUSG00000042788.12 transcript:ENSMUST00000155080.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam166b description:family with sequence similarity 166, member B [Source:MGI Symbol;Acc:MGI:2445194] XEDQLREAEEPPLKQELAHASPYSMDDTDPHKFFMSGPRFRIWVSYLTTEATCQDISSSL AVHLGILPMMLWASALLRNSSRPKYLDSKFSLLILTQ >ENSMUSP00000103562.3 pep:known chromosome:GRCm38:4:43427140:43429089:-1 gene:ENSMUSG00000042788.12 transcript:ENSMUST00000107929.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam166b description:family with sequence similarity 166, member B [Source:MGI Symbol;Acc:MGI:2445194] MAVVNTSIPGLSGENPHYIPGYTGHCPLLRFSMGQTYGQVTGQLLRGPPGLAWPPAHRTL LPPIQSPRSPVISKGRLPPRRGHERLSSSIIPGYTGFIPRAQFIFAKNCNQVWAEAMSEF TRRHGEQESHQLPDGAKGEREVEEDQLREAEEPPLKQELAHASPYSMDDTDPHKFFMSGF TGYVPRARFLFGSSFPVLTNQALQEFGQMCSRGRAHKDPKPLSPLPRPTFQNLGLLPHYG GYVPGYKFQFGGTFGHLTHDALGLSITQKQLPA >ENSMUSP00000103561.2 pep:known chromosome:GRCm38:4:43427183:43429089:-1 gene:ENSMUSG00000042788.12 transcript:ENSMUST00000107928.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam166b description:family with sequence similarity 166, member B [Source:MGI Symbol;Acc:MGI:2445194] MAVVNTSIPGLSGENPHYIPGYTGHCPLLRFSMGQTYGQVTGQLLRGPPGLAWPPAHRTL LPPIQSPRSPVISKGRLPPRRGHERLSSSIIPGYTGFIPRAQFIFAKNCNQVWAEAMSEF TRRHGEQESHQLPDGAKGEREASPYSMDDTDPHKFFMSGFTGYVPRARFLFGSSFPVLTN QALQEFGQMCSRGRAHKDPKPLSPLPRPTFQNLGLLPHYGGYVPGYKFQFGGTFGHLTHD ALGLSITQKQLPA >ENSMUSP00000134319.1 pep:known chromosome:GRCm38:4:43427588:43429089:-1 gene:ENSMUSG00000042788.12 transcript:ENSMUST00000149676.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam166b description:family with sequence similarity 166, member B [Source:MGI Symbol;Acc:MGI:2445194] MAVVNTSIPGLSGENPHYIPGFYTTGTVHFCQELQPGLG >ENSMUSP00000066803.7 pep:known chromosome:GRCm38:7:27553233:27582099:1 gene:ENSMUSG00000049643.15 transcript:ENSMUST00000067386.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310022A10Rik description:RIKEN cDNA 2310022A10 gene [Source:MGI Symbol;Acc:MGI:1913617] MGFRIGENLLFNLRKAPGSRVKARKTMVSVTMATSEWIQFFKEAGIPPGPAVNYAVMFVD NRIQKSMLLDLNKEIMNELGVTVVGDIIAILKHAKVVHRQDMCKAATESVPCNPSPLQGE LRRGASSAASRMIANSLNHDSPPHTPTRRSDNSTSKISVTVSNKMAAKSAKAAALAHREE ESLVVPTKRRRVTAEMEGKYIIHMPKGTTPRTRKILEQQQAAKGLHRTSVFDRLGAESKA DTTTGTKPTGVFSRLGATPEMDEDLAWDSDNDSSSSSVLQYAGVLKKLGRGPTKASAQPA LTVKAKATSSATTTATTPKLRRLALPSRPGLEKKPDSLPKVSILQRLGKAAVVSEAQDSQ VTSTKSKSSAEVKFAIKRTLVGPRGSSSSESLGAQMDHAGTVSVFKRLGQRTF >ENSMUSP00000139696.1 pep:known chromosome:GRCm38:7:27553267:27574718:1 gene:ENSMUSG00000049643.15 transcript:ENSMUST00000191126.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310022A10Rik description:RIKEN cDNA 2310022A10 gene [Source:MGI Symbol;Acc:MGI:1913617] MVSVTMATSEWIQFFKEAGIPPGPAVNYAVMFVDNRIQKSMLLDLNKEIMNELGVTVVGD IIAILKHAKVVHRQDMCKAATESVPCNPSPLQGELRRGASSAASRMIANSLNHDSPPHTP TRRSDNSTSKISVTVSNKMAAKSAKAAALAHREEESLVVPTKRRRVTAEMEGKYIIHMPK GTTPRTRKIL >ENSMUSP00000140651.1 pep:known chromosome:GRCm38:7:27553286:27582099:1 gene:ENSMUSG00000049643.15 transcript:ENSMUST00000187960.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310022A10Rik description:RIKEN cDNA 2310022A10 gene [Source:MGI Symbol;Acc:MGI:1913617] MGFRIGENLLFNLRKAPGSRVKARKTMVSVTMATSEWIQFFKEAGIPPGPAVNYAVMFVD NRIQKSMLLDLNKEIMNELGVTVVGDIIAILKHAKVVHRQDMCKAATESVPCNPSPLQGE LRRGASSAASRMIANSLNHDSPPHTPTRRSDNSTSKISVTVSNKMAAKSAKAAALAHREE ESLVVPTKRRRVTAEMEGKYIIHMPKGTTPRTRKILEQQQAAKGLHRTSVFDRLGAESKA DTTTGTKPTGVFSRLGATPEMDEDLAWDSDNDSSSSSVLQYAGVLKKLGRGPTKASAQPA LTVKAKATSSATTTATTPKLRRLALPSRPGLEKKPDSLPKVSILQRLGKAAVVSEAQDSQ VTSTKSPTVRCILPDPPAPLASQRPPRRRWRRTCKDC >ENSMUSP00000139423.1 pep:known chromosome:GRCm38:7:27560476:27582094:1 gene:ENSMUSG00000049643.15 transcript:ENSMUST00000187032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310022A10Rik description:RIKEN cDNA 2310022A10 gene [Source:MGI Symbol;Acc:MGI:1913617] MGPLPSLFQAPGSRVKARKTMVSVTMATSEWIQFFKEAGIPPGPAVNYAVMFVDNRIQKS MLLDLNKEIMNELGVTVVGDIIAILKHAKVVHRQDMCKAATESVPCNPSPLQGELRRGAS SAASRMIANSLNHDSPPHTPTRRSDNSTSKISVTVSNKMAAKSAKAAALAHREEESLVVP TKRRRVTAEMEGKYIIHMPKGTTPRTRKILEQQQAAKGLHRTSVFDRLGAESKADTTTGT KPTGVFSRLGATPEMDEDLAWDSDNDSSSSSVLQYAGVLKKLGRGPTKASAQPALTVKAK ATSSATTTATTPKLRRLALPSRPGLEKKPDSLPKVSILQRLGKAAVVSEAQDSQVTSTKS PTVRCILPDPPAPLASQRPPRRRWRRTCKDC >ENSMUSP00000141195.1 pep:known chromosome:GRCm38:7:27565658:27580634:1 gene:ENSMUSG00000049643.15 transcript:ENSMUST00000190656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310022A10Rik description:RIKEN cDNA 2310022A10 gene [Source:MGI Symbol;Acc:MGI:1913617] XAATESVPCNPSPLQGELRRGASSAASRMIANSLNHDSPPHTPTRRSDNSTSKISVTVSN KMAAKSAKAAGEGTWASVGQSCRGIWLWPTGRRKAWLFPPSGGGSRLRWRESTSSTCPRG PRPAPGRSWSSSRQQKVSIEHLCLIALVLSPKQTRPQGLSPQEFSAA >ENSMUSP00000122942.1 pep:known chromosome:GRCm38:8:71951038:71970333:1 gene:ENSMUSG00000052446.16 transcript:ENSMUST00000131237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp961 description:zinc finger protein 961 [Source:MGI Symbol;Acc:MGI:3583954] MRKASVTFEDVAVRFTQEEWTLLDPSQKILYGDVMQETYRNLTSVGINWECWDLEAYFRS LGRNLRVQLVKRDCDLKNSDPCGETVAQPKLPECHTASQSSLKRHTTSNSKYRPCDYQKY VKKYYECKVCDKAFTCLGSLKKHKKTHAGGKPYKCKYCEKAFSYRHCAERHMLTHSVDRH KCVVCREAFPNAVALREHKTIHSGEIPECKECGRMFWTASSLDMHKRLHTTEKLYECKHC GKAFMRYCSFQLHKRTHTGEKPFECKQCGKTFRHSSHVQAHKRIHTGEKPYECMQCGKTF TSGHCARRHLGTHSGAWPYKCEVCGKAYPYVYSLRNHKKSHNEEKLYECKQCGKAFKYIS SLRNHETTHTGEKPYECKECGKAFSCSSYIQNHMRTHKRQSYECKECGKVFSYSKSLRRH MTTHS >ENSMUSP00000114730.1 pep:known chromosome:GRCm38:8:71951091:71971716:1 gene:ENSMUSG00000052446.16 transcript:ENSMUST00000132848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp961 description:zinc finger protein 961 [Source:MGI Symbol;Acc:MGI:3583954] MRKVRGSDTRHSAAQARRECTMASVTFEDVAVRFTQEEWTLLDPSQKILYGDVMQETYRN LTSVGINWECWDLEAYFRSLGRNLRVQLVKRDCDLKNSDPCGETVAQPKLPECHTASQSS LKRHTTSNSKYRPCDYQKYVKKYYECKVCDKAFTCLGSLKKHKKTHAGGKPYKWSGPVRC SLVLQLNEVLQHHL >ENSMUSP00000122589.1 pep:known chromosome:GRCm38:8:71951071:71968329:1 gene:ENSMUSG00000052446.16 transcript:ENSMUST00000136516.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp961 description:zinc finger protein 961 [Source:MGI Symbol;Acc:MGI:3583954] MRKVRGSDTRHSAAQARRECTMASVTFEDVAVRFTQEEWTLLDPSQKILYGDVMQETYRN LTSVGINWECWDLEAYFRSLGRNLRVQLVKRDCDLKNSDPCGETVAQPKLPECHTASQSS LKRHTTSNSKYRPCDYQKYVKKYYECKVCDKAFTCLGSLKKHKKTHAGGKPYKCKYCEKA FSYRHCAERHMLTHSVDRHKCVVCREAFPNAVALREHKTIHSGEIPEC >ENSMUSP00000105623.2 pep:known chromosome:GRCm38:8:71951081:71968979:1 gene:ENSMUSG00000052446.16 transcript:ENSMUST00000109997.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp961 description:zinc finger protein 961 [Source:MGI Symbol;Acc:MGI:3583954] MRKVRGSDTRHSAAQARRECTMASVTFEDVAVRFTQEEWTLLDPSQKILYGDVMQETYRN LTSVGINWECWDLEAYFRSLGRNLRVQLVKRDCDLKNSDPCGETVAQPKLPECHTASQSS LKRHTTSNSKYRPCDYQKYVKKYYECKVCDKAFTCLGSLKKHKKTHAGGKPYKCKYCEKA FSYRHCAERHMLTHSVDRHKCVVCREAFPNAVALREHKTIHSGEIPECKECGRMFWTASS LDMHKRLHTTEKLYECKHCGKAFMRYCSFQLHKRTHTGEKPFECKQCGKTFRHSSHVQAH KRIHTGEKPYECMQCGKTFTSGHCARRHLGTHSGAWPYKCEVCGKAYPYVYSLRNHKKSH NEEKLYECKQCGKAFKYISSLRNHETTHTGEKPYECKECGKAFSCSSYIQNHMRTHKRQS YECKECGKVFSYSKSLRRHMTTHS >ENSMUSP00000021632.3 pep:known chromosome:GRCm38:13:4268172:4279433:-1 gene:ENSMUSG00000021211.3 transcript:ENSMUST00000021632.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c12 description:aldo-keto reductase family 1, member C12 [Source:MGI Symbol;Acc:MGI:1351661] MSSKQHYVKLNDGHLIPALGFGTYKPKEVPKSKSLEAACLALDVGYRHVDTAYAYQVEEE IGQAIQSKIKAGVVKREDLFITTKLWCGCFRPELVKPALEKSLKSLQLDYVDLYLIHYPV PMKPGDNESPLDENGKFLLDTVDFCDTWERLEECKDAGLVKSIGVSNFNHRQLERILNKP GLKYKPVCNQVECHLYLNQSKLLDYCKSKDIVLVAYGALGTQRYKEWVDQNSPVLLNDPV LCDVAKRNKRSPALIALRYLFQRGIVPLAQSFKENEMRENLQVFEFQLSPEDMKTLDGLN KNFRYLPAEFLADHPEYPFSEEY >ENSMUSP00000036740.8 pep:known chromosome:GRCm38:13:49187485:49196244:1 gene:ENSMUSG00000037966.15 transcript:ENSMUST00000049022.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ninj1 description:ninjurin 1 [Source:MGI Symbol;Acc:MGI:1196617] MESGTEEYELNGDLRPGSPGSPDALPPRWGLRNRPINVNHYANKKSAAESMLDIALLMAN ASQLKAVVEQGNDFAFFVPLVVLISISLVLQIGVGVLLIFLVKYDLNNPAKHAKLDFLNN LATGLVFIIVVVNIFITAFGVQKPVMDVAPRQ >ENSMUSP00000114130.1 pep:known chromosome:GRCm38:13:49187525:49196244:1 gene:ENSMUSG00000037966.15 transcript:ENSMUST00000120733.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ninj1 description:ninjurin 1 [Source:MGI Symbol;Acc:MGI:1196617] MESGTEEYELNGDLRPGSPGSPDALVTGTSLEEFPSMIRQLVRPTGLPDLWLSGPDTLGS ILLCIPGEAYGAVTSMAQDQTLEPPRWGLRNRPINVNHYANKKSAAESMLDIALLMANAS QLKAVVEQGNDFAFFVPLVVLISISLVLQIGVGVLLIFLVKYDLNNPAKHAKLDFLNNLA TGLVFIIVVVNIFITAFGVQKPVMDVAPRQ >ENSMUSP00000121186.1 pep:known chromosome:GRCm38:13:49187536:49195759:1 gene:ENSMUSG00000037966.15 transcript:ENSMUST00000131280.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ninj1 description:ninjurin 1 [Source:MGI Symbol;Acc:MGI:1196617] MESGTEEYELNGDLRPGSPGSPDALPPRWGLRNRPINVNHYANKKSAAESMLDIALLMAN ASQLKAVVEQGNDFAFFVPLVVLISISLVLQIGVGVLLIFLATSTQARLP >ENSMUSP00000074708.2 pep:known chromosome:GRCm38:11:4236420:4241026:1 gene:ENSMUSG00000058755.3 transcript:ENSMUST00000075221.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Osm description:oncostatin M [Source:MGI Symbol;Acc:MGI:104749] MQTRLLRTLLSLTLSLLILSMALANRGCSNSSSQLLSQLQNQANLTGNTESLLEPYIRLQ NLNTPDLRAACTQHSVAFPSEDTLRQLSKPHFLSTVYTTLDRVLYQLDALRQKFLKTPAF PKLDSARHNILGIRNNVFCMARLLNHSLEIPEPTQTDSGASRSTTTPDVFNTKIGSCGFL WGYHRFMGSVGRVFREWDDGSTRSRRQSPLRARRKGTRRIRVRHKGTRRIRVRRKGTRRI WVRRKGSRKIRPSRSTQSPTTRA >ENSMUSP00000121332.1 pep:known chromosome:GRCm38:2:26934047:26943152:1 gene:ENSMUSG00000049897.14 transcript:ENSMUST00000153771.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stkld1 description:serine/threonine kinase-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2685557] MEKYQLLQMLNPGALGVNLVVEELETETKFLIKQVECIDEHHANKALEELMPLLKLQHPN LSLYHEMFIMWNNEISSLFLCLVMDYYSQGTFQNIMENKRKLKAVVDTEWMHTMLS >ENSMUSP00000062967.8 pep:known chromosome:GRCm38:2:26934069:26953496:1 gene:ENSMUSG00000049897.14 transcript:ENSMUST00000055406.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stkld1 description:serine/threonine kinase-like domain containing 1 [Source:MGI Symbol;Acc:MGI:2685557] MLNPGALGVNLVVEELETETKFLIKQVECIDEHHANKALEELMPLLKLQHPNLSLYHEMF IMWNNEISSLFLCLVMDYYSQGTFQNIMENKRKLKAVVDTEWMHTMLSQVLDAIEYLHKL NIVHRNLKPSNIVLVNSGYCKLQDMSSQALMTHEAKWNVRAEEDPCQKSWMAPEALKFSF STKSDIWSLGCIILDMATCSFLNDTEAMQLRKAIRHHPGSLKPILKTMEEKQIPGTDVYY LLLPFMLHINPSDRLAIKDVMQVTFMSNSFKSSSVALNMQRQKVPIFITDVLLEGNMANI LDVMQNFSSRPEVQLRAINKLLTMPEDQLGLPWPTELLEEVISIIKQHGRILDILLSTCS LLLRVLGQALAKDPEAEIPRSSLIISFLMDTLRSHPNSERLVNVVYNVLAIISSQGQISE ELEEEGLFQLAQENLEHFQEDRDICLSILSLLWSLLVDVVTVDKEPLEQLSGMVTWVLAT HPEDVEIAEAGCAVLWLLSLLGCIKESQFEQVVVLLLRSIQLCPGRVLLVNNAFRGLASL AKVSELVAFRIVVLEEGSSGLHLIQDIYKLYKDDPEVVENLCMLLAHLTSYKEILPEMES GGIKDLVQVIRGRFTSSLELISYADEILQVLEANAQPGLQEDQLEPPAGQEAPLQGEPLF RP >ENSMUSP00000113726.1 pep:known chromosome:GRCm38:7:44816396:44840804:1 gene:ENSMUSG00000074141.13 transcript:ENSMUST00000118125.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il4i1 description:interleukin 4 induced 1 [Source:MGI Symbol;Acc:MGI:109552] MGARRAPQRPPCTLRLVLAATLLGLAGSLDWKAASSLNPIEKCMEDHDYEQLLKVVTLGL NRTSKPQKVVVVGAGVAGLVAAKMLSDAGHKVTILEADNRIGGRIFTFRDEKTGWIGELG AMRMPSSHRILHKLCRTLGLNLTQFTQYDENTWTEVHNVKLRNYVVEKMPEKLGYNLNNR ERGHSPEDIYQMALNKAFKDLKALGCKKAMNKFNKHTLLEYLLEEGNLSRPAVQLLGDVM SEEGFFYLSFAEALRAHACLSDRLRYSRIVGGWDLLPRALLSSLSGALLLNAPVVSITQG RNDVRVHIATSLHSEKTLTADVVLLTASGPALQRITFSPPLTRKRQEALRALHYVAASKV FLSFRRPFWHEEHIEGGHSNTDRPSRLIFYPAQGEGSLLLASYTWSDAAAPFAGLSTDQT LRLVLQDVAALHGPVVFRLWDGRGVVKRWAEDPHSQGGFVVQPPLYGREAEDYDWSAPFG RIYFAGEHTALPHGWVETAVKSGLRAAVRINNNYGYGEVDPQMMEHAYAEANYLDQYPEG ERPEEQQAREEVSPDEQEPSHKHLLVETSPEGQQHAFVEAIPELQGHVFVETVPQEKGHA HQNIYPSEHVQVHGEVIPEWHGHGGSGTPQMHRVGDHS >ENSMUSP00000033015.7 pep:known chromosome:GRCm38:7:44836287:44840809:1 gene:ENSMUSG00000074141.13 transcript:ENSMUST00000033015.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il4i1 description:interleukin 4 induced 1 [Source:MGI Symbol;Acc:MGI:109552] MAGLALRLVLAATLLGLAGSLDWKAASSLNPIEKCMEDHDYEQLLKVVTLGLNRTSKPQK VVVVGAGVAGLVAAKMLSDAGHKVTILEADNRIGGRIFTFRDEKTGWIGELGAMRMPSSH RILHKLCRTLGLNLTQFTQYDENTWTEVHNVKLRNYVVEKMPEKLGYNLNNRERGHSPED IYQMALNKAFKDLKALGCKKAMNKFNKHTLLEYLLEEGNLSRPAVQLLGDVMSEEGFFYL SFAEALRAHACLSDRLRYSRIVGGWDLLPRALLSSLSGALLLNAPVVSITQGRNDVRVHI ATSLHSEKTLTADVVLLTASGPALQRITFSPPLTRKRQEALRALHYVAASKVFLSFRRPF WHEEHIEGGHSNTDRPSRLIFYPAQGEGSLLLASYTWSDAAAPFAGLSTDQTLRLVLQDV AALHGPVVFRLWDGRGVVKRWAEDPHSQGGFVVQPPLYGREAEDYDWSAPFGRIYFAGEH TALPHGWVETAVKSGLRAAVRINNNYGYGEVDPQMMEHAYAEANYLDQYPEGERPEEQQA REEVSPDEQEPSHKHLLVETSPEGQQHAFVEAIPELQGHVFVETVPQEKGHAHQNIYPSE HVQVHGEVIPEWHGHGGSGTPQMHRVGDHS >ENSMUSP00000133613.1 pep:known chromosome:GRCm38:7:44839198:44839768:1 gene:ENSMUSG00000074141.13 transcript:ENSMUST00000133279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il4i1 description:interleukin 4 induced 1 [Source:MGI Symbol;Acc:MGI:109552] XFNKHTLLEYLLEEGNLSRPAVQLLGDVMSEEGFFYLSFAEALRAHACLSDRLR >ENSMUSP00000053023.8 pep:known chromosome:GRCm38:16:19946499:19983037:-1 gene:ENSMUSG00000043008.8 transcript:ENSMUST00000058839.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl6 description:kelch-like 6 [Source:MGI Symbol;Acc:MGI:2686922] MAGQRGACTMSDVVERSLEGPLALTTDKSSQKRGDLVEILTGEKVKFDDTRLSLILQNGL ETLREENALTDVILCVDVQEFSCHRVVLAAASNYFRAMFCNDLKEKYEKRIIIKGVDAET MHTLLVYTYTSKALITKQNVQRVLEAANLFQFLQLVDACASFLTEALNPENCIGILRLAD THSLDSLKMQVQSYIIQNFVQILNSEEFLELPVDTLYHILRSDDLCVTEEAQVFETVMSW VRHKQSERLCLLPCVLENVRLPLLDPWYFVEMVEADPLIRQCPEVFPLLQEARMYHLSGN EIISERTKPRMHEFQSEVFMIIGGCTKDERFVAEVTCLDPLRRSRLEVAKLPLTEHELES ENKKWVEFACVTLKNEVYISGGKETQHDVWKYNSSINKWIQIEYLNIGRWRHKMVVLGGK VYVLGGFDGLQRINNVETYDPFHNCWSEAAPLHVHVSSFAATSHKKKLYVIGGGPNGKLA TDKTQCYDPSTNKWVLKSAMPVEAKCINAVSFQDHIYVVGGAMRALYAYSPLEDSWCLVT QLSHERASCGIAPCNNKLYITGGRDEKNEVIATVLCWDPEAQKLTEECVLPRGVSHHGSV TIRKSYTHIRRVVPGAVSV >ENSMUSP00000133197.1 pep:known chromosome:GRCm38:16:19966044:19982930:-1 gene:ENSMUSG00000043008.8 transcript:ENSMUST00000165530.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl6 description:kelch-like 6 [Source:MGI Symbol;Acc:MGI:2686922] XTDKSSQKRGDLVEILTGEKVKFDDTRLSLILQNGLETLREENALTDVILCVDVQEFSCH RVVLAAASNYFRC >ENSMUSP00000130755.1 pep:known chromosome:GRCm38:16:19956970:19983007:-1 gene:ENSMUSG00000043008.8 transcript:ENSMUST00000166801.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl6 description:kelch-like 6 [Source:MGI Symbol;Acc:MGI:2686922] MAGQRGACTMSDVVERSLEGPLALTTDKSSQKRGDLVEILTGEKVKFDDTRLSLILQNGL ETLREENALTDVILCVDVQEFSCHRVVLAAASNYFSFYSWWMPVPAFSQKH >ENSMUSP00000009707.7 pep:known chromosome:GRCm38:2:32757234:32762244:1 gene:ENSMUSG00000009563.16 transcript:ENSMUST00000009707.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor2a description:torsin family 2, member A [Source:MGI Symbol;Acc:MGI:1353596] MAVARHGYRPWGSILGLLGLALAAAAAWDVASLRCTFGSFCECDFWPDLPGLECDLAQHL AGQHLAKALVVKSLKAFVQDPAPSKPLVLSLHGWTGTGKSYVSSLLAQHLFRDGLRSPHV HHFSPIIHFPHPSRTEQYKKELKSWVQGNLTACGRSLFLFDEMDKLPPGLMEVLQPFLGP SWVVYGTNYRKAIFIFISNAGGEQINQVALEAWRSHRDREEISLQEVEPVISRAVMDNPQ HGFWRSGIMEEHLLDAVVPFLPLQRHHVRHCVLNELAQLGLEPSEEVVQAVLDSTTYFPE VEQLFSSNGCKTVASRLTFFL >ENSMUSP00000134968.1 pep:known chromosome:GRCm38:2:32757245:32761939:1 gene:ENSMUSG00000009563.16 transcript:ENSMUST00000177382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor2a description:torsin family 2, member A [Source:MGI Symbol;Acc:MGI:1353596] MAVARHGYRPWGSILGLLGLALAAAAAWDVASLRCTFGSFCECDFWPDLPGLECDLAQHL AGQHLAKALVVKSLKAFVQDPAPSKPLVLSLHGWTGQGRKV >ENSMUSP00000122251.1 pep:known chromosome:GRCm38:2:32757255:32761730:1 gene:ENSMUSG00000009563.16 transcript:ENSMUST00000156617.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor2a description:torsin family 2, member A [Source:MGI Symbol;Acc:MGI:1353596] MAVARHGYRPWGSILGLLGLALAAAAAWDVASLRCTFGSFCECDFWPDLPGPSPQQAVGP FPARLDRHREVLR >ENSMUSP00000135701.1 pep:known chromosome:GRCm38:2:32757260:32762244:1 gene:ENSMUSG00000009563.16 transcript:ENSMUST00000175763.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tor2a description:torsin family 2, member A [Source:MGI Symbol;Acc:MGI:1353596] MAVARHGYRPWGSILGLLGLALAAAAAWDVASLRCTFGSFCECDFWPDLPEGAQELGSGE PHCLWPIPFPLR >ENSMUSP00000135278.1 pep:known chromosome:GRCm38:2:32757319:32761594:1 gene:ENSMUSG00000009563.16 transcript:ENSMUST00000140999.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor2a description:torsin family 2, member A [Source:MGI Symbol;Acc:MGI:1353596] XYRPWGSILGLLGLALAAAAAWDVASLRCTFGSFCECDFWPDLPGEGWEPVRTGGQPAVR RGSKHLPPRRPPAEDPAPSKPLVLSLHGWTGTGKSYVSSLLAQHLFRDGLRSPHVHHFSP IIHFPHPSRTEQYKKELKSWVQGNLTACGRSLFLFDEMDKLPPGLMEVLQPFLGPSWVVY GTNYRKAIFIFISNAGGEQINQVALEAWRSHRDREEISLQEVEPVISRAVMDNPQHGFWR SGIMEEHLLDAVVPFLPLQRHHVRHCVL >ENSMUSP00000110003.1 pep:known chromosome:GRCm38:2:25212762:25214637:-1 gene:ENSMUSG00000036770.11 transcript:ENSMUST00000114363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933433C11Rik description:RIKEN cDNA 4933433C11 gene [Source:MGI Symbol;Acc:MGI:1921722] MWHPKMKRSSSGFRLRIGAPNESTPISTGTLRELWLERRPPILMDLDVPGPAQYEVPNMS LREASPHPQYTIGRKYPVREGGGRRAWQTMWLQSESPFMQKTDFNRETKWPSPAEYTPLS QPAFPAFSFGDRHRSVVKMPESRFRPGMLRARGPCSYTPLLPTSKPSGEKRPSPNTYNIL PGYRLQSTRSPAFSMSRSPAFASWVSSSGTPGPAAYYVEDCYNSRFPSSPGVVIQGVRRP KRHDTGPFCTL >ENSMUSP00000037603.4 pep:known chromosome:GRCm38:2:25212559:25214630:-1 gene:ENSMUSG00000036770.11 transcript:ENSMUST00000043774.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933433C11Rik description:RIKEN cDNA 4933433C11 gene [Source:MGI Symbol;Acc:MGI:1921722] MNFDQKAVKFLANFYINGGKHWTRGPLSQKPLHPTQPSAAAVLWWDQELETAWDEMWHPK MKRSSSGFRLRIGAPNESTPISTGTLRELWLERRPPILMDLDVPGPAQYEVPNMSLREAS PHPQYTIGRKYPVREGGGRRAWQTMWLQSESPFMQKTDFNRETKWPSPAEYTPLSQPAFP AFSFGDRHRSVVKMPESRFRPGMLRARGPCSYTPLLPTSKPSGEKRPSPNTYNILPGYRL QSTRSPAFSMSRSPAFASWVSSSGTPGPAAYYVEDCYNSRFPSSPGVVIQGVRRPKRHDT GPFCTL >ENSMUSP00000112514.1 pep:known chromosome:GRCm38:X:24552250:24574895:-1 gene:ENSMUSG00000081218.1 transcript:ENSMUST00000119285.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4297 description:predicted gene 4297 [Source:MGI Symbol;Acc:MGI:3782476] MSIKKLRVIPKEGYLLLLDFDSDEEEQAHSEVKRPAFGKHENMPPHVEADENIRDEQFSM LDKSGENVSFSVEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNAN PEEEVGDTRTKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKDQQALKLSECSQSQTLVAI EDMHEKSMEGLMNLETNNYDMLFDVDGEETL >ENSMUSP00000134642.1 pep:known chromosome:GRCm38:19:59166187:59170056:-1 gene:ENSMUSG00000006270.7 transcript:ENSMUST00000172821.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vax1 description:ventral anterior homeobox 1 [Source:MGI Symbol;Acc:MGI:1277163] MFGKPDKMDVRCHSDTEAARVSKNAHKESREIKGAEGSLPAAFLKEPQGAFSGSGASEDC NKSKSNSSADPDYCRRILVRDAKGSIREIILPKGLDLDRPKRTRTSFTAEQLYRLEMEFQ RCQYVVGRERTELARQLNLSETQVKVWFQNRRTKQKKDQGKDSELRSVVSETAATCSVLR LLEQGRLLSPPGLPALLPPCATGALGSALRGPSLPALGAGAAAGSAAAAAAAAAATAPGP AGAASQHQPAVGGAPGPGPAGPGGLHAGAPTASHGLFSLPVPSLLGSVASRLSSAPLTMA GSLAGNLQELSARYLSSSAFEPYSRTNNKEGAEKKALD >ENSMUSP00000063329.3 pep:known chromosome:GRCm38:2:55435970:55598145:1 gene:ENSMUSG00000026824.11 transcript:ENSMUST00000067101.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj3 description:potassium inwardly-rectifying channel, subfamily J, member 3 [Source:MGI Symbol;Acc:MGI:104742] MSALRRKFGDDYQVVTTSSSGSGLQPQGPGQGPQQQLVPKKKRQRFVDKNGRCNVQHGNL GSETSRYLSDLFTTLVDLKWRWNLFIFILTYTVAWLFMASMWWVIAYTRGDLNKAHVGNY TPCVANVYNFPSAFLFFIETEATIGYGYRYITDKCPEGIILFLFQSILGSIVDAFLIGCM FIKMSQPKKRAETLMFSEHAVISMRDGKLTLMFRVGNLRNSHMVSAQIRCKLLKSRQTPE GEFLPLDQLELDVGFSTGADQLFLVSPLTICHVIDAKSPFYDLSQRSMQTEQFEVVVILE GIVETTGMTCQARTSYTEDEVLWGHRFFPVISLEEGFFKVDYSQFHATFEVPTPPYSVKE QEEMLLMSSPLIAPAITNSKERHNSVECLDGLDDISTKLPSKLQKITGREDFPKKLLRMS STTSEKAYSLGDLPMKLQRISSVPGNSEEKLVSKTTKMLSDPMSQSVADLPPKLQKMAGG PTRMEGNLPAKLRKMNSDRFT >ENSMUSP00000108251.1 pep:known chromosome:GRCm38:2:55437165:55598140:1 gene:ENSMUSG00000026824.11 transcript:ENSMUST00000112632.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj3 description:potassium inwardly-rectifying channel, subfamily J, member 3 [Source:MGI Symbol;Acc:MGI:104742] MSALRRKFGDDYQVVTTSSSGSGLQPQGPGQGPQQQLVPKKKRQRFVDKNGRCNVQHGNL GSETSRYLSDLFTTLVDLKWRWNLFIFILTYTVAWLFMASMWWVIAYTRGDLNKAHVGNY TPCVANVYNFPSAFLFFIETEATIGYGYRYITDKCPEGIILFLFQSILGSIVDAFLIGCM FIKMSQPKKRAETLMFSEHAVISMRDGKLTLMFRVGNLRNSHMVSAQIRCKLLKE >ENSMUSP00000108252.2 pep:known chromosome:GRCm38:2:55437156:55595525:1 gene:ENSMUSG00000026824.11 transcript:ENSMUST00000112633.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnj3 description:potassium inwardly-rectifying channel, subfamily J, member 3 [Source:MGI Symbol;Acc:MGI:104742] MSALRRKFGDDYQVVTTSSSGSGLQPQGPGQGPQQQLVPKKKRQRFVDKNGRCNVQHGNL GSETSRYLSDLFTTLVDLKWRWNLFIFILTYTVAWLFMASMWWVIAYTRGDLNKAHVGNY TPCVANVYNFPSAFLFFIETEATIGYGYRYITDKCPEGIILFLFQSILGSIVDAFLIGCM FIKMSQPKKRAETLMFSEHAVISMRDGKLTLMFRVGNLRNSHMVSAQIRCKLLKSRQTPE GEFLPLDQLELDVGFSTGADQLFLVSPLTICHVIDAKSPFYDLSQRSMQTEQFEVVVILE GIVETTGMTCQARTSYTEDEVLWGHRFFPVISLEEGFFKVDYSQFHATFEVPTPPYSVKE QEEMLLMSSPLIAPAITNSKERHNSVECLDGLDDISTKLPSKLQKITGREDFPKKLLRMS STTSEKAYSLGDLPMKLQRISSVPGNSEEKLVSKTTKMLSDPMSQSVADLPPKLQKMAGG PTRMEGNLPAKLRKMNSDRFT >ENSMUSP00000141300.1 pep:known chromosome:GRCm38:3:46442197:46448219:-1 gene:ENSMUSG00000090919.6 transcript:ENSMUST00000192793.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc4l description:poly(A) binding protein, cytoplasmic 4-like [Source:MGI Symbol;Acc:MGI:3643087] MSLEAKYRAASLYVGDLHEDVTEDMLFRKFSTVGPVLSIRICRDLISQRSLGYAYVNFLQ VNDAQKALVTMNFDVIKGKSIRLMWSQRDACLRRSGVGNVFIKNLDKSIDNKTLYEHFSP FGTIMSSKVMTDGEGSKGYGFVHYQDRRAADRAIEEMNGKLLRESTLFVARFKSRKDREA ELRDKPTEFTNVYIKNFGDDVDDEKLREVFSKYGQTLSVKVMKDATGKSKGFGFVSFDSH EAAKNAVEDMNGQDINGQTIFVGRAQKKVERQAELKEMFEQMKKERIRARQAAKLYIKNL DDTIDDETLRKEFSVFGSICRVKVMQEAGQSKGFGLICFFSPEAAAKAMAEMNGRILGSK PLNIALGQKH >ENSMUSP00000141684.1 pep:known chromosome:GRCm38:3:46446692:46447941:-1 gene:ENSMUSG00000090919.6 transcript:ENSMUST00000195436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc4l description:poly(A) binding protein, cytoplasmic 4-like [Source:MGI Symbol;Acc:MGI:3643087] MSLEAKYRAASLYVGDLHEDVTEDMLFRKFSTVGPVLSIRICRDLISQRSLGYAYVNFLQ VNDAQKALVTMNFDVIKGKSIRLMWSQRDACLRRSGVGNVFIKNLDKSIDNKTLYEHFSP FGTIMSSKVMTDGEGSKGYGFVHYQDRRAADRAIEEMNGKLLRESTLFVARF >ENSMUSP00000141854.1 pep:known chromosome:GRCm38:3:46447056:46447920:-1 gene:ENSMUSG00000090919.6 transcript:ENSMUST00000195537.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc4l description:poly(A) binding protein, cytoplasmic 4-like [Source:MGI Symbol;Acc:MGI:3643087] MSLEAKYRAASLYVGDLHEDVTEDMLFRKFSTVGPVLSIRICRDLISQRSL >ENSMUSP00000126224.1 pep:known chromosome:GRCm38:3:46442197:46447941:-1 gene:ENSMUSG00000090919.6 transcript:ENSMUST00000166505.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpc4l description:poly(A) binding protein, cytoplasmic 4-like [Source:MGI Symbol;Acc:MGI:3643087] MSLEAKYRAASLYVGDLHEDVTEDMLFRKFSTVGPVLSIRICRDLISQRSLGYAYVNFLQ VNDAQKALVTMNFDVIKGKSIRLMWSQRDACLRRSGVGNVFIKNLDKSIDNKTLYEHFSP FGTIMSSKVMTDGEGSKGYGFVHYQDRRAADRAIEEMNGKLLRESTLFVARFKSRKDREA ELRDKPTEFTNVYIKNFGDDVDDEKLREVFSKYGQTLSVKVMKDATGKSKGFGFVSFDSH EAAKNAVEDMNGQDINGQTIFVGRAQKKVERQAELKEMFEQMKKERIRARQAAKLYIKNL DDTIDDETLRKEFSVFGSICRVKVMQEAGQSKGFGLICFFSPEAAAKAMAEMNGRILGSK PLNIALGQKH >ENSMUSP00000141419.1 pep:known chromosome:GRCm38:3:5750806:5751295:1 gene:ENSMUSG00000103034.1 transcript:ENSMUST00000192045.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8797 description:predicted pseudogene 8797 [Source:MGI Symbol;Acc:MGI:3643769] MQIFVKTLTGKTITLEVEPSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYN IQKESTLHLVLRLRGGY >ENSMUSP00000066543.3 pep:known chromosome:GRCm38:X:72255999:72274803:-1 gene:ENSMUSG00000031340.8 transcript:ENSMUST00000064780.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabre description:gamma-aminobutyric acid (GABA) A receptor, subunit epsilon [Source:MGI Symbol;Acc:MGI:1330235] MLPKVLLMLLNMFLALQWRVGPHVNLENKPPAQDKVVFGPQPQPVPQPLTPPESPAGDTV VFGPQPQPQAESPGHDDVVFSSQPQPMPQPQPMPEPQPQPEPEPEPQPQPQPQPLAGRQP LPQPLPWPMPQPQPEPEPEPEPEPEPEPEPEPEPEPEPEPQPEPQPQPLAGRQPLPQPLP WPLPLPLPEPQPEPQPDPQPEPQPEPQPEPQPEPQPEPQTPGQVQAGRQPRPRPQPEPQP QPEPQPEPQPEPQPQPEPEPEPQPEPEPQPEPEPQPEPQPEPQPEPKPEPEPQPEPEPQP EPQPEPQPEPQPEPKPEPEPQPEPKPEPEPQPEPEPEPEPEPEPQPEPEPKPEPQPEPEP EPEPEPEPEPEPEPEPEPEPEPESESELDLEPEPEPHPHPHPHPHPHPEPEPEPEPEPEP EPEPEPEPEPEPEPEPEPEPEMKSEPQSQLEPQPSGKKLPAKEPELTVDCMTERPGGKLT RASRILNTILSNYDHKLRPGIGEKPTVVTVEVIVNSLGPISTPDMEYSIDIIFHQTWYDE RLRYNDTFETLILHGNVVSQLWIPDTFFRNSKRTQEYDITIPNQMALIHKDGKVLYSVRM TIDARCSLHMLNFPMDSHSCPLSFSSFSYDDSEMIYKWENFKLEINAKNTWKLLEFDFTG VNNKTEIISTPFGDFMVMTFFFNVSRRFGFIVFQNYVPSSVTTMLSWVSFWIKIEAAAAR ASVGVSSVLTMATLGTLSCKNFPRVSYLTALDFYIAICFVLCFCTLLEFAVLNFLTYNNI KRQASLKVYQLPTNSRANARTRARARTRARARARARQQQEVFVCEIVTYEENAEEGYQWS PRSRRPQCPWRRCGRSYVCFRVLRKYFCMAPGCEGGSWQRGRICIHVYRLDNYSRVLFPI TFFFFNVLYWLICLNL >ENSMUSP00000045973.5 pep:known chromosome:GRCm38:7:19094594:19098549:1 gene:ENSMUSG00000040841.5 transcript:ENSMUST00000049454.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Six5 description:sine oculis-related homeobox 5 [Source:MGI Symbol;Acc:MGI:106220] MATSPAEPSAGPAARGEAAAATEEQEEEARQLLQTLQAAEGEAAAAGAGDAAAAADSGSP SGPGSPRETVTEVPTGLRFSPEQVACVCEALLQAGHAGRLSRFLGALPPAERLRGSDPVL RARALVAFQRGEYAELYQLLESRPFPAAHHAFLQDLYLRARYHEAERARGRALGAVDKYR LRKKFPLPKTIWDGEETVYCFKERSRAALKACYRGNRYPTPDEKRRLATLTGLSLTQVSN WFKNRRQRDRTGTGGGAPCKSESDGNPTTEDESSRSPEDLERGVASMAAEAPAQSSIFLA GATSPATCPASSSILVNGSFLAASSPPAVLLNGSPVIINSLALGENSSLGPLLLTGGSAP QPQPSLQGVSEAKNSLVLDPQTGEVRLDEAQSEAPETKGVHGTTGEEIPGALPQVVPGPP PASTFPLTPGAVPAVAAPQVVPLSPSSGYPTGLSPTSPRLNLPQVVPTSQVVTLPQAVGP LQLLAAGPGSPVKVAAAAGPTNVHLINSSVGVTALQLPSSTAPGNFLLANPVSGSPIVTG VAVQQGKIILTATFPTSMLVSQVLPPAPSLALPLKQEPAITVPEGALPVGPSPTLPEGHT LGPISTQPLPPASVVTSGTSLPFSPDSSGLLSSFSAPLPEGLMLSPAAVPVWPAGLELST GVEGLGTQATHTVLRLPDPDPQGLLLGATTGTEVDEGLEAEAKVLTQLQSVPVEEPLEL >ENSMUSP00000054944.8 pep:known chromosome:GRCm38:2:60598292:60673711:-1 gene:ENSMUSG00000026971.15 transcript:ENSMUST00000059888.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb6 description:integrin beta 6 [Source:MGI Symbol;Acc:MGI:96615] MGIELVCLFLLLLGRNDHVQGGCAWGGAESCSDCLLTGPHCAWCSQENFTHLSGAGERCD TPANLLAKGCQLPFIENPVSRIEVLQNKPLSVGRQKNSSDIVQIAPQSLVLKLRPGREQT LQVQVRQTEDYPVDLYYLMDLSASMDDDLNTIKELGSRLAKEMSKLTSNFRLGFGSFVEK PVSPFMKTTPEEITNPCSSIPYFCLPTFGFKHILPLTDDAERFNEIVRKQKISANIDTPE GGFDAIMQAAVCKEKIGWRNDSLHLLVFVSDADSHFGMDSKLAGIVIPNDGLCHLDHRNE YSMSTVLEYPTIGQLIDKLVQNNVLLIFAVTQEQVHLYENYAKLIPGATVGLLQKDSGNI LQLIISAYEELRSEVELEVLGDTEGLNLSFTALCNNGVLFPHQKKCSHMKVGDTASFNVT VSVSNCEKRSRNLIIKPVGLGDTLEILVSAECDCDCQREIETNSSKCHNGNGSFQCGVCT CNPGHMGPHCECGEDMVSTDSCKESPGHPSCSGRGDCYCGQCICHLSPYGSIYGPYCQCD NFSCLRHKGLLCGDNGDCDCGECVCRDGWTGEYCNCTTNRDSCTSEDGVLCSGRGDCVCG KCVCRNPGASGPTCERCPTCGDPCNSKRSCIECYLSADGQAQEECADKCKAIGATISEED FSKDTSVSCSLQGENECLITFLITTDNEGKTIIHNINEKDCPKPPNIPMIMLGVSLAILL IGVVLLCIWKLLVSFHDRKEVAKFEAERSKAKWQTGTNPLYRGSTSTFKNVTYKHREKHK AGLSSDG >ENSMUSP00000108136.1 pep:known chromosome:GRCm38:2:60604245:60722643:-1 gene:ENSMUSG00000026971.15 transcript:ENSMUST00000112517.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb6 description:integrin beta 6 [Source:MGI Symbol;Acc:MGI:96615] MGIELVCLFLLLLGRNDHVQGGCAWGGAESCSDCLLTGPHCAWCSQENFTHLSGAGERCD TPANLLAKGCQLPFIENPVSRIEVLQNKPLSVGRQKNSSDIVQIAPQSLVLKLRPGREQT LQVQVRQTEDYPVDLYYLMDLSASMDDDLNTIKELGSRLAKEMSKLTSNFRLGFGSFVEK PVSPFMKTTPEEITNPCSSIPYFCLPTFGFKHILPLTDDAERFNEIVRKQKISANIDTPE GGFDAIMQAAVCKEKIGWRNDSLHLLVFVSDADSHFGMDSKLAGIVIPNDGLCHLDHRNE YSMSTVLEYPTIGQLIDKLVQNNVLLIFAVTQEQVHLYENYAKLIPGATVGLLQKDSGNI LQLIISAYEELRSEVELEVLGDTEGLNLSFTALCNNGVLFPHQKKCSHMKVGDTASFNVT VSVSNCEKRSRNLIIKPVGLGDTLEILVSAECDCDCQREIETNSSKCHNGNGSFQCGVCT CNPGHMGPHCECGEDMVSTDSCKESPGHPSCSGRGDCYCGQCICHLSPYGSIYGPYCQCD NFSCLRHKGLLCGDNGDCDCGECVCRDGWTGEYCNCTTNRDSCTSEDGVLCSGRGDCVCG KCVCRNPGASGPTCERCPTCGDPCNSKRSCIECYLSADGQAQEECADKCKAIGATISEED FSKDTSVSCSLQGENECLITFLITTDNEGKTIIHNINEKDCPKPPNIPMIMLGVSLAILL IGVVLLCIWKLLVSFHDRKEVAKFEAERSKAKWQTVCGLRSHPL >ENSMUSP00000117815.1 pep:known chromosome:GRCm38:2:60605139:60673726:-1 gene:ENSMUSG00000026971.15 transcript:ENSMUST00000154764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb6 description:integrin beta 6 [Source:MGI Symbol;Acc:MGI:96615] MGIELVCLFLLLLGRNDHVQGGCAWGGAESCSDCLLTGPHCAWCSQENFTHLSGAGERCD TPANLLAKGCQLPFIENPVSRIEVLQNKPLSVGRQKNSSDIVQIAPQSLVLKLRPGREQT LQVQVRQTEDYPVDLYYLMDLSASMDDDLNTIKELGSRLAKEMSKLTSNFRLGFGSFVEK PVSPFMKTTPEEITNPCSSIPYFCLPTFGFKHILPLTDDAERFNEIVRKQKISANIDTPE GGFDAIMQAAVCKEKIGWRNDSLHLLVFVSDADSHFGMDSKLAGIVIPNDGLCHLDHRNE YSMSTVLEYPTIGQLIDKLVQNNVLLIFAVTQEQVHLYENYAKLIPGATVGLLQKDSGNI LQLIISAYEELRSEVELEVLGDTEGLNLSFTALCNNGVLFPHQKKCSHMKVGDTASFNVT VSVSNCEKRSRNLIIKPVGLGDTLEILVSAECDCDCQREIETNSSKCHNGNGSFQCGVCT CNPGHMGPHCECGEDMVSTDSCKESPGHPSCSGRGDCYCGQCICHLSPYGSIYGPYCQCD NFSCLRHKGLLCGDNGDCDCGECVCRDGWTGEYCNCTTNRDSCTSEDGVLCSGRGDCVCG KCVCRNPGASGPTCERCPTCGDPCNSKRSCIECYLSADGQAQEECADKCKAIGATISEED FSKDTSVSCSLQGENECLITFLITTDNEGKTIIHNINEKDCPKPPNIPMIMLGVSLAILL IGVVLLCIWKLLVSFHDRKEVAKFEAERSKAKWQT >ENSMUSP00000028348.2 pep:known chromosome:GRCm38:2:60600231:60722643:-1 gene:ENSMUSG00000026971.15 transcript:ENSMUST00000028348.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb6 description:integrin beta 6 [Source:MGI Symbol;Acc:MGI:96615] MGIELVCLFLLLLGRNDHVQGGCAWGGAESCSDCLLTGPHCAWCSQENFTHLSGAGERCD TPANLLAKGCQLPFIENPVSRIEVLQNKPLSVGRQKNSSDIVQIAPQSLVLKLRPGREQT LQVQVRQTEDYPVDLYYLMDLSASMDDDLNTIKELGSRLAKEMSKLTSNFRLGFGSFVEK PVSPFMKTTPEEITNPCSSIPYFCLPTFGFKHILPLTDDAERFNEIVRKQKISANIDTPE GGFDAIMQAAVCKEKIGWRNDSLHLLVFVSDADSHFGMDSKLAGIVIPNDGLCHLDHRNE YSMSTVLEYPTIGQLIDKLVQNNVLLIFAVTQEQVHLYENYAKLIPGATVGLLQKDSGNI LQLIISAYEELRSEVELEVLGDTEGLNLSFTALCNNGVLFPHQKKCSHMKVGDTASFNVT VSVSNCEKRSRNLIIKPVGLGDTLEILVSAECDCDCQREIETNSSKCHNGNGSFQCGVCT CNPGHMGPHCECGEDMVSTDSCKESPGHPSCSGRGDCYCGQCICHLSPYGSIYGPYCQCD NFSCLRHKGLLCGDNGDCDCGECVCRDGWTGEYCNCTTNRDSCTSEDGVLCSGRGDCVCG KCVCRNPGASGPTCERCPTCGDPCNSKRSCIECYLSADGQAQEECADKCKAIGATISEED FSKDTSVSCSLQGENECLITFLITTDNEGKTIIHNINEKDCPKPPNIPMIMLGVSLAILL IGVVLLCIWKLLVSFHDRKEVAKFEAERSKAKWQTGTNPLYRGSTSTFKNVTYKHREKHK AGLSSDG >ENSMUSP00000132483.1 pep:known chromosome:GRCm38:19:41941086:41981114:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000167927.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] MAAATGLEEAVAPMGALCGLVQDFVMGQQEGPADQVAADVKSGGYTVLQVVEALGSSLEN AEPRTRARGAQLLSQVLLQCHSLLSEKEVVHLILFYENRLKDHHLVVPSVLQGLRALSMS VALPPGLAVSVLKAIFQEVHVQSLLQVDRHTVFSIITNFMRSREEELKGLGADFTFGFIQ VMDGEKDPRNLLLAFRIVHDLISKDYSLGPFVEELFEVTSCYFPIDFTPPPNDPYGIQRE DLILSLRAVLASTPRFAEFLLPLLIEKVDSEILSAKLDSLQTLNACCAVYGQKELKDFLP SLWASIRREVFQTASERVEAEGLAALHSLTACLSCSVLRADAEDLLGSFLSNILQGL >ENSMUSP00000130900.1 pep:known chromosome:GRCm38:19:41941086:41981157:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000171561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] MAAATGLEEAVAPMGALCGLVQDFVMGQQEGPADQVAADVKSGGYTVLQVVEALGSSLEN AEPRTRARGAQLLSQVLLQCHSLLSEKEVVHLILFYENRLKDHHLVVPSVLQGLRALSMS VALPPGLAVSVLKAIFQEVHVQSLLQVDRHTVFSIITNFMRSREEELKGLGADFTFGFIQ VMDGEKDPRNLLLAFRIVHDLISKDYSLGPFVEELFEVTSCYFPIDFTPPPNDPYGIQRE DLILSLRAVLASTPRFAEFLLPLLIEKVDSEILSAKLDSLQTLNACCAVYGQKELKDFLP SLWASIRREVFQTASERVEAEGLAALHSLTACLSCSVLRADAEDLLGSFLSNILQDCRHH LCEPDMKLVWPSAKLLQAAAGASARACEHLTSNVLPLLLEQFHKHSQSNQRRTILEMILG FLKLQQKWSYEDRDERPLSSFKDQLCSLVFMALTDPSTQLQLVGIRTLTVLGAQPGLLSA EDLELAVGHLYRLTFLEEDSQSCRVAALEASGTLATLYPGAFSRHLLPKLAEELHKGESD VARADGPTKCSRHFRCLQALSAVSTHPSIVKETLPLLLQHLCQANKGNMVTESSEVVAVC QSLQQVAEKCQQDPESYWYFHKTAVPCLFALAVQASMPEKESSVLRKVLLEDEVLAALAS VIGTATTHLSPELAAQSVTCIVPLFLDGNTSFLPENSFPDQFQPFQDGSSGQRRLVALLT AFVCSLPRNVEIPQLNRLMRELLKQSCGHSCPFSSTAATKCFAGLLNKQPPGQQLEEFLQ LAVGTVEAGLASESSRDQAFTLLLWVTKALVLRYHPLSACLTTRLMGLLSDPELGCAAAD GFSLLMSDCTDVLTRAGHADVRIMFRQRFFTDNVPALVQGFHAAPQDVKPNYLKGLSHVL NRLPKPVLLPELPTLLSLLLEALSCPDSVVQLSTLSCLQPLLLEAPQIMSLHVDTLVTKF LNLSSSYSMAVRIAALQCMHALTRLPTSVLLPYKSQVIRALAKPLDDKKRLVRKEAVSAR GEWFLLGSPGS >ENSMUSP00000128653.1 pep:known chromosome:GRCm38:19:41944209:41969722:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000163287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] QVVEALGSSLENAEPRTRARGAQLLSQVLLQCHSLLSEKEVVHLILFYENRLKDHHLVVP SVLQGLRALSMSVALPPGLAVSVLKAIFQEVHVQSLLQVDRHTVFSIITNFMRSREEELK GLGADFTFGFIQVMDGEKDPRNLLLAFRIVHDLISKDYSLGPFVEELFEVTSCYFPIDFT PPPNDPYGIQREDLILSLRAVLASTPRFAEFLLPLLIEKVDSEILSAKLDSLQTLNACCA VYGQKELKDFLPSLWASIRRESNQRRTILEMILGFLKLQQKWSYEDRDERPLSSFKDQLC SLVFMALTDPSTQLQLVGIRTLTVLGAQPGLLSAEDLELAVGHLYRLTFLEEDSQSCRVA ALEASGTLATLYPGAFSRHLLPKLAEELHKGESDVARADGPTKCSRHFRCLQALSAVSTH PSIVKETLPLLLQHLCQANKGNMVTESSEVVAVCQSLQQVAEKCQQDPESYWYFHKTAVP CLFALAVQASMPEKESSVLRKVLLEDEVLAALASVIGTATTHLSPELAAQSVTCIVPLFL DGNTSFLPENSFPDQFQPFQDGSSGQRRLVALLTAFVCSLPRNVEIPQLNRLMRELLKQS CGHSCPFSSTAATKCFAGLLNKQPPGQQLEEFLQLAVGTVEAGLASESSRDQAFTLLLWV TKALVLRYHPLSACLTTRLMGLLSDPELGCAAADGFSLLMSDCTDVLTRAGHADVRIMFR QRFFTDNVPALVQGFHAAPQDVKPNYLKGLSHVLNRLPKPVLLPELPTLLSLLLEALSCP DSVVQLSTLSCLQPLLLEAPQIMSLHVDTLVTKFLNLSSSYSMAVRIAALQCMHALTRLP TSVLLPYKSQVIRALAKPLDDKKRLVRKEAVSARGEWFLLGSPGS >ENSMUSP00000126864.1 pep:known chromosome:GRCm38:19:41944211:41981117:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000163398.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] MAAATGLEEAVAPMGALCGLVQDFVMGQQEGPADQVAAGPLWKMLNPELGLEEPSFCHRC CSSVTPCSRRRKWST >ENSMUSP00000129478.1 pep:known chromosome:GRCm38:19:41944211:41981136:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000164776.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] MAAATGLEEAVAPMGALCGLVQDFVMGQQEGPADQVAAGPLWKMLNPELGLEEPSFCHRC CSSVTPCSRRRKWST >ENSMUSP00000026168.2 pep:known chromosome:GRCm38:19:41944377:41981132:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000026168.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] MAAATGLEEAVAPMGALCGLVQDFVMGQQEGPADQVAADVKSGGYTVLQVVEALGSSLEN AEPRTRARGAQLLSQVLLQCHSLLSEKEVVHLILFYENRLKDHHLVVPSVLQGLRALSMS VALPPGLAVSVLKAIFQEVHVQSLLQVDRHTVFSIITNFMRSREEGPFVEELFEVTSCYF PIDFTPPPNDPYGIQREDLILSLRAVLASTPRFAEFLLPLLIEKVDSEILSAKLDSLQTL NACCAVYGQKELKDFLPSLWASIRREVFQTASERVEAEGLAALHSLTACLSCSVLRADAE DLLGSFLSNILQDCRHHLCEPDMKLVWPSAKLLQAAAGASARACEHLTSNVLPLLLEQFH KHSQSNQRRTILEMILGFLKLQQKWSYEDRDERPLSSFKDQLCSLVFMALTDPSTQLQLV GIRTLTVLGAQPGLLSAEDLELAVGHLYRLTFLEEDSQSCRVAALEASGTLATLYPGAFS RHLLPKLAEELHKGESDVARADGPTKCSRHFRCLQALSAVSTHPSIVKETLPLLLQHLCQ ANKGNMVTESSEVVAVCQSLQQVAEKCQQDPESYWYFHKTAVPCLFALAVQASMPEKESS VLRKVLLEDEVLAALASVIGTATTHLSPELAAQSVTCIVPLFLDGNTSFLPENSFPDQFQ PFQDGSSGQRRLVALLTAFVCSLPRNVEIPQLNRLMRELLKQSCGHSCPFSSTAATKCFA GLLNKQPPGQQLEEFLQLAVGTVEAGLASESSRDQAFTLLLWVTKALVLRYHPLSACLTT RLMGLLSDPELGCAAADGFSLLMSDCTDVLTRAGHADVRIMFRQRFFTDNVPALVQGFHA APQDVKPNYLKGLSHVLNRLPKPVLLPELPTLLSLLLEALSCPDSVVQLSTLSCLQPLLL EAPQIMSLHVDTLVTKFLNLSSSYSMAVRIAALQCMHALTRLPTSVLLPYKSQVIRALAK PLDDKKRLVRKEAVSARGEWFLLGSPGS >ENSMUSP00000131219.1 pep:known chromosome:GRCm38:19:41944489:41955138:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000166090.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] XWSYEDRDERPLSSFKDQLCSLVFMALTDPSTQLQLVGIRTLTVLGAQPGLLSAEDLELA VGHLYRLTFLEEDSQSCRVAALEASGTLATLYPGAFSRHLLPKLAEELHKGESDVARADG PTKCSRHFRCLQALSAVSTHPSIVKETLPLLLQHLCQANKGNMVTESSEVVAVCQSLQQV AEKCQQDPESYWYFHKTAVPCLFALAVQASMPEKESSVLRKVLLEDEVLAALASVIGTAT THLSPELAAQSVTCIVPLFLDGNTSFLPENSFPDQFQPFQDGSSGQRRLVALLTAFVCSL PRNVEIPQLNRLMRELLKQSCGHSCPFSSTAATKCFAGLLNKQPPGQQLEEFLQLAVGTV EAGLASESSRDQAFTLLLWVTKALVLRYHPLSACLTTRLMGLLSDPELGCAAADGFSLLM SDCTDVLTRAGHADVRIMFRQRFFTDNVPALVQGFHAAPQDVKPNYLKGLSHVLNRLPKP VLLPELPTHYPALTLWSSFPL >ENSMUSP00000130399.1 pep:known chromosome:GRCm38:19:41946980:41953944:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000167820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] PKLAEELHKGESDVARADGPTKCSRHFRCLQALSAVSTHPSIVKETLPLLLQHLCQANKE KESSVLRKVLLEDEVLAALASVIGTATTHLSPELAAQSVTCIVPLFLDGNTSFLPENSFP DQFQPFQDGSSGQRRLVALLTAFVCSLPRNVEIPQLNRLMRELLKQSCGHSCPFSSTAAT KCFAGLLNKQPPGQQLEEFLQLAVGTVEAGLASESSRDQAFTLLLWVTKALVLRYHPLSA CLTTRLMGLLSDPELGCAAADGFSLLMSDCTDVLTRAGHADVRIMF >ENSMUSP00000128234.1 pep:known chromosome:GRCm38:19:41947675:41981137:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000169775.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] MAAATGLEEAVAPMGALCGLVQDFVMGQQEGPADQVAADVKSGGYTVLQVVEALGSSLEN AEPRTRARGAQLLSQVLLQCHSLLSEKEVVHLILFYENRLKDHHLVVPSVLQGLRALSMS VALPPGLAVSVLKAIFQEVHVQSLLQVDRHTVFSIITNFMRSREEGDGWGEGSP >ENSMUSP00000126881.1 pep:known chromosome:GRCm38:19:41953097:41981130:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000168484.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] MAAATGLEEAVAPMGALCGLVQDFVMGQQEGPADQVAADVKSGGYTVLQVVEALGSSLEN AEPRTRARGAQLLSQVLLQCHSLLSEKEVVHLILFYENRLKDHHLVVPSVLQGLRALSMS VALPPGLAVSVLKAIFQEVHVQSLLQVDRHTVFSIITNFMRSREEELKGLGADFTFGFIQ VMDGEKDPRNLLLAFRIVHDLISKDYSLGPFVEELFEVTSCYFPIDFTPPPNDPYGIQRE DLILSLRAVLASTPRFAEFLLPLLIEKVDSEILSAKLDSLQTLNACCAVYGQKELKDFLP SLWASIRREVFQTASERVEAEGLAALHSLTACLSCSVLRADAEDLLGSFLSNILQGL >ENSMUSP00000133075.1 pep:known chromosome:GRCm38:19:41962988:41969741:-1 gene:ENSMUSG00000025159.8 transcript:ENSMUST00000169765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mms19 description:MMS19 (MET18 S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919449] XGGYTVLQVVEALGSSLENAEPRTRARGAQLLSQVLLQCHSLLSEKEVVHLILFYENRLK DHHLVVPSVLQGLRALSLLQVDRHTVFSIITNFMRSREEELKGLGADFTFGFIQVMDGEK DPRNLLLAFR >ENSMUSP00000022032.5 pep:known chromosome:GRCm38:13:58380046:58385225:-1 gene:ENSMUSG00000021550.5 transcript:ENSMUST00000022032.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2210016F16Rik description:RIKEN cDNA 2210016F16 gene [Source:MGI Symbol;Acc:MGI:1917403] MGPPLSPRESARFVAENSRDVLVDHEGVRRAAELLLPAAAAWRVEQWKSLHELNPRGADE AALGWVFLVDSLNFSFWAEREDSKCAVRYGGTPYTGYWALCAAVNRALDQGIPITSASYY ATVSLEQVRDIFRSDTAVPMPLMEERHRILNETGKILLEKFGGSFLNCVQKSGRSAQKLM QLIVENFPSYRDEAEFEGKRIAFYKRAQILVADTWSVLEGKGDGCFEDISSITMFADYRL PQILVYLGALKYSDELLKKLLKGEMLLNGDKQEVEIRGCSIWCVELIRDRLLELLEKGEN SPVEINSVLLDYHLWDYAREHREDMKGVPFHRTRCIYY >ENSMUSP00000031131.9 pep:known chromosome:GRCm38:5:66676091:66687234:1 gene:ENSMUSG00000029223.12 transcript:ENSMUST00000031131.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uchl1 description:ubiquitin carboxy-terminal hydrolase L1 [Source:MGI Symbol;Acc:MGI:103149] MQLKPMEINPEMLNKVLAKLGVAGQWRFADVLGLEEETLGSVPSPACALLLLFPLTAQHE NFRKKQIEELKGQEVSPKVYFMKQTIGNSCGTIGLIHAVANNQDKLEFEDGSVLKQFLSE TEKLSPEDRAKCFEKNEAIQAAHDSVAQEGQCRVDDKVNFHFILFNNVDGHLYELDGRMP FPVNHGASSEDSLLQDAAKVCREFTEREQGEVRFSAVALCKAA >ENSMUSP00000100637.1 pep:known chromosome:GRCm38:X:3441731:3443690:-1 gene:ENSMUSG00000094558.1 transcript:ENSMUST00000105020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14346 description:predicted gene 14346 [Source:MGI Symbol;Acc:MGI:3709280] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000077972.5 pep:known chromosome:GRCm38:5:88659448:88676171:-1 gene:ENSMUSG00000044221.14 transcript:ENSMUST00000078945.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grsf1 description:G-rich RNA sequence binding factor 1 [Source:MGI Symbol;Acc:MGI:106479] MAGTRWVLGALLRGCGCNCSSCRRTGAACLPFYSAAGTFPSGVSGRRRLLLLLGAAAAAA SQTRGLQLGPAAAGRLAGPIPARPSAAAAAAASYSALRAPLFPRSLAAAAGPARGYSQES KTTYLEDLPPLPEYELSPSKLGDEVDDVYLIRAQGLPWSCTVEDVLNFFSDCRIRNSENG IHFLLNRDGKRRGDALIEMESEQDVQKALEKHRMYMGQRYVEVYEINNEDVDALMKSLQV KPSPVLSDGVVRLRGLPYSCNEKDIVDFFAGLNIVDITFVMDYRGRRKTGEAYVQFEEPE MANQALLKHREEIGNRYIEIFPSRRNEVRTHVGSHKGKKMTSSPPTKYITEPEVVFEEHE VNEDIRPMTAFESDKEIELPKEMSEKLPEAVDFGTLPSLHFVHMRGLPFQANAQDIINFF APLKPVRITMEYSSSGKATGEADVHFDTHEDAVAAMLKDRSHVQHRYIELFLNSCPKGK >ENSMUSP00000108860.1 pep:known chromosome:GRCm38:5:88659458:88675666:-1 gene:ENSMUSG00000044221.14 transcript:ENSMUST00000113234.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grsf1 description:G-rich RNA sequence binding factor 1 [Source:MGI Symbol;Acc:MGI:106479] MESKTTYLEDLPPLPEYELSPSKLGDEVDDVYLIRAQGLPWSCTVEDVLNFFSDCRIRNS ENGIHFLLNRDGKRRGDALIEMESEQDVQKALEKHRMYMGQRYVEVYEINNEDVDALMKS LQVKPSPVLSDGVVRLRGLPYSCNEKDIVDFFAGLNIVDITFVMDYRGRRKTGEAYVQFE EPEMANQALLKHREEIGNRYIEIFPSRRNEVRTHVGSHKGKKMTSSPPTKYITEPEVVFE EHEVNEDIRPMTAFESDKEIELPKEMSEKLPEAVDFGTLPSLHFVHMRGLPFQANAQDII NFFAPLKPVRITMEYSSSGKATGEADVHFDTHEDAVAAMLKDRSHVQHRYIELFLNSCPK GK >ENSMUSP00000123051.1 pep:known chromosome:GRCm38:5:88665884:88675670:-1 gene:ENSMUSG00000044221.14 transcript:ENSMUST00000153565.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grsf1 description:G-rich RNA sequence binding factor 1 [Source:MGI Symbol;Acc:MGI:106479] MESEQDVQKALEKHRMYMGQRYVEVYEINNEDVDALMKSLQVKPSPVLSDGVVRLRGLPY SCNEKDIVDFFAGLNIVDITFVMDYRGRRKTGEAYVQFEEPEMANQALLKHREEIGNRYI EIFPSRRNEVRTHVGSH >ENSMUSP00000114732.1 pep:known chromosome:GRCm38:5:88671207:88675192:-1 gene:ENSMUSG00000044221.14 transcript:ENSMUST00000133532.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grsf1 description:G-rich RNA sequence binding factor 1 [Source:MGI Symbol;Acc:MGI:106479] MESEQDVQKALEKHRMYMGQRYVEVYEINNEDVDALMKSLQVKPSPVLSDGVVRLRG >ENSMUSP00000115589.1 pep:known chromosome:GRCm38:5:88672643:88675242:-1 gene:ENSMUSG00000044221.14 transcript:ENSMUST00000150438.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grsf1 description:G-rich RNA sequence binding factor 1 [Source:MGI Symbol;Acc:MGI:106479] MESEQDVQKAL >ENSMUSP00000042950.7 pep:known chromosome:GRCm38:19:55741820:55933252:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000041717.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTYLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPL ITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQ QGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQES SQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQI LGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGETNGEKK SAFATYKVKAAASAHPLQMEAY >ENSMUSP00000107281.1 pep:known chromosome:GRCm38:19:55741884:55925239:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTLHFQSGSTHYSAYKTIEHQIAIQYLQMKWPLLDVQAGSLQSRQTLKDARSPSP AHIVSNKVPVVQHPHHVHPLTPLITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDIS PYYPLSPGTVGQIPHPLGWQGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTL HTTGIPHPAIVTPTVKQESSQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEM RAKVVAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYG KKKKRKRDKQPGETNGERGESGRWRLEDHSYVRLPSGGGRRNPRPGHCGEPILGSLFCLC VF >ENSMUSP00000107286.3 pep:known chromosome:GRCm38:19:55741884:55932715:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111658.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTLHFQSGSTHYSAYKTIEHQIAIQYLQMKWPLLDVQAGSLQSRQTLKDARSPSP AHIVSNKVPVVQHPHHVHPLTPLITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDIS PYYPLSPGTVGQIPHPLGWQGQPVYPITTGGFRHPYPTALTVNASMSSFLSSRFPPHMVP PHHTLHTTGIPHPAIVTPTVKQESSQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFML YMKEMRAKVVAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSA RDNYEKKKVRSLHTR >ENSMUSP00000107287.2 pep:known chromosome:GRCm38:19:55742058:55932209:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111659.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTYLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPL ITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQ QGQPVYPITTGGFRHPYPTALTVNASMSSFLSSRFPPHMVPPHHTLHTTGIPHPAIVTPT VKQESSQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESA AINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGET NGEKKSAFATYKVKAAASAHPLQMEAY >ENSMUSP00000107279.1 pep:known chromosome:GRCm38:19:55742242:55912723:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTLHFQSGSTHYSAYKTIEHQIAIQYLQMKWPLLDVQAGSLQSRQTLKDARSPSP AHIVSNKVPVVQHPHHVHPLTPLITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDIS PYYPLSPGTVGQIPHPLGWQGQPVYPITTGGFRHPYPTALTVNASMSR >ENSMUSP00000107276.1 pep:known chromosome:GRCm38:19:55742243:55912722:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111649.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTYLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPL ITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQ QGQPVYPITTGGFRHPYPTALTVNASMSR >ENSMUSP00000107278.1 pep:known chromosome:GRCm38:19:55742243:55912723:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTYLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVQSPLPCCTQGHACPHFYTP SDFTVSTQVFRDTKSSHSLQKVGEPWYLESNKVPVVQHPHHVHPLTPLITYSNEHFTPGN PPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQQGQPVYPITTGG FRHPYPTALTVNASMSR >ENSMUSP00000107280.1 pep:known chromosome:GRCm38:19:55742269:55925236:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTYLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPL ITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQ QGQPVYPITTGGFRHPYPTALTVNASMSSFLSSRFPPHMVPPHHTLHTTGIPHPAIVTPT VKQESSQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESA AINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGET NGERGESGRWRLEDHSYVRLPSGGGRRNPRPGHCGEPILGSLFCLCVF >ENSMUSP00000107283.1 pep:known chromosome:GRCm38:19:55742332:55933654:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111656.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTYLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPL ITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQ QGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQES SQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQI LGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGETNEHSE CFLNPCLSLPPITDLSAPKKCRARFGLDQQNNWCGPCSL >ENSMUSP00000123428.1 pep:known chromosome:GRCm38:19:55742364:55933231:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000127233.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] XGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSSDSEAERR PPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGSLSPTART YLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPLITYSNEH FTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQQGQPVYP ITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQESSQSDVGS LHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRRWHA LSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGETNDLSAPKKCRAR FGLDQQNNWCGPCSL >ENSMUSP00000118661.1 pep:known chromosome:GRCm38:19:55742468:55932209:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000153888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] DVKSSLVNESETNQNSSSDSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPG YPFIMIPDLTSPYLPNGSLSPTARTLHFQSGSTHYSAYKTIEHQIAIQYLQMKWPLLDVQ AGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPLITYSNEHFTPGNPPPHLPA DVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQQGQPVYPITTGGFRHPYPT ALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQESSQSDVGSLHSSKHQDSKKE EEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRRWHALSREEQAKYYEL ARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGETNDLSAPKKCRARFGLDQQNNWCGP CRCKYAKEVSGTVRA >ENSMUSP00000118042.1 pep:known chromosome:GRCm38:19:55894534:55918966:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000142291.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MSNKVPVVQHPHHVHPLTPLITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYY PLSPGTVGQIPHPLGWLVPQQGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHT LHTTGIPHPAIVTPTVKQESSQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYM >ENSMUSP00000107273.1 pep:known chromosome:GRCm38:19:55895510:55933229:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111646.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MSNKVPVVQHPHHVHPLTPLITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYY PLSPGTVGQIPHPLGWLVPQQGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHT LHTTGIPHPAIVTPTVKQESSQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKE MRAKVVAECTLKESAAINQILGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNY GKKKKRKRDKQPGETNEHSECFLNPCLSLPPITDLSAPKKCRARFGLDQQNNWCGPCSL >ENSMUSP00000119759.1 pep:known chromosome:GRCm38:19:55898553:55919604:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000148666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MEDLSGKGGTVAPGVSLLQQLGAWHLNLNCWSNKVPVVQHPHHVHPLTPLITYSNEHFTP GNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQQGQPVYPITT GGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQESSQSDVGSLHS SKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQILGRRWHALSR EEQAKYYELARKERQLHMQLYPGWS >ENSMUSP00000107284.3 pep:known chromosome:GRCm38:19:55741810:55933654:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111657.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTYLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPL ITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQ QGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQES SQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQI LGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGETNEHSE CFLNPCLSLPPITDLSAPKKCRARFGLDQQNNWCGPCRRKKKCVRYIQGEGSCLSPPSSD GSLLDSPPPSPHLLGSPPQDAKSQTEQTQPLSLSLKPDPLAHLSMMPPPPALLLAEAAHG KASALCPNGALDLPPAALQPSMVPSSSLAQPSTSSLHSHNSLAGTQPQPLSLVTKSLE >ENSMUSP00000050081.9 pep:known chromosome:GRCm38:19:55741810:55933654:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000061496.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTYLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPL ITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQ QGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQES SQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQI LGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGETNEHSE CFLNPCLSLPPITGEKKSAFATYKVKAAASAHPLQMEAY >ENSMUSP00000107291.4 pep:known chromosome:GRCm38:19:55741820:55933229:1 gene:ENSMUSG00000024985.18 transcript:ENSMUST00000111662.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7l2 description:transcription factor 7 like 2, T cell specific, HMG box [Source:MGI Symbol;Acc:MGI:1202879] MPQLNGGGGDDLGANDELISFKDEGEQEEKNSENSSAERDLADVKSSLVNESETNQNSSS DSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGS LSPTARTYLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPL ITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWLVPQ QGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQES SQSDVGSLHSSKHQDSKKEEEKKKPHIKKPLNAFMLYMKEMRAKVVAECTLKESAAINQI LGRRWHALSREEQAKYYELARKERQLHMQLYPGWSARDNYGKKKKRKRDKQPGETNDLSA PKKCRARFGLDQQNNWCGPCRDANTPKKCRALFGLDRQTLWCKPCRRKKKCVRYIQGEGS CLSPPSSDGSLLDSPPPSPHLLGSPPQDAKSQTEQTQPLSLSLKPDPLAHLSMMPPPPAL LLAEAAHGKASALCPNGALDLPPAALQPSMVPSSSLAQPSTSSLHSHNSLAGTQPQPLSL VTKSLE >ENSMUSP00000130142.1 pep:known chromosome:GRCm38:3:30899371:30969401:-1 gene:ENSMUSG00000037652.15 transcript:ENSMUST00000168645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc3 description:polyhomeotic-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2181434] MDSEPSSGTSVSTTASSTTTTTITTSSSRMQQPQISVYSGSDRHAVQVIQQALHRPPSSA AQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRPSTSPTGSVTQQSS MSQTSILSASPAPAQLMNRSQTSSSTSGSITQQTMLLGSTSPTLTASQAQMYLRAQMLIF TPATTVAAVQSDIPVVSSSPSPSCQSAAAQVQNLTLRSQKLGVLSSSQNGSPKSAGQTQS LTICHNKTTVTSSKISQRDPSPESKKGGSPGLESRSTAVTRTSSIHQLIAPASYSPIQPH SLIKHQQIPLHSPPPKVSHHQLLLQQQQQQIQPITLQSPSQDPPPSQHCIPLPNHGLSPA PSNAQPQHCSPVQSHPPPLTVSPNQAQSAQQSVVVSPPPPHSPSQSPTIIIHPQALIQPH PLVSSALQTGPNLQQAAADQVQSTAQLNLPSHLPLPASPVVHIGPVQQSALVSPGQQMVS PTSHQQYSALQSSPIPIATPPQMSASPPAQLPPLPLQSMQSLQVQPEILSQGQVLVQNAL VSEEELPAAEALVQLPFQTLPPPQTVAVNLQVQPPAPVDPPVVYQVEDVCEEEMPEESDE CARMDRTPPPPTLSPAAVTVGRGEDLTSEHPLLEQVELPAVASVSASVIKSPSDPTHASA PAPPLLIPAASTRSSSTSLASSTPSLENKPPQAIVKPQILTHVIEGFVIQEGLEPFPVSR SSLLIEQPVKKRPLLDNQVVNSVCVQPELQNNTKHADNSSDTEIEDMMAEETLEEMDSEL LKCEFCGKMGYPNEFLRSKRFCTMSCAKRYNVSCSKKFALSRWNRKPDNQSLGHRGRRPS GPEGAAREHILRQLPITYPSAEEDVASHEDPVPSAMTTRLRRQSERERERELRDVRIRKM PENSDLLPVAQTEPSIWTVDDVWAFIHSLPGCQDVADEFRAQEIDGQALLLLKEDHLMSA MNMKLGPALKICARINSLKDS >ENSMUSP00000037862.5 pep:known chromosome:GRCm38:3:30899524:30969405:-1 gene:ENSMUSG00000037652.15 transcript:ENSMUST00000046624.11 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phc3 description:polyhomeotic-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2181434] MAEAEFKDHSTAMDSEPSSGTSVSTTASSTTTTTITTSSSRMQQPQISVYSGSDRHAVQV IQQALHRPPSSAAQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRPS TSPTGSVTQQSSMSQTSILSASPAPAQLMNRSQTSSSTSGSITQQTMLLGSTSPTLTASQ AQMYLRAQMLIFTPATTVAAVQSDIPVVSSSPSPSCQSAAAQVQNLTLRSQKLGVLSSSQ NGSPKSAGQTQSLTICHNKTTVTSSKISQRDPSPESKKGGSPGLESRSTAVTRTSSIHQL IAPASYSPIQPHSLIKHQQIPLHSPPPKVSHHQLLLQQQQQQIQPITLQSPSQDPPPSQH CIPLPNHGLSPAPSNAQPQHCSPVQSHPPPLTVSPNQAQSAQQSVVVSPPPPHSPSQSPT IIIHPQALIQPHPLVSSALQTGPNLQQAAADQVQSTAQLNLPSHLPLPASPVVHIGPVQQ SALVSPGQQMVSPTSHQQYSALQSSPIPIATPPQMSASPPAQLPPLPLQSMQSLQVQPEI LSQGQVLVQNALVSEEELPAAEALVQLPFQTLPPPQTVAVNLQVQPPAPVDPPVS >ENSMUSP00000103890.1 pep:known chromosome:GRCm38:3:30906784:30969405:-1 gene:ENSMUSG00000037652.15 transcript:ENSMUST00000108255.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc3 description:polyhomeotic-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2181434] MDSEPSSGTSVSTTASSTTTTTITTSSSRMQQPQISVYSGSDRHAVQVIQQALHRPPSSA AQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRPSTSPTGSVTQQSS MSQTSILSASPAPAQLMNRSQTSSSTSGSITQQTMLLGSTSPTLTASQAQMYLRAQMVQN LTLRSQKLGVLSSSQNGSPKSAGQTQSLTICHNKTTVTSSKISQRDPSPESKKGGSPGLE SRSTAVTRTSSIHQLIAPASYSPIQPHSLIKHQQIPLHSPPPKVSHHQLLLQQQQQQIQP ITLQSPSQDPPPSQHCIPLPNHGLSPAPSNAQPQHCSPVQSHPPPLTVSPNQAQSAQQSV VVSPPPPHSPSQSPTIIIHPQALIQPHPLVSSALQTGPNLQQAAADQVQSTAQLNLPSHL PLPASPVVHIGPVQQSALVSPGQQMVSPTSHQQYSALQSSPIPIATPPQMSASPPAQLPP LPLQSMQSLQVQPEILSQGQVLVQNALVSEEELPAAEALVQLPFQTLPPPQTVAVNLQVQ PPAPVDPPVVYQVEDVCEEEMPEESDECARMDRTPPPPTLSPAAVTVGRGEDLTSEHPLL EQVELPAVASVSASVIKSPSDPTHASAPAPPLLIPAASTRSSSTSLASSTPSLENKPPQA IVKPQILTHVIEGFVIQEGLEPFPVSRSSLLIEQPVKKRPLLDNQVVNSVCVQPELQNNT KHADNSSDTEIEDMMAEETLEEMDSELLKCEFCGKMGYPNEFLRSKRFCTMSCAKRYNVS CSKKFALSRWNRKPDNQSLGHRGRRPSGPEGAAREHILRQLPITYPSAEEDVASHEDPVP SAMTTRLRRQSERERERELRDVRIRKMPENSDLLPVAQTEPSIWTVDDVWAFIHSLPGCQ DVADEFRAQEIDGQALLLLKEDHLMSAMNMKLGPALKICARINSLKDS >ENSMUSP00000117614.1 pep:known chromosome:GRCm38:3:30906985:30969405:-1 gene:ENSMUSG00000037652.15 transcript:ENSMUST00000152357.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Phc3 description:polyhomeotic-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2181434] MAEAEFKDHSTAMDSEPSSGTSVSTTASSTTTTTITTSSSRMQQPQISVYSGSDRHAVQV IQQALHRPPSSAAQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRPS TSPTGSVTQQSSMSQTSILSASPAPAQLMNRSQTSSSTSGSITQQTMLLGSTSPTLTASQ AQMYLRAQMLIFTPATTVAAVQSDIPVVSSSPSPSCQSAAAQLHILQFSLIL >ENSMUSP00000065617.5 pep:known chromosome:GRCm38:3:30907311:30969399:-1 gene:ENSMUSG00000037652.15 transcript:ENSMUST00000064718.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc3 description:polyhomeotic-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2181434] MAEAEFKDHSTAMDSEPSSGTSVSTTASSTTTTTITTSSSRMQQPQISVYSGSDRHAVQV IQQALHRPPSSAAQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRPS TSPTGSVTQQSSMSQTSILSASPAPAQLMNRSQTSSSTSGSITQQTMLLGSTSPTLTASQ AQMYLRAQMLIFTPATTVAAVQSDIPVVSSSPSPSCQSAAAQVQNLTLRSQKLGVLSSSQ NGSPKSAGQTQSLTICHNKTTVTSSKISQRDPSPESKKGGSPGLESRSTAVTRTSSIHQL IAPASYSPIQPHSLIKHQQIPLHSPPPKVSHHQLLLQQQQQQIQPITLQSPSQDPPPSQH CIPLPNHGLSPAPSNAQPQHCSPVQSHPPPLTVSPNQAQSAQQSVVVSPPPPHSPSQSPT IIIHPQALIQPHPLVSSALQTGPNLQQMVSPTSHQQYSALQSSPIPIATPPQMSASPPAQ LPPLPLQSMQSLQVQPEILSQGQVLVQNALVSEEELPAAEALVQLPFQTLPPPQTVAVNL QVQPPAPVDPPVVYQVEDVCEEEMPEESDECARMDRTPPPPTLSPAAVTVGRGEDLTSEH PLLEQVELPAVASVSASVIKSPSDPTHASAPAPPLLIPAASTRSSSTSLASSTPSLENKP PQAIVKPQILTHVIEGFVIQEGLEPFPVSRSSLLIEQPVKKRPLLDNQVVNSVCVQPELQ NNTKHADNSSDTEIEDMMAEETLEEMDSELLKCEFCGKMGYPNEFLRSKRFCTMSCAKRY NVSCSKKFALSRWNRKPDNQSLGHRGRRPSGPEGAAREHILRQLPITYPSAEEDVASHED PVPSAMTTRLRRQSERERERELRDVRIRKMPENSDLLPVAQTEPSIWTVDDVWAFIHSLP GCQDVADEFRAQEIDGQALLLLKEDHLMSAMNMKLGPALKICARINSLKDS >ENSMUSP00000096767.3 pep:known chromosome:GRCm38:3:30929121:30969415:-1 gene:ENSMUSG00000037652.15 transcript:ENSMUST00000099163.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc3 description:polyhomeotic-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2181434] MAEAEFKDHSTAMDSEPSSGTSVSTTASSTTTTTITTSSSRMQQPQISVYSGSDRHAVQV IQQALHRPPSSAAQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRPS TSPTGSVTQQSSMSQTSILSASPAPAQLMNRSQTSSSTSGSITQQTMLLGSTSPTLTASQ AQMYLRAQMVQNLTLRSQKLGVLSSSQNGSPKSAGQTQSLTICHNKTTVTSSKISQRDPS PESKKGGSPGLESRSTAVTRTSSIHQLIAPASYSPIQPHSLIKHQQIPLHSPPPKVSHHQ LLLQQQQQQIQPITLQSPSQDPPPSQHCIPLPNHGLSPAPSNAQPQHCSPVQSHPPPLTV SPNQAQSAQQSVVVSPPPPHSPSQSPTIIIHPQALIQPHPLVSSALQTGPNLQQAAADQV QSTAQLNLPSHLPLPASPVVHIGPVQQSALVSPGQQMVSPTSHQQYSALQSSPIPIATPP QMSASPPAQLPPLPLQSMQSLQVQPEILSQGQVLVQNALVSEEELPAAEALVQLPFQTLP PPQTVAVNLQVQPPAPVDPPVVYQVEDVCEEEMPEESDECARMDRTPPPPTLSPAAVTVG RGEDLTSEHPLLEQVELPAVASVSASVIKSPSDPTHASAPAPPLLIPAASTRSSSTSLAS STPSLENKPPQAIVKPQILTHVIEGFVIQEGLEPFPVSQKLICVFKIIFSYTTLS >ENSMUSP00000136820.1 pep:known chromosome:GRCm38:3:30907311:30969399:-1 gene:ENSMUSG00000037652.15 transcript:ENSMUST00000177992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc3 description:polyhomeotic-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2181434] MDSEPSSGTSVSTTASSTTTTTITTSSSRMQQPQISVYSGSDRHAVQVIQQALHRPPSSA AQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRPSTSPTGSVTQQSS MSQTSILSASPAPAQLMNRSQTSSSTSGSITQQTMLLGSTSPTLTASQAQMYLRAQMVQN LTLRSQKLGVLSSSQNGSPKSAGQTQSLTICHNKTTVTSSKISQRDPSPESKKGGSPGLE SRSTAVTRTSSIHQLIAPASYSPIQPHSLIKHQQIPLHSPPPKVSHHQLLLQQQQQQIQP ITLQSPSQDPPPSQHCIPLPNHGLSPAPSNAQPQHCSPVQSHPPPLTVSPNQAQSAQQSV VVSPPPPHSPSQSPTIIIHPQALIQPHPLVSSALQTGPNLQQAAADQVQSTAQLNLPSHL PLPASPVVHIGPVQQSALVSPGQQMVSPTSHQQYSALQSSPIPIATPPQMSASPPAQLPP LPLQSMQSLQVQPEILSQGQVLVQNALVSEEELPAAEALVQLPFQTLPPPQTVAVNLQVQ PPAPVDPPVVYQVEDVCEEEMPEESDECARMDRTPPPPTLSPAAVTVGRGEDLTSEHPLL EQVELPAVASVSASVIKSPSDPTHASAPAPPLLIPAASTRSSSTSLASSTPSLENKPPQA IVKPQILTHVIEGFVIQEGLEPFPVSRSSLLIEQPVKKRPLLDNQVVNSVCVQPELQNNT KHADNSSDTEIEDMMAEETLEEMDSELLKCEFCGKMGYPNEFLRSKRFCTMSCAKRYNVS CSKKFALSRWNRKPDNQSLGHRGRRPSGPEGAAREHILRQLPITYPSAEEDVASHEDPVP SAMTTRLRRQSERERERELRDVRIRKMPENSDLLPVAQTEPSIWTVDDVWAFIHSLPGCQ DVADEFRAQEIDGQALLLLKEDHLMSAMNMKLGPALKICARINSLKDS >ENSMUSP00000114916.2 pep:known chromosome:GRCm38:3:30907311:30969399:-1 gene:ENSMUSG00000037652.15 transcript:ENSMUST00000129817.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phc3 description:polyhomeotic-like 3 (Drosophila) [Source:MGI Symbol;Acc:MGI:2181434] MDSEPSSGTSVSTTASSTTTTTITTSSSRMQQPQISVYSGSDRHAVQVIQQALHRPPSSA AQYLQQMYAAQQQHLMLHTAALQQQHLSSSQLQSLAAVQASLSSGRPSTSPTGSVTQQSS MSQTSILSASPAPAQLMNRSQTSSSTSGSITQQTMLLGSTSPTLTASQAQMYLRAQMLIF TPATTVAAVQSDIPVVSSSPSPSCQSAAAQVQNLTLRSQKLGVLSSSQNGSPKSAGQTQS LTICHNKTTVTSSKISQRDPSPESKKGGSPGLESRSTAVTRTSSIHQLIAPASYSPIQPH SLIKHQQIPLHSPPPKVSHHQLLLQQQQQQIQPITLQSPSQDPPPSQHCIPLPNHGLSPA PSNAQPQHCSPVQSHPPPLTVSPNQAQSAQQSVVVSPPPPHSPSQSPTIIIHPQALIQPH PLVSSALQTGPNLQQAAADQVQSTAQLNLPSHLPLPASPVVHIGPVQQSALVSPGQQMVS PTSHQQYSALQSSPIPIATPPQMSASPPAQLPPLPLQSMQSLQVQPEILSQGQVLVQNAL VSEEELPAAEALVQLPFQTLPPPQTVAVNLQVQPPAPVDPPVVYQVEDVCEEEMPEESDE CARMDRTPPPPTLSPAAVTVGRGEDLTSEHPLLEQVELPAVASVSASVIKSPSDPTHASA PAPPLLIPAASTRSSSTSLASSTPSLENKPPQAIVKPQILTHVIEGFVIQEGLEPFPVSR SSLLIEQPVKKRPLLDNQVVNSVCVQPELQNNTKHADNSSDTEIEDMMAEETLEEMDSEL LKCEFCGKMGYPNEFLRSKRFCTMSCAKRYNVSCSKKFALSRWNRKPDNQSLGHRGRRPS GPEGAAREHILRQLPITYPSAEEDVASHEDPVPSAMTTRLRRQSERERERELRDVRIRKM PENSDLLPVAQTEPSIWTVDDVWAFIHSLPGCQDVADEFRAQEIDGQALLLLKEDHLMSA MNMKLGPALKICARINSLKDS >ENSMUSP00000041828.7 pep:known chromosome:GRCm38:5:36017180:36398124:-1 gene:ENSMUSG00000029093.14 transcript:ENSMUST00000037370.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorcs2 description:sortilin-related VPS10 domain containing receptor 2 [Source:MGI Symbol;Acc:MGI:1932289] MAHRGPPSAPKRPGPTAPDRSFQALLPPCWPRSWPLLLLLLVLVAACGAMGRSPQPGRQG PGVQITRLLPAGRTESGDRKDPQARESEPSVPGLGPGSASGPSTDGAPAPGKGRRARAVP VAGAASASRAQVSLISTSFVLKGDATHNQAMVHWTGENSSVILILTKYYHADMGKVLESS LWRSSDFGTTYTKLTLQPGVTTVIDNFYICPANKRKIILVSSSLGDREQSLFLSTDEGAT FQKYPVPFLVEMLLFHPKEEDKVLAYTKDSKLYVSSDLGKKWTLLQERVTKDHVFWAVSG VDDDPNLVHVEAQDLSGGYRYYTCLIYNCSAQPHIAPFSGPIDRGSLTVQDEYIFLKATS TNRTKYYVSYRRSDFVLMKLPKYALPKDLQIISTDEQQVFVAVQEWNQVDTYNLYQSDLR GVRYSLVLENVRSSRQAEENVVIDILEVRGVKGVFLANQKVDGKVTTVITYNKGRDWDYL RPPSTDMNGKPTNCQPPDCYLHLHLRWADNPYVSGTVHTKDTAPGLIMGAGNLGSQLVEY KEEMYITSDCGHTWRQVFEEEHHVLYLDHGGVIAAIKDTSIPLKILKFSVDEGHTWSTHN FTSTSVFVDGLLSEPGDETLVMTVFGHISFRSDWELVKVDFRPSFPRQCGEDDYSSWDLT DLQGDHCIMGQQRSYRKRKSTSWCVKGRSFTSALTSRVCKCRDSDFLCDYGFERSSSSES TANKCSANFWFNPLSPPEDCVLGQTYTSSLGYRKVVSNVCEGGVDLQQSPVQLQCPLQAP RGLQVSIRGEAVAVRPREDVLFVVRQEQGDVLTTKYQVDLGDGFKAMYVNLTLTGEPIRH HYESPGIYRVSVRAENMAGHDEAVLFVQVNSPLQALYLEVVPVIGVNQEVNLTAVLLPLN PNLTVFYWWIGHSLQPLLSLDNSVTTKFTDAGDVRVTVQAACGNSVLQDSRLVRVLDQFQ VVPLRFSRELDTFNPNTPEWREDVGLVVTRLLSKETSIPEELLVTVVKPGLPTIADLYVL LPLPRPTRKRSLTSDKRLAAVQQALNSHRISFILRGGLRILVELRDTDTGPQRPGGSGGY WAVVVLFVIGLFAVGAFILYKFKRKRPGRTVYAQMHNEKEQEMTSPVSHSEDAQSTMQGN HSGVVLSINSREMHSYLVG >ENSMUSP00000123543.1 pep:known chromosome:GRCm38:5:36065376:36268479:-1 gene:ENSMUSG00000029093.14 transcript:ENSMUST00000135324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorcs2 description:sortilin-related VPS10 domain containing receptor 2 [Source:MGI Symbol;Acc:MGI:1932289] MGKVLESSLWRSSDFGTTYTKLTLQPGVTTVIDNFYICPANKRKIILVSSSLGDREQSLF LSTDEGATFQKYPVPFLVEMLLFHPKEEDKVLAYTKDSKLYVSSDLGKKWTLLQERVTKD HVFWAVSGVDDDPNLVHVEAQDLSGGYRYYTCLIYNCSAQPHIAPFSGPIDRG >ENSMUSP00000065292.6 pep:known chromosome:GRCm38:5:36150203:36398139:-1 gene:ENSMUSG00000029093.14 transcript:ENSMUST00000070720.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sorcs2 description:sortilin-related VPS10 domain containing receptor 2 [Source:MGI Symbol;Acc:MGI:1932289] MAHRGPPSAPKRPGPTAPDRSFQALLPPCWPRSWPLLLLLLVLVAACGAMGRSPQPGRQG PGVQITRLLPAGRTESGDRKDPQARESEPSVPGLGPGSASGPSTDGAPAPGKGRRARAVP VAGAASASRAQVSLISTSFVLKGDATHNQAMVHWTGENSSVILILTKYYHADMGKVLESS LWRSSDFGTTYTKLTLQPGVTTVIDNFYICPANKRKGRKLKGKVRVVPSHTS >ENSMUSP00000074394.6 pep:known chromosome:GRCm38:5:33658128:33672201:1 gene:ENSMUSG00000037313.16 transcript:ENSMUST00000074849.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc3 description:transforming, acidic coiled-coil containing protein 3 [Source:MGI Symbol;Acc:MGI:1341163] MSLHVLNDENVPNEKSSQCRDFQFLPPELTGRSSVLCLSQKENVPPQSQAKATNVTFQTP PRDPQTHRILSPNMTNKREAPFGLQNDHCVFLQKENQRPLAPVDDAPVVQMAAEILRAEG ELQEGILTSSSLSASTSLLDSELVTPPIEPVLEPSHQGLEPVLESELVTPPVEPVLEPSH QELEPVLESELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPVLEPSHQGLEPVLESELV TPPIEPVLEPSHQGLEPVLDSELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPLLEPSH QGLEPVVDLKEESFRDPSEVLGTGAEVDYLEQFGTSSSHLSNSQFKESAWRKQSLYVKFD PLLKDSPLRPMPVAPITNSTQDTEEESGSGKPTEAELVNLDFLGDLDVPVSAPPLCVLEP RGLLPAEPIVDVLKYSQKDLDAVVNVMQQENLELKSKYEDLNTKYLEMGKSVDEFEKIAY KSLEEAEKQRELKEIAEDKIQKVLKERDQLNADLNSMEKSFSDLFKRFEKRKEVIEGYQK NEESLKKYVGECIVKIEKEGQRYQALKIHAEEKLRLANEEIAQVHSKAQAEVLALQASLR KAQMQNHSLEMTLEQKTKEIDELTRICDDLISKMEKI >ENSMUSP00000078491.4 pep:known chromosome:GRCm38:5:33658128:33678995:1 gene:ENSMUSG00000037313.16 transcript:ENSMUST00000079534.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc3 description:transforming, acidic coiled-coil containing protein 3 [Source:MGI Symbol;Acc:MGI:1341163] MSLHVLNDENVPNEKSSQCRDFQFLPPELTGRSSVLCLSQKENVPPQSQAKATNVTFQTP PRDPQTHRILSPNMTNKREAPFGLQNDHCVFLQKENQRPLAPVDDAPVVQMAAEILRAEG ELQEGILTSSSLSASTSLLDSELVTPPIEPVLEPSHQGLEPVLESELVTPPVEPVLEPSH QELEPVLESELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPVLEPSHQGLEPVLESELV TPPIEPVLEPSHQGLEPVLDSELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPLLEPSH QGLEPVVDLKEESFRDPSEVLGTGAEVDYLEQFGTSSFKESAWRKQSLYVKFDPLLKDSP LRPMPVAPITNSTQDTEEESGSGKPTEAELVNLDFLGDLDVPVSAPPLCVLEPRGLLPAE PIVDVLKYSQKDLDAVVNVMQQENLELKSKYEDLNTKYLEMGKSVDEFEKIAYKSLEEAE KQRELKEIAEDKIQKVLKERDQLNADLNSMEKSFSDLFKRFEKRKEVIEGYQKNEESLKK YVGECIVKIEKEGQRYQALKIHAEEKLRLANEEIAQVHSKAQAEVLALQASLRKAQMQNH SLEMTLEQKTKEIDELTRICDDLISKMEKI >ENSMUSP00000144567.1 pep:known chromosome:GRCm38:5:33658147:33664845:1 gene:ENSMUSG00000037313.16 transcript:ENSMUST00000201633.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc3 description:transforming, acidic coiled-coil containing protein 3 [Source:MGI Symbol;Acc:MGI:1341163] MAAEILRAEGELQEGILTSSSLSASTSLLDSELVTPPIEPVLEPSHQGLEPVLESELVTP PVEPVLEPSHQELEPVLESELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPVLEPSHQG LEPVLESELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPVLEPSHQGLEPVLDSELVTP PIEPLLEPSHQGLEPVVDLKEESFRD >ENSMUSP00000119560.1 pep:known chromosome:GRCm38:5:33658554:33664283:1 gene:ENSMUSG00000037313.16 transcript:ENSMUST00000152847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc3 description:transforming, acidic coiled-coil containing protein 3 [Source:MGI Symbol;Acc:MGI:1341163] MTNKREAPFGLQNDHCVFLQKENQRPLAPVDDAPVVQMAAEILRAEGELQEGILTS >ENSMUSP00000110069.3 pep:known chromosome:GRCm38:5:33658586:33672198:1 gene:ENSMUSG00000037313.16 transcript:ENSMUST00000114426.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc3 description:transforming, acidic coiled-coil containing protein 3 [Source:MGI Symbol;Acc:MGI:1341163] MSLHVLNDENVPNEKSSQCRDFQFLPPELTGRSSVLCLSQKENVPPQSQAKATNVTFQTP PRDPQTHRILSPNMTNKREAPFGLQNDHCVFLQKENQRPLAPVDDAPVVQMAAEILRAEG ELQEGILTSSSLSASTSLLDSELVTPPIEPVLEPSHQGLEPVLESELVTPPVEPVLEPSH QELEPVLESELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPVLEPSHQGLEPVLESELV TPPIEPVLEPSHQGLEPVLDSELVTPPIEPVLEPSHQGLEPVLDSELVTPPIEPLLEPSH QGLEPVVDLKEESFRDPSEVLGTGAEVDYLEQFGTSSFKESAWRKQSLYVKFDPLLKDSP LRPMPVAPITNSTQDTEEESGSGKPTEAELVNLDFLGDLDVPVSAPPLCVLEPRGLLPAE PIVDVLKYSQKDLDAVVNVMQQENLELKSKYEDLNTKYLEMGKSVDEFEKIAYKSLEEAE KQRELKEIAEDKIQKVLKERDQLNADLNSMEKSFSDLFKRFEKRKEVIEGYQKNEESLKK YVGECIVKIEKEGQRYQALKIHAEEKLRLANEEIAQVHSKAQAEVLALQASLRKAQMQNH SLEMTLEQKTKEIDELTRICDDLISKMEKI >ENSMUSP00000115481.2 pep:known chromosome:GRCm38:5:33668661:33672201:1 gene:ENSMUSG00000037313.16 transcript:ENSMUST00000138240.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc3 description:transforming, acidic coiled-coil containing protein 3 [Source:MGI Symbol;Acc:MGI:1341163] XVNVMQQENLELKSKYEDLNTKYLEMGKSVDEFEKIAYKSLEEAEKQRELKEIAEDKIQK VLKERDQLNADLNSMEKSFSDLFKRFEKRKEVIEGYQKNEESLKKYVGECIVKIEKEGQR YQALKIHAEEKLRLQA >ENSMUSP00000117407.1 pep:known chromosome:GRCm38:5:33668724:33678985:1 gene:ENSMUSG00000037313.16 transcript:ENSMUST00000139888.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tacc3 description:transforming, acidic coiled-coil containing protein 3 [Source:MGI Symbol;Acc:MGI:1341163] XYLEMGKSVDEFEKIAYKSLEEAEKQRELKEIAEDKIQKVLKERDQLNADLNSMEKSFSD LFKRFEKRKEVIEGYQKNEESLKKYVGECIVKIEKEGQRYQALKIHAEEKLRLANEEIAQ VHSKAQAEVLALQASLRKAQMQNHSLEMTLEQKVMFLL >ENSMUSP00000084788.3 pep:known chromosome:GRCm38:5:38260185:38269634:1 gene:ENSMUSG00000067365.10 transcript:ENSMUST00000087511.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem128 description:transmembrane protein 128 [Source:MGI Symbol;Acc:MGI:1913559] MDAPWAREQLRRRYLFPSEVELDCEDEAKPETPTAVEKKERPLPRLNIHSGFWILASIVV TYYVDFFKTFKENFHTNSWFLFGGALLFVSLSIAFYCIVYLEWYRGIEEYDVKYPTLVPI TTATFIAAGICFNLALWNVWSFFTPLLLFTQFMGVVMFISLLG >ENSMUSP00000113758.1 pep:known chromosome:GRCm38:5:38260384:38267907:1 gene:ENSMUSG00000067365.10 transcript:ENSMUST00000119047.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem128 description:transmembrane protein 128 [Source:MGI Symbol;Acc:MGI:1913559] MDAPWAREQLRRRYLFPSEVELDCEDEAKPETPTAVEKKERPLPRLNIHSGFWILASIVV TYYVDFFKTFKENFHTNSWFLFGGALLFVSLSIAFYCIVYLEWYRGIEEYDVKYPTLVPI TTATFIAAGICFNLALWNVWSFFTPLLLFTQFMGVVMFISLLG >ENSMUSP00000117712.1 pep:known chromosome:GRCm38:5:38264897:38267904:1 gene:ENSMUSG00000067365.10 transcript:ENSMUST00000142277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem128 description:transmembrane protein 128 [Source:MGI Symbol;Acc:MGI:1913559] IEEYDVKYPTLVPITTATFIAAGICFACSWTLATVSFNLALWNVWSFFTPLLLFTQFMGV VMFISLLG >ENSMUSP00000123591.1 pep:known chromosome:GRCm38:4:3549041:3574809:-1 gene:ENSMUSG00000028232.13 transcript:ENSMUST00000154922.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem68 description:transmembrane protein 68 [Source:MGI Symbol;Acc:MGI:1919348] MIDNNQTCAAGQDSVPYVTCMIYVLEEWLGVEQLEDYLNFANHLLWVFTPLILLILPYFT IFLLYLTIIFLHIYKRKNVLKEAYSHNLWDGARKTVATLWDGHAAVWHGYEVHGMEKIPE GAALIIFYHGAIPIDFYYFMAKIFIQKGRTCRVVADHFVFKIPVETSQES >ENSMUSP00000029891.5 pep:known chromosome:GRCm38:4:3549041:3574853:-1 gene:ENSMUSG00000028232.13 transcript:ENSMUST00000029891.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem68 description:transmembrane protein 68 [Source:MGI Symbol;Acc:MGI:1919348] MIDNNQTCAAGQDSVPYVTCMIYVLEEWLGVEQLEDYLNFANHLLWVFTPLILLILPYFT IFLLYLTIIFLHIYKRKNVLKEAYSHNLWDGARKTVATLWDGHAAVWHGYEVHGMEKIPE GAALIIFYHGAIPIDFYYFMAKIFIQKGRTCRVVADHFVFKIPGFSLLLDVFCALHGPRE KCVEILRSGHLLAISPGGVREALLSDETYNIIWGNRKGFAQVAIDAKVPIIPMFTQNIRE GFRSLGGTRLFKWLYEKFRYPFAPMYGGFPVKLRTFLGDPIPYDPKVTAEELAEKTKNAV QALIDKHQRIPGNIRSALLDRFHKEQKAH >ENSMUSP00000121921.1 pep:known chromosome:GRCm38:4:3569537:3574527:-1 gene:ENSMUSG00000028232.13 transcript:ENSMUST00000134451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem68 description:transmembrane protein 68 [Source:MGI Symbol;Acc:MGI:1919348] MIDNNQTCAAGQDSVPYVTCMIYVLEEWLGVEQLEDYLNFANHLLWVFTPL >ENSMUSP00000146105.1 pep:known chromosome:GRCm38:7:24546289:24573435:1 gene:ENSMUSG00000051768.9 transcript:ENSMUST00000205573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc1 description:X-ray repair complementing defective repair in Chinese hamster cells 1 [Source:MGI Symbol;Acc:MGI:99137] MPEISLRHVVSCSSQDSTHCAENLLKADTYRKWRAAKAGEKTISVVLQLEKEEQIHSVDI GNDGSAFVEVLVGSSAGGATAGEQDYEVLLVTSSFMSPSESRSGSNPNRVRIFGPDKLVR AAAEKRWDRVKIVCSQPYSKDSPYGLSFVKFHSPPDKDEAEATSQKVTVTKLGQFRVKEE DDSANSLKPGALFFSRINKTSSASTSDPAGPSYAAATLQASSAASSASPVPKVVGSSSKP QEPPKGKRKLDLSLEDRKPPSKPSAGPSTLKRPKLSVPSRTPAAAPASTPAQRAVPGKPR GEGTEPRGARTGPQELGKILQGVVVVLSGFQNPFRSELRDKALELGAKYRPDWTPDSTHL ICAFANTPKYSQVLGLGGRIVRKEWVLDCHHMRRRLPSRRYLMAGLGSSSEDEGDSHSES GEDEAPKLPQKRPQPKAKTQAAGPSSPPRPPTPKETKAPSPGPQDNSDTEGEESEGRDNG AEDSGDTEDELRRVAKQREQRQPPAPEENGEDPYAGSTDENTDSETPSEADLPIPELPDF FEGKHFFLYGEFPGDERRRLIRYVTAFNGELEDYMNERVQFVITAQEWDPNFEEALMENP SLAFVRPRWIYSCNEKQKLLPHQLYGVVPQA >ENSMUSP00000070995.8 pep:known chromosome:GRCm38:7:24547150:24573438:1 gene:ENSMUSG00000051768.9 transcript:ENSMUST00000063249.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc1 description:X-ray repair complementing defective repair in Chinese hamster cells 1 [Source:MGI Symbol;Acc:MGI:99137] MPEISLRHVVSCSSQDSTHCAENLLKADTYRKWRAAKAGEKTISVVLQLEKEEQIHSVDI GNDGSAFVEVLVGSSAGGATAGEQDYEVLLVTSSFMSPSESRSGSNPNRVRIFGPDKLVR AAAEKRWDRVKIVCSQPYSKDSPYGLSFVKFHSPPDKDEAEATSQKVTVTKLGQFRVKEE DDSANSLKPGALFFSRINKTSSASTSDPAGPSYAAATLQASSAASSASPVPKVVGSSSKP QEPPKGKRKLDLSLEDRKPPSKPSAGPSTLKRPKLSVPSRTPAAAPASTPAQRAVPGKPR GEGTEPRGARTGPQELGKILQGVVVVLSGFQNPFRSELRDKALELGAKYRPDWTPDSTHL ICAFANTPKYSQVLGLGGRIVRKEWVLDCHHMRRRLPSRRYLMAGLGSSSEDEGDSHSES GEDEAPKLPQKRPQPKAKTQAAGPSSPPRPPTPKETKAPSPGPQDNSDTEGEESEGRDNG AEDSGDTEDELRRVAKQREQRQPPAPEENGEDPYAGSTDENTDSETPSEADLPIPELPDF FEGKHFFLYGEFPGDERRRLIRYVTAFNGELEDYMNERVQFVITAQEWDPNFEEALMENP SLAFVRPRWIYSCNEKQKLLPHQLYGVVPQA >ENSMUSP00000097114.3 pep:known chromosome:GRCm38:19:32122727:32388512:-1 gene:ENSMUSG00000040451.17 transcript:ENSMUST00000099514.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgms1 description:sphingomyelin synthase 1 [Source:MGI Symbol;Acc:MGI:2444110] MLSARTMKEVVYWSPKKVADWLLENAMPEYCEPLEHFTGQDLINLTQEDFKKPPLYRVSS DNGQRLLDMIETLKMEHHMEAHKNGHANGHLSIGVDIPNPDGSFSIKTKPNGMPNGFRKE MIKIPMPEPERSQYPMEWGKTFLAFLYALSCFVLTTVMISVVHERVPPKEVQPPLPDTFF DHFNRVQWAFSICEINGMILVGLWLFQWLLLKYKSIISRRFFCIVGTLYLYRCITMYVTT LPVPGMHFNCSPKLFGDWEAQVRRIMKLIAGGGLSITGSHNMCGDYLYSGHTVMLTLTYL FIKEYSPRRLWWYHWICWLLSVVGIFCILLAHDHYTVDVVVAYYITTRLFWWYHTMANQQ VLKEASQMNLLARVWWYRPFQYFEKNVQGIVPRSYHWPFPWPVVHLSRQVKYSRLVNDT >ENSMUSP00000117336.1 pep:known chromosome:GRCm38:19:32122730:32349316:-1 gene:ENSMUSG00000040451.17 transcript:ENSMUST00000142618.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgms1 description:sphingomyelin synthase 1 [Source:MGI Symbol;Acc:MGI:2444110] MLSARTMKEVVYWSPKKVADWLLENAMPEYCEPLEHFTGQDLINLTQEDFKKPPLYRVSS DNGQRLLDMIETLKMEHHMEAHKNGHANGHLSIGVDIPNPDGSFSIKTKPNGMPNGFRKE MIKIPMPEPERSQYPMEWGKTFLAFLYALSCFVLTTVMISVVHERVPPKEVQPPLPDTFF DHFNRVQWAFSICEINGMILVGLWLFQWLLLKYKSIISRRFFCIVGTLYLYRCITMYVTT LPVPGMHFNCSPKLFGDWEAQVRRIMKLIAGGGLSITGSHNMCGDYLYSGHTVMLTLTYL FIKEYSPRRLWWYHWICWLLSVVGIFCILLAHDHYTVDVVVAYYITTRLFWWYHTMANQQ VLKEASQMNLLARVWWYRPFQYFEKNVQGIVPRSYHWPFPWPVVHLSRQVKYSRLVNDT >ENSMUSP00000119869.1 pep:known chromosome:GRCm38:19:32124145:32276952:-1 gene:ENSMUSG00000040451.17 transcript:ENSMUST00000152340.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgms1 description:sphingomyelin synthase 1 [Source:MGI Symbol;Acc:MGI:2444110] MLSARTMKEVVYWSPKKVADWLLENAMPEYCEPLEHFTGQDLINLTQEDFKKPPLYRVSS DNGQRLLDMIETLKMEHHMEAHKNGHANGHLSIGVDIPNPDGSFSIKTKPNGMPNGFRKE MIKIPMPEPERSQYPMEWGKTFLAFLYALSCFVLTTVMISVVHERVPPKEVQPPLPDTFF DHFNRVQWAFSICEINGMILVGLWLFQWLLLKYKLSP >ENSMUSP00000123395.1 pep:known chromosome:GRCm38:19:32123479:32210990:-1 gene:ENSMUSG00000040451.17 transcript:ENSMUST00000151289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgms1 description:sphingomyelin synthase 1 [Source:MGI Symbol;Acc:MGI:2444110] MLSARTMKEVVYWSPKKVADWLLENAMPEYCEPLEHFTGQDLINLTQEDFKKPPLYRVSS DNGQRLLDMIETLKMEHHMEAHKNGHANGHLSIGVDIPNPDGSFSIKTKPNGMPNGFRKE MIKIPMPEPERSQYPMEWGKTFLAFLYALSCFVLTTVMISVVHERVPPKEVQPPLPDTFF DHFNRVQWAFSICEINGMILVGLWLFQWLLLKYKSIISRRFFCIVGTLYLYRCITMYVTT LPVPGMHFNCSPKLFGDWEAQVRRIMKLIAGGGLSITGSHNMCGDYLYSGHTVMLTLTYL FIKEYSPRRLWWYHWICWLLSVVGIFCILLAHDHYTVDVVVAYYITTRLFWWYHTMANQQ VLKEASQMNLLARVWWYRPFQYFEKNVQGIVPRSYHWPFPWPVVHLSRQVKYSRLVNDT >ENSMUSP00000115785.1 pep:known chromosome:GRCm38:19:32124147:32160223:-1 gene:ENSMUSG00000040451.17 transcript:ENSMUST00000134415.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgms1 description:sphingomyelin synthase 1 [Source:MGI Symbol;Acc:MGI:2444110] MLSARTMKEVVYWSPKKVADWLLENAMPEYCEPLEHFTGQDLINLTQEDFKKPPLYRVSS DNGQRLLDMIETLKMEHHMEAHKNGHANGHLSIGVDIPNPDGSFSIKTKPNGMPNGFRKE MIKIPMPEPERSQYPMEWGKTFLAFLYALSCFVLTTVMISVVHERVPPKEVQPPLPDTFF DHFNRVQWAFSICEINGMILVGLWLFQWLLLKYKIGLSSH >ENSMUSP00000114683.1 pep:known chromosome:GRCm38:19:32160061:32196428:-1 gene:ENSMUSG00000040451.17 transcript:ENSMUST00000151822.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgms1 description:sphingomyelin synthase 1 [Source:MGI Symbol;Acc:MGI:2444110] MLSARTMKEVVYWSPKKVADWLLENAMPEYCEPL >ENSMUSP00000024599.7 pep:known chromosome:GRCm38:17:12682406:12769664:-1 gene:ENSMUSG00000023830.13 transcript:ENSMUST00000024599.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2r description:insulin-like growth factor 2 receptor [Source:MGI Symbol;Acc:MGI:96435] MRAVQLGPVPSGPRVALLPPLLLLLLLAAAGSAQAQAVDLDALCSYTWEAVDSKNNAVYK INVCGNVGISSCGPTSAICMCDLKTENCRSVGDSLLRSSARSLLEFNTTMGCQPSDSQHR IQTSITFLCGKTLGTPEFVTATDCVHYFEWRTTAACKKDIFKADKEVPCYAFDDKLQKHD LNPLIKLNGGYLVDDSDPDTSLFINVCRDIDSLRDPSTQLRVCPAGTAACLLKGNQAFDV GRPKEGLKLLSKDRLVLTYVKEEGEKPDFCNGHSPAVTVTFVCPSERREGTIPKLTAKSN CRYEVEWITEYACHRDYLQSESCSLSSEQHDITIDLSPLAQYGGSPYVSDGREYTFFINV CGDTKVSLCNNKEAAVCQEKKADSTQVKIAGRHQNQTLRYSDGDLTLIYSGGDECSSGFQ RMSVINFECNKTAGKDGRGEPVFTGEVDCTYFFTWDTKYACIKEKEDLLCGAINGKKRYD LSVLARHSESEQNWEAVDGSQAESEKYFFINVCHRVLQEGKARNCPEDAAVCAVDKNGSK NLGKFVSSPTKEKGHIQLSYTDGDDCGSDKKISTNITLVCKPGDLESAPVLRAARSDGCF YEFEWHTAAACVLSKTEGENCTVLDAQAGFSFDLSLLTKKNGAYKVETEKYDFYINVCGP VSMDPCQSNSGACQVAKSGKSWNLGLSSTKLTYYDGMIQLSYRNGTPYNNEKHTPRATLI TFLCDRDAGVGFPEYQEEDNSTYNFRWYTSYACPEEPLECMVTDPSMMEQYDLSSLVKSE GGSGGNWYAMENSREHVTRRKYYLNVCRPLNPVPGCDRYASACQMKYENHEGSLAETVSI SNLGVAKIGPVVEESGSLLLEYVNGSACTTSDGQLTTYSTRIHLVCGRGFMNSHPIFTFN WECVVSFLWNTEAACPIQTITETDQACSIRDPSSGFVFNLSPLNDSAQGHVVLGIGKTFV FNICGAMPACGTVAGKPAYGCEAETQIEDIKDLRPQRPVGMERSLQLSAEGFLTLTYKGS SPSDRGTAFIIRFICNDDIYPGAPKFLHQDIDSTRGIRNTYFEFETALACTPSLVDCQVT DPAGNEYDLSALSMVRKPWTAVDTSAYGKRRHFYLSVCNPLPYIPGCHGIALGSCMVSED NSFNLGVVQISPQATGNGSLSILYVNGDRCGDQRFSTRIVFECAQTSGSPMFQFVNNCEY VFVWRTVEACPVIREEGDNCQVKDPRHGNLYDLKPLGLNDTIVSVGEYTYYLRVCGKLSS DVCSAHDGSKAVSSCQEKKGPQGFQKVAGLLSQKLTFENGLLKMNYTGGDTCHKVYQRST TIYFYCDRTTQKPVFLKETSDCSYMFEWRTQYACPPFNVTECSVQDAAGNSIDLSSLSRY SDNWEAVTRTGATEHYLINVCKSLSPHAGTEPCPPEAAVCLLNGSKPVNLGKVRDGPQWT DGVTVLQYVDGDLCPDKIRRRSTIIRFTCSDNQVNSRPLFISAVQDCEYTFSWPTPSACP VKSNTHDDCQVTNPSTGHLFDLSSLSGRAGINASYSEKGLVFMSICEENENCGPGVGACF GQTRISVGKASKRLSYKDQVLQLVYENGSPCPSLSDLRYKSVISFVCRPEAGPTNRPMLI SLDKQTCTLFFSWHTPLACEQATECTVRNGSSIIDLSPLIHRTGGYEAYDESEDDTSDTT PDFYINICQPLNPMHGVPCPAGASVCKVPVDGPPIDIGRVTGPPIFNPVANEVYLNFESS THCLADRYMNYTSLITFHCKRGVSMGTPKLIRTNDCDFVFEWETPIVCPDEVKTQGCAVT DEQLLYSFNLTSLSTSTFKVTRDARTYSIGVCTAAAGLGQEGCKDGGVCLLSGNKGASFG RLASMQLDYRHQDEAVILSYVNGDPCPPETDDGEPCVFPFIYKGKSYDECVLEGRAKLWC SKTANYDRDHEWGFCRQTNSYRMSAIIFTCDESEDIGRPQVFSEDRGCEVTFEWKTKVVC PPKKMECKFVQKHKTYDLRLLSSLTGSWDFVHEGNSYFINLCQRVYKGPLDCSERASICK KSATGQVQVLGLVHTQKLEVIDETVIVTYSKGYPCGGNKTASSVIELTCAKTVGRPAFKR FDSVSCTYYFYWYSRAACAVRPQEVTMVNGTLTNPVTGKSFSLGEIYFKLFSASGDMRTN GDNYLYEIQLSSITSSSYPACAGANICQVKPNDQHFSRKVGTSDMTKYYVQDGDLDVVFT SSSKCGKDKTKSVSSTIFFHCDPLVKDGIPEFSHETADCQYLFSWYTSAVCPLGVDFEDE SAGPEYKGLSERSQAVGAVLSLLLVALTGCLLALLLHKKERRETVINKLTSCCRRSSGVS YKYSKVSKEEETDENETEWLMEEIQVPAPRLGKDGQENGHITTKAVKAEALSSLHGDDQD SEDEVLTVPEVKVHSGRGAEVESSQPLRNPQRKVLKEREGERLGLVRGEKARKGKFRPGQ RKPTAPAKLVSFHDDSDEDLLHI >ENSMUSP00000124679.1 pep:known chromosome:GRCm38:17:12748653:12751412:-1 gene:ENSMUSG00000023830.13 transcript:ENSMUST00000162982.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2r description:insulin-like growth factor 2 receptor [Source:MGI Symbol;Acc:MGI:96435] MRLWRAGAYGGLPVSRYTWEAVDSKNNAVYKINVCGNVGISSCGPTSAICMCDLKTENC >ENSMUSP00000124094.1 pep:known chromosome:GRCm38:17:12748653:12750800:-1 gene:ENSMUSG00000023830.13 transcript:ENSMUST00000159127.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igf2r description:insulin-like growth factor 2 receptor [Source:MGI Symbol;Acc:MGI:96435] MCDLKTENC >ENSMUSP00000124664.1 pep:known chromosome:GRCm38:17:12698527:12701279:-1 gene:ENSMUSG00000023830.13 transcript:ENSMUST00000161738.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Igf2r description:insulin-like growth factor 2 receptor [Source:MGI Symbol;Acc:MGI:96435] XATEHYLINVCKSLSPHAGTEPCPPEAAVCLLNGSKPVNLGKVRDGPQWTDGVTVLQYVD GDLCPDKIRRRSTIIRFTCSDNQVNSRPLFISAVQDCEYTFSWPTPSACPVKSNTHDDCQ VTNPSTEPTGQPPEDFCPSALLSIKRNVGGRLLTIGEMRQANDDSDSGCWGTQRTETVVQ >ENSMUSP00000100594.1 pep:known chromosome:GRCm38:5:36204021:36206568:1 gene:ENSMUSG00000043430.5 transcript:ENSMUST00000052224.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psapl1 description:prosaposin-like 1 [Source:MGI Symbol;Acc:MGI:1924193] MGTMLCALILWSGLLGAARASPISVPRECAKGSEVWCQDLQAAAKCRAVRHCQSAVWNKP TVKSLPCSVCQDVAAAAGNGVNPGATESDILTSVMKTCEWLPSQESSAKCKWMVNNHSAA VLSMLSGAQETDLASVCTALTLCEPLQRHLAETTSERPLTQEDANEVMAPFLSNGALSFH PSQMPEGAVCHDCVQLISLLQDALESNLTLAEVTVQNQCQSMGPGLAALCENYIHRQFVP AKQTLQGLPPQEVCRKGGFCERESAHWLTRVAAVDGVPSLEMEMPRTNELQMQLGLTCDV CLNLVQELDKWLVTNSTEALISHTLERVCTVVPEPLVQQCITLVDTYSPELVQLMSKVTP EKVCETIKLCGSKRRARSISRAVATTPSLPVDEENQGSFCQGCKRLLGMSSQNLDHKSTK RDILNAFKGGCRILPLPYVMQCNRFVAEYEPVLIESLKFMMNPTDLCKKMGACHGPKTPL LGTDQCVMGPSFWCKSPEAAEMCNALEHCQRLVWKKPVSKINEQP >ENSMUSP00000128543.1 pep:known chromosome:GRCm38:19:10914287:10916298:-1 gene:ENSMUSG00000024734.8 transcript:ENSMUST00000168708.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zp1 description:zona pellucida glycoprotein 1 [Source:MGI Symbol;Acc:MGI:103073] VAADREALPFWSHYQRFTITTFMLLDSSSQNALRGQVYFFCSASACHPLGSDTCSTTCDS GIAIFLLGRASTILWSPQHHSPGPGYCKLSRGSGL >ENSMUSP00000025641.1 pep:known chromosome:GRCm38:19:10914289:10920632:-1 gene:ENSMUSG00000024734.8 transcript:ENSMUST00000025641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zp1 description:zona pellucida glycoprotein 1 [Source:MGI Symbol;Acc:MGI:103073] MAWGCFVVLLLLAAAPLRLGQRLHLEPGFEYSYDCGVRGMQLLVFPRPNQTVQFKVLDEF GNRFEVNNCSICYHWVTSEAQEHTVFSADYKGCHVLEKDGRFHLRVFIQAVLPNGRVDIA QDVTLICPKPDHTVTPDPYLAPPTTPEPFTPHAFALHPIPDHTLAGSGHTGLTTLYPEQS FIHPTPAPPSLGPGPAGSTVPHSQWGTLEPWELTELDSVGTHLPQERCQVASGHIPCMVN GSSKETCQQAGCCYDSTKEEPCYYGNTVTLQCFKSGYFTLVMSQETALTHGVLLDNVHLA YAPNGCPPTQKTSAFVVFHVPLTLCGTAIQVVGEQLIYENQLVSDIDVQKGPQGSITRDS AFRLHVRCIFNASDFLPIQASIFSPQPPAPVTQSGPLRLELRIATDKTFSSYYQGSDYPL VRLLREPVYVEVRLLQRTDPSLVLVLHQCWATPTTSPFEQPQWPILSDGCPFKGDNYRTQ VVAADREALPFWSHYQRFTITTFMLLDSSSQNALRGQVYFFCSASACHPLGSDTCSTTCD SGIARRRRSSGHHNITLRALDIVSSPGAVGFEDAAKLEPSGSSRNSSSRMLLLLLAITLA LAAGIFVGLIWAWAQKLWEGIRY >ENSMUSP00000146807.1 pep:known chromosome:GRCm38:7:28982050:29003276:1 gene:ENSMUSG00000037337.11 transcript:ENSMUST00000208227.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k1 description:mitogen-activated protein kinase kinase kinase kinase 1 [Source:MGI Symbol;Acc:MGI:1346882] MVKMEPDDDVATLQKEILMLKTCRHANIVAYHGSYLWLQKLWICMEFCGAGSLQDIYQVT GSLSELQISYVCREVLQGLAYLHSEKKIHRDIKGANILINDCGEVKLADFGISAQIGATL ARRLSFIGTPYWMAPEVAAVALKGGYNELCDIWSLGITAIELAELQPPLFDVHPLRVLFL MTKSGYQPPRLKEKSRWSSSFHNFVKVTLTKNSKKRPSATKMLSHQLVSQPGLNRGLILD LLDKMKNPGKGLPVDIEDEEPEPPPAIPRRIRSTYRASSLGIPDADCCRRQMEFQRPRCV DCRPQAETVRLYPPAHFGSTSPRSQLSDSDDDYDDVDIPAPSENIPPPLPPKPKFRSPSD DGSGGIRDDGQLSPGVLVRCASGPPPRTPRPGPPPATCSPHLTARSDPSLWNPAAPEPGQ PPLVPPRKEKMRGKMENEKRREKYPLLVKLFNGCPLQIHSTAAWTHPSTKDQNLLLGAEE GIFILNRNDQEATLEMIFPGRTTWLYCINNLLMSLSGKTPYLYSHSILGLLERKDGRTGS PIAHISPHRLLARKNMVSSKIQDTKGCRACCVAESASSGGPFLCGALETSVVLLQWYQPM NKFLLVRQVLFPLPTPLPVFTLLTTPGSELPAVCIGVSPGQAAKSVLFHTVRFGALSCWL DDSSTEHKGPVQVIQVKEDMVMVLMDGSLKLVTPEGAPAPGLRTPEIPMTEAVEAVAMVE DRLEAFWKHGVQVWAPGLKQAGSGGDKAHG >ENSMUSP00000082995.6 pep:known chromosome:GRCm38:7:28982828:29003278:1 gene:ENSMUSG00000037337.11 transcript:ENSMUST00000085835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k1 description:mitogen-activated protein kinase kinase kinase kinase 1 [Source:MGI Symbol;Acc:MGI:1346882] MALVDPDIFNKDPREHYDLLQRLGGGTYGEVFKARDKVSKDLVALKMVKMEPDDDVATLQ KEILMLKTCRHANIVAYHGSYLWLQKLWICMEFCGAGSLQDIYQVTGSLSELQISYVCRE VLQGLAYLHSEKKIHRDIKGANILINDCGEVKLADFGISAQIGATLARRLSFIGTPYWMA PEVAAVALKGGYNELCDIWSLGITAIELAELQPPLFDVHPLRVLFLMTKSGYQPPRLKEK SRWSSSFHNFVKVTLTKNSKKRPSATKMLSHQLVSQPGLNRGLILDLLDKMKNPGKGLPV DIEDEEPEPPPAIPRRIRSTYRASSLGIPDADCCRRQMEFQRPRCVDCRPQAETVRLYPP AHFGSTSPRSQLSDSDDDYDDVDIPAPSENIPPPLPPKPKFRSPSDDGSGGIRDDGQLSP GVLVRCASGPPPRTPRPGPPPATCSPHLTARSDPSLWNPAAPEPGQPPLVPPRKEKMRGK MENEKRREKYPLLVKLFNGCPLQIHSTAAWTHPSTKDQNLLLGAEEGIFILNRNDQEATL EMIFPGRTTWLYCINNLLMSLSGKTPYLYSHSILGLLERKDGRTGSPIAHISPHRLLARK NMVSSKIQDTKGCRACCVAESASSGGPFLCGALETSVVLLQWYQPMNKFLLVRQVLFPLP TPLPVFTLLTTPGSELPAVCIGVSPGQAAKSVLFHTVRFGALSCWLDDSSTEHKGPVQVI QVKEDMVMVLMDGSLKLVTPEGAPAPGLRTPEIPMTEAVEAVAMVEDRLEAFWKHGVQVW APGLKQPLQELRDPTLTFRLLCSPRPVVVETRPTDDPTAPSNLYIQE >ENSMUSP00000147189.1 pep:known chromosome:GRCm38:7:28982861:29003279:1 gene:ENSMUSG00000037337.11 transcript:ENSMUST00000207185.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map4k1 description:mitogen-activated protein kinase kinase kinase kinase 1 [Source:MGI Symbol;Acc:MGI:1346882] MALVDPDIFNKDPREHYDLLQRLGGGTYGEVFKARDKVSKDLVALKMVKMEPDDDVATLQ KEILMLKTCRHANIVAYHGSYLWLQKLWICMEFCGAGSLQDIYQVTGSLSELQISYVCRE VLQGLAYLHSEKKIHRDIKGANILINDCGEVKLADFGISAQIGATLARRLSFIGTPYWMA PEVAAVALKGGYNELCDIWSLGITAIELAELQPPLFDVHPLRVLFLMTKSGYQPPRLKEK SRWSSSFHNFVKVTLTKNSKKRPSATKMLSHQLVSQPGLNRGLILDLLDKMKNPGKGLPV DIEDEEPEPPPAIPRRIRSTYRASSLGIPDADCCRRQMEFQRPRCVDCRPQAETVRLYPP AHFGSTSPRSQLSDSDDDYDDVDIPAPSENIPPPLPPKPKFRSPSDDGSGGIRDDGQLSP GVLVRCASGPPPRTPRPGPPPATCSPHLTARSDPSLWNPAAPEPGQPPLVPPRKEKMRGK MENEKRREKYPLLVKLFNGCPLQIHSTAAWTHPSTKDQNLLLGAEEGIFILNRNDQEATL EMIFPGRTTWLYCINNLLMSLSGKTPYLYSHSILGLLERKDGRTGSPIAHISPHRLLARK NMVSSKIQDTKGCRACCVGESQLPSGCRVMGRAGAWPVSTQ >ENSMUSP00000029445.6 pep:known chromosome:GRCm38:3:103058285:103067914:1 gene:ENSMUSG00000027852.14 transcript:ENSMUST00000029445.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nras description:neuroblastoma ras oncogene [Source:MGI Symbol;Acc:MGI:97376] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNSKSFADINLYREQIKRVKDSDDVPMVLVGNKCDL PTRTVDTKQAHELAKSYGIPFIETSAKTRQGVEDAFYTLVREIRQYRMKKLNSSDDGTQG CMGLPCVLM >ENSMUSP00000142434.1 pep:known chromosome:GRCm38:3:103058348:103062534:1 gene:ENSMUSG00000027852.14 transcript:ENSMUST00000200457.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nras description:neuroblastoma ras oncogene [Source:MGI Symbol;Acc:MGI:97376] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEGLTALPWLA >ENSMUSP00000143391.1 pep:known chromosome:GRCm38:3:103058367:103064399:1 gene:ENSMUSG00000027852.14 transcript:ENSMUST00000200069.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nras description:neuroblastoma ras oncogene [Source:MGI Symbol;Acc:MGI:97376] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNSKSFADINLYREQIKRVKDSDDVPMVLVGNKCDL PTRTVDTKQAHELAKSYGIPFIETSAKTRQGVEDAFYTLVREIRQYRMKKLNSSDDGTQG CMGLPCVLM >ENSMUSP00000143620.1 pep:known chromosome:GRCm38:3:103058594:103060312:1 gene:ENSMUSG00000027852.14 transcript:ENSMUST00000199367.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nras description:neuroblastoma ras oncogene [Source:MGI Symbol;Acc:MGI:97376] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRT >ENSMUSP00000143644.1 pep:known chromosome:GRCm38:3:103058596:103063429:1 gene:ENSMUSG00000027852.14 transcript:ENSMUST00000199049.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nras description:neuroblastoma ras oncogene [Source:MGI Symbol;Acc:MGI:97376] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNSKSFADINLYREQIKRVKDSDDVPMVLVGNKCDL PTRTVDTKQAHELAKSYGIPFIETSAKTRQGVEDAFYTLVREIRQYRMKKLNSSDDGTQG CMG >ENSMUSP00000142603.1 pep:known chromosome:GRCm38:3:103058743:103062533:1 gene:ENSMUSG00000027852.14 transcript:ENSMUST00000197678.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nras description:neuroblastoma ras oncogene [Source:MGI Symbol;Acc:MGI:97376] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNSKSFADINLYREQIKRVKDSDDVPMVLVGNKCDL PTRTVDTKQAHELAKSYGIPFIETSAKTRQ >ENSMUSP00000142438.1 pep:known chromosome:GRCm38:3:103058903:103066476:1 gene:ENSMUSG00000027852.14 transcript:ENSMUST00000196355.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nras description:neuroblastoma ras oncogene [Source:MGI Symbol;Acc:MGI:97376] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEDSYRKQVVIDGETCLLDILDTAG QEEYSAMRDQYMRTGEGFLCVFAINNSKSFADINLYREQIKRVKDSDDVPMVLVGNKCDL PTRTVDTKQAHELAKSYGIPFIETSAKTRQGVEDAFYTLVREIRQYRMKKLNSSDDGTQG CMGLPCVLM >ENSMUSP00000123081.1 pep:known chromosome:GRCm38:3:103058903:103067906:1 gene:ENSMUSG00000027852.14 transcript:ENSMUST00000128264.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nras description:neuroblastoma ras oncogene [Source:MGI Symbol;Acc:MGI:97376] MTEYKLVVVGAGGVGKSALTIQLIQNHFVDEYDPTIEGLTALPWLA >ENSMUSP00000122212.1 pep:known chromosome:GRCm38:4:92190744:92191749:-1 gene:ENSMUSG00000066107.6 transcript:ENSMUST00000123179.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12666 description:predicted gene 12666 [Source:MGI Symbol;Acc:MGI:3650864] ELLPKNVTHSWIERVFGKCGNVVYISIPHYKSTGDPKGFAFVEFETKEQAAKAIEFLNNP PEEAPRKPGIFPKTVKNKPIPSLRVAEEKKKKKKKKGRIKKEESVQAKESAVDSSSSGVC KARKRPRKASEGSEAETPEAPKQPAKKKKKQDKVEASSLPEARAGKRERCSAEDEDCLPP RPKAKKRAQKDGVGQAASEVSKESRDLEFCSTEEEKETGDRKGDSLSKVKRKHMKKHKER HKMGEEVIPLRVLS >ENSMUSP00000054033.7 pep:known chromosome:GRCm38:10:58255497:58305599:1 gene:ENSMUSG00000038039.13 transcript:ENSMUST00000057659.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc2 description:GRIP and coiled-coil domain containing 2 [Source:MGI Symbol;Acc:MGI:1917547] MEDSAPDAVAAAPSGTPKSKLETLPREDLIKFAKKQMMLLQKAKARCTELDKEVEELKSK PVDGGTDDIIKVLTERLDALLLEKAETEQQCLCLKKENVKMKQEVEDSVTKLEETHKEFE QSHRNYVKEIESCKNELMAVHSEHSKETAILQKELEEAVHKQVELREQLKSQSDSEDNVR KLQEEIQNITAAFEEQISCLEKKLEATSDEKQQEIIHLQKVIEDKAQHYQKDINTFQAEI LQLRATHKEEVTELMSQIETSAKEHEAEINKLKENRVTQCEASENIPEKYQCESENLNEV ASDASPESQNCSVALQEDPSAEQTVCDKVRQLEDSLKELESQHSILKDEVTYMNNLKLKL EMDAQHIKDEFFHEREDLEFKINELLLAKEEQGYVVEKLKYEREDLNRQLCCAVEQHNKE IQRLQEHHQKEVSELSETFISGSEKEKLALMFEIQGLKEQCENLQHEKQEVVLNYESLRE MMEILQTELGESAGKISQEFETMKQQQASDVHELQQKLRSAFNEKDALLETVNRLQGENE KLLSQQELVPELESTIKNLQADNSMYLASLGQKDTMLQELEAKISSLAKEKDDFISKIKT SHEEMDDLHQKWEREQRLSVELREAAGQAAQHNSELRQRVSELTGKLDELVREKSQNDQS ITVQMKTMTEDQEALSSKIKSLYEENNRLHSEKAQLSRDLEALQAQQDFAHKEHVAEFEK KLQLMVEERDDLNKLLENEQVQKSFVKTQLYEYLKQLRASILEENEEEDVVKLIQAVGES LVKVKEEEHNLVFEYDARVLELENKIKCLQEDSAVQCEELRTLVRDSEQEKILLRKELDA VTSAKEALQLDLLEMKNTNEKASLENQTLSTQVEELSQTLHSRNEVHDEKVLVIEHENLR LLLKQRESELQDVRAELILLKDSLEKSPSVKNDQLSLVKELEEKIESLEKESKDKDEKIS KIKLVAVKAKKELDSNRKEAQTLREELESVRSEKDRLSASMKEFLQGAESYKSLLLEYDK QSEQLDVEKERAHNFERHIEDLTKQLRNSTCQYERLTSDNEDLLARIETLQANAKLLEAQ ILEVQKAKGVVEKELDAEKLQKEQKIKEHVSTVNELEELQLQFQKEKKQLQKTMQELELV KKDAQQTTLMNMEIADYERLMKELNQKLTNKNSTIEDLEQEMKIQKEKQETLQEEITSLQ SSVQHYEEKNTKIKQLLVKTKKELADAKQAETDHLLLQASLKGELEASQQQVEVYKIQLA EMTSEKHKIHEHLKTSAEQHQRTLSAYQQRVVALQEESRAAKAEQAAVTSEFESYKVRVH NVLKQQKNKSVSQVETEGAKQEREHLEMLIDQLKIKLQDSQNSLQISVSEYQTLQAEHDT LLERHNRMLQETVTKEAELREKLCSVQSENTMMKSEHSQTMCQLTSQNEALRTSFRDQVR HLQDEHRKTVETLQHQLSKLEAQLFQLKSEPSTRSPASSHQPSKSLRERRTTDLPLLDMH TVAREEGEGMETTDSESVSSAGTHIQSLEQLLSSPDTKLERLAETSLWHNEFTKEELAEK LSSTTKSADHLNGLLRETEATNAILMEQIKLLKSEIRRLERNQEREKSVANLEYLKNVLL RFIFLKPGSERERLLPVIDTMLQLSPEEKGKLATVAQGEEESASRSSGWASYLHSWSGLR >ENSMUSP00000124988.1 pep:known chromosome:GRCm38:10:58255508:58269463:1 gene:ENSMUSG00000038039.13 transcript:ENSMUST00000162984.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gcc2 description:GRIP and coiled-coil domain containing 2 [Source:MGI Symbol;Acc:MGI:1917547] MEDSAPDAVAAAPSGTPKSKLETLPREDLIKFAKKQMMLLQKAKARIRQRS >ENSMUSP00000124787.1 pep:known chromosome:GRCm38:10:58255530:58305242:1 gene:ENSMUSG00000038039.13 transcript:ENSMUST00000162041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc2 description:GRIP and coiled-coil domain containing 2 [Source:MGI Symbol;Acc:MGI:1917547] MMLLQKAKARCTELDKEVEELKSKPVDGGTDDIIKVLTERLDALLLEKAETEQQCLCLKK ENVKMKQEVEDSVTKLEETHKEFEQSHRNYVKEIESCKNELMAVHSEHSKETAILQKELE EAVHKQVELREQLKSQSDSEDNVRKLQEEIQNITAAFEEQISCLEKKLEATSDEKQQEII HLQKVIEDKAQHYQKDINTFQAEILQLRATHKEEVTELMSQIETSAKEHEAEINKLKENR VTQCEASENIPEKYQCESENLNEVASDASPESQNCSVALQEDPSAEQTVCDKVRQLEDSL KELESQHSILKDEVTYMNNLKLKLEMDAQHIKDEFFHEREDLEFKINELLLAKEEQGYVV EKLKYEREDLNRQLCCAVEQHNKEIQRLQEHHQKEVSELSETFISGSEKEKLALMFEIQG LKEQCENLQHEKQEVVLNYESLREMMEILQTELGESAGKISQEFETMKQQQASDVHELQQ KLRSAFNEKDALLETVNRLQGENEKLLSQQELVPELESTIKNLQADNSMYLASLGQKDTM LQELEAKISSLAKEKDDFISKIKTSHEEMDDLHQKWEREQRLSVELREAAGQAAQHNSEL RQRVSELTGKLDELVREKSQNDQSITVQMKTMTEDQEALSSKIKSLYEENNRLHSEKAQL SRDLEALQAQQDFAHKEHVAEFEKKLQLMVEERDDLNKLLENEQVQKSFVKTQLYEYLKQ LRASILEENEEEDVVKLIQAVGESLVKVKEEEHNLVFEYDARVLELENKIKCLQEDSAVQ CEELRTLVRDSEQEKILLRKELDAVTSAKEALQLDLLEMKNTNEKASLENQTLSTQVEEL SQTLHSRNEVHDEKVLVIEHENLRLLLKQRESELQDVRAELILLKDSLEKSPSVKNDQLS LVKELEEKIESLEKESKDKDEKISKIKLVAVKAKKELDSNRKEAQTLREELESVRSEKDR LSASMKEFLQGAESYKSLLLEYDKQSEQLDVEKERAHNFERHIEDLTKQLRNSTCQYERL TSDNEDLLARIETLQANAKLLEAQILEVQKAKGVVEKELDAEKLQKEQKIKEHVSTVNEL EELQLQFQKEKKQLQKTMQELELVKKDAQQTTLMNMEIADYERLMKELNQKLTNKNSTIE DLEQEMKIQKEKQETLQEEITSLQSSVQHYEEKNTKIKQLLVKTKKELADAKQAETDHLL LQASLKGELEASQQQVEVYKIQLAEMTSEKHKIHEHLKTSAEQHQRTLSAYQQRVVALQE ESRAAKAEQAAVTSEFESYKVRVHNVLKQQKNKSVSQVETEGAKQEREHLEMLIDQLKIK LQDSQNSLQISVSEYQTLQAEHDTLLERHNRMLQETVTKEAELREKLCSVQSENTMMKSE HSQTMCQLTSQNEALRTSFRDQVRHLQDEHRKTVETLQHQLSKLEAQLFQLKSEPSTRSP ASSHQPSKSLRERRTTDLPLLDMHTVAREEGEGMETTDSESVSSAGTHIQSLEQLLSSPD TKLERLAETSLWHNEFTKEELAEKLSSTTKSADHLNGLLRETEATNAILMEQIKLLKSEI RRLERNQEREKSVANLEYLKNVLLRFIFLKPGSERERLLPVIDTMLQLSPEEKGKLATVA QGEEESASRSSGWASYLHSWSGLR >ENSMUSP00000124152.1 pep:known chromosome:GRCm38:10:58255539:58305591:1 gene:ENSMUSG00000038039.13 transcript:ENSMUST00000162860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc2 description:GRIP and coiled-coil domain containing 2 [Source:MGI Symbol;Acc:MGI:1917547] MKQEVEDSVTKLEETHKEFEQSHRNYVKEIESCKNELMAVHSEHSKETAILQKELEEAVH KQVELREQLKSQSDSEDNVRKLQEEIQNITAAFEEQISCLEKKLEATSDEKQQEIIHLQK VIEDKAQHYQKDINTFQAEILQLRATHKEEVTELMSQIETSAKEHEAEINKLKENRVTQC EASENIPEKYQCESENLNEVASDASPESQNCSVALQEDPSAEQTVCDKVRQLEDSLKELE SQHSILKDEVTYMNNLKLKLEMDAQHIKDEFFHEREDLEFKINELLLAKEEQGYVVEKLK YEREDLNRQLCCAVEQHNKEIQRLQEHHQKEVSELSETFISGSEKEKLALMFEIQGLKEQ CENLQHEKQEVVLNYESLREMMEILQTELGESAGKISQEFETMKQQQASDVHELQQKLRS AFNEKDALLETVNRLQGENEKLLSQQELVPELESTIKNLQADNSMYLASLGQKDTMLQEL EAKISSLAKEKDDFISKIKTSHEEMDDLHQKWEREQRLSVELREAAGQAAQHNSELRQRV SELTGKLDELVREKSQNDQSITVQMKTMTEDQEALSSKIKSLYEENNRLHSEKAQLSRDL EALQAQQDFAHKEHVAEFEKKLQLMVEERDDLNKLLENEQVQKSFVKTQLYEYLKQLRAS ILEENEEEDVVKLIQAVGESLVKVKEEEHNLVFEYDARVLELENKIKCLQEDSAVQCEEL RTLVRDSEQEKILLRKELDAVTSAKEALQLDLLEMKNTNEKASLENQTLSTQVEELSQTL HSRNEVHDEKVLVIEHENLRLLLKQRESELQDVRAELILLKDSLEKSPSVKNDQLSLVKE LEEKIESLEKESKDKDEKISKIKLVAVKAKKELDSNRKEAQTLREELESVRSEKDRLSAS MKEFLQGAESYKSLLLEYDKQSEQLDVEKERAHNFERHIEDLTKQLRNSTCQYERLTSDN EDLLARIETLQANAKLLEAQILEVQKAKGVVEKELDAEKLQKEQKIKEHVSTVNELEELQ LQFQKEKKQLQKTMQELELVKKDAQQTTLMNMEIADYERLMKELNQKLTNKNSTIEDLEQ EMKIQKEKQETLQEEITSLQSSVQHYEEKNTKIKQLLVKTKKELADAKQAETDHLLLQAS LKGELEASQQQVEVYKIQLAEMTSEKHKIHEHLKTSAEQHQRTLSAYQQRVVALQEESRA AKAEQAAVTSEFESYKVRVHNVLKQQKNKSVSQVETEGAKQEREHLEMLIDQLKIKLQDS QNSLQISVSEYQTLQAEHDTLLERHNRMLQETVTKEAELREKLCSVQSENTMMKSEHSQT MCQLTSQNEALRTSFRDQVRHLQDEHRKTVETLQHQLSKLEAQLFQLKSEPSTRSPASSH QPSKSLRERRTTDLPLLDMHTVAREEGEGMETTDSESVSSAGTHIQSLEQLLSSPDTKLE TSLWHNEFTKEELAEKLSSTTKSADHLNGLLRETEATNAILMEQIKLLKSEIRRLERNQE REKSVANLEYLKNVLLRFIFLKPGSERERLLPVIDTMLQLSPEEKGKLATVAQGEEESAS RSSGWASYLHSWSGLR >ENSMUSP00000124411.1 pep:known chromosome:GRCm38:10:58255713:58269335:1 gene:ENSMUSG00000038039.13 transcript:ENSMUST00000160427.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc2 description:GRIP and coiled-coil domain containing 2 [Source:MGI Symbol;Acc:MGI:1917547] MMLLQKAKARCTELDKEVEELKSKPVDGGTDDIIKVLTERLDALLLEKAETEQQCLCLKK ENVKMKQEVEDSVTKLEETHKEFEQSHRNYVKEI >ENSMUSP00000123873.1 pep:known chromosome:GRCm38:10:58274957:58281394:1 gene:ENSMUSG00000038039.13 transcript:ENSMUST00000160416.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc2 description:GRIP and coiled-coil domain containing 2 [Source:MGI Symbol;Acc:MGI:1917547] LESVRSEKDRLSASMKEFLQGAESYKASSLRAESCLLLKSLLLEYDKQSEQLDVEKERAH NFERHIEDLTKQLRNSTCQYERLTSDNEDLLARIETLQANAKLLEAQILEVQKAKGVVEK ELDAEKLQKEQKIKEHVSTVNELEELQLQFQKEKKQLQKTMQELELVKKDAQQTTLMNME IA >ENSMUSP00000115575.2 pep:known chromosome:GRCm38:7:19099046:19118368:-1 gene:ENSMUSG00000085601.7 transcript:ENSMUST00000141380.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4969 description:predicted gene 4969 [Source:MGI Symbol;Acc:MGI:3647482] MLGSDKFSCFSDQHRARSPSPTDRKDKKNHTNKLRELALLIPVTMKTRDKKYTKKEILLR VLHYIQYLQRNIDMTKALLKLHSSNGKGRFVGPGLNPSAGQTQQQHSTPSSSQKPSLWST SSKPRKKKFTRVSEHPSWPYNPRRSLALDQAENPNTIHPGLKEENEECATYPGVLSPSTY PTTEPSVSEGDGQGAQLVFLDMAQNIFAYDILSDHAVEVQGGEPNADIKVQRSFFLTRAQ PCVSSCRQKLFLCTSSEADKEAPDSDPWLPVWTSEDSPNGSPLALGSSQINTWHVADYLN EILGVSSSLFSSPSKILPDHVLEDGTYFLTEGLLESSPATCEVESPQEKEVSSEGPTGPP NFQSSVSLDHCYLSLSENVKVLSNCGSSSESTDTESLWGQEEQANPEGLQTSSDEDRDYT WTPTGQSSGLPVASKKIKKVQASQGPVKPKDSRKACPGQVKKKCVNGFIMFCRMNRKQYI RACPGTASTAATKDLAQLWRGMTLEEKKPYCTKARRFSRQNNRIVKQENSSSEDDDGETP KPFYQLLAEKAQVSLGLTSLPTPNCQ >ENSMUSP00000115597.1 pep:known chromosome:GRCm38:7:19101551:19118341:-1 gene:ENSMUSG00000085601.7 transcript:ENSMUST00000127433.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm4969 description:predicted gene 4969 [Source:MGI Symbol;Acc:MGI:3647482] MLGSDKFSCFSDQHRARSPSPTDRKDKKNHTNKLRELALLIPVTMKTRDKKYTKGQV >ENSMUSP00000026704.7 pep:known chromosome:GRCm38:16:87483326:87495873:-1 gene:ENSMUSG00000025613.13 transcript:ENSMUST00000026704.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct8 description:chaperonin containing Tcp1, subunit 8 (theta) [Source:MGI Symbol;Acc:MGI:107183] MALHVPKAPGFAQMLKDGAKHFSGLEEAVYRNIQACKELAQTTRTAYGPNGMNKMVINRL EKLFVTNDAATILRELEVQHPAAKMIVMASHMQEQEVGDGTNFVLVFAGALLELAEELLR IGLSVSEVISGYEIACKKAHEILPELVCCSAKNLRDVDEVSSLLRTSIMSKQYGSETFLA KLIAQACVSIFPDSGNFNVDNIRVCKILGSGIYSSSVLHGMVFKKETEGDVTSVKDAKIA VYSCPFDGMITETKGTVLIKTAEELMNFSKGEENLMDAQVKAIAGTGANVIVTGGKVADI ALHYANKYNIMLVRLNSKWDLRRLCKTVGATALPKLTPPVQEEMGHCDSVYLSEVGDTQV VVFKHEKEDGAISTIVLRGSTDNLMDDIERAVDDGVNTFKVLTRDKRLVPGGGATEIELA KQITSYGETCPGLEQYAIKKFAEAFEAIPRALAENSGVKANEVISKLYSVHQEGNKNVGL DIEAEVPAVKDMLEASILDTYLGKYWAIKLATNAAVTVLRVDQIIMAKPAGGPKPPSGKK DWDDDQND >ENSMUSP00000135498.1 pep:known chromosome:GRCm38:16:87483988:87495788:-1 gene:ENSMUSG00000025613.13 transcript:ENSMUST00000177376.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cct8 description:chaperonin containing Tcp1, subunit 8 (theta) [Source:MGI Symbol;Acc:MGI:107183] MALHVPKAPGFAQMLKDGAKHFSGLEEAVYRNIQACKELAQTTRTAYGPNGAASCCKDDS DGLSYARTRGW >ENSMUSP00000135651.1 pep:known chromosome:GRCm38:16:87483988:87495788:-1 gene:ENSMUSG00000025613.13 transcript:ENSMUST00000175977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct8 description:chaperonin containing Tcp1, subunit 8 (theta) [Source:MGI Symbol;Acc:MGI:107183] MALHVPKAPGFAQMLKDGAKHFSGLEEAVYRNIQACKELAQTTRTAYGPNGMNKMVINRL EKLFVTNDAATILRELEVQHPAAKMIVMASHMQEQEVGDGTNFVLVFAGALLELAEELLR IGLSVSEAISIFPDSGNFNVDNIRVCKILGSGIYSSSVLHGMVFKKETEGDVTSVKDAKI AVYSCPFDGMITETKGTVLIKTAEELMNFSKGEENLMDAQVKAIAGTGANVIVTGGKVAD IALHYANKYNIMLVRLNSKWDLRRLCKTVGATALPKLTPPVQEEMGHCDSVYLSEVGDTQ VVVFKHEKEDGAISTIVLRGSTDNLMDDIERAVDDGVNTFKVLTRDKRLVPGGGATEIEL AKQITSYGETCPGLEQYAIKKFAEAFEAIPRALAENSGVKANEVISKLYSVHQEGNKNVG LDIEAEVPAVKDMLEASILDTYLGKYWAIKLATNAAVTVLRVDQIIMAKPAGGPKPPSGK KDWDDDQND >ENSMUSP00000134920.1 pep:known chromosome:GRCm38:16:87484911:87488843:-1 gene:ENSMUSG00000025613.13 transcript:ENSMUST00000175750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct8 description:chaperonin containing Tcp1, subunit 8 (theta) [Source:MGI Symbol;Acc:MGI:107183] XSCPFDGMITETKGTVLIKTAEELMNFSKGEENLMDAQVKAIAGTGANVIVTGGKVADIA LHYANKYNIMLVRLNSKWDLRRLCKTVGATALPKLTPPVQEEMGHCDSVYLSEVGDTQVV VFKHEKEDGAISTIVLRGSTDNLMDDIERAVDDGVNTFKVLTRDKRLVPGGGATEIELAK QITSYGEYAIKKFAEAFEAIPRALAENSGVKANEVISKLYSVHQEGNKNVGLDIEAEVP >ENSMUSP00000135377.1 pep:known chromosome:GRCm38:16:87488931:87495859:-1 gene:ENSMUSG00000025613.13 transcript:ENSMUST00000176041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct8 description:chaperonin containing Tcp1, subunit 8 (theta) [Source:MGI Symbol;Acc:MGI:107183] MVINRLEKLFVTNDAATILRELEVQHPAAKMIVMASHMQEQEVGDGTNFVLVFAGALLEL AEELLRIGLSVSEVISGYEIACKKAHEILPELVCCSAKNLRDVDEVSSLLRTSIMSKQYG SETFLAKLIAQACVSIFPDSGNFNVDNIRVCKILGSGI >ENSMUSP00000135830.1 pep:known chromosome:GRCm38:16:87490488:87495704:-1 gene:ENSMUSG00000025613.13 transcript:ENSMUST00000176750.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cct8 description:chaperonin containing Tcp1, subunit 8 (theta) [Source:MGI Symbol;Acc:MGI:107183] MVINRLEKLFVTNDAATILRELEVQHPAAKMIVMASHMQEQEVGDGTNFVLVFAGALLEL AEELLRIGLSVSEVISGYEIACKKAHEILPELVCCSAKNLRDVDEVSSLLRTSIMSKQYG SETFLAKLIAQA >ENSMUSP00000132028.2 pep:known chromosome:GRCm38:17:35517100:35530996:1 gene:ENSMUSG00000040312.14 transcript:ENSMUST00000164242.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cchcr1 description:coiled-coil alpha-helical rod protein 1 [Source:MGI Symbol;Acc:MGI:2385321] MLPPSGFAGLVPPSHFQARPLPTLPRMAPTWASDVPLVQSPASQDVLERRLDAQRSTVTT WGQDFCGDGQGLGRRGRSLELGFSSALSQQAELISRQLQELRRLEEEVRSLRETSLQQKM RLETQAVELDALAVAEKAGQAEAEGLRTALAGAEMVRKNLEEAKHKELEEIQSLHQEQLS SLTQAHQKALDSLASKAEGLEKSLNSLETKRAGEAKQLAMAQKEADMLRNQLSKTQEELE AQVTLVESLRKYVGEQVLPEFPSQEWELERKELLDTLKHLKEDRADLQATVELLQVRVQS LTHMLALQEEELTRKIQPLDPLEPEFPKKCRSLLRNWREKVFALMVQLKAQDLQHRDSTS QLRIQVAELQEQVTSQSQEQAILQRALQDKTAQVEVERMSTKSLQMELDQAQEARRRQEQ QIASAEEQLKFVVGAMNSTQAKLQSTMTRMDQAVARIPSLSNRLSYAVRKVHTIKGLMAR KVALAQLRVESSPPSEAAPPLDTDLSVELEQLREERNRLDAELQLSAHLIQQEVGRAREQ GEVERRRLIEVAQQLEQELQRAQESLASVGQQLEAARRGQQESTEEAASLRQELTQQQEI YGQALQEKVAEVETRLREQLSDTKRRLNEARREQAKAVVSLRQIQHKATQEKERNQELRR LQDEARKEEGQRLTRRVQELERDKNLMLQRLLAVLPSGVNKKCSPRSVESSSSESPAAAS CKESVKGSLTVLLDNLQGLSEAISRDEDICVEDNQNTKKTKNPPSDPLLS >ENSMUSP00000046612.7 pep:known chromosome:GRCm38:17:35517176:35531015:1 gene:ENSMUSG00000040312.14 transcript:ENSMUST00000045956.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cchcr1 description:coiled-coil alpha-helical rod protein 1 [Source:MGI Symbol;Acc:MGI:2385321] MLPPSGFAGLVPPSHFQARPLPTLPRMAPTWASDVPLVQSPASQDVLERRLDAQRSTVTT WGQDFCGDGQGLGRRGRSLELGFSSALSQQAELISRQLQELRRLEEEVRSLRETSLQQKM RLETQAVELDALAVAEKAGQAEAEGLRTALAGAEMVRKNLEEAKHKELEEIQSLHQEQLS SLTQAHQKALDSLASKAEGLEKSLNSLETKRAGEAKQLAMAQKEADMLRNQLSKTQEELE AQVTLVESLRKYVGEQVLPEFPSQEWELERKELLDTLKHLKEDRADLQATVELLQVRVQS LTHMLALQEEELTRKIQPLDPLEPEFPKKCRSLLRNWREKVFALMVQLKAQDLQHRDSTS QLRIQVAELQEQVTSQSQEQAILQRALQDKTAQVEVERMSTKSLQMELDQAQEARRRQEQ QIASAEEQLKFVVGAMNSTQAKLQSTMTRMDQAVARIPSLSNRLSYAVRKVHTIKGLMAR KVALAQLRVESSPPSEAAPPLDTDLSVELEQLREERNRLDAELQLSAHLIQQEVGRAREQ GEVERRRLIEVAQQLEQELQRAQESLASVGQQLEAARRGQQESTEEAASLRQELTQQQEI YGQALQEKVAEVETRLREQLSDTKRRLNEARREQAKAVVSLRQIQHKATQEKERNQELRR LQDEARKEEGQRLTRRVQELERDKNLMLQRLLAVLPSGVNKKCSPRSVESSSSESPAAAS CKESVKGSLTVLLDNLQGLSEAISRDEDICVEDNQNTKKTKNPPSDPLLS >ENSMUSP00000133407.1 pep:known chromosome:GRCm38:17:35517463:35531005:1 gene:ENSMUSG00000040312.14 transcript:ENSMUST00000173903.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cchcr1 description:coiled-coil alpha-helical rod protein 1 [Source:MGI Symbol;Acc:MGI:2385321] MWPHSSRTRPWANSLIGNDSEILARWCLDGLPNGPTIPWQGLWRVGSLYCVQRVLSCRDR RHLRRKGNIGDWRQELQDSYNRKMLPPSGFAGLVPPSHFQARPLPTLPRMAPTWASDVPL VQSPASQDVLERRLDAQRSTVTTWGQDFCGDGQGLGRRGRSLELGFSSALSQQAELISRQ LQELRRLEEEVRSLRETSLQQKMRLETQAVELDALAVAEKAGQAEAEGLRTALAGAEMVR KNLEEAKHKELEEIQSLHQEQLSSLTQAHQKALDSLASKAEGLEKSLNSLETKRAGEAKQ LAMAQKEADMLRNQLSKTQEELEAQVTLVESLRKYVGEQVLPEFPSQEWELERKELLDTL KHLKEDRADLQATVELLQVRVQSLTHMLALQEEELTRKIQPLDPLEPEFPKKCRSLLRNW REKVFALMVQLKAQDLQHRDSTSQLRIQVAELQEQVTSQSQEQAILQRALQDKTAQVEVE RMSTKSLQMELDQAQEARRRQEQQIASAEEQLKFVVGAMNSTQAKLQSTMTRMDQAVARI PSLSNRLSYAVRKVHTIKGLMARKVALAQLRVESSPPSEAAPPLDTDLSVELEQLREERN RLDAELQLSAHLIQQEVGRAREQGEVERRRLIEVAQQLEQELQRAQESLASVGQQLEAAR RGQQESTEEAASLRQELTQQQEIYGQALQEKVAEVETRLREQLSDTKRRLNEARREQAKA VVSLRQIQHKATQEKERNQELRRLQDEARKEEGQRLTRRVQELERDKNLMLATLKQEGLL FCYKQQRLLAVLPSGVNKKCSPRSVESSSSESPAAASCKESVKGSLTVLLDNLQGLSEAI SRDEDICVEDNQNTKKTKNPPSDPLLS >ENSMUSP00000129576.1 pep:known chromosome:GRCm38:7:42260053:42300755:1 gene:ENSMUSG00000090967.2 transcript:ENSMUST00000166131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r61 description:vomeronasal 2, receptor 61 [Source:MGI Symbol;Acc:MGI:1351347] MFWIFTYGLLYIPIFVCAFTDNECYVTSKEFFYHEGDVTIGAFFPLHIFYTGNKIPDKFL PYNFKDYHIQYKFKNYQFVLALVFAIEEINRNPHLLPNTTIGYDIYNIPFTEKNILYHAF IWHTGKINSIPNCDYGHKRKSPAILTGPSWSTSAHIGTFLQLYKIPQLTFGPFDSILNER GQFNSLYQMAPKDTSLSLAIVLLMLHFRWYWVGLILPDDHRGIQILSDLRENMESHRICI AFLKMISGTWNAFSNALWKNMETIEESSANVILIYGDIISVQGLMRHIAQLLVTWKVWVL TSSWDVDTHSDYFMVESFHGSLIFSHHHEDMVEFMKFVQTVNPYKYPEDNYLPKFWHLFF KCSFSKFDCQLLENCQPNASLDLLPRHLFDPAMSEEGYNIYNAVYAVAYSVHEMNLQQIQ TQPYANGEEMVFSPWQLHPFLKNTIMKSHVRGQTLIHGGRNLDSMYDILNFWNFPSGLGL KVKIGTYSVSAPQGLQFSLCEQMIQWPTGFTKIPQSVCSEICRPGFRKAAQEGKAVCCFD CIPCADNEISNDTDVDQCVKCSESHYVNSEKNYCLQKSVSFLAYEEPLGMALTITSLCFS LLTAVVLVVFVKHRDTPIVKANNRTLSYILLLTLFISFLSSLLFVGQPNTTTCILQQTAF GILFTVSLSTVLAKAITVVIAFKAIVPARMVRWLMVSRAPNFIIPICTLIQLIICGIWIL TSPPFIDQDTQAEHGHIIIVCNMGSTVAFHTVLGYLCSLALGSYTMAFLSRNLPDTFNEA KFLSFSMQVFFCVWITFLPVYHSTKGKVMVAMEVFSILASSIALLGLNFAPKCYIILLRP EKISCIDIRHTKYTRRNIHSNVVKN >ENSMUSP00000021634.2 pep:known chromosome:GRCm38:13:4191187:4205596:1 gene:ENSMUSG00000021213.11 transcript:ENSMUST00000021634.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c13 description:aldo-keto reductase family 1, member C13 [Source:MGI Symbol;Acc:MGI:1351662] MSSKQHCVKLNDGHLIPALGFGTYKPKEVPKSKSLEAACLALDVGYRHVDTAYAYQVEEE IGQAIQSKIKAGVVKREDLFITTKLWCTCFRPELVKPALEKSLKKLQLDYVDLYIMHYPV PMKSGDNDFPVNEQGKSLLDTVDFCDTWERLEECKDAGLVKSIGVSNFNHRQLERILNKP GLKYKPVCNQVECHLYLNQRKLLDYCESKDIVLVAYGALGTQRYKEWVDQNSPVLLNDPV LCDVAKKNKRSPALIALRYLIQRGIVPLAQSFKENEMRENLQVFGFQLSPEDMKTLDGLN KNFRYLPAEFLVDHPEYPFVEEY >ENSMUSP00000122246.1 pep:known chromosome:GRCm38:13:4191184:4198547:1 gene:ENSMUSG00000021213.11 transcript:ENSMUST00000128892.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Akr1c13 description:aldo-keto reductase family 1, member C13 [Source:MGI Symbol;Acc:MGI:1351662] MSSKQHCVKLNDGHLIPALGFGTYKPKEGSHQHLTVDVYQN >ENSMUSP00000099190.3 pep:known chromosome:GRCm38:X:24753162:24775164:-1 gene:ENSMUSG00000093923.7 transcript:ENSMUST00000101667.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5935 description:predicted gene 5935 [Source:MGI Symbol;Acc:MGI:3646322] MSIKKLWVIPKDGYLLLLDFDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEHDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQEALKLSECSQRQTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000136892.1 pep:known chromosome:GRCm38:X:24753266:24773575:-1 gene:ENSMUSG00000093923.7 transcript:ENSMUST00000178986.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5935 description:predicted gene 5935 [Source:MGI Symbol;Acc:MGI:3646322] MSIKKLWVIPKDGYLLLLDFDSDEEEEQAHSEVKRPAFGKHENMPPHVEADEDIRDEHDS MLDKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDTRKKINNKLCEQKFDMDIQKFNEEQEKSVNNYQKEQEALKLSECSQRQTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000080219.5 pep:known chromosome:GRCm38:8:69832491:69872292:1 gene:ENSMUSG00000031860.17 transcript:ENSMUST00000081503.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx4 description:pre B cell leukemia homeobox 4 [Source:MGI Symbol;Acc:MGI:1931321] MAAPLRPVPPQPAPRRLPTTAPLGHDTSDVLQQIMAITDQSLDEAQARKHALNCHRMKSA LFSVLCEIKGKTAVSIQFQEEDPPDAQLLRLDNMLLAEGVSRPEKRGRGAAAGSTATPGG CPNDNSIEHSDYRAKLSQIRQIYHSELEKYEQACREFTTHVTNLLREQSRVRPVSCREME HMVNTIQSKFSAIQRQLKQSTCEAVMTLRSRFLDARRKRRNFSKQATDVLNEYFYSHLSN PYPSEETKEELARKGGITVSQVSNWFGNKRIRYKKNTGKFQEEATMYTGKASTVTKARRP RGQSSCQSTPSPGPCGPLPLTNGSDVVLTLRTLAFLQPPTGGVCLQPLVHSNWQRAAPQP ASSPAGESGSFNWDAASN >ENSMUSP00000119526.1 pep:known chromosome:GRCm38:8:69832637:69872292:1 gene:ENSMUSG00000031860.17 transcript:ENSMUST00000156319.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pbx4 description:pre B cell leukemia homeobox 4 [Source:MGI Symbol;Acc:MGI:1931321] MAAPLRPVPPQPAPRRLPTTAPLGHDTSDVLQQIMAITDQSLDEAQARKHALNCHRMKSA LFSVLCEIKGKTGRWNTW >ENSMUSP00000121369.2 pep:known chromosome:GRCm38:8:69832660:69870686:1 gene:ENSMUSG00000031860.17 transcript:ENSMUST00000131637.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx4 description:pre B cell leukemia homeobox 4 [Source:MGI Symbol;Acc:MGI:1931321] MAAPLRPVPPQPAPRRLPTTAPLGHDTSDVLQQIMAITDQSLDEAQARKHALNCHRMKSA LFSVLCEIKGKTGPCGPLPLTNGSDVVLTLRTLAFLQPPTGGVCLQPLV >ENSMUSP00000118287.1 pep:known chromosome:GRCm38:8:69832662:69872292:1 gene:ENSMUSG00000031860.17 transcript:ENSMUST00000132899.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pbx4 description:pre B cell leukemia homeobox 4 [Source:MGI Symbol;Acc:MGI:1931321] MAAPLRPVPPQPAPRRLPTTAPLGHDTSDVLQQIMAITDQSLDEAQARKHALNCHRMKSA LFSVLCEIKGKTGL >ENSMUSP00000122281.2 pep:known chromosome:GRCm38:8:69832690:69872292:1 gene:ENSMUSG00000031860.17 transcript:ENSMUST00000134777.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pbx4 description:pre B cell leukemia homeobox 4 [Source:MGI Symbol;Acc:MGI:1931321] MAAPLRPVPPQPAPRRLPTTAPLGHDTSDVLQQIMAITDQSLDEAQARKHALNCHRMKSA LFSVLCEIKGKTGASGGISASRPQTC >ENSMUSP00000141574.1 pep:known chromosome:GRCm38:3:93068823:93075505:1 gene:ENSMUSG00000096879.2 transcript:ENSMUST00000194595.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4858 description:predicted gene 4858 [Source:MGI Symbol;Acc:MGI:3645677] MNRNCATAQVLTPDDKFTVLCKVSVLQDSFSISGQNPRPAIKVTRCALEDDVGELWENSL FTDCCLLVAGHEFRAHKVILAARSPVFRAMFEHEMKVRLTNRVEIHDLDPQVFKEMMGFI YTGKASHLHSYSMASDVLAAADRCGLKGLKVMCEDALCRNLSVENAAHTLILADLHSIEH LKIQALDFITVYASEVSKTSGWMSMRESHPHLVAQAFHSLASTHRIFGALPFKQLKWSLR PTQL >ENSMUSP00000141677.1 pep:known chromosome:GRCm38:3:93073537:93074987:1 gene:ENSMUSG00000096879.2 transcript:ENSMUST00000195847.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4858 description:predicted gene 4858 [Source:MGI Symbol;Acc:MGI:3645677] MTWCLRVYPTGVDEKNKDYVSLYLILLSCEKGSVWAKFEVCILNAKGEKCNTERIPSFSR ILQYQALGFEKFIIRHTFLSLAQVLTPDDKFTVLCKVSVLQDSFSISGQNPRPAIKVTRC ALEDDVGELWENSLFTDCCLLVAGHEFRAHKVILAARSPVFRAMFEHEMKVRLTNRVEIH DLDPQVFKEMMGFIYTGKASHLHSYSMASDVLAAADRCGLKGLKVMCEDALCRNLSVENA AHTLILADLHSIEHLKIQALDFITVYASEVSKTSGWMSMRESHPHLVAQAFHSLASTHRI FGALPFKQLKWSLRPTQL >ENSMUSP00000136458.1 pep:known chromosome:GRCm38:3:93073567:93074633:1 gene:ENSMUSG00000096879.2 transcript:ENSMUST00000179064.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4858 description:predicted gene 4858 [Source:MGI Symbol;Acc:MGI:3645677] MNRNCATAQVLTPDDKFTVLCKVSVLQDSFSISGQNPRPAIKVTRCALEDDVGELWENSL FTDCCLLVAGHEFRAHKVILAARSPVFRAMFEHEMKVRLTNRVEIHDLDPQVFKEMMGFI YTGKASHLHSYSMASDVLAAADRCGLKGLKVMCEDALCRNLSVENAAHTLILADLHSIEH LKIQALDFITVYASEVSKTSGWMSMRESHPHLVAQAFHSLASTHRIFGALPFKQLKWSLR PTQL >ENSMUSP00000118125.1 pep:known chromosome:GRCm38:5:63908897:63968897:-1 gene:ENSMUSG00000047881.14 transcript:ENSMUST00000154169.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rell1 description:RELT-like 1 [Source:MGI Symbol;Acc:MGI:2140767] MALWGLPGSAVLAASVFVGGAVSSPLVAADNTGSHTLHSRAETTPSSPTNNPGNGHPEYI AYVLVPVFFVMGLLGVLICHLLKKKGYRCTTEAEQEVEEEKVEKIELNDSINENSDTVGQ IVQYIMKNEANADILKAMVADNSVGDIESPVTPSTPGSPPVSPGPLSPGATPGKHVCGHH LHTVGGVVERDVCQRCRHKRWHFIKPTNKTKEGRPRRQGEVTVLSVGRFRVTKVEHKSNQ KERRSLMSVSGIESVNGDVPATPVKRERSDTE >ENSMUSP00000084585.6 pep:known chromosome:GRCm38:5:63909065:63968823:-1 gene:ENSMUSG00000047881.14 transcript:ENSMUST00000087327.12 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rell1 description:RELT-like 1 [Source:MGI Symbol;Acc:MGI:2140767] MALWGLPGSAVLAASVFVGGAVSSPLVAADTYTICVVLKLFTCTRGSSAREGAFTRL >ENSMUSP00000112565.1 pep:known chromosome:GRCm38:16:56007245:56024628:-1 gene:ENSMUSG00000071533.11 transcript:ENSMUST00000119981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnp description:PEST proteolytic signal containing nuclear protein [Source:MGI Symbol;Acc:MGI:1923552] MSKFGFAIGSQTARKASAISIRLGASKPKETVPTLAPKTLSVAAAFNEDEDSEPEEMPPE AKMRMKNIGRDTPTSAGPNSFNKGKHGFSDNQKLWERNIKSHLGNVHDQDN >ENSMUSP00000114818.1 pep:known chromosome:GRCm38:16:56015506:56029696:-1 gene:ENSMUSG00000071533.11 transcript:ENSMUST00000125040.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcnp description:PEST proteolytic signal containing nuclear protein [Source:MGI Symbol;Acc:MGI:1923552] MADGKAGEEKPEKPQRAGAAGVMEGKVPVAALKSDQLKTKLQTSQQSLQRCPSLDLP >ENSMUSP00000110087.2 pep:known chromosome:GRCm38:16:56015506:56029739:-1 gene:ENSMUSG00000071533.11 transcript:ENSMUST00000114444.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnp description:PEST proteolytic signal containing nuclear protein [Source:MGI Symbol;Acc:MGI:1923552] MADGKAGEEKPEKPQRAGAAGGPEEEAEKPVKTKTVSSSNGGESSSRSAEKRSAEDEAAD LPTKPTKMSKFGFAIGSQTARKASAISIRLGASKPKETVPTLAPKTLSVAAAFNEDEDSE PEEMPPEAKMRMKNIGRDTPTSAGPNSFNKGKHGFSDNQKLWERNIKSHLGNVHDQDN >ENSMUSP00000115493.1 pep:known chromosome:GRCm38:16:56016999:56029676:-1 gene:ENSMUSG00000071533.11 transcript:ENSMUST00000130818.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcnp description:PEST proteolytic signal containing nuclear protein [Source:MGI Symbol;Acc:MGI:1923552] MADGKAGEEKPEKPQRAGAAGVNSWEPLVLPHNLSSCCTL >ENSMUSP00000093720.3 pep:known chromosome:GRCm38:16:56017191:56024668:-1 gene:ENSMUSG00000071533.11 transcript:ENSMUST00000096021.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnp description:PEST proteolytic signal containing nuclear protein [Source:MGI Symbol;Acc:MGI:1923552] MGASCTATQSQFLLYTLASSEETVGSVGDSCRGPEEEAEKPVKTKTVSSSNGGESSSRSA EKRSAEDEAADLPTKPTKMSKFGFAIGSQTARKASAISIRLGASKPKETVPTLAPKTLSV AAAFNEDEDSEPEEMPPEAKMRMKNIGRDTPTSAGPNSFNKGKHGFSDNQKLWERNIKSH LGNVHDQDN >ENSMUSP00000113605.1 pep:known chromosome:GRCm38:16:56018120:56029696:-1 gene:ENSMUSG00000071533.11 transcript:ENSMUST00000122253.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcnp description:PEST proteolytic signal containing nuclear protein [Source:MGI Symbol;Acc:MGI:1923552] MADGKAGEEKPEKPQRAGAAGGPEEEAEKPVKTKTVSSSNGGESSSRSAEKRSAEDEAAD LPTKPTKMSKFGFAIGSQTARKASAISIRLGASKPKETVPTLAPKTLSVAAAFNEDEDSE PEEMPPEAKMRMKNIGRCRAFIIIIEL >ENSMUSP00000042342.4 pep:known chromosome:GRCm38:2:25222160:25224702:-1 gene:ENSMUSG00000036752.4 transcript:ENSMUST00000043584.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubb4b description:tubulin, beta 4B class IVB [Source:MGI Symbol;Acc:MGI:1915472] MREIVHLQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLERINVYYNEATGGKYV PRAVLVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVV RKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVV EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCL RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQMFDAKNMM AACDPRHGRYLTVAAVFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRG LKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS EYQQYQDATAEEEGEFEEEAEEEVA >ENSMUSP00000036159.3 pep:known chromosome:GRCm38:19:10937160:10942511:1 gene:ENSMUSG00000034117.3 transcript:ENSMUST00000037261.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptgdr2 description:prostaglandin D2 receptor 2 [Source:MGI Symbol;Acc:MGI:1330275] MANVTLKPLCPLLEEMVQLPNHSNSSLRYIDHVSVLLHGLASLLGLVENGLILFVVGCRM RQTVVTTWVLHLALSDLLAAASLPFFTYFLAVGHSWELGTTFCKLHSSVFFLNMFASGFL LSAISLDRCLQVVRPVWAQNHRTVAVAHRVCLMLWALAVLNTIPYFVFRDTIPRLDGRIM CYYNLLLWNPGPDRDTTCDYRQKALAVSKFLLAFMVPLAIIASSHVAVSLRLHHRGRQRT GRFVRLVAAIVVAFVLCWGPYHIFSLLEARAHSVTTLRQLASRGLPFVTSLAFFNSVVNP LLYVFTCPDMLYKLRRSLRAVLESVLVEDSDQSGGLRNRRRRASSTATPASTLLLADRIP QLRPTRLIGWMRRGSAEVPQRV >ENSMUSP00000025639.6 pep:known chromosome:GRCm38:19:10941481:10949266:-1 gene:ENSMUSG00000024732.7 transcript:ENSMUST00000025639.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc86 description:coiled-coil domain containing 86 [Source:MGI Symbol;Acc:MGI:1277220] MDTPLRRSRRLEGLKPLSPENLPVPEVSRAKRALVDFKSNSEETGELKSTRVPPLSLPSP GPQPETSPGSPCPPLSLPSPGPQPETSPGSPCPPLSLPSPGPQPETSPGSPCPPLSLPSP GPQPETSPGSPCPPLSLPSPGPQPEASPGSPGPRQDADDGSPQRQPEPHPGSLQPHQDLG LESPAGQTESSPESPQREQPSKLPPPQGELDSEAAHAKEEVIPGSPEPCPGQQAPGPEPS QPAQELTVQAPSSPERQLEPGKLPPAGETVTESLNLKKRVIASPQAPASKKLKEKEELPV IPKGKPKSGRVWKDRSKKRFSQMVQDKPLRTSWQRKMKERQERKLAKDFARHLEEEKQRR RQEKKERRAENLRRRLENERKAEIVQVIRNPAKLKKAKKKQLRSIEKRDTLALLQKQPPQ RPVAKV >ENSMUSP00000028346.3 pep:known chromosome:GRCm38:2:25218745:25222280:1 gene:ENSMUSG00000026969.3 transcript:ENSMUST00000028346.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam166a description:family with sequence similarity 166, member A [Source:MGI Symbol;Acc:MGI:3605773] MTATQKHNLFTPEPHYIPGYAGFYPQLRYQVGNTYGRTTAQLLTDPSVQKSPCSVLSPMT KPKFIEDFSKSKPPWIPCRDLREPYIPHYTSLKPYKNFEILGQLPRQDVDTQGPPQVENR QGPLTAGFMPYPPYPACPPGRKGEARDLGHPGLLLAYGEEAWKDAAPLQDTPGKNNQLYH CRRDEYLPPHPPQETLDVGRFQRLPQLDHPNLIQRKAISGYAGFVPRFAWVMGMNYRDGV TQAMDDFDKNQFVFRHPVCALGERLPRTHWPNTTIYRSQGLIPFYMGFIPSMQDNYALTF GNSTRRAYQKELDRRSHTL >ENSMUSP00000136230.1 pep:known chromosome:GRCm38:10:77798387:77799133:-1 gene:ENSMUSG00000096380.1 transcript:ENSMUST00000178581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm19668 description:predicted gene, 19668 [Source:MGI Symbol;Acc:MGI:5011853] MADTCCTRTCVIAASTTSVCSSNLSCGNRICSPSTCLGASWQADNCQESYCEPPCCAPSC CQSSYCQPSCCAPAPCLTLVCTPVSCVSSPCCQSSCCTPSCCQQSSCQPACCTCSPCQQP CCVTLCCKPVCCTPICSGSCCQQSSCQSSCCQSPCCVPVCCKPVCCTPICSGSSPCCQPS CCAPVCCKPCSSLSLLCRPVCRPACCVPTSSCCASSCQPSCCGPTSSVSLLCRPACSKQA CCGQKSSC >ENSMUSP00000138370.1 pep:known chromosome:GRCm38:3:69222419:69223618:1 gene:ENSMUSG00000098207.1 transcript:ENSMUST00000183126.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl14 description:ADP-ribosylation factor-like 14 [Source:MGI Symbol;Acc:MGI:1918869] MGLLNSKNPQSKQAHILLLGLDSAGKSTLLYRLKFAETLSTIPTIGFNVEMVQLQSSLTL TVWDVGGQEKMRTVWDCYCENAQGLMYVVDCSEGKKRLEDSRKEFKHILKNEHIKNTPVV ILANKQDLPGALSAEDITRMFKVKKLCSNRNWYVQPCCAVTGEGLDDGFRKLTEFLKSYR RTRETLAIFKQK >ENSMUSP00000080600.6 pep:known chromosome:GRCm38:2:3284212:3328877:1 gene:ENSMUSG00000026643.16 transcript:ENSMUST00000081932.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmt2 description:N-myristoyltransferase 2 [Source:MGI Symbol;Acc:MGI:1202298] MAEDSESAASQQSLELDDQDTCGIDGDNEEETEHAKGSPGGDLGAKKKKKKQKRKKEKPN SGGTKSDSASDSQEIKIQQSSKHNAIWQQISAGAAMGGDTMEGEWIDLRMYHKNPTIPIQ KLQDIQRAMELLSACQGPARNIDEATKRRYQFWDTQPVPKLNEVITSHGAIEPDKDNIRQ EPYSLPQGFMWDTLDLSNAEVLKELYTLLNENYVEDDDNMFRFDYSPEFLLWALRPPGWL LQWHCGVRVSSNKKLVGFISAIPANIRIYDSVKRMVEINFLCVHKKLRSKRVAPVLIREI TRRVNLEGIFQAVYTAGVVLPKPVATCRYWHRSLNPRKLVEVKFSHLSRNMTLQRTMKLY RLPDVTKTSGLRPMEPKDIKAVRELINIYLKQFHLAPVMDDAEVAHWFLPREHIIDTFVV ENPSGKLTDFLSFYTLPSTVMHHPAHKSLKAAYSFYNIHTETPLLDLMNDALIIAKLKGF DVFNALDLMENKTFLEKLKFGIGDGNLQYYLYNWRCPGTDSEKVGLVLQ >ENSMUSP00000089085.4 pep:known chromosome:GRCm38:2:3284268:3326198:1 gene:ENSMUSG00000026643.16 transcript:ENSMUST00000091504.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmt2 description:N-myristoyltransferase 2 [Source:MGI Symbol;Acc:MGI:1202298] MRRRPNTPKEALEGIWEQKRKRRNKREKRRSQILGVPSPTLHLTPRRLRFSSLLNTTPSG SRFQQEQPWNPTIPIQKLQDIQRAMELLSACQGPARNIDEATKRRYQFWDTQPVPKLNEV ITSHGAIEPDKDNIRQEPYSLPQGFMWDTLDLSNAEVLKELYTLLNENYVEDDDNMFRFD YSPEFLLWALRPPGWLLQWHCGVRVSSNKKLVGFISAIPANIRIYDSVKRMVEINFLCVH KKLRSKRVAPVLIREITRRVNLEGIFQAVYTAGVVLPKPVATCRYWHRSLNPRKLVEVKF SHLSRNMTLQRTMKLYRLPDVTKTSGLRPMEPKDIKAVRELINIYLKQFHLAPVMDDAEV AHWFLPREHIIDTFVVENPSGKLTDFLSFYTLPSTVMHHPAHKSLKAAYSFYNIHTETPL LDLMNDALIIAKLKGFDVFNALDLMENKTFLEKLKFGIGDGNLQYYLYNWRCPGTDSEKV GLVLQ >ENSMUSP00000100054.3 pep:known chromosome:GRCm38:2:3284257:3326716:1 gene:ENSMUSG00000026643.16 transcript:ENSMUST00000102989.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nmt2 description:N-myristoyltransferase 2 [Source:MGI Symbol;Acc:MGI:1202298] MAEDSESAASQQSLELDDQDTCGIDGDNEEETEHAKGSPGGDLGAKKKKKKQKRKKEKPN SGGTKSDSASDSQEIKIQQSSKNPTIPIQKLQDIQRAMELLSACQGPARNIDEATKRRYQ FWDTQPVPKLNEVITSHGAIEPDKDNIRQEPYSLPQGFMWDTLDLSNAEVLKELYTLLNE NYVEDDDNMFRFDYSPEFLLWALRPPGWLLQWHCGVRVSSNKKLVGFISAIPANIRIYDS VKRMVEINFLCVHKKLRSKRVAPVLIREITRRVNLEGIFQAVYTAGVVLPKPVATCRYWH RSLNPRKLVEVKFSHLSRNMTLQRTMKLYRLPDVTKTSGLRPMEPKDIKAVRELINIYLK QFHLAPVMDDAEVAHWFLPREHIIDTFVVENPSGKLTDFLSFYTLPSTVMHHPAHKSLKA AYSFYNIHTETPLLDLMNDALIIAKLKGFDVFNALDLMENKTFLEKLKFGIGDGNLQYYL YNWRCPGTDSEKVGLVLQ >ENSMUSP00000023269.4 pep:known chromosome:GRCm38:16:55966275:55971435:1 gene:ENSMUSG00000098274.7 transcript:ENSMUST00000023269.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl24 description:ribosomal protein L24 [Source:MGI Symbol;Acc:MGI:1915443] MKVELCSFSGYKIYPGHGRRYARTDGKVFQFLNAKCESAFLSKRNPRQINWTVLYRRKHK KGQSEEIQKKRTRRAVKFQRAITGASLADIMAKRNQKPEVRKAQREQAIRAAKEAKKAKQ ASKKTAMAAAKAPTKAAPKQKIVKPVKVSAPRVGGKR >ENSMUSP00000109734.3 pep:known chromosome:GRCm38:5:38277404:38304208:1 gene:ENSMUSG00000051596.13 transcript:ENSMUST00000114099.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otop1 description:otopetrin 1 [Source:MGI Symbol;Acc:MGI:2388363] MPGGPGAPSSPAASSGSSRAAPSGIAACPLSPPPLARGSPQASGPRRGASVPQKLAETLS SQYGLNVFVAGLLFLLAWAVHATGVGKSDLLCVLTALMLLQLLWMLWYVGRSYMQRRLIR PKDTHAGARWLRGSITLFAFITVVLGCLKVAYFIGFSECLSATEGVFPVTHAVHTLLQVY FLWGHAKDIIMSFKTLERFGVIHSVFTNLLLWANSVLNESKHQLNEHKERLITLGFGNIT IVLDDHTPQCNCTPPALCSALSHGIYYLYPFNIEYQILASTMLYVLWKNIGRRVDSSQHQ KMQCRFDGVLVGSVLGLTVLAATIAVVVVYMIHIGRSKSKSESALIMFYLYAITVLLLMG AAGLVGSWIYRVDEKSLDESKNPARKLDVDLLVATGSGSWLLSWGSILAIACAETRPPYT WYNLPYSVLVIVEKYVQNIFIIESVHLEPEGVPEDVRTLRVVTVCSSEAAALAASTLGSQ GMAQDGSPAVNGNLCLQQRCGKEDQESGWEGATGTTRCLDFLQGGMKRRLLRNITAFLFL CNISLWIPPAFGCRPEYDNGLEEIVFGFEPWIIVVNLAMPFSIFYRMHAAAALFEVYCKI >ENSMUSP00000061805.7 pep:known chromosome:GRCm38:5:38275972:38304209:1 gene:ENSMUSG00000051596.13 transcript:ENSMUST00000063136.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otop1 description:otopetrin 1 [Source:MGI Symbol;Acc:MGI:2388363] MLTPPETLSAFLAGGFLGCLCLLEGLGCLRIAARGSPQASGPRRGASVPQKLAETLSSQY GLNVFVAGLLFLLAWAVHATGVGKSDLLCVLTALMLLQLLWMLWYVGRSYMQRRLIRPKD THAGARWLRGSITLFAFITVVLGCLKVAYFIGFSECLSATEGVFPVTHAVHTLLQVYFLW GHAKDIIMSFKTLERFGVIHSVFTNLLLWANSVLNESKHQLNEHKERLITLGFGNITIVL DDHTPQCNCTPPALCSALSHGIYYLYPFNIEYQILASTMLYVLWKNIGRRVDSSQHQKMQ CRFDGVLVGSVLGLTVLAATIAVVVVYMIHIGRSKSKSESALIMFYLYAITVLLLMGAAG LVGSWIYRVDEKSLDESKNPARKLDVDLLVATGSGSWLLSWGSILAIACAETRPPYTWYN LPYSVLVIVEKYVQNIFIIESVHLEPEGVPEDVRTLRVVTCSSEAAALAASTLGSQGMAQ DGSPAVNGNLCLQQRCGKEDQESGWEGATGTTRCLDFLQGGMKRRLLRNITAFLFLCNIS LWIPPAFGCRPEYDNGLEEIVFGFEPWIIVVNLAMPFSIFYRMHAAAALFEVYCKI >ENSMUSP00000032566.1 pep:known chromosome:GRCm38:7:19139702:19149291:-1 gene:ENSMUSG00000030407.2 transcript:ENSMUST00000032566.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qpctl description:glutaminyl-peptide cyclotransferase-like [Source:MGI Symbol;Acc:MGI:1914619] MSPGSRGRPRQRLEDRGLMKPPSLSKRRLLPRVQFLPLLLLALAMGLAFYIVWNSWHPGV EEMSRSRDLRVPLIGSLSEAKLRLVVGQLDPQRLWGTFLRPLLIVRPPGSSGNLQVRKFL EATLQSLSAGWHVELDPFTASTPLGPLDFGNVVATLDPGAARHLTLACHYDSKFFPPGLP PFVGATDSAVPCALLLELVQALDAMLSRIKQQAAPVTLQLLFLDGEEALKEWGPKDSLYG SRHLAQIMESIPHSPGPTRIQAIELFVLLDLLGASSPIFFSHFPRTARWFQRLRSIEKRL HRLNLLQSHPQEVMYFQPGEPPGPVEDDHIPFLRRGVPVLHLIATPFPAVWHTPADTEAN LHPPTVHNLSRILAVFLAEYLGL >ENSMUSP00000123659.1 pep:known chromosome:GRCm38:11:71749920:71760112:1 gene:ENSMUSG00000020811.16 transcript:ENSMUST00000150531.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wscd1 description:WSC domain containing 1 [Source:MGI Symbol;Acc:MGI:2448493] MAKPFFRLQKFLRRTQFLLLFLTAAYLMTGSLLLLQRARVALPQALRAPGSLQALPVATV ALGVGLLDGRSLRDPHSSPDLLLDVDTL >ENSMUSP00000021168.7 pep:known chromosome:GRCm38:11:71750685:71789647:1 gene:ENSMUSG00000020811.16 transcript:ENSMUST00000021168.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wscd1 description:WSC domain containing 1 [Source:MGI Symbol;Acc:MGI:2448493] MAKPFFRLQKFLRRTQFLLLFLTAAYLMTGSLLLLQRARVALPQALRAPGSLQALPVATV ALGVGLLDGRSLRDPHSSPDLLLDVDTLRSPLARLPPGIRWPRRNRSSLRRRWLHHLTSD PQGPPTLSPEASGPANHNRGNYLGCFSEEGQERTLKGAVFYDLRKMTVSHCQDACAERSY VYAGLEAGAECYCGNRLPATRVSLKECNQECKGEKGSMCGAVRRLSVYSVGLQQPGSKKR RTATYRGCFPLPENVTHTFSSSMTQANMTVETCSGFCSQKEFPLAILRGWDCYCAYPTPQ FSLRDAVDGALCSQAPETQGLPGYCEVYQTPVQDTRCTDRKFLPDKSKVFVALSSFPGAG NTWARHLIEHATGFYTGSYYFDGTLYNKGFKGEKDHWRSRRTICVKTHESGRREIEMFDS AILLIRNPYRSLVAEFNRKCAGHLGYAPDRNWKSKEWPEFVNSYASWWSSHVLDWLKYGK RLLVVHYEELRHSLVPTLREMVAFLNVSVSEERLLCVENNKEGSFRRRGRRPHDQEPFTP EMKDLINGYIRTVDQALRDHNWAGLPREYVPR >ENSMUSP00000104151.1 pep:known chromosome:GRCm38:11:71750980:71789647:1 gene:ENSMUSG00000020811.16 transcript:ENSMUST00000108511.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wscd1 description:WSC domain containing 1 [Source:MGI Symbol;Acc:MGI:2448493] MAKPFFRLQKFLRRTQFLLLFLTAAYLMTGSLLLLQRARVALPQALRAPGSLQALPVATV ALGVGLLDGRSLRDPHSSPDLLLDVDTLRSPLARLPPGIRWPRRNRSSLRRRWLHHLTSD PQGPPTLSPEASGPANHNRGNYLGCFSEEGQERTLKGAVFYDLRKMTVSHCQDACAERSY VYAGLEAGAECYCGNRLPATRVSLKECNQECKGEKGSMCGAVRRLSVYSVGLQQPGSKKR RTATYRGCFPLPENVTHTFSSSMTQANMTVETCSGFCSQKEFPLAILRGWDCYCAYPTPQ FSLRDAVDGALCSQAPETQGLPGYCEVYQTPVQDTRCTDRKFLPDKSKVFVALSSFPGAG NTWARHLIEHATGFYTGSYYFDGTLYNKGFKGEKDHWRSRRTICVKTHESGRREIEMFDS AILLIRNPYRSLVAEFNRKCAGHLGYAPDRNWKSKEWPEFVNSYASWWSSHVLDWLKYGK RLLVVHYEELRHSLVPTLREMVAFLNVSVSEERLLCVENNKEGSFRRRGRRPHDQEPFTP EMKDLINGYIRTVDQALRDHNWAGLPREYVPR >ENSMUSP00000104150.1 pep:known chromosome:GRCm38:11:71751376:71789647:1 gene:ENSMUSG00000020811.16 transcript:ENSMUST00000108510.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wscd1 description:WSC domain containing 1 [Source:MGI Symbol;Acc:MGI:2448493] MAKPFFRLQKFLRRTQFLLLFLTAAYLMTGSLLLLQRARVALPQALRAPGSLQALPVATV ALGVGLLDGRSLRDPHSSPDLLLDVDTLRSPLARLPPGIRWPRRNRSSLRRRWLHHLTSD PQGPPTLSPEASGPANHNRGNYLGCFSEEGQERTLKGAVFYDLRKMTVSHCQDACAERSY VYAGLEAGAECYCGNRLPATRVSLKECNQECKGEKGSMCGAVRRLSVYSVGLQQPGSKKR RTATYRGCFPLPENVTHTFSSSMTQANMTVETCSGFCSQKEFPLAILRGWDCYCAYPTPQ FSLRDAVDGALCSQAPETQGLPGYCEVYQTPVQDTRCTDRKFLPDKSKVFVALSSFPGAG NTWARHLIEHATGFYTGSYYFDGTLYNKGFKGEKDHWRSRRTICVKTHESGRREIEMFDS AILLIRNPYRSLVAEFNRKCAGHLGYAPDRNWKSKEWPEFVNSYASWWSSHVLDWLKYGK RLLVVHYEELRHSLVPTLREMVAFLNVSVSEERLLCVENNKEGSFRRRGRRPHDQEPFTP EMKDLINGYIRTVDQALRDHNWAGLPREYVPR >ENSMUSP00000123687.1 pep:known chromosome:GRCm38:4:55530712:55532453:-1 gene:ENSMUSG00000003032.8 transcript:ENSMUST00000132746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf4 description:Kruppel-like factor 4 (gut) [Source:MGI Symbol;Acc:MGI:1342287] MKRLPPLPGRPYDLAATVATDLESGGAGAACSSNNPALLARRETEEFNDLLDLDFILSNS LTHQESVAATVTTSASASSSSSP >ENSMUSP00000116514.1 pep:known chromosome:GRCm38:4:55530603:55531770:-1 gene:ENSMUSG00000003032.8 transcript:ENSMUST00000129250.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf4 description:Kruppel-like factor 4 (gut) [Source:MGI Symbol;Acc:MGI:1342287] MAVSDALLPSFSTFASGPAGREKTLRPAGAPTNRWREELSHMKRLPPLPGRPYDLAATVA TDLESGGAGAACSSNNPALLARRETEEFNDLLDLDFILSNSLTHQESVAATVTTSASASS SSSPASSGPASAPSTCSFSYPIRAGGDPGVAASNTGGGLL >ENSMUSP00000103245.2 pep:known chromosome:GRCm38:4:55527143:55532466:-1 gene:ENSMUSG00000003032.8 transcript:ENSMUST00000107619.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klf4 description:Kruppel-like factor 4 (gut) [Source:MGI Symbol;Acc:MGI:1342287] MRQPPGESDMAVSDALLPSFSTFASGPAGREKTLRPAGAPTNRWREELSHMKRLPPLPGR PYDLAATVATDLESGGAGAACSSNNPALLARRETEEFNDLLDLDFILSNSLTHQESVAAT VTTSASASSSSSPASSGPASAPSTCSFSYPIRAGGDPGVAASNTGGGLLYSRESAPPPTA PFNLADINDVSPSGGFVAELLRPELDPVYIPPQQPQPPGGGLMGKFVLKASLTTPGSEYS SPSVISVSKGSPDGSHPVVVAPYSGGPPRMCPKIKQEAVPSCTVSRSLEAHLSAGPQLSN GHRPNTHDFPLGRQLPTRTTPTLSPEELLNSRDCHPGLPLPPGFHPHPGPNYPPFLPDQM QSQVPSLHYQELMPPGSCLPEEPKPKRGRRSWPRKRTATHTCDYAGCGKTYTKSSHLKAH LRTHTGEKPYHCDWDGCGWKFARSDELTRHYRKHTGHRPFQCQKCDRAFSRSDHLALHMK RHF >ENSMUSP00000019439.7 pep:known chromosome:GRCm38:5:33653216:33658416:-1 gene:ENSMUSG00000019295.8 transcript:ENSMUST00000019439.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem129 description:transmembrane protein 129 [Source:MGI Symbol;Acc:MGI:1915616] MDSPEVTFTLAYLVFAVCFVFTPNEFYSAGLTVQNLLSGWLGSEDAAFVPYHLRRTSATL LCHSLLPLGYYMGMCFAASEKQLYSPGQAPEAWQLFLLLAVTLPLLSCTLIYYWSWDRWT RHPLAQTLALYALPQSGWQAVASSINTEFRRIDKFATGAPGARVIVTDTWVMKVTTYRVH VAQQQDVHLTVTESRQHDLSPDSNLPVQLLTIRVASTSPGTQPFDIRLNSSEYGELCEKL HAPIRSAANVVIRQSLGDLFLETFASHVEVNPAYSVPSNQELEPCIGCMQTRASVKLVKT CQEPAVGECQQCYCRPMWCLTCMGKWFASRQDPQRPDTWLASRVPCPTCRARFCILDVCC VR >ENSMUSP00000144662.1 pep:known chromosome:GRCm38:5:33654122:33655486:-1 gene:ENSMUSG00000019295.8 transcript:ENSMUST00000200849.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem129 description:transmembrane protein 129 [Source:MGI Symbol;Acc:MGI:1915616] MQTRASVKLVKTCQEPAVGECQQCYCRPMWCLTCMGKWFASRQDPQRPDTWLASRVPCPT CRARFCILDVCCVR >ENSMUSP00000056923.7 pep:known chromosome:GRCm38:16:55973883:56008913:1 gene:ENSMUSG00000022601.11 transcript:ENSMUST00000050248.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb11 description:zinc finger and BTB domain containing 11 [Source:MGI Symbol;Acc:MGI:2443876] MSSEESYRAILRYLTNEREPYAPGTEGNVKRKIRKAAACYVVRGGTLYYQRRQRHRKTFA ELEVVLQPERRQGLIEAAHLGPGGTHHTRHQTWHDLSKTYWWRGILKQVKDYIKQCSKCQ EKLDRSRPISDASEMLEELGLDLDSGEESNESEDDLSNFTSPPSTASKSSKKKPVSKHEL VFVDTKGVVKRSSPKHCQAVLKQLNEQRLSNQFCDVTLLIEGEEYKAHKSVLSANSEYFR DLFIEKGAVSSHEAVVDLSGFCKASFLPLLEFAYTSVLSFDFCSMADVAVLARHLFMSEV LEICESVHKLMEEKQLTVYKKGEVQTVASTQDLAAHNGTTTPPGTRNEATTTLSGELGHC EIVLLVNGELPEAEQNGEPEQQPAPQASPEAEASVSPVEGIPEPHPEMGTASLAKESNQP ESAVTREDGIVASVHPKISKENVTNASQEDSDTGNDTSPEDIGAKDCPDHSQSPGQPSKD EDTLTEATEKTDSGPDDDTYRSRLRQRSVNEGGYIRLHKGMEKKLQKRKAISKSAVQQVA QKLVQRGKKMKQPKRDAKESTEETAHKCGECGMVFPRRYAFIMHTLKHERARDYKCPLCK KQFQYSASLRAHLIRHTRKEAPTSSSSNSTSTEASGGSSEKGRTKREFICSICGRTLPKL YSLRIHMLKHTGVKPHACQVCGKTFIYKHGLKLHQSLHQSQKQFQCELCVKSFVTKRSLQ EHMSIHTGESKYFCSICGKSFHRGSGLSKHLKKHQPKPEVRGYHCTQCEKSFFEARDLRQ HMNKHLGVKPFQCQFCDKCYSWKKDWYSHVKSHSVTEPYRCNICGKEFYEKALFRRHVKK ATHGKKGRAKQNLERVCDQCGRKFTQLREYRRHMNNHEGVKPFECLTCGVAWADARSLKR HVRTHTGERPYVCPVCSEAYIDARTLRKHMTKFHRDYVPCKIMLEKDTLQFHNQGTQVEH AVSILTADMQEQESSGPQELETVVVTGETMEVLEAVAATEECPSVSTLSDQSIMQVVNYV LAQQQGQKLSEVAEAIQTVEVEVAHMPEAE >ENSMUSP00000086409.3 pep:known chromosome:GRCm38:17:12841079:12868143:-1 gene:ENSMUSG00000068037.10 transcript:ENSMUST00000089015.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mas1 description:MAS1 oncogene [Source:MGI Symbol;Acc:MGI:96918] MDQSNMTSLAEEKAMNTSSRNASLGSSHPPIPIVHWVIMSISPLGFVENGILLWFLCFRM RRNPFTVYITHLSIADISLLFCIFILSIDYALDYELSSGHHYTIVTLSVTFLFGYNTGLY LLTAISVERCLSVLYPIWYRCHRPKHQSAFVCALLWALSCLVTTMEYVMCIDSGEESHSR SDCRAVIIFIAILSFLVFTPLMLVSSTILVVKIRKNTWASHSSKLYIVIMVTIIIFLIFA MPMRVLYLLYYEYWSAFGNLHNISLLFSTINSSANPFIYFFVGSSKKKRFRESLKVVLTR AFKDEMQPRRQEGNGNTVSIETVV >ENSMUSP00000125108.1 pep:known chromosome:GRCm38:17:12841115:12854460:-1 gene:ENSMUSG00000068037.10 transcript:ENSMUST00000162333.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mas1 description:MAS1 oncogene [Source:MGI Symbol;Acc:MGI:96918] MDQSNMTSLAEEKAMNTSSRNASLGSSHPPIPIVHWVIMSISPLGFVENGILLWFLCFRM RRNPFTVYITHLSIADISLLFCIFILSIDYALDYELSSGHHYTIVTLSVTFLFGYNTGLY LLTAISVERCLSVLYPIWYRCHRPKHQSAFVCALLWALSCLVTTMEYVMCIDSGEESHSR SDCRAVIIFIAILSFLVFTPLMLVSSTILVVKIRKNTWASHSSKLYIVIMVTIIIFLIFA MPMRVLYLLYYEYWSAFGNLHNISLLFSTINSSANPFIYFFVGSSKKKRFRESLKVVLTR AFKDEMQPRRQEGNGNTVSIETVV >ENSMUSP00000123902.1 pep:known chromosome:GRCm38:17:12841115:12854460:-1 gene:ENSMUSG00000068037.10 transcript:ENSMUST00000161747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mas1 description:MAS1 oncogene [Source:MGI Symbol;Acc:MGI:96918] MDQSNMTSLAEEKAMNTSSRNASLGSSHPPIPIVHWVIMSISPLGFVENGILLWFLCFRM RRNPFTVYITHLSIADISLLFCIFILSIDYALDYELSSGHHYTIVTLSVTFLFGYNTGLY LLTAISVERCLSVLYPIWYRCHRPKHQSAFVCALLWALSCLVTTMEYVMCIDSGEESHSR SDCRAVIIFIAILSFLVFTPLMLVSSTILVVKIRKNTWASHSSKLYIVIMVTIIIFLIFA MPMRVLYLLYYEYWSAFGNLHNISLLFSTINSSANPFIYFFVGSSKKKRFRESLKVVLTR AFKDEMQPRRQEGNGNTVSIETVV >ENSMUSP00000124952.1 pep:known chromosome:GRCm38:17:12842204:12851907:-1 gene:ENSMUSG00000068037.10 transcript:ENSMUST00000162119.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mas1 description:MAS1 oncogene [Source:MGI Symbol;Acc:MGI:96918] MDQSNMTSLAEEKAMNTSSRNASLGSSHPPIPIVHWVIMSISPLGFVENGILLWFLCFRM RRNPFTVYITHLSIADISLLFCIFILSIDYALDYELSSGHHYTIVTLSVT >ENSMUSP00000124879.1 pep:known chromosome:GRCm38:17:12842307:12851893:-1 gene:ENSMUSG00000068037.10 transcript:ENSMUST00000162389.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mas1 description:MAS1 oncogene [Source:MGI Symbol;Acc:MGI:96918] MDQSNMTSLAEEKAMNTSSRNASLGSSHPPIPIVHWVIMSISPLGFVENGILLWFLCFRM RRNPFTVYITHLSIAD >ENSMUSP00000124295.1 pep:known chromosome:GRCm38:17:12842318:12851907:-1 gene:ENSMUSG00000068037.10 transcript:ENSMUST00000159223.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mas1 description:MAS1 oncogene [Source:MGI Symbol;Acc:MGI:96918] MDQSNMTSLAEEKAMNTSSRNASLGSSHPPIPIVHWVIMSISPLGFVENGILLWFLCFRM RRNPFTVYITHL >ENSMUSP00000124901.1 pep:known chromosome:GRCm38:17:12842461:12855041:-1 gene:ENSMUSG00000068037.10 transcript:ENSMUST00000159865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mas1 description:MAS1 oncogene [Source:MGI Symbol;Acc:MGI:96918] MDQSNMTSLAEEKAMNTSSRNASLG >ENSMUSP00000131341.1 pep:known chromosome:GRCm38:17:12841115:12854340:-1 gene:ENSMUSG00000068037.10 transcript:ENSMUST00000167152.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mas1 description:MAS1 oncogene [Source:MGI Symbol;Acc:MGI:96918] MDQSNMTSLAEEKAMNTSSRNASLGSSHPPIPIVHWVIMSISPLGFVENGILLWFLCFRM RRNPFTVYITHLSIADISLLFCIFILSIDYALDYELSSGHHYTIVTLSVTFLFGYNTGLY LLTAISVERCLSVLYPIWYRCHRPKHQSAFVCALLWALSCLVTTMEYVMCIDSGEESHSR SDCRAVIIFIAILSFLVFTPLMLVSSTILVVKIRKNTWASHSSKLYIVIMVTIIIFLIFA MPMRVLYLLYYEYWSAFGNLHNISLLFSTINSSANPFIYFFVGSSKKKRFRESLKVVLTR AFKDEMQPRRQEGNGNTVSIETVV >ENSMUSP00000132300.1 pep:known chromosome:GRCm38:17:12841115:12848120:-1 gene:ENSMUSG00000068037.10 transcript:ENSMUST00000165020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mas1 description:MAS1 oncogene [Source:MGI Symbol;Acc:MGI:96918] MDQSNMTSLAEEKAMNTSSRNASLGSSHPPIPIVHWVIMSISPLGFVENGILLWFLCFRM RRNPFTVYITHLSIADISLLFCIFILSIDYALDYELSSGHHYTIVTLSVTFLFGYNTGLY LLTAISVERCLSVLYPIWYRCHRPKHQSAFVCALLWALSCLVTTMEYVMCIDSGEESHSR SDCRAVIIFIAILSFLVFTPLMLVSSTILVVKIRKNTWASHSSKLYIVIMVTIIIFLIFA MPMRVLYLLYYEYWSAFGNLHNISLLFSTINSSANPFIYFFVGSSKKKRFRESLKVVLTR AFKDEMQPRRQEGNGNTVSIETVV >ENSMUSP00000026710.5 pep:known chromosome:GRCm38:16:87454703:87483517:1 gene:ENSMUSG00000025616.14 transcript:ENSMUST00000026710.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp16 description:ubiquitin specific peptidase 16 [Source:MGI Symbol;Acc:MGI:1921362] MGKKRTKGRSAPDTVASESAEPVCRHLRKGLEQGNLKKALVNVEWNICQDCKTDNKVKDK PEEEAEDPSVWLCLKCGHQGCGRDSQEQHALKHYTTPRSEPHYLVLSLDNWSVWCYKCDE EVKYCSSNRLGQVVDYVRKQAGVRTSKPAEKNNGHIELENKKLEKESKNEQEREKSENLA KETIPMDSASQITVKGLSNLGNTCFFNAVMQNLSQTPVLRELLKEVKMSGTIVKIEPPDL ALTEPLEVNLEPPGPLTLAMSQFLSEMQENKKRVVTPKELFSQVCKKATRFKGYQQQDSQ ELLRYLLDGMRAEEHQRVSKGILKAFGNSTEKLDEEVKNKVKDYEKKKAIPSFVDRIFGG ELTSTIMCDECRTVSLVHESFLDLSLPVLDDQSGKKSINDKNVKMTMEEEDKDSEEEKDD SYMKSRSDLPSGTSKHLQKKAKKQAKKQAKNQRRQQKIQERFLHFNELCATDYTEDNERE ADTALAGEVEVDTDSTHGSQEEATQIELSVNQKDLDGQESMIERTPDVQESPEDLGVKSA NTESDLGIVTPAPECPRDFNGAFLEERTSGELDIINGLKNLNLNAAVDPDEINIEIPNDS HSAPKVYEVMNEDPETAFCTLANREAFSTDECSIQHCLYQFTRNEKLQDANKLLCEVCSR RQCNGPKANIKGDRRHVYTNAKKQMLVSLAPPVLTLHLKRFQQAGFNLRKVNKHIKFPEI LDLAPFCTLKCKNVAEESTRVLYSLYGVVEHSGTMRSGHYTAYAKERTASCHLSNLVLHG DIPQDCEMESTKGQWFHISDTHVQAVPITKVLNSQAYLLFYERIL >ENSMUSP00000114058.1 pep:known chromosome:GRCm38:16:87454979:87483310:1 gene:ENSMUSG00000025616.14 transcript:ENSMUST00000119504.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp16 description:ubiquitin specific peptidase 16 [Source:MGI Symbol;Acc:MGI:1921362] MGKKRTKGRSAPDTVASESAEPVCRHLRKGLEQGNLKKALVNVEWNICQDCKTDNKVKDK PEEEAEDPSVWLCLKCGHQGCGRDSQEQHALKHYTTPRSEPHYLVLSLDNWSVWCYKCDE EVKYCSSNRLGQVVDYVRKQAGVRTSKPEKNNGHIELENKKLEKESKNEQEREKSENLAK ETIPMDSASQITVKGLSNLGNTCFFNAVMQNLSQTPVLRELLKEVKMSGTIVKIEPPDLA LTEPLEVNLEPPGPLTLAMSQFLSEMQENKKRVVTPKELFSQVCKKATRFKGYQQQDSQE LLRYLLDGMRAEEHQRVSKGILKAFGNSTEKLDEEVKNKVKDYEKKKAIPSFVDRIFGGE LTSTIMCDECRTVSLVHESFLDLSLPVLDDQSGKKSINDKNVKMTMEEEDKDSEEEKDDS YMKSRSDLPSGTSKHLQKKAKKQAKKQAKNQRRQQKIQERFLHFNELCATDYTEDNEREA DTALAGEVEVDTDSTHGSQEEATQIELSVNQKDLDGQESMIERTPDVQESPEDLGVKSAN TESDLGIVTPAPECPRDFNGAFLEERTSGELDIINGLKNLNLNAAVDPDEINIEIPNDSH SAPKVYEVMNEDPETAFCTLANREAFSTDECSIQHCLYQFTRNEKLQDANKLLCEVCSRR QCNGPKANIKGDRRHVYTNAKKQMLVSLAPPVLTLHLKRFQQAGFNLRKVNKHIKFPEIL DLAPFCTLKCKIVKWNQPKGSGFTSAIHMCKLCL >ENSMUSP00000122384.1 pep:known chromosome:GRCm38:16:87454985:87462049:1 gene:ENSMUSG00000025616.14 transcript:ENSMUST00000131356.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp16 description:ubiquitin specific peptidase 16 [Source:MGI Symbol;Acc:MGI:1921362] MGKKRTKGRSAPDTVASESAEPVCRHLRKGLEQGNLKKALVNVEWNIC >ENSMUSP00000116323.1 pep:known chromosome:GRCm38:16:87455058:87483310:1 gene:ENSMUSG00000025616.14 transcript:ENSMUST00000144759.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp16 description:ubiquitin specific peptidase 16 [Source:MGI Symbol;Acc:MGI:1921362] MGKKRTKGRSAPDTVASESAEPVCRHLRKGLEQGNLKKALVNVEWNICQDCKTDNKVKDK PEEEAEDPSVWLCLKCGHQGCGRDSQEQHALKHYTTPRSEPHYLVLSLDNWSVWCYKCDE EVKYCSSNRLGQVVDYVRKQAGVRTSKPEKNNGHIELENKKLEKESKNEQEREKSENLAK ETIPMDSASQITVKGLSNLGNTCFFNAVMQNLSQTPVLRELLKEVKMSGTIVKIEPPDLA LTEPLEVNLEPPGPLTLAMSQFLSEMQENKKRVVTPKELFSQVCKKATRFKGYQQQDSQE LLRYLLDGMRAEEHQIMKRKRQSRVLWTASLVAS >ENSMUSP00000055692.3 pep:known chromosome:GRCm38:7:19119859:19140172:1 gene:ENSMUSG00000050428.7 transcript:ENSMUST00000053109.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo46 description:F-box protein 46 [Source:MGI Symbol;Acc:MGI:2444918] MDRGSLLPFQLWCPRPFSKYSQNQPRPPSTALKPPVCPDTSSGTEPDHRPAHLESTPPAV AAEAPTSQPAPLLSTAASGDEGRVLLDTWYVIKPGNTKEKVAFFVAHQCGGSSRASSMKV KGHWGSDSSKAKRRRRCLEPTKAPPDQGGREGTPATEVTPTSSGDDVDLVSVAEMVALVE QRAALALQSYPRPSTPAPVVFVSADQGGPAKGLGSERRSGGGDCSRVAEAVAHFEAQRDS PPTKGLRKEERPGPGPGEVRIAFRISNVREPHSPDGNLPNGGGGRPGCAYPGSPGPGTRA KDKITCDLYQLISPSRDALPSNVEFLLARADEASEGETPAPTRPEDTPPAPPPPPARDCG ASGFHVDVVVTGVVDACIFFGKDGTKNVKEETVCLTVSPEEPPPPGQLFFLQSRGPEGPP EPPPADIPSTVPGPDDSEGTTDTSLCRLYRHVSHDFLEIRFKIQRLLEPRQYMLLLPEHV LVKIFSFLPTRALAALKCTCHHFKGIIEAFGVRATDSRWSRDPLYRDDPCKQCRKRYEKG DVSLCRWHPKPYHHDLPYGRSYWMCCRRADRETPGCRLGLHDNNWVLPCNGVGGGRAGRE EGR >ENSMUSP00000129427.1 pep:known chromosome:GRCm38:7:19131693:19137812:1 gene:ENSMUSG00000050428.7 transcript:ENSMUST00000165913.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo46 description:F-box protein 46 [Source:MGI Symbol;Acc:MGI:2444918] MDRGSLLPFQLWCPRPFSKYSQNQPRPPSTALKPPVCPDTSSGTEPDHRPAHLESTPPAV AAEAPTSQPAPLLSTAASGDEGRVLLDTWYVIKPGNTKEKVAFFVAHQCGGSSRASSMKV KGHWGSDSSKAKRRRRCLEPTKAPPDQGGREGTPATEVTPTSSGDDVDLVSVAEMVALVE QRAALALQSYPRPSTPAPVVFVSADQGGPAKGLGSERRSGGGDCSRVAEAVAHFEAQRDS PPTKGLRKEERPGPGPGEVRIAFRISNVREPHSPDGNLPNGGGGRPGCAYPGSPGPGTRA KDKITCDLYQLISPSRDALPSNVEFLLARADEASEGETPAPTRPEDTPPAPPPPPARDCG ASGFHVDVVVTGVVDACIFFGKDGTKNVKEETVCLTVSPEEPPPPGQLFFLQSRGPEGPP EPPPADIPSTVPGPDDSEGTTDTSLCRLYRHVSHDFLEIRFKIQRLLEPRQYMLLLPEHV LVKIFSFLPTRALAALKCTCHHFKGIIEAFGVRATDSRWSRDPLYRDDPCKQCRKRYEKG DVSLCRWHPKPYHHDLPYGRSYWMCCRRADRETPGCRLGLHDNNWVLPCNGVGGGRAGRE EGR >ENSMUSP00000047926.3 pep:known chromosome:GRCm38:X:10037977:10117682:-1 gene:ENSMUSG00000090084.7 transcript:ENSMUST00000044789.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpx description:sushi-repeat-containing protein [Source:MGI Symbol;Acc:MGI:1858306] MGSPGLRPELLLPQVLPLLLALLHVLPSQGFPGSGDSPLEDDGVWYSHSLYKDTPWCSPI KVKYGDVYCRAPPGGYYKTALGTRCDIRCRKGYELHGSSQLICQSNKRWSDKVICKQKRC PTLTMPANGGFKCVDGAYFNSRCEYYCSPGYTLKGERTVTCMDNKAWSGRPASCVDLEPP RIKCPSVKERIAEPNKLTVRVSWESPEGRDTADGILTDVILKGLPPGSNFPEGDHKIEYT VYDRAENKGTCKFRVKVRVRRCGKLNAPENGYMKCSSDGDNYGATCEFSCIGGYELQGSP ARVCQSNLAWSGTEPSCAAMNVNVGVRTAAALLDQFYEKRRLLIVSTPTARNLLYRLQLG MLQQAQCGLDLRHVTVVELVGVFPTLIGRIRAKIMPPALALQLRLLLRIPLYSFSMVVVD KHGMDKERYVSLVTPMALFNLIDTFPLRKEEMILQAEMGQTCNV >ENSMUSP00000111206.2 pep:known chromosome:GRCm38:X:10038556:10117709:-1 gene:ENSMUSG00000090084.7 transcript:ENSMUST00000115544.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpx description:sushi-repeat-containing protein [Source:MGI Symbol;Acc:MGI:1858306] MGSPGLRPELLLPQVLPLLLALLHVLPSQGFPDTPWCSPIKVKYGDVYCRAPPGGYYKTA LGTRCDIRCRKGYELHGSSQLICQSNKRWSDKVICKQKRCPTLTMPANGGFKCVDGAYFN SRCEYYCSPGYTLKGERTVTCMDNKAWSGRPASCVDLEPPRIKCPSVKERIAEPNKLTVR VSWESPEGRDTADGILTDVILKGLPPGSNFPEGDHKIEYTVYDRAENKGTCKFRVKVRVR RCGKLNAPENGYMKCSSDGDNYGATCEFSCIGGYELQGSPARVCQSNLAWSGTEPSCAAM NVNVGVRTAAALLDQFYEKRRLLIVSTPTARNLLYRLQLGMLQQAQCGLDLRHVTVVELV GVFPTLIGRIRAKIMPPALALQLRLLLRIPLYSFSMVVVDKHGMDKERYVSLVTPMALFN LIDTFPLRKEEMILQAEMGQTCNV >ENSMUSP00000111205.2 pep:known chromosome:GRCm38:X:10038907:10117605:-1 gene:ENSMUSG00000090084.7 transcript:ENSMUST00000115543.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srpx description:sushi-repeat-containing protein [Source:MGI Symbol;Acc:MGI:1858306] MGSPGLRPELLLPQVLPLLLALLHVLPSQGFPEKRCPTLTMPANGGFKCVDGAYFNSRCE YYCSPGYTLKGERTVTCMDNKAWSGRPASCVDLEPPRIKCPSVKERIAEPNKLTVRVSWE SPEGRDTADGILTDVILKGLPPGSNFPEGDHKIEYTVYDRAENKGTCKFRVKVRVRRCGK LNAPENGYMKCSSDGDNYGATCEFSCIGGYELQGSPARVCQSNLAWSGTEPSCAAMNVNV GVRTAAALLDQFYEKRRLLIVSTPTARNLLYRLQLGMLQQAQCGLDLRHVTVVELVGVFP TLIGRIRAKIMPPALALQLRLLLRIPLYSFSMVVVDKHGMDKERYVSLVTPMALFNLIDT FPLRKEEMILQAEMGQTCNV >ENSMUSP00000049070.6 pep:known chromosome:GRCm38:17:28351515:28365148:-1 gene:ENSMUSG00000037446.13 transcript:ENSMUST00000041819.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp1 description:tubby like protein 1 [Source:MGI Symbol;Acc:MGI:109571] MPLQEETLREVWASDSGHEEDCLSPEPPLRPKQRPAQGQKLRKKKPETPDSLESKPRKAG AGRRKHEEPPADSAEPRAAQTVYAKFLRDPEAKKRDPRENFLVARAPDLGGEENSEEDSD DDDNDDDEEEEEKKEGKKEKSSLPPKKAPKEREKKAKALGPRGDVGSPDAPRKPLRTKKK EVGEGTKLRKAKKKGPGETDKDPAGSPAALRKEFPAAMFLVGEGGAAEKGVKKKGPPKGS EEEKKEEEEEVEEEVASAVMKNSNQKGRAKGKGKKKVKEERASSPPVEVGEPREFVLQPA PQGRAVRCRLTRDKKGMDRGMYPSYFLHLDTEKKVFLLAGRKRKRSKTANYLISSDPTNL SRGGENFIGKLRSNLLGNRFTVFDNGQNPQRGGGGDVGSLRQELAAVVYETNVLGFRGPR RMTVIIPGMNSDNERVPIRPRNASDGLLVRWQNKTLESLIELHNKPPIWNEDSGSYTLNF QGRVTQASVKNFQIVHADDPDYIVLQFGRVAEDAFTLDYRYPLCALQAFAIALSSFDGKL ACE >ENSMUSP00000116844.1 pep:known chromosome:GRCm38:17:28351516:28358694:-1 gene:ENSMUSG00000037446.13 transcript:ENSMUST00000148188.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp1 description:tubby like protein 1 [Source:MGI Symbol;Acc:MGI:109571] XSVTSLSPSLQVFLLAGRKRKRSKTANYLISSDPTNLSRGGENFIGKLRSNLLGNRFTVF DNGQNPQRGGGGDVGSLRQELAAVVYETNVLGFRGPRRMTVIIPGMNSDNERVPIRPRNA SDGLLVRWQNKTLESLIELHNKPPIWNEDSGSYTLNFQGRVTQASVKNFQIVHADDPDYI VLQFGRVAEDAFTLDYRYPLCALQAFAIALSSFDGKLACE >ENSMUSP00000121444.1 pep:known chromosome:GRCm38:17:28351854:28358662:-1 gene:ENSMUSG00000037446.13 transcript:ENSMUST00000129375.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tulp1 description:tubby like protein 1 [Source:MGI Symbol;Acc:MGI:109571] VFLLAGRKRKRSKTANYLISSDPTNLSRGGENFIGKLRKPMF >ENSMUSP00000116588.1 pep:known chromosome:GRCm38:17:28353062:28359229:-1 gene:ENSMUSG00000037446.13 transcript:ENSMUST00000123797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp1 description:tubby like protein 1 [Source:MGI Symbol;Acc:MGI:109571] EERASSPPVEVGEPREFVLQPAPQGRAVRCRLTRDKKGMDRGMYPSYFLHLDTEKKVFLL AGRKRKRSKTANYLISSDPTNLSRGGENFIGKLRSNLLGNRFTVFDNGQNPQRGGGGDVG SLRQELAAVVYETNVLGFRGPRRMTVIIPGMNSDNERVPIRPRNASDGLLVRWQNKTLES LIELHNKPPIWNEDSGSYTLNFQGRVTQASVKNFQIVHADDRPLGRCWDIPNGTLMKGRM LESRNHPESNPTGWTR >ENSMUSP00000110442.1 pep:known chromosome:GRCm38:17:28355817:28365182:-1 gene:ENSMUSG00000037446.13 transcript:ENSMUST00000114794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tulp1 description:tubby like protein 1 [Source:MGI Symbol;Acc:MGI:109571] MPLQEETLREVWASDSGHEEDCLSPEPPLRPKQRPAQGQKLRKKKPETPDSLESKPRKAG AGRRKHEEPPADSAEPRAAQTVYAKFLRDPEAKKRDPRENFLVARAPDLGGEENSEEDSD DDDNDDDEEEEEKKEGKKEKSSLPPKKAPKEREKKAKALGPRGDVGSPDAPRKPLRTKKK EVGEGTKLRKAKKKGPGETDKDPAGSPAALRKEFPAAMFLVGEGGAAEKGVKKKGPPKGS EEEKKEEEEEVEEEVASAVMKNSNQKGRAKGKGKKKVKEERASSPPVEVGEPREFVLQPA PQGRAVRCRLTRDKKGMDRGMYPSYFLHLDTEKKVFLLAGRKRKRSKTANYLISSDPTNL SRGGENFIGKLRSNLLGNRFTVFDNGQNPQRGGGGDVGSLRQELAAVVYETNVLGFRGPR RMTVIIPGMNSDNERVPIRPRNVSFYHPTPYPSEPCFLFNHSTGPGIQLGQVTLESARAS DSSGHS >ENSMUSP00000075093.6 pep:known chromosome:GRCm38:5:33634952:33652345:-1 gene:ENSMUSG00000004642.13 transcript:ENSMUST00000075670.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slbp description:stem-loop binding protein [Source:MGI Symbol;Acc:MGI:108402] MACRPRSPPGYGSRRDGGASPRSPARWSLGRKRRADGRDRKPEDSEEGELQTADHRPESF TTPEGHKPRSRCSDWASAVEEDEMRTRVNKEIARYKRKLLINDFGRERKSSSGSSDSKES MSSVPADVETDESVLMRRQKQINYGKNTIAYDRYIKEVPRHLRQPGIHPRTPNKFKKYSR RSWDQQIKLWKVALHFWDPPAEEGCDLQEIQPVDLGEMETEFTESSSESQTSSQDNFNQT HRGLERWLSS >ENSMUSP00000098906.3 pep:known chromosome:GRCm38:5:33640055:33652306:-1 gene:ENSMUSG00000004642.13 transcript:ENSMUST00000101354.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slbp description:stem-loop binding protein [Source:MGI Symbol;Acc:MGI:108402] MACRPRSPPGYGSRRDGGASPRSPARWSLGRKRRADGRDRKPEDSEEGELQTADHRPESF TTPEGHKPRSRCSDWASAVEEDEMRTRVNKEIASSDSKESMSSVPADVETDESVLMRRQK QINYGKNTIAYDRYIKEVPRHLRQPGIHPRTPNKFKKYSRRSWDQQIKLWKVALHFWDPP AEEGCDLQEIQPVDLGEMETEFTESSSESQTSSQDNFDVYAGTPTKVRHVDCQVEDEFDL EACLTEPLKDFSAMS >ENSMUSP00000062930.7 pep:known chromosome:GRCm38:5:33640055:33652574:-1 gene:ENSMUSG00000004642.13 transcript:ENSMUST00000057551.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slbp description:stem-loop binding protein [Source:MGI Symbol;Acc:MGI:108402] MACRPRSPPGYGSRRDGGASPRSPARWSLGRKRRADGRDRKPEDSEEGELQTADHRPESF TTPEGHKPRSRCSDWASAVEEDEMRTRVNKEIARYKRKLLINDFGRERKSSSGSSDSKES MSSVPADVETDESVLMRRQKQINYGKNTIAYDRYIKEVPRHLRQPGIHPRTPNKFKKYSR RSWDQQIKLWKVALHFWDPPAEEGCDLQEIQPVDLGEMETEFTESSSESQTSSQDNFDVY AGTPTKVRHVDCQVEDEFDLEACLTEPLKDFSAMS >ENSMUSP00000144475.1 pep:known chromosome:GRCm38:5:33643222:33652300:-1 gene:ENSMUSG00000004642.13 transcript:ENSMUST00000139518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slbp description:stem-loop binding protein [Source:MGI Symbol;Acc:MGI:108402] MACRPRSPPGYGSRRDGGASPRSPARWSLGRKRRADGRDRKPEDSEEGELQTADHRPESF TTPEGHKPRSRCSDWASAVEEDEMRTRVNKEIARYKRKLLINDFGRERKSSSGSSDSKES MSSVPADVETDESVLMRRQKQINYGKNTIAYDRYIKEVPRHLRQPGIHPRTPNKFKKYSR RSWDQQIKLWKVALHFWDPPAEEGCDLQEMYVFLYLLSQ >ENSMUSP00000121978.1 pep:known chromosome:GRCm38:5:33645629:33652296:-1 gene:ENSMUSG00000004642.13 transcript:ENSMUST00000151081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slbp description:stem-loop binding protein [Source:MGI Symbol;Acc:MGI:108402] MRTRVNKEIARYKRKLLINDFGRERKSSSGSSDS >ENSMUSP00000138530.1 pep:known chromosome:GRCm38:4:99829198:99831580:1 gene:ENSMUSG00000073791.11 transcript:ENSMUST00000143994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab7 description:EF-hand calcium binding domain 7 [Source:MGI Symbol;Acc:MGI:2385199] MPWLFSLGQWSTNLWSERLEGALPLISGGGEAQLLCRMASNPGSDAALGTQNPLLSGSPR TKKFPLTEQEVFYMNCRAAYLTIFKSSLENIISKDQLYL >ENSMUSP00000138452.1 pep:known chromosome:GRCm38:4:99829440:99831580:1 gene:ENSMUSG00000073791.11 transcript:ENSMUST00000124547.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab7 description:EF-hand calcium binding domain 7 [Source:MGI Symbol;Acc:MGI:2385199] MASNPGSDAALGTQNPLLSGSPRTKKFPLTEQEVFYMNCRAAYLTIFKSSLENIISKDQL YL >ENSMUSP00000102607.1 pep:known chromosome:GRCm38:4:99829500:99831580:1 gene:ENSMUSG00000073791.11 transcript:ENSMUST00000106994.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab7 description:EF-hand calcium binding domain 7 [Source:MGI Symbol;Acc:MGI:2385199] MASNPGSDAALGTQNPLLSGSPRTKKFPLTEQEVFYMNCRAAYLTIFKSSLENIISKDQL YL >ENSMUSP00000118989.1 pep:known chromosome:GRCm38:4:99909580:99912788:1 gene:ENSMUSG00000073791.11 transcript:ENSMUST00000136874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab7 description:EF-hand calcium binding domain 7 [Source:MGI Symbol;Acc:MGI:2385199] ACPFVINIYAERCKPRIKVVHMEACSGQLEKAICKSVLDRSDAKVMDGYENIIVHTCNYD TWITSIIENKSDNKVIIHINNELSKNCVNNRGLNIFAVEVAPRSTMVCQHVMPLNEQEEW IYCCVYSLVA >ENSMUSP00000095572.4 pep:known chromosome:GRCm38:4:99831394:99912610:1 gene:ENSMUSG00000073791.11 transcript:ENSMUST00000097959.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efcab7 description:EF-hand calcium binding domain 7 [Source:MGI Symbol;Acc:MGI:2385199] MASNPGSDAALGTQNPLLSGSPRTKKFPLTEQEVFYMNCRAAYLTIFKSSLENIISKDQL YLGKFFEVEHFLLFIKVRNVFQHEPPPCLLMCICLPRLPTIGLSFCKLYMTTSEQCLKTT LERLEADSKLRRQQFGSHMEGSPERGPSPAPKPSPRVIRKNDQETFSSKGDTSHALLSTT RKFKTSVSFTMTMSANSNQDSTLTEPNLKDWQCAQSKGCFFLEEDGEVVSHQYKMHIAQR SVLYLTIKPLYLSQLEGKRCPWLSVDTALYILKKNENPAEPQLMCFTELRNREVFGWTGE LEAGIYWLIPSTTGCRLKKETKPVTEEAQLVHRDETGELSLTSEFRSTLSEIFEVIDLDG NGLISLEEYNFFELRTSGEKCDEDAWAVCRENFDTKKNELTRQGFMDLHLMEANDREGDP LDLWVTLHSMGYNKALELTEACPFVINIYAERCKPRIKVVHMEACSGQLEKAICKSVLDR SDAKVMDGYENIIVHTCNYDTWITSIIENKSDNKVIIHINNELSKNCVNNRGLNIFAVEV APRSTMVCQHVMPLNEQEEWIYCCVYSLVA >ENSMUSP00000090697.5 pep:known chromosome:GRCm38:11:61684419:61709951:1 gene:ENSMUSG00000042377.8 transcript:ENSMUST00000093019.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam83g description:family with sequence similarity 83, member G [Source:MGI Symbol;Acc:MGI:1916890] MAFSQVQCLDDNHVNWRSSESKPEFFYSEEQRLALEALVARGRDAFYEVLKRENIRDFLS ELELSRIVEAIEVYDPGSEDPRVSGRRPEPQDNGGADASEETSAAGGPPATETLPSLEYW PQKSDRSIPQLDLGWPDTIAYRGVTRASVYMQPPIDGQPHIKEVVRKMVSQAQKVIAVVM DMFTDVDIFKDLLDAGFKRKVAVYIIVDESNVKYFLHMCERARMHLGHLKNLRVRSSGGT EFFTRSATKFKGVLAQKFMFVDGDRAVCGSYSFTWSAARTDRNVISVLSGQVVEMFDRQF QELYLMSQSVSLKDIPMEKEPEPEPIVLPSVVPLVPTGTMAKKLVNPKYALVKAKSVDEI AKSSSDKQEVTRPPGLRGPAVAERPGDLSELLPPVHPGLLNLERANMFEYLPTWVEPDPE PGSDILGYINIIDPNIWNPQPNQMNRIKIRDTAHASAQHQLWKQSQGARPCPAPCPPPAP RDSQGVVPAENGFPQGNPEPQAPVPKPRTVPVASVLARDGSDIGWALDTPEKETPQNGID PRLPSTASESEVPQQQHSSMTQDDPDGLERGLPNGLDEDEDDDDDYVTLSDQDSLSGSSG PGPGHRRPSVASSMSDEYFEVRERSVPLQRRHSEQMANGPGHPPRRQLSAPHVTRGTFGG PLSSPLWAQGRSREDVDASRIQGQRPMDRQAQGQHFHRHGSTTSRTPGPPRFRPAADGTQ SSSKKASPAAAGPHHWQPKGSPTPRMLPDPGSPRPTRNTRLRAELRATEEHASPFGIPYS KLSQSKHLKARAGGSQWAPSDSKRRARDHKEP >ENSMUSP00000135629.1 pep:known chromosome:GRCm38:6:4902917:5159590:1 gene:ENSMUSG00000032827.15 transcript:ENSMUST00000175889.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r9a description:protein phosphatase 1, regulatory (inhibitor) subunit 9A [Source:MGI Symbol;Acc:MGI:2442401] MLKAESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG SNVNRIKNLFMQMGMEPSENAAIIAKTRGKGRPSSPQRRMKPKEFVEKTDGSVVKLESSV SERISRFDTMHDGPSYAKFTETRKMFERSVHESGQNHRYSPKKEKGGGTEPQDEWGGSKS NRGSSDSLDSLSPRTEAVSPTVSQLSAVFENSEPPGALTSGKAESADYSVTGHYPLNLPS VTVTNLDTFGRLKDSNSKPPSNKQDTDTEDAQKSDAVPVPEVAQKGTSLASLPSEESQLS TEAEDVTTAQPEALDSTDKDSPEEPSAENQAMPKSHILSPRNEPLEDAEANVVGSERAQH QKKDLTGGDLTSPDASASSCGREVPEDSNSFESSHVYMHSDYNVYRVRSRYNSDWGETGT EQDEEEDSDENNYYQPDMEYSEIVGLPEEEEIPANRKIKFSCAPIKVFNTYSNEDYDRRN DDVDPVAASAEYELEKRVEKLELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTV TDGGAAQRDGRIQVNDQIVEVDGISLVGVTQNFAATVLRNTKGNVRFVIGREKPGQVSEV AQLISQTLEQERRQRELLERHYAQYDADDDETGEYATDEEEDEGGPILPSGDVAIEVFEL PENEDMFSPSDLDTSKLSHKFKELQIKHAVTEAEIQKLKTKLQAAENEKVRWELEKNQLQ QNIEENKERMLKLESYWIEAQTLCHTVNEHLKETQSQYQALEKKYNKAKKLIKDFQQKEL DFIKRQEVERKKREEVEKAHLLEVQGLQVRIRDLEAEVFRLLKQNGTQVNNNNNIFERRP SPGEVSKGDTMENVEVKQTSCQDGLSQDLNEAVPETERLDSKALKTRAQLSVKNRRQRPT RTRLYDSVSSTDGEDSLERKNFTFNDDFSPSSTSSADLSGLGAEPKTPGLSQSLALSSDE SLDMIDDEILDDGQSPKHTQSQNRAVHEWSVQQVSHWLMSLSLDQYVPEFSAQSISGEQL LQLDGNKLKALGMTSSQDRALV >ENSMUSP00000126643.2 pep:known chromosome:GRCm38:6:4902974:5078491:1 gene:ENSMUSG00000032827.15 transcript:ENSMUST00000168998.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r9a description:protein phosphatase 1, regulatory (inhibitor) subunit 9A [Source:MGI Symbol;Acc:MGI:2442401] MLKAESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG SNVNRIKNLFMQMGMEPSENAAIIAKTRGKGRPSSPQRRMKPKEFVEKTDGSVVKLESSV SERISRFDTMHDGPSYAKFTETRKMFERSVHESGQNHRYSPKKEKGGGTEPQDEWGGSKS NRGSSDSLDSLSPRTEAVSPTVSQLSAVFENSEPPGALTSGKAESADYSVTGHYPLNLPS VTVTNLDTFGRLKDSNSKPPSNKQDTDTEDAQKSDAVPVPEVAQKGTSLASLPSEESQLS TEAEDVTTAQPEALDSTDKDSPEEPSAENQAMPKSHILSPRNEPLEDAEANVVGSERAQH QKKDLTGGDLTSPDASASSCGREVPEDSNSFESSHVYMHSDYNVYRVRSRYNSDWGETGT EQDEEEDSDENNYYQPDMEYSEIVGLPEEEEIPANRKIKFSCAPIKVFNTYSNEDYDRRN DDVDPVAASAEYELEKRVEKLELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTV TDGGAAQRDGRIQVNDQIVEVDGISLVGVTQNFAATVLRNTKGNVRFVIGREKPGQVSEV AQLISQTLEQERRQRELLERHYAQYDADDDEVSSTPSWNSTS >ENSMUSP00000134937.1 pep:known chromosome:GRCm38:6:4903018:5160221:1 gene:ENSMUSG00000032827.15 transcript:ENSMUST00000176263.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1r9a description:protein phosphatase 1, regulatory (inhibitor) subunit 9A [Source:MGI Symbol;Acc:MGI:2442401] MLKAESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG SNVNRIKNLFMQMGMEPSENAAIIAKTRGKGRPSSPQRRMKPKEFVEKTDGSVVKLESSV SERISRFDTMHDGPSYAKFTETRKMFERSVHESGQNHRYSPKKEKGGGTEPQDEWGGSKS NRGSSDSLDSLSPRTEAVSPTVSQLSAVFENSEPPGALTSGKAESADYSVTGHYPLNLPS VTVTNLDTFGRLKDSNSKPPSNKQDTDTEDAQKSDAVPVPEVAQKGTSLASLPSEESQLS TEAEDVTTAQPEALDSTDKDSPEEPSAENQAMPKSHILSPRNEPLEDAEANVVGSERAQH QKKDLTGGDLTSPDASASSCGREVPEDSNSFESSHVYMHSDYNVYRVRSRYNSDWGETGT EQDEEEDSDENNYYQPDMEYSEIVGLPEEEEIPANRKIKFSCAPIKVFNTYSNEDYDRRN DDVDPVAASAEYELEKRVEKLELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTV TDGGAAQRDGRIQVNDQIVEVDGISLVGVTQNFAATVLRNTKGNVRFVIGREKPGQVSEV AQLISQTLEQERRQRELLERHYAQYDADDDENTVAELQGVSGNCNNNNNRFLKTGEYATD EEEDEGGPILPSGDVAIEVFELPENEDMFSPSDLDTSKLSHKFKELQIKHAVTEAEIQKL KTKLQAAENEKVRWELEKNQLQQNIEENKERMLKLESYWIEAQTLCHTVNEHLKETQSQY QALEKKYNKAKKLIKDFQQKELDFIKRQEVERKKREEVEKAHLLEVQGLQVRIRDLEAEV FRLLKQNGTQVNNNNNIFERRPSPGEVSKGDTMENVEVKQTSCQDGLSQDLNEAVPETER LDSKALKTRAQLSVKNRRQRPTRTRLYDSVSSTDGEDSLERKPSDTITTHMLPNRFCPRL GEFLLQNRSVVSHSSPP >ENSMUSP00000046906.2 pep:known chromosome:GRCm38:6:4903320:5165661:1 gene:ENSMUSG00000032827.15 transcript:ENSMUST00000035813.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r9a description:protein phosphatase 1, regulatory (inhibitor) subunit 9A [Source:MGI Symbol;Acc:MGI:2442401] MLKAESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG SNVNRIKNLFMQMGMEPSENAAIIAKTRGKGRPSSPQRRMKPKEFVEKTDGSVVKLESSV SERISRFDTMHDGPSYAKFTETRKMFERSVHESGQNHRYSPKKEKGGGTEPQDEWGGSKS NRGSSDSLDSLSPRTEAVSPTVSQLSAVFENSEPPGALTSGKAESADYSVTGHYPLNLPS VTVTNLDTFGRLKDSNSKPPSNKQDTDTEDAQKSDAVPVPEVAQKGTSLASLPSEESQLS TEAEDVTTAQPEALDSTDKDSPEEPSAENQAMPKSHILSPRNEPLEDAEANVVGSERAQH QKKDLTGGDLTSPDASASSCGREVPEDSNSFESSHVYMHSDYNVYRVRSRYNSDWGETGT EQDEEEDSDENNYYQPDMEYSEIVGLPEEEEIPANRKIKFSCAPIKVFNTYSNEDYDRRN DDVDPVAASAEYELEKRVEKLELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTV TDGGAAQRDGRIQVNDQIVEVDGISLVGVTQNFAATVLRNTKGNVRFVIGREKPGQVSEV AQLISQTLEQERRQRELLERHYAQYDADDDETGEYATDEEEDEGGPILPSGDVAIEVFEL PENEDMFSPSDLDTSKLSHKFKELQIKHAVTEAEIQKLKTKLQAAENEKVRWELEKNQLQ QNIEENKERMLKLESYWIEAQTLCHTVNEHLKETQSQYQALEKKYNKAKKLIKDFQQKEL DFIKRQEVERKKREEVEKAHLLEVQGLQVRIRDLEAEVFRLLKQNGTQVNNNNNIFERRP SPGEVSKGDTMENVEVKQTSCQDGLSQDLNEAVPETERLDSKALKTRAQLSVKNRRQRPT RTRLYDSVSSTDGEDSLERKNFTFNDDFSPSSTSSADLSGLGAEPKTPGLSQSLALSSDE SLDMIDDEILDDGQSPKHTQSQNRAVHEWSVQQVSHWLMSLSLDQYVPEFSAQSISGEQL LQLDGNKLKALGMTSSQDRALVKKKLKEMKMSLEKARKAQEKMEKQREKLRRKEQEQMQR KSKKSEKMTSTADQP >ENSMUSP00000135360.1 pep:known chromosome:GRCm38:6:4903373:5009767:1 gene:ENSMUSG00000032827.15 transcript:ENSMUST00000175962.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r9a description:protein phosphatase 1, regulatory (inhibitor) subunit 9A [Source:MGI Symbol;Acc:MGI:2442401] MLKAESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG SNVNRIKNLFMQMGMEPSENAAIIAKTRGKGRPSSPQRRMKPKEFVEKTDGSVVKLESSV SERISRFDTMHDGPSYAKFTETRKMFERSVHESGQNHRYSPKKEKGGGTEPQDEWGGSKS NRGSSDSLDSLSPRTEAVSPTVSQLSAVFENSEPPGALTSGKAESADYSVTGHYPLNLPS VTVTNLDTFGRLKDSNSKPPSNKQDTDTEDAQKSDAVPVPEVAQKGTSLASLPSEESQLS TEAEDVTTAQPEALDSTDKDSPEEPSAENQAMPKSHILSPRNEPLEDAEANVVGSERAQH QKKDLTGGDLTSPDASASSCGREVPEDSNSFESSHVYMHSDYNVYRVRSRYNSDWGETGT EQDEEEDSDENNYYQPDMEYSEIVGLPEEEEIPANRKIKFSCAPIKDKFTGSGPRSSALH SPSHRIHSCWTLSAQCWDTESSAADACSPLLPTSQARAHHGVFGATLGSLQCY >ENSMUSP00000135634.1 pep:known chromosome:GRCm38:6:4903788:4906787:1 gene:ENSMUSG00000032827.15 transcript:ENSMUST00000177338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r9a description:protein phosphatase 1, regulatory (inhibitor) subunit 9A [Source:MGI Symbol;Acc:MGI:2442401] MLKAESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG SNVNRIKNLFMQMGMEPSENAAIIAKTRGKGRPSSPQRRMKPKEFVEKTDGSVVKLESSV SERISRFDTMHDGPSYAKFTETRKMFERSVHESGQNHRYSPKKEKGGGTEPQDEWGGSKS NRGSSDSLDSLSPRTEAVSPTVSQLSAVFENSEPPGALTSGKAESADYSVTGHYPLNLPS VTVTNLDTFGRLKDSNSKPPSNKQDTDTEDAQKSDAVPVPEVAQKGTSLASLPSEESQLS TEAEDVTTAQPEALDSTDKDSPEEPSAENQAMPKSHILSPRNEPLEDAEANVVGSERAQH QKKDLTGGDLTSPDASASSCGREVPEDSNSFESSHVYMHSDYNVYRVRSRYNSDWGETGT EQDEEEDSDENNYYQPDMEYSEIVGLP >ENSMUSP00000135485.1 pep:known chromosome:GRCm38:6:4905416:5159809:1 gene:ENSMUSG00000032827.15 transcript:ENSMUST00000177153.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1r9a description:protein phosphatase 1, regulatory (inhibitor) subunit 9A [Source:MGI Symbol;Acc:MGI:2442401] MLKAESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG SNVNRIKNLFMQMGMEPSENAAIIAKTRGKGRPSSPQRRMKPKEFVEKTDGSVVKLESSV SERISRFDTMHDGPSYAKFTETRKMFERSVHESGQNHRYSPKKEKGGGTEPQDEWGGSKS NRGSSDSLDSLSPRTEAVSPTVSQLSAVFENSEPPGALTSGKAESADYSVTGHYPLNLPS VTVTNLDTFGRLKDSNSKPPSNKQDTDTEDAQKSDAVPVPEVAQKGTSLASLPSEESQLS TEAEDVTTAQPEALDSTDKDSPEEPSAENQAMPKSHILSPRNEPLEDAEANVVGSERAQH QKKDLTGGDLTSPDASASSCGREVPEDSNSFESSHVYMHSDYNVYRVRSRYNSDWGETGT EQDEEEDSDENNYYQPDMEYSEIVGLPEEEEIPANRKIKFSCAPIKVFNTYSNEDYDRRN DDVDPVAASAEYELEKRVEKLELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTV TDGGAAQRDGRIQVNDQIVEVDGISLVGVTQNFAATVLRNTKGNVRFVIGREKPGQVSEV AQLISQTLEQERRQRELLERHYAQYDADDDETGEYATDEEEDEGGPILPSGDVAIEVFEL PENEDMFSPSDLDTSKLSHKFKELQIKHAVTEAEIQKLKTKLQAAENEKVRWELEKNQLQ QNIEENKERMLKLESYWIEAQTLCHTVNEHLKETQSQYQALEKKYNKAKKLIKDFQQKEL DFIKRQEVERKKREEVEKAHLLEVQGLQVRIRDLEAEVFRLLKQNGTQVNNNNNIFERRP SPGEVSKGDTMENVEVKQTSCQDGLSQDLNEAVPETERLDSKALKTRAQLSVKNRRQRPT RTRLYDSVSSTDGEDSLERKPSDTITTHMLPNRFCPRLGEFLLQNRSVVSHSSPP >ENSMUSP00000134943.1 pep:known chromosome:GRCm38:6:4905416:5159817:1 gene:ENSMUSG00000032827.15 transcript:ENSMUST00000177456.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r9a description:protein phosphatase 1, regulatory (inhibitor) subunit 9A [Source:MGI Symbol;Acc:MGI:2442401] MLKAESSGERTTLRSASPHRNAYRTEFQALKSTFDKPKSDGEQKTKEGEGSQQSRGRKYG SNVNRIKNLFMQMGMEPSENAAIIAKTRGKGRPSSPQRRMKPKEFVEKTDGSVVKLESSV SERISRFDTMHDGPSYAKFTETRKMFERSVHESGQNHRYSPKKEKGGGTEPQDEWGGSKS NRGSSDSLDSLSPRTEAVSPTVSQLSAVFENSEPPGALTSGKAESADYSVTGHYPLNLPS VTVTNLDTFGRLKDSNSKPPSNKQDTDTEDAQKSDAVPVPEVAQKGTSLASLPSEESQLS TEAEDVTTAQPEALDSTDKDSPEEPSAENQAMPKSHILSPRNEPLEDAEANVVGSERAQH QKKDLTGGDLTSPDASASSCGREVPEDSNSFESSHVYMHSDYNVYRVRSRYNSDWGETGT EQDEEEDSDENNYYQPDMEYSEIVGLPEEEEIPANRKIKFSCAPIKVFNTYSNEDYDRRN DDVDPVAASAEYELEKRVEKLELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTV TDGGAAQRDGRIQVNDQIVEVDGISLVGVTQNFAATVLRNTKGNVRFVIGREKPGQVSEV AQLISQTLEQERRQRELLERHYAQYDADDDETGEYATDEEEDEGGPILPSGDVAIEVFEL PENEDMFSPSDLDTSKLSHKFKELQIKHAVTEAEIQKLKTKLQAAENEKVRWELEKNQLQ QNIEENKERMLKLESYWIEAQTLCHTVNEHLKETQSQYQALEKKYNKAKKLIKDFQQKEL DFIKRQEVERKKREEVEKAHLLEVQGLQVRIRDLEAEVFRLLKQNGTQVNNNNNIFERRP SPGEVSKGDTMENVEVKQTSCQDGLSQDLNEAVPETERLDSKALKTRAQLSVKNRRQRPT RTRLYDSVSSTDGEDSLERKAWRVSSPESECGVTLLTPVTSPRAFSSHHTTESPGGSQHP EGDPFSSISGDTSSSSTSASDHDTEDSPCCHQATEKALQEKDDDKYPKSLRTSSSFVVQR GKIKQKFADLGAPLRRNPNKGKKRKDKEKEASRFSAGSRIFRSKLENWKSKPSSAAQAST RSPCMPFSWFNESRKGSYSFRNLPSAPSPLQPSPETQVSDKTGSKNFTFNDDFSPSSTSS ADLSGLGAEPKTPGLSQSLALSSDEILDDGQSPKHTQSQNRAVHEWSVQQVSHWLMSLSL DQYVPEFSAQSISGEQLLQLDGNKLKALGMTSSQDRALVKKKLKEMKMSLEKARKAQEKM EKQREKLRRKEQEQMQRKSKKSEKMTSTADQP >ENSMUSP00000134909.1 pep:known chromosome:GRCm38:6:4906407:5154249:1 gene:ENSMUSG00000032827.15 transcript:ENSMUST00000176729.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp1r9a description:protein phosphatase 1, regulatory (inhibitor) subunit 9A [Source:MGI Symbol;Acc:MGI:2442401] SPEEPSAENQAMPKSHILSPRNEPLEDAEANVVGSERAQHQKKDLTGGDLTSPDASASSC GREVPEDSNSFESSHVYMHSDYNVYRVRSRYNSDWGETGTEQDEEEDSDENNYYQPDMEY SEIVGLPEEEEIPANRKIKFSCAPIKVFNTYSNEDYDRRNDDVDPVAASAEYELEKRVEK LELFPVELEKDEDGLGISIIGMGVGADAGLEKLGIFVKTVTDGGAAQRDGRI >ENSMUSP00000004959.2 pep:known chromosome:GRCm38:11:61653265:61672784:1 gene:ENSMUSG00000004837.2 transcript:ENSMUST00000004959.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Grap description:GRB2-related adaptor protein [Source:MGI Symbol;Acc:MGI:1918770] MESVALYSFQATESDELAFNKGDTLKILNMEDDQNWYKAELRGAEGFVPKNYIRVKPHPW YSGRISRQLAEETLMKRNHLGAFLIRESESSPGEFSVSVNYGDQVQHFKVLREASGKYFL WEEKFNSLNELVDFYRTTTIAKRRQIFLCDEQPLIKPSRACFAQAQFDFSAQDPSQLSLR RGDIVEVVEREDPHWWRGRAGGRLGFFPRSYVQPVHL >ENSMUSP00000117153.1 pep:known chromosome:GRCm38:4:99765402:99829186:-1 gene:ENSMUSG00000028549.17 transcript:ENSMUST00000146258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb3bp description:integrin beta 3 binding protein (beta3-endonexin) [Source:MGI Symbol;Acc:MGI:1914983] MPVKRSLKLDDQFEKNSFSPSKIVRKKSITAYSPTTGTYQLSPFSSPATPKEQEHRNGPS NETRKRSNLSSPVRQESTVKDRDGFMVLLSKIEISSEKTMEIMKNLSSIQALEGNRQLED LIGVSLVPCSLKSEARKTKELMTKVIKQKLFEKKKSRITPKDHHLDSFEFLKAILN >ENSMUSP00000035539.4 pep:known chromosome:GRCm38:7:44525993:44532071:-1 gene:ENSMUSG00000008193.13 transcript:ENSMUST00000035323.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spib description:Spi-B transcription factor (Spi-1/PU.1 related) [Source:MGI Symbol;Acc:MGI:892986] MLALEAAQLDGPHLSCLYPEGVFYDLDSCKPFSYPDSDGGLDSTWGWTEAPPAPAIAPYE AFDPATAAFSHSQTVQLCYSHGPNPSTYSPMGTLDPAPSLEAPGPGLQVYPPEDFTSQTL GSLAYAPYPSPVLSEEEDIMLDSPALEVSDSESDEALLAGSEGRGSEAGARKKLRLYQFL LGLLLRGDMRECVWWVEPGAGVFQFSSKHKELLARRWGQQKGNRKRMTYQKLARALRNYA KTGEIRKVKRKLTYQFDSALLPASRHV >ENSMUSP00000145968.1 pep:known chromosome:GRCm38:7:44527964:44531276:-1 gene:ENSMUSG00000008193.13 transcript:ENSMUST00000205506.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spib description:Spi-B transcription factor (Spi-1/PU.1 related) [Source:MGI Symbol;Acc:MGI:892986] MPLCLSPARLDGPHLSCLYPEGVFYDLDSCKPFSYPDSDGGLDSTWGWTEAPPAPAIAPY EAFDPATAAFSHSQTVQLCYSHGPNPSTYSPMGTLDPAPSLEAPGPGLQVYPPEDFTSQT LGSLAYAPYPSPVLSEEEDIMLDSPALEVSDSESDEALLAGSEGRGSEAGARKKLRLYQF LLGLLLRGDMRECVWWVEPGAGVFQFSSKHKELLARRWGQQKGNRKRMTYQKLARALRNY AKTGEIRKVKRKLTYQFDSALLPASRH >ENSMUSP00000096084.3 pep:known chromosome:GRCm38:7:44527964:44532053:-1 gene:ENSMUSG00000008193.13 transcript:ENSMUST00000098483.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spib description:Spi-B transcription factor (Spi-1/PU.1 related) [Source:MGI Symbol;Acc:MGI:892986] MLALEAAQLDGPHLSCLVGEGVSPRPEMTLSSLRQYPEGVFYDLDSCKPFSYPDSDGGLD STWGWTEAPPAPAIAPYEAFDPATAAFSHSQTVQLCYSHGPNPSTYSPMGTLDPAPSLEA PGPGLQVYPPEDFTSQTLGSLAYAPYPSPVLSEEEDIMLDSPALEVSDSESDEALLAGSE GRGSEAGARKKLRLYQFLLGLLLRGDMRECVWWVEPGAGVFQFSSKHKELLARRWGQQKG NRKRMTYQKLARALRNYAKTGEIRKVKRKLTYQFDSALLPASRH >ENSMUSP00000119470.1 pep:known chromosome:GRCm38:16:20279820:20302345:-1 gene:ENSMUSG00000033918.15 transcript:ENSMUST00000136252.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parl description:presenilin associated, rhomboid-like [Source:MGI Symbol;Acc:MGI:1277152] MALQGWVQRGWRCGPAWAPPLGGGYRELSATQAPRLLGRRFNLFVQQKCGFRKAPRKVEP RRSDTGSSGEAYKRSALIPPLEETVFYPSPYPIRTLVKPFFFTIGFTGCAFGSAAIWQYE SLKSRVQSYFDGIKADWLDSIRPQKEGNLRKEINKWWNSLSDGQRTVTGLC >ENSMUSP00000045361.8 pep:known chromosome:GRCm38:16:20279820:20302387:-1 gene:ENSMUSG00000033918.15 transcript:ENSMUST00000048642.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Parl description:presenilin associated, rhomboid-like [Source:MGI Symbol;Acc:MGI:1277152] MALQGWVQRGWRCGPAWAPPLGGGYRELSATQAPRLLGRRFNLFVQQKCGFRKAPRKVEP RRSDTGSSGEAYKRSALIPPLEETVFYPSPYPIRTLVKPFFFTIGFTGCAFGSAAIWQYE SLKSRVQSYFDGIKADWLDSIRPQKEGNLRKEINKWWNSLSDGQRTVTGIIAANALVFCL WRVPSLQRTMIRYFTSNPASKVLCSPMLLSTFSHFSLFHMAANMYVLWSFSSSIVNILGQ EQFVAVYLSAGVISNFVSYVCKVATGRYGPSLGASGAIMTVLAAVCTKIPEGRLAIIFLP VFTFTAGNALKAIIAMDTAGMILGWKFFDHAAHLGGALFGIWYITYGHELIWKNREPLVK IWHEIRTNGPKKGGGSK >ENSMUSP00000119060.1 pep:known chromosome:GRCm38:16:20286956:20302354:-1 gene:ENSMUSG00000033918.15 transcript:ENSMUST00000133153.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Parl description:presenilin associated, rhomboid-like [Source:MGI Symbol;Acc:MGI:1277152] MALQGWVQRGWRCGPAWAPPLGGGYRELSATQAPRLLGRRFNLFVQQKCGFRKAPRKVEP RRSDTGSSGEAYKRSALIPPLEETVFYPSPYPIRTLVKPFFFTIGAVHLGQLLFGSMSH >ENSMUSP00000118196.1 pep:known chromosome:GRCm38:11:61672782:61719946:-1 gene:ENSMUSG00000042371.12 transcript:ENSMUST00000151780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a10 description:solute carrier family 5 (sodium/glucose cotransporter), member 10 [Source:MGI Symbol;Acc:MGI:1926089] GNSTGDAHVPGSQLSVTDIIVISVYFALNVAVGIWSACRANKNTVSGYFLAGRDMAWWPI GASLFASSEGSGLFVGLAGSGAAGGLAVAGFEWNATYVLLALAWVFVPIYISSEIVTLPE YIQKRFGGQRIRTYLSVLSLMLSVFTKISIDLYAGALFVHICLGWNFYLSTILTLAITAL YTIAAFNQIGGYEQLEAAYAQAIPSRTIPNTTCHLPRADAMHMFRDPSTGDLPWTGMTFG LTIMATWYWCTDQVIVQRSLSARNLNHAKAGSILASYLKMLPMGLMIMPGMISRVLFPDD VGCVVPSECLRACGAEIGCSNIAYPKLVMELMPIGLRGLMIAVMMAALLSSLTSIFNSSS TLFTMDIWRQLRPSAGERELLLVGRLVIVVLIGVSVAWIPVLQGSNSGQLFIYMQSVTSS LAPPVTAIFILGIFWRRANEQGAFWGLMAGLVVGALRLVLEFLYPEPPCGQIDTRPAPLR SLHYLHFAIALFLLTCAVMAAGSLLSPPPQQRQIENLTWWTLAPNWSLGTKTGDGQTPQK RAFWARVCNVNAIFLMCVNIFFYAYFA >ENSMUSP00000054407.4 pep:known chromosome:GRCm38:11:61672782:61720799:-1 gene:ENSMUSG00000042371.12 transcript:ENSMUST00000051552.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a10 description:solute carrier family 5 (sodium/glucose cotransporter), member 10 [Source:MGI Symbol;Acc:MGI:1926089] MAGNSTGDAHVPGSQLSVTDIIVISVYFALNVAVGIWSACRANKNTVSGYFLAGRDMAWW PIGASLFASSEGSGLFVGLAGSGAAGGLAVAGFEWNATYVLLALAWVFVPIYISSEIVTL PEYIQKRFGGQRIRTYLSVLSLMLSVFTKISIDLYAGALFVHICLGWNFYLSTILTLAIT ALYTIAGGLATVIYTDALQTIIMVVGAVILAVKAFNQIGGYEQLEAAYAQAIPSRTIPNT TCHLPRADAMHMFRDPSTGDLPWTGMTFGLTIMATWYWCTDQVIVQRSLSARNLNHAKAG SILASYLKMLPMGLMIMPGMISRVLFPDDVGCVVPSECLRACGAEIGCSNIAYPKLVMEL MPIGLRGLMIAVMMAALLSSLTSIFNSSSTLFTMDIWRQLRPSAGERELLLVGRLVIVVL IGVSVAWIPVLQGSNSGQLFIYMQSVTSSLAPPVTAIFILGIFWRRANEQGAFWGLMAGL VVGALRLVLEFLYPEPPCGQIDTRPAPLRSLHYLHFAIALFLLTCAVMAAGSLLSPPPQQ RQIENLTWWTLAPNWSLGTKTGDGQTPQKRAFWARVCNVNAIFLMCVNIFFYAYFA >ENSMUSP00000114523.1 pep:known chromosome:GRCm38:11:61672792:61719997:-1 gene:ENSMUSG00000042371.12 transcript:ENSMUST00000148584.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc5a10 description:solute carrier family 5 (sodium/glucose cotransporter), member 10 [Source:MGI Symbol;Acc:MGI:1926089] MAGNSTGDAHVPGSQLSVTDIIVISVYFALNVAVGIWSACRANKNTVSGYFLAGRDMAWW PIGASLFASSEGSGLFVGLAGSGAAGGLAVAGFEWNATYVLLALAWVFVPIYISSEIVTL PEYIQKRFGGQRIRTYLSVLSLMLSVFTKISIDLYAGALFVHICLGWNFYLSTILTLAIT ALYTIAGGLATVIYTDALQTIIMVVGAVILAVKAFNQIGGYEQLEAAYAQAIPSRTIPNT TCHLPRADAMHMFRDPSTGDLPWTGMTFGLTIMATWYWCTDQVIVQRSLSARNLNHAKAG SILASYLKMLPMGLMIMPGMISRVLFPDDVGCVVPSECLRACGAEIGCSNIAYPKLVMEL MPIGLRGLMIAVMMAALLSSLTSIFNSSSTLFTMDIWRQLRPSAGERELLLVGRLVIVVL IGVSVAWIPVLQGSNSGQLFIYMQSVTSSLAPPVTAIFILGIFWRRANEQGAFWGLMAGL VVGALRLVLEFLYPEPPCGQIDTRPAPLRSLHYLHFAIALFLLTCAVMAAGSLLSPPPQQ RQIENLTWWTLAPNWSLGTKTGDGQTPQKRAFWARVCNVNAIFLMCVNIFFYAYFA >ENSMUSP00000138082.1 pep:known chromosome:GRCm38:5:34369933:34486456:1 gene:ENSMUSG00000037210.16 transcript:ENSMUST00000180376.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam193a description:family with sequence similarity 193, member A [Source:MGI Symbol;Acc:MGI:2447768] MSPADAKRGAKRRKNKRGGGGGSGGGGSGGKAGSAAALRGPQAPGPGAAGLLGGAAAHGP LGAGAGGAAGGYFETPFSFGMNHRTPPYPAGDYCLLCRSERKDSSFLESGVKTASKLALS MAPKGNSVLHLPLWVCPDCRRTVEKEERHSGLDQPGQDFLLHSSLGGSQPETSGAGRLAL GTQTMTSSGLGTTPSDACSCEACSERRDISAETEREPQQLQNYWSEVRYMVRCIYRQAGT PLAEDQDQSLVPDKEGVKELVDRLCERDPYQLYQRLEQQAREYVLEMKVRLLRQLSAAAK AKAPSGLQGPPQAHHFVSLLLEEYAALCQAARSISTFLGTLENEHLKKFQVTWELHNKHL FENLVFSEPLLQSNLPALVSQIRLGTTTHDTCTEDMYSTLLQRYQRSEEELRKVAEEWLE CQKRIDAYVDEQMTMKTKQRMLTEDWEIFKQRRLIEEQLTNKKVVTGENNFTDTRRHMLS SRLSMPDCPNCNYRRRCACDDCSLSHILTCGIMDTPVTDDIHIHQLPLQVDSAPDYLSEM RPPSVSSASSGSGSSSPITIQQHPRLILTDNGSAPTFCSDDEDVAPLSAKFADIYPLTNY DDTNVVANMNGIHSELNGGGENMALKDESPQVSSTSRSSSEADDEDADGESSGEPPGAPK QEEAIGNGNPKTEESNVNTPPPSYPAQQAEQTPNTCECHVCKQEASGLPASAMTAGALPP GHQFLSPEKPTHPALHLYPHIHGHVPLHTVPHLPRPLIHPTLYPAPPFTHSKALPPAPVQ SHTNKPQAFNASLQDHIYPSCFGNTPDWNSSKFISLWESEMMNDKNWNPGTFLPDTISGN DILGPVLSETRPEALPPPPSNEAPAVSDIKEKKNAAKKKCLYNFQDAFMEANEVAMANTV AMATSSATSSVSCTATTVQSSSSQFKVSSRRPPSIGDVFHGLNKEDHRHSAPAAPRNSPT GLAPLPALSPSALSPASTPHLPNLAAPSFPKTATTAPGFVDTRKSFCPTPVAPPPSTTDG SISAPPSVCSDPDCEGHRCENGVYDPQQDDGDESADEDSCSEHSSSTSTSTNQKEGKYCD CCYCEFFGHGGPPAAPTSRNYAEMREKLRLRLTKRKEEQPKKMEQISEREGVVDHRRVED LLQFINSSEAKPVSSSRAAKRARHKQRKLEEKARLEAEARAREHLHHQEEQKQREEEEDE EEEDEEQHFKEEFQRLQELQKLRAAKKKKKDRPSKDCSKLDMLARNFQAATESISNSENI HNGSLEQTEEPETSSHSPSRHMNHSEPRPGPGANGDATDPVDPRDPSKLLLPKEVNGKQH EPLAFLLDMMHHHKEGNSKQKLKQTSKTSNEPARKPTEPPKTTEVQLKPRAQPELKPKVV DLALLTEQKREERKTNSNNNNKKQLSHIKEEKLSTVTPEPPSPSQLLQNGRLILASSPQP KGKNKKNKKKKGDRTSSSLDDVFLPKDIDLDSVDMDETEREVEYFKRFCLDSARQNRQRL SINWSNFSLKKATFAAH >ENSMUSP00000143922.1 pep:known chromosome:GRCm38:5:34431219:34443628:1 gene:ENSMUSG00000037210.16 transcript:ENSMUST00000202503.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam193a description:family with sequence similarity 193, member A [Source:MGI Symbol;Acc:MGI:2447768] LQSNLPALVSQIRLGTTTHDTCTEDMYSTLLQRYQRSEEELRKVAEEWLECQKRIDAYVD EQMTMKTKQRMLTEDWEIFKQRRLIEEQLTNKKVVTGENNFTDTRRHMLSSRLSMPDCPN CNYRRSCSDDEDVAPLSAKFADIYPLTNYDDTNVVANMNGIHSELNGGGENMALKDESPQ VSSTSRSSSEADD >ENSMUSP00000143885.1 pep:known chromosome:GRCm38:5:34443722:34459093:1 gene:ENSMUSG00000037210.16 transcript:ENSMUST00000201005.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam193a description:family with sequence similarity 193, member A [Source:MGI Symbol;Acc:MGI:2447768] XVNTPPPSYPAQQAEQTPNTCECHVCKQEASGLPASAMTAGALPPGHQFLSPEKPTHPAL HLYPHIHGHVPLHTVPHLPRPLIHPTLYPAPPFTHSKEMIYWGQCSQKQDLKPFHLHPAM RLLQFQISK >ENSMUSP00000137979.1 pep:known chromosome:GRCm38:5:34466129:34477689:1 gene:ENSMUSG00000037210.16 transcript:ENSMUST00000181379.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam193a description:family with sequence similarity 193, member A [Source:MGI Symbol;Acc:MGI:2447768] RKPTEPPKTTEVQLKPRAQPELKPKVVDLALLTEQKREERKTNSNNNNKKQLSHIKEEKL STVTPEPPSPSQLLQNGRLILASSPQPKGKNKKNKKKKGDRTSSSLDDVFLPKDIDLDSV DMDETEREVEYFKR >ENSMUSP00000092463.4 pep:known chromosome:GRCm38:5:34426337:34485747:1 gene:ENSMUSG00000037210.16 transcript:ENSMUST00000094867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam193a description:family with sequence similarity 193, member A [Source:MGI Symbol;Acc:MGI:2447768] MKVRLLRQLSAAAKAKAPSGLQGPPQAHHFVSLLLEEYAALCQAARSISTFLGTLENEHL KKFQVTWELHNKHLFENLVFSEPLLQSNLPALVSQIRLGTTTHDTCTEDMYSTLLQRYQR SEEELRKVAEEWLECQKRIDAYVDEQMTMKTKQRMLTEDWEIFKQRRLIEEQLTNKKVVT GENNFTDTRRHMLSSRLSMPDCPNCNYRRRCACDDCSLSHILTCGIMDTPVTDDIHIHQL PLQVDSAPDYLSEMRPPSVSSASSGSGSSSPITIQQHPRLILTDNGSAPTFCSDDEDVAP LSAKFADIYPLTNYDDTNVVANMNGIHSELNGGGENMALKDESPQVSSTSRSSSEADDED ADGESSGEPPGAPKQEEAIGNGNPKTEESNVNTPPPSYPAQQAEQTPNTCECHVCKQEAS GLPASAMTAGALPPGHQFLSPEKPTHPALHLYPHIHGHVPLHTVPHLPRPLIHPTLYPAP PFTHSKALPPAPVQSHTNKPQAFNASLQDHIYPSCFGNTPDWNSSKFISLWESEMMNDKN WNPGTFLPDTISGNDILGPVLSETRPEALPPPPSNEAPAVSDIKEKKNAAKKKCLYNFQD AFMEANEVAMANTVAMATSSATSSVSCTATTVQSSSSQFKVSSRRPPSIGDVFHGLNKED HRHSAPAAPRNSPTGLAPLPALSPSALSPASTPHLPNLAAPSFPKTATTAPGFVDTRKSF CPTPVAPPPSTTDGSISAPPSVCSDPDCEGHRCENGVYDPQQDDGDESADEDSCSEHSSS TSTSTNQKEGKYCDCCYCEFFGHGGPPAAPTSRNYAEMREKLRLRLTKRKEEQPKKMEQI SEREGVVDHRRVEDLLQFINSSEAKPVSSSRAAKRARHKQRKLEEKARLEAEARAREHLH HQEEQKQREEEEDEEEEDEEQHFKEEFQRLQELQKLRAAKKKKKDRPSKDCSKLDMLARN FQAATESISNSENIHNGSLEQTEEPETSSHSPSRHMNHSEPRPGPGANGDATDPVDPRDP SKLLLPKEVNGKQHEPLAFLLDMMHHHKEGNSKQKLKQTSKTSNEPARKPTEPPKTTEVQ LKPRAQPELKPKVVDLALLTEQKREERKTNSNNNNKKQLSHIKEEKLSTVTPEPPSPSQL LQNGRLILASSPQPKGKNKKNKKKKGDRTSSSLDDVFLPKDIDLDSVDMDETEREVEYFK RFCLDSARQNRQRLSINWSNFSLKKATFAAH >ENSMUSP00000053361.2 pep:known chromosome:GRCm38:19:59458372:59465357:1 gene:ENSMUSG00000043969.4 transcript:ENSMUST00000062216.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emx2 description:empty spiracles homeobox 2 [Source:MGI Symbol;Acc:MGI:95388] MFQPAPKRCFTIESLVAKDSPLPASRSEDPIRPAALSYANSSPINPFLNGFHSAAAAAAA GRGVYSNPDLVFAEAVSHPPNPAVPVHPVPPPHALAAHPLPSSHSPHPLFASQQRDPSTF YPWLIHRYRYLGHRFQGNDTSPESFLLHNALARKPKRIRTAFSPSQLLRLEHAFEKNHYV VGAERKQLAHSLSLTETQVKVWFQNRRTKFKRQKLEEEGSDSQQKKKGTHHINRWRIATK QASPEEIDVTSDD >ENSMUSP00000140271.1 pep:known chromosome:GRCm38:19:59459519:59465353:1 gene:ENSMUSG00000043969.4 transcript:ENSMUST00000174353.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emx2 description:empty spiracles homeobox 2 [Source:MGI Symbol;Acc:MGI:95388] SSHSPHPLFASQQRDPSTFYPWLIHRYRYLGHRFQGKSMVSEPENEIQKAKARGRRLRFS TEEKRDTPH >ENSMUSP00000054533.1 pep:known chromosome:GRCm38:13:21072349:21073299:-1 gene:ENSMUSG00000042869.1 transcript:ENSMUST00000058168.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1370 description:olfactory receptor 1370 [Source:MGI Symbol;Acc:MGI:3031204] MNLGNESAPKIFILLGFSSHPWLEMPLFIMVLVAYVCTVLGNISIIVVSRRDPQLDSPMY FFLSNLSFLDLCFTTTTIPQLLRNLWGPDKSISYGGCVTQFYIFHFLGATECILLAVMSL DRYIAICKPLRYPAIMHQQLCILLVSMAWLSGLANSLLQSTLTVKLPFCGNNKVDNFLCE VPVMIKMSCANTAFNIAMLSIVGTFYSLVPLSLILISYGFIVATVLRIRSSEGKKKAFNT CGSHVVVVTLFYGPVISMYVQPSSSNSQDKNKLLSLFYSLVTPMLNPFIYTLRNKDMKGA MKRLLVSLYHKGAEQT >ENSMUSP00000061227.9 pep:known chromosome:GRCm38:4:99929414:99987294:1 gene:ENSMUSG00000025791.18 transcript:ENSMUST00000058351.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgm2 description:phosphoglucomutase 2 [Source:MGI Symbol;Acc:MGI:97565] MVKIVTVKTQAYPDQKPGTSGLRKRVKVFQSNANYAENFIQSIVSTVEPALRQEATLVVG GDGRFYMTEAIQLIVRIAAANGIGRLVIGQNGILSTPAVSCIIRKIKAIGGIILTASHNP GGPNGDFGIKFNISNGGPAPEAITDKIFQISKTIEEYAICPDLKVDLGVLGKQQFDLENK FKPFTVEIVDSVEAYATMLRNIFDFNALKELLSGPNRLKIRIDAMHGVVGPYVKKILCEE LGAPANSAVNCVPLEDFGGHHPDPNLTYAADLVETMKSGEHDFGAAFDGDGDRNMILGKH GFFVNPSDSVAVIAANIFSIPYFQQTGVRGFARSMPTSGALDRVANATKIALYETPTGWK FFGNLMDASKLSLCGEESFGTGSDHIREKDGLWAVLAWLSILATRKQSVEDILKDHWQKF GRNFFTRYDYEEVEAEGANKMMKDLEALMLDRSFVGKQFSANDKVYTVEKADNFEYSDPV DGSISKNQGLRLIFADGSRIIFRLSGTGSAGATIRLYIDSYEKDVAKINQDPQVMLAPLI SIALKVSQLQERTGRTAPTVIT >ENSMUSP00000099844.4 pep:known chromosome:GRCm38:4:99955744:99987294:1 gene:ENSMUSG00000025791.18 transcript:ENSMUST00000102783.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgm2 description:phosphoglucomutase 2 [Source:MGI Symbol;Acc:MGI:97565] MSDFEEWISGTYRKTEEGPLPLLTFATAPYHDQKPGTSGLRKNTYYFEEKPCYLENFIQS IFFSIDLKDRQGSSLVVGGDGRYFNKSAIETIVQMAAANGIGRLVIGQNGILSTPAVSCI IRKIKAIGGIILTASHNPGGPNGDFGIKFNISNGGPAPEAITDKIFQISKTIEEYAICPD LKVDLGVLGKQQFDLENKFKPFTVEIVDSVEAYATMLRNIFDFNALKELLSGPNRLKIRI DAMHGVVGPYVKKILCEELGAPANSAVNCVPLEDFGGHHPDPNLTYAADLVETMKSGEHD FGAAFDGDGDRNMILGKHGFFVNPSDSVAVIAANIFSIPYFQQTGVRGFARSMPTSGALD RVANATKIALYETPTGWKFFGNLMDASKLSLCGEESFGTGSDHIREKDGLWAVLAWLSIL ATRKQSVEDILKDHWQKFGRNFFTRYDYEEVEAEGANKMMKDLEALMLDRSFVGKQFSAN DKVYTVEKADNFEYSDPVDGSISKNQGLRLIFADGSRIIFRLSGTGSAGATIRLYIDSYE KDVAKINQDPQVMLAPLISIALKVSQLQERTGRTAPTVIT >ENSMUSP00000140952.1 pep:known chromosome:GRCm38:Y:8257907:8284105:1 gene:ENSMUSG00000101928.1 transcript:ENSMUST00000186739.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20824 description:predicted gene, 20824 [Source:MGI Symbol;Acc:MGI:5434180] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYISTFMKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEVHEKSMEVLMNLGTKN >ENSMUSP00000073667.3 pep:known chromosome:GRCm38:4:62050234:62054158:-1 gene:ENSMUSG00000078672.2 transcript:ENSMUST00000074018.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup20 description:major urinary protein 20 [Source:MGI Symbol;Acc:MGI:3651981] MKLLVLLLCLGLTLVCVHAEEASSMERNFNVEKINGEWYTIMLATDKREKIEEHGSMRVF VEYIHVLENSLALKFHIIINEECSEIFLVADKTEKAGEYSVTYDGSNTFTILKTDYDNYI MIHLINKKDGETFQLMELYGREPDLSSDIKEKFAQLSEEHGIVRENIIDLTNANRCLEAR E >ENSMUSP00000134982.1 pep:known chromosome:GRCm38:15:75449457:75451406:1 gene:ENSMUSG00000093626.1 transcript:ENSMUST00000177479.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20654 description:predicted gene 20654 [Source:MGI Symbol;Acc:MGI:5313101] MAPLLLVLWASLVSMELTGGMMVNEVPAQNLSCFECFKVLQASKCHPIECRPNEKVCVSN EVLLYTSTKRRTQISKRCATACPNSNNVIEWSLGNTQARITRRCCSGDRCNRAPGSWEGF WSLPGRLLLPMGLGLFCTLL >ENSMUSP00000029139.7 pep:known chromosome:GRCm38:3:19644474:19692421:1 gene:ENSMUSG00000060913.6 transcript:ENSMUST00000029139.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim55 description:tripartite motif-containing 55 [Source:MGI Symbol;Acc:MGI:3036269] MSTSLNYKSFSKEQQTMDNLEKQLICPICLEMFTKPVVILPCQHNLCRKCASDIFQASNP YLPTRGGTTVASGGRFRCPSCRHEVVLDRHGVYGLQRNLLVENIIDIYKQESTRPEKKLD QPMCEEHEEERINIYCLNCEVPTCSLCKVFGAHKDCQVAPLTHVFQRQKSELSDGIAVLV GSNDRVQGVISQLEDTCKTIEECCRKQKQDLCEKFDHLYGILEERKTEMTQAITRTQEEK LEHVRTLIRKYSDHLENVSKLVESGIQFMDEPEMAVFLQNAKTLLQKIVEASKAFQMEKL EQGYEIMSNFTVNLNREEKIIREIDFSREEEEEEDAGEIDEEGEGEDAVEVEEAENVQIA SSGEEESLEKAAEPSQLPAELQVAPEPLPASSPEPFSSMPPAADVLVTQGEVVPIGSQQT TQSETSGPSAAETADPLFYPSWYKGQSRKTSSNPPCTHGSEGLGQIGPLGIEDSSVQSAE VAEAATNEQAAVSGKESSSTAATSQIGFEAPSPQGQSAALGSGGGADPEPARHVFSFSWL NSLNE >ENSMUSP00000057115.7 pep:known chromosome:GRCm38:8:4257660:4259274:-1 gene:ENSMUSG00000048644.8 transcript:ENSMUST00000053252.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctxn1 description:cortexin 1 [Source:MGI Symbol;Acc:MGI:88566] MSSAWTLSPEPLPPSTGPPVGAGLDVEQRTVFAFVLCLLVVLVLLMVRCVRILLDPYSRM PASSWTDHKEALERGQFDYALV >ENSMUSP00000123817.1 pep:known chromosome:GRCm38:13:63311539:63431724:-1 gene:ENSMUSG00000021461.16 transcript:ENSMUST00000161977.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancc description:Fanconi anemia, complementation group C [Source:MGI Symbol;Acc:MGI:95480] MAQESADLASDCQSWLQKLSAWEQASSEETQKDTCLHLSGFQEFLRQMYEILKEMDSDAI LERFPTIGQLLAKACWNPLILAYDESQKIVIWCLCCLMNKEPRTSAESGLNSWIRGLLSH VLSAFRFDMKEVCLFTKSLGYESIDYYPSLLKNMVLSLVSELRESHLNGLSTQSRMAPER MMSLSEVCVPLVTLPDMEPLVEALLTYHGHEPQEVLAPEFFEAVNEAFLSEKIVLPTSSV VSLWFRHLPSLEKATLHLFEKLFSSKIICLRRMECCIRESFLPQAACQPAIFRIVDEMFR FVLLETDGAPEVLAALQVFTSCLVEALKKENKQLTFALRTYFPYGAPCLAAALSQHPEAI PQGHRLQPLLHISQLLREAVEDCTRGSPRNPFESWFLFVHFGGWVDLAVAELLLREEAEP PAGLLWLLVFYYSPQDGSQQREQSMVELKVLINRLLMLLRSGPLSATDLQEAAESPSGDP RPPVCGQLVRRLLLSLLLWTPEGHAIVWEAVTHMAHTDAVIHEIIGFLDQTLYRSQHLCV EASRKLARDLLKELQAQV >ENSMUSP00000072788.5 pep:known chromosome:GRCm38:13:63304709:63431745:-1 gene:ENSMUSG00000021461.16 transcript:ENSMUST00000073029.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancc description:Fanconi anemia, complementation group C [Source:MGI Symbol;Acc:MGI:95480] MAQESADLASDCQSWLQKLSAWEQASSEETQKDTCLHLSGFQEFLRQMYEILKEMDSDAI LERFPTIGQLLAKACWNPLILAYDESQKIVIWCLCCLMNKEPRTSAESGLNSWIRGLLSH VLSAFRFDMKEVCLFTKSLGYESIDYYPSLLKNMVLSLVSELRESHLNGLSTQSRMAPER MMSLSEVCVPLVTLPDMEPLVEALLTYHGHEPQEVLAPEFFEAVNEAFLSEKIVLPTSSV VSLWFRHLPSLEKATLHLFEKLFSSKIICLRRMECCIRESFLPQAACQPAIFRIVDEMFR FVLLETDGAPEVLAALQVFTSCLVEALKKENKQLTFALRTYFPYGAPCLAAALSQHPEAI PQGHRLQPLLHISQLLREAVEDCTRGSPRNPFESWFLFVHFGGWVDLAVAELLLREEAEP PAGLLWLLVFYYSPQDGSQQREQSMVELKVLINRLLMLLRSGPLSATDLQEAAESPSGDP RPPVCGQLVRRLLLSLLLWTPEGHAIVWEAVTHMAHTDAVIHEIIGFLDQTLYRSQHLCV EASRKLARDLLKELQAQV >ENSMUSP00000124406.1 pep:known chromosome:GRCm38:13:63311755:63431725:-1 gene:ENSMUSG00000021461.16 transcript:ENSMUST00000163091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancc description:Fanconi anemia, complementation group C [Source:MGI Symbol;Acc:MGI:95480] MAQESADLASDCQSWLQKLSAWEQASSEETQKDTCLHLSGFQEFLRQMYEILKEMDSDAI LERFPTIGQLLAKACWNPLILAYDESQKIVIWCLCCLMNKEPRTSAESGLNSWIRGLLSH VLSAFRFDMKEVCLFTKSLGYESIDYYPSLLKNMVLSLVSELRESHLNGLSTQSRMAPER MMSLSEVCVPLVTLPDMEPLVEALLTYHGHEPQEVLAPEFFEAVNEAFLSEKIVLPTSSV VSLWFRHLPSLEKATLHLFEKLFSSKIICLRRMECCIRESFLPQAACQPAIFRIVDEMFR FVLLETDGAPEVLAALQVFTSCLVEALKKENKQLTFALRTYFPYGAPCLAAALSQHPEAI PQGHRLQPLLHISQLLREAVEDCTRGSPRNPFESWFLFVHFGGWVDLAVAELLLREEAEP PAGLLWLLVFYYSPQDGSQQREQSMVELKVLINRLLMLLRSGPLSATDLQEAAESPSGDP RPPVCGQLVRRLLLSLLLWTPEGHAIVWEAVTHGPTFEITGPGCCPRIWRSTRPQHRPRA HLCCTEMAHTDAVIHEIIGFLDQTLYRSQHLCVEASRKLARDLLKELQAQV >ENSMUSP00000097043.3 pep:known chromosome:GRCm38:13:63312135:63431694:-1 gene:ENSMUSG00000021461.16 transcript:ENSMUST00000099444.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancc description:Fanconi anemia, complementation group C [Source:MGI Symbol;Acc:MGI:95480] MNKEPRTSAESGLNSWIRGLLSHVLSAFRFDMKEVCLFTKSLGYESIDYYPSLLKNMVLS LVSELRESHLNGLSTQSRMAPERMMSLSEVCVPLVTLPDMEPLVEALLTYHGHEPQEVLA PEFFEAVNEAFLSEKIVLPTSSVVSLWFRHLPSLEKATLHLFEKLFSSKIICLRRMECCI RESFLPQAACQPAIFRIVDEMFRFVLLETDGAPEVLAALQVFTSCLVEALKKENKQLTFA LRTYFPYGAPCLAAALSQHPEAIPQGHRLQPLLHISQLLREAVEDCTRGSPRNPFESWFL FVHFGGWVDLAVAELLLREEAEPPAGLLWLLVFYYSPQDGSQQREQSMVELKVLINRLLM LLRSGPLSATDLQEAAESPSGDPRPPVCGQLVRRLLLSLLLWTPEGHAIVWEAVTHMAHT DAVIHEIIGFLDQTLYRSQHLCVEASRKLARDLLKELQAQV >ENSMUSP00000124165.1 pep:known chromosome:GRCm38:13:63402877:63431683:-1 gene:ENSMUSG00000021461.16 transcript:ENSMUST00000160931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancc description:Fanconi anemia, complementation group C [Source:MGI Symbol;Acc:MGI:95480] MAQESADLASDCQSWLQKLSAWEQASSEETQKDTCLHLSGFQE >ENSMUSP00000123972.1 pep:known chromosome:GRCm38:13:63347422:63431255:-1 gene:ENSMUSG00000021461.16 transcript:ENSMUST00000162971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancc description:Fanconi anemia, complementation group C [Source:MGI Symbol;Acc:MGI:95480] MAQESADLASDCQSWLQKLSAWEQASSEETQKDTCLHLSGFQEFLRQMYEILKEMDSDAI LERFPTIGQLLAKACWNPLILAYDESQKIVIWCLCCLMNKEPRTSAESGLNSWIRGLLSH VLSAFRFDMKEVCLFTKSLGYESIDYYPSLLKNMVLSLVSELRESHLNGLSTQSRMAPER MMSLSEVCVPLVTLPDMEPLVEALLTYHGHEPQEVLAPEFFEAVNEAFL >ENSMUSP00000123767.1 pep:known chromosome:GRCm38:13:63402934:63409768:-1 gene:ENSMUSG00000021461.16 transcript:ENSMUST00000160617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancc description:Fanconi anemia, complementation group C [Source:MGI Symbol;Acc:MGI:95480] MAQESADLASDCQSWLQKLSAWEQ >ENSMUSP00000124759.1 pep:known chromosome:GRCm38:13:63347473:63406297:-1 gene:ENSMUSG00000021461.16 transcript:ENSMUST00000162375.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancc description:Fanconi anemia, complementation group C [Source:MGI Symbol;Acc:MGI:95480] MAQESADLASDCQSWLQKLSAWEQASSEETQKDTCLHLSGFQEFLRQMYEILKEMDSDAI LERFPTIGQLLAKACWNPLILAYDESQKIVIWCLCCLMNKEPRTSAESGLNSWIRGLLSH VLSAFRFDMKEVCLFTKSLGYESIDYYPSLLKNMVLSLVSELRESHLNGLSTQSRMAPER MMSLSEVCVPLVTLPDMEPLVEALLTYHGHEP >ENSMUSP00000125710.1 pep:known chromosome:GRCm38:13:63340390:63398167:-1 gene:ENSMUSG00000021461.16 transcript:ENSMUST00000160735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancc description:Fanconi anemia, complementation group C [Source:MGI Symbol;Acc:MGI:95480] XKIVIWCLCCLMNKEPRTSAESGLNSWIRGLLSHVLSAFRFDMKEVCLFTKSLGYESIDY YPSLLKNMVLSLVSELRESHLNGLSTQSREKIVLPTSSVVSLWFRHLPSLEKATLHL >ENSMUSP00000124325.1 pep:known chromosome:GRCm38:13:63347512:63497278:-1 gene:ENSMUSG00000021461.16 transcript:ENSMUST00000159024.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fancc description:Fanconi anemia, complementation group C [Source:MGI Symbol;Acc:MGI:95480] MAQESADLASDCQSWLQKLSAWEQASSEETQKDTCLHLSGFQEFLRQMYEILKEMDSDAI LERFPTIGQLLAKACWNPLILAYDESQKIVIWCLCCLMNKEPRTSAESGLNSWIRGLLSH VLSAFRFDMKEVCLFTKSLGYESIDYYPSLLKNMVLSLVSELRESHLNGLSTQSRMAPER MMSLSEVCVPLVTLPDMEP >ENSMUSP00000023478.7 pep:known chromosome:GRCm38:16:38902316:39027159:1 gene:ENSMUSG00000022790.13 transcript:ENSMUST00000023478.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf11 description:immunoglobulin superfamily, member 11 [Source:MGI Symbol;Acc:MGI:2388477] MTRRRSAPASWLLVSLLGVATSLEVSESPGSVQVARGQTAVLPCAFSTSAALLNLNVIWM VIPLSNANQPEQVILYQGGQMFDGALRFHGRVGFTGTMPATNVSIFINNTQLSDTGTYQC LVNNLPDRGGRNIGVTGLTVLVPPSAPQCQIQGSQDLGSDVILLCSSEEGIPRPTYLWEK LDNTLKLPPTATQDQVQGTVTIRNISALSSGLYQCVASNAIGTSTCLLDLQVISPQPRSV GVIAGAVGTGAVLIVICLALISGAFFYWRSKNKEEEEEEIPNEIREDDLPPKCSSAKAFH TEISSSENNTLTSSNTYNSRYWNNNPKPHRNTESFNHFSDLRQSFSGNAVIPSIYANGNH LVLGPHKTLVVTANRGSSPQVLPRNNGSVSRKPWPQHTHSYTVSQMTLERIGAVPVMVPA QSRAGSLV >ENSMUSP00000110354.1 pep:known chromosome:GRCm38:16:38901471:39025361:1 gene:ENSMUSG00000022790.13 transcript:ENSMUST00000114706.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igsf11 description:immunoglobulin superfamily, member 11 [Source:MGI Symbol;Acc:MGI:2388477] MVIPLSNANQPEQVILYQGGQMFDGALRFHGRVGFTGTMPATNVSIFINNTQLSDTGTYQ CLVNNLPDRGGRNIGVTGLTVLVPPSAPQCQIQGSQDLGSDVILLCSSEEGIPRPTYLWE KLDNTLKLPPTATQDQVQGTVTIRNISALSSGLYQCVASNAIGTSTCLLDLQVISPQPRS VGVIAGAVGTGAVLIVICLALISGAFFYWRSKNKEEEEEEIPNEIREDDLPPKCSSAKAF HTEISSSENNTLTSSNTYNSRYWNNNPKPHRNTESFNHFSDLRQSFSGNAVIPSIYANGN HLVLGPHKTLVVTANRGSSPQVLPRNNGSVSRKPWPQHTHSYTVSQMTLERIGAVPVMVP AQSRAGSLV >ENSMUSP00000142829.1 pep:known chromosome:GRCm38:3:36151017:36170342:1 gene:ENSMUSG00000033883.11 transcript:ENSMUST00000197653.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd254e description:DNA segment, Chr 3, ERATO Doi 254, expressed [Source:MGI Symbol;Acc:MGI:1098769] MEQLTFRDVAIDFSGEEWECLDSEQQNLYTDVMLENYRNLVFLGLAVSKPYLVTCLEQRK EPWNVKTQKTMAVFPAMFSHHSQDFSPEQNIKYSFQELICGPRGQCGFDALYFPGERDCV EEGDILRTCYNGHNPFLTAGNSKSFTPRRDRAQEMAHKNPQVTPVTCEEPCASVIKQQSQ FLKQTPSFKDNLENPQRVLVNSSTTDFNNFKCSFGLSFHSNIFVDGELKNEGKHSKCNQF ENSIMKNSLVYNQQIGLPCAKTQNSHKYGRFFSHPVSLNQNSSTDILEIQYICKENRKAF TEESSLSNLQDVYIGETVYEGNENNSDLNQESNPASHQSAQLYKCYKCDTVFHQYSELII HQYIHIQDQISKDMDCDTAFSQSSSLTRSQAGEKPYKCKECGKAFTYCSSLRQHHRIHSG VKQHKCKDCGKAFYHKSLLTQHQSIHAGKKPYCCKFCGKAFNQRSTVTQHQRIHTGERPY HCKDCGKAFHQRSSLSLHQRVHTGEKPYKCKDCGKAFNRNSLLTQHQRIHTGERPYHCKD CGKTFNKKSSLTQHQRIHTGEKPYSCKDCGKAFNQRSSLSLHQRGHTGEKPNKCNECGKA FNRVFFLTQHQKIHTGEKAYHCKDCGKAFKQRSSLTQHQRVHTGDKPYHCKHCGKAFTQR SSFTRHQRIHTGEKPYKCQDCDKAFSRNLLLIQHQRIHTGEKPYHCQDCGRAFNQRSSLT QHQRVHTGDKPFCCKDCGKAFTQRSSFNRHQRVHTGEKPYKCKECDGAFKSSSHLAEHQC TSLGSCFEDTKFLQYI >ENSMUSP00000145477.1 pep:known chromosome:GRCm38:3:36151162:36162674:1 gene:ENSMUSG00000033883.11 transcript:ENSMUST00000205077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd254e description:DNA segment, Chr 3, ERATO Doi 254, expressed [Source:MGI Symbol;Acc:MGI:1098769] MLENYRNLVFLGLAVSKPYLVTCLE >ENSMUSP00000131779.2 pep:known chromosome:GRCm38:3:36159522:36170335:1 gene:ENSMUSG00000033883.11 transcript:ENSMUST00000165956.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:D3Ertd254e description:DNA segment, Chr 3, ERATO Doi 254, expressed [Source:MGI Symbol;Acc:MGI:1098769] EQLTFRDVAIDFSGEEWECLDSEQQNLYTDVMLENYRNLVFLGLAVSKPYLVTCLEQRKE PWNVKTQKTMAVFPAMFSHHSQDFSPEQNIKYSFQELICGPRGQCGFDALYFPGERDCVE EGDILRTCYNGHNPFLTAGNSKSFTPRRDRAQEMAHKNPQVTPVTCEEPCASVIKQQSQF LKQTPSFKDNLENPQRVLVNSSTTDFNNFKCSFGLSFHSNIFVDGELKNEGKHSKCNQFE NSIMKNSLVYNQQIGLPCAKTQNSHKYGRFFSHPVSLNQNSSTDILEIQYICKENRKAFT EESSLSNLQDVYIGETVYEGNENNSDLNQESNPASHQSAQLYKCYKCDTVFHQYSELIIH QYIHIQDQISKDMDCDTAFSQSSSLTRSQAGEKPYKCKECGKAFTYCSSLRQHHRIHSGV KQHKCKDCGKAFYHKSLLTQHQSIHAGKKPYCCKFCGKAFNQRSTVTQHQRIHTGERPYH CKDCGKAFHQRSSLSLHQRVHTGEKPYKCKDCGKAFNRNSLLTQHQRIHTGERPYHCKDC GKTFNKKSSLTQHQRIHTGEKPYSCKDCGKAFNQRSSLSLHQRGHTGEKPNKCNECGKAF NRVFFLTQHQKIHTGEKAYHCKDCGKAFKQRSSLTQHQRVHTGDKPYHCKHCGKAFTQRS SFTRHQRIHTGEKPYKCQDCDKAFSRNLLLIQHQRIHTGEKPYHCQDCGRAFNQRSSLTQ HQRVHTGDKPFCCKDCGKAFTQRSSFNRHQRVHTGEKPYKCKECDGAFKSSSHLAEHQCT SLGSCFEDTKFLQYI >ENSMUSP00000138422.1 pep:known chromosome:GRCm38:17:23941672:23945603:1 gene:ENSMUSG00000024128.13 transcript:ENSMUST00000181985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbp description:spermine binding protein [Source:MGI Symbol;Acc:MGI:106021] MLLLLTLAFLASPTCRAQNVLGNAAGKYFYVQGEDQGQLKGMRIFLSVFKFIKGFQLQFG SNWTDVYGTRSDNFIDFLLEDGEHVIKVEGSAVICLTSLTFTTNKGRVATFGVRRGRYFS DTGGSDKHLVTVNGMHAPGLCVRGIGFKWGNINANGNDHYNNKEDKADNKDADNKDADNK DDGDEDDDGNDDDDQKDES >ENSMUSP00000138219.1 pep:known chromosome:GRCm38:17:23941833:23945607:1 gene:ENSMUSG00000024128.13 transcript:ENSMUST00000183252.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbp description:spermine binding protein [Source:MGI Symbol;Acc:MGI:106021] MLLLLTLAFLASPTCRAQNVLGNAAGKYFYVQGEDQGQLKGMRIFLSVFKFIKGFQLQFG SNWTDVYGTRSDNFIDFLLEDGEHVIKVEGSAVICLTSLTFTTNKGRVATFGVRRGRYFS DTGGSDKHLVTVNGMHAPGLCVRGIGFKWGNINANGNDHYNNKEDKADNKDADNKDADNK DDGDEDDDGNDDDDQKDES >ENSMUSP00000138447.1 pep:known chromosome:GRCm38:17:23941913:23945603:1 gene:ENSMUSG00000024128.13 transcript:ENSMUST00000183017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbp description:spermine binding protein [Source:MGI Symbol;Acc:MGI:106021] MLLLLTLAFLASPTCRAQNVLGNAAGKYFYVQGACGHLWC >ENSMUSP00000138491.1 pep:known chromosome:GRCm38:17:23941985:23945348:1 gene:ENSMUSG00000024128.13 transcript:ENSMUST00000182868.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbp description:spermine binding protein [Source:MGI Symbol;Acc:MGI:106021] MSSSQSVTEILPLWHLSARLYHKPSGAMLLLLTLAFLASPTCRAQNVLGNAAGKYFYVQG EDQGQLKGMRIFLSVFKFIKGFQLQFGSNWTDVYGTRSDNFIDFLLEDGEHVIKVEGSAV ICLTSLTFTTNKGRVATFGVRRGRYFSDTGGSDKHLVTVNGMHAPGLCVRGIGFKWGNIN ANGNDHYNNKEDKA >ENSMUSP00000138341.1 pep:known chromosome:GRCm38:17:23942077:23945599:1 gene:ENSMUSG00000024128.13 transcript:ENSMUST00000183155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbp description:spermine binding protein [Source:MGI Symbol;Acc:MGI:106021] MLLLLTLAFLASPTCRAQNVLGNAAGKYFYVQGEDQGQLKGMRIFLSVFKFIKGFQLQFG SNWTDVYGTRSDNFIDFLLEDGEHVIKVEGSAVICLTSLTFTTNKGRVATFGVRRGRYFS DTGGSDKHLVTVNGMHAPGLCVRGIGFKWGNINANGNDHYNNKEDKADNKDADNKDADNK DDGDEDDDGNDDDDQKDES >ENSMUSP00000138338.1 pep:known chromosome:GRCm38:17:23942383:23945603:1 gene:ENSMUSG00000024128.13 transcript:ENSMUST00000182519.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbp description:spermine binding protein [Source:MGI Symbol;Acc:MGI:106021] MLLLLTLAFLASPTCRAQNVLGNAAGKYFYVQGEDQGQLKGMRIFLSVFKFIKGFQLQFG SNWTDVYGTRSDNFIDFLLEDGEHVIKPKCLYLSV >ENSMUSP00000024940.4 pep:known chromosome:GRCm38:17:23942375:23945607:1 gene:ENSMUSG00000024128.13 transcript:ENSMUST00000024940.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbp description:spermine binding protein [Source:MGI Symbol;Acc:MGI:106021] MLLLLTLAFLASPTCRAQNVLGNAAGKYFYVQGEDQGQLKGMRIFLSVFKFIKGFQLQFG SNWTDVYGTRSDNFIDFLLEDGEHVIKVEGSAVICLTSLTFTTNKGRVATFGVRRGRYFS DTGGSDKHLVTVNGMHAPGLCVRGIGFKWGNINANGNDHYNNKEDKADNKDADNKDADNK DDGDEDDDGNDDDDQKDES >ENSMUSP00000141980.1 pep:known chromosome:GRCm38:3:93144789:93149819:1 gene:ENSMUSG00000078657.6 transcript:ENSMUST00000195515.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crnn description:cornulin [Source:MGI Symbol;Acc:MGI:2685861] MPQLLQNIHGIIEAFGCYARSEGGCKVLTRQELKRLLEHEFADVIVKPHDPATVDEVLRL LDEDNTGTVEFKEFLVLVFKVARACFKTLNESPKGACISDKSEGCYSGSSKELEQRQRGG AEVAKDGAAQHCEDSSCGQRDQASRGQDRVGTHTQNQDSYPTQVSSHDRQTESQTQEMIS QQTQVTGQVEQTPRTEDKSWTRQKRSERQPQSSQQTDEITTGSTAQTQAGNFYTQGSTCD YNRGTNSHHQDRSHADRASTQHYQTQAGSHTQIHTQMVEQAWGQQTGSDSIQTQGSIYDQ STETVIHGQDRNQASQSVKEHHQAQGESYIQTHTQTMEQGRSQQAENSSTQTHGSMYGQN RETEIHGLESSQAEQVGTRHYQTQAGSYTQTLEHDGSQSAHKFVAQEKERTQTKPCMGQS WTPVSNYETEESVIGGQVQTETDTIIENHPSPTARQVERVPTVVREEWVNDHTREIVIRS QDPGSLHSGTPSAQGQDIPQMEKKGITAKGLYTYLKTEQP >ENSMUSP00000141904.1 pep:known chromosome:GRCm38:3:93148210:93149818:1 gene:ENSMUSG00000078657.6 transcript:ENSMUST00000192372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crnn description:cornulin [Source:MGI Symbol;Acc:MGI:2685861] XSEGCYSGSSKELEQRQRGGAEVAKDGAAQHCEDSSCGQRDQASRGQDRVGTHTQNQDSY PTQVSSHDRQTESQTQEMISQQTQVTGQVEQTPRTEDKSWTRQKRSERQPQSSQQTDEIT TGSTAQTQAVIISTR >ENSMUSP00000102921.1 pep:known chromosome:GRCm38:3:93144787:93149471:1 gene:ENSMUSG00000078657.6 transcript:ENSMUST00000107300.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crnn description:cornulin [Source:MGI Symbol;Acc:MGI:2685861] MPQLLQNIHGIIEAFGCYARSEGGCKVLTRQELKRLLEHEFADVIVKPHDPATVDEVLRL LDEDNTGTVEFKEFLVLVFKVARACFKTLNESPKGACISDKSEGCYSGSSKELEQRQRGG AEVAKDGAAQHCEDSSCGQRDQASRGQDRVGTHTQNQDSYPTQVSSHDRQTESQTQEMIS QQTQVTGQVEQTPRTEDKSWTRQKRSERQPQSSQQTDEITTGSTAQTQAGNFYTQGSTCD YNRGTNSHHQDRSHADRASTQHYQTQAGSHTQIHTQMVEQAWGQQTGSDSIQTQGSIYDQ STETVIHGQDRNQASQSVKEHHQAQGESYIQTHTQTMEQGRSQQAENSSTQTHGSMYGQN RETEIHGLESSQAEQVGTRHYQTQAGSYTQTLEHDGSQSAHKFVAQEKERTQTKPCMGQS WTPVSNYETEESVIGGQVQTETDTIIENHPSPTARQVERVPTVVREEWVNDHTREIVIRS QDPGSLHSGTPSAQGQDIPQMEKKGITAKGLYTYLKTEQP >ENSMUSP00000071772.6 pep:known chromosome:GRCm38:15:85806972:85811696:-1 gene:ENSMUSG00000064284.12 transcript:ENSMUST00000071876.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdpf1 description:cysteine rich, DPF motif domain containing 1 [Source:MGI Symbol;Acc:MGI:1919605] MASETEPRPLGTFECQLCALSAPYSYVGQKPPDTQAVVLLEESYIMKDPFSSDKARFLVL GSRCSVCSRLVCVGPDCSLFYSKRVCLPCVQENMSAFPQEIQQDVEKRKSTSKKHSNRP >ENSMUSP00000123174.1 pep:known chromosome:GRCm38:15:85807257:85811697:-1 gene:ENSMUSG00000064284.12 transcript:ENSMUST00000150995.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdpf1 description:cysteine rich, DPF motif domain containing 1 [Source:MGI Symbol;Acc:MGI:1919605] MASETEPRPLGTFECQLCALSAPYSYVGQKPPDTQAVVLLEESYIMKDPFSSDKARFLVL GSRCSVCSRLVCVGPDCSLFYSKRVCLPCVQENMSAFPQEIQQDVEKRKSTSKKHSNRP >ENSMUSP00000114528.1 pep:known chromosome:GRCm38:15:85807247:85811090:-1 gene:ENSMUSG00000064284.12 transcript:ENSMUST00000125947.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdpf1 description:cysteine rich, DPF motif domain containing 1 [Source:MGI Symbol;Acc:MGI:1919605] MASETEPRPLGTFECQLCALSAPYSYVGQKPPDTQAVVLLEESYIMKDPFSSDKARFLVL GSRCSVCSRLVCVGPDCSLFYSKRVCLPCVQENMSAFPQEIQQDVEKRKSTSKKHSNRP >ENSMUSP00000121889.1 pep:known chromosome:GRCm38:15:85807200:85811654:-1 gene:ENSMUSG00000064284.12 transcript:ENSMUST00000134631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdpf1 description:cysteine rich, DPF motif domain containing 1 [Source:MGI Symbol;Acc:MGI:1919605] MASETEPRPLGTFECQLCALSAPYSYVGQKPPDTQAVVLLEESYIMKDPFSSDKARFLVL GSRCSVCSRLVCVGPDCSLFYSKRVCLPCVQENMSAFPQEIQQDVEKRKSTSKKHSNRP >ENSMUSP00000119728.1 pep:known chromosome:GRCm38:15:85807244:85811644:-1 gene:ENSMUSG00000064284.12 transcript:ENSMUST00000144067.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdpf1 description:cysteine rich, DPF motif domain containing 1 [Source:MGI Symbol;Acc:MGI:1919605] MASETEPRPLGTFECQLCALSAPYSYVGQKPPDTQAVVLLEESYIMKDPFSSDKARFLVL GSRCSVCSRLVCVGPDCSLFYSKRVCLPCVQENMSAFPQEIQQDVEKRKSTSKKHSNRP >ENSMUSP00000116823.1 pep:known chromosome:GRCm38:15:85808228:85811659:-1 gene:ENSMUSG00000064284.12 transcript:ENSMUST00000154814.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdpf1 description:cysteine rich, DPF motif domain containing 1 [Source:MGI Symbol;Acc:MGI:1919605] MASETEPRPLGTFECQLCALSAPYSYVGQKPPDTQAVVLLEESYIMKDPFSSDKARFLVL GSRCSVCSRLVCVGPVGTPGDFLF >ENSMUSP00000078059.2 pep:known chromosome:GRCm38:13:21115694:21116647:1 gene:ENSMUSG00000060404.2 transcript:ENSMUST00000079050.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1369-ps1 description:olfactory receptor 1369, pseudogene 1 [Source:MGI Symbol;Acc:MGI:3031203] MDPSNYSTLHVFILLGFSDHPHLEMILSGVVTFFYIITLVGNTAIILASLLDPHLHTPMY FFLRNLSFLDLCYTTSIVPQMLVNLWGPEKTISSVGCIVQLYVYMWLGSIECLLLAVMSY DRFTAICKPLHYLVIMNPRLCVKMIVMVWGISLANSVILCTLTVNLPRCGHNILDHFLCE LPAMVRIACVDTTKVELSVFALGIVIVLTPLILILISYGYIAKTVLNMKSKAGQQKAMNT CGSHLTVVSIFYGSIIYLYLQPGNRASKDQGKFLTLFYTIITPSLNPLIYTLRNRDMKDA LKKLMMFYHRFAKIRRN >ENSMUSP00000100636.2 pep:known chromosome:GRCm38:X:3700233:3702192:1 gene:ENSMUSG00000094876.1 transcript:ENSMUST00000105019.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14345 description:predicted gene 14345 [Source:MGI Symbol;Acc:MGI:3709282] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDQVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000093705.2 pep:known chromosome:GRCm38:13:21132698:21133784:1 gene:ENSMUSG00000071522.2 transcript:ENSMUST00000096006.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr263 description:olfactory receptor 263 [Source:MGI Symbol;Acc:MGI:3030097] MDPSNYSTLHVFILLGFSDHPHLEMILSGVVTFFYIITLVGNTAIILASLLDPHLHTPMY FFLRNLSFLDLCFTTSIVPQMLVNLWGPEKTISSVGCIVQLYVYMWLGSIECLLLAVMSY DRFTAICKPLHYFVIMNPRLCVKMIVMVWGISLANSVILCTLTVNLPRCGHNILDHFLCE LPAMVRIACVDTTTVELSVFALGIVIVLTPLILILISYGYIAKTVLNMKSKAGQQKAMNT CGSHLTVVSIFYGTIIYMYLQPGNRASKDQGKFLTLFYTIITPSLNPLIYTLRNRDMKDA LKKLMRFYHRFAEVRRN >ENSMUSP00000085832.4 pep:known chromosome:GRCm38:X:72381870:72386858:-1 gene:ENSMUSG00000043453.5 transcript:ENSMUST00000088481.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea10 description:melanoma antigen family A, 10 [Source:MGI Symbol;Acc:MGI:3588211] MPRPRKRRRCMVEGQPEAQSVAVTMEEDSSSSSSTYSSSFPSSFSSTSSSYALTSGNSEE GCAASRASSPHSPPGAGSSCTAMISSPRSQVSADSEGEDSPGSSQALPCGTSLSTGEIDV KVDELVKYLLLKYLMQEPVSKAEILSNIIRNYQDHFAVIFREALECMQLVFGLELKEIDP ASHTYILTIALELTYDGMMTDVQGIPKTGLLIMVLSIIFMEGNCVSEDMVWSILNNIGLY AGNEHFIYGEPRKLITDNFVQEGYLEYRHVPGSNPPFYEFLWGPRAYAETTKMKILTFLT SINGSDPRSFPVWYAEALRDEEQQE >ENSMUSP00000093145.3 pep:known chromosome:GRCm38:10:77810967:77811845:-1 gene:ENSMUSG00000094913.2 transcript:ENSMUST00000095491.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9507 description:predicted gene 9507 [Source:MGI Symbol;Acc:MGI:3779917] MAASTMSVCSDARTNSSWQVDDCPESCCEPCCCAPSCCQSSCCVPSCCQSSCCAPAPSLT LICTPVSCVSSPCCQSSCCTPSCCQQSSCQPACCTCSPCQQPCCVTLCCKPVCCTPICSG PCCQQSSCQSSCCQSPCCVPVCCKPVCCTPICSGSSSCCQPSCCAPVCCKPCSSLSLLCR PVCRPACCVPTSSCCASSCQPSCCGPTSSVSLLCRPACSCSTC >ENSMUSP00000136824.1 pep:known chromosome:GRCm38:10:77811190:77811843:-1 gene:ENSMUSG00000094913.2 transcript:ENSMUST00000180157.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9507 description:predicted gene 9507 [Source:MGI Symbol;Acc:MGI:3779917] MAASTMSVCSDARTNSSWQVDDCPESCCEPCCCAPSCCQSSCCVPSCCQSSCCAPAPSLT LICTPVSCVSSPCCQSSCCTPSCCQQSSCQPACCTCSPCQQPCCVTLCCKPVCCTPICSG PCCQQSSCQSSCCQSPCCVPVCCKPVCCTPICSGSSSCCQPSCCAPVCCKPCSSLSLLCR PVCRPACCVPTSSCCASSCQPSCCGPTSSVSLLCRPAC >ENSMUSP00000136228.1 pep:known chromosome:GRCm38:X:3750934:3752885:-1 gene:ENSMUSG00000095716.1 transcript:ENSMUST00000178621.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14351 description:predicted gene 14351 [Source:MGI Symbol;Acc:MGI:3709278] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIHALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000041999.7 pep:known chromosome:GRCm38:14:27000362:27002329:1 gene:ENSMUSG00000040726.9 transcript:ENSMUST00000035433.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hesx1 description:homeobox gene expressed in ES cells [Source:MGI Symbol;Acc:MGI:96071] MSPSLREGAQLRESKPAPCSFSIESILGLDQKKDCTTSVRPHRPWTDTCGDSEKGGNPPL HAPDLPSETSFPCPVDHPRPEERAPKYENYFSASETRSLKRELSWYRGRRPRTAFTQNQV EVLENVFRVNCYPGIDIREDLAQKLNLEEDRIQIWFQNRRAKMKRSRRESQFLMAKKPFN PDLLK >ENSMUSP00000093609.1 pep:known chromosome:GRCm38:13:27956155:27956415:1 gene:ENSMUSG00000071466.1 transcript:ENSMUST00000095920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11360 description:predicted gene 11360 [Source:MGI Symbol;Acc:MGI:3649916] VDDLKAKLVTQEVELRQKNEDTDKLMQVVGVETRKVSREKAIADKKEQKVVLIMLEVQQK QKYCEEDQAKAKPALTAAQAALNTLNK >ENSMUSP00000021779.6 pep:known chromosome:GRCm38:13:28016212:28023548:1 gene:ENSMUSG00000005891.6 transcript:ENSMUST00000021779.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl4a1 description:prolactin family 4, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1206587] MHLSLTPQWSSWTVLLLLVSNLLLWENTASAMRAKRLNVHDYTTFGNTWNQAIQLSQSMN HRISELSTHFKVFYAQGRGFEKRTTRCHTSSLSSPENKEQAQKIQLEVLLGLAHSLLQAW VNPLYHLWAEMCERLGSTPPILSKALEVKTLNRNLLETIEKIAFKGNFEINENGNYTAWS ELELLQSPNRDTRYFAFHNLFHCLKKDSSHVEMYLKLLKCRLIQSNC >ENSMUSP00000032648.4 pep:known chromosome:GRCm38:7:42445462:42448120:-1 gene:ENSMUSG00000030463.5 transcript:ENSMUST00000032648.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933421I07Rik description:RIKEN cDNA 4933421I07 gene [Source:MGI Symbol;Acc:MGI:1918412] MFRCFQTCRGSGHKKAKSSWLGCFWRRLIRPLTHLRHASRSEPKVCCENEQEPDSMSNHP RFDYKSREYIQQMIDYIPAAIQNRDHLCLDIFVAMYRTYATTWEVLDLLMKTYASFRTDC IEDQQTKSAIFSFLFGWFQKFPQDFYESPDLAVLSQFTEYVRLNVPFGDGDTQAREPLSM FKDQEAITLRLEEGEKVWCWLLDKVGGGFGDGFH >ENSMUSP00000112666.1 pep:known chromosome:GRCm38:13:4228700:4248360:1 gene:ENSMUSG00000071551.12 transcript:ENSMUST00000118663.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c19 description:aldo-keto reductase family 1, member C19 [Source:MGI Symbol;Acc:MGI:2653678] MSSKQQCVKLNDGNFIPALGFGTYKPEEVNENKPLEAIHLALEAGFRHIDTAYVYQTENH VGQAIRSKIAAGLVKREDIFLTTKLWCTFHRPELVRSNLEKSLKNLQLDYADLYLIHYPV QMKPGEDLFPEDEHGKTLFDTVDICATWEAMEKCKDAGLVKSIGVSNFNSRQLEKILNKP GLKYKPVCNQVECHLYLNQRKLLNYCKSKDIVLVAYCALGSQRPKRWVDPSSPVLLNDPI LCDMAKKHKRSPAQIALRYHLQRGIVVLAQSYKENEIKENIQVFEFELPSEDMKILDSLD RNLRYAPAPFGEGHPEYPFSDEF >ENSMUSP00000080074.6 pep:known chromosome:GRCm38:13:4233740:4248359:1 gene:ENSMUSG00000071551.12 transcript:ENSMUST00000081326.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c19 description:aldo-keto reductase family 1, member C19 [Source:MGI Symbol;Acc:MGI:2653678] MSSKQQCVKLNDGNFIPALGFGTYKPEEVNENKPLEAIHLALEAGFRHIDTAYVYQTENH VGQAIRSKIAAGLVKREDIFLTTKLWCTFHRPELVRSNLEKSLKNLQLDYADLYLIHYPV QMKPGEDLFPEDEHGKTLFDTVDICATWEAMEKCKDAGLVKSIGVSNFNSRQLEKILNKP GLKYKPVCNQVECHLYLNQRKLLNYCKSKDIVLVAYCALGSQRPKRWVDPSSPVLLNDPI LCDMAKKHKRSPAQIALRYHLQRGIVVLAQSYKENEIKENIQVFEFELPSEDMKILDSLD RNLRYAPAPFGEGHPEYPFSDEF >ENSMUSP00000016771.6 pep:known chromosome:GRCm38:15:77760587:77842175:-1 gene:ENSMUSG00000022443.16 transcript:ENSMUST00000016771.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myh9 description:myosin, heavy polypeptide 9, non-muscle [Source:MGI Symbol;Acc:MGI:107717] MAQQAADKYLYVDKNFINNPLAQADWAAKKLVWVPSSKNGFEPASLKEEVGEEAIVELVE NGKKVKVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKERYYSGLIYTYSGLFCVV INPYKNLPIYSEEIVEMYKGKKRHEMPPHIYAITDTAYRSMMQDREDQSILCTGESGAGK TENTKKVIQYLAHVASSHKSKKDQGELERQLLQANPILEAFGNAKTVKNDNSSRFGKFIR INFDVNGYIVGANIETYLLEKSRAIRQAKEERTFHIFYYLLSGAGEHLKTDLLLEPYNKY RFLSNGHVTIPGQQDKDMFQETMEAMRIMGIPEDEQMGLLRVISGVLQLGNIAFKKERNT DQASMPDNTAAQKVSHLLGINVTDFTRGILTPRIKVGRDYVQKAQTKEQADFAIEALAKA TYERMFRWLVLRINKALDKTKRQGASFIGILDIAGFEIFDLNSFEQLCINYTNEKLQQLF NHTMFILEQEEYQREGIEWNFIDFGLDLQPCIDLIEKPAGPPGILALLDEECWFPKATDK SFVEKVVQEQGTHPKFQKPKQLKDKADFCIIHYAGKVDYKADEWLMKNMDPLNDNIATLL HQSSDKFVSELWKDVDRIIGLDQVAGMSETALPGAFKTRKGMFRTVGQLYKEQLAKLMAT LRNTNPNFVRCIIPNHEKKAGKLDPHLVLDQLRCNGVLEGIRICRQGFPNRVVFQEFRQR YEILTPNSIPKGFMDGKQACVLMIKALELDSNLYRIGQSKVFFRAGVLAHLEEERDLKIT DVIIGFQACCRGYLARKAFAKRQQQLTAMKVLQRNCAAYLRLRNWQWWRLFTKVKPLLNS IRHEDELLAKEAELTKVREKHLAAENRLTEMETMQSQLMAEKLQLQEQLQAETELCAEAE ELRARLTAKKQELEEICHDLEARVEEEEERCQYLQAEKKKMQQNIQELEEQLEEEESARQ KLQLEKVTTEAKLKKLEEDQIIMEDQNCKLAKEKKLLEDRVAEFTTNLMEEEEKSKSLAK LKNKHEAMITDLEERLRREEKQRQELEKTRRKLEGDSTDLSDQIAELQAQIAELKMQLAK KEEELQAALARVEEEAAQKNMALKKIRELETQISELQEDLESERASRNKAEKQKRDLGEE LEALKTELEDTLDSTAAQQELRSKREQEVSILKKTLEDEAKTHEAQIQEMRQKHSQAVEE LADQLEQTKRVKATLEKAKQTLENERGELANEVKALLQGKGDSEHKRKKVEAQLQELQVK FSEGERVRTELADKVTKLQVELDSVTGLLSQSDSKSSKLTKDFSALESQLQDTQELLQEE NRQKLSLSTKLKQMEDEKNSFREQLEEEEEAKRNLEKQIATLHAQVTDMKKKMEDGVGCL ETAEEAKRRLQKDLEGLSQRLEEKVAAYDKLEKTKTRLQQELDDLLVDLDHQRQSVSNLE KKQKKFDQLLAEEKTISAKYAEERDRAEAEAREKETKALSLARALEEAMEQKAELERLNK QFRTEMEDLMSSKDDVGKSVHELEKSKRALEQQVEEMKTQLEELEDELQATEDAKLRLEV NLQAMKAQFERDLQGRDEQSEEKKKQLVRQVREMEAELEDERKQRSMAMAARKKLEMDLK DLEAHIDTANKNREEAIKQLRKLQAQMKDCMRELDDTRASREEILAQAKENEKKLKSMEA EMIQLQEELAAAERAKRQAQQERDELADEIANSSGKGALALEEKRRLEARIAQLEEELEE EQGNTELINDRLKKANLQIDQINTDLNLERSHAQKNENARQQLERQNKELKAKLQEMESA VKSKYKASIAALEAKIAQLEEQLDNETKERQAASKQVRRTEKKLKDVLLQVEDERRNAEQ FKDQADKASTRLKQLKRQLEEAEEEAQRANASRRKLQRELEDATETADAMNREVSSLKNK LRRGDLPFVVTRRIVRKGTGDCSDEEVDGKADGADAKAAE >ENSMUSP00000116198.1 pep:known chromosome:GRCm38:15:77790741:77842047:-1 gene:ENSMUSG00000022443.16 transcript:ENSMUST00000123101.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Myh9 description:myosin, heavy polypeptide 9, non-muscle [Source:MGI Symbol;Acc:MGI:107717] MAQQAADKYLYVDKNFINNPLAQADWAAKKLVWVPSSKNGFEPASLKEEVGEEAIVELVE NGKKVKVNKDDIQKMNPPKFSKVEDMAELTCLNEASVLHNLKERYYSGLIYGFPLQPFLT >ENSMUSP00000029355.6 pep:known chromosome:GRCm38:3:69316861:69560802:1 gene:ENSMUSG00000027784.10 transcript:ENSMUST00000029355.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1l description:protein phosphatase 1 (formerly 2C)-like [Source:MGI Symbol;Acc:MGI:2139740] MIEDTMTLLSLLGRIMRYFLLRPETLFLLCISLALWSYFFHTDEVKTIVKSSRDAVKMVK GKVAEIMQNDRLGGLDVLEAEFSKTWEFKSHNVAVYSIQGRRDHMEDRFEVLTDLANKTH PSIFGIFDGHGGETAAEYVKSRLPEALKQHLQDYEKDKENSVLTYQTILEQQILSIDREM LEKLTVSYDEAGTTCLIALLSDKDLTVANVGDSRGVLCDKDGNAIPLSHDHKPYQLKERK RIKRAGGFISFNGSWRVQGILAMSRSLGDYPLKNLNVVIPDPDILTFDLDKLQPEFMILA SDGLWDAFSNEEAVRFIKERLDEPHFGAKSIVLQSFYRGCPDNITVMVVKFRNSSKTEEH >ENSMUSP00000017208.4 pep:known chromosome:GRCm38:13:28142484:28151611:1 gene:ENSMUSG00000017064.4 transcript:ENSMUST00000017208.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl5a1 description:prolactin family 5, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:106332] MRLSKIQPHQSGTLLLLLLSNLLMWENVASVPRCIMEDGGCQKVLNYIFNMTSTISENFN NLSSETLNDFDTEYDPHQKFQNRPTMTCHTSSRSVPNNKRKAERMRPVVLLNVTIRMLAA WKNLLHHVENNMADLDGTPYVIISKVKLIDRQIKKLTKNLQNIKTILSQVNPDLKKNEDY PAWSGEPYVQQSKRRVQLFGLHSLFFCLNNDAQKVSDFISILRDQIVPNQ >ENSMUSP00000033544.7 pep:known chromosome:GRCm38:X:75416628:75454001:1 gene:ENSMUSG00000031201.17 transcript:ENSMUST00000033544.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brcc3 description:BRCA1/BRCA2-containing complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2389572] MAVQVVQAVQAVHLESDAFLVCLNHALSTEKEEVMGLCIGELNDDIRSDSKFTYTGTEMR TVQEKMDTIRIVHIHSVIILRRSDKRKDRVEISPEQLSAASTEAERLAELTGRPMRVVGW YHSHPHITVWPSHVDVRTQAMYQMMDQGFVGLIFSCFIEDKNTKTGRVLYTCFQSIQAQK SSEYERIEIPIHIVPHITIGKVCLESAVELPKILCQEEQDAYRRIHSLTHLDSVTKIHNG SVFTKNLCSQMSAVSGPLLQWLEDRLEQNQQHLQELQQEKEELMEELSSLE >ENSMUSP00000114057.1 pep:known chromosome:GRCm38:X:75416697:75451985:1 gene:ENSMUSG00000031201.17 transcript:ENSMUST00000118428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brcc3 description:BRCA1/BRCA2-containing complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2389572] MAVQVVQAVQAVHLESDAFLVCLNHALSTEKEEVMGLCIGELNDDIRSDSKFTYTGTEMR TVQEKMDTIRIVHIHSVIILRRSDKRKDRVEISPEQLSAASTEAERLAELTGRPMRVVGW YHSHPHITVWPSHVDVRTQAMYQMMDQGFVGLIFSCFIEDKNTKTGRVLYTCFQSIQAQK SSDLTHLDSVTKIHNGSVFTKNLCSQMSAVSGPLLQWLEDRLEQNQQHLQELQQEKEELM EELSSLE >ENSMUSP00000109708.1 pep:known chromosome:GRCm38:X:75416710:75451708:1 gene:ENSMUSG00000031201.17 transcript:ENSMUST00000114074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brcc3 description:BRCA1/BRCA2-containing complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2389572] MAVQVVQAVQAVHLESDAFLVCLNHALSTEKEEVMGLCIGELNDDIRSDSKFTYTGTEMR TVQEKMDTIRIVHIHSVIILRRSDKRKDRVEISPEQLSAASTEAERLAELTGRPMRVVGW YHSHPHITVWPSHVDVRTQAMYQMMDQGFVGLIFSCFIEDKNTKTGRVLYTCFQSIQAQK SSEYERIEIPIHIVPHITIGKVCLESAVELPKILCQEEQDAYRRIHSLTHLDSVTKIHNG SVFTKNLCSQMSAVSGPLLQWLEDRLEQNQQHLQELQQEKEELMEELSSLE >ENSMUSP00000123031.1 pep:known chromosome:GRCm38:X:75416716:75437991:1 gene:ENSMUSG00000031201.17 transcript:ENSMUST00000133781.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brcc3 description:BRCA1/BRCA2-containing complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2389572] MAVQVVQAVQAVHLESDAFLVCLNHALSTEKEEVMGLCIGEMDTIRIVHIHSVIILRRSD KRKDRVEISPEQLSAASTEAERLAELTGRPMRVVGWYHSHPHITVWPSHVDVRTQAMYQM MDQGFVGLIFSCFIEDKNTKTGRVLYTCFQSIQAQKSS >ENSMUSP00000127772.1 pep:known chromosome:GRCm38:X:75417307:75435475:1 gene:ENSMUSG00000031201.17 transcript:ENSMUST00000152228.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brcc3 description:BRCA1/BRCA2-containing complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2389572] MRTVQEKMDTIRIVHIHSVIILRRSDKRKDRVEISPEQLSAASTEAERLA >ENSMUSP00000137523.1 pep:known chromosome:GRCm38:1:12692277:12861192:1 gene:ENSMUSG00000016918.15 transcript:ENSMUST00000177608.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sulf1 description:sulfatase 1 [Source:MGI Symbol;Acc:MGI:2138563] MKYSLWALLLAVLGTQLLGSLCSTVRSQRFRGRIQQERKNIRPNIILVLTDDQDVELGSL QVMNKTRKIMEQGGATFTNAFVTTPMCCPSRSSMLTGKYVHNHNVYTNNENCSSPSWQAM HEPRTFAVYLNNTGYRTAFFGKYLNEYNGSYIPPGWREWLGLIKNSRFYNYTVCRNGIKE KHGFDYAKDYFTDLITNESINYFKMSKRMYPHRPIMMVISHAAPHGPEDSAPQFSKLYPN ASQHITPSYNYAPNMDKHWIMQYTGPMLPIHMEFTNVLQRKRLQTLMSVDDSVERLYNML VESGELDNTYIIYTADHGYHIGQFGLVKGKSMPYDFDIRVPFFIRGPSIEPGSIVPQIVL NIDLAPTILDIAGLDSPSDVDGKSVLKLLDLEKPGNRFRTNKKAKIWRDTFLVERGKFLR KKEESGKNIQQSNHLPKYERVKELCQQARYQTACEQPGQNWQCIEDTSGKLRIHKCKGPS DLLTVRQNARNLYSRGLHDKDKECHCRDSGYRSSRSQRKNQRQFLRNKGTPKYKPRFVHT RQTRSLSVEFEGEIYDINLEEEELQVLPPRSIAKRHDEGHQGFIGHQAAAGDIRNEMLAD SNNAVGLPATVRVTHKCFILPNDTIHCERELYQSARAWKDHKAYIDKEIEVLQDKIKNLR EVRGHLKKRKPEECGCGDQSYYNKEKGVKRQEKLKSHLHPFKEAAAQEVDSKLQLFKEHR RRKKERKEKKRQRKGEECSLPGLTCFTHDNNHWQTAPFWNLGSFCACTSSNNNTYWCLRT VNETHNFLFCEFATGFLEYFDMNTDPYQLTNTVHTVERSILNQLHIQLMELRSCQGYKQC NPRPKSLDIGAKEGGNYDPHRGQLWDGWEG >ENSMUSP00000136014.1 pep:known chromosome:GRCm38:1:12692323:12860371:1 gene:ENSMUSG00000016918.15 transcript:ENSMUST00000180062.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sulf1 description:sulfatase 1 [Source:MGI Symbol;Acc:MGI:2138563] MKYSLWALLLAVLGTQLLGSLCSTVRSQRFRGRIQQERKNIRPNIILVLTDDQDVELGSL QVMNKTRKIMEQGGATFTNAFVTTPMCCPSRSSMLTGKYVHNHNVYTNNENCSSPSWQAM HEPRTFAVYLNNTGYRTAFFGKYLNEYNGSYIPPGWREWLGLIKNSRFYNYTVCRNGIKE KHGFDYAKDYFTDLITNESINYFKMSKRMYPHRPIMMVISHAAPHGPEDSAPQFSKLYPN ASQHITPSYNYAPNMDKHWIMQYTGPMLPIHMEFTNVLQRKRLQTLMSVDDSVERLYNML VESGELDNTYIIYTADHGYHIGQFGLVKGKSMPYDFDIRVPFFIRGPSIEPGSIVPQIVL NIDLAPTILDIAGLDSPSDVDGKSVLKLLDLEKPGNRFRTNKKAKIWRDTFLVERGKFLR KKEESGKNIQQSNHLPKYERVKELCQQARYQTACEQPGQNWQCIEDTSGKLRIHKCKGPS DLLTVRQNARNLYSRGLHDKDKECHCRDSGYRSSRSQRKNQRQFLRNKGTPKYKPRFVHT RQTRSLSVEFEGEIYDINLEEEELQVLPPRSIAKRHDEGHQGFIGHQAAAGDIRNEMLAD SNNAVGLPATVRVTHKCFILPNDTIHCERELYQSARAWKDHKAYIDKEIEVLQDKIKNLR EVRGHLKKRKPEECGCGDQSYYNKEKGVKRQEKLKSHLHPFKEAAAQEVDSKLQLFKEHR RRKKERKEKKRQRKGEECSLPGLTCFTHDNNHWQTAPFWNLGSFCACTSSNNNTYWCLRT VNETHNFLFCEFATGFLEYFDMNTDPYQLTNTVHTVERSILNQLHIQLMELRSCQGYKQC NPRPKSLDIGAKEGGNYDPHRGQLWDGWEG >ENSMUSP00000141153.1 pep:known chromosome:GRCm38:1:12692532:12852489:1 gene:ENSMUSG00000016918.15 transcript:ENSMUST00000186051.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sulf1 description:sulfatase 1 [Source:MGI Symbol;Acc:MGI:2138563] MKYSLWALLLAVLGTQLLGSLCSTVRSQRFRGRIQQERKNIRPNIILVLTDDQDVELGSL QVMNKTRKIMEQGGATFTNAFVTTPMCCPSRSSMLTGKYVHNHNVYTNNENCSSPSWQAM HEPRTFAVYLNNTGYRTAFFGKYLNEYNGSYIPPGWREWLGLIKNSRFYNYTVCRNGIKE KHGFDYAKDYFTDLITNESINYFKMSKRMYPHRPIMMVISHAAPHGPEDSAPQFSKLYPN ASQHITPSYNYAPNMDKHWIMQYTGPMLPIHMEFTNVLQRKRLQTLMSVDDSVERLYNML VESGELDNTYIIYTADHGYHIGQFGLVKGKSMPYDFDIRVPFFIRGPSIEPGSIVPQIVL NIDLAPTILDIAGLDSPSDVDGKSVLKLLDLEKPGNRFRTNKKAKIWRDTFLVERGKFLR KKEESGKNIQQSNHLPKYERVKELCQQARYQTACEQPGQNWQCIEDTSGKLRIHKCKGPS DLLTVRQNARNLYSRGLHDKDKECHCRDSGYRSSRSQRKNQRQFLRNKGTPKYKPRFVHT RQTRSLSVEFEGEIYDINLEEEELQVLPPRSIAKRHDEGHQGFIGHQAAAGDIRNEMLAD SNNAVGLPATVRVTHKCFILPNDTIHCERELYQSARAWKDHKAYIDKEIEVLQDKIKNLR EVRGHLKKRKPEECGCGDQSYYNKEKGVKRQEKLKSHLHPFKEAAAQEVDSKLQLFKEHR RRKKERKEKKRQRKGEECSLPGLTCFTHDNNHWQTAPFWNLGSFCACTSSNNNTYWCLRT VNETHNFLFCEFATGFLEYFDMNTDPYQLTNTVHTVERSILNQLHIQLMELRSCQGYKQC NPRPKSLDIGAKEGGNYDPHRA >ENSMUSP00000085949.3 pep:known chromosome:GRCm38:1:12718529:12860377:1 gene:ENSMUSG00000016918.15 transcript:ENSMUST00000088585.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sulf1 description:sulfatase 1 [Source:MGI Symbol;Acc:MGI:2138563] MKYSLWALLLAVLGTQLLGSLCSTVRSQRFRGRIQQERKNIRPNIILVLTDDQDVELGSL QVMNKTRKIMEQGGATFTNAFVTTPMCCPSRSSMLTGKYVHNHNVYTNNENCSSPSWQAM HEPRTFAVYLNNTGYRTAFFGKYLNEYNGSYIPPGWREWLGLIKNSRFYNYTVCRNGIKE KHGFDYAKDYFTDLITNESINYFKMSKRMYPHRPIMMVISHAAPHGPEDSAPQFSKLYPN ASQHITPSYNYAPNMDKHWIMQYTGPMLPIHMEFTNVLQRKRLQTLMSVDDSVERLYNML VESGELDNTYIIYTADHGYHIGQFGLVKGKSMPYDFDIRVPFFIRGPSIEPGSIVPQIVL NIDLAPTILDIAGLDSPSDVDGKSVLKLLDLEKPGNRFRTNKKAKIWRDTFLVERGKFLR KKEESGKNIQQSNHLPKYERVKELCQQARYQTACEQPGQNWQCIEDTSGKLRIHKCKGPS DLLTVRQNARNLYSRGLHDKDKECHCRDSGYRSSRSQRKNQRQFLRNKGTPKYKPRFVHT RQTRSLSVEFEGEIYDINLEEEELQVLPPRSIAKRHDEGHQGFIGHQAAAGDIRNEMLAD SNNAVGLPATVRVTHKCFILPNDTIHCERELYQSARAWKDHKAYIDKEIEVLQDKIKNLR EVRGHLKKRKPEECGCGDQSYYNKEKGVKRQEKLKSHLHPFKEAAAQEVDSKLQLFKEHR RRKKERKEKKRQRKGEECSLPGLTCFTHDNNHWQTAPFWNLGSFCACTSSNNNTYWCLRT VNETHNFLFCEFATGFLEYFDMNTDPYQLTNTVHTVERSILNQLHIQLMELRSCQGYKQC NPRPKSLDIGAKEGGNYDPHRGQLWDGWEG >ENSMUSP00000140640.1 pep:known chromosome:GRCm38:1:12719464:12786549:1 gene:ENSMUSG00000016918.15 transcript:ENSMUST00000189541.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sulf1 description:sulfatase 1 [Source:MGI Symbol;Acc:MGI:2138563] MKYSLWAL >ENSMUSP00000140891.1 pep:known chromosome:GRCm38:1:12728119:12786639:1 gene:ENSMUSG00000016918.15 transcript:ENSMUST00000186405.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sulf1 description:sulfatase 1 [Source:MGI Symbol;Acc:MGI:2138563] MKYSLWALLLAVLGTQLLGSLCSTVRSQRFRGRIQQER >ENSMUSP00000140517.1 pep:known chromosome:GRCm38:1:12842791:12861192:1 gene:ENSMUSG00000016918.15 transcript:ENSMUST00000185780.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sulf1 description:sulfatase 1 [Source:MGI Symbol;Acc:MGI:2138563] NNHWQTAPFWNLGSFCACTSSNNNTYWCLRTVNETHNFLFCEFATGFLEYFDMNTDPYQL TNTVHTVERSILNQLHIQLMELRSCQGYKQCNPRPKSLDIEDSYGMDGKVSQSNITSDTS WQGLEELSGASNIDEYRSNPRLSLEDWTNYLRAVYRAFALLNSHPDPNKANGTPTTQGGD FLVTSAERLVPAEMASAESGEDPSHVVGETPPLTLPVNLQTLHLNRPTLSPERKLEWDND IPEVNHLNSEHWRKTEKQIGWEELHHPEGDVVSGNGMTELLPQSHLGHQLTSQHQQKCSQ DVETEKDAFEDQLRPLVHSDRTPVHRVFDVSRVEQPLHSSHTERMLAKMGQSDTKEWLPN LEGSASAPVISA >ENSMUSP00000045675.4 pep:known chromosome:GRCm38:19:44147637:44192442:-1 gene:ENSMUSG00000037578.4 transcript:ENSMUST00000042026.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkd2l1 description:polycystic kidney disease 2-like 1 [Source:MGI Symbol;Acc:MGI:1352448] MNSMESPKNQELQTLGNRAWDNPAYSDPPSPNRTLRICTVSSVALPETQPKKPEVRCQEK TQRTLVSSCCLHICRSIRGLWGTTLTENTAENRELYVKTTLRELVVYIVFLVDICLLTYG MTSSSAYYYTKVMSELFLHTPSDSGVSFQTISSMSDFWDFAQGPLLDSLYWTKWYNNQSL GRGSHSFIYYENLLLGAPRLRQLRVRNDSCVVHEDFREDILNCYDVYSPDKEDQLPFGPQ NGTAWTYHSQNELGGSSHWGRLTSYSGGGYYLDLPGSRQASAEALQGLQEGLWLDRGTRV VFIDFSVYNANINLFCILRLVVEFPATGGTIPSWQIRTVKLIRYVNNWDFFIVGCEVVFC VFIFYYVVEEILEIHLHRLRYLSSVWNILDLVVILLSIVAVGFHIFRTLEVNRLMGKLLQ QPDTYADFEFLAFWQTQYNNMNAVNLFFAWIKIFKYISFNKTMTQLSSTLARCAKDILGF AIMFFIVFFAYAQLGYLLFGTQVENFSTFVKCIFTQFRIILGDFDYNAIDNANRILGPVY FVTYVFFVFFVLLNMFLAIINDTYSEVKEELAGQKDQLQLSDFLKQSYNKTLLRLRLRKE RVSDVQKVLKGGEPEIQFEDFTSTLRELGHEEHEITAAFTRFDQDGDHILDEEEQEQMRQ GLEEERVTLNAEIENLGRSVGHSPPGELGAEAARGQSWVSGEEFDMLTRRVLQLQCVLEG VVSQIDAVGSKLKMLERKGELAPSPGMGEPAVWENLYNPS >ENSMUSP00000088923.2 pep:known chromosome:GRCm38:3:6615418:6620443:-1 gene:ENSMUSG00000069118.3 transcript:ENSMUST00000091364.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700008P02Rik description:RIKEN cDNA 1700008P02 gene [Source:MGI Symbol;Acc:MGI:1916597] MRKHFPSSSSCQPQPFAQNSIPSGPLKYVHLLPSQPAYVQACHQANPLSAFCPISFPPLK NTSFYPTLMISMPCSSCLTKLSLKHHIPFEPPAHFPSTPATLPSNKRPPSTSSVVFHPDQ KTSHSQVDRCLNKNSDEWTDTQENGLITGPVIPGQKHQEPLTEITEEEEMQAFGM >ENSMUSP00000090022.2 pep:known chromosome:GRCm38:10:77829467:77881286:1 gene:ENSMUSG00000069581.11 transcript:ENSMUST00000092368.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspear description:thrombospondin type laminin G domain and EAR repeats [Source:MGI Symbol;Acc:MGI:2671932] MVQVEGVRGLQFSATEPRTTSFPASRIFSSCDFFPEEFSIIVTLRVPNLPPKKNEYLLSL LAEERDTLLLGLRYSPTQLHFLFLSEDLAGAWQTRVSFWSPGLMDSRWHTLILAVSQGSF SLTTDCGLPVDIMADVSFPPTLSVRGARFFIGSRKRTKGLFTGVIRQLVLLPGSDATPQL CPSRNARLAELSIPQVLKRLTGKPDDNEVLNYPYEADMKVTLGSRPPCTKAEGAQFWFDA AQKGLYLCAGSEWVSVLAAKTKLDYVEEHQSLHTNSETLGIEVFSIPGVGLFAAAANRKA RSAIYKWTDGKFVSYQNIATHQAQSWRHFTIGKIFLAVANFGPNERGQEFSVIYKWSPRK LKFTLYQRIATHSARDWEAFEVDGEHFLVVANHREGDNHNIDSMVYRWNPSSQLFEANQS IATSGAYDWEFFTVGPYSFLVVANTFNGTSTQVHSHLYIWLVGAFQLFQSFLTFGAADWE VFHIGERIFLAVANSHSYDVQMQAQNDSYVLSSVIYELNITAQTFVKFQDIPTCRYQPGG CKGRVS >ENSMUSP00000090020.2 pep:known chromosome:GRCm38:10:77864623:77887021:1 gene:ENSMUSG00000069581.11 transcript:ENSMUST00000092366.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tspear description:thrombospondin type laminin G domain and EAR repeats [Source:MGI Symbol;Acc:MGI:2671932] MDSRWHTLILAVSQGSFSLTTDCGLPVDIMADVSFPPTLSVRGARFFIGSRKRTKGLFTG VIRQLVLLPGSDATPQLCPSRNARLAELSIPQVLKRLTGKPDDNEVLNYPYEADMKVTLG SRPPCTKAEGAQFWFDAAQKGLYLCAGSEWVSVLAAKTKLDYVEEHQSLHTNSETLGIEV FSIPGVGLFAAAANRKARSAIYKWTDGKFVSYQNIATHQAQSWRHFTIGKKIFLAVANFG PNERGQEFSVIYKWSPRKLKFTLYQRIATHSARDWEAFEVDGEHFLVVANHREGDNHNID SMVYRWNPSSQLFEANQSIATSGAYDWEFFTVGPYSFLVVANTFNGTSTQVHSHLYIWLV GAFQLFQSFLTFGAADWEVFHIGERIFLAVANSHSYDVQMQAQNDSYVLSSVIYELNITA QTFVKFQDIPTCSALDWEFFSVGEDHFLVVANSFDGNTFSVNSIIYRWQGYEGFVAVHKL PTFGCRDWEAFNTTAGSYLIYSSAKEPLSRVLKLRTG >ENSMUSP00000020329.6 pep:known chromosome:GRCm38:11:16752211:16918158:1 gene:ENSMUSG00000020122.16 transcript:ENSMUST00000020329.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfr description:epidermal growth factor receptor [Source:MGI Symbol;Acc:MGI:95294] MRPSGTARTTLLVLLTALCAAGGALEEKKVCQGTSNRLTQLGTFEDHFLSLQRMYNNCEV VLGNLEITYVQRNYDLSFLKTIQEVAGYVLIALNTVERIPLENLQIIRGNALYENTYALA ILSNYGTNRTGLRELPMRNLQEILIGAVRFSNNPILCNMDTIQWRDIVQNVFMSNMSMDL QSHPSSCPKCDPSCPNGSCWGGGEENCQKLTKIICAQQCSHRCRGRSPSDCCHNQCAAGC TGPRESDCLVCQKFQDEATCKDTCPPLMLYNPTTYQMDVNPEGKYSFGATCVKKCPRNYV VTDHGSCVRACGPDYYEVEEDGIRKCKKCDGPCRKVCNGIGIGEFKDTLSINATNIKHFK YCTAISGDLHILPVAFKGDSFTRTPPLDPRELEILKTVKEITGFLLIQAWPDNWTDLHAF ENLEIIRGRTKQHGQFSLAVVGLNITSLGLRSLKEISDGDVIISGNRNLCYANTINWKKL FGTPNQKTKIMNNRAEKDCKAVNHVCNPLCSSEGCWGPEPRDCVSCQNVSRGRECVEKCN ILEGEPREFVENSECIQCHPECLPQAMNITCTGRGPDNCIQCAHYIDGPHCVKTCPAGIM GENNTLVWKYADANNVCHLCHANCTYGCAGPGLQGCEVWPSGPKIPSIATGIVGGLLFIV VVALGIGLFMRRRHIVRKRTLRRLLQERELVEPLTPSGEAPNQAHLRILKETEFKKIKVL GSGAFGTVYKGLWIPEGEKVKIPVAIKELREATSPKANKEILDEAYVMASVDNPHVCRLL GICLTSTVQLITQLMPYGCLLDYVREHKDNIGSQYLLNWCVQIAKGMNYLEDRRLVHRDL AARNVLVKTPQHVKITDFGLAKLLGAEEKEYHAEGGKVPIKWMALESILHRIYTHQSDVW SYGVTVWELMTFGSKPYDGIPASDISSILEKGERLPQPPICTIDVYMIMVKCWMIDADSR PKFRELILEFSKMARDPQRYLVIQGDERMHLPSPTDSNFYRALMDEEDMEDVVDADEYLI PQQGFFNSPSTSRTPLLSSLSATSNNSTVACINRNGSCRVKEDAFLQRYSSDPTGAVTED NIDDAFLPVPEYVNQSVPKRPAGSVQNPVYHNQPLHPAPGRDLHYQNPHSNAVGNPEYLN TAQPTCLSSGFNSPALWIQKGSHQMSLDNPDYQQDFFPKETKPNGIFKGPTAENAEYLRV APPSSEFIGA >ENSMUSP00000099948.3 pep:known chromosome:GRCm38:11:16752203:16887923:1 gene:ENSMUSG00000020122.16 transcript:ENSMUST00000102884.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfr description:epidermal growth factor receptor [Source:MGI Symbol;Acc:MGI:95294] MRPSGTARTTLLVLLTALCAAGGALEEKKVCQGTSNRLTQLGTFEDHFLSLQRMYNNCEV VLGNLEITYVQRNYDLSFLKTIQEVAGYVLIALNTVERIPLENLQIIRGNALYENTYALA ILSNYGTNRTGLRELPMRNLQEILIGAVRFSNNPILCNMDTIQWRDIVQNVFMSNMSMDL QSHPSSCPKCDPSCPNGSCWGGGEENCQKLTKIICAQQCSHRCRGRSPSDCCHNQCAAGC TGPRESDCLVCQKFQDEATCKDTCPPLMLYNPTTYQMDVNPEGKYSFGATCVKKCPRNYV VTDHGSCVRACGPDYYEVEEDGIRKCKKCDGPCRKVCNGIGIGEFKDTLSINATNIKHFK YCTAISGDLHILPVAFKGDSFTRTPPLDPRELEILKTVKEITGFLLIQAWPDNWTDLHAF ENLEIIRGRTKQHGQFSLAVVGLNITSLGLRSLKEISDGDVIISGNRNLCYANTINWKKL FGTPNQKTKIMNNRAEKDCKAVNHVCNPLCSSEGCWGPEPRDCVSCQNVSRGRECVEKCN ILEGEPREFVENSECIQCHPECLPQAMNITCTGRGPDNCIQCAHYIDGPHCVKTCPAGIM GENNTLVWKYADANNVCHLCHANCTYGCAGPGLQGCEVWPSGYVQWQWILKTFWI >ENSMUSP00000122632.1 pep:known chromosome:GRCm38:11:16878150:16884357:1 gene:ENSMUSG00000020122.16 transcript:ENSMUST00000125984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Egfr description:epidermal growth factor receptor [Source:MGI Symbol;Acc:MGI:95294] PLCSSEGCWGPEPRDCVSCQNVSRGRECVEKCNILEGEPREFVENSECIQCHPECLPQAM NITCTGRGPDNCIQCAHYIDGPHCVKTCPAGIMGENNTLVWKYADANNVCHLCHANCTYG VMVPEMLLQSIILKPI >ENSMUSP00000061742.2 pep:known chromosome:GRCm38:4:32600644:32602760:-1 gene:ENSMUSG00000051056.4 transcript:ENSMUST00000056517.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gja10 description:gap junction protein, alpha 10 [Source:MGI Symbol;Acc:MGI:1339969] MGDWNLLGGILEEVHSHSTIVGKIWLTILFIFRMLVLGVAAEDVWDDEQSAFACNTQQPG CNNICYDDAFPISLIRFWVLQIIFVSSPSLVYMGHALYRLRDFEKQRQKKKLYLRAQMEN PELDLEEQQRVDKELRRLEEQKRIHKVPLKGCLLRTYVLHILTRSVLEVGFMIGQYILYG FQMHPIYKCTQAPCPNSVDCFVSRPTEKTIFMLFMHSIAAISLLLNILEIFHLGIRKIMR ALDGKSSSGNTENETGPPFHSTNYSGTQQCMICSSLPERISLLQANNKQQVIRVNIPRSK SMWQIPHPRQLEVDVSCGKRDWAEKIESCAQLHVHSPCPHDRSARIQHPGQQPCHSVFGP KNAMSQSWFGTMTASQHRPSSALETWERSQGPEASGRSLTDRQSHFQGSDGSARESGVWT DRLGPGSRKASFLSRLMSEKGQRHSDSGSSRSLNSSCLDFSHGENSPSPLPSATGHRASM VSKSSHVDSPPHSSFIIHETYVYVY >ENSMUSP00000139550.1 pep:known chromosome:GRCm38:10:77826115:77827095:-1 gene:ENSMUSG00000069582.3 transcript:ENSMUST00000092369.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Krtap10-4 description:keratin associated protein 10-4 [Source:MGI Symbol;Acc:MGI:1925013] MAASTMSVCSDARTNSSWQVDDCPESCCEPCCCAPSCCQPSCCQPSCCVPSCCAPSCCVP SCCQPSCCAPAPCLTLICTPVSCVSSPCCQSSCCTPSCCQQSSCQPACCTCSPCQPSCCV PVCCTPVCCTPVCCKPVCCTPICSGSSSCCQPSCCAPVCCKPCSSLSLLCRPVCRPACCV PTSSCCASSCQPSCCGPTSSVSLLCRPACSRQACCGQKSSC >ENSMUSP00000105129.3 pep:known chromosome:GRCm38:15:82997721:83023138:-1 gene:ENSMUSG00000058099.15 transcript:ENSMUST00000109503.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfam1 description:Nfat activating molecule with ITAM motif 1 [Source:MGI Symbol;Acc:MGI:1921289] MPGYQLTRQGDIHPNVQSKKDLSCRIEDFTRLQRDLPVKLFHTDIHGRRRWEKQINCQHR PGMENHTRDCMVKLSQANTSATGIYYFIVEGEETYQSDGVVILVRDTVYQPPAFKVQEAL MLGFTSLMSVLGVLGTALLLWKKKQISVLGKHTAKTCSGLKSTVGTTKPPAESVYTSLQR RETEVYACMKEETGSPVFSQSPATKEKLNRFEDDNEFNLVYENL >ENSMUSP00000097946.4 pep:known chromosome:GRCm38:15:82997726:83033306:-1 gene:ENSMUSG00000058099.15 transcript:ENSMUST00000100377.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfam1 description:Nfat activating molecule with ITAM motif 1 [Source:MGI Symbol;Acc:MGI:1921289] MESWLLRRGARVRCLHPPSWLPAWCFLCLLPVPQTLQLTGLVSLTHTSLPIMVSLANTDV FFSCRIEDFTRLQRDLPVKLFHTDIHGRRRWEKQINCQHRPGMENHTRDCMVKLSQANTS ATGIYYFIVEGEETYQSDGVVILVRDTVYQPPAFKVQEALMLGFTSLMSVLGVLGTALLL WKKKQISVLGKHTAKTCSGLKSTVGTTKPPAESVYTSLQRRETEVYACMKEETGSPVFSQ SPATKEKLNRFEDDNEFNLVYENL >ENSMUSP00000023076.5 pep:known chromosome:GRCm38:15:82997726:83029950:-1 gene:ENSMUSG00000058099.15 transcript:ENSMUST00000023076.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfam1 description:Nfat activating molecule with ITAM motif 1 [Source:MGI Symbol;Acc:MGI:1921289] MVSLANTDVFFSCRIEDFTRLQRDLPVKLFHTDIHGRRRWEKQINCQHRPGMENHTRDCM VKLSQANTSATGIYYFIVEGEETYQSDGVVILVRDTVYQPPAFKVQEALMLGFTSLMSVL GVLGTALLLWKKKQISVLGKHTAKTCSGLKSTVGTTKPPAESVYTSLQRRETEVYACMKE ETGSPVFSQSPATKEKLNRFEDDNEFNLVYENL >ENSMUSP00000074958.6 pep:known chromosome:GRCm38:13:33324077:33335370:1 gene:ENSMUSG00000038327.8 transcript:ENSMUST00000075515.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb9f description:serine (or cysteine) peptidase inhibitor, clade B, member 9f [Source:MGI Symbol;Acc:MGI:894671] MNTLSQANGTFAIHLLKVLCQDNPSKNVCYSPMSISSALAMVLLGAKGDTAVQICQALHL NPDEDVHQGFQLLLHNLNKQNNQKYCLRMANRLFVENTCELLPTFKESCLKFYHSEMEQL SFAKAAEESRQHINMWVSKQTNGKIPDLLSKDSVNSQTRLILANALYFHGTWCKRFEKNR TKEMPFKINKKETRPVQMMWREDTLFHAYVKEIQAQVLVMPYEGIDLNFVVLLPDEGVDI SKVENNLTFEKLTAWTKPEFMNRTEFHVYLPKFQLQEDYDMNSLLQHLGILNVFDGSKAD LSGMSTKENLCLSEFVHKCVVEVNEEGTEAAAASAVEFIFLCLGPDPETFCADHPFLFFI MHSTTNSILFCGRFSSP >ENSMUSP00000055404.7 pep:known chromosome:GRCm38:7:30942368:30944032:-1 gene:ENSMUSG00000050440.8 transcript:ENSMUST00000062620.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hamp description:hepcidin antimicrobial peptide [Source:MGI Symbol;Acc:MGI:1933533] MALSTRTQAACLLLLLLASLSSTTYLHQQMRQTTELQPLHGEESRADIAIPMQKRRKRDT NFPICIFCCKCCNNSQCGICCKT >ENSMUSP00000040885.2 pep:known chromosome:GRCm38:4:49584506:49597876:-1 gene:ENSMUSG00000039611.2 transcript:ENSMUST00000042750.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem246 description:transmembrane protein 246 [Source:MGI Symbol;Acc:MGI:1914313] MTTSTSPAAMLLRRLRRLSWGSTAVQLFILTVVTFGLLAPLACHRLLHSYFYLRHWHLNQ MSQDFLQQSLKEGEAALHYFEELPSANGSVPIVWQATPRPWLVITIITVDRQPGFHYVLQ VVSQFHRLLQQCGPQCEGHQLFLCNVERSVSHFDAKLLSKYVPVANRYEGTEDDYGDDPS TNSFEKEKQDYVYCLESSLQTYNPDYVLMVEDDAIPEEQIFPVLEHLLRARFSEPHLQDA LYLKLYHPERLQHYINPEPMRILEWVGVGMLLGPVLTWIYMRFACRPGFSWPVMLFFCLY SMGLVELVGRHYFLELRRLSPSLYSVVPASQCCTPAMLFPAPAARRTLTYLSQVYCHKGF GKDMALYSLLRAKGERAYVVEPNLVKHIGLFSSLRYNFHPSLL >ENSMUSP00000115100.1 pep:known chromosome:GRCm38:4:49586766:49597470:-1 gene:ENSMUSG00000039611.2 transcript:ENSMUST00000150664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem246 description:transmembrane protein 246 [Source:MGI Symbol;Acc:MGI:1914313] MTTSTSPAAMLLRRLRRLSWGSTAVQLFILTVVTFGLLAPLACHRLLHSYFYLRHWHLNQ MSQDFLQQSLKEGEAALHYFEELPSANGSVPIVWQATPRPWLVITIITVDRQPGFHYVLQ VVSQFHRLLQQCGP >ENSMUSP00000117861.1 pep:known chromosome:GRCm38:4:49587052:49593890:-1 gene:ENSMUSG00000039611.2 transcript:ENSMUST00000151542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem246 description:transmembrane protein 246 [Source:MGI Symbol;Acc:MGI:1914313] MTTSTSPAAMLLRRLRRLSWGSTAVQLFILTVVTFGLL >ENSMUSP00000003029.7 pep:known chromosome:GRCm38:8:4259731:4275913:-1 gene:ENSMUSG00000002949.15 transcript:ENSMUST00000003029.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Timm44 description:translocase of inner mitochondrial membrane 44 [Source:MGI Symbol;Acc:MGI:1343262] MAAAALRGGWCRCPRRCLGSGIQFLSSHNLPHGSSYQISRPGRELTLTKSYSSGSRKGFL SGLLDNIKQELAKNKEMKESIKKFRDEAKKLEESDALQEARRKYKSIESETVRTSEAIKK KLGELTGTVKESLDEVSKSDLGRKIKEGVEEAARTAKQSAESVSKSGEKLGKTAAFKAIS QGVESVKKELDESVLGQTGPYRRPERLRKRTEFAGAKFKESKVFEANEEALGVVLHKDSK WYQQWKDFKDNNVVFNRFFEMKMKYDESDNVLIRASRALTDKVTDLLGGLFSKTEMSEVL TEILRVDPTFDKDHFLHQCETDIIPNILEAMISGELDILKDWCYEATYSQLAHPIQQAKA LGFQFHSRILDISNVDLAMGKMMEQGPVLIVTFQAQVVMVIKNSKGEVYDGDPDKVQRML YVWALCRDQEELNPYAAWRLLDISASSTEQIL >ENSMUSP00000074240.6 pep:known chromosome:GRCm38:7:30922389:30924181:-1 gene:ENSMUSG00000056978.7 transcript:ENSMUST00000074671.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hamp2 description:hepcidin antimicrobial peptide 2 [Source:MGI Symbol;Acc:MGI:2153530] MMALSTRTQAACLLLLLLASLSSTTYLQQQMRQTTELQPLHGEESRADIAIPMQKRRKRD INFPICRFCCQCCNKPSCGICCEE >ENSMUSP00000145962.1 pep:known chromosome:GRCm38:7:30922395:30924681:-1 gene:ENSMUSG00000056978.7 transcript:ENSMUST00000205641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hamp2 description:hepcidin antimicrobial peptide 2 [Source:MGI Symbol;Acc:MGI:2153530] XHESYIIWSSRWTPWWTSGLRLRQTPGPNSCWDAPCPCVRHCWVLLRNPMRQTTELQPLH GEESRADIAIPMQKRRKRDINFPICRFCCQCCNKPSCGICCEE >ENSMUSP00000119613.1 pep:known chromosome:GRCm38:8:14095875:14847680:1 gene:ENSMUSG00000047495.15 transcript:ENSMUST00000133298.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap2 description:discs, large (Drosophila) homolog-associated protein 2 [Source:MGI Symbol;Acc:MGI:2443181] MGTAQVLPGILQKHCCILPDRNTESQCTLCGEPEEEEGGDLAQPGLSFPGPAEEDIDQQY SWSPTQHFNEERYSPAPRNMKGLTGSRNQPQLCAGHTCGLSPPDDCEHPHDHMHHGSDVR QPYLLSPAESCPMDHHRCSPRSSVHSECMMMPVMLGDHVSSSTFPRMHYSSHYDTRDDCA MSHTSTKVNRIPANLLDQFEKQLPLHRDGFHTLQYQRASAATEQRNESPGRIRHLVHSVQ KLFTKSHSLEGSSKSNINGTKSDSRVDDHHQSHLSKHSKRSKSKERKPESKHKSGMSSWW SSDDNLDSDSTYRTPSVAHRHHMDHIPHCYPEALQSPFGDLSLKTSKSNNDVKCSACEGL ALTPDTRYMKRSSWSTLTVSQAKEAYRKSSLNLDKPLVHPEIKPSLRPCHYLQVPQDDWG AYPTGGKEEEIPCRRMRSGSYIKAMGDEESGESDSSPKTSPTVAIRPEPLLKPIIQRPLG DHQTQSYLQAATEVPVGHSLNPSINYNSPKFRSRNQSYMRAVSTLSQASCVSQMSEAEVN GQFESVCESVFSEVESQAMDALDLPGCFRTRSHSYLRAIQAGYSQDDECIPVMTSSNMTS TIRSTAAVSYTNYKKTPPPVPPRTTSKPLISVTAQSSTESTQDAYQDSRAQRMSPWPQDS RGGLYNSMDSLDSNKAMNLALETAAAQRHAADTQSSSTRSIDKAVLASKAEELLKSRCSS IGVQDSEFPDHQPYPRSDVETATDSDTESRGLREYHSVGVQVEDEKRHGRFKRSNSVTAA VQADLELEGFPGHVSMEDKGLQFGSSFQRHSEPSTPTQYGALRTVRTQGLFSYREDYRTQ VDTSTLPPPDPWLEPSLDTVETGRMSPCRRDGSWFLKLLHTETKRMEGWCKEMEREAEEN DLLEDILGKIRSAVGSAQLLMSQKFQQFYWLCQQNMDPSAMPRPTSQDLAGYWDMLQLSV EDVSMKFDELHQLKLNDWKIIESPERKEERKIPPPIPKKPPKGKFPITREKSLDLPDRQR QEARRRLMAAKRAASFRQNSATERADSIEIYIPEAQTRL >ENSMUSP00000123104.1 pep:known chromosome:GRCm38:8:14179667:14846708:1 gene:ENSMUSG00000047495.15 transcript:ENSMUST00000150247.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap2 description:discs, large (Drosophila) homolog-associated protein 2 [Source:MGI Symbol;Acc:MGI:2443181] MGTAQVLPGILQKHCCILPDRNTESQCTLCGEPEEEEGGDLAQPGLSFPGPAEEDIDQQY SWSPTQHFNEERYSPAPRNMKGLTGSRNQPQLCAGHTCGLSPPDDCEHPHDHMHHGSDVR QPYLLSPAESCPMDHHRCSPRSSVHSECMMMPVMLGDHVSSSTFPRMHYSSHYDTRDDCA MSHTSTKVNRIPANLLDQFEKQLPLHRDGFHTLQYQRASAATEQRNESPGRIRHLVHSVQ KLFTKSHSLEGSSKSNINGTKSDSRVDDHHQSHLSKHSKRSKSKERKPESKHKSGMSSWW SSDDNLDSDSTYRTPSVAHRHHMDHIPHCYPEALQSPFGDLSLKTSKSNNDVKCSACEGL ALTPDTRYMKRSSWSTLTVSQAKEAYRKSSLNLDKPLVHPEIKPSLRPCHYLQVPQDDWG AYPTGGKEEEIPCRRMRSGSYIKAMGDEESGESDSSPKTSPTVAIRPEPLLKPIIQRPLG DHQTQSYLQAATEVPVGHSLNPSINYNSPKFRSRNQSYMRAVSTLSQASCVSQMSEAEVN GQFESVCESVFSEVESQAMDALDLPGCFRTRSHSYLRAIQAGYSQDDECIPVMTSSNMTS TIRSTAAVSYTNYKKTPPPVPPRTTSKPLISVTAQSSTESTQDAYQDSRAQRMSPWPQDS RGGLYNSMDSLDSNKAMNLALETAAAQRHAADTQSSSTRSIDKAVLASKAEELLKSRCSS IGVQVETATDSDTESRGLREYHSVGVQVEDEKRHGRFKRSNSVTAAVQADLELEGFPGHV SMEDKGLQFGSSFQRHSEPSTPTQYGALRTVRTQGLFSYREDYRTQVDTSTLPPPDPWLE PSLDTVETGRMSPCRRDGSWFLKLLHTETKRMEGWCKEMEREAEENDLLEDILGKIRSAV GSAQLLMSQKFQQFYWLCQQNMDPSAMPRPTSQDLAGYWDMLQLSVEDVSMKFDELHQLK LNDWKIIESPERKEERKIPPPIPKKPPKGKFPITREKSLDLPDRQRQEARRRLMAAKRAA SFRQNSATERADSIEIYIPEAQTRL >ENSMUSP00000123078.1 pep:known chromosome:GRCm38:8:14095865:14847108:1 gene:ENSMUSG00000047495.15 transcript:ENSMUST00000152652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap2 description:discs, large (Drosophila) homolog-associated protein 2 [Source:MGI Symbol;Acc:MGI:2443181] MSALRKVLPGILQKHCCILPDRNTESQCTLCGEPEEEEGGDLAQPGLSFPGPAEEDIDQQ YSWSPTQHFNEERYSPAPRNMKGLTGSRNQPQLCAGHTCGLSPPDDCEHPHDHMHHGSDV RQPYLLSPAESCPMDHHRCSPRSSVHSECMMMPVMLGDHVSSSTFPRMHYSSHYDTRDDC AMSHTSTKVNRIPANLLDQFEKQLPLHRDGFHTLQYQRASAATEQRNESPGRIRHLVHSV QKLFTKSHSLEGSSKSNINGTKSDSRVDDHHQSHLSKHSKRSKSKERKPESKHKSGMSSW WSSDDNLDSDSTYRTPSVAHRHHMDHIPHCYPEALQSPFGDLSLKTSKSNNDVKCSACEG LALTPDTRYMKRSSWSTLTVSQAKEAYRKSSLNLDKPLVHPEIKPSLRPCHYLQVPQDDW GAYPTGGKEEEIPCRRMRSGSYIKAMGDEESGESDSSPKTSPTVAIRPEPLLKPIIQRPL GDHQTQSYLQAATEVPVGHSLNPSINYNSPKFRSRNQSYMRAVSTLSQASCVSQMSEAEV NGQFESVCESVFSEVESQAMDALDLPGCFRTRSHSYLRAIQAGYSQDDECIPVMTSSNMT STIRSTAAVSYTNYKKTPPPVPPRTTSKPLISVTAQSSTESTQDAYQDSRAQRMSPWPQD SRGGLYNSMDSLDSNKAMNLALETAAAQRHAADTQSSSTRSIDKAVLASKAEELLKSRCS SIGVQDSEFPDHQPYPRSDVETATDSDTESRGLREYHSVGVQVEDEKRHGRFKRSNSVTA AVQADLELEGFPGHVSMEDKGLQFGSSFQRHSEPSTPTQYGALRTVRTQGLFSYREDYRT QVDTSTLPPPDPWLEPSLDTVETGRMSPCRRDGSWFLKLLHTETKRMEGWCKEMEREAEE NDLLEDILGKIRSAVGSAQLLMSQKFQQFYWLCQQNMDPSAMPRPTSQDLAGYWDMLQLS VEDVSMKFDELHQLKLNDWKIIESPERKEERKIPPPIPKKPPKGKFPITREKSLDLPDRQ RQEARRRLMAAKRAASFRQNSATERADSIEIYIPEAQTRL >ENSMUSP00000039647.8 pep:known chromosome:GRCm38:8:14179667:14847108:1 gene:ENSMUSG00000047495.15 transcript:ENSMUST00000043279.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlgap2 description:discs, large (Drosophila) homolog-associated protein 2 [Source:MGI Symbol;Acc:MGI:2443181] MGTAQVLPGILQKHCCILPDRNTESQCTLCGEPEEEEGGDLAQPGLSFPGPAEEDIDQQY SWSPTQHFNEERYSPAPRNMKGLTGSRNQPQLCAGHTCGLSPPDDCEHPHDHMHHGSDVR QPYLLSPAESCPMDHHRCSPRSSVHSECMMMPVMLGDHVSSSTFPRMHYSSHYDTRDDCA MSHTSTKVNRIPANLLDQFEKQLPLHRDGFHTLQYQRASAATEQRNESPGRIRHLVHSVQ KLFTKSHSLEGSSKSNINGTKSDSRVDDHHQSHLSKHSKRSKSKERKPESKHKSGMSSWW SSDDNLDSDSTYRTPSVAHRHHMDHIPHCYPEALQSPFGDLSLKTSKSNNDVKCSACEGL ALTPDTRYMKRSSWSTLTVSQAKEAYRKSSLNLDKPLVHPEIKPSLRPCHYLQVPQDDWG AYPTGGKEEEIPCRRMRSGSYIKAMGDEESGESDSSPKTSPTVAIRPEPLLKPIIQRPLG DHQTQSYLQAATEVPVGHSLNPSINYNSPKFRSRNQSYMRAVSTLSQASCVSQMSEAEVN GQFESVCESVFSEVESQAMDALDLPGCFRTRSHSYLRAIQAGYSQDDECIPVMTSSNMTS TIRSTAAVSYTNYKKTPPPVPPRTTSKPLISVTAQSSTESTQDAYQDSRAQRMSPWPQDS RGGLYNSMDSLDSNKAMNLALETAAAQRHAADTQSSSTRSIDKAVLASKAEELLKSRCSS IGVQDSEFPDHQPYPRSDVETATDSDTESRGLREYHSVGVQVEDEKRHGRFKRSNSVTAA VQADLELEGFPGHVSMEDKGLQFGSSFQRHSEPSTPTQYGALRTVRTQGLFSYREDYRTQ VDTSTLPPPDPWLEPSLDTVETGRMSPCRRDGSWFLKLLHTETKRMEGWCKEMEREAEEN DLLEDILGKIRSAVGSAQLLMSQKFQQFYWLCQQNMDPSAMPRPTSQDLAGYWDMLQLSV EDVSMKFDELHQLKLNDWKIIESPERKEERKIPPPIPKKPPKGKFPITREKSLDLPDRQR QEARRRLMAAKRAASFRQNSATERADSIEIYIPEAQTRL >ENSMUSP00000143766.1 pep:known chromosome:GRCm38:5:8798147:8849821:1 gene:ENSMUSG00000028970.9 transcript:ENSMUST00000199955.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcb1b description:ATP-binding cassette, sub-family B (MDR/TAP), member 1B [Source:MGI Symbol;Acc:MGI:97568] MEFEENLKGRADKNFSKMGKKSKKEKKEKKPAVGVFGMFRYADWLDKLCMILGTLAAIIH GTLLPLLMLVFGNMTDSFTKAEASILPSITNQNTPTITPGLVLVCS >ENSMUSP00000143612.1 pep:known chromosome:GRCm38:5:8798153:8813651:1 gene:ENSMUSG00000028970.9 transcript:ENSMUST00000196580.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcb1b description:ATP-binding cassette, sub-family B (MDR/TAP), member 1B [Source:MGI Symbol;Acc:MGI:97568] MEFEENLKGRADKNFSKMGKKSKKEKKEKKPAVGVFGMFRYADWLDKLCMILGTLAAIIH GTLLPLLMLVFGNMTDSFTKAEASILPSITNQNTPTITPGLVLVCS >ENSMUSP00000009058.5 pep:known chromosome:GRCm38:5:8798186:8866315:1 gene:ENSMUSG00000028970.9 transcript:ENSMUST00000009058.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb1b description:ATP-binding cassette, sub-family B (MDR/TAP), member 1B [Source:MGI Symbol;Acc:MGI:97568] MEFEENLKGRADKNFSKMGKKSKKEKKEKKPAVGVFGMFRYADWLDKLCMILGTLAAIIH GTLLPLLMLVFGNMTDSFTKAEASILPSITNQSGPNSTLIISNSSLEEEMAIYAYYYTGI GAGVLIVAYIQVSLWCLAAGRQIHKIRQKFFHAIMNQEIGWFDVHDVGELNTRLTDDVSK INDGIGDKIGMFFQSITTFLAGFIIGFISGWKLTLVILAVSPLIGLSSALWAKVLTSFTN KELQAYAKAGAVAEEVLAAIRTVIAFGGQQKELERYNKNLEEAKNVGIKKAITASISIGI AYLLVYASYALAFWYGTSLVLSNEYSIGEVLTVFFSILLGTFSIGHLAPNIEAFANARGA AFEIFKIIDNEPSIDSFSTKGYKPDSIMGNLEFKNVHFNYPSRSEVQILKGLNLKVKSGQ TVALVGNSGCGKSTTVQLMQRLYDPLEGVVSIDGQDIRTINVRYLREIIGVVSQEPVLFA TTIAENIRYGREDVTMDEIEKAVKEANAYDFIMKLPHQFDTLVGERGAQLSGGQKQRIAI ARALVRNPKILLLDEATSALDTESEAVVQAALDKAREGRTTIVIAHRLSTVRNADVIAGF DGGVIVEQGNHDELMREKGIYFKLVMTQTRGNEIEPGNNAYGSQSDTDASELTSEESKSP LIRRSIYRSVHRKQDQERRLSMKEAVDEDVPLVSFWRILNLNLSEWPYLLVGVLCAVING CIQPVFAIVFSRIVGVFSRDDDHETKRQNCNLFSLFFLVMGLISFVTYFFQGFTFGKAGE ILTKRVRYMVFKSMLRQDISWFDDHKNSTGSLTTRLASDASSVKGAMGARLAVVTQNVAN LGTGVILSLVYGWQLTLLLVVIIPLIVLGGIIEMKLLSGQALKDKKQLEISGKIATEAIE NFRTIVSLTREQKFETMYAQSLQVPYRNAMKKAHVFGITFSFTQAMMYFSYAACFRFGAY LVAQQLMTFENVMLVFSAVVFGAMAAGNTSSFAPDYAKAKVSASHIIRIIEKTPEIDSYS TEGLKPTLLEGNVKFNGVQFNYPTRPNIPVLQGLSLEVKKGQTLALVGSSGCGKSTVVQL LERFYDPMAGSVFLDGKEIKQLNVQWLRAHLGIVSQEPILFDCSIAENIAYGDNSRAVSH EEIVRAAKEANIHQFIDSLPDKYNTRVGDKGTQLSGGQKQRIAIARALVRQPHILLLDEA TSALDTESEKVVQEALDKAREGRTCIVIAHRLSTIQNADLIVVIENGKVKEHGTHQQLLA QKGIYFSMVQAGAKRS >ENSMUSP00000143023.1 pep:known chromosome:GRCm38:5:8851592:8865935:1 gene:ENSMUSG00000028970.9 transcript:ENSMUST00000198650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb1b description:ATP-binding cassette, sub-family B (MDR/TAP), member 1B [Source:MGI Symbol;Acc:MGI:97568] XSTEGLKPTLLEGNVKFNGVQFNYPTRPNIPVLQGLSLEVKKGQTLALVGSSGCGKSTVV QLLERFYDPMAGSVFLDGKEIKQLNVQWLRAHLGIVSQEPILFDCSIAENIAYGDNSRAV SHEEIVRAAKEANIHQFIDSLPDVVQEALDKAREGRTCIVIAHRLSTIQNADLIVVIENG KVKEHGTHQQLLAQKGIYFSMVQAG >ENSMUSP00000132842.1 pep:known chromosome:GRCm38:14:31170930:31191530:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000168206.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] MSVRFSATSMKEVLAPEASEFDEWEPEGTATLGGPVTAIIPTWQALTTLDLSHNSICEID ESVKLIPKIEYLDLSHNGLRVVDNLQHLYNLVHLDLSYNKLSSLEGVHTKLGNVKTLNLA GNFLESLSGLHKLYSLVNVDLRDNRIEQLDEVKSIGSLPCLERLTLLNNPLSIIPDYRTK VLSQFGERASEICLDDVATTEKELDTVEVLKAIQKAKDVKSKLSNTEKKAGEDFRLPPAP CIRPGGSPPAAPASASLPQPILSNQGIMFVQEEALASSLSSTDSLPPEDHRPIARACSDS LESIPAGQVASDDLRDVPGAVGGVSPDHAEPEVQVVPGSGQIIFLPFTCIGYTATNQDFI QRLSTLIRQAIERQLPAWIEAANQREEAHGEQGEEEEEEEEEEDVAENRYFEMGPPDAEE EEGSGQGEEDEEDEDEEAEEERLALEWALGADEDFLLEHIRILKVLWCFLIHVQGSIRQF AACLVLTDFGIAVFEIPHQESRGSSQHILSSLRFVFCFPHGDLTEFGFLMPELCLVLKVR HSENTLFIISDAANLHEFHADLRSCFAPQHMAMLCSPILYGSHTTLQEFLRQLLTFYKVA GGSQERSQGCFPVYLVYSDKRMVQTPAGDYSGNIEWASCTLCSAVRRSCCAPSEAVKSAA IPYWLLLTSQHLNVIKADFNPMPNRGTHNCRNRNSFKLSRVPLSTVLLDPTRSCTQPRGA FADGHVLELLVGYRFVTAIFVLPHEKFHFLRVYNQLRASLQDLKTVVISKNPSAKPRNQP AKSRASAEQRLQETPADAPAPAAVPPTASAPAPAEALAPDLAPVQAPGEDRGLTSAEAPA AAEAPAAAEAPAAAEAPAAAEAPAAAEAPAAAEAPAPAEAPAAAEAPAAAEAPAAAEAPA AAEAPASAEAPAPNQAPAPARGPAPARGPAPAGGPAPAEALAQAEVPAQYPSERLIQSTS EENQIPSHLPVCPSLQHIARLRGRAIIDLFHNSIAEVENEELRHLLWSSVVFYQTPGLEV TACVLLSSKAVYFILHDGLRRYFSEPLQDFWHQKNTDYNNSPFHVSQCFVLKLSDLQSVN VGLFDQYFRLTGSSPTQVVTCLTRDSYLTHCFLQHLMLVLSSLERTPSPEPVDKDFYSEF GDKNTGKMENYELIHSSRVKFTYPSEEEVGDLTYIVAQKMADPAKNPALSILLYIQAFQV VTPHLGRGRGPLRPKTLLLTSAEIFLLDEDYIHYPLPEFAKEPPQRDRYRLDDGRRVRDL DRVLMGYYPYPQALTLVFDDTQGHDLMGSVTLDHFGEMPGGPGRVGQGREVQWQVFVPSA ESREKLISLLARQWEALCGRELPVELTG >ENSMUSP00000022469.6 pep:known chromosome:GRCm38:14:31170930:31206801:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000022469.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] MAAATLSFGPEREAEPAKEARVVGSELVDTYTVYVIQVTDGNHEWTIKHRYSDFHDLHEK LVAERKIDKSLLPPKKIIGKNSRSLVEKRERDLEVYLQTLLTTFPDVAPRVLAHFLHFHL YEVNGVTAALAEELFEKGEQLLGAGEVFAIRPLQLYAITEQLQQGKPTCASGDAKTDLGH ILDFTCRLKYLKVSGTEGPFGTSNIKEQLLPFDLSIFKSLHQVEISHCDAKHIRGLVTSK PTLATMSVRFSATSMKEVLAPEASEFDEWEPEGTATLGGPVTAIIPTWQALTTLDLSHNS ICEIDESVKLIPKIEYLDLSHNGLRVVDNLQHLYNLVHLDLSYNKLSSLEGVHTKLGNVK TLNLAGNFLESLSGLHKLYSLVNVDLRDNRIEQLDEVKSIGSLPCLERLTLLNNPLSIIP DYRTKVLSQFGERASEICLDDVATTEKELDTVEVLKAIQKAKDVKSKLSNTEKKAGEDFR LPPAPCIRPGGSPPAAPASASLPQPILSNQGIMFVQEEALASSLSSTDSLPPEDHRPIAR ACSDSLESIPAGQVASDDLRDVPGAVGGVSPDHAEPEVQVVPGSGQIIFLPFTCIGYTAT NQDFIQRLSTLIRQAIERQLPAWIEAANQREEAHGEQGEEEEEEEEEEDVAENRYFEMGP PDAEEEEGSGQGEEDEEDEDEEAEEERLALEWALGADEDFLLEHIRILKVLWCFLIHVQG SIRQFAACLVLTDFGIAVFEIPHQESRGSSQHILSSLRFVFCFPHGDLTEFGFLMPELCL VLKVRHSENTLFIISDAANLHEFHADLRSCFAPQHMAMLCSPILYGSHTTLQEFLRQLLT FYKVAGGSQERSQGCFPVYLVYSDKRMVQTPAGDYSGNIEWASCTLCSAVRRSCCAPSEA VKSAAIPYWLLLTSQHLNVIKADFNPMPNRGTHNCRNRNSFKLSRVPLSTVLLDPTRSCT QPRGAFADGHVLELLVGYRFVTAIFVLPHEKFHFLRVYNQLRASLQDLKTVVISKNPSAK PRNQPAKSRASAEQRLQETPADAPAPAAVPPTASAPAPAEALAPDLAPVQAPGEDRGLTS AEAPAAAEAPAAAEAPAAAEAPAAAEAPAAAEAPAAAEAPAPAEAPAAAEAPAAAEAPAA AEAPAAAEAPASAEAPAPNQAPAPARGPAPARGPAPAGGPAPAEALAQAEVPAQYPSERL IQSTSEENQIPSHLPVCPSLQHIARLRGRAIIDLFHNSIAEVENEELRHLLWSSVVFYQT PGLEVTACVLLSSKAVYFILHDGLRRYFSEPLQDFWHQKNTDYNNSPFHVSQCFVLKLSD LQSVNVGLFDQYFRLTGSSPTQVVTCLTRDSYLTHCFLQHLMLVLSSLERTPSPEPVDKD FYSEFGDKNTGKMENYELIHSSRVKFTYPSEEEVGDLTYIVAQKMADPAKNPALSILLYI QAFQVVTPHLGRGRGPLRPKTLLLTSAEIFLLDEDYIHYPLPEFAKEPPQRDRYRLDDGR RVRDLDRVLMGYYPYPQALTLVFDDTQGHDLMGSVTLDHFGEMPGGPGRVGQGREVQWQV FVPSAESREKLISLLARQWEALCGRELPVELTG >ENSMUSP00000129547.1 pep:known chromosome:GRCm38:14:31171258:31187207:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000170253.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] XKLSSLEGVHTKLGNVKTLNLAGNFLESLSGLHKLYSLVNVDLRDNRIEQLDEVKSIGSL PCLERLTLLNNPLSIIPDYRTKVLSQFGERASEICLDDVATTEKELDTVEVLKAGKRPSA FFLRSFSSPLVPTLIARGPHTCDQLPQRL >ENSMUSP00000131465.1 pep:known chromosome:GRCm38:14:31171366:31174282:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000169628.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] DLFHNSIAEVENEELRHLLWSSVVFYQTPGLEVTACVLLSSKAVYFILHDGLRRYFSEPL QDFWHQKNTDYNNSPFHVSQCFVLKLSDLQSVNVGLFDQYFRLTGSSPTQVVTCLTRDSY LTHCFLQHLMLVLSSLERTPSPEPVDKDFYSEFGDKNTGKMENYELIHSSRVKFTYPSEE EAFQVVTPHLGRGRGPLRPKTLLLTSAEIFLLDEDYIHYPLPEFAKEPPQRDRYRLDDGR RVRDLDRVLMGYYPYPQALTLVFDDTQGHDLMGSVTLDHFGEMPGGPGRVGQGREVQWQV FVPSAESREKLISLLARQWEALCGRELPVELTG >ENSMUSP00000126001.1 pep:known chromosome:GRCm38:14:31172014:31173728:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000167449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] LRHLLWSSVVFYQTPGLEVTACVLLSSKAVYFILHDGLRRYFSEPLQDFWHQKNTDYNNS PFHVSQCFVLKLSDLQSVNVGLFDQYFRLTGSSPTQVVTCLTRDSYLTHCFLQHLMLVLS SLERTPSPEPVDKDFYSEFGDKNTGTLSTPRTLTASVPTFPLSLPN >ENSMUSP00000131689.1 pep:known chromosome:GRCm38:14:31174427:31176588:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000163552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] XVPLSTVLLDPTRSCTQPRGAFADGHVLELLVGYRFVTAIFVLPHEKFHFLRVYNQLRAS LQDLKTVVISKNPSAKPRNQPAKSRARCSAEQRLQETPADAPAPAAVPPTASAPAPAEAL APDLAPVQAPGEDRGLTSAEAPAAAEAPAAAEAPAAAEAPAAAEAPAAAEAPAAAEAPAP AEAPAAAEAPAAAEAPAAAEAPAAAEAPASAEAPAPNQAPAPARGPAPARGPAPAGGPAP AE >ENSMUSP00000132912.1 pep:known chromosome:GRCm38:14:31176896:31203390:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000168451.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] XDKSLLPPKKIIGKNSRSLVEKRERDLEVYLQTLLTTFPDVAPRVLAHFLHFHLYEVNGV TAALAEELFEKGEQLLGAGEVFAIRPLQLYAITEQLQQGKPTCASGDAKTDLGHILDFTC RLKYLKVSGTEGPFGTSNIKEQLLPFDLSIFKSLHQVEISHCDAKHIRGLVTSKPTLATM SVRFSATSMKFHADLRSCFAPQHMAMLCSPILYGSHTTLQEFLRQLLTFY >ENSMUSP00000129268.1 pep:known chromosome:GRCm38:14:31177206:31181470:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000169906.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] MFVQEEALASSLSSTDSLPPEDHRPIARACSDSLESIPAGQVASDDLRDVPGAVGGVSPD HAEPEVQVVPGSGQIIFLPFTCIGYTATNQDFIQRLSTLIRQAIERQLPAWIEAANQREE AHGEQGEEEEEEEEEEDVAENRYFEMGPPDAEEEEGSGQGEEDEEDEDEEAEEERLALEW ALGADEDFLLEHIRILKVLWCFLIHVQGSIRQFAACLVLTDFGIAV >ENSMUSP00000131861.1 pep:known chromosome:GRCm38:14:31177392:31185322:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000170436.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] XEKKAGEDFRLPPAPCIRPGGSPPAAPASASLPQPILSNQVSGVP >ENSMUSP00000132413.1 pep:known chromosome:GRCm38:14:31183313:31206826:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000172142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] MAAATLSFGPEREAEPAKEARVVGSELVDTYTVYVIQVTDGNHEWTIKHRYSDFHDLHEK LVAERKIDKSLLPPKKIIGKNSRSLVEKRERDLEVYLQTLLTTFPDVAPRVLAHFLHFHL YEVNGVTAALAEELFEKGEQLLGAGEVFAIRPLQLYAITEQLQQGKPTCASGDAKTDLGH ILDFTCRLKYLKVSGTEGPFGTSNIKEQLLPFDLSIFKSLHQVEISHCDAKHIRGLVTSK PTLATMSVRFSATSMKEVLAPEASEFDEWEPEGTATLGGPVTAIIPTWQALTTLDLSHNS ICEIDESVKLIPKIEYLDLSHNGLRVVDNLQHLYNLVHLDLSYNKLSSLEGVHTKLGNVK TLNLAGNFLESLSGLHKLYSLVNVDLRDNRIEQLDEVKSIGSLPCLERLTLLNNPLSIIP DYRTKVLSQFGERASEICLDDVATTEKELDTVEVLKAIQKAKDVKSKLSNTEKKAGEDFR LPPAPCIRPGGSPPAAPASASLPQPILSNQGILGDE >ENSMUSP00000130246.1 pep:known chromosome:GRCm38:14:31185011:31191497:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000170343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] XYAITEQLQQGKPTCASGDAKTDLGHILDFTCRLKYLKVSGTEGPFGTSNIKEQLLPFDL SIFKSLHQVEKLIPKIEYLDLSHNGLRVVDNLQHLYNLVHLDLSYNKLSSLEGVHTKLGN VKTLNLAGNFLESLSGLHKLYSLVNVDLRDNRIEQLDEVKSIGSLPCLERLTLLNNPLSI IPDYRTKVLSQFGERASEICLDDVATTEKELDTVEVLKAIQKAKDVKSKLSNTEKKAGED FRLPPAPCI >ENSMUSP00000130210.1 pep:known chromosome:GRCm38:14:31185690:31206700:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000165981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] MAAATLSFGPEREAEPAKEARVVGSELVDTYTVYVIQVTDGNHEWTIKHRYSDFHDLHEK LVAERKIDKSLLPPKKIIGKNSRSLVEKRERDLEVYLQTLLTTFPDVAPRVLAHFLHFHL YEVNGVTAALAEELFEKGEQLLGAGEVFAIRPLQLYAITEQLQQGKPTCASGDAKTDLGH ILDFTCRLKYLKVSGTEGPFGTSNIKEQLLPFDLSIFKSLHQVEISHCDAKHIRGLVTSK PTLATMSVRFSATSMKEVLAPEASEFDEWEPEGTATLGGPVTAIIPTWQALTTLDLSHNS ICEIDESVKLIPKIEYLDLSHNGLRVVDNLQHLYNLVHLDLSYNKLSSLEGVHTKLGNVK TLNLAGNFLESLSGLHKLYSLVNVDLRDNRIEQLDEVKSIGSLPCLERLTLLNNPLSIIP DYRTKVLSQFGERASEVSPRSSSRAQRDWQGKPSLSAKADRGKAVHSVLVFF >ENSMUSP00000126982.1 pep:known chromosome:GRCm38:14:31185726:31206700:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000164989.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] MAAATLSFGPEREAEPAKEARVVGSELVDTYTVYVIQVTDGNHEWTIKHRYSDFHDLHEK LVAERKIDKSLLPPKKIIGKNSRSLVEKRERDLEVYLQTLLTTFPDVAPRVLAHFLHFHL YEVNGVTAALAEELFEKGEQLLGAGEVFAIRPLQLYAITEQLQQGKPTCASGDAKTDLGH ILDFTCRLKYLKVSGTEGPFGTSNIKEQLLPFDLSIFKSLHQVEISHCDAKHIRGLVTSK PTLATMSVRFSATSMKEVLAPEASEFDEWEPEGTATLGGPVTAIIPTWQALTTLDLSHNS ICEIDESVKLIPKIEYLDLSHNGLRVVDNLQATS >ENSMUSP00000131623.1 pep:known chromosome:GRCm38:14:31187113:31203311:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000169149.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] XEVYLQTLLTTFPDVAPRVLAHFLHFHLYEVNGVTAALAEELFEKGFRHRRTFWDQQY >ENSMUSP00000127132.1 pep:known chromosome:GRCm38:14:31201866:31206701:-1 gene:ENSMUSG00000021910.15 transcript:ENSMUST00000171735.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nisch description:nischarin [Source:MGI Symbol;Acc:MGI:1928323] MAAATLSFGPEREAEPAKEARVVGSELVDTYTVYVIQVTDGNHEWTIKHRYSDFHDLHEK LVAERKIDKSLLPPKKIIGKNSRSLVEKRERDLEVYLQTLLTTFPDVAPRVLAHFLHFHL YVSSFGALCFAYEPTATKAVGLGFEETQTGPWP >ENSMUSP00000062638.6 pep:known chromosome:GRCm38:X:72432681:72656186:-1 gene:ENSMUSG00000031343.13 transcript:ENSMUST00000055966.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra3 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 3 [Source:MGI Symbol;Acc:MGI:95615] MIITQMWHFYVTRVVLLLLISILPGTTSQGESRRQEPGDFVKQDIGGLSPKHAPDIPDDS TDNITIFTRILDRLLDGYDNRLRPGLGDAVTEVKTDIYVTSFGPVSDTDMEYTIDVFFRQ TWHDERLKFDGPMKILPLNNLLASKIWTPDTFFHNGKKSVAHNMTTPNKLLRLVDNGTLL YTMRLTIHAECPMHLEDFPMDVHACPLKFGSYAYTKAEVIYSWTLGKNKSVEVAQDGSRL NQYDLLGHVVGTEIIRSSTGEYVVMTTHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLN RESVPARTVFGVTTVLTMTTLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVN YFTKRSWAWEGKKVPEALEMKKKTPAAPTKKNTTFNIVGTTYPINLAKDTEFSTISKSAA APSASSTPTAIASPKATYVQDSPAETKTYNSVSKVDKISRIIFPVLFAIFNLVYWATYVN RESAIKGMIRKQ >ENSMUSP00000110201.2 pep:known chromosome:GRCm38:X:72434575:72656848:-1 gene:ENSMUSG00000031343.13 transcript:ENSMUST00000114554.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabra3 description:gamma-aminobutyric acid (GABA) A receptor, subunit alpha 3 [Source:MGI Symbol;Acc:MGI:95615] MEGREERKGGSSHFGPEERSKHLTAACTVDLTGLFKLLSKKMIITQMWHFYVTRVVLLLL ISILPGTTSQGESRRQEPGDFVKQDIGGLSPKHAPDIPDDSTDNITIFTRILDRLLDGYD NRLRPGLGDAVTEVKTDIYVTSFGPVSDTDMEYTIDVFFRQTWHDERLKFDGPMKILPLN NLLASKIWTPDTFFHNGKKSVAHNMTTPNKLLRLVDNGTLLYTMRLTIHAECPMHLEDFP MDVHACPLKFGSYAYTKAEVIYSWTLGKNKSVEVAQDGSRLNQYDLLGHVVGTEIIRSST GEYVVMTTHFHLKRKIGYFVIQTYLPCIMTVILSQVSFWLNRESVPARTVFGVTTVLTMT TLSISARNSLPKVAYATAMDWFIAVCYAFVFSALIEFATVNYFTKRSWAWEGKKVPEALE MKKKTPAAPTKKNTTFNIVGTTYPINLAKDTEFSTISKSAAAPSASSTPTAIASPKATYV QDSPAETKTYNSVSKVDKISRIIFPVLFAIFNLVYWATYVNRESAIKGMIRKQ >ENSMUSP00000022992.6 pep:known chromosome:GRCm38:15:57912199:57970061:1 gene:ENSMUSG00000022364.14 transcript:ENSMUST00000022992.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d31 description:TBC1 domain family, member 31 [Source:MGI Symbol;Acc:MGI:2684931] MQSTDLGNKESGKIWHRKPSPATHDGIIVNIVHSTSEYHPKVLRFLNVAFDGSGDSFIAG DHQGNIYVFDLHGNRFNLVQRTAQACTALAFNLRRKSEFLVALADYSIKCFDTVTKELVS WMRGHESSVCSISVHASGRYAITTSSDTAQLWDLDTFQRKRKLNIRQSVGIQKVFFLPLS NTILSCFKDNSIFAWECDTLFCKYQLPGPPEGSNILYKVFAVTRDGRILAAGGKSNHLHL WCLEATGLFRIIQMPAKVRAVRHLEFLPDSFDAGSNQVLGVLSQDGIMRFVNIQTCKLLF EIGTVEEGISSSVISPHGRYIASIMENGSLNVYSVQALTQEINKPPPPLVKVIEDLPSNT VSSSNLKMKIMPGRVQRPARCKESKIPTRVLKQDLTGDLENKENELSEGLNKKRLQILLK GYGEYPTKYRMFIWRSLLQLPENHTAFSSLVDKGTHAAYLSLQKKYPIKSRKLLRVLQRT LSALAHWSAIFSDTPYLPLLAFPFVKLFQNNQLICFEVVATLIINWCQHWFEYFPNPPIN ILSMIENVLAFHDKELLQHFIDRDITSQVYAWPLLETLFSEVLTREEWLRLFDNIFSNHP SFLLMTVVAYSTCSRAPLLNCTLKNDFEYFFHHRNNLDINVVIREVYHLMETTPADIHPN SMLDAFVALTKGQYPIFNQYPKFIVDYQTREWERIRNDELDFLRERQTVENMQAEVDEQR AKDEAWYQKQELLRRAEETRREILLQEEEKMAQQRQRLAAVKRELEIKEIHLQDAARRRL LKLQQDQREMELRRLEDEIERKVQMRDQEIAATAKDLEIRQLELEAQKRLYEKDLTTSQE AVAKEIREDTDAHRRKAALEEHMFQKLLENSQMGGRRAQRWKEAEEKEFHLQSAKKASAL SDASRKWFLRQETSAALEHEEMPWLQRQYMDSAYLPQTSRLHDVSDMDPSTHIFSRNYPT EWNHMEHDLLKNVRDLRRRLTARARNSCRHPHLLVT >ENSMUSP00000124243.1 pep:known chromosome:GRCm38:15:57912239:57919948:1 gene:ENSMUSG00000022364.14 transcript:ENSMUST00000159775.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tbc1d31 description:TBC1 domain family, member 31 [Source:MGI Symbol;Acc:MGI:2684931] MQSTDLGNKESGKIWHRKPSPATHDGSRELSMFPTPRHWGSRCGPRCKD >ENSMUSP00000124466.1 pep:known chromosome:GRCm38:15:57958413:57970067:1 gene:ENSMUSG00000022364.14 transcript:ENSMUST00000161329.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d31 description:TBC1 domain family, member 31 [Source:MGI Symbol;Acc:MGI:2684931] XRLYEKDLTTSQEAVAKEIREDTDAHRRKAALEEHMFQKLLENSQMGGRRAQRVMEDNLA KAEQACLNADWQIQTLHKQKCADQQRSQGYYDVATLLRENRRKEVEVLNAMMEEEAQKWK EAEEKEFHLQSAKKASALSDASRKWFLRQETSAALEHEEMPWLQRQYMDSAYLPQTSRLH DVSDMDPSTHIFSRNYPTEWNHMEHDLLKNVRDLRRRLTARARNSCRHPHLLVT >ENSMUSP00000132256.1 pep:known chromosome:GRCm38:7:30945248:30956803:-1 gene:ENSMUSG00000058239.13 transcript:ENSMUST00000058860.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf2 description:upstream transcription factor 2 [Source:MGI Symbol;Acc:MGI:99961] MDMLDPGLDPASSATAAAAASHDKGPEAEEGVELQEGGDGPGAEEQTAVAIASVQQAAFG DHNIQYQFRTESNGGQVTYRVVQVTDGQLDGQGDAAGAVSVVSTAAFAGGQQAVTQVGVD GAAQRPGPAAASVPTGPAAPFPLAVIQNPFSNGGSPAAEAVSGEARFAYFPASSVGDTTA VSVQTTDQSLQAGGQFYVMMTPQDVLQTGTQRTIAPRTHPYSPKIDGTRTPRDERRRAQH NEVERRRRDKINNWIVQLSKIIPDCHADNSKTGASKGGILSKACDYIRELRQTNQRMQET FKEAERLQMDNELLRQQIEELKNENALLRAQLQQHNLEMVGESTRQ >ENSMUSP00000130298.1 pep:known chromosome:GRCm38:7:30946574:30956239:-1 gene:ENSMUSG00000058239.13 transcript:ENSMUST00000170442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf2 description:upstream transcription factor 2 [Source:MGI Symbol;Acc:MGI:99961] XTAVAIASVQQAAFGDHNIQYQFRTESNGGQVTYRVVQVTDGQLDGQGDAAGAVSVVSTA AFAGGQQAVTQVGVDGAAQRPGPAAASVPTGPAAPFPLAVIQNPFSNGGSPAAEAVSGEA RFAYFPASSVGDTTAVSVQTTDQSLQAGGQFYVMMTPQDVLQTGTQRTIAPRTHPYSPKI DGTRTPRDERRRAQHNEVERRRRDKINNWIVQLSKIIPDCHADNSKTGAACDYIRELRQT NQRMQETFKEAERLQMDNELLRQQIEELKNENALLRAQLQQHNLEMVGESTRQ >ENSMUSP00000125520.2 pep:known chromosome:GRCm38:7:30946580:30956757:-1 gene:ENSMUSG00000058239.13 transcript:ENSMUST00000162228.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf2 description:upstream transcription factor 2 [Source:MGI Symbol;Acc:MGI:99961] MDMLDPGLDPASSATAAAAASHDKGPEAEEGVELQEGGDGPGAEEQTAVAIASVQQAAFG DHNIQYQFRTESNGGQVTYRVVQVTDGQLDGQGDAAGAVSVVSTAAFAGGQQAVTQAVIQ NPFSNGGSPAAEAVSGEARFAYFPASSVGDTTAVSVQTTDQSLQAGGQFYVMMTPQDVLQ TGTQRTIAPRTHPYSPKIDGTRTPRDERRRAQHNEVERRRRDKINNWIVQLSKIIPDCHA DNSKTGASKGGILSKACDYIRELRQTNQRMQETFKEAERLQMDNELLRQQIEELKNENAL LRAQLQQHNLEMVGESTRQ >ENSMUSP00000127908.1 pep:known chromosome:GRCm38:7:30946580:30956757:-1 gene:ENSMUSG00000058239.13 transcript:ENSMUST00000170699.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usf2 description:upstream transcription factor 2 [Source:MGI Symbol;Acc:MGI:99961] MVAARRPKLSAGRHDLLIFQHPVWAIPQLCLFKLQTRAYRPEASSMS >ENSMUSP00000132833.1 pep:known chromosome:GRCm38:7:30946580:30956757:-1 gene:ENSMUSG00000058239.13 transcript:ENSMUST00000172417.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf2 description:upstream transcription factor 2 [Source:MGI Symbol;Acc:MGI:99961] MDMLDPGLDPASSATAAAAASHDKGPEAEEGVELQEGGDGPGAEEQTAVAIASVQQAAFG DHNIQYQFRTESNGGQTGTQRTIAPRTHPYSPKIDGTRTPRDERRRAQHNEVERRRRDKI NNWIVQLSKIIPDCHADNSKTGASKGGILSKACDYIRELRQTNQRMQETFKEAERLQMDN ELLRQQIEELKNENALLRAQLQQHNLEMVGESTRQ >ENSMUSP00000132021.1 pep:known chromosome:GRCm38:7:30946580:30956766:-1 gene:ENSMUSG00000058239.13 transcript:ENSMUST00000108119.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usf2 description:upstream transcription factor 2 [Source:MGI Symbol;Acc:MGI:99961] MDMLDPGLDPASSATAAAAASHDKGPEAEEGVELQEGGDGPGAEEQTAVAIASVQQAAFG DHNIQYQFRTESNGGQAVIQNPFSNGGSPAAEAVSGEARFAYFPASSVGDTTAVSVQTTD QSLQAGGQFYVMMTPQDVLQTGTQRTIAPRTHPYSPKIDGTRTPRDERRRAQHNEVERRR RDKINNWIVQLSKIIPDCHADNSKTGASKGGILSKACDYIRELRQTNQRMQETFKEAERL QMDNELLRQQIEELKNENALLRAQLQQHNLEMVGESTRQ >ENSMUSP00000132232.1 pep:known chromosome:GRCm38:7:30946841:30955906:-1 gene:ENSMUSG00000058239.13 transcript:ENSMUST00000166340.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usf2 description:upstream transcription factor 2 [Source:MGI Symbol;Acc:MGI:99961] XGGQQAVTQVGVDGAAQRPGPAAASVPTGPAAPFPLEN >ENSMUSP00000013797.2 pep:known chromosome:GRCm38:11:58421111:58426024:1 gene:ENSMUSG00000013653.2 transcript:ENSMUST00000013797.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810065E05Rik description:RIKEN cDNA 1810065E05 gene [Source:MGI Symbol;Acc:MGI:1917114] MFWSSVSKSLLAVCVVTEFAVIFVESLSCENSMCTNGLCQPMGTCNTSKSCFSQTQEFKM PELSTSLSVQQKGCSLDECTGLAFSATLGDQRTFRYDQRCCTTDKCNQVDTKPSQVPAKA NGVQCLACYMEAGRVCIPTPLKCTGSETKCVAVIGTAVGSSSPLSVVMVGSGCATESACN LNMTVLDFVNIRTFCSSGFPVLPTPSSAPDGLGLRSASISTVPVLISLLLLKVLV >ENSMUSP00000124560.1 pep:known chromosome:GRCm38:13:63282142:63326096:1 gene:ENSMUSG00000021458.16 transcript:ENSMUST00000159152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010111I01Rik description:RIKEN cDNA 2010111I01 gene [Source:MGI Symbol;Acc:MGI:1919311] LSPDQIVLLLEWLLEQKTLSPQTLHCLQQTYHLPEQDAEVRHRWCELVIKHKYTKAYNQV ERFLLEDQAMGIYLYGELMVSEDARLQQLAHRCFELVKEHMDRASAQVVTEMLF >ENSMUSP00000089148.4 pep:known chromosome:GRCm38:13:63014934:63299656:1 gene:ENSMUSG00000021458.16 transcript:ENSMUST00000091560.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010111I01Rik description:RIKEN cDNA 2010111I01 gene [Source:MGI Symbol;Acc:MGI:1919311] MDIKLDPSRDDLPLMANTSHMLVKHYILDLDVDFGNQVIEGNIVLFFGDGNRFKNQSRST QETFQMESEEADIFRTAEPCHVPEMDSSTFSPKMGHRECAVCGKGDQDAFDNDGNHDNQE RDSEISSSKYCCDTGNHGKRDFLLVLDCCDLSVLKVEEVDVAAVPGLEKFTKAPKLLATP EKLRCEIVRDLVALPADAWREQLDCYTRCSQAPGCGELLIDSDNWSLRIRKTGTSTPADF PRAIRIWYKTKPEGQSVAWTTDQNGRPCVYTMGSPINNRALFPCQEPPVAMSTWQATVRA AASFVVLMSGENSAKPTPLREGYMSWHYYVTMPMPASTFAIAVGCWTEMKPKASPPDDLM TEHSLPLSPSEADLRYDNTCNHMEYPCRFQSASAASQDIIPYRVFAPVCLEGACQEALLW LIPSCLSAAHSVLGTHPFSRLDILIVPTNFPSLGMASPHIIFLSQSTLTGTSHLCGTRLC HEIAHSWFGLAIGARDWTEEWLSEGFATHLEDIFWAEAQQLPPHEALEQQELRACLRWHR LQDELRNSPEGMQVLRPNKEETGHVSASGASVVKHGLNPEKGFMQVHYLKGYFLLRFLTR TLGEKIYFPFLRKFVHLFHGQLILSQDFLQMLLENIPENKRLGLSVENIVRDWLECSGIP KALQEERKAEDCSPSRLARQVGSEVAKWIRVNRRPRKRKRGKREVAFEKLSPDQIVLLLE WLLEQKTLSPQTLHCLQQTYHLPEQDAEVRHRWCELVIKHKYTKAYNQVERFLLEDQAMG IYLYGELMVSEDARLQQLAHRCFELVKEHMDRASAQVVTEMLF >ENSMUSP00000021911.8 pep:known chromosome:GRCm38:13:63015167:63302284:1 gene:ENSMUSG00000021458.16 transcript:ENSMUST00000021911.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010111I01Rik description:RIKEN cDNA 2010111I01 gene [Source:MGI Symbol;Acc:MGI:1919311] MDIKLDPSRDDLPLMANTSHMLVKHYILDLDVDFGNQVIEGNIVLFFGDGNRFKNQSRST QETFQMESEEADIFRTAEPCHVPEMDSSTFSPKMGHRECAVCGKGDQDAFDNDGNHDNQE RDSEISSSKYCCDTGNHGKRDFLLVLDCCDLSVLKVEEVDVAAVPGLEKFTKAPKLLATP EKLRCEIVRDLVALPADAWREQLDCYTRCSQAPGCGELLIDSDNWSLRIRKTGTSTPADF PRAIRIWYKTKPEGQSVAWTTDQNGRPCVYTMGSPINNRALFPCQEPPVAMSTWQATVRA AASFVVLMSGENSAKPTPLREVSWHYYVTMPMPASTFAIAVGCWTEMKPKASPPDDLMTE HSLPLSPSEADLRFVFGDCNHMEYPCRFQSASAASQDIIPYRVFAPVCLEGACQEALLWL IPSCLSAAHSVLGTHPFSRLDILIVPTNFPSLGMASPHIIFLSQSTLTGTSHLCGTRLCH EIAHSWFGLAIGARDWTEEWLSEGFATHLEDIFWAEAQQLPPHEALEQQELRACLRWHRL QDELRNSPEGMQVLRPNKEETGHVSASGASVVKHGLNPEKGFMQVHYLKGYFLLRFLTRT LGEKIYFPFLRKFVHLFHGQLILSQDFLQMLLENIPENKRLGLSVENIVRDWLECSGIPK ALQEERKAEDCSPSRLARQVGSEVAKWIRVNRRPRKRKRGKREVAFEKLSPDQIVLLLEW LLEQKTLSPQTLHCLQQTYHLPEQDAEVRHRWCELVIKHKYTKAYNQVERFLLEDQAMGI YLYGELMVSEDARLQQLAHRCFELVKEHMDRASAQVVTEMLF >ENSMUSP00000120269.1 pep:known chromosome:GRCm38:5:21645813:21661616:1 gene:ENSMUSG00000038525.16 transcript:ENSMUST00000148873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc10 description:armadillo repeat containing 10 [Source:MGI Symbol;Acc:MGI:1914461] MGGARDVGWVAAGLVLGAGACYCIYRLTRGPRRGGRRLRPSRSAEQLKKLLYLLESTDDP VITEKALVTLGNNAAFSTNQAIIRELGGIPIVGNKINSLNQSIKEKALNALNNLSVNVEN QTKIKIYVPQVCEDVFADPLNSAVQLAGLRLLTNMTVTNDYQHLLSGSVAGLFHLLLLGN GSTKVQVLKLLLNLSENPAMTEGLLSVQVDSSFLSLYDGQVANEILLRALTLFQNINNCL KVEGRLANQIPFAKGSLFFLLYGEECAQKMRALACHHDVDVKEKALAIKPKF >ENSMUSP00000072669.6 pep:known chromosome:GRCm38:5:21645879:21662694:1 gene:ENSMUSG00000038525.16 transcript:ENSMUST00000072896.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc10 description:armadillo repeat containing 10 [Source:MGI Symbol;Acc:MGI:1914461] MGGARDVGWVAAGLVLGAGACYCIYRLTRGPRRGGRRLRPSRSAEDLTDGSYDDILNAEQ LKKLLYLLESTDDPVITEKALVTLGNNAAFSTNQAIIRELGGIPIVGNKINSLNQSIKEK ALNALNNLSVNVENQTKIKIYVPQVCEDVFADPLNSAVQLAGLRLLTNMTVTNDYQHLLS GSVAGLFHLLLLGNGSTKVQVLKLLLNLSENPAMTEGLLSVQVDSSFLSLYDGQVANEIL LRALTLFQNINNCLKVEGRLANQIPFAKGSLFFLLYGEECAQKMRALACHHDVDVKEKAL AIKPKF >ENSMUSP00000093149.2 pep:known chromosome:GRCm38:5:21646053:21662608:1 gene:ENSMUSG00000038525.16 transcript:ENSMUST00000095495.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Armc10 description:armadillo repeat containing 10 [Source:MGI Symbol;Acc:MGI:1914461] MGGARDVGWVAAGLVLGAGACYCIYRLTRGPRRGGRRLRPSRSAEDLTDGSYDDILNAEQ LKKLLYLLESTDDPVITEKALVTLGNNAAFSTNQAIIRELGGIPIVGNKINSLNQSIKEK ALNALNNLSVNVENQTKIKIYVPQVCEDVFADPLNSAVQLAGLRLLTNMTVTNDYQHLLS GSVAGLFHLLLLGNGSTKVQVLKLLLNLSENPAMTEGLLSVQVSRLPTRFISAHIQRF >ENSMUSP00000069652.4 pep:known chromosome:GRCm38:4:93334138:93335511:-1 gene:ENSMUSG00000054000.5 transcript:ENSMUST00000066774.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tusc1 description:tumor suppressor candidate 1 [Source:MGI Symbol;Acc:MGI:2684283] MWRMRGGATRRGSCGGEGGGSRGESGRLGRAREGGGGGGGVGWRGRAGGARRQLEERFAD LAASHLEALRARDERDRQNARLREENARLRLENRRLRRENRSLFRQALRLPGDSGEREAA VETLAPDEPATNRKARGHGREEEPGSPRALRARLEKLEVMYRRALLQLHLEQQGARPPGA IEEPPLQETATGLCAHDPDVPRPWL >ENSMUSP00000145321.1 pep:known chromosome:GRCm38:8:57774052:58912640:-1 gene:ENSMUSG00000096914.3 transcript:ENSMUST00000204128.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galntl6 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase-like 6 [Source:MGI Symbol;Acc:MGI:1913581] MKRKQKRFLQMTLLFTVALIFLPNIGLWSLYKDKHLVKSAEPAEHQTFPLGLGDGQFYSW TDGLRRKDWHDYESIQRDALRSGKGEHGKPYPLTEEDRDDSAYRENGFNIFVSNNIALER SLPDIRHANCKHKMYLERLPNTSIIIPFHNEGWTSLLRTIHSIINRTPESLIAEIILVDD FSDREHLKDKLEDYMARFSKVRIVRTKKREGLIRTRLLGASVARGEVLTFLDSHCEVNVN WLPPLLNQIALNHKTIVCPMIDVIDHNHFGYEAQAGDAMRGAFDWEMYYKRIPIPPELQR ADPSDPFESPVMAGGLFAVDRKWFWELGGYDPGLEIWGGEQYEISFKVWMCGGEMFDVPC SRVGHIYRKYVPYKVPSGTSLARNLKRVAETWMDEFAEYIYQRRPEYRHLSTGDISAQKE LRKRLKCKDFKWFMAAVAWDVPKYYPPVEPLPAAWGEIRSVAANLCVDSKHGATGTELRL DVCVKDGSERTWSHEQLFTFGWREDIRPGEPLHTRKFCLDAISHSSPVTLYDCHGMKGNQ LWGYREDRTLFHPVSNSCMDCNPSEKKIFMARCDPLSETQQWIFEHINMTVLEKNSHYAI S >ENSMUSP00000145016.1 pep:known chromosome:GRCm38:8:57776225:57796590:-1 gene:ENSMUSG00000096914.3 transcript:ENSMUST00000204067.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galntl6 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase-like 6 [Source:MGI Symbol;Acc:MGI:1913581] MKGNQLWGYREDRTLFHPVSNSCMDCNPSEKKIFMARCDPLSETQQWIFEHINMTVLEKN SHYAIS >ENSMUSP00000118306.1 pep:known chromosome:GRCm38:8:58427580:58911576:-1 gene:ENSMUSG00000096914.3 transcript:ENSMUST00000146513.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Galntl6 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase-like 6 [Source:MGI Symbol;Acc:MGI:1913581] MKRKQKRFLQMTLLFTVALIFLPNIGLWSLYKDKHLVKSAEPAEHQILQMA >ENSMUSP00000145298.1 pep:known chromosome:GRCm38:8:58535964:58911860:-1 gene:ENSMUSG00000096914.3 transcript:ENSMUST00000203398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galntl6 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase-like 6 [Source:MGI Symbol;Acc:MGI:1913581] MKRKQKRFLQMTLLFTVALIFLPNIGLWSLYKDKHLVKSAEPAEHQTFPLGLGDGQFYSW TDG >ENSMUSP00000139677.1 pep:known chromosome:GRCm38:8:58427700:58701044:-1 gene:ENSMUSG00000096914.3 transcript:ENSMUST00000188531.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galntl6 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase-like 6 [Source:MGI Symbol;Acc:MGI:1913581] QTFPLGLGDGQFYSWTDGLRRKDWHDYESIQRDALRSGKGEHGKPYPLTEEDRDDSAYRE NGFNIFVSNNIALERSLPDIRHANCKHKMYLERLPNTSIIIPFHNEGWTSLLRTIHSIIN RTPESLIAEIILVDDFSDR >ENSMUSP00000096353.3 pep:known chromosome:GRCm38:8:57776225:57962564:-1 gene:ENSMUSG00000096914.3 transcript:ENSMUST00000098757.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galntl6 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase-like 6 [Source:MGI Symbol;Acc:MGI:1913581] XHLKDKLEDYMARFSKVRIVRTKKREGLIRTRLLGASVARGEVLTFLDSHCEVNVNWLPP LLNQIALNHKTIVCPMIDVIDHNHFGYEAQAGDAMRGAFDWEMYYKRIPIPPELQRADPS DPFESPVMAGGLFAVDRKWFWELGGYDPGLEIWGGEQYEISFKVWMCGGEMFDVPCSRVG HIYRKYVPYKVPSGTSLARNLKRVAETWMDEFAEYIYQRRPEYRHLSTGDISAQKELRKR LKCKDFKWFMAAVAWDVPKYYPPVEPLPAAWGEIRSVAANLCVDSKHGATGTELRLDVCV KDGSERTWSHEQLFTFGWREDIRPGEPLHTRKFCLDAISHSSPVTLYDCHGMKGNQLWGY REDRTLFHPVSNSCMDCNPSEKKIFMARCDPLSETQQWIFEHINMTVLEKNSHYAIS >ENSMUSP00000104453.1 pep:known chromosome:GRCm38:11:58432559:58441622:1 gene:ENSMUSG00000058287.5 transcript:ENSMUST00000108825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12253 description:predicted gene 12253 [Source:MGI Symbol;Acc:MGI:3651568] MEVTMSWFLVLKSLLAVCIISHLSVSSVESYACIQEEPPFNKECYPNSSTCESSKGCFSL WLELGAHQTYVQKGCSEDSCTGLEFSVTVERHLALSYDHRCCYTEHCSKEPIKVPALSSE PNGVECPACFSECGTCRPVLLKCTGEETMCIKATARDIIKLQAMGCATKTACNLKNVTIT DNLKFDTSCVNGSPLLSSRLLLQHHACLPAATLPAMTVMELSSGTVSPQ >ENSMUSP00000136908.1 pep:known chromosome:GRCm38:4:72850583:72852632:-1 gene:ENSMUSG00000059343.4 transcript:ENSMUST00000179234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoart1 description:aldolase 1 A, retrogene 1 [Source:MGI Symbol;Acc:MGI:2447811] MPCPYPALTTEQKKELSDIAHRIVAPGKGILAADESIGSMGNRLQSIGTENTEENRRFFR QLLLTADDRVNPCIGGVILFHETLYEKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTN GETTTQGLDGLSERCAQYKKDGADFAKWRCVLKIGKHTPSPLAIMENANVLARYASICQQ NGIVPIVEPEILPDGDHDLSCCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTPGHAC TQKFSNEEIAMATVTALRRTVPPAVPGVTFLSGGQSEEEASINLNAINKCPLLKPWALTF SYGRALQASALKAWGGKEENLKAAQEEYIKRALANSLACQGKYTPSGKTGATASESLFIS NHAY >ENSMUSP00000077687.4 pep:known chromosome:GRCm38:4:72850583:72852634:-1 gene:ENSMUSG00000059343.4 transcript:ENSMUST00000078617.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldoart1 description:aldolase 1 A, retrogene 1 [Source:MGI Symbol;Acc:MGI:2447811] MATHRQDVSIFNMTRLSLAMAFSFPPDANEQPHSGLDNTHQQTKELGKESTTTGTMPCPY PALTTEQKKELSDIAHRIVAPGKGILAADESIGSMGNRLQSIGTENTEENRRFFRQLLLT ADDRVNPCIGGVILFHETLYEKADDGRPFPQVIKSKGGVVGIKVDKGVVPLAGTNGETTT QGLDGLSERCAQYKKDGADFAKWRCVLKIGKHTPSPLAIMENANVLARYASICQQNGIVP IVEPEILPDGDHDLSCCQYVTEKVLAAVYKALSDHHVYLEGTLLKPNMVTPGHACTQKFS NEEIAMATVTALRRTVPPAVPGVTFLSGGQSEEEASINLNAINKCPLLKPWALTFSYGRA LQASALKAWGGKEENLKAAQEEYIKRALANSLACQGKYTPSGKTGATASESLFISNHAY >ENSMUSP00000064878.4 pep:known chromosome:GRCm38:14:28504750:28527447:1 gene:ENSMUSG00000021994.14 transcript:ENSMUST00000063465.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt5a description:wingless-type MMTV integration site family, member 5A [Source:MGI Symbol;Acc:MGI:98958] MKKPIGILSPGVALGTAGGAMSSKFFLMALATFFSFAQVVIEANSWWSLGMNNPVQMSEV YIIGAQPLCSQLAGLSQGQKKLCHLYQDHMQYIGEGAKTGIKECQYQFRHRRWNCSTVDN TSVFGRVMQIGSRETAFTYAVSAAGVVNAMSRACREGELSTCGCSRAARPKDLPRDWLWG GCGDNIDYGYRFAKEFVDARERERIHAKGSYESARILMNLHNNEAGRRTVYNLADVACKC HGVSGSCSLKTCWLQLADFRKVGDALKEKYDSAAAMRLNSRGKLVQVNSRFNSPTTQDLV YIDPSPDYCVRNESTGSLGTQGRLCNKTSEGMDGCELMCCGRGYDQFKTVQTERCHCKFH WCCYVKCKKCTEIVDQFVCK >ENSMUSP00000107891.1 pep:known chromosome:GRCm38:14:28511405:28525434:1 gene:ENSMUSG00000021994.14 transcript:ENSMUST00000112272.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnt5a description:wingless-type MMTV integration site family, member 5A [Source:MGI Symbol;Acc:MGI:98958] MSSKFFLMALATFFSFAQVVIEANSWWSLGMNNPVQMSEVYIIGAQPLCSQLAGLSQGQK KLCHLYQDHMQYIGEGAKTGIKECQYQFRHRRWNCSTVDNTSVFGRVMQIGSRETAFTYA VSAAGVVNAMSRACREGELSTCGCSRAARPKDLPRDWLWGGCGDNIDYGYRFAKEFVDAR ERERIHAKGSYESARILMNLHNNEAGRRTVYNLADVACKCHGVSGSCSLKTCWLQLADFR KVGDALKEKYDSAAAMRLNSRGKLVQVNSRFNSPTTQDLVYIDPSPDYCVRNESTGSLGT QGRLCNKTSEGMDGCELMCCGRGYDQFKTVQTERCHCKFHWCCYVKCKKCTEIVDQFVCK >ENSMUSP00000122313.1 pep:known chromosome:GRCm38:8:14745329:14747427:-1 gene:ENSMUSG00000084945.1 transcript:ENSMUST00000155211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C030037F17Rik description:RIKEN cDNA C030037F17 gene [Source:MGI Symbol;Acc:MGI:1924725] MEHPLIVAWSTLLHTDGPTHDPVGLAAQASGNGKRGRRQVLLNFHNHAPRHTGHAIRETA ENNWISYTSIAYFPSGF >ENSMUSP00000119072.1 pep:known chromosome:GRCm38:19:46341121:46375252:1 gene:ENSMUSG00000025227.14 transcript:ENSMUST00000128041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem180 description:transmembrane protein 180 [Source:MGI Symbol;Acc:MGI:1922396] MGLDWPQAWLLGLPIAVVYGSLALFTSILHNVFLLYYVDTFVSVYKINKVSFWVGETVFL LWNSFNDPLFGWLSDRQLLSSQPRSGAGLSSRDVVLTRVRALGWHGPLLALSFLAFWVPW APAGLQFLLCLCLYDGFLTLVDLHHHALLADLALSSHDRTHLNFYCSLFSAAGSLSVFAS YAFWNKEDFSSFRAFCVVLAAGSGLGFLGTTQLLKRQIEATRRDRGCPGLDLDGGVCEEE PPVGGEEAGNITLGQYLRQLARHQNFLWFVGMDLVQVFHCHFNSNFFPLFLEHLLSDHIS LSTGSFLLGISYVAPHLNNLYFLPLCRRWGVYAVVRGLFLLKLSLSLLMLLAGPDHPGLL CFFIASNRVFTEGTCKLLTLVVTDLVDEDLVLNHRKQAASALLFGMVALVTKPGQTFAPL LGTWLLCFYTGHDLFQQSPMTPVGSVRPWPELPAPAPAPAQAPTLRQGCFYLLVFVPITC ALLQLFTWSQFTLHGRRLRTVKAQRQNLAQIHTLNIKMV >ENSMUSP00000084189.6 pep:known chromosome:GRCm38:19:46356880:46375252:1 gene:ENSMUSG00000025227.14 transcript:ENSMUST00000086969.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem180 description:transmembrane protein 180 [Source:MGI Symbol;Acc:MGI:1922396] MGLDWPQAWLLGLPIAVVYGSLALFTSILHNVFLLYYVDTFVSVYKINKVSFWVGETVFL LWNSFNDPLFGWLSDRQLLSSQPRSGAGLSSRDVVLTRVRALGWHGPLLALSFLAFWVPW APAGLQFLLCLCLYDGFLTLVDLHHHALLADLALSSHDRTHLNFYCSLFSAAGSLSVFAS YAFWNKEDFSSFRAFCVVLAAGSGLGFLGTTQLLKRQIEATRRDRGCPGLDLDGGVCEEE PPVGGEEAGNITLGQYLRQLARHQNFLWFVGMDLVQVFHCHFNSNFFPLFLEHLLSDHIS LSTGSFLLGISYVAPHLNNLYFLPLCRRWGVYAVVRGLFLLKLSLSLLMLLAGPDHPGLL CFFIASNRVFTEGTCKLLTLVVTDLVDEDLVLNHRKQAASALLFGMVALVTKPGQTFAPL LGTWLLCFYTGHDLFQQSPMTPVGSVRPWPELPAPAPAPAQAPTLRQGCFYLLVFVPITC ALLQLFTWSQFTLHGRRLRTVKAQRQNLAQIHTLNIKMV >ENSMUSP00000122944.1 pep:known chromosome:GRCm38:19:46356935:46375252:1 gene:ENSMUSG00000025227.14 transcript:ENSMUST00000142994.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem180 description:transmembrane protein 180 [Source:MGI Symbol;Acc:MGI:1922396] MGLDWPQAWLLGLPIAVVYGSLALFTSILHNVFLLYYVDTFVSVYKINKVSFWVGETVFL LWNSFNDPLFGWLSDRQLLSSQPRSGAGLSSRDVVLTRVRALGWHGPLLALSFLAFWVPW APAGLQFLLCLCLYDGFLTLVDLHHHALLADLALSSHDRTHLNFYCSLFSAAGSLSVFAS YAFWNKEDFSSFRAFCVVLAAGSGLGFLGTTQLLKRQIEATRRDRGCPGLDLDGGVCEEE PPVGGEEAGNITLGQYLRQLARHQNFLWFVGMDLVQVFHCHFNSNFFPLFLEHLLSDHIS LSTGSFLLATVSSLRVPVSC >ENSMUSP00000119162.1 pep:known chromosome:GRCm38:19:46356939:46367978:1 gene:ENSMUSG00000025227.14 transcript:ENSMUST00000128455.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem180 description:transmembrane protein 180 [Source:MGI Symbol;Acc:MGI:1922396] MPCWPTWRSHPTTAPTSTFTAPSSVQLA >ENSMUSP00000063665.3 pep:known chromosome:GRCm38:11:58446149:58452923:-1 gene:ENSMUSG00000052642.3 transcript:ENSMUST00000064614.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930504O13Rik description:RIKEN cDNA 4930504O13 gene [Source:MGI Symbol;Acc:MGI:2685370] MHPATPSRLLLVCSLAFMPFSTDPWEITMNEIEEKEVDEFNSSGLKCPTCFTVKGRECSP ELKWCSTDKIKCVELSGIINTGVNNVPIQLMKCITIEQCKDTATAYMGFTISNKSEICKS AIRNGARVRPPTPIFFVLFLKKLLH >ENSMUSP00000038069.7 pep:known chromosome:GRCm38:17:34026033:34028056:-1 gene:ENSMUSG00000073422.10 transcript:ENSMUST00000045467.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Ke6 description:H2-K region expressed gene 6 [Source:MGI Symbol;Acc:MGI:95911] MASQLRLRSALALVTGAGSGIGRAISVRLAAEGAAVAACDLDGAAAQDTVRLLGSPGSED GAPRGKHAAFQADVSQGPAARRLLEEVQACFSRPPSVVVSCAGITRDEFLLHMSEEDWDR VIAVNLKGTFLVTQAAAQALVSSGGRGSIINISSIIGKVGNIGQTNYASSKAGVIGLTQT AARELGRHGIRCNSVLPGFIATPMTQKMPEKVKDKVTAMIPLGHMGDPEDVADVVAFLAS EDSGYITGASVEVSGGLFM >ENSMUSP00000133546.1 pep:known chromosome:GRCm38:17:34026669:34028060:-1 gene:ENSMUSG00000073422.10 transcript:ENSMUST00000114303.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Ke6 description:H2-K region expressed gene 6 [Source:MGI Symbol;Acc:MGI:95911] MASQLRLRSALALVTGSGVPSQGAGSGIGRAISVRLAAEGAAVAACDLDGAAAQDTVRLL GSPGSEDGAPRGKHAAFQADVSQGPAARRLLEEVQACFSRPPSVVVSCAGITRDEFLLHM SEEDWDRVIAVNLKGTFLVTQAAAQALVSSGGRGSIINISSIIGKVGNIGQTNYASSKAG VIGLTQTAARELGRHGIRCNSVLPGFIATPMTQKMPEKVKDKVTAMIPLGHMGD >ENSMUSP00000131717.1 pep:known chromosome:GRCm38:8:58911755:58914298:1 gene:ENSMUSG00000049946.7 transcript:ENSMUST00000062978.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC030500 description:cDNA sequence BC030500 [Source:MGI Symbol;Acc:MGI:2447770] MSSVTGLYRETGSSLSSPERRQARNSGVQVALSGRAMEEFLHGPDGIAARKARQRQRAEA TQSGLVPERRVSPEPTAQARYVAWKEKEDSHAAWTALLAAASRQHCGEQRQLSGSRPAPG AQV >ENSMUSP00000130369.1 pep:known chromosome:GRCm38:8:58912257:58913196:1 gene:ENSMUSG00000049946.7 transcript:ENSMUST00000160055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC030500 description:cDNA sequence BC030500 [Source:MGI Symbol;Acc:MGI:2447770] MRASEKCALYPSISPPSSADALTLHCSCYHSFPATERTQRSITSTPRAVFLISTSRQGTD GRRRMSSVTGLYRQTGSSLSSPERRQARNSGVQVALSGRAMEEFLHGPDGIAARKARQRQ RAEATQSGLVPERRVSPEPTAQARYVAWKEKEDSHAAWTALLAAASRQHCGEQRQLSGSR PAPGA >ENSMUSP00000076433.6 pep:known chromosome:GRCm38:7:24587543:24608925:1 gene:ENSMUSG00000064254.6 transcript:ENSMUST00000077191.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ethe1 description:ethylmalonic encephalopathy 1 [Source:MGI Symbol;Acc:MGI:1913321] MASAVVRVAGRRLSQQSASGAPVLLRQMFEPKSCTYTYLLGDRESREAVLIDPVLETAHR DAQLIKELGLKLLYAVNTHCHADHITGTGVLRSLLPGCQSVISRLSGAQADLHIGEGDSI RFGRFALETRASPGHTPGCVTFVLNDQSMAFTGDALLIRGCGRTDFQQGCAKTLYHSVHE KIFTLPGNCLIYPAHDYHGLTVSTVEEERTLNPRLTLSCEEFIKVMDNLNLPKPQQIDIA VPANMRCGVQTPPS >ENSMUSP00000023123.8 pep:known chromosome:GRCm38:15:97975602:98004695:-1 gene:ENSMUSG00000022483.16 transcript:ENSMUST00000023123.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col2a1 description:collagen, type II, alpha 1 [Source:MGI Symbol;Acc:MGI:88452] MIRLGAPQSLVLLTLLIAAVLRCQGQDAQEAGSCLQNGQRYKDKDVWKPSSCRICVCDTG NVLCDDIICEDPDCLNPEIPFGECCPICPADLATASGKLGPKGQKGEPGDIRDIIGPRGP PGPQGPAGEQGPRGDRGDKGEKGAPGPRGRDGEPGTPGNPGPAGPPGPPGPPGLSAGNFA AQMAGGYDEKAGGAQMGVMQGPMGPMGPRGPPGPAGAPGPQGFQGNPGEPGEPGVSGPMG PRGPPGPAGKPGDDGEAGKPGKSGERGLPGPQGARGFPGTPGLPGVKGHRGYPGLDGAKG EAGAPGVKGESGSPGENGSPGPMGPRGLPGERGRTGPAGAAGARGNDGQPGPAGPPGPVG PAGGPGFPGAPGAKGEAGPTGARGPEGAQGSRGEPGNPGSPGPAGASGNPGTDGIPGAKG SAGAPGIAGAPGFPGPRGPPGPQGATGPLGPKGQAGEPGIAGFKGDQGPKGETGPAGPQG APGPAGEEGKRGARGEPGGAGPIGPPGERGAPGNRGFPGQDGLAGPKGAPGERGPSGLTG PKGANGDPGRPGEPGLPGARGLTGRPGDAGPQGKVGPSGAPGEDGRPGPPGPQGARGQPG VMGFPGPKGANGEPGKAGEKGLAGAPGLRGLPGKDGETGAAGPPGPSGPAGERGEQGAPG PSGFQGLPGPPGPPGEGGKQGDQGIPGEAGAPGLVGPRGERGFPGERGSPGAQGLQGPRG LPGTPGTDGPKGAAGPDGPPGAQGPPGLQGMPGERGAAGIAGPKGDRGDVGEKGPEGAPG KDGGRGLTGPIGPPGPAGANGEKGEVGPPGPSGSTGARGAPGERGETGPPGPAGFAGPPG ADGQPGAKGDQGEAGQKGDAGAPGPQGPSGAPGPQGPTGVTGPKGARGAQGPPGATGFPG AAGRVGPPGANGNPGPAGPPGPAGKDGPKGVRGDSGPPGRAGDPGLQGPAGAPGEKGEPG DDGPSGLDGPPGPQGLAGQRGIVGLPGQRGERGFPGLPGPSGEPGKQGAPGASGDRGPPG PVGPPGLTGPAGEPGREGSPGADGPPGRDGAAGVKGDRGETGALGAPGAPGPPGSPGPAG PTGKQGDRGEAGAQGPMGPSGPAGARGIAGPQGPRGDKGESGEQGERGLKGHRGFTGLQG LPGPPGPSGDQGASGPAGPSGPRGPPGPVGPSGKDGSNGIPGPIGPPGPRGRSGETGPVG PPGSPGPPGPPGPPGPGIDMSAFAGLGQREKGPDPMQYMRADEADSTLRQHDVEVDATLK SLNNQIESIRSPDGSRKNPARTCQDLKLCHPEWKSGDYWIDPNQGCTLDAMKVFCNMETG ETCVYPNPATVPRKNWWSSKSKEKKHIWFGETMNGGFHFSYGDGNLAPNTANVQMTFLRL LSTEGSQNITYHCKNSIAYLDEAAGNLKKALLIQGSNDVEMRAEGNSRFTYTALKDGCTK HTGKWGKTVIEYRSQKTSRLPIIDIAPMDIGGAEQEFGVDIGPVCFL >ENSMUSP00000085693.5 pep:known chromosome:GRCm38:15:97975602:98004657:-1 gene:ENSMUSG00000022483.16 transcript:ENSMUST00000088355.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col2a1 description:collagen, type II, alpha 1 [Source:MGI Symbol;Acc:MGI:88452] MIRLGAPQSLVLLTLLIAAVLRCQGQDARKLGPKGQKGEPGDIRDIIGPRGPPGPQGPAG EQGPRGDRGDKGEKGAPGPRGRDGEPGTPGNPGPAGPPGPPGPPGLSAGNFAAQMAGGYD EKAGGAQMGVMQGPMGPMGPRGPPGPAGAPGPQGFQGNPGEPGEPGVSGPMGPRGPPGPA GKPGDDGEAGKPGKSGERGLPGPQGARGFPGTPGLPGVKGHRGYPGLDGAKGEAGAPGVK GESGSPGENGSPGPMGPRGLPGERGRTGPAGAAGARGNDGQPGPAGPPGPVGPAGGPGFP GAPGAKGEAGPTGARGPEGAQGSRGEPGNPGSPGPAGASGNPGTDGIPGAKGSAGAPGIA GAPGFPGPRGPPGPQGATGPLGPKGQAGEPGIAGFKGDQGPKGETGPAGPQGAPGPAGEE GKRGARGEPGGAGPIGPPGERGAPGNRGFPGQDGLAGPKGAPGERGPSGLTGPKGANGDP GRPGEPGLPGARGLTGRPGDAGPQGKVGPSGAPGEDGRPGPPGPQGARGQPGVMGFPGPK GANGEPGKAGEKGLAGAPGLRGLPGKDGETGAAGPPGPSGPAGERGEQGAPGPSGFQGLP GPPGPPGEGGKQGDQGIPGEAGAPGLVGPRGERGFPGERGSPGAQGLQGPRGLPGTPGTD GPKGAAGPDGPPGAQGPPGLQGMPGERGAAGIAGPKGDRGDVGEKGPEGAPGKDGGRGLT GPIGPPGPAGANGEKGEVGPPGPSGSTGARGAPGERGETGPPGPAGFAGPPGADGQPGAK GDQGEAGQKGDAGAPGPQGPSGAPGPQGPTGVTGPKGARGAQGPPGATGFPGAAGRVGPP GANGNPGPAGPPGPAGKDGPKGVRGDSGPPGRAGDPGLQGPAGAPGEKGEPGDDGPSGLD GPPGPQGLAGQRGIVGLPGQRGERGFPGLPGPSGEPGKQGAPGASGDRGPPGPVGPPGLT GPAGEPGREGSPGADGPPGRDGAAGVKGDRGETGALGAPGAPGPPGSPGPAGPTGKQGDR GEAGAQGPMGPSGPAGARGIAGPQGPRGDKGESGEQGERGLKGHRGFTGLQGLPGPPGPS GDQGASGPAGPSGPRGPPGPVGPSGKDGSNGIPGPIGPPGPRGRSGETGPVGPPGSPGPP GPPGPPGPGIDMSAFAGLGQREKGPDPMQYMRADEADSTLRQHDVEVDATLKSLNNQIES IRSPDGSRKNPARTCQDLKLCHPEWKSGDYWIDPNQGCTLDAMKVFCNMETGETCVYPNP ATVPRKNWWSSKSKEKKHIWFGETMNGGFHFSYGDGNLAPNTANVQMTFLRLLSTEGSQN ITYHCKNSIAYLDEAAGNLKKALLIQGSNDVEMRAEGNSRFTYTALKDGCTKHTGKWGKT VIEYRSQKTSRLPIIDIAPMDIGGAEQEFGVDIGPVCFL >ENSMUSP00000116951.1 pep:known chromosome:GRCm38:15:97998578:98004635:-1 gene:ENSMUSG00000022483.16 transcript:ENSMUST00000131560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Col2a1 description:collagen, type II, alpha 1 [Source:MGI Symbol;Acc:MGI:88452] MIRLGAPQSLVLLTLLIAAVLRCQGQDAQEAGSCLQNGQRYKDKDVWKPSSCRICVCDTG NVLCDDIICEDPDCLNPEIPFGECCPICPADLATASGRKLGPKGQKGEPGDIRDIIGPRG PPGPQGPAGEQG >ENSMUSP00000109240.2 pep:known chromosome:GRCm38:X:102706890:102707635:-1 gene:ENSMUSG00000079479.2 transcript:ENSMUST00000113610.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9112 description:predicted gene 9112 [Source:MGI Symbol;Acc:MGI:3645559] MNLVPYFPPSGQNVRNIIHYTQDSVTFAHTMENSSSSPRETREPAPPTRSWRGRLQRALA RLSKFFRRGRCTTRG >ENSMUSP00000050942.4 pep:known chromosome:GRCm38:13:21142018:21143081:-1 gene:ENSMUSG00000045474.5 transcript:ENSMUST00000055298.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1368 description:olfactory receptor 1368 [Source:MGI Symbol;Acc:MGI:3031202] MEINNKSSETDFILLGFSSRPQLEHIISAVVFVFYIVTLVGNTTIILVSYLDSQLHTPMY FFLSNLSFVDLCYTTSIVPQMLVNLWGPKKSITYGGCVLQFFFALDLGATECLLLAVMAY DRYAAVCQPLHYTVIMHPVLCQKMVLSAWLGGLGSALILCSLTLKLPRCGHREVDNFFCE MPALIKMACVYSRVIEIVVFTLGVIFLLVPLSLILISYAVITQAVMKIKSATRWRKVLNT CGSHLTVVTLFYGTLIYMYMKPQNTISHEEGQFFTLFYTIVTPSLNPLIYTLRNKDVKNA VKRILGMDKHSGKV >ENSMUSP00000146189.1 pep:known chromosome:GRCm38:13:21142644:21145867:-1 gene:ENSMUSG00000045474.5 transcript:ENSMUST00000206267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1368 description:olfactory receptor 1368 [Source:MGI Symbol;Acc:MGI:3031202] MEINNKSSETDFILLGFSSRPQLEHIISAVVFVFYIVTLVGNTTIILVSYLDSQLHTPMY FFLSNLSFVDLCYTTSIVPQMLVNLWGPKKSITYGGCVLQFFFALDLGATECLLLAVMAY DRYAAVCQPLHYTVIMH >ENSMUSP00000092294.2 pep:known chromosome:GRCm38:7:24583838:24587641:-1 gene:ENSMUSG00000066721.3 transcript:ENSMUST00000094705.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp575 description:zinc finger protein 575 [Source:MGI Symbol;Acc:MGI:2141921] MLGGSVKSEVRASEPSPTCQDPETKAPHQDLPRPNQPAASGSVPSRPRRRPPPQRPHRCP DCPKAFSYPSKLATHRLAHGGTRPHPCPDCPKAFSYPSKLAAHRLTHSGARPHSCPHCPK AFGHRSKLAAHLWTHAPARPYPCPDCPKSFCYPSKLAAHRHTHHATDARPYPCPHCPKAF SFPSKLAAHRLCHDPPTAPSSQATGSHRCSSCNQAFGQRRLLLVHQRSHHQSEGQGERE >ENSMUSP00000080020.5 pep:known chromosome:GRCm38:11:44617317:45008091:1 gene:ENSMUSG00000057098.14 transcript:ENSMUST00000081265.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf1 description:early B cell factor 1 [Source:MGI Symbol;Acc:MGI:95275] MFGIQESIQRSGSSMKEEPLGSGMNAVRTWMQGAGVLDANTAAQSGVGLARAHFEKQPPS NLRKSNFFHFVLALYDRQGQPVEIERTAFVGFVEKEKEANSEKTNNGIHYRLQLLYSNGI RTEQDFYVRLIDSMTKQAIVYEGQDKNPEMCRVLLTHEIMCSRCCDKKSCGNRNETPSDP VIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVNVDGHVLAVSDNMFVHNNSKH GRRARRLDPSEGTPSYLEHATPCIKAISPSEGWTTGGATVIIIGDNFFDGLQVIFGTMLV WSELITPHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGTPGRFIYTALNEPTIDYGFQRLQ KVIPRHPGDPERLPKEVILKRAADLVEALYGMPHNNQEIILKRAADIAEALYSVPRNHNQ LPALANTSVHAGMMGVNSFSGQLAVNVSEASQATNQGFTRNSSSVSPHGYVPSTTPQQTN YNSVTTSMNGYGSAAMSNLGGSPTFLNGSAANSPYAIVPSSPTMASSTSLPSNCSSSSGI FSFSPANMVSAVKQKSAFAPVVRPQTSPPPTCTSTNGNSLQAISGMIVPPM >ENSMUSP00000099857.2 pep:known chromosome:GRCm38:11:44617317:45005529:1 gene:ENSMUSG00000057098.14 transcript:ENSMUST00000101326.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf1 description:early B cell factor 1 [Source:MGI Symbol;Acc:MGI:95275] MFGIQESIQRSGSSMKEEPLGSGMNAVRTWMQGAGVLDANTAAQSGVGLARAHFEKQPPS NLRKSNFFHFVLALYDRQGQPVEIERTAFVGFVEKEKEANSEKTNNGIHYRLQLLYSNGI RTEQDFYVRLIDSMTKQAIVYEGQDKNPEMCRVLLTHEIMCSRCCDKKSCGNRNETPSDP VIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVNVDGHVLAVSDNMFVHNNSKH GRRARRLDPSEGTPSYLEHAATPCIKAISPSEGWTTGGATVIIIGDNFFDGLQVIFGTML VWSELITPHAIRVQTPPRHIPGVVEVTLSYKSKQFCKGTPGRFIYTALNEPTIDYGFQRL QKVIPRHPGDPERLPKEVILKRAADLVEALYGMPHNNQEIILKRAADIAEALYSVPRNHN QLPALANTSVHAGMMGVNSFSGQLAVNVSEASQATNQGFTRNSSSVSPHGYVPSTTPQQT NYNSVTTSMNGYGSAAMSNLGGSPTFLNGSAANSPYAIVPSSPTMASSTSLPSNCSSSSG IFSFSPANMVSAVKQKSAFAPVVRPQTSPPPTCTSTNGNSLQAISGMIVPPM >ENSMUSP00000104891.1 pep:known chromosome:GRCm38:11:44617317:45004995:1 gene:ENSMUSG00000057098.14 transcript:ENSMUST00000109268.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebf1 description:early B cell factor 1 [Source:MGI Symbol;Acc:MGI:95275] MFGIQESIQRSGSSMKEEPLGSGMNAVRTWMQGAGVLDANTAAQSGVGLARAHFEKQPPS NLRKSNFFHFVLALYDRQGQPVEIERTAFVGFVEKEKEANSEKTNNGIHYRLQLLYSNGI RTEQDFYVRLIDSMTKQAIVYEGQDKNPEMCRVLLTHEIMCSRCCDKKSCGNRNETPSDP VIIDRFFLKFFLKCNQNCLKNAGNPRDMRRFQVVVSTTVNVDGHVLAVSDNMFVHNNSKH GRRARRLDPSEAATPCIKAISPSEGWTTGGATVIIIGDNFFDGLQVIFGTMLVWSELITP HAIRVQTPPRHIPGVVEVTLSYKSKQFCKGTPGRFIYTALNEPTIDYGFQRLQKVIPRHP GDPERLPKEVILKRAADLVEALYGMPHNNQEIILKRAADIAEALYSVPRNHNQLPALANT SVHAGMMGVNSFSGQLAVNVSEASQATNQGFTRNSSSVSPHGYVPSTTPQQTNYNSVTTS MNGYGSAAMSNLGGSPTFLNGSAANSPYAIVPSSPTMASSTSLPSNCSSSSGIFSFSPAN MVSAVKQKSAFAPVVRPQTSPPPTCTSTNGNSLQAISGMIVPPM >ENSMUSP00000055961.3 pep:known chromosome:GRCm38:11:58454915:58455980:-1 gene:ENSMUSG00000043314.5 transcript:ENSMUST00000055204.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr30 description:olfactory receptor 30 [Source:MGI Symbol;Acc:MGI:109305] MGSEHWNYSTAGFVLTSLFNNSQTHLFLFSMVMLVYILAMAGNTAMVLLIWMDTRLHTPM YFLLSQLSFLDIFFTSVTVPKMIVGFLFGWTSISFGGCGAQMFFFMFLGAAECLLLALMA YDRYVAICNPLRYPVLMSRRVCLLMVVASWLGGSLNASIQTSLTLQFPYCGSRKISHFFC EVPSLLMLACADTEAYKQVLFVTGVVVLLVPITFITASYALILAAVLRMHSVEGRQKALA TCSSHLTVVNLFYGPLVYTYMLPASYHSPGQDDVVSVFYTVLTPMLNPVIYSLRNKEVTG AMKKAMRRCGVNRNA >ENSMUSP00000133899.1 pep:known chromosome:GRCm38:7:42473386:42505726:-1 gene:ENSMUSG00000092416.2 transcript:ENSMUST00000174407.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp141 description:zinc finger protein 141 [Source:MGI Symbol;Acc:MGI:3584269] MGGHQVLQLPKDRTNGLLTFKDVAIEFSKEEWECLDSAQRTLYRDVMLEIYSILVSVGLS ISKPELLTYLEQNEEPYIAKGIEPVLSCFHNKELFKIESKQESAQREGPGQFGSSRLGKL HVNLPNDMDCNVDQHKTVYENPVGRESHHWLGISEYCHRYQEDNKGLTTDFALHNNHNSQ FTEISYQGNDSWNIYKQDVTPSEGQISNCSLENCYNNDRCRGVSGQCTQSPLYQQDYSQE KLSTSSQQYKIANECSNCSQCTEIKARREFNRQRYDKYSKVSVESPSIDRDKVNDIQRKP CLFMGSDKSFNFYLNMTQNDSSHTREKPQKYKEYRLEITSPSKLVGHPRSFVQQKYRKSG KCSRSSSDLSRLKMLQPGGTPCKSTECGKSFSQFSGYRENGTIHTGEKPYKCKICKRSFT TGSYLQAHQRIHTGEKPYKCKECGKSFTHGYSLRIHHRFHTGEKPYKCKDCGRSFAEGSS LKSHHRIHTGEKPYKCKECGKSFAKQSNFETHSRIHTGDRPYKCTDCGKSFTRSFCLRKH HKTHTGEKAYKCEECGKAFTQRSTLKTHYRIHTGEKPYKCNECGKSFTEGSTLKTHLKIH TGEKPYKCKECGKSFAEASTLKTHHRIHTGEKPYKCTDCGKSFTQSSHLQSHCRIHTGEK PYKCKECGKSFAKDSSLQKHHRIHS >ENSMUSP00000145574.1 pep:known chromosome:GRCm38:7:42477185:42505740:-1 gene:ENSMUSG00000092416.2 transcript:ENSMUST00000173489.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp141 description:zinc finger protein 141 [Source:MGI Symbol;Acc:MGI:3584269] MGGHQVLQLPKDRTNGLLTFKDVAIEFSKEEWECLDSAQRTLYRDVMLEIYSILVSVGLS ISKPELLTYLEQNEEPYIAKGIEPVLSCFHNKEL >ENSMUSP00000019878.7 pep:known chromosome:GRCm38:7:3660098:3665840:-1 gene:ENSMUSG00000078813.3 transcript:ENSMUST00000019878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Leng1 description:leukocyte receptor cluster (LRC) member 1 [Source:MGI Symbol;Acc:MGI:1917007] MNILPKKSWHVRNKDNVARVRRDEAQAREEEKERERRVLLAQQEARTEFLRKKARQRNSV PELEAADPGAPSSGPVDLFRELLEERKGVPRGNKEHEEEKRREKERQEKALGILTYLGQS AAEAQTQPPWYQLPPGQKDCCPPGPSPDEKIKNRLDPLKEMQKHLAKKRHSSESRPSREE RPQKQRPREPPSLEKLRAERLQREAAERARAEALLARVQGQVSQQGQVEAEETDERRRRY NSQFNPQLARRPRQQNPTPAH >ENSMUSP00000141201.1 pep:known chromosome:GRCm38:3:93197278:93202110:1 gene:ENSMUSG00000049133.6 transcript:ENSMUST00000194707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg2 description:filaggrin family member 2 [Source:MGI Symbol;Acc:MGI:3645678] MHMLDRDHDRRLDFTEFILMIFKLALACNKVLGKEYCKASGSKKHRRGHQHQEEESETEE EEETPRQKSGFRFSSWSEGEEHGHSSGGSRGPAKHRRGSNSKRLERQDELSSSEESRKKH HGSIFGHSWSSNKEKDGSRSEELGEKGDKSYDSPSRESEEEYESGYRLNHQGREGHSGLS CGLEKNKYELNYIQLRKGGEQKLGYNTSSSGNSKIQSHVYGFSNSSGCCRPKNASSSCQA SRSQGQGNQSCRTQSNCQSGTSGGQGYGCVSEGQSSRCCQPKPRSCSQSSSQRGYGSKQC GQPQNCGRQQRMGSSHSSCCGPYGSGATQSSGCGQQRMSSCGHSSSSHQKGCSSNGFSKG DQRASGSGHSSCCEQHGTNSSQSSGFKQHGHESGQSCCGQHGTASSQSSGYSQHRVGSGQ SCHY >ENSMUSP00000141643.1 pep:known chromosome:GRCm38:3:93219841:93221391:1 gene:ENSMUSG00000049133.6 transcript:ENSMUST00000194443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg2 description:filaggrin family member 2 [Source:MGI Symbol;Acc:MGI:3645678] XSKKSSRLSGHYGRNHFQSTISGNQYDSSQSSRHGSYGPQDYDYGQSGYGPSGRLRSNSQ SSIPFSSAHRATNMEVLPCGQSFSPSDHVGTKANEQIGELVFKYRESETGPDQSVDYYNL TESNSTTRGHECSHGHSVVVPEHSDDSDFNYGHSYNGKQQICQSQPTVQSCFDDSQYILF QKHLESPSFGNQSGFSPNERQLYTCNESIDSYHLSSDSNNRNQIYSSNNSFPNLYCIGTE QCIYLPSATILGEGTEGQEPGYTQPGTICKYNQFLDGRKSRTRGNHETGKMKSGSAYLDS NTPLYTYVQEQKSYYFE >ENSMUSP00000096482.3 pep:known chromosome:GRCm38:3:93200210:93220793:1 gene:ENSMUSG00000049133.6 transcript:ENSMUST00000098884.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg2 description:filaggrin family member 2 [Source:MGI Symbol;Acc:MGI:3645678] MAYLLRSVVTIIDVFYKYTKQDEECGTLSKDELKELLEKEFRPILKNPDDPDTVDVIMHM LDRDHDRRLDFTEFILMIFKLALACNKVLGKEYCKASGSKKHRRGHQHQEEESETEEEEE TPRQKSGFRFSSWSEGEEHGHSSGGSRGPAKHRRGSNSKRLERQDELSSSEESRKKHHGS IFGHSWSSNKEKDGSRSEELGEKGDKSYDSPSRESEEEYESGYRLNHQGREGHSGLSCGL EKNKYELNYIQLRKGGEQKLGYNTSSSGNSKIQSHVYGFSNSSGCCRPKNASSSCQASRS QGQGNQSCRTQSNCQSGTSGGQGYGCVSEGQSSRCCQPKPRSCSQSSSQRGYGSKQCGQP QNCGRQQRMGSSHSSCCGPYGSGATQSSGCGQQRMSSCGHSSSSHQKGCSSNGFSKGDQR ASGSGHSSCCEQHGTNSSQSSGFKQHGHESGQSCCGQHGTASSQSSGYSQHRVGSGQSCH YGQHGSSSGQSSSSGRHGSGSGQSSSSRHNRSGSSQSSGLEEHGSSSHQSHSSGHHGSGS RQSSGSEQHGAVSGQSSGSGKHETGPSQSSSSGHHGSGSQQHGGGSGQSTGFGEHESSSG HSSSSGQHRSGSRHSSGSGKHESGRSQSSGSGHHGSGSQQHGGGSGNSTGFGEHGSSSHP LPSSGQNESSSGQSSRSERHGTGSGQSSGFGQHGSGSHQSSSSGHNEYGSGQTSSSWPHG KGSGQESGYGEQESGHGQSSSSWQHGTGPGQSSSSEEEESRPGQSSSSWQHGKGSGQESG YGEQEAGHGQSSSSWQHGTGAGNQSSGYGEHKSGPSHSSRSWHHGTGSGQSLGFGQHGKG SHQSESSGHYESVSEPSSSSWQHGNGSGESYGYGEHESGHGQSSSAWNHGNESGQSNGFG ENESGPDQEGYQQRESFHGQHRHPLSQHEQHSQFGYGRSPRSPVHPESSEGEEHSVVPRR YSGYGHGQGQAGHQQRESGYGQRGRPQGPSQDSSRQPQAGHGQPSQSGYGRSPRRSQVHP EYSEGEAHSEVSQRHSGSSHCHSQGQGQARHQQRESVHGQRGRPQGPSQDSSRQPQAGQG QPSQSGSGRSPRRSPVHPESSEGEEHSVVPQRHSGSGHGHGQGQGQAGHQQRESVHGQRG RPQGPSQDSSRQPQAGQGQPSQSGSGRSPRRSPVHPESSEGEEHSVVPQRHSGSGHGHGQ GQGQGQAGHQQRESVHGQRSRPQGPFQDSSRQPQAGQGQPSQSSSGRSPRRSPVHPESSE GEEHSVVPQRHSGSGHGHGQGQGQAGHQQRESVHGQPVRPEVPTQDSSRQPQADQGQPSQ SGSGRSQRRSPVHPESSEGEEHSVVPQRNSESCHCHCHDQAGHQQRESVHGQRGRPEGPS QDSSRHPQAGQGQPSQSGSGRSPRSSPVHPESSEGEEHSVVPQRHSGSGHGHGQGQGQAG HQQRESVHGQRGRPQGPTQDSSRKPQAGQGQPSQSGSGRSPRRSPVHPESSEGEEHSVVP QRHSGSGHGHGHGQGQGQAGHQQRESVHGQRGRPQGPSQDSSRQPQAGQGQPSQSGSGRS PGRSPVHPESSEGEEHSVVPQRHSGSGHGYGQGQAGHQQRESVHGQRGRPQGPSQDSSRQ PQAGQGQPSQSGSGRSPRRSPVHPESSEDEEHSVIPQRHSGSGHSHGQGQVHAEHQQRES VHGQRGRPQGPSQDSSRQPQAGQGQPSQSGSGRSPRRSPVHPESSEGEEHSVVPQRHSGS GHGHGHGQGQGQAGHQQRESVHGQRGRPQGPSQDSSRQPQAGQGQPLQSGSGRSPRRSPV HPESSEGEEHSVVPQRHSGSGHGHGQGQGQAGHQQRESVHGQRGRPQGPSQDSSRQPQAG QGQPSQSGSGRSPRRSPVHPESSEGEEHSVVPQRHSGSGHGHGQGQGQAGHQQRESVHGQ RGRPQGPSQDSSRQPQAGQGQPSQSSSGRSPRRSPVHPESSEGEEHSVVPQRHSGSGHGH GQGQGQAGHQQRESLHGQRGRSQSPFHPSHSIHWQSKCTISKKSSRLSGHYGRNHFQSTI SGNQYDSSQSSRHGSYGPQDYDYGQSGYGPSGRLRSNSQSSIPFSSAHRATNMEVLPCGQ SFSPSDHVGTKANEQIGELVFKYRESETGPDQSVDYYNLTESNSTTRGHECSHGHSVVVP EHSDDSDFNYGHSYNGKQQICQSQPTVQSCFDDSQYILFQKHLESPSFGNQSGFSPNERQ LYTCNESIDSYHLSSDSNNRNQIYSSNNSFPNLYCIGTEQCIYLPSATILGEGTEGQEPG YTQPGTICKYNQFLDGRKSRTRGNHETGKMKSGSAYLDSNTPLYTYVQEQKSYYFE >ENSMUSP00000020699.3 pep:known chromosome:GRCm38:11:4218225:4222409:1 gene:ENSMUSG00000020424.3 transcript:ENSMUST00000020699.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatsl3 description:GATS protein-like 3 [Source:MGI Symbol;Acc:MGI:1919212] MELHILEHRVRVLSIARPGLWLYTHPLIKLLFLPCRSRCKFFSLTETPEDYTLMVDEEGF KELPPSEFLQVAEATWLVMNVSHSGSVVQAAGVTKIARSVIAPLAEHHVSVLMLSTYQTD FILVREQDLSVVIHTLAQEFQIYREVGGEPVPVTGDDSSNGFPQIQHGPSPTVHPIQSPQ NRFCVLTLDPETLPAVATTLIDVLFYSHSVPKEAASGGPESTSIPFFAFSLIEGYISIVM DAEIQRKFPSDLLLTSSSGELWRMVRIGGQPLGFDECGIVAQIAGPLAAVDISAYYISTF NFDHALVPEDEIGCVIDILQRRQESQASKDP >ENSMUSP00000121561.1 pep:known chromosome:GRCm38:4:73401032:73403449:-1 gene:ENSMUSG00000066137.12 transcript:ENSMUST00000147434.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11487 description:predicted gene 11487 [Source:MGI Symbol;Acc:MGI:3650704] XWILYEILGHPRSQGGYVPGALYNWSGYHRPSSSSRTPMVMPSPVYQPWDYGMSGSSGQL PWNPSLIMSSQDSLVPRWDAWNATYPLPVQHVLPASLPGDNNFQLPLSSRD >ENSMUSP00000081520.4 pep:known chromosome:GRCm38:4:73401032:73405072:-1 gene:ENSMUSG00000066137.12 transcript:ENSMUST00000084480.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11487 description:predicted gene 11487 [Source:MGI Symbol;Acc:MGI:3650704] MQREDNRVQSVRNDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMLEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYDWILY EILGHPRSQGGYVPGPWFDGHGNPPASYAHSLCIGGAISPGPSFSPWTDPEIKIFLQEWQ VVEREFGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTLKDLHSTLSRERSRTVPL FSPYRDYLERIFDPKCQRVHVPGALYNWSGYHRPSSSSRTPMVMPSPVYQPWDYGMSGSS GQLPWNPSLIMSSQDSLVPRWDAWNATYPLPVQHVLPASLPGDNNFQLPLSSRD >ENSMUSP00000043853.8 pep:known chromosome:GRCm38:7:3665790:3677553:-1 gene:ENSMUSG00000019734.16 transcript:ENSMUST00000038743.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc4 description:transmembrane channel-like gene family 4 [Source:MGI Symbol;Acc:MGI:2669035] MEAWGQSPACSSSRKARTGPSLASVLNDLPSAATLRYRGPGVLPWGTLDEDDDEGGRSLQ AFAETAQMESHPSRELPWPMQARRAHRKSQATGQLASGSESRAAYWTRQLSRTKGKMKEG FQTIQPWAWTLKKIGGQFGAGTESYFSLLRFLLFLNLVASVIEICMKLIPTWLEGAPPGP PGPNISSPCGSYIPHTHGLVAFPTQLFNLLSGEGYLEWSPLFYGFYPPRSNLAITYLCSV FAISIIYLLCILRRSVSGLKETLLAESDILTSYSHRVFSAWNFGLCGDVHVRLRQRIILY ELQVDLEEAVVRRRAAEQTLSQRAKVWSMRALLNVLVLALLGAAFYGIYWATEYTLTLQE TPLVRQTPLFKLLVDYLPSIFISLFNFVLPPVFKFIASLEGYTQSRQIVLILLRTVFLRL ASLVFLLVSLWSQITCGGNMEAEGCKACGYNYKEIPCWETRLGQEMYKLVLFDLLMGLLV TLLVQFPRKILCGLCPGALGRLSGTLEFQVPDEVLGLIYAQTVVWVGSFFCPLLPLINTA KFLILFCLKKITLFSIYSPASRTFRASTANFFFPLVLLVGLAISAVPVLYSIFLIPPSKL CGPFRGKLSIWAQIPEAIESLPQTAQNFLYFLGTQAFTVPLLILSSILMMYTVALANCYG RLISELKRQIETEVQNKVFLAQRAVALSSRNGTS >ENSMUSP00000146134.1 pep:known chromosome:GRCm38:7:3665791:3666883:-1 gene:ENSMUSG00000019734.16 transcript:ENSMUST00000145034.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc4 description:transmembrane channel-like gene family 4 [Source:MGI Symbol;Acc:MGI:2669035] AQNFLYFLGTQAFTVPLLILSSILMMYTVALANCYGRLISELKRQIETVSQADFPGKGSM RL >ENSMUSP00000112541.1 pep:known chromosome:GRCm38:7:3665791:3676793:-1 gene:ENSMUSG00000019734.16 transcript:ENSMUST00000121743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmc4 description:transmembrane channel-like gene family 4 [Source:MGI Symbol;Acc:MGI:2669035] MESHPSRELPWPMQARRAHRKSQATGQLASGSESRAAYWTRQLSRTKGKMKEGFQTIQPW AWTLKKIGGQFGAGTESYFSLLRFLLFLNLVASVIEICMKLIPTWLEGAPPGPPGPNISS PCGSYIPHTHGLVAFPTQLFNLLSGEGYLEWSPLFYGFYPPRSNLAITYLCSVFAISIIY LLCILRRSVSGLKETLLAESDILTSYSHRVFSAWNFGLCGDVHVRLRQRIILYELQVDLE EAVVRRRAAEQTLSQRAKVWSMRALLNVLVLALLGAAFYGIYWATEYTLTLQETPLVRQT PLFKLLVDYLPSIFISLFNFVLPPVFKFIASLEGYTQSRQIVLILLRTVFLRLASLVFLL VSLWSQITCGGNMEAEGCKACGYNYKEIPCWETRLGQEMYKLVLFDLLMGLLVTLLVQFP RKILCGLCPGALGRLSGTLEFQVPDEVLGLIYAQTVVWVGSFFCPLLPLINTAKFLILFC LKKITLFSIYSPASRTFRASTANFFFPLVLLVGLAISAVPVLYSIFLIPPSKLCGPFRGK LSIWAQIPEAIESLPQTAQNFLYFLGTQAFTVPLLILSSILMMYTVALANCYGRLISELK RQIETEVQNKVFLAQRAVALSSRNGTS >ENSMUSP00000025186.8 pep:known chromosome:GRCm38:17:34028269:34031544:-1 gene:ENSMUSG00000024327.15 transcript:ENSMUST00000025186.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a7 description:solute carrier family 39 (zinc transporter), member 7 [Source:MGI Symbol;Acc:MGI:95909] MTMGLRAPHWVAVGLLTWAALGLLVAGHEGHGDLHKDVEEDFHGHSHGHSHEDFHHGHSH GHSHEDFHHGHGHTHESIWHGHAHSHDHGHSREELHHGHSHGHSHDSLHHGGHGHAHREH SHGTSREAGAPGIKHHLDTVTLWAYALGATVLISAAPFFVLFLIPVESNSPRHRSLLQIL LSFASGGLLGDAFLHLIPHALEPHSHHAPEQPGHGHSHSGQGPILSVGLWVLSGIVAFLV VEKFVRHVKGGHGHSHGHGDRHAHGDSHTHGDRHECSSKEKPSTEEEKEVGGLRKRRGGN TGPRDGPVKPQSPEEEKAGSDLRVSGYLNLAADLAHNFTDGLAIGASFRGGRGLGILTTM TVLLHEVPHEVGDFAILVQSGCSKKQAMRLQLVTAIGALAGTACALLTEGGAVDSDVAGG AGPGWVLPFTAGGFIYVATVSVLPELLREASPLQSLLEVLGLLGGVAMMVLIAHLE >ENSMUSP00000133146.1 pep:known chromosome:GRCm38:17:34030174:34031656:-1 gene:ENSMUSG00000024327.15 transcript:ENSMUST00000171872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a7 description:solute carrier family 39 (zinc transporter), member 7 [Source:MGI Symbol;Acc:MGI:95909] MTMGLRAPHWVAVGLLTWAALGLLVAGHEGHGDLHKDVEEDFHGHSHGHSHEDFHHGHSH GHSHEDFHHGHGHTHESIWHGHAHSHDHGHSREELHHGHSHGHSHDSLHHGGHGHAHREH SHGTSREAGAPGIKHHLDTVTLWAYALGATVLISAAPFFVLFLIPVESNSPRHRSLLQIL LSFASGGLLGDAFLHLIPHALEPHSHHAPEQPGHGHSHSGQGPILSVGLWVLSGIVAFLV VEKFVR >ENSMUSP00000130102.1 pep:known chromosome:GRCm38:17:34028267:34031690:-1 gene:ENSMUSG00000024327.15 transcript:ENSMUST00000169397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc39a7 description:solute carrier family 39 (zinc transporter), member 7 [Source:MGI Symbol;Acc:MGI:95909] MTMGLRAPHWVAVGLLTWAALGLLVAGHEGHGDLHKDVEEDFHGHSHGHSHEDFHHGHSH GHSHEDFHHGHGHTHESIWHGHAHSHDHGHSREELHHGHSHGHSHDSLHHGGHGHAHREH SHGTSREAGAPGIKHHLDTVTLWAYALGATVLISAAPFFVLFLIPVESNSPRHRSLLQIL LSFASGGLLGDAFLHLIPHALEPHSHHAPEQPGHGHSHSGQGPILSVGLWVLSGIVAFLV VEKFVRHVKGGHGHSHGHGDRHAHGDSHTHGDRHECSSKEKPSTEEEKEVGGLRKRRGGN TGPRDGPVKPQSPEEEKAGSDLRVSGYLNLAADLAHNFTDGLAIGASFRGGRGLGILTTM TVLLHEVPHEVGDFAILVQSGCSKKQAMRLQLVTAIGALAGTACALLTEGGAVDSDVAGG AGPGWVLPFTAGGFIYVATVSVLPELLREASPLQSLLEVLGLLGGVAMMVLIAHLE >ENSMUSP00000022195.9 pep:known chromosome:GRCm38:13:94875602:94885130:1 gene:ENSMUSG00000021685.11 transcript:ENSMUST00000022195.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otp description:orthopedia homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:99835] MLSHADLLDARLGMKDAAELLGHREAVKCRLGVGGSDPGGHPGDLAPNSDPVEGATLLPG EDITTVGSTPASLAVSAKDPDKQPGPQGGPNPSQAGQQQGQQKQKRHRTRFTPAQLNELE RSFAKTHYPDIFMREELALRIGLTESRVQVWFQNRRAKWKKRKKTTNVFRAPGTLLPTPG LPQFPSAAAAAAAAMGDSLCSFHANDTRWAAAAMPGVSQLPLPPALGRQQAMAQSLSQCS LAAGPPPNSMGLSNSLAGSNGAGLQSHLYQPAFPGMVPASLPGPSNVSGSPQLCSSPDSS DVWRGTSIASLRRKALEHTVSMSFT >ENSMUSP00000137895.1 pep:known chromosome:GRCm38:11:67774608:67789898:1 gene:ENSMUSG00000097886.2 transcript:ENSMUST00000181566.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsg1l2 description:GSG1-like 2 [Source:MGI Symbol;Acc:MGI:3650209] MDRARWQRMLTLLPICLALAFSLTAMGSSHWCEGIRRMTKPLCQDLLGGLNCIHFSRSSS STGSKHDDSQAVQYIWETGDDKFIQRRFHAGLWQSCEESLNSTGERCRCFLGIVPAEGQG VLWLSIGAEVLDIFLILTGVILLGFSMSCYSSGCSWLNVDASVAILMVLAGLLGMVAHMM YTTSFQITVNLGPEDWRPQTWDYGWSYCLAWGSFALCMAVSVATMSRYTTAQAEVPRAQK GGPYPQHNTPQPGPSRKVWQTGATPHPVGHIFTNVPGHPPPGTGGKVSMC >ENSMUSP00000145865.1 pep:known chromosome:GRCm38:11:67780943:67785314:1 gene:ENSMUSG00000097886.2 transcript:ENSMUST00000155317.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsg1l2 description:GSG1-like 2 [Source:MGI Symbol;Acc:MGI:3650209] MSCYSSGCSWLNVDASVAILMV >ENSMUSP00000024936.3 pep:known chromosome:GRCm38:17:23972126:23975230:-1 gene:ENSMUSG00000024124.9 transcript:ENSMUST00000024936.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss30 description:protease, serine 30 [Source:MGI Symbol;Acc:MGI:1353645] MESRARCIFLLLLQILTRARGDILPSVCGHSRDAGKIVGGQDALEGQWPWQVSLWITEDG HICGGSLIHEVWVLTAAHCFRRSLNPSFYHVKVGGLTLSLLEPHSTLVAVRNIFVHPTYL WADASSGDIALVQLDTPLRPSQFTPVCLPAAQTPLTPGTVCWVTGWGATQERDMASVLQE LAVPLLDSEDCEKMYHTQGSSLSGERIIQSDMLCAGYVEGQKDSCQGDSGGPLVCSINSS WTQVGITSWGIGCARPYRPGVYTRVPTYVDWIQRILAENHSDAYGYHSSASAAYQMLLPV LLAVALPGSL >ENSMUSP00000114293.1 pep:known chromosome:GRCm38:17:23972839:23974801:-1 gene:ENSMUSG00000024124.9 transcript:ENSMUST00000135549.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss30 description:protease, serine 30 [Source:MGI Symbol;Acc:MGI:1353645] MGNPPVVTSPKSYSQSQLQWLLSEGWALGSTPHPQWIVDGLTLRRSYAGFFYNGWARGDI LPSVCGHSRDAGKIVGGQDALEGQWPWQVSLWITEDGHICGGSLIHEVWVLTAAHCFRRS LNPSFYHVKVGGLTLSLLEPHSTLVAVRNIFVHPTYLWADASSGDIALVQLDTPLRPSQF TPVCLPAAQTPLTPGTVCWVTGWGATQERDMASVLQELAVPLLDSEDCEKMYHTQGSSLS GERIIQSDMLCAGYVEGQKDSCQGDSGGPLVCS >ENSMUSP00000117647.1 pep:known chromosome:GRCm38:17:23973090:23974678:-1 gene:ENSMUSG00000024124.9 transcript:ENSMUST00000151448.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prss30 description:protease, serine 30 [Source:MGI Symbol;Acc:MGI:1353645] MGNPPVVTSPKSYSQSQLQWLLSEGWALGSTPHPQWIVDGLTLRRSYAGFFYNGWARGDI LPSVCGHSRDAGKIVGGQDALEGQWPWQVSLWITEDGHICGGSLIHEVWVLTAAHCFRRS LNPSFYHVKVGGLTLSLLEPHSTLVAVRNIFVHPTYLWADASSGDIALVQLDTPLRPSQF TPVCLPAAQTPLTPGTVCWVTGWGATQERGAHS >ENSMUSP00000118063.1 pep:known chromosome:GRCm38:17:23973666:23975198:-1 gene:ENSMUSG00000024124.9 transcript:ENSMUST00000147626.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss30 description:protease, serine 30 [Source:MGI Symbol;Acc:MGI:1353645] MESRARCIFLLLLQILTRARGDILPSVAVRNIFVHPTYLWADASSGDIALVQLDTPLRPS Q >ENSMUSP00000121951.1 pep:known chromosome:GRCm38:15:75553045:75566648:-1 gene:ENSMUSG00000022577.16 transcript:ENSMUST00000126129.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ly6h description:lymphocyte antigen 6 complex, locus H [Source:MGI Symbol;Acc:MGI:1346030] MLPAAMKSLGLALLALLLCPSPAHGLWCQDCTLANSSHCAPKQCQPTDTVCASVRITDPS SSRKDHSVNKMCASSCDFVKRHFFSDYLMGFINSGILKVDVDCCEKDLCNGASVAGRSPW ALAGGLLLSLGPALLWAGP >ENSMUSP00000122061.1 pep:known chromosome:GRCm38:15:75564744:75567255:-1 gene:ENSMUSG00000022577.16 transcript:ENSMUST00000127095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6h description:lymphocyte antigen 6 complex, locus H [Source:MGI Symbol;Acc:MGI:1346030] MPAPQRTPACSPRASFRPYRSMLPAAMKSLGLALLALLLCPSPAHGLWCQDCTLANSSHC APKQCQPTDTVCASVRITDPSSSRKDHSVNKMCASSCDFVKRHFFSDYLMGFINSGILKV DVDCCEKDLCNGASVAGRSPWALAGGLLLSLGPALLWAGP >ENSMUSP00000023241.5 pep:known chromosome:GRCm38:15:75564747:75566657:-1 gene:ENSMUSG00000022577.16 transcript:ENSMUST00000023241.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6h description:lymphocyte antigen 6 complex, locus H [Source:MGI Symbol;Acc:MGI:1346030] MLPAAMKSLGLALLALLLCPSPAHGLWCQDCTLANSSHCAPKQCQPTDTVCASVRITDPS SSRKDHSVNKMCASSCDFVKRHFFSDYLMGFINSGILKVDVDCCEKDLCNGASVAGRSPW ALAGGLLLSLGPALLWAGP >ENSMUSP00000122878.1 pep:known chromosome:GRCm38:15:75565026:75567216:-1 gene:ENSMUSG00000022577.16 transcript:ENSMUST00000156032.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6h description:lymphocyte antigen 6 complex, locus H [Source:MGI Symbol;Acc:MGI:1346030] MPAPQRTPACSPRASFRPYRSMLPAAMKSLGLALLALLLCPSPAHGLWCQDCTLANSSHC APKQCQPTDTVCASVRITDPSSSRKDHSVNKMCASSCDFVKRHFFSDYLMGFINSGILKV DVDCCEKDLCNGASVAGRSPWALAGGLLLSLGPALLWAGP >ENSMUSP00000130781.1 pep:known chromosome:GRCm38:15:75551268:75566648:-1 gene:ENSMUSG00000022577.16 transcript:ENSMUST00000163116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6h description:lymphocyte antigen 6 complex, locus H [Source:MGI Symbol;Acc:MGI:1346030] MLPAAMKSLGLALLALLLCPSPAHGLWCQDCTLANSSHCAPKQCQPTDTVCASVRITDPS SSRKDHSVNKMCASSCDFVKRHFFSDYLMGFINSGILKVDVDCCEKDLCNGASVAGRSPW ALAGGLLLSLGPALLWAGP >ENSMUSP00000070646.8 pep:known chromosome:GRCm38:15:75564745:75566856:-1 gene:ENSMUSG00000022577.16 transcript:ENSMUST00000065417.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6h description:lymphocyte antigen 6 complex, locus H [Source:MGI Symbol;Acc:MGI:1346030] MLPAAMKSLGLALLALLLCPSPAHGLWCQDCTLANSSHCAPKQCQPTDTVCASVRITDPS SSRKDHSVNKMCASSCDFVKRHFFSDYLMGFINSGILKVDVDCCEKDLCNGASVAGRSPW ALAGGLLLSLGPALLWAGP >ENSMUSP00000108614.1 pep:known chromosome:GRCm38:X:140664956:140767715:1 gene:ENSMUSG00000000266.11 transcript:ENSMUST00000112990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid2 description:midline 2 [Source:MGI Symbol;Acc:MGI:1344333] METLESELTCPICLELFEDPLLLPCAHSLCFSCAHRILVSSCSSGESIEPITAFQCPTCR YVISLNHRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSESRRERTYRPSSAMSSERIACQ FCEQDPPRDAVKTCITCEVSYCDRCLRATHPNKKPFTSHRLVEPVSDTHLRGITCLDHEN EKVNMYCVSDDQLICALCKLVGRHRDHQVASLNDRFEKLKQTLEMNLTNLVKRNSELENQ MAKLIQICQQVEVNTAMHEAKLMEECDELVEIIQQRKQMIAVKIKETKVMKLRKLAQQVA NCRQCLERSTVLINQAEHILKENDQARFLQSAKNIAERVAMATASSQVLIPDINFNDAFE NFALDFSREKKLLEGLDYLTAPNPPSIREELCTASHDTITVHWISDDEFSISSYELQYTI FTGQANFISLYNSVDSWMIVPNIKQNHYTVHGLQSGTRYIFIVKAINQAGSRNSEPTRLK TNSQPFKLDPKMTHKKLKISNDGLQMEKDESSLKKSHTPERFSGTGCYGAAGNIFIDSGC HYWEVVMGSSTWYAIGIAYKSAPKNEWIGKNASSWVFSRCNSNFVVRHNNKEMLVDVPPQ LKRLGVLLDYDNNMLSFYDPANSLHLHTFDVTFILPVCPTFTIWNKSLMILSGLPAPDFI DYPERQECNCRPQESPYVSGMKACH >ENSMUSP00000108612.1 pep:known chromosome:GRCm38:X:140665072:140763995:1 gene:ENSMUSG00000000266.11 transcript:ENSMUST00000112988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid2 description:midline 2 [Source:MGI Symbol;Acc:MGI:1344333] METLESELTCPICLELFEDPLLLPCAHSLCFSCAHRILVSSCSSGESIEPITAFQCPTCR YVISLNHRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSESRRERTYRPSSAMSSERIACQ FCEQDPPRDAVKTCITCEVSYCDRCLRATHPNKKPFTSHRLVEPVSDTHLRGITCLDHEN EKVNMYCVSDDQLICALCKLVGRHRDHQVASLNDRFEKLKQTLEMNLTNLVKRNSELENQ MAKLIQICQQVEVNTAMHEAKLMEECDELVEIIQQRKQMIAVKIKETKVMKLRKLAQQVA NCRQCLERSTVLINQAEHILKENDQARFLQSAKNIAERVAMATASSQVLIPDINFNDAFE NFALDFSREKKLLEGLDYLTAPNPPSIREELCTASHDTITVHWISDDEFSISSYELQYTI FTGQANFISKSWCSWGLWPEIRKCKEAVSCSRLAGAPRGKYNSVDSWMIVPNIKQNHYTV HGLQSGTRYIFIVKAINQAGSRNSEPTRLKTNSQPFKLDPKMTHKKLKISNDGLQMEKDE SSLKKSHTPERFSGTGCYGAAGNIFIDSGCHYWEVVMGSSTWYAIGIAYKSAPKNEWIGK NASSWVFSRCNSNFVVRHNNKEMLVDVPPQLKRLGVLLDYDNNMLSFYDPANSLHLHTFD VTFILPVCPTFTIWNKSLMILSGLPAPDFIDYPERQECNCRPQESPYVSGMKACH >ENSMUSP00000123221.1 pep:known chromosome:GRCm38:X:140664599:140678708:1 gene:ENSMUSG00000000266.11 transcript:ENSMUST00000128809.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid2 description:midline 2 [Source:MGI Symbol;Acc:MGI:1344333] METLESELTCPICLELFEDPLLLPCAHSLCFSCAHRILVSSCSSGESIEPITAFQCPTCR YVISLNHRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSESRRERTYRPSSAMSSERIACQ FCEQDPPRDAVKTCITCEVSYCDRCLRATHPNKKPFTSHRLVEPVSDTHLRGITCLDHEN EKVNMYCVSDDQLICALCKLVGRHRDHQVASLNDRFEKL >ENSMUSP00000108617.1 pep:known chromosome:GRCm38:X:140678028:140767715:1 gene:ENSMUSG00000000266.11 transcript:ENSMUST00000112993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mid2 description:midline 2 [Source:MGI Symbol;Acc:MGI:1344333] METLESELTCPICLELFEDPLLLPCAHSLCFSCAHRILVSSCSSGESIEPITAFQCPTCR YVISLNHRGLDGLKRNVTLQNIIDRFQKASVSGPNSPSESRRERTYRPSSAMSSERIACQ FCEQDPPRDAVKTCITCEVSYCDRCLRATHPNKKPFTSHRLVEPVSDTHLRGITCLDHEN EKVNMYCVSDDQLICALCKLVGRHRDHQVASLNDRFEKLKQTLEMNLTNLVKRNSELENQ MAKLIQICQQVEVNTAMHEAKLMEECDELVEIIQQRKQMIAVKIKETKVMKLRKLAQQVA NCRQCLERSTVLINQAEHILKENDQARFLQSAKNIAERVAMATASSQVLIPDINFNDAFE NFALDFSREKKLLEGLDYLTAPNPPSIREELCTASHDTITVHWISDDEFSISSYELQYTI FTGQANFISLYNSVDSWMIVPNIKQNHYTVHGLQSGTRYIFIVKAINQAGSRNSEPTRLK TNSQPFKLDPKMTHKKLKISNDGLQMEKDESSLKKSHTPERFSGTGCYGAAGNIFIDSGC HYWEVVMGSSTWYAIGIAYKSAPKNEWIGKNASSWVFSRCNSNFVVRHNNKEMLVDVPPQ LKRLGVLLDYDNNMLSFYDPANSLHLHTFDVTFILPVCPTFTIWNKSLMILSGLPAPDFI DYPERQECNCRPQESPYVSGMKACH >ENSMUSP00000096153.4 pep:known chromosome:GRCm38:7:30957770:30973426:-1 gene:ENSMUSG00000001247.16 transcript:ENSMUST00000098553.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsr description:lipolysis stimulated lipoprotein receptor [Source:MGI Symbol;Acc:MGI:1927471] MAPAASACAGAPGSHPATTIFVCLFLIIYCPDRASAIQVTVPDPYHVVILFQPVTLHCTY QMSNTLTAPIVIWKYKSFCRDRVADAFSPASVDNQLNAQLAAGNPGYNPYVECQDSVRTV RVVATKQGNAVTLGDYYQGRRITITGNADLTFEQTAWGDSGVYYCSVVSAQDLDGNNEAY AELIVLVYAAGKAATSGVPSIYAPSIYTHLSPAKTPPPPPAMIPMRPPYGYPGDFDRTSS VGGHSSQVPLLREVDGSVSSEVRSGYRIQANQQDDSMRVLYYMEKELANFDPSRPGPPNG RVERAMSEVTSLHEDDWRSRPSRAPALTPIRDEEWNRHSPRSPRTWEQEPLQEQPRGGWG SGRPRARSVDALDDINRPGSTESGRSSPPSSGRRGRAYAPPRSRSRDDLYDPDDPRDLPH SRDPHYYDDLRSRDPRADPRSRQRSHDPRDAGFRSRDPQYDGRLLEEALKKKGAGERRRV YREEEEEEEEGHYPPAPPPYSETDSQASRERRMKKNLALSRESLVV >ENSMUSP00000001279.7 pep:known chromosome:GRCm38:7:30957775:30973464:-1 gene:ENSMUSG00000001247.16 transcript:ENSMUST00000001279.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsr description:lipolysis stimulated lipoprotein receptor [Source:MGI Symbol;Acc:MGI:1927471] MAPAASACAGAPGSHPATTIFVCLFLIIYCPDRASAIQVTVPDPYHVVILFQPVTLHCTY QMSNTLTAPIVIWKYKSFCRDRVADAFSPASVDNQLNAQLAAGNPGYNPYVECQDSVRTV RVVATKQGNAVTLGDYYQGRRITITGNADLTFEQTAWGDSGVYYCSVVSAQDLDGNNEAY AELIVLGRTSEAPELLPGFRAGPLEDWLFVVVVCLASLLFFLLLGICWCQCCPHTCCCYV RCPCCPDKCCCPEALYAAGKAATSGVPSIYAPSIYTHLSPAKTPPPPPAMIPMRPPYGYP GDFDRTSSVGGHSSQVPLLREVDGSVSSEVRSGYRIQANQQDDSMRVLYYMEKELANFDP SRPGPPNGRVERAMSEVTSLHEDDWRSRPSRAPALTPIRDEEWNRHSPRSPRTWEQEPLQ EQPRGGWGSGRPRARSVDALDDINRPGSTESGRSSPPSSGRRGRAYAPPRSRSRDDLYDP DDPRDLPHSRDPHYYDDLRSRDPRADPRSRQRSHDPRDAGFRSRDPQYDGRLLEEALKKK GAGERRRVYREEEEEEEEGHYPPAPPPYSETDSQASRERRMKKNLALSRESLVV >ENSMUSP00000103751.3 pep:known chromosome:GRCm38:7:30957777:30973427:-1 gene:ENSMUSG00000001247.16 transcript:ENSMUST00000108116.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsr description:lipolysis stimulated lipoprotein receptor [Source:MGI Symbol;Acc:MGI:1927471] MAPAASACAGAPGSHPATTIFVCLFLIIYCPDRASAIQVTVPDPYHVVILFQPVTLHCTY QMSNTLTAPIVIWKYKSFCRDRVADAFSPASVDNQLNAQLAAGNPGYNPYVECQDSVRTV RVVATKQGNAVTLGDYYQGRRITITGNADLTFEQTAWGDSGVYYCSVVSAQDLDGNNEAY AELIVLDWLFVVVVCLASLLFFLLLGICWCQCCPHTCCCYVRCPCCPDKCCCPEALYAAG KAATSGVPSIYAPSIYTHLSPAKTPPPPPAMIPMRPPYGYPGDFDRTSSVGGHSSQVPLL REVDGSVSSEVRSGYRIQANQQDDSMRVLYYMEKELANFDPSRPGPPNGRVERAMSEVTS LHEDDWRSRPSRAPALTPIRDEEWNRHSPRSPRTWEQEPLQEQPRGGWGSGRPRARSVDA LDDINRPGSTESGRSSPPSSGRRGRAYAPPRSRSRDDLYDPDDPRDLPHSRDPHYYDDLR SRDPRADPRSRQRSHDPRDAGFRSRDPQYDGRLLEEALKKKGAGERRRVYREEEEEEEEG HYPPAPPPYSETDSQASRERRMKKNLALSRESLVV >ENSMUSP00000146120.1 pep:known chromosome:GRCm38:7:30957779:30973322:-1 gene:ENSMUSG00000001247.16 transcript:ENSMUST00000205961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsr description:lipolysis stimulated lipoprotein receptor [Source:MGI Symbol;Acc:MGI:1927471] MAPAASACAGAPGSHPATTIFVCLFLIIYCPDRASAIQVTVPDPYHVVILFQPVTLHCTY QMSNTLTAPIVIWKYKSFCRDRVADAFSPASVDNQLNAQLAAGNPGYNPYVECQDSVRTV RVVATKQGNAVTLGDYYQGRRITITGNADLTFEQTAWGDSGVYYCSVVSAQDLDGNNEAY AELIVLGRTSEAPELLPGFRAGPLEDWLFVVVVCLASLLFFLLLGICWCQCCPHTCCCYV RCPCCPDKCCCPEALYAAGKAATSGVPSIYAPSIYTHLSPAKTPPPPPAMIPMRPPYGYP GDFDRTSSVGGHSSQVPLLREVDGSVSSEVRSGYRIQANQQDDSMRVLYYMEKELANFDP SRPGPPNGRVERAMSEVTSLHEDDWRSRPSRAPALTPIRDEEWNRHSPRSPRTWEQEPLQ EQPRGGWGSGRPRARSVDALDDINRPGSTESGRSSPPSSGRRGRAYAPPRSRSRDDLYDP DDPRDLPHSRDPHYYDDLRSRDPRADPRSRQRSHDPRDAGFRSRDPQYDGRLLEEALKKK GAGERRRVYREEEEEEEEGHYPPAPPPYSETDSQASRERRMKKNLALSRESLVV >ENSMUSP00000123487.1 pep:known chromosome:GRCm38:7:30958262:30973415:-1 gene:ENSMUSG00000001247.16 transcript:ENSMUST00000147431.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsr description:lipolysis stimulated lipoprotein receptor [Source:MGI Symbol;Acc:MGI:1927471] MAPAASACAGAPGSHPATTIFVCLFLIIYCPDKCCCPEALYAAGKAATSGVPSIYAPSIY THLSPAKTPPPPPAMIPMRPPYGYPGDFDRTSSVGGHSSQVPLLREVDGSVSSEVRSGYR IQANQQDDSMRVLYYMEKELANFDPSRPGPPNGRVERAMSEVTSLHEDDWRSRPSRAPAL TPIRDEEWNRHSPRSPRTWEQEPLQEQPRGGWGSGRPRARSVDALDDINRPGSTESGRSS PPSSGRRGRAYAPPRSRSRDDLYDPDDPRDLPHSRDP >ENSMUSP00000061185.4 pep:known chromosome:GRCm38:3:19693401:19695396:-1 gene:ENSMUSG00000049796.4 transcript:ENSMUST00000061294.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crh description:corticotropin releasing hormone [Source:MGI Symbol;Acc:MGI:88496] MRLRLLVSAGMLLVALSSCLPCRALLSRGSVPRAPRAPQPLNFLQPEQPQQPQPVLIRMG EEYFLRLGNLNRSPAARLSPNSTPLTAGRGSRPSHDQAAANFFRVLLQQLQMPQRSLDSR AEPAERGAEDALGGHQGALERERRSEEPPISLDLTFHLLREVLEMARAEQLAQQAHSNRK LMEIIGK >ENSMUSP00000053672.4 pep:known chromosome:GRCm38:3:89430261:89435128:1 gene:ENSMUSG00000047824.13 transcript:ENSMUST00000060061.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pygo2 description:pygopus 2 [Source:MGI Symbol;Acc:MGI:1916161] MAASAPPPPDKLEGGSGPAPPPAPPSTGRKQGKAGLQMKSPEKKRRKSNTQGPAYSHLTE FAPPPTPMVDHLVASNPFEDDFGAPKVGGAGPPFLGSPVPFGGFRVQGGMAGQVPPGYGT GGGGGPQPLRRQPPPFPPNPMGPAFNMPPQGPGYPPPGNMNFPSQPFNQSLGQNFSPPGG QVMPGPVGGFGPMISPTMGQPPRGELGPPPLPQRFTQPGAPFGPSLQRPGQGLPSLPPNT SPFPGPDPGFPGPGGEDGGKPLNPPAPTAFPQEPHSGSPAAAVNGNQPSFPPSSSGRGGG TPDANSLAPPGKAGGGSGPQPPPGLVYPCGACRSEVNDDQDAILCEASCQKWFHRECTGM TESAYGLLTTEASAVWACDLCLKTKEIQSVYIREGMGQLVAANDG >ENSMUSP00000103036.1 pep:known chromosome:GRCm38:3:89430837:89435128:1 gene:ENSMUSG00000047824.13 transcript:ENSMUST00000107413.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pygo2 description:pygopus 2 [Source:MGI Symbol;Acc:MGI:1916161] MKSPEKKRRKSNTQGPAYSHLTEFAPPPTPMVDHLVASNPFEDDFGAPKVGGAGPPFLGS PVPFGGFRVQGGMAGQVPPGYGTGGGGGPQPLRRQPPPFPPNPMGPAFNMPPQGPGYPPP GNMNFPSQPFNQSLGQNFSPPGGQVMPGPVGGFGPMISPTMGQPPRGELGPPPLPQRFTQ PGAPFGPSLQRPGQGLPSLPPNTSPFPGPDPGFPGPGGEDGGKPLNPPAPTAFPQEPHSG SPAAAVNGNQPSFPPSSSGRGGGTPDANSLAPPGKAGGGSGPQPPPGLVYPCGACRSEVN DDQDAILCEASCQKWFHRECTGMTESAYGLLTTEASAVWACDLCLKTKEIQSVYIREGMG QLVAANDG >ENSMUSP00000099920.2 pep:known chromosome:GRCm38:11:29172890:29209641:1 gene:ENSMUSG00000020463.15 transcript:ENSMUST00000102856.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smek2 description:SMEK homolog 2, suppressor of mek1 (Dictyostelium) [Source:MGI Symbol;Acc:MGI:2144474] MSDTRRRVKVYTLNEDRQWDDRGTGHVSSTYVEELKGMSLLVRAESDGSLLLESKINPNT AYQKQQDTLIVWSEAENYDLALSFQEKAGCDEIWEKICQVQGKDPSVEVTQDLIDESEEE RFEEMPETSHLIDLPACELSKLEEIADLVTSVLSSPIRREKLALALENEGYIKKLLQLFQ ACENLENTEGLHHLYEIIRGILFLNKATLFEVMFSDECIMDVVGCLEYDPALAQPKRHRE FLTKTAKFKEVIPITDSELRQKIHQTYRVQYIQDIILPTPSVFEENFLSTLTSFIFFNKV EIVSMLQEDEKFLSEVFAQLTDEATDDDKRRELVNFFKEFCAFSQTLQPQNRDAFFKTLA KLGILPALEIVMGMDDLQVRSAATDIFSYLVEFSPSMVREFVMQEAQQSDDDVLLINVVI EQMICDTDPELGGAVQLMGLLRTLIDPENMLATTNKTEKSEFLNFFYNHCMHVLTAPLLT NTSEDKCEKDNMLGSNTTNTICPDNYQTAQLLALILELLTFCVEHHTYHIKNYIMNKDLL RRVLVLMNSKHTFLALCALRFMRRIIGLKDEFYNRYITKGNLFEPVINALLDNGTRYNLL NSAVIELFEFIRVVSL >ENSMUSP00000020755.5 pep:known chromosome:GRCm38:11:29172890:29220797:1 gene:ENSMUSG00000020463.15 transcript:ENSMUST00000020755.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smek2 description:SMEK homolog 2, suppressor of mek1 (Dictyostelium) [Source:MGI Symbol;Acc:MGI:2144474] MSDTRRRVKVYTLNEDRQWDDRGTGHVSSTYVEELKGMSLLVRAESDGSLLLESKINPNT AYQKQQDTLIVWSEAENYDLALSFQEKAGCDEIWEKICQVQGKDPSVEVTQDLIDESEEE RFEEMPETSHLIDLPACELSKLEEIADLVTSVLSSPIRREKLALALENEGYIKKLLQLFQ ACENLENTEGLHHLYEIIRGILFLNKATLFEVMFSDECIMDVVGCLEYDPALAQPKRHRE FLTKTAKFKEVIPITDSELRQKIHQTYRVQYIQDIILPTPSVFEENFLSTLTSFIFFNKV EIVSMLQEDEKFLSEVFAQLTDEATDDDKRRELVNFFKEFCAFSQTLQPQNRDAFFKTLA KLGILPALEIVMGMDDLQVRSAATDIFSYLVEFSPSMVREFVMQEAQQSDDDVLLINVVI EQMICDTDPELGGAVQLMGLLRTLIDPENMLATTNKTEKSEFLNFFYNHCMHVLTAPLLT NTSEDKCEKDNMLGSNTTNTICPDNYQTAQLLALILELLTFCVEHHTYHIKNYIMNKDLL RRVLVLMNSKHTFLALCALRFMRRIIGLKDEFYNRYITKGNLFEPVINALLDNGTRYNLL NSAVIELFEFIRVEDIKSLTAHIVENFYKALESIEYVQTFKGLKTKYEQEKDRQNQKLNS VPSILRSNRFRRDAKALEEDEEMWFNEDDDEEGKAVITPVEKSKTEDDFPDSYEKFMETK KAKESEDKENLPKRASSGGFKFTFSHSPSATNGTNSTNSKSVVSQTTPASSNVASSKTTS LATSVTATKGNLVGLVDYPDDEEEDEEEESSPRKRPRLGS >ENSMUSP00000119241.1 pep:known chromosome:GRCm38:11:29173747:29188350:1 gene:ENSMUSG00000020463.15 transcript:ENSMUST00000156280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smek2 description:SMEK homolog 2, suppressor of mek1 (Dictyostelium) [Source:MGI Symbol;Acc:MGI:2144474] MTPDSRAALGSLLLESKINPNTAYQKQQDTLIVWSEAENYDLALSFQEKAGCDEIWEKIC QVQGKDPSVEVTQDLIDESEEERFEEMPETSHLIDLPACELSKLEEIADLVTSVLSSPIR REKLALALENEGYIKKLLQLFQACENLENTEGLHHLYEIIRGILFLNKATLFEVMFSDEC IMDVVGCLEYDPAL >ENSMUSP00000117918.1 pep:known chromosome:GRCm38:11:29197198:29209486:1 gene:ENSMUSG00000020463.15 transcript:ENSMUST00000127621.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smek2 description:SMEK homolog 2, suppressor of mek1 (Dictyostelium) [Source:MGI Symbol;Acc:MGI:2144474] QSDDDVLLINVVIEQMICDTDPELGGAVQLMGLLRTLIDPENMLATTNKTEKSEFLNFFY NHCMHVLTAPLLTNTSEDKCEKDNYQTAQLLALILELLTFCVEHHTYHIKNYIMNKDLLR RVLVLMNSKHTFLALCALRFMRRIIGLKDEFYNRYITKGNLFEPVINALLDNGTRYNLLN SAVIELFEFIRV >ENSMUSP00000137716.1 pep:known chromosome:GRCm38:11:29213624:29220797:1 gene:ENSMUSG00000020463.15 transcript:ENSMUST00000148759.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smek2 description:SMEK homolog 2, suppressor of mek1 (Dictyostelium) [Source:MGI Symbol;Acc:MGI:2144474] XKRASSGGFKFTFSHSPSATNGTNSTNSKSVVSQTTPASSNVASSKTTSLATSVTATKGN LVGLVDYPDDEEEDEEEESSPRKRPRLGS >ENSMUSP00000101185.1 pep:known chromosome:GRCm38:10:13213395:13388753:-1 gene:ENSMUSG00000062866.15 transcript:ENSMUST00000105546.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr2 description:phosphatase and actin regulator 2 [Source:MGI Symbol;Acc:MGI:2446138] MDKAVDGLDKASIANSDGPPAGSQTPPFKRKGKLSTIGKIFKPWKWRKKKTSDKFRETSA VLERKISTRQSREELIRRGLLKELPDQDGDVTVNFENSNGHMIHIGEEATQEENVGKPEE GSDSVCEKTPPREEQAEEKTENTEIHSEPTAAPTLPPTAPPKPKPKPKPKKSPGPPKGAT AAASHKGDEVPPNRKNVKAPSKQAPTPPPKPTSRNTSREAAGSSHPKKATGSKASASPST SSTSSRPRAPKESLAGKAGLVGTTRGKKKISKQPVASRLSPSTTTSDTPSLKGELSDTGI ESLTPEETVAGAEEQATSKSKAAIALPPSTAPPSPPALLLPPEDQCTIALDTPMVLVSDG PTLPVSALDTSQLLWTEEPSSRTSPYSSTGLGGSREQAKCFTTKDELGPQLLTPGQMGDS SESFSAPEDEAPREYQANDSDSDGPILYTDDDDEEDDDDDSSGESALASKIRRRDTLAIK LGNRPSKKELEDKNILQRTSEEERQELRQQIGTKLVRRLSQRPTTEELEQRNILKQKNEE EEQEAKMELKRRLSRKLSLRPTVAELQARRILRFNEYVEVTDSPDYDRRADKPWARLTPA DKAAIRKELNEFKSTEMEVHEESRQFTRFHRP >ENSMUSP00000101186.1 pep:known chromosome:GRCm38:10:13213395:13474396:-1 gene:ENSMUSG00000062866.15 transcript:ENSMUST00000105547.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr2 description:phosphatase and actin regulator 2 [Source:MGI Symbol;Acc:MGI:2446138] MAEAGRSPEMSPDQPAGQEAAAAEALDPEPEAPGPPVPSLRWLPGDPSPRGRSQSDLSSA SSRGRPLRVHISGSVDGLDKASIANSDGPPAGSQTPPFKRKGKLSTIGKIFKPWKWRKKK TSDKFRETSAVLERKISTRQSREELIRRGLLKELPDQDGDVTVNFENSNGHMIHIGEEAT QEENVGKPEEGSDSVCEKTPPREEQAEEKTVTTTTASRAGSSHPKKATGSKASASPSTSS TSSRPRAPKESLAGKAGLVGTTRGKKKISKQPVASRLSPSTTTSDTPSLKGELSDTGIES LTPEETVAGAEEQATSKSKAAIALPPSTAPPSPPALLLPPEDQCTIALDTPMVLVSDGPT LPVSALDTSQLLWTEEPSSRTSPYSSTGLGGSREQAKCFTTKDELGPQLLTPGQMGDSSE SFSAPEDEAPREYQANDSDSDGPILYTDDDDEEDDDDDSSGESALASKIRRRDTLAIKLG NRPSKKELEDKNILQRTSEEERQELRQQIGTKLVRRLSQRPTTEELEQRNILKQKNEEEE QEAKMELKRRLSRKLSLRPTVAELQARRILRFNEYVEVTDSPDYDRRADKPWARLTPADK AAIRKELNEFKSTEMEVHEESRQFTRFHRP >ENSMUSP00000101182.1 pep:known chromosome:GRCm38:10:13213397:13324289:-1 gene:ENSMUSG00000062866.15 transcript:ENSMUST00000105543.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr2 description:phosphatase and actin regulator 2 [Source:MGI Symbol;Acc:MGI:2446138] MGQTSVSALSPQPGSVDGLDKASIANSDGPPAGSQTPPFKRKGKLSTIGKIFKPWKWRKK KTSDKFRETSAVLERKISTRQSREELIRRGLLKELPDQDGDVTVNFENSNGHMIHIGEEA TQEENVGKPEEGSDSVCEKTPPREEQAEEKTVTTTTASRAGSSHPKKATGSKASASPSTS STSSRPRAPKESLAGKAGLVGTTRGKKKISKQPVASRLSPSTTTSDTPSLKGELSDTGIE SLTPEETVAGAEEQATSKSKAAIALPPSTAPPSPPALLLPPEDQCTIALDTPMVLVSDGP TLPVSALDTSQLLWTEEPSSRTSPYSSTGLGGSREQAKCFTTKDELGPQLLTPGQMGDSS ESFSAPEDEAPREYQANDSDSDGPILYTDDDDEEDDDDDSSGESALASKIRRRDTLAIKL GNRPSKKELEDKNILQRTSEEERQELRQQIGTKLVRRLSQRPTTEELEQRNILKQKNEEE EQEAKMELKRRLSRKLSLRPTVAELQARRILRFNEYVEVTDSPDYDRRADKPWARLTPAD KAAIRKELNEFKSTEMEVHEESRQFTRFHRP >ENSMUSP00000078637.5 pep:known chromosome:GRCm38:10:13214258:13388970:-1 gene:ENSMUSG00000062866.15 transcript:ENSMUST00000079698.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr2 description:phosphatase and actin regulator 2 [Source:MGI Symbol;Acc:MGI:2446138] MDKAVDGLDKASIANSDGPPAGSQTPPFKRKGKLSTIGKIFKPWKWRKKKTSDKFRETSA VLERKISTRQSREELIRRGLLKELPDQDGDVTVNFENSNGHMIHIGEEATQEENVGKPEE GSDSVCEKTPPREEQAEEKTVTTTTASRAGSSHPKKATGSKASASPSTSSTSSRPRAPKE SLAGKAGLVGTTRGKKKISKQPVASRLSPSTTTSDTPSLKGELSDTGIESLTPEETVAGA EEQATSKSKAAIALPPSTAPPSPPALLLPPEDQCTIALDTPMVLVSDGPTLPVSALDTSQ LLWTEEPSSRTSPYSSTGLGGSREQAKCFTTKDELGPQLLTPGQMGDSSESFSAPEDEAP REYQANDSDSDGPILYTDDDDEEDDDDDSSGESALASKIRRRDTLAIKLGNRPSKKELED KNILQRTSEEERQELRQQIGTKLVRRLSQRPTTEELEQRNILKQKNEEEEQEAKMELKRR LSRKLSLRPTVAELQARRILRFNEYVEVTDSPDYDRRADKPWARLTPADKAAIRKELNEF KSTEMEVHEESRQFTRFHRP >ENSMUSP00000101184.4 pep:known chromosome:GRCm38:10:13207717:13324160:-1 gene:ENSMUSG00000062866.15 transcript:ENSMUST00000105545.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phactr2 description:phosphatase and actin regulator 2 [Source:MGI Symbol;Acc:MGI:2446138] MGQTSVSALSPQPGSVDGLDKASIANSDGPPAGSQTPPFKRKGKLSTIGKIFKPWKWRKK KTSDKFRETSAVLERKISTRQSREELIRRGLLKELPDQDGDVTVNFENSNGHMIHIGEEA TQEENVGKPEEGSDSVCEKTPPREEQAEEKTAGSSHPKKATGSKASASPSTSSTSSRPRA PKESLAGKAGLVGTTRGKKKISKQPVASRLSPSTTTSDTPSLKGELSDTGIESLTPEETV AGAEEQATSKSKAAIALPPSTAPPSPPALLLPPEDQCTIALDTPMVLVSDGPTLPVSALD TSQLLWTEEPSSRTSPYSSTGLGGSREQAKCFTTKDELGPQLLTPGQMGDSSESFSAPED EAPREYQANDSDSDGPILYTDDDDEEDDDDDSSGESALASKIRRRDTLAIKLGNRPSKKE LEDKNILQRTSEEERQELRQQIGTKLVRRLSQRPTTEELEQRNILKQKNEEEEQEAKMEL KRRLSRKLSLRPTVAELQARRILRFNEYVEVTDSPDYDRRADKPWARLTPADKAAIRKEL NEFKSTEMEVHEESRQFTRFHRP >ENSMUSP00000037597.2 pep:known chromosome:GRCm38:7:19149722:19153542:1 gene:ENSMUSG00000040824.3 transcript:ENSMUST00000049294.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrpd2 description:small nuclear ribonucleoprotein D2 [Source:MGI Symbol;Acc:MGI:98345] MSLLNKPKSEMTPEELQKREEEEFNTGPLSVLTQSVKNNTQVLINCRNNKKLLGRVKAFD RHCNMVLENVKEMWTEVPKSGKGKKKSKPVNKDRYISKMFLRGDSVIVVLRNPLIAGK >ENSMUSP00000112405.1 pep:known chromosome:GRCm38:7:4825552:4836174:-1 gene:ENSMUSG00000053550.13 transcript:ENSMUST00000117452.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa7 description:shisa family member 7 [Source:MGI Symbol;Acc:MGI:3605641] PGGSTAYVVCGVISFALAVGVGAKVAFSKASRAPRAHREINVPRALVDILRHQAGPATRP DRARSSSLTPGLGGPDSMAPRTPKNLYNTMKPSNLDNLHYNVNSPKHHAATLDWRAMPPP SPSLHYSTLSCSRSFHNLSHLPPSYEAAVKSELNRYSSLKRLAEKDLDEAYLKRRQLEMP RGTLPLHALRRPGTGGGYRMDGWGGPEELGLAPAPNPRRVMSQEHLLGDGSRASRYEFTL PRARLVSQEHLLLSSPEALRQSREHLLSPPRSPALPPDPTTRASLAASHSNLLLGPGGPP TPLHGLPPSGLHAHHHHALHGSPQPAWMSDAGGGGGTLARRPPFQRQGTLEQLQFIPGHH LPQHLRTASKNEVTV >ENSMUSP00000112423.2 pep:known chromosome:GRCm38:7:4828027:4844696:-1 gene:ENSMUSG00000053550.13 transcript:ENSMUST00000119433.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa7 description:shisa family member 7 [Source:MGI Symbol;Acc:MGI:3605641] MPALLLLGTVALLASAAGPAGARPSNDTSSVAPGPLPALLAHLRRLTGALAGGGSAAGTS ANATKTSPASGTGAAARAPPPAELCHGYYDVMGQYDATFNCSTGSYRFCCGTCHYRFCCE HRHMRLAQASCSNYDTPRWATTPPPLAGGAGGAGGAGGGPGPGQAGWLEGGRAGGAGGRG GEGPGGSTAYVVCGVISFALAVGVGAKVAFSKASRAPRAHREINVPRALVDILRHQAGPA TRPDRARSSSLTPGLGGPDSMAPRTPKNLYNTMKPSNLDWRAMPPPSPSLHYSTLSCSRS FHNLSHLPPSYEAAVKSELNRYSSLKRLAEKDLDEAYLKRRQLEMPRGTLPLHALRRPGT GGGYRMDGWGGPEELGLAPAPNPRRVMSQEHLLGDGSRASRYEFTLPRARLVSQEHLLLS SPEALRQSREHLLSPPRSPALPPDPTTRASLAASHSNLLLGPGGPPTPLHGLPPSGLHAH HHHALHGSPQPAWMSDAGGGGGTLARRPPFQRQGTLEQLQFIPGHHLPQHLRTASKNEVT V >ENSMUSP00000064886.5 pep:known chromosome:GRCm38:7:4825553:4844696:-1 gene:ENSMUSG00000053550.13 transcript:ENSMUST00000066041.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa7 description:shisa family member 7 [Source:MGI Symbol;Acc:MGI:3605641] MPALLLLGTVALLASAAGPAGARPSNDTSSVAPGPLPALLAHLRRLTGALAGGGSAAGTS ANATKTSPASGTGAAARAPPPAELCHGYYDVMGQYDATFNCSTGSYRFCCGTCHYRFCCE HRHMRLAQASCSNYDTPRWATTPPPLAGGAGGAGGAGGGPGPGQAGWLEGGRAGGAGGRG GEGPGGSTAYVVCGVISFALAVGVGAKVAFSKASRAPRAHREINVPRALVDILRHQAGPA TRPDRARSSSLTPGLGGPDSMAPRTPKNLYNTMKPSNLDNLHYNVNSPKHHAATLDWRAM PPPSPSLHYSTLSCSRSFHNLSHLPPSYEAAVKSELNRYSSLKRLAEKDLDEAYLKRRQL EMPRGTLPLHALRRPGTGGGYRMDGWGGPEELGLAPAPNPRRVMSQEHLLGDGSRASRYE FTLPRARLVSQEHLLLSSPEALRQSREHLLSPPRSPALPPDPTTRASLAASHSNLLLGPG GPPTPLHGLPPSGLHAHHHHALHGSPQPAWMSDAGGGGGTLARRPPFQRQGTLEQLQFIP GHHLPQHLRTASKNEVTV >ENSMUSP00000133766.1 pep:known chromosome:GRCm38:17:34591266:34596607:1 gene:ENSMUSG00000034673.14 transcript:ENSMUST00000173328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx2 description:pre B cell leukemia homeobox 2 [Source:MGI Symbol;Acc:MGI:1341793] MKPALFSVLCEIKEKTGLSIRSSQEEEPVDPQLMRLDNMLLAEGVAGPEKGGGSAAAAAA AAASGGGVSPDNSIEHSDYRSKLAQIRHIYHSELEKYEQACNEFTTHVMNLLREQSRTRP VAPKEMERMVSIIHRKFSAIQMQLKQSTCEAVMILRSRFLDARRKRRNFSKQATEVLNEY FYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRIRYKKNIGKFQEEANIYAVKTAV SVAQGGHSRTSSPTPPSSAGSGGSFNLSGSGDMFLGMPGLNGDSYPASQVESLRHSMGPG SYGDNIGGGQIYSPREIRANGGWQEAVTPSSVTSPTEGPGSVHSDTSN >ENSMUSP00000040464.6 pep:known chromosome:GRCm38:17:34592263:34597400:1 gene:ENSMUSG00000034673.14 transcript:ENSMUST00000038149.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx2 description:pre B cell leukemia homeobox 2 [Source:MGI Symbol;Acc:MGI:1341793] MDERLLGPPPPGGGRGGLGLVGAEPGGPGEPPGGGDPGGGSGGVPGGRGKQDIGDILQQI MTITDQSLDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRSSQEEEPVDPQLMRLDN MLLAEGVAGPEKGGGSAAAAAAAAASGGGVSPDNSIEHSDYRSKLAQIRHIYHSELEKYE QACNEFTTHVMNLLREQSRTRPVAPKEMERMVSIIHRKFSAIQMQLKQSTCEAVMILRSR FLDARRKRRNFSKQATEVLNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRI RYKKNIGKFQEEANIYAVKTAVSVAQGGHSRTSSPTPPSSAGSGGSFNLSGSGDMFLGMP GLNGDSYPASQVESLRHSMGPGSYGDNIGGGQIYSPREIRANGGWQEAVTPSSVTSPTEG PGSVHSDTSN >ENSMUSP00000139079.1 pep:known chromosome:GRCm38:17:34592734:34596416:1 gene:ENSMUSG00000034673.14 transcript:ENSMUST00000183827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx2 description:pre B cell leukemia homeobox 2 [Source:MGI Symbol;Acc:MGI:1341793] MTITDQSLDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRSSQEEEPVDPQLMRLDN MLLAEGVAGPEKGGGSAAAAAAAAASGGGVSPDNSIEHSDYRSKLAQIRHIYHSELEKYE QACNEFTTHVMNLLREQSRTRPVAPKEMERMVSIIHRKFSAIQMQLKQSTCEAVMILRSR FLDARRKRRNFSKQATEVLNEYFYSHLSNPYPSEEAKEELAKKCGITVSQVSNWFGNKRI RYKKNIGKFQEEANIYAVKTAVSVAQGGHSRTSSPTPPSSAGSGGSFNLSGSGDMFLGMP GLNGDSYPASQVESLRHSMGPGSYGDNIGGGQIYSPREIRANGGWQEAVTPSSVTSPTEG PGSVHSDTSN >ENSMUSP00000133744.1 pep:known chromosome:GRCm38:17:34593395:34594383:1 gene:ENSMUSG00000034673.14 transcript:ENSMUST00000174532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pbx2 description:pre B cell leukemia homeobox 2 [Source:MGI Symbol;Acc:MGI:1341793] MKPALFSVLCEIKEKTGLSIRSSQEEEPVDPQLMRLDNMLLAEGVAGPEKGGGSAAAAAA AAASGGGVSPDNSIEHSDYRSKLAQIRHIYHSELEKYEQACNEFTTHVMNLLREQSRTRP VAPKEMERMVSIIHRKFSAIQMQLKQST >ENSMUSP00000145641.1 pep:known chromosome:GRCm38:7:3645309:3651851:1 gene:ENSMUSG00000035632.16 transcript:ENSMUST00000206287.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot3 description:CCR4-NOT transcription complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2385261] MADKRKLQGEIDRCLKKVSEGVEQFEDIWQKLHNAANANQKEKYEADLKKEIKKLQRLRD QIKTWVASNEIKDKRQLIENRKLIETQMERFKVVERETKT >ENSMUSP00000039098.9 pep:known chromosome:GRCm38:7:3645352:3661109:1 gene:ENSMUSG00000035632.16 transcript:ENSMUST00000038913.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot3 description:CCR4-NOT transcription complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2385261] MADKRKLQGEIDRCLKKVSEGVEQFEDIWQKLHNAANANQKEKYEADLKKEIKKLQRLRD QIKTWVASNEIKDKRQLIENRKLIETQMERFKVVERETKTKAYSKEGLGLAQKVDPAQKE KEEVGQWLTNTIDTLNMQVDQFESEVESLSVQTRKKKGDKDKQDRIEGLKRHIEKHRYHV RMLETILRMLDNDSILVDAIRKIKDDVEYYVDSSQDPDFEENEFLYDDLDLEDIPQALVA TSPPSHSHMEDEIFNQSSSTPTSTTSSSPIPPSPANCTTENSEDDKKRGRSTDSEVSQSP AKNGSKPVHSNQHPQSPAVPPTYPSGPPPTTSALSSTPGNNGASTPAAPTSALGPKASPA PSHNSGTPAPYAQAVAPPNASGPSNAQPRPPSAQPSGGSGGGSGGSSSNSNSGTGGGAGK QNGATSYSSVVADSPAEVTLSSSGGSSASSQALGPTSGPHNPAPSTSKESSTAAPSGAGN VASGSGNNSGGPSLLVPLPVNPPSSPTPSFSEAKAAGTLLNGPPQFSTTPEIKAPEPLSS LKSMAERAAISSGIEDPVPTLHLTDRDIILSSTSAPPTSSQPPLQLSEVNIPLSLGVCPL GPVSLTKEQLYQQAMEEAAWHHMPHPSDSERIRQYLPRNPCPTPPYHHQMPPPHSDTVEF YQRLSTETLFFIFYYLEGTKAQYLAAKALKKQSWRFHTKYMMWFQRHEEPKTITDEFEQG TYIYFDYEKWGQRKKEGFTFEYRYLEDRDLQ >ENSMUSP00000117297.1 pep:known chromosome:GRCm38:7:3651309:3655827:1 gene:ENSMUSG00000035632.16 transcript:ENSMUST00000132344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot3 description:CCR4-NOT transcription complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2385261] XADLKKEIKKLQRLRDQIKTWVASNEIKDKRQLIENRKLIETQMERFKVVERETKTKAYS KEGLGLAQKVDPAQKEKEEVGQWLTNTIDTLNMQVDQFESEVESLSVQTRKKKGDKDQKQ DRIEGLKRHIEKHRYHVRMLETILRMLDNDSILVDAIRKIKDDVEYYVDSSQDPDFEENE FLYDDLDLEDIPQALVATSPPSHSHMEDEIFNQSSSTPTSTTSSSPIPPSPANCTTENSE DDKKRGRSTDSEVSQSPAKNGSKPVHSNQHPQSPAVPPTYPSGPPPTTSALSSTPGNNGA STPAAPTSALGPKASPAPSHNSGTPAPYAQAVAPPNASGP >ENSMUSP00000118822.2 pep:known chromosome:GRCm38:7:3651571:3658741:1 gene:ENSMUSG00000035632.16 transcript:ENSMUST00000135977.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cnot3 description:CCR4-NOT transcription complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2385261] XLIETQMERFKVVERETKTKAYSKEGLGLAQKVDPAQKEKEEVGQWLTNTIDTLNMQVDQ FESEVESLSVQTRKKKGDKDEGWPGALQPLSLALGSPAEAGPD >ENSMUSP00000124810.1 pep:known chromosome:GRCm38:7:3659390:3661100:1 gene:ENSMUSG00000035632.16 transcript:ENSMUST00000160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnot3 description:CCR4-NOT transcription complex, subunit 3 [Source:MGI Symbol;Acc:MGI:2385261] AQYLAAKALKKQSWRFHTKYMMWFQRHEEPKTITDEFEQISVSLFIHSLTTFFPGQGTYI YFDYEKWGQRKKEGFTFEYRYLEDRDLQ >ENSMUSP00000141768.1 pep:known chromosome:GRCm38:3:93273541:93280313:1 gene:ENSMUSG00000102439.2 transcript:ENSMUST00000148311.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg description:filaggrin [Source:MGI Symbol;Acc:MGI:95553] MSALLESITSMIEIFQQYSTSDKEEETLSKEELKELLEGQLQAVLKNPDDQDIAEVFMQM LDVDHDDKLDFAEYLLLVLKLAKAYYEASKNESFQTHGSNGRSKTDYKGLEEEGEEGNKQ NLRRRHGGTDGKRKSDRTRSPNGKRGKRQESRCRSEGKDKHRREPEKHRHQQDSKRKQRH GSGSTERKDNRNKKNRQSKERNYDEIYDNGKYNEDWEASYNNCYYKTQNTTLDQREGNRR PRADSQKEPQSSHGQADNSDSEGGRQQSHSKPSPVRADQRRSRAGQAGSSKVSARSGSGG RGQSPDGSGRSSNRRDRPRQPSPSQSSDSQVHSGVQVEGRRGQSSSANRRAGSSSGS >ENSMUSP00000142294.1 pep:known chromosome:GRCm38:3:93292199:93293686:1 gene:ENSMUSG00000102439.2 transcript:ENSMUST00000050758.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg description:filaggrin [Source:MGI Symbol;Acc:MGI:95553] PDASGRSSNRRDRPRQPSPSQSSDSQVHSGVQVEGRRGQSSSANRRAGSSSGSGVQGASA GGLAADASRRSGARQGQASAQGRAGSQGQAQGRVGSSADRQGRRGVSESQASDSEGHSDF SEGQAVGAHRQSGAGQRHEQRSSRGQHGSGYYYEQEHSEEESDSQHQQGHQHEQQRGHQH QHQHQHEHEQPESGHRQQQSSGRGHQGAHQEQGRDSARSRGSNQGHSSSRHQADSPRVSA RSGSGGRGQSPDGSGRSSNRRDRPRQPSASQSSDSQVHSGVQVEAQRGQSSSANRRAGSS SGSGVQSAAASGQGGYESIFTAKHLDFNQSHSYYYY >ENSMUSP00000142003.1 pep:known chromosome:GRCm38:3:93277568:93280313:1 gene:ENSMUSG00000102439.2 transcript:ENSMUST00000180308.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flg description:filaggrin [Source:MGI Symbol;Acc:MGI:95553] MSALLESITSMIEIFQQYSTSDKEEETLSKEELKELLEGQLQAVLKNPDDQDIAEVFMQM LDVDHDDKLDFAEYLLLVLKLAKAYYEASKNESFQTHGSNGRSKTDYKGLEEEGEEGNKQ NLRRRHGGTDGKRKSDRTRSPNGKRGKRQESRCRSEGKDKHRREPEKHRHQQDSKRKQRH GSGSTERKDNRNKKNRQSKERNYDEIYDNGKYNEDWEASYNNCYYKTQNTTLDQREGNRR PRADSQKEPQSSHGQADNSDSEGGRQQSHSKPSPVRADQRRSRAGQAGSSKVSARSGSGG RGQSPDGSGRSSNRRDRPRQPSPSQSSDSQVHSGVQVEGRRGQSSSANRRAGSSSGS >ENSMUSP00000033465.3 pep:known chromosome:GRCm38:X:57088106:57106540:1 gene:ENSMUSG00000031131.11 transcript:ENSMUST00000033465.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgll1 description:vestigial like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2655768] MEEMKKNGVWIPESSQKPVKTEWNAGSVIFTYFEGDINSMVDEHFSRALRNLKRPHKCSS SSHSDNLTLKNGVNKTRTDTSHTDRERKVESSQTENSGELKDVPVEEKGTNKSMPPNQRH LSSWTIAQPAASPENGARSSSLDEYGLKAMDQHSLSMPKTPSALPLEPWHFSSLARPGFI APAYFPVFPDRHLTPEVYRVFPDRHLTPEVYHVFPDRHLTPEVYPDGRCGPLQHLVQQDR YQNHPLEPAARENCSPAKIAGSTGSLMNLPPYPVHYKKKICARGAASASLDDERSPSPKR RRDAYYY >ENSMUSP00000114308.1 pep:known chromosome:GRCm38:X:57099586:57102061:1 gene:ENSMUSG00000031131.11 transcript:ENSMUST00000141936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgll1 description:vestigial like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2655768] MGKINTDKSMPPNQRHLSSWTIAQPAASPENGARSSSLDEYGLKAMDQHSLSMPKTPSAL PLEPWHFSSLARPGFIAPAYFPVFPDRHLTPEVYRVFPDRHLTPEVYHVFPDRHLTPEVY PDGRCGPLQHLVQQDRYQNHPLEPAARENC >ENSMUSP00000110394.1 pep:known chromosome:GRCm38:X:57089374:57106540:1 gene:ENSMUSG00000031131.11 transcript:ENSMUST00000114746.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgll1 description:vestigial like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2655768] MEEMKKNGVWIPESSQKPVKTEWNAGSVIFTYFEGDINSMVDEHFSRALRNLKRPHKCSS SSHSDNLTLKNGVNKTRTDTSHTDRERKVESSQTENSGELKDVPVEEKGTNKSMPPNQRH LSSWTIAQPAASPENGARSSSLDEYGLKAMDQHSLSMPKTPSALPLEPWHFSSLARPGFI APAYFPVFPDRHLTPEVYRVFPDRHLTPEVYHVFPDRHLTPEVYPDGRCGPLQHLVQQDR YQNHPLEPAARENCSPAKIAGSTGSLMNLPPYPVHYKKKICARGAASASLDDERSPSPKR RRDAYYY >ENSMUSP00000110393.2 pep:known chromosome:GRCm38:X:57089312:57105529:1 gene:ENSMUSG00000031131.11 transcript:ENSMUST00000114745.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vgll1 description:vestigial like 1 homolog (Drosophila) [Source:MGI Symbol;Acc:MGI:2655768] MEEMKKNGVWIPESSQKPVKTEWNAGSVIFTYFEGDINSMVDEHFSRALRNLKRPHKCSS SSHSDNLTLKNGVNKTRTDTSHTDRERKVESSQTENSGELKDVPVEEKGTNKSMPPNQRH LSSWTIAQPAASPENGARSSSLDEYGLKAMDQHSLSMPKTPSALPLEPWHFSSLARPGFI APAYFPVFPDRHLTPEVYRVFPDRHLTPEVYHVFPDRHLTPEVYPDGRCGPLQHLVQQDR YQNHPLEPAARENCSPAKIAGSTGSLMNLPPYPVHYKKKICARGAASASLDDERSPSPKR RRDAYYY >ENSMUSP00000056483.5 pep:known chromosome:GRCm38:17:24038144:24045954:1 gene:ENSMUSG00000050762.5 transcript:ENSMUST00000059482.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss27 description:protease, serine 27 [Source:MGI Symbol;Acc:MGI:2450123] MRQPHIAALLLLPLLLRSGTEGARTLRACGHPKMFNRMVGGENALEGEWPWQVSIQRNGI HFCGGSLIAPTWVLTAAHCFSNTSDISIYQVLLGALKLQQPGPHALYVPVKQVKSNPQYQ GMASSADVALVELQGPVTFTNYILPVCLPDPSVIFESGMNCWVTGWGSPSEQDRLPNPRV LQKLAVPIIDTPKCNLLYNKDVESDFQLKTIKDDMLCAGFAEGKKDACKGDSGGPLVCLV DQSWVQAGVISWGEGCARRNRPGVYIRVTSHHKWIHQIIPELQFQGRAGTQQQQKDSQGQ QRLAGNSAPCLAAHAMVLALGALLLRIV >ENSMUSP00000013773.5 pep:known chromosome:GRCm38:5:31054780:31078479:1 gene:ENSMUSG00000013629.16 transcript:ENSMUST00000013773.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cad description:carbamoyl-phosphate synthetase 2, aspartate transcarbamylase, and dihydroorotase [Source:MGI Symbol;Acc:MGI:1916969] MAALVLEDGSVLQGRPFGAAVSTAGEVVFQTGMVGYPEALTDPSYKAQILVLTYPLIGNY GIPSDEEDEFGLSKWFESSEIHVAGLVVGECCPTPSHWSANCTLHEWLQQRGIPGLQGVD TRELTKKLREQGSLLGKLVQKGTEPSALPFVDPNARPLAPEVSIKTPRVFNAGGAPRICA LDCGLKYNQIRCLCQLGAEVTVVPWDHELDSQKYDGLFLSNGPGDPASYPGVVSTLSRVL SEPNPRPVFGICLGHQLLALAIGAKTYKMRYGNRGHNQPCLLVGTGRCFLTSQNHGFAVD ADSLPAGWAPLFTNANDCSNEGIVHDSLPFFSVQFHPEHRAGPSDMELLFDVFLETVREA AAGNIGGQTVRERLAQRLCPPELPIPGSGLPPPRKVLILGSGGLSIGQAGEFDYSGSQAI KALKEENIQTLLINPNIATVQTSQGLADKVYFLPITLHYVTQVIRNERPDGVLLTFGGQT ALNCGVELTKAGVLARYGVRVLGTPVETIELTEDRRAFAARMAEIGEHVAPSEAANSLEQ AQAAAERLGYPVLVRAAFALGGLGSGFASTKEELSALVAPAFAHTSQVLIDKSLKGWKEI EYEVVRDAYGNCVTVCNMENLDPLGIHTGESIVVAPSQTLNDREYQLLRRTAIKVTQHLG IVGECNVQYALNPESEQYYIIEVNARLSRSSALASKATGYPLAYVAAKLALGIPLPELRN SVTGGTAAFEPSLDYCVVKIPRWDLSKFLRVSTKIGSCMKSVGEVMGIGRSFEEAFQKAL RMVDENCVGFDHTVKPVSDMELETPTDKRIFVVAAALWAGYSVERLYELTRIDCWFLHRM KRIVTHAQLLEQHRGQALPQDLLHQAKCLGFSDKQIALAVLSTELAVRKLRQELGICPAV KQIDTVAAEWPAQTNYLYLTYWGNTHDLDFRAPHVLVLGSGVYRIGSSVEFDWCAVGCIQ QLRKMGYKTIMVNYNPETVSTDYDMCDRLYFDEISFEVVMDIYELENPEGVILSMGGQLP NNMAMALHRQQCRVLGTSPEAIDSAENRFKFSRLLDTIGISQPQWRELSDLESARQFCHT VGYPCVVRPSYVLSGAAMNVAYTDGDLERFLSSAAAVSKEHPVVISKFIQEAKEIDVDAV ACDGIVSAIAISEHVENAGVHSGDATLVTPPQDITPKTLERIKAIVHAVGQELQVTGPFN LQLIAKDDQLKVIECNVRVSRSFPFVSKTLGVDLVALATRIIMGEKVEPVGLMTGSGVVG VKVPQFSFSRLAGADVVLGVEMTSTGEVAGFGESRCEAYLKAMLSTGFKIPEKNILLTIG SYKNKSELLPTVRLLESLGYSLYASLGTADFYTEHGVKVTAVDWHFEEAVDGECPPQRSI LDQLAENHFELVINLSMRGAGGRRLSSFVTKGYRTRRLAADFSVPLIIDIKCTKLFVEAL GQIGPAPPLKVHVDCMTSQKLVRLPGLIDVHVHLREPGGTHKEDFASGTAAALAGGVTMV CAMPNTRPPIIDAPALALAQKLAEAGARCDFTLFLGASSENAGTLGAVAGSAAGLKLYLN ETFSELRLDSVAQWMEHFETWPAHLPIVAHAERQSVAAVLMVAQLTQRPVHICHVARKEE ILLIKTAKAQGLPVTCEVAPHHLFLNREDLERLGPGKGEVRPELGSREDMEALWENMAVI DCFASDHAPHTLEEKCGPKPPPGFPGLETMLPLLLTAVSEGRLSLDDLLQRLHHNPRRIF HLPLQEDTYVEVDLEHEWTVPSHMPFSKARWTPFEGQKVKGTVRRVVLRGEVAYIDGQVL VPPGYGQDVRKWPQGVVPQPPPSTPATTEITTTPERPRRVIPGLPDGRFHLPPRIHRASD PGLPAEEPKEKPPRKVVEPELMGTPDGPCYPAPPVPRQASPQNLGSSGLLHPQMSPLLHS LVGQHILSVKQFTKDQMSHLFNVAHTLRMMVQKERSLDILKGKVMASMFYEVSTRTSSSF AAAMARLGGAVLSFSEATSSVQKGESLADSVQTMSCYADVIVLRHPQPGAVELAAKHCRR PVINAGDGVGEHPTQALLDIFTIREELGTVNGMTITMVGDLKHGRTVHSLACLLTQYRVS LRYVAPPSLRMPPSVRDFVASRGTKQEEFESIEEALPDTDVLYMTRIQKERFGSVQEYEA CFGQFILTPHIMTRAKKKMVVMHPMPRVNEISVEVDSDPRAAYFRQAENGMYIRMALLAT VLGRF >ENSMUSP00000144127.1 pep:known chromosome:GRCm38:5:31054811:31067763:1 gene:ENSMUSG00000013629.16 transcript:ENSMUST00000201838.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cad description:carbamoyl-phosphate synthetase 2, aspartate transcarbamylase, and dihydroorotase [Source:MGI Symbol;Acc:MGI:1916969] MAALVLEDGSVLQGRPFGAAVSTAGEVVFQTGMVGYPEALTDPSYKAQILVLTYPLIGNY GIPSDEEDEFGLSKWFESSEIHVAGLVVGECCPTPSHWSANCTLHEWLQQRGIPGLQGVD TRELTKKLREQGSLLGKLVQKGTEPSALPFVDPNARPLAPEVSIKTPRVFNAGGAPRICA LDCGLKYNQIRCLCQLGAEVTVVPWDHELDSQKYDGLFLSNGPGDPASYPGVVSTLSRVL SEPNPRPVFGICLGHQLLALAIGAKTYKMRYGNRGHNQPCLLVGTGRCFLTSQNHGFAVD ADSLPAGWAPLFTNANDCSNEGIVHDSLPFFSVQFHPEHRAGPSDMELLFDVFLETVREA AAGNIGGQTVRERLAQRLCPPELPIPGSGLPPPRKVLILGSGGLSIGQAGEFDYSGSQAI KALKEENIQTLLINPNIATVQTSQGLADKVYFLPITLHYVTQVIRNERPDGVLLTFGGQT ALNCGVELTKAGVLARYGVRVLGTPVETIELTEDRRAFAARMAEIGEHVAPSEAANSLEQ AQAAAERLGYPVLVRAAFALGGLGSGFASTKEELSALVAPAFAHTSQVLIDKSLKGWKEI EYEVVRDAYGNCVTVCNMENLDPLGIHTGESIVVAPSQTLNDREYQLLRRTAIKVTQHLG IVGECNVQYALNPESEQYYIIEVNARLSRSSALASKATGYPLAYVAAKLALGIPLPELRN SVTGGTAAFEPSLDYCVVKIPRWDLSKFLRVSTKIGSCMKSVGEVMGIGRSFEEAFQKAL RMVDENCVGFDHTVKPVSDMELETPTDKRIFVVAAALWAGYSVERLYELTRIDCWFLHRM KRIVTHAQLLEQHRGQALPQDLLHQAKCLGFSDKQIALAVLSTELAVRKLRQELGICPAV KQIDTVAAEWPAQTNYLYLTYWGNTHDLDFRAPHVLVLGSGVYRIGSSVEFDWCAVGCIQ QLRKVRGPVLW >ENSMUSP00000144009.1 pep:known chromosome:GRCm38:5:31054928:31078232:1 gene:ENSMUSG00000013629.16 transcript:ENSMUST00000202795.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cad description:carbamoyl-phosphate synthetase 2, aspartate transcarbamylase, and dihydroorotase [Source:MGI Symbol;Acc:MGI:1916969] MAALVLEDGSVLQGRPFGAAVSTAGEVVFQTGMVGYPEALTDPSYKAQILVLTYPLIGNY GIPSDEEDEFGLSKWFESSEIHVAGLVVGECCPTPSHWSANCTLHEWLQQRGIPGLQGVD TRELTKKLREQGSLLGKLVQKGTEPSALPFVDPNARPLAPEVSIKTPRVFNAGGAPRICA LDCGLKYNQIRCLCQLGAEVTVVPWDHELDSQKYDGLFLSNGPGDPASYPGVVSTLSRVL SEPNPRPVFGICLGHQLLALAIGAKTYKMRYGNRGHNQPCLLVGTGRCFLTSQNHGFAVD ADSLPAGWAPLFTNANDCSNEGIVHDSLPFFSVQFHPEHRAGPSDMELLFDVFLETVREA AAGNIGGQTVRERLAQRLCPPELPIPGSGLPPPRKVLILGSGGLSIGQAGEFDYSGSQAI KALKEENIQTLLINPNIATVQTSQGLADKVYFLPITLHYVTQVIRNERPDGVLLTFGGQT ALNCGVELTKAGVLARYGVRVLGTPVETIELTEDRRAFAARMAEIGEHVAPSEAANSLEQ AQAAAERLGYPVLVRAAFALGGLGSGFASTKEELSALVAPAFAHTSQVLIDKSLKGWKEI EYEVVRDAYGNCVTVCNMENLDPLGIHTGESIVVAPSQTLNDREYQLLRRTAIKVTQHLG IVGECNVQYALNPESEQYYIIEVNARLSRSSALASKATGYPLAYVAAKLALGIPLPELRN SVTGGTAAFEPSLDYCVVKIPRWDLSKFLRVSTKIGSCMKSVGEVMGIGRSFEEAFQKAL RMVDENCVGFDHTVKPVSDMELETPTDKRIFVVAAALWAGYSVERLYELTRIDCWFLHRM KRIVTHAQLLEQHRGQALPQDLLHQAKCLGFSDKQIALAVLSTELAVRKLRQELGICPAV KQIDTVAAEWPAQTNYLYLTYWGNTHDLDFRAPHVLVLGSGVYRIGSSVEFDWCAVGCIQ QLRKMGYKTIMVNYNPETVSTDYDMCDRLYFDEISFEVVMDIYELENPEGVILSMGGQLP NNMAMALHRQQCRVLGTSPEAIDSAENRFKFSRLLDTIGISQPQWRELSDLESARQFCHT VGYPCVVRPSYVLSGAAMNVAYTDGDLERFLSSAAAVSKEHPVVISKFIQEAKEIDVDAV ACDGIVSAIAISEHVENAGVHSGDATLVTPPQDITPKTLERIKAIVHAVGQELQVTGPFN LQLIAKDDQLKVIECNVRVSRSFPFVSKTLGVDLVALATRIIMGEKVEPVGLMTGSGVVG VKVPQFSFSRLAGADVVLGVEMTSTGEVAGFGESRCEAYLKAMLSTGFKIPEKNILLTIG SYKNKSELLPTVRLLESLGYSLYASLGTADFYTEHGVKVTAVDWHFEEAVDGECPPQRSI LDQLAENHFELVINLSMRGAGGRRLSSFVTKGYRTRRLAADFSVPLIIDIKCTKLFVEAL GQIGPAPPLKVHVDCMTSQKLVRLPGLIDVHVHLREPGGTHKEDFASGTAAALAGGVTMV CAMPNTRPPIIDAPALALAQKLAEAGARCDFTLFLGASSENAGTLGAVAGSAAGLKLYLN ETFSELRLDSVAQWMEHFETWPAHLPIVAHAERQSVAAVLMVAQLTQRPVHICHVARKEE ILLIKTAKAQGLPVTCEVAPHHLFLNREDLERLGPGKGEVRPELGSREDMEALWENMAVI DCFASDHAPHTLEEKCGPKPPPGFPGLETMLPLLLTAVSEGRLSLDDLLQRLHHNPRRIF HLPLQEDTYVEVDLEHEWTVPSHMPFSKARWTPFEGQKVKGTVRRVVLRGEVAYIDGQVL VPPGYGQDVRKWPQGVVPQPPPSTPATTEITTTPERPRRVIPGLPDGRFHLPPRIHRASD PGLPAEEPKEKPPRKVVEPELMGTPDGPCYPAPPVPRQASPQNLGSSGLLHPQMSPLLHS LVGQHILSVKQFTKDQMSHLFNVAHTLRMMVQKERSLDILKGKVMASMFYELAAKHCRRP VINAGDGVGEHPTQALLDIFTIREELGTVNGMTITMVGDLKHGRTVHSLACLLTQYRVSL RYVAPPSLRMPPSVRDFVASRGTKQEEFESIEEALPDTDVLYMTRIQKERFGSVQEYEAC FGQFILTPHIMTRAKKKMVVMHPMPRVNEISVEVDSDPRAAYFRQAENGMYIRMALLATV LGRF >ENSMUSP00000144684.1 pep:known chromosome:GRCm38:5:31054928:31078232:1 gene:ENSMUSG00000013629.16 transcript:ENSMUST00000201182.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cad description:carbamoyl-phosphate synthetase 2, aspartate transcarbamylase, and dihydroorotase [Source:MGI Symbol;Acc:MGI:1916969] MAALVLEDGSVLQGRPFGAAVSTAGEVVFQTGMVGYPEALTDPSYKAQILVLTYPLIGNY GIPSDEEDEFGLSKWFESSEIHVAGLVVGECCPTPSHWSANCTLHEWLQQRGIPGLQGVD TRELTKKLREQGSLLGKLVQKGTEPSALPFVDPNARPLAPEVSIKTPRVFNAGGAPRICA LDCGLKYNQIRCLCQLGAEVTVVPWDHELDSQKYDGLFLSNGPGDPASYPGVVSTLSRVL SEPNPRPVFGICLGHQLLALAIGAKTYKMRYGNRGHNQPCLLVGTGRCFLTSQNHGFAVD ADSLPAGWAPLFTNANDCSNEGIVHDSLPFFSVQFHPEHRAGPSDMELLFDVFLETVREA AAGNIGGQTVRERLAQRLCPPELPIPGSGLPPPRKVLILGSGGLSIGQAGEFDYSGSQAI KALKEENIQTLLINPNIATVQTSQGLADKVYFLPITLHYVTQVIRNERPDGVLLTFGGQT ALNCGVELTKAGVLARYGVRVLGTPVETIELTEDRRAFAARMAEIGEHVAPSEAANSLEQ AQAAAERLGYPVLVRAAFALGGLGSGFASTKEELSALVAPAFAHTSQVLIDKSLKGWKEI EYEVVRDAYGNCVTVCNMENLDPLGIHTGESIVVAPSQTLNDREYQLLRRTAIKVTQHLG IVGECNVQYALNPESEQYYIIEVNARLSRSSALASKATGYPLAYVAAKLALGIPLPELRN SVTGGTAAFEPSLDYCVVKIPRWDLSKFLRVSTKIGSCMKSVGEVMGIGRSFEEAFQKAL RMVDENCVGFDHTVKPVSDMELETPTDKRIFVVAAALWAGYSVERLYELTRIDCWFLHRM KRIVTHAQLLEQHRGQALPQDLLHQAKCLGFSDKQIALAVLSTELAVRKLRQELGICPAV KQIDTVAAEWPAQTNYLYLTYWGNTHDLDFRAPHVLVLGSGVYRIGSSVEFDWCAVGCIQ QLRKMGYKTIMVNYNPETVSTDYDMCDRLYFDEISFEVVMDIYELENPEGVILSMGGQLP NNMAMALHRQQCRVLGTSPEAIDSAENRFKFSRLLDTIGISQPQWRELSDLESARQFCHT VGYPCVVRPSYVLSGAAMNVAYTDGDLERFLSSAAAVSKEHPVVISKFIQEAKEIDVDAV ACDGIVSAIAISEHVENAGVHSGDATLVTPPQDITPKTLERIKAIVHAVGQELQVTGPFN LQLIAKDDQLKVIECNVRVSRSFPFVSKTLGVDLVALATRIIMGEKVEPVGLMTGSGVVG VKVPQFSFSRLAGADVVLGVEMTSTGEVAGFGESRCEAYLKAMLSTGFKIPEKNILLTIG SYKNKSELLPTVRLLESLGYSLYASLGTADFYTEHGVKVTAVDWHFEEAVDGECPPQRSI LDQLAENHFELVINLSMRGAGGRRLSSFVTKGYRTRRLAADFSVPLIIDIKCTKLFVEAL GQIGPAPPLKVHVDCMTSQKLVRLPGLIDVHVHLREPGGTHKEDFASGTAAALAGGVTMV CAMPNTRPPIIDAPALALAQKLAEAGARCDFTLFLGASSENAGTLGAVAGSAAGLKLYLN ETFSELRLDSVAQWMEHFETWPAHLPIVAHAERQSVAAVLMVAQLTQRPVHICHVARKEE ILLIKTAKAQGLPVTCEVAPHHLFLNREDLERLGPGKGEVRPELGSREDMEALWENMAVI DCFASDHAPHTLEEKCGPKPPPGFPGLETMLPLLLTAVSEGRLSLDDLLQRLHHNPRRIF HLPLQEDTYVEVDLEHEWTVPSHMPFSKARWTPFEGQKVKGTVRRVVLRGEVAYIDGQVL VPPGYGQDVRKWPQGVVPQPPPSTPATTEITTTPERPRRVIPGLPDGRFHLPPRIHRASD PGLPAEEPKEKPPRKVVEPELMGTPDGPCYPAPPVPRQASPQNLGSSGLLHPQMSPLLHS LVGQHILSVKQFTKDQMSHLFNVAHTLRMMVQKERSLDILKLAAKHCRRPVINAGDGVGE HPTQALLDIFTIREELGTVNGMTITMVGDLKHGRTVHSLACLLTQYRVSLRYVAPPSLRM PPSVRDFVASRGTKQEEFESIEEALPDTDVLYMTRIQKERFGSVQEYEACFGQFILTPHI MTRAKKKMVVMHPMPRVNEISVEVDSDPRAAYFRQAENGMYIRMALLATVLGRF >ENSMUSP00000144307.1 pep:known chromosome:GRCm38:5:31054955:31078195:1 gene:ENSMUSG00000013629.16 transcript:ENSMUST00000200953.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cad description:carbamoyl-phosphate synthetase 2, aspartate transcarbamylase, and dihydroorotase [Source:MGI Symbol;Acc:MGI:1916969] MAALVLEDGSVLQGRPFGAAVSTAGEVVFQTGMVGYPEALTDPSYKAQILVLTYPLIGNY GIPSDEEDEFGLSKWFESSEIHVAGLVVGECCPTPSHWSANCTLHEWLQQRGIPGLQGVD TRELTKKLREQGSLLGKLVQKGTEPSALPFVDPNARPLAPEVSIKTPRVFNAGGAPRICA LDCGLKYNQIRCLCQLGAEVTVVPWDHELDSQKYDGLFLSNGPGDPASYPGVVSTLSRVL SEPNPRPVFGICLGHQLLALAIGAKTYKMRYGNRGHNQPCLLVGTGRCFLTSQNHGFAVD ADSLPAGWAPLFTNANDCSNEGIVHDSLPFFSVQFHPEHRAGPSDMELLFDVFLETVREA AAGNIGGQTVRERLAQRLCPPELPIPGSGLPPPRKVLILGSGGLSIGQAGEFDYSGSQAI KALKEENIQTLLINPNIATVQTSQGLADKVYFLPITLHYVTQVIRNERPDGVLLTFGGQT ALNCGVELTKAGVLARYGVRVLGTPVETIELTEDRRAFAARMAEIGEHVAPSEAANSLEQ AQAAAERLGYPVLVRAAFALGGLGSGFASTKEELSALVAPAFAHTSQVLIDKSLKGWKEI EYEVVRDAYGNCVTYYIIEVNARLSRSSALASKATGYPLAYVAAKLALGIPLPELRNSVT GGTAAFEPSLDYCVVKIPRWDLSKFLRVSTKIGSCMKSVGEVMGIGRSFEEAFQKALRMV DENCVGFDHTVKPVSDMELETPTDKRIFVVAAALWAGYSVERLYELTRIDCWFLHRMKRI VTHAQLLEQHRGQALPQDLLHQAKCLGFSDKQIALAVLSTELAVRKLRQELGICPAVKQI DTVAAEWPAQTNYLYLTYWGNTHDLDFRAPHVLVLGSGVYRIGSSVEFDWCAVGCIQQLR KMGYKTIMVNYNPETVSTDYDMCDRLYFDEISFEVVMDIYELENPEGVILSMGGQLPNNM AMALHRQQCRVLGTSPEAIDSAENRFKFSRLLDTIGISQPQWRELSDLESARQFCHTVGY PCVVRPSYVLSGAAMNVAYTDGDLERFLSSAAAVSKEHPVVISKFIQEAKEIDVDAVACD GIVSAIAISEHVENAGVHSGDATLVTPPQDITPKTLERIKAIVHAVGQELQVTGPFNLQL IAKDDQLKVIECNVRVSRSFPFVSKTLGVDLVALATRIIMGEKVEPVGLMTGSGVVGVKV PQFSFSRLAGADVVLGVEMTSTGEVAGFGESRCEAYLKAMLSTGFKIPEKNILLTIGSYK NKSELLPTVRLLESLGYSLYASLGTADFYTEHGVKVTAVDWHFEEAVDGECPPQRSILDQ LAENHFELVINLSMRGAGGRRLSSFVTKGYRTRRLAADFSVPLIIDIKCTKLFVEALGQI GPAPPLKVHVDCMTSQKLVRLPGLIDVHVHLREPGGTHKEDFASGTAAALAGGVTMVCAM PNTRPPIIDAPALALAQKLAEAGARCDFTLFLGASSENAGTLGAVAGSAAGLKLYLNETF SELRLDSVAQWMEHFETWPAHLPIVAHAERQSVAAVLMVAQLTQRPVHICHVARKEEILL IKTAKAQGLPVTCEVAPHHLFLNREDLERLGPGKGEVRPELGSREDMEALWENMAVIDCF ASDHAPHTLEEKCGPKPPPGFPGLETMLPLLLTAVSEGRLSLDDLLQRLHHNPRRIFHLP LQEDTYVEVDLEHEWTVPSHMPFSKARWTPFEGQKVKGTVRRVVLRGEVAYIDGQVLVPP GYGQDVRKWPQGVVPQPPPSTPATTEITTTPERPRRVIPGLPDGRFHLPPRIHRASDPGL PAEEPKEKPPRKVVEPELMGTPDGPCYPAPPVPRQASPQNLGSSGLLHPQMSPLLHSLVG QHILSVKQFTKDQMSHLFNVAHTLRMMVQKERSLDILKGKVMASMFYEVSTRTSSSFAAA MARLGGAVLSFSEATSSVQKGESLADSVQTMSCYADVIVLRHPQPGAVELAAKHCRRPVI NAGDGVGEHPTQALLDIFTIREELGTVNGMTITMVGDLKHGRTVHSLACLLTQYRVSLRY VAPPSLRMPPSVRDFVASRGTKQEEFESIEEALPDTDVLYMTRIQKERFGSVQEYEACFG QFILTPHIMTRAKKKMVVMHPMPRVNEISVEVDSDPRAAYFRQAENGMYIRMALLATVLG RF >ENSMUSP00000144679.1 pep:known chromosome:GRCm38:5:31074117:31076028:1 gene:ENSMUSG00000013629.16 transcript:ENSMUST00000202973.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cad description:carbamoyl-phosphate synthetase 2, aspartate transcarbamylase, and dihydroorotase [Source:MGI Symbol;Acc:MGI:1916969] LLQRLHHNPRRIFHLPLQEDTYVEVDLEHEWTVPSHMPFSKARWTPFEGQKVKGTVRRVV LRGEVAYIDGQVLVPPGYGQDVRKWPQGVVPQPPPSTPATTEITTTPERPRRVIPGLPDG RFHLPPRIHRASDPGLPALYLRPGAGISWGSRAWAEEPKEKPPRKVVEPELMGTPDGPCY PAPPVPRQASPQNL >ENSMUSP00000125202.1 pep:known chromosome:GRCm38:4:115057811:115071228:1 gene:ENSMUSG00000028717.12 transcript:ENSMUST00000161601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tal1 description:T cell acute lymphocytic leukemia 1 [Source:MGI Symbol;Acc:MGI:98480] MTERPPSEAARSDPQLEGQDAAEARMAPPHLVLLNGVAKETSRAAPAEPPVIELGARSGA GGGPASGGGAARDLKGRDAVAAEARLRVPTTELCRPPGPAPAPAPASAPAELPGDGRMVQ LSPPALAAPAGPGRALLYSLSQPLASLGSGFFGEPDAFPMFTNNNRVKRRPSPYEMEISD GPHTKVVRRIFTNSRERWRQQNVNGAFAELRKLIPTHPPDKKLSKNEILRLAMKYINFLA KLLNDQEEEGTQRAKPGKDPVVGAGGGGAGGGIPPEDLLQDVLSPNSSCGSSLDGAASPD SYTEEPTPKHTSRSLHPALLPAADGAGPR >ENSMUSP00000124983.1 pep:known chromosome:GRCm38:4:115059508:115070469:1 gene:ENSMUSG00000028717.12 transcript:ENSMUST00000162489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tal1 description:T cell acute lymphocytic leukemia 1 [Source:MGI Symbol;Acc:MGI:98480] MTERPPSEAARSDPQLEGQDAAEARMAPPHLVLLNGVAKETSRAAPAEPPVIELGARSGA GGGPASGGGAARDLKGRDAVAAEARLRVPTTELCRPPGPAPAPAPASAPAELPGDGRMVQ LSPPALAAPAGPGRALLYSLSQPLASLGSGFFGEPDAFPMFTNNNRVKRRPSPYEMEISD GPHTKVVRRIFTNSRERWRQQNVNGAFAELRKLIPTHPPDKKLSKNEILRLAMKYINFLA KLLNDQEEEGTQRAKPGKDPVVGAGGGGAGGGIPPEDLLQDVLSPNSSCGSSLDGAASPD SYTEEPTPKHTSRSLHPALLPAADGAGPR >ENSMUSP00000030489.2 pep:known chromosome:GRCm38:4:115056426:115071755:1 gene:ENSMUSG00000028717.12 transcript:ENSMUST00000030489.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tal1 description:T cell acute lymphocytic leukemia 1 [Source:MGI Symbol;Acc:MGI:98480] MTERPPSEAARSDPQLEGQDAAEARMAPPHLVLLNGVAKETSRAAPAEPPVIELGARSGA GGGPASGGGAARDLKGRDAVAAEARLRVPTTELCRPPGPAPAPAPASAPAELPGDGRMVQ LSPPALAAPAGPGRALLYSLSQPLASLGSGFFGEPDAFPMFTNNNRVKRRPSPYEMEISD GPHTKVVRRIFTNSRERWRQQNVNGAFAELRKLIPTHPPDKKLSKNEILRLAMKYINFLA KLLNDQEEEGTQRAKPGKDPVVGAGGGGAGGGIPPEDLLQDVLSPNSSCGSSLDGAASPD SYTEEPTPKHTSRSLHPALLPAADGAGPR >ENSMUSP00000121437.1 pep:known chromosome:GRCm38:4:115057438:115063570:1 gene:ENSMUSG00000028717.12 transcript:ENSMUST00000136946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tal1 description:T cell acute lymphocytic leukemia 1 [Source:MGI Symbol;Acc:MGI:98480] MTERPPSEAARSDPQLEGQDAAEARMAPPHLVLLNGVAKETSRAAPAEPPVIELGARSGA GGGPASGGGAARDLKGRDAVAAEARLRVPTTELCRPPGPAPAPAPASAPAELPGDGRMVQ LSPPALAAPAGPGRALLYSLSQPLASL >ENSMUSP00000109239.1 pep:known chromosome:GRCm38:X:102711177:102715209:1 gene:ENSMUSG00000073027.10 transcript:ENSMUST00000113609.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1b description:DMRT-like family C1b [Source:MGI Symbol;Acc:MGI:3639121] MTISTTIASLSKPGVKKHFCLFQANKCHSGNTFLEQHGLLPAVSAPKKEQGTRLKRPLDQ GVIKNMACTPKFHKHGKRLAVEAEKPNWKESTMHKHETRPPKTPREGSSQGTLMRSKPQE PSVLPYAPVTQQQQSVISYSAKNLGPIARPKRYSSVIVKNHAVTDPLLLQPQVPNATKHD SVAAAVEWQRKLEAAEALLALRNSPLPPPVSASPKRHGKMTNSYLPGHGTQGSAGKRGQQ PPSRYVPPRSANSASLTGSLDCMSFFT >ENSMUSP00000113730.1 pep:known chromosome:GRCm38:X:102711177:102715209:1 gene:ENSMUSG00000073027.10 transcript:ENSMUST00000118842.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1b description:DMRT-like family C1b [Source:MGI Symbol;Acc:MGI:3639121] MACTPKFHKHGKRLAVEAEKPNWKESTMHKHETRPPKTPREGSSQGTLMRSKPQEPSVLP YAPVTQQQQSVISYSAKNLGPIARPKRYSSVIVKNHAVTDPLLLQPQVPNATKHDSVAAA VEWQRKLEAAEALLALRNSPLPPPVSASPKRHGKMTNSYLPGHGTQGSAGKRGQQPPSRY VPPRSANSASLTGSLDCMSFFT >ENSMUSP00000098880.2 pep:known chromosome:GRCm38:X:102707865:102715209:1 gene:ENSMUSG00000073027.10 transcript:ENSMUST00000101324.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmrtc1b description:DMRT-like family C1b [Source:MGI Symbol;Acc:MGI:3639121] MQRSSGSWEQHGLLPAVSAPKKEQGTRLKRPLDQGVIKNMACTPKFHKHGKRLAVEAEKP NWKESTMHKHETRPPKTPREGSSQGTLMRSKPQEPSVLPYAPVTQQQQSVISYSAKNLGP IARPKRYSSVIVKNHAVTDPLLLQPQVPNATKHDSVAAAVEWQRKLEAAEALLALRNSPL PPPVSASPKRHGKMTNSYLPGHGTQGSAGKRGQQPPSRYVPPRSANSASLTGSLDCMSFF T >ENSMUSP00000072531.6 pep:known chromosome:GRCm38:19:10962293:10974670:-1 gene:ENSMUSG00000024731.15 transcript:ENSMUST00000072748.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a10 description:membrane-spanning 4-domains, subfamily A, member 10 [Source:MGI Symbol;Acc:MGI:1917076] MAGQAPTAVPGSVTGEVSRWQNLGPAQPAQKVAQPQNLVPDGHLEKALEGSDLLQKLGGF HIAIAFAHLAFGGYLISTVKNLHLVVLKCWYPLWGTVSFLVAGMAAMTTVTFPKTSLKVL CVIANVISLFCALAGFFVIAKDLFLEGPFPWPIWRPYPEPTTYIQRLELTLFCFTFLEIF LSGSTAITAYRMKRLQAEDKDDTPFVPDTPMELKGLSLGPPPSYKDVAQGHSSSDTGRAL ATSSGLLLASDSFHQALLHTGPRTLRK >ENSMUSP00000140111.1 pep:known chromosome:GRCm38:19:10962297:10974645:-1 gene:ENSMUSG00000024731.15 transcript:ENSMUST00000191343.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a10 description:membrane-spanning 4-domains, subfamily A, member 10 [Source:MGI Symbol;Acc:MGI:1917076] MAGQAPTAVPGSVTGEVSRWQNLGPAQPAQKVAQPQNLVPDGHLEKALEGSDLLQKLGFL VAGMAAMTTVTFPKTSLKVLCVIANVISLFCALAGFFVIAKDLFLEGPFPWPIWRPYPEP TTYIQRLELTLFCFTFLEIFLSGSTAITAYRMKRLQAEDKDDTPFVPDTPMELKGLSLGP PPSYKDVAQGHSSSDTGRALATSSGLLLASDSFHQALLHTGPRTLRK >ENSMUSP00000140826.1 pep:known chromosome:GRCm38:19:10962300:10965856:-1 gene:ENSMUSG00000024731.15 transcript:ENSMUST00000190246.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ms4a10 description:membrane-spanning 4-domains, subfamily A, member 10 [Source:MGI Symbol;Acc:MGI:1917076] LFCALAGFFVIAKDLFLEGPFPWPIWRPYPEPTTYIQRLELTLFCFTFLEIFLSGSTAIT AYRMKRLQAEDKDDTPFVPDTPMELKGLSLGPPPSYKDVAQDKD >ENSMUSP00000136008.1 pep:known chromosome:GRCm38:11:58489719:58492499:-1 gene:ENSMUSG00000050813.6 transcript:ENSMUST00000180165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr332 description:olfactory receptor 332 [Source:MGI Symbol;Acc:MGI:3030166] MDLKNKTTSSFILLGLFPSCRYPNLLISFILLIYTLASAGNSLLILLIWLDPRLHTPMYF LLSQLSVIDLAYISCTVPKAAINYFTGRQNISFFACATQMFSFLTLGLAECILLTLMAYD RYVAVCNPLRYTILMSPKVCLMMAASTWIGAVAAALVHTVYPMNFPICGSREINHYFCEM PAILRMSCVDTSVYEMVKFVSTIIFLLTPFTLILTSYTLIFLTVLRMNSPKGRNKALATC SSHLTVVSLYFGQAIFIYMTPTSSHTPDQDQVGAVLGTIVTPMLNPLIYSLRNKEVIGAL QKCTGRCCSRDRVGSLRCCTQKCPILHLKSEFKSKEIQIGNRAL >ENSMUSP00000144797.1 pep:known chromosome:GRCm38:11:58490356:58492513:-1 gene:ENSMUSG00000050813.6 transcript:ENSMUST00000203744.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr332 description:olfactory receptor 332 [Source:MGI Symbol;Acc:MGI:3030166] MFSFLTLGLAECILLTLMAYDRYVAVCNPLRYT >ENSMUSP00000045911.8 pep:known chromosome:GRCm38:17:34589806:34591754:1 gene:ENSMUSG00000034786.17 transcript:ENSMUST00000038244.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpsm3 description:G-protein signalling modulator 3 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:2146785] MEAERPQEEDGEQSLPQDDQGWPPVNATARPWRSAPPSPPPPGTRHTALGPRSGSLLSLQ TELLLDLVAEAQSRRLEEQRATFHTPEAPPNLAPAPPRLLEDKEQLYSTILSHQCQRIEA QRSDPPLPPGGQELLELLLRVQGGGRMEEQRSRPPTHTC >ENSMUSP00000134586.1 pep:known chromosome:GRCm38:17:34590092:34591754:1 gene:ENSMUSG00000034786.17 transcript:ENSMUST00000150924.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gpsm3 description:G-protein signalling modulator 3 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:2146785] MEAERPQEEDGEQSLPQDDQGWPPVNATARPWRSAPPSPPPPGTRHTD >ENSMUSP00000134130.1 pep:known chromosome:GRCm38:17:34590231:34591640:1 gene:ENSMUSG00000034786.17 transcript:ENSMUST00000173772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpsm3 description:G-protein signalling modulator 3 (AGS3-like, C. elegans) [Source:MGI Symbol;Acc:MGI:2146785] ERPQEEDGEQCQRIEAQRSDPPLPPGGQELLELLLRVQGGGRMEEQRSRPPTHTC >ENSMUSP00000021761.5 pep:known chromosome:GRCm38:13:21180213:21194724:1 gene:ENSMUSG00000021326.11 transcript:ENSMUST00000021761.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim27 description:tripartite motif-containing 27 [Source:MGI Symbol;Acc:MGI:97904] MASGSVAECLQQETTCPVCLQYFVEPMMLDCGHNICCACLARCWGAAETNVSCPQCRETF PQRHMRPNRHLANVTQLVKQLRTERPSGPGGEMGVCEKHREPLKLYCEQDQMPICVVCDR SREHRGHSVLPLEEAVEGFKEQIQNRLDHLRRVKDLKKRRRAQGEQARAELLSLTQMERE KIVWEFEQLYHSLKEHEYRLLARLEELDLAIYNSINGAITQFSCNISHLSGLIAQLEEKQ QQPTRELLQDIGDTLSRAERIRIPEPWITPPDLQEKIHIFAQKCLFLTESLKQFTEKMQS DMEKIQELREAQLYSVDVTLDPDTAYPSLILSDNLRQVRYSYLQQDLPDNPERFNLFPCV LGSPCFIAGRHYWEVEVGDKAKWTIGVCEDSVCRKGGVTSAPQNGFWAVSLWYGKEYWAL TSPMTALPLRTPLQRVGIFLDYDAGEVSFYNVTERCHTFTFSHATFCGPVRPYFSLSYSG GKSAAPLIICPMSGIDGFSGHVGNHGHSMETSP >ENSMUSP00000115397.1 pep:known chromosome:GRCm38:13:21190092:21192475:1 gene:ENSMUSG00000021326.11 transcript:ENSMUST00000139287.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trim27 description:tripartite motif-containing 27 [Source:MGI Symbol;Acc:MGI:97904] XKMQSDMEKIQDLCEILGQLETPHKLMMAVGVI >ENSMUSP00000113810.1 pep:known chromosome:GRCm38:3:27938695:28133239:1 gene:ENSMUSG00000027695.16 transcript:ENSMUST00000120834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld1 description:phospholipase D1 [Source:MGI Symbol;Acc:MGI:109585] MSLKSETRVNTSTLQKIAADMSNLIENLDTRELHFEGEEVEYDASPGDPKAQEGCIPFSS IYNTQGFKEPNIQTYLSGCPIKAQVLEVERFTSTSRVPSINLYTIELTHGEFTWQVKRKF KHFQEFHRELLKYKAFIRIPIPTKRHTFRRQNVKEEPREMPSLPRSSENAIQEEQFFGRR KQLEDYLTKILKMPMYRNYHATTEFLDVSQLSFIHDLGPKGLEGMIMKRSGGHRIPGVNC CGHGRACYRWSKRWLIVKDSFLLYMKPDSGAIAFVLLVDKEFRVKVGRKETETKYGLRID NLSRTLILKCNSYRHARWWGGAIEEFIRKHGADFLKDHRFGSYAALHENTLAKWYVNAKG YFEDIANAMEEASEEIFITDWWLSPEIFLKRPVVEGNRWRLDCILKRKAQQGVRIFIMLY KEVELALGINSEYSKRTLMRLHPNIKVMRHPDHVSSSVYLWAHHEKLVIIDQSVAFVGGI DLAYGRWDDNEHRLTDVGSVKRVTSGLSLGSLTAASVESMESLSLKDKHEFHKKEPISKI VDETDMKLKGIGKSRKFSKFSLYRQLHRHHLHNADSISSIDSTSNTGSIRSVQTGVGELH GETRFWHGKDYCNFVFKDWVQLDKPFADFIDRYSTPRMPWHDIGSVVHGKAARDVARHFI QRWNFTKIMKPKYRSLSYPFLLPKSQATAHELRYQVPGAVPAKVQLLRSAADWSAGIKHH EESIHAAYIHVIENSKHYIYIENQFFISCADDKVVFNKVGDAIAQRILKAHREGQRYRVY IVIPLLPGFEGDISTGGGNALQAIMHFNYRTMCRGESSILEQLKPELGNKWINYISFCGL RTHAELEGNLVTELIYVHSKLLIADDNTVIIGSANINDRSMLGKRDSEMAVIVQDTETVP SVMDGKEYQAGRFARDLRLECFRLVLGYLSDPSEDLQDPVSDKFFKEIWVSTAARNATIY DKVFRCLPNDEVHNLIQLRDFINKPILAKEDRLRAEEELRKIRGFLVQFPLYFLSEENLL PSVGTKEAIVPMEVWT >ENSMUSP00000121318.1 pep:known chromosome:GRCm38:3:27950003:28028687:1 gene:ENSMUSG00000027695.16 transcript:ENSMUST00000125338.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld1 description:phospholipase D1 [Source:MGI Symbol;Acc:MGI:109585] MSLKSETRVNTSTLQKIAADMSNLIENLDTRELHFEGEEVEYDASPGDPKAQEGCIPFSS IYNTQGFKEPNIQTYLSGCPIKAQVLEVERFTSTSRVPSINLYTIELTHGEFTWQVKRKF KHFQEF >ENSMUSP00000064694.4 pep:known chromosome:GRCm38:3:27984171:28133234:1 gene:ENSMUSG00000027695.16 transcript:ENSMUST00000067757.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld1 description:phospholipase D1 [Source:MGI Symbol;Acc:MGI:109585] MSLKSETRVNTSTLQKIAADMSNLIENLDTRELHFEGEEVEYDASPGDPKAQEGCIPFSS IYNTQGFKEPNIQTYLSGCPIKAQVLEVERFTSTSRVPSINLYTIELTHGEFTWQVKRKF KHFQEFHRELLKYKAFIRIPIPTKRHTFRRQNVKEEPREMPSLPRSSENAIQEEQFFGRR KQLEDYLTKILKMPMYRNYHATTEFLDVSQLSFIHDLGPKGLEGMIMKRSGGHRIPGVNC CGHGRACYRWSKRWLIVKDSFLLYMKPDSGAIAFVLLVDKEFRVKVGRKETETKYGLRID NLSRTLILKCNSYRHARWWGGAIEEFIRKHGADFLKDHRFGSYAALHENTLAKWYVNAKG YFEDIANAMEEASEEIFITDWWLSPEIFLKRPVVEGNRWRLDCILKRKAQQGVRIFIMLY KEVELALGINSEYSKRTLMRLHPNIKVMRHPDHVSSSVYLWAHHEKLVIIDQSVAFVGGI DLAYGRWDDNEHRLTDVGSVKRVTSGLSLGSLTAASVESMESLSLKDKHEFHKKEPISKI VDETDMKLKGIGKSRKFSKFSLYRQLHRHHLHNADSISSIDSTSNTGSIRSVQTGVGELH GETRFWHGKDYCNFVFKDWVQLDKPFADFIDRYSTPRMPWHDIGSVVHGKAARDVARHFI QRWNFTKIMKPKYRSLSYPFLLPKSQATAHELRYQVPGAVPAKVQLLRSAADWSAGIKHH EESIHAAYIHVIENSKHYIYIENQFFISCADDKVVFNKVGDAIAQRILKAHREGQRYRVY IVIPLLPGFEGDISTGGGNALQAIMHFNYRTMCRGESSILEQLKPELGNKWINYISFCGL RTHAELEGNLVTELIYVHSKLLIADDNTVIIGSANINDRSMLGKRDSEMAVIVQDTETVP SVMDGKEYQAGRFARDLRLECFRLVLGYLSDPSEDLQDPVSDKFFKEIWVSTAARNATIY DKVFRCLPNDEVHNLIQLRDFINKPILAKEDRLRAEEELRKIRGFLVQFPLYFLSEENLL PSVGTKEAIVPMEVWT >ENSMUSP00000118727.2 pep:known chromosome:GRCm38:3:27984173:28132050:1 gene:ENSMUSG00000027695.16 transcript:ENSMUST00000123539.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld1 description:phospholipase D1 [Source:MGI Symbol;Acc:MGI:109585] MSLKSETRVNTSTLQKIAADMSNLIENLDTRELHFEGEEVEYDASPGDPKAQEGCIPFSS IYNTQGFKEPNIQTYLSGCPIKAQVLEVERFTSTSRVPSINLYTIELTHGEFTWQVKRKF KHFQEFHRELLKYKAFIRIPIPTKRHTFRRQNVKEEPREMPSLPRSSENAIQEEQFFGRR KQLEDYLTKILKMPMYRNYHATTEFLDVSQLSFIHDLGPKGLEGMIMKRSGGHRIPGVNC CGHGRACYRWSKRWLIVKDSFLLYMKPDSGAIAFVLLVDKEFRVKVGRKETETKYGLRID NLSRTLILKCNSYRHARWWGGAIEEFIRKHGADFLKDHRFGSYAALHENTLAKWYVNAKG YFEDIANAMEEASEEIFITDWWLSPEIFLKRPVVEGNRWRLDCILKRKAQQGVRIFIMLY KEVELALGINSEYSKRTLMRLHPNIKVMRHPDHVSSSVYLWAHHEKLVIIDQSVAFVGGI DLAYGRWDDNEHRLTDVGSVKRVTSGLSLGSLTAASVESMESLSLKDKHEFHKKEPISKI VDETDMKLKGIGKSRKFSKFSLYRQLHRHHLHNADSISSIDSTSSYFSHCRSHQNLIHGL KPHLKLFHPSSESEQGLTRHSTDTGSIRSVQTGVGELHGETRFWHGKDYCNFVFKDWVQL DKPFADFIDRYSTPRMPWHDIGSVVHGKAARDVARHFIQRWNFTKIMKPKYRSLSYPFLL PKSQATAHELRYQVPGAVPAKVQLLRSAADWSAGIKHHEESIHAAYIHVIENSKHYIYIE NQFFISCADDKVVFNKVGDAIAQRILKAHREGQRYRVYIVIPLLPGFEGDISTGGGNALQ AIMHFNYRTMCRGESSILEQLKPELGNKWINYISFCGLRTHAELEGNLVTELIYVHSKLL IADDNTVIIGSANINDRSMLGKRDSEMAVIVQDTETVPSVMDGKEYQAGRFARDLRLECF RLVLGYLSDPSEDLQDPVSDKFFKEIWVSTAARNATIYDKVFRCLPNDEVHNLIQLRDFI NKPILAKEDRLRAEEELRKIRGFLVQFPLYFLSEENLLPSVGTKEAIVPMEVWT >ENSMUSP00000120273.1 pep:known chromosome:GRCm38:3:28031262:28133362:1 gene:ENSMUSG00000027695.16 transcript:ENSMUST00000148827.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld1 description:phospholipase D1 [Source:MGI Symbol;Acc:MGI:109585] XILKMPMYRNYHATTEFLDVSQLSFIHDLGPKGLEGMIMKRSGGHRIPGVNCCGHGRACY RWSKRWLIVKDSFLLYMKPDSGAIAFVLLVDKEFRVKVGRKETETKYGLRIDNLSRTLIL KCNSYRHARWWGGAIEEFIRKHGADFLKDHRFGSYAALHENTLAKWYVNAKGYFEDIANA MEEASEEIFITDWWLSPEIFLKRPVVEGNRWRLDCILKRKAQQGVRIFIMLYKEVELALG INSEYSKRTLMRLHPNIKVMRHPDHVSSSVYLWAHHEKLVIIDQSVAFVGGIDLAYGRWD DNEHRLTDVGSVKRVTSGLSLGSLTAASVESMESLSLKDKHEFHKKEPISKIVDETDMKL KGIGKSRKFSKFSLYRQLHRHHLHNADSISSIDSTSNTGSIRSVQTGVGELHGETRFWHG KDYCNFVFKDWVQLDKPFADFIDRYSTPRMPWHDIGSVVHGKAARDVARHFIQRWNFTKI MKPKYRSLSYPFLLPKSQATAHELRYQVPGAVPAKVQLLRSAADWSAGIKHHEESIHAAY IHVIENSKHYIYIENQFFISCADDKVVFNKVGDAIAQRILKAHREGQRYRVYIVIPLLPG FEGDISTGGGNALQAIMHFNYRTMCRGESSILEQLKPELGNKWINYISFCGLRTHAELEG NLVTELIYVHSKLLIADDNTVIIATPWFVTIISWLSQESPSFVLKHGSANINDRSMLGKR DSEMAVIVQDTETVPSVMDGKEYQAGRFARDLRLECFRLVLGYLSDPSEDLQDPVSDKFF KEIWVSTAARNATIYDKVFRCLPNDEVHNLIQLRDFINKPILAKEDRLRAEEELRKIRGF LVQFPLYFLSEENLLPSVGTKEAIVPMEVWT >ENSMUSP00000121569.1 pep:known chromosome:GRCm38:3:28109759:28130795:1 gene:ENSMUSG00000027695.16 transcript:ENSMUST00000126594.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld1 description:phospholipase D1 [Source:MGI Symbol;Acc:MGI:109585] XIVIPLLPGFEGDISTGGGNALQAIMHFNYRTMCRGESSILEQLKPELGNKWINYISFCG LRTHAELEGNLVTELIYVHSKLLIADDNTVIIGSANINDRSMLGKRDSEMAVIVQDTETV PSVMDGKEYQAGRFARDLRLECFRLVLGYLSDPSEDLQDPVSDKFFKEIWVSTAARNATI YDKDVELSSNTSGAYDQPVMR >ENSMUSP00000033560.2 pep:known chromosome:GRCm38:X:98554277:98890985:-1 gene:ENSMUSG00000031214.13 transcript:ENSMUST00000033560.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ophn1 description:oligophrenin 1 [Source:MGI Symbol;Acc:MGI:2151070] MGHPPLEFSDCYLDSPDFRQRLKYYEEELERTNKFIKDVIKDGSALISAMRNYSSAVQKF SQTLQSFQFDFIGDTLTDDEINIAESFKEFAELLNEVENERMMMVQNASDLLIKPLETFR KEQIGFTKERKKKFEKDGERFYSLLDRHLHLSSKKKESQLLEADLQVDKERHNFFESSLD YVYQIQEVQESKKFNIVEPVLAFLHSLFISNSLTVELTQDFLPYKQQLQLSLQNTRNHFS STREEMEELKKRMKEAPQTCKLPGQPTIEGYLYTQEKWALGISWAKYYCRYEKETRMLTM IPMEQKPGAKQGPVDLTLKYCVRRKTESIDKRFCFDIETNERPGTITLQAPSEANRRLWM EAMDGKEPIYHTPITKQEEMELNEVGFKFVRKCINFIETKGIKTEGLYRTVGSNIQVQKL LYAFFDPKCPGDVDFHNSDWDIKTITSSLKFYLRNLSEPVMTYKLHKELVSAAKSDNLDY RLGAIHSLVYKLPEKNREMLELLIKHLVNVCEHSKENLMTPSNMGVIFGPTLMRAQEDTV AAMMNIKFQNIVVEILIEHFGKIYLGPPEDSQVPPVPPPRVTARRHKPITISKRLLREKT VFYTSSLDENKDESHHQTPNGTITSNLDPPKLLQHLKPPMQKSGETDPGRKSPSRPVSDC QSEPCLETDVGRLLFRLQDGGTKATPKASNGPVPGSGHTKTSSFHIRRPAPRPMAHHKEG DTDGFSKVRPPGEKQTIIRPPVRPPDPPCRSITPQKPEPKPETGSGNADEIPSSVVASRT RFFETASRKTGSSQGKLPGDES >ENSMUSP00000119361.1 pep:known chromosome:GRCm38:X:98732392:98890985:-1 gene:ENSMUSG00000031214.13 transcript:ENSMUST00000142267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ophn1 description:oligophrenin 1 [Source:MGI Symbol;Acc:MGI:2151070] MGHPPLEFSDCYLDSPDFRQRLKYYEEELERTNKFIKDVIKDGSALISAMRNYSSAVQKF SQTLQSFQFDFIGDTLTDDEINIAESFKEFAELLNEVENERMMMERKKKFEKDGERFYSL LDRHLHLSS >ENSMUSP00000109457.1 pep:known chromosome:GRCm38:X:98558251:98890781:-1 gene:ENSMUSG00000031214.13 transcript:ENSMUST00000113826.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ophn1 description:oligophrenin 1 [Source:MGI Symbol;Acc:MGI:2151070] MGHPPLEFSDCYLDSPDFRQRLKYYEEELERTNKFIKDVIKDGSALISAMRNYSSAVQKF SQTLQSFQFDFIGDTLTDDEINIAESFKEFAELLNEVENERMMMVQNASDLLIKPLETFR KEQIGFTKERKKKFEKDGERFYSLLDRHLHLSSKKKESQLLEADLQVDKERHNFFESSLD YVYQIQEVQESKKFNIVEPVLAFLHSLFISNSLTVELTQDFLPYKQQLQLSLQNTRNHFS STREEMEELKKRMKEAPQTCKLPGQPTIEGYLYTQEKWALGISWAKYYCRYEKETRMLTM IPMEQKPGAKQGPVDLTLKYCVRRKTESIDKRFCFDIETNERPGTITLQAPSEANRRLWM EAMDGKEPIYHTPITKQEEMELNEVGFKFVRKCINFIETKGIKTEGLYRTVGSNIQVQKL LYAFFDPKCPGDVDFHNSDWDIKTITSSLKFYLRNLSEPVMTYKLHKELVSAAKSDNLDY RLGAIHSLVYKLPEKNREMLELLIKHLVNVCEHSKENLMTPSNMGVIFGPTLMRAQEDTV AAMMNIKFQNIVVEILIEHFGKIYLGPPEDSQVPPVPPPRVTARRHKPITISKRLLREKT VFYTSSLDENKDESHHQTPNGTITSNLDPPKLLQHLKPPMQKSGETDPGRKSPSRPVSDC QSEPCLETDVGRLLFRLQDGGTKATPKASNGPVPGSGHTKTSSFHIRRPAPRPMAHHKEG DTDGFSKVRPPGEKQTIIRPPVRPPDPPCRSITPQKPEPKPETGSGNADEIPSSVVASRT RFFETASRKTGSSQGKLPGDES >ENSMUSP00000121723.1 pep:known chromosome:GRCm38:X:98558257:98578489:-1 gene:ENSMUSG00000031214.13 transcript:ENSMUST00000147529.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ophn1 description:oligophrenin 1 [Source:MGI Symbol;Acc:MGI:2151070] TPKASNGPVPGSGHTKTSSFHIRRPAPRPMAHHKEGDTDGFSKVRPPGEKQTIIRPPVRP PDPPCRSITPQKPEPKPETGSGNADEIPSSVRAWGFFSLFLARKRVASRTRFFETASRKT GSSQGKLPGDES >ENSMUSP00000103849.2 pep:known chromosome:GRCm38:4:24612554:24800890:-1 gene:ENSMUSG00000040387.16 transcript:ENSMUST00000108214.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl32 description:kelch-like 32 [Source:MGI Symbol;Acc:MGI:3612790] MPSEHCLSIQEMLTGQRLCHSETHNDTVLAALNQQRSDGILCDVTLIAEEQKFHAHKAVL AACSDYFRAMFSLCMVESGADEVNLRGVTSLGLKQALEFAYTGQILLEPGVIQDVLAAGS HLQLLELLNLCSHYLIQELNSFNYLDLYRLADLFHLTLLEKAVIDFLVKHLSELLKSRPE DVLTLPYCLLQEVLKSDRLTSLSEEQIWQNKWISRSPMLQRRVYHSMAAVQRKLYVLGGN DLDYNNDRILVRHIDSYNIDTDQWTRCNFNLLTGQNESGVAVHNGRIYLVGGYSIWTNEP LACIQVLDVSREGKEEVFYGPTLPFASNGIAACFLPAPYFTCPNLQTLQVPHHRIGTV >ENSMUSP00000103853.3 pep:known chromosome:GRCm38:4:24612554:24851124:-1 gene:ENSMUSG00000040387.16 transcript:ENSMUST00000108218.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl32 description:kelch-like 32 [Source:MGI Symbol;Acc:MGI:3612790] MPSEHCLSIQEMLTGQRLCHSETHNDTVLAALNQQRSDGILCDVTLIAEEQKFHAHKAVL AACSDYFRAMFSLCMVESGADEVNLRGVTSLGLKQALEFAYTGQILLEPGVIQDVLAAGS HLQLLELLNLCSHYLIQELNSFNYLDLYRLADLFHLTLLEKAVIDFLVKHLSELLKSRPE DVLTLPYCLLQEVLKSDRLTSLSEEQIWQLAVRWLEHNCHYQHLDELLQYIRFGLMDVDT LHTVALSHPLVQASETATALVNEALEYHQSIYAQPVWQTRRTKPRFQSDTLYIIGGKKRE VCKVKELRYFNPVDQENALIAAIANWSELAPMPVGRSHHCVAVMGDFLFVAGGEVEHASG RTCAVRTACRYDPRSNSWAEIAPMKNCREHFVLGAMDEYLYAVGGRNELCQVLPTVERYC PKKNKWTFVQSFDRSLSCHAGYVADGLLWISGGVTNTAQYQNRLMVYEPNQNKWISRSPM LQRRVYHSMAAVQRKLYVLGGNDLDYNNDRILVRHIDSYNIDTDQWTRCNFNLLTGQNES GVAVHNGRIYLVGGYSIWTNEPLACIQVLDVSREGKEEVFYGPTLPFASNGIAACFLPAP YFTCPNLQTLQVPHHRIGTV >ENSMUSP00000135917.1 pep:known chromosome:GRCm38:4:24615966:24743965:-1 gene:ENSMUSG00000040387.16 transcript:ENSMUST00000150920.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl32 description:kelch-like 32 [Source:MGI Symbol;Acc:MGI:3612790] AMFSLCMVESGADEVNLRGVTSLGLKQALEFAYTGQAMPLLQVCSLFLLL >ENSMUSP00000137312.1 pep:known chromosome:GRCm38:4:24616055:24850931:-1 gene:ENSMUSG00000040387.16 transcript:ENSMUST00000140652.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Klhl32 description:kelch-like 32 [Source:MGI Symbol;Acc:MGI:3612790] MPSEHCLSIQEMLTGQRLCHSETHNDTVLAALNQQRSDGILCDVTLIAEEQKFHAHKAVL AACSDYFRAMFSLCMVESGADEVNLRGVTSLGLKQALEFAYTGQILLEPGVIQDVLAAGS HLQLLELLNLCSHYLIQVG >ENSMUSP00000081839.5 pep:known chromosome:GRCm38:4:24617273:24851086:-1 gene:ENSMUSG00000040387.16 transcript:ENSMUST00000084781.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl32 description:kelch-like 32 [Source:MGI Symbol;Acc:MGI:3612790] MPSEHCLSIQEMLTGQRLCHSETHNDTVLAALNQQRSDGILCDVTLIAEEQKFHAHKAVL AACSDYFRAMFSLCMVESGADEVNLRGVTSLGLKQALEFAYTGQELNSFNYLDLYRLADL FHLTLLEKAVIDFLVKHLSELLKSRPEDVLTLPYCLLQEVLKSDRLTSLSEEQIWQLAVR WLEHNCHYQHLDELLQYIRFGLMDVDTLHTVALSHPLVQASETATALVNEALEYHQSIYA QPVWQTRRTKPRFQSDTLYIIGGKKREVCKVKELRYFNPVDQENALIAAIANWSELAPMP VGRSHHCVAVMGDFLFVAGGEVEHASGRTCAVRTACRYDPRSNSWAEIAPMKNCREHFVL GAMDEYLYAVGGRNELCQVLPTVERYCPKKNKWTFVQSFDRSLSCHAGYVADGLLWISGG VTNTAQYQNRLMVYEPNQNKWISRSPMLQRRVYHSMAAVQRKLYVLGGNDLDYNNDRILV RHIDSYNIDTDQWTRCNFNLLTGQNESGVAVHNGRIYLVGGYSIWTNEPLACIQVLDVSR EGKEEVFYGPTLPFASNGIAACFLPAPYFTCPNLQTLQVPHHRIGTV >ENSMUSP00000119656.1 pep:known chromosome:GRCm38:3:88364584:88368033:1 gene:ENSMUSG00000041423.16 transcript:ENSMUST00000147948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr6 description:progestin and adipoQ receptor family member VI [Source:MGI Symbol;Acc:MGI:1916207] MLSLKMPQLLRVHQVPRVFWEEGIMSGYRCPTSSALDCVLSSFQMTNETVNIWTHFLPTW FPELEYPGFSKALRTAAFAYPFLFDNLPLFYRLRLCWGGAHSCGRDALSSNHGYHLLCAL LSGFLFAARLPERLAPGRFDYIGHSHQLFHICAVLGTHFQLEAVLADMGSRRAWLAVQEP TLGLGATVATLSLAVIGNLFIIAAFTASLLRMPGPCPLLQGSPLEEGLQAKQQ >ENSMUSP00000114166.1 pep:known chromosome:GRCm38:3:88364589:88368541:1 gene:ENSMUSG00000041423.16 transcript:ENSMUST00000147991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paqr6 description:progestin and adipoQ receptor family member VI [Source:MGI Symbol;Acc:MGI:1916207] MLSLKMPQLLRVHQVPRVFWEEGIMSGYRCPTSSALDCVLSSFQMTNETVNIWTHFLPTW YFLWRLLALGSPGFRADPYHLPLLVFLLPACLYPFASCCAHTFSSMSPRARHICYFLDYG ALSLYSLGCAFPYAAYSMPASWLHSRLHQLFVPAAALNSFLCTGLSCYSRFPELEYPGFS KALRTAAFAYPFLFDNLPLFYRLRLCWGGAHSCGRDALSSNHGYHLLCALLSGFLFAARL PERLAPGRFDYIGHSHQLFHICAVLGTHFQLEAVLADMGSRRAWLAVQEPTLGLGATVAT LSLAVIGNLFIIAAFTASLLRMPGPCPLLQGSPLEEGLQAKQQ >ENSMUSP00000110746.1 pep:known chromosome:GRCm38:X:42067836:42109656:1 gene:ENSMUSG00000025860.14 transcript:ENSMUST00000115094.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xiap description:X-linked inhibitor of apoptosis [Source:MGI Symbol;Acc:MGI:107572] MTFNSFEGTRTFVLADTNKDEEFVEEFNRLKTFANFPSSSPVSASTLARAGFLYTGEGDT VQCFSCHAAIDRWQYGDSAVGRHRRISPNCRFINGFYFENGAAQSTNPGIQNGQYKSENC VGNRNPFAPDRPPETHADYLLRTGQVVDISDTIYPRNPAMCSEEARLKSFQNWPDYAHLT PRELASAGLYYTGADDQVQCFCCGGKLKNWEPCDRAWSEHRRHFPNCFFVLGRNVNVRSE SGVSSDRNFPNSTNSPRNPAMAEYEARIVTFGTWTSSVNKEQLARAGFYALGEGDKVKCF HCGGGLTDWKPSEDPWEQHAKWYPGCKYLLDEKGQEYINNIHLTHSLEESLGRTAEKTPS LTKKIDDTIFQNPMVQEAIRMGFSFKDIKKTMEEKIQTSGSSYLSLEVLIADLVSAQKDN TEDESSQTSLQKDISTEEQLRRLQEEKLCKICMDRNIAIVFVPCGHLVTCKQCAEAVDKC PMCYTVITFKQKIFMS >ENSMUSP00000121482.1 pep:known chromosome:GRCm38:X:42067881:42094556:1 gene:ENSMUSG00000025860.14 transcript:ENSMUST00000126375.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xiap description:X-linked inhibitor of apoptosis [Source:MGI Symbol;Acc:MGI:107572] MTFNSFEGTRTFVLADTNKDEEFVEEFNRLKTFANFPSSSPVSASTLARAGFL >ENSMUSP00000110747.2 pep:known chromosome:GRCm38:X:42068398:42109656:1 gene:ENSMUSG00000025860.14 transcript:ENSMUST00000115095.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xiap description:X-linked inhibitor of apoptosis [Source:MGI Symbol;Acc:MGI:107572] MTFNSFEGTRTFVLADTNKDEEFVEEFNRLKTFANFPSSSPVSASTLARAGFLYTGEGDT VQCFSCHAAIDRWQYGDSAVGRHRRISPNCRFINGFYFENGAAQSTNPGIQNGQYKSENC VGNRNPFAPDRPPETHADYLLRTGQVVDISDTIYPRNPAMCSEEARLKSFQNWPDYAHLT PRELASAGLYYTGADDQVQCFCCGGKLKNWEPCDRAWSEHRRHFPNCFFVLGRNVNVRSE SGVSSDRNFPNSTNSPRNPAMAEYEARIVTFGTWTSSVNKEQLARAGFYALGEGDKVKCF HCGGGLTDWKPSEDPWEQHAKWYPGCKYLLDEKGQEYINNIHLTHSLEESLGRTAEKTPS LTKKIDDTIFQNPMVQEAIRMGFSFKDIKKTMEEKIQTSGSSYLSLEVLIADLVSAQKDN TEDESSQTSLQKDISTEEQLRRLQEEKLCKICMDRNIAIVFVPCGHLVTCKQCAEAVDKC PMCYTVITFKQKIFMS >ENSMUSP00000061074.3 pep:known chromosome:GRCm38:X:42071325:42109656:1 gene:ENSMUSG00000025860.14 transcript:ENSMUST00000055483.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xiap description:X-linked inhibitor of apoptosis [Source:MGI Symbol;Acc:MGI:107572] MTFNSFEGTRTFVLADTNKDEEFVEEFNRLKTFANFPSSSPVSASTLARAGFLYTGEGDT VQCFSCHAAIDRWQYGDSAVGRHRRISPNCRFINGFYFENGAAQSTNPGIQNGQYKSENC VGNRNPFAPDRPPETHADYLLRTGQVVDISDTIYPRNPAMCSEEARLKSFQNWPDYAHLT PRELASAGLYYTGADDQVQCFCCGGKLKNWEPCDRAWSEHRRHFPNCFFVLGRNVNVRSE SGVSSDRNFPNSTNSPRNPAMAEYEARIVTFGTWTSSVNKEQLARAGFYALGEGDKVKCF HCGGGLTDWKPSEDPWEQHAKWYPGCKYLLDEKGQEYINNIHLTHSLEESLGRTAEKTPS LTKKIDDTIFQNPMVQEAIRMGFSFKDIKKTMEEKIQTSGSSYLSLEVLIADLVSAQKDN TEDESSQTSLQKDISTEEQLRRLQEEKLCKICMDRNIAIVFVPCGHLVTCKQCAEAVDKC PMCYTVITFKQKIFMS >ENSMUSP00000026978.6 pep:known chromosome:GRCm38:X:42093728:42109656:1 gene:ENSMUSG00000025860.14 transcript:ENSMUST00000026978.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xiap description:X-linked inhibitor of apoptosis [Source:MGI Symbol;Acc:MGI:107572] MTFNSFEGTRTFVLADTNKDEEFVEEFNRLKTFANFPSSSPVSASTLARAGFLYTGEGDT VQCFSCHAAIDRWQYGDSAVGRHRRISPNCRFINGFYFENGAAQSTNPGIQNGQYKSENC VGNRNPFAPDRPPETHADYLLRTGQVVDISDTIYPRNPAMCSEEARLKSFQNWPDYAHLT PRELASAGLYYTGADDQVQCFCCGGKLKNWEPCDRAWSEHRRHFPNCFFVLGRNVNVRSE SGVSSDRNFPNSTNSPRNPAMAEYEARIVTFGTWTSSVNKEQLARAGFYALGEGDKVKCF HCGGGLTDWKPSEDPWEQHAKWYPGCKYLLDEKGQEYINNIHLTHSLEESLGRTAEKTPS LTKKIDDTIFQNPMVQEAIRMGFSFKDIKKTMEEKIQTSGSSYLSLEVLIADLVSAQKDN TEDESSQTSLQKDISTEEQLRRLQEEKLCKICMDRNIAIVFVPCGHLVTCKQCAEAVDKC PMCYTVITFKQKIFMS >ENSMUSP00000130924.1 pep:known chromosome:GRCm38:11:67798287:67816002:1 gene:ENSMUSG00000033044.12 transcript:ENSMUST00000168612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhrs7c description:dehydrogenase/reductase (SDR family) member 7C [Source:MGI Symbol;Acc:MGI:1915710] MGLMAVLMLPLLLLGISGLLFIYQEASRLWSKSAVQNKVVVITDAISGLGKECARVFHAG GARLVLCGKNWEGLESLYATLTSVADPSKTFTPKLVLLDLSDISCVQDVAKEVLDCYGCV DILINNASVKVKGPAHKISLELDKKIMDANYFGPITLTKVLLPNMISRRTGQIVLVNNIQ AKFGIPFRTAYAASKHAVMGFFDCLRAEVEEYDVVVSTVSPTFIRSYRASPEQRNWETSI CKFFCRKLAYGVHPVEVAEEVMRTVRRKKQEVFMANPVPKAAVFIRTFFPEFFFAVVACG VKEKLNVPEEG >ENSMUSP00000108855.1 pep:known chromosome:GRCm38:5:88720855:88764220:1 gene:ENSMUSG00000006262.15 transcript:ENSMUST00000113229.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob1b description:MOB kinase activator 1B [Source:MGI Symbol;Acc:MGI:1915723] MSFLFGSRSSKTFKPKKNIPEGSHQYELLKHAEATLGSGNLRMAVMLPEGEDLNEWVAVN NICFAAVDFFNQINMLYGTITDFCTEESCPVMSAGPKYEYHWADGTNIKKPIKCSAPKYI DYLMTWVQDQLDDETLFPSKIGVPFPKNFMSVAKTILKRLFRVYAHIYHQHFDPVIQLQE EAHLNTSFKHFIFFVQEFNLIDRRELAPLQELIEKLTSKDR >ENSMUSP00000006424.7 pep:known chromosome:GRCm38:5:88720871:88757989:1 gene:ENSMUSG00000006262.15 transcript:ENSMUST00000006424.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mob1b description:MOB kinase activator 1B [Source:MGI Symbol;Acc:MGI:1915723] MSFLFGSRSSKTFKPKKNIPEGSHQYELLKHAEATLGSGNLRMAVMLPEGEDLNEWVAVN TVDFFNQINMLYGTITDFCTEESCPVMSAGPKYEYHWADGTNIKKPIKCSAPKYIDYLMT WVQDQLDDETLFPSKIGVPFPKNFMSVAKTILKRLFRVYAHIYHQHFDPVIQLQEEAHLN TSFKHFIFFVQEFNLIDRRELAPLQELIEKLTSKDR >ENSMUSP00000092693.3 pep:known chromosome:GRCm38:4:56740005:56741443:-1 gene:ENSMUSG00000070980.4 transcript:ENSMUST00000095080.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl7b description:actin-like 7b [Source:MGI Symbol;Acc:MGI:1343053] MATKNSPSPKPMGTAQGDPGEAGTLPAPEAAGIRDTGSTQLKTKPKKIRKIKALVIDLGS QYCKCGYAGEPRPTYFISSTVGKRSAEMAADAGDNFKETYVGHELLNMEASLKLVNPLKH GVVVDWDCIQNIWEYIFHTAMKIMPEEHAVLVSDPPLSPTSNREKYAELLFETFGIPAMH VTSQALLSIYSYGKTSGLVVESGHGVSHVVPISEGDLLPGLPSRVDYAGCDLTNYLMQLL NEAGHKFSDDHLHIIEHIKKKCCYAALLPEEEMSLGLDELHVDYELPDGKIITIGQERFR CSEMLFKPSLVGCTQPGLPELTATCLARCQGTGFKEEMAANVLLCGGCTMLDGFPERFQR ELSLLCPGDSPTVAAAPERKTSVWTGGSILASLQAFQQLWVSKEEFEERGCAAIYSKC >ENSMUSP00000131991.1 pep:known chromosome:GRCm38:14:31208312:31211729:1 gene:ENSMUSG00000091898.8 transcript:ENSMUST00000169169.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnnc1 description:troponin C, cardiac/slow skeletal [Source:MGI Symbol;Acc:MGI:98779] MDDIYKAAVEQLTEEQKNEFKAAFDIFVLGAEDGCISTKELGKVMRMLGQNPTPEELQEM IDEVDEDGSGTVDFDEFLVMMVRCMKDDSKGKSEEELSDLFRMFDKNADGYIDLDELKMM LQATGETITEDDIEELMKDGDKNNDGRIDYDEFLEFMKGVE >ENSMUSP00000128765.1 pep:known chromosome:GRCm38:14:31208330:31211636:1 gene:ENSMUSG00000091898.8 transcript:ENSMUST00000170268.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnnc1 description:troponin C, cardiac/slow skeletal [Source:MGI Symbol;Acc:MGI:98779] MDDIYKAAVEQLTEEQKNEFKAAFDIFVLGAEDGCISTKELGKVMRMLGQNPTPEELQEM IDEVDEDGSGTVDFDEFLVMMVRCMKDDSKGKSEEELSDLFRMFDK >ENSMUSP00000143773.1 pep:known chromosome:GRCm38:3:36179424:36222275:-1 gene:ENSMUSG00000058400.13 transcript:ENSMUST00000197447.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrfpr description:pyroglutamylated RFamide peptide receptor [Source:MGI Symbol;Acc:MGI:2677633] MQALNITAEQFSRLLSAHNLTREQFIHRYGLRPLVYTPELPARAKLAFALAGALIFALAL FGNSLVIYVVTRSKAMRTVTNIFICSLALSDLLIAFFCIPVTMLQNISDKWLGGAFICKM VPFVQSTAVVTEILTMTCIAVERHQGLIHPFKMKWQYTTRRAFTILGVVWLAAIIVGSPM WHVQRLEIKYDFLYEKEHVCCLEEWASPMHQRIYTTFILVILFLLPLVEEEAGCRYDGDS GGSLRCVLGTFPCCSHDG >ENSMUSP00000088768.5 pep:known chromosome:GRCm38:3:36179426:36222313:-1 gene:ENSMUSG00000058400.13 transcript:ENSMUST00000091227.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Qrfpr description:pyroglutamylated RFamide peptide receptor [Source:MGI Symbol;Acc:MGI:2677633] MQALNITAEQFSRLLSAHNLTREQFIHRYGLRPLVYTPELPARAKLAFALAGALIFALAL FGNSLVIYVVTRSKAMRTVTNIFICSLALSDLLIAFFCIPVTMLQNISDKWLGGAFICKM VPFVQSTAVVTEILTMTCIAVERHQGLIHPFKMKWQYTTRRAFTILGVVWLAAIIVGSPM WHVQRLEIKYDFLYEKEHVCCLEEWASPMHQRIYTTFILVILFLLPLVVMLVLYSKIGYE LWIKKRVGDSSALQTIHGKEMSKIARKKKRAVVMMVTVVALFAACWAPFHVVHMMVEYSN FEKEYDDVTIKMVFAVAQTIGFFNSICNPFVYAFMNENFKKNFLSAVCYCIVRETFSPGQ KPGNSGISMMQKRAKLSRSQRPVAEAKGDLFSDANVDVKLCEQPGEKRQLKRQLAFFSSE LSENSTFGSGHEL >ENSMUSP00000141239.1 pep:known chromosome:GRCm38:Y:19113007:19139093:1 gene:ENSMUSG00000103371.1 transcript:ENSMUST00000194086.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm35134 description:predicted gene, 35134 [Source:MGI Symbol;Acc:MGI:5594293] MRKMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDGYISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAGKEMHEKSMEVLMNLGTKN >ENSMUSP00000058363.1 pep:known chromosome:GRCm38:3:69574158:69598960:-1 gene:ENSMUSG00000043300.2 transcript:ENSMUST00000061826.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3galnt1 description:UDP-GalNAc:betaGlcNAc beta 1,3-galactosaminyltransferase, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1349405] MAPAVLTALPNRMSLRSLKWSLLLLSLLSFLVIWYLSLPHYNVIERVNWMYFYEYEPIYR QDFRFTLREHSNCSHQNPFLVILVTSRPSDVKARQAIRVTWGEKKSWWGYEVLTFFLLGQ QAEREDKTLALSLEDEHVLYGDIIRQDFLDTYNNLTLKTIMAFRWVMEFCPNAKYIMKTD TDVFINTGNLVKYLLNLNHSEKFFTGYPLIDNYSYRGFFHKNHISYQEYPFKVFPPYCSG LGYIMSGDLVPRVYEMMSHVKPIKFEDVYVGICLNLLKVDIHIPEDTNLFFLYRIHLDVC QLRRVIAAHGFSSKEIITFWQVMLRNTTCHY >ENSMUSP00000054112.5 pep:known chromosome:GRCm38:4:3574875:3616619:1 gene:ENSMUSG00000028233.6 transcript:ENSMUST00000052712.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgs1 description:trimethylguanosine synthase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:2151797] MCCEKWNHVAEMLLFIEDREEEYKILCLCSRAFVEDRKLYNLGLKGYYVKSSGNNAGDQG TEEEEDGHSNGTAESHSPNESDLDSEAKLMRSMGLPIQFGRMSSHENFEMSMNARNKAKV KQKRRKHQKRYLDEMVRESWRNDYEEDDLVVSDDPSSVEHCENNRTCEIQSKAGSEVENL PVENTLAPKLEVPENWEKYWNEYGEGLLWQSWQEKYPDQTLSSEPWNLPDTKEEWEQHYS QLYWYYLEQFQYWEAQGWTFTASQNCDKDVYTSHTEVDQNAESSLKADVMTFSSSPNIVE DEIPGSNDNDHNEIITAINNITVSAEKVEQSQLDSSQHCDEPLSEITGKECPASGGSDSC NGTPKENDISENRSSDQPAKELQESSGTNKGKHRPHHNGADGHESDDDPPEHKPSKVKRS HELDVDENPDSEVDDNGFLLGFKHGSGQKYGGIPNFSHRQVRYLEKNVKYKSKYLDLRKQ MPVKSKHILFTEDSGKPFVVCKSKVRSKVEKFLKWVNERVDEETSQDSLSQNKMQDTCTS SDSEEQDMSLEKADNLMETRDPEPEKCQIISSATELEAEKSEVGSLVATVPENCSTEEIP NSPHAETEVEIKKKKKKNKNKKINDLPPEIASVPELAKYWAQRYRLFSRFDDGIKLDKEG WFSVTPEKIAEHIAGRVSQAFRCDVVVDAFCGVGGNTIQFALTGKRVIAIDIDPVKIDLA RNNAEVYGIADKIEFICGDFLLLAPCLKADVVFLSPPWGGPDYATAETFDIRTMMSPDGF EIFRLSQKITNNIVYFLPRNADIDQVASLAGLGGQVEIEQNFLNNKLKTITAYFGDLIRR PALLKTSTSEAEV >ENSMUSP00000080440.2 pep:known chromosome:GRCm38:11:58501598:58502572:-1 gene:ENSMUSG00000058807.4 transcript:ENSMUST00000081743.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr331 description:olfactory receptor 331 [Source:MGI Symbol;Acc:MGI:3030165] MDLTTWMNNYTTQSDFTLVGFFSQSKHPALLAVVIFVVFLMALSGNALLILLILSDIHIP IHLHIPMYFFISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYLTLAGSEY FLLAAMSYDHYVAICHPLQYPVLMNHRVCLLLMSVCWILGSLDGFMFTPVTMTFPFCGSR EIHHFFCEVPAVTKLSCSDTWLYETLMYVCCVLMLLIPVTVISGSYTSILLTVLRMNSAE GRKKALATCSSHMTVVTLYYGAAIYTYIFPASLHTPEKDMVVSMFYTILTPLLNPLIYSF RNKNVTEAMKKLLGVSTLFQETVK >ENSMUSP00000132693.2 pep:known chromosome:GRCm38:11:58501598:58502554:-1 gene:ENSMUSG00000058807.4 transcript:ENSMUST00000170501.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr331 description:olfactory receptor 331 [Source:MGI Symbol;Acc:MGI:3030165] MNNYTTQSDFTLVGFFSQSKHPALLAVVIFVVFLMALSGNALLILLILSDIHIPIHLHIP MYFFISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYLTLAGSEYFLLAAM SYDHYVAICHPLQYPVLMNHRVCLLLMSVCWILGSLDGFMFTPVTMTFPFCGSREIHHFF CEVPAVTKLSCSDTWLYETLMYVCCVLMLLIPVTVISGSYTSILLTVLRMNSAEGRKKAL ATCSSHMTVVTLYYGAAIYTYIFPASLHTPEKDMVVSMFYTILTPLLNPLIYSFRNKNVT EAMKKLLGVSTLFQETVK >ENSMUSP00000104763.2 pep:known chromosome:GRCm38:11:50811086:50827690:-1 gene:ENSMUSG00000044807.13 transcript:ENSMUST00000109135.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp354c description:zinc finger protein 354C [Source:MGI Symbol;Acc:MGI:1353621] MAVDLLAARGTEPVTFRDVAVSFSQDEWLHLDPAQRSLYREVMLENYSNLASLGFQASIP PVIGKLQKGQDPCMEREAPEDTCLDFEIWPEIEALPPKQDVLTKETSHGLIKNGSTKCVY WKISFGELVKTECRDIAQEQEKKVHGPGAESPKETTSEDGTPTGFEPEKPLFISKALVSQ EGDPTESVPATYHTSEKDLPQDFDLMRSFQMYPGQKPHVCSECGKGFTQSLHLLEHKRLH TGEKPYKCSECGKSFSHRSSLLAHQRTHTGEKPYKCSECEKAFGSSSTLIKHLRVHTGEK PYRCRQCGKAFSQCSTLTVHQRIHTGEKLYKCAECDKAFNCRAKLHRHQRIHTGEKPYKC AECGKGYSQFPSLAEHQRLHTGEQLCQCLQCGRTFTRVSTLIEHQRIHTGQKPYQCNECG KTFNQYSSFNEHRKIHTGEKLYTCEECGKAFGCKSNLYRHQRIHTGEKPYQCNQCGKAFS QYSFLTEHERIHTGEKLYKCMECGKAYSYRSNLCRHKKVHLKERLYKWKEYGTPFIYGSS LTPYQKFLKGDKPENFNSSL >ENSMUSP00000000632.6 pep:known chromosome:GRCm38:11:50814564:50826458:-1 gene:ENSMUSG00000044807.13 transcript:ENSMUST00000000632.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp354c description:zinc finger protein 354C [Source:MGI Symbol;Acc:MGI:1353621] MAVDLLAARGTEPVTFRDVAVSFSQDEWLHLDPAQRSLYREVMLENYSNLASLGFQASIP PVIGKLQKGQDPCMEREAPEDTCLDFEIWPEIEALPPKQDVLTKETSHGLIKNGSTKCVY WKISFGELVKTECRDIAQEQEKKVHGPGAESPKETTSEDGTPTGFEPEKPLFISKALVSQ EGDPTESVPATYHTSEKDLPQDFDLMRSFQMYPGQKPHVCSECGKGFTQSLHLLEHKRLH TGEKPYKCSECGKSFSHRSSLLAHQRTHTGEKPYKCSECEKAFGSSSTLIKHLRVHTGEK PYRCRQCGKAFSQCSTLTVHQRIHTGEKLYKCAECDKAFNCRAKLHRHQRIHTGEKPYKC AECGKGYSQFPSLAEHQRLHTGEQLCQCLQCGRTFTRVSTLIEHQRIHTGQKPYQCNECG KTFNQYSSFNEHRKIHTGEKLYTCEECGKAFGCKSNLYRHQRIHTGEKPYQCNQCGKAFS QYSFLTEHERIHTGEKLYKCMECGKAYSYRSNLCRHKKVHLKERLYKWKEYGTPFIYGSS LTPYQKFLKGDKPENFNSSL >ENSMUSP00000049206.9 pep:known chromosome:GRCm38:17:52602709:52979194:1 gene:ENSMUSG00000035580.10 transcript:ENSMUST00000039366.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnh8 description:potassium voltage-gated channel, subfamily H (eag-related), member 8 [Source:MGI Symbol;Acc:MGI:2445160] MPVMKGLLAPQNTFLDTIATRFDGTHSNFILANAQVAKGFPIVYCSDGFCELAGFARTEV MQKSCSCKFLFGVETNEQLMLQIEKSLEEKVEFKGEIMFYKKNGAPFWCLLDIVPIKNEK GDVVLFLASFKDITDTKVKITSEDKKEDRTKGRSRAGSHFDSARRRSRAVLYHISGHLQR REKNKLKINNNVFVDKPAFPEYKVSDAKKSKFILLHFSTFKAGWDWLILLATFYVAVTVP YNVCFIGNEDLSTTRSTTVSDIAVEILFIIDIILNFRTTYVSKSGQVIFEARSICIHYVT TWFIIDLIAALPFDLLYAFNVTVVSLVHLLKTVRLLRLLRLLQKLDRYSQHSTIVLTLLM SMFALLAHWMACIWYVIGKMEREDNSLLKWEVGWLHELGKRLESPYYGNNTLGGPSIRSA YIAALYFTLSSLTSVGFGNVSANTDAEKIFSICTMLIGALMHALVFGNVTAIIQRMYSRW SLYHTRTKDLKDFIRVHHLPQQLKQRMLEYFQTTWSVNNGIDSNELLKDFPDELRSDITM HLNKEILQLSLFECASRGCLRSLSLHIKTSFCAPGEYLLRQGDALQAIYFVCSGSMEVLK DSMVLAILGKGDLIGANLSIKDQVIKTNADVKALTYCDLQCIILKGLFEVLGLYPEYAHK FVEDIQHDLTYNLREGHESDVISRLSNKSTVSQAEPKGNGSINKRLPSIVEDEEEEEVEE EETTSLSPIYTRGSSVSHSKKTGSNKTYLGLSLKQLASGTVPFHSPIRVSSANSPKTKQE ADPPNHGRKKEKNLKVQLSSLGSAGTPELSPRIVDGIEDGNSNEETQTFDFGSEQIRPEP RISPPLAESEIGAAFLFIKAEETKQQINKLNSEVTTLTQEVSQLGRDMRSIMQLLENILS PQQPSQFCSLHPTPMCPSRESLQTRVSWSAHQPCLHLQAGGAHLYHGNVASGIWSVDPSL VGSSPQRTEAHEQNPADSELHHSPNLDYSPSHCQVIQEGHLQFLRCISPHSDTTLTPLQS ISATLSSSVCSSSETSLHLVLPSRSEEGSITHGPVSSFSLENLPGSWDREQMMSASSERL ENFPVEVVTSTADVKDSKAINV >ENSMUSP00000131334.2 pep:known chromosome:GRCm38:14:6296365:6304785:-1 gene:ENSMUSG00000094811.7 transcript:ENSMUST00000170923.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21103 description:predicted gene, 21103 [Source:MGI Symbol;Acc:MGI:5434458] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI SNINKVRELKLDMRKISNDMEEMCGILNLYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHHLRECTQIKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRRSTECAQEIHHC CLSSLITMAVMGCMLLLYFGFFGMNRP >ENSMUSP00000108357.3 pep:known chromosome:GRCm38:14:6296381:6304843:-1 gene:ENSMUSG00000094811.7 transcript:ENSMUST00000112737.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21103 description:predicted gene, 21103 [Source:MGI Symbol;Acc:MGI:5434458] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI SNINKVRELKLDMRKISNDMEEMCGILNLYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHHLRECTQIKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000137550.1 pep:known chromosome:GRCm38:14:6301794:6304780:-1 gene:ENSMUSG00000094811.7 transcript:ENSMUST00000179042.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21103 description:predicted gene, 21103 [Source:MGI Symbol;Acc:MGI:5434458] MFSWLLRLCQKENGDEGDTRPTKKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI SNINKVRELKLDMRKISNDMEEMCGILNLYMYENLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHHLRECTQIKEKVRILPNENRKVLLEQAGMQVSR GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000092692.4 pep:known chromosome:GRCm38:4:56743413:56744925:1 gene:ENSMUSG00000070979.5 transcript:ENSMUST00000095079.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Actl7a description:actin-like 7a [Source:MGI Symbol;Acc:MGI:1343051] MSLDGVWAPQTANIGDGPAKKASDQASMQTQVLQTASLKDGPAKRAVWVRRDNAETEDPV KSTMSKDRPRLEVTKAVVVDLGTGFCKCGFAGLPKPTHKISTTVGKPYMETAKTGDNRKE TFVGHELFNPDIHLKLVNPLRHGIIVDWDTVQDIWEYLFRQEMKIAPEEHAVLVSDPPLS PHTNREKYAEMLFETFNTPAMHIAYQSRLSMYSYGRTSGLVVEVGHGVSYVVPIYEGYPL PSITGRLDYAGSDLTTYLMNLMNNSGKHFSEDHLGIVEDIKTRCCFVALDPIEEKKIPAP EHEIHYTLPDGKEIRLGQERFLCSEMFFKPSLIKSMQLGLHTQTVSCLNKCDIALKRDLM GNILLCGGSTMLRGFPNRLQKELSSMCPNDTPQVNVLPERDTAVWTGGSILASLQGFQPL WVHRLEYEEHGPFFLYRRCF >ENSMUSP00000017090.5 pep:known chromosome:GRCm38:17:24047734:24073485:-1 gene:ENSMUSG00000016946.5 transcript:ENSMUST00000017090.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kctd5 description:potassium channel tetramerisation domain containing 5 [Source:MGI Symbol;Acc:MGI:1916509] MAENHCELLPPAPSGLGAGLGGGLCRRCSAGMGALAQRPGGVSKWVRLNVGGTYFLTTRQ TLCRDPKSFLYRLCQADPDLDSDKDETGAYLIDRDPTYFGPVLNYLRHGKLVINKDLAEE GVLEEAEFYNITSLIKLVKDKIRERDSKISQMPVKHVYRVLQCQEEELTQMVSTMSDGWK FEQLVSIGSSYNYGNEDQAEFLCVVSKELHNTPYGTTSEPSEKAKILQERGSRM >ENSMUSP00000136433.1 pep:known chromosome:GRCm38:4:73638121:73642161:1 gene:ENSMUSG00000096700.1 transcript:ENSMUST00000180092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11236 description:predicted gene 11236 [Source:MGI Symbol;Acc:MGI:3702277] MQREDNHVQSVRNDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGPWFDGHGNPPASYAPSLCIDGAISLGPSFSPWTDPEIKIFLQEWQ VVEREFGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTMKDLHSTLSRERSRTVPL FSPYRDYLERIFDPKCQRGHVPGVQYNWSGYHRPSSNPQTPMVMPSPVYQPWDYGMAASS GQLPWIPLLIMSSQDLLVPRWDAWNATYPLPVQHVFQASLPGDNNFQLPWSPRDESSSPQ >ENSMUSP00000137528.1 pep:known chromosome:GRCm38:4:73622984:73627027:1 gene:ENSMUSG00000094116.1 transcript:ENSMUST00000178319.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11237 description:predicted gene 11237 [Source:MGI Symbol;Acc:MGI:3702292] MQREDNRVQSVRNDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTRLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGPWFDGHGNPPASYAPSLCIDGAISLGPSFSPWTDPEIKIFLQEWQ VVEREFGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTMKDLHSTLSRERSRTVPL FSPYRDYLERIFDPKCQRGHVPGVQYNWSGYHRPSSNPQTPMVMPSPVYQPWDYGMAASS GQLPWIPLLIMSSQDLLVPRWDAWNATYPLPVQHVFQASLPGDNNFQLPWSPRDESSSPQ >ENSMUSP00000143657.1 pep:known chromosome:GRCm38:5:24685532:24710376:1 gene:ENSMUSG00000028954.11 transcript:ENSMUST00000197407.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nub1 description:negative regulator of ubiquitin-like proteins 1 [Source:MGI Symbol;Acc:MGI:1889001] MKTNGGLCRIRALGRSQLARQWRRMAQKKYLQAKLTQFLREDRIQLWKPPYTKENKEVGL AVKDLAKKYSERLECCENEVENIIEEIRRKAIERGTGNEHYRTTGIATIEVFLPPRLRKH DKKSLLETRLHVTGRDLRCQIAETFGFQENYIKIVINKKQLQLGKSLEEQGVTHNVKAMV LELKQSEEDVRKNLQLEEEEQNEAELKERRIQRTKRGLEILAERAEMVVDPETMPYLDIA NQTGRSLRIPPAERKALMLAMGYHEKGRAFLKRKEYGIALPCLLDADRYFCECKELLDTV DNYAVLQLDIVWCYFRLEQLECLDDAEKKLNLAQKCFKNCYGENHQRLVHIKGNCGKEKV LFLRLYLLQGIQNYHSGNGEEAREYLNKARQLFKELYIDPSKVHNLLQLGFTAQEARLGL RACDGNVDHAATHISNRREELAQIRKEEKEKRRRRLENVNTLRGMGYSTQAAKQALHQAR GNLDDALKVLLSNPHMWWLQDADPENNSRQASPSQESINQLVYMGFDTVVAEAALRVFGG NVQLAAQTLAHHGGSLPPDLQFSGEDSSPTPSTSPSDSAGTSSASTDEDMETEAVNEILE DIPEHEEDYLDSTLEDEEVIIAEYLSYVESISSAAKNN >ENSMUSP00000070265.6 pep:known chromosome:GRCm38:5:24685824:24710378:1 gene:ENSMUSG00000028954.11 transcript:ENSMUST00000068825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nub1 description:negative regulator of ubiquitin-like proteins 1 [Source:MGI Symbol;Acc:MGI:1889001] MAQKKYLQAKLTQFLREDRIQLWKPPYTKENKEVGLAVKDLAKKYSERLECCENEVENII EEIRRKAIERGTGNEHYRTTGIATIEVFLPPRLRKHDKKSLLETRLHVTGRDLRCQIAET FGFQENYIKIVINKKQLQLGKSLEEQGVTHNVKAMVLELKQSEEDVRKNLQLEEEEQNEA ELKERRIQRTKRGLEILAERAEMVVDPETMPYLDIANQTGRSLRIPPAERKALMLAMGYH EKGRAFLKRKEYGIALPCLLDADRYFCECKELLDTVDNYAVLQLDIVWCYFRLEQLECLD DAEKKLNLAQKCFKNCYGENHQRLVHIKGNCGKEKVLFLRLYLLQGIQNYHSGNGEEARE YLNKARQLFKELYIDPSKVHNLLQLGFTAQEARLGLRACDGNVDHAATHISNRREELAQI RKEEKEKRRRRLENVNTLRGMGYSTQAAKQALHQARGNLDDALKVLLSNPHMWWLQDADP ENNSRQASPSQESINQLVYMGFDTVVAEAALRVFGGNVQLAAQTLAHHGGSLPPDLQFSG EDSSPTPSTSPSDSAGTSSASTDEDMETEAVNEILEDIPEHEEDYLDSTLEDEEVIIAEY LSYVESISSAAKNN >ENSMUSP00000081519.3 pep:known chromosome:GRCm38:4:73607881:73657291:1 gene:ENSMUSG00000095935.1 transcript:ENSMUST00000084479.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm11238 description:predicted gene 11238 [Source:MGI Symbol;Acc:MGI:3702316] MQREDNRVQSVRNDKEANRRRRLRQEGQSSSGPCDSPWTEDEIWILLQEWAMVEYELGDP GNKMHAKAKSLSRRLSNRGLRKSKNSCLDVMVKMKDLHTSLCNERPRAYRLYSTYEWILY EILGHPRSQGGYVPGPWFDGHGNPPASYAPSLCIDGAISLGPSFSPWTDPEIKIFLQEWQ VVEREFGHPGQKIKQKSSLVCQRLYHRGLFKDIQSCLDLMWTMKDLHSTLSRERSRTVPL FSPYRDYLERIFDPKCQRGHVPGVQYNWSGYHRPSSNPQTPMVMPSPVYQPWDYGMAASS GQLPWIPLLIMSSQDLLVPRWDAWNATYPLPVQHVFQASLPGDNNFQLPWSPRDESSSPQ >ENSMUSP00000110200.1 pep:known chromosome:GRCm38:X:72825178:72842602:1 gene:ENSMUSG00000031344.11 transcript:ENSMUST00000114553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrq description:gamma-aminobutyric acid (GABA) A receptor, subunit theta [Source:MGI Symbol;Acc:MGI:1888498] MGIRGMLRAAALLLLIRTWLAESNGPSPTPKFHFELSSSTPEVILDLFNCKNCANEAVVQ KILDRVLSTYDVRLRPNFGGAPVPVSVSIYVSSIEQISEINMDYTITMFLHQTWKDTRLA YYETNLNLTLDYRMHEKLWVPDCYFVNSKDAFVHDVTVENRVFQLHPDGTVRYGIRLTTT AACSLDLQKFPMDKQSCKLEVESYGYTVEDIVLSWEDDNAIHITDGLHIPQYTYLGRTIT SKEVYFYTGSYMRLIVKFQVQREVRSYLVQVYWPTVLTTILSWISFWMNYDSSAARVTIG LTSILVLTTIDSHMRDKLPHISCIKAIDIYILVCLFFVFLSLLEYVYINYLFFSQVPRRN HRRCRKPRRVVARYRYQEVVVANVQDGLINVEDRVEDRAGPLPDSPMQAHLASQESLGSL VFTSEQAQLATSESLSLLSSASSQTQLATGESLSDLPSTSEQTVPECTIHFHGFLTNDSI IPIKIHSRSDACDDEDSEESLSSEESHGHGSSHTGRLKLQISQSA >ENSMUSP00000033711.5 pep:known chromosome:GRCm38:X:72825436:72838544:1 gene:ENSMUSG00000031344.11 transcript:ENSMUST00000033711.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gabrq description:gamma-aminobutyric acid (GABA) A receptor, subunit theta [Source:MGI Symbol;Acc:MGI:1888498] MGIRGMLRAAALLLLIRTWLAESNGPSPTPKFHFELSSSTPEVILDLFNCKNCANEAVVQ KILDRVLSTYDVRLRPNFGGAPVPVSVSIYVSSIEQISEINMDYTITMFLHQTWKDTRLA YYETNLNLTLDYRMHEKLWVPDCYFVNSKDAFVHDVTVENRVFQLHPDGTVRYGIRLTTT AACSLDLQKFPMDKQSCKLEVESYGYTVEDIVLSWEDDNAIHITDGLHIPQYTYLGRTIT SKEVYFYTGSYMRLIVKFQVQREVRSYLVQVYWPTVLTTILSWISFWMNYDSSAARVTIG LTSILVLTTIDSHMRDKLPHISCIKAIDIYILVCLFFVFLSLLEYVYINYLFFSQVPRRN HRRCRKPRRVVARYRYQEVVVANVQDGLINVEDRVEDRAGPLPDSPMQAHLASQESLGSL VFTSEQAQLATSESLSLLSSASSQTQLATGESLSDLPSTSEQTVPECTIHFHGFLTNDSI IPIKIHSRSDACDDEDSEESLSSEESHGHGSSHTGRLKLQISQRCVQEASWDLDKIEILQ DDISITSSWLGLDEQCKGDADSIWSLTDEELMACDQEKDSSSESEENCSPSPGCSFNEGF SFQLFKPNRVPKVDRWSRFLFPLSFGLFNVVYWLYHVY >ENSMUSP00000110377.1 pep:known chromosome:GRCm38:5:30711564:30799375:1 gene:ENSMUSG00000029168.14 transcript:ENSMUST00000114729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl5 description:dihydropyrimidinase-like 5 [Source:MGI Symbol;Acc:MGI:1929772] MLANSASVRILIKGGKVVNDDCTHEADVYIESGIIQQVGRELMIPGGAKVIDATGKLVIP GGIDTSTHFHQTFMNATCVDDFYHGTKAALVGGTTMIIGHVLPDKETSLVEAYEKCRALA DPKVCCDYALHVGITWWAPKVKAEMETLVREKGVNSFQMFMTYKDLYMLRDSELYQVFHA CRDIGAIPRVHAENGELVAEGAKEALDLGITGPEGIEISHPEELEAEATHRVITIANRTH CPIYLVNVSSISAGDVIAAAKMQGKVVLAETTNAHATLTGLHYYHQDWSHAAAYVTVPPL RLDTNTSTYLMSLLANDTLNIVASDHRPFTTKQKAMGKEDFTKIPHGVSGVQDRMSVVWE RGVVGGKMDENRFVAVTSSNAAKILNLYPRKGRIIPGADADVVVWDPEATKTISASTQVQ GGDFNLYENMRCHGVPLVTISRGRVVYENGVFMCAEGTGKFCPLRSFPDIVYKKLVQREK TLKVRGVDRTPYLGDVAIVVHPGKKEMGTPLADTPTRPVTRHGGMRDLHESSFSLSGSQI DDHVPKRASARILAPPGGRSSGIW >ENSMUSP00000085400.4 pep:known chromosome:GRCm38:5:30711894:30799369:1 gene:ENSMUSG00000029168.14 transcript:ENSMUST00000088081.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl5 description:dihydropyrimidinase-like 5 [Source:MGI Symbol;Acc:MGI:1929772] MLANSASVRILIKGGKVVNDDCTHEADVYIESGIIQQVGRELMIPGGAKVIDATGKLVIP GGIDTSTHFHQTFMNATCVDDFYHGTKAALVGGTTMIIGHVLPDKETSLVEAYEKCRALA DPKVCCDYALHVGITWWAPKVKAEMETLVREKGVNSFQMFMTYKDLYMLRDSELYQVFHA CRDIGAIPRVHAENGELVAEGAKEALDLGITGPEGIEISHPEELEAEATHRVITIANRTH CPIYLVNVSSISAGDVIAAAKMQGKVVLAETTNAHATLTGLHYYHQDWSHAAAYVTVPPL RLDTNTSTYLMSLLANDTLNIVASDHRPFTTKQKAMGKEDFTKIPHGVSGVQDRMSVVWE RGVVGGKMDENRFVAVTSSNAAKILNLYPRKGRIIPGADADVVVWDPEATKTISASTQVQ GGDFNLYENMRCHGVPLVTISRGRVVYENGVFMCAEGTGKFCPLRSFPDIVYKKLVQREK TLKVRGVDRTPYLGDVAIVVHPGKKEMGTPLADTPTRPVTRHGGMRDLHESSFSLSGSQI DDHVPKRASARILAPPGGRSSGIW >ENSMUSP00000098985.3 pep:known chromosome:GRCm38:5:30711901:30748014:1 gene:ENSMUSG00000029168.14 transcript:ENSMUST00000101442.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpysl5 description:dihydropyrimidinase-like 5 [Source:MGI Symbol;Acc:MGI:1929772] MLANSASVRILIKGGKVVNDDCTHEADVYIESGIIQQVGRELMIPGGAKVIDATGKLVIP GGIDTSTHFHQTFMNATCVDDFYHGTKQVFRFTVELF >ENSMUSP00000028166.2 pep:known chromosome:GRCm38:2:57106830:57115038:-1 gene:ENSMUSG00000026826.13 transcript:ENSMUST00000028166.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr4a2 description:nuclear receptor subfamily 4, group A, member 2 [Source:MGI Symbol;Acc:MGI:1352456] MPCVQAQYGSSPQGASPASQSYSYHSSGEYSSDFLTPEFVKFSMDLTNTEITATTSLPSF STFMDNYSTGYDVKPPCLYQMPLSGQQSSIKVEDIQMHNYQQHSHLPPQSEEMMPHSGSV YYKPSSPPTPSTPSFQVQHSPMWDDPGSLHNFHQNYVATTHMIEQRKTPVSRLSLFSFKQ SPPGTPVSSCQMRFDGPLHVPMNPEPAGSHHVVDGQTFAVPNPIRKPASMGFPGLQIGHA SQLLDTQVPSPPSRGSPSNEGLCAVCGDNAACQHYGVRTCEGCKGFFKRTVQKNAKYVCL ANKNCPVDKRRRNRCQYCRFQKCLAVGMVKEVVRTDSLKGRRGRLPSKPKSPQDPSPPSP PVSLISALVRAHVDSNPAMTSLDYSRFQANPDYQMSGDDTQHIQQFYDLLTGSMEIIRGW AEKIPGFADLPKADQDLLFESAFLELFVLRLAYRSNPVEGKLIFCNGVVLHRLQCVRGFG EWIDSIVEFSSNLQNMNIDISAFSCIAALAMVTERHGLKEPKRVEELQNKIVNCLKDHVT FNNGGLNRPNYLSKLLGKLPELRTLCTQGLQRIFYLKLEDLVPPPAIIDKLFLDTLPF >ENSMUSP00000108248.1 pep:known chromosome:GRCm38:2:57106830:57124003:-1 gene:ENSMUSG00000026826.13 transcript:ENSMUST00000112629.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr4a2 description:nuclear receptor subfamily 4, group A, member 2 [Source:MGI Symbol;Acc:MGI:1352456] MPCVQAQYGSSPQGASPASQSYSYHSSGEYSSDFLTPEFVKFSMDLTNTEITATTSLPSF STFMDNYSTGYDVKPPCLYQMPLSGQQSSIKVEDIQMHNYQQHSHLPPQSEEMMPHSGSV YYKPSSPPTPSTPSFQVQHSPMWDDPGSLHNFHQNYVATTHMIEQRKTPVSRLSLFSFKQ SPPGTPVSSCQMRFDGPLHVPMNPEPAGSHHVVDGQTFAVPNPIRKPASMGFPGLQIGHA SQLLDTQVPSPPSRGSPSNEGLCAVCGDNAACQHYGVRTCEGCKGFFKRTVQKNAKYVCL ANKNCPVDKRRRNRCQYCRFQKCLAVGMVKEVVRTDSLKGRRGRLPSKPKSPQDPSPPSP PVSLISALVRAHVDSNPAMTSLDYSRFQANPDYQMSGDDTQHIQQFYDLLTGSMEIIRGW AEKIPGFADLPKADQDLLFESAFLELFVLRLAYRSNPVEGKLIFCNGVVLHRLQCVRGFG EWIDSIVEFSSNLQNMNIDISAFSCIAALAMVTERHGLKEPKRVEELQNKIVNCLKDHVT FNNGGLNRPNYLSKLLGKLPELRTLCTQGLQRIFYLKLEDLVPPPAIIDKLFLDTLPF >ENSMUSP00000138824.1 pep:known chromosome:GRCm38:2:57106830:57124003:-1 gene:ENSMUSG00000026826.13 transcript:ENSMUST00000183542.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nr4a2 description:nuclear receptor subfamily 4, group A, member 2 [Source:MGI Symbol;Acc:MGI:1352456] MDNYSTGYDVKPPCLYQMPLSGQQSSIKVEDIQMHNYQQHSHLPPQSEEMMPHSGSVYYK PSSPPTPSTPSFQVQHSPMWDDPGSLHNFHQNYVATTHMIEQRKTPVSRLSLFSFKQSPP GTPVSSCQMRFDGPLHVPMNPEPAGSHHVVDGQTFAVPNPIRKPASMGFPGLQIGHASQL LDTQVPSPPSRGSPSNEGLCAVCGDNAACQHYGVRTCEGCKGFFKRTVQKNAKYVCLANK NCPVDKRRRNRCQYCRFQKCLAVGMVKEVVRTDSLKGRRGRLPSKPKSPQDPSPPSPPVS LISALVRAHVDSNPAMTSLDYSRFQANPDYQMSGDDTQHIQQFYDLLTGSMEIIRGWAEK IPGFADLPKADQDLLFESAFLELFVLRLAYRI >ENSMUSP00000108246.1 pep:known chromosome:GRCm38:2:57107499:57113072:-1 gene:ENSMUSG00000026826.13 transcript:ENSMUST00000112627.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr4a2 description:nuclear receptor subfamily 4, group A, member 2 [Source:MGI Symbol;Acc:MGI:1352456] MDNYSTGYDVKPPCLYQMPLSGQQSSIKVEDIQMHNYQQHSHLPPQSEEMMPHSGSVYYK PSSPPTPSTPSFQVQHSPMWDDPGSLHNFHQNYVATTHMIEQRKTPVSRLSLFSFKQSPP GTPVSSCQMRFDGPLHVPMNPEPAGSHHVVDGQTFAVPNPIRKPASMGFPGLQIGHASQL LDTQVPSPPSRGSPSNEGLCAVCGDNAACQHYGVRTCEGCKGFFKRTVQKNAKYVCLANK NCPVDKRRRNRCQYCRFQKCLAVGMVKEVVRTDSLKGRRGRLPSKPKSPQDPSPPSPPVS LISALVRAHVDSNPAMTSLDYSRFQANPDYQMSGDDTQHIQQFYDLLTGSMEIIRGWAEK IPGFADLPKADQDLLFESAFLELFVLRLAYRSNPVEGKLIFCNGVVLHRLQCVRGFGEWI DSIVEFSSNLQNMNIDISAFSCIAALAMVTERHGLKEPKRVEELQNKIVNCLKDHVTFNN GGLNRPNYLSKLLGKLPELRTLCTQGLQRIFYLKLEDLVPPPAIIDKLFLDTLPF >ENSMUSP00000100013.1 pep:known chromosome:GRCm38:13:28948919:28953713:-1 gene:ENSMUSG00000076431.4 transcript:ENSMUST00000067230.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sox4 description:SRY (sex determining region Y)-box 4 [Source:MGI Symbol;Acc:MGI:98366] MVQQTNNAENTEALLAGESSDSGAGLELGIASSPTPGSTASTGGKADDPSWCKTPSGHIK RPMNAFMVWSQIERRKIMEQSPDMHNAEISKRLGKRWKLLKDSDKIPFIQEAERLRLKHM ADYPDYKYRPRKKVKSGNAGAGSAATAKPGEKGDKVAGSSGHAGSSHAGGGAGGSSKPAP KKSCGPKVAGSSVGKPHAKLVPAGGSKAAASFSPEQAALLPLGEPTAVYKVRTPSAATPA ASSSPSSALATPAKHPADKKVKRVYLFGSLGASASPVGGLGASADPSDPLGLYEDGGPGC SPDGRSLSGRSSAASSPAASRSPADHRGYASLRAASPAPSSAPSHASSSLSSSSSSSSGS SSSDDEFEDDLLDLNPSSNFESMSLGSFSSSSALDRDLDFNFEPGSGSHFEFPDYCTPEV SEMISGDWLESSISNLVFTY >ENSMUSP00000122090.1 pep:known chromosome:GRCm38:3:90488034:90491033:-1 gene:ENSMUSG00000001018.15 transcript:ENSMUST00000149884.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapin description:SNAP-associated protein [Source:MGI Symbol;Acc:MGI:1333745] MAAAGSAAVSGAGTPVAGPTGRDLFAEGLLEFLRPAVQQLDSHVHAVRESQVELREQIDN LATELCRINEDQKVALDLDPYVKKLLNARRRVVLVNNILQNAQERLRRLNHSVAKETARR RAMLDSGVYPPGSPSK >ENSMUSP00000139160.1 pep:known chromosome:GRCm38:3:90488370:90490993:-1 gene:ENSMUSG00000001018.15 transcript:ENSMUST00000185005.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snapin description:SNAP-associated protein [Source:MGI Symbol;Acc:MGI:1333745] MAAAGSAAVSGAGTPVAGPTGRDLFAEGLLEFLRPAVQQLDSHVHAVRTVPDQ >ENSMUSP00000139315.1 pep:known chromosome:GRCm38:3:90488373:90491024:-1 gene:ENSMUSG00000001018.15 transcript:ENSMUST00000184877.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Snapin description:SNAP-associated protein [Source:MGI Symbol;Acc:MGI:1333745] MAAAGSAAVSGAGTPVAGPTGRDLFAEGLLEFLRPAVQQLDSHVHARKPSRAPGTN >ENSMUSP00000145126.1 pep:known chromosome:GRCm38:11:58528937:58530070:-1 gene:ENSMUSG00000050818.5 transcript:ENSMUST00000134055.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr330 description:olfactory receptor 330 [Source:MGI Symbol;Acc:MGI:3030164] MDLTTWMNNYTTQSDFTLVGFFSQSKHSALLAVVIFVVFLMALSGNALLILLVLSDTHLH TPMYFFISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYVTLAGSEFFLLA AMSYDRYVAICHPLRYPVLMNYRVCLLLMSVCWILGSLDGFMFTPVTMTFPFCGSREIHH FFCEVPAVTKLSCSDTWLYETLMYVCCVLMLLIPVTVISGSYTSILLTVLRMNSAEGRKK ALATCSSHMTVVTLFYGAAIYTYIFPASLHTPEKDMVVSVFYTILTPLLNPLIYSFRNKN VTEAMKKLLVVSTLF >ENSMUSP00000145138.1 pep:known chromosome:GRCm38:11:58529593:58534836:-1 gene:ENSMUSG00000050818.5 transcript:ENSMUST00000203550.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr330 description:olfactory receptor 330 [Source:MGI Symbol;Acc:MGI:3030164] MDLTTWMNNYTTQSDFTLVGFFSQSKHSALLAVVIFVVFLMALSGNALLILLVLSDTHLH TPMYFFISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYVTLAGSEFFLLA AMSYDRYVAI >ENSMUSP00000063194.2 pep:known chromosome:GRCm38:11:58528929:58534825:-1 gene:ENSMUSG00000050818.5 transcript:ENSMUST00000062869.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr330 description:olfactory receptor 330 [Source:MGI Symbol;Acc:MGI:3030164] MDLTTWMNNYTTQSDFTLVGFFSQSKHSALLAVVIFVVFLMALSGNALLILLVLSDTHLH TPMYFFISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYVTLAGSEFFLLA AMSYDRYVAICHPLRYPVLMNYRVCLLLMSVCWILGSLDGFMFTPVTMTFPFCGSREIHH FFCEVPAVTKLSCSDTWLYETLMYVCCVLMLLIPVTVISGSYTSILLTVLRMNSAEGRKK ALATCSSHMTVVTLFYGAAIYTYIFPASLHTPEKDMVVSVFYTILTPLLNPLIYSFRNKN VTEAMKKLLVVSTLF >ENSMUSP00000144040.1 pep:known chromosome:GRCm38:5:32232714:32364354:1 gene:ENSMUSG00000029134.14 transcript:ENSMUST00000202220.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plb1 description:phospholipase B1 [Source:MGI Symbol;Acc:MGI:1922406] MELYPGVSPVGLLLLLLLGQGPSQIHGSSGENTLAWQSQQVFWTLKNFPFPCKPKKLELS VLSESVHSLRPSDIKLVAAIGNPEIPLAPGSGTINMEKPQSIKNQPQDVCMGIMTVLSDI IRHFSPSVLMPTCSPGKGTAVHTTAEDLWIQAKELVRRLKDNPQLDFEKDWKLITVFFSN TSQCHLCPSAQQKSHLMRHMEMLWGVLDYLHHEVPRAFVNLVDLSEVLAMDLQHQETGFS PAPEVCKCTETTTLSKAVMQWSYQEAWEDLLASSKFNKHETFAVVFQPFFDEIEPPLKRS SPQDPTTLALRIWNSMMEPVGQKDGLLNTAERKTMKCPSEESPYLFTYKNSNYQARRLKP ITKLQMKEGSEFTCPDKNPSNSIPTTVHSLRPADIKIIGALGDSLTAGNGAGASPWNILD VLTEYRGLSWSVGGDETIKTVTTLPNILREFNPSLKGFSVGTGKESTSRASFNQAVAGAK SDGLAGQARKLVDLMKADKTINFQEDWKIITVFIGGNDLCASCSNSTRFSPQNFIDNIKN ALDILHAEVPRAFVNMAMVMEITPLRELFNEPTVSCPRNILSRLCPCVLGLGDNSEELSS LVQRNRDYQKKTEELINSGRYDTRDNFTVVVQPLFENVSMPRTPEGVPDKSFFAPDCFHF NAKTHARSAIALWKNMLEPVGHKTRHNNFEIKAPIVCPNQASPFLSTTKNSNLGNGTWMV CEERAPSASPPTSVHTLRPADIQVVAALGDSLTAGNGISSQEGNLTDVSTQYRGLSYSAG GDKTLENVTTLPNILRKFNGNLTGYSVGTGDSSSANAFLNQAVPGAKAENLTSQVRTLVQ KMKSDNRVNFNRDWKVITVMIGASDLCDFCTDSNHYSAANFFDHLQNALDILHKEVPRAL VNLVDFINPSIIREVFLKNPDKCPVNQSSVLCNCVLTPRKDSYELARLEAFTKSYQSSML QLVESGRYDTREDFSVVLQPFLLNTKLPVLENGKPDTSFFAPDCIHLNQKFHTQLARALW ANMLEPLGKKTDTLDPKGHISLACPTKDQPFLRTFRNSNYKYPTKPAIENWGSDFLCTEK SPSSQVPTSVHELRPADIKVVAAMGDFLTTATGARPSGYKRLATPWRGLSWSIGGDGKLE THTTLPNILKKFNPSITGFSTGTLDNKAGLNVAEEGARAQDMPAQAKTLVKKMKSTPTIN LQEDWKLITLLIGNNDLCLYCENPEDNSTKEYVKYIQQALDILYEELPRVFINVVEVMEL AGLHHVQGGKCAMPLAVQKNCSCLRHSQNLTAMQELKKLNWNLQSGISELSYWHRYMERE DFAVTVQPFFRNTFIPLNEREGLDLTFFSEDCFYFSDRGHAEMAIALWNNMLEPVGWKTS SNNFIYNRTKLKCPSPERPFLYTLRNSQLLPDKAEEPSNALYWAVPVAAIGGLAVGILGV MLWRTVKPVQQEEEEEDTLPNTSVTQDAVSEKRLKAGN >ENSMUSP00000144401.1 pep:known chromosome:GRCm38:5:32239084:32322244:1 gene:ENSMUSG00000029134.14 transcript:ENSMUST00000202201.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plb1 description:phospholipase B1 [Source:MGI Symbol;Acc:MGI:1922406] MELYPGVSPVGLLLLLLLGQGPSQIHGSSGENTLAWQSQQVFWTLKNFPFPCKPKKLELS VLSESVHSLRPSDIKLVAAIGNPEIPLAPGSGTINMEKPQSIKNQPQDVCMGIMTVLSDI IRHFSPSVLMPTCSPGKGTAVHTTAEDLWIQAKELVRRLKDNPQLDFEKDWKLITVFFSN TSQCHLCPSAQQKSHLMRHMEMLWGVLDYLHHEVPRAFVNLVDLSEVLAMDLQHQETGFS PAPEVCKCTETTTLSKAVMQWSYQEAWEDLLASSKFNKHETFAVVFQPFFDEIEPPLKRS SPQDPTTLALRIWNSMMEPVGQKDGLLNTAERKTMKCPSEESPYLFTYKNSNYQARRLKP ITKLQMKEGSEFTCPDKNPSNSIPTTVHSLRPADIKIIGALGDSLTAGNGAGASPWNILD VLTEYRGLSWSVGGDETIKTVTTLPNILREFNPSLKGFSVGTGKESTSRASFNQAVAGAK SDGLAGQARKLVDLMKADKTINFQEDWKIITVFIGGNDLCASCSNSTRFSPQNFIDNIKN ALDILHAEVPRAFVNMAMVMEITPLRELFNEPTVSCPRNILSRLCPCVLGLGDNSEELSS LVQRNRDYQKKTEELINSGRYDTRDNFTVVVQPLFENVSMPRTPEGVPDKSFFAPDCFHF NAKTHARSAIALWKNMLEPVGHKTRHNNFEIKAPIVCPNQASPFLSTTKNSNLGNGTWMV CEERAPSASPPTSVHTLRPADIQVVAALGDSLTAGNGISSQEGNLTDVSTQYRGLSYR >ENSMUSP00000098927.2 pep:known chromosome:GRCm38:5:32247351:32364356:1 gene:ENSMUSG00000029134.14 transcript:ENSMUST00000101376.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plb1 description:phospholipase B1 [Source:MGI Symbol;Acc:MGI:1922406] MELYPGVSPVGLLLLLLLGQGPSQIHGSSGENTLAWQSQQVFWTLKNFPFPCKPKKLELS VLSESVHSLRPSDIKLVAAIGNPEIPLAPGSGTINMEKPQSIKNQPQDVCMGIMTVLSDI IRHFSPSVLMPTCSPGKGTAVHTTAEDLWIQAKELVRRLKDNPQLDFEKDWKLITVFFSN TSQCHLCPSAQQKSHLMRHMEMLWGVLDYLHHEVPRAFVNLVDLSEVLAMDLQHQETGFS PAPEVCKCTETTTLSKAVMQWSYQEAWEDLLASSKFNKHETFAVVFQPFFDEIEPPLKRS SPQDPTTLALRIWNSMMEPVGQKDGLLNTAERKTMKCPSEESPYLFTYKNSNYQARRLKP ITKLQMKEGSEFTCPDKNPSNSIPTTVHSLRPADIKIIGALGDSLTAGNGAGASPWNILD VLTEYRGLSWSVGGDETIKTVTTLPNILREFNPSLKGFSVGTGKESTSRASFNQAVAGAK SDGLAGQARKLVDLMKADKTINFQEDWKIITVFIGGNDLCASCSNSTRFSPQNFIDNIKN ALDILHAEVPRAFVNMAMVMEITPLRELFNEPTVSCPRNILSRLCPCVLGLGDNSEELSS LVQRNRDYQKKTEELINSGRYDTRDNFTVVVQPLFENVSMPRTPEGVPDKSFFAPDCFHF NAKTHARSAIALWKNMLEPVGHKTRHNNFEIKAPIVCPNQASPFLSTTKNSNLGNGTWMV CEERAPSASPPTSVHTLRPADIQVVAALGDSLTAGNGISSQEGNLTDVSTQYRGLSYSAG GDKTLENVTTLPNILRKFNGNLTGYSVGTGDSSSANAFLNQAVPGAKAENLTSQVRTLVQ KMKSDNRVNFNRDWKVITVMIGASDLCDFCTDSNHYSAANFFDHLQNALDILHKEVPRAL VNLVDFINPSIIREVFLKNPDKCPVNQSSVLCNCVLTPRKDSYELARLEAFTKSYQSSML QLVESGRYDTREDFSVVLQPFLLNTKLPVLENGKPDTSFFAPDCIHLNQKFHTQLARALW ANMLEPLGKKTDTLDPKGHISLACPTKDQPFLRTFRNSNYKYPTKPAIENWGSDFLCTEK SPSSQVPTSVHELRPADIKVVAAMGDFLTTATGARPSGYKRLATPWRGLSWSIGGDGKLE THTTLPNILKKFNPSITGFSTGTLDNKAGLNVAEEGARAQDMPAQAKTLVKKMKSTPTIN LQEDWKLITLLIGNNDLCLYCENPEDNSTKEYVKYIQQALDILYEELPRVFINVVEVMEL AGLHHVQGGKCAMPLAVQKNCSCLRHSQNLTAMQELKKLNWNLQSGISELSYWHRYMERE DFAVTVQPFFRNTFIPLNEREGLDLTFFSEDCFYFSDRGHAEMAIALWNNMLEPVGWKTS SNNFIYNRTKLKCPSPERPFLYTLRNSQLLPDKAEEPSNALYWAVPVAAIGGLAVGILGV MLWRTVKPVQQEEEEEDTLPNTSVTQDAVSEKRLKAGN >ENSMUSP00000131358.1 pep:known chromosome:GRCm38:14:58070547:58112337:1 gene:ENSMUSG00000021974.14 transcript:ENSMUST00000165526.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf9 description:fibroblast growth factor 9 [Source:MGI Symbol;Acc:MGI:104723] MNEKGELYGSEKLTQECVFREQFEENWYNTYSSNLYKHVDTGRRYYVALNKDGTPREGTR TKRHQKFTHFLPRPVDPDKVPELYKDILSQS >ENSMUSP00000022545.7 pep:known chromosome:GRCm38:14:58072686:58110174:1 gene:ENSMUSG00000021974.14 transcript:ENSMUST00000022545.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf9 description:fibroblast growth factor 9 [Source:MGI Symbol;Acc:MGI:104723] MAPLGEVGSYFGVQDAVPFGNVPVLPVDSPVLLSDHLGQSEAGGLPRGPAVTDLDHLKGI LRRRQLYCRTGFHLEIFPNGTIQGTRKDHSRFGILEFISIAVGLVSIRGVDSGLYLGMNE KGELYGSEKLTQECVFREQFEENWYNTYSSNLYKHVDTGRRYYVALNKDGTPREGTRTKR HQKFTHFLPRPVDPDKVPELYKDILSQS >ENSMUSP00000088143.4 pep:known chromosome:GRCm38:14:58075115:58110174:1 gene:ENSMUSG00000021974.14 transcript:ENSMUST00000074654.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf9 description:fibroblast growth factor 9 [Source:MGI Symbol;Acc:MGI:104723] MNEKGELYGSEKLTQECVFREQFEENWYNTYSSNLYKHVDTGRRYYVALNKDGTPREGTR TKRHQKFTHFLPRPVDPDKVPELYKDILSQS >ENSMUSP00000130066.1 pep:known chromosome:GRCm38:14:58076507:58109392:1 gene:ENSMUSG00000021974.14 transcript:ENSMUST00000166770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf9 description:fibroblast growth factor 9 [Source:MGI Symbol;Acc:MGI:104723] MNEKGELYGSEKLTQECVFREQFEENWYNT >ENSMUSP00000001042.8 pep:known chromosome:GRCm38:3:90476147:90488379:1 gene:ENSMUSG00000001016.12 transcript:ENSMUST00000001042.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ilf2 description:interleukin enhancer binding factor 2 [Source:MGI Symbol;Acc:MGI:1915031] MRGDRGRGRGGRFGSRGGPGGGFRPFVPHIPFDFYLCEMAFPRVKPAPDETSFSEALLKR NQDLAPNSAEQASILSLVTKINNVIDNLIVAPGTFEVQIEEVRQVGSYKKGTMTTGHNVA DLVVILKILPTLEAVAALGNKVVESLRAQDPSEVLTMLTNETGFEISSSDATVKILITTV PPNLRKLDPELHLDIKVLQSALAAIRHARWFEENASQSTVKVLIRLLKDLRIRFPGFEPL TPWILDLLGHYAVMNNPTRQPLALNVAYRRCLQILAAGLFLPGSVGITDPCESGNFRVHT VMTLEQQDMVCYTAQTLVRILSHGGFRKILGQEGDASYLASEISTWDGVIVTPSEKAYEK PPEKKEGEEEEENTEEPPQGEEEESMETQE >ENSMUSP00000114572.1 pep:known chromosome:GRCm38:2:13509014:13544668:-1 gene:ENSMUSG00000026723.10 transcript:ENSMUST00000124488.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trdmt1 description:tRNA aspartic acid methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1274787] MEPLRVLELYSGIGGMHHALRESHIPAHVVAAIDVNTVANEVYKHNFPHTHLLSKTIEGI SLEDFDKLSFNMILMSPPCQPFTRIGLQGDMTDPRTTSFLYILDILPRLQKLPKYILLEN VKGFEVSSTRGLLIQTIEACGFQYQEFLLSPSSLGIPNSRLRYFLIAKLQSEPFPFQAPG QILMEFPKIVTVEPQKYAVVEESQPRVQRTGPRICAESSSTQSSGKDTILFKLETVEERD RKHQQDSDLSVQMLKDFLEDGDTDEYLLPPKLLLRYALLLDIVKPTSRRSMCFTKGYGSY IEGTGSVLQAAEDAQIENIYKSLPDLPPEEKIAKLSMLKLRYFTPKEIANLQGFPPEFGF PEKTTVKQRYRLLGNSLNVHVVAKLLTVLCEGFGNASESCHKMPLILDSNSKILS >ENSMUSP00000141758.1 pep:known chromosome:GRCm38:2:13522120:13544663:-1 gene:ENSMUSG00000026723.10 transcript:ENSMUST00000144957.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trdmt1 description:tRNA aspartic acid methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1274787] MEPLRVLELYSGIGGMHHALRESHIPAHVVAAIDVNTVANEVYKHNFPHTHLLSKTIEGI SLEDFDKLSFNMILMSPPCQPFTS >ENSMUSP00000030991.7 pep:known chromosome:GRCm38:5:34496087:34513963:-1 gene:ENSMUSG00000059866.13 transcript:ENSMUST00000030991.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip2 description:TNFAIP3 interacting protein 2 [Source:MGI Symbol;Acc:MGI:2386643] MSSGDPRSGRQDGAPRAAAALCGLYHEAGQQLQRLKDQLAARDALIASLRTRLAALEGHT APSLVDALLDQVERFREQLRRQEEGASETQLRQEVERLTERLEEKEREMQQLMSQPQHEQ EKEVVLLRRSVAEKEKARAASDVLCRSLADETHQLRRTLAATAHMCQHLAKCLDERQCAQ GDAGEKSPAEEVRWICGQAYTKDLKKPPGCWLEQTSSDASGQSVIKKLQEENRLLKQKVT HVEDLNAKWQRYDASRDEYVKGLHAQLKRRQVPLEPELMKKEISRLNRQLEEKISDCAEA NQELTAMRMSRDTALERVQMLEQQILAYKDDFKSERADRERAHSRIQELEEKIMSLMYQV SQRQDSREPGPCRIHTGNKTAKYLEMDALEHVTPGGWRPESRSQQMEPSAEGGHVCTAQR GQGDLQCPHCLRCFSDEQGEAFLRHLSECCQ >ENSMUSP00000085030.3 pep:known chromosome:GRCm38:5:34496087:34513991:-1 gene:ENSMUSG00000059866.13 transcript:ENSMUST00000087737.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip2 description:TNFAIP3 interacting protein 2 [Source:MGI Symbol;Acc:MGI:2386643] MSSGDPRSGRQDGAPRAAAALCGLYHEAGQQLQRLKDQLAARDALIASLRTRLAALEGHT APSLVDALLDQVERFREQLRRQEEGASETQLRQEVERLTERLEEKEREMQQLMSQPQHEQ EKEVVLLRRSVAEKEKARAASDVLCRSLADETHQLRRTLAATAHMCQHLAKCLDERQCAQ GDAGEKSPAELEQTSSDASGQSVIKKLQEENRLLKQKVTHVEDLNAKWQRYDASRDEYVK GLHAQLKRRQVPLEPELMKKEISRLNRQLEEKISDCAEANQELTAMRMSRDTALERVQML EQQILAYKDDFKSERADRERAHSRIQELEEKIMSLMYQVSQRQDSREPGPCRIHTGNKTA KYLEMDALEHVTPGGWRPESRSQQMEPSAEGGHVCTAQRGQGDLQCPHCLRCFSDEQGEA FLRHLSECCQ >ENSMUSP00000109999.1 pep:known chromosome:GRCm38:5:34496130:34513938:-1 gene:ENSMUSG00000059866.13 transcript:ENSMUST00000114359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnip2 description:TNFAIP3 interacting protein 2 [Source:MGI Symbol;Acc:MGI:2386643] MSSGDPRSGRQDGAPRAAAALCGLYHEAGQQLQRLKDQLAARDALIASLRTRLAALEGHT APSLVDALLDQVERFREQLRRQEEGASETQLRQEVERLTERLEEKEREMQQLMSQPQHEQ EKEVVLLRRSVAEKEKARAASDVLCRSLADETHQLRRTLAATAHMCQHLAKCLDERQCAQ GDAGEKSPAELEQTSSDASGQSVIKKLQEENRLLKQKVTHILAYKDDFKSERADRERAHS RIQELEEKIMSLMYQVSQRQDSREPGPCRIHTGNKTAKYLEMDALEHVTPGGWRPESRSQ QMEPSAEGGHVCTAQRGQGDLQCPHCLRCFSDEQGEAFLRHLSECCQ >ENSMUSP00000125314.1 pep:known chromosome:GRCm38:13:90089123:90112257:1 gene:ENSMUSG00000012422.14 transcript:ENSMUST00000161568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem167 description:transmembrane protein 167 [Source:MGI Symbol;Acc:MGI:1913324] MSAIFNFQSLLTVILLLICTCAYIRSLAPSILDRNKTGLLGIFWKCARIGERKSPYVAIC CIVMAFSILFIQ >ENSMUSP00000124571.1 pep:known chromosome:GRCm38:13:90089734:90143907:1 gene:ENSMUSG00000012422.14 transcript:ENSMUST00000161457.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem167 description:transmembrane protein 167 [Source:MGI Symbol;Acc:MGI:1913324] MSAIFNFQSLLTVILLLICTCAYIRSLAPSILDRNKTGLLGIFWKCARIESRLELQ >ENSMUSP00000012566.8 pep:known chromosome:GRCm38:13:90089762:90104661:1 gene:ENSMUSG00000012422.14 transcript:ENSMUST00000012566.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem167 description:transmembrane protein 167 [Source:MGI Symbol;Acc:MGI:1913324] MSAIFNFQSLLTVILLLICTCAYIRSLAPSILDRNKTGLLGIFWKCARIGSVYWQDTFTS >ENSMUSP00000127811.1 pep:known chromosome:GRCm38:14:6405827:6412482:-1 gene:ENSMUSG00000096904.7 transcript:ENSMUST00000164408.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3591 description:predicted gene 3591 [Source:MGI Symbol;Acc:MGI:3781768] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENEGCWWSRLATSVLW GRKEVL >ENSMUSP00000136844.1 pep:known chromosome:GRCm38:14:6409557:6411578:-1 gene:ENSMUSG00000096904.7 transcript:ENSMUST00000179312.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3591 description:predicted gene 3591 [Source:MGI Symbol;Acc:MGI:3781768] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENEGCWWSRLA TSVLWGRKEVL >ENSMUSP00000126417.1 pep:known chromosome:GRCm38:15:99620496:99651643:-1 gene:ENSMUSG00000023015.13 transcript:ENSMUST00000171702.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Racgap1 description:Rac GTPase-activating protein 1 [Source:MGI Symbol;Acc:MGI:1349423] MDTTMVNLWTLFEQLVRRMEIINEGNESIEFIQVVKDFEDFRKKYQRTNQELEKFKDLLL KAETGRSALDVKLKHARNQVDVEIKRRQRAEAECAKLEQQIQLIRDILMCDTSGSIQLSE EQKSALAFLNRGQASSGHAGNNRLSTIDESGSILSDISFDKTDESLDWDSSLVKNFKMKK REKRRSNSRQFIDGPPGPVKKTCSIGSTVDQANESIVAKTTVTVPSDGGPIEAVSTIETL PSWTRSRGKSGPLQPVNSDSALNSRPLEPRTDTDNLGTPQNTGGMRLHDFVSKTVIKPES CVPCGKRIKFGKLSLKCRDCRLVSHPECRDRCPLPCIPPLVGTPVKIGEGMLADFVSQAS PMIPAIVVSCVNEIEQRGLTEAGLYRISGCDRTVKELKEKFLKVKTVPLLSKVDDIHVIC SLLKDFLRNLKEPLLTFWLSKAFMEAAEITDEDNSTAAMYQAVSELPQANRDTLAFLMIH LQRVSQSPDTKMDIANLAKVFGPTIVAHTVPNPDPVTMFQDIKRQLKVVERLLSLPLEYW NQFMMVDQENIDSQRGNGNSTPRTPDVKVSLLGPVTTPEFQLVKTPLSSSLSQRLYNLSK STPRFGNKSKSATNLGQQGKFFPAPYLK >ENSMUSP00000023756.5 pep:known chromosome:GRCm38:15:99620497:99651656:-1 gene:ENSMUSG00000023015.13 transcript:ENSMUST00000023756.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Racgap1 description:Rac GTPase-activating protein 1 [Source:MGI Symbol;Acc:MGI:1349423] MDTTMVNLWTLFEQLVRRMEIINEGNESIEFIQVVKDFEDFRKKYQRTNQELEKFKDLLL KAETGRSALDVKLKHARNQVDVEIKRRQRAEAECAKLEQQIQLIRDILMCDTSGSIQLSE EQKSALAFLNRGQASSGHAGNNRLSTIDESGSILSDISFDKTDESLDWDSSLVKNFKMKK REKRRSNSRQFIDGPPGPVKKTCSIGSTVDQANESIVAKTTVTVPSDGGPIEAVSTIETL PSWTRSRGKSGPLQPVNSDSALNSRPLEPRTDTDNLGTPQNTGGMRLHDFVSKTVIKPES CVPCGKRIKFGKLSLKCRDCRLVSHPECRDRCPLPCIPPLVGTPVKIGEGMLADFVSQAS PMIPAIVVSCVNEIEQRGLTEAGLYRISGCDRTVKELKEKFLKVKTVPLLSKVDDIHVIC SLLKDFLRNLKEPLLTFWLSKAFMEAAEITDEDNSTAAMYQAVSELPQANRDTLAFLMIH LQRVSQSPDTKMDIANLAKVFGPTIVAHTVPNPDPVTMFQDIKRQLKVVERLLSLPLEYW NQFMMVDQENIDSQRGNGNSTPRTPDVKVSLLGPVTTPEFQLVKTPLSSSLSQRLYNLSK STPRFGNKSKSATNLGQQGKFFPAPYLK >ENSMUSP00000132732.1 pep:known chromosome:GRCm38:15:99628618:99639817:-1 gene:ENSMUSG00000023015.13 transcript:ENSMUST00000168065.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Racgap1 description:Rac GTPase-activating protein 1 [Source:MGI Symbol;Acc:MGI:1349423] EFIQVVKDFEDFRKKYQRTNQELEKFKDLLLKAETGRSALDVKLKHARNQVDVEIKRRQR AEAECAKLEQQIQLIRDILMCDTSGSIQLSEEQKSALAFLNRGQASSGHAGNNRLSTIDE SGSILSDISFDKTDESLDLCVTSPKEEINIV >ENSMUSP00000130876.1 pep:known chromosome:GRCm38:15:99632143:99651652:-1 gene:ENSMUSG00000023015.13 transcript:ENSMUST00000169810.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Racgap1 description:Rac GTPase-activating protein 1 [Source:MGI Symbol;Acc:MGI:1349423] MDTTMVNLWTLFEQLVRRMEIINEGNESIEFIQVVKDFEDFRKKYQRTNQELEKFKDLLL KAETGRSALDVKLKHARNQVDVEIKRRQRAEAECAKLEQQIQLIRDILMCDTSGSIQLSE EQKSALAFLNRGQASSGHAGNNRLSTIDESGSILSDISFDKTDESLDWDSSLVKNFKMKK REKRRSNSRQFIDGPPGPVKKTCSIGSTVDQANESIVAKTTVTVPSDGG >ENSMUSP00000130282.1 pep:known chromosome:GRCm38:15:99634293:99651569:-1 gene:ENSMUSG00000023015.13 transcript:ENSMUST00000165730.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Racgap1 description:Rac GTPase-activating protein 1 [Source:MGI Symbol;Acc:MGI:1349423] MDTTMVNLWTLFEQLVRRMEIINEGNESSCEGLRGLPKEVSKNQPGAGEIQRPIVESRDW AERPGREAEACP >ENSMUSP00000132471.1 pep:known chromosome:GRCm38:15:99636155:99651612:-1 gene:ENSMUSG00000023015.13 transcript:ENSMUST00000164983.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Racgap1 description:Rac GTPase-activating protein 1 [Source:MGI Symbol;Acc:MGI:1349423] MDTTMVNLWTLFEQLVRRMEIINEGNESSCEGLRGLPKEVSKNQPGAGEIQRPIVESRDW AERPGREAEACP >ENSMUSP00000128892.1 pep:known chromosome:GRCm38:15:99637673:99651612:-1 gene:ENSMUSG00000023015.13 transcript:ENSMUST00000171908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Racgap1 description:Rac GTPase-activating protein 1 [Source:MGI Symbol;Acc:MGI:1349423] MDTTMVNLWTLFEQLVRRMEIINEGNESIEFIQVVKDFEDFRKKYQRTNQELEKFKDLLL KAETGRSALDVKLKHARNQVDVEIKRRQRAEAECAKLEQQIQLIRDILMCDTSGSIQLSE EQKSALAFLNR >ENSMUSP00000105209.2 pep:known chromosome:GRCm38:15:99639799:99651643:-1 gene:ENSMUSG00000023015.13 transcript:ENSMUST00000109581.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Racgap1 description:Rac GTPase-activating protein 1 [Source:MGI Symbol;Acc:MGI:1349423] MDTTMVNLWTLFEQLVRRMEIINEGNESIEFIQVV >ENSMUSP00000144011.1 pep:known chromosome:GRCm38:6:24857997:24891958:1 gene:ENSMUSG00000029678.8 transcript:ENSMUST00000200968.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal5 description:hyaluronoglucosaminidase 5 [Source:MGI Symbol;Acc:MGI:1921718] MRVLYFKHSFFRSLLKSNGLPQTLLVFLLIPCYLTVDFRAPPLIPDVPFLWAWNAPTESC FTRFNQPLDLGLFSLVGSPRKSATGQPVTIFYSDRLGLYPYIDDSQLIFNGGLPQLVSLK SHLEVAKTDILHYMPIDNVGLAVIDWEEWRPTWARNWKPKDIYRNKSIELVQQQNILLNF TEAVKWAKEEFEEAARHFMEETLRLGKSLRPNHLWGFYLFPDCYNNKFQVADYKGECPDI EKHRNDALFWIWEESTALYPSIYLKSSLKSSPQAALYVRNRVQEAIRVSKVKDPRNPLPI FVYFRIVFTDLTYQYLYEDDLVNTIGETIALGTSGMVMWGTLSLSQTMKSCLDLHDYLKT ILNPYIINVTLAAKMCSQTLCQNQGVCSRKDWNSNDYLHLNPQNFQIHFVKHGKYEIRGN PTLENLLYFSQKFRCSCFAHLNCQERADIESVSTVSVCTLEDICINSLVISDKSELPKDW NRPYFVNSNQSDITSSATVSPCVPRKDVSGYLVVLSLYSQHLKYSL >ENSMUSP00000031689.5 pep:known chromosome:GRCm38:6:24875909:24891805:1 gene:ENSMUSG00000029678.8 transcript:ENSMUST00000031689.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal5 description:hyaluronoglucosaminidase 5 [Source:MGI Symbol;Acc:MGI:1921718] MRVLYFKHSFFRSLLKSNGLPQTLLVFLLIPCYLTVDFRAPPLIPDVPFLWAWNAPTESC FTRFNQPLDLGLFSLVGSPRKSATGQPVTIFYSDRLGLYPYIDDSQLIFNGGLPQLVSLK SHLEVAKTDILHYMPIDNVGLAVIDWEEWRPTWARNWKPKDIYRNKSIELVQQQNILLNF TEAVKWAKEEFEEAARHFMEETLRLGKSLRPNHLWGFYLFPDCYNNKFQVADYKGECPDI EKHRNDALFWIWEESTALYPSIYLKSSLKSSPQAALYVRNRVQEAIRVSKVKDPRNPLPI FVYFRIVFTDLTYQYLYEDDLVNTIGETIALGTSGMVMWGTLSLSQTMKSCLDLHDYLKT ILNPYIINVTLAAKMCSQTLCQNQGVCSRKDWNSNDYLHLNPQNFQIHFVKHGKYEIRGN PTLENLLYFSQKFRCSCFAHLNCQERADIESVSTVSVCTLEDICINSLVISDKSELPKDW NRPYFVNSNQSDITSSATVSPCVPRKDVSGYLVVLSLYSQHLKYSL >ENSMUSP00000030136.6 pep:known chromosome:GRCm38:4:41033074:41048139:-1 gene:ENSMUSG00000028427.13 transcript:ENSMUST00000030136.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp7 description:aquaporin 7 [Source:MGI Symbol;Acc:MGI:1314647] MAPRSVLETIQSVLQKNMVREFLAEFLSTYVMMVFGLGSVAHMVLGENSGSYLGVNLGFG FGVTMGVHVAGGISGAHMNAAVTFTNCALGRMTWKKFPVYVLGQFLGSFSAAATTYLIFY GAINHFAGGDLLVTGSKATANIFATYLPEYMTLWRGFLDEAFVTGMLQLCLFAITDKKNS PALQGTEPLVIGILVTVLGVSLGMNSGYAINPSRDLPPRLFTFIAGWGKQVFRAGNNWWW VPVVAPLLGAYLGGIVYLGLIHPSIPQDPQRLENFTARDQKVTASYKNAASANISGSVPL EHF >ENSMUSP00000093007.3 pep:known chromosome:GRCm38:4:41033074:41045448:-1 gene:ENSMUSG00000028427.13 transcript:ENSMUST00000054945.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aqp7 description:aquaporin 7 [Source:MGI Symbol;Acc:MGI:1314647] MAPRSVLETIQSVLQKNMVREFLAEFLSTYVMMVFGLGSVAHMVLGENSGSYLGVNLGFG FGVTMGVHVAGGISGAHMNAAVTFTNCALGRMTWKKFPVYVLGQFLGSFSAAATTYLIFY GAINHFAGGDLLVTGSKATANIFATYLPEYMTLWRGFLDEAFVTGMLQLCLFAITDKKNS PALQGTEPLVIGILVTVLGVSLGMNSGYAINPSRDLPPRLFTFIAGWGKQVFRAGNNWWW VPVVAPLLGAYLGGIVYLGLIHPSIPQDPQRLENFTARDQKVTASYKNAASANISGSVPL EHF >ENSMUSP00000113438.1 pep:known chromosome:GRCm38:19:3260924:3282979:-1 gene:ENSMUSG00000024831.13 transcript:ENSMUST00000119292.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ighmbp2 description:immunoglobulin mu binding protein 2 [Source:MGI Symbol;Acc:MGI:99954] MASSTVESFVAQQLQLLELERDAEVEERRSWQEHSSLRELQSRGVCLLKLQVSSQRTGLY GQRLVTFEPRKFGPAVVLPSNSFTSGDIVGLYDTNENSQLATGVLTRITQKSVTVAFDES HDLQLNLDRENTYRLLKLANDVTYKRLKKALMTLKKYHSGPASSLIDILLGSSTPSPAME IPPLSFYNTTLDLSQKEAVSFALAQKELAIIHGPPGTGKTTTVVEIILQAVKQGLKVLCC APSNIAVDNLVERLALCKKRILRLGHPARLLESVQHHSLDAVLARSDNAQIVADIRRDID QVFGKNKKTQDKREKGNFRSEIKLLRKELKEREEAAIVQSLTAADVVLATNTGASSDGPL KLLPEDYFDVVVVDECAQALEASCWIPLLKAPKCILAGDHRQLPPTTVSHRAALAGLSRS LMERLAEKHGAGVVRMLTVQYRMHQAIMCWASEAMYHGQLTSHPSVAGHLLKDLPGVTDT EETRVPLLLIDTAGCGLLELEEEDSQSKGNPGEVRLVTLHIQALVDAGVQAGDIAVIAPY NLQVDLLRQSLSNKHPELEIKSVDGFQGREKEAVLLTFVRSNRKGEVGFLAEDRRINVAV TRARRHVAVICDSHTVNNHAFLKTLVDYFTEHGEVRTAFEYLDDIVPENYTHEGSQGHSR VPKPKCPSTSIRKPASDQESGQETRAAPRHGRRKPSEKPPGSHVQSQHSSSANGSDRTGG PDRTEHFRATIEEFVASKESQLEFPTSLSSHDRLRVHQLAEEFGLRHDSTGEGKARHITV SRRSPASSGSVAPQPSSPPSPAQAEPEPRAEEPVTVVQAHCPVQLDLKALHLERLQRQQS SQAQTAKGQPGGDSRPQKASQKKKKKEPKDPRLWRKGSCPCPPED >ENSMUSP00000025751.3 pep:known chromosome:GRCm38:19:3260925:3283017:-1 gene:ENSMUSG00000024831.13 transcript:ENSMUST00000025751.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ighmbp2 description:immunoglobulin mu binding protein 2 [Source:MGI Symbol;Acc:MGI:99954] MASSTVESFVAQQLQLLELERDAEVEERRSWQEHSSLRELQSRGVCLLKLQVSSQRTGLY GQRLVTFEPRKFGPAVVLPSNSFTSGDIVGLYDTNENSQLATGVLTRITQKSVTVAFDES HDLQLNLDRENTYRLLKLANDVTYKRLKKALMTLKKYHSGPASSLIDILLGSSTPSPAME IPPLSFYNTTLDLSQKEAVSFALAQKELAIIHGPPGTGKTTTVVEIILQAVKQGLKVLCC APSNIAVDNLVERLALCKKRILRLGHPARLLESVQHHSLDAVLARSDNAQIVADIRRDID QVFGKNKKTQDKREKGNFRSEIKLLRKELKEREEAAIVQSLTAADVVLATNTGASSDGPL KLLPEDYFDVVVVDECAQALEASCWIPLLKAPKCILAGDHRQLPPTTVSHRAALAGLSRS LMERLAEKHGAGVVRMLTVQYRMHQAIMCWASEAMYHGQLTSHPSVAGHLLKDLPGVTDT EETRVPLLLIDTAGCGLLELEEEDSQSKGNPGEVRLVTLHIQALVDAGVQAGDIAVIAPY NLQVDLLRQSLSNKHPELEIKSVDGFQGREKEAVLLTFVRSNRKGEVGFLAEDRRINVAV TRARRHVAVICDSHTVNNHAFLKTLVDYFTEHGEVRTAFEYLDDIVPENYTHEGSQGHSR VPKPKCPSTSIRKPASDQESGQETRAAPRHGRRKPSEKPPGSHVQSQHSSSANGSDRTGG PDRTEHFRATIEEFVASKESQLEFPTSLSSHDRLRVHQLAEEFGLRHDSTGEGKARHITV SRRSPASSGSVAPQPSSPPSPAQAEPEPRAEEPVTVVQAHCPVQLDLKALHLERLQRQQS SQAQTAKGQPGGDSRPQKASQKKKKKEPKGPVMALPCEEDFDALVSAVVKADNTCSFSKC SASTTTLGQFCMHCSHRYCLSHHLPEIHGCGEKARAHARQRISREGVLYAGSGTKDRALD PAKRAQLQRRLDKKLGELSSQRTSRKKEKERGT >ENSMUSP00000122827.1 pep:known chromosome:GRCm38:19:3264818:3282980:-1 gene:ENSMUSG00000024831.13 transcript:ENSMUST00000154537.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ighmbp2 description:immunoglobulin mu binding protein 2 [Source:MGI Symbol;Acc:MGI:99954] MASSTVESFVAQQLQLLELERDAEVEERRSWQEHSSLRELQSRGVCLLKLQVSSQRTGLY GQRLVTFEPRKFGPAVVLPSNSFTSGDIVGLYDTNENSQLATGVLTRITQKSVTVAFDES HDLQLNLDRENTYRLLKLANDVTYKRLKNPTLFLQHNSGPFPERSCVLCTGPERTCHHPW ASWHWENHNCGGNNPSSCEARLKGPVLCSLQHRCGQPGGASGSVQEADSAPGSPRPPPGV RSAPLTGCSASTQ >ENSMUSP00000055970.7 pep:known chromosome:GRCm38:17:24527241:24533726:-1 gene:ENSMUSG00000079657.10 transcript:ENSMUST00000061764.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab26 description:RAB26, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2443284] MSRKKTPKSKGGSEPATSTLPAAAAATNGPRLAHPRTVRPGPEAPPNGPPQSIRPSLGST GDFYDVAFKVMLVGDSGVGKTCLLVRFKDGAFLAGTFISTVGIDFRNKVLDVDGMKVKLQ IWDTAGQERFRSVTHAYYRDAHEVAGSRSLGSSKREAAPRVPEVRELQVPTCPGTSGSCI LKPFPAAGREGQDDAGG >ENSMUSP00000046089.9 pep:known chromosome:GRCm38:17:24529054:24533888:-1 gene:ENSMUSG00000079657.10 transcript:ENSMUST00000035797.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab26 description:RAB26, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2443284] MSRKKTPKSKGGSEPATSTLPAAAAATNGPRLAHPRTVRPGPEAPPNGPPQSIRPSLGST GDFYDVAFKVMLVGDSGVGKTCLLVRFKDGAFLAGTFISTVGIDFRNKVLDVDGMKVKLQ IWDTAGQERFRSVTHAYYRDAHALLLLYDITNKDSFDNIQAWLTEIQEYAQQDVVLMLLG NKVDSTQDRVVKREDGEKLAKEYGLPFMETSARTGLNVDLAFTAIAKELKQRSAKAPSEP RFRLHDYVKREGRGVSCCRL >ENSMUSP00000135775.1 pep:known chromosome:GRCm38:17:24529541:24534210:-1 gene:ENSMUSG00000079657.10 transcript:ENSMUST00000176533.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rab26 description:RAB26, member RAS oncogene family [Source:MGI Symbol;Acc:MGI:2443284] MAGISDRKTKTKTLNLRLPIHASHLPQHPHLRTHRSPRKKTPKSKGGSEPATSTLPAAAA ATNGPRLAHPRTVRPGPEAPPNGPPQSIRPSLGSTGDFYDVAFKVMLVGDSGVGKTCLLV RFKDGAFLAGTFISTVGIDFRNKVLDVDGMKVKLQIWDTAGQERFRSVTHAYYRDAHALL LLYDITNKDSFDNIQAWLTEIQEYAQQDVVLMLLGNKVDSTQDRVVKREDGEKLAKEYGL PFMETSARTGLNVDLAFTAIAKELKQRSAKAPSEPRFRLHDYVKREGRGVSCCRL >ENSMUSP00000121162.2 pep:known chromosome:GRCm38:19:5422806:5424759:-1 gene:ENSMUSG00000024914.16 transcript:ENSMUST00000148219.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drap1 description:Dr1 associated protein 1 (negative cofactor 2 alpha) [Source:MGI Symbol;Acc:MGI:1913806] MPSKKKKYNARFPPARIKKIMQTDEEIGKVAAAVPVIISRALELFLESLLKKACQVTQSR NAKTMTTSHLKQCIELEQQFDFLKDLVASVPDMQGDGEDNHVDGDKGPRRGRKPGSSGRK NGGTGSKGKDKKLSGTDSEQEDESEDTDTDGEEETPQLPPQASHPPAHFQSTPRPLSSRC RG >ENSMUSP00000025853.9 pep:known chromosome:GRCm38:19:5422811:5424979:-1 gene:ENSMUSG00000024914.16 transcript:ENSMUST00000025853.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drap1 description:Dr1 associated protein 1 (negative cofactor 2 alpha) [Source:MGI Symbol;Acc:MGI:1913806] MPSKKKKYNARFPPARIKKIMQTDEEIGKVAAAVPVIISRALELFLESLLKKACQVTQSR NAKTMTTSHLKQCIELEQQFDFLKDLVASVPDMQGDGEDNHVDGDKGPRRGRKPGSSGRK NGGTGSKGKDKKLSGTDSEQEDESEDTDTDGEEETPQLPPQASHPPAHFQSPPTPFIPFT SPLPLPPAPPGPSAADAEDEEDYDS >ENSMUSP00000109303.2 pep:known chromosome:GRCm38:19:5422812:5424543:-1 gene:ENSMUSG00000024914.16 transcript:ENSMUST00000113673.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drap1 description:Dr1 associated protein 1 (negative cofactor 2 alpha) [Source:MGI Symbol;Acc:MGI:1913806] MQTDEEIGKVAAAVPVIISRALELFLESLLKKACQVTQSRNAKTMTTSHLKQCIELEQQF DFLKDLVASVPDMQGDGEDNHVDGDKGPRRGRKPGSSGRKNGGTGSKGKDKKLSGTDSEQ EDESEDTDTDGEEETPQLPPQASHPPAHFQSTPRPLSSRCRG >ENSMUSP00000109304.1 pep:known chromosome:GRCm38:19:5422827:5424921:-1 gene:ENSMUSG00000024914.16 transcript:ENSMUST00000113674.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drap1 description:Dr1 associated protein 1 (negative cofactor 2 alpha) [Source:MGI Symbol;Acc:MGI:1913806] MPSKKKKYNARFPPARIKKIMQTDEEIGKVAAAVPVIISRALELFLESLLKKACQVTQSR NAKTMTTSHLKQCIELEQQFDFLKDLVASVPDMQGDGEDNHVDGDKGPRRWTVPSRRGRK PGSSGRKNGGTGSKGKDKKLSGTDSEQEDESEDTDTDGEEETPQLPPQASHPPAHFQSPP TPFIPFTSPLPLPPAPPGPSAADAEDEEDYDS >ENSMUSP00000133692.1 pep:known chromosome:GRCm38:19:5422843:5424514:-1 gene:ENSMUSG00000024914.16 transcript:ENSMUST00000136579.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drap1 description:Dr1 associated protein 1 (negative cofactor 2 alpha) [Source:MGI Symbol;Acc:MGI:1913806] MQTDEEIGKVAAAVPVIISRALELFLESLLKKACQVTQSRNAKTMTTSHLKQCIELEQQF DFLKDLVASVPDMQGDGEDNHVDGDKGPRRGRKPGSSGRKNGGTGSKGKDKKLSGTDSEQ EDESEDTDTDGEEETPQLPPQASHPPAHFQSPPTPFIPFTSPLPLPPAPPGPSAADAEDE EDYDS >ENSMUSP00000098460.3 pep:known chromosome:GRCm38:14:6380721:6390319:-1 gene:ENSMUSG00000072738.3 transcript:ENSMUST00000100900.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm16440 description:predicted gene 16440 [Source:MGI Symbol;Acc:MGI:3647179] MFSWLRRLCQKENGDEGEIRTTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQR SKINELEELKLDMRKISNDMEEMGGILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSH EEEKRFCEEASKNICASSAKEQQCVNSSRNRNMAQTTT >ENSMUSP00000146187.1 pep:known chromosome:GRCm38:7:24610763:24629297:1 gene:ENSMUSG00000074277.4 transcript:ENSMUST00000206422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb3 description:pleckstrin homology-like domain, family B, member 3 [Source:MGI Symbol;Acc:MGI:3642959] MGTPSTLEEGSSSPKVSECAVEVQPQGAVQPQGHPEDPKEHKEPEVPAELPSCPGAGRQA EEEEEVEEGSSTESCREEPEATPAAQIPATPSPCLPSGEELRGAARRLRGQQLEALTRVA LMEQRVKELQRQKKELRIEMEVEVALLRGELAGERVAARREEEQLRELLGQRVETQPSSQ EQREQEQRQLSQERDHVESLRQRLREAQGQLDSQPEDQRERLSQGVQEIREQLDAAQRTY EDLEFQQLERESRVEEERESPAVPAPDSKVRELQSSVAQHRRRIQVLEEQLRSLGEQMAA ESWGLSRKKEEAVQALTQERSRLFELNCLQGTCGGDFSEPDQALTKLLFTQKTDRQLLVL QDPTAHAAASSTSSCLFSVHSSLQGSIGLQRTGSLPRRRGERASQRGSSRPLSLHCTGPL EASALSQAVGTPGRHPLYQLLNCGPGNRHSVLRSDIAHMERLLQLAVAERERLLKDREGM RRSRESSTGPAVPAITAPPPPPPPPPPPPSPPGPQVLDLPQHLERWGHNPESCPHLRVTG GCCRGPLVKMGGRIKTWRKRWFCFDRQARRLAYYADKEETKLKGVIYFQAIEEVYYDHLR CAFKSPSPRLTFCVKTYERLFYMVAPSPEAMRIWMDVIVTAADENHAP >ENSMUSP00000073047.5 pep:known chromosome:GRCm38:7:24611328:24629288:1 gene:ENSMUSG00000074277.4 transcript:ENSMUST00000073325.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Phldb3 description:pleckstrin homology-like domain, family B, member 3 [Source:MGI Symbol;Acc:MGI:3642959] MGTPSTLEEGSSSPKVSECAVEVQPQGAVQPQGHPEDPKEHKEPEVPAELPSCPGAGRQA EEEEEVEEGSSTESCREEPEATPAAQIPATPSPCLPSGEELRGAARRLRGQQLEALTRVA LMEQRVKELQRQKKELRIEMEVEVALLRGELAGERVAARREEEQLRELLGQRVETQPSSQ EQREQEQRQLSQERDHVESLRQRLREAQGQLDSQPEDQRERLSQGVQEIREQLDAAQRTY EDLEFQQLERESRVEEERESPAVPAPDSKVRELQSSVAQHRRRIQVLEEQLRSLGEQMAA ESWGLSRKKEEAVQALTQERSRLFELNCLQGTCGGDFSEPDQALTKLLFTQKTDRQLLVL QDPTAHAAASSTSSCLFSVHSSLQGSIGLQRTGSLPRRRGERASQRGSSRPLSLHCTGPL EASALSQAVGTPGRHPLYQLLNCGPGNRHSVLRSDIAHMERLLQLAVAERERLLKDREGM RRSRESSTGPAVPAITAPPPPPPPPPPPPSPPGPQVLDLPQHLERWGHNPESCPHLRVTG GCCRGPLVKMGGRIKTWRKRWFCFDRQARRLAYYADKEETKLKGVIYFQAIEEVYYDHLR CAFKSPSPRLTFCVKTYERLFYMVAPSPEAMRIWMDVIVTAADENHAP >ENSMUSP00000132178.1 pep:known chromosome:GRCm38:14:6435796:6444553:-1 gene:ENSMUSG00000095360.7 transcript:ENSMUST00000171784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3594 description:predicted gene 3594 [Source:MGI Symbol;Acc:MGI:3781771] MFSRLLRLCQKENGDEGDTRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKRKIINDMEEMCGILIVYMYEDLNYRMNTEFNIIKSQHEKTMLDIDKM TQSIIASMKFSEELLNDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRRSTVCAQEIHHC CLSSLITMAVMGCVLLLYFGFLGMNRP >ENSMUSP00000130337.1 pep:known chromosome:GRCm38:14:6435797:6444611:-1 gene:ENSMUSG00000095360.7 transcript:ENSMUST00000169152.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3594 description:predicted gene 3594 [Source:MGI Symbol;Acc:MGI:3781771] MFSRLLRLCQKENGDEGDTRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKRKIINDMEEMCGILIVYMYEDLNYRMNTEFNIIKSQHEKTMLDIDKM TQSIIASMKFSEELLNDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000137591.1 pep:known chromosome:GRCm38:14:6441579:6444548:-1 gene:ENSMUSG00000095360.7 transcript:ENSMUST00000179747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3594 description:predicted gene 3594 [Source:MGI Symbol;Acc:MGI:3781771] MFSRLLRLCQKENGDEGDTRPTEKEEGILSHEKGRRKWLWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKRKIINDMEEMCGILIVYMYEDLNYRMNTEFNIIKSQHEKTMLDIDKM TQSIIASMKFSEELLNDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000024734.7 pep:known chromosome:GRCm38:17:45686322:45698495:1 gene:ENSMUSG00000023939.7 transcript:ENSMUST00000024734.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl14 description:mitochondrial ribosomal protein L14 [Source:MGI Symbol;Acc:MGI:1333864] MAALTGLWGSFAHVSRAFSQRCFSTSGSLSAVQKMTRVRVVDNSALGSTPYHRPPRCIHV YNKSGVGKVGDQILLAIRGQKKKALIVGHRMPGSRMTPKFDSNNVVLIEDNGNPVGTRIK IPIPTSLRRREGEYSKVLAIAQNFV >ENSMUSP00000002818.8 pep:known chromosome:GRCm38:11:5955693:5967780:1 gene:ENSMUSG00000002741.9 transcript:ENSMUST00000002818.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ykt6 description:YKT6 v-SNARE homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1927550] MKLYSLSVLYKGDPKAVLLKAAYDVSSFSFFQRSSVQEFMTFTSQLIVERSGKGSRASVK EQEYLCHVYVRSDSLAGVVIADSEYPSRVAFTLLEKVLDEFSKQVDRIDWPVGSPATIQY TGLDDHLSKYQNPREADPMSKVQAELDETKIILHNTMESLLERGEKLDDLVSKSEVLGTQ SKAFYKTARKQNSCCAIM >ENSMUSP00000022115.7 pep:known chromosome:GRCm38:13:89848921:90089608:-1 gene:ENSMUSG00000021615.13 transcript:ENSMUST00000022115.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc4 description:X-ray repair complementing defective repair in Chinese hamster cells 4 [Source:MGI Symbol;Acc:MGI:1333799] MERKVSRIYLASEPNVPYFLQVSWERTIGSGFVITLTDGHSAWTATVSELEISQEADDMA MEKGKYIDELRKALVPGSGAAGTYKFLFSKESRHFSLEKELKDVSFRLGSFNLDKVSNSA EVIRDLICYCLDTITEKQAKNEHLQKENERLLRDWNDVQGRFEKCVSAKEALEADLYQRF ILVLNEKKTKIRSLHKLLNEVQQLEESTKPERENPCSDKTPEEHGLYDGSTDEESGAPVQ AAETLHKDDSIFSSPDVTDIAPSRKRRHRMQKNLGTEPKMAPQELPLQEKERLASSLPQT LKEESTSAENMSLETLRNSSPEDLFD >ENSMUSP00000123934.1 pep:known chromosome:GRCm38:13:89774027:90089523:-1 gene:ENSMUSG00000021615.13 transcript:ENSMUST00000159199.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xrcc4 description:X-ray repair complementing defective repair in Chinese hamster cells 4 [Source:MGI Symbol;Acc:MGI:1333799] MERKVSRIYLASEPNVPYFLQVSWERTIGSGFVITLTDGHSAWTATVSELEISQEADDMA MEKGKYIDELRKALVPGSGAAGTYKFLFSKESRHFSLEKELKDVSFRLGSFNLDKVSNSA EVIRDLICYCLDTITEKQAKNEHLQKENERLLRDWNDVQGRFEKCVSAKEALEADLYQRF ILVLNEKKTKIRSLHKLLNEVQQLEESTKPERENPCSDKTPEEHGLYDGSTDEESGAPVQ AAETLHKDDSIFSSPDVTDIAPSRKRRHRMQKNLGTEPKMAPQELPLQEKERKKKYPSIM STKVQRSLGEGGHG >ENSMUSP00000125486.1 pep:known chromosome:GRCm38:13:90062040:90089536:-1 gene:ENSMUSG00000021615.13 transcript:ENSMUST00000160232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc4 description:X-ray repair complementing defective repair in Chinese hamster cells 4 [Source:MGI Symbol;Acc:MGI:1333799] MERKVSRIYLASEPNVPYFLQVSWERTIGSGFVITLTDGHSAWTATVSELEISQEADDMA MEKGKYIDELRKALVPGSGAAGTYKFLFSKESRH >ENSMUSP00000124573.1 pep:known chromosome:GRCm38:13:90062075:90089082:-1 gene:ENSMUSG00000021615.13 transcript:ENSMUST00000161396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xrcc4 description:X-ray repair complementing defective repair in Chinese hamster cells 4 [Source:MGI Symbol;Acc:MGI:1333799] MERKVSRIYLASEPNVPYFLQVSWERTIGSGFVITLTDGHSAWTATVSELEISQEADDMA MEKGKYIDELRKALVPGSGAAGT >ENSMUSP00000137519.1 pep:known chromosome:GRCm38:17:48036745:48038293:-1 gene:ENSMUSG00000094928.1 transcript:ENSMUST00000178823.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700122O11Rik description:RIKEN cDNA 1700122O11 gene [Source:MGI Symbol;Acc:MGI:1923901] MEWHGHVPWPVSHWPSDFGEERWVNSIFSPMSKISSLEDIWNKIKLEEEEEEEETGKENV DQEQEKEEQNRIKALLGVPKQNSVWGLMAWPRPSPEQAQWWEDFSLPSLRGTCLCGCRLS SKMRLHPLPSMTSCPTPKTLPSLGSREESSWLRNQPLMEPLSASRLKMPKAVPRLPPKVS PKRSTMPKTGQQQTAMSMWPPVIINPPSKSSALKGQHTPSAKEVTHTPGAWGNPLPHLPS K >ENSMUSP00000103096.1 pep:known chromosome:GRCm38:4:62083476:62087289:-1 gene:ENSMUSG00000066154.11 transcript:ENSMUST00000107472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup3 description:major urinary protein 3 [Source:MGI Symbol;Acc:MGI:97235] MKLLLPLLLLLCLELTLVCIHAEESSSMERNFNVEQISGYWFSIAEASDEREKIEEHGSM RAFVENITVLENSLVFKFHLIVNEECTEMTAIGEQTEKAGIYYMNYDGFNTFSILKTDYD NYIMIHLINKKDGKTFQLMELYGREPDLSLDIKEKFAKLCEEHGIIRENIIDLTNVNRCL EARE >ENSMUSP00000081579.4 pep:known chromosome:GRCm38:4:62083476:62087342:-1 gene:ENSMUSG00000066154.11 transcript:ENSMUST00000084531.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup3 description:major urinary protein 3 [Source:MGI Symbol;Acc:MGI:97235] MKLLLPLLLLLCLELTLVCIHAEESSSMERNFNVEQISGYWFSIAEASDEREKIEEHGSM RAFVENITVLENSLVFKFHLIVNEECTEMTAIGEQTEKAGIYYMNYDGFNTFSILKTDYD NYIMIHLINKKDGKTFQLMELYGREPDLSLDIKEKFAKLCEEHGIIRENIIDLTNVNRCL EARE >ENSMUSP00000103112.3 pep:known chromosome:GRCm38:4:62083479:62087261:-1 gene:ENSMUSG00000066154.11 transcript:ENSMUST00000107488.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup3 description:major urinary protein 3 [Source:MGI Symbol;Acc:MGI:97235] MKLLLPLLLLLCLELTLVCIHAEESSSMERNFNVEQISGYWFSIAEASDEREKIEEHGSM RAFVENITVLENSLVFKFHLIVNEECTEMTAIGEQTEKAGIYYMNYDGFNTFSILKTDYD NYIMIHLINKKDGKTFQLMELYGREPDLSLDIKEKFAKLCEEHGIIRENIIDLTNVNRCL EARE >ENSMUSP00000109151.2 pep:known chromosome:GRCm38:17:45660171:45686259:-1 gene:ENSMUSG00000036026.15 transcript:ENSMUST00000113523.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63b description:transmembrane protein 63b [Source:MGI Symbol;Acc:MGI:2387609] MLPFLLATLGTAALNSSNPKDYCYSARIRSTVLQGLPFGGVPTVLALDFMCFLALLFLFS ILRKVAWDYGRLALVTDADRLRRQERERVEQEYVASAMHGDSHDRYERLTSVSSSVDFDQ RDNGFCSWLTAIFRIKDDEIRDKCGGDAVHYLSFQRHIIGLLVVVGVLSVGIVLPVNFSG DLLENNAYSFGRTTIANLKSGNNLLWLHTSFAFLYLLLTVYSMRRHTSKMRYKEDDLVKR TLFINGISKYAESEKIKKHFEEAYPNCTVLEARPCYNVARLMFLDAERKKAERGKLYFTN LQSKENVPAMINPKPCGHLCCCVVRGCEQVEAIEYYTKLEQRLKEDYRREKEKVNEKPLG MAFVTFHNETITAIILKDFNVCKCQGCTCRGEPRASSCSEALHISNWTVTYAPDPQNIYW EHLSIRGFIWWLRCLVINVVLFILLFFLTTPAIIITTMDKFNVTKPVEYLNNPIITQFFP TLLLWCFSALLPTIVYYSAFFEAHWTRSGENRTTMHKCYTFLIFMVLLLPSLGLSSLDLF FRWLFDKKFLAEAAIRFECVFLPDNGAFFVNYVIASAFIGNAMDLLRIPGLLMYMIRLCL ARSAAERRNVKRHQAYEFQFGAAYAWMMCVFTVVMTYSITCPIIVPFGLMYMLLKHLVDR YNLYYAYLPAKLDKKIHSGAVNQVVAAPILCLFWLLFFSTMRTGFLAPTSMFTFVVLVIT IVICLCHVCFGHFKYLSAHNYKIEHTETDAVSSRSNGRPPTAGAVPKSAKYIAQVLQDSE GDGDGDGAPGSSGDEPPSSSSQDEELLMPPDGLTDTDFQSCEDSLIENEIHQ >ENSMUSP00000121681.1 pep:known chromosome:GRCm38:17:45667714:45685973:-1 gene:ENSMUSG00000036026.15 transcript:ENSMUST00000145873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63b description:transmembrane protein 63b [Source:MGI Symbol;Acc:MGI:2387609] MLPFLLATLGTAALNSSNPKDYCYSARIRSTVLQGLPFGGVPTVLALDFMCFLALLFLFS ILRKVAWDYGRLALVTDADSVASAMHGDSHDRYERLTSVSSSVDFDQRDNGFCSWLTAIF RIKDDEIRDKCGGDAVHYLSFQRHIIGLLVVVGVLSVGIVLPVNFSGDLLENNAYSFGRT TIANLKSGNNLLWLHTSFAFLYLLLTVYSMRRHTSKMRYKEDDLVKRTLFINGISKYAES EKIKKHFEEAYPNCTVLEARPCYNVARLMFLDAERKKAERGKLYFTNLQSKENVPAMINP KPCGHLCCCV >ENSMUSP00000118838.1 pep:known chromosome:GRCm38:17:45670014:45686905:-1 gene:ENSMUSG00000036026.15 transcript:ENSMUST00000156254.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63b description:transmembrane protein 63b [Source:MGI Symbol;Acc:MGI:2387609] MLPFLLATLGTAALNSSNPKDYCYSARIRSTVLQGLPFGGVPTVLALDFMCFLALLFLFS ILRKVAWDYGRLALVTDADRLRRQERERVEQEYVASAMHGDSHDRYERLTSVSSSVDFDQ RDNGFCSWLTAIFRIKDDEIRDKCGGDAVHYLSFQRHIIGLLVVVGVLSVGIVLPVNFSG DLLENNAYSFGRTTIANLKSGNNLLWLHTSFAFLYLLLTVYSMRRHTSKMRYKEDDLVKR TLFINGISKYAESEKIKKHFEEAY >ENSMUSP00000115408.1 pep:known chromosome:GRCm38:17:45673749:45680623:-1 gene:ENSMUSG00000036026.15 transcript:ENSMUST00000133741.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63b description:transmembrane protein 63b [Source:MGI Symbol;Acc:MGI:2387609] MLPFLLATLGTAALNSSNPKDYCYSARIRSTVLQGLPFGGVPTVLALDFMCFLALLFLFS ILRKVAWDYGRLALVTDADSVASAMHGDSHDRYERLTSVSSSVDFDQRDNGFCSWLTAIF RIKDDEIRDKCGGDAVHYLSFQRHIIGLLVVVGVLSVGIVLPVNFSGDLLENNAYSFGRT TIANLKSGNNLLWLHTSFAFLYLLLTVYSMRRHTSKMR >ENSMUSP00000118826.1 pep:known chromosome:GRCm38:17:45673979:45686137:-1 gene:ENSMUSG00000036026.15 transcript:ENSMUST00000127065.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63b description:transmembrane protein 63b [Source:MGI Symbol;Acc:MGI:2387609] MLPFLLATLGTAALNSSNPKDYCYSARIRSTVLQGLPFGGVPTVLALDFMCFLALLFLFS ILRKVAWDYGRLALVTDADRLRRQERERVEQEYVASAMHGDSHDRYERLTSVSSSVDFDQ RDNGFCSWLTAIFRIKDDEIRDKCGGDAVHYLSFQRHIIGLLVVVGVLSVGIVLPVNFSG DLLENNAYSFGRTTIANLKSG >ENSMUSP00000120579.1 pep:known chromosome:GRCm38:17:45678963:45686120:-1 gene:ENSMUSG00000036026.15 transcript:ENSMUST00000143907.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem63b description:transmembrane protein 63b [Source:MGI Symbol;Acc:MGI:2387609] MLPFLLATLGTAALNSSNPKDYCYSARIRSTVLQGLPFGGVPTVLALDFMCFLALLFLFS ILRKVAWDYGRLALVTDADRLRRQERERVEQE >ENSMUSP00000104451.2 pep:known chromosome:GRCm38:11:58542447:58543506:-1 gene:ENSMUSG00000064252.7 transcript:ENSMUST00000108823.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr329-ps description:olfactory receptor 329, pseudogene [Source:MGI Symbol;Acc:MGI:3030163] MDLTTWMNNSTGQSDFTLVGLFSQSKHPALLAVVIFVVFLMALSGNALLILLILSDTHLH TPMYFFISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYLTLVGSEFFLLA AMSYDRYVAICYPLRYPVLMNYRVCLLLMSVCWVLGSLDGFMLTPVTMTFPFCGSREIHH FFCEVPAVTKLSCSDTWLYETLMYVCCVLMLLIPVTVISGSYTSILLTVLRMNSAEGRKK ALATCSSHMTVVTLFYGAAVYTYMLPASLHTPEKDMVVSVFYTILTPLLNPLIYSLRNKN VTEAMKKLLGENPSFQKQ >ENSMUSP00000145167.1 pep:known chromosome:GRCm38:11:58542999:58544214:-1 gene:ENSMUSG00000064252.7 transcript:ENSMUST00000204252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr329-ps description:olfactory receptor 329, pseudogene [Source:MGI Symbol;Acc:MGI:3030163] MDLTTWMNNSTGQSDFTLVGLFSQSKHPALLAVVIFVVFLMALSGNALLILLILSDTHLH TPMYFFISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFLYLTLVGSEFFLLA AMSYDRYVAICYPLRYPVLMNYRVCLLLMSVCWVLGSL >ENSMUSP00000104450.2 pep:known chromosome:GRCm38:11:58542447:58544938:-1 gene:ENSMUSG00000064252.7 transcript:ENSMUST00000108822.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr329-ps description:olfactory receptor 329, pseudogene [Source:MGI Symbol;Acc:MGI:3030163] MNLEKQRPEKETNMDLTTWMNNSTGQSDFTLVGLFSQSKHPALLAVVIFVVFLMALSGNA LLILLILSDTHLHTPMYFFISQLSLMDMMYISVTVPKMLMDQVLGSHKISAAACGMQMFL YLTLVGSEFFLLAAMSYDRYVAICYPLRYPVLMNYRVCLLLMSVCWVLGSLDGFMLTPVT MTFPFCGSREIHHFFCEVPAVTKLSCSDTWLYETLMYVCCVLMLLIPVTVISGSYTSILL TVLRMNSAEGRKKALATCSSHMTVVTLFYGAAVYTYMLPASLHTPEKDMVVSVFYTILTP LLNPLIYSLRNKNVTEAMKKLLGENPSFQKQ >ENSMUSP00000099776.3 pep:known chromosome:GRCm38:2:68210445:68472268:-1 gene:ENSMUSG00000027030.15 transcript:ENSMUST00000102715.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stk39 description:serine/threonine kinase 39 [Source:MGI Symbol;Acc:MGI:1858416] MAEPSGSPVHVQLSQQAAPVTAAAATAPAAATSAPAPAPAPAPAASAAPAPAPAAAPAPA PAAQAVGWPICRDAYELQEVIGSGATAVVQAALCKPRQERVAIKRINLEKCQTSMDELLK EIQAMSQCSHPNVVTYYTSFVVKDELWLVMKLLSGGSMLDIIKYIVNRGEHKNGVLEEAI IATILKEVLEGLDYLHRNGQIHRDLKAGNILLGEDGSVQIADFGVSAFLATGGDVTRNKV RKTFVGTPCWMAPEVMEQVRGYDFKADMWSFGITAIELATGAAPYHKYPPMKVLMLTLQN DPPTLETGVEDKEMMKKYGKSFRKLLSLCLQKDPSKRPTAAELLKCKFFQKAKNREYLIE KLLTRTPDIAQRAKKVRRVPGSSGHLHKTEDGDWEWSDDEMDEKSEEGKAAASQEKSRRV KEENSEISVNAGGIPEQIQSLSVHDSQAQPNANEDYREGPCAVNLVLRLRNSRKELNDIR FEFTPGRDTADGVSQELFSAGLVDGHDVVIVAANLQKIVDDPKALKTLTFKLASGCDGSE IPDEVKLIGFAQLSVS >ENSMUSP00000078302.5 pep:known chromosome:GRCm38:7:72077830:72306608:-1 gene:ENSMUSG00000032776.9 transcript:ENSMUST00000079323.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mctp2 description:multiple C2 domains, transmembrane 2 [Source:MGI Symbol;Acc:MGI:2685335] MDLDKPSVWGSLKQRTRPLLINLSKKKAKKSPSKPLDLRVQHHLDRRLSLSVPDLLEAEA LAPEGRPYSGPQSSYISVPNSLSTAGIVPKSSSSSLKQSEEELDWSQEEASHVHGVDTDS EEIYASPAEEWQAFSQSALDLHKPSLGRDAPEEHDKTHGNDDLNASMTSQHFEEESTLGE ASDCVSHLPSPFAYLLTIHLKEGRNLVVRDRCGTSDPYVKFKLNGKTLYKSKVIYKNLNP IWDEIVVLPIQSLDQKLRVKVYDRDLTKSDFMGSAFVVLRDLELNRTTEHILKLEDPNSL EDDMGVIVLNLNLVVKQGDFKRHRWSNRKRLSASKSSLIRNLRLSESLRKNQLWNGIISI TLLEGKNVSGGNMTEMFVQLKLGEQRYKSKTLCKSANPQWQEQFDFHYFSDRMGILDIEV WGKDSKKHEERLGTCKVDISALPLKQDNCLELPLESCQGALLMLITLTPCTGVSISDLCV CPFEDPSERQQISQRYAFQNSLKDVKDVGILQVKVLKASDLLAADFSGKSDPFCLLELGN DRLQTHTIYKNLNPEWNKVFTFPIKDIHDVLEVTVFDEDGDKAPDFLGKVAIPLLSIRDG QPNCYVLKNKDLEQAFKGLIYLELDLIYNPVKASIRTFTPREKRFVEDSRKLSKKILSRD VDRVKRLTLAIWNTVQFFKSCFQWESTLRSTIAFVVFLVTVWNFELYMIPLALLLLFLYN FLRPMKGKASSTQDSQESTDVEEEGKEEEKESEKKGIIERIYMVQDIVSTVQNILEEVAS FGERIKNVFNWTVPFLSLLACLILAITTVILYFIPLRYIILLWGINKFTKKLRNPYSIDN NELLDFLSRVPSDIQKVQYAELKLCGSHSPLRKKRSTV >ENSMUSP00000145868.1 pep:known chromosome:GRCm38:7:72080413:72110583:-1 gene:ENSMUSG00000032776.9 transcript:ENSMUST00000206466.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mctp2 description:multiple C2 domains, transmembrane 2 [Source:MGI Symbol;Acc:MGI:2685335] KRLFILCPLPAWREEVQESEKKGIIERIYMVQDIVSTASSIQCAANQT >ENSMUSP00000145571.1 pep:known chromosome:GRCm38:7:72121713:72162374:-1 gene:ENSMUSG00000032776.9 transcript:ENSMUST00000205410.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mctp2 description:multiple C2 domains, transmembrane 2 [Source:MGI Symbol;Acc:MGI:2685335] SHELLGTLLGKGLSRLLEESINICRPCKKTHFGNMEHSTVLQKLLPMGVYIKEYNSICGW LLMLRPQRNKPFIFLE >ENSMUSP00000128117.1 pep:known chromosome:GRCm38:11:66911981:66929876:1 gene:ENSMUSG00000048070.4 transcript:ENSMUST00000123434.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pirt description:phosphoinositide-interacting regulator of transient receptor potential channels [Source:MGI Symbol;Acc:MGI:2443635] MEVLPKALEVDERSPESKDLLPSQTASSLCISSRSESVWTTTPKSNWEIYHKPIIIMSVG AAILLFGVAITCVAYILEEKHKVVQVLRMIGPAFLSLGLMMLVCGLVWVPIIKKKQKQRQ KSNFFQSLKFFLLNR >ENSMUSP00000138133.1 pep:known chromosome:GRCm38:16:20309776:20316750:-1 gene:ENSMUSG00000022818.12 transcript:ENSMUST00000182741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2ab1 description:cytochrome P450, family 2, subfamily ab, polypeptide 1 [Source:MGI Symbol;Acc:MGI:3644957] MFSLFSGMAFLAGSCLLLKLATLCWRRSHLPPGPFPFPLLGNLWQLNFQLHPNMLFQLAQ THGSVFTVWLGSTPIVVLSGFRAVKEALVSNSEQFSGRPLTPFFRDLFGEKGVICSNGLT WRQQRRFCLTTLRELGLGKQALEVQLQHEAAELAKVFLQEEGRAFDPQIPIIRSTTRVIG TLVFGHHFLSEEPIFLELIQAINLGLAFASTIWRRLYDMFPWALRHLSGPHQKIFQYHEA VRGFIRHEIIRHKLRTAEAPKDFINCYLSQITKAIDDPVSTFSEENLIQVVIDLFLGGTD TTATTLHWALIYLVHHRAIQERVQQELDEMLGAAQTICYEDRERLPYTRAVLHEVQRLSS VVAVGAVRQCVTSTWMHGYYVPKGTIILPNLASVLYDPECWESPHQFNPGHFLDKDGNFV ANEAFLPFSAGHRVCPGEQLARMELFLMFATLLRTFQFQLPEGSQDLGLEYVFGGTLQPQ PQKICAVLRQSSLSPREP >ENSMUSP00000023513.5 pep:known chromosome:GRCm38:16:20308387:20323065:-1 gene:ENSMUSG00000022818.12 transcript:ENSMUST00000023513.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp2ab1 description:cytochrome P450, family 2, subfamily ab, polypeptide 1 [Source:MGI Symbol;Acc:MGI:3644957] MFPWALRHLSGPHQKIFQYHEAVRGFIRHEIIRHKLRTAEAPKDFINCYLSQITKAIDDP VSTFSEENLIQVVIDLFLGGTDTTATTLHWALIYLVHHRAIQERVQQELDEMLGAAQTIC YEDRERLPYTRAVLHEVQRLSSVVAVGAVRQCVTSTWMHGYYVPKGTIILPNLASVLYDP ECWESPHQFNPGHFLDKDGNFVANEAFLPFSAGHRVCPGEQLARMELFLMFATLLRTFQF QLPEGSQDLGLEYVFGGTLQPQPQKICAVLRQSSLSPREP >ENSMUSP00000125446.1 pep:known chromosome:GRCm38:13:89656340:89742322:-1 gene:ENSMUSG00000021614.16 transcript:ENSMUST00000159910.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcan description:versican [Source:MGI Symbol;Acc:MGI:102889] MLINMKGILWMCSTLLLTHALHQAKMETSPPVKGSLSGKVVLPCHFSTLPTLPPNYNTSE FLRIKWSKMEVDKNGKDIKETTVLVAQNGNIKIGQDYKGRVSVPTHPDDVGDASLTMVKL RASDAGVYRCDVMYGIEDTQDTMSLAVDGVVFHYRAATSRYTLNFAAAQQACLDIGAVIA SPEQLFAAYEDGFEQCDAGWLSDQTVRYPIRAPREGCYGDMMGKEGVRTYGFRSPQETYD VYCYVDHLDGDVFHITAPSKFTFEEAEAECTSRDARLATVGELQAAWRNGFDQCDYGWLS DASVRHPVTVARAQCGGGLLGVRTLYRFENQTCFPLPDSRFDAYCFKRRLSDMIVSGHPI DSESKEEEPCSEETDPLHDLFAEILPELPDSFEIDIYHSEEDEDGEEDCVNATDVTTTPS VQYINGKQLVTTVPKDPEAAEARRGQYESVAPSQNFPDSSATDTHQFILAETESSTTMQF KKSKEGTELLEITWKPETYPETPDHVSSGEPDVFPTLSSHDGKTTRWSESITESSPNLEN PVHKQPKPVPLFPEESSGEGAIEQASQETILSRATEVALGKETDQSPTLSTSSILSSSVS VNVLEEEPLTLTGISQTDESMSTIESWVEITPSQTVKFSESSSAPIIEGSGEVEENKNKI FNMVTDLPQRDPTDTLSPLDMSKIMITNHHIYIPATTAPLDSKLPSPDARPTQFGIQTAT SEWVSDKSFEGRKREEDEEGAVNAAHQGEVRAATERSDHLLLTPELESSNVDASSDLATW EGFILETTPTESEKEMANSTPVFRETIGVANVEAQPFEHSSSSHPRVQEELTTLSGNPPS LFTDLGSGDASTGMELITASLFTLDLESETKVKKELPSTPSPSVEISSSFEPTGLTPSTV LDIEIAGVMSQTSQKTLISEISGKPTSQSGVRDLYTGFPMGEDFSGDFSEYPTVSYPTMK EETVGMGGSDDERVRDTQTSSSIPTTSDNIYPVPDSKGPDSTVASTTAFPWEEVMSSAEG SGEQLASVRSSVGPVLPLAVDIFSGTESPYFDEEFEEVAAVTEANERPTVLPTAASGNTV DLTENGYIEVNSTMSLDFPQTMEPSKLWSKPEVNLDKQEIGRETVTKEKAQGQKTFESLH SSFAPEQTILETQSLIETEFQTSDYSMLTTLKTYITNKEVEEEGMSIAHMSTPGPGIKDL ESYTTHPEAPGKSHSFSATALVTESGAARSVLMDSSTQEEESIKLFQKGVKLTNKESNAD LSFSGLGSGEALPPLPTTSVNLTDMKQIISTLYAETSHMESLGTSILGDKMEDHERMEDV SNEVRMLISKTGSISQDSTEALDTTLSHTGTEEPTTSTLPFVKLMDLERSPKQTLRWEEE TQTHRPQTMSGLISNENSSASEAEEAATSPTAFLPQTYSVEMTKHFAPSESQPSDLFNVN SGEGSGEVDTLDLVYTSGTTQASSQGDSMLASHGFLEKHPEVSKTEAGATDVSPTASAMF LHHSEYKSSLYPTSTLPSTEPYKSPSEGIEDGLQDNIQFEGSTLKPSRRKTTESIIIDLD KEDSKDLGLTITESAIVEILPELTSDKNIIIDIDHTKPVYEYIPGIQTDLDPEIKLESHG SSEESLQVQEKYEGAVTLSPTEESFEGSGDALLAGYTQAIYNESVTPNDGKQAEDISFSF ATGIPVSSTETELHTFFPTASTLHIPSKLTTASPEIDKPNIEAISLDDIFESSTLSDGQA IADQSEVISTLGHLEKTQEEYEEKKYGGPSFQPEFFSGVGEVLTDPPAYVSIGSTYLIAQ TLTELPNVVRPSDSTHYTEATPEVSSLAELSPQIPSSPFPVYVDNGVSKFPEVPHTSAQP VSTVTSSQKSIESPFKEVHANIEETIKPLGGNVHRTEPPSMSRDPALDVSEDESKHKLLE ELETSPTKPETSQDFPNKAKDHIPGETVGMLAGIRTTESEPVITADDMELGGATQQPHSA SAAFRVETGMVPQPIQQEPERPTFPSLEINHETHTSLFGESILATSEKQVSQKILDNSNQ ATVSSTLDLHTAHALSPFSILDNSNETAFLIGISEESVEGTAVYLPGPDLCKTNPCLNGG TCYPTETSYVCTCAPGYSGDQCELDFDECHSNPCRNGATCVDGFNTFRCLCLPSYVGALC EQDTETCDYGWHKFQGQCYKYFAHRRTWDAAERECRLQGAHLTSILSHEEQMFVNRVGHD YQWIGLNDKMFEHDFRWTDGSALQYENWRPNQPDSFFSAGEDCVVIIWHENGQWNDVPCN YHLTYTCKKGTVACGQPPVVENAKTFGKMKPRYEINSLIRYHCKDGFIQRHLPTIRCLGN GRWAMPKITCMNPSAYQRTYSKKYLKNSSSAKDNSINTSKHEHRWSRRRQETRR >ENSMUSP00000125432.1 pep:known chromosome:GRCm38:13:89711226:89742318:-1 gene:ENSMUSG00000021614.16 transcript:ENSMUST00000159337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcan description:versican [Source:MGI Symbol;Acc:MGI:102889] MLINMKGILWMCSTLLLTHALHQAKMETSPPVKGSLSGKVVLPCHFSTLPTLPPNYNTSE FLRIKWSKMEVDKNGKDIKETTVLVAQNGNIKIGQDYKGRVSVPTHPDDVGDASLTMVKL RASDAGVYRCDVMYGIEDTQDTMSLAVDGVVFHYRAATSRYTLNFAAAQQACLDIGAVIA SPEQLFAAYEDGFEQCDAGWLSDQTVRYPIRAPREGCYGDMMGKEGVRTYGFRSPQETYD VYCYVDHLDGDVFHITAPSKFTFEEAEAECTSRDARLATVGELQAAWRNGFDQCDYGWLS DASVRHPVTVARAQCGGGLLGVRTLYRFENQTCFPLPDSRFDAYCFKRKCLISLLKIQIK KLQQIYTH >ENSMUSP00000105173.2 pep:known chromosome:GRCm38:13:89655312:89742509:-1 gene:ENSMUSG00000021614.16 transcript:ENSMUST00000109546.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcan description:versican [Source:MGI Symbol;Acc:MGI:102889] MLINMKGILWMCSTLLLTHALHQAKMETSPPVKGSLSGKVVLPCHFSTLPTLPPNYNTSE FLRIKWSKMEVDKNGKDIKETTVLVAQNGNIKIGQDYKGRVSVPTHPDDVGDASLTMVKL RASDAGVYRCDVMYGIEDTQDTMSLAVDGVVFHYRAATSRYTLNFAAAQQACLDIGAVIA SPEQLFAAYEDGFEQCDAGWLSDQTVRYPIRAPREGCYGDMMGKEGVRTYGFRSPQETYD VYCYVDHLDGDVFHITAPSKFTFEEAEAECTSRDARLATVGELQAAWRNGFDQCDYGWLS DASVRHPVTVARAQCGGGLLGVRTLYRFENQTCFPLPDSRFDAYCFKPKQNISEATTIEM NILAETSSPSLSKEPHMVPDRATPVIPLATELPIFTTHFPPAGNIVNSEQKSVVYSQAIT GRLAMESPTTTRNTINSWDLNDSLASGSGPLGMPDISEIKEEELRSTTVISQHATGSQAV ITEDTQTHESVSQIEQIEVGPLVTSMEITNHISLKELPEKNKTPYESTEVTLEHTTEMPT VSASPELATTSHYGFTLREDDREDRTLTVRSDQSTRVFSQIPEVITVSKTSEDTTYSQLG DLESISTSTITMLGTDRSLIDKEKEPKTNGKVTEDEFGQSQPTTTFPSQHLTEVELLPYS GDTTSVEGISTVIYPSLQTDVTQGRERTETPRPELKKDPYTVDEIPEKVTKDPFIGKTEE VFSGMPLSTSSSESSVERTESVSPALTIEKLTVKPTEARDVEEMTTLTRLETDVTKSDKD VTRVHLTHSTLNVEVVTVSKWPGDEDNSTSKPLPSTEHAGFTKLPPVPLSTIGINGKDKE IPSFTDGGGEYTLFPDGTPKPLEKVSEEDLASGELTVTFHTSTSIGSAEKSASGEPTTGD RFLPTTSTEDQVINATAEGSALGEDIEASKPLFTGPPFVHTSDVEELAFVNYSSTQEPTT YVDISHTSPLSIIPKTEWSVLETSVSLEDEILGKSDQDILEQTHLEATMSPGALRTTGVS QGETQEEPQTPGSPFPTFSSTAVMAKETTAFEEGEGSTYTPSEGRLMTGSERVPGLETTP VGTSYPPGAITDQEVEMDTMVTLMSTIRPTVVSSTEPEVIYEAEGSSPTEFASTLRPFQT HVTQLMEETTEEGKKASLDYTDLGSGLFEPRATELPKFPTTPSDISVFTAIDSLHRTPPL SPSSSFTEEQRVFEEESSEKTTGDILPGESVTQHPVTTLIDIVAMKTESDIDHMTSKPPV TQPTRPSVVERKTTSKTQELSTSTPAAGTKFHPDINVYIIEVRENKTGRLSDMIVSGHPI DSESKEEEPCSEETDPLHDLFAEILPELPDSFEIDIYHSEEDEDGEEDCVNATDVTTTPS VQYINGKQLVTTVPKDPEAAEARRGQYESVAPSQNFPDSSATDTHQFILAETESSTTMQF KKSKEGTELLEITWKPETYPETPDHVSSGEPDVFPTLSSHDGKTTRWSESITESSPNLEN PVHKQPKPVPLFPEESSGEGAIEQASQETILSRATEVALGKETDQSPTLSTSSILSSSVS VNVLEEEPLTLTGISQTDESMSTIESWVEITPSQTVKFSESSSAPIIEGSGEVEENKNKI FNMVTDLPQRDPTDTLSPLDMSKIMITNHHIYIPATTAPLDSKLPSPDARPTQFGIQTAT SEWVSDKSFEGRKREEDEEGAVNAAHQGEVRAATERSDHLLLTPELESSNVDASSDLATW EGFILETTPTESEKEMANSTPVFRETIGVANVEAQPFEHSSSSHPRVQEELTTLSGNPPS LFTDLGSGDASTGMELITASLFTLDLESETKVKKELPSTPSPSVEISSSFEPTGLTPSTV LDIEIAGVMSQTSQKTLISEISGKPTSQSGVRDLYTGFPMGEDFSGDFSEYPTVSYPTMK EETVGMGGSDDERVRDTQTSSSIPTTSDNIYPVPDSKGPDSTVASTTAFPWEEVMSSAEG SGEQLASVRSSVGPVLPLAVDIFSGTESPYFDEEFEEVAAVTEANERPTVLPTAASGNTV DLTENGYIEVNSTMSLDFPQTMEPSKLWSKPEVNLDKQEIGRETVTKEKAQGQKTFESLH SSFAPEQTILETQSLIETEFQTSDYSMLTTLKTYITNKEVEEEGMSIAHMSTPGPGIKDL ESYTTHPEAPGKSHSFSATALVTESGAARSVLMDSSTQEEESIKLFQKGVKLTNKESNAD LSFSGLGSGEALPPLPTTSVNLTDMKQIISTLYAETSHMESLGTSILGDKMEDHERMEDV SNEVRMLISKTGSISQDSTEALDTTLSHTGTEEPTTSTLPFVKLMDLERSPKQTLRWEEE TQTHRPQTMSGLISNENSSASEAEEAATSPTAFLPQTYSVEMTKHFAPSESQPSDLFNVN SGEGSGEVDTLDLVYTSGTTQASSQGDSMLASHGFLEKHPEVSKTEAGATDVSPTASAMF LHHSEYKSSLYPTSTLPSTEPYKSPSEGIEDGLQDNIQFEGSTLKPSRRKTTESIIIDLD KEDSKDLGLTITESAIVEILPELTSDKNIIIDIDHTKPVYEYIPGIQTDLDPEIKLESHG SSEESLQVQEKYEGAVTLSPTEESFEGSGDALLAGYTQAIYNESVTPNDGKQAEDISFSF ATGIPVSSTETELHTFFPTASTLHIPSKLTTASPEIDKPNIEAISLDDIFESSTLSDGQA IADQSEVISTLGHLEKTQEEYEEKKYGGPSFQPEFFSGVGEVLTDPPAYVSIGSTYLIAQ TLTELPNVVRPSDSTHYTEATPEVSSLAELSPQIPSSPFPVYVDNGVSKFPEVPHTSAQP VSTVTSSQKSIESPFKEVHANIEETIKPLGGNVHRTEPPSMSRDPALDVSEDESKHKLLE ELETSPTKPETSQDFPNKAKDHIPGETVGMLAGIRTTESEPVITADDMELGGATQQPHSA SAAFRVETGMVPQPIQQEPERPTFPSLEINHETHTSLFGESILATSEKQVSQKILDNSNQ ATVSSTLDLHTAHALSPFSILDNSNETAFLIGISEESVEGTAVYLPGPDLCKTNPCLNGG TCYPTETSYVCTCAPGYSGDQCELDFDECHSNPCRNGATCVDGFNTFRCLCLPSYVGALC EQDTETCDYGWHKFQGQCYKYFAHRRTWDAAERECRLQGAHLTSILSHEEQMFVNRVGHD YQWIGLNDKMFEHDFRWTDGSALQYENWRPNQPDSFFSAGEDCVVIIWHENGQWNDVPCN YHLTYTCKKGTVACGQPPVVENAKTFGKMKPRYEINSLIRYHCKDGFIQRHLPTIRCLGN GRWAMPKITCMNPSAYQRTYSKKYLKNSSSAKDNSINTSKHEHRWSRRRQETRR >ENSMUSP00000105170.2 pep:known chromosome:GRCm38:13:89657056:89742244:-1 gene:ENSMUSG00000021614.16 transcript:ENSMUST00000109543.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcan description:versican [Source:MGI Symbol;Acc:MGI:102889] MLINMKGILWMCSTLLLTHALHQAKMETSPPVKGSLSGKVVLPCHFSTLPTLPPNYNTSE FLRIKWSKMEVDKNGKDIKETTVLVAQNGNIKIGQDYKGRVSVPTHPDDVGDASLTMVKL RASDAGVYRCDVMYGIEDTQDTMSLAVDGVVFHYRAATSRYTLNFAAAQQACLDIGAVIA SPEQLFAAYEDGFEQCDAGWLSDQTVRYPIRAPREGCYGDMMGKEGVRTYGFRSPQETYD VYCYVDHLDGDVFHITAPSKFTFEEAEAECTSRDARLATVGELQAAWRNGFDQCDYGWLS DASVRHPVTVARAQCGGGLLGVRTLYRFENQTCFPLPDSRFDAYCFKRPDLCKTNPCLNG GTCYPTETSYVCTCAPGYSGDQCELDFDECHSNPCRNGATCVDGFNTFRCLCLPSYVGAL CEQDTETCDYGWHKFQGQCYKYFAHRRTWDAAERECRLQGAHLTSILSHEEQMFVNRVGH DYQWIGLNDKMFEHDFRWTDGSALQYENWRPNQPDSFFSAGEDCVVIIWHENGQWNDVPC NYHLTYTCKKGTVACGQPPVVENAKTFGKMKPRYEINSLIRYHCKDGFIQRHLPTIRCLG NGRWAMPKITCMNPSAYQRTYSKKYLKNSSSAKDNSINTSKHEHRWSRRRQETRR >ENSMUSP00000105171.2 pep:known chromosome:GRCm38:13:89656645:89742334:-1 gene:ENSMUSG00000021614.16 transcript:ENSMUST00000109544.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcan description:versican [Source:MGI Symbol;Acc:MGI:102889] MLINMKGILWMCSTLLLTHALHQAKMETSPPVKGSLSGKVVLPCHFSTLPTLPPNYNTSE FLRIKWSKMEVDKNGKDIKETTVLVAQNGNIKIGQDYKGRVSVPTHPDDVGDASLTMVKL RASDAGVYRCDVMYGIEDTQDTMSLAVDGVVFHYRAATSRYTLNFAAAQQACLDIGAVIA SPEQLFAAYEDGFEQCDAGWLSDQTVRYPIRAPREGCYGDMMGKEGVRTYGFRSPQETYD VYCYVDHLDGDVFHITAPSKFTFEEAEAECTSRDARLATVGELQAAWRNGFDQCDYGWLS DASVRHPVTVARAQCGGGLLGVRTLYRFENQTCFPLPDSRFDAYCFKPKQNISEATTIEM NILAETSSPSLSKEPHMVPDRATPVIPLATELPIFTTHFPPAGNIVNSEQKSVVYSQAIT GRLAMESPTTTRNTINSWDLNDSLASGSGPLGMPDISEIKEEELRSTTVISQHATGSQAV ITEDTQTHESVSQIEQIEVGPLVTSMEITNHISLKELPEKNKTPYESTEVTLEHTTEMPT VSASPELATTSHYGFTLREDDREDRTLTVRSDQSTRVFSQIPEVITVSKTSEDTTYSQLG DLESISTSTITMLGTDRSLIDKEKEPKTNGKVTEDEFGQSQPTTTFPSQHLTEVELLPYS GDTTSVEGISTVIYPSLQTDVTQGRERTETPRPELKKDPYTVDEIPEKVTKDPFIGKTEE VFSGMPLSTSSSESSVERTESVSPALTIEKLTVKPTEARDVEEMTTLTRLETDVTKSDKD VTRVHLTHSTLNVEVVTVSKWPGDEDNSTSKPLPSTEHAGFTKLPPVPLSTIGINGKDKE IPSFTDGGGEYTLFPDGTPKPLEKVSEEDLASGELTVTFHTSTSIGSAEKSASGEPTTGD RFLPTTSTEDQVINATAEGSALGEDIEASKPLFTGPPFVHTSDVEELAFVNYSSTQEPTT YVDISHTSPLSIIPKTEWSVLETSVSLEDEILGKSDQDILEQTHLEATMSPGALRTTGVS QGETQEEPQTPGSPFPTFSSTAVMAKETTAFEEGEGSTYTPSEGRLMTGSERVPGLETTP VGTSYPPGAITDQEVEMDTMVTLMSTIRPTVVSSTEPEVIYEAEGSSPTEFASTLRPFQT HVTQLMEETTEEGKKASLDYTDLGSGLFEPRATELPKFPTTPSDISVFTAIDSLHRTPPL SPSSSFTEEQRVFEEESSEKTTGDILPGESVTQHPVTTLIDIVAMKTESDIDHMTSKPPV TQPTRPSVVERKTTSKTQELSTSTPAAGTKFHPDINVYIIEVRENKTGPDLCKTNPCLNG GTCYPTETSYVCTCAPGYSGDQCELDFDECHSNPCRNGATCVDGFNTFRCLCLPSYVGAL CEQDTETCDYGWHKFQGQCYKYFAHRRTWDAAERECRLQGAHLTSILSHEEQMFVNRVGH DYQWIGLNDKMFEHDFRWTDGSALQYENWRPNQPDSFFSAGEDCVVIIWHENGQWNDVPC NYHLTYTCKKGTVACGQPPVVENAKTFGKMKPRYEINSLIRYHCKDGFIQRHLPTIRCLG NGRWAMPKITCMNPSAYQRTYSKKYLKNSSSAKDNSINTSKHEHRWSRRRQETRR >ENSMUSP00000125521.1 pep:known chromosome:GRCm38:13:89725434:89740397:-1 gene:ENSMUSG00000021614.16 transcript:ENSMUST00000162715.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcan description:versican [Source:MGI Symbol;Acc:MGI:102889] MLINMKGILWMCSTLLLTHALHQAKMETSPPVKGSLSGKVVLPCHFSTLPTLPPNYNTSE FLRIKWSKMEVDKNGKDIKETTVLVAQNGNIKIGQDYKGR >ENSMUSP00000125694.1 pep:known chromosome:GRCm38:13:89657017:89678121:-1 gene:ENSMUSG00000021614.16 transcript:ENSMUST00000160740.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcan description:versican [Source:MGI Symbol;Acc:MGI:102889] XKMFEHDFRWTDGSALQYENWRPNQPDSFFSAGEDCVVIIWHENGQWNDVPCNYHLTYTC KKGTVACGQPPVVENAKTFGKMKPRYEINSLIRYHCKDGFIQRHLPTIRCLGNGRWAMPK ITCMNRKWSFRKNGQRCFNRY >ENSMUSP00000125674.1 pep:known chromosome:GRCm38:13:89657655:89678908:-1 gene:ENSMUSG00000021614.16 transcript:ENSMUST00000159285.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcan description:versican [Source:MGI Symbol;Acc:MGI:102889] XKSLPMALINRRSVGHDYQWIGLNDKMFEHDFRWTDGSALQYENWRPNQPDSFFSAGEDC VVIIWHENGQWNDVPCNYHLTYTCKKGTVACGQPPVVE >ENSMUSP00000134759.1 pep:known chromosome:GRCm38:17:24508562:24527938:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000176652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGTRMETTFGPTFSTVTTITKADGTGTYKQHRRTPS SSSTLAYSPRDEEDGMPPINTPRRSDSAISVRSLHSESSMSLRSTFSLPEEEEEPEPLVF AEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSEKCPVDNAKLTVVVNNIAVAEQI GELFIHCRHGCHAAGTGKPGVFEVDPRGCPFTIKLSARKDHESSCDYRPVRCPNNPSCPP LLKMNLEAHLKECEHIKCPHSKYGCTFIGNQDTYETHLETCRFEGLKEFLQQTDDRFHEM HVALAQKDQEIAFLRSMLGKLSEKIDQLEKSLELKFDVLDENQSKLSEDLMEFRRDASML NDELSHINARLNMGILGSYDPQQIFKCKGTFVGHQGPVWCLCVYSMGDLLFSGSSDKTIK VWDTCTTYKCQKTLEGHDGIVLALCIQGCKLYSGSADCTIIVWDIQNLQKVNTIRAHDNP VCTLVSSHNMLFSGSLKAIKVWDIVGTELKLKKELTGLNHWVRALVAAQSYLYSGSYQTI KIWDIRTLDCIHVLQTSGGSVYSIAVTNHHIVCGTYENLIHVWDIESKEQVRTLTGHVGT VYALAVISTPDQTKVFSASYDRSLRVWSMDNMICTQTLLRHQGSVTALAVSRGRLFSGAV DSTVKVWTC >ENSMUSP00000135267.1 pep:known chromosome:GRCm38:17:24508850:24527846:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000176353.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGTRMETTFGPTFSTVTTITKAADGTGTYKQHRRTP SSSSTLAYSPRDEEDGMEPLVFAEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSE KCPVDNAKLTVVVNNIAVAEQIGELFIHCRHGCHAAGTGKPGVFEVDPRGCPFTIKLSAR KDHESSCDYRPVRCPNNPSCPPLLKMNLEAHLKECEHIKCPHSKCTFIGNQDTYETHLET CRFEGLKEFLQQTDDRFHEMHVALAQKDQEIAFLRSMLGKLSEKIDQLEKSLELKFDVLD ENQSKLSEDLMEFRRDASMLNDELSHINARLNMGILGSYDPQQIFKCKGTFVGHQGPVWC LCVYSMGDLLFSGSSDKTIKVWDTCTTYKCQKTLEGHDGIVLALCIQGCKLYSGSADCTI IVWDIQNLQKVNTIRAHDNPVCTLVSSHNMLFSGSLKAIKVWDIVGTELKLKKELTGLNH WVRALVAAQSYLYSGSYQTIKIWDIRTLDCIHVLQTSGGSVYSIAVTNHHIVCGTYENLI HVWDIESKEQVRTLTGHVGTVYALAVISTPDQTKVFSASYDRSLRVWSMDNMICTQTLLR HQGSVTALAVSRGRLFSGAVDSTVKVWTC >ENSMUSP00000135586.1 pep:known chromosome:GRCm38:17:24508850:24527850:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000176668.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGTRMETTFGPTFSTVTTITKAADGTGTYKQHRRTP SSSSTLAYSPRDEEDGMGSSP >ENSMUSP00000135845.1 pep:known chromosome:GRCm38:17:24508850:24527890:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000176086.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGVRGACRPGWKQPLGLPFRPSLPSPKQLMGLAHTN SIAGHLPPLAPLPIRHGMRRTACPPSTLLAALTQPSLSVPYTPSPACPCAPRSRCLRRRR SRSRWYLPSSPR >ENSMUSP00000135456.1 pep:known chromosome:GRCm38:17:24511597:24513339:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000175698.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] XVNNIAVAEQIGELFIHCRHGCHAAGTGKPGVFEVDPRGCPFTIKLSARNSTSVSCVCTG TTRVVVTTGLCAAPTTPAAHPFSR >ENSMUSP00000134970.1 pep:known chromosome:GRCm38:17:24511688:24514738:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000177502.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] XLPEEEEEPEPLVFAEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSGTTRVVVTT GLCAAPTTPAAHPFSR >ENSMUSP00000134946.1 pep:known chromosome:GRCm38:17:24512247:24527863:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000176237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGTRMETTFGPTFSTVTTITKADGTGTYKQHRRTPS SSSTLAYSPRDEEDGMEPLVFAEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSEK CPVDNAKLTVVVNNIAVAEQIGELFIHCRHGCHAAGTGKPGVFEVDPRGCPFTIKLSARK DHESSCDYRPVRCPNNPSCPPLLKMNLEAHLKECEHIKCPHSKYGCTFIGNQDTYETHLE TCRFEGLKEFLQQTDDRFHEMHVALAQKDQE >ENSMUSP00000135874.1 pep:known chromosome:GRCm38:17:24513059:24527489:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000177154.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGTRMETTFGPTFSTVTTITKAADGTGTYKQHRRTP SSSSTLAYSPRDEEDGMPPINTPRRSDSAISVRSLHSESSMSLRSTFSLPEEEEEPEPLV FAEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSEKCPVDNAKLTVVVNNIAVAEQ IGELFIHCRHGCHAAGTGKPGVFEVDPRGCPFTIKLSARKDHESSCDY >ENSMUSP00000135339.1 pep:known chromosome:GRCm38:17:24513242:24527846:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000176324.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGDGNNLWAYLFDRHYHHQS >ENSMUSP00000135341.1 pep:known chromosome:GRCm38:17:24513254:24527833:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000177401.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGDGNNLWAYLFDRHYHHQSS >ENSMUSP00000134808.1 pep:known chromosome:GRCm38:17:24513261:24527911:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000176178.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGTRMETTFGPTFSTVTTITKAPHQHSSPL >ENSMUSP00000135288.1 pep:known chromosome:GRCm38:17:24513337:24527548:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000177193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGTRMETTFGPTFSTVTTITKADGTGTYKQHRRTPS SSSTLAYSPRDEEDGMPPINTPRRSDSAISVRSLHSESSMSLRSTFSLPEEEEEPEPLVF AEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSEKCPVDNAKLTVVV >ENSMUSP00000135127.1 pep:known chromosome:GRCm38:17:24513921:24527846:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000177405.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGTRMETTFGPTFSTVTTITKADGTGTYKQHRRTPS SSSTLAYSPRDEEDGMGSSP >ENSMUSP00000135583.1 pep:known chromosome:GRCm38:17:24514719:24527821:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000177025.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGDGNNLWAYLFDRHYHHQS >ENSMUSP00000069334.6 pep:known chromosome:GRCm38:17:24508850:24519208:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000070777.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGTRMETTFGPTFSTVTTITKAADGTGTYKQHRRTP SSSSTLAYSPRDEEDGMEPLVFAEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSE KCPVDNAKLTVVVNNIAVAEQIGELFIHCRHGCHAAGTGKPGVFEVDPRGCPFTIKLSAR KDHESSCDYRPVRCPNNPSCPPLLKMNLEAHLKECEHIKCPHSKCTFIGNQDTYETHLET CRFEGLKEFLQQTDDRFHEMHVALAQKDQEIAFLRSMLGKLSEKIDQLEKSLELKFDVLD ENQSKLSEDLMEFRRDASMLNDELSHINARLNMGILGSYDPQQIFKCKGTFVGHQGPVWC LCVYSMGDLLFSGSSDKTIKVWDTCTTYKCQKTLEGHDGIVLALCIQGCKLYSGSADCTI IVWDIQNLQKVNTIRAHDNPVCTLVSSHNMLFSGSLKAIKVWDIVGTELKLKKELTGLNH WVRALVAAQSYLYSGSYQTIKIWDIRTLDCIHVLQTSGGSVYSIAVTNHHIVCGTYENLI HVWDIESKEQVRTLTGHVGTVYALAVISTPDQTKVFSASYDRSLRVWSMDNMICTQTLLR HQGSVTALAVSRGRLFSGAVDSTVKVWTC >ENSMUSP00000085812.5 pep:known chromosome:GRCm38:17:24508850:24519208:-1 gene:ENSMUSG00000052752.15 transcript:ENSMUST00000088464.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Traf7 description:TNF receptor-associated factor 7 [Source:MGI Symbol;Acc:MGI:3042141] MSSAKSARYDRFSGGPANLPSSDSSGTRMETTFGPTFSTVTTITKADGTGTYKQHRRTPS SSSTLAYSPRDEEDGMPPINTPRRSDSAISVRSLHSESSMSLRSTFSLPEEEEEPEPLVF AEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSEKCPVDNAKLTVVVNNIAVAEQI GELFIHCRHGCHAAGTGKPGVFEVDPRGCPFTIKLSARKDHESSCDYRPVRCPNNPSCPP LLKMNLEAHLKECEHIKCPHSKYGCTFIGNQDTYETHLETCRFEGLKEFLQQTDDRFHEM HVALAQKDQEIAFLRSMLGKLSEKIDQLEKSLELKFDVLDENQSKLSEDLMEFRRDASML NDELSHINARLNMGILGSYDPQQIFKCKGTFVGHQGPVWCLCVYSMGDLLFSGSSDKTIK VWDTCTTYKCQKTLEGHDGIVLALCIQGCKLYSGSADCTIIVWDIQNLQKVNTIRAHDNP VCTLVSSHNMLFSGSLKAIKVWDIVGTELKLKKELTGLNHWVRALVAAQSYLYSGSYQTI KIWDIRTLDCIHVLQTSGGSVYSIAVTNHHIVCGTYENLIHVWDIESKEQVRTLTGHVGT VYALAVISTPDQTKVFSASYDRSLRVWSMDNMICTQTLLRHQGSVTALAVSRGRLFSGAV DSTVKVWTC >ENSMUSP00000123859.1 pep:known chromosome:GRCm38:3:28263214:28670585:1 gene:ENSMUSG00000027692.16 transcript:ENSMUST00000160934.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tnik description:TRAF2 and NCK interacting kinase [Source:MGI Symbol;Acc:MGI:1916264] MASDSPARSLDEIDLSALRDPAGIFELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTG DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNT KGNTLKEEWIAYICREILRGLSHLHQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDFKGWAVSHAAGTAAQC >ENSMUSP00000124681.2 pep:known chromosome:GRCm38:3:28263215:28675858:1 gene:ENSMUSG00000027692.16 transcript:ENSMUST00000159236.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnik description:TRAF2 and NCK interacting kinase [Source:MGI Symbol;Acc:MGI:1916264] MASDSPARSLDEIDLSALRDPAGIFELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTG DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNT KGNTLKEEWIAYICREILRGLSHLHQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDFKSDLWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPAPRLKSKKWSKKFQSFIESCLVKNHSQRPATEQLMKHPFIRDQPNERQVRI QLKDHIDRTKKKRGEKDETEYEYSGSEEEEEENDSGEPSSILNLPGESTLRRDFLRLQLA NKERSEALRRQQLEQQQRENEEHKRQLLAERQKRIEEQKEQRRRLEEQQRREKELRKQQE REQRRHYEEQMRREEERRRAEHEQEYKRKQLEEQRQAERLQRQLKQERDYLVSLQHQRQE QRPLEKKPLYHYKEGMSPSEKPAWAKEVEERSRLNRQSSPAMPHKVANRISDPNLPPRSE SFSISGVQPARTPPMLRPVDPQIPQLVAVKSQGPALTASQSVHEQPTKGLSGFQEALNVT SHRVEMPRQNSDPTSENPPLPTRIEKFDRSSWLRQEEDIPPKVPQRTTSISPALARKNSP GNGSALGPRLGSQPIRASNPDLRRTEPVLESSLQRTSSGSSSSSSTPSSQPSSQGGSQPG SQAGSSERSRVRANSKSEGSPVLPHEPSKVKPEESRDITRPSRPADLTALAKELRELRIE ETNRPLKKVTDYSSSSEESESSEEEEEDGESETHDGTVAVSDIPRLIPTGAPGNNEQYNM GMVGTHGLETSHADTFGGSISREGTLMIRETAEEKKRSGHSDSNGFAGHINLPDLVQQSH SPAGTPTEGLGRVSTHSQEMDSGAEYGIGSSTKASFTPFVDPRVYQTSPTDEDEEDDESS AAALFTSELLRQEQAKLNEARKISVVNVNPTNIRPHSDTPEIRKYKKRFNSEILCAALWG VNLLVGTENGLMLLDRSGQGKVYNLINRRRFQQMDVLEGLNVLVTISGKKNKLRVYYLSW LRNRILHNDPEVEKKQGWITVGDLEGCIHYKVVKYERIKFLVIALKNAVEIYAWAPKPYH KFMAFKSFADLQHKPLLVDLTVEEGQRLKVIFGSHTGFHVIDVDSGNSYDIYIPSHIQGN ITPHAIVILPKTDGMEMLVCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAYIHSNQIMGW GEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVFFMTLNRNSM MNW >ENSMUSP00000125081.2 pep:known chromosome:GRCm38:3:28263563:28668223:1 gene:ENSMUSG00000027692.16 transcript:ENSMUST00000160307.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnik description:TRAF2 and NCK interacting kinase [Source:MGI Symbol;Acc:MGI:1916264] MASDSPARSLDEIDLSALRDPAGIFELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTG DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNT KGNTLKEEWIAYICREILRGLSHLHQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDFKSDLWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPAPRLKSKKWSKKFQSFIESCLVKNHSQRPATEQLMKHPFIRDQPNERQVRI QLKDHIDRTKKKRGEKDETEYEYSGSEEEEEENDSGEPSSILNLPGESTLRRDFLRLQLA NKERSEALRRQQLEQQQRENEEHKRQLLAERQKRIEEQKEQRRRLEEQQRREKELRKQQE REQRRHYEEQMRREEERRRAEHEQEYIRRQLEEEQRQLEILQQQLLHEQALLLEYKRKQL EEQRQAERLQRQLKQERDYLVSLQHQRQEQRPLEKKPLYHYKEGMSPSEKPAWAKEVEER SRLNRQSSPAMPHKVANRISDPNLPPRSESFSISGVQPARTPPMLRPVDPQIPQLVAVKS QGPALTASQSVHEQPTKGLSGFQEALNVTSHRVEMPRQNSDPTSENPPLPTRIEKFDRSS WLRQEEDIPPKVPQRTTSISPALARKNSPGNGSALGPRLGSQPIRASNPDLRRTEPVLES SLQRTSSGSSSSSSTPSSQPSSQGGSQPGSQAGSSERSRVRANSKSEGSPVLPHEPSKVK PEESRDITRPSRPASYKKAIDEDLTALAKELRELRIEETNRPLKKVTDYSSSSEESESSE EEEEDGESETHDGTVAVSDIPRLIPTGAPGNNEQYNMGMVGTHGLETSHADTFGGSISRE GTLMIRETAEEKKRSGHSDSNGFAGHINLPDLVQQSHSPAGTPTEGLGRVSTHSQEMDSG AEYGIGSSTKASFTPFVDPRVYQTSPTDEDEEDDESSAAALFTSELLRQEQAKLNEARKI SVVNVNPTNIRPHSDTPEIRKYKKRFNSEILCAALWGVNLLVGTENGLMLLDRSGQGKVY NLINRRRFQQMDVLEGLNVLVTISGKKNKLRVYYLSWLRNRILHNDPEVEKKQGWITVGD LEGCIHYKVVKYERIKFLVIALKNAVEIYAWAPKPYHKFMAFKSFADLQHKPLLVDLTVE EGQRLKVIFGSHTGFHVIDVDSGNSYDIYIPSHIQGNITPHAIVILPKTDGMEMLVCYED EGVYVNTYGRITKDVVLQWGEMPTSVAYIHSNQIMGWGEKAIEIRSVETGHLDGVFMHKR AQRLKFLCERNDKVFFASVRSGGSSQVFFMTLNRNSMMNW >ENSMUSP00000124876.2 pep:known chromosome:GRCm38:3:28263563:28668223:1 gene:ENSMUSG00000027692.16 transcript:ENSMUST00000159680.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnik description:TRAF2 and NCK interacting kinase [Source:MGI Symbol;Acc:MGI:1916264] MASDSPARSLDEIDLSALRDPAGIFELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTG DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNT KGNTLKEEWIAYICREILRGLSHLHQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDFKSDLWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPAPRLKSKKWSKKFQSFIESCLVKNHSQRPATEQLMKHPFIRDQPNERQVRI QLKDHIDRTKKKRGEKDETEYEYSGSEEEEEENDSGEPSSILNLPGESTLRRDFLRLQLA NKERSEALRRQQLEQQQRENEEHKRQLLAERQKRIEEQKEQRRRLEEQQRREKELRKQQE REQRRHYEEQMRREEERRRAEHEQEYIRRQLEEEQRQLEILQQQLLHEQALLLEYKRKQL EEQRQAERLQRQLKQERDYLVSLQHQRQEQRPLEKKPLYHYKEGMSPSEKPAWAKEVEER SRLNRQSSPAMPHKVANRISDPNLPPRSESFSISGVQPARTPPMLRPVDPQIPQLVAVKS QGPALTASQSVHEQPTKGLSGFQEALNVTSHRVEMPRQNSDPTSENPPLPTRIEKFDRSS WLRQEEDIPPKVPQRTTSISPALARKNSPGNGSALGPRLGSQPIRASNPDLRRTEPVLES SLQRTSSGSSSSSSTPSSQPSSQGGSQPGSQAGSSERSRVRANSKSEGSPVLPHEPSKVK PEESRDITRPSRPADLTALAKELRELRIEETNRPLKKVTDYSSSSEESESSEEEEEDGES ETHDGTVAVSDIPRLIPTGAPGNNEQYNMGMVGTHGLETSHADTFGGSISREGTLMIRET AEEKKRSGHSDSNGFAGHINLPDLVQQSHSPAGTPTEGLGRVSTHSQEMDSGAEYGIGSS TKASFTPFVDPRVYQTSPTDEDEEDDESSAAALFTSELLRQEQAKLNEARKISVVNVNPT NIRPHSDTPEIRKYKKRFNSEILCAALWGVNLLVGTENGLMLLDRSGQGKVYNLINRRRF QQMDVLEGLNVLVTISGKKNKLRVYYLSWLRNRILHNDPEVEKKQGWITVGDLEGCIHYK VVKYERIKFLVIALKNAVEIYAWAPKPYHKFMAFKSFADLQHKPLLVDLTVEEGQRLKVI FGSHTGFHVIDVDSGNSYDIYIPSHIQGNITPHAIVILPKTDGMEMLVCYEDEGVYVNTY GRITKDVVLQWGEMPTSVAYIHSNQIMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLC ERNDKVFFASVRSGGSSQVFFMTLNRNSMMNW >ENSMUSP00000124011.1 pep:known chromosome:GRCm38:3:28263643:28667982:1 gene:ENSMUSG00000027692.16 transcript:ENSMUST00000160518.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnik description:TRAF2 and NCK interacting kinase [Source:MGI Symbol;Acc:MGI:1916264] MASDSPARSLDEIDLSALRDPAGIFELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTG DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNT KGNTLKEEWIAYICREILRGLSHLHQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDFKSDLWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPAPRLKSKKWSKKFQSFIESCLVKNHSQRPATEQLMKHPFIRDQPNERQVRI QLKDHIDRTKKKRGEKDETEYEYSGSEEEEEENDSGEPSSILNLPGESTLRRDFLRLQLA NKERSEALRRQQLEQQQRENEEHKRQLLAERQKRIEEQKEQRRRLEEQQRREKELRKQQE REQRRHYEEQMRREEERRRAEHEQEYKRKQLEEQRQAERLQRQLKQERDYLVSLQHQRQE QRPLEKKPLYHYKEGMSPSEKPAWAKEVEERSRLNRQSSPAMPHKVANRISDPNLPPRSE SFSISGVQPARTPPMLRPVDPQIPQLVAVKSQGPALTASQSVHEQPTKGLSGFQEALNVT SHRVEMPRQNSDPTSENPPLPTRIEKFDRSSWLRQEEDIPPKVPQRTTSISPALARKNSP GNGSALGPRLGSQPIRASNPDLRRTEPVLESSLQRTSSGSSSSSSTPSSQPSSQGGSQPG SQAGSSERSRVRANSKSEGSPVLPHEPSKVKPEESRDITRPSRPASYKKAIDEDLTALAK ELRELRIEETNRPLKKVTDYSSSSEESESSEEEEEDGESETHDGTVAVSDIPRLIPTGAP GNNEQYNMGMVGTHGLETSHADTFGGSISREGTLMIRETAEEKKRSGHSDSNGFAGHINL PDLVQQSHSPAGTPTEGLGRVSTHSQEMDSGAEYGIGSSTKASFTPFVDPRVYQTSPTDE DEEDDESSAAALFTSELLRQEQAKLNEARKISVVNVNPTNIRPHSDTPEIRKYKKRFNSE ILCAALWGVNLLVGTENGLMLLDRSGQGKVYNLINRRRFQQMDVLEGLNVLVTISGKKNK LRVYYLSWLRNRILHNDPEVEKKQGWITVGDLEGCIHYKVVKYERIKFLVIALKNAVEIY AWAPKPYHKFMAFKSFADLQHKPLLVDLTVEEGQRLKVIFGSHTGFHVIDVDSGNSYDIY IPSHIQGNITPHAIVILPKTDGMEMLVCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAYI HSNQIMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVFF MTLNRNSMMNW >ENSMUSP00000124387.1 pep:known chromosome:GRCm38:3:28263643:28667982:1 gene:ENSMUSG00000027692.16 transcript:ENSMUST00000162485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnik description:TRAF2 and NCK interacting kinase [Source:MGI Symbol;Acc:MGI:1916264] MASDSPARSLDEIDLSALRDPAGIFELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTG DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNT KGNTLKEEWIAYICREILRGLSHLHQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDFKSDLWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPAPRLKSKKWSKKFQSFIESCLVKNHSQRPATEQLMKHPFIRDQPNERQVRI QLKDHIDRTKKKRGEKDETEYEYSGSEEEEEENDSGEPSSILNLPGESTLRRDFLRLQLA NKERSEALRRQQLEQQQRENEEHKRQLLAERQKRIEEQKEQRRRLEEQQRREKELRKQQE REQRRHYEEQMRREEERRRAEHEQEYIRRQLEEEQRQLEILQQQLLHEQALLLEYKRKQL EEQRQAERLQRQLKQERDYLVSLQHQRQEQRPLEKKPLYHYKEGMSPSEKPAWAKEIPQL VAVKSQGPALTASQSVHEQPTKGLSGFQEALNVTSHRVEMPRQNSDPTSENPPLPTRIEK FDRSSWLRQEEDIPPKVPQRTTSISPALARKNSPGNGSALGPRLGSQPIRASNPDLRRTE PVLESSLQRTSSGSSSSSSTPSSQPSSQGGSQPGSQAGSSERSRVRANSKSEGSPVLPHE PSKVKPEESRDITRPSRPASYKKAIDEDLTALAKELRELRIEETNRPLKKVTDYSSSSEE SESSEEEEEDGESETHDGTVAVSDIPRLIPTGAPGNNEQYNMGMVGTHGLETSHADTFGG SISREGTLMIRETAEEKKRSGHSDSNGFAGHINLPDLVQQSHSPAGTPTEGLGRVSTHSQ EMDSGAEYGIGSSTKASFTPFVDPRVYQTSPTDEDEEDDESSAAALFTSELLRQEQAKLN EARKISVVNVNPTNIRPHSDTPEIRKYKKRFNSEILCAALWGVNLLVGTENGLMLLDRSG QGKVYNLINRRRFQQMDVLEGLNVLVTISGKKNKLRVYYLSWLRNRILHNDPEVEKKQGW ITVGDLEGCIHYKVVKYERIKFLVIALKNAVEIYAWAPKPYHKFMAFKSFADLQHKPLLV DLTVEEGQRLKVIFGSHTGFHVIDVDSGNSYDIYIPSHIQGNITPHAIVILPKTDGMEML VCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAYIHSNQIMGWGEKAIEIRSVETGHLDGV FMHKRAQRLKFLCERNDKVFFASVRSGGSSQVFFMTLNRNSMMNW >ENSMUSP00000125466.1 pep:known chromosome:GRCm38:3:28263643:28667982:1 gene:ENSMUSG00000027692.16 transcript:ENSMUST00000159308.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnik description:TRAF2 and NCK interacting kinase [Source:MGI Symbol;Acc:MGI:1916264] MASDSPARSLDEIDLSALRDPAGIFELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTG DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNT KGNTLKEEWIAYICREILRGLSHLHQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDFKSDLWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPAPRLKSKKWSKKFQSFIESCLVKNHSQRPATEQLMKHPFIRDQPNERQVRI QLKDHIDRTKKKRGEKDETEYEYSGSEEEEEENDSGEPSSILNLPGESTLRRDFLRLQLA NKERSEALRRQQLEQQQRENEEHKRQLLAERQKRIEEQKEQRRRLEEQQRREKELRKQQE REQRRHYEEQMRREEERRRAEHEQEYKRKQLEEQRQAERLQRQLKQERDYLVSLQHQRQE QRPLEKKPLYHYKEGMSPSEKPAWAKEIPQLVAVKSQGPALTASQSVHEQPTKGLSGFQE ALNVTSHRVEMPRQNSDPTSENPPLPTRIEKFDRSSWLRQEEDIPPKVPQRTTSISPALA RKNSPGNGSALGPRLGSQPIRASNPDLRRTEPVLESSLQRTSSGSSSSSSTPSSQPSSQG GSQPGSQAGSSERSRVRANSKSEGSPVLPHEPSKVKPEESRDITRPSRPASYKKAIDEDL TALAKELRELRIEETNRPLKKVTDYSSSSEESESSEEEEEDGESETHDGTVAVSDIPRLI PTGAPGNNEQYNMGMVGTHGLETSHADTFGGSISREGTLMIRETAEEKKRSGHSDSNGFA GHINLPDLVQQSHSPAGTPTEGLGRVSTHSQEMDSGAEYGIGSSTKASFTPFVDPRVYQT SPTDEDEEDDESSAAALFTSELLRQEQAKLNEARKISVVNVNPTNIRPHSDTPEIRKYKK RFNSEILCAALWGVNLLVGTENGLMLLDRSGQGKVYNLINRRRFQQMDVLEGLNVLVTIS GKKNKLRVYYLSWLRNRILHNDPEVEKKQGWITVGDLEGCIHYKVVKYERIKFLVIALKN AVEIYAWAPKPYHKFMAFKSFADLQHKPLLVDLTVEEGQRLKVIFGSHTGFHVIDVDSGN SYDIYIPSHIQGNITPHAIVILPKTDGMEMLVCYEDEGVYVNTYGRITKDVVLQWGEMPT SVAYIHSNQIMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGS SQVFFMTLNRNSMMNW >ENSMUSP00000124726.1 pep:known chromosome:GRCm38:3:28263643:28667982:1 gene:ENSMUSG00000027692.16 transcript:ENSMUST00000162777.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnik description:TRAF2 and NCK interacting kinase [Source:MGI Symbol;Acc:MGI:1916264] MASDSPARSLDEIDLSALRDPAGIFELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTG DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNT KGNTLKEEWIAYICREILRGLSHLHQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDFKSDLWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPAPRLKSKKWSKKFQSFIESCLVKNHSQRPATEQLMKHPFIRDQPNERQVRI QLKDHIDRTKKKRGEKDETEYEYSGSEEEEEENDSGEPSSILNLPGESTLRRDFLRLQLA NKERSEALRRQQLEQQQRENEEHKRQLLAERQKRIEEQKEQRRRLEEQQRREKELRKQQE REQRRHYEEQMRREEERRRAEHEQEYIRRQLEEEQRQLEILQQQLLHEQALLLEYKRKQL EEQRQAERLQRQLKQERDYLVSLQHQRQEQRPLEKKPLYHYKEGMSPSEKPAWAKEIPQL VAVKSQGPALTASQSVHEQPTKGLSGFQEALNVTSHRVEMPRQNSDPTSENPPLPTRIEK FDRSSWLRQEEDIPPKVPQRTTSISPALARKNSPGNGSALGPRLGSQPIRASNPDLRRTE PVLESSLQRTSSGSSSSSSTPSSQPSSQGGSQPGSQAGSSERSRVRANSKSEGSPVLPHE PSKVKPEESRDITRPSRPADLTALAKELRELRIEETNRPLKKVTDYSSSSEESESSEEEE EDGESETHDGTVAVSDIPRLIPTGAPGNNEQYNMGMVGTHGLETSHADTFGGSISREGTL MIRETAEEKKRSGHSDSNGFAGHINLPDLVQQSHSPAGTPTEGLGRVSTHSQEMDSGAEY GIGSSTKASFTPFVDPRVYQTSPTDEDEEDDESSAAALFTSELLRQEQAKLNEARKISVV NVNPTNIRPHSDTPEIRKYKKRFNSEILCAALWGVNLLVGTENGLMLLDRSGQGKVYNLI NRRRFQQMDVLEGLNVLVTISGKKNKLRVYYLSWLRNRILHNDPEVEKKQGWITVGDLEG CIHYKVVKYERIKFLVIALKNAVEIYAWAPKPYHKFMAFKSFADLQHKPLLVDLTVEEGQ RLKVIFGSHTGFHVIDVDSGNSYDIYIPSHIQGNITPHAIVILPKTDGMEMLVCYEDEGV YVNTYGRITKDVVLQWGEMPTSVAYIHSNQIMGWGEKAIEIRSVETGHLDGVFMHKRAQR LKFLCERNDKVFFASVRSGGSSQVFFMTLNRNSMMNW >ENSMUSP00000125411.1 pep:known chromosome:GRCm38:3:28263643:28667982:1 gene:ENSMUSG00000027692.16 transcript:ENSMUST00000161964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnik description:TRAF2 and NCK interacting kinase [Source:MGI Symbol;Acc:MGI:1916264] MASDSPARSLDEIDLSALRDPAGIFELVELVGNGTYGQVYKGRHVKTGQLAAIKVMDVTG DEEEEIKQEINMLKKYSHHRNIATYYGAFIKKNPPGMDDQLWLVMEFCGAGSVTDLIKNT KGNTLKEEWIAYICREILRGLSHLHQHKVIHRDIKGQNVLLTENAEVKLVDFGVSAQLDR TVGRRNTFIGTPYWMAPEVIACDENPDATYDFKSDLWSLGITAIEMAEGAPPLCDMHPMR ALFLIPRNPAPRLKSKKWSKKFQSFIESCLVKNHSQRPATEQLMKHPFIRDQPNERQVRI QLKDHIDRTKKKRGEKDETEYEYSGSEEEEEENDSGEPSSILNLPGESTLRRDFLRLQLA NKERSEALRRQQLEQQQRENEEHKRQLLAERQKRIEEQKEQRRRLEEQQRREKELRKQQE REQRRHYEEQMRREEERRRAEHEQEYKRKQLEEQRQAERLQRQLKQERDYLVSLQHQRQE QRPLEKKPLYHYKEGMSPSEKPAWAKEIPQLVAVKSQGPALTASQSVHEQPTKGLSGFQE ALNVTSHRVEMPRQNSDPTSENPPLPTRIEKFDRSSWLRQEEDIPPKVPQRTTSISPALA RKNSPGNGSALGPRLGSQPIRASNPDLRRTEPVLESSLQRTSSGSSSSSSTPSSQPSSQG GSQPGSQAGSSERSRVRANSKSEGSPVLPHEPSKVKPEESRDITRPSRPADLTALAKELR ELRIEETNRPLKKVTDYSSSSEESESSEEEEEDGESETHDGTVAVSDIPRLIPTGAPGNN EQYNMGMVGTHGLETSHADTFGGSISREGTLMIRETAEEKKRSGHSDSNGFAGHINLPDL VQQSHSPAGTPTEGLGRVSTHSQEMDSGAEYGIGSSTKASFTPFVDPRVYQTSPTDEDEE DDESSAAALFTSELLRQEQAKLNEARKISVVNVNPTNIRPHSDTPEIRKYKKRFNSEILC AALWGVNLLVGTENGLMLLDRSGQGKVYNLINRRRFQQMDVLEGLNVLVTISGKKNKLRV YYLSWLRNRILHNDPEVEKKQGWITVGDLEGCIHYKVVKYERIKFLVIALKNAVEIYAWA PKPYHKFMAFKSFADLQHKPLLVDLTVEEGQRLKVIFGSHTGFHVIDVDSGNSYDIYIPS HIQGNITPHAIVILPKTDGMEMLVCYEDEGVYVNTYGRITKDVVLQWGEMPTSVAYIHSN QIMGWGEKAIEIRSVETGHLDGVFMHKRAQRLKFLCERNDKVFFASVRSGGSSQVFFMTL NRNSMMNW >ENSMUSP00000139814.1 pep:known chromosome:GRCm38:16:39984361:40979709:1 gene:ENSMUSG00000061080.11 transcript:ENSMUST00000189229.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsamp description:limbic system-associated membrane protein [Source:MGI Symbol;Acc:MGI:1261760] MRTYWLHSVWVLGFFLSLFSLQVLAFWNQPPAEVNLSPITIPGKCIEI >ENSMUSP00000139667.1 pep:known chromosome:GRCm38:16:39984371:42176295:1 gene:ENSMUSG00000061080.11 transcript:ENSMUST00000187695.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsamp description:limbic system-associated membrane protein [Source:MGI Symbol;Acc:MGI:1261760] MRTYWLHSVWVLGFFLSLFSLQVLAFWNQPPAEVNLSPITIPGLPVRSVDFNRGTDNITV RQGDTAILRCVVEDKNSKVAWLNRSGIIFAGHDKWSLDPRVELEKRHALEYSLRIQKVDV YDEGSYTCSVQTQHEPKTSQVYLIVQVPPKISNISSDVTVNEGSNVTLVCMANGRPEPVI TWRHLTPLGREFEGEEEYLEILGITREQSGKYECKAANEVSSADVKQVKVTVNYPPTITE SKSNEATTGRQASLKCEASAVPAPDFEWYRDDTRINSANGLEIKSTEGQSSLTVTNVTEE HYGNYTCVAANKLGVTNASLVLFRPGSVRGINGSVSLAVPLWLLAASLFCLLSKC >ENSMUSP00000139449.1 pep:known chromosome:GRCm38:16:39984386:41209395:1 gene:ENSMUSG00000061080.11 transcript:ENSMUST00000189358.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsamp description:limbic system-associated membrane protein [Source:MGI Symbol;Acc:MGI:1261760] MRTYWLHSVWVLGFFLSLFSLQGKLLCLFN >ENSMUSP00000140114.1 pep:known chromosome:GRCm38:16:39984386:41409552:1 gene:ENSMUSG00000061080.11 transcript:ENSMUST00000191610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsamp description:limbic system-associated membrane protein [Source:MGI Symbol;Acc:MGI:1261760] MRTYWLHSVWVLGFFLSLFSLQVLAFWNQPPAEVNLSPITIPESETTKMLMAASEHLLTG ISTALDWILKTPLNLPSFRIKT >ENSMUSP00000077913.4 pep:known chromosome:GRCm38:16:41532858:42146213:1 gene:ENSMUSG00000061080.11 transcript:ENSMUST00000078873.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsamp description:limbic system-associated membrane protein [Source:MGI Symbol;Acc:MGI:1261760] MVGRVQPDRKQLPLVLLRLLCLLPTGLPVRSVDFNRGTDNITVRQGDTAILRCVVEDKNS KVAWLNRSGIIFAGHDKWSLDPRVELEKRHALEYSLRIQKVDVYDEGSYTCSVQTQHEPK TSQVYLIVQVPPKISNISSDVTVNEGSNVTLVCMANGRPEPVITWRHLTPLGREFEGEEE YLEILGITREQSGKYECKAANEVSSADVKQVKVTVNYPPTITESKSNEATTGRQASLKCE ASAVPAPDFEWYRDDTRINSANGLEIKSTEGQSSLTVTNVTEEHYGNYTCVAANKLGVTN ASLVLFSKYAKTEPDSMQVIEFLHIDLKSIRHPLKVNPIQK >ENSMUSP00000097349.3 pep:known chromosome:GRCm38:16:41533037:42181679:1 gene:ENSMUSG00000061080.11 transcript:ENSMUST00000099761.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsamp description:limbic system-associated membrane protein [Source:MGI Symbol;Acc:MGI:1261760] MVGRVQPDRKQLPLVLLRLLCLLPTGLPVRSVDFNRGTDNITVRQGDTAILRCVVEDKNS KVAWLNRSGIIFAGHDKWSLDPRVELEKRHALEYSLRIQKVDVYDEGSYTCSVQTQHEPK TSQVYLIVQVPPKISNISSDVTVNEGSNVTLVCMANGRPEPVITWRHLTPLGREFEGEEE YLEILGITREQSGKYECKAANEVSSADVKQVKVTVNYPPTITESKSNEATTGRQASLKCE ASAVPAPDFEWYRDDTRINSANGLEIKSTEGQSSLTVTNVTEEHYGNYTCVAANKLGVTN ASLVLFRPGSVRGINGSVSLAVPLWLLAASLFCLLSKC >ENSMUSP00000139576.1 pep:known chromosome:GRCm38:16:41533419:41539253:1 gene:ENSMUSG00000061080.11 transcript:ENSMUST00000187185.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsamp description:limbic system-associated membrane protein [Source:MGI Symbol;Acc:MGI:1261760] VLLRLLCLLPTGLPVRSVDFNRGTDNITVRQGDTAILR >ENSMUSP00000115823.1 pep:known chromosome:GRCm38:16:42143984:42174458:1 gene:ENSMUSG00000061080.11 transcript:ENSMUST00000145951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsamp description:limbic system-associated membrane protein [Source:MGI Symbol;Acc:MGI:1261760] XSKSNEATTGRQASLKCEASAVPAPDFEWYRDDTRINSANGLEIKSTEGQSSLTVTNVTE EHYGNYTCVAANKLGVTNASLVLFKRVLPTVPHPIQEIGTTVHFKPKGPGSVRGINGSVS LAVPLWLLAASLFCLLSKC >ENSMUSP00000139655.1 pep:known chromosome:GRCm38:16:42144025:42174283:1 gene:ENSMUSG00000061080.11 transcript:ENSMUST00000133853.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lsamp description:limbic system-associated membrane protein [Source:MGI Symbol;Acc:MGI:1261760] XKCEASAVPAPDFEWYRDDTRINSANGLEIKSTEGQSSLTVTNVTEEHYGNYTCVAANKL GVTNASLVLFKRVLPTVPHPIQEIGTTVHFKPKGETSGL >ENSMUSP00000025745.3 pep:known chromosome:GRCm38:19:3282901:3292837:1 gene:ENSMUSG00000024829.12 transcript:ENSMUST00000025745.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl21 description:mitochondrial ribosomal protein L21 [Source:MGI Symbol;Acc:MGI:2660674] MAAAIAASALPGAFGRLVSVCSRSILASQGSGSASLWSASRRFNSQSASYPQGYVPKTSL SSPPWQEVVLPDPVEETRHHAEVVKRVNELIATGQYGRLFAVVHFASHQWKVTAEDLILI ENELDIKCGERIRLEKVLLVGADNFTLLGKPLLRKELVRVEATVIEKTESWPKINMKFRK RKNFRKKKNTDPSGYLCLSACQREQFSEVGPTLHLV >ENSMUSP00000025743.6 pep:known chromosome:GRCm38:19:3283060:3291201:1 gene:ENSMUSG00000024829.12 transcript:ENSMUST00000025743.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl21 description:mitochondrial ribosomal protein L21 [Source:MGI Symbol;Acc:MGI:2660674] MAAAIAASALPGAFGRLVSVCSRSILASQGSGSASLWSASRRFNSQSASYPQGYVPKTSL SSPPWQEVVLPDPVEETRHHAEVVKRVNELIATGQYGRLFAVVHFASHQWKVTAEDLILI ENELDIKCGERIRLEKVLLVGADNFTLLGKPLLRKELVRVEATVIEKTESWPKINMKFRK RKNFRKKKIIVNPQTILRINTIEIAPRLL >ENSMUSP00000120330.1 pep:known chromosome:GRCm38:19:3283064:3287739:1 gene:ENSMUSG00000024829.12 transcript:ENSMUST00000141610.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mrpl21 description:mitochondrial ribosomal protein L21 [Source:MGI Symbol;Acc:MGI:2660674] MAAAIAASALPGAFGRLVSVCSRSILASQGSGSASLWSASRRFNSQSASYPQG >ENSMUSP00000029240.7 pep:known chromosome:GRCm38:3:28697903:28731359:1 gene:ENSMUSG00000027690.13 transcript:ENSMUST00000029240.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc2a2 description:solute carrier family 2 (facilitated glucose transporter), member 2 [Source:MGI Symbol;Acc:MGI:1095438] MSEDKITGTLAFTVFTAVLSSFQFGYDIGVINAPQEVIISHYRHVLGVPLDDRKAAINYD VNGTDTPLTVTPAYTTPAPWDEEETEGSAHIVTMLWSLSVSSFAVGGMVASFFGGWLGDK LGRIKAMLAANSLSLTGALLMGCSKFGPAHALIIAGRSVSGLYCGLISGLVPMYIGEIAP TTLRGALGTLHQLALVTGILISQIAGLSFILGNQDHWHILLGLSAVPALLQCLLLLFCPE SPRYLYIKLEEEVRAKKSLKRLRGTEDVTKDINEMKKEKEEASTEQKVSVIQLFTDANYR QPILVALMLHMAQQFSGINGIFYYSTSIFQTAGISQPVYATIGVGAINMIFTAVSVLLVE KAGRRTLFLTGMIGMFFCTIFMSVGLVLLDKFAWMSYVSMTAIFLFVSFFEIGPGPIPWF MVAEFFSQGPRPTALALAAFSNWVCNFVIALCFQYIADFLGPYVFFLFAGVVLVFTLFTF FKVPETKGKSFEEIAAEFRKKSGSAPPRKAAVQMEFLASSESV >ENSMUSP00000131046.1 pep:known chromosome:GRCm38:3:28698017:28727476:1 gene:ENSMUSG00000027690.13 transcript:ENSMUST00000163536.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc2a2 description:solute carrier family 2 (facilitated glucose transporter), member 2 [Source:MGI Symbol;Acc:MGI:1095438] MSEDKITGTLAFTVFTAVLSSFQFGYDIGVINAPQEVIISHYRHVLGVPLDDRKAAINYD VNGTDTPLTVTPAYTTPAPWDEEETEGSAHIVTMLWSLSVSSFAVGGMVASFFGGWLGDK LGRANFRTGSNVHWRDRSNHTQGCPGYSSPTGPCHRHSY >ENSMUSP00000110595.2 pep:known chromosome:GRCm38:X:48474944:48513559:-1 gene:ENSMUSG00000036932.14 transcript:ENSMUST00000114945.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aifm1 description:apoptosis-inducing factor, mitochondrion-associated 1 [Source:MGI Symbol;Acc:MGI:1349419] MFRCGGLAGAFKQKLVPLVRTVYVQRPKQRNRLPVVQCHLLGSPSRTLASAGASGKDGSS LVYFLIVGATVTGAGIYYAYKTIKEDQKRYNERVMGLGLSPEEKQRRAIASATEGGSVPQ IRAPSHVPFLLIGGGTAAFAAARSIRARDPGARVLIVSEDPELPYMRPPLSKELWFSDDP NVTKTLQFRQWNGKERSIYFQPPSFYVSAQDLPNIENGGVAVLTGKKVVHLDVRGNMVKL NDGSQITFEKCLIATGGTPRSLSAIDRAGAEVKSRTTLFRKIGDFRALEKISREVKSITV IGGGFLGSELACALGRKSQASGIEVIQLFPEKGNMGKILPQYLSNWTMEKVKREGVKVMP NAIVQSVGVSGGRLLIKLKDGRKVETDHIVTAVGLEPNVELAKTGGLEIDSDFGGFRVNA ELQARSNIWVAGDAACFYDIKLGRRRVEHHDHAVVSGRLAGENMTGAAKPYWHQSMFWSD LGPDVGYEAIGLVDSSLPTVGVFAKATAQDNPKSATEQSGTGIRSESETESEASEITIPP SAPAVPQVPVEGEDYGKGVIFYLRDKVVVGIVLWNVFNRMPIARKIIKDGEQHEDLNEVA KLFNIHED >ENSMUSP00000041104.7 pep:known chromosome:GRCm38:X:48474944:48513563:-1 gene:ENSMUSG00000036932.14 transcript:ENSMUST00000037349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aifm1 description:apoptosis-inducing factor, mitochondrion-associated 1 [Source:MGI Symbol;Acc:MGI:1349419] MFRCGGLAGAFKQKLVPLVRTVYVQRPKQRNRLPGNLFQQWRVPLELQMARQMASSGSSG GKMDNSVLVLIVGLSTIGAGAYAYKTIKEDQKRYNERVMGLGLSPEEKQRRAIASATEGG SVPQIRAPSHVPFLLIGGGTAAFAAARSIRARDPGARVLIVSEDPELPYMRPPLSKELWF SDDPNVTKTLQFRQWNGKERSIYFQPPSFYVSAQDLPNIENGGVAVLTGKKVVHLDVRGN MVKLNDGSQITFEKCLIATGGTPRSLSAIDRAGAEVKSRTTLFRKIGDFRALEKISREVK SITVIGGGFLGSELACALGRKSQASGIEVIQLFPEKGNMGKILPQYLSNWTMEKVKREGV KVMPNAIVQSVGVSGGRLLIKLKDGRKVETDHIVTAVGLEPNVELAKTGGLEIDSDFGGF RVNAELQARSNIWVAGDAACFYDIKLGRRRVEHHDHAVVSGRLAGENMTGAAKPYWHQSM FWSDLGPDVGYEAIGLVDSSLPTVGVFAKATAQDNPKSATEQSGTGIRSESETESEASEI TIPPSAPAVPQVPVEGEDYGKGVIFYLRDKVVVGIVLWNVFNRMPIARKIIKDGEQHEDL NEVAKLFNIHED >ENSMUSP00000059570.4 pep:known chromosome:GRCm38:10:77897575:77902536:-1 gene:ENSMUSG00000051652.4 transcript:ENSMUST00000057608.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc3 description:leucine rich repeat containing 3 [Source:MGI Symbol;Acc:MGI:2447899] MGPRGRQSPSATLAPSQGSCFFILFCLRLGASCPQACQCPDHAGAVAVHCSSRGLQEIPR DIPADTVLLKLDANRISRVPNGAFQHLPQLRELDLSHNAIEAIGPAAFSGLAGGLRLLDL SHNRIRRIPKDALGKLSAKIRLSHNPLHCECALQEALWELKLDPDSVDEIACHTSAQEQF VGKPLIQVLDSGASFCSTHRKTTDVAMLVTMFGWFTMVIAYVVYYVRHNQEDARRHLEYL KSLPSAPVSKEPLSPVP >ENSMUSP00000092384.3 pep:known chromosome:GRCm38:7:19156061:19166127:-1 gene:ENSMUSG00000030406.7 transcript:ENSMUST00000094790.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gipr description:gastric inhibitory polypeptide receptor [Source:MGI Symbol;Acc:MGI:1352753] MPLRLLLLLLWLWGLQWAETDSEGQTTTGELYQRWEHYGQECQKMLETTEPPSGLACNGS FDMYACWNYTAANTTARVSCPWYLPWFRQVSAGFVFRQCGSDGQWGSWRDHTQCENPEKN GAFQDQTLILERLQIMYTVGYSLSLTTLLLALLILSLFRRLHCTRNYIHMNLFTSFMLRA AAILTRDQLLPPLGPYTGDQAPTPWNQALAACRTAQIMTQYCVGANYTWLLVEGVYLHHL LVIVGRSEKGHFRCYLLLGWGAPALFVIPWVIVRYLRENTQCWERNEVKAIWWIIRTPIL ITILINFLIFIRILGILVSKLRTRQMRCPDYRLRLARSTLTLVPLLGVHEVVFAPVTEEQ VEGSLRFAKLAFEIFLSSFQGFLVSVLYCFINKEVQSEIRQGWRHRRLRLSLQEQRPRPH QELAPRAVPLSSACREAAVGNALPSGMLHVPGDEVLESYC >ENSMUSP00000145860.1 pep:known chromosome:GRCm38:7:19162290:19166127:-1 gene:ENSMUSG00000030406.7 transcript:ENSMUST00000206971.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gipr description:gastric inhibitory polypeptide receptor [Source:MGI Symbol;Acc:MGI:1352753] MPLRLLLLLLWLWGLQWAEVTLRGSSLLSSLRQTLRGRPPRGSCTSAGSTTARSAKRCWR PQNLPQAWPVTVPSICMPAGTTRPPTPLLGCLAPGICPGSVRCLQALSSASVAVMASGDL GETTLSVRIQRRMGLFRTRR >ENSMUSP00000047771.8 pep:known chromosome:GRCm38:7:44812256:44816658:-1 gene:ENSMUSG00000038539.15 transcript:ENSMUST00000047356.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf5 description:activating transcription factor 5 [Source:MGI Symbol;Acc:MGI:2141857] MSLLATLGLELDRALLPASGLGWLVDYGKLPLAPAPLGPYEVLGGALEGGLPGGGEPLAG DGFSDWMTERVDFTALLPLEAPLPPGTLPPPSPAPPDLEAMASLLKKELEQMEDFFLDAP LLPPPSPPPPPPPAAAPSLPLPLPLPTFDLPQPPTLDTLDLLAVYCRSEAGPGDSGLSTL PVPQQPPPLAPLPSPARPAPYPSPASTRGDRKQKKRDQNKSAALRYRQRKRAEGEALEGE CQGLEARNRELRERAESVEREIQYVKDLLIEVYKARSQRTRST >ENSMUSP00000103525.1 pep:known chromosome:GRCm38:7:44812257:44815658:-1 gene:ENSMUSG00000038539.15 transcript:ENSMUST00000107893.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf5 description:activating transcription factor 5 [Source:MGI Symbol;Acc:MGI:2141857] MSLLATLGLELDRALLPASGLGWLVDYGKLPLAPAPLGPYEVLGGALEGGLPGGGEPLAG DGFSDWMTERVDFTALLPLEAPLPPGTLPPPSPAPPDLEAMASLLKKELEQMEDFFLDAP LLPPPSPPPPPPPAAAPSLPLPLPLPTFDLPQPPTLDTLDLLAVYCRSEAGPGDSGLSTL PVPQQPPPLAPLPSPARPAPYPSPASTRGDRKQKKRDQNKSAALRYRQRKRAEGEALEGE CQGLEARNRELRERAESVEREIQYVKDLLIEVYKARSQRTRST >ENSMUSP00000146755.1 pep:known chromosome:GRCm38:7:44813115:44816574:-1 gene:ENSMUSG00000038539.15 transcript:ENSMUST00000209072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atf5 description:activating transcription factor 5 [Source:MGI Symbol;Acc:MGI:2141857] MSLLATLGLELDRALLPASGLGWLVDYGKLPLAPAPLGPYEVLGGALEGGLPGGGEPLAA SYPGYLGPAGCLLPQ >ENSMUSP00000113454.1 pep:known chromosome:GRCm38:X:101640060:101682940:1 gene:ENSMUSG00000034160.13 transcript:ENSMUST00000119299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogt description:O-linked N-acetylglucosamine (GlcNAc) transferase (UDP-N-acetylglucosamine:polypeptide-N-acetylglucosaminyl transferase) [Source:MGI Symbol;Acc:MGI:1339639] MASSVGNVADSTGLAELAHREYQAGDFEAAERHCMQLWRQEPDNTGVLLLLSSIHFQCRR LDRSAHFSTLAIKQNPLLAEAYSNLGNVYKERGQLQEAIEHYRHALRLKPDFIDGYINLA AALVAAGDMEGAVQAYVSALQYNPDLYCVRSDLGNLLKALGRLEEAKACYLKAIETQPNF AVAWSNLGCVFNAQGEIWLAIHHFEKAVTLDPNFLDAYINLGNVLKEARIFDRAVAAYLR ALSLSPNHAVVHGNLACVYYEQGLIDLAIDTYRRAIELQPHFPDAYCNLANALKEKGSVA EAEDCYNTALRLCPTHADSLNNLANIKREQGNIEEAVRLYRKALEVFPEFAAAHSNLASV LQQQGKLQEALMHYKEAIRISPTFADAYSNMGNTLKEMQDVQGALQCYTRAIQINPAFAD AHSNLASIHKDSGNIPEAIASYRTALKLKPDFPDAYCNLAHCLQIVCDWTDYDERMKKLV SIVAEQLEKNRLPSVHPHHSMLYPLSHGFRKAIAERHGNLCLDKINVLHKPPYEHPKDLK LSDGRLRVGYVSSDFGNHPTSHLMQSIPGMHNPDKFEVFCYALSPDDGTNFRVKVMAEAN HFIDLSQIPCNGKAADRIHQDGIHILVNMNGYTKGARNELFALRPAPIQAMWLGYPGTSG ALFMDYIITDQETSPAEVAEQYSEKLAYMPHTFFIGDHANMFPHLKKKAVIDFKSNGHIY DNRIVLNGIDLKAFLDSLPDVKIVKMKCPDGGDNPDSSNTALNMPVIPMNTIAEAVIEMI NRGQIQITINGFSISNGLATTQINNKAATGEEVPRTIIVTTRSQYGLPEDAIVYCNFNQL YKIDPSTLQMWANILKRVPNSVLWLLRFPAVGEPNIQQYAQNMGLPQNRIIFSPVAPKEE HVRRGQLADVCLDTPLCNGHTTGMDVLWAGTPMVTMPGETLASRVAASQLTCLGCLELIA KSRQEYEDIAVKLGTDLEYLKKIRGKVWKQRISSPLFNTKQYTMELERLYLQMWEHYAAG NKPDHMIKPVEVTESA >ENSMUSP00000045409.4 pep:known chromosome:GRCm38:X:101640064:101684351:1 gene:ENSMUSG00000034160.13 transcript:ENSMUST00000044475.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogt description:O-linked N-acetylglucosamine (GlcNAc) transferase (UDP-N-acetylglucosamine:polypeptide-N-acetylglucosaminyl transferase) [Source:MGI Symbol;Acc:MGI:1339639] MASSVGNVADSTEPTKRMLSFQGLAELAHREYQAGDFEAAERHCMQLWRQEPDNTGVLLL LSSIHFQCRRLDRSAHFSTLAIKQNPLLAEAYSNLGNVYKERGQLQEAIEHYRHALRLKP DFIDGYINLAAALVAAGDMEGAVQAYVSALQYNPDLYCVRSDLGNLLKALGRLEEAKACY LKAIETQPNFAVAWSNLGCVFNAQGEIWLAIHHFEKAVTLDPNFLDAYINLGNVLKEARI FDRAVAAYLRALSLSPNHAVVHGNLACVYYEQGLIDLAIDTYRRAIELQPHFPDAYCNLA NALKEKGSVAEAEDCYNTALRLCPTHADSLNNLANIKREQGNIEEAVRLYRKALEVFPEF AAAHSNLASVLQQQGKLQEALMHYKEAIRISPTFADAYSNMGNTLKEMQDVQGALQCYTR AIQINPAFADAHSNLASIHKDSGNIPEAIASYRTALKLKPDFPDAYCNLAHCLQIVCDWT DYDERMKKLVSIVAEQLEKNRLPSVHPHHSMLYPLSHGFRKAIAERHGNLCLDKINVLHK PPYEHPKDLKLSDGRLRVGYVSSDFGNHPTSHLMQSIPGMHNPDKFEVFCYALSPDDGTN FRVKVMAEANHFIDLSQIPCNGKAADRIHQDGIHILVNMNGYTKGARNELFALRPAPIQA MWLGYPGTSGALFMDYIITDQETSPAEVAEQYSEKLAYMPHTFFIGDHANMFPHLKKKAV IDFKSNGHIYDNRIVLNGIDLKAFLDSLPDVKIVKMKCPDGGDNPDSSNTALNMPVIPMN TIAEAVIEMINRGQIQITINGFSISNGLATTQINNKAATGEEVPRTIIVTTRSQYGLPED AIVYCNFNQLYKIDPSTLQMWANILKRVPNSVLWLLRFPAVGEPNIQQYAQNMGLPQNRI IFSPVAPKEEHVRRGQLADVCLDTPLCNGHTTGMDVLWAGTPMVTMPGETLASRVAASQL TCLGCLELIAKSRQEYEDIAVKLGTDLEYLKKIRGKVWKQRISSPLFNTKQYTMELERLY LQMWEHYAAGNKPDHMIKPVEVTESA >ENSMUSP00000141118.1 pep:known chromosome:GRCm38:X:3955054:3957002:-1 gene:ENSMUSG00000101381.1 transcript:ENSMUST00000185755.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3701 description:predicted gene 3701 [Source:MGI Symbol;Acc:MGI:3781877] MGLLVSRVLRCRDSSLLEPQPEAIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGKTWCLHKVFLCQSGYFANILKG TWRESHHGVINLIIKNEDIDTRSLLFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLNFP MCIFCNFLFVNLGNAENK >ENSMUSP00000033761.6 pep:known chromosome:GRCm38:X:73942792:73966357:-1 gene:ENSMUSG00000031386.14 transcript:ENSMUST00000033761.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcfc1 description:host cell factor C1 [Source:MGI Symbol;Acc:MGI:105942] MASAVSPANLPAVLLQPRWKRVVGWSGPVPRPRHGHRAVAIKELIVVFGGGNEGIVDELH VYNTATNQWFIPAVRGDIPPGCAAYGFVCDGTRLLVFGGMVEYGKYSNDLYELQASRWEW KRLKAKTPKNGPPPCPRLGHSFSLVGNKCYLFGGLANDSEDPKNNIPRYLNDLYILELRP GSGVVAWDIPITYGVLPPPRESHTAVVYTEKDNKKSKLVIYGGMSGCRLGDLWTLDIETL TWNKPSLSGVAPLPRSLHSATTIGNKMYVFGGWVPLVMDDVKVATHEKEWKCTNTLACLN LDTMAWETILMDTLEDNIPRARAGHCAVAINTRLYIWSGRDGYRKAWNNQVCCKDLWYLE TEKPPPPARVQLVRANTNSLEVSWGAVATADSYLLQLQKYDIPATAATATSPTPNPVPSV PANPPKSPAPAAAAPAVQPLTQVGITLVPQAATAPPSTTTIQVLPTVPGSSISVPTAART QGVPAVLKVTGPQATTGTPLVTMRPASQAGKAPVTVTSLPASVRMVVPTQSAQGTVIGSN PQMSGMAALAAAAAATQKIPPSSAPTVLSVPAGTTIVKTVAVTPGTTTLPATVKVASSPV MVSNPATRMLKTAAAQVGTSVSSAANTSTRPIITVHKSGTVTVAQQAQVVTTVVGGVTKT ITLVKSPISVPGGSALISNLGKVMSVVQTKPVQTSAVTGQASTGPVTQIIQTKGPLPAGT ILKLVTSADGKPTTIITTTQASGAGTKPTILGISSVSPSTTKPGTTTIIKTIPMSAIITQ AGATGVTSSPGIKSPITIITTKVMTSGTGAPAKIITAVPKIATGHGQQGVTQVVLKGAPG QPGTILRTVPMGGVRLVTPVTVSAVKPAVTTLVVKGTTGVTTLGTVTGTVSTSLAGAGAH STSASLATPITTLGTIATLSSQVINPTAITVSAAQTTLTAAGGLTTPTITMQPVSQPTQV TLITAPSGVEAQPVHDLPVSILASPTTEQPTATVTIADSGQGDVQPGTVTLVCSNPPCET HETGTTNTATTTVVANLGGHPQPTQVQFVCDRQETAASLVTSAVGQQNGNVVRVCSNPPC ETHETGTTNTATTATSNMAGQHGCSNPPCETHETGTTSTATTAMSSMGTGQQRDTRRTTN TPTVVRITVAPGALERVQGTVKPQCQTQQTNMTTTTMTVQATGAPCSAGPLLRPSVALES GSHSPAFVQLALPSVRVGLSGPSSKDMPTGRQPETYHTYTTNTPTTTRSIMVAGELGAAR VVPTSTYESLQASSPSSTMTMTALEALLCPSATVTQVCSNPPCETHETGTTNTATTSNAG SAQRVCSNPPCETHETGTTHTATTATSNGGAGQPEGGQQPASGHPCETHQTTSTGTTMSV SVGTLIPDATSSHGTLESGLEVVAVPTVTSQAGSTLLASFPTQRVCSNPPCETHETGTTH TATTVTSNMSSNQDPPPAASDQGEVASTQGDSTNITSASAITTSVSSTLPRAVTTVTQST PVPGPSVPPPEELQVSPGPRQQLPPRQLLQSASTPLMGESTEVLSASQTPELQAAVDLSS TGDPSSGQEPTTSAVVATVVVQPPPPTQSEVDQLSLPQELMAEAQAGTTTLMVTGLTPEE LAVTAAAEAAAQAAATEEAQALAIQAVLQAAQQAVMGTGEPMDTSEAAAAVTQAELGHLS AEGQEGQATTIPIVLTQQELAALVQQQQQLQEAQAQAQQQHHLPTEALAPADSLNDPSIE SNCLNELASAVPSTVALLPSTATESLAPSNTFVAPQPVVASPAKMQAAATLTEVANGIES LGVKPDLPPPPSKAPVKKENQWFDVGVIKGTSVMVTHYFLPPDDAVQSDDDSGTVPDYNQ LKKQELQPGTAYKFRVAGINACGRGPFSEISAFKTCLPGFPGAPCAIKISKSPDGAHLTW EPPSVTSGKIIEYSVYLAIQSSQASGEPKSSTPAQLAFMRVYCGPSPSCLVQSSSLSNAH IDYTTKPAIIFRIAARNEKGYGPATQVRWLQETSKDSSGTKPASKRPMSSPEMKSAPKKS KADGQ >ENSMUSP00000115792.1 pep:known chromosome:GRCm38:X:73942795:73949253:-1 gene:ENSMUSG00000031386.14 transcript:ENSMUST00000128136.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcfc1 description:host cell factor C1 [Source:MGI Symbol;Acc:MGI:105942] XTGTTHTATTVTSNMSSNQDPPPAASDQGEVASTQGDSTNITSASAITTSVSSTLPRAVT TVTQSTPVPGPSVPPPEELQVSPGPRQQLPPRQLLQSASTPLMGESTEVLSASQTPELQA AVDLSSTGDPSSGQEPTTSAVVATVVVQPPPPTQSEVDQLSLPQELMAEAQAGTTTLMVT GLTPEELAVTAAAEAAAQAAATEEAQALAIQAVLQAAQQAVMAGTGEPMDTSEAAAAVTQ AELGHLSAEGQEGQATTIPIVLTQQELAALVQQQQQLQEAQAQAQQQHHLPTEALAPADS LNDPSIESNCLNELASAVPSTVALLPSTATESLAPSNTFVAPQPVVASPAKMQAAATLTE VANGIESLGVKPDLPPPPSKAPVKKENQWFDVGVIKGTSVMVTHYFLPPDDAVQSDDDSG TVPDYNQLKKQELQPGTAYKFRVAGINACGRGPFSEISAFKTCLPGFPGAPCAIKISKSP DGAHLTWEPPSVTSGKIIEYSVYLAIQSSQASGEPKSSTPAQLAFMRVYCGPSPSCLVQS SSLSNAHIDYTTKPAIIFRIAARNEKGYGPATQVRWLQETSKDSSGTKPASKRPMSSPEM KSAPKKSKADGQ >ENSMUSP00000110012.2 pep:known chromosome:GRCm38:X:73942797:73966351:-1 gene:ENSMUSG00000031386.14 transcript:ENSMUST00000114372.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hcfc1 description:host cell factor C1 [Source:MGI Symbol;Acc:MGI:105942] MASAVSPANLPAVLLQPRWKRVVGWSGPVPRPRHGHRAVAIKELIVVFGGGNEGIVDELH VYNTATNQWFIPAVRGDIPPGCAAYGFVCDGTRLLVFGGMVEYGKYSNDLYELQASRWEW KRLKAKTPKNGPPPCPRLGHSFSLVGNKCYLFGGLANDSEDPKNNIPRYLNDLYILELRP GSGVVAWDIPITYGVLPPPRESHTAVVYTEKDNKKSKLVIYGGMSGCRLGDLWTLDIETL TWNKPSLSGVAPLPRSLHSATTIGNKMYVFGGWVPLVMDDVKVATHEKEWKCTNTLACLN LDTMAWETILMDTLEDNIPRARAGHCAVAINTRLYIWSGRDGYRKAWNNQVCCKDLWYLE TEKPPPPARVQLVRANTNSLEVSWGAVATADSYLLQLQKYDIPATAATATSPTPNPVPSV PANPPKSPAPAAAAPAVQPLTQVGITLVPQAATAPPSTTTIQVLPTVPGSSISVPTAART QGVPAVLKVTGPQATTGTPLVTMRPASQAGKAPVTVTSLPASVRMVVPTQSAQGTVIGSN PQMSGMAALAAAAAATQKIPPSSAPTVLSVPAGTTIVKTVAVTPGTTTLPATVKVASSPV MVSNPATRMLKTAAAQVGTSVSSAANTSTRPIITVHKSGTVTVAQQAQVVTTVVGGVTKT ITLVKSPISVPGGSALISNLGKVMSVVQTKPVQTSAVTGQASTGPVTQIIQTKGPLPAGT ILKLVTSADGKPTTIITTTQASGAGTKPTILGISSVSPSTTKPGTTTIIKTIPMSAIITQ AGATGVTSSPGIKSPITIITTKVMTSGTGAPAKIITAVPKIATGHGQQGVTQVVLKGAPG QPGTILRTVPMGGVRLVTPVTVSAVKPAVTTLVVKGTTGVTTLGTVTGTVSTSLAGAGAH STSASLATPITTLGTIATLSSQVINPTAITVSAAQTTLTAAGGLTTPTITMQPVSQPTQV TLITAPSGVEAQPVHDLPVSILASPTTEQPTATVTIADSGQGDVQPGTVTLVCSNPPCET HETGTTNTATTTVVANLGGHPQPTQVQFVCDRQETAASLVTSAVGQQNGNVVRVCSNPPC ETHETGTTNTATTATSNMAGQHGCSNPPCETHETGTTSTATTAMSSMGTGQQRDTRRTTN TPTVVRITVAPGALERVQGTVKPQCQTQQTNMTTTTMTVQATGAPCSAGPLLRPSVALES GSHSPAFVQLALPSVRVGLSGPSSKDMPTGRQPETYHTYTTNTPTTTRSIMVAGELGAAR VVPTSTYESLQASSPSSTMTMTALEALLCPSATVTQVCSNPPCETHETGTTNTATTSNAG SAQRVCSNPPCETHETGTTHTATTATSNGGAGQPEGGQQPASGHPCETHQTTSTGTTMSV SVGTLIPDATSSHGTLESGLEVVAVPTVTSQAGSTLLASFPTQRVCSNPPCETHETGTTH TATTVTSNMSSNQDPPPAASDQGEVASTQGDSTNITSASAITTSVSSTLPRAVTTVTQST PVPGPSVPNISSLTETTPGALNSEVPIPATITVTIANTETSDMPFSAVDILQPPEELQVS PGPRQQLPPRQLLQSASTPLMGESTEVLSASQTPELQAAVDLSSTGDPSSGQEPTTSAVV ATVVVQPPPPTQSEVDQLSLPQELMAEAQAGTTTLMVTGLTPEELAVTAAAEAAAQAAAT EEAQALAIQAVLQAAQQAVMAGTGEPMDTSEAAAAVTQAELGHLSAEGQEGQATTIPIVL TQQELAALVQQQQQLQEAQAQAQQQHHLPTEALAPADSLNDPSIESNCLNELASAVPSTV ALLPSTATESLAPSNTFVAPQPVVASPAKMQAAATLTEVANGIESLGVKPDLPPPPSKAP VKKENQWFDVGVIKGTSVMVTHYFLPPDDAVQSDDDSGTVPDYNQLKKQELQPGTAYKFR VAGINACGRGPFSEISAFKTCLPGFPGAPCAIKISKSPDGAHLTWEPPSVTSGKIIEYSV YLAIQSSQASGEPKSSTPAQLAFMRVYCGPSPSCLVQSSSLSNAHIDYTTKPAIIFRIAA RNEKGYGPATQVRWLQETSKDSSGTKPASKRPMSSPEMKSAPKKSKADGQ >ENSMUSP00000046203.7 pep:known chromosome:GRCm38:X:140808307:140813433:-1 gene:ENSMUSG00000042386.7 transcript:ENSMUST00000044179.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tex13 description:testis expressed gene 13 [Source:MGI Symbol;Acc:MGI:1890544] MNCEDVTTGFRHARVLMFINEQMAKHSRGPEFYLENLTLSWEEVEEKLNVLLDGTEVPRD VQEACAWSSLALGVRFAFRQGQLQGRRVQWLHDFASLHRSAAHALALDLKKLTDQHEIER KEAAYQLLLAHTKLAEVQRERDLMRLKLLHARFATHMRVVRDYRGCQHQVQARMSTIIQP LNRNRP >ENSMUSP00000119476.1 pep:known chromosome:GRCm38:2:29869164:29871355:1 gene:ENSMUSG00000039787.13 transcript:ENSMUST00000154464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cercam description:cerebral endothelial cell adhesion molecule [Source:MGI Symbol;Acc:MGI:2139134] MAHGSFLPCQRLPRSTRRRRTPGRVWSRCATDHNMDNTTGMLREWLAAVGRDYATVVWKP EEEARSYPDEQGPKHWTKERHQFLMELRQEAL >ENSMUSP00000041622.6 pep:known chromosome:GRCm38:2:29869494:29882840:1 gene:ENSMUSG00000039787.13 transcript:ENSMUST00000047521.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cercam description:cerebral endothelial cell adhesion molecule [Source:MGI Symbol;Acc:MGI:2139134] MHVARLLPLLLLLGQQLRAASVTEPTLPTVVLAILARNAEHSLPHYLGALERLDYPRARL ALWCATDHNMDNTTGMLREWLAAVGRDYATVVWKPEEEARSYPDEQGPKHWTKERHQFLM ELRQEALAFARDWGADYILFADTDNILTNNQTLKLLIDRQLPVVAPMLDSQTYYSNFWCG ITPQGYYRRTAEYFPTKNRQRQGCFRVPMVHSTFLLSLQTEETARLAFYPPHPNYSWPFD DIIVFAYACQAAGVSMHVCNDHRYGYMNVVVKPHQSLEEEKTNFIHLILEALVDGPPMLA SAHVSRPPKKLSKMGFDEVFVISLARRPQRRARMLSSLWEMEISAQVVDAVDGRTLNSSI LKHLGVDLLPGYQDPYSGHTLTKGEVGCFLSHYSIWEEVVARGLARVVVFEDDVRFKDNF RRRLERLMEDVLIQKLSWDLIYLGRKQVNPEEEVAVEGLPGLVVAGYSYWTLAYTLSLAG ARKLLASQPLHRMLPVDEFLPVMFDRHPNDQYKAYFWPRDLQAFSARPLLASPTHYSGDA EWLSDTETSSPWDDDSGRLISQTGSQKALRGPHLHLTGSSGHSLHPHHRDEL >ENSMUSP00000115902.1 pep:known chromosome:GRCm38:2:29869544:29871309:1 gene:ENSMUSG00000039787.13 transcript:ENSMUST00000134152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cercam description:cerebral endothelial cell adhesion molecule [Source:MGI Symbol;Acc:MGI:2139134] VARLLPLLLLLGQQLRAASVTEPTLPTVVLAILARNAEHSLPHYLGALERLDYPRARLAL CTMHKIRRTDVKHREAWTLPEATQDILQHLHWSAQLCPIALRTVILCCAHRCATDHNMDN TTGMLREWLAAVGRDYATVVWKPEEEARSYPDEQGPKHW >ENSMUSP00000113795.1 pep:known chromosome:GRCm38:16:73894355:74411292:-1 gene:ENSMUSG00000052516.17 transcript:ENSMUST00000117200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Robo2 description:roundabout homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890110] MNPLMFTLLLLFGFLCIQIDGSRLRQEDFPPRIVEHPSDVIVSKGEPTTLNCKAEGRPTP TIEWYKDGERVETDKDDPRSHRMLLPSGSLFFLRIVHGRRSKPDEGSYVCVARNYLGEAV SRNASLEVALLRDDFRQNPTDVVVAAGEPAILECQPPRGHPEPTIYWKKDKVRIDDKEER ISIRGGKLMISNTRKSDAGMYTCVGTNMVGERDSDPAELTVFERPTFLRRPINQVVLEEE AVEFRCQVQGDPQPTVRWKKDDADLPRGRYDIKDDYTLRIKKAMSTDEGTYVCIAENRVG KVEASATLTVRAPPQFVVRPRDQIVAQGRTVTFPCETKGNPQPAVFWQKEGSQNLLFPNQ PQQPNSRCSVSPTGDLTITNIQRSDAGYYICQALTVAGSILAKAQLEVTDVLTDRPPPII LQGPINQTLAVDGTALLKCKATGEPLPVISWLKEGFTFLGRDPRATIQDQGTLQIKNLRI SDTGTYTCVATSSSGETSWSAVLDVTESGATISKNYDMNDLPGPPSKPQVTDVSKNSVTL SWQPGTPGVLPASAYIIEAFSQSVSNSWQTVANHVKTTLYTVRGLRPNTIYLFMVRAINP QGLSDPSPMSDPVRTQDISPPAQGVDHRQVQKELGDVVVRLHNPVVLTPTTVQVTWTVDR QPQFIQGYRVMYRQTSGLQASTVWQNLDAKVPTERSAVLVNLKKGVTYEIKVRPYFNEFQ GMDSESKTVRTTEEAPSAPPQSVTVLTVGSHNSTSISVSWDPPPADHQNGIIQEYKIWCL GNETRFHINKTVDAAIRSVVIGGLFPGIQYRVEVAASTSAGVGVKSEPQPIIIGGRNEVV ITENNNSITEQITDVVKQPAFIAGIGGACWVILMGFSIWLYWRRKKRKGLSNYAVTFQRG DGGLMSNGSRPGLLNAGDPNYPWLADSWPATSLPVNNSNSGPNEIGNFGRGDVLPPVPGQ GDKTATMLSDGAIYSSIDFTTKTTYNSSSQITQATPYATTQILHSNSIHELAVDLPDPQW KSSVQQKTDLMGFGYSLPDQNKGNNGGKGGKKKKTKNSSKAQKNNGSTWANVPLPPPPVQ PLPGTELGHYAAEQENGYDSDSWCPPLPVQTYLHQGMEDELEEDEDRVPTPPVRGVASSP AISFGQQSTATLTPSPREEMQPMLQAHLDELTRAYQFDIAKQTWHIQSNTPPPQPPAPPL GYVSGALISDLETDVPDEDADDEEEPLEIPRPLRALDQTPGSSMDNLDSSVTGKAFSSSQ RQRPTSPFSTDSNTSAAQNQSQRPRPTKKHKGGRMDPQPVLPHRREGMPDEESLVPYSKP SFPSPGGHSSSGTSSSKGSTGPRKADVLRGSHQRNANDLLDIGYVGSNSQGQFTGEL >ENSMUSP00000112285.2 pep:known chromosome:GRCm38:16:73920206:74411785:-1 gene:ENSMUSG00000052516.17 transcript:ENSMUST00000116586.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Robo2 description:roundabout homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890110] MNPLMFTLLLLFGFLCIQIDGSRLRQEDFPPRIVEHPSDVIVSKGEPTTLNCKAEGRPTP TIEWYKDGERVETDKDDPRSHRMLLPSGSLFFLRIVHGRRSKPDEGSYVCVARNYLGEAV SRNASLEVALLRDDFRQNPTDVVVAAGEPAILECQPPRGHPEPTIYWKKDKVRIDDKEER ISIRGGKLMISNTRKSDAGMYTCVGTNMVGERDSDPAELTVFERPTFLRRPINQVVLEEE AVEFRCQVQGDPQPTVRWKKDDADLPRGRYDIKDDYTLRIKKAMSTDEGTYVCIAENRVG KVEASATLTVRVFQVSPPQFVVRPRDQIVAQGRTVTFPCETKGNPQPAVFWQKEGSQNLL FPNQPQQPNSRCSVSPTGDLTITNIQRSDAGYYICQALTVAGSILAKAQLEVTDVLTDRP PPIILQGPINQTLAVDGTALLKCKATGEPLPVISWLKEGFTFLGRDPRATIQDQGTLQIK NLRISDTGTYTCVATSSSGETSWSAVLDVTESGATISKNYDMNDLPGPPSKPQVTDVSKN SVTLSWQPGTPGVLPASAYIIEAFSQSVSNSWQTVANHVKTTLYTVRGLRPNTIYLFMVR AINPQGLSDPSPMSDPVRTQDISPPAQGVDHRQVQKELGDVVVRLHNPVVLTPTTVQVTW TVDRQPQFIQGYRVMYRQTSGLQASTVWQNLDAKVPTERSAVLVNLKKGVTYEIKVRPYF NEFQGMDSESKTVRTTEEAPSAPPQSVTVLTVGSHNSTSISVSWDPPPADHQNGIIQEYK IWCLGNETRFHINKTVDAAIRSVVIGGLFPGIQYRVEVAASTSAGVGVKSEPQPIIIGGR NEVVITENNNSITEQITDVVKQPAFIAGIGGACWVILMGFSIWLYWRRKKRKGLSNYAVT FQRGDGGLMSNGSRPGLLNAGDPNYPWLADSWPATSLPVNNSNSGPNEIGNFGRGDVLPP VPGQGDKTATMLSDGAIYSSIDFTTKTTYNSSSQITQATPYATTQILHSNSIHELAVDLP DPQWKSSVQQKTDLMGFGYSLPDQNKGNNGGKGGKKKKTKNSSKAQKNNGSTWANVPLPP PPVQPLPGTELGHYAAEQENGYDSDSWCPPLPVQTYLHQGMEDELEEDEDRVPTPPVRGV ASSPAISFGQQSTATLTPSPREEMQPMLQAHLDELTRAYQFDIAKQTW >ENSMUSP00000112776.1 pep:known chromosome:GRCm38:16:73892306:74410989:-1 gene:ENSMUSG00000052516.17 transcript:ENSMUST00000117785.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Robo2 description:roundabout homolog 2 (Drosophila) [Source:MGI Symbol;Acc:MGI:1890110] MNPLMFTLLLLFGFLCIQIDGSRLRQEDFPPRIVEHPSDVIVSKGEPTTLNCKAEGRPTP TIEWYKDGERVETDKDDPRSHRMLLPSGSLFFLRIVHGRRSKPDEGSYVCVARNYLGEAV SRNASLEVALLRDDFRQNPTDVVVAAGEPAILECQPPRGHPEPTIYWKKDKVRIDDKEER ISIRGGKLMISNTRKSDAGMYTCVGTNMVGERDSDPAELTVFERPTFLRRPINQVVLEEE AVEFRCQVQGDPQPTVRWKKDDADLPRGRYDIKDDYTLRIKKAMSTDEGTYVCIAENRVG KVEASATLTVRAPPQFVVRPRDQIVAQGRTVTFPCETKGNPQPAVFWQKEGSQNLLFPNQ PQQPNSRCSVSPTGDLTITNIQRSDAGYYICQALTVAGSILAKAQLEVTDVLTDRPPPII LQGPINQTLAVDGTALLKCKATGEPLPVISWLKEGFTFLGRDPRATIQDQGTLQIKNLRI SDTGTYTCVATSSSGETSWSAVLDVTESGATISKNYDMNDLPGPPSKPQVTDVSKNSVTL SWQPGTPGVLPASAYIIEAFSQSVSNSWQTVANHVKTTLYTVRGLRPNTIYLFMVRAINP QGLSDPSPMSDPVRTQDISPPAQGVDHRQVQKELGDVVVRLHNPVVLTPTTVQVTWTVDR QPQFIQGYRVMYRQTSGLQASTVWQNLDAKVPTERSAVLVNLKKGVTYEIKVRPYFNEFQ GMDSESKTVRTTEEAPSAPPQSVTVLTVGSHNSTSISVSWDPPPADHQNGIIQEYKIWCL GNETRFHINKTVDAAIRSVVIGGLFPGIQYRVEVAASTSAGVGVKSEPQPIIIGGRNEVV ITENNNSITEQITDVVKQPAFIAGIGGACWVILMGFSIWLYWRRKKRKGLSNYAVTFQRG DGGLMSNGSRPGLLNAGDPNYPWLADSWPATSLPVNNSNSGPNEIGNFGRGDVLPPVPGQ GDKTATMLSDGAIYSSIDFTTKTTYNSSSQITQATPYATTQILHSNSIHELAVDLPDPQW KSSVQQKTDLMGFGYSLPDQNKGNNALLYIPDYRLAEGLSNRMPHNQSQDFSTTSSHNSS ERSGSLSGGKGGKKKKTKNSSKAQKNNGSTWANVPLPPPPVQPLPGTELGHYAAEQENGY DSDSWCPPLPVQTYLHQGMEDELEEDEDRVPTPPVRGVASSPAISFGQQSTATLTPSPRE EMQPMLQAHLDELTRAYQFDIAKQTWHIQSNTPPPQPPAPPLGYVSGALISDLETDVPDE DADDEEEPLEIPRPLRALDQTPGSSMDNLDSSVTGKAFSSSQRQRPTSPFSTDSNTSAAQ NQSQRPRPTKKHKGGRMDPQPVLPHRREGMPDDLPPPPDPPPGQGLRQQIGLSQHSGNVE NSTERKGSSLERQQAANLEDTKSSLDCPAKTVLEWQRQTQDWINSTERQEETRKAPHKQG VGSEESLVPYSKPSFPSPGGHSSSGTSSSKGSTGPRKADVLRGSHQRNANDLLDIGYVGS NSQGQFTE >ENSMUSP00000022197.8 pep:known chromosome:GRCm38:13:94201310:94285829:-1 gene:ENSMUSG00000021687.14 transcript:ENSMUST00000022197.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp1 description:secretory carrier membrane protein 1 [Source:MGI Symbol;Acc:MGI:1349480] MSDFDSNPFADPDLNNPFKDPSVTQVTRNVPPGLDEYNPFSDSRTPPPGSVKMPNVPNTQ PAIMKPTEEHPAYTQITKEHALAQAELLKRQEELERKAAELDRREREMQNLSQHGRKNNW PPLPSNFPVGPCFYQDFSVDIPVEFQKTVKLMYYLWMFHAVTLFLNIFGCLAWFCVDSSR AVDFGLSILWFLLFTPCSFVCWYRPLYGAFRSDSSFRFFVFFFVYICQFAVHVLQAAGFH NWGNCGWISSLTGLNKNIPVGIMMIIIAALFTASAVISLVMFKKVHGLYRTTGASFEKAQ QEFATGVMSNKTVQTAAANAASTAATSAAQNAFKGNQM >ENSMUSP00000123135.1 pep:known chromosome:GRCm38:13:94203374:94285857:-1 gene:ENSMUSG00000021687.14 transcript:ENSMUST00000152555.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp1 description:secretory carrier membrane protein 1 [Source:MGI Symbol;Acc:MGI:1349480] MPNVPNTQPAIMKPTEEHPAYTQITKEHALAQAELLKRQEELERKAAELDRREREMQNLS QHGRKNNWPPLPSNFPVGPCFYQDFSVDIPVEFQKTVKLMYYLWMFHAVTLFLNIFGCLA WFCVDSSRAVDFGLSILWFLLFTPCSFVCWYRPLYGAFRSDSSFRFFVFFFVYICQFAVH VLQAAGFHNWGNCGWISSLTGLNKNIPVGIMMIIIAALFTASAVISLVMFKKVHGLYRTT GASFEKAQQEFATGVMSNKTVQTAAANAASTAATSAAQNAFKGNQM >ENSMUSP00000120053.1 pep:known chromosome:GRCm38:13:94203747:94246558:-1 gene:ENSMUSG00000021687.14 transcript:ENSMUST00000153558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp1 description:secretory carrier membrane protein 1 [Source:MGI Symbol;Acc:MGI:1349480] MPNVPNTQPAIMKPTEEHPAYTQITKEHALAQAELLKRQEELERKAAELDRREREMQNLS QHGRKNNWPPLPSNFPVGPCFYQDFSVDIPVEFQKTVKLMYYLWMFHAVTLFLNIFGCLA WFCVDSSRAVDFGLSILWFLLFTPCSFVCWYRPLYGAFRSDSSFRFFVFFFVYICQFAVH VLQAAGFHNWGNCGWISSLTGLNKNIPVGIMMIIIAALFTASAVISLVMFKKVHGLYRTT GASFEKAQQEFATGVMSNKTVQTAAANAASTAATSAAQNAFKGNQM >ENSMUSP00000121039.1 pep:known chromosome:GRCm38:13:94225023:94265146:-1 gene:ENSMUSG00000021687.14 transcript:ENSMUST00000138255.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scamp1 description:secretory carrier membrane protein 1 [Source:MGI Symbol;Acc:MGI:1349480] MPNVPNTQPAIMKPTEEHPAYTQITKEHALAQAELLKRQEELERKAAELDRREREMQNLS QHGRKNNWPPLPSNFPVGPCFYQDFSVDIPVEFQKTVKLMYYLWMFHAVTLFLNIF >ENSMUSP00000099874.3 pep:known chromosome:GRCm38:2:32986224:33087253:-1 gene:ENSMUSG00000038860.15 transcript:ENSMUST00000102810.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Garnl3 description:GTPase activating RANGAP domain-like 3 [Source:MGI Symbol;Acc:MGI:2139309] MKSSVSEDLGCRRGDFSRKHYGSVELLISSDADGAIQRAGRFRVENGSTDESAAALPGTW RRTDVHLENPEYHTRWYFKYFLGQVHQNYIGNDAEKSPFFLSVTLSDQNNQRVPQYRAIL WRKTGTQKICLPYSPTKTLSVKSILSAMNLDKFEKGPREIFHPEIQKDLLVLEEQEGSVN FKFGVLFAKDGQLTDDEMFSNEIGSEAFQKFLNLLGDTITLKGWTGYRGGLDTKNNTTGI NSVYTVYQGHEVMFHVSTMLPYSKENRQQVERKRHIGNDIVTIVFQEGEESSPAFKPSMI RSHFTHIFALVRYDQQNDNYRLKIFSEESVPLFGPPLPSPPVFTDHQEFRDFLLVKLING EKATLETPTFAQKRRRTLDMLIRSLYQDLMPDLHKNMLNRRSFSDVLPESPKSARKKEEA RQAEFVRIGQALKLKSIVRGDAPSSLAASGMCKKEPWEPQCFCCNFPHEAVCADPWGQAL LVSTDAGVLLVDDDLPSVPVFDRTLPVKQIHVLETLDLLVLRADKGKDARLFVFRLSAVQ KGLDGRQTGRSRSDCRENKLEKTKGCHLYAINTHHSRELRIVVAIRNKLLLITRKPHNKP SGVPGVSLLSPLSESPVEEFQYIREICLCDSPAVMALVDGPTEESDNLICVAYRHQFDVV NESTGEAFRLHHVEANKVNFVAAIDVYEDGEAGLLLCYNYSCIYKKVCPFNGGSFLLQPS ASDFQFCWNQAPYAIVCAFPYLLAFTTDSMEIRLVVNGNLVHTAVVPQLQLVASRSDIYF TAATTVHEGSSGGSSKGASAHTSPQTPPARDTPLFPSSLGEGEIQSKNLYKIPLRNLVGR SIERPLKSPLVSKVITPPTSIGLGVAAIPVTHSLSLSRMEIKEIASRTRRELLGLSDDGG TKTEGAPRAKSKTRKRLEESQGGPKPETVRSASSDRIPSGILESPASEANPEGHNHWASS EQDAGVDKEGSPGSGSSPFQLMASSEEDIIDLK >ENSMUSP00000057582.2 pep:known chromosome:GRCm38:2:32986374:33086366:-1 gene:ENSMUSG00000038860.15 transcript:ENSMUST00000049618.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Garnl3 description:GTPase activating RANGAP domain-like 3 [Source:MGI Symbol;Acc:MGI:2139309] MKHFCSSSVSEDLGCRRGDFSRKHYGSVELLISSDADGAIQRAGRFRVENGSTDESAAAL PGTWRRTDVHLENPEYHTRWYFKYFLGQVHQNYIGNDAEKSPFFLSVTLSDQNNQRVPQY RAILWRKTGTQKICLPYSPTKTLSVKSILSAMNLDKFEKGPREIFHPEIQKDLLVLEEQE GSVNFKFGVLFAKDGQLTDDEMFSNEIGSEAFQKFLNLLGDTITLKGWTGYRGGLDTKNN TTGINSVYTVYQGHEVMFHVSTMLPYSKENRQQVERKRHIGNDIVTIVFQEGEESSPAFK PSMIRSHFTHIFALVRYDQQNDNYRLKIFSEESVPLFGPPLPSPPVFTDHQEFRDFLLVK LINGEKATLETPTFAQKRRRTLDMLIRSLYQDLMPDLHKNMLNRRSFSDVLPESPKSARK KEEARQAEFVRIGQALKLKSIVRGDAPSSLAASGMCKKEPWEPQCFCCNFPHEAVCADPW GQALLVSTDAGVLLVDDDLPSVPVFDRTLPVKQIHVLETLDLLVLRADKGKDARLFVFRL SAVQKGLDGRQTGRSRSDCRENKLEKTKGCHLYAINTHHSRELRIVVAIRNKLLLITRKP HNKPSGVPGVSLLSPLSESPVEEFQYIREICLCDSPAVMALVDGPTEESDNLICVAYRHQ FDVVNESTGEAFRLHHVEANKVNFVAAIDVYEDGEAGLLLCYNYSCIYKKVCPFNGGSFL LQPSASDFQFCWNQAPYAIVCAFPYLLAFTTDSMEIRLVVNGNLVHTAVVPQLQLVASRS DIYFTAATTVHEGSSGGSSKGASAHTSPQTPPARDTPLFPSSLGEGEIQSKNLYKIPLRN LVGRSIERPLKSPLVSKVITPPTSIGLGVAAIPVTHSLSLSRMEIKEIASRTRRELLGLS DDGGTKTEGAPRAKSKTRKRLEESQGGPKPETVRSASSDRIPSGILESPASEANPEGHNH WASSEQDAGVDKEGSPGSGSSPFQLMASSEEDIIDLK >ENSMUSP00000122576.2 pep:known chromosome:GRCm38:2:32986374:33131436:-1 gene:ENSMUSG00000038860.15 transcript:ENSMUST00000137381.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Garnl3 description:GTPase activating RANGAP domain-like 3 [Source:MGI Symbol;Acc:MGI:2139309] MDPLTKGSCGSQLAQTLLWKAKSSLSFGIQPLQTWPTKDPELESQVNLSVSEDLGCRRGD FSRKHYGSVELLISSDADGAIQRAGRFRVENGSTDESAAALPGTWRRTDVHLENPEYHTR WYFKYFLGQVHQNYIGNDAEKSPFFLSVTLSDQNNQRVPQYRAILWRKTGTQKICLPYSP TKTLSVKSILSAMNLDKFEKGPREIFHPEIQKDLLVLEEQEGSVNFKFGVLFAKDGQLTD DEMFSNEIGSEAFQKFLNLLGDTITLKGWTGYRGGLDTKNNTTGINSVYTVYQGHEVMFH VSTMLPYSKENRQQVERKRHIGNDIVTIVFQEGEESSPAFKPSMIRSHFTHIFALVRYDQ QNDNYRLKIFSEESVPLFGPPLPSPPVFTDHQEFRDFLLVKLINGEKATLETPTFAQKRR RTLDMLIRSLYQDLMPDLHKNMLNRRSFSDVLPESPKSARKKEEARQAEFVRIGQALKLK SIVRGDAPSSLAASGMCKKEPWEPQCFCCNFPHEAVCADPWGQALLVSTDAGVLLVDDDL PSVPVFDRTLPVKQIHVLETLDLLVLRADKGKDARLFVFRLSAVQKGLDGRQTGRSRSDC RENKLEKTKGCHLYAINTHHSRELRIVVAIRNKLLLITRKPHNKPSGVPGVSLLSPLSES PVEEFQYIREICLCDSPAVMALVDGPTEESDNLICVAYRHQFDVVNESTGEAFRLHHVEA NKVNFVAAIDVYEDGEAGLLLCYNYSCIYKKVCPFNGGSFLLQPSASDFQFCWNQAPYAI VCAFPYLLAFTTDSMEIRLVVNGNLVHTAVVPQLQLVASRSDIYFTAATTVHEGSSGGSS KGASAHTSPQTPPARDTPLFPSSLGEGEIQSKNLYKIPLRNLVGRSIERPLKSPLVSKVI TPPTSIGLGVAAIPVTHSLSLSRMEIKEIASRTRRELLGLSDDGGTKTEGAPRAKSKTRK RLEESQGGPKPETVRSASSDRIPSGILESPASEANPEGHNHWASSEQDAGVDKEGSPGSG SSPFQLMASSEEDIIDLK >ENSMUSP00000142077.1 pep:known chromosome:GRCm38:2:33015212:33034784:-1 gene:ENSMUSG00000038860.15 transcript:ENSMUST00000193171.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Garnl3 description:GTPase activating RANGAP domain-like 3 [Source:MGI Symbol;Acc:MGI:2139309] XSVNFKFGVLFAKDGQLTDDEMFSNEIGSEAFQKFLNLLGDTITLKGWTGYRGGLDTKNN TTGINSVYTVYQGHEVMFHVSTMLPYSKENRQQVERKRHIGNDIVTIVFQEGEESSPAFK PSMIRSHFTHIFALVRYDQQNDNYRLKIFSEESVPLFGPPLPSPPVFTDHQEFRDFLLVK >ENSMUSP00000119973.1 pep:known chromosome:GRCm38:2:33054169:33131650:-1 gene:ENSMUSG00000038860.15 transcript:ENSMUST00000133135.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Garnl3 description:GTPase activating RANGAP domain-like 3 [Source:MGI Symbol;Acc:MGI:2139309] MDPLTKGSCGSQLAQTLLWKAKSSLSFGIQPLQTWPTKDPELESQVNLSVSEDLGCRRGD FSRKHYGSVELLISSDADGAIQRAGRFRVENGSTDESAAALPGTWRRTDVHLENPEYHTR WYFKY >ENSMUSP00000141523.1 pep:known chromosome:GRCm38:2:33054171:33131654:-1 gene:ENSMUSG00000038860.15 transcript:ENSMUST00000127509.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Garnl3 description:GTPase activating RANGAP domain-like 3 [Source:MGI Symbol;Acc:MGI:2139309] MDPLTKGSCGSQLAQTLLWKAKSSLSFGIQPLQTWPTKDPELESQVNL >ENSMUSP00000123601.1 pep:known chromosome:GRCm38:2:33054216:33130608:-1 gene:ENSMUSG00000038860.15 transcript:ENSMUST00000124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Garnl3 description:GTPase activating RANGAP domain-like 3 [Source:MGI Symbol;Acc:MGI:2139309] MEQEPGGAAAALLRQKRAALRRRGCSFESPSTAPQPPGPLMDPLTKGSCGSQLAQTLLWK AKSSLSFGIQPLQTWPTKDPELESQVNLSVSEDLGCRRGDFSRKHYGSVELLISSDADGA IQRAGRFRVENGSTDESAAALPGTWRRTDV >ENSMUSP00000141945.1 pep:known chromosome:GRCm38:3:5560499:5563729:-1 gene:ENSMUSG00000040374.13 transcript:ENSMUST00000191916.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex2 description:peroxisomal biogenesis factor 2 [Source:MGI Symbol;Acc:MGI:107486] MTGKEENMQSANRVLRISQLDALELNKALEQLVWSQFTQCFHGFKPGLLARFEPEVKAFL WLFLWRFTIYSKNATVGQSVLNIQYKNDSSLNLIYQPPSKTQKLWYAVCTIGGKWLEERC YDLFRNRHLASFGKAKQFMNFLVGLLKLGELINFLIFLQKGKFATLTERLLGIHSVFCKP QNMREVGFEYMNRELLWHGFAEFLIFLLPLINIQKLKAKLSSWCIPLTGAAGHDSTLGSS GKECALCGEWPTMPHTIGCEHVFCYYCVKSSFLFDIYFTCPKCGTEVHSVQPLKSGIEMS EVNAL >ENSMUSP00000141927.1 pep:known chromosome:GRCm38:3:5560500:5576145:-1 gene:ENSMUSG00000040374.13 transcript:ENSMUST00000195855.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex2 description:peroxisomal biogenesis factor 2 [Source:MGI Symbol;Acc:MGI:107486] MTGKEENMQSANRVLRISQLDALELNKALEQLVWSQFTQCFHGFKPGLLARFEPEVKAFL WLFLWRFTIYSKNATVGQSVLNIQYKNDSSLNLIYQPPSKTQKLWYAVCTIGGKWLEERC YDLFRNRHLASFGKAKQFMNFLVGLLKLGELINFLIFLQKGKFATLTERLLGIHSVFCKP QNMREVGFEYMNRELLWHGFAEFLIFLLPLINIQKLKAKLSSWCIPLTGAAGHDSTLGSS GKECALCGEWPTMPHTIGCEHVFCYYCVKSSFLFDIYFTCPKCGTEVHSVQPLKSGIEMS EVNAL >ENSMUSP00000059415.4 pep:known chromosome:GRCm38:3:5560500:5576239:-1 gene:ENSMUSG00000040374.13 transcript:ENSMUST00000059021.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex2 description:peroxisomal biogenesis factor 2 [Source:MGI Symbol;Acc:MGI:107486] MTGKEENMQSANRVLRISQLDALELNKALEQLVWSQFTQCFHGFKPGLLARFEPEVKAFL WLFLWRFTIYSKNATVGQSVLNIQYKNDSSLNLIYQPPSKTQKLWYAVCTIGGKWLEERC YDLFRNRHLASFGKAKQFMNFLVGLLKLGELINFLIFLQKGKFATLTERLLGIHSVFCKP QNMREVGFEYMNRELLWHGFAEFLIFLLPLINIQKLKAKLSSWCIPLTGAAGHDSTLGSS GKECALCGEWPTMPHTIGCEHVFCYYCVKSSFLFDIYFTCPKCGTEVHSVQPLKSGIEMS EVNAL >ENSMUSP00000071255.5 pep:known chromosome:GRCm38:3:5560504:5576128:-1 gene:ENSMUSG00000040374.13 transcript:ENSMUST00000071280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex2 description:peroxisomal biogenesis factor 2 [Source:MGI Symbol;Acc:MGI:107486] MTGKEENMQSANRVLRISQLDALELNKALEQLVWSQFTQCFHGFKPGLLARFEPEVKAFL WLFLWRFTIYSKNATVGQSVLNIQYKNDSSLNLIYQPPSKTQKLWYAVCTIGGKWLEERC YDLFRNRHLASFGKAKQFMNFLVGLLKLGELINFLIFLQKGKFATLTERLLGIHSVFCKP QNMREVGFEYMNRELLWHGFAEFLIFLLPLINIQKLKAKLSSWCIPLTGAAGHDSTLGSS GKECALCGEWPTMPHTIGCEHVFCYYCVKSSFLFDIYFTCPKCGTEVHSVQPLKSGIEMS EVNAL >ENSMUSP00000126445.1 pep:known chromosome:GRCm38:3:5560188:5576150:-1 gene:ENSMUSG00000040374.13 transcript:ENSMUST00000165309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex2 description:peroxisomal biogenesis factor 2 [Source:MGI Symbol;Acc:MGI:107486] MTGKEENMQSANRVLRISQLDALELNKALEQLVWSQFTQCFHGFKPGLLARFEPEVKAFL WLFLWRFTIYSKNATVGQSVLNIQYKNDSSLNLIYQPPSKTQKLWYAVCTIGGKWLEERC YDLFRNRHLASFGKAKQFMNFLVGLLKLGELINFLIFLQKGKFATLTERLLGIHSVFCKP QNMREVGFEYMNRELLWHGFAEFLIFLLPLINIQKLKAKLSSWCIPLTGAAGHDSTLGSS GKECALCGEWPTMPHTIGCEHVFCYYCVKSSFLFDIYFTCPKCGTEVHSVQPLKSGIEMS EVNAL >ENSMUSP00000129311.1 pep:known chromosome:GRCm38:3:5560188:5576150:-1 gene:ENSMUSG00000040374.13 transcript:ENSMUST00000164828.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pex2 description:peroxisomal biogenesis factor 2 [Source:MGI Symbol;Acc:MGI:107486] MTGKEENMQSANRVLRISQLDALELNKALEQLVWSQFTQCFHGFKPGLLARFEPEVKAFL WLFLWRFTIYSKNATVGQSVLNIQYKNDSSLNLIYQPPSKTQKLWYAVCTIGGKWLEERC YDLFRNRHLASFGKAKQFMNFLVGLLKLGELINFLIFLQKGKFATLTERLLGIHSVFCKP QNMREVGFEYMNRELLWHGFAEFLIFLLPLINIQKLKAKLSSWCIPLTGAAGHDSTLGSS GKECALCGEWPTMPHTIGCEHVFCYYCVKSSFLFDIYFTCPKCGTEVHSVQPLKSGIEMS EVNAL >ENSMUSP00000062473.1 pep:known chromosome:GRCm38:17:20993727:20994686:-1 gene:ENSMUSG00000045575.1 transcript:ENSMUST00000056339.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r233 description:vomeronasal 1 receptor 233 [Source:MGI Symbol;Acc:MGI:2159639] MRGGTDCMDASELSVGVIILFQTMIGVLANSSLLYHYMFLYLTRDRLRSIDWILVHLIAA NILTVLFKGIPQTIAAFGLKDFLNDIGCKLIFSFRRIGRGVCIGSTSFLSVYQAIMISPS DSRHSELKLKMHKCIGYSVYLNWVIHFIISSVNLVHMRAKYSNESTTNLKSFIYCYAVRH DPTSDILYAALVSSPDILLLGLMLYASGFMVLTLYRHKQRMQQMPRMNVSSKSTPASRAT KTILLLVSIFVSCYTISTICQLLATLMHNPSWSLANVAAMSSLVFPTVCPFLLMSHDSRA SSFCLPLKRNISPKPLAKS >ENSMUSP00000087207.5 pep:known chromosome:GRCm38:16:30511855:30550570:-1 gene:ENSMUSG00000022537.17 transcript:ENSMUST00000089775.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem44 description:transmembrane protein 44 [Source:MGI Symbol;Acc:MGI:1924489] MEEGASAAPPLLNWDYLERCFARRRVCISFGLWICAACCWIAAHTLCCYQSDEEPAS >ENSMUSP00000123494.1 pep:known chromosome:GRCm38:16:30513691:30550578:-1 gene:ENSMUSG00000022537.17 transcript:ENSMUST00000140402.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem44 description:transmembrane protein 44 [Source:MGI Symbol;Acc:MGI:1924489] MEEGASAAPPLLNWDYLERCFARRRVCISFGLWICAACCWIAAHTLILYLRCTKKCSQDQ STLCAAFCLLTSLCDTVGAILARQLTIQVFTGAYLAAVDFMNFMFTLFPVCGSKSKSKSG QSSRERRRRHLRASVFALALPLSLGPGWAIWTAIPKAPAPVRGPQRRLLGSLLQENPEVF GYLLGAIAAFGSWASRIPPFSNICRGKSLSYIHLWTRFLSALAGLLYASAIVAHDRQPEY LLQATPWFLISLGRAALDLAIIFLSCVIKSRMSRAFRFATAEARESADTQALLTCAEKEE ENQEARTEDKNSDWVPLTSLSHCKPLRTMTAISRYMELTIEPAQQAGCSATRLPGDGQTS TRDAASQEPPSYPPIQVIQARVSSSSSSEVSSINSDLEWDPEDVNLERKKDGELLRVQVR RASLSPVDLASDD >ENSMUSP00000119318.2 pep:known chromosome:GRCm38:16:30513693:30550578:-1 gene:ENSMUSG00000022537.17 transcript:ENSMUST00000144001.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem44 description:transmembrane protein 44 [Source:MGI Symbol;Acc:MGI:1924489] MEEGASAAPPLLNWDYLERCFARRRVCISFGLWICAACCWIAAHTLQVLLSIG >ENSMUSP00000116531.2 pep:known chromosome:GRCm38:16:30513970:30540909:-1 gene:ENSMUSG00000022537.17 transcript:ENSMUST00000149110.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem44 description:transmembrane protein 44 [Source:MGI Symbol;Acc:MGI:1924489] CRGKSLSYIHLWTRFLSALAGLLYASAIVAHDRQPEYLLQATPWFLISLGRAALDLAIIF LSCVIKSRMSRAFRFATAEARESADTQALLTCAEKEEENQEARTEDKNSDWVPLTSLSHC KPLRTMTAISRYMELTIEPAQQAGCSATRLPGDGQTSTRDAASQEPPSYPPIQVIQARVS SSSSSEVSSINSDLEQKYWEALNSEQCLQRP >ENSMUSP00000140899.1 pep:known chromosome:GRCm38:15:75268702:75272235:1 gene:ENSMUSG00000022583.12 transcript:ENSMUST00000189654.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6f description:lymphocyte antigen 6 complex, locus F [Source:MGI Symbol;Acc:MGI:109441] MDSCHTTKSCVLILLVVLLCAERAQGLECYNCLGVSLGIACKSITCPYPDAVCISQQVEL IVDSQRRKVKNKLCFPFCPANLENMEILGTTVNVNTSCCKEDLCNAPFSTGGSTWTMTRV LLLNLGSVFLQTLL >ENSMUSP00000023247.6 pep:known chromosome:GRCm38:15:75268421:75272234:1 gene:ENSMUSG00000022583.12 transcript:ENSMUST00000023247.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6f description:lymphocyte antigen 6 complex, locus F [Source:MGI Symbol;Acc:MGI:109441] MDSCHTTKSCVLILLVVLLCAERAQGLECYNCLGVSLGIACKSITCPYPDAVCISQQVEL IVDSQRRKVKNKLCFPFCPANLENMEILGTTVNVNTSCCKEDLCNAPFSTGGSTWTMTRV LLLNLGSVFLQTLL >ENSMUSP00000124064.1 pep:known chromosome:GRCm38:15:3319009:3581842:-1 gene:ENSMUSG00000055737.12 transcript:ENSMUST00000161561.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghr description:growth hormone receptor [Source:MGI Symbol;Acc:MGI:95708] MDLCQVFLTLALAVTSSTFSGSEATPATLGKASPVLQRINPSLGTSSSGKPRFTKCRSPE LETFSCYWTEGDNPDLKTPGSIQLYYAKRESQRQAARIAHEWTQEWKECPDYVSAGKNSC YFNSSYTSIWIPYCIKLTTNGDLLDQKCFTVDEIVQPDPPIGLNWTLLNISLTGIRGDIQ VSWQPPPNADVLKGWIILEYEIQYKEVNESKWKVMGPIWLTYCPVYSLRMDKEHEVRVRS RQRSFEKYSEFSEVLRVIFPQTNILEACEEDIQFPWFLIIIFGIFGVAVMLFVVIFSKQQ RIKMLILPPVPVPKIKGIDPDLLKEGKLEEVNTILGIHDNYKPDFYNDDSWVEFIELDID EADVDEKTEGSDTDRLLSNDHEKSAGILGAKDDDSGRTSCYDPDILDTDFHTSDMCDGTL KFAQSQKLNMEADLLCLDQKNLKNLPYDASLGSLHPSITQTVEENKPQPLLSSETEATHQ LASTPMSNPTSLANIDFYAQVSDITPAGGVVLSPGQKIKAGIAQGNTQREVATPCQENYS MNSAYFCESDAKKCIAVAPRMEATSCIKPSFNQEDIYITTESLTTTAQMSETADIAPDAE MSVPDYTTVHTVQSPRGLILNATALPLPDKKNFPSSCGYVSTDQLNKIMQ >ENSMUSP00000106326.2 pep:known chromosome:GRCm38:15:3327525:3583188:-1 gene:ENSMUSG00000055737.12 transcript:ENSMUST00000110698.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghr description:growth hormone receptor [Source:MGI Symbol;Acc:MGI:95708] MDLCQVFLTLALAVTSSTFSGSEATPATLGKASPVLQRINPSLGTSSSGKPRFTKCRSPE LETFSCYWTEGDNPDLKTPGSIQLYYAKRESQRQAARIAHEWTQEWKECPDYVSAGKNSC YFNSSYTSIWIPYCIKLTTNGDLLDQKCFTVDEIVQPDPPIGLNWTLLNISLTGIRGDIQ VSWQPPPNADVLKGWIILEYEIQYKEVNESKWKVMGPIWLTYCPVYSLRMDKEHEVRVRS RQRSFEKYSEFSEVLRVIFPQTNILEACEEGTKSNSQHPHQEIDNHLYHQLQRIRHP >ENSMUSP00000069457.4 pep:known chromosome:GRCm38:15:3317760:3583230:-1 gene:ENSMUSG00000055737.12 transcript:ENSMUST00000069451.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghr description:growth hormone receptor [Source:MGI Symbol;Acc:MGI:95708] MDLCQVFLTLALAVTSSTFSGSEATPATLGKASPVLQRINPSLGTSSSGKPRFTKCRSPE LETFSCYWTEGDNPDLKTPGSIQLYYAKRESQRQAARIAHEWTQEWKECPDYVSAGKNSC YFNSSYTSIWIPYCIKLTTNGDLLDQKCFTVDEIVQPDPPIGLNWTLLNISLTGIRGDIQ VSWQPPPNADVLKGWIILEYEIQYKEVNESKWKVMGPIWLTYCPVYSLRMDKEHEVRVRS RQRSFEKYSEFSEVLRVIFPQTNILEACEEDIQFPWFLIIIFGIFGVAVMLFVVIFSKQQ RIKMLILPPVPVPKIKGIDPDLLKEGKLEEVNTILGIHDNYKPDFYNDDSWVEFIELDID EADVDEKTEGSDTDRLLSNDHEKSAGILGAKDDDSGRTSCYDPDILDTDFHTSDMCDGTL KFAQSQKLNMEADLLCLDQKNLKNLPYDASLGSLHPSITQTVEENKPQPLLSSETEATHQ LASTPMSNPTSLANIDFYAQVSDITPAGGVVLSPGQKIKAGIAQGNTQREVATPCQENYS MNSAYFCESDAKKCIAVAPRMEATSCIKPSFNQEDIYITTESLTTTAQMSETADIAPDAE MSVPDYTTVHTVQSPRGLILNATALPLPDKKNFPSSCGYVSTDQLNKIMQ >ENSMUSP00000125044.1 pep:known chromosome:GRCm38:15:3333439:3582596:-1 gene:ENSMUSG00000055737.12 transcript:ENSMUST00000161770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghr description:growth hormone receptor [Source:MGI Symbol;Acc:MGI:95708] MDLCQVFLTLALAVTSSTFSGSEATPATLGKASPVLQRINPSLGTSSSGKPRFTKCRSPE LETFSCYWTEGDNPDLKTPGSIQLYYAKRESQRQAARIAHEWTQEWKECPDYVSAGKNSC YFNSSYTSIWIPYCIKLTTNGDLLDQKCFTVDEIVQPDPPIGLNWTLLNISLTGIRGDIQ VSW >ENSMUSP00000106325.2 pep:known chromosome:GRCm38:15:3327539:3471644:-1 gene:ENSMUSG00000055737.12 transcript:ENSMUST00000110697.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghr description:growth hormone receptor [Source:MGI Symbol;Acc:MGI:95708] MDLCQVFLTLALAVTSSTFSGSEATPATLGKASPVLQRINPSLGTSSSGKPRFTKCRSPE LETFSCYWTEGDNPDLKTPGSIQLYYAKRESQRQAARIAHEWTQEWKECPDYVSAGKNSC YFNSSYTSIWIPYCIKLTTNGDLLDQKCFTVDEIVQPDPPIGLNWTLLNISLTGIRGDIQ VSWQPPPNADVLKGWIILEYEIQYKEVNESKWKVMGPIWLTYCPVYSLRMDKEHEVRVRS RQRSFEKYSEFSEVLRVIFPQTNILEACEEGTKSNSQHPHQEIDNHLYHQLQRIRHP >ENSMUSP00000110014.1 pep:known chromosome:GRCm38:2:25085667:25095131:-1 gene:ENSMUSG00000036805.13 transcript:ENSMUST00000114373.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noxa1 description:NADPH oxidase activator 1 [Source:MGI Symbol;Acc:MGI:2449980] MSSLGDQIRDWHRGVLAVAREDWDSALCFFSDVREPLARMYFNRGCVHLMAGDPEAALRA FDQAVTKDTCMAVGFLQRGVANFQLQRFQEAVSDFQLALAQLRDNAVIDYTQLGLNFKLQ AWEVLYNMASAQCQAGLWTKAANTLVEAISKWPEGAQDILDIAMDKVQKQVPLQLQQVPK GEVFQPPRRYLKHLEPMDFLGKAKVVASVIPDDHNAQPQQRSQAEHAGHQPSSSMCGHTS PGLYDSLLASRRPGPGPSEVSSGSEGAATKDPESLVTVTVQCHFTVPLKVPRGTGLSSFQ TLLAQALLHQTQTGQLSYKAPGEERSWIPISTEESLQSIWRNVPVGPGGLQLQCQGVWGR PVLYQVVAQYNYRAQRPEDLDFHQGDTVDVLCEVDEAWLEGHRDGCVGIFPKCFVVPAGA YVEAMLVLGPQPGDQN >ENSMUSP00000037423.7 pep:known chromosome:GRCm38:2:25085667:25095149:-1 gene:ENSMUSG00000036805.13 transcript:ENSMUST00000044018.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Noxa1 description:NADPH oxidase activator 1 [Source:MGI Symbol;Acc:MGI:2449980] MSSLGDQIRDWHRGVLAVAREDWDSALCFFSDVREPLARMYFNRGCVHLMAGDPEAALRA FDQAVTKDTCMAVGFLQRGVANFQLQRFQEAVSDFQLALAQLRDNAVIDYTQLGLNFKLQ AWEVLYNMASAQCQAGLWTKAANTLVEAISKWPEGAQDILDIAMDKVQKQVPLQLQQVPK GEVFQPPRRYLKHLEPMDFLGKAKVVASVIPDDHNAQPQQRSQAEHAGHQPSSSMCKRVL STTGGHTSPGLYDSLLASRRPGPGPSEVSSGSEGAATKDPESLVTVTVQCHFTVPLKVPR GTGLSSFQTLLAQALLHQTQTGQLSYKAPGEERSWIPISTEESLQSIWRNVPVGPGGLQL QCQGVWGRPVLYQVVAQYNYRAQRPEDLDFHQGDTVDVLCEVDEAWLEGHRDGCVGIFPK CFVVPAGAYVEAMLVLGPQPGDQN >ENSMUSP00000054292.4 pep:known chromosome:GRCm38:8:55870912:55906948:-1 gene:ENSMUSG00000046258.4 transcript:ENSMUST00000053441.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adam29 description:a disintegrin and metallopeptidase domain 29 [Source:MGI Symbol;Acc:MGI:2676326] MNMIEALLSMRVLFLTQVFGIFLCFPGLTKAGHLHYHSSIEVVIPMKVTEKTRGMNLPNW ISYSLKLGGQRYIIHMKIKNLFLTRHLPVFTYSDQDSLLEDYPFVQDDCYYQGYVEGDSE SLVSLSSCFGGFHGLLEINNIVYEIMPKKFSRKFEHLVYKVDSNKTESRGSSLMQDNITC QVELQKSGNPILKQSSFEDWWTHTKIVELVVVVDKTLYDHYGNYTVMLSDLYSVINIVDT IYEVIGIKILLVGVEVWNKKNLIVIDDVSKSLRLYCRWKASNFLHRLKHDVSHLFIYRHL RGLSGIGSTGGICDPKRSCAVVTFIDRTLNLRAIGVAHHLGHNLGMKHDEDICKCSYSKC IMHMDSPPIPKFSNCSYNYFWSYTVKNTRCLMENMYTKDIFDRTRCGNGVVEDKEQCDCG SLRNCTNDLCCMSNCTLSTGSSCAFGLCCKNCQFLPSGTLCRKRDNICDLPEWCNGTSHE CPDDAYVEDGIPCGVSAYCYEKQCNDRNEHCRQIFGQNAKTASVHCYREINTKGDRFGHC GLQGPTYIKCKSNDALCGRIQCDNVVQIPNMKDHSTIHFALVKNVSCWGTDYHTGTSLTD IGDVKDGTECEQNHICINRHCVHISTLDSNCTPAFCNYRGICNNKHHCHCNFHWDPPNCM IRGHGGSVDSGLPPKTNKKKHFFYLLLLQLIILACLLSCLLWLLFNIKGSKRKPQVQPTP VKTKKVSKKVPSQKPSPVPSPSLPQLRMPSRSASPTSSIKSTN >ENSMUSP00000041419.2 pep:known chromosome:GRCm38:19:6993018:6996037:-1 gene:ENSMUSG00000037349.9 transcript:ENSMUST00000041686.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt22 description:nudix (nucleoside diphosphate linked moiety X)-type motif 22 [Source:MGI Symbol;Acc:MGI:1915573] MDPEVSLLLLCPLGGLSQEQVAVELSPAHDRRPLPGGDKAITAIWETRQQAQPWIFDAPK FRLHSATLVSSSPEPQLLLHLGLTSYRDFLGTNWSSSASWLRQQGAADWGDKQAYLADPL GVGAALVTADDFLVFLRRSQQVAEAPGLVDVPGGHPEPQALCSGGIPRHKDLPGLLVVRE LFSSVLQEICDEVNLPLHTLSQPLLLGIACNETSAGRASAEFYVQCSLTSEEVRSYYLSG GPEAHESTGIIFVETQRVQRLQETEMWAQLCPSAKGAILLYNRHPPLQSGVGKSHLSHPS APALSLQL >ENSMUSP00000137738.1 pep:known chromosome:GRCm38:19:6993018:6996117:-1 gene:ENSMUSG00000037349.9 transcript:ENSMUST00000180765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nudt22 description:nudix (nucleoside diphosphate linked moiety X)-type motif 22 [Source:MGI Symbol;Acc:MGI:1915573] MDPEVSLLLLCPLGGLSQEQVAVELSPAHDRRPLPGGDKAITAIWETRQQAQPWIFDAPK FRLHSATLVSSSPEPQLLLHLGLTSYRDFLGTNWSSSASWLRQQGAADWGDKQAYLADPL GVGAALVTADDFLVFLRRSQQVAEAPGLVDVPGGHPEPQALCSGGIPRHKDLPGLLVVRE LFSSVLQEICDEVNLPLHTLSQPLLLGIACNETSAGRASAEFYVQCSLTSEEVRSYYLSG GPEAHESTGIIFVETQRVQRLQETEMWAQLCPSAKGAILLYNRHPPLQSGVGKSHLSHPS APALSLQL >ENSMUSP00000025198.7 pep:known chromosome:GRCm38:17:34354822:34369493:1 gene:ENSMUSG00000024340.14 transcript:ENSMUST00000025198.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl2 description:butyrophilin-like 2 [Source:MGI Symbol;Acc:MGI:1859549] MVDCPRYSLSGVAASFLFVLLTIKHPDDFRVVGPNLPILAKVGEDALLTCQLLPKRTTAH MEVRWYRSDPDMPVIMYRDGAEVTGLPMEGYGGRAEWMEDSTEEGSVALKIRQVQPSDDG QYWCRFQEGDYWRETSVLLQVAALGSSPNIHVEGLGEGEVQLVCTSRGWFPEPEVHWEGI WGEKLMSFSENHVPGEDGLFYVEDTLMVRNDSVETISCFIYSHGLRETQEATIALSERLQ TELASVSVIGHSQPSPVQVGENIELTCHLSPQTDAQNLEVRWLRSRYYPAVHVYANGTHV AGEQMVEYKGRTSLVTDAIHEGKLTLQIHNARTSDEGQYRCLFGKDGVYQEARVDVQVMA VGSTPRITREVLKDGGMQLRCTSDGWFPRPHVQWRDRDGKTMPSFSEAFQQGSQELFQVE TLLLVTNGSMVNVTCSISLPLGQEKTARFPLSDSKIALLWMTLPVVVLPLAMAIDLIKVK RWRRTNEQTHSSNQENNKNDENHRRRLPSDERLR >ENSMUSP00000137048.1 pep:known chromosome:GRCm38:17:34354822:34369492:1 gene:ENSMUSG00000024340.14 transcript:ENSMUST00000178562.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl2 description:butyrophilin-like 2 [Source:MGI Symbol;Acc:MGI:1859549] MVDCPRYSLSGVAASFLFVLLTIKHPDDFRVVGPNLPILAKVGEDALLTCQLLPKRTTAH MEVRWYRSDPDMPVIMYRDGAEVTGLPMEGYGGRAEWMEDSTEEGSVALKIRQVQPSDDG QYWCRFQEGDYWRETSVLLQVAALGSSPNIHVEGLGEGEVQLVCTSRGWFPEPEVHWEGI WGEKLMSFSENHVPGEDGLFYVEDTLMVRNDSVETISCFIYSHGLRETQEATIALSARLF FKLPSVSVIGHSQPSPVQVGENIELTCHLSPQTDAQNLEVRWLRSRYYPAVHVYANGTHV AGEQMVEYKGRTSLVTDAIHEGKLTLQIHNARTSDEGQYRCLFGKDGVYQEARVDVQVMA VGSTPRITREVLKDGGMQLRCTSDGWFPRPHVQWRDRDGKTMPSFSEAFQQGSQELFQVE TLLLVTNGSMVNVTCSISLPLGQEKTARFPLSDSKIALLWMTLPVVVLPLAMAIDLIKVK RWRRTNEQTHSSNQENNKNDENHRRRLPSDERLR >ENSMUSP00000074810.3 pep:known chromosome:GRCm38:4:63362449:63365878:1 gene:ENSMUSG00000061540.3 transcript:ENSMUST00000075341.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Orm2 description:orosomucoid 2 [Source:MGI Symbol;Acc:MGI:97444] MALHMILVMVSLLPLLEAQNPEHVNITIGDPITNETLSWLSDKWFFIGAAVLNPDYRQEI QKTQMVFFNLTPNLINDTMELREYHTIDDHCVYNSTHLGIQRENGTLSKYVGGVKIFADL IVLKMHGAFMLAFDLKDEKKRGLSLNAKRPDITPELREVFQKAVTHVGMDESEIIFVDWK KDRCSQQEKQQLELEKETKKDPEEGQA >ENSMUSP00000142944.1 pep:known chromosome:GRCm38:5:88455991:88468182:1 gene:ENSMUSG00000029288.11 transcript:ENSMUST00000198265.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ambn description:ameloblastin [Source:MGI Symbol;Acc:MGI:104655] MSASKIPLFKMKGLILFLSLVKMSLAVPAFPQQPGAQGMAPPGMASLSLETMRQLGSLQG LNALSQYSRLGFGKALNSLWLHGLLPPHNSFPWIGPREHETQQYEYSLPVHPPPLPSQPS LQPHQPGLKPFLQPTAATGVQVTPQKPGPQPPMHPGQLPLQEGELIAPDEPQVAPSENPP TPEVPIMDFADPQFPTVFQIARSISRGPMAHNKASAFYPGMFYMSYGANQLNAPARIGFM SSEEMPGERGSPMAYGTLFPRFGGFRQTLRRLNQNSPKGGDFTVEVDSPVSVTKGPEKGE GPEGSPLQEANPGKRENPALLSQMAPGAHAGLLAFPNDHIPSMARGPAGQRLLGVTPAAA DPLITPELAEVYETYGADVTTPLGDGEATMDITMSPDTQQPLLPGNKVHQPQVHNAWRFQ EP >ENSMUSP00000031226.7 pep:known chromosome:GRCm38:5:88456011:88468531:1 gene:ENSMUSG00000029288.11 transcript:ENSMUST00000031226.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ambn description:ameloblastin [Source:MGI Symbol;Acc:MGI:104655] MSASKIPLFKMKGLILFLSLVKMSLAVPAFPQQPGAQGMAPPGMASLSLETMRQLGSLQG LNALSQYSRLGFGKALNSLWLHGLLPPHNSFPWIGPREHETQQPSLQPHQPGLKPFLQPT AATGVQVTPQKPGPQPPMHPGQLPLQEGELIAPDEPQVAPSENPPTPEVPIMDFADPQFP TVFQIARSISRGPMAHNKASAFYPGMFYMSYGANQLNAPARIGFMSSEEMPGERGSPMAY GTLFPRFGGFRQTLRRLNQNSPKGGDFTVEVDSPVSVTKGPEKGEGPEGSPLQEANPGKR ENPALLSQMAPGAHAGLLAFPNDHIPSMARGPAGQRLLGVTPAAADPLITPELAEVYETY GADVTTPLGDGEATMDITMSPDTQQPLLPGNKVHQPQVHNAWRFQEP >ENSMUSP00000110323.1 pep:known chromosome:GRCm38:X:67682900:67693562:-1 gene:ENSMUSG00000079578.1 transcript:ENSMUST00000114675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1140 description:predicted gene 1140 [Source:MGI Symbol;Acc:MGI:2685986] MPPKGKKAKSKSVKRPQDSSDTQSDDVIQRRVPRTPAEQPVVIEISDESTSSDQDDQQAR ESVQKTAKKRQGDVTESHLAKKKQFCQDVVTSVKRLSEKLVSIYKRQKRERTMFHSNYSK SLQSLFQQWDMSVEKVGQEEDSFINSSHQHEKIIYNTMMAQKATIDQAKAISDQFLKNIQ ELEEKHKLLDALEQNRLENEMKNLKKKLVADNHQQDLAVLESCLHSMFS >ENSMUSP00000108726.3 pep:known chromosome:GRCm38:5:92257659:92278170:-1 gene:ENSMUSG00000029413.14 transcript:ENSMUST00000113102.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naaa description:N-acylethanolamine acid amidase [Source:MGI Symbol;Acc:MGI:1914361] MGTLATRAACHGAHLALALLLLLSLSGPWLSAVVPGTPPLFNVSLDAAPEQRWLPMLRHY DPDFLRTAVAQVIGDRVPQWVLGMVGEIVSKVESFLPQPFTDEIRSICDSLNLSLADGIL VNLAYEASAFCTSIVAQDSQGHIYHGRNLDYPFGKILRKLTANVQFIKNGQIAFTGTTFV GYVGLWTGQSPHKFTISGDERDKGWWWENMIAALSLGHSPISWLIRKTLSESESFEAAVY TLAKTPLIADVYYIVGGTSPKEGVVITRDRGGPADIWPLDPLNGEWFRVETNYDHWKPAP KVDDRRTPAIKALNATGQAHLNLETLFQVLSLFPVYNNYTIYTTVMSAAEPDKYLTMIRN PS >ENSMUSP00000124582.1 pep:known chromosome:GRCm38:5:92258433:92278165:-1 gene:ENSMUSG00000029413.14 transcript:ENSMUST00000159345.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naaa description:N-acylethanolamine acid amidase [Source:MGI Symbol;Acc:MGI:1914361] MGTLATRAACHGAHLALALLLLLSLSGPWLSAVVPGTPPLFNVSLDAAPEQRWLPMLRHY DPDFLRTAVAQVIGVPQWVLGMVGEIVSKVESFLPQPFTDEIRSICDSLNLSLADGILVN LAYEASAFCTSIVAQDSQGHIYHGRNLDYPFGKILRKLTANVQFIKNGQIAFTGTTFVGY VGLWTGQSPHKFTISGDERDKGWWWENMIAALSLGHSPISWLIRKTLSESESFEAAVYTL AKTPLIADVYYIVGGTSPKEGVVITRDRGGPADIWPLDPLNGEWFRVETNYDHWKPAPKV DDRRTPAIKALNATGQAHLNLETLFQVLSLFPVYNNYTIYTTVMSAAEPDKYLTMIRNPS >ENSMUSP00000135610.1 pep:known chromosome:GRCm38:5:92259556:92272548:-1 gene:ENSMUSG00000029413.14 transcript:ENSMUST00000175656.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Naaa description:N-acylethanolamine acid amidase [Source:MGI Symbol;Acc:MGI:1914361] QDSQGHIYHGRNLDYPFGKILRKLTANVQFIKNGQIAFTGTTFVGYVGLWTGQSPHKFTI SGDERDKGWWWENMIAALSLGHSPISWLIRKTLSESESFEAAVYTLAKTPLIADVYYIVG GTSPKEGVVITRDRGGPADIWPLDPLNGEWFRVETNYDHWKPAPKVDDRRTPAIKALNAT GQAHLNLETLFQLHNLYYSDERCRARQVPDHDQKPEL >ENSMUSP00000018755.3 pep:known chromosome:GRCm38:11:54054928:54069014:-1 gene:ENSMUSG00000020388.12 transcript:ENSMUST00000018755.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim4 description:PDZ and LIM domain 4 [Source:MGI Symbol;Acc:MGI:1353470] MTHSVTLRGPSPWGFRLVGGRDFSAPLTISRVHAGSKAALAALCPGDLIQAINGESTELM THLEAQNRIKGCHDHLTLSVSRPENKNWPSAPDDKAQAHRIHIDPESQDCSPATSRRSSV SGISLEDNRSGLGSPYGQPPRLPVPHNGSSNEATLPAQMSALHVSPPTSADTARVLPRNR DCRVDLGSEVYRMLREPAEPTASEPKQSGSFRYLQGMLEAGEGGDRPGSGGPRNLKPAAS KLGAPLSGLQGLPECTRCGHGIVGTIVKARDKLYHPECFMCSDCGLNLKQRGYFFLDERL YCENHAKARVKPPEGYDVVAVYPNAKVELV >ENSMUSP00000090797.4 pep:known chromosome:GRCm38:11:54054948:54068932:-1 gene:ENSMUSG00000020388.12 transcript:ENSMUST00000093109.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim4 description:PDZ and LIM domain 4 [Source:MGI Symbol;Acc:MGI:1353470] MTHSVTLRGPSPWGFRLVGGRDFSAPLTISRVHAGSKAALAALCPGDLIQAINGESTELM THLEAQNRIKGCHDHLTLSVSRPENKNWPSAPDDKAQAHRIHIDPESQDCSPATSRRSSV SGISLEDNRSGLGSPYGQPPRLPVPHNGSSNEATLPAQMSALHVSPPTSADTARVLPRNR DCRVDLGSEVYRMLREPAEPTASEPKQSGSFRYLQGMLEAGEGGEPLSRRETSSTIRSAS CAATAA >ENSMUSP00000121248.1 pep:known chromosome:GRCm38:11:54055920:54064796:-1 gene:ENSMUSG00000020388.12 transcript:ENSMUST00000144477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pdlim4 description:PDZ and LIM domain 4 [Source:MGI Symbol;Acc:MGI:1353470] MTHLEAQNRIKGCHDHLTLSVSRPENKNWPSAPDDKAQAHRIHIDPESQDCSPATSRRSS VSGISLEDNRSGLGSPYGQPPRLPVPHNGSSNEATLPAQMSALHVSPPTSADTARVLPRN R >ENSMUSP00000078138.5 pep:known chromosome:GRCm38:17:35342242:35345794:1 gene:ENSMUSG00000091705.8 transcript:ENSMUST00000074806.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q2 description:histocompatibility 2, Q region locus 2 [Source:MGI Symbol;Acc:MGI:95931] MALRRLLLLLVAALKLTETRAGSHSLRYFTTAVSRPGLGEPRFIIVGYVDDTQFVRFDSD AENPRMEPRAPWMEQEGPEYWERNTQVSKENEQSFRVSLGTALSYYNQSKGGSHTLQWLV GCDLGPDGSLLRGYEQSAYDGRDYLALNEDLITWTAADLAALKTRSKLEQAGLAEKRRAY LEVDCLTWLRRYLELGKETLLHTDPPKAHVTHHPSSQGDVTLRCWALGFYPADITLTWQL NGEDLTQDMELVETRPSGDGTFQKWASVMVPFGEEPRYTCHVEHEGLPEPLTLRWEPPPS TDSYMVIIAVLVVLGAVIIIGAVVAFVMKRGRNTGGKVRDYAQDPGRDSPQSSDISLLEL >ENSMUSP00000133989.1 pep:known chromosome:GRCm38:17:35344999:35346762:1 gene:ENSMUSG00000091705.8 transcript:ENSMUST00000173115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q2 description:histocompatibility 2, Q region locus 2 [Source:MGI Symbol;Acc:MGI:95931] XGLPEPLTLRWEPPPSTDSYMVIIAVLVVLGAVIIIGAVVAFVMKRGRNTGGKVRDYAQD PGRDSPQSSDISLLEL >ENSMUSP00000079172.5 pep:known chromosome:GRCm38:19:10789341:10830058:-1 gene:ENSMUSG00000024670.16 transcript:ENSMUST00000080292.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd6 description:CD6 antigen [Source:MGI Symbol;Acc:MGI:103566] MWLFLGIAGLLTAVLSGLPSPAPSGQHKNGTIPNMTLDLEERLGIRLVNGSSRCSGSVKV LLESWEPVCAAHWNRAATEAVCKALNCGDSGKVTYLMPPTSELPPGATSGNTSSAGNTTW ARAPTERCRGANWQFCKVQDQECSSDRRLVWVTCAENQAVRLVDGSSRCAGRVEMLEHGE WGTVCDDTWDLQDAHVVCKQLKCGWAVKALAGLHFTPGQGPIHRDQVNCSGTEAYLWDCP GRPGDQYCGHKEDAGVVCSEHQSWRLTGGIDSCEGQVEVYFRGVWSTVCDSEWYPSEAKV LCRSLGCGSAVARPRGLPHSLDGRMYYSCKGQEPALSTCSWRFNNSNLCSQSRAARVVCS GSQRHLNLSTSEVPSRVPVTIESSVPVSVKDKDSQGLTLLILCIVLGILLLVSTIFIVIL LLRAKGQYALPASVNHQQLSTANQAGINNYHPVPITIAKEAPMLFIQPRVPADSDSSSDS DYEHYDFSSQPPVALTTFYNSQRHRVTEEEAQQNRFQMPPLEEGLEELHVSHIPAADPRP CVADVPSRGSQYHVRNNSDSSTSSEEGYCNDPSSKPPPWNSQAFYSEKSPLTEQPPNLEL AGSPAVFSGPSADDSSSTSSGEWYQNFQPPPQHPPAEQFECPGPPGPQTDSIDDDEEDYD DIGAA >ENSMUSP00000046861.8 pep:known chromosome:GRCm38:19:10789349:10829964:-1 gene:ENSMUSG00000024670.16 transcript:ENSMUST00000039043.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd6 description:CD6 antigen [Source:MGI Symbol;Acc:MGI:103566] MWLFLGIAGLLTAVLSGLPSPAPSGQHKNGTIPNMTLDLEERLGIRLVNGSSRCSGSVKV LLESWEPVCAAHWNRAATEAVCKALNCGDSGKVTYLMPPTSELPPGATSGNTSSAGNTTW ARAPTERCRGANWQFCKVQDQECSSDRRLVWVTCAENQAVRLVDGSSRCAGRVEMLEHGE WGTVCDDTWDLQDAHVVCKQLKCGWAVKALAGLHFTPGQGPIHRDQVNCSGTEAYLWDCP GRPGDQYCGHKEDAGVVCSEHQSWRLTGGIDSCEGQVEVYFRGVWSTVCDSEWYPSEAKV LCRSLGCGSAVARPRGLPHSLDGRMYYSCKGQEPALSTCSWRFNNSNLCSQSRAARVVCS GSQRHLNLSTSEVPSRVPVTIESSVPVSVKDKDSQGLTLLILCIVLGILLLVSTIFIVIL LLRAKGQYALPASVNHQQLSTANQAGINNYHPVPITIAKEDSQRHRVTEEEAQQNRFQMP PLEEGLEELHVSHIPAADPRPCVADVPSRGSQYHVRNNSDSSTSSEEGYCNDPSSKPPPW NSQAFYSEKSPLTEQPPNLELAGSPAVFSGPSADDSSSTSSGEWYQNFQPPPQHPPAEQF ECPGPPGPQTDSIDDDEEDYDDIGAA >ENSMUSP00000134639.1 pep:known chromosome:GRCm38:19:10794564:10829856:-1 gene:ENSMUSG00000024670.16 transcript:ENSMUST00000174176.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd6 description:CD6 antigen [Source:MGI Symbol;Acc:MGI:103566] MWLFLGIAGLLTAVLSGLPSPAPSGQHKNGTIPNMTLDLEERLGIRLVNGSSRCSGSVKV LLESWEPVCAAHWNRAATEAVCKALNCGDSGKVTYLMPPTSELPPGATSGNTSSAGNTTW ARAPTERCRGANWQFCKVQDQECSSDRRLVWVTCAENQAVRLVDGSSRCAGRVEMLEHGE WGTVCDDTWDLQDAHVVCKQLKCGWAVKALAGLHFTPGQGPIHRDQVNCSGTEAYLWDCP GRPGDQYCGHKEDAGVVCSGSQRHLNLSTSEVPSRVPVTIESSVPVSVKDKDSQGLTLLI LCIVLGILLLVSTIFIVILLLRAKGQY >ENSMUSP00000024816.6 pep:known chromosome:GRCm38:17:29660595:29705979:1 gene:ENSMUSG00000024019.17 transcript:ENSMUST00000024816.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtr1 description:cap methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1921407] MKRRTDPECTAPLKKQKRIGELARHLSSTSDDEPLSSVNHAAKASATSLSGSDSETEGKQ PCSDDFKDAFKADSLVEGTSSRYSMYNSVSQRLMAKMGFREGEGLGKYSQGRKDIVETSN QKGRRGLGLTLQGFDQELNVDWRDEPEPNACEQVSWFPECTTEIPDSREMSDWMVVGKRK MVIEDETEFCGEELLHSMLKCKSVFDILDGEEMRRARTRANPYEMIRGVFFLNRAAMKMA NMDFVFDRMFTNPLDSSGKPLLKESDIDLLYFADVCAGPGGFSEYVLWRKKWHAKGFGMT LKGPNDFKLEDFYSASSELFEPYYGEGGVDGDGDITRPENINAFRNFVLDNTDRKGVHFV MADGGFSVEGQENLQEILSKQLLLCQFLMALSVVRTGGHFVCKTFDLFTPFSVGLIYLLY CCFERVCLFKPITSRPANSERYVVCKGLKVGIDDVREYLFSVNIKLNQLRNTESDVNLVV PLMVIKGDHEFNDYMIRSNESYCSLQIKALAKIHAFVQDTTLSEPRQAEIRKECLQLWKI PDQARVAPSSSDPKFKFFELIKDTDINIFSYKPTLLTAKTLEKIRPVLEYRCMVSGSEQK FLLGLGKSQIYTWDGRQSDRWVKLDLKTELPRDTLLCVEIVHELKGEGKAQRKISAIHIL DVLVLNGSDVREQHFNQRIQLAEKFVKAVSKPSRPDMNPIRVKEVYRLEEMEKIFVRLEM KLIKGSGGTPKLSYTGRDDRHFVPTGVYIVRTVNEPWTMGFSKSNNRKFFYNKKTQKSVY ALPTESIAPFHTCYYSRLFWEWGDGFHMRDSQKPQDPDKLSKEDVLSFIQSHNPLGP >ENSMUSP00000122706.1 pep:known chromosome:GRCm38:17:29660807:29674290:1 gene:ENSMUSG00000024019.17 transcript:ENSMUST00000130423.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtr1 description:cap methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1921407] MKRRTDPECTAPLKKQKRIGELARHLSSTSDDEPLSSVNHAAKASATSLSGSDSETEGKQ PCSDDFKDAFKADSLVEGTSSRYSMYNSVSQRLM >ENSMUSP00000134356.1 pep:known chromosome:GRCm38:17:29679261:29682165:1 gene:ENSMUSG00000024019.17 transcript:ENSMUST00000129864.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmtr1 description:cap methyltransferase 1 [Source:MGI Symbol;Acc:MGI:1921407] MVIEDETEFCGEELLHSMLKCKSVFDILDGEEMRRARTRANPYEMIRGVFFLNRAAMKMA NMDFVFDR >ENSMUSP00000040628.3 pep:known chromosome:GRCm38:2:25080322:25085716:1 gene:ENSMUSG00000036813.11 transcript:ENSMUST00000044078.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd8 description:ectonucleoside triphosphate diphosphohydrolase 8 [Source:MGI Symbol;Acc:MGI:1919340] MGLSWKERVFMALLGVAAASGLTMLVLILVKAINVLLPADTKFGIVFDAGSSHTSLFVYQ WPANKEKDTGVVSQALTCQIEGPGISSYTSDPTQAGESLKSCLEEALALIPQAQHPETPT FLGSTAGMRLLSQKNSSQARDILAAVSQTLSKSPVDFWGAKILAGQDEGAFGWITINYVL GMLLKYSSGQWILPEEGMLVGALDLGGASTQISFVPQGPILDQSTQVTFRLYGANYSVYT HSYLCFGRDQILNRLLAKLAQDRLSSQVAPVRHPCYHSGYQAILPLSSLYDSPCIHTTDS LNHTQNLTVEGTGDPGNCVVALRSLFNFSSCKGQKDCAFNGIYQPPVHGQFYAFSNFYYT FHFLNLTSRQSLNTVNDTVWKFCQKPWKLVEVSYPGQERWLRDYCASGLYILVLLLEGYK FSEETWPNIQFQKQAGDTDIGWTLGFMLNLTGMIPAEAPTHWRAQSYSIWTAGVVFAVLT LVAILGAAAIQIFWTQD >ENSMUSP00000110022.2 pep:known chromosome:GRCm38:2:25080325:25085716:1 gene:ENSMUSG00000036813.11 transcript:ENSMUST00000114380.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd8 description:ectonucleoside triphosphate diphosphohydrolase 8 [Source:MGI Symbol;Acc:MGI:1919340] MGLSWKERVFMALLGVAAASGLTMLVLILVKAINVLLPADTKFGIVFDAGSSHTSLFVYQ WPANKEKDTGVVSQALTCQIEGPGISSYTSDPTQAGESLKSCLEEALALIPQAQHPETPT FLGSTAGMRLLSQKNSSQARDILAAVSQTLSKSPVDFWGAKILAGQDEGAFGWITINYVL GMLLKYSSGQWILPEEGMLVGALDLGGASTQISFVPQGPILDQSTQVTFRLYGANYSVYT HSYLCFGRDQILNRLLAKLAQDRLSSQVAPVRHPCYHSGYQAILPLSSLYDSPCIHTTDS LNHTQNLTVEGTGDPGNCVVALRSLFNFSSCKGQKDCAFNGIYQPPVHGQFYAFSNFYYT FHFLNLTSRQSLNTVNDTVWKFCQKPWKLVEVSYPGQERWLRDYCASGLYILVLLLEGYK FSEETWPNIQFQKQAGDTDIGWTLGFMLNLTGMIPAEAPTHWRAQSYSIWTAGVVFAVLT LVAILGAAAIQIFWTQD >ENSMUSP00000110017.1 pep:known chromosome:GRCm38:2:25081818:25085716:1 gene:ENSMUSG00000036813.11 transcript:ENSMUST00000114376.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Entpd8 description:ectonucleoside triphosphate diphosphohydrolase 8 [Source:MGI Symbol;Acc:MGI:1919340] MGLSWKERVFMALLGVAAASGLTMLVLILVKAINVLLPADTKFGIVFDAGSSHTSLFVYQ WPANKEKDTGVVSQALTCQIEGPGISSYTSDPTQAGESLKSCLEEALALIPQAQHPETPT FLGSTAGMRLLSQKNSSQARDILAAVSQTLSKSPVDFWGAKILAGQDEGAFGWITINYVL GMLLKYSSGQWILPEEGMLVGALDLGGASTQISFVPQGPILDQSTQVTFRLYGANYSVYT HSYLCFGRDQILNRLLAKLAQDSQVAPVRHPCYHSGYQAILPLSSLYDSPCIHTTDSLNH TQNLTVEGTGDPGNCVVALRSLFNFSSCKGQKDCAFNGIYQPPVHGQFYVEVSYPGQERW LRDYCASGLYILVLLLEGYKFSEETWPNIQFQKQAGDTDIGWTLGFMLNLTGMIPAEAPT HWRAQSYSIWTAGVVFAVLTLVAILGAAAIQIFWTQD >ENSMUSP00000142316.1 pep:known chromosome:GRCm38:2:25082033:25083734:1 gene:ENSMUSG00000036813.11 transcript:ENSMUST00000150625.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Entpd8 description:ectonucleoside triphosphate diphosphohydrolase 8 [Source:MGI Symbol;Acc:MGI:1919340] FGIVFDAGSSHTSLFVYQWPANKEKDTGVVSQALTCQIEGWGKPEELPGGGAGVDPTGPA SRDAHILGVHSRNEAAQPEEQLSGKRHPSCSLPDTKQVSCGFLGC >ENSMUSP00000114699.1 pep:known chromosome:GRCm38:4:53713998:53765785:1 gene:ENSMUSG00000028414.16 transcript:ENSMUST00000128667.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fktn description:fukutin [Source:MGI Symbol;Acc:MGI:2179507] MSRINKNVVLALLTLTSSAFLLFQLYYYKHYLSARNGPGSSKSKGNRVGFDSTQWRAVKK FIMLTSSQNVPVFLIDPWILESINKNFEQVKNASQGPASECRFFCVPRDFTAFALQYHLW KNEDGWFRIAENMGFQCLKTESKDPRLDGIDSLSGTEIPLHYVCKLTTHAIHLVVFHERS GNYLWHGHLRLKGHMDRKFVPFRKLQFGRYPGAFDRPELQQVTVDGLDMLIPKDPGRFLE EVPHSRFIECRYKEARAFLQQYIDDNTVDAMVFRKRAKELLQLAAKTLKDLGVPFWLSSG TCLGWYRQCGIIPYSKDVDLGIFIQDYKPDIILAFQEAGLPLKHKFGKVEDSLELSFQGK NDVKLDIFFFYEEADHLWNGGTQARTGKKFKYLFPKFTLCWTEFVDIKVHVPCETVDYIE ANYGKTWKIPIKTWDWKSSPPNVQPNGIWPISEWDEVIQLY >ENSMUSP00000138774.1 pep:known chromosome:GRCm38:4:53714163:53762787:1 gene:ENSMUSG00000028414.16 transcript:ENSMUST00000107638.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fktn description:fukutin [Source:MGI Symbol;Acc:MGI:2179507] MSRINKNVVLALLTLTSSAFLLFQLYYYKHYLSARISPHHALCPGRLTSMDFIS >ENSMUSP00000061489.8 pep:known chromosome:GRCm38:4:53720005:53763271:1 gene:ENSMUSG00000028414.16 transcript:ENSMUST00000061771.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fktn description:fukutin [Source:MGI Symbol;Acc:MGI:2179507] MSRINKNVVLALLTLTSSAFLLFQLYYYKHYLSARNGPGSSKSKGNRVGFDSTQWRAVKK FIMLTSSQNVPVFLIDPWILESINKNFEQVKNASQGPASECRFFCVPRDFTAFALQYHLW KNEDGWFRIAENMGFQCLKTESKDPRLDGIDSLSGTEIPLHYVCKLTTHAIHLVVFHERS GNYLWHGHLRLKGHMDRKFVPFRKLQFGRYPGAFDRPELQQVTVDGLDMLIPKDPGRFLE EVPHSRFIECRYKEARAFLQQYIDDNTVDAMVFRKRAKELLQLAAKTLKDLGVPFWLSSG TCLGWYRQCGIIPYSKDVDLGIFIQDYKPDIILAFQEAGLPLKHKFGKVEDSLELSFQGK NDVKLDIFFFYEEADHLWNGGTQARTGKKFKYLFPKFTLCWTEFVDIKVHVPCETVDYIE ANYGKTWKIPIKTWDWKSSPPNVQPNGIWPISEWDEVIQLY >ENSMUSP00000125239.1 pep:known chromosome:GRCm38:14:31139013:31139848:-1 gene:ENSMUSG00000042286.13 transcript:ENSMUST00000160024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stab1 description:stabilin 1 [Source:MGI Symbol;Acc:MGI:2178742] APGAVVVSHVIVWDIMAFNGIIHALASPLLMPPQTAEDNADDDFSPWQEGTSPTLVSVPN PVFGSSDIFCEPFDDSVLEEDFPDTQRVLKVK >ENSMUSP00000046199.7 pep:known chromosome:GRCm38:14:31139013:31168641:-1 gene:ENSMUSG00000042286.13 transcript:ENSMUST00000036618.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stab1 description:stabilin 1 [Source:MGI Symbol;Acc:MGI:2178742] MAEPRTLLLLCVLVLCLSDSSFIRGQTVRSKRCDIHTKFVTHTPCTACAAIRRQLCPWGW SRNFPEKILLDCRYELQLRGAAISLSGCSQECWKDVVQKACCPGYWGSQCFECPGGPATP CSGHGTCLDGIEGNGTCVCQENFSGSVCQECRDPNRFGPDCQSVCNCVHGVCSHGPRGDG SCRCFAGYTGPHCDQELPVCQSLKCPQNSQCSAEAPTCKCLPGYTQQDNVCLAPDPCQPS ACSPLARCSVTPQGQAQCQCPENYHGDGKVCLPRDPCLTNFGGCPSNSTFCLYRGPGKAT CMCRPGMTSINNNASEGCHVSCKPHSCDRSATCQVTPDRKTSCVCKNDEVGDGHACYGHL LHEVRRANQNGLVFLRLRAAIAMLEQGCQEILTTSGPFTVLVPSMFSVSSVSSNMNATLA QQLCRQHVIAGEHMLENAGPPSTRRWWTLAGQEVTITFKNMRYAYKYEDQPQQFSIHKAN YIAANGVFHTVTALRWQLPPPLPGDSKKTVGQILASTEVFTRFETILENCGLPSILDGPG PFTVFAPSNEAVDSLRDGRLIYLFTAGLSKLQELVRYHIYNHGQLTVEKLISKGRVLTMA NQVLTVNISEEGRILLGPEGIPVRRVDVPAANGVIHMLEGILLPPTILPILPKHCDEEQH QTVLGSCVDCQALNTSVCPPNSVKMDIFPKECVYIHDPNGLNVLKKGCADYCNQTITKRG CCKGFFGPDCTQCPGGFSNPCYGKGNCSDGVRGNGACLCFPDYKGIACHICSDPKKHGEQ CQEDCGCVHGLCDNRPGSGGVCQQGTCAPGFQGRFCNESMGNCGSTGLAQPCHSDAHCVI QEGVARCVCHDGFEGNGFSCKRSNPCSRPDRGGCSENAECVPGDLGTHHCICHKGWSGDG RICVAIDECGLDTRGGCHADALCSYVGPGQSRCTCKLGFAGNGYECSPIDPCRVGNGGCH GLATCKAVGGGQRVCTCPPHFGGDGFSCYGDIIQELEANAHFSAFSQWFKNSSITLPADS RVTALVPSESAIRRLSLEDQAFWLQPKMLPELARAHFLQGAFSEEELARLNGQQVATLSA TTRWQIHNISGKVWVQNATVDVPDLLATNGILHIVSQVLLPPRGDMQTGPGLLQQLDSVP AFRLFGEQLKHHKLVAQIEAAKAYTIFVPTNHSLETQGNNSVLGIDTVRHHVILGEALSV EVLRKGGHRNSLLGPAHWLVFYNHSGQPEVNHMPLEGPLLEAPGSSLFGLSGILAVGSSR CLHSHAEALREKCINCTRKFRCTQGFQLQDTPRKSCVYRSGLSFSRGCSYTCAKKIQVPD CCPGFFGTLCEPCPGGLGGVCSGHGQCQDRFLGNGECRCQEGFHGTACEMCELGRYGPTC SGVCDCDHGLCQEGLRGNGSCVCHAGWQGLRCDQKITDHQCPKKCDPNANCIQDSAGIPA CVCAAGYSGNGSYCSEVDPCASGHGGCSPYANCTKVAPGQRTCTCQDGYTGDGELCQEIN SCLVHNGGCHVHAECIPTGPQQVSCSCREGYSGDGIQTCKLLDPCSQNNGGCSPYAVCKS TGDGQRTCSCDATHTVGDGITCHGRVGLELLRNKYASFFSLHLLEYKELKGDGPFTVFVP HADLISNMSQDELARIRAHRQLVFRYHVVGCRKLWSQEMLDQGYITTLSGHTLRVSEREG SIYLNDFARVVSSDLEVVNGVLHFIDHVLLPPDVLHWESGAIPIPQRNVTAAAESFGYKI FSRLLTVAGLLPMLQDASHRPFTMLWPTDSALQALPPDRKNWLFHEDHRDKLAAILRGHM IRNIEALASDLPNLGQLRTMHGNTISFSCGLTRPGELIVGEDEAHIVQRHLTFEGGLAYG IDQLLEPPDLGARCDRFEPQPLQMKTCSICGLEPPCPRGSREQGSPETCWRHYSKFWTTP LHSISMRGAYWIPSSFWNRNHMSRGCHRNCVTTVWKPSCCPGHYGINCHACPGGPRSPCS DHGVCLDGIRGSGQCNCHPGFAGTACELCAPGAFGPQCQACRCTQHGRCDEGLGGSGSCF CDEGWTGARCEVQLELQPVCTPPCAPQAVCRLGNSCECSLGYEGDGRVCTVADLCQKGHG GCSKHANCSQVGTVVTCTCLPDYEGDGWSCRARDPCLDGHRGGCSEHADCLNTGPNTRRC ECHVGYVGDGLQCLEELEPPVDRCLGGSSPCHTDALCTDLHFQEKQAGVFHIQATSGPYG LTFSEAKEACEGQGAVLASLPQLSAAQQLGFHVCFVGWLANGSAAHPVVTPAADCGNNRV GVVSLGVRKNLSELWDAYCYRVQDVACQCRAGFVGDGISTCNGKLLDVLAATANFSTFYG MLLGYANATQRGLEFMDFLEDELTYKTLFVPVNKGFVDNMTLSGPDLELHASNATFLSIN ASRGTLLPAHSGLSLFISDTGPDNTSLVPLAPGAVVVSHVIVWDIMAFNGIIHALASPLL MPPQTRAVLGSEPPPVALSLGVVVTSGTLLGLVAGALYLRARGKPPGFSFSAFQAEDNAD DDFSPWQEGTSPTLVSVPNPVFGSSDIFCEPFDDSVLEEDFPDTQRVLKVK >ENSMUSP00000125542.1 pep:known chromosome:GRCm38:14:31141633:31143192:-1 gene:ENSMUSG00000042286.13 transcript:ENSMUST00000159249.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stab1 description:stabilin 1 [Source:MGI Symbol;Acc:MGI:2178742] GIDQLLEPPDLGARCDRFEPQPLQMTCSICGLEPPCPRGSREQGSPETCWRHYSKFWTTP LHSISMRGAYWIPSSFWNRNHMSRGCHRNCVTTVWKPSCCPGHYGINCHACPGGPRSPCS DHGVCLDGIRGSGQCNCHPGFAGTACELCAPGAFGPQCQACRCTQHGRCDEGLGGSGSCF CDEGWTGARCEVQLELQ >ENSMUSP00000023776.6 pep:known chromosome:GRCm38:15:100761747:100823968:1 gene:ENSMUSG00000023032.12 transcript:ENSMUST00000023776.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a8 description:solute carrier family 4 (anion exchanger), member 8 [Source:MGI Symbol;Acc:MGI:1928745] MPAGSNEPDGVLSYQRPDEEAVVDQGGTSTILNIHYEKEELEGHRTLYVGVRMPLGRQSH RHHRTHGQKHRRRGGRGKGASQGEEGLEALAHDTPSQRVQFILGTEEDEEHVPHELFTEL DEICMKEGEDAEWKETARWLKFEEDVEDGGERWSKPYVATLSLHSLFELRSCLINGSVLL DMRASSIEEISDLILDQQELLRDLSDSVRVKVREALLKKHHHQNERRRNNLIPIVRSFAE VGKKQSDPHSMDRDGQTVSPQSATNLEVKNGVNCEHSPVDLSKVDLHFMKKIPTGAEASN VLVGEVDTLDRPIVAFVRLSPAVLLSGLTEVPIPTRFLFILLGPVGKGQQYHEIGRSMAT IMTDEIFHDVAYKAKERDDLLAGIDEFLDQVTVLPPGEWDPSIRIEPPKNVPSQEKRKMP GVPNGNVCHIEPEPHGGHSGPELERTGRLFGGLVLDVKRKAPWYWSDYRDALSLQCLASF LFLYCACMSPVITFGGLLGEATEGRISAIESLFGASMTGIAYSLFAGQPLTILGSTGPVL VFEKILFKFCKDYALSYLSLRALIGLWTAFLCIVLVATDASSLVCYITRFTEEAFASLIC IIFIYEAIEKLIHLAETYPIHMHSQLDHLSLYYCRCVLPENPNNHTLQYWKDHNILAAEV NWANLTVSECQEMHGEFMGSACGHHGPYTPDVLFWSCILFFATFIVSSTLKTFKTSRYFP TRVRSMVSDFAVFLTIFTMVVLDFLIGVPSPKLQVPNVFKPTRDDRGWFINPIGPNPWWT VIAAIIPALLCTILIFMDQQITAVIINRKEHKLKKGCGYHLDLLMVAVMLGVCSIMGLPW FVAATVLSITHVNSLKLESECSAPGEQPKFLGIREQRVTGLMIFVLMGCSVFMTAVLKFI PMPVLYGVFLYMGVSSLQGIQFFDRLKLFGMPAKHQPDFIYLRHVPLRKVHLFTLVQLTC LVLLWVIKASPAAIVFPMMVLALVFVRKVMDLCFSKRELSWLDDLMPESKKKKLDDAKKK EEEEAEKMLDIGGDKFPLESRKLLSSPGKSSSFRCDPSEINISDEMPKTTVWKALSINSG NTKEKSPFN >ENSMUSP00000123864.1 pep:known chromosome:GRCm38:15:100761869:100775084:1 gene:ENSMUSG00000023032.12 transcript:ENSMUST00000161564.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc4a8 description:solute carrier family 4 (anion exchanger), member 8 [Source:MGI Symbol;Acc:MGI:1928745] MPAGSNEPDGVLSYQRPDEEAVVDQGGTSTILNIHYEKEELEASSSLVLKRKKAEVMNPT RPVSSSET >ENSMUSP00000125090.1 pep:known chromosome:GRCm38:15:100781254:100815935:1 gene:ENSMUSG00000023032.12 transcript:ENSMUST00000162049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc4a8 description:solute carrier family 4 (anion exchanger), member 8 [Source:MGI Symbol;Acc:MGI:1928745] MPLGRQSHRHHRTHGQKHRRRGGRGKGASQGEEGLEALAHDTPSQRVQFILGTEEDEEHV PHELFTELDEICMKEGEDAEWKETARWLKFEEDVEDGGERWSKPYVATLSLHSLFELRSC LINGSVLLDMRASSIEEISDLILDQQELLRDLSDSVRVKVREALLKKHHHQNERRRNNLI PIVRSFAEVGKKQSDPHSMDRDGQTVSPQSATNLEVKNGVNCEHSPVDLSKVDLHFMKKI PTGAEASNVLVGEVDTLDRPIVAFVRLSPAVLLSGLTEVPIPTRFLFILLGPVGKGQQYH EIGRSMATIMTDEIFHDVAYKAKERDDLLAGIDEFLDQVTVLPPGEWDPSIRIEPPKNVP SQEKRKMPGVPNGNVCHIEPEPHGGHSGPELERTGRLFGGLVLDVKRKAPWYWSDYRDAL SLQCLASFLFLYCACMSPVITFGGLLGEATEGRISAIESLFGASMTGIAYSLFAGQPLTI LGSTGPVLVFEKILFKFCKDYALSYLSLRALIGLWTAFLCIVLVATDASSLVCYITRFTE EAFASLICIIFIYEAIEKLIHLAETYPIHMHSQLDHLSLYYCRCVLPENPNNHTLQYWKD HNILAAEVNWANLTVSECQEMHGEFMGSACGHHGPYTPDVLFWSCILFFATFIVSSTLKT FKTSRYFPTRVRSMVSDFAVFLTIFTMVVLDFLIGVPSPKLQVPNVFKPTRDDRGWFINP IGPNPWWTVIAAIIPALLCTILIFMDQQITAVIINRKEHKLKKGCGYHLDLLMVAVMLGV CSIMGLPWFVAATVLSITHVNSLKLESECSAPGEQPKFLGIREQRVTGLMIFVLMGCSVF MTAVLKFIPMPVLYGVFLYMGVSSLQGIQFFDRLKLFGMPAKHQPDFIYLRHVPLRKVHL FTLVQLTCLVLLWVIKASPAAIVFPMMVLALVFVRKVMDLCFSKRELSWLDDLMPESKKK KLDDAKKKEEEEAEKMLDIGGDKFPLESRKLLSSPGKSSSFRCDPSEINISDEMPKTTVW KALSINSGNTKEKSPFN >ENSMUSP00000085170.5 pep:known chromosome:GRCm38:5:33378696:33419754:1 gene:ENSMUSG00000037355.14 transcript:ENSMUST00000087864.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uvssa description:UV stimulated scaffold protein A [Source:MGI Symbol;Acc:MGI:1918351] MDQKLSQLIEELTTSGESQLNAQKMKELKKICKSSEEQLSHAYRLLITQLTQGHAEIRLS AFQIVDELFTRSHQFRMLLVSDFQEFLELTLGTDSDRPLPPPREAAQRLRQAAMQAVEGW NEKFGQAYKKLALGYHFLKHTKKVDFRDINVRTVAERKREEEKQKHLDKIHRESADRAKR EMEEMYDEIECCLTEVENCFKLLVPLDFVPCPEDKFFGEASSMTEGYAPCPLSPDLATPR ESGLSGPQDEEQPCCSKDLVASAYHVGSVVGLKALPQTAMKDSSRDEDEPSDPDDFLRSH GLGSHKYTLDVEVPSDGLKVQENEDNLAVLHAARDSLKLIQNKFLPTVCSWVQRFTRAGT YSAHLKQAIDLKMELELALKKYEELNIEPGRGQRSRTEALEDSEDEDQDFVEVPEKEGYE PRIPDHLRAEYGLEPKAPLKTLEKGTAVCKLQERTRMRREEEASDPTSAAAQMLRLQDCL SSPSPSSTRVLPGPEEAQKQAERARAPIVPFGVDLCYWGQEQLTAGKILKSDSQHRFWKP SEVEEEVDSAHVSEMLHSRHITFSGTFEPVQHKCRALRPNGRLCERQDRLKCPFHGKIIP RDDKGQPLNPEDRAREQRQQLQRQQAHPDWQDPEFLKDVEAATGVDLGSSRSSKKGKGKK KKHPNLTDLRERTNTARARLEKKVFAKAAVQRVVAAMNQMDQKKHEKFANQFNYALK >ENSMUSP00000144025.1 pep:known chromosome:GRCm38:5:33378696:33419754:1 gene:ENSMUSG00000037355.14 transcript:ENSMUST00000202046.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uvssa description:UV stimulated scaffold protein A [Source:MGI Symbol;Acc:MGI:1918351] MDQKLSQLIEELTTSGESQLNAQKMKELKKICKSSEEQLSHAYRLLITQLTQGHAEIRLS AFQIVDELFTRSHQFRMLLVSDFQEFLELTLGTDSDRPLPPPREAAQRLRQAAMQAVEGW NEKFGQAYKKLALGYHFLKHTKKVDFRDINVRTVAERKREEEKQKHLDKIHRESADRAKR EMEEMYDEIECCLTEVENCFKLLVPLDFVPCPEDKFFGEASSMTEGYAPCPLSPDLATPR ESGLSGPQDEEQPCCSKDLVASAYHVGSVVGLKALPQTAMKDSSRDEDEPSDPDDFLRSH GLGSHKYTLDVEVPSDGLKVQENEDNLAVLHAARDSLKLIQNKFLPTVCSWVQRFTRAGT YSAHLKQAIDLKMELELALKKYEELNIEPGRGQRSRTEALEDSEDEDQDFVEVPEKEGYE PRIPDHLRAEYGLEPKAPLKTLEKGTAVCKLQERTRMRREEEASDPTSAAAQMLRLQDCL SSPSPSSTRVLPGPEEAQKQAERARAPIVPFGVDLCYWGQEQLTAGKILKDV >ENSMUSP00000144400.1 pep:known chromosome:GRCm38:5:33378772:33419754:1 gene:ENSMUSG00000037355.14 transcript:ENSMUST00000202816.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uvssa description:UV stimulated scaffold protein A [Source:MGI Symbol;Acc:MGI:1918351] MDQKLSQLIEELTTSGESQLNAQKMKELKKICKSSEEQLSHAYRLLITQLTQGHAEIRLS AFQIVDELFTRSHQFRMLLVSDFQEFLELTLGTDSDRPLPPPREAAQRLRQAAMQAVEGW NEKFGQAYKKLALGYHFLKHTKKVDFRDINVRTVAERKREEEKQKHLDKIHRESADRAKR EMEEMYDEIECCLTEVENCFKLLVPLDFVPCPEDKFFGEASSMTEGYAPCPLSPDLATPR ESGLSGPQDEEQPCCSKDLVASAYHVGSVVGLKALPQTAMKDSSRDEDEPSDPDDFLRSH GLGSHKYTLDVEVPSDGLKVQENEDNLAVLHAARDSLKLIQNKFLPTVCSWVQRFTRAGT YSAHLKQAIDLKMELELALKKYEELNIEPGRGQRSRTEALEDSEDEDQDFVEVPEKEGYE PRIPDHLRAEYGLEPKAPLKTLEKGTAVCKLQERTRMRREEEASDPTSAAAQMLRLQDCL SSPSPSSTRVLPGPEEAQKQAERARAPIVPFGVDLCYWGQEQLTAGKILKSDSQHRFWKP SEVEEEVDSAHVSEMLHSRHITFSGTFEPVQHKCRALRPNGRLCERQDRLKCPFHGKIIP RDDKGQPLNPEDRAREQRQQLQRQQAHPDWQDPEFLKDVEAATGVDLGSSRSSKKGKGKK KKHPNLTDLRERTNTARARLEKKVFAKAAVQRVVAAMNQMDQKKHEKFANQFNYALK >ENSMUSP00000075655.6 pep:known chromosome:GRCm38:2:53134704:53191225:-1 gene:ENSMUSG00000061136.13 transcript:ENSMUST00000076313.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf40a description:PRP40 pre-mRNA processing factor 40 homolog A (yeast) [Source:MGI Symbol;Acc:MGI:1860512] MRPGTGAERGGLMVSEMESQPPSRGPGDGERRLSGSNLCSSSWVSADGFLRRRPSMGHPG MHYAPMGMHPMGQRANMPPVPHGMMPQMMPPMGGPPMGQMPGMMSSVMSGMMMSHMSQAS MQPALPPGVNSMDVAAGAASGAKSMWTEHKSPDGRTYYYNTETKQSTWEKPDDLKTPAEQ LLSKCPWKEYKSDSGKPYYYNSQTKESRWAKPKELEDLEGYQNTIVAGGLITKSNLHAMI KAEESSKQEECTTASTAPVPTTEIPTTMSTMAAAEAAAAVVAAAAAAAAAANANTSTTPT NTVGSVPVAPEPEVTSIVATAVDNENTVTVSTEEQAQLANTTAIQDLSGDISSNTGEEPA KQETVSDFTPKKEEEESQPAKKTYTWNTKEEAKQAFKELLKEKRVPSNASWEQAMKMIIN DPRYSALAKLSEKKQAFNAYKVQTEKEEKEEARSKYKEAKESFQRFLENHEKMTSTTRYK KAEQMFGEMEVWNAISERDRLEIYEDVLFFLSKKEKEQAKQLRKRNWEALKNILDNMANV TYSTTWSEAQQYLMDNPTFAEDEELQNMDKEDALICFEEHIRALEKEEEEEKQKTLLRER RRQRKNRESFQIFLDELHEHGQLHSMSSWMELYPTISSDIRFTNMLGQPGSTALDLFKFY VEDLKARYHDEKKIIKDILKDKGFVVEVNTTFEDFVAIISSTKRSTTLDAGNIKLAFNSL LEKAEAREREREKEEARKMKRKESAFKSMLKQATPPIELDAVWEDIRERFVKEPAFEDIT LESERKRIFKDFMHVLEHECQHHHSKNKKHSKKSKKHHRKRSRSRSGSESDDDDSHSKKK RQRSESHSASERSSSAESERSYKKSKKHKKKSKKRRHKSDSPESDTEREKDKKEKDRDSE KDRSRQRSESKHKSPKKKTGKDSGNWDTSGSELSEGELEKRRRTLLEQLDDDQ >ENSMUSP00000117406.1 pep:known chromosome:GRCm38:2:53153044:53191284:-1 gene:ENSMUSG00000061136.13 transcript:ENSMUST00000125243.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf40a description:PRP40 pre-mRNA processing factor 40 homolog A (yeast) [Source:MGI Symbol;Acc:MGI:1860512] MRPGTGAERGGLMMGHPGMHYAPMGMHPMGQRANMPPVPHGMMPQMMPPMGGPPMGQMPG MMSSVMSGMMMSHMSQASMQPALPPGVNSMDVAAGAASGAKSMWTEHKSPDGRTYYYNTE TKQSTWEKPDDLKTPAEQLLSKCPWKEYKSDSGKPYYYNSQTKESRWAKPKELEDLEGYQ NTIVAGGLITKSNLHAMIKAEESSKQEECTTASTAPVPTTEIPTTMSTMAAAEAAAAVVA AAAAAAAAANANTSTTPTNTVGSVPVAPEPEVTSIVATAVDNENTVTVSTEEQAQLANTT AIQDLSGDISSNTGEEPAKQETVSDFTPKKEEEESQPAKKTYTWNTKEEAKQAFKELLKE KRVPSNASWEQAMKMIINDPRYSALAKLSEKKQAFNAYKVQTEKEEKEE >ENSMUSP00000120802.2 pep:known chromosome:GRCm38:3:30679058:30699830:-1 gene:ENSMUSG00000074653.10 transcript:ENSMUST00000126658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc31 description:leucine rich repeat containing 31 [Source:MGI Symbol;Acc:MGI:2443864] MACIELPKVKPGRDKPGVMPLDLEMDLKSNMEDSDWFLRKLCGRAADTGLDLNSYGLTAV DVKETDLEELDVSWNDFARGALHMLTQQMHPVNKLKVLRLSSCRLTTEDVQTLGGALEMT PELEELSLSWNSQVGGKLPRVLHTFQQGSKIRTLELVDCALTSQDGVFVGHLLPKLQSLQ VFDLSNNRNIGSCLEVIAQGLRSASGLKELKLRSCGLSQKSIRLLDGVFASLDVLRILDL SCNKELGGGFEDVPAQLASLKHLEVLDLHQCSLTAGDVTSLTQIIPLLSNLEELDLSSNR DVGGSSENLLCRLRFLPALKSLLINSCALQSEAFAALADASVYLPALEILNLSWNKCVGG NLELLQQTLHLSRLLRVLRLSSCSLVTEDVVLLASVIQSGHLATLQKLDLSYNDGICDAG WAILCQNLCFLKELTELDISLRPSSSQDCGQWFSHLLCAVTQLPVITEIEMRRWVIPALQ ERELDCFTQDHQREIRFDHGGF >ENSMUSP00000103898.1 pep:known chromosome:GRCm38:3:30682680:30699843:-1 gene:ENSMUSG00000074653.10 transcript:ENSMUST00000108263.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc31 description:leucine rich repeat containing 31 [Source:MGI Symbol;Acc:MGI:2443864] MACIELPKVKPGRDKPGVMPLDLEMDLKSNMEDSDWFLRKLCGRAADTGLDLNSYGLTAV DVKETDLEELDVSWNDFARGALHMLTQQMHPVNKLKVLRLSSCRLTTEDVQTLGGALEMT PELEELSLSWNSQVGGKLPRVLHTFQQGSKIRTLELVDCALTSQDGVFVGHLLPKLQSLQ VFDLSNNRNIGSCLEVIAQGLRSASGLKELKLRSCGLSQKSIRLLDGVFASLDVLRILDL SCNKELGGGFEDVPAQLASLKHLEVLDLHQCSLTAGDVTSLTQIIPLLSNLEELDLSSNR DVGGSSENLLCRLRFLPALKSLLINSCALQSEAFAALGKRASQLPADTAQW >ENSMUSP00000144181.1 pep:known chromosome:GRCm38:8:69481346:69522976:-1 gene:ENSMUSG00000106824.1 transcript:ENSMUST00000201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7697 description:predicted gene 7697 [Source:MGI Symbol;Acc:MGI:3649141] MEEEINYGAQTMNIPRRRRWYTRLWHSCLGLRCMHPQKKKKYPLYLIGYDPIGPLQRAAS VGDLDTTEKLIHSSQHHVDESDRRKRTSLHYACAHNHPDVVTLLLENNSSINIRDDEGCT PLIKATQRDNVDCASVLLTHNADPNLIDFSGNTALHHAISRGNLRIVKMLLEHNVDIEAK TEYGLTPLQLATFEQKPEMVEFLAAKCAKSSVTPSWSPSPTVSPCPSSTSPLSSLGLHVC PNPGTSSLSEDRKSSSARRIKLSTGSSAQRTEVKHVRFNEEVLYFKEERPLSCEARPPGQ LKSILKNTVQYNTVNEKITRRTSLWCSKGEDVRYTSCIKVKCFSVKSSFL >ENSMUSP00000024817.7 pep:known chromosome:GRCm38:17:29614790:29641664:1 gene:ENSMUSG00000090083.10 transcript:ENSMUST00000024817.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf8 description:ring finger protein 8 [Source:MGI Symbol;Acc:MGI:1929069] MGEPDPLVSGQLAARRSWCLRRLGMDCEWLQLEAGTEVTIGRGLSVTYQLISKVCPLMIS RSHCVLKQNPEGQWTIMDNKSLNGVWLNRERLAPLQGYCIRKGDHIQLGVPLESRETAEY EYEVIEEDWESLAPCLAPKNDQRMEKHKGSRTKRKFSSPGLENLPAEGSSDLRCPLANVA SKPIEPEKLHGKGDASSQSLGCLCPGLTSLKASERAAGPHACSALPKVLELSCPKKQKAC RPSASQNSLELFKVTMSRMLKLKTQMQEKQIAVLNVKRQTRKGSSKKIVRMEKELRNLQS QLYAEQAQQQARVEQLEKTFQEEAHYLQGLEKEQGECDLKQQLVQALQEHQALMEELNCS KKDFEKIIQAKNKELEQTKEEKDKVQAQKEEVLSHMNDLLENELQCIICSEYFIEAVTLN CAHSFCSFCINEWMKRKVECPICRKDIESRTNSLVLDNCISKMVDNLSSDVKERRSVLIR ERRAKRLS >ENSMUSP00000124566.1 pep:known chromosome:GRCm38:17:29614822:29627040:1 gene:ENSMUSG00000090083.10 transcript:ENSMUST00000162588.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf8 description:ring finger protein 8 [Source:MGI Symbol;Acc:MGI:1929069] MGEPDPLVSGQLAARRSWCLRRLGMDCEWLQLEAGTESLNGVWLNRERLAPLQGYCIRKG DHIQLGVPLESRETAEYEYEVIEEDWESLAPCLAPKNDQRMEKHKGSRTKRKFSSPGLEN LPAEGSSDLRCPLANVASKPIEPEKLHGKGDASSQSLGCLCPGLTSLKASERAAGPHACS ALPKVLELSCPKKQKACRPSASQNSLELFKVTMSR >ENSMUSP00000134697.1 pep:known chromosome:GRCm38:17:29614854:29641587:1 gene:ENSMUSG00000090083.10 transcript:ENSMUST00000172485.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf8 description:ring finger protein 8 [Source:MGI Symbol;Acc:MGI:1929069] MGEPDPLVSGQLAARRSWCLRRLGMDCEWLQLEAGTEVTIGRGLSVTYQLISKVCPLMIS RSHCVLKQNPEGQWTIMDNKGFPSEKAEGFAAARERFH >ENSMUSP00000133424.1 pep:known chromosome:GRCm38:17:29616136:29627073:1 gene:ENSMUSG00000090083.10 transcript:ENSMUST00000173449.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf8 description:ring finger protein 8 [Source:MGI Symbol;Acc:MGI:1929069] MISRSHCVLKQNPEGQWTIMDNKSLNGVWLNRERLAPLQGYCIRKGDHIQLGVPLESRET AEYEYEVIEEDWESLAPCLAPKNDQRMEKHKGSRTKRKFSSPGLENLPAEGSSDLRCPLA NVASKPIEPEKLHGKGDASSQSLGCLCPGLTSLKASERAAGPHACSALPKVLELSCPKKQ KACRPSASQNSLELFKVTMSRMLKLKTQMQEK >ENSMUSP00000110232.2 pep:known chromosome:GRCm38:X:71555918:71560676:1 gene:ENSMUSG00000015217.11 transcript:ENSMUST00000072699.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb3 description:high mobility group box 3 [Source:MGI Symbol;Acc:MGI:1098219] MAKGDPKKPKGKMSAYAFFVQTCREEHKKKNPEVPVNFAEFSKKCSERWKTMSSKEKSKF DEMAKADKVRYDREMKDYGPAKGGKKKKDPNAPKRPPSGFFLFCSEFRPKIKSTNPGISI GDVAKKLGEMWNNLSDNEKQPYVTKAAKLKEKYEKDVADYKSKGKFDGAKGPAKVARKKV EEEEEEEEEEEEEEEEEEDE >ENSMUSP00000015361.4 pep:known chromosome:GRCm38:X:71555941:71560676:1 gene:ENSMUSG00000015217.11 transcript:ENSMUST00000015361.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb3 description:high mobility group box 3 [Source:MGI Symbol;Acc:MGI:1098219] MAKGDPKKPKGKMSAYAFFVQTCREEHKKKNPEVPVNFAEFSKKCSERWKTMSSKEKSKF DEMAKADKVRYDREMKDYGPAKGGKKKKDPNAPKRPPSGFFLFCSEFRPKIKSTNPGISI GDVAKKLGEMWNNLSDNEKQPYVTKAAKLKEKYEKDVADYKSKGKFDGAKGPAKVARKKV EEEEEEEEEEEEEEEEEEDE >ENSMUSP00000110229.2 pep:known chromosome:GRCm38:X:71555928:71560676:1 gene:ENSMUSG00000015217.11 transcript:ENSMUST00000114582.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb3 description:high mobility group box 3 [Source:MGI Symbol;Acc:MGI:1098219] MAKGDPKKPKGKMSAYAFFVQTCREEHKKKNPEVPVNFAEFSKKCSERWKTMSSKEKSKF DEMAKADKVRYDREMKDYGPAKGGKKKKDPNAPKRPPSGFFLFCSEFRPKIKSTNPGISI GDVAKKLGEMWNNLSDNEKQPYVTKAAKLKEKYEKDVADYKSKGKFDGAKGPAKVARKKV EEEEEEEEEEEEEEEEEEDE >ENSMUSP00000086260.3 pep:known chromosome:GRCm38:X:71555990:71560676:1 gene:ENSMUSG00000015217.11 transcript:ENSMUST00000088874.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb3 description:high mobility group box 3 [Source:MGI Symbol;Acc:MGI:1098219] MAKGDPKKPKGKMSAYAFFVQTCREEHKKKNPEVPVNFAEFSKKCSERWKTMSSKEKSKF DEMAKADKVRYDREMKDYGPAKGGKKKKDPNAPKRPPSGFFLFCSEFRPKIKSTNPGISI GDVAKKLGEMWNNLSDNEKQPYVTKAAKLKEKYEKDVADYKSKGKFDGAKGPAKVARKKV EEEEEEEEEEEEEEEEEEDE >ENSMUSP00000116937.1 pep:known chromosome:GRCm38:X:71556946:71559273:1 gene:ENSMUSG00000015217.11 transcript:ENSMUST00000123100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hmgb3 description:high mobility group box 3 [Source:MGI Symbol;Acc:MGI:1098219] MEVRMAKGDPKKPKGKMSAYAFFVQTCREEHKKKNPEVPVNFAEFSKKCSERWKTMSSKE KSKFDEMAKADKVRYDREMKDYGPAKGGKKKKDPNAPKRPPSGFFLFCSEFRPKIKSTNP GISIGDVAKKLGEMWNNLSDNEKQPYVTKAAKLKEKYEK >ENSMUSP00000040271.5 pep:known chromosome:GRCm38:3:27317028:27339665:1 gene:ENSMUSG00000039304.11 transcript:ENSMUST00000046383.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf10 description:tumor necrosis factor (ligand) superfamily, member 10 [Source:MGI Symbol;Acc:MGI:107414] MPSSGALKDLSFSQHFRMMVICIVLLQVLLQAVSVAVTYMYFTNEMKQLQDNYSKIGLAC FSKTDEDFWDSTDGEILNRPCLQVKRQLYQLIEEVTLRTFQDTISTVPEKQLSTPPLPRG GRPQKVAAHITGITRRSNSALIPISKDGKTLGQKIESWESSRKGHSFLNHVLFRNGELVI EQEGLYYIYSQTYFRFQEAEDASKMVSKDKVRTKQLVQYIYKYTSYPDPIVLMKSARNSC WSRDAEYGLYSIYQGGLFELKKNDRIFVSVTNEHLMDLDQEASFFGAFLIN >ENSMUSP00000133917.1 pep:known chromosome:GRCm38:3:27317061:27342427:1 gene:ENSMUSG00000039304.11 transcript:ENSMUST00000174840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tnfsf10 description:tumor necrosis factor (ligand) superfamily, member 10 [Source:MGI Symbol;Acc:MGI:107414] MPSSGALKDLSFSQHFRMMVICIVLLQVLLQAVSVAVTYMYFTNEMKQLQDNYSKIGLAC FSKTDEDFWDSTDGEILNRPCLQVKRQLYQLIEEVTLRTFQDTISTVPEKQLSTPPLPRG GRPQKVAAHITGITRRSNSALIPISKDGKTLGQKIESWESSRKGHSFLNHVLFRNGELVI EQEGLYYIYSQTYFRFQEAEDASKMVSKDKVVFKTSKRLPTSRTSTLS >ENSMUSP00000071708.7 pep:known chromosome:GRCm38:7:41372923:41393260:-1 gene:ENSMUSG00000056383.10 transcript:ENSMUST00000071804.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI987944 description:expressed sequence AI987944 [Source:MGI Symbol;Acc:MGI:2142079] MNGMTYDDVHVNFTEEEWALLSPSQKRLYKDVMLETYKNLTAIGYNWEDHNSEHFQHSRR HGRHERSHTGEKPSVYTQCGKVFAYRSHPQNHDRMHTGEKSHEGIQHGKAFAHQSHLQRH ERIHTSKKPYECNQCGKAFAHRSYLRKHKRIHIEEKPDEWNQCGQGNLQIHKRTHSAQKP YECNQCGKAFRRHNSLQVHNRTHTGEKPYKCDQCDKAFTQQGHLRIHNRTHTGEKPYECN QCGKAFAVHSTLQIHKRTHTAETPYECNQCGKAFRRHNTLQIHKRTHTGEKPYKCDQCDK AFSQQGHLRIHKRTHTGEKPYKCSQCGKAFARHSHLLIHERIHTGEKPYRCNQCNKAFSQ YGGLQIHKSTHTAEKPYECNECGKTFSQHSYLRTHKRTHTREKPYK >ENSMUSP00000145565.1 pep:known chromosome:GRCm38:7:41372923:41393312:-1 gene:ENSMUSG00000056383.10 transcript:ENSMUST00000206801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI987944 description:expressed sequence AI987944 [Source:MGI Symbol;Acc:MGI:2142079] MNGMTYDDVHVNFTEEEWALLSPSQKRLYKDVMLETYKNLTAIGYNWEDHNSEHFQHSRR HGRHERSHTGEKPSVYTQCGKVFAYRSHPQNHDRMHTGEKSHEGIQHGKAFAHQSHLQRH ERIHTSKKPYECNQCGKAFAHRSYLRKHKRIHIEEKPDEWNQCGQEE >ENSMUSP00000145621.1 pep:known chromosome:GRCm38:7:41373790:41393379:-1 gene:ENSMUSG00000056383.10 transcript:ENSMUST00000205338.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI987944 description:expressed sequence AI987944 [Source:MGI Symbol;Acc:MGI:2142079] MTYDDVHVNFTEEEWALLSPSQKRLYKDVMLETYKNLTAIGYNWEDHNSEHFQHSRRHGR HERSHTGEKPSVYTQCGKVFAYRSHPQNHDRMHTGEKSHEGIQHGKAFAHQSHLQRHERI HTSKKPYECNQCGKAFAHRSYLRKHKRIHIEEKPDEWNQCGQGNLQIHKRTHSAQKPYEC NQCGKAFRRHNSLQVHNRTHTGEKPYKCDQCDKAFTQQGHLRIHNRTHTGEKPYECNQCG KAFAVHSTLQIHKRTHTAETPYECNQCGKAFRRHNTLQIHKRTHTGEKPYKCDQCDKAFS QQGHLRIHKRTHTGEKPYKCSQCGKAFARHSHLLIHERIHTGEKPYRCNQCNKAFSQYGG LQIHKSTHTAEKPYECNECGKTFSQHSYLRTHKRTHTREKPYK >ENSMUSP00000126800.1 pep:known chromosome:GRCm38:7:3154658:3169203:-1 gene:ENSMUSG00000054753.9 transcript:ENSMUST00000171749.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU018091 description:expressed sequence AU018091 [Source:MGI Symbol;Acc:MGI:2142124] MLWVLPPPTLKNFQLLCSTDLELCPRNTSSTPGFHPVSAEFGSLHQALKMLWQNLHQFGQ KLVRRRPLAANEQSESSLSRCLTTLDLVSLGVGSTLGAGVYVLAGEVAREKAGPSIIICF LIAALSSVMSGLCYAEFGARVPCSGSAYLYSYVTVGQLLAFITGWNLILNYVIGAASVAR AWSAAFDGLIGNHISQAMQTTFPIQVPSFLAKYPDFFALGLVIVLTGILALGARESALVT RVFTGVNLLVLCFVSLSGLINGKLHNWQLTEDDYKLALLESNNTDSLGPMGSGGFMPFGL KGILRGTATCFFAFIGFDCIASTGEEARCPQRSIPLGIVTSLFICFLMYFGVSGALTLMI PYYQININSPLPQAFIHVGWGPARYAVAVGTLCALSSSLIGSIFPVPRVVYSMAEDGLLF RKLAYVHPRTHTPVLATVLCGIIAAFMAFLVELSDLVDLSSIGTLLAYTLVTFSVLILRY QPDQVLSSCKREKSESAAVELEPGLEPSSCMEPISPEGMPGIARTLCIPTNTTPTLRSGR IVYGCASLLVFLLMLLCLILTQWSTQLFSGDPVLIAMAASLLLLTVGVITVIWRQPQSTT PLHFKVPALPVLPALSIFVNIYLMMQMTSGTWFRFGIWMVIGFAIYFGYGIQHSLEEKND QQPTASSSQTLQELQEHTPSVDLP >ENSMUSP00000144796.1 pep:known chromosome:GRCm38:7:3162319:3169105:-1 gene:ENSMUSG00000054753.9 transcript:ENSMUST00000203937.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AU018091 description:expressed sequence AU018091 [Source:MGI Symbol;Acc:MGI:2142124] TLKNFQLLCSTDLELCPRNTSSTPGFHPVSEFGSLHQALKMLWQNLHQFGQKLVRRRPLA ANEQSESSLSRCLTTLDLVSLGVGSTLGAGVYVLAGEVAREKAGPSIIICFLIAALSSVM SGLCYAEFGARVPCSGSAYLYSYVTVGQLLAFITGWNLILNYVIGAASVARAWSAAFD >ENSMUSP00000132603.1 pep:known chromosome:GRCm38:16:70313949:70569716:1 gene:ENSMUSG00000022707.16 transcript:ENSMUST00000163832.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbe1 description:glucan (1,4-alpha-), branching enzyme 1 [Source:MGI Symbol;Acc:MGI:1921435] MAAPAAPAAGETGPDARLEAALADVPELARLLEIDPYLKPFAADFQRRYKKFSQVLHDIG ENEGGIDKFSRGYESFGIHRCSDGGIYCKEWAPGAEGVFLTGEFSGWNPFSHPYKKLEYG KWELYIPPKQNKSPLIPHGSKLKVVITSKSGEILYRISPWAKYVVRENNNVNYDWIHWAP EDPYKFKHSRPKKPRSLRIYESHVGISSHEGKIASYKHFTSNVLPRIKDLGYNCIQLMAI MEHAYYASFGYQITSFFAASSRYGTPEELKELVDTAHSMGIVVLLDVVHSHASKNSEDGL NMFDGTDSCYFHSGPRGTHDLWDSRLFIYSSWEVLRFLLSNIRWWLEEYCFDGFRFDGVT SMLYHHHGMGQGFSGDYNEYFGLQVDEDALIYLMLANHLAHTLYPDSITIAEDVSGMPAL CSPTSQGGGGFDYRLAMAIPDKWIQLLKEFKDEDWNMGNIVYTLTNRRYLEKCVAYAESH DQALVGDKTLAFWLMDAEMYTNMSVLAPFTPVIDRGIQLHKMIRLITHGLGGEGYLNFMG NEFGHPEWLDFPRKGNNESYHYARRQFNLTDDDLLRYKFLNNFDRDMNRLEERCGWLSAP QAYVSEKHEANKTITFERAGLLFIFNFHPSKSYTDYRVGTATPGKFKIVLDSDAAEYGGH QRLDHNTNYFAEAFEHNGRPYSLLVYIPSRVALILQNVDLQN >ENSMUSP00000023393.8 pep:known chromosome:GRCm38:16:70314087:70569716:1 gene:ENSMUSG00000022707.16 transcript:ENSMUST00000023393.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbe1 description:glucan (1,4-alpha-), branching enzyme 1 [Source:MGI Symbol;Acc:MGI:1921435] MAAPAAPAAGETGPDARLEAALADVPELARLLEIDPYLKPFAADFQRRYKKFSQVLHDIG ENEGGIDKFSRGYESFGIHRCSDGGIYCKEWAPGAEGVFLTGEFSGWNPFSHPYKKLEYG KWELYIPPKQNKSPLIPHGSKLKVVITSKSGEILYRISPWAKYVVRENNNVNYDWIHWAP EDPYKFKHSRPKKPRSLRIYESHVGISSHEGKIASYKHFTSNVLPRIKDLGYNCIQLMAI MEHAYYASFGYQITSFFAASSRYGTPEELKELVDTAHSMGIVVLLDVVHSHASKNSEDGL NMFDGTDSCYFHSGPRGTHDLWDSRLFIYSSWEVLRFLLSNIRWWLEEYCFDGFRFDGVT SMLYHHHGMGQGFSGDYNEYFGLQVDEDALIYLMLANHLAHTLYPDSITIAEDVSGMPAL CSPTSQGGGGFDYRLAMAIPDKWIQLLKEFKDEDWNMGNIVYTLTNRRYLEKCVAYAESH DQALVGDKTLAFWLMDAEMYTNMSVLAPFTPVIDRGIQLHKMIRLITHGLGGEGYLNFMG NEFGHPEWLDFPRKGNNESYHYARRQFNLTDDDLLRYKFLNNFDRDMNRLEERCGWLSAP QAYVSEKHEANKTITFERAGLLFIFNFHPSKSYTDYRVGTATPGTFKIVLDSDAAEYGGH QRLDHNTNYFAEAFEHNGRPYSLLVYIPSRVALILQNVDLQN >ENSMUSP00000127642.1 pep:known chromosome:GRCm38:16:70314064:70530290:1 gene:ENSMUSG00000022707.16 transcript:ENSMUST00000171132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbe1 description:glucan (1,4-alpha-), branching enzyme 1 [Source:MGI Symbol;Acc:MGI:1921435] MAAPAAPAAGETGPDARLEAALADVPELARLLEIDPYLKPFAADFQRRYKKFSQVLHDIG ENEGGIDKFSRGYESFGIHRCSDGGIYCKEWAPGAEGVFLTGEFSGWNPFSHPYKKLEYG KWELYIPPKQNKSPLIPHGSKLKVVITSKSGEILYRISPWAKYVVRENNNVNYDWIHWAP EDPYKFKHSRPKKPRSLRIYESHVGISSHEGKIASYKHFTSNVLPRIKDLGYNCIQLMAI MEHAYYASFGYQITSFFAASSRYGTPEELKELVDTAHSMGIVVLLDVVHSHASKNSEDGL NMFDGTDSCYFHSGPRGTHDLWDSRLFIYSSWEVLRFLLSNIRWWLEEYCFDGFRFDGVT SMLYHHHGMGQGFSGDYNEYFGLQVDEDALIYLMLANHLAHTLYPDSITIAEDVSGMPAL CSPTSQGGGGFDYRLAMAIPDKWIQLLKEFKDEDWNMGNIVYTLTNRRYLEKCVAYAESH DQALVGDKTLAFWLMDAEMYTNMSVLAPFTPVIDRGIQLHKMIRLITHGLGGEGYLNFMG NEFGHPEWLDFPRKGNNESYHYARRQFNLTDDDLLRYKFLNNFDRDMNRLEERCGWLSAP QAYVSEKHEANKTITFERAGLLFIFNFHPSKSYTDYRVGTATPGKYPFLCQCMCLLRCNI >ENSMUSP00000131320.2 pep:known chromosome:GRCm38:16:70314134:70569714:1 gene:ENSMUSG00000022707.16 transcript:ENSMUST00000170464.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbe1 description:glucan (1,4-alpha-), branching enzyme 1 [Source:MGI Symbol;Acc:MGI:1921435] MAAPAAPAAGETGPDARLEAALADVPELARLLEIDPYLKPFAADFQRRYKKFSQVLHDIG ENEGGIDKFSRGYESFGIHRCSDGGIYCKEWAPGAEGVFLTGEFSGWNPFSHPYKKLEYG KWELYIPPKQNKSPLIPHGSKLKVVITSKSGEILYRISPWAKYVVRENNNVNYDWIHWAP EDPYKFKHSRPKKPRSLRIYESHVGISSHEGKIASYKHFTSNVLPRIKDLGYNCIQLMAI MEHAYYASFGYQITSFFAASSRYGTPEELKELVDTAHSMGIVVLLDVVHSHASKNSEDGL NMFDGTDSCYFHSGPRGTHDLWDSRLFIYSSWEVLRFLLSNIRWWLEEYCFDGFRFDGVT SMLYHHHGMGQGFSGDYNEYFGLQVDEDALIYLMLANHLAHTLYPDSITIAEDVSGMPAL CSPTSQGGGGFDYRLAMAIPDKWIQLLKEFKDEDWNMGNIVYTLTNRRYLEKCVAYAESH DQALVGDKTLAFWLMDAEMYTNMSVLAPFTPVIDRGIQLHKMIRLITHGLGGEGYLNFMG NEFGHPEWLDFPRKGNNESYHYARRQFNLTDDDLLRYKFLNNFDRDMNRLEERCGWLSAP QAYVSEKHEANKTITFERAGLLFIFNFHPSKSYTDYRVGTATPGTFKIVLDSDAAEYGGH QRLDHNTNYFAEAFEHNGRPYSLLVYIPSRVALILQNVDLQN >ENSMUSP00000046877.7 pep:known chromosome:GRCm38:17:29549788:29606895:1 gene:ENSMUSG00000042203.7 transcript:ENSMUST00000048677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d22b description:TBC1 domain family, member 22B [Source:MGI Symbol;Acc:MGI:2681867] MAAENSKQFWKRSAKLPGSIQPVYGAQHPPLDPRLTKNFIKERSKVNSVPLKNKKASSFH EFARNTSDAWDIGDDEEEDFSSPPFQTLNSKVALATAAQVLENHSKLRVKPERSQSTTSD VPANYKVIKSSSDAQLSRNSSDTCLRNPLHKQQSLPLRPIIPLVARISDQNASGAPPMTV REKTRLEKFRQLLSSHNTDLDELRKWSWPGVPREVRPVTWRLLSGYLPANTERRKLTLQR KREEYFGFIEQYYDSRNEEHHQDTYRQIHIDIPRTNPLIPLFQQPLVQEIFERILFIWAI RHPASGYVQGINDLVTPFFVVFLSEYVEEDVENFDVTNLSQDMLRSIEADSFWCMSKLLD GIQDNYTFAQPGIQKKVKALEELVSRIDEQVHSHFRRYEVEYLQFAFRWMNNLLMRELPL RCTIRLWDTYQSEPEGFSHFHLYVCAAFLIKWRKEILDEEDFQGLLMLLQNLPTIHWGNE EIGLLLAEAYRLKYMFADAPNHYRR >ENSMUSP00000096800.3 pep:known chromosome:GRCm38:3:19223108:19311322:-1 gene:ENSMUSG00000069094.12 transcript:ENSMUST00000099195.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde7a description:phosphodiesterase 7A [Source:MGI Symbol;Acc:MGI:1202402] MEVCYQLPVLPLDRPVPQHVLSRRGAISFSSSSALFGCPHPRQLSQRRGAISYDSSDQTA LYIRMLGDVRVRSRAGFETERRGSHPYIDFRIFHSQSDIEASVSARNIRRLLSFQRYLRS SRVFRGATVCSSLDILDEDYNGQAKCMLEKVGNWNFDIFLFDRLTNGNSLVSLTFHLFSL HGLIEYFHLDMVKLRRFLVMIQEDYHSQNPYHNAVHAADVTQAMHCYLKEPKLASSVTPW DILLSLIAAATHDLDHPGVNQPFLIKTNHYLATLYKNSSVLENHHWRSAVGLLRESGLFS HLPLESRQEMEAQIGALILATDISRQNEYLSLFRSHLDKGDLHLDDGRHRHLVLQMALKC ADICNPCRNWELSKQWSEKVTEEFFHQGDIEKKYHLGVSPLCDRQTESIANIQIGFMTYL VEPLFTEWARFSDTRLSQTMLGHVGLNKASWKGLQRQQPSSEDANAAFELNSQLLTQENR LS >ENSMUSP00000088863.4 pep:known chromosome:GRCm38:3:19224682:19265008:-1 gene:ENSMUSG00000069094.12 transcript:ENSMUST00000091314.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde7a description:phosphodiesterase 7A [Source:MGI Symbol;Acc:MGI:1202402] MGITLIWCLALVLIKWITSKRRGAISYDSSDQTALYIRMLGDVRVRSRAGFETERRGSHP YIDFRIFHSQSDIEASVSARNIRRLLSFQRYLRSSRVFRGATVCSSLDILDEDYNGQAKC MLEKVGNWNFDIFLFDRLTNGNSLVSLTFHLFSLHGLIEYFHLDMVKLRRFLVMIQEDYH SQNPYHNAVHAADVTQAMHCYLKEPKLASSVTPWDILLSLIAAATHDLDHPGVNQPFLIK TNHYLATLYKNSSVLENHHWRSAVGLLRESGLFSHLPLESRQEMEAQIGALILATDISRQ NEYLSLFRSHLDKGDLHLDDGRHRHLVLQMALKCADICNPCRNWELSKQWSEKVTEEFFH QGDIEKKYHLGVSPLCDRQTESIANIQIGFMTYLVEPLFTEWARFSDTRLSQTMLGHVGL NKASWKGLQRQQPSSEDANAAFELNSQLLTQENRLS >ENSMUSP00000119685.1 pep:known chromosome:GRCm38:3:19233805:19311052:-1 gene:ENSMUSG00000069094.12 transcript:ENSMUST00000156652.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde7a description:phosphodiesterase 7A [Source:MGI Symbol;Acc:MGI:1202402] MEVCYQLPVLPLDRPVPQHVLSRRGAISFSSSSALFGCPHPRQLSQRRGAISYDSSDQTA LYIRMLGDVRVRSRAGFETERRGSHPYIDFRIFHSQSDIEASVSARNIRRLLSFQRYLRS SRVFRGATVCSSLDILDEDYNGQAKRIFEPVERVITKKRLRAECGGTLWYAPFLGG >ENSMUSP00000113173.1 pep:known chromosome:GRCm38:3:19236449:19264965:-1 gene:ENSMUSG00000069094.12 transcript:ENSMUST00000121951.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pde7a description:phosphodiesterase 7A [Source:MGI Symbol;Acc:MGI:1202402] MGITLIWCLALVLIKWITSKRRGAISYDSSDQTALYIRMLGDVRVRSRAGFETERRGSHP YIDFRIFH >ENSMUSP00000120420.1 pep:known chromosome:GRCm38:3:19230289:19260241:-1 gene:ENSMUSG00000069094.12 transcript:ENSMUST00000149081.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pde7a description:phosphodiesterase 7A [Source:MGI Symbol;Acc:MGI:1202402] RMLGDVRVRSRAGFETERRGSHPYIDFRIFH >ENSMUSP00000117838.1 pep:known chromosome:GRCm38:17:29614876:29703359:1 gene:ENSMUSG00000098374.1 transcript:ENSMUST00000130871.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm28043 description:predicted gene, 28043 [Source:MGI Symbol;Acc:MGI:5547779] MGEPDPLVSGQLAARRSWCLRRLGMDCEWLQLEAGTEVTIGRGLSVTYQLISKVCPLMIS RSHCVLKQNPEGQWTIMDNKSLNGVWLNRERLAPLQGYCIRKGDHIQLGVPLESRETAEY EYEVIEEDWESLAPCLAPKNDQRMEKHKGSRTKRKFSSPGLENLPAEGSSDLRCPLANVA SKPIEPEKLHGKGDASSQSLGCLCPGLTSLKASERAAGPHACSALPKVLELSCPKKQKAC RPSASQNSLELFKVTMSRMLKLKTQMQEKQIAVLNVKRQTRKGSSKKIVRMEKELRNLQS QLYAEQAQQQARVEQLEKTFQEEAHYLQGLEKEQGECDLKQQLVQALQEHQALMEELNCS KKDFEKIIQAKNKELEQTKEEKDKVQAQKEEVLSHMNDLLENELQCIICSEYFIEAVTLN CAHSFCSFCINEWMKRKVECPICRKDIESRTNSLVLDNCISKMVDNLSSDVKERRSVLIR ERRASATSLSGSDSETEGKQPCSDDFKDAFKADSLVEGTSSRYSMYNSVSQRLMAKMGFR EGEGLGKYSQGRKDIVETSNQKGRRGLGLTLQGFDQELNVDWRDEPEPNACEQVSWFPEC TTEIPDSREMSDWMVVGKRKMVIEDETEFCGEELLHSMLKCKSVFDILDGEEMRRARTRA NPYEMIRGVFFLNRAAMKMANMDFVFDRMFTNPLDSSGAREASPSTCCGGRSGTQRALG >ENSMUSP00000135363.1 pep:known chromosome:GRCm38:16:85421533:85550417:-1 gene:ENSMUSG00000041134.15 transcript:ENSMUST00000175700.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyyr1 description:cysteine and tyrosine-rich protein 1 [Source:MGI Symbol;Acc:MGI:2152187] MDALRLPRRPGVLLPKLILLFVYAGDCLAQCGKECHSYCCDGSTPYCCSYYAYIGNILSL KMGNLPEL >ENSMUSP00000109811.2 pep:known chromosome:GRCm38:16:85452858:85550417:-1 gene:ENSMUSG00000041134.15 transcript:ENSMUST00000114174.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyyr1 description:cysteine and tyrosine-rich protein 1 [Source:MGI Symbol;Acc:MGI:2152187] MDALRLPRRPGVLLPKLILLFVYAGDCLAQCGKECHSYCCDGSTPYCCSYYAYIGNILSG TAIAGIVFGIVFIMGVIAGIAICICMCMKNNRGTRVGVIRAAHINAISYPMAPPPYTYDH EMEYRTDLPPPYSAAPQASAQRSPPPPYPGNPRKYSSSQNRIRDN >ENSMUSP00000044578.7 pep:known chromosome:GRCm38:7:68736995:68749241:-1 gene:ENSMUSG00000042659.15 transcript:ENSMUST00000048068.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrdc4 description:arrestin domain containing 4 [Source:MGI Symbol;Acc:MGI:1913662] MGGEAGADGPRGRVKSLGLVFEDESKGCYSSGETVAGHVLLEAAEPVALRGLRLEAQGRA TSAWGPSAGARVCIGGGSPAASSEVEYLNLRLSLLEAPAGEGVTLLQPGKHEFPFRFQLP SEPLATSFTGKYGSIQYCVRAVLERPQVPDQSVRRELQVVSHVDVNTPPLLTPMLKTQEK MVGCWLFTSGPVSLSVKIERKGYCNGEAIPIYAEIENCSSRLVVPKAAIFQTQTYLASGK TKTVRHMVANVRGNHIGSGSTDTWNGKMLKIPPVTPSILDCCIIRVDYSLAVYIHIPGAK RLMLELPLVIGTIPYSGFGRRNSSVASQFSMDMCWLALALPEQPEAPPNYADVVSEEEFS RHVPPYPQPSDCDGEACYSMFACIQEFRFQPPPLYSEVDPHPGDAQETQPVSFIL >ENSMUSP00000112962.1 pep:known chromosome:GRCm38:7:68741511:68749188:-1 gene:ENSMUSG00000042659.15 transcript:ENSMUST00000118110.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arrdc4 description:arrestin domain containing 4 [Source:MGI Symbol;Acc:MGI:1913662] MGGEAGADGPRGRVKSLGLVFEDESKGCYSSGETVAGHVLLEAAEPVALRGLRLEAQGRA TSAWGPSAGARVCIGGGSPAASSEVEYLNLRLSLLEAPAGEGVTLLQPGKHEFPFRFQLP SEPLATSFTGKYGSIQYCVRAVLERPQVPDQSVRRELQVVSHVDVNTPPLLTPMLKTQEK MVGCWLFTSGPVSLSVKIERKGYCNGEAIPIYAEIENCSSRLVVPKAAIFQTQTYLASGK TKTVRHMVANVRGNHIGSGSTDTWNGKMLKIPPVTPSILDCCIIRVDYSLAVIQAS >ENSMUSP00000079269.5 pep:known chromosome:GRCm38:11:73688778:73713798:1 gene:ENSMUSG00000060630.7 transcript:ENSMUST00000080407.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp735 description:zinc finger protein 735 [Source:MGI Symbol;Acc:MGI:1923640] MCASQGVLTFMDVAIEFSKEEWECLDSAKRALYRDVMLENYINLVSVGVAVSKQEVIICL EQNKEPWIVDGEKTEVREPALDCNRTKKLLPKVCTQNYFQKEITPRFGLNGLFDLYRKKF WEYRAGSEEHEICNYENDLLPFLQLDASLGKFLQQLLKCNSVQRNTKTLKRDIWVNKHTS LKHLLSSMVLDNTSTLYEERRFWRREKITEYHQCERYFSKSLLHLPQPLNRVYDKFYHLD QHKKVSTYAVKLGIYHMGSSCGNSNRVNDNNIGYIKNPFLKYYQNNQLGGILYQGNNILY DSHHETILSKNVISKPILENYYNHDSRQARSNHCSQSILQQQDHTTENLYDILKESLTLQ LYNRTWTKGDTNRYTCDIYRNALIESLYLERDNIKHIKKLFLAPGYDKSLNFNSNIIQYD SNHNEKGIQNARVHIYSFSNVSNLLGHSNLSVEEKHSKYKPPGKCSSKPSGLMVFETRGK SWKSCMQCGKCFAQYSALQNPHRIHTVKKPFSCKDCLKLFTWSSKLQAHHRIHTAQELYK SNKCGESFMQSSNLLAHQRIHTGDKPYKCNKCGKYFTRSSNLQVHQRVHTGDKPYECNKC GKSFTQSSSLKIHHRIHTGDKPYRCNECGKCFIRSSNLKVHQRVHTGDKPYKCNECGKSF TQSSSLKVHHKIHTGDKPYKCNECGKSFIQSSDLKNHYRIHTGDKPYKCNECGKSFRQCS DLKNHYRIHSGDKP >ENSMUSP00000022433.4 pep:known chromosome:GRCm38:14:26693274:26816334:1 gene:ENSMUSG00000021879.12 transcript:ENSMUST00000022433.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah12 description:dynein, axonemal, heavy chain 12 [Source:MGI Symbol;Acc:MGI:107720] MSDPNKTAIAAEKEALNLKLPPIVQPPKNIGVDTPKQSELLKYRRSKEQQKKINQLVISG AKKSLDKTLDKRIPPLPEPDFPPTMTSEIKKRGLNYIFMKQCVENSPIVPIQSQWLDNML MLVPEHLKEGEKSEELLGSLIDEVSMDYEKSMKRYLVQSVLVKPPVKWLEDEGGPLPESP EGLDYSNPWHSNFVQARSQILANLHIVHPTMKMLLELGYTAFAKIILLDLTGIRARGPID CEALRNDLSIQARKSEEKIMNTWYPKVINLFTKKEALEGIKTEKLDSFYNCVSILMSNQL KDLLWRTVEEFIKLFDPRYLNRLPIFKMELTFDDDKMEFYPTFQDLEDVVLGLIERISET LQTVQTVPSWLSGTSSPVNLDTEIPEHVLQWALCTLRTAIQHNLEGAKAHHKTYVTKYNW LLDGTATKMIQRFQAENHTFDEYTEFIEKFFNLASEIMLLPQWAHYPMVRLDCEDLKIGL TNKARAFANILLNDIASKHRKENESICSEFEAIRDHALRVPETTEEMMELIAFVERARTV GILDLALRIQESKRQMSYFLDALLMSQEDLNLNATVLLWPTKITPVFDENDELIENAKHA KENELIAKREKLILEIEKESRRMEEFTEFAELDRMHQYVADVRQLQKRIQESEEAVQFIN KEEELFKWELTKYPELEKLKVTIEPYQKFFNFVLKWQRTEKRWMDGGFLDLNGESMEADI DDFSREVFRTLKFFHAKQKKELQERRKAARKRSLMEEKPEEEPKENPTITMCTTVMEQIK SFKEYIPTVSILCNPGMRARHWKQMSEIVGYDLTPDSGTTLRKVLKLNLSPYLESFEVIS AGASKEFSLERSMNAMIATWDDISFHISLYRDTGIGILSSVDEIQAILDDQIIKTQTMRG SPFIKPFENEIKAWEDRLIRIQETIDEWLKVQAQWLYLEPIFCSEDIMQQMPEEGRQFQT VDRHWKDIMKFCAKDPKVLAATSLTGLLEKLQNCNDLLEKIMKGLNAYLEKKRLFFPRFF FLSNDEMLEILSETKDPLRVQPHLKKCFEGIAKLEFLANLDIKAMYSSEGERVELIALIS TTAARGAVEKWLIQVEDLMLRSIRDVIAASRLAYPESARKDWVREWPGQVVLCVSQMFWT SETQEIISGGTEGLKKYYKELQYQLNDIVELVRGKLSKQTRITLGALVTIDVHARDVVMD MIEMGVSHDTDFQWLAQLRYYWEYENARVRIINCNVKYAYEYLGNSPRLVITPLTDRCYR TLIGAFYLNLGGAPEGPAGTGKTETTKDLAKALAVQCVVFNCSDGLDYLAMGKFFKGLAS SGAWACFDEFNRIELEVLSVVAQQILCIQRAIQQKLEAFVFEGTELRLNPNCFVAITMNP GYAGRSELPDNLKVLFRTVAMMVPNYALIAEISLYSYGFLNAKPLSVKIVMTYRLCSEQL SSQFHYDYGMRAVKAVLVAAGNLKLKYPNENEDILLLRSIKDVNEPKFLSHDIPLFNGIT SDLFPGIKLPEADYKEFLECAHETCQTHNLQPVKFFLEKIIQTYEMMIVRHGFMLVGEPF AAKTEVLHVLADTLTLMNERNYGDEEKVMYRTVNPKSITMGQLFGQFDPVSHEWTDGIVA NTFREFALAESPDRKWVVFDGPIDTLWIESMNTVLDDNKKLCLMSGEIIQMSPQMSLIFE TMDLSQASPATVSRCGMIYLEPSQLGWEPLVASWLNSLKEPLSELEHQNLLKELFDWLVP PSLVFRRKKCKELIPTSNINAVVALTRLIEILLCTVVENEPNSKHIRVWTMASFIFSLIW SIGASCDTDGRLAFDAFLRTAVSGRNEEAPMPVSISKWECPFDEKGLVYDYMYELRNRGR WIHWNELIKSSDLEDKRAKIQDIIVPTMDTIRYTFLMDLSITSAKPLLFVGPTGTGKSVY VKDKLMNHLEKEKYFPFYVNFSARTSANQVQNIIMARLDKRRKGVFGPPMGKKCVIFIDD MNMPSLEKYGAQPPIELLRQFFDCGHWYDLKDTSKITLIDIELIAAMGPPGGGRNAVTPR FIRHFNICTINTFSDETMVRIFSSIMAFYLRTHAFSPEYFVLGNQIVSGTMEVYKQSMGN LLPTPAKSHYTFNLRDFSRVIRGCLLIEKDAIESKHTMIRLFVHEVLRVFYDRLINDEDR NWLFLLIKNVIKDHFKESFDTVFHHLRNGNAPVTEEDLRNLMFGDYMNPDLEGDDRVYIE IPDIHHFNEVVDQCLDEYNQTHKRRMNLVVFRYVLEHLSRICRILKQSGGNALLIGLGGS GRQSLTKLATSMAKMQIFQPEISKSYGMNEWREDIK >ENSMUSP00000130609.1 pep:known chromosome:GRCm38:14:26831301:26891703:1 gene:ENSMUSG00000021879.12 transcript:ENSMUST00000165269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah12 description:dynein, axonemal, heavy chain 12 [Source:MGI Symbol;Acc:MGI:107720] PADITIVKSMKNPPAGVKLVMAAVCVMKDIKPEKISDPSGTGGKIFDYWGPSKKLLGDMN FLRDLREYDKDNIPVAVMQKIRSEYLTNPEFDPPKVAKASSAAEGLCKWIMAMEVYDRVA KVVAPKKARLAEAQRSLAETMELLNQKRAELAQVEHHLENLEKTFQEKTEEKAALEDQVE LCAKKLERASKLIGGLGGEKSRWSQAADDLQITYENLTGDVLVAAGVIAYLGAFTSGFRQ ECTEDWSKLCKKKKFPCSEEFSLSKTLGDPVKIRAWNIAGLPTDAFSIDNGVIVNNSRRW PLMIDPQGQANKWIKNSEKDNQLSVIKLSDTDYMRTLENCVQFGTPLLLENVGEDLDPSL EPLLLKQTFKQGGIDCIRLGEVIIEYSFDFKFYITTKLRNPHYMPELATKVSLLNFMITP EGLEDQLLGIVVAKERPELEEERNALILQSAANKKQLKDIETKILETLSSSEGNILEDES AIKVLDSAKIMSNEITKKQQVAEKTELKIAESREGYRPIAKHSSVLFFSIADLANIDPMY QYSLTWFVNLYINSIHDSNRSKILEKRLRYLNDHFTYNLYCNICRSLFEKDKLLFSFLLC ANLLLAKKEIEYQELMFLLTGGVSLKSAEKNPDPDWLQDKSWEEICRASELPVFHGLREH FCNYIYLWEEIYDSKEPHNMKFPEPMDKTLNELQKIIILRCLRPDKITPAITNYVTDKLG KKFVEPPPFDLTKSYLDSNCTIPLVFVLSPGADPMASLLKFANDKSMSGNKFQAISLGQG QGPVASKMITAAIEEGTWVCLQNCHLAVSWMPTLEKICEDFSPETCNPTFRLWLTSYPSP KFPVTILQNGVKMTNEPPTGLRLNLLQSYLSDPISDTQFFKGCPGKELAWEKLLFGVCFF HALVQERKKFGPLGWNIPYGFNESDLRISVRQLQLFINEYDTIPFEAISYLTGECNYGGR VTDDWDRRLLLTMLADFYNSFIIENPHYKFSPSGNYYAPPKGTYDDYIEFIKKLPFTQEP EIFGLHENVDISKDLQQTKVLFESLLLTQGGAKQTGSSGSTDQVLLEITEDILTQLPNDF DIEAALKNYPVRYEESMNTVLVQEMERFNNLIRTIRNTLRDLKKAIKGLVVMDSALEALS GSLLIGKVPEMWAKRSYPSLKPLGSYITDFLARLKFLEDWFSSGKPSVFWISGFFFTQAF LTGAMQNFARKYTIPIDLLGYEFEVIPFDYSDTPPEDGVYIHGLYLDGARWDRFSGLLAE QYPKLLFDLMPIIWIKPNLKIEIVKIEAYICPLYKTSERKGTLSTTGHSTNFVIAMLLKT DQPTQHWIKRGVALLCQLDN >ENSMUSP00000073035.4 pep:known chromosome:GRCm38:14:26771029:26773772:1 gene:ENSMUSG00000021879.12 transcript:ENSMUST00000073309.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah12 description:dynein, axonemal, heavy chain 12 [Source:MGI Symbol;Acc:MGI:107720] VITPLTDRCYRTLIGAFYLNLGGAPEGPAGTGKTETTKDLAKALAVQCVVFNCSDGLDYL AMGKFFKGLASSGAWACFDEFNRIELEVLSVVAQQILCIQRAIQQKLEAFVFEGTELRLN PNCFVAITMNPGYAGRSELPDNLKVLFRTVAMMVPNYALIAEISLYSYGFLNAKPLSVKI VMTYRLCSEQLSSQFHYDYGMRA >ENSMUSP00000115603.1 pep:known chromosome:GRCm38:17:29689378:29717009:-1 gene:ENSMUSG00000024018.17 transcript:ENSMUST00000137079.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc167 description:coiled-coil domain containing 167 [Source:MGI Symbol;Acc:MGI:1915847] MTKKKRENLGVAQEIDGLEEKLSRCRKDLEAVTSQLYRAELSPEDRERAKAAST >ENSMUSP00000119013.1 pep:known chromosome:GRCm38:17:29697575:29716985:-1 gene:ENSMUSG00000024018.17 transcript:ENSMUST00000123502.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc167 description:coiled-coil domain containing 167 [Source:MGI Symbol;Acc:MGI:1915847] MTKKKRENLGVAQEIDGLEEKLSRCRKDLEAVTSQLYRAELSPEDRSLEKEKHTLMNKAS KYEKELKLLRHENRKNTLLSVAIFTVFALLYAYWTM >ENSMUSP00000116591.1 pep:known chromosome:GRCm38:17:29698321:29717009:-1 gene:ENSMUSG00000024018.17 transcript:ENSMUST00000129091.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc167 description:coiled-coil domain containing 167 [Source:MGI Symbol;Acc:MGI:1915847] MTKKKRENLGVAQEIDGLEEKLSRCRKDLEAVTSQLYRAELSPEDRRSLEKEKHTLMNKA SKYEKELKLLRHENRKNTLLSVAIFTVFALLYAYWTIRYTSFTL >ENSMUSP00000120238.1 pep:known chromosome:GRCm38:17:29699999:29716963:-1 gene:ENSMUSG00000024018.17 transcript:ENSMUST00000131807.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc167 description:coiled-coil domain containing 167 [Source:MGI Symbol;Acc:MGI:1915847] KRENLGVAQEIDGLEEKLSRCRKDLEAVTSQLYRAELSPEDRERAKAAST >ENSMUSP00000123612.1 pep:known chromosome:GRCm38:17:29703332:29717012:-1 gene:ENSMUSG00000024018.17 transcript:ENSMUST00000128751.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc167 description:coiled-coil domain containing 167 [Source:MGI Symbol;Acc:MGI:1915847] MTKKKRENLGVAQEIDGLEEKLSRCRKDLEAVTSQLYRAELSPEDRRSLEKEKHTLMNKA SKYEKELKLLRHENRKNTLLSVAIFTVFALLYAYWTM >ENSMUSP00000104900.1 pep:known chromosome:GRCm38:15:91838265:91861065:1 gene:ENSMUSG00000047295.16 transcript:ENSMUST00000109277.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smgc description:submandibular gland protein C [Source:MGI Symbol;Acc:MGI:1859618] MKLILLYLAVVLCFVGKGDSNEVRGPSSSAVDSTDSGDRGNLADKQGPGFNGPEGVGENN GGSFRAGSLDTGSKSDSGSHNLSSGSGSRSNVSTGGEPSDKNEPADPGVSGRVTCPTGKT QSGSPSVA >ENSMUSP00000104899.1 pep:known chromosome:GRCm38:15:91838328:91861434:1 gene:ENSMUSG00000047295.16 transcript:ENSMUST00000109276.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smgc description:submandibular gland protein C [Source:MGI Symbol;Acc:MGI:1859618] MKLILLYLAVVLCFVGKAERPNAQSSNNLSGKLEPKYENPTNGSSSGDSNEVRGPSSSAV DSTDSGDRGNLADKQGPGFNGPEGVGENNGGSFRAGSLDTGSKSDSGSHNLSSGSGSRSN VSTGGEPSDKNEPADPGVSGRVTCPTGKTQSGSPSVA >ENSMUSP00000085915.3 pep:known chromosome:GRCm38:15:91838328:91861435:1 gene:ENSMUSG00000047295.16 transcript:ENSMUST00000088555.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smgc description:submandibular gland protein C [Source:MGI Symbol;Acc:MGI:1859618] MKLILLYLAVVLCFVGKARSFRNGAGFYTSLGGQMRVFDFNKKTLDAKSSGGSKDYNLSD GGKSNSRKNLSPATGGSATQQSNLDDSHAPNLGKSETMLSLLGYLGAFRPVLSGLTSLPR VGGGAHGNIGLRAEISRNGVNLSGDSSARGSLNVNPLSGLSTKSGNDATVQGQQAAASGG SKHNVENSSLSTGSATSNKGADKPSEHLSNLFLKGLKGIVEPITSAAGGSVSSAVENLKA QIKKFIEPLTEDHGPTSTSASVSGDSSTSSRLDGHSSDGLSKVSGDDPTVQGHDVAASDG SKQNVEDSTLSTGSATSNEGDDKSSDNSSNTFREDLEKILEQITSAPGGSVSSAVENLKA QIKKFIEPLTEDHGPTSTSASVSGDSSTSSRLDGHSSDGLSKVSGDDPTVQGHDVAASDG SKQNVEDSTLSTGSATSNEGDDKSSDNSSNTFREDLEKILEQITSAPGGSVSTVNNPDED RLISIIENLAGHIQQSVTEASQSAERPNAQSSNNLSGKLEPKYENPTNGSSSASSADKPY EEGMRKLLKFLEEQYGQTGTDASVSGMSSESSRSNVHLSDGFSMESGDDATVQGQQAAAS GGPKQNVESSNSSTGSATSNGGGDSNEVRGPSSSAVDSTDSGDRGNLADKQGPGFNGPEG VGENNGGSFRAGSLDTGSKSDSGSHNLSSGSGSRSNVSTGGEPSDKNEPADPGVSGRVTC PTGKTQSGSPSVA >ENSMUSP00000097866.2 pep:known chromosome:GRCm38:15:91838328:91861435:1 gene:ENSMUSG00000047295.16 transcript:ENSMUST00000100293.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smgc description:submandibular gland protein C [Source:MGI Symbol;Acc:MGI:1859618] MKLILLYLAVVLCFVGKARSFRNGAGFYTSLGGQMRVFDFNKKTLDAKSSGGSKDYNLSD GGKSNSRKNLSPATGGSATQQSNLDDSHAPNLGKSETMLSLLGYLGAFRPVLSGLTSLPR VGGGAHGNIGLRAEISRNGVNLSGDSSARGSLNVNPLSGLSTKSGNDATVQGQQAAASGG SKHNVENSSLSTGSATSNKGADKPSEHLSNLFLKGLKGIVEPITSAAGGSVSSAVENLKA QIKKFIEPLTEDHGPTSTSASVSGDSSTSSRLDGHSSDGLSKVSGDDPTVQGHDVAASDG SKQNVEDSTLSTGSATSNEGDDKSSDNSSNTFREDLEKILEQITSAPGGSVSSAVENLKA QIKKFIEPLTEDHGPTSTSASVSGDSSTSSRLDGHSSDGLSKVSGDDPTVQGHDVAASDG SKQNVEDSTLSTGSATSNEGDDKSSDNSSNTFREDLEKILEQITSAPGGSAERPNAQSSN NLSGKLEPKYENPTNGSSSASSADKPYEEGMRKLLKFLEEQYGQTGTDASVSGMSSESSR SNVHLSDGFSMESGDDATVQGQQAAASGGPKQNVESSNSSTGSATSNGGGDSNEVRGPSS SAVDSTDSGDRGNLADKQGPGFNGPEGVGENNGGSFRAGSLDTGSKSDSGSHNLSSGSGS RSNVSTGGEPSDKNEPADPGVSGRVTCPTGKTQSGSPSVA >ENSMUSP00000120826.1 pep:known chromosome:GRCm38:15:91838336:91845535:1 gene:ENSMUSG00000047295.16 transcript:ENSMUST00000126508.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smgc description:submandibular gland protein C [Source:MGI Symbol;Acc:MGI:1859618] MKLILLYLAVVLCFVGKGKSNSRKNLSPATGGSATQQSNLDDSHAPNLGKSETMLSLLGY LGAFRPVLSGLTSLPRVGGGAHGNIGLRAEISRNGVNLSGDSSARGSLNVNPLSGLSTKS GNDATVQGQQAAASGGSKHNVENSSLSTGSATSNKGADKPSEHLSNLFLKGLKGIVEPIT SAAGGS >ENSMUSP00000118530.1 pep:known chromosome:GRCm38:15:91841418:91861436:1 gene:ENSMUSG00000047295.16 transcript:ENSMUST00000130014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smgc description:submandibular gland protein C [Source:MGI Symbol;Acc:MGI:1859618] AKSSGGSKDYNLSDGGKSNSRKNLSPATGGSATQQSNLDDSHAPNLGKSETMLSLLGYLG AFRPVLSGLTSLPRVGGGAHGNIGLRAEISRNGVNLSGDSSARGSLNVNPLSGLSTKSGN DATVQGQQAAASGGSKHNVENSSLSTGSATSNKGADKPSEHLSNLFLKGLKGIVEPITSA AGGSVSSAVENLKAQIKKFIEPLTEDHGPTSTSASVSGDSSTSSRLDGHSSDGLSKVSGD DPTVQGHDVAASDGSKQNVEDSTLSTGSATSNEGGDSNEVRGPSSSAVDSTDSGDRGNLA DKQGPGFNGPEGVGENNGGSFRAGSLDTGSKSDSGSHNLSSGSGSRSNVSTGGEPSDKNE PADPGVSGRVTCPTGKTQSGSPSVA >ENSMUSP00000119575.1 pep:known chromosome:GRCm38:15:91845450:91861438:1 gene:ENSMUSG00000047295.16 transcript:ENSMUST00000136172.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smgc description:submandibular gland protein C [Source:MGI Symbol;Acc:MGI:1859618] XKPSEHLSNLFLKGLKGIVEPITSAAGGSVSSAVENLKAQIKKFIEPLTEDHGPTSTSAS VSVSTVNNPDEDRLISIIENLAGHIQQSVTEASQSAERPNAQSSNNLSGKLEPKYENPTN GSSSASSADKPYEEGMRKLLKFLEEQYGQTGTDASVSGMSSESSRSNVHLSDGFSMESGD DATVQGQQAAASGGPKQNVESSNSSTGSATSNGGGDSNEVRGPSSSAVDSTDSGDRGNLA DKQGPGFNGPEGVGENNGGSFRAGSLDTGRSHNLSSGSGSRSNVSTGGEPSDKNEPADPG VSGRVTCPTGKTQSGSPSVA >ENSMUSP00000120578.1 pep:known chromosome:GRCm38:15:91847915:91861438:1 gene:ENSMUSG00000047295.16 transcript:ENSMUST00000132674.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smgc description:submandibular gland protein C [Source:MGI Symbol;Acc:MGI:1859618] XDSSTSSRLDGHSSDGLSKVSGDDPTVQGHDVAASDGSKQNVEDSTLSTGSATSNEGDDK SSDNSSNTFREDLEKILEQITSAPGGSVSTVNNPDEDRLISIIENLAGHIQQSVTEASQS AERPNAQSSNNLSGKLEPKYENPTNGSSSASSADKPYEEGMRKLLKFLEEQYGQTGTDAS VSGMSSESSRSNVHLSDGFSMESGDDATVQGQQAAASGGPKQNVESSNSSTGSATSNGGG DSNEVRGPSSSAVDSTDSGDRGNLADKQGPGFNGPEGVGENNGGSFRAGSLDTGSKSDSG SHNLSSGSGSRSNVSTGGEPSDKNEPADPGVSGRVTCPTGKTQSGSPSVA >ENSMUSP00000104293.2 pep:known chromosome:GRCm38:7:3218784:3249613:-1 gene:ENSMUSG00000078817.4 transcript:ENSMUST00000108653.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp12 description:NLR family, pyrin domain containing 12 [Source:MGI Symbol;Acc:MGI:2676630] MLPSTARDGLYRLSTYLEELEAGELKKFKLFLGIAEDLSQDKIPWGRMEKAGPLEMAQLM VAHMGTREAWLLALSTFQRIHRKDLWERGQGEDLVRVTPNNGLCLFESQSACPLDVSPNA PRKDLQTTYKDYVRRKFQLMEDRNARLGECVNLSNRYTRLLLVKEHSNPIWTQQKFVDVE WERSRTRRHQTSPIQMETLFEPDEERPEPPHTVVLQGAAGMGKSMLAHKVMLDWADGRLF QGRFDYVFYISCRELNRSHTQCSVQDLISSCWPERGISLEDLMQAPDRLLFIIDGFDKLH PSFHDAQGPWCLCWEEKQPTEVLLGSLIRRLLLPQVSLLITTRPCALEKLHGLLEHPRHV EILGFSEEARKEYFYRYFHNTGQASRVLSFLMDYEPLFTMCFVPMVSWVVCTCLKQQLES GELLRQTPRTTTAVYMFYLLSLMQPKPGTPTFKVPANQRGLVSLAAEGLWNQKILFDEQD LGKHGLDGADVSTFLNVNIFQKGIKCEKFYSFIHLSFQEFFAAMYCALNGREAVRRALAE YGFSERNFLALTVHFLFGLLNEEMRCYLERNLGWSISPQVKEEVLAWIQNKAGSEGSTLQ HGSLELLSCLYEVQEEDFIQQALSHFQVVVVRSISTKMEHMVCSFCARYCRSTEVLHLHG SAYSTGMEDDPPEPSGVQTQSTYLQERNMLPDVYSAYLSAAVCTNSNLIELALYRNALGS QGVRLLCQGLRHASCKLQNLRLKRCQISGSACQDLAAAVIANRNLIRLDLSDNSIGVPGL ELLCEGLQHPRCRLQMIQLRKCLLEAAAGRSLASVLSNNSYLVELDLTGNPLEDSGLKLL CQGLRHPVCRLRTLWLKICHLGQASCEDLASTLKMNQSLLELDLGLNDLGDSGVLLLCEG LSHPDCKLQTLRLGICRLGSVACVGIASVLQVNTCLQELDLSFNDLGDRGLQLLGEGLRH QTCRLQKLWLDNCGLTSKACEDLSSILGISQTLHELYLTNNALGDTGVCLLCKRLRHPGC KLRVLWLFGMDLNKKTHRRMAALRVTKPYLDIGC >ENSMUSP00000003536.8 pep:known chromosome:GRCm38:7:28386146:28392708:-1 gene:ENSMUSG00000003444.8 transcript:ENSMUST00000003536.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med29 description:mediator complex subunit 29 [Source:MGI Symbol;Acc:MGI:1914474] MAAPQPQAAAVSSASGVSGPGSAGGPGPQQQPQPTQLVGSAQSGLLQQQQQDFDPVQRYK MLIPQLKESLQTLMKVAAQNLIQNTNIDNGQKSSDAPLQRFDKCLEEFYALCDQLELCLR LAHECLSQSCDSAKHSPTLVPTATKPDAVQPDSLPYPQYLAVIKAQITCAKDIHTALLDC ANKVTGKTTAPSTGPGGSL >ENSMUSP00000110755.2 pep:known chromosome:GRCm38:X:41401128:41676585:1 gene:ENSMUSG00000001986.16 transcript:ENSMUST00000115103.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria3 description:glutamate receptor, ionotropic, AMPA3 (alpha 3) [Source:MGI Symbol;Acc:MGI:95810] MGQSVLRAVFFLVLGLLGHSHGGFPNTISIGGLFMRNTVQEHSAFRFAVQLYNTNQNTTE KPFHLNYHVDHLDSSNSFSVTNAFCSQFSRGVYAIFGFYDQMSMNTLTSFCGALHTSFVT PSFPTDADVQFVIQMRPALKGAILSLLGYYKWEKFVYLYDTERGFSILQAIMEAAVQNNW QVTARSVGNIKDIQEFRRIIEEMDRRQEKRYLIDCEVERINTILEQVVILGKHSRGYHYM LANLGFTDIVLERVMHGGANITGFQIVNNENPMVQQFIQRWVRLDEREFPEAKNAPLKYT SALTHDAILVIAEAFRYLRRQRVDVSRRGSAGDCLANPAVPWSQGIDIERALKMVQVQGM TGNIQFDTYGRRTNYTIDVYEMKVSGSRKAGYWNEYERFVPFSDQQISNDSSSSENRTIV VTTILESPYVMYKKNHEQLEGNERYEGYCVDLAYEIAKHVRIKYKLSIVGDGKYGARDPE TKIWNGMVGELVYGRADIAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFS FLDPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHLEDNNEEPRDPQSPPDPPNEFGIF NSLWFSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIE SAEDLAKQTEIAYGTLDSGSTKEFFRRSKIAVYEKMWSYMKSAEPSVFTKTTADGVARVR KSKGKFAFLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSALRNAVNLAVLKLN EQGLLDKLKNKWWYDKGECGSGGGDSKDKTSALSLSNVAGVFYILVGGLGLAMMVALIEF CYKSRAESKRMKLTKNTQNFKPAPATNTQNYATYREGYNVYGTESVKI >ENSMUSP00000075687.5 pep:known chromosome:GRCm38:X:41401304:41678601:1 gene:ENSMUSG00000001986.16 transcript:ENSMUST00000076349.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria3 description:glutamate receptor, ionotropic, AMPA3 (alpha 3) [Source:MGI Symbol;Acc:MGI:95810] MGQSVLRAVFFLVLGLLGHSHGGFPNTISIGGLFMRNTVQEHSAFRFAVQLYNTNQNTTE KPFHLNYHVDHLDSSNSFSVTNAFCSQFSRGVYAIFGFYDQMSMNTLTSFCGALHTSFVT PSFPTDADVQFVIQMRPALKGAILSLLGYYKWEKFVYLYDTERGFSILQAIMEAAVQNNW QVTARSVGNIKDIQEFRRIIEEMDRRQEKRYLIDCEVERINTILEQVVILGKHSRGYHYM LANLGFTDIVLERVMHGGANITGFQIVNNENPMVQQFIQRWVRLDEREFPEAKNAPLKYT SALTHDAILVIAEAFRYLRRQRVDVSRRGSAGDCLANPAVPWSQGIDIERALKMVQVQGM TGNIQFDTYGRRTNYTIDVYEMKVSGSRKAGYWNEYERFVPFSDQQISNDSSSSENRTIV VTTILESPYVMYKKNHEQLEGNERYEGYCVDLAYEIAKHVRIKYKLSIVGDGKYGARDPE TKIWNGMVGELVYGRADIAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFS FLDPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHLEDNNEEPRDPQSPPDPPNEFGIF NSLWFSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIE SAEDLAKQTEIAYGTLDSGSTKEFFRRSKIAVYEKMWSYMKSAEPSVFTKTTADGVARVR KSKGKFAFLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSALRTPVNLAVLKLS EQGILDKLKNKWWYDKGECGAKDSGSKDKTSALSLSNVAGVFYILVGGLGLAMMVALIEF CYKSRAESKRMKLTKNTQNFKPAPATNTQNYATYREGYNVYGTESVKI >ENSMUSP00000116381.1 pep:known chromosome:GRCm38:X:41401436:41678598:1 gene:ENSMUSG00000001986.16 transcript:ENSMUST00000139965.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gria3 description:glutamate receptor, ionotropic, AMPA3 (alpha 3) [Source:MGI Symbol;Acc:MGI:95810] MGQSVLRAVFFLVLGLLGHSHGGFPNTISIGGLFMRNTVQEHSAFRFAVQLYNTNQNTTE KPFHLNYHVDHLDSSNSFSVTNAFCSQFSRGVYAIFGFYDQMSMNTLTSFCGALHTSFVT PSFPTDADVQFVIQMRPALKGAILSLLGYYKWEKFVYLYDTERGFSILQAIMEAAVQNNW QVTARSVGNIKDIQEFRRIIEEMDRRQEKRYLIDCEVERINTILEQVVILGKHSRGYHYM LANLGFTDIVLERVMHGGANITGFQIVNNENPMVQQFIQRWVRLDEREFPEAKNAPLKYT SALTHDAILVIAEAFRYLRRQRVDVSRRGSAGDCLANPAVPWSQGIDIERALKMVQVQGM TGNIQFDTYGRRTNYTIDVYEMKVSGSRKAGYWNEYERFVPFSDQQISNDSSSSENRTIV VTTILESPYVMYKKNHEQLEGNERYEGYCVDLAYEIAKHVRIKYKLSIVGDGKYGARDPE TKIWNGMVGELVYGRADIAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFS FLDPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHLEDNNEEPRDPQSPPDPPNEFGIF NSLWFSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIE SAEDLAKQTEIAYGTLDSGSTKEFFRRSKIAVYEKMWSYMKSAEPSVFTKTTADGVARVR KSKGKFAFLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSALRNAVNLAVLKLN EQGLLDKLKNKWWYDKGECGSGGGDSKNACKPCSIETQ >ENSMUSP00000117766.1 pep:known chromosome:GRCm38:X:41402740:41478661:1 gene:ENSMUSG00000001986.16 transcript:ENSMUST00000124169.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria3 description:glutamate receptor, ionotropic, AMPA3 (alpha 3) [Source:MGI Symbol;Acc:MGI:95810] MRNTVQEHSAFRFAVQLYNTNQNTTEKPFHLNYHVDHLDSSNSFSVTNAFCSQFSRGVYA IFGFYDQMSMNTLTSFCGALHTS >ENSMUSP00000131523.1 pep:known chromosome:GRCm38:X:41401565:41672464:1 gene:ENSMUSG00000001986.16 transcript:ENSMUST00000165288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gria3 description:glutamate receptor, ionotropic, AMPA3 (alpha 3) [Source:MGI Symbol;Acc:MGI:95810] MGQSVLRAVFFLVLGLLGHSHGGFPNTISIGGLFMRNTVQEHSAFRFAVQLYNTNQNTTE KPFHLNYHVDHLDSSNSFSVTNAFCSQFSRGVYAIFGFYDQMSMNTLTSFCGALHTSFVT PSFPTDADVQFVIQMRPALKGAILSLLGYYKWEKFVYLYDTERGFSILQAIMEAAVQNNW QVTARSVGNIKDIQEFRRIIEEMDRRQEKRYLIDCEVERINTILEQVVILGKHSRGYHYM LANLGFTDIVLERVMHGGANITGFQIVNNENPMVQQFIQRWVRLDEREFPEAKNAPLKYT SALTHDAILVIAEAFRYLRRQRVDVSRRGSAGDCLANPAVPWSQGIDIERALKMVQVQGM TGNIQFDTYGRRTNYTIDVYEMKVSGSRKAGYWNEYERFVPFSDQQISNDSSSSENRTIV VTTILESPYVMYKKNHEQLEGNERYEGYCVDLAYEIAKHVRIKYKLSIVGDGKYGARDPE TKIWNGMVGELVYGRADIAVAPLTITLVREEVIDFSKPFMSLGISIMIKKPQKSKPGVFS FLDPLAYEIWMCIVFAYIGVSVVLFLVSRFSPYEWHLEDNNEEPRDPQSPPDPPNEFGIF NSLWFSLGAFMQQGCDISPRSLSGRIVGGVWWFFTLIIISSYTANLAAFLTVERMVSPIE SAEDLAKQTEIAYGTLDSGSTKEFFRRSKIAVYEKMWSYMKSAEPSVFTKTTADGVARVR KSKGKFAFLLESTMNEYIEQRKPCDTMKVGGNLDSKGYGVATPKGSALRNAVNLAVLKLN EQGLLDKLKNKWWYDKGECGSGGGDSKDKTSALSLSNVAGVFYILVGGLGLAMMVALIEF CYKSRAESKRMKLTKNTQNFKPAPATNTQNYATYREGYNVYGTESVKI >ENSMUSP00000057815.5 pep:known chromosome:GRCm38:7:28376784:28380253:-1 gene:ENSMUSG00000044786.6 transcript:ENSMUST00000051241.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp36 description:zinc finger protein 36 [Source:MGI Symbol;Acc:MGI:99180] MDLSAIYESLQSMSHDLSSDHGGTESLGGLWNINSDSIPSGVTSRLTGRSTSLVEGRSCG WVPPPPGFAPLAPRPGPELSPSPTSPTATPTTSSRYKTELCRTYSESGRCRYGAKCQFAH GLGELRQANRHPKYKTELCHKFYLQGRCPYGSRCHFIHNPTEDLALPGQPHVLRQSISFS GLPSGRRSSPPPPGFSGPSLSSCSFSPSSSPPPPGDLPLSPSAFSAAPGTPVTRRDPNQA CCPSCRRSTTPSTIWGPLGGLARSPSAHSLGSDPDDYASSGSSLGGSDSPVFEAGVFGPP QTPAPPRRLPIFNRISVSE >ENSMUSP00000146830.1 pep:known chromosome:GRCm38:7:28376791:28379255:-1 gene:ENSMUSG00000044786.6 transcript:ENSMUST00000209061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp36 description:zinc finger protein 36 [Source:MGI Symbol;Acc:MGI:99180] MSHDLSSDHGGTESLGGLWNINSDSIPSGVTSRLTGRSTSLVEGRSCGWVPPPPGFAPLA PRPGPELSPSPTSPTATPTTSSRYKTELCRTYSESGRCRYGAKCQFAHGLGELRQANRHP KYKTELCHKFYLQGRCPYGSRCHFIHNPTEDLALPGQPHVLRQSISFSGLPSGRRSSPPP PGFSGPSLSSCSFSPSSSPPPPGDLPLSPSAFSAAPGTPVTRRDPNQACCPSCRRSTTPS TIWGPLGGLARSPSAHSLGSDPDDYASSGSSLGGSDSPVFEAGVFGPPQTPAPPRRLPIF NRISVSE >ENSMUSP00000098976.2 pep:known chromosome:GRCm38:X:75146056:75163753:-1 gene:ENSMUSG00000073094.9 transcript:ENSMUST00000101433.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim9 description:small integral membrane protein 9 [Source:MGI Symbol;Acc:MGI:3588243] MKPLKLFCIGLLLCPLVCLLLETAPPPSALLTLEVKEKTGLKSDAMGVFAIRKNTSDINR QVSGLQRPWMTKFKNHLSDFFKSSIPPAAIFALFVTTAIMRAAIVNKRLEEPHRQWTIDQ RSSLEMQNMNLIKLFGG >ENSMUSP00000132737.1 pep:known chromosome:GRCm38:X:75146234:75161620:-1 gene:ENSMUSG00000073094.9 transcript:ENSMUST00000165080.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smim9 description:small integral membrane protein 9 [Source:MGI Symbol;Acc:MGI:3588243] MKPLKLFCIGLLLCPLVCLLLETAPPPSALLTLEVKEKTGLKSDAMGVFAIRKNTSDINR QVSGLQRPWMTKFKNHLSDFFKSSIPPAAIFALFVTTAIMRAAIVNKRLEEPHRQWTIDQ RSSLEMQNMNLIKLFGG >ENSMUSP00000134793.1 pep:known chromosome:GRCm38:13:48487647:48513436:-1 gene:ENSMUSG00000050954.15 transcript:ENSMUST00000176176.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp169 description:zinc finger protein 169 [Source:MGI Symbol;Acc:MGI:1915161] MAPGLLTTRDKALMAFHDVAVAFSQMEWELLSPAQRILYRDVMLENYSHLVSLGIAFSKP KLITQLEQGAEPWRDGSGCFLDLCPEPRTELEPSLSSPTSADLLRQEALRTGIVGMASAG SFTFIDIGRPSMAINF >ENSMUSP00000135414.1 pep:known chromosome:GRCm38:13:48487659:48513394:-1 gene:ENSMUSG00000050954.15 transcript:ENSMUST00000177530.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp169 description:zinc finger protein 169 [Source:MGI Symbol;Acc:MGI:1915161] MAPGLLTTRDKALMAFHDVAVAFSQMEWELLSPAQRILYRDVMLENYSHLVSLGIAFSKP KLITQLEQGAEPWRDGSGCFLDLCPEPRTELEPSLSSPVSFASPEVLRVCVLNGPPSQTF PSPPAGSNFQSEAPGCSSNEGGEERDSSDALLQRTSPTRILKTFFSPCQDQAAYWVEGDS KQTDLGLAPEEAVTVLKGPDESASGAVLHGAFPLGLDDVTNLFSPQKHHVFPDCGGGFCQ SSDLMKLQRMDGVEKPYSCSECGHQFAQKASLTVHQRKHSGEKPHVCEECGRGFMYVSSL NSHKKIIHSGESPLVCPECGRGFRQKIDLLLHQRTHLDKKPFVCPECGRGFCRKASLLQH SWSHSGDNPFICSVCGRGFRLLSRLLTHQVTHSGEKPHVCPDCGQRFGQKGTLMRHQKTH TGEKPFVCRQCGWSFTQKVNLIRHQRIHTEVKPFLCPECGRAFEFKSLLTRHRKTHMGEK PYVCPQCGRGFSQKFNLIGHQRIHTGELPFLCPECGRAFVKQSMLIRHQRTHAGWEKPYQ CPECGRTFEFKSLLTRHQKIHTGEKPYVCPQCGRGFSQKFNLIGHQKTHTGEKPYACPQC GQRFRQKFNLARHQRIHTGEKPFLCAECGRAFGKKVSLIRHQRTHGEEKPYQCTECGRAF EFKSLFIRHQKTHTGEKPYVCPECGKAFSQKFNLIGHQRSHTGEKPYLCPQCGRAFAFKS LLTRHQRTHSK >ENSMUSP00000135520.1 pep:known chromosome:GRCm38:13:48491252:48513410:-1 gene:ENSMUSG00000050954.15 transcript:ENSMUST00000176996.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp169 description:zinc finger protein 169 [Source:MGI Symbol;Acc:MGI:1915161] MAPGLLTTRDKALMAFHDVAVAFSQMEWELLSPAQRILYRDVMLENYSHLVSLGIAFSKP KLITQLEQGAEPWRDGSGCFLDLCPEPRTELEPSLSSPVSFASPEVLRVCVLNGPPSQTF PSPPAGSNFQSEA >ENSMUSP00000135695.1 pep:known chromosome:GRCm38:13:48491330:48513426:-1 gene:ENSMUSG00000050954.15 transcript:ENSMUST00000176949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp169 description:zinc finger protein 169 [Source:MGI Symbol;Acc:MGI:1915161] MAPGLLTTRDKALMAFHDVAVAFSQMEWELLSPAQRILYRDVMLENYSHLVSLGIAFSKP KLITQLEQGAEPWRDGSGCFLDLCPAEPRTELEPSLSSPVSFASPEVL >ENSMUSP00000127591.1 pep:known chromosome:GRCm38:13:48489202:48506939:-1 gene:ENSMUSG00000050954.15 transcript:ENSMUST00000167682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp169 description:zinc finger protein 169 [Source:MGI Symbol;Acc:MGI:1915161] MAPGLLTTRDKALMAFHDVAVAFSQMEWELLSPAQRILYRDVMLENYSHLVSLGIAFSKP KLITQLEQGAEPWRDGSGCFLDLCPEPRTELEPSLSSPTSADLLRQEALRTGIVGMASAG SFTFIDIGRPSMAINF >ENSMUSP00000105737.2 pep:known chromosome:GRCm38:13:48489454:48506939:-1 gene:ENSMUSG00000050954.15 transcript:ENSMUST00000110110.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp169 description:zinc finger protein 169 [Source:MGI Symbol;Acc:MGI:1915161] MAPGLLTTRDKALMAFHDVAVAFSQMEWELLSPAQRILYRDVMLENYSHLVSLGIAFSKP KLITQLEQGAEPWRDGSGCFLDLCPEPRTELEPSLSSPVSFASPEVLRVCVLNGPPSQTF PSPPAGSNFQSEAPGCSSNEGGEERDSSDALLQRTSPTRILKTFFSPCQDQAAYWVEGDS KQTDLGLAPEEAVTVLKGPDESASGAVLHGAFPLGLDDVTNLFSPQKHHVFPDCGGGFCQ SSDLMKLQRMDGVEKPYSCSECGHQFAQKASLTVHQRKHSGEKPHVCEECGRGFMYVSSL NSHKKIIHSGESPLVCPECGRGFRQKIDLLLHQRTHLDKKPFVCPECGRGFCRKASLLQH SWSHSGDNPFICSVCGRGFRLLSRLLTHQVTHSGEKPHVCPDCGQRFGQKGTLMRHQKTH TGEKPFVCRQCGWSFTQKVNLIRHQRIHTEVKPFLCPECGRAFEFKSLLTRHRKTHMGEK PYVCPQCGRGFSQKFNLIGHQRIHTGELPFLCPECGRAFVKQSMLIRHQRTHAGWEKPYQ CPECGRTFEFKSLLTRHQKIHTGEKPYVCPQCGRGFSQKFNLIGHQKTHTGEKPYACPQC GQRFRQKFNLARHQRIHTGEKPFLCAECGRAFGKKVSLIRHQRTHGEEKPYQCTECGRAF EFKSLFIRHQKTHTGEKPYVCPECGKAFSQKFNLIGHQRSHTGEKPYLCPQCGRAFAFKS LLTRHQRTHSK >ENSMUSP00000051177.4 pep:known chromosome:GRCm38:6:24444865:24515067:-1 gene:ENSMUSG00000046192.4 transcript:ENSMUST00000052277.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqub description:IQ motif and ubiquitin domain containing [Source:MGI Symbol;Acc:MGI:3041159] MSDPEEERVADSTAHYEEAGKVISIPRPSDEAEGSDVMPEQDDEVQELTTESEENVERHS EFSLSTPKSDDSKPREEVTSLGSASGSQDQDYRLLDYQKGEDDELLFLHKIKAIKETLQT SVRESIATVKIVLIPAGQEIIMPFRVDAPFRFLKEHFAHLLHIPHYVLQITHEGIIVGNN ESLIQYGIKPQEIVQVEVFSTLPDQYPVRRIEGLSEGSQIITVTIQTSIDRYEEVAVEII KSDFHKPFLGGFRHKITGLEYHNAGTQTVPRKIPEKDNLFCRDTQTVFQKKKLQQTTNTT STQMTKIGVYVSNMTDKLLKPGNYFSAAEYHARRLHAVIVIQTSYRRWHAKRYVESLRKQ KKLRLEWEEEQELLKIQEKEEWIRMDYYRRHNPKTTEDFELLYNALELWRQEEVEQICHY SSEAERKAALCELLEKETQMIASIGRHRSAARMERQDAAIQAFLDKCSAPNVWRRGDGKT IEMDTQFTIRARELQSIYKCILLKDLSQDERLDILLTLKHTVKEHECKLTQEILELIDRE VDLMMRGVKPHNLEGLRKRITTLFIHYIKTPLFNPEVAKYLKVPQDPLKFYDTIYFCHSC QNYLPSVEFSVSPTSHRVYRCRHCINLENETQRRESFLKYKCLLQRLYYSEADYGDNSQI AFLMQLQDIKYLTENIWASQSALSAWDDLNDLVMVRWDKHVEWSPWNCILLTKDESTAHL RLPSIEKGYGHHFVHKIKHKHILAKNYFSQIPTLASLILNDDEVEDIRSKHSTKSPPKII ITRRIQPH >ENSMUSP00000025893.5 pep:known chromosome:GRCm38:19:6134383:6141406:-1 gene:ENSMUSG00000024944.5 transcript:ENSMUST00000025893.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl2 description:ADP-ribosylation factor-like 2 [Source:MGI Symbol;Acc:MGI:1928393] MGLLTILKKMKQKERELRLLMLGLDNAGKTTILKKFNGEDVDTISPTLGFNIKTLEHRGF KLNIWDVGGQKSLRSYWRNYFESTDGLIWVVDSADRQRMQDCQRELQSLLVEERLAGATL LIFANKQDLPGALSCNAIQEALELDSIRSHHWRIQGCSAVTGEDLLPGIDWLLDDISSRV FTAD >ENSMUSP00000140046.1 pep:known chromosome:GRCm38:13:106794439:106925150:-1 gene:ENSMUSG00000042590.16 transcript:ENSMUST00000186033.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipo11 description:importin 11 [Source:MGI Symbol;Acc:MGI:2442377] MDLNSASSVVLQVLTQATSQDTAVLKPAEEQLKQWETQPGFYSVLLNIFTNHTLDINVRW LAVLYFKHGIDRYWRRVAPHALSEEEKSTLRAGLITNFNEPINQIATQIAVLIAKVARLD CPRQWPELIPTLVESVKVQDDLRQHRALLTFYHVTKTLASKRLAADRKLFYDLASGIYNF ACSLWNHHTDTFLQHVSSGNEAAVLSSLERTLLSLKVLRKLTVNGFVEPHKNMEVMGFLH GIFERLKQFLECSRSIGTDNVCRDRLEKTIILFTKVLLDFLDQHPISFTPLIQRSLEFSV SYVFTEVGEGVTFERFIVQCMNLIKMIVKNYAYKPSKNFEDSSPETLEAHKIKMAFFTYP TLTEICRRLVSHYFLLTEEELTMWEEDPEGFTVEETGGDSWKYSLRPCTEVLFIDIFHEY NQTLTPVLLEMMQTLEGPTNVEDMNALLIKDAVYNAVGLAAFELFDSVDFDQWFKTQLLP ELQVSHNRYKPLRRRVIWLIGQWISVKFKSDLRPMLYEAICNLLQDQDLVVRIETATTLK LTVDDFEFRTDQFLPYLETMFTLLFQLLQQVTECDTKMHVLHVLSCVIERVNVQIRPYVG CLVQYLPLLWKQSEEHNMLRCAILTTLIHLVQGLGADSKNLYPFLLPVIQLSTDVSQPPH VYLLEDGLELWLVTLENSPCVTPELLRIFQNMSPLLELPSSCLANELSSENLRTCFKIIN GYIFLSSTEFLQTYAAGLCQSFYELLPEITTEGQVQVLKVVENALKVNPVLGPQMFQRIL PCVFRGVIEGERYPVVMSIYLAVMGRVLLQNTSFFSSLLNEMGHEFNQEMDQLLGNVIEM WVDRMDNITQPERKKLSALALLSLLPSDNSVIQDKFCGIINISVEALHDVMTEDPETRTY KDCMLMSQHEEPKVTEDEEPPTEQDKRKKMLALKDPVHTVSLQQFIYEKLKAQQEILGEQ GFQSLMETVDTEIVTQLQEFLQGF >ENSMUSP00000079667.7 pep:known chromosome:GRCm38:13:106794439:106936958:-1 gene:ENSMUSG00000042590.16 transcript:ENSMUST00000080856.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ipo11 description:importin 11 [Source:MGI Symbol;Acc:MGI:2442377] MDLNSASSVVLQVLTQATSQDTAVLKPAEEQLKQWETQPGFYSVLLNIFTNHTLDINVRW LAVLYFKHGIDRYWRRVAPHALSEEEKSTLRAGLITNFNEPINQIATQIAVLIAKVARLD CPRQWPELIPTLVESVKVQDDLRQHRALLTFYHVTKTLASKRLAADRKLFYDLASGIYNF ACSLWNHHTDTFLQHVSSGNEAAVLSSLERTLLSLKVLRKLTVNGFVEPHKNMEVMGFLH GIFERLKQFLECSRSIGTDNVCRDRLEKTIILFTKVLLDFLDQHPISFTPLIQRSLEFSV SYVFTEVGEGVTFERFIVQCMNLIKMIVKNYAYKPSKNFEDSSPETLEAHKIKMAFFTYP TLTEICRRLVSHYFLLTEEELTMWEEDPEGFTVEETGGDSWKYSLRPCTEVLFIDIFHEY NQTLTPVLLEMMQTLEGPTNVEDMNALLIKDAVYNAVGLAAFELFDSVDFDQWFKTQLLP ELQVSHNRYKPLRRRVIWLIGQWISVKFKSDLRPMLYEAICNLLQDQDLVVRIETATTLK LTVDDFEFRTDQFLPYLETMFTLLFQLLQQVTECDTKMHVLHVLSCVIERVNVQIRPYVG CLVQYLPLLWKQSEEHNMLRCAILTTLIHLVQGLGADSKNLYPFLLPVIQLSTDVSQPPH VYLLEDGLELWLVTLENSPCVTPELLRIFQNMSPLLELSSENLRTCFKIINGYIFLSSTE FLQTYAAGLCQSFYELLPEITTEGQVQVLKVVENALKVNPVLGPQMFQRILPCVFRGVIE GERYPVVMSIYLAVMGRVLLQNTSFFSSLLNEMGHEFNQEMDQLLGNVIEMWVDRMDNIT QPERKKLSALALLSLLPSDNSVIQDKFCGIINISVEALHDVMTEDPETRTYKDCMLMSQH EEPKVTEDEEPPTEQDKRKKMLALKDPVHTVSLQQFIYEKLKAQQEILGEQGFQSLMETV DTEIVTQLQEFLQGF >ENSMUSP00000140839.1 pep:known chromosome:GRCm38:13:106794447:106847430:-1 gene:ENSMUSG00000042590.16 transcript:ENSMUST00000190801.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ipo11 description:importin 11 [Source:MGI Symbol;Acc:MGI:2442377] XAVMGRVLLQNTSFFSSLLNEMGHEFNQEMDQLLGNVIEMWVDRMDNITQPERKKLSALA LLSLLPSDNSFLYPWQPLFYTLAVEEPV >ENSMUSP00000146173.1 pep:known chromosome:GRCm38:14:52443050:52451402:1 gene:ENSMUSG00000035626.3 transcript:ENSMUST00000206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1509 description:olfactory receptor 1509 [Source:MGI Symbol;Acc:MGI:3031343] MGALNQTRVTEFIFLGLTDNWVLEILFFVPFTVTYMLTLLGNFLIVVTIVFTPRLHNPMY FFLSNLSFIDICHSSVTVPKMLEGLLLERKTISFDNCIAQLFFLHLFACSEIFLLTIMAY DRYVAICIPLHYSNVMNMKVCVQLVFALWLGGTIHSLVQTFLTIRLPYCGPNIIDSYFCD VPPVIKLACTDTYLTGILIVSNSGTISLVCFLALVTSYTVILFSLRKQSAEGRRKALSTC SAHFMVVALFFGPCIFLYTRPDSSFSIDKVVSVFYTVVTPLLNPLIYTLRNEEVKTAMKH LRQRRICS >ENSMUSP00000145551.1 pep:known chromosome:GRCm38:14:52443051:52450791:1 gene:ENSMUSG00000035626.3 transcript:ENSMUST00000206718.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1509 description:olfactory receptor 1509 [Source:MGI Symbol;Acc:MGI:3031343] MGALNQTRVTEFIFLGLTDNWVLEILFFVPFTVTYMLTLLGNFLIVVTIVFTPRLHNPMY FFLSNLSFIDICHSSVTVPKMLEGLLLERKTISFDNCIAQLFFLHLFACSEIFLLTIMAY DRYVA >ENSMUSP00000145819.1 pep:known chromosome:GRCm38:14:52443086:52450625:1 gene:ENSMUSG00000035626.3 transcript:ENSMUST00000205900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1509 description:olfactory receptor 1509 [Source:MGI Symbol;Acc:MGI:3031343] MGALNQTRVTEFIFLGLTDNWVLEILFFVPFTVTYMLTLLGNFLIVVTIVFTPRLHNPMY FFLSNLSFID >ENSMUSP00000146274.1 pep:known chromosome:GRCm38:14:52449348:52450884:1 gene:ENSMUSG00000035626.3 transcript:ENSMUST00000206437.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1509 description:olfactory receptor 1509 [Source:MGI Symbol;Acc:MGI:3031343] MGALNQTRVTEFIFLGLTDNWVLEILFFVPFTVTYMLTLLGNFLIVVTIVFTPRLHNPMY FFLSNLSFIDICHSSVTVPKMLEGLLLERKTISFDNCIAQLFFLHLFACSEIFLLTIMAY DRYVAICIPLHYSNVMNMKVCVQLVFALWLGGTIHSL >ENSMUSP00000046688.2 pep:known chromosome:GRCm38:14:52450393:52451402:1 gene:ENSMUSG00000035626.3 transcript:ENSMUST00000045066.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1509 description:olfactory receptor 1509 [Source:MGI Symbol;Acc:MGI:3031343] MGALNQTRVTEFIFLGLTDNWVLEILFFVPFTVTYMLTLLGNFLIVVTIVFTPRLHNPMY FFLSNLSFIDICHSSVTVPKMLEGLLLERKTISFDNCIAQLFFLHLFACSEIFLLTIMAY DRYVAICIPLHYSNVMNMKVCVQLVFALWLGGTIHSLVQTFLTIRLPYCGPNIIDSYFCD VPPVIKLACTDTYLTGILIVSNSGTISLVCFLALVTSYTVILFSLRKQSAEGRRKALSTC SAHFMVVALFFGPCIFLYTRPDSSFSIDKVVSVFYTVVTPLLNPLIYTLRNEEVKTAMKH LRQRRICS >ENSMUSP00000110320.1 pep:known chromosome:GRCm38:X:67695698:67706350:1 gene:ENSMUSG00000079577.1 transcript:ENSMUST00000114672.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm14692 description:predicted gene 14692 [Source:MGI Symbol;Acc:MGI:3713084] MPPKGKKAKSKSVKRPQDSSDTQSDDVIQRRVPRTPAEQPVVIEISDESTSSDQDDQQAR ESVQKTAKKRQGDVTESHLAKKKQFCQDVVTSVKRLSEKLVSIYKRQKRERTMFHSNYSK SLQSLFQQWDMSVEKVGQEEDSFINSSHQHEKIIYNTMMAQKATIDQAKAISDQFLKNIQ ELEEKHKLLDALEQNRLENEMKNLKKKLVADNHQQDLAVLESCLHSMFS >ENSMUSP00000126356.1 pep:known chromosome:GRCm38:14:5253907:5260580:-1 gene:ENSMUSG00000094929.1 transcript:ENSMUST00000164544.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3526 description:predicted gene 3526 [Source:MGI Symbol;Acc:MGI:3781703] MRKISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKMTQSIIASMKFSK ELLKDNYSYSIKQKHRLHECTQLKEKVRILLNENRKVLVEQAGTQVSRGEEKRFCEEASK NICASSAKEQQCEIVQLKAEHGTDQDMISLKEKCWRKSTECAQEIHHCCLSSLITMAVMG CMLLLYFVSLV >ENSMUSP00000015346.5 pep:known chromosome:GRCm38:10:7119063:7212237:-1 gene:ENSMUSG00000015202.13 transcript:ENSMUST00000015346.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnksr3 description:Cnksr family member 3 [Source:MGI Symbol;Acc:MGI:2674130] MEPVTKWSPKQVVDWTRGLDDCLQPYVHKFEREKIDGEQLLKISHQDLEELGVTRIGHQE LVLEAVDLLCALNYGLETDTMKNLVLKLRASSHNLQNYISSRRKSPAYDGNTSRKPPNEF LTSVVELIGAAKALLAWLDRAPFTGITDLSVTKNKIIQLCLDLTTAVQKDCLIAEMEDKV LNVVKVLNGICDKTMRSTTDPVMSQCACLEEVHLPNVRPGEGLGMYIKSTYDGLHVITGT TENSPADRSQKIHAGDEVIQVNRQTVVGWQLKNLVRKLRENPTGVVLLLKKRPTGSFSFT PAPLKNLRWKPPLVQTSPPPTTTQSPESTMDASLKKEKPAILDLYIPPPPAVPYSPRDEN VSFGYRGHSKSKQPLPVRKGSESPNSFLDQESQRRRFTIADSDQLPGYSVETNVLPTKMR GKTPSYGKPRPLSMPADGNWMGIVDPFAKPRGNGRKGEDALCRYFSNERITPITEESASP MYRFSRPLTERHLVRGADYIRGSRCYINSDLHSSATIPFQEEGSKKKSASSSAKASSGEP SLLVSWLTRLKLLTH >ENSMUSP00000115863.1 pep:known chromosome:GRCm38:10:7119063:7135506:-1 gene:ENSMUSG00000015202.13 transcript:ENSMUST00000150282.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnksr3 description:Cnksr family member 3 [Source:MGI Symbol;Acc:MGI:2674130] MQSPADRSQKIHAGDEVIQVNRQTVVGWQLKNLVRKLRENPTGVVLLLKKRPTGSFSFTP APLKNLRWKPPLVQTSPPPTTTQSPESTMDASLKKEKPAILDLYIPPPPAVPYSPRDENV SFGYRGHSKSKQPLPVRKGSESPNSFLDQESQRRRFTIADSDQLPGYSVETNVLPTKMRG KTPSYGKPRPLSMPADGNWMGIVDPFAKPRGNGRKGEDALCRYFSNERITPITEESASPM YRFSRPLTERHLVRGADYIRGSRCYINSDLHSSATIPFQEEGSKKKSASSSAKASSGEPS LLVSWLTRLKLLTH >ENSMUSP00000045744.7 pep:known chromosome:GRCm38:19:6164439:6172476:1 gene:ENSMUSG00000039699.13 transcript:ENSMUST00000045042.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Batf2 description:basic leucine zipper transcription factor, ATF-like 2 [Source:MGI Symbol;Acc:MGI:1921731] MQLCGSSELLTETDLGESQKQLKKKQKNRVAAQRSRQKHTSKADALHQQHESLEKQNHAL RKEIQALQTELAGWGRTLHLHERLCRVDCDPCPVLLPSGCPIQAKQPSGQPAPLGYNGCQ EQLGLFQTPGSSPRAQHLSPGPCSHESPGLLPSLLPSLAFDPLMVRTPLAQLSPSPVLSA SSPSGSSLLGSFSKLDPLIPSPQDQLAPPQPLRQEQPTSGRLASSDSPAALGPECSQNRE HLPALPGSSTHWQKSSVAPSPQALMAFPLLSSAKVHF >ENSMUSP00000001566.8 pep:known chromosome:GRCm38:17:35833921:35838306:-1 gene:ENSMUSG00000001525.10 transcript:ENSMUST00000001566.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubb5 description:tubulin, beta 5 class I [Source:MGI Symbol;Acc:MGI:107812] MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGTYHGDSDLQLDRISVYYNEATGGKYV PRAILVDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVV RKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVV EPYNATLSVHQLVENTDETYCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCL RFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRALTVPELTQQVFDAKNMM AACDPRHGRYLTVAAVFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRG LKMAVTFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVS EYQQYQDATAEEEEDFGEEAEEEA >ENSMUSP00000134598.1 pep:known chromosome:GRCm38:17:35835901:35838208:-1 gene:ENSMUSG00000001525.10 transcript:ENSMUST00000134978.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tubb5 description:tubulin, beta 5 class I [Source:MGI Symbol;Acc:MGI:107812] MDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDSVLDVVRKEAESCDCLQG FQLTHSL >ENSMUSP00000000275.7 pep:known chromosome:GRCm38:8:55940509:56125429:1 gene:ENSMUSG00000038257.8 transcript:ENSMUST00000000275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glra3 description:glycine receptor, alpha 3 subunit [Source:MGI Symbol;Acc:MGI:95749] MPWIRLLSGSWISFRIMAHVRHFRTLVSGFYFWEAALLLSLVATKETNSARSRSAPMSPS DFLDKLMGRTSGYDARIRPNFKGPPVNVTCNIFINSFGSIAETTMDYRVNIFLRQKWNDP RLAYSEYPDDSLDLDPSMLDSIWKPDLFFANEKGANFHEVTTDNKLLRIFKNGNVLYSIR LTLTLSCPMDLKNFPMDVQTCIMQLESFGYTMNDLIFEWQDEAPVQVAEGLTLPQFLLKE EKDLRYCTKHYNTGKFTCIEVRFHLERQMGYYLIQMYIPSLLIVILSWVSFWINMDAAPA RVALGITTVLTMTTQSSGSRASLPKVSYVKAIDIWMAVCLLFVFSALLEYAAVNFVSRQH KELLRFRRKRKNKTEAFALEKFYRFSDTDDEVRESRFSFTAYGMGPCLQAKDGVVPKGPN HAVQVMPKSPDEMRKVFIDRAKKIDTISRACFPLAFLIFNIFYWVIYKILRHEDIHQQQD >ENSMUSP00000132515.1 pep:known chromosome:GRCm38:16:38558698:38591190:1 gene:ENSMUSG00000002845.14 transcript:ENSMUST00000163884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem39a description:transmembrane protein 39a [Source:MGI Symbol;Acc:MGI:1915096] MPSRRRGPSRQQLSRSALPSIQTLVGGGCGNGTGLRNRNGNAIGLPVPPTTALITPGPVR HCQIPDLPVDGSLFFEFLFFIYLLIVLFIQYINIYKTVWWYPYNHPASCTSLNFHLIDYY LAAFITVMLARRLVWALISEATKAGAASTVHYTALILARLVLLTLCGWVLCWTLVNLFRS HSVLNLLFLGYPFGVYVPLYCFHQDSRAHLLLTDYVVQHQAVEEAASNVGSLARSKDFLS LLLESLKEQFNNATPIPTHSCPLSPDLIRNEVECLKADFNHRIKEVLFNSLFSAYYVAFL PLCFVKSTQYYDMRWSCEHLIMVWINAFVMLTTQLLPSKYCDLLHKSAAHLGKWQKLEHG FYSNAPQHIWSENTIWPQGVLVRHSRCLYRAMGPYNVAVPSDVSHARFYFLFHRPLRVLN LLILIEGSVVFYQLYSLLRSEKWNHTLSMALILFCNYYVLFKLLRDRIVLGRAYSYPLNS YELKAN >ENSMUSP00000126218.1 pep:known chromosome:GRCm38:16:38562812:38592162:1 gene:ENSMUSG00000002845.14 transcript:ENSMUST00000171687.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem39a description:transmembrane protein 39a [Source:MGI Symbol;Acc:MGI:1915096] MPSRRRGPSRQQLSRSALPSIQTLVGGGCGNGTGLRNRNGNAIGLPVPPTTALITPGPVR HCQIPDLPVDGSLFFEFLFFIYLLIVLFIQYINIYKTVWWYPYNHPASCTSLNFHLIDYY LAAFITVMLARRLVWALISEATKAGAASTVHYTALILARLVLLTLCGWVLCWTLVNLFRS HSVLNLLFLGYPCPLSPDLIRNEVECLKADFNHRIKEVLFNSLFSAYYVAFLPLCFVKST QYYDMRWSCEHLIMVWINAFVMLTTQLLPSKYCDLLHKSAAHLGKWQKLEHGFYSNAPQH IWSENTIWPQGVLVRHSRCLYRAMGPYNVAVPSDVSHARFYFLFHRPLRVLNLLILIEGS VVFYQLYSLLRSEKWNHTLSMALILFCNYYVLFKLLRDRIVLGRAYSYPLNSYELKAN >ENSMUSP00000002924.8 pep:known chromosome:GRCm38:16:38562812:38592162:1 gene:ENSMUSG00000002845.14 transcript:ENSMUST00000002924.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem39a description:transmembrane protein 39a [Source:MGI Symbol;Acc:MGI:1915096] MPSRRRGPSRQQLSRSALPSIQTLVGGGCGNGTGLRNRNGNAIGLPVPPTTALITPGPVR HCQIPDLPVDGSLFFEFLFFIYLLIVLFIQYINIYKTVWWYPYNHPASCTSLNFHLIDYY LAAFITVMLARRLVWALISEATKAGAASTVHYTALILARLVLLTLCGWVLCWTLVNLFRS HSVLNLLFLGYPFGVYVPLYCFHQDSRAHLLLTDYVVQHQAVEEAASNVGSLARSKDFLS LLLESLKEQFNNATPIPTHSCPLSPDLIRNEVECLKADFNHRIKEVLFNSLFSAYYVAFL PLCFVKSTQYYDMRWSCEHLIMVWINAFVMLTTQLLPSKYCDLLHKSAAHLGKWQKLEHG FYSNAPQHIWSENTIWPQGVLVRHSRCLYRAMGPYNVAVPSDVSHARFYFLFHRPLRVLN LLILIEGSVVFYQLYSLLRSEKWNHTLSMALILFCNYYVLFKLLRDRIVLGRAYSYPLNS YELKAN >ENSMUSP00000130791.1 pep:known chromosome:GRCm38:16:38562861:38586257:1 gene:ENSMUSG00000002845.14 transcript:ENSMUST00000163948.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem39a description:transmembrane protein 39a [Source:MGI Symbol;Acc:MGI:1915096] MPSRRRGPSRQQLSRSALPSIQTLVGGGCGNGTGLRNRFGVYVPLYCFHQDSRAHLLLTD YVVQHQAVEEAASNVGSLARSKDFLSLLLESLKEQFNNATPIPTHSCPLSPDLIRNEVEC LKADFNHRIKEVLFNSLFSAYYVAFLPLCFVKSTQYYDMRWSCE >ENSMUSP00000130122.1 pep:known chromosome:GRCm38:16:38564189:38582000:1 gene:ENSMUSG00000002845.14 transcript:ENSMUST00000163133.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem39a description:transmembrane protein 39a [Source:MGI Symbol;Acc:MGI:1915096] MPSRRRGPSRQQLSRSALPSIQTLVGGGCGNGTGLRNRIFTSLIITWQHSSQ >ENSMUSP00000127040.1 pep:known chromosome:GRCm38:16:38575765:38591442:1 gene:ENSMUSG00000002845.14 transcript:ENSMUST00000166854.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem39a description:transmembrane protein 39a [Source:MGI Symbol;Acc:MGI:1915096] XLAAFITVMLARRLVWALISEATKAGAASTVHYTALILARLVLLTLCGWVLCWTLVNLFR SHSVLNLLFLGYPFGVYVPLYCFHQDSRAHLLLTDYVVQHQAVEEAASNVGSLARSKDFL SLLLESLKEQFNNATPIPTHSCPLSPDLIHLGTIA >ENSMUSP00000127143.1 pep:known chromosome:GRCm38:16:38582109:38590942:1 gene:ENSMUSG00000002845.14 transcript:ENSMUST00000169554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem39a description:transmembrane protein 39a [Source:MGI Symbol;Acc:MGI:1915096] XFLGYPFGVYVPLYCFHQDSRAHLLLTDYVVQHQAVEEAASNVGSLARSKDFLSLLLESL KEQFNNATPIPTHSCPLSPDLIRNEVECLKADFNHRIKEVLFNSLFSAYYVAFLPLCFVK STQYYDMRWSCEHLIMVWINAFVMLTTQLLPSKYCDLLHKSAAHLGKWQKLEHGFYSNAP QHISCFTAHYEC >ENSMUSP00000130186.1 pep:known chromosome:GRCm38:16:38585508:38591145:1 gene:ENSMUSG00000002845.14 transcript:ENSMUST00000165631.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem39a description:transmembrane protein 39a [Source:MGI Symbol;Acc:MGI:1915096] XFVKSTQYYDMRWSCEHLIMVWINAFVMLTTQLLPSKYCDLLHKSAAHLGKWQKLEHGFY SNAPQHIWSENTIWPQGVLVRHSRCLYRAMGPYNVAVPSDKWNHTLSMALILFCNYYVLF KLLRDRIVLGRAYSYPLNSYELKAN >ENSMUSP00000055295.7 pep:known chromosome:GRCm38:3:53448583:53463332:-1 gene:ENSMUSG00000042997.13 transcript:ENSMUST00000056749.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nhlrc3 description:NHL repeat containing 3 [Source:MGI Symbol;Acc:MGI:2444520] MARAWVCLAGAAFFLSCLVLHSRFCGSLVSRTFSFHVSWRMEDPLFRLDLGWPKNSEYFT GATFCVAVDSLNGLVYVAQRGDNIPKVLVFSEDGYFLRAWNYTVDTPHGMFVSGTPFEQS VWITDVGSGPYGHTVKKYNSLGDLVQVLGTPGKKGTGLNPLQFDNPAELYVDDTGEMYIV DGDGGLNNRLVKLSQDFMILWLRGENGTGPAKFNIPHSVTLDAVGRVWVADRGNKRLQVF DKDTGEWLGAWDNCFTEEGPSAVRFTPDGKYLIVAQLNLSRLSVLLAPPSGSIGDCSVVS TIQLADQVLPHLLEVDRKTGAVYVAEIGAKQIQKYIPWHSRTPAFGA >ENSMUSP00000114215.1 pep:known chromosome:GRCm38:3:53448588:53463323:-1 gene:ENSMUSG00000042997.13 transcript:ENSMUST00000130348.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nhlrc3 description:NHL repeat containing 3 [Source:MGI Symbol;Acc:MGI:2444520] MARAWVCLAGAAFFLSCLVLHSRFCGSLVSRTFSFHVSWRMEDPLFRLDLGWPKNSEYFT GATFCVAVDSLNGLVYVAQDPTAILLKNIIPWVILFKSWEPQARRALA >ENSMUSP00000047992.4 pep:known chromosome:GRCm38:1:20996299:21079229:-1 gene:ENSMUSG00000041779.5 transcript:ENSMUST00000037998.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tram2 description:translocating chain-associating membrane protein 2 [Source:MGI Symbol;Acc:MGI:1924817] MAFRRRTKSYPLFSQEFIIHNHADIGFCLVLCVLIGLMFEVTAKTAFLFILPQYNISVPT ADSETVHYHYGPKDLVTILFYVVITIIFHAVVQEYILDKISKRLHLSKVKHSKFNESGQL LVFHLSAVAWCFYVIVTEGYLTNPRSLWEDYPHVYLSFQVKFFYLGQLAYWLHSLPELYF QKVRKEEVPRQLQYICLYLLHITGAYLLNLSRLGLILLLLQYSTEALFHMARLFHFADEN NERLFNAWAAVFGVTRLFILTLAVLTIGFGLARVENQVFDPEKGNFNTLPCRLGMLLLVC VAQAWLMWRFIHSQLRHWREYWKEQSAKRRVSAVPRPPAKLLKREPGYHENGVVKAENGT SSRTKKLKSP >ENSMUSP00000134688.1 pep:known chromosome:GRCm38:7:15512340:15556446:1 gene:ENSMUSG00000054310.16 transcript:ENSMUST00000172881.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox1 description:oocyte specific homeobox 1 [Source:MGI Symbol;Acc:MGI:1918718] MAEGPSLHPKLQVDSNIPIEISSQIPQEPARNLAFQMRQSPLVTPGSTTKSSLSVPERNL LKQESQGPSRQSGCMLLSDKYVNKQTGPMASRKFRKERTVYTKEQQGLLQKHFDECQYPN KKKIVELALSVGVTKREIKIWFKNNRAKYRRMNLQNIEQVLPESNGSSKAVSESTHFPVV ASDNGESMCSGTFGEDSIPKFNCS >ENSMUSP00000067691.8 pep:known chromosome:GRCm38:7:15546982:15556866:1 gene:ENSMUSG00000054310.16 transcript:ENSMUST00000067288.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox1 description:oocyte specific homeobox 1 [Source:MGI Symbol;Acc:MGI:1918718] MAEGPSLHPKLQVDSNIPIEISSQIPQEPARNLAFQMRQSPLVTPGSTTKSSLSVPERNL LKQESQGPSRQSGCMLLSDKYVNKQTGPMASRKFRKERTVYTKEQQGLLQKHFDECQYPN KKKIVELALSVGVTKREIKIWFKNNRAKYRRMNLQNIEQVLPESNGSSKAVSESTHFPVV ASDNGESMCSGTFGEDSIPKFNCS >ENSMUSP00000133504.1 pep:known chromosome:GRCm38:7:15547277:15556373:1 gene:ENSMUSG00000054310.16 transcript:ENSMUST00000172463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox1 description:oocyte specific homeobox 1 [Source:MGI Symbol;Acc:MGI:1918718] MAEGPSLHPKLQVDSNIPIEISSQIPQEPARNLAFQMRQSPLVTPGSTTKSSLSVPERNL LKQESQGPSRQSGCMLLSDKYVNKQTGPMASRKFRKERTVYTKEQQGLLQKHFDECQYPN KKKIVELALSVGVTKREIKIWFKNNRAKYRRMNLQNIEQVLPESNGSSKAVSESTHFPVV ASDNGESMCSGTFGEDSIPKFNCS >ENSMUSP00000134043.1 pep:known chromosome:GRCm38:7:15547302:15556442:1 gene:ENSMUSG00000054310.16 transcript:ENSMUST00000173443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox1 description:oocyte specific homeobox 1 [Source:MGI Symbol;Acc:MGI:1918718] MAEGPSLHPKLQVDSNIPIEISSQIPQEPARNLAFQMRQSPLVTPGSTTKSSLSVPERNL LKQESQGPSRQSGCMLLSDKYVNKQTGPMASRKFRKERTVYTKEQQGLLQKHFDECQYPN KKKIVELALSVGVTKREIKIWFKNNRAKYRRMNLQNIEQVLPESNGSSKAVSESTHFPVV ASDNGESMCSGTFGEDSIPKFNCS >ENSMUSP00000133496.1 pep:known chromosome:GRCm38:7:15548411:15556297:1 gene:ENSMUSG00000054310.16 transcript:ENSMUST00000173245.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox1 description:oocyte specific homeobox 1 [Source:MGI Symbol;Acc:MGI:1918718] MAEGPSLHPKLQVDSNIPIEISSQIPQEPARNLAFQMRQSPLVTPGSTTKSSLSVPERNL LKQESQGPSRQSGCMLLSDKYVNKQTGPMASRKFRKERTVYTKEQQGLLQKHFDECQYPN KKKIVELALSVGVTKREIKIWFKNNRAKYRRMNLQNIEQVLPESNGSSKAVSESTHFPVV ASDNGESM >ENSMUSP00000138010.1 pep:known chromosome:GRCm38:7:15388948:15556846:1 gene:ENSMUSG00000054310.16 transcript:ENSMUST00000181001.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox1 description:oocyte specific homeobox 1 [Source:MGI Symbol;Acc:MGI:1918718] MAEGPSLHPKLQVDSNIPIEISSQIPQEPARNLAFQMRQSPLVTPGSTTKSSLSVPERNL LKQESQGPSRQSGCMLLSDKYVNKQTGPMASRKFRKERTVYTKEQQGLLQKHFDECQYPN KKKIVELALSVGVTKREIKIWFKNNRAKYRRMNLQNIEQVLPESNGSSKAVSESTHFPVV ASDNGESMCSGTFGEDSIPKFNCS >ENSMUSP00000085651.4 pep:known chromosome:GRCm38:5:24502757:24527202:-1 gene:ENSMUSG00000067724.5 transcript:ENSMUST00000088311.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbx1 description:gastrulation brain homeobox 1 [Source:MGI Symbol;Acc:MGI:95667] MSPEGDTPNPPARPLPPYERESAAPEPHCAEPAPRRHLGPRARSEPCVSARGARRGPGSA MQRAAGGGAPGGSGGSSGGPGAAFSIDSLIGPPPPRSGHLLYTGYPMFMPYRPLVLPQAL APAPLPAGLPPLAPLASFAGRLSNTFCAGLGQAVPSMVALTTALPSFAEPPDAYYGPPEL AAAAASTASRSNPEPAARRTDGALDAEELLPAREKVTEPPPPPPPHFSETFPSLPAEGKV YSSDEEKLEPPAGDPAGSEQEEEGSGGDSEDSFLDSSAGGPGALLGPKPKLKGSPGTGAE EGTPVATGVTTPGGKSRRRRTAFTSEQLLELEKEFHCKKYLSLTERSQIAHALKLSEVQV KIWFQNRRAKWKRIKAGNVSSRSGEPVRNPKIVVPIPVHVNRFAVRSQHQQMEQGARP >ENSMUSP00000127565.1 pep:known chromosome:GRCm38:14:5326255:5334928:-1 gene:ENSMUSG00000096023.1 transcript:ENSMUST00000169362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3542 description:predicted gene 3542 [Source:MGI Symbol;Acc:MGI:3781719] MFSRLLRLCQKENGDEGETRPKKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKTSNERKEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC EEERGSLRRPARTSVPQVQRNSSFPKCETVQQKLEHGTDHDMISLKEKCWWKRTGCAQEI HHCCLSSLITMAVMGCMLLLYFGFLGMNRP >ENSMUSP00000131583.1 pep:known chromosome:GRCm38:17:19577231:19612317:1 gene:ENSMUSG00000094892.1 transcript:ENSMUST00000171914.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r101 description:vomeronasal 2, receptor 101 [Source:MGI Symbol;Acc:MGI:3648468] MLLWIFIFRLLDIPKFVSAFTYNISRCYFIITEEFHHEGDVVVGAFFPLHTFYTEKKMPH STVPYEYLDNQIQYNFKNYQYILALQFAIEEINGNPNLLPNISLGFDFYNVRFTEKFTLD NAFIWLTALVQRKYLPNYSCKKRNFTAALTGTSWVTSAQIGTLLQLFKFPQINFGPYDPL LSDRDQYPSLYQMASKDTYLSLAIVSLMVHFRWSWVGLILPDDHKGNKIVSDFRDEMERK GICLAFVKMIPGTWTSHFAKFWEHMDETNVTIVYGDVDSLEGVMRNIEQRLLTRNVWNMN IEHHVIDRADYFMLDSFHGSLIFKHNYKENFDFTKFIQTVNPNKYPEDIYLPKLWYLFFK CSFADINCQVLNNCQTNASFDILPRHIFDVVMNAESTSIYNGVYAVAHSLHEMTRQQLQR QPYENGEGMLFFPWELNTFLKDIELKDKWSLDWRQTIDTEYDILNLWNLPKGLGLKMKIG SFSANAPQGKQLSLSEHMIQWPETFSEIPQSVCSESCKPGFRKVNLEGKAICCYKCTPCG DNEISNETDVYQCVKCPEGHYANKERKSCFQKSMTFLAYEDPLGMALASIALCLSALTFF VIGIFVKHRDTPIVKANNRTLSYILLITLTFCFLCSLNFIGQPNRATCILQQTTFAVTFT VALATVLAKAITVVLAFKVSFPGKIVRWLMLSRGPNYIIPICTLIQLLLCGIWMTTSPPF IDLDAHTEYGHIIILCNKGSAVAFHSVLGYLCFLALGSYTMAFLSRNLPDTFNESKFLSF SMLVFFCVWLTFLPVFHSTKGKIMVAMEVFSILASTTALLAFIFGPKCYIILLRPEENSF THIQKKTHSRRKNFPKI >ENSMUSP00000022791.8 pep:known chromosome:GRCm38:15:3965445:3979573:-1 gene:ENSMUSG00000022184.8 transcript:ENSMUST00000022791.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo4 description:F-box protein 4 [Source:MGI Symbol;Acc:MGI:2146220] MAGSEPRGAGSPPPASDWGRLEAAILSGWRTFWYSVAKERATPTASRKEAAEETSALTRL PVDVQLYILSFLSPHDLCQLGSTDHYWNKTIRDPILWRYFLLRDLPSWSSVDWKSLPDLE ILKKPISEVTDSTCLDYMEVYKMCCPYTRRALKASRPMYGVVTSFLHSLIIQNEPRFAMF GPGLEELNTSLVLSLMSSEDLCPTAGLPHRQIDGIGSGVNFQLNNQQKFNILILYSTTRK ERDRAREEHTSTVNKMFSLQSEGDEQQGSRYSVIPQIQKVCEVVDGFIYVANAEAHRRHE WQDEFSRIMAMTDPAFGSSGRPMLVLSCISQADVKRMPCFYLAHELHLSLLNHPWMVQDT EAETLTGFLNGIEWILEEVESKRAK >ENSMUSP00000022245.8 pep:known chromosome:GRCm38:13:118380110:118387252:-1 gene:ENSMUSG00000021731.9 transcript:ENSMUST00000022245.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps30 description:mitochondrial ribosomal protein S30 [Source:MGI Symbol;Acc:MGI:1926237] MAAARYWKLVPRGRGLSQNAAAKASATAPEVRDLEVVATPVARYPPIVASMTADSKAARQ RRVQRWQATVHAAPSVDEKIRILTKMQFKKYVVHPQISALNADRWYQSFTKTVFVSGLPP APALSPPPPSLDLAALRAAVCDCILQEQVYVRRRRPRSLFDRRQALASSILDQVVRTLVN LLAPLNPVLSTAALDCKRSVDFYWLRGEERIPAGHRKGHIDALRYQINDKPHNQIRISKQ LPEFVPLDYSIPTEIPVMKCKPDKLPLFRRQYENSIFTGSKTADPCCYGHTQFHLIPDRL KRERLIRQNQAEQVEAVFRANAIASLFAWTGAQAMYQGFWSEADVTRPFVSQAVITDGKY FSFFCYQLNTLALTVQADQNNPRKNLCWGSQSQPLYETVEDNDVKGFDDGTLLQIVHFLL NKPREDGAQLLASQEKELDLGP >ENSMUSP00000092110.3 pep:known chromosome:GRCm38:7:41399378:41499417:-1 gene:ENSMUSG00000092592.1 transcript:ENSMUST00000094532.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gm20449 description:predicted gene 20449 [Source:MGI Symbol;Acc:MGI:5141914] MFLAYGIRWNTAGATFKSILSLRKRNFTIQFAEWIYKEE >ENSMUSP00000078836.3 pep:known chromosome:GRCm38:4:114163384:114245028:1 gene:ENSMUSG00000057977.10 transcript:ENSMUST00000079915.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint11 description:selection and upkeep of intraepithelial T cells 11 [Source:MGI Symbol;Acc:MGI:2685415] MEPSASCLPGFFMVCILLKITVLTQVWSNKTRACCPLIWEFLEIVLFIAFLPLYLMFRIR GYGKMPCLSSMNIDVSTHDAEQNSSKSAKFQENYDVAGQMILETYEETIFCQHQESCEEY NYDPLLLSSLDALGTCEDEKFSQHQESFEEDEDLQSFSDFKIELYSKLGNLTH >ENSMUSP00000118895.1 pep:known chromosome:GRCm38:4:114163465:114228809:1 gene:ENSMUSG00000057977.10 transcript:ENSMUST00000145797.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint11 description:selection and upkeep of intraepithelial T cells 11 [Source:MGI Symbol;Acc:MGI:2685415] MEPSASCLPGFFMVCILLKITVLTQVMSLDIQINTQIPDTEEGVLVECTAESLFPPAEMT WRDSKGNIIPPSSTFDSQDRAGLLCLKSTILLKNRTEGPITCSIYNKTTNQEKRRSIILS DVLFRPQYMSLMSNNLLYLGIYLIFILFLNFLKGILFCLTKRLVHFRKRMIKIKKVWSNK TRACCPLIW >ENSMUSP00000119157.1 pep:known chromosome:GRCm38:4:114163465:114228871:1 gene:ENSMUSG00000057977.10 transcript:ENSMUST00000151810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint11 description:selection and upkeep of intraepithelial T cells 11 [Source:MGI Symbol;Acc:MGI:2685415] MSLDIQINTQIPDTEEGVLVECTAESLFPPAEMTWRDSKGNIIPPSSTFDSQDRAGLLCL KSTILLKNRTEGPITCSIYNKTTNQEKRRSIILSDVLFRPQYMSLMSNNLLYLGIYLIFI LFLNFLKGILFCLTKRLVHFRKRMIKIKKVWSNKTRACCPLIWEFLEIVLFIAFLPLYLM FRIR >ENSMUSP00000127138.1 pep:known chromosome:GRCm38:4:114163384:114245028:1 gene:ENSMUSG00000057977.10 transcript:ENSMUST00000164297.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint11 description:selection and upkeep of intraepithelial T cells 11 [Source:MGI Symbol;Acc:MGI:2685415] MEPSASCLPGFFMVCILLKITVLTQVMSLDIQINTQIPDTEEGVLVECTAESLFPPAEMT WRDSKGNIIPPSSTFDSQDRAGLLCLKSTILLKNRTEGPITCSIYNKTTNQEKRRSIILS DVLFRPQYMSLMSNNLLYLGIYLIFILFLNFLKGILFCLTKRLVHFRKRMIKIKKVWSNK TRACCPLIWEFLEIVLFIAFLPLYLMFRIRVFTLDEAHILYNNWLWKVCKTLIAMMILFT VLILFLLWTLNRYGKMPCLSSMNIDVSTHDAEQNSSKSAKFQENYDVAGQMILETYEETI FCQHQESCEEYNYDPLLLSSLDALGTCEDEKFSQHQESFEEDEDLQSFSDFKIELYSKLG NLTH >ENSMUSP00000096109.3 pep:known chromosome:GRCm38:7:41478874:41499890:-1 gene:ENSMUSG00000074166.7 transcript:ENSMUST00000098509.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW146154 description:expressed sequence AW146154 [Source:MGI Symbol;Acc:MGI:2142212] MNGMTYDDVHVNFTGEEWALLSPSQKRLYKDVMLETYRNLTAIGCSWENRNIEEYFQRSR RYGRHERSHTGEKPSVYTQCNKAFAYQSHPQRHHRIHTGEKPYEGIQHDKAFAHHNHLPR RERIHAGEKPYKCNQCGKSFAHCSYLRKHKRIHSGEKPHECNQCGKAFACHSNLRIHKRT HTGEKPYECNQCGQAFACQGNLRLHERTHTGEKPYECNQCGQAFASHSHLQIHKRTHTGE KPYKCNQCDKAFSQGSSLQIHKRTHTKEKPYECNQCGKAYICHSSLRIHKRTHTGEKPYE CNQCGKAFASHSTLRIHKRTHTAEKPYECNQCGKAFTRHNSLQIHKRTHTGEKPYKCDEC DKAFAQQGHLRIHKRTHTGEKPYKCSQCDKAFARHSHLQIHERIHTGEKPYRCNQCNKAF AQYGSLQIHKSTHTAEKPYKCNECGKTFSQLSYLKIHKRTHNREKPYK >ENSMUSP00000140638.1 pep:known chromosome:GRCm38:15:74994877:74997907:-1 gene:ENSMUSG00000075602.10 transcript:ENSMUST00000189068.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6a description:lymphocyte antigen 6 complex, locus A [Source:MGI Symbol;Acc:MGI:107527] MDTSHTTKSCLLILLVALLCAERAQGLECYQCYGVPFETSCPSITCPYPDGVCVTQEAAV IVDSQTRKVKNNLCLPICPPNIESMEILGTKVNVKTSCCQEDLCNVAVPNGGSTWTMAGV LLFSLSSVLLQTLL >ENSMUSP00000140287.1 pep:known chromosome:GRCm38:15:74994878:74998031:-1 gene:ENSMUSG00000075602.10 transcript:ENSMUST00000187994.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6a description:lymphocyte antigen 6 complex, locus A [Source:MGI Symbol;Acc:MGI:107527] MDTSHTTKSCLLILLVALLCAERAQGLECYQCYGVPFETSCPSITCPYPDGVCVTQEAAV IVDSQTRKVKNNLCLPICPPNIESMEILGTKVNVKTSCCQEDLCNVAVPNGGSTWTMAGV LLFSLSSVLLQTLL >ENSMUSP00000140998.1 pep:known chromosome:GRCm38:15:74994899:74997928:-1 gene:ENSMUSG00000075602.10 transcript:ENSMUST00000186526.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6a description:lymphocyte antigen 6 complex, locus A [Source:MGI Symbol;Acc:MGI:107527] MDTSHTTKSCLLILLVALLCAERAQGLECYQCYGVPFETSCPSITCPYPDGVCVTQEAAV IVDSQTRKVKNNLCLPICPPNIESMEILGTKVNVKTSCCQEDLCNVAVPNGGSTWTMAGV LLFSLSSVLLQTLL >ENSMUSP00000140099.1 pep:known chromosome:GRCm38:15:74994951:74997987:-1 gene:ENSMUSG00000075602.10 transcript:ENSMUST00000187171.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6a description:lymphocyte antigen 6 complex, locus A [Source:MGI Symbol;Acc:MGI:107527] MDTSHTTKSCLLILLVALLCAERAQGLECYQCYGVPFETSCPSITCPYPDGVCVTQEAAV IVDSQTRKVKNNLCLPICPPNIESMEILGTKVNVKTSCCQEDLCNVAVPNGGSTWTMAGV LLFSLSSVLLQTLL >ENSMUSP00000139488.1 pep:known chromosome:GRCm38:15:74996534:74997835:-1 gene:ENSMUSG00000075602.10 transcript:ENSMUST00000190188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6a description:lymphocyte antigen 6 complex, locus A [Source:MGI Symbol;Acc:MGI:107527] MDTSHTTKSCLLILLVALLCAERAQGLECYQCYGV >ENSMUSP00000023248.6 pep:known chromosome:GRCm38:15:74994878:74997634:-1 gene:ENSMUSG00000075602.10 transcript:ENSMUST00000023248.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6a description:lymphocyte antigen 6 complex, locus A [Source:MGI Symbol;Acc:MGI:107527] MDTSHTTKSCLLILLVALLCAERAQGLECYQCYGVPFETSCPSITCPYPDGVCVTQEAAV IVDSQTRKVKNNLCLPICPPNIESMEILGTKVNVKTSCCQEDLCNVAVPNGGSTWTMAGV LLFSLSSVLLQTLL >ENSMUSP00000110611.2 pep:known chromosome:GRCm38:16:34152024:34514048:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000114960.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MTDRFWDQWYLWYLRLLRLLDRGSFRNDGLKASDVLPILKEKVAFVSGGRDKRGGPILTF PARSNHDRIRQEDLRKLVTYLASVPSEDVCKRGFTVIIDMRGSKWDLIKPLLKTLQEAFP AEIHVALIIKPDNFWQKQKTNFGSSKFIFETSMVSVEGLTKLVDPSQLTEEFDGSLDYNH EEWIELRLSLEEFFNSAVHLLSRLEDLQEMLARKEFPVDVEGSRRLIDEHTQLKKKVLKA PVEELDREGQRLLQCIRCSDGFSGRNCIPGSADFQSLVPKITSLLDKLHSTRQHLHQMWH VRKLKLDQCFQLRLFEQDAEKMFDWISHNKELFLQSHTEIGVSYQHALDLQTQHNHFAMN SMNAYVNINRIMSVASRLSEAGHYASQQIKQISTQLDQEWKSFAAALDERSTILAMSAVF HQKAEQFLSGVDAWCKMCSEGGLPSEMQDLELAIHHHQSLYEQVTQAYTEVSQDGKALLD VLQRPLSPGNSESLTATANYSKAVHQVLDVVHEVLHHQRRLESIWQHRKVRLHQRLQLCV FQQDVQQVLDWIENHGEAFLSKHTGVGKSLHRARALQKRHDDFEEVAQNTYTNADKLLEA AEQLAQTGECDPEEIYKAARHLEVRIQDFVRRVEQRKLLLDMSVSFHTHTKELWTWMEDL QKEVLEDVCADSVDAVQELIKQFQQQQTATLDATLNVIKEGEDLIQQLRSAPPSLGEPTE ARDSAMSNNKTPHSSSISHIESVLQQLDDAQVQMEELFHERKIKLDIFLQLRIFEQYTIE VTAELDAWNEDLLRQMNDFNTEDLTLAEQRLQRHTERKLAMNNMTFEVIQQGQDLHQYIM EVQASGIELICEKDLDLAAQVQELLEFLHEKQHELELNAEQTHKRLEQCLQLRHLQAEVK QVLGWIRNGESMLNASLVNASSLSEAEQLQREHEQFQLAIEKTHQSALQVQQKAEALLQA GHYDADAIRECAEKVALHWQQLMLKMEDRLKLVNASVAFYKTSEQVCSVLESLEQEYRRD EDWCGGRDKLGPAAEMDHVIPLLSKHLEQKEAFLKACTLARRNAEVFLKYIHRNNVSMPS VASHTRGPEQQVKAILSELLQRENRVLHFWTLKKRRLDQCQQYVVFERSAKQALDWIQET GEYYLSTHTSTGETTEETQELLKEYGEFRVPAKQTKEKVKLLIQLADSFVEKGHIHATEI RKWVTTVDKHYRDFSLRMGKYRYSLEKALGVNTEDNKDLELDIIPASLSDREVKLRDANH EINEEKRKSARKKEFIMAELLQTEKAYVRDLHECLETYLWEMTSGVEEIPPGILNKEHII FGNIQEIYDFHNNIFLKELEKYEQLPEDVGHCFVTWADKFQMYVTYCKNKPDSNQLILEH AGTFFDEIQQRHGLANSISSYLIKPVQRVTKYQLLLKELLTCCEEGKGELKDGLEVMLSV PKKANDAMHVSMLEGFDENLDVQGELILQDAFQVWDPKSLIRKGRERHLFLFEISLVFSK EIKDSSGHTKYVYKNKLLTSELGVTEHVEGDPCKFALWSGRTPSSDNKTVLKASNIETKQ EWIKNIREVIQERIIHLKGALKEPIQLPKTPAKLRNNSKRDGVEDGDSQGDGSSQPDTIS IASRTSQNTVESDKDGNLVPRWHLGPGDPFSTYV >ENSMUSP00000123416.1 pep:known chromosome:GRCm38:16:34153467:34513944:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000151491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] YLRLLRLLDRGSFRNDGLKASDVLPILKEKVAFVSGGRDKRGGPILTFPARSNHDRIRQE DLRKLVTYLASVPSEDVCKRGFTVIIDMRGSKWDLIKPLLKTLQEAFPAEIHVALIIKPD NFWQKQKTNFGSSKFIFETSMVSVEGLTKLVDPSQLTEEFDGSLDYNHEEWIELRLSLEE FFNSAVHLLSRLEDLQEMLARKEFPVDVEGSRRLIDEHTQLKKKVLKAPVEELDREGQRL LQCIRCSDGFSGRNCIPGSADFQSLVPKITSLLDKLHSTRQHLHQMWHVRKLKLDQCFQL RLFEQDAEKMFDWISHNKELFLQSHTEIGVSYQHALDLQTQHNHFAMNSMNAYVNINRIM SVASRLSEAGHYASQQIKQISTQLDQEWKSFAAALDERSTILAMSAVFHQKAEQFLSGVD AWCKMCSEGGLPSEMQDLELAIHHHQSLYEQVTQAYTEVSQDGKALLDVLQRPLSPGNSE SLTATANYSKAVHQVLDVVQMEELFHERKIKLDIFLQLRIFEQYTIEVTAELDAWNEDLL RQMNDFNTEDLTLAEQRLQRHTERKLAMNNMTFEVIQQGQDLHQYIMEVQASGIELICEK DLDLAAQVQELLEFLHEKQHELELNAEQTHKRLEQCLQLRHLQAEVKQVLGWIRNGESML NASLVNASSLSEAEQLQREHEQFQLAIESLFHATSLQKTHQSALQVQQKAEALLQAGHYD ADAIRECAEKVALHWQQLMLKMEDRLKLVNASVAFYKTSEQVCSVLESLEQEYRRDEDWC GGRDKLGPAAEMDHVIPLLSKHLEQKEAFLKACTLARRNAEVFLKYIHRNNVSMPSVASH TRGPEQQVKAILSELLQRENRVLHFWTLKKRRLDQCQQYVVFERSAKQALDWIQETGEYY LSTHTSTGETTEETQELLKEYGEFRVPAKQTKEKVKLLIQLADSFVEKGHIHATEIRKWV TTVDKHYRDFSLRMGKYRYSLEKALGVNTEDNKDLELDIIPASLSDREVKLRDANHEINE EKRKSARKKEFIMAELLQTEKAYVRDLHECLETYLWEMTSGVEEIPPGILNKEHIIFGNI QEIYDFHNNIFLKELEKYEQLPEDVGHCFVTWADKFQMYVTYCKNKPDSNQLILEHAGTF FDEIQQRHGLANSISSYLIKPVQRVTKYQLLLKELLTCCEEGKGELKDGLEVMLSVPKKA NDAMHVSMLEGFDENLDVQGELILQDAFQVWDPKSLIRKGRERHLFLFEISLVFSKEIKD SSGHTKYVYKNKLLTSELGVTEHVEGDPCKFALWSGRTPSSDNKTVLKASNIETKQEWIK NIREVIQERIIHLKGALKEPIQLPKTPAKLRNNSKRDGVEDGDSQGDGSSQPDTISIASR TSQNTVESDKDGNLVPRWHLGPG >ENSMUSP00000110599.1 pep:known chromosome:GRCm38:16:34153400:34263193:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000114949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MSVSFHTHTKELWTWMEDLQKEVLEDVCADSVDAVQELIKQFQQQQTATLDATLNVIKEG EDLIQQLRSAPPSLGEPTEARDSAMSNNKTPHSSSISHIESVLQQLDDAQVQMEELFHER KIKLDIFLQLRIFEQYTIEVTAELDAWNEDLLRQMNDFNTEDLTLAEQRLQRHTERKLAM NNMTFEVIQQGQDLHQYIMEVQASGIELICEKDLDLAAQVQELLEFLHEKQHELELNAEQ THKRLEQCLQLRHLQAEVKQVLGWIRNGESMLNASLVNASSLSEAEQLQREHEQFQLAIE KTHQSALQVQQKAEALLQAGHYDADAIRECAEKVALHWQQLMLKMEDRLKLVNASVAFYK TSEQVCSVLESLEQEYRRDEDWCGGRDKLGPAAEMDHVIPLLSKHLEQKEAFLKACTLAR RNAEVFLKYIHRNNVSMPSVASHTRGPEQQVKAILSELLQRENRVLHFWTLKKRRLDQCQ QYVVFERSAKQALDWIQETGEYYLSTHTSTGETTEETQELLKEYGEFRVPAKQTKEKVKL LIQLADSFVEKGHIHATEIRKWVTTVDKHYRDFSLRMGKYRYSLEKALGVNTEDNKDLEL DIIPASLSDREVKLRDANHEINEEKRKSARKKEFIMAELLQTEKAYVRDLHECLETYLWE MTSGVEEIPPGILNKEHIIFGNIQEIYDFHNNIFLKELEKYEQLPEDVGHCFVTWADKFQ MYVTYCKNKPDSNQLILEHAGTFFDEIQQRHGLANSISSYLIKPVQRVTKYQLLLKELLT CCEEGKGELKDGLEVMLSVPKKANDAMHVSMLEGFDENLDVQGELILQDAFQVWDPKSLI RKGRERHLFLFEISLVFSKEIKDSSGHTKYVYKNKLLTSELGVTEHVEGDPCKFALWSGR TPSSDNKTVLKASNIETKQEWIKNIREVIQERIIHLKGALKEPIQLPKTPAKLRNNSKRD GVEDGDSQGDGSSQPDTISIASRTSQNTVESDKDGNLVPRWHLGPGDPFSTYV >ENSMUSP00000087084.5 pep:known chromosome:GRCm38:16:34152035:34514086:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000089655.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MTDRFWDQWYLWYLRLLRLLDRGSFRNDGLKASDVLPILKEKVAFVSGGRDKRGGPILTF PARSNHDRIRQEDLRKLVTYLASVPSEDVCKRGFTVIIDMRGSKWDLIKPLLKTLQEAFP AEIHVALIIKPDNFWQKQKTNFGSSKFIFETSMVSVEGLTKLVDPSQLTEEFDGSLDYNH EEWIELRLSLEEFFNSAVHLLSRLEDLQEMLARKEFPVDVEGSRRLIDEHTQLKKKVLKA PVEELDREGQRLLQCIRCSDGFSGRNCIPGSADFQSLVPKITSLLDKLHSTRQHLHQMWH VRKLKLDQCFQLRLFEQDAEKMFDWISHNKELFLQSHTEIGVSYQHALDLQTQHNHFAMN SMNAYVNINRIMSVASRLSEAGHYASQQIKQISTQLDQEWKSFAAALDERSTILAMSAVF HQKAEQFLSGVDAWCKMCSEGGLPSEMQDLELAIHHHQSLYEQVTQAYTEVSQDGKALLD VLQRPLSPGNSESLTATANYSKAVHQVLDVVHEVLHHQRRLESIWQHRKVRLHQRLQLCV FQQDVQQVLDWIENHGEAFLSKHTGVGKSLHRARALQKRHDDFEEVAQNTYTNADKLLEA AEQLAQTGECDPEEIYKAARHLEVRIQDFVRRVEQRKLLLDMSVSFHTHTKELWTWMEDL QKEVLEDVCADSVDAVQELIKQFQQQQTATLDATLNVIKEGEDLIQQLRSAPPSLGEPTE ARDSAMSNNKTPHSSSISHIESVLQQLDDAQVQMEELFHERKIKLDIFLQLRIFEQYTIE VTAELDAWNEDLLRQMNDFNTEDLTLAEQRLQRHTERKLAMNNMTFEVIQQGQDLHQYIM EVQASGIELICEKDLDLAAQVQELLEFLHEKQHELELNAEQTHKRLEQCLQLRHLQAEVK QVLGWIRNGESMLNASLVNASSLSEAEQLQREHEQFQLAIESLFHATSLQKTHQSALQVQ QKAEALLQAGHYDADAIRECAEKVALHWQQLMLKMEDRLKLVNASVAFYKTSEQVCSVLE SLEQEYRRDEDWCGGRDKLGPAAEMDHVIPLLSKHLEQKEAFLKACTLARRNAEVFLKYI HRNNVSMPSVASHTRGPEQQVKAILSELLQRENRVLHFWTLKKRRLDQCQQYVVFERSAK QALDWIQETGEYYLSTHTSTGETTEETQELLKEYGEFRVPAKQTKEKVKLLIQLADSFVE KGHIHATEIRKWVTTVDKHYRDFSLRMGKYRYSLEKALGVNTEDNKDLELDIIPASLSDR EVKLRDANHEINEEKRKSARKKEFIMAELLQTEKAYVRDLHECLETYLWEMTSGVEEIPP GILNKEHIIFGNIQEIYDFHNNIFLKELEKYEQLPEDVGHCFVTWADKFQMYVTYCKNKP DSNQLILEHAGTFFDEIQQRHGLANSISSYLIKPVQRVTKYQLLLKELLTCCEEGKGELK DGLEVMLSVPKKANDAMHVSMLEGFDENLDVQGELILQDAFQVWDPKSLIRKGRERHLFL FEISLVFSKEIKDSSGHTKYVYKNKLLTSELGVTEHVEGDPCKFALWSGRTPSSDNKTVL KASNIETKQEWIKNIREVIQERIIHLKGALKEPIQLPKTPAKLRNNSKRDGVEDGDSQGD GSSQPDTISIASRTSQNTVESDKDGNLVPRWHLGPGDPFSTYV >ENSMUSP00000110603.1 pep:known chromosome:GRCm38:16:34152095:34262965:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000114953.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MSVSFHTHTKELWTWMEDLQKEVLEDVCADSVDAVQELIKQFQQQQTATLDATLNVIKEG EDLIQQLRSAPPSLGEPTEARDSAMSNNKTPHSSSISHIESVLQQLDDAQVQMEELFHER KIKLDIFLQLRIFEQYTIEVTAELDAWNEDLLRQMNDFNTEDLTLAEQRLQRHTERKLAM NNMTFEVIQQGQDLHQYIMEVQASGIELICEKDLDLAAQVQELLEFLHEKQHELELNAEQ THKRLEQCLQLRHLQAEVKQVLGWIRNGESMLNASLVNASSLSEAEQLQREHEQFQLAIE SLFHATSLQKTHQSALQVQQKAEALLQAGHYDADAIRECAEKVALHWQQLMLKMEDRLKL VNASVAFYKTSEQVCSVLESLEQEYRRDEDWCGGRDKLGPAAEMDHVIPLLSKHLEQKEA FLKACTLARRNAEVFLKYIHRNNVSMPSVASHTRGPEQQVKAILSELLQRENRVLHFWTL KKRRLDQCQQYVVFERSAKQALDWIQETGEYYLSTHTSTGETTEETQELLKEYGEFRVPA KQTKEKVKLLIQLADSFVEKGHIHATEIRKWVTTVDKHYRDFSLRMGKYRYSLEKALGVN TEDNKDLELDIIPASLSDREVKLRDANHEINEEKRKSARKKEFIMAELLQTEKAYVRDLH ECLETYLWEMTSGVEEIPPGILNKEHIIFGNIQEIYDFHNNIFLKELEKYEQLPEDVGHC FVTWADKFQMYVTYCKNKPDSNQLILEHAGTFFDEIQQRHGLANSISSYLIKPVQRVTKY QLLLKELLTCCEEGKGELKDGLEVMLSVPKKANDAMHVSMLEGFDENLDVQGELILQDAF QVWDPKSLIRKGRERHLFLFEISLVFSKEIKDSSGHTKYVYKNKLLTSELGVTEHVEGDP CKFALWSGRTPSSDNKTVLKASNIETKQEWIKNIREVIQERIIHLKGALKEPIQLPKTPA KLRNNSKRDGVEDGDSQGDGSSQPDTISIASRTSQNTVESDKDGNLVPRWHLGPGDPFST YV >ENSMUSP00000110604.1 pep:known chromosome:GRCm38:16:34152025:34263200:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000114954.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MSVSFHTHTKELWTWMEDLQKEVLEDVCADSVDAVQELIKQFQQQQTATLDATLNVIKEG EDLIQQLRSAPPSLGEPTEARDSAMSNNKTPHSSSISHIESVLQQLDDAQVQMEELFHER KIKLDIFLQLRIFEQYTIEVTAELDAWNEDLLRQMNDFNTEDLTLAEQRLQRHTERKLAM NNMTFEVIQQGQDLHQYIMEVQASGIELICEKDLDLAAQVQELLEFLHEKQHELELNAEQ THKRLEQCLQLRHLQAEVKQVLGWIRNGESMLNASLVNASSLSEAEQLQREHEQFQLAIE SLFHATSLQKTHQSALQVQQKAEALLQAGHYDADAIRECAEKVALHWQQLMLKMEDRLKL VNASVAFYKTSEQVCSVLESLEQEYRRDEDWCGGRDKLGPAAEMDHVIPLLSKHLEQKEA FLKACTLARRNAEVFLKYIHRNNVSMPSVASHTRGPEQQVKAILSELLQRENRVLHFWTL KKRRLDQCQQYVVFERSAKQALDWIQETGEYYLSTHTSTGETTEETQELLKEYGEFRVPA KQTKEKVKLLIQLADSFVEKGHIHATEIRKWVTTVDKHYRDFSLRMGKYRYSLEKALGVN TEDNKDLELDIIPASLSDREVKLRDANHEINEEKRKSARKKEFIMAELLQTEKAYVRDLH ECLETYLWEMTSGVEEIPPGILNKEHIIFGNIQEIYDFHNNIFLKELEKYEQLPEDVGHC FVTWADKFQMYVTYCKNKPDSNQLILEHAGTFFDEIQQRHGLANSISSYLIKPVQRVTKY QLLLKELLTCCEEGKGELKDGLEVMLSVPKKANDAMHVSMLEGFDENLDVQGELILQDAF QVWDPKSLIRKGRERHLFLFEISLVFSKEIKDSSGHTKYVYKNKLLTSELGVTEHVEGDP CKFALWSGRTPSSDNKTVLKASNIETKQEWIKNIREVIQERIIHLKGALKEPIQLPKTPA KLRNNSKRDGVEDGDSQGDGSSQPDTISIASRTSQNTVESDKDGNLVPRWHLGPGDPFST YV >ENSMUSP00000116188.1 pep:known chromosome:GRCm38:16:34013137:34392109:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000142817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] XSFRNDGLKASDVLPILKEKVAFVSGGRDKRGGPILTFPARSNHDRIRQEDLRKLVTYLA SVPSEDVCKRGFTVIIDMRGSKWDLIKPLLKTLQEAFPAEIHVALIIKPDNFWQKQKTNF GSSKFIFETSMVSVEGLTKLVDPSQLTEEFDGSLDYNHEEWIELRLSLEEFFNSAVHLLS RLEDLQEMLARKEFPVDVEGSRRLIDEHTQLKKKVLKAPVEELDREGQRLLQCIRCSDGF SGRNCIPGSADFQSLVPKITSLLDKLHSTRQHLHQMWHVRKLKLDQCFQLRLFEQDAEKM FDWISHNKELFLQSHTEIGVSYQHALDLQTQHNHFAMNSMNAYVNINRIMSVASRLSEAG HYASQQIKQISTQLDQEWKSFAAALDERSTILAMSAVFHQKAEQFLSGVDAWCKMCSEGG LPSEMQDLELAIHHHQSLYEQVTQAYTEVSQDGKALLDVLQRPLSPGNSESLTATANYSK AVHQVLDVVHEVLHHQRRLESIWQHRKVRLHQRLQLCVFQQDVQQVLDWIENHGEAFLSK HTGVGKSLHRARALQKRHDDFEEVAQNTYTNADKLLEAAEQLAQTGECDPEEIYKAARHL EVRIQDFVRRVEQRKLLLDMSVSFHTHTKELWTWMEDLQKEVLEDVCADSVDAVQELIKQ FQQQQTATLDATLNVIKEGEDLIQQLRSAPPSLGEPTEARDSAMSNNKTPHSSSISHIES VLQQLDDAQVQMEELFHERKIKLDIFLQLRIFEQYTIEVTAELDAWNEDLLRQMNDFNTE DLTLAEQRLQRHTERKLAMNNMTFEVIQQGQDLHQYIMEVQASGIELICEKDLDLAAQVQ ELLEFLHEKQHELELNAEQTHKRLEQCLQLRHLQAEVKQVLGWIRNGESMLNASLVNASS LSEAEQLQREHEQFQLAIEKTHQSALQVQQKAEALLQAGHYDADAIRECAEKVALHWQQL MLKMEDRLKLVNASVAFYKTSEQVCSVLESLEQEYRRDEDWCGGRDKLGPAAEMDHVIPL LSKHLEQKEAFLKACTLARRNAEVFLKYIHRNNVSMPSVASHTRGPEQQVKAILSELLQR ENRVLHFWTLKKRRLDQCQQYVVFERSAKQALDWIQETGEYYLSTHTSTGETTEETQELL KEYGEFRVPAKQTKEKVKLLIQLADSFVEKGHIHATEIRKWVTTVDKHYRDFSLRMGKYR YSLEKALGVNTEDNKDLELDIIPASLSDREVKLRDANHEINEEKRKSARKKEFIMAELLQ TEKAYVRDLHECLETYLWEMTSGVEEIPPGILNKEHIIFGNIQEIYDFHNNIFLKELEKY EQLPEDVGHCFVTWADKFQMYVTYCKNKPDSNQLILEHAGTFFDEIQQRHGLANSISSYL IKPVQRVTKYQLLLKELLTCCEEGKGELKDGLEVMLSVPKKANDAMHVSMLEGFDENLDV QGELILQDAFQVWDPKSLIRKGRERHLFLFEISLVFSKEIKDSSGHTKYVYKNKLLTSEL GVTEHVEGDPCKFALWSGRTPSSDNKTVLKASNIETKQEWIKNIREVIQERIIHLKGALK EPIQLPKTPAKLRNNSKRDGVEDGDSQGDGSSQPDTISIASRTSQNTVESDKLSGGCELT VVLQDFSAGHSSELSIQVGQTVELLERPSERPGWCLVRTTERSPPQEGLVPSSALCISHS RSSVEMDCFFPLKDSYSHSSSENGGKSESVAHLQSQPSLNSIHSSPGPKRSTNTLKKWLT SPVRRLNSGKADGNIKKQKKVRDGRKSFDLGSPKPGDETTPQGDSADEKSKKGWGEDEPD EESHTPLPPPMKIFDNDPTQDEMSSLLAARQAPPDVPTAADLVSAIEKLVKNKLTLEGGS YRGSLKDPTGCLNEGMTPPTPPRNLEEEQKAKALRGRMFVLNELVQTEKDYVKDLGIVVE GFMKRIEEKGVPEDMRGKEKIVFGNIHQIYDWHKDFFLAELEKCIQEQDRLAQLFIKHER KLHIYVWYCQNKPRSEYIVAEYDAYFEEVKQEINQRLTLSDFLIKPIQRITKYQLLLKDF LRYSEKAGLECSDIEKAVELMCLVPKRCNDMMNLGRLQGFEGTLTAQGKLLQQDTFYVIE LDAGMQSRTKERRVFLFEQIVIFSELLRKGSLTPGYMFKRSIKMNYLVLEDNVDGDPCKF ALMNRETSERVILQAANSDIQQAWVQDINQVLETQRDFLNALQSPIEYQRKERSTAVIRS QPPRVPQASPRPYSSGPVGSEKPPKGSSYNPPLPPLKISTSNGSPGFDYHQPGDKFDASK QNDLGGCNGTSTMTVIKDYYALKENEICVSQGEVVQVLAVNQQNMCLVYQPASDHSPAAE GWVPGSILAPLAKATAAAESSDGSIKTLLKP >ENSMUSP00000110614.1 pep:known chromosome:GRCm38:16:34013132:34163279:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000114963.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MFKCISWRQTAANKTQLSGGCELTVVLQDFSAGHSSELSIQVGQTVELLERPSERPGWCL VRTTERSPPQEGLVPSSALCISHSRSSVEMDCFFPLKDSYSHSSSENGGKSESVAHLQSQ PSLNSIHSSPGPKRSTNTLKKWLTSPVRRLNSGKADGNIKKQKKVRDGRKSFDLGSPKPG DETTPQGDSADEKSKKGWGEDEPDEESHTPLPPPMKIFDNDPTQDEMTLEGGSYRGSLKD PTGCLNEGMTPPTPPRNLEEEQKAKALRGRMFVLNELVQTEKDYVKDLGIVVEGFMKRIE EKGVPEDMRGKEKIVFGNIHQIYDWHKDFFLAELEKCIQEQDRLAQLFIKHERKLHIYVW YCQNKPRSEYIVAEYDAYFEEVKQEINQRLTLSDFLIKPIQRITKYQLLLKDFLRYSEKA GLECSDIEKAVELMCLVPKRCNDMMNLGRLQGFEGTLTAQGKLLQQDTFYVIELDAGMQS RTKERRVFLFEQIVIFSELLRKGSLTPGYMFKRSIKMNYLVLEDNVDGDPCKFALMNRET SERVILQAANSDIQQAWVQDINQVLETQRDFLNALQSPIEYQRKERSTAVIRSQPPRVPQ ASPRPYSSGPVGSEKPPKGSSYNPPLPPLKISTSNGSPGFDYHQPGDKFDASKQNDLGGC NGTSTMTVIKDYYALKENEICVSQGEVVQVLAVNQQNMCLVYQPASDHSPAAEGWVPGSI LAPLAKATAAAESSDGSIK >ENSMUSP00000076088.5 pep:known chromosome:GRCm38:16:33975495:34456917:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000076810.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MVLSGSFRNDGLKASDVLPILKEKVAFVSGGRDKRGGPILTFPARSNHDRIRQEDLRKLV TYLASVPSEDVCKRGFTVIIDMRGSKWDLIKPLLKTLQEAFPAEIHVALIIKPDNFWQKQ KTNFGSSKFIFETSMVSVEGLTKLVDPSQLTEEFDGSLDYNHEEWIELRLSLEEFFNSAV HLLSRLEDLQEMLARKEFPVDVEGSRRLIDEHTQLKKKVLKAPVEELDREGQRLLQCIRC SDGFSGRNCIPGSADFQSLVPKITSLLDKLHSTRQHLHQMWHVRKLKLDQCFQLRLFEQD AEKMFDWISHNKELFLQSHTEIGVSYQHALDLQTQHNHFAMNSMNAYVNINRIMSVASRL SEAGHYASQQIKQISTQLDQEWKSFAAALDERSTILAMSAVFHQKAEQFLSGVDAWCKMC SEGGLPSEMQDLELAIHHHQSLYEQVTQAYTEVSQDGKALLDVLQRPLSPGNSESLTATA NYSKAVHQVLDVVHEVLHHQRRLESIWQHRKVRLHQRLQLCVFQQDVQQVLDWIENHGEA FLSKHTGVGKSLHRARALQKRHDDFEEVAQNTYTNADKLLEAAEQLAQTGECDPEEIYKA ARHLEVRIQDFVRRVEQRKLLLDMSVSFHTHTKELWTWMEDLQKEVLEDVCADSVDAVQE LIKQFQQQQTATLDATLNVIKEGEDLIQQLRSAPPSLGEPTEARDSAMSNNKTPHSSSIS HIESVLQQLDDAQVQMEELFHERKIKLDIFLQLRIFEQYTIEVTAELDAWNEDLLRQMND FNTEDLTLAEQRLQRHTERKLAMNNMTFEVIQQGQDLHQYIMEVQASGIELICEKDLDLA AQVQELLEFLHEKQHELELNAEQTHKRLEQCLQLRHLQAEVKQVLGWIRNGESMLNASLV NASSLSEAEQLQREHEQFQLAIEKTHQSALQVQQKAEALLQAGHYDADAIRECAEKVALH WQQLMLKMEDRLKLVNASVAFYKTSEQVCSVLESLEQEYRRDEDWCGGRDKLGPAAEMDH VIPLLSKHLEQKEAFLKACTLARRNAEVFLKYIHRNNVSMPSVASHTRGPEQQVKAILSE LLQRENRVLHFWTLKKRRLDQCQQYVVFERSAKQALDWIQETGEYYLSTHTSTGETTEET QELLKEYGEFRVPAKQTKEKVKLLIQLADSFVEKGHIHATEIRKWVTTVDKHYRDFSLRM GKYRYSLEKALGVNTEDNKDLELDIIPASLSDREVKLRDANHEINEEKRKSARKKEFIMA ELLQTEKAYVRDLHECLETYLWEMTSGVEEIPPGILNKEHIIFGNIQEIYDFHNNIFLKE LEKYEQLPEDVGHCFVTWADKFQMYVTYCKNKPDSNQLILEHAGTFFDEIQQRHGLANSI SSYLIKPVQRVTKYQLLLKELLTCCEEGKGELKDGLEVMLSVPKKANDAMHVSMLEGFDE NLDVQGELILQDAFQVWDPKSLIRKGRERHLFLFEISLVFSKEIKDSSGHTKYVYKNKLL TSELGVTEHVEGDPCKFALWSGRTPSSDNKTVLKASNIETKQEWIKNIREVIQERIIHLK GALKEPIQLPKTPAKLRNNSKRDGVEDGDSQGDGSSQPDTISIASRTSQNTVESDKLSGG CELTVVLQDFSAGHSSELSIQVGQTVELLERPSERPGWCLVRTTERSPPQEGLVPSSALC ISHSRSSVEMDCFFPLKDSYSHSSSENGGKSESVAHLQSQPSLNSIHSSPGPKRSTNTLK KWLTSPVRRLNSGKADGNIKKQKKVRDGRKSFDLGSPKPGDETTPQGDSADEKSKKGWGE DEPDEESHTPLPPPMKIFDNDPTQDEMSSLLAARQAPPDVPTAADLVSAIEKLVKNKLTL EGGSYRGSLKDPTGCLNEGMTPPTPPRNLEEEQKAKALRGRMFVLNELVQTEKDYVKDLG IVVEGFMKRIEEKGVPEDMRGKEKIVFGNIHQIYDWHKDFFLAELEKCIQEQDRLAQLFI KHERKLHIYVWYCQNKPRSEYIVAEYDAYFEEVKQEINQRLTLSDFLIKPIQRITKYQLL LKDFLRYSEKAGLECSDIEKAVELMCLVPKRCNDMMNLGRLQGFEGTLTAQGKLLQQDTF YVIELDAGMQSRTKERRVFLFEQIVIFSELLRKGSLTPGYMFKRSIKMNYLVLEDNVDGD PCKFALMNRETSERVILQAANSDIQQAWVQDINQVLETQRDFLNALQSPIEYQRKERSTA VIRSQPPRVPQASPRPYSSGPVGSEKPPKGSSYNPPLPPLKISTSNGSPGFDYHQPGDKF DASKQNDLGGCNGTSTMTVIKDYYALKENEICVSQGEVVQVLAVNQQNMCLVYQPASDHS PAAEGWVPGSILAPLAKATAAAESSDGSIKKSCSWHTLRMRKRADVENSGKNEATGPRKP KDILGNKVSVKETNSSEESECDDLDPNTSMEILNPNFIQEVAPEFLVPLVDVTCLLGDTV LLQCKACGRPKPSITWKGPDQNILDTDNSSATYTISSCDSGESTLKICNLMPQDSGIYTC IAANDHGTASTSATVKVQGVPAAPNRPIAQERSCTSVILRWLPPASTGNCTISGYTVEYR EEGSQVWQQSVASTLDTYLVIEDLSPGCPYQFRVSASNPWGISLPSEPSEFVRLPEYDAA ADGATISWKENFDSAYTELNEIGRGRFSIVKKCIHKATRKDVAVKFVSKKMKKKEQAAHE AALLQHLQHPQYVTLHDTYESPTSYILILELMDDGRLLDYLMNHDELMEEKVAFYIRDIM EALQYLHNCRVAHLDIKPENLLIDLRIPVPRVKLIDLEDAVQISGHFHIHHLLGNPEFAA PEVIQGIPVSLGTDIWSIGVLTYVMLSGVSPFLDESKEETCINVCRVDFSFPHEYFCGVS NAARDFINVILQEDFRRRPTAATCLQHPWLQPHNGSYSKIPLDTSRLACFIERRKHQNDV RPIPNVKSYIVNRVNQGTSLSHNP >ENSMUSP00000110612.1 pep:known chromosome:GRCm38:16:34049689:34573532:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000114961.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MNPPEGASEEGGAADSDVDAFFRTGSFRNDGLKASDVLPILKEKVAFVSGGRDKRGGPIL TFPARSNHDRIRQEDLRKLVTYLASVPSEDVCKRGFTVIIDMRGSKWDLIKPLLKTLQEA FPAEIHVALIIKPDNFWQKQKTNFGSSKFIFETSMVSVEGLTKLVDPSQLTEEFDGSLDY NHEEWIELRLSLEEFFNSAVHLLSRLEDLQEMLARKEFPVDVEGSRRLIDEHTQLKKKVL KAPVEELDREGQRLLQCIRCSDGFSGRNCIPGSADFQSLVPKITSLLDKLHSTRQHLHQM WHVRKLKLDQCFQLRLFEQDAEKMFDWISHNKELFLQSHTEIGVSYQHALDLQTQHNHFA MNSMNAYVNINRIMSVASRLSEAGHYASQQIKQISTQLDQEWKSFAAALDERSTILAMSA VFHQKAEQFLSGVDAWCKMCSEGGLPSEMQDLELAIHHHQSLYEQVTQAYTEVSQDGKAL LDVLQRPLSPGNSESLTATANYSKAVHQVLDVVHEVLHHQRRLESIWQHRKVRLHQRLQL CVFQQDVQQVLDWIENHGEAFLSKHTGVGKSLHRARALQKRHDDFEEVAQNTYTNADKLL EAAEQLAQTGECDPEEIYKAARHLEVRIQDFVRRVEQRKLLLDMSVSFHTHTKELWTWME DLQKEVLEDVCADSVDAVQELIKQFQQQQTATLDATLNVIKEGEDLIQQLRSAPPSLGEP TEARDSAMSNNKTPHSSSISHIESVLQQLDDAQVQMEELFHERKIKLDIFLQLRIFEQYT IEVTAELDAWNEDLLRQMNDFNTEDLTLAEQRLQRHTERKLAMNNMTFEVIQQGQDLHQY IMEVQASGIELICEKDLDLAAQVQELLEFLHEKQHELELNAEQTHKRLEQCLQLRHLQAE VKQVLGWIRNGESMLNASLVNASSLSEAEQLQREHEQFQLAIEKTHQSALQVQQKAEALL QAGHYDADAIRECAEKVALHWQQLMLKMEDRLKLVNASVAFYKTSEQVCSVLESLEQEYR RDEDWCGGRDKLGPAAEMDHVIPLLSKHLEQKEAFLKACTLARRNAEVFLKYIHRNNVSM PSVASHTRGPEQQVKAILSELLQRENRVLHFWTLKKRRLDQCQQYVVFERSAKQALDWIQ ETGEYYLSTHTSTGETTEETQELLKEYGEFRVPAKQTKEKVKLLIQLADSFVEKGHIHAT EIRKWVTTVDKHYRDFSLRMGKYRYSLEKALGVNTEDNKDLELDIIPASLSDREVKLRDA NHEINEEKRKSARKKEFIMAELLQTEKAYVRDLHECLETYLWEMTSGVEEIPPGILNKEH IIFGNIQEIYDFHNNIFLKELEKYEQLPEDVGHCFVTWADKFQMYVTYCKNKPDSNQLIL EHAGTFFDEIQQRHGLANSISSYLIKPVQRVTKYQLLLKELLTCCEEGKGELKDGLEVML SVPKKANDAMHVSMLEGFDENLDVQGELILQDAFQVWDPKSLIRKGRERHLFLFEISLVF SKEIKDSSGHTKYVYKNKLLTSELGVTEHVEGDPCKFALWSGRTPSSDNKTVLKASNIET KQEWIKNIREVIQERIIHLKGALKEPIQLPKTPAKLRNNSKRDGVEDGDSQGDGSSQPDT ISIASRTSQNTVESDKLSGGCELTVVLQDFSAGHSSELSIQVGQTVELLERPSERPGWCL VRTTERSPPQEGLVPSSALCISHSRSSVEMDCFFPLKDSYSHSSSENGGKSESVAHLQSQ PSLNSIHSSPGPKRSTNTLKKWLTSPVRRLNSGKADGNIKKQKKVRDGRKSFDLGSPKPG DETTPQGDSADEKSKKGWGEDEPDEESHTPLPPPMKIFDNDPTQDEMSSLLAARQAPPDV PTAADLVSAIEKLVKNKLVSGHAGGSSELPLTSVWLPGPQPGPRTGLPHPNFTNRNCI >ENSMUSP00000110617.1 pep:known chromosome:GRCm38:16:34013137:34097090:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000114966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MKGGDQAYTRGPSLGWLFAKCCCCFPCRDSYSHSSSENGGKSESVAHLQSQPSLNSIHSS PGPKRSTNTLKKWLTSPVRRLNSGKADGNIKKQKKVRDGRKSFDLGSPKPGDETTPQGDS ADEKSKKGWGEDEPDEESHTPLPPPMKIFDNDPTQDEMSSLLAARQAPPDVPTAADLVSA IEKLVKNKLTLEGGSYRGSLKDPTGCLNEGMTPPTPPRNLEEEQKAKALRGRMFVLNELV QTEKDYVKDLGIVVEGFMKRIEEKGVPEDMRGKEKIVFGNIHQIYDWHKDFFLAELEKCI QEQDRLAQLFIKHERKLHIYVWYCQNKPRSEYIVAEYDAYFEEVKQEINQRLTLSDFLIK PIQRITKYQLLLKDFLRYSEKAGLECSDIEKAVELMCLVPKRCNDMMNLGRLQGFEGTLT AQGKLLQQDTFYVIELDAGMQSRTKERRVFLFEQIVIFSELLRKGSLTPGYMFKRSIKMN YLVLEDNVDGDPCKFALMNRETSERVILQAANSDIQQAWVQDINQVLETQRDFLNALQSP IEYQRKERSTAVIRSQPPRVPQASPRPYSSGPVGSEKPPKGSSYNPPLPPLKISTSNGSP GFDYHQPGDKFDASKQNDLGGCNGTSTMTVIKDYYALKENEICVSQGEVVQVLAVNQQNM CLVYQPASDHSPAAEGWVPGSILAPLAKATAAAESSDGSIKKEPY >ENSMUSP00000110615.1 pep:known chromosome:GRCm38:16:34013146:34096028:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000114964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MKGGDQAYTRGPSLGWLFAKCCCCFPCRDSYSHSSSENGGKSESVAHLQSQPSLNSIHSS PGPKRSTNTLKKWLTSPVRRLNSGKADGNIKKQKKVRDGRKSFDLGSPKPGDETTPQGDS ADEKSKKGWGEDEPDEESHTPLPPPMKIFDNDPTQDEMTLEGGSYRGSLKDPTGCLNEGM TPPTPPRNLEEEQKAKALRGRMFVLNELVQTEKDYVKDLGIVVEGFMKRIEEKGVPEDMR GKEKIVFGNIHQIYDWHKDFFLAELEKCIQEQDRLAQLFIKHERKLHIYVWYCQNKPRSE YIVAEYDAYFEEVKQEINQRLTLSDFLIKPIQRITKYQLLLKDFLRYSEKAGLECSDIEK AVELMCLVPKRCNDMMNLGRLQGFEGTLTAQGKLLQQDTFYVIELDAGMQSRTKERRVFL FEQIVIFSELLRKGSLTPGYMFKRSIKMNYLVLEDNVDGDPCKFALMNRETSERVILQAA NSDIQQAWVQDINQVLETQRDFLNALQSPIEYQRKERSTAVIRSQPPRVPQASPRPYSSG PVGSEKPPKGSSYNPPLPPLKISTSNGSPGFDYHQPGDKFDASKQNDLGGCNGTSTMTVI KDYYALKENEICVSQGEVVQVLAVNQQNMCLVYQPASDHSPAAEGWVPGSILAPLAKATA AAESSDGSIKKEPY >ENSMUSP00000110624.1 pep:known chromosome:GRCm38:16:33974258:34095987:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000114973.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MKGGDQAYTRGPSLGWLFAKCCCCFPCRDSYSHSSSENGGKSESVAHLQSQPSLNSIHSS PGPKRSTNTLKKWLTSPVRRLNSGKADGNIKKQKKVRDGRKSFDLGSPKPGDETTPQGDS ADEKSKKGWGEDEPDEESHTPLPPPMKIFDNDPTQDEMSSLLAARQAPPDVPTAADLVSA IEKLVKNKLTLEGGSYRGSLKDPTGCLNEGMTPPTPPRNLEEEQKAKALRGRMFVLNELV QTEKDYVKDLGIVVEGFMKRIEEKGVPEDMRGKEKIVFGNIHQIYDWHKDFFLAELEKCI QEQDRLAQLFIKHERKLHIYVWYCQNKPRSEYIVAEYDAYFEEVKQEINQRLTLSDFLIK PIQRITKYQLLLKDFLRYSEKAGLECSDIEKAVELMCLVPKRCNDMMNLGRLQGFEGTLT AQGKLLQQDTFYVIELDAGMQSRTKERRVFLFEQIVIFSELLRKGSLTPGYMFKRSIKMN YLVLEDNVDGDPCKFALMNRETSERVILQAANSDIQQAWVQDINQVLETQRDFLNALQSP IEYQRKERSTAVIRSQPPRVPQASPRPYSSGPVGSEKPPKGSSYNPPLPPLKISTSNGSP GFDYHQPGDKFDASKQNDLGGCNGTSTMTVIKDYYALKENEICVSQGEVVQVLAVNQQNM CLVYQPASDHSPAAEGWVPGSILAPLAKATAAAESSDGSIKKSCSWHTLRMRKRADVENS GKNEATGPRKPKDILGNKVSVKETNSSEESECDDLDPNTSMEILNPNFIQEVAPEFLVPL VDVTCLLGDTVLLQCKACGRPKPSITWKGPDQNILDTDNSSATYTISSCDSGESTLKICN LMPQDSGIYTCIAANDHGTASTSATVKVQGVPAAPNRPIAQERSCTSVILRWLPPASTGN CTISGYTVEYREEGSQVWQQSVASTLDTYLVIEDLSPGCPYQFRVSASNPWGISLPSEPS EFVRLPEYDAAADGATISWKENFDSAYTELNEIGRGRFSIVKKCIHKATRKDVAVKFVSK KMKKKEQAAHEAALLQHLQHPQYVTLHDTYESPTSYILILELMDDGRLLDYLMNHDELME EKVAFYIRDIMEALQYLHNCRVAHLDIKPENLLIDLRIPVPRVKLIDLEDAVQISGHFHI HHLLGNPEFAAPEVIQGIPVSLGTDIWSIGVLTYVMLSGVSPFLDESKEETCINVCRVDF SFPHEYFCGVSNAARDFINVILQEDFRRRPTAATCLQHPWLQPHNGSYSKIPLDTSRLAC FIERRKHQNDVRPIPNVKSYIVNRVNQGTSLSHNP >ENSMUSP00000110597.1 pep:known chromosome:GRCm38:16:34177981:34262839:-1 gene:ENSMUSG00000061751.15 transcript:ENSMUST00000114947.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kalrn description:kalirin, RhoGEF kinase [Source:MGI Symbol;Acc:MGI:2685385] MSVSFHTHTKELWTWMEDLQKEVLEDVCADSVDAVQELIKQFQQQQTATLDATLNVIKEG EDLIQQLRDSAMSNNKTPHSSSISHIESVLQQLDDAQVQMEELFHERKIKLDIFLQLRIF EQYTIEVTAELDAWNEDLLRQMNDFNTEDLTLAEQRLQRHTERKLAMNNMTFEVIQQGQD LHQYIMEVQASGIELICEKDLDLAAQVQELLEFLHEKQHELELNAEQTHKRLEQCLQLRH LQAEVKQVLGWIRNGESMLNASLVNASSLSEAEQLQREHEQFQLAIESLFHATSLQKTHQ SALQVQQKAEALLQAGHYDADAIRECAEKVALHWQQLMLKMEDRLKLVNASVAFYKTSEQ VCSVLESLEQEYRRDEDWCGGRDKLGPAAEMDHVIPLLSKHLEQKEAFLKACTLARRNAE VFLKYIHRNNVSMPSVASHTRGPEQQVKAILSELLQRENRVLHFWTLKKRRLDQCQQYVV FERSAKQALDWIQETGEYYLSTHTSTGETTEETQELLKEYGEFRVPAKQTKEKVKLLIQL ADSFVEKGHIHATEIRKWVTTVDKHYRDFSLRMGKYRYSLEKALGVNTEDNKDLELDIIP ASLSDREVKLRDANHEINEEKRKSARKKEFIMAELLQTEKAYVRDLHECLETYLWEMTSG VEEIPPGILNKEHIIFGNIQEIYDFHNNIFLKELEKYEQLPEDVGHCFVTWADKFQMYVT YCKNKPDSNQLILEHAGTFFDEIQQRHGLANSISSYLIKPVQRVTKYQLLLKELLTCCEE GKGELKDGLEVMLSVPKKANDAMHVSMLEGSCSPVFLGPRLHP >ENSMUSP00000020640.7 pep:known chromosome:GRCm38:11:48800360:48806434:1 gene:ENSMUSG00000020372.15 transcript:ENSMUST00000020640.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnb2l1 description:guanine nucleotide binding protein (G protein), beta polypeptide 2 like 1 [Source:MGI Symbol;Acc:MGI:101849] MTEQMTLRGTLKGHNGWVTQIATTPQFPDMILSASRDKTIIMWKLTRDETNYGIPQRALR GHSHFVSDVVISSDGQFALSGSWDGTLRLWDLTTGTTTRRFVGHTKDVLSVAFSSDNRQI VSGSRDKTIKLWNTLGVCKYTVQDESHSEWVSCVRFSPNSSNPIIVSCGWDKLVKVWNLA NCKLKTNHIGHTGYLNTVTVSPDGSLCASGGKDGQAMLWDLNEGKHLYTLDGGDIINALC FSPNRYWLCAATGPSIKIWDLEGKIIVDELKQEVISTSSKAEPPQCTSLAWSADGQTLFA GYTDNLVRVWQVTIGTR >ENSMUSP00000125817.1 pep:known chromosome:GRCm38:7:41399732:41448641:-1 gene:ENSMUSG00000066537.4 transcript:ENSMUST00000165029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r57 description:vomeronasal 2, receptor 57 [Source:MGI Symbol;Acc:MGI:3703084] MFWIFIFGVLYIPNFVCAFTGYMCYETIEEYTYHEGDLTIGAFFPLHIYSTRNHVPHELD PYYFQDMYLEYNFMNYQFLLALMFTIQEINRNPHLLPNTTLGFEHHNIKFSEKNILLGPF LWLSGLSNQLVNYNCGQKRNLPAALTGTSWAISAHIGTLLQLYKIPQFTFGHFDSNMNDQ SQYKTLFQVAPEDTYLSLALVSLMLYFSWSWVGLIVPNDPRGTQILSDFREVMESNRICL AFVKMIPGTWNSYSDAIWKNMEKIQESSANVIIIYGDTVSLQGLMRHIAQLLVTWKVWVL NSQWDIDYYSDYFMIESFHGSLIFSHHHEEMVEFVNFVQTVNPYTYPEDAYLPKFWVFFF NCSFSEFDCQLLENCQPNASLDLLPRHIFDPAMSEESYNIYNAVYALAHSLHEMTVQQIQ TQPYANGEGMAFSPWQILPFLKITLLKNHPSGQTVIDERKNLYSEYDIFNFWNFPTGLGL KMKVGTFSPNSPQGHRLSLSEEMIQWPTQFTKIPQSVCSESCRPGFRKAAQEGKAVCCFD CIPCADNEISNETDMDQCVQCPESHYANSEKNHCLQKSVSFLAYEEPLGIALTITALDFS VLTALVLVVFVKHRDTPIVKANNRVLSYILLLTLIICFLSCLLYIGQPNTATCILQQTAF GTLFTVALSTVLAKAIVVVTAFKVTSPSRMVRWLIVSRAPNLIIPICTLIQLIICGIWLA TFPPFIDQNAHVEHGHIIIMCNKGSAVAFHCVLGYLCSLALGSYTMAFLSRNLPDTFNEA KCLSFSMQVFFCVWITFLPVYHSTKGKVMVAMEVFSILASSTSFLALIFVPKCYIILLRP DKNSCLDIRNKIHSRRSSHLKFI >ENSMUSP00000042995.9 pep:known chromosome:GRCm38:7:15388721:15398545:1 gene:ENSMUSG00000074369.12 transcript:ENSMUST00000036575.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox2 description:oocyte specific homeobox 2 [Source:MGI Symbol;Acc:MGI:2149033] MAEGPSLHPKLQVDSNIPIEISSQIPQEPARNLAFQMRQSPLVTPGSTTKSSLSVPERNL LKQESEGPSRQSGCMPLSDKYVNKQTSPMASRKFRKERTVYTKEEQGLLQKHFDECSTQT RRKLWSWHYQLVLQRGRLRYGSRTTELSTGR >ENSMUSP00000133793.1 pep:known chromosome:GRCm38:7:15388968:15398056:1 gene:ENSMUSG00000074369.12 transcript:ENSMUST00000174076.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox2 description:oocyte specific homeobox 2 [Source:MGI Symbol;Acc:MGI:2149033] MAEGPSLHPKLQVDSNIPIEISSQIPQEPARNLAFQMRQSPLVTPGSTTKSSLSVPERNL LKQESEGPSRQSGCMPLSDKYVNKQTSPMASRKFRKERTVYTKEEQGLLQKHFDECSTQT RRKLWSWHYQLVLQRGRLRYGSRTTELSTGR >ENSMUSP00000134275.1 pep:known chromosome:GRCm38:7:15388993:15398125:1 gene:ENSMUSG00000074369.12 transcript:ENSMUST00000174305.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox2 description:oocyte specific homeobox 2 [Source:MGI Symbol;Acc:MGI:2149033] MAEGPSLHPKLQVDSNIPIEISSQIPQEPARNLAFQMRQSPLVTPGSTTKSSLSVPERNL LKQESEGPSRQSGCMPLSDKYVNKQTSPMASRKFRKERTVYTKEEQGLLQKHFDECSTQT RRKLWSWHYQLVLQRGRLRYGSRTTELSTGR >ENSMUSP00000133450.1 pep:known chromosome:GRCm38:7:15390176:15398129:1 gene:ENSMUSG00000074369.12 transcript:ENSMUST00000172478.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox2 description:oocyte specific homeobox 2 [Source:MGI Symbol;Acc:MGI:2149033] MAEGPSLHPKLQVDSNIPIEISSQIPQEPARNLAFQMRQSPLVTPGSTTKSSLSVPERNL LKQESEGPSRQSGCMPLSDKYVNKQTSPMASRKFRKERTVYTKEEQGLLQKHFDECSTQT RRKLWSWHYQLVLQRGRLRYGSRTTELSTGR >ENSMUSP00000126559.1 pep:known chromosome:GRCm38:17:19660399:19694748:1 gene:ENSMUSG00000095961.1 transcript:ENSMUST00000171741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r102 description:vomeronasal 2, receptor 102 [Source:MGI Symbol;Acc:MGI:3647786] MLSWMFFFRLLQIPKFVSALTYNISTCYYIITEEFHHEGDVVVGAFFPLHTFYTEKKMPH KTVPYQYLDNRIQYNFKNYKYILALQFAIEEINRNPNLLPNTSLGFDFYNVRFTEKYTLD NAFIWLTALVNRKYFPNYNCKKRNFTAALTGTSWITSAQIGTLLQLFKFPQITFGPYDPL LSDHGQYPSLYQMAPKDTSLSLAIVSLIAHFRWSWVGLILPDDHKGNKIVSDFREEMERK GICLAFVKMIPATWTSHFAKFWEHMDETNVTIVYGDVDSLEGVIRNIEQRLLTQNVWIMN IEHHVIDRADYFMLDTFHGSLIFKHSYRENFEFTKFIKTVNPKKYPEDIYLPKLWHLFFK CSFADINCNVLDNCQTNASLDVFPRHIFDVAMNEESSSIYNGVYAVAHSLHEMRLQELQM QPYENGKGIVFFPWQLNRFLKDTEVKDKRCLDWKQTIDTEYDILNLWNLPKGLGLKVKIG SFSANAPQGQQLSLSEQMIQWPEIFSEIPQSVCSESCEPGFRKVTLEGKAICCYKCTPCG DNEISNETDVYQCVKCPEGHFANTERNNCFQKSVIFLAYEDPLGMALASIALCLSSLTVF VIGIFVKHRDTPIVKANNRALSYILLLTLTFCFLCSLNFIGQPNTATCILQQTTFAVTFT MALATVLAKAITVVLAFKVSFPGKIVRWLMLSRGPNYIIPICTLIQLLICGIWMATSPPF IDEDAHTEYGQIIILCNKGSAVAFHSVLGYLCFLALGSYTMAFLSRNLPDTFNESKYLSF SMLVFFCVWVTFLPVYHSTKGKIMVAMEVFSILASSTALLAFIFGPKCYIILLRPENNSF THIRKKTYSRRKNFPKI >ENSMUSP00000080159.4 pep:known chromosome:GRCm38:17:35379617:35384674:1 gene:ENSMUSG00000035929.11 transcript:ENSMUST00000081435.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q4 description:histocompatibility 2, Q region locus 4 [Source:MGI Symbol;Acc:MGI:95933] MASTMLLLLVAVAQTLIEIRAAPRPAPLPARSPAGGPGRSSGSHRALPPGPHLLSYFYTS VSRPGLGEPRFISVGYVDNTEFVRFDSDAENPRYEPRAPWMEQEGPEYWERETQKAKGNE QIFRVNLRTLLSYYNQSAGGSHTIQVISGCEVGSDGRLLRGYQQFAYDGRDYIALNEDLK TWTAADMAAQITRRKWEQAGATEKSKAYLEGACVQSLRRYLELGKETLLRTDPPKAHVTC HHRSDGDVTLRCWALGFYPANIILTWQLNGEELTQDMELVETRPSGDGTFQKWASVVVPL GKEQNYTCHVHHEGLPEPLTLRWEPPPSTVSNMANVAVLVVLGAWPSLQLWWLL >ENSMUSP00000042405.6 pep:known chromosome:GRCm38:2:66673425:66784914:-1 gene:ENSMUSG00000034810.7 transcript:ENSMUST00000042792.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn7a description:sodium channel, voltage-gated, type VII, alpha [Source:MGI Symbol;Acc:MGI:102965] MLTSPEPKGLVPFTTESLELIENHIAKKCNEDPEEEEGLKPSRNLEAGKRLPIPYGTLPR GTVSEPLEDVDPYYYVKRNTFMVLNRSRVIFRFNAVSIFCTLSPLNSLRRAAIKALVHPL FRLLILISVLTDSILMCMSNLPEWILAIENTLLGIYAFEILVKVIARGIWAGSFSFLGDL WNWLDFSVTLFELITRFSPLSSFLMLKTIRTFRILKIIPLNHGLQSIVMTLAQCLKKLFG AIALALFFLAVFSLLGMGLFMGNLKHKCLRWPEENENETLHNRTGSLNYSPERINFYYME GAKYALLCGNRTDAGQCPEGYVCVKEGTNPDNGFTSFDNFGWSLLAMFRLMTQDYPELLY HQILYASGKVYMIFFVMISFWFAFYLTSLFLGILTMTYEKEKQRACEESGGLDPKCQQTV KELDEENDAAEMETTQIEMKKRSPTSINTTLDILEDTTLGHREEPETSRKKCPICWHKFI KTCFIWKCSPCWVKLNEFADRVITHPLADLFLVICIVLNICFLALEHFPMSEELRSLLHV GNLVFIGIYTIEMILKIIAMHPYGYFQISWNIFDSILVVLELTEILLADVEGLAVLITVP LIFIKLGKYGPPFKSLMRILGSSLMALKDLVLLLCIFVYFSAVFGMKLFGRSYKDCVCHI KEDCQPQRWHMSDFLHAYMTVFRILCGEWIETLWECMEVAGQAWCIPFYMMVILIGNLLI LYLFVTLVSSFSYYDATSEVNKEAKNLQLAMARIKSGINSMLLKLMCTERSVPTEATDQI CDPSVKENISGHTLSELSNTQTFLRYKDQSSSTEKTPVTESESQSLIASPSASETVPIAS GESDIENLDNKETRSKSGNGGSKEKMKQSSSSECSTVDIAISEEEEMVYEHEKSKLHKNG YERKSSTGQISRESRNGKIWKNIRKTCCKIVENSWFECFIGLVTLLCTGTLALEDIYIDQ RKTTKILLEYADMIFAYIFILEMLLKWVAYGFKAFFSNNWYKLDFMVVIVFCLSLIGKTR EDLNPLTSIKFLRALRVLSQFERMKVVLRALIKTTLPTVSVFLVCLMIWLLFSVIGVQLF AGKFYECIDPTKGERFPVFEVMNKSQCEKLLFNESMPWENAKLNFDNVGNGFLSLLQVAT FNGWISIMNSAIDSVGVNMQPSFEYNLYMYSYFIIFVIFGLFLPLCMLIGVIIRNFNKQK IKQGGSNIFITVKQKKQYRALKKLLYADVQKPTPRPRNKFQGFLFDLVTHRVFNVIIILL ICFQATTIMIQKDEQSPQMETAIFWMNSIFVMLFTLECILKLTAFRCHYFTSAWNVHDFM VVIFSITGLLLPLTIGQYFVPPSLVQLILLSRVIHILRPGKGPKVFHDLMLPLILALPAL LNISLLIFLVMFIYAIFGMYNFAYVKKEAGINDVSNFETFGSSMLCLFQVTTFSGWDGML DAIFNSQWSDCDPDKINPGTQVKGDCGSPSVGISYFVSYILISWLIIVNMYIVLIMEFLS IPSQKKSRTLSEDDFRRFFRVWNRFDPDRTQYIDSSKLSDFAAALDPPLFMAKPNKGQLV AMDLPMAAGDRIHCLDILLAFTKRVMGKDERVEKILSEIESGFMLANPFKITYEPITTTL KRKQEAVSATIIQRAYKSYRLRQNDKNVSDTPAIDDRRDDLTSKGAHSGKIEEKASIQTQ I >ENSMUSP00000053046.5 pep:known chromosome:GRCm38:13:98984085:98984565:-1 gene:ENSMUSG00000045022.6 transcript:ENSMUST00000054425.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700024P04Rik description:RIKEN cDNA 1700024P04 gene [Source:MGI Symbol;Acc:MGI:1916632] MAKPTFKRQCYIKRHLRPLYRKHSRCSSINLGHGNYSLYINRVLKEVVPNRGISSYSVDI MNILINDIFERIATEACQQMFLRKRCTLTPGDIQQAVHLLLPKKLATLAVTFGSKAVHRF IHS >ENSMUSP00000037055.7 pep:known chromosome:GRCm38:11:48806404:48817353:-1 gene:ENSMUSG00000040365.14 transcript:ENSMUST00000047145.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim41 description:tripartite motif-containing 41 [Source:MGI Symbol;Acc:MGI:2384814] MAAVAMTPNPVQTLQEEAVCAICLDYFTDPVSIGCGHNFCRVCVTQLWGGEDEEDRDELD REEEEEEVGEEEEVEAVGAGGGWDTPMREEDYEGDMEEEAEEEEEVFWSSGIGGSNWDNM DYVWEEEEEEEEEELDYYLGDVADLRGEDEDEEEEVLEEDEEEELDPITQLPPPPAPRRC FTCPQCRKSFPRRSFRPNLQLANMVQVIRQMHPTPGRGSRVNEQGICPRHQEALKLFCEV DEEAICVVCRESRSHKQHSVVPLEEVVQEYKAKLQGHVEPLRKHLEAVQKMKAKEERRVT ELKSQMKSELAAVASEFGRLTRFLAEEQAGLERRLREMHEAQLGRAGAAANRLEEQAAQL SRLLAEAQERSQQGGLRLLQDIKETFNRCEEIQLQPPEIWSPDPCQPHSHDFLTDAIVRK MSRMFCQAARVDLTLDPDTAHPALLLSPDRRGVRLAERRQEVPEHSKRFSADCCVLGAQG FRSGRHYWEVEVGGRRGWAVGAARESTHHKEKVGSGGSSVSSGDASSSRHHHRRRRLHLP QQLLLQREVWCVGTHGKRYQAQSSTEQTLLSPCEKPRRFGVYLDYEAGRLGFYNADTLAH VHTFSAAFLGERVFPFFRVLSKGTRIKLCP >ENSMUSP00000119707.1 pep:known chromosome:GRCm38:11:48808386:48812395:-1 gene:ENSMUSG00000040365.14 transcript:ENSMUST00000131888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim41 description:tripartite motif-containing 41 [Source:MGI Symbol;Acc:MGI:2384814] AKLQGHVEPLRKHLEAVQKMKAKEERRVTELKSQMKSELAAVASEFGRLTRFLAEEQAGL ERRLREMHEAQLGRAGAAANRLEEQAAQLSRLLAEAQERSQQGGLRLLQDIKETFNRCVP TLPFVT >ENSMUSP00000121705.1 pep:known chromosome:GRCm38:11:48809097:48816953:-1 gene:ENSMUSG00000040365.14 transcript:ENSMUST00000140800.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim41 description:tripartite motif-containing 41 [Source:MGI Symbol;Acc:MGI:2384814] MVQVIRQMHPTPGRGSRVNEQGICPRHQEALKLFCEVDEEAICVVCRESRSHKQHSVVPL EEVVQEYKAKLQGHVEPLRKHLEAVQKMKAKEERRVTELKSQMKSELAAVASEFGRLTRF LAEEQAGLERRLREMHEAQLGRAGAAANRLEEQAAQLSRLL >ENSMUSP00000118789.1 pep:known chromosome:GRCm38:11:48809199:48816231:-1 gene:ENSMUSG00000040365.14 transcript:ENSMUST00000138019.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim41 description:tripartite motif-containing 41 [Source:MGI Symbol;Acc:MGI:2384814] XADLRGEDEDEEEEVLEEDEEEELDPITQLPPPPAPRRCFTCPQCRKSFPRRSFRPNLQL ANMVQVIRQMHPTPGRGSRVNEQGICPRHQEALKLFCEVDEEAICVVCRESRSHKQHSVV PLEEVVQEYKSQMKSELAAVASEFGRLTRFLAEEQAG >ENSMUSP00000093329.3 pep:known chromosome:GRCm38:10:57631981:57738928:1 gene:ENSMUSG00000019876.15 transcript:ENSMUST00000095668.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkib description:protein kinase inhibitor beta, cAMP dependent, testis specific [Source:MGI Symbol;Acc:MGI:101937] MDKHADAPGGQKKTKETSMGGGSSPEAQQDSVMRTDSSEMTDVESVITSFASSARAGRRN ALPDIQSSLATSGSSDLPLKLEALAVKEDAKTKNEEKDQGQPKTPLNEGK >ENSMUSP00000075374.5 pep:known chromosome:GRCm38:10:57632051:57741112:1 gene:ENSMUSG00000019876.15 transcript:ENSMUST00000075992.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkib description:protein kinase inhibitor beta, cAMP dependent, testis specific [Source:MGI Symbol;Acc:MGI:101937] MRTDSSEMTDVESVITSFASSARAGRRNALPDIQSSLATSGSSDLPLKLEALAVKEDAKT KNEEKDQGQPKTPLNEGK >ENSMUSP00000135774.1 pep:known chromosome:GRCm38:10:57632124:57728150:1 gene:ENSMUSG00000019876.15 transcript:ENSMUST00000177438.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkib description:protein kinase inhibitor beta, cAMP dependent, testis specific [Source:MGI Symbol;Acc:MGI:101937] MHKFDSVMRTDSSEMTDVESVITS >ENSMUSP00000135280.1 pep:known chromosome:GRCm38:10:57645834:57736370:1 gene:ENSMUSG00000019876.15 transcript:ENSMUST00000177325.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkib description:protein kinase inhibitor beta, cAMP dependent, testis specific [Source:MGI Symbol;Acc:MGI:101937] MRTDSSEMTDVESVITSFASSARAGRRNALPDIQSSLATSGSSDLPLKLEALAVKEDAKT KNEEKDQGQPKTPLNEGK >ENSMUSP00000135835.1 pep:known chromosome:GRCm38:10:57645885:57736370:1 gene:ENSMUSG00000019876.15 transcript:ENSMUST00000177473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkib description:protein kinase inhibitor beta, cAMP dependent, testis specific [Source:MGI Symbol;Acc:MGI:101937] MRTDSSEMTDVESVITSFASSARAGRRNALPDIQSSLATSGSSDLPLKLEALAVKEDAKT KNEEKDQGQPKTPLNEGK >ENSMUSP00000067532.6 pep:known chromosome:GRCm38:10:57650981:57737083:1 gene:ENSMUSG00000019876.15 transcript:ENSMUST00000066028.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkib description:protein kinase inhibitor beta, cAMP dependent, testis specific [Source:MGI Symbol;Acc:MGI:101937] MRTDSSEMTDVESVITSFASSARAGRRNALPDIQSSLATSGSSDLPLKLEALAVKEDAKT KNEEKDQGQPKTPLNEGK >ENSMUSP00000135666.1 pep:known chromosome:GRCm38:10:57650994:57736371:1 gene:ENSMUSG00000019876.15 transcript:ENSMUST00000175852.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pkib description:protein kinase inhibitor beta, cAMP dependent, testis specific [Source:MGI Symbol;Acc:MGI:101937] MRTDSSEMTDVESVITSFASSARAGRRNALPDIQSSLATSGSSDLPLKLEALAVKEDAKT KNEEKDQGQPKTPLNEGK >ENSMUSP00000122000.1 pep:known chromosome:GRCm38:X:48341358:48408049:1 gene:ENSMUSG00000036959.15 transcript:ENSMUST00000136348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcorl1 description:BCL6 co-repressor-like 1 [Source:MGI Symbol;Acc:MGI:2443910] MISTAPLYSGVHNWTSSDRIRMCGINEERRAPLSDEESTTGGCQHFGSQEFCVSSSFSKV ELTAVGSGSNARGTNPDGNTTEKLGHRSEDQSDDPQPKMDYVGNPAEAEGLLVPLSSPGD GLKLPTPDSTEASHSRANCSWTPLSTQMSKQVDCSPAGVKALDSRHGVGEKNTFILATLG TGVPVEGTLPLVTTNFSQLPAPICPPAPGSASGTPSVPDPFQVPLSVPAPVPHSGLVPVQ VATSASAPSPPLAPAAPSVPTLISDSNPLSVSASVLVPVPVSAPHSVPVPLSAPAPTPLT VSVSAPPLALIQAPVPPSAPTLVLASVPTPVLAPMPASTPPAAPAPPSVPMPTPTPSSGP PSTPTLIPAFAPTPVPAPTPAPIFTPAPTPMPAATPAAIPTSAPIPASFSLSRVCFPAAQ APAMQKVPLSFQPGTVLTPNQPLVYIPPPSCGQPLSVATLPTTLGVSSTLTLPVLPSYLQ DRCLPGVLASPDLRSYPCAFSVARPLASDSKLVSLEVNRLSCTSPSSSTNSQPAPDGVPG PLADTSLTTASAKVLPTSQLLLPAPSGSSVPPHPSKMPGGTDQQTEGTSVTFSPLKSPPQ LEREMASPPECSEMPLDLSAKSNRQKLPLPNQRKTPPMPVLTPVHTSSKALLSTVLSRSQ RTTQAAGSNVTSCLGSTSSPFVIFPEMVRNGDPSTWVKNSTALISTIPGTYVGVANPVPA SLLLNKDPNLGLNRDPRHLPKQEPISIIDQGEPKSTSATCGKKGSQAGAEGQPSTVKSRY TPARIAPGLPGCQTKELSLWKPTGLTNMYPRCSINGKPTSTQVLPVGWSPYHQASLLSIG ISSAGQLTPSQGVPIRPTSIVSEFSGVSPLGSSETVHGLPEGQPRPGGPFAPEQDAVTKN KNCRIAAKPYEEQVNPVLLTLSPQSGTLALSVQPSSGDMGVNQGSEESESHLCSDSTPKM EGPQAACGLKLAGDTKPKNQVLATYMSHELVLANPQNLCKMPELPLLPHDSHSKELILDV VPSSERGPSTDLSQLGSQVDLGRVKMEKADGDVVFNLANCFRADGLPAVPQRGQAEARAN AGQARVKRESIGVFTCKNSWQPDEETESLPPKKVKCNKEKEIEEEPRQQPPPQPHDKPMV RSSLGSKCRKLPGDPQEPTKKSPRGALDSGKEHNGVRGKHKHRKPTKPESQPPGKRTDGH EEGSLEKKAKNSFRDFIPVVLSTRTRSQSGSICSSFAGMADSDMGSQEVFPTEEEEEVAP TPAKRRKVRKTQRDTQYRSHHAQDKTLLSQGRRHLWRAREMPWRTEAARQMWDTNEEEED DEEEGLVKRKKRRRQKSRKYQTGEYLIEQEEQRRKGRADSKARKQKTSSQSSEHCLRNRN LLLSSKAQGISDSPNGFLPDNLEEPACLENPEKPSGKRKCKTKHMANASEEARSKGRWSQ QKTRSSKSPTPVKPTEPCTPSKYRSAGPEEASESPTARQIPPEARRLIVNKNAGETLLQR AARLGYKDVVLYCLQKHSEDVNHRDNAGYTALHEACSRGWTDILNILLQHGANVNCSSQD GTRPVHDAVVNDNLETIWLLLSYGADPTLATYSGQTAMKLASSDNMKRFLSDHLSDLQGR AEGDPRASWDFYSSSVLEKKDGFACDLLHNPPGSAEQGDDSEQDDFMFELSDKPLLPCYN LQVSVSRGPCNWFLFSDVLKRLKLSSRIFQARFPHLEITTLPKAEFYRQVASSQLLSPAE RPGSLEDRSPPGSSETVELVQYEPELLRLLGSEVEYQSWSS >ENSMUSP00000039898.6 pep:known chromosome:GRCm38:X:48343770:48408049:1 gene:ENSMUSG00000036959.15 transcript:ENSMUST00000037596.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcorl1 description:BCL6 co-repressor-like 1 [Source:MGI Symbol;Acc:MGI:2443910] MISTAPLYSGVHNWTSSDRIRMCGINEERRAPLSDEESTTGGCQHFGSQEFCVSSSFSKV ELTAVGSGSNARGTNPDGNTTEKLGHRSEDQSDDPQPKMDYVGNPAEAEGLLVPLSSPGD GLKLPTPDSTEASHSRANCSWTPLSTQMSKQVDCSPAGVKALDSRHGVGEKNTFILATLG TGVPVEGTLPLVTTNFSQLPAPICPPAPGSASGTPSVPDPFQVPLSVPAPVPHSGLVPVQ VATSASAPSPPLAPAAPSVPTLISDSNPLSVSASVLVPVPVSAPHSVPVPLSAPAPTPLT VSVSAPPLALIQAPVPPSAPTLVLASVPTPVLAPMPASTPPAAPAPPSVPMPTPTPSSGP PSTPTLIPAFAPTPVPAPTPAPIFTPAPTPMPAATPAAIPTSAPIPASFSLSRVCFPAAQ APAMQKVPLSFQPGTVLTPNQPLVYIPPPSCGQPLSVATLPTTLGVSSTLTLPVLPSYLQ DRCLPGVLASPDLRSYPCAFSVARPLASDSKLVSLEVNRLSCTSPSSSTNSQPAPDGVPG PLADTSLTTASAKVLPTSQLLLPAPSGSSVPPHPSKMPGGTDQQTEGTSVTFSPLKSPPQ LEREMASPPECSEMPLDLSAKSNRQKLPLPNQRKTPPMPVLTPVHTSSKALLSTVLSRSQ RTTQAAGSNVTSCLGSTSSPFVIFPEMVRNGDPSTWVKNSTALISTIPGTYVGVANPVPA SLLLNKDPNLGLNRDPRHLPKQEPISIIDQGEPKSTSATCGKKGSQAGAEGQPSTVKSRY TPARIAPGLPGCQTKELSLWKPTGLTNMYPRCSINGKPTSTQVLPVGWSPYHQASLLSIG ISSAGQLTPSQGVPIRPTSIVSEFSGVSPLGSSETVHGLPEGQPRPGGPFAPEQDAVTKN KNCRIAAKPYEEQVNPVLLTLSPQSGTLALSVQPSSGDMGVNQGSEESESHLCSDSTPKM EGPQAACGLKLAGDTKPKNQVLATYMSHELVLANPQNLCKMPELPLLPHDSHSKELILDV VPSSERGPSTDLSQLGSQVDLGRVKMEKADGDVVFNLANCFRADGLPAVPQRGQAEARAN AGQARVKRESIGVFTCKNSWQPDEETESLPPKKVKCNKEKEIEEEPRQQPPPQPHDKPMV RSSLGSKCRKLPGDPQEPTKKSPRGALDSGKEHNGVRGKHKHRKPTKPESQPPGKRTDGH EEGSLEKKAKNSFRDFIPVVLSTRTRSQSGSICSSFAGMADSDMGSQEVFPTEEEEEVAP TPAKRRKVRKTQRDTQYRSHHAQDKTLLSQGRRHLWRAREMPWRTEAARQMWDTNEEEED DEEEGLVKRKKRRRQKSRKYQTGEYLIEQEEQRRKGRADSKARKQKTSSQSSEHCLRNRN LLLSSKAQGISDSPNGFLPDNLEEPACLENPEKPSGKRKCKTKHMANASEEARSKGRWSQ QKTRSSKSPTPVKPTEPCTPSKYRSAGPEEASESPTARQIPPEARRLIVNKNAGETLLQR AARLGYKDVVLYCLQKHSEDVNHRDNAGYTALHEACSRGWTDILNILLQHGANVNCSSQD GTRPVHDAVVNDNLETIWLLLSYGADPTLATYSGQTAMKLASSDNMKRFLSDHLSDLQGR AEGDPRASWDFYSSSVLEKKDGFACDLLHNPPGSAEQGDDSEQDDFMFELSDKPLLPCYN LQVSVSRGPCNWFLFSDVLKRLKLSSRIFQARFPHLEITTLPKAEFYRQVASSQLLSPAE RPGSLEDRSPPGSSETVELVQYEPELLRLLGSEVEYQSWSS >ENSMUSP00000117693.1 pep:known chromosome:GRCm38:X:48361537:48379308:1 gene:ENSMUSG00000036959.15 transcript:ENSMUST00000156042.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bcorl1 description:BCL6 co-repressor-like 1 [Source:MGI Symbol;Acc:MGI:2443910] MISTAPLYSGVHNWTSSDRIRMCGINEESAGSCLETPRNPPRKAPEGL >ENSMUSP00000110623.2 pep:known chromosome:GRCm38:X:48361620:48406709:1 gene:ENSMUSG00000036959.15 transcript:ENSMUST00000114972.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcorl1 description:BCL6 co-repressor-like 1 [Source:MGI Symbol;Acc:MGI:2443910] XSSDRIRMCGINEERRAPLSDEESTTGGCQHFGSQEFCVSSSFSKVELTAVGSGSNARGT NPDGNTTEKLGHRSEDQSDDPQPKMDYVGNPAEAEGLLVPLSSPGDGLKLPTPDSTEASH SRANCSWTPLSTQMSKQVDCSPAGVKALDSRHGVGEKNTFILATLGTGVPVEGTLPLVTT NFSQLPAPICPPAPGSASGTPSVPDPFQVPLSVPAPVPHSGLVPVQVATSASAPSPPLAP AAPSVPTLISDSNPLSVSASVLVPVPVSAPHSVPVPLSAPAPTPLTVSVSAPPLALIQAP VPPSAPTLVLASVPTPVLAPMPASTPPAAPAPPSVPMPTPTPSSGPPSTPTLIPAFAPTP VPAPTPAPIFTPAPTPMPAATPAAIPTSAPIPASFSLSRVCFPAAQAPAMQKVPLSFQPG TVLTPNQPLVYIPPPSCGQPLSVATLPTTLGVSSTLTLPVLPSYLQDRCLPGVLASPDLR SYPCAFSVARPLASDSKLVSLEVNRLSCTSPSSSTNSQPAPDGVPGPLADTSLTTASAKV LPTSQLLLPAPSGSSVPPHPSKMPGGTDQQTEGTSVTFSPLKSPPQLEREMASPPECSEM PLDLSAKSNRQKLPLPNQRKTPPMPVLTPVHTSSKALLSTVLSRSQRTTQAAGSNVTSCL GSTSSPFVIFPEMVRNGDPSTWVKNSTALISTIPGTYVGVANPVPASLLLNKDPNLGLNR DPRHLPKQEPISIIDQGEPKSTSATCGKKGSQAGAEGQPSTVKSRYTPARIAPGLPGCQT KELSLWKPTGLTNMYPRCSINGKPTSTQVLPVGWSPYHQASLLSIGISSAGQLTPSQGVP IRPTSIVSEFSGVSPLGSSETVHGLPEGQPRPGGPFAPEQDAVTKNKNCRIAAKPYEEQV NPVLLTLSPQSGTLALSVQPSSGDMGVNQGSEESESHLCSDSTPKMEGPQAACGLKLAGD TKPKNQVLATYMSHELVLANPQNLCKMPELPLLPHDSHSKELILDVVPSSERGPSTDLSQ LGSQVDLGRVKMEKADGDVVFNLANCFRADGLPAVPQRGQAEARANAGQARVKRESIGVF TCKNSWQPDEETESLPPKKVKCNKEKEIEEEPRQQPPPQPHDKPMVRSSLGSKCRKLPGD PQEPTKKSPRGALDSGKEHNGVRGKHKHRKPTKPESQPPGKRTDGHEEGSLEKKAKNSFR DFIPVVLSTRTRSQSGSICSSFAGMADSDMGSQEVFPTEEEEEVAPTPAKRRKVRKTQRD TQYRSHHAQDKTLLSQGRRHLWRAREMPWRTEAARQMWDTNEEEEDDEEEGLVKRKKRRR QKSRKYQTGEYLIEQEEQRRKGRADSKARKQKTSSQSSEHCLRNRNLLLSSKAQGISDSP NGFLPDNLEEPACLENPEKPSGKRKCKTKHMANASEEARDVVLYCLQKHSEDVNHRDNAG YTALHEACSRGWTDILNILLQHGANVNCSSQDGTRPVHDAVVNDNLETIWLLLSYGADPT LATYSGQTAMKLASSDNMKRFLSDHLSDLQGRAEGDPRASWDFYSSSVLEKKDGFACDLL HNPPGSAEQGDDSEQDDFMFELSDKPLLPCYNLQVSVSRGPCNWFLFSDVLKRLKLSSRI FQARFPHLEITTLPKAEFYRQVASSQLLSPAERPGSLEDRSPPGSSETVELVQYEPELLR LLGSEVEYQSWSS >ENSMUSP00000117056.1 pep:known chromosome:GRCm38:X:48369268:48384145:1 gene:ENSMUSG00000036959.15 transcript:ENSMUST00000142160.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcorl1 description:BCL6 co-repressor-like 1 [Source:MGI Symbol;Acc:MGI:2443910] XLLLPAPSGSSVPPHPSKMPGGTDQQTEGTSVTFSPLKSPPQLEREMASPPECSEMPLDL SAKSNRQKLPLPNQRKTPPMPVLTPVHTSSKALLSTVLSRSQRTTQAAGSNVTSCLGSTS SPFVIFPEMVRNGDPSTWVKNSTALISTIPGTYVGVANPVPASLLLNKDPNLGLNRDPRH LPKQEPISIIDQGEPKSTSATCGKKGSQAGAEGQPSTVKSRYTPARIAPGLPGCQTKELS LWKPTGLTNMYPRCSINGKPTSTQVLPVGWSPYHQASLLSIGISSAGQLTPSQGVPIRPT SIVSEFSGVSPLGSSETVHGLPEGQPRPGGPFAPEQDAVTKNKNCRIAAKPYEEQVNPVL LTLSPQSGTLALSVQPSSGDMGVNQGSEESESHLCSDSTPKMEGPQAACGLKLAGDTKPK NQVLATYMSHELVLANPQNLCKMPELPLLPHDSHSKELILDVVPSSERGPSTDLSQLGSQ VDLGRVKMEKADGDVVFNLANCFRADGLPAVPQRGQAEARANAGQARVKRESIGVFTCKN SWQPDEETESLPPKKVKCNKEKEIEEEPRQQPPPQPHDKPMVRSSLGSKCRKLPGDPQEP TKKSPRGALDSGKEHNGVRGKHKHRKPTKPESQPPGKRTDGHEEGSLEKKAKNSFRDFIP VVLSTRTRSQSDSKARKQKTSSQSSEHCLRNRNLLLSSKAQGISDSPNGFLPDNLEEPAC LENPEKPSGKRKCKTKHMANASEEAR >ENSMUSP00000000299.7 pep:known chromosome:GRCm38:10:77530252:77565686:1 gene:ENSMUSG00000000290.13 transcript:ENSMUST00000000299.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb2 description:integrin beta 2 [Source:MGI Symbol;Acc:MGI:96611] MLGPHSLLLALAGLFFLGSAVSQECTKYKVSSCRDCIQSGPGCSWCQKLNFTGPGEPDSL RCDTRAQLLLKGCPADDIMDPRSIANPEFDQRGQRKQLSPQKVTLYLRPGQAAAFNVTFR RAKGYPIDLYYLMDLSYSMLDDLNNVKKLGGDLLQALNEITESGRIGFGSFVDKTVLPFV NTHPEKLRNPCPNKEKACQPPFAFRHVLKLTDNSNQFQTEVGKQLISGNLDAPEGGLDAI MQVAACPEEIGWRNVTRLLVFATDDGFHFAGDGKLGAILTPNDGRCHLEDNMYKRSNEFD YPSVGQLAHKLSESNIQPIFAVTKKMVKTYEKLTEIIPKSAVGELSDDSSNVVQLIKNAY YKLSSRVFLDHSTLPDTLKVTYDSFCSNGASSIGKSRGDCDGVQINNPVTFQVKVMASEC IQEQSFVIRALGFTDTVTVQVRPQCECQCRDQSREQSLCGGKGVMECGICRCESGYIGKN CECQTQGRSSQELERNCRKDNSSIVCSGLGDCICGQCVCHTSDVPNKEIFGQYCECDNVN CERYNSQVCGGSDRGSCNCGKCSCKPGYEGSACQCQRSTTGCLNARLVECSGRGHCQCNR CICDEGYQPPMCEDCPSCGSHCRDNHTSCAECLKFDKGPFEKNCSVQCAGMTLQTIPLKK KPCKERDSEGCWITYTLQQKDGRNIYNIHVEDSLECVKGPNVAAIVGGTVVGVVLIGVLL LVIWKALTHLTDLREYRRFEKEKLKSQWNNDNPLFKSATTTVMNPKFAES >ENSMUSP00000119657.1 pep:known chromosome:GRCm38:10:77530347:77547195:1 gene:ENSMUSG00000000290.13 transcript:ENSMUST00000131023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb2 description:integrin beta 2 [Source:MGI Symbol;Acc:MGI:96611] MDPRSIANPEFDQRGQRKQLSPQKVTLYLRPGQAAAFNVTFRRAKGYPIDLYYL >ENSMUSP00000118191.1 pep:known chromosome:GRCm38:10:77530370:77548672:1 gene:ENSMUSG00000000290.13 transcript:ENSMUST00000130059.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Itgb2 description:integrin beta 2 [Source:MGI Symbol;Acc:MGI:96611] MDPRSIANPEFDQRGQRKQLSPQKVTLYLRPGQAAAFNVTFRRAKGYPIDLYYLMDLSYS MLDDLNNVKKLGGDLLQALNEITESGRIGFGSFVDKTVLPFVNTHPEKLRNPCPNKEKAC QPPFAFRHVL >ENSMUSP00000137865.1 pep:known chromosome:GRCm38:10:77530374:77565622:1 gene:ENSMUSG00000000290.13 transcript:ENSMUST00000156644.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itgb2 description:integrin beta 2 [Source:MGI Symbol;Acc:MGI:96611] MLGPHSLLLALAGLFFLGSAVSQECTKYKVSSCRDCIQSGPGCSWCQKLVRAAWVT >ENSMUSP00000137734.1 pep:known chromosome:GRCm38:10:77530380:77565708:1 gene:ENSMUSG00000000290.13 transcript:ENSMUST00000153541.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Itgb2 description:integrin beta 2 [Source:MGI Symbol;Acc:MGI:96611] MLGPHSLLLALAGLFFLGSAVSQECTKYKVSSCRDCIQSGPGCSWCQKLNFTGPGEPDSL RCDTRAQLLLKGCPADDIMDPRSIANPEFDQRGQRKQLSPQKVTLYLRPGQAAAFNVTFR RAKGYPIDLYYLMDLSYSMLDDLNNVKKLGGDLLQALNEITESGRIGFGSFVDKTVLPFV NTHPEKLRNPCPNKEKACQPPFAFRHVLKLTDNSNQFQTEVGKQLISGNLDAPEGGLDAI MQVAACPEEIGWRNVTRLLVFATDDGFHFAGDGKLGAILTPNDGRCHLEDNMYKRSNEFD YPSVGQLAHKLSESNIQPIFAVTKKMVKTYEKLTEIIPKSAVGELSDDSSNVVQLIKNAY YKLSSRVFLDHSTLPDTLKVTYDSFCSNGASSIGKSRGDCDGVQINNPVTFQVKVMASEC IQEQSFVIRALGFTDTVTVQVRPQCECQCRDQSREQSLCGGKGVMECGICRSGFLQLWQM >ENSMUSP00000112652.1 pep:known chromosome:GRCm38:7:13258967:13278144:-1 gene:ENSMUSG00000070814.14 transcript:ENSMUST00000119139.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330408A02Rik description:RIKEN cDNA 6330408A02 gene [Source:MGI Symbol;Acc:MGI:2447816] MELTEPLPSAAVQKEEQELLDRTFFSWAEFSRFFDKWCQQRLVVFSVKSSTRVARSPWAN TPPLYRLIHVLKYSYVLLVCKDVRMPNKSTAWPPQPSCPAFITVKLSPLRDRLVVTECQL THSHPACPREFAYHFRPGHLLANSCLPVRITNQISKQFVAPADVRRLLTHCKGPDHGVLD ALQVLEGLFRTDPEAKVKLVFVEDQAMVETVFLLTSRTRALLRRFPRILLVDRLPGLQGT LDLMAVLCVDSAGRARQAACCVARPGTPSLLRFMLVSLLQSAPDVKGRVRCLTAGPEVAG QLRAVRQLLPCARVQICRAQGLETLFSKAQELGGASQEDPDLWPLLCRLADSKSYTAYSE ALAELRSQAPTAFIRYFEHNWAPRHDMWVRFRAYEATRDLDACALVRSHRRRLLRRLSPS HSVAQCLRDLVAMQWADATGEAALRGC >ENSMUSP00000112825.1 pep:known chromosome:GRCm38:7:13258967:13278683:-1 gene:ENSMUSG00000070814.14 transcript:ENSMUST00000119558.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330408A02Rik description:RIKEN cDNA 6330408A02 gene [Source:MGI Symbol;Acc:MGI:2447816] MELTEPLPSAAVQKEEQELLDRTFFSWAEFSRFFDKWCQQRLVVFSVKSSTRVARSPWAN TPPLYRLIHVLKYSYVLLVCKDVRMPNKSTAWPPQPSCPAFITVKLSPLRDRLVVTECQL THSHPACPRE >ENSMUSP00000122300.1 pep:known chromosome:GRCm38:7:13277280:13278662:-1 gene:ENSMUSG00000070814.14 transcript:ENSMUST00000144470.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330408A02Rik description:RIKEN cDNA 6330408A02 gene [Source:MGI Symbol;Acc:MGI:2447816] MELTEPLPSAAVQKEEQELLDRTFFSWAEFSRFFDKWCQQRLVVFSV >ENSMUSP00000104172.2 pep:known chromosome:GRCm38:7:13258968:13278721:-1 gene:ENSMUSG00000070814.14 transcript:ENSMUST00000108532.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330408A02Rik description:RIKEN cDNA 6330408A02 gene [Source:MGI Symbol;Acc:MGI:2447816] MELTEPLPSAAVQKEEQELLDRTFFSWAEFSRFFDKWCQQRLVVFSVKSSTRVARSPWAN TPPLYRLIHVLKYSYVLLVCKDVRMPNKSTAWPPQPSCPAFITVKLSPLRDRLVVTECQL THSHPACPREFAYHFRPGHLLANSCLPVRITNQISKQFVAPADVRRLLTHCKGPDHGVLD ALQVLEGLFRTDPEAKVKLVFVEDQAMVETVFLLTSRTRALLRRFPRILLVDRLPGLQGT LDLMAVLCVDSAGRARQAACCVARPGTPSLLRFMLVSLLQSAPDVKGRVRCLTAGPEVAG QLRAVRQLLPCARVQICRAQGLETLFSKAQELGGASQEDPDLWPLLCRLADSKSYTAYSE ALAELRSQAPTAFIRYFEHNWAPRHDMWVRFRAYEATRDLDACALVRSHRRRLLRRLSPS HSVAQCLRDLVAMQWADATGEAASEGVNGDRVLLESEPRNRARMEKEKGRGTETSHCGRP HRESEKGRRLHLRDGQGVWLENQKVRGREGTGAQLEKELLREPEVRDWRGTQIENRVRVL GPIDRRGNLVESENAKSLEGRPWRDVQLQEERARAPKPQDWKEPPFEIEKGIDVRKWREV HVEKPLELVPKNGDQRGAEGQKGPETAEERSGRFLVKRRRGLEDIVVVHLEDARATGIVN GDGRGPQPVSFKNGGQQVEFGDPGGRLLGMGNGVPCTTPVQTVLKGNPEWAVNRVESLAA GEAVQGGSEEGPGEPKRLCLPCRDEGVDCELLAKFRAACGPELADLVAEELAFARQHGTR GFHWTGAGFALKDGTSDFFLDGALTHCSCSIYAARCLPCRHLFAARLLTGAALFHMDLLR DCWGRSQEP >ENSMUSP00000065798.7 pep:known chromosome:GRCm38:13:56703010:56742377:1 gene:ENSMUSG00000021540.16 transcript:ENSMUST00000069557.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad5 description:SMAD family member 5 [Source:MGI Symbol;Acc:MGI:1328787] MTSMASLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALSSPG QPSKCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLDICEFPFGSKQKE VCINPYHYKRVESPVLPPVLVPRHNEFNPQHSLLVQFRNLSHNEPHMPQNATFPDSFHQP NNAPFPLSPNSPYPPSPASSTYPNSPASSGPGSPFQLPADTPPPAYMPPDDQMAPDNSQP MDTSSNMIPQTMPSISSRDVQPVAYEEPKHWCSIVYYELNNRVGEAFHASSTSVLVDGFT DPSNNKSRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECLSDSSIFVQSRNC NFHHGFHPTTVCKIPSSCSLKIFNNQEFAQLLAQSVNHGFEAVYELTKMCTIRMSFVKGW GAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMGSPLNPISSVS >ENSMUSP00000105502.1 pep:known chromosome:GRCm38:13:56703052:56739960:1 gene:ENSMUSG00000021540.16 transcript:ENSMUST00000109876.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad5 description:SMAD family member 5 [Source:MGI Symbol;Acc:MGI:1328787] MTSMASLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALSSPG QPSKCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLDICEFPFGSKQKE VCINPYHYKRVESPVLPPVLVPRHNEFNPQHSLLVQFRNLSHNEPHMPQNATFPDSFHQP NNAPFPLSPNSPYPPSPASSTYPNSPASSGPGSPFQLPADTPPPAYMPPDDQMAPDNSQP MDTSSNMIPQTMPSISSRDVQPVAYEEPKHWCSIVYYELNNRVGEAFHASSTSVLVDGFT DPSNNKSRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECLSDSSIFVQSRNC NFHHGFHPTTVCKIPSSCSLKIFNNQEFAQLLAQSVNHGFEAVYELTKMCTIRMSFVKGW GAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMGSPLNPISSVS >ENSMUSP00000105500.1 pep:known chromosome:GRCm38:13:56703668:56740304:1 gene:ENSMUSG00000021540.16 transcript:ENSMUST00000109874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smad5 description:SMAD family member 5 [Source:MGI Symbol;Acc:MGI:1328787] MTSMASLFSFTSPAVKRLLGWKQGDEEEKWAEKAVDALVKKLKKKKGAMEELEKALSSPG QPSKCVTIPRSLDGRLQVSHRKGLPHVIYCRVWRWPDLQSHHELKPLDICEFPFGSKQKE VCINPYHYKRVESPVLPPVLVPRHNEFNPQHSLLVQFRNLSHNEPHMPQNATFPDSFHQP NNAPFPLSPNSPYPPSPASSTYPNSPASSGPGSPFQLPADTPPPAYMPPDDQMAPDNSQP MDTSSNMIPQTMPSISSRDVQPVAYEEPKHWCSIVYYELNNRVGEAFHASSTSVLVDGFT DPSNNKSRFCLGLLSNVNRNSTIENTRRHIGKGVHLYYVGGEVYAECLSDSSIFVQSRNC NFHHGFHPTTVCKIPSSCSLKIFNNQEFAQLLAQSVNHGFEAVYELTKMCTIRMSFVKGW GAEYHRQDVTSTPCWIEIHLHGPLQWLDKVLTQMGSPLNPISSVS >ENSMUSP00000051366.4 pep:known chromosome:GRCm38:3:89401004:89411870:-1 gene:ENSMUSG00000042642.13 transcript:ENSMUST00000050398.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flad1 description:flavin adenine dinucleotide synthetase 1 [Source:MGI Symbol;Acc:MGI:2443030] MASRASELPPGSGRSVTAGIIIVGDEILKGHTQDTNTYFLCRTLRSLGVQVCRVSVVPDE VATIASEVNSFSRRFTHVLTAGGIGPTHDDVTFEAVAQAFGEELKPHPELQAAIKTLGGE GWEKLSMVPSSARLHYGTDPRTGHPFRFPLVSVRNVYLFPGIPELLRRVLEGLKGLFQNT AVQFHLKELYVAASEGSIAPILSEAQAHFGRRLSLGSYPDWSSNYFQVKLILDSEEKEPL EECLAYLTARLPQGSLVPYQPDAVEKAGEAVYKLAESGSCLGKKVAGALQTIETALAQYH LSQLCVGFNGGKDCTALLHLFHAAVQRKFPDVPKPLQILYIRSISPFPELEQFLQDTIKR YNLQVLEAEGNMKQALGELQEKHPQLEAVLMGTRRTDPYSCSLSHFSPTDPGWPSFMRIN PLLDWTYRNIWEFLRQLFVPYCILYDRGYTSLGSRENTTQNPALKCLSPGGHPVYRPAYL LENEDEERNSRIPKTPGASWPSPRMGHKELKKEPRTLL >ENSMUSP00000122252.2 pep:known chromosome:GRCm38:3:89401969:89411863:-1 gene:ENSMUSG00000042642.13 transcript:ENSMUST00000129308.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flad1 description:flavin adenine dinucleotide synthetase 1 [Source:MGI Symbol;Acc:MGI:2443030] MASRASELPPGSGRSVTAGIIIVGDEILKGHTQDTNTYFLCRTLRSLGVQVCRVSVVPDE VATIASEVNSFSRRFTHVLTAGGIGPTHDDVTFEAVAQAFGEELKPHPELQAAIKTLGGE GWEKLSMVPSSARLHYGTDPRTGHPFRFPLVSVRNVYLFPGIPELLRRVLEGLKGLFQNT AVQFHLKELYVAASEGSIAPILSEAQAHFGRRLSLGSYPDWSSNYFQVKLILDSEEKEPL EECLAYLTARLPQGSLVPYQPDAVEKAGEAVYKLAESGSCLGKKVAGALQTIETALAQYH LSQLCVGFNGGKDCTALLHLFHAAVQRKFPDVPKPLQILYIRSISPFPELEQFLQDTIKR YNLQVLEAEGNMKQALGELQEKHPQLEAVLMGTRRTDPYSCSLSHFSPTDPGWPSFMRIN PLLDWTYRNIWEFLRQLFVPYCILYDRGYTSLGSRENTTQNPALKCLSPGGHPVYRPAYL LENEDEERNSRM >ENSMUSP00000103049.1 pep:known chromosome:GRCm38:3:89402667:89411869:-1 gene:ENSMUSG00000042642.13 transcript:ENSMUST00000107426.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flad1 description:flavin adenine dinucleotide synthetase 1 [Source:MGI Symbol;Acc:MGI:2443030] MASRASELPPGSGRSVTAGIIIVGDEILKGHTQDTNTYFLCRTLRSLGVQVCRVSVVPDE VATIASEVNSFSRRFTHVLTAGGIGPTHDDVTFEAVAQAFGEELKPHPELQAAIKTLGGE GWEKLSMVPSSARLHYGTDPRTGHPFRFPLVSVRNVYLFPGIPELLRRVLEGLKGLFQNT AVQFHLKELYVAASEGSIAPILSEAQAHFGRRLSLGSYPDWSSNYFQVKLILDSEEKEPL EECLAYLTARLPQGSLVPYQPDAVEKAGEAVYKLAESGSCLGKKVAGALQTIETALAQYH LSQLCVGFNGGKDCTALLHLFHAAVQRKFPDVPKPLQILYIRSISPFPELEQFLQDTIKR YNLQVLEAEGNMKQALGELQEKHPQLEAVLMGTRRTDPYSCSLSHFSPTDPGWPSFMRIN PLLDWTYRNIWEFLRQLFVPYCILYDRGYTSLGSRENTTQNPALKCLSPGGHPVYRPAYL LENEDEERNSRM >ENSMUSP00000103052.3 pep:known chromosome:GRCm38:3:89408730:89411781:-1 gene:ENSMUSG00000042642.13 transcript:ENSMUST00000107429.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flad1 description:flavin adenine dinucleotide synthetase 1 [Source:MGI Symbol;Acc:MGI:2443030] MASRASELPPGSGRSVTAGIIIVGDEILKGHTQDTNTYFLCRTLRSLGVQVCRVSVVPDE VATIASEVNSFSRRFTHVLTAGGIGPTHDDVTFEAVAQAFGEELKPHPELQAAIKTLGGE GWEKLSMVPSSARLHYGTDPRTGHPFRFPLVSVRNVYLFPGIPELLRRVLEGLKG >ENSMUSP00000125654.1 pep:known chromosome:GRCm38:3:89408955:89411870:-1 gene:ENSMUSG00000042642.13 transcript:ENSMUST00000162701.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Flad1 description:flavin adenine dinucleotide synthetase 1 [Source:MGI Symbol;Acc:MGI:2443030] MASRASELPPGSGRSVTAGIIIVGDEILKGHTQDTNTYFLCRTLRSLGVQVCRVSVVPDE VATIASEVNSFSRRFTHVLTAGGIGPTHDDVTFEAVAQA >ENSMUSP00000112971.1 pep:known chromosome:GRCm38:6:24518666:24527570:-1 gene:ENSMUSG00000023089.12 transcript:ENSMUST00000118558.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa5 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 5 [Source:MGI Symbol;Acc:MGI:1915452] MAGLLKKTTGLVGLAVCDTPHEEPDVKKLEALLQGGEVEEVILQAEKELSLARKMLKWKP WEPLVEEPPANQWKWPI >ENSMUSP00000023851.5 pep:known chromosome:GRCm38:6:24518666:24528013:-1 gene:ENSMUSG00000023089.12 transcript:ENSMUST00000023851.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa5 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 5 [Source:MGI Symbol;Acc:MGI:1915452] MAGLLKKTTGLVGLAVCDTPHERLTILYTKTLDILKHFPKHAAYRKYTEQITNEKLDMVK AEPDVKKLEALLQGGEVEEVILQAEKELSLARKMLKWKPWEPLVEEPPANQWKWPI >ENSMUSP00000134531.1 pep:known chromosome:GRCm38:7:15625302:15627876:-1 gene:ENSMUSG00000066772.12 transcript:ENSMUST00000174443.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox3 description:oocyte specific homeobox 3 [Source:MGI Symbol;Acc:MGI:2149032] MAEGPSLHPKLQVASNIPIELSSQIPQDPARNLAFQMCQNPLMTPGSTMQSRLSVPERNL LQQESQGPTRQSGRMPLSDRYVNKQTGPMALRKFRKERTVYSKEQQCLLQKHFDEFQYPN EKKIVELALSVGVTKREIKIWFKNNRAKYRRMKLQNIKQALPETNESSKAVSESTHFPGS IPVVDSDNGESMCSGTFGEDSIPKLNCSQESSLHCFQACDGDMCCPQEYQEYQEYLLHGH APVTAWNSGQSAAFESQTDLAVAEVPVGLAYAAQAPEDAHNSGPCEDELWQRILEDLDTS DDWLTLRNLSTPVYTTEVLDQSKPYSHEEVCYTHL >ENSMUSP00000133427.1 pep:known chromosome:GRCm38:7:15625717:15639902:-1 gene:ENSMUSG00000066772.12 transcript:ENSMUST00000173912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox3 description:oocyte specific homeobox 3 [Source:MGI Symbol;Acc:MGI:2149032] MAEGPSLHPKLQVASNIPIELSSQIPQDPARNLAFQMCQNPLMTPGSTMQSRLSVPERNL LQQESQGPTRQSGRMPLSDRYVNKQTGPMALRKFRKERTVYSKEQQCLLQKHFDEFQYPN EKKIVELALSVGVTKREIKIWFKNNRAKYRRMKLQNIKQALPETNESSKAVSESTHFPGS IPVVDSDNGESMCSGTFGEDSIPKLNCSQESSLHCFQACDGDMCCPQEYQEYQEYLLHGH APVTAWNSGQSAAFESQTDLAVAEVPVGLAYAAQAPEDAHNSGPCEDELWQRILEDLDTS DDWLTLRNLSTPVYTTEVLDQSKPYSHEEVCYTHL >ENSMUSP00000133788.1 pep:known chromosome:GRCm38:7:15626104:15639777:-1 gene:ENSMUSG00000066772.12 transcript:ENSMUST00000173395.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox3 description:oocyte specific homeobox 3 [Source:MGI Symbol;Acc:MGI:2149032] MAEGPSLHPKLQVASNIPIELSSQIPQDPARNLAFQMCQNPLMTPGSTMQSRLSVPERNL LQQESQGPTRQSGRMPLSDRYVNKQTGPMALRKFRKERTVYSKEQQCLLQKHFDEFQYPN EKKIVELALSVGVTKREIKIWFKNNRAKYRRMKLQNIKQALPETNESSKAVSESTHFPGS IPVVDSDNGESMCSGTFGEDSIPKLNCSQESSL >ENSMUSP00000133814.1 pep:known chromosome:GRCm38:7:15626166:15639777:-1 gene:ENSMUSG00000066772.12 transcript:ENSMUST00000174151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox3 description:oocyte specific homeobox 3 [Source:MGI Symbol;Acc:MGI:2149032] MAEGPSLHPKLQVASNIPIELSSQIPQDPARNLAFQMCQNPLMTPGSTMQSRLSVPERNL LQQESQGPTRQSGRMPLSDRYVNKQTGPMALRKFRKERTVYSKEQQCLLQKHFDEFQYPN EKKIVELALSVGVTKREIKIWFKNNRAKYRRMKLQNIKQALPETNESSKAVSESTHFPGS IPVVDSDNGESM >ENSMUSP00000134526.1 pep:known chromosome:GRCm38:7:15626288:15639416:-1 gene:ENSMUSG00000066772.12 transcript:ENSMUST00000174842.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox3 description:oocyte specific homeobox 3 [Source:MGI Symbol;Acc:MGI:2149032] MAEGPSLHPKLQVASNIPIELSSQIPQDPARNLAFQMCQNPLMTPGSTMQSRLSVPERNL LQQESQGPTRQSGRMPLSDRYVNKQTGPMALRKFRKERTVYSKEQQCLLQKHFDEFQYPN EKKIVELALSVGVTKREIKIWFKNNRAKYRR >ENSMUSP00000092842.4 pep:known chromosome:GRCm38:7:15625306:15639777:-1 gene:ENSMUSG00000066772.12 transcript:ENSMUST00000095217.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox3 description:oocyte specific homeobox 3 [Source:MGI Symbol;Acc:MGI:2149032] MAEGPSLHPKLQVASNIPIELSSQIPQDPARNLAFQMCQNPLMTPGSTMQSRLSVPERNL LQQESQGPTRQSGRMPLSDRYVNKQTGPMALRKFRKERTVYSKEQQCLLQKHFDEFQYPN EKKIVELALSVGVTKREIKIWFKNNRAKYRRMKLQNIKQALPETNESSKAVSESTHFPGS IPVVDSDNGESMCSGTFGEDSIPKLNCSQESSLHCFQACDGDMCCPQEYQEYQEYLLHGH APVTAWNSGQSAAFESQTDLAVAEVPVGLAYAAQAPEDAHNSGPCEDELWQRILEDLDTS DDWLTLRNLSTPVYTTEVLDQSKPYSHEEVCYTHL >ENSMUSP00000083291.3 pep:known chromosome:GRCm38:7:15479825:15627876:-1 gene:ENSMUSG00000066772.12 transcript:ENSMUST00000086122.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Obox3 description:oocyte specific homeobox 3 [Source:MGI Symbol;Acc:MGI:2149032] MAEGPSLHPKLQVASNIPIELSSQIPQDPARNLAFQMCQNPLMTPGSTMQSRLSVPERNL LQQESQGPTRQSGRMPLSDRYVNKQTGPMALRKFRKERTVYSKEQQCLLQKHFDEFQYPN EKKIVELALSVGVTKREIKIWFKNNRAKYRRMKLQNIKQALPETNESSKAVSESTHFPGS IPVVDSDNGESMCSGTFGEDSIPKLNCSQESSLHCFQACDGDMCCPQEYQEYQEYLLHGH APVTAWNSGQSAAFESQTDLAVAEVPVGLAYAAQAPEDAHNSGPCEDELWQRILEDLDTS DDWLTLRNLSTPVYTTEVLDQSKPYSHEEVCYTHL >ENSMUSP00000030121.6 pep:known chromosome:GRCm38:4:40804602:40854005:-1 gene:ENSMUSG00000028413.13 transcript:ENSMUST00000030121.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt1 description:UDP-Gal:betaGlcNAc beta 1,4- galactosyltransferase, polypeptide 1 [Source:MGI Symbol;Acc:MGI:95705] MRFREQFLGGSAAMPGATLQRACRLLVAVCALHLGVTLVYYLSGRDLSRLPQLVGVSSTL QGGTNGAAASKQPPGEQRPRGARPPPPLGVSPKPRPGLDSSPGAASGPGLKSNLSSLPVP TTTGLLSLPACPEESPLLVGPMLIDFNIAVDLELLAKKNPEIKTGGRYSPKDCVSPHKVA IIIPFRNRQEHLKYWLYYLHPILQRQQLDYGIYVINQAGDTMFNRAKLLNIGFQEALKDY DYNCFVFSDVDLIPMDDRNAYRCFSQPRHISVAMDKFGFSLPYVQYFGGVSALSKQQFLA INGFPNNYWGWGGEDDDIFNRLVHKGMSISRPNAVVGRCRMIRHSRDKKNEPNPQRFDRI AHTKETMRFDGLNSLTYKVLDVQRYPLYTQITVDIGTPR >ENSMUSP00000103731.2 pep:known chromosome:GRCm38:4:40809154:40854005:-1 gene:ENSMUSG00000028413.13 transcript:ENSMUST00000108096.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt1 description:UDP-Gal:betaGlcNAc beta 1,4- galactosyltransferase, polypeptide 1 [Source:MGI Symbol;Acc:MGI:95705] MRFREQFLGGSAAMPGATLQRACRLLVAVCALHLGVTLVYYLSGRDLSRLPQLVGVSSTL QGGTNGAAASKQPPGEQRPRGARPPPPLGVSPKPRPGLDSSPGAASGPGLKSNLSSLPVP TTTGLLSLPACPEESPLLVGPMLIDFNIAVDLELLAKKNPEIKTGGRYSPKDCVSPHKVA IIIPFRNRQEHLKYWLYYLHPILQRQQLDYGIYVINQAGDTMFNRAKLLNIGFQEALKDY DYNCFVFSDVDLIPMDDRNAYRCFSQPRHISVAMDKFGFSLPYVQYFGGVSALSKQQFLA INGFPNNYWGWGGEDDDIFNRSKPKASAEETGGSLGKALSPASTRA >ENSMUSP00000121102.1 pep:known chromosome:GRCm38:7:13278835:13291517:1 gene:ENSMUSG00000056394.17 transcript:ENSMUST00000146998.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig1 description:ligase I, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:101789] MRKKEQERKGETSAANMQRSIMSFFQPTKEGKAKKPEKETPSSIREKEPPPKVALKERNQ VVPESDSPVKRTGRKVAQVLSCEGEDEDEAPGTPKVQKPVSDSEQSSPPSPDTCPENSPV FNCSSPMDISPSGFPKRRTARKQLPKRTIQDTLEEQNEDKTKTAKKRKKEEGTETPKESL AEAEDVKQKEEKEGDQLIVPSEPTKSPESVTLTKTENIPVCKAGVKLKPQEEEQSKPPAR GAKTLSSFFTPRKPAVKTEVKQEESGTLRK >ENSMUSP00000138907.1 pep:known chromosome:GRCm38:7:13278855:13292498:1 gene:ENSMUSG00000056394.17 transcript:ENSMUST00000185145.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig1 description:ligase I, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:101789] MRKKEQERKGETSAANMQRSIMSFFQPTKEGKAKKPEKETPSSIREKEPPPKVALKERNQ VVPESDSPVKRTGRKVAQVLSCEGEDEDEAPGTPKVQKPVSDSEQSSPPSPDTCPENSPV FNCSSPMDISPSGFPKRRTARKQLPKRTIQDTLEEQNEDKTKTAKKRKKEEETPKESLAE AEDVKQKEEKEGDQLIVPSEPTKSPESVTLTKTENIPVCKAGVKLKPQEEEQSKPPARGA KTLSSFFTPRKPAVKTEVKQEESGTLRKEETKGTLDPANYNPSKNNYHPIEDACWKHGQK VPFLAVARTFEKI >ENSMUSP00000114872.1 pep:known chromosome:GRCm38:7:13280146:13291244:1 gene:ENSMUSG00000056394.17 transcript:ENSMUST00000123025.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig1 description:ligase I, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:101789] MRKKEQERKGETSAANMQRSIMSFFQPTKEGKAKKPEKETPSSIREKEPPPKVALKERNQ VVPESDSPVKRTGRKVAQVLSCEGEDEDEAPGTPKVQPVSDSEQSSPPSPDTCPENSPVF NCSSPMDISPSGFPKRRTARKQLPKRTIQDTLEEQNEDKTKTAKKRKKEEGTETPKESLA EAEDVKQKEEKEGDQLIVPSEPTKSPESVTLTKTENIPVCKAGVKL >ENSMUSP00000126525.1 pep:known chromosome:GRCm38:7:13279265:13311425:1 gene:ENSMUSG00000056394.17 transcript:ENSMUST00000165964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig1 description:ligase I, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:101789] MRKKEQERKGETSAANMQRSIMSFFQPTKEGKAKKPEKETPSSIREKEPPPKVALKERNQ VVPESDSPVKRTGRKVAQVLSCEGEDEDEAPGTPKVQKPVSDSEQSSPPSPDTCPENSPV FNCSSPMDISPSGFPKRRTARKQLPKRTIQDTLEEQNEDKTKTAKKRKKEEETPKESLAE AEDVKQKEEKEGDQLIVPSEPTKSPESVTLTKTENIPVCKAGVKLKPQEEEQSKPPARGA KTLSSFFTPRKPAVKTEVKQEESGTLRKEETKGTLDPANYNPSKNNYHPIEDACWKHGQK VPFLAVARTFEKIEEVSARLKMVETLSNLLRSVVALSPPDLLPVLYLSLNRLGPPQQGLE LGVGDGVLLKAVAQATGRQLESIRAEVAEKGDVGLVAENSRSTQRLMLPPPPLTISGVFT KFCDIARLTGSASMAKKMDIIKGLFVACRHSEARYIARSLSGRLRLGLAEQSVLAALAQA VSLTPPGQEFPTAVVDAGKGKTAEARKMWLEEQGMILKQTFCEVPDLDRIIPVLLEHGLE RLPEHCKLSPGVPLKPMLAHPTRGVSEVLKRFEEVDFTCEYKYDGQRAQIHVLEGGEVKI FSRNQEDNTGKYPDIISRIPKIKHPSVTSFILDTEAVAWDREKKQIQPFQVLTTRKRKEV DASEIQVQVCLYAFDLIYLNGESLVRQPLSRRRQLLRENFVETEGEFVFTTSLDTKDTEQ IAEFLEQSVKDSCEGLMVKTLDVDATYEIAKRSHNWLKLKKDYLDGVGDTLDLVVIGAYL GRGKRAGRYGGFLLAAYDEESEELQAICKLGTGFSDEELEEHHQSLQALVLPTPRPYVRI DGAVAPDHWLDPSIVWEVKCADLSLSPIYPAARGLVDKEKGISLRFPRFIRVRKDKQPEQ ATTSNQVASLYRKQSQIQNQQSSDLDSDVEDY >ENSMUSP00000136972.1 pep:known chromosome:GRCm38:7:13277313:13311425:1 gene:ENSMUSG00000056394.17 transcript:ENSMUST00000177588.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig1 description:ligase I, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:101789] MRKKEQERKGETSAANMQRSIMSFFQPTKEGKAKKPEKETPSSIREKEPPPKVALKERNQ VVPESDSPVKRTGRKVAQVLSCEGEDEDEAPGTPKVQKPVSDSEQSSPPSPDTCPENSPV FNCSSPMDISPSGFPKRRTARKQLPKRTIQDTLEEQNEDKTKTAKKRKKEEETPKESLAE AEDVKQKEEKEGDQLIVPSEPTKSPESVTLTKTENIPVCKAGVKLKPQEEEQSKPPARGA KTLSSFFTPRKPAVKTEVKQEESGTLRKEETKGTLDPANYNPSKNNYHPIEDACWKHGQK VPFLAVARTFEKIEEVSARLKMVETLSNLLRSVVALSPPDLLPVLYLSLNRLGPPQQGLE LGVGDGVLLKAVAQATGRQLESIRAEVAEKGDVGLVAENSRSTQRLMLPPPPLTISGVFT KFCDIARLTGSASMAKKMDIIKGLFVACRHSEARYIARSLSGRLRLGLAEQSVLAALAQA VSLTPPGQEFPTAVVDAGKGKTAEARKMWLEEQGMILKQTFCEVPDLDRIIPVLLEHGLE RLPEHCKLSPGVPLKPMLAHPTRGVSEVLKRFEEVDFTCEYKYDGQRAQIHVLEGGEVKI FSRNQEDNTGKYPDIISRIPKIKHPSVTSFILDTEAVAWDREKKQIQPFQVLTTRKRKEV DASEIQVQVCLYAFDLIYLNGESLVRQPLSRRRQLLRENFVETEGEFVFTTSLDTKDTEQ IAEFLEQSVKDSCEGLMVKTLDVDATYEIAKRSHNWLKLKKDYLDGVGDTLDLVVIGAYL GRGKRAGRYGGFLLAAYDEESEELQAICKLGTGFSDEELEEHHQSLQALVLPTPRPYVRI DGAVAPDHWLDPSIVWEVKCADLSLSPIYPAARGLVDKEKGISLRFPRFIRVRKDKQPEQ ATTSNQVASLYRKQSQIQNQQSSDLDSDVEDY >ENSMUSP00000096411.4 pep:known chromosome:GRCm38:7:13278826:13311425:1 gene:ENSMUSG00000056394.17 transcript:ENSMUST00000098814.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lig1 description:ligase I, DNA, ATP-dependent [Source:MGI Symbol;Acc:MGI:101789] MRKKEQERKGETSAANMQRSIMSFFQPTKEGKAKKPEKETPSSIREKEPPPKVALKERNQ VVPESDSPVKRTGRKVAQVLSCEGEDEDEAPGTPKVQKPVSDSEQSSPPSPDTCPENSPV FNCSSPMDISPSGFPKRRTARKQLPKRTIQDTLEEQNEDKTKTAKKRKKEEETPKESLAE AEDVKQKEEKEGDQLIVPSEPTKSPESVTLTKTENIPVCKAGVKLKPQEEEQSKPPARGA KTLSSFFTPRKPAVKTEVKQEESGTLRKEETKGTLDPANYNPSKNNYHPIEDACWKHGQK VPFLAVARTFEKIEEVSARLKMVETLSNLLRSVVALSPPDLLPVLYLSLNRLGPPQQGLE LGVGDGVLLKAVAQATGRQLESIRAEVAEKGDVGLVAENSRSTQRLMLPPPPLTISGVFT KFCDIARLTGSASMAKKMDIIKGLFVACRHSEARYIARSLSGRLRLGLAEQSVLAALAQA VSLTPPGQEFPTAVVDAGKGKTAEARKMWLEEQGMILKQTFCEVPDLDRIIPVLLEHGLE RLPEHCKLSPGVPLKPMLAHPTRGVSEVLKRFEEVDFTCEYKYDGQRAQIHVLEGGEVKI FSRNQEDNTGKYPDIISRIPKIKHPSVTSFILDTEAVAWDREKKQIQPFQVLTTRKRKEV DASEIQVQVCLYAFDLIYLNGESLVRQPLSRRRQLLRENFVETEGEFVFTTSLDTKDTEQ IAEFLEQSVKDSCEGLMVKTLDVDATYEIAKRSHNWLKLKKDYLDGVGDTLDLVVIGAYL GRGKRAGRYGGFLLAAYDEESEELQAICKLGTGFSDEELEEHHQSLQALVLPTPRPYVRI DGAVAPDHWLDPSIVWEVKCADLSLSPIYPAARGLVDKEKGISLRFPRFIRVRKDKQPEQ ATTSNQVASLYRKQSQIQNQQSSDLDSDVEDY >ENSMUSP00000126756.1 pep:known chromosome:GRCm38:17:19773363:19812536:1 gene:ENSMUSG00000091771.1 transcript:ENSMUST00000172203.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r103 description:vomeronasal 2, receptor 103 [Source:MGI Symbol;Acc:MGI:3644032] MFTWIFFFRLLQIPKFVSVFTYNISRCYYIVTEEFHHEGDVVVGAFFPLHTFYTEKKMPH KTVLYQYLDNQIQYNFKNYKYILALQFSIEEINRNPNLLPNTSLGFDFYNVRFTEKYTLD NVFIWLTALVHRKYFPNYNCKKRNFTAALTGTSWIISAQIGTLLQLFKFPQITFGPYDLL LSDHGQYPSLYQMAPKDTSLSLAIVSLMAHFRWSWVGLILPDDHKGNNIVSDFREEMERK GICLAFVKMIPATWTSHFAKFWEHMDETNVTIVYGDVDSLEGIMRNIEQRLLTQNVWIMN IEHHVIDRADYFMLDSFHGSLIFKHNYKENFEFTKFIQTVNPNKYPEDIYLPKLWHLFFK CSFADINCNVLENCQTNASLDVFPRHIFDLSMNAESTSIYNGVYAVAHSLHEIRLQQLQM QPYENGEGMVFFPWQLNRFLKDTEVKDKRCLDWRQTIDTEYDILNFWNLPKGLGLKVKIG SFSANAPQGQELSLSEQMIQWPEIFSEIPQSVCSESCEPGFRKVALEGKAICCYKCTPCG DNEISNETDVYQCVKCPEGHYANTERNNCFQKSVIFLAYEDPLGMTLASVALCLSALTVF VIGIFVKHRDTPIVKANNRALSYILLLTLTFCFLCSLNFIGQPNTATCILQQTTFAVTFT MALATVLAKAITVVLAFKVSFPGKIVRWLMLSRGPNYIIPICTLIQLLICGIWMTTSPPF IDQDAHTEHGHIIILCNKGSAVAFHSVLGYLCFLALGSYTMAFLSRNLPDTFNESKYLSF SMLVFFCVWVTFLPVFHSTKGKIMVSMEVFSILASSIALLAFIFGPKCYIILLKPENNSF THVRKKTYSRKNYPKI >ENSMUSP00000006632.7 pep:known chromosome:GRCm38:19:4878672:4885397:1 gene:ENSMUSG00000006463.13 transcript:ENSMUST00000006632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zdhhc24 description:zinc finger, DHHC domain containing 24 [Source:MGI Symbol;Acc:MGI:1917855] MGESWAARGAEGAPARMPLVLTALWAAVVVLELAYVMVLGPGPPPLGPLARALQLALAAY QLLNLLGNVVLFLRSDPSIRGVMLAGRGLGQGWAYCYQCQSQVPPRSGHCSACRVCILRR DHHCRLLGCCVGFHNYRPFLCLLLHSAGVLLHISVLLGPALSALLQAHSALYTVALLLLP WLMLLTGKVSLAQFALAFVVDTCVAGALLCGAGLLFHGMLLLRGQTTWEWARGHHCYDLG TCHNLQAALGPRWALVWFWPFLASPLPGDGISFQTPGDVGLVTS >ENSMUSP00000124337.1 pep:known chromosome:GRCm38:19:4878704:4885396:1 gene:ENSMUSG00000006463.13 transcript:ENSMUST00000162720.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc24 description:zinc finger, DHHC domain containing 24 [Source:MGI Symbol;Acc:MGI:1917855] MGESWAARGAEGAPARMPLVLTALWAAVVVLELAYVMVLGPGPPPLGPLARALQLALAAY QLLNLLGNVVLFLRSDPSIRGVMLAGRGLGQGWLLLPVPKPGATS >ENSMUSP00000123709.1 pep:known chromosome:GRCm38:19:4878723:4883799:1 gene:ENSMUSG00000006463.13 transcript:ENSMUST00000160014.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Zdhhc24 description:zinc finger, DHHC domain containing 24 [Source:MGI Symbol;Acc:MGI:1917855] MGESWAARGAEGAPARMPLVLTALWAAVVVLELAYVMVLGPGPPPLGPLARALQLALAAY QLLNLLGNVVLFLRSDPSIRGLLLPVPKPGATS >ENSMUSP00000097874.4 pep:known chromosome:GRCm38:7:3303522:3331099:1 gene:ENSMUSG00000078816.9 transcript:ENSMUST00000100301.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcg description:protein kinase C, gamma [Source:MGI Symbol;Acc:MGI:97597] MAGLGPGGGDSEGGPRPLFCRKGALRQKVVHEVKSHKFTARFFKQPTFCSHCTDFIWGIG KQGLQCQVCSFVVHRRCHEFVTFECPGAGKGPQTDDPRNKHKFRLHSYSSPTFCDHCGSL LYGLVHQGMKCSCCEMNVHRRCVRSVPSLCGVDHTERRGRLQLEIRAPTSDEIHITVGEA RNLIPMDPNGLSDPYVKLKLIPDPRNLTKQKTKTVKATLNPVWNETFVFNLKPGDVERRL SVEVWDWDRTSRNDFMGAMSFGVSELLKAPVDGWYKLLNQEEGEYYNVPVADADNCSLLQ KFEACNYPLELYERVRMGPSSSPIPSPSPSPTDSKRCFFGASPGRLHISDFSFLMVLGKG SFGKVMLAERRGSDELYAIKILKKDVIVQDDDVDCTLVEKRVLALGGRGPGGRPHFLTQL HSTFQTPDRLYFVMEYVTGGDLMYHIQQLGKFKEPHAAFYAAEIAIGLFFLHNQGIIYRD LKLDNVMLDAEGHIKITDFGMCKENVFPGSTTRTFCGTPDYIAPEIIAYQPYGKSVDWWS FGVLLYEMLAGQPPFDGEDEEELFQAIMEQTVTYPKSLSREAVAICKGFLTKHPGKRLGS GPDGEPTIRAHGFFRWIDWERLERLEIAPPFRPRPCGRSGENFDKFFTRAAPALTPPDRL VLASIDQADFQGFTYVNPDFVHPDARSPTSPVPVPVM >ENSMUSP00000131351.1 pep:known chromosome:GRCm38:7:3303645:3330367:1 gene:ENSMUSG00000078816.9 transcript:ENSMUST00000172109.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcg description:protein kinase C, gamma [Source:MGI Symbol;Acc:MGI:97597] MAGLGPGGGDSEGGPRPLFCRKGALRQKVVHEVKSHKFTARFFKQPTFCSHCTDFIWGIG KQGLQCQVCSFVVHRRCHEFVTFECPGAGKGPQTDDPRNKHKFRLHSYSSPTFCDHCGSL LYGLVHQGMKCSCCEMNVHRRCVRSVPSLCGVDHTERRGRLQLEIRAPTSDEIHITVGEA RNLIPMDPNGLSDPYVKLKLIPDPRNLTKQKTKTVKATLNPVWNETFVFNLKPGDVERRL SVEVWDWDRTSRNDFMGAMSFGVSELLKAPVDGWYKLLNQEEGEYYNVPVADADNCSLLQ KFEACNYPLELYEVMLAERRGSDELYAIKILKKDVIVQDDDVDCTLVEKRVLALGGRGPG GRPHFLTQLHSTFQTPDRLYFVMEYVTGGDLMYHIQQLGKFKEPHAAFYAAEIAIGLFFL HNQGIIYRDLKLDNVMLDAEGHIKITDFGMCKENVFPGSTTRTFCGTPDYIAPEIIAYQP YGKSVDWWSFGVLLYEMLAGQPPFDGEDEEELFQAIMEQTVTYPKSLSREAVAICKGFLT KHPGKRLGSGPDGEPTIRAHGFFRWIDWERLERLEIAPPFRPRPCGRSGENFDKFFTRAA PALTPPDRLVLASIDQADFQGFTYVNPDFVHPDARSPTSPVPVPVM >ENSMUSP00000145498.1 pep:known chromosome:GRCm38:7:3305344:3319012:1 gene:ENSMUSG00000078816.9 transcript:ENSMUST00000203081.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prkcg description:protein kinase C, gamma [Source:MGI Symbol;Acc:MGI:97597] XCSFVVHRRCHEFVTFECPGAGKGPQTDVAR >ENSMUSP00000113364.2 pep:known chromosome:GRCm38:11:73776387:73780589:-1 gene:ENSMUSG00000070383.4 transcript:ENSMUST00000122224.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr389 description:olfactory receptor 389 [Source:MGI Symbol;Acc:MGI:3030223] MTGNNQTLISKFLLLGLPILSEYHFLFYALFLAMYLTTILGNLLIIALVRLDSHLHTPMY LFLSNLSFSDLCFSSVTIPKLLQNMQSQVPSISYVGCLTQLYFFMVFGDMESFLLVVMAY DRYVAICFPLHYTSIMSTKFCTSLVLLLWMLTTSNALMHTLLMARLSFCEKNVILRFFCD ISALLKLSCSDTFVNELMIFIMGGIIIIIPFLLIVMSYVRIFFSILKVPSTQGIHKVFST CGSHLSVVSLFYGTIIGLYLCPSSNNSTVKESAMAMMYTVVTPMLNPFIYSLRNRDMKRA LIRVICSKKISL >ENSMUSP00000115639.1 pep:known chromosome:GRCm38:11:73776653:73780535:-1 gene:ENSMUSG00000070383.4 transcript:ENSMUST00000124927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr389 description:olfactory receptor 389 [Source:MGI Symbol;Acc:MGI:3030223] MTGNNQTLISKFLLLGLPILSEYHFLFYALFLAMYLTTILGNLLIIALVRLDSHLHTPMY LFLSNLSFSDLCFSSVTIPKLLQNMQSQVPSISYVGCLTQLYFFMVFGDMESFLLVVMAY DRYVAICFPLHYTSIMSTKFCTSLVLLLWMLTTSNALMHTLLMARLSFCEKNVILRFFCD ISALLKLSCSDTFVNELMIFIMGGIIIIIPFLLIVMSYVRIFFS >ENSMUSP00000041614.4 pep:known chromosome:GRCm38:4:63367125:63403354:-1 gene:ENSMUSG00000039158.11 transcript:ENSMUST00000035724.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akna description:AT-hook transcription factor [Source:MGI Symbol;Acc:MGI:2140340] MASSGAKAQWVGPSLGQGPRRRRWAWAEEQDTDGRRDQGWGNSQSLPEAPSPELLEDFRR AQEHLPPLEWDPDMQDSEESSGEETEADDASSPEGSTVPLPWLSRSNQQLDMSEEELDEA SGRPEVDLAGESCTELECEDQGDSSPPPPGQGPAKGWVTFIKQGSNYRPSEHLEAQPSVE HSRTKSWSSGTVSLRQPSDSLGSTWEGDTEVPQPSILPKALPQSPCHNFPHPGDRNGGDV APATPTEFRDSLAAPAQNAECSAGTWGEETTSLPSSRPEDQTWKRTKTSPKPLPSRFTGS VSPLSTRLGAIKKVVPQHKQGATLAGHSSSQAPKYGRGRRLNYPLPDFSKVGPRVRFPKD ENYRPPKSRGHNRQQGSTRPLIFKSPAEIVRDVLLSSGEASLAKESSLAHTITRVPQEFQ TPEQATELVHQLQEDYHKLLTKYAEAENTIDQLRLGAKVHLYSDPPQPSQSFCSGSMPQG SKVLSFSIPQPRVAEWWPDPAQDPQASEATGWPFPRTDLSPSSSPGVATPGRLPQSQGIA TDQPSTGQTQALTSQASRLLAKVQSFEELVLAGHLPPQDQIKSLEQLRAAHMALEAEYLQ ACREELLDPQLDASQGSPRTLNLCRELEAEIYHLGQRLEELQDHMDQTQRETEPCRPDLQ DSTPTMSFLPQSAHLSMPSGPVSLPDGQTYQEPATTTTTSPGSSCTLPINKKLSLSIKTE ESPRGLPVPLRDRTLQVEQDFHGLLERYLSVKSLPEALRDEDEDDLEEEEEEQDHQGPLE VDSPATAPGKTEAVRVPPGERPTQAEESHRDATQEDEEQMGPMKSPDFRPSMARDTYTPV LDTAEVAQRGTKAMVSHQSSLTSLEESRPSELLPRKALLRAGGPHTEEPWMVSPETDSGF VGSETSIVSPFTQTPEHRLSHVSTSGPSAQHLTASVPGDRTSHPKARGLMVPRRATETGI PRSRTQQHFSSLSSPGRGAQSCHLEETSVAKIAVPRSEFKRQKQISKQLLPSGRTSPDSA PAPTAASTPHGSAESTANLLLNRTERDQAIKDLQAEVSRLRLQLEDSLHRPHPDGPACVA SAFNHSTQTQEKLGSSPSWGPHYGSKSTERLSREPNGVEPAEPMGRRRARSSSVPRDVPR LYLSSESESPAPRLSSEKSRTFEEHPEAAQWGTRPQSSSKRRERVSFRGQYTGQEYHILS PKAILKDSGTPSCPHCHPIRTQDTGSAVSRDTTRGSSAADTLRCALCGEVKSSAEADGSS SGPSEKNTPKKPSTPILKRKNRQTGSPVRMAPGLWYLAAAPPAPAPPALAYISSAPIMPY LPPTVYYAAPAPTSAQTASPQPARGPRRTRHSVQLGLNDLEELQAALREAAQAAENVRST TRQLSRSLSADLRHARSLRGSCLF >ENSMUSP00000052968.4 pep:known chromosome:GRCm38:3:89400988:89402793:-1 gene:ENSMUSG00000078173.3 transcript:ENSMUST00000057431.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lenep description:lens epithelial protein [Source:MGI Symbol;Acc:MGI:1930020] MRPPTQPLTQALPFSLRDALRGTGLQVPVIKMGTGWEGMYRTLKEVAYILLCCWCIKELL D >ENSMUSP00000103317.1 pep:known chromosome:GRCm38:3:84442198:84480429:-1 gene:ENSMUSG00000041842.15 transcript:ENSMUST00000107689.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhdc1 description:FH2 domain containing 1 [Source:MGI Symbol;Acc:MGI:2684972] MHVMNCVSLASDKENGTLATAAAFMTGQTSPSASPPPPPPPPPPPPCPHSGEGFSPSPPP PLPPPLPGGPPIPPPPPPGLPSVSYLNGYSSLGKKKRMRSFFWKTIPEEQVRGKTNIWTL AAKQQHQYQIDKKTIEELFGQQEDTSKASLPKRGGALNSSFRDAREEVTVLDAKRSMNIG IFLKQFKKSPQSIVEDIYQGKSEHYGSETLREILKLLPESEEVKKLKAFNGDVSKLSLAD SFLHCLIQVPNYSLRIEAMVLKKEFLPSCSSLFKDIRTLRAATKELMLCEELHSILHLVL QAGNIMNAGGYAGNAVGFKLSSLLKLADTKANKPGMNLLHFVAQEAQKQDAILLNFSEKL QHVQETSRLSLDITEAELHSLFVRTKSLQENIQLDQELCQQMEDFLQFAVEKLAELELWK RELQGEAHTLIDFFCEDKETMKLDECFQIFRDFCTRFNKAVKDNHDREEQERKQLQRQKE MEQKRYSWSTGELGSFGRSSSENDVQMLAKTGTEDLPSFLKPRPNSPSYRPPNTRRSRLS LGISADRELLTFLESATSSPEDPNKFNSLPRSSPRQARPTIAWMEPREQQSHGPNFTHEP QASKIQEKAPPPAWQNQLPTTWREEPASPLPLAGRSRPSLRKRNSEPVGLGPTQSPPLLP LDLGVREHELVTGLTQFDLQSPKSLEEGSQLTLNDFCPTKLPSPGDRSSQPFAAGGDSLP PKDTDTQEVLSPAGEDDRTISDEPSSEALVSVVVTDTEDKDAGPLLYVSDTTDCSLTLDC SEGMDSRAGGDKQEEEKEGDGSVSSGAGEAGSSQVSSNSVSSPPGEVPAPKSSKSELSCQ GGLPKDRPSRGKDAIAPKRNSFKEASVGASKPVSARRSQGVTTKPVRTLNSSENEHMRKV VPISKSSRGAGPWKRPEPTPKATPRETPSSTDTPLSRRSSVRGTSDTSPRRPQVSGSGAE EPRLPRSSGSISGRPGKDAPLQPRASFRKPSAKPLRNIPRQKPEENKVSSPNSPDPESPK EEPKAPQATGVSRALPPIPSFARNTVASSSRSLRTDAPPAARTTGLTRTVSQRQLRVKGG SEDSASKDIGTLKRASSARASKKCPESAGGSSANVETSLKGRGTTERSSLRLKDSGQATL GRILRPLQK >ENSMUSP00000088525.1 pep:known chromosome:GRCm38:3:84444035:84479491:-1 gene:ENSMUSG00000041842.15 transcript:ENSMUST00000091002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhdc1 description:FH2 domain containing 1 [Source:MGI Symbol;Acc:MGI:2684972] MHVMNCVSLASDKENGTLATAAAFMTGQTSPSASPPPPPPPPPPPPCPHSGEGFSPSPPP PLPPPLPGGPPIPPPPPPGLPSVSYLNGYSSLGKKKRMRSFFWKTIPEEQVRGKTNIWTL AAKQQHQYQIDKKTIEELFGQQEDTSKASLPKRGGALNSSFRDAREEVTVLDAKRSMNIG IFLKQFKKSPQSIVEDIYQGKSEHYGSETLREILKLLPESEEVKKLKAFNGDVSKLSLAD SFLHCLIQVPNYSLRIEAMVLKKEFLPSCSSLFKDIRTLRAATKELMLCEELHSILHLVL QAGNIMNAGGYAGNAVGFKLSSLLKLADTKANKPGMNLLHFVAQEAQKQDAILLNFSEKL QHVQETSRLSLDITEAELHSLFVRTKSLQENIQLDQELCQQMEDFLQFAVEKLAELELWK RELQGEAHTLIDFFCEDKETMKLDECFQIFRDFCTRFNKAVKDNHDREEQERKQLQRQKE MEQKRYSWSTGELGSFGRSSSENDVQMLAKTGTEDLPSFLKPRPNSPSYRPPNTRRSRLS LGISADRELLTFLESATSSPEDPNKFNSLPRSSPRQARPTIAWMEPREQQSHGPNFTHEP QASKIQEKAPPPAWQNQLPTTWREEPASPLPLAGRSRPSLRKRNSEPVGLGPTQSPPLLP LDLGVREHELVTGLTQFDLQSPKSLEEGSQLTLNDFCPTKLPSPGDRSSQPFAAGGDSLP PKDTDTQEVLSPAGEDDRTISDEPSSEALVSVVVTDTEDKDAGPLLYVSDTTDCSLTLDC SEGMDSRAGGDKQEEEKEGDGSVSSGAGEAGSSQVSSNSVSSPPGEVPAPKSSKSELSCQ GGLPKDRPSRGKDAIAPKRNSFKEASVGASKPVSARRSQGVTTKPVRTLNSSENEHMRKV VPISKSSRGAGPWKRPEPTPKATPRETPSSTDTPLSRRSSVRGTSDTSPRRPQVSGSGAE EPRLPRSSGSISGRPGKDAPLQPRASFRKPSAKPLRNIPRQKPEENKVSSPNSPDPESPK EEPKAPQATGVSRALPPIPSFARNTVASSSRSLRTDAPPAARTTGLTRTVSQRQLRVKGG SEDSASKDIGTLKRASSARASKKCPESAGGSSANVETSLKGRGTTERSSLRLKDSGQATL GRILRPLQK >ENSMUSP00000142030.1 pep:known chromosome:GRCm38:3:84444108:84480393:-1 gene:ENSMUSG00000041842.15 transcript:ENSMUST00000194027.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fhdc1 description:FH2 domain containing 1 [Source:MGI Symbol;Acc:MGI:2684972] MRSFFWKTIPEEQVRGKTNIWTLAAKQQHQYQIDKKTIEELFGQQEDTSKASLPKRGGAL NSSFRDAREEVTVLDAKRSMNIGIFLKQFKKSPQSIVEDIYQGKSEHYGSETLREILKLL PESEEQGSRALEAAGTDTQGYPSGDTQQHRHTTITKELSERNFGHFAQEAPGEWIWGRGA QAAPLEWQHQWTAREGRPAAAQSLVQEA >ENSMUSP00000061153.1 pep:known chromosome:GRCm38:3:27371351:27378010:1 gene:ENSMUSG00000051136.1 transcript:ENSMUST00000057186.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ghsr description:growth hormone secretagogue receptor [Source:MGI Symbol;Acc:MGI:2441906] MWNATPSEEPEPNVTLDLDWDASPGNDSLSDELLPLFPAPLLAGVTATCVALFVVGISGN LLTMLVVSRFRELRTTTNLYLSSMAFSDLLIFLCMPLDLVRLWQYRPWNFGDLLCKLFQF VSESCTYATVLTITALSVERYFAICFPLRAKVVVTKGRVKLVILVIWAVAFCSAGPIFVL VGVEHENGTDPRDTNECRATEFAVRSGLLTVMVWVSSVFFFLPVFCLTVLYSLIGRKLWR RRGDAAVGSSLRDQNHKQTVKMLAVVVFAFILCWLPFHVGRYLFSKSFEPGSLEIAQISQ YCNLVSFVLFYLSAAINPILYNIMSKKYRVAVFKLLGFESFSQRKLSTLKDESSRAWTKS SINT >ENSMUSP00000107167.2 pep:known chromosome:GRCm38:14:52463075:52467495:-1 gene:ENSMUSG00000057564.5 transcript:ENSMUST00000111542.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1508 description:olfactory receptor 1508 [Source:MGI Symbol;Acc:MGI:3031342] MAVFFIFLIFYVLTLVGNILIVITIIYDRRLHTPMYFFLSNLSFIDVCHSTVTVPKMLSD TFSEEKLISFDACVVQMFFLHLFACTEIFLLTVMAYDRYVAICKPLQYMTIMNWKVCMML AAALWTGGTIHSISLTSLTIKLPYCGPDEIDNFFCDVPQVIKLACTDTHIIEILIVSNSG LISVVCFVVLVVSYAVILVSLRQQISDGKRKALSTCAAHLTVVTLFLGHCIFIYSRPSTS LPEDKVVSVFFTAVTPLLNPIIYTLRNEDMKSALNKLIKRREK >ENSMUSP00000145829.1 pep:known chromosome:GRCm38:14:52463543:52467090:-1 gene:ENSMUSG00000057564.5 transcript:ENSMUST00000205811.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1508 description:olfactory receptor 1508 [Source:MGI Symbol;Acc:MGI:3031342] MAVFFIFLIFYVLTLVGNILIVITIIYDRRLHTPMYFFLSNLSFIDVCHSTVTVPKMLSD TFSEEKLISFDACVVQMFFLHLFACTEIFLLTVMAYDRYVAICKPLQYMTIMNWKVCMML AAALWTGG >ENSMUSP00000145649.1 pep:known chromosome:GRCm38:14:52462721:52467461:-1 gene:ENSMUSG00000057564.5 transcript:ENSMUST00000206520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1508 description:olfactory receptor 1508 [Source:MGI Symbol;Acc:MGI:3031342] MEKAVLINETSVMSFRLTGLSTNPLVQMAVFFIFLIFYVLTLVGNILIVITIIYDRRLHT PMYFFLSNLSFIDVCHSTVTVPKMLSDTFSEEKLISFDACVVQMFFLHLFACTEIFLLTV MAYDRYVAICKPLQYMTIMNWKVCMMLAAALWTGGTIHSISLTSLTIKLPYCGPDEIDNF FCDVPQVIKLACTDTHIIEILIVSNSGLISVVCFVVLVVSYAVILVSLRQQISDGKRKAL STCAAHLTVVTLFLGHCIFIYSRPSTSLPEDKVVSVFFTAVTPLLNPIIYTLRNEDMKSA LNKLIKRREK >ENSMUSP00000103866.1 pep:known chromosome:GRCm38:7:29303951:29317264:1 gene:ENSMUSG00000030584.14 transcript:ENSMUST00000108231.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf1 description:D4, zinc and double PHD fingers family 1 [Source:MGI Symbol;Acc:MGI:1352748] MATAIQNPLKSLGEDFYREAIEHCRSYNARLCAERSLRLPFLDSQTGVAQNNCYIWMEKT HRGPGLAPGQIYTYPARCWRKKRRLNILEDPRLRPCEYKIDCEAPLKKEGGLPEGPVLEA LLCAETGEKKVELKEEETIMDCQKQQLLEFPHDLEVEDLEEDIPRRKNRARGKAYGIGGL RKRQDTASLEDRDKPYVCDICGKRYKNRPGLSYHYTHTHLAEEEGEEHTERHALPFHRKN NHKQFYKELAWVPEAQRKHTAKKAPDGTVIPNGYCDFCLGGSKKTGCPEDLISCADCGRS GHPSCLQFTVNMTAAVRTYRWQCIECKSCSLCGTSENDGASWAGLTPQDQLLFCDDCDRG YHMYCLSPPMAEPPEGSWSCHLCLRHLKEKASAYITLT >ENSMUSP00000054385.6 pep:known chromosome:GRCm38:7:29303976:29317588:1 gene:ENSMUSG00000030584.14 transcript:ENSMUST00000049977.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf1 description:D4, zinc and double PHD fingers family 1 [Source:MGI Symbol;Acc:MGI:1352748] MATAIQNPLKSLGEDFYREAIEHCRSYNARLCAERSLRLPFLDSQTGVAQNNCYIWMEKT HRGPGLAPGQIYTYPARCWRKKRRLNILEDPRLRPCEYKIDCEAPLKKEGGLPEGPVLEA LLCAETGEKKVELKEEETIMDCQKQQLLEFPHDLEVEDLEEDIPRRKNRARGKAYGIGGL RKRQDTASLEDRDKPYVCDICGKRYKNRPGLSYHYTHTHLAEEEGEEHTERHALPFHRKN NHKQFYKELAWVPEAQRKHTAKKAPDGTVIPNGYCDFCLGGSKKTGCPEDLISCADCGRS GHPSCLQFTVNMTAAVRTYRWQCIECKSCSLCGTSENDDQLLFCDDCDRGYHMYCLSPPM AEPPEGSWSCHLCLRHLKEKASAYITLT >ENSMUSP00000103865.1 pep:known chromosome:GRCm38:7:29307924:29316896:1 gene:ENSMUSG00000030584.14 transcript:ENSMUST00000108230.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf1 description:D4, zinc and double PHD fingers family 1 [Source:MGI Symbol;Acc:MGI:1352748] MATVIPSPLSLGEDFYREAIEHCRSYNARLCAERSLRLPFLDSQTGVAQNNCYIWMEKTH RGPGLAPGQIYTYPARCWRKKRRLNILEDPRLRPCEYKIDCEAPLKKEGGLPEGPVLEAL LCAETGEKKVELKEEETIMDCQKQQLLEFPHDLEVEDLEEDIPRRKNRARGKAYGIGGLR KRQDTASLEDRDKPYVCDKFYKELAWVPEAQRKHTAKKAPDGTVIPNGYCDFCLGGSKKT GCPEDLISCADCGRSGHPSCLQFTVNMTAAVRTYRWQCIECKSCSLCGTSENDGASWAGL TPQDQLLFCDDCDRGYHMYCLSPPMAEPPEGSWSCHLCLRHLKEKASAYITLT >ENSMUSP00000070539.5 pep:known chromosome:GRCm38:7:29308012:29316903:1 gene:ENSMUSG00000030584.14 transcript:ENSMUST00000065181.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf1 description:D4, zinc and double PHD fingers family 1 [Source:MGI Symbol;Acc:MGI:1352748] MATVIPSPLSLGEDFYREAIEHCRSYNARLCAERSLRLPFLDSQTGVAQNNCYIWMEKTH RGPGLAPGQIYTYPARCWRKKRRLNILEDPRLRPCEYKIDCEAPLKKEGGLPEGPVLEAL LCAETGEKKVELKEEETIMDCQKQQLLEFPHDLEVEDLEEDIPRRKNRARGKAYGIGGLR KRQDTASLEDRDKPYVCDICGKRYKNRPGLSYHYTHTHLAEEEGEEHTERHALPFHRKNN HKQFYKELAWVPEAQRKHTAKKAPDGTVIPNGYCDFCLGGSKKTGCPEDLISCADCGRSG HPSCLQFTVNMTAAVRTYRWQCIECKSCSLCGTSENDDQLLFCDDCDRGYHMYCLSPPMA EPPEGSWSCHLCLRHLKEKASAYITLT >ENSMUSP00000120956.1 pep:known chromosome:GRCm38:7:29309513:29313135:1 gene:ENSMUSG00000030584.14 transcript:ENSMUST00000137848.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf1 description:D4, zinc and double PHD fingers family 1 [Source:MGI Symbol;Acc:MGI:1352748] XTGVAQNNCYIWMEKTHRGPGLAPGQIYTYPARCWRKKRRLNILEDPRLRPCEYKIDCEA PLKKEGGLPEGPVLEALLCAETGEKKVELKEEETIMDCQAYGIGGLRKRQDTASLEDRDK PYVCDICGKRYKNRPGLSYHYTH >ENSMUSP00000117186.1 pep:known chromosome:GRCm38:7:29312855:29315737:1 gene:ENSMUSG00000030584.14 transcript:ENSMUST00000142958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dpf1 description:D4, zinc and double PHD fingers family 1 [Source:MGI Symbol;Acc:MGI:1352748] XIGGLRKRQDTASLEDRDKPYVCDICGKRYKNRPGLSYHYTHTHLAEEEGEEHTERHALP FHRKNNHKPKKAPDGTVIPNGYCDFCLGGSKKTGCPEDLISCADCGRSGHPSCLQFTVNM TAAVRTYRWQCIECKSCSLCGTSEND >ENSMUSP00000128200.1 pep:known chromosome:GRCm38:13:59725925:59731752:-1 gene:ENSMUSG00000043986.5 transcript:ENSMUST00000052978.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spata31d1d description:spermatogenesis associated 31 subfamily D, member 1D [Source:MGI Symbol;Acc:MGI:3045260] MKKVLSILNSSILTSAKESWLSIGSIFVDIYPKCIILSVLGLLFLFLRYLILKPFLPTQT SQGVRKQQGRAKRERRASFNEFRNFHGEARERRKLQAVVQSPCGQLYDPFYFRQALCQDP RCDVCNGATVKVRRLLSWASLEDCSASASSMASMASTASVTEASFTLSSSLSPSPSGYQL SSFSVAPSPPPPSILSTSTFTPLEDTLLGTPQGDSLPPEPTLTSTGFPLDHLLPHPLPKS PSVAHPAAQQTEGPLRPEDILSLAGSHGDQFLHATTTCDALPVSYQQQPGAQSSSPSQLK CLVNQEIPNHCSSEDFWRGQASPHFTVPQKVLKILERQEESQADVLTLKDREGEAEPTAE QNLGASFPLGGSRVILSELNPQQGLAQVQSSGGQLEAMPMQHFWGLPYLHSESMSCITTL SSHCSSMCIWFNRTTDSPALAPPSPLPLPERQSQTLTQSQYQSIQLATPHSQLQTLPGPS PSSQSQVRVCGVYFRRSQEAKALLQSEIHHLEYNMMAKDPEREWALPSVVQKPQEELSLL PSKLSLAIKSSKLQTPRSVPPRDFPLADGFQKMFEQHLRKRLILQRWGLPQGIYKSQLWA NPDPSEASKSSSGLSGFKHKDNKAWPNTVSNQSGSPQEKSVSVERKTVKAHEQTLEIIQK YICSNSKTALDNSLPSDHENLQGHSGSLSDQSSETSQVSQCRKKFETPPKEHLKSHIDGT NEDQVPVTLSRLKHRPLHFKPCVGKEEKKAERQSPSDIKDEDTKSVHRITVPGVQQTSVV LDTTILKESEAKKPSPDVPKMLAKAGPMPVGKSLSSSKIVQGPQGTKMDDKHVFVSNKVS NIVKEQLGGLQPRPTKISKASQCKSAKDGDGNTPSTQRTQLAGRAPEGISGPPDIQASDF SCQVTREEKSESENRQPIQASEPPKVMLPVSDKLTHTPLPIHDQMPSSALTNAVQVQPQE PEMPAHSLGKGLNKDSPQSAKRSWKIEGVAGPETLQPSGKIHRAQDSPTPGNHGKRPIPP SPPVKALSPPEHHFRKQVKHFFQWLSPDRKCKGQEALLKRGTSPPSPAHDPEQKGRATFP GNTVAQKALRDFGKVPKEQPGHRHGAADTMRPRVPVSPPTKPVKTKPKREYCVPTDPVQG RQLHPPAPVSKVPSPRFHNQAAAFVDQKRCVAERARQPQKCEALQPRPSASHRESELRQN LLWSRAGKVPQGTPPFAVGTMLADMSRLCEQKILAQNFRGKAFVPQK >ENSMUSP00000113472.1 pep:known chromosome:GRCm38:11:73782966:73787875:1 gene:ENSMUSG00000069818.5 transcript:ENSMUST00000120081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr390 description:olfactory receptor 390 [Source:MGI Symbol;Acc:MGI:3030224] MPGNNQTIISQFLLLGLPIAPEYEHLFYALFLAMYLTTVLGNLIIIILIILDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNMQSQDTSIPYAGCLTQVYFFLFFAALENFLLVAMAY DRYVAICFPLHYASIMSPKLCVSLVLLIWVLTTLYAMLHTLLLTRLSFCENNVIPHFFCD LSALLKLACSDIHINELVILIIGGLVVLLPFLLIIVSYARIISSILKVPSTRGIHKLFST CGSHLSVVSLFYGTIIGIYLGPSANNSTLKDIVMSMMYTVVTPMLNPFIYSLRNRDIKGA LKKVFQKKSLL >ENSMUSP00000146162.1 pep:known chromosome:GRCm38:11:73782984:73787406:1 gene:ENSMUSG00000069818.5 transcript:ENSMUST00000206815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr390 description:olfactory receptor 390 [Source:MGI Symbol;Acc:MGI:3030224] MPGNNQTIISQFLLLGLPIAPEYEHLFYALFLAMYLTTVLGNLIIIILIILDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNMQSQDTSIPYAGCLTQVYFFLFFAALENFLLVAMAY DRYVAICFPLHYASIMSPKLCVSLVLLIWVLTTLYA >ENSMUSP00000090598.1 pep:known chromosome:GRCm38:11:73786940:73787875:1 gene:ENSMUSG00000069818.5 transcript:ENSMUST00000092919.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr390 description:olfactory receptor 390 [Source:MGI Symbol;Acc:MGI:3030224] MPGNNQTIISQFLLLGLPIAPEYEHLFYALFLAMYLTTVLGNLIIIILIILDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNMQSQDTSIPYAGCLTQVYFFLFFAALENFLLVAMAY DRYVAICFPLHYASIMSPKLCVSLVLLIWVLTTLYAMLHTLLLTRLSFCENNVIPHFFCD LSALLKLACSDIHINELVILIIGGLVVLLPFLLIIVSYARIISSILKVPSTRGIHKLFST CGSHLSVVSLFYGTIIGIYLGPSANNSTLKDIVMSMMYTVVTPMLNPFIYSLRNRDIKGA LKKVFQKKSLL >ENSMUSP00000142854.1 pep:known chromosome:GRCm38:5:88487975:88504730:1 gene:ENSMUSG00000029286.12 transcript:ENSMUST00000199104.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enam description:enamelin [Source:MGI Symbol;Acc:MGI:1333772] MCLAPWLSLASAVCSHFYHRPLRTYFKDRLTRFKFTFYLVQLLSGIGSHPNLKAPKIKLG FEDPLIRAYESFGENMLLLQCRNPTSPPKPCGLVPNVKMSLLVFLGLLGVSAAMPFQMPM PRMPGFSSKSEEMMRYNQFNFMNAPPMMPMGPYGNGMPMPPHMPPQYPPYQMPMWPPPVP NGWQQPPMPNFPSKTDQTQETAKPNQTNPQEPQPQKQPLKEPPNEAARAKDDAQPPQPFP PFGNGLYPYPQPPWPIPQRGPPTAFGRPKFSNEEGNPYYAFFGYHGFGGRPYYSEEMFED YEKPKEKDPPKPEDPPPDDPPPEASTNSTVPDANATQSIPEGGNDTSPIGNTGPGPNAGN NPTVQNGVFPPPKVNVSGQGVPKSQIPWRPSQPNIYENYPYPNYPSERQWQTTGTQGPRQ NGPGYRNPQVERGPQWNSFAWEGKQATRPGNPTYGKPPSPTSGVNYAGNPVHFGRNLPGP NKPFVGANPASNKPFVGANPASNKPFVGANPASNKPFVGANPASNKPFVGANPASNKPYV GANPASNKPFIGANPAANKPSIGTNPAANKPSIGTNPAANKPFVRNNVGANKPFVGTNPS SNQPFLRSNQASNKPFMRSNQASNKPFVGTNVASVGPKQVTVSHNMKTQNPKEKSLGQKE RTVTPTKDASNPWRSAKQYGINNPNYNLPRSEGSMVGPNFNSFDQQENSYFSKGASKRVP SPNIQIQSQNLPKGIALEPRRTPFQSETKKPELKHGTHQPAYPKKIPSPTRKHFPAERNT WNRQKILPPLKEDYGRQDENLRHPSYGSRGNIFYHEYTNPYHNEKSQYIKSNPWDKSSPS TMMRPENPQYTMTSLDQKETEQYNEEDPIDPNEDESFPGQSRWGDEEMNFKGNPTVRQYE GEHYASTLAKEYLPYSLSNPPKPSEDFPYSEFYPWNPQETFPIYNPGPTIAPPVDPRSYY VNNAIGQEESTLFPSWTSWDHRNQAERQKESEPYFNRNVWDQSINLHKSNIPNHPYSTTS PARFPKDPTWFEGENLNYDLQITSLSPPEREQLAFPDFLPQSYPTGQNEAHLFHQSQRGS CCIGGSTGHKDNVLALQDYTSSYGLPPRKNQETSPVHTESSYIKYARPNVSPASILPSQR NISENKLTAESPNPSPFGDGVPTVRKNTPYSGKNQLETGIVAFSEASSSQPKNTPCLKSD LGGDRRDVLKQFFEGSQLSERTAGLTPEQLVIGIPDKGSGPDSIQSEVQGKEGEMQQQRP PTIMKLPCFGSNSKFHSSTTGPPINNRRPTLLNGALSTPTESPNTLVGLATREQLKSINV DKLNADEHTTLESFQGTSPQDQGCLLLQA >ENSMUSP00000031222.8 pep:known chromosome:GRCm38:5:88487982:88506049:1 gene:ENSMUSG00000029286.12 transcript:ENSMUST00000031222.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Enam description:enamelin [Source:MGI Symbol;Acc:MGI:1333772] MLLLQCRNPTSPPKPCGLVPNVKMSLLVFLGLLGVSAAMPFQMPMPRMPGFSSKSEEMMR YNQFNFMNAPPMMPMGPYGNGMPMPPHMPPQYPPYQMPMWPPPVPNGWQQPPMPNFPSKT DQTQETAKPNQTNPQEPQPQKQPLKEPPNEAARAKDDAQPPQPFPPFGNGLYPYPQPPWP IPQRGPPTAFGRPKFSNEEGNPYYAFFGYHGFGGRPYYSEEMFEDYEKPKEKDPPKPEDP PPDDPPPEASTNSTVPDANATQSIPEGGNDTSPIGNTGPGPNAGNNPTVQNGVFPPPKVN VSGQGVPKSQIPWRPSQPNIYENYPYPNYPSERQWQTTGTQGPRQNGPGYRNPQVERGPQ WNSFAWEGKQATRPGNPTYGKPPSPTSGVNYAGNPVHFGRNLPGPNKPFVGANPASNKPF VGANPASNKPFVGANPASNKPFVGANPASNKPFVGANPASNKPYVGANPASNKPFIGANP AANKPSIGTNPAANKPSIGTNPAANKPFVRNNVGANKPFVGTNPSSNQPFLRSNQASNKP FMRSNQASNKPFVGTNVASVGPKQVTVSHNMKTQNPKEKSLGQKERTVTPTKDASNPWRS AKQYGINNPNYNLPRSEGSMVGPNFNSFDQQENSYFSKGASKRVPSPNIQIQSQNLPKGI ALEPRRTPFQSETKKPELKHGTHQPAYPKKIPSPTRKHFPAERNTWNRQKILPPLKEDYG RQDENLRHPSYGSRGNIFYHEYTNPYHNEKSQYIKSNPWDKSSPSTMMRPENPQYTMTSL DQKETEQYNEEDPIDPNEDESFPGQSRWGDEEMNFKGNPTVRQYEGEHYASTLAKEYLPY SLSNPPKPSEDFPYSEFYPWNPQETFPIYNPGPTIAPPVDPRSYYVNNAIGQEESTLFPS WTSWDHRNQAERQKESEPYFNRNVWDQSINLHKSNIPNHPYSTTSPARFPKDPTWFEGEN LNYDLQITSLSPPEREQLAFPDFLPQSYPTGQNEAHLFHQSQRGSCCIGGSTGHKDNVLA LQDYTSSYGLPPRKNQETSPVHTESSYIKYARPNVSPASILPSQRNISENKLTAESPNPS PFGDGVPTVRKNTPYSGKNQLETGIVAFSEASSSQPKNTPCLKSDLGGDRRDVLKQFFEG SQLSERTAGLTPEQLVIGIPDKGSGPDSIQSEVQGKEGEMQQQRPPTIMKLPCFGSNSKF HSSTTGPPINNRRPTLLNGALSTPTESPNTLVGLATREQLKSINVDKLNADEHTTLESFQ GTSPQDQGCLLLQA >ENSMUSP00000032570.7 pep:known chromosome:GRCm38:7:19076227:19082776:1 gene:ENSMUSG00000030410.16 transcript:ENSMUST00000032570.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmwd description:dystrophia myotonica-containing WD repeat motif [Source:MGI Symbol;Acc:MGI:94907] MAAGGAEGGPGPSAAMGDCAEIKSQFRTREGFYKLLPGDATRRSGPTSAQTPAPPQPTQP PPGPAAASGPGAAGPASSPPPAGPGPGPALPAVRLSLVRLGDPDGAGEPPSTPSGLGAGG DRVCFNLGRELYFYPGCCRSGSQRSIDLNKPIDKRIYKGTQPTCHDFNQFTAATETISLL VGFSAGQVQYLDLIKKDTSKLFNEERLIDKTKVTYLKWLPESESLFLASHASGHLYLYNV SHPCTSTPPQYSLLKQGEGFAVYAAKSKAPRNPLAKWAVGEGPLNEFAFSPDGRHLACVS QDGCLRVFHFDSMLLRGLMKSYFGGLLCVCWSPDGRYVVTGGEDDLVTVWSFTEGRVVAR GHGHKSWVNAVAFDPYTTRAEEAASASADGDPSGEEEEPEVTSSDTGAPVSPLPKAGSIT YRFGSAGQDTQFCLWDLTEDVLSPHPSLARTRTLPGTPGATPPASGSSRAGETGAGPLPR SLSRSNSLPHPAGGGKAGGPSASMEPGIPFSIGRFATLTLQERRDRGAEKEHKRYHSLGN ISRGGSGGNSSNDKLSGPAPRSRLDPAKVLGTALCPRIHEVPLLEPLVCKKIAQERLTVL LFLEDCIITACQEGLICTWARPGKAFTDEETEAQAGQASWPRSPSKSVVEGISSQPGSSP SGTVV >ENSMUSP00000104119.1 pep:known chromosome:GRCm38:7:19076258:19082776:1 gene:ENSMUSG00000030410.16 transcript:ENSMUST00000108479.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmwd description:dystrophia myotonica-containing WD repeat motif [Source:MGI Symbol;Acc:MGI:94907] MAAGGAEGGPGPSAAMGDCAEIKSQFRTREGFYKLLPGDATRRSGPTSAQTPAPPQPTQP PPGPAAASGPGAAGPASSPPPAGPGPGPALPAVRLSLVRLGDPDGAGEPPSTPSGLGAGG DRVCFNLGRELYFYPGCCRSGSQRSIDLNKPIDKRIYKGTQPTCHDFNQFTAATETISLL VGFSAGQVQYLDLIKKDTSKLFNEERLIDKTKVTYLKWLPESESLFLASHASGHLYLYNV SHPCTSTPPQYSLLKQGEGFAVYAAKSKAPRNPLAKWAVGEGPLNEFAFSPDGRHLACVS QDGCLRVFHFDSMLLRGLMKSYFGGLLCVCWSPDGRYVVTGGEDDLVTVWSFTEGRVVAR GHGHKSWVNAVAFDPYTTRAEEAASASADGDPSGEEEEPEVTSSDTGAPVSPLPKAGSIT YRFGSAGQDTQFCLWDLTEDVLSPHPSLARTRTLPGTPGATPPASGSSRAGETGAGPLPR SLSRSNSLPHPAGGGKAGGPSASMEPGIPFSIGRFATLTLQERRDRGAEKEHKRYHSLGN ISRGGSGGNSSNDKLSGPAPRSRLDPAKVLGTALCPRIHEVPLLEPLVCKKIAQERLTVL LFLEDCIITACQEGLICTWARPGKAGISSQPGSSPSGTVV >ENSMUSP00000047480.8 pep:known chromosome:GRCm38:3:65109384:65378219:1 gene:ENSMUSG00000027827.16 transcript:ENSMUST00000049230.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnab1 description:potassium voltage-gated channel, shaker-related subfamily, beta member 1 [Source:MGI Symbol;Acc:MGI:109155] MQVSIACTEHNLKSRNGEDRLLSKQSSNAPNVVNAARAKFRTVAIIARSLGTFTPQHHIS LKESTAKQTGMKYRNLGKSGLRVSCLGLGTWVTFGGQISDEVAERLMTIAYESGVNLFDT AEVYAAGKAEVILGSIIKKKGWRRSSLVITTKLYWGGKAETERGLSRKHIIEGLKGSLQR LQLEYVDVVFANRPDSNTPMEEIVRAMTHVINQGMAMYWGTSRWSAMEIMEAYSVARQFN MIPPVCEQAEYHLFQREKVEVQLPELYHKIGVGAMTWSPLACGIISGKYGNGVPESSRAS LKCYQWLKERIVSEEGRKQQNKLKDLSPIAERLGCTLPQLAVAWCLRNEGVSSVLLGSST PEQLIENLGAIQVLPKMTSHVVNEIDNILRNKPYSKKDYRS >ENSMUSP00000132332.2 pep:known chromosome:GRCm38:7:8907173:8931504:-1 gene:ENSMUSG00000090864.9 transcript:ENSMUST00000171942.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r40 description:vomeronasal 2, receptor 40 [Source:MGI Symbol;Acc:MGI:3757872] MFIFMRVFFLLNITLLMANFIGPRCFWRINLDEIMDEYLGLSCAFILAAVQTPTEKDYFN KTLNFLKTTTNHKYALALVFAMDEINRNPDLLPNMSLIIRYALGHCDGKTVTPTPYLFHK RKKSPIPNYFCNEESMCSFLISGPNWKVSLSFWDYLDSFLSPRILQLTYGPFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFIHYFNWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDVSFPQNTEMYYNQIVMSSTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTRKKDISHGTFYGSLTFLPHHGEISGFKNFVQTWFHLRNTDLYLLMQEWKYFNY VSSASNCKILKNNSSDASFNWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQHADNQ AIDNGKGASSHCLKVNSFLRRAYFTNPLGDKVFMKQRVIMQDEYDIIHFGNLSQHLEIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSAECSPGFRRLWKEGMAACCFVCS PCPENEISNETTLVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNRAI CVLQQITFGIVFTVAVSTVLAKTVIVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQC VLCAIWLAVSPPFVDIDEHTLHGHIIIVCNKGSDTAFYCILGYLACMALGSFSLAFLAKN LPDTFNEAKFLTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGILGCIFVPK IYIILMRPERNSTQKIREKSYF >ENSMUSP00000131780.3 pep:known chromosome:GRCm38:7:8907734:8931402:-1 gene:ENSMUSG00000090864.9 transcript:ENSMUST00000169206.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r40 description:vomeronasal 2, receptor 40 [Source:MGI Symbol;Acc:MGI:3757872] MFIFMRVFFLLNITLLMANFIGPRCFWRINLDEIMDEYLGLSCAFILAAVQTPTEKDYFN KTLNFLKTTTNHKYALALVFAMDEINRNPDLLPNMSLIIRYALGHCDGKTVTPTPYLFHK RKKSPIPNYFCNEESMCSFLISGPNWKVSLSFWDYLDSFLSPRILQLTYGPFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFIHYFNWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDVSFPQNTEMYYNQIVMSSTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTRKKDISHGTFYGSLTFLPHHGEISGFKNFVQTWFHLRNTDLYLLMQEWKYFNY VSSASNCKILKNNSSDASFNWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQHADNQ AIDNGKGASSHCLKVNSFLRRAYFTNPLGDKVFMKQRVIMQDEYDIIHFGNLSQHLEIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSAECSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCMNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TALVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNRAICVLQQITFGI VFTVAVSTVLAKTVIVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSDTAFYCILGYLACMALGSFSLAFLAKNLPDTFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGILGCIFVPKIYIILMRPER NSTQKIREKSYF >ENSMUSP00000072481.6 pep:known chromosome:GRCm38:4:72117805:72200857:-1 gene:ENSMUSG00000008305.18 transcript:ENSMUST00000072695.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle1 description:transducin-like enhancer of split 1, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104636] MFPQSRHPTPHQAAGQPFKFTIPESLDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTICAQVIPFLSQEHQQQVAQAVERAKQVTMAELN AIIGQQQLQAQHLSHGHGPPVPLTPHPSGLQPPGIPPLGGSASLLALSSALSGQSHLAIK DDKKHHDAERHRDREPGTSNSLLVPDSLRGTDKRRNGPEFSSDIKKRKVDDKDNYDSDGD KSDDNLVVDVSNEDPSSPHASPTHSPRENGIDKNRLLKKDASGSPASTASSGSSSSLKSK EVSLHEKANTPVLKSSTPTPRSDMPTPGTSATPGLRPGLGKPPAMEPLVNQAAAGLRTPL AVPGPYPAPFGMVPHAGMNGELTSPGAAYAGLHSMSPQMSAAAAAAAAAVVAYGRSPMVG FDPPPHMRVPSIPPNLAGIPGGKPAYSFHVTADGQMQPVPFPPDALIGPGIPRHARQINT LNHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRDNYIRSCKLLP DGCTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCFSCCSDGNIAV WDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQI FSLGYCPTGEWLAVGMESSNVEVLHVNKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDN LLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY >ENSMUSP00000102960.1 pep:known chromosome:GRCm38:4:72157580:72200862:-1 gene:ENSMUSG00000008305.18 transcript:ENSMUST00000107337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle1 description:transducin-like enhancer of split 1, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104636] MFPQSRHPTPHQAAGQPFKFTIPESLDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTICAQVIPFLSQEHQQQVAQAVERAKQVTMAELN AIIGQQQLQAQHLSHGHGPPVPLTPHPSGLQPPGIPPLGGSASLLALSSALSGQSHLAIK DDKKHHDAERHRGERPGKPD >ENSMUSP00000118513.1 pep:known chromosome:GRCm38:4:72138588:72141313:-1 gene:ENSMUSG00000008305.18 transcript:ENSMUST00000140154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle1 description:transducin-like enhancer of split 1, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104636] DPSSPHASPTHSPRENGIDKNRLLKKDASGSPASTASSGSSSSLKSKEVSLHEKANTPVL KSSTPTPRSDMPTPGTSATPGLRPGLGKPPAMEPLVNQAGFGFHLELVPGSGKFGLKEKS WILSRKSSFEIKSAAGLRTPLAVPGPYPAPFGMVPHAGMNGELTSPG >ENSMUSP00000099912.2 pep:known chromosome:GRCm38:4:72117807:72200854:-1 gene:ENSMUSG00000008305.18 transcript:ENSMUST00000102848.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle1 description:transducin-like enhancer of split 1, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104636] MFPQSRHPVPHAGHLQTPHQAAGQPFKFTIPESLDRIKEEFQFLQAQYHSLKLECEKLAS EKTEMQRHYVMYYEMSYGLNIEMHKQTEIAKRLNTICAQVIPFLSQEHQQQVAQAVERAK QVTMAELNAIIGQQQLQAQHLSHGHGPPVPLTPHPSGLQPPGIPPLGGSASLLALSSALS GQSHLAIKDDKKHHDAERHRDREPGTSNSLLVPDSLRGTDKRRNGPEFSSDIKKRKVDDK DNYDSDGDKSDDNLVVDVSNEDPSSPHASPTHSPRENGIDKNRLLKKDASGSPASTASSG SSSSLKSKEVSLHEKANTPVLKSSTPTPRSDMPTPGTSATPGLRPGLGKPPAMEPLVNQA AGLRTPLAVPGPYPAPFGMVPHAGMNGELTSPGAAYAGLHSMSPQMSAAAAAAAAAVVAY GRSPMVGFDPPPHMRVPSIPPNLAGIPGGKPAYSFHVTADGQMQPVPFPPDALIGPGIPR HARQINTLNHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRDNYI RSCKLLPDGCTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCFSCC SDGNIAVWDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQLQQ HDFTSQIFSLGYCPTGEWLAVGMESSNVEVLHVNKPDKYQLHLHESCVLSLKFAYCGKWF VSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY >ENSMUSP00000118683.1 pep:known chromosome:GRCm38:4:72158272:72199492:-1 gene:ENSMUSG00000008305.18 transcript:ENSMUST00000137075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle1 description:transducin-like enhancer of split 1, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104636] MSHPLLPLTFLLYALILISPPLVPHAGHLQTPHQAAGQPFKFTIPESLDRIKEEFQFLQA QYHSLKLECEKLASEKTEMQRHYVMYYEMSYGLNIEMHKQTEIAKRLNTICAQVIPFLSQ EHQQQVAQAVERAKQVTMAELNAIIGQQQLQAQHLSHGHGPPVPLTPHPSGLQPPGIPPL GGSASLLALSSALSG >ENSMUSP00000030095.4 pep:known chromosome:GRCm38:4:72118205:72200152:-1 gene:ENSMUSG00000008305.18 transcript:ENSMUST00000030095.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle1 description:transducin-like enhancer of split 1, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104636] MFPQSRHPTPHQAAGQPFKFTIPESLDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTICAQVIPFLSQEHQQQVAQAVERAKQVTMAELN AIIGVRGLPGLPPTQQQLQAQHLSHGHGPPVPLTPHPSGLQPPGIPPLGGSASLLALSSA LSGQSHLAIKDDKKHHDAERHRDREPGTSNSLLVPDSLRGTDKRRNGPEFSSDIKKRKVD DKDNYDSDGDKSDDNLVVDVSNEDPSSPHASPTHSPRENGIDKNRLLKKDASGSPASTAS SGSSSSLKSKEVSLHEKANTPVLKSSTPTPRSDMPTPGTSATPGLRPGLGKPPAMEPLVN QAAAGLRTPLAVPGPYPAPFGMVPHAGMNGELTSPGAAYAGLHSMSPQMSAAAAAAAAAV VAYGRSPMVGFDPPPHMRVPSIPPNLAGIPGGKPAYSFHVTADGQMQPVPFPPDALIGPG IPRHARQINTLNHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRD NYIRSCKLLPDGCTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCF SCCSDGNIAVWDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQ LQQHDFTSQIFSLGYCPTGEWLAVGMESSNVEVLHVNKPDKYQLHLHESCVLSLKFAYCG KWFVSTGKDNLLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY >ENSMUSP00000073839.7 pep:known chromosome:GRCm38:4:72117142:72200919:-1 gene:ENSMUSG00000008305.18 transcript:ENSMUST00000074216.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tle1 description:transducin-like enhancer of split 1, homolog of Drosophila E(spl) [Source:MGI Symbol;Acc:MGI:104636] MFPQSRHPTPHQAAGQPFKFTIPESLDRIKEEFQFLQAQYHSLKLECEKLASEKTEMQRH YVMYYEMSYGLNIEMHKQTEIAKRLNTICAQVIPFLSQEHQQQVAQAVERAKQVTMAELN AIIGQQQLQAQHLSHGHGPPVPLTPHPSGLQPPGIPPLGGSASLLALSSALSGQSHLAIK DDKKHHDAERHREKRGGTSNSLLVPDSLRGTDKRRNGPEFSSDIKKRKVDDKDNYDSDGD KSDDNLVVDVSNEDPSSPHASPTHSPRENGIDKNRLLKKDASGSPASTASSGSSSSLKSK EVSLHEKANTPVLKSSTPTPRSDMPTPGTSATPGLRPGLGKPPAMEPLVNQAAAGLRTPL AVPGPYPAPFGMVPHAGMNGELTSPGAAYAGLHSMSPQMSAAAAAAAAAVVAYGRSPMVG FDPPPHMRVPSIPPNLAGIPGGKPAYSFHVTADGQMQPVPFPPDALIGPGIPRHARQINT LNHGEVVCAVTISNPTRHVYTGGKGCVKVWDISHPGNKSPVSQLDCLNRDNYIRSCKLLP DGCTLIVGGEASTLSIWDLAAPTPRIKAELTSSAPACYALAISPDSKVCFSCCSDGNIAV WDLHNQTLVRQFQGHTDGASCIDISNDGTKLWTGGLDNTVRSWDLREGRQLQQHDFTSQI FSLGYCPTGEWLAVGMESSNVEVLHVNKPDKYQLHLHESCVLSLKFAYCGKWFVSTGKDN LLNAWRTPYGASIFQSKESSSVLSCDISVDDKYIVTGSGDKKATVYEVIY >ENSMUSP00000023171.7 pep:known chromosome:GRCm38:16:30065340:30067796:1 gene:ENSMUSG00000022528.7 transcript:ENSMUST00000023171.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hes1 description:hairy and enhancer of split 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:104853] MPADIMEKNSSSPVAATPASVNTTPDKPKTASEHRKSSKPIMEKRRRARINESLSQLKTL ILDALKKDSSRHSKLEKADILEMTVKHLRNLQRAQMTAALSTDPSVLGKYRAGFSECMNE VTRFLSTCEGVNTEVRTRLLGHLANCMTQINAMTYPGQAHPALQAPPPPPPSGPAGPQHA PFAPPPPPLVPIPGGAAPPPGSAPCKLGSQAGEAAKVFGGFQVVPAPDGQFAFLIPNGAF AHSGPVIPVYTSNSGTSVGPNAVSPSSGSSLTSDSMWRPWRN >ENSMUSP00000104770.1 pep:known chromosome:GRCm38:11:50376990:50386528:1 gene:ENSMUSG00000007850.16 transcript:ENSMUST00000109142.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph1 description:heterogeneous nuclear ribonucleoprotein H1 [Source:MGI Symbol;Acc:MGI:1891925] MMLGAEGGEGFVVKVRGLPWSCSADEVQRFFSDCKIQNGAQGIRFIYTREGRPSGEAFVE LESEDEVKLALKKDRETMGHRYVEVFKSNNVEMDWVLKHTGPNSPDTANDGFVRLRGLPF GCSKEEIVQFFSGLEIVPNGITLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY IEIFKSSRAEVRTHYDPPRKLMAMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY GGYDDYNGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSTFQSTTGHCVHMRGLPYRA TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEDAVAAMSKDKANMQHRYVELF LNSTAGASGGAYEHRYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGY GGGYGGQSSMSGYDQVLQENSSDFQSNIA >ENSMUSP00000076989.7 pep:known chromosome:GRCm38:11:50377769:50386528:1 gene:ENSMUSG00000007850.16 transcript:ENSMUST00000077817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph1 description:heterogeneous nuclear ribonucleoprotein H1 [Source:MGI Symbol;Acc:MGI:1891925] MMLGAEGGEGFVVKVRGLPWSCSADEVQRFFSDCKIQNGAQGIRFIYTREGRPSGEAFVE LESEDEVKLALKKDRETMGHRYVEVFKSNNVEMDWVLKHTGPNSPDTANDGFVRLRGLPF GCSKEEIVQFFSGLEIVPNGITLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY IEIFKSSRAEVRTHYDPPRKLMAMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY GGYDDYNGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSTFQSTTGHCVHMRGLPYRA TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEDAVAAMSKDKANMQHRYVELF LNSTAGASGGAYEHRYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGY GGGYGGQSSMSGYGSQGAVNSSYYSNGSRASMGVNGMGGMSSMSSMSGGWGM >ENSMUSP00000070503.7 pep:known chromosome:GRCm38:11:50377719:50386527:1 gene:ENSMUSG00000007850.16 transcript:ENSMUST00000069304.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnph1 description:heterogeneous nuclear ribonucleoprotein H1 [Source:MGI Symbol;Acc:MGI:1891925] MMLGAEGGEGFVVKVRGLPWSCSADEVQRFFSDCKIQNGAQGIRFIYTREGRPSGEAFVE LESEDEVKLALKKDRETMGHRYVEVFKSNNVEMDWVLKHTGPNSPDTANDGFVRLRGLPF GCSKEEIVQFFSGLEIVPNGITLPVDFQGRSTGEAFVQFASQEIAEKALKKHKERIGHRY IEIFKSSRAEVRTHYDPPRKLMAMQRPGPYDRPGAGRGYNSIGRGAGFERMRRGAYGGGY GGYDDYNGYNDGYGFGSDRFGRDLNYCFSGMSDHRYGDGGSTFQSTTGHCVHMRGLPYRA TENDIYNFFSPLNPVRVHIEIGPDGRVTGEADVEFATHEDAVAAMSKDKANMQHRYVELF LNSTAGASGGAYEHRYVELFLNSTAGASGGAYGSQMMGGMGLSNQSSYGGPASQQLSGGY GGGYGGQSSMSGYDQVLQENSSDFQSNIA >ENSMUSP00000002280.4 pep:known chromosome:GRCm38:7:24399619:24422778:1 gene:ENSMUSG00000002210.11 transcript:ENSMUST00000002280.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg9 description:smg-9 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:1919247] MSESGHSQPGLYGIERRRRWKEPGSSGPQNLSGPGGRERDYIAPWERERRDGSEDPSTNV MQKTPIILSKPPAERSKQPPPSTAPAAPPAPAPLEKPIVLMKPREEGKGPVAGTGASTPE GTAPPPPTAPAPPKGEKEGQRPTQPVYQIQNRGMGTAAPTAMDPVVGQAKLLPPERMKHS IKLVDDQMNWCDSAIEYLLDQTDVLVVGVLGLQGTGKSMVMSLLSANTPEEDQRAYVFRA QSAEMKERGGNQTSGIDFFITQERIVFLDTQPILSPSILDHLINNDRKLPPEYNLPHTYV EMQSLQIAAFLFTVCHVVIVVQDWFTDLSLYRFLQTAEMVKPSTPSPSHESSSSAGSDEG TEYYPHLVFLQNKARREDFCPRKLRQMHLMIDQLMAHSHLRYKGTLSMLQCNVFPGLPPD FLDAEVNLFLVPFMDSEAENENPPRAGPGSSPLFSLLPGYRGHPSFQSLVSKLRSQVMSM ARPQLSHTILTEKNWFHYAARIWDGVKKSSALAEYSRLLA >ENSMUSP00000104073.1 pep:known chromosome:GRCm38:7:24399921:24407563:1 gene:ENSMUSG00000002210.11 transcript:ENSMUST00000108434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg9 description:smg-9 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:1919247] MSESGHSQPGLYGIERRRRWKEPGSSGPQNLSGPGGRERDYIAPWERERRDGSEDPSTNV MQKTPIILSKPPAERSKQPPPSTAPAAPPAPAPLEKPIVLMKPREEGKGPVAGTGASTPE GTAPPPPTAPAPPKGEKEGQRPTQPVYQIQNRGMGTAAPTAMDPVVGQAKLLPPERMKHS IKLVDDQMNWCDSAIEYLLDQTDVLVVGVL >ENSMUSP00000114943.1 pep:known chromosome:GRCm38:7:24414975:24420930:1 gene:ENSMUSG00000002210.11 transcript:ENSMUST00000123188.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg9 description:smg-9 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:1919247] XERGGNQTSGIDFFITQERIVFLDTQSSSSAGSDEGTEYYPHLVFLQNKARREDFCPRKL RQMHLMIDQLMAHSHLRYKGTLSMLQCNVFPGLPPDFLDAEVNLFLVPFMDSEAENENP >ENSMUSP00000144984.1 pep:known chromosome:GRCm38:7:3289080:3298285:1 gene:ENSMUSG00000068566.12 transcript:ENSMUST00000203328.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myadm description:myeloid-associated differentiation marker [Source:MGI Symbol;Acc:MGI:1355332] MPVTVTRTTITTTTSSSTTVGSARALTQPLGLLRLLQLISTCVAFSLVASVGAWTGPMGN WAMFTWCFCFAVTLIILIVELGGLQAHFPLSWRNFPITFACYAALFCLSSSIIYPTTYVQ FLAHGRTRDHAIAATTFSCVACLAYATEVAWTRARPGEITGYMATVPGLLKVFETFVACI IFAFISEPLLYNQKPALEWCVAVYAICFILAGVTILLNLGDCTNVLPIPFPTFLSGLALL SVLFYATAIVLWPLYQFDQRYQGQPRRSMDPSCTRSISYIQPNTVCFWDRRLAVSILTGI NLLAYVSDLVYSTRLVFVKV >ENSMUSP00000094505.5 pep:known chromosome:GRCm38:7:3290534:3299349:1 gene:ENSMUSG00000068566.12 transcript:ENSMUST00000096744.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myadm description:myeloid-associated differentiation marker [Source:MGI Symbol;Acc:MGI:1355332] MPVTVTRTTITTTTSSSTTVGSARALTQPLGLLRLLQLISTCVAFSLVASVGAWTGPMGN WAMFTWCFCFAVTLIILIVELGGLQAHFPLSWRNFPITFACYAALFCLSSSIIYPTTYVQ FLAHGRTRDHAIAATTFSCVACLAYATEVAWTRARPGEITGYMATVPGLLKVFETFVACI IFAFISEPLLYNQKPALEWCVAVYAICFILAGVTILLNLGDCTNVLPIPFPTFLSGLALL SVLFYATAIVLWPLYQFDQRYQGQPRRSMDPSCTRSISYIQPNTVCFWDRRLAVSILTGI NLLAYVSDLVYSTRLVFVKV >ENSMUSP00000145120.1 pep:known chromosome:GRCm38:7:3290575:3300442:1 gene:ENSMUSG00000068566.12 transcript:ENSMUST00000203566.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myadm description:myeloid-associated differentiation marker [Source:MGI Symbol;Acc:MGI:1355332] MPVTVTRTTITTTTSSSTTVGSARALTQPLGLLRLLQLISTCVAFSLVASVGAWTGPMGN WAMFTWCFCFAVTLIILIVELGGLQAHFPLSWRNFPITFACYAALFCLSSSIIYPTTYVQ FLAHGRTRDHAIAATTFSCVACLAYATEVAWTRARPGEITGYMATVPGLLKVFETFVACI IFAFISEPLLYNQKPALEWCVAVYAICFILAGVTILLNLGDCTNVLPIPFPTFLSGLALL SVLFYATAIVLWPLYQFDQRYQGQPRRSMDPSCTRSISYIQPNTVCFWDRRLAVSILTGI NLLAYVSDLVYSTRLVFVKV >ENSMUSP00000145426.1 pep:known chromosome:GRCm38:7:3291490:3296967:1 gene:ENSMUSG00000068566.12 transcript:ENSMUST00000204541.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myadm description:myeloid-associated differentiation marker [Source:MGI Symbol;Acc:MGI:1355332] MPVTVTRTTITTTTSSSTTVGSARALTQPLGLLRLLQLISTCVAFSLVASVGAWTGPMGN WAMFTWCFCFAVTLIILIVEL >ENSMUSP00000131318.1 pep:known chromosome:GRCm38:7:3293094:3299322:1 gene:ENSMUSG00000068566.12 transcript:ENSMUST00000164553.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myadm description:myeloid-associated differentiation marker [Source:MGI Symbol;Acc:MGI:1355332] MPVTVTRTTITTTTSSSTTVGSARALTQPLGLLRLLQLISTCVAFSLVASVGAWTGPMGN WAMFTWCFCFAVTLIILIVELGGLQAHFPLSWRNFPITFACYAALFCLSSSIIYPTTYVQ FLAHGRTRDHAIAATTFSCVACLAYATEVAWTRARPGEITGYMATVPGLLKVFETFVACI IFAFISEPLLYNQKPALEWCVAVYAICFILAGVTILLNLGDCTNVLPIPFPTFLSGLALL SVLFYATAIVLWPLYQFDQRYQGQPRRSMDPSCTRSISYIQPNTVCFWDRRLAVSILTGI NLLAYVSDLVYSTRLVFVKV >ENSMUSP00000031696.3 pep:known chromosome:GRCm38:6:24528144:24570984:1 gene:ENSMUSG00000029685.15 transcript:ENSMUST00000031696.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb15 description:ankyrin repeat and SOCS box-containing 15 [Source:MGI Symbol;Acc:MGI:1926160] MDINDDSNDDHLASYDIQLCIQESIEASQAIFHPKRLVQLSDQNRKLVEAIRQGRIFELQ EYVQYKYALEEADEKGWFPLHEAVVQPIQQILETVLDASYKTLWEFKTCDGETPLTLAVK AGLVENVKTLLDKGVWPNTKNDKGETPLLIAIKRGSYDMVSALIKYNTSLDQPCVKRWSA MHEAAKQGRKDIITLLLNHRGNVHLRDGFGVTPLGVAAEYGHCDVLEHLIHKGGDVFALA DDGASVLFEAAGGGNPDCISLLLKYGGSGNVPNRAGHLPIHRAAYEGHYLALKYLIPVTS KHAIQKSGLTPIHSAAEGQNAQCLELLIENGFDVNALLADHISQSYDDERKTALYFAVSN NDIHCTEVLLAAGADPNLDPLNCLLVAVRANRHEIVRLLLSYGANVNCYFMHVNDTRFPS AIQYALNDEIMLRLLLNNGYQVELCFDCMHGNIFGNSFVWSETEEEGLPGWTSCIIKDNP FCEFITVPWMKHLVGGIVRILIDYMDYVPLCAKLKSVLEVQREWPEIRQIIENPCSLKHL CRLKIRRVMGLQRLCQPASIQMLPLPAAMRRYLLFKEFDLYGQ >ENSMUSP00000112965.1 pep:known chromosome:GRCm38:6:24556072:24573164:1 gene:ENSMUSG00000029685.15 transcript:ENSMUST00000117688.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb15 description:ankyrin repeat and SOCS box-containing 15 [Source:MGI Symbol;Acc:MGI:1926160] MDINDDSNDDHLASYDIQLCIQESIEASQAIFHPKRLVQLSDQNRKLVEAIRQGRIFELQ EYVQYKYALEEADEKGWFPLHEAVVQPIQQILETVLDASYKTLWEFKTCDGETPLTLAVK AGLVENVKTLLDKGVWPNTKNDKGETPLLIAIKRGSYDMVSALIKYNTSLDQPCVKRWSA MHEAAKQGRKDIITLLLNHRGNVHLRDGFGVTPLGVAAEYGHCDVLEHLIHKGGDVFALA DDGASVLFEAAGGGNPDCISLLLKYGGSGNVPNRAGHLPIHRAAYEGHYLALKYLIPVTS KHAIQKSGLTPIHSAAEGQNAQCLELLIENGFDVNALLADHISQSYDDERKTALYFAVSN NDIHCTEVLLAAGADPNLDPLNCLLVAVRANRHEIVRLLLSYGANVNCYFMHVNDTRFPS AIQYALNDEIMLRLLLNNGYQVELCFDCMHGNIFGNSFVWSETEEEGLPGWTSCIIKDNP FCEFITVPWMKHLVGGIVRILIDYMDYVPLCAKLKSVLEVQREWPEIRQIIENPCSLKHL CRLKIRRVMGLQRLCQPASIQMLPLPAAMRRYLLFKEFDLYGQ >ENSMUSP00000130695.1 pep:known chromosome:GRCm38:10:79860475:79874570:-1 gene:ENSMUSG00000035835.14 transcript:ENSMUST00000167250.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr3 description:phospholipid phosphatase related 3 [Source:MGI Symbol;Acc:MGI:2388640] MLAMKEKNKTPKDSMTLLPCFYFVELPIVASSIVSLYFLELTDLFKPAKVGFQCYDRALS MPYVETNEELIPLLMLLSLAFAAPAASIMVGEGMVYCLQSRLWGRGPGGVEGSINAGGCN FNSFLRRTVRFVGVHVFGLCATALVTDVIQLATGYHTPFFLTVCKPNYTLLGTSCESNPY ITQDICSGHDTHAILSARKTFPSQHATLSAFAAVYVSMYFNAVISDTTKLLKPILVFAFA IAAGVCGLTQITQYRSHPVDVYAGFLIGAGIAAYLACHAVGNFQAPPAEKVPTPAPAKDA LRALTQRGHESMYQQNKSVSTDELGPPGRLEGVPRPVAREKTSLGSLKRASVDVDLLAPR SPMGKEGMVTFSNTLPRVSTPSLDDPARRHMTIHVPLDASRSRQLIGSSPAAHHRRRLGN PGNNRKQKNRAVSWQRNSGSGKKKNGRGGEERKK >ENSMUSP00000089979.4 pep:known chromosome:GRCm38:10:79864781:79874634:-1 gene:ENSMUSG00000035835.14 transcript:ENSMUST00000092325.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr3 description:phospholipid phosphatase related 3 [Source:MGI Symbol;Acc:MGI:2388640] MLAMKEKNKTPKDSMTLLPCFYFVELPIVASSIVSLYFLELTDLFKPAKVGFQCYDRALS MPYVETNEELIPLLMLLSLAFAAPAASIMVGEGMVYCLQSRLWGRGPGGVEGSINAGGCN FNSFLRRTVRFVGVHVFGLCATALVTDVIQLATGYHTPFFLTVCKPNYTLLGTSCESNPY ITQDICSGHDTHAILSARKTFPSQHATLSAFAAVYVSMYFNAVISDTTKLLKPILVFAFA IAAGVCGLTQITQYRSHPVDVYAGFLIGAGIAAYLACHAVGNFQAPPAEKVPTPAPAKDA LRALTQRGHESMYQQNKSVSTDELGPPGRLEGVPRPVAREKTSLGSLKRASVDVDLLAPR SPMGKEGMVTFSNTLPRVSTPSLDDPARRHMTIHVPLDASRSRQLIGEWKQKSLEGRGLG LPDEASPVHLRAPAEQVAEEEEEEEEEEEEEEEEEEEEGPVPPSLYPTVQARPGLGPRVI LPPRPGPQPLVHIPEEGVQAGAGLSPKSSSSSVRAKWLSMAEKGGGPVAVAPSQPRVANP PRLLQVIAMSKAAGGPKAETASSSSASSDSSQYRSPSDRDSASIVTIDAHAPHHPVVHLS AGSTPWEWKAKVVEGEGSYELGDLARGFRSSCKQPGMGPGSPVSDVDQEEPRFGAVATVN LATGEGLPPPGASEGALGAGSRESTLRRQVGGLAEREVEAEAESYYRRMQARRYQD >ENSMUSP00000127972.1 pep:known chromosome:GRCm38:10:79866432:79874224:-1 gene:ENSMUSG00000035835.14 transcript:ENSMUST00000167897.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr3 description:phospholipid phosphatase related 3 [Source:MGI Symbol;Acc:MGI:2388640] MLAMKEKNKTPKDSMTLLPCFYFVELPIVASSIVSLYFLELTDLFKPAKVGFQCYDRALS MPYVETNEELIPLLMLLSLAFAAPAASIMVGEGMVYCLQSRLWGRGPGGVEGSINAGGCN FNSFLRRTVRFVGHLLWPRYPCHPVSKEDLPVPARHSVSLCCCLRVDVLQRGYLGHHEAA EAHPCVCLRHCCGRLRPHTDHPVSKPPCGRLRWLSYR >ENSMUSP00000128681.1 pep:known chromosome:GRCm38:10:79866438:79874408:-1 gene:ENSMUSG00000035835.14 transcript:ENSMUST00000165601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr3 description:phospholipid phosphatase related 3 [Source:MGI Symbol;Acc:MGI:2388640] MLAMKEKNKTPKDSMTLLPCFYFVELPIVASSIVSLYFLELTDLFKPAKVGFQCYDRALS MPYVETNEELIPLLMLLSLAFAAPAASIMVGEGMVYCLQSRLWGRGPGGVEGSINAGGCN FNSFLRRTVRFVGVHVFGLCATALVTDVIQLATGYHTPFFLTVCKPNYTLLGTSCESNPY ITQDICSGHDTHAILSARKTFPSQHATLSAFAAVYVSMYFNAVISDTTKLLKPILVFAFA IAAGVCGLTQITQYRSHPVDVYAGFL >ENSMUSP00000132994.1 pep:known chromosome:GRCm38:10:79866908:79874399:-1 gene:ENSMUSG00000035835.14 transcript:ENSMUST00000167707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr3 description:phospholipid phosphatase related 3 [Source:MGI Symbol;Acc:MGI:2388640] MLAMKEKNKTPKDSMTLLPCFYFVELPIVASSIVSLYFLELTDLFKPAKVGFQCYDRALS MPYVETNEELIPLLMLLSLAFAAPAASIMVGEGMVYCLQSRLWGRGPGGVEGSINAGGCN FNSFLRRTVRFVDVIQLATGYHTPFFLTVCKPNYTLLGT >ENSMUSP00000127171.1 pep:known chromosome:GRCm38:10:79867459:79874370:-1 gene:ENSMUSG00000035835.14 transcript:ENSMUST00000166023.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr3 description:phospholipid phosphatase related 3 [Source:MGI Symbol;Acc:MGI:2388640] MLAMKEKNKTPKDSMTLLPCFYFVELPIVASSIVSLYFLELTDLFKPAKVGFQCYDRALS MPY >ENSMUSP00000136424.1 pep:known chromosome:GRCm38:13:59740842:59744921:-1 gene:ENSMUSG00000051054.3 transcript:ENSMUST00000180139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700014D04Rik description:RIKEN cDNA 1700014D04 gene [Source:MGI Symbol;Acc:MGI:1921474] MKKKMSPQTLEEVKKRDDISPDPKRSEGKLTALWNSQGSTVTNLDTQVPKINLKLKGKRK YFGAYDQVLYVKIPEGDLGQKHSQLFWGLPSLHSESIMATLLVPASSYSPEPCLVLFNGV CKTASAPVLSHGLPALPQPLTLVHPQPLPKVAPQPQTLTEGMPQVHMQSPLSSMALPSLP CGSALQIPQTRTVSDVLNGKGHLQYHLVQNPQDSLWGLVPECQQYETALGLPVHSFPVGS QSSQTYVSVPGSGLFHFSSEHQNNLDPYGPNNLTSPSCFELCNRPGVPEVMNTQFNPTGV SSYCYKYATSQCCVHWGNICADPGNGELSHSESYCVKVPMKPQLRKDAAKNLGQILGKCP LDNTQMISGCCILNGLKTIPETEGESVCHSRTSLENEQLTISKKNLDQRHMRSILRLHMS RKCWQITMGRIPIVVCCSWLDEDASLWRSPLGKIHCDTAIPEIPFLDHKTQKMLEAHLIR FRVSQQWGLPIKVIESIKFYILREAKTWPLPQSDLPLSLNSIPGLDLKSNFPSPLRGSSD LLHGDKIETTDSAPTTDYSLTLLHVDSEGERSLRQSVSSPTSDVSETVEDDRPSNPDLSQ NETDLQSRPRQEQPVKEDVTLSDSENESASSASHLVTAESRQSVEKNPKYTVTPNMLREM ILKARELFVRSGSDSIIKSKSSTKKDEDESLSSPLVATGQSPSKMSVPEEPTAPDLFKEL RSKLEDQPQSQSEAWEDESSFVSDSFSLPSSSNSVSSADVSVFWDTQGHMYSTGISVDPW QEPSVFKRFLKNVMPAQKRLSLPKSRKENGRSDPQELETPKAGKKSQPSKEKSSKREHPP DSYFRKKIGQFFQWLYSSKDSPRHRSEKDGALFMSCGPPEAHELMASLGKLLEDKLLYGQ NSEFLEWSQTSMLAQPALKGQPSDLGAADGHHGEEASNCSCPQTAITPGPSHMLSLGQRH HPLPFEYPPSAPESLSSVQAPLA >ENSMUSP00000136897.1 pep:known chromosome:GRCm38:13:59743707:59746752:-1 gene:ENSMUSG00000051054.3 transcript:ENSMUST00000178508.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700014D04Rik description:RIKEN cDNA 1700014D04 gene [Source:MGI Symbol;Acc:MGI:1921474] MKKKMSPQTLEEVKKRDDISPDPKRSEGKLTALWNSQGSTVTNLDTQVPKINLKLKGKRK YFGAYDQVLYVKIPEGDLGQKHSQLFWGLPSLHSESIMA >ENSMUSP00000052403.2 pep:known chromosome:GRCm38:13:59741956:59742750:-1 gene:ENSMUSG00000051054.3 transcript:ENSMUST00000055343.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700014D04Rik description:RIKEN cDNA 1700014D04 gene [Source:MGI Symbol;Acc:MGI:1921474] MSRKCWQITMGRIPIVVCCSWLDEDASLWRSPLGKIHCDTAIPEIPFLDHKTQKMLEAHL IRFRVSQQWGLPIKVIESIKFYILREAKTWPLPQSDLPLSLNSIPGLDLKSNFPSPLRGS SDLLHGDKIETTDSAPTTDYSLTLLHVDSEGERSLRQSVSSPTSDVSETVEDDRPSNPDL SQNETDLQSRPRQEQPVKEDVTLSDSENESASSASHLVTAESRQSVEKNPKYTVTPNMLR EMILKARELFVRSGSDSIIKSKSST >ENSMUSP00000073260.4 pep:known chromosome:GRCm38:14:52489935:52491046:-1 gene:ENSMUSG00000059887.5 transcript:ENSMUST00000073571.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1507 description:olfactory receptor 1507 [Source:MGI Symbol;Acc:MGI:3031341] MLSDTFSEEKLISFDDCVVQIFFLHLFACTEIFLLTVMAYDRYVAICKPLRYMTIMNWKV CMVLGGAMWTAGTIHSISFTSLTIKLPYCGPNELDSFFCDVPQVIELACTDTRITEILVV SNSGMISMVCFVIIVVSYAVILVSLRQQISDGKRKALSTCAAHLTVVTLFLGHCIFIYSR PAISLPEDKIVSAFFTAITPLLNPIIYTFRNEDMKSALKKLIRRKEGKEK >ENSMUSP00000145718.1 pep:known chromosome:GRCm38:14:52490478:52495297:-1 gene:ENSMUSG00000059887.5 transcript:ENSMUST00000206069.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1507 description:olfactory receptor 1507 [Source:MGI Symbol;Acc:MGI:3031341] MLSDTFSEEKLISFDDCVVQIFFLHLFACTEIFLLTVMAYDRYVAICKPLRYMTIMNWKV CMVLGGAMWTAGTIHSIS >ENSMUSP00000146152.1 pep:known chromosome:GRCm38:14:52490490:52495691:-1 gene:ENSMUSG00000059887.5 transcript:ENSMUST00000206062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1507 description:olfactory receptor 1507 [Source:MGI Symbol;Acc:MGI:3031341] MEKAVLINQTSVMSFRLTGLSTNPKVQMAIFFIFLIFYVLTLVGNILIVITIIHDHRLHT PMYFFLSNLSFIDVCHSTVTVPKMLSDTFSEEKLISFDDCVVQIFFLHLFACTEIFLLTV MAYDRYVAICKPLRYMTIMNWKVCMVLGGAMWTAGTI >ENSMUSP00000145923.1 pep:known chromosome:GRCm38:14:52490499:52495325:-1 gene:ENSMUSG00000059887.5 transcript:ENSMUST00000205963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1507 description:olfactory receptor 1507 [Source:MGI Symbol;Acc:MGI:3031341] MAIFFIFLIFYVLTLVGNILIVITIIHDHRLHTPMYFFLSNLSFIDVCHSTVTVPKMLSD TFSEEKLISFDDCVVQIFFLHLFACTEIFLLTVMAYDRYVAICKPLRYMTIMNWKVCMVL GGAMWTAG >ENSMUSP00000145728.1 pep:known chromosome:GRCm38:14:52490895:52495689:-1 gene:ENSMUSG00000059887.5 transcript:ENSMUST00000206931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1507 description:olfactory receptor 1507 [Source:MGI Symbol;Acc:MGI:3031341] MEKAVLINQTSVMSFRLTGLST >ENSMUSP00000146032.1 pep:known chromosome:GRCm38:14:52489935:52495695:-1 gene:ENSMUSG00000059887.5 transcript:ENSMUST00000206087.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1507 description:olfactory receptor 1507 [Source:MGI Symbol;Acc:MGI:3031341] MEKAVLINQTSVMSFRLTGLSTNPKVQMAIFFIFLIFYVLTLVGNILIVITIIHDHRLHT PMYFFLSNLSFIDVCHSTVTVPKMLSDTFSEEKLISFDDCVVQIFFLHLFACTEIFLLTV MAYDRYVAICKPLRYMTIMNWKVCMVLGGAMWTAGTIHSISFTSLTIKLPYCGPNELDSF FCDVPQVIELACTDTRITEILVVSNSGMISMVCFVIIVVSYAVILVSLRQQISDGKRKAL STCAAHLTVVTLFLGHCIFIYSRPAISLPEDKIVSAFFTAITPLLNPIIYTFRNEDMKSA LKKLIRRKEGKEK >ENSMUSP00000041539.6 pep:known chromosome:GRCm38:5:24532697:24540457:-1 gene:ENSMUSG00000038204.13 transcript:ENSMUST00000048302.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb10 description:ankyrin repeat and SOCS box-containing 10 [Source:MGI Symbol;Acc:MGI:2152836] MLMSWSPEECRDQGEPQGDRYSLCAKLVEKPDRGSEEHLEPGLGPIIIRSASGPTLAFWQ AVLVGDVGSVSRILSDSSTGLAPDSIFDTSDPERWRDYRFNIRALRLWSLTYEEELTTPL HVAASRGHTEVLELLLRRRAKPDSAPGGRTALHEACSAGHAACVRVLLVAGADPNTLDQD GKRPLHLCRGPGILECVELLLKFGAQVDGRTEDEEETPLHIAARLGHVELADLLLRWGAC PDVRNSEGWTPLLAACDIRCQSPKDAEATTNRCFQLCRLLLSVGADADAANQDKQRPLHL ACRHGHSAVVQLLLSCGVNANAMDYGGHTPLHCALLGPTTAVAHSPEHTVRDLLNHGAVR VWPGALPKVLDRWCMSPRTIEVLMNTYRVVQLPEEAKGLVPPEILQKYHGFYSSLFALVR QPRSLQHLCRCALRSHLEGCLPHALPRLPLPPRMLRFLQLDFEDLLY >ENSMUSP00000112743.1 pep:known chromosome:GRCm38:5:24533633:24540978:-1 gene:ENSMUSG00000038204.13 transcript:ENSMUST00000117900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb10 description:ankyrin repeat and SOCS box-containing 10 [Source:MGI Symbol;Acc:MGI:2152836] MPGGRRSPLYRRHNLGCFPSAPSCQIWRSQSFPAPRNPGSPPLLCRDMALQNALYTGDLA RLQDLFPPHSTADLLLESRTAEPRWSSHQRGLWSLTYEEELTTPLHVAASRGHTEVLELL LRRRAKPDSAPGGRTALHEACSAGHAACVRVLLVAGADPNTLDQDGKRPLHLCRGPGILE CVELLLKFGAQVDGRTEDEEETPLHIAARLGHVELADLLLRWGACPDVRNSEGWTPLLAA CDIRCQSPKDAEATTNRCFQLCRLLLSVGADADAANQDKQRPLHLACRHGHSAVVQLLLS CGVNANAMDYGGHTPLHCALLGPTTAVAHSPEHTVRDLLNHGAVRVWPGALPKVLDRWCM SPRTIEVLMNTYRVVQLPEEAKGLVPPEILQKYHGFYSSLFALVRQPRSLQHLCRCALRS HLEGCLPHALPRLPLPPRMLRFLQLDFEDLLY >ENSMUSP00000113328.1 pep:known chromosome:GRCm38:5:24533651:24540592:-1 gene:ENSMUSG00000038204.13 transcript:ENSMUST00000119657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb10 description:ankyrin repeat and SOCS box-containing 10 [Source:MGI Symbol;Acc:MGI:2152836] MLMSWSPEECRDQGEPQGDRYSLCAKLVEKPDRGSEEHLEPGLGPIIIRSASGPTLAFWQ AVLVGDVGSVSRILSDSSTGLAPDSIFDTSDPERWRDYRFNIRALRLWSLTYEEELTTPL HVAASRGHTEVLELLLRRRAKPDSAPGGRTALHEACSAGHAACVRVLLVAGADPNTLDQD GKRPLHLCRGPGILECVELLLKFGAQVDGRTEDEEETPLHIAARLGHVELADLLLRWGAC PDVRNSEGWTPLLAACDIRCQSPKDAEATTNRCFQLCRLLLSVGADADAANQDKQRPLHL ACRHGHSAVVQLLLSCGVNANAMDYGGHTPLHCALLGPTTAVAHSPEHTVRDLLNHGAVR VWPGALPKKYHGFYSSLFALVRQPRSLQHLCRCALRSHLEGCLPHALPRLPLPPRMLRFL QLDFEDLLY >ENSMUSP00000105922.2 pep:known chromosome:GRCm38:13:32965209:32979067:1 gene:ENSMUSG00000042842.10 transcript:ENSMUST00000110293.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb6b description:serine (or cysteine) peptidase inhibitor, clade B, member 6b [Source:MGI Symbol;Acc:MGI:894688] MDPLLEANATFALNLLKTLGEDSSRNVLFSPISVSSALAMVFMGAKGTTASQMAQALSLD KCSGKGGRDVHQGFQSLLTETNKTGTQYVLRTANRLFGEKTFDILASFKDSCRKFYEAEM EELDFKGATEQSRQHINAWVAKKTEDKITELLSSGSVNSNTPLVLVNAIYFKGNWEKQFN KEDTQEMPFNVTKDVVKPVQMMFQKSTFKMTYVEEISTNILLLPYVGNELNMIIMLPDEH IELSMVEKEITYKKFIEWTRLDKMEEEEVEVFLPKFKLEENYDMKDVLCRLGMTDAFEEG MADFSGIASKEGLFLSKVIHKSFVEVNEEGTEAAAATAANIGFRCMVPYFCANHPFLFFI QHSRTSGIVFCGRFSSP >ENSMUSP00000017184.3 pep:known chromosome:GRCm38:13:32965444:32979062:1 gene:ENSMUSG00000042842.10 transcript:ENSMUST00000017184.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Serpinb6b description:serine (or cysteine) peptidase inhibitor, clade B, member 6b [Source:MGI Symbol;Acc:MGI:894688] MDPLLEANATFALNLLKTLGEDSSRNVLFSPISVSSALAMVFMGAKGTTASQMAQALSLD KCSGKGGRDVHQGFQSLLTETNKTGTQYVLRTANRLFGEKTFDILASFKDSCRKFYEAEM EELDFKGATEQSRQHINAWVAKKTEDKITELLSSGSVNSNTPLVLVNAIYFKGNWEKQFN KEDTQEMPFNVTKVSGCGETCANDVSEVYL >ENSMUSP00000129895.1 pep:known chromosome:GRCm38:17:20029425:20048205:-1 gene:ENSMUSG00000090315.1 transcript:ENSMUST00000168050.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r104 description:vomeronasal 2, receptor 104 [Source:MGI Symbol;Acc:MGI:1316665] MFSWIFFAWLLQNHKVSSDTVHVTKNFSACYYLISEEFHHEEDVMIGAFFPLHTLYTEKK KPHSTKPYVYLDYYIQYNFKNYQYILALLFAIEEINRNPNILPNISLGFDFYNNEYTERN ILRFTCRWLTAHVKRAMIPNYTCKRRNVIAALTGSSWITSAQIGTLLQLFKFPQITFGPY EPTLSDDGQYSSLYQMAPKDTSLSLAIVSFMVHFSWSWIGLIIPDNHKGNKILSEFREEM ERNKICIAFLKMIPATWTSYFTRFWENMDETNVIIIYGDIDSLEILMQIIGQRLLTWNIW IMNNEPHIIELSDYFMLDSFHGSFIFRHNYRDNIEFTKFIQRVNPYKYPEDIYLPKLWNF FFKCSFSDINCHVLDNCKTNASLDLLPSFIFDVVMSEESTNIYNGVYALAHSLHQMRLQL LQMQAFENGEEMVFFPWQLNTFLKDIEVKDKRNLDWKQTIDTEYDILNLWNLPKGLGLKV KIGSFSANAPQGQQLSLSEQIIQWPEIFSEVPQSVCSESCRPGFRKVSLDDKAICCYKCT PCADNEISNETDVDQCVKCPESHYANTEKSNCFPKSVSFLAYEDPLGMALASIALCLSAL TVFVIGIFVKNRDTPIVKANNRTLSYILLITLTFCFLCSLNFIGQPNTAACILQQTTFAV AFTMALATVLAKAITVVLAFKISFPGRMLRWLMISRGPRYIIPICTLIQLLLCGIWMATS PPFIDQDVNTEDGYIILLCNKGSAVAFHSVLGYLCFLALGSYTMAFLSRNLPDTFNESKF LSFSMLVFFCVWVTFLPVYHSTKGKVMVAMEVFSILASSTALLAFIFGPKCYIILLRPEK NSFNHIRKKTQSRRKKSPKM >ENSMUSP00000054858.6 pep:known chromosome:GRCm38:13:59755409:59769810:-1 gene:ENSMUSG00000044792.6 transcript:ENSMUST00000057115.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isca1 description:iron-sulfur cluster assembly 1 [Source:MGI Symbol;Acc:MGI:1916296] MSASLVRATVRAVSKRKLQPTRAALTLTPSAVNKIKQLLKDKPEHVGLKVGVRTRGCNGL SYSLEYTKTKGDSDEEVIQDGVRVFIEKKAQLTLLGTEMDYVEDKLSSEFVFNNPNIKGT CGCGESFHV >ENSMUSP00000092494.3 pep:known chromosome:GRCm38:4:114406724:114615098:1 gene:ENSMUSG00000070867.4 transcript:ENSMUST00000094894.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trabd2b description:TraB domain containing 2B [Source:MGI Symbol;Acc:MGI:3650152] MHAALAGPLLAALLATARARPQPPDGGQCRPPGSQRDLNSFLWTIRRHPPAYLFGTIHVP YTRVWDFIPDNSKAAFQASTHVYFELDLTDPYTISALASCQLLPHGENLQDVLPRELYWR LKRHLDYVKLMIPSWMTPAQRGKGLYADYLFNAIAGNWERKRPVWVMLMVNSLTETDVRS RGVPVLDLYLAQQAEKMKKSTGAVERVEEQCHPLNGLNFSQVLFALNQTLLQHESVRAGS LQAPYTTEDLIKHYNCGDLNAVIFNHDTSQLPNFINTTLPPHEQVTAQEIDSYFRQELIY KRNERMGKRVMALLQENQDKICFFAFGAGHFLGNNTVIDVLRQAGLEVDHTPAGQAIHGP AAVGSPAPPPEITSPASPAPATPAAAVPEATSATPTTPPEEEDPVLSPHLLLPDSLSQLE EFGRQKWRKRLNKHQRPRQFNDLWVRIEDSTTISPPPLPLQPTPSSETTKPFVKSSHQLQ QQDAVGPTSSSAPTLGLLHAITASIVAPFLLHSLGPS >ENSMUSP00000134010.1 pep:known chromosome:GRCm38:7:9014750:9030798:-1 gene:ENSMUSG00000096658.1 transcript:ENSMUST00000174388.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r39 description:vomeronasal 2, receptor 39 [Source:MGI Symbol;Acc:MGI:3704108] MLTLMGVFFFLNIPLFMANFIDPRCFWRINLNEIQDEYLGLTCAFILAAVQKPIEKDYFN KTLNFLKTTKNHKYALALVFSMDEINRNPDLLPNMSLIIRYTLGRCDGKTGIPTPYLLHK KKQSPIPNYFCNEESMCSFLLTGPNWEVSLSFWNFLDSFLSPRILQLTYGPFHSTFSDDE QYPYLYQMAPKDTSLALAMVSFIHYFNWNWIGLLIPDDDQGNQFLLELKKQSGNKEICFA FVKMISVDDILLEQKTEMYYQQIVMSSSNVIIIYEETINFIDLIFRMWEPPVLRRIWITT KQWNFPTSKRDITHGTFYGSLTFLPHHGGISGFKNFVQTWFHLRSKDLYLVMPEWKYFKY ESLASNCKMLKSNSSNASFDWLMEQKFDMAFSESSHNIYNAVYAIAHALHEMNLQQVDNQ AVDNGKGASSHCLKVNSFLRKIHFTNPVGDKLIMKERVIIQEDYDIFHFENLSQHLGIKV KLGKFSPYFSHGRNFHLYVDMLEVATGSRKMPSSVCSAECSPGFRRLWKEGMAACCFVCR PCPENEISNETNMDQCVNCPEYQYANTEQNKCIKKGVTFLSYEDPLGMVLALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRILSYILLLSLMSCFLCSFFFIGHPNRATCVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRHFLVSGTPNYIIPICSLFQCVLCAIWLAVS PPFVDIDEHSQHGHIIIVCNKGSVTAFYCVLGYLACLALGSFIVAFLAKNLPDTFNEAKF LTFSMLVFFSVCVTFLPVYHSTKGKVMVAVEIFSILASSAGMLGCIFAPKIYIILMRPER NSTQNIKESNSTQKIKEKSNS >ENSMUSP00000066777.7 pep:known chromosome:GRCm38:16:30269302:30283256:-1 gene:ENSMUSG00000052316.9 transcript:ENSMUST00000064606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc15 description:leucine rich repeat containing 15 [Source:MGI Symbol;Acc:MGI:1921738] MPLKHYLLLLVSCQAWAAGLAYYGCPSECTCSRASQVECTGAQIVAMPSPLPWNAMSLQI LNTHITELPEDKFLNISALIALKMEKNELANIMPGAFRNLGSLRHLSLANNKLKNLPVRL FQDVNNLETLLLSNNQLVQIQPAQFSQFSNLKELQLYGNNLEYIPEGVFDHLVGLTKLNL GNNGFTHLSPRVFQHLGNLQVLRLYENRLSDIPMGTFDALGNLQELALQENQIGTLSPGL FHNNRNLQRLYLSNNHISHLPPGIFMQLPHLNKLTLFGNSLKELSPGVFGPMPNLRELWL YNNHITSLPDNAFSHLNQLQVLILSHNQLSYISPGAFNGLTNLRELSLHTNALQDLDGNV FRSLANLRNVSLQNNRLRQLPGSIFANVNGLMTIQLQNNNLENLPLGIFDHLGNLCELRL YDNPWRCDSNILPLHDWLILNRARLGTDTLPVCSSPASVRGQSLVIINVNFPGPSVQGPE TPEVSSYPDTSSYPDSTSISSTTEITRSTDDDYTDLNTIEPIDDRNTWGMTDAQSGLAIA AIVIGIIALACSLAACICCCCCKKRSQAVLMQMKAPNEC >ENSMUSP00000067611.3 pep:known chromosome:GRCm38:5:8422850:8454797:1 gene:ENSMUSG00000054099.11 transcript:ENSMUST00000066921.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a40 description:solute carrier family 25, member 40 [Source:MGI Symbol;Acc:MGI:2442486] MEPETEGPPPTIPVTPLQQMIASCTGAVLTSLMVTPLDVVKIRLQAQNNPFPKGKCFLYS NGLMDHMCVCEEESKKAWYKKPGNFRGTLDAFLKILRNEGIKSLWSGLPPTLVMAIPATV IYFTCYEQLSAFLKTKLGENETRIPIVAGVVARFGAVTVISPLELIRTKVQSKKFSYKEL YQFVSMRVSEDGWISLWKGWAPTILRDVPFSAMYWYNYENLKRWLCEKSGLYEPTFMINF TSGALSGSFAAVATLPFDVVKTQKQTQLWTNEYCKFPAPLDMSTWTIMKNIVADKGFSGL FTGLIPRLVKIVPACAIMISSYELGKSFFQKQNVESR >ENSMUSP00000142511.1 pep:known chromosome:GRCm38:5:8422858:8454789:1 gene:ENSMUSG00000054099.11 transcript:ENSMUST00000196727.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc25a40 description:solute carrier family 25, member 40 [Source:MGI Symbol;Acc:MGI:2442486] MEPETEGPPPTIPVTPLQQMIASCTGAVLTSLMVTPLDVVKIRLQAQNNPFPKVMDSWIT CVSVKRRARKHGIRSQETSVGHWMPF >ENSMUSP00000130630.1 pep:known chromosome:GRCm38:5:8422899:8454736:1 gene:ENSMUSG00000054099.11 transcript:ENSMUST00000170496.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a40 description:solute carrier family 25, member 40 [Source:MGI Symbol;Acc:MGI:2442486] MEPETEGPPPTIPVTPLQQMIASCTGAVLTSLMVTPLDVVKIRLQAQNNPFPKGKCFLYS NGLMDHMCVCEEESKKAWYKKPGNFRGTLDAFLKILRNEGIKSLWSGLPPTLVMAIPATV IYFTCYEQLSAFLKTKLGENETRIPIVAGVVARFGAVTVISPLELIRTKVQSKKFSYKEL YQFVSMRVSEDGWISLWKGWAPTILRDVPFSAMYWYNYENLKRWLCEKSGLYEPTFMINF TSGALSGSFAAVATLPFDVVKTQKQTQLWTNEYCKFPAPLDMSTWTIMKNIVADKGFSGL FTGLIPRLVKIVPACAIMISSYELGKSFFQKQNVESR >ENSMUSP00000143045.1 pep:known chromosome:GRCm38:5:8424832:8442499:1 gene:ENSMUSG00000054099.11 transcript:ENSMUST00000198792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a40 description:solute carrier family 25, member 40 [Source:MGI Symbol;Acc:MGI:2442486] MEPETEGPPPTIPVTPLQQMIASCTGAVLTSLMVTPLDVVKIRLQAQNNPFPKGKCFLYS NGLMDHMCVCEEESKKAWYKKPGNFRGTLDAFLKILRNEGIKSLWSGLPPTLVMAIPATV IYFTCYEQL >ENSMUSP00000041282.3 pep:known chromosome:GRCm38:11:65688243:65788297:-1 gene:ENSMUSG00000033352.11 transcript:ENSMUST00000046963.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k4 description:mitogen-activated protein kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1346869] MAAPSPSGGGGSGGGGGTPGPIGPPASGHPAVSSMQGKRKALKLNFANPPVKSTARFTLN PNTTGVQNPHIERLRTHSIESSGKLKISPEQHWDFTAEDLKDLGEIGRGAYGSVNKMVHK PSGQIMAVKRIRSTVDEKEQKQLLMDLDVVMRSSDCPYIVQFYGALFREGDCWICMELMS TSFDKFYKYVYSVLDDVIPEEILGKITLATVKALNHLKENLKIIHRDIKPSNILLDRSGN IKLCDFGISGQLVDSIAKTRDAGCRPYMAPERIDPSASRQGYDVRSDVWSLGITLYELAT GRFPYPKWNSVFDQLTQVVKGDPPQLSNSEEREFSPSFINFVNLCLTKDESKRPKYKELL KHPFILMYEERTVEVACYVCKILDQMPATPSSPMYVD >ENSMUSP00000137656.1 pep:known chromosome:GRCm38:11:65690333:65719603:-1 gene:ENSMUSG00000033352.11 transcript:ENSMUST00000125598.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k4 description:mitogen-activated protein kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1346869] RIRSTVDEKEQKQLLMDLDVVMRSSDCPYIVQFYGALFRETVKALNHLKENLKIIHRDIK PSNILLDRSGNIKLCDFGISGQLVDSIAKTRDAGCRPYMAPERIDPSASRQGYDVRSDVW SLGITLPYEG >ENSMUSP00000137955.1 pep:known chromosome:GRCm38:11:65696288:65788285:-1 gene:ENSMUSG00000033352.11 transcript:ENSMUST00000140301.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map2k4 description:mitogen-activated protein kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1346869] MAAPSPSGGGGSGGGGGTPGPIGPPASGHPAVSSMQGKRKALKLNFANPPVKSTARFTLN PNTTGVQNPHIEFGQLWMKKNKNNFSWIWM >ENSMUSP00000114219.1 pep:known chromosome:GRCm38:11:65712219:65788286:-1 gene:ENSMUSG00000033352.11 transcript:ENSMUST00000152096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k4 description:mitogen-activated protein kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1346869] MAAPSPSGGGGSGGGGGTPGPIGPPASGHPAVSSMQGFQINFCEKAQSKRKALKLNFANP PVKSTARFTLNPNTTGVQNPHIERLRTHSIESSGKLKISPEQHWDFTAEDLKDLGEIGRG AYGSVNKMVHKPSGQIMAVKRIRSTVDEKEQKQLLMDLDVVMRSSDCPYIVQFYGALFRE GDCWICMELMSTSFDKFYKYVYSVLD >ENSMUSP00000137819.1 pep:known chromosome:GRCm38:11:65712220:65788285:-1 gene:ENSMUSG00000033352.11 transcript:ENSMUST00000130009.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map2k4 description:mitogen-activated protein kinase kinase 4 [Source:MGI Symbol;Acc:MGI:1346869] MAAPSPSGGGGSGGGGGTPGPIGPPASGHPAVSSMQGFQINFCEKAQSKRKALKLNFANP PVKSTARFTLNPNTTGVQNPHIEFGQLWMKKNKNNFSWIWM >ENSMUSP00000145588.1 pep:known chromosome:GRCm38:11:73798753:73803052:-1 gene:ENSMUSG00000070382.6 transcript:ENSMUST00000126060.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr391-ps description:olfactory receptor 391, pseudogene [Source:MGI Symbol;Acc:MGI:3030225] MIMKNQTVITQFLLLGLPILPEHQHLFYALFLAMYLTTALGNLLIIVLVQLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKLLQNIQSQDPSIPYAGCLAQTYFFMVFGDMESFLLVAMAY DRYVAICFPLHYTSIMSPKLCGCLMLLLWMLTTSHAMMHTLLAARLSFCENNVILNFFCD LFVLLKLACSDTYVNELMIFIMSSLLIVIPFFLIVMSYARIIASILKVPSIQGIYKVFST CGSHLSVVTLFYGTIIGLYLCPSGNNSTVKGTVMAMMYTVVTPMLNPFIYSLRNRDMKRA LIRVICSKKISL >ENSMUSP00000093297.1 pep:known chromosome:GRCm38:17:20113210:20114226:-1 gene:ENSMUSG00000071276.1 transcript:ENSMUST00000095637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpr-rs7 description:formyl peptide receptor, related sequence 7 [Source:MGI Symbol;Acc:MGI:2448177] MEANFSIPQNGSEVVFYDSTTSRVICIFLVVVLSITFLLGVIGNGLVIYVAGFRMTHTVT TICYLNLALSDFSYMTSLPFQITSIVMNGEWLFGWFLCKFVHMIINVNLFLSIFLITFIA MDRCICVLHPVWAQNHRTVNLARKVILGSWILVLMLIFPHFFFLTTVKDESGKVHCICNF ESWAATPEEQVNMSMTVSLISVTLSFIVGFSIPMIFIVICYGLMAAKIGRRGLVNSSRPL RVLTAVAFSFFVCWFPFQLIFLLGNIGNKETQNNIDAWVNPASTLASFNSCLNPILYVFL GQQFRERLIYSLSASLERALREDSALNSDKIRNLSSQT >ENSMUSP00000084259.3 pep:known chromosome:GRCm38:5:88520512:88527891:-1 gene:ENSMUSG00000067149.6 transcript:ENSMUST00000087033.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Jchain description:immunoglobulin joining chain [Source:MGI Symbol;Acc:MGI:96493] MKTHLLLWGVLAIFVKAVLVTGDDEATILADNKCMCTRVTSRIIPSTEDPNEDIVERNIR IVVPLNNRENISDPTSPLRRNFVYHLSDVCKKCDPVEVELEDQVVTATQSNICNEDDGVP ETCYMYDRNKCYTTMVPLRYHGETKMVQAALTPDSCYPD >ENSMUSP00000006679.7 pep:known chromosome:GRCm38:10:79879614:79883174:1 gene:ENSMUSG00000057729.12 transcript:ENSMUST00000006679.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prtn3 description:proteinase 3 [Source:MGI Symbol;Acc:MGI:893580] MAGSYPSPKGIHPFLLLALVVGGAVQASKIVGGHEARPHSRPYVASLQLSRFPGSHFCGG TLIHPRFVLTAAHCLQDISWQLVTVVLGAHDLLSSEPEQQKFTISQVFQNNYNPEENLND VLLLQLNRTASLGKEVAVASLPQQDQTLSQGTQCLAMGWGRLGTQAPTPRVLQELNVTVV TFLCREHNVCTLVPRRAAGICFGDSGGPLICNGILHGVDSFVIRECASLQFPDFFARVSM YVDWIQNVLRGAEP >ENSMUSP00000129585.1 pep:known chromosome:GRCm38:10:79881042:79882844:1 gene:ENSMUSG00000057729.12 transcript:ENSMUST00000166201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prtn3 description:proteinase 3 [Source:MGI Symbol;Acc:MGI:893580] XQLVTVVLGAHDLLSSEPEQQKFTISQVFQNNYNPEENLNDVLLLQLNRTASLGKEVAVA SLPQQDQTLSQGTQCLAMGWGRLGTQAPTPRVLQELNVTVVTFLCREHNVCTLVPRRAAG ICFLGD >ENSMUSP00000027065.5 pep:known chromosome:GRCm38:1:21218575:21230167:1 gene:ENSMUSG00000025933.15 transcript:ENSMUST00000027065.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem14a description:transmembrane protein 14A [Source:MGI Symbol;Acc:MGI:1922962] MDLIGFGYAALVTIGSVLGYKRRGGVPSLIAGLSVGLLAGYGAYRVSNDRRDVKVSLFTA FFLATIMGVRFKRSKKVMPAGLVAGLSLMMILRLVLLLL >ENSMUSP00000027064.7 pep:known chromosome:GRCm38:1:21218662:21230164:1 gene:ENSMUSG00000025933.15 transcript:ENSMUST00000027064.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem14a description:transmembrane protein 14A [Source:MGI Symbol;Acc:MGI:1922962] MDLIGFGYAALVTIGSVLGYKRRGGVPSLIAGLSVGLLAGYGAYRVSNDRRDVKVSLFTA FFLATIMGVRFKRSKKVMPAGLVAGLREYFQVASGKLGHRKNESPLLPLRRAVTEPSEPT AQGHDRAVHSRPVHQRDCPSVFLFL >ENSMUSP00000104194.3 pep:known chromosome:GRCm38:7:9074796:9097881:-1 gene:ENSMUSG00000095773.1 transcript:ENSMUST00000108554.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r38 description:vomeronasal 2, receptor 38 [Source:MGI Symbol;Acc:MGI:3757869] MFTLMGVFFFFNIPLFMANFIDPRCFWRINLNEIQDEYLGLTCAFILAAVQKPIEKDYFN KTLNFLKTTKNHKYALALAFAMDEINRNPDLLPNMSLIIRYTLGRCDGKTVIPTPYLFHK KKQSPIPNYFCNEETMCSFLLTGPNWGVSISFWKYLDSFLSPRILQLTYGPFSSIFSDDE QYSYLYQMAPKDTSLALAMVSFILYFNWNWIGLVIPDDDQGNQFLLELKKQRENKEICFA FVKMISVDDISFEHKTEMYYKQIVMSSSNVIIIYEETIDFIDLIFRMWEPPVLQRIWITT KQWNFPTSKRDITHGTFYGSLTFLPHHGGISGFKDFVQTWFHLRSKDLYLVMPEWKYFKY ESSASKCKILKNNSSDASFDWLMEQKFDMAFSESSHNIYNAVHAIAHALHEMNLQQVDNQ AIDNGKGASSHCLKVNSFLRKIHFTNPLGNKVIMKQRVIMQEEYDIFHFGNLSQHLGIKV KLGKFSPYFSHGRNFHLYVDMLEVATGSRKMPSSVCSAECSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKYHDTPIVKANNRILSYILLISLMSCFLCSFFFIGHPNRATCVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLEVS PPFVDIDEHSQHGHIIIVCNKGSVTAFYCVLGFLACLALGSFSLAFLAKNLPDTFNEAKF LTFSMLVFFSVWVTFLPVYHSTKGKVMVAVEIFSILASSAGMLGCIFAPKIYIILMRPDR NSTQKIKVRNSTQKIKEKSYF >ENSMUSP00000004508.6 pep:known chromosome:GRCm38:11:6270369:6274870:-1 gene:ENSMUSG00000004394.12 transcript:ENSMUST00000004508.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed4 description:transmembrane emp24 protein transport domain containing 4 [Source:MGI Symbol;Acc:MGI:1915070] MAGVGVGPLQGMVRFGLLVLTVCAACARGLYFHIGETEKRCFIEEIPDETMVIGNYRTQM WDKQKEVFLPSTPGLGMHVEVKDPDGKVVLSRQYGSEGRFTFTSHTPGDHQICLHSNSTR MALFAGGKLRVHLDIQVGEHANNYPEIAAKDKLTELQLRARQLLDQVEQIQKEQDYQRYR EERFRLTSESTNQRVLWWSIAQTVILILTGIWQMRHLKSFFEAKKLV >ENSMUSP00000121643.1 pep:known chromosome:GRCm38:11:6273741:6274865:-1 gene:ENSMUSG00000004394.12 transcript:ENSMUST00000132147.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmed4 description:transmembrane emp24 protein transport domain containing 4 [Source:MGI Symbol;Acc:MGI:1915070] MAGVGVGPLQGMVRFGLLVLTVCAACARGLYFHIGETEKRCFIEEIPDETMMWDKQKEVF LPSTPGLGMHVEVKDPDGKVVLSRQYGSEGRFTFTSHTPGDHQICLHSNSTRMALFAGGK LRVHLDIQVGEHANNYPEIAAKDKLTELQLRARQLLDQVEQIQKEQDYQR >ENSMUSP00000041173.6 pep:known chromosome:GRCm38:16:55811375:55838899:-1 gene:ENSMUSG00000035356.16 transcript:ENSMUST00000036273.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbiz description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, zeta [Source:MGI Symbol;Acc:MGI:1931595] MIVDKLLDDSRGGEGLLDAAGDCGLMTSPLNLAYFYGASPPSAPGAGDTGYLSAVPSAPG SPGSDSSDFSSTSSVSSCGAVESRPRGGARAERPQVEPHMGVGRQQRGPFQGVRVKNSVK ELLLHIRSNKQKASGQPVDEFKTQSVNIEQLTDLKSAVSAVGKRKGPDPLSDGPVCKRPA LLPSHFVTSPQTPTPGESMEDVRHSESKLDSSAALLQNIINIKNECNPVSLNTVQVSWMS PTVPQNSPRDQCQDFHGGQAFSPPQKYQPFQVSGSPQMMDQASMYQYSPQTQNMQQPPPL PPQQQHQQNYPHNSPLQFSPYSRMSQSPKYDSNLFDTHEPQFCTGQSFVSLLTGPGEPES LAVPVPAPTSIPPQTETQLQTFSLMPSNACEAVVGVHDVGSHSLGTSLSLQNIMGSPMNT TQLGKSFFQWQVEQEESKLANIPQDQFLARDGDGDTFLHIAVAQGRRALSYVLARKMNAL HMLDIKEHNGQSAFQVAVAANQHLIVQDLVNLGAQVNTTDCWGRTPLHVCAEKGHSQVLQ AIQKGAVRSNQFVDLEATNYDGLTPLHCAVVAHNAVVHELQRNRQSHSPEVQDLLLRNKS LVDTIKCLIQMGAAVEAKDRKSGRTALHLAAEEANLELIRLFLELPSCLSFVNAKAYNGN TALHVAASLQYRVTQLDAVRLLMRKGADPSTRNLENEQPVHLVPDGPVGEQIRRILKGKS IQQRAPPY >ENSMUSP00000110101.1 pep:known chromosome:GRCm38:16:55811375:55838899:-1 gene:ENSMUSG00000035356.16 transcript:ENSMUST00000114457.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbiz description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, zeta [Source:MGI Symbol;Acc:MGI:1931595] MGVGRQQRGPFQGVRVKNSVKELLLHIRSNKQKASGQPVDEFKTQSVNIEQLTDLKSAVS AVGKRKGPDPLSDGPVCKRPALLPSHFVTSPQTPTPGESMEDVRHSESKLDSSAALLQNI INIKNECNPVSLNTVQVSWMSPTVPQNSPRDQCQDFHGGQAFSPPQKYQPFQVSGSPQMM DQASMYQYSPQTQNMQQPPPLPPQQQHQQNYPHNSPLQFSPYSRMSQSPKYDSNLFDTHE PQFCTGQSFVSLLTGPGEPESLAVPVPAPTSIPPQTETQLQTFSLMPSNACEAVVGVHDV GSHSLGTSLSLQNIMGSPMNTTQLGKSFFQWQVEQEESKLANIPQDQFLARDGDGDTFLH IAVAQGRRALSYVLARKMNALHMLDIKEHNGQSAFQVAVAANQHLIVQDLVNLGAQVNTT DCWGRTPLHVCAEKGHSQVLQAIQKGAVRSNQFVDLEATNYDGLTPLHCAVVAHNAVVHE LQRNRQSHSPEVQDLLLRNKSLVDTIKCLIQMGAAVEAKDRKSGRTALHLAAEEANLELI RLFLELPSCLSFVNAKAYNGNTALHVAASLQYRVTQLDAVRLLMRKGADPSTRNLENEQP VHLVPDGPVGEQIRRILKGKSIQQRAPPY >ENSMUSP00000110102.1 pep:known chromosome:GRCm38:16:55811375:55822138:-1 gene:ENSMUSG00000035356.16 transcript:ENSMUST00000114458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbiz description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, zeta [Source:MGI Symbol;Acc:MGI:1931595] MIVDKLLDDSRGGEGLLDAAGDCGLMTSPLNLAYFYGASPPSAPGAGDTGYLSAVPSAPG SPGSDSSDFSSTSSVSSCGAVESRPRGGARAERPQVEPHMGVGRQQRGPFQGVRVKNSVK ELLLHIRSNKQKASGQPVDEFKTQSVNIEQLTDLKSAVSAVGKRKGPDPLSDGPVCKRPA LLPSHFVTSPQTPTPGESMEDVRHSESKLDSSAALLQNIINIKNECNPVSLNTVQVSWMS PTVPQNSPRDQCQDFHGGQAFSPPQKYQPFQVSGSPQMMDQASMYQYSPQTQNMQQPPPL PPQQQHQQNYPHNSPLQFSPYSRMSQSPKYDSNLFDTHEPQFCTGQSFVSLLTGPGEPES LAVPVPAPTSIPPQTETQLQTFSLMPSNACEAVVGVHDVGSHSLGTSLSLQNIMGSPMNT TQLGKSFFQWQVEQEESKLANIPQDQFLARDGDGDTFLHIAVAQGRRALSYVLARKMNAL HMLDIKEHNGQSAFQVAVAANQHLIVQDLVNLGAQVNTTDCWGRTPLHVCAEKGHSQVLQ AIQKGAVRSNQFVDLEATNYDGLTPLHCAVVAHNAVVHELQRNRQSHSPEVQDLLLRNKS LVDTIKCLIQMGAAVEAKDRKSGRTALHLAAEEANLELIRLFLELPSCLSFVNAKAYNGN TALHVAASLQYRVTQLDAVRLLMRKGADPSTRNLENEQPVHLVPDGPVGEQIRRILKGKS IQQRAPPY >ENSMUSP00000093726.2 pep:known chromosome:GRCm38:16:55811378:55838854:-1 gene:ENSMUSG00000035356.16 transcript:ENSMUST00000096026.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfkbiz description:nuclear factor of kappa light polypeptide gene enhancer in B cells inhibitor, zeta [Source:MGI Symbol;Acc:MGI:1931595] MGVGRQQRGPFQGVRVKNSVKELLLHIRSNKQKASGQPVDEFKTQSVNIEQLTDLKSAVS AVGKRKGPDPLSDGPVCKRPALLPSHFVTSPQTPTPGESMEDVRHSESKLDSSAALLQNI INIKNECNPVSLNTVQVSWMSPTVPQNSPRDQCQDFHGGQAFSPPQKYQPFQVSGSPQMM DQASMYQYSPQTQNMQQPPPLPPQQQHQQNYPHNSPLQFSPYSRMSQSPKYDSNLFDTHE PQFCTGQSFVSLLTGPGEPESLAVPVPAPTSIPPQTETQLQTFSLMPSNACEAVVGVHDV GSHSLGTSLSLQNIMGSPMNTTQLGKSFFQWQVEQEESKLANIPQDQFLARDGDGDTFLH IAVAQGRRALSYVLARKMNALHMLDIKEHNGQSAFQVAVAANQHLIVQDLVNLGAQVNTT DCWGRTPLHVCAEKGHSQVLQAIQKGAVRSNQFVDLEATNYDGLTPLHCAVVAHNAVVHE LQRNRQSHSPEVQDLLLRNKSLVDTIKCLIQMGAAVEAKDRKSGRTALHLAAEEANLELI RLFLELPSCLSFVNAKAYNGNTALHVAASLQYRVTQLDAVRLLMRKGADPSTRNLENEQP VHLVPDGPVGEQIRRILKGKSIQQRAPPY >ENSMUSP00000093296.1 pep:known chromosome:GRCm38:17:20182078:20183097:-1 gene:ENSMUSG00000071275.1 transcript:ENSMUST00000095636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpr-rs6 description:formyl peptide receptor, related sequence 6 [Source:MGI Symbol;Acc:MGI:2448176] MEANFSIPQNGSEVVFYDSTTSRVICIFLVVVLSITFLLGVIGNGLVIYVAGFRMTHTVT TICYLNLALSDFSYMASLPFQITSIVMNGEWLFGWFLCKFVHMIINVNLFLSIFLITFIA MDRCICVLHPVWAQNHRTVNVATKVIFGAWILVLMLIFPHCIFVTTVKDESGKVHCICNF ESWAATPEEQVKVSMTVSLISVTISFIIGFSIPMIFIVICYGLMAAKIGRRGFVNSSRPL RVLTAVAISFFVCWFPFQLIFLLGNIGNKETQNNIDTWVNTASTLASFNSCLNPILYVFL GQQFRERLIYSLSASLERALREDSALNSDKTRNLSSQRL >ENSMUSP00000106318.2 pep:known chromosome:GRCm38:15:4026383:4155344:1 gene:ENSMUSG00000022186.14 transcript:ENSMUST00000110690.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxct1 description:3-oxoacid CoA transferase 1 [Source:MGI Symbol;Acc:MGI:1914291] MAALKLLSSGLRLGASARSSRGALHKGCVCYFSVSTRHHTKFYTDPVEAVKDIPNGATLL VGGFGLCGIPENLIGALLKTGVKDLTAVSNNAGVDNFGLGLLLRSKQIKRMISSYVGENA EFERQFLSGELEVELTPQGTLAERIRAGGAGVPAFYTSTGYGTLVQEGGSPIKYNKDGSV AIASKPREVREFNGQHFILEEAITGDFALVKAWKADRAGNVIFRKSARNFNLPMCKAAGT TVVEVEEIVDIGSFAPEDIHIPKIYVHRLIKGEKYEKRIERLSLRKEGDGKGKSGKPGGD VRERIIKRAALEFEDGMYANLGIGIPLLASNFISPNMTVHLQSENGVLGLGPYPLKDEAD ADLINAGKETVTVLPGASFFSSDESFAMIRGGHVNLTMLGAMQVSKYGDLANWMIPGKMV KGMGGAMDLVSSSKTKVVVTMEHSAKGNAHKIMEKCTLPLTGKQCVNRIITEKGVFDVDK KNGLTLIELWEGLTVDDIKKSTGCDFAVSPNLMPMQQIST >ENSMUSP00000116343.1 pep:known chromosome:GRCm38:15:4026684:4153963:1 gene:ENSMUSG00000022186.14 transcript:ENSMUST00000138927.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oxct1 description:3-oxoacid CoA transferase 1 [Source:MGI Symbol;Acc:MGI:1914291] MAALKLLSSGLRLGASARSSRGALHKGCVCYFSVSTRHHTKFYTDPVEAVKDIPNGATLL VGGFGLCGIPENLIGALLKTGVKDLTAVSNNAGVDNFGLGLLLRSKQIKRMISSYVGENA EFERQFLSGELEVELTPQGTLAERIRAGGAGVPAFYTSTGYGTLVQEGGSPIKYNKDGSV AIASKPREVREFNGQHFILEEAITGDFALVKAWKADRAGNVIFRKSARNFNLPMCKAAGT TVVEVEEIVDIGSFAPEDIHIPKIYVHRLIKGEKYEKRIERLSLRKEGDGKGKSGKPGGD VRERIIKRAALEFEDGMYANLGIGIPLLASNFISPNMTVHLQSENGVLGLGPYPLKDEAD ADLINAGKETVTVLPGASFFSSDESFAMIRGGHVNLTMLGAMQVSKYGDLANWMIPGKMV KGMGGAMDLVSSSKTKVVVTMEHSAKGNAHKIMEKCTLPLTGKQCVNRIITEKVSPNLMP MQQIST >ENSMUSP00000098772.2 pep:known chromosome:GRCm38:X:140006805:140062568:-1 gene:ENSMUSG00000072944.11 transcript:ENSMUST00000101212.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup62cl description:nucleoporin 62 C-terminal like [Source:MGI Symbol;Acc:MGI:2685565] MFPSMPYVPASMASQELSSTTSTTTTTTVTTNTTTTITSGFNLYVRPPASPWLNNTGLIN VASMPSTMTVNSIVTPVMTYGPLESMANNWHYQLQEQEKHYFYQANQFNLWNQALIESGN EIALLYNEVERVKIDQSRLEQELDIILFQQKELEQMLTPIEELLKEQNGPLNMMYVNKEY EMIYRLAEIIDAELKRMSQDLKDIVVYLNSLAHPADATEQLEQICKILNAHMESLQWINL NSGVMQKKVEEVANAVEEYRRKEQEAMKAAFE >ENSMUSP00000121310.1 pep:known chromosome:GRCm38:X:140022062:140062293:-1 gene:ENSMUSG00000072944.11 transcript:ENSMUST00000154385.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup62cl description:nucleoporin 62 C-terminal like [Source:MGI Symbol;Acc:MGI:2685565] MFPSMPYVPASMASQELSSTTSTTTTTTVTTNTTTTITSGFNLYVRPPASPWLNNTGLIN VASMPSTMTVNSIVTPVMTYGPLESMANNWHYQLQEQEKHYFYQANQFNLWNQALIESGN EIALLYNEVERVKIDQSRLEQELDIILFQQKELEQMLTPIEELLKEQNGPLNMMYVNKEY EMIYRLAEIIDAELKRMSQDLKDIVVYLNSLAHPADATEQLEQICKIL >ENSMUSP00000116491.1 pep:known chromosome:GRCm38:X:140027609:140062712:-1 gene:ENSMUSG00000072944.11 transcript:ENSMUST00000133780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup62cl description:nucleoporin 62 C-terminal like [Source:MGI Symbol;Acc:MGI:2685565] MFPSMPYVPASMASQELSSTTSTTTTTTVTTNTTTTITSGFNLYVRPPASPWLNNTGLIN VASMPSTMTVNSIVTPVMTYGPLESMANNWHYQLQEQEKHYFYQANQFNLWNQALIESGN EIALLYNEVERVKIDQSRLEQELDIILFQQKELEQMLTPIEELLKEQNG >ENSMUSP00000116128.2 pep:known chromosome:GRCm38:X:140028209:140062580:-1 gene:ENSMUSG00000072944.11 transcript:ENSMUST00000125678.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup62cl description:nucleoporin 62 C-terminal like [Source:MGI Symbol;Acc:MGI:2685565] MFPSMPYVPASMASQELSSTTSTTTTTTVTTNTTTTITSGFNLYVRPPASPWLNNTGLIN VASMPSTMTVNSIVTPVMTYGPLESMANNWHYQLQEQ >ENSMUSP00000122713.1 pep:known chromosome:GRCm38:X:140034790:140062551:-1 gene:ENSMUSG00000072944.11 transcript:ENSMUST00000124075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup62cl description:nucleoporin 62 C-terminal like [Source:MGI Symbol;Acc:MGI:2685565] MFPSMPYVPASMASQELSSTTSTTTTTTVTTNTTTTITSGFNLYVRPPASPWLNNTGLIN >ENSMUSP00000140138.1 pep:known chromosome:GRCm38:Y:6267098:6269548:1 gene:ENSMUSG00000095148.2 transcript:ENSMUST00000187857.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20873 description:predicted gene, 20873 [Source:MGI Symbol;Acc:MGI:5434229] MSSLMKKRRRESSSNTLRNIVGRRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPGLYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANLSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000136403.1 pep:known chromosome:GRCm38:Y:6268725:6269423:1 gene:ENSMUSG00000095148.2 transcript:ENSMUST00000179410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20873 description:predicted gene, 20873 [Source:MGI Symbol;Acc:MGI:5434229] MSSLMKKRRRESSSNTLRNIVGRRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPGLYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANLSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000069418.8 pep:known chromosome:GRCm38:17:34728380:34743882:-1 gene:ENSMUSG00000073418.4 transcript:ENSMUST00000069507.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C4b description:complement component 4B (Chido blood group) [Source:MGI Symbol;Acc:MGI:88228] MRLLWGLAWVFSFCASSLQKPRLLLFSPSVVNLGTPLSVGVQLLDAPPGQEVKGSVFLRN PKGGSCSPKKDFKLSSGDDFVLLSLEVPLEDVRSCGLFDLRRAPHIQLVAQSPWLRNTAF KATETQGVNLLFSSRRGHIFVQTDQPIYNPGQRVRYRVFALDQKMRPSTDFLTITVENSH GLRVLKKEIFTSTSIFQDAFTIPDISEPGTWKISARFSDGLESNRSTHFEVKKYVLPNFE VKITPWKPYILMVPSNSDEIQLDIQARYIYGKPVQGVAYTRFALMDEQGKRTFLRGLETQ AKLVEGRTHISISKDQFQAALDKINIGVRDLEGLRLYAATAVIESPGGEMEEAELTSWRF VSSAFSLDLSRTKRHLVPGAHFLLQALVQEMSGSEASNVPVKVSATLVSGSDSQVLDIQQ STNGIGQVSISFPIPPTVTELRLLVSAGSLYPAIARLTVQAPPSRGTGFLSIEPLDPRSP SVGDTFILNLQPVGIPAPTFSHYYYMIISRGQIMAMGREPRKTVTSVSVLVDHQLAPSFY FVAYFYHQGHPVANSLLINIQSRDCEGKLQLKVDGAKEYRNADMMKLRIQTDSKALVALG AVDMALYAVGGRSHKPLDMSKVFEVINSYNVGCGPGGGDDALQVFQDAGLAFSDGDRLTQ TREDLSCPKEKKSRQKRNVNFQKAVSEKLGQYSSPDAKRCCQDGMTKLPMKRTCEQRAAR VPQQACREPFLSCCKFAEDLRRNQTRSQAHLARNNHNMLQEEDLIDEDDILVRTSFPENW LWRVEPVDSSKLLTVWLPDSMTTWEIHGVSLSKSKGLCVAKPTRVRVFRKFHLHLRLPIS IRRFEQFELRPVLYNYLNDDVAVSVHVTPVEGLCLAGGGMMAQQVTVPAGSARPVAFSVV PTAAANVPLKVVARGVFDLGDAVSKILQIEKEGAIHREELVYNLDPLNNLGRTLEIPGSS DPNIVPDGDFSSLVRVTASEPLETMGSEGALSPGGVASLLRLPQGCAEQTMIYLAPTLTA SNYLDRTEQWSKLSPETKDHAVDLIQKGYMRIQQFRKNDGSFGAWLHRDSSTWLTAFVLK ILSLAQEQVGNSPEKLQETASWLLAQQLGDGSFHDPCPVIHRAMQGGLVGSDETVALTAF VVIALHHGLDVFQDDDAKQLKNRVEASITKANSFLGQKASAGLLGAHAAAITAYALTLTK ASEDLRNVAHNSLMAMAEETGEHLYWGLVLGSQDKVVLRPTAPRSPTEPVPQAPALWIET TAYALLHLLLREGKGKMADKAASWLTHQGSFHGAFRSTQDTVVTLDALSAYWIASHTTEE KALNVTLSSMGRNGLKTHGLHLNNHQVKGLEEELKFSLGSTISVKVEGNSKGTLKILRTY NVLDMKNTTCQDLQIEVKVTGAVEYAWDANEDYEDYYDMPAADDPSVPLQPVTPLQLFEG RRSRRRREAPKVVEEQESRVQYTVCIWRNGKLGLSGMAIADITLLSGFHALRADLEKLTS LSDRYVSHFETDGPHVLLYFDSVPTTRECVGFGASQEVVVGLVQPSSAVLYDYYSPDHKC SVFYAAPTKSQLLATLCSGDVCQCAEGKCPRLLRSLERRVEDKDGYRMRFACYYPRVEYG FTVKVLREDGRAAFRLFESKITQVLHFRKDTMASIGQTRNFLSRASCRLRLEPNKEYLIM GMDGETSDNKGDPQYLLDSNTWIEEMPSEQMCKSTRHRAACFQLKDFLMEFSSRGCQV >ENSMUSP00000134611.1 pep:known chromosome:GRCm38:17:34734365:34736099:-1 gene:ENSMUSG00000073418.4 transcript:ENSMUST00000173057.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:C4b description:complement component 4B (Chido blood group) [Source:MGI Symbol;Acc:MGI:88228] XEIHGVSLSKSKGLCVAKPTRVRVFRKFHLHLRLPISIRRFEQFELRPVLYNYLNDDVAV RP >ENSMUSP00000107659.3 pep:known chromosome:GRCm38:19:44034944:44069785:-1 gene:ENSMUSG00000025198.16 transcript:ENSMUST00000112028.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erlin1 description:ER lipid raft associated 1 [Source:MGI Symbol;Acc:MGI:2387613] MNMTQARLLVAAVVGLVAILLYASIHKIEEGHLAVYYRGGALLTSPSGPGYHIMLPFITT FRSVQTTLQTDEVKNVPCGTSGGVMIYIDRIEVVNMLAPYAVFDIVRNYTADYDKTLIFN KIHHELNQFCSAHTLQEVYIELFDQIDENLKQALQKDLNTMAPGLTIQAVRVTKPKIPEA IRRNFELMEAEKTKLLIAAQKQKVVEKEAETERKRAVIEAEKIAQVAKIRFQQKVMEKET EKRISEIEDAAFLAREKAKADAEYYAAHKYATSNKHKLTPEYLELKKYQAIASNSKIYFG SNIPSMFVDSSCALKYSDGRTGREDSLPPEEAREPSGESPIQNKENAG >ENSMUSP00000129684.1 pep:known chromosome:GRCm38:19:44034944:44069526:-1 gene:ENSMUSG00000025198.16 transcript:ENSMUST00000170801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erlin1 description:ER lipid raft associated 1 [Source:MGI Symbol;Acc:MGI:2387613] MNMTQARLLVAAVVGLVAILLYASIHKIEEGHLAVYYRGGALLTSPSGPGYHIMLPFITT FRSVQTTLQTDEVKNVPCGTSGGVMIYIDRIEVVNMLAPYAVFDIVRNYTADYDKTLIFN KIHHELNQFCSAHTLQEVYIELFDQIDENLKQALQKDLNTMAPGLTIQAVRVTKPKIPEA IRRNFELMEAEKTKLLIAAQKQKVVEKEAETERKRAVIEAEKIAQVAKIRFQQKVMEKET EKRISEIEDAAFLAREKAKADAEYYAAHKYATSNKHKLTPEYLELKKYQAIASNSKIYFG SNIPSMFVDSSCALKYSDGRTGREDSLPPEEAREPSGESPIQNKENAG >ENSMUSP00000131012.1 pep:known chromosome:GRCm38:19:44056151:44069756:-1 gene:ENSMUSG00000025198.16 transcript:ENSMUST00000172041.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erlin1 description:ER lipid raft associated 1 [Source:MGI Symbol;Acc:MGI:2387613] MNMTQARLLVAAVVGLVAILLYASIHKIEEGHLAVYYRGGALLTSPSGPGYHIMLPFITT FRSVQTTLQTDEVKNVPCGTSGGVMIYIDRIEVVNMLAPYAVFDIVRNYTADYDKTLIFN KIHHELNQFCSAHTLQEVYIELFDQIDENLKQALQKDL >ENSMUSP00000131740.1 pep:known chromosome:GRCm38:19:44069316:44069690:-1 gene:ENSMUSG00000025198.16 transcript:ENSMUST00000169092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erlin1 description:ER lipid raft associated 1 [Source:MGI Symbol;Acc:MGI:2387613] MNMTQARLLVAAVVGLVAILLYA >ENSMUSP00000127971.1 pep:known chromosome:GRCm38:19:44059051:44069395:-1 gene:ENSMUSG00000025198.16 transcript:ENSMUST00000171952.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Erlin1 description:ER lipid raft associated 1 [Source:MGI Symbol;Acc:MGI:2387613] MNMTQARLLVAAVVGLVAILLYASIHKIEEGHLAVYYRGGALLTSPSGPGYHIMLPFITT FRSVQCLTL >ENSMUSP00000126271.1 pep:known chromosome:GRCm38:19:44059053:44067683:-1 gene:ENSMUSG00000025198.16 transcript:ENSMUST00000172262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erlin1 description:ER lipid raft associated 1 [Source:MGI Symbol;Acc:MGI:2387613] XGRSFANEPQWTRLSYHVAFHYNIQICADYDKTLIFNKIHHELNQFCSAHTLQEVYIEL >ENSMUSP00000071618.6 pep:known chromosome:GRCm38:19:44034944:44069775:-1 gene:ENSMUSG00000025198.16 transcript:ENSMUST00000071698.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Erlin1 description:ER lipid raft associated 1 [Source:MGI Symbol;Acc:MGI:2387613] MNMTQARLLVAAVVGLVAILLYASIHKIEEGHLAVYYRGGALLTSPSGPGYHIMLPFITT FRSVQTTLQTDEVKNVPCGTSGGVMIYIDRIEVVNMLAPYAVFDIVRNYTADYDKTLIFN KIHHELNQFCSAHTLQEVYIELFDQIDENLKQALQKDLNTMAPGLTIQAVRVTKPKIPEA IRRNFELMEAEKTKLLIAAQKQKVVEKEAETERKRAVIEAEKIAQVAKIRFQQKVMEKET EKRISEIEDAAFLAREKAKADAEYYAAHKYATSNKHKLTPEYLELKKYQAIASNSKIYFG SNIPSMFVDSSCALKYSDGRTGREDSLPPEEAREPSGESPIQNKENAG >ENSMUSP00000041597.8 pep:known chromosome:GRCm38:5:14910122:14914899:-1 gene:ENSMUSG00000033219.8 transcript:ENSMUST00000035980.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9758 description:predicted gene 9758 [Source:MGI Symbol;Acc:MGI:3704245] MALFARLCRLFQRANVDGRENREGRKDADIPSERNEGRRRGTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLTYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLMEENLIKKKSMTLQQESKEVQADWA IIHQRLVELNLSGKDEQENSNLETPEYQVSEAARELGLATAEEDSILQNELPGQEAPAEH HLQHPQSSSDESSSI >ENSMUSP00000126192.1 pep:known chromosome:GRCm38:10:79854427:79864432:1 gene:ENSMUSG00000006498.16 transcript:ENSMUST00000172282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp1 description:polypyrimidine tract binding protein 1 [Source:MGI Symbol;Acc:MGI:97791] MDGIVPDIAVGTKRGSDELFSTCVSNGPFIMSSSASAANGNDSKKFKGDNRSAGVPSRVI HVRKLPSDVTEGEVISLGLPFGKVTNLLMLKGKNQAFIEMNTEEAANTMVNYYTSVAPVL RGQPIYIQFSNHKELKTDSSPNQARAQAALQAVNSVQSGNLALAASAAAVDAGMAMAGQS PVLRIIVENLFYPVTLDVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVSAQHAKLSL DGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDYTRPDLPSGDSQPSLDQTMAAAFGAPG IMSASPYAGAGFPPTFAIPQAAGLSVPNVHGALAPLAIPSAAAAAAASRIAIPGLAGAGN SVLLVSNLNPERVTPQSLFILFGVYGDVQRVKILFNKKENALVQMADGSQAQLAMSHLNG HKLHGKSVRITLSKHQSVQLPREGQEDQGLTKDYGSSPLHRFKKPGSKNFQNIFPPSATL HLSNIPPSVSEDDLKSLFSSNGGVVKGFKFFQKDRKMALIQMGSVEEAVQALIELHNHDL GENHHLRVSFSKSTI >ENSMUSP00000127783.1 pep:known chromosome:GRCm38:10:79854629:79864771:1 gene:ENSMUSG00000006498.16 transcript:ENSMUST00000165704.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp1 description:polypyrimidine tract binding protein 1 [Source:MGI Symbol;Acc:MGI:97791] MDGIVPDIAVGTKRGSDELFSTCVSNGPFIMSSSASAANGNDSKKFKGDNRSAGVPSRVI HVRKLPSDVTEGEVISLGLPFGKVTNLLMLKGKNQAFIEMNTEEAANTMVNYYTSVAPVL RGQPIYIQFSNHKELKTDSSPNQARAQAALQAVNSVQSGNLALAASAAAVDAGMAMAGQS PVLRIIVENLFYPVTLDVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVSAQHAKLSL DGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDYTRPDLPSGDSQPSLDQTMAAAFGLSV PNVHGALAPLAIPSAAAAAAASRIAIPGLAGAGNSVLLVSNLNPERVTPQSLFILFGVYG DVQRVKILFNKKENALVQMADGSQAQLAMSHLNGHKLHGKSVRITLSKHQSVQLPREGQE DQGLTKDYGSSPLHRFKKPGSKNFQNIFPPSATLHLSNIPPSVSEDDLKSLFSSNGGVVK GFKFFQKDRKMALIQMGSVEEAVQALIELHNHDLGENHHLRVSFSKSTI >ENSMUSP00000059481.9 pep:known chromosome:GRCm38:10:79854652:79863424:1 gene:ENSMUSG00000006498.16 transcript:ENSMUST00000057343.15 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptbp1 description:polypyrimidine tract binding protein 1 [Source:MGI Symbol;Acc:MGI:97791] MDGIVPDIAVGTKRGSDELFSTCVSNGPFIMSSSASAANGNDSKKFKGDNRSAGVPSRVI HVRKLPSDVTEGEVISLGLPFGKVTNLLMLKGKNQAFIEMNTEEAANTMVNYYTSVAPVL RGQPIYIQFSNHKELKTDSSPNQARAQAALQAVNSVQSGNLALAASAAAVDAGMAMAGQS PVLRIIVENLFYPVTLDVLHQIFSKFGTVLKIITFTKNNQFQALLQYADPVSAQHAKLSL DGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDYTRPDLPSGDSQPSLDQTMAAAFGLSV PNVHGALAPLAIPSAAAAAAASRIAIPGLAGAGNSVLLVSNLNPEASTVMCSG >ENSMUSP00000131296.1 pep:known chromosome:GRCm38:10:79854665:79859014:1 gene:ENSMUSG00000006498.16 transcript:ENSMUST00000171599.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp1 description:polypyrimidine tract binding protein 1 [Source:MGI Symbol;Acc:MGI:97791] MDGQGLGPVWKKGGSSRTMMALFQVETQWMAHFPAFLSRTGRGPYRPHSADVYFSLHSIV PDIAVGTKRGSDELFSTCVSNGPFIMSSSASAANGNDSKKFKGDNRSAGVPSR >ENSMUSP00000127507.1 pep:known chromosome:GRCm38:10:79854667:79859364:1 gene:ENSMUSG00000006498.16 transcript:ENSMUST00000169483.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptbp1 description:polypyrimidine tract binding protein 1 [Source:MGI Symbol;Acc:MGI:97791] MDGIVPDIAVGTKRGSDELFSTCVSNGPFIMSSSASAANGNDSKKFKGYQPSHAEGEEPG LH >ENSMUSP00000093109.4 pep:known chromosome:GRCm38:10:79854668:79864359:1 gene:ENSMUSG00000006498.16 transcript:ENSMUST00000095457.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp1 description:polypyrimidine tract binding protein 1 [Source:MGI Symbol;Acc:MGI:97791] MDGIVPDIAVGTKRGSDELFSTCVSNGPFIMSSSASAGLPFGKVTNLLMLKGKNQAFIEM NTEEAANTMVNYYTSVAPVLRGQPIYIQFSNHKELKTDSSPNQARAQAALQAVNSVQSGN LALAASAAAVDAGMAMAGQSPVLRIIVENLFYPVTLDVLHQIFSKFGTVLKIITFTKNNQ FQALLQYADPVSAQHAKLSLDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDYTRPDLP SGDSQPSLDQTMAAAFGLSVPNVHGALAPLAIPSAAAAAAASRIAIPGLAGAGNSVLLVS NLNPERVTPQSLFILFGVYGDVQRVKILFNKKENALVQMADGSQAQLAMSHLNGHKLHGK SVRITLSKHQSVQLPREGQEDQGLTKDYGSSPLHRFKKPGSKNFQNIFPPSATLHLSNIP PSVSEDDLKSLFSSNGGVVKGFKFFQKDRKMALIQMGSVEEAVQALIELHNHDLGENHHL RVSFSKSTI >ENSMUSP00000132383.1 pep:known chromosome:GRCm38:10:79855038:79859096:1 gene:ENSMUSG00000006498.16 transcript:ENSMUST00000168683.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp1 description:polypyrimidine tract binding protein 1 [Source:MGI Symbol;Acc:MGI:97791] MSSSASAANGNDSKKFKGDNRSAGVPSRVIHVRKLPSDVTEGEVISLGLPFGKVT >ENSMUSP00000128449.1 pep:known chromosome:GRCm38:10:79858772:79859387:1 gene:ENSMUSG00000006498.16 transcript:ENSMUST00000169091.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptbp1 description:polypyrimidine tract binding protein 1 [Source:MGI Symbol;Acc:MGI:97791] XSTCVSNGPFIMSSSASAANGNDSKKFKGLPFGKVTNLLMLKGKNQAFIEMNTEEAANTM VNYYTSVAPVLRGQPIYIQFSNHKELKTDSSPNQARAQAALQAVNSV >ENSMUSP00000130749.1 pep:known chromosome:GRCm38:10:79859650:79863053:1 gene:ENSMUSG00000006498.16 transcript:ENSMUST00000165724.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ptbp1 description:polypyrimidine tract binding protein 1 [Source:MGI Symbol;Acc:MGI:97791] NNQFQALLQYADPVSAQHAKLSLDGQNIYNACCTLRIDFSKLTSLNVKYNNDKSRDYTRP DLPSGDSQPSLDQTMAAAFGAPGIMSASPYAGAGFPPTFAIPQAAGLSVPNVHGALAPLA IPSAAAAAAASRIAIPGLAGAGNSVLLVSNLNPEASTVMCSG >ENSMUSP00000113118.1 pep:known chromosome:GRCm38:11:73814118:73816880:-1 gene:ENSMUSG00000061984.5 transcript:ENSMUST00000118463.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr392 description:olfactory receptor 392 [Source:MGI Symbol;Acc:MGI:3030226] MTGNNQTFILEFLLLGLPIPSEYQLLFNALFLAMYLTTLLGNLLIILLVRLDSHLHMPMY LFLSNLSFSDLCFSSVTIPKLLQNMHSQVPTISYAGCLTQLYFFMVFGDMESFLLVAMAY DRYVAICFPLHYTSIMSTKLCVSLVLLLWMLTIFHALLHTLLTARLSFCEKNVILHFFCD LPALLKLSCSDTFVNELMIFILGGIIIIIPFLLIGMSYVRIFFSILKVPSTQGIHKVFST CGSHLSVVSLFYGTIIGLYLCPSSNNSTLKKTAMALMYTLVTPMLNPFIYSLRNRDIKRA LIRVISSKKISL >ENSMUSP00000123649.1 pep:known chromosome:GRCm38:11:73814732:73816877:-1 gene:ENSMUSG00000061984.5 transcript:ENSMUST00000144724.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr392 description:olfactory receptor 392 [Source:MGI Symbol;Acc:MGI:3030226] MTGNNQTFILEFLLLGLPIPSEYQLLFNALFLAMYLTTLLGNLLIILLVRLDSHLHMPMY LFLSNLSFSDLCFSSVTIPKLLQNMHSQVPTISYAGCLTQLYFFMVFGDMESFLLV >ENSMUSP00000079140.5 pep:known chromosome:GRCm38:17:34377132:34385776:1 gene:ENSMUSG00000062638.10 transcript:ENSMUST00000080254.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl1 description:butyrophilin-like 1 [Source:MGI Symbol;Acc:MGI:1932027] MMKGSPSVPPAGCLLPLLLLLFTGVSGEVSWFSVKGPAEPITVLLGTEATLPCQLSPEQS AARMHIRWYRAQPTPAVLVFHNGQEQGEVQMPEYRGRTQMVRQAIDMGSVALQIQQVQAS DDGLYHCQFTDGFTSQEVSMELRVIGLGSAPLVHMTGPENDGIRVLCSSSGWFPKPKVQW RDTSGNMLLSSSELQTQDREGLFQVEVSLLVTDRAIGNVICSIQNPMYDQEKSKAILLPE PFFPKTCPWKVALVCSVLILLVLLGGISLGIWKEHQVKRREIKKWSKEHEEMLLLKKGTK SVLKIRDDLQADLDRRKALYKEDWKKALLYPDWRKELFQEAPVRINYEMPDQDKTDSRTE ENRGEETVSSSQVDHNLITLSQEGFMLGRYYWEVDVKDTEEWTLGVYELCTQDASLTDPL RKFRVLEKNGDGYRALDFCSQNINSEEPLQLKTRPLKIAIFLDQEDNDLSFYNMTDETHI FSFAQVPFLGSPYPYFTRNSMGLSATAQP >ENSMUSP00000019248.6 pep:known chromosome:GRCm38:7:30865402:30879028:-1 gene:ENSMUSG00000030577.13 transcript:ENSMUST00000019248.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd22 description:CD22 antigen [Source:MGI Symbol;Acc:MGI:88322] MRVHYLWLLLILGHVASARYSSANDWTVDHPQTLFAWEGACIRIPCKYKTPLPKARLDNI LLFQNYEFDKATKKFTGTVLYNATKTEKDPESELYLSKQGRVTFLGNRIDNCTLKIHPIR ANDSGNLGLRMTAGTERWMEPIHLNVSEKPFQPYIQMPSEIRESQSVTLTCGLNFSCFGY DILLKWFLEDSEITSITSSVTSITSSVTSSIKNVYTESKLTFQPKWTDHGKSVKCQVQHS SKVLSERTVRLDVKYTPKLEIKVNPTEVEKNNSVTMTCRVNSSNPKLRTVAVSWFKDGRP LEDQELEQEQQMSKLILHSVTKDMRGKYRCQASNDIGPGESEEVELTVHYAPEPSRVHIY PSPAEEGQSVELICESLASPSATNYTWYHNRKPIPGDTQEKLRIPKVSPWHAGNYSCLAE NRLGHGKIDQEAKLDVHYAPKAVTTVIQSFTPILEGDSVTLVCRYNSSNPDVTSYRWNPQ GSGSVLKPGVLRIQKVTWDSMPVSCAACNHKCSWALPVILNVHYAPRDVKVLKVSPASEI RAGQRVLLQCDFAESNPAEVRFFWKKNGSLVQEGRYLSFGSVSPEDSGNYNCMVNNSIGE TLSQAWNLQVLYAPRRLRVSISPGDHVMEGKKATLSCESDANPPISQYTWFDSSGQDLHS SGQKLRLEPLEVQHTGSYRCKGTNGIGTGESPPSTLTVYYSPETIGKRVALGLGFCLTIC ILAIWGMKIQKKWKQNRSQQGLQENSSGQSFFVRNKKARRTPLSEGPQSQGCYNPAMDDT VSYAILRFPESDTHNTGDAGTPATQAPPPNNSDSVTYSVIQKRPMGDYENVNPSCPEDES IHYSELVQFGAGKRPQAKEDVDYVTLKH >ENSMUSP00000140528.1 pep:known chromosome:GRCm38:7:30865827:30880034:-1 gene:ENSMUSG00000030577.13 transcript:ENSMUST00000190646.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd22 description:CD22 antigen [Source:MGI Symbol;Acc:MGI:88322] MRVHYLWLLLILGHVASARYSSANDWTVDHPQTLFAWEGACIRIPCKYKTPLPKARLDNI LLFQNYEFDKATKKFTGTVLYNATKTEKDPESELYLSKQGRVTFLGNRIDNCTLKIHPIR ANDSGNLGLRMTAGTERWMEPIHLNVSEKPFQPYIQMPSEIRESQSVTLTCGLNFSCFGY DILLKWFLEDSEITSITSSVTSITSSVTSSIKNVYTESKLTFQPKWTDHGKSVKCQVQHS SKVLSERTVRLDVKYTPKLEIKVNPTEVEKNNSVTMTCRVNSSNPKLRTVAVSWFKDGRP LEDQELEQEQQMSKLILHSVTKDMRGKYRCQASNDIGPGESEEVELTVHCEFP >ENSMUSP00000103760.2 pep:known chromosome:GRCm38:7:30865827:30880065:-1 gene:ENSMUSG00000030577.13 transcript:ENSMUST00000108125.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd22 description:CD22 antigen [Source:MGI Symbol;Acc:MGI:88322] MRVHYLWLLLILGHVASARYSSANDWTVDHPQTLFAWEGACIRIPCKYKTPLPKARLDNI LLFQNYEFDKATKKFTGTVLYNATKTEKDPESELYLSKQGRVTFLGNRIDNCTLKIHPIR ANDSGNLGLRMTAGTERWMEPIHLNVSEKPFQPYIQMPSEIRESQSVTLTCGLNFSCFGY DILLKWFLEDSEITSITSSVTSITSSVTSSIKNVYTESKLTFQPKWTDHGKSVKCQVQHS SKVLSERTVRLDVKYTPKLEIKVNPTEVEKNNSVTMTCRVNSSNPKLRTVAVSWFKDGRP LEDQELEQEQQMSKLILHSVTKDMRGKYRCQASNDIGPGESEEVELTVHYAPEPSRVHIY PSPAEEGQSVELICESLASPSATNYTWYHNRKPIPGDTQEKLRIPKVSPWHAGNYSCLAE NRLGHGKIDQEAKLDVHYAPKAVTTVIQSFTPILEGDSVTLVCRYNSSNPDVTSYRWNPQ GSGSVLKPGVLRIQKVTWDSMPVSCAACNHKCSWALPVILNVHYAPRDVKVLKVSPASEI RAGQRVLLQCDFAESNPAEVRFFWKKNGSLVQEGRYLSFGSVSPEDSGNYNCMVNNSIGE TLSQAWNLQVLYAPRRLRVSISPGDHVMEGKKATLSCESDANPPISQYTWFDSSGQDLHS SGQKLRLEPLEVQHTGSYRCKGTNGIGTGESPPSTLTVYYSPETIGKRVALGLGFCLTIC ILAIWGMKIQKKWKQNRSQQGLQENSSGQSFFVRNKKARRTPLSEGPQSQGCYNPAMDDT VSYAILRFPESDTHNTGDAGTPATQAPPPNNSDSVTYSVIQKRPMGDYENVNPSCPEDES IHYSELVQFGAGKRPQAKEDVDYVTLKH >ENSMUSP00000139685.1 pep:known chromosome:GRCm38:7:30865827:30880269:-1 gene:ENSMUSG00000030577.13 transcript:ENSMUST00000186154.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd22 description:CD22 antigen [Source:MGI Symbol;Acc:MGI:88322] MRVHYLWLLLILGHVASARYSSANDWTVDHPQTLFAWEGACIRIPCKYKTPLPKARLDNI LLFQNYEFDKATKKFTGTVLYNATKTEKDPESELYLSKQGRVTFLGNRIDNCTLKIHPIR ANDSGNLGLRMTAGTERWMEPIHLNVSEKPFQPYIQMPSEIRESQSVTLTCGLNFSCFGY DILLKWFLEDSEITSITSSVTSITSSVTSSIKNVYTESKLTFQPKWTDHGKSVKCQVQHS SKVLSERTVRLDVKYTPKLEIKVNPTEVEKNNSVTMTCRVNSSNPKLRTVAVSWFKDGRP LEDQELEQEQQMSKLILHSVTKDMRGKYRCQASNDIGPGESEEVELTVHYAPEPSRVHIY PSPAEEGQSVELICESLASPSATNYTWYHNRKPIPGDTQEKLRIPKVSPWHAGNYSCLAE NRLGHGKIDQEAKLDVHYAPKAVTTVIQSFTPILEGDSVTLVCRYNSSNPDVTSYRWNPQ GSGSVLKPGVLRIQKVTWDSMPVSCAACNHKCSWALPVILNVHYAPRDVKVLKVSPASEI RAGQRVLLQCDFAESNPAEVRFFWKKNGSLVQEGRYLSFGSVSPEDSGNYNCMVNNSIGE TLSQAWNLQVLYAPRRLRVSISPGDHVMEGKKATLSCESDANPPISQYTWFDSSGQDLHS SGQKLRLEPLEVQHTGSYRCKGTNGIGTGESPPSTLTVYYSPETIGKRVALGLGFCLTIC ILAIWGMKIQKKWKQNRSQQGLQENSSGQSFFVRNKKARRTPLSEGPQSQGCYNPAMDDT VSYAILRFPESDTHNTGDAGTPATQAPPPNNSDSVTYSVIQKRPMGDYENVNPSCPEDES IHYSELVQFGAGKRPQAKEDVDYVTLKH >ENSMUSP00000140521.1 pep:known chromosome:GRCm38:7:30865829:30878449:-1 gene:ENSMUSG00000030577.13 transcript:ENSMUST00000189718.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd22 description:CD22 antigen [Source:MGI Symbol;Acc:MGI:88322] MRVHYLWLLLILGHVASARYSSANDWTVDHPQTLFAWEGACIRIPCKYKTPLPKARLDNI LLFQNYEFDKATKKFTGTVLYNATKTEKDPESELYLSKQGRVTFLGNRIDNCTLKIHPIR ANDSGNLGLRMTAGTERWMEPIHLNVSEKPFQPYIQMPSEIRESQSVTLTCGLNFSCFGY DILLKWFLEDSEITSITSSVTSITSSVTSSIKNVYTESKLTFQPKWTDHGKSVKCQVQHS SKVLSERTVRLDVKYTPKLEIKVNPTEVEKNNSVTMTCRVNSSNPKLRTVAVSWFKDGRP LEDQELEQEQQMSKLILHSVTKDMRGKYRCQASNDIGPGESEEVELTVHYAPEPSRVHIY PSPAEEGQSVELICESLASPSATNYTWYHNRKPIPGDTQEKLRIPKVSPWHAGNYSCLAE NRLGHGKIDQEAKLDVHYAPKAVTTVIQSFTPILEGDSVTLVCRYNSSNPDVTSYRWNPQ GSGSVLKPGVLRIQKVTWDSMPVSCAACNHKCSWALPVILNVHYAPRDVKVLKVSPASEI RAGQRVLLQCDFAESNPAEVRFFWKKNGSLVQEGRYLSFGSVSPEDSGNYNCMVNNSIGE TLSQAWNLQVLYAPRRLRVSISPGDHVMEGKKATLSCESDANPPISQYTWFDSSGQDLHS SGQKLRLEPLEVQHTGSYRCKGTNGIGTGESPPSTLTVYYSPETIGKRVALGLGFCLTIC ILAIWGMKIQKKWKQNRSQQGLQENSSGQSFFVRNKKARRTPLSEGPQSQGCYNPAMDDT VSYAILRFPESDTHNTGDAGTPATQAPPPNNSDSVTYSVIQKRPMGDYENVNPSCPEDES IHYSELVQFGAGKRPQAKEDVDYVTLKH >ENSMUSP00000139871.1 pep:known chromosome:GRCm38:7:30866263:30880334:-1 gene:ENSMUSG00000030577.13 transcript:ENSMUST00000190617.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd22 description:CD22 antigen [Source:MGI Symbol;Acc:MGI:88322] MRVHYLWLLLILGHVASARYSSANDWTVDHPQTLFAWEGACIRIPCKYKTPLPKARLDNI LLFQNYEFDKATKKFTGTVLYNATKTEKDPESELYLSKQGRVTFLGNRIDNCTLKIHPIR ANDSGNLGLRMTAGTERWMEPIHLNVSEKPFQPYIQMPSEIRESQSVTLTCGLNFSCFGY DILLKWFLEDSEITSITSSVTSITSSVTSSIKNVYTESKLTFQPKWTDHGKSVKCQVQHS SKVLSERTVRLDVKYTPKLEIKVNPTEVEKNNSVTMTCRVNSSNPKLRTVAVSWFKDGRP LEDQELEQEQQMSKLILHSVTKDMRGKYRCQASNDIGPGESEEVELTVHYAPEPSRVHIY PSPAEEGQSVELICESLASPSATNYTWYHNRKPIPGDTQEKLRIPKVSPWHAGNYSCLAE NRLGHGKIDQEAKLDVHYAPKAVTTVIQSFTPILEGDSVTLVCRYNSSNPDVTSYRWNPQ GSGSVLKPGVLRIQKVTWDSMPVSCAACNHKCSWALPVILNVHYAPRDVKVLKVSPASEI RAGQRVLLQCDFAESNPAEVRFFWKKNGSLVQEGRYLSFGSVSPEDSGNYNCMVNNSIGE TLSQAWNLQVLYAPRRLRVSISPGDHVMEGKKATLSCESDANPPISQYTWFDSSGQDLHS SGQKLRLEPLEVQHTGSYRCKGTNGIGTGESPPSTLTVYYSPETIGKRVALGLGFCLTIC ILAIWGMKIQKKWKQNRSQQGLQENSSGQSFFVRNKKARRTPLSEGPQSQGCYNPAMDDT VSYAILRFPESDTHNTGDAGTPATQAPPPNNSDSVTYSVIQKRPMGDYENVNPSCPEDES IHYSELVQFGAGKRPQAKEDVDYVTLKH >ENSMUSP00000141178.1 pep:known chromosome:GRCm38:7:30866289:30880279:-1 gene:ENSMUSG00000030577.13 transcript:ENSMUST00000187989.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd22 description:CD22 antigen [Source:MGI Symbol;Acc:MGI:88322] MRVHYLWLLLILVSPSPTSSGSSHPSVTTSDQSCGL >ENSMUSP00000140357.1 pep:known chromosome:GRCm38:7:30870492:30873419:-1 gene:ENSMUSG00000030577.13 transcript:ENSMUST00000190455.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd22 description:CD22 antigen [Source:MGI Symbol;Acc:MGI:88322] XTPKLEIKVNPTEVEKNNSVTMTCRVNSSNPKLRTVAVSWFKDGRPLEDQELEQEQQMSK LILHSVTKDMRGKYRCQASNDIGPGESEEVELTVH >ENSMUSP00000140450.1 pep:known chromosome:GRCm38:7:30877439:30880261:-1 gene:ENSMUSG00000030577.13 transcript:ENSMUST00000188157.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd22 description:CD22 antigen [Source:MGI Symbol;Acc:MGI:88322] MRVHYLWLLLILGHVASARYSSANDWTVDHPQTLFAWEGACIRIPCKYKTPLPKARLDNI LLFQNYEFDKATKKFTGTVLYNATKTEKDPESELYLSKQGRVTFLGNRIDNCTLKIHPIR ANDSGNLGLRMTAGTERWMEPIHLNVS >ENSMUSP00000140014.1 pep:known chromosome:GRCm38:7:30877734:30880268:-1 gene:ENSMUSG00000030577.13 transcript:ENSMUST00000190753.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd22 description:CD22 antigen [Source:MGI Symbol;Acc:MGI:88322] MRVHYLWLLLILGHVASARYSSANDWTVDHPQTLFAWEGACIRIPCKYK >ENSMUSP00000129762.1 pep:known chromosome:GRCm38:17:20208230:20234872:-1 gene:ENSMUSG00000091670.1 transcript:ENSMUST00000167382.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r105 description:vomeronasal 2, receptor 105 [Source:MGI Symbol;Acc:MGI:3646973] MFSWIFIPLFLQIPNFFCVFISYNISKCYYIIAEDFHHKGDVVIGAFFPIHTYHTMNKSC DIKAIFLLLILCHLHRYNFKSYQYILALLFAIEEINGNPNLLPNISLGFDFYNVRFTEKD TLMNVCMWLTAHREKFILPNYNCEKKYFTAALTGTSWTISAQIGTLFQLFKFPQLSFGPY DPILSDRNQYSFLYQIAPKSSSLSLGIVSLMVHFRWSWVGLILPDDHKGNKILSDFKNEM ERKGICIAFVKMIPATWSSHLNQFWKNIDETNVIIIYGDIDSLEGLMRNIRQRLLTGKVW VMNIEPHFTDYADYFFLDSFHGSLIFTYHYSERFEFTNFIQTVNPYKYPEDIYLPKLWHL FFKCSFSDNGCQLLNNCQFNASLDALPRHIFDESMSDESTSIYNAVYAVAHSLHELTLQQ VQMEPYENGEETLFFPWQLNSFLKDIEVGGQMRLDWRQEVNVKYDILNIWNLPKGLGRKV KIGSFSPNAPQGQQLSLTEQIIQWPEEFSEIPRSVCSESCGHGFRKVILEGNAVCCYKCT PCAHNEISNKTDEDQCMKCPDSHYANTEKNYCLKKAVSFLAYEDLLGMILASIALCLSAL TVFVIGIFVKNRHTPIVKANNQALSYILLITLTFCFLCSLTFIGQPNKNTCILQQTTFGV AFTAALATVLAKAITVVIAFKATFPGRIVRWLMISRAPNYIIPICTLIQVGFCGTWMATS PPFIDQDAHTEHGHIIIFCNKGSSVAFHCVLGYLCFLALCGYTVAFLSRTLPDTFNESKF LSFSLLVFFCVWVTFLPVYHSTKGKFMVATEIFSILASSTALLGFIFGPKCYIVLLRPDE NTFNYIRNKIHSRKNKSQKT >ENSMUSP00000023589.8 pep:known chromosome:GRCm38:16:23058344:23080006:1 gene:ENSMUSG00000022875.17 transcript:ENSMUST00000023589.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kng1 description:kininogen 1 [Source:MGI Symbol;Acc:MGI:1097705] MKLITTLLLCSGLLLTLTQGEEAQEIDCNDEAVFQAVDFSLKQFNPGVKSGNQYMLHRVI EGTKTDGSPTFYSFKYLIKEGNCSAQSGLAWQDCDFKDAEEAATGECTATVGKRENEFFI VTQTCKIAPSKAPILKAYFPCIGCVHAISTDSPDLEPVLKHSIEHFNNNTDHSHLFTLRK VKSAHRQVVAGLNFDITYTIVQTNCSKERFPSLHGDCVALPNGDDGECRGNLFMDINNKI ANFSQSCTLYSGDDLVEALPKPCPGCPRDIPVDSPELKEVLGHSIAQLNAENDHPFYYKI DTVKKATSQVVAGTKYVIEFIARETKCSKESNTELAEDCEIKHLGQSLDCNANVYMRPWE NKVVPTVKCQALDMTEMARRPPGFSPFRSVTVQETKEGRTVSPPYIAREQEERDAETEQG PTHGHGWLHEKQIKANKNHRGHKHGHDHGHWSPRRHGLGHGHQKPHGLGHGHQLKLDYLR HQREDGDDHTHTVGHGHGHGHGHGHGHGHGHGHGHGHGHGHGHGKHTNKDKNSVKQTTQR TESLASSSEYSTTSTQMQGRTEGPTLTPPRAQPTVTSSGFQDSDFIEDVVATTPPYDTGA HDDLIPDIHVQPDSLSFKLISDFPEATSPKCPGRPWKPASWKDPNTETTEFSDFDLLDAL S >ENSMUSP00000040485.6 pep:known chromosome:GRCm38:16:23058250:23082068:1 gene:ENSMUSG00000022875.17 transcript:ENSMUST00000039492.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kng1 description:kininogen 1 [Source:MGI Symbol;Acc:MGI:1097705] MKLITTLLLCSGLLLTLTQGEEAQEIDCNDEAVFQAVDFSLKQFNPGVKSGNQYMLHRVI EGTKTDGSPTFYSFKYLIKEGNCSAQSGLAWQDCDFKDAEEAATGECTATVGKRENEFFI VTQTCKIAPSKAPILKAYFPCIGCVHAISTDSPDLEPVLKHSIEHFNNNTDHSHLFTLRK VKSAHRQVVAGLNFDITYTIVQTNCSKERFPSLHGDCVALPNGDDGECRGNLFMDINNKI ANFSQSCTLYSGDDLVEALPKPCPGCPRDIPVDSPELKEVLGHSIAQLNAENDHPFYYKI DTVKKATSQVVAGTKYVIEFIARETKCSKESNTELAEDCEIKHLGQSLDCNANVYMRPWE NKVVPTVKCQALDMTEMARRPPGFSPFRSVTVQETKEGRTRLLRACEYKGRLSKAGAEPA PERQAESSQVKQ >ENSMUSP00000087346.6 pep:known chromosome:GRCm38:16:23058393:23080006:1 gene:ENSMUSG00000022875.17 transcript:ENSMUST00000089902.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kng1 description:kininogen 1 [Source:MGI Symbol;Acc:MGI:1097705] MKLITTLLLCSGLLLTLTQGEEAQEIDCNDEAVFQAVDFSLKQFNPGVKSGNQYMLHRVI EGTKTDGSPTFYSFKYLIKEGNCSAQSGLAWQDCDFKDAEEAATGECTATVGKRENEFFI VTQTCKIAPSKAPILKAYFPCIGCVHAISTDSPDLEPVLKHSIEHFNNNTDHSHLFTLRK VKSAHRQVVAGLNFDITYTIVQTNCSKERFPSLHGDCVALPNGDDGECRGNLFMDINNKI ANFSQSCTLYSGDDLVEALPKPCPGCPRDIPVDSPELKEVLGHSIAQLNAENDHPFYYKI DTVKKATSQVVAGTKYVIEFIARETKCSKESNTELAEDCEIKHLGQSLDCNANVYMRPWE NKVVPTVKCQALDMTEMARRPPGFSPFRSVTVQETKEGRTDSDFIEDVVATTPPYDTGAH DDLIPDIHVQPDSLSFKLISDFPEATSPKCPGRPWKPASWKDPNTETTEFSDFDLLDALS >ENSMUSP00000121701.1 pep:known chromosome:GRCm38:16:23057865:23067869:1 gene:ENSMUSG00000022875.17 transcript:ENSMUST00000125790.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kng1 description:kininogen 1 [Source:MGI Symbol;Acc:MGI:1097705] MLHRVIEGTKTDGSPTFYSFKYLIKEGNCSAQSGLAWQDCDFKDAEEAATGECTATVGKR ENEFFIVTQTCKIAPSKAPILKAYFPCIGCVHAISTDSPDLEPVLKHSIEHFNNNTDHSH LFTLRKVKSAHRQV >ENSMUSP00000004136.8 pep:known chromosome:GRCm38:3:107963696:107969283:-1 gene:ENSMUSG00000004038.9 transcript:ENSMUST00000004136.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm3 description:glutathione S-transferase, mu 3 [Source:MGI Symbol;Acc:MGI:106026] MPMTLGYWNTRGLTHSIRLLLEYTDSSYEEKRYVMGDAPNFDRSQWLSEKFNLGLDFPNL PYLIDGSHKVTQSNAILRYLGRKHNLCGETEEERIRVDTLENQVMDTRIQLMIVCCSPDF EKQKPEFLKAIPEKMKLYSEFLGKRPWFAGDKVTYVDFLAYDILDQYRMFEPKCLDAFPN LRDFLARFEGLKKISAYMKSSRFLPRPVFTKIAQWGTD >ENSMUSP00000085862.5 pep:known chromosome:GRCm38:17:24440087:24443105:-1 gene:ENSMUSG00000024136.14 transcript:ENSMUST00000088506.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1l2 description:deoxyribonuclease 1-like 2 [Source:MGI Symbol;Acc:MGI:1913955] MGWPWAPLTAVWALGVMGATALRIGAFNVQSFGDNKVSDPDCGSVIAQILAGYDIALVQE VRDPDLSAVSLLMEQINRVSKHEYGFVSSKPLGRDQYKEMYLFVYRKDVASVVSTYQYPD PEDAFSREPFVVKFSVPSCATKELVLIPLHAAPHQAVAEIDALYDVYLDVIDKWNTDDML FLGDFNADCKYVKAHDWPSIRLRSSEVFKWLIPDSADTTVGNSDCAYDRIVVSGAHLRRS LKPHSASVHNFQEEFDLDQTQALAISDHFPVEVTFKTH >ENSMUSP00000113508.1 pep:known chromosome:GRCm38:17:24440565:24443084:-1 gene:ENSMUSG00000024136.14 transcript:ENSMUST00000119932.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1l2 description:deoxyribonuclease 1-like 2 [Source:MGI Symbol;Acc:MGI:1913955] MGWPWAPLTAVWALGVMGATALRIGAFNVQSFGDNKVSDPDCGSVIAQILAGYDIALVQE VRDPDLSAVSLLMEQINRVSKHEYGFVSSKPLGRDQYKEMYLFVYRKDVASVVSTYQYPD PEDAFSREPFVVKFSVPSCATKELVLIPLHAAPHQAVAEIDALYDVYLDVIDKWNTDDML FLGDFNADCKYVKAHDWPSIRLRSSEVFKWLIPDSADTTVGNSDCAYDRIVVSGAHLRRS LKPHSASVHNFQEEFDLDQTQALAISDHFPVEVTFKTH >ENSMUSP00000117477.1 pep:known chromosome:GRCm38:17:24440774:24442152:-1 gene:ENSMUSG00000024136.14 transcript:ENSMUST00000129401.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnase1l2 description:deoxyribonuclease 1-like 2 [Source:MGI Symbol;Acc:MGI:1913955] XKDVASVVSTYQYPDPEDAFSREPFVVKFSVPSCGHAVSG >ENSMUSP00000116743.1 pep:known chromosome:GRCm38:17:24441521:24442701:-1 gene:ENSMUSG00000024136.14 transcript:ENSMUST00000154675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnase1l2 description:deoxyribonuclease 1-like 2 [Source:MGI Symbol;Acc:MGI:1913955] MEQINRVSKHEYGFVSSKPLGRDQYKEMYLFVYRKDVASVVSTYQYPDPEDAFSREPFVV KFSVPSCATKELVLIPLHAAPHQAVAEIDALYDVYLDVIDKWNTDDMLFLGDFNADCKYV KAHDWPSIRLRSSEVFKWLIPDSADTTVGNSDCAYDRIVVSGAHLRRSLKPHSASVHNFQ >ENSMUSP00000119453.1 pep:known chromosome:GRCm38:17:24441719:24443104:-1 gene:ENSMUSG00000024136.14 transcript:ENSMUST00000148820.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dnase1l2 description:deoxyribonuclease 1-like 2 [Source:MGI Symbol;Acc:MGI:1913955] MGWPWAPLTAVWALGVMGATALRIGAFNVQSFGDNKVSDPDCGSVIAQILAGYDIALVQE SVQARVRLCEQQATRT >ENSMUSP00000069912.1 pep:known chromosome:GRCm38:13:48513570:48514859:1 gene:ENSMUSG00000053181.1 transcript:ENSMUST00000065465.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A830005F24Rik description:RIKEN cDNA A830005F24 gene [Source:MGI Symbol;Acc:MGI:3045249] MGKHCTQKQWRNISDVDNKRSEQRTPLVRNRSGTEQRRETRARPGGQPETKPGPWGNQGS FSSKDSTKDQRNPQRFSGSFLGRSPNTDSTRHTAVPSQGKVPGKMSVGIKGVRNNTSSYE AIKPSELYIIPGNGFILIGRQDGAPEPFLFHLGKCKTKPRKDQIVYK >ENSMUSP00000117418.1 pep:known chromosome:GRCm38:8:4238865:4251423:1 gene:ENSMUSG00000109061.1 transcript:ENSMUST00000145165.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map2k7 description:mitogen-activated protein kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346871] MAASSLEQKLSRLEAKLKQENREARRRIDLNLDISPQRPRPIIVITLSPAPAPSQRAALQ LPLANDGGSRSPSSESSPQHPTPPTRPRHMLGLPSTLFTPRSMESIEIDQKLQEIMKQTG YLTIGGQRYQAEINDLENLGEMGSGTCGQVWKMRFRKTGHIIAVKQMRRSGNKEENKRIL MDLDVVLKSHDCPYIVQCFGTFITNTDVFIAMELMGTCAEKLKKRMQGPIPERILGKMTV AIVKALYYLKEKHGVIHRDVKPSNILLDERGQIKLCDFGISGRLVDSKAKTRSAGCAAYM APERIDPPDPTKPDYDIRADVWSLGISLVELATGQFPYKNCKTDFEVLTKVLQEEPPLLP GHMGFSGDFQSFVKDCLTKDHRKRPKYNKLLEHSFIKHYEILEVDVASWFKDVMAKTESP RTSGVLSQHHLPFFSTSVTWGAWPLAAQTPFQSGVIRCRGRVPSPRRATGGSGGQPCVCA GGPGPSFTEMGPSPSPMLSNTFFTPDPGACPGASTWGLPRRRLCQLLTTSTPGCC >ENSMUSP00000125587.2 pep:known chromosome:GRCm38:5:14933221:14938429:-1 gene:ENSMUSG00000091255.1 transcript:ENSMUST00000159973.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4e description:spermatogenesis associated glutamate (E)-rich protein 4e [Source:MGI Symbol;Acc:MGI:2668871] MALFARLCRLFQRANVDGSDTREGRKDADLPSERNEGRSRGTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEAKETKQELKKETHFYRNLHSRLLMEENLIKKKLMTLQQESKEVQADWA IIHQCLLELNLSGKDEQENSNLETPEYQVSEAARELGLATAEEDSILQNELPGQEAPAEH HLQHPQSSSDESSSI >ENSMUSP00000108905.1 pep:known chromosome:GRCm38:17:47815328:47833241:-1 gene:ENSMUSG00000032717.14 transcript:ENSMUST00000113280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfi description:MyoD family inhibitor [Source:MGI Symbol;Acc:MGI:107687] MSQVSGQCPSRCDAPHGVPSAALDPGQPQGNPQGCTPLLPNGSSHDHLSEPGSAGHAGNG ALGGSKAHRKLQTHPSLGSQAGRKSRGSARSASQVPLQAQEDCCVHCILSCLFCEFLTLC NILLDCATCGSCSSEDSCLCCCCCGSGECADCDLPCDLDCGIVDACCESADCLEICMECC GLCFSS >ENSMUSP00000069915.6 pep:known chromosome:GRCm38:17:47815328:47834691:-1 gene:ENSMUSG00000032717.14 transcript:ENSMUST00000066368.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfi description:MyoD family inhibitor [Source:MGI Symbol;Acc:MGI:107687] MSQVSGQCPSRCDAPHGVPSAALDPAQTMSLLPGLEVARSTHPVEASSEEGFPEEAAPSM PHDSGLRAQQALNSIDLDVPTEAVTCQPQGNPQGCTPLLPNGSSHDHLSEPGSAGHAGNG ALGGSKAHRKLQTHPSLGSQAGRKSRGSARSASQVPLQAQEDCCVHCILSCLFCEFLTLC NILLDCATCGSCSSEDSCLCCCCCGSGECADCDLPCDLDCGIVDACCESADCLEICMECC GLCFSS >ENSMUSP00000037888.7 pep:known chromosome:GRCm38:17:47815336:47833291:-1 gene:ENSMUSG00000032717.14 transcript:ENSMUST00000035375.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfi description:MyoD family inhibitor [Source:MGI Symbol;Acc:MGI:107687] MSQVSGQCPSRCDAPHGVPSAALDPAQTMSLLPGLEVARSTHPVEASSEEGFPEEAAPSM PHDSGLRAQQALNSIDLDVPTEAVTCQPQGNPQGCTPLLPNGSSHDHLSEPGSAGHAGNG ALGGSKAHRKLQTHPSLGSQAGRKSRGSARSASQVPLQAQEDCCVHCILSCLFCEFLTLC NILLDCATCGSCSSEDSCLCCCCCGSGECADCDLPCDLDCGIVDACCESADCLEICMECC GLCFSS >ENSMUSP00000120454.1 pep:known chromosome:GRCm38:17:47816039:47833169:-1 gene:ENSMUSG00000032717.14 transcript:ENSMUST00000131971.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfi description:MyoD family inhibitor [Source:MGI Symbol;Acc:MGI:107687] MSQVSGQCPSRCDAPHGVPSAALDPGQPQGNPQGCTPLLPNGSSHDHLSEPGSAGHAGNG ALGGSKAHRKLQTHPSLGSQAGRKSRGSARSASQVPLQAQEDCCVHCILSCLFCEFLTLC NILLDCATCGSCSSEDSCLCCCC >ENSMUSP00000117665.1 pep:known chromosome:GRCm38:17:47820837:47833272:-1 gene:ENSMUSG00000032717.14 transcript:ENSMUST00000152455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfi description:MyoD family inhibitor [Source:MGI Symbol;Acc:MGI:107687] MSQVSGQCPSRCDAPHGVPSAALDPAQTMSLLPGLEVARSTHPVEASSEEGFPEEAAPSM PHDSGLRAQQALNSIDLDVPTEAVTCQPQGNPQGCTPLLPNGSSHDHLSEPGSAGHAGNG ALGGSKAHRKLQTHPSLGSQAGRKSRGSARSAS >ENSMUSP00000114581.1 pep:known chromosome:GRCm38:17:47820926:47833245:-1 gene:ENSMUSG00000032717.14 transcript:ENSMUST00000132125.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfi description:MyoD family inhibitor [Source:MGI Symbol;Acc:MGI:107687] MSQVSGQCPSRCDAPHGVPSAALDPAQTMSLLPGLEVARSTHPVEASSEEGFPEEAAPSM PHDSGLRAQQALNSIDLDVPTEAVTCQPQGNPQGCTPLLPNGSSHDHLSEPGSAGHAGNG ALGG >ENSMUSP00000114930.1 pep:known chromosome:GRCm38:17:47824599:47833230:-1 gene:ENSMUSG00000032717.14 transcript:ENSMUST00000129360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdfi description:MyoD family inhibitor [Source:MGI Symbol;Acc:MGI:107687] MSQVSGQCPSRCDAPHGVPSAALDPAQTMSLLPGLEVARSTHPVEASSEEGFPEEAAPSM PHDSGLRA >ENSMUSP00000039071.6 pep:known chromosome:GRCm38:5:34173887:34187710:-1 gene:ENSMUSG00000037235.13 transcript:ENSMUST00000042701.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxd4 description:Max dimerization protein 4 [Source:MGI Symbol;Acc:MGI:104991] MELNSLLLLLEAAEYLERRDREAEHGYASVLPFDGDFARKKTKTAGLVRKAPNNRSSHNE LEKHRRAKLRLYLEQLKQLVPLGPDSTRHTTLSLLKRAKMHIKKLEEQDRRALSIKEQLQ REHRFLKRRLEQLSVQSVERVRTDSTGSAVSTDDSEQEVDIEGMEFGPGELDSAGSSSDA DDHYSLQSSGCSDSSYGHPCRRPGCPGLS >ENSMUSP00000113300.1 pep:known chromosome:GRCm38:5:34176579:34187720:-1 gene:ENSMUSG00000037235.13 transcript:ENSMUST00000119171.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mxd4 description:Max dimerization protein 4 [Source:MGI Symbol;Acc:MGI:104991] MELNSLLLLLEAAEYLERRDREAEHGYASVLPFDGDFARKKTKTAGLVRKAPNNRRAKLR LYLEQLKQLVPLGPDSTRHTTLSLLKRAKMHIKKLEEQDRRALSIKEQLQREHRFLKRRL EQLSVQSVERVRTDSTGSAVSTDDSEQEVDIEGMEFGPGELDSAGSSSDADDHYSLQSSG CSDSSYGHPCRRPGCPGLS >ENSMUSP00000026325.1 pep:known chromosome:GRCm38:X:67919864:67921450:-1 gene:ENSMUSG00000025288.1 transcript:ENSMUST00000026325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4933436I01Rik description:RIKEN cDNA 4933436I01 gene [Source:MGI Symbol;Acc:MGI:1914030] MDKLEESAEDTDSWTSHTNLTKNKIFLKPVSRGSGKNYTEYICISSEQNVQVLKRVKKSQ KKRPKGKFWEKHTTPLCKPTEEGGGTPHPGKNKRQKKKRKTRHERNKMLQDKLKMLWGQY AQLQSEINILLDQYSHLPDGVKTKCNHHKNRMHKNRNTPCGQDKHLKKLVITQFDPDKQV KEEISRHYYQDRREKEDKIKLLSHDQQGQEERITHFEQKKHVKRNTKLYRNKRVKKKMIR HYYQDKYAKEDKIKLLSQDQQEQEERITHFDQNKEVERNTQFYQGKQEKEKTIRHYYQDR HAKEHKITLFIYDQQGQEDVITHLDQNNQEERNTLFDHGNQVKEERIKFCFPNKKVDEER TTFCSPEKQENGEIPVPFYQHTPLHGGMTILQLHEQVAGDYAQEASTDARMHSWDNYLHC LYYTDISQL >ENSMUSP00000072566.4 pep:known chromosome:GRCm38:7:9205546:9223653:-1 gene:ENSMUSG00000066828.5 transcript:ENSMUST00000072787.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r37 description:vomeronasal 2, receptor 37 [Source:MGI Symbol;Acc:MGI:1316711] MFIFMEVFFLLNITLLMANFIDPRCFWRINLDEIMDEYLGLSCAFILAAVQTPIENDYFN KTLNVLKTTKNHKYALALVFAMDEINRNPDLLPNMSLIIRYTLGRCDGKTVIPTPYLFRK KKESPIPNYFCNEETMCSYLLTGPHWEVSLGFWKHMNSFLSPRILQLTYGPFHSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYFSWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDVSFPQNTEMYYNQIVMSSTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTRKKDISHGTFYGSLTFLPHHGVISGFKNFVQTWFHLRNTDLYLVMQEWKYFNY EDSASTCKILKNNSSNASFDWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIDNGKGASSHCLKVNSFLRRIYFTNPPGDKVFMKQRVIMHDEYDIVHFVNLSQHLGIKM KLGKFSPYLPHGRHSHLYVDRIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETTVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMSCFLCSFFFIGLPNRAI CVLQQITFGIVFTMAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQC VLCAIWLAVSPPFVDIDEHTLHGHIIIVCNKGSVTAFYCILGYLACLALGNFSVAFLAKN LPDTFNEAKFLTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGILGCIFVPK IYIILMRPERNSTQKIREKSYF >ENSMUSP00000106626.2 pep:known chromosome:GRCm38:8:4238740:4247896:1 gene:ENSMUSG00000002948.19 transcript:ENSMUST00000110998.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k7 description:mitogen-activated protein kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346871] MAASSLEQKLSRLEAKLKQENREARRRIDLNLDISPQRPRPTLQLPLANDGGSRSPSSES SPQHPTPPTRPRHMLGLPSTLFTPRSMESIEIDQKLQEIMKQTGYLTIGGQRYQAEINDL ENLGEMGSGTCGQVWKMRFRKTGHIIAVKQMRRSGNKEENKRILMDLDVVLKSHDCPYIV QCFGTFITNTDVFIAMELMGTCAEKLKKRMQGPIPERILGKMTVAIVKALYYLKEKHGVI HRDVKPSNILLDERGQIKLCDFGISGRLVDSKAKTRSAGCAAYMAPERIDPPDPTKPDYD IRADVWSLGISLVELATGQFPYKNCKTDFEVLTKVLQEEPPLLPGHMGFSGDFQSFVKDC LTKDHRKRPKYNKLLEHSFIKHYEILEVDVASWFKDVMAKTESPRTSGVLSQHHLPFFR >ENSMUSP00000054512.4 pep:known chromosome:GRCm38:8:4238761:4247897:1 gene:ENSMUSG00000002948.19 transcript:ENSMUST00000062686.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k7 description:mitogen-activated protein kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346871] MAASSLEQKLSRLEAKLKQENREARRRIDLNLDISPQRPRPIIVITLSPAPAPSQRAALQ LPLANDGGSRSPSSESSPQHPTPPTRPRHMLGLPSTLFTPRSMESIEIDQKLQEIMKQTG YLTIGGQRYQAEINDLENLGEMGSGTCGQVWKMRFRKTGHIIAVKQMRRSGNKEENKRIL MDLDVVLKSHDCPYIVQCFGTFITNTDVFIAMELMGTCAEKLKKRMQGPIPERILGKMTV AIVKALYYLKEKHGVIHRDVKPSNILLDERGQIKLCDFGISGRLVDSKAKTRSAGCAAYM APERIDPPDPTKPDYDIRADVWSLGISLVELATGQFPYKNCKTDFEVLTKVLQEEPPLLP GHMGFSGDFQSFVKDCLTKDHRKRPKYNKLLEHSFIKHYEILEVDVASWFKDVMAKTESP RTSGVLSQHHLPFFR >ENSMUSP00000003027.7 pep:known chromosome:GRCm38:8:4238829:4247897:1 gene:ENSMUSG00000002948.19 transcript:ENSMUST00000003027.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k7 description:mitogen-activated protein kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346871] MAASSLEQKLSRLEAKLKQENREARRRIDLNLDISPQRPRPIIVITLSPAPAPSQRAALQ LPLANDGGSRSPSSESSPQHPTPPTRPRHMLGLPSTLFTPRSMESIEIDQKLQEIMKQTG YLTIGGQRYQAEINDLENLGEMGSGTCGQVWKMRFRKTGHIIAVKQMRRSGNKEENKRIL MDLDVVLKSHDCPYIVQCFGTFITNTDVFIAMELMGTCAEKLKKRMQGPIPERILGKMTV AIVKALYYLKEKHGVIHRDVKPSNILLDERGQIKLCDFGISGRLVDSKAKTRSAGCAAYM APERIDPPDPTKPDYDIRADVWSLGISLVELATGQFPYKNCKTDFEVLTKVLQEEPPLLP GHMGFSGDFQSFVKDCLTKDHRKRPKYNKLLEHSFIKHYEILEVDVASWFKDVMAKTESP RTSGVLSQHHLPFFSGSLEESPTSPPSPKSFPLSPAIPQAQAEWVSGR >ENSMUSP00000106627.1 pep:known chromosome:GRCm38:8:4238829:4247897:1 gene:ENSMUSG00000002948.19 transcript:ENSMUST00000110999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k7 description:mitogen-activated protein kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346871] MAASSLEQKLSRLEAKLKQENREARRRIDLNLDISPQRPRPTLQLPLANDGGSRSPSSES SPQHPTPPTRPRHMLGLPSTLFTPRSMESIEIDQKLQEIMKQTGYLTIGGQRYQAEINDL ENLGEMGSGTCGQVWKMRFRKTGHIIAVKQMRRSGNKEENKRILMDLDVVLKSHDCPYIV QCFGTFITNTDVFIAMELMGTCAEKLKKRMQGPIPERILGKMTVAIVKALYYLKEKHGVI HRDVKPSNILLDERGQIKLCDFGISGRLVDSKAKTRSAGCAAYMAPERIDPPDPTKPDYD IRADVWSLGISLVELATGQFPYKNCKTDFEVLTKVLQEEPPLLPGHMGFSGDFQSFVKDC LTKDHRKRPKYNKLLEHSFIKHYEILEVDVASWFKDVMAKTESPRTSGVLSQHHLPFFSG SLEESPTSPPSPKSFPLSPAIPQAQAEWVSGR >ENSMUSP00000106622.1 pep:known chromosome:GRCm38:8:4240105:4246108:1 gene:ENSMUSG00000002948.19 transcript:ENSMUST00000110994.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k7 description:mitogen-activated protein kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346871] MLGLPSTLFTPRSMESIEIDQKLQEIMKQTGYLTIGGQRYQAEINDLENLGEMGSGTCGQ VWKMRFRKTGHIIAVKQMRRSGNKEENKRILMDLDVVLKSHDCPYIVQCFGTFITNTDVF IAMELMGTCAEKLKKRMQGPIPERILGKMTVAIVKALYYLKEKHGVIHRDVKPSNILLDE RGQIKLCDFGISGRLVDSKAKTRSAGCAAYMAPERIDPPDPTKPDYDIRADVWSLGISLV ELATGQFPYKNCKTDFEVLTKVLQEEPPLLPGHMGFSGDFQSFVKDCLTKDHRKRPKYNK LLEHSFIKHYEILEVDVASWFKDVMAKTESPRTSGVLSQHHLPFFR >ENSMUSP00000106623.1 pep:known chromosome:GRCm38:8:4240105:4247897:1 gene:ENSMUSG00000002948.19 transcript:ENSMUST00000110995.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k7 description:mitogen-activated protein kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346871] MLGLPSTLFTPRSMESIEIDQKLQEIMKQTGYLTIGGQRYQAEINDLENLGEMGSGTCGQ VWKMRFRKTGHIIAVKQMRRSGNKEENKRILMDLDVVLKSHDCPYIVQCFGTFITNTDVF IAMELMGTCAEKLKKRMQGPIPERILGKMTVAIVKALYYLKEKHGVIHRDVKPSNILLDE RGQIKLCDFGISGRLVDSKAKTRSAGCAAYMAPERIDPPDPTKPDYDIRADVWSLGISLV ELATGQFPYKNCKTDFEVLTKVLQEEPPLLPGHMGFSGDFQSFVKDCLTKDHRKRPKYNK LLEHSFIKHYEILEVDVASWFKDVMAKTESPRTSGVLSQHHLPFFSGSLEESPTSPPSPK SFPLSPAIPQAQAEWVSGR >ENSMUSP00000106624.1 pep:known chromosome:GRCm38:8:4243264:4245841:1 gene:ENSMUSG00000002948.19 transcript:ENSMUST00000110996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k7 description:mitogen-activated protein kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346871] MLTPFMPLVFNSPALQLPLANDGGSRSPSSESSPQHPTPPTRPRHMLGLPSTLFTPRSME SIEIDQKLQEIMKQTGYLTIGGQRYQAEINDLENLGEMGSGTCGQVWKMRFRKTGHIIAV KQMRRSGNKEENKRILMDLDVVLKSHDCPYIVQCFGTFITNTDVFIAMELMGTCAEKLKK RMQGPIPERILGKMTVAIVKALYYLKEKHGVIHRDVKPSNILLDERGQIKLCDFGISGRL VDSKAKTRSAGCAAYMAPERIDPPDPTKPDYDIRADVWSLGISLVELATGQFPYKNCKTD FEVLTKVLQEEPPLLPGHMGFSGDFQSFVKDCLTKDHRKRPKYNKLLEHSFIKHYEILEV DVASWFKDVMAKTESPRTSGVLSQHHLPFFR >ENSMUSP00000146485.1 pep:known chromosome:GRCm38:8:4244457:4246177:1 gene:ENSMUSG00000002948.19 transcript:ENSMUST00000129866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map2k7 description:mitogen-activated protein kinase kinase 7 [Source:MGI Symbol;Acc:MGI:1346871] KHGVIHRDVKPSNILLDERGQIKLCDFGISGRLVDSKAKTRSAGCAAYMAPERIDPPDPT KPDYDIRADVWSLGISLPY >ENSMUSP00000030033.4 pep:known chromosome:GRCm38:4:48663514:48673502:1 gene:ENSMUSG00000028348.7 transcript:ENSMUST00000030033.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Murc description:muscle-related coiled-coil protein [Source:MGI Symbol;Acc:MGI:1915266] MEHNGSASNAGKIHQNRLSSVTEDEDQDAALTIVTVLDRVASVVDSVQASQKRIEERHRE MGNAIKSVQIDLLKLSQSHSNTGYVVNKLFEKTRKVSAHIKDVKARVEKQQVRVTKVETK QEEIMKKNKFRVVIFQEDIPCPASLSVVKDRSLPENQEEAEEVFDPPIELSSDEEYYVEE SRSARLRKSGKEHIDHIKKAFSRENMQKTRQTLDKKVSGIRTRIVTPERRERLRQSGERL RQSGERLRQSGERFKKSISSAAPSKEAFKIRSLRKAKDPKAEGQEVDRGMGVDIISGSLA LGPIHEFHSDEFSETEKEVTKGGYSPQEGGDPPTPEPLKVTFKPQVRVEDDESLLLELKQ SS >ENSMUSP00000126534.1 pep:known chromosome:GRCm38:17:20267547:20285430:-1 gene:ENSMUSG00000091656.1 transcript:ENSMUST00000167464.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r106 description:vomeronasal 2, receptor 106 [Source:MGI Symbol;Acc:MGI:3647787] MLYWTFILWFLQIPKFVSAIMTVNISKCYYKTTEDFHHEGDVMIGAFFPIHTYYSAKKIP HPFLPYYYLDNHIQYNFKNYQYILALLFAIEEINGNPNILPNISLGFDFYNVRFTEKDTL MNAFNWFTNRRKKKILPNYNCNKKKFSAALTGTSWTTSAQISTLFQLFKFPQLSYGPYDP ILSDRSQYFSLYQMAPKYTSLSHGIVSLMVHFRWSWVGLILPDDHKGNKILPEIKEDMQR KGVCIAFVKMIPATWTSHFSKFWENMDEVNVIIIYGDIDSLEILMRNIGQRLLTLKVWVL NIEPHVTDYADYFLLDSFHGSLIFTHHYRKNFEFTNFIQTVNPYKYPEDIYLPKLWHLFF KCSFSDIDCQLLANCQANASLDVLPCHIFDIAISEESKTIYNAVYALAHSLHVMSIQQIQ IQPHENGDVLVFSPWQVITILNTFLKEIDERDNMSLDWRQKLNAEYDILNLWNLPKGLGL KVKIGSFSANTAQHQQLSLSEEMIQWPEGFLDIPQSVCSESCKPGFRKIILEGKAICCYN CTPCAEDEISNETDVDQCVKCPESHYSNTEKNHCFQKTVIFLAYEDPLGMTLACIALCLS ALTAFVIGIFVKYRDTPIVKANNRALSYTLLITLTFCFLCSLNFIGQPNLTTCILQQTTF GVAFTMALATVLAKAITVVIAFRATFPGRMVRWLMMSRVPNYIIPICTLIQLLLCGIWMA ISPPFIDNDVHTEHGYIIIFCNKGSSFAFHCVLGYLCSLAFGGYTMAFLSRNLPGTFNES KFLSFSMLVFFCVWVTFLSVYHSTKGKFMVAMEVFAILASSSALLGFIFGPKCYIILIRP DRNSFHHIRDKTHSRRNKTYVM >ENSMUSP00000090567.4 pep:known chromosome:GRCm38:7:3332955:3368221:1 gene:ENSMUSG00000069806.5 transcript:ENSMUST00000092891.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng7 description:calcium channel, voltage-dependent, gamma subunit 7 [Source:MGI Symbol;Acc:MGI:1932374] MSHCSSRALTLLSSVFGACGLLLVGIAVSTDYWLYMEEGTVLPQNQTTEVKMALHAGLWR VCFFAGREKGRCVASEYFLEPEINLVTENTENILKTVRTATPFPMVSLFLVFTAFVISNI GHIRPQRTILAFVSGIFFILSGLSLVVGLVLYISSINDEVMNRPSSSEQYFHYRYGWSFA FAASSFLLKEGAGVMSVYLFTKRYAEEEMYRPHPAFYRPRLSDCSDYSGQFLQPEAWRRG RSPSDISSDVSIQMTQNYPPAIKYPDHLHISTSPC >ENSMUSP00000030124.3 pep:known chromosome:GRCm38:4:53779705:53788712:1 gene:ENSMUSG00000028417.3 transcript:ENSMUST00000030124.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tal2 description:T cell acute lymphocytic leukemia 2 [Source:MGI Symbol;Acc:MGI:99540] MTRKIFTNTRERWRQQSVNNAFAKLRKLIPTHPPDKKLSKNETLRLAMRYINFLVKVLGE QSLHQTGVAAQGNILGLFPPKTRLPDEDDRTLLNDYRVPSPGPSHGAP >ENSMUSP00000116884.1 pep:known chromosome:GRCm38:8:56531522:56545465:-1 gene:ENSMUSG00000038215.14 transcript:ENSMUST00000123493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep44 description:centrosomal protein 44 [Source:MGI Symbol;Acc:MGI:3525111] XESSIELIAKNDVRFTDTVYKLLRDQFDYKPILTKKQFIQSGFAEWKIEIVCDILNCVMK KHKELIGLDKNSSCQRKKNVSEKPEPCSNSEKRPAEAVGIDITGRFMTSGKKKAVVIRHL YTDEKVGVPEGTVTLADISQGCSESAEQSSDIRIPEAQDPEVKAELQDICDHPEITALQI ALAECQEKLKQLTWIEKRLERLEAATHGKVMVDEKAWNNLLSRVTLLETEMLLSKKSESV ERNVASQDCESISDVDFVPYASASIRKMYEKLILSIPDKNYSVGGAAGNYRSSGCSAVSS ETAPGSSIVNYCVLREFSEETTIQKMERMKKMFEETAELLKCSSR >ENSMUSP00000042586.8 pep:known chromosome:GRCm38:8:56531522:56550857:-1 gene:ENSMUSG00000038215.14 transcript:ENSMUST00000040330.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep44 description:centrosomal protein 44 [Source:MGI Symbol;Acc:MGI:3525111] MATGDLKRSLRKLEQVLRSLNYPNEVDYVGLIKGDTAASLPIISYSLTSYSPYVAELLME SSIELIAKNDVRFTDTVYKLLRDQFDYKPILTKKQFIQSGFAEWKIEIVCDILNCVMKKH KELIGLDKNSSCQRKKNVSEKPEPCSNSEKRPAEAVGIDITGRFMTSGKKKAVVIRHLYT DEKVGVPEGTVTLADISQGCSESAEQSSDIRIPEAQDPEVKAELQDICDHPEITALQIAL AECQEKLKQLTWIEKRLERLEAATHGKVMVDEKAWNNLLSRVTLLETEMLLSKKSESVER NVASQDCESISDVDFVPYDKNYSVGGAAGNYRSSGCSAVSSETAPGSSIVNYCVLREFSE ETTIQKMERMKKMFEETAELLKCSSR >ENSMUSP00000114502.1 pep:known chromosome:GRCm38:8:56542906:56550843:-1 gene:ENSMUSG00000038215.14 transcript:ENSMUST00000140107.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep44 description:centrosomal protein 44 [Source:MGI Symbol;Acc:MGI:3525111] MATGDLKRSLRKLEQVLRSLNYPNEVDYVGLIKGDTAASLPIISYSLTSYSPYVAELLME SSIELIAKNDVRFTDTVYKLLRDQFDYKPILTKKQFIQSGFAEWKIEIVCDILNCVMKKH KELIGLDKNSSCQRKKNVSEKPEPCSNSEKRPAEAVGIDITGRFMTSGK >ENSMUSP00000117526.1 pep:known chromosome:GRCm38:8:56544194:56550799:-1 gene:ENSMUSG00000038215.14 transcript:ENSMUST00000134162.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep44 description:centrosomal protein 44 [Source:MGI Symbol;Acc:MGI:3525111] MATGDLKRSLRKLEQVLRSLNYPNEVDYVGLIKGDTAASLPIISYSLTSYSPYVAELLME SSIELIAKNDVRFTDTVYKLLRDQFDYKPILTKKQFIQSGFA >ENSMUSP00000122835.1 pep:known chromosome:GRCm38:8:56544261:56550872:-1 gene:ENSMUSG00000038215.14 transcript:ENSMUST00000135337.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep44 description:centrosomal protein 44 [Source:MGI Symbol;Acc:MGI:3525111] MATGDLKRSLRKLEQVLRSLNYPNEVDYVGLIKGDTAASLPIISYSLTSYSPYVAELLME SSIELIAKNDVRFTDTVYKL >ENSMUSP00000118959.1 pep:known chromosome:GRCm38:8:56545452:56551047:-1 gene:ENSMUSG00000038215.14 transcript:ENSMUST00000130930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep44 description:centrosomal protein 44 [Source:MGI Symbol;Acc:MGI:3525111] MATGDLKRSLRKLEQVLRSLNYPNEVDYVGLIKGDTAASLPIISYSLTSYSPYVAELLME SSI >ENSMUSP00000097701.3 pep:known chromosome:GRCm38:16:17527982:17531136:-1 gene:ENSMUSG00000022760.15 transcript:ENSMUST00000100125.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap7 description:THAP domain containing 7 [Source:MGI Symbol;Acc:MGI:1916259] MPRHCSAAGCCTRDTRETRNRGISFHRLPKKDNPRRGLWLANCQRLDPSGQGLWDPTSEY IYFCSKHFEENCFELVGISGYHRLKEGAVPTIFESFSKLRRTAKTKGHGYPPGLPDVSRL RRCRKRCSERQGPTTPFSPPPRADIICFPVEEASAPATLPASPAVRLDPGLNSPFSDLLG PLGAQADEAGCSTQPSPEQHPSPLEPQPASPSAYMLRLPPPAGAYIQNEHSYQVGSALLW KRRAEAALDALDKTQRQLQACKRREQRLRLRLTKLQQERAREKRAQADARQTLKDHVQDF AMQLSSSMA >ENSMUSP00000114775.1 pep:known chromosome:GRCm38:16:17528582:17531055:-1 gene:ENSMUSG00000022760.15 transcript:ENSMUST00000142666.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thap7 description:THAP domain containing 7 [Source:MGI Symbol;Acc:MGI:1916259] MPRHCSAAGCCTRDTRETRNRGISFHRLPKKDNPRRGLWLANCQRLDPSGQGLWDPTSEY IYFCSKHFEENCFELVGISGYHRLKEGAVPTIFESFSKLRRTAKTKGHGYPPGLPDVSRL RRCRKRCSERQGPTTPFSPPPRADIICFPVEEASAPATLPASPAVRLDPGLNSPFSDLL >ENSMUSP00000025699.2 pep:known chromosome:GRCm38:19:6184388:6224219:1 gene:ENSMUSG00000024786.9 transcript:ENSMUST00000025699.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700123I01Rik description:RIKEN cDNA 1700123I01 gene [Source:MGI Symbol;Acc:MGI:1923913] MSLKPFTYPFPETRFLHAGPNVYKFKIRYGNSIRGEEIEDKEVIVQELESTDEEAQQEYQ DTPASNAIAVKEQDAALGHGLQGLVVPPLQHSSPPPPKEPGARGFLGFLSALFPFRYFFK KSGQ >ENSMUSP00000109156.1 pep:known chromosome:GRCm38:19:6184467:6224225:1 gene:ENSMUSG00000024786.9 transcript:ENSMUST00000113528.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700123I01Rik description:RIKEN cDNA 1700123I01 gene [Source:MGI Symbol;Acc:MGI:1923913] MSLKPFTYPFPETRFLHAGPNVYKFKIRYGNSIRGEEIEDKEVIVQELEDSIRAVLANMD SLQPFVTEHFIVFPYKSKWERVSHLKFKHGESILTPYPFVFTLYIEMKWFAEDLPSGKPA DDIPLELVLAETEAEEATMRKWKRKLMEEPSSPSRQGPHRAKMETSSEASSNKKPLKESK RSTDEEAQQEYQDTPASNAIAVKEQDAALGHGLQGLVVPPLQHSSPPPPKEPGARGFLGF LSALFPFRYFFKKSGQ >ENSMUSP00000044616.6 pep:known chromosome:GRCm38:X:23693051:23805990:1 gene:ENSMUSG00000036769.14 transcript:ENSMUST00000035766.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr44 description:WD repeat domain 44 [Source:MGI Symbol;Acc:MGI:1919654] MASESDTEEFYDAPEDVHLGTGYPVGSPGKVGLLSFKEAENTANQAGNESPVQELRQDVS KKIIESIIEESQKVLQLEDDSLDSKGKGLSDEATAGPSVAGTEFSNIPGLLAIEHELQQD SEKAESQNVAEESELETQKCFPSDETCEKSEKTVDETDNLTEVSSGEQLDASGLEAETLN KEALEVKEGDVLDPASLDTLSTTDFAAVEEVAPAKPPRHLTPEPDIVASTKKPVPARPPP PTNFPPPRPPPPSRPAPPPRKKKSELEFEALKTPDLDVPKENITSDSLLTTNMASENTVR DSLPSLDLASATSGDKIVTAQENGKAPDVQTVAGEVMGPQRPRSNSGRELTDEEILASVM IKNLDTGEEIPLSLAEEKLPTGINPLTLHIMRRTKEYVSNDATQSDDEEKLQSQQTDTDG GRLKQKTTQLKKFLGKSVKRAKHLAEEYGERAINKVKSVRDEVFHTDQDDPSSSDDEGMP YTRPVKFKAAHGFKGPYDFDQIKVVQDLSGEHMGAVWTMKFSHCGRLLASAGQDNIVRIW ALKNAFDYFNNMRMKYNTEGRVSPSPSQESLSSSKSDTDMGVCSGTDEDPDDKNAPFRQR PFCKYKGHTADLLDLSWSKNYFLLSSSMDKTVRLWHISRRECLCCFQHIDFVTAIAFHPR DDRYFLSGSLDGKLRLWNIPDKKVALWNEVDGQTKLITAANFCQNGKYAVIGTYDGRCIF YDTEHLKYHTQIHVRSTRGRNKVGRKITGIEPLPGENKILVTSNDSRIRLYDLRDLSLSM KYKGYVNSSSQIKASFSHDFTYLVSGSEDKYVYIWSTYHDLSKFTSVRRDRNDFWEGIKA HNAVVTSAIFAPNPSLMLSLDVQSEKLEGIDKYEDAEVLDSTSTGIVKTDNTEVLLSADF TGAIKVFINKRKTVS >ENSMUSP00000099193.2 pep:known chromosome:GRCm38:X:23693096:23806025:1 gene:ENSMUSG00000036769.14 transcript:ENSMUST00000101670.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wdr44 description:WD repeat domain 44 [Source:MGI Symbol;Acc:MGI:1919654] MASESDTEEFYDAPEDVHLGTGYPVGSPGKVGLLSFKEAENTANQAGNESPVQELRQDVS KKIIESIIEESQKVLQLEDDSLDSKGKGLSDEATAGPSVAGTEFSNIPGLLAIEHELQQD SEKAESQNVAEESELETQKCFPSDETCEKSEKTVDETDNLTEVSSGEQLDASGLEAETLN KEALEVKEGDVLDPASLDTLSTTDFAAVEEVAPAKPPRHLTPEPDIVASTKKPVPARPPP PTNFPPPRPPPPSRPAPPPRKKKSELEFEALKTPDLDVPKENITSDSLLTTNMASENTVR DSLPSLDLASATSGDKIVTAQENGKAPDVQTVAGEVMGPQRPRSNSGRELTDEEILASVM IKNLDTGEEIPLSLAEEKLPTGINPLTLHIMRRTKEYVSNDATQSDDEEKLQSQQTDTDG GRLKQKTTQLKKFLGKSVKRAKHLAEEYGERAINKVKSVRDEVFHTDQDDPSSSDDEGMP YTRPVKFKAAHGFKGPYDFDQIKVVQDLSGEHMGAVWTMKFSHCGRLLASAGQDNIVRIW ALKNAFDYFNNMRMKYNTEGRVSPSPSQESLSSSKSDTDMGVCSGTDEDPDDKNAPFRQR PFCKYKGHTADLLDLSWSKNYFLLSSSMDKTVRLWHISRRECLCCFQHIDFVTAIAFHPR DDRYFLSGSLDGKLRLWNIPDKKVALWNEVDGQTKLITAANFCQNGKYAVIGTYDGRCIF YDTEHLKYHTQIHVRSTRGRNKVGRKITGIEPLPGENKILVTSNDSRIRLYDLRDLSLSM KYKGYVNSSSQIKASFSHDFTYLVSGSEDKYVYIWSTYHDLSKFTSVRRDRNDFWEGIKA HNAVVTSAIFAPNPSLMLSLDVQSEKLEGIDKYEDAEVLDSTSTGIVKTDNTEVLLSADF TGAIKVFINKRKTVS >ENSMUSP00000116260.1 pep:known chromosome:GRCm38:X:23693159:23757029:1 gene:ENSMUSG00000036769.14 transcript:ENSMUST00000149483.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wdr44 description:WD repeat domain 44 [Source:MGI Symbol;Acc:MGI:1919654] MASESDTEEFYDAPEDVHLGTGYPVGKPRIQQTKLEMSPLYKN >ENSMUSP00000025936.5 pep:known chromosome:GRCm38:19:55180885:55196313:1 gene:ENSMUSG00000024979.13 transcript:ENSMUST00000025936.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tectb description:tectorin beta [Source:MGI Symbol;Acc:MGI:109574] MVVRAFVLLALFAEASAKSCTPNKADVILVFCYPKTIITKIPECPYGWEVHQLALGGLCY NGVHEGGYYQFVIPDLSPKNKSYCGTQSEYKPPIYHFYSHIVSNDSTVIVKNQPVNYSFS CTYHSTYLVNQAAFDQRVATVHVKNGSMGTFESQLSLNFYTNAKFSTKKEAPFVLETSEI GSDLFAGVEAKGLSVRFKVVLNSCWATPSADFMYPLQWQLINKGCPTDETVLVHENGKDH RATFQFNAFRFQNIPKLSKVWLHCETFICDSEKLSCPVNCDKRKRMLRDQTGGVLVVELS LRSRAFSGLCDFSDVLLHLILMLGTWAVL >ENSMUSP00000121767.1 pep:known chromosome:GRCm38:19:55180733:55189665:1 gene:ENSMUSG00000024979.13 transcript:ENSMUST00000154886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tectb description:tectorin beta [Source:MGI Symbol;Acc:MGI:109574] MVVRAFVLLALFAEASAKSCTPNKADVILVFCYPKTIITKIPECPYGWEVHQLALGGLCY NGVHEGGYYQFVIPDLSPKNKSYCGTQSEYKPPIYHFYSHIVSNDSTVIVKNQPVNYSFS CTYHSTYLVNQAAFDQRVATVHVKNGSMGTFESQLSLNFYTNAKFSTKKEAPFVLETSEI GSDLFAGVEAKGLSVR >ENSMUSP00000113805.1 pep:known chromosome:GRCm38:19:55180736:55194869:1 gene:ENSMUSG00000024979.13 transcript:ENSMUST00000120936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tectb description:tectorin beta [Source:MGI Symbol;Acc:MGI:109574] MVVRAFVLLALFAEASAKSCTPNKADVILVFCYPKTIITKIPECPYGWEVHQLALGGLCY NGVHEGGYYQFVIPDLSPKNKSYCGTQSEYKPPIYHFYSHIVSNDSTVIVKNQPVNYSFS CTYHSTYLVNQAAFDQSPYAKFSPLFRVATVHVKNGSMGTFESQLSLNFYTNAKFSTKKE APFVLETSEIGSDLFAGVEAKGLSVRFKVVLNSCWATPSADFMYPLQWQLINKGCPTDET VLVHENGKDHRATFQFNAFRFQNIPKLSKVWLHCETFICDSEKLSCPVNCDKRKRMLRDQ TGGVLVVELSLRSRAFSGLCDFSDVLLHLILMLGTWAVL >ENSMUSP00000117645.1 pep:known chromosome:GRCm38:19:55189578:55194694:1 gene:ENSMUSG00000024979.13 transcript:ENSMUST00000124545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tectb description:tectorin beta [Source:MGI Symbol;Acc:MGI:109574] XKKEAPFVLETSEIGSDLFAGVEAKGLSVRFKVVLNSCWATPSADFMYPLQWQLINKGCP TDETVLVHENGKDHRATFQFNAFRFQNIPKLSKVWLHCETFICDSEKLSCPVNCDKRKRM LRDQTGGVLVVELSLRSKLIAQYVYSWAVSTGTTGRAFSGLCDFSDVLLHLILMLGT >ENSMUSP00000030127.6 pep:known chromosome:GRCm38:4:53826045:53862019:1 gene:ENSMUSG00000028420.13 transcript:ENSMUST00000030127.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem38b description:transmembrane protein 38B [Source:MGI Symbol;Acc:MGI:1098718] MEYPWDDLTLAFSRTSMFPFFDIAHYLVSVMALKQRPGAVAAAWNNPLASWLSAMLHCFG GGILSCMLLAESPLKFLTNHTNILLASSIWYIVFFCPRDLVSQGYSYQPIQFLAAGMKEV TRTWKIVGGVSDANSYYRNAWIVMIVVGWARGAGGAVVTACEQLLKGDWKPEGDEWLKMS FPCKITLLGSIMFTFQHTRHLAISKHDLMFLYTIFLVTIKVTMMMTKDTAVTLTPFEDTL TRMLFGRRQQQQFSSSEKKTEVKPSSNGSASSASKRGAEPSGGAKRHAKKED >ENSMUSP00000120304.1 pep:known chromosome:GRCm38:4:53840470:53854332:1 gene:ENSMUSG00000028420.13 transcript:ENSMUST00000144167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem38b description:transmembrane protein 38B [Source:MGI Symbol;Acc:MGI:1098718] MQWSQSVFYSVLSGAVAAAWNNPLASWLSAMLHCFGGGILSCMLLAESPLKFLTNHTNIL LASSIWYIVFFCPRDLVSQGYSYQPIQFLAAGMKEVTRTWKIVGGVSDANSYYRNAWIVM IVVGWARGAGGAVVTACEQLLKGDWKPEGDEWLKMSFPCKITLL >ENSMUSP00000037991.7 pep:known chromosome:GRCm38:13:19357679:19395747:-1 gene:ENSMUSG00000003062.12 transcript:ENSMUST00000039694.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard3nl description:STARD3 N-terminal like [Source:MGI Symbol;Acc:MGI:1923455] MNHLPEHMENTLTGSQSSHASLRDIHSINPAQLMARIESYEGREKKGISDVRRTFCLFVT FDLLFVTLLWIIELNVNGGIENTLKKEVIHYDYYSSYFDIFLLAVFRFKVLILGYAVCRL RHWWAIALTTAVTSAFLLAKVILSKLFSQGAFGYVLPIISFILAWIETWFLDFKVLPQEA EEENRLLLVQDASERAALIPAGLSDGQFYSPPESEAGSEEEAEEKQESEKPLLEL >ENSMUSP00000142680.1 pep:known chromosome:GRCm38:13:19364959:19395734:-1 gene:ENSMUSG00000003062.12 transcript:ENSMUST00000200323.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard3nl description:STARD3 N-terminal like [Source:MGI Symbol;Acc:MGI:1923455] MNHLPEHMENTLTGSQSSHASLRDIHSINPAQLMARIESYEGREKKGISDVRRTFCLFVT FDLLFVTLLWIIELNVNGGIENTLKKEVIHYDYYSSYFDIFLLAVFRFKVLILGYAVCRL RHWWAIALTTAVTSAFLLAKVILSKLFSQGAFGYVLPIISFILAWIETWFLDFKVLPQEA EEENRLLLVQDASERAALIPAGLSDGQFYSPPESEAGRNSSAFRMGIQKSSQPSGGAAGT RARFVPKASSCREGRPGLPMWLQ >ENSMUSP00000143262.1 pep:known chromosome:GRCm38:13:19376556:19395759:-1 gene:ENSMUSG00000003062.12 transcript:ENSMUST00000199924.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stard3nl description:STARD3 N-terminal like [Source:MGI Symbol;Acc:MGI:1923455] MNHLPEHMENTLTGSQ >ENSMUSP00000094716.4 pep:known chromosome:GRCm38:5:14974113:14978935:-1 gene:ENSMUSG00000072188.4 transcript:ENSMUST00000096953.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10354 description:predicted gene 10354 [Source:MGI Symbol;Acc:MGI:3809109] MALFARLCRLFQRANVDGRETREGRKDADLPSESNEGRRRGTWRMCMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTMEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEAKETKQELKKETHFYHNLHSRLLMEENLIKKKLMPLQQESKEVQADWA IIHQRLVELNLSGKDEQEENSNLETPEYQVSETARELGLATAEEDSILQNELPGQEAPAE HHLQHPQSSSDESSSI >ENSMUSP00000101105.1 pep:known chromosome:GRCm38:10:60277627:60302594:1 gene:ENSMUSG00000004207.14 transcript:ENSMUST00000105465.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psap description:prosaposin [Source:MGI Symbol;Acc:MGI:97783] MYALALFASLLATALTSPVQDPKTCSGGSAVLCRDVKTAVDCGAVKHCQQMVWSKPTAKS LPCDICKTVVTEAGNLLKDNATQEEILHYLEKTCEWIHDSSLSASCKEVVDSYLPVILDM IKGEMSNPGEVCSALNLCQSLQEYLAEQNQKQLESNKIPEVDMARVVAPFMSNIPLLLYP QDHPRSQPQPKANEDVCQDCMKLVSDVQTAVKTNSSFIQGFVDHVKEDCDRLGPGVSDIC KNYVDQYSEVCVQMLMHMQPKEICVLAGFCNEVKRVPMKTLVPATETIKNILPALEMMDP YEQNLVQAHNVILCQTCQFVMNKFSELIVNNATEELLVKGLSNACALLPDPARTKCQEVV GTFGPSLLDIFIHEVNPSSLCGVIGLCAARPELVEALEQPAPAIVSALLKEPTPPKQPAQ PKQSALPAHVPPQKNGGFCEVCKKLVLYLEHNLEKNSTKEEILAALEKGCSFLPDPYQKQ CDDFVAEYEPLLLEILVEVMDPGFVCSKIGVCPSAYKLLLGTEKCVWGPSYWCQNMETAA RCNAVDHCKRHVWN >ENSMUSP00000126407.1 pep:known chromosome:GRCm38:10:60284461:60302597:1 gene:ENSMUSG00000004207.14 transcript:ENSMUST00000165878.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psap description:prosaposin [Source:MGI Symbol;Acc:MGI:97783] MGGSGQTLVMPLTSPVQDPKTCSGGSAVLCRDVKTAVDCGAVKHCQQMVWSKPTAKSLPC DICKTVVTEAGNLLKDNATQEEILHYLEKTCEWIHDSSLSASCKEVVDSYLPVILDMIKG EMSNPGEVCSALNLCQSLQEYLAEQNQKQLESNKIPEVDMARVVAPFMSNIPLLLYPQDH PRSQPQPKANEDVCQDCMKLVSDVQTAVKTNSSFIQGFVDHVKEDCDRLGPGVSDICKNY VDQYSEVCVQMLMHMQPKEICVLAGFCNEVKRVPMKTLVPATETIKNILPALEMMDPYEQ NLVQAHNVILCQTCQFVMNKFSELIVNNATEELLVKGLSNACALLPDPARTKCQEVVGTF GPSLLDIFIHEVNPSSLCGVIGLCAARPELVEALEQPAPAIVSALLKEPTPPKQPAQPKQ SALPAHVPPQKNGGFCEVCKKLVLYLEHNLEKNSTKEEILAALEKGCSFLPDPYQKQCDD FVAEYEPLLLEILVEVMDPGFVCSKIGVCPSAYKLLLGTEKCVWGPSYWCQNMETAARCN AVDHCKRHVWN >ENSMUSP00000137476.1 pep:known chromosome:GRCm38:10:60277628:60302597:1 gene:ENSMUSG00000004207.14 transcript:ENSMUST00000179238.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psap description:prosaposin [Source:MGI Symbol;Acc:MGI:97783] MYALALFASLLATALTSPVQDPKTCSGGSAVLCRDVKTAVDCGAVKHCQQMVWSKPTAKS LPCDICKTVVTEAGNLLKDNATQEEILHYLEKTCEWIHDSSLSASCKEVVDSYLPVILDM IKGEMSNPGEVCSALNLCQSLQEYLAEQNQKQLESNKIPEVDMARVVAPFMSNIPLLLYP QDHPRSQPQPKANEDVCQDCMKLVSDVQTAVKTNSSFIQGFVDHVKEDCDRLGPGVSDIC KNYVDQYSEVCVQMLMHMQDQQPKEICVLAGFCNEVKRVPMKTLVPATETIKNILPALEM MDPYEQNLVQAHNVILCQTCQFVMNKFSELIVNNATEELLVKGLSNACALLPDPARTKCQ EVVGTFGPSLLDIFIHEVNPSSLCGVIGLCAARPELVEALEQPAPAIVSALLKEPTPPKQ PAQPKQSALPAHVPPQKNGGFCEVCKKLVLYLEHNLEKNSTKEEILAALEKGCSFLPDPY QKQCDDFVAEYEPLLLEILVEVMDPGFVCSKIGVCPSAYKLLLGTEKCVWGPSYWCQNME TAARCNAVDHCKRHVWN >ENSMUSP00000137286.1 pep:known chromosome:GRCm38:10:60277628:60302597:1 gene:ENSMUSG00000004207.14 transcript:ENSMUST00000177779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psap description:prosaposin [Source:MGI Symbol;Acc:MGI:97783] MYALALFASLLATALTSPVQDPKTCSGGSAVLCRDVKTAVDCGAVKHCQQMVWSKPTAKS LPCDICKTVVTEAGNLLKDNATQEEILHYLEKTCEWIHDSSLSASCKEVVDSYLPVILDM IKGEMSNPGEVCSALNLCQSLQEYLAEQNQKQLESNKIPEVDMARVVAPFMSNIPLLLYP QDHPRSQPQPKANEDVCQDCMKLVSDVQTAVKTNSSFIQGFVDHVKEDCDRLGPGVSDIC KNYVDQYSEVCVQMLMHMVGRQPKEICVLAGFCNEVKRVPMKTLVPATETIKNILPALEM MDPYEQNLVQAHNVILCQTCQFVMNKFSELIVNNATEELLVKGLSNACALLPDPARTKCQ EVVGTFGPSLLDIFIHEVNPSSLCGVIGLCAARPELVEALEQPAPAIVSALLKEPTPPKQ PAQPKQSALPAHVPPQKNGGFCEVCKKLVLYLEHNLEKNSTKEEILAALEKGCSFLPDPY QKQCDDFVAEYEPLLLEILVEVMDPGFVCSKIGVCPSAYKLLLGTEKCVWGPSYWCQNME TAARCNAVDHCKRHVWN >ENSMUSP00000004316.8 pep:known chromosome:GRCm38:10:60277628:60302597:1 gene:ENSMUSG00000004207.14 transcript:ENSMUST00000004316.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psap description:prosaposin [Source:MGI Symbol;Acc:MGI:97783] MYALALFASLLATALTSPVQDPKTCSGGSAVLCRDVKTAVDCGAVKHCQQMVWSKPTAKS LPCDICKTVVTEAGNLLKDNATQEEILHYLEKTCEWIHDSSLSASCKEVVDSYLPVILDM IKGEMSNPGEVCSALNLCQSLQEYLAEQNQKQLESNKIPEVDMARVVAPFMSNIPLLLYP QDHPRSQPQPKANEDVCQDCMKLVSDVQTAVKTNSSFIQGFVDHVKEDCDRLGPGVSDIC KNYVDQYSEVCVQMLMHMLQQPKEICVLAGFCNEVKRVPMKTLVPATETIKNILPALEMM DPYEQNLVQAHNVILCQTCQFVMNKFSELIVNNATEELLVKGLSNACALLPDPARTKCQE VVGTFGPSLLDIFIHEVNPSSLCGVIGLCAARPELVEALEQPAPAIVSALLKEPTPPKQP AQPKQSALPAHVPPQKNGGFCEVCKKLVLYLEHNLEKNSTKEEILAALEKGCSFLPDPYQ KQCDDFVAEYEPLLLEILVEVMDPGFVCSKIGVCPSAYKLLLGTEKCVWGPSYWCQNMET AARCNAVDHCKRHVWN >ENSMUSP00000138618.1 pep:known chromosome:GRCm38:7:3390683:3415648:1 gene:ENSMUSG00000053395.15 transcript:ENSMUST00000182222.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng8 description:calcium channel, voltage-dependent, gamma subunit 8 [Source:MGI Symbol;Acc:MGI:1932376] LESLKRWNEERGLWCEKGVQVLLTTIGAFAAFGLMTIAISTDYWLYTRALICNTTNLTAG DDGPPHRGGSGSSEKKDPGGLTHSGLWRICCLEGLKRGVCVKINHFPEDTDYDHDSAEYL LRVVRASSIFPILSAILLLLGGVCVAASRVYKSKRNIILGAGILFVAAGLSNIIGVIVYI SANAGEPGPKRDEEKKNHYSYGWSFYFGGLSFILAEVIGVLAVNIYIERSREAHCQSRSD LLKAGGGAGGSGGSGPSAILRLPSYRFRYRRRSRSSSRGSSEASPSRDASPGGPGGPGFA STDISMYTLSRDPSKGSVAAGLASAGGGGSGAGVGAYGGAAGAAGGGGAGSERDRGSSAG FLTLHNAFPKEAASGVTVTVTGPPAAPAPAPAPPAPAAPAPGTLSKEAAASNTNTLNRKT TPV >ENSMUSP00000145502.1 pep:known chromosome:GRCm38:7:3394418:3394952:1 gene:ENSMUSG00000053395.15 transcript:ENSMUST00000203617.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng8 description:calcium channel, voltage-dependent, gamma subunit 8 [Source:MGI Symbol;Acc:MGI:1932376] XHRGGSGSSEKKDPGGLTHSGLWRICCLEGRVQEGPGWPPPLKPVPPANRLLVF >ENSMUSP00000090005.5 pep:known chromosome:GRCm38:7:3394117:3415605:1 gene:ENSMUSG00000053395.15 transcript:ENSMUST00000092351.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng8 description:calcium channel, voltage-dependent, gamma subunit 8 [Source:MGI Symbol;Acc:MGI:1932376] LESLKRWNEERGLWCEKGVQVLLTTIGAFAAFGLMTIAISTDYWLYTRALICNTTNLTAG DDGPPHRGGSGSSEKKDPGGLTHSGLWRICCLEGLKRGVCVKINHFPEDTDYDHDSAEYL LRVVRASSIFPILSAILLLLGGVCVAASRVYKSKRNIILGAGILFVAAGLSNIIGVIVYI SANAGEPGPKRDEEKKNHYSYGWSFYFGGLSFILAEVIGVLAVNIYIERSREAHCQSRSD LLKAGGGAGGSGGSGPSAILRLPSYRFRYRRRSRSSSRGSSEASPSRDASPGGPGGPGFA STDISMYTLSRDPSKGSVAAGLASAGGGGSGAGVGAYGGAAGAAGGGGAGSERDRGSSAG FLTLHNAFPKEAASGVTVTVTGPPAAPAPAPAPPAPAAPAPGTLSKEAAASNTNTLNRKT TPV >ENSMUSP00000025679.4 pep:known chromosome:GRCm38:19:7198202:7206316:-1 gene:ENSMUSG00000024767.11 transcript:ENSMUST00000025679.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otub1 description:OTU domain, ubiquitin aldehyde binding 1 [Source:MGI Symbol;Acc:MGI:2147616] MAAEEPQQQKQEPLGSDSEGVNCLAYDEAIMAQQDRIQQEIAVQNPLVSERLELSVLYKE YAEDDNIYQQKIKDLHKKYSYIRKTRPDGNCFYRAFGFSHLEALLDDSKELQRFKAVSAK SKEDLVSQGFTEFTIEDFHNTFMDLIEQVEKQTSVADLLASFNDQSTSDYLVVYLRLLTS GYLQRESKFFEHFIEGGRTVKEFCQQEVEPMCKESDHIHIIALAQALSVSIQVEYMDRGE GGTTNPHVFPEGSEPKVYLLYRPGHYDILYK >ENSMUSP00000115195.1 pep:known chromosome:GRCm38:19:7198210:7206234:-1 gene:ENSMUSG00000024767.11 transcript:ENSMUST00000123594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otub1 description:OTU domain, ubiquitin aldehyde binding 1 [Source:MGI Symbol;Acc:MGI:2147616] MAQQDRIQQEIAVQNPLVSERLELSVLYKEYAEDDNIYQQKIKDLHKKYSYIRKTRPDGN CFYRAFGFSHLEALLDDSKELQRFKAVSAKSKEDLVSQGFTEFTIEDFHNTFMDLIEQVE KQTSVADLLASFNDQSTSDYLVVYLRLLTSGYLQRESKFFEHFIEGGRTVKEFCQQEVEP MCKESDHIHIIALAQALSVSIQVEYMDRGEGGTTNPHVFPEGSEPKVYLLYRPGHYDILY K >ENSMUSP00000122945.1 pep:known chromosome:GRCm38:19:7199711:7205775:-1 gene:ENSMUSG00000024767.11 transcript:ENSMUST00000142085.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Otub1 description:OTU domain, ubiquitin aldehyde binding 1 [Source:MGI Symbol;Acc:MGI:2147616] MAQQDRIQQEIAVQNPLVSERLELSVLYKEYAEDDNIYQQKIKDLHKKYSYIRKTRPDGN CFYRAFGFSHLEALLDDSKELQRFKAVSAKSKEDL >ENSMUSP00000009699.9 pep:known chromosome:GRCm38:2:32707438:32712784:-1 gene:ENSMUSG00000009555.16 transcript:ENSMUST00000009699.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk9 description:cyclin-dependent kinase 9 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1328368] MAKQYDSVECPFCDEVTKYEKLAKIGQGTFGEVFKAKHRQTGQKVALKKVLMENEKEGFP ITALREIKILQLLKHENVVNLIEICRTKASPYNRCKGSIYLVFDFCEHDLAGLLSNVLVK FTLSEIKRVMQMLLNGLYYIHRNKILHRDMKAANVLITRDGVLKLADFGLARAFSLAKNS QPNRYTNRVVTLWYRPPELLLGERDYGPPIDLWGAGCIMAEMWTRSPIMQGNTEQHQLAL ISQLCGSITPEVWPNVDKYELFEKLELVKGQKRKVKDRLKAYVRDPYALDLIDKLLVLDP AQRIDSDDALNHDFFWSDPMPSDLKGMLSTHLTSMFEYLAPPRRKGSQITQQSTNQSRNP ATTNQTEFERVF >ENSMUSP00000113327.1 pep:known chromosome:GRCm38:2:32707444:32712267:-1 gene:ENSMUSG00000009555.16 transcript:ENSMUST00000120105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk9 description:cyclin-dependent kinase 9 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1328368] MENEKEGFPITALREIKILQLLKHENVVNLIEICRTKASPYNRCKGSIYLVFDFCEHDLA GLLSNVLVKFTLSEIKRVMQMLLNGLYYIHRNKILHRDMKAANVLITRDGVLKLADFGLA RAFSLAKNSQPNRYTNRVVTLWYRPPELLLGERDYGPPIDLWGAGCIMAEMWTRSPIMQG NTEQHQLALISQLCGSITPEVWPNVDKYELFEKLELVKGQKRKVKDRLKAYVRDPYALDL IDKLLVLDPAQRIDSDDALNHDFFWSDPMPSDLKGMLSTHLTSMFEYLAPPRRKGSQITQ QSTNQSRNPATTNQTEFERVF >ENSMUSP00000115318.1 pep:known chromosome:GRCm38:2:32707849:32713076:-1 gene:ENSMUSG00000009555.16 transcript:ENSMUST00000123170.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk9 description:cyclin-dependent kinase 9 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1328368] MAKQYDSVECPFCDEVTKYEKLAKIGQGTFGSPSQP >ENSMUSP00000120857.1 pep:known chromosome:GRCm38:2:32709856:32712757:-1 gene:ENSMUSG00000009555.16 transcript:ENSMUST00000154131.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk9 description:cyclin-dependent kinase 9 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1328368] MAKQYDSVECPFCDEVTKYEKLAKIGQGTFGEVFKAKHRQTGQKVALKKVLMENEKEGEL N >ENSMUSP00000115299.1 pep:known chromosome:GRCm38:2:32710158:32712253:-1 gene:ENSMUSG00000009555.16 transcript:ENSMUST00000155205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk9 description:cyclin-dependent kinase 9 (CDC2-related kinase) [Source:MGI Symbol;Acc:MGI:1328368] MENEKEGFPITALREIKILQLLKHENVVNLIEICRTKASPYNRCKGSIYLVFDF >ENSMUSP00000135075.1 pep:known chromosome:GRCm38:7:25087344:25132488:-1 gene:ENSMUSG00000008496.18 transcript:ENSMUST00000175774.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f2 description:POU domain, class 2, transcription factor 2 [Source:MGI Symbol;Acc:MGI:101897] MVHSSMGAPEIRMSKPLEAEKQSLDSPSEHTDTERNGPDINHQNPQNKASPFSVSPTGPS TKIKAEDPSGDSAPAAPPPPQPAQPHLPQAQLMLTGSQLAGDIQQLLQLQQLVLVPGHHL QPPAQFLLPQAQQSQPGLLPTPNLFQLPQQTQGALLTSQPRAGLPTQPPKCLEPPSHPEE PSDLEELEQFARTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCK LKPLLEKWLNDAETMSVDSSLPSPNQLSSPSLGFDGLPGRRRKKRTSIETNVRFALEKSF LANQKPTSEEILLIAEQLHMEKEVIRVWFCNRRQKEKRINPCSAAPMLPSPGKPTSYSPH LVTPQGGAGTLPLSQASSSLSTTVTTLSSAVGTLHPSRTAGGGGGGGGAAPPLNSIPSVT PPPPATTNSTNPSPQGSHSAIGLSGLNPSAGPGLWWNPAPYQP >ENSMUSP00000104053.2 pep:known chromosome:GRCm38:7:25087344:25132488:-1 gene:ENSMUSG00000008496.18 transcript:ENSMUST00000108415.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f2 description:POU domain, class 2, transcription factor 2 [Source:MGI Symbol;Acc:MGI:101897] MVHSSMGAPEIRMSKPLEAEKQSLDSPSEHTDTERNGPDINHQNPQNKASPFSVSPTGPS TKIKAEDPSGDSAPAAPPPPQPAQPHLPQAQLMLTGSQLAGDIQQLLQLQQLVLVPGHHL QPPAQFLLPQAQQSQPGLLPTPNLFQLPQQTQGALLTSQPRAGLPTQAMTRPTLPDPHLS HPQPPKCLEPPSHPEEPSDLEELEQFARTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTT ISRFEALNLSFKNMCKLKPLLEKWLNDAETMSVDSSLPSPNQLSSPSLGFDGLPGRRRKK RTSIETNVRFALEKSFLANQKPTSEEILLIAEQLHMEKEVIRVWFCNRRQKEKRINPCSA APMLPSPGKPTSYSPHLVTPQGGAGTLPLSQASSSLSTTVTTLSSAVGTLHPSRTAGGGG GGGGAAPPLNSIPSVTPPPPATTNSTNPSPQGSHSAIGLSGLNPSAGPGLWWNPAPYQP >ENSMUSP00000096276.2 pep:known chromosome:GRCm38:7:25092476:25132488:-1 gene:ENSMUSG00000008496.18 transcript:ENSMUST00000098679.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f2 description:POU domain, class 2, transcription factor 2 [Source:MGI Symbol;Acc:MGI:101897] MVHSSMGAPEIRMSKPLEAEKQSLDSPSEHTDTERNGPDINHQNPQNKASPFSVSPTGPS TKVGILSGLHLTFWGPGPCLSPPQIKAEDPSGDSAPAAPPPPQPAQPHLPQAQLMLTGSQ LAGDIQQLLQLQQLVLVPGHHLQPPAQFLLPQAQQSQPGLLPTPNLFQLPQQTQGALLTS QPRAGLPTQPPKCLEPPSHPEEPSDLEELEQFARTFKQRRIKLGFTQGDVGLAMGKLYGN DFSQTTISRFEALNLSFKNMCKLKPLLEKWLNDAETMSVDSSLPSPNQLSSPSLGFDGLP GRRRKKRTSIETNVRFALEKSFLANQKPTSEEILLIAEQLHMEKEVIRVWFCNRRQKEKR INPCSAAPMLPSPGKPTSYSPHLVTPQGGAGTLPLSQASSSLSTTVTTLSSAVGTLHPSR TAGGGGGGGGAAPPLNSIPSVTPPPPATTNSTNPSPQGSHSAIGLSGLNPSAGSTMVGLS SGLSPALMSNNPLATIQGACCLMSPHCHQSCPLLGLEPTLPHCCPSHAIPPPCSLHCSPL HPHLSSGKV >ENSMUSP00000104056.3 pep:known chromosome:GRCm38:7:25092520:25132477:-1 gene:ENSMUSG00000008496.18 transcript:ENSMUST00000108418.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f2 description:POU domain, class 2, transcription factor 2 [Source:MGI Symbol;Acc:MGI:101897] MVHSSMGAPEIRMSKPLEAEKQSLDSPSEHTDTERNGPDINHQNPQNKASPFSVSPTGPS TKIKAEDPSGDSAPAAPPPPQPAQPHLPQAQLMLTGSQLAGDIQQLLQLQQLVLVPGHHL QPPAQFLLPQAQQSQPGLLPTPNLFQLPQQTQGALLTSQPRAGLPTQPPKCLEPPSHPEE PSDLEELEQFARTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCK LKPLLEKWLNDAETMSVDSSLPSPNQLSSPSLGFDGLPGRRRKKRTSIETNVRFALEKSF LANQKPTSEEILLIAEQLHMEKEVIRVWFCNRRQKEKRINPCSAAPMLPSPGKPTSYSPH LVTPQGGAGTLPLSQASSSLSTTVTTLSSAVGTLHPSRTAGGGGGGGGAAPPLNSIPSVT PPPPATTNSTNPSPQGSHSAIGLSGLNPSAGSTMVGLSSGLSPALMSNNPLATIQALASG GTLPLTSLDGSGNLVLGAAGAAPGSPSLVTSPLFLNHTGLPLLSAPPGVGLVSAAAAAVA ASISSKSPGLSSSSSSSSSSTCSDVAAQTPGGPGGPEAGSKAE >ENSMUSP00000104055.2 pep:known chromosome:GRCm38:7:25092520:25132488:-1 gene:ENSMUSG00000008496.18 transcript:ENSMUST00000108417.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f2 description:POU domain, class 2, transcription factor 2 [Source:MGI Symbol;Acc:MGI:101897] MVHSSMGAPEIRMSKPLEAEKQSLDSPSEHTDTERNGPDINHQNPQNKASPFSVSPTGPS TKVGILSGLHLTFWGPGPCLSPPQIKAEDPSGDSAPAAPPPPQPAQPHLPQAQLMLTGSQ LAGDIQQLLQLQQLVLVPGHHLQPPAQFLLPQAQQSQPGLLPTPNLFQLPQQTQGALLTS QPRAGLPTQPPKCLEPPSHPEEPSDLEELEQFARTFKQRRIKLGFTQGDVGLAMGKLYGN DFSQTTISRFEALNLSFKNMCKLKPLLEKWLNDAETMSVDSSLPSPNQLSSPSLGFDGLP GRRRKKRTSIETNVRFALEKSFLANQKPTSEEILLIAEQLHMEKEVIRVWFCNRRQKEKR INPCSAAPMLPSPGKPTSYSPHLVTPQGGAGTLPLSQASSSLSTTVTTLSSAVGTLHPSR TAGGGGGGGGAAPPLNSIPSVTPPPPATTNSTNPSPQGSHSAIGLSGLNPSAGPGLWWNP APYQP >ENSMUSP00000104054.2 pep:known chromosome:GRCm38:7:25092520:25132488:-1 gene:ENSMUSG00000008496.18 transcript:ENSMUST00000108416.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f2 description:POU domain, class 2, transcription factor 2 [Source:MGI Symbol;Acc:MGI:101897] MVHSSMGAPEIRMSKPLEAEKQSLDSPSEHTDTERNGPDINHQNPQNKASPFSVSPTGPS TKDIQQLLQLQQLVLVPGHHLQPPAQFLLPQAQQSQPGLLPTPNLFQLPQQTQGALLTSQ PRAGLPTQPPKCLEPPSHPEEPSDLEELEQFARTFKQRRIKLGFTQGDVGLAMGKLYGND FSQTTISRFEALNLSFKNMCKLKPLLEKWLNDAETMSVDSSLPSPNQLSSPSLGFDGLPG RRRKKRTSIETNVRFALEKSFLANQKPTSEEILLIAEQLHMEKEVIRVWFCNRRQKEKRI NPCSAAPMLPSPGKPTSYSPHLVTPQGGAGTLPLSQASSSLSTTVTTLSSAVGTLHPSRT AGGGGGGGGAAPPLNSIPSVTPPPPATTNSTNPSPQGSHSAIGLSGLNPSAGPGLWWNPA PYQP >ENSMUSP00000104051.1 pep:known chromosome:GRCm38:7:25092656:25132488:-1 gene:ENSMUSG00000008496.18 transcript:ENSMUST00000108413.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f2 description:POU domain, class 2, transcription factor 2 [Source:MGI Symbol;Acc:MGI:101897] MVHSSMGAPEIRMSKPLEAEKQSLDSPSEHTDTERNGPDINHQNPQNKASPFSVSPTGPS TKIKAEDPSGDSAPAAPPPPQPAQPHLPQAQLMLTGSQLAGDIQQLLQLQQLVLVPGHHL QPPAQFLLPQAQQSQPGLLPTPNLFQLPQQTQGALLTSQPRAGLPTQPPKCLEPPSHPEE PSDLEELEQFARTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTTISRFEALNLSFKNMCK LKPLLEKWLNDAETMSVDSSLPSPNQLSSPSLGFDGLPGRRRKKRTSIETNVRFALEKSF LANQKPTSEEILLIAEQLHMEKEVIRVWFCNRRQKEKRINPCSAAPMLPSPGKPTSYSPH LVTPQGGAGTLPLSQASSSLSTTAQTRALKAATRLLACRA >ENSMUSP00000135326.1 pep:known chromosome:GRCm38:7:25093248:25132512:-1 gene:ENSMUSG00000008496.18 transcript:ENSMUST00000176408.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f2 description:POU domain, class 2, transcription factor 2 [Source:MGI Symbol;Acc:MGI:101897] MVHSSMGAPEIRMSKPLEAEKQSLDSPSEHTDTERNGPDINHQNPQNKASPFSVSPTGPS TKIKAEDPSGDSAPAAPPPPQPAQPHLPQAQLMLTGSQLAGDIQQLLQLQQLVLVPGHHL QPPAQFLLPQAQQSQPGLLPTPNLFQLPQQTQGALLTSQPRAGLPTQAMTRPTLPDPHLS HPQPPKCLEPPSHPEEPSDLEELEQFARTFKQRRIKLGFTQGDVGLAMGKLYGNDFSQTT ISRFEALNLSFKNMCKLKPLLEKWLNDAETMSVDSSLPSPNQLSSPSLGFDGLPGRRRKK RTSIETNVRFALEKSFLANQKPTSEEILLIAEQLHMEKEVIRVWFCNRRQKEKRINPCSA APMLPSPGKPTSYSPHLVTPQGGAGTLPLSQASSSLSTTVTTLSSAVGTLHPSRTAGGGG GGGGAAPPLNSIPSVTPPPPATTNSTNPSPQGSHSAIGLSGLNPSAG >ENSMUSP00000118307.1 pep:known chromosome:GRCm38:7:25100021:25120858:-1 gene:ENSMUSG00000008496.18 transcript:ENSMUST00000147146.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou2f2 description:POU domain, class 2, transcription factor 2 [Source:MGI Symbol;Acc:MGI:101897] TGPSTKVGILSGLHLTFWGPGPCLSPPQIKAEDPSGDSAPAAPPPPQPAQPHLPQAQLML TGSQLAGLSALIPAQQQLLLQQAQAQLLAAAVQQSSAAAANAAAAAAAASTSSSTSSASS STSQTPASSGGGDLPPSQPTSQPPGTPQLTLSQPIQLTAQDIQQLLQLQQLVLVPGHHLQ PPAQFLLPQAQQSQPGLLPTPNL >ENSMUSP00000032747.5 pep:known chromosome:GRCm38:7:80343104:80346714:1 gene:ENSMUSG00000030532.6 transcript:ENSMUST00000032747.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hddc3 description:HD domain containing 3 [Source:MGI Symbol;Acc:MGI:1915945] MGSEAAQLLEAADFAAHKHRQQRRKDPEGTPYINHPIGVARILTHEAGITDIVVLQAALL HDTVEDTDTTLDEVELHFGAQVRRLVEEVTDDKTLPKLERKRQQVEQAPHSSPGAKLVKL ADKLYNLRDLNRCTPTGWSEHRVQEYFEWAAQVVKGLQGTNQQLEEALKQLFEERGLTL >ENSMUSP00000145686.1 pep:known chromosome:GRCm38:7:80343116:80343979:1 gene:ENSMUSG00000030532.6 transcript:ENSMUST00000206480.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hddc3 description:HD domain containing 3 [Source:MGI Symbol;Acc:MGI:1915945] MGSEAAQLLEAADFAAHKHRQQRRKDPEGTPYINHPIGVARILTHEAGITDIVVLQAALL HDTVEDTDTTLDEVELHFGAQVRRLVEEVTDDKTLPKLERKRQQVEQAPHSSPGAKLVKL ADKLYNLRDLNRCTPTGMLSYWL >ENSMUSP00000146252.1 pep:known chromosome:GRCm38:7:80343121:80345315:1 gene:ENSMUSG00000030532.6 transcript:ENSMUST00000206074.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hddc3 description:HD domain containing 3 [Source:MGI Symbol;Acc:MGI:1915945] MGSEAAQLLEAADFAAHKHRQQRRKDPEGTPYINHPIGVARILTHEAGITDIVVLQAALL HDTVEDTDTTLDEVELHFGAQVRRLVEEVTDDKTLPKLERKRQQVEQAPHSSPGAKLVKL ADKLYNLRDLNRCTPTVFSTLSSKLLHDIRQSS >ENSMUSP00000145645.1 pep:known chromosome:GRCm38:7:80343124:80346102:1 gene:ENSMUSG00000030532.6 transcript:ENSMUST00000205744.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hddc3 description:HD domain containing 3 [Source:MGI Symbol;Acc:MGI:1915945] MGSEAAQLLEAADFAAHKHRQQRRKDPEGTPYINHPIGGRASWEAALAARGGWGLTGTGT QSAYKLLSAFFESQALYQPLFMRYACKPHLG >ENSMUSP00000145537.1 pep:known chromosome:GRCm38:7:80343132:80345191:1 gene:ENSMUSG00000030532.6 transcript:ENSMUST00000206122.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hddc3 description:HD domain containing 3 [Source:MGI Symbol;Acc:MGI:1915945] MGSEAAQLLEAADFAAHKHRQQRRKDPEGTPYINHPIGVARILTHEAGITDIVVLQAALL HDTVEDTDTTLDEVELHFGAQVRRLVEEVTDDKTLPKLERKRQQVEQAPHSSPGAKLVKL ADKLYNLRDLNRCTPTENTSQKMSPQ >ENSMUSP00000145594.1 pep:known chromosome:GRCm38:7:80343144:80345228:1 gene:ENSMUSG00000030532.6 transcript:ENSMUST00000205531.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hddc3 description:HD domain containing 3 [Source:MGI Symbol;Acc:MGI:1915945] SEAAQLLEAADFAAHKHRQQRRKDPEGTPYINHPIGGRASWEAALAARGGWGLTGTGTQS AYKLLSAFFESQALYQPLFMRYACKPHLG >ENSMUSP00000145903.1 pep:known chromosome:GRCm38:7:80343566:80344904:1 gene:ENSMUSG00000030532.6 transcript:ENSMUST00000205768.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hddc3 description:HD domain containing 3 [Source:MGI Symbol;Acc:MGI:1915945] LESLILWCYRPPCSMTQ >ENSMUSP00000146222.1 pep:known chromosome:GRCm38:7:80343566:80345765:1 gene:ENSMUSG00000030532.6 transcript:ENSMUST00000206559.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hddc3 description:HD domain containing 3 [Source:MGI Symbol;Acc:MGI:1915945] XGITDIVVLQVSVTGLNGNLSPGRPAP >ENSMUSP00000145745.1 pep:known chromosome:GRCm38:7:80343766:80344795:1 gene:ENSMUSG00000030532.6 transcript:ENSMUST00000205448.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hddc3 description:HD domain containing 3 [Source:MGI Symbol;Acc:MGI:1915945] XVELHFGAQVRRLVEEVTDDKTLPKLERKRQQVEQAPHSSPGAKLVKLADKLYNLRDLNR CTPTVRVSIPAQTS >ENSMUSP00000145996.1 pep:known chromosome:GRCm38:7:80343779:80350547:1 gene:ENSMUSG00000030532.6 transcript:ENSMUST00000206089.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hddc3 description:HD domain containing 3 [Source:MGI Symbol;Acc:MGI:1915945] FGAQVRRLVEEVTDDKTLPKLERKRQQVEQAPHSSPGAKLVKLADKLYNLRDLNRCTPTG LSDLTYHGS >ENSMUSP00000096912.4 pep:known chromosome:GRCm38:13:93616891:93637961:-1 gene:ENSMUSG00000074768.5 transcript:ENSMUST00000099309.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhmt description:betaine-homocysteine methyltransferase [Source:MGI Symbol;Acc:MGI:1339972] MAPVAGKKAKKGILERLNAGEVVIGDGGFVFALEKRGYVKAGPWTPEAAVEHPEAVRQLH REFLRAGSNVMQTFTFYASEDKLENRGNYVAEKISGQKVNEAACDIARQVADEGDALVAG GVSQTPSYLSCKSEVEVKKIFRQQLEVFMKKNVDFLIAEYFEHVEEAVWAVEALKASGKP VAATMCIGPEGDLHGVPPGECAVRLVKAGASIVGVNCHFDPSVSLQTVKLMKEGLEAARL KAYLMSQPLAYHTPDCGKQGFIDLPEFPFGLEPRVATRWDIQKYAREAYNLGVRYIGGCC GFEPYHIRAIAEELAPERGFLPPASEKHGSWGSGLDMHTKPWIRARARKEYWQNLRIASG RPYNPSMSRPDAWGVTKGAAELMQQKEATTEQQLRELFEKQKFKSAQ >ENSMUSP00000060040.8 pep:known chromosome:GRCm38:13:99184823:99247037:1 gene:ENSMUSG00000050919.8 transcript:ENSMUST00000056558.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp366 description:zinc finger protein 366 [Source:MGI Symbol;Acc:MGI:2178429] MQKAMKMVKDEDGPLNAAAKSIPSFPHCLQPEASRGKAPQRHPFPEALRGPFSQFRYEPS PGDLDGFPEVFEGGGSRKRKSMPTKVPYTHPAEEASIAQESKSLGPPNLTLLFPQPQRPK CDSQMIDLCNVGLQFYRTLEHLGGKPVKQEPVKPSAMWPQPTPPAFLPAPYPYYPKVHPG LMFPFFVPSSSPFPFSRHTFLPKQPPEPVLPRKVEPLESEETKQKVERVDVNVQIDDSYY VDVGGAQKRWQCPTCEKSYTSKYNLVTHILGHSGIKPHACSRCGKLFKQLSHLHTHMLTH QGTRPHKCQVCHKAFTQTSHLKRHMMQHSEVKPHNCRVCSRGFAYPSELKAHEAKHASGR ENICVECGLDFPTLAQLKRHLTTHRGPIQYNCSECDKTFQYPSQLQNHMMKHKDIRPYIC SECGMEFVQPHHLKQHSLTHKGVKEHKCGICGREFTLLANMKRHVLIHTNIRAYQCHLCY KSFVQKQTLKAHMIVHSDVKPFKCKLCGKEFNRMHNLMGHLHLHSDSKPFKCLYCPSKFT LKGNLTRHMKVKHGVMERGLHSQGLGRGRLVLVQSAGVLRNLEQEEPFDLSQKRSANGPM FQSDVDSTQDCLCQEEEEEAGEEDNCYEVEPYSPSLAPESQQLCAPEDLSTKQEQTLQDP GEGCRDQDAPEEQQEDRSEDHEGSDIDCEGKDIDCAIREERLSSRLLQSGGQGPSFSDYL YFKHRDEGLKELLERKMEKQAVLLGI >ENSMUSP00000137355.1 pep:known chromosome:GRCm38:14:5365794:5389049:-1 gene:ENSMUSG00000096003.7 transcript:ENSMUST00000177986.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3500 description:predicted gene 3500 [Source:MGI Symbol;Acc:MGI:3781677] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPGSTSVSQVPRNSSVI >ENSMUSP00000137408.1 pep:known chromosome:GRCm38:14:5370436:5373401:-1 gene:ENSMUSG00000096003.7 transcript:ENSMUST00000178058.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3500 description:predicted gene 3500 [Source:MGI Symbol;Acc:MGI:3781677] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPGSTSVSQVPRNSR >ENSMUSP00000114860.1 pep:known chromosome:GRCm38:15:4375491:4520414:1 gene:ENSMUSG00000049148.8 transcript:ENSMUST00000130332.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plcxd3 description:phosphatidylinositol-specific phospholipase C, X domain containing 3 [Source:MGI Symbol;Acc:MGI:2442605] MASSQGKNELKFADWMATLPESIHSIPLTNLAIPGNKSRALQGKCHTFRHTLLGFEDV >ENSMUSP00000053553.4 pep:known chromosome:GRCm38:15:4375504:4575553:1 gene:ENSMUSG00000049148.8 transcript:ENSMUST00000061925.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plcxd3 description:phosphatidylinositol-specific phospholipase C, X domain containing 3 [Source:MGI Symbol;Acc:MGI:2442605] MASSQGKNELKFADWMATLPESIHSIPLTNLAIPGSHDSFSFYIDEASPVGPEQPETVQN FVSVFGTVAKKLMRKWLATQTMSFTGQLGAGIRYFDLRISTKPRDPDNELYFAHGLFSAK VNEGLEEINAFLTDHHKEVVFLDFNHFYGMQKYHHEKLVQMLKDIYGNKMCPAIFAQEVS LKYLWEKDYQVLVFYHSPVALEVPFLWPGQMMPAPWANTTDPEKLIQFLQASITERRKKG SFFISQVVLTPKASTVVKGVASGLRETITERALPAMMQWIRTQKPGESGINIVTADFVEL GDFISTVIKLNYVFNEGEANT >ENSMUSP00000054583.7 pep:known chromosome:GRCm38:15:85205949:85286535:1 gene:ENSMUSG00000006369.14 transcript:ENSMUST00000057410.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbln1 description:fibulin 1 [Source:MGI Symbol;Acc:MGI:95487] MERPVPSRLVPLPLLLLSSLSLLAARANADISMEACCTDGNQMANQHRDCSLPYTSESKE CRMVQEQCCHNQLEELHCATGINLASEPEGCASLHSYNSSLETIFIKRCCHCCMLGKASL ARDQTCEPIVMISYQCGLVFRACCVKARENSDFVQGNGADLQDPAKIPDEEDQEDPYLND RCRGGGPCKQQCRDTGDEVICSCFVGYQLQSDGVSCEDINECITGSHNCRLGESCINTVG SFRCQRDSSCGTGYELTEDNNCKDIDECETGIHNCPPDFICQNTLGSFRCRPKLQCKSGF IQDALGNCIDINECLSISAPCPVGQTCINTEGSYTCQKNVPNCGRGYHLNEEGTRCVDVD ECSPPAEPCGKGHHCLNSPGSFRCECKAGFYFDGISRTCVDINECQRYPGRLCGHKCENT PGSFHCSCSAGFRLSVDGRSCEDVNECLNSPCSQECANVYGSYQCYCRRGYQLSDVDGVT CEDIDECALPTGGHICSYRCINIPGSFQCSCPSSGYRLAPNGRNCQDIDECVTGIHNCSI NETCFNIQGSFRCLSFECPENYRRSADTFRQEKTDTVRCIKSCRPNDEACVRDPVHTVSH TVISLPTFREFTRPEEIIFLRAVTPLYPANQADIIFDITEGNLRDSFDIIKRYEDGMTVG VVRQVRPIVGPFYAVLKLEMNYVLGGVVSHRNVVNVHIFVSEYWF >ENSMUSP00000105058.3 pep:known chromosome:GRCm38:15:85206005:85251885:1 gene:ENSMUSG00000006369.14 transcript:ENSMUST00000109432.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbln1 description:fibulin 1 [Source:MGI Symbol;Acc:MGI:95487] MERPVPSRLVPLPLLLLSSLSLLAARANADISMEACCTDGNQMANQHRDCSLPYTSESKE CRMVQEQCCHNQLEELHCATGINLASEPEGCASLHSYNSSLETIFIKRCCHCCMLGKASL ARDQTCEPIVMISYQCGLVFRACCVKARENSDFVQGNGADLQDPAKIPDEEDQEDPYLND RCRGGGPCKQQCRDTGDEVICSCFVGYQLQSDGVSCEDINECITGSHNCRLGESCINTVG SFRCQRDSSCGTGYELTEDNNCKDIDECETGIHNCPPDFICQNTLGSFRCRPKLQCKSGF IQDALGNCIDINECLSISAPCPVGQTCINTEGSYTCQKNVPNCGRGYHLNEEGTRCVDVD ECSPPAEPCGKGHHCLNSPGSFRCECKAGFYFDGISRTCVDINECQRYPGRLCGHKCENT PGSFHCSCSAGFRLSVDGRSCEDVNECLNSPCSQECANVYGSYQCYCRRGYQLSDVDGVT CEDIDECALPTGGHICSYRCINIPGSFQCSCPSSGYRLAPNGRNCQDIDECVTGIHNCSI NETCFNIQGSFRCLSFECPENYRRSADTRCERLPCHENQECPRLPLRITYYHLSFPTNIQ VPAVVFRMGPSSAVPGDSMQLAITAGNEEGFFTTRKVSHHSGVVALTKPIPEPRDLLLTV KMDLYRHGTVSSFVAKLFIFVSAEL >ENSMUSP00000133687.1 pep:known chromosome:GRCm38:3:30746293:30767166:1 gene:ENSMUSG00000051860.13 transcript:ENSMUST00000174395.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Samd7 description:sterile alpha motif domain containing 7 [Source:MGI Symbol;Acc:MGI:1923203] MTNPMMSVSSLLTSGQQKVPMVPSPFGPPIVDRLGHFTT >ENSMUSP00000103897.3 pep:known chromosome:GRCm38:3:30746340:30767174:1 gene:ENSMUSG00000051860.13 transcript:ENSMUST00000108262.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd7 description:sterile alpha motif domain containing 7 [Source:MGI Symbol;Acc:MGI:1923203] MTNPMMSVSSLLTSGQQKVPMVPSPFGPPIVDRDVLSSSIAPTDPSQFCVPSQFGSSGLP NANMPNPLSSHFYSGWGILPPEPIKAVTTRNEMFERHHAARAEMEMYSLYQQRRMERVNP KGLSGLGIPLFYGSSCLGGPTGFQGRSTLPASDVHLHRSTFRHLQGNPILLATRPHFTEC WGQKYRLRRGAVYQKPPESDTESFKSQAEEKSSSQMPTLSYEEEEYIKDPDIEVDNQQKP RVADGKPTTVPANPHGELHTHQRKPSSLEANAWDDGKGKPSEQVYEGCDGKNGVFRPVSI LPLSGTHEQVALRENCSLSDIQKWTVDDVYNFIRSLPGCSDYAQVFKDHAIDGETLPLLT EQHLRGTMGLKLGPALKIQSQVSQHVGNMFCKKLPSLPTHARQAFDQPADTSPLLDMSSW SDGLSIPGPQDLLSPKRTEQDVMRN >ENSMUSP00000133333.1 pep:known chromosome:GRCm38:3:30751045:30765495:1 gene:ENSMUSG00000051860.13 transcript:ENSMUST00000172593.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Samd7 description:sterile alpha motif domain containing 7 [Source:MGI Symbol;Acc:MGI:1923203] MTNPMMSVSSLLTSGQQKVPMVPSPFGPPIVDRDVLSSSIAPTDPSQFCVPSQFGSSGLP NANMPNPLSSHFYSGWGILPPEPIKAVTTRNEMFERHHAARN >ENSMUSP00000120534.1 pep:known chromosome:GRCm38:4:114821722:114856166:1 gene:ENSMUSG00000055198.3 transcript:ENSMUST00000137570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12830 description:predicted gene 12830 [Source:MGI Symbol;Acc:MGI:3649998] XPKKRDTWSNRSRPAAWASLWPALIAACIALFHFKESTEKPVPGRQQKVKTQLTPIRALS QAPRERPIKPLMGSTDARASSFSSLGCGGLNQTCLHWAHPIFWWLLQGWKKGPFLKGKVG TKPPTNYTVEFLSFEEMTLVSTSGVQWISLILDLPAW >ENSMUSP00000020820.1 pep:known chromosome:GRCm38:11:58171661:58179565:1 gene:ENSMUSG00000020514.8 transcript:ENSMUST00000020820.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl22 description:mitochondrial ribosomal protein L22 [Source:MGI Symbol;Acc:MGI:1333794] MAAALLRELGALRVPNLRIWATQTLRVLPPSCIHTSASLDISRKWEKKNKIVYPPQLPGE PRRPAEIYHCRRQIKYSKDKMWYLAKMIRGMSIDQALAQLEFNDKKGAQIIKEVLLEAQD MAVRDHNVEFRSNLHIAESTSGRGQCLKRIRYHGRGRFGIMEKVYCHYFVKLVEGPPPPP EVPKTAVDHAKDYIQQLRSRTIIHTL >ENSMUSP00000064608.5 pep:known chromosome:GRCm38:X:71663667:71669257:1 gene:ENSMUSG00000056380.13 transcript:ENSMUST00000070449.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr50 description:G-protein-coupled receptor 50 [Source:MGI Symbol;Acc:MGI:1333877] MATVPKSNMGPTKAVPTPFGCIGCKLPKPDYPPALIIFMFCAMVITVVVDLIGNSMVILA VTKNKKLRNSGNIFVASLSVADMLVAIYPYPLMLYAMSVGGWDLSQLQCQMVGLVTGLSV VGSIFNITAIAINRYCYICHSLQYKRIFSLRNTCIYLVVTWVMTVLAVLPNMYIGTIEYD PRTYTCIFNYVNNPAFTVTIVCIHFVLPLIIVGYCYTKIWIKVLAARDPAGQNPDNQFAE VRNFLTMFVIFLLFAVCWCPVNVLTVLVAVIPKEMAGKIPNWLYLAAYCIAYFNSCLNAI IYGILNESFRREYWTIFHAMRHPILFISHLISDIRETWETRALTRARVRARDQVREQERA RACVAVEGTPRNVRNVLLPGDASAPHSDRASVRPKPQTRSTSVYRKPASIHHKSISGHPK SASVYPKPASSVHCKPASVHFKPASVHFKGDSVYFKGDTVHYRAASKLVTSHRISAGPST SHPTSMAGYIKSGTSHPATTTVDYLEPATTSHSVLTAVDLPEVSASHCLEMTSTGHLRAD ISASVLPSVPFELAATPPDTTAIPIASGDYRKVVLIDDDSDDSDCSDEMAV >ENSMUSP00000110451.1 pep:known chromosome:GRCm38:17:28313530:28326567:1 gene:ENSMUSG00000007570.15 transcript:ENSMUST00000114803.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fance description:Fanconi anemia, complementation group E [Source:MGI Symbol;Acc:MGI:1920025] MPLCWPGASFLTGLLRVALVSFCVKYTYAICRAVLCPLLQDPRVGPAQTELLCSLIKDES LESDMQVQILGQVLELAWREETFLVLQTLLERQVEMTPEVFSVLVQRLCKEGPAATTSMA YAKLMLTVMTKYQTSITEQQSLDLAVALEPNATFLKKALQAALRHVTH >ENSMUSP00000110449.1 pep:known chromosome:GRCm38:17:28313566:28326566:1 gene:ENSMUSG00000007570.15 transcript:ENSMUST00000114801.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fance description:Fanconi anemia, complementation group E [Source:MGI Symbol;Acc:MGI:1920025] MPLCWPGASFLTGLLRVALVSFCVKYTYAICRAVLCPLLQDPRVGPAQTELLCSLIKDES LESDMQVQILGQVLELAWREETFLVLQTLLERQITEQQSLDLAVALEPNATFLKKALQAA LRHVTH >ENSMUSP00000110452.3 pep:known chromosome:GRCm38:17:28313572:28326568:1 gene:ENSMUSG00000007570.15 transcript:ENSMUST00000114804.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fance description:Fanconi anemia, complementation group E [Source:MGI Symbol;Acc:MGI:1920025] MELLCSELQLPQLPDGGLLQLCSHLMGLTPALSLSNASVLARSLFLDRIRSLPSSASRLL RVALVSFCVKYTYAICRAVLCPLLQDPRVGPAQTELLCSLIKDESLESDMQVQILGQVLE LAWREETFLVLQTLLERQITEQQSLDLAVALEPNATFLKKALQAALRHVTH >ENSMUSP00000085322.4 pep:known chromosome:GRCm38:17:28313644:28326253:1 gene:ENSMUSG00000007570.15 transcript:ENSMUST00000088007.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fance description:Fanconi anemia, complementation group E [Source:MGI Symbol;Acc:MGI:1920025] MELLCSELQLPQLPDGGLLQLCSHLMGLTPALSLSNASVLARSLFLDRIRSLPSSASRLL RVALVSFCVKYTYAICRAVLCPLLQDPRVGPAQTELLCSLIKDESLESDMQVQILGQVLE LAWREETFLVLQTLLERQVEMTPEVFSVLVQRLCKEGPAATTSMAYAKLMLTVMTKYQTS ITEQQSLDLAVALEPNATFLKKALQAALRHVTH >ENSMUSP00000122226.1 pep:known chromosome:GRCm38:17:28316117:28320833:1 gene:ENSMUSG00000007570.15 transcript:ENSMUST00000133527.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fance description:Fanconi anemia, complementation group E [Source:MGI Symbol;Acc:MGI:1920025] MELLCSELQLPQLPDGGLLQLCSHLMGLTPALSLSNASVLARSLFLDRIRSLPSSASRLL RVALVSFCVKYTYAICRAVLCPLLQDPRVGPAQTELLCSLIKDESLESDMQVQILGQVLE LAWREETFLV >ENSMUSP00000119663.1 pep:known chromosome:GRCm38:17:28317561:28326284:1 gene:ENSMUSG00000007570.15 transcript:ENSMUST00000123248.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fance description:Fanconi anemia, complementation group E [Source:MGI Symbol;Acc:MGI:1920025] LSLSNASVLARSLFLDRIRSLPSSASRLLRVALVSFCVKYTYAICRAVLCPLLQDPRVGP AQTELLCSLIKDESLESDMQVQILGQVLELAWREETFLVLQTLLERQVEMTPEVFSVLVQ RLCKEGPAATTSMAYAKLMLTVMTKYQTSRVEET >ENSMUSP00000118622.1 pep:known chromosome:GRCm38:17:28318117:28326449:1 gene:ENSMUSG00000007570.15 transcript:ENSMUST00000156505.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fance description:Fanconi anemia, complementation group E [Source:MGI Symbol;Acc:MGI:1920025] RAVLCPLLQDPRVGPAQTELLCSLIKDESLESDMQVQILGQVLELAWREETFLVLQTLLE RQRVEET >ENSMUSP00000114386.1 pep:known chromosome:GRCm38:17:28318346:28326499:1 gene:ENSMUSG00000007570.15 transcript:ENSMUST00000146104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fance description:Fanconi anemia, complementation group E [Source:MGI Symbol;Acc:MGI:1920025] LLCSLIKDESLESDMQVQILGQVLELAWREETFLVLQTLLERQVEMTPEVFSVLVQRLCK EGPAATTSMAYAKLMLTVMTKYQTSLKPGVVVQAFNPSTWEAEAGGFLSSRPAWSTRSQS SRAWTWLWP >ENSMUSP00000138622.1 pep:known chromosome:GRCm38:7:3424195:3435667:1 gene:ENSMUSG00000078815.8 transcript:ENSMUST00000183200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng6 description:calcium channel, voltage-dependent, gamma subunit 6 [Source:MGI Symbol;Acc:MGI:1859168] MMWSNFFMQEEDRRRTAVGRRRAQEQQNLGLTPEREGKIKLGLLVAIVGATLAVLAVGTE FWVELNTYKTNGSAVCEAAHLGLWKVCIKRLWQADVPAGRETCGPAELPGEANCTYFKFF TTGENARIFQRTTKKEVNLAAAVIAVLGLTAMALGCLCVIMVLSKGAESLLRLGAVCFGL SGLLLFVSLEVFRHSVGALLQGVNPETPPAPRLAYEYSWSLGCGVGAGLILLLGGVCFLL LTLPSWPWRSLCPKWGGPTA >ENSMUSP00000104287.2 pep:known chromosome:GRCm38:7:3424662:3435163:1 gene:ENSMUSG00000078815.8 transcript:ENSMUST00000108647.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng6 description:calcium channel, voltage-dependent, gamma subunit 6 [Source:MGI Symbol;Acc:MGI:1859168] MMWSNFFMQEEDRRRTAVGRRRAQEQQNLGLTPEREGKIKLGLLVAIVGATLAVLAVGTE FWVELNTYKTNGSAVCEAAHLGLWKVCIKRLWQADVPAGRETCGPAELPGEANCTYFKFF TTGENARIFQRTTKKGLLLFVSLEVFRHSVGALLQGVNPETPPAPRLAYEYSWSLGCGVG AGLILLLGGVCFLLLTLPSWPWRSLCPKWGGPTA >ENSMUSP00000095949.3 pep:known chromosome:GRCm38:7:80349097:80371375:-1 gene:ENSMUSG00000038886.10 transcript:ENSMUST00000098346.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2a2 description:mannosidase 2, alpha 2 [Source:MGI Symbol;Acc:MGI:2150656] MKLKKQVTVCGAAIFCVAVFSLYLMLDRVQHDPARHQNGGNFPRSQISVLQNRIEQLEQL LEENHDIISRIKDSVLELTANAEGPPALLPYHTANGSWAVLPEPRPSFFSVSPQDCQFAL GGRGQKPELQMLTVSEDLPFDNVEGGVWRQGFDISYSPNDWDTEDLQVFVVPHSHNDPGW IKTFDKYYTEQTQHILNSMVSKLQEDPRRRFLWAEVSFFAKWWDNISAQKRAAVRRLVGN GQLEIATGGWVMPDEANSHYFALVDQLIEGHQWLERNLGATPRSGWAVDPFGHSSTMPYL LRRANLTSMLIQRVHYAIKKHFAATHSLEFMWRQMWDSDSSTDIFCHMMPFYSYDVPHTC GPDPKICCQFDFKRLPGGRINCPWKVPPRAITEANVADRAALLLDQYRKKSRLFRSNVLL VPLGDDFRYDKPQEWDAQFFNYQRLFDFLNSKPEFHVQAQFGTLSEYFDALYKRTGVEPG ARPPGFPVLSGDFFSYADREDHYWTGYYTSRPFYKSLDRVLEAHLRGAEILYSLALAHAR RSGLAGQYPLSDFALLTEARRTLGLFQHHDAITGTAKEAVVVDYGVRLLRSLVSLKQVII NAAHYLVLGDQETYSFDPGTPFLQMDDSRVSHDALPERTVIRLDSSPRFVVVFNPLEQER LSVVSLLVNSPRVRVLSEEGQPLSVQISVHWSSATDMVPDVYQVSVPVRLPGLGLGVLQL QPDLDGPYTLQSSVRVYLNGVKLSVSRQSAFPVRVVDSGASDFAISNRYMQVWFSGLTGL LKSIRRVDEEQEQQMELEFLVYGTRTSKDKSGAYLFLPDSEAKPYVPKKPPVLRVTEGPF FSEVAVYYEHFHQVIRLYNLPGVEGLSLDMSFQVDIRDYVNKELALRIHTDIDSQGTFFT DLNGFQIQPRQYLKKLPLQANFYPMPVMAYIQDSQRRLTLHTAQALGVSSLGNGQLEVIL DRRLMQDDNRGLGQGLKDNKITCNRFRLLLERRTTMSPEVHQEQERSTSYPSLLSHLTSM YLSTPPLVLPVAKRQGTSPALRSFHPLASPLPCDFHLLNLRMLPAEDTLPATDSALILHR KGFDCGLEAKNLGFNCTTSQGKLALGSLFHGLDVTFLQPTSLTLLYPLASPSNSTDISLE PMEISTFRLRLG >ENSMUSP00000146210.1 pep:known chromosome:GRCm38:7:80351267:80359745:-1 gene:ENSMUSG00000038886.10 transcript:ENSMUST00000206807.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man2a2 description:mannosidase 2, alpha 2 [Source:MGI Symbol;Acc:MGI:2150656] WMRSRSSRWNWSSSSTAPVPPRTRVAPTSSCLIARLSPTSLRNLLCCVSPKALSSQRWLC TMSTFTK >ENSMUSP00000145916.1 pep:known chromosome:GRCm38:7:80359717:80363500:-1 gene:ENSMUSG00000038886.10 transcript:ENSMUST00000205853.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man2a2 description:mannosidase 2, alpha 2 [Source:MGI Symbol;Acc:MGI:2150656] XSLDRVLEAHLRSAYTGPLPAPRCHHGNRQGGSSGRLRGQVAAFPGQPQAGYHQCCPLPG AGGPGDLQL >ENSMUSP00000145861.1 pep:known chromosome:GRCm38:7:80360288:80370861:-1 gene:ENSMUSG00000038886.10 transcript:ENSMUST00000206301.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Man2a2 description:mannosidase 2, alpha 2 [Source:MGI Symbol;Acc:MGI:2150656] MKLKKQVTVCGAAIFCVAVFSLYLMLDRVQHDPARHQNGGNFPRSQISVLQNRIEQLEQL LEENHDIISRIKDSVLELTANAEGPPALLPYHTANGSWAVLPEPRPSFFSVSPQDCQFAL GGRGQKPELQMLTVSEDLPFDNVEGGVWRQGFDISYSPNDWDTEDLQVFVVPHSHNDPGW IKTFDKYYTEQTQHILNSMVSKLQEDPRRRFLWAEVSFFAKWWDNISAQKRAAVRRLVGN GQLEIATGGWVMPDEANSHYFALVDQLIEGHQWLERNLGATPRSGWAVDPFGHSSTMPYL LRRANLTSMLIQRVHYAIKKHFAATHSLEFMWRQMWDSDSSTDIFCHMMPFYSYDVPHTC GPDPKICCQFDFKRLPGGRINCPWKVPPRAITEANVADRAALLLDQYRKKSRLFRSNVLL VPLGDDFRYDKPQEWDAQFFNYQRLFDFLNSKPEFHVQAQFGTLSEYFDALYKRTGVEPG ARPPGFPVLSGDFFSYADREDHYWTGYYTSRPFYKSLDRVLEAHLRSAYTGPLPAPRCHH GNRQGGSSGRLRGQVAAFPGQPQAGYHQCCPLPGAGGPGDLQL >ENSMUSP00000145820.1 pep:known chromosome:GRCm38:7:80369638:80370858:-1 gene:ENSMUSG00000038886.10 transcript:ENSMUST00000206212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2a2 description:mannosidase 2, alpha 2 [Source:MGI Symbol;Acc:MGI:2150656] MKLKKQVTVCGAAIFCVAVFSLYLMLDRV >ENSMUSP00000145688.1 pep:known chromosome:GRCm38:7:80369666:80371082:-1 gene:ENSMUSG00000038886.10 transcript:ENSMUST00000205436.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Man2a2 description:mannosidase 2, alpha 2 [Source:MGI Symbol;Acc:MGI:2150656] MKLKKQVTVCGAAIFCVAV >ENSMUSP00000141620.1 pep:known chromosome:GRCm38:3:27416162:27710398:-1 gene:ENSMUSG00000039286.12 transcript:ENSMUST00000195008.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc3b description:fibronectin type III domain containing 3B [Source:MGI Symbol;Acc:MGI:1919257] MYVTMMMTDQIPLELPPLLNGEVAMMPHLVNGEAAQQVILVQVNPGETFTIRAEDGTLQC IQGPAEVPMMSPNGSIPPIHVPPGYISQVIEDSTGVRRVVVTPQSPECYPPSYPSAMSPT HHLPPYLTHHPHFIQNSHTAYYPPVTVPGDMPPQFFPQPHLPPTIYSEPEIIPLYGMSSY VTREDQYSKPPHKKLKDRQIDRQNRLNSPPSTIYKNSCATVYNGYGKGHSGGSSGGGGGG SGGGPGIKKTERRARSSPKSSDSDLQEYELEVKRVQDILSGIEKPQVSNIQARAVVLSWA PPVGLSCGPHGGLSFPYSYEVALSDKGRDGKYKIIYSGEELECNLKDLRPATDYHVRVYA VYNSVKGSCSEPVSFTTHSCAPECPFPPKLAHRSKSSLTLQWKAPIDNGSKITSYLLEWD EGKRNSGFRQCFFGSQKHCKLTKLCPAMGYTFRLAARNDIGTSGYSQEVVCYTLGNIPQM PLAPRLVRAGVTWITLQWSRPEGCSPEEVITYTLDIQEDENDSHFHPKYTGEDLTCTVKN LKRSTQYKFRLTASNMEGKSCPSEVLVCTTSPDRPGPPTRPLIKGPVTSHGFSVKWDAPK DNGGSEILKYLLEITDGTSEAGQWEVAYSGSATEYVFTHLKPGTLYKLRACCISTGGHSQ CSESLPVRTLSLAPGQCRPPRVLGRPKHKGVHLEWDVPASESGCEVSEYSVEMTEPENVA SEVYHGPELECTVGNLLPGTVYRFRVRALNDGGYGPYSDVSEITTAAGPPGQCRAPRVSF TPDGCVLVGWESPASPGADISEYRLEWGEDEQSLELVYHGPDTCFEMRDLLPAAQYCCRL QAFNPAGAGPYSELVHCQTPASAPDPVSTLCVLEEEPPSAHPDSPSVCLVLNWEEPCNNG SEILAYNIDLGDSCITVGNTTTHVMKNLLPETTYRIRIQAINEIGVGPFSQFIKAKTRPL PPSPPRLECAASGPQSLKLKWGDSNSKTHAAGDMVYTLQLEDRNKRFISIYRGPSHTYKV QRLTEFTCYSFRIQAMSEAGEGPYSETYTFSTTKSVPPTLKAPRVTQLEGNSCEIFWETV PPMRGDPVSYVLQVLVGRDSEYKQVYKGEEATFQISGLQSNTDYRFRVCACRRCVDTSQE LSGAFSPSAAFMLQQREVMLTGDLGGMEEAKMKGMMPTDEQFAALIVLGFATLSILFAFI LQYFLMK >ENSMUSP00000041495.4 pep:known chromosome:GRCm38:3:27416162:27710439:-1 gene:ENSMUSG00000039286.12 transcript:ENSMUST00000046157.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc3b description:fibronectin type III domain containing 3B [Source:MGI Symbol;Acc:MGI:1919257] MYVTMMMTDQIPLELPPLLNGEVAMMPHLVNGEAAQQVILVQVNPGETFTIRAEDGTLQC IQGPAEVPMMSPNGSIPPIHVPPGYISQVIEDSTGVRRVVVTPQSPECYPPSYPSAMSPT HHLPPYLTHHPHFIQNSHTAYYPPVTVPGDMPPQFFPQPHLPPTIYSEPEIIPLYGMSSY VTREDQYSKPPHKKLKDRQIDRQNRLNSPPSTIYKNSCATVYNGYGKGHSGGSSGGGGGG SGGGPGIKKTERRARSSPKSSDSDLQEYELEVKRVQDILSGIEKPQVSNIQARAVVLSWA PPVGLSCGPHGGLSFPYSYEVALSDKGRDGKYKIIYSGEELECNLKDLRPATDYHVRVYA VYNSVKGSCSEPVSFTTHSCAPECPFPPKLAHRSKSSLTLQWKAPIDNGSKITSYLLEWD EGKRNSGFRQCFFGSQKHCKLTKLCPAMGYTFRLAARNDIGTSGYSQEVVCYTLGNIPQM PLAPRLVRAGVTWITLQWSRPEGCSPEEVITYTLDIQEDENDSHFHPKYTGEDLTCTVKN LKRSTQYKFRLTASNMEGKSCPSEVLVCTTSPDRPGPPTRPLIKGPVTSHGFSVKWDAPK DNGGSEILKYLLEITDGTSEAGQWEVAYSGSATEYVFTHLKPGTLYKLRACCISTGGHSQ CSESLPVRTLSLAPGQCRPPRVLGRPKHKGVHLEWDVPASESGCEVSEYSVEMTEPENVA SEVYHGPELECTVGNLLPGTVYRFRVRALNDGGYGPYSDVSEITTAAGPPGQCRAPRVSF TPDGCVLVGWESPASPGADISEYRLEWGEDEQSLELVYHGPDTCFEMRDLLPAAQYCCRL QAFNPAGAGPYSELVHCQTPASAPDPVSTLCVLEEEPPSAHPDSPSVCLVLNWEEPCNNG SEILAYNIDLGDSCITVGNTTTHVMKNLLPETTYRIRIQAINEIGVGPFSQFIKAKTRPL PPSPPRLECAASGPQSLKLKWGDSNSKTHAAGDMVYTLQLEDRNKRFISIYRGPSHTYKV QRLTEFTCYSFRIQAMSEAGEGPYSETYTFSTTKSVPPTLKAPRVTQLEGNSCEIFWETV PPMRGDPVSYVLQVLVGRDSEYKQVYKGEEATFQISGLQSNTDYRFRVCACRRCVDTSQE LSGAFSPSAAFMLQQREVMLTGDLGGMEEAKMKGMMPTDEQFAALIVLGFATLSILFAFI LQYFLMK >ENSMUSP00000141888.1 pep:known chromosome:GRCm38:3:27501435:27711239:-1 gene:ENSMUSG00000039286.12 transcript:ENSMUST00000193779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fndc3b description:fibronectin type III domain containing 3B [Source:MGI Symbol;Acc:MGI:1919257] MYVTMMMTDQIPLELPPLLNGEVAMMPHLVNGEAAQQVILVQVNPGETFTIRAEDGTLQC IQEYELEVKRVQDILSGIEKPQVSNIQARAVVLSWAPPVGLSCGPHGGLSFPYSYEVAL >ENSMUSP00000027373.9 pep:known chromosome:GRCm38:16:16896469:16927364:1 gene:ENSMUSG00000026181.11 transcript:ENSMUST00000027373.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppm1f description:protein phosphatase 1F (PP2C domain containing) [Source:MGI Symbol;Acc:MGI:1918464] MASGAAQNSSQMACDSEIPGFLDAFLQDFPAPLSLESPLPWKVPGTVLSQEEVEAELIEL ALGFLGSRNAPPSFAVAVTHEAISQLLQTDLSEFKRLPEQEEEEEEEEEEKALVTLLDAK GLARSFFNCLWKVCSQWQKQVPLTAQAPQWQWLVSIHAIRNTRRKMEDRHVSLPAFNHLF GLSDSVHRAYFAVFDGHGGVDAARYASVHVHTNASHQPELRTNPAAALKEAFRLTDEMFL QKAKRERLQSGTTGVCALIAGAALHVAWLGDSQVILVQQGRVVKLMEPHKPERQDEKARI EALGGFVSLMDCWRVNGTLAVSRAIGDVFQKPYVSGEADAASRELTGSEDYLLLACDGFF DVVPHHEVTGLVHGHLLRHKGNGMRIAEELVAVARDRGSHDNITVMVVFLREPLELLEGG VQGTGDAQADVGSQDLSTGLSELEISNTSQRS >ENSMUSP00000110020.1 pep:known chromosome:GRCm38:X:73922121:73930792:-1 gene:ENSMUSG00000031387.14 transcript:ENSMUST00000114379.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Renbp description:renin binding protein [Source:MGI Symbol;Acc:MGI:105940] MMDLGLLMLQDMEKERETLQVWKKRVEQELDRVIAFWMEHSHDQEHGGFFTCLGRDGKVW MYCRLYRSFERFRRVELLDAARAGGEFLLRYARVAPPGKKCAFVLTRDGRPVKVQRTIFS ECFYTMAMNELWKVTGEVRYQSEAIEMMDQIIHWVREDPAGLGRPQLSGALATEPMAVPM MLLSLVEQLGEEDEELTNMYAELGDWCVHRILQHVQRDGQVVLENVSEDGKELPGCLGRH QNPGHTLEAGWFLLQYALRKGDPKLRMHIIDKFLLLPFHSGWDPEHGGLFYFQDADGLCP TQLEWNMKLWWPHSEAMIAFLMGYSDSGDPALLHLFYKVAEYTFRQFRDPEYGEWFGYLN QEGKVALTIKGGPFKGCFHVPRCLAMCEQILGALLQRLEPAPLDSSPAVSTHEGSK >ENSMUSP00000112277.1 pep:known chromosome:GRCm38:X:73922121:73930850:-1 gene:ENSMUSG00000031387.14 transcript:ENSMUST00000116578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Renbp description:renin binding protein [Source:MGI Symbol;Acc:MGI:105940] MMDLGLLMLQDMEKERETLQVWKKRVEQELDRVIAFWMEHSHDQEHGGFFTCLGRDGKVY DHLKYVWLQGRQVWMYCRLYRSFERFRRVELLDAARAGGEFLLRYARVAPPGKKCAFVLT RDGRPVKVQRTIFSECFYTMAMNELWKVTGEVRYQSEAIEMMDQIIHWVREDPAGLGRPQ LSGALATEPMAVPMMLLSLVEQLGEEDEELTNMYAELGDWCVHRILQHVQRDGQVVLENV SEDGKELPGCLGRHQNPGHTLEAGWFLLQYALRKGDPKLRMHIIDKFLLLPFHSGWDPEH GGLFYFQDADGLCPTQLEWNMKLWWPHSEAMIAFLMGYSDSGDPALLHLFYKVAEYTFRQ FRDPEYGEWFGYLNQEGKVALTIKGGPFKGCFHVPRCLAMCEQILGALLQRLEPAPLDSS PAVSTHEGSK >ENSMUSP00000116549.1 pep:known chromosome:GRCm38:X:73922139:73930756:-1 gene:ENSMUSG00000031387.14 transcript:ENSMUST00000155597.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Renbp description:renin binding protein [Source:MGI Symbol;Acc:MGI:105940] MEKERETLQVWKKRVEQELDRVIAFWMEHSHDQEHGGFFTCLGRDGKVYDHLKYVWLQGR QVWMYCRLYRSFERFRRVELLDAARAGGEFLLRYARVAPPGKKCAFVLTRDGRPVKVQRT IFSECFYTMAMNELWKVTGEVRYQSEAIEMMDQIIHWVREDPAGLGRPQLSGALATEPMA VPMMLLSLVEQLGEEDEELTNMYAELGDWCVHRILQHVQRDGQVVLENVSEDGKELPGCL GRHQNPGHTLEAGWFLLQYALRKGDPKLRMHIIDKFLLLPFHSGWDPEHGGLFYFQDADG LCPTQLEWNMKLWWPHSEAMIAFLMGYSDSGDPALLHLFYKVAEYTFRQFRDPEYGEWFG YLNQEGKVALTIKGGPFKGCFHVPRCLAMCEQILGALLQRLEPAPLDSSPAVSTHEGSK >ENSMUSP00000132729.2 pep:known chromosome:GRCm38:X:73929142:73930745:-1 gene:ENSMUSG00000031387.14 transcript:ENSMUST00000165359.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Renbp description:renin binding protein [Source:MGI Symbol;Acc:MGI:105940] MMDLGLLMLQDMEKERETLQVWKKRVEQELDRVIAFWMEHSHDQEHGW >ENSMUSP00000137682.1 pep:known chromosome:GRCm38:14:5438065:5455467:-1 gene:ENSMUSG00000094825.8 transcript:ENSMUST00000180867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3194 description:predicted gene 3194 [Source:MGI Symbol;Acc:MGI:3781373] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNSSVI >ENSMUSP00000137641.1 pep:known chromosome:GRCm38:14:5439122:5449039:-1 gene:ENSMUSG00000094825.8 transcript:ENSMUST00000180143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3194 description:predicted gene 3194 [Source:MGI Symbol;Acc:MGI:3781373] MNIKMTMRWCPALYFPVKNAKIKKTHVKAYVGMFSWLLRLFQKENGDEGETRPTEKEEGI LSHEKGRRKSFWRRHRSARNTSTQNSKMTKKRSKINELEELKLDMRKISNDMEEMCGILN LYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHL LRECTQLNENVRILLNENRRLLVEQAGHKCPVGKKRGSLRRPARTSVSQVPRNSSPAESR TWHRPRHDLPQREVLEEEH >ENSMUSP00000030122.4 pep:known chromosome:GRCm38:4:40920052:40931395:1 gene:ENSMUSG00000028415.4 transcript:ENSMUST00000030122.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spink4 description:serine peptidase inhibitor, Kazal type 4 [Source:MGI Symbol;Acc:MGI:1341848] MAMHLWLVTLTLVPLLGMDRELMVSAGSLVFPRMPFCEHMAELPNCPQTPNLICGTDGLT YENECHLCLTRMKTMKDIQIMKDGQC >ENSMUSP00000092464.3 pep:known chromosome:GRCm38:5:34194893:34288326:-1 gene:ENSMUSG00000037224.15 transcript:ENSMUST00000094868.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve28 description:zinc finger, FYVE domain containing 28 [Source:MGI Symbol;Acc:MGI:2684992] MMNRFRKWLYKPKRSDPQLLAQFYYADEELNQVAAELDSLDGRKEPQRCTLLVSQFRSCQ DNVLNIINQIMEECIPQDRAPRDFCVKFPEEIRHDNLAGQLWFGAECLAAGSIIMNRELE SMAMRPLAKELTRSLEDVRGTLRDQALRDLNTYTEKMREALRRFDVLFAEFELSYVSAMV PVKSPREYYVQQEVIVLFCETVERALDFGYLTQDMIDDYEPALMFTIPRLAIVCGLVVYA DGPLNLDRKVEDMSELFRPFHTLLRKIRDLLQALTEEELHTLERSLCVSQDVELPIRADT QAPSALAPTFSASLPPEETLSASANNPEAELACSMQYDDQELEELSRMVHRAGDEMSSLL SPPSACQSPAHRPGSEASPRGEASPARARLKSGSDEEERVFFMDDVEVTESPARPESPGN TFELTQGNAQQRGQDGQSGEVGVEAPALVKEEDWSNNNVEGDKIKLASLMGSTSCSCLDS QLYLDGWEVSAEDAETAEMIAHRTGGMKLSATVIFNPKSPTSQDSAVAAQEAPGHGTSPL EPRAEGTGDNSHKLSTTATNCLLHSCVCCGSCGDSRDDAVERLREKCGPGSVISASNPSV SLAKGGDKEPERIDEAQPSDVTLPAEDASNRQEPKAPASSKCLAHTSGPQVDTASRLQGE GEVKGQPEPEARKQDPEKSPVVSGDSPRGDVAQTEHQHLLGSSSTVGSCSLDNTRLDVAT AAMPVTPMATREKIRSRFHGSHDLIHRLFVCISGVADQLQTNYASDLRSILKTLFEVMAT KPETDDKEKLKKVTQTLRSAALEDCALCQETVSSSELAAKTRDGDFEDPPEWVPDEACGF CTSCKAPFTVIRRKHHCRSCGKIFCSRCSSHSAPLPRYGQVKPVRVCTHCYMFHVTPFYS DKTGM >ENSMUSP00000110008.1 pep:known chromosome:GRCm38:5:34234412:34288437:-1 gene:ENSMUSG00000037224.15 transcript:ENSMUST00000114368.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfyve28 description:zinc finger, FYVE domain containing 28 [Source:MGI Symbol;Acc:MGI:2684992] MMNRFRKWLYKPKRSDPQLLAQFYYADEELNQVAAELDSLDGRKEPQRCTLLVSQFRSCQ SFFLFQDNVLNIINQIMEECIPQDRAPRDFCVKFPEEIRHDNLAGQLWFGAECLAAGSII MNRELESMAMRPLAKEL >ENSMUSP00000023610.8 pep:known chromosome:GRCm38:16:85793827:85803113:-1 gene:ENSMUSG00000022893.14 transcript:ENSMUST00000023610.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts1 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 1 [Source:MGI Symbol;Acc:MGI:109249] MQPKVPLGSRKQKPCSDMGDVQRAARSRGSLSAHMLLLLLASITMLLCARGAHGRPTEED EELVLPSLERAPGHDSTTTRLRLDAFGQQLHLKLQPDSGFLAPGFTLQTVGRSPGSEAQH LDPTGDLAHCFYSGTVNGDPGSAAALSLCEGVRGAFYLQGEEFFIQPAPGVATERLAPAV PEEESSARPQFHILRRRRRGSGGAKCGVMDDETLPTSDSRPESQNTRNQWPVRDPTPQDA GKPSGPGSIRKKRFVSSPRYVETMLVADQSMADFHGSGLKHYLLTLFSVAARFYKHPSIR NSISLVVVKILVIYEEQKGPEVTSNAALTLRNFCSWQKQHNSPSDRDPEHYDTAILFTRQ DLCGSHTCDTLGMADVGTVCDPSRSCSVIEDDGLQAAFTTAHELGHVFNMPHDDAKHCAS LNGVSGDSHLMASMLSSLDHSQPWSPCSAYMVTSFLDNGHGECLMDKPQNPIKLPSDLPG TLYDANRQCQFTFGEESKHCPDAASTCTTLWCTGTSGGLLVCQTKHFPWADGTSCGEGKW CVSGKCVNKTDMKHFATPVHGSWGPWGPWGDCSRTCGGGVQYTMRECDNPVPKNGGKYCE GKRVRYRSCNIEDCPDNNGKTFREEQCEAHNEFSKASFGNEPTVEWTPKYAGVSPKDRCK LTCEAKGIGYFFVLQPKVVDGTPCSPDSTSVCVQGQCVKAGCDRIIDSKKKFDKCGVCGG NGSTCKKMSGIVTSTRPGYHDIVTIPAGATNIEVKHRNQRGSRNNGSFLAIRAADGTYIL NGNFTLSTLEQDLTYKGTVLRYSGSSAALERIRSFSPLKEPLTIQVLMVGHALRPKIKFT YFMKKKTESFNAIPTFSEWVIEEWGECSKTCGSGWQRRVVQCRDINGHPASECAKEVKPA STRPCADLPCPHWQVGDWSPCSKTCGKGYKKRTLKCVSHDGGVLSNESCDPLKKPKHYID FCTLTQCS >ENSMUSP00000118471.1 pep:known chromosome:GRCm38:16:85795476:85801481:-1 gene:ENSMUSG00000022893.14 transcript:ENSMUST00000125897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts1 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 1 [Source:MGI Symbol;Acc:MGI:109249] MLVADQSMADFHGSGLKHYLLTLFSVAARFYKHPSIRNSISLVVVKILVIYEEQKGPEVT SNAALTLRNFCSWQKQHNSPSDRDPEHYDTAILFTRQDLCGSHTCDTLGMADVGTVCDPS RSCSVIEDDGLQAAFTTAHELGHVFNMPHDDAKHCASLNGVSGDSHLMASMLSSLDHSQP WSPCSAYMVTSFLDNGHGECLMDKPQNPIKLPSDLPGTLYDANRQCQFTFGEESKHCPDA ASTCTTLWCTGTSGGLLVCQTKHFPWADGTSCGEGKWCVSGKCVNKTDMKHFATPVHGSW GPWGPWGDCSRTCGGGVQYTMRECDNPVPKNGGKYCEGKRVRYRSCNIEDCPDNNGKTFR EEQCEAHNEFSKASFGNEPTVEWTPKYAGVSPKDRCKLTCEAKGIGYFFVLQPKVVDGTP CSPDSTSVCVQGQCVKAGCDRIIDSKKKFDKCGVCGGNGSTCKKMSGIVTSTRPGYHDIV TIPAGATNIEVKHRNQRGSRNNGSFLAIRAADGTYILNGNFTLSTLEQDLTYKGTVLRYS GSSAALERIRSFSPLKEPLTIQVLMVGHALRPKIKFTYFMKKKTESFNAIPTFSEWVIEE WGECSKTCGSGWQRRVVQCRDINGHPASECAKEVKPASTRPCADLPCPHWQVGDWSPCSK TCGKGYKKRTLKCVSHDGGVL >ENSMUSP00000110117.1 pep:known chromosome:GRCm38:X:73655994:73659724:-1 gene:ENSMUSG00000002012.13 transcript:ENSMUST00000114473.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnck description:pregnancy upregulated non-ubiquitously expressed CaM kinase [Source:MGI Symbol;Acc:MGI:1347357] MLLLKKQTEDISSVYEIREKLGSGAFSEVMLAQERGSAHLVALKCIPKKALRGKEALVEN EIAVLRRISHPNIVALEDVHESPSHLYLAMELVTGGELFDRIMERGSYTEKDASHLVGQV LGAVSYLHSLGIVHRDLKPENLLYATPFEDSKIMVSDFGLSKIQAGNMLGTACGTPGYVA PELLEQKPYGKAVDVWALGVISYILLCGYPPFYDESDPELFSQILRASYEFDSPFWDDIS ESAKDFIRHLLERDPQKRFTCQQALQHLWISGDAAFDRDILGSVSEQIQKNFARTHWKRA FNATSFLRHIRKLGQSPEGEEASRQCMTRHSHPGLGTSQSPKW >ENSMUSP00000002087.7 pep:known chromosome:GRCm38:X:73655994:73659828:-1 gene:ENSMUSG00000002012.13 transcript:ENSMUST00000002087.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnck description:pregnancy upregulated non-ubiquitously expressed CaM kinase [Source:MGI Symbol;Acc:MGI:1347357] MLLLKKQTEDISSVYEIREKLGSGAFSEVMLAQERGSAHLVALKCIPKKALRGKEALVEN EIAVLRRISHPNIVALEDVHESPSHLYLAMELVTGGELFDRIMERGSYTEKDASHLVGQV LGAVSYLHSLGIVHRDLKPENLLYATPFEDSKIMVSDFGLSKIQAGNMLGTACGTPGYVA PELLEQKPYGKAVDVWALGVISYILLCGYPPFYDESDPELFSQILRASYEFDSPFWDDIS ESAKDFIRHLLERDPQKRFTCQQALQHLWISGDAAFDRDILGSVSEQIQKNFARTHWKRA FNATSFLRHIRKLGQSPEGEEASRQCMTRHSHPGLGTSQSPKW >ENSMUSP00000110116.1 pep:known chromosome:GRCm38:X:73655995:73660117:-1 gene:ENSMUSG00000002012.13 transcript:ENSMUST00000114472.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnck description:pregnancy upregulated non-ubiquitously expressed CaM kinase [Source:MGI Symbol;Acc:MGI:1347357] MLLLKKQTEDISSVYEIREKLGSGAFSEVMLAQERGSAHLVALKCIPKKALRGKEALVEN EIAVLRRISHPNIVALEDVHESPSHLYLAMELVTGGELFDRIMERGSYTEKDASHLVGQV LGAVSYLHSLGIVHRDLKPENLLYATPFEDSKIMVSDFGLSKIQAGNMLGTACGTPGYVA PELLEQKPYGKAVDVWALGVISYILLCGYPPFYDESDPELFSQILRASYEFDSPFWDDIS ESAKDFIRHLLERDPQKRFTCQQALQHLWISGDAAFDRDILGSVSEQIQKNFARTHWKRA FNATSFLRHIRKLGQSPEGEEASRQCMTRHSHPGLGTSQSPKW >ENSMUSP00000120453.1 pep:known chromosome:GRCm38:X:73657276:73659433:-1 gene:ENSMUSG00000002012.13 transcript:ENSMUST00000144187.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnck description:pregnancy upregulated non-ubiquitously expressed CaM kinase [Source:MGI Symbol;Acc:MGI:1347357] MLLLKKQTEDISSVYEIREKLGSGAFSEVMLAQERGSAHLVALKCIPKKALRGKEALVEN EIAVLRRISHPNIVALEDVHESPSHLYLAMELVTGGELFDRIMERGSYTEKDASHLVGQV LGAVSYLHSLGIVHRDLKPENLLYATPFEDSKIMVSDFGLSKIQAGNMLGTACGTPGYVA PELLEQKPYGKAVDVWALGVISYILLCGYPPFYDESDPELFSQILRA >ENSMUSP00000104030.2 pep:known chromosome:GRCm38:3:3508030:3657844:1 gene:ENSMUSG00000017688.14 transcript:ENSMUST00000108393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf4g description:hepatocyte nuclear factor 4, gamma [Source:MGI Symbol;Acc:MGI:1353604] MDSSAPETTSMNTTDSGVNCLCAICGDRATGKHYGASSCDGCKGFFRRSIRKSHVYSCRF SRQCVVDKDKRNQCRYCRLRKCFRAGMKKEAVQNERDRISTRRSTYEGSNIPSINTLAQA EVRSCQISVPSPSSSTDINIKKIASISDVCESMKQQLLVLVEWAKYIPAFCELPLDDQVA LLRAHAGEHLLLGATKRSMMYKDILLLGNHYVIHRNSCEVEVSRVANRVLDELVRPFQEI QIDDNEYACLKAIVFFDPDAKGLSDPVKIKNMRFQVQISLEDYINDRQYDSRGRFGELLL LLPTLQSITWQMIEQIQFVKLFGMVKIDNLLQEMLLGGAANDGSHLHHPMHPHLSQDPLT GQTILLGPMSTLVHTDQIATPETPLPSPPQGSGQEPYKITANQASVISHQSLSKQKQL >ENSMUSP00000104031.1 pep:known chromosome:GRCm38:3:3634150:3658052:1 gene:ENSMUSG00000017688.14 transcript:ENSMUST00000108394.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnf4g description:hepatocyte nuclear factor 4, gamma [Source:MGI Symbol;Acc:MGI:1353604] MCVSQSMMRVSEPILDMDMGNYSEVLDPTYSTLEFDTMQILYNPNDSSAPETTSMNTTDS GVNCLCAICGDRATGKHYGASSCDGCKGFFRRSIRKSHVYSCRFSRQCVVDKDKRNQCRY CRLRKCFRAGMKKEAVQNERDRISTRRSTYEGSNIPSINTLAQAEVRSCQISVPSPSSST DINIKKIASISDVCESMKQQLLVLVEWAKYIPAFCELPLDDQVALLRAHAGEHLLLGATK RSMMYKDILLLGNHYVIHRNSCEVEVSRVANRVLDELVRPFQEIQIDDNEYACLKAIVFF DPDAKGLSDPVKIKNMRFQVQISLEDYINDRQYDSRGRFGELLLLLPTLQSITWQMIEQI QFVKLFGMVKIDNLLQEMLLGGAANDGSHLHHPMHPHLSQDPLTGQTILLGPMSTLVHTD QIATPETPLPSPPQGSGQEPYKITANQASVISHQSLSKQKQL >ENSMUSP00000142624.1 pep:known chromosome:GRCm38:5:24543432:24555410:-1 gene:ENSMUSG00000038199.12 transcript:ENSMUST00000200634.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:4931409K22Rik description:RIKEN cDNA 4931409K22 gene [Source:MGI Symbol;Acc:MGI:3045319] MSEGTYQRLWEASHVTLEEVLEKEPSVLEPVPSRERQSFQYRISVLYLYYLGLLRRFNLA YDQMVQPQKRRLLRRLLDGVAGRVLELKDELVRVDLCETHCLDRVLQDLKLTPADLEVPI PKYFQLEQSSAVKARQQMLADILNRLEPLVSQENLRGLSRTAALILVQSAERARQGRLRA TFMREIRKEEERDRRIWENGQQKFSRDQGAIVIQKETPASSSHPCCSPLGLQSQGLENI >ENSMUSP00000085642.5 pep:known chromosome:GRCm38:5:24543432:24555410:-1 gene:ENSMUSG00000038199.12 transcript:ENSMUST00000088302.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931409K22Rik description:RIKEN cDNA 4931409K22 gene [Source:MGI Symbol;Acc:MGI:3045319] MSEGTYQRLWEASHVTLEEVLEKEPSVLEPVPSRERQSFQYRISVLYLYYLGLLRRFNLA YDQMVQPQKRRLLRRLLDGVAGRVLELKDELVRVDLCETHCLDRVLQDLKLTPADLEVPI PKYFQLEQSSAVKARQQMLADILNRLEPLVSQENLRGLSRTAALILVQSAERARQGRLRA TFMREIRKEEERDRRIWENGQQKFSRDQGAIVIQKVWKGYLQRKRIEQDRRVEMEFIGML PSPNQTARLNTLNQAFLGEESRRMRQVEKEEEFQEAIGKTYESLTETEGPDMKERMKDQI RQWFIECHALTGRFPDYPDEASGGSYLIFADKTPEQVRLDLEAQAQESRKKDQEKKEKNK EKEKEKKEKKKKKVKEEKVKKEPEVMFRVLPSKSIPVINAGHEEYTSVWKSRYDNKHPSQ NFDSETLREEKRKQVEMEIRVQVDELMRQELKNLRLAVDREETRPLKSPKKKGGKKSGKK KKEKDLTPDRSVDSLFEELVIIGLIKKSALVTLSDYIGDCLYLGSTLTLANKMPMPSLFD IRQNMALYGVLRLGSHDIHTMAPLVRSILLVGPSGMGKKMLVQAVCTETGANLFDLSPDN LMGKYPGKNGAQLLVHIVFKVARLLQPSVIWIGNTEKTFYKKVPKEERTMDPKRIKKDLM RATKQLSPGDRVMLIGTTERPQLAEMKGLCRFYERILFIPRPDYASRYVLWKRMIESQGR GVQLTSSLDVSALARVSDGYTPSHILQSIQSVLTERRLLQLIKKPLVASEFVGHLARLDP VYREEEESLKEWFFKTPLGKKNMKFTKDQLEAEEARLTKEKKKKK >ENSMUSP00000142918.1 pep:known chromosome:GRCm38:5:24552635:24555469:-1 gene:ENSMUSG00000038199.12 transcript:ENSMUST00000198887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4931409K22Rik description:RIKEN cDNA 4931409K22 gene [Source:MGI Symbol;Acc:MGI:3045319] MSEGTYQRLWEASHVTLEEVLEKEPSVLEPVPSRERQSFQYRISVLYLYYLGLLRRFNLA YDQMVQPQKRRLLRRLLDGVAGRVLELKDELVRVDLCETHCLDRVLQDLKLTPNLRGLSR TAALILVQSAERARQGRLRATFMREIRKEEERDR >ENSMUSP00000127520.1 pep:known chromosome:GRCm38:5:15028950:15032998:-1 gene:ENSMUSG00000091897.1 transcript:ENSMUST00000167908.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm17019 description:predicted gene 17019 [Source:MGI Symbol;Acc:MGI:4868370] MALFARLCRLFQRANVDGRDTREGRKDADLPSERNEGRRRWTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLMEENLIKKKSMTLQQESKEVQADWA IIHQRLVELNLSVKDEQENSNLETPEYQVSEAARELGLATAEEDSILQNELPGQEAPAEH HLQHPQSSSDESSSI >ENSMUSP00000138067.1 pep:known chromosome:GRCm38:14:5469438:5477830:-1 gene:ENSMUSG00000095797.8 transcript:ENSMUST00000180788.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8246 description:predicted gene 8246 [Source:MGI Symbol;Acc:MGI:3644639] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECSQLKEKVRILLNENRKLLVEQAGRQVSH GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRKSTECAQEIHHC CLSSLITMAVMGCMLLLYFVSLV >ENSMUSP00000136840.1 pep:known chromosome:GRCm38:14:5474851:5477830:-1 gene:ENSMUSG00000095797.8 transcript:ENSMUST00000179411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8246 description:predicted gene 8246 [Source:MGI Symbol;Acc:MGI:3644639] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECSQLKEKVRILLNENRKLLVEQAGRQVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000094239.4 pep:known chromosome:GRCm38:X:12675369:12762073:-1 gene:ENSMUSG00000064127.13 transcript:ENSMUST00000096495.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med14 description:mediator complex subunit 14 [Source:MGI Symbol;Acc:MGI:1349442] MAPVQLDNHQLIPPGGGGGSSGGGGSSSGSASAPAPPPPAAAVAAAAAAAASPGYRLSTL IEFLLHRAYSELMVLTDLLPRKSDVERKIEIVQFASRTRQLFVRLLALVKWANDAGKVEK CAMISSFLDQQAILFVDTADRLASLARDALVHARLPSFAIPYAIDVLTTGSYPRLPTCIR DKIIPPDPITKIEKQATLHQLNQILRHRLVTTDLPPQLANLTVANGRVKFRVEGEFEATL TVMGDDPEVPWRLLKLEILVEDKETGDGRALVHSMQIDFIHQLVQSRLFADEKPLQDMYN CLHCFCLSLQLEVLHSQTLMLIRERWGDLVQVERYHAGKSLSLSVWNQQVLGRKTGTASV HKVTIKIDENDVSKPLQIFHDPPLPASDSKLVERAMKIDHLSIEKLLIDSVHARAHQRLQ ELKAILRSFNANESSSIETALPALIVPILEPCGNSECLHIFVDLHSGMFQLMLYGLDPAT LEDMEKSLNDDMKRIIPWIQQLKFWLGQQRCKQSIKHLPTITTETLQLANYSTHPIGSLS KNKLFIKLTRLPQYYIVVEMLEVPNKPTQLSYNYYFMSVSTADREDSPVMALLLQQFKDN IQDLMSYTKTGKQTRTGTKHKLSDDPCPIDSKKAKRSGEMCAFNKVLAHFVAMCDTNMPF VGLRLELSNLEIPHQGVQVEGDGFNHAIRLLKIPPCKGISEETQKALDRSLLDCTFRLQG RNNRTWVAELVFANCPLNGTSTREQGPSRHVYLTYENLLSEPVGGRKVVEMFLNDWSSIA RLYECVLEFARSLPEIPAHLNIFSEVRVYNYRKLILCYGTTKGSSISIQWNSIHQKFHIA LGTVGPNSGCSNCHNTILHQLQEMFNKTPNVVQLLQVLFDTQAPLNAINKLPTVPMLGLT QRTNTAYQCFSILPQSSTHIRLAFRNMYCIDIYCRSRGVVAIRDGAYSLFDNSKLVEGFY PAPGLKTFLNMFVDSNQDARRRSVNEDDNPPSPIGGDMMDSLISQLQPPQQQPFPKQPGT SGAYPLTSPPTSYHSTVNQSPSMMHTQSPGNLHAASSPSGALRAPSPASFVPTPPPSSHG ISIGPGASFASPHGTLDPSSPYTMVSPSGRAGNWPGSPQVSGPSPATRLPGMSPANPSLH SPVPDVSHSPRAGTSSQTMPTNMPPPRKLPQRSWAASIPTILTHSALNILLLPSPTPGLV PGLAGSYLCSPLERFLGSVIMRRHLQRIIQQETLQLINSNEPGVIMFKTDALKCRVALSP KTNQTLQLKVTPENAGQWKPDELQVLEKFFETRVAGPPFKANTLIAFTKLLGAPTHILRD CVHIMKLELFPDQATQLKWNVQFCLTIPPSAPPIAPPGTPAVVLKSKMLFFLQLTQKTSV PPQEPVSIIVPIIYDMASGTTQQADIPRQQNSSVAAPMMVSNILKRFAEMNPPRQGECTI FAAVRDLMANLTLPPGGRP >ENSMUSP00000111143.1 pep:known chromosome:GRCm38:X:12679035:12716158:-1 gene:ENSMUSG00000064127.13 transcript:ENSMUST00000115481.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med14 description:mediator complex subunit 14 [Source:MGI Symbol;Acc:MGI:1349442] MCAFNKVLAHFVAMCDTNMPFVGLRLELSNLEIPHQGVQVEGDGFNHAIRLLKIPPCKGI SEETQKALDRSLLDCTFRLQGRNNRTWVAELVFANCPLNGTSTREQGPSRHVYLTYENLL SEPVGGRKVVEMFLNDWSSIARLYECVLEFARSLPEIPAHLNIFSEVRVYNYRKLILCYG TTKGSSISIQWNSIHQKFHIALGTVGPNSGCSNCHNTILHQLQEMFNKTPNVVQLLQVLF DTQAPLNAINKLPTVPMLGLTQRTNTAYQCFSILPQSSTHIRLAFRNMYCIDIYCRSRGV VAIRDGAYSLFDNSKLVEGFYPAPGLKTFLNMFVDSNQDARRRSVNEDDNPPSPIGGDMM DSLISQLQPPQQQPFPKQPGTSGAYPLTSPPTSYHSTVNQSPSMMHTQSPGNLHAASSPS GALRAPSPASFVPTPPPSSHGISIGPGASFASPHGTLDPSSPYTMVSPSGRAGNWPGSPQ VSGPSPATRLPGMSPANPSLHSPVPDVSHSPRAGTSSQTMPTNMPPPRKLPQRSWAASIP TILTHSALNILLLPSPTPGLVPGLAGSYLCSPLERFLGSVIMRRHLQRIIQQETLQLINS NEPGVIMFKTDALKCRVALSPKTNQTLQLKVTPENAGQWKPDELQVLEKFFETRVAGPPF KANTLIAFTKLLGAPTHILRDCVHIMKLELFPDQATQLKWNVQFCLTIPPSAPPIAPPGT PAVVLKSKMLFFLQLTQKTSVPPQEPVSIIVPIIYDMASGTTQQADIPRQQNSSVAAPMM VSNILKRFAEMNPPRQGT >ENSMUSP00000075395.5 pep:known chromosome:GRCm38:X:12709129:12762073:-1 gene:ENSMUSG00000064127.13 transcript:ENSMUST00000076016.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Med14 description:mediator complex subunit 14 [Source:MGI Symbol;Acc:MGI:1349442] MAPVQLDNHQLIPPGGGGGSSGGGGSSSGSASAPAPPPPAAAVAAAAAAAASPGYRLSTL IEFLLHRAYSELMVLTDLLPRKSDVERKIEIVQFASRTRQLFVRLLALVKWANDAGKVEK CAMISSFLDQQAILFVDTADRLASLARDALVHARLPSFAIPYAIDVLTTGSYPRLPTCIR DKIIPPDPITKIEKQATLHQLNQILRHRLVTTDLPPQLANLTVANGRVKFRVEGEFEATL TVMGDDPEVPWRLLKLEILVEDKETGDGRALVHSMQIDFIHQLVQSRLFADEKPLQDMYN CLHCFCLSLQLEVLHSQTLMLIRERWGDLVQVERYHAGKSLSLSVWNQQVLGRKTGTASV HKVTIKIDENDVSKPLQIFHDPPLPASDSKLVERAMKIDHLSIEKLLIDSVHARAHQRLQ ELKAILRSFNANESSSIETALPALIVPILEPCGNSECLHIFVDLHSGMFQLMLYGLDPAT LEDMEKSLNDDMKRIIPWIQQLKFWLGQQRCKQSIKHLPTITTETLQLANYSTHPIGSLS KNKLFIKLTRLPQYYIVVEMLEVPNKPTQLSYNYYFMSVSTADREDSPVMALLLQQFKDN IQDLMSYTKTGKQTRTGTKHKLSDDPCPIDSKKAKRSGEMCAFNKVLAHFVAMCDTNMPF VGLRLELSNLEIPHQGVQVEGDGFNHAIRLLKVPIMEGEN >ENSMUSP00000142635.1 pep:known chromosome:GRCm38:5:30466047:30480906:1 gene:ENSMUSG00000029182.10 transcript:ENSMUST00000127815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001C02Rik description:RIKEN cDNA 1700001C02 gene [Source:MGI Symbol;Acc:MGI:1922684] MAFRSAGTLMTEFNAAFVPPALMPGYKGHVPGVASSFGSSYGNTTFKYFQDLRNTGLEKS YALLSGGCFPTIFSPNSPLVLTDNSQNWDRWLHQPTYSRSNQDNGRTTELTNFYKVS >ENSMUSP00000142583.1 pep:known chromosome:GRCm38:5:30466055:30484044:1 gene:ENSMUSG00000029182.10 transcript:ENSMUST00000199129.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:1700001C02Rik description:RIKEN cDNA 1700001C02 gene [Source:MGI Symbol;Acc:MGI:1922684] MAFRSAGTLMTEFNAAFVPPALMPGYKGHVPGVASSFGSSYGNTTFKYFQDLRNTGLEKS YALLSGGCFPTIFSPNSPLVLTDNSQNWDRWLHQPTYSRSNQDNGRTTELTNFYKL >ENSMUSP00000031078.3 pep:known chromosome:GRCm38:5:30466059:30484088:1 gene:ENSMUSG00000029182.10 transcript:ENSMUST00000031078.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001C02Rik description:RIKEN cDNA 1700001C02 gene [Source:MGI Symbol;Acc:MGI:1922684] MAFRSAGTLMTEFNAAFVPPALMPGYKGHVPGVASSFGSSYGNTTFKYFQDLRNTGLEKS YALLSGGCFPTIFSPNSPLVLTDNSQNWDRWLHQPTYSRSNQDNGRTTELTNFYKTVQQQ RKYYQDKTGILSRVPYFVLPVKEPDRYPLPTDLPPLSEKSKWNLLRLSPDYKRTYQTFPS GKRVSSQERQRRDLYFEFRA >ENSMUSP00000110391.1 pep:known chromosome:GRCm38:5:30466084:30484067:1 gene:ENSMUSG00000029182.10 transcript:ENSMUST00000114743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700001C02Rik description:RIKEN cDNA 1700001C02 gene [Source:MGI Symbol;Acc:MGI:1922684] MAFRSAGTLMTEFNAAFVPPALMPGYKGHVPGVASSFGSSYGNTTFKYFQDLRNTGLEKS YALLSGGCFPTIFSPNSPLVLTDNSQNWDRWLHQPTYSRSNQDNGRTTELTNFYKVVQQQ PAVCSLPSCRQSSSSGSITKTRLAFCPVFLTLYFL >ENSMUSP00000003529.8 pep:known chromosome:GRCm38:7:28392951:28399388:1 gene:ENSMUSG00000003437.14 transcript:ENSMUST00000003529.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Paf1 description:Paf1, RNA polymerase II associated factor, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1923988] MAPTIQTQAQREDGHRPNSHRTLPERSGVVCRVKYCNSLPDIPFDPKFITYPFDQNRFVQ YKATSLEKQHKHDLLTEPDLGVTIDLINPDTYRIDPNVLLDPADEKLLEEEIQAPTSSKR SQQHAKVVPWMRKTEYISTEFNRYGISNEKPEVKIGVSVKQQFTEEEIYKDRDSQITAIE KTFEDAQKSISQHYSKPRVTPVEVMPVFPDFKMWINPCAQVIFDSDPAPKDTSGAAALEM MSQAMIRGMMDEEGNQFVAYFLPVEETLKKRKRDQEEEMDYAPDDVYDYKIAREYNWNVK NKASKGYEENYFFIFREGDGVYYNELETRVRLSKRRAKAGVQSGTNALLVVKHRDMNEKE LEAQEARKAQLENHEPEEEEEEEMEAEEKEAGGSDEEQEKGSSSEKEGSEDEHSGSESDR EEGDRDEASDKSGSGEDESSEDEARAARDKEEIFGSDADSEDDADSDDEDRGQAHRGSDN DSDSGSDGGGQRSRSQSRSRSRSASPFPSGSEHSAQEDGSEAAASDSSEADSDSD >ENSMUSP00000048706.6 pep:known chromosome:GRCm38:17:20345425:20375772:1 gene:ENSMUSG00000056910.4 transcript:ENSMUST00000042090.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r107 description:vomeronasal 2, receptor 107 [Source:MGI Symbol;Acc:MGI:1316664] MFSWIFIFLLLQIPKFVSSDLKFNVNRCYFEITEEFHHEGDVMIGAFFPVHTFYTEKKRP HSTIPYQYLDGYIQYNFKNYQYILALLFAIEEINGNPNLLPNISLGFDFYNVRFTEKETL MNTVIWLTAHVQRKVLPNYNCKKSNFTAAITGTSWITSAQIGTLLQLFKFPQITFGPYDP FLSDRGQYPSLYQMAPKDTSLSLAIVSLMVHFRWSWVGLILPDDHKGNKILSDFRKEMER KRICTAFVKMIPATWTSSFVKFWENMDDTNIIIIYGDIDSLEGLMRNIGQRLLTWHVWVM NIEPHIIEYDNYFMLDSFHGSLIFKHNYRENFEFTKFIRTVNPKKYPEDIYLPKMWYLFF MCSFSDINCQVLDSCQTNASLDMLPSQIFDVVMSEESTSIYNAVYAVAHSLHEMRLQQLQ TQPCENEEGMEFFPWQLNTFLKDIEVRVNSLDWRQRIDAEYDILNLWNLPKGLGLKVKIG NFYANAPQGQQLSLSEQMIQWPEIFSEIPQSVCSESCGPGFRKVTLENKAICCYNCTPCA DNEISNETDVDQCVKCPESHYANTEKSNCYQKSVSFLGYEDPLGMALASIALCLSALTAF VIGIFVKHKDTPIVKANNQALSYTLLITLKFCFLCSLNFIGQPNTVACILQQTTFAVAFT MALATVLAKAITVVLAFKVSFPGRMVRWLMISRGPNYIIPICTLIQLLLCGIWMAISPPY IDQDAHIEHGHIIILCNKGSAVAFHSVLGYLCFLALGSYTMAFLSRNLPDTFNESKFISL SMLVFFCVWITFLPVYHSTKGKVMVAMEVFSILASSTALLAFIFGPKCYIILLRPEKNSF HHIREKRTTHSRRKIFFLKYS >ENSMUSP00000111240.2 pep:known chromosome:GRCm38:6:4674350:4747176:-1 gene:ENSMUSG00000004631.15 transcript:ENSMUST00000115577.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgce description:sarcoglycan, epsilon [Source:MGI Symbol;Acc:MGI:1329042] MLLFWWWELGDPCAWTGKGRGTLKMSPATTGTFLLTAFLLSCADGINGTVNWKTKQASSF SISRKLAAGKKDVYTLFSKVHSDRNVYPSAGVLFVHVLEREYFKGEFPPYPKPGEVSNDP ITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTAENVGKPTIIEITAYNRRTFETARH NLIINIMSAEEFPLPYQAEFFIKNMNVEEMLASEVLGDFLGAVKNVWQPERLNAINITSA LDRGGRVPLPINDMKEGVYVMVGADVAFSSCLREVENPQNQLRCSQEMEPVITCDKKFRT HFHIDWCKISLVDKTKQVSTYQEVVRGEGILPDGGEYKPPSDSLKSRDYYTDFLVTLAVP SAVALVLFLILAYIMCCRREGVEKRDMQTPDIQLVHHSSIQKSTKELRDMSKNREIAWPL STLPVFHPVTGEVIPPTHTDNYDSTNMPLMQAQQNLPHQTQIPQPQTTGKWYP >ENSMUSP00000111242.1 pep:known chromosome:GRCm38:6:4674350:4747204:-1 gene:ENSMUSG00000004631.15 transcript:ENSMUST00000115579.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgce description:sarcoglycan, epsilon [Source:MGI Symbol;Acc:MGI:1329042] MLLFWWWELGDPCAWTGKGRGTLKMSPATTGTFLLTVYTLFSKVHSDRNVYPSAGVLFVH VLEREYFKGEFPPYPKPGEVSNDPITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTA ENVGKPTIIEITAYNRRTFETARHNLIINIMSAEEFPLPYQAEFFIKNMNVEEMLASEVL GDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDMKEGVYVMVGADVAFSSCLREVE NPQNQLRCSQEMEPVITCDKKFRTHFHIDWCKISLVDKTKQVSTYQEVVRGEGILPDGGE YKPPSDSLKSRDYYTDFLVTLAVPSAVALVLFLILAYIMCCRREGVEKRDMQTPDIQLVH HSSIQKSTKELRDMSKNREIAWPLSTLPVFHPVTGEVIPPTHTDNYDSTNMPLMQAQQNL PHQTQIPQPQTTGKWYP >ENSMUSP00000120718.2 pep:known chromosome:GRCm38:6:4674354:4747157:-1 gene:ENSMUSG00000004631.15 transcript:ENSMUST00000126151.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgce description:sarcoglycan, epsilon [Source:MGI Symbol;Acc:MGI:1329042] MLLFWWWELGDPCAWTGKGRGTLKMSPATTGTFLLTGEVSNDPITFNTNLMGYPDRPGWL RYIQRTPYSDGVLYGSPTAENVGKPTIIEITAYNRRTFETARHNLIINIMSAEEFPLPYQ AEFFIKNMNVEEMLASEVLGDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDMKEG VYVMVGADVAFSSCLREVENPQNQLRCSQEMEPVITCDKKFRTHFHIDWCKISLVDKTKQ VSTYQEVVRGEGILPDGGEYKPPSDSLKSRDYYTDFLVTLAVPSAVALVLFLILAYIMCC RREGVEKRDMQTPDIQLVHHSSIQKSTKELRDMSKNREIAWPLSTLPVFHPVTGEVIPPT HTDNYDSTNMPLMQAQQNLPHQTQIPQPQTTGKWYP >ENSMUSP00000004750.9 pep:known chromosome:GRCm38:6:4674354:4747207:-1 gene:ENSMUSG00000004631.15 transcript:ENSMUST00000004750.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgce description:sarcoglycan, epsilon [Source:MGI Symbol;Acc:MGI:1329042] MLLFWWWELGDPCAWTGKGRGTLKMSPATTGTFLLTVYTLFSKVHSDRNVYPSAGVLFVH VLEREYFKGEFPPYPKPGEVSNDPITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTA ENVGKPTIIEITAYNRRTFETARHNLIINIMSAEEFPLPYQAEFFIKNMNVEEMLASEVL GDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDMKEGVYVMVGADVAFSSCLREVE NPQNQLRCSQEMEPVITCDKKFRTHFHIDWCKISLVDKTKQVSTYQEVVRGEGILPDGGE YKPPSDSLKSRDYYTDFLVTLAVPSAVALVLFLILAYIMCCRREGVIQLVHHSSIQKSTK ELRDMSKNREIAWPLSTLPVFHPVTGEVIPPTHTDNYDSTNMPLMQAQQNLPHQTQIPQP QTTGDFRLTTFQRFEVNGIPEERKLTEAMSL >ENSMUSP00000088185.4 pep:known chromosome:GRCm38:6:4674384:4747116:-1 gene:ENSMUSG00000004631.15 transcript:ENSMUST00000090686.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgce description:sarcoglycan, epsilon [Source:MGI Symbol;Acc:MGI:1329042] MLLFWWWELGDPCAWTGKGRGTLKMSPATTGTFLLTVYTLFSKVHSDRNVYPSAGVLFVH VLEREYFKGEFPPYPKPGEVSNDPITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTA ENVGKPTIIEITAYNRRTFETARHNLIINIMSAEEFPLPYQAEFFIKNMNVEEMLASEVL GDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDMKEGVYVMVGADVAFSSCLREVE NPQNQLRCSQEMEPVITCDKKFRTHFHIDWCKISLVDKTKQVSTYQEVVRGEGILPDGGE YKPPSDSLKSRDYYTDFLVTLAVPSAVALVLFLILAYIMCCRREGVIQLVHHSSIQKSTK ELRDMSKNREIAWPLSTLPVFHPVTGEVIPPTHTDNYDSTNMPLMQAQQNLPHQTQIPQP QTTGKWYP >ENSMUSP00000121964.2 pep:known chromosome:GRCm38:6:4674418:4747124:-1 gene:ENSMUSG00000004631.15 transcript:ENSMUST00000133306.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgce description:sarcoglycan, epsilon [Source:MGI Symbol;Acc:MGI:1329042] MLLFWWWELGDPCAWTGKGRGTLKMSPATTGTFLLTVYTLFSKVHSDRNVYPSAGVLFVH VLEREYFKGEFPPYPKPGEVSNDPITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTA ENVGKPTIIEITAYNRRTFETARHNLIINIMSAEEFPLPYQAEFFIKNMNVEEMLASEVL GDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDMKEGVYVMVGADVAFSSCLREVE NPQNQLRCSQEMEPVITCDKKFRTHFHIDWCKISLVDKTKQVSTYQEVVRGEGILPDGGE YKPPSDSLKSRDYYTDFLVTLAVPSAVALVLFLILAYIMCCRREGVEKRDMQTPDIQLVH HSSIQKSTKELRDMSKNREIAWPLSTLPVFHPVTGEVIPPTHTDNYDSTNMPLMQAQQNL PHQTQIPQPQTTELSPLLLLSGI >ENSMUSP00000099200.4 pep:known chromosome:GRCm38:6:4674418:4747188:-1 gene:ENSMUSG00000004631.15 transcript:ENSMUST00000101677.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sgce description:sarcoglycan, epsilon [Source:MGI Symbol;Acc:MGI:1329042] MLLFWWWELGDPCAWTGKGRGTLKMSPATTGTFLLTVYTLFSKVHSDRNVYPSAGVLFVH VLEREYFKGEFPPYPKPGEVSNDPITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTA ENVGKPTIIEITAYNRRTFETARHNLIINIMSAEEFPLPYQAEFFIKNMNVEEMLASEVL GDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDMKEGVYVMVGADVAFSSCLREVE NPQNQLRCSQEMEPVITCDKKFRTHFHIDWCKISLVDKTKQVSTYQEVVRGEGILPDGGE YKPPSDSLKSRDYYTDFLVTLAVPSAVALVLFLILAYIMCCRREGVIQLVHHSSIQKSTK ELRDMSKNREIAWPLSTLPVFHPVTGEVIPPTHTDNYDSTNMPLMQAQQNLPHQTQIPQP QTTELSPLLLLSGI >ENSMUSP00000120910.3 pep:known chromosome:GRCm38:6:4691600:4747063:-1 gene:ENSMUSG00000004631.15 transcript:ENSMUST00000123907.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sgce description:sarcoglycan, epsilon [Source:MGI Symbol;Acc:MGI:1329042] XGDPCAWTGKGRGTLKMSPATTGTFLLTGKKEEAEAEAQKHLGSNDVPSEVLTPSSAFL >ENSMUSP00000069159.5 pep:known chromosome:GRCm38:15:76899910:76901305:1 gene:ENSMUSG00000055041.7 transcript:ENSMUST00000068407.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd5 description:COMM domain containing 5 [Source:MGI Symbol;Acc:MGI:1913648] MSALGAAAPYLHHPTDSHSGRVSFLGSQPSAEVTAVAQLLKDLDRSTFRKLLKLVVGALH GKDCREAVQHLGASANLSEERLAVLLAGTHTLLQQALRLPPASLKPDAFQDELQELGIPQ DMIGDLASLAFGSQRPLLDSVAQQQGSSLPRVSNFRWRVDVAISTSAQSRSLQPSVLMQL KLTDGSAHRFEVPIAKFQELRYSVALVLKEMAELERKCERKLQD >ENSMUSP00000105416.2 pep:known chromosome:GRCm38:15:76899936:76901191:1 gene:ENSMUSG00000055041.7 transcript:ENSMUST00000109793.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Commd5 description:COMM domain containing 5 [Source:MGI Symbol;Acc:MGI:1913648] MSALGAAAPYLHHPTDSHSGRVSFLGSQPSAEVTAVAQLLKDLDRSTFRKLLKLVVGALH GKDCREAVQHLGASANLSEERLAVLLAGTHTLLQQALRLPPASLKPDAFQDELQELGIPQ DMIGDLASLAFGSQRPLLDSVAQQQGSSLPRVSNFRWRVDVAISTSAQSRSLQPSVLMQL KLTDGSAHRFEVPIAKFQELRYSVALVLKEMAELERKCERKLQD >ENSMUSP00000145188.1 pep:known chromosome:GRCm38:7:3486500:3502558:-1 gene:ENSMUSG00000053338.9 transcript:ENSMUST00000203020.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tarm1 description:T cell-interacting, activating receptor on myeloid cells 1 [Source:MGI Symbol;Acc:MGI:2442280] MISRLLSLLCLRLCVGQTDIPENGSPPKPSLSAWPSTVLPTKSHVTMQCKSPTPSKYFIL KKEGFALNSVKPYNLTEETADFHFTDLRQNDGGHYTCEYYSKWPHDTPSHPSNALFLLVT GYLPQPSFQAHHRGTVTAGSKVTLQCQKAGSVLGPVKFALLKVGHSTPVQTRSSTGMVSD FSLQNVTARDSGEYSCVYYQAKAPYRASGPSNLLEISVIDNHLPQDLAASTFPPQLTATS PKTPGTMTEGYTVDNLIRVGVAAAILLIVGGFLVEAWHSERLSPNKPCAPGEK >ENSMUSP00000145491.1 pep:known chromosome:GRCm38:7:3488570:3502624:-1 gene:ENSMUSG00000053338.9 transcript:ENSMUST00000203821.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tarm1 description:T cell-interacting, activating receptor on myeloid cells 1 [Source:MGI Symbol;Acc:MGI:2442280] MISRLLSLLCLRLCVGQTDIPENGSPPKPSLSAWPSTVLPTKSHVTMQCKSPTPSKYFIL KKEGFALNSVKPYNLTEETADFHFTDLRQNDGGHYTCEYYSKWPHDTPSHPSNALFLLVT GYLPQPSFQAHHRGTVTAGSKVTLQCQKAGSVLGPVKFALLKVGHSTPVQTRSSTGMVSD FSLQNVTARDSGEYSCVYYQAKAPYRASGPSNLLEISVIDNHLPQDLAASTFPPQLTATS PKTPGTMTEGYTVDNLIRVGVAAAILLIVGGFLVEAWHSERLSPNKPW >ENSMUSP00000069745.8 pep:known chromosome:GRCm38:7:3489071:3502518:-1 gene:ENSMUSG00000053338.9 transcript:ENSMUST00000065703.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tarm1 description:T cell-interacting, activating receptor on myeloid cells 1 [Source:MGI Symbol;Acc:MGI:2442280] MISRLLSLLCLRSPPKPSLSAWPSTVLPTKSHVTMQCKSPTPSKYFILKKEGFALNSVKP YNLTEETADFHFTDLRQNDGGHYTCEYYSKWPHDTPSHPSNALFLLVTGYLPQPSFQAHH RGTVTAGSKVTLQCQKAGSVLGPVKFALLKVGHSTPVQTRSSTGMVSDFSLQNVTARDSG EYSCVYYQAKAPYRASGPSNLLEISVIDNHLPQDLAASTFPPQLTATSPKTPGTMTEGYT VDNLIRVGVAAAILLIVGGFLVEAWHSERLSPNKPW >ENSMUSP00000106621.1 pep:known chromosome:GRCm38:8:4248214:4251423:1 gene:ENSMUSG00000089736.2 transcript:ENSMUST00000110993.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgfbr3l description:transforming growth factor, beta receptor III-like [Source:MGI Symbol;Acc:MGI:3833469] MVGTALLLLALLPGTSSKHGTPRAPLLPGAPGPWLRRPLFSLELSDAEDAFPRRAGPLEV PADSHVFVQAALARPSPRWGLALHRCSVTPSSRPTLGPALVLLRGGCPADDSVSFSPPPR PDAASVSRFSFRLRPVFNASVQFLHCQISRCRRRSSHHRRAVRLTPVPLTPPAPLRCLPQ DEACAGSSLSLGTDSPHLHMLTQPIVVTIPRPSPRPSKSLPGRSVHPEPPAPAPAALEPA PVVALVLAAFVLGAALAAGLGLVCAHSAPPPPGPPPRASLSGPQLQRPPVGRDGTTQ >ENSMUSP00000139559.1 pep:known chromosome:GRCm38:15:75044018:75048803:-1 gene:ENSMUSG00000079018.10 transcript:ENSMUST00000188845.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6c1 description:lymphocyte antigen 6 complex, locus C1 [Source:MGI Symbol;Acc:MGI:96882] MDTSHTTKSCVLILLVALLCAERAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIEL IEDSQRRKLKTRQCLSFCPAGVPIRDPNIRERTSCCSEDLCNAAVPTAGIQGLMRVKKHH QAHISTGHFQGDPACGGEAQEMSNQRL >ENSMUSP00000066954.9 pep:known chromosome:GRCm38:15:75045013:75048830:-1 gene:ENSMUSG00000079018.10 transcript:ENSMUST00000065408.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6c1 description:lymphocyte antigen 6 complex, locus C1 [Source:MGI Symbol;Acc:MGI:96882] MDTSHTTKSCVLILLVALLCAERAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIEL IEDSQRRKLKTRQCLSFCPAGVPIRDPNIRERTSCCSEDLCNAAVPTAGSTWTMAGVLLF SLSSVVLQTLL >ENSMUSP00000137401.1 pep:known chromosome:GRCm38:15:75045017:75048813:-1 gene:ENSMUSG00000079018.10 transcript:ENSMUST00000179762.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6c1 description:lymphocyte antigen 6 complex, locus C1 [Source:MGI Symbol;Acc:MGI:96882] MDTSHTTKSCVLILLVALLCAERAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIEL IEDSQRRKLKTRQCLSFCPAGVPIRDPNIRERTSCCSEDLCNAAVPTAGSTWTMAGVLLF SLSSVVLQTLL >ENSMUSP00000139799.1 pep:known chromosome:GRCm38:15:75045324:75048788:-1 gene:ENSMUSG00000079018.10 transcript:ENSMUST00000187347.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6c1 description:lymphocyte antigen 6 complex, locus C1 [Source:MGI Symbol;Acc:MGI:96882] MDTSHTTKSCVLILLVALLCAERAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIEL IEDSQRRKLKTRQCLSFCPAGVPIRDPNIRERTSCCSEDLCNAAVPTAGSTWTMAGVLLF SLSSVVLQTLL >ENSMUSP00000140722.1 pep:known chromosome:GRCm38:15:75045465:75048813:-1 gene:ENSMUSG00000079018.10 transcript:ENSMUST00000191216.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6c1 description:lymphocyte antigen 6 complex, locus C1 [Source:MGI Symbol;Acc:MGI:96882] MDTSHTTKSCVLILLVALLCAERAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIEL IEDSQRRKLKTRQCLSFCPAGVPIRDPNIRERTSCCSEDLCNAAVPTAGST >ENSMUSP00000140622.1 pep:known chromosome:GRCm38:15:75045521:75048804:-1 gene:ENSMUSG00000079018.10 transcript:ENSMUST00000185200.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6c1 description:lymphocyte antigen 6 complex, locus C1 [Source:MGI Symbol;Acc:MGI:96882] MDTSHTTKSCVLILLVALLCAERAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIEL IEGSHSNLRIDYKSPCSPVWD >ENSMUSP00000139948.1 pep:known chromosome:GRCm38:15:75045793:75048669:-1 gene:ENSMUSG00000079018.10 transcript:ENSMUST00000185372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6c1 description:lymphocyte antigen 6 complex, locus C1 [Source:MGI Symbol;Acc:MGI:96882] MDTSHTTKSCVLILLVALLCAERAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIEL IEGSHSNLRIDYKSPCSP >ENSMUSP00000119276.1 pep:known chromosome:GRCm38:13:86044816:86046460:-1 gene:ENSMUSG00000017778.14 transcript:ENSMUST00000078764.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox7c description:cytochrome c oxidase subunit VIIc [Source:MGI Symbol;Acc:MGI:103226] MMTVYFGSGFAAPFFIVRHQLLKK >ENSMUSP00000115419.1 pep:known chromosome:GRCm38:13:86044816:86046904:-1 gene:ENSMUSG00000017778.14 transcript:ENSMUST00000131011.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox7c description:cytochrome c oxidase subunit VIIc [Source:MGI Symbol;Acc:MGI:103226] MLGQSIRRFTTSVVRRSHYEEGPGKNLPFSVENKWRLLAMMTVYFGSGFAAPFFIVRHQL LKK >ENSMUSP00000117385.1 pep:known chromosome:GRCm38:13:86044821:86046347:-1 gene:ENSMUSG00000017778.14 transcript:ENSMUST00000132233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox7c description:cytochrome c oxidase subunit VIIc [Source:MGI Symbol;Acc:MGI:103226] MFFAVFSLSQQNLPFSVENKWRLLAMMTVYFGSGFAAPFFIVRHQLLKK >ENSMUSP00000131163.1 pep:known chromosome:GRCm38:5:61808816:61811163:1 gene:ENSMUSG00000089992.3 transcript:ENSMUST00000053876.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6pd2 description:glucose-6-phosphate dehydrogenase 2 [Source:MGI Symbol;Acc:MGI:105977] MAEQVTLSRTQVCGILREELYQNDAFHQADTHIFIIMGASGDLAKKKIYPTIWWLFRDGL LPKETFIVGYARSQLTVDDIQKQSEPFFKATPEERPKLEEFFTRNSYVVGQYDDPASYKH LNSYINALHQGMQANHLFYLALPPTVYEAVTKNIQETCMSQTGFNRIIVEKPFGRDLQSS NQLSNHISSLFREDQIYRIDHYLDKEMVQNLMVLRFANRIFGPIWNGDNIACVILTFKEP FGTEGRGGYFDEFGIIRDVMQSHLLQMLCLVAMEKPATTDSDDVRNEKVKVLKRISEVET DNVILGQYVGNPNGEGEAANGYLDDPTVPRGSTTATFAAAVLYVKNERWDGVPFILRCGK ALNERKAEVRLQFRDIPGDIFHQKCKRNELVIRMQPNEAVYTTMMTKKPGMFFNPEESEL DLTYGNKYKNVKLPGAYERLILDVFCGCQMHFVRTDELREGWRIFTPLLHKIEREKPQPF PYVYGSRGPTEADELMRRVGFQYKGTYKGTHKH >ENSMUSP00000107966.1 pep:known chromosome:GRCm38:2:67446002:67526614:1 gene:ENSMUSG00000027022.13 transcript:ENSMUST00000112347.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xirp2 description:xin actin-binding repeat containing 2 [Source:MGI Symbol;Acc:MGI:2685198] MARYQAAVSRGDTRSFSANVMEESDVCTVPGGLAKMKRQFEKDKMTSTCNAFSEYQYRHE SRAEQEAIHSSQEIIRRNEQEVSKGHGTDVFKAEMMSHLEKHTEETKQASQFHQYVQETV IDSPEEEELPKVSTKILKEQFEKSAQENFLRSDKETSPPAKCMKIENDIEETLKPSSAVG TSSSYTSTRQRKETSTSSYSNHSLTSTTLAQDNGTPSGKMEEFPPPPPDVCQTPMDVTAF SQSPEFPSPPRRLPMPKDLYSKQRNLYELNRLYRHIHPELRKNLEKDYISEVSEIVSSQI NSGNALSADVQKARYVFENTNDSSQKDLNSERENLEWDEILKGEVQSIRWIFENQPLDSI NHGSTDEGYTSKGIADQELIAGSDVKYTTWMFETQPIDALGIPSAGTEGNTEKIPELARG DVYTARWMFETRPLDSMNKMHECQEETASTLTKDITGGDVKTVRYMFETQQLDQLGQLHS VDELNLLQLRSELKEIKGNVKRSIKCFETQPLYVIRDGSGQMLEIKTVQREDIEKGDVRT ARWMFETQPLDTINKDITEIKVVRGISMEENVKGGVSRAKWLFETQPLEKIKEESGEAVL KTEAVIGTDVSKKCWMFETQPLDILKDSPDTDSVSPEERIGGDVKTTKHLFETLPIEALK DSPDIGKLQKITASEEEKGDVKHQKWVFETQRLEDIREDKKEYTRTVRLEAVDRGHVKNY THIFESNNLIKVDASHQIEVEGVTRGTVELNKSLFETTPLYAIQDHLGKYHQVKTVQQEE IVRGDVRSCRWLFETRPIDQFDESLHKFQIIRGISAQEIQAGNVKSARWLFETQPLDSIK YFSNVEETDSKTEQSTDIVKGDVKTCKWLFETQPMESLYEKASLMTNSEDIHKGDVRTCM WLFETQPLDAIKDDSEATVKLQTVKQEEIQGGDVQTACFLFETENLDNIQGDEGKENKPL EMDIQSGDVSGMKFKFENQSLDSINCSSENVLSKIKTLKAEDIQKGNVLKCRWLFENQPI DMIKESQECDGLVKTVTDVQGGDVRKGCFIFETFSLDEIKDESDGISMRETNLGEIIKGD VKSYKMLFETQPLYAIQDHEGFYHEVTTVKKEETIHGDVRGTRWLFETKPLDSIHESEDV YVIKSVTQEDIQKGDVSSVRYRFETQPLDMISDKSHNIVPTVDYIQGGNVQMNKQLFESE GGNKKNYVRTVSVNEIQKGNVKTSTWLFETHRIDELGEESRYENIKTVTQEDVQKGDVKQ AVWLFENQTLDSINELDENDTKMTKEEIPPSDVKTTTWLFETTPIHEFNKTRVEKEEIIG KSIKETLEDLYSQRVVEAPGIIIEADEVGDVRMAKYKLMNQTTPEIQKEEVIRADLGNIM MNLLSQRDCTKKEIFVSEEEKGNVNFTKTQLLNRSMEFHAEKEEIVRGDVKQAIQKLFSE ERRAKKGILIQEDEKGDINMTIYCLLHENAGDKTEREDILGGDVRRTIHNLLSSASNGKI SERTKIDASERGNVQFFTTCIETGALDYLKQLQTGSNESTLTASKQEGEEEIIGGDVEGT KFLLKKRQSSFERTVSETDIIPGDVRHTVKVFMTEPQSSSYKTVKDEIIKGDLKSTLNSL NQAMNQKTVAKAEEIVKDDRLAILKSLKESGDRQKEPKQSGGMSRDIGQAIECLERATNT RTEILKKELILDDLKTSLRSLKEEQCGFKEVDKQGIVKDVLPGMLGFSERPKIGIHPAAV QRDKKSLLQPVPGPFEPAIKQQAGPGTLDETTQKPCLRSLIEERTEANLPKAPKGTVKIV IDREQNNDALEKSLRKMSNSEHRAMKNVLDMSDRMGIWTESKEYLCSDDHMSKHLSATMS IKESLKSKESENMREAKDDVISSTQSVDKTFRKQQTQTCELGNDQKSRFQDSYGKNQKNI QNIEITRDFQKQALLSQEKQYSNKEMKKNEASLQPLPVGKEVHSVPGVTVSGKNHKRIQA TDKRQKTDVCLESQDFLMKTNTSKELKMAMERSFNPINLHPECGIKENEDSLPPPSPPPL PPSNASSEIEFPLPPPPPLMLLPGKNESPPSSPTEKTRTEFESLSTLPLPPPPVDEKAEQ ECLSTTLPPPPPPTPCQPGHLLPSSVLGHHREAFLQQFSQKEALGVHLPHSQAKILTGKS PPPTLPKPKLPKRIKDKMNQYSSSGELERSLSDVEIKATLSKDQKRSMVTMSSEHRETKQ DVFGKGLVGRKQLPVDSANSLSQTVPEIPAPKEKQTAPLVKSHSFPSGSEQQSPKPYMRK FKTPLMIAEEKYRQQREELEKQRQESSSHNVIKTETQHQSLSEKEEEIELQKATEAISTP RKESDFPRARPNLDSESRAVIAGECSESQLATASTLTVATERLQHVLAASEDELTLRQEG IQNSSDASQSKLACETSQSHKECKAQQTFEQHVKRLPFPQTKPSSPSFKVKTIKLSTLDH TGTETDLSSKHHTKQSEVDIQTSTEQTDKEIKKTQASIQCDDKPSVPEKYFQLPKTEKRV TIQMPKEYAEKSHKSKLQTVPKKQGIFGEFDRGNVLGREGKNQDSSVSCSKEDRLIDERK QEHLQNQRVPRSVQQKVINERLDSQMQNFQQTEIQTSRSTIECEEFSQSYNATQEKTCLK DKGKQQGQVTSNTEESKQELRQNQSAFSSVKDSQHDDGKCTINILEFLRKREELQQILSR VKQFEAESSKSGLKTFQILLNIVPVWLISEEKREYGVRVAMENNFEKVKEEITHIKTQAE EMLLQCEHVIQTAMMASQTGKQRDKPTNLNEMPLNVSNVNLSSSKCTEQKESKTVEEKLT HRQVTTHPEAATRNPVKPYQEAKGEDGKMAPPSLKTRPPSPTFITIESTARRAETSTKSE LSQSPKNNRCIEPPPRRPVEHASGLPRSRTPPSPPRSRSEQLVRLKDTTARLAKGTIPCS PGTPVPIVEKRSEVVMSPATLRRQIKIESRGGDSPPTITIPVSVNHVVSGSFRESVDAQE AVKKTEKTETYVHKDKMNSVNRAMPETESYDAVEIIRKVEGPHLSEHTERFEATNQTVQR AERFLNGHENEINRWFREFENDPVFRAKTERGAYANGEINHNMKQESHTFCKEEFGLASS ETANFTGFSYRHPKVPAMQPRVHSEARSLNEHFSSVDAFDSQIVGSKVATSSSRSTEAGR SGFDFKHAPPTYEDVISGHILDVADSPTNLRRNFQKTWQESERVFQRVGYETSDAHATEM SRAFQEESAFLSETVGPRQGNLHNLSKDSLSNGVPHSRQAEFS >ENSMUSP00000028410.3 pep:known chromosome:GRCm38:2:67446002:67526606:1 gene:ENSMUSG00000027022.13 transcript:ENSMUST00000028410.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xirp2 description:xin actin-binding repeat containing 2 [Source:MGI Symbol;Acc:MGI:2685198] MARYQAAVSRGDTRSFSANVMEESDVCTVPGGLAKMKRQFEKDKMTSTCNAFSEYQYRHE SRAEQEAIHSSQEIIRRNEQEVSKGHGTDVFKAEMMSHLEKHTEETKQASQFHQYVQETV IDSPEEEELPKVSTKILKEQFEKSAQENFLRSDKETSPPAKCMKIENDIEETLKPSSAVG TSSSYTSTRQRKETSTSSYSNHSLTSTTLAQDNGTPSGKMEEFPPPPPDVCQTPMDVTAF SQSPEFPSPPRRLPMPKDLYSKQRNLYELNRLYRHIHPELRKNLEKDYISEVSEIVSSQI NSGNALSADVQKARYVFENTNDSSQKDLNSERENLEWDEILKGEVQSIRWIFENQPLDSI NHGSTDEGYTSKGIADQELIAGSDVKYTTWMFETQPIDALGIPSAGTEGNTEKIPELARG DVYTARWMFETRPLDSMNKMHECQEETASTLTKDITGGDVKTVRYMFETQQLDQLGQLHS VDELNLLQLRSELKEIKGNVKRSIKCFETQPLYVIRDGSGQMLEIKTVQREDIEKGDVRT ARWMFETQPLDTINKDITEIKVVRGISMEENVKGGVSRAKWLFETQPLEKIKEESGEAVL KTEAVIGTDVSKKCWMFETQPLDILKDSPDTDSVSPEERIGGDVKTTKHLFETLPIEALK DSPDIGKLQKITASEEEKGDVKHQKWVFETQRLEDIREDKKEYTRTVRLEAVDRGHVKNY THIFESNNLIKVDASHQIEVEGVTRGTVELNKSLFETTPLYAIQDHLGKYHQVKTVQQEE IVRGDVRSCRWLFETRPIDQFDESLHKFQIIRGISAQEIQAGNVKSARWLFETQPLDSIK YFSNVEETDSKTEQSTDIVKGDVKTCKWLFETQPMESLYEKASLMTNSEDIHKGDVRTCM WLFETQPLDAIKDDSEATVKLQTVKQEEIQGGDVQTACFLFETENLDNIQGDEGKENKPL EMDIQSGDVSGMKFKFENQSLDSINCSSENVLSKIKTLKAEDIQKGNVLKCRWLFENQPI DMIKESQECDGLVKTVTDVQGGDVRKGCFIFETFSLDEIKDESDGISMRETNLGEIIKGD VKSYKMLFETQPLYAIQDHEGFYHEVTTVKKEETIHGDVRGTRWLFETKPLDSIHESEDV YVIKSVTQEDIQKGDVSSVRYRFETQPLDMISDKSHNIVPTVDYIQGGNVQMNKQLFESE GGNKKNYVRTVSVNEIQKGNVKTSTWLFETHRIDELGEESRYENIKTVTQEDVQKGDVKQ AVWLFENQTLDSINELDENDTKMTKEEIPPSDVKTTTWLFETTPIHEFNKTRVEKEEIIG KSIKETLEDLYSQRVVEAPGIIIEADEVGDVRMAKYKLMNQTTPEIQKEEVIRADLGNIM MNLLSQRDCTKKEIFVSEEEKGNVNFTKTQLLNRSMEFHAEKEEIVRGDVKQAIQKLFSE ERRAKKGILIQEDEKGDINMTIYCLLHENAGDKTEREDILGGDVRRTIHNLLSSASNGKI SERTKIDASERGNVQFFTTCIETGALDYLKQLQTGSNESTLTASKQEGEEEIIGGDVEGT KFLLKKRQSSFERTVSETDIIPGDVRHTVKVFMTEPQSSSYKTVKDEIIKGDLKSTLNSL NQAMNQKTVAKAEEIVKDDRLAILKSLKESGDRQKEPKQSGGMSRDIGQAIECLERATNT RTEILKKELILDDLKTSLRSLKEEQCGFKEVDKQGIVKDVLPGMLGFSERPKIGIHPAAV QRDKKSLLQPVPGPFEPAIKQQAGPGTLDETTQKPCLRSLIEERTEANLPKAPKGTVKIV IDREQNNDALEKSLRKMSNSEHRAMKNVLDMSDRMGIWTESKEYLCSDDHMSKHLSATMS IKESLKSKESENMREAKDDVISSTQSVDKTFRKQQTQTCELGNDQKSRFQDSYGKNQKNI QNIEITRDFQKQALLSQEKQYSNKEMKKNEASLQPLPVGKEVHSVPGVTVSGKNHKRIQA TDKRQKTDVCLESQDFLMKTNTSKELKMAMERSFNPINLHPECGIKENEDSLPPPSPPPL PPSNASSEIEFPLPPPPPLMLLPGKNESPPSSPTEKTRTEFESLSTLPLPPPPVDEKAEQ ECLSTTLPPPPPPTPCQPGHLLPSSVLGHHREAFLQQFSQKEALGVHLPHSQAKILTGKS PPPTLPKPKLPKRIKDKMNQYSSSGELERSLSDVEIKATLSKDQKRSMVTMSSEHRETKQ DVFGKGLVGRKQLPVDSANSLSQTVPEIPAPKEKQTAPLVKSHSFPSGSEQQSPKPYMRK FKTPLMIAEEKYRQQREELEKQRQESSSHNVIKTETQHQSLSEKEEEIELQKATEAISTP RKESDFPRARPNLDSESRAVIAGECSESQLATASTLTVATERLQHVLAASEDELTLRQEG IQNSSDASQSKLACETSQSHKECKAQQTFEQHVKRLPFPQTKPSSPSFKVKTIKLSTLDH TGTETDLSSKHHTKQSEVDIQTSTEQTDKEIKKTQASIQCDDKPSVPEKYFQLPKTEKRV TIQMPKEYAEKSHKSKLQTVPKKQGIFGEFDRGNVLGREGKNQDSSVSCSKEDRLIDERK QEHLQNQRVPRSVQQKVINERLDSQMQNFQQTEIQTSRSTIECEEFSQSYNATQEKTCLK DKGKQQGQVTSNTEESKQELRQNQSAFSSVKDSQHDDGKCTINILEFLRKREELQQILSR VKQFEAESSKSGLKTFQILLNIVPVWLISEEKREYGVRVAMENNFEKVKEEITHIKTQAE EMLLQCEHVIQTAMMASQTGKQRDKPTNLNEMPLNVSNVNLSSSKCTEQKESKTVEEKLT HRQVTTHPEAATRNPVKPYQEAKGEDGKMAPPSLKTRPPSPTFITIESTARRAETSTKSE LSQSPKNNRCIEPPPRRPVEHASGLPRSRTPPSPPRSRSEQLVRLKDTTARLAKGTIPCS PGTPVPIVEKRSEVVMSPATLRRQIKIESRGGDSPPTITIPVSVNHVVSGSFRESVDAQE AVKKTEKTETYVHKDKMNSVNRAMPETESYDAVEIIRKVEGPHLSEHTERFEATNQTVQR AERFLNGHENEINRWFREFENDPVFRAKTERGAYANGEINHNMKQESHTFCKEEFGLASS ETANFTGFSYRHPKVPAMQPRVHSEARSLNEHFSSVDAFDSQIVGSKVATSSSRSTEAGR SGFDFKHAPPTYEDVISGHILDVADSPTNLRRNFQKTWQESERVFQRVGYETSDAHATEM SRAFQEESAFLSDKEICIICQKTVYPMECLIADKQNFHKSCFRCHHCSSKLSLGNYASLH GRIYCKPHFKQLFKSKGNYDEGFGHKQHKDRWNCKNQSSLVDFIPSGEPDAHENPTADTL LLGDLTTHPDACNSKRQDNDLRKWGDRGKLKIVWPPCQEMPKKNSPPEEEFKVNKAKWPP EVTIPVPSDFKRESLTEHVKTLESQGQEQDSVPDLQPCKHVCQKEDITGIKEIKGYEERK DEKEAKDTLKDAEGLRSKRKSGMEFNDHNAHAQSDGKEKNALVNEADSADVLQVANTDDE GGPENHRENFNNNNNNSVAVSSLNNGRQKISISERPRLLQAVSEANYYTSEYQIKNFNNA SKISELLGIFESQKLSSKKVLALALERTADRGTAGSPLQLVLEPGLQQGFSVKGENLAAS PDVSPLHIKGNHENNKNVHLFFSNTVKITSFSKKHNILGCDLMDSVDQLKNMSCLYLREL GKNVKCWHGETAGAARHGGKMCFDAQSQGSAAKPVFPSMQCQAQHLTVEEQIKRDRCYSD SEAD >ENSMUSP00000136934.1 pep:known chromosome:GRCm38:10:39890018:39895821:-1 gene:ENSMUSG00000096687.7 transcript:ENSMUST00000178045.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA474331 description:expressed sequence AA474331 [Source:MGI Symbol;Acc:MGI:3035041] MDFNAEGLSVAILGPTFPDLAKNVNRNISSLSLIFVGRASGYLCGSMIGGVLFGCINHFL LLGVSLSATTVGLYLMPFCKTAALLIVMMSVFGVSMGILDTGGNVLILDLWGDKGAPHMQ ALHFSFALGAFLAPLLAKLAWGTTTSAQNYTEPQSDRLALNQSFEATSDSVFAVPDDMNL LWTYASIGTYVLVVSVFLFGLFCKKHSRQKKPRASAEGARRAKYHRALLCLLFLFFFFYV GAEVTYGSFVFSFAITHVGMEESEAAGLNSIFWGTFAACRGLAIFFATFLQPGTMIVLCN IGSLVSCFFLVLFDKSPLCLWIATSVYGASMAATFPSGISWIEQYTTLTGKSAAFFVIGA ALGEMAIPAVIGILQGQYPDLPVVLYTCLGSAIFTAVLFPVMYKLATLPLECQHKGTRKR EDQKALLSSSELHDCEEENEEDDAEKWNEMDFEVVEMSDPVQESARETCREEGSGQVLLD GLIAEPFSANSSNSLGNHQDKRD >ENSMUSP00000137516.1 pep:known chromosome:GRCm38:10:39890018:39899238:-1 gene:ENSMUSG00000096687.7 transcript:ENSMUST00000178563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AA474331 description:expressed sequence AA474331 [Source:MGI Symbol;Acc:MGI:3035041] MIGGVLFGCINHFLLLGVSLSATTVGLYLMPFCKTAALLIVMMSVFGVSMGILDTGGNVL ILDLWGDKGAPHMQALHFSFALGAFLAPLLAKLAWGTTTSAQNYTEPQSDRLALNQSFEA TSDSVFAVPDDMNLLWTYASIGTYVLVVSVFLFGLFCKKHSRQKKPRASAEGARRAKYHR ALLCLLFLFFFFYVGAEVTYGSFVFSFAITHVGMEESEAAGLNSIFWGTFAACRGLAIFF ATFLQPGTMIVLCNIGSLVSCFFLVLFDKSPLCLWIATSVYGASMAATFPSGISWIEQYT TLTGKSAAFFVIGAALGEMAIPAVIGILQGQYPDLPVVLYTCLGSAIFTAVLFPVMYKLA TLPLECQHKGTRKREDQKALLSSSELHDCEEENEEDDAEKWNEMDFEVVEMSDPVQESAR ETCREEGSGQVLLDGLIAEPFSANSSNSLGNHQDKRD >ENSMUSP00000076864.3 pep:known chromosome:GRCm38:X:7762658:7775202:1 gene:ENSMUSG00000000134.17 transcript:ENSMUST00000077680.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfe3 description:transcription factor E3 [Source:MGI Symbol;Acc:MGI:98511] MSHAAEPARDAVEASAEGPRAVFLLLEERRPAESAQLLSLNSLLPESGIVADIELENILD PDSFYELKSQPLSLRSSLPISLQATPTTPATLSASSSAGGSRTPAMSSSSSRVLLRQQLM RAQAQEQERRERREQAAAAPFPSPAPASPAISVIGVSAGGHTLSRPPPAQVPREVLKVQT HLENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGPSSAQPLPAPETAHATGPTG SAPNSPMALLTIGSSSEKEIDDVIDEIISLESSYNDEMLSYLPGGTAGLQLPSTLPVSGN LLDVYSSQGVATPAITVSNSCPAELPNIKREISETEAKALLKERQKKDNHNLIERRRRFN INDRIKELGTLIPKSNDPEMRWNKGTILKASVDYIRKLQKEQQRSKDLESRQRSLEQANR SLQLRIQELELQAQIHGLPVPPNPGLLSLTTSSVSDSLKPEQLDIEEEGRPSTTFHVSGG PAQNAPPQQPPAPPSDALLDLHFPSDHLGDLGDPFHLGLEDILMEEEGMVGGLSGGALSP LRAASDPLLSSVSPAVSKASSRRSSFSMEEES >ENSMUSP00000078498.6 pep:known chromosome:GRCm38:X:7762664:7775199:1 gene:ENSMUSG00000000134.17 transcript:ENSMUST00000079542.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfe3 description:transcription factor E3 [Source:MGI Symbol;Acc:MGI:98511] MSHAAEPARDAVEASAEGPRAVFLLLEERRPAESAQLLSLNSLLPESGIVADIELENILD PDSFYELKSQPLSLRSSLPISLQATPTTPATLSASSSAGGSRTPAMSSSSSRVLLRQQLM RAQAQEQERRERREQAAAAPFPSPAPASPAISVIGVSAGGHTLSRPPPAQVPREVLKVQT HLENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGPSSAQPLPAPETAHATGPTG SAPNSPMALLTIGSSSEKELPVSGNLLDVYSSQGVATPAITVSNSCPAELPNIKREISET EAKALLKERQKKDNHNLIERRRRFNINDRIKELGTLIPKSNDPEMRWNKGTILKASVDYI RKLQKEQQRSKDLESRQRSLEQANRSLQLRIQELELQAQIHGLPVPPNPGLLSLTTSSVS DSLKPEQLDIEEEGRPSTTFHVSGGPAQNAPPQQPPAPPSDALLDLHFPSDHLGDLGDPF HLGLEDILMEEEGMVGGLSGGALSPLRAASDPLLSSVSPAVSKASSRRSSFSMEEES >ENSMUSP00000111343.1 pep:known chromosome:GRCm38:X:7762676:7775201:1 gene:ENSMUSG00000000134.17 transcript:ENSMUST00000115679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfe3 description:transcription factor E3 [Source:MGI Symbol;Acc:MGI:98511] MSSSSSRVLLRQQLMRAQAQEQERRERREQAAAAPFPSPAPASPAISVIGVSAGGHTLSR PPPAQVPREVLKVQTHLENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGPSSAQ PLPAPETAHATGPTGSAPNSPMALLTIGSSSEKEIDDVIDEIISLESSYNDEMLSYLPGG TAGLQLPSTLPVSGNLLDVYSSQGVATPAITVSNSCPAELPNIKREISETEAKALLKERQ KKDNHNLIERRRRFNINDRIKELGTLIPKSNDPEMRWNKGTILKASVDYIRKLQKEQQRS KDLESRQRSLEQANRSLQLRIQELELQAQIHGLPVPPNPGLLSLTTSSVSDSLKPEQLDI EEEGRPSTTFHVSGGPAQNAPPQQPPAPPSDALLDLHFPSDHLGDLGDPFHLGLEDILME EEGMVGGLSGGALSPLRAASDPLLSSVSPAVSKASSRRSSFSMEEES >ENSMUSP00000134125.1 pep:known chromosome:GRCm38:X:7762695:7775199:1 gene:ENSMUSG00000000134.17 transcript:ENSMUST00000137467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfe3 description:transcription factor E3 [Source:MGI Symbol;Acc:MGI:98511] MSSSSSRVLLRQQLMRAQAQEQERRERREQAAAAPFPSPAPASPAISVIGVSAGGHTLSR PPPAQVPREVLKVQTHLENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGPSSAQ PLPAPETAHATGPTGSAPNSPMALLTIGSSSEKEIDDVIDEIISLESSYNDEMLSYLPGG TAGLQLPSTLPVSGNLLDVYSSQGVATPAITVSNSCPAELPNIKREISETEAKALLKERQ KKDNHNLIERRRRFNINDRIKELGTLIPKSNDPEMRWNKGTILKASVDYIRKLQKEQQRS KDLESRQRSLEQANRSLQLRIQELELQAQIHGLPVPPNPGLLSLTTSSVSDSLKPEQLDI EEEGRPSTTFHVSGGPAQNAPPQQPPAPPSDALLDLHFPSDHLGDLGDPFHLGLEDILME EEGMVGGLSGGALSPLRAASDPLLSSVSPAVSKASSRRSSFSMEEES >ENSMUSP00000111344.4 pep:known chromosome:GRCm38:X:7762767:7775202:1 gene:ENSMUSG00000000134.17 transcript:ENSMUST00000115680.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tfe3 description:transcription factor E3 [Source:MGI Symbol;Acc:MGI:98511] MSHAAEPARDAVEASAEGPRAVFLLLEERRPAESAQLLSLNSLLPESGIVADIELENILD PDSFYELKSQPLSLRSRGLPDPCHVIFFITGLAASAAYEGPGSGTGEA >ENSMUSP00000120920.1 pep:known chromosome:GRCm38:X:7763943:7766792:1 gene:ENSMUSG00000000134.17 transcript:ENSMUST00000144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfe3 description:transcription factor E3 [Source:MGI Symbol;Acc:MGI:98511] SQPVSQFPGVILNQSLELDLNSLLPESGIVADIELENILDPDSFYELKSQPLSLRSSLPI SLQATPTTPATLSASSSAGGSRTPAMSSSSSRVLLRQQLMRAQAQEQERRERREQAAAAP FPSPAPASPAISVIGV >ENSMUSP00000111341.1 pep:known chromosome:GRCm38:X:7763959:7775201:1 gene:ENSMUSG00000000134.17 transcript:ENSMUST00000115677.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfe3 description:transcription factor E3 [Source:MGI Symbol;Acc:MGI:98511] MSSSSSRVLLRQQLMRAQAQEQERRERREQAAAAPFPSPAPASPAISVIGVSAGGHTLSR PPPAQVPREVLKVQTHLENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGPSSAQ PLPAPETAHATGPTGSAPNSPMALLTIGSSSEKEIDDVIDEIISLESSYNDEMLSYLPGG TAGLQLPSTLPVSGNLLDVYSSQGVATPAITVSNSCPAELPNIKREISETEAKALLKERQ KKDNHNLIERRRRFNINDRIKELGTLIPKSNDPEMRWNKGTILKASVDYIRKLQKEQQRS KDLESRQRSLEQANRSLQLRIQELELQAQIHGLPVPPNPGLLSLTTSSVSDSLKPEQLDI EEEGRPSTTFHVSGGPAQNAPPQQPPAPPSDALLDLHFPSDHLGDLGDPFHLGLEDILME EEGMVGGLSGGALSPLRAASDPLLSSVSPAVSKASSRRSSFSMEEES >ENSMUSP00000099219.2 pep:known chromosome:GRCm38:X:7764041:7775197:1 gene:ENSMUSG00000000134.17 transcript:ENSMUST00000101695.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfe3 description:transcription factor E3 [Source:MGI Symbol;Acc:MGI:98511] MSSSSSRVLLRQQLMRAQAQEQERRERREQAAAAPFPSPAPASPAISVIGVSAGGHTLSR PPPAQVPREVLKVQTHLENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGPSSAQ PLPAPETAHATGPTGSAPNSPMALLTIGSSSEKEIDDVIDEIISLESSYNDEMLSYLPGG TAGLQLPSTLPVSGNLLDVYSSQGVATPAITVSNSCPAELPNIKREISETEAKALLKERQ KKDNHNLIERRRRFNINDRIKELGTLIPKSNDPEMRWNKGTILKASVDYIRKLQKEQQRS KDLESRQRSLEQANRSLQLRIQELELQAQIHGLPVPPNPGLLSLTTSSVSDSLKPEQLDI EEEGRPSTTFHVSGGPAQNAPPQQPPAPPSDALLDLHFPSDHLGDLGDPFHLGLEDILME EEGMVGGLSGGALSPLRAASDPLLSSVSPAVSKASSRRSSFSMEEES >ENSMUSP00000111342.2 pep:known chromosome:GRCm38:X:7764087:7775199:1 gene:ENSMUSG00000000134.17 transcript:ENSMUST00000115678.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfe3 description:transcription factor E3 [Source:MGI Symbol;Acc:MGI:98511] MSSSSSRVLLRQQLMRAQAQEQERRERREQAAAAPFPSPAPASPAISVIGVSAGGHTLSR PPPAQVPREVLKVQTHLENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGPSSAQ PLPAPETAHATGPTGSAPNSPMALLTIGSSSEKELPVSGNLLDVYSSQGVATPAITVSNS CPAELPNIKREISETEAKALLKERQKKDNHNLIERRRRFNINDRIKELGTLIPKSNDPEM RWNKGTILKASVDYIRKLQKEQQRSKDLESRQRSLEQANRSLQLRIQELELQAQIHGLPV PPNPGLLSLTTSSVSDSLKPEQLDIEEEGRPSTTFHVSGGPAQNAPPQQPPAPPSDALLD LHFPSDHLGDLGDPFHLGLEDILMEEEGMVGGLSGGALSPLRAASDPLLSSVSPAVSKAS SRRSSFSMEEES >ENSMUSP00000033541.4 pep:known chromosome:GRCm38:X:75382399:75396459:1 gene:ENSMUSG00000031198.4 transcript:ENSMUST00000033541.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fundc2 description:FUN14 domain containing 2 [Source:MGI Symbol;Acc:MGI:1914641] MAANSQGNFDGKFEALDLAELTKKQPWWRKLFGQESGPSAEKYSVATQLVIGGVTGWCTG FVFQKVGKLAATAVGGGFFLLQLANHTGYIKVDWQRVEKDMKKAKEQLKIRKNKQIPTEV KSKAEEVVSFVKKNVLVTGGFFGGFLLGMAS >ENSMUSP00000095648.3 pep:known chromosome:GRCm38:4:61670177:61674136:-1 gene:ENSMUSG00000078674.2 transcript:ENSMUST00000098040.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup18 description:major urinary protein 18 [Source:MGI Symbol;Acc:MGI:3705220] MKMLLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLF LEQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFL MAHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQAR E >ENSMUSP00000080974.6 pep:known chromosome:GRCm38:5:17782016:17835696:-1 gene:ENSMUSG00000002944.15 transcript:ENSMUST00000082367.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd36 description:CD36 antigen [Source:MGI Symbol;Acc:MGI:107899] MGCDRNCGLIAGAVIGAVLAVFGGILMPVGDMLIEKTIKREVVLEEGTTAFKNWVKTGTT VYRQFWIFDVQNPDDVAKNSSKIKVKQRGPYTYRVRYLAKENITQDPEDHTVSFVQPNGA IFEPSLSVGTEDDNFTVLNLAVAAAPHIYQNSFVQVVLNSLIKKSKSSMFQTRSLKELLW GYKDPFLSLVPYPISTTVGVFYPYNDTVDGVYKVFNGKDNISKVAIIESYKGKRNLSYWP SYCDMINGTDAASFPPFVEKSRTLRFFSSDICRSIYAVFGSEIDLKGIPVYRFVLPANAF ASPLQNPDNHCFCTEKVISNNCTSYGVLDIGKCKEGKPVYISLPHFLHASPDVSEPIEGL HPNEDEHRTYLDVEPITGFTLQFAKRLQVNILVKPARKIEALKNLKRPYIVPILWLNETG TIGDEKAEMFKTQVTGKIKLLGMVEMALLGIGVVMFVAFMISYCACKSKNGK >ENSMUSP00000131832.1 pep:known chromosome:GRCm38:5:17782367:17888747:-1 gene:ENSMUSG00000002944.15 transcript:ENSMUST00000169095.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd36 description:CD36 antigen [Source:MGI Symbol;Acc:MGI:107899] MGCDRNCGLIAGAVIGAVLAVFGGILMPVGDMLIEKTIKREVVLEEGTTAFKNWVKTGTT VYRQFWIFDVQNPDDVAKNSSKIKVKQRGPYTYRVRYLAKENITQDPEDHTVSFVQPNGA IFEPSLSVGTEDDNFTVLNLAVAAAPHIYQNSFVQVVLNSLIKKSKSSMFQTRSLKELLW GYKDPFLSLVPYPISTTVGVFYPYNDTVDGVYKVFNGKDNISKVAIIESYKGKRNLSYWP SYCDMINGTDAASFPPFVEKSRTLRFFSSDICRSIYAVFGSEIDLKGIPVYRFVLPANAF ASPLQNPDNHCFCTEKVISNNCTSYGVLDIGKCKEGKPVYISLPHFLHASPDVSEPIEGL HPNEDEHRTYLDVEPITGFTLQFAKRLQVNILVKPARKIEALKNLKRPYIVPILWLNETG TIGDEKAEMFKTQVTGKIKLLGMVEMALLGIGVVMFVAFMISYCACKSKNGK >ENSMUSP00000126300.1 pep:known chromosome:GRCm38:5:17782369:17849729:-1 gene:ENSMUSG00000002944.15 transcript:ENSMUST00000165232.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd36 description:CD36 antigen [Source:MGI Symbol;Acc:MGI:107899] MGCDRNCGLIAGAVIGAVLAVFGGILMPVGDMLIEKTIKREVVLEEGTTAFKNWVKTGTT VYRQFWIFDVQNPDDVAKNSSKIKVKQRGPYTYRVRYLAKENITQDPEDHTVSFVQPNGA IFEPSLSVGTEDDNFTVLNLAVAAAPHIYQNSFVQVVLNSLIKKSKSSMFQTRSLKELLW GYKDPFLSLVPYPISTTVGVFYPYNDTVDGVYKVFNGKDNISKVAIIESYKGKRNLSYWP SYCDMINGTDAASFPPFVEKSRTLRFFSSDICRSIYAVFGSEIDLKGIPVYRFVLPANAF ASPLQNPDNHCFCTEKVISNNCTSYGVLDIGKCKEGKPVYISLPHFLHASPDVSEPIEGL HPNEDEHRTYLDVEPITGFTLQFAKRLQVNILVKPARKIEALKNLKRPYIVPILWLNETG TIGDEKAEMFKTQVTGKIKLLGMVEMALLGIGVVMFVAFMISYCACKSKNGK >ENSMUSP00000143061.1 pep:known chromosome:GRCm38:5:17782486:17835717:-1 gene:ENSMUSG00000002944.15 transcript:ENSMUST00000197890.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd36 description:CD36 antigen [Source:MGI Symbol;Acc:MGI:107899] MGCDRNCGLIAGAVIGAVLAVFGGILMPVGDMLIEKTIKREVVLEEGTTAFKNWVKTGTT VYRQFWIFDVQNPDDVAKNSSKIKVKQRGPYTYRVRYLAKENITQDPEDHTVSFVQPNGA IFEPSLSVGTEDDNFTVLNLAVAAAPHIYQNSFVQVVLNSLIKKSKSSMFQTRSLKELLW GYKDPFLSLVPYPISTTVGVFYPYNDTVDGVYKVFNGKDNISKVAIIESYKGKRNLSYWP SYCDMINGTDAASFPPFVEKSRTLRFFSSDICRSIYAVFGSEIDLKGIPVYRFVLPANAF ASPLQNPDNHCFCTEKVISNNCTSYGVLDIGKCKEGKPVYISLPHFLHASPDVSEPIEGL HPNEDEHRTYLDVEPITGFTLQFAKRLQVNILVKPARKIEALKNLKRPYIVPILWLNETG TIGDEKAEMFKTQVTGKIKLLGMVEMALLGIGVVMFVAFMISYCACKSKNGK >ENSMUSP00000143107.1 pep:known chromosome:GRCm38:5:17814675:17888801:-1 gene:ENSMUSG00000002944.15 transcript:ENSMUST00000197574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd36 description:CD36 antigen [Source:MGI Symbol;Acc:MGI:107899] MGCDRNCGLIAGAVIGAVLAVFGGILMPVGDMLIEKTIKREVVLEEGTTAFKNWVKTGTT VYRQFWIFDVQNPDDVAKNSSKIKVKQRGPYTYRVRYLAKENITQDPEDHTVSFVQPNGA IFEPSLSVGTEDDNFTVLNLAV >ENSMUSP00000133008.1 pep:known chromosome:GRCm38:5:17781690:17849792:-1 gene:ENSMUSG00000002944.15 transcript:ENSMUST00000170051.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd36 description:CD36 antigen [Source:MGI Symbol;Acc:MGI:107899] MGCDRNCGLIAGAVIGAVLAVFGGILMPVGDMLIEKTIKREVVLEEGTTAFKNWVKTGTT VYRQFWIFDVQNPDDVAKNSSKIKVKQRGPYTYRVRYLAKENITQDPEDHTVSFVQPNGA IFEPSLSVGTEDDNFTVLNLAVAAAPHIYQNSFVQVVLNSLIKKSKSSMFQTRSLKELLW GYKDPFLSLVPYPISTTVGVFYPYNDTVDGVYKVFNGKDNISKVAIIESYKGKRNLSYWP SYCDMINGTDAASFPPFVEKSRTLRFFSSDICRSIYAVFGSEIDLKGIPVYRFVLPANAF ASPLQNPDNHCFCTEKVISNNCTSYGVLDIGKCKEGKPVYISLPHFLHASPDVSEPIEGL HPNEDEHRTYLDVEPITGFTLQFAKRLQVNILVKPARKIEALKNLKRPYIVPILWLNETG TIGDEKAEMFKTQVTGKIKLLGMVEMALLGIGVVMFVAFMISYCACKSKNGK >ENSMUSP00000145311.1 pep:known chromosome:GRCm38:6:13156299:13224965:-1 gene:ENSMUSG00000079679.3 transcript:ENSMUST00000203074.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwde description:von Willebrand factor D and EGF domains [Source:MGI Symbol;Acc:MGI:2685313] MRGGAWARLVTALMLLVQGKCQQAQECSPGGHRILQSPYRSVHFDSVYLQYSAVQDLICD HSLSPGWYRFLIFDRPAEMPTKCVEMNHCGTQAPIWLSLSDSEALPLPGEMKKLTACATW QFLFSTEKDCCLFQIPVSVRNCGDFFVYLLQPTQGCMGYCAEAISDVKLHTCGPGETENE GGCVGQLSMAQPPAPPAPPGRPKISVELLGSLVFCRCTFDVSPTNTSVGFLIAWSRLSSQ EIKEELRQESTLQAFSLLELDGINLRLGDTIFCSAAVFYLEKPDVQSIAVESQEFFAGIK LKPELDTISEDGKEYQLKIESTIPIVCSEFSELDQGCKISLKLKTIEQGKEHLGLNLALS SCHVDLHQNSCANGICSQAVLYYTAVTDFSRDGDRVTDIIVEPIVNDVFLWDRYAPDSIQ IKVKDVPSAYCYSFTDPHIITFDGRVYDNFKTGTFVLYKSMSRDFEIHVRQWNCGSLHYS VSCNCGFVAKEEGDIVTFDMCSGQLHESPSYLFVKNQESSSSNIRITESYLGRKITIWFS SGAFIRADLSEWGMSITLRVPSLDYRSTLGLCGTFDENPENDFHDKNGIKIDHDDNYIAF INEWRLQPGRSMFDKVPASSRFPAKPSYCSCWLGTAMHHLLSHHLDSRSHLEMASGCKGI KHLQFSSLIPDLDITSEYINSEALAGKLSPHVPREENNLNFLLQKNAHPNLSKLDLNLPH PGNKILNPPAYLSDERHTQDQEMRKSLQNRWKRQNVKDFHPVYIFQSLGQGNLEEFSYFF PEDHTEDSQPEFAPSWPTASGLTEFSVLAICQQTLANSSIGRLCLPFLGRTLNHVVDMCV KDVLLKDDVNWAEGGVALLENECERRVLEDGKRNVEENRKTVEGILEVLKCPNLCSGHGE CMGWGCVCSPGYSSYDCSHSYEIAPEIAEVENAGFCDIQKKNCATVRVFGQGFKESLSIT CEVTKLEYNGSKWVLETSVYTQAVFQSSRVVDCQLFPNGQHFDTIDLTAEKPLAKLQLKV SNDGYTFSNSKIMIIYDGTCQVCGPYENDSCTIKNNICIIDDFCYTDGDKNPTSSCLICR PQTSNSTWSVLDDNQPPMIQTLQDKLEAFYGEDFEYHFMAWDPEGSEIRFTLDSGPDGAA VSSEGLLTWKTESQTPQTFTLRLKDDCEAKSTVTVEVTVKSCDCLNGGSCVPDRKFPPGS GAYLCDCLPGFYGDLCESEARECQSNPCGLGKCIRGSHSYSCDCPPELTGKNCEKDVDEC ESWPCFPGLECINVFGSYHCGPCPEGFQGDGTTCNDLSFQPPSMEPLLTNPSFTQRPATT FNEFLNSIGASHLSVKSVTTQMDNGKKGVSHQTPTYEYVDHVLSPDLTVDELEVPAHNGS FASINPNNSFDQVDPVQSTFPTETGGLSTQISKPFQNFSGQEFISLTTKGAITTLPEKNG STKISNCIESSCFQGVSCVSTTGGHFKCGRCPFGYYGDGITCRAFCRHSCGRNRECVAPN TCKCKPGYTGSNCQTAICHPVCKKHGKCIKPNICACPPGHGGATCDEEHCSPPCEHGGTC LSGNLCTCPYGFVGPRCETLVCNRHCENGGECVAPDICQCKSGWYGPTCSTALCDPICLN GGSCYKPNTCLCPGGFFGTQCQNAVCHPPCKNGGLCMRNNVCSCPGGYTGKRCQTSICEP MCMNGGKCVGPNICSCASGWSGKQCNTPICLQKCKNGGECIAPSMCHCPSTWEGVQCQKP ICTQKCLYGGRCAFPGVCSCRTGYSGAKCEKKI >ENSMUSP00000058110.5 pep:known chromosome:GRCm38:6:13185610:13224965:-1 gene:ENSMUSG00000079679.3 transcript:ENSMUST00000054530.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwde description:von Willebrand factor D and EGF domains [Source:MGI Symbol;Acc:MGI:2685313] MRGGAWARLVTALMLLVQGKCQQAQECSPGGHRILQSPYRSVHFDSVYLQYSAVQDLICD HSLSPGWYRFLIFDRPAEMPTKCVEMNHCGTQAPIWLSLSDSEALPLPGEMKKLTACATW QFLFSTEKDCCLFQIPVSVRNCGDFFVYLLQPTQGCMGYCAEAISDVKLHTCGPGETENE GGCVGQLSMAQPPAPPAPPGRPKISVELLGSLVFCRCTFDVSPTNTSVGFLIAWSRLSSQ EIKEELRQESTLQAFSLLELDGINLRLGDTIFCSAAVFYLEKPDVQSIAVESQEFFAGIK LKPELDTISEDGKEYQLKIESTIPIVCSEFSELDQGCKISLKLKTIEQGKEHLGLNLALS SCHVDLHQNSCANGICSQAVLYYTAVTDFSRDGDRVTDIIVEPIVNDVFLWDRYAPDSIQ IKVKDVPSAYCYSFTDPHIITFDGRVYDNFKTGTFVLYKSMSRDFEIHVRQWNCGSLHYS VSCNCGFVAKEEGDIVTFDMCSGQLHESPSYLFVKNQESSSSNIRITESYLGRKITIWFS SGAFIRADLSEWGMSITLRVPSLDYRSTLGLCGTFDENPENDFHDKNGIKIDHDDNYIAF INEWRLQPGRSMFDKVPASSRFPAKPSYCSCWLGTAMHHLLSHHLDSRSHLEMASGCKGI KHLQFSSLIPDLDITSEYINSEALAGKLSPHVPREENNLNFLLQKNAHPNLSKLDLNLPH PGNKILNPPAYLSDERHTQDQEMRKSLQNRWKRQNVKDFHPVYIFQSLGQGNLEEFSYFF PEDHTEDSQPEFAPSWPTASGLTEFSVLAICQQTLANSSIGRLCLPFLGRTLNHVVDMCV KDVLLKDDVNWAEGGVALLENECERRVLEDGKRNVEENRKTVEGILEVLKCPNLCSGHGE CMGWGCVCSPGYSSYDCSHSYGMQML >ENSMUSP00000075966.2 pep:known chromosome:GRCm38:4:49059282:49340259:1 gene:ENSMUSG00000063446.4 transcript:ENSMUST00000076670.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plppr1 description:phospholipid phosphatase related 1 [Source:MGI Symbol;Acc:MGI:2445015] MAVENNTQRSYSIIPCFIFVELVIMAGTVLLAYYFECTDTFQVHIQGFFCQDGDLMKPYP GTEEESFISPLVLYCVLAATPTAIIFIGEISMYFIKSTRESLIAEEKMILTGDCCYLSPL LRRIIRFIGVFAFGLFATDIFVNAGQVVTGHLTPYFLTVCQPNYTSTDCRAHQQFINNGN ICTGDLEVIEKARRSFPSKHAALSIYSALYATMYITSTIKTKSSRLAKPVLCLGTLCTAF LTGLNRVSEYRNHCSDVIAGFILGTAVALFLGMCVVHNFRGTQGSPSKPKPEDPRGVPLM AFPRIESPLETLSAQNHSASMTEVT >ENSMUSP00000125116.1 pep:known chromosome:GRCm38:5:66298725:66618758:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000162366.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTDIANRNSPATPPNTLNLRSSHNELLNAEIKHSDAKN STPPKCRKKYALTNIQAAMGLSDPAVQPLLGNGSANIKLVKNGENQLRKAAEQGQQDPNK NLSPAAVINLTSEKLEVKDPHPQESSGCEILPSQPRRTKSFLNYYADLETSARELGQNLG PCQGVGEEKAQPGPGQAPVVIGNGDLLPQKPNKPQSSPEDGQVATVSSSPETKKDHPKTG AKTDCALHRIQNLAPSDEESSWTTLSQDSASPSSPDETDIWSDHSFQTDPDLPPGWKRVN DIAGTYYWHIPTGTTQWERPVSIPADLHGSRKGSLSSVTPSPTPENEDLHAATVNPDPSL KEFEGATLRYASLKLRNAPHGDDDDSCSINSDPEAKCFAVRSLGWVEMAEEDLAPGKSSV AVNNCIRQLSYCKNDIRDTVGIWGEGKDMYLSLENDMLSLVDPMDRSVLHSQPIVNIRVW GVGRDNGRDFAYVARDKDTRILKCHVFRCDTPAKAIATSLHEICSKIMAERKNAKALACS SLQERTNMSLDVPLQDFPTPKTELVQKFRVQYLGMLPVDRPVGMDTLNSAIENLMTSSSK EDWPSVNMNVADATVTVISEKNEEEVLVECRVRFLSFMGVGKDVHTFAFIMDTGNQRFEC HVFWCEPNAANVSEAVQAACMLRYQKCLVARPPSQKVRPPPPPADSVTRRVTTNVKRGVL SLIDTLKQKRPVTETP >ENSMUSP00000123978.2 pep:known chromosome:GRCm38:5:66298728:66618681:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000160870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTDIANRNSPATPPNTLNLRSSHNELLNAEIKHSDAKN STPPKCRKKYALTNIQAAMGLSDPAVQPLLGNGSANIKLVKNGENQLRKAAEQGQQDPNK NLSPAAVINLTSEKLEVKDPHPQESSGCEILPSQPRRTKSFLNYYADLETSARELGQNLG PCQGVGEEKAQPGPGQAPVVIGNGDLLPQKPNKPQSSPEDGQVATVSSSPETKKDHPKTG AKTDCALHRIQNLAPSDEESSWTTLSQDSASPSSPDETDIWSDHSFQTDPDLPPGWKRVN DIAGTYYWHIPTGTTQWERPVSIPADLHGSRKGSLSSVTPSPTPENEKQPWSDFAVLNGG KINSDIWKDLHAATVNPDPSLKEFEGATLRYASLKLRNAPHGDDDDSCSINSDPEAKCFA VRSLGWVEMAEEDLAPGKSSVAVNNCIRQLSYCKNDIRDTVGIWGEGKDMYLSLENDMLS LVDPMDRSVLHSQPIVNIRVWGVGRDNGRDFAYVARDKDTRILKCHVFRCDTPAKAIATS LHEICSKIMAERKNAKALACSSLQERTNMSLDVPLQVDFPTPKTELVQKFRVQYLGMLPV DRPVGMDTLNSAIENLMTSSSKEDWPSVNMNVADATVTVISEKNEEEVLVECRVRFLSFM GVGKDVHTFAFIMDTGNQRFECHVFWCEPNAANVSEAVQAACMLRYQKCLVARPPSQKVR PPPPPADSVTRRVTTNVKRGVLSLIDTLKQKRPVTETP >ENSMUSP00000124807.1 pep:known chromosome:GRCm38:5:66298728:66618784:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000159512.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTDIANRNSPATPPNTLNLRSSHNELLNAEIKHSDAKN STPPKCRKKYALTNIQAAMGLSDPAVQPLLGNGSANIKLVKNGENQLRKAAEQGQQDPNK NLSPAAVINLTSEKLEVKDPHPQESSGCEILPSQPRRTKSFLNYYADLETSARELGQNLG PCQGVGEEKAQPGPGQAPVVIGNGDLLPQKPNKPQSSPEDGQVATVSSSPETKKDHPKTG AKTDCALHRIQNLAPSDEESSWTTLSQDSASPSSPDETADIWSDHSFQTDPDLPPGWKRV NDIAGTYYWHIPTGTTQWERPVSIPADLHGSRKGSLSSVTPSPTPENEDLHAATVNPDPS LKEFEGATLRYASLKLRNAPHGDDDDSCSINSDPEAKCFAVRSLGWVEMAEEDLAPGKSS VAVNNCIRQLSYCKNDIRDTVGIWGEGKDMYLSLENDMLSLVDPMDRSVLHSQPIVNIRV WGVGRDNGRDFAYVARDKDTRILKCHVFRCDTPAKAIATSLHEICSKIMAERKNAKALAC SSLQERTNMSLDVPLQVDFPTPKTELVQKFRVQYLGMLPVDRPVGMDTLNSAIENLMTSS SKEDWPSVNMNVADATVTVISEKNEEEVLVECRVRFLSFMGVGKDVHTFAFIMDTGNQRF ECHVFWCEPNAANVSEAVQAACMLRYQKCLVARPPSQKVRPPPPPADSVTRRVTTNVKRG VLSLIDTLKQKRPVTETP >ENSMUSP00000125211.2 pep:known chromosome:GRCm38:5:66298840:66618663:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000159786.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTDIANRNSPATPPNTLNLRSSHNELLNAEIKHSDAKN STPPKCRKKYALTNIQAAMGLSDPAVQPLLGNGSANIKLVKNGENQLRKAAEQGQQDPNK NLSPAAVINLTSEKLEVKDPHPQESSGCEILPSQPRRTKSFLNYYADLETSARELGQNLG PCQGVGEEKAQPGPGQAPVVIGNGDLLPQKPNKPQSSPEDGQVATVSSSPETKKDHPKTG AKTDCALHRIQNLAPSDEESSWTTLSQDSASPSSPDETDIWSDHSFQTDPDLPPGWKRVN DIAGTYYWHIPTGTTQWERPVSIPADLHGSRKGSLSSVTPSPTPENEDLHAATVNPDPSL KEFEGATLRYASLKLRNAPHGDDDDSCSINSDPEAKCFAVRSLGWVEMAEEDLAPGKSSV AVNNCIRQLSYCKNDIRDTVGIWGEGKDMYLSLENDMLSLVDPMDRSVLHSQPIVNIRVW GVGRDNGRDFAYVARDKDTRILKCHVFRCDTPAKAIATSLHEICSKIMAERKNAKALACS SLQERTNMSLDVPLQVDFPTPKTELVQKFRVQYLGMLPVDRPVGMDTLNSAIENLMTSSS KEDWPSVNMNVADATVTVISEKNEEEVLVECRVRFLSFMGVGKDVHTFAFIMDTGNQRFE CHVFWCEPNAANVSEAVQAACMLRYQKCLVARPPSQKVRPPPPPADSVTRRVTTNVKRGV LSLIDTLKQKRPVTETP >ENSMUSP00000123752.2 pep:known chromosome:GRCm38:5:66301888:66618671:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000162349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTDIANRNSPATPPNTLNLRSSHNELLNAEIKHSDAKN STPPKCRKKYALTNIQAAMGLSDPAVQPLLGNGSANIKLVKNGENQLRKAAEQGQQDPNK NLSPAAVINLTSEKLEVKDPHPQESSGCEILPSQPRRTKSFLNYYADLETSARELGQNLG PCQGVGEEKAQPGPGQAPVVIGNGDLLPQKPNKPQSSPEDGQVATVSSSPETKKDHPKTG AKTDCALHRIQNLAPSDEESSWTTLSQDSASPSSPDETDIWSDHSFQTDPDLPPGWKRVN DIAGTYYWHIPTGTTQWERPVSIPADLHGSRKGSLSSVTPSPTPENEKQPWSDFAVLNGG KINSDIWKDLHAATVNPDPSLKEFEGATLRYASLKLRNAPHGDDDDSCSINSDPEAKCFA VRSLGWVEMAEEDLAPGKSSVAVNNCIRQLSYCKNDIRDTVGIWGEGKDMYLSLENDMLS LVDPMDRSVLHSQPIVNIRVWGVGRDNGRERDFAYVARDKDTRILKCHVFRCDTPAKAIA TSLHEICSKIMAERKNAKALACSSLQERTNMSLDVPLQVDFPTPKTELVQKFRVQYLGML PVDRPVGMDTLNSAIENLMTSSSKEDWPSVNMNVADATVTVISEKNEEEVLVECRVRFLS FMGVGKDVHTFAFIMDTGNQRFECHVFWCEPNAANVSEAVQAACMLRYQKCLVARPPSQK VRPPPPPADSVTRRVTTNVKRGVLSLIDTLKQKRPVTETP >ENSMUSP00000123778.2 pep:known chromosome:GRCm38:5:66302205:66492171:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000160063.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTDIANRNSPATPPNTLNLRSSHNELLNAEIKHSDAKN STPPKCRKKYALTNIQAAMGLSDPAVQPLLGNGSANIKLVKNGENQLRKAAEQGQQDPNK NLSPAAVINLTSEKLEVKDPHPQESSGCEILPSQPRRTKSFLNYYADLETSARELGQNLG PCQGVGEEKAQPGPGQAPVVIGNGDLLPQKPNKPQSSPEDGQVATVSSSPETKKDHPKTG AKTDCALHRIQNLAPSDEESSWTTLSQDSASPSSPDETADIWSDHSFQTDPDLPPGWKRV NDIAGTYYWHIPTGTTQWERPVSIPADLHGSRKGSLSSVTPSPTPENEKQPWSDFAVLNG GKINSDIWKDLHAATVNPDPSLKEFEGATLRYASLKLRNAPHGDDDDSCSINSDPEAKCF AVRSLGWVEMAEEDLAPGKSSVAVNNCIRQLSYCKNDIRDTVGIWGEGKDMYLSLENDML SLVDPMDRSVLHSQPIVNIRVWGVGRDNGR >ENSMUSP00000124139.1 pep:known chromosome:GRCm38:5:66451982:66618640:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000162382.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTDIANRNSPATPPNTLNLRSSHNELLNAEIKHSDAKN STPPKCRKKYALTNIQAAMGLSDPAVQPLLGNGSANIKLVKNGENQL >ENSMUSP00000125550.1 pep:known chromosome:GRCm38:5:66451995:66514830:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000161879.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTDIANRNSPATPPNTLNLRSSHNELLNAEIKHSDAKN STPPKCRKKYALTNIQAAMGLSDPAVQPLLGNGSANIKLVKNG >ENSMUSP00000123766.1 pep:known chromosome:GRCm38:5:66452024:66618671:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000160103.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTDIANRNSPATPPNTLNLRSSHNELLNAEIKHSDAKN STPPKCRKKYALTNIQAAMGLSDPAVQPLLGNG >ENSMUSP00000125603.1 pep:known chromosome:GRCm38:5:66452228:66618774:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000162994.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTD >ENSMUSP00000124127.1 pep:known chromosome:GRCm38:5:66452261:66514837:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000159357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTL >ENSMUSP00000124350.1 pep:known chromosome:GRCm38:5:66452267:66546957:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000161716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVG >ENSMUSP00000084511.5 pep:known chromosome:GRCm38:5:66301888:66618671:-1 gene:ENSMUSG00000029207.16 transcript:ENSMUST00000087256.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apbb2 description:amyloid beta (A4) precursor protein-binding, family B, member 2 [Source:MGI Symbol;Acc:MGI:108405] MSEVLPADSGVGTLAVFMASSGSTDIANRNSPATPPNTLNLRSSHNELLNAEIKHSDAKN STPPKCRKKYALTNIQAAMGLSDPAVQPLLGNGSANIKLVKNGENQLRKAAEQGQQDPNK NLSPAAVINLTSEKLEVKDPHPQESSGCEILPSQPRRTKSFLNYYADLETSARELGQNLG PCQGVGEEKAQPGPGQAPVVIGNGDLLPQKPNKPQSSPEDGQVATVSSSPETKKDHPKTG AKTDCALHRIQNLAPSDEESSWTTLSQDSASPSSPDETDIWSDHSFQTDPDLPPGWKRVN DIAGTYYWHIPTGTTQWERPVSIPADLHGSRKGSLSSVTPSPTPENEKQPWSDFAVLNGG KINSDIWKDLHAATVNPDPSLKEFEGATLRYASLKLRNAPHGDDDDSCSINSDPEAKCFA VRSLGWVEMAEEDLAPGKSSVAVNNCIRQLSYCKNDIRDTVGIWGEGKDMYLSLENDMLS LVDPMDRSVLHSQPIVNIRVWGVGRDNGREWDFAYVARDKDTRILKCHVFRCDTPAKAIA TSLHEICSKIMAERKNAKALACSSLQERTNMSLDVPLQVDFPTPKTELVQKFRVQYLGML PVDRPVGMDTLNSAIENLMTSSSKEDWPSVNMNVADATVTVISEKNEEEVLVECRVRFLS FMGVGKDVHTFAFIMDTGNQRFECHVFWCEPNAANVSEAVQAACMLRYQKCLVARPPSQK VRPPPPPADSVTRRVTTNVKRGVLSLIDTLKQKRPVTETP >ENSMUSP00000022246.8 pep:known chromosome:GRCm38:13:118714699:118792115:1 gene:ENSMUSG00000021732.14 transcript:ENSMUST00000022246.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fgf10 description:fibroblast growth factor 10 [Source:MGI Symbol;Acc:MGI:1099809] MWKWILTHCASAFPHLPGCCCCFLLLFLVSSFPVTCQALGQDMVSQEATNCSSSSSSFSS PSSAGRHVRSYNHLQGDVRWRRLFSFTKYFLTIEKNGKVSGTKNEDCPYSVLEITSVEIG VVAVKAINSNYYLAMNKKGKLYGSKEFNNDCKLKERIEENGYNTYASFNWQHNGRQMYVA LNGKGAPRRGQKTRRKNTSAHFLPMTIQT >ENSMUSP00000021813.4 pep:known chromosome:GRCm38:13:48662998:48666507:1 gene:ENSMUSG00000021381.4 transcript:ENSMUST00000021813.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Barx1 description:BarH-like homeobox 1 [Source:MGI Symbol;Acc:MGI:103124] MQRPGEPGAARFGPPEGCADHRPHRYRSFMIEEILTEPPGPKGAAPAAAAAAAGELLKFG VQALLAARPFHSHLAVLKAEQAAVFKFPLAPLGCSGLGSALLAAGPGMPGPAGASHLPLE LQLRGKLEAAGSGEPGAKAKKGRRSRTVFTELQLMGLEKRFEKQKYLSTPDRIDLAESLG LSQLQVKTWYQNRRMKWKKIVLQGGGLESPTKPKGRPKKNSIPTSEQLTEQERAKETEKP AETPGEPSDRNCED >ENSMUSP00000026254.7 pep:known chromosome:GRCm38:19:46152509:46286510:1 gene:ENSMUSG00000025224.14 transcript:ENSMUST00000026254.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbf1 description:golgi-specific brefeldin A-resistance factor 1 [Source:MGI Symbol;Acc:MGI:1861607] MVDKNIYIIQGEINIVVGAIKRNARWSTHIPLDEERDPLLHSFSNLKEVLNSVTELSEIE PNVFLRPFLEVIRSEDTTGPITGLALTSVNKFLSYALIDPTHEGTAEGMENMADAVTHAR FVGTDPASDEVVLMKILQVLRTLLLTPVGTHLTNESVCEIMQSCFRICFEMRLSELLRKS AEHTLVDMVQLLFTRLPQFKEEPKSYVGTNMKKLKMRAGGMSDSSKWKKQKRSPRPPRHT TKVTPGSELPTPNGATLPSNLTGGVPFIDAPTSISSASSEAASTVVSPCTDSGLELSSQT TSKEDLTDLEQAGSPREITTSEPGSSEMGASDQLDPQEGAHVEKAQSASVESIPEVLEEC TSPADHSDSASVHDMDYVNPRGVRFTQSSQKEGTALVPYGLPCIRELFRFLISLTNPHDR HNSEVMIHMGLHLLTVALESAPVAQCQTLLGLIKDEMCRHLFQLLSVERLNLYAASLRVC FLLFESMREHLKFQLEMYIKKLMEIITVENPKMPYEMKEMALEAVVQLWRIPSFVTELYI NYDCDYYCSNLFEDLTKLLSKNAFPVSGQLYTTHLLSLDALLTVIDSTESHCQAKVLNTL NQQEKKETARPGFEAVDGNPETNKSERATSDGKSTGVALDARGLHFPSGGWLSTEHGKPG CRDLEEAGDSGADKKFTRKPPRFSCLLPDPRELIEIKNKKKLLITGTEQFNQKPKKGIQF LQEKGLLTIPMDNTEVAQWLRENPRLDKKMIGEFVSDRKNMDLLESFVSTFSFQGLRLDE ALRLYLEAFRLPGEAPVIHRLLEVFTEHWRSCNGSPFANSDACFALAYAVIMLNTDQHNH NVRKQNAPMTLEEFRKNLKGVNGGKDFEQDILEDMYHAIKNEEIVMPEEQTGLVRENYVW SVLLHRGASPEGVFLRVPPGSYDLDLFTMTWGPTIAALSYVFDKSLEETIIQKAISGFRK CAMISAHYGLSDVFDNLIISLCKFTALSSESIENLPSVFGSNPKAHIAAKTVFHLAHRHG DILREGWKNIMEAMLQLFRAQLLPKAMVEVEDFVDPNGKISLQREETPSNRGESTVLSFV SWLTLSGPEQSSVRGPSTENQEAKRVALDCIKQCDPEKMITESKFLQLESLQELMKALVS VTPDEETYDEEDAAFCLEMLLRIVLENRDRVGCVWQTVRDHLYHLCVQAQDFCFLVERAV VGLLRLAIRLLRREEISGQVLLSLRILLLMKPSVLSRVSHQVAYGLHELLKTNAANIHSG DDWATLFTLLECIGSGVKPPDALQATARADAPDAGAQSDSELPSYHQNDVSLDRGYTSDS EVYTDHGRPGKIHRSATDADMVNSGWLVVGKDDIDNSKPGAGLSRPGPSPLVNQYSLTVG LDLGPHDTKSLLKCVESLSFIVRDAAHITPDNFELCVKTLRIFVEASLNGGCKSQDKRSK SHKYDSKGNRFKKKPKEGSMLRRPRGSNQHATRGGHSDEEEDEGVPASYHTVSLQVSQDL LDLMHTLHTRAASIYSSWAEEQRHLEGGGQKIEADSRTLWAHCWCPLLQGIACLCCDARR QVRMQALTYLQRALLVHDLQKLDALEWESCFNKVLFPLLTKLLENISPADVGGMEETRMR ASTLLSKVFLQHLSPLLSLSTFAALWLTILDFMDKYMHAGSSDLLSEAIPESLKNMLLVM DTAEIFHSADARGGGPSALWEITWERIDCFLPHLRDELFKQTVIQDPMPAEPHSQKPLAS THLTSAAGDPRMPGHPPLPEIPSEMGVCDSEKPESTRAPSSSSPGSPMASSPSKLSPAQE GPPPLTQPPLILQPLTSPLQVGVPPMTLPIILNPALIEATSPVPLLATPRPTDPIPTSEV N >ENSMUSP00000135062.1 pep:known chromosome:GRCm38:19:46246088:46286509:1 gene:ENSMUSG00000025224.14 transcript:ENSMUST00000176992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbf1 description:golgi-specific brefeldin A-resistance factor 1 [Source:MGI Symbol;Acc:MGI:1861607] ELSEIEPNVFLRPFLEVIRSEDTTGPITGLALTSVNKFLSYALIDPTHEGTAEGMENMAD AVTHARFVGTDPASDEVVLMKILQVLRTLLLTPVGTHLTNESVCEIMQSCFRICFEMRLS ELLRKSAEHTLVDMVQLLFTRLPQFKEEPKSYVGTNMKKLKMRAGGMSDSSKWKKQKRSP RPPRHTTKVTPGSELPTPNGATLPSNLTGGVPFIDAPTSISSASSEAASTVVSPCTDSGL ELSSQTTSKEDLTDLEQAGSPREITTSEPGSSEMGASDQLDPQEGAHVEKAQSASVESIP EVLEECTSPADHSDSASVHDMDYVNPRGVRFTQSSQKEGTALVPYGLPCIRELFRFLISL TNPHDRHNSEVMIHMGLHLLTVALESAPVAQCQTLLGLIKDEMCRHLFQLLSVERLNLYA ASLRVCFLLFESMREHLKFQLEMYIKKLMEIITVENPKMPYEMKEMALEAVVQLWRIPSF VTELYINYDCDYYCSNLFEDLTKLLSKNAFPVSGQLYTTHLLSLDALLTVIDSTESHCQA KVLNTLNQQEKKETARPGFEAVDGNPETNKSERATSDGKSTGVALDARGLHFPSGGWLST EHGKPGCRDLEEAGDSGADKKFTRKPPRFSCLLPDPRELIEIKNKKKLLITGTEQFNQKP KKGIQFLQEKGLLTIPMDNTEVAQWLRENPRLDKKMIGEFVSDRKNMDLLESFVSTFSFQ GLRLDEALRLYLEAFRLPGEAPVIHRLLEVFTEHWRSCNGSPFANSDACFALAYAVIMLN TDQHNHNVRKQNAPMTLEEFRKNLKGVNGGKDFEQDILEDMYHAIKNEEIVMPEEQTGLV RENYVWSVLLHRGASPEGVFLRVPPGSYDLDLFTMTWGPTIAALSYVFDKSLEETIIQKA ISGFRKCAMISAHYGLSDVFDNLIISLCKFTALSSESIENLPSVFGSNPKAHIAAKTVFH LAHRHGDILREGWKNIMEAMLQLFRAQLLPKAMVEVEDFVDPNGKISLQREETPSNRGES TVLSFVSWLTLSGPEQSSVRGPSTENQEAKRVALDCIKQCDPEKMITESKFLQLESLQEL MKALVSVTPDEETYDEEDAAFCLEMLLRIVLENRDRVGCVWQTVRDHLYHLCVQAQDFCF LVERAVVGLLRLAIRLLRREEISGQVLLSLRILLLMKPSVLSRVSHQVAYGLHELLKTNA ANIHSGDDWATLFTLLECIGSGVKPPDALQATARADAPDAGAQSDSELPSYHQNDVSLDR GYTSDSEVYTDHGRPGKIHRSATDADMVNSGWLVVGKDDIDNSKPGAGLSRPGPSPLVNQ YSLTVGLDLGPHDTKSLLKCVESLSFIVRDAAHITPDNFELCVKTLRIFVEASLNGGCKS QDKRSKSHKYDSKGNRFKKKPKEGSMLRRPRGSNQHATRGGHSDEEEDEGVPASYHTVSL QLLDLMHTLHTRAASIYSSWAEEQRHLEGGGQKIEADSRTLWAHCWCPLLQGIACLCCDA RRQVRMQALTYLQRALLVHDLQKLDALEWESCFNKVLFPLLTKLLENISPADVGGMEETR MRASTLLSKVFLQHLSPLLSLSTFAALWLTILDFMDKYMHAGSSDLLSEAIPESLKNMLL VMDTAEIFHSADARGGGPSALWEITWERIDCFLPHLRDELFKQTVIQDPMPAEPHSQKPL ASTHLTSAAGDPRMPGHPPLPEIPSEMGVCDSEKPESTRAPSSSSPGSPMASSPSKLSPA QEGPPPLTQPPLILQPLTSPLQVGVPPMTLPIILNPALIEATSPVPLLATPRPTDPIPTS EVN >ENSMUSP00000135847.1 pep:known chromosome:GRCm38:19:46254067:46259765:1 gene:ENSMUSG00000025224.14 transcript:ENSMUST00000176574.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gbf1 description:golgi-specific brefeldin A-resistance factor 1 [Source:MGI Symbol;Acc:MGI:1861607] XRICFEMRLSELLRKSAEHTLVDMVQLLFTRLPQFKEEPKSYVGTNMKKMSPCLLSKLEL SSGEQTKALNQLERLKMRAGGMSDSSKWKKQKRSPRPPRHTTKVTPGSELPTPNGATLPS NLTGGVPFIDAPTSISSASSEAASTVVSPCTDSGLELSSQTTSKEDLTDLEQAGSPREIT TSEPGSS >ENSMUSP00000135850.1 pep:known chromosome:GRCm38:19:46266112:46269797:1 gene:ENSMUSG00000025224.14 transcript:ENSMUST00000177512.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gbf1 description:golgi-specific brefeldin A-resistance factor 1 [Source:MGI Symbol;Acc:MGI:1861607] XLLITGTEQFNQKPKKGIQFLQEKGLLTIPMDNTEVAQWLRENPRLDKKMIGEFVSDRKN MDLLESFVSTFSFQGLRLDEALRLYLEAFRLPGEAPVIHRLLEVFTEHWR >ENSMUSP00000134762.1 pep:known chromosome:GRCm38:19:46280180:46283682:1 gene:ENSMUSG00000025224.14 transcript:ENSMUST00000175747.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gbf1 description:golgi-specific brefeldin A-resistance factor 1 [Source:MGI Symbol;Acc:MGI:1861607] GKDDIDNSKPGAGLSRPGPSPLVNQYSLTVGLDLGPHDTKSLLKCVESLSFIVRDAAHIT PDNFELCVKTLRIFVEASLNGGCKSQDKRSKSHKYDSKGNRFKKKPKEGSMLRRPRGSNQ HATRGGHSDEEEDEGVPASYHTVSLQESPAYAVTPGARYGCRP >ENSMUSP00000033539.6 pep:known chromosome:GRCm38:X:75172715:75382316:-1 gene:ENSMUSG00000031196.13 transcript:ENSMUST00000033539.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F8 description:coagulation factor VIII [Source:MGI Symbol;Acc:MGI:88383] MQIALFACFFLSLFNFCSSAIRRYYLGAVELSWNYIQSDLLSVLHTDSRFLPRMSTSFPF NTSIMYKKTVFVEYKDQLFNIAKPRPPWMGLLGPTIWTEVHDTVVITLKNMASHPVSLHA VGVSYWKASEGDEYEDQTSQMEKEDDKVFPGESHTYVWQVLKENGPMASDPPCLTYSYMS HVDLVKDLNSGLIGALLVCKEGSLSKERTQMLYQFVLLFAVFDEGKSWHSETNDSYTQSM DSASARDWPKMHTVNGYVNRSLPGLIGCHRKSVYWHVIGMGTTPEIHSIFLEGHTFFVRN HRQASLEISPITFLTAQTLLIDLGQFLLFCHISSHKHDGMEAYVKVDSCPEESQWQKKNN NEEMEDYDDDLYSEMDMFTLDYDSSPFIQIRSVAKKYPKTWIHYISAEEEDWDYAPSVPT SDNGSYKSQYLSNGPHRIGRKYKKVRFIAYTDETFKTRETIQHESGLLGPLLYGEVGDTL LIIFKNQASRPYNIYPHGITDVSPLHARRLPRGIKHVKDLPIHPGEIFKYKWTVTVEDGP TKSDPRCLTRYYSSFINPERDLASGLIGPLLICYKESVDQRGNQMMSDKRNVILFSIFDE NQSWYITENMQRFLPNAAKTQPQDPGFQASNIMHSINGYVFDSLELTVCLHEVAYWHILS VGAQTDFLSIFFSGYTFKHKMVYEDTLTLFPFSGETVFMSMENPGLWVLGCHNSDFRKRG MTALLKVSSCDKSTSDYYEEIYEDIPTQLVNENNVIDPRSFFQNTNHPNTRKKKFKDSTI PKNDMEKIEPQFEEIAEMLKVQSVSVSDMLMLLGQSHPTPHGLFLSDGQEAIYEAIHDDH SPNAIDSNEGPSKVTQLRPESHHSEKIVFTPQPGLQLRSNKSLETTIEVKWKKLGLQVSS LPSNLMTTTILSDNLKATFEKTDSSGFPDMPVHSSSKLSTTAFGKKAYSLVGSHVPLNVS EENSDSNILDSTLMYSQESLPRDNILSMENDRLLREKRFHGIALLTKDNTLFKDNVSLMK TNKTYNHSTTNEKLHTESPTSIENSTTDLQDAILKVNSEIQEVTALIHDGTLLGKNSTYL RLNHMLNRTTSTKNKDIFHRKDEDPIPQDEENTIMPFSKMLFLSESSNWFKKTNGNNSLN SEQEHSPKQLVYLMFKKYVKNQSFLSEKNKVTVEQDGFTKNIGLKDMAFPHNMSIFLTTL SNVHENGRHNQEKNIQEEIEKEALIEEKVVLPQVHEATGSKNFLKDILILGTRQNISLYE VHVPVLQNITSINNSTNTVQIHMEHFFKRRKDKETNSEGLVNKTREMVKNYPSQKNITTQ RSKRALGQFRLSTQWLKTINCSTQCIIKQIDHSKEMKKFITKSSLSDSSVIKSTTQTNSS DSHIVKTSAFPPIDLKRSPFQNKFSHVQASSYIYDFKTKSSRIQESNNFLKETKINNPSL AILPWNMFIDQGKFTSPGKSNTNSVTYKKRENIIFLKPTLPEESGKIELLPQVSIQEEEI LPTETSHGSPGHLNLMKEVFLQKIQGPTKWNKAKRHGESIKGKTESSKNTRSKLLNHHAW DYHYAAQIPKDMWKSKEKSPEIISIKQEDTILSLRPHGNSHSIGANEKQNWPQRETTWVK QGQTQRTCSQIPPVLKRHQRELSAFQSEQEATDYDDAITIETIEDFDIYSEDIKQGPRSF QQKTRHYFIAAVERLWDYGMSTSHVLRNRYQSDNVPQFKKVVFQEFTDGSFSQPLYRGEL NEHLGLLGPYIRAEVEDNIMVTFKNQASRPYSFYSSLISYKEDQRGEEPRRNFVKPNETK IYFWKVQHHMAPTEDEFDCKAWAYFSDVDLERDMHSGLIGPLLICHANTLNPAHGRQVSV QEFALLFTIFDETKSWYFTENVKRNCKTPCNFQMEDPTLKENYRFHAINGYVMDTLPGLV MAQDQRIRWYLLSMGNNENIQSIHFSGHVFTVRKKEEYKMAVYNLYPGVFETLEMIPSRA GIWRVECLIGEHLQAGMSTLFLVYSKQCQIPLGMASGSIRDFQITASGHYGQWAPNLARL HYSGSINAWSTKEPFSWIKVDLLAPMIVHGIKTQGARQKFSSLYISQFIIMYSLDGKKWL SYQGNSTGTLMVFFGNVDSSGIKHNSFNPPIIARYIRLHPTHSSIRSTLRMELMGCDLNS CSIPLGMESKVISDTQITASSYFTNMFATWSPSQARLHLQGRTNAWRPQVNDPKQWLQVD LQKTMKVTGIITQGVKSLFTSMFVKEFLISSSQDGHHWTQILYNGKVKVFQGNQDSSTPM MNSLDPPLLTRYLRIHPQIWEHQIALRLEILGCEAQQQY >ENSMUSP00000114207.1 pep:known chromosome:GRCm38:X:75338282:75382615:-1 gene:ENSMUSG00000031196.13 transcript:ENSMUST00000147349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F8 description:coagulation factor VIII [Source:MGI Symbol;Acc:MGI:88383] MQPAVLHSKRQSAIRRYYLGAVELSWNYIQSDLLSVLHTDSRFLPRMSTSFPFNTSIMYK KTVFVEYKDQLFNIAKPRPPWMGLLGPTIWTEVHDTVVITLKNMASHPVSLHAVGVSYWK ASEGDEYEDQTSQMEKEDDKVFPGESHTYVWQVLKENGPMASDPPCLTYSYMSHVDLVKD LNSGLIGALLVCKEGSL >ENSMUSP00000117919.1 pep:known chromosome:GRCm38:X:75354136:75382291:-1 gene:ENSMUSG00000031196.13 transcript:ENSMUST00000151772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F8 description:coagulation factor VIII [Source:MGI Symbol;Acc:MGI:88383] MSTSFPFNTSIMYKKTVFVEYKDQLFNIAKPRPPWMGLLGPTIWTEVHDTVVITLKNMAS HPVSLHAVGVSYWKASEGDEYEDQTSQMEKEDDKVFPGESHTYVWQVLKENGPMAS >ENSMUSP00000109719.2 pep:known chromosome:GRCm38:X:75172719:75380041:-1 gene:ENSMUSG00000031196.13 transcript:ENSMUST00000114085.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:F8 description:coagulation factor VIII [Source:MGI Symbol;Acc:MGI:88383] MQIALFACFFLSLFNFCSSAIRRYYLGAVELSWNYIQSDLLSVLHTDSRFLPRMSTSFPF NTSIMYKKTVFVEYKDQLFNIAKPRPPWMGLLGPTIWTEVHDTVVITLKNMASHPVSLHA VGVSYWKASEGDEYEDQTSQMEKEDDKVFPGESHTYVWQVLKENGPMASDPPCLTYSYMS HVDLVKDLNSGLIGALLVCKEGSLSKERTQMLYQFVLLFAVFDEGKSWHSETNDSYTQSM DSASARDWPKMHTVNGYVNRSLPGLIGCHRKSVYWHVIGMGTTPEIHSIFLEGHTFFVRN HRQASLEISPITFLTAQTLLIDLGQFLLFCHISSHKHDGMEAYVKVDSCPEESQWQKKNN NEEMEDYDDDLYSEMDMFTLDYDSSPFIQIRSVAKKYPKTWIHYISAEEEDWDYAPSVPT SDNGSYKSQYLSNGPHRIGRKYKKVRFIAYTDETFKTRETIQHESGLLGPLLYGEVGDTL LIIFKNQASRPYNIYPHGITDVSPLHARRLPRGIKHVKDLPIHPGEIFKYKWTVTVEDGP TKSDPRCLTRYYSSFINPERDLASGLIGPLLICYKESVDQRGNQMMSDKRNVILFSIFDE NQSWYITENMQRFLPNAAKTQPQDPGFQASNIMHSLWVLGCHNSDFRKRGMTALLKVSSC DKSTSDYYEEIYEDIPTQLVNENNVIDPRSFFQNTNHPNTRKKKFKDSTIPKNDMEKIEP QFEEIAEMLKVQSVSVSDMLMLLGQSHPTPHGLFLSDGQEAIYEAIHDDHSPNAIDSNEG PSKVTQLRPESHHSEKIVFTPQPGLQLRSNKSLETTIEVKWKKLGLQVSSLPSNLMTTTI LSDNLKATFEKTDSSGFPDMPVHSSSKLSTTAFGKKAYSLVGSHVPLNVSEENSDSNILD STLMYSQESLPRDNILSMENDRLLREKRFHGIALLTKDNTLFKDNVSLMKTNKTYNHSTT NEKLHTESPTSIENSTTDLQDAILKVNSEIQEVTALIHDGTLLGKNSTYLRLNHMLNRTT STKNKDIFHRKDEDPIPQDEENTIMPFSKMLFLSESSNWFKKTNGNNSLNSEQEHSPKQL VYLMFKKYVKNQSFLSEKNKVTVEQDGFTKNIGLKDMAFPHNMSIFLTTLSNVHENGRHN QEKNIQEEIEKEALIEEKVVLPQVHEATGSKNFLKDILILGTRQNISLYEVHVPVLQNIT SINNSTNTVQIHMEHFFKRRKDKETNSEGLVNKTREMVKNYPSQKNITTQRSKRALGQFR LSTQWLKTINCSTQCIIKQIDHSKEMKKFITKSSLSDSSVIKSTTQTNSSDSHIVKTSAF PPIDLKRSPFQNKFSHVQASSYIYDFKTKSSRIQESNNFLKETKINNPSLAILPWNMFID QGKFTSPGKSNTNSVTYKKRENIIFLKPTLPEESGKIELLPQVSIQEEEILPTETSHGSP GHLNLMKEVFLQKIQGPTKWNKAKRHGESIKGKTESSKNTRSKLLNHHAWDYHYAAQIPK DMWKSKEKSPEIISIKQEDTILSLRPHGNSHSIGANEKQNWPQRETTWVKQGQTQRTCSQ IPPVLKRHQRELSAFQSEQEATDYDDAITIETIEDFDIYSEDIKQGPRSFQQKTRHYFIA AVERLWDYGMSTSHVLRNRYQSDNVPQFKKVVFQEFTDGSFSQPLYRGELNEHLGLLGPY IRAEVEDNIMVTFKNQASRPYSFYSSLISYKEDQRGEEPRRNFVKPNETKIYFWKVQHHM APTEDEFDCKAWAYFSDVDLERDMHSGLIGPLLICHANTLNPAHGRQVSVQEFALLFTIF DETKSWYFTENVKRNCKTPCNFQMEDPTLKENYRFHAINGYVMDTLPGLVMAQDQRIRWY LLSMGNNENIQSIHFSGHVFTVRKKEEYKMAVYNLYPGVFETLEMIPSRAGIWRVECLIG EHLQAGMSTLFLVYSKQCQIPLGMASGSIRDFQITASGHYGQWAPNLARLHYSGSINAWS TKEPFSWIKVDLLAPMIVHGIKTQGARQKFSSLYISQFIIMYSLDGKKWLSYQGNSTGTL MVFFGNVDSSGIKHNSFNPPIIARYIRLHPTHSSIRSTLRMELMGCDLNSCSIPLGMESK VISDTQITASSYFTNMFATWSPSQARLHLQGRTNAWRPQVNDPKQWLQVDLQKTMKVTGI ITQGVKSLFTSMFVKEFLISSSQDGHHWTQILYNGKVKVFQGNQDSSTPMMNSLDPPLLT RYLRIHPQIWEHQIALRLEILGCEAQQQY >ENSMUSP00000124417.1 pep:known chromosome:GRCm38:15:4727175:4804045:1 gene:ENSMUSG00000022181.15 transcript:ENSMUST00000162585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C6 description:complement component 6 [Source:MGI Symbol;Acc:MGI:88233] MTRHLTLCFILLVMLIDKSEACFCDHYPWTHWSSCSKSCNSGTQSRQRQVVVNDYYWKNL CDKLCIKQETRECNLQTCPINCVLGDYGTWSDCDPCTEKQVKVKSVLRPSQFGGQPCTEP LVTFQPCVPSKLCKIEETNCKNKFLCDSGRCIPSKLECNGENDCGDNSDERNCGRTKPVC TRIYTPIPSVQLMGTGFHFLAGEPRGEVLDNSFTGGICKLVKTSRASNPYRVSANLENVN FEVQTIEDDLKTEFYKNLISFEKNKNEDSLSVDERTKFFPIPIFHFSEKNEHSHYSSAFN KVIKASHKKDSSFIRIHKLIKVLNFTMKATDLQLSDVFLKALVHLPLEYNSAVYSRIFDD FGTHYFTSGSLGGKYDLIYQFSRQELQNSGLTEEEAQNCVQYETKKLKFLYMEIHKEDTC TKNKLSEKYGGSFLQGSEKSISLVQGGRSQQAAALAWEKGTSGPEENVYSEWLESVKENP AVVDYKLAPITDLVRNIPCAVTKRNNLRRALQEYAAKFDPCQCAPCPNNGRPRLSGTECL CVCQSGTYGENCERRSPGYKSDAVDGNWGCWSSWSACNAAYRRSRTRECNNPAPQRGGQS CGGKDQQEEDCTVSIMENVGQPCINDDEEMKEVDLAEPEAESGCSQPPLPENAFTWNEKK LYSVGEEVEISCLTGFTAVGFQYLRCLPDRTWSQGDVECQRTSCLKPVVQDVLTISPFQR VYQIGESIELTCPRGFVVAGPSRYTCKEDSWTPPISNSLTCEQGVRDHP >ENSMUSP00000125358.1 pep:known chromosome:GRCm38:15:4727216:4759858:1 gene:ENSMUSG00000022181.15 transcript:ENSMUST00000161997.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C6 description:complement component 6 [Source:MGI Symbol;Acc:MGI:88233] MTRHLTLCFILLVMLIDKSEACFCDHYPWTHWSSCSKSCNSGTQSRQRQVVVNDYYWKNL CDKLCIKQETRECNLQTCPINCVLGDYGTWSDCDPCTEKQVKVKSVLRPSQFGGQPCTEP LVTFQPCVPSKLCKIEETNCKNKFLCDSGRCIPSKLECNGENDCGDNSDERNCGRTKPVC TRIYTPIPSVQLMGTGFHFLAGEPRGEVLDNSFTGGICKLVKTSRASNPYRVSANLENVN FEVQTIEDDLKTEFYKNLISF >ENSMUSP00000125693.1 pep:known chromosome:GRCm38:15:4727284:4815456:1 gene:ENSMUSG00000022181.15 transcript:ENSMUST00000162350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C6 description:complement component 6 [Source:MGI Symbol;Acc:MGI:88233] MTRHLTLCFILLVMLIDKSEACFCDHYPWTHWSSCSKSCNSGTQSRQRQVVVNDYYWKNL CDKLCIKQETRECNLQTCPINCVLGDYGTWSDCDPCTEKQVKVKSVLRPSQFGGQPCTEP LVTFQPCVPSKLCKIEETNCKNKFLCDSGRCIPSKLECNGENDCGDNSDERNCGRTKPVC TRIYTPIPSVQLMGTGFHFLAGEPRGEVLDNSFTGGICKLVKTSRASNPYRVSANLENVN FEVQTIEDDLKTEFYKNLISFEKNKNEDSLSVDERTKFFPIPIFHFSEKNEHSHYSSAFN KVIKASHKKDSSFIRIHKLIKVLNFTMKATDLQLSDVFLKALVHLPLEYNSAVYSRIFDD FGTHYFTSGSLGGKYDLIYQFSRQELQNSGLTEEEAQNCVQYETKKLKFLYMEIHKEDTC TKNKLSEKYGGSFLQGSEKSISLVQGGRSQQAAALAWEKGTSGPEENVYSEWLESVKENP AVVDYKLAPITDLVRNIPCAVTKRNNLRRALQEYAAKFDPCQCAPCPNNGRPRLSGTECL CVCQSGTYGENCERRSPGYKSDAVDGNWGCWSSWSACNAAYRRSRTRECNNPAPQRGGQS CGGKDQQEEDCTVSIMENVGQPCINDDEEMKEVDLAEPEAESGCSQPPLPENAFTWNEKK LYSVGEEVEISCLTGFTAVGFQYLRCLPDRTWSQGDVECQRTSCLKPVVQDVLTISPFQR VYQIGESIELTCPRGFVVAGPSRYTCKEDSWTPPISNSLTCEQGEQIFAWTCHLHVLSAL RPNTCFPSSDSAYSEDLCIFDGGSSQYFTSSACKFLAGKCLNNTQSHFVHSGSCQEGLQL EWGLERLKLAVNSTKRVSCGYNTCYDWENCSAHTSNCVCLLPPQCSKDENQLYCVKIGSS MREKTVNICTLGAVRCANIKVEILNPGRCPD >ENSMUSP00000022788.8 pep:known chromosome:GRCm38:15:4727216:4803642:1 gene:ENSMUSG00000022181.15 transcript:ENSMUST00000022788.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:C6 description:complement component 6 [Source:MGI Symbol;Acc:MGI:88233] MTRHLTLCFILLVMLIDKSEACFCDHYPWTHWSSCSKSCNSGTQSRQRQVVVNDYYWKNL CDKLCIKQETRECNLQTCPINCVLGDYGTWSDCDPCTEKQVKVKSVLRPSQFGGQPCTEP LVTFQPCVPSKLCKIEETNCKNKFLCDSGRCIPSKLECNGENDCGDNSDERNCGRTKPVC TRIYTPIPSVQLMGTGFHFLAGEPRGEVLDNSFTGGICKLVKTSRASNPYRVSANLENVN FEVQTIEDDLKTEFYKNLISFEKNKNEDSLSVDERTKFFPIPIFHFSEKNEHSHYSSAFN KVIKASHKKDSSFIRIHKLIKVLNFTMKATDLQLSDVFLKALVHLPLEYNSAVYSRIFDD FGTHYFTSGSLGGKYDLIYQFSRQELQNSGLTEEEAQNCVQYETKKLKFLYMEIHKEDTC TKNKLSEKYGGSFLQGSEKSISLVQGGRSQQAAALAWEKGTSGPEENVYSEWLESVKENP AVVDYKLAPITDLVRNIPCAVTKRNNLRRALQEYAAKFDPCQCAPCPNNGRPRLSGTECL CVCQSGTYGENCERRSPGYKSDAVDGNWGCWSSWSACNAAYRRSRTRECNNPAPQRGGQS CGGKDQQEEDCTVSIMENVGQPCINDDEEMKEVDLAEPEAESGCSQPPLPENAFTWNEKK LYSVGEEVEISCLTGFTAVGFQYLRCLPDRTWSQGDVECQRTSCLKPVVQDVLTISPFQR VYQIGESIELTCPRGFVVAGPSRYTCKEDSWTPPISNSLTCEQGVRDHP >ENSMUSP00000131473.1 pep:known chromosome:GRCm38:2:11705290:11734317:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000138349.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] MTTETAIMPGSRLTPSQTTSAGTTGTGSHKSSRAPSLAATMTLEPTASTSLRITEISPHS SKMTKVAISTSVLLVGAGVVMAFLAWYIKSRQPSQPCRVEVETMETVPMTVRASSKEDED TGA >ENSMUSP00000132731.2 pep:known chromosome:GRCm38:2:11705349:11733962:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000135341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] MTTETAIMPGSRLTPSQTTSAGTTGTGSHKSSRAPSLAATMTLEPTASTSLRITEISPHS SKMTKVAISTSVLLVGAGVVMAFLAWYIKSRQPSQPCRVEVETMETVPMTVRASSKEDED TGA >ENSMUSP00000126364.1 pep:known chromosome:GRCm38:2:11705369:11733968:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000128156.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] MTTETAIMPGSRLTPSQTTSAGTTGTGSHKSSRAPSLAATMTLEPTASTSLRITEISPHS SKMTKVAISTSVLLVGAGVVMAFLAWYIKSRQPSQPCRVEVETMETVPMTVRASSKEDED TGA >ENSMUSP00000132058.1 pep:known chromosome:GRCm38:2:11705443:11733982:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000148748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] MTTETAIMPGSRLTPSQTTSAGTTGTGSHKSSRAPSLAATMTLEPTASTSLRITEISPHS SKMTKASQDLRVPCHRLHTADAHWLLRQKWPSLHRSSWLVQGL >ENSMUSP00000120539.1 pep:known chromosome:GRCm38:2:11705712:11720105:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000138856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] MASPQLRGYGVQAIPVLLLLLLLLLLPLRVTPGTTCPPPVSIEHADIRVKNYSVNSRERR PLPSSLQSSANSSDTKGDLTAREPLPLCK >ENSMUSP00000077878.5 pep:known chromosome:GRCm38:2:11705777:11733986:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000078834.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] MASPQLRGYGVQAIPVLLLLLLLLLLPLRVTPGTTCPPPVSIEHADIRVKNYSVNSRERY VCNSGFKRKAGTSTLIECVINKNTNVAHWTTPSLKCIRDPSLAHYSPVPTVVTPKVTSQP ESPSPSAKEPEAFSPKSDTAMTTETAIMPGSRLTPSQTTSAGTTGTGSHKSSRAPSLAAT MTLEPTASTSLRITEISPHSSKMTKVAISTSVLLVGAGVVMAFLAWYIKSRQPSQPCRVE VETMETVPMTVRASSKEDEDTGA >ENSMUSP00000110483.3 pep:known chromosome:GRCm38:2:11705810:11733401:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000114834.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] MASPQLRGYGVQAIPVLLLLLLLLLLPLRVTPGTTCPPPVSIEHADIRVKNYSVNSRERY VCNSGFKRKAGTSTLIECVINKNTNVAHWTTPSLKCIRDPSLAHYSPVPTVVTPKVTSQP ESPSPSAKEISPHSSKMTKVAISTSVLLVGAGVVMAFLAWYIKSRQPSQPCRVEVETMET VPMTVRASSKEDEDTGA >ENSMUSP00000110482.3 pep:known chromosome:GRCm38:2:11705810:11734317:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000114833.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] MASPQLRGYGVQAIPVLLLLLLLLLLPLRVTPGTTCPPPVSIEHADIRVKNYSVNSRERY VCNSGFKRKAGTSTLIECVINKNTNVAHWTTPSLKCIKISPHSSKMTKVAISTSVLLVGA GVVMAFLAWYIKSRQPSQPCRVEVETMETVPMTVRASSKEDEDTGA >ENSMUSP00000110480.2 pep:known chromosome:GRCm38:2:11705811:11733401:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000114831.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] MASPQLRGYGVQAIPVLLLLLLLLLLPLRVTPGTTCPPPVSIEHADIRVKNYSVNSRERY VCNSGFKRKAGTSTLIECVINKNTNVAHWTTPSLKCIRDPSLAHYSPVPTVVTPKVTSQP ESPSPSAKGTTGTGSHKSSRAPSLAATMTLEPTASTSLRITEISPHSSKMTKVAISTSVL LVGAGVVMAFLAWYIKSRQPSQPCRVEVETMETVPMTVRASSKEDEDTGA >ENSMUSP00000110481.2 pep:known chromosome:GRCm38:2:11705848:11733249:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000114832.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] MASPQLRGYGVQAIPVLLLLLLLLLLPLRVTPGTTCPPPVSIEHADIRVKNYSVNSRERY VCNSGFKRKAGTSTLIECVINKNTNVAHWTTPSLKCIMAISTSVLLVGAGVVMAFLAWYI KSRQPSQPCRVEVETMETVPMTVRASSKEDEDTGA >ENSMUSP00000130792.2 pep:known chromosome:GRCm38:2:11718431:11733417:1 gene:ENSMUSG00000023206.16 transcript:ENSMUST00000123600.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il15ra description:interleukin 15 receptor, alpha chain [Source:MGI Symbol;Acc:MGI:104644] WTTPSLKCIRDPSLAHYSPVPTVVTPKVTSQPESPSPSAKEPEAFSPKSDTAMTTETAIM PGSRLTPSQTTSAGTTGTGSHKSSRAPSLAATMTLEPTASTSLRITEISPHSSKMTKASQ DLRVPCHRLHTADAHWLLRQKWPSLHRSSWLVQGL >ENSMUSP00000104072.2 pep:known chromosome:GRCm38:7:24431922:24435534:-1 gene:ENSMUSG00000062028.8 transcript:ENSMUST00000080594.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irgc1 description:immunity-related GTPase family, cinema 1 [Source:MGI Symbol;Acc:MGI:2685948] MATSRLPAVPEETTILMAKEELEALRTAFESGDIPQAASRLRELLANSETTRLEVGVTGE SGAGKSSLINALRGLGAEDPGAALTGVVETTMQPSPYPHPQFPDVTLWDLPGAGSPGCSA DKYLKQVDFGRYDFFLLVSPRRCGAVESRLASEILRQGKKFYFVRTKVDEDLAATRSQRP SGFSEAAVLQEIRDHCTERLRVAGVNDPRIFLVSNLSPTRYDFPMLVTTWEHDLPAHRRH AGLLSLPDISLEALQKKKDMLQEQVLKTALVSGVIQALPVPGLAAAYDDALLIRSLRGYH RSFGLDDDSLAKLAEQVGKQAGDLRSVIRSPLANEVSPETVLRLYSQSSDGAMRVARAFE RGIPVFGTLVAGGISFGTVYTMLQGCLNEMAEDAQRVRIKALEEDEPQGGEVSLEAAGDN LVEKRSTGEGTSEEAPLSTRRKLGLLLKYILDSWKRRDLSEDK >ENSMUSP00000145975.1 pep:known chromosome:GRCm38:7:24431922:24445682:-1 gene:ENSMUSG00000062028.8 transcript:ENSMUST00000205776.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irgc1 description:immunity-related GTPase family, cinema 1 [Source:MGI Symbol;Acc:MGI:2685948] MATSRLPAVPEETTILMAKEELEALRTAFESGDIPQAASRLRELLANSETTRLEVGVTGE SGAGKSSLINALRGLGAEDPGAALTGVVETTMQPSPYPHPQFPDVTLWDLPGAGSPGCSA DKYLKQVDFGRYDFFLLVSPRRCGAVESRLASEILRQGKKFYFVRTKVDEDLAATRSQRP SGFSEAAVLQEIRDHCTERLRVAGVNDPRIFLVSNLSPTRYDFPMLVTTWEHDLPAHRRH AGLLSLPDISLEALQKKKDMLQEQVLKTALVSGVIQALPVPGLAAAYDDALLIRSLRGYH RSFGLDDDSLAKLAEQVGKQAGDLRSVIRSPLANEVSPETVLRLYSQSSDGAMRVARAFE RGIPVFGTLVAGGISFGTVYTMLQGCLNEMAEDAQRVRIKALEEDEPQGGEVSLEAAGDN LVEKRSTGEGTSEEAPLSTRRKLGLLLKYILDSWKRRDLSEDK >ENSMUSP00000146207.1 pep:known chromosome:GRCm38:7:24433144:24452171:-1 gene:ENSMUSG00000062028.8 transcript:ENSMUST00000205385.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irgc1 description:immunity-related GTPase family, cinema 1 [Source:MGI Symbol;Acc:MGI:2685948] MATSRLPAVPEETTILMAKEELEALRTAFESGDIPQAASRLRELLANSETTRLEVGVTGE SGAGKSSLINALRGLGAEDPGA >ENSMUSP00000053822.8 pep:known chromosome:GRCm38:16:44765736:44794978:1 gene:ENSMUSG00000022667.17 transcript:ENSMUST00000057488.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r1 description:CD200 receptor 1 [Source:MGI Symbol;Acc:MGI:1889024] MFCFWRTSALAVLLIWGVFVAGSSCTDKNQTTQNNSSSPLTQVNTTVSVQIGTKALLCCF SIPLTKAVLITWIIKLRGLPSCTIAYKVDTKTNETSCLGRNITWASTPDHSPELQISAVT LQHEGTYTCETVTPEGNFEKNYDLQVLVPPEVTYFPEKNRSAVCEAMAGKPAAQISWSPD GDCVTTSESHSNGTVTVRSTCHWEQNNVSDVSCIVSHLTGNQSLSIELSRGGNQSLRPYI PYIIPSIIILIIIGCICLLKISGFRKCKLPKLEATSAIEEDEMQPYASYTEKSNPLYDTV TKVEAFPVSQGEVNGTDCLTLSAIGI >ENSMUSP00000138076.1 pep:known chromosome:GRCm38:16:44765826:44794344:1 gene:ENSMUSG00000022667.17 transcript:ENSMUST00000134625.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cd200r1 description:CD200 receptor 1 [Source:MGI Symbol;Acc:MGI:1889024] MFCFWRTSALAVLLIWGVFVAGSSCTDKNQTTQNNSSSPLTQVNTTVSVQIGTKALLCCF SIPLTKAVLITWIIKLRGLPSCTIAYKVDTKTNETSCLGRNITWASTPDHSPELQISAVT LQHEGTYTCETVTPEGNFEKNYDLQVLVPPEVTYFPEKNRSAVCEAMAGKPAAQISWSPD GDCVTTSESHSNGTVTVRSTCHWEQNNVSDVSCIVSHLTGNQSLSIELSRGGLKAALIYE YKRNV >ENSMUSP00000133620.1 pep:known chromosome:GRCm38:5:33430734:33433976:-1 gene:ENSMUSG00000029112.5 transcript:ENSMUST00000173348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkx1-1 description:NK1 transcription factor related, locus 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:109346] MDGRAELPIFPRAGVPPLAASDTVPAVPEGAGAARPAAPPRPTSFSVLDILDPNKFNSRR RRCVLLGPVVPATCAPCAPAACVAVPAASGRSPRAELERRALSAATGVAAAAGAEPTSAG DSYRADEAEANGYSSGSGRSPTADSEDEAPEDEDEEEAPEVQDAQGTEEPRGGSGGLGAR GSGCPGAAEVEASPVDDTAAPGPRGNSPGAPGPPATATGAGSAGSTPQGAAVTTKPKRKR TGSDSKSGKPRRARTAFTYEQLVALENKFKATRYLSVCERLNLALSLSLTETQVKIWFQN RRTKWKKQNPGADTSAPTGGGGGPGPGAGPGAGLPGGLSPLSPSPPMGAPLALHGPAGYP AHSPGGLVCAAQLPFLSSPAVLSPFVLGSQTYGAPAFYAPHL >ENSMUSP00000078971.2 pep:known chromosome:GRCm38:2:29802634:29817522:1 gene:ENSMUSG00000059316.2 transcript:ENSMUST00000080065.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc27a4 description:solute carrier family 27 (fatty acid transporter), member 4 [Source:MGI Symbol;Acc:MGI:1347347] MLLGASLVGALLFSKLVLKLPWTQVGFSLLLLYLGSGGWRFIRVFIKTVRRDIFGGMVLL KVKTKVRRYLQERKTVPLLFASMVQRHPDKTALIFEGTDTHWTFRQLDEYSSSVANFLQA RGLASGNVVALFMENRNEFVGLWLGMAKLGVEAALINTNLRRDALRHCLDTSKARALIFG SEMASAICEIHASLEPTLSLFCSGSWEPSTVPVSTEHLDPLLEDAPKHLPSHPDKGFTDK LFYIYTSGTTGLPKAAIVVHSRYYRMASLVYYGFRMRPDDIVYDCLPLYHSAGNIVGIGQ CLLHGMTVVIRKKFSASRFWDDCIKYNCTIVQYIGELCRYLLNQPPREAESRHKVRMALG NGLRQSIWTDFSSRFHIPQVAEFYGATECNCSLGNFDSRVGACGFNSRILSFVYPIRLVR VNEDTMELIRGPDGVCIPCQPGQPGQLVGRIIQQDPLRRFDGYLNQGANNKKIANDVFKK GDQAYLTGDVLVMDELGYLYFRDRTGDTFRWKGENVSTTEVEGTLSRLLHMADVAVYGVE VPGTEGRAGMAAVASPISNCDLESFAQTLKKELPLYARPIFLRFLPELHKTGTFKFQKTE LRKEGFDPSVVKDPLFYLDARKGCYVALDQEAYTRIQAGEEKL >ENSMUSP00000113952.1 pep:known chromosome:GRCm38:8:69610857:69625548:-1 gene:ENSMUSG00000060427.15 transcript:ENSMUST00000121886.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp868 description:zinc finger protein 868 [Source:MGI Symbol;Acc:MGI:2142546] METAIVGLSRPDQGLVSFEDLVVHFTQDEWDLLAPSQKTLYGDVMLETFRNFTDTGCEWE DQKIEDHFEEPEINLRNIVTHSEYTQYEHEEYEEKPPDSNFLTSVEGYMETHTVNGPSEC EVCLKSYGLYHLTYNGHHNYEYKECVGSQPVRKSYECNQCGKTLSSYNSLQRHQKIHIEE RPYKCQQCTKAFRCLNALQSHERIHTGEKPYECKHCGTAFSRLTHLRLHEKVHTGEKHYE CKQCNIVFKSQSSYHRHKIMHGETFYNCKECGKSFIYPSLLQMHERTHTGEKPYECKLCG KTFRYHSSIRLHERTHTGEKPYECKHCGKAFTRQSYLQFHERSHTGEKPYECKECGKAFR HHSYLRLHERRHTGEKPYQCGQCGRSFSRQSSFKRHQSVHAVENPYKCQQYLIPLSLFPS NA >ENSMUSP00000074510.6 pep:known chromosome:GRCm38:8:69611414:69619352:-1 gene:ENSMUSG00000060427.15 transcript:ENSMUST00000074982.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp868 description:zinc finger protein 868 [Source:MGI Symbol;Acc:MGI:2142546] METAIVGLSRPDQGLVSFEDLVVHFTQDEWDLLAPSQKTLYGDVMLETFRNFTDTGCEWE DQKIEDHFEEPEINLRNIVTHSEYTQYEHEEYEEKPPDSNFLTSVEGYMETHTVNGPSEC EVCLKSYGLYHLTYNGHHNYEYKECVGSQPVRKSYECNQCGKTLSSYNSLQRHQKIHIEE RPYKCQQCTKAFRCLNALQSHERIHTGEKPYECKHCGTAFSRLTHLRLHEKVHTGEKHYE CKQCNIVFKSQSSYHRHKIMHGETFYNCKECGKSFIYPSLLQMHERTHTGEKPYECKLCG KTFRYHSSIRLHERTHTGEKPYECKHCGKAFTRQSYLQFHERSHTGEKPYECKECGKAFR HHSYLRLHERRHTGEKPYQCGQCGRSFSRQSSFKRHQSVHAVENPYKCQQYLIPLSLFPS NA >ENSMUSP00000122853.1 pep:known chromosome:GRCm38:10:7589800:7608567:1 gene:ENSMUSG00000019796.12 transcript:ENSMUST00000134346.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp11 description:low density lipoprotein receptor-related protein 11 [Source:MGI Symbol;Acc:MGI:2442989] MATRGGGPGPGFRHRALRGLLLLCLWLPGSRPGEPAAPSSGVDRLLQDFRRQLQRARPRE ELEPELLGGPREDCPGAGGTAVYRAVPDTIIRTQDSIAAGASFLRAPGSVRGWRQCVTAC CSEPSCSVAVVQLPRGPSVPAPMPAPRCYLFNCTARGRSVCKFAPLRGYRTYTLSRAEDA AGIPPRPDEDKPPVSKAGKDVVLHLPTDGVVLDGRESSDDHAIVLYEWTLQQGDPSSVDM KLFVWQVPQPGTLRLSRLKEGAYIFQLTVTDSVGQRSSDNVSVTVLPRPYSTGGCSSACS RYHFFCDSGCCIDIALACDGVRQCPDGSDEDFCQNLALDRKLVTHTVATSAQPGAMGLNE GEGDPKLEKSQRATTHNQPATVSHPETRIHSTQKAPESQINPVQPDSNSSGKN >ENSMUSP00000019931.5 pep:known chromosome:GRCm38:10:7589817:7625483:1 gene:ENSMUSG00000019796.12 transcript:ENSMUST00000019931.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp11 description:low density lipoprotein receptor-related protein 11 [Source:MGI Symbol;Acc:MGI:2442989] MATRGGGPGPGFRHRALRGLLLLCLWLPGSRPGEPAAPSSGVDRLLQDFRRQLQRARPRE ELEPELLGGPREDCPGAGGTAVYRAVPDTIIRTQDSIAAGASFLRAPGSVRGWRQCVTAC CSEPSCSVAVVQLPRGPSVPAPMPAPRCYLFNCTARGRSVCKFAPLRGYRTYTLSRAEDA AGIPPRPDEDKPPVSKAGKDVVLHLPTDGVVLDGRESSDDHAIVLYEWTLQQGDPSSVDM KVPQPGTLRLSRLKEGAYIFQLTVTDSVGQRSSDNVSVTVLPRPYSTGGCSSACSRYHFF CDSGCCIDIALACDGVRQCPDGSDEDFCQNLALDRKLVTHTVATSAQPGAMGLNEGEGDP KLEKSQRATTHNQPATVSHPETRIHSTQKAPESQINPVQPDSNSSGKNQEEGNYDLKSKS GQAGGEHPAPEAGAVLPLALGLAITVLLLLMVTCRLRLVKQKLKKARPITSEESDYLING MYL >ENSMUSP00000121704.1 pep:known chromosome:GRCm38:10:7589979:7625483:1 gene:ENSMUSG00000019796.12 transcript:ENSMUST00000130590.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp11 description:low density lipoprotein receptor-related protein 11 [Source:MGI Symbol;Acc:MGI:2442989] MATRGGGPGPGFRHRALRGLLLLCLWLPGSRPGEPAAPSSGVDRLLQDFRRQLQRARPRE ELEPELLGGPREDCPGAGGTAVYRAVPDTIIRTQDSIAAGASFLRAPGSVRGWRQCVTAC CSEPSCSVAVVQLPRGPSVPAPMPAPRCYLFNCTARGRSVCKFAPLRGYRTYTLSRAEDA AGIPPRPDEDKPPVSKAGKDVVLHLPTDGVVLDGRESSDDHAIVLYEWTLQQGDPSSVDM KVPQPGTLRLSRLKEGAYIFQLTVTDSVGQRSSDNVSVTVLPRPYSTGGCSSACSRYHFF CDSGCCIDIALACDGVRQCPDGSDEDFCQNLALDRKLVTHTVATSAQPGAMGLNEGEGDP KLEKSQRATTHNQPATVSHPETRIHSTQKAPESQINPVQPAIPQERTKKKEIMISSPRVA KQEGSTRPRKQVRCYLWH >ENSMUSP00000122359.1 pep:known chromosome:GRCm38:10:7590127:7624022:1 gene:ENSMUSG00000019796.12 transcript:ENSMUST00000135907.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp11 description:low density lipoprotein receptor-related protein 11 [Source:MGI Symbol;Acc:MGI:2442989] WLPGSRPGEPAAPSSGVDRLLQDFRRQLQRARPREELEPELLGGPREDCPGAGGTAVYRA VPDTIIRTQDSIAAGASFLRAPGSVRGWRQCVTACCSEPSCSVAVVQLPRGPSVPAPMPA PRCYLFNCTARGRSVCKFAPLRGYRTYTLSRAEDAAGIPPRPDSNSSGKNQEEGNYDLKS KSGQAGGEHPAPEAGAVLPLALGLAITVLLLLMVTCRLRLVKQKLKKARPITSEESDYLI NGMYL >ENSMUSP00000114479.1 pep:known chromosome:GRCm38:10:7596184:7623752:1 gene:ENSMUSG00000019796.12 transcript:ENSMUST00000124961.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrp11 description:low density lipoprotein receptor-related protein 11 [Source:MGI Symbol;Acc:MGI:2442989] RESSDDHAIVLYEWTLQQGDPSSVDMKVPQPGTLRLSRLKEGAYIFQLTVTDSVGQRSSD NVSVTVLPRPYSTGVALDRKLVTHTVATSAQPGAMGLNEGEGDPKLEKSQRATTHNQPAT VSHPETRIHSTQKAPESQINPVQPDSNSSGKNQEEGNYDLKSKSGQAGGEHPAPEAGAVL PLALGLAITVLLLLMVTCRLRLVKQKLKKARPITSEE >ENSMUSP00000110414.1 pep:known chromosome:GRCm38:X:56797858:56822326:-1 gene:ENSMUSG00000067878.13 transcript:ENSMUST00000114766.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtap7d3 description:MAP7 domain containing 3 [Source:MGI Symbol;Acc:MGI:2445051] MADPTFAATSSSTSFRGLHERLVAMTQELAEERRHKRGINSSAGANKRSSSTPDGSVLKN DVKQQLAKERREQQKRQQEANKEKQLLEKEQKAKLQYEKQLEEKHRKLKEQKEKDQRRQA SAEEKRKQKQAEDTEKFKAVVSRTLERCNRIDQRQKRWSWEGGVMNADKSGKLENKRSSS LSRKDNRLHPRGDMQHVDNTPGMTKYVFRYVTAPVFSSDEIKSSAMFCKPSAKTPVAAKL EKITTKKLDASLRGHVEGLSMMNIEIPPKITIEVPSPPKLEESSEADAEVRLQTMDDISK VKEDASQKVDIKVPTDENIARHPKPNVEELSPVSVDTSSSVELSSIVSVNSSPSLSTGSF SFGSVEISPVVSIDASLETNIDTSPELSMDSGNTKVASEIKTEAPLQARGESRLEASVEG QPEANVEGSPKNPEIDKRNINLTTKKQPLCHIPCYRWPSSSALGCRPPSPLKALQTRKIR PPSPIPVSSKLSTKTSLSYKITPVQNVLYVPNSLGVIATKKETIQKYPIKKEFGNRSMPS AEAIKKAFIQIRHAAYEQSKNEKERLQKEETKQRIARKPEIMAEKLDKVPAEGSLPCQDE QQDKNPTKTFLESPEVQKAELQKGDSAMMKSRDSAEQRKKEQENILQHWQERLERRKASE ISFSSEDEADDEGESEDSLEIFPSGGKMLSMKLKKFHKYAKTKPQKLVFLQSGTDEVDTN KNVYFNGDMKAVKQKDPKYSMIQGKGSKLSAKKPPTRPIRSRKTKEGSTAIRPTQSASSN PNHKWVCDKVIDFNQTPFLKTTLTKSNKESPADSKIACQGPQAHLDHRKRTKSVSVPLTN VLSHLHITGRASNLEHPFASVYSRLAFGKEAEESDV >ENSMUSP00000115069.1 pep:known chromosome:GRCm38:X:56809655:56822314:-1 gene:ENSMUSG00000067878.13 transcript:ENSMUST00000135542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtap7d3 description:MAP7 domain containing 3 [Source:MGI Symbol;Acc:MGI:2445051] MADPTFAATSSSTSFRGLHERLVAMTQELAEERRHKRGINSSAGANKRSSSTPDGSVLKN DVKQQLAKERREQQKRQQEANKEKQLLEKEQKAKLQYEKQLEEKHRKLKEQKEKDQRRQA SAEEKRKQKQAEDTEKFKAVVSRTLERCNRIDQRQKRWSWEGGVMNADKSGKLENKRSSS LSRKDNRLHPRGDMQHVDNTPAKTPVAAKLEKITTKKLDASLRGHVEGLSMMNIEIPPKI TIEVPSPPKLEESSEADAEVRLQTMDDISKVKEDASQKVDIKVPTDENIARHPKPNVEEL SPVSVDTSSSVELSSIVSVNSSPSLSTGSFSFGSVEISPVVSIDASLETNIDTSPELSMD SGNTKVASEIKTEAPLQARGESRLEASVEGQPEANVEGSPKNPEIDKRNINLTTKKQPLC HIPCYRWPSSSALGCRPPSPLKALQTRKIRPPSPIPVSSKLSTKTSLSYKITPVQNVLYV PNSLGVIAT >ENSMUSP00000025646.2 pep:known chromosome:GRCm38:19:10842544:10857915:1 gene:ENSMUSG00000024737.2 transcript:ENSMUST00000025646.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc15a3 description:solute carrier family 15, member 3 [Source:MGI Symbol;Acc:MGI:1929691] MSAPRAEEQPSRSGERQPLVARGPRGPRRWRRTAAAAVLLVQMLERAAFFGVTSNLVLYL NSLNFNWDGQQASRATLLFLGASYLLAPVGGWLADVYLGRFLTISLSLLLYLAASGLLLT TITNDGRRSFCGEMPELPLEPACPSSSCQGSWSSPYCATTLYLVLLLLALAASSVRSTLT SFGADQVMDLGRDATRRFFNWFYWSINLGAILSLLVVAFIEQNISFLWGYSIIVGLVGLA FFIFLFATPVFITKPPTGSQVSSMLKLAFQNCCPCRRSSSRDSESAHLLPDQRSNQPGPS PQEDMANFQVLVKILPVMVTLVPYWMVYFQMQSTYVLQGLHLHIPNIFRTNPNISLLLRS DSSNYRIPEAWLLLANVAVILILIPVKDHLIDPLLLRCKLLPSSLQKMALGMFFGFTSII VAGVLEKERLQYIAANQTVPQLIGKDLYYAAPLSIWWQIPQYLLIGVSEIFASIPGLEFA YSEAPRSMQGAIMGIFFCLSGVGSLLGSGLVALLSFPGGWMYCPKDFGNINNCQMDRYFF LLAGIEAVTAVLFLWIAGRYERTRQDPASQRSSSRVRG >ENSMUSP00000062002.2 pep:known chromosome:GRCm38:4:58784779:58785720:-1 gene:ENSMUSG00000043385.3 transcript:ENSMUST00000059608.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr267 description:olfactory receptor 267 [Source:MGI Symbol;Acc:MGI:3030101] MPGENVTVWSLFFLEGFSRYPRLEIVLFVFSLVMYLVTILGNCTLILITVLDSRLQTPMY LFLGNLSFMDICYTSASIPTLLVNLLSSKKTIIFSGCAVQMYLSLSMGSTECILLAVMAY DRYVAICNPLRYPIIMNRQVCVQMATISWVTGCLTALLETSFALQIPLCGNIINHFTCEI LAVLKLACVSSLLMDLVMLVVSILLLPIPMLLICISYGFILSTILRISSTEGRNKAFSTC GAHLTVVILYYGAALSMYLKPSSSNSQEIDKIISLLYGVLTPMLNPIIYSLRNKEVKDAM IKLLGKVPLAPSV >ENSMUSP00000102727.1 pep:known chromosome:GRCm38:4:91250763:91372034:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000107110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] METQLSNGPTCNNTANGPTTVNNNCSSPVDSGNTEDSKTNLIVNYLPQNMTQEELKSLFG SIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYARPSSA SIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGISRGVGFIRFDKRIEAE EAIKGLNGQKPPGATEPITVKFANNPSQKTNQAILSQLYQSPNRRYPGPLAQQAQRFSRF SPMTIDGMTSLAGINIPGHPGTGWCIFVYNLAPDADESILWQMFGPFGAVTNVKVIRDFN TNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKTNKTHKA >ENSMUSP00000008633.8 pep:known chromosome:GRCm38:4:91250763:91372054:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000008633.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] METQLSNGPTCNNTANGPTTVNNNCSSPVDSGNTEDSKTNLIVNYLPQNMTQEELKSLFG SIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYARPSSA SIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGISRGVGFIRFDKRIEAE EAIKGLNGQKPPGATEPITVKFANNPSQKTNQAILSQLYQSPNRRYPGPLAQQAQRFRLD NLLNMAYGVKSRFSPMTIDGMTSLAGINIPGHPGTGWCIFVYNLAPDADESILWQMFGPF GAVTNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKTNKTHKA >ENSMUSP00000102735.1 pep:known chromosome:GRCm38:4:91250763:91372069:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000107118.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] MAVRLCDVASLLRSGSWAAEPWTGQVIAAMETQLSNGPTCNNTANGPTTVNNNCSSPVDS GNTEDSKTNLIVNYLPQNMTQEELKSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPK DAEKAINTLNGLRLQTKTIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRI ITSRILVDQVTGISRGVGFIRFDKRIEAEEAIKGLNGQKPPGATEPITVKFANNPSQKTN QAILSQLYQSPNRRYPGPLAQQAQRFSRFSPMTIDGMTSLAGINIPGHPGTGWCIFVYNL APDADESILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLG DRVLQVSFKTNKTHKA >ENSMUSP00000102737.1 pep:known chromosome:GRCm38:4:91250763:91376463:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000107120.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] MAVRLCDVASLLRSGSWAAEPWTGQVIAAMETQLSNGPTCNNTANGPTTVNNNCSSPVDS GNTEDSKTNLIVNYLPQNMTQEELKSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPK DAEKAINTLNGLRLQTKTIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRI ITSRILVDQVTGISRGVGFIRFDKRIEAEEAIKGLNGQKPPGATEPITVKFANNPSQKTN QAILSQLYQSPNRRYPGPLAQQAQRFRLDNLLNMAYGVKRFSPMTIDGMTSLAGINIPGH PGTGWCIFVYNLAPDADESILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYDEAA MAIASLNGYRLGDRVLQVSFKTNKTHKA >ENSMUSP00000099863.3 pep:known chromosome:GRCm38:4:91250763:91399984:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000102799.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] MTKQYEVAVQVIAAMETQLSNGPTCNNTANGPTTVNNNCSSPVDSGNTEDSKTNLIVNYL PQNMTQEELKSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQ TKTIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGISR GVGFIRFDKRIEAEEAIKGLNGQKPPGATEPITVKFANNPSQKTNQAILSQLYQSPNRRY PGPLAQQAQRFRLDNLLNMAYGVKRFSPMTIDGMTSLAGINIPGHPGTGWCIFVYNLAPD ADESILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRV LQVSFKTNKTHKA >ENSMUSP00000102733.2 pep:known chromosome:GRCm38:4:91253240:91313403:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000107116.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] MAVRLCDVASLLRSGSWAAEPWTGQVIAAMETQLSNGPTCNNTANGPTTVNNNCSSPVDS GNTEDSKTNLIVNYLPQNMTQEELKSLFGSIGEIESCKLVRDKITGQSLGYGFVNYIDPK DAEKAINTLNGLRLQTKTIKVSYARPSSASIRDANLYVSGLPKTMTQKELEQLFSQYGRI ITSRILVDQVTGISRGVGFIRFDKRIEAEEAIKGLNGQKPPGATEPITVKFANNPSQKTN QAILSQLYQSPNRRYPGPLAQQAQRFRLDNLLNMAYGVKSRFSPMTIDGMTSLAGINIPG HPGTGWCIFVYNLAPDADESILWQMFGPFGAVTNVKVIRDFNTNKCKGFGFVTMTNYDEA AMAIASLNGYRLGDRVLQVSFKTNKTHKA >ENSMUSP00000102726.2 pep:known chromosome:GRCm38:4:91253240:91376434:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000107109.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] METQLSNGPTCNNTANGPTTVNNNCSSPVDSGNTEDSKTNLIVNYLPQNMTQEELKSLFG SIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYARPSSA SIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGISRGVGFIRFDKRIEAE EAIKGLNGQKPPGATEPITVKFANNPSQKTNQAILSQLYQSPNRRYPGPLAQQAQRFRLD NLLNMAYGVKRFSPMTIDGMTSLAGINIPGHPGTGWCIFVYNLAPDADESILWQMFGPFG AVTNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKTNKTHKA >ENSMUSP00000102728.2 pep:known chromosome:GRCm38:4:91253240:91376434:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000107111.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] METQLSNGPTCNNTANGPTTVNNNCSSPVDSGNTEDSKTNLIVNYLPQNMTQEELKSLFG SIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYARPSSA SIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGISRGVGFIRFDKRIEAE EAIKGLNGQKPPGATEPITVKFANNPSQKTNQAILSQLYQSPNRRYPGPLAQQAQRFRFS PMTIDGMTSLAGINIPGHPGTGWCIFVYNLAPDADESILWQMFGPFGAVTNVKVIRDFNT NKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKTNKTHKA >ENSMUSP00000135780.1 pep:known chromosome:GRCm38:4:91264102:91356682:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000177109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] METQLSNGPTCNNTANGPTTVNNNCSSPVDSGNTEDSKTNLIVNYLPQNMTQEELKSLFG SIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYARPSSA SIRDA >ENSMUSP00000117770.1 pep:known chromosome:GRCm38:4:91308677:91373030:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000147611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] MAVRLCDVASLLRSGSWAAEPWTGQVIAAMETQLSNGPTCNNTANGPTTVNNNCSSPVDS GNTEDSKTNLIVNYLPQNMTQEE >ENSMUSP00000135038.1 pep:known chromosome:GRCm38:4:91308771:91371956:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000176362.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] METQLSNGPTCNNTANGPTTVNN >ENSMUSP00000102741.3 pep:known chromosome:GRCm38:4:91250769:91376496:-1 gene:ENSMUSG00000008489.18 transcript:ENSMUST00000107124.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elavl2 description:ELAV (embryonic lethal, abnormal vision, Drosophila)-like 2 (Hu antigen B) [Source:MGI Symbol;Acc:MGI:1100887] METQLSNGPTCNNTANGPTTVNNNCSSPVDSGNTEDSKTNLIVNYLPQNMTQEELKSLFG SIGEIESCKLVRDKITGQSLGYGFVNYIDPKDAEKAINTLNGLRLQTKTIKVSYARPSSA SIRDANLYVSGLPKTMTQKELEQLFSQYGRIITSRILVDQVTGISRGVGFIRFDKRIEAE EAIKGLNGQKPPGATEPITVKFANNPSQKTNQAILSQLYQSPNRRYPGPLAQQAQRFRLD NLLNMAYGVKRFSPMTIDGMTSLAGINIPGHPGTGWCIFVYNLAPDADESILWQMFGPFG AVTNVKVIRDFNTNKCKGFGFVTMTNYDEAAMAIASLNGYRLGDRVLQVSFKTNKTHKA >ENSMUSP00000120866.1 pep:known chromosome:GRCm38:15:77045276:77057107:1 gene:ENSMUSG00000033576.11 transcript:ENSMUST00000149569.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol6 description:apolipoprotein L 6 [Source:MGI Symbol;Acc:MGI:1919189] MALVQTPTPSHQTEEDYEADAELLRDKDDSPLDVEDDVLFLKQFPSWRKKEKKRIRTLYA IADHIDESHQKATKIKVVTTSASVASGVLSLLGLALATTTAGGSLVLTAVGHGLGAVAGV TEIVTNVRKNSRNKRALAQVNSIMPNSDQELEGVKGEKTTFVTAAGDLVYKCGNAWDNIK KHLRALQLTRTQPHVTSAAKKLMTAGHVSARSGRQVQKAFGGTALAMTKNALRMGRAAAA LSLGQDIYTLWEDWKDLKAANPTELAEELRARAAERERVLAEHTCRYRKLQRLSKEAARS SLKGNKVTQPPSSSTERARLW >ENSMUSP00000117135.1 pep:known chromosome:GRCm38:15:77044729:77056298:1 gene:ENSMUSG00000033576.11 transcript:ENSMUST00000142405.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Apol6 description:apolipoprotein L 6 [Source:MGI Symbol;Acc:MGI:1919189] MALVQTPTPSHQTEEDYEADAELLSSRAGERRRRSALERSMLSQTTLTKATKKLPRSKW >ENSMUSP00000118257.1 pep:known chromosome:GRCm38:15:77045075:77052348:1 gene:ENSMUSG00000033576.11 transcript:ENSMUST00000127957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol6 description:apolipoprotein L 6 [Source:MGI Symbol;Acc:MGI:1919189] MALVQTPTPSHQTEEDYEADAELLRDKDDSPLDVEDDVLFLKQFPSWRKKEKKRIRTLYA IADHIDESHQKATKIKVVTTSASVASGVLSLLGLALATTTAGGSLVLTAVGHGLGAVAGV TEIVTNVRKNSRNKRALAQVNSIMPNSDQELEGVKGEKTTFVTAAGDLVYKCGNAWDNIK KHLRALQLTRTQPHVTSAAKKLMTAGHVSARSGRQVQKAFGGTALAMTKNALRMGRAAAA LSLGQDIYTLWEDWKDLKAANPTELAEELRARAAERERVLAEHTCRYRKLQVRVGFYLLL LLFVFLLELRTDPRALFLLGKHSTTELNL >ENSMUSP00000123663.1 pep:known chromosome:GRCm38:15:77045206:77050699:1 gene:ENSMUSG00000033576.11 transcript:ENSMUST00000129468.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol6 description:apolipoprotein L 6 [Source:MGI Symbol;Acc:MGI:1919189] MALVQTPTPSHQTEEDYEADAELLRDKDDSPLDVEDDVLFLKQFPSWRKKEKKRI >ENSMUSP00000121308.1 pep:known chromosome:GRCm38:15:77045278:77051320:1 gene:ENSMUSG00000033576.11 transcript:ENSMUST00000152949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol6 description:apolipoprotein L 6 [Source:MGI Symbol;Acc:MGI:1919189] MALVQTPTPSHQTEEDYEADAELLRDKDDSPLDVEDDVLFLKQFPSWRKKEKKRIRTLYA IADHIDESHQKATKIKVVTTSASVASGVLSLLGLALATTTAGGSLVLTAVGHGLGAVAGV TEIVTNVRKNSRNKRALAQVNSIMPNSDQELEGVKGEKTTFVTAAGDLVYKCGNAWDNIK KHLRALQLTRTQPHVTSAAKKLMTAGHVSARSGRQVQKAFGGTALAMTKNALRMGRAAAA LSLGQDIYTLWEDWKDLKAANPT >ENSMUSP00000025645.7 pep:known chromosome:GRCm38:19:10857822:10869940:-1 gene:ENSMUSG00000024736.14 transcript:ENSMUST00000025645.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem132a description:transmembrane protein 132A [Source:MGI Symbol;Acc:MGI:2147810] MTERKAAAPRGPYGAWFCLLVALALEVVRVSSNHDTLDPIYLPVALELLDAPEHFRVQQV GHYPPANSSLASRSETFLLMQPWPRAQPLLRASYPPFATQQVVPPRVTEPHRRPVPWDVR AVSVEAAVTPAEPYARVLFHLKGQDWPPGPGSLPCARLHATHPAGTAHRACRFQPSLGAC VVELQFPSHWFSQSATTRAELAYTLEPAGEGPGGCGLGTEEEPREQALPVGGVELHPEDP PQYQEVPLDEAVTLRAPDVPMRPGQLFTATLLLRHNFTASLLTLRIKVKKGLQVIAARPA QPTLWTAKLDRFKGSKHHTSLITCHRAGPAGPDSSPLELSEFLWVDFAVENSTGGGVAVT RPVTWQLEYPGQAPEAEKDKMVWEILVSERDIRALIPLAKAEELVNTAPLTGVPQRIPVR LVTVDSGGALEEVTEHIGCESANTQVLQVSEACDAVFVAGQESRGAKGVRVDFWWRRLRA SLKLTVWAPLLPLRIELTDTTLEQIRGWRVPGSAEGQLEPETAAEEVERRSRGCRLQYQR AGVRFLVPFAAHPLDGGRRLTHLLGPDWLLDVSHLVAAHAHVQDPRIASLEGGRILVGRE PGVTSIEVRSPLSDAILGEQALAVTDDKVSVLDLRVQPVMGISLSLSRGMSHPGEVTATC WAQSALPAPKQEVALSLWLSFSDHTLAPAELYDRNDLGLSVSAEEPSAVLPAEEQGAQLG VVVSGVGAEGLPLHVALHPPEPCRRGRHRVPLASGTAWLGLPPLPTPVPALPSSPVRTSP FTEATVEGKRQIAGDMGGHVGIRGKFERAEEEAGKEENEAKEEEEDEEEMVPAPQRVTDL ELGMYALLGIFCLAILIFLVNGVVFVLRYQRKEPPDSATDPASPQPHNWVWLGTNQEELS RQLDRCSSSGPPKGEGGCPCESGAGGDASTVAPSASESPAGSSSTLARKEAGGRRKRVEF VTFAPAPPTQPPEEPVGAPAVQSILVAGEEDIRWVCEDMGLKDPEELRNYMERIRGSS >ENSMUSP00000113696.1 pep:known chromosome:GRCm38:19:10857834:10869778:-1 gene:ENSMUSG00000024736.14 transcript:ENSMUST00000120524.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem132a description:transmembrane protein 132A [Source:MGI Symbol;Acc:MGI:2147810] MTERKAAAPRGPYGAWFCLLVALALEVVRVSSNHDTLDPIYLPVALELLDAPEHFRVQQV GHYPPANSSLASRSETFLLMQPWPRAQPLLRASYPPFATQQVVPPRVTEPHRRPVPWDVR AVSVEAAVTPAEPYARVLFHLKGQDWPPGPGSLPCARLHATHPAGTAHRACRFQPSLGAC VVELQFPSHWFSQSATTRAELAYTLEPAGEGPGGCGLGTEEEPREQALPVGGVELHPEDP PQYQEVPLDEAVTLRAPDVPMRPGQLFTATLLLRHNFTASLLTLRIKVKKGLQVIAARPA QPTLWTAKLDRFKGSKHHTSLITCHRAGPAGPDSSPLELSEFLWVDFAVENSTGGGVAVT RPVTWQLEYPGQAPEAEKDKMVWEILVSERDIRALIPLAKAEELVNTAPLTGVPQRIPVR LVTVDSGGALEEVTEHIGCESANTQVLQVSEACDAVFVAGQESRGAKGVRVDFWWRRLRA SLKLTVWAPLLPLRIELTDTTLEQIRGWRVPGSAEGQLEPETAAEEVERRSRGCRLQYQR AGVRFLVPFAAHPLDGGRRLTHLLGPDWLLDVSHLVAAHAHVQDPRIASLEGGRILVGRE PGVTSIEVRSPLSDAILGEQALAVTDDKVSVLDLRVQPVMGISLSLSRGMSHPGEVTATC WAQSALPAPKQVMAGGERAWSDTIGGG >ENSMUSP00000080256.2 pep:known chromosome:GRCm38:4:49379840:49408151:-1 gene:ENSMUSG00000060317.9 transcript:ENSMUST00000081541.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acnat2 description:acyl-coenzyme A amino acid N-acyltransferase 2 [Source:MGI Symbol;Acc:MGI:2444345] MMIQLIATPSNALVDEPVSIRATGLPPSQIVTIKATVKDENDNVFQSQAFYKTNEAGEVD LEKTPALGGDYVGVHPMGLFFSLKPKKAFHRLMKKDVMNSPLCICLDLYDSVNWLETVRI PSKASQRVQRWFVGPGVKREQIQEGRVRGALFLPPGKGPFPGIIDLFGLIGGLVEFRASL LASHGFAVLALAYFAYEDLPEKPQEVDLEYFEEAANFLLSHPKIQQPGIGVISTSKGAEI GLAMACYLKQVIATVCINGPTTITIFPLRYQDLVMTPIHPALERIQVHDSGALLFRYTTQ YLHNKLNSQNILPVEKAQGKILFIVGENDECLDSKLHAQKAMDRLQRHGRSSGRMLAYPG AGHLIEPPYSPVCFVAWFPVLGQPMCFGGDLMAHAAAQEHSWREIQKFFRKHLLQSGSKL >ENSMUSP00000103326.1 pep:known chromosome:GRCm38:4:49379920:49383576:-1 gene:ENSMUSG00000060317.9 transcript:ENSMUST00000107698.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acnat2 description:acyl-coenzyme A amino acid N-acyltransferase 2 [Source:MGI Symbol;Acc:MGI:2444345] MMIQLIATPSNALVDEPVSIRATGLPPSQIVTIKATVKDENDNVFQSQAFYKTNEAGEVD LEKTPALGGDYVGVHPMGLFFSLKPKKAFHRLMKKDVMNSPLCICLDLYDSVNWLETVRI PSKASQRVQRWFVGPGVKREQIQEGRVRGALFLPPVEFRASLLASHGFAVLALAYFAYED LPEKPQEVDLEYFEEAANFLLSHPKIQQPGIGVISTSKGAEIGLAMACYLKQVIATVCIN GPTTITIFPLRYQDLVMTPIHPALERIQVHDSGALLFRYTTQYLHNKLNSQNILPVEKAQ GKILFIVGENDECLDSKLHAQKAMDRLQRHGRSSGRMLAYPGAGHLIEPPYSPVCFVAWF PVLGQPMCFGGDLMAHAAAQEHSWREIQKFFRKHLLQSGSKL >ENSMUSP00000119135.1 pep:known chromosome:GRCm38:4:49381778:49405758:-1 gene:ENSMUSG00000060317.9 transcript:ENSMUST00000125123.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acnat2 description:acyl-coenzyme A amino acid N-acyltransferase 2 [Source:MGI Symbol;Acc:MGI:2444345] MMIQLIATPSNALVDEPVSIRATGLPPSQIVTIKATVKDENDNVFQSQAFYKTNEAGEVD LEKTPALGGDYVGVHPMGLFFSLKPKKAFHRLMKKDVMNSPLCICLDLYDSVNWLETVRI PSKASQRVQRWFVGPGVKREQIQEGRVRGALFLPPGKGPFPGIIDLFGLIGGLVEFRASL LASHGFAVLALAYFAYEDLPEKPQ >ENSMUSP00000068253.6 pep:known chromosome:GRCm38:19:55198297:55241236:-1 gene:ENSMUSG00000055523.6 transcript:ENSMUST00000069183.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gucy2g description:guanylate cyclase 2g [Source:MGI Symbol;Acc:MGI:106025] MASRTRSESPLEPRLYAGAGSRADHPSLVLMLSVVMLVTCLEAAKLTVGFHAPWNISHPF SVQRLGAGLQTVVDKLNSEPVGLGNVSWEFTYTNSTCSAKESLAVFIDQVQKEHISALFG PACPEAAEVIGLLASEWNIPLFDFVGQMAALKDHFWCDTCVTLVPPKQEISAVLRESLRY LGWEHIGVFGGSSADSSWEQVDEMWGAVEDGLQFHFNITASMRYNSSSSDLLQEGLRNMS YVARVIILICSSEDARRILQAAVDLGLDTGEFVFILLQQLEDSFWKEVLTKDKVIRFPKV YESVFLIAPSAYGGGIGDDGFRKQVSQELRRPPFQSSITSEDQVSPYSAYLHDALLLYAQ TVEEMRKAEKDFRDGRQLISTLRAGQVTLQGITGPVLLDSQGKRHVDYSVYALQESGNRS LFLPFLHYDSFQKVIRPWRNDSNTSWPHGSLPEYKPGCGFHNDLCKTKPPTVAGMTVTVT AVIPTVTFLVLASAAAITGLMLWRLRGKVQSHPGDTWWQIRYDSITLLPQHKLSHRGTPV SRRNVSDTSTVKASADCGSLVKRHQDEELFFAPVGLYQGNQVALCYIGDEAEAWVKKPTV RREVCLMCELKHENIVPFFGVCTEPPNICIVTQYCKKGSLQDVMRNSDHEIDWIFKLSFA YDIVNGLLFLHGSPLRSHGNLKPSNCLVDSHMQLKLSGFGLWEFKHGSTWRSYNQEATDH SELYWTAPELLRLRESPCSGTPQGDVYSFAILLRDLIHQQAHGPFEDLEAAPEEIISRIK DPRAPVPLRPSLLEDKGDGRIVALVRECWDESPELRPIFPSIKKTLREASPRGHVSILDS MMGKLETYANHLEEVVEERTRELVAEKRKVEKLLSTMLPSFVGEQLIAGKSVEPEHFESV TIFFSDIVGFTKLCSLSSPLQVVKLLNDLYSLFDHTIQSHDVYKVETIGDAYMVASGLPI RNGAQHADEIATMALHLLSVTTHFQIGHMPEERLKLRIGLHTGPVVAGVVGITMPRYCLF GDTVNMASRMESSSLPLRIHVSQSTAGALLAAGGYHLQKRGTISVKGKGEQTTFWLKGKD GFPVPLPEFTEEEAKVSEIL >ENSMUSP00000066222.2 pep:known chromosome:GRCm38:5:30485583:30545836:-1 gene:ENSMUSG00000053194.2 transcript:ENSMUST00000065486.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cib4 description:calcium and integrin binding family member 4 [Source:MGI Symbol;Acc:MGI:1920509] MGQCLRYQMQWEDLEEYQALTFLTRNEILCIHDTFLKLCPSGKHYKEATLTMDQVSSLPA LRVNPFRDRICRVFSHDNVFSFEDVLGMASVFSEQACPSLKIEYAFRIYDFNENGFIDEE DLEEIVLRLLKSDDASEDLLMDVMHHVLSESDLDNDSMLSFSEFEHAMAKSPDFMNSFRI HFWGC >ENSMUSP00000017562.6 pep:known chromosome:GRCm38:2:15049395:15082452:1 gene:ENSMUSG00000017418.13 transcript:ENSMUST00000017562.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl5b description:ADP-ribosylation factor-like 5B [Source:MGI Symbol;Acc:MGI:1923119] MEPGHYAEHKVIIVGLDNAGKTTILYQFLMNEVVHTSPTIGSNVEEIVVKNTHFLMWDIG GQESLRSSWNTYYSNTEFIILVVDSIDRERLAITKEELYRMLAHEDLRKAAVLIFANKQD MKGCMTAAEISKYLTLSSIKDHPWHIQSCCALTGEGLCQGLEWMTSRIGVR >ENSMUSP00000069725.3 pep:known chromosome:GRCm38:2:15055240:15082456:1 gene:ENSMUSG00000017418.13 transcript:ENSMUST00000069870.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl5b description:ADP-ribosylation factor-like 5B [Source:MGI Symbol;Acc:MGI:1923119] MMGLIFAKLWSLFCNQEHKVIIVGLDNAGKTTILYQFLMNEVVHTSPTIGSNVEEIVVKN THFLMWDIGGQESLRSSWNTYYSNTEFIILVVDSIDRERLAITKEELYRMLAHEDLRKAA VLIFANKQDMKGCMTAAEISKYLTLSSIKDHPWHIQSCCALTGEGLCQGLEWMTSRIGVR >ENSMUSP00000120178.1 pep:known chromosome:GRCm38:2:15055287:15076595:1 gene:ENSMUSG00000017418.13 transcript:ENSMUST00000128682.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arl5b description:ADP-ribosylation factor-like 5B [Source:MGI Symbol;Acc:MGI:1923119] MMGLIFAKLWSLFCNQEHKVIIVGLDNAGKTTILYQLKQC >ENSMUSP00000141546.1 pep:known chromosome:GRCm38:2:15055347:15077571:1 gene:ENSMUSG00000017418.13 transcript:ENSMUST00000193836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl5b description:ADP-ribosylation factor-like 5B [Source:MGI Symbol;Acc:MGI:1923119] MMGLIFAKLWSLFCNQEHRYHQQYITERHNNMVFP >ENSMUSP00000117885.2 pep:known chromosome:GRCm38:2:15068139:15076605:1 gene:ENSMUSG00000017418.13 transcript:ENSMUST00000129509.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Arl5b description:ADP-ribosylation factor-like 5B [Source:MGI Symbol;Acc:MGI:1923119] XHKVIIVGLDNAGKTTILYQFSYFSNHRKQC >ENSMUSP00000141992.1 pep:known chromosome:GRCm38:2:15074999:15082452:1 gene:ENSMUSG00000017418.13 transcript:ENSMUST00000193883.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl5b description:ADP-ribosylation factor-like 5B [Source:MGI Symbol;Acc:MGI:1923119] XQDMKGCMTAAEISKYLTLSSIKDHPWHIQSCCALTGEGLCQGLEWMTSRIGALQVV >ENSMUSP00000087896.4 pep:known chromosome:GRCm38:5:88554462:88556090:1 gene:ENSMUSG00000070697.4 transcript:ENSMUST00000090413.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Utp3 description:UTP3, small subunit (SSU) processome component, homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1919230] MVKKSRRRGAAQWAAVRAQAGLTATDENEDDLGLPPSPGDSSYYQDQVDEFHEARSRAVL AKGWNEVESGEEDGDEEEEVLPLDIDDGDDEDGESSEEEEVGEDDDGGSSVQSEAEASVD PSLSWGQRKKLYYDTDYGSKSRGRQSQQEVEEEEREEEEEAQIIQRRLAQALQEDDFGVA WVEAFAKPVPQVDEAETRVVKDLAKVSVKEKLKMLKKESPELLELIEDLQAKLTEVKDEL EPLLQLVEKGVIPTGRGSEYLKTKYNLYLNYCANISFYLILKARRVPAHGHPVIERLVTY RNLINKLSVVDQKLSSEIRHLLTAKDGAVKKEMTPKAKLTKTKPKSVKQAAAVALTDEPD FDGAALKYYKEMEDRQELKRKKEENSAEEQALEEQNAKRAITYQIAKNRGLTPRRKKIDR NPRVKHREKFRKAKIRRRGQVREVRREEQRYSGELSGIRAGVKKSIKLK >ENSMUSP00000104836.2 pep:known chromosome:GRCm38:11:48826140:48851187:1 gene:ENSMUSG00000040350.15 transcript:ENSMUST00000109213.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim7 description:tripartite motif-containing 7 [Source:MGI Symbol;Acc:MGI:2137353] MAAEKEKVGAEFQALRAFLVEQEGRLLSRLEVLSREVTQKQNENLAQLEGEITQLSKLSG QIQETAQKPDLDFLQEFKSTLSKCSSVPSSKPTTVSSEMKNKVWNVSLKSFVLKGLLKKF KEDLQGELEKEEKVELTLDPDTANPRLILSLDLKSVRLGQRAQDLPNHPRRFDTNTRVLA SCGFSSGRHHWEVEVGSKDGWAFGVARESVRRKGLTPFTPEEGVWAMQLNNGQYWAVTSP ERTQLNCGHLSRVRVALDLEVGAVSFYAVEDMRHLYTFRVNFQERVFPLFSVCSTGTYLR IWP >ENSMUSP00000039011.5 pep:known chromosome:GRCm38:11:48837465:48850555:1 gene:ENSMUSG00000040350.15 transcript:ENSMUST00000046903.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim7 description:tripartite motif-containing 7 [Source:MGI Symbol;Acc:MGI:2137353] MATVGPRTGPNAGAEALALAAELQGEATCSICLEFFREPVSVECGHSFCRACIMRCWERP GAGTGTATRTLPCPLPCPQCREPARPSQLRPNRQLAAVASLLRRFSLPPTAPGERGTPAV PARAAAARCSQHGEQLKLYCQDDGRAICVVCDRAREHRSHAVLPLEEAVQEAKELLDSRL RALKKVLEDYEAFRSTEERESKELLKQMAAEKEKVGAEFQALRAFLVEQEGRLLSRLEVL SREVTQKQNENLAQLEGEITQLSKLSGQIQETAQKPDLDFLQEFKSTLSKCSSVPSSKPT TVSSEMKNKVWNVSLKSFVLKGLLKKFKEDLQGELEKEEKVELTLDPDTANPRLILSLDL KSVRLGQRAQDLPNHPRRFDTNTRVLASCGFSSGRHHWEVEVGSKDGWAFGVARESVRRK GLTPFTPEEGVWAMQLNNGQYWAVTSPERTQLNCGHLSRVRVALDLEVGAVSFYAVEDMR HLYTFRVNFQERVFPLFSVCSTGTYLRIWP >ENSMUSP00000118669.1 pep:known chromosome:GRCm38:11:48837471:48841433:1 gene:ENSMUSG00000040350.15 transcript:ENSMUST00000155478.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trim7 description:tripartite motif-containing 7 [Source:MGI Symbol;Acc:MGI:2137353] MATVGPRTGPNAGAEALALAAELQGEATCSICLEFFREPVSVECGHSFCRACIMRCWERP GAGTGTATRTLPCPLPCPQCREPARPSQLRPNRQLAAVASLLRRFSLPPTAPGERGTPAV PARAAAARCSQHGEQLKLYCQDDGRAICVVCDRAREHRSHAVLPLEEAVQEAKELLDSRL RALKKVLEDYEAFRSTEERESKELLVSPSVRSIGLWMTKAERERERERERERERERERIW LKQ >ENSMUSP00000114958.1 pep:known chromosome:GRCm38:11:48838514:48845547:1 gene:ENSMUSG00000040350.15 transcript:ENSMUST00000149049.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim7 description:tripartite motif-containing 7 [Source:MGI Symbol;Acc:MGI:2137353] MAAEKEKVGAEFQALRAFLVEQEGRLLSRLEVLSR >ENSMUSP00000116067.1 pep:known chromosome:GRCm38:11:48838804:48845604:1 gene:ENSMUSG00000040350.15 transcript:ENSMUST00000129674.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim7 description:tripartite motif-containing 7 [Source:MGI Symbol;Acc:MGI:2137353] MAAEKEKVGAEFQALRAFLVEQEGRLLSRLEVLSREVTQKQNENLAQLEGEITQ >ENSMUSP00000067779.4 pep:known chromosome:GRCm38:5:35893319:36003923:1 gene:ENSMUSG00000029094.11 transcript:ENSMUST00000064571.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afap1 description:actin filament associated protein 1 [Source:MGI Symbol;Acc:MGI:1917542] MEELIVELRLFLELLDHEYLTSTVREKKAVLTNILLRLQSSKGFEVKDHAQKAEANNLPA PPQMPLPEIPQPWLPPDSGPPPLPTSSLPEGYYEEAVPLSPGKAPEYITSNYDSDAMSSS YESYDEEEEDGKGKKTRHQWPSEEASMDLVKDAKICAFLLRKKRFGQWTKLLCVIKDTKL LCYKSSKDQQPQMELPLQGCSITYIPRDSKKKKHELKITQQGTDPLVLAVQSKEQAEQWL KVIKEAYSGCSGPVDPECSPPPSTSAPVNKAELEKKLSSERPSSDGEGGVENGVTTCNGK EQAKRKKPSKSEAKGTVSKVTGKKITKIIGLGKKKPSTDEQTSSAEEDVPTCGYLNVLSN SRWRERWCRVKDSKLILHKDRADLKTHLVSIPLRGCEVIPGLDSKHPLTFRLLRNGQEVA VLEASSSEDMGRWIGILLAETGSSTDPGALHYDYIDVEMSANVIQTAKQTFCFMNRRAVS TSPYLGSLSNGYAHPSGTALHYDDVPCVNGSLKNKKPPASSNGVPVKGKAPSSQQKKVET AGGVKRTASNAEQYKYGKNRVEADAKRLQSKEEELLKRKEALRNRLAQLRKERKDLRAAI EVNAGRKTQAALEDKLKRLEEECKQREAERVSLELELTEVKESLKKALAGGVTLGLAIEP RSGTSSPQSPVFRHRTLENSPISSCDTSDAEGPLPVNSAAVLKKSQPSSGSSPCRGHVLQ KAKEWELKNGT >ENSMUSP00000119364.1 pep:known chromosome:GRCm38:5:35913082:35996024:1 gene:ENSMUSG00000029094.11 transcript:ENSMUST00000141824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Afap1 description:actin filament associated protein 1 [Source:MGI Symbol;Acc:MGI:1917542] MEELIVELRLFLELLDHEYLTSTVREKKAVLTNILLRLQSSKGFEVKDHAQKAEANNLPA PPQMPLPEIPQPWLPPDSGPPPLPTSSLPEGYYEEAVPLSPGKAPEYITSNYDSDAMSSS YESYDEEEEDGKGKKTRHQWPSEEASMDLVKDAKICAFLLRKKRFGQWTKLLCVIKDTKL LCYKSSKDQQPQMELPLQGCSITYIPRDSKKKKHELKITQQGTDPLVLAVQSKEQAEQWL KVIKEAYSGCSGPVDPECSPPPSTSAPVNKAELEKKLSSERPSSDGEGGVENGVTTCNGK EQAKRKKPSKSEAKGTVSKVTGKKITKIIGLGKKKPSTDEQTSSAEEDVPTCGYLNVLSN SRWRERWCRVKDSKLILHKDRADLKTHLVSIPLRGCEVIPGLDSKHPLTFRLLRNGQEVA VLEASSSEDMGRWIGILLAETGSSTDPGALHYDYIDVEMSANVIQTAKQTFCFMNRRAVS TSPYLGSLSNGYAHPSGTALHYDDVPCVNGSLKNKKPPASSNGVPVKGKAPSSQQKKVET AGGVKRTASNAEQYKYGKNRVEADAKRLQSKEEELLKRKEALRNRLAQLRKERKDLRAAI EVNAGRKTQAALEDKLKRLEEECKQRE >ENSMUSP00000080949.6 pep:known chromosome:GRCm38:17:35841515:35859670:1 gene:ENSMUSG00000061607.14 transcript:ENSMUST00000082337.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdc1 description:mediator of DNA damage checkpoint 1 [Source:MGI Symbol;Acc:MGI:3525201] MESTQVIDWDAEEEEETELSSGSLGYSVEPIGQLRLFSGTHGPERDFPLYLGKNVVGRSP DCSVALPFPSISKQHAVIEISAWNKAPILQDCGSLNGTQIVKPPRVLPPGVSHRLRDQEL ILFADFPCQYHRLDVPPPLVPRSLLTIEKTPRIRIESQNSRVLLAADSEEEGDFPSGRCV ANGQRNTASPSATVVPESDEEVSSPAPSVPGPSSPFGLGSDTDEEQGQQPGVEESSLADS SGAAGEAEQPEANGTTAGIQAQPTEHKLKDTKVKKEAGRAGVSDGSVLERSPTLGEDSDT EVDEDHKPGFADSETDVEEERIPVTPPVAPVKKNQVLLAVGIGDPEAPGVAHLQDCLAGS GTDVEDKTALDVPLERNHTPMVINSDTDEEEEEEEEEVSAALTLAHLKERGIGLWSRDPG AEEVKSQPQVLVEQSQSASGRDSDTDVEEESSGRKREIIPDSPMDVDEALTVTQPESQPP RRPNDADEYMDMSSPGSHLVVNQASFAVVGKTRAQVEEEVPGPSVILGEKHQVPLEGAQP PEEAWETAVQEGSSSPEAAASVRPSQQPVAEDAGTECATAVSEQESTLEVRSQSGSPAAP VEQVVIHTDTSGDPTLPQREGAQTPTGREREAHVGRTKSAKECCDAEPEDLCLPATQCFV EGESQHPEAVQSLENEPTQLFPCTLPQEPGPSHLSLQTPGADTLDVPWEVLATQPFCLRE QSETSELHEAHGSQPSLPREPPGHQHLVHTSPVHTELLRIEGREIQTVEKAMGIPKEMAD RMTPEREPLEREIRGRTENSERDVIGEELIQGTKDREPKKVLARDSQRKEADKDLEGNRE SLEVEIEMSKDSQKRERKVEKPEPKREWEPADLEVTPDRGVTEEGSHDQKGQIASLTLKP GVGVKDLEGLASAPIITGSQADGGKGDPLSPGRQQRGRLSCQTTPAGKASRGDPEPPDHC LFSSVPEASTQSLLTSQSQKQSTPQPLFSTSSSEIPLPESLHTKPNVRPRRSSRMTPSPH SSAALKPNTTCPTNQPAASRPTSRPTRGRANRSSTRTPELIVPVDPELQPSTSTEQPVIP KLTSQVTEGRVQMPEPLLTGPEIQSPTSTEQSVTPDRKPRATRGRPSKSPNKTPEPLIST GPELQPPTSIEQPVIPKPTSRVTRGRPRKSSVRTPESVVSTGPELQPLTSIEQPVIPEPR ATRGRPSKSSIKTPESVVPTGPELQPLTSAKQPVTPNLTSRASRGRSSKSIRTPEPVVQT GPEFHPSTSTEQPDTREPSSQARTRRSAVKTPEASVPTTPELQPFTSKKQPAPKPTALVT QGRTYKPSTEDCESVGPVAPDFEPSTSTDHLVTPKVTDQSLTLQSSPLSASPVSSTPDLK PPVPIAQPVTPEPIPQANHQRKRRAAGKQGSRTVPLGHKSYSALSEPEPQSSASQSSGAS EADSPRQKRPRRQASQKTVVIKEEPVETEVKEEPQETAIPTPEKRKRDHAEEVTQGKPTR SRRTKPNQETAPKVLFTGVMDSRGERAVLALGGSLASSVNEASHLVTDRIRRTVKFLCAL GKGIPILSLNWLYQSRKAGCFLPPDDYLVTDPEQEKNFSFSLRDSLCRARERRLLEDYEI HVTPGVQPPPPQMGEIISCCGGTFLPSMPHSYKLHRVIITCTEDLPRCAIPSRLGLPLLS PEFLLTGVLKQEATPEAFVLSNLEMSST >ENSMUSP00000133568.1 pep:known chromosome:GRCm38:17:35841676:35846031:1 gene:ENSMUSG00000061607.14 transcript:ENSMUST00000174124.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mdc1 description:mediator of DNA damage checkpoint 1 [Source:MGI Symbol;Acc:MGI:3525201] MESTQVIDWDAEEEEETELSSGSLGYSVEPIGQLRLFSGTHGPERDFPLYLGKNVVGRSP DCSVALPFPSISKQHAVIEISAWNKAPILQDCGSLNGTQIVKPPRVLPPG >ENSMUSP00000019231.5 pep:known chromosome:GRCm38:X:74297097:74304721:1 gene:ENSMUSG00000019087.13 transcript:ENSMUST00000019231.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6ap1 description:ATPase, H+ transporting, lysosomal accessory protein 1 [Source:MGI Symbol;Acc:MGI:109629] MMAATVVSRIRTGTGRAPVMWLSLSLVAVAAAVATEQQVPLVLWSSDRNLWAPVADTHEG HITSDMQLSTYLDPALELGPRNVLLFLQDKLSIEDFTAYGGVFGNKQDSAFSNLENALDL APSSLVLPAVDWYAISTLTTYLQEKLGASPLHVDLATLKELKLNASLPALLLIRLPYTAS SGLMAPREVLTGNDEVIGQVLSTLKSEDVPYTAALTAVRPSRVARDITMVAGGLGRQLLQ TQVASPAIHPPVSYNDTAPRILFWAQNFSVAYKDEWKDLTSLTFGVENLNLTGSFWNDSF AMLSLTYEPLFGATVTFKFILASRFYPVSARYWFAMERLEIHSNGSVAHFNVSQVTGPSI YSFHCEYVSSVSKKGNLLVTNVPSVWQMTLHNFQIQAFNVTGEQFSYASDCAGFFSPGIW MGLLTTLFMLFIFTYGLHMILSLKTMDRFDDHKGPTITLTQIV >ENSMUSP00000117006.1 pep:known chromosome:GRCm38:X:74297143:74302374:1 gene:ENSMUSG00000019087.13 transcript:ENSMUST00000147900.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6ap1 description:ATPase, H+ transporting, lysosomal accessory protein 1 [Source:MGI Symbol;Acc:MGI:109629] MMAATVVSRIRTGTGRAPVMWLSLSLVAVAAAVATEQQVPLVLWSSDRNLWAPVADTHEG HITSDMQLSTYLDPALELGPRNVLLFLQDKLSIEDFTAYGGVFGNKQDSAFSNLENALDL APSSLVLPAVDWYAISTLTTYLQEKLGASPLHVDLATLKELKLNASLPALLLIRLPYTAS SGLMAPREVLTDEVIGQVLSTLKSEDVPYTAALTAVRPSRVARD >ENSMUSP00000116162.1 pep:known chromosome:GRCm38:X:74297162:74303430:1 gene:ENSMUSG00000019087.13 transcript:ENSMUST00000147275.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6ap1 description:ATPase, H+ transporting, lysosomal accessory protein 1 [Source:MGI Symbol;Acc:MGI:109629] MMAATVVSRIRTGTGRAPVMWLSLSLVAVAAAVATEQQVPLVLWSSDRNLWAPVADTHEG HITSDMQLSTYLDPALELGPRNVLLFLQDKLSIEDFTAYGGVFGNKQDSAFSNLENALDL APSSLVLPAVDWYAISTLTTYLQEKLGASPLHVARDITMVAGGLGRQLLQTQVASPAIHP PVSYNDTAPRILFWAQNFSVAYKDEWKDLTSLTFGVENLNLTGSFWNDSFAMLSLTYEPL FGATVTFKFILASRFYPVSARYWFAMERLEIH >ENSMUSP00000109808.3 pep:known chromosome:GRCm38:X:74297163:74304689:1 gene:ENSMUSG00000019087.13 transcript:ENSMUST00000114171.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6ap1 description:ATPase, H+ transporting, lysosomal accessory protein 1 [Source:MGI Symbol;Acc:MGI:109629] MMAATVVSRIRTGTGRAPVMWLSLSLVAVAAAVATEQQVPLVLWSSDRNLWAPVADTHEG HITSDMQLSTYLDPALELGPRNVLLFLQDKLSIEDFTAYGGVFGNKQDSAFSNLENALDL APSSLVLPAVDWYAISTLTTYLQEKLGASPLHVDLATLKELKLNASLPALLLIRLPYTAS SGLMAPREVLTGNDEVIGQVLSTLKSEDVPYTAALTAVRPSRVARDITMVAGGLGRQLLQ TQVASPAIHPPVSYNDTAPRILFWAQNFSVAYKDEWKDLTSLTFGVENLNLTGSFWNDSF AMLSLTYEPLFGATVTFKFILASRFYPVSARYWFAMERLEIHSNGSVAHFNVSQVTGPSI YSFHCEYVSSVSKKGNLLVTNVPSVWQMTLHNFQNPSSYCVWNLVEPSDPCQCVS >ENSMUSP00000118722.1 pep:known chromosome:GRCm38:X:74297233:74303463:1 gene:ENSMUSG00000019087.13 transcript:ENSMUST00000124797.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp6ap1 description:ATPase, H+ transporting, lysosomal accessory protein 1 [Source:MGI Symbol;Acc:MGI:109629] VMWLSLSLVAVAAAVATEQQVPLVLWSSDRNLWAPVADTHEGHITSDMQLSTYLDPALEL GPRNVLLFLQDKLSIEDFTAYGGVFGNKQDSAFSNLEHTQV >ENSMUSP00000117604.1 pep:known chromosome:GRCm38:X:74297262:74302514:1 gene:ENSMUSG00000019087.13 transcript:ENSMUST00000136056.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp6ap1 description:ATPase, H+ transporting, lysosomal accessory protein 1 [Source:MGI Symbol;Acc:MGI:109629] XVAAAVATEQQVPLVLWSSDRNLWAPVADTHEGHITSDMQLSTYLDPALELGPRNVLLFL QDKFLCRW >ENSMUSP00000116432.1 pep:known chromosome:GRCm38:X:74303489:74304015:1 gene:ENSMUSG00000019087.13 transcript:ENSMUST00000154630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6ap1 description:ATPase, H+ transporting, lysosomal accessory protein 1 [Source:MGI Symbol;Acc:MGI:109629] XFHCEYVSSVSKKGNLLVTNVPSVWQMTLHNFQRISVWFEHVAESSSLVQSLKV >ENSMUSP00000030469.4 pep:known chromosome:GRCm38:4:116132406:116144609:-1 gene:ENSMUSG00000028701.4 transcript:ENSMUST00000030469.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lurap1 description:leucine rich adaptor protein 1 [Source:MGI Symbol;Acc:MGI:1915325] MEGTAESQTPDLRDVEGKVGRKIPEGLLRGLRGECELGTSGDVLLPGAPSTGHGLGDKIM ALRMELAYLRAIDVKILQQLVTLNEGIEAVRWLLEERGTLTSHCSSLTSSQYSLTGGSPG RSRRGSWDSLPDTSSTDRLDSVSIGSFLDTVTPRELDEQGLPGPSCPEIDWAKVIPTEDR ARTEVDMTSTKLGSLTATWKLPGDGLQCGPPEPSEDGNANQGFEAHWYWGQCQDDVTFL >ENSMUSP00000129423.1 pep:known chromosome:GRCm38:17:33629090:33631716:1 gene:ENSMUSG00000073423.9 transcript:ENSMUST00000166627.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp414 description:zinc finger protein 414 [Source:MGI Symbol;Acc:MGI:1915641] MPPVWDHGGPLQQVAYPASDSCQTGSTNTGVGTNEDLRLPRRRPPPGKQIPCSSPGCCLS FPSVRDLAQHLRTHCPPTQSLEGKLFRCSALSCTESFPSMQELVAHGKLHYKPNRYFKCE NCLLRFRTHRSLFKHLHVCIDHGQNPAPPPPPALDKEPPVPERPPESDPSSSLGLPFPLL EPFTSAPTGPFLPYLNPAPFGLSPPRLRPFLAATPGPPASSTAIWKKSQGATSSPRRPQG GSDAPSGACR >ENSMUSP00000073259.5 pep:known chromosome:GRCm38:17:33629090:33631779:1 gene:ENSMUSG00000073423.9 transcript:ENSMUST00000073570.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp414 description:zinc finger protein 414 [Source:MGI Symbol;Acc:MGI:1915641] MEEPSRPSSDTLTTVESSSGEPDKEVASPDGAAPATFSSVEEPSPNPTAMPPVWDHGGPL QQVAYPASDSCQTGSTNTGVGTNEDLRLPRRRPPPGKQIPCSSPGCCLSFPSVRDLAQHL RTHCPPTQSLEGKLFRCSALSCTESFPSMQELVAHGKLHYKPNRYFKCENCLLRFRTHRS LFKHLHVCIDHGQNPAPPPPPALDKEPPVPERPPESDPSSSLGLPFPLLEPFTSAPTGPF LPYLNPAPFGLSPPRLRPFLAATPGPPASSTAIWKKSQGATSSPRRPQGGSDAPSGACR >ENSMUSP00000127118.1 pep:known chromosome:GRCm38:17:33629121:33631464:1 gene:ENSMUSG00000073423.9 transcript:ENSMUST00000170225.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp414 description:zinc finger protein 414 [Source:MGI Symbol;Acc:MGI:1915641] MPPVWDHGGPLQQVAYPASDSCQTGSTNTGVGTNEDLRLPRRRPPPGKQIPCSSPGCCLS FPSVRDLAQHLRTHCPPTQSLEGKLFRCSALSCTESFPSMQELVAHGKLHYKPNRYFKCE NCLLRFRTHRSLFKHLHVCIDHGQNPAPPPPPALDKEPPVPERPPESDPSSSLGLPFPLL EPFTSAPTGPFLPYLNPAPFGLSPPRLRPFLAATPGPPASSTAIWKKSQG >ENSMUSP00000130881.1 pep:known chromosome:GRCm38:17:33629429:33630004:1 gene:ENSMUSG00000073423.9 transcript:ENSMUST00000165504.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp414 description:zinc finger protein 414 [Source:MGI Symbol;Acc:MGI:1915641] MPPVWDHGGPLQQVAYPASDS >ENSMUSP00000066868.3 pep:known chromosome:GRCm38:4:114906282:114908873:-1 gene:ENSMUSG00000055210.4 transcript:ENSMUST00000068654.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxd2 description:forkhead box D2 [Source:MGI Symbol;Acc:MGI:1347471] MTLGSCCCEIMSSESSPAALSEPDADIDVVGGGSGGGELTARSGPRAPRDVLPHGHEPPP EEAEADVAEDEEESGGCSDCEPRALAPRGAAAAAGSPGPGVQAARGATGPGPGPGPPSGG AATRSPLVKPPYSYIALITMAILQSPKKRLTLSEICEFISGRFPYYREKFPAWQNSIRHN LSLNDCFVKIPREPGNPGKGNYWTLDPESADMFDNGSFLRRRKRFKRQPLPPPHPHPHPH PELLLRGGAAAAGDPGAFLSSFAAYGAYGYGYGLALPAYGAPPPGPAPHPHPHPHAFAFA ATAPCQLSVPPGRAAAPPPGPPTASVFASAASAPAPAPAPGSGPSPAGLPAFLGAELGCA KAFYPASLSPPAAGTAASLSTALLRQGLKTDAGGGAGGGGAGTGQRPSFSIDHIMGHGGG GAAPPGSGDGSPGSPFAAAAGPGGQAQVLAMLTAPALTPVAGHIRLSHPGDSLLSSGPSF ASKVAGLSGCHF >ENSMUSP00000030125.4 pep:known chromosome:GRCm38:4:40936401:40948243:-1 gene:ENSMUSG00000028416.11 transcript:ENSMUST00000030125.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag1 description:BCL2-associated athanogene 1 [Source:MGI Symbol;Acc:MGI:108047] LAGRSAARRPRGDREPLGPRLRAPRPAREPRQSESRAERGLPPSQRSSVRSAASGHDRST RGAAAGACKPRVKKKVRPRSSQSEKVGSSSRELTRSKKVTRSKNVTGTQVEEVTKIEEAT QTEEVTVAEEVTQTDNMAKTEEMVQTEEMETPRLSVIVTHSNERYDLLVTPQQGNSEPVV QDLAQLVEEATGVPLPFQKLIFKGKSLKEMETPLSALGMQNGCRVMLIGEKSNPEEEVEL KKLKDLEVSAEKIANHLQELNKELSGIQQGFLAKELQAEALCKLDRKVKATIEQFMKILE EIDTMVLPEQFKDSRLKRKNLVKKVQVFLAECDTVEQYICQETERLQSTNLALAE >ENSMUSP00000103724.1 pep:known chromosome:GRCm38:4:40936398:40948281:-1 gene:ENSMUSG00000028416.11 transcript:ENSMUST00000108089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag1 description:BCL2-associated athanogene 1 [Source:MGI Symbol;Acc:MGI:108047] MAKTEEMVQTEEMETPRLSVIVTHSNERYDLLVTPQQGNSEPVVQDLAQLVEEATGVPLP FQKLIFKGKSLKEMETPLSALGMQNGCRVMLIGEKSNPEEEVELKKLKDLEVSAEKIANH LQELNKELSGIQQGFLAKELQAEALCKLDRKVKATIEQFMKILEEIDTMVLPEQFKDSRL KRKNLVKKVQVFLAECDTVEQYICQETERLQSTNLALAE >ENSMUSP00000139864.1 pep:known chromosome:GRCm38:4:40936399:40948294:-1 gene:ENSMUSG00000028416.11 transcript:ENSMUST00000191273.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bag1 description:BCL2-associated athanogene 1 [Source:MGI Symbol;Acc:MGI:108047] MAKTEEMVQTEEMETPRLSVIVTHSNERYDLLVTPQQGNSEPVVQDLAQLVEEATGVPLP FQKLIFKGKSLKEMETPLSALGMQNGCRVMLIGEKSNPEEEVELKKLKDLEVSAEKIANH LQELNKELSGIQQGFLAKELQAEALCKLDRKVKATIEQFMKILEEIDTMVLPEQFKDSRL KRKNLVKKVQVFLAECDTVEQYICQETERLQSTNLALAE >ENSMUSP00000135299.1 pep:known chromosome:GRCm38:16:44811733:44839134:1 gene:ENSMUSG00000062082.16 transcript:ENSMUST00000176819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r4 description:CD200 receptor 4 [Source:MGI Symbol;Acc:MGI:3036289] MHALGRIPTLTLLIFINIFVSGSSCTDENQTIQNDSSSSLTQVNTTMSVQMDKKALLCCF SSPLINAVLITWIIKHRHLPSCTIAYNLDKKTNETSCLGRNITWASTPDHSPELQISAVA LQHEGTYTCEIVTPEGNLEKVYDLQVLVPPEVTYFPGKNRTAVCEAMAGKPAAQISWTPD GDCVTKSESHSNGTVTVRSTCHWEQNNVSVVSCLVSHSTGNQSLSIELSQGTMTTPRSLL TILYVKMALLVIILLNVGFAFFQKRNFART >ENSMUSP00000135162.2 pep:known chromosome:GRCm38:16:44811756:44839133:1 gene:ENSMUSG00000062082.16 transcript:ENSMUST00000176321.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r4 description:CD200 receptor 4 [Source:MGI Symbol;Acc:MGI:3036289] MSVQMDKKALLCCFSSPLINAVLITWIIKHRHLPSCTIAYNLDKKTNETSCLGRNITWAS TPDHSPELQISAVALQHEGTYTCEIVTPEGNLEKVYDLQVLVPPEVTYFPGKNRTAVCEA MAGKPAAQISWTPDGDCVTKSESHSNGTVTVRSTCHWEQNNVSVVSCLVSHSTGNQSLSI ELSQGTMTTPRSLLTILYVKMALLVIILLNVGFAFFQKRNFART >ENSMUSP00000110273.3 pep:known chromosome:GRCm38:16:44820728:44839150:1 gene:ENSMUSG00000062082.16 transcript:ENSMUST00000114626.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r4 description:CD200 receptor 4 [Source:MGI Symbol;Acc:MGI:3036289] MHALGRIPTLTLLIFINIFVSGSSCTDENQTIQNDSSSSLTQVNTTMSVQMDKKALLCCF SSPLINAVLITWIIKHRHLPSCTIAYNLDKKTNETSCLGRNITWASTPDHSPELQISAVA LQHEGTYTCEIVTPEGNLEKVYDLQVLVPPEVTYFPGKNRTAVCEAMAGKPAAQISWTPD GDCVTKSESHSNGTVTVRSTCHWEQNNVSVVSCLVSHSTGNQSLSIELSQGTMTTPRSLL TILYVKMALLVIILLNVGFAFFQKRNFART >ENSMUSP00000100565.1 pep:known chromosome:GRCm38:11:48825346:48826655:-1 gene:ENSMUSG00000078154.3 transcript:ENSMUST00000104959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12184 description:predicted gene 12184 [Source:MGI Symbol;Acc:MGI:3652174] MSYKPNLTAHMPAAALNAGSVHSPSTSMATSSQYRQLLSDYGPPSLGYTQGTGNSQVPQS KYAELLAIIEELGKEIRPTYAGSKSAMERLKRGIIHARSLVRECLAETERNARS >ENSMUSP00000112751.1 pep:known chromosome:GRCm38:4:116137237:116159848:1 gene:ENSMUSG00000028700.14 transcript:ENSMUST00000120083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomgnt1 description:protein O-linked mannose beta 1,2-N-acetylglucosaminyltransferase [Source:MGI Symbol;Acc:MGI:1915523] MDDWKPSPLIKPFGARKKRSWYLTWKYKLTNQRALRRFCQTGAVLFLLVTVIVNIKLILD TRRAISEANEDPEPEQDYDEALGRLESPRRRGSSPRRVLDVEVYSSRSKVYVAVDGTTVL EDEAREQGRGIHVIVLNQATGHVMAKRVFDTYSPHEDEAMVLFLNMVAPGRVLICTVKDE GSFHLKDTAKALLRSLGSQAGPALGWRDTWAFVGRKGGPVLGEKHSKSPALSSWGDPVLL KTDVPLSSAEEAECHWADTELNRRRRRFCSKVEGYGSVCSCKDPTPIEFSPDPLPDNKVL NVPVAVIAGNRPNYLYRMLRSLLSAQGVSPQMITVFIDGYYEEPMDVVALFGLRGIQHTP ISIKNARVSQHYKASLTATFNLFPEAKFAVVLEEDLDIAVDFFSFLSQSIHLLEEDDSLY CISAWNDQGYEHTAEDPALLYRVETMPGLGWVLRKSLYKEELEPKWPTPEKLWDWDMWMR MPEQRRGRECIIPDVSRSYHFGIVGLNMNGYFHEAYFKKHKFNTVPGVQLRNVDSLKKEA YEVEIHRLLSEAEVLDHSKDPCEDSFLPDTEGHTYVAFIRMETDDDFATWTQLAKCLHIW DLDVRGNHRGLWRLFRKKNHFLVVGVPASPYSVKKPPSVTPIFLEPPPKEEGAPGAAEQT >ENSMUSP00000112911.1 pep:known chromosome:GRCm38:4:116137755:116159844:1 gene:ENSMUSG00000028700.14 transcript:ENSMUST00000121052.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomgnt1 description:protein O-linked mannose beta 1,2-N-acetylglucosaminyltransferase [Source:MGI Symbol;Acc:MGI:1915523] MDDWKPSPLIKPFGARKKRSWYLTWKYKLTNQRALRRFCQTGAVLFLLVTVIVNIKLILD TRRAISEANEDPEPEQDYDEALGRLESPRRRGSSPRRVLDVEVYSSRSKVYVAVDGTTVL EDEAREQGRGIHVIVLNQATGHVMAKRVFDTYSPHEDEAMVLFLNMVAPGRVLICTVKDE GSFHLKDTAKALLRSLGSQAGPALGWRDTWAFVGRKGGPVLGEKHSKSPALSSWGDPVLL KTDVPLSSAEEAECHWADTELNRRRRRFCSKVEGYGSVCSCKDPTPIEFSPDPLPDNKVL NVPVAVIAGNRPNYLYRMLRSLLSAQGVSPQMITVFIDGYYEEPMDVVALFGLRGIQHTP ISIKNARVSQHYKASLTATFNLFPEAKFAVVLEEDLDIAVDFFSFLSQSIHLLEEDDSLY CISAWNDQGYEHTAEDPALLYRVETMPGLGWVLRKSLYKEELEPKWPTPEKLWDWDMWMR MPEQRRGRECIIPDVSRSYHFGIVGLNMNGYFHEAYFKKHKFNTVPGVQLRNVDSLKKEA YEVEIHRLLSEAEVLDHSKDPCEDSFLPDTEGHTYVAFIRMETDDDFATWTQLAKCLHIW DLDVRGNHRGLWRLFRKKNHFLVVGVPASPYSVKKPPSVTPIFLEPPPKEEGAPGAAEQT >ENSMUSP00000102107.1 pep:known chromosome:GRCm38:4:116150518:116159844:1 gene:ENSMUSG00000028700.14 transcript:ENSMUST00000106498.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomgnt1 description:protein O-linked mannose beta 1,2-N-acetylglucosaminyltransferase [Source:MGI Symbol;Acc:MGI:1915523] MDDWKPSPLIKPFGARKKRSWYLTWKYKLTNQRALRRFCQTGAVLFLLVTVIVNIKLILD TRRAISEANEDPEPEQDYDEALGRLESPRRRGSSPRRVLDVEVYSSRSKVYVAVDGTTVL EDEAREQGRGIHVIVLNQATGHVMAKRVFDTYSPHEDEAMVLFLNMVAPGRVLICTVKDE GSFHLKDTAKALLRSLGSQAGPALGWRDTWAFVGRKGGPVLGEKHSKSPALSSWGDPVLL KTDVPLSSAEEAECHWADTELNRRRRRFCSKVEGYGSVCSCKDPTPIEFSPDPLPDNKVL NVPVAVIAGNRPNYLYRMLRSLLSAQGVSPQMITVFIDGYYEEPMDVVALFGLRGIQHTP ISIKNARVSQHYKASLTATFNLFPEAKFAVVLEEDLDIAVDFFSFLSQSIHLLEEDDSLY CISAWNDQGYEHTAEDPALLYRVETMPGLGWVLRKSLYKEELEPKWPTPEKLWDWDMWMR MPEQRRGRECIIPDVSRSYHFGIVGLNMNGYFHEAYFKKHKFNTVPGVQLRNVDSLKKEA YEVEIHRLLSEAEVLDHSKDPCEDSFLPDTEGHTYVAFIRMETDDDFATWTQLAKCLHIW DLDVRGNHRGLWRLFRKKNHFLVVGVPASPYSVKKPPSVTPIFLEPPPKEEGAPGAAEQT >ENSMUSP00000102105.1 pep:known chromosome:GRCm38:4:116150525:116159844:1 gene:ENSMUSG00000028700.14 transcript:ENSMUST00000106496.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomgnt1 description:protein O-linked mannose beta 1,2-N-acetylglucosaminyltransferase [Source:MGI Symbol;Acc:MGI:1915523] MDDWKPSPLIKPFGARKKRSWYLTWKYKLTNQRALRRFCQTGAVLFLLVTVIVNIKLILD TRRAISEANEDPEPEQDYDEALGRLESPRRRGSSPRRVLDVEVYSSRSKVYVAVDGTTVL EDEAREQGRGIHVIVLNQATGHVMAKRVFDTYSPHEDEAMVLFLNMVAPGRVLICTVKDE GSFHLKDTAKALLRSLGSQAGPALGWRDTWAFVGRKGEAECHWADTELNRRRRRFCSKVE GYGSVCSCKDPTPIEFSPDPLPDNKVLNVPVAVIAGNRPNYLYRMLRSLLSAQGVSPQMI TVFIDGYYEEPMDVVALFGLRGIQHTPISIKNARVSQHYKASLTATFNLFPEAKFAVVLE EDLDIAVDFFSFLSQSIHLLEEDDSLYCISAWNDQGYEHTAEDPALLYRVETMPGLGWVL RKSLYKEELEPKWPTPEKLWDWDMWMRMPEQRRGRECIIPDVSRSYHFGIVGLNMNGYFH EAYFKKHKFNTVPGVQLRNVDSLKKEAYEVEIHRLLSEAEVLDHSKDPCEDSFLPDTEGH TYVAFIRMETDDDFATWTQLAKCLHIWDLDVRGNHRGLWRLFRKKNHFLVVGVPASPYSV KKPPSVTPIFLEPPPKEEGAPGAAEQT >ENSMUSP00000102103.2 pep:known chromosome:GRCm38:4:116151431:116159437:1 gene:ENSMUSG00000028700.14 transcript:ENSMUST00000106494.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomgnt1 description:protein O-linked mannose beta 1,2-N-acetylglucosaminyltransferase [Source:MGI Symbol;Acc:MGI:1915523] MEGTKEVKDSNGKIQDHGTGAVLFLLVTVIVNIKLILDTRRAISEANEDPEPEQDYDEAL GRLESPRRRGSSPRRVLDVEVYSSRSKVYVAVDGTTVLEDEAREQGRGIHVIVLNQATGH VMAKRVFDTYSPHEDEAMVLFLNMVAPGRVLICTVKDEGSFHLKDTAKALLRSLGSQAGP ALGWRDTWAFVGRKGGPVLGEKHSKSPALSSWGDPVLLKTDVPLSSAEEAECHWADTELN RRRRRFCSKVEGYGSVCSCKDPTPIEFSPDPLPDNKVLNVPVAVIAGNRPNYLYRMLRSL LSAQGVSPQMITVFIDGYYEEPMDVVALFGLRGIQHTPISIKNARVSQHYKASLTATFNL FPEAKFAVVLEEDLDIAVDFFSFLSQSIHLLEEDDSLYCISAWNDQGYEHTAEDPALLYR VETMPGLGWVLRKSLYKEELEPKWPTPEKLWDWDMWMRMPEQRRGRECIIPDVSRSYHFG IVGLNMNGYFHEAYFKKHKFNTVPGVQLRNVDSLKKEAYEVEIHRLLSEAEVLDHSKDPC EDSFLPDTEGHTYVAFIRMETDDDFATWTQLAKCLHIWDLDVRGNHRGLWRLFRKKNHFL VVGVPASPYSVKKPPSVTPIFLEPPPKEEGAPGAAEQT >ENSMUSP00000138041.1 pep:known chromosome:GRCm38:14:5484216:5492584:1 gene:ENSMUSG00000094578.8 transcript:ENSMUST00000181603.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3187 description:predicted gene 3187 [Source:MGI Symbol;Acc:MGI:3781366] WLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKRSKI NELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKMTQS IIISMKFSEELLKDNYSYSIKQKHRLREYTQLKEKVRILLNENRKLLVEQAGTQVSHGEE KRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWMKSTECAQEIHHCCLS SLITMAVMGCMLLLYFVSLV >ENSMUSP00000136784.1 pep:known chromosome:GRCm38:14:5484207:5487190:1 gene:ENSMUSG00000094578.8 transcript:ENSMUST00000178429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3187 description:predicted gene 3187 [Source:MGI Symbol;Acc:MGI:3781366] KLFWLLRLCQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLREYTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000028336.6 pep:known chromosome:GRCm38:2:53192088:53219220:1 gene:ENSMUSG00000026960.6 transcript:ENSMUST00000028336.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arl6ip6 description:ADP-ribosylation factor-like 6 interacting protein 6 [Source:MGI Symbol;Acc:MGI:1929507] MSFVESWRFAGARRRRQVTPGPATRPGYSDYTQGDSWGEGEGDEDEGCDQVARDLRAEFS ARASSETKRAPLLPRVGDGSPVLPDKRNGIFPATAAKRTQARRWPIQALSILCSLLFAVL LAFLLAIAYMIVKELHAENLKNEDDIHTGLLGFWSLLIISLTAGLSCCSFSWTVTYFDSF EPGMFPPTPLSPARFKKLTGHSFHMGYSMAILNGIVAALTVAWCLM >ENSMUSP00000028034.8 pep:known chromosome:GRCm38:2:14995131:15049280:-1 gene:ENSMUSG00000026707.15 transcript:ENSMUST00000028034.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun6 description:NOL1/NOP2/Sun domain family member 6 [Source:MGI Symbol;Acc:MGI:1921705] MYVFPKISLRPEVENYLKESFLNEEAVSASSRQEAERKFETLLLRLSHPPSMTTVRVNTH LGSVQHVRGLLLEELQKQFGESSIPVVQHPALPDVLLIPMTGPRKNIERQQGEVIVGAQC GNAVLRGAHVYVPGIVSASKFMKAGDVISVYSDINGKCKKGAKEFDGTKVFLGNGISELS RKDIFNGLPDLKGIGIRMTEPIYLSPSFDNVLPSYIFLQNLPSTVVAHVLDPQPGEKILD MCAAPGGKTTHTAALMQDKGEVIALDKILTKVNKLKQNASLLGLHSIRAFCFDATKALKL DTTDGIEGGPPFLPESFDRIILDAPCSGMGQRPNMACTWTLKEVTSYQPLQRKLLHVAVQ LLKPGGVLVYSTCTITLAENEEQVAWALRTFPCLQLQPQEPQIGGEGMVGAGLTLEQLKQ LQRFDPSVVPLQNMDTDSLGEARREDMIWLANKDCIGFFIAKFLKCQSTKAKVSQK >ENSMUSP00000141924.1 pep:known chromosome:GRCm38:2:14995131:15054884:-1 gene:ENSMUSG00000026707.15 transcript:ENSMUST00000195749.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun6 description:NOL1/NOP2/Sun domain family member 6 [Source:MGI Symbol;Acc:MGI:1921705] MYVFPKISLRPEVENYLKESFLNEEAVSASSRQEAERKFETLLLRLSHPPSMTTVRVNTH LGSVQHVRGLLLEELQKQFGESSIPVVQHPALPDVLLIPMTGPRKNIERQQGEVIVGAQC GNAVLRGAHVYVPGIVSASKFMKAGDVISVYSDINGKCKKGAKEFDGTKVFLGNGISELS RKDIFNGLPDLKGIGIRMTEPIYLSPSFDNVLPSYIFLQNLPSTVVAHVLDPQPGEKILD MCAAPGGKTTHTAALMQDKGEVIALDKILTKVNKLKQNASLLGLHSIRAFCFDATKALKL DTTDGIEGGPPFLPESFDRIILDAPCSGMGQRPNMACTWTLKEVTSYQPLQRKLLHVAVQ LLKPGGVLVYSTCTITLAENEEQVAWALRTFPCLQLQPQEPQIGGEGMVGAGLTLEQLKQ LQRFDPSVVPLQNMDTDSLGEARREDMIWLANKDCIGFFIAKFLKCQSTKAKVSQK >ENSMUSP00000110363.1 pep:known chromosome:GRCm38:2:14996296:15054873:-1 gene:ENSMUSG00000026707.15 transcript:ENSMUST00000114715.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun6 description:NOL1/NOP2/Sun domain family member 6 [Source:MGI Symbol;Acc:MGI:1921705] MTTVRVNTHLGSVQHVRGLLLEELQKQFGESSIPVVQHPALPDVLLIPMTGPRKNIERQQ GEVIVGAQCGNAVLRGAHVYVPGIVSASKFMKAGDVISVYSDINGKCKKGAKEFDGTKVF LGNGISELSRKDIFNGLPDLKGIGIRMTEPIYLSPSFDNVLPSYIFLQNLPSTVVAHVLD PQPGEKILDMCAAPGGKTTHTAALMQDKGEVIALDKILTKVNKLKQNASLLGLHSIRAFC FDATKALKLDTTDGIEGGPPFLPESFDRIILDAPCSGMGQRPNMACTWTLKEVTSYQPLQ RKLLHVAVQLLKPGGVLVYSTCTITLAENEEQVAWALRTFPCLQLQPQEPQIGGEGMVGA GLTLEQLKQLQRFDPSVVPLQNMDTDSLGEARREDMIWLANKDCIGFFIAKFLKCQSTKA KVSQK >ENSMUSP00000110361.1 pep:known chromosome:GRCm38:2:15036435:15049312:-1 gene:ENSMUSG00000026707.15 transcript:ENSMUST00000114713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun6 description:NOL1/NOP2/Sun domain family member 6 [Source:MGI Symbol;Acc:MGI:1921705] MYVFPKISLRPEVENYLKESFLNEEAVSASSRQEAERKFETLLLRLSHPPSMTTVRVNTH LGSVQHVRGLLLEELQKQFGESSIPVVQHPALPDVLLIPMTGPSYESWRCYFRVF >ENSMUSP00000075766.2 pep:known chromosome:GRCm38:2:14995131:15054872:-1 gene:ENSMUSG00000026707.15 transcript:ENSMUST00000076435.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nsun6 description:NOL1/NOP2/Sun domain family member 6 [Source:MGI Symbol;Acc:MGI:1921705] MYVFPKISLRPEVENYLKESFLNEEAVSASSRQEAERKFETLLLRLSHPPSMTTVRVNTH LGSVQHVRGLLLEELQKQFGESSIPVVQHPALPDVLLIPMTGPRKNIERQQGEVIVGAQC GNAVLRGAHVYVPGIVSASKFMKAGDVISVYSDINGKCKKGAKEFDGTKVFLGNGISELS RKDIFNGLPDLKGIGIRMTEPIYLSPSFDNVLPSYIFLQNLPSTVVAHVLDPQPGEKILD MCAAPGGKTTHTAALMQDKGEVIALDKILTKVNKLKQNASLLGLHSIRAFCFDATKALKL DTTDGIEGGPPFLPESFDRIILDAPCSGMGQRPNMACTWTLKEVTSYQPLQRKLLHVAVQ LLKPGGVLVYSTCTITLAENEEQVAWALRTFPCLQLQPQEPQIGGEGMVGAGLTLEQLKQ LQRFDPSVVPLQNMDTDSLGEARREDMIWLANKDCIGFFIAKFLKCQSTKAKVSQK >ENSMUSP00000020608.2 pep:known chromosome:GRCm38:11:52098681:52127778:1 gene:ENSMUSG00000020349.4 transcript:ENSMUST00000020608.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2ca description:protein phosphatase 2 (formerly 2A), catalytic subunit, alpha isoform [Source:MGI Symbol;Acc:MGI:1321159] MDEKLFTKELDQWIEQLNECKQLSESQVKSLCEKAKEILTKESNVQEVRCPVTVCGDVHG QFHDLMELFRIGGKSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRERITILRGNHES RQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVDGQIFCLHGGLSPSIDTLDHI RALDRLQEVPHEGPMCDLLWSDPDDRGGWGISPRGAGYTFGQDISETFNHANGLTLVSRA HQLVMEGYNWCHDRNVVTIFSAPNYCYRCGNQAAIMELDDTLKYSFLQFDPAPRRGEPHV TRRTPDYFL >ENSMUSP00000057065.7 pep:known chromosome:GRCm38:17:33638056:33645706:1 gene:ENSMUSG00000032739.16 transcript:ENSMUST00000052079.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pram1 description:PML-RAR alpha-regulated adaptor molecule 1 [Source:MGI Symbol;Acc:MGI:3576625] MGSNQDFRNLQAKFQTSQPELGELFRKTPKPELNKVLKKFPQTELSEQPKKSSQSELSAV SLKPLQLQFADLPRKPPQPGVLKKSPQPEFPHLANKPVQAEFPRKPLHPEFTGLKKPSQA EFTDLKKPPQPQFASLPKKPPKPEFGELSKRPPQLETPQEPSAPPAQKLLKPEPNNPARP LGELKPKMFWHLEANEAPKRPLPSESSTFPKKPLQPEAVVGFSRKSQPQSESIEVSQTSP SKCGSRELDSHSPQPDISTFPKNNENFRKPSYPQATGCPKSPKQPMFYEFPQTPPRKPES CNPQSHSPLPDFNAFPKKHPQLQPSDLTRASSEPEVCKVPKKTQKPDPNVLSQKPSQPEL GHLPRTSSDPEFNSLPRKFLQPQHGKFFQPEFPKGLPRKPKLPGSVSECSLPSASAGSSP QCPLSPGLIVPGIPRWRSEDFQVQRPPRRRPLPSASSLGHPPAKPALPPGPINIQSFRRA AATAAAVLKTGSSTGTHFQAQQPQHIAQNPDEIYELYDAVEATDDSSISPRGRDEMLSTQ QATRWPQQEPELRKKATQPQQLPATDPKLLKQIRKAEKAEREFRKKFKFEGEIVIHTKMM IDPNAKTRRGGGKHLGIRRGEILEVIEFTSKDEMLCRDPKGKYGYVPRTALLPLETEVYD DVSFGDPLDMQPFPR >ENSMUSP00000112318.2 pep:known chromosome:GRCm38:17:33638095:33645700:1 gene:ENSMUSG00000032739.16 transcript:ENSMUST00000116619.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pram1 description:PML-RAR alpha-regulated adaptor molecule 1 [Source:MGI Symbol;Acc:MGI:3576625] MVSPQAPIGSSFRGAIRTSETSKLSSKPLSQNLENCSEKPQSLS >ENSMUSP00000047356.4 pep:known chromosome:GRCm38:11:58199556:58207591:1 gene:ENSMUSG00000078853.8 transcript:ENSMUST00000035266.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igtp description:interferon gamma induced GTPase [Source:MGI Symbol;Acc:MGI:107729] MDLVTKLPQNIWKTFTLFINMANYLKRLISPWSKSMTAGESLYSSQNSSSPEVIEDIGKA VTEGNLQKVIGIVKDEIQSKSRYRVKIAVTGDSGNGMSSFINALRFIGHEEEDSAPTGVV RTTKKPACYSSDSHFPYVELWDLPGLGATAQSVESYLEEMQISTFDLIIIVASEQFSSNH VKLAITMQRMRKRFYVVWTKLDRDLSTSTFPEPQLLQSIQRNIRENLQQAQVRDPPLFLI SCFSPSFHDFPELRNTLQKDIFSIRYRDPLEIISQVCDKCISNKAFSLKEDQMLMKDLEA AVSSEDDTANLERGLQTYQKLFGVDDGSLQQVARSTGRLEMGSRALQFQDLIKMDRRLEL MMCFAVNKFLRLLESSWWYGLWNVVTRYFRHQRHKLVIEIVAENTKTSLRKALKDSVLPP EIH >ENSMUSP00000091721.4 pep:known chromosome:GRCm38:11:58199586:58207565:1 gene:ENSMUSG00000078853.8 transcript:ENSMUST00000094169.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igtp description:interferon gamma induced GTPase [Source:MGI Symbol;Acc:MGI:107729] MDLVTKLPQNIWKTFTLFINMANYLKRLISPWSKSMTAGSLDMRRRIQLPLGW >ENSMUSP00000130878.1 pep:known chromosome:GRCm38:11:58199588:58207575:1 gene:ENSMUSG00000078853.8 transcript:ENSMUST00000168280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igtp description:interferon gamma induced GTPase [Source:MGI Symbol;Acc:MGI:107729] MDLVTKLPQNIWKTFTLFINMANYLKRLISPWSKSMTAGESLYSSQNSSSPEVIEDIGSL DMRRRIQLPLGW >ENSMUSP00000146805.1 pep:known chromosome:GRCm38:11:58215008:58222782:1 gene:ENSMUSG00000069874.7 transcript:ENSMUST00000209079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irgm2 description:immunity-related GTPase family M member 2 [Source:MGI Symbol;Acc:MGI:1926262] MPTSRVAPLLDNMEEAVESPEVKEFEYFSDAVFIPKDGNTLSVGVIKRIETAVKEGEVVK VVSIVKEIIQNVSRNKIKIAVTGDSGNGMSSFINALRLIGHEEKDSAPTGVVRTTQKPTC YFSSHFPYVELWDLPGLGATAQSVESYLEEMQISIYDLIIIVASEQFSLNHVKLAITMQR MRKRFYVVWTKLDRDLSTSTFPEPQLLQSIQRNIRDSLQKEKVKEHPMFLVSVFKPESHD FPKLRETLQKDLPVIKYHGLVETLYQVCEKTVNERVESIKKSIDEDNLHTEFGISDPGNA IEIRKAFQKTFGLDDISLHLVALEMKNKHFNTSMESQETQRYQQDDWVLARLYRTGTRVG SIGFDYMKCCFTSHHSRCKQQKDILDETAAKAKEVLLKILRLSIPHP >ENSMUSP00000104464.1 pep:known chromosome:GRCm38:11:58215028:58222779:1 gene:ENSMUSG00000069874.7 transcript:ENSMUST00000108836.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irgm2 description:immunity-related GTPase family M member 2 [Source:MGI Symbol;Acc:MGI:1926262] MEEAVESPEVKEFEYFSDAVFIPKDGNTLSVGVIKRIETAVKEGEVVKVVSIVKEIIQNV SRNKIKIAVTGDSGNGMSSFINALRLIGHEEKDSAPTGVVRTTQKPTCYFSSHFPYVELW DLPGLGATAQSVESYLEEMQISIYDLIIIVASEQFSLNHVKLAITMQRMRKRFYVVWTKL DRDLSTSTFPEPQLLQSIQRNIRDSLQKEKVKEHPMFLVSVFKPESHDFPKLRETLQKDL PVIKYHGLVETLYQVCEKTVNERVESIKKSIDEDNLHTEFGISDPGNAIEIRKAFQKTFG LDDISLHLVALEMKNKHFNTSMESQETQRYQQDDWVLARLYRTGTRVGSIGFDYMKCCFT SHHSRCKQQKDILDETAAKAKEVLLKILRLSIPHP >ENSMUSP00000056001.8 pep:known chromosome:GRCm38:11:58199618:58222771:1 gene:ENSMUSG00000069874.7 transcript:ENSMUST00000058704.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irgm2 description:immunity-related GTPase family M member 2 [Source:MGI Symbol;Acc:MGI:1926262] MEEAVESPEVKEFEYFSDAVFIPKDGNTLSVGVIKRIETAVKEGEVVKVVSIVKEIIQNV SRNKIKIAVTGDSGNGMSSFINALRLIGHEEKDSAPTGVVRTTQKPTCYFSSHFPYVELW DLPGLGATAQSVESYLEEMQISIYDLIIIVASEQFSLNHVKLAITMQRMRKRFYVVWTKL DRDLSTSTFPEPQLLQSIQRNIRDSLQKEKVKEHPMFLVSVFKPESHDFPKLRETLQKDL PVIKYHGLVETLYQVCEKTVNERVESIKKSIDEDNLHTEFGISDPGNAIEIRKAFQKTFG LDDISLHLVALEMKNKHFNTSMESQETQRYQQDDWVLARLYRTGTRVGSIGFDYMKCCFT SHHSRCKQQKDILDETAAKAKEVLLKILRLSIPHP >ENSMUSP00000029326.5 pep:known chromosome:GRCm38:3:60081869:60087566:1 gene:ENSMUSG00000027762.6 transcript:ENSMUST00000029326.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sucnr1 description:succinate receptor 1 [Source:MGI Symbol;Acc:MGI:1934135] MAQNLSCENWLATEAILNKYYLSAFYAIEFIFGLLGNVTVVFGYLFCMKNWNSSNVYLFN LSISDFAFLCTLPILIKSYANDKGTYGDVLCISNRYVLHTNLYTSILFLTFISMDRYLLM KYPFREHFLQKKEFAILISLAVWALVTLEVLPMLTFINSVPKEEGSNCIDYASSGNPEHN LIYSLCLTLLGFLIPLSVMCFFYYKMVVFLKRRSQQQATALPLDKPQRLVVLAVVIFSIL FTPYHIMRNLRIASRLDSWPQGCTQKAIKSIYTLTRPLAFLNSAINPIFYFLMGDHYREM LISKFRQYFKSLTSFRT >ENSMUSP00000099717.3 pep:known chromosome:GRCm38:11:61505144:61512931:1 gene:ENSMUSG00000001039.12 transcript:ENSMUST00000102657.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B9d1 description:B9 protein domain 1 [Source:MGI Symbol;Acc:MGI:1351471] MAAASPSVFLLMITGQVESAQFPEYDDLYCKYCFVYGQDWAPTAGLEEGISQIASKSQDV RQALVWNFPIDVTFKSTNPYGWPQIVLSVYGPDVFGNDVVRGYGAVHVPLSPGRHKRTIP MFVPESTSTLQKFTSWFMGRRPEYTDPKVVAQGEGREVTRVRSQGFVTLLFNVVTKDMKK LGYDTGPVDTQGVLGPSLPQGNPQ >ENSMUSP00000117524.1 pep:known chromosome:GRCm38:11:61509116:61512931:1 gene:ENSMUSG00000001039.12 transcript:ENSMUST00000127889.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B9d1 description:B9 protein domain 1 [Source:MGI Symbol;Acc:MGI:1351471] NDVVRGYGAVHVPLSPGRTSLYENICFRHKRTIPMFVPESTSTLQKFTSWFMGRRPEYTD PKVVAQGEGREVTRVRSQGFVTLLFNVVTKDMKKLGYDTGPVDTQGVLGPSLPQGNPQ >ENSMUSP00000143356.1 pep:known chromosome:GRCm38:5:15471703:15476523:-1 gene:ENSMUSG00000099762.3 transcript:ENSMUST00000197995.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21149 description:predicted gene, 21149 [Source:MGI Symbol;Acc:MGI:5434504] MALFARLCRLFQRANVDRRETREGRKDADLPPDSNEGRRRGTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLMEENLIKKKSMTLQQESKEVQADWA IIHQRLVELNLSGKDEQENSNLETPEYQVSETARELGLATAEEDSILQNELPGQEAPAEH HLQHPQSSSDESSSI >ENSMUSP00000066102.4 pep:known chromosome:GRCm38:4:117834506:117869296:1 gene:ENSMUSG00000028542.17 transcript:ENSMUST00000063857.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a9 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 9 [Source:MGI Symbol;Acc:MGI:95760] MVGKGAKGMLNGAVPSEATKKDQNLTRGNWGNQIEFVLTSVGYAVGLGNVWRFPYLCYRN GGGAFMFPYFIMLIFCGIPLFFMELSFGQFASQGCLGVWRISPMFKGVGYGMMVVSTYIG IYYNVVICIAFYYFFSSMTHVLPWAYCNNPWNTPDCAGVLDASNLTNGSRPAALSGNLSH LFNYTLQRTSPSEEYWRLYVLKLSDDIGNFGEVRLPLLGCLGVSWVVVFLCLIRGVKSSG KVVYFTATFPYVVLTILFVRGVTLEGAFTGIMYYLTPQWDKILEAKVWGDAASQIFYSLG CAWGGLITMASYNKFHNNCYRDSVIISITNCATSVYAGFVIFSILGFMANHLGVDVSRVA DHGPGLAFVAYPEALTLLPISPLWSLLFFFMLILLGLGTQFCLLETLVTAIVDEVGNEWI LQKKTYVTLGVAVAGFLLGIPLTSQAGIYWLLLMDNYAASFSLVVISCIMCVSIMYIYGH RNYFQDIQMMLGFPPPLFFQICWRFVSPAIIFFILIFTVIQYRPITYNHYQYPGWAVAIG FLMALSSVICIPLYALFQLCRTDGDTLLQRLKNATKPSRDWGPALLEHRTGRYAPTTTPS PEDGFEVQPLHPDKAQIPIVGSNGSSRFQDSRI >ENSMUSP00000118372.1 pep:known chromosome:GRCm38:4:117834995:117843109:1 gene:ENSMUSG00000028542.17 transcript:ENSMUST00000151316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a9 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 9 [Source:MGI Symbol;Acc:MGI:95760] M >ENSMUSP00000030269.7 pep:known chromosome:GRCm38:4:117835051:117869305:1 gene:ENSMUSG00000028542.17 transcript:ENSMUST00000030269.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a9 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 9 [Source:MGI Symbol;Acc:MGI:95760] MVGKGAKGMLNGAVPSEATKKDQNLTRGNWGNQIEFVLTSVGYAVGLGNVWRFPYLCYRN GGGAFMFPYFIMLIFCGIPLFFMELSFGQFASQGCLGVWRISPMFKGVGYGMMVVSTYIG IYYNVVICIAFYYFFSSMTHVLPWAYCNNPWNTPDCAGVLDASNLTNGSRPAALSGNLSH LFNYTLQRTSPSEEYWRLYVLKLSDDIGNFGEVRLPLLGCLGVSWVVVFLCLIRGVKSSG KVVYFTATFPYVVLTILFVRGVTLEGAFTGIMYYLTPQWDKILEAKVWGDAASQIFYSLG CAWGGLITMASYNKFHNNCYRDSVIISITNCATSVYAGFVIFSILGFMANHLGVDVSRVA DHGPGLAFVAYPEALTLLPISPLWSLLFFFMLILLGLGTQFCLLETLVTAIVDEVGNEWI LQKKTYVTLGVAVAGFLLGIPLTSQAGIYWLLLMDNYAASFSLVVISCIMCVSIMYIYGH RNYFQDIQMMLGFPPPLFFQICWRFVSPAIIFFILIFTVIQYRPITYNHYQYPGWAVAIG FLMALSSVICIPLYALFQLCRTDGDTLLQRLKNATKPSRDWGPALLEHRTGRYAPTTTPS PEDGFEVQPLHPDKAQIPIVGSNGSSRFQDSRI >ENSMUSP00000129359.1 pep:known chromosome:GRCm38:4:117835333:117875196:1 gene:ENSMUSG00000028542.17 transcript:ENSMUST00000149168.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a9 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 9 [Source:MGI Symbol;Acc:MGI:95760] MVGKGAKGMLNGAVPSEATKKDQNLTRGNWGNQIESLHVPLLHHADLLRDSSLLHGAFLR PVCKPGLPGGLADQPHVQRRGLWYDGGVHIHWHLLQRGHLHRLLLLLLVHDARAALGVLQ QPLEHT >ENSMUSP00000127093.1 pep:known chromosome:GRCm38:4:117835397:117868337:1 gene:ENSMUSG00000028542.17 transcript:ENSMUST00000169885.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a9 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 9 [Source:MGI Symbol;Acc:MGI:95760] MVVSTYIGIYYNVVICIAFYYFFSSMTHVLPWAYCNNPWNTPDCAGVLDASNLTNGSRPA ALSGNLSHLFNYTLQRTSPSEEYWRLYVLKLSDDIGNFGEVRLPLLGCLGVSWVVVFLCL IRGVKSSGKVVYFTATFPYVVLTILFVRGVTLEGAFTGIMYYLTPQWDKILEAKVWGDAA SQIFYSLGCAWGGLITMASYNKFHNNCYRDSVIISITNCATSVYAGFVIFSILGFMANHL GVDVSRVADHGPGLAFVAYPEALTLLPISPLWSLLFFFMLILLGLGTQFCLLETLVTAIV DEVGNEWILQKKTYVTLGVAVAGFLLGIPLTSQAGIYWLLLMDNYAASFSLVVISCIMCV SIMYIYGHRNYFQDIQMMLGFPPPLFFQICWRFVSPAIIFFILIFTVIQYRPITYNHYQY PGWAVAIGFLMALSSVICIPLYALFQLCRTDGDTLLQRLKNATKPSRDWGPALLEHRTGR YAPTTTPSPEDGFEVQPLHPDKAQIPIVGSNGSSRFQDSRI >ENSMUSP00000122676.2 pep:known chromosome:GRCm38:4:117849193:117864004:1 gene:ENSMUSG00000028542.17 transcript:ENSMUST00000132043.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a9 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 9 [Source:MGI Symbol;Acc:MGI:95760] MASAQGPVATPSPEQNGAVPSEATKKDQNLTRGNWGNQIEFVLTSVGYAVGLGNVWRFPY LCYRNGGGAFMFPYFIMLIFCGIPLFFMELSFGQFASQGCLGVWRISPMFKGVGYGMMVV STYIGIYYNVVICIAFYYFFSSMTHVLPWAYCNNPWNTPDCAGVLDASNLTNGSRPAALS GNLSHLFNYTLQRTSPSEEYWRLYVLKLSDDIGNFGEVRLPLLGCLGVSWVVVFLCLIRG VKSSGKVVYFTATFPYVVLTILFVRGVTLEGAFTGIMYYLTPQWDKILEAKVWGDAASQI FYSLGCAWGGLITMASYNKFH >ENSMUSP00000127203.1 pep:known chromosome:GRCm38:4:117849199:117864004:1 gene:ENSMUSG00000028542.17 transcript:ENSMUST00000169990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a9 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 9 [Source:MGI Symbol;Acc:MGI:95760] MASAQGPVATPSPEQGFICRPPSHSVTPFPGTTSVSFSQACPQSLARCPQLWSPVLTHSP ASPAMAQNGAVPSEATKKDQNLTRGNWGNQIEFVLTSVGYAVGLGNVWRFPYLCYRNGGG AFMFPYFIMLIFCGIPLFFMELSFGQFASQGCLGVWRISPMFKGVGYGMMVVSTYIGIYY NVVICIAFYYFFSSMTHVLPWAYCNNPWNTPDCAGVLDASNLTNGSRPAALSGNLSHLFN YTLQRTSPSEEYWRLYVLKLSDDIGNFGEVRLPLLGCLGVSWVVVFLCLIRGVKSSGKVV YFTATFPYVVLTILFVRGVTLEGAFTGIMYYLTPQWDKILEAKVWGDAASQIFYSLGCAW GGLITMASYNKFH >ENSMUSP00000127289.1 pep:known chromosome:GRCm38:4:117849370:117868425:1 gene:ENSMUSG00000028542.17 transcript:ENSMUST00000163288.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a9 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 9 [Source:MGI Symbol;Acc:MGI:95760] MIGGDTRAASAHPGMASAQGPVATPSPEQNGAVPSEATKKDQNLTRGNWGNQIEFVLTSV GYAVGLGAFMFPYFIMLIFCGIPLFFMELSFGQFASQGCLGVWRISPMFKGVGYGMMVVS TYIGIYYNVVICIAFYYFFSSMTHVLPWAYCNNPWNTPDCAGVLDASNLTNGSRPAALSG NLSHLFNYTLQRTSPSEEYWRLYVLKLSDDIGNFGEVRLPLLGCLGVSWVVVFLCLIRGV KSSGKVVYFTATFPYVVLTILFVRGVTLEGAFTGIMYYLTPQWDKILEAKVWGDAASQIF YSLGCAWGGLITMASYNKFHNNCYRDSVIISITNCATSVYAGFVIFSILGFMANHLGVDV SRVADHGPGLAFVAYPEALTLLPISPLWSLLFFFMLILLGLGTQFCLLETLVTAIVDEVG NEWILQKKTYVTLGVAVAGFLLGIPLTSQAGIYWLLLMDNYAASFSLVVISCIMCVSIMY IYGHRNYFQDIQMMLGFPPPLFFQICWRFVSPAIIFFILIFTVIQYRPITYNHYQYPGWA VAIGFLMALSSVICIPLYALFQLCRTDGDTLLQRLKNATKPSRDWGPALLEHRTGRYAPT TTPSPEDGFEVQPLHPDKAQIPIVGSNGSSRFQDSRI >ENSMUSP00000127806.1 pep:known chromosome:GRCm38:4:117855492:117857427:1 gene:ENSMUSG00000028542.17 transcript:ENSMUST00000123994.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a9 description:solute carrier family 6 (neurotransmitter transporter, glycine), member 9 [Source:MGI Symbol;Acc:MGI:95760] MFPYFIMLIFCGIPLFFMELSFGQFASQGCLGVWRISPMFKGVGYGMMVVSTYIGIYYNV VICIAFYYFFSSMTHVLPWAYCNNPWNTPDCAGVLDASNLTNGSRPAALSGNLSHL >ENSMUSP00000075762.6 pep:known chromosome:GRCm38:2:26389339:26397122:1 gene:ENSMUSG00000026926.14 transcript:ENSMUST00000076431.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmpca description:peptidase (mitochondrial processing) alpha [Source:MGI Symbol;Acc:MGI:1918568] MATAVWAAARLLRGSAVLCARPRFGSPAHRRFSSGATYPNIPLSSPLPGVPKPIFATVDG QEKFETKVTTLDNGLRVASQNKFGQFCTVGILINSGSRYEAKYLSGIAHFLEKLAFSSTA RFDSKDEILLTLEKHGGICDCQTSRDTTMYAVSADSKGLDTVVDLLADVVLHPRLTDEEI EMTRMAVQFELEDLNMRPDPEPLLTEMIHEAAFRENTVGLHRFCPVENIAKIDREVLHSY LKNYYTPDRMVLAGVGVEHEHLVECARKYLVGAEPAWGAPGTVDVDRSVAQYTGGIIKVE RDMSNVSLGPTPIPELTHIMVGLESCSFLEDDFIPFAVLNMMMGGGGSFSAGGPGKGMFS RLYLNVLNRHHWMYNATSYHHSYEDTGLLCIHASADPRQVREMVEIITKEFILMGRTVDL VELERAKTQLMSMLMMNLESRPVIFEDVGRQVLATHSRKLPHELCTLIRNVKPEDIKRVA SKMLRGKPAVAALGDLTDLPTYEHIQAALSSRNGHLPRSYRLFR >ENSMUSP00000109727.1 pep:known chromosome:GRCm38:2:26389348:26393941:1 gene:ENSMUSG00000026926.14 transcript:ENSMUST00000114093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pmpca description:peptidase (mitochondrial processing) alpha [Source:MGI Symbol;Acc:MGI:1918568] MATAVWAAARLLRGSAVLCARPRFGSPAHRRFSSGATYPNIPLSSPLPGVPKPIFATVDG QEKFETKVTTLDNGLRVASQNKFGQFCTVGILINSGSRYEAKYLSGIAHFLEKLAFSSTA RFDSKDEILLTLEKHGGICDCQTSRDTTMYAVSADSKGLDTVVDLLADVVLHPRLTDEEI EMTRMAVQFELEDLNMRPDPEPLLTEMIHEAAFRENTVGLHRFCPVENIAKIDREVLHSY LKNYYTPDRMVLAGVGVEHEHLVECARKYLVGAEPAWGAPGTVDVDRSVAQYTGGIIKVE RDMSNVSLGPTPIPELTHIMVGLESCSFLEDDFIPFAVLNMMMGGGGSFSAGGPGKGMFS RLYLNVLNRHHWMYNATSYHHSYEDTGLLCIHASADPRQVREMVEIITKEFILMGRTVDL VRPGASCAPDVASHSAERATG >ENSMUSP00000022023.6 pep:known chromosome:GRCm38:13:56773113:56895737:-1 gene:ENSMUSG00000021541.13 transcript:ENSMUST00000022023.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc7 description:transient receptor potential cation channel, subfamily C, member 7 [Source:MGI Symbol;Acc:MGI:1349470] MLGSNTFKNMQRRHTTLREKGRRQAIRGPAYMFNEKGTSLTPEEERFLDSAEYGNIPVVR KMLEESKTLNFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDALLLAISKGYVR IVEAILSHPAFAQGQRLTLSPLEQELRDDDFYAYDEDGTRFSHDITPIILAAHCQEYEIV HILLLKGARIERPHDYFCKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVL TALELSNELARLANIETEFKNDYRKLSMQCKDFVVGVLDLCRDTEEVEAILNGDVNLQVW SDHHRPSLSRIKLAIKYEVKKFVAHPNCQQQLLTMWYENLSGLRQQSIAVKFLAVFGVSI GLPFLAIAYWIAPCSKLGQTLRSPFMKFVAHAVSFTIFLGLLVVNASDRFEGVKTLPNET FTDYPKQIFRVKTTQFSWTEMLIMKWVLGMIWSECKEIWEEGPREYVLHLWNLLDFGMLS IFVASFTARFMAFLKASEAQLYVDQYVQDVTLHNVSLPPEVAYFTYARDKWWPSDPQIIS EGLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVIFIMVFVAFMIGMFNL YSYYRGAKYNPAFTTVEESFKTLFWSIFGLSEVISVVLKYDHKFIENIGYVLYGVYNVTM VVVLLNMLIAMINNSYQEIEEDADVEWKFARAKLWLSYFDEGRTLPAPFNLVPSPKSFYY LIMRIKMCLIELCQSKAKRCENDLEMGMLNSKFRKTRYQAGMRNSENLTANSTFSKPTRY QKIMKRLIKRYVLKAQVDRENDEVNEGELKEIKQDISSLRYELLEEKSQATGELADLIQQ LSEKFGKNLNKDHLRVNQGKDI >ENSMUSP00000105497.1 pep:known chromosome:GRCm38:13:56773113:56895776:-1 gene:ENSMUSG00000021541.13 transcript:ENSMUST00000109871.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc7 description:transient receptor potential cation channel, subfamily C, member 7 [Source:MGI Symbol;Acc:MGI:1349470] MLGSNTFKNMQRRHTTLREKGRRQAIRGPAYMFNEKGTSLTPEEERFLDSAEYGNIPVVR KMLEESKTLNFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDALLLAISKGYVR IVEAILSHPAFAQGQRLTLSPLEQELRDDDFYAYDEDGTRFSHDITPIILAAHCQEYEIV HILLLKGARIERPHDYFCKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVL TALELSNELARLANIETEFKNDYRKLSMQCKDFVVGVLDLCRDTEEVEAILNGDVNLQVW SDHHRPSLSRIKLAIKYEVKKFVAHPNCQQQLLTMWYENLSGLRQQSIAVKFLAVFGVSI GLPFLAIAYWIAPCSKLGQTLRSPFMKFVAHAVSFTIFLGLLVVNASDRFEGVKTLPNET FTDYPKQIFRVKTTQFSWTEMLIMKWVLGMIWSECKEIWEEGPREYVLHLWNLLDFGMLS IFVASFTARFMAFLKASEAQLYVDQYVQDVTLHNVSLPPEVAYFTYARDKWWPSDPQIIS EGLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVIFIMVFVAFMIGMFNL YSYYRGAKYNPAFTTVEESFKTLFWSIFGLSEVISVVLKYDHKFIENIGYVLYGVYNVTM VVVLLNMLIAMINNSYQEIEEDADVEWKFARAKLWLSYFDEGRTLPAPFNLVPSPKSFYY LIMRIKMCLIELCQSKAKRCENDLEMGMLNSKFRTRYQAGMRNSENLTANSTFSKPTRYQ KIMKRLIKRYVLKAQVDRENDEVNEGELKEIKQDISSLRYELLEEKSQATGELADLIQQL SEKFGKNLNKDHLRVNQGKDI >ENSMUSP00000119809.1 pep:known chromosome:GRCm38:13:56773270:56895789:-1 gene:ENSMUSG00000021541.13 transcript:ENSMUST00000151918.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trpc7 description:transient receptor potential cation channel, subfamily C, member 7 [Source:MGI Symbol;Acc:MGI:1349470] MLGSNTFKNMQRRHTTLREKGRRQAIRGPAYMFNEKGTSLTPEEERFLDSAEYGNIPVVR KMLEESKTLNFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDALLLAISKGYVR IVEAILSHPAFAQGQRLTLSPLEQELRDDDFYAYDEDGTRFSHDITPIILAAHCQEYEIV HILLLKGARIERPHDYFCKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVL TALELSNELARLANIETEFKNDYRKLSMQCKDFVVGVLDLCRDTEEVEAILNGDVNLQVW SDHHRPSLSRIKLAIKYEVKKFVAHPNCQQQLLTMWYENLSGLRQQSIAVKFLAVFGVSI GLPFLAIAYWIAPCSKFHIAGTPNRLMACTMIPCGSFMNPCIHTCQESAKGLERWRSART NPEEPFHEVCGPCSVFYHLLGTASCERLRPV >ENSMUSP00000133305.1 pep:known chromosome:GRCm38:13:56773764:56895494:-1 gene:ENSMUSG00000021541.13 transcript:ENSMUST00000174457.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc7 description:transient receptor potential cation channel, subfamily C, member 7 [Source:MGI Symbol;Acc:MGI:1349470] MLGSNTFKNMQRRHTTLREKGRRQAIRGPAYMFNEKGTSLTPEEERFLDSAEYGNIPVVR KMLEESKTLNFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDALLLAISKGYVR IVEAILSHPAFAQGQRLTLSPLEQELRDDDFYAYDEDGTRFSHDITPIILAAHCQEYEIV HILLLKGARIERPHDYFCKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVL TALELSNELARLANIETEFKNDYRKLSMQCKDFVVGVLDLCRDTEEVEAILNGDVNLQVW SDHHRPSLSRIKLAIKYEVKKLGQTLRSPFMKFVAHAVSFTIFLGLLVVNASDRFEGVKT LPNETFTDYPKQIFRVKTTQFSWTEMLIMKWVLGMIWSECKEIWEEGPREYVLHLWNLLD FGMLSIFVASFTARFMAFLKASEAQLYVDQYVQDVTLHNVSLPPEVAYFTYARDKWWPSD PQIISEGLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVIFIMVFVAFMI GMFNLYSYYRGAKYNPAFTTVEESFKTLFWSIFGLSEVISVVLKYDHKFIENIGYVLYGV YNVTMVVVLLNMLIAMINNSYQEIEEDADVEWKFARAKLWLSYFDEGRTLPAPFNLVPSP KSFYYLIMRIKMCLIELCQSKAKRCENDLEMGMLNSKFRKTRYQAGMRNSENLTANSTFS KPTRYQKIMKRLIKRYVLKAQVDRENDEVNEGELKEIKQDISSLRYELLEEKSQATGELA DLIQQLSEKFGKNLNKDHLRVNQGKDI >ENSMUSP00000134481.1 pep:known chromosome:GRCm38:13:56773764:56895494:-1 gene:ENSMUSG00000021541.13 transcript:ENSMUST00000173067.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trpc7 description:transient receptor potential cation channel, subfamily C, member 7 [Source:MGI Symbol;Acc:MGI:1349470] MLGSNTFKNMQRRHTTLREKGRRQAIRGPAYMFNEKGTSLTPEEERFLDSAEYGNIPVVR KMLEESKTLNFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDALLLAISKGYVR IVEAILSHPAFAQGQRLTLSPLEQELRDDDFYAYDEDGTRFSHDITPIILAAHCQEYEIV HILLLKGARIERPHDYFCKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVL TALELSNELARLANIETEFKE >ENSMUSP00000133411.1 pep:known chromosome:GRCm38:13:56773764:56895494:-1 gene:ENSMUSG00000021541.13 transcript:ENSMUST00000173817.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc7 description:transient receptor potential cation channel, subfamily C, member 7 [Source:MGI Symbol;Acc:MGI:1349470] MLGSNTFKNMQRRHTTLREKGRRQAIRGPAYMFNEKGTSLTPEEERFLDSAEYGNIPVVR KMLEESKTLNFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDALLLAISKGYVR IVEAILSHPAFAQGQRLTLSPLEQELRDDDFYAYDEDGTRFSHDITPIILAAHCQEYEIV HILLLKGARIERPHDYFCKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVL TALELSNELARLANIETEFKFVAHPNCQQQLLTMWYENLSGLRQQSIAVKFLAVFGVSIG LPFLAIAYWIAPCSKLGQTLRSPFMKFVAHAVSFTIFLGLLVVNASDRFEGVKTLPNETF TDYPKQIFRVKTTQFSWTEMLIMKWVLGMIWSECKEIWEEGPREYVLHLWNLLDFGMLSI FVASFTARFMAFLKASEAQLYVDQYVQDVTLHNVSLPPEVAYFTYARDKWWPSDPQIISE GLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVIFIMVFVAFMIGMFNLY SYYRGAKYNPAFTTVEESFKTLFWSIFGLSEVISVVLKYDHKFIENIGYVLYGVYNVTMV VVLLNMLIAMINNSYQEIEEDADVEWKFARAKLWLSYFDEGRTLPAPFNLVPSPKSFYYL IMRIKMCLIELCQSKAKRCENDLEMGMLNSKFRKTRYQAGMRNSENLTANSTFSKPTRYQ KIMKRLIKRYVLKAQVDRENDEVNEGELKEIKQDISSLRYELLEEKSQATGELADLIQQL SEKFGKNLNKDHLRVNQGKDI >ENSMUSP00000134662.1 pep:known chromosome:GRCm38:13:56773764:56895494:-1 gene:ENSMUSG00000021541.13 transcript:ENSMUST00000173513.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trpc7 description:transient receptor potential cation channel, subfamily C, member 7 [Source:MGI Symbol;Acc:MGI:1349470] MLGSNTFKNMQRRHTTLREKGRRQAIRGPAYMFNEKGTSLTPEEERFLDSAEYGNIPVVR KMLEESKTLNFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDALLLAISKGYVR IVEAILSHPAFAQGQRLTLSPLEQELRDDDFYAYDEDGTRFSHDITPIILAAHCQEYEIV HILLLKGARIERPHDYFCKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVL TALELSNELARLANIETEFKLGQTLRSPFMKFVAHAVSFTIFLGLLVVNASDRFEGVKTL PNETFTDYPKQIFRVKTTQFSWTEMLIMKWVLGMIWSECKEIWEEGPREYVLHLWNLLDF GMLSIFVASFTARFMAFLKASEAQLYVDQYVQDVTLHNVSLPPEVAYFTYARDKWWPSDP QIISEGLYAIAVVLSFSRIAYILPANESFGPLQISLGRTVKDIFKFMVIFIMVFVAFMIG MFNLYSYYRGAKYNPAFTTVEESFKTLFWSIFGLSEVISVVLKYDHKFIENIGYVLYGVY NVTMVVVLLNMLIAMINNSYQEIEEDADVEWKFARAKLWLSYFDEGRTLPAPFNLVPSPK SFYYLIMRIKMCLIELCQSKAKRCENDLEMGMLNSKFRKTRYQAGMRNSENLTANSTFSK PTRYQKIMKRLIKRYVLKAQVDRENDEVNEGELKEIKQDISSLRYELLEEKSQATGELAD LIQQLSEKFGKNLNKDHLRVNQGKDI >ENSMUSP00000134285.1 pep:known chromosome:GRCm38:13:56773764:56895494:-1 gene:ENSMUSG00000021541.13 transcript:ENSMUST00000173466.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Trpc7 description:transient receptor potential cation channel, subfamily C, member 7 [Source:MGI Symbol;Acc:MGI:1349470] MLGSNTFKNMQRRHTTLREKGRRQAIRGPAYMFNEKGTSLTPEEERFLDSAEYGNIPVVR KMLEESKTLNFNCVDYMGQNALQLAVGNEHLEVTELLLKKENLARVGDALLLAISKGYVR IVEAILSHPAFAQGQRLTLSPLEQELRDDDFYAYDEDGTRFSHDITPIILAAHCQEYEIV HILLLKGARIERPHDYFCKCNECTEKQRKDSFSHSRSRMNAYKGLASAAYLSLSSEDPVL TALELSNELARLANIETEFKNDYRKLSMQCKDFVVGVLDLCRDTEEVEAILNGDVNLQVW SDHHRPSLSRIKLAIKYEVKKFVAHPNCQQQLLTMWYENLSGLRQQSIAVKFLAVFGVSI GLPFLAIAYWIAPCSKVQTA >ENSMUSP00000025223.7 pep:known chromosome:GRCm38:17:34801348:34804561:-1 gene:ENSMUSG00000024365.9 transcript:ENSMUST00000025223.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cyp21a1 description:cytochrome P450, family 21, subfamily a, polypeptide 1 [Source:MGI Symbol;Acc:MGI:88591] MLLPGLLLLLLLLAGTRWLWGQWKLRKLHLPPLAPGFLHFLQPNLPIYLLGLTQKLGPIY RIRLGMQDVVVLNSNRTIEEALIQKWVDFAGRPHMLNGKMDLDLSLGDYSLMWKAHKKLS RSALMLGMRDSMEPLIEQLTQEFCERMRAQAGTPVAIHKEFSFLTCSIISCLTFGDKDST LVQTLHDCVQDLLQAWNHWSIQILTIIPLLRFLPNPGLQKLKQIQESRDHIVKQQLKRHK DSLVAGQWKDMIDYMLQGVEKQRDGKDEERLHEGHVHMSVVDLFIGGTETTATTLSWAVA FLLHHPEIQKRLQEELDLKLGPGSQLLYRNRMQLPLLMATIAEVLRLRPVVPLALPHRAT RASSISGYDIPKDMVIIPNIQGANLDEMVWELPSKFWPDRFLEPGKNPRTPSFGCGARVC LGEPLARLELFVVLARLLQAFTLLPPPDGTLPSLQPQPYAGINLPIPPFQVRLQPRNLAP QDQGERP >ENSMUSP00000043092.9 pep:known chromosome:GRCm38:7:27447978:27466144:1 gene:ENSMUSG00000040466.16 transcript:ENSMUST00000037399.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blvrb description:biliverdin reductase B (flavin reductase (NADPH)) [Source:MGI Symbol;Acc:MGI:2385271] MTVKKIAIFGATGRTGLTTLAQAVQAGYEVTVLVRDSSRLPSEGPQPAHVVVGDVRQAAD VDKTVAGQEAVIVLLGTGNDLSPTTVMSEGTRNIVTAMKAHGVDKVVACTSAFLLWDPTK VPPRLQDVTDDHIRMHKILQESGLKYVAVMPPHIGDQPLTGAYTVTLDGRGPSRVISKHD LGHFMLRCLTTNEYDGHTTYPSHQYD >ENSMUSP00000103995.1 pep:known chromosome:GRCm38:7:27448032:27465998:1 gene:ENSMUSG00000040466.16 transcript:ENSMUST00000108358.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blvrb description:biliverdin reductase B (flavin reductase (NADPH)) [Source:MGI Symbol;Acc:MGI:2385271] MTVKKIAIFGATGRTGLTTLAQAVQAGYEVTVLVRDSSRLPSEGPQPAHVVVGDVRQAAD VDKTVAGQEAVIVLLGTGNDLSTEPPLCPRPLPAPRPPAPPPPPAPPLPPLPPPPPPPAS DSHSLSPLRSHYSNVRGHPEHRDSHEGTWSGQAFLLWDPTKVPPRLQDVTDDHIRMHKIL QESGLKYVAVMPPHIGDQPLTGAYTVTLDGRGPSRVISKHDLGHFMLRCLTTNEYDGHTT YPSHQYD >ENSMUSP00000146130.1 pep:known chromosome:GRCm38:7:27448054:27459763:1 gene:ENSMUSG00000040466.16 transcript:ENSMUST00000133750.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blvrb description:biliverdin reductase B (flavin reductase (NADPH)) [Source:MGI Symbol;Acc:MGI:2385271] MTVKKIAIFGATGRTGLTTLAQAVQAGYEVTVLVRDSSRLPSEGPQPAHVVVGDVRQAAD VDKTVAGQEAVIVLLGTGNDLSPTTVMSEGTRNIVTAMKAHGVDKVVACTSGGWWGHGSG AELGRG >ENSMUSP00000103994.1 pep:known chromosome:GRCm38:7:27452418:27465984:1 gene:ENSMUSG00000040466.16 transcript:ENSMUST00000108357.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Blvrb description:biliverdin reductase B (flavin reductase (NADPH)) [Source:MGI Symbol;Acc:MGI:2385271] MSEGTRNIVTAMKAHGVDKVVACTSAFLLWDPTKVPPRLQDVTDDHIRMHKILQESGLKY VAVMPPHIGDQPLTGAYTVTLDGRGPSRVISKHDLGHFMLRCLTTNEYDGHTTYPSHQYD >ENSMUSP00000137893.1 pep:known chromosome:GRCm38:14:5538496:5546373:1 gene:ENSMUSG00000097853.1 transcript:ENSMUST00000181880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3532 description:predicted gene 3532 [Source:MGI Symbol;Acc:MGI:3781709] XEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQRSKINELEELKLDMRKISNDMEEMG GILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEMIQSIIGSMQYSKELIEDNYSYSIK EDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSHEEEKRFCEEASKNICASSAKEQQC VNSSRNRNMAQTTT >ENSMUSP00000047872.8 pep:known chromosome:GRCm38:3:69004738:69034623:1 gene:ENSMUSG00000034349.14 transcript:ENSMUST00000042901.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc4 description:structural maintenance of chromosomes 4 [Source:MGI Symbol;Acc:MGI:1917349] MRRKGTKPSTACHQEEGPPPSQDGAHSDEEMEQPAGEAESAAPAKPPGEELDNRSLEEIL NSIPPPPPPAMASEAGAPRLMITHIVNQNFKSYAGEKVLGPFHKRFSCIIGPNGSGKSNV IDSMLFVFGYRAQKIRSKKLSVLIHNSDEHKDIQSCTVEVHFQKIIDKEGDDYEVLPNSN FYVSRTAYRDSTSVYHISGKKKTFKDVGNLLRSHGIDLDHNRFLILQGEVEQIAMMKPKG QTEHDEGMLEYLEDIIGCGRLNEPIKVLCRRVEILNEHRGEKLNRVKMVEKEKDALEGEK NIAIEFLTLENEMFKKKNHICQYYIYDLQNRIAEITTQKEKIHEDTKEITEKSNVLSNEM KAKNSAVKDVEKKLNKVTKFIEQNKEKFTQLDLEDVQVREKLKHATSKAKKLEKQLQKDK EKVEELKSVPAKSKTVINETTTRNNSLEKEREKEEKKLKEVMDSLKQETQGLQKEKEIQE KELMGFNKSVNEARSKMEVAQSELDIYLSRHNTAVSQLSKAKEALITASETLKERKAAIK DINTKLPQTQQELKEKEKELQKLTQEEINLKSLVHDLFQKVEEAKSSLAMNRSRGKVLDA IIQEKKSGRIPGIYGRLGDLGAIDEKYDIAISSCCHALDYIVVDSIDTAQECVNFLKKHN IGIATFIGLDKMTVWAKKMSKIQTPENTPRLFDLVKVKNEEIRQAFYFALRDTLVANNLD QATRVAYQRDRRWRVVTLQGQIIEQSGTMSGGGSKVMRGRMGSSVIDEISVEEVNKMESQ LERHSKQAMQIQEQKVQHEEAVVKLRHSERDMRNTLEKFAASIQGLSEQEEYLCVQIKEL EANVLTTAPDRKQQKLLEENVSVFKKEYDAVAEKAGKVEAEIKRLHNTIIDINNRKLKAQ QNKLDTINKQLDECASAITKAQVAIKTADRNLKKAQDSVCRTEKEIKDTEKEINDLKTEL KNIEDKAEEVINNTKTAETSLPEIQKEHRNLLQELKVIQENEHALQKDALSIKLKLEQID GHISEHNSKIKYWQKEISKIKLHPVEDNPVETVAVLSQEELEAIKNPESITNEIALLEAQ CREMKPNLGAIAEYKKKEDLYLQRVAELDKITSERDNFRQAYEDLRKQRLNEFMAGFYVI TNKLKENYQMLTLGGDAELELVDSLDPFSEGIMFSVRPPKKSWKKIFNLSGGEKTLSSLA LVFALHHYKPTPLYFMDEIDAALDFKNVSIVAFYIYEQTKNAQFIIISLRNNMFEISDRL IGIYKTYNSTKSVAVNPKQIASKGLC >ENSMUSP00000115033.1 pep:known chromosome:GRCm38:3:69004970:69018108:1 gene:ENSMUSG00000034349.14 transcript:ENSMUST00000136502.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc4 description:structural maintenance of chromosomes 4 [Source:MGI Symbol;Acc:MGI:1917349] MRRKGTKPSTACHQEEGPPPSQDGAHSDEEMEQPAGEAESAAPAKPPGEELDNRSLEEIL NSIPPPPPPAMASEAGAPRLMITHIVNQNFKSYAGEKVLGPFHKRFSCIIGPNGSGKSNV IDSMLFVFGYRAQKIRSKKLSVLIHNSDEHKDIQSCTVEVHFQKIIDKEGDDYEVLPNSN FYVSRTAYRDSTSVYHISGKKKTFKDVGNLLRSHGIDLDHNRFLILQGEVEQIAMMKPKG QTEHDEGMLEYLEDIIGCGRLNEPIKVLCRRVEILNEHRGEKLNRVKMVEKEKDALEGEK NIAIEFLTLENEMFKKKNHICQYYIYDLQNRIAEITT >ENSMUSP00000103433.1 pep:known chromosome:GRCm38:3:69004991:69034618:1 gene:ENSMUSG00000034349.14 transcript:ENSMUST00000107803.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smc4 description:structural maintenance of chromosomes 4 [Source:MGI Symbol;Acc:MGI:1917349] MRRKGTKPSTACHQEEGPPPSQDGAHSDEEMEQPAGEAESAAPATMASEAGAPRLMITHI VNQNFKSYAGEKVLGPFHKRFSCIIGPNGSGKSNVIDSMLFVFGYRAQKIRSKKLSVLIH NSDEHKDIQSCTVEVHFQKIIDKEGDDYEVLPNSNFYVSRTAYRDSTSVYHISGKKKTFK DVGNLLRSHGIDLDHNRFLILQGEVEQIAMMKPKGQTEHDEGMLEYLEDIIGCGRLNEPI KVLCRRVEILNEHRGEKLNRVKMVEKEKDALEGEKNIAIEFLTLENEMFKKKNHICQYYI YDLQNRIAEITTQKEKIHEDTKEITEKSNVLSNEMKAKNSAVKDVEKKLNKVTKFIEQNK EKFTQLDLEDVQVREKLKHATSKAKKLEKQLQKDKEKVEELKSVPAKSKTVINETTTRNN SLEKEREKEEKKLKEVMDSLKQETQGLQKEKEIQEKELMGFNKSVNEARSKMEVAQSELD IYLSRHNTAVSQLSKAKEALITASETLKERKAAIKDINTKLPQTQQELKEKEKELQKLTQ EEINLKSLVHDLFQKVEEAKSSLAMNRSRGKVLDAIIQEKKSGRIPGIYGRLGDLGAIDE KYDIAISSCCHALDYIVVDSIDTAQECVNFLKKHNIGIATFIGLDKMTVWAKKMSKIQTP ENTPRLFDLVKVKNEEIRQAFYFALRDTLVANNLDQATRVAYQRDRRWRVVTLQGQIIEQ SGTMSGGGSKVMRGRMGSSVIDEISVEEVNKMESQLERHSKQAMQIQEQKVQHEEAVVKL RHSERDMRNTLEKFAASIQGLSEQEEYLCVQIKELEANVLTTAPDRKQQKLLEENVSVFK KEYDAVAEKAGKVEAEIKRLHNTIIDINNRKLKAQQNKLDTINKQLDECASAITKAQVAI KTADRNLKKAQDSVCRTEKEIKDTEKEINDLKTELKNIEDKAEEVINNTKTAETSLPEIQ KEHRNLLQELKVIQENEHALQKDALSIKLKLEQIDGHISEHNSKIKYWQKEISKIKLHPV EDNPVETVAVLSQEELEAIKNPESITNEIALLEAQCREMKPNLGAIAEYKKKEDLYLQRV AELDKITSERDNFRQAYEDLRKQRLNEFMAGFYVITNKLKENYQMLTLGGDAELELVDSL DPFSEGIMFSVRPPKKSWKKIFNLSGGEKTLSSLALVFALHHYKPTPLYFMDEIDAALDF KNVSIVAFYIYEQTKNAQFIIISLRNNMFEISDRLIGIYKTYNSTKSVAVNPKQIASKGL C >ENSMUSP00000123350.1 pep:known chromosome:GRCm38:3:69005008:69034623:1 gene:ENSMUSG00000034349.14 transcript:ENSMUST00000148385.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smc4 description:structural maintenance of chromosomes 4 [Source:MGI Symbol;Acc:MGI:1917349] MRRKGTKPSTACHQEEGPPPSQDGAHSDEEMEQPAGEAESAAPASE >ENSMUSP00000141779.1 pep:known chromosome:GRCm38:3:69005015:69028455:1 gene:ENSMUSG00000034349.14 transcript:ENSMUST00000195525.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smc4 description:structural maintenance of chromosomes 4 [Source:MGI Symbol;Acc:MGI:1917349] MRRKGTKPSTACHQEEGPPPSQDGAHSDEEMEQPAGEAESAAPAKPPTEIALLSTT >ENSMUSP00000142192.1 pep:known chromosome:GRCm38:3:69024194:69027900:1 gene:ENSMUSG00000034349.14 transcript:ENSMUST00000149174.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smc4 description:structural maintenance of chromosomes 4 [Source:MGI Symbol;Acc:MGI:1917349] XEEINLKSLVHDLFQKVEEAKSSLAMNRSRGKVLDAIIQEKKSGRIPGIYGRLGDLGAID EKYDIAISSCCHALDYIVVDSIDTAQECVNFLKKHNIGIATFIGLDKLK >ENSMUSP00000056970.4 pep:known chromosome:GRCm38:16:19285743:19286837:-1 gene:ENSMUSG00000050742.4 transcript:ENSMUST00000056727.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr164 description:olfactory receptor 164 [Source:MGI Symbol;Acc:MGI:3029998] MLMIQWNNWTRNSDFILLGLFDHSPLHTFFFSLILGIFFMAFIGNSIMVILIYLDAHLHT PMYILLSQLSLMDLMLICTTVPQMAFNFLSGNKSISMVGCGIQIFFYVSLLGAECFLLAA MAYDRYVAICYPLRYPILMSDKICGLMAASSWVLGSLDGIIEVAAALSFSYCGAREIPHF FCDVPALLTLSCSNTLIFERIIFFCCVIMLTLPVAIIIASYTRVILTVLHMSSAESRHKA FATCSSHLMVVGMYYGAAMFIYMRPSSGRSPTQDKIVSAFYTILTPMLNPLIYSLRNKEV ARAFMKVLGIDKAAA >ENSMUSP00000137698.1 pep:known chromosome:GRCm38:14:5501674:5522927:1 gene:ENSMUSG00000095295.8 transcript:ENSMUST00000181562.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3488 description:predicted gene, 3488 [Source:MGI Symbol;Acc:MGI:3781665] MLNSSKLGVSGMFSWLLRLFQKENGYEGETRPTEKEEGILSHEKGRRKWLWRRHRSARNT STQNSKMTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRL LVEQAGHKCPVGKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000137493.1 pep:known chromosome:GRCm38:14:5517172:5521870:1 gene:ENSMUSG00000095295.8 transcript:ENSMUST00000178220.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3488 description:predicted gene, 3488 [Source:MGI Symbol;Acc:MGI:3781665] MTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000040384.3 pep:known chromosome:GRCm38:10:39920382:39926923:-1 gene:ENSMUSG00000039339.3 transcript:ENSMUST00000045526.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2010001E11Rik description:RIKEN cDNA 2010001E11 gene [Source:MGI Symbol;Acc:MGI:1919295] MIGGVLLDYMNPFLLLGVSMLITAVGFYLIPFCKKAFLLIIMMAVFGASIGVVDTGGNVL ILDLWGDKGAPHMQALHFSFALGAFLAPLLAKLAWGTTASAQNHTESDLDTLMLNQSSNA TTGSVFAVPDDMNLLWAYASISTYVLVVSVFLFGLFCKKHSRQKKPRASTEGARRAKYHR ALLCLLFLFFFFYVGAEITYGSYVFSFATTHVGMEESEAAGLNSIFWGTFAACRGLAIFF ATLLQPGTMIVLSNIGSLVSCFFLVLFDKSPLCLWIATSVYGASMAATFPSGISWIEQYT TLTGKSAAFFVIGSALGEMAIPAVIGILQGHYPDLPVVLYTCLGSAIFTAVLFPVMYKLA TLPLQS >ENSMUSP00000099002.2 pep:known chromosome:GRCm38:13:33003250:33017957:1 gene:ENSMUSG00000045827.10 transcript:ENSMUST00000063191.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb9 description:serine (or cysteine) peptidase inhibitor, clade B, member 9 [Source:MGI Symbol;Acc:MGI:106603] MNTLSEGNGTFAIHLLKMLCQSNPSKNVCYSPASISSALAMVLLGAKGQTAVQISQALGL NKEEGIHQGFQLLLRKLNKPDRKYSLRVANRLFADKTCEVLQTFKESSLHFYDSEMEQLS FAEEAEVSRQHINTWVSKQTEGKIPELLSGGSVDSETRLVLINALYFKGKWHQPFNKEYT MDMPFKINKDEKRPVQMMCREDTYNLAYVKEVQAQVLVMPYEGMELSLVVLLPDEGVDLS KVENNLTFEKLTAWMEADFMKSTDVEVFLPKFKLQEDYDMESLFQRLGVVDVFQEDKADL SGMSPERNLCVSKFVHQSVVEINEEGTEAAAASAIIEFCCASSVPTFCADHPFLFFIRHN KANSILFCGRFSSP >ENSMUSP00000006391.4 pep:known chromosome:GRCm38:13:33004541:33017955:1 gene:ENSMUSG00000045827.10 transcript:ENSMUST00000006391.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb9 description:serine (or cysteine) peptidase inhibitor, clade B, member 9 [Source:MGI Symbol;Acc:MGI:106603] MNTLSEGNGTFAIHLLKMLCQSNPSKNVCYSPASISSALAMVLLGAKGQTAVQISQALGL NKEEGIHQGFQLLLRKLNKPDRKYSLRVANRLFADKTCEVLQTFKESSLHFYDSEMEQLS FAEEAEVSRQHINTWVSKQTEGKIPELLSGGSVDSETRLVLINALYFKGKWHQPFNKEYT MDMPFKINKDEKRPVQMMCREDTYNLAYVKEVQAQVLVMPYEGMELSLVVLLPDEGVDLS KVENNLTFEKLTAWMEADFMKSTDVEVFLPKFKLQEDYDMESLFQRLGVVDVFQEDKADL SGMSPERNLCVSKFVHQSVVEINEEGTEAAAASAIIEFCCASSVPTFCADHPFLFFIRHN KANSILFCGRFSSP >ENSMUSP00000119098.1 pep:known chromosome:GRCm38:2:28079739:28084885:-1 gene:ENSMUSG00000026835.15 transcript:ENSMUST00000135472.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcnb description:ficolin B [Source:MGI Symbol;Acc:MGI:1341158] MALGSAALFVLTLTVHAAGTCPELKVLDLEGYKQLTILQGCPGLPGAAGPKGEAGAKGDR GESGLPGIPGKEGPTGPKVSCSLASGNQGEKGIRGEKGDSGPSQSCATGPRT >ENSMUSP00000028179.8 pep:known chromosome:GRCm38:2:28076378:28084885:-1 gene:ENSMUSG00000026835.15 transcript:ENSMUST00000028179.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcnb description:ficolin B [Source:MGI Symbol;Acc:MGI:1341158] MALGSAALFVLTLTVHAAGTCPELKVLDLEGYKQLTILQGCPGLPGAAGPKGEAGAKGDR GESGLPGIPGKEGPTGPKGNQGEKGIRGEKGDSGPSQSCATGPRTCKELLTQGHFLTGWY TIYLPDCRPLTVLCDMDTDGGGWTVFQRRLDGSVDFFRDWTSYKRGFGSQLGEFWLGNDN IHALTTQGTSELRVDLSDFEGKHDFAKYSSFQIQGEAEKYKLILGNFLGGGAGDSLTPHN NRLFSTKDQDNDGSTSSCAMGYHGAWWYSQCHTSNLNGLYLRGPHKSYANGVNWKSWRGY NYSCKVSEMKVRLI >ENSMUSP00000112625.1 pep:known chromosome:GRCm38:2:28078099:28084885:-1 gene:ENSMUSG00000026835.15 transcript:ENSMUST00000117486.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fcnb description:ficolin B [Source:MGI Symbol;Acc:MGI:1341158] MALGSAALFVLTLTVHAAGTCPELKVLDLEGYKQLTILQGCPGLPGAAGPKGEAGAKGDR GESGLPGIPGKEGPTGPKGNQGEKGIRGEKGDSGPSQSCATGPRTCKELLTQGHFLTGWY TIYLPDCRPLTVLCDMDTDGGGWTVFQRRLDGSVDFFRDWTSYKRGFGSQLGEFWLGNDN IHALTTQGTSELRVDLSDFEGKHDFAKYSSFQIQGEAEKYKLILGNFLGGGAGEQLGFGG LTCEVRASELLWE >ENSMUSP00000023600.7 pep:known chromosome:GRCm38:16:72663149:73046095:1 gene:ENSMUSG00000022883.10 transcript:ENSMUST00000023600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Robo1 description:roundabout homolog 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1274781] MIAEPARFYLFGLICLCSGSRLRQEDFPPRIVEHPSDLIVSKGEPATLNCKAEGRPTPTI EWYKGGERVETDKDDPRSHRMLLPSGSLFFLRIVHGRKSRPDEGVYICVARNYLGEAVSH NASLEVAILRDDFRQNPSDVMVAVGEPAVMECQPPRGHPEPTISWKKDGSPLDDKDERIT IRGGKLMITYTRKSDAGKYVCVGTNMVGERESEVAELTVLERPSFVKRPSNLAVTVDDSA EFKCEARGDPVPTVRWRKDDGELPKSRYEIRDDHTLKIRKVTAGDMGSYTCVAENMVGKA EASATLTVQEPPHFVVKPRDQVVALGRTVTFQCEATGNPQPAIFWRREGSQNLLFSYQPP QSSSRFSVSQTGDLTITNVQRSDVGYYICQTLNVAGSIITKAYLEVTDVIADRPPPVIRQ GPVNQTVAVDGTLILSCVATGSPAPTILWRKDGVLVSTQDSRIKQLESGVLQIRYAKLGD TGRYTCTASTPSGEATWSAYIEVQEFGVPVQPPRPTDPNLIPSAPSKPEVTDVSKNTVTL SWQPNLNSGATPTSYIIEAFSHASGSSWQTAAENVKTETFAIKGLKPNAIYLFLVRAANA YGISDPSQISDPVKTQDVPPTSQGVDHKQVQRELGNVVLHLHNPTILSSSSVEVHWTVDQ QSQYIQGYKILYRPSGASHGESEWLVFEVRTPTKNSVVIPDLRKGVNYEIKARPFFNEFQ GADSEIKFAKTLEEAPSAPPRSVTVSKNDGNGTAILVTWQPPPEDTQNGMVQEYKVWCLG NETKYHINKTVDGSTFSVVIPSLVPGIRYSVEVAASTGAGPGVKSEPQFIQLDSHGNPVS PEDQVSLAQQISDVVRQPAFIAGIGAACWIILMVFSIWLYRHRKKRNGLTSTYAGIRKVP SFTFTPTVTYQRGGEAVSSGGRPGLLNISEPATQPWLADTWPNTGNNHNDCSINCCTAGN GNSDSNLTTYSRPADCIANYNNQLDNKQTNLMLPESTVYGDVDLSNKINEMKTFNSPNLK DGRFVNPSGQPTPYATTQLIQANLSNNMNNGAGDSSEKHWKPPGQQKPEVAPIQYNIMEQ NKLNKDYRANDTIPPTIPYNQSYDQNTGGSYNSSDRGSSTSGSQGHKKGARTPKAPKQGG MNWADLLPPPPAHPPPHSNSEEYNMSVDESYDQEMPCPVPPARMYLQQDELEEEEDERGP TPPVRGAASSPAAVSYSHQSTATLTPSPQEELQPMLQDCPEDLGHMPHPPDRRRQPVSPP PPPRPISPPHTYGYISGPLVSDMDTDAPEEEEDEADMEVAKMQTRRLLLRGLEQTPASSV GDLESSVTGSMINGWGSASEEDNISSGRSSVSSSDGSFFTDADFAQAVAAAAEYAGLKVA RRQMQDAAGRRHFHASQCPRPTSPVSTDSNMSAVVIQKARPAKKQKHQPGHLRREAYADD LPPPPVPPPAIKSPTVQSKAQLEVRPVMVPKLASIEARTDRSSDRKGGSYKGREALDGRQ VTDLRTNPSDPREAQEQPNDGKGRGTKAAKRDLPPAKTHLGQEDILPYCRPTFPTSNNPR DPSSSSSMSSRGSGSRQREQANVGRRNMAEMQVLGGFERGDENNEELEETES >ENSMUSP00000025698.7 pep:known chromosome:GRCm38:19:6226380:6227768:1 gene:ENSMUSG00000024784.13 transcript:ENSMUST00000025698.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpha2 description:glycoprotein hormone alpha 2 [Source:MGI Symbol;Acc:MGI:2156541] MPMAPRVLLLCLLGLAVTEGHSPETAIPGCHLHPFNVTVRSDRLGTCQGSHVAQACVGHC ESSAFPSRYSVLVASGYRHNITSSSQCCTISSLRKVRVWLQCVGNQRGELEIFTARACQC DMCRFSRY >ENSMUSP00000109154.1 pep:known chromosome:GRCm38:19:6226388:6227768:1 gene:ENSMUSG00000024784.13 transcript:ENSMUST00000113526.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpha2 description:glycoprotein hormone alpha 2 [Source:MGI Symbol;Acc:MGI:2156541] MPMAPRVLLLCLLGLAVTEGHSPETAIPGCHLHPFNVTVRSDRLGTCQGSHVAQACVGHC ESSAFPSRYSVLVASGYRHNITSSSQCCTISSLRKVRVWLQCVGNQRGELEIFTARACQC DMCRFSRY >ENSMUSP00000023612.8 pep:known chromosome:GRCm38:16:95702075:95721051:1 gene:ENSMUSG00000022895.14 transcript:ENSMUST00000023612.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ets2 description:E26 avian leukemia oncogene 2, 3' domain [Source:MGI Symbol;Acc:MGI:95456] MNDFGIKNMDQVAPVANSFRGTLKRQPAFDTFDGSLFAVLPSLSEDQTLQEVPTGLDSVS HDSASCELPLLTPCSKAVMSQALKATFSGFQKEQRRLGIPKNPWLWSEQQVCQWLLWATN EFSLVNVNLHQFGMNGQMLCNLGKERFLELAPDFVGDILWEHLEQMIKENQEKTEDQYEE NSHLNAVPHWINSNTLGFSMEQAPYGMQAPNYPKDNLLDSMCPPSATPAALGSELQMLPK SRLNTVNVNYCSISQDFPSSNVNLLNNNSGKPKDHDSPENGGDSFESSDSLLRSWNSQSS LLDVQRVPSFESFEEDCSQSLCLSKLTMSFKDYIQERSDPVEQGKPVIPAAVLAGFTGSG PIQLWQFLLELLSDKSCQSFISWTGDGWEFKLADPDEVARRWGKRKNKPKMNYEKLSRGL RYYYDKNIIHKTSGKRYVYRFVCDLQNLLGFTPEELHAILGVQPDTED >ENSMUSP00000116638.1 pep:known chromosome:GRCm38:16:95706339:95716072:1 gene:ENSMUSG00000022895.14 transcript:ENSMUST00000155226.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ets2 description:E26 avian leukemia oncogene 2, 3' domain [Source:MGI Symbol;Acc:MGI:95456] MNDFGIKNMDQVAPVANSFRGTLKRQPAFDTFDGSLFAVLPSLSEDQTLQEVPTGLDSVS HDSASCELPLLTPCSKAVMSQALKATFSGFQKEQRRLGIPKNPWLWSEQQVCQWLLWATN EFSLVNVNLHQFGMNGQMLCNLGKERFLELAPDFVGDILWEHLEQMIKENQEKTEDQYEE NSHLNAVPHWINSNTLGFSMEQAPYGMQAPNYPKDNLLDSMCPPSATPAALGSELQMLPK SRLNTVNVNYCSISQDFPSSNVNLLNNNSGKPKDHDSPENGG >ENSMUSP00000025695.9 pep:known chromosome:GRCm38:19:6227765:6235872:-1 gene:ENSMUSG00000024777.9 transcript:ENSMUST00000025695.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r5b description:protein phosphatase 2, regulatory subunit B', beta [Source:MGI Symbol;Acc:MGI:2388480] METKLPPASTPTSPSSPGLSPVPPPDKVDGFSRRSLRRARPRRSHSSSQFRYQSNQQELT PLPLLKDVPASELHELLSRKLAQCGVMFDFLDCVADLKGKEVKRAALNELVECVGCTRGV LIEPVYPDIIRMISVNIFRTLPPSENPEFDPEEDEPNLEPSWPHLQLVYEFFLRFLESPD FQPSVAKRYVDQKFVLMLLELFDSEDPREREYLKTILHRVYGKFLGLRAYIRKQCNHIFL RFIYELEHFNGVAELLEILGSIINGFALPLKTEHKQFLVRVLIPLHSVKSLSVFHAQLAY CVVQFLEKDATLTEHVIRGLLKYWPKTCTQKEVMFLGEMEEILDVIEPSQFVKIQEPLFK QVARCVSSPHFQVAERALYFWNNEYILSLIEDNCHTVLPAVFGTLYQVSKEHWNQTIVSL IYNVLKTFMEMNGKLFDELTASYKLEKQQEQQKAQERQELWRGLEELRLRRLQGTQGAKE APVPRPTPQVAASGGQS >ENSMUSP00000099859.3 pep:known chromosome:GRCm38:11:44454571:44470498:-1 gene:ENSMUSG00000041231.15 transcript:ENSMUST00000102795.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ublcp1 description:ubiquitin-like domain containing CTD phosphatase 1 [Source:MGI Symbol;Acc:MGI:1933105] MALPIIVKWGGQEYSVTTLSEDDTVLDLKQFLKTLTGVLPERQKLLGLKVKGKPAENDVK LGALKLKPNTKIMMMGTREESLEDVLCPPPDNDDVINDFDIEDEVVEVENREENLLKVSR RVKEYKVEVLNPPREGKKLLVLDVDYTLFDHRSCAETGVELMRPYLHEFLTSAYEDYDIV IWSATNMKWIEAKMKELGVSTNANYKITFMLDSAAMITVHTPRRGLIDVKPLGVIWGKFS EFYSKKNTIMFDDIGRNFLMNPQNGLKIRPFMKAHLNRDKDKELVKLTQYLKEIAKLDDF LELNHKYWERYLSKKQGQ >ENSMUSP00000119485.1 pep:known chromosome:GRCm38:2:26396249:26409200:-1 gene:ENSMUSG00000026925.16 transcript:ENSMUST00000145701.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5e description:inositol polyphosphate-5-phosphatase E [Source:MGI Symbol;Acc:MGI:1927753] MPSKSACLRHTEAPGQLEGRMLQGQPPNTEKKLIPTPGFLPASDSQGSETNPMPPFSIPA KTSNQNPQTKANLITPQPPIRPKLERTLSLDDKGWRRRRFRGSQEDLTVQNGASPCRGSL QDSVAQSPAYSRPLPCLSTSLQEIPKSRRATGSEGGSPSLWSDCLSGMISTSLDLLHRDA ASGGPPSRLASLHASHTPPAMDLSIASSSLRTANKVDPEHTDYKLRMQTRLVRAHSNLGP SRPRSPLAGDDHSIHSARSFSLLAPIRTKDIRSRSYLEGSLLASGALLGAEELARYFPDR NMALFVATWNMQGQKELPASLDEFLLPTEADYTQDLYVIGIQEGCSDRREWETRLQETLG PQYVLLSSAAHGVLYMSLFIRRDLIWFCSEVEYSTVTTRIVSQIKTKGALGVSFTFFGTS FLFITSHFTSGDGKVAERLLDYSRTIQALALPRNVPDTNPYRSSAGDVTTRFDEVFWFGD FNFRLSGGRVAVEAFLKQKPEVDVLALLQHDQLTREMKKGSIFRGFEEAEIHFLPSYKFD IGKDTYDSTSKQRTPSYTDRVLYKSRHKGDICPMKYSSCPGIKTSDHRPVYGLFQVKVRP GRDNIPLAAGKFDRELYLIGIKRRISKEIQRQEALKSQSSSAVCTVS >ENSMUSP00000118739.1 pep:known chromosome:GRCm38:2:26396516:26399471:-1 gene:ENSMUSG00000026925.16 transcript:ENSMUST00000131109.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5e description:inositol polyphosphate-5-phosphatase E [Source:MGI Symbol;Acc:MGI:1927753] XEVDVLALLQHDQLTREMKKGSIFRGFEEAEIHFLPSYKFDIGKDTYDSTSKQRTPSYTD RVLYKSRHKGDICPMKYSSCPGIKTSDHRPVYGLFQVKVRPGRDKYCPCSQPEAAIAGKS DDLGLQ >ENSMUSP00000109724.1 pep:known chromosome:GRCm38:2:26397294:26409127:-1 gene:ENSMUSG00000026925.16 transcript:ENSMUST00000114090.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5e description:inositol polyphosphate-5-phosphatase E [Source:MGI Symbol;Acc:MGI:1927753] MPSKSACLRHTEAPGQLEGRMLQGQPPNTEKKLIPTPGFLPASDSQGSETNPMPPFSIPA KTSNQNPQTKANLITPQPPIRPKLERTLSLDDKGWRRRRFRGSQEDLTVQNGASPCRGSL QDSVAQSPAYSRPLPCLSTSLQEIPKSRRATGSEGGSPSLWSDCLSGMISTSLDLLHRDA ASGGPPSRLASLHASHTPPAMDLSIASSSLRTANKVDPEHTDYKLRMQTRLVRAHSNLGP SRPRSPLAGDDHSIHSARSFSLLAPIRTKDIRSRSYLEGSLLASGALLGAEELARYFPDR NMALFVATWNMQGQKELPASLDEFLLPTEADYTQDLYVIGIQEGCSDRREWETRLQETLG PQYVLLSSAAHGVLYMSLFIRRDLIWFCSEVEYSTVTTRIVSQIKTKGALGVSFTFFGTS FLFITSHFTSGDGKVAERLLDYSRTIQALALPRNVPDTNPYRSSAGDVTTRFDEVFWFGD FNFRLSGGRVAVEAFLKQKPEVDVLALLQHDQLTREMKKGSIFRGFEEAEIHFLPSYKFD IGKDTYDSTSKQRTPSYTDRVLYKSRHKGDICPMKYSSCPGIKTSDHRPVYGLFQVKVRP GRDKN >ENSMUSP00000123272.1 pep:known chromosome:GRCm38:2:26399413:26402206:-1 gene:ENSMUSG00000026925.16 transcript:ENSMUST00000144011.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Inpp5e description:inositol polyphosphate-5-phosphatase E [Source:MGI Symbol;Acc:MGI:1927753] XLLASGALLGAEELARYFPDRNMALFVATWNMQGQKELPASLDEFLLPTEADYTQDLYVI GIQEGCSDRDLIWFCSEVEYSTVTTRIVSQIKTKGALGVSFTFFGTSFLFITSHFTSGDG KVAERLLDYSRTIQALALPRNVPDTNPYRSSAGDVTTRFDEVFWFGDFNFRLSGGRVAVE AFLKQKPEVDVLALLQHDQLTREMKK >ENSMUSP00000029446.8 pep:known chromosome:GRCm38:3:103020426:103058186:1 gene:ENSMUSG00000068823.10 transcript:ENSMUST00000029446.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csde1 description:cold shock domain containing E1, RNA binding [Source:MGI Symbol;Acc:MGI:92356] MSFDPNLLHNNGHNGYPNGTSAALRETGVIEKLLTSYGFIQCSERQARLFFHCSQYNGNL QDLKVGDDVEFEVSSDRRTGKPIAIKLVKIKPEIHPEERMNGQVVCAVPHNLESKSPAAP GQSPTGSVCYERNGEVFYLTYTSEDVEGNVQLETGDKINFVIDNNKHTGAVSARNIMLLK KKQARCQGVVCAMKEAFGFIERGDVVKEIFFHYSEFKGDLETLQPGDDVEFTIKDRNGKE VATDVRLLPQGTVIFEDISIEHFEGTVTKVIPKVPSKNQNDPLPGRIKVDFVIPKELPFG DKDTKSKVTLLEGDHVRFNISTDRRDKLERATNIEVLSNTFQFTNEAREMGVIAAMRDGF GFIKCVDRDARMFFHFSEILDGNQLHIADEVEFTVVPDMLSAQRNHAIRIKKLPKGTVSF HSHSDHRFLGTVEKEATFSNPKTTSPNKGKDKEAEDGIIAYDDCGVKLTIAFQAKDVEGS TSPQIGDKVEFSISDKQRPGQQIATCVRLLGRNSNSKRLLGYVATLKDNFGFIETANHDK EIFFHYSEFSGDVDSLELGDMVEYSLSKGKGNKVSAEKVNKAHSVNGITEEANPTIYSGK VIRPLRGVDPTQIEYQGMIEIVEEGDMKGEVYPFGIVGMANKGDCLQKGESVKFQLCVLG QNAQTMAYNITPLRRATVECVKDQFGFINYEVGDSKKLFFHVKEVQDGVELQAGDEVEFS VILNQRTGKCSACNVWRVCEGPKAVAAPRPDRLVNRLKNITLDDASAPRLMVLRQPRGPD NSMGFGAERKIRQAGVID >ENSMUSP00000142983.1 pep:known chromosome:GRCm38:3:103020607:103057991:1 gene:ENSMUSG00000068823.10 transcript:ENSMUST00000198180.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csde1 description:cold shock domain containing E1, RNA binding [Source:MGI Symbol;Acc:MGI:92356] MSFDPNLLHNNGHNGYPNGTSAALRETGVIEKLLTSYGFIQCSERQARLFFHCSQYNGNL QDLKVGDDVEFEVSSDRRTGKPIAIKLVKIKPEIHPEERMNGQEVFYLTYTSEDVEGNVQ LETGDKINFVIDNNKHTGAVSARNIMLLKKKQARCQGVVCAMKEAFGFIERGDVVKEIFF HYSEFKGDLETLQPGDDVEFTIKDRNGKEVATDVRLLPQGTVIFEDISIEHFEGTVTKVI PKVPSKNQNDPLPGRIKVDFVIPKELPFGDKDTKSKVTLLEGDHVRFNISTDRRDKLERA TNIEVLSNTFQFTNEAREMGVIAAMRDGFGFIKCVDRDARMFFHFSEILDGNQLHIADEV EFTVVPDMLSAQRNHAIRIKKLPKGTVSFHSHSDHRFLGTVEKEATFSNPKTTSPNKGKD KEAEDGIIAYDDCGVKLTIAFQAKDVEGSTSPQIGDKVEFSISDKQRPGQQIATCVRLLG RNSNSKRLLGYVATLKDNFGFIETANHDKEIFFHYSEFSGDVDSLELGDMVEYSLSKGKG NKVSAEKVNKAHSVNGITEEANPTIYSGKVIRPLRGVDPTQIEYQGMIEIVEEGDMKGEV YPFGIVGMANKGDCLQKGESVKFQLCVLGQNAQTMAYNITPLRRATVECVKDQFGFINYE VGDSKKLFFHVKEVQDGVELQAGDEVEFSVILNQRTGKCSACNVWRVCEGPKAVAAPRPD RLVNRLKNITLDDASAPRLMVLRQPRGPDNSMGFGAERKIRQAGVID >ENSMUSP00000143503.1 pep:known chromosome:GRCm38:3:103020612:103057149:1 gene:ENSMUSG00000068823.10 transcript:ENSMUST00000197827.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csde1 description:cold shock domain containing E1, RNA binding [Source:MGI Symbol;Acc:MGI:92356] MSFDPNLLHNNGHNGYPNGTSAALRETGVIEKLLTSYGFIQCSERQARLFFHCSQYNGNL QDLKVGDDVEFEVSSDRRTGKPIAIKLVKIKPEIHPEERMNGQVVCAVPHNLESKSPAAP GQSPTGSVCYERNGEVFYLTYTSEDVEGNVQLETGDKINFVIDNNKHTGAVSARNIMLLK KKQARCQGVVCAMKEAFGFIERGDVVKEIFFHYSEFKGDLETLQPGDDVEFTIKDRNGKE VATDVRLLPQGTVIFEDISIEHFEGTVTKVIPKVPSKNQNDPLPGRIKVDFVIPKELPFG DKDTKSKVTLLEGDHVRFNISTDRRDKLERATNIEVLSNTFQFTNEAREMGVIAAMRDGF GFIKCVDRDARMFFHFSEILDGNQLHIADEVEFTVVPDMLSAQRNHAIRIKKLPKGTVSF HSHSDHRFLGTVEKEATFSNPKTTSPNKGKDKEAEDGIIAYDDCGVKLTIAFQAKDVEGS TSPQIGDKVEFSISDKQRPGQQIATCVRLLGRNSNSKRLLGYVATLKDNFGFIETANHDK EIFFHYSEFSGDVDSLELGDMVEYSLSKGKGNKVSAEKVNKAHSVNGITEEANPTIYSGK VIRPLRGVDPTQIEYQGMIEIVEEGDMKGEVYPFGIVGMANKGDCLQKGESVKFQLCVLG QNAQTMAYNITPLRRATVECVKDQFGFINYEVGDSKKLFFHVKEVQDGVELQAGDEVEFS VILNQRTGKCSACNVWRVCEGPKAVAAPRPDRLVNRLKNITLDDASAPRLMVLRQPRGPD NSMGFGAERKIRQAGVID >ENSMUSP00000143050.1 pep:known chromosome:GRCm38:3:103020613:103057804:1 gene:ENSMUSG00000068823.10 transcript:ENSMUST00000199240.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csde1 description:cold shock domain containing E1, RNA binding [Source:MGI Symbol;Acc:MGI:92356] MNGQEVFYLTYTSEDVEGNVQLETGDKINFVIDNNKHTGAVSARNIMLLKKKQARCQGVV CAMKEAFGFIERGDVVKEIFFHYSEFKGDLETLQPGDDVEFTIKDRNGKEVATDVRLLPQ GTVIFEDISIEHFEGTVTKVIPKVPSKNQNDPLPGRIKVDFVIPKELPFGDKDTKSKVTL LEGDHVRFNISTDRRDKLERATNIEVLSNTFQFTNEAREMGVIAAMRDGFGFIKCVDRDA RMFFHFSEILDGNQLHIADEVEFTVVPDMLSAQRNHAIRIKKLPKGTVSFHSHSDHRFLG TVEKEATFSNPKTTSPNKGKDKEAEDGIIAYDDCGVKLTIAFQAKDVEGSTSPQIGDKVE FSISDKQRPGQQIATCVRLLGRNSNSKRLLGYVATLKDNFGFIETANHDKEIFFHYSEFS GDVDSLELGDMVEYSLSKGKGNKVSAEKVNKAHSVNGITEEANPTIYSGKVIRPLRGVDP TQIEYQGMIEIVEEGDMKGEVYPFGIVGMANKGDCLQKGESVKFQLCVLGQNAQTMAYNI TPLRRATVECVKDQFGFINYEVGDSKKLFFHVKEVQDGVELQAGDEVEFSVILNQRTGKC SACNVWRVCEGPKAVAAPRPDRLVNRLKNITLDDASAPRLMVLRQPRGPDNSMGFGAERK IRQAGVID >ENSMUSP00000142703.1 pep:known chromosome:GRCm38:3:103020613:103058186:1 gene:ENSMUSG00000068823.10 transcript:ENSMUST00000199420.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csde1 description:cold shock domain containing E1, RNA binding [Source:MGI Symbol;Acc:MGI:92356] MSFDPNLLHNNGHNGYPNGTSAALRETGVIEKLLTSYGFIQCSERQARLFFHCSQYNGNL QDLKVGDDVEFEVSSDRRTGKPIAIKLVKIKPEIHPEERMNGQEVFYLTYTSEDVEGNVQ LETGDKINFVIDNNKHTGAVSARNIMLLKKKQARCQGVVCAMKEAFGFIERGDVVKEIFF HYSEFKGDLETLQPGDDVEFTIKDRNGKEVATDVRLLPQGTVIFEDISIEHFEGTVTKVI PKVPSKNQNDPLPGRIKVDFVIPKELPFGDKDTKSKVTLLEGDHVRFNISTDRRDKLERA TNIEVLSNTFQFTNEAREMGVIAAMRDGFGFIKCVDRDARMFFHFSEILDGNQLHIADEV EFTVVPDMLSAQRNHAIRIKKLPKGTVSFHSHSDHRFLGTVEKEATFSNPKTTSPNKGKD KEAEDGIIAYDDCGVKLTIAFQAKDVEGSTSPQIGDKVEFSISDKQRPGQQIATCVRLLG RNSNSKRLLGYVATLKDNFGFIETANHDKEIFFHYSEFSGDVDSLELGDMVEYSLSKGKG NKVSAEKVNKAHSVNGITEEANPTIYSGKVIRPLRGVDPTQIEYQGMIEIVEEGDMKGEV YPFGIVGMANKGDCLQKGESVKFQLCVLGQNAQTMAYNITPLRRATVECVKDQFGFINYE VGDSKKLFFHVKEVQDGVELQAGDEVEFSVILNQRTGKCSACNVWRVCEGPKAVAAPRPD RLVNRLKNITLDDASAPRLMVLRQPRGPDNSMGFGAERKIRQAGVID >ENSMUSP00000143028.1 pep:known chromosome:GRCm38:3:103020620:103057702:1 gene:ENSMUSG00000068823.10 transcript:ENSMUST00000199571.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csde1 description:cold shock domain containing E1, RNA binding [Source:MGI Symbol;Acc:MGI:92356] MSFDPNLLHNNGHNGYPNGTSAALRETGVIEKLLTSYGFIQCSERQARLFFHCSQYNGNL QDLKVGDDVEFEVSSDRRTGKPIAIKLVKIKPEIHPEERMNGQEVFYLTYTSEDVEGNVQ LETGDKINFVIDNNKHTGAVSARNIMLLKKKQARCQGVVCAMKEAFGFIERGDVVKEIFF HYSEFKGDLETLQPGDDVEFTIKDRNGKEVATDVRLLPQGTVIFEDISIEHFEGTVTKVI PKVPSKNQNDPLPGRIKVDFVIPKELPFGDKDTKSKVTLLEGDHVRFNISTDRRDKLERA TNIEVLSNTFQFTNEAREMGVIAAMRDGFGFIKCVDRDARMFFHFSEILDGNQLHIADEV EFTVVPDMLSAQRNHAIRIKKLPKGTVSFHSHSDHRFLGTVEKEATFSNPKTTSPNKGKD KEAEDGIIAYDDCGVKLTIAFQAKDVEGSTSPQIGDKVEFSISDKQRPGQQIATCVRLLG RNSNSKRLLGYVATLKDNFGFIETANHDKEIFFHYSEFSGDVDSLELGDMVEYSLSKGKG NKVSAEKVNKAHSVNGITEEANPTIYSGKVIRPLRGVDPTQIEYQGMIEIVEEGDMKGEV YPFGIVGMANKGDCLQKGESVKFQLCVLGQNAQTMAYNITPLRRATVECVKDQFGFINYE VGDSKKLFFHVKEVQDGVELQAGDEVEFSVILNQRTGKCSACNVWRVCEGPKAVAAPRPD RLVNRLKNITLDDASAPRLMVLRQPRGPDNSMGFGAERKIRQAGVID >ENSMUSP00000143524.1 pep:known chromosome:GRCm38:3:103020664:103057804:1 gene:ENSMUSG00000068823.10 transcript:ENSMUST00000197488.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csde1 description:cold shock domain containing E1, RNA binding [Source:MGI Symbol;Acc:MGI:92356] MSFDPNLLHNNGHNGYPNGTSAALRETGVIEKLLTSYGFIQCSERQARLFFHCSQYNGNL QDLKVGDDVEFEVSSDRRTGKPIAIKLVKIKPEIHPEERMNGQEVFYLTYTSEDVEGNVQ LETGDKINFVIDNNKHTGAVSARNIMLLKKKQARCQGVVCAMKEAFGFIERGDVVKEIFF HYSEFKGDLETLQPGDDVEFTIKDRNGKEVATDVRLLPQGTVIFEDISIEHFEGTVTKVI PKVPSKNQNDPLPGRIKVDFVIPKELPFGDKDTKSKVTLLEGDHVRFNISTDRRDKLERA TNIEVLSNTFQFTNEAREMGVIAAMRDGFGFIKCVDRDARMFFHFSEILDGNQLHIADEV EFTVVPDMLSAQRNHAIRIKKLPKGTVSFHSHSDHRFLGTVEKEATFSNPKTTSPNKGKD KEAEDGIIAYDDCGVKLTIAFQAKDVEGSTSPQIGDKVEFSISDKQRPGQQIATCVRLLG RNSNSKRLLGYVATLKDNFGFIETANHDKEIFFHYSEFSGDVDSLELGDMVEYSLSKGKG NKVSAEKVNKAHSVNGITEEANPTIYSGKVIRPLRGVDPTQIEYQGMIEIVEEGDMKGEV YPFGIVGMANKGDCLQKGESVKFQLCVLGQNAQTMAYNITPLRRATVECVKDQFGFINYE VGDSKKLFFHVKEVQDGVELQAGDEVEFSVILNQRTGKCSACNVWRVCEGPKAVAAPRPD RLVNRLKNITLDDASAPRLMVLRQPRGPDNSMGFGAERKIRQAGVID >ENSMUSP00000142647.1 pep:known chromosome:GRCm38:3:103040028:103046803:1 gene:ENSMUSG00000068823.10 transcript:ENSMUST00000195889.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Csde1 description:cold shock domain containing E1, RNA binding [Source:MGI Symbol;Acc:MGI:92356] RMNGQEVFYLTYTSEDVEGNVQLETGDKINFVIDNNKHTGAVSARNIMLLKKKQARCQGV VCAMKEAFGFIERGDVVKEIFFHYSEFKGDLETLQPGDDVEFTIKDRNGKEVATDVRLLP QGTVIFEDISIEHFEGTVTKVIPKVPSKNQS >ENSMUSP00000142395.1 pep:known chromosome:GRCm38:3:103043193:103057786:1 gene:ENSMUSG00000068823.10 transcript:ENSMUST00000198174.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Csde1 description:cold shock domain containing E1, RNA binding [Source:MGI Symbol;Acc:MGI:92356] XKKKQARCQGVVCAMKEAFGFIERGDVVKEIFFHYSEFKGDLETLQPGDDVEFTIKDRNG KEVATDVRLLPQGTVIFEDISIEHFEGTVTKVIPKVPSKNQTDVTNWNEQPT >ENSMUSP00000058333.4 pep:known chromosome:GRCm38:5:21424958:21482124:1 gene:ENSMUSG00000047221.5 transcript:ENSMUST00000056045.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam185a description:family with sequence similarity 185, member A [Source:MGI Symbol;Acc:MGI:2140983] MFGRLPPCASRVRAGALVGALGARTCGSSGAQRQGSAPDDSGAGLARGALREWTLQVSPF GRLRARLPCHLAVRPLDPLAHPDGDRVQVAVCGVEHVARGLDSLQVKYDADRQEMAILSD DIDPQASVEVNAPVKFDLSIESSGSGSVKVQNIECDSCKIDTEQGTSILQSVKSQKLHVQ TKGGDVICCGTVYGNIDIHASDKSTVSIEKLQGSCVNISTEDGLLQAKYLYTESSFLSSA AGNIALGNVHGNIILQSKMGNITVDSSCGCLKASSHQGAIDVYVSQLGEVALTTEEGSIA VKAPSSLRAYFKLSGKEVVVDEEAHVQEMAKDCKGDGVTVTGLMNQASKHERWINAIAPK GTVSFQHQSWFQSLKLPD >ENSMUSP00000073117.5 pep:known chromosome:GRCm38:7:41545674:41560829:-1 gene:ENSMUSG00000090744.7 transcript:ENSMUST00000073410.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6871 description:predicted gene 6871 [Source:MGI Symbol;Acc:MGI:3643456] MDALTFDDVHVHFTREEWSLLDPSQKRLYKDVMLDTYRNLTTIGYNWEDHNIEEQCQSGR RHGRHQTSHAGEKHCEYTQYDNVFTYHSHLHKHEQIQTQEKPSEGFQHIEALACHSSLQI HKRTDTGKKPYKCNQCDKVFSQQRHLRTHERTHTGKKPYECDQCGKAFAYQSALVLHKRT HTGEKPYECDQCGKAFAHHFTVVLHKRTHTGEKPYECDQCGKAFAYQSALVLHKRTHTGE KPYECNQCGKTFAQINHLRTHKVVHTGEKPYKCNQCDKAFSQQSHLRIHERTHTGEKPYK CNQCGKSFVSHSHLQSHGRIHTGEKPYKCNQCDKAFAQHNSLQIHKRTHTGEKPYKCNQC DKAFAQSSSLQYHKRTHTGEKPYECDQCGKAFTHPSTVVLHKRTHTGEKPYECDQCGKAF AHHSTLQYHKKNHTEETL >ENSMUSP00000105843.2 pep:known chromosome:GRCm38:7:41545674:41573662:-1 gene:ENSMUSG00000090744.7 transcript:ENSMUST00000110214.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6871 description:predicted gene 6871 [Source:MGI Symbol;Acc:MGI:3643456] MRNLTNVTCVGRGFTRSSSLHVHHSSIQVRNLSDEIGVGRASARAQTYTSTGAPTQERSH TSATNVGKVSARVWTFETTSGYTPGESPTTVASVGESTRERSCMNAAGDALTFDDVHVHF TREEWSLLDPSQKRLYKDVMLDTYRNLTTIGYNWEDHNIEEQCQSGRRHGRHQTSHAGEK HCEYTQYDNVFTYHSHLHKHEQIQTQEKPSEGFQHIEALACHSSLQIHKRTDTGKKPYKC NQCDKVFSQQRHLRTHERTHTGKKPYECDQCGKAFAYQSALVLHKRTHTGEKPYECDQCG KAFAHHFTVVLHKRTHTGEKPYECDQCGKAFAYQSALVLHKRTHTGEKPYECNQCGKTFA QINHLRTHKVVHTGEKPYKCNQCDKAFSQQSHLRIHERTHTGEKPYKCNQCGKSFVSHSH LQSHGRIHTGEKPYKCNQCDKAFAQHNSLQIHKRTHTGEKPYKCNQCDKAFAQSSSLQYH KRTHTGEKPYECDQCGKAFTHPSTVVLHKRTHTGEKPYECDQCGKAFAHHSTLQYHKKNH TEETL >ENSMUSP00000131240.1 pep:known chromosome:GRCm38:7:41546412:41560795:-1 gene:ENSMUSG00000090744.7 transcript:ENSMUST00000164677.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6871 description:predicted gene 6871 [Source:MGI Symbol;Acc:MGI:3643456] MDTIGKTIILKNSVKVVEDMEGTKQVMQERNTVNIHNMITSSHITVIFTSMNKFKLKRNP LKAFNILKLLHVIVVFKYIKEQILERNPTNVINVIKSFHNRDISEHMKELILERNPMNVI NVVKPLHISLLWSCIKEHILERNPMNVINVV >ENSMUSP00000129201.1 pep:known chromosome:GRCm38:7:41562101:41573662:-1 gene:ENSMUSG00000090744.7 transcript:ENSMUST00000171059.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6871 description:predicted gene 6871 [Source:MGI Symbol;Acc:MGI:3643456] MRNLTNVTCVGRGFTRSSSLHVHHSSIQVRNLSDEIGVGRASARAQTYTSTGAPTQERSH TSATNVGKVSARVWTFETTSGYTPGESPTTVASVGESTRERSCMNAAGSGLELRNPPASA FQVVGLKACTTTAWPGLVLVMVILGDQIGYTWN >ENSMUSP00000131563.1 pep:known chromosome:GRCm38:4:98726734:98733644:1 gene:ENSMUSG00000087166.9 transcript:ENSMUST00000152889.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L1td1 description:LINE-1 type transposase domain containing 1 [Source:MGI Symbol;Acc:MGI:3578435] MSGVQSKAARLQKERKEKLSADRERKTATSLCLKHSEVPASMMKQFNALMEMQEVMFAEM RETYKNDIKEMLLKRTAPWVKHLEERIGQQEGDAISERPKPGEKVEELSSGTDEDTENLT VRSKKGKQDKAKPLNSSHVLKSSLERG >ENSMUSP00000127504.1 pep:known chromosome:GRCm38:4:98726748:98738480:1 gene:ENSMUSG00000087166.9 transcript:ENSMUST00000154279.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L1td1 description:LINE-1 type transposase domain containing 1 [Source:MGI Symbol;Acc:MGI:3578435] MSGVQSKAARLQKERKEKLSADRERKTATSLCLKHSEVPASMMKQFNALMEMQEVMFAEM RETYKNDIKEMLLKRTAPWVKHLEERIGQQEGDAISERPKPGEKVEELSSGTDEDTENLT VRSKKGKQDKAKPLNSSHVLKSSLERGGEALRGEHGRCGESSSLVDWKNANEKPAREASC QSEENRLKAPKESPPEGGAGATLRLAADFSAATLDVGRQWSQVFRLLKEKELEPELQCSV KLAFKCDGEANVFSDLHSLRQFTSRKPFLRELLKDVFPQNEGGRRNELRERLGKTLGDTK HEARRIASDSLSFLFIKEVEVASPEVKTYKEETLDRKNKGTLKKQEGEEEEISETQGEET SEGETSELGEEEGSESEEEEESSESEEEEESSESAEEIGFISLVVDSESEEEVNRKTASQ TKKKETFHGLKELAFSYLVWDSKKKKLVRCQEGGAAAASTQRIGMPCLTLYLTSPSESLG AGSDGPKSHSCTKLSALSQVTPLLTNIEKGRYKVPQTEEPTAKEADLILETEENFKRGVI SVIRQMQREVDKIKNIYVSDVLNMKSSLDDLNSLACTIEARVSEQEDAVEGLTKDTMQLA REIVDKERLREREDRFRSSNIRVIGIPEKENRENGAVDIIKEVIEENFAELEDQSLEIVS AHRVPNSVDEHRLTPRHILVKFGSASDKQRVLKASRAKQEITYRGSKIRLTADLSPGTID ARSQWCGIIKILQDEGFQPRILYPAKLAFDFKGKTKIFFDIEEFKKFISDIPYLKDLLNN IH >ENSMUSP00000132806.1 pep:known chromosome:GRCm38:4:98726793:98733560:1 gene:ENSMUSG00000087166.9 transcript:ENSMUST00000171708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L1td1 description:LINE-1 type transposase domain containing 1 [Source:MGI Symbol;Acc:MGI:3578435] MSGVQSKAARLQKERKEKLSADRERKTATSLCLKHSEVPASMMKQFNALMEMQEVMFAEM RETYKNDIKEMLLKRTAPWVKHLEERIGQQEGDAISERPKPGEKVEELSSGTDEDTENL >ENSMUSP00000134149.1 pep:known chromosome:GRCm38:4:98733203:98738116:1 gene:ENSMUSG00000087166.9 transcript:ENSMUST00000173659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:L1td1 description:LINE-1 type transposase domain containing 1 [Source:MGI Symbol;Acc:MGI:3578435] MSGVQSKAARLQKERKEKLSADRERKTATSLCLKHSEVPASMMKQFNALMEMQEVMFAEM RETYKNDIKEMLLKRTAPWVKHLEERIGQQEGDAISERPKPGEKVEELSSGTDEDTENLT VRSKKGKQDKAKPLNSSHVLKSSLERGGEALRGEHGRCGESSSLVDWKNANEKPAREASC QSEENRLKAPKESPPEGGAGATLRLAADFSAATLDVGRQWSQVFRLLKEKELEPELQCSV KLAFKCDGEANVFSDLHSLRQFTSRKPFLRELLKDVFPQNEGGRRNELRERLGKTLGDTK HEARRIASDSLSFLFIKEVEVASPEVKTYKEETLDRKNKGTLKKQEGEEEEISETQGEET SEGETSELGEEEGSESEEEEESSESEEEEESSELGEEEEDSGEEEEEEEEEEEEGEEEKE EENSEMGKKEVASIRSEVLGSAFQGLVVAGECGVGKITRSAEEIGFISLVVDSESEEEVN RKTASQTKKKETFHGLKELAFSYLVWDSKKKKLVRCQEGGAAAASTQRIGMPCLTLYLTS PSESLGAGSDGPKSHSCTKLSALSQVTPLLTNIEKGRYKVPQTEEPTAKEADLILETEEN FKRGVISVIRQMQREVDKIKNIYVSDVLNMKSSLDDLNSLACTIEARVSEQEDAVEGLTK DTMQLAREIVDKERLREREDRFRSSNIRVIGIPEKENRENGAVDIIKEVIEENFAELEDQ SLEIVSAHRVPNSVDEHRLTPRHILVKFGSASDKQRVLKASRAKQEITYRGSKIRLTADL SPGTIDARSQWCGIIKILQDEGFQPRILYPAKLAFDFKGKTKIFFDIEEFKKFISDIPYL KDLLNNIH >ENSMUSP00000132957.1 pep:known chromosome:GRCm38:17:20419163:20420059:1 gene:ENSMUSG00000091151.1 transcript:ENSMUST00000170076.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r224 description:vomeronasal 1 receptor 224 [Source:MGI Symbol;Acc:MGI:3645524] MDLKDLIIGTVFLFQSTVGILGNFSLLTSYLFNYYSKHTIKTTNLILTHLFTANFLILLS KGLLHTMQTFGLKGFINDFGCKFLLYIQRLGRNMSISTTCFLSVFQAITISPRTSFSMSL KLKSPNHIGLFTSLWWILYMALNLIFPVYMYNKENRKNLTHKNHFKHCSTVVHDDFISSL YTAFIVLPEILFSFIIIWSSSSMVVVLYMHRQSIQHIHSINIGSRTSPESRATHRILALV STFIGFYALSSILQGYIALVYNPGWWLVNITAIISICFPTLGPFVMNHDSTRPRLSFL >ENSMUSP00000029382.7 pep:known chromosome:GRCm38:3:79591365:79603650:1 gene:ENSMUSG00000027804.13 transcript:ENSMUST00000029382.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppid description:peptidylprolyl isomerase D (cyclophilin D) [Source:MGI Symbol;Acc:MGI:1914988] MSHASPAAKPSNSKNPRVFFDVDIGGERVGRIVLELFADIVPKTAENFRALCTGEKGTGS TTGKPLHFKGCPFHRIIKKFMIQGGDFSNQNGTGGESIYGEKFEDENFHYKHDREGLLSM ANAGPNTNGSQFFITTVPTPHLDGKHVVFGQVIKGLGVARTLENVEVNGEKPAKLCVIAE CGELKEGDDWGIFPKDGSGDSHPDFPEDADIDLKDVDKILLISEDLKNIGNTFFKSQNWE MAIKKYAKVLRYVDSSKAVIEKADRSRLQPIALSCVLNIGACKLKMSNWQGAIDSCLEAL EMDPSNTKALYRKAQGWQGLKEYDQALADLKKAQEIAPGDKAIQAELLKVKQMIKAQKDK EKAVYAKMFA >ENSMUSP00000141423.1 pep:known chromosome:GRCm38:3:79593689:79597761:1 gene:ENSMUSG00000027804.13 transcript:ENSMUST00000159505.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppid description:peptidylprolyl isomerase D (cyclophilin D) [Source:MGI Symbol;Acc:MGI:1914988] XKGTGSTTGKPLHFKGCPFHRIIKKFMIQGGDFSNQNGTGGESIYGEKFEDENFHYKNNK TIANTQDVRGFST >ENSMUSP00000098987.1 pep:known chromosome:GRCm38:5:30588170:30625271:1 gene:ENSMUSG00000049265.7 transcript:ENSMUST00000066295.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kcnk3 description:potassium channel, subfamily K, member 3 [Source:MGI Symbol;Acc:MGI:1100509] MKRQNVRTLALIVCTFTYLLVGAAVFDALESEPEMIERQRLELRQLELRARYNLSEGGYE ELERVVLRLKPHKAGVQWRFAGSFYFAITVITTIGYGHAAPSTDGGKVFCMFYALLGIPL TLVMFQSLGERINTFVRYLLHRAKRGLGMRHAEVSMANMVLIGFVSCISTLCIGAAAFSY YERWTFFQAYYYCFITLTTIGFGDYVALQKDQALQTQPQYVAFSFVYILTGLTVIGAFLN LVVLRFMTMNAEDEKRDAEHRALLTHNGQAVGLGGLSCLSGSLGDGVRPRDPVTCAAAAG GVGVGVGGSGFRNVYAEVLHFQSMCSCLWYKSREKLQYSIPMIIPRDLSTSDTCVEHSHS SPGGGGRYSDTPSHPCLCSGTQRSAISSVSTGLHSLAAFRGLMKRRSSV >ENSMUSP00000036228.7 pep:known chromosome:GRCm38:4:19818725:19842218:1 gene:ENSMUSG00000041052.8 transcript:ENSMUST00000035890.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a13 description:solute carrier family 7, (cationic amino acid transporter, y+ system) member 13 [Source:MGI Symbol;Acc:MGI:1921337] MAMDSKKEIRLKRELGYFWGTNFLIINIIGAGIFVSPKGVLQHSSMNVGVSLCVWAVCAV LTLTSALCSAEIGITFPYSGAHYYFLKRCFGPLVAFLRLWTSLFLGPGLIASQALLLAEY GVQPFYPSCSAPILPRKCLALAMLWIVGILNSRGVKELSWLQTVSSVLKVGILGVISLSG LFLLVRGKKENVQRLQNAFDAEFPEVSQLIEAIFQGYFAFSGGGCFTCIAGELKKPSKTI PRCIFTGLPLVTVVYLLANISYLTVLTPQEMLSSDAVALTWTDRVIPQFTWTVPFAISAS LFINLVINVLETSRVLYIASENGQLPLLFCALNVHSSPFIAVLLIISMASILIVLTNLID LINYLYFVVSIWTALSIIGILKLRYQEPNLHRPYKVFLPFTFIALGITLSLVLIPLVKSP KLHYIYVFLFLLSGLVFYVPLIHFKVKFVWFQKLTCYLQLLFNICIPDVSDDHIHEES >ENSMUSP00000029256.7 pep:known chromosome:GRCm38:3:30792875:30821263:1 gene:ENSMUSG00000027706.8 transcript:ENSMUST00000029256.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec62 description:SEC62 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1916526] MAERRRHKKRIQEVGEPSKEEKAVAKYLRFNCPTKSTNMMGHRVDYFIASKAVECLLDSK WAKAKKGEDALFTTRESVVDYCNRLLKKQFFHRALKVMKMKYDKDVKKEKDKGKSESGKE DDKKSKKESVKEEKTKKEKEKKKDGEKEDSKKEETPGTPKKKETKKKFKLEPHDDQVFLD GNEVFVWIYDPVHIKTFVMGLILVIAVIAATLFPLWPAEMRVGVYYLSVGAGCFVASILL LAIARCILFLIIWLITGGRHHFWFLPNLTADVGFIDSFRPLYTHEYKGPKADLKKDEKSE TKKQQKSDSEEKSDSEKKEDEEGKGAPADHGPEGSGGERHSDTDSDRREDDRSQHSSGNG NDFEMITKEELEQQTDGDCDEEDDDKDGEVPKSAHEKS >ENSMUSP00000134157.1 pep:known chromosome:GRCm38:7:9546769:9572288:-1 gene:ENSMUSG00000096294.1 transcript:ENSMUST00000174433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm10302 description:predicted gene 10302 [Source:MGI Symbol;Acc:MGI:3704281] MFIFMGVFFLLNITLLMANFIDPRCFWRINLDEITDEYLGLSCTFILAAVQTPTEKDYFN KTLNVLKTTKNHKYALALVFAMDEINRNPDLLPNMSLIIRYTLGLCDGKTVTPTPYLFHK KKQSPIPNYFCNEETMCSFQLSGPKWDVSLSFWMYLDSFLSLRILQLTYGPFYSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYLKWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDTSFPHKTEMDYNQIVMSSTNVIIIYGETRNFIYLIFRMWEPPILQRIWITT KQLNFPTRKTDISHGTFYGSLTFLPHHGEISGFKKFVQTWFHVRNTDLYLVMPEWNYFNY VSSASNCKILKNNSSDASFDWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIGNGKGASSHCLKVNSFLRRTCFTNPLGDKVFMKQRVIMQDEYDIIHFGNLSQHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNRAICVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSDTAFYCILGYLACMALGSFSLAFLAKNLPDTFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGILGCIFVPKIYIIFMRPER NSTQKIREKSYF >ENSMUSP00000032568.7 pep:known chromosome:GRCm38:7:19083849:19093821:1 gene:ENSMUSG00000030409.15 transcript:ENSMUST00000032568.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmpk description:dystrophia myotonica-protein kinase [Source:MGI Symbol;Acc:MGI:94906] MSAEVRLRQLQQLVLDPGFLGLEPLLDLLLGVHQELGASHLAQDKYVADFLQWVEPIAAR LKEVRLQRDDFEILKVIGRGAFSEVAVVKMKQTGQVYAMKIMNKWDMLKRGEVSCFREER DVLVKGDRRWITQLHFAFQDENYLYLVMEYYVGGDLLTLLSKFGERIPAEMARFYLAEIV MAIDSVHRLGYVHRDIKPDNILLDRCGHIRLADFGSCLKLQPDGMVRSLVAVGTPDYLSP EILQAVGGGPGAGSYGPECDWWALGVFAYEMFYGQTPFYADSTAETYAKIVHYREHLSLP LADTVVPEEAQDLIRGLLCPAEIRLGRGGAGDFQKHPFFFGLDWEGLRDSVPPFTPDFEG ATDTCNFDVVEDRLTAMVSGGGETLSDMQEDMPLGVRLPFVGYSYCCMAFRDNQVPDPTP MELEALQLPVSDLQGLDLQPPVSPPDQVAEEADLVAVPAPVAEAETTVTLQQLQEALEEE VLTRQSLSRELEAIRTANQNFSSQLQEAEVRNRDLEAHVRQLQERMEMLQAPGAAAITGV PSPRATDPPSHLDGPPAVAVGQCPLVGPGPMHRRHLLLPARIPRPGLSEARCLLLFAAAL AAAATLGCTGLVAYTGGLTPVWCFPGATFAP >ENSMUSP00000123516.1 pep:known chromosome:GRCm38:7:19083868:19086961:1 gene:ENSMUSG00000030409.15 transcript:ENSMUST00000122999.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmpk description:dystrophia myotonica-protein kinase [Source:MGI Symbol;Acc:MGI:94906] MSAEVRLRQLQQLVLDPGFLGLEPLLDLLLGVHQELGASHLAQDKYVADFLQWVEPIAAR LKEVRLQRDDFEILKVIGRGAFSEVSCFREERDVLVKGDRRWITQLHFAFQDENYLYLVM EYYVGGDLLTLLSKFGERI >ENSMUSP00000118459.1 pep:known chromosome:GRCm38:7:19083870:19093821:1 gene:ENSMUSG00000030409.15 transcript:ENSMUST00000154199.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dmpk description:dystrophia myotonica-protein kinase [Source:MGI Symbol;Acc:MGI:94906] MSAEVRLRQLQQLVLDPGFLGLEPLLDLLLGVHQELGASHLAQDKYVADFLQWVEPIAAR LKEVRLQRDDFEILKVIGRGAFSEVAVVKMKQTGQVYAMKIMNKWDMLKRGEVSCFREER DVLVKGDRRWITQLHFAFQDENYLYLVMEYYVGGDLLTLLSKFGERIPAEMARFYLAEIV MAIDSVHRLGYVHRDIKPDNILLDRCGHIRLADFGSCLKLQPDGMVRSLVAVGTPDYLSP EILQAVGGGPGAGSYGPECDWWALGVFAYEMFYGQTPFYADSTAETYAKIVHYREHLSLP LADTVVPEEAQDLIRGLLCPAEIRLGRGGAGDFQKHPFFFGLDWEGLRDSVPPFTPDFEG ATDTCNFDVVEDRLTAMETLSDMQEDMPLGVRLPFVGYSYCCMAFRDNQVPDPTPMELEA LQLPVSDLQGLDLQPPVSPPDQVAEEADLVAVPAPVAEAETTVTLQQLQEALEEEVLTRQ SLSRELEAIRTANQNFSSQLQEAEVRNRDLEAHVRQLQERMEMLQAPGAAAITGVPSPRA TDPPSHASRQILPKGTPYTPVLASTRFPHCLSPCCWAPIGSPVPSLSS >ENSMUSP00000104113.3 pep:known chromosome:GRCm38:7:19083885:19093821:1 gene:ENSMUSG00000030409.15 transcript:ENSMUST00000108473.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmpk description:dystrophia myotonica-protein kinase [Source:MGI Symbol;Acc:MGI:94906] MSAEVRLRQLQQLVLDPGFLGLEPLLDLLLGVHQELGASHLAQDKYVADFLQWVEPIAAR LKEVRLQRDDFEILKVIGRGAFSEVAVVKMKQTGQVYAMKIMNKWDMLKRGEVSCFREER DVLVKGDRRWITQLHFAFQDENYLYLVMEYYVGGDLLTLLSKFGERIPAEMARFYLAEIV MAIDSVHRLGYVHRDIKPDNILLDRCGHIRLADFGSCLKLQPDGMVRSLVAVGTPDYLSP EILQAVGGGPGAGSYGPECDWWALGVFAYEMFYGQTPFYADSTAETYAKIVHYREHLSLP LADTVVPEEAQDLIRGLLCPAEIRLGRGGAGDFQKHPFFFGLDWEGLRDSVPPFTPDFEG ATDTCNFDVVEDRLTAMVSGGGETLSDMQEDMPLGVRLPFVGYSYCCMAFRDNQVPDPTP MELEALQLPVSDLQGLDLQPPVSPPDQVAEEADLVAVPAPVAEAETTVTLQQLQEALEEE VLTRQSLSRELEAIRTANQNFSSQLQEAEVRNRDLEAHVRQLQERMEMLQAPGAADP >ENSMUSP00000104114.1 pep:known chromosome:GRCm38:7:19083941:19093821:1 gene:ENSMUSG00000030409.15 transcript:ENSMUST00000108474.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmpk description:dystrophia myotonica-protein kinase [Source:MGI Symbol;Acc:MGI:94906] MSAEVRLRQLQQLVLDPGFLGLEPLLDLLLGVHQELGASHLAQDKYVADFLQWVEPIAAR LKEVRLQRDDFEILKVIGRGAFSEVAVVKMKQTGQVYAMKIMNKWDMLKRGEVSCFREER DVLVKGDRRWITQLHFAFQDENYLYLVMEYYVGGDLLTLLSKFGERIPAEMARFYLAEIV MAIDSVHRLGYVHRDIKPDNILLDRCGHIRLADFGSCLKLQPDGMVRSLVAVGTPDYLSP EILQAVGGGPGAGSYGPECDWWALGVFAYEMFYGQTPFYADSTAETYAKIVHYREHLSLP LADTVVPEEAQDLIRGLLCPAEIRLGRGGADFEGATDTCNFDVVEDRLTAMVSGGGETLS DMQEDMPLGVRLPFVGYSYCCMAFRDNQVPDPTPMELEALQLPVSDLQGLDLQPPVSPPD QVAEEADLVAVPAPVAEAETTVTLQQLQEALEEEVLTRQSLSRELEAIRTANQNFSSQLQ EAEVRNRDLEAHVRQLQERMEMLQAPGAAAITGVPSPRATDPPSHLDGPPAVAVGQCPLV GPGPMHRRHLLLPARIPRPGLSEARCLLLFAAALAAAATLGCTGLVAYTGGLTPVWCFPG ATFAP >ENSMUSP00000113193.1 pep:known chromosome:GRCm38:19:4930651:4943092:-1 gene:ENSMUSG00000024901.14 transcript:ENSMUST00000120475.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peli3 description:pellino 3 [Source:MGI Symbol;Acc:MGI:1924963] MVLEGNPDVGSPRTSDLQHPGSQGSCILSCPGEEALAGEEPIKYGELIVLGYNGCLASGD KGRRRSRLALSRRPHANGVKPDVMHHISTPLVSKIGRSTENMIDFVVTDTSPGGGATEGP SAQSTISRYACRILCDRRPPYTARIYAAGFDASSNIFLGERAAKWRTPDGLMDGLTTNGV LVMHPAGGFSEDSAPGVWREISVCGNVYTLRDSRSAQQRGKLVENESNVLQDGSLIDLCG ATLLWRTPAGLLRAPTLKQLEAQRQEANAARPQCPVGLSTLAFPSPARGRTAPDKQQPWV YVRCGHVHGYHGWGCRREQGPQERECPLCRLVGPYVPLWLGQEAGLCLDPGPPSHAFAPC GHVCSEKTARYWAQTPLPHGTHAFHAACPFCGAWLTGELGCVRLIFQGPLD >ENSMUSP00000025834.7 pep:known chromosome:GRCm38:19:4931856:4943127:-1 gene:ENSMUSG00000024901.14 transcript:ENSMUST00000025834.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peli3 description:pellino 3 [Source:MGI Symbol;Acc:MGI:1924963] MVLEGNPDVGSPRTSDLQHPGSQGSCILSCPGEEALAGEEPIKYGELIVLGYNGCLASGD KGRRRSRLALSRRPHANGVKPDVMHHISTPLVSKALSNRGQHSISFTLSRSHSVIVEYTH DSDKDMFQIGRSTENMIDFVVTDTSPGGGATEGPSAQSTISRYACRILCDRRPPYTARIY AAGFDASSNIFLGERAAKWRTPDGLMDGLTTNGVLVMHPAGGFSEDSAPGVWREISVCGN VYTLRDSRSAQQRGKLVENESNVLQDGSLIDLCGATLLWRTPAGLLRAPTLKQLEAQRQE ANAARPQCPVGLSTLAFPSPARGRTAPDKQQPWVYVRCGHVHGYHGWGCRREQGPQEREC PLCRLVGPYVPLWLGQEAGLCLDPGPPSHAFAPCGHVCSEKTARYWAQTPLPHGTHAFHA ACPFCGAWLTGELGCVRLIFQGPLD >ENSMUSP00000118173.1 pep:known chromosome:GRCm38:19:4935016:4943073:-1 gene:ENSMUSG00000024901.14 transcript:ENSMUST00000133254.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peli3 description:pellino 3 [Source:MGI Symbol;Acc:MGI:1924963] MVLEGNPDVGSPRTSDLQHPGSQGSCILSCPGEEALAGEEPIKYGELIVLGYNGCLASGD KGRRRSRLALSRRPHANGVKPDVMHHISTPLVSKALSNRGQHSISFTLSRSHSVIVEYTH DSDKDMFQIGRSTENMIDFVVTDTSPGGGATEGPS >ENSMUSP00000105979.1 pep:known chromosome:GRCm38:13:27506968:27513215:-1 gene:ENSMUSG00000021346.13 transcript:ENSMUST00000110350.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl8a8 description:prolactin family 8, subfamily a, member 81 [Source:MGI Symbol;Acc:MGI:1921438] MELQFRQPHFSDALLLLLLSNLLLWEKASSIPACMAEKSGCWNPRMETFDSAIRKAETLR TVSKQFYVELYHNQFSSGKFATLTSKLVRRDEIVFRAASHCHSTLTNPPNKGIQYITIEI PEYLKTLINYVGAWISPLFHLVIELSAMKDVPETILSKAKEIEENNRQILRDLRWIITEV YPTSKKKEIFPSWELLSFLKSSSRNSKFLAMFNLSHCLEYDTQFFLFHLRILKCRITGKD C >ENSMUSP00000018389.3 pep:known chromosome:GRCm38:13:27507072:27513213:-1 gene:ENSMUSG00000021346.13 transcript:ENSMUST00000018389.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl8a8 description:prolactin family 8, subfamily a, member 81 [Source:MGI Symbol;Acc:MGI:1921438] MELQFRQPHFYALLLLLLSNLLLWEKASSIPACMAEKSGCWNPRMETFDSAIRKAETLRT VSKQFYVELYHNQFSSGKFATLTSKLVRRDEIVFRAASHCHSTLTNPPNKGIQYITIEIP EYLKTLINYVGAWISPLFHLVIELSAMKDVPETILSKAKEIEENNRQILRDLRWIITEVY PTSKKKEIFPSWELLSFLKSSSRNSKFLAMFNLSHCLEYDTQFFLFHLRILKCRITGKDC >ENSMUSP00000099869.3 pep:known chromosome:GRCm38:16:44867097:44915840:1 gene:ENSMUSG00000090176.1 transcript:ENSMUST00000102805.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r2 description:Cd200 receptor 2 [Source:MGI Symbol;Acc:MGI:3042847] MHALGRTPALTLLIFIYNFVSVYTIVSVQMGTKARLCCRSIPLTKAVLITWIIKPRGQPS CIMAYKVETKETNETCLGRNITWASTPDHIPDLQISAVALQHEGNYLCEITTPEGNFHKV YDLQVLVPPEVTYFLGENRTAVCEAMAGKPAAQISWTPDGDCVTKSESHSNGTVTVRSTC HWEQNNVSAVSCIVSHSTGNQSLSIELSRGTTSTTPSLLTILYVKMVLLGIILLKVGFAF FQKRNVTRT >ENSMUSP00000002284.9 pep:known chromosome:GRCm38:7:24462484:24475967:1 gene:ENSMUSG00000046223.10 transcript:ENSMUST00000002284.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plaur description:plasminogen activator, urokinase receptor [Source:MGI Symbol;Acc:MGI:97612] MGLPRRLLLLLLLATTCVPASQGLQCMQCESNQSCLVEECALGQDLCRTTVLREWQDDRE LEVVTRGCAHSEKTNRTMSYRMGSMIISLTETVCATNLCNRPRPGARGRAFPQGRYLECA SCTSLDQSCERGREQSLQCRYPTEHCIEVVTLQSTERSLKDEDYTRGCGSLPGCPGTAGF HSNQTFHFLKCCNYTHCNGGPVLDLQSFPPNGFQCYSCEGNNTLGCSSEEASLINCRGPM NQCLVATGLDVLGNRSYTVRGCATASWCQGSHVADSFPTHLNVSVSCCHGSGCNSPTGGA PRPGPAQLSLIASLLLTLGLWGVLLWT >ENSMUSP00000145540.1 pep:known chromosome:GRCm38:7:24462500:24471993:1 gene:ENSMUSG00000046223.10 transcript:ENSMUST00000206935.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plaur description:plasminogen activator, urokinase receptor [Source:MGI Symbol;Acc:MGI:97612] MGLPRRLLLLLLLATTCVPASQGLQCMQCESNQSCLVEECALGQDLCRTTVLREWQDPEN PRDVYRGREDHSRR >ENSMUSP00000145632.1 pep:known chromosome:GRCm38:7:24462500:24475715:1 gene:ENSMUSG00000046223.10 transcript:ENSMUST00000206514.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Plaur description:plasminogen activator, urokinase receptor [Source:MGI Symbol;Acc:MGI:97612] MGLPRRLLLLLLLATTCVPASQGLQCMQCESNQSCLVEECALGQDLCRTTVLREWQDDRE LEVVTRGCAHSEKTNRTMSYRMGSMIISLTETVCATNLCNRPRPGARGRAFPQGRYLECA SCTSLDQSCERGREQSLQCRYPTEHCIEVVTLQSTESKLPSAGQLLVEIFKSWEQSASKR QLNPHTVTGPTFSVTGSSRSLDQLGSDQEPSYLIMSPILLSF >ENSMUSP00000113509.2 pep:known chromosome:GRCm38:7:44489937:44496529:-1 gene:ENSMUSG00000008140.17 transcript:ENSMUST00000118808.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc10 description:ER membrane protein complex subunit 10 [Source:MGI Symbol;Acc:MGI:1916933] MALGFSQRSRMVAAGAGVTRLLVLLLMVAAAPSRARGSGCRVGASARGTGADGREAEGCG TVALLLEHSFELGDGANFQKRGLLLWNQQDGTLSATQRQLSEEERGRLRDVAAVNGLYRV RVPRRPGTLDGSEAGGHVSSFVPACSLVESHLSDQLTLHVDVAGNVVGLSVVVYPGGCRG SEVEDEDLELFNTSVQLRPPSTAPGPETAAFIERLEMEQAQKAKNPQEQKSFFAKYWMYI IPVVLFLMMSGAPDAGGQGGGGGGGSSR >ENSMUSP00000113141.2 pep:known chromosome:GRCm38:7:44490111:44496406:-1 gene:ENSMUSG00000008140.17 transcript:ENSMUST00000118515.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc10 description:ER membrane protein complex subunit 10 [Source:MGI Symbol;Acc:MGI:1916933] MALGFSQRSRMVAAGAGVTRLLVLLLMVAAAPSRARGSGCRVGASARGTGADGREAEGCG TVALLLEHSFELGDGANFQKRGLLLWNQQDGTLSATQRQLSEEERGRLRDVAAVNGLYRV RVPRRPGTLDGSEAGGHVSSFVPACSLVESHLSDQLTLHVDVAGNVVGLSVVVYPGGCRG SEVEDEDLELFNTSVQLRPPSTAPGPETAAFIERLEMEQAQKAKNPQEQKSFFAKYWHLI LGGAVLLTALRPAAPGPAPAPTEA >ENSMUSP00000116293.2 pep:known chromosome:GRCm38:7:44492003:44496428:-1 gene:ENSMUSG00000008140.17 transcript:ENSMUST00000138328.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emc10 description:ER membrane protein complex subunit 10 [Source:MGI Symbol;Acc:MGI:1916933] MALGFSQRSRMVAAGAGVTRLLVLLLMVAAAPSRARGSGCRVGASARGTGADGREAEGCG TVALLLEHSFELGDGANFQKRGLLLWNQQDGTLSATQRQLSEEERGRLRVRPPDVAAVNG LYRVRVPRRPGTLDGSEAGGHVSSFVPACSLVESHLSDQLTLHVDVAGNVVGLSVVVYPG GCRGSEVEDEDLELFNTSVQLRPPSTAPGPETAAFIERLEMEQA >ENSMUSP00000093420.4 pep:known chromosome:GRCm38:10:39946907:39960153:-1 gene:ENSMUSG00000071335.4 transcript:ENSMUST00000095749.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G630090E17Rik description:RIKEN cDNA G630090E17 gene [Source:MGI Symbol;Acc:MGI:3773841] MNHFLLLGMSMLATTIGLYLTPFCKTATLLIAMMSVFGVSFGALDTGANVLILTLWGDKG APHMQALHFSFALGAFLAPLLAKLAWGTTASAQNHTESDLDTLMLNQSSNGASDSVFAVP DDMNLLWTYASIGTYVLVVSVFLFGLFCKKHSRQKKSAASAEGARRAKYHRALLCLLFLF FFFYVGAEITYGSYIFSFATTHVGMEESEAAGLNSIFWGTFAACRGLAIFFATLLQPGTM IVLSNIGSLVSCFFLVLFDKSPLCLWIATSVYGASMATTFPSGVSWIEQYTTLTGKSTAF FVIGGTVGEMAFPTVIGILQEHYPDLPVVLYTCLGSAIFTAVLFPVMYKLATLHL >ENSMUSP00000070564.6 pep:known chromosome:GRCm38:13:119335448:119408997:-1 gene:ENSMUSG00000025453.16 transcript:ENSMUST00000069902.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnt description:nicotinamide nucleotide transhydrogenase [Source:MGI Symbol;Acc:MGI:109279] MAHLLKTVVAGCSCPFLSNLGSSKVLPGKRDFVRTLRTHQALWCKSPVKPGIPYKQLTVG VPKEIFQNEKRVALSPAGVQALVKQGFNVVVESGAGEASKFPDDLYRAAGAQIQGMKEVL ASDLVVKVRAPMVNPTLGAHEADFLKPSGTLISFIYPAQNPDLLNKLSERKTTVLAMDQV PRVTIAQGYDALSSMANISGYKAVVLAANHFGRFFTGQITAAGKVPPAKILIVGGGVAGL ASAGAAKSMGAVVRGFDTRAAALEQFKSLGAEPLEVDLKESGEGQGGYAKEMSKEFIEAE MKLFAQQCKEVDILISTALIPGLTIAKRIQISDLPQLVAAFHSLVGLAAVLTCMAEYIVE YPHFAMDATSNFTKIVAYLGTYIGGVTFSGSLVAYGKLQGILKSAPLLLPGRHALNAGLL AASVGGIIPFMADPSFTTGITCLGSVSALSTLMGVTLTAAIGGADMPVVITVLNSYSGWA LCAEGFLLNNNLLTIVGALIGSSGAILSYIMCVAMNRSLANVILGGYGTTSTAGGKPMEI SGTHTEINLDNAVEMIREANSIVITPGYGLCAAKAQYPIADLVKMLTEQGKKVRFGIHPV AGRMPGQLNVLLAEAGVPYDIVLEMDEINSDFPDTDLVLVIGANDTVNSAAQEDPNSIIA GMPVLEVWKSKQVIVMKRSLGVGYAAVDNPIFYKPNTAMLLGDAKKTCDALQAKVRESYQ K >ENSMUSP00000096753.3 pep:known chromosome:GRCm38:13:119335448:119408997:-1 gene:ENSMUSG00000025453.16 transcript:ENSMUST00000099149.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnt description:nicotinamide nucleotide transhydrogenase [Source:MGI Symbol;Acc:MGI:109279] MAHLLKTVVAGCSCPFLSNLGSSKVLPGKRDFVRTLRTHQALWCKSPVKPGIPYKQLTVG VPKEIFQNEKRVALSPAGVQALVKQGFNVVVESGAGEASKFPDDLYRAAGAQIQGMKEVL ASDLVVKVRAPMVNPTLGAHEADFLKPSGTLISFIYPAQNPDLLNKLSERKTTVLAMDQV PRVTIAQGYDALSSMANISGYKAVVLAANHFGRFFTGQITAAGKVPPAKILIVGGGVAGL ASAGAAKSMGAVVRGFDTRAAALEQFKSLGAEPLEVDLKESGEGQGGYAKEMSKEFIEAE MKLFAQQCKEVDILISTALIPGGFLVTQRMLDMFKRPTDPPEYNYLYLLPGGTFVGGYLA ALYGGYNIEEIMYLGSGLCCVGALGGLSTQGTARLGNALGMIGVAGGLAATLGGLKPDPQ LLAQMSGAMAMGGTIGLTIAKRIQISDLPQLVAAFHSLVGLAAVLTCMAEYIVEYPHFAM DATSNFTKIVAYLGTYIGGVTFSGSLVAYGKLQGILKSAPLLLPGRHALNAGLLAASVGG IIPFMADPSFTTGITCLGSVSALSTLMGVTLTAAIGGADMPVVITVLNSYSGWALCAEGF LLNNNLLTIVGALIGSSGAILSYIMCVAMNRSLANVILGGYGTTSTAGGKPMEISGTHTE INLDNAVEMIREANSIVITPGYGLCAAKAQYPIADLVKMLTEQGKKVRFGIHPVAGRMPG QLNVLLAEAGVPYDIVLEMDEINSDFPDTDLVLVIGANDTVNSAAQEDPNSIIAGMPVLE VWKSKQVIVMKRSLGVGYAAVDNPIFYKPNTAMLLGDAKKTCDALQAKVRESYQK >ENSMUSP00000104827.1 pep:known chromosome:GRCm38:13:119353731:119408997:-1 gene:ENSMUSG00000025453.16 transcript:ENSMUST00000109204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nnt description:nicotinamide nucleotide transhydrogenase [Source:MGI Symbol;Acc:MGI:109279] MAHLLKTVVAGCSCPFLSNLGSSKVLPGKRDFVRTLRTHQALWCKSPVKPGIPYKQLTVG VPKEIFQNEKRVALSPAGVQALVKQGFNVVVESGAGEASKFPDDLYRAAGAQIQGMKEVL ASDLVVKVRAPMVNPTLGAHEADFLKPSGTLISFIYPAQNPDLLNKLSERKTTVLAMDQV PRVTIAQGYDALSSMANISGYKAVVLAANHFGRFFTGQITAAGKVPPAKILIVGGGVAGL ASAGAAKSMGAVVRGFDTRAAALEQFKSLGAEPLEVDLKESGEGQGGYAKEMSKEFIEAE MKLFAQQCKEVDILISTALIPGGFLVTQRMLDMFKRPTDPPEYNYLYLLPGGTFVGGYLA ALYGGYNIEEIMYLGSGLCCVGALGGLSTQGTARLGNALGMIGVAGGLAATLGGLKPDPQ LLAQMSGAMAMGGTIGLTIAKRIQISDLPQLVAAFHSLVGLAAVLTCMAEYIVEYPHFAM DATSNFTKIVAYLGTYIGGVTFSGSLVAYGKLQGILKSAPLLLPGRHALNAGLLAASVGG IIPFMADPSFTTGITCLGSVSALSTLMGVTLTAAIGGADMPVVITVLNSYSGWALCAEGF LLNNNLLTIVGALIGSSGAILSYIMCVAMNRSLANVILGGYGTTSTAGGKPMEISGTHTE INLDNAVEMIREANSIVITPGYGLCAAKAQYPIADLVKMLTEQGKKVRLVRCV >ENSMUSP00000109812.1 pep:known chromosome:GRCm38:17:34398820:34460734:1 gene:ENSMUSG00000057246.14 transcript:ENSMUST00000114175.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051142 description:cDNA sequence BC051142 [Source:MGI Symbol;Acc:MGI:3039565] MADLEIVLAVILTVLALAILTILIARWSRRRNNGAGMSRFASEQSAGLLDHEDGISHGRH KRRGSRCSFSSDSVQFSAPIPGATGPIKLTQKTFLQTPGPIVQCMDSSESCTPEGSNAVI PVDICCQPALKPSCCQQLAIKPSCCQPCQPCQPCQPYQPCQPSPPDTLPLLITQRTSMKK PSDCKGQMVRHGGSVTFQGIGDDQIKCCKEWRRCQCEYCCPKRFEPHRRGTIWCEQPAPS SSHQHQHQQQQQQQPPPPPPPPRSQDAQKTGVPDKEEPRRDSNKAE >ENSMUSP00000121839.1 pep:known chromosome:GRCm38:17:34398821:34445498:1 gene:ENSMUSG00000057246.14 transcript:ENSMUST00000139063.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051142 description:cDNA sequence BC051142 [Source:MGI Symbol;Acc:MGI:3039565] MFFRLIGEIVLAVILTVLALAILTILIARWSRRRNNGAGMSRFASEQSAGLLDHEDGISH GRHKRRGSRCSFSSDSGLSRSNPGRTRSTKSLSEPLTGNAGDISGTIGPIMQFSAPIPGA TGPIKLTQKTFLQTPGPIVQCMDSSESCT >ENSMUSP00000077685.5 pep:known chromosome:GRCm38:17:34398821:34460734:1 gene:ENSMUSG00000057246.14 transcript:ENSMUST00000078615.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051142 description:cDNA sequence BC051142 [Source:MGI Symbol;Acc:MGI:3039565] MADLEIVLAVILTVLALAILTILIARWSRRRNNGAGMSRFASEQSISHGRHKRRGKQFRF LCRTGSVINEDPHCVSFPLGSRCSFSSDSELTQKTFLQTPGPIVQCMDSSESCTPEGSNA VIPVDICCQPALKPSCCQQLAIKPSCCQPCQPCQPCQPYQPCQPSPPDTLPLLITQRTST KCGPPIILKKPSDCKGQMVRHGGSVTFQGIGDDQIKCCKEWRRCQCEYCCPKRFEPHRRG TIWCEQPAPSSSHQHQHQQQQQQQPPPPPPPPRSQDAQVRGDQRMESEIDAQTICYEEPF LFRRLESLIRRNQDGIVIKQNEDGFLIKKAEEGKKKKKTSRRASAHPETDSDSSAPQTGE APFESKPPEEQPEGEEKKRKKEKKKKKKKDKDG >ENSMUSP00000094961.2 pep:known chromosome:GRCm38:17:34398841:34460734:1 gene:ENSMUSG00000057246.14 transcript:ENSMUST00000097348.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051142 description:cDNA sequence BC051142 [Source:MGI Symbol;Acc:MGI:3039565] MFFRLIGEIVLAVILTVLALAILTILIARWSRRRNNGAGMSRFASEQSAGLLDHEDGISH GRHKRRGKQFRFLCRTGSVINEDPHCVSFPLGSRCSFSSDSELTQKTFLQTPGPIVQCMD SSESCTPVIPVDICCQPALKPSCCQQLAIKPSCCQPCQPCQPCQPYQPCQPSPPDTLPLL ITQRTSTKCGPPIILKKPSDCKGQMVRHGGSVTFQGIGDDQIKCCKEWRRCQCEYCCPKR FEPHRRGTIWCEQPAPSSSHQHQHQQQQQQQPPPPPPPPRSQDAQVRGDQRMESEIDAQT ICYEEPFLFRRLESLIRRNQDGIVIKQNEDGFLIKKAEEGKKKKKTSRRASAHPETDSDS SAPQTGEAPFESKPPEEQPEGEEKKRKKEKKKKKKKDKDG >ENSMUSP00000117413.1 pep:known chromosome:GRCm38:17:34417424:34439857:1 gene:ENSMUSG00000057246.14 transcript:ENSMUST00000142317.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051142 description:cDNA sequence BC051142 [Source:MGI Symbol;Acc:MGI:3039565] MFFRLIGEIVLAVILTVLALAILTILIARWSRRRNNGAGMSRFASEQSAGLLDHEDGISH GRHKRRGSRCSFSSDSGLSRSNPGRTRSTKSLSEPLTGNAGDISGTIGPIMQFSAPI >ENSMUSP00000119513.1 pep:known chromosome:GRCm38:17:34418057:34421912:1 gene:ENSMUSG00000057246.14 transcript:ENSMUST00000135217.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC051142 description:cDNA sequence BC051142 [Source:MGI Symbol;Acc:MGI:3039565] MFFRLIGEIVLAVILTVLALAILTILIARWSRRRNNGAGMSRFASEQSISHGRHKRRGKQ FRFLCRT >ENSMUSP00000073304.5 pep:known chromosome:GRCm38:10:39958061:39986643:-1 gene:ENSMUSG00000038528.14 transcript:ENSMUST00000073618.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC021785 description:cDNA sequence BC021785 [Source:MGI Symbol;Acc:MGI:2446139] MGSRGSGPTSVEQHLLQVETQGKNGLQAVGRTLRWFTTVVLNAAFLGMGVSAAVLGPTFP DLARNVNRNVSSLSEIFVGRALGYLCGSVVGGVLFDCMNHFLLLGLSNLLTIAGLYLTPF CKTAALLTTMMSVTGVSFGVLDTDRIFLRRPPKCCN >ENSMUSP00000130944.1 pep:known chromosome:GRCm38:10:39968153:39986646:-1 gene:ENSMUSG00000038528.14 transcript:ENSMUST00000164566.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC021785 description:cDNA sequence BC021785 [Source:MGI Symbol;Acc:MGI:2446139] MGSRGSGPTSVEQHLLQVETQGKNGLQAVGRTLRWFTTVVLNAAFLGMGVSAAVLGPTFP DLARNVNRNVSSLSEIFVGRALGYLCGSVVGGVLFDCMNHFLLLGLSNLLTIAGLYLTPF CKTAALLTTMMSVTGVSFGVLDTAKVSKLLS >ENSMUSP00000126439.1 pep:known chromosome:GRCm38:10:39970143:39986639:-1 gene:ENSMUSG00000038528.14 transcript:ENSMUST00000170579.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC021785 description:cDNA sequence BC021785 [Source:MGI Symbol;Acc:MGI:2446139] MGSRGSGPTSVEQHLLQVETQGKNGLQAVGRTLRWFTTVVLNAAFLGMGVSAAVLGPTFP DLARNVNRNVSSLSEIFVGRALGYLCGSVVGGVLFDCMNHFLLLGLSNLLTIAGLYLTPF CKTAALLTTMMSVTGVSFGVLDTGGNVLILDLWGDKGAPHMQALHFSFALGAFLAPLLAK LAWGTTTSAQNYTEPQSDRSALNQSFEATLDSVFAVPDDKNLLWTYASIGTYVLVVSVFL FAPFFKKNSKQKKSEASAQGARRAKYHRALLCLLFLFFFFYVGAEVTYGSFVFSFAITHV GMEESEAAGLNSIFWGTFAACRGLAIFFATFLQPGTMIVLCNIGSLVSCFFLVLFDKSPL CLWIATSVYGASMAATFPSSISWIEQYTSINGKSAAFILIGAALGLMATPALSGILQGHY PDLPVVLYTCLGSAVLTTVLFPVMYKVATLPLDQKQEKSINSEGQKTLLSSFRLIKEAK >ENSMUSP00000040341.6 pep:known chromosome:GRCm38:10:39973629:39986640:-1 gene:ENSMUSG00000038528.14 transcript:ENSMUST00000045524.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC021785 description:cDNA sequence BC021785 [Source:MGI Symbol;Acc:MGI:2446139] MGSRGSGPTSVEQHLLQGVSAAVLGPTFPDLARNVNRNVSSLSEIFVGRALGYLCGSVVG GVLFDCMNHFLLLGLSNLLTIAGLYLTPFCKTAALLTTMM >ENSMUSP00000131497.1 pep:known chromosome:GRCm38:10:39974604:39975257:-1 gene:ENSMUSG00000038528.14 transcript:ENSMUST00000170505.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC021785 description:cDNA sequence BC021785 [Source:MGI Symbol;Acc:MGI:2446139] AAVLGPTFPDLARNVNRNVSSLSEIFVGRALGYLCGSVVGGVLFDCMNHFLLLGACWPQE WCLSEAAGFLELKRLETSALEIWIGHKGGQKVDGYWGLCFCLGLVFSSQVRKLSY >ENSMUSP00000070770.8 pep:known chromosome:GRCm38:5:33600347:33629635:-1 gene:ENSMUSG00000037339.17 transcript:ENSMUST00000065119.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53a description:family with sequence similarity 53, member A [Source:MGI Symbol;Acc:MGI:1919225] MVTLITEKLQNQSLDDLTRRACEAGPYSAEKLNKSGHLFPLEISVDKSPWKALRGGWPTG SQAASGPFSVGPHGVSHTEGLKWQLESPGPMDVGHFLDLHDSTGPPAAPPTKRHCRSLSE PEELARCRSPWRPGSSKVWTPISKRRCNSGGSATLQCCSGVGNPTLQGTLVPGLPRRPVS PAGPTSPLTPRPASASSGFVDGSEGSTSSGPPWLSTGPCPFSSRRRLSLSQEHLVDTGAC LPSASSTPTSTPELGRHHGLLRCRSQPCVLDGRRVRRKRRREEDARWTRPSLDFLKMTRT LKNSKSLCSLDYEDDEDDTQEKTLVSSPCNSQGLVGIITPSSSPRIPRPGPDSPSIWASG EPEANPGEGGSSGDPSDWDSAGEEGIFPLDHGDLDLEQIENN >ENSMUSP00000069521.4 pep:known chromosome:GRCm38:5:33600356:33628912:-1 gene:ENSMUSG00000037339.17 transcript:ENSMUST00000065162.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53a description:family with sequence similarity 53, member A [Source:MGI Symbol;Acc:MGI:1919225] MVTLITEKLQNQSLDDLTRRACEAGPYSAEKLNKSGHLFPLEISVDKSPWKALRGGWPTG SQAASGPFSVGPHGVSHTEGLKWQLESPGPMDVGHFLDLHDSTGPPAAPPTKRHCRSLSE PEELARCRSPWRPGSSKVWTPISKRRCNSGGSATLQCCSGVGNPTLQGTLVPGLPRRPVS PAGPTSPLTPRPASASSGFVDGSEGSTSSGPPWLSTGPCPFSSRRRLSLSQEHLVDTGAC LPSASSTPTSTPELGRHHGLLRCRSQPCVLDGRRVRRKRRREEDARWTRPSLDFLKMTRV RPHLCSWVLRKPVFCLILVLE >ENSMUSP00000117350.1 pep:known chromosome:GRCm38:5:33600356:33629588:-1 gene:ENSMUSG00000037339.17 transcript:ENSMUST00000150033.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam53a description:family with sequence similarity 53, member A [Source:MGI Symbol;Acc:MGI:1919225] MVTLITEKLQNQSLDDLTRRACEAGPYSAEKLNKSGHLFPLEISELRRQRQVDL >ENSMUSP00000045539.3 pep:known chromosome:GRCm38:5:33600356:33629622:-1 gene:ENSMUSG00000037339.17 transcript:ENSMUST00000045329.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53a description:family with sequence similarity 53, member A [Source:MGI Symbol;Acc:MGI:1919225] MVTLITEKLQNQSLDDLTRRACEAGPYSAEKLNKSGHLFPLEISVDKSPWKALRGGWPTG SQAASGPFSVGPHGVSHTEGLKWQLESPGPMDVGHFLDLHDSTGPPAAPPTKRHCRSLSE PEELARCRSPWRPGSSKVWTPISKRRCNSGGSATLQCCSGVGNPTLQGTLVPGLPRRPVS PAGPTSPLTPRPASASSGFVDGSEGSTSSGPPWLSTGPCPFSSRRRLSLSQEHLVDTGAC LPSASSTPTSTPELGRHHGLLRCRSQPCVLDGRRVRRKRRREEDARWTRPSLDFLKMTRT LKNSKSLCSLDYEDDEDDTQEKTLVSSPCNSQGLVGIITPSSSPRIPRPGPDSPSIWASG EPEANPGEGGSSGDPSDWDSAGEEGIFPLDHGDLDLEQIENN >ENSMUSP00000121667.1 pep:known chromosome:GRCm38:5:33608215:33629583:-1 gene:ENSMUSG00000037339.17 transcript:ENSMUST00000137705.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam53a description:family with sequence similarity 53, member A [Source:MGI Symbol;Acc:MGI:1919225] MVTLITEKLQNQSLDDLTRRACEAGPYSAEKLNKSGHLFPLEISELRRQRQVDL >ENSMUSP00000118139.1 pep:known chromosome:GRCm38:5:33608388:33629599:-1 gene:ENSMUSG00000037339.17 transcript:ENSMUST00000153696.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53a description:family with sequence similarity 53, member A [Source:MGI Symbol;Acc:MGI:1919225] MVTLITEKLQNQSLDDLTRRACEAGPYSAEKLNKSGHLFPLEISVSSRTARTTWRVSEN >ENSMUSP00000120786.1 pep:known chromosome:GRCm38:5:33610460:33629062:-1 gene:ENSMUSG00000037339.17 transcript:ENSMUST00000155980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam53a description:family with sequence similarity 53, member A [Source:MGI Symbol;Acc:MGI:1919225] MVTLITEKLQNQSLDDLTRRACEAGPYSAEKLNKS >ENSMUSP00000006662.2 pep:known chromosome:GRCm38:13:27558009:27564604:-1 gene:ENSMUSG00000006490.2 transcript:ENSMUST00000006662.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl8a9 description:prolactin family8, subfamily a, member 9 [Source:MGI Symbol;Acc:MGI:1914560] MVLPLSQPHFSGALLLLVVSNLLLWEKASAIPACMAQKSGCWNPLVETFNSAMQTAGTLR TLADQFYVELYHNQFSSGQFLIFNSNLIRRDETVARAGTYCHSTLSNPPDRGTEHADAET EKYLKTLINYVGAWIGPLYHVVIELNVMQDVPETILSKVRQIEENKRKLLEDLRWILTKV YPTAEMKEEFPAWEHLSFLKSQGKHYKLLAMFNLSNCIYNETYHILFYLRELKCQITGED C >ENSMUSP00000097699.3 pep:known chromosome:GRCm38:16:17544465:17561247:-1 gene:ENSMUSG00000022759.13 transcript:ENSMUST00000100123.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc74b description:leucine rich repeat containing 74B [Source:MGI Symbol;Acc:MGI:1921935] MKGPCEVQKNEDQEGEAAATGPQAETLEAERSWTADSHSALEAEGTHGLGERVMATLYLK SCRANSVVPVSCLLRQEGASELNLRHRGLGPQGVRALASVLTSNPYIKRLDLRDNGLCGA GAEALADVLRKNSIISDVDLSENQIGAAGLQAICTALALNPTVEKMQLQGNRLEEQAAQH LAALLLHHRGLKSLDLSYNQLNDLAGEILGPAVAENTGLTELNLSWNHLRGLGATAFARG LEANIFLKVLDISHNGFGDSGASAIGDALRVNNVLEELNMRNNRISVSGALKLGLGLQVN QTLRILIISKNPIRSDGCVGLLKSVRNNKSSALELLDVSDIQVSRECEDLASSMSEILPG LCIKRYTSRRKDWPQASTPSQPASAPSDSGL >ENSMUSP00000023442.6 pep:known chromosome:GRCm38:16:17544465:17561247:-1 gene:ENSMUSG00000022759.13 transcript:ENSMUST00000023442.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc74b description:leucine rich repeat containing 74B [Source:MGI Symbol;Acc:MGI:1921935] MKGPCEVQKNEDQEGEAAATGPQAETLEAERSWTADSHSALEAEGTHGLGERVMATLYLK SCRANSVVPVSCLLRQEGASELNLRHRGLGPQGVRALASVLTSNPYIKRLDLRDNGLCGA GAEALADVLRKNSIISDVDLSENQIGAAGLQAICTALALNPTVEKMQLQGNRLEEQAAQH LAALLLHHRGLKSLDLSYNQLNDLAGEILGPAVAENTGLTELNLSWNHLRGLGATAFARG LEANIFLKVLDISHNGFGDSGASAIGDALRVNNVLEELNMRNNRISVSGALKLGLGLQVN QTLRILIDIQVSRECEDLASSMSEILPGLCIKRYTSRRKDWPQASTPSQPASAPSDSGL >ENSMUSP00000070127.5 pep:known chromosome:GRCm38:16:17548811:17561244:-1 gene:ENSMUSG00000022759.13 transcript:ENSMUST00000065125.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc74b description:leucine rich repeat containing 74B [Source:MGI Symbol;Acc:MGI:1921935] MKGPCEVQKNEDQEGEAAATGPQAETLEAERSWTADSHSALEAEGTHGLGERVMATLYLK SCRANSVVPVSCLLRQEGASELNLRHRGLGPQGVRALASVLTSNPYIKRLDLRDNGLCGA GAEALADVLRKNSIISDVDLSENQIGAAGLQAICTALALNPTVEKMQLQGNRLEEQAAQH LAALLLHHRGLKSLDLSYNQLNDLAGEILGPAVAENTGLTELNLSWNHLRGLGATAFARG LEANIFLKVLDISHNGFGDSGASAIGDALRVNNVLEELNMRNNRISVSGALKLGLGLQVN QTLRILIVCW >ENSMUSP00000143382.1 pep:known chromosome:GRCm38:5:43818885:43826270:1 gene:ENSMUSG00000029082.17 transcript:ENSMUST00000126976.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bst1 description:bone marrow stromal cell antigen 1 [Source:MGI Symbol;Acc:MGI:105370] MAVQGGLLSLWLWLWLSLLTVLLGARARWTGEGTTPHLQSIFLGRCAEYTTLLSLGHCSG RITTCSL >ENSMUSP00000098796.4 pep:known chromosome:GRCm38:5:43818891:43843986:1 gene:ENSMUSG00000029082.17 transcript:ENSMUST00000101237.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bst1 description:bone marrow stromal cell antigen 1 [Source:MGI Symbol;Acc:MGI:105370] MAVQGGLLSLWLWLWLSLLTVLLGARARWTGEGTTPHLQSIFLGRCAEYTTLLSLGNKNC TAIWEAFKGVLDKDPCSVLPSDYDLFINLSRHPIPRDKSLFWENNHLLVMSYGENTRRLV ALCDVLYGKVGDFLSWCRQENASGLDYQSCPTSEDCENNAVDSYWKSASMQYSRDSSGVI NVMLNGSEPKGAYPTRGFFADFEIPYLQKDKVTRIEIWVMHDVGGPNVESCGEGSVKILE DRLEALGFQHSCINDYRPVKFLMCVDHSTHPDCIMNSASASMRRESASLHAIGDASLLIS LLVALASSSQA >ENSMUSP00000081352.3 pep:known chromosome:GRCm38:4:117869260:117883155:-1 gene:ENSMUSG00000028541.14 transcript:ENSMUST00000084325.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:B4galt2 description:UDP-Gal:betaGlcNAc beta 1,4- galactosyltransferase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1858493] MSRLLGGTLERVCKAVLLLCLLHFLVAVILYFDVYAQHLAFFSRFSTRSPAHALYPAASS STNCSRPNATAASSGLPEVPSARPGPTAPVIPPCPDVPPGLVGRVVIEFTSPMPLERVQR ENPGVLLGGRYSPPDCTPAQTVAVIIPFRHREHHLRYWLHYLHPMLRRQRLRYGVYVINQ HGEETFNRAKLLNVGFLEALKEDAAYDCFIFSDVDLVPMDDRNLYRCGDQPRHFAIAMDK FGFRLPYASYFGGVSGLSKAQFLRINGFPNEYWGWGGEDDDIFNRISLTGMKISRPDVRI GRYRMIKHDRDKHNEPNPQRFNKIQNTKMSMKWDGIGSVRYRVLEVSRQPLFTNITVDIG QPMSWLTQG >ENSMUSP00000128771.1 pep:known chromosome:GRCm38:4:117873021:117883476:-1 gene:ENSMUSG00000028541.14 transcript:ENSMUST00000167443.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:B4galt2 description:UDP-Gal:betaGlcNAc beta 1,4- galactosyltransferase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1858493] MSRLLGGTLERVCKAVLLLCLLHFLVAVILYFDVYAQHLAFFSRFSTRSPAHALYPAASS STNCSRPNATAASSGLPEVPSARPGPTAPVIPPCPDVPPGLVGRVVIEFTSPMPLERVQR ENPGVLLGGRYSPPDCTPAQTVAVIIPFRHREHHLRYWLHYLHPMLRRQRLRYGVYVINQ ILKTSKRRLEPDCEWGLSAWRGDLQPSQAAQRGLPRGTEGGCCL >ENSMUSP00000030266.5 pep:known chromosome:GRCm38:4:117873131:117883487:-1 gene:ENSMUSG00000028541.14 transcript:ENSMUST00000030266.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt2 description:UDP-Gal:betaGlcNAc beta 1,4- galactosyltransferase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1858493] MSRLLGGTLERVCKAVLLLCLLHFLVAVILYFDVYAQHLAFFSRFSTRSPAHALYPAASS STNCSRPNATAASSGLPEVPSARPGPTAPVIPPCPDVPPGLVGRVVIEFTSPMPLERVQR ENPGVLLGGRYSPPDCTPAQTVAVIIPFRHREHHLRYWLHYLHPMLRRQRLRYGVYVINQ HGEETFNRAKLLNVGFLEALKEDAAYDCFIFSDVDLVPMDDRNLYRCGDQPRHFAIAMDK FGFRLPYASYFGGVSGLSKAQFLRINGFPNEYWGWGGEDDDIFNRISLTGMKISRPDVRI GRYRMIKHDRDKHNEPNPQRFNKIQNTKMSMKWDGIGSVRYRVLEVSRQPLFTNITVDIG QPMSWLTQG >ENSMUSP00000102029.2 pep:known chromosome:GRCm38:4:117873302:117883112:-1 gene:ENSMUSG00000028541.14 transcript:ENSMUST00000106421.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt2 description:UDP-Gal:betaGlcNAc beta 1,4- galactosyltransferase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1858493] MSRLLGGTLERVCKAVLLLCLLHFLVAVILYFDVYAQHLAFFSRFSTRSPAHALYPAASS STNCSRPNATAASSGLPEVPSARPGPTAPVIPPCPDVPPGLVGRVVIEFTSPMPLERVQR ENPGVLLGGRYSPPDCTPAQTVAVIIPFRHREHHLRYWLHYLHPMLRRQRLRYGVYVINQ HGEETFNRAKLLNVGFLEALKEDAAYDCFIFSDVDLVPMDDRNLYRCGDQPRHFAIAMDK FGFRLPYASYFGGVSGLSKAQFLRINGFPNEYWGWGGEDDDIFNRISLTGMKISRPDVRI GRYRMIKHDRDKHNEPNPQRFNKIQNTKMSMKWDGIGSVRYRVLEVSRQPLFTNITVDIG QPMSWLTQG >ENSMUSP00000120571.2 pep:known chromosome:GRCm38:4:117877580:117883155:-1 gene:ENSMUSG00000028541.14 transcript:ENSMUST00000153358.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt2 description:UDP-Gal:betaGlcNAc beta 1,4- galactosyltransferase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1858493] MSRLLGGTLERVCKAVLLLCLLHFLVAVILYFDVYAQHLAFFSRFSTRSPAHALYPAASS STNCSRPNATAASSGLPEVPSARPGPTAPVIPPCPDVPPGLVGRVVIEFTSPMPLERVQR ENPGVLLGGRYSPPDCTPAQTVAVIIPFRHREHHLRYWLHYLHPMLRRQRLRYGVYVINQ HGEETFNRAKLLNVGFL >ENSMUSP00000126539.1 pep:known chromosome:GRCm38:4:117881393:117882291:-1 gene:ENSMUSG00000028541.14 transcript:ENSMUST00000171548.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt2 description:UDP-Gal:betaGlcNAc beta 1,4- galactosyltransferase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1858493] MSRLLGGTLERVCKAVLLLCLLHFLVAVILYFDVYAQHLAFFSRFSTRSPAHALYPAASS STNCSRPNATAASSG >ENSMUSP00000006664.1 pep:known chromosome:GRCm38:13:27573922:27582171:-1 gene:ENSMUSG00000019756.10 transcript:ENSMUST00000006664.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl8a1 description:prolactin family 8, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1920494] MVLPLIQPHFCTFLLLVVSNLLLWEKTASISACHTDEEGCMKPLVETFNNAIQRAEIILN VSEQMHQEFLHNEFSSRHFTHFNSQLIRQDQLVLRARTYCHSTITNPSNIGPEYKNIKTK KYLKMLINFVGAWISPLYHLVIELSAMNDVPESILSKANEIEENNRELLNDLRWILTKVY PTAKMKKLFPTWEHLPSIKSTDKNYQFLAIFNLSHCLRVDIFYTKFHLRTLKCRITGKDC >ENSMUSP00000093616.1 pep:known chromosome:GRCm38:13:27574432:27582171:-1 gene:ENSMUSG00000019756.10 transcript:ENSMUST00000095926.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl8a1 description:prolactin family 8, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1920494] MVLPLIQPHFCTFLLLVVSNLLLWEKTASISACHTDEEGCMKPLVETFNNAIQRAEIILN VSEQMHQEFLHNEFSSRHFTHFNSQLIRQDQLVLRARTYCHSTITNPSNIGPEYKNIKTK KYLKMLINFVGAWISPLYHLVIELSAMNDVPESILSKANEIEENNRELLNDLRWILTKVR NFSVVIPIHKRGELCKFNKKDILKCIIFP >ENSMUSP00000125648.1 pep:known chromosome:GRCm38:11:28388817:28434110:-1 gene:ENSMUSG00000032878.16 transcript:ENSMUST00000160016.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc85a description:coiled-coil domain containing 85A [Source:MGI Symbol;Acc:MGI:2445069] LEEENRMLPQDTCLGNGDIILNKKSKVSLSLMQFTVKQATQNRSQPPTRNSSNMEKGWGP RARRVLQWWQGCRGIGRCLPSLPGSFRLSSGADGNNSSLNSPASFSGHTTPSQQPEPVVH SLKVVWRKLGDAAGSCPGIRQHLSGNQYKGPM >ENSMUSP00000124184.1 pep:known chromosome:GRCm38:11:28388844:28576437:-1 gene:ENSMUSG00000032878.16 transcript:ENSMUST00000140601.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc85a description:coiled-coil domain containing 85A [Source:MGI Symbol;Acc:MGI:2445069] XEDSSSHHRNVYSGMNESTLSYVRQLEARVRQLEEENRMLPQGSFRLSSGADGNNSSLNS PASFSGHTTPSQQPEPVVHSLKVLDVEETIDRQQGKEYGHDLSETEKAVVREMCNVVWRK LGDAAGSCPGIRQHLSGNQYKGPM >ENSMUSP00000090941.3 pep:known chromosome:GRCm38:11:28385685:28584296:-1 gene:ENSMUSG00000032878.16 transcript:ENSMUST00000093253.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc85a description:coiled-coil domain containing 85A [Source:MGI Symbol;Acc:MGI:2445069] MSKAAGGSAPAAESCPSAPAGASTPTGVDDLSKVTDEELLQWSKEELIRSLRRAEAEKVS AMLDHSNLIREVNRRLQLHLGEIRGLKDINQKLQEDNQELRDLCCFLDDDRQKGKRVSRE WQRLGRYTAGVMHKEVALYLQKLKELEVKQEEVVKENMELKELCMLLDEEKGVGCAGSRC SIDSQASLCQLVASATPYVRDVGDGSSTSSTGSTDSPDHHKHHASGGSPEHLQKPRSEGS PEHTKHRSTSPEHLHKPRASGTPDHSKALKGPSPEHHKPLCKGSPEQQRHPHPGSSPEVL PKHVLSGSPEHFQKHRPGGSPEHTRHSGGSPEHLQKHALGGSLEHLPRARGTSPEHLKQH YGASPDHKHASGSGGSGGGSREGTLRRPAQEDSSSHHRNVYSGMNESTLSYVRQLEARVR QLEEENRMLPQGSFRLSSGADGNNSSLNSPASFSGHTTPSQQPEPVVHSLKVVWRKLGDA AGSCPGIRQHLSGNQYKGPM >ENSMUSP00000124972.1 pep:known chromosome:GRCm38:11:28387152:28583995:-1 gene:ENSMUSG00000032878.16 transcript:ENSMUST00000146385.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc85a description:coiled-coil domain containing 85A [Source:MGI Symbol;Acc:MGI:2445069] MSKAAGGSAPAAESCPSAPAGASTPTGVDDLSKVTDEELLQWSKEELIRSLRRAEAEKVS AMLDHSNLIREVNRRLQLHLGEIRGLKDINQKLQEDNQELRDLCCFLDDDRQKGKRVSRE WQRLGRYTAGVMHKEVALYLQKLKELEVKQEEVVKENMELKELCMLLDEEKGVGCAGSRC SIDSQASLCQLVASATPYVRDVGDGSSTSSTGSTDSPDHHKHHASGGSPEHLQKPRSEGS PEHTKHRSTSPEHLHKPRASGTPDHSKALKGPSPEHHKPLCKGSPEQQRHPHPGSSPEVL PKHVLSGSPEHFQKHRPGGSPEHTRHSGGSPEHLQKHALGGSLEHLPRARGTSPEHLKQH YGASPDHKHASGSGGSGGGSREGTLRRPAQEDSSSHHRNVYSGMNESTLSYVRQLEARVR QLEEENRMLPQATQNRSQPPTRNSSNMEKGWGPRARRVLQWWQGCRGIGRCLPSLPGSFR LSSGADGNNSSLNSPASFSGHTTPSQQPEPVVHSLKVVWRKLGDAAGSCPGIRQHLSGNQ YKGPM >ENSMUSP00000044649.8 pep:known chromosome:GRCm38:11:28385692:28584324:-1 gene:ENSMUSG00000032878.16 transcript:ENSMUST00000042534.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc85a description:coiled-coil domain containing 85A [Source:MGI Symbol;Acc:MGI:2445069] MSKAAGGSAPAAESCPSAPAGASTPTGVDDLSKVTDEELLQWSKEELIRSLRRAEAEKVS AMLDHSNLIREVNRRLQLHLGEIRGLKDINQKLQEDNQELRDLCCFLDDDRQKGKRVSRE WQRLGRYTAGVMHKEVALYLQKLKELEVKQEEVVKENMELKELCMLLDEEKGVGCAGSRC SIDSQASLCQLVASATPYVRDVGDGSSTSSTGSTDSPDHHKHHASGGSPEHLQKPRSEGS PEHTKHRSTSPEHLHKPRASGTPDHSKALKGPSPEHHKPLCKGSPEQQRHPHPGSSPEVL PKHVLSGSPEHFQKHRPGGSPEHTRHSGGSPEHLQKHALGGSLEHLPRARGTSPEHLKQH YGASPDHKHASGSGGSGGGSREGTLRRPAQEDSSSHHRNVYSGMNESTLSYVRQLEARVR QLEEENRMLPQVVWRKLGDAAGSCPGIRQHLSGNQYKGPM >ENSMUSP00000105128.2 pep:known chromosome:GRCm38:11:28385692:28584296:-1 gene:ENSMUSG00000032878.16 transcript:ENSMUST00000109502.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc85a description:coiled-coil domain containing 85A [Source:MGI Symbol;Acc:MGI:2445069] MSKAAGGSAPAAESCPSAPAGASTPTGVDDLSKVTDEELLQWSKEELIRSLRRAEAEKVS AMLDHSNLIREVNRRLQLHLGEIRGLKDINQKLQEDNQELRDLCCFLDDDRQKGKRVSRE WQRLGRYTAGVMHKEVALYLQKLKELEVKQEEVVKENMELKELCMLLDEEKGVGCAGSST SSTGSTDSPDHHKHHASGGSPEHLQKPRSEGSPEHTKHRSTSPEHLHKPRASGTPDHSKA LKGPSPEHHKPLCKGSPEQQRHPHPGSSPEVLPKHVLSGSPEHFQKHRPGGSPEHTRHSG GSPEHLQKHALGGSLEHLPRARGTSPEHLKQHYGASPDHKHASGSGGSGGGSREGTLRRP AQEDSSSHHRNVYSGMNESTLSYVRQLEARVRQLEEENRMLPQVVWRKLGDAAGSCPGIR QHLSGNQYKGPM >ENSMUSP00000116393.1 pep:known chromosome:GRCm38:3:84496426:85887516:-1 gene:ENSMUSG00000102805.5 transcript:ENSMUST00000154148.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm37240 description:predicted gene, 37240 [Source:MGI Symbol;Acc:MGI:5610468] MEKLELVRKWSLNTYKCTRQIISEKLGRGSRTVDLELEAQIDILRDNKKKYENILKLAQT LSTQLFQMVHTQKQLGDAFADLSLKSLELHEEFGYNADTQKLLAKNGETLLGAINFFIAS VNTLVNKTIEDTLMTVKQYENARVEYDAYRTDLEELNLGPRDANTLPKIEQSQHLFQIHK EKYDKMRSDVSVKLKFLEENKVKVLRNQLVLFHSAVAAYFAGNQKQLELTLKQFHVRLKT PGVDAPSWLEEQ >ENSMUSP00000078870.3 pep:known chromosome:GRCm38:X:9571901:9572409:-1 gene:ENSMUSG00000061065.3 transcript:ENSMUST00000079952.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5132 description:predicted gene 5132 [Source:MGI Symbol;Acc:MGI:3643069] MARKMQRRRRQKRTCSQRGELPLSLVDHFLREEIHSSRLSSSTLSFLTSVLEYLTSNILE LAGEVAHTTGRKRIAPEDVRLVVQNNEQLHQLFKPGGTSVNEPPEPDNNG >ENSMUSP00000113557.1 pep:known chromosome:GRCm38:5:43744615:43782143:-1 gene:ENSMUSG00000039753.16 transcript:ENSMUST00000119523.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl5 description:F-box and leucine-rich repeat protein 5 [Source:MGI Symbol;Acc:MGI:2152883] MAPFPDEVDVFTAPHWRMKQLVGRYCDKSLYATFKEFKMHEQIENEYIIGLLQQRSQTIY NVHSDNKLSEMLSLFEKGLKNVKNEYEQLNYAKQLKERLEAFTRDFLPHMKEEEEVFQPM LMEYFTYEELKDIKKKVIAQHCSQKDTAELLRGLSLWNQAEERQKVLKYSVDEKADTEAE VSEHSTGITHLPPEVMLSIFSYLNPQELCRCSQVSTKWSQLAKTGSLWKHLYPVHWARGD WYSGPATELDTEPDEEWVRNRKDESRAFQEWDEDADIDESEESAEESVAISIAQMEKRVL HGLIHNVLPYVGTSVKTLVLAYSSAVSSKMVRQILELCPNLEHLDLTQTDISDSAFDSWS WLGCCQSLRHLDLSGCEKITDMALEKISRALGVLTSHQSGFLKSAGKAASTPWTSKDITM PSTTQYACLHNLTDKGIGEEIDNEHSWTEPVSSESLTSPYVWMLDAEDLADIEDAVEWRH RNVESLCVMETASNFGCSSSGCYSKDIVGLRTSVCWQQHCASPAFAYCGHSFCCTGTALR TMTTLPATSAMCRKALRTTLPRGKDLIYFGSEKSDQETGRVLLFLSLSGCYQITDHGLRA LTLGGGLPYLEHLNLSGCLTVTGAGLQDLVSACPSLNDEYFYYCDNINGPHADTASGCQN LQCGFRACCRSGE >ENSMUSP00000120338.1 pep:known chromosome:GRCm38:5:43744616:43773600:-1 gene:ENSMUSG00000039753.16 transcript:ENSMUST00000141902.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl5 description:F-box and leucine-rich repeat protein 5 [Source:MGI Symbol;Acc:MGI:2152883] XATFKEFKMHEQIENEYIIGLLQQRSQTIYNVHSDNKLSEMLSLFEKGLKNVKVFQPMLM EYFTYEELKDIKKKVIAQHCSQKDTAELLRGLSLWNQAEERQKVLKYSVDEKADTEAEVS EHSTGITHLPPEVMLSIFSYLNPQELCRCSQVSTKWSQLAKTGSLWKHLYPVHWARGDWY SGPATELDTEPDEEWVRNRKDESRAFQEWDEDADIDESEESAEESVAISIAQMEKRVLHG LIHNVLPYVGTSVKTLVLAYSSAVSSKMVRQILELCPNLEHLDLTQTDISDSAFDSWSWL GCCQSLRHLDLSGCEKITDMALEKISRALGVLTSHQSGFLKSAGKAASTPWTSKDITMPS TTQYACLHNLTDKGIGEEIDNEHSWTEPVSSESLTSPYVWMLDAEDLADIEDAVEWRHRN VESLCVMETASNFGCSSSGCYSKDIVGLRTSVCWQQHCASPAFAYCGHSFCCTGTALRTM TTLPATSAMCRKALRTTLPRGKDLIYFGSEKSDQETGRVLLFLSLSGCYQITDHGLRALT LGGGLPYLEHLNLSGCLTVTGAGLQDLVSACPSLNDEYFYYCDNINGPHADTASGCQNLQ CGFRACCRSGE >ENSMUSP00000143703.1 pep:known chromosome:GRCm38:5:43744616:43782109:-1 gene:ENSMUSG00000039753.16 transcript:ENSMUST00000196483.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl5 description:F-box and leucine-rich repeat protein 5 [Source:MGI Symbol;Acc:MGI:2152883] MAPFPDEVDVFTAPHWRMKQLVGRYCDKLSKTNFSNNNDFRALLQSLYATFKEFKMHEQI ENEYIIGLLQQRSQTIYNVHSDNKLSEMLSLFEKGLKNVKNEYEQLNYAKQLKERLEAFT RDFLPHMKEEEEVFQPMLMEYFTYEELKDIKKKVIAQHCSQKDTAELLRGLSLWNQAEER QKVLKYSVDEKADTEAEVSEHSTGITHLPPEVMLSIFSYLNPQELCRCSQVSTKWSQLAK TGSLWKHLYPVHWARGDWYSGPATELDTEPDEEWVRNRKDESRAFQEWDEDADIDESESA EESVAISIAQMEKRVLHGLIHNVLPYVGTSVKTLVLAYSSAVSSKMVRQILELCPNLEHL DLTQTDISDSAFDSWSWLGCCQSLRHLDLSGCEKITDMALEKISRALGVLTSHQSGFLKS AGKAASTPWTSKDITMPSTTQYACLHNLTDKGIGEEIDNEHSWTEPVSSESLTSPYVWML DAEDLADIEDAVEWRHRNVESLCVMETASNFGCSSSGCYSKDIVGLRTSVCWQQHCASPA FAYCGHSFCCTGTALRTMTTLPATSAMCRKALRTTLPRGKDLIYFGSEKSDQETGRVLLF LSLSGCYQITDHGLRALTLGGGLPYLEHLNLSGCLTVTGAGLQDLVSACPSLNDEYFYYC DNINGPHADTASGCQNLQCGFRACCRSGE >ENSMUSP00000045792.9 pep:known chromosome:GRCm38:5:43744616:43782150:-1 gene:ENSMUSG00000039753.16 transcript:ENSMUST00000047857.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl5 description:F-box and leucine-rich repeat protein 5 [Source:MGI Symbol;Acc:MGI:2152883] MAPFPDEVDVFTAPHWRMKQLVGRYCDKLSKTNFSNNNDFRALLQSLYATFKEFKMHEQI ENEYIIGLLQQRSQTIYNVHSDNKLSEMLSLFEKGLKNVKNEYEQLNYAKQLKERLEAFT RDFLPHMKEEEEVFQPMLMEYFTYEELKDIKKKVIAQHCSQKDTAELLRGLSLWNQAEER QKVLKYSVDEKADTEAEVSEHSTGITHLPPEVMLSIFSYLNPQELCRCSQVSTKWSQLAK TGSLWKHLYPVHWARGDWYSGPATELDTEPDEEWVRNRKDESRAFQEWDEDADIDESEES AEESVAISIAQMEKRVLHGLIHNVLPYVGTSVKTLVLAYSSAVSSKMVRQILELCPNLEH LDLTQTDISDSAFDSWSWLGCCQSLRHLDLSGCEKITDMALEKISRALGVLTSHQSGFLK SAGKAASTPWTSKDITMPSTTQYACLHNLTDKGIGEEIDNEHSWTEPVSSESLTSPYVWM LDAEDLADIEDAVEWRHRNVESLCVMETASNFGCSSSGCYSKDIVGLRTSVCWQQHCASP AFAYCGHSFCCTGTALRTMTTLPATSAMCRKALRTTLPRGKDLIYFGSEKSDQETGRVLL FLSLSGCYQITDHGLRALTLGGGLPYLEHLNLSGCLTVTGAGLQDLVSACPSLNDEYFYY CDNINGPHADTASGCQNLQCGFRACCRSGE >ENSMUSP00000109681.3 pep:known chromosome:GRCm38:5:43744624:43821638:-1 gene:ENSMUSG00000039753.16 transcript:ENSMUST00000114047.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl5 description:F-box and leucine-rich repeat protein 5 [Source:MGI Symbol;Acc:MGI:2152883] MRNNQELKYEIKWKHLDCSVVELSKTNFSNNNDFRALLQSLYATFKEFKMHEQIENEYII GLLQQRSQTIYNVHSDNKLSEMLSLFEKGLKNVKNEYEQLNYAKQLKERLEAFTRDFLPH MKEEEEVFQPMLMEYFTYEELKDIKKKVIAQHCSQKDTAELLRGLSLWNQAEERQKVLKY SVDEKADTEAEVSEHSTGITHLPPEVMLSIFSYLNPQELCRCSQVSTKWSQLAKTGSLWK HLYPVHWARGDWYSGPATELDTEPDEEWVRNRKDESRAFQEWDEDADIDESEESAEESVA ISIAQMEKRVLHGLIHNVLPYVGTSVKTLVLAYSSAVSSKMVRQILELCPNLEHLDLTQT DISDSAFDSWSWLGCCQSLRHLDLSGCEKITDMALEKISRALGVLTSHQSGFLKSAGKAA STPWTSKDITMPSTTQYACLHNLTDKGIGEEIDNEHSWTEPVSSESLTSPYVWMLDAEDL ADIEDAVEWRHRNVESLCVMETASNFGCSSSGCYSKDIVGLRTSVCWQQHCASPAFAYCG HSFCCTGTALRTMTTLPATSAMCRKALRTTLPRGKDLIYFGSEKSDQETGRVLLFLSLSG CYQITDHGLRALTLGGGLPYLEHLNLSGCLTVTGAGLQDLVSACPSLNDEYFYYCDNING PHADTASGCQNLQCGFRACCRSGE >ENSMUSP00000116720.2 pep:known chromosome:GRCm38:5:43750606:43782102:-1 gene:ENSMUSG00000039753.16 transcript:ENSMUST00000124610.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl5 description:F-box and leucine-rich repeat protein 5 [Source:MGI Symbol;Acc:MGI:2152883] MAPFPDEVDVFTAPHWRMKQLVGRYCDKLSKTNFSNNNDFRALLQSLYATFKEFKMHEQI ENEYIIGLLQQRSQTIYNVHSDNKLSEMLSLFEKGLKNVKNEYEQLNYAKQLKERLEAFT RDFLPHMKEEEEVFQPMLMEYFTYEELKDIKKKVIAQHCSQKDTAELLRGLSLWNQAEER QKVLKYSVDEKADTEAEVSEHSTGITHLPPEVMLSIFSYLNPQELCRCSQVSTKWSQLAK TGSLWKHLYPVHWARGDWYSGPATELDTEPDEEWVRNRKDESRAFQEWDEDADIDESEES AEESVAISIAQMEKRVLHGLIHNVLPYVGTSVKTLVLAYSSAVSSKMVRQILELCPNLEH LDLTQTDISDSAFDSWSWLGCCQSLRHLDLSGCEKITDMALEKISRALGVLTSHQSGFLK SAGKAASTPWTSKDITMPSTTQYACLHNLTDKGIGEEIDNEHSWTEPVSSESLTSPYVWM LDAEDLADIEDAVEWRHRNVESLCVMETASNFGCSSSGCYSKDIVGLRTSVCWQQHCASP AFAYCGHSFCCTGTALRTMTTLPATSAMCRKALRTTLPRGKDLIYFGSEKSDQETGRVLL FLSLSGCYQITDHGLRALTLGGGLPYLEHLNLSGCLTVTGAGLQDLVSACPSLNDEYFYY CDNINGNAFFTVRYFEEFI >ENSMUSP00000084733.4 pep:known chromosome:GRCm38:5:43755905:43782121:-1 gene:ENSMUSG00000039753.16 transcript:ENSMUST00000087465.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl5 description:F-box and leucine-rich repeat protein 5 [Source:MGI Symbol;Acc:MGI:2152883] MAPFPDEVDVFTAPHWRMKQLVGRYCDKLSKTNFSNNNDFRALLQSLYATFKEFKMHEQI ENEYIIGLLQQRSQTIYNVHSDNKLSEMLSLFEKGLKNVKNEYEQLNYAKQLKERLEAFT RDFLPHMKEEEEVFQPMLMEYFTYEELKDIKKKVIAQHCSQKDTAELLRGLSLWNQAEER QKVLKYSVDEKADTEAEVSEHSTGITHLPPEVMLSIFSYLNPQELCRCSQVSTKWSQLAK TGSLWKHLYPVHWARGDWYSGPATELDTEPDEEWVRNRKDESRAFQEWDEDADIDESEES AEESVAISIAQMEKRVLHGLIHNVLPYVGTSVKTLVLAYSSAVSSKMVRQILELCPNLEH LDLTQTDISDSAFDSWSWLGCCQSLRHLDLSGCEKITDMALEKISRALGVLTSHQSGFLK SAGKAASTPWTSKDITMPSTTQYACLHNLTDKGIGEEIDNEHSWTEPVSSESLTSPYVWM LDAEDLADIEDAVEWRHRNVESLCVMETASNFGCSSSGCYSKDIVGLRTSVCWQQHCASP AFAYCGHSFCCTGTALRTMTTLPATSAMCRKALRTTLPRGKDLIYFGSEKSDQETGRVLL FLSLSGCYQITDHGLRWVISPSC >ENSMUSP00000112444.1 pep:known chromosome:GRCm38:5:43757885:43782137:-1 gene:ENSMUSG00000039753.16 transcript:ENSMUST00000121736.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl5 description:F-box and leucine-rich repeat protein 5 [Source:MGI Symbol;Acc:MGI:2152883] MAPFPDEVDVFTAPHWRMKQLVGRYCDKRSQTIYNVHSDNKLSEMLSLFEKGLKNVKNEY EQLNYAKQLKERLEAFTRDFLPHMKEEEEVFQPMLMEYFTYEELKDIKKKVIAQHCSQKD TAELLRGLSLWNQAEERQKVLKYSVDEKADTEAEVSEHSTGITHLPPEVMLSIFSYLNPQ ELCRCSQVSTKWSQLAKTGSLWKHLYPVHWARGDWYSGPATELDTEPDEEWVRNRKDESR AFQEWDEDADIDESEESAEESVAISIAQMEKRVLHGLIHNVLPYVGTSVKTLVLAYSSAV SSKMVRQILELCPNLEHLDLTQTDISDSAFDSWSWLGCCQSLRHLDLSGCEKITDMALEK ISRALGVLTSHQSGFLKSAGKAASTPWTSKDITMPSTTQYACLHNLTDKGIGEEIDNEHS WTEPVSSESLTSPYVWMLDAEDLADIEDAVEWRHRNVESLCVMETASNFGCSSSGCYSKD IVGLRTSVCWQQHCASPAFAYCGHSFCCTGTALRTMTTLPATSAMCRKALRTTLPRGKDL IYFGSEKSDQETGRVLLFLSLSGCYQITDHGLRWVISPSC >ENSMUSP00000142582.1 pep:known chromosome:GRCm38:5:43768109:43782100:-1 gene:ENSMUSG00000039753.16 transcript:ENSMUST00000199055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl5 description:F-box and leucine-rich repeat protein 5 [Source:MGI Symbol;Acc:MGI:2152883] MAPFPDEVDVFTAPHWRMKQLVGRYCDKNEYEQLNYAKQLKERLEAFTRDFLPHMKEEEE VFQPMLMEYFTYEELKDIKKKVIAQHCSQKDTAELLRGLSLWNQAEE >ENSMUSP00000052320.3 pep:known chromosome:GRCm38:3:84593574:84597032:1 gene:ENSMUSG00000047819.3 transcript:ENSMUST00000062623.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tigd4 description:tigger transposable element derived 4 [Source:MGI Symbol;Acc:MGI:2685264] MAEASVDIGTQPVTVKKKKSLSIEEKIDIINAVESGKKKAEIAAEYGIKKNSLSSIMKNK DKVLEAFESLRFDPKRKRLRTAFYTDLEEALMRWYRIAQCLNVPVNGPMLRLKANDFAQK LGHNDFKCSNGWLDRFKSRYGLVFRAQPVEATGISIDPSTVWYQNVLPYYLNDYHPKNVF NVKETGLLYRMLPTNTFAFKGETCSVGKLCKDRITLALGTNMDGSEKLPLLIIGKNRAPR CFKGIKSLPVYYEANRTAWMTAAIFEQWMQKLDEKFQAQKRRVVIFVDSCPAHPEVKNLK SIELAFFPSCLSSGFAAMNQGVIKSLKIKYRHCLIKKFLSSVESSKEFTFSLLDAVDTLH LCWRAVTPETIVKSYEEAGFRSPKGENDTANADAAVALDLTAHAVGAGVEFLEGLSIEEY AALDEDLETCEATQKDDAEWAGESKQDETGLYTSDEEEEDSGALEVDLPSPSKKDALSAV GTLKRFLRSHDLNDELHGSLADLENFINALSPK >ENSMUSP00000038321.5 pep:known chromosome:GRCm38:13:100017994:100104070:-1 gene:ENSMUSG00000049658.13 transcript:ENSMUST00000038104.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdp1 description:B double prime 1, subunit of RNA polymerase III transcription initiation factor IIIB [Source:MGI Symbol;Acc:MGI:1347077] MFRRARLSVKPNVRPGVGTRGSAAPNPQRGPEAPRPPEPATESAPKPAEPTDVPAVDSGG AEPQEQAPGSSDEKTGDKNNAAESSTLSSASSQRRKRVSSTSSLVQPSGSAPSQSRPLST VDHDAPQPNPTPAKEKQPCSDRYRIYKARKLREMLKEELRKEKKQWKNKFSTNESQRPPD RSKMTMRDFIYYLPDNNPMTSSVEQEKKPEKSLAPTPTRDRQENQSTQDANDNEDVEEEV DDGPLLVPRVKVAEDGSIILDEESLTVEVLRTKGPCVVEENDPIFERGSTTTYSSFRKNY YSKPWSNKETDMFFLAISMVGTDFSMIGQLFPHRARIEIKNKFKREEKTNGWRIDKAFQE KRPFDFDFFAHLLQKVLAEEEKRKQKSTKCQSLKEKASKPRKNLKAKTVTSEEVNDDPDE SVNSNISDPERSQNDAETVNEEESPSSSGQHLEQAMLEQDQNQEKKRRRNQGEANKQEAT NLLERVLVHSSPPAAEIHKNTCPSEENESECNKEQIPSLTQNIDDIAGLAPSEETEMRMD PIPSTCNQQDIMPLARESSESCAVALPVWEPGNTASADMAHAESSCSEGRGADLKTAAPE TEQTENVKPKSRSRLQRPKPNLARAVGKKSAVSQDRQDERNKNSPSETAAEKNHMEKETM NESETSVAKNTDGESPGAKTVSDLSEKSCVQQDSQAKVLRPTRLMRSRMQRPKPNVVKAA ERKEILTSQEKFGAHVEKSEDESCVVIPPQTENESHKNLQCEDTVSEPGRKDPFENIQPD QPQVLSDCPSIHEGNKENKRKQVPVLRTRFQKPKPNTGRRRRRISSKEGIPEETPISGEI PATWEEIPSLDTSLREEVLSVPLAPLTATASTKDSESDVKDSGRNDTASNAEMSEMTDVT MEMETGLETIGRDTCPGEMGAEMIDIPMETEAGLKASLNETSCMEKVPELIDTTGEICTN LGETGRKEVFLQENGPKEVGPVSEPETGLQETGKDLAMKESTPDTTDSTEEREAYSEETE RQEKISALIKDAEEAKARGEMETPLEEIGGGTSQRGKAAGAPVEQSASEEEPQGSACREE VAVESSTAEGKELNLRETGEDDVSSMVVVLGEKTDIEETNGDPKETERESSVSWERGSGE IQVGEEMVEDLGKPEKIDVAPREREPEEHSSGQPEADVILSSSDGSTGSPQDKVNISSKI SVMPTLVEEKETTDKDISSHLGHVESCSQNLGRHETDQGMPLPDALERFSDTNLSKPLPQ EQQPLQVKPAPFLRSRFKKPKPNLSRAALKRATIEAEHCVPGKKSEACKVEAAMLQQDSD QAALSPQHNVPSLMASRENDKSGHEEEEEAAILPCTQTEKDASPPNSSEPKEGSQLTPNQ ENGLLVPIGTPMMNTVTQETRQNVVQTTLPVRGRLQRPRPNVQKARQRQIVEKGEARDIA KNEGPELQKDETRTCLTVANSSHIESGVAVDMSSRVSECQVSESQGHADPVENLSVNKAS VVHEQMRHENKPYVPSPALLIRRRFQKAKPNLGGARRKDEQPGVEKGRTDESTALTAEDH LLQKEDCDTQLSLQAREKADMPLEVSVRKECIHSEESGSDRNDAQPNAGPSEGSRDETAK EQPTSLGLEEQSLSKQIRSSCPQLWKESSYPKTVSSRRTPLSSASECEIEHSWKRTQRKT KPNLTKGRGSKRIRGKTAKKEPRASKSVLVTLRASQKEDEDDAEDFDSDYEEETYHLAPE ELSKAPVFVPVGLRSPEPVSAQIEETMEELEITMDVADMTVVEHQLSHMDTTAQAVQAEK AVYPPSFEMDVGEQTQEEPGPSDGSTEAAITLLTMGDIVLQSEIIPGQGDVGVCVFPDVH SEDKSHAPFSPDNVNQKVVHDYPEVCSPVISTSHASFEENRIVSKEQSNRDAAVEEEAVE ETLPTRNTTSTMSTHLRMESMVVTPELNSEKTLEISESHGHQEVASFCITKETEVEIQRE TEGDDSKAVELEDKSHAPVTAAETKEEEQSQCVGDVEGASVSQEAILPARIEDHEETLQE VQESGTAVASSEIGQQTLDSGQSFGESAAKEALKETPKGSDVPVLHGPESVPSHIPEAQQ ENTGPQAVTVNPFADGQQDGEDEQAFILTLVEIPTHATEGFTDAAMQLMPSSLLPAPILV RSGNAAERGDLSGSLQTSLVVQDAPSLSPSRSGSSEKPPANLDLTSRKRFCCSPDESIHV PPAKKSSLVPGIDYQECTSEVCSEELNVFEKTAESCMGQGIFPTSESTHATSKPQKEHSE PTDTGSSGSLDEIKDACVENMAQLPQSEIVSDKEEKTEPASNSEQRDIVTSSSKPPLTRP GRRPLGFLSLLCPKNSLESDEVTQTHSKKRLKPQIPVSRRNLRKPNLHNTSQKKNQDSSA PPPSPSVTAPLSGTAGSPESSAAQVSSDQPLLKEECKNGPKGAPEEEVTPVSEFVFSDIF IEVDETL >ENSMUSP00000096868.3 pep:known chromosome:GRCm38:13:100017996:100056213:-1 gene:ENSMUSG00000049658.13 transcript:ENSMUST00000099262.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bdp1 description:B double prime 1, subunit of RNA polymerase III transcription initiation factor IIIB [Source:MGI Symbol;Acc:MGI:1347077] XEGSQLTPNQENGLLVPIGTPMMNTVTQETRQNVVQTTLPVRGRLQRPRPNVQKARQRQI VEKGEARDIAKNEGPELQKDETRTCLTVANSSHIESGVAVDMSSRVSECQVSESQGHADP VENLSVNKASVVHEQMRHENKPYVPSPALLIRRRFQKAKPNLGGARRKDEQPGVEKGRTD ESTALTAEDHLLQKEDCDTQLSLQAREKADMPLEVSVRKECIHSEESGSDRNDAQPNAGP SEGSRDETAKEQPTSLGLEEQSLSKQIRSSCPQLWKESSYPKTVSSRRTPLSSASECEIE HSWKRTQRKTKPNLTKGRGSKRIRA >ENSMUSP00000105005.2 pep:known chromosome:GRCm38:13:100023305:100103838:-1 gene:ENSMUSG00000049658.13 transcript:ENSMUST00000109379.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bdp1 description:B double prime 1, subunit of RNA polymerase III transcription initiation factor IIIB [Source:MGI Symbol;Acc:MGI:1347077] MFRRARLSVKPNVRPGVGTRGSAAPNPQRGPEAPRPPEPATESAPKPAEPTDVPAVDSGG AEPQEQAPGSSDEKTGDKNNAAESSTLSSASSQRRKRVSSTSSLVQPSGSAPSQSRPLST VDHDAPQPNPTPAKEKQPCSDRYRIYKARKLREMLKEELRKEKKQWKNKFSTNESQRPPD RSKMTMRDFIYYLPDNNPMTSSVEQEKKPEKSLAPTPTRDRQENQSTQDANDNEDVEEEV DDGPLLVPRVKVAEDGSIILDEESLTVEVLRTKGPCVVEENDPIFERGSTTTYSSFRKNY YSKPWSNKETDMFFLAISMVGTDFSMIGQLFPHRARIEIKNKFKREEKTNGWRIDKAFQE KRPFDFDFFAHLLQKVLAEEEKRKQKSTKCQSLKEKASKPRKNLKAKTVTSEEVNDDPDE SVNSNISDPERSQNDAETVNEEESPSSSGQHLEQAMLEQDQNQEKKRRRNQGEANKQEAT NLLERVLVHSSPPAAEIHKNTCPSEENESECNKEQIPSLTQNIDDIAGLAPSEETEMRMD PIPSTCNQQDIMPLARESSESCAVALPVWEPGNTASADMAHAESSCSEGRGADLKTAAPE TEQTENVKPKSRSRLQRPKPNLARAVGKKSAVSQDRQDERNKNSPSETAAEKNHMEKETM NESETSVAKNTDGESPGAKTVSDLSEKSCVQQDSQAKVLRPTRLMRSRMQRPKPNVVKAA ERKEILTSQEKFGAHVEKSEDESCVVIPPQTENESHKNLQCEDTVSEPGRKDPFENIQPD QPQVLSDCPSIHEGNKENKRKQVPVLRTRFQKPKPNTGRRRRRISSKEGIPEETPISGEI PATWEEIPSLDTSLREEVLSVPLAPLTATASTKDSESDVKDSGRNDTASNAEMSEMTDVT MEMETGLETIGRDTCPGEMGAEMIDIPMETEAGLKASLNETSCMEKVPELIDTTGEICTN LGETGRKEVFLQENGPKEVGPVSEPETGLQETGKDLAMKESTPDTTDSTEEREAYSEETE RQEKISALIKDAEEAKARGEMETPLEEIGGGTSQRGKAAGAPVEQSASEEEPQGSACREE VAVESSTAEGKELNLRETGEDDVSSMVVVLGEKTDIEETNGDPKETERESSVSWERGSGE IQVGEEMVEDLGKPEKIDVAPREREPEEHSSGQPEADVILSSSDGSTGSPQDKVNISSKI SVMPTLVEEKETTDKDISSHLGHVESCSQNLGRHETDQGMPLPDALERFSDTNLSKPLPQ EQQPLQVKPAPFLRSRFKKPKPNLSRAALKRATIEAEHCVPGKKSEACKVEAAMLQQDSD QAALSPQHNVPSLMASRENDKSGHEEEEEAAILPCTQTEKDASPPNSSEPKEGSQLTPNQ ENGLLVPIGTPMMNTVTQETRQNVVQTTLPVRGRLQRPRPNVQKARQRQIVEKGEARDIA KNEGPELQKDETRTCLTVANSSHIESGVAVDMSSRVSECQVSESQGHADPVENLSVNKAS VVHEQMRHENKPYVPSPALLIRRRFQKAKPNLGGARRKDEQPGVEKGRTDESTALTAEDH LLQKEDCDTQLSLQAREKADMPLEVSVRKECIHSEESGSDRNDAQPNAGPSEGSRDETAK EQPTSLGLEEQSLSKQIRSSCPQLWKESSYPKTVSSRRTPLSSASECEIEHSWKRTQRKT KPNLTKGRGSKRIRGKTAKKEPRASKSVLVTLRASQKEDEDDAEDFDSDYEEETYHLAPE ELSKAPVFVPVGLRSPEPVSAQIEETMEELEITMDVADMTVVEHQLSHMDTTAQAVQAEK AVYPPSFEMDVGEQTQEEPGPSDGSTEAAITLLTMGDIVLQSEIIPGQGDVGVCVFPDVH SEDKSHAPFSPDNVNQKVVHDYPEVCSPVISTSHASFEENRIVSKEQSNRDAAVEEEAVE ETLPTRNTTSTMSTHLRMESMVVTPELNSEKTLEISESHGHQEVASFCITKETEVEIQRE TEGDDSKAVELEDKSHAPVTAAETKEEEQSQCVGDVEGASVSQEAILPARIEDHEETLQE VQESGTAVASSEIGQQTLDSGQSFGESAAKEALKETPKGSDVPVLHGPESVPSHIPEAQQ ENTGPQAVTVNPFADGQQDGEDEQAFILTLVEIPTHATEGFTDAAMQLMPSSLLPAPILV RSGNAAERGDLSGSLQTSLVVQDAPSLSPSRSGSSEKPPANLDLTSRKRFCCSPDESIHV PPAKKSSLVPGIDYQECTSEVCSEELNVFEKTAESCMGQGIFPTSESTHATSKPQKEHSE PTDTGSSGSLDEIKDACVENMAQLPQSEIVSDKEEKTEPASNSEQRDIVTSSSKPPLTRP GRRPLGFLSLLCPKNSLESDEVTQTHSKKRLKPQIPVSRRNLRKPNLHNTSQKKNQDSSA PPPSPSVTAPLSGTAGSPESSAAQVCEHCCVLLCMRYIYHLNEGRKSKFIFSWMSEIILV QLLFPTKMHLI >ENSMUSP00000131557.1 pep:known chromosome:GRCm38:13:100078734:100098576:-1 gene:ENSMUSG00000049658.13 transcript:ENSMUST00000167203.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bdp1 description:B double prime 1, subunit of RNA polymerase III transcription initiation factor IIIB [Source:MGI Symbol;Acc:MGI:1347077] XSTNESQRPPDRSKMTMRDFIYYLPDNNPMTSSVEQEKKPEKSLAPTPTREQENQSTQDA NDNEDVEEEVDDGPLLVPRVKVAEDGSIILDEESLTVEVLRTKGPCVVEENDPIFERGST TTYSSFRKNYYSKPWSNKETDMFFLAISMVGTDFSMIGQLFPHRARIEIKNKFKREEKTN GWRIDKAFRKTPF >ENSMUSP00000126435.1 pep:known chromosome:GRCm38:13:100097538:100103877:-1 gene:ENSMUSG00000049658.13 transcript:ENSMUST00000163097.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Bdp1 description:B double prime 1, subunit of RNA polymerase III transcription initiation factor IIIB [Source:MGI Symbol;Acc:MGI:1347077] MFRRARLSVKPNVRPGVGTRGSAAPNPQRGPEAPRPPEPATESAPKPAEPTDVPAVDSGG AEPQEQAPGSREESECQAHPVLFSLLAVPPHRVAPYLQLITMHHSQTLLQLKRSSRVQTD TESIRPEN >ENSMUSP00000134550.1 pep:known chromosome:GRCm38:17:35424850:35430055:1 gene:ENSMUSG00000073409.12 transcript:ENSMUST00000174699.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q6 description:histocompatibility 2, Q region locus 6 [Source:MGI Symbol;Acc:MGI:95935] MALTTLLLLVAAALTLIETRAGPHSLRYFHTAVSWPGLVEPRFIIVGYVDNKQFVRFDSD AENPRMEPRARWMEQEGPEYWERETQKAKGHEESFRVSLRTAQRYYNQSKGGSHTLQWMY GCDVGSDERLLRGYLQFAYEGRDYIALNEDLKTWTAADMAAQITLHKWEQAGIAERDRAY LEGACVQSLRRYLQLRKETLLCTDPPKAHVTHHPRSYGAVTLRCWALGFYPADITLTWQL NGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCHVNHEGLPEPLTLRWEPPPS TVSNMANVAILVVLVAWPSLELWWIL >ENSMUSP00000109511.3 pep:known chromosome:GRCm38:17:35424877:35428361:1 gene:ENSMUSG00000073409.12 transcript:ENSMUST00000113879.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q6 description:histocompatibility 2, Q region locus 6 [Source:MGI Symbol;Acc:MGI:95935] MALTTLLLLVAAALTLIETRAGPHSLRYFHTAVSWPGLVEPRFIIVGYVDNKQFVRFDSD AENPRMEPRARWMEQEGPEYWERETQKAKGHEESFRVSLRTAQRYYNQSKGGSHTLQWMY GCDVGSDERLLRGYLQFAYEGRDYIALNEDLKTWTAADMAAQITLHKWEQAGIAERDRAY LEGACVQSLRRYLQLRKETLLCTDPPKAHVTHHPRSYGAVTLRCWALGFYPADITLTWQL NGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCHVNHEGLPEPLTLRWEPPPS TVSNMANVAILVVLVAWPSLELWWIL >ENSMUSP00000113235.1 pep:known chromosome:GRCm38:3:85660063:85746266:-1 gene:ENSMUSG00000051000.17 transcript:ENSMUST00000118408.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam160a1 description:family with sequence similarity 160, member A1 [Source:MGI Symbol;Acc:MGI:2444746] MMSSVSTESKLQQAVSLKGVDPETCMIVFKNHWAQVVKILEKHDPLKNTQAKYGSIPPDE ASAVQNYVEHMLFLLIEEQAKDAAMGPILEFVVCENIMEKLFLWSLRREFTDETKLEQLK MYEMLVTQSYQPLLHHKPILKPLMMLLSSCSGTATPAVEGKLVVLLNQLCSILAKDPSIL ELFFHTSEDQGAANFLIFSLLIPFIHREGTVGQQARDALLFIMSLSAENSMVANHIVENT YFCPVLATGLSGLYSSLPTKLEEKGEDWHCILKDDWLLLPALVQFMNSLEFCNAVIQVAH PLIRTQLVSYIYNGFLVPVLAPALHKVTVEEVMTTTAYLDLFLRSISEPALLEIFLRFIL LHRHENVHILDTLTSRINTPFRLCVVSLALFRTLIGLHCEDVMLQLVLRYLVPCNHMMLS QRWAVKERDCYSVSAAKLLALTPVCCASGITLTLGNQERDYILWSKCMHDGSGSEEQLLP ETPCSPSSPSPPPPPAPAACIVEYGKALDISYLQYLWEAHTNILHCMRDCRVWSALYDGD SPDPETFLQSLSEESRENSGHPEARLPQQSVRTSGQTKDKSQSELEWDDSYDTGISSGAD VGSPGPDDEVETPAPPAPIDPPKHIQEMKKNAILLFKGSYIEESDFQDDVMVYRLCAEKD TEDTTEPQKDTSEPQGDTLEPLEDTSEQQEDTSEQLEDTSELQEDTAEPQGDTADPTAEA QPKLQPEAQSLPTSNGPLSSPDPETESQPSRESSDLCQNTFSEAKPENEPGVALALDSEL IATTFEAEPQSELAVVSTESEDFIAQYDQIIQELDSGTEGLTEQSIPISEPSLLTQQEER REECKEEEDDDFDSLMAATPAVEAGSSPFGVGEDTAFSSRHPVRTQSTPFTGPFISVVLS KLENMLENSLHVNLLLIGIITQLASYPQPLLRSFLLNTNMVFQPSVRSLYQVLASVKNKI EQFASVERDFPGLLIQAQQYLLFRVDMSDMAPAALTKDPIQEISRPESDKTLLDGPPRVL QPFLGNRAKVTRAPPNLPLPVKNTMLAAALFPEFLKELAALAQEHSILCYKILGDFEDSC C >ENSMUSP00000112705.2 pep:known chromosome:GRCm38:3:85660063:85817291:-1 gene:ENSMUSG00000051000.17 transcript:ENSMUST00000119077.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam160a1 description:family with sequence similarity 160, member A1 [Source:MGI Symbol;Acc:MGI:2444746] MFNSLNPIVRASNSGITTPVVKQVLATGLSGLYSSLPTKLEEKGEDWHCILKDDWLLLPA LVQFMNSLEFCNAVIQVAHPLIRTQLVSYIYNGFLVPVLAPALHKVTVEEVMTTTAYLDL FLRSISEPALLEIFLRFILLHRHENVHILDTLTSRINTPFRLCVVSLALFRTLIGLHCED VMLQLVLSLTGSEVHCFLLWVTKARQSQSCGRLACWTSGIWSPAIT >ENSMUSP00000091700.4 pep:known chromosome:GRCm38:3:85660065:85741389:-1 gene:ENSMUSG00000051000.17 transcript:ENSMUST00000094148.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam160a1 description:family with sequence similarity 160, member A1 [Source:MGI Symbol;Acc:MGI:2444746] MMSSVSTESKLQQAVSLKGVDPETCMIVFKNHWAQVVKILEKHDPLKNTQAKYGSIPPDE ASAVQNYVEHMLFLLIEEQAKDAAMGPILEFVVCENIMEKLFLWSLRREFTDETKLEQLK MYEMLVTQSYQPLLHHKPILKPLMMLLSSCSGTATPAVEGKLVVLLNQLCSILAKDPSIL ELFFHTSEDQGAANFLIFSLLIPFIHREGTVGQQARDALLFIMSLSAENSMVANHIVENT YFCPVLATGLSGLYSSLPTKLEEKGEDWHCILKDDWLLLPALVQFMNSLEFCNAVIQVAH PLIRTQLVSYIYNGFLVPVLAPALHKVTVEEVMTTTAYLDLFLRSISEPALLEIFLRFIL LHRHENVHILDTLTSRINTPFRLCVVSLALFRTLIGLHCEDVMLQLVLRYLVPCNHMMLS QRWAVKERDCYSVSAAKLLALTPVCCASGITLTLGNQERDYILWSKCMHDGSGSEEQLLP ETPCSPSSPSPPPPPAPAACIVEYGKALDISYLQYLWEAHTNILHCMRDCRVWSALYDGD SPDPETFLQSLSEESRENSGHPEARLPQQSVRTSGQTKDKSQSELEWDDSYDTGISSGAD VGSPGPDDEVETPAPPAPIDPPKHIQEMKKNAILLFKGSYIEESDFQDDVMVYRLCAEKD TEDTTEPQKDTSEPQGDTLEPLEDTSEQQEDTSEQLEDTSELQEDTAEPQGDTADPTAEA QPKLQPEAQSLPTSNGPLSSPDPETESQPSRESSDLCQNTFSEAKPENEPGVALALDSEL IATTFEAEPQSELAVVSTESEDFIAQYDQIIQELDSGTEGLTEQSIPISEPSLLTQQEER REECKEEEDDDFDSLMAATPAVEAGSSPFGVGEDTAFSSRHPVRTQSTPFTGPFISVVLS KLENMLENSLHVNLLLIGIITQLASYPQPLLRSFLLNTNMVFQPSVRSLYQVLASVKNKI EQFASVERDFPGLLIQAQQYLLFRVDMSDMAPAALTKDPIQEISRPESDKTLLDGPPRVL QPFLGNRAKVTRAPPNLPLPVKNTMLAAALFPEFLKELAALAQEHSILCYKILGDFEDSC C >ENSMUSP00000075924.4 pep:known chromosome:GRCm38:5:62602445:62766120:-1 gene:ENSMUSG00000037999.13 transcript:ENSMUST00000076623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap2 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 2 [Source:MGI Symbol;Acc:MGI:2684416] MSSVSEVNADIRDFLMSINLEQYLLHFREFGFYTVRDCTSINDSVLHQIGISPTGHRRRI LKQLQMIFSKMQDFPIYANVHKAKNGSTTKEQQHSDPSSSTHTGIECSDSITVHRPGPAP SEMVTTSTLSEGNCQSPKSHDKLCLSSHDLLCPEEELHQNVDSSKDSLFGGVNVKIDPLI TKRAVEYTAGEEHTEKGNLTSEDSSKALSTNTECLPSGDCPTSGTHSGNGTNGVLESFPP TPFFQFQGEMVVNELYVPSSPVHGPMRSRSKLVSRPSRSFLLRHRPVPEIPGSTKSIPGS YFRDRRSNTTSAGKSLTLKNSNEDNSTSIFPYGETFLFQRLESSKKRSIKNEFWPHENTV KEEAATTRNSILTQSSIYDNRKEKVSEDKVEDIWIPREDKNNLAQDSASESEYSTVEECF QSLRRKNSKASKSRTQKAFYLDPFNRHSYPLSSTSGNTEPSSTISNAISPYACFYGSSAA KEKCGWLDKLSPQGKRMFQKRWVKFDGLSISYYNNDREMYSKGIIPLTAISTVRAQGDNK FEIVTTQRTFVFRVEKEEERNDWISILLSALKSPSLASQLQAAVAPEKCGYLELRGYKAK IFTVLRGNSVWLCKNEQDFKSGLGITIIPMNVANVKQVDRAVKQSFEIITPYRSFSFTAD SEREKQEWIEAVQQSIAETLSDYEVAEKIWFNESNRSCADCKAPDPDWASINLCVVICKK CAGQHRSLGPKDSKVRSLKMDASIWSNELIELFIVIGNKRANDFWAGNLQKDEELQVDSP VEKRKNFITQKYKEGKFRKTLLASLTKEELNKALCAAVVKPDVLETMALLFSGADVMCAT GDPVHSTPYLLAKKAGQSLQMEFLYHNKFSDFPQYDAHFEGGSSQDAAQSSFLCDFLYQT AAAASRVSSEKKLLEDTNKKWCVLEGGFLSYYENDRCTTPNGTINISEVICLAVHKEDFY LNTGPIFVFEIYLPSERVFLFGAETSQIQRKWTETIAKRFVPFVAENLTEADYDLIGQLF YKDCHALDQWRKGWFAMDKSSLCFCLQTQEAQEERMNLRRLQELTISTMVQNGEKVDVLL LVEKGRTLYIHGHTKLDFTVWHTAIEKAAGTDGNALQDQQLCKNDVPIIVNSCIAFVTQY GLGCKYIYQKDGDPLHISELLESFKKDARSVKLRAGKHQLEDVTGVLKSFLSDIDDALLT KELYPYWVSALDTQDEKERTSKYRAFIRSLPGVNRATLAALIEHLYRVQKCSEINHMNAH NLAMVFSSCLFQTKGQTSEEVNVIEDLINNYVEIFEVKEDQVKQMDIENSFITKWKDTQV SQAGDLLIEVFVERKEPDCSIIIRISPVMEAEELTNDILAIKNIIPMKGDIWATFEVIEN EELERPLHYTENVLEQVLQWSSLAEPGSAYLVVKRFLTIDSIKQCREKSIKEGILKLKEE PSKILSGNKFQDRCVVLRDGHLFIYKDPKSSKHDKMFPLRAMKFYLGVKKKMKPPTSWGL TVYSEKHHWHLCCDSLQAQMEWMASIFIAQHENDIWPPAGKERKRSITKNPKIGGLPLIP IQHERNATQARKNIETARAELERLRLSEKHDPRDFTDSSLKDRASLIAHCLEHKDEKLRN RARKHRSFNCLEDTEAEGPHGLPKAYKGPKTLKKTEERNSKATLDADPKLPSKVIEELSV VLQRSRPLHKELPDEQTLQKEVK >ENSMUSP00000124743.1 pep:known chromosome:GRCm38:5:62749296:62766159:-1 gene:ENSMUSG00000037999.13 transcript:ENSMUST00000159470.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arap2 description:ArfGAP with RhoGAP domain, ankyrin repeat and PH domain 2 [Source:MGI Symbol;Acc:MGI:2684416] MSSVSEVNADIRDFLMSINLEQYLLHFREFGFYTVRDCTSINDSVLHQIGISPTGHRRRI LKQLQMIFSKMQDFPIYANVHKAKNGSTTKEQQHSDPSSSTHTGIECSDSITVHRPGPAP SEMVTT >ENSMUSP00000106012.1 pep:known chromosome:GRCm38:13:24582189:24733816:1 gene:ENSMUSG00000036006.19 transcript:ENSMUST00000110383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam65b description:family with sequence similarity 65, member B [Source:MGI Symbol;Acc:MGI:2444879] MLVGSQSFSPGGPNGIIRSQSFAGFSGLQERRSRCNSFIENASALKKPQAKLKKMHNLGH KNNNTPKEPQPKRVEEVYRALKNGLDEYLEFHQTELDKLTAQLKDMKRNSRLGVLYDLDK QIKTIERYMRRLEFHISKVDELYEAYCIQRRLQDGASKMKQAFATSPASKAARESLSEIN RSYKEYTENMCAIEAELESLLGEFSIKMKGLAGFARLCPGDQYEIFMKYGRQRWKLKGKI EVNGKQSWDGAETVFLPLIVGFISIKVTELKGLATHILVGSVTCETKELFAARPQVVAVD INDLGTIKLNLEITWYPFDVEDTTPSSGPGNKTAALQRRMSMYSQGTPETPTFKDQSFFR WLRLSVLSALRDTFFATLHHNHSVGDLPSLSLNPKALLEFYSNLPDDIFESGKAAEEKRP LSLSFSDLQDGDCVFTSSSATSPSSSHSAHPEITITPAELTHSSLSSQNEGTEDSSSASS RNSLGEDHEPKSHPKSDTVEPGKPGVATRSGTESLFLESSVAEALLQESDEASELKPVEL DTFEGNITKQLVKRLTSAEGPVTTDKLFFEGSVGSESEAGRSFLDGSLEDAFNGLFLALD PHKKQYKEFQDLNQEVTHLDDVLKCKPAGSRSRSSSLSLTVESALESFDFLNTSDFDEEE EDGDDVCHVGGGADSVFSDTETEKSGYRSVHPEARGHLSEALTEDTGVGTSVAGSPLPLT TGNESLDITIVKHLQYCTQLIQQIVFSSKTPFVARSLLEKLSRQVLVMQKLAAVSDENLG NITSVVEAIPEFHKKLSLLAFWTKCCSPSGVYHSSAARLIKQLEASFARSINKDYPGLAE PVFRTLVSQILDRAEPLLSSSLSSEVITVFQYYSFFTSHGVSDLETYLGQLTRQVAMVQT LQSLRDEKLLQTMSDLAPSNLPAQQEVLRTLALLLTRDDNEVSEAVTLYLAAASKNEHFR EKALLYYCEALTKANLQLQKAACLALKSLEATESIKMLVTLCQSDTEEIRTVASETLLSL GEDGRLAYEQLDKFPRDCVKVGGRHGTEVATAF >ENSMUSP00000089286.3 pep:known chromosome:GRCm38:13:24614610:24706001:1 gene:ENSMUSG00000036006.19 transcript:ENSMUST00000091694.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam65b description:family with sequence similarity 65, member B [Source:MGI Symbol;Acc:MGI:2444879] MQFLDPEDLLDEEDDIFGEGLPTRLPEIMLVGSQSFSPGGPNGIIRSQSFAGFSGLQERR SRCNSFIENASALKKPQAKLKKMHNLGHKNNNTPKEPQPKRVEEVYRALKNGLDEYLEFH QTELDKLTAQLKDMKRNSRLGVLYDLDKQIKTIERYMRRLEFHISKVDELYEAYCIQRRL QDGASKMKQAFATSPASKAARESLSEINRSYKEYTENMCAIEAELESLLGEFSIKMKGLA GFARLCPGDQYEIFMKYGRQRWKLKGKIEVNGKQSWDGAETVFLPLIVGFISIKVTELKG LATHILVGSVTCETKELFAARPQVVAVDINDLGTIKLNLEITWYPFDVEDTTPSSGPGNK TAALQRRMSMYSQGTPETPTFKDQSFFSNLPDDIFESGKAAEEKRPLSLSFSDLQDGDCV FTSSSATSPSSSHSAHPEITITPAELTHSSLSSQNEGTEDSSSASSRNSLGEDHEPKSHP KSDTVEPGKPGVATRSGTESLFLESSVAEALLQESDEASELKPVELDTFEGNITKQLVKR LTSAEGPVTTDKLFFEGSVGSESEAGRSFLDGSLEDAFNGLFLALDPHKKQYKEFQDLNQ EVTHLDDVLKDAKHLEDQRLNDAASRMEITEGE >ENSMUSP00000115689.1 pep:known chromosome:GRCm38:13:24614627:24705897:1 gene:ENSMUSG00000036006.19 transcript:ENSMUST00000132689.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam65b description:family with sequence similarity 65, member B [Source:MGI Symbol;Acc:MGI:2444879] MQFLDPEDLLDEEDDIFGEDDDHCWERRDELELTPRQPHS >ENSMUSP00000106013.2 pep:known chromosome:GRCm38:13:24638648:24733816:1 gene:ENSMUSG00000036006.19 transcript:ENSMUST00000110384.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam65b description:family with sequence similarity 65, member B [Source:MGI Symbol;Acc:MGI:2444879] MPPGTKRLRALGAFSAGLPTRLPEIMLVGSQSFSPGGPNGIIRSQSFAGFSGLQERRSRC NSFIENASALKKPQAKLKKMHNLGHKNNNTPKEPQPKRVEEVYRALKNGLDEYLEFHQTE LDKLTAQLKDMKRNSRLGVLYDLDKQIKTIERYMRRLEFHISKVDELYEAYCIQRRLQDG ASKMKQAFATSPASKAARESLSEINRSYKEYTENMCAIEAELESLLGEFSIKMKGLAGFA RLCPGDQYEIFMKYGRQRWKLKGKIEVNGKQSWDGAETVFLPLIVGFISIKVTELKGLAT HILVGSVTCETKELFAARPQVVAVDINDLGTIKLNLEITWYPFDVEDTTPSSGPGNKTAA LQRRMSMYSQGTPETPTFKDQSFFRWLRLSVLSALRDTFFATLHHNHSVGDLPSLSLNPK ALLEFYSNLPDDIFESGKAAEEKRPLSLSFSDLQDGDCVFTSSSATSPSSSHSAHPEITI TPAELTHSSLSSQNEGTEDSSSASSRNSLGEDHEPKSHPKSDTVEPGKPGVATRSGTESL FLESSVAEALLQESDEASELKPVELDTFEGNITKQLVKRLTSAEGPVTTDKLFFEGSVGS ESEAGRSFLDGSLEDAFNGLFLALDPHKKQYKEFQDLNQEVTHLDDVLKCKPAGSRSRSS SLSLTVESALESFDFLNTSDFDEEEEDGDDVCHVGGGADSVFSDTETEKSGYRSVHPEAR GHLSEALTEDTGVGTSVAGSPLPLTTGNESLDITIVKHLQYCTQLIQQIVFSSKTPFVAR SLLEKLSRQVLVMQKLAAVSDENLGNITSVVEAIPEFHKKLSLLAFWTKCCSPSGVYHSS AARLIKQLEASFARSINKDYPGLAEPVFRTLVSQILDRAEPLLSSSLSSEVITVFQYYSF FTSHGVSDLETYLGQLTRQVAMVQTLQSLRDEKLLQTMSDLAPSNLPAQQEVLRTLALLL TRDDNEVSEAVTLYLAAASKNEHFREKALLYYCEALTKANLQLQKAACLALKSLEATESI KMLVTLCQSDTEEIRTVASETLLSLGEDGRLAYEQLDKFPRDCVKVGGRHGTEVATAF >ENSMUSP00000051342.9 pep:known chromosome:GRCm38:13:24638684:24697500:1 gene:ENSMUSG00000036006.19 transcript:ENSMUST00000058009.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam65b description:family with sequence similarity 65, member B [Source:MGI Symbol;Acc:MGI:2444879] MPPGTKRLRALGAFSAGLPTRLPEIMLVGSQSFSPGGPNGIIRSQSFAGFSGLQERRSRC NSFIENASALKKPQAKLKKMHNLGHKNNNTPKEPQPKRVEEVYRALKNGLDEYLEFHQTE LDKLTAQLKDMKRNSRLGVLYDLDKQIKTIERYMRRLEFHISKVDELYEAYCIQRRLQDG ASKMKQAFATSPASKAARESLSEINRSYKEYTENMCAIEAELESLLGEFSIKMKGLAGFA RLCPGDQYEIFMKYGRQRWKLKGKIEVNGKQSWDGAETVFLPLIVGFISIKVTELKGLAT HILVGSVTCETKELFAARPQVVAVDINDLGTIKLNLEITWYPFDVEDTTPSSGPGNKTAA LQRRMSMYSQGTPETPTFKDQSFFVGNGT >ENSMUSP00000043663.6 pep:known chromosome:GRCm38:13:24638696:24706001:1 gene:ENSMUSG00000036006.19 transcript:ENSMUST00000038477.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam65b description:family with sequence similarity 65, member B [Source:MGI Symbol;Acc:MGI:2444879] MPPGTKRLRALGAFSAGLPTRLPEIMLVGSQSFSPGGPNGIIRSQSFAGFSGLQERRSRC NSFIENASALKKPQAKLKKMHNLGHKNNNTPKEPQPKRVEEVYRALKNGLDEYLEFHQTE LDKLTAQLKDMKRNSRLGVLYDLDKQIKTIERYMRRLEFHISKVDELYEAYCIQRRLQDG ASKMKQAFATSPASKAARESLSEINRSYKEYTENMCAIEAELESLLGEFSIKMKGLAGFA RLCPGDQYEIFMKYGRQRWKLKGKIEVNGKQSWDGAETVFLPLIVGFISIKVTELKGLAT HILVGSVTCETKELFAARPQVVAVDINDLGTIKLNLEITWYPFDVEDTTPSSGPGNKTAA LQRRMSMYSQGTPETPTFKDQSFFRWLRLSVLSALRDTFFATLHHNHSVGDLPSLSLNPK ALLEFYSNLPDDIFESGKAAEEKRPLSLSFSDLQDGDCVFTSSSATSPSSSHSAHPEITI TPAELTHSSLSSQNEGTEDSSSASSRNSLGEDHEPKSHPKSDTVEPGKPGVATRSGTESL FLESSVAEALLQESDEASELKPVELDTFEGNITKQLVKRLTSAEGPVTTDKLFFEGSVGS ESEAGRSFLDGSLEDAFNGLFLALDPHKKQYKEFQDLNQEVTHLDDVLKDAKHLEDQRLN DAASRMEITEGE >ENSMUSP00000114858.1 pep:known chromosome:GRCm38:19:7267325:7270144:1 gene:ENSMUSG00000024968.13 transcript:ENSMUST00000140442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor2 description:REST corepressor 2 [Source:MGI Symbol;Acc:MGI:1859854] MPSVMEKPSAGSGILSRSRAKTAPNGGQPHSEDDSSEEEHSHDSMIRVGTNYQAVIPECK PESPARYSNKELKGML >ENSMUSP00000063335.4 pep:known chromosome:GRCm38:19:7268301:7275225:1 gene:ENSMUSG00000024968.13 transcript:ENSMUST00000066646.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor2 description:REST corepressor 2 [Source:MGI Symbol;Acc:MGI:1859854] MPSVMEKPSAGSGILSRSRAKTAPNGGQPHSEDDSSEEEHSHDSMIRVGTNYQAVIPECK PESPARYSNKELKGMLVWSPNHCVSDAKLDKYIAMAKEKHGYNIEQALGMLLWHKHDVEK SLADLANFTPFPDEWTVEDKVLFEQAFGFHGKCFQRIQQMLPDKVIPSLVKYYYSWKKTR SRTSVMDRQARRLGGRKDKEDSDELEEGRGAVSEGEPDTGDPKREPLPSRPLNARPGPGK KEVQISQYRHHPLRTRRRPPKGMYLSPEGLTAVSGSPDLANLTLRGLDSQLISLKRQVQS MKQTNSSLRQALEGGIDPLRPPEANTKFNSRWTTDEQLLAVQAIRRYGKDFGAIAEVIGN KTLTQVKTFFVSYRRRFNLEEVLQEWEAEQDGAPAAPVPTEEARRGAPVPATALEEDDEV QITSVSTSVPRSVPPAPPPPPPPTSLSQPPPLLRPPLPTAPTLLRQPPPLQQGRFLQPRL APNQPPPPLIRPALAASRHSARPGPQPPPTLVGAPLEPPAPSL >ENSMUSP00000108996.2 pep:known chromosome:GRCm38:19:7269764:7275224:1 gene:ENSMUSG00000024968.13 transcript:ENSMUST00000113369.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcor2 description:REST corepressor 2 [Source:MGI Symbol;Acc:MGI:1859854] MIRVGTNYQAVIPECKPESPARYSNKELKGMLVWSPNHCVSDAKLDKYIAMAKEKHGYNI EQALGMLLWHKHDVEKSLADLANFTPFPDEWTVEDKVLFEQAFGFHGKCFQRIQQMLPDK VIPSLVKYYYSWKKTRSRTSVMDRQARRLGGRKDKEDSDELEEGRGAVSEGEPDTGDPKR EPLPSRPLNARPGPGKKEVQISQYRHHPLRTRRRPPKGMYLSPEGLTAVSGSPDLANLTL RGLDSQLISLKRQVQSMKQTNSSLRQALEGGIDPLRPPEANTKFNSRWTTDEQLLAVQAI RRYGKDFGAIAEVIGNKTLTQVKTFFVSYRRRFNLEEVLQEWEAEQDGAPAAPVPTEEAR RGAPVPATALEEDDEVQITSVSTSVPRSVPPAPPPPPPPTSLSQPPPLLRPPLPTAPTLL RQPPPLQQGRFLQPRLAPNQPPPPLIRPALAASRHSARPGPQPPPTLVGAPLEPPAPSL >ENSMUSP00000093245.4 pep:known chromosome:GRCm38:13:81095068:81633154:-1 gene:ENSMUSG00000069170.13 transcript:ENSMUST00000095585.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrv1 description:adhesion G protein-coupled receptor V1 [Source:MGI Symbol;Acc:MGI:1274784] MSVTSEPGMISSFLLVYLSTLFISFVFGEAEIRFTGQTEFFVNETSTTVIRLVIERIGEP ANVTAIVSLSGEDTGDFFDTYAAAFIPARGTNRTVYIAVCDDDLPEPDETFTFHLTLQKP SANVKLGWPRAASVTILSNDNAFGIISFSTPSSISVIEPRSRNASVPLTLIREKGTYGMV TVTFDVSGGPNPPEEDLNPVRGNITFPPGRATVIYNVTVLDDEVPENDELFLIQLRSVEG GAEINASRSSVEIIVKKNDSPVNFMQSVYVVPEDDHVLTIPVLRGKDSDGNLIGSDETQV SIRYKVMTWDSTAHAQQNVDFIDLQPDTTLVFPPFVHESHLKFQIIDDLIPEIAESFHIM LLKNTLQGDAVLMGPSTVQVTIKPNDKPYGVLSFNSILFERPVIIDEDTASSSRFEEIAV VRNGGTHGNVSVSWVLTRNSSDPSPVTADITPASGTLQFAQGQMLAPISLVVFDDDLPEE AEAYLLTILPHTIQGGAEVSEPAQLLFYIQDSDNVYGEIAFFPGESQKIESSPSERSLSL SLARRGGSKGDVRVIYSALYIPAGAMDPLRAKDGILNTSRRSSLLFPEQNQQVSIKLPIR NDAFLQNGAHFLVQLEAVVLVNIFPPIPPVSPRFGEIRNISLLVTPAIANGEIGFLSNLP IILHEPKDSSAEVVSIPLHRDGTDGQATVYWSLRPSGFNSKAVTLDDAGPFNGSVVFLSG QNETSINITVKGDDIPELNETVTLSLDRVSVDSDVLKSGYTSRDLIILENDDPGGIFEFS YDSRGPYVIKEGDAVELRITRSRGSLVKQFLRFHVEPRESNEFYGNMGVLEFTPGEREVV ITLLTRLDGTPELDEHFWVILSSHGERESKLGCATLVNITILKNDYPHGIIEFVSDGLSA SIKESKGEDIYHAVYGVIRTRGNFGAVNVSWMVSPDFTQDVFPVQGTVCFGDQEFFKNIT VYSLVDEIPEEMEEFTIILLNATGGAQTGIRTTASLRILRNDDPVYFAEPCVLRVQEGET ANFTVLRNGSVDGACTVQYATVDGKASGEEGDFAPVEKGETLVFEVGSREQSISVHVKDD GIPETDEPFYIVLFNSTGDTVVYEYGVATVIIEANDDPNGVFSLEPIDKAVEEGKTNAFW ILRHRGHFGNVSVAWQLFQNASLQPGQEFYETSGTVNFTDGEETKPVILRAFPDRIPEFN EFYILRLVNISGPGGQLAETNFQVTVMIPFNDDPFGIFILDPECLEREVAEDVLSEDDMS YITSFTILRQQGVFGDVRVGWEVLSREFTAGLPPMIDFILLGSFPSTVPLQPHMRRHHSG TDVLYFSGLEGAFGTVDPKYQPFRNNTIANFTFSAWVMPNANTNGFLIAKDDSHGSIYYG VKIQTNETHVTLSLHYKTFGSNVTYIAKSTVMKYLEEGVWLHVLIILDDGIIEFYLDGKA MPRGIKSLKGEAITDGPGILRIGAGMDGGARFTGWMQDVRTYERKLTPEEIYELHAVPAR TDLHPISGYLEFRQGESNKSFIVAARDDSEEEGEELFLLKLVSVDGGAQISKENTTARLR IQKSDNANGLFGFTGACIPEMTEEGSTVSCVVERTRGALGYVHVFYTISQIESEGINYLV DDFANASGTITFLPWQRSEVLNLYVLDEDMPELNEYFRVTLVSAVPGDGKLGSTPISGAS IDPEKETTGITVKASDHPYGLMQFSTGLPPQPEDSMSLPASSVPHITVQEEDGEIRLLVI RAQGLLGRVTVGFRTVSLTAFSPEDYQSTAGTLEFQSGERYKYIFVNITDNSIPELEKSF KVELLNLDGGVSDLFRVDGSGSGEADTDFFLPPVLPHASLGVASQILVTIAASDHAHGVF EFSPESLFVSGTEPEDGYSTVVLNVTRTRGALSAVTLQWKVDSDLDGDLAITSGNITFET GQRIASITVEILSDEEPELDKALTVSILNVSSGSLGVLTNATLTILASDDPYGVFIFPNK TRPLSVEEATQNVTLSIIRLKGLMGEVAVSYATIDDMEKPPYFPPNLARATQGGDYISAS GLALFRANQTEATITISILDDAEPERSESVFIELFNSSLVDKVQNRPIPHSPRLGPKVET VAHLVIVANDDAFGTVQLSATSVHVAENHVGPIINVTRTGGTFADVSVKFKAVPITAAAG EDYSIASSDVVLLEGETTKAVPIYIINDIYPELEETFLVQLLNETTGGATLGPLREAVIT IEASDDPYGLFGFQNTKFIVEEPEFNSVRVNVPIIRNSGTLGNVTVQWVAIINGQFATGD LRVVSGNVTFAPGETIQTLLLEVLADDVPEIEEVVQVQLAAASGGGTIGLDRVANIVIPA NDNPYGSVAFVQSVFRVQEPLERSSYANITVRRSGGHFGRLLLCYGTSDIDVVARAVEEG EDVLSYYESPTQGVPDPLWRTWVNVSAVEETQYTCATLCLKERACSAFSVVSGAEGPRCF WMTSWVSGTVNSSDFQTYKKNMTRVASLFSGQAVAGSDYEPVTRQWAVILEGDEFANLTV SVLPDDAPEMDESFLISLLEVHLMNISDSFKNQPTIGHPNTSAVVIGLNGDAFGVFIIYS VSPNTSEDGLCVEVQEQPQTSVELVIYRTGGSLGQVMVEWRVVGGTATEGLDFMGAGDIL TFAEGETKKMAILTILDDSEPEDNESILVRLVATEGGSRILPSSDTVTVNILANDNVAGI VSFQTASRSVIGHEGEMLQFHVVRTPPGRGNVTVNWKVVGQNLEVNFANFTGQLFFSEGT LNKTIFVHLLDDNIPEEKEVYQVVLYDVKTQGVSPAGVALLDAQGYAAVLTVEASDEPHG VLNFALSSRFVVLQEANVTIQLFVNREFGSLGAINVTYATVPGIVSLKNNTEGNLAEPES DFIPVVGSLVLEEGETTAAISITVLEDDIPELKEYFLVNLTHVDLIMAPLTSSPPRLDSE GLTAQIVIDANDGAQGMIEWQRNRFEVNETDGVVTLVAQRSRAALGQVSLFMYAQNLEAQ AGLDYMCTPQILHFTDGERFKHVDVMILDDDMPEGDERFQLLLTNPSPGLELGKNTIALI TVLANDDGPGVLSFNNSGHIFLREPTSLYVQESVAVLVIVREPAQGLFGTVAVQFVVTEV NSSTESKDLSPSKGFIVLEEGVRSKTLRISAILDTEPEMDEHFVCTLFNPTGGARLGAHV QTLITIFQNQAPLGLFSISAVENSATSIDVEESNRSVYLNVSRTNGLDLTASVQWETVSE TAFGMRGMDVVFSIFQSFFDKTALDWCFFTVEGSVYGVMLRKSSLVVYRWQGTFVPVEDL KVESPKTCEAFNIGVSPYLVITHGERSGEKPSINSVYMLTAGFRLVLIQTIIISGSCQVR HFTSDSQDYFIIASRRNDSELTQVFRWNGNNFAWHQTLPVRGVLGMALFSRGGSVFLAIS QANIRQTSLLFTWSGTQFINFQELPISGITQVEALSSGDDVYLCFAKNTFLGNQNAIDIF VWEMGHSSLRYFQSLDFAAVKRIRSFTPASGIVHILLTAQDGSALYCWNSELNAFSFVLE APAAHDAAFVTVKSLNSSKTLIALVGATDSHLYELTYVSSQSDFIPSLGELIFEPGDKEA IIAVNVLDDTVPEKEESFRVQLKSPRGGAEIGINSSVRVTVLANDGAYGVVAFAQNSLHK QLEELERDSLVTLNVERLRGTHGRITVAWEAAGSVSDVFPTSGVISFTEDQAMSMITLTV LADDLPELSEAVVVTLTQIVTEGVEDPLKGATIDQSRSRSVLTILPSDSPYGVVRWHTES LFNRVPEPTENITVVQLHIVRDKGLFGDISIHLIAKPNFLLHINNQATEDEDFVLQDSVI IMKENIKETHAEVAILPDEVPELDEGLIVTIAAVNLVNPNFPAEQPRVQRPRMESAEILI EENDDPRGIFNFHVVRDVGGVIIAHEGPPPLNVLQVPVVRMAGTFETVNVYWKATPDSAG LEDFQPSHGMLQFADGQVIAPILVTIIDDSEFELLETFTISLVSVTGGGRLGDDVSVNVV IAPNDSPFGIFGFEKKTVMVDGPLLSDDPDSYVTLTVVRSPGGKGAVRLHWAIEEKAKDD LSPLNGTLYFDETESQKSVILHTLKDGMVGEDRRFIIELTAADEVEISPVKGSASVIIRG DKSISEVGIASSSRHIIIGEPSATYNGTAIIDLVRGPGVSGEITVNWKILPPSRGEFVET SGQLTMLDGQTAATVVIQALNDDIPEEKCHYEFQLTEISEGRMLHEASVSARITMVASDA PYGRFSFSHEQLHVSEAAQRVNVTVVRSGGSFGRARVLYETGSRTAEAGWDFVPASGELL FEAREKMKSLYIDILDDNLPEGPEEFVLAITRVDLQGRGYDFTIQENGLQIDQPPEIGNI SIVRIIIMKNDNAEGIIEFDPKYTDISVEEDAGVITLPVLRLHGTYGHVSADFSSRGFSA VPGGYVLHGSSVTFQHGQNLSFINVSIIDDNGSEFEKQFEILLIGATGGAILGRHLVSKI TIAKSDSPFGIIRFLNQSKISLPNPSSTMALHLVLERTGGLLGEIQVSWEVVGPDAEEPL PPHNGDFADPVSGTVSFGDGEGGVRSIILRVCPHEETEAEETFIVQLKPLREAKLDPRAK AVTLTIQKFGDPNGVIHFAPESLSKRRFSEPPPSDGPLLVSFLVTRSKGTSGDIKVHWEL SSEFDITRDFLSTRGFFTIADGESDASFDVHLLPDDVPEIEEEYAVQLVSVEGGAELDLG KCTARFSVSANDDPHGVFALYSDRQSVLIGQNLDRSIQINITRLAGAFGAVAVRVQILSD NKEDPVATENEERQLVITDGARYKVGLVPLKNQVFLSLGSNFTLQLVSVRLLSGPFYGIP TILQEAKNAILSVPEEAANSQVGFESAAFQLMDIKAGTSQVMVSRKGTYGRLSVAWTTGY APGSEIPEPIVIGNMTPTLGSLSFVHGEERKGVLLWTFPSPGRPEAFVLHLSGLRSSAAG GAQLRSGFTTAEIEPMGVFQFSPSSRNITVSEDAQTIRICVQRLFGFHGDLIKVSYETTA GSAKPPEDFEAVQKGEVFFQRFQPEIDFEITIINDQLPEIEETFYINLTSVETRGLGKGG VNWRPRLNPDLSVAVVTIVDNDDLTGVAVSVPVTAGTVAVDSTLLAMETGSTTHPNKSKI TTIPYTTEVFAPVTETVTVSAIPEKLATAHSVISVKPDVVPGTVLASVYGTLSIGPPIVY VSEEMKNGTLSTADILIQRMGGFAGNVTITVKTFGGRCAQKEPSVWPFQDVYGVGNLTTW AFEEEDFEEQLLTLTFLYGERERKIAVQILDDDEPEGQEFFYVFLTDPQGGAEIVRGKDS TGFSAFAVIIISGSDLHNGIIGFSEESQRGLELREGADKNSQRLVVTRQPNRAFEEVQIF WRVTLNQTVTILQEKGLNLTDELRFVAGVTTCTGGQTRCFIHLELNPKKVHQVEMPFFVE LYDVTAGAAINNSARFAPIKLSKSGAPQSLVSFSVGSRLAVAHKKSTLISLQVARDSGTG IMMSVNFITQELRSAETVGRVLISPAVSGKDFVRTEGTLVFEPGQKSAVLDVVLTPETGS LNKFPKRFQIVLFDPKGGARIDKVYGTANITLISDADSQAVWGLEDLLHQPLHEDILNRV LHNLNLRVATESTDEQLSAVMLIMEKITMEGRNQAFSIKSRTLLYELLCVLINPKRKDTR GFSHFVEVAEHFAFSLLTDVTCGSPGEKSKTILDSCPYLSILALHWNPQQINGHKFEGKE GDYIQIPERLLDVPEAEMLDGKNACTLVQFVEYSSQQWFIAGDNLPALKDKVLSLNVKGQ SAQPLPNNNEVLYRIHAAEPRVVPHTSRCLLWNQAAASWLSDSQFCKVVEDASDYVECAC SHMSVYAVYAQTDNSSSYNEAFFSAGLICISGLCLAVVSHMFCARHSMFAAKLLTHMMVA SLGTQILFLASAYASPHLSEESCSAVAAVAHYLYLCQFSWMLIQSVNFWYVLVVSDEHTE RRCLLFCLLSWGLPSFVVILLILILRGIYHRSMPQIYGLIHGDLCFIPNIYAALFTAALV PLMCLVVVFVVFIHAYQLKPQWKGYDDVFRGRTNAAEIPLILYLFALISMTWLWGGLHMA YRHFWMLVLFVIFNSLQGLYVFVVYFILHNQTCCPMKASYTVEMNGHPGPSTAFFTPGSG IPPAGEINKSTQNLINAMEEVPSDWERSSFQQTSQASPDLKTSPQNGASFPSSGGYGPGS LIADEESQEFDDLIFALKTGAGLSVSDNESGQGSQEGGTLTDSQIVELRRIPIADTHL >ENSMUSP00000123552.1 pep:known chromosome:GRCm38:13:81560572:81633139:-1 gene:ENSMUSG00000069170.13 transcript:ENSMUST00000126444.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrv1 description:adhesion G protein-coupled receptor V1 [Source:MGI Symbol;Acc:MGI:1274784] MSVTSEPGMISSFLLVYLSTLFISFVFGEAEIRFTGQTEFFVNETSTTVIRLVIERIGEP ANVTAIVSLSGEDTGDFFDTYAAAFIPARGTNRTVYIAVCDDDLPEPDETFTFHLTLQKP SANVKLGWPRAASVTILSNDNAFGIISFSTPSSISVIEPRSRNASVPLTLIREKGTYGMV TVTFDVSGGPNPPEEDLNPVRGNITFPPGRATVIYNVTVLDDEVPENDELFLIQLRSVEG GAEINASRSSVEIIVKKNDSPVNFMQSVYVVPEDDHVLTIPVLRGKDSDGNLIGSDETQV SIRYKVMTWDSTAHAQQNVDFIDLQPDTTLVFPPFVHESHLKFQIIDDLIPEIAESFHIM LLKNTLQGDAVLMGPSTVQVTIKPNDKPYGVLSFNSILFERPVIIDEDTASSSRFEEIAV VRNGGTHGNVSVSWVLTRNSSDPSPVTADITPASGTLQFAQGQMLAPISLVVFDDDLPEE AEAYLLTILPHTIQGGAEVSEPAQLLFYIQDSDNVYGEIAFFPGESQKIESSPSERSLSL SLARRGGSKGDVRVIYSALYIPAGAMDPLRAKDGILNTSRRSSLLFPEQNQQVSIKLPIR NDAFLQNGAHFLVQLEAVVLVNIFPPIPPVSPRFGEIRNISLLVTPAIANGEIGFLSNLP IILHEPKDSSAEVVSIPLHRDGTDGQATVYWSLRPSGFNSKAVTLDDAGPFNGSVVFLSG QNETSINITVKGDDIPELNETVTLSLDRVSVDSDVLKSGYTSRDLIILENDDPGGIFEFS YDSRGPYVIKEGDAVELRITRSRGSLVKQFLRFHVEPRESNEFYGNMGVLEFTPGEREVV ITLLTRLDGTPELDEHFWVILSSHGERESKLGCATLVNITILKNDYPHGIIEFVSDGLSA SIKESKGEDIYHAVYGVIRTRGNFGAVNVSWMVSPDFTQDVFPVQGTVCFGDQEFFKNIT VYSLVDEIPEEMEEFTIILLNATGGAQTGIRTTASLRILRNDDPVYFAEPCVLRVQEGET ANFTVLRNGSVDGACTVQYATVDGKASGEEGDFAPVEKGETLVFEVGSREQSISVHVKDD GIPETDEPFYIVLFNSTGDTVVYEYGVATVIIEANDDPNGVFSLEPIDKAVEEGKTNAFW ILRHRGHFGNVSVAWQLFQNASLQPGQEFYETSGTVNFTDGEETKPVILRAFPDRIPEFN EFYILRLVNISGTNLSHL >ENSMUSP00000114579.1 pep:known chromosome:GRCm38:13:81579041:81633141:-1 gene:ENSMUSG00000069170.13 transcript:ENSMUST00000146749.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrv1 description:adhesion G protein-coupled receptor V1 [Source:MGI Symbol;Acc:MGI:1274784] MSVTSEPGMISSFLLVYLSTLFISFVFGEAEIRFTGQTEFFVNETSTTVIRLVIERIGEP ANVTAIVSLSGEDTGDFFDTYAAAFIPARGTNRTVYIAVCDDDLPEPDETFTFHLTLQKP SANVKLGWPRAASVTILSNDNAFGIISFSTPSSISVIEPRSRNASVPLTLIREKGTYGMV TVTFDVSGGPNPPEEDLNPVRGNITFPPGRATVIYNVTVLDDEVPENDELFLIQLRSVEG GAEINASRSSVEIIVKKNDSPVNFMQSVYVVPEDDHVLTIPVLRGKDSDGNLIGSDETQV SIRYKVMTWDSTAHAQQNVDFIDLQPDTTLVFPPFVHESHLKFQIIDDLIPEIAESFHIM LLKNTLQGDAVLMGPSTVQVTIKPNDKPYGVLSFNSILFERPVIIDEDTASRYNLL >ENSMUSP00000121899.1 pep:known chromosome:GRCm38:13:81577426:81633139:-1 gene:ENSMUSG00000069170.13 transcript:ENSMUST00000128585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrv1 description:adhesion G protein-coupled receptor V1 [Source:MGI Symbol;Acc:MGI:1274784] MSVTSEPGMISSFLLVYLSTLFISFVFGEAEIRFTGQTEFFVNETSTTVIRLVIERIGEP ANVTAIVSLSGEDTGDFFDTYAAAFIPARGTNRTVYIAVCDDDLPEPDETFTFHLTLQKP SANVKLGWPRAASVTILSNDNAFGIISFSTPSSISVIEPRSRNASVPLTLIREKGTYGMV TVTFDVSGGPNPPEEDLNPVRGNITFPPGRATVIYNVTVLDDEVPENDELFLIQLRSVEG GAEINASRSSVEIIVKKNDSPVNFMQSVYVVPEDDHVLTIPVLRGKDSDGNLIGSDETQV SIRYKVMTWDSTAHAQQNVDFIDLQPDTTLVFPPFVHESHLKFQIIDDLIPEIAESFHIM LLKNTLQGDAVLMGPSTVQVTIKPNDKPYGVLSFNSILFERPVIIDEDTASSSRFEEIAV VRNGGTHGNVSVSWVLTRNSSDPSPVTADITPASGTLQFAQGQMLAPISLVVFDDDLPEE AEAYLLTILPHTIQGGAEVSEPAQLLFYIQDSDNVYGEIAFFPGESQKIESSPSERSLSL SLARRGGSKGDVRVIYSALYIPAGAMDPLRAKDGILNTSRRSSLLFPEQNQQVSIKLPIR NDAFLQNGAHFLVQVL >ENSMUSP00000105193.2 pep:known chromosome:GRCm38:13:81505732:81570640:-1 gene:ENSMUSG00000069170.13 transcript:ENSMUST00000109565.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrv1 description:adhesion G protein-coupled receptor V1 [Source:MGI Symbol;Acc:MGI:1274784] MCLPSLRPSHCSFCVDRVETERFVVYFGVSVDSDVLKSGYTSRDLIILENDDPGGIFEFS YDSRGPYVIKEGDAVELRITRSRGSLVKQFLRFHVEPRESNEFYGNMGVLEFTPGEREVV ITLLTRLDGTPELDEHFWVILSSHGERESKLGCATLVNITILKNDYPHGIIEFVSDGLSA SIKESKGEDIYHAVYGVIRTRGNFGAVNVSWMVSPDFTQDVFPVQGTVCFGDQEFFKNIT VYSLVDEIPEEMEEFTIILLNATGGAQTGIRTTASLRILRNDDPVYFAEPCVLRVQEGET ANFTVLRNGSVDGACTVQYATVDGKASGEEGDFAPVEKGETLVFEVGSREQSISVHVKDD GIPETDEPFYIVLFNSTGDTVVYEYGVATVIIEANDDPNGVFSLEPIDKAVEEGKTNAFW ILRHRGHFGNVSVAWQLFQNASLQPGQEFYETSGTVNFTDGEETKPVILRAFPDRIPEFN EFYILRLVNISGPGGQLAETNFQVTVMIPFNDDPFGIFILDPECLEREVAEDVLSEDDMS YITSFTILRQQGVFGDVRVGWEVLSREFTAGLPPMIDFILLGSFPSTVPLQPHMRRHHSG TDVLYFSGLEGAFGTVDPKYQPFRNNTIANFTFSAWVMPNANTNGFLIAKDDSHGSIYYG VKIQTNETHVTLSLHYKTFGSNVTYIAKSTVMKYLEEGVWLHVLIILDDGIIEFYLDGKA MPRGIKSLKGEAITDGPGILRIGAGMDGGARFTGWMQDVRTYERKLTPEEIYELHAVPAR TDLHPISGYLEFRQGESNKSFIVAARDDSEEEGEELFLLKLVSVDGGAQISKENTTARLR IQKSDNANGLFGFTGACIPEMTEEGSTVSCVVERTRGALGYVHVFYTISQIESEGINYLV DDFANASGTITFLPWQRSEVLNLYVLDEDMPELNEYFRVTLVSAVPGDGKLGSTPISGAS IDPEKETTGITVKASDHPYGLMQFSTGLPPQPEDSMSLPASSVPHITVQEEDGEIRLLVI RAQGLLGRVTVGFRTVSLTAFSPEDYQSTAGTLEFQSGERYKYIFVNITDNSIPELEKSF KVELLNLDGGVSDLFRVDGSGSGEADTDFFLPPVLPHASLGVASQILVTIAASDHAHGVF EFSPESLFVSGTEPEDGYSTVVLNVTRTRGALSAVTLQWKVDSDLDGDLAITSGNITFET GQRIASITVEILSDEEPELDKALTVSILNVSSGSLGVLTNATLTILASDDPYGVFIFPNK TRPLSVEEATQNVTLSIIRLKGLMGEVAVSYATIDDMEKPPYFPPNLARATQGGDYISAS GLALFRANQTEATITISILDDAEPERSESVFIELFNSSLVDKVQNRPIPHSPRLGPKVET VAHLVIVANDDAFGTVQLSATSVHVAENHVGPIINVTRTGGTFADVSVKFKAVPITAAAG EDYSIASSDVVLLEGETTKAVPIYIINDIYPELEETFLVQLLNETTGGATLGPLREAVIT IEASDDPYGLFGFQNTKFIVEEPEFNSVRVNVPIIRNSGTLGNVTVQWVAIINGQFATGD LRVVSGNVTFAPGETIQTLLLEVLADDVPEIEEVVQVQLAAASGGGTIGLDRVANIVIPA NDNPYGSVAFVQSVFRVQEPLERSSYANITVRRSGGHFGRLLLCYGTSDIDVVARAVEEG EDVLSYYESPTQGVPDPLWRTWVNVSAVEETQYTCATLCLKERACSAFSVVSGAEGPRCF WMTSWVSGTVNSSDFQTYKKNMTRVASLFSGQAVAGSDYEPVTRQWAVILEGDEFANLTV SVLPDDAPEMDESFLISLLEVHLMNISDSFKNQPTIGHPNTSAVVIGLNGDAFGVFIIYS VSPNTSEDGLCVEVQEQPQTSVELVIYRTGGSLGQVMVEWRVVGGTATEGLDFMGAGDIL TFAEGETKKMAILTILDDSEPEDNESILVRLVATEGGSRILPSSDTVTVNILANDNVAGI VSFQTASRSVIGHEGEMLQFHVVRTPPGRGNVTVNWKVVGQNLEVNFANFTGQLFFSEGT LNKTIFVHLLDDNIPEEKEVYQVVLYDVKTQGVSPAGVALLDAQGYAAVLTVEASDEPHG VLNFALSSRFVVLQEANVTIQLFVNREFGSLGAINVTYATVPGIVSLKNNTEGNLAEPES DFIPVVGSLVLEEGETTAAISITVLEDDIPELKEYFLVNLTHVDLIMAPLTSSPPRLGMG LSFMNLLTNCESQRTSLF >ENSMUSP00000120136.1 pep:known chromosome:GRCm38:13:81095069:81444504:-1 gene:ENSMUSG00000069170.13 transcript:ENSMUST00000125698.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Adgrv1 description:adhesion G protein-coupled receptor V1 [Source:MGI Symbol;Acc:MGI:1274784] XAEAGWDFVPASGELLFEAREKMKSLYIDILDDNLPEGPEEFVLAITRVDLQGRGYDFTI QENGLQIDQPPEIGNISIVRIIIMKNDNAEGIIEFDPKYTDISVEEDAGVITLPVLRLHG TYGHVSADFSSRGFSAVPGGYVLHGSSVTFQHGQNLSFINVSIIDDNGSEFEKQFEILLI GATGGAILGRHLVSKITIAKSDSPFGIIRFLNQSKISLPNPSSTMALHLVLERTGGLLGE IQVSWEVVGPDAEEPLPPHNGDFADPVSGTVSFGDGEGGVRSIILRVCPHEETEAEETFI VQLKPLREAKLDPRAKAVTLTIQKFGDPNGVIHFAPESLSKRRFSEPPPSDGPLLVSFLV TRSKGTSGDIKVHWELSSEFDITRDFLSTRGFFTIADGESDASFDVHLLPDDVPEIEEEY AVQLVSVEGGAELDLGKCTARFSVSANDDPHGVFALYSDRQSVLIGQNLDRSIQINITRL AGAFGAVAVRVQILSDNKEDPVATENEERQLVITDGARYKVGLVPLKNQIPFL >ENSMUSP00000122358.1 pep:known chromosome:GRCm38:13:81095068:81343315:-1 gene:ENSMUSG00000069170.13 transcript:ENSMUST00000128120.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrv1 description:adhesion G protein-coupled receptor V1 [Source:MGI Symbol;Acc:MGI:1274784] MLIQSVNFWYVLVVSDEHTERRCLLFCLLSWGLPSFVVILLILILRGIYHRSMPQIYGLI HGDLCFIPNIYAALFTAALVPLMCLVVVFVVFIHAYQLKPQWKGYDDVFRGRTNAAEIPL ILYLFALISMTWLWGGLHMAYRHFWMLVLFVIFNSLQGLYVFVVYFILHNQTCCPMKASY TVEMNGHPGPSTAFFTPGSGIPPAGEINKSTQNLINAMEEVPSDWERSSFQQTSQASPDL KTSPQNGASFPSSGGYGPGSLIADEESQEFDDLIFALKTGAGLSVSDNESGQGSQEGGTL TDSQIVELRRIPIADTHL >ENSMUSP00000134004.1 pep:known chromosome:GRCm38:17:33996017:34000289:-1 gene:ENSMUSG00000061232.15 transcript:ENSMUST00000172912.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-K1 description:histocompatibility 2, K1, K region [Source:MGI Symbol;Acc:MGI:95904] MVPCTLLLLLAAALAPTQTRAGPHSLRYFVTAVSRPGLGEPRYMEVGYVDDTEFVRFDSD AENPRYEPRARWMEQEGPEYWERETQKAKGNEQSFRVDLRTLLGYYNQSKGGSHTIQVIS GCEVGSDGRLLRGYQQYAYDGCDYIALNEDLKTWTAADMAALITKHKWEQAGEAERLRAY LEGTCVEWLRRYLKNGNATLLRTDSPKAHVTHHSRPEDKVTLRCWALGFYPADITLTWQL NGEELIQDMELVETRPAGDGTFQKWASVVVPLGKEQYYTCHVYHQGLPEPLTLRWEPPPS TVSNMATVAVLVVLGAAIVTGAVVAFVMKMRRRNTGGKGGDYALAPGSQTSDLSLPDCKA >ENSMUSP00000025181.10 pep:known chromosome:GRCm38:17:33996053:34000333:-1 gene:ENSMUSG00000061232.15 transcript:ENSMUST00000025181.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-K1 description:histocompatibility 2, K1, K region [Source:MGI Symbol;Acc:MGI:95904] MVPCTLLLLLAAALAPTQTRAGPHSLRYFVTAVSRPGLGEPRYMEVGYVDDTEFVRFDSD AENPRYEPRARWMEQEGPEYWERETQKAKGNEQSFRVDLRTLLGYYNQSKGGSHTIQVIS GCEVGSDGRLLRGYQQYAYDGCDYIALNEDLKTWTAADMAALITKHKWEQAGEAERLRAY LEGTCVEWLRRYLKNGNATLLRTDSPKAHVTHHSRPEDKVTLRCWALGFYPADITLTWQL NGEELIQDMELVETRPAGDGTFQKWASVVVPLGKEQYYTCHVYHQGLPEPLTLRWEPPPS TVSNMATVAVLVVLGAAIVTGAVVAFVMKMRRRNTGGKGGDYALAPGSQTSDLSLPDCKV MVHDPHSLA >ENSMUSP00000084436.6 pep:known chromosome:GRCm38:17:33996457:34000257:-1 gene:ENSMUSG00000061232.15 transcript:ENSMUST00000087189.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-K1 description:histocompatibility 2, K1, K region [Source:MGI Symbol;Acc:MGI:95904] MVPCTLLLLLAAALAPTQTRADSPKAHVTHHSRPEDKVTLRCWALGFYPADITLTWQLNG EELIQDMELVETRPAGDGTFQKWASVVVPLGKEQYYTCHVYHQGLPEPLTLRWEPPPSTV SNMATVAVLVVLGAAIVTGAVVAFVMKMRRRNTGGKGGDYALAPGSQTSDLSLPDCKVMV HDPHSLA >ENSMUSP00000133847.1 pep:known chromosome:GRCm38:17:33997363:34000260:-1 gene:ENSMUSG00000061232.15 transcript:ENSMUST00000173075.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-K1 description:histocompatibility 2, K1, K region [Source:MGI Symbol;Acc:MGI:95904] MVPCTLLLLLAAALAPTQTRAARAAARGLVRWSGSHRAPPPGPHSLRYFVTAVSRPGLGE PRYMEVGYVDDTEFVRFDSDAENPRYEPRARWMEQEGPEYWERETQKAKGNEQSFRVDLR TLLGYYNQSKGGSHTIQVISGCEVGSDGRLLRGYQQYAYDGCDYIALNEDLKTWTAADMA ALITKHKWEQAGEAERLRAYLEGTCVEWLRRYLKNGNATLLRTDSPKAHVTHHSRPEDKV TLRCWALGFYPADITLTWQLNGEELIQDMELVETRPAGDGTFQKWASVV >ENSMUSP00000134864.1 pep:known chromosome:GRCm38:15:77388221:77399110:-1 gene:ENSMUSG00000010601.13 transcript:ENSMUST00000176074.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol7a description:apolipoprotein L 7a [Source:MGI Symbol;Acc:MGI:1923011] MDTPDRECFVESVVNYLLDTWSTEDLRLLLTEEETWKHFVAEVDLSREEEAALQESLAEI FADPDGEDEDELQNDLQDKNERKEEDSLSEDLGESVADTDVEDEDGDAEDEDSDTEDEDR DIEDENSNREDEDSDTEDEDSDTEGEDSNTEDEEEIQNDLWHKERFLDAYPQVKLELEEI IRKLHALADKVDKVHRDCTISRVVASSSSAVSGVLIILGLSLAPVTAGVSLALSATGLGL GAAAAVTSVSTSIVEKVSVVSAEDEASKLVPTNKDTMKGMKEVLDQSGPRLLSLSTDTIQ RLQGIQKCINAIPLAKANTRLANNAKRLMTTGKISARNTKQVQKAFGGTALAMTKGARIM GAATAGFFLLQDVISLVEDSKHLHEGAKSQTAAELRKQAQDLEQKLQELKEVHDSLTK >ENSMUSP00000135864.1 pep:known chromosome:GRCm38:15:77388222:77399086:-1 gene:ENSMUSG00000010601.13 transcript:ENSMUST00000175919.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol7a description:apolipoprotein L 7a [Source:MGI Symbol;Acc:MGI:1923011] MDTPDRECFVESVVNYLLDTWSTEDLRLLLTEEETWKHFVAEVDLSREEEAALQESLAEI FADPDGEDEDELQNDLQDKNERKEEDSLSEDLGESVADTDVEDEDGDAEDEDSDTEDEDR DIEDENSNREDEDSDTEDEDSDTEGEDSNTEDEEEIQNDLWHKERFLDAYPQVKLELEEI IRKLHALADKVDKVHRDCTISRVVASSSSAVSGVLIILGLSLAPVTAGVSLALSATGLGL GAAAAVTSVSTSIVEKVSVVSAEDEASKLVPTNKDTMKGMKEVLDQSGPRLLSLSTDTIQ RLQGIQKCINAIPLAKANTRLANNAKRLMTTGKISARNTKQVQKAFGGTALAMTKGARIM GAATAGFFLLQDVISLVEDSKHLHEGAKSQTAAELRKQAQDLEQKLQELKEVHDSLTK >ENSMUSP00000135369.1 pep:known chromosome:GRCm38:15:77393415:77398451:-1 gene:ENSMUSG00000010601.13 transcript:ENSMUST00000175789.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol7a description:apolipoprotein L 7a [Source:MGI Symbol;Acc:MGI:1923011] MDTPDRECFVESVVNYLLDTWSTEDLRLLLTEEETWKHFVAEVD >ENSMUSP00000010745.6 pep:known chromosome:GRCm38:15:77388957:77396458:-1 gene:ENSMUSG00000010601.13 transcript:ENSMUST00000010745.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol7a description:apolipoprotein L 7a [Source:MGI Symbol;Acc:MGI:1923011] MDTPDRECFVESVVNYLLDTWSTEDLRLLLTEEETWKHFVAEVDLSREEEAALQESLAEI FADPDGEDEDELQNDLQDKNERKEEDSLSEDLGESVADTDVEDEDGDAEDEDSDTEDEDR DIEDENSNREDEDSDTEDEDSDTEGEDSNTEDEEEIQNDLWHKERFLDAYPQVKLELEEI IRKLHALADKVDKVHRDCTISRVVASSSSAVSGVLIILGLSLAPVTAGVSLALSATGLGL GAAAAVTSVSTSIVEKVSVVSAEDEASKLVPTNKDTMKGMKEVLDQSGPRLLSLSTDTIQ RLQGIQKCINAIPLAKANTRLANNAKRLMTTGKISARNTKQVQKAFGGTALAMTKGARIM GAATAGFFLLQDVISLVEDSKHLHEGAKSQTAAELRKQAQDLEQKLQELKEVHDSLTK >ENSMUSP00000071422.3 pep:known chromosome:GRCm38:3:90391388:90433303:-1 gene:ENSMUSG00000027933.11 transcript:ENSMUST00000071488.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints3 description:integrator complex subunit 3 [Source:MGI Symbol;Acc:MGI:2140050] MELQKGKGTVAAAASGAAGGGGGGAGAGAPGGGRLLLSTSLDAKDELEERLERCMSIVTS MTAGVSEREANDALNAYVCKGPPQHEEICLGLFTLVLTEPAQAQKCYRDLALVSRDGMNI VLNKINQLLMEKYLKLQDTCRTQLVWLVRELVKSGVLGADGVCMTFMKQIAGGDVTAKNI WLAESVLDILTEQREWVLKSSILIAMAVYTYLRLIVDHHGTAQLQTLRQKEVDFCISLLR ERFMECLMIGRDLVRLLQNVARIPEFELLWKDIIHNPQALSPQFTGILQLLQSRTSRKFL ACRLTPDMETKLLFMTSRVRFGQQKRYQDWFQRQYLSTPDSQSLRCDLIRYICGVVHPSN EVLSSDILPRWAIIGWLLTTCTSNVAASNAKLALFYDWLFFSPEKDSIMNIEPAILVMHH SMKPHPAITATLLDFMCRIIPNFYPPLEGHVRQGVFSSLNHIVEKRVLAHLAPLFDNPKL DKELRSMLREKFPEFCSSPSPPVEVKIEEPVSMEMDNHLSDKDESCYDNAEAAFSDDEED LNSKGKKREFRFHPIKETVVEEPVDVTPYLDQLDESLRDKVLQLQKGSDTEAQCEVMQEI VDQVLEEDFDSEQLSVLASCLQELFKAHFRGEVLPEEVTEESLEESVGKPLYLIFRNLCQ MQEDNSSFSLLLDLLSELYQKQPKIGYHLLYYLRASKAAAGKMNLYESFAQATQLGDLHT CLMMDMKACQEDDVRLLCHLTPSIYTEFPDETLRSGELLNMIVAVIDSAQLQELVCHVMM GNLVMFRKDSVLNILIQSLDWETFEQYCAWQLFLAHNIPLETIIPILQHLKYKEHPEALS CLLLQLRREKPSEEMVKMVLSRPCHPDDQFTTSILRHWCMKHDELLAEHIKALLIKNNSL PRKRQSLRSSSSKLAQLTLEQILEHLDNLRLNLANTKQNFFSQTPILQALQHVQASCDEA HKMKFSDLFSLAEEYEDSSTKPPKSRRKAALSSPRSRKNATQPPNAEEESGSSSASEEED TKPKPTKRKRKGSSAVGSDSD >ENSMUSP00000029542.5 pep:known chromosome:GRCm38:3:90391396:90433529:-1 gene:ENSMUSG00000027933.11 transcript:ENSMUST00000029542.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints3 description:integrator complex subunit 3 [Source:MGI Symbol;Acc:MGI:2140050] MELQKGKGTVAAAASGAAGGGGGGAGAGAPGGGRLLLSTSLDAKDELEERLERCMSIVTS MTAGVSEREANDALNAYVCKGPPQHEEICLGLFTLVLTEPAQAQKCYRDLALVSRDGMNI VLNKINQLLMEKYLKLQDTCRTQLVWLVRELVKSGVLGADGVCMTFMKQIAGGDVTAKNI WLAESVLDILTEQREWVLKSSILIAMAVYTYLRLIVDHHGTAQLQTLRQKEVDFCISLLR ERFMECLMIGRDLVRLLQNVARIPEFELLWKDIIHNPQALSPQFTGILQLLQSRTSRKFL ACRLTPDMETKLLFMTSRVRFGQQKRYQDWFQRQYLSTPDSQSLRCDLIRYICGVVHPSN EVLSSDILPRWAIIGWLLTTCTSNVAASNAKLALFYDWLFFSPEKDSIMNIEPAILVMHH SMKPHPAITATLLDFMCRIIPNFYPPLEGHVRQGVFSSLNHIVEKRVLAHLAPLFDNPKL DKELRSMLREKFPEFCSSPSPPVEVKIEEPVSMEMDNHLSDKDESCYDNAEAAFSDDEED LNSKGKKREFRFHPIKETVVEEPVDVTPYLDQLDESLRDKVLQLQKGSDTEAQCEVMQEI VDQVLEEDFDSEQLSVLASCLQELFKAHFRGEVLPEEVTEESLEESVGKPLYLIFRNLCQ MQEDNSSFSLLLDLLSELYQKQPKIGYHLLYYLRASKAAAGKMNLYESFAQATQLGDLHT CLMMDMKACQEDDVRLLCHLTPSIYTEFPDETLRSGELLNMIVAVIDSAQLQELVCHVMM GNLVMFRKDSVLNILIQSLDWETFEQYCAWQLFLAHNIPLETIIPILQHLKYKEHPEALS CLLLQLRREKPSEEMVKMVLSRPCHPDDQFTTSILRHWCMKHDELLAEHIKALLIKNNSL PRKRQSLRSSSSKLAQLTLEQILEHLDNLRLNLANTKQNFFSQTPILQALQHVQASCDEA HKMKFSDLFSLAEEYEDSSTKPPKSRRKAALSSPRSRKNATQPPNAEEESGSSSASEEED TKPKPTKRKRKGSSAVGSDSD >ENSMUSP00000143196.1 pep:known chromosome:GRCm38:3:90392076:90433613:-1 gene:ENSMUSG00000027933.11 transcript:ENSMUST00000196530.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ints3 description:integrator complex subunit 3 [Source:MGI Symbol;Acc:MGI:2140050] MELQKGKGTVAAAASGAAGGGGGGAGAGAPGGGRLLLSTSLDAKDELEERLERCMSIVTS MTAGVSEREANDALNAYVCKGPPQHEEICLGLFTLVLTEPAQAQKCYRDLALVSRDGMNI VLNKINQLLMEKYLKLQDTCRTQLVWLVRELVKSGVLGADGVCMTFMKQIAGGDVTAKNI WLAESVLDILTEQREWVLKSSILIAMAVYTYLRLIVDHHGTAQLQTLRQKEVDFCISLLR ERFMECLMIGRDLVRLLQNVARIPEFELLWKDIIHNPQALSPQFTGILQLLQSRTSRKFL ACRLTPDMETKLLFMTSRVRFGQQKRYQDWFQRQYLSTPDSQSLRCDLIRYICGVVHPSN EVLSSDILPRWAIIGWLLTTCTSNVAASNAKLALFYDWLFFSPEKDSIMNIEPAILVMHH SMKPHPAITATLLDFMCRIIPNFYPPLEGHVRQGVFSSLNHIVEKRVLAHLAPLFDNPKL DKELRSMLREKFPEFCSSPSPPVEVKIEEPVSMEMDNHLSDKDESCYDNAEAAFSDDEED LNSKGKKREFRFHPIKETVVEEPVDVTPYLDQLDESLRDKVLQLQKGSDTEAQCEVMQEI VDQVLEEDFDSEQLSVLASCLQELFKAHFRGEVLPEEVTEESLEESVGKPLYLIFRNLCQ MQEDNSSFSLLLDLLSELYQKQPKIGYHLLYYLRASKAAAGKMNLYESFAQATQLGDLHT CLMMDMKACQEDDVRLLCHLTPSIYTEFPDETLRSGELLNMIVAVIDSAQLQELVCHVMM GNLVMFRKDSVLNILIQSLDWETFEQYCAWQLFLAHNIPLETIIPILQHLKYKEHPEALS CLLLQLRREKPSEEMVKMVLSRPCHPDDQFTTSILRHWCMKHDELLAEHIKALLIKNNSL PRKRQSLRSSSSKLAQLTLEQILEHLDNLRLNLANTKQNFFSQTPILQALQHVQASCDEA HKMKFSDLFSLAEEYEDSSTKPPKSRRKAALSSPRSRKNATQPPNAEEESGSSSASVSDG LLLPSFLPGGRRYKA >ENSMUSP00000096588.3 pep:known chromosome:GRCm38:3:84496093:84582534:-1 gene:ENSMUSG00000074513.9 transcript:ENSMUST00000098990.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfip1 description:ADP-ribosylation factor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1277120] MAQESPKNSAAEIPVTSNGEVDDAHEHGYNRDLKHSLPSGLGLSETQITSHGFDSTKEGV TEAGAPQGGQRTHTKGGPVILADEIKNPAMEKLELVRKWSLNTYKCTRQIISEKLGRGSR TVDLELEAQIDILRDNKKKYENILKLAQTLSTQLFQMVHTQKQLGDAFADLSLKSLELHE EFGYNADTQKLLAKNGETLLGAINFFIASVNTLVNKTIEDTLMTVKQYENARVEYDAYRT DLEELNLGPRDANTLPKIEQSQHLFQIHKEKYDKMRSDVSVKLKFLEENKVKVLRNQLVL FHSAVAAYFAGNQKQLELTLKQFHVRLKTPGVDAPSWLEEQ >ENSMUSP00000103315.2 pep:known chromosome:GRCm38:3:84497204:84582485:-1 gene:ENSMUSG00000074513.9 transcript:ENSMUST00000107687.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfip1 description:ADP-ribosylation factor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1277120] MAQESPKNSAAEIPVTSNGEVDDAHEHGYNRDLKHSLPSGLGLSETQITSHGFDSTKEGV TEAGAPQGSSAPPLPCVLSPSRVAASKLTQQAGGQRTHTKGGPVILADEIKNPAMEKLEL VRKWSLNTYKCTRQIISEKLGRGSRTVDLELEAQIDILRDNKKKYENILKLAQTLSTQLF QMVHTQKQLGDAFADLSLKSLELHEEFGYNADTQKLLAKNGETLLGAINFFIASVNTLVN KTIEDTLMTVKQYENARVEYDAYRTDLEELNLGPRDANTLPKIEQSQHLFQIHKEKYDKM RSDVSVKLKFLEENKVKVLRNQLVLFHSAVAAYFAGNQKQLELTLKQFHVRLKTPGVDAP SWLEEQ >ENSMUSP00000122964.1 pep:known chromosome:GRCm38:3:84497736:84582625:-1 gene:ENSMUSG00000074513.9 transcript:ENSMUST00000143514.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arfip1 description:ADP-ribosylation factor interacting protein 1 [Source:MGI Symbol;Acc:MGI:1277120] MAQESPKNSAAEIPVTSNGEVDDAHEHGYNRDLKHSLPSGLGLSETQITSHGFDSTKEGV TEAGAPQGSSAPPLPCVLSPSRVAASKLTQQAGDLTVPAGGQRTHTKGGPVILADEIKNP AMEKLELVRKWSLNTYKCTRQIISEKLGRGSRTVDLELEAQIDILRDNKKKYENILKLAQ TLSTQLFQMVHTQKQLGDAFADLSLKSLELHEEFGYNADTQKLLAKNGETLLGAINFFIA SVNTLVNKTIEDTLMTVKQYENARVEYDAYRTDLEELNLGPRDANTLPKIEQSQHLFQIH KEKYDKMRSDVSVKLKFLEENKVKVLRNQLVLFHSAVAAYFAGNQKQLELTLKQFHVRLK TPGVDAPSWLEEQ >ENSMUSP00000110439.2 pep:known chromosome:GRCm38:2:13076821:13271333:-1 gene:ENSMUSG00000026727.10 transcript:ENSMUST00000114791.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsu1 description:Ras suppressor protein 1 [Source:MGI Symbol;Acc:MGI:103040] MSKSLKKLVEESREKNQPEVDMSDRGISSMLDVNGLSVPPNVAELKNLEVLNFFNNQIEE LPTQISSLQKLKHLNLGMNRLNTLPRGFGSLPALEVLDLTYNNLNEHSLPGNFFYLTTLR ALYLSDNDFEILPPDIGKLTKLQILSLRDNDLISLPKEIGELTQLKELHIQGNRLTVLPP ELGNLDLTGQKQVFKAENNPWVTPIADQFQLGVSHVFEYIRSETYKYLYGRHMQANPEPP KKNNDKSKKISRKPLAAKNK >ENSMUSP00000028059.2 pep:known chromosome:GRCm38:2:13077012:13271415:-1 gene:ENSMUSG00000026727.10 transcript:ENSMUST00000028059.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsu1 description:Ras suppressor protein 1 [Source:MGI Symbol;Acc:MGI:103040] MSKSLKKLVEESREKNQPEVDMSDRGISSMLDVNGLFSLAHITQLVLSHNKLTTVPPNVA ELKNLEVLNFFNNQIEELPTQISSLQKLKHLNLGMNRLNTLPRGFGSLPALEVLDLTYNN LNEHSLPGNFFYLTTLRALYLSDNDFEILPPDIGKLTKLQILSLRDNDLISLPKEIGELT QLKELHIQGNRLTVLPPELGNLDLTGQKQVFKAENNPWVTPIADQFQLGVSHVFEYIRSE TYKYLYGRHMQANPEPPKKNNDKSKKISRKPLAAKNK >ENSMUSP00000141763.1 pep:known chromosome:GRCm38:2:13077195:13271394:-1 gene:ENSMUSG00000026727.10 transcript:ENSMUST00000191959.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsu1 description:Ras suppressor protein 1 [Source:MGI Symbol;Acc:MGI:103040] MSKSLKKLVEESREKNQPEVDMSDRGISSMLDVNGLSSTADTCKRTQNLQRRITTNQKRS AGNP >ENSMUSP00000125338.1 pep:known chromosome:GRCm38:2:13216770:13271270:-1 gene:ENSMUSG00000026727.10 transcript:ENSMUST00000134551.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rsu1 description:Ras suppressor protein 1 [Source:MGI Symbol;Acc:MGI:103040] MSKSLKKLVEESREKNQPEVDMSDRGISSMLDVNGLFSLAHITQLVLSHNKLTTPL >ENSMUSP00000117460.1 pep:known chromosome:GRCm38:2:13219106:13271291:-1 gene:ENSMUSG00000026727.10 transcript:ENSMUST00000137670.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsu1 description:Ras suppressor protein 1 [Source:MGI Symbol;Acc:MGI:103040] MSKSLKKLVEESREKNQPEVDMSDRGISSMLDVNGLFSLAHITQLVLSHNKLTTVPPNVA ELKNLEVLNFFNNQIEELPTQISSLQKLKHLNLGWLVPCVSPHCSMNRLNTL >ENSMUSP00000030128.5 pep:known chromosome:GRCm38:4:40948407:40965303:1 gene:ENSMUSG00000028419.5 transcript:ENSMUST00000030128.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chmp5 description:charged multivesicular body protein 5 [Source:MGI Symbol;Acc:MGI:1924209] MNRFFGKAKPKAPPPSLTDCIGTVDSRAESIDKKISRLDAELVKYKDQIKKMREGPAKNM VKQKALRVLKQKRMYEQQRDNLAQQSFNMEQANYTIQSLKDTKTTVDAMKLGVKEMKKAY KEVKIDQIEDLQDQLEDMMEDANEIQEALGRSYGTPELDEDDLEAELDALGDELLADEDS SYLDEAASAPAIPEGVPTDTKNKDGVLVDEFGLPQIPAS >ENSMUSP00000132114.1 pep:known chromosome:GRCm38:4:117866524:117872229:-1 gene:ENSMUSG00000078588.10 transcript:ENSMUST00000164853.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc24 description:coiled-coil domain containing 24 [Source:MGI Symbol;Acc:MGI:2685874] MLKALLQEIQSSQVSNSDPPSLLAPPPLLRDLIRQELRQLLQGLRLKAISEGRDQTQMWA QYSPRVLRFALEDTRCDSTQQELPMRADEPRAGMNNTERVMVTSPVSTCPRDLTVIKDQL NVSNIDQVVRHLRSLLEEECHMLQNEISDLQHCLEMEQMQACQPSKDTQMPTLGEIKDQK AAMERELQVSLGPSCTAAKHRQKPLRSSIPSLRPFPCLHGYMPTPPSERCPHPQGQTSTR RWGRQLRYSYRKETTSTSVSSAASQAPT >ENSMUSP00000102030.2 pep:known chromosome:GRCm38:4:117869260:117872554:-1 gene:ENSMUSG00000078588.10 transcript:ENSMUST00000106422.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc24 description:coiled-coil domain containing 24 [Source:MGI Symbol;Acc:MGI:2685874] MLKALLQEIQSSQVSNSDPPSLLAPPPLLRDLIRQELRQLLQGLRLKAISEGRDQTQMWA QYSPRVLRFALEDTRCDSTQQELPMRADEPRAGMNNTERVMVTSPVSTCPRDLTVIKDQL NVSNIDQVVRHLRSLLEEECHMLQNEISDLQHCLEMEQMQACQPSKDTQMPTLGEIKDQK AAMERELQVSLGPSCTAAKHRQKPLRSSIPSLRPFPCLHGYMPTPPSERCPHPQGQTSTR RWGRQLRYSYRKETTSTSVSSAASQAPT >ENSMUSP00000126161.1 pep:known chromosome:GRCm38:4:117869509:117871101:-1 gene:ENSMUSG00000078588.10 transcript:ENSMUST00000167287.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc24 description:coiled-coil domain containing 24 [Source:MGI Symbol;Acc:MGI:2685874] NVSNIDQVVRHLRSLLEEECHMLQNEISDLQHCLEMEQMQACQPSKDTQMPTLGEIKDQK AAMERELQVSLGPSCTAAKHRYTKTDTGWAM >ENSMUSP00000129502.1 pep:known chromosome:GRCm38:4:117869624:117872520:-1 gene:ENSMUSG00000078588.10 transcript:ENSMUST00000171052.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc24 description:coiled-coil domain containing 24 [Source:MGI Symbol;Acc:MGI:2685874] MPGDSPALWELVEEHVPLPERPEVKRILGEAAVDLSLELREEVAMLKALLQEIQSSQVSN SDPPSLLAPPPLLRDLIRQELRQLLQGLRLKAISEGRDQTQMWAQYSPRVLRFALEDTRC DSTQQELPMRADEPSTCPRDLTVIKDQLNVSNIDQVVRHLRSLLEEECHMLQNEISDLQH CLEMEQMQACQPSKDTQMPTLGEIKDQKAAMERELQVSLGPSCTAAKHRQKPLRSSIPSL RPFPCLHGYMPTPPSERCPHPQGQTSTRRWGRQLRYSYRKETTSTSVSSAASQAPT >ENSMUSP00000131215.1 pep:known chromosome:GRCm38:4:117870143:117872557:-1 gene:ENSMUSG00000078588.10 transcript:ENSMUST00000131938.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ccdc24 description:coiled-coil domain containing 24 [Source:MGI Symbol;Acc:MGI:2685874] MPGDSPALWELVEEHVPLPERPEVKRILGEAAVDLSLELREEGWNEQHREGDGNLTCQHL SQGPHCHQGPTECV >ENSMUSP00000131493.1 pep:known chromosome:GRCm38:4:117870540:117872520:-1 gene:ENSMUSG00000078588.10 transcript:ENSMUST00000166325.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc24 description:coiled-coil domain containing 24 [Source:MGI Symbol;Acc:MGI:2685874] MPGDSPALWELVEEHVPLPERPEVKRILGEAAVDLSLELREEVAMLKALLQEIQSSQVSN SDPPSLLAPPPLLRDLIRQELRQLLQGLRLKAISEGRDQTQMWAQYSPRVLRFALEDTRC DSTQQELPMRADEPRAGMNNTERVMVTSPVSTCPRDLTVIKDQLNVSNIDQVVRHLRSLL EEECHML >ENSMUSP00000015941.7 pep:known chromosome:GRCm38:13:93655720:93674302:-1 gene:ENSMUSG00000042118.7 transcript:ENSMUST00000015941.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bhmt2 description:betaine-homocysteine methyltransferase 2 [Source:MGI Symbol;Acc:MGI:1891379] MAPAGSTRAKKGILERLDSGEVVVGDSGFLFTLEKRGFVKAGLWTPEAVVEHPSAVRQLH TEFLRAGADVLQTFTFSATEDNMASKWEAVNAAACDLAQEVAGGGGALVAGGICQTSLYK YHKDETRIKNIFRLQLEVFARKNVDFLIAEYFEHVEEAVWAVEVLREVGAPVAVTMCIGP EGDMHDVTPGECAVKLARAGADIIGVNCRFGPWTSLQTMKLMKEGLRDASLQAHLMVQCL GFHTPDCGKGGFVDLPEYPFGLEPRVATRWDIQKYAREAYNLGIRYIGGCCGFEPYHIRA IAEELAPERGFLPPASEKHGSWGSGLNMHTKPWIRARARREYWENLLPASGRPFCPSLSK PDA >ENSMUSP00000145354.1 pep:known chromosome:GRCm38:8:69654492:69666982:1 gene:ENSMUSG00000091764.3 transcript:ENSMUST00000204285.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp964 description:zinc finger protein 964 [Source:MGI Symbol;Acc:MGI:3646490] MASVSFEDVAVHFTQDEWALLDSSQKSLYRDVMLETCRSLATIGYKWEDQNLEDHCINPG RNLRSYMFQTFCENEGDDQGGENLPWKPNLSMNMRCSTGLTPWDHSMWGKASPSYSSSRH TTPHSQCTAHEQEKYVTKQYNSDSLRTFQRYMRTHVVNGPCECEVCLKYFGFPGTLEIHQ ETQSENNPYQYKEYGKTSVDISSLCTHGGAQAVGKGYECNQCGKALSSYSSLRRHERIHT EKKPYKCKQCGKAFRYHSYLHLHERIHTGEKPYECKRCGKAFIFPGALQVHEKIHTGEKR HECKHCGKAFRRHSDLQVHEKIHTGEKPYVCKQCGRAFRLNSHRQRHEQTHTEDRPFICK QCGRSFECYSNLELHEKIHTGDRPFVCTECGKAFGCYSNLQLHEKIHTGDRPFVCKECGK AFICHGHLQRHETTHTGEKPYGCKQCGKAFSRNSHLQRHERTHRQMSTCPRK >ENSMUSP00000129822.1 pep:known chromosome:GRCm38:8:69659263:69664170:1 gene:ENSMUSG00000091764.3 transcript:ENSMUST00000169125.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp964 description:zinc finger protein 964 [Source:MGI Symbol;Acc:MGI:3646490] ASVSFEDVAVHFTQDEWALLDSSQKSLYRDVMLETCRSLATIGYKWEDQNLEDHCINPGR NLRSYMFQTFCENEGDDQGGENLPWKPNLSMNMRCSTGLTPWDHSMWGKASPSYSSSRHT TPHSQCTAHEQEKYVTKQYNSDSLRTFQRYMRTHVVNGPCECEVCLKYFGFPGTLEIHQE TQSENNPYQYKEYGKTSVDISSLCTHGGAQAVGKGYECNQCGKALSSYSSLRRHERIHTE KKPYKCKQCGKAFRYHSYLHLHERIHTGEKPYECKRCGKAFIFPGALQVHEKIHTGEKRH ECKHCGKAFRRHSDLQVHEKIHTGEKPYVCKQCGRAFRLNSHRQRHEQTHTEDRPFICKQ CGRSFECYSNLELHEKIHTGDRPFVCTECGKAFGCYSNLQLHEKIHTGDRPFVCKECGKA FICHGHLQRHETTHTGEKPYGCKQCGKAFSRNSHLQRHERTHRQMSTCPRK >ENSMUSP00000106087.1 pep:known chromosome:GRCm38:15:25622525:25813673:1 gene:ENSMUSG00000022272.17 transcript:ENSMUST00000110457.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo10 description:myosin X [Source:MGI Symbol;Acc:MGI:107716] MDSFFPEGARVWLRENGQHFPSTVNSCAEGVVVFQTDYGQVFTYKQSTITNQKVTAMHPL HEEGVDDMASLAELHGGSIMYNLFQRYKRNQIYTYIGSIIASVNPYQPIAGLYERATMEE YSRCHLGELPPHIFAIANECYRCLWKRHDNQCVLISGESGAGKTESTKLILKFLSVISQQ TLDLGLQEKTSSVEQAILQSSPIMEAFGNAKTVYNNNSSRFGKFVQLNICQQGNIQGGRI VDYLLEKNRVVRQNPGERNYHIFYALLAGLDQGEREEFYLSLPENYHYLNQSGCTEDKTI SDQESFRQVITAMEVMQFSKEEVREVLRLLAGILHLGNIEFITAGGAQIPFKTALGRSAD LLGLDPTQLTDALTQRSMILRGEEILTPLSVQQAVDSRDSLAMALYARCFEWVIKKINSR IKGKDDFKSIGILDIFGFENFEVNHFEQFNINYANEKLQEYFNKHIFSLEQLEYSREGLV WEDIDWIDNGECLDLIEKKLGLLALINEESHFPQATDSTLLEKLHSQHANNHFYVKPRVA VNNFGVKHYAGEVQYDVRGILEKNRDTFRDDLLNLLRESRFDFIYDLFEHVSSRNNQDTL KCGSKHRRPTVSSQFKDSLHSLMATLSSSNPFFVRCIKPNTQKMPDQFDQVVVLNQLRYS GMLETVRIRKAGYAVRRPFQDFYKRYKVLMRNLALPDDIRGKCTVLLQVYDASNSEWQLG KTKVFLRESLEQKLEKRREEEIDRAAMVIRAHILGYLARKQYRKVLCGVVTIQKNYRAFL ARKKFLHLKKAAIVFQKQLRGQLARRVYRQLLAEKRELEEKKRREEEKKREEEERERERA QREADLLRAHQEAETRRQQELEALQKSQREADLTRELEKQRENKQVEEILRLEKEIEDLQ RMKERQELSLTEASLQKLQQLRDEELRRLEDEACRAAQEFLESLNFDEIDECVRNIERSL SVGSEISGEELSELAESASGEKPNFNFSQPYPAEEEVDEGFEADDDAFKDSPNPSEHGHS DQRTSGIRTSDDSSEEDPYMNYTVVPTSPSADSTVLLAASMQDSASLHNSSSGESTYCMP QNNGDLPSPDGDYDYDQDDYEDGAITSGSSVTFSNSYGSQWSPDYRYSVGTYNSSGAYRF SSEGAQSSFEDSEEDFDSRFDTDDELSYRRDSVYSCVTLPYFHSFLYMKGGLMNSWKRRW CVLKDETFLWFRSKQEALKQGWLHKKGGGSSTLSRRNWKKRWFVLRQSKLMYFENDSEEK LKGTVEVRTAKEIIDNTSKENGIDIILADRTFHLIAESPEDASQWFSVLSQVHSSTDQEI REMHDEQANPQNAVGTLDVGLIDSVCASDSPDRPNSFVIITANRVLHCNADTPEEMHHWI TLLQRSKGDTRVEGQEFIVRGWLHKEVKNSPKMSSLKLKKRWFVLTHNSLDYYKSSEKNA LKLGTLVLNSLCSVVPPDEKIFKETGYWNVTVYGRKHCYRLYTKLLNEATRWSSAIQNVT DTKAPIDTPTQQLIQDIKENCLNSDVVEQIYKRNPILRYTHHPLHSPLLPLPYGDINLNL LKDKGYTTLQDEAIKIFNSLQQLESMSDPIPIIQGILQTGHDLRPLRDELYCQLIKQTNK VPHPGSVGNLYSWQILTCLSCTFLPSRGILKYLKFHLKRIREQFPGTEMEKYALFIYESL KKTKCREFVPSRDEIEALIHRQEMTSTVYCHGGGSCKITINSHTTAGEVVEKLIRGLAME DSRNMFALFEYNGQVDKAIESRTIVADVLAKFEKLAATSEAGDAPWKFYFKLYCFLDTDS MPKDSVEFAFMFEQAHEAVIHGHHPAPEESLQVLAALRLQYLQGDYTPHTSIPPLEEVYS VQRLRARISQSTKTFTPYERLEKRRTSFLEGTLRRSFRTGSVVRQKAEEEQMLDMWIKEE VCSARTSIIDKWKKLQGMNQEQAMAKYMALIKEWPGYGSTLFDVECKEGGFPQELWLGVS ADAVSVYKRGEGKPLEVFQYEHILSFGAPLANTYKIVVDERELLFETSEVVDVAKLMKAY ISMIVKKRYSTTRSVSSQGSSR >ENSMUSP00000118280.1 pep:known chromosome:GRCm38:15:25622543:25779764:1 gene:ENSMUSG00000022272.17 transcript:ENSMUST00000137601.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo10 description:myosin X [Source:MGI Symbol;Acc:MGI:107716] MDSFFPEVFTYKQSTITNQKVTAMHPLHEEGVDDMASLAELHGGSIMYNLFQRYKRNQIY TYIGSIIASVNPYQPIAGLYERATMEEYSRCHLGELPPHIFAIANECYRCLWKRHDNQCV LISGESGAGKTESTKLILKFLSVISQQTLDLGLQEKTSSVEQAILQSSPIMEAFGNAKTV YNNNSSRFGKFVQLNICQQGNIQGGRIVDYLLEKNRVVRQNPGERNYHIFYALLAGLDQG EREEFYLSLPENYHYLNQSGCTEDKTISDQESFRQVITAMEVMQFSKEEVREVLRLLAGI LHLGNIEFITAGGAQIPFKTALGRSADLLGLDPTQLTDALTQRSMILRGEEILTPLSVQQ AVDSRDSLAMALYARCFEWVIKKINSRIKGKDDFKSIGILDIFGFENFEVNHFEQFNINY ANEKLQEYFNKHIFSLEQLEYSREGLVWEDIDWIDNGECLDLIEKKLGLLALINEESHFP QATDSTLLEKLHSQHANNHFYVKPRVAVNNFGVKHYAGEVQYDVRGILEKNRDTFRDDLL NLLRESRFDFIYDLFEHVSSRNNQDTLKCGSKHRRPTVSSQFKDSLHSLMATLSSSNPFF VRCIKPNTQKMPDQFDQVVVLNQLRYSGMLETVRIRKAGYAVRRPFQDFYKRYKVLMRNL ALPDDIRGKCTVLLQVYDASNSEWQLGKTKVFLRESLEQKLEKRREEEIDRAAMVIRAHI LGYLARKQYRKVLCGVVTIQKNYRAFLARKKFLHLKKAAIVFQKQLRGQLARRVYRQLLA EKRELE >ENSMUSP00000123057.1 pep:known chromosome:GRCm38:15:25742409:25781194:1 gene:ENSMUSG00000022272.17 transcript:ENSMUST00000135981.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo10 description:myosin X [Source:MGI Symbol;Acc:MGI:107716] XSKHRRPTVSSQFKDSLHSLMATLSSSNPFFVRCIKPNTQKVFLRESLEQKLEKRREEEI DRAAMVIRAHILGYLARKQYRKVLCGVVTIQKNYRAFLARKKFLHLKKAAIVFQKQLRGQ LARRVYRQLLAEKRELEEKKRREEEKKREEEERERERAQREADLLRAHQEAETRRQQELE ALQKSQREADLTRELEKQRENKQVEEILRLEKEIEDLQRMKERQELSLTEASLQKLQQLR DE >ENSMUSP00000022882.5 pep:known chromosome:GRCm38:15:25752910:25813671:1 gene:ENSMUSG00000022272.17 transcript:ENSMUST00000022882.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo10 description:myosin X [Source:MGI Symbol;Acc:MGI:107716] MVIRAHILGYLARKQYRKVLCGVVTIQKNYRAFLARKKFLHLKKAAIVFQKQLRGQLARR VYRQLLAEKRELEEKKRREEEKKREEEERERERAQREADLLRAHQEAETRRQQELEALQK SQREADLTRELEKQRENKQVEEILRLEKEIEDLQRMKERQELSLTEASLQKLQQLRDEEL RRLEDEACRAAQEFLESLNFDEIDECVRNIERSLSVGSEISGEELSELAESASGEKPNFN FSQPYPAEEEVDEGFEADDDAFKDSPNPSEHGHSDQRTSGIRTSDDSSEEDPYMNYTVVP TSPSADSTVLLAASMQDSASLHNSSSGESTYCMPQNNGDLPSPDGDYDYDQDDYEDGAIT SGSSVTFSNSYGSQWSPDYRYSVGTYNSSGAYRFSSEGAQSSFEDSEEDFDSRFDTDDEL SYRRDSVYSCVTLPYFHSFLYMKGGLMNSWKRRWCVLKDETFLWFRSKQEALKQGWLHKK GGGSSTLSRRNWKKRWFVLRQSKLMYFENDSEEKLKGTVEVRTAKEIIDNTSKENGIDII LADRTFHLIAESPEDASQWFSVLSQVHSSTDQEIREMHDEQANPQNAVGTLDVGLIDSVC ASDSPDRPNSFVIITANRVLHCNADTPEEMHHWITLLQRSKGDTRVEGQEFIVRGWLHKE VKNSPKMSSLKLKKRWFVLTHNSLDYYKSSEKNALKLGTLVLNSLCSVVPPDEKIFKETG YWNVTVYGRKHCYRLYTKLLNEATRWSSAIQNVTDTKAPIDTPTQQLIQDIKENCLNSDV VEQIYKRNPILRYTHHPLHSPLLPLPYGDINLNLLKDKGYTTLQDEAIKIFNSLQQLESM SDPIPIIQGILQTGHDLRPLRDELYCQLIKQTNKVPHPGSVGNLYSWQILTCLSCTFLPS RGILKYLKFHLKRIREQFPGTEMEKYALFIYESLKKTKCREFVPSRDEIEALIHRQEMTS TVYCHGGGSCKITINSHTTAGEVVEKLIRGLAMEDSRNMFALFEYNGQVDKAIESRTIVA DVLAKFEKLAATSEAGDAPWKFYFKLYCFLDTDSMPKDSVEFAFMFEQAHEAVIHGHHPA PEESLQVLAALRLQYLQGDYTPHTSIPPLEEVYSVQRLRARISQSTKTFTPYERLEKRRT SFLEGTLRRSFRTGSVVRQKAEEEQMLDMWIKEEVCSARTSIIDKWKKLQGMNQEQAMAK YMALIKEWPGYGSTLFDVECKEGGFPQELWLGVSADAVSVYKRGEGKPLEVFQYEHILSF GAPLANTYKIVVDERELLFETSEVVDVAKLMKAYISMIVKKRYSTTRSVSSQGSSR >ENSMUSP00000118744.1 pep:known chromosome:GRCm38:15:25752979:25779774:1 gene:ENSMUSG00000022272.17 transcript:ENSMUST00000135173.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo10 description:myosin X [Source:MGI Symbol;Acc:MGI:107716] MPDQFDQVVVLNQLRYSGMLETVRIRKAGYAVRRPFQDFYKRYKVLMRNLALPDDIRGKC TVLLQVYDASNSEWQLGKTKVFLRESLEQKLEKRREEEIDRAAMVIRAHILGYLARKQYR KVLCGVVTIQKNYRAFLARKKFLHLKKAAIVFQKQLRGQLARRVYRQLLAEKRELEEKK >ENSMUSP00000119200.1 pep:known chromosome:GRCm38:15:25758765:25776096:1 gene:ENSMUSG00000022272.17 transcript:ENSMUST00000131834.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo10 description:myosin X [Source:MGI Symbol;Acc:MGI:107716] MPDQFDQVVVLNQLRYSGMLETVRI >ENSMUSP00000120817.1 pep:known chromosome:GRCm38:15:25758785:25780409:1 gene:ENSMUSG00000022272.17 transcript:ENSMUST00000124966.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo10 description:myosin X [Source:MGI Symbol;Acc:MGI:107716] MVIRAHILGYLARKQYRKVLCGVVTIQKNYRAFLARKKFLHLKKAAIVFQKQLRGQLARR VYRQLLAEKRELEEKKRREEEKKREEEERERERAQREADLLRAH >ENSMUSP00000119367.1 pep:known chromosome:GRCm38:15:25768556:25776345:1 gene:ENSMUSG00000022272.17 transcript:ENSMUST00000151360.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo10 description:myosin X [Source:MGI Symbol;Acc:MGI:107716] MPDQFDQVVVLNQLRYSGMLETVRIRKAGYAVRRPFQDFYKRYKVLMRNLA >ENSMUSP00000120566.1 pep:known chromosome:GRCm38:15:25773987:25781057:1 gene:ENSMUSG00000022272.17 transcript:ENSMUST00000125667.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myo10 description:myosin X [Source:MGI Symbol;Acc:MGI:107716] MMPDQFDQVVVLNQLRYSGMLETVRIRKAGYAVRRPFQDFYKRYKVLMRNLALPDDIRGK CTVLLQVYDASNSEWQLGKTKVFLRESLEQKLEKRREEEIDRAAMVIRAHILGYLARKQY RKVLCGVVTIQKNYRAFLARKKFLHLKKAAIVFQKQLRGQLARRVYRQLLAEKRELEEKK RREEEKKREEEERERERAQREADLLRAHQEAETRRQQELEALQKSQREADLTRELEK >ENSMUSP00000006392.2 pep:known chromosome:GRCm38:13:33027416:33041884:1 gene:ENSMUSG00000021403.2 transcript:ENSMUST00000006392.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb9b description:serine (or cysteine) peptidase inhibitor, clade B, member 9b [Source:MGI Symbol;Acc:MGI:894668] MSTLSEANGTFAIHLLKMLCQSNPSKNVCFSPVSISSALAMVLLGAKEQTAVQISQALGL KKEKGIHQGFLKLLRKLNKPDRKYSLIVANRLFADKTCEVLQTFKESCFRFYDSEMEQVN FFKAAVESRQCINTWVSKQTEGKIPELLADDSVNFQTRLVLVNALYFKGMWACQFCKEST REMPFYINKDEKRPVQMMCQTDTFMFAFVDELPARLLIMPYEGMELSLMVLLPEKGVDLS KVENDLTFEKLIAWTKPDIMWSTEVKVFLPKFKLQEDYEMKSVLQCLGIVDVFEKEKADL SAMSPERNLCLSKFIHKSVVEVNEEGTEAAAASSAEGIIPLCLGGGPSWFCADHPFLFFI RHNQTNSILFCGRFSSP >ENSMUSP00000062079.5 pep:known chromosome:GRCm38:16:19407039:19408078:-1 gene:ENSMUSG00000050158.5 transcript:ENSMUST00000052516.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr165 description:olfactory receptor 165 [Source:MGI Symbol;Acc:MGI:3029999] MQWNNWTRNSDFILLGFFDHSPLHTFFFSLILAIFFMALIGNSVMVILIYLDAQLHTPMY ILLSQLSLMDLMLISTTVPQTAFNFLSGNKSISMAGCGVQIFFYVSLLGAECFLLAAMAY DRYVAICYPLRYPILMSHKICSLMAAFSWILGSLDGIIDVAAVLSFSYCGTREIPHFFCD IPALLTISCSDTLIFEKIIFFCCVIMLIFPVVIVIASYICVILAVIKMASAESRHKAFAT CSSHVMVVVIYYGAAMFIYMQPSSSRSPNQDKLVSAFYTILTPLLNPLIYSLRNKEVARA FMKVLRMDKAAG >ENSMUSP00000146100.1 pep:known chromosome:GRCm38:16:19407635:19423134:-1 gene:ENSMUSG00000050158.5 transcript:ENSMUST00000206410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr165 description:olfactory receptor 165 [Source:MGI Symbol;Acc:MGI:3029999] MQWNNWTRNSDFILLGFFDHSPLHTFFFSLILAIFFMALIGNSVMVILIYLDAQLHTPMY ILLSQLSLMDLMLISTTVPQTAFNFLSGNKSISMAGCGVQIFFYVSLLGAECFLLAAMAY DRYVAI >ENSMUSP00000033554.4 pep:known chromosome:GRCm38:X:96713492:96719388:1 gene:ENSMUSG00000031210.10 transcript:ENSMUST00000033554.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr165 description:G protein-coupled receptor 165 [Source:MGI Symbol;Acc:MGI:1923456] MDAPTTDVPPEDFQSPGTMGFGDELTPEALSVPSGFDDLITSTTSQVLQAASNAGRNGML SKMDKLADHMSNSGDLADTSGPLDLEIVSQDEQVQFWLVVGYTIVVFAAIIGNWVLNHII MKYKRVHTATGLFVVNISVTNMMLALLSSPFTMVRYLCNSLVFGKMTCHLSRFAQYSCAY VTVMSMAAISLDRHRVMLYPLKARITPMQGNVCIIIIWIVSTCAALPHAVYQKLYQVEFG NTTEESACLPSFPYTSKSTWKYLDLGTFLLFFILPLLVLVAVYGHVAKKLWIHDAVDDIN IHTYICQRGKKKQTLKMLMTVVLLYTISWLPLNVYLVLLSSESISSHNGLYFFLHWLAIS SSCYNPYIYCWLSDSFRIEVQKVIMEIQKMLLDKISRLRGEHRRLSSVSHSQTPGSVDPN PGVPKFPRFRDFDELPSPPPSPTVEISFLHSVPRV >ENSMUSP00000048469.8 pep:known chromosome:GRCm38:17:28328471:28331032:1 gene:ENSMUSG00000037805.14 transcript:ENSMUST00000042334.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl10a description:ribosomal protein L10A [Source:MGI Symbol;Acc:MGI:1343877] MSSKVSRDTLYEAVREVLHGNQRKRRKFLETVELQISLKNYDPQKDKRFSGTVRLKSTPR PKFSVCVLGDQQHCDEAKAVDIPHMDIEALKKLNKNKKLVKKLAKKYDAFLASESLIKQI PRILGPGLNKAGKFPSLLTHNENMVAKVDEVKSTIKFQMKKVLCLAVAVGHVKMTDDELV YNIHLAVNFLVSLLKKNWQNVRALYIKSTMGKPQRLY >ENSMUSP00000114141.1 pep:known chromosome:GRCm38:17:28328584:28331032:1 gene:ENSMUSG00000037805.14 transcript:ENSMUST00000129935.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rpl10a description:ribosomal protein L10A [Source:MGI Symbol;Acc:MGI:1343877] MSSKVSRDTLYEAVREVLHGNQRKRRKFLETVELQISLKNYDPQKDKRFSGTVRLAPL >ENSMUSP00000103310.1 pep:known chromosome:GRCm38:3:84666192:84704575:1 gene:ENSMUSG00000056498.13 transcript:ENSMUST00000107682.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem154 description:transmembrane protein 154 [Source:MGI Symbol;Acc:MGI:2444725] MTVPCAALVLALGLAFGQSSQGNDEESEYSGQSITEEENSEDETTRSALATVTTEALAEN VNSTHTNDTSNQVEFILMVAIPLAALLILLFMVLIATYFKSKRPKQEPSSQGSQSALQTH ELGGETLKVPIFEEDTPSVMEIEMEELDKWMNSMNRNADYECLPTLKEEKEPNPSPSDNE S >ENSMUSP00000048754.2 pep:known chromosome:GRCm38:X:140062879:140106797:1 gene:ENSMUSG00000042433.2 transcript:ENSMUST00000044806.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pih1h3b description:PIH1 domain containing 3B [Source:MGI Symbol;Acc:MGI:3607720] MESEIAEAEKVKTGNLEAKSMEAKNMQFEMLSSASTLQALASLLNPEEEDDFDFEQGQCT STIRAMGPGNIGPPKAKESKAIPEPRSDESENIWNPEEVPEGGEHDDIWDVREIPDYEIV FQQTVGTEDIYLGLTGKDPSTACCQELVVKIKLPNTNPSEIQIDIQEMLLDLRTPKKKLL VNFPQPVERNSARASYIWEAETLEVRMTLQRDLDFNIS >ENSMUSP00000099582.1 pep:known chromosome:GRCm38:11:73847185:73848123:-1 gene:ENSMUSG00000094488.1 transcript:ENSMUST00000102523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr393 description:olfactory receptor 393 [Source:MGI Symbol;Acc:MGI:3030227] MKMNNKTVITQFLLLGLPISLEYKHLFYALFLAMYLTTVLGNLLIIVLIKLDSHLHTPMY LFLSNLSFSDLCFSSVTMPKMLHNMQSQDPSIPYGGCLAQIYFLMAFGDMESFLLVVMAY DRYVAICFPLHYTSIMSPKLCTCLMLLLWILTTSHAMMHTLLAARLSFCENNVILNFFCD LFAVLKLSCSDTYINDLMILIFGGLIFIIPFLLIVISYARIISSILKVPSTQGIYKVFST CGSHLSVVSLFYGTIIGLYLCPSGNNSTVKEIAMAMMYTVVTPMLNPFIYSLRNRDMKKA LIRVICSKKISL >ENSMUSP00000119087.1 pep:known chromosome:GRCm38:8:69741639:69749962:-1 gene:ENSMUSG00000092260.7 transcript:ENSMUST00000130458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp963 description:zinc finger protein 963 [Source:MGI Symbol;Acc:MGI:4867078] MASVSFEDVAVHFTQDEWALLDSSQKSLYRDVMLEICRSLATIGNRWEEENVEDHYKNPW RNMSGSMSETPCERTEDDQVEETLTWISNLHASMTVFPGLAPYESHICGKASTSHSSSDR HATFLPCYKPREREDCGAEQYDLNSLTSFQRCTEAHTGNGPCECEVCLRSSCFPNPLGTH QEAHSGKTPYQYKECGKNSVCAHGGSPTMGKFYECNICGKALSSSTALQRHELIHTERFY ECTYCGKAFRYPKYLRLHERIHTGEKPYECKQCGKAFRFPGALPLHEKIHTGEKPYKCKQ CGKAFRFPGSLPLHEKIHTGEKPYECKQCGKAFRRHYHLQLHEKIHTGEKPYECKQCGKA FRRHSHLQRHERTHGGEKPFECE >ENSMUSP00000117867.1 pep:known chromosome:GRCm38:8:69742957:69749970:-1 gene:ENSMUSG00000092260.7 transcript:ENSMUST00000154063.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp963 description:zinc finger protein 963 [Source:MGI Symbol;Acc:MGI:4867078] MASVSFEDVAVHFTQDEWALLDSSQKSLYRDVMLEICRSLATIGNRWEEENVEDHYKNPW RNMRNTSRGSQWKNTLSIQGVWKELCLCTWRKPHYGKVL >ENSMUSP00000050445.2 pep:known chromosome:GRCm38:4:114925147:114926013:-1 gene:ENSMUSG00000044518.3 transcript:ENSMUST00000050940.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxe3 description:forkhead box E3 [Source:MGI Symbol;Acc:MGI:1353569] MDAQVAFSGFPALPSLTPSGPQLPTLAGAEPGREPEEVVGGGDAEPTAVPGPGKRRRRPL QRGKPPYSYIALIAMALAHAPGRRLTLAAIYRFITERFAFYRDSPRKWQNSIRHNLTLND CFVKVPREPGNPGKGNYWTLDPAAADMFDNGSFLRRRKRFKRAELPAPPPPPPPFPYAPF PPPPAPASAPPARLFRLDSLLGLQPEPPGPVAPEPPCCAAPDAAFPPCAAAASPPLYSPA SERLGLPAPLPAQPLLALAGSAGALGPLGAGEAYLRQPGFAPGLERYL >ENSMUSP00000127760.1 pep:known chromosome:GRCm38:7:41599261:41628533:1 gene:ENSMUSG00000091474.2 transcript:ENSMUST00000163475.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610021A01Rik description:RIKEN cDNA 2610021A01 gene [Source:MGI Symbol;Acc:MGI:1922662] MGGHQVLQLPKDRTNGRLTFKDVAIEFSQEEWECLDSAQRTLYRDVMLEIYSILVSVGLS VSKLELLTCFEQNEEPSIAGIKELKGIEPVLSCFHNKELFKIESKQESAQREGPGQFGSS RLGKLHVNLPNDTDCNVNQHKTVHENPVGSGSHHWLGISEYRHRYQEDNKGLTTDFALHN NHNSQFTEIAYQGNDSWNTYKQDVTPSEGQISNCSLENCYNNDRCRGVSGQYTQSSLYQQ DYSQEKLSTSSQQDKIANESSNCSQCTEIKARGEFNRQRYDKYSKVSVESPSIDRDKVND IQRKPCLFMGSDKSFNFYLNMTQNDSSHTREKTQKYKVYKLEITSPSKLVGHPRFFVQQK YRKSGKYSRNSSGLTRLKMLQPGGTPCKSTECGKSFSQFSGYRENYTIHTGEKPYKCKIC KRSFTTGSYLQAHQRIHTGEKPYRCKECGKSFTHGYSLQIHLRLHTGEKPYKCTDCGKAF AEGSTLKSHHRIHTGEKPYKCKECGKSFATRSNLQGHSRIHTGDRPYKCADCGKSFTSRS CLRTHHKTHTGEKSYKCKECGRSFAEGSTLKSHHRIHTGEKPYKCKECGKSFAKRSNLQV HSRIHTGDKPYKCADCGKAFISSSCLRTHHRTHTGEKSYKCKECGKSFTQHSHLQTHYRI HTGEKPYICTDCGKSFSNSYSLQRHHKTHTGEKSYKCKECGKSFSQGSHLQAHHRIHSRN KPCKCKECGKGFAEGSTLKTHHRIHTGEKPYKCKECGKSFTEGSTLKAHHRIHTGEKPYK CKECGKSFTMASALKIHHRIHTGEKPYKCTDCGKSFTQHSHLQRHCRIHTGEKP >ENSMUSP00000146223.1 pep:known chromosome:GRCm38:7:41599324:41613196:1 gene:ENSMUSG00000091474.2 transcript:ENSMUST00000205433.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610021A01Rik description:RIKEN cDNA 2610021A01 gene [Source:MGI Symbol;Acc:MGI:1922662] MGGHQVLQLPKDRTNGRLTFKDVAIEFSQEEWECLDSAQRTLYRDVMLEIYSILVSVGLS VSKLELLTCFE >ENSMUSP00000105272.2 pep:known chromosome:GRCm38:11:16257724:16284186:1 gene:ENSMUSG00000048834.16 transcript:ENSMUST00000109645.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm2a description:V-set and transmembrane domain containing 2A [Source:MGI Symbol;Acc:MGI:2384826] MMGIFLASVGFMFFSVLYVQQGLSSQAKFTELPRNVTATEGQNVEMSCAFQSGSASVYLE IQWWFLRGPEDLEQGTEAAGSQVELLPDRDPDNDGTKISTVKVQGNDISHKLQISKVRKK DEGLYECRVTDANYGELQEHKAQAYLKVNANSHARRMQAFEASPMWLQDTKPRKNASSVV PSSVHNSANQRMHSTSSPQAVAKIPKQSPQSGARIATSHGLSVLLLVCGFVKGALL >ENSMUSP00000105274.2 pep:known chromosome:GRCm38:11:16257803:16427310:1 gene:ENSMUSG00000048834.16 transcript:ENSMUST00000109647.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm2a description:V-set and transmembrane domain containing 2A [Source:MGI Symbol;Acc:MGI:2384826] MMGIFLASVGFMFFSVLYVQQGLSSQAKFTELPRNVTATEGQNVEMSCAFQSGSASVYLE IQWWFLRGPEDLEQGTEAAGSQVELLPDRDPDNDGTKISTVKVQGNDISHKLQISKVRKK DEGLYECRVTDANYGELQEHKAQAYLKVNANSHARRMQAFEASPMWLQDTKPRKNASSVV PSSVHNSANQRMHSTSSPQAVAKIPKQSPQSACIINFSGTMEARVHERGFQVRSSLSHLR PISEVCGVFSNRSPLSPTGRKPRPNLSSSDELDFLSSDLLTR >ENSMUSP00000146349.1 pep:known chromosome:GRCm38:11:16258063:16282422:1 gene:ENSMUSG00000048834.16 transcript:ENSMUST00000208926.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm2a description:V-set and transmembrane domain containing 2A [Source:MGI Symbol;Acc:MGI:2384826] XQQGLSSQAKFTELPRNVTATEGQNVEMSCAFQSGSASVYLEIQWWFLRGPEDLEQGTEA AGSQVELLPDRDPDNDGTKISTVKVQGNDISHKLQISKVRKKDEGLYECRVTDANYGELQ EHKAQAYLKVNANSHARRMQAFEASPMWLQDTKPRKNASSVVPSSVHNSANQRMHSTSSP QAVAKIPKQSPQSAKSKSPVKSTERTAKLTLYSKHHSAPLYSSYLHKEHQLPEA >ENSMUSP00000147108.1 pep:known chromosome:GRCm38:11:16263218:16284551:1 gene:ENSMUSG00000048834.16 transcript:ENSMUST00000207256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vstm2a description:V-set and transmembrane domain containing 2A [Source:MGI Symbol;Acc:MGI:2384826] XAKIPKQSPQSVHAKTFMSTRAKLAS >ENSMUSP00000077384.1 pep:known chromosome:GRCm38:11:52144593:52145528:-1 gene:ENSMUSG00000062204.1 transcript:ENSMUST00000078264.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1373 description:olfactory receptor 1373 [Source:MGI Symbol;Acc:MGI:3031207] MDYLNTSSEEGFILVGFSDWPHLEPTLFAFISIFYSLTLFGNTVIIILSRLDLRLHTLMY YFLCHLSFLDLCYTASTVPQLLVNLSGLDRTISFGRCVAQLCIVLSLGGTECVLLVTMAI DRYAAVCRPLHYTTIMHPVLCRALVVFSWVGGLVNSLIQTSLVMAMPLCGHQLNHFFCEL PVLLKMACEDTGGTEVNLFVARVIILVCPLLLILGSYAHIARAVLNIRSVAGRRKAFGTC ASHLIVVAMFYGSAISTYLQPVHRYSEKEGKFLALFYTVITPMLNPLIYTLRNKDVKGAL WKVLGRGTDSR >ENSMUSP00000110223.2 pep:known chromosome:GRCm38:X:71816758:71824706:1 gene:ENSMUSG00000073131.12 transcript:ENSMUST00000114576.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vma21 description:VMA21 vacuolar H+-ATPase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914298] MERLDKAALNALQPPEFRNENSLAATLKTLLFFTALMITVPIGLYFTTKAYIFEGALGMS NRDSYFYAAIVAVVAVHVVLALFVYVAWNEGSRQWREGKQD >ENSMUSP00000110224.1 pep:known chromosome:GRCm38:X:71815924:71824706:1 gene:ENSMUSG00000073131.12 transcript:ENSMUST00000114577.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vma21 description:VMA21 vacuolar H+-ATPase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914298] MLRGKSRLNVEWLGYSPGLLLENDPLAAGRTPRTPRGNENSLAATLKTLLFFTALMITVP IGLYFTTKAYIFEGALGMSNRDSYFYAAIVAVVAVHVVLALFVYVAWNEGSRQWREGKQD >ENSMUSP00000110222.3 pep:known chromosome:GRCm38:X:71816790:71824706:1 gene:ENSMUSG00000073131.12 transcript:ENSMUST00000114575.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vma21 description:VMA21 vacuolar H+-ATPase homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914298] MRCSLQSSGIPEFRWATVAARLWPGAGPRAGRRQGGLGDDPSTGHRRLMKRNENSLAATL KTLLFFTALMITVPIGLYFTTKAYIFEGALGMSNRDSYFYAAIVAVVAVHVVLALFVYVA WNEGSRQWREGKQD >ENSMUSP00000033643.5 pep:known chromosome:GRCm38:3:85869849:85887516:-1 gene:ENSMUSG00000031286.6 transcript:ENSMUST00000033643.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glt28d2 description:glycosyltransferase 28 domain containing 2 [Source:MGI Symbol;Acc:MGI:2443773] MKRVFVTVGTTSFDDLIARVVAHDSVQILKNLGYNQLVLQIGRGTVVPEPFSTESFTLDV YRYKDSLKEDLQQADLVISHAGAGSCLESLEKGKPLVVVVNEKLMNNHQFELAKQLHKEG HLFYCTCSMLPELLQSMDLSTLKCYPPGQPEKFSAFLDKVVGLQK >ENSMUSP00000116972.1 pep:known chromosome:GRCm38:8:69761326:69791178:-1 gene:ENSMUSG00000043090.18 transcript:ENSMUST00000131784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp866 description:zinc finger protein 866 [Source:MGI Symbol;Acc:MGI:3584369] MLETCRNLAAIGYIWEEQNVEDDCKNFGRIQRHVISDSEYLLDEQEGYGNKPYDSSSLTS IQGHMGAHTVNGPCECERPLSSQEHPLKRQQYATA >ENSMUSP00000119781.1 pep:known chromosome:GRCm38:8:69763843:69774911:-1 gene:ENSMUSG00000043090.18 transcript:ENSMUST00000137573.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp866 description:zinc finger protein 866 [Source:MGI Symbol;Acc:MGI:3584369] MDLVSFEDVAVHFTQDEWDLLDPFQKSLYRDVMLETCRNLAAIGYIWEEQNVEDDCKNFG RIQRHVISDSEYLLDEQEGYGNKPYDSSSLTSIQGHMGAHTVNGPCECEVCLKSFGFPSA FGMCHQPHSGQNVCDYKEFGKASGYHSSLYTHGGTDTVRKCYSCNQCGKALSSSSSLQRH ERIHTGERPYKCQQCGKALSSSTSLQRHERIHTGERPYKCQQCGKAFRCHSALQLHERIH TGEKPYECQQCGKAFTCHSYLRLHERTHTGEKPYECKQCGKAFRCQTSYHRHKIIHGGET FYECKQCSRLFIYPSLLQMHERTHTSERPYKCKECGKSFLYPSLLQMHERTHTGEKPYEC KQCGTAFRHHSSLRLHERTHTGEKPYECQQCGKAFTRHTSLRLHEITHTGEKPYKCKECG KAFRHHSSLRLHGRNHSGEKPYECKQCDKAFIRYSYLRLHERTHTGEKPYECKQCGKAFS RHSSFQRHKSIHAVENPYECQQYLIPLPLFPPNTSDSSYW >ENSMUSP00000123252.1 pep:known chromosome:GRCm38:8:69742862:69774886:-1 gene:ENSMUSG00000092544.8 transcript:ENSMUST00000149782.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20422 description:predicted gene 20422 [Source:MGI Symbol;Acc:MGI:5141887] MLETCRNLAAIGYIWEEQNVEDDCKNFGRIQRHVISDSEYLLDEQEGYGNKPYDSSSLTS IQGHMGAHTVNGPCECEASVSFEDVAVHFTQDEWALLDSSQKSLYRDVMLEICRSLATIG THQEAHSGKTPYQYKECGKNSVCAHGGSPTMGKFYECNICGKALSSSTALQRHELIHTER FYECTYCGKAFRYPKYLRLHERIHTGEKPYECKQCGKAFRFPGALPLHEKIHTGEKPYKC KQCGKAFRFPGSLPL >ENSMUSP00000122850.1 pep:known chromosome:GRCm38:8:69743184:69766679:-1 gene:ENSMUSG00000092544.8 transcript:ENSMUST00000126915.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20422 description:predicted gene 20422 [Source:MGI Symbol;Acc:MGI:5141887] XGAHTVNGPCECEASVSFEDVAVHFTQDEWALLDSSQKSLYRDVMLEICRSLATIGNRWE EENVEDHYKNPWRNMSGSMSETPCERTEDDQVEETLTWISNLHASMTVFPGLAPYESHIC GKASTSHSSSDRHATFLPCYKPREREDCGAEQYDLNSLTSFQRCTEAHTGNGPCECEVCL RSSCFPNPLGTHQEAHSGKTPYQYKECGKNSVCAHGGS >ENSMUSP00000075241.3 pep:known chromosome:GRCm38:11:52213052:52213987:-1 gene:ENSMUSG00000060170.3 transcript:ENSMUST00000075844.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1371 description:olfactory receptor 1371 [Source:MGI Symbol;Acc:MGI:3031205] MENLNTSSEEGFILVVFSDWPHLEPILFAFISIFYSLTLFGNTVIIILSQLDLCLHTPMY YFLCHLSFLDLCYTASTVPQLLVNLSGLDRTISFGRCVAQLCIVLSLGGTECVLLVAMAI DRYAAVCRPLHYTTIMHPVLCRALVVFSWVGGLVNSLIQTSLVMAMPLCGHQLNHFFCEL PVLLKMACEDTGGTEVNLFVARVIILVCPLLLILGSYAHIARAVLNIRSMAGRRKAFGTC ASHLIVVAMFYGSGISTYLQPVHRYSEKEGKFLALFYTIITPMLNPLIYTLRNKDVKGAL WKVLGRSTDSA >ENSMUSP00000103306.1 pep:known chromosome:GRCm38:3:84815268:84979198:1 gene:ENSMUSG00000028086.14 transcript:ENSMUST00000107679.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw7 description:F-box and WD-40 domain protein 7 [Source:MGI Symbol;Acc:MGI:1354695] MNQELLSVGSKRRRTGGSLRGNASSSQVDEGQMNRVVEEDPQQQARHQEEEHTARNGELV GANPRPGDQNDTQQGQVEENNNRFISVDEDSSGNQEEQEEDEEHAGEQEEEEEEEEEEEE MDQESDDFDPSDDSSREDEHTHNSNVTNCSSVSDLPAHQLSSPFYTKTTKMKRKLDHGSE VRSFSLGKKPCKVSDYTSTTGLVPCSATPTTFGDLRAANGQGQQRRRITSVQPPTGLQEW LKMFQSWSGPEKLLALDELIDSCEPTQVKHMMQVIEPQFQRDFISLLPKELALYVLSFLE PKDLLQAAQTCRYWRILAEDNLLWREKCKEEGIDEPLHIKRRKIIKPGFIHSPWKSAYIR QHRIDTNWRRGELKSPKVLKGHDDHVITCLQFCGNRIVSGSDDNTLKVWSAVTGKCLRTL VGHTGGVWSSQMRDNIIISGSTDRTLKVWNAETGECIHTLYGHTSTVRCMHLHEKRVVSG SRDATLRVWDIETGQCLHVLMGHVAAVRCVQYDGRRVVSGAYDFMVKVWDPETETCLHTL QGHTNRVYSLQFDGIHVVSGSLDTSIRVWDVETGNCIHTLTGHQSLTSGMELKDNILVSG NADSTVKIWDIKTGQCLQTLQGPSKHQSAVTCLQFNKNFVITSSDDGTVKLWDLKTGEFI RNLVTLESGGSGGVVWRIRASNTKLVCAVGSRNGTEETKLLVLDFDVDMK >ENSMUSP00000103305.1 pep:known chromosome:GRCm38:3:84864145:84979198:1 gene:ENSMUSG00000028086.14 transcript:ENSMUST00000107678.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw7 description:F-box and WD-40 domain protein 7 [Source:MGI Symbol;Acc:MGI:1354695] MNQELLSVGSKRRRTGGSLRGNASSSQVDEGQMNRVVEEDPQQQARHQEEEHTARNGELV GANPRPGDQNDTQQGQVEENNNRFISVDEDSSGNQEEQEEDEEHAGEQEEEEEEEEEEEE MDQESDDFDPSDDSSREDEHTHNSNVTNCSSVSDLPAHQLSSPFYTKTTKMKRKLDHGSE VRSFSLGKKPCKVSDYTSTTGLVPCSATPTTFGDLRAANGQGQQRRRITSVQPPTGLQEW LKMFQSWSGPEKLLALDELIDSCEPTQVKHMMQVIEPQFQRDFISLLPKELALYVLSFLE PKDLLQAAQTCRYWRILAEDNLLWREKCKEEGIDEPLHIKRRKIIKPGFIHSPWKSAYIR QHRIDTNWRRGELKSPKVLKGHDDHVITCLQFCGNRIVSGSDDNTLKVWSAVTGKCLRTL VGHTGGVWSSQMRDNIIISGSTDRTLKVWNAETGECIHTLYGHTSTVRCMHLHEKRVVSG SRDATLRVWDIETGQCLHVLMGHVAAVRCVQYDGRRVVSGAYDFMVKVWDPETETCLHTL QGHTNRVYSLQFDGIHVVSGSLDTSIRVWDVETGNCIHTLTGHQSLTSGMELKDNILVSG NADSTVKIWDIKTGQCLQTLQGPSKHQSAVTCLQFNKNFVITSSDDGTVKLWDLKTGEFI RNLVTLESGGSGGVVWRIRASNTKLVCAVGSRNGTEETKLLVLDFDVDMK >ENSMUSP00000103302.1 pep:known chromosome:GRCm38:3:84925480:84979197:1 gene:ENSMUSG00000028086.14 transcript:ENSMUST00000107675.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw7 description:F-box and WD-40 domain protein 7 [Source:MGI Symbol;Acc:MGI:1354695] MSKPGKAPVNHGLVPVDLKSAKDPLPHQTVMKIFSISIIAQGLPFCRRRMKRKLDHGSEV RSFSLGKKPCKVSDYTSTTGLVPCSATPTTFGDLRAANGQGQQRRRITSVQPPTGLQEWL KMFQSWSGPEKLLALDELIDSCEPTQVKHMMQVIEPQFQRDFISLLPKELALYVLSFLEP KDLLQAAQTCRYWRILAEDNLLWREKCKEEGIDEPLHIKRRKIIKPGFIHSPWKSAYIRQ HRIDTNWRRGELKSPKVLKGHDDHVITCLQFCGNRIVSGSDDNTLKVWSAVTGKCLRTLV GHTGGVWSSQMRDNIIISGSTDRTLKVWNAETGECIHTLYGHTSTVRCMHLHEKRVVSGS RDATLRVWDIETGQCLHVLMGHVAAVRCVQYDGRRVVSGAYDFMVKVWDPETETCLHTLQ GHTNRVYSLQFDGIHVVSGSLDTSIRVWDVETGNCIHTLTGHQSLTSGMELKDNILVSGN ADSTVKIWDIKTGQCLQTLQGPSKHQSAVTCLQFNKNFVITSSDDGTVKLWDLKTGEFIR NLVTLESGGSGGVVWRIRASNTKLVCAVGSRNGTEETKLLVLDFDVDMK >ENSMUSP00000029727.7 pep:known chromosome:GRCm38:3:84952146:84977645:1 gene:ENSMUSG00000028086.14 transcript:ENSMUST00000029727.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxw7 description:F-box and WD-40 domain protein 7 [Source:MGI Symbol;Acc:MGI:1354695] MRVCVPSSVLVLSCVCWCWGVLLPVPLPNLPFLACLSMSTLESVTYLPEKGLYCQRLPSS RTHGGTESLKGKNTENMGFYGTLKMIFYKMKRKLDHGSEVRSFSLGKKPCKVSDYTSTTG LVPCSATPTTFGDLRAANGQGQQRRRITSVQPPTGLQEWLKMFQSWSGPEKLLALDELID SCEPTQVKHMMQVIEPQFQRDFISLLPKELALYVLSFLEPKDLLQAAQTCRYWRILAEDN LLWREKCKEEGIDEPLHIKRRKIIKPGFIHSPWKSAYIRQHRIDTNWRRGELKSPKVLKG HDDHVITCLQFCGNRIVSGSDDNTLKVWSAVTGKCLRTLVGHTGGVWSSQMRDNIIISGS TDRTLKVWNAETGECIHTLYGHTSTVRCMHLHEKRVVSGSRDATLRVWDIETGQCLHVLM GHVAAVRCVQYDGRRVVSGAYDFMVKVWDPETETCLHTLQGHTNRVYSLQFDGIHVVSGS LDTSIRVWDVETGNCIHTLTGHQSLTSGMELKDNILVSGNADSTVKIWDIKTGQCLQTLQ GPSKHQSAVTCLQFNKNFVITSSDDGTVKLWDLKTGEFIRNLVTLESGGSGGVVWRIRAS NTKLVCAVGSRNGTEETKLLVLDFDVDMK >ENSMUSP00000104511.3 pep:known chromosome:GRCm38:11:54979108:55033445:-1 gene:ENSMUSG00000018340.13 transcript:ENSMUST00000108883.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa6 description:annexin A6 [Source:MGI Symbol;Acc:MGI:88255] MAKIAQGAMYRGSVHDFPEFDANQDAEALYTAMKGFGSDKESILELITSRSNKQRQEICQ NYKSLYGKDLIEDLKYELTGKFERLIVNLMRPLAYCDAKEIKDAISGVGTDEKCLIEILA SRTNEQMHQLVAAYKDAYERDLESDIIGDTSGHFQKMLVVLLQGTRENDDVVSEDLVQQD VQDLYEAGELKWGTDEAQFIYILGNRSKQHLRLVFDEYLKTTGKPIEASIRGELSGDFEK LMLAVVKCIRSTPEYFAERLFKAMKGLGTRDNTLIRIMVSRSELDMLDIREIFRTKYEKS LYSMIKNDTSGEYKKALLKLCGGDDDAAGQFFPEAAQVAYQMWELSAVSRVELKGTVCAA NDFNPDADAKALRKAMKGIGTDEATIIDIVTHRSNAQRQQIRQTFKSHFGRDLMADLKSE ISGDLARLILGLMMPPAHYDAKQLKKAMEGAGTDEKTLIEILATRTNAEIRAINEAYKED YHKSLEDALSSDTSGHFRRILISLATGNREEGGENRDQAQEDAQVAAEILEIADTPSGDK TSLETRFMTVLCTRSYPHLRRVFQEFIKKTNYDIEHVIKKEMSGDVKDAFVAIVQSVKNK PLFFADKLYKSMKGAGTDEKTLTRVMVSRSEIDLLNIRREFIEKYDKSLHQAIEGDTSGD FMKALLALCGGED >ENSMUSP00000099788.2 pep:known chromosome:GRCm38:11:54979108:55033445:-1 gene:ENSMUSG00000018340.13 transcript:ENSMUST00000102727.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Anxa6 description:annexin A6 [Source:MGI Symbol;Acc:MGI:88255] MAKIAQGAMYRGSVHDFPEFDANQDAEALYTAMKGFGSDKESILELITSRSNKQRQEICQ NYKSLYGKDLIEDLKYELTGKFERLIVNLMRPLAYCDAKEIKDAISGVGTDEKCLIEILA SRTNEQMHQLVAAYKDAYERDLESDIIGDTSGHFQKMLVVLLQGTRENDDVVSEDLVQQD VQDLYEAGELKWGTDEAQFIYILGNRSKQHLRLVFDEYLKTTGKPIEASIRGELSGDFEK LMLAVVKCIRSTPEYFAERLFKAMKGLGTRDNTLIRIMVSRSELDMLDIREIFRTKYEKS LYSMIKNDTSGEYKKALLKLCGGDDDAAGQFFPEAAQVAYQMWELSAVSRVELKGTVCAA NDFNPDADAKALRKAMKGIGTDEATIIDIVTHRSNAQRQQIRQTFKSHFGRDLMADLKSE ISGDLARLILGLMMPPAHYDAKQLKKAMEGAGTDEKTLIEILATRTNAEIRAINEAYKED YHKSLEDALSSDTSGHFRRILISLATGNREEGGENRDQAQEDAQEIADTPSGDKTSLETR FMTVLCTRSYPHLRRVFQEFIKKTNYDIEHVIKKEMSGDVKDAFVAIVQSVKNKPLFFAD KLYKSMKGAGTDEKTLTRVMVSRSEIDLLNIRREFIEKYDKSLHQAIEGDTSGDFMKALL ALCGGED >ENSMUSP00000031694.6 pep:known chromosome:GRCm38:6:24597762:24605414:1 gene:ENSMUSG00000029683.7 transcript:ENSMUST00000031694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lmod2 description:leiomodin 2 (cardiac) [Source:MGI Symbol;Acc:MGI:2135672] MSTFGYRRGLSKYESIDEDELLASLSPEELKELERELEDIEPDRNLPVGLRQKSLTEKTP TGNFSREALMAYWEKESQKLLEKERLGECGKVAEEDKEESEEELIFTESNSEVSEEVCTE DEEESQEEEEDSEEEEDSEEEEETTEATKHINGTVSYNSVNTDNSKPKTFKSQIENINLT NGNSGRTQRNSESPAAIHPCGNPTVIEDALEKIRNNDPDTTEVNLNNIENITTQTLSRFA EALKENTVVKTFSLANTHADDAAAIAIADMLKVNEHITSVNVESNFITGKGILAIMRALQ HNTVLTELRFHNQRHIMGSQVEMEIVKLLKENTTLLRLGYHFELPGPRMSMTSILTRNMD KQRQKRMQEQKQQEGHDGGAALRTKVWQRGTPGSSPYASPRQSPWSSPKVSKKVHTGRSR PPSPVAPPPPPPPPPLPPHMLPPPPPPPAPPLPEKKLITRNIAEVIKQQESAQRALQNGQ RKKKGKKVKKQPNNILKEIKNSLRSVQEKKMEDSSRPSTPQRSVHENLMEAIRGSSIRQL RRVEVPEALR >ENSMUSP00000100615.2 pep:known chromosome:GRCm38:2:25180758:25183339:1 gene:ENSMUSG00000078202.3 transcript:ENSMUST00000104999.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrarp description:Notch-regulated ankyrin repeat protein [Source:MGI Symbol;Acc:MGI:1914372] MSQAELSTCSAPQTQRIFQEAVRKGNTQELQSLLQNMTNCEFNVNSFGPEGQTALHQSVI DGNLELVKLLVKFGADIRLANRDGWSALHIAAFGGHQDIVLYLITKAKYAASGR >ENSMUSP00000071415.1 pep:known chromosome:GRCm38:11:73887438:73888370:-1 gene:ENSMUSG00000056921.1 transcript:ENSMUST00000071478.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr394 description:olfactory receptor 394 [Source:MGI Symbol;Acc:MGI:3030228] MNNKTVITQFLLLGLPIPPEYQHLFYALFLAMYLTTILGNLLIIVLIQLDSHLHTPMYLF LSNLSFSDLCFSSVTMPKLLQNMQSQDPSIPYGGCLAQIFFFMLFGDMESFLLVAMAYDR YVAICFPLHYTSIMSPKVCTFLVLLLWILTTSHATMQILLTVRLSFCENNVLLNFFCDIF VLLKLACSDTYVNDLMILIMGGLIIVIPFLLIVISYARIISSTLKVPSTQGIHKVFSTCG SHLSVVSLFYGTIIGLYLCPSGNNFSLKGSAMAMMYTVVTPMLNPFIYSLRNRDMKRALI RIIGSKKISL >ENSMUSP00000029679.3 pep:known chromosome:GRCm38:3:89415472:89418383:-1 gene:ENSMUSG00000028044.6 transcript:ENSMUST00000029679.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cks1b description:CDC28 protein kinase 1b [Source:MGI Symbol;Acc:MGI:1889208] MSHKQIYYSDKYDDEEFEYRHVMLPKDIAKLVPKTHLMSESEWRNLGVQQSQGWVHYMIH EPEPHILLFRRPLPKKPKK >ENSMUSP00000103045.1 pep:known chromosome:GRCm38:3:89415557:89418017:-1 gene:ENSMUSG00000028044.6 transcript:ENSMUST00000107422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cks1b description:CDC28 protein kinase 1b [Source:MGI Symbol;Acc:MGI:1889208] MLPKDIAKLVPKTHLMSESEWRNLGVQQSQGWVHYMIHEPEPHILLFRRPLPKKPKK >ENSMUSP00000138900.1 pep:known chromosome:GRCm38:3:89415844:89418219:-1 gene:ENSMUSG00000028044.6 transcript:ENSMUST00000183484.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cks1b description:CDC28 protein kinase 1b [Source:MGI Symbol;Acc:MGI:1889208] MSHKQIYYSDKYDDEEFEYRLVPARGLQTKESTRGACHVAQGHSQAGPENPSDV >ENSMUSP00000065983.7 pep:known chromosome:GRCm38:16:16983382:17047453:1 gene:ENSMUSG00000063358.15 transcript:ENSMUST00000069107.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk1 description:mitogen-activated protein kinase 1 [Source:MGI Symbol;Acc:MGI:1346858] MAAAAAAGPEMVRGQVFDVGPRYTNLSYIGEGAYGMVCSAYDNLNKVRVAIKKISPFEHQ TYCQRTLREIKILLRFRHENIIGINDIIRAPTIEQMKDVYIVQDLMETDLYKLLKTQHLS NDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLLNTTCDLKICDFGLARVADPDHDHTG FLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHILG ILGSPSQEDLNCIINLKARNYLLSLPHKNKVPWNRLFPNADSKALDLLDKMLTFNPHKRI EVEQALAHPYLEQYYDPSDEPIAEAPFKFDMELDDLPKEKLKELIFEETARFQPGYRS >ENSMUSP00000023462.6 pep:known chromosome:GRCm38:16:16983382:17044749:1 gene:ENSMUSG00000063358.15 transcript:ENSMUST00000023462.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk1 description:mitogen-activated protein kinase 1 [Source:MGI Symbol;Acc:MGI:1346858] MAAAAAAGPEMVRGQVFDVGPRYTNLSYIGEGAYGMVCSAYDNLNKVRVAIKKISPFEHQ TYCQRTLREIKILLRFRHENIIGINDIIRAPTIEQMKDVYIVQDLMETDLYKLLKTQHLS NDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLLNTTCDLKICDFGLARVADPDHDHTG FLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHILG ILGSPSQEDLNCIINLKARNYLLSLPHKNKVPWNRLFPNADSKALDLLDKMLTFNPHKRI EVEQALAHPYLEQYYDPSDEPIAEAPFKFDMELDDLPKEKLKELIFEETARFQPGYRS >ENSMUSP00000111396.1 pep:known chromosome:GRCm38:16:16983567:17039040:1 gene:ENSMUSG00000063358.15 transcript:ENSMUST00000115731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk1 description:mitogen-activated protein kinase 1 [Source:MGI Symbol;Acc:MGI:1346858] MAAAAAAGPEMVRGQVFDVGPRYTNLSYIGEGAYGMVCSAYDNLNKVRVAIKKISPFEHQ TYCQRTLREIKILLRFRHENIIGINDIIRAPTIEQMKDVYIVQDLMETDLYKLLKTQHLS NDHICYFLYQILRGLKYIHSANVLHRDLKPSNLLLNTTCDLKICDFGLARVADPDHDHTG FLTEYVATRWYRAPEIMLNSKGYTKSIDIWSVGCILAEMLSNRPIFPGKHYLDQLNHILG ILGSPSQEDLNCIINLKARNYLLSLPHKNKVPWNRLFPNADSKALDLLDKMLTFNPHKRI EVEQALAHPYLEQYYDPSDEPIAEAPFKFDMELDDLPKEKLKELIFEETARFQPGYRS >ENSMUSP00000115125.1 pep:known chromosome:GRCm38:16:17026366:17043863:1 gene:ENSMUSG00000063358.15 transcript:ENSMUST00000129477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mapk1 description:mitogen-activated protein kinase 1 [Source:MGI Symbol;Acc:MGI:1346858] GSPSQEDLNCIINLKARNYLLSLPHKNKVPWNRLFPNADSKALDLLDKMLTFNPHKRIEV EQALAHPYLEQYYDPSDELGVPTCRPLVFASEEFLQPIAEAPFKFDMELDDLPKEKLKEL IFEETARFQPGYRS >ENSMUSP00000009435.5 pep:known chromosome:GRCm38:10:77581720:77598732:1 gene:ENSMUSG00000009291.12 transcript:ENSMUST00000009435.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1ip description:pituitary tumor-transforming 1 interacting protein [Source:MGI Symbol;Acc:MGI:2652132] MAPANLGLTPHWVMLLGAVLLLLLSGASAQEPPRVGCSEYTNRSCEECLRNVSCLWCNEN KACMDYPVRKILPPASLCKLSSARWGVCWVNFEALIITMSVLGGSVLLGITVCCCYCCRR KKSRKPDKSDERAMREQEERRVRQEERRAEMKSRHDEIRKKYGLFKEQNPYEKF >ENSMUSP00000125094.1 pep:known chromosome:GRCm38:10:77581758:77593899:1 gene:ENSMUSG00000009291.12 transcript:ENSMUST00000161789.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pttg1ip description:pituitary tumor-transforming 1 interacting protein [Source:MGI Symbol;Acc:MGI:2652132] MAPANLGLTPHWVMLLGAVLLLLLSGASAQEPPRVVGSLWIEIRLL >ENSMUSP00000124457.1 pep:known chromosome:GRCm38:10:77581803:77597335:1 gene:ENSMUSG00000009291.12 transcript:ENSMUST00000162429.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1ip description:pituitary tumor-transforming 1 interacting protein [Source:MGI Symbol;Acc:MGI:2652132] MAPANLGLTPHWVMLLGAVLLLLLSGASAQEPPRVVNFEALIITMSVLGGSVLLGITVCC CYCCRRKKSRKPDKSDERAMREQEERRVRQEERRAEMKSRHDEIRKKYGLFKEQNPYEKF >ENSMUSP00000124652.1 pep:known chromosome:GRCm38:10:77581822:77592911:1 gene:ENSMUSG00000009291.12 transcript:ENSMUST00000162598.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pttg1ip description:pituitary tumor-transforming 1 interacting protein [Source:MGI Symbol;Acc:MGI:2652132] MAPANLGLTPHWVMLLGAVLLLLLSGASAQEPPRVDTPV >ENSMUSP00000124313.1 pep:known chromosome:GRCm38:10:77582409:77597269:1 gene:ENSMUSG00000009291.12 transcript:ENSMUST00000162943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1ip description:pituitary tumor-transforming 1 interacting protein [Source:MGI Symbol;Acc:MGI:2652132] XGPVWDCLTPATLVRVTKGADPGRPGELGARFSSCSEYTNRSCEECLRNVSCLWCNENKA CMDYPVRKILPPASLCKLSSARWGVCWVNFEALIITMSVLGGSVLLGITVCCCYCCRRKK SRKPDKSDERAMREQEERRVRQEERRAEMKSRHDEIRKKYGLFKEQNPYEKF >ENSMUSP00000124774.1 pep:known chromosome:GRCm38:10:77583945:77592999:1 gene:ENSMUSG00000009291.12 transcript:ENSMUST00000161165.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pttg1ip description:pituitary tumor-transforming 1 interacting protein [Source:MGI Symbol;Acc:MGI:2652132] MLSGTQGCSEYTNRSCEECLRNVSCLWCNENKACMDYPVRKILPPASLCKLSSARWGVCW VNFEALIITMSVLGGSVLLGITVCCCYCCRRKKSRKPDKSDERAMREQEER >ENSMUSP00000072756.1 pep:known chromosome:GRCm38:11:73906552:73907490:-1 gene:ENSMUSG00000062186.1 transcript:ENSMUST00000072991.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr395 description:olfactory receptor 395 [Source:MGI Symbol;Acc:MGI:3030229] MAGKNQTLILEFLLLGLPISSEYHLLFYALLLAMYLTTLLGNLLIILLVRLDSHLHTPMY LFLSNLSFSDLCFSSVTIPKLLQNMQSQVPTISYADCLTQLYFFMVFGDMESFLLVVMAY DRYVAICFPLHYTSIMSTKFCALLVLLLWMLTISHALLHTLLMARLSFCEKNVILHFFCD ISALLKLSCSDTYVNELMIFIMGGIISIIPFLLIVMSYVRIFFSILKVPSSQDIHKVFST CGSHLSVVTLFYGTIIGLYLCPSGNNSTVNEISMAMMYTVVTPMLNPFIYRLRNRDMKRA LIRVIFSKKISL >ENSMUSP00000125536.1 pep:known chromosome:GRCm38:11:3187360:3252685:-1 gene:ENSMUSG00000020457.13 transcript:ENSMUST00000159304.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Drg1 description:developmentally regulated GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:1343297] XLLEKIWDYLKLVRIYTKPKGQLPDYTSPVVLPYSRTTVEDFCMKIHKNLIKEFKYYKRK LKGFGSSIT >ENSMUSP00000020741.5 pep:known chromosome:GRCm38:11:3249907:3266393:-1 gene:ENSMUSG00000020457.13 transcript:ENSMUST00000020741.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Drg1 description:developmentally regulated GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:1343297] MSGTLAKIAEIEAEMARTQKNKATAHHLGLLKARLAKLRRELITPKGGGGGGPGEGFDVA KTGDARIGFVGFPSVGKSTLLSNLAGVYSEVAAYEFTTLTTVPGVIRYKGAKIQLLDLPG IIEGAKDGKGRGRQVIAVARTCNLILIVLDVLKPLGHKKIIENELEGFGIRLNSKPPNIG FKKKDKGGINLTATCPQSELDAETVKSILAEYKIHNADVTLRSDATADDLIDVVEGNRVY IPCIYVLNKIDQISIEELDIIYKVPHCVPISAHHRWNFDDLLEKIWDYLKLVRIYTKPKG QLPDYTSPVVLPYSRTTVEDFCMKIHKNLIKEFKYALVWGLSVKHNPQKVGKDHTLEDED VIQIVKK >ENSMUSP00000116520.1 pep:known chromosome:GRCm38:11:3259327:3266393:-1 gene:ENSMUSG00000020457.13 transcript:ENSMUST00000132159.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Drg1 description:developmentally regulated GTP binding protein 1 [Source:MGI Symbol;Acc:MGI:1343297] MSGTLAKIAEIEAEVLMWPRQVMLELGLWVFHLWGNQLC >ENSMUSP00000030795.8 pep:known chromosome:GRCm38:5:24565345:24577467:-1 gene:ENSMUSG00000028953.10 transcript:ENSMUST00000030795.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcf2 description:ATP-binding cassette, sub-family F (GCN20), member 2 [Source:MGI Symbol;Acc:MGI:1351657] MPSDLAKKKAAKKKEAAKARQRPRKGHEENGDAVTEPQVAEEKIEEANGRETTGDGEVDL LTKELEDFEMKKAAARAVTGVLASHPNSTDVHIINLSLTFHGQELLSDTKLELNSGRRYG LIGLNGIGKSMLLSAIGKREVPIPEHIDIYHLTREMPPSEKTPLQCVMEVDTERAMLERE AERLAHEDAECEKLMELYERLEELDADKAEMRASRILHGLGFTPAMQRKKLKDFSGGWRM RVALARALFIRPFMLLLDEPTNHLDLDACVWLEEELKTFKRILVLVSHSQDFLNGVCTNI IHMHNKKLKYYTGNYDQYVKTRLELEENQMKRFHWEQDQIAHMKNYIARFGHGSAKLARQ AQSKEKTLQKMMASGLTERVVSDKTLSFYFPPCGKIPPPVIMVQNVSFKYTKDGPCIYNN LEFGIDLDTRVALVGPNGAGKSTLLKLLTGELLPTDGMIRKHSHVKIGRYHQHLQEQLDL DLSPLEYMMKCYPEIKEKEEMRKIIGRYGLTGKQQVSPIRNLSDGQKCRVCLAWLAWQNP HMLFLDEPTNHLDIETIDALADAINEFEGGMMLVSHDFRLIQQVAQEIWVCEKQTITKWP GDILAYKEHLKSKLVDEEPQLTKRTHNV >ENSMUSP00000086894.5 pep:known chromosome:GRCm38:15:77422210:77447444:-1 gene:ENSMUSG00000068252.5 transcript:ENSMUST00000089469.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol7b description:apolipoprotein L 7b [Source:MGI Symbol;Acc:MGI:3583950] MGTPDRECFIETVAEYLLDVISTEDLQLLLTEEETWKHFVAEVDLSREEETALREALAEI FSDPDGEDEDELQNDLQDKNERKEEDALSEALGETVADTDAEDEEEIQNDPWHKERFLDA YPRVKLELEESIRMLHALADKVDKVHRDCTISRVVASSSSAVSGVLTILGLSLAPVTAGV SLALSATGLGLGAAAAVTSVSTSIVEKVSVVFAEAKASKLVPTNKDTMKGMKEVLDQSGP RLLFLSMNSFKKLKNIKNNIHAIKLTKANPSLVTNAKRLMTTGKTSTETTKQVKEAFGGT ALAMTKGARIMGAATTGFFLLLDIVSLVGDSKHLHEGAKAESAAELRHQAQDLEQKLQEL IRVHDSLIQ >ENSMUSP00000023630.7 pep:known chromosome:GRCm38:16:95979933:95990960:-1 gene:ENSMUSG00000022913.14 transcript:ENSMUST00000023630.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmg1 description:proteasome (prosome, macropain) assembly chaperone 1 [Source:MGI Symbol;Acc:MGI:1860263] MAATFFGEVVKAPCRAGTEEEEEEEEQSRRDTPEDREVRRQLARKREVRLLRRQTETSLE AVLLETHPCSKFIIAVGSNATAFLSAFVMNSGVWEEVGCAKLWNEWCRTTDTVRLSPTDV FCVFYQLKSDPSVFLCQCSCYIAEDQQFQWLEKVFGFQPRKSMQVTVLTCRHITDYKTPE STCSLSSPFLRALKTQTFKDALCCPLLEQPNIVHDLSAAVLSYCQVWKIPAVLYLCYTDV MKLDRVTVEAFKPLLSSRSLKCLVKNIPESTEILKKLMTTNEIQSNIYT >ENSMUSP00000113102.1 pep:known chromosome:GRCm38:16:95980037:95990890:-1 gene:ENSMUSG00000022913.14 transcript:ENSMUST00000117044.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psmg1 description:proteasome (prosome, macropain) assembly chaperone 1 [Source:MGI Symbol;Acc:MGI:1860263] MAATFFGEVVKAPCRAGTEEEEEEEEQSRRDTPEDREVRRQLARKREVRLLRRQTETSLE AVLLETHPCSKFIIAVGSNATAFLSAFVMNSGVWEEVGCAKLWNEWCRTTDTVRLSPTDV FCVFYQLKSDPSVFGFQPRKSMQVTVLTCRHITDYKTPESTCSLSSPFLRALKTQTFKDA LCCPLLEQPNIVHDLSAAVLSYCQVWKIPAVLYLCYTDVMKLDRVTVEAFKPLLSSRSLK CLVKNIPESTEILKKLMTTNEIQSNIYT >ENSMUSP00000115657.1 pep:known chromosome:GRCm38:16:95980037:95990890:-1 gene:ENSMUSG00000022913.14 transcript:ENSMUST00000149066.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Psmg1 description:proteasome (prosome, macropain) assembly chaperone 1 [Source:MGI Symbol;Acc:MGI:1860263] MAATFFGEVVKAPCRAGTEEEEEEEEQSRRDTPEDREVRRQLARKSIPVSVCYELGSLGR SRLC >ENSMUSP00000097667.2 pep:known chromosome:GRCm38:2:54084093:54085552:-1 gene:ENSMUSG00000075334.2 transcript:ENSMUST00000100089.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rprm description:reprimo, TP53 dependent G2 arrest mediator candidate [Source:MGI Symbol;Acc:MGI:1915124] MNSVLGNQTDVAGLFLVNSSEALERAVRCCTQASVVTDDGFAEGGPDERSLYIMRVVQIA VMCVLSLTVVFGIFFLGCNLLIKSEGMINFLVKDRRPSKEVEAVVVGPY >ENSMUSP00000098110.3 pep:known chromosome:GRCm38:15:75108161:75111970:-1 gene:ENSMUSG00000022584.14 transcript:ENSMUST00000100542.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6c2 description:lymphocyte antigen 6 complex, locus C2 [Source:MGI Symbol;Acc:MGI:3712069] MDSTHATKSCLLILLVALLCAGRAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIEL IEDSQRRKLKTRQCLSFCPAGVPIKDPNIRERTSCCSEDLCNAAVPTAGSTWTMAGVLLF SLSSVILQTLL >ENSMUSP00000140825.1 pep:known chromosome:GRCm38:15:75108331:75111697:-1 gene:ENSMUSG00000022584.14 transcript:ENSMUST00000188214.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6c2 description:lymphocyte antigen 6 complex, locus C2 [Source:MGI Symbol;Acc:MGI:3712069] MDSTHATKSCLLILLVALLCAGRAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIEL IEDSQRRKLKTRQCLSFCPAGVPIKDPNIRERTSCCSEDLCNAAVPTAGSTWTMAGVLLF SLSSVILQTLL >ENSMUSP00000139556.1 pep:known chromosome:GRCm38:15:75108443:75111937:-1 gene:ENSMUSG00000022584.14 transcript:ENSMUST00000191451.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6c2 description:lymphocyte antigen 6 complex, locus C2 [Source:MGI Symbol;Acc:MGI:3712069] MDSTHATKSCLLILLVALLCAGRAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIEL IEDSQRRKLKTRQCLSFCPAGVPIKDPNIRERTSCCSEDLCNAAVPTAGSTWTMAGVLLF SLSSVILQTLL >ENSMUSP00000026217.4 pep:known chromosome:GRCm38:19:44073335:44107480:-1 gene:ENSMUSG00000025199.16 transcript:ENSMUST00000026217.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chuk description:conserved helix-loop-helix ubiquitous kinase [Source:MGI Symbol;Acc:MGI:99484] MERPPGLRPGAGGPWEMRERLGTGGFGNVSLYQHRELDLKIAIKSCRLELSSKNRERWCH EIQIMKKLDHANVVKACDVPEELNFLINDVPLLAMEYCSGGDLRKLLNKPENCCGLKESQ ILSLLSDIGSGIRYLHENKIIHRDLKPENIVLQDVGGKTIHKIIDLGYAKDVDQGSLCTS FVGTLQYLAPELFENKPYTATVDYWSFGTMVFECIAGYRPFLHHLQPFTWHEKIKKKDPK CIFACEEMTGEVRFSSHLPQPNSLCSLIVEPMESWLQLMLNWDPQQRGGPIDLTLKQPRC FALMDHILNLKIVHILNMTSAKIISFLLPCDESLHSLQSRIERETGINTGSQELLSETGI SLDPRKPASQCVLDGVRGCDSYMVYLFDKSKTVYEGPFASRSLSDCVNYIVQDSKIQLPI IQLRKVWAEAVHYVSGLKEDYSRLFQGQRAAMLSLLRYNANLTKMKNTLISASQQLKAKL EFFRKSIQLDLERYSEQMTYGISSEKMLKAWKEMEEKAIHYSEVGVIGYLEDQIMSLHTE IMELQKSPYGRRQGDLMESLEQRAIDLYKQLKHRPPDHLYSDSTEMVKIIVHTVQSQDRV LKELFGHLSKLLGCKQKIIDLLPKVEVALSNIKEADNTVMFMQGKRQKEIWHLLKIACTQ SSARSLVGYSLEGTVTPPVSAWLPPTLADREHPLTCVVTPQDGETLAQMIEENLNCLGHL STIIREANEDQSSSLMSLDWSWLAE >ENSMUSP00000113809.1 pep:known chromosome:GRCm38:19:44073336:44107477:-1 gene:ENSMUSG00000025199.16 transcript:ENSMUST00000119591.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chuk description:conserved helix-loop-helix ubiquitous kinase [Source:MGI Symbol;Acc:MGI:99484] MERPPGLRPGAGGPWEMRERLGTGGFGNVSLYQHRELDLKIAIKSCRLELSSKNRERWCH EIQIMKKLDHANVVKACDVPEELNFLINDVPLLAMEYCSGGDLRKLLNKPENCCGLKESQ ILSLLSDIGSGIRYLHENKIIHRDLKPENIVLQDVGGKTIHKIIDLGYAKDVDQGSLCTS FVGTLQYLAPELFENKPYTATVDYWSFGTMVFECIAGYRPFLHHLQPFTWHEKIKKKDPK CIFACEEMTGEVRFSSHLPQPNSLCSLIVEPMESWLQLMLNWDPQQRGGPIDLTLKQPRC FALMDHILNLKIVHILNMTSAKIISFLLPCDESLHSLQSRIERETGINTGSQELLSETGI SLDPRKPASQCVLDGVRGCDSYMVYLFDKSKTVYEGPFASRSLSDCVNYIVQDSKIQLPI IQLRKVWAEAVHYVSGLKEDYSRLFQGQRAAMLSLLRYNANLTKMKNTLISASQQLKAKL EFFRKSIQLDLERYSEQMTYGISSEKMLKAWKEMEEKAIHYSEVGVIGYLEDQIMSLHTE IMELQKSPYGRRQGDLMESLEQRAIDLYKQLKHRPPDHLYSDSTEMVKIIVHTVQSQDRV LKELFGHLSKLLGCKQKIIDLLPKVEVALSNIKEADNTVMFMQGKRQKEIWHLLKIACTQ SSARSLVGYSLEGTVTPPVSAWLPPTLADREHPLTCVRDVSTNDRRKSELSWPFKYYYS >ENSMUSP00000058680.5 pep:known chromosome:GRCm38:5:30647933:30659729:1 gene:ENSMUSG00000029175.17 transcript:ENSMUST00000062962.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f6 description:solute carrier family 35, member F6 [Source:MGI Symbol;Acc:MGI:1922169] MAWTKYQLFLAGLMLVTGSINTLSAKWADNFVAEGCGGSQEHSFKHPFVQAVGMFLGEFS CLAAFYLLKCQGRRQSASSVEPQQPFNTLLFLPPALCDMTGTSIMYVALNMTSASSFQML RGAVIIFTGLFSVAFLDRRLAPSQWLGILITIAGLVVVGLADLLSKHDSQHKLSEVITGD LLIIMAQIIIAIQMVLEEKFVYKHNIHPLQAVGIEGFFGFVILSLLLVPMFYIPTASFSG NPRGVLEDALDAFCQVGRQPLIALALLGNISSIAFFNFSGISVTKELSATTRMVLDTLRT IVIWAFTLALGWEIFYPLQILGFLILLMGTALYNGLHRPLLAFLSRRWRLPTQEGEQERL LGDSRTPINEAS >ENSMUSP00000142761.1 pep:known chromosome:GRCm38:5:30647941:30658244:1 gene:ENSMUSG00000029175.17 transcript:ENSMUST00000196740.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f6 description:solute carrier family 35, member F6 [Source:MGI Symbol;Acc:MGI:1922169] MFLGEFSCLAAFYLLKCQGRRQSASSVEPQQPFNTLLFLPPALCDMTGTSIMYVALNMTS ASSFQMLRGAVIIFTGLFSVAFLDRRLAPSQWLGILITIAGLVVVGLADLLSKHDSQHKL SEVITGDLLIIMAQIIIAIQMVLEEKFVYKHNIHPLQAVGIEGFFGFVILSLLLVPMFYI PTASFSGNPRGVLEDALDAFCQVGRQPLIALALLGNISSIAFFNFSGISVTKELSATTRM VLDTLRTIVIWAFTLALGWEIFYPLQILGFLILLMGTALYNGLHRPLLAFLSRRWRLPTQ EGEQERLLGDSRTPINEAS >ENSMUSP00000122703.1 pep:known chromosome:GRCm38:5:30647967:30658191:1 gene:ENSMUSG00000029175.17 transcript:ENSMUST00000143867.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc35f6 description:solute carrier family 35, member F6 [Source:MGI Symbol;Acc:MGI:1922169] MAWTKYQLFLAGLMLVTGSINTLSAKQWACSWESSPALLPSTCSNAKAEDSQPPV >ENSMUSP00000120986.1 pep:known chromosome:GRCm38:5:30648012:30658191:1 gene:ENSMUSG00000029175.17 transcript:ENSMUST00000124474.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc35f6 description:solute carrier family 35, member F6 [Source:MGI Symbol;Acc:MGI:1922169] MAWTKYQLFLAGLMLVTGSINTLSAKWADNFVAEGCGGSQEHSFKHPFVQP >ENSMUSP00000142850.1 pep:known chromosome:GRCm38:5:30656096:30657802:1 gene:ENSMUSG00000029175.17 transcript:ENSMUST00000199052.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc35f6 description:solute carrier family 35, member F6 [Source:MGI Symbol;Acc:MGI:1922169] XTGDLLIIMAQIIIAIQMVLEEKFVYKHNIHPLQAASSAS >ENSMUSP00000139611.1 pep:known chromosome:GRCm38:Y:6583546:6615511:1 gene:ENSMUSG00000101243.1 transcript:ENSMUST00000190026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28919 description:predicted gene 28919 [Source:MGI Symbol;Acc:MGI:5579625] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALPEVKSPAFDKNENISPQAEADED MGDEVDSILDKSEVNNPAIGKDENISPQVKGDEDMGHEVGSMLDKSGDDIYKMLHIKRKW METYVKESFKDSNQKLERFCKMNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLKAVKDMHEKSMEVLMNLGTKNEDMLFGVDGELRKKNVYV >ENSMUSP00000112313.2 pep:known chromosome:GRCm38:X:23882553:23907607:1 gene:ENSMUSG00000068113.6 transcript:ENSMUST00000116614.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4907 description:predicted gene 4907 [Source:MGI Symbol;Acc:MGI:3648288] MMGLGHEQGIGAPCRKCKENCEGFELHFWRKTCRNCKCGQEEHGVLLSTERDRKVGRLFE DAKYINLIAKLKSEAIPTHKPNVMVLRNPVPAKKNDAINTVTYDWIPSVQNKALARQYMQ MLPKEKQPVTGSEGAQYRKKQLAKQLPAHDQDPSKCHGLSYNEIKKMKQFVEKYKSEALG VGNVKRPSDMNAQGDKVHNPAGIRNSTAVVGSKDKSKESKKTQYTCYCCKHPMKEGDPAI YAERAGYSKLWHPACFICSICGEILVDMIYFWKNGKLYCGRHYCDSEKPRCSGCDELIFS NEYTQAENKNWHLKHFCCIDCHNILAGKIYVMLKSKPVCKPCYMKNHAVVCQGCHNAIDP EEQRVIYKIFSWHASTACFLCSCCSKCLIGEKFIPVEGMVFCSTQCKNMMY >ENSMUSP00000136747.1 pep:known chromosome:GRCm38:X:23892784:23907497:1 gene:ENSMUSG00000068113.6 transcript:ENSMUST00000163718.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4907 description:predicted gene 4907 [Source:MGI Symbol;Acc:MGI:3648288] MMGLGHEQGIGAPCRKCKENCEGFELHFWRKTCRNCKCGQEEHGVLLSTERDRKVGRLFE DAKYINLIAKLKSEAIPTHKPNVMVLRNPVPAKKNDAINTVTYDWIPSVQNKALARQYMQ MLPKEKQPVTGSEGAQYRKKQLAKQLPAHDQDPSKCHGLSYNEIKKMKQFVEKYKSEALG VGNVKRPSDMNAQGDKVHNPAGIRNSTAVVGSKDKSKESKKTQYTCYCCKHPMKEGDPAI YAERAGYSKLWHPACFICSICGEILVDMIYFWKNGKLYCGRHYCDSEKPRCSGCDELIFS NEYTQAENKNWHLKHFCCIDCHNILAGKIYVMLKSKPVCKPCYMKNHAVVCQGCHNAIDP EEQRVIYKIFSWHASTACFLCSCCSKCLIGEKFIPVEGMVFCSTQCKNMMY >ENSMUSP00000108144.3 pep:known chromosome:GRCm38:2:60417543:60553308:-1 gene:ENSMUSG00000054580.14 transcript:ENSMUST00000112525.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2r1 description:phospholipase A2 receptor 1 [Source:MGI Symbol;Acc:MGI:102468] MVQWLAMLQLLWLQQLLLLGIHQGIAQDLTHIQEPSLEWRDKGIFIIQSESLKTCIQAGK SVLTLENCKQPNEHMLWKWVSDDHLFNVGGSGCLGLNISALEQPLKLYECDSTLISLRWH CDRKMIEGPLQYKVQVKSDNTVVARKQIHRWIAYTSSGGDICEHPSRDLYTLKGNAHGMP CVFPFQFKGHWHHDCIREGQKEHLLWCATTSRYEEDEKWGFCPDPTSMKVFCDATWQRNG SSRICYQFNLLSSLSWNQAHSSCLMQGGALLSIADEDEEDFIRKHLSKVVKEVWIGLNQL DEKAGWQWSDGTPLSYLNWSQEITPGPFVEHHCGTLEVVSAAWRSRDCESTLPYICKRDL NHTAQGILEKDSWKYHATHCDPDWTPFNRKCYKLKKDRKSWLGALHSCQSNDSVLMDVAS LAEVEFLVSLLRDENASETWIGLSSNKIPVSFEWSSGSSVIFTNWYPLEPRILPNRRQLC VSAEESDGRWKVKDCKERLFYICKKAGQVPADEQSGCPAGWERHGRFCYKIDTVLRSFEE ASSGYYCSPALLTITSRFEQAFITSLISSVAEKDSYFWIALQDQNNTGEYTWKTVGQREP VQYTYWNTRQPSNRGGCVVVRGGSSLGRWEVKDCSDFKAMSLCKTPVKIWEKTELEERWP FHPCYMDWESATGLASCFKVFHSEKVLMKRSWREAEAFCEEFGAHLASFAHIEEENFVNE LLHSKFNWTQERQFWIGFNRRNPLNAGSWAWSDGSPVVSSFLDNAYFEEDAKNCAVYKAN KTLLPSNCASKHEWICRIPRDVRPKFPDWYQYDAPWLFYQNAEYLFHTHPAEWATFEFVC GWLRSDFLTIYSAQEQEFIHSKIKGLTKYGVKWWIGLEEGGARDQIQWSNGSPVIFQNWD KGREERVDSQRKRCVFISSITGLWGTENCSVPLPSICKRVKIWVIEKEKPPTQPGTCPKG WLYFNYKCFLVTIPKDPRELKTWTGAQEFCVAKGGTLVSIKSELEQAFITMNLFGQTTNV WIGLQSTNHEKWVNGKPLVYSNWSPSDIINIPSYNTTEFQKHIPLCALMSSNPNFHFTGK WYFDDCGKEGYGFVCEKMQDTLEHHVNVSDTSAIPSTLEYGNRTYKIIRGNMTWYAAGKS CRMHRAELASIPDAFHQAFLTVLLSRLGHTHWIGLSTTDNGQTFDWSDGTKSPFTYWKDE ESAFLGDCAFADTNGRWHSTACESFLQGAICHVVTETKAFEHPGLCSETSVPWIKFKGNC YSFSTVLDSRSFEDAHEFCKSEGSNLLAIRDAAENSFLLEELLAFGSSVQMVWLNAQFDN NNKTLRWFDGTPTEQSNWGLRKPDMDHLKPHPCVVLRIPEGIWHFTPCEDKKGFICKMEA GIPAVTAQPEKGLSHSIVPVTVTLTLIIALGIFMLCFWIYKQKSDIFQRLTGSRGSYYPT LNFSTAHLEENILISDLEKNTNDEEVRDAPATESKRGHKGRPICISP >ENSMUSP00000137947.1 pep:known chromosome:GRCm38:2:67748212:68118150:1 gene:ENSMUSG00000034780.6 transcript:ENSMUST00000180887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3galt1 description:UDP-Gal:betaGlcNAc beta 1,3-galactosyltransferase, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1349403] MASKVSCLYVLTVVCWASALWYLSITRPTSSYTGSKPFSHLTVARKNFTFGNIRTRPINP HSFEFLINE >ENSMUSP00000041343.3 pep:known chromosome:GRCm38:2:68104671:68120514:1 gene:ENSMUSG00000034780.6 transcript:ENSMUST00000042456.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3galt1 description:UDP-Gal:betaGlcNAc beta 1,3-galactosyltransferase, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1349403] MASKVSCLYVLTVVCWASALWYLSITRPTSSYTGSKPFSHLTVARKNFTFGNIRTRPINP HSFEFLINEPNKCEKNIPFLVILISTTHKEFDARQAIRETWGDENNFKGIKIATLFLLGK NADPVLNQMVEQESQIFHDIIVEDFIDSYHNLTLKTLMGMRWVATFCSKAKYVMKTDSDI FVNMDNLIYKLLKPSTKPRRRYFTGYVINGGPIRDVRSKWYMPRDLYPDSNYPPFCSGTG YIFSADVAELIYKTSLHTRLLHLEDVYVGLCLRKLGIHPFQNSGFNHWKMAYSLCRYRRV ITVHQISPEEMHRIWNDMSSKKHLRC >ENSMUSP00000107965.2 pep:known chromosome:GRCm38:2:68117713:68122689:1 gene:ENSMUSG00000034780.6 transcript:ENSMUST00000112346.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3galt1 description:UDP-Gal:betaGlcNAc beta 1,3-galactosyltransferase, polypeptide 1 [Source:MGI Symbol;Acc:MGI:1349403] MASKVSCLYVLTVVCWASALWYLSITRPTSSYTGSKPFSHLTVARKNFTFGNIRTRPINP HSFEFLINEPNKCEKNIPFLVILISTTHKEFDARQAIRETWGDENNFKGIKIATLFLLGK NADPVLNQMVEQESQIFHDIIVEDFIDSYHNLTLKTLMGMRWVATFCSKAKYVMKTDSDI FVNMDNLIYKLLKPSTKPRRRYFTGYVINGGPIRDVRSKWYMPRDLYPDSNYPPFCSGTG YIFSADVAELIYKTSLHTRLLHLEDVYVGLCLRKLGIHPFQNSGFNHWKMAYSLCRYRRV ITVHQISPEEMHRIWNDMSSKKHLRC >ENSMUSP00000131534.1 pep:known chromosome:GRCm38:5:62813823:62888308:1 gene:ENSMUSG00000090326.1 transcript:ENSMUST00000170704.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dthd1 description:death domain containing 1 [Source:MGI Symbol;Acc:MGI:4937018] MLLKKEEKEMCNLCSMHEDRTPQQTISAIQDTKATDIAPRRELNVMETATVASTNAGESH STNQVQLTENKTQINSDLGKKENNDPLSGNVIGQEESQSTVFPDNAENEDEKQIEHVTAE NINGNKKDIHDAIQTTAREIQETSKSQREKITTSPTAWDVSSKDMNNLYNESESLKQKSN IMEKEYLAVLSDGTDPQVSCYVTAPLHVLQWFDCQITNDMSSFIVSDNEELVSNVITVEC SDKDKEIPFPICITIPFKACYKGNYRDIMVKMSDRNFQSSYLIPNLLERMRGSCKGTCAS VYVYKLGMFSVVSCLKKQSFTVTKKGLTWKSSMDARISFSYPAGVFTSPVLVQLKIQPVD PSLVSYLKAHQDPSYSVMSTSPLICIQHPSTHPFQKPVTVFLPCSPLPEKKNLESEKDHR RPESATVHRSLQTPSYFNRSKSPSLRKPGNSACETLKLLGFRSRDSGWFGIDDVVVRTVQ SGLVSFELCEHLERFIVLNLSSPVDNSLLVSFVKSLEEASLSTTACIVLSHQKDNPHRVV ILVVPSKELSLVLKSLRSEGFGGPESSRQFQVREGEQLLLRFTGNIFGSSNGKDYEKDYK LIFHLQRKPRLELHIKEVDEFGNYSCPHYKGTITVYKVPREKIVPNLDQTLHETFYQFPV CKLPLKLPKHEKVINRPQSTKRVSTDPLVALWDNLLHWLAEELAEEGAEVLAASLPLRRS TVQLIKLKHPDDPTEQIHELLCFWKRSLPTSTDKIRLLARHLRKMGRGDLTEELKFKWEH KVFTEPQSWFDVATE >ENSMUSP00000139615.1 pep:known chromosome:GRCm38:Y:6680421:6682872:-1 gene:ENSMUSG00000079806.2 transcript:ENSMUST00000189073.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21719 description:predicted gene, 21719 [Source:MGI Symbol;Acc:MGI:5433883] MTSLMKKRRRMSSSNVLRNIVGCRISQGWKEGNEPVTQWKTIVLGQLPTNPSLYLLKYDG NDSVYGQELYNDDRILNLKILPPKVRFPQVRDAHLTRALVGRAVQHKGKDGSEDNWRRFV LAQVPIMKDLFYITYKKDPALYFYQLLDDYKKGNLHIIPDTPLAEERSGGDSDVLIGNWV QYTRKGGTKCFRKVVYQILANPSVYFIKFHGDIHIYVYTLVPKILEVEKS >ENSMUSP00000129824.1 pep:known chromosome:GRCm38:Y:6680551:6681243:-1 gene:ENSMUSG00000079806.2 transcript:ENSMUST00000115940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21719 description:predicted gene, 21719 [Source:MGI Symbol;Acc:MGI:5433883] MTSLMKKRRRMSSSNVLRNIVGCRISQGWKEGNEPVTQWKTIVLGQLPTNPSLYLLKYDG NDSVYGQELYNDDRILNLKILPPKVRFPQVRDAHLTRALVGRAVQHKGKDGSEDNWRRFV LAQVPIMKDLFYITYKKDPALYFYQLLDDYKKGNLHIIPDTPLAEERSGGDSDVLIGNWV QYTRKGGTKCFRKVVYQILANPSVYFIKFHGDIHIYVYTLVPKILEVEKS >ENSMUSP00000103299.1 pep:known chromosome:GRCm38:3:85574119:85646579:1 gene:ENSMUSG00000028085.12 transcript:ENSMUST00000107672.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatb description:glutamyl-tRNA(Gln) amidotransferase, subunit B [Source:MGI Symbol;Acc:MGI:2442496] MAAPMLRLGFPGRRWALTWLDGGSRHRSGSQTGPTSNWARRQSSVAQPSLHTAQKPRKGE HKWAAVVGLEIHAQISSNSKLFSGAQVCFAAPPNSLVSYFDASLPGTLPVLNRRCVEAAV MTGLALNCHINKKSLFDRKHYFYSDLPAGYQITQQRLPIAANGHLTYCIYLGKKPSQVTT KTVRIKQIQLEQDSGKSLHDDLRSQTLIDLNRAGIGLLEVVLEPDLCCGEEAALAVRELQ LILQALGTSQANMAEGQLRVDANISVHHPGEPLGVRTEVKNLNSLRFLAKAIDYEIQRQI TELENGGEILNETRSFDYKLGCTMPMRDKEGKQDYRFMPEPNLPPLVLYDDTSLPRGADS QQVINIDQLRDMLPELPSATRERLVQQYGMLPEHSFALLNEVGLLEFFQNVIKETRTEPK KVTNWVLNTFLCYLKQQNLAVSESPVTPSALAELLNLLDRKAISSSAAKQHV >ENSMUSP00000119949.1 pep:known chromosome:GRCm38:3:85574119:85655622:1 gene:ENSMUSG00000028085.12 transcript:ENSMUST00000127348.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatb description:glutamyl-tRNA(Gln) amidotransferase, subunit B [Source:MGI Symbol;Acc:MGI:2442496] MAAPMLRLGFPGRRWALTWLDGGSRHRSGSQTGPTSNWARRQSSVAQPSLHTAQKPRKGE HKWAAVVGLEIHAQISSNSKLFSGAQVCFAAPPNSLVSYFDASLPGTLPVLNRRCVEAAV MTGLALNCHINKKSLFDRKHYFYSDLPAGYQITQQRLPIAANGHLTYCIYLGKKPSQVTT KTVRIKQIQLEQDSGKSLHDDLRSQTLIDLNRAGIGLLEVVLEPDLCCGEEAALAVRELQ LILQALGTSQANMAEGQLRVDANISVHHPGEPLGVRTEVKNLNSLRFLAKAIDYEIQRQI TELENGGEILNETRSFDYKLGCTMPMRDKEGKQDYRFMPEPNLPPLVLYDDTSLPRGADS QQVINIDQLRDMLPELPSATRERLVQQYGMLPEHSFALLNEVGLLEFFQNVIKETRTEPK KVTNWVLNTFLCYLKQQNLAVSESPVTPSALAELLNLLDRKAISSSAAKQVFEELWKGEG KTAAQIVSEQQLELMQDQEALEKLCQTTIDGHPQVVMDVKKRNPKAINKLIGLVRKASHS RADPALIKKILERKLSL >ENSMUSP00000103301.1 pep:known chromosome:GRCm38:3:85574127:85652833:1 gene:ENSMUSG00000028085.12 transcript:ENSMUST00000107674.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gatb description:glutamyl-tRNA(Gln) amidotransferase, subunit B [Source:MGI Symbol;Acc:MGI:2442496] MAAPMLRLGFPGRRWALTWLDGGSRHRSGSQTGPTSNWARRQSSVAQPSLHTAQKPRKGE HKWAAVVGLEIHAQISSNSKLFSGAQVCFAAPPNSLVSYFDASLPGTLPVLNRRCVEAAV MTGLALNCHINKKSLFDRKHYFYSDLPAGYQITQQRLPIAANGHLTYCIYLGKKPSQVTT KTVRIKQIQLEQDSGKSLHDDLRSQTLIDLNRAGIGLLEVVLEPDLCCGEEAALAVRELQ LILQALGTSQANMAEGQLRVDANISVHHPGEPLGVRTEVKNLNSLRFLAKAIDYEIQRQI TELENGGEILNETRSFDYKLGCTMPMRDKEGKQDYRFMPEPNLPPLVLYDDTSLPRGADS QQVINIDQLRDMLPELPSATRERLVQQYGMLPEHSFALLNEVGLLEFFQNVIKETRTEPK KVTNWVLNTFLCYLKQQNLAVSESPVTPSALAELLNLLDRKAISSSAAKQVFEELWKGEG KTAAQIVSEQQLELMQDQEALEKLCQTTIDGHPQVVTICNRSKSFVCNRSEGQLDICPQT LPSPINPYLCVSG >ENSMUSP00000079431.2 pep:known chromosome:GRCm38:13:27601819:27610582:-1 gene:ENSMUSG00000021347.3 transcript:ENSMUST00000080595.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl7b1 description:prolactin family 7, subfamily b, member 1 [Source:MGI Symbol;Acc:MGI:1922846] MNTSLTQLCFWALQILLMSNLLLWEDVVSVPTIGSGSGVSEMLTEDLFDDAIILSQHINS LAIETRRIFLSNNFSSDMFITFTLQFNRHDEFVVNGLNSCHTLPLKSPKTEKEAKRISLP DFMNMILSILRAWDNPLHHMETELKSMPGAPFAILARVKDIEVKNKILLDRIMKIAKKVK YGFEENEVYPAWSELASLQSANEESRFFALYKLSYCLFVDTDKVEHYLKHLKCRYFDGYM CQDSVNQINLL >ENSMUSP00000020734.4 pep:known chromosome:GRCm38:11:3202392:3244581:1 gene:ENSMUSG00000020454.17 transcript:ENSMUST00000020734.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4enif1 description:eukaryotic translation initiation factor 4E nuclear import factor 1 [Source:MGI Symbol;Acc:MGI:1921453] MEKSVAETENGDAFLELKKLPTSKSPHRYTKEELLDIKERPYSKQRPSCLSEKYDSDGVW DPEKWHASLYPASGRSSPVESLKKESESDRPSLVRRIADPRERVKEDDLDVVLSPQRRSF GGGCHVTAAVSSRRSGSPLEKDSDGLRLLGGRRIGSGRIISARAFEKDHRLSDKDLRDLR DRDRERDYKDKRFRREFGDSKRVFGERRRNDSYTEEEPEWFSAGPTSQSETIELTGFDDK ILEEDHKGRKRTRRRTASVKEGIVECNGGVAEEDEVEVILAQEPSADQEVPRDVILPEQS PGEFDFNEFFNLDKVPCLASMIEDVLGEGSVSASRFSRWFSNPSRSGSRSSSLGSTPHEE LERLAGLEQAVLSPGQNSGNYFAPIPSEDHAENKVDILEMLQKAKVDLKPLLSSLSANKE KLKESSHSGVVLSVEEVEAGLKGLKVDQQMKNSTPFMAEHLEETLSAASSNRQLKKDGDM TAFNKLVNTMKASGTLPTQPKVSELLGQPVQRPASSNLLSGLMGSLEATASLLSQRAPSP PMSQVFRTQAASADYLHPRIPSPIGFPSGPQQLLGDPFQGMRKPMSPVSAQMSQLELQQA ALEGLALPHDLAVQTAPFYQPGFSKPQVDRTRDGLRNRQQRMSKSPAPMHGGNSSSPAPA ASITSMLSPSFTPTSVIRKMYESREKTKEEMAPGMVVPGDGKEDTQKTSEENLLSSNPIP NTDQDSSTTNPKLSTLQRSSCSTPLSQTSRYTKEQDYRPKTAGRKTPTLASPVPGTPFLR PTHQVPLVPHVPIVRPAHQLHPGLVQRLIAQGVHPQHLPSLLQAGVLPPGIDMAPLQGLS GPLLGQPLYPLVSAASHPLLNPRPGTPLHLAVMQQQLQRSVLHPPGSSSQAAAISVQTPQ NVPSRSGMPHMHSQLEHRTSQRSSSPVGLAKWFGSDVLQQPLPSMPTKVISVDELEYRQ >ENSMUSP00000105676.1 pep:known chromosome:GRCm38:11:3202663:3244588:1 gene:ENSMUSG00000020454.17 transcript:ENSMUST00000110049.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4enif1 description:eukaryotic translation initiation factor 4E nuclear import factor 1 [Source:MGI Symbol;Acc:MGI:1921453] MEKSVAETENGDAFLELKKLPTSKSPHRYTKEELLDIKERPYSKQRPSCLSEKYDSDGVW DPEKWHASLYPASGRSSPVESLKKESESDRPSLVRRIADPRERVKEDDLDVVLSPQRRSF GGGCHVTAAVSSRRSGSPLEKDSDGLRLLGGRRIGSGRIISARAFEKDHRLSDKDLRDLR DRDRERDYKDKRFRREFGDSKRVFGERRRNDSYTEEEPEWFSAGPTSQSETIELTGFDDK ILEEDHKGRKRTRRRTASVKEGIVECNGGVAEEDEVEVILAQEPSADQEVPRDVILPEQS PGEFDFNEFFNLDKVPCLASMIEDVLGEGSVSASRFSRWFSNPSRSGSRSSSLGSTPHEE LERLAGLEQAVLSPGQNSGNYFAPIPSEDHAENKVDILEMLQKAKVDLKPLLSSLSANKE KLKESSHSGVVLSVEEVEAGLKGLKVDQQMKNSTPFMAEHLEETLSAASSNRQLKKDGDM TAFNKLVNTMKASGTLPTQPKVSRNVESHLLAPAEIPGQPVSKNILQELLGQPVQRPASS NLLSGLMGSLEATASLLSQRAPSPPMSQVFRTQAASADYLHPRIPSPIGFPSGPQQLLGD PFQGMRKPMSPVSAQMSQLELQQAALEGLALPHDLAVQTAPFYQPGFSKPQVDRTRDGLR NRQQRMSKSPAPMHGGNSSSPAPAASITSMLSPSFTPTSVIRKMYESREKTKEEMAPGMV VPGDGKEDTQKTSEENLLSSNPIPNTDQDSSTTNPKLSTLQRSSCSTPLSQTSRYTKEQD YRPKTAGRKTPTLASPVPGTPFLRPTHQVPLVPHVPIVRPAHQLHPGLVQRLIAQGVHPQ HLPSLLQAGVLPPGIDMAPLQGLSGPLLGQPLYPLVSAASHPLLNPRPGTPLHLAVMQQQ LQRSVLHPPGSSSQAAAISVQTPQNVPSRSGMPHMHSQLEHRTSQRSSSPVGLAKWFGSD VLQQPLPSMPTKVISVDELEYRQ >ENSMUSP00000118527.1 pep:known chromosome:GRCm38:11:3202734:3220530:1 gene:ENSMUSG00000020454.17 transcript:ENSMUST00000125637.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4enif1 description:eukaryotic translation initiation factor 4E nuclear import factor 1 [Source:MGI Symbol;Acc:MGI:1921453] MEKSVAETENGDAFLELKKLPTSKSPHRYTKEELLDIKERPYSKQRPSCLSEKYDSDGVW DPEKWHASLYPASGRSSPVESLKKESESDRPSLVRRIADPRERVKEDDLDVVLSPQRRSF GGGCHVTAAVSSRRSGSPLEKDSDGLRLLGGRRIGSGRIISARAFEKDHRLSDKDLRDLR DRDRERDYKDKRFR >ENSMUSP00000105675.1 pep:known chromosome:GRCm38:11:3203021:3244587:1 gene:ENSMUSG00000020454.17 transcript:ENSMUST00000110048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4enif1 description:eukaryotic translation initiation factor 4E nuclear import factor 1 [Source:MGI Symbol;Acc:MGI:1921453] MEKSVAETENGDAFLELKKLPTSKSPHRYTKEELLDIKERPYSKQRPSCLSEKYDSDGVW DPEKWHASLYPASGRSSPVESLKKESESDRPSLVRRIADPRERVKEDDLDVVLSPQRRSF GGGCHVTAAVSSRRSGSPLEKDSDGLRLLGGRRIGSGRIISARAFEKDHRLSDKDLRDLR DRDRERDYKDKRFRREFGDSKRVFGERRRNDSYTEEEPEWFSAGPTSQSETIELTGFDDK ILEEDHKGRKRTRRRTASVKEGIVECNGGVAEEDEVEVILAQEPSADQEVPRDVILPEQS PGEFDFNEFFNLDKVPCLASMIEDVLGEGSVSASRFSRWFSNPSRSGSRSSSLGSTPHEE LERLAGLEQAVLSPGQNSGNYFAPIPSEDHAENKVDILEMLQKAKVDLKPLLSSLSANKE KLKESSHSGVVLSVEEVEAGLKGLKVDQQMKNSTPFMAEHLEETLSAASSNRQLKKDGDM TAFNKLVNTMKASGTLPTQPKVSELLGQPVQRPASSNLLSGLMGSLEATASLLSQRAPSP PMSQVFRTQAASADYLHPRIPSPIGFPSGPQQLLGDPFQGMRKPMSPVSAQMSQLELQQA ALEGLALPHDLAVQTAPFYQPGFSKPQVDRTRDGLRNRQQRMSKSPAPMHGGNSSSPAPA ASITSMLSPSFTPTSVIRKMYESREKTKEEMAPGMVVPGDGKEDTQKTSEENLLSSNPIP NTDQDSSTTNPKLSTLQRSSCSTPLSQTSRYTKEQDYRPKTAGRKTPTLASPVPGTPFLR PTHQVPLVPHVPIVRPAHQLHPGLVQRLIAQGVHPQHLPSLLQAGVLPPGIDMAPLQGLS GPLLGQPLYPLVSAASHPLLNPRPGTPLHLAVMQQQLQRSVLHPPGSSSQAAAISVQTPQ NVPSRSGMPHMHSQLEHRTSQRSSSPVGLAKWFGSDVLQQPLPSMPTKVISVDELEYRQ >ENSMUSP00000112550.2 pep:known chromosome:GRCm38:11:3203630:3244586:1 gene:ENSMUSG00000020454.17 transcript:ENSMUST00000120721.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4enif1 description:eukaryotic translation initiation factor 4E nuclear import factor 1 [Source:MGI Symbol;Acc:MGI:1921453] MEKSVAETENGDAFLELKKLPTSKSPHRYTKEELLDIKERPYSKQRPSCLSEKYDSDGVW DPEKWHASLYPASGRSSPVESLKKESESDRPSLVRRIAGIVECNGGVAEEDEVEVILAQE PSADQEVPRDVILPEQSPGEFDFNEFFNLDKVPCLASMIEDVLGEGSVSASRFSRWFSNP SRSGSRSSSLGSTPHEELERLAGLEQAVLSPGQNSGNYFAPIPSEDHAENKVDILEMLQK AKVDLKPLLSSLSANKEKLKESSHSGVVLSVEEVEAGLKGLKVDQQMKNSTPFMAEHLEE TLSAASSNRQLKKDGDMTAFNKLVNTMKRNVESHLLAPAEIPGQPVSKNILQELLGQPVQ RPASSNLLSGLMGSLEATASLLSQRAPSPPMSQVFRTQAASADYLHPRIPSPIGFPSGPQ QLLGDPFQGMRKPMSPVSAQMSQLELQQAALEGLALPHDLAVQTAPFYQPGFSKPQVDRT RDGLRNRQQRMSKSPAPMHGGNSSSPAPAASITSMLSPSFTPTSVIRKMYESREKTKEEM APGMVVPGDGKEDTQKTSEENLLSSNPIPNTDQDSSTTNPKLSTLQRSSCSTPLSQTSRY TKEQDYRPKTAGRKTPTLASPVPGTPFLRPTHQVPLVPHVPIVRPAHQLHPGLVQRLIAQ GVHPQHLPSLLQAGVLPPGIDMAPLQGLSGPLLGQPLYPLVSAASHPLLNPRPGTPLHLA VMQQQLQRSVLHPPGSSSQAAAISVQTPQNVPSRSGMPHMHSQLEHRTSQRSSSPVGLAK WFGSDVLQQPLPSMPTKVISVDELEYRQ >ENSMUSP00000122912.1 pep:known chromosome:GRCm38:11:3227813:3239459:1 gene:ENSMUSG00000020454.17 transcript:ENSMUST00000135223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4enif1 description:eukaryotic translation initiation factor 4E nuclear import factor 1 [Source:MGI Symbol;Acc:MGI:1921453] QKAKVDLKPLLSSLSANKEKLKESSHSGVVLSVEEVEAGLKGLKVDQQMKNSTPFMAEHL EETLSAASSNRQLKKDGDMTAFNKLVNTMKASGTLPTQPKVSRNVESHLLAPAEIPGQPV SKNILQVFRTQAASADYLHPRIPSPIGFPSGPQQLLGDPFQGMRKPMSPVSAQMSQLELQ QAALEGLALPHDLAVQTAPFYQPGFSKPQVDRTRDGLRNRQQRMSKSPAPMHGGNSSSP >ENSMUSP00000136768.1 pep:known chromosome:GRCm38:11:3202995:3244585:1 gene:ENSMUSG00000020454.17 transcript:ENSMUST00000179770.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4enif1 description:eukaryotic translation initiation factor 4E nuclear import factor 1 [Source:MGI Symbol;Acc:MGI:1921453] MEKSVAETENGDAFLELKKLPTSKSPHRYTKEELLDIKERPYSKQRPSCLSEKYDSDGVW DPEKWHASLYPASGRSSPVESLKKESESDRPSLVRRIADPRERVKEDDLDVVLSPQRRSF GGGCHVTAAVSSRRSGSPLEKDSDGLRLLGGRRIGSGRIISARAFEKDHRLSDKDLRDLR DRDRERDYKDKRFRREFGDSKRVFGERRRNDSYTEEEPEWFSAGPTSQSETIELTGFDDK ILEEDHKGRKRTRRRTASVKEGIVECNGGVAEEDEVEVILAQEPSADQEVPRDVILPEQS PGEFDFNEFFNLDKVPCLASMIEDVLGEGSVSASRFSRWFSNPSRSGSRSSSLGSTPHEE LERLAGLEQAVLSPGQNSGNYFAPIPSEDHAENKVDILEMLQKAKVDLKPLLSSLSANKE KLKESSHSGVVLSVEEVEAGLKGLKVDQQMKNSTPFMAEHLEETLSAASSNRQLKKDGDM TAFNKLVNTMKASGTLPTQPKVSRNVESHLLAPAEIPGQPVSKNILQELLGQPVQRPASS NLLSGLMGSLEATASLLSQRAPSPPMSQVFRTQAASADYLHPRIPSPIGFPSGPQQLLGD PFQGMRKPMSPVSAQMSQLELQQAALEGLALPHDLAVQTAPFYQPGFSKPQVDRTRDGLR NRQQRMSKSPAPMHGGNSSSPAPAASITSMLSPSFTPTSVIRKMYESREKTKEEMAPGMV VPGDGKEDTQKTSEENLLSSNPIPNTDQDSSTTNPKLSTLQRSSCSTPLSQTSRYTKEQD YRPKTAGRKTPTLASPVPGTPFLRPTHQVPLVPHVPIVRPAHQLHPGLVQRLIAQGVHPQ HLPSLLQAGVLPPGIDMAPLQGLSGPLLGQPLYPLVSAASHPLLNPRPGTPLHLAVMQQQ LQRSVLHPPGSSSQAAAISVQTPQNVPSRSGMPHMHSQLEHRTSQRSSSPVGLAKWFGSD VLQQPLPSMPTKVISVDELEYRQ >ENSMUSP00000039529.8 pep:known chromosome:GRCm38:19:10870660:10882001:-1 gene:ENSMUSG00000034659.14 transcript:ENSMUST00000038128.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem109 description:transmembrane protein 109 [Source:MGI Symbol;Acc:MGI:1915789] MAGAHSTPLWSRHLLKAVLMVLVALFLVHSASAQSHREFASPGQQKKETSADILTQIGRS LKEMLDTWLGPETMHVISETLLQVMWAISSAISVACFALSGIAAQLLSALGLDGEQLTQG LKLSPSQVQTLLLWGAAALVIYWLLSLLLGLVLALLGRILGGLKLVLFVAGFVALVRSVP DPSTRALMLLALLTLFALLSRLTGSRSSGSHLEAKVRGLERQIEELRGRQRRAAKMPRSM EEE >ENSMUSP00000120261.1 pep:known chromosome:GRCm38:19:10871850:10880370:-1 gene:ENSMUSG00000034659.14 transcript:ENSMUST00000133303.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem109 description:transmembrane protein 109 [Source:MGI Symbol;Acc:MGI:1915789] MAGAHSTPLWSRHLLKAVLMVLVALFLVHSASAQSHREFASPGQQKKETSADILTQIGRS LKEMLDTWLGPETMHVISETLLQVMWAISSAISVACFALSGIAAQLLSALGLDGEQLTQG LKLSPSQVQTLLLWGAAALVIYWLLSLLLGLVLALLGRILGGLKLVLFVAGFVALVRSVP DPSTRALMLLALLTLFALLSRLTGSRSSGSHLE >ENSMUSP00000120662.1 pep:known chromosome:GRCm38:19:10872189:10882001:-1 gene:ENSMUSG00000034659.14 transcript:ENSMUST00000147699.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem109 description:transmembrane protein 109 [Source:MGI Symbol;Acc:MGI:1915789] MAGAHSTPLWSRHLLKAVLMVLVALFLVHSASAQSHREFASPGQQKKETSADILTQIGRS LKEMLDTWLGPETMHVISETLLQVMWAISSAISVACFALSGIAAQLLSALGLDGE >ENSMUSP00000116750.1 pep:known chromosome:GRCm38:19:10874242:10881731:-1 gene:ENSMUSG00000034659.14 transcript:ENSMUST00000144681.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem109 description:transmembrane protein 109 [Source:MGI Symbol;Acc:MGI:1915789] MLWMRSEHRAQDTNMAGAHSTPLWSRHLLKAVLMVLVALFLVHSASAQSHREFASPGQQK KETSADILTQIGRSLKEMLDTWLGPETMHVISE >ENSMUSP00000122130.1 pep:known chromosome:GRCm38:19:10874260:10881698:-1 gene:ENSMUSG00000034659.14 transcript:ENSMUST00000128835.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem109 description:transmembrane protein 109 [Source:MGI Symbol;Acc:MGI:1915789] MAGAHSTPLWSRHLLKAVLMVLVALFLVHSASAQSHREFASPGQQKKETSADILTQIGRS LKEMLDTWLGPET >ENSMUSP00000015011.3 pep:known chromosome:GRCm38:2:26920040:26933928:-1 gene:ENSMUSG00000014867.9 transcript:ENSMUST00000015011.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Surf4 description:surfeit gene 4 [Source:MGI Symbol;Acc:MGI:98445] MGQNDLMGTAEDFADQFLRVTKQYLPHVARLCLISTFLEDGIRMWFQWSEQRDYIDTTWS CGYLLASSFVFLNLLGQLTGCVLVLSRNFVQYACFGLFGIIALQTIAYSILWDLKFLMRN LALGGGLLLLLAESRSEGKSMFAGVPTMRESSPKQYMQLGGRVLLVLMFMTLLHFDASFF SIIQNIVGTALMILVAIGFKTKLAALTLVVWLFAINVYFNAFWTIPVYKPMHDFLKYDFF QTMSVIGGLLLVVALGPGGVSMDEKKKEW >ENSMUSP00000124720.1 pep:known chromosome:GRCm38:2:26921962:26933383:-1 gene:ENSMUSG00000014867.9 transcript:ENSMUST00000153641.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Surf4 description:surfeit gene 4 [Source:MGI Symbol;Acc:MGI:98445] MGQNDLMGTAEDFADQFLRVTKQYLPHVARLCLISTFLEDGIRMWFQWSEQRDYIDTTWS CGYLLASSFVFLNLLGQLNNCL >ENSMUSP00000125327.1 pep:known chromosome:GRCm38:2:26924970:26933781:-1 gene:ENSMUSG00000014867.9 transcript:ENSMUST00000154651.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Surf4 description:surfeit gene 4 [Source:MGI Symbol;Acc:MGI:98445] XAGPQVLRAGDTGGRKSTSTVGGTCCLFLRVTKQYLPHVARLCLISTFLEDGIRMWFQWS EQRDYIDTTWSCGYLLASSFVFLNLLGQLTGCVLVLSRNFVQYACFGLFGIIALQTIAYS ILWD >ENSMUSP00000138345.1 pep:known chromosome:GRCm38:7:29320372:29377605:-1 gene:ENSMUSG00000030583.16 transcript:ENSMUST00000183330.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1l3 description:signal-induced proliferation-associated 1 like 3 [Source:MGI Symbol;Acc:MGI:1921456] XPDSSTIKIFYGRGDHIFLQAAEGSVEDIRDIVQRLKVMTNGWETVDMTLRRNGLGQLGF HVKYDGTVAEVEDYGFAWQAGLRQGSRLVEICKVAVVTLSHDQMIDLLRTSVTVKVVIIP PFEDGTPRRGWPETYDMNASEPKTESETTTPGGRPPYRSNAPWQWSGPASHNSLPATKWT TPATPGHAQSLSRLPKQTPVVPFRESQPLHSKRYAAAPHPLLSFDPHFMHDGMSSGDSSS GGLTSQESTMERPKPEPLWHVPAQARLSAMTGSIGSKHPSRQDAAGKDSPNRHSKGEPQY SSHSSSNTLSSNASSSHSDDRWFDPLDPLEPEQDPFSKGGSSDSGIDTTLYTSSPSCMSL AKAPRPTKPHKPPGNIGLCGGGRESAGRPHPVDRRREVSPAPVVAGQNKGYRPKLYSSGS CTPPGLVGGSRDPPRQPSDMGSRAGYPTQVYKTASAETPRPSQLSQCSPFQLSTSVPKSF FSKQPAHNKHSTGWKRTDEPPPRPLPFTDSKKQVDTNAKNVFGQPRLRASLRDLRSPRKN YKSTIEDDLKKLIVMDNLGPEQERDTGSPKRKWSYPLFRSQPQAERAPRNYLGSHSPKTQ SPQKSLQRTLSDESLCSGRREPSFASPASLEPGLPSDVLFTSTCTFPSSTLPARRQHQHA HPPSGAPSTTPATGNGFPEKKSAISASELSLADGRDRPLRRLDPGMMPLPDTAAGLEWSS LVNAAKAYEVQRAVSLFSLNDPALSPEIPPAHSPVHSHLSLERGPQTPRATPTMSEESPL DLTGKVYQLEVMLKQLHTDLQKEKQDKVVLQSEVASLRQNNQRLQEESQAASEQLRKFAE LFSREKKEL >ENSMUSP00000138171.1 pep:known chromosome:GRCm38:7:29320378:29505460:-1 gene:ENSMUSG00000030583.16 transcript:ENSMUST00000183096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1l3 description:signal-induced proliferation-associated 1 like 3 [Source:MGI Symbol;Acc:MGI:1921456] MTTYRPLPNDGVDLAASCGARSTDILPGPHPGDYTPMGFWAQNGSMPQPLGESPAATTTR PSPTTPAMPKMGVRARVADWPPKRDALREQSNPSPSQDTDGVKTTKVAHSMRNLQNGQLP SSTPASSGSRAFHRLSRRRSKDVEFQDGWPRSPGRAFLPLRHRSSSEITLSECDVEEPGD PRGTRHPGVLPLFREYGSTSSIDVQGVPEQSFFDILNEFRSEQPEARGSQNLRELLQVDP GALSGGSCGTKGDPRNGQPTKDSLQSLQPLKEKEKSRKKPVRGLGSGDTVDSSIFRKLRS SKPEGEVGRPLGETEESRSPPEASRPWVCQKSFAHFDVQSMLFDLNEAAANRVSVAQRRN TTTGASAASAASAMVTLTASRAHSLGTLDPAFTSTEDLNCKENLEQDLGDDNSNDLLLSC PHFRNEIGGERERNVSFSRASVGSPGGSSEAHMAEPTLSTHRTNASISVLEVPKEQQRTQ SRPRQYSIEHVDLGARYYQDYFVGKEHANYFGVDEKLGPVAVSIKREKLEDHKDHGPQYQ YRIIFRTRELITLRGSILEDATPTATKHGTGRGLPLKDALEYVIPELNIHCLRLALNTPK VTEQLLKLDEQGLCRKHKVGILYCKAGQSSEEEMYNNEEAGPAFEEFLDLLGDKVCLKGF TKYAAQLDVKTDSTGTHSLYTTYQDYEIMFHVSTLLPYTPNNRQQLLRKRHIGNDIVTII FQEPGALPFTPKNIRSHFQHVFIIVRVHNPCTENVCYSMAVTRSKDAPPFGPPIPNGTTF RKSDVFRDFLLAKVINAENAAHKSDKFHTMATRTRQEYLKDLAENCVSNTPIDSSGKFNL ISLTSKKKEKTKARAGAEQHSAGAIAWRVAAQDYAQGSEIDCILGISNEFVVLLDLRTKE VVFNCYCGDVIGWTPDSSTIKIFYGRGDHIFLQAAEGSVEDIRDIVQRLKVMTNGWETVD MTLRRNGLGQLGFHVKYDGTVAEVEDYGFAWQAGLRQGSRLVEICKVAVVTLSHDQMIDL LRTSVTVKVVIIPPFEDGTPRRGWPETYDMNASEPKTESETTTPGGRPPYRSNAPWQWSG PASHNSLPATKWTTPATPGHAQSLSRLPKQTPVVPFRESQPLHSKRPVSFPETPFAASPA GADRVPPYRQPSGSFSTPGSATYARYKPSPERYAAAPHPLLSFDPHFMHDGMSSGDSSSG GLTSQESTMERPKPEPLWHVPAQARLSAMTGSIGSKHPSRQDAAGKDSPNRHSKGEPQYS SHSSSNTLSSNASSSHSDDRWFDPLDPLEPEQDPFSKGGSSDSGIDTTLYTSSPSCMSLA KAPRPTKPHKPPGNIGLCGGGRESAGRPHPVDRRREVSPAPVVAGQNKGYRPKLYSSGSC TPPGLVGGSRDPPRQPSDMGSRAGYPTQVYKTASAETPRPSQLSQCSPFQLSTSVPKSFF SKQPAHNKHSTGWKRTDEPPPRPLPFTDSKKQVDTNAKNVFGQPRLRASLRDLRSPRKNY KSTIEDDLKKLIVMDNLGPEQERDTGQSPQKSLQRTLSDESLCSGRREPSFASPASLEPG LPSDVLFTSTCTFPSSTLPARRQHQHAHPPSGAPSTTPATGNGFPEKKSAISASELSLAD GRDRPLRRLDPGMMPLPDTAAGLEWSSLVNAAKAYEVQRAVSLFSLNDPALSPEIPPAHS PVHSHLSLERGPQTPRATPTMSEESPLDLTGKVYQLEVMLKQLHTDLQKEKQDKVVLQSE VASLRQNNQRLQEESQAASEQLRKFAELFSREKKEL >ENSMUSP00000138311.1 pep:known chromosome:GRCm38:7:29321827:29328988:-1 gene:ENSMUSG00000030583.16 transcript:ENSMUST00000182780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1l3 description:signal-induced proliferation-associated 1 like 3 [Source:MGI Symbol;Acc:MGI:1921456] EVQRAVSLFSLNDPALSPEIPPAHSPVHSHLSLERGPQTPRATPTMRRSRTRWCCSPRWP ACGRTTSDCRRSRRPPVNSCASSQSSSAGRRRSSECPWVTLDRPGWQAVGFWLQG >ENSMUSP00000138714.1 pep:known chromosome:GRCm38:7:29322122:29367818:-1 gene:ENSMUSG00000030583.16 transcript:ENSMUST00000182484.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1l3 description:signal-induced proliferation-associated 1 like 3 [Source:MGI Symbol;Acc:MGI:1921456] MNASEPKTESETTTPGGRPPYRSNAPWQWSGPASHNSLPATKWTTPATPGHAQSLSRLPK QTPVVPFRESQPLHSKRPVSFPETPFAASPAGADRVPPYRQPSGSFSTPGSATYARYKPS PERYAAAPHPLLSFDPHFMHDGMSSGDSSSGGLTSQESTMERPKPEPLWHVPAQARLSAM TGSIGSKHPSRQDAAGKDSPNRHSKGEPQYSSHSSSNTLSSNASSSHSDDRWFDPLDPLE PEQDPFSKGGSSDSGIDTTLYTSSPSCMSLAKAPRPTKPHKPPGNIGLCGGGRESAGRPH PVDRRREVSPAPVVAGQNKGYRPKLYSSGSCTPPGLVGGSRDPPRQPSDMGSRAGYPTQV YKTASAETPRPSQLSQCSPFQLSTSVPKSFFSKQPAHNKHSTGWKRTDEPPPRPLPFTDS KKQVDTNAKNVFGQPRLRASLRDLRSPRKNYKSTIEDDLKKLIVMDNLGPEQERDTGSPQ KSLQRTLSDESLCSGRREPSFASPASLEPGLPSDVLFTSTCTFPSSTLPARRQHQHAHPP SGAPSTTPATGNGFPEKKSAISASELSLADGRDRPLRRLDPGMMPLPDTAAGLEWSSLVN AAKAYEVQRAVSLFSLNDPALSPEIPPAHSPVHSHLSLERGPQTPRATPTMSEESPLDLT GKVYQLEVMLKQLHTDLQKEKQDKVVLQSEVASLRQNNQRLQEESQAASEQLRKFAELFS REKKEL >ENSMUSP00000138381.1 pep:known chromosome:GRCm38:7:29366704:29367826:-1 gene:ENSMUSG00000030583.16 transcript:ENSMUST00000183275.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1l3 description:signal-induced proliferation-associated 1 like 3 [Source:MGI Symbol;Acc:MGI:1921456] MNASEPKTESETTTPGGRPPYRSNAP >ENSMUSP00000138592.1 pep:known chromosome:GRCm38:7:29400762:29518612:-1 gene:ENSMUSG00000030583.16 transcript:ENSMUST00000181975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1l3 description:signal-induced proliferation-associated 1 like 3 [Source:MGI Symbol;Acc:MGI:1921456] MTTYRPLPNDGVDLAASCGARSTDILP >ENSMUSP00000082965.4 pep:known chromosome:GRCm38:7:29320378:29505460:-1 gene:ENSMUSG00000030583.16 transcript:ENSMUST00000085809.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sipa1l3 description:signal-induced proliferation-associated 1 like 3 [Source:MGI Symbol;Acc:MGI:1921456] MTTYRPLPNDGVDLAASCGARSTDILPGPHPGDYTPMGFWAQNGSMPQPLGESPAATTTR PSPTTPAMPKMGVRARVADWPPKRDALREQSNPSPSQDTDGVKTTKVAHSMRNLQNGQLP SSTPASSGSRAFHRLSRRRSKDVEFQDGWPRSPGRAFLPLRHRSSSEITLSECDVEEPGD PRGTRHPGVLPLFREYGSTSSIDVQGVPEQSFFDILNEFRSEQPEARGSQNLRELLQVDP GALSGGSCGTKGDPRNGQPTKDSLQSLQPLKEKEKSRKKPVRGLGSGDTVDSSIFRKLRS SKPEGEVGRPLGETEESRSPPEASRPWVCQKSFAHFDVQSMLFDLNEAAANRVSVAQRRN TTTGASAASAASAMVTLTASRAHSLGTLDPAFTSTEDLNCKENLEQDLGDDNSNDLLLSC PHFRNEIGGERERNVSFSRASVGSPGGSSEAHMAEPTLSTHRTNASISVLEVPKEQQRTQ SRPRQYSIEHVDLGARYYQDYFVGKEHANYFGVDEKLGPVAVSIKREKLEDHKDHGPQYQ YRIIFRTRELITLRGSILEDATPTATKHGTGRGLPLKDALEYVIPELNIHCLRLALNTPK VTEQLLKLDEQGLCRKHKVGILYCKAGQSSEEEMYNNEEAGPAFEEFLDLLGDKVCLKGF TKYAAQLDVKTDSTGTHSLYTTYQDYEIMFHVSTLLPYTPNNRQQLLRKRHIGNDIVTII FQEPGALPFTPKNIRSHFQHVFIIVRVHNPCTENVCYSMAVTRSKDAPPFGPPIPNGTTF RKSDVFRDFLLAKVINAENAAHKSDKFHTMATRTRQEYLKDLAENCVSNTPIDSSGKFNL ISLTSKKKEKTKARAGAEQHSAGAIAWRVAAQDYAQGSEIDCILGISNEFVVLLDLRTKE VVFNCYCGDVIGWTPDSSTIKIFYGRGDHIFLQAAEGSVEDIRDIVQRLKVMTNGWETVD MTLRRNGLGQLGFHVKYDGTVAEVEDYGFAWQAGLRQGSRLVEICKVAVVTLSHDQMIDL LRTSVTVKVVIIPPFEDGTPRRGWPETYDMNASEPKTESETTTPGGRPPYRSNAPWQWSG PASHNSLPATKWTTPATPGHAQSLSRLPKQTPVVPFRESQPLHSKRPVSFPETPFAASPA GADRVPPYRQPSGSFSTPGSATYARYKPSPERYAAAPHPLLSFDPHFMHDGMSSGDSSSG GLTSQESTMERPKPEPLWHVPAQARLSAMTGSIGSKHPSRQDAAGKDSPNRHSKGEPQYS SHSSSNTLSSNASSSHSDDRWFDPLDPLEPEQDPFSKGGSSDSGIDTTLYTSSPSCMSLA KAPRPTKPHKPPGNIGLCGGGRESAGRPHPVDRRREVSPAPVVAGQNKGYRPKLYSSGSC TPPGLVGGSRDPPRQPSDMGSRAGYPTQVYKTASAETPRPSQLSQCSPFQLSTSVPKSFF SKQPAHNKHSTGWKRTDEPPPRPLPFTDSKKQVDTNAKNVFGQPRLRASLRDLRSPRKNY KSTIEDDLKKLIVMDNLGPEQERDTGQSPQKSLQRTLSDESLCSGRREPSFASPASLEPG LPSDVLFTSTCTFPSSTLPARRQHQHAHPPSGAPSTTPATGNGFPEKKSAISASELSLAD GRDRPLRRLDPGMMPLPDTAAGLEWSSLVNAAKAYEVQRAVSLFSLNDPALSPEIPPAHS PVHSHLSLERGPQTPRATPTMSEESPLDLTGKVYQLEVMLKQLHTDLQKEKQDKVVLQSE VASLRQNNQRLQEESQAASEQLRKFAELFSREKKEL >ENSMUSP00000033519.2 pep:known chromosome:GRCm38:X:9654267:9663003:-1 gene:ENSMUSG00000031176.8 transcript:ENSMUST00000033519.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dynlt3 description:dynein light chain Tctex-type 3 [Source:MGI Symbol;Acc:MGI:1914367] MEGYQRPCDEVGFNADEAHNIVKECVDGVLGGNDYNENNINQWTASIVEQSITHLVKLGK AYKYIVTCAVVQRSPYGFHTASSCFWDTTSDGTCTIRWENRTMNCIVNVFAVAIVL >ENSMUSP00000086034.4 pep:known chromosome:GRCm38:1:9667415:9700209:-1 gene:ENSMUSG00000025912.16 transcript:ENSMUST00000088658.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybl1 description:myeloblastosis oncogene-like 1 [Source:MGI Symbol;Acc:MGI:99925] MAKRSRSEDEDDDLQYADHDYEVPQQKGLKKLWNRVKWTRDEDDKLKKLVEQHGTDDWTL IASHLQNRSDFQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSLIAKHLKGR IGKQCRERWHNHLNPEVKKSSWTEEEDRIIYEAHKRLGNRWAEIAKLLPGRTDNSIKNHW NSTMRRKVEQEGYLQDGIKSERSSSKLQHKPCATMDHLQTQNQFYIPVQIPGYQYVSPDG NCVEHVQTSAFIQQPFVDEDPDKEKKIKELELLLMSAENEVRRKRLPPQPGSFSSWSGSF LMDDSMSNTLNNLEEHTTEFYSMDENQTVSAQQNSPTKFLAVEANAVLSSLQTIPEFAET LELIESDPVAWSDVTSFDLSDAAASPVKSTPVKLMRIQHNEGAMECQFNVSLVLEGKKNS RNGGDSEAIPLTSPNVVKFSTPPTILRKKKRIRVGQSAGSELGDGSLSEVGNAALKHTPV KTLPFSPSQFFNTCPGNEQLNIENPSFTSTPICGQKVLITTPLQKEATPKDQKENVGFRT PTIRRSILGTTPRTPTPFKNALAAQEKKYGPLKIVSQPLAFLEEDIREVLKEETGTDIFL KEEDEPAYKSCKQEHSASVKKVRKSLALESWDKEEPGTQLLTEDISDMQSENILTTSLLM IPLLEIHDNRCNLTPEKQDINSANKTYTLNKKRPNPNPCKAVKLEKSLQSNCEWETVVYG KTEDQLIMTEQARRYLSTYTATSSTSRALIL >ENSMUSP00000111128.2 pep:known chromosome:GRCm38:1:9669537:9700024:-1 gene:ENSMUSG00000025912.16 transcript:ENSMUST00000115468.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybl1 description:myeloblastosis oncogene-like 1 [Source:MGI Symbol;Acc:MGI:99925] MAKRSRSEDEDDDLQYADHDYEVPQQKGLKKLWNRVKWTRDEDDKLKKLVEQHGTDDWTL IASHLQNRSDFQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSLIAKHLKGR IGKQCRERWHNHLNPEVKKSSWTEEEDRIIYEAHKRLGNRWAEIAKLLPGRTDNSIKNHW NSTMRRKVEQEGYLQDGIKSERSSSKLQHKPCATMDHLQTQNQFYIPVQIPGYQYVSPDG NCVEHVQTSAFIQQPFVDEDPDKEKKIKELELLLMSAENEVRRKRLPPQPGSFSSWSGSF LMDDSMSNTLNNLEEHTTEFYSMDENQTVSAQQNSPTKFLAVEANAVLSSLQTIPEFAET LELIESDPVAWSDVTSFDLSDAAASPVKSTPVKLMRIQHNEGAMECQFNVSLVLEGKKNS RNGGDSEAIPLTSPNVVKFSTPPTILRKKKRIRVGQSAGSELGDGSLSEVGNAALKHTPV KTLPFSPSQFFNTCPGNEQLNIENPSFTSTPICGQKVLITTPLQKEATPKDQKENVGFRT PTIRRSILGTTPRTPTPFKNALAAQEKKYGPLKIVSQPLAFLEEDIREVLKEETGTDIFL KEEDEPAYKSCKQEHSASVKKVRKSLALESWDKEEPGTQLLTEDISDMQSNCEWETVVYG KTEDQLIMTEQARRYLSTYTATSSTSRALIL >ENSMUSP00000139818.1 pep:known chromosome:GRCm38:1:9681863:9699654:-1 gene:ENSMUSG00000025912.16 transcript:ENSMUST00000188212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybl1 description:myeloblastosis oncogene-like 1 [Source:MGI Symbol;Acc:MGI:99925] XSQPLSGRFLASEDEDDDLQYADHDYEVPQQKGLKKLWNRVKWTRDEDDKLKKLVEQHGT DDWTLIASHLQNRSDFQCQHRWQKVLNPELIKGPWTKEEDQRVIELVQKYGPKRWSLIAK HLKGRIGKQCRERWHNHLNPEVKKSSWTEEEDRIIYEAHKRLGNRWAEIAKLLPGRTDNS IKNHWNSTMRRKVEQEGYLQDGIKSERSSSKLQHKPCATMDHLQTQNQFYIPVQIPGYQY VSPDGNCVEHVQTSAFIQQPFVDEDPD >ENSMUSP00000124712.1 pep:known chromosome:GRCm38:5:63649108:63810546:1 gene:ENSMUSG00000090061.9 transcript:ENSMUST00000159584.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nwd2 description:NACHT and WD repeat domain containing 2 [Source:MGI Symbol;Acc:MGI:1920464] MWPAGAGTKLPCPRDSALRRAAFSGNLTALPSHLVPAGRSVRVFISANPEDTGAERQALR ETVYPKLREFCRENYGLEFQVIDLYWGIEEDEWDSPELQKMRMKLLEECLKTSAGPCFVG LLGEKYGNIRIPGEVEASEFEMILDAAVEAKLETKLLEDWYCRDENSVPAAYYLRPRLEV PRSNKNSTQPSASSEQERPWQEISDEIKTIFKAAVKLLHEQGKMKQSQAKRYLFSAIEDE FDFALGKQTPAFLKKCVCYIRKIANIERFVKIPEMGKYMDITGTDPRIVRDPEAQEKLIK LRDEFIPTIVASSNLRVYTSVTHCDMKLGYSQEIENHYIEGLGKQFYEDMIDIIQATVQQ NFDTETDTLYDEILQHSSLCKTYASFYEYKCESLNILHKYILPSKTGHINPLVVYGGPCT GKTLLLAEVAKKAYGWLHEDTGPDSDPVVIVRFLGTTDMSIDLRTLLLSVCEQLAVNYRC LVQSFPKKIHDLRDLFINLLNESSLQRPLVIILDALEQLSEADEARKLWWLPAHLPRFVR IILSTLPNKHGILQKLRCLIHEEDNYIELIPRDRKMCSQVLKHQLLRVKRKVTSGQQIYV NNAFSKCTLPMFVNLTFREVRHWRSHKDVDESSLCVTVHESIEQLFWSLEKKCGQKLVSR ALGYITMAKMGLSEMELEDVLALDNSVMNELNENTRPSNPLRVPYLYIARLKEGLNGYLI ERHVKNVTLLVWANRHLQLIAQKLYLQEDSNLREMHTILADYFLGVWSGGRRKAFCLEDP YLNGCLDLENRSLLEEEKHFMEQASFDRQAPDQPWVFQCNPLEPDIFFVNHRKMSELLYH LTRCGKTDDLLYGIIMNFSWLYTMIKIGQFDKVLADIELAYNYSQEKELKFLASTLRSIR NKVIAFPGSLSAELQQRLLPVVSSLPKLRHLLLECDKDGPKYCSIVPLHSSMDVTYSPER LPLASSHLHVTEILPTCNPSTVLTALENGSISTWDVETRQLLRQITTAQSVILGMKLSSD EKYLVVATTNNTLLIYDNVNSCLLSEVEIKGTKHGSGSTYINGFTLSVNHALAWLEASKD VTVIDLLYGWPLYQFHCWYEVTCVQCSLDGVYAFCGQYLNNTTIFHLGSGEKICTVTSEF SGGFVKFLLILDTAQEMVMVDSEGSLSVWNTEDISNPQLTEDFDCRKEDSEVVSIELSED QSAILICKALSIELLDTGMWKVAEKFRARHNERFVSAVLSKNGDCIIATMENTPAVFFWR RDTGQCLASLQESSGTIVKLVKSSHHNMLLSLSTSGVLSIWDIDIITAMSNIDKTGKPIQ SLVLPARGEIIYSLDGSDCVHKWNFSSGFIEAVFKHEGIVEHCVLTSTGDLMVTSDDKSS QYVWHTSSGENLFRINGQRISQLLITHNDQFVVSLCEENASRVWRLATGHRVCNILTTLQ NAFITSANTFVVGMTKSKVLAVSLWTGSITKKFCCEDGITIVNFKLIPDCPDVIVFITSA ETVNLWSLTDEVICRRVQLPSNFLKNLEDFEISPNGKLGIISRGDENINVLDLHSGKLRV VHASGVIWRQRLSRDGRYLVYICFRNGEEEEENDAISSLIVMRLADGKNIGACSLYKTPT FLALSQRHLNIIVGFDDGSIGIYTVVDRVDAALKIKIATSNSRQIFNNATQTSRPKSNSY SFKVSVDCLWRESTEVFARDSPITVSDSSESNEATPSKKHNSCYDRVCAALESRSHSYTP DN >ENSMUSP00000124587.1 pep:known chromosome:GRCm38:5:63649333:63800647:1 gene:ENSMUSG00000090061.9 transcript:ENSMUST00000162166.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nwd2 description:NACHT and WD repeat domain containing 2 [Source:MGI Symbol;Acc:MGI:1920464] MWPAGAGTKLPCPRDSALRRAAFSGNLTALPSHLVPAGRSVRVFISANPEANL >ENSMUSP00000143642.1 pep:known chromosome:GRCm38:5:63800462:63810546:1 gene:ENSMUSG00000090061.9 transcript:ENSMUST00000162757.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nwd2 description:NACHT and WD repeat domain containing 2 [Source:MGI Symbol;Acc:MGI:1920464] XLCKTYASFYEYKCESLNILHKYILPSKTGHINPLVVYGGPCTGKTLLLAEVAKKIEDFP SLTVSRLKL >ENSMUSP00000066880.7 pep:known chromosome:GRCm38:7:24482023:24504539:1 gene:ENSMUSG00000054793.8 transcript:ENSMUST00000068023.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cadm4 description:cell adhesion molecule 4 [Source:MGI Symbol;Acc:MGI:2449088] MGRARRFQWPLLLLWAAAAGPGTGQEVQTENVTVAEGGVAEITCRLHQYDGSIVVIQNPA RQTLFFNGTRALKDERFQLEEFSPRRVRIRLSDARLEDEGGYFCQLYTEDTHHQIATLTV LVAPENPVVEVREQAVEGGEVELSCLVPRSRPAAVLRWYRDRKELKGVSSGQENGKVWSV ASTVRFRVDRKDDGGIVICEAQNQALPSGHSKQTQYVLDVQYSPTARIHASQAVVREGDT LVLTCAVTGNPRPNQIRWNRGNESLPERAEAVGETLTLPGLVSADNGTYTCEAANKHGHA RALYVLVVYDPGAVVEAQTSVPYAIVGGILALLVFLIICVLVGMVWCSVRQKGSYLTHEA SGLDEQGEAREAFLNGGDGHKRKEEFFI >ENSMUSP00000027067.8 pep:known chromosome:GRCm38:1:21240589:21265661:1 gene:ENSMUSG00000025934.15 transcript:ENSMUST00000027067.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsta3 description:glutathione S-transferase, alpha 3 [Source:MGI Symbol;Acc:MGI:95856] MAGKPVLHYFDGRGRMEPIRWLLAAAGVEFEEKFLKTRDDLARLRSDGSLMFQQVPMVEI DGMKLVQTKAILNYIASKYNLYGKDMKERAIIDMYTEGVADLEIMILYYPHMPPEEKEAS LAKIKEQTRNRYFPAFEKVLKSHGQDYLVGNRLSRADIALVELLYHVEELDPGVVDNFPL LKALRSRVSNLPTVKKFLQPGSQRKPFDDAKCVESAKKIFS >ENSMUSP00000113262.1 pep:known chromosome:GRCm38:1:21240607:21265021:1 gene:ENSMUSG00000025934.15 transcript:ENSMUST00000121676.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsta3 description:glutathione S-transferase, alpha 3 [Source:MGI Symbol;Acc:MGI:95856] MAGKPVLHYFDGRGRMEPIRWLLAAAGVEFEEKFLKTRDDLARLRSDGSLMFQQVPMVEI DGMKLVQTKAILNYIASKYNLYGKDMKERAIIDMYTEGVADLEIMILYYPHMPPEEKEAS LAKIKEQTRNRYFPAFEKVLKSHGQDYLVGNRLSRADIALVELLYHVEELDPGVVDNFPL LKALRSRVSNLPTVKKFLQPGSQRKPFDDAKCVESAKKIFS >ENSMUSP00000140210.1 pep:known chromosome:GRCm38:1:21240629:21264846:1 gene:ENSMUSG00000025934.15 transcript:ENSMUST00000124990.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gsta3 description:glutathione S-transferase, alpha 3 [Source:MGI Symbol;Acc:MGI:95856] MFQQVPMVEIDGMKLVQTKAILNYIASKYNLYGKDMKERAIIDMYTEGVADLEIMILYYP HMPPEEKEASLAKIKEQTRNRYFPAFEKVLKSHGQDYLVGNRLSRADIALVELLYHVEEL DPGVVDNFPLLKA >ENSMUSP00000015017.7 pep:known chromosome:GRCm38:2:26916367:26920183:1 gene:ENSMUSG00000014873.15 transcript:ENSMUST00000015017.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Surf2 description:surfeit gene 2 [Source:MGI Symbol;Acc:MGI:98444] MDEPPSDVLAFLRQHPSLRLLPNTRKVRCSLTGHELPCRLPELQEYTRGKKYQRLSSSFS NFDYAAFEPHIVPSTKNRHQLFCKLTLRHINKSPEHVLRHTQGRRYQRALHQYEECQKQG VEYVPACLLHKRKKREDQTNSDELPGQRTGFWEPASSDEEDALSDDSMTDLYPPELFTKR ELGKPKNDDTPEDFLTDQQDEKPEHSEEKSFREREEARVGHKRGRKLRKKQLTSLTKKFK SYHHKPKNFSSFKQLGR >ENSMUSP00000041294.5 pep:known chromosome:GRCm38:15:55638843:55906949:-1 gene:ENSMUSG00000060429.11 transcript:ENSMUST00000039769.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sntb1 description:syntrophin, basic 1 [Source:MGI Symbol;Acc:MGI:101781] MAVAAAAVAAPAGGGGARAQRSGLLEVLVRDRWHKVLVNLSEDALVLSCEEGAAAYNGIG AATNGSFCRGSGTGHPVPGVAQAPDSPAGVRTAFTDLPEQVPESISNQKRGVKVLKQELG GLGISIKGGKENKMPILISKIFKGLAADQTQALYVGDAILSVNGADLRDATHDEAVQALK RAGKEVLLEVKYMREATPYVKKGSPVSEIGWETPPPESPRLGGGSAEPLSSQSFSFHRDR KSIPLKMCYVTRNMTLADPENRQLEIHSPDAKHTVILRSKDSATAQAWFSAIHSNAGDLL TRVVADIREQLGKTGIAGSREIRHLGWLAEKVPGESEKQWKPALVVLTEKDLLIYDSMPR RKEAWFSPVHSYPLLATRLVHSGPGKGSPQAGMDLSFATRTGTKQGIETHLFRAEISRDL SHWTRSIVQGCHNSAELTAEITTACTYRNQECRLTIHYDNGFSISTEPQDGAFPKTIIQS PYEKLKMSSDDGIRMLYLDFGGKEGEIQLDLHSCPKPIVFIIHSFLSAKITRLGLVA >ENSMUSP00000105829.2 pep:known chromosome:GRCm38:15:55790964:55906722:-1 gene:ENSMUSG00000060429.11 transcript:ENSMUST00000110200.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sntb1 description:syntrophin, basic 1 [Source:MGI Symbol;Acc:MGI:101781] MAVAAAAVAAPAGGGGARAQRSGLLEVLVRDRWHKVLVNLSEDALVLSCEEGAAAYNGIG AATNGSFCRGSGTGHPVPGVAQAPDSPAGVRTAFTDLPEQVPESISNQKRGVKVLKQELG GLGISIKGGKENKMPILISKIFKGLAADQTQALYVGDAILSVNGADLRDATHDEAVQALK RAGKEVLLEVKYMREATPYVKKGSPVSEIGWETPPPESPRLGGGSAEPLSSQSFSFHRDR KSIPLKMCYVTRNMTLADPENSTHPSDLIPIQGWAA >ENSMUSP00000074299.2 pep:known chromosome:GRCm38:16:19486793:19487832:1 gene:ENSMUSG00000056822.3 transcript:ENSMUST00000074739.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr166 description:olfactory receptor 166 [Source:MGI Symbol;Acc:MGI:3030000] MEKWNQSSSDFTLLGLLPQNQTGLLLLMLIIFVFSLALCGNSGMIHLIRVDPRLHTPMYF LLSQLSLMDLMYISTTVPKMAFNFLSGQKSISFLGCGVQSFFFLTMACSEGLLLASMAYD RFVAICHPLHYPIRMSKIMCLKMIIGSWILGSINSLAHTVYALHIPYCHSRSINHFFCDV PAMLPLACMDTWVYEYMVFVSTSLFLLLPFLGITASYGRVLFAVFHMRSKEGKKKAFTTC STHLTVVTFYYAPFVYTYLRPRSLRSPTEDKILAVFYTILTPMLNPIIYSLRNKEVLGAM TRVLGTFPSTKP >ENSMUSP00000068187.6 pep:known chromosome:GRCm38:7:27473768:27477364:1 gene:ENSMUSG00000055200.7 transcript:ENSMUST00000068641.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertad3 description:SERTA domain containing 3 [Source:MGI Symbol;Acc:MGI:2180697] MGGLKRKHSDLEEEEEEEKWDWSPTALRSYQQALLRISLDKVQRSLGPRAPSLRRHVLIH NTLQQLQAAIRLAPAPALPPEPLFLGEEDFSLSTTIGSILRELDTSMDEMEPPLNPAASS SPQNEIVSQADPVFLEALSSRYLGDSGLDDFFLDIDTSAVEKDVALPPPEPPHSLFCSPG SWEWNELDHIMEIILGS >ENSMUSP00000077466.4 pep:known chromosome:GRCm38:16:10281749:10313968:-1 gene:ENSMUSG00000022505.8 transcript:ENSMUST00000078357.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emp2 description:epithelial membrane protein 2 [Source:MGI Symbol;Acc:MGI:1098726] MLVILAFIIVFHIVSTALLFISTIDNAWWVGDSFSADLWRVCTNSTNCTEINELTGPEAF EGYSVMQAVQATMILSTILSCISFLIFLLQLFRLKQGERFVLTSIIQLMSCLCVMIGASI YTDRRQDLHQQNRKLYYLLQEGSYGYSFILAWVAFAFTFISGLMYMILRKRK >ENSMUSP00000112982.1 pep:known chromosome:GRCm38:X:23957702:23958934:-1 gene:ENSMUSG00000090102.1 transcript:ENSMUST00000118300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4985 description:predicted gene 4985 [Source:MGI Symbol;Acc:MGI:3648554] MDLGHKQGLGAPCLKCKEKCSGFELHYWKKICCNCKCGQEEHDIILRNEEERKMERLLED TKYINLIAKLKLGAAFSDKHNVIIMSNPTDAKKNPSIDTVTYEWAPPVQSQELVKKYMEM LPKEKQPVSGSEGAKYLKKQLVKQLPEHDQDPSKCHALSPKEVKEMEQFVKQYKSEALGV GDVKLLSERNAQGDKVHNPDGERNTPEAVGSNDKSMEFKKTQYSCYCCKQSMKEGDAAIF AERAGYDKLWHPACFICSTCGEILVHMIYFWKNEKLYCGRHYCDSEKPRCADCDELIFRD EYTQAENQNWHLNHFCCFECNNILAGEIYVMVSDMPVCKPCYMKNHAVVCQGCHNPIDPE EQRVIYNNFTWHESTECFLCSCCSKCLMGQKFIPIEGMVFCSVECKNMMS >ENSMUSP00000119819.1 pep:known chromosome:GRCm38:7:41633203:41647548:1 gene:ENSMUSG00000074165.10 transcript:ENSMUST00000154942.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp788 description:zinc finger protein 788 [Source:MGI Symbol;Acc:MGI:1914857] MSEALKDLVVFEDVAVNFTEEEWAL >ENSMUSP00000097847.3 pep:known chromosome:GRCm38:7:41633531:41650878:1 gene:ENSMUSG00000074165.10 transcript:ENSMUST00000100275.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp788 description:zinc finger protein 788 [Source:MGI Symbol;Acc:MGI:1914857] MLEIYNNLACVGNKWEDQSMEDEHTNPRRSQRICKFERLYESTEGDQSGEIFTKTPTLTN IFPGLKSELDESGGMQYKCEQTWKTDLNSVTSIPIYTQNLSMSRSYNVCATSFGFPSSFG RYQETPVGEQHCEQKVKNCPSAKSFYIHEMNDNADIDNEHKPFGRAPSSFGSCESRGRIN TRKKPYECRLCSKSFMYPSLLQKHEITHSNEKPYGCLQCGKAFRNFSSLQKHKIAHTEEK PYKCHYCNKVFICQGSLQSHIRVHTGEKPYECKQCGKAFRVNSSFWRHKKVHTGEKPYEC KQCGKSFIYPSLLQTHERTHSAEKPYSCKQCGKAFKSHSSLRVHERNHTGKKPYECKQCG RSFIYPCLLQIHERTHSGVKPYGCKQCGKAFRRLSDLRVHERTHTGEKPYECKQCAKSFI NRYLLKMHQKSHTGEKPYKCKICSKAFVYPSLLKLHERSHTGEKPYPCKQCDKAFIFPSL LKLHERTHTGEKPYACKQCGEAFKSYNSLQRHKRIHTDVKAYVCKHCSKAFICQRSLQLH NRTHTGEKPYKCEQCGNSFRYHNSLQRHKIIHTGKNLYECKQCDRSFIYPYLLQIHDRTH SGGKPYECTQCGKSYIYPSLLRIHERTHSAEKPYSCKQCGKAFKSHSSLRVHERNHTGEK PYECKQCGRSFIYPCLLQTHERIHSGVKPYECKQCGKAFRGHSSFRVHKKLHSGEKPYEC KQCGKCFIYPSLLRMHERTHSSEKPYDCKQCGKAFKSRSSLREHERNHIEEKSYQCQQCG KIFRRYSSFQNHKQIHAIQKLNECKQCGKIFIYPSFLQRHERTHICERTLCM >ENSMUSP00000114542.1 pep:known chromosome:GRCm38:7:41633556:41648358:1 gene:ENSMUSG00000074165.10 transcript:ENSMUST00000131180.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp788 description:zinc finger protein 788 [Source:MGI Symbol;Acc:MGI:1914857] MSREGSQDTPGLGGITLVAVEDLVVFEDVAVNFTEEEWALLDSSQKHLYRDVMLEIYNNL ACVGNKWEDQSMEDEHTNPRRSQRICKFERLYESTEGDQSGEIFTKTPTLTNIFPGLKSE LDESGGMQYKCEQTWKTDL >ENSMUSP00000116050.1 pep:known chromosome:GRCm38:7:41633558:41648997:1 gene:ENSMUSG00000074165.10 transcript:ENSMUST00000140964.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp788 description:zinc finger protein 788 [Source:MGI Symbol;Acc:MGI:1914857] MLEIYNNLACVGNKWEDQSMEDEHTNPRRSQRICKFERLYESTEGDQSGEIFTKTPTLTN IFPGLKSELDESGGMQYKCEQTWKTDLNSVTSIPIYTQNLSMSRSYNVCATSFGFPSSFG RYQETPVGEQHCEQKVKNCPSAKSFYIHEMNDNADIDNEHKPFGRAPSSFGSCESRGRIN TRKKPYECRLCSKSFMYPSLLQKHEITHSNEKPYGCLQCGKAFRNFSSLQKHKIAHTEEK PYKCHYCNKVFICQGSLQSHIRVHTGEKPYECKQCGKAFRVNSSFWRHKKVHTGEKPYEC >ENSMUSP00000035499.7 pep:known chromosome:GRCm38:7:41633564:41650931:1 gene:ENSMUSG00000074165.10 transcript:ENSMUST00000045720.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp788 description:zinc finger protein 788 [Source:MGI Symbol;Acc:MGI:1914857] MDLVVFEDVAVNFTEEEWALLDSSQKHLYRDVMLEIYNNLACVGNKWEDQSMEDEHTNPR RSQRICKFERLYESTEGDQSGEIFTKTPTLTNIFPGLKSELDESGGMQYKCEQTWKTDLN SVTSIPIYTQNLSMSRSYNVCATSFGFPSSFGRYQETPVGEQHCEQKVKNCPSAKSFYIH EMNDNADIDNEHKPFGRAPSSFGSCESRGRINTRKKPYECRLCSKSFMYPSLLQKHEITH SNEKPYGCLQCGKAFRNFSSLQKHKIAHTEEKPYKCHYCNKVFICQGSLQSHIRVHTGEK PYECKQCGKAFRVNSSFWRHKKVHTGEKPYECKQCGKSFIYPSLLQTHERTHSAEKPYSC KQCGKAFKSHSSLRVHERNHTGKKPYECKQCGRSFIYPCLLQIHERTHSGVKPYGCKQCG KAFRRLSDLRVHERTHTGEKPYECKQCAKSFINRYLLKMHQKSHTGEKPYKCKICSKAFV YPSLLKLHERSHTGEKPYPCKQCDKAFIFPSLLKLHERTHTGEKPYACKQCGEAFKSYNS LQRHKRIHTDVKAYVCKHCSKAFICQRSLQLHNRTHTGEKPYKCEQCGNSFRYHNSLQRH KIIHTGKNLYECKQCDRSFIYPYLLQIHDRTHSGGKPYECTQCGKSYIYPSLLRIHERTH SAEKPYSCKQCGKAFKSHSSLRVHERNHTGEKPYECKQCGRSFIYPCLLQTHERIHSGVK PYECKQCGKAFRGHSSFRVHKKLHSGEKPYECKQCGKCFIYPSLLRMHERTHSSEKPYDC KQCGKAFKSRSSLREHERNHIEEKSYQCQQCGKIFRRYSSFQNHKQIHAIQKLNECKQCG KIFIYPSFLQRHERTHICERTLCM >ENSMUSP00000096108.2 pep:known chromosome:GRCm38:7:41633577:41651530:1 gene:ENSMUSG00000074165.10 transcript:ENSMUST00000098508.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp788 description:zinc finger protein 788 [Source:MGI Symbol;Acc:MGI:1914857] MSREGSQDTPGLGGITLVAVEDLVVFEDVAVNFTEEEWALLDSSQKHLYRDVMLEIYNNL ACVGNKWEDQSMEDEHTNPRRSQRICKFERLYESTEGDQSGEIFTKTPTLTNIFPGLKSE LDESGGMQYKCEQTWKTDLNSVTSIPIYTQNLSMSRSYNVCATSFGFPSSFGRYQETPVG EQHCEQKVKNCPSAKSFYIHEMNDNADIDNEHKPFGRAPSSFGSCESRGRINTRKKPYEC RLCSKSFMYPSLLQKHEITHSNEKPYGCLQCGKAFRNFSSLQKHKIAHTEEKPYKCHYCN KVFICQGSLQSHIRVHTGEKPYECKQCGKAFRVNSSFWRHKKVHTGEKPYECKQCGKSFI YPSLLQTHERTHSAEKPYSCKQCGKAFKSHSSLRVHERNHTGKKPYECKQCGRSFIYPCL LQIHERTHSGVKPYGCKQCGKAFRRLSDLRVHERTHTGEKPYECKQCAKSFINRYLLKMH QKSHTGEKPYKCKICSKAFVYPSLLKLHERSHTGEKPYPCKQCDKAFIFPSLLKLHERTH TGEKPYACKQCGEAFKSYNSLQRHKRIHTDVKAYVCKHCSKAFICQRSLQLHNRTHTGEK PYKCEQCGNSFRYHNSLQRHKIIHTGKNLYECKQCDRSFIYPYLLQIHDRTHSGGKPYEC TQCGKSYIYPSLLRIHERTHSAEKPYSCKQCGKAFKSHSSLRVHERNHTGEKPYECKQCG RSFIYPCLLQTHERIHSGVKPYECKQCGKAFRGHSSFRVHKKLHSGEKPYECKQCGKCFI YPSLLRMHERTHSSEKPYDCKQCGKAFKSRSSLREHERNHIEEKSYQCQQCGKIFRRYSS FQNHKQIHAIQKLNECKQCGKIFIYPSFLQRHERTHICERTLCM >ENSMUSP00000132848.1 pep:known chromosome:GRCm38:7:41647584:41650596:1 gene:ENSMUSG00000074165.10 transcript:ENSMUST00000170770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp788 description:zinc finger protein 788 [Source:MGI Symbol;Acc:MGI:1914857] MLEIYNNLACVGNKWEDQSMEDEHTNPRRSQRICKFERLYESTEGDQSGEIFTKTPTLTN IFPGLKSELDESGGMQYKCEQTWKTDLNSVTSIPIYTQNLSMSRSYNVCATSFGFPSSFG RYQETPVGEQHCEQKVKNCPSAKSFYIHEMNDNADIDNEHKPFGRAPSSFGSCESRGRIN TRKKPYECRLCSKSFMYPSLLQKHEITHSNEKPYGCLQCGKAFRNFSSLQKHKIAHTEEK PYKCHYCNKVFICQGSLQSHIRVHTGEKPYECKQCGKAFRVNSSFWRHKKVHTGEKPYEC KQCGKSFIYPSLLQTHERTHSAEKPYSCKQCGKAFKSHSSLRVHERNHTGKKPYECKQCG RSFIYPCLLQIHERTHSGVKPYGCKQCGKAFRRLSDLRVHERTHTGEKPYECKQCAKSFI NRYLLKMHQKSHTGEKPYKCKICSKAFVYPSLLKLHERSHTGEKPYPCKQCDKAFIFPSL LKLHERTHTGEKPYACKQCGEAFKSYNSLQRHKRIHTDVKAYVCKHCSKAFICQRSLQLH NRTHTGEKPYKCEQCGNSFRYHNSLQRHKIIHTGKNLYECKQCDRSFIYPYLLQIHDRTH SGGKPYECTQCGKSYIYPSLLRIHERTHSAEKPYSCKQCGKAFKSHSSLRVHERNHTGEK PYECKQCGRSFIYPCLLQTHERIHSGVKPYECKQCGKAFRGHSSFRVHKKLHSGEKPYEC KQCGKCFIYPSLLRMHERTHSSEKPYDCKQCGKAFKSRSSLREHERNHIEEKSYQCQQCG KIFRRYSSFQNHKQIHAIQKLNECKQCGKIFIYPSFLQRHERTHICERTLCM >ENSMUSP00000055344.1 pep:known chromosome:GRCm38:16:19514696:19515634:-1 gene:ENSMUSG00000045341.1 transcript:ENSMUST00000054606.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr167 description:olfactory receptor 167 [Source:MGI Symbol;Acc:MGI:3030001] MDSYNQTFTGFILLGLFPPSKIGLFLFILIVLIFLTAWIGNLSMILLILLDSHLHTPMYF LLSQLSLIDLNYISTIVPKMVSDFMLGNKYISFIGCGFQIFLFLTFGGAETLLLASMAYD RYVAICFPLHYATHMNKRVCVMMITGAWILGSINSCAHTGYALQIPYCRSRAINHFFCDV PAMLTLACTDTWVYEYTVFVSTILFLVFPFIGIVCSYGRVFLAIYRMHSRAGKKKAYSTC STHLTVVTFYYAPFAYTYLRPRSLRSPEEDKILAVFYTVLTPMLNPIIYSLRNKEVIGAL RRMTHRICFAKI >ENSMUSP00000139112.1 pep:known chromosome:GRCm38:2:26913383:26916300:-1 gene:ENSMUSG00000015790.16 transcript:ENSMUST00000183520.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Surf1 description:surfeit gene 1 [Source:MGI Symbol;Acc:MGI:98443] XRRMTRWSQWAYAGRAQFCAVRRSVFGFSVRSGMVCRPRRCCSSTAETAAAKAEDDSFLQ WFLLLIPATAFGLGTWQVQRRKWKLKLIAELESRVMAEPIPLPADPMELKNLEYRPVKVR GHFDHSKELYIMPRTMVDPVREARDAGRLSSTESGAHVVTPFHCSDLGVTILVNRGFVPR KKVNPETRQKGQVLGEVDLVGIVRLTENRKPFVPENSPERNHWYYRDLEAMAKITGADPI FIDADFRV >ENSMUSP00000128488.2 pep:known chromosome:GRCm38:2:26913383:26916468:-1 gene:ENSMUSG00000015790.16 transcript:ENSMUST00000167661.6 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Surf1 description:surfeit gene 1 [Source:MGI Symbol;Acc:MGI:98443] MAAVMALAVLPRRMTRWSQWAYAGRAQFCAVRRSVFGFSVRSGLLTPCQCCKTSSRDGL >ENSMUSP00000015934.7 pep:known chromosome:GRCm38:2:26913383:26916530:-1 gene:ENSMUSG00000015790.16 transcript:ENSMUST00000015934.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Surf1 description:surfeit gene 1 [Source:MGI Symbol;Acc:MGI:98443] MAAVMALAVLPRRMTRWSQWAYAGRAQFCAVRRSVFGFSVRSGMVCRPRRCCSSTAETAA AKAEDDSFLQWFLLLIPATAFGLGTWQVQRRKWKLKLIAELESRVMAEPIPLPADPMELK NLEYRPVKVRGHFDHSKELYIMPRTMVDPVREARDAGRLSSTESGAHVVTPFHCSDLGVT ILVNRGFVPRKKVNPETRQKGQVLGEVDLVGIVRLTENRKPFVPENSPERNHWYYRDLEA MAKITGADPIFIDADFHSTAPGGPIGGQTRVTLRNEHMQYILTWYGLCAATSYLWFQKFV RRTPIM >ENSMUSP00000141305.1 pep:known chromosome:GRCm38:2:26913577:26916320:-1 gene:ENSMUSG00000015790.16 transcript:ENSMUST00000129822.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Surf1 description:surfeit gene 1 [Source:MGI Symbol;Acc:MGI:98443] XMALAVLPRRMTRWSQWAYAGRAQFCAVRRSVFGFSVRSVL >ENSMUSP00000141238.1 pep:known chromosome:GRCm38:2:26913577:26916345:-1 gene:ENSMUSG00000015790.16 transcript:ENSMUST00000147110.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Surf1 description:surfeit gene 1 [Source:MGI Symbol;Acc:MGI:98443] MVCRPRRCCSSTAETAAAKAEDDSFLQWFLLLIPATAFGLGTWQVQRRKWKLKLIAELES RVMAEPIPLPADPMELKNLEYRPVKVRGHFDHSKELYIMPRTMVDPVREARDAGRLSSTE SGAHVVTPFHCSDLGVTILVNRGFVPRKKVNPETRQKGQVLGEVDLVGIVRLTENRKPFV PENSPERNHWYYRDLEAMAKITGADPIFIDADFHSTAPGGPIGGQTRVTLRNEHMQYILT >ENSMUSP00000141918.1 pep:known chromosome:GRCm38:2:26914858:26916332:-1 gene:ENSMUSG00000015790.16 transcript:ENSMUST00000129682.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Surf1 description:surfeit gene 1 [Source:MGI Symbol;Acc:MGI:98443] MVCRPRRCCSSTAETAAAKAEDDSFLQWFLLLIPATAFGLGTWQLMLFL >ENSMUSP00000141317.1 pep:known chromosome:GRCm38:2:26915457:26916345:-1 gene:ENSMUSG00000015790.16 transcript:ENSMUST00000133513.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Surf1 description:surfeit gene 1 [Source:MGI Symbol;Acc:MGI:98443] MVCRPRRCCSSTAETAAAKAEDDSFLQWFLLLIPATAFGLGTWQVQRRKWKLKLIAELES RVM >ENSMUSP00000077632.1 pep:known chromosome:GRCm38:16:19529980:19530918:-1 gene:ENSMUSG00000061361.1 transcript:ENSMUST00000078554.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr168 description:olfactory receptor 168 [Source:MGI Symbol;Acc:MGI:3030002] MEKWNQSSSDFILLGLLPQNQTGLLLMMLIILVFFLALFGNSAMIHLIRVDPRLHTPMYF LLSQLSLMDLMYISTTVPKMAFNFLSGQKNISFLGCGVQSFFFLTMAGSEGLLLASMAYD RFVAICHPLHYPIRMSKIMCLKMIIGSWILGSINSLAHSIYALHIPYCHSRSINHFFCDV PAMLPLACMDTWVYEYMVFVSTSLFLLLPFLGITASYGRVLFAVFHMRSKEGKKKAFTTC STHLTVVTFYYAPFVYTYLRPRSLRSPTEDKILTVFYTILTPMLNPIIYSLRNKEVLGAM TRVLGTFSSMKP >ENSMUSP00000079442.2 pep:known chromosome:GRCm38:4:61778324:61782269:-1 gene:ENSMUSG00000078673.10 transcript:ENSMUST00000080606.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup19 description:major urinary protein 19 [Source:MGI Symbol;Acc:MGI:3705235] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000103101.1 pep:known chromosome:GRCm38:4:61779439:61782224:-1 gene:ENSMUSG00000078673.10 transcript:ENSMUST00000107477.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup19 description:major urinary protein 19 [Source:MGI Symbol;Acc:MGI:3705235] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNASKSGFSYF SHPEYLS >ENSMUSP00000001456.4 pep:known chromosome:GRCm38:3:88328655:88334504:-1 gene:ENSMUSG00000001420.13 transcript:ENSMUST00000001456.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem79 description:transmembrane protein 79 [Source:MGI Symbol;Acc:MGI:1919163] MTEPETLALLDMKEPETPEKSPPQALVLQSEEEGGTESPGTESLRVGSSVGSPIVREGPE DGPDSTISEAATLPWGTDPHPSAPLPDPPGWRDIEPEPLESEAPTKSEEPFKEDANLLPE KTVRAFVPIDLQCIERKPQEERILHRDAGPGELRNFLPARLSHPEPPERKWAEAVVRPPG RSCGGCGSCGGREALRAVASVVAALIFFPCLLYGAYAFLPFDAPRLPTMSSRLVYTLRCG VFATFPIVLGLLVYGLSLLCFSALRPFGEPRREVEIHRQYVAQSVQLFILYFFNLAVLST YLPQDTLKLLPLLTGLFAISRLIYWLTFAVGRSFRGFGYGLTFLPLLAMLVWNLYYMFVV EPERMLTASESRLDYPDHARSVSDYRPRSWG >ENSMUSP00000103177.1 pep:known chromosome:GRCm38:3:88329046:88334268:-1 gene:ENSMUSG00000001420.13 transcript:ENSMUST00000107553.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem79 description:transmembrane protein 79 [Source:MGI Symbol;Acc:MGI:1919163] MTEPETLALLDMKEPETPEKSPPQALVLQSEEEGGTESPGTESLRVGSSVGSPIVREGPE DGPDSTISEAATLPWGTDPHPSAPLPDPPGWRDIEPEPLESEAPTKSEEPFKEDANLLPE KTVRAFVPIDLQCIERKPQEERILHRDAGPGELRNFLPARLSHPEPPERKWAEAVVRPPG RSCGGCGSCGGREALRAVASVVAALIFFPCLLYGAYAFLPFDAPRLPTMSSRLVYTLRCG VFATFPIVLGLLVYGLSLLCFSALRPFGEPRREVEIHRQYVAQSVQLFILYFFNLAVLST YLPQDTLKLLPLLTGLFAISRLIYWLTFAVGRSFRGFGYGLTFLPLLAMLVWNLYYMFVV EPERMLTASESRLDYPDHARSVSDYRPRSWG >ENSMUSP00000103176.1 pep:known chromosome:GRCm38:3:88329047:88334454:-1 gene:ENSMUSG00000001420.13 transcript:ENSMUST00000107552.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem79 description:transmembrane protein 79 [Source:MGI Symbol;Acc:MGI:1919163] MTEPETLALLDMKEPETPEKSPPQALVLQSEEEGGTESPGTESLRVGSSVGSPIVREGPE DGPDSTISEAATLPWGTDPHPSAPLPDPPGWRDIEPEPLESEAPTKSEEPFKEDANLLPE KTVRAFVPIDLQCIERKPQEERILHRDAGPGELRNFLPARLSHPEPPERKWAEAVVRPPG RSCGGCGSCGGREALRAVASVVAALIFFPCLLYGAYAFLPFDAPRLPTMSSRLVYTLRCG VFATFPIVLGLLVYGLSLLCFSALRPFGEPRREVEIHRQYVAQSVQLFILYFFNLAVLST YLPQDTLKLLPLLTGLFAISRLIYWLTFAVGRSFRGFGYGLTFLPLLAMLVWNLYYMFVV EPERMLTASESRLDYPDHARSVSDYRPRSWG >ENSMUSP00000141830.1 pep:known chromosome:GRCm38:3:88333138:88336148:-1 gene:ENSMUSG00000001420.13 transcript:ENSMUST00000193872.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem79 description:transmembrane protein 79 [Source:MGI Symbol;Acc:MGI:1919163] MTEPETLALLDMKEPETPEKSPPQALVLQSEEEGGTESPGTESLRVGSSVGSPIVREGPE DGPDSTISEAATLPWGTDPHPSAPLPDPPGWRDIEPEPLESEAPTKSEEPFKEDANLLPE KTVRAFVPIDLQCIERKPQEERILHRDAGPGELRNFLPARLSHPEPP >ENSMUSP00000093614.3 pep:known chromosome:GRCm38:13:27633380:27642464:-1 gene:ENSMUSG00000006488.16 transcript:ENSMUST00000095924.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl7a1 description:prolactin family 7, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1206572] MPLSFTQPCSSGALLLLVVSNLLLWENVACLPLSSNDTDDDPLSIKGLLDHAMILSKNIT DLNMELRRIFTISEMSAKLIDKFLSSSSSSDSYDQFMLEFLGQQELLTKNLTYCHKYSIK VPEDIEEAQNVISLEDFPILILSRMQAWNETLKNRINLSEGTPGIDDDILPIYKNIETKI AELLEDSKSILSQAYGATENVADYTLWSGLEDLQSSDEETRFLALCKLSYCLHVDIHTAN FYLQFLRCVALVNSDSCLSSKTGNDS >ENSMUSP00000006659.6 pep:known chromosome:GRCm38:13:27633380:27642474:-1 gene:ENSMUSG00000006488.16 transcript:ENSMUST00000006659.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl7a1 description:prolactin family 7, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1206572] MPLSFTQPCSWALLLLVVSNLLLWENVACLPLSSNDTDDDPLSIKGLLDHAMILSKNITD LNMELRRIFTISEMSAKLIDKFLSSSSSSDSYDQFMLEFLGQQELLTKNLTYCHKYSIKV PEDIEEAQNVISLEDFPILILSRMQAWNETLKNRINLSEGTPGIDDDILPIYKNIETKIA ELLEDSKSILSQAYGATENVADYTLWSGLEDLQSSDEETRFLALCKLSYCLHVDIHTANF YLQFLRCVALVNSDSCLSSKTGNDS >ENSMUSP00000033556.3 pep:known chromosome:GRCm38:X:97072596:97082104:1 gene:ENSMUSG00000031212.3 transcript:ENSMUST00000033556.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pgr15l description:G protein-coupled receptor 15-like [Source:MGI Symbol;Acc:MGI:2676330] MNTSFKGVSPFLSQMESAIDWLGENISWVPSIEDQSCNHTTQNGTNISSAEWGGPTGSVR RWLGGNPHIMAKIVLTFAYAVIIVISLFGNSLVCQVFVKHKEIKKSTGLLIFNLAISDIL IILLNSPFALARFLSGQWVFGRIMCHVSRFAQYCSLHVSTLTLMAVAMDRHRVILHPMKP RLTHSQCLFVVAMIWSIAVFLALPHAIYQNLFTLVNMDGDTRSYCLPSFPGPSILVSKYV DLGTFILLYILPLLVIVVTYSHLGKRLWIQNAIGDASARQLMAHYQKRKKSIRMLILIVL VFAVCWFPLNFYVVLISSAGVENDSVLFYAFHWFAMSSTCYNPFIYCWLNRSFRAKLRSI SSFRMQSLFVCSNSQVQQIKPQESHELRELQTSSLLRVPLAVPEPQVFEDPSLATGDNSQ VSVQGEWEDPDPTLDEEPGPSTRDTYFCIHMQTSSH >ENSMUSP00000080908.2 pep:known chromosome:GRCm38:4:61831319:61835233:-1 gene:ENSMUSG00000058523.2 transcript:ENSMUST00000082287.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup5 description:major urinary protein 5 [Source:MGI Symbol;Acc:MGI:104974] MKLLLLLCLELTLVYVHAEEASSEGQNLNVEKINGKWFSILLASDKREKIEEHGTMRVFV EHIDVLENSLAFKFHTVIDEECTEIYLVADKTEKAGEYSVTYDGFNTFTILKTDYDNYIM FHLINKKDEENFQLMELFGREPDLSSDIKEKFAKLCEEHGIVRENIIDLSNANRCLQARE >ENSMUSP00000135398.1 pep:known chromosome:GRCm38:3:88325023:88331313:1 gene:ENSMUSG00000001418.13 transcript:ENSMUST00000177005.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glmp description:glycosylated lysosomal membrane protein [Source:MGI Symbol;Acc:MGI:1913318] MFRCWGPHWGWVPCAPTPWLLLSLLVCSAPFGLQGEETRQVSMEVISGWPNPQNLLHIRA VGSNSTLHYVWSSLGPPAVVLVATNTTQSVLSVNWSLLLSPDPAGALMVLPKSSIQFSSA LVFTRLLEFDSTNASEGAQPPGKPYPPYSLAKFSWNNITNSLDLANLSADFQGRPVDDPT GAFANGSLTFKVQAFSRSGRPAQPPRLLHTADVCQLEVALVGASPRGNHSLFGLEVATLG QGPDCPSVNERNSIDDEYAPAVFQLNQLLWGSSPSGFMQWRPVAFSEEERARESALPCQA STLHSTLASSLPHSPIVQAFFGSQNNFCAFNLTFGAPTGPGYWDQYYLCWSMLLGMGFPP VDIFSPLVLGIMAVALGAPGLMFLGGGLFLLLRHRRYSEYQSIN >ENSMUSP00000120235.1 pep:known chromosome:GRCm38:3:88325072:88326467:1 gene:ENSMUSG00000001418.13 transcript:ENSMUST00000131666.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Glmp description:glycosylated lysosomal membrane protein [Source:MGI Symbol;Acc:MGI:1913318] MFRCWGPHWGWVPCAPTPWLLLSLLVCSAPFGLQGEETRQGEGLKEPREKITRRESILPP GRGTGLAQAA >ENSMUSP00000135263.1 pep:known chromosome:GRCm38:3:88325082:88328363:1 gene:ENSMUSG00000001418.13 transcript:ENSMUST00000176519.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glmp description:glycosylated lysosomal membrane protein [Source:MGI Symbol;Acc:MGI:1913318] MFRCWGPHWGWVPCAPTPWLLLSLLVCSAPFGLQGEETRQVSMEVISGWPNPQNLLHIRA VGSNSTLHYVWSSLGPPAVVLVATNTTQSVLSVNWSLLLSPDPAGALMVLPKSSIQFSSA LVFTRDSCSWGEAYFCCCATGGILSTSP >ENSMUSP00000001454.7 pep:known chromosome:GRCm38:3:88325082:88328368:1 gene:ENSMUSG00000001418.13 transcript:ENSMUST00000001454.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glmp description:glycosylated lysosomal membrane protein [Source:MGI Symbol;Acc:MGI:1913318] MFRCWGPHWGWVPCAPTPWLLLSLLVCSAPFGLQGEETRQVSMEVISGWPNPQNLLHIRA VGSNSTLHYVWSSLGPPAVVLVATNTTQSVLSVNWSLLLSPDPAGALMVLPKSSIQFSSA LVFTRVQAFSRSGRPAQPPRLLHTADVCQLEVALVGASPRGNHSLFGLEVATLGQGPDCP SVNERNSIDDEYAPAVFQLNQLLWGSSPSGFMQWRPVAFSEEERARESALPCQASTLHST LASSLPHSPIVQAFFGSQNNFCAFNLTFGAPTGPGYWDQYYLCWSMLLGMGFPPVDIFSP LVLGIMAVALGAPGLMFLGGGLFLLLRHRRYSEYQSIN >ENSMUSP00000135575.1 pep:known chromosome:GRCm38:3:88325082:88328368:1 gene:ENSMUSG00000001418.13 transcript:ENSMUST00000176425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glmp description:glycosylated lysosomal membrane protein [Source:MGI Symbol;Acc:MGI:1913318] MFRCWGPHWGWVPCAPTPWLLLSLLVCSAPFGLQGEETRQLLEFDSTNASEGAQPPGKPY PPYSLAKFSWNNITNSLDLANLSADFQGRPVDDPTGAFANGSLTFKVQAFSRSGRPAQPP RLLHTADVCQLEVALVGASPRGNHSLFGLEVATLGQGPDCPSVNERNSIDDEYAPAVFQL NQLLWGSSPSGFMQWRPVAFSEEERARESALPCQASTLHSTLASSLPHSPIVQAFFGSQN NFCAFNLTFGAPTGPGYWDQYYLCWSMLLGMGFPPVDIFSPLVLGIMAVALGAPGLMFLG GGLFLLLRHRRYSEYQSIN >ENSMUSP00000134809.1 pep:known chromosome:GRCm38:3:88328073:88328581:1 gene:ENSMUSG00000001418.13 transcript:ENSMUST00000154381.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glmp description:glycosylated lysosomal membrane protein [Source:MGI Symbol;Acc:MGI:1913318] PTGPGYWDQYYLCCLLFKPSCSRSMLLGMGFPPVDIFSPLVLGIMAVALGAPGLMFLGGG LFLLLRHRRYSEYQSIN >ENSMUSP00000012348.2 pep:known chromosome:GRCm38:3:107981702:107986453:-1 gene:ENSMUSG00000040562.12 transcript:ENSMUST00000012348.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm2 description:glutathione S-transferase, mu 2 [Source:MGI Symbol;Acc:MGI:95861] MPMTLGYWDIRGLAHAIRLLLEYTDTSYEDKKYTMGDAPDYDRSQWLSEKFKLGLDFPNL PYLIDGSHKITQSNAILRYLARKHNLCGETEEERIRVDILENQAMDTRIQLAMVCYSPDF EKKKPEYLEGLPEKMKLYSEFLGKQPWFAGNKVTYVDFLVYDVLDQHRIFEPKCLDAFPN LKDFMGRFEGLKKISDYMKSSRFLSKPIFAKMAFWNPK >ENSMUSP00000066675.6 pep:known chromosome:GRCm38:3:107981947:107986360:-1 gene:ENSMUSG00000040562.12 transcript:ENSMUST00000066530.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm2 description:glutathione S-transferase, mu 2 [Source:MGI Symbol;Acc:MGI:95861] MGDAPDYDRSQWLSEKFKLGLDFPNLPYLIDGSHKITQSNAILRYLARKHNLCGETEEER IRVDILENQAMDTRIQLAMVCYSPDFEKKKPEYLEGLPEKMKLYSEFLGKQPWFAGNKVT YVDFLVYDVLDQHRIFEPKCLDAFPNLKDFMGRFEGLKKISDYMKSSRFLSKPIFAKMAF WNPK >ENSMUSP00000137684.2 pep:known chromosome:GRCm38:7:4753226:4771302:-1 gene:ENSMUSG00000092518.9 transcript:ENSMUST00000163574.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam71e2 description:family with sequence similarity 71, member E2 [Source:MGI Symbol;Acc:MGI:3045311] MAPAVMRRLWRFFFPPPPPEPPKWVPILGEMQKTLQMAEYLPLRPLPMFESNFTQVTNNG TPALLHNKSNKLTMGVAASLPGLMLPDILLIARPPEDEECSSLILTSYLVSHLPQDDPTR PCPPVCR >ENSMUSP00000133885.2 pep:known chromosome:GRCm38:7:4753229:4771302:-1 gene:ENSMUSG00000092518.9 transcript:ENSMUST00000174409.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71e2 description:family with sequence similarity 71, member E2 [Source:MGI Symbol;Acc:MGI:3045311] MAPAVMRRLWRFFFPPPPPEPPKWVPILGEMQKTLQMAEYLPLRPLPMFESNFTQVTNNG TPALLHNKSNKLTMGVAASLPGLMLPDILLIARPPEDEECSSLILTRMIPLDLARLYVDD LPTWRLKLRLRSGRHYFLALDAPEHELAFLFDRWIRLINMLRGPKLSKTPKTEPSVLEIP PEVTLASTWHFQVQSQGKLTVEVADPTFPCKIFSSHKPKTKMKLTKPIKHSLRSQAVGDS VPLIWSQLQSSEDQMQVTKTKSYPHACSDRSEPLIHVSDKASITIRTIFSIISNTINQAH KSDSEEDTSQGRLMETPSKCLSQNSTGFPVTVSSNQLDTLLWAQNLEDLMNPEYATLSSL NTSHPPAFYIFPPNPSFLKSKDKARPTGSKKHVSPLSSHKAACVPIESRKMPFILDQSNK VPAKPAPAQMASALPTPSRKTPTALWSRGKASGALGHFFKSQPSVSQKTPTTPGQPLKPQ VITTPTQKTLTPNQKLLQAPAHSQKAMHTKKDHLIVNIRSQKDPTAPYQKALNSRAKASG DPRTLQGGGVLERKTEGKQEPVLLVGGQNTTVVDVRAQTMPLHLPFATTKKQSKEILISE TQEALKGREKLEDCAHKMKETTVNLPNLKSKETEMQKRVLTEEIAVENPYTEDNRPFSAE GLALAKMKIMANSKHQRLKPATISLPSSFSVASNVSSMLANLPFDTSQTTFSDGSQVVVI EQSGSCTKVNTENTRHQMERKPAEDLPVSSNPRI >ENSMUSP00000087516.1 pep:known chromosome:GRCm38:16:19565940:19566881:-1 gene:ENSMUSG00000068535.1 transcript:ENSMUST00000090062.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr169 description:olfactory receptor 169 [Source:MGI Symbol;Acc:MGI:3030003] MEYENYTFNSDFILLGLFSSSKTSLTFFSFIFFIFIMAITENALMILLIHRDSRLHTPMY FLLSHLSFMDILHISNIVPKMIADFLSGSRTISFAGCAFQIFLSLTLLGGECLLLAAMSY DRYVAICHPLRYPVLMRDNSSRLLAAGSWLVGILNSIVHTVFALHFPFCHSRAIDHFFCE VPAMLKLSCIDTTHYERGVYVSGIIFLLIPFSMISISYVQILLTVFQMQSSGARQKSFST CSFHMVVVIMYYGPFIFTYMRPRSYHTPGQDKFLAIFYTILTPTLNPIIYSFRNKDVLMA VKNIVQSNFLNKK >ENSMUSP00000033642.3 pep:known chromosome:GRCm38:X:143855842:143933311:-1 gene:ENSMUSG00000031285.14 transcript:ENSMUST00000033642.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcx description:doublecortin [Source:MGI Symbol;Acc:MGI:1277171] MELDFGHFDERDKASRNMRGSRMNGLPSPTHSAHCSFYRTRTLQALSNEKKAKKVRFYRN GDRYFKGIVYAVSSDRFRSFDALLADLTRSLSDNINLPQGVRYIYTIDGSRKIGSMDELE EGESYVCSSDNFFKKVEYTKNVNPNWSVNVKTSANMKAPQSLASSNSAQARENKDFVRPK LVTIIRSGVKPRKAVRVLLNKKTAHSFEQVLTDITEAIKLETGVVKKLYTLDGKQVTCLH DFFGDDDVFIACGPEKFRYAQDDFSLDENECRVMKGNPSAAAGPKASPTPQKTSAKSPGP MRRSKSPADSGNDQDANGTSSSQLSTPKSKQSPISTPTSPGSLRKHKVDLYLPLSLDDSD SLGDSM >ENSMUSP00000108472.1 pep:known chromosome:GRCm38:X:143860711:143933204:-1 gene:ENSMUSG00000031285.14 transcript:ENSMUST00000112851.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcx description:doublecortin [Source:MGI Symbol;Acc:MGI:1277171] MELDFGHFDERDKASRNMRGSRMNGLPSPTHSAHCSFYRTRTLQALSNEKKAKKVRFYRN GDRYFKGIVYAVSSDRFRSFDALLADLTRSLSDNINLPQGVRYIYTIDGSRKIGSMDELE EGESYVCSSDNFFKKVEYTKNVNPNWSVNVKTSANMKAPQSLASSNSAQARENKDFVRPK LVTIIRSGVKPRKAVRVLLNKKTAHSFEQVLTDITEAIKLETGVVKKLYTLDGKQVTCLH DFFGDDDVFIACGPEKFRYAQDDFSLDENECRVMKGNPSAAAGPKASPTPQKTSAKSPGP MRRSKSPADSGNDQDANGTSSSQLSTPKSKQSPISTPTSPGSLRKHKDLYLPLSLDDSDS LGDSM >ENSMUSP00000084570.3 pep:known chromosome:GRCm38:X:143861782:143933124:-1 gene:ENSMUSG00000031285.14 transcript:ENSMUST00000087313.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcx description:doublecortin [Source:MGI Symbol;Acc:MGI:1277171] MELDFGHFDERDKASRNMRGSRMNGLPSPTHSAHCSFYRTRTLQALSNEKKAKKVRFYRN GDRYFKGIVYAVSSDRFRSFDALLADLTRSLSDNINLPQGVRYIYTIDGSRKIGSMDELE EGESYVCSSDNFFKKVEYTKNVNPNWSVNVKTSANMKAPQSLASSNSAQARENKDFVRPK LVTIIRSGVKPRKAVRVLLNKKTAHSFEQVLTDITEAIKLETGVVKKLYTLDGKQVTCLH DFFGDDDVFIACGPEKFRYAQDDFSLDENECRVMKGNPSAAAGPKASPTPQKTSAKSPGP MRRSKSPADSGNDQDANGTSSSQLSTPKSKQSPISTPTSPGSLRKHKVDLYLPLSLDDSD SLGDSM >ENSMUSP00000108477.2 pep:known chromosome:GRCm38:X:143863473:143933219:-1 gene:ENSMUSG00000031285.14 transcript:ENSMUST00000112856.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcx description:doublecortin [Source:MGI Symbol;Acc:MGI:1277171] MELDFGHFDERDKASRNMRGSRMNGLPSPTHSAHCSFYRTRTLQALSNEKKAKKVRFYRN GDRYFKGIVYAVSSDRFRSFDALLADLTRSLSDNINLPQGVRYIYTIDGSRKIGSMDELE EGESYVCSSDNFFKKVEYTKNVNPNWSVNVKTSANMKAPQSLASSNSAQARENKDFVRPK LVTIIRSGVKPRKAVRVLLNKKTAHSFEQVLTDITEAIKLETGVVKKLYTLDGKQVTCLH DFFGDDDVFIACGPEKFRYAQDDFSLDENECRVMKGNPSAAAGPKASPTPQKTSAKSPGP MRRSKSPADSANGTSSSQLSTPKSKQSPISTPTSPGSLRKHKDLYLPLSLDDSDSLGDSM >ENSMUSP00000112772.1 pep:known chromosome:GRCm38:X:101532734:101601034:1 gene:ENSMUSG00000031314.17 transcript:ENSMUST00000118878.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf1 description:TAF1 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1336878] MGPGWAGLLQDKGGGSPSVVMSDTDSDEESAGGGPFSLTGFLFGNINGAGQLEGESVLDD ECKKHLAGLGALGLGSLITELTANEELSGSDGALVNDEGWIRSREDAVDYSDINEVAEDE SRRYQQTMGSLQPLCHTDYDEDDYDADCEDIDCKLMPPPPPPPGPLKKEKDQDDITGEKV DFSSSSDSESEMGPQDAAQSESKDGQLTLPLAGIMQHDATKLLPSVTELFPEFRPGKVLR FLRLFGPGKNVPSVWRSARRKRKKKHRELIQEGQVQEEECSVELEVNQKSLWNYDYAPPP LPDQCLSDDEITMMAPVESKFSQSTGDTDKVMDTKPRVAEWRYGPARLWYDMLGVPEDGS GFDYGFKMKKTEHESTIKCNIMKKLRKLEENSGVDLLADENFLMVTQLHWEDDIIWDGED VKHKGTKPQRASLAGWLPSSMTRNAMAYNVQQGFTATLDDDKPWYSIFPIDNEDLVYGRW EDNIIWDAQNMPRILEPPVLTLDPNDENLILEIPDEKEEATSNSPSKENKKESSLKKSRI LLGKTGVIKEEPQQNMSQPEVKDPWNLSNDEYYYPKQQGLRGTFGGNIIQHSIPAVELRQ PFFPTHMGPIKLRQFHRPPLKKYSFGALSQPGPHSVQPLLKHIKKKAKMREQERQASGGG EMFFMRTPQDLTGKDGDLILAEYSEENGPLMMQVGMATKIKNYYKRKPGKDPGAPDCKYG ETVYCHTSPFLGSLHPGQLLQAFENNLFRAPIYLHKMPESDFLIIRTRQGYFIRELVDIF VVGQQCPLFEVPGPNSKRANTHIRDFLQVFIYRLFWKSKDRPRRIRMEDIKKAFPSHSES SIRKRLKLCADFKRTGMDSNWWVLKSDFRLPTEEEIRAMVSPEQCCAYYSMIAAEQRLKD AGYGEKSFFAPEEENEEDFQMKIDDEVRTAPWNTTRAFIAAMKGKCLLEVTGVADPTGCG EGFSYVKIPNKPTQQKDDKEPQPVKKTVTGTDADLRRLSLKNAKQLLRKFGVPEEEIKKL SRWEVIDVVRTMSTEQARSGEGPMSKFARGSRFSVAEHQERYKEECQRIFDLQNKVLSST EVLSTDTDSSSAEDSDFEEMGKNIENMLQNKKTSSQLSREREEQERKELQRMLLAAGSAA AGNNHRDDDTASVTSLNSSATGRCLKIYRTFRDEEGKEYVRCETVRKATVIDAYVRIRTT KDEEFIRKFALFDEQHREEMRKERRRIQEQLRRLKRNQEKEKLKGPPEKKPKKMKERPDL KLKCGACGAIGHMRTNKFCPLYYQTNAPPSNPVAMTEEQEEELEKTVIHNDNEELIKVEG TKIVLGKQLIESADEVRRKSLVLKFPKQQLPPKKKRRVGTTVHCDYLNRPHKSIHRRRTD PMVTLSSILESIINDMRDLPNTYPFHTPVNAKVVKDYYKIITRPMDLQTLRENVRKRLYP SREEFREHLELIVKNSATYNGPKHSLTQISQSMLDLCDEKLKEKEDKLARLEKAINPLLD DDDQVAFSFILDNIVTQKMMAVPDSWPFHHPVNKKFVPDYYKVIVSPMDLETIRKNISKH KYQSRESFLDDVNLILANSVKYNGPESQYTKTAQEIVNVCHQTLTEYDEHLTQLEKDICT AKEAALEEAELESLDPMTPGPYTPQPPDLYDNNTSLSVSRDASVYQDESNLSVLDIPSAT SEKQLTQEGGDGDGDLADEEEGTVQQPQASVLYEDLLMSEGEDDEEDAGSDEEGDNPFFA IQLSESGSDSDVESGSLRPKQPRVLQENTRMGMENEESMMSYEGDGGDASRGLEDSNISY GSYEEPDPKSNTQDTSFSSIGGYEVSEEEEDEEEQRSGPSVLSQVHLSEDEEDSEDFHSI AGDTDLDSDE >ENSMUSP00000114765.1 pep:known chromosome:GRCm38:X:101532759:101549199:1 gene:ENSMUSG00000031314.17 transcript:ENSMUST00000149274.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf1 description:TAF1 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1336878] MGPGWAGLLQDKGGGSPSVVMSDTDSDEESAGGGPFSLTGFLFGNINGAGQLEGESVLDD ECKKHLAGLGALGLGSLITELTANEELSGSDGALVNDEGWIRSREDAVDYSDINEVAEDE SRRYQQTMGSLQPLCHTDYDEDDYDADCEDIDCKLMPPPPPPPGPLKKEKDQDDITGVSE DGEGIILPSIIAPSSLASEKVDFSSSSDSESEMGPQDAAQSESKDGQLTLPLAGIMQHDA TKLLPSVTELFPEFRPGKVLRFLRLFGPGKNVPSVWRSARRKRKKKHRELIQEGQVQEEE CSVELEVNQKSLWNYDYAPPPLPDQCLSDDEITMMAPVESKFSQSTGDTDKVMDTKPRVA EWRYGPARLWYDMLGVPEDGSGFDYGFKMKKTEHESTIKCNIMKKLRKLEENSGVDLLAD ENFLMVTQLHWEDDIIWDGEDVKHKGTKPQRASLAGWLPSSMTRNAMAYNVQQGFTATLD DDKPWYSIFPIDNEDLVYGRWEDNIIWDAQNMPRILEPPVLTLDPNDENLILEIPDEKEE ATSNSPSKENKKESSLKKSRILLGKTGVIKEEPQQNMSQPEVKDPWNLSNDEYYYPKQQG LRGTFGGNIIQHSIPAVELRQPFFPTHMGPIKLRQFHRPPLKKYSFGALSQPGPHSVQPL LKHIKKKAKMREQERQASGGGEMFFMRTPQDLTGKDGDLILAEYSEENGP >ENSMUSP00000138159.1 pep:known chromosome:GRCm38:X:101532759:101601034:1 gene:ENSMUSG00000031314.17 transcript:ENSMUST00000143908.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Taf1 description:TAF1 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1336878] MGPGWAGLLQDKGGGSPSVVMSDTDSDEESAGGGPFSLTGFLFGNINGAGQLEGESVLDD ECKKHLAGLGALGLGSLITELTANEELSGSDGALVNDEGWIRSREDAVDYSDINEVAEDE SRRYQQTMGSLQPLCHTDYDEDDYDADCEDIDCKLMPPPPPPPGPLKKEKDQDDITGVSE DGEGIILPSIIAPSSLASEKVDFSSSSDSESEMGPQDAAQSESKDGQLTLPLAGIMQHDA TKLLPSVTELFPEFRPGKVLRFLRLFGPGKNVPSVWRSARRKRKKKHRELIQEGQVQEEE CSVELEVNQKSLWNYDYAPPPLPDQCLSDDEITMMAPVESKFSQSTGDTDKVMDTKPRVA EWRYGPARLWYDMLGVPEDGSGFDYGFKMKKTEHESTIKCNIMKKLRKLEENSGVDLLAD ENFLMVTQLHWEDDIIWDGEDVKHKGTKPQRASLAGWLPSSMTRNAMAYNVQQGFTATLD DDKPWYSIFPIDNEDLVYGRWEDNIIWDAQNMPRILEPPVLTLDPNDENLILEIPDEKEE ATSNSPSKENKKESSLKKSRILLGKTGVIKEEPQQNMSQPEVKDPWNLSNDEYYYPKQQG LRGTFGGNIIQHSIPAVELRQPFFPTHMGPIKLRQFHRPPLKKYSFGALSQPGPHSVQPL LKHIKKKAKMREQERQASGGGEMFFMRTPQDLTGKDGDLILAEYSEENGPLMMQVGMATK IKNYYKRKPGKDPGAPDCKYGETVYCHTSPFLGSLHPGQLLQAFENNLFRAPIYLHKMPE SDFLIIRTRQGYFIRELVDIFVVGQQCPLFEVPGPNSKRANTHIRDFLQVFIYRLFWKSK DRPRRIRMEDIKKAFPSHSESSIRKRLKLCADFKRTGMDSNWWVLKSDFRLPTEEEIRAM VSPEQCCAYYSMIAAEQRLKDAGYGEKSFFAPEEENEEDFQMKIDDEVRTAPWNTTRAFI AAMKGKCLLEVTGVADPTGCGEGFSYVKIPNKPTQQKDDKEPQPVKKTVTGTDADLRRLS LKNAKQLLRKFGVPEEEIKKLSRWEVIDVVRTMSTEQARSGEGPMSKFARGSRFSVAEHQ ERYKEECQRIFDLQNKVLSSTEVLSTDTDSSSAEDSDFEEMGKNIENMLQNKKTSSQLSR EREEQERKELQRMLLAAGSAAAGNNHRDDDTASVTSLNSSATGRCLKIYRTFRDEEGKEY VRCETVRKATVIDAYVRIRTTKDEEFIRKFALFDEQHREEMRKERRRIQEQLRRLKRNQE KEKLKGPPEKKPKKMKERPDLKLKCGACGAIGHMRTNKFCPLYYQTNAPPSNPVAMTEEQ EEELEKTVIHNDNEELIKVEGTKIVLGKQLIESADEVRRKSLVLKFPKQQLPPKKKRRVG TTVHCDYLNRPHKSIHRRRTDPMVTLSSILESIINDMRDLPNTYPFHTPVNAKVVKDYYK IITRPMDLQTLRENVRKRLYPSREEFREHLELIVKNSATYNGPKHSLTQISQSMLDLCDE KLKEKEDKLARLEKAINPLLDDDDQVAFSFILDNIVTQKMMAVPDSWPFHHPVNKKFVPD YYKVIVSPMDLETIRKNISKHKYQSRESFLDDVNLILANSVKYNGPESQYTKTAQEIVNV CHQTLTEYDEHLTQLEKDICTAKEAALEEAELESLDPMTPGPYTPQPPDLYDNNTSLSVS RDASVYQDESNLSVLDIPSATSEKQLTQVECRLGEEFSGVDIEGYQEDGNLRL >ENSMUSP00000098895.2 pep:known chromosome:GRCm38:X:101532741:101601789:1 gene:ENSMUSG00000031314.17 transcript:ENSMUST00000101341.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Taf1 description:TAF1 RNA polymerase II, TATA box binding protein (TBP)-associated factor [Source:MGI Symbol;Acc:MGI:1336878] MGPGWAGLLQDKGGGSPSVVMSDTDSDEESAGGGPFSLTGFLFGNINGAGQLEGESVLDD ECKKHLAGLGALGLGSLITELTANEELSGSDGALVNDEGWIRSREDAVDYSDINEVAEDE SRRYQQTMGSLQPLCHTDYDEDDYDADCEDIDCKLMPPPPPPPGPLKKEKDQDDITGEKV DFSSSSDSESEMGPQDAAQSESKDGQLTLPLAGIMQHDATKLLPSVTELFPEFRPGKVLR FLRLFGPGKNVPSVWRSARRKRKKKHRELIQEGQVQEEECSVELEVNQKSLWNYDYAPPP LPDQCLSDDEITMMAPVESKFSQSTGDTDKVMDTKPRVAEWRYGPARLWYDMLGVPEDGS GFDYGFKMKKTEHESTIKCNIMKKLRKLEENSGVDLLADENFLMVTQLHWEDDIIWDGED VKHKGTKPQRASLAGWLPSSMTRNAMAYNVQQGRPCIKKPTSQTKQNTHTQNSISQPSGS LHTTLDDDKPWYSIFPIDNEDLVYGRWEDNIIWDAQNMPRILEPPVLTLDPNDENLILEI PDEKEEATSNSPSKENKKESSLKKSRILLGKTGVIKEEPQQNMSQPEVKDPWNLSNDEYY YPKQQGLRGTFGGNIIQHSIPAVELRQPFFPTHMGPIKLRQFHRPPLKKYSFGALSQPGP HSVQPLLKHIKKKAKMREQERQASGGGEMFFMRTPQDLTGKDGDLILAEYSEENGPLMMQ VGMATKIKNYYKRKPGKDPGAPDCKYGETVYCHTSPFLGSLHPGQLLQAFENNLFRAPIY LHKMPESDFLIIRTRQGYFIRELVDIFVVGQQCPLFEVPGPNSKRANTHIRDFLQVFIYR LFWKSKDRPRRIRMEDIKKAFPSHSESSIRKRLKLCADFKRTGMDSNWWVLKSDFRLPTE EEIRAMVSPEQCCAYYSMIAAEQRLKDAGYGEKSFFAPEEENEEDFQMKIDDEVRTAPWN TTRAFIAAMKGKCLLEVTGVADPTGCGEGFSYVKIPNKPTQQKDDKEPQPVKKTVTGTDA DLRRLSLKNAKQLLRKFGVPEEEIKKLSRWEVIDVVRTMSTEQARSGEGPMSKFARGSRF SVAEHQERYKEECQRIFDLQNKVLSSTEVLSTDTDSSSAEDSDFEEMGKNIENMLQNKKT SSQLSREREEQERKELQRMLLEADGEAAGSAAAGNNHRDDDTASVTSLNSSATGRCLKIY RTFRDEEGKEYVRCETVRKATVIDAYVRIRTTKDEEFIRKFALFDEQHREEMRKERRRIQ EQLRRLKRNQEKEKLKGPPEKKPKKMKERPDLKLKCGACGAIGHMRTNKFCPLYYQTNAP PSNPVAMTEEQEEELEKTVIHNDNEELIKVEGTKIVLGKQLIESADEVRRKSLVLKFPKQ QLPPKKKRRVGTTVHCDYLNRPHKSIHRRRTDPMVTLSSILESIINDMRDLPNTYPFHTP VNAKVVKDYYKIITRPMDLQTLRENVRKRLYPSREEFREHLELIVKNSATYNGPKHSLTQ ISQSMLDLCDEKLKEKEDKLARLEKAINPLLDDDDQVAFSFILDNIVTQKMMAVPDSWPF HHPVNKKFVPDYYKVIVSPMDLETIRKNISKHKYQSRESFLDDVNLILANSVKYNGPESQ YTKTAQEIVNVCHQTLTEYDEHLTQLEKDICTAKEAALEEAELESLDPMTPGPYTPQPPD LYDNNTSLSVSRDASVYQDESNLSVLDIPSATSEKQLTQEGGDGDGDLADEEEGTVQQPQ ASVLYEDLLMSEGEDDEEDAGSDEEGDNPFFAIQLSESGSDSDVESGSLRPKQPRVLQEN TRMGMENEESMMSYEGDGGDASRGLEDSNISYGSYEEPDPKSNTQDTSFSSIGGYEVSEE EEDEEEQRSGPSVLSQVHLSEDEEDSEDFHSIAGDTDLDSDE >ENSMUSP00000062212.7 pep:known chromosome:GRCm38:15:56665627:56694539:-1 gene:ENSMUSG00000022367.7 transcript:ENSMUST00000050544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Has2 description:hyaluronan synthase 2 [Source:MGI Symbol;Acc:MGI:107821] MHCERFLCVLRIIGTTLFGVSLLLGITAAYIVGYQFIQTDNYYFSFGLYGAFLASHLIIQ SLFAFLEHRKMKKSLETPIKLNKTVALCIAAYQEDPDYLRKCLQSVKRLTYPGIKVVMVI DGNSDDDLYMMDIFSEVMGRDKSATYIWKNNFHEKGPGETEESHKESSQHVTQLVLSNKS ICIMQKWGGKREVMYTAFRALGRSVDYVQVCDSDTMLDPASSVEMVKVLEEDPMVGGVGG DVQILNKYDSWISFLSSVRYWMAFNIERACQSYFGCVQCISGPLGMYRNSLLHEFVEDWY NQEFMGNQCSFGDDRHLTNRVLSLGYATKYTARSKCLTETPIEYLRWLNQQTRWSKSYFR EWLYNAMWFHKHHLWMTYEAVITGFFPFFLIATVIQLFYRGKIWNILLFLLTVQLVGLIK SSFASCLRGNIVMVFMSLYSVLYMSSLLPAKMFAIATINKAGWGTSGRKTIVVNFIGLIP VSVWFTILLGGVIFTIYKESKKPFSESKQTVLIVGTLIYACYWVMLLTLYVVLINKCGRR KKGQQYDMVLDV >ENSMUSP00000119460.1 pep:known chromosome:GRCm38:X:41794991:41814246:-1 gene:ENSMUSG00000037475.15 transcript:ENSMUST00000124458.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc2 description:THO complex 2 [Source:MGI Symbol;Acc:MGI:2442413] SLKSPVPRSDISEPDREQKRRKIDSHPSPSHSSTVKVTDILPKVPLGSENYASSPVISIH FLQDSLIDLKDSSAKLYINHNPPPLSKSKEREMDKKDLDKSRERSREREKKDEKDRKERK RDHSNNDREVPPDITKRRKEENGTMGVSKHKSESPCESQYPNEKDKEKNKSKSSGKEKSS SDSFKSEKMDKISSGGKKESRHDKEKIEKKEKRDSSGGKEEKKHHKSSDKHR >ENSMUSP00000044677.8 pep:known chromosome:GRCm38:X:41794991:41911901:-1 gene:ENSMUSG00000037475.15 transcript:ENSMUST00000047037.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc2 description:THO complex 2 [Source:MGI Symbol;Acc:MGI:2442413] MAAAAVVVPAEWIKNWEKSGRGEFLHLCRILSENKSHDSSTYRDFQQALYELSYHVIKGN LKHEQASSVLNDISEFREDMPSILADVFCILDIETNCLEEKSKRDYFTQLVLACLYLVSD TVLKERLDPETLESLGLIKQSQQFNQKSVKIKTKLFYKQQKFNLLREENEGYAKLIAELG QDLSGNITSDLILENIKSLIGCFNLDPNRVLDVILEVFECRPEHDDFFISLLESYMSMCE PQTLCHILGFKFKFYQEPSGETPSSLYRVAAVLLQFNLIDLDDLYVHLLPADNCIMDEYK REIVEAKQIVRKLTMVVLSSEKLDERDKEKDKDDEKVEKPPDNQKLGLLEALLKVGDWQH AQNIMDQMPPYYAASHKLIALAICKLIHITVEPLYRRVGVPKGAKGSPVSALQNKRAPKQ VESFEDLRRDVFNMFCYLGPHLSHDPILFAKVVRIGKSFMKEFQSDGSKQEDKEKTEVIL SCLLSITDQVLLPSLSLMDCNACMSEELWGMFKTFPYQHRYRLYGQWKNETYNGHPLLVK VKAQTIDRAKYIMKRLTKENVKPSGRQIGKLSHSNPTILFDYILSQIQKYDNLITPVVDS LKYLTSLNYDVLAYCIIEALANPEKERMKHDDTTISSWLQSLASFCGAVFRKYPIDLAGL LQYVANQLKAGKSFDLLILKEVVQKMAGIEITEEMTMEQLEAMTGGEQLKAEGGYFGQIR NTKKSSQRLKDALLDHDLALPLCLLMAQQRNGVIFQEGGEKHLKLVGKLYDQCHDTLVQF GGFLASNLSTEDYIKRVPSIDVLCNEFHTPHDAAFFLSRPMYAHHISSKYDELKKSEKGS KQQHKVHKYITSCEMVMAPVHEAVVSLHVSKVWDDISPQFYATFWSLTMYDLAVPHTSYE REVNKLKVQMKAIDDNQEMPPNKKKKEKERCTALQDKLLEEEKKQMEHVQRVLQRLKLEK DNWLLAKSTKNETITKFLQLCIFPRCIFSAIDAVYCARFVELVHQQKTPNFSTLLCYDRV FSDIIYTVASCTENEASRYGRFLCCMLETVTRWHSDRATYEKECGNYPGFLTILRATGFD GGNKADQLDYENFRHVVHKWHYKLTKASVHCLETGEYTHIRNILIVLTKILPWYPKVLNL GQALERRVNKICQEEKEKRPDLYALAMGYSGQLKSRKSHMIPENEFHHKDPPPRNAVASV QNGPGGGTSSSSIGNASKSDESGAEETDKSRERSQCGTKAVNKASSTTPKGNSSNGNSGS NSNKAVKENDKEKVKEKEKEKKEKTPATTPEARALGKDSKEKPKEERPNKEDKARETKER TPKSDKEKEKFKKEEKAKDEKFKTTVPIVESKSTQEREREKEPSRERDVAKEMKSKENVK GGEKTPVSGSLKSPVPRSDISEPDREQKRRKIDSHPSPSHSSTVKDSLIDLKDSSAKLYI NHNPPPLSKSKEREMDKKDLDKSRERSREREKKDEKDRKERKRDHSNNDREVPPDITKRR KEENGTMGVSKHKSESPCESQYPNEKDKEKNKSKSSGKEKSSSDSFKSEKMDKISSGGKK ESRHDKEKIEKKEKRDSSGGKEEKKHHKSSDKHR >ENSMUSP00000115815.1 pep:known chromosome:GRCm38:X:41797110:41814620:-1 gene:ENSMUSG00000037475.15 transcript:ENSMUST00000151430.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Thoc2 description:THO complex 2 [Source:MGI Symbol;Acc:MGI:2442413] XVKENDKEKVKEKEKEKKEKTPATTPEARALGKDSKEKPKEERPNKEDKARETKERTPKS DKEKEKFKKEEKAKDEKFKTTVPIVESKSTQEREREKEPSRERDVAKEMKSKENVKGGEK TPVSGSLKSPVPRSDISEPDREQKRRKIDSHPSPSHSSTVKVSIA >ENSMUSP00000118960.1 pep:known chromosome:GRCm38:X:41797484:41806562:-1 gene:ENSMUSG00000037475.15 transcript:ENSMUST00000145586.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc2 description:THO complex 2 [Source:MGI Symbol;Acc:MGI:2442413] XGVSKHKSESPCESQYPNEKDKEKNKSKSSGKEKSSSDSFKSEKMDKISSGGKKESRHDK EKIEKKEKRDSSGGKEEKKQSSDKHR >ENSMUSP00000118106.1 pep:known chromosome:GRCm38:X:41802332:41807050:-1 gene:ENSMUSG00000037475.15 transcript:ENSMUST00000143557.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc2 description:THO complex 2 [Source:MGI Symbol;Acc:MGI:2442413] XKERKRDHSNNDREVPPDITKRRKEENGTMGVSKHKSESPCESQYPNEKDKEKNKSKSSG KEKSSSDSFKSEKMDKISSGGKKESRHDKEKIEKKEKRDSSGGKEEKKHHKSSDKHR >ENSMUSP00000120342.1 pep:known chromosome:GRCm38:X:41802344:41806714:-1 gene:ENSMUSG00000037475.15 transcript:ENSMUST00000155369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc2 description:THO complex 2 [Source:MGI Symbol;Acc:MGI:2442413] DHSNNDREVPPDITKRRKEENGTMGVSKHKSESPCESQYPNEKDKEKNKSKSSGKEKSSS DSFKSEKMDKISSGGKKESRHDKEKIEKKEKRDSSGGKEEKKQSSDKHR >ENSMUSP00000121941.1 pep:known chromosome:GRCm38:X:41860125:41911886:-1 gene:ENSMUSG00000037475.15 transcript:ENSMUST00000129915.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Thoc2 description:THO complex 2 [Source:MGI Symbol;Acc:MGI:2442413] TSEGKMAAAAVVVPAEWIKNWEKSGRGEFLHLCRILSENKSHDSSTYRDFQQALYELSYH VIKGNLKHEQASSVLNDISEFREDMPSILADVFCILDIETNCLEEKSKRDYFTQLVLACL FQTQF >ENSMUSP00000114148.1 pep:known chromosome:GRCm38:X:41873923:41920674:-1 gene:ENSMUSG00000037475.15 transcript:ENSMUST00000152921.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Thoc2 description:THO complex 2 [Source:MGI Symbol;Acc:MGI:2442413] MQQRLGCILSLHLCRILSENKSHDSSTYRDFQQALYELSYHVIKGNLKHEQASSVLNDIS EFREDMPSILADVFCILDIETNCLEEK >ENSMUSP00000119823.1 pep:known chromosome:GRCm38:X:41873927:41897846:-1 gene:ENSMUSG00000037475.15 transcript:ENSMUST00000131259.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Thoc2 description:THO complex 2 [Source:MGI Symbol;Acc:MGI:2442413] HLCRILSENKSHDSSTYRDFQQALYELSYHVIKGNLKHEQASSVLNDISVRIP >ENSMUSP00000129711.1 pep:known chromosome:GRCm38:11:52231995:52245094:1 gene:ENSMUSG00000036309.14 transcript:ENSMUST00000147684.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Skp1a description:S-phase kinase-associated protein 1A [Source:MGI Symbol;Acc:MGI:103575] MPTIKLQSSDGEIFEVDVEIAKQSVTIKTMLEAHDVLELHLDQVGLEFKEIHLSAF >ENSMUSP00000038744.5 pep:known chromosome:GRCm38:11:52232009:52246858:1 gene:ENSMUSG00000036309.14 transcript:ENSMUST00000037324.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skp1a description:S-phase kinase-associated protein 1A [Source:MGI Symbol;Acc:MGI:103575] MPTIKLQSSDGEIFEVDVEIAKQSVTIKTMLEDLGMDDEGDDDPVPLPNVNAAILKKVIQ WCTHHKDDPPPPEDDENKEKRTDDIPVWDQEFLKVDQGTLFELILAANYLDIKGLLDVTC KTVANMIKGKTPEEIRKTFNIKNDFTEEEEAQVRKENQWCEEK >ENSMUSP00000131833.1 pep:known chromosome:GRCm38:11:52232037:52245097:1 gene:ENSMUSG00000036309.14 transcript:ENSMUST00000166537.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skp1a description:S-phase kinase-associated protein 1A [Source:MGI Symbol;Acc:MGI:103575] MPTIKLQSSDGEIFEVDVEIAKQSVTIKTMLEDLGMDDEGDDDPVPLPNVNAAILKKAAN YLDIKGLLDVTCKTVANMIKGKTPEEIRKTFNIKND >ENSMUSP00000104700.1 pep:known chromosome:GRCm38:11:52232189:52246858:1 gene:ENSMUSG00000036309.14 transcript:ENSMUST00000109072.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skp1a description:S-phase kinase-associated protein 1A [Source:MGI Symbol;Acc:MGI:103575] MPTIKLQSSDGEIFEVDVEIAKQSVTIKTMLEDLGMDDEGDDDPVPLPNVNAAILKKVIQ WCTHHKDDPPPPEDDENKEKRTDDIPVWDQEFLKVDQGTLFELILAANYLDIKGLLDVTC KTVANMIKGKTPEEIRKTFNIKNDFTEEEEAQVRKENQWCEEK >ENSMUSP00000112294.2 pep:known chromosome:GRCm38:11:52236864:52246052:1 gene:ENSMUSG00000036309.14 transcript:ENSMUST00000116595.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Skp1a description:S-phase kinase-associated protein 1A [Source:MGI Symbol;Acc:MGI:103575] DGEIFEVDVEIAKQSVTIKTMLEEKKLYGSDALEDFQKSTPEDYLYLIFF >ENSMUSP00000087810.4 pep:known chromosome:GRCm38:14:26894557:26915257:1 gene:ENSMUSG00000021898.14 transcript:ENSMUST00000090337.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb14 description:ankyrin repeat and SOCS box-containing 14 [Source:MGI Symbol;Acc:MGI:2655107] MKKLKKPFHNWKNILIPSDLEINLSKLRMDPSGSDEDADADFDTQVIIQQSLLDVYKPGI AQHTPEAARLHSFPSDDYKKIAEAIETGKEDALAGFAKYHPAFDEANGNGWLPLHKAAVQ LNKNILEITMNASEPSTWERTTHNGETALFLAVSSSLLENAHFLLLKGCNPNAKTSEGNS PLLTAVLKDAYDMATLLISHGADVNLRCANERTALHEAAKLGRLDMVKLMLASGAYPDAR SSYGFTPLALAAQGGHTGIMQLLLQKGADVHSQASDSSSVLLEAVRGGNPEAVSLLLEYG ADANIPKSSGHLPIHVAADKGHFLALKVLVPVTDIAAIKKSGISPVHCAAAGAHPHCLEL LIQAGFDVNFMLDQRIRKHYDDQRKSALYFAVSNGDLPSVKLLLSAGALPNQDPVNCLQI ALRMGNYELISLLLRHGANVNYFCRVNPLHFPSALQYTLKDEVMLRMLLNYGYDTERCFD CPHGERVHRFCTFEGWTSTVIKDTMFCEVITLSWLQHLSGKVVRVMLDYVDQVQICSKLK AVLEKQRLWPEIHFILTNPRSLQHLCRLKIRKCMGRLRLRCPVFMSFLPLPNLLKAYVLY KEYDLFGQERSTGTW >ENSMUSP00000129753.2 pep:known chromosome:GRCm38:14:26894602:26914416:1 gene:ENSMUSG00000021898.14 transcript:ENSMUST00000165929.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb14 description:ankyrin repeat and SOCS box-containing 14 [Source:MGI Symbol;Acc:MGI:2655107] MKKLKKPFHNWKNILIPSDLEINLSKLRMDPSGSDEDADADFDTQVIIQQSLLDVYKPGI AQHTPEAARLHSFPSDDYKKIAEAIETGKEDALAGFAKYHPAFDEANGNGWLPLHKAAVQ LNKNILEITMNASEPSTWERTTHNGETALFLAVSSSLLENAHFLLLKGCNPNAKTSEGNS PLLTAVLKDAYDMATLLISHGADVNLRCANERTALHEAAKLGRLDMVKLMLASGAYPDAR SSYGFTPLALAAQGGHTGIMQLLLQKGADVHSQASDSSSVLLEAVRGGNPEAVSLLLEYG ADANIPKSSGHLPIHVAADKGHFLALKVLVPVTDIAAIKKSGISPVHCAAAGAHPHCLEL LIQAGFDVNFMLDQRIRKHYDDQRKSALYFAVSNGDLPSVKLLLSAGALPNQDPVNCLQI ALRMGNYELISLLLRHGANVNYFCRVNPLHFPSALQYTLKDEVMLRMLLNYGYDTERCFD CPHGERVHRFCTFEGWTSTVIKDTMFCEVITLSWLQHLSGKVVRVMLDYVDQVQICSKLK AVLEKQRLWPEIHFILSEYRSHRKFLPKH >ENSMUSP00000130428.1 pep:known chromosome:GRCm38:14:26894620:26903293:1 gene:ENSMUSG00000021898.14 transcript:ENSMUST00000167929.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asb14 description:ankyrin repeat and SOCS box-containing 14 [Source:MGI Symbol;Acc:MGI:2655107] MKKQLKKPFHNWKNILIPSDLEINLSKLRMDPSGSDEDADADFDTQVIIQQSLLDVYKPG IAQHTPEAARLHSFPSDDYKKIAEAIETVRKMHWQALPSTIQPLMKPMGMAGFLCIRQQC S >ENSMUSP00000131669.2 pep:known chromosome:GRCm38:14:26903243:26915258:1 gene:ENSMUSG00000021898.14 transcript:ENSMUST00000165305.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Asb14 description:ankyrin repeat and SOCS box-containing 14 [Source:MGI Symbol;Acc:MGI:2655107] XVLKDAYDMATLLISHGADVNLRCANERTALHEAAKLGRLDMVKLMLASGAYPDARSSYG FTPLALAAQGGHTGIMQLLLQKGKAYLMVDYRELTFTVRPLTRPLCYLKLLEEGIRKL >ENSMUSP00000030491.8 pep:known chromosome:GRCm38:4:114959336:114987241:-1 gene:ENSMUSG00000028719.8 transcript:ENSMUST00000030491.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmpk1 description:cytidine monophosphate (UMP-CMP) kinase 1 [Source:MGI Symbol;Acc:MGI:1913838] MLSSCRRLLPHVLVPSCPPLTRTLRLFPLHLMKPLVVFVLGGPGAGKGTQCARIVEKYGY THLSAGELLRDERKNPDSQYGELIEKYIKEGKIVPVEITISLLKREMDQTMAANAQKNKF LIDGFPRNQDNLQGWNKTMDGKADVSFVLFFDCNNEICIERCLERGKSSGRSDDNRESLE KRIQTYLESTKPIIDLYEEMGKVKKIDASKSVDEVFGEVVKIFDKEG >ENSMUSP00000115414.1 pep:known chromosome:GRCm38:4:114969277:114987072:-1 gene:ENSMUSG00000028719.8 transcript:ENSMUST00000129317.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cmpk1 description:cytidine monophosphate (UMP-CMP) kinase 1 [Source:MGI Symbol;Acc:MGI:1913838] XRTLRLFPLHLMKPLVVFVLGGPGAGKGTQCARIVESDIFPF >ENSMUSP00000118198.1 pep:known chromosome:GRCm38:4:114963111:114987149:-1 gene:ENSMUSG00000028719.8 transcript:ENSMUST00000133066.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cmpk1 description:cytidine monophosphate (UMP-CMP) kinase 1 [Source:MGI Symbol;Acc:MGI:1913838] MLSSCRRLLPHVLVPSCPPLTRTLRLFPLHLMKPLVVFVLGGPGAGKGTQCARIVESSAP AS >ENSMUSP00000043734.1 pep:known chromosome:GRCm38:X:9846928:9847508:1 gene:ENSMUSG00000040456.2 transcript:ENSMUST00000044987.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hypm description:huntingtin interacting protein M [Source:MGI Symbol;Acc:MGI:1914584] MSEKKSQEKPCSDNNQIEDPSSRPEVQVPVNYVYRILQEEQYTPCIGSTTSDFLLAMLDY LTDYILEVVGSEANINNQQNISQDRERQRDNDREPSRGFKNAPFSLFDEMPGPRRNG >ENSMUSP00000090596.1 pep:known chromosome:GRCm38:11:73940205:73941254:1 gene:ENSMUSG00000069816.3 transcript:ENSMUST00000092917.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr23 description:olfactory receptor 23 [Source:MGI Symbol;Acc:MGI:109312] MPGKNQTVISRFILLGLPIPPEHQHLFYALFLAMYLTTVLGNLVIIVLIHLDSHLHTPMY LFLSNLSFTDLCFSTVTMPNFLQNMQSQVSSIPYAGCLAQMYFFLFFGDVESLLLVAMAY DRYVAICFPLHYTRIMSPNLCVSMVLLSWALTTLCAMLHTLLLTRLSFCKNNVIPHFFCD LSALLKLACSDIHINELMIMIIGALVVILPFLLIIVSYAHIVSSILKVPSTRGIHKVFST CGSHLSVVSLFYGSVIVLYLCPSSNNSTVKDTVMSMMYTVVTPMLNPFIYSLRNRDMKEA LKRVLQKKIKLSSNYGNYISDVFIK >ENSMUSP00000104462.2 pep:known chromosome:GRCm38:11:58274799:58294289:1 gene:ENSMUSG00000069873.4 transcript:ENSMUST00000108834.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930438A08Rik description:RIKEN cDNA 4930438A08 gene [Source:MGI Symbol;Acc:MGI:1921238] MLPAPGRLLAMFPGWLALIFLSVLSLDTQAFNRKLVKCFEDPQYEELLQLARNGLGQTAE RKQVVVIGAGMAGLTAAKILQDAGHQVTVLEASGRVGGRIETHRVPGAHWYIELGAMRIP INHRLSHELIKKFGLMLKEFCPCNNQTWVLVNGVRQRSGAVQADPGLLGYSVRADEVGKT AEQLFDASLRKIVEELKNSSCQEVLEKYDSFSTKEYLIKVGNLSRGAVQMIGDMLNTDSG YYEAFTETLRGIISFFQDPRFDEIVGGFDQLPQALHNSLLPGTVWFYSPAEEVEMSGDCV HVTYRTPDPLLPRARLTADFVVVATTAKAARLLRFQPPLSLNKQDALRSVHYNSATKVIL ACTQRFWERDGIFSGKSSTDRPSRFIYYPNHIFPNGAGVILASYTLDDDSVFFTALDHTQ VVDIVLDDLAALHSRAKEELRALCPYSAIKNWSQDPYSMGGFAFFTPYQYVDYAQELSQP EGLVFFAGEHTDLPHGWIDTAIKSGLRVAKNIQESVDLALTRNPRNTKEYFSKSEL >ENSMUSP00000147249.1 pep:known chromosome:GRCm38:11:58275060:58293406:1 gene:ENSMUSG00000069873.4 transcript:ENSMUST00000208022.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930438A08Rik description:RIKEN cDNA 4930438A08 gene [Source:MGI Symbol;Acc:MGI:1921238] MLPAPGRLLAMFPGWLALIFLSVLSLDTQAFNRKLVKCFEDPQYEELLQLARNGLGQTAE RKQVVVIGAGMAGLTAAKILQDAGHQVTVLEASGRVGGRIETHRVPGAHWYIELGAMRIP INHRLSHELIKKFGLMLKEFCPCNNQTWVLVNGVRQRSGAVQADPGLLGYSVRADEVGKT AEQLFDASLRKIVEELKNSSCQEVLEKYDSFSTKEYLIKVGNLSRGAVQMIGDMLNTDSG YYEAFTETLRSMKSLEALTNSPRLCTILSSQGLFGSTHQQRKWKCLETVFTSPTGHLTLC CPGRG >ENSMUSP00000077674.3 pep:known chromosome:GRCm38:16:19605696:19606703:-1 gene:ENSMUSG00000062245.2 transcript:ENSMUST00000078603.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr170 description:olfactory receptor 170 [Source:MGI Symbol;Acc:MGI:3030004] MEYENYTFNDFILLGLFSSSKTSLTFFSVIFFIFIMAITENALMILLIHRDSRLHTPMYF LLSHLSFMDILHISNIVPKMIADFLSGSRTISFAGCAFQIFLSLTLLGGECLLLAAMSYD RYVAICHPLCYPVLMRDNFSRLLAAGSWLVGILNSIVHTAFVLHFPFCHSRAIDHFFCEV PAMLKLSCIDTTHYEQGVYVSGIIFLMVPFSMISISYVQILLTVFQMHSSGARQKSFSTC LFHMVVVIMYYGPVIFTYMRPRSYHTPGQDKFLAIFYTILTPKLNPIIYSFRNKDVLRAL KNIVQSNILNKK >ENSMUSP00000059491.6 pep:known chromosome:GRCm38:17:23833360:23835743:-1 gene:ENSMUSG00000049620.8 transcript:ENSMUST00000059906.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss33 description:protease, serine 33 [Source:MGI Symbol;Acc:MGI:2661234] MRGASHLQILLLLVLGTRMQECAACGQPRMSSRIVGGRDAQDGEWPWQTSIQHRGAHVCG GSLIAPQWVLTAGHCFPRRVWPSEYSVLLGALSLDVRSSHELLVPVLRVLLPPDYSEDEA RGDLALLQLRHPVSLSTRIQPVCLPAPGSHPPPGSPCWVTGWGSLSPGVPLPKGRPLQGV RVPLLDSRACDRLYHVGANVPQGERIVLPGNLCAGYRRGHKDACQGDSGGPLTCMESGHW VLVGVVSWGKGCALPNRPGVYTNVAKYSPWIQARLSL >ENSMUSP00000111104.3 pep:known chromosome:GRCm38:17:23833740:23835739:-1 gene:ENSMUSG00000049620.8 transcript:ENSMUST00000115444.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prss33 description:protease, serine 33 [Source:MGI Symbol;Acc:MGI:2661234] MRGASHLQILLLLVLGASRALWAIFLPEAQTLWHCPWSLRIPLPAPPCRNQDAGVCSLWA APHVQPDRWGPGCSGWRVAVANKHSAPWSPCVRRVTHCTPVGVDGRSLFP >ENSMUSP00000071843.6 pep:known chromosome:GRCm38:17:35439155:35443643:1 gene:ENSMUSG00000060550.15 transcript:ENSMUST00000071951.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q7 description:histocompatibility 2, Q region locus 7 [Source:MGI Symbol;Acc:MGI:95936] MALTMLLLLVAAALTLIETRAGQHSLQYFHTAVSRPGLGEPWFISVGYVDDTQFVRFDSD AENPRMEPRARWMEQEGPEYWERETQIAKGHEQSFRGSLRTAQSYYNQSKGGSHTLQWMY GCDMGSDGRLLRGYLQFAYEGRDYIALNEDLKTWTAVDMAAQITRRKWEQAGIAEKDQAY LEGTCMQSLRRYLQLGKETLLRTDPPKAHVTHHPRSYGAVTLRCWALGFYPADITLTWQL NGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCHVNHEGLPEPLTLRWGRWEP PPYTVSNMATIAVVVDLGAVAIIGAVVAFVMNRR >ENSMUSP00000112297.3 pep:known chromosome:GRCm38:17:35439155:35443773:1 gene:ENSMUSG00000060550.15 transcript:ENSMUST00000116598.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q7 description:histocompatibility 2, Q region locus 7 [Source:MGI Symbol;Acc:MGI:95936] MALTMLLLLVAAALTLIETRAGQHSLQYFHTAVSRPGLGEPWFISVGYVDDTQFVRFDSD AENPRMEPRARWMEQEGPEYWERETQIAKGHEQSFRGSLRTAQSYYNQSKGGSHTLQWMY GCDMGSDGRLLRGYLQFAYEGRDYIALNEDLKTWTAVDMAAQITRRKWEQAGIAEKDQAY LEGTCMQSLRRYLQLGKETLLRTGGQGGDCAPAPA >ENSMUSP00000077335.7 pep:known chromosome:GRCm38:17:35439155:35443773:1 gene:ENSMUSG00000060550.15 transcript:ENSMUST00000078205.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q7 description:histocompatibility 2, Q region locus 7 [Source:MGI Symbol;Acc:MGI:95936] MALTMLLLLVAAALTLIETRAGQHSLQYFHTAVSRPGLGEPWFISVGYVDDTQFVRFDSD AENPRMEPRARWMEQEGPEYWERETQIAKGHEQSFRGSLRTAQSYYNQSKGGSHTLQWMY GCDMGSDGRLLRGYLQFAYEGRDYIALNEDLKTWTAVDMAAQITRRKWEQAGIAEKDQAY LEGTCMQSLRRYLQLGKETLLRTDPPKAHVTHHPRSYGAVTLRCWALGFYPADITLTWQL NGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCHVNHEGLPEPLTLRWGRWGG QGGDCAPAPA >ENSMUSP00000075606.7 pep:known chromosome:GRCm38:17:35439155:35443773:1 gene:ENSMUSG00000060550.15 transcript:ENSMUST00000076256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q7 description:histocompatibility 2, Q region locus 7 [Source:MGI Symbol;Acc:MGI:95936] MALTMLLLLVAAALTLIETRAGQHSLQYFHTAVSRPGLGEPWFISVGYVDDTQFVRFDSD AENPRMEPRARWMEQEGPEYWERETQIAKGHEQSFRGSLRTAQSYYNQSKGGSHTLQWMY GCDMGSDGRLLRGYLQFAYEGRDYIALNEDLKTWTAVDMAAQITRRKWEQAGIAEKDQAY LEGTCMQSLRRYLQLGKETLLRTDPPKAHVTHHPRSYGAVTLRCWALGFYPADITLTWQL NGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCHVNHEGLPEPLTLRWEPPPY TVSNMATIAVVVLELWPSLELWWLL >ENSMUSP00000135643.1 pep:known chromosome:GRCm38:15:64086857:64312673:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000177371.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLLEEALDQDRTALQKVKKSVKA IYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVKFSTLTKELSTLLKNLLQGLS HNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKIEKEKREHAKQHGMIRTEITG AEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNLIKYYHAQCNFFQDGLKTADK LKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLDPKEVGGLYVASRANSDSQSR QGGYSMHQLQGNKEYGSEKKGFLLKKSDGIRKVWQRRKCAVKNGILTISHATSNRQPAKL NLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYIAWISVLTNSKEEALTMAFRGEQS TGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWLSTNLGILTCIECSGIHREMGVHI SRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPSPSPKPTPSSDMTVRKEYITAKYV DHRFSRKTCASSSAKLNELLEAIKSRDLLALIQVYAEGVELMEPLLEPGQELGETALHLA VRTADQTSLHLVDFLVQNCGNLDKQTSVGNTVLHYCSMYGKPECLKLLLRSKPTVDIVNQ NGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEYEWNLRQDEMDESDDDLDDKPSPI KKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRLSYGAFTNQIFASTSTDLPTSPTS EAPPLPPRNAGKGPTGPPSTLPLGTQTSSGSSTLSKKRPPPPPPGHKRTLSDPPSPLPHG PPNKGAIPWGNDVGPLSSSKTANKFEGLSQQASTSSAKTALGPRVLPKLPQKVALRKTET SHHLSLDRTNIPPETFQKSSQLTELPQKPPLGELPPKPVELAPKPQVGELPPKPGELPPK PQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLLAKSQAGDVSAKVQPPSEVTQRSHTGD LSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLPRKINTGKNKVRRVKTIYDCQADNDDE LTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVFPVSFVHILSD >ENSMUSP00000134877.1 pep:known chromosome:GRCm38:15:64088536:64312639:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000177083.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLLEEALDQDRTALQKVKKSVKA IYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVKFSTLTKELSTLLKNLLQGLS HNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKIEKEKREHAKQHGMIRTEITG AEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNLIKYYHAQCNFFQDGLKTADK LKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLDPKEDSQSRQGGYSMHQLQGN KEYGSEKKGFLLKKSDGIRKVWQRRKCAVKNGILTISHATSNRQPAKLNLLTCQVKPNAE DKKSFDLISHNRTYHFQAEDEQDYIAWISVLTNSKEEALTMAFRGEQSTGENSLEDLTKA IIEDVQRLPGNDICCDCGSSEPTWLSTNLGILTCIECSGIHREMGVHISRIQSLELDKLG TSELLLAKNVGNNSFNDIMEANLPSPSPKPTPSSDMTVRKEYITAKYVDHRFSRKTCASS SAKLNELLEAIKSRDLLALIQVYAEGVELMEPLLEPGQELGETALHLAVRTADQTSLHLV DFLVQNCGNLDKQTSVGNTVLHYCSMYGKPECLKLLLRSKPTVDIVNQNGETALDIAKRL KATQCEDLLSQAKSGKFNPHVHVEYEWNLRQDEMDESDDDLDDKPSPIKKERSPRPQSFC HSSSISPQDKLALPGFSTPRDKQRLSYGAFTNQIFASTSTDLPTSPTSEAPPLPPRNAGK GPTGPPSTLPLGTQTSSGSSTLSKKRPPPPPPGHKRTLSDPPSPLPHGPPNKGAIPWGND VGPLSSSKTANKFEGLSQQASTSSAKTALGPRVLPKLPQKVALRKTETSHHLSLDRTNIP PETFQKSSQLTELPQKPPLGELPPKPVELAPKPQVGELPPKPGELPPKPQLGDLPPKPQL SDLPPKPQMKDLPPKPQLGDLLAKSQAGDVSAKVQPPSEVTQRSHTGDLSPNVQSRDAIQ KQASEDSNDLTPTLPETPVPLPRKINTGKNKVRRVKTIYDCQADNDDELTFIEGEVIIVT GEEDQEWWIGHIEGQPERKGVFPVSFVHILSD >ENSMUSP00000134825.1 pep:known chromosome:GRCm38:15:64088539:64382919:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000177374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD PKEVGGLYVASRANSSRRDSQSRQGGYSMHQLQGNKEYGSEKKGFLLKKSDGIRKVWQRR KCAVKNGILTISHATSNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYI AWISVLTNSKEEALTMAFRGEQSTGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWL STNLGILTCIECSGIHREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPS PSPKPTPSSDMTVRKEYITAKYVDHRFSRKTCASSSAKLNELLEAIKSRDLLALIQVYAE GVELMEPLLEPGQELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTSVGNTVLHYCS MYGKPECLKLLLRSKPTVDIVNQNGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEY EWNLRQDEMDESDDDLDDKPSPIKKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRL SYGAFTNQIFASTSTDLPTSPTSEAPPLPPRNAGKGPTGPPSTLPLGTQTSSGSSTLSKK RPPPPPPGHKRTLSDPPSPLPHGPPNKGAIPWGNDVGPLSSSKTANKFEGLSQQASTSSA KTALGPRVLPKLPQKVALRKTETSHHLSLDRTNIPPETFQKSSQLTELPQKPPLGELPPK PVELAPKPQVGELPPKPGELPPKPQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLLAKS QAGDVSAKVQPPSEVTQRSHTGDLSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLPRKI NTGKNKVRRVKTIYDCQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVFPVS FVHILSD >ENSMUSP00000135718.1 pep:known chromosome:GRCm38:15:64088541:64349886:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000175793.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD PKESRRDSQSRQGGYSMHQLQGNKEYGSEKKGFLLKKSDGIRKVWQRRKCAVKNGILTIS HATSNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYIAWISVLTNSKEE ALTMAFRGEQSTGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWLSTNLGILTCIEC SGIHREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPSPSPKPTPSSDMT VRKEYITAKYVDHRFSRKTCASSSAKLNELLEAIKSRDLLALIQVYAEGVELMEPLLEPG QELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTSVGNTVLHYCSMYGKPECLKLLL RSKPTVDIVNQNGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEYEWNLRQDEMDES DDDLDDKPSPIKKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRLSYGAFTNQIFAS TSTDLPTSPTSEAPPLPPRNAGKGPTGPPSTLPLGTQTSSGSSTLSKKRPPPPPPGHKRT LSDPPSPLPHGPPNKGAIPWGNDVGPLSSSKTANKFEGLSQQASTSSAKTALGPRVLPKL PQKVALRKTETSHHLSLDRTNIPPETFQKSSQLTELPQKPPLGELPPKPVELAPKPQVGE LPPKPGELPPKPQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLLAKSQAGDVSAKVQPP SEVTQRSHTGDLSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLPRKINTGKNKVRRVKT IYDCQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVFPVSFVHILSD >ENSMUSP00000135359.1 pep:known chromosome:GRCm38:15:64088541:64382756:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000175799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD PKEVGGLYVASRANSDSQSRQGGYSMHQLQGNKEYGSEKKGFLLKKSDGIRKVWQRRKCA VKNGILTISHATSNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYIAWI SVLTNSKEEALTMAFRGEQSTGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWLSTN LGILTCIECSGIHREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPSPSP KPTPSSDMTVRKEYITAKYVDHRFSRKTCASSSAKLNELLEAIKSRDLLALIQVYAEGVE LMEPLLEPGQELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTSVGNTVLHYCSMYG KPECLKLLLRSKPTVDIVNQNGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEYEWN LRQDEMDESDDDLDDKPSPIKKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRLSYG AFTNQIFASTSTDLPTSPTSEAPPLPPRNAGKGNDVGPLSSSKTANKFEGLSQQASTSSA KTALGPRVLPKLPQKVALRKTETSHHLSLDRTNIPPETFQKSSQLTELPQKPPLGELPPK PVELAPKPQVGELPPKPGELPPKPQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLLAKS QAGDVSAKVQPPSEVTQRSHTGDLSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLPRKI NTGKNKVRRVKTIYDCQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVFPVS FVHILSD >ENSMUSP00000135190.1 pep:known chromosome:GRCm38:15:64088619:64382737:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000176384.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD PKEVGGLYVASRANSSRRDSQSRQGGYSMHQLQGNKEYGSEKKGFLLKKSDGIRKVWQRR KCAVKNGILTISHATSNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYI AWISVLTNSKEEALTMAFRGEQSTGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWL STNLGILTCIECSGIHREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPS PSPKPTPSSDMTVRKEYITAKYVDHRFSRKTCASSSAKLNELLEAIKSRDLLALIQVYAE GVELMEPLLEPGQELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTSVGNTVLHYCS MYGKPECLKLLLRSKPTVDIVNQNGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEY EWNLRQDEMDESDDDLDDKPSPIKKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRL SYGAFTNQIFASTSTDLPTSPTSEAPPLPPRNAGKGNDVGPLSSSKTANKFEGLSQQAST SSAKTALGPRVLPKLPQKVALRKTETSHHLSLDRTNIPPETFQKSSQLTELPQKPPLGEL PPKPVELAPKPQVGELPPKPGELPPKPQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLL AKSQAGDVSAKVQPPSEVTQRSHTGDLSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLP RKINTGKNKVRRVKTIYDCQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVF PVSFVHILSD >ENSMUSP00000135346.1 pep:known chromosome:GRCm38:15:64089447:64349886:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000177035.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD PKEDSQSRQGGYSMHQLQGNKEYGSEKKGFLLKKSDGIRKVWQRRKCAVKNGILTISHAT SNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYIAWISVLTNSKEEALT MAFRGEQSTGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWLSTNLGILTCIECSGI HREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPSPSPKPTPSSDMTVRK EYITAKYVDHRFSRKTCASSSAKLNELLEAIKSRDLLALIQVYAEGVELMEPLLEPGQEL GETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTSVGNTVLHYCSMYGKPECLKLLLRSK PTVDIVNQNGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEYEWNLRQDEMDESDDD LDDKPSPIKKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRLSYGAFTNQIFASTST DLPTSPTSEAPPLPPRNAGKGNDVGPLSSSKTANKFEGLSQQASTSSAKTALGPRVLPKL PQKVALRKTETSHHLSLDRTNIPPETFQKSSQLTELPQKPPLGELPPKPVELAPKPQVGE LPPKPGELPPKPQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLLAKSQAGDVSAKVQPP SEVTQRSHTGDLSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLPRKINTGKNKVRRVKT IYDCQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVFPVSFVHILSD >ENSMUSP00000135172.1 pep:known chromosome:GRCm38:15:64089447:64349886:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000176014.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD PKEVGGLYVASRANSDSQSRQGGYSMHQLQGNKEYGSEKKGFLLKKSDGIRKVWQRRKCA VKNGILTISHATSNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYIAWI SVLTNSKEEALTMAFRGEQSTGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWLSTN LGILTCIECSGIHREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPSPSP KPTPSSDMTVRKEYITAKYVDHRFSRKTCASSSAKLNELLEAIKSRDLLALIQVYAEGVE LMEPLLEPGQELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTSVGNTVLHYCSMYG KPECLKLLLRSKPTVDIVNQNGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEYEWN LRQDEMDESDDDLDDKPSPIKKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRLSYG AFTNQIFASTSTDLPTSPTSEAPPLPPRNAGKGPTGPPSTLPLGTQTSSGSSTLSKKRPP PPPPGHKRTLSDPPSPLPHGPPNKGAIPWGNDVGPLSSSKTANKFEGLSQQASTSSAKTA LGPRVLPKLPQKVALRKTETSHHLSLDRTNIPPETFQKSSQLTELPQKPPLGELPPKPVE LAPKPQVGELPPKPGELPPKPQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLLAKSQAG DVSAKVQPPSEVTQRSHTGDLSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLPRKINTG KNKVRRVKTIYDCQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVFPVSFVH ILSD >ENSMUSP00000135239.1 pep:known chromosome:GRCm38:15:64158939:64208491:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000176358.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] XGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVKFSTLTKELSTLLKNLGLSHNVIF TLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKIEKEKREHAKQHGMIRTEITGAEIAE EMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNLIKYYHAQCNFFQDGLKTADKLKQYI EKLAADLYNIKQTQDEEKKQLTALRDLIKSS >ENSMUSP00000135047.1 pep:known chromosome:GRCm38:15:64208497:64313810:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000175963.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MQAGLSGPQGLPSKAGFNGGQSYAFKGSWRPIPRTRMPDQISVSEFIAETTEDYNSPTTS SFTTRLHNCRNTVTLLEEALDQDRTALQKVKKSVKAIY >ENSMUSP00000105741.3 pep:known chromosome:GRCm38:15:64088541:64382919:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000110114.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD PKEVGGLYVASRANSVIRDSQSRQGGYSMHQLQGNKEYGSEKKGFLLKKSDGIRKVWQRR KCAVKNGILTISHATSNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYI AWISVLTNSKEEALTMAFRGEQSTGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWL STNLGILTCIECSGIHREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPS PSPKPTPSSDMTVRKEYITAKYVDHRFSRKTCASSSAKLNELLEAIKSRDLLALIQVYAE GVELMEPLLEPGQELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTSVGNTVLHYCS MYGKPECLKLLLRSKPTVDIVNQNGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEY EWNLRQDEMDESDDDLDDKPSPIKKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRL SYGAFTNQIFASTSTDLPTSPTSEAPPLPPRNAGKGNDVGPLSSSKTANKFEGLSQQAST SSAKTALGPRVLPKLPQKVALRKTETSHHLSLDRTNIPPETFQKSSQLTELPQKPPLGEL PPKPVELAPKPQVGELPPKPGELPPKPQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLL AKSQAGDVSAKVQPPSEVTQRSHTGDLSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLP RKINTGKNKVRRVKTIYDCQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVF PVSFVHILSD >ENSMUSP00000105742.2 pep:known chromosome:GRCm38:15:64088541:64382919:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000110115.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD PKEDSQSRQGGYSMHQLQGNKEYGSEKKGFLLKKSDGIRKVWQRRKCAVKNGILTISHAT SNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYIAWISVLTNSKEEALT MAFRGEQSTGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWLSTNLGILTCIECSGI HREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPSPSPKPTPSSDMTVRK EYITAKYVDHRFSRKTCASSSAKLNELLEAIKSRDLLALIQVYAEGVELMEPLLEPGQEL GETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTSVGNTVLHYCSMYGKPECLKLLLRSK PTVDIVNQNGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEYEWNLRQDEMDESDDD LDDKPSPIKKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRLSYGAFTNQIFASTST DLPTSPTSEAPPLPPRNAGKGPTGPPSTLPLGTQTSSGSSTLSKKRPPPPPPGHKRTLSD PPSPLPHGPPNKGAIPWGNDVGPLSSSKTANKFEGLSQQASTSSAKTALGPRVLPKLPQK VALRKTETSHHLSLDRTNIPPETFQKSSQLTELPQKPPLGELPPKPVELAPKPQVGELPP KPGELPPKPQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLLAKSQAGDVSAKVQPPSEV TQRSHTGDLSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLPRKINTGKNKVRRVKTIYD CQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVFPVSFVHILSD >ENSMUSP00000023008.9 pep:known chromosome:GRCm38:15:64088541:64382919:-1 gene:ENSMUSG00000022377.16 transcript:ENSMUST00000023008.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asap1 description:ArfGAP with SH3 domain, ankyrin repeat and PH domain1 [Source:MGI Symbol;Acc:MGI:1342335] MRSSASRLSSFSSRDSLWNRMPDQISVSEFIAETTEDYNSPTTSSFTTRLHNCRNTVTLL EEALDQDRTALQKVKKSVKAIYNSGQDHVQNEENYAQVLDKFGSNFLSRDNPDLGTAFVK FSTLTKELSTLLKNLLQGLSHNVIFTLDSLLKGDLKGVKGDLKKPFDKAWKDYETKFTKI EKEKREHAKQHGMIRTEITGAEIAEEMEKERRLFQLQMCEYLIKVNEIKTKKGVDLLQNL IKYYHAQCNFFQDGLKTADKLKQYIEKLAADLYNIKQTQDEEKKQLTALRDLIKSSLQLD PKEVGGLYVASRANSVIRDSQSRQGGYSMHQLQGNKEYGSEKKGFLLKKSDGIRKVWQRR KCAVKNGILTISHATSNRQPAKLNLLTCQVKPNAEDKKSFDLISHNRTYHFQAEDEQDYI AWISVLTNSKEEALTMAFRGEQSTGENSLEDLTKAIIEDVQRLPGNDICCDCGSSEPTWL STNLGILTCIECSGIHREMGVHISRIQSLELDKLGTSELLLAKNVGNNSFNDIMEANLPS PSPKPTPSSDMTVRKEYITAKYVDHRFSRKTCASSSAKLNELLEAIKSRDLLALIQVYAE GVELMEPLLEPGQELGETALHLAVRTADQTSLHLVDFLVQNCGNLDKQTSVGNTVLHYCS MYGKPECLKLLLRSKPTVDIVNQNGETALDIAKRLKATQCEDLLSQAKSGKFNPHVHVEY EWNLRQDEMDESDDDLDDKPSPIKKERSPRPQSFCHSSSISPQDKLALPGFSTPRDKQRL SYGAFTNQIFASTSTDLPTSPTSEAPPLPPRNAGKGPTGPPSTLPLGTQTSSGSSTLSKK RPPPPPPGHKRTLSDPPSPLPHGPPNKGAIPWGNDVGPLSSSKTANKFEGLSQQASTSSA KTALGPRVLPKLPQKVALRKTETSHHLSLDRTNIPPETFQKSSQLTELPQKPPLGELPPK PVELAPKPQVGELPPKPGELPPKPQLGDLPPKPQLSDLPPKPQMKDLPPKPQLGDLLAKS QAGDVSAKVQPPSEVTQRSHTGDLSPNVQSRDAIQKQASEDSNDLTPTLPETPVPLPRKI NTGKNKVRRVKTIYDCQADNDDELTFIEGEVIIVTGEEDQEWWIGHIEGQPERKGVFPVS FVHILSD >ENSMUSP00000023487.4 pep:known chromosome:GRCm38:16:38598340:38713274:-1 gene:ENSMUSG00000022799.4 transcript:ENSMUST00000023487.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap31 description:Rho GTPase activating protein 31 [Source:MGI Symbol;Acc:MGI:1333857] MKNKGAKQKLKRKGAASAFGCDLTEYLESSGQDVPYVLKSCAEFIETHGIVDGIYRLSGI TSNIQRLRQEFGSDQCPDLTREVYLQDIHCVGSLCKLYFRELPNPLLTYELYEKFTEAVS HRPEEGQLARIQNVILELPPPHYRTLEYLIRHLAHIASFSSKTNMHARNLALVWAPNLLR SKKIEATICNGDAAFLAVRVQQVVIEFILNHADQIFNGGAPGALQQDESRTITKSLTLPA LSLPMKLVSLEEAQARSLATNHPARKERRENSLPEIVPPPFHTVLELPDNKRKLSSKSKK WKSIFNLGRSGSDSKSKLSRNGSVFVRGQRLSVEKATIRPAKSMDSLCSVPVEGKENKGN FSRTVTTGGFFIPATKMHASSTGSSCDLSKEGEWGQEGMPAGAEGGCEVGGQIRPLPEQL KVFRPIGDPESEQSAPKLLGMFYTSSDSPGKSVFTSSLFQMEPSPRHQRKALNISEPFAV SVPLRVSAVISTNSTPCRTPPKELQSLSSLEEFSFQGSESGGWPEEEKPLGAESFPGSVT KKAATEDTKPEPEVPGRAECSQSPPLDPGTQVEKKTLHVSLGSQVSKEAEKRPKAEKVME ESQGASQPKPSTPQESLGAGTEPLILHEMDEEDLAQALIWPEIQQELKIIESEEEFSSLP PAAQKTSPIPESSPAPFPFPEAPGSLPSSSAPREVWTRDAANQSIQEAAILTDREKLEPV CSLLESESQQELSPDPASLAPLEMLLFEKVSSPARIEIGGPRNLSPPLTPAPPPPTPLEE EPEVLLSKEGPDREDAARDSRTDVYTEQPTPKESPGIPTPCQREEAIASPNEKQNARHAV PENKGPGLPSPTKEVDIIPQEEGGAPHSAQEPSDCDEDDTVTDPAQHGLEMVEPWEEPQW VTSPLHSPTLKEVQESQTQGSQGHRLERRLCHRPSLRQSHSLDSKTTGNSHWTLEAPFSS SCANLETERNYEPLQPPAARTKIAGLEEKALKAFREFSGLKGLEVLPSQKGPSGIQPKPV ETNFMGLAEGKEQEPQLELSNRQMKHSDVPGPDSSKESSPRAQDSTLPGEHPLQLQLKNT ECGPSKGKHRPSSLNLDSATPIADLFRLENGAPFSSPGIELSELGDTKVTWMSSSHCKAA PWNSQDTQDLDIVAHTLTGRRNSAPVSVSAVRTSFMVKMCQAKAVPVIPPKIQYTQIPQP LPSQSTGEGGAQPLERSQEEPGSTPEIPQKSTKDDSPSSLGSPEEEQPKQETGASASRRQ ASITSCMYEGSSCSPEPSASTLASTQDAVVQCRKRTSETEPSGDNLLSSKLERASGGPKA FHRSRPGRPQSLILFPIMDHLPSSPTVIDSKVLLSPIRSPTQTVSPGLLCGELAENTWIT PEGVTLRNKMTIPKNGQRLETSTSCFYQPQRRSVILDGRSGRQIE >ENSMUSP00000052025.1 pep:known chromosome:GRCm38:X:9849703:9850255:1 gene:ENSMUSG00000048994.2 transcript:ENSMUST00000057113.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930557A04Rik description:RIKEN cDNA 4930557A04 gene [Source:MGI Symbol;Acc:MGI:1922521] MEDKRQKDSVAPSSGAKLQFPVSEAEHLLQERNLSKCLNSSTPVLFTDMLNYVTSSILEL TVKDRDSHTSCNKLIAPEQKSKPTDNIDELCQLFKDSQYMADETPGCYKTPRSNKITGLY EAPRPGPK >ENSMUSP00000002198.3 pep:known chromosome:GRCm38:11:4160350:4182541:1 gene:ENSMUSG00000002129.11 transcript:ENSMUST00000002198.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf3a1 description:splicing factor 3a, subunit 1 [Source:MGI Symbol;Acc:MGI:1914715] MQAGPVQAVPPPPPVATESKQPIEEEASSKEDPTPSKPVVGIIYPPPEVRNIVDKTASFV ARNGPEFEARIRQNEINNPKFNFLNPNDPYHAYYRHKVSEFKEGKAQEPSAAIPKVMQQQ QQATQQQLPQKVQAQVIQETIVPKEPPPEFEFIADPPSISAFDLDVVKLTAQFVARNGRQ FLTQLMQKEQRNYQFDFLRPQHSLFNYFTKLVEQYTKILIPPKGLFSKLKKEAENPREVL DQVCYRVEWAKFQERERKKEEEEKEKERVAYAQIDWHDFVVVETVDFQPNEQGNFPPPTT PEELGARILIQERYEKFGESEEVEMEVESDEEDQEKAEETPSQLDQDTQVQDMDEGSDDE EEGQKVPPPPETPMPPPLPPTPDQVIVRKDYDPKASKPLPPAPAPDEYLVSPITGEKIPA SKMQEHMRIGLLDPRWLEQRDRSIREKQSDDEVYAPGLDIESSLKQLAERRTDIFGVEET AIGKKIGEEEIQKPEEKVTWDGHSGSMARTQQAAQANITLQEQIEAIHKAKGLVPEDDTK EKIGPSKPNEIPQQPPPPSSATNIPSSAPPITSVPRPPAMPPPVRTTVVSAVPVMPRPPM ASVVRLPPGSVIAPMPPIIHAPRINVVPMPPAAPPIMAPRPPPMIVPTAFVPAPPVAPVP APAPMPPVHPPPPMEDEPPSKKLKTEDSLMPEEEFLRRNKGPVSIKVQVPNMQDKTEWKL NGQGLVFTLPLTDQVSVIKVKIHEATGMPAGKQKLQYEGIFIKDSNSLAYYNMASGAVIH LALKERGGRKK >ENSMUSP00000037544.5 pep:known chromosome:GRCm38:8:56551090:56592393:1 gene:ENSMUSG00000038206.12 transcript:ENSMUST00000040218.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo8 description:F-box protein 8 [Source:MGI Symbol;Acc:MGI:1354696] MGQGLWRVARNHHLQQEAYSETGYLSREQSRRVASSNISHTSHRKQAQGGIDIYHLLKAR KSKEQEGFINLEMLPPELSFTILSYLNATDLCLASCVWQDLANDELLWQGLCKSTWGHCS IYNKNPPLGFSFRKLYMQLDEGSLTFNANPEEGVSYFMSKGILDDSPKEIAKFIFCTRTL NWKKLRIYLDERRDVLDDLVTLHNFRNQFLPNALREFFRHIHAPEERGEYLETLITKFSH RFCACNPDLMRELGLSPDAVYVLCYSLILLSIDLTSPHVKNKMSKREFIRNTRRAAQNIS EDFVGHLYDNIYLIGHVAA >ENSMUSP00000105951.3 pep:known chromosome:GRCm38:8:56551090:56592393:1 gene:ENSMUSG00000038206.12 transcript:ENSMUST00000110322.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxo8 description:F-box protein 8 [Source:MGI Symbol;Acc:MGI:1354696] MGQGLWRVARNHHLQQEAYSETGYLSREQSRRVASSNISHTSHRKQAQGGIDIYHLLKAR KSKEQEGFINLEMLPPELSFTILSYLNATDLCLASCVWQDLANDELLWQGLCKSTWGHCS IYNKNPPLGFSFRKLYMQLDEGSLTFNANPEEMLSMYCATL >ENSMUSP00000006660.5 pep:known chromosome:GRCm38:13:27658956:27668036:-1 gene:ENSMUSG00000046899.6 transcript:ENSMUST00000006660.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl7a2 description:prolactin family 7, subfamily a, member 2 [Source:MGI Symbol;Acc:MGI:1206571] MSFSFSQPCPSGALLLVVVSSLLLWENVASVPLSSNETDGYPLSINGLFHNAMRLTWNIK NLNMELRKTYTVNQVSEKLYENYMLDFIEDMEYLVKALTCCHNYSIKTPENLDEAQQIPF NEFPKLILSRMWAWNETSKVLLTTLRSIPGMHDDVISLAKNIETKLAELFEYTQSILNSI YGTTTTGNVEYTVFSGLEDLKSSDEEFSLFDLCKFSYCLRVDIHMVELYLKLLECVVYVS SDVCLSKNIRDAS >ENSMUSP00000128324.2 pep:known chromosome:GRCm38:10:40001575:40025268:-1 gene:ENSMUSG00000038522.6 transcript:ENSMUST00000163705.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AI317395 description:expressed sequence AI317395 [Source:MGI Symbol;Acc:MGI:2143575] MYAAFLGLGMSVAILGPTFQDLAENVNRNISSLSLIFVGRATGFLSGTMIGGVLFDHINQ FFLLGASMVATAAGLYLIPFCKTAVLLIITMSVFGASVGVVDTGANVLILDLWGDKGAPQ MQALHFSFALGAFLAPLLAKLAWGTAPAQNHTESDLDTLMLNRSSNGTSDSVFAVPDDMN LLWAYASIGTFILVVSVFLFGLFCKKHSRQKKPRASAEGARRAKYHRALLCLLFLFFFFY VGAEITYGSYIFSFATTHVGMEESEAAGLNSIFWGTFAACRGLAIFFATFLQPGTMIVLS NIGSLVSCFFLVLFDKSPLCLWIATSVYGASMAATFPSGISWIEQYTTLTGKSAAFFVIG SALGDMAIPAVIGILQGHYPDLPVVLYTCLGSAIFTAILFPVMYKLATLPLKREDQKALP TSSRL >ENSMUSP00000073873.8 pep:known chromosome:GRCm38:17:35861318:35865402:1 gene:ENSMUSG00000059791.14 transcript:ENSMUST00000074259.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrm description:nurim (nuclear envelope membrane protein) [Source:MGI Symbol;Acc:MGI:2146855] MAPALLLVPAALASFILAFGTGVEFVRFTSLRPLLGGIPESGGPDARHGWLAALQDRSIL ASLAWDLCLLLLFVVQHSLMATEAVKAWTSRYFGVLQRSLYVACTALALQLVMRYWETTP RGPVLWEARAEPWATWVPLLCFVLHVVSWLLIFSILLVFDYAELMGLKQVYYHVLGLGEP LSLKSPRALRLFSHLRHPVCVELLTVLWVVPTLGTDRLLLALLFTLYLGLAHGLDQQDLR YLRSQLQRKLHLLSRPQDGEAE >ENSMUSP00000133355.1 pep:known chromosome:GRCm38:17:35861338:35864663:1 gene:ENSMUSG00000059791.14 transcript:ENSMUST00000174873.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrm description:nurim (nuclear envelope membrane protein) [Source:MGI Symbol;Acc:MGI:2146855] MAPALLLVPAALASFILAFGTGVEFVRFTSLRPLLGGIPESGGPGLLPCAGTGRAPVPEV SSGSETLFPPSTPSVCGAADCAVGGPHLGHRSAPP >ENSMUSP00000134569.1 pep:known chromosome:GRCm38:17:35861486:35864603:1 gene:ENSMUSG00000059791.14 transcript:ENSMUST00000172931.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrm description:nurim (nuclear envelope membrane protein) [Source:MGI Symbol;Acc:MGI:2146855] XLEGSRSLAVPLVMRYWETTPRGPVLWEARAEPWATWVPLLCFVLHVVSWLLIFSILLVF DYAELMGLKQVYYHVLGLGEPLSLKSPRALRLFSHLRHPVC >ENSMUSP00000031695.8 pep:known chromosome:GRCm38:6:24613805:24665009:-1 gene:ENSMUSG00000029684.14 transcript:ENSMUST00000031695.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wasl description:Wiskott-Aldrich syndrome-like (human) [Source:MGI Symbol;Acc:MGI:1920428] MSSGQQPPRRVTNVGSLLLTPQENESLFSFLGKKCVTMSSAVVQLYAADRNCMWAKKCSG VACLVKDNPQRSYFLRIFDIKDGKLLWEQELYNNFVYNSPRGYFHTFAGDTCQVALNFAN EEEAKKFRKAVTDLLGRRQRKSEKRRDAPNGPNLPMATVDIKNPEITTNRFYGSQVNNIS HTKEKKKGKAKKKRLTKADIGTPSNFQHIGHVGWDPNTGFDLNNLDPELKNLFDMCGISE AQLKDRETSKVIYDFIEKTGGVEAVKNELRRQAPPPPPPSRGGPPPPPPPPHSSGPPPPP ARGRGAPPPPPSRAPTAAPPPPPPSRPGVVVPPPPPNRMYPPPPPALPSSAPSGPPPPPP PSMAGSTAPPPPPPPPPPPGPPPPPGLPSDGDHQVPAPSGNKAALLDQIREGAQLKKVEQ NSRPVSCSGRDALLDQIRQGIQLKSVSDGQESTPPTPAPTSGIVGALMEVMQKRSKAIHS SDEDEDDDDEEDFEDDDEWED >ENSMUSP00000043968.7 pep:known chromosome:GRCm38:6:24632685:24664988:-1 gene:ENSMUSG00000029684.14 transcript:ENSMUST00000041737.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wasl description:Wiskott-Aldrich syndrome-like (human) [Source:MGI Symbol;Acc:MGI:1920428] MSSGQQPPRRVTNVGSLLLTPQENESLFSFLGKKCVTMSSAVVQLYAADRNCMWAKKCSG VACLVKDNPQRSYFLRIFDIKDGKLLWEQELYNNFVYNSPRGYFHTFAGDTCQVALNFAN EEEAKKFRKAVTDLLGRRQRKSALRTVLKVPACTILLRHSRTLNSWTHAVSNHHAVINAN REDIPRRKRALHC >ENSMUSP00000050453.4 pep:known chromosome:GRCm38:4:46155347:46196311:-1 gene:ENSMUSG00000028329.13 transcript:ENSMUST00000058232.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpa description:xeroderma pigmentosum, complementation group A [Source:MGI Symbol;Acc:MGI:99135] MATAEEKQTSPEPVAADEPAQLPAAVRASVERKRQRALMLRQARLAARPYPAAAATGGVA SVKAAPKMIDTKGGFILEEEEEKHEIGNIVHEPGPVMEFDYTICEECGKEFMDSYLMNHF DLPTCDSCRDADDKHKLITKTEAKQEYLLKDCDLEKREPALRFLVKKNPRHSQWGDMKLY LKLQVVKRALEVWGSQEALEDAKEVRQENREKMKQKKFDKKVKVGLDDAVKILLDDKPLH RMAPWHNRALEKRSSVLTRTTTKQISFIYSINTCDCCAK >ENSMUSP00000138512.1 pep:known chromosome:GRCm38:4:46175222:46185649:-1 gene:ENSMUSG00000028329.13 transcript:ENSMUST00000132358.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Xpa description:xeroderma pigmentosum, complementation group A [Source:MGI Symbol;Acc:MGI:99135] EFMDSYLMNHFDLPTCDSCRDADDKHKLITKTEAKQEYLLKDCDLEKREPALRFLVKKNP RHSQWGDMKLYLKLQVVKRALEVWGSQEALEDAKEVRQENREKMKQKKFDKKVKALGGYV PVLTRPQRAEWSRL >ENSMUSP00000030013.5 pep:known chromosome:GRCm38:4:46175222:46196311:-1 gene:ENSMUSG00000028329.13 transcript:ENSMUST00000030013.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpa description:xeroderma pigmentosum, complementation group A [Source:MGI Symbol;Acc:MGI:99135] MATAEEKQTSPEPVAADEPAQLPAAVRASVERKRQRALMLRQARLAARPYPAAAATGGVA SVKAAPKMIDTKGGFILEEEEEKHEIGNIVHEPGPVMEFDYTICEECGKEFMDSYLMNHF DLPTCDSCRDADDKHKLITKTEAKQEYLLKDCDLEKREPALRFLVKKNPRHSQWGDMKLY LKLQVVKRALEVWGSQEALEDAKEVRQENREKMKQKKFDKKVKELRRAIRSSVWKRETTT HQHKYGPEENLEDDMYRKTCTLCGHELTYEKM >ENSMUSP00000121850.1 pep:known chromosome:GRCm38:4:46182969:46196304:-1 gene:ENSMUSG00000028329.13 transcript:ENSMUST00000142380.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Xpa description:xeroderma pigmentosum, complementation group A [Source:MGI Symbol;Acc:MGI:99135] MATAEEKQTSPEPVAADEPAQLPAAVRASVERKRQRALMLRQARLAARPYPAAAATGGVA SVKAAPKMIDTKGGFILEEEEEKHEIGNIVHEPGPVMEFDYTICEECGKEFMDSYLMNHF DLPTCDSCRDADDKHKLITKTEAKQEYLLKDCDLEKREPALRFLVKKNPRHSQWGDMKLY LKLQVVKRALEVWGSQEALEDAKEVRQENREKMKQKKFDKKVKGKWPDFLSRRSQSTVSV GKERGVNAGLRALVYEAWVNPHGTSLIKAIVFM >ENSMUSP00000029388.8 pep:known chromosome:GRCm38:3:79629079:79632820:1 gene:ENSMUSG00000027811.9 transcript:ENSMUST00000029388.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930579G24Rik description:RIKEN cDNA 4930579G24 gene [Source:MGI Symbol;Acc:MGI:1923189] MADSEEFRASSPPPPPPSSPSSGASSSSLSMPVSLGWRDPSRSPGPTVDPLEQVELQIGD AAFSLTKLLEATSAVSAQVEELALKCTENARFLKTWRDLLKEGYDSLKPDN >ENSMUSP00000115753.1 pep:known chromosome:GRCm38:17:35865593:35875591:1 gene:ENSMUSG00000034595.17 transcript:ENSMUST00000127442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r18 description:protein phosphatase 1, regulatory subunit 18 [Source:MGI Symbol;Acc:MGI:1923698] MTAIPDWKLQLLARRRQEEAAVRGREKAERDRLSQMPAWKRGILERRRAKLGLPPGEGSP VPGNAEAGPPDPDESAVLLEAIGPVHQNRFIQQERQRQQQQQQQQRNEVLGDRKAGPLEV LERRSSPGNLRDQSPKGRESREERLSPRESRDRRLVIGGAQESSSRSLRDWRQSPAEARD LSSRPAEAQKWRLSPGETPEESLRLAGSGDDSPKRKEVLESILSPGEPGDQKASPTDVHK WNLDSREPQKQSLIQLEATEWRLKSGEERKDYLEGCGREEEKLSSGIVPVTKEVQDITSS EVETAEQRPTESWKWTLNSGKARERTTWDIDTQTQKPDPPASSEKHPGPSGMEAEEEAEK EEAEAQSRPLRAQQNLCSGPSPLPPEHSGTEGSRQQEEEAAEPRPPTPAPLSPPPSAPTA PQPSGDPLMSRLFYGVKPGPGVGAPRRSGHTFTVNPRRCAPPASPAPPVNPATADAAGSG SGKKRYPTAEEILVLGGYLRLSRSCLVKGSPERHHKQLKISFSETALETTYQYPSESSVL EDLGPEPETPIAPLATQPDEEEEEEEEEEELLLQPGLQGGLRTKALIVGKRLRPGWRRAP PGVCGVVVL >ENSMUSP00000116100.1 pep:known chromosome:GRCm38:17:35865625:35875492:1 gene:ENSMUSG00000034595.17 transcript:ENSMUST00000144382.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r18 description:protein phosphatase 1, regulatory subunit 18 [Source:MGI Symbol;Acc:MGI:1923698] MTAIPDWKLQLLARRRQEEAAVRGREKAERDRLSQMPAWKRGILERRRAKLGLPPGEGSP VPGNAEAGPPDPDESAVLLEAIGPVHQNRFIQQERQRQQQQQQQQRNEVLGDRKAGPLEV LERRSSPGNLRDQSPKGRESREERLSPRESRDRRLVIGGAQESSSRSLRDWRQSPAEARD LSSRPAEAQKWRLSPGETPEESLRLAGSGDDSPKRKEVLESILSPGEPGDQKASPTDVHK WNLDSREPQKQSLIQLEATEWRLKSGEERKDYLEGCGREEEKLSSGIVPVTKEVQDITSS EVETAEQRPTESWKWTLNSGKARERTTWDIDTQTQKPDPPASSEKHPGPSGMEAEEEAEK EEAEAQSRPLRAQQNLCSGPSPLPPEHSGTEGSRQQEEEAAEPRPPTPAPLSPPPSAPTA PQPSGDPLMSRLFYGVKPGPGVGAPRRSGHTFTVNPRRCAPPASPAPPVNPATADAAGSG SGKKRYPTAEEILVLGGYLRLSRSCLVKGSPERHHKQLKISFSETALETTYQYPSESSVL EDLGPEPETPIAPLATQPDEEEEEEEEEEELLLQPGLQGGLRTKALIVDESCRR >ENSMUSP00000120343.1 pep:known chromosome:GRCm38:17:35866056:35875596:1 gene:ENSMUSG00000034595.17 transcript:ENSMUST00000122899.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r18 description:protein phosphatase 1, regulatory subunit 18 [Source:MGI Symbol;Acc:MGI:1923698] MTAIPDWKLQLLARRRQEEAAVRGREKAERDRLSQMPAWKRGILERRRAKLGLPPGEGSP VPGNAEAGPPDPDESAVLLEAIGPVHQNRFIQQERQRQQQQQQQQRNEVLGDRKAGPLEV LERRSSPGNLRDQSPKGRESREERLSPRESRDRRLVIGGAQESSSRSLRDWRQSPAEARD LSSRPAEAQKWRLSPGETPEESLRLAGSGDDSPKRKEVLESILSPGEPGDQKASPTDVHK WNLDSREPQKQSLIQLEATEWRLKSGEERKDYLEGCGREEEKLSSGIVPVTKEVQDITSS EVETAEQRPTESWKWTLNSGKARERTTWDIDTQTQKPDPPASSEKHPGPSGMEAEEEAEK EEAEAQSRPLRAQQNLCSGPSPLPPEHSGTEGSRQQEEEAAEPRPPTPAPLSPPPSAPTA PQPSGDPLMSRLFYGVKPGPGVGAPRRSGHTFTVNPRRCAPPASPAPPVNPATADAAGSG SGKKRYPTAEEILVLGGYLRLSRSCLVKGSPERHHKQLKISFSETALETTYQYPSESSVL EDLGPEPETPIAPLATQPDEEEEEEEEEEELLLQPGLQGGLRTKALIVDESCRR >ENSMUSP00000109445.4 pep:known chromosome:GRCm38:17:35866660:35875587:1 gene:ENSMUSG00000034595.17 transcript:ENSMUST00000113814.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r18 description:protein phosphatase 1, regulatory subunit 18 [Source:MGI Symbol;Acc:MGI:1923698] MTAIPDWKLQLLARRRQEEAAVRGREKAERDRLSQMPAWKRGILERRRAKLGLPPGEGSP VPGNAEAGPPDPDESAVLLEAIGPVHQNRFIQQERQRQQQQQQQQRNEVLGDRKAGPLEV LERRSSPGNLRDQSPKGRESREERLSPRESRDRRLVIGGAQESSSRSLRDWRQSPAEARD LSSRPAEAQKWRLSPGETPEESLRLAGSGDDSPKRKEVLESILSPGEPGDQKASPTDVHK WNLDSREPQKQSLIQLEATEWRLKSGEERKDYLEGCGREEEKLSSGIVPVTKEVQDITSS EVETAEQRPTESWKWTLNSGKARERTTWDIDTQTQKPDPPASSEKHPGPSGMEAEEEAEK EEAEAQSRPLRAQQNLCSGPSPLPPEHSGTEGSRQQEEEAAEPRPPTPAPLSPPPSAPTA PQPSGDPLMSRLFYGVKPGPGVGAPRRSGHTFTVNPRRCAPPASPAPPVNPATADAAGSG SGKKRYPTAEEILVLGGYLRLSRSCLVKGSPERHHKQLKISFSETALETTYQYPSESSVL EDLGPEPETPIAPLATQPDEEEEEEEEEEELLLQPGLQGGLRTKALIVDESCRR >ENSMUSP00000140652.1 pep:known chromosome:GRCm38:17:35868403:35875594:1 gene:ENSMUSG00000034595.17 transcript:ENSMUST00000190496.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r18 description:protein phosphatase 1, regulatory subunit 18 [Source:MGI Symbol;Acc:MGI:1923698] MSRLFYGVKPGPGVGAPRRSGHTFTVNPRRCAPPASPAPPVNPATADAAGSGSGKKRYPT AEEILVLGGYLRLSRSCLVKGSPERHHKQLKISFSETALETTYQYPSESSVLEDLGPEPE TPIAPLATQPDEEEEEEEEEEELLLQPGLQGGLRTKALIVDESCRR >ENSMUSP00000141094.1 pep:known chromosome:GRCm38:17:35866615:35875596:1 gene:ENSMUSG00000034595.17 transcript:ENSMUST00000187690.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r18 description:protein phosphatase 1, regulatory subunit 18 [Source:MGI Symbol;Acc:MGI:1923698] MTAIPDWKLQLLARRRQEEAAVRGREKAERDRLSQMPAWKRGILERRRAKLGLPPGEGSP VPGNAEAGPPDPDESAVLLEAIGPVHQNRFIQQERQRQQQQQQQQRNEVLGDRKAGPLEV LERRSSPGNLRDQSPKGRESREERLSPRESRDRRLVIGGAQESSSRSLRDWRQSPAEARD LSSRPAEAQKWRLSPGETPEESLRLAGSGDDSPKRKEVLESILSPGEPGDQKASPTDVHK WNLDSREPQKQSLIQLEATEWRLKSGEERKDYLEGCGREEEKLSSGIVPVTKEVQDITSS EVETAEQRPTESWKWTLNSGKARERTTWDIDTQTQKPDPPASSEKHPGPSGMEAEEEAEK EEAEAQSRPLRAQQNLCSGPSPLPPEHSGTEGSRQQEEEAAEPRPPTPAPLSPPPSAPTA PQPSGDPLMSRLFYGVKPGPGVGAPRRSGHTFTVNPRRCAPPASPAPPVNPATADAAGSG SGKKRYPTAEEILVLGGYLRLSRSCLVKGSPERHHKQLKISFSETALETTYQYPSESSVL EDLGPEPETPIAPLATQPDEEEEEEEEEEELLLQPGLQGGLRTKALIVDESCRR >ENSMUSP00000021776.2 pep:known chromosome:GRCm38:13:27709016:27716737:-1 gene:ENSMUSG00000021348.2 transcript:ENSMUST00000021776.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl7d1 description:prolactin family 7, subfamily d, member 1 [Source:MGI Symbol;Acc:MGI:97619] MLPSLIQPCSSGTLLMLLMSNLFLWEKVSSAPINASEAVLSDLKDLFDNATVLSGEMSKL GVIMRKEFFMNSFSSETFNKIILDLHKSTENITKAFNSCHTVPINVPETVEDVRKTSFEE FLKMVLHMLLAWKEPLKHLVTELSALPECPYRILSKAEAIEAKNKDLLEYIIRIISKVNP AIKENEDYPTWSDLDSLKSADKETQFFALYMFSFCLRIDLETVDFLVNFLKCLLLYDDVC YSEF >ENSMUSP00000029386.7 pep:known chromosome:GRCm38:3:79603788:79628863:-1 gene:ENSMUSG00000027809.14 transcript:ENSMUST00000029386.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etfdh description:electron transferring flavoprotein, dehydrogenase [Source:MGI Symbol;Acc:MGI:106100] MLVRLTKLSCPAYHWFHALKIKKCLPLCAPRCSSTSAVPQITTHYTVHPREKDKRWEGVN MERFAEEADVVIVGAGPAGLSAAIRLKQLAAEQGKDIRVCLVEKAAQIGAHTLSGACLDP AAFKELFPDWKEKGAPLNTPVTEDRFAILTEKHRIPVPILPGLPMNNHGNYIVRLGHLVS WMGEQAEALGVEVYPGYAAAEVLYHEDGSVKGIATNDVGIQKDGAPKTTFERGLELHAKV TVFAEGCHGHLAKQLYKKFDLRASCDAQTYGIGLKELWIIDEKKWKPGRVDHTVGWPLDR HTYGGSFLYHLNEGEPLVAVGFVVGLDYQNPYLSPFREFQRWKHHPSIQPTLEGGKRIAY GARALNEGGLQSIPKLTFPGGLLIGCSPGFMNVPKIKGTHTAMKSGSLAAESIFKQLTSE NLQSKTTGLHVTEYEDNLKQSWVWKELHAVRNIRPSCHGILGVYGGMIYTGIFYWILRGM EPWTLKHKGSDSDQLKPAKDCTPIEYPKPDGQISFDLLSSVALSGTNHEHDQPAHLTLKD DSIPVNRNLSIYDGPEQRFCPAGVYEFVPLEQGDGFRLQINAQNCVHCKTCDIKDPSQNI NWVVPEGGGGPAYNGM >ENSMUSP00000113888.1 pep:known chromosome:GRCm38:3:79603803:79628697:-1 gene:ENSMUSG00000027809.14 transcript:ENSMUST00000120992.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Etfdh description:electron transferring flavoprotein, dehydrogenase [Source:MGI Symbol;Acc:MGI:106100] MERFAEEADVVIVGAGPAGLSAAIRLKQLAAEQGKDIRVCLVEKAAQIGAHTLSGACLDP AAFKELFPDWKEKGAPLNTPVTEDRFAILTEKHRIPVPILPGLPMNNHGNYIVRLGHLVS WMGEQAEALGVEVYPGYAAAEVLYHEDGSVKGIATNDVGIQKDGAPKTTFERGLELHAKV TVFAEGCHGHLAKQLYKKFDLRASCDAQTYGIGLKELWIIDEKKWKPGRVDHTVGWPLDR HTYGGSFLYHLNEGEPLVAVGFVVGLDYQNPYLSPFREFQRWKHHPSIQPTLEGGKRIAY GARALNEGGLQSIPKLTFPGGLLIGCSPGFMNVPKIKGTHTAMKSGSLAAESIFKQLTSE NLQSKTTGLHVTEYEDNLKQSWVWKELHAVRNIRPSCHGILGVYGGMIYTGIFYWILRGM EPWTLKHKGSDSDQLKPAKDCTPIEYPKPDGQISFDLLSSVALSGTNHEHDQPAHLTLKD DSIPVNRNLSIYDGPEQRFCPAGVYEFVPLEQGDGFRLQINAQNCVHCKTCDIKDPSQNI NWVVPEGGGGPAYNGM >ENSMUSP00000085633.4 pep:known chromosome:GRCm38:5:24586741:24594395:1 gene:ENSMUSG00000038181.16 transcript:ENSMUST00000088295.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpf2 description:chondroitin polymerizing factor 2 [Source:MGI Symbol;Acc:MGI:1917522] MRLSSLLALLRPVLPLILGLSLGCSLSLLRVSWIQGEGEDPCVEAVGKPGGPQNPDSKNG LDQGDEDFRPRIVPYYRDPNKPYKKVLRTRYIQTELGSRERLLVAVLTSRATLSTLAVAV NRTVAHHFPRLLYFTGQRGARAPAGMQVVSHGDERPAWLMSETLRHLHTHFGADYDWFFI MQDDTYVQAPRLAALAGHLSINQDLYLGHAEEFIGTGEQARYCHGGFGYLLSRSLLLRLR PHLDGCRGDILSARPDEWLGRCLIDTLGIGCVSQHQGQQYRSFELAKNRDPEKEESPAFL SAFAVHPVPEGTLMYRLHKRFSALELERAYSEIEQLQAQIRNLTVLTPEGEAGLSWPVGL PAPFTPHSRFEVLGWDYFTEQHTFACADGAPKCPLQGASRADVGDAVDTALEQLNRRYQP RLRFRKQRLLNGYRRFDPARGMEYTLDLLLEAVTQRGHRRSLARRVSLLRPLSRVEILPM PYVTEATRVQLVLPLLVAEAPAALAFLEAFAASVLEPREHALLTLLLVYGPREGRGGPDP FLGVKAAAAELERRYPGARLAWLAVRAEAPSQVRLMDVISKKHPVDTLFFLTTVWTRPGS EVLNRCRMNAISGWQAFFPVHFQEFNPALSPQRSPPGVPGADPPSPGVDPSRGAPVGGRF DRQASAEGCFYNADYLAARARLAGELAGQEEEEALEGLEVMDVFLRFSGLHLFRAVEPGL VQKFSLRDCSPRLSEELYHRCRLSNLEGLGARTQLAMALFEQEQANST >ENSMUSP00000143185.1 pep:known chromosome:GRCm38:5:24586825:24591220:1 gene:ENSMUSG00000038181.16 transcript:ENSMUST00000197318.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpf2 description:chondroitin polymerizing factor 2 [Source:MGI Symbol;Acc:MGI:1917522] MYRLHKRFSALELERAYSEIEQLQAQIRNLTVLTPEGEAGLSWPVGLPAPFTPHSRFEVL GWDYFTEQHTFACA >ENSMUSP00000112804.1 pep:known chromosome:GRCm38:5:24586750:24592484:1 gene:ENSMUSG00000038181.16 transcript:ENSMUST00000121863.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Chpf2 description:chondroitin polymerizing factor 2 [Source:MGI Symbol;Acc:MGI:1917522] MRLSSLLALLRPVLPLILGLSLGCSLSLLRVSWIQGEGEDPCVEAVGKPGGPQNPDSKNG LDQGDEDFRPRIVPYYRDPNKPYKKVLRTRYIQTELGSRERLLVAVLTSRATLSTLAVAV NRTVAHHFPRLLYFTGQRGARAPAGMQVVSHGDERPAWLMSETLRHLHTHFGADYDWFFI MQDDTYVQAPRLAALAGHLSINQDLYLGHAEEFIGTGEQARYCHGGFGYLLSRSLLLRLR PHLDGCRGDILSARPDEWLGRCLIDTLGIGCVSQHQGQQYRSFELAKNRDPEKEESPAFL SAFAVHPVPEGTLMYRLHKRFSALELERAYSEIEQLQAQIRNLTVLTPEGEAGLSWPVGL PAPFTPHSRFEVLGWDYFTEQHTFACADGAPKCPLQGASRADVGDAVDTALEQLNRRYQP RLRFRKQRLLNGYRRFDPARGMEYTLDLLLEAVTQRGHRRSLARRVSLLRPLSRVEILPM PYVTEATRVQLVLPLLVAEAPAALAFLEAFAASVLEPREHALLTLLLVYGPREGRGGPDP FLGVKAAAAELERRYPGARLAWLAVRAEAPSQVRLMDVISKKHPVDTLFFLTTVWTRPGS EVLNRCRMNAISGWQAFFPVHFQEFNPALSPQRSPPGVPGADPPSPGVDPSRGAPVGGRF DRQASAEGCFYNADYLAARARLAGELAGQEEEEALEGLEVMDVFLRFSGLHLFRAVEPGL VQKFSLRDCSPRLSEELYHRCRLSNLEGLGARTQLAMALFEQEQANST >ENSMUSP00000039663.6 pep:known chromosome:GRCm38:13:93674433:93752831:1 gene:ENSMUSG00000042102.6 transcript:ENSMUST00000048001.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dmgdh description:dimethylglycine dehydrogenase precursor [Source:MGI Symbol;Acc:MGI:1921379] MLRPGALRLRGLALRGSPRRPSSAGLREGQESPASPPEWKDRAETVIIGGGCVGVSLAYH LAKAGMRDVVLMEKSELTAGSTWHAAGLTTYFHPGINLKKIHYDSIKLYERLEEETGQVV GFHQPGSIRLATTPVRVDEFKYQMTRTNWHATEQYIIEPEKIHELFPLLNMNKILAGLYN PGDGHIDPYSLTMALAAGARKYGALLKYPAPVTSLKPRPDGTWDVETPQGSVRANRIVNA AGFWAREVGKMIGLDHPLIPVQHQYVVTSTIPEVKALKRELPVLRDLEGSYYLRQERDGL LFGPYESQEKMKLQASWVTHGVPPGFGKELFESDLDRISDHLEAAMEMIPVLKKADIINV VNGPITYSPDILPMVGPHQGVRNYWVATGFGYGIIHAGGVGKFLSDWILHGEPPFDLIEL DPNRYGKWTTTQYTEAKARESYGFNNIVGYPKEERFAGRPTQRVSGLYKTLKSKCSMGFH AGWEQPHWFYKPGQDTQYRPSFRRTNWFEPVGSEYKQVMQRVGVIDLSPFGKFNIKGRDS TQLLDHLFANVIPKVGFTNISHMLTPRGRVYAELTVSQQSPGEFLLITGSGSELHDLRWI EEAAFRGGYDVEIQNITDEFGVLGVAGPYARRVLQKLTSEDLSDDAFKFLQTKSFNISDI PVTAIRISYTGELGWELYHRREDSATLYERIMSAGQEEGIGDFGTYALNALRLEKAFRAW GSEMNCDTNPLEAGLEYFVKLNKPADFIGKQALKQIKTEGLKRRLVCLTVATDDVDPEGN ESIWYKGKVVGNTTSGSYSYSIQKSLAFAYVPVQLSEVGQQVEVELLGKNYPATIIQEPL VLTEPARARLQKDGKKTNLEKGPSRTTKL >ENSMUSP00000142697.1 pep:known chromosome:GRCm38:3:104788375:104794282:1 gene:ENSMUSG00000002233.13 transcript:ENSMUST00000196817.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoc description:ras homolog gene family, member C [Source:MGI Symbol;Acc:MGI:106028] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYIADIEVDGKQVELALWDT AGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKD LRQDEHTRRELAKMKQEPVRSEEGRDMANRISAFGYLECSAKTKEGVREVFEMATRAGLQ VRKNKRRRGCPIL >ENSMUSP00000002303.5 pep:known chromosome:GRCm38:3:104788888:104794459:1 gene:ENSMUSG00000002233.13 transcript:ENSMUST00000002303.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoc description:ras homolog gene family, member C [Source:MGI Symbol;Acc:MGI:106028] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYIADIEVDGKQVELALWDT AGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKD LRQDEHTRRELAKMKQEPVRSEEGRDMANRISAFGYLECSAKTKEGVREVFEMATRAGLQ VRKNKRRRGCPIL >ENSMUSP00000102399.1 pep:known chromosome:GRCm38:3:104789034:104794344:1 gene:ENSMUSG00000002233.13 transcript:ENSMUST00000106787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoc description:ras homolog gene family, member C [Source:MGI Symbol;Acc:MGI:106028] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYIADIEVDGKQVELALWDT AGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKD LRQDEHTRRELAKMKQEPVRSEEGRDMANRISAFGYLECSAKTKEGVREVFEMATRAGLQ VRKNKRRRGCPIL >ENSMUSP00000135659.1 pep:known chromosome:GRCm38:3:104789058:104794051:1 gene:ENSMUSG00000002233.13 transcript:ENSMUST00000176347.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoc description:ras homolog gene family, member C [Source:MGI Symbol;Acc:MGI:106028] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYIADIEVDGKQVELALWDT AGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNKKD LRQDEHTRRELAKMKQDQCLWLPRVLGQD >ENSMUSP00000142855.1 pep:known chromosome:GRCm38:3:104789228:104792977:1 gene:ENSMUSG00000002233.13 transcript:ENSMUST00000199824.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rhoc description:ras homolog gene family, member C [Source:MGI Symbol;Acc:MGI:106028] MAAIRKKLVIVGDGACGKTCLLIVFSKDQFPEVYVPTVFENYIADIEVDGKQVELALWDT AGQEDYDRLRPLSYPDTDVILMCFSIDSPDSLENIPEKWTPEVKHFCPNVPIILVGNK >ENSMUSP00000099985.3 pep:known chromosome:GRCm38:11:5896637:5898782:-1 gene:ENSMUSG00000020469.8 transcript:ENSMUST00000102921.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myl7 description:myosin, light polypeptide 7, regulatory [Source:MGI Symbol;Acc:MGI:107495] MASRKAGTRGKAAATKQAQRGSSNVFSMFEQAQIQEFKEAFSCIDQNRDGIICKSDLKET YSQLGRVSVPEEELDAMLQEGKGPINFTVFLTLFGEKLNGTDPEEAILSAFRMFDPSGQG VVNKEEFKQLLMTQADKFSPAEVEQLFALTPMDLAGNIDYKSLCYIITHGDEKEE >ENSMUSP00000080548.6 pep:known chromosome:GRCm38:2:25192966:25196886:-1 gene:ENSMUSG00000059555.6 transcript:ENSMUST00000081869.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor4a description:torsin family 4, member A [Source:MGI Symbol;Acc:MGI:2442720] MDRSHPSLEPQAKGPCVIAPVRAVLRLRRRVCVLRKRRLLQPGTEPDSGTGTLGPTGSLG TLRADLDQPKFFTFDSLTELTSRTPRKRRRRSRVVLYPETSRKCRPRTERQSRAQRCLLL LVAIVGFQVLNAIENLDDNAQRYDLDGLEKALQRSVFGQPAAVGRIMALLRDYLATHVHS HPLLLALHGPSGVGKSHVGRLLARHFRAVLEDGALVLQYHARYHCPEPRPVQDCRKELAQ RVADVVAQAEAEEKTPLLVLDEAELLPPALLDELHDLLQPQRSHHFHNAIYVLLSGAGGI EITHFVLQNASRMLPPLRHSAGSTQTEESPAQELLTSLRELLAREHPLWHTAAIVPFLLL DKPDVVNCFREEMAGEGFFPEQALAEHLAEQLSYYHVAGHEFAITGCKQVVAKVNLLQHK PAHAGH >ENSMUSP00000142048.1 pep:known chromosome:GRCm38:2:25195057:25196759:-1 gene:ENSMUSG00000059555.6 transcript:ENSMUST00000142857.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor4a description:torsin family 4, member A [Source:MGI Symbol;Acc:MGI:2442720] MALLRDYLATHVHSHPLLLALHGPSGVGKSHVGRLLARHFRAVLEDGALVLQYHARYHCP EPRPVQDCRKELAQRVADVVAQAEAEEKTPLLVLDEAELLPPALLDELHDLL >ENSMUSP00000141641.1 pep:known chromosome:GRCm38:2:25195792:25196771:-1 gene:ENSMUSG00000059555.6 transcript:ENSMUST00000137920.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tor4a description:torsin family 4, member A [Source:MGI Symbol;Acc:MGI:2442720] MDRSHPSLEPQAKGPCVIAPVRAVLRLRRRVCV >ENSMUSP00000030791.7 pep:known chromosome:GRCm38:5:24592622:24602012:-1 gene:ENSMUSG00000028949.13 transcript:ENSMUST00000030791.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcd3 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily d, member 3 [Source:MGI Symbol;Acc:MGI:1914243] MAADEVAGGARKATKSKLFEFLVHGVRPGMPSGARMPHQGAPMGPPGSPYMGSPAVRPGL APAGMEPARKRAAPPPGQSQAQGQGQPVPTAPARSRSAKRRKMADKILPQRIRELVPESQ AYMDLLAFERKLDQTIMRKRVDIQEALKRPMKQKRKLRLYISNTFNPAKPDAEDSDGSIA SWELRVEGKLLDDPSKQKRKFSSFFKSLVIELDKDLYGPDNHLVEWHRTPTTQETDGFQV KRPGDLSVRCTLLLMLDYQPPQFKLDPRLARLLGLHTQSRSAIVQALWQYVKTNRLQDSH DKEYINGDKYFQQIFDCPRLKFSEIPQRLTALLLPPDPIVINHVISVDPSDQKKTACYDI DVEVEEPLKGQMSSFLLSTANQQEISALDSKIHETIESINQLKIQRDFMLSFSRDPKGYV QDLLRSQSRDLKVMTDVAGNPEEERRAEFYHQPWSQEAVSRYFYCKIQQRRQELEQSLVV RNT >ENSMUSP00000143437.1 pep:known chromosome:GRCm38:5:24592622:24624411:-1 gene:ENSMUSG00000028949.13 transcript:ENSMUST00000195943.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smarcd3 description:SWI/SNF related, matrix associated, actin dependent regulator of chromatin, subfamily d, member 3 [Source:MGI Symbol;Acc:MGI:1914243] MPSGARMPHQGAPMGPPGSPYMGSPAVRPGLAPAGMEPARKRAAPPPGQSQAQGQGQPVP TAPARSRSAKRRKMADKILPQRIRELVPESQAYMDLLAFERKLDQTIMRKRVDIQEALKR PMKQKRKLRLYISNTFNPAKPDAEDSDGSIASWELRVEGKLLDDPSKQKRKFSSFFKSLV IELDKDLYGPDNHLVEWHRTPTTQETDGFQVKRPGDLSVRCTLLLMLDYQPPQFKLDPRL ARLLGLHTQSRSAIVQALWQYVKTNRLQDSHDKEYINGDKYFQQIFDCPRLKFSEIPQRL TALLLPPDPIVINHVISVDPSDQKKTACYDIDVEVEEPLKGQMSSFLLSTANQQEISALD SKIHETIESINQLKIQRDFMLSFSRDPKGYVQDLLRSQSRDLKVMTDVAGNPEEERRAEF YHQPWSQEAVSRYFYCKIQQRRQELEQSLVVRNT >ENSMUSP00000055253.4 pep:known chromosome:GRCm38:3:53463666:53481755:1 gene:ENSMUSG00000049504.12 transcript:ENSMUST00000058577.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proser1 description:proline and serine rich 1 [Source:MGI Symbol;Acc:MGI:1919933] MDKKSFETVLDEIRKAVLTEYKLKAIEYVHGYFSSEQVVDLLRYFSWAEPQLKAMKALQH KMVAVHPAEVVSILSCFTFSKDKLAALELLASNIVDAQNSRPIEDLFRINMSEKKRCKRV LEQASKAGCKAPHAMISSCGTFPGNPYPKGKPSRINGIFPGTPLKKDGEEITNEGKGIAA RILGPSKPPPSTYNPHKPVPYPIPPCRPHATIAPSAYNNAGLVPLANVIAPGVPPPPPYT PNPAGTDNEDLSSQSKPTQSQTFSTPASQLFSPHGSSNPSTPAATPVPAVSPAKAVNHPS VSAAATGAGMSATNTALAVFPTPQPNTPNPTVIRTPSVPATPVTSTHSTTPTPVPSVFSG LVPLPGLSATPTLPTQASSISRVTLASSETFASTCAPFSGHSSASSTAGSASNPITAPLS SVFAGLPLPFPPASHSIATPTPSVIASAAGPHGVNSPLLSALKGFLTSNDTHLINSSALP SAVTSGLASLSSLPNRNSDSPASATNKCYPPAAVPAAQRSSTPGLAMFPGLQSPVASATS VAPTLPAQSPLATPSTAVPVSCGSSGSLLHGPHAGGISSAPAAATMPVMIKSEPTSPPPS AFKGPAHPGTPVRGTLGLSGALGRGYPTTSVPISVSTCLNPALSGLSSLSTPLAGSMSLP PHASSTPIAPVFTALPPFTSLTNSFPLPGSPSLNPAVSLAGSSTTTTSAAVHPSSATVRS VLPTSNASPAAFPLNLSTAVPSLFAVTQGPLPTSNPSYPGFPVSSAPSGAPTLPSFPGLQ APSTVAVTPLPVAASAPSPAPVLPGFASAFSSNFNSALVAQAGLSSGLQAAGSSVFPGLL SLPGIPGFSQAPPQSSLQELQHSAAAQSALLQQVHSASALESYPAQADGFPSYPSTPGTP FSLQTGLSQSGWQ >ENSMUSP00000103401.1 pep:known chromosome:GRCm38:4:46114746:46138475:-1 gene:ENSMUSG00000035495.15 transcript:ENSMUST00000107772.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tstd2 description:thiosulfate sulfurtransferase (rhodanese)-like domain containing 2 [Source:MGI Symbol;Acc:MGI:3039624] MPSSTSPDEEDGLETCVLKVFDLDLKESNLVNPSNSLKAELDGSTKKKYSFAKKKAFALL VKTKQVPAPSYEFKGKRWRCCQQLFADQISIHRHVATQHAEDVYQQTASLLKQLTAALSA SQSLTPTDKRSSPKDCLTPSQEVSAWLPDVSHVSPQELRSGQGDEEGEVLLYYCYCDLED PHWVCAWQTALCHHLHLTGKIRIATEGINGTVGGSKVATRLYVEVMLSCPLFKDYLSEDD FKSSKGGSHCFPELRVGVFEEIVPMGISPSQVSYKKPGIHLSPGEFHKEIEKLLSQSSEE QGNTIILDCRNFYESKIGRFQGCLAPDIRKFSYFPSYVDKNLDIFRQKRVLMYCTGGIRC ERGSAYLRAKGVCKEVFQLKGGIHKYLEEFPDGFYKGKLFVFDERFALAYNSSVVSECSY CGAPWDQYKLCSTPQCRQLVLTCSACQGQGFTACCVTCQDKGGKQASGPSQDSFKEECEC TARRPRIPQEQQAQS >ENSMUSP00000103399.1 pep:known chromosome:GRCm38:4:46115732:46138438:-1 gene:ENSMUSG00000035495.15 transcript:ENSMUST00000107770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tstd2 description:thiosulfate sulfurtransferase (rhodanese)-like domain containing 2 [Source:MGI Symbol;Acc:MGI:3039624] MPSSTSPDEEDGLETCVLKVFDLDLKESNLVNPSNSLKAELDGSTKKKYSFAKKKAFALL VKTKQVPAPSYEFKGKRWRCCQQLFADQISIHRHVATQHAEDVYQQTASLLKQLTAALSA SQSLTPTDKRSSPKDCLTPSQEVSAWLPDVSHVSPQELRSGQGDEEGEVLLYYCYCDLED PHWVCAWQTALCHHLHLTGKIRIATEGINGTVGGSKVATRLYVEVMLSCPLFKDYLSEDD FKSSKGGSHCFPELRVGVFEEIVPMGISPSQVSYKKPGIHLSPGEFHKEIEKLLSQSSEE QGNTIILDCRNFYESKIGRFQGCLAPDIRKFSYFPSYVDKNLDIFRQKRVLMYCTGGIRC ERGSAYLRAKGVCKEVFQLKGGIHKYLEEFPDGFYKGKLFVFDERFALAYNSSVVSECSY CGAPWDQYKLCSTPQCRQLVLTCSACQGQGFTACCVTCQDKGGKQASGPSQDSFKEECEC TARRPRIPQEQQAQS >ENSMUSP00000115473.1 pep:known chromosome:GRCm38:4:46131934:46138694:-1 gene:ENSMUSG00000035495.15 transcript:ENSMUST00000147837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tstd2 description:thiosulfate sulfurtransferase (rhodanese)-like domain containing 2 [Source:MGI Symbol;Acc:MGI:3039624] MPSSTSPDEEDGLETCVLKVFDLDLKESNLVNPSNSLKAELDGSTKKKYSFAKKKAFALL VKTKQVPAPSYEFKGKRWRCCQQLFADQISIHRHVATQHAEDVYQQTASLLKQLTAALSA SQSLTPTDK >ENSMUSP00000117990.1 pep:known chromosome:GRCm38:4:46131997:46138409:-1 gene:ENSMUSG00000035495.15 transcript:ENSMUST00000144495.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tstd2 description:thiosulfate sulfurtransferase (rhodanese)-like domain containing 2 [Source:MGI Symbol;Acc:MGI:3039624] MPSSTSPDEEDGLETCVLKVFDLDLKESNLVNPSNSLKAELDGSTKKKYSFAKKKAFALL VKTKQVPAPSYEFKGKRWRCCQQLFADQISIHRHVATQHAEDVYQQTA >ENSMUSP00000125707.1 pep:known chromosome:GRCm38:4:46132146:46135778:-1 gene:ENSMUSG00000035495.15 transcript:ENSMUST00000129929.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tstd2 description:thiosulfate sulfurtransferase (rhodanese)-like domain containing 2 [Source:MGI Symbol;Acc:MGI:3039624] MPSSTSPDEEDGLETCVLKVFDLDLKESNLVNPSNSLKAELDGSTKKKYSFAKKKAARTQ ADVTSAGLSTFPEFPLELKRKRARRHSHGRLLWTRSGAATCHATGKQLDSLIAKAGKYST PGRREMDLVYAQEEAVTLEQPCQFPQGLCP >ENSMUSP00000117865.1 pep:known chromosome:GRCm38:4:46135502:46138455:-1 gene:ENSMUSG00000035495.15 transcript:ENSMUST00000156021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tstd2 description:thiosulfate sulfurtransferase (rhodanese)-like domain containing 2 [Source:MGI Symbol;Acc:MGI:3039624] MPSSTSPDEEDGLETCV >ENSMUSP00000143130.1 pep:known chromosome:GRCm38:5:15577333:15582078:1 gene:ENSMUSG00000096878.2 transcript:ENSMUST00000188807.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21083 description:predicted gene, 21083 [Source:MGI Symbol;Acc:MGI:5434438] MALFARLCRLFQRANVDGRETREGRKDADLPPESNEGRRRVTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLMEENLIKKKLMTLQQESKEVQADWA IIHQCLVELNLSGKDEQEENSNLETPEYQVSETARELGLATAEEDSILQNELPGQEAPAE HHLQDPQSSSDESSSI >ENSMUSP00000092615.2 pep:known chromosome:GRCm38:5:15619064:15623864:1 gene:ENSMUSG00000070933.10 transcript:ENSMUST00000095006.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4d description:spermatogenesis associated glutamate (E)-rich protein 4D [Source:MGI Symbol;Acc:MGI:2668869] MWMALRQTSSPVPVISKKQFEKEEKKLIKEIQLTTKETNELRDRLIYVTEESMNKRPYHR QNPLYEKLKLKEKEIMTFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLMEENLIKKK LMTLQQESKEVQADWAIIHQCLVELNLSGKDEQEENSNLETPEYQVSETARELGLATAEE DSILQNELPGQEAPAEHHLQHLQSSSDESSSI >ENSMUSP00000113289.1 pep:known chromosome:GRCm38:5:15620162:15623611:1 gene:ENSMUSG00000070933.10 transcript:ENSMUST00000119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4d description:spermatogenesis associated glutamate (E)-rich protein 4D [Source:MGI Symbol;Acc:MGI:2668869] MNKRPYHRQNPLYEKLKLKEKEIMTFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLM EENLIKKKLMTLQQESKEVQADWAIIHQCLVELNLSGKDEQEENSNLETPEYQVSETARE LGLATAEEDSILQNELPGQEAPAEHHLQHLQSSSDESSSI >ENSMUSP00000032768.7 pep:known chromosome:GRCm38:7:70351944:70360593:-1 gene:ENSMUSG00000030551.14 transcript:ENSMUST00000032768.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2f2 description:nuclear receptor subfamily 2, group F, member 2 [Source:MGI Symbol;Acc:MGI:1352452] MAMVVSTWRDPQDEVPGSQGSQASQAPPVPGPPPGAPHTPQTPGQGGPASTPAQTAAGGQ GGPGGPGSDKQQQQQHIECVVCGDKSSGKHYGQFTCEGCKSFFKRSVRRNLSYTCRANRN CPIDQHHRNQCQYCRLKKCLKVGMRREAVQRGRMPPTQPTHGQFALTNGDPLNCHSYLSG YISLLLRAEPYPTSRFGSQCMQPNNIMGIENICELAARMLFSAVEWARNIPFFPDLQITD QVALLRLTWSELFVLNAAQCSMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVE KLKALHVDSAEYSCLKAIVLFTSDACGLSDVAHVESLQEKSQCALEEYVRSQYPNQPTRF GKLLLRLPSLRTVSSSVIEQLFFVRLVGKTPIETLIRDMLLSGSSFNWPYMAIQ >ENSMUSP00000086993.5 pep:known chromosome:GRCm38:7:70354439:70366735:-1 gene:ENSMUSG00000030551.14 transcript:ENSMUST00000089565.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2f2 description:nuclear receptor subfamily 2, group F, member 2 [Source:MGI Symbol;Acc:MGI:1352452] MQAVWDLEQGKYGFAVQRGRMPPTQPTHGQFALTNGDPLNCHSYLSGYISLLLRAEPYPT SRFGSQCMQPNNIMGIENICELAARMLFSAVEWARNIPFFPDLQITDQVALLRLTWSELF VLNAAQCSMPLHVAPLLAAAGLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYS CLKAIVLFTSDACGLSDVAHVESLQEKSQCALEEYVRSQYPNQPTRFGKLLLRLPSLRTV SSSVIEQLFFVRLVGKTPIETLIRDMLLSGSSFNWPYMAIQ >ENSMUSP00000147190.1 pep:known chromosome:GRCm38:7:70357763:70359921:-1 gene:ENSMUSG00000030551.14 transcript:ENSMUST00000208081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nr2f2 description:nuclear receptor subfamily 2, group F, member 2 [Source:MGI Symbol;Acc:MGI:1352452] MPPTQPTHGQFALTNGDPLNCHSYLSGYISLLLRAEPYPTSRFGSQCMQPNNIMGIENIC ELAARMLFSAVEWARNIPFFPDLQITDQVALLRLTWSELFVLNAAQCSMPLHVAPLLAAA GLHASPMSADRVVAFMDHIRIFQEQVEKLKALHVDSAEYSCLKAIVLFTS >ENSMUSP00000113870.2 pep:known chromosome:GRCm38:11:73964530:73965620:1 gene:ENSMUSG00000057050.6 transcript:ENSMUST00000121280.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr397 description:olfactory receptor 397 [Source:MGI Symbol;Acc:MGI:3030231] MERGNQTVVSEFLLLGLPIEPHQQDLFYALFLSMYLTTALGNLIIIILIHLDSHLHTPMY LFLSNLSFSDFCFSSVTIPKLLQNMQSQVPSIPYAGCLAQMYFFLLFADLESFLLVAMAY DRYVAICFPLHYTSIMSPKLCLCLVALSWLLTTVISLSHTLLMARLSFCANNVIPHFFCD MSALLKLACSDIQINKLMIFILGGLVIIVPFLLIFSSYARIVSSILKVPSSRSIRKAFST CGSHLSVVSLFYGTIIGLYLCPSANNSTIKETVMAVMYTVVTPMLNPFIYSFRNQDIKGA FKKVFSKQMANFSLR >ENSMUSP00000143589.1 pep:known chromosome:GRCm38:5:15524456:15529222:-1 gene:ENSMUSG00000106445.1 transcript:ENSMUST00000196384.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21190 description:predicted gene, 21190 [Source:MGI Symbol;Acc:MGI:5434545] MALFARLCRLFQRANVDGRETREGRKDADLPSESNEGRRRGTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEARETKQELKKETHFYRNLHSRLLMEENLIKKKSMTLQQESKEVQADWA IIHQRLVELNLSGKDKQENSNLETPEYQVSETARDLGLATAEEDSILQNELPGQEAPAEH HPQHPQSSSDESSSDESSYSTCPEWE >ENSMUSP00000090370.4 pep:known chromosome:GRCm38:5:15652286:15657059:-1 gene:ENSMUSG00000069720.4 transcript:ENSMUST00000092696.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930572O03Rik description:RIKEN cDNA 4930572O03 gene [Source:MGI Symbol;Acc:MGI:2441686] MWMALRQTSSPVPVISKKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHR QNPLYEKLKLKEKEIMTFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLMEENLIKKK SMTLQQESKEVQADWAIIHQRLVELNLSGKDEQENSNLETPEYQVSETARELGLATAEED SILQNELPGQEAPAEHHLQDPQSSSDESSSI >ENSMUSP00000113005.1 pep:known chromosome:GRCm38:11:73983662:73990290:-1 gene:ENSMUSG00000049041.5 transcript:ENSMUST00000117510.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr398 description:olfactory receptor 398 [Source:MGI Symbol;Acc:MGI:3030232] MAVTNLTYKPQFQLLGLMDGTDPHPLLFLLFLSIYLLNALGNLSMVVLVRSDGALCSPMY YFLGHLSLVDVCFTTVTVPRLLATLLHPGQAISFQACFAQMYFFVALGITESYLLAAMSY DRAVAVCRPLHYGAVMTPWRCFLLVAASWAVAHLHSLLHTLLISALTYPPSAPVRHFFCD MTVMLSLATSDTSAAETAIFSEGLTVVLTPLLLVSLSYARILVAVLGIRTTGGRHRVFST CGAHLVVVSLFFGSVLSVYFRPSSAYSARYDRMASVVYAVVTPTLNPFIYSLRNKEVKSA LKRGFRWRAAPQDE >ENSMUSP00000059548.1 pep:known chromosome:GRCm38:11:73983662:73984606:-1 gene:ENSMUSG00000049041.5 transcript:ENSMUST00000053874.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr398 description:olfactory receptor 398 [Source:MGI Symbol;Acc:MGI:3030232] MAVTNLTYKPQFQLLGLMDGTDPHPLLFLLFLSIYLLNALGNLSMVVLVRSDGALCSPMY YFLGHLSLVDVCFTTVTVPRLLATLLHPGQAISFQACFAQMYFFVALGITESYLLAAMSY DRAVAVCRPLHYGAVMTPWRCFLLVAASWAVAHLHSLLHTLLISALTYPPSAPVRHFFCD MTVMLSLATSDTSAAETAIFSEGLTVVLTPLLLVSLSYARILVAVLGIRTTGGRHRVFST CGAHLVVVSLFFGSVLSVYFRPSSAYSARYDRMASVVYAVVTPTLNPFIYSLRNKEVKSA LKRGFRWRAAPQDE >ENSMUSP00000084791.2 pep:known chromosome:GRCm38:5:38220470:38234306:1 gene:ENSMUSG00000067367.9 transcript:ENSMUST00000087514.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyar description:Ly1 antibody reactive clone [Source:MGI Symbol;Acc:MGI:107470] MVFFTCNACGESVKKIQVEKHVSNCRNCECLSCIDCGKDFWGDDYKSHVKCISEGQKYGG KGYEAKTHKGDAKQQAWIQKINELIKKPNVSPKVRELLQQISAFDNVPRKKAKFQNWMKN SLKVHSDSVLEQVWDIFSEASSSEQDQQQPPSHTAKPHAEMPITKVPSAKTNGTTEEQTE AKKNKRERKEERQKNRKKEKKELKLENHQENLRGQKPKKRKKNQEAGHEAAGEEAAEASG PPEKKKAQGGQASEEGADRNGGPGEDAAEGQTKTAAGKRKRPKHSGAESGYKKKKMKLPE QPEEGEAKDHEAPSKGKFNWKGTIKAVLKQAPDNEISVKKLKKKVIAQYHAVMNDHHTSE EELLAIFNRKISRNPTFKVLKDRVKLLK >ENSMUSP00000122153.1 pep:known chromosome:GRCm38:5:38220482:38230703:1 gene:ENSMUSG00000067367.9 transcript:ENSMUST00000130721.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyar description:Ly1 antibody reactive clone [Source:MGI Symbol;Acc:MGI:107470] MVFFTCNACGESVKKIQVEKHVSNCRNCECLSCIDCGKDFWGDDYKSHVKCISEGQKYGG KGYEAKTHKGDAKQQAWIQKINELIKKPNVSPKVRELLQQISAFDNVPRKKAKFQNWMKN SLKVHSDSVLEQVWDIFSEASSSEQDQQQPPSHTAKPHAEMPITKVPSAKTNGTTEEQTE AKKNKRERKE >ENSMUSP00000121204.1 pep:known chromosome:GRCm38:5:38220520:38227915:1 gene:ENSMUSG00000067367.9 transcript:ENSMUST00000123207.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyar description:Ly1 antibody reactive clone [Source:MGI Symbol;Acc:MGI:107470] MVFFTCNACGESVKKIQVEKHVSNCRNCECLSCIDCGKDFWGDDYKSHVKCISEGQKYGG KGYEAKTHKGDAKQQAWIQKINELIKKPNVSPKVRELLQ >ENSMUSP00000121320.1 pep:known chromosome:GRCm38:5:38220527:38230704:1 gene:ENSMUSG00000067367.9 transcript:ENSMUST00000132190.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyar description:Ly1 antibody reactive clone [Source:MGI Symbol;Acc:MGI:107470] MVFFTCNACGESVKKIQVEKHVSNCRNCECLSCIDCGKDFWGDDYKSHVKCISEGQKYGG KGYEAKTHKGDAKQQAWIQKINELIKKPNVSPKVRELLQQISAFDNVPRKKAKFQNWMKN SLKVHSDSVLEQVWDIFSEASSSEQDQQQPPSHTAKPHAEMPITKVPSAKTNGTTEEQTE AKKNKRERKEE >ENSMUSP00000144609.1 pep:known chromosome:GRCm38:5:38220528:38230619:1 gene:ENSMUSG00000067367.9 transcript:ENSMUST00000202506.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyar description:Ly1 antibody reactive clone [Source:MGI Symbol;Acc:MGI:107470] MKNSLKVHSDSVLEQVWDIFSEASSSEQDQQQPPSHTAKPHAEMP >ENSMUSP00000118337.1 pep:known chromosome:GRCm38:5:38220529:38230988:1 gene:ENSMUSG00000067367.9 transcript:ENSMUST00000154975.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lyar description:Ly1 antibody reactive clone [Source:MGI Symbol;Acc:MGI:107470] MVFFTCNACGESVKKIQVEKHVSNCRNCECLSCIDCGKDF >ENSMUSP00000118035.1 pep:known chromosome:GRCm38:5:38220550:38225913:1 gene:ENSMUSG00000067367.9 transcript:ENSMUST00000152066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyar description:Ly1 antibody reactive clone [Source:MGI Symbol;Acc:MGI:107470] MVFFTCNACGESVKKIQVEKHVSNCRNCECLSCIDCGKDFWGDDYKSHV >ENSMUSP00000122486.1 pep:known chromosome:GRCm38:5:38220554:38228099:1 gene:ENSMUSG00000067367.9 transcript:ENSMUST00000155300.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyar description:Ly1 antibody reactive clone [Source:MGI Symbol;Acc:MGI:107470] MVFFTCNACGESVKKIQVEKHVSNCRNCECLSCIDCGKDFWGDDYKSHVKCISEGQKYGG KGYEAKTHKGDAKQQAWIQKINELIKKPNVSPKVRELLQQISAFDNVPRKKAKFQNWMKN SLKVHSDSVLE >ENSMUSP00000109741.1 pep:known chromosome:GRCm38:5:38220678:38234296:1 gene:ENSMUSG00000067367.9 transcript:ENSMUST00000114106.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lyar description:Ly1 antibody reactive clone [Source:MGI Symbol;Acc:MGI:107470] MVFFTCNACGESVKKIQVEKHVSNCRNCECLSCIDCGKDFWGDDYKSHVKCISEGQKYGG KGYEAKTHKGDAKQQAWIQKINELIKKPNVSPKVRELLQQISAFDNVPRKKAKFQNWMKN SLKVHSDSVLEQVWDIFSEASSSEQDQQQPPSHTAKPHAEMPITKVPSAKTNGTTEEQTE AKKNKRERKEERQKNRKKEKKELKLENHQENLRGQKPKKRKKNQEAGHEAAGEEAAEASG PPEKKKAQGGQASEEGADRNGGPGEDAAEGQTKTAAGKRKRPKHSGAESGYKKKKMKLPE QPEEGEAKDHEAPSKGKFNWKGTIKAVLKQAPDNEISVKKLKKKVIAQYHAVMNDHHTSE EELLAIFNRKISRNPTFKVLKDRVKLLK >ENSMUSP00000114506.1 pep:known chromosome:GRCm38:5:38222965:38230683:1 gene:ENSMUSG00000067367.9 transcript:ENSMUST00000146401.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lyar description:Ly1 antibody reactive clone [Source:MGI Symbol;Acc:MGI:107470] MVFFTCNACGESVKKIQVEKHVSNCRNCECLSCIDCGKDF >ENSMUSP00000147037.1 pep:known chromosome:GRCm38:7:28399522:28436191:-1 gene:ENSMUSG00000109336.1 transcript:ENSMUST00000208199.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd4b description:sterile alpha motif domain containing 4B [Source:MGI Symbol;Acc:MGI:2448542] MMFRDQVGILASWFKGWNECEQTVALLSLLKRVTRTQARFLQLCLEHSLADCNDIHLLES EANSAAIVSQWQQESKEKVVSLLLSHLPLLQPGNTEAKSEYMRLLQKVLAYSIESNAFIE ESRQLLSYALIHPATTLEDRNALALWLSHLEERLASGFRTRPEPSYHSRQGSDEWGGPAE LAPGEAGPGWQDKPPRENGHVPFHPSSSVPPAINSIGSNANTGLPCQIHPSPLKRSMSLI PTSPQAPGEWPSPEELGARAAFTTPDHAPLSPQSSVASSGSEQTEEQGSSRNTFQEDGSG MKDVPSWLKSLRLHKYAALFSQMSYEEMMTLTEQHLESQNVTKGARHKIALSIQKLRERQ SVLKSLEKDVLEGGNLRNALQELQQIIITPIKAYSVLQATPTAKDEGRGEPLLPGAEPPL THPGSDKGTEAKDPPAAENYPPPPAPAPSDSSEPAPAPVADGDIPSQFTRVMGKVCTQLL VSRPDEENITSYLQLIEKCLTHEAFTETQKKRLLSWKQQVLKLLRTFPRKAALDMQSYRQ QKGWAFGSNSLPIAGSVGMGVARRTQRQFPMPPRALPPGRMGLLSPSGIGGVSPRHALTS PSLGGQGRQNLWFANPGGSNSMPSQSRSSVQRTHSLPVHSSPQAILMFPPDCPVPGPDLE INPTLESLCLSMTEHALGDGTDKTSTI >ENSMUSP00000146657.1 pep:known chromosome:GRCm38:7:28401297:28404031:-1 gene:ENSMUSG00000109336.1 transcript:ENSMUST00000208126.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd4b description:sterile alpha motif domain containing 4B [Source:MGI Symbol;Acc:MGI:2448542] AGSVGMGVARRTQRQFPMPPRALPPGRMGLLSPSGIGGVSPRHALTSPSLGGQGRQNLWF ANPGGSNSMPSQSRSSVQRTHSLPVHSSPQAILMFPPDCPVPGPDLEINPTLESLCLSMT EHALGGEHFLFP >ENSMUSP00000146984.1 pep:known chromosome:GRCm38:7:28401461:28436227:-1 gene:ENSMUSG00000109336.1 transcript:ENSMUST00000207766.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Samd4b description:sterile alpha motif domain containing 4B [Source:MGI Symbol;Acc:MGI:2448542] MMFRDQVGILASWFKGWNECEQTVALLSLLKRVTRTQARFLQLCLEHSLADCNDIHLLES EANSAAIVSQWQQESKEKVVSLLLSHLPLLQPGNTEAKSEYMRLLQKVLAYSIESNAFIE ESRQLLSYALIHPATTLEDRNALALWLSHLEERLASGFRTRPEPSYHSRQGSDEWGGPAE LAPGEAGPGWQDKPPRENGHVPFHPSSSVPPAINSIGSNANTEQCGLLWQ >ENSMUSP00000040486.8 pep:known chromosome:GRCm38:7:28399549:28598144:-1 gene:ENSMUSG00000109336.1 transcript:ENSMUST00000040531.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Samd4b description:sterile alpha motif domain containing 4B [Source:MGI Symbol;Acc:MGI:2448542] MMFRDQVGILASWFKGWNECEQTVALLSLLKRVTRTQARFLQLCLEHSLADCNDIHLLES EANSAAIVSQWQQESKEKVVSLLLSHLPLLQPGNTEAKSEYMRLLQKVLAYSIESNAFIE ESRQLLSYALIHPATTLEDRNALALWLSHLEERLASGFRTRPEPSYHSRQGSDEWGGPAE LAPGEAGPGWQDKPPRENGHVPFHPSSSVPPAINSIGSNANTGLPCQIHPSPLKRSMSLI PTSPQAPGEWPSPEELGARAAFTTPDHAPLSPQSSVASSGSEQTEEQGSSRNTFQEDGSG MKDVPSWLKSLRLHKYAALFSQMSYEEMMTLTEQHLESQNVTKGARHKIALSIQKLRERQ SVLKSLEKDVLEGGNLRNALQELQQIIITPIKAYSVLQATPTAKDEGRGEPLLPGAEPPL THPGSDKGTEAKDPPAAENYPPPPAPAPSDSSEPAPAPVADGDIPSQFTRVMGKVCTQLL VSRPDEENITSYLQLIEKCLTHEAFTETQKKRLLSWKQQVLKLLRTFPRKAALDMQSYRQ QKGWAFGSNSLPIAGSVGMGVARRTQRQFPMPPRALPPGRMGLLSPSGIGGVSPRHALTS PSLGGQGRQNLWFANPGGSNSMPSQSRSSVQRTHSLPVHSSPQAILMFPPDCPVPGPDLE INPTLESLCLSMTEHALGDGTDKTSTI >ENSMUSP00000131896.1 pep:known chromosome:GRCm38:11:58307172:58314627:1 gene:ENSMUSG00000037243.17 transcript:ENSMUST00000049353.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp692 description:zinc finger protein 692 [Source:MGI Symbol;Acc:MGI:2144276] MASPVADASRRRREKRRQLDARRSKCRIRLGGHMEQWCLLKERLGFSLHSQLAKFLLDRY TSSGCVLCAAPEPGPRKGLQYLVLLSHTHSRECGLVPGLRGPGGGEGELVWECSAGHTFS WEPSLIPAPSDVPKQAPLTHTTERAWCSEARRKQEAQGLECEQRERTQETRLSRRVDSPL EIDPPLGEDQDVEEEEEEEEEEEELLSDASPWTYSSSPDDSEPDVPRPPPSPVTHTPKEG EVSPVPATLPTPCAVLASVGSPDALTSDTEVRLELSRTPQVPAELHMTESLESPGSQAQS APNPTWDEDTAQIGLRRIRKAAKRELMPCDFPGCGRIFSNRQYLNHHKKYQHIHQKSFCC PEPACGKSFNFKKHLKEHVKLHSDTRDYICEFCARSFRTSSNLVIHRRIHTGEKPLQCEI CGFTCRQKASLNWHRRKHAETAAALRFPCEFCGKRFEKPDSVVAHCSKSHPALLPAQEPP GSLVSSPSISAPESLQSPEGASISTTSDSNPASSTSISSPGVPDPRNREKS >ENSMUSP00000126674.1 pep:known chromosome:GRCm38:11:58307069:58314613:1 gene:ENSMUSG00000037243.17 transcript:ENSMUST00000153510.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp692 description:zinc finger protein 692 [Source:MGI Symbol;Acc:MGI:2144276] MASPVADASRRRREKRRQLDARRSKCRIRLGGHMEQWCLLKERLGFSLHSQLAKFLLDRY TSSGCVLCAAPEPGPRKGLQYLVLLSHTHSRECGLVPGLRGPGGGEGELVWECSAGHTFS WEPSLIPAPSDVPKQAPLTHTTERAWCSEARRKQEAQGLECEQRERTQETRLSRRVDSPL EIDPPLGEDQDVEEEEEEEEEEEELLSDASPWTYSSSPDDSEPDVPRPPPSPVTHTPKEG EVSPVPATLPTPCAVLASVGSPDALTSDTEVRLELSRTPQVPAELHMTESLESPGSQAQS APNPTWDEDTAQIGLRRIRKAAKRELMPCDFPGCGRIFSNRQYLNHHKKYQHIHQKSFCC PEPACGKSFNFKKHLKEHVKLHSDTRDYICEFCARSFRTSSNLVIHRRIHTGEKPLQCEI CGFTCRQKASLNWHRRKHAETAAALRFPCEFCGKRFEKPDSVVAHCSKSHPALLPAQEPP GSLVSSPSISAPESLQSPEGASISTTSDSNPASSTSISSPGVPDPRNREKS >ENSMUSP00000040108.8 pep:known chromosome:GRCm38:5:8490334:8622952:-1 gene:ENSMUSG00000040570.14 transcript:ENSMUST00000047485.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rundc3b description:RUN domain containing 3B [Source:MGI Symbol;Acc:MGI:2685286] MASRSLGGLSGSRGGGGGGGGKKSLSARNAAVERRNLITVCRFSVKTLIDRSCFETIDDS SPEFNNFAAVLEQILSHRLKGQVTWFGYESPRSFWDYIRVACRKVSQNCICSIENMENVS SSRAKGRAWIRVALMEKHLSEYISTALRDFKTTRRFYEDGAIVLGEEANMLAGMLLGLNA IDFSFCLKGEGLDGTFPAVIDYTPYLKFEQSSDSISSDEEELRTFGSSDSESSTPENVGP PLILDENTWFNKCKRVRQKYQLTLEQKGYLEELLRLRENQLSESVSQNKILLQRIEDSDL AHKLEKEQLEYIIVELQDQLKSYQSLDQLSAEVSLSQASLDPSHSQEGDGKQDSLNFIGE GKEDTPSLLGLCGSLTSVASYKSLTSLKSNDCLASPTTELTSPGLTPS >ENSMUSP00000111036.1 pep:known chromosome:GRCm38:5:8492267:8622952:-1 gene:ENSMUSG00000040570.14 transcript:ENSMUST00000115378.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rundc3b description:RUN domain containing 3B [Source:MGI Symbol;Acc:MGI:2685286] MASRSLGGLSGSRGGGGGGGGKKSLSARNAAVERRNLITVCRFSVKTLIDRSCFETIDDS SPEFNNFAAVLEQILSHRLKGQVTWFGYESPRSFWDYIRVACRKVSQNCICSIENMENVS SSRAKGRAWIRVALMEKHLSEYISTALRDFKTTRRFYEDGAIVLGEEANMLAGMLLGLNA IDFSFCLKGEGLDGTFPAVIDYTPYLKFEQSSDSISSDEEELRTFGSSDSESSTPENVGP PLILDENTWFNKCKRVRQKYQLTLEQKGYLEELLRLRENQLSESVSQNKILLQRIEDSDL AHKLEKEQLEYIIVELQDQLTVLKNNDLRSRQELTAHLTKQWPSPGALDVNAVALDTLLY RKHNKQWYDKSYQSLDQLSAEVSLSQASLDPSHSQEGDGKQDSLNFIGEGKEDTPSLLGL CGSLTSVASYKSLTSLKSNDCLASPTTELTSPGLTPS >ENSMUSP00000050389.7 pep:known chromosome:GRCm38:17:23853543:23859776:1 gene:ENSMUSG00000048992.8 transcript:ENSMUST00000061725.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss32 description:protease, serine 32 [Source:MGI Symbol;Acc:MGI:1917064] MELALPAILFTFFPGVLLGSEVLPTDSDSPSTTTGRRSIDLDSVCGRPRTSGRIVSGQDA QLGRWPWQVSVRENGAHVCGGSLIAEDWVLTAAHCFNQGQSLSIYTVLLGTISSYPEDNE PKELRAVAQFIKHPSYSADEHSSGDIALVQLASPISFNDYMLPVCLPKPGDPLDPGTMCW VTGWGHIGTNQPLPPPFTLQELQVPLIDAETCNTYYQENSIPGTEPVILEGMLCAGFQEG KKDACNGDSGGPLVCDINDVWIQAGVVSWGSDCALFKRPGVYTNVSVYISWIQNTMWNLP MEGRGFSPSLSGTPLLGLLLFLTSLSSAFFLLGP >ENSMUSP00000116979.1 pep:known chromosome:GRCm38:17:23853786:23859336:1 gene:ENSMUSG00000048992.8 transcript:ENSMUST00000154347.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prss32 description:protease, serine 32 [Source:MGI Symbol;Acc:MGI:1917064] MELALPAILFTFFPGVLLGSEVLPTDSDSPSTTTGRRSIDLDSVCGRPRTSGRIVSGQDA QLGRWPWQGPVPVYLHSTAGYHLLLP >ENSMUSP00000130373.1 pep:known chromosome:GRCm38:17:20462373:20481236:-1 gene:ENSMUSG00000091805.1 transcript:ENSMUST00000167314.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r108 description:vomeronasal 2, receptor 108 [Source:MGI Symbol;Acc:MGI:3643822] MFSCIFISSLLLIAKFVLTFMHVNISKCFYKITEDFHHEGDVVIGAFFPLHTYYTKNKIP HSYLPYYYKDNYLQYNFKNYQYILALVFAIEEINDNPNLLPNISLGFDFYNVRFIEKDTL MNVCFWLTGQGQKNILPNYNCGKSNFATALTGTAWTTSAQIGTLLQLFKFPQLSFGPYDS ILSDRGQYSSLYQMASKYTSISLGIVSLMVHFRWSWVGLILPDNHKGNKILSEFREDMER KGVCIAFVKMIPMTWNAYYNKFWENMDETNVIIIYGDIDSLTGLMRNIGQRLLTAKVWIM NTEPHITDYADYFMLDSFHGSLIFTHNYRKSFKISNFIQTVNPYKYPEDIYLPKLWHLFF KCSFSDVDCRLLANCQPNASLDVLPSHILDMVISEESNNIYNAVYAVAHSLHQMHLQEVQ IKPHENGEAIAFFPWQLNIFLKDINERDNMSFGGRQKLNAEYDILNLWNLPKGLGQKVKI GSFSANAPEGQQLSLSEQMIQWAEGFSEIPQSVCSESCGPGFRKVTLEGKAICCYNCTPC ADNEISNETDVDQCVKCPESHYSNTEKNHCLQKTVIFLAYEDPLGMTLACIALCLSALTA FVIGVFVKYRDTPIVKANNRGLSYTLLITITFCLLCSLNFIGQPNTASCILQQNIFGVAF TVALATVLAKAITVVLAFKATFPGRMVRWLMTSRAPNYIIPLCTLIQLFICGIWMTMSPP FIHQDNHAEHGHILLLCNKGSSVAFHCVLGYLCSLAFGGYTMAFLSRNLPDTFNESKFLS FSMVVFFCVWVTFLPVYHSTKGKVMVAVEVFSILASSTALLGFIFAPKCYIILFRPEKNS FHRIKDKTYSRWNKI >ENSMUSP00000122775.1 pep:known chromosome:GRCm38:4:54945048:55008314:1 gene:ENSMUSG00000060206.11 transcript:ENSMUST00000133895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp462 description:zinc finger protein 462 [Source:MGI Symbol;Acc:MGI:107690] MEVLQCDGCDFRAPSYEDLKAHIQDVHTAFLQPTDVAEDNDDEPLSGSMNASNQTEVEFS SIKDEFVIAEDLPGQSATALGSGGYYGHSPGYY >ENSMUSP00000095677.3 pep:known chromosome:GRCm38:4:54947976:55083563:1 gene:ENSMUSG00000060206.11 transcript:ENSMUST00000098070.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp462 description:zinc finger protein 462 [Source:MGI Symbol;Acc:MGI:107690] MEVLQCDGCDFRAPSYEDLKAHIQDVHTAFLQPTDVAEDNDDEPLSGSMNASNQTEVEFS SIKDEFVIAEDLPGQSATALGSGGYYGHSPGYYGQHITPNPKPTNKFFQCKFCVRYFRSK NLLIEHTRKVHGAQAEESPTGPPVPGSLNYNIMMHEGFGKVFSCQFCTYKSPRRARIIKH QKMYHKNSLKESTAPPPAPAPLPDPLVPPVSLQDPCKELPAEVVERSILESMVKPLTKSR GNFCCEWCSYQTPRRERWCDHMMKKHRSMVKILSSIRQQEGPNVSEAQNDNEPSPTSNST YLSMNAASREMPNANVSNFRGSMGNSIMRPNSSSTSKFSSSMSYPQMKPKSPHNSGLVNL TERSRYGMSDMTNSSADLDTNSMLNDSSSDEDLNEVDSENGLSVLDHQASGLSAEQLMGS DGNKLLETKGIPFRRFMNRFQCPFCPFLTMHRRSISRHIENIHLSGKTAVYKCDECPFTC KSSLKLGAHKQCHTGTSDWDTVNSQSESLSSSLNEGMVSYESSSINGRKSGVMLDPLQQQ QPPQPPPPLPPPPPPPSQPLPQPPPPPLQSPHQVPPPTQQPQPPTQAPPLHPYKCTMCSY STMTLKGLRVHQQHKHSFCDNLPKFEGQPSSLPLENETDSHPSSSNTVKKSQTSILGLSS KNNFVAKANRKLASDFPLDLSPVKKRTRIDEIASNLQSKINQTKLQEDAIINVEDDEEEE DDNEVEIEVELDREEEATDPIMEVPTAFSAQQIWARDASEAQKEPNYRSITHDYTATNGA EIELTLSEDEEDYYGSSASMKDQVSNAALLNTQPAIYGTEPSNENTDFGDSGRLYYCKHC DFNNKSARSVSTHYQRMHPYIKFSFRYILDPNDHSAVYRCLECYIDYTNFEDLQQHYGEH HPEAMNVLNFDHSDLIYRCRFCSYTSPNVRSLMPHYQRMHPTVKINNAMIFSSYVVEQQE GLNAESQTLREILNSAPKSMATSTPVARGGGLPATFNKNTPPKTFTPECESQKDPSVNTV VVYDCDVCSFASPNMHSVLVHYQKKHPEEKASYFRIQKTMRMVSVDRGSALSQLSFEVGA PMSPKMSNMGSPPPPQPPPPDLSIELYYCKHCSYSNRSVVGVLVHYQKRHPEIKVTAKYI RQAPPTAAMMRGAEGLQDSPRPPAPLQLNSSERDCPPVETEMFFCQHCDYGNRTVKGVLI HYQKKHRDFKANADVIRQHTATIRSLCDRNQKPASCVLLPASGMERDKTKLRALKCRQCS YTSPYFYALRKHIKKDHPALKATVTSIMRWAFLDGLIEAGYHCEWCIYSHMEPSGLLLHY QRRHPEHYVDYTYMATKLWAGPDPSSPTLTMSAEAKTYRCRDCVFEAVSIWDITNHYQAF HPWAMNGDESVLLDIIKEKDGVDKALLAPEELIGPVNCENSIPNPLPEQEAECPEDARLS PEKSIHLASANPAISSTPYQCTVCQSEYNNLHGLLTHYGKKHPGMKVKAADFAQDIDINP GAVYKCRHCPYINTRIHGVLTHYQKRHPAIKVTAEDFVHDVEQSADISQNDVEETSRIFK QGYGAYRCKLCPYTHGTLEKLKIHYEKYHNQPEFDVFSPPPPKLPVSLEPEITTEVSPSQ VSVTEEEVGEDPMSTAHFSTSHLVSHTVFRCQLCKYFCSTRKGIARHYRIKHNNVRAQPE GKNNLFKCALCAYTNPIRKGLAAHYQKRHDIDAYYTHCLAASRTISDKPNKVIIPSPPKD DSPQLSEELRRAVEKKKCSLCSFQSFSKKGIVSHYMKRHPGVFPKKQHASKLGGYFTAVY ADEHEKPPLMEEEERSSFERAEVEGEAQDIEWLPFRCIKCFKLSFSTAELLCMHYTDHHS RDLKRDFVILGSGPRFQNSTFQCKHCDSKLQSIAELTSHLNIHNEEFQKRAKRQERRKQL LSKQKYADGAFADFKQERPFGHLEEVPKIKERKVVGYKCKFCVEVHPTLRAICNHLRKHV QYGSVPAVSAAVKGLRSHERSHLALAMFTREDKYSCQYCSFVSAFRHNLDRHMQTHHGHH KPFRCKLCSFKSSYNSRLKTHILKAHAGEHAYKCSWCSFSTMTISQLKEHSLKVHGKALT LPRPRIVSLLSSHAHPSSQKATPAEEVEDSNDSSYSEPPDVQQQLNHYQSAALARNKSRV SPVPPSGTAAGTEQKAEAVLHCEFCEFSSGYIQSIRRHYRDKHGGKKLFKCKDCSFYTGF KSAFTMHVEAGHSAVPEEGPKDLRCPLCLYHTKYKRNMIDHIVLHREERVVPIEVCRSKL SKYLQGVVFRCDKCTFTCSSDESLQQHIEKHNELKPYKCQLCYYETKHTEELDTHLRDEH KVSRNFELVGRVNLDQLEQMKEKIESSSSEDEDKDDEMSSKAEDRELMRFADRGPGVNTE KRFPCEFCGRAFSQGSEWERHVLRHGMSLHDTNQVSRNEIHTKEMVEESMQLPSIEAKED DEPIGIDFPLKSETVTICVVAADKSLLEDAEAKNE >ENSMUSP00000030131.5 pep:known chromosome:GRCm38:4:55011366:55081358:1 gene:ENSMUSG00000060206.11 transcript:ENSMUST00000030131.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp462 description:zinc finger protein 462 [Source:MGI Symbol;Acc:MGI:107690] MMRGAEGLQDSPRPPAPLQLNSSERDCPPVETEMFFCQHCDYGNRTVKGVLIHYQKKHRD FKANADVIRQHTATIRSLCDRNQKPASCVLLPASGMERDKTKLRALKCRQCSYTSPYFYA LRKHIKKDHPALKATVTSIMRWAFLDGLIEAGYHCEWCIYSHMEPSGLLLHYQRRHPEHY VDYTYMATKLWAGPDPSSPTLTMSAEAKTYRCRDCVFEAVSIWDITNHYQAFHPWAMNGD ESVLLDIIKEKDGVDKALLAPEELIGPVNCENSIPNPLPEQEAECPEDARLSPEKSIHLA SANPAISSTPYQCTVCQSEYNNLHGLLTHYGKKHPGMKVKAADFAQDIDINPGAVYKCRH CPYINTRIHGVLTHYQKRHPAIKVTAEDFVHDVEQSADISQNDVEETSRIFKQGYGAYRC KLCPYTHGTLEKLKIHYEKYHNQPEFDVFSPPPPKLPVSLEPEITTEVSPSQVSVTEEEV GEDPMSTAHFSTSHLVSHTVFRCQLCKYFCSTRKGIARHYRIKHNNVRAQPEGKNNLFKC ALCAYTNPIRKGLAAHYQKRHDIDAYYTHCLAASRTISDKPNKVIIPSPPKDDSPQLSEE LRRAVEKKKCSLCSFQSFSKKGIVSHYMKRHPGVFPKKQHASKLGGYFTAVYADEHEKPP LMEEEERSSFERAEVEGEAQDIEWLPFRCIKCFKLSFSTAELLCMHYTDHHSRDLKRDFV ILGSGPRFQNSTFQCKHCDSKLQSIAELTSHLNIHNEEFQKRAKRQERRKQLLSKQKYAD GAFADFKQERPFGHLEEVPKIKERKVVGYKCKFCVEVHPTLRAICNHLRKHVQYGSVPAV SAAVKEAEDPSHLFLDGLEAARDASGTLVGRVDGGHCLLDAMLEDETRPGGYHCSQCDRV LMSMQGLRSHERSHLALAMFTREDKYSCQYCSFVSAFRHNLDRHMQTHHGHHKPFRCKLC SFKSSYNSRLKTHILKAHAGEHAYKCSWCSFSTMTISQLKEHSLKVHGKALTLPRPRIVS LLSSHAHPSSQKATPAEEVEDSNDSSYSEPPDVQQQLNHYQSAALARNKSRVSPVPPSGT AAGTEQKAEAVLHCEFCEFSSGYIQSIRRHYRDKHGGKKLFKCKDCSFYTGFKSAFTMHV EAGHSAVPEEGPKDLRCPLCLYHTKYKRNMIDHIVLHREERVVPIEVCRSKLSKYLQGVV FRCDKCTFTCSSDESLQQHIEKHNELKPYKCQLCYYETKHTEELDTHLRDEHKVSRNFEL VGRVNLDQLEQMKEKIESSSSEDEDKDDEMSSKAEDRELMRFADRGPGVNTEKRFPCEFC GRAFSQGSEWERHVLRHGMSLHDTNQVSRNEIHTKEMVEESMQLPSIEAKEDDEPIGIDF PLKSETVTICVVAADKSLLEDAEAKNE >ENSMUSP00000078555.4 pep:known chromosome:GRCm38:4:55011480:55081330:1 gene:ENSMUSG00000060206.11 transcript:ENSMUST00000079605.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp462 description:zinc finger protein 462 [Source:MGI Symbol;Acc:MGI:107690] MMRGAEGLQDSPRPPAPLQLNSSERDCPPVETEMFFCQHCDYGNRTVKGVLIHYQKKHRD FKANADVIRQHTATIRSLCDRNQKPASCVLLPASGMERDKTKLRALKCRQCSYTSPYFYA LRKHIKKDHPALKATVTSIMRWAFLDGLIEAGYHCEWCIYSHMEPSGLLLHYQRRHPEHY VDYTYMATKLWAGPDPSSPTLTMSAEAKTYRCRDCVFEAVSIWDITNHYQAFHPWAMNGD ESVLLDIIKEKDGVDKALLAPEELIGPVNCENSIPNPLPEQEAECPEDARLSPEKSIHLA SANPAISSTPYQCTVCQSEYNNLHGLLTHYGKKHPGMKVKAADFAQDIDINPGAVYKCRH CPYINTRIHGVLTHYQKRHPAIKVTAEDFVHDVEQSADISQNDVEETSRIFKQGYGAYRC KLCPYTHGTLEKLKIHYEKYHNQPEFDVFSPPPPKLPVSLEPEITTEVSPSQVSVTEEEV GEDPMSTAHFSTSHLVSHTVFRCQLCKYFCSTRKGIARHYRIKHNNVRAQPEGKNNLFKC ALCAYTNPIRKGLAAHYQKRHDIDAYYTHCLAASRTISDKPNKVIIPSPPKDDSPQLSEE LRRAVEKKKCSLCSFQSFSKKGIVSHYMKRHPGVFPKKQHASKLGGYFTAVYADEHEKPP LMEEEERSSFERAEVEGEAQDIEWLPFRCIKCFKLSFSTAELLCMHYTDHHSRDLKRDFV ILGSGPRFQNSTFQCKHCDSKLQSIAELTSHLNIHNEEFQKRAKRQERRKQLLSKQKYAD GAFADFKQERPFGHLEEVPKIKERKVVGYKCKFCVEVHPTLRAICNHLRKHVQYGSVPAV SAAVKQEAEDPSHLFLDGLEAARDASGTLVGRVDGGHCLLDAMLEDETRPGGYHCSQCDR VLMSMQGLRSHERSHLALAMFTREDKYSCQYCSFVSAFRHNLDRHMQTHHGHHKPFRCKL CSFKSSYNSRLKTHILKAHAGEHAYKCSWCSFSTMTISQLKEHSLKVHGKALTLPRPRIV SLLSSHAHPSSQKATPAEEVEDSNDSSYSEPPDVQQQLNHYQSAALARNKSRVSPVPPSG TAAGTEQKAEAVLHCEFCEFSSGYIQSIRRHYRDKHGGKKLFKCKDCSFYTGFKSAFTMH VEAGHSAVPEEGPKDLRCPLCLYHTKYKRNMIDHIVLHREERVVPIEVCRSKLSKYLQGV VFRCDKCTFTCSSDESLQQHIEKHNELKPYKCQLCYYETKHTEELDTHLRDEHKVSRNFE LVGRVNLDQLEQMKEKIESSSSEDEDKDDEMSSKAEDRELMRFADRGPGVNTEKRFPCEF CGRAFSQGSEWERHVLRHGMSLHDTNQVSRNEIHTKEMVEESMQLPSIEAKEDDEPIGID FPLKSETVTICVVAADKSLLEDAEAKNE >ENSMUSP00000025803.8 pep:known chromosome:GRCm38:19:30545863:30549665:-1 gene:ENSMUSG00000024868.8 transcript:ENSMUST00000025803.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dkk1 description:dickkopf WNT signaling pathway inhibitor 1 [Source:MGI Symbol;Acc:MGI:1329040] MMVVCAAAAVRFLAVFTMMALCSLPLLGASATLNSVLINSNAIKNLPPPLGGAGGQPGSA VSVAPGVLYEGGNKYQTLDNYQPYPCAEDEECGSDEYCSSPSRGAAGVGGVQICLACRKR RKRCMRHAMCCPGNYCKNGICMPSDHSHFPRGEIEESIIENLGNDHNAAAGDGYPRRTTL TSKIYHTKGQEGSVCLRSSDCAAGLCCARHFWSKICKPVLKEGQVCTKHKRKGSHGLEIF QRCYCGEGLACRIQKDHHQASNSSRLHTCQRH >ENSMUSP00000145692.1 pep:known chromosome:GRCm38:16:19624157:19629916:-1 gene:ENSMUSG00000060480.4 transcript:ENSMUST00000205467.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr171 description:olfactory receptor 171 [Source:MGI Symbol;Acc:MGI:3030005] MERENYTFNSDFILLGLFSSSKISLTFFSVIFFIFIMTITENALMILLIHRDSRLHTPMY FLLSHLSFMDILHISNIVPKMIADFLSGSRTISFAGCAFQIFLSLTLLGGECLLLAVMSY DRYVAICHPLRYPVLMRDNFSGLLAAGSWLVGILNSIVHTAFVLHFPFCHSRAIDHFFCE VPAMLKLSCIDTTHYERGVYVSGIIFLLIPFSMISISYVQILLTVFQMHSSGARQKSFST CLFHMVVVIMYYGPFIFTYMRPRSYHTPGQDKFLAIFYTILTPTLNPIIYSFRNKDVLMA LKNIVQSNILNKE >ENSMUSP00000078814.3 pep:known chromosome:GRCm38:16:19624157:19625101:-1 gene:ENSMUSG00000060480.4 transcript:ENSMUST00000079891.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr171 description:olfactory receptor 171 [Source:MGI Symbol;Acc:MGI:3030005] MMERENYTFNSDFILLGLFSSSKISLTFFSVIFFIFIMTITENALMILLIHRDSRLHTPM YFLLSHLSFMDILHISNIVPKMIADFLSGSRTISFAGCAFQIFLSLTLLGGECLLLAVMS YDRYVAICHPLRYPVLMRDNFSGLLAAGSWLVGILNSIVHTAFVLHFPFCHSRAIDHFFC EVPAMLKLSCIDTTHYERGVYVSGIIFLLIPFSMISISYVQILLTVFQMHSSGARQKSFS TCLFHMVVVIMYYGPFIFTYMRPRSYHTPGQDKFLAIFYTILTPTLNPIIYSFRNKDVLM ALKNIVQSNILNKE >ENSMUSP00000030014.8 pep:known chromosome:GRCm38:4:46138613:46172403:1 gene:ENSMUSG00000028330.8 transcript:ENSMUST00000030014.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ncbp1 description:nuclear cap binding protein subunit 1 [Source:MGI Symbol;Acc:MGI:1891840] MSRRRHSYENDGGQPHKRRKTSDANETEDHLESLICKVGEKSACSLESNLEGLAGVLEAD LPNYKSKILRLLCTVARLLPEKLTIYTTLVGLLNARNYNFGGEFVEAMIRQLKESLKANN YNEAVYLVRFLSDLVNCHVIAAPSMVAMFENFVSVTQEEDVPQVRRDWYVYAFLSSLPWV GKELYEKKDAEMDRIFSTTESYLKRRQKTHVPMLQVWTADKPHPQEEYLDCLWAQIQKLK KDRWQERHILRPYLAFDSILCEALQHNLPPFTPPPHTEDSVYPMPRVIFRMFDYTDDPEG PVMPGSHSVERFVIEENLHCIIKSYWKERKTCAAQLVSYPGKNKIPLNYHIVEVIFAELF QLPAPPHIDVMYTTLLIELCKLQPGSLPQVLAQATEMLYMRLDTMSTTCVDRFINWFSHH LSNFQFRWSWEDWSDCLTQDLESPKPKFVREVLEKCMRLSYHQHILDIVPPTFSALCPAN PTCIYKYGDESSNSLPGHSVALCLSVAFKSKATNDEIFSILKDVPNPNQVDDDDEGFRFN PLKIEVFVQTLLHLAAKSFSHSFSALAKFHEVFKTLAESDKGKLHVLRVMFEVWRNHPQM IAVLVDKMIRTQIVDCAAVANWIFSSELSRDFTRLFVWEILHSTIRKMNKHVLKIQKELE EAKEKLARQHKRRSDDDDRSSDRKDGALEEQIERLQEKVEAAQSEQKNLFLVIFQRFIMI LTEHLVRCETDGTSILTPWYKNCIERLQQIFLQHHQTIQQYMVTLENLLFTAELDPHILA VFQQFCALQA >ENSMUSP00000140285.1 pep:known chromosome:GRCm38:8:71882019:71895727:1 gene:ENSMUSG00000056019.12 transcript:ENSMUST00000188685.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp709 description:zinc finger protein 709 [Source:MGI Symbol;Acc:MGI:2384299] MGSVSFQDVAVTFTVEEWALLDPSQKKLHKDVMEETFRNLAAIGKTQEGQNIDEDHRYSR RNQRNEVVERLLEHSKGTESKEIFRQIPNTIVNRKSSHRTMLSENHVYKDNVLISQSSLT VSVPLQTPHKPHEYELHGENLYKFGECEKAFIYPECFLKHKDSGTRQRSYELNQCEEIFK SNDYIQIRVNDETREEICIDKQHYAFNYPDFLQYVEKVHTGKKPYACKQCGKTFSFLSNI KRHERIHSGEKPYRCNICDKAFTCSTNFQEHERTHTGEKPYLCTQCGKSFSFLSNIRRHE RTHTGEKPYRCNACGKAFSYLTNFQDHERTHTGEKPYVCTQCGKAFTYYYSFQTHKRCHT GEKPYVCKQCGKAFSYYNSIQTHKRCHTGEKPYVCKLCAKAFTTLSSLRYHERIHSGEKP HVCLQCGKGFNSSSTLRIHERTHTGEKPYKCKKCGKVFSVDSSLRCHERIHSGEKPYVCK QCGKAFTRHTSLRCHERIHCGEKPYICKQCGKGFISSSNFRKHEWTHSGEKLCVCKLCGK AFTGHSSLQRHERIHSGEKPYVCKHCGKDFSSLSGCQRHEQIHTGEKPYVCKNCGKAFTN PSALRNHERIHSGEKPYVCGQCGKGFISFSKFRIHERIHTGEKPYKCKQCGKAFTGHSSL RRHERIHSGEKPFVCKHCGKSFYTLSDCQRHEQIHTGEKPFICKQCGKAFTRSSSLKIHD KTHSRSP >ENSMUSP00000141000.1 pep:known chromosome:GRCm38:8:71882081:71888062:1 gene:ENSMUSG00000056019.12 transcript:ENSMUST00000188374.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp709 description:zinc finger protein 709 [Source:MGI Symbol;Acc:MGI:2384299] MGSVSFQDVAVTFTVEEWALLDPSQKKLHKDVMEETFRNLAAIGKTQEGQNIDEDHRYSR RNQR >ENSMUSP00000034259.6 pep:known chromosome:GRCm38:8:71887264:71890912:1 gene:ENSMUSG00000056019.12 transcript:ENSMUST00000034259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp709 description:zinc finger protein 709 [Source:MGI Symbol;Acc:MGI:2384299] GSVSFQDVAVTFTVEEWALLDPSQKKLHKDVMEETFRNLAAIGKTQEGQNIDEDHRYSRR NQRNEVVERLLEHSKGTESKEIFRQIPNTIVNRKSSHRTMLSENHVYKDNVLISQSSLTV SVPLQTPHKPHEYELHGENLYKFGECEKAFIYPECFLKHKDSGTRQRSYELNQCEEIFKS NDYIQIRVNDETREEICIDKQHYAFNYPDFLQYVEKVHTGKKPYACKQCGKTFSFLSNIK RHERIHSGEKPYRCNICDKAFTCSTNFQEHERTHTGEKPYLCTQCGKSFSFLSNIRRHER THTGEKPYRCNACGKAFSYLTNFQDHERTHTGEKPYVCTQCGKAFTYYYSFQTHKRCHTG EKPYVCKQCGKAFSYYNSIQTHKRCHTGEKPYVCKLCAKAFTTLSSLRYHERIHSGEKPH VCLQCGKGFNSSSTLRIHERTHTGEKPYKCKKCGKVFSVDSSLRCHERIHSGEKPYVCKQ CGKAFTRHTSLRCHERIHCGEKPYICKQCGKGFISSSNFRKHEWTHSGEKLCVCKLCGKA FTGHSSLQRHERIHSGEKPYVCKHCGKDFSSLSGCQRHEQIHTGEKPYVCKNCGKAFTNP SALRNHERIHSGEKPYVCGQCGKGFISFSKFRIHERIHTGEKPYKCKQCGKAFTGHSSLR RHERIHSGEKPFVCKHCGKSFYTLSDCQRHEQIHTGEKPFICKQCGKAFTRSSSLKIHDK THSRSP >ENSMUSP00000080384.2 pep:known chromosome:GRCm38:7:28508836:28510455:-1 gene:ENSMUSG00000059128.3 transcript:ENSMUST00000081684.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnl2 description:interferon lambda 2 [Source:MGI Symbol;Acc:MGI:3647279] MLLLLLPLLLAAVLTRTQADPVPRATRLPVEAKDCHIAQFKSLSPKELQAFKKAKDAIEK RLLEKDLRCSSHLFPRAWDLKQLQVQERPKALQAEVALTLKVWENMTDSALATILGQPLH TLSHIHSQLQTCTQLQATAEPRSPSRRLSRWLHRLQEAQSKETPGCLEASVTSNLFRLLT RDLKCVANGDQCV >ENSMUSP00000126863.1 pep:known chromosome:GRCm38:7:9754636:9770571:-1 gene:ENSMUSG00000090342.1 transcript:ENSMUST00000171523.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r46 description:vomeronasal 2, receptor 46 [Source:MGI Symbol;Acc:MGI:3757899] MFILMGVFFLLNIPVFMANFTDPVCFWRINLNEIQDEYLGLTCAFILAAVQKPIEKDYFN KTLNFLKTTKNHKYALALAFAMDEINRNPDLLPNMSLIIRYTLGRCDGKTVIPTPYLFHK KTKSPIPNYFCNEETMCSFLLTGTHWEVSLSFWKYLDSFLSPRILQLTYGPFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYFSWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDYVYLEPEIEMYYKQIVMSSSNVIIIYEEKDNFFDLIFRMWEPPVLQRIWITT KQWNCPTSKRDITHGTFYGSLTFLPHHGEISGFKNFVQTWFNLRNKDLYLVMPEWKYFKY EGSASNCKILKNNSSDASFDWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIDNGKGASSHCLKVHSFLRKTHFTNPLGDKVIMKQRVITQEDYDVFHFGNLSQHLGIKL KLEKFSPYFSHGRHFHLYIDMIEVATGSRKMPSSVCSAECSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRILSYILLISLMSCFLCSFFFIGHPNRATCVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFVVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSVTAFYCVLGYLACLALGSFTLAFLAKNLPDTFNEAKF LTFSMLVFFSVWVTFLPVYHSTKGKVMCY >ENSMUSP00000120141.1 pep:known chromosome:GRCm38:17:23836785:23844155:-1 gene:ENSMUSG00000024114.14 transcript:ENSMUST00000122936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss41 description:protease, serine 41 [Source:MGI Symbol;Acc:MGI:1918253] MPCGRRNDTRSRIVGGIESMQGRWPWQASLRLKKSHRCGGSLLSRRWVLTAAHCFRKYLD PEKWTVQLGQLTSKPSYWNRKAYSGRYRVKDIIVNSEDKLKSHDLALLRLASSVTYNKDI QPVCVQPSTFTSQHQPRCWVTGWGVLQEDLKPLPPPYHLREVQVSILNNSRCQELFEIFS LHHLITKDVFCAGAEDGSADTCSGDSGGPLVCNMDGLWYQIGIVSWGIGCGRPNLPGIYT NVSHYYNWIETMMILNGAVRRDLALPLLSITLLQAPWLLRPT >ENSMUSP00000024926.7 pep:known chromosome:GRCm38:17:23836785:23844172:-1 gene:ENSMUSG00000024114.14 transcript:ENSMUST00000024926.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss41 description:protease, serine 41 [Source:MGI Symbol;Acc:MGI:1918253] MGIQGPVLLLLLLCVMLGKPGSREESQAADLKSTDIKLLSMPCGRRNDTRSRIVGGIESM QGRWPWQASLRLKKSHRCGGSLLSRRWVLTAAHCFRKYLDPEKWTVQLGQLTSKPSYWNR KAYSGRYRVKDIIVNSEDKLKSHDLALLRLASSVTYNKDIQPVCVQPSTFTSQHQPRCWV TGWGVLQEDLKPLPPPYHLREVQVSILNNSRCQELFEIFSLHHLITKDVFCAGAEDGSAD TCSGDSGGPLVCNMDGLWYQIGIVSWGIGCGRPNLPGIYTNVSHYYNWIETMMILNGAVR RDLALPLLSITLLQAPWLLRPT >ENSMUSP00000122453.1 pep:known chromosome:GRCm38:17:23841108:23844172:-1 gene:ENSMUSG00000024114.14 transcript:ENSMUST00000151797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss41 description:protease, serine 41 [Source:MGI Symbol;Acc:MGI:1918253] MGIQGPVLLLLLLCVMLGKPGSREESQAADLKSTDIKLLSMPCGRRNDTRSRIVGGIESM QGRWPWQASLRLKKSHRCGGSLLSRRWVLTAAHCFRKYLDPEKWTVQLGQLTSKPSYWNR KAYSGRYRVKDIIVNSEDKLKSHDLALLRLASSVTYNKDIQPVCVQPSTFTSQHQPRCWV TGWGVLQEDLSEISNAGWACGCAICPLFQPQQALKPFSGSGAPRASCLPAQPPPPLLPTC MELPSVLEQG >ENSMUSP00000064555.4 pep:known chromosome:GRCm38:13:60174405:60177365:-1 gene:ENSMUSG00000052957.7 transcript:ENSMUST00000065086.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas1 description:growth arrest specific 1 [Source:MGI Symbol;Acc:MGI:95655] MDEDAHARSARNSDKLFQRPRGRHPSLVSAPHRVRRPLLPAMLAALLGGAGARTGTLPGA LLCLMALLQLLCSAPRGSGLAHGRRLICWQALLQCQGEPDCSYAYSQYAEACAPVLAQRG GADAPGPAGAFPASAASSPRWRCPSHCISALIQLNHTRRGPALEDCDCAQDEHCRSTKRA IEPCLPRTSSVGPGAGAGSVMGCTEARRRCDRDSRCNLALSRYLAYCGKLFNGLRCTDEC RAVIEDMLAVPKAALLNDCVCDGLERPICESVKENMARLCFGPDASNGPGSSGSDGGLDD YYDEEYDDEQRAGAAGGEQPLDDDDGLARPGGGAAAAGGRGDLPHGPGRRSSSSGSGGHW ANRSAWTPFACLLLLLLLLLGSHL >ENSMUSP00000032835.5 pep:known chromosome:GRCm38:7:79053483:79115099:1 gene:ENSMUSG00000030607.7 transcript:ENSMUST00000032835.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acan description:aggrecan [Source:MGI Symbol;Acc:MGI:99602] MTTLLLVFVTLRVIAAVISEEVPDHDNSLSVSIPQPSPLKVLLGSSLTIPCYFIDPMHPV TTAPSTAPLTPRIKWSRVSKEKEVVLLVATEGQVRVNSIYQDKVSLPNYPAIPSDATLEI QNLRSNDSGIYRCEVMHGIEDSEATLEVIVKGIVFHYRAISTRYTLDFDRAQRACLQNSA IIATPEQLQAAYEDGFHQCDAGWLADQTVRYPIHTPREGCYGDKDEFPGVRTYGIRDTNE TYDVYCFAEEMEGEVFYATSPEKFTFQEAANECRRLGARLATTGQLYLAWQGGMDMCSAG WLADRSVRYPISKARPNCGGNLLGVRTVYLHANQTGYPDPSSRYDAICYTGEDFVDIPEN FFGVGGEDDITIQTVTWPDLELPLPRNVTEGEALGSVILTAKPIFDLSPTISEPGEALTL APEVGSTAFPEAEERTGEATRPWGFPAEVTRGPDSATAFASEDLVVRVTISPGAAEVPGQ PRLPGGVVFHYRPGSTRYSLTFEEAQQACMHTGAVIASPEQLQAAYEAGYEQCDAGWLQD QTVRYPIVSPRTPCVGDKDSSPGVRTYGVRPSSETYDVYCYVDKLEGEVFFATRLEQFTF QEARAFCAAQNATLASTGQLYAAWSQGLDKCYAGWLADGTLRYPIITPRPACGGDKPGVR TVYLYPNQTGLPDPLSKHHAFCFRGVSVAPSPGEEGGSTPTSPSDIEDWIVTQVGPGVDA VPLEPKTTEVPYFTTEPRKQTEWEPAYTPVGTSPQPGIPPTWLPTLPAAEEHTESPSASE EPSASAVPSTSEEPYTSSFAVPSMTELPGSGEASGAPDLSGDFTGSGDASGRLDSSGQPS GGIESGLPSGDLDSSGLSPTVSSGLPVESGSASGDGEVPWSHTPTVGRLPSGGESPEGSA SASGTGDLSGLPSGGEITETSTSGAEETSGLPSGGDGLETSTSGVDDVSGIPTGREGLET SASGVEDLSGLPSGEEGSETSTSGIEDISVLPTGGESLETSASGVGDLSGLPSGGESLET SASGAEDVTQLPTERGGLETSASGVEDITVLPTGRESLETSASGVEDVSGLPSGREGLET SASGIEDISVFPTEAEGLDTSASGGYVSGIPSGGDGTETSASGVEDVSGLPSGGEGLETS ASGVEDLGPSTRDSLETSASGVDVTGFPSGRGDPETSVSGVGDDFSGLPSGKEGLETSAS GAEDLSGLPSGKEDLVGSASGALDFGKLPPGTLGSGQTPEVNGFPSGFSGEYSGADIGSG PSSGLPDFSGLPSGFPTVSLVDSTLVEVITATTSSELEGRGTIGISGSGEVSGLPLGELD SSADISGLPSGTELSGQASGSPDSSGETSGFFDVSGQPFGSSGVSEETSGIPEISGQPSG TPDTTATSGVTELNELSSGQPDVSGDGSGILFGSGQSSGITSVSGETSGISDLSGQPSGF PVFSGTATRTPDLASGTISGSGESSGITFVDTSFVEVTPTTFREEEGLGSVELSGFPSGE TELSGTSGTVDVSEQSSGAIDSSGLTSPTPEFSGLPSGVAEVSGEFSGVETGSSLPSGAF DGSGLVSGFPTVSLVDRTLVESITQAPTAQEAGEGPSGILEFSGAHSGTPDISGELSGSL DLSTLQSGQMETSTETPSSPYFSGDFSSTTDVSGESIAATTGSGESSGLPEVTLNTSELV EGVTEPTVSQELGHGPSMTYTPRLFEASGDASASGDLGGAVTNFPGSGIEASVPEASSDL SAYPEAGVGVSAAPEASSKLSEFPDLHGITSAFHETDLEMTTPSTEVNSNPWTFQEGTRE GSAAPEVSGESSTTSDIDTGTSGVPSATPMASGDRTEISGEWSDHTSEVNVAISSTITES EWAQPTRYPTETLQEIESPNPSYSGEETQTAETTMSLTDAPTLSSSEGSGETESTVADQE QCEEGWTKFQGHCYRHFHDRETWVDAERRCREQQSHLSSIVTPEEQEFVNKNAQDYQWIG LNDRTIEGDFRWSDGHSLQFEKWRPNQPDNFFATGEDCVVMIWHERGEWNDVPCNYQLPF TCKKGTVACGDPPVVEHARTLGQKKDRYEISSLVRYQCTEGFVQRHVPTIRCQPSGHWEE PRITCTDPNTYKHRLQKRSMRPTRRSRPSMAH >ENSMUSP00000146292.1 pep:known chromosome:GRCm38:7:79099751:79114627:1 gene:ENSMUSG00000030607.7 transcript:ENSMUST00000206779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acan description:aggrecan [Source:MGI Symbol;Acc:MGI:99602] XSGETSGISDLSGQPSGFPVFSGTATRTPDLASGTISGSGESSGITFVDTSFVEVTPTTF REEEGLGSVELSGFPSGETELSGTSGTVDVSEQSSGAIDSSGLTSPTPEFSGLPSGVAEV SGEFSGVETGSSLPSGAFDGSGLVSGFPTVSLVDRTLVESITQAPTAQEAGEGPSGILEF SGAHSGTPDISGELSGSLDLSTLQSGQMETSTETPSSPYFSGDFSSTTDVSGESIAATTG SGESSGLPEVTLNTSELVEGVTEPTVSQELGHGPSMTYTPRLFEASGDASASGDLGGAVT NFPGSGIEASVPEASSDLSAYPEAGVGVSAAPEASSKLSEFPDLHGITSAFHETDLEMTT PSTEVNSNPWTFQEGTREGSAAPEVSGESSTTSDIDTGTSGVPSATPMASGDRTEISGEW SDHTSEVNVAISSTITESEWAQPTRYPTETLQEIESPNPSYSGEETQTAETTMSLTDAPT LSSSEGSGETESTVADIDECLSSPCLNGATCVDALDTFTCLCLPSYRGNLCEIDQEQCEE GWTKFQGHCYRHFHDRETWVDAERRCREQQSHLSSIVTPEEQEFVNKNAQDYQWIGLNDR TIEGDFRWSDGHSLQFEKWRPNQPDNFFATGEDCVVMIWHERGEWNDVPCNYQLPFTCKK GTVACGDPPVVEHARTLGQKKDRYEISSLVRYQCTEGFVQRHVPTIRCQPSGHWEEPRIT CTDPNTYKHRLQKRSMRPTRRSRPSMAH >ENSMUSP00000099851.3 pep:known chromosome:GRCm38:4:98754898:98817537:-1 gene:ENSMUSG00000035407.8 transcript:ENSMUST00000102790.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kank4 description:KN motif and ankyrin repeat domains 4 [Source:MGI Symbol;Acc:MGI:3043381] MEKIDGKDQSSQGDEEKEPPKSYPYSVETPYGFHLDLDFLKYVDDIEKGHTIKRIPIHRR AKQAKFSTLPRNFSLPNSGDRTYAVPPQQNWSPVVPRKISLGTQEPSQPLPLGDLPQASV QGSELNYHRKALLAKDARQAEAGSLEDVGSGRPQLLRASSMPATLLQNQVPEEPSLTSGP STLLALPLLQDEGSVCDGAFDPAEGLMGFQASAQSVDRELGELEPAIPEQVWEGAEPEEG DLKASSHLSQPGPSSAVQSVPMDLEEVEIEHHMREAELVLTPGSATPSPPPLPSPILEND LSLDEIELSISEIPPPPPIEVDVRSIGIRVTEESLGLLETDTSSISSLKNQVLALEDKLS GRTEELARVRAALEQQEEETKAREQRIQELECTVAHLEEKLSQERASEAPDRTDATVNTD PLQELTPRESHDKNIGVNLLNTPDPECRAPRAEKNGFPWVQNNHKQSYPSPEEPVLPPQL SLPRGPEQILASSLCSCLSMELRIEEEGSEQEGGQEEGAGGLSRAAGESSWSTRESAPVI REEATSELPGAERPGRPASSPQDATIGQYVKKIQELLHEQWNCLEHGYPELASAIKQPAS KLSSIQNQLLSSLNLLLSAYSAQAPEPEPKETPAPPPSTPPPPPPPPPEISPSTSLKSIM KKKDYGFRAGGNGTKKNLQFVGVNGGYETTSSEETSGEDSSPEDLSDSETEKKQDCSESR EDRDLHPSCEAGQGVPEGTRNSGHTSDRGEEVSHLRAERYKPSEEFLNACQTLSQHLPET GDTTKQLLRQSLNTISQEWFRVSSRKLSSPEAVAAYLLEVQPHSPYLLKLLVNLADRSGN TALHYSVSHSNFAIVKLLLDTGVCNVDHQNKAGYTAVMITPLASAETKEDMAVVWKLLRE GNVNIQATQGGQTALMLGVSHDREDMVQALLSCQADVNLQDNDGSSALMLACHQGNADLV RLLLAHPACNSSLTDKAGRTALSLVLNSPAHVEIAELLRAHSEPGRSLGPKELQKN >ENSMUSP00000140173.1 pep:known chromosome:GRCm38:15:75155240:75159126:1 gene:ENSMUSG00000022582.4 transcript:ENSMUST00000190262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g description:lymphocyte antigen 6 complex, locus G [Source:MGI Symbol;Acc:MGI:109440] MDTCHIAKSCVLILLVVLLCAERAQGLECYNCIGVPPETSCNTTTCPFSDGFCVALEIEV IVDSHRSKVKSNLCLPICPTTLDNTEITGNAVNVKTYCCKEDLCNAAVPTGGSSWTMAGV LLFSLVSVLLQTFL >ENSMUSP00000023246.3 pep:known chromosome:GRCm38:15:75156693:75158739:1 gene:ENSMUSG00000022582.4 transcript:ENSMUST00000023246.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6g description:lymphocyte antigen 6 complex, locus G [Source:MGI Symbol;Acc:MGI:109440] AQGLECYNCIGVPPETSCNTTTCPFSDGFCVALEIEVIVDSHRSKVKSNLCLPICPTTLD NTEITGNAVNVKTYCCKEDLCNAAVPTGGSSWTMAGVLLFSLVSVLLQTFL >ENSMUSP00000112770.2 pep:known chromosome:GRCm38:7:28540885:28542209:1 gene:ENSMUSG00000084174.3 transcript:ENSMUST00000119180.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sycn description:syncollin [Source:MGI Symbol;Acc:MGI:1915666] MSLLCPLLLALALVAVPGAHGNCPVPADLKKSDGTRTCARLYEKSDPYYDNCCQGPVLSV EPGTDLPYLPSGWSNTASSLVVGQRCEITVWSLPGKHGKTRKFTAGSYPRLEEYRKGIFG DWSDSISALYCKCY >ENSMUSP00000060088.5 pep:known chromosome:GRCm38:11:58315124:58323323:-1 gene:ENSMUSG00000049755.14 transcript:ENSMUST00000057836.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp672 description:zinc finger protein 672 [Source:MGI Symbol;Acc:MGI:2442105] MFTAPGMATTQERPYSCSVCGKSFQYSAVLLRHERAHGGDKRFCCLECGERCARAADLRA HRWTHAGQTLYICSECGQSFSHSGLLDLHLGTHRRRSRTRPCRLCGRRFPHVPALLLHRA RQHPPEKPHRCPLCARSFRQSALPFHLARAHPPEIITVTAPSPSTLYHCTQCPRAFHSSA GLRNHSRIHVVPSLSDPGTEAHLCGICGKSFSKSSTLTRHLQRHSGEKPFKCPECGKGFL ESATLVRHQRTHTGEKPYACSDCGRCFSESSTLLRHQRSHQGERPHVCATCGKGFGQRYD LVVHQRSHTGERPFPCPQCGRGFTDRSDLTKHLRTHTGEKPYHCELCGKRFTCISNLNVH LRNHAGHKPHKCPECGKSFSVASKLALHRKTHLGERTAECTECGKFFSHGRSLSQHQRSH RRARAAAMAATTTTTVVTEVTIGPSLTLTGPTEQEKSGLLVSPFQETC >ENSMUSP00000104457.1 pep:known chromosome:GRCm38:11:58315216:58323113:-1 gene:ENSMUSG00000049755.14 transcript:ENSMUST00000108829.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp672 description:zinc finger protein 672 [Source:MGI Symbol;Acc:MGI:2442105] MFTAPGMATTQERPYSCSVCGKSFQYSAVLLRHERAHGGDKRFCCLECGERCARAADLRA HRWTHAGQTLYICSECGQSFSHSGLLDLHLGTHRRRSRTRPCRLCGRRFPHVPALLLHRA RQHPPEKPHRCPLCARSFRQSALPFHLARAHPPEIITVTAPSPSTLYHCTQCPRAFHSSA GLRNHSRIHVVPSLSDPGTEAHLCGICGKSFSKSSTLTRHLQRHSGEKPFKCPECGKGFL ESATLVRHQRTHTGEKPYACSDCGRCFSESSTLLRHQRSHQGERPHVCATCGKGFGQRYD LVVHQRSHTGERPFPCPQCGRGFTDRSDLTKHLRTHTGEKPYHCELCGKRFTCISNLNVH LRNHAGHKPHKCPECGKSFSVASKLALHRKTHLGERTAECTECGKFFSHGRSLSQHQRSH RRARAAAMAATTTTTVVTEVTIGPSLTLTGPTEQEKSGLLVSPFQETC >ENSMUSP00000140236.1 pep:known chromosome:GRCm38:11:58317225:58330331:-1 gene:ENSMUSG00000049755.14 transcript:ENSMUST00000186859.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp672 description:zinc finger protein 672 [Source:MGI Symbol;Acc:MGI:2442105] MFTAPGMATTQERPYSCSVCGKSFQYSAVLLRHERAHGGDKRFCCLECGERCARAADLRA HRWTHAGQTLYICSECGQSFSHSGLLDLHL >ENSMUSP00000114561.1 pep:known chromosome:GRCm38:11:58317327:58319954:-1 gene:ENSMUSG00000049755.14 transcript:ENSMUST00000155662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp672 description:zinc finger protein 672 [Source:MGI Symbol;Acc:MGI:2442105] MFTAPGMATTQERPYSCSVCGKSFQYSAVLLRHERAHGGDKRFCCLECGERCARAA >ENSMUSP00000070567.5 pep:known chromosome:GRCm38:11:58315114:58323346:-1 gene:ENSMUSG00000049755.14 transcript:ENSMUST00000064786.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp672 description:zinc finger protein 672 [Source:MGI Symbol;Acc:MGI:2442105] MFTAPGMATTQERPYSCSVCGKSFQYSAVLLRHERAHGGDKRFCCLECGERCARAADLRA HRWTHAGQTLYICSECGQSFSHSGLLDLHLGTHRRRSRTRPCRLCGRRFPHVPALLLHRA RQHPPEKPHRCPLCARSFRQSALPFHLARAHPPEIITVTAPSPSTLYHCTQCPRAFHSSA GLRNHSRIHVVPSLSDPGTEAHLCGICGKSFSKSSTLTRHLQRHSGEKPFKCPECGKGFL ESATLVRHQRTHTGEKPYACSDCGRCFSESSTLLRHQRSHQGERPHVCATCGKGFGQRYD LVVHQRSHTGERPFPCPQCGRGFTDRSDLTKHLRTHTGEKPYHCELCGKRFTCISNLNVH LRNHAGHKPHKCPECGKSFSVASKLALHRKTHLGERTAECTECGKFFSHGRSLSQHQRSH RRARAAAMAATTTTTVVTEVTIGPSLTLTGPTEQEKSGLLVSPFQETC >ENSMUSP00000070680.2 pep:known chromosome:GRCm38:11:58327721:58330339:-1 gene:ENSMUSG00000049755.14 transcript:ENSMUST00000065533.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp672 description:zinc finger protein 672 [Source:MGI Symbol;Acc:MGI:2442105] MRMEEDGVPQSEVKGIPELVPVRVQESPHKPQEYQSQSDRDSVLNAHPTVGRHSLDSGTE CYYHELRFRVSLYRQKPIMNSQAIAGRACRVIGLFPSCVCVCLNNLDITVQVDFYLA >ENSMUSP00000140537.1 pep:known chromosome:GRCm38:7:28451980:28459285:1 gene:ENSMUSG00000030600.15 transcript:ENSMUST00000190954.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrfn1 description:leucine rich repeat and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:2136810] MAPGPFSSGLFSPPPAALPFLLLLWAGASRGQPCPGRCICQNVAPTLTMLCAKTGLLFVP PAIDRRVVELRLTDNFIAAVRRRDFANMTSLVHLTLSRNTIGQVAAGAFADLRALRALHL DSNRLAEVRGDQLRGLGNLRHLILGNNQIRKVESAAFDAFLSTVEDLDLSYNNLEALPWE AVGQMVNLNTLTLDHNLIDHIAEGTFVQL >ENSMUSP00000139609.1 pep:known chromosome:GRCm38:7:28452148:28462132:1 gene:ENSMUSG00000030600.15 transcript:ENSMUST00000189877.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrfn1 description:leucine rich repeat and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:2136810] MAPGPFSSGLFSPPPAALPFLLLLWAGASRGQPCPGRCICQNVAPTLTMLCAKTGLLFVP PAIDRRVVELRLTDNFIAAVRRRDFANMTSLVHLTLSRNTIGQVAAGAFADLRALRALHL DSNRLAEVRGDQLRGLGNLRHLILGNNQIRKVESAAFDAFLSTVEDLDLSYNNLEALPWE AVGQMVNLNTLTLDHNLIDHIAEGTFVQLHKLVRLDMTSNRLHKLPPDGLFLRSQGGGPK PPTPLTVSFGGNPLHCNCELLWLRRLTREDDLETCATPEHLTDRYFWSIPEEEFLCEPPL ITRQAGGRALVVEGQAVSLRCRAVGDPEPVVHWVAPDGRLLGNSSRTRVRGDGTLDVTIT TLRDSGTFTCIASNAAGEATAPVEVCVVPLPLMAPPPAAPPPLTEPGSSDIATPGRPGAN DSTSERRLVAAELTSSSVLIRWPAQRPVPGIRMYQVQYNSSADDSLVYSSSCPGTHYVDQ DGLEIRVPLASA >ENSMUSP00000103923.3 pep:known chromosome:GRCm38:7:28458658:28468242:1 gene:ENSMUSG00000030600.15 transcript:ENSMUST00000108288.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrfn1 description:leucine rich repeat and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:2136810] MAPGPFSSGLFSPPPAALPFLLLLWAGASRGQPCPGRCICQNVAPTLTMLCAKTGLLFVP PAIDRRVVELRLTDNFIAAVRRRDFANMTSLVHLTLSRNTIGQVAAGAFADLRALRALHL DSNRLAEVRGDQLRGLGNLRHLILGNNQIRKVESAAFDAFLSTVEDLDLSYNNLEALPWE AVGQMVNLNTLTLDHNLIDHIAEGTFVQLHKLVRLDMTSNRLHKLPPDGLFLRSQGGGPK PPTPLTVSFGGNPLHCNCELLWLRRLTREDDLETCATPEHLTDRYFWSIPEEEFLCEPPL ITRQAGGRALVVEGQAVSLRCRAVGDPEPVVHWVAPDGRLLGNSSRTRVRGDGTLDVTIT TLRDSGTFTCIASNAAGEATAPVEVCVVPLPLMAPPPAAPPPLTEPGSSDIATPGRPGAN DSTSERRLVAAELTSSSVLIRWPAQRPVPGIRMYQVQYNSSADDSLVYRMIPSTSQTFLV NDLAAGRAYDLCVLAVYDDGATALPATRVVGCVQFTTAGDPAPCRPLRAHFLGGTMIIAI GGVIVASVLVFIVLLMIRYKVYGDGDSRRIKGTSRTPPRVSHVCSQTNGAGAQQASAPPA PDRYEALREVAVPAAIEAKAMEAEATSTELEVVLGRSLGGSATSLCLLPSEETSGEESRA MTGPRRSRSGALGPPTSAPPTLALVPGGAPARPRPQQRYSFDGDYGALFQSHSYPRRARR TKRHRSTPHLDGAGGGAAGEDGDLGLGSARARLAFTSTEWMLESTV >ENSMUSP00000057645.9 pep:known chromosome:GRCm38:7:28458658:28462067:1 gene:ENSMUSG00000030600.15 transcript:ENSMUST00000055110.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrfn1 description:leucine rich repeat and fibronectin type III domain containing 1 [Source:MGI Symbol;Acc:MGI:2136810] MAPGPFSSGLFSPPPAALPFLLLLWAGASRGQPCPGRCICQNVAPTLTMLCAKTGLLFVP PAIDRRVVELRLTDNFIAAVRRRDFANMTSLVHLTLSRNTIGQVAAGAFADLRALRALHL DSNRLAEVRGDQLRGLGNLRHLILGNNQIRKVESAAFDAFLSTVEDLDLSYNNLEALPWE AVGQMVNLNTLTLDHNLIDHIAEGTFVQLHKLVRLDMTSNRLHKLPPDGLFLRSQGGGPK PPTPLTVSFGGNPLHCNCELLWLRRLTREDDLETCATPEHLTDRYFWSIPEEEFLCEPPL ITRQAGGRALVVEGQAVSLRCRAVGDPEPVVHWVAPDGRLLGNSSRTRVRGDGTLDVTIT TLRDSGTFTCIASNAAGEATAPVEVCVVPLPLMAPPPAAPPPLTEPGSSDIATPGRPGAN DSTSERRLVAAELTSSSVLIRWPAQRPVPGIRMYQVQYNSSADDSLVYSSSCPGTHYVDQ DGLEIRVPLASA >ENSMUSP00000103575.2 pep:known chromosome:GRCm38:4:43267170:43373831:1 gene:ENSMUSG00000028457.18 transcript:ENSMUST00000107942.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b5 description:ATPase, class I, type 8B, member 5 [Source:MGI Symbol;Acc:MGI:2444287] MKYVKAFVSEISWDCSWYCSAMQERRNEDRQKEEEERILQANNRRFNSLFEYPDNSIKTS KYGFFNFLPMNLFEQFQRLANAYFLILLFLQLVPQISSLAWYTTVIPLIVVLSITGVKDA IDDVKRHRSDQQINNRSVSILVNGRVEEIKWRNVQVGDIIKLENNHPVTADMLLLSSSEP YGLTYIETADLDGETNLKVKQAISVTSAMEDNLELLSSFNGEVRCDPPNNKLDKFSGTLS YLGNTYLLNHERLLLRGCVIRNTDWCYGLVVYTGQDTKLMQNSGRSTFKRTHIDHLMNVL VVWIFMFLGGMCFLLSIGHGIWENSRGYYFQAFLPWKHYITSSATSSALIFWSYFIVLNT MVPISLYVSVEIIRLGNSYYINWDRKMFYAPKNMPAQARTTTLNEELGQVQYVFSDKTGT LTENVMIFNKCSINGKTYGYSYDDNGEYVPKSPKDKVDFSYNHLADPKFSFYDKTLVEAV KSEDPLVYLFFLCLSLCHTVMSEEKVEGELVYQAQSPDEGALVTATRNFGFVFCSRTPET ITVIEMGKIRVYRLLAILDFSNERKRMSVIVRTPEDRVMLFCKGADTIIYELLHPSCASL SEVTMDHLDDFASEGLRTLMVAYRELDKAYFQTWIKKHGEAWLTLENRERKLALVYEEIE RDLMLLGATAIEDKLQRGVPETIVTLSKAKIKIWVLTGDKQETAVNIAYSCRIFKDEMDG VFMVEGTDRETVLEELRTARKKMKPESLLESDPINMYLARKPKMPFKSLDEVANGNYGLV ISGYSLAYALEGSLEFELLRTACMCKGVVCCRMTPLQKAQVVDLVKRYKKVVTLAIGDGA NDISMIKAAHIGVGISNQEGMQATLSSDFSFCQFHFLQRLLLVHGRLSYNRMCKFLSYFF YKNFAFTLVHFWYAFFNGFSAQTVYDIWFITFYNLIYTSLPVLGLSLFEKDVNETWSLCY PELYEPGQHNLYFNKKEFVKCLLHGIYNSFVLFFVPMGTVFNSERNDGKDISDFQSFSLL VQTTLIGVMTMQIALRTTSWTMINHTFTWGSLGLYFCILILLCSDGLCLRYPSIFNFLGV ARNSLSQPQIWLCLILSTILCMIPLIGYNFLRPLLWPINADKVLNRIHFCLKHPIPTQVQ TKIKHPSLRRSAYAFSHKQGFGALITSGKTLKSSALAKSKRFL >ENSMUSP00000116428.1 pep:known chromosome:GRCm38:4:43267185:43324884:1 gene:ENSMUSG00000028457.18 transcript:ENSMUST00000136262.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp8b5 description:ATPase, class I, type 8B, member 5 [Source:MGI Symbol;Acc:MGI:2444287] MKYVKAFVSEISWDCSWYCSAMQERRNEDRQKEEEKTPK >ENSMUSP00000103570.1 pep:known chromosome:GRCm38:4:43267185:43373833:1 gene:ENSMUSG00000028457.18 transcript:ENSMUST00000107937.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp8b5 description:ATPase, class I, type 8B, member 5 [Source:MGI Symbol;Acc:MGI:2444287] MKYVKAFVSEISWDCSWYCSAMQERRNEDRQKEEGPHVSQGGFELIM >ENSMUSP00000100018.3 pep:known chromosome:GRCm38:4:43267191:43326560:1 gene:ENSMUSG00000028457.18 transcript:ENSMUST00000102953.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8b5 description:ATPase, class I, type 8B, member 5 [Source:MGI Symbol;Acc:MGI:2444287] MKYVKAFVSEISWDCSWYCSAMQERRNEDRQKEEEERILQANNRRFNSLFEYPDNSIKTS KYGFFNFLPMNLFEQFQRLANAYFLILLFLQLVPQISSLAWYTTVIPLIVVLSITGVKDA IDDVKRHRSDQQINNRSVSILVNGRVEEIKWRNVQVGDIIKLENNHPVTADMLLLSSSEP YGLTYIETADLDGETNLKVKQAISVTSAMEDNLELLSSFNGEVRCDPPNNKLDKFSGTLS YLGNTYLLNHERLLLRGCVIRNTDWCYGLVVYTGQDTKLMQNSGRSTFKRTHIDHLMNVL VVWVS >ENSMUSP00000029900.5 pep:known chromosome:GRCm38:4:19876841:19922605:-1 gene:ENSMUSG00000028238.6 transcript:ENSMUST00000029900.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0d2 description:ATPase, H+ transporting, lysosomal V0 subunit D2 [Source:MGI Symbol;Acc:MGI:1924415] MLETAELYFNVDHGYLEGLVRGCKASLLTQQDYVNLVQCETLEDLKIHLQTTDYGNFLAN ETNPLTVSKIDTEMRKKLCREFDYFRNHSLEPLSTFLTYMTCSYMIDNIILLMNGALQKK SVKEVLAKCHPLGRFTEMEAVNIAETPSDLFKAVLVETPLAPFFQDCMSENTLDELNIEL LRNKLYKSYLEAFYKFCKDHGDVTADVMCPILEFEADRRALIITLNSFGTELSKEDRETL FPTCGRLYPEGLRLLAQAEDFEQMKRVADNYGVYKPLFDAVGGSGGKTLEDVFYEREVQM NVLAFNRQFHYGVFYAYVKLKEQEMRNIVWIAECISQRHRTKINSYIPIL >ENSMUSP00000123599.1 pep:known chromosome:GRCm38:4:19890832:19916940:-1 gene:ENSMUSG00000028238.6 transcript:ENSMUST00000128605.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp6v0d2 description:ATPase, H+ transporting, lysosomal V0 subunit D2 [Source:MGI Symbol;Acc:MGI:1924415] MRKKLCREFDYFRNHSLEPLSTFLTYMTCSYMIDNI >ENSMUSP00000099178.3 pep:known chromosome:GRCm38:11:3131850:3189056:-1 gene:ENSMUSG00000023764.18 transcript:ENSMUST00000101655.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfi1 description:Sfi1 homolog, spindle assembly associated (yeast) [Source:MGI Symbol;Acc:MGI:1926137] MEKKIGSRSFRDGVVKKPCSPKTLPLKKSSAFSGIQREPSRSCHSIYYHASQNWTRYRLQ ELRIRCVARKFLYLWIRVTFGRVTPSRARIFHEQKILQKVFGEWREEWWVSQREWKLCVR ADCHYRYYLYNLIFQNWKTFVHQQREMRKRFRIAEHHDTKQKMCQAWKSWLIYMVSRRTK LHMKTTALEFRRQSVLCFWWSKWRWRLGQAHAEHALHAVAVKHRALSLQLQGWLRWQEQL LISQRDRRKEATAVQHYQHWQKQRSLKAWLKYLQICRVKRWQNEMAVQFHRATVLQIHFC DWQWAWEWRQSLSAHQALVVKLAGRMVLRRAFTHWKHYMLLQAEEAAQREAAAEHRQHYL LYSCFRAFKDNVTQARLQQTRKKLAQQLRDTTLLHRFWNLWQSRIEQREERVQTPSLHAA LSHYRVTVLHKCVRVWLRYVHKRQWQQLLRARADGHFQQRALPAAFYTWYRGWLWHQQRR ILHTKAVRFHRGTLEKQVFALWRQKMSQHRENCLAERMAILQAEQQLLRRFWFVWHQQAA VCQLERQQQAMAIAHHHSGLLRRAFCIWKESTQGFRIERMGRAQAAHFHSAQLLSRAWSM WRECLALRLEEQQKLKCAALHSQCILLRRALQKWLVYQNRVRSVLREVAARERQHNRQLL WWALHLWRENTMARLDGAKKTSQARVHYSRTLCSKVLVQWREVTSVQIYYRQKEAAALRE ARKALDRGRLQNWFQHWRFCSQRAAQQRFQLGQAAQHHHWQLLMEAMARWKAHHLGCIRK KFLQRQAAQLLAQRLSRACFCQWRKQLAVRKQEQWGTARALWLWAFSLQAKVWTAWLGFV LERRRKKARLERAMQAYQQQLLQEGATRLLRFTAGTKAFRQQLQAQQQVQAAHSLHCAVR HCAELWKKKVLGPGKTSQPPAPTTFSKRVTFKDSFLSGHAAEAGDATQETKKLRAPPSQG VLGSLAGAAGEPCHLDLNAARSSRKQPRRPSFLLERLGSQRLEKPPEEESTALLGGSSLT RPFLPGVLPNVPGPKLPPTASPGLELLPPSSIMPHAAGGTARVSAKPSIPGPQPWGCPSL PRDLDPQLLPGDSISTRTEPVYGSEATGHTELEAELEGIQQQLQHYQTTKQNLWSCQRQA NSLRRWLELSQEEPKSEDLHLEEQVKTELEEVELQVQQLAKELEAQRQPVGTCIARVRAL RRALC >ENSMUSP00000080066.6 pep:known chromosome:GRCm38:11:3131850:3193407:-1 gene:ENSMUSG00000023764.18 transcript:ENSMUST00000081318.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfi1 description:Sfi1 homolog, spindle assembly associated (yeast) [Source:MGI Symbol;Acc:MGI:1926137] MEKKIGSRSFRDGVVKKPCSPKTLPLKKSSAFSGIQREPSRSCHSIYYHASQNWTRYRLQ ELRIRCVARKFLYLWIRVTFGRVTPSRARIFHEQKILQKVFGEWREEWWVSQREWKLCVR ADCHYRYYLYNLIFQNWKTFVHQQREMRKRFRIAEHHDTKQKMCQAWKSWLIYMVSRRTK LHMKTTALEFRRQSVLCFWWSKWRWRLGQAHAEHALHAVAVKHRALSLQLQGWLRWQEQL LISQRDRRKEATAVQHYQHWQKQRSLKAWLKYLQICRVKRWQNEMAVQFHRATVLQIHFC DWQWAWEWRQSLSAHQALVVKLAGRMVLRRAFTHWKHYMLLQAEEAAQREAAAEHRQHYL LYSCFRAFKDNVTQARLQQTRKKLAQQLRDTTLLHRFWNLWQSRIEQREERVQTPSLHAA LSHYRVTVLHKCVRVWLRYVHKRQWQQLLRARADGHFQQRALPAAFYTWYRGWLWHQQRR ILHTKAVRFHRGTLEKQVFALWRQKMSQHRENCLAERMAILQAEQQLLRRFWFVWHQQAA VCQLERQQQAMAIAHHHSGLLRRAFCIWKESTQGFRIERMGRAQAAHFHSAQLLSRAWSM WRECLALRLEEQQKLKCAALHSQCILLRRALQKWLVYQNRVRSVLREVAARERQHNRQLL WWALHLWRENTMARLDGAKKTSQARVHYSRTLCSKVLVQWREVTSVQIYYRQKEAAALRE ARKALDRGRLQNWFQHWRFCSQRAAQQRFQLGQAAQHHHWQLLMEAMARWKAHHLGCIRK KFLQRQAAQLLAQRLSRACFCQWRKQLAVRKQEQWGTARALWLWAFSLQAKVWTAWLGFV LERRRKKARLERAMQAYQQQLLQEGATRLLRFTAGTKAFRQQLQAQQQVQAAHSLHCAVR HCAELWKKKVLGPGKTSQPPAPTTFSKRVTFKDSFLSGHAAEAGDATQETKKLRAPPSQG VLGSLAGAAGEPCHLDLNAARSSRKQPRRPSFLLERLGSQRSPEWYSLGEQQLEKPPEEE STALLGGSSLTRPFLPGVLPNVPGPKLPPTASPGLELLPPSSIMPHAAGGTARVSAKPSI PGPQPWGCPSLPRDLDPQLLPGDSISTRTEPVYGSEATGHTELEAELEGIQQQLQHYQTT KQNLWSCQRQANSLRRWLELSQEEPKSEDLHLEEQVKTELEEVELQVQQLAKELEAQRQP VGTCIARVRALRRALC >ENSMUSP00000067261.7 pep:known chromosome:GRCm38:11:3131881:3193463:-1 gene:ENSMUSG00000023764.18 transcript:ENSMUST00000066391.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfi1 description:Sfi1 homolog, spindle assembly associated (yeast) [Source:MGI Symbol;Acc:MGI:1926137] MEKKIGSRSFRDGVVKKPCSPKTLPLKKSSAFSGIQREPSRSCHSIYYHASQNWTRYRLQ ELRIRCVARKFLYLWIRVTFGRVTPSRARIFHEQKILQKVFGEWREEWWVSQREWKLCVR ADCHYRYYLYNLIFQNWKTFVHQQREMRKRFRIAEHHDTKQKMCQAWKSWLIYMVSRRTK LHMKTTALEFRRQSVLCFWWSKWRWRLGQAHAEHALHAVAVKHRALSLQLQGWLRWQEQL LISQRDRRKEATAVQHYQHWQKQRSLKAWLKYLQICRVKRWQNEMAVQFHRATVLQIHFC DWQWAWEWRQSLSAHQALVVKLAGRMVLRRAFTHWKHYMLLQAEEAAQREAAAEHRQHYL LYSCFRAFKDNVTQARLQQTRKKLAQQLRDTTLLHRFWNLWQSRIEQREERVQTPSLHAA LSHYRVTVLHKCVRVWLRYVHKRQWQQLLRARADGHFQQRALPAAFYTWYRGWLWHQQRR ILHTKAVRFHRGTLEKQVFALWRQKMSQHRENCLAERMAILQAEQQLLRRFWFVWHQQAA VCQLERQQQAMAIAHHHSGLLRRAFCIWKESTQGFRIERMGRAQAAHFHSAQLLSRAWSM WREVYQNRVRSVLREVAARERQHNRQLLWWALHLWRENTMARLDGAKKTSQARVHYSRTL CSKVLVQWREVTSVQIYYRQKEAAALREARKALDRGRLQNWFQHWRFCSQRAAQQRFQLG QAAQHHHWQLLMEAMARWKAHHLGCIRKKFLQRQAAQLLAQRLSRACFCQWRKQLAVRKQ EQWGTARALWLWAFSLQAKVWTAWLGFVLERRRKKARLERAMQAYQQQLLQEGATRLLRF TAGTKAFRQQLQAQQQVQAAHSLHCAVRHCAELWKKKVLGPGKTSQPPAPTTFSKRVTFK DSFLSGHAAEAGDATQETKKLRAPPSQGVLGSLAGAAGEPCHLDLNAARSSRKQPRRPSF LLERLGSQRSPEWYSLGEQQLEKPPEEESTALLGGSSLTRPFLPGVLPNVPGPKLPPTAS PGLELLPPSSIMPHAAGGTARVSAKPSIPGPQPWGCPSLPRDLDPQLLPGDSISTRTEPV YGSEATGHTELEAELEGIQQQLQHYQTTKQNLWSCQRQANSLRRWLELSQEEPKSEDLHL EEQVKTELEEVELQVQQLAKELEAQRQPVGTCIARVRALRRALC >ENSMUSP00000121719.2 pep:known chromosome:GRCm38:11:3131886:3189056:-1 gene:ENSMUSG00000023764.18 transcript:ENSMUST00000153425.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfi1 description:Sfi1 homolog, spindle assembly associated (yeast) [Source:MGI Symbol;Acc:MGI:1926137] MEKKIGSRSFRDGVVKKPCSPKTLPLKKSSAFSGIQREPSRSCHSIYYHASQNWTRYRLQ ELRIRCVARKFLYLWIRVTFGRVTPSRARIFHEQKILQKVFGEWREEWWVSQREWKLCVR ADCHYRYYLYNLIFQNWKTFVHQQREMRKRFRIAEHHDTKQKMCQAWKSWLIYMVSRRTK LHMKTTALEFRRQSVLCFWWSKWRWRLGQAHAEHALHAVAVKHRALSLQLQGWLRWQEQL LISQRDRRKEATAVQHYQHWQKQRSLKAWLKYLQICRVKRWQNEMAVQFHRATVLQIHFC DWQWAWEWRQSLSAHQALVVKLAGRMVLRRAFTHWKHYMLLQAEEAAQREAAAEHRQHYL LLLHRFWNLWQSRIEQREERVQTPSLHAALSHYRVTVLHKCVRVWLRYVHKRQWQQLLRA RADGHFQQRALPAAFYTWYRGWLWHQQRRILHTKAVRFHRGTLEKQVFALWRQKMSQHRE NCLAERMAILQAEQQLLRRFWFVWHQQAAVCQLERQQQAMAIAHHHSGLLRRAFCIWKES TQGFRIERMGRAQAAHFHSAQLLSRAWSMWRECLALRLEEQQKLKCAALHSQCILLRRAL QKWLVYQNRVRSVLREVAARERQHNRQLLWWALHLWRENTMARLDGAKKTSQARVHYSRT LCSKVLVQWREVTSVQIYYRQKEAAALREARKALDRGRLQNWFQHWRFCSQRAAQQRFQL GQAAQHHHWQLLMEAMARWKAHHLGCIRKKFLQRQAAQLLAQRLSRACFCQWRKQLAVRK QEQWGTARALWLWAFSLQAKVWTAWLGFVLERRRKKARLERAMQAYQQQLLQEGATRLLR FTAGTKAFRQQLQAQQQVQAAHSLHCAVRHCAELWKKKVLGPGKTSQPPAPTTFSKRVTF KDSFLSGHAAEAGDATQETKKLRAPPSQGVLGSLAGAAGEPCHLDLNAARSSRKQPRRPS FLLERLGSQRLEKPPEEESTALLGGSSLTRPFLPGVLPNVPGPKLPPTASPGLELLPPSS IMPHAAGGTARVSAKPSIPGPQPWGCPSLPRDLDPQLLPGDSISTRTEPVYGSEATGHTE LEAELEGIQQQLQHYQTTKQNLWSCQRQANSLRRWLELSQEEPKSEDLHLEEQVKTELEE VELQVQQLAKELEAQRQPVGTCIARVRALRRALC >ENSMUSP00000119905.2 pep:known chromosome:GRCm38:11:3131887:3189052:-1 gene:ENSMUSG00000023764.18 transcript:ENSMUST00000140846.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfi1 description:Sfi1 homolog, spindle assembly associated (yeast) [Source:MGI Symbol;Acc:MGI:1926137] MEKKIGSRIFHEQKILQKVFGEWREEWWVSQREWKLCVRADCHYRYYLYNLIFQNWKTFV HQQREMRKRFRIAEHHDTKQKMCQAWKSWLIYMVSRRTKLHMKTTALEFRRQSVLCFWWS KWRWRLGQAHAEHALHAVAVKHRALSLQLQGWLRWQEQLLISQRDRRKEATAVQHYQHWQ KQRSLKAWLKYLQICRVKRWQNEMAVQFHRATVLQIHFCDWQWAWEWRQSLSAHQALVVK LAGRMVLRRAFTHWKHYMLLQAEEAAQREAAAEHRQHYLLYSCFRAFKDNVTQARLQQTR KKLAQQLRDTTLLHRFWNLWQSRIEQREERVQTPSLHAALSHYRVTVLHKCVRVWLRYVH KRQWQQLLRARADGHFQQRALPAAFYTWYRGWLWHQQRRILHTKAVRFHRGTLEKQVFAL WRQKMSQHRENCLAERMAILQAEQQLLRRFWFVWHQQAAVCQLERQQQAMAIAHHHSGLL RRAFCIWKESTQGFRIERMGRAQAAHFHSAQLLSRAWSMWRECLALRLEEQQKLKCAALH SQCILLRRALQKWLVYQNRVRSVLREVAARERQHNRQLLWWALHLWRENTMARLDGAKKT SQARVHYSRTLCSKVLVQWREVTSVQIYYRQKEAAALREARKALDRGRLQNWFQHWRFCS QRAAQQRFQLGQAAQHHHWQLLMEAMARWKAHHLGCIRKKFLQRQAAQLLAQRLSRACFC QWRKQLAVRKQEQWGTARALWLWAFSLQAKVWTAWLGFVLERRRKKARLERAMQAYQQQL LQEGATRLLRFTAGTKAFRQQLQAQQQVQAAHSLHCAVRHCAELWKKKVLGPGKTSQPPA PTTFSKRVTFKDSFLSGHAAEAGDATQETKKLRAPPSQGVLGSLAGAAGEPCHLDLNAAR SSRKQPRRPSFLLERLGSQRLEKPPEEESTALLGGSSLTRPFLPGVLPNVPGPKLPPTAS PGLELLPPSSIMPHAAGGTARVSAKPSIPGPQPWGCPSLPRDLDPQLLPGDSISTRTEPV YGSEATGHTELEAELEGIQQQLQHYQTTKQNLWSCQRQANSLRRWLELSQEEPKSEDLHL EEQVKTELEEVELQVQQLAKELEAQRQPVGTCIARVRALRRALC >ENSMUSP00000122002.2 pep:known chromosome:GRCm38:11:3131888:3164597:-1 gene:ENSMUSG00000023764.18 transcript:ENSMUST00000126746.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sfi1 description:Sfi1 homolog, spindle assembly associated (yeast) [Source:MGI Symbol;Acc:MGI:1926137] XKYLQICRVKRWQNEMAVQFHRATVLQIHFCDWQWAWEWRQSLSAHQALVVKLAGRMVLR RAFTHWKHYMLLQAEEAAQREAAAEHRQHYLLYSCFRAFKDNVTQARLQQTRKKLAQQLR DTTLLHRFWNLWQSRIEQREERVQTPSLHAALSHYRVTVLHKCVRVWLRYVHKRQWQQLL RARADGHFQQRALPAAFYTWYRGWLWHQQRRILHTKAVRFHRGTLEKQVFALWRQKMSQH RENCLAERMAILQAEQQLLRRFWFVWHQQAAVCQLERQQQAMAIAHHHSGLLRRAFCIWK ESTQGFRIESSSSGERLLQCRYITDKRRQLPSGRPGKHWTGVVSRTGFSTGDSAARGQPS RDSSWDRRLSITTGSC >ENSMUSP00000118419.1 pep:known chromosome:GRCm38:11:3131889:3193460:-1 gene:ENSMUSG00000023764.18 transcript:ENSMUST00000132893.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sfi1 description:Sfi1 homolog, spindle assembly associated (yeast) [Source:MGI Symbol;Acc:MGI:1926137] MEKKIGSRSFRDGVVKKPCSPKTLPLKKSSAFSGIQREPSRSCHSIYYHASQNWTRYRLQ ELRIRCVARKFLYLWIRVTFGRVTPSRARIFHEQKILQKVFGEWREEWWVSQREWKLCVR ADCHYRYYLYNLIFQNWKTFVHQQREMRKRFRIAEHHDTKQKMCQAWKSWLIYMVSRRTK LHMKTTALEFRRQSVLCFWWSKWRWRLGQAHAEHALHAVAVKHRALSLQLQGWLRWQEQL LISQRDRRKEATAVQHYQHWQKQRSLKAWLKYLQICRVKRWQNVIAGQSRWSFPAASTAC CLLHVVQRLAMAPAETDPAHKSSAFPQRDIREASICSLEAEDVSASRKLLGRENGYTAGR AAAFA >ENSMUSP00000118364.1 pep:known chromosome:GRCm38:11:3165576:3193424:-1 gene:ENSMUSG00000023764.18 transcript:ENSMUST00000142315.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfi1 description:Sfi1 homolog, spindle assembly associated (yeast) [Source:MGI Symbol;Acc:MGI:1926137] MRKRFRIAEHHDTKQKMCQAWKSWLIYMVSRRTKLHMKTTALEFRRQSVLCFWWSKWRWR LGQAHAEHALHAVAVKHRALSLQLQ >ENSMUSP00000116369.1 pep:known chromosome:GRCm38:11:3165625:3187355:-1 gene:ENSMUSG00000023764.18 transcript:ENSMUST00000155763.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfi1 description:Sfi1 homolog, spindle assembly associated (yeast) [Source:MGI Symbol;Acc:MGI:1926137] KKSSAFSGIQREPSRSCHSIYYHASQNWTRYRLQELRIRCVARKFLYLWIRVTFGRVTPS RARYYLYNLIFQNWKTFVHQQREMRKRFRIAEHHDTKQKMCQAWKSWLIYMVSRRTKLHM KTTALEFRRQSVLCFWWSKWRWRLGQAHAEHA >ENSMUSP00000113559.1 pep:known chromosome:GRCm38:11:3185568:3193424:-1 gene:ENSMUSG00000023764.18 transcript:ENSMUST00000118627.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sfi1 description:Sfi1 homolog, spindle assembly associated (yeast) [Source:MGI Symbol;Acc:MGI:1926137] MEKKIGSRSFRDGVVKKPCSPKTLPLKKSSAFSGIQREPSRSCHSIYYHASQNWTRYRLQ ELRIRCVARKFLYLWIRVTFGRVTPSRARW >ENSMUSP00000056508.2 pep:known chromosome:GRCm38:11:74053776:74054778:-1 gene:ENSMUSG00000043692.5 transcript:ENSMUST00000059440.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr399 description:olfactory receptor 399 [Source:MGI Symbol;Acc:MGI:3030233] MDLGTLGNDSCVSTFVLLGLTETPVLRPILFVIFLLAYVATLGGNFSILAAIIIEPKLHT PMYFFLGNLSMLDVGCISVTVPAMLKHFLSNDRHIPYGACLSQLFFFHLLAGADCFLLTV MAYDRYLAICHPLTYNTHMSWRIQKASVCLSCVFSFSNALTQTVALSTLKFCGPNTINHF YCDLPQLFQLSCSSIQLNEQLLFVAAAFMGVVPLVLITVSYGHVAAAVLRIRSAEGRKKA FSTCSSHLTVVGIFYGTGVFSYMRLGSVESSDKDKGIGILNTVISPMLNPLIYSLRNPDV QGALRKVLTGR >ENSMUSP00000077473.3 pep:known chromosome:GRCm38:7:28522836:28524322:1 gene:ENSMUSG00000060747.3 transcript:ENSMUST00000078364.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifnl3 description:interferon lambda 3 [Source:MGI Symbol;Acc:MGI:2450574] MLLLLLPLLLAAVLTRTQADPVPRATRLPVEAKDCHIAQFKSLSPKELQAFKKAKGAIEK RLLEKDMRCSSHLISRAWDLKQLQVQERPKALQAEVALTLKVWENINDSALTTILGQPLH TLSHIHSQLQTCTQLQATAEPKPPSRRLSRWLHRLQEAQSKETPGCLEDSVTSNLFQLLL RDLKCVASGDQCV >ENSMUSP00000146141.1 pep:known chromosome:GRCm38:11:74044325:74056551:-1 gene:ENSMUSG00000047444.2 transcript:ENSMUST00000206280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr139 description:olfactory receptor 139 [Source:MGI Symbol;Acc:MGI:2177522] MEPGAWGNRTAVTDFILLGLTGNVRLQPILFVVFFFAYIVTVGGNLSILAAIFVEPKLHT PMYYFLGNLSLLDIGCISVTVPPMLVCLLAHECRVPYAACISQLFFFHLLAGVDCHLLTA MAYDRYLAICQPLTYSTRMSREVQGTLVGICCTVSFINALTHTVAVSVLDFCGPNVVNHF YCDLPPLFQLSCSSIYLNGQLLFVGATFMGVVPMILISVSYAHVAAAVLRIRSTEGRKKA FSTCGSHLTVVCIFYGTGFFSYMRLGSVSASDKDKGIGILNTILSPMLNPLIYSLRNPDV QGALKRVLTGKRYPV >ENSMUSP00000049558.1 pep:known chromosome:GRCm38:11:74044325:74045272:-1 gene:ENSMUSG00000047444.2 transcript:ENSMUST00000050678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr139 description:olfactory receptor 139 [Source:MGI Symbol;Acc:MGI:2177522] MEPGAWGNRTAVTDFILLGLTGNVRLQPILFVVFFFAYIVTVGGNLSILAAIFVEPKLHT PMYYFLGNLSLLDIGCISVTVPPMLVCLLAHECRVPYAACISQLFFFHLLAGVDCHLLTA MAYDRYLAICQPLTYSTRMSREVQGTLVGICCTVSFINALTHTVAVSVLDFCGPNVVNHF YCDLPPLFQLSCSSIYLNGQLLFVGATFMGVVPMILISVSYAHVAAAVLRIRSTEGRKKA FSTCGSHLTVVCIFYGTGFFSYMRLGSVSASDKDKGIGILNTILSPMLNPLIYSLRNPDV QGALKRVLTGKRYPV >ENSMUSP00000110260.2 pep:known chromosome:GRCm38:16:44943678:44964682:1 gene:ENSMUSG00000036172.17 transcript:ENSMUST00000114613.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r3 description:CD200 receptor 3 [Source:MGI Symbol;Acc:MGI:1921853] MHALGRTLALMLLIFITILVPESSCSVKGREEIPPDDSFPFSDDNIFPDGVGVTMEIEII TPVSVQIGIKAQLFCHPSPSKEATLRIWEITPRDWPSCRLPYRAELQQISKKICTERGTT RVPAHHQSSDLPIKSMALKHDGHYSCRIETTDGIFQERHSIQVPGENRTVVCEAIASKPA MQILWTPDEDCVTKSKSHNDTMIVRSKCHREKNNGHSVFCFISHLTDNWILSMEQNRGTT SILPSLLSILYVKLAVTVLIVGFAFFQKRNYFRSNEEPTTLAPT >ENSMUSP00000110259.1 pep:known chromosome:GRCm38:16:44943678:44964682:1 gene:ENSMUSG00000036172.17 transcript:ENSMUST00000114612.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r3 description:CD200 receptor 3 [Source:MGI Symbol;Acc:MGI:1921853] MHALGRTLALMLLIFITILVPESSCSVKGREEIPPDDSFPFSDDNIFPDGVGVTMEIEII TPVSVQIGIKAQLFCHPSPSKEATLRIWEITPRDWPSCRLPYRAELQQISKKICTERGTT RVPAHHQSSDLPIKSMALKHDGHYSCRIETTDGIFQERHSIQVPGTTSILPSLLSILYVK LAVTVLIVGFAFFQKRNYFRSNEEPTTLAPT >ENSMUSP00000076421.6 pep:known chromosome:GRCm38:16:44943678:44965368:1 gene:ENSMUSG00000036172.17 transcript:ENSMUST00000077178.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r3 description:CD200 receptor 3 [Source:MGI Symbol;Acc:MGI:1921853] MHALGRTLALMLLIFITILVPESSCSVKGREEIPPDDSFPFSDDNIFPDGVGVTMEIEII TPVSVQIGIKAQLFCHPSPSKEATLRIWEITPRDWPSCRLPYRAELQQISKKICTERGTT RVPAHHQSSDLPIKSMALKHDGHYSCRIETTDGIFQERHSIQVPGTTSILPSLLSILYVK LAVTVLIVGFAFFQKRNYFSSRDLVFMKERRSKRSVWQREALG >ENSMUSP00000036624.7 pep:known chromosome:GRCm38:16:44943678:44965368:1 gene:ENSMUSG00000036172.17 transcript:ENSMUST00000048479.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r3 description:CD200 receptor 3 [Source:MGI Symbol;Acc:MGI:1921853] MHALGRTLALMLLIFITILVPESSCSVKGREEIPPDDSFPFSDDNIFPDGVGVTMEIEII TPVSVQIGIKAQLFCHPSPSKEATLRIWEITPRDWPSCRLPYRAELQQISKKICTERGTT RVPAHHQSSDLPIKSMALKHDGHYSCRIETTDGIFQERHSIQVPGENRTVVCEAIASKPA MQILWTPDEDCVTKSKSHNDTMIVRSKCHREKNNGHSVFCFISHLTDNWILSMEQNRGTT SILPSLLSILYVKLAVTVLIVGFAFFQKRNYFSSRDLVFMKERRSKRSVWQREALG >ENSMUSP00000110258.3 pep:known chromosome:GRCm38:16:44943678:44966075:1 gene:ENSMUSG00000036172.17 transcript:ENSMUST00000114611.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r3 description:CD200 receptor 3 [Source:MGI Symbol;Acc:MGI:1921853] MHALGRTLALMLLIFITILVPESSCSVKGREEIPPDDSFPFSDDNIFPDGVGVTMEIEII TPVSVQIGIKAQLFCHPSPSKEATLRIWEITPRDWPSCRLPYRAELQQISKKICTERGTT RVPAHHQSSDLPIKSMALKHDGHYSCRIETTDGIFQERHSIQVPGENRTVVCEAIASKPA MQILWTPDEDCVTKSKSHNDTMIVRSKCHREKNNGHSVFCFISHLTDNWILSMEQNRGTT SILPSLLSILYVKLAVTVLIVGFAFFQKRNYFRVPEGS >ENSMUSP00000130480.1 pep:known chromosome:GRCm38:16:44943692:44981380:1 gene:ENSMUSG00000036172.17 transcript:ENSMUST00000164007.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r3 description:CD200 receptor 3 [Source:MGI Symbol;Acc:MGI:1921853] MHALGRTLALMLLIFITILVPESSCSVKGREEIPPDDSFPFSDDNIFPDGVGVTMEIEII TPVSVQIGIKAQLFCHPSPSKEATLRIWEITPRDWPSCRLPYRAELQQISKKICTERGTT RVPAHHQSSDLPIKSMALKHDGHYSCRIETTDGIFQERHSIQVPGENRTVVCEAIASKPA MQILWTPDEDCVTKSKSHNDTMIVRSKCHREKNNGHSVFCFISHLTDNWILSMEQNRGTT SILPSLLSILYVKLAVTVLIVGFAFFQKRNYFRWI >ENSMUSP00000132938.1 pep:known chromosome:GRCm38:16:44943706:44981352:1 gene:ENSMUSG00000036172.17 transcript:ENSMUST00000171779.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r3 description:CD200 receptor 3 [Source:MGI Symbol;Acc:MGI:1921853] MHALGRTLALMLLIFITILVPESSCSVKGREEIPPDDSFPFSDDNIFPDGVGVTMEIEII TPVSVQIGIKAQLFCHPSPSKEATLRIWEITPRDWPSCRLPYRAELQQISKKICTERGTT RVPAHHQSSDLPIKSMALKHDGHYSCRIETTDGIFQERHSIQVPGTTSILPSLLSILYVK LAVTVLIVGFAFFQKRNYFRWI >ENSMUSP00000110269.3 pep:known chromosome:GRCm38:16:44943742:44966290:1 gene:ENSMUSG00000036172.17 transcript:ENSMUST00000114622.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r3 description:CD200 receptor 3 [Source:MGI Symbol;Acc:MGI:1921853] MHALGRTLALMLLIFITILVPESSCSVKGREEIPPDDSFPFSDDNIFPDGVGVTMEIEII TPVSVQIGIKAQLFCHPSPSKEATLRIWEITPRDWPSCRLPYRAELQQISKKICTERGTT RVPAHHQSSDLPIKSMALKHDGHYSCRIETTDGIFQERHSIQVPGTTSILPSLLSILYVK LAVTVLIVGFAFFQKRNYFRVPEGS >ENSMUSP00000128974.1 pep:known chromosome:GRCm38:16:44943749:44960867:1 gene:ENSMUSG00000036172.17 transcript:ENSMUST00000166731.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd200r3 description:CD200 receptor 3 [Source:MGI Symbol;Acc:MGI:1921853] MHALGRTLALMLLIFITILVPESSCSVKGREEIPPDDSFPFSDDNIFPDGVGVTMEIEII TPVSVQIGIKAQLFCHPSPSKEATLRIWEITPRDWPSCRLPYRAELQQISKKICTERGTT RVPAHHQSSDLPIKSMALKHDGHYSCRIETTDGIFQERHSIQVPGENRTVVCEAIASKPA MQILWTPDEDCVTKSKSHNDTMIVRSKCHREKNNGHSVFCFISHLTDNWILSMEQNRGTT SILPSLLSILYVKLAVTVLIVGFAFFQKRNYFR >ENSMUSP00000104351.1 pep:known chromosome:GRCm38:11:61517249:61579669:-1 gene:ENSMUSG00000001036.17 transcript:ENSMUST00000108711.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn2 description:epsin 2 [Source:MGI Symbol;Acc:MGI:1333766] MTTSSIRRQMKNIVNNYSEAEIKVREATSNDPWGPSSSLMTEIADLTYNVVAFSEIMSMV WKRLNDHGKNWRHVYKALTLLDYLIKTGSERVAQQCRENIFAIQTLKDFQYIDRDGKDQG INVREKSKQLVALLKDEERLKVERVQALKTKERMAQVATGVGSNQITFGRGSSQPNLSTS YSEQEYGKAGGSPASYHGSTSPRVSSELEQARPQTSGEEELQLQLALAMSREVAEQEERL RRGDDLRLQMALEESRRDTVKVPKKKEPGSHSQQTTLLDLMDALPSSGPVTQKTEPWSAG ASANQTNPWGGTVAPSNITDPWPSFGTKPAASVDPWGVPTTASTQSVPKNSDPWAASQQP ASNAGKTTDAWGAAKPSSASGSFELFSNFNGTVKDDFSEFDNLRTSKKPAESGASVPPQD SRTTSPDLFESQSLTSASSKPSSARKTPESFLGPNAALVNLDSLVTKPAPPAQSLNPFLA PGAAAPAPVNPFQVNQPQPLTLNQLRGSPVLGSSASFGSGPGVETVAPMTSVAPHSSVGA SGSSLTPLGPTAMNMVGSVGIPPSAAQSTGTTNPFLL >ENSMUSP00000104352.1 pep:known chromosome:GRCm38:11:61517249:61579669:-1 gene:ENSMUSG00000001036.17 transcript:ENSMUST00000108712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn2 description:epsin 2 [Source:MGI Symbol;Acc:MGI:1333766] MTTSSIRRQMKNIVNNYSEAEIKVREATSNDPWGPSSSLMTEIADLTYNVVAFSEIMSMV WKRLNDHGKNWRHVYKALTLLDYLIKTGSERVAQQCRENIFAIQTLKDFQYIDRDGKDQG INVREKSKQLVALLKDEERLKVERVQALKTKERMAQVATGVGSNQITFGRGSSQPNLSTS YSEQEYGKAGGSPASYHGSPEASLCPQHRTGAMLGQSEELQPLSQRHPCLPHLGLASHPN GDWAQPCLTCDRAARATSPRVSSELEQARPQTSGEEELQLQLALAMSREVAEQEERLRRG DDLRLQMALEESRRDTVKVPKKKEPGSHSQQTTLLDLMDALPSSGPVTQKTEPWSAGASA NQTNPWGGTVAPSNITDPWPSFGTKPAASVDPWGVPTTASTQSVPKNSDPWAASQQPASN AGKTTDAWGAAKPSSASGSFELFSNFNGTVKDDFSEFDNLRTSKKPAESGASVPPQDSRT TSPDLFESQSLTSASSKPSSARKTPESFLGPNAALVNLDSLVTKPAPPAQSLNPFLAPGA AAPAPVNPFQVNQPQPLTLNQLRGSPVLGSSASFGSGPGVETVAPMTSVAPHSSVGASGS SLTPLGPTAMNMVGSVGIPPSAAQSTGTTNPFLL >ENSMUSP00000001063.8 pep:known chromosome:GRCm38:11:61517249:61579685:-1 gene:ENSMUSG00000001036.17 transcript:ENSMUST00000001063.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn2 description:epsin 2 [Source:MGI Symbol;Acc:MGI:1333766] MTTSSIRRQMKNIVNNYSEAEIKVREATSNDPWGPSSSLMTEIADLTYNVVAFSEIMSMV WKRLNDHGKNWRHVYKALTLLDYLIKTGSERVAQQCRENIFAIQTLKDFQYIDRDGKDQG INVREKSKQLVALLKDEERLKVERVQALKTKERMAQVATGVGSNQITFGRGSSQPNLSTS YSEQEYGKAGGSPASYHGSTSPRVSSELEQARPQTSGEEELQLQLALAMSREVAEQSSES VQTARGSKEERLRRGDDLRLQMALEESRRDTVKVPKKKEAKACCKPGSHSQQTTLLDLMD ALPSSGPVTQKTEPWSAGASANQTNPWGGTVAPSNITDPWPSFGTKPAASVDPWGVPTTA STQSVPKNSDPWAASQQPASNAGKTTDAWGAAKPSSASGSFELFSNFNGTVKDDFSEFDN LRTSKKPAESGASVPPQDSRTTSPDLFESQSLTSASSKPSSARKTPESFLGPNAALVNLD SLVTKPAPPAQSLNPFLAPGAAAPAPVNPFQVNQPQPLTLNQLRGSPVLGSSASFGSGPG VETVAPMTSVAPHSSVGASGSSLTPLGPTAMNMVGSVGIPPSAAQSTGTTNPFLL >ENSMUSP00000104353.1 pep:known chromosome:GRCm38:11:61517249:61579685:-1 gene:ENSMUSG00000001036.17 transcript:ENSMUST00000108713.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn2 description:epsin 2 [Source:MGI Symbol;Acc:MGI:1333766] MTTSSIRRQMKNIVNNYSEAEIKVREATSNDPWGPSSSLMTEIADLTYNVVAFSEIMSMV WKRLNDHGKNWRHVYKALTLLDYLIKTGSERVAQQCRENIFAIQTLKDFQYIDRDGKDQG INVREKSKQLVALLKDEERLKVERVQALKTKERMAQVATGVGSNQITFGRGSSQPNLSTS YSEQEYGKAGGSPASYHGSTSPRVSSELEQARPQTSGEEELQLQLALAMSREVAEQEERL RRGDDLRLQMALEESRRDTVKVPKKKEAKACCKPGSHSQQTTLLDLMDALPSSGPVTQKT EPWSAGASANQTNPWGGTVAPSNITDPWPSFGTKPAASVDPWGVPTTASTQSVPKNSDPW AASQQPASNAGKTTDAWGAAKPSSASGSFELFSNFNGTVKDDFSEFDNLRTSKKPAESGA SVPPQDSRTTSPDLFESQSLTSASSKPSSARKTPESFLGPNAALVNLDSLVTKPAPPAQS LNPFLAPGAAAPAPVNPFQVNQPQPLTLNQLRGSPVLGSSASFGSGPGVETVAPMTSVAP HSSVGASGSSLTPLGPTAMNMVGSVGIPPSAAQSTGTTNPFLL >ENSMUSP00000122514.1 pep:known chromosome:GRCm38:11:61523167:61546373:-1 gene:ENSMUSG00000001036.17 transcript:ENSMUST00000148956.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn2 description:epsin 2 [Source:MGI Symbol;Acc:MGI:1333766] XEKSKQLVALLKDEERLKVERVQALKTKERMAQVATGVGSNQITFGRGSSQPNLSTSYSE QEYGKAGGSPASYHGSPEASLCPQHRTGAMLGQSEELQPLSQRHPCLPHLGLASHPNGDW AQPCLTCDRAARATSPRVSSELEQARPQTSGEEELQLQLALAMSREVAEQEERLRRGDDL RLQMALEESRRDTVKVPKKKEAKACCKPGSHSQQTTLLDLMDALPSSGPVTQKTEPWSAG ASANQTNPWGGTVAPSNITDPWPSFGTKPAASVD >ENSMUSP00000122666.1 pep:known chromosome:GRCm38:11:61532664:61544313:-1 gene:ENSMUSG00000001036.17 transcript:ENSMUST00000153984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn2 description:epsin 2 [Source:MGI Symbol;Acc:MGI:1333766] XCPQHRTGAMLGQSEELQPLSQRHPCLPHLGLASHPNGDWAQPCLTCDRAARATSPRVSS ELEQARPQTSGEEELQLQLALAMSREVAEQSSESVQTARGSKEERLRRGDDLRLQMALEE SRRDTVKVPKKKEAKACCKPGSHSQQTT >ENSMUSP00000117389.1 pep:known chromosome:GRCm38:11:61546506:61579658:-1 gene:ENSMUSG00000001036.17 transcript:ENSMUST00000147501.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn2 description:epsin 2 [Source:MGI Symbol;Acc:MGI:1333766] MTTSSIRRQMKNIVNNYSEAEIKVREATSNDPWGPSSSLMTEIADLTYNVVAFSEIMSMV WKRLNDHGKNWRHVYKALTL >ENSMUSP00000123675.1 pep:known chromosome:GRCm38:11:61546692:61579658:-1 gene:ENSMUSG00000001036.17 transcript:ENSMUST00000146455.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn2 description:epsin 2 [Source:MGI Symbol;Acc:MGI:1333766] MTTSSIRRQMKNIVNNYS >ENSMUSP00000136950.1 pep:known chromosome:GRCm38:11:61517250:61579687:-1 gene:ENSMUSG00000001036.17 transcript:ENSMUST00000179936.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn2 description:epsin 2 [Source:MGI Symbol;Acc:MGI:1333766] MTTSSIRRQMKNIVNNYSEAEIKVREATSNDPWGPSSSLMTEIADLTYNVVAFSEIMSMV WKRLNDHGKNWRHVYKALTLLDYLIKTGSERVAQQCRENIFAIQTLKDFQYIDRDGKDQG INVREKSKQLVALLKDEERLKVERVQALKTKERMAQVATGVGSNQITFGRGSSQPNLSTS YSEQEYGKAGGSPASYHGSPEASLCPQHRTGAMLGQSEELQPLSQRHPCLPHLGLASHPN GDWAQPCLTCDRAARATSPRVSSELEQARPQTSGEEELQLQLALAMSREVAEQEERLRRG DDLRLQMALEESRRDTVKVPKKKEAKACCKPGSHSQQTTLLDLMDALPSSGPVTQKTEPW SAGASANQTNPWGGTVAPSNITDPWPSFGTKPAASVDPWGVPTTASTQSVPKNSDPWAAS QQPASNAGKTTDAWGAAKPSSASGSFELFSNFNGTVKDDFSEFDNLRTSKKPAESGASVP PQDSRTTSPDLFESQSLTSASSKPSSARKTPESFLGPNAALVNLDSLVTKPAPPAQSLNP FLAPGAAAPAPVNPFQVNQPQPLTLNQLRGSPVLGSSASFGSGPGVETVAPMTSVAPHSS VGASGSSLTPLGPTAMNMVGSVGIPPSAAQSTGTTNPFLL >ENSMUSP00000136553.1 pep:known chromosome:GRCm38:11:61517250:61579687:-1 gene:ENSMUSG00000001036.17 transcript:ENSMUST00000178202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Epn2 description:epsin 2 [Source:MGI Symbol;Acc:MGI:1333766] MTTSSIRRQMKNIVNNYSEAEIKVREATSNDPWGPSSSLMTEIADLTYNVVAFSEIMSMV WKRLNDHGKNWRHVYKALTLLDYLIKTGSERVAQQCRENIFAIQTLKDFQYIDRDGKDQG INVREKSKQLVALLKDEERLKVERVQALKTKERMAQVATGVGSNQITFGRGSSQPNLSTS YSEQEYGKAGGSPASYHGSTSPRVSSELEQARPQTSGEEELQLQLALAMSREVAEQSSES VQTARGSKEERLRRGDDLRLQMALEESRRDTVKVPKKKEAKACCKPGSHSQQTTLLDLMD ALPSSGPVTQKTEPWSAGASANQTNPWGGTVAPSNITDPWPSFGTKPAASVDPWGVPTTA STQSVPKNSDPWAASQQPASNAGKTTDAWGAAKPSSASGSFELFSNFNGTVKDDFSEFDN LRTSKKPAESGASVPPQDSRTTSPDLFESQSLTSASSKPSSARKTPESFLGPNAALVNLD SLVTKPAPPAQSLNPFLAPGAAAPAPVNPFQVNQPQPLTLNQLRGSPVLGSSASFGSGPG VETVAPMTSVAPHSSVGASGSSLTPLGPTAMNMVGSVGIPPSAAQSTGTTNPFLL >ENSMUSP00000056068.1 pep:known chromosome:GRCm38:17:20502299:20503195:1 gene:ENSMUSG00000043537.1 transcript:ENSMUST00000061660.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r225 description:vomeronasal 1 receptor 225 [Source:MGI Symbol;Acc:MGI:2159626] MESRNMAIGIILLVQSILGILGNIFLLLYYLILYYKECTLKIVDLILIHVFTSNFLIILS KGPAQIMAAFGWNQFFNDVGCKHILYIQRLGRSMSISTTCLLSVFQAITISPRNSYWKEI KIKTTKLIGLLILLCWIVCLLVNTFFPLNASTKRNSKNKTQKRGFEFCLSQGRDKVVDTV YIAFWVFPEVLFSVLIVCSSTFMIVTLYGHKKRVQGILSTHASQRMSPENRATQKILTLV CTFLAFYTLSSILQGYIALSHNPSWWVMNITSIISMCFPTLGPFVMSHYSIFSRFCFT >ENSMUSP00000103402.2 pep:known chromosome:GRCm38:4:46038935:46116032:1 gene:ENSMUSG00000028328.13 transcript:ENSMUST00000107773.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmod1 description:tropomodulin 1 [Source:MGI Symbol;Acc:MGI:98775] MSYRRELEKYRDLDEDEILGALTEEELRTLENELDELDPDNALLPAGLRQKDQTTKAPTG PFKREELLDHLEKQAKEFKDREDLVPYTGEKRGKVWVPKQKPMDPVLESVTLEPELEEAL ANASDAELCDIAAILGMHTLMSNQQYYQALGSSSIVNKEGLNSVIKPTQYKPVPDEEPNS TDVEETLERIKNNDPELEEVNLNNIRNIPIPTLKAYAEALKENSYVKKFSIVGTRSNDPV AFALAEMLKVNKVLKTLNVESNFISGAGILRLVEALPHNTSLVELKIDNQSQPLGNKVEM EIVNMLEKNTTLLKFGYHFTQQGPRLRASNAMMSNNDLVRKRRLADLTGPIIPKCRSGV >ENSMUSP00000134075.1 pep:known chromosome:GRCm38:4:46039209:46090897:1 gene:ENSMUSG00000028328.13 transcript:ENSMUST00000156200.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmod1 description:tropomodulin 1 [Source:MGI Symbol;Acc:MGI:98775] MDPVLESVTLEPELEEALANASDAELCDIAAILGMHTLMSNQQYYQA >ENSMUSP00000103918.1 pep:known chromosome:GRCm38:7:28558819:28598185:-1 gene:ENSMUSG00000030602.13 transcript:ENSMUST00000108283.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak4 description:p21 protein (Cdc42/Rac)-activated kinase 4 [Source:MGI Symbol;Acc:MGI:1917834] MFGKKKKRVEISAPSNFEHRVHTGFDQHEQKFTGLPRQWQSLIEESARRPKPLIDPACIT SIQPGAPKTIVRGSKGAKDGALTLLLDEFENMSVTRSNSLRRESPPPPARAHQENGMLEE RAAPARMAPDKAGSRARATGHSEAGSGSGDRRRVGPEKRPKSSRDGPGGPQEASRDKRPL SGPDVSTPQPGSLTSGTKLAAGRPFNTYPRADTDHPPRGAQGEPHTMAPNGPSATGLAAP QSSSSSRPPTRARGAPSPGVLGPHASEPQLAPPARALAAPAVPPAPGPPGPRSPQREPQR VSHEQFRAALQLVVDPGDPRSYLDNFIKIGEGSTGIVCIATVRSSGKLVAVKKMDLRKQQ RRELLFNEVVIMRDYRHENVVEMYNSYLVGDELWVVMEFLEGGALTDIVTHTRMNEEQIA AVCLAVLQALAVLHAQGVIHRDIKSDSILLTHDGRVKLSDFGFCAQVSKEVPRRKSLVGT PYWMAPELISRLPYGPEVDIWSLGVMVIEMVDGEPPYFNEPPLKAMKMIRDNLPPRLKNL HKASPSLKGFLDRLLVRDPAQRATAAELLKHPFLTKAGPPASIVPLMRQHRTR >ENSMUSP00000032823.4 pep:known chromosome:GRCm38:7:28558821:28568237:-1 gene:ENSMUSG00000030602.13 transcript:ENSMUST00000032823.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pak4 description:p21 protein (Cdc42/Rac)-activated kinase 4 [Source:MGI Symbol;Acc:MGI:1917834] MFGKKKKRVEISAPSNFEHRVHTGFDQHEQKFTGLPRQWQSLIEESARRPKPLIDPACIT SIQPGAPKTIVRGSKGAKDGALTLLLDEFENMSVTRSNSLRRESPPPPARAHQENGMLEE RAAPARMAPDKAGSRARATGHSEAGSGSGDRRRVGPEKRPKSSRDGPGGPQEASRDKRPL SGPDVSTPQPGSLTSGTKLAAGRPFNTYPRADTDHPPRGAQGEPHTMAPNGPSATGLAAP QSSSSSRPPTRARGAPSPGVLGPHASEPQLAPPARALAAPAVPPAPGPPGPRSPQREPQR VSHEQFRAALQLVVDPGDPRSYLDNFIKIGEGSTGIVCIATVRSSGKLVAVKKMDLRKQQ RRELLFNEVVIMRDYRHENVVEMYNSYLVGDELWVVMEFLEGGALTDIVTHTRMNEEQIA AVCLAVLQALAVLHAQGVIHRDIKSDSILLTHDGRVKLSDFGFCAQVSKEVPRRKSLVGT PYWMAPELISRLPYGPEVDIWSLGVMVIEMVDGEPPYFNEPPLKAMKMIRDNLPPRLKNL HKASPSLKGFLDRLLVRDPAQRATAAELLKHPFLTKAGPPASIVPLMRQHRTR >ENSMUSP00000146999.1 pep:known chromosome:GRCm38:5:34288600:34327327:1 gene:ENSMUSG00000109572.1 transcript:ENSMUST00000207754.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cfap99 description:cilia and flagella associated protein 99 [Source:MGI Symbol;Acc:MGI:5434801] MTYYEKCIEIVIKQLDKFKPGKDNPEQFVETVFTSLQQTLSPQKFGFVLEVLSGCLEYHK LLTIVVDAFYARDGHTCLWSDYSLFEVICYLAIFQLEELGFQLFCSIIKSQPVRKMCKFL RFFFNPLNLSSWIKDEWSLIYETAHVMENWIDPLLRWQPEVQKLIKQLEGVPTDQIPVLK TKAKVTVPKEFNLTVPRPRAITMPEPVPTMDKPRPVPQSTYKEPKEQKLLQIIKTCNRRT AEELLLKANMEELQCAMPRVQREPQEQDVEKQLWHQSVPHIRRTPNLTFYKPNNLPVKLN TATILREGALYQRQVEKELQRVDKLVDGAGDFSEFLKWQKKMQAQDLEEQLAAGECRRLQ GKLSHEEAILARQNLMQENKQKADRQKEETAELMLQCAERRLQEEKAMKELVEQVTEAQK NVKIVQTKLVKGRQQIVQEVIEESRELLQRSAKEAKEEQKRRCELIAHLRAMETQPTRKG KLVDLTQIPGYGLEGEMSVVELRERLAMLKETQKREQEEKRDQIIQDKRAKSQMIQNTVE QISLCRAAMGRTAALRWEEKKAQATSPGTPSQDERVLELKRKMEERAAERRRQTAPQLTS PPRALRPNQRAQEEMQHWLELDQSRERRLRARQEANRTCHATHHLEAS >ENSMUSP00000099989.3 pep:known chromosome:GRCm38:2:25359889:25365682:-1 gene:ENSMUSG00000026956.15 transcript:ENSMUST00000102925.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uap1l1 description:UDP-N-acteylglucosamine pyrophosphorylase 1-like 1 [Source:MGI Symbol;Acc:MGI:2443318] MDSERDVRAQLQRAGQDHLLRFYADLAPEARAALLAELASLEADALREHCQRAAAAGALA PGPLPDLAARLQPLPPERVGSAIRCDQETRLRWEEEGFRQISLNKVAVLLLAGGQGTRLG VTYPKGMYQVGLPSQKTLYQLQAERIRRVQQLADQRQGTHCTVPWYIMTSEFTLGPTIKF FKEHDFFHLDPTNVVLFEQRMLPAVTFEGKAILERKDKVAMAPDGNGGLYCALADHQILE DMKQRGVEFVHVYCVDNILVRLADPVFIGFCVLQGADCGAKVVEKAYPEEPVGVVCQVDG VPQVVEYSEISPEIAGQLGADGGLLYNAGNICNHFFTRGFLDVVTREFEPLLRLHVAMKK VPYVDEEGNLVKPLRPNGIKMEKFVFDVFQFAKNFVAFEVCREEEFSPLKNDDTADRDNP STCRRALLAQHYRWALQAGARFLDVHGVQLTEQSGMLPNGDPPAICEISPLVSYSGEGLE MYLQGRQLQSPFILDEDQARLLRPQDC >ENSMUSP00000018491.7 pep:known chromosome:GRCm38:11:65807244:65829239:1 gene:ENSMUSG00000018347.16 transcript:ENSMUST00000018491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan6 description:zinc finger with KRAB and SCAN domains 6 [Source:MGI Symbol;Acc:MGI:1289293] MPVDLGQALGPLPFLAKAEDATFSASDATQQRELANPETARQLFRQFRYQVLSGPQETLR QLRKLCFQWLRPEVHTKEQILELLMLEQFLTILPGEIQMWVRKQCPGSGQEAVTLVESLK GEPQKLWHWISTQVLGQEIPFEKENLTHCPGDKLEPALEVEPSLEVAPQDLPLQNSSSAT GELLSHGVKEESDMEPELALAASQLPARPEERPVRDQELGTAVLPPLQEEQWRHLDSTQK EQYWDLMLETYGKMVSGVAGISNSKPDLTNLAEYGEELVGLHLHGAEKMARLPCKEDRQE NDKENLNLENHRDQGCLDVFCQASGEAPPQTALSDFFGESEPHRFGGDSVPEALENHQGE GTGAHLFPYERGSGKQPGQHIQSSSLGELTALWLEEKREASQKGQARSPMAQKLPTCREC GKTFYRNSQLVFHQRTHTGETYFHCHICKKAFLRSSDFVKHQRTHTGEKPCKCDYCGKGF SDFSGLRHHEKIHTGEKPYKCPLCEKSFIQRSNFNRHQRVHTGEKPYKCTHCGKQFSWSS SLDKHQRSHLGKMPCP >ENSMUSP00000071406.2 pep:known chromosome:GRCm38:11:65807175:65829238:1 gene:ENSMUSG00000018347.16 transcript:ENSMUST00000071465.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zkscan6 description:zinc finger with KRAB and SCAN domains 6 [Source:MGI Symbol;Acc:MGI:1289293] MPVDLGQALGPLPFLAKAEDATFSASDATQQRELANPETARQLFRQFRYQVLSGPQETLR QLRKLCFQWLRPEVHTKEQILELLMLEQFLTILPGEIQMWVRKQCPGSGQEAVTLVESLK GEPQKLWHWISTQVLGQEIPFEKENLTHCPGDKLEPALEVEPSLEVAPQDLPLQNSSSAT GELLSHGVKEESDMEPELALAASQLPARPEERPVRDQELGTAVLPPLQEEQWRHLDSTQK EQYWDLMLETYGKMVSGVAGISNSKPDLTNLAEYGEELVGLHLHGAEKMARLPCKEDRQE NDKENLNLENHRDQGCLDVFCQASGEAPPQTALSDFFGESEPHRFGGDSVPEALENHQGE GTGAHLFPYERGSGKQPGQHIQSSSLGELTALWLEEKREASQKGQARSPMAQKLPTCREC GKTFYRNSQLVFHQRTHTGETYFHCHICKKAFLRSSDFVKHQRTHTGEKPCKCDYCGKGF SDFSGLRHHEKIHTGEKPYKCPLCEKSFIQRSNFNRHQRVHTGEKPYKCTHCGKQFSWSS SLDKHQRSHLGKMPCP >ENSMUSP00000064826.2 pep:known chromosome:GRCm38:X:9885622:9994543:1 gene:ENSMUSG00000054453.11 transcript:ENSMUST00000067529.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl5 description:synaptotagmin-like 5 [Source:MGI Symbol;Acc:MGI:2668451] MSKNSEFINLSFLLDHEKEMILGVLKRDEYLKKVEDKRIRKLKNELLEAKRRSGKTHQEA SRVCVHCHKTLGLIFDRGDPCQACSLRVCSECRVTGLDGSWKCTVCAKVAQLRIISGEWF LEEKAKRFKQVNVLGTDVVRQSILRRSPGSEETQNQEQAQQCVDKSDTLSSVRQKTTHDG PKKKGFLLSKFRSATRGEIRTPKPESGRSYSLDLDSQNLQSFKSASGSDRGSTTSSDLID QEAGRRTSKSSYSNGGIPVTQRSPVPSAHSVTSINSREHGFENSMALATIENTCEELTKS HRRNTSGTPSIAVSGTSLSSERSRSEVDLSESFAEDLEDTSSIRSRSVPGALDKDLNSLE DTEDGVDLVSSRFSANTHSLASGLSTNSQAGSDRKRSYLNVPDADSDTTSLNSMMSVYSE TGDYGNVKVTGEILLHISYCYKTGGLYIFVKSCRNLATGDEKKQRTDAYVKSYLLPDKSR NNKRKTKIRTGTNPEFNETLKYTISHTQLETRTLQLSVWHYDRFGRNSFLGEVEIAFDSW NFENPCDEWFVLQPKVELAPDISLQYKGELTIVLRYIPPEENLIFPAGQRQEKKIFKRGK KKESSSISGGILEVFIKKAKNLTAVKSGGTSDSFVKGYLLPDDNKATKHKTAVVKKSVNP EWNHTFIFSGLYPQDIKNACLELTIWDKEAFSSNVFLGGVRLNSGSGMSYGKTVDWMDSH GEEQRLWQKMADNPGTSVEGVLMLRSSMAKCRL >ENSMUSP00000083339.3 pep:known chromosome:GRCm38:X:9885622:9994543:1 gene:ENSMUSG00000054453.11 transcript:ENSMUST00000086165.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sytl5 description:synaptotagmin-like 5 [Source:MGI Symbol;Acc:MGI:2668451] MSKNSEFINLSFLLDHEKEMILGVLKRDEYLKKVEDKRIRKLKNELLEAKRRSGKTHQEA SRVCVHCHKTLGLIFDRGDPCQACSLRVCSECRVTGLDGSWKCTVCAKVAQLRIISGEWF LEEKAKRFKQVNVLGTDVVRQSILRRSPGSEETQNQEQAQQCVDKSDTLSSVRQKTTHDG PKKKGFLLSKFRSATRGEIRTPKPESGRSYSLDLDSQNLQSFKSASGSDRGSTTSSDLID QEAGRRTSKSSYSNGGIPVTQRSPVPSAHSVTSINSREHGFENSMALATIENTCEELTKS HRRNTSGTPSIAVSGTSLSSERSRSEVDLSESFAEDLEDTSSIRSRSVPGALDKDLNSLE DTEDGVDLVSSRFSANTHSLASGLSTTSLNSMMSVYSETGDYGNVKVTGEILLHISYCYK TGGLYIFVKSCRNLATGDEKKQRTDAYVKSYLLPDKSRNNKRKTKIRTGTNPEFNETLKY TISHTQLETRTLQLSVWHYDRFGRNSFLGEVEIAFDSWNFENPCDEWFVLQPKVELAPDI SLQYKGELTIVLRYIPPEENLIFPAGQRQEKKIFKRGKKKESSSISGGILEVFIKKAKNL TAVKSGGTSDSFVKGYLLPDDNKATKHKTAVVKKSVNPEWNHTFIFSGLYPQDIKNACLE LTIWDKEAFSSNVFLGGVRLNSGSGMSYGKTVDWMDSHGEEQRLWQKMADNPGTSVEGVL MLRSSMAKCRL >ENSMUSP00000114284.1 pep:known chromosome:GRCm38:X:71928816:71936389:1 gene:ENSMUSG00000073130.8 transcript:ENSMUST00000154780.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1141 description:predicted gene 1141 [Source:MGI Symbol;Acc:MGI:2685987] RNNRSSTSRLLWEQQYYLVGNISVLRTPDESAHPVKIQTNVIAVESNPIRQRRLLKRRQR SEMQRHAQAQAGVVDVEECPESGTEARPPSHSSISTEGSASTSISPCVRTSSSTPSTDTT TSTDITTTPAATPTSGQGYVIDPKNMLEPQDMEFEVGPEFVLIDSQDEQASLEQGEFTEA DVKKPLEETKVSIIKEDVIDEDSARQGASSDDDDDSCIIIEDTED >ENSMUSP00000099034.2 pep:known chromosome:GRCm38:X:71932438:71940870:1 gene:ENSMUSG00000073130.8 transcript:ENSMUST00000101495.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm1141 description:predicted gene 1141 [Source:MGI Symbol;Acc:MGI:2685987] MQRHAQAQAGVVDVEECPESGTEARPPSHSSISTEGSASTSISPCVRTSSSTPSTDTTTS TDITTTPAATPTSGQGYVIDPKNMLEPQDMEFEVGPEFVLIDSQDEQASLEQGEFTEADV KKPLEETKVSIIKEDVIDEDSARQGASSDDDDDSCIIIEDTEDNKDPKIQEATKVQEQGD QKAPDAVPSRPTTPLRAVRPEAVVEPIPRRTYQFHRPLLGERFAQLSGPRVMTQVYDLSI SRSFHDELPSYIDMEEEDREQEQCEYELAQRIELLRNLPYERPGQQQSGQGPRVYRPREQ MVTVIDDLGPRAMNFFGNSNSEYSQSEMHRRWETPVDHAPPLLHHSSRSCQEMAASHQAL AAPCQASLPSCQVSLPSCQVSLPSRQVSLPSCQASLPSCQASALSRQASAVSRQPSTTSR QVSVSQQVAAAACYPSAMPHNASSDHGRENRPRFLPPGQDHAGYFQAEEDTNPHP >ENSMUSP00000143770.1 pep:known chromosome:GRCm38:5:88565040:88642695:1 gene:ENSMUSG00000029291.11 transcript:ENSMUST00000196894.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy3 description:RUN and FYVE domain containing 3 [Source:MGI Symbol;Acc:MGI:106484] MAESPAPGAAAESCGEEQERGGERRPSEPLEPRGASARGADREDEAGPSEPDSPVAAPFF LLYPGDGGAGFTARPPPQRAWRTPPSPGSPLPFLLLSYPSGGSGGGGKHHPNYLMANERM NLMNMAKLSIKGLIESALNLGRTLDSDYAPLQQFFVVMEHCLKHGLKAKKTFLGQNKSFW GPLELVEKLVPEAAEITASVKDLPGLKTPVGRGRAWLRLALMQKKLSEYMKALINKKELL SEFYEVNALMMEEEGAIIAGLLVGLNVIDANFCMKGEDLDSQVGVIDFSMYLKDGNSSKG SEGDGQITAILDQKNYVEELNRHLNATVNNLQTKVDLLEKSNTKLTEELAVANNRIITLQ EEMERVKEESSYLLESNRKGPKQDRTAEGQALSEARKHLKEETQLRLDVEKELELQISMR QEMELAMKMLEKDVCEKQDALVSLRQQLDDLRALKHELAFKLQSSDLGVKQKSELNSRLE EKTNQMAATIKQLEQSEKDLVKQAKTLNSAANKLIPKHH >ENSMUSP00000143302.1 pep:known chromosome:GRCm38:5:88565040:88651392:1 gene:ENSMUSG00000029291.11 transcript:ENSMUST00000198965.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy3 description:RUN and FYVE domain containing 3 [Source:MGI Symbol;Acc:MGI:106484] MAESPAPGAAAESCGEEQERGGERRPSEPLEPRGASARGADREDEAGPSEPDSPVAAPFF LLYPGDGGAGFTARPPPQRAWRTPPSPGSPLPFLLLSYPSGGSGGGGKHHPNYLMANERM NLMNMAKLSIKGLIESALNLGRTLDSDYAPLQQFFVVMEHCLKHGLKAKKTFLGQNKSFW GPLELVEKLVPEAAEITASVKDLPGLKTPVGRGRAWLRLALMQKKLSEYMKALINKKELL SEFYEVNALMMEEEGAIIAGLLVGLNVIDANFCMKGEDLDSQVGVIDFSMYLKDGNSSKG SEGDGQITAILDQKNYVEELNRHLNATVNNLQTKVDLLEKSNTKLTEELAVANNRIITLQ EEMERVKEESSYLLESNRKGPKQDRTAEGQALSEARKHLKEETQLRLDVEKELELQISMR QEMELAMKMLEKDVCEKQDALVSLRQQLDDLRALKHELAFKLQSSDLGVKQKSELNSRLE EKTNQMAATIKQLEQRLRQAERGRQSAELDNRLFKQDFGDKINSLQLEVEALTRQRTQLE LELKQEKERKSQNRGTPGKGAQKPELRMDGKHRIQEENVKLKKPLEESHRLLTHPAEEQG QPSLSEKPQVCQLCQEDDSLTKNTCRNCRGTFCNACTTNELPLPSSIKPERVCNPCHEQL IKQYSSSPP >ENSMUSP00000143115.1 pep:known chromosome:GRCm38:5:88583513:88640927:1 gene:ENSMUSG00000029291.11 transcript:ENSMUST00000199312.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy3 description:RUN and FYVE domain containing 3 [Source:MGI Symbol;Acc:MGI:106484] MSALTPPTDMPTPTTDKITQAAMETIYLCKFRVSMDGEWLCLRELDDISLTPDPEPTHED SWEDLTDLVEQVRADPEDPNYLMANERMNLMNMAKLSIKGLIESALNLGRTLDSDYAPLQ QFFVVMEHCLKHGLKAKKTFLGQNKSFWGPLELVEKLVPEAAEITASVKDLPGLKTPVGR GRAWLRLALMQKKLSEYMKALINKKELLSEFYEVNALMMEEEGAIIAGLLVGLNVIDANF CMKGEDLDSQVGVIDFSMYLKDGNSSKGSEGDGQITAILDQKNYVEELNRHLNATVNNLQ TKVDLLEKSNTKLTEELAVANNRIITLQEEMERVKEESSYLLESNRKGPKQDRTAEGQAL SEARKHLKEETQLRLDVEKELELQISMRQEMELAMKMLEKDVCEKQDALVSLRQQLDDLR ALKHELAFKLQSSDLGVKQKSELNSRLEEKTNQMAATIKQLEQSEKDLVKQAKTLNSAAN KLIPKHH >ENSMUSP00000031229.6 pep:known chromosome:GRCm38:5:88583536:88642696:1 gene:ENSMUSG00000029291.11 transcript:ENSMUST00000031229.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy3 description:RUN and FYVE domain containing 3 [Source:MGI Symbol;Acc:MGI:106484] MSALTPPTDMPTPTTDKITQAAMETIYLCKFRVSMDGEWLCLRELDDISLTPDPEPTHED PNYLMANERMNLMNMAKLSIKGLIESALNLGRTLDSDYAPLQQFFVVMEHCLKHGLKAKK TFLGQNKSFWGPLELVEKLVPEAAEITASVKDLPGLKTPVGRGRAWLRLALMQKKLSEYM KALINKKELLSEFYEVNALMMEEEGAIIAGLLVGLNVIDANFCMKGEDLDSQVGVIDFSM YLKDGNSSKGSEGDGQITAILDQKNYVEELNRHLNATVNNLQTKVDLLEKSNTKLTEELA VANNRIITLQEEMERVKEESSYLLESNRKGPKQDRTAEGQALSEARKHLKEETQLRLDVE KELELQISMRQEMELAMKMLEKDVCEKQDALVSLRQQLDDLRALKHELAFKLQSSDLGVK QKSELNSRLEEKTNQMAATIKQLEQSEKDLVKQAKTLNSAANKLIPKHH >ENSMUSP00000143209.1 pep:known chromosome:GRCm38:5:88583794:88640928:1 gene:ENSMUSG00000029291.11 transcript:ENSMUST00000196686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy3 description:RUN and FYVE domain containing 3 [Source:MGI Symbol;Acc:MGI:106484] MSALTPPTDMPTPTTDKITQAAMETIYLCKFRVSMDGEWLCLRELDDISLTPDPEPTHED SWEDLTDLVEQVRADPEDPNYLMANERMNLMNMAKLSIKGLIESALNLGRTLDSDYAPLQ QFFVVMEHCLKHGLKAKKTFLGQNKSFWGPLELVEKLVPEAAEITASVKDLPGLKTPVGR GRAWLRLALMQKKLSEYMKALINKKELLSEFYEVNALMMEEEGAIIAGLLVGLNVIDANF CMKGEDLDSQVGVIDFSMYLKDGNSSKGSEGDGQITAILDQKNYVEELNRHLNATVNNLQ TKVDLLEKSNTKLTEELAVANNRIITLQEEMERVKEESSYLLESNRKGPKQDRTAEGQAL SEARKHLKEETQLRLDVEKELELQISMRQEMELAMKMLEKDVCEKQDALVSLRQQLDDLR ALKHELAFKLQSSDLGVKQKSELNSRLEEKTNQMAATIKQLEQSEKDLVKQAKTLNSAAN KLIPKHH >ENSMUSP00000055562.2 pep:known chromosome:GRCm38:7:28543596:28547254:-1 gene:ENSMUSG00000047586.3 transcript:ENSMUST00000057974.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nccrp1 description:non-specific cytotoxic cell receptor protein 1 homolog (zebrafish) [Source:MGI Symbol;Acc:MGI:2685009] MTCPAPPPPVNLDPRNHDPVAQTLGMEKTQDRDTLSGRMEAEGSLNSEELPPHPQSPPPP PSPRSPTSPVTPELPQPNAPTEVEARQLLVEEWGPLSGKLELPPRISWQLLFLERPLYRN LLSSPNPEGINIYQPAPPTGPTRKPLKELGNFRGWYITTQNLQGPLSWTVKEQCVNLLAK KLWEELLDDEQPDITIMDWFEDSRLDQCVYELHVWLLAADRRTVIAQHHVAPRTNGRGPP GRWIQVSHVFRQYGPGVRFVYFQHKAKNRMEPGGLRRTRVTDSSVSVQLRE >ENSMUSP00000031554.2 pep:known chromosome:GRCm38:6:13580687:13608100:-1 gene:ENSMUSG00000029569.9 transcript:ENSMUST00000031554.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem168 description:transmembrane protein 168 [Source:MGI Symbol;Acc:MGI:1921794] MCRSLRYCVSHCLYLAMTRLEEVNREVNMHSSVRYLGYLARINLLVAICLGLYVRWEKTA NSLILVIFILGLFVLGIASILYYYFSMEAASLSLSNLWFGFLLGLLCFLDNSSFKSDVKE ETTKYLLLTSIVLRILCALVERISGYVRHRPTLLTTVEFLELVGFAIASTTMLVEKSLSV ILLVMALAMLIIDLRMKSFLAIPNLIIFSVLLFFSSLETPQNPIAFACFFICLVTDPFLD IYFSGLSVTERWKPFLHRGRICRRLSVLFTAMIELTFFILSAFKLRDTHLWYFVIPGFSI FGFFWMICHIIFLLTLWGFHTKLNDCHKVYINHRADNNSLDRIMASKGMRHFCLISEQLV FFSLLATAILGAVSWQPTNGIFLSMFLIVLPLESMAHGLFHELGNCLGGTSVGYAIVIPT NFCSPDGQPTLLPPEHVQELNLRSTGMLNAIQRFFAYHMIETYGCDYSTSGLSFDTLHSK LKAFLELRTVDGPRHDTYVLYYSGHTHGSGEWALAGGDILRLDTLLEWWREKNGSFCSRL IIILDSENSTPWVKEVRKINDQYVAVQGAELAKTVDIEEADPPQLGDFTRDWVEYNCNST NNICWTEKGRTVRAVYGVSKRWSDYTLHLPTGSDVAKHWMLHFPRVTYPLVHLANWLCGL NLFWVCKACFRCLKRLKMSWFLPTVLDTGQGFKLVKS >ENSMUSP00000145372.1 pep:known chromosome:GRCm38:6:13580687:13608100:-1 gene:ENSMUSG00000029569.9 transcript:ENSMUST00000149123.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem168 description:transmembrane protein 168 [Source:MGI Symbol;Acc:MGI:1921794] MFLIVLPLESMAHGLFHELGNCLGGTSVGYAIVIPTNFCSPDGQPTLLPPEHVQELNLRS TGMLNAIQRFFAYHMIETYGCDYSTSGLSFDTLHSKLKAFLELRTVDGPRHDTYVLYYSG HTHGSGEWALAGGDILRLDTLLEWWREKNGSFCSRLIIILDSENSTPWVKEVRKINDQYV AVQGAELAKTVDIEEADPPQLGDFTRDWVEYNCNSTNNICWTEKGRTVRAVYGVSKRWSD YTLHLPTGSDVAKHWMLHFPRVTYPLVHLANWLCGLNLFWVCKACFRCLKRLKMSWFLPT VLDTGQGFKLVKS >ENSMUSP00000126547.1 pep:known chromosome:GRCm38:14:5678784:5687457:-1 gene:ENSMUSG00000096470.1 transcript:ENSMUST00000165814.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9603 description:predicted gene 9603 [Source:MGI Symbol;Acc:MGI:3780011] MFSRLLRLCQKENGDEGETRPKKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKTSNERKEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNEKVRILLNENRKLLVEQAGTQVSC EEERGSLRRPARTSVPQVQRNSSFPKCETVQQKLEHGTDHDMISLKEKCWWKRTGCAQEI HHCCLSSLITMAVMGCMLLLYFGFLGMNRP >ENSMUSP00000128985.1 pep:known chromosome:GRCm38:14:5630281:5633028:-1 gene:ENSMUSG00000090547.1 transcript:ENSMUST00000170469.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8265 description:predicted gene 8265 [Source:MGI Symbol;Acc:MGI:3779792] MFSWLLRLCQKENGNEGETRPKKKEEGILSHEKGRRKLFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKISNERKEMCGILNVYMYEDLNYRMNTEFDIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYRALAGIMGLVGVESQWN >ENSMUSP00000103927.2 pep:known chromosome:GRCm38:7:28437447:28446546:1 gene:ENSMUSG00000060791.15 transcript:ENSMUST00000108292.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmfg description:glia maturation factor, gamma [Source:MGI Symbol;Acc:MGI:1927135] MSDSLVVCEVDPELKETLRKFRFRKETNNAAIIMKVDKDRQMVVLEDELQNISPEELKLE LPERQPRFVVYSYKYVHDDGRVSYPLCFIFSSPVGCKPEQQMMYAGSKNRLVQTAELTKV FEIRTTDDLTETWLKEKLAFFR >ENSMUSP00000103924.1 pep:known chromosome:GRCm38:7:28437487:28446503:1 gene:ENSMUSG00000060791.15 transcript:ENSMUST00000108289.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmfg description:glia maturation factor, gamma [Source:MGI Symbol;Acc:MGI:1927135] MVVLEDELQNISPEELKLELPERQPRFVVYSYKYVHDDGRVSYPLCFIFSSPVGCKPEQQ MMYAGSKNRLVQTAELTKVFEIRTTDDLTETWLKEKLAFFR >ENSMUSP00000077889.6 pep:known chromosome:GRCm38:7:28440927:28446539:1 gene:ENSMUSG00000060791.15 transcript:ENSMUST00000078845.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmfg description:glia maturation factor, gamma [Source:MGI Symbol;Acc:MGI:1927135] MSDSLVVCEVDPELKETLRKFRFRKETNNAAIIMKVDKDRQMVVLEDELQNISPEELKLE LPERQPRFVVYSYKYVHDDGRVSYPLCFIFSSPVGCKPEQQMMYAGSKNRLVQTAELTKV FEIRTTDDLTETWLKEKLAFFR >ENSMUSP00000119321.1 pep:known chromosome:GRCm38:7:28441064:28445992:1 gene:ENSMUSG00000060791.15 transcript:ENSMUST00000135686.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmfg description:glia maturation factor, gamma [Source:MGI Symbol;Acc:MGI:1927135] MKVDKDRQMVVLEDELQNISPEELKLELPERQPRFVVYSYKYVHDDGRVSYPLCFIFSSP VGCKPEQQMMYAGSKNRLVQTAELTKV >ENSMUSP00000108378.3 pep:known chromosome:GRCm38:14:5790556:5807958:-1 gene:ENSMUSG00000096629.7 transcript:ENSMUST00000112758.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3383 description:predicted gene 3383 [Source:MGI Symbol;Acc:MGI:3781561] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNSSVI >ENSMUSP00000136657.1 pep:known chromosome:GRCm38:14:5791613:5801530:-1 gene:ENSMUSG00000096629.7 transcript:ENSMUST00000178414.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3383 description:predicted gene 3383 [Source:MGI Symbol;Acc:MGI:3781561] MNIKMTMRWCPALYFPVKNAKIKKTHVKAYVGMFSWLLRLFQKENGDEGETRPTEKEEGI LSHEKGRRKSFWRRHRSARNTSTQNSKMTKKRSKINELEELKLDMRKISNDMEEMCGILN LYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHL LRECTQLNENVRILLNENRRLLVEQAGHKCPVGKKRGSLRRPARTSVSQVPRNSSPAESR TWHRPRHDLPQREVLEEEH >ENSMUSP00000093885.5 pep:known chromosome:GRCm38:14:5607620:5807982:-1 gene:ENSMUSG00000096629.7 transcript:ENSMUST00000096171.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3383 description:predicted gene 3383 [Source:MGI Symbol;Acc:MGI:3781561] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRPCHRMNTEFNIIKSQHEKTMLD MNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGH KCPVGKKRGSLRRPARTSVSQVPRNSS >ENSMUSP00000141026.1 pep:known chromosome:GRCm38:Y:6916300:6918282:-1 gene:ENSMUSG00000096686.2 transcript:ENSMUST00000190426.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20830 description:predicted gene, 20830 [Source:MGI Symbol;Acc:MGI:5434186] MTSLKKKSRRKPSSQALGNKVGCRITHGWKEGNEPATHWKAIILGQLPSNPSLYLVKYDR IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVQLASALVGREVQHKFERKHGSEENWSG MVLAQVPFLKDWFYISYKKDPVLYIYQLLDNYKEGNLHIIPETPLAEARSGDDNDFLIGT WVQYTRDDGSKMFGNVVYKVLANPTVYFIKFHGDLHIYVYTLVSNIT >ENSMUSP00000135925.1 pep:known chromosome:GRCm38:Y:6916434:6917117:-1 gene:ENSMUSG00000096686.2 transcript:ENSMUST00000178016.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20830 description:predicted gene, 20830 [Source:MGI Symbol;Acc:MGI:5434186] MTSLKKKSRRKPSSQALGNKVGCRITHGWKEGNEPATHWKAIILGQLPSNPSLYLVKYDR IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVQLASALVGREVQHKFERKHGSEENWSG MVLAQVPFLKDWFYISYKKDPVLYIYQLLDNYKEGNLHIIPETPLAEARSGDDNDFLIGT WVQYTRDDGSKMFGNVVYKVLANPTVYFIKFHGDLHIYVYTLVSNIT >ENSMUSP00000047052.8 pep:known chromosome:GRCm38:13:19645078:19697760:-1 gene:ENSMUSG00000041138.15 transcript:ENSMUST00000039340.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme8 description:NME/NM23 family member 8 [Source:MGI Symbol;Acc:MGI:1920662] MASKKREVQLQSVVNSQNLWDEMLLNKGLTVIDVYQAWCGPCKAVQSLFRKLKNELNEDE ILHFVVAEADNIVTLQPFRDKCEPVFLFSLNGKIIAKIQGANAPLINRKVITLIDEERKI VAGEMDRPQYVEIPLVDAIDEEYGEVQYESAAEVYNMAIIKPDAVLMRKNIEVREKIAKE GFVIEIQENLILPEEVVREFYTHIADQPDFEEFVVSMTNGLSCVLIVSQEDSEVIQEETL PQTDTEEEPGVLEEPHVRFAPVMIKKKRDSLQEYMDRQHMSDYCDVEDDAVKVSKLIDIL FPDFKTMKSTNVQTTLALLHPDICEEEKDDVLNVIHNEGFTILMQRQIVLSEEEARTVCK IHENEEYFDNLIGHMTSNHSYVLALRRENGVEYWKTLIGPKTIEEAYASHPQSLCVQFAS GNFPTNQFYGSSSKAAAEKEIAHFFPPQSTLALIKPHVTHKERIHRSSRR >ENSMUSP00000089358.2 pep:known chromosome:GRCm38:13:19645078:19697794:-1 gene:ENSMUSG00000041138.15 transcript:ENSMUST00000091763.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nme8 description:NME/NM23 family member 8 [Source:MGI Symbol;Acc:MGI:1920662] MASKKREVQLQSVVNSQNLWDEMLLNKGLTVIDVYQAWCGPCKAVQSLFRKLKNELNEDE ILHFVVAEADNIVTLQPFRDKCEPVFLFSLNGKIIAKIQGANAPLINRKVITLIDEERKI VAGEMDRPQYVEIPLVDAIDEEYGEVQYESAAEVYNMAIIKPDAVLMRKNIEVREKIAKE GFVIEIQENLILPEEVVREFYTHIADQPDFEEFVVSMTNGLSCVLIVSQEDSEVIQEETL PQTDTEEEPGVLEEPHVRFAPVMIKKKRDSLQEYMDRQHMSDYCDVEDDAVKVSKLIDIL FPDFKTMKSTNVQTTLALLHPDICEEEKDDVLNVIHNEGFTILMQRQIVLSEEEARTVCK IHENEEYFDNLIGHMTSNHSYVLALRRENGVEYWKTLIGPKTIEEAYASHPQSLCVQFAS GNFPTNQFYGSSSKAAAEKEIAHFFPPQSTLALIKPHVTHKERMEILKTIKEAGFELTLM KEMHLTPEHANKIYFKITGKDFYKNVLEVLSLGMSLVMVLTKWNAVAEWRRMVGPVDPEE AKLLSPESLRAKYGLDILRNAVHGASNFSEASEIISNVFTEGNPEN >ENSMUSP00000008528.7 pep:known chromosome:GRCm38:7:27486910:27490316:1 gene:ENSMUSG00000008384.8 transcript:ENSMUST00000008528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertad1 description:SERTA domain containing 1 [Source:MGI Symbol;Acc:MGI:1913438] MLSKGLKRKREEEETMEALSVDSCWLDPSHPAVAQTPPTVASSSLFDLSVVKLHHSLRQS EPDLRHLVLVVNTLRRIQASMEPAPVLPPEPIQPPAPSVADSLLASSDAGLSASMASLLE DLNHIEDLNQAPQPQADEGPPGRSIGGISPNLGALDLLGPATGCLLDDGLEGLFEDIDTS MYDSELWLPASEGLKPGPENGPAKEEPPELDEAELDYLMDVLVGTQALERPPGPGR >ENSMUSP00000119180.1 pep:known chromosome:GRCm38:7:27487375:27489507:1 gene:ENSMUSG00000008384.8 transcript:ENSMUST00000135881.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sertad1 description:SERTA domain containing 1 [Source:MGI Symbol;Acc:MGI:1913438] MLSKGLKRKREEEETMEALSVDSCWLDPSHPAVAQTPPTVASSSLFDLSVVKLHHSLRQS EPDLRHLVLVVNTLRRIQASMEPA >ENSMUSP00000135906.1 pep:known chromosome:GRCm38:14:5718336:5741577:-1 gene:ENSMUSG00000094370.7 transcript:ENSMUST00000177556.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3373 description:predicted gene 3373 [Source:MGI Symbol;Acc:MGI:3781551] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPGSTSVSQVPRNSSVI >ENSMUSP00000130132.2 pep:known chromosome:GRCm38:14:5723156:5725943:-1 gene:ENSMUSG00000094370.7 transcript:ENSMUST00000169087.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3373 description:predicted gene 3373 [Source:MGI Symbol;Acc:MGI:3781551] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYRALAGIIGLVRVASQWNLAGNHQFFFVDQH >ENSMUSP00000134163.1 pep:known chromosome:GRCm38:17:35470089:35474563:1 gene:ENSMUSG00000067235.14 transcript:ENSMUST00000174525.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q10 description:histocompatibility 2, Q region locus 10 [Source:MGI Symbol;Acc:MGI:95929] MGAMAPRTLLLLLAAALAPTQTQAGSHSMRYFETSVSRPGLGEPRFIIVGYVDDTQFVRF DSDAETPRMEPRAPWMEQEGPEYWERETQRAKGNEQSFHVSLRTLLGYYNQSESGSHTIQ WMYGCKVGSDGRFLRGYLQYAYDGRDYIALNEDLKTWTAADVAAIITRRKWEQAGAAEYY RAYLEAECVEWLLRYLELGKETLLRTDPPKTHVTHHPGSEGDVTLRCWALGFYPADITLT WQLNGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCHVYHEGLPEPLTLRWEP PPSTDSIMSHIADLLWPSLKLWWYL >ENSMUSP00000066419.6 pep:known chromosome:GRCm38:17:35470089:35474563:1 gene:ENSMUSG00000067235.14 transcript:ENSMUST00000068291.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2-Q10 description:histocompatibility 2, Q region locus 10 [Source:MGI Symbol;Acc:MGI:95929] MGAMAPRTLLLLLAAALAPTQTQAGSHSMRYFETSVSRPGLGEPRFIIVGYVDDTQFVRF DSDAETPRMEPRAPWMEQEGPEYWERETQRAKGNEQSFHVSLRTLLGYYNQSESGSHTIQ WMYGCKVGSDGRFLRGYLQYAYDGRDYIALNEDLKTWTAADVAAIITRRKWEQAGAAEYY RAYLEAECVEWLLRYLELGKETLLRTDPPKTHVTHHPGSEGDVTLRCWALGFYPADITLT WQLNGEELTQDMELVETRPAGDGTFQKWASVVVPLGKEQNYTCHVYHEGLPEPLTLRWEP PPSTDSIMSHIADLLWPSLKLWWYL >ENSMUSP00000146831.1 pep:known chromosome:GRCm38:7:30563301:30565354:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000166960.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MNVCDNLGDHLVGNVYVKFRREEDAERAVAELNNRWFNGQAVHAELSPVTDFRESCCRQY EMGSPPRSHTGHRPRERNRRRSPDHRHGRF >ENSMUSP00000129385.2 pep:known chromosome:GRCm38:7:30563307:30565125:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000163330.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MNVCDNLGDHLVGNVYVKFRREEDAERAVAELNNRWFNGQAVHAELSPVTDFRESCCRQY EMGECTRGGFCNFMHLRPISRNLRRQLYGRGPRHRSPPRSHTGHR >ENSMUSP00000132536.1 pep:known chromosome:GRCm38:7:30563319:30564481:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000163848.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKLEPAGTGTGAPDFTTNRLSARP >ENSMUSP00000039406.9 pep:known chromosome:GRCm38:7:30563321:30565365:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000043273.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQ TADGSHCHVSDVEVQEHYDNFFEEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKFRRE EDAERAVAELNNRWFNGQAVHAELSPVTDFRESCCRQYEMGECTRGGFCNFMHLRPISRN LRRQLYGRGPRHRSPPRSHTGHRPRERNRRRSPDHRHGRF >ENSMUSP00000131048.1 pep:known chromosome:GRCm38:7:30563325:30565362:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000163654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQ TADGSHCHVSDVEVQEHYDNFFEEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKFRRE EDAERAVAELNNRWFNGQAVHAELSPVTDFRESCCRQYEMGSPPRSHTGHRPRERNRRRS PDHRHGRF >ENSMUSP00000132316.1 pep:known chromosome:GRCm38:7:30563326:30565351:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000166510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQ TADGSHCHVSDVEEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKFRREEDAERAVAEL NNRWFNGQAVHAELSPVTDFRESCCRQYEMGECTRGGFCNFMHLRPISRNLRRQLYGRGP RHRSPPRSHTGHRPRERNRRRSPDHRHGRF >ENSMUSP00000127233.1 pep:known chromosome:GRCm38:7:30563336:30565160:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000163276.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKLEPAGTGTGAPDFTTNRLSARP >ENSMUSP00000132915.1 pep:known chromosome:GRCm38:7:30563338:30564798:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000168229.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKLEPAGTGTGAPDFTTNRLSASPAFCLSSGLF >ENSMUSP00000131654.1 pep:known chromosome:GRCm38:7:30563339:30565164:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000168555.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKLEPAGTGTGAPDFTTNRLSARP >ENSMUSP00000129102.1 pep:known chromosome:GRCm38:7:30563344:30564738:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000167501.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKLEPAGTGTGAPDFTTNRLSARP >ENSMUSP00000130649.1 pep:known chromosome:GRCm38:7:30563348:30565364:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000163482.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MCVTTSGTTSWAMSTLSSGGRRMQSGHRSPPRSHTGHRPRERNRRRSPDHRHGRF >ENSMUSP00000146834.1 pep:known chromosome:GRCm38:7:30563350:30565361:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000167361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MNVCDNLGDHLVGNVYVKFRREEDAERAVAELNNRWFNGQAVHAELSPVTDFRESCCRQY EMGECTRGGFCNFMHLRPISRNLRRQLYGRGPRHRSPPRSHTGHRPRERNRRRSPDHRHG RF >ENSMUSP00000125838.1 pep:known chromosome:GRCm38:7:30563356:30565179:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000166257.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQEVFTELQEKYGEIEEM NVCDNLGDHLVGNVYVKFRREEDAERAVAELNNRWFNGQAVHAELSPVTDFRESCCRQYE MGECTRGGFCNFMHLRPISRNLRRQLYGRGPRHRSPPRSHTGHRPRERNRRRSPDHRHGR F >ENSMUSP00000125959.1 pep:known chromosome:GRCm38:7:30563356:30565179:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000163464.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQ TADGSHCHVSDVEVQEHYDNFFEEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKFRRE EDAERAVAELNNRWFNGQAVHAELSPVTDFRESCCRQECTRGGFCNFMHLRPISRNLRRQ LYGRGPRHRSPPRSHTGHRPRERNRRRSPDHRHGRF >ENSMUSP00000128950.1 pep:known chromosome:GRCm38:7:30563356:30565179:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000168333.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQ TADGSHCHVSDVEVQEHYDNFFEEVFTELQENSGGRRMQSGL >ENSMUSP00000131781.1 pep:known chromosome:GRCm38:7:30563356:30565179:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000171850.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQ TADGSHCHVSDVEVQEHYDNFFEFRREEDAERAVAELNNRWFNGQAVHAELSPVTDFRES CCRQYEMGECTRGGFCNFMHLRPISRNLRRQLYGRGPRHRSPPRSHTGHRPRERNRRRSP DHRHGRF >ENSMUSP00000129697.1 pep:known chromosome:GRCm38:7:30563358:30564548:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000168931.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQTADGSHCHVSDVEVQEHYDNFF EEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKFRREEDAERAVAELNNRWFNGQAVH >ENSMUSP00000146344.1 pep:known chromosome:GRCm38:7:30563367:30565172:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000167202.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQTADGSHCHVSDVEVQEHYDNFF EEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKVTSKVPHRSPSPRKEPTSFPRPPAWS >ENSMUSP00000131193.1 pep:known chromosome:GRCm38:7:30563395:30565179:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000164365.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] DKLEPAGTGTGAPDFTTNRLSARP >ENSMUSP00000125790.1 pep:known chromosome:GRCm38:7:30563397:30565179:1 gene:ENSMUSG00000078765.11 transcript:ENSMUST00000165722.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] XKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQTADGSH >ENSMUSP00000061704.5 pep:known chromosome:GRCm38:11:5106265:5152257:-1 gene:ENSMUSG00000034164.17 transcript:ENSMUST00000062821.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emid1 description:EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:2155091] MGGPRAWTLLCLGLLLPGGGAAWSVPGARFSGRRNWCSYVVTRTVSCHVQNGTYLQRVLQ NCPWPMGCPGNSYRTVVRPLYKVTYKTVTAREWRCCPGHSGVTCEEGSPGLLEPTWTDSG MRRMAVRPTALSGCLNCSKVSELTERLKALEAKVAVLSVTEQTVPSVPATPEDSALLWGS PAARGSPGDGSLQDRLDSWGLPGPTGPKGGTDSQSPVRIRGPPGPQGPPGRPGQTGAAGT PGKMGPPGPPGPPGPPGPPAPVGPPYGQVSLHGDPLLSNTFTEMGSHWPQGPTGPPGPPG PPGPMGPPGLPGPMGAPGSPGHMGIPGPSGPKGTSGHPGEKGERGLPGEPGPQGLMGVPG EPGPKGDPGEKSHWGEGLHQLREALKILAERVLILETMIGLYEPDLGSGAGPDGTGTPSL LRGKRGGHPTNYPIITPRRRSERS >ENSMUSP00000117779.1 pep:known chromosome:GRCm38:11:5106265:5130778:-1 gene:ENSMUSG00000034164.17 transcript:ENSMUST00000132328.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emid1 description:EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:2155091] XPQGPPGRPGQTGAAGTPGKMGPPGPPGPPGPPGPPAPVGPPYGQVSLHGDPLLSNTFTE MGSHWPQGPTGPPGPPGPPGPMGPPGLPGPMGAPGSPGHMGIPGPSGPKGTSGHPGEKGE RGLPGEPGPQGLMGVPGEPGPKGDPGEKSHWAPSLQSFLQQQAQLELLARRVTLLEAIIW PEPDLGSGAGPDGTGTPSLLRGKRGGHPTNYPIITPRRRSERS >ENSMUSP00000124431.1 pep:known chromosome:GRCm38:11:5131975:5152237:-1 gene:ENSMUSG00000034164.17 transcript:ENSMUST00000156492.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Emid1 description:EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:2155091] MGGPRAWTLLCLGLLLPGGGAAWSVPGARFSGRRNWCSYVVTRTVSCHVQNGTYLQRVLQ NCPWPMGCPGNSYRTVVRPLYKVTYKTVTAREWRCCPGHSGVTCEEGSPGLLEPTWTDSG MRRMAVRPTALSGCLNCSKVSELTERLKALEAKVSSP >ENSMUSP00000125605.1 pep:known chromosome:GRCm38:11:5106907:5129063:-1 gene:ENSMUSG00000034164.17 transcript:ENSMUST00000153308.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Emid1 description:EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:2155091] XGPSGPKGTSGHPGEKGERGLPGEPGPQGLMGVPGEPGPKGDPGEKSHWPHQAVG >ENSMUSP00000124014.1 pep:known chromosome:GRCm38:11:5106271:5129480:-1 gene:ENSMUSG00000034164.17 transcript:ENSMUST00000151906.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emid1 description:EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:2155091] XPPGLPGPMGAPGSPGHMGIPGPSGPKGTSGHPGEKGERGLPGEPGPQGLMGVPGEPGPK GDPGEKSHWAPSLQSFLQQQAQLELLARRVTLLEAIIWPGYSQASWTKELLGFEGY >ENSMUSP00000131391.1 pep:known chromosome:GRCm38:11:5106266:5152240:-1 gene:ENSMUSG00000034164.17 transcript:ENSMUST00000163299.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Emid1 description:EMI domain containing 1 [Source:MGI Symbol;Acc:MGI:2155091] MGGPRAWTLLCLGLLLPGGGAAWSVPGARFSGRRNWCSYVVTRTVSCHVQNGTYLQRVLQ NCPWPMGCPGNRTVVRPLYKVTYKTVTAREWRCCPGHSGVTCEEGSPGLLEPTWTDSGMR RMAVRPTALSGCLNCSKVSELTERLKALEAKVAVLSVTEQTVPSVPATPEDSALLWGSPA ARGSPGDGSLQDRLDSWGLPGPTGPKGGTDSQSPVRIRGPPGPQGPPGRPGQTGAAGTPG KMGPPGPPGPPGPPGPPAPVGPPYGQVSLHGDPLLSNTFTEMGSHWPQGPTGPPGPPGPP GPMGPPGLPGPMGAPGSPGHMGIPGPSGPKGTSGHPGEKGERGLPGEPGPQGLMGVPGEP GPKGDPGEKSHWGEGLHQLREALKILAERVLILETMIGLYEPDLGSGAGPDGTGTPSLLR GKRGGHPTNYPIITPRRRSERS >ENSMUSP00000103560.3 pep:known chromosome:GRCm38:7:44496581:44501140:1 gene:ENSMUSG00000051113.9 transcript:ENSMUST00000107927.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71e1 description:family with sequence similarity 71, member E1 [Source:MGI Symbol;Acc:MGI:1922788] MKGGRDLKAARGGADRPLAPAYAPCRPGRLQRHLLSGEFDQLRDFPIFESNFVQVTRFGE VANKVTMGVAASSPALELPDLLLLAGPDKENGHLQLLGLFPLQFVQLFVHDESRQQLKVK FRTGRAFYLQLRSPPETRDCEFGRWVRLLYRLRFHSPTCAVPFTHEDTAPEEEEEEEEEE EEEEVKEGQLQPPEFQATEARLDPQVSELWGL >ENSMUSP00000146329.1 pep:known chromosome:GRCm38:7:44496599:44497148:1 gene:ENSMUSG00000051113.9 transcript:ENSMUST00000205422.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71e1 description:family with sequence similarity 71, member E1 [Source:MGI Symbol;Acc:MGI:1922788] MKGGRDLKAARGGADRPLAPAYAPCRPGRLQRHLLSGEFDQLRDFPIFESNFVQVWSPGP PTR >ENSMUSP00000145700.1 pep:known chromosome:GRCm38:7:44496658:44501133:1 gene:ENSMUSG00000051113.9 transcript:ENSMUST00000205359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam71e1 description:family with sequence similarity 71, member E1 [Source:MGI Symbol;Acc:MGI:1922788] MKGGRDLKAARGGADRPLAPAYAPCRPGRLQRHLLSGEFDQLRDFPIFESNFVQVTRFGE VANKVTMGVAASSPALELPDLLLLAGPDKENGHLQLLGLFPLQFVQLFVHDESRQQLKVK FRTGRAFYLQLRSPPETRDCEFGRWVRLLYRLRFHSPTCAVPFTHEDTAPEEEEEEEEEE EEEEVKEGQLQPPEVRAGPRAQGCWARKDGKGPGRPAGAWTPGSEGGGLGPRPLGLRKEN QSWISRPKEKGTPPFFHLLRGSKEKATGSAAD >ENSMUSP00000145737.1 pep:known chromosome:GRCm38:7:44496668:44501133:1 gene:ENSMUSG00000051113.9 transcript:ENSMUST00000206398.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fam71e1 description:family with sequence similarity 71, member E1 [Source:MGI Symbol;Acc:MGI:1922788] MKGGRDLKAARGGADRPLAPAYAPCRPGRLQRHLLSGEFDQLRDFPIFESNFVQVWSPGP PTR >ENSMUSP00000105629.1 pep:known chromosome:GRCm38:8:71908608:71916354:1 gene:ENSMUSG00000089857.9 transcript:ENSMUST00000110002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp882 description:zinc finger protein 882 [Source:MGI Symbol;Acc:MGI:3642748] MESVSFEDVAVNFTAEEWALLNSSQKRLHRDVMQETFRNLAAIAKKQEDWILEDGSKNLR KIPPISVQTDYKLCESQECTEKPGTCKKCGKFFSSPQSFLEHMKTHNEEKTYKYKQTEDS FSRHSFVQGHERMHATEQPCVLKQSGKDSLTLAGIQQHIITHNGDEPYICKVCGKAFYSS SSFLTHKRTHTREQLYICKQCGKTFTYSSGLRRHERTHSGEKPYECKQFLKVFPSLRKVE SHEQTHNGIKYICNQCGIAFSDHCSLQCHEKIHSLEKPYVYKQCGKTFTCSSALRRHERI HTGVKPYECKVCGKAFIDCSSLQCHERIHSGEKPYVCKLCGKAFSRQGSLKCHERIHSGE KPYACKQCGKAFMHHNALRYHEQIHRGEKAYGCKQCGKAFVLSSALKKHERIHSGLKPCL CRVCGKAFTFHSSLHCHERTHTGEKPYVCKQCGKAFMYHSSLRCHENIHSAEKPYVCKLC GTAFTYHSSLQRHERIHRGEKPYVCKFCAKAFTDHSSLRCHERIHTGEKPYVCKQCGKSY STHGSLRYHEKVHCV >ENSMUSP00000121316.1 pep:known chromosome:GRCm38:8:71908657:71913583:1 gene:ENSMUSG00000089857.9 transcript:ENSMUST00000125802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp882 description:zinc finger protein 882 [Source:MGI Symbol;Acc:MGI:3642748] MRGMQASPSESVSFEDVAVNFTAEEWALLNSSQKRLHRDVMQETFRNLAAIAKKQEDWIL EDGSKNLRKIPPISVQTDYKLCESQECTEKPG >ENSMUSP00000119978.1 pep:known chromosome:GRCm38:8:71908905:71913587:1 gene:ENSMUSG00000089857.9 transcript:ENSMUST00000126607.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp882 description:zinc finger protein 882 [Source:MGI Symbol;Acc:MGI:3642748] MTLFERTVRNREKARDKKCETCQAVARREGLCLEGYVNSIKESVSFEDVAVNFTAEEWAL LNSSQKRLHRDVMQETFRNLAAIAKKQEDWILEDGSKNLRKIPPISVQTDYKLCESQECT EKPGT >ENSMUSP00000138555.1 pep:known chromosome:GRCm38:5:34336289:34353439:1 gene:ENSMUSG00000029110.13 transcript:ENSMUST00000182709.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf4 description:ring finger protein 4 [Source:MGI Symbol;Acc:MGI:1201691] MSTRNPQRKRRGGTVNSRQTQKRTRETTSTPEVSLETEPIELVETVGDEIVDLTCESLEP VVVDLTHNDSVVIVEERRRPRRNGRRLRQDHADSCVVSSDDEELSRDKDVYVTTHTPRST KDDGATGPRPSGTVSCPICMDGYSEIVQNGRLIVSTECGHVFCSQCLRDSLKNANTCPTC RKKINHKRYHPIYI >ENSMUSP00000030992.5 pep:known chromosome:GRCm38:5:34336380:34353436:1 gene:ENSMUSG00000029110.13 transcript:ENSMUST00000030992.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf4 description:ring finger protein 4 [Source:MGI Symbol;Acc:MGI:1201691] MSTRNPQRKRRGGTVNSRQTQKRTRETTSTPEVSLETEPIELVETVGDEIVDLTCESLEP VVVDLTHNDSVVIVEERRRPRRNGRRLRQDHADSCVVSSDDEELSRDKDVYVTTHTPRST KDDGATGPRPSGTVSCPICMDGYSEIVQNGRLIVSTECGHVFCSQCLRDSLKNANTCPTC RKKINHKRYHPIYI >ENSMUSP00000138594.1 pep:known chromosome:GRCm38:5:34336685:34350030:1 gene:ENSMUSG00000029110.13 transcript:ENSMUST00000182583.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf4 description:ring finger protein 4 [Source:MGI Symbol;Acc:MGI:1201691] MSTRNPQRKRRGGTVNSRQTQKRTRETTSTPEVSLETEPIELVETVGDEIVDLTCESLEP VVVDLTHNDSVVIVEERRRPRRNGRRLRQDHADSCVVSSDDEELSRDKDVYVTTH >ENSMUSP00000138411.1 pep:known chromosome:GRCm38:5:34336932:34353424:1 gene:ENSMUSG00000029110.13 transcript:ENSMUST00000182047.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf4 description:ring finger protein 4 [Source:MGI Symbol;Acc:MGI:1201691] MSTRNPQRKRRGGTVNSRQTQKRTRETTSTPEVSLETEPIELVETVGDEIVDLTCESLEP VVVDLTHNDSVVIVEERRRPRRNGRRLRQDHADSCVVSSDDEELSRDKDVYVTTHTPRST KDDGATGPRPSGTVSCPICMDGYSEIVQNGRLIVSTECGHVFCSQCLRDSLKNANTCPTC RKKINHKRYHPIYI >ENSMUSP00000061835.4 pep:known chromosome:GRCm38:16:87354185:87368742:1 gene:ENSMUSG00000044442.11 transcript:ENSMUST00000054442.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N6amt1 description:N-6 adenine-specific DNA methyltransferase 1 (putative) [Source:MGI Symbol;Acc:MGI:1915018] MAAPSVPTPLYGHVGRGAFRDVYEPAEDTFLLLDALEAAAAELAGVEICLEVGAGSGVVS AFLASMIGPRALYMCTDINPEAAACTLETARCNRVHVQPVITDLVHGLLPRLKGKVDLLV FNPPYVVTPPEEVGSRGIEAAWAGGRNGREVMDRFFPLAPELLSPRGLFYLVTVKENNPE EIFKTMKTRGLQGTTALCRQAGQEALSVLRFSKS >ENSMUSP00000113229.1 pep:known chromosome:GRCm38:16:87354227:87368742:1 gene:ENSMUSG00000044442.11 transcript:ENSMUST00000118310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N6amt1 description:N-6 adenine-specific DNA methyltransferase 1 (putative) [Source:MGI Symbol;Acc:MGI:1915018] MAAPSVPTPLYGHVGRGAFRDVYEPAEDTFLLLDALEAAAAELAGVEICLEVGAGSGVVS AFLASMIGPRALYMCTDINPEAAACTLETARCNRVHVQPVITDLVHGLLPRLKGKVDLLV FNPPYVVTPPEERKSLKQ >ENSMUSP00000112510.1 pep:known chromosome:GRCm38:16:87354243:87365670:1 gene:ENSMUSG00000044442.11 transcript:ENSMUST00000120284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N6amt1 description:N-6 adenine-specific DNA methyltransferase 1 (putative) [Source:MGI Symbol;Acc:MGI:1915018] MAAPSVPTPLYGHVGRGAFRDVYEPAEDTFLLLDALEAAAAELAGVEICLEVGAGSGVVS AFLASMIGPRALYMCTDINPEAAACTLETARCNRVHVQPVITDLVHGLLPRLKGKVDLLV FNPPYVVTPPEEVRLTTELSLCSQLFHETK >ENSMUSP00000113217.1 pep:known chromosome:GRCm38:16:87354245:87367685:1 gene:ENSMUSG00000044442.11 transcript:ENSMUST00000118115.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N6amt1 description:N-6 adenine-specific DNA methyltransferase 1 (putative) [Source:MGI Symbol;Acc:MGI:1915018] MAAPSVPTPLYGHVGRGAFRDVYEPAEDTFLLLDALEAAAAELAGVEICLEVGAGSGVVS AFLASMIGPRALYMCTDINPEAAACTLETARCNRVHVQPVITDLVHGLLPRLKGKVDLLV FNPPYVVTPPEEVGSRGIEAAWAGGRNGREVMDRFFPLAPELLSPRGLFYLVTVKENNPG N >ENSMUSP00000117136.1 pep:known chromosome:GRCm38:17:25773776:25782791:1 gene:ENSMUSG00000002280.10 transcript:ENSMUST00000134108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Narfl description:nuclear prelamin A recognition factor-like [Source:MGI Symbol;Acc:MGI:1914813] MASPFSGALQLTDLDDFIGPSQNCIKPVKVAKKPGSGIAKIHIEDDGSYFQVNQDGRTQK LEKAKVSLNDCLACSGCVTSAETVLITQQSHEELRKVLDANKEAAPGQQRLVVVSISPQS RASLAARFRLDPTDTARKLTSFFKKIGVHFVFDTAFARNFSLLESQKEFVQRFREQANSR EALPVLASACPGWICYAEKTHGNFILPYISTARSPQQVMGSLVKDFFAQQQLLTPDKIYH VTVMPCYDKKLEASRPDFFNQEYQTRDVDCVLTTGEVFRLLEEEGVSLTELEPAPLDGLD LSCVAPPALTRPSPRTSSVSAEEPSSHRGGGSGGYLEHVFRHAAQELFGIHVAEVTYQPL RNKDFQEVTLEREGQVLLRFAVAYGFRNIQNLVQKLKRGRCPYHYVEVMACPSGCLNGGG QLKAPDTEGSELLQQLERLYSMVRTEAPEDAPGVQELYQHWLQGEDSERASRLLHTQYHA VEKPSSGLSIRW >ENSMUSP00000002350.4 pep:known chromosome:GRCm38:17:25773776:25783332:1 gene:ENSMUSG00000002280.10 transcript:ENSMUST00000002350.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Narfl description:nuclear prelamin A recognition factor-like [Source:MGI Symbol;Acc:MGI:1914813] MASPFSGALQLTDLDDFIGPSQNCIKPVKVAKKPGSGIAKIHIEDDGSYFQVNQDGRTQK LEKAKVSLNDCLACSGCVTSAETVLITQQSHEELRKVLDANKEAAPGQQRLVVVSISPQS RASLAARFRLDPTDTARKLTSFFKKIGVHFVFDTAFARNFSLLESQKEFVQRFREQANSR EALPVLASACPGWICYAEKTHGNFILPYISTARSPQQVMGSLVKDFFAQQQLLTPDKIYH VTVMPCYDKKLEASRPDFFNQEYQTRDVDCVLTTGEVFRLLEEEGVSLTELEPAPLDGLT SSVSAEEPSSHRGGGSGGYLEHVFRHAAQELFGIHVAEVTYQPLRNKDFQEVTLEREGQV LLRFAVAYGFRNIQNLVQKLKRGRCPYHYVEVMACPSGCLNGGGQLKAPDTEGSELLQQL ERLYSMVRTEAPEDAPGVQELYQHWLQGEDSERASRLLHTQYHAVEKPSSGLSIRW >ENSMUSP00000120281.1 pep:known chromosome:GRCm38:17:25773817:25779513:1 gene:ENSMUSG00000002280.10 transcript:ENSMUST00000131458.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Narfl description:nuclear prelamin A recognition factor-like [Source:MGI Symbol;Acc:MGI:1914813] MASPFSGALQLTDLDDFIGPSQNCIKPVKVAKKPGSGIAKIHIEDDGSYFQVNQFLLTSR TEEPRSWRRPRSP >ENSMUSP00000119486.1 pep:known chromosome:GRCm38:X:56870163:56980337:1 gene:ENSMUSG00000053852.11 transcript:ENSMUST00000154818.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg4 description:adhesion G protein-coupled receptor G4 [Source:MGI Symbol;Acc:MGI:2685213] MRKHILHQRLCGLILVSSFIFLTVVSENMTIQETSTNVSQQIDLTTSSQTTGLNPHKTSH SSTLLPEGMADSTINSTAISYANTVPSPLATVSAAKDLKTSTTETATFLTDTLFTSTATP LPTQTVTEHSYLGKTRTSKRVEAMATEIFHSATATDLIDTSVFTKNYTVSETSTTKSKSA VGKTTLFLNESTSIAPTPCPKHKSTDVAILHTSKSGQEFLVSSAARTVSWSTLEETSPIT TDVGIVSTFPPESLLTSTASPVSSTFPEIQLASTLSTTDSEMASTVHSVLPMQTIPTPRT VKPESGSTNFQDVFSPSMEDALSVSMPKETTFMAFSSITSSPITRTQDEQIAIDAESTHL TIIPGTKFVPTLAEASLFPTIEGQAYTQDTPTTDEPMLTLTSTKSPSTYNASESVLTSIT IKSDYQFFTNETTWTSKSGQNLLTSMNTTTIPTFTSNKTLTLPFQGNATNRDHSSMTTNV SPIEASTESKVTTSSDATTASYTTALFKPTSQWLSHFTSVSGITSIASQPESKLTTLLLK SNSMPTVATNEFPSIPSEPVAPSVNTSTLTDIKPNFSTEKSISETIQIETNGVSSFGDTL APLPMSATTQRVYTTVTKETTSRHPKVKSTISTVAEASPFSTMLEVTDESEQMVTASVTI SPFTDIEKLTTALSKETATAEVGVSWLSTKLKESMPESSHNGTTESFNSTHTYTVDWTSE KSKGNSASSPNSASTQALPELPSSSTMKTMGVTFSTNSSQRTAASLSAGILSPQTASTHA LVTPQLLTHTFSLPVNISAVTSPKTTMVFFDETKVTLSQPSTLARDFTTSMPSVGSTLPT VTMTTELVPPVSPTASTISDSMFTHRDLLHTTSEVTTISSTTAHMAISSLRETLVSSLRP PTPVITKAISTIPSISSDSVSPSIHTLVCSRPSPNNVTIVSSTYVSSTTSTSVATPSESH FSFPYAFSSGGDVTMASGPTGTSAGGEAMPPNTFVNKFITSVDHESTTYFVNTPVSTQSV FATSMVSSDKEQTNISMEKTLRTTGVAEISPSKNSFILDSQSTFPWEMTDTELSETTEIS SHQTHLPSEILPGYSDSGNLTTFSTSGSTQSAQTLSSSTIIGVRVSEGSTSLEKTALPSQ VQTVTKSLTHDKERTSALSEYPPRTVEKIMSSSPVTHQATGHLATSIVDASRTTRISHPV LINTTLSYLLSLKTKPEATQIASSTSGSTENFPNSFSPFTTGLLSTNFTMITPNGSTTVL SIPNEPTNLPKKTSMEASTPISQMDLLALNVTAFTSKKVSDTHTMLMTKSSRTIHIGTLK SVSIGTFGLKSEKSEMPVNNSDFSTTVLYSDTSTRLGEFFTSSSSLPPKATKTTQASTLN TTPVAHAGPTSQRTVFSSTFSNSSVVEVPLNYTTAHFSSPTQRGFLSMKTIPTTSMAGIS TSVIGATSSSLSSSKNTEPISSIPKTMFSLLLSTTQQPSQENGAPTLDILPGITVSSGGA TDLINANSRATIPANELSTTPSDNFYTFLNTQDSPTLTNSKVTPRPTESVKSTPTHLSFD TRKMNILTELTKSGPCVTTPVLYPLWTQTSTAPPLTSHLYSPHSTKAKFPLASQMAEYPA WATGITPSITQALLTTSRNTQRVEDSPFPVFTTKVMTPNRMEVETLHSPSGTLATSTTSQ IGLVSRDVTVMPLISTSESLPSLGISESTSLSISSTFPPTTLAAILPTFEKTAMPVTPGI TLSSNPSVNSRATSPTWSSSSLPSDSRASIFTPSRLLTSSSGEMSESTFPASDIIATYSN FTVAPLSDGSATIATQATSTTTLDIITANSLTSPPIPSKDKDGSLHTSTFLESSLRTTGA DSSTDMSERMSFGRTSISPSLTRHDLSIGSLTVSSPTNTSPWSKVPVTSESHTLFPSKST LDSVMSTATTTSTAIGTSFPLMSTEMAHPSTATGFSLVSSSFETTWMDSIFSSLFTQPST SPTAKESTVSFYNIKMSFSVFDEEPRVLVTTVIHDLTKDWLNFMFQNSEFSLANLAIQIK SRKTSKEETAMYRYILEQKKGQGMDAIFHVPYSCACWVIIKAKSSLESVELISSIRTKIH GNLTHGNFTQDQLTLLVKSDHVVVEKLEPGKCEADETPSKYKGTYKWPLTDPTETAQERC IKNENRNATRICSISIQTGKCQWEKPRLKQCKLLQGLPDKIVDLANITISDENADDVAEH ILNLVNESPPLDEEETKIIVSKVADISNCDEISINLTQIILQILNTVTEKQSDSASNLPP VSNEILRIIERVGHKMEFAGRTANLTVAKLALAVLRVDHKFEGMAFSIQSSEEVIAPQIF LGDIPLRKALASIYLPKSLREKVPLDGLQTILFNFFGQTSLFKAKTITSELMTYVVSASI SNTSIQNLADPVIIILKHIQGDWNYDQVYCAFWDFDTNNGLGGWNPSGCKLKESNINYTI CQCNHLTHFGVLMDLSRSTVDAVNERILVIITYTGCGISSIFLGIAMVTYIAFHKLRKDY PSKILINLCTALLMLNLAFLVNSWLTSFQKVGLCITAAVALHYFLLVSLTWMGLEAVHMY FALVKVFNTYIPNYILKFCLAGWGIPAITVAIILSVRKDLYGTLSPTTPFCWIKDDHIFY ISVVAYFCLIFLMNLSMFCTVLVQLTSVKSQSQKTRKKMILNDLKGTISLTFLLGLTWGF AFFAWGPVRIFFLYLFAICNTLQGFLIFVFYCVMKESVREQWHMPLHCRWLRLENFAGWI NVRHKQKRLKKNNESKLLTPSLMSTTTFKSIGSVPSIPSEINFSNGDFDDSPDTFSFLSC KAAPTFIRRALPAEIQTNSTQKQRSFPINVSRDTHLTPSSGLGEMFNL >ENSMUSP00000116634.1 pep:known chromosome:GRCm38:X:56872543:56980369:1 gene:ENSMUSG00000053852.11 transcript:ENSMUST00000153784.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg4 description:adhesion G protein-coupled receptor G4 [Source:MGI Symbol;Acc:MGI:2685213] MRKHILHQRLCGLILVSSFIFLTDSLSLKGKRLDFYGEGKAYVSLTYTMPELSRLTACID LISMTNSSHYWMAFIYITNNTLLGREDVDLGLAGDHQQLILYSFGKTFYVSYHLIPFHWH TLCLVWDGVKGRLELFRNKERILAIMDQPHRLSPNGTLVLGHFPRNGEGQIKTVIPRFTS SLYYFQLWDRILENEEFMTCFYGNVVSWEDDVWLIHKISPTVDRRLRCFVSENMTIQETS TNVSQQIDLTTSSQTTGLNPHKTSHSSTLLPEGMADSTINSTAISYANTVPSPLATVSAA KDLKTSTTETATFLTDTLFTSTATPLPTQTVTEHSYLGKTRTSKRVEAMATEIFHSATAT DLIDTSVFTKNYTVSETSTTKSKSAVGKTTLFLNESTSIAPTPCPKHKSTDVAILHTSKS GQEFLVSSAARTVSWSTLEETSPITTDVGIVSTFPPESLLTSTASPVSSTFPEIQLASTL STTDSEMASTVHSVLPMQTIPTPRTVKPESGSTNFQDVFSPSMEDALSVSMPKETTFMAF SSITSSPITRTQDEQIAIDAESTHLTIIPGTKFVPTLAEASLFPTIEGQAYTQDTPTTDE PMLTLTSTKSPSTYNASESVLTSITIKSDYQFFTNETTWTSKSGQNLLTSMNTTTIPTFT SNKTLTLPFQGNATNRDHSSMTTNVSPIEASTESKVTTSSDATTASYTTALFKPTSQWLS HFTSVSGITSIASQPESKLTTLLLKSNSMPTVATNEFPSIPSEPVAPSVNTSTLTDIKPN FSTEKSISETIQIETNGVSSFGDTLAPLPMSATTQRVYTTVTKETTSRHPKVKSTISTVA EASPFSTMLEVTDESEQMVTASVTISPFTDIEKLTTALSKETATAEVGVSWLSTKLKESM PESSHNGTTESFNSTHTYTVDWTSEKSKGNSASSPNSASTQALPELPSSSTMKTMGVTFS TNSSQRTAASLSAGILSPQTASTHALVTPQLLTHTFSLPVNISAVTSPKTTMVFFDETKV TLSQPSTLARDFTTSMPSVGSTLPTVTMTTELVPPVSPTASTISDSMFTHRDLLHTTSEV TTISSTTAHMAISSLRETLVSSLRPPTPVITKAISTIPSISSDSVSPSIHTLVCSRPSPN NVTIVSSTYVSSTTSTSVATPSESHFSFPYAFSSGGDVTMASGPTGTSAGGEAMPPNTFV NKFITSVDHESTTYFVNTPVSTQSVFATSMVSSDKEQTNISMEKTLRTTGVAEISPSKNS FILDSQSTFPWEMTDTELSETTEISSHQTHLPSEILPGYSDSGNLTTFSTSGSTQSAQTL SSSTIIGVRVSEGSTSLEKTALPSQVQTVTKSLTHDKERTSALSEYPPRTVEKIMSSSPV THQATGHLATSIVDASRTTRISHPVLINTTLSYLLSLKTKPEATQIASSTSGSTENFPNS FSPFTTGLLSTNFTMITPNGSTTVLSIPNEPTNLPKKTSMEASTPISQMDLLALNVTAFT SKKVSDTHTMLMTKSSRTIHIGTLKSVSIGTFGLKSEKSEMPVNNSDFSTTVLYSDTSTR LGEFFTSSSSLPPKATKTTQASTLNTTPVAHAGPTSQRTVFSSTFSNSSVVEVPLNYTTA HFSSPTQRGFLSMKTIPTTSMAGISTSVIGATSSSLSSSKNTEPISSIPKTMFSLLLSTT QQPSQENGAPTLDILPGITVSSGGATDLINANSRATIPANELSTTPSDNFYTFLNTQDSP TLTNSKVTPRPTESVKSTPTHLSFDTRKMNILTELTKSGPCVTTPVLYPLWTQTSTAPPL TSHLYSPHSTKAKFPLASQMAEYPAWATGITPSITQALLTTSRNTQRVEDSPFPVFTTKV MTPNRMEVETLHSPSGTLATSTTSQIGLVSRDVTVMPLISTSESLPSLGISESTSLSISS TFPPTTLAAILPTFEKTAMPVTPGITLSSNPSVNSRATSPTWSSSSLPSDSRASIFTPSR LLTSSSGEMSESTFPASDIIATYSNFTVAPLSDGSATIATQATSTTTLDIITANSLTSPP IPSKDKDGSLHTSTFLESSLRTTGADSSTDMSERMSFGRTSISPSLTRHDLSIGSLTVSS PTNTSPWSKVPVTSESHTLFPSKSTLDSVMSTATTTSTAIGTSFPLMSTEMAHPSTATGF SLVSSSFETTWMDSIFSSLFTQPSTSPTAKESTVSFYNIKMSFSVFDEEPRVLVTTVIHD LTKDWLNFMFQNSEFSLANLAIQIKSRKTSKEETAMYRYILEQKKGQGMDAIFHVPYSCA CWVIIKAKSSLESVELISSIRTKIHGNLTHGNFTQDQLTLLVKSDHVVVEKLEPGKCEAD ETPSKYKGTYKWPLTDPTETAQERCIKNENRNATRICSISIQTGKCQWEKPRLKQCKLLQ GLPDKIVDLANITISDENADDVAEHILNLVNESPPLDEEETKIIVSKVADISNCDEISIN LTQIILQILNTVTEKQSDSASNLPPVSNEILRIIERVGHKMEFAGRTANLTVAKLALAVL RVDHKFEGMAFSIQSSEEVIAPQIFLGDIPLRKALASIYLPKSLREKVPLDGLQTILFNF FGQTSLFKAKTITSELMTYVVSASISNTSIQNLADPVIIILKHIQGDWNYDQVYCAFWDF DTNNGLGGWNPSGCKLKESNINYTICQCNHLTHFGVLMDLSRSTVDAVNERILVIITYTG CGISSIFLGIAMVTYIAFHKLRKDYPSKILINLCTALLMLNLAFLVNSWLTSFQKVGLCI TAAVALHYFLLVSLTWMGLEAVHMYFALVKVFNTYIPNYILKFCLAGWGIPAITVAIILS VRKDLYGTLSPTTPFCWIKDDHIFYISVVAYFCLIFLMNLSMFCTVLVQLTSVKSQSQKT RKKMILNDLKGTISLTFLLGLTWGFAFFAWGPVRIFFLYLFAICNTLQGFLIFVFYCVMK ESVREQWHMPLHCRWLRLENFAGWINVRHKQKRLKKNNESKLLTPSLMSTTTFKSIGSVP SIPSEINFSNGDFDDSPDTFSFLSCKAAPTFIRRALPAEIQTNSTQKQRSFPINVSRDTH LTPSSGLGEMFNL >ENSMUSP00000116655.1 pep:known chromosome:GRCm38:X:56894372:57001558:1 gene:ENSMUSG00000053852.11 transcript:ENSMUST00000136396.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg4 description:adhesion G protein-coupled receptor G4 [Source:MGI Symbol;Acc:MGI:2685213] MTNSSHYWMAFIYITNNTLLGREDVDLGLAGDHQQLILYSFGKTFYVSYHLIPFHWHTLC LVWDGVKGRLELFRNKERILAIMDQPHRLSPNGTLVLGHFPRNGEGQIKTVIPRFTSSLY YFQLWDRILENEEFMTCFYGNVVSWEDDVWLIHKISPTVDRRLRCFVSENMTIQETSTNV SQQIDLTTSSQTTGLNPHKTSHSSTLLPEGMADSTINSTAISYANTVPSPLATVSAAKDL KTSTTETATFLTDTLFTSTATPLPTQTVTEHSYLGKTRTSKRVEAMATEIFHSATATDLI DTSVFTKNYTVSETSTTKSKSAVGKTTLFLNESTSIAPTPCPKHKSTDVAILHTSKSGQE FLVSSAARTVSWSTLEETSPITTDVGIVSTFPPESLLTSTASPVSSTFPEIQLASTLSTT DSEMASTVHSVLPMQTIPTPRTVKPESGSTNFQDVFSPSMEDALSVSMPKETTFMAFSSI TSSPITRTQDEQIAIDAESTHLTIIPGTKFVPTLAEASLFPTIEGQAYTQDTPTTDEPML TLTSTKSPSTYNASESVLTSITIKSDYQFFTNETTWTSKSGQNLLTSMNTTTIPTFTSNK TLTLPFQGNATNRDHSSMTTNVSPIEASTESKVTTSSDATTASYTTALFKPTSQWLSHFT SVSGITSIASQPESKLTTLLLKSNSMPTVATNEFPSIPSEPVAPSVNTSTLTDIKPNFST EKSISETIQIETNGVSSFGDTLAPLPMSATTQRVYTTVTKETTSRHPKVKSTISTVAEAS PFSTMLEVTDESEQMVTASVTISPFTDIEKLTTALSKETATAEVGVSWLSTKLKESMPES SHNGTTESFNSTHTYTVDWTSEKSKGNSASSPNSASTQALPELPSSSTMKTMGVTFSTNS SQRTAASLSAGILSPQTASTHALVTPQLLTHTFSLPVNISAVTSPKTTMVFFDETKVTLS QPSTLARDFTTSMPSVGSTLPTVTMTTELVPPVSPTASTISDSMFTHRDLLHTTSEVTTI SSTTAHMAISSLRETLVSSLRPPTPVITKAISTIPSISSDSVSPSIHTLVCSRPSPNNVT IVSSTYVSSTTSTSVATPSESHFSFPYAFSSGGDVTMASGPTGTSAGGEAMPPNTFVNKF ITSVDHESTTYFVNTPVSTQSVFATSMVSSDKEQTNISMEKTLRTTGVAEISPSKNSFIL DSQSTFPWEMTDTELSETTEISSHQTHLPSEILPGYSDSGNLTTFSTSGSTQSAQTLSSS TIIGVRVSEGSTSLEKTALPSQVQTVTKSLTHDKERTSALSEYPPRTVEKIMSSSPVTHQ ATGHLATSIVDASRTTRISHPVLINTTLSYLLSLKTKPEATQIASSTSGSTENFPNSFSP FTTGLLSTNFTMITPNGSTTVLSIPNEPTNLPKKTSMEASTPISQMDLLALNVTAFTSKK VSDTHTMLMTKSSRTIHIGTLKSVSIGTFGLKSEKSEMPVNNSDFSTTVLYSDTSTRLGE FFTSSSSLPPKATKTTQASTLNTTPVAHAGPTSQRTVFSSTFSNSSVVEVPLNYTTAHFS SPTQRGFLSMKTIPTTSMAGISTSVIGATSSSLSSSKNTEPISSIPKTMFSLLLSTTQQP SQENGAPTLDILPGITVSSGGATDLINANSRATIPANELSTTPSDNFYTFLNTQDSPTLT NSKVTPRPTESVKSTPTHLSFDTRKMNILTELTKSGPCVTTPVLYPLWTQTSTAPPLTSH LYSPHSTKAKFPLASQMAEYPAWATGITPSITQALLTTSRNTQRVEDSPFPVFTTKVMTP NRMEVETLHSPSGTLATSTTSQIGLVSRDVTVMPLISTSESLPSLGISESTSLSISSTFP PTTLAAILPTFEKTAMPVTPGITLSSNPSVNSRATSPTWSSSSLPSDSRASIFTPSRLLT SSSGEMSESTFPASDIIATYSNFTVAPLSDGSATIATQATSTTTLDIITANSLTSPPIPS KDKDGSLHTSTFLESSLRTTGADSSTDMSERMSFGRTSISPSLTRHDLSIGSLTVSSPTN TSPWSKVPVTSESHTLFPSKSTLDSVMSTATTTSTAIGTSFPLMSTEMAHPSTATGFSLV SSSFETTWMDSIFSSLFTQPSTSPTAKESTEPGKCEADETPSKYKGTYKWPLTDPTETAQ ERCIKNENRNATRICSISIQTGKCQWEKPRLKQCKLLQGLPDKIVDLANITISDENADDV AEHILNLVNESPPLDEEETKIIVSKVADISNCDEISINLTQIILQILNTVTEKQSDSASN LPPVSNEILRIIERVGHKMEFAGRTANLTVAKLALAVLRVDHKFEGMAFSIQSSEEVIAP QAKTITSELMTYVVSASISNTSIQNLADPVIIILKHIQGDWNYDQVYCAFWDFDTNNGLG GWNPSGCKLKESNINYTICQCNHLTHFGVLMDLSRSTVDAVNERILVIITYTGCGISSIF LGIAMVTYIAFHKLRKDYPSKILINLCTALLMLNLAFLVNSWLTSFQKVGLCITAAVALH YFLLVSLTWMGLEAVHMYFALVKVFNTYIPNYILKFCLAGWGIPAITVAIILSVRKDLYG TLSPTTPFCWIKDDHIFYISVVAYFCLIFLMNLSMFCTVLVQLTSVKSQSQKTRKKMILN DLKGTISLTFLLGLTWGFAFFAWGPVRIFFLYLFAICNTLQGWINVRHKQKRLKKNNESK LLTPSLMSTTTFKSIGSVPSIPSEINFSNAQEHSAL >ENSMUSP00000094165.3 pep:known chromosome:GRCm38:X:56963325:56980352:1 gene:ENSMUSG00000053852.11 transcript:ENSMUST00000096431.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg4 description:adhesion G protein-coupled receptor G4 [Source:MGI Symbol;Acc:MGI:2685213] MNLSMFCTVLVQLTSVKSQSQKTRKKMILNDLKGTISLTFLLGLTWGFAFFAWGPVRIFF LYLFAICNTLQGFLIFVFYCVMKESVREQWHMPLHCRWLRLENFAGWINVRHKQKRLKKN NESKLLTPSLMSTTTFKSIGSVPSIPSEINFSNGDFDDSPDTFSFLSCKAAPTFIRRALP AEIQTNSTQKQRSFPINVSRDTHLTPSSGLGEMFNL >ENSMUSP00000122908.1 pep:known chromosome:GRCm38:X:56968120:56980359:1 gene:ENSMUSG00000053852.11 transcript:ENSMUST00000145035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg4 description:adhesion G protein-coupled receptor G4 [Source:MGI Symbol;Acc:MGI:2685213] XCWIKDDHIFYISVVAYFCLIFLMNLSMFCTVLVQLTSVKSQSQKTRKKMILNDLKGTIS LTFLLGLTWGFAFFAWGPVRIFFLYLFAICNTLQGWINVRHKQKRLKKNNESKLLTPSLM STTTFKSIGSVPSIPSEINFSNGDFDDSPDTFSFLSCKAAPTFIRRALPAEIQTNSTQKQ RSFPINVSRDTHLTPSSGLGEMFNL >ENSMUSP00000127627.1 pep:known chromosome:GRCm38:X:56913421:56913951:1 gene:ENSMUSG00000053852.11 transcript:ENSMUST00000168724.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adgrg4 description:adhesion G protein-coupled receptor G4 [Source:MGI Symbol;Acc:MGI:2685213] TATPLPTQTVTEHSYLGKTRTSKRVEAMATEIFHSATATDLIDTSVFTKNYTVSETSTTK SKSAVGKTTLFLNESTSIAPTPCPKHKSTDVAILHTSKSGQEFLVSSAARTVSWSTLEET SPITTDVGIVSTFPPESLLTSTASPVSSTFPEIQLASTLSTTDSEMASTVHSVLPMQ >ENSMUSP00000120213.1 pep:known chromosome:GRCm38:8:71908635:71932495:1 gene:ENSMUSG00000066880.15 transcript:ENSMUST00000131544.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp617 description:zinc finger protein 617 [Source:MGI Symbol;Acc:MGI:2684459] MESVTFEDVAVNFTLEEWTLLNPSQENLYRAVMRETIRNLHDIGQKLGEQNTENAYKNPG GNLRYQVVEKLCEYEEDSQWEEIFSEIPDPVVSQKTGEKPCESHVYGEDITGHSSLNVSL RGQTRCKTHEYKEHGEKPCKCKDSGVAFGSPQSFLKHEQIYTQEKPYACKQCDKAFKYLS SLQNHKRIHNRERNHACKQCGKSFKRQSNVQAHERNHTGEKP >ENSMUSP00000112926.1 pep:known chromosome:GRCm38:8:71922852:71934629:1 gene:ENSMUSG00000066880.15 transcript:ENSMUST00000119003.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp617 description:zinc finger protein 617 [Source:MGI Symbol;Acc:MGI:2684459] MESVTFEDVAVNFTLEEWTLLNPSQENLYRAVMRETIRNLHDIGQKLGEQNTENAYKNPG GNLRYQVVEKLCEYEEDSQWEEIFSEIPDPVVSQKTGEKPCESHVYGEDITGHSSLNVSL RGQTRCKTHEYKEHGEKPCKCKDSGVAFGSPQSFLKHEQIYTQEKPYACKQCDKAFKYLS SLQNHKRIHNRERNHACKQCGKSFKRQSNVQAHERNHTGEKPYVCKHCGKAYTSYSTLRA HERSHTGEKPYVCKHCGKAYTSYSTLRAHERSHTGEKPYVCKHCGKAYTTYNTLRAHERS HTGEKPYVCKHCGKAYTSYSTLRAHERSHTGEKPYVCKHCGKAFTQSSYLRIHKRTHTGE KPYICKQCGKAFARSSHLQIHKRSHTGEKPYVCKQCGKAFAQSSYLHIHQRSHTGEKPYV CKQCGKAFTRSSHLQIHKITHTGEKPYSCKLCGKAFTHSNYLQIHKRIHTGEKPYVCKEC GKAFARSTSLHIHEGTHSGEKPYVCKQCGKAFTLSSSLRRHDVVHSEEKPYICKCCGKTF GCYSSVQKHEKTHRENRLC >ENSMUSP00000092702.2 pep:known chromosome:GRCm38:4:49447109:49451137:-1 gene:ENSMUSG00000070985.3 transcript:ENSMUST00000095086.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acnat1 description:acyl-coenzyme A amino acid N-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2140197] MMIKLIATPSNALVDEPVSIRATGLPPSQIVTIKATVKDENDNVFQSQAFYKTNEAGEVD LEKTPALGGDYVGVHPMGLFFSLKPKKAFHRLMKKDVMNSPFCICLDLYDSVNWLETVRI PSKASQRVQRWFVGPGVKREQIQEGRVRGALFLPPGKGPFPGIIDLFGVIGGLVEFRASL LASHGFAVLALAYFAYKDLPEKLQEVDLEYFEEAANFLLSHPKIQQPGIGVISTSKGAEI GLAMACYLKQVIATVCINGATTTTAVPLRYQDLVVTPIQQALERMEVHVSGAVCFRHTTQ YLQNKNILPVEKAQGKILFIVGENDELLDSKLHAQRAMDRLRRHGRSSGRMLAYPGAGHL IEPPYSPLCFASWQPVLGRPMCFGGDLMAHAAAQEHSWREIQKFFRKHLLQSGSKL >ENSMUSP00000103325.1 pep:known chromosome:GRCm38:4:49447105:49451134:-1 gene:ENSMUSG00000070985.3 transcript:ENSMUST00000107697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acnat1 description:acyl-coenzyme A amino acid N-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2140197] MMIKLIATPSNALVDEPVSIRATGLPPSQIVTIKATVKDENDNVFQSQAFYKTNEAGEVD LEKTPALGGDYVGVHPMGLFFSLKPKKAFHRLMKKDVMNSPFCICLDLYDSVNWLETVRI PSKASQRVQRWFVGPGVKREQIQEGRVRGALFLPPVEFRASLLASHGFAVLALAYFAYKD LPEKLQEVDLEYFEEAANFLLSHPKIQQPGIGVISTSKGAEIGLAMACYLKQVIATVCIN GATTTTAVPLRYQDLVVTPIQQALERMEVHVSGAVCFRHTTQYLQNKNILPVEKAQGKIL FIVGENDELLDSKLHAQRAMDRLRRHGRSSGRMLAYPGAGHLIEPPYSPLCFASWQPVLG RPMCFGGDLMAHAAAQEHSWREIQKFFRKHLLQSGSKL >ENSMUSP00000121168.1 pep:known chromosome:GRCm38:4:49450966:49473912:-1 gene:ENSMUSG00000070985.3 transcript:ENSMUST00000135976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acnat1 description:acyl-coenzyme A amino acid N-acyltransferase 1 [Source:MGI Symbol;Acc:MGI:2140197] MMIKLIATPSNALVDEPVSIRATGLPPSQIVTIKATVKDENDNVFQSQ >ENSMUSP00000023747.7 pep:known chromosome:GRCm38:15:99422035:99457748:-1 gene:ENSMUSG00000023009.14 transcript:ENSMUST00000023747.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5l description:NCK-associated protein 5-like [Source:MGI Symbol;Acc:MGI:3609653] MDQPAGGTGKLRASAGEDDSMELSTCQELLHRLRELEAENSALAQANENQRETYERCLDE VANHVVQALLNQKDLREECIKLKKRVFDLERQNQVLSALLQQKLQLTANSLPQIPLTPLQ PPSERPTSPAPNVSEGPATSLPSGLCAGQREVCWEQQLRPGGPGPPATPPPALDALSPFL RKKAQILEVLRALEETDPLLLCSPATPWRPTGQGPGSPEPINGEPCGPPQPEPSPWAPYL LLGPGSLGALLHWERVLGGPGEEEGIRQPWASSRAPPSAQGPSSGPHCAPGSSSSSSSDE AGDPNEAPSPDTLLGALARKQLNLGQLLGDTETYLQAFLAGATGPLSGDQPGPGKPNSPD PGPPQVSKSKGLPKSAWGASTPEATRLGFGATSEGQGPLPFLSMFMGAGDAPLGSRPGHP HSSSQVKSKLQIGPPSPGDAQGPLLPSPARGLKFLKLPPASEKVPSPGGPQLSPQLPRSS RIPCRNSGSDGSPSPLLARRGLGGGELSPEGAQGLPGSPLPCSAMPDSAQLRPSQSTVST ALSPGPVVSPCFENILDLSRSTFRGSPPEPPPSPLQVPTYPQLTLEVPQTPEVLRSPGAP SPGLPESCPYSGPQEKSMDRAGSESPHASRRTPGGSSKKPGQGSGRRPGDPSHTPLRDRL AALGKLKTGPEGPLGPEKNGVPARSSAEKARALVRSGECAGDVPPSARPLEQPEAKGIFR GAVALGTSSLKQQEPGLTDPGARVYSSHSMGARVDLEPISPRSCLTKVELAKSRLAGALC PQMPRTPAKVPTSAPSLGKPKSPHSSPTKLPSKSPTKVVPRPVVPLGTKEPPKPDKVKGP PWADCGSTVGQPTSPVAGPADPSQGSEGPAPHSAIEEKVMKGIEENVLRLQGQERTPGSE AKHRNTSSIASWFGLKKSKLPALNRRTEATKNKDGAGGGSPLRKEVKTEARKLEAESLNI SKLMAKAEDLRRALEEEKAYLSRARPRPGGPATVPSPGLGQAQGQLAGMYQGADTFMQQL LNRVDGKELPPKSWREPKPEYGDFQPVSTDPKSPWPACGPRNGLVGPLQGCGKPGKPSSE PGRREEMPSEDSLAEPVSTTHFTACGSLTRTLDSGIGTFPPPDHSSSGTPSKNLPKTKSL RLDPPPGAPPARPPGLTKVPRRAHTLEREVPGIEELLVSGRHPSMPAFPGLLTAPPGHRS HQTCPDDPCEDPGPPPPVQLAKNWTFPNTRTAGSSSDPFLCPPRQLEGLPRTPMALPVDR KQSVDPSRTSTPQGPAFGGSRTPSTSDMGEEGRVASGGAPGLETSESLSDSLYDSLSSCG SQG >ENSMUSP00000123858.1 pep:known chromosome:GRCm38:15:99429421:99457712:-1 gene:ENSMUSG00000023009.14 transcript:ENSMUST00000161948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5l description:NCK-associated protein 5-like [Source:MGI Symbol;Acc:MGI:3609653] MDQPAGGTGKLRASAGEDDSMELSTCQELLHRLRELEAENSALAQANENQRETYERCLDE VANHVVQALLNQKDLREECIKLKKRVFDLERQNQVLSALLQQKLQLTANSLPQIPLTPLQ PPSERPTSP >ENSMUSP00000125080.1 pep:known chromosome:GRCm38:15:99423615:99424537:-1 gene:ENSMUSG00000023009.14 transcript:ENSMUST00000161004.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckap5l description:NCK-associated protein 5-like [Source:MGI Symbol;Acc:MGI:3609653] XLCACVRRGLNRVDGKELPPKSWREPKPEYGDFQPVSTDPKSPWPACGPRNGLVGPLQGC GKPGKPSSEPGRREEMPSEDSLAEPVSTTHFTACGSLTRTLDSGIGTFPPPD >ENSMUSP00000031364.1 pep:known chromosome:GRCm38:5:92284010:92310024:-1 gene:ENSMUSG00000029415.4 transcript:ENSMUST00000031364.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdad1 description:SDA1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2140779] MSGRNNNKLPSNLPQLQNLIKRDPPAYVEEFLQQYNHYKSNMEIFKLQPNKPSKELAELV MFMAQIGQCYPEHLSNFPQELKDLLSYNHTVLDPDLRMTFCKALILLRNKNLINPSSLLE LFFELLRCHDKLLRKTLYTHIVTDIKNINAKHKNNKVNVVLQNFMYTMLRDSNATAAKMS LDVMIELYRRNIWNDAKTVNVITTACFSKITKILVAALTFFLGKDEEEKQDSDSESEEDG PTARDLLVQYATGKKGSKNKKKLEKAMKVLKKQKKKKKPEVFNFSAIHLIHDPQDFAEKL LKQLESCKERFEVKMMLMNLISRLVGIHELFLFNFYPFVQRFLQPHQREVTKILLFAAQA SHHLVPPEIIQSLLVTVANNFVTDKNSGEVMTVGINAIKEITARCPLAMTEELLQDLAQY KTHKDKNVMMSARTLIHLFRTLNPQMLQKKFRGKPTEASIEARIQEYGELDAKDYIPGAE VLELEKGDNTEDDEDGWESASLSEEEEEDGEWVDVHHSSDEEQQAIATKLDSMPMEERKA KAAAISTSRVLTQDDFQKIRMAQMKKEMDAAPGKAQKRKYLEIDSDEESRGELLSLRDIE RLHKKPKSDKETRLATAMAGRTDRKEFVRKKTKINPFSSSTNKEKKKQKNFMMMRYSQNV RSKTARSFREKQLALRDALLKKRKRMK >ENSMUSP00000144446.1 pep:known chromosome:GRCm38:5:92286741:92309894:-1 gene:ENSMUSG00000029415.4 transcript:ENSMUST00000201143.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdad1 description:SDA1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2140779] MSGRNNNKLPSNLPQLQNLIKRDPPAYVEEFLQQYNHYKSNMEIFKLQPNKPSKELAELV MFMAQIGQCYPEHLSNFPQELKDLLSYNHTVLDPDLRMTFCKALILLRNKNLINPSSLLE LFFELLRCHDKLLRKTLYTHIVTDIKNINAKHKNNKVNVVLQNFMYTMLRDSNATAAKMS LDVMIELYRRNIWNDAKTVNVITTACFSKITKILVAALTFFLGKDEEEKQDSDSESEEDG PTARDLLVQYATGKKGSKNKKKLEKAMKVLKQKKKKKPEVFNFSAIHLIHDPQDFAEKLL KQLESCKERFEVKMMLMNLISRLVGIHELFLFNFYPFVQRFLQPHQREVTKILLFAAQAS HHLVPPEIIQSLLVTVANNFVTDKNSGEVMTVGINAIKEITARCPLAMTEELLQDLAQYK THKDKNVMMSARTLIHLFRTLNPQMLQKKFRGKPTEASIEARIQEYGELDAKDYIPGAEV LELEKGDNTEDDEDGWESASLSEEEEEDGEWVDVHHSSDEEQQAIATKLDSMPMEERKAK AAAISTSRVLTQDDFQKIRMAQMKKEMDAAPGKAQKRKYLEIDSDEESRGELLSLRDIER LHKKPKSDKETRLATAMAGRTDRKEFVRKKTKINPFSSSTNKEKKKQKNFMMMRYSQNVR SKTARSFREKQLALRDALLKKRKRMK >ENSMUSP00000144014.1 pep:known chromosome:GRCm38:5:92305735:92310479:-1 gene:ENSMUSG00000029415.4 transcript:ENSMUST00000202870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sdad1 description:SDA1 domain containing 1 [Source:MGI Symbol;Acc:MGI:2140779] MSGRNNNKLPSNLPQLQNLIKRDPPAYVEEFLQQYNHYKSNMEIFKLQPNKPSKELAELV MFMA >ENSMUSP00000031690.5 pep:known chromosome:GRCm38:6:24733245:24745452:1 gene:ENSMUSG00000029679.7 transcript:ENSMUST00000031690.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal6 description:hyaluronoglucosaminidase 6 [Source:MGI Symbol;Acc:MGI:1921659] MFIQWVTQLGELVLFVLLVAPAALKPAMPPVIKDHPFNVFWAAPTLFCKDNFNVNMNLQV FNIIPNPFETQSGSTITVFYPKELGYYPYFSEDGTSFYGGIPQKVNLSEHLRKSAGDIAD AVTLWRSEGLAVIDWEGWRPQWDRNWGSRMIYKNHSLAFTRHHHPDWAETKVRTAAQKEF ENAGRSFMNVTLTLALEMRPKRLWGFYLYPDCYNYDYRINPEFYTGSCPDDEIFRNDQLM WLWEKSAALYPSIYLSKILKSNLNALKFVHFRVREALRVAEMARKDYVLPVFIFSRPFYL QSIEALSEEDLVHTIGESAALGAAGIILWGGYEYSDTKETCLSVRQTVHGLLGPYVLNVT SAAKLCSQNLCNSHGRCVRKTPESSFYLHMPEDSHKNYVSKKGFRFVIPSPSKLKTIMNM KNGFVCHCYYGWHGDSCRSHSPNLQKNKAPASGLNSAVIVGMALFVILMNYFPIPYYNGN FSLKPLKRREIIFL >ENSMUSP00000141529.1 pep:known chromosome:GRCm38:3:45378398:45383035:1 gene:ENSMUSG00000049100.15 transcript:ENSMUST00000193252.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh10 description:protocadherin 10 [Source:MGI Symbol;Acc:MGI:1338042] MIVLLFFALLWMVDGVFSQLHYTVQEEQEHGTFVGNIAEDLGLDITKLSARRFQTVANSR TPYLDLNLETGVLYVNEKIDREQICKQSPSCVLHLEVFLENPLELFRVEIEVLDINDNPP SFPEPDLTVEISESATPGTRFPLESAFDPDVGTNSLRDYEITPNSYFSLDVQTQGDGNRF AELVLEKPLDREQQAVHRYVLTAVDGGGGGGGGEGGGGGGGAGLPPQQQRTGTALLTIRV LDSNDNVPAFDQPVYTVSLPENSPPGTLVIQLNATDPDEGQNGEVVYSFSSHISPRAREL FGLSPRTGRLEVSGELDYEESPVYQVYVQAKDLGPNAVPAHCKVLVRVLDANDNAPEISF STVKEAVSEGAAPGTVVALFSVTDRDSEENGQVQCELLGDVPFRLKSSFKNYYTIVTEAP LDREAGDSYTLTVVARDRGEPALSTSKSIQVQVSDVNDNAPRFSQPVYDVYVTENNVPGA YIYAVSATDRDEGANAKLTYSILECQIQGMSVFTYVSINSDNGYLYALRSFDYEQIKDFS FQVEARDAGSPQALAGNATVNILIVDQNDNAPAIVAPLPGRNGTPAREVLPRSAEPGYLL TRVAAVDADDGENARLTYSIVRGNEMNLFRLDWRTGELRTARRVPAKRDPQRPYELVIEV RDHGQPPLSSTATLVVQLVDGAVEPQGGGGDRGGGSGEHLRPSRSGGGETSLDLTLILII ALGSVSFIFLLAMIVLAVRCQKEKKLNIYTCLASDCCLCCCCCGNGSSTCCGRQARARKK KLSKSDIMLVQSANVPSNPAQVPVEESGGFGSHHHNQNYCYQVCLTPESAKTDLMFLKPC SPSRSTDAEHNPCGAIVTGYSDQQPDIISNGSILSNEVRLKGKKHHLSSPPSESLL >ENSMUSP00000131600.1 pep:known chromosome:GRCm38:3:45378406:45402645:1 gene:ENSMUSG00000049100.15 transcript:ENSMUST00000171554.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh10 description:protocadherin 10 [Source:MGI Symbol;Acc:MGI:1338042] MIVLLFFALLWMVDGVFSQLHYTVQEEQEHGTFVGNIAEDLGLDITKLSARRFQTVANSR TPYLDLNLETGVLYVNEKIDREQICKQSPSCVLHLEVFLENPLELFRVEIEVLDINDNPP SFPEPDLTVEISESATPGTRFPLESAFDPDVGTNSLRDYEITPNSYFSLDVQTQGDGNRF AELVLEKPLDREQQAVHRYVLTAVDGGGGGGGGEGGGGGGGAGLPPQQQRTGTALLTIRV LDSNDNVPAFDQPVYTVSLPENSPPGTLVIQLNATDPDEGQNGEVVYSFSSHISPRAREL FGLSPRTGRLEVSGELDYEESPVYQVYVQAKDLGPNAVPAHCKVLVRVLDANDNAPEISF STVKEAVSEGAAPGTVVALFSVTDRDSEENGQVQCELLGDVPFRLKSSFKNYYTIVTEAP LDREAGDSYTLTVVARDRGEPALSTSKSIQVQVSDVNDNAPRFSQPVYDVYVTENNVPGA YIYAVSATDRDEGANAKLTYSILECQIQGMSVFTYVSINSDNGYLYALRSFDYEQIKDFS FQVEARDAGSPQALAGNATVNILIVDQNDNAPAIVAPLPGRNGTPAREVLPRSAEPGYLL TRVAAVDADDGENARLTYSIVRGNEMNLFRLDWRTGELRTARRVPAKRDPQRPYELVIEV RDHGQPPLSSTATLVVQLVDGAVEPQGGGGDRGGGSGEHLRPSRSGGGETSLDLTLILII ALGSVSFIFLLAMIVLAVRCQKEKKLNIYTCLASDCCLCCCCCGNGSSTCCGRQARARKK KLSKSDIMLVQSANVPSNPAQVPVEESGGFGSHHHNQNYCYQVCLTPESAKTDLMFLKPC SPSRSTDAEHNPCGAIVTGYSDQQPDIISNGSILSNENKHQRAELSYLVDRPRRVNSSAF QEADIVSSKDSGHGDSEQGDSDHDVTNRGQSAGMDLFSNCTEECKALGHSDRCWMPSFVP SDGRQAADYRSNLHVPGMDSVPDTEVFEPPEVQPGAERSFSTFGKEKALHGTLERKELDG LLSNTRAPYKPPYLNHFHPLSCFAHWK >ENSMUSP00000131073.1 pep:known chromosome:GRCm38:3:45378425:45435623:1 gene:ENSMUSG00000049100.15 transcript:ENSMUST00000166126.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh10 description:protocadherin 10 [Source:MGI Symbol;Acc:MGI:1338042] MIVLLFFALLWMVDGVFSQLHYTVQEEQEHGTFVGNIAEDLGLDITKLSARRFQTVANSR TPYLDLNLETGVLYVNEKIDREQICKQSPSCVLHLEVFLENPLELFRVEIEVLDINDNPP SFPEPDLTVEISESATPGTRFPLESAFDPDVGTNSLRDYEITPNSYFSLDVQTQGDGNRF AELVLEKPLDREQQAVHRYVLTAVDGGGGGGGGEGGGGGGGAGLPPQQQRTGTALLTIRV LDSNDNVPAFDQPVYTVSLPENSPPGTLVIQLNATDPDEGQNGEVVYSFSSHISPRAREL FGLSPRTGRLEVSGELDYEESPVYQVYVQAKDLGPNAVPAHCKVLVRVLDANDNAPEISF STVKEAVSEGAAPGTVVALFSVTDRDSEENGQVQCELLGDVPFRLKSSFKNYYTIVTEAP LDREAGDSYTLTVVARDRGEPALSTSKSIQVQVSDVNDNAPRFSQPVYDVYVTENNVPGA YIYAVSATDRDEGANAKLTYSILECQIQGMSVFTYVSINSDNGYLYALRSFDYEQIKDFS FQVEARDAGSPQALAGNATVNILIVDQNDNAPAIVAPLPGRNGTPAREVLPRSAEPGYLL TRVAAVDADDGENARLTYSIVRGNEMNLFRLDWRTGELRTARRVPAKRDPQRPYELVIEV RDHGQPPLSSTATLVVQLVDGAVEPQGGGGDRGGGSGEHLRPSRSGGGETSLDLTLILII ALGSVSFIFLLAMIVLAVRCQKEKKLNIYTCLASDCCLCCCCCGNGSSTCCGRQARARKK KLSKSDIMLVQSANVPSNPAQVPVEESGGFGSHHHNQNYCYQVCLTPESAKTDLMFLKPC SPSRSTDAEHNPCGAIVTGYSDQQPDIISNGSILSNENKHQRAELSYLVDRPRRVNSSAF QEADIVSSKDSGHGDSEQGDSDHDVTNRGQSAGMDLFSNCTEECKALGHSDRCWMPSFVP SDGRQAADYRSNLHVPGMDSVPDTEVFEPPEVQPGAERSFSTFGKEKALHGTLERKELDG LLSNTRAPYKPPYLKMLWMQLRGEAHLTKDGGKQTTT >ENSMUSP00000132769.1 pep:known chromosome:GRCm38:3:45378427:45423711:1 gene:ENSMUSG00000049100.15 transcript:ENSMUST00000170695.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcdh10 description:protocadherin 10 [Source:MGI Symbol;Acc:MGI:1338042] MIVLLFFALLWMVDGVFSQLHYTVQEEQEHGTFVGNIAEDLGLDITKLSARRFQTVANSR TPYLDLNLETGVLYVNEKIDREQICKQSPSCVLHLEVFLENPLELFRVEIEVLDINDNPP SFPEPDLTVEISESATPGTRFPLESAFDPDVGTNSLRDYEITPNSYFSLDVQTQGDGNRF AELVLEKPLDREQQAVHRYVLTAVDGGGGGGGGEGGGGGGGAGLPPQQQRTGTALLTIRV LDSNDNVPAFDQPVYTVSLPENSPPGTLVIQLNATDPDEGQNGEVVYSFSSHISPRAREL FGLSPRTGRLEVSGELDYEESPVYQVYVQAKDLGPNAVPAHCKVLVRVLDANDNAPEISF STVKEAVSEGAAPGTVVALFSVTDRDSEENGQVQCELLGDVPFRLKSSFKNYYTIVTEAP LDREAGDSYTLTVVARDRGEPALSTSKSIQVQVSDVNDNAPRFSQPVYDVYVTENNVPGA YIYAVSATDRDEGANAKLTYSILECQIQGMSVFTYVSINSDNGYLYALRSFDYEQIKDFS FQVEARDAGSPQALAGNATVNILIVDQNDNAPAIVAPLPGRNGTPAREVLPRSAEPGYLL TRVAAVDADDGENARLTYSIVRGNEMNLFRLDWRTGELRTARRVPAKRDPQRPYELVIEV RDHGQPPLSSTATLVVQLVDGAVEPQGGGGDRGGGSGEHLRPSRSGGGETSLDLTLILII ALGSVSFIFLLAMIVLAVRCQKEKKLNIYTCLASDCCLCCCCCGNGSSTCCGRQARARKK KLSKSDIMLVQSANVPSNPAQVPVEESGGFGSHHHNQNYCYQVCLTPESAKTDLMFLKPC SPSRSTDAEHNPCGAIVTGYSDQQPDIISNGSILSNENKHQRAELSYLVDRPRRVNSSAF QEADIVSSKDSGHGDSEQGDSDHDVTNRGQSAGMDLFSNCTEECKALGHSDRCWMPSFVP SDGRQAADYRSNLHVPGMDSVPDTEVFEPPEVQPGAERSFSTFGKEKALHGTLERKELDG LLSNTRAPYKPPYLTRKRIC >ENSMUSP00000120070.1 pep:known chromosome:GRCm38:15:82256023:82260753:1 gene:ENSMUSG00000068099.4 transcript:ENSMUST00000143238.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1500009C09Rik description:RIKEN cDNA 1500009C09 gene [Source:MGI Symbol;Acc:MGI:1923755] MPHFLDWFVPVYLVISVLILVGFGACIYYFEPGLQEAHKWRMQRPLVDRDLRKTLMMRDN LAFGGPEV >ENSMUSP00000115748.1 pep:known chromosome:GRCm38:15:82252397:82260738:1 gene:ENSMUSG00000068099.4 transcript:ENSMUST00000136948.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1500009C09Rik description:RIKEN cDNA 1500009C09 gene [Source:MGI Symbol;Acc:MGI:1923755] MTDAESPGAKACAMPHFLDWFVPVYLVISVLILVGFGACIYYFEPGLQEAHKWRMQRPLV DRDLRKTLMMRDNLAFGGPEV >ENSMUSP00000104103.1 pep:known chromosome:GRCm38:11:74069955:74084090:1 gene:ENSMUSG00000069476.13 transcript:ENSMUST00000108463.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp616 description:zinc finger protein 616 [Source:MGI Symbol;Acc:MGI:3650906] MCSSQGALTFMDVAIEFSKEEWECLNSAQRALYRDVMLENYNNLVSVGVAVSKREVIICL EQNKEPWIVDAEETQVREPALSCEHTENLLQMVCTQNYFQKEITQVFGLNGLLDLYRKKF WEYRSGGEEHEICDYENYLLAKHICFNSEKNKGVLAIPERTQAESLPFLQLETTLAEFLQ ILKCNNVLHRNTKTLKSDIWVNKPTSLKHLSSSMGFNSTSILYEQRRYWRREKITEYHQC ERYFSKNSLQLPQQLNLLCDKFYHVGQHKKMSMYAVKLGTYHVEGSCGNSNRVNDSNMGY IKNPFLKYCQNNQHGEILYQGDVILYDSHHETILSKNEISKCISENHYNHDSRQAMSNHC SQSSLQQQDHTTWSLYKNDWQDDILNDSLILQVYNRMWTKGDFNKYTCDTYRNALIESLY LEKDNMKHIKRQLSQAPGYNKVLNFNSNVIQHDSNHNGKGIQKVKVHLYGIFNIQNLLRH SNLSV >ENSMUSP00000074365.5 pep:known chromosome:GRCm38:11:74069955:74087292:1 gene:ENSMUSG00000069476.13 transcript:ENSMUST00000074813.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp616 description:zinc finger protein 616 [Source:MGI Symbol;Acc:MGI:3650906] MCSSQGALTFMDVAIEFSKEEWECLNSAQRALYRDVMLENYNNLVSVGVAVSKREVIICL EQNKEPWIVDAEETQLCLVNILRIYYKWCVHKIIFKKK >ENSMUSP00000112245.2 pep:known chromosome:GRCm38:11:74070185:74083039:1 gene:ENSMUSG00000069476.13 transcript:ENSMUST00000116546.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp616 description:zinc finger protein 616 [Source:MGI Symbol;Acc:MGI:3650906] MCSSQGALTFMDVAIEFSKEEWECLNSAQRALYRDVMLENYNNLVSVGVAVSKREVIICL EQNKEPWIVDAEETQLCLVNILRIYYKWCVHKIIFKKK >ENSMUSP00000136549.1 pep:known chromosome:GRCm38:11:74082907:74085870:1 gene:ENSMUSG00000069476.13 transcript:ENSMUST00000178159.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp616 description:zinc finger protein 616 [Source:MGI Symbol;Acc:MGI:3650906] MVCTQNYFQKEITQVFGLNGLLDLYRKKFWEYRSGGEEHEICDYENYLLAKHICFNSEKN KGVLAIPERTQAESLPFLQLETTLAEFLQILKCNNVLHRNTKTLKSDIWVNKPTSLKHLS SSMGFNSTSILYEQRRYWRREKITEYHQCERYFSKNSLQLPQQLNLLCDKFYHVGQHKKM SMYAVKLGTYHVEGSCGNSNRVNDSNMGYIKNPFLKYCQNNQHGEILYQGDVILYDSHHE TILSKNEISKCISENHYNHDSRQAMSNHCSQSSLQQQDHTTWSLYKNDWQDDILNDSLIL QVYNRMWTKGDFNKYTCDTYRNALIESLYLEKDNMKHIKRQLSQAPGYNKVLNFNSNVIQ HDSNHNGKGIQKVKVHLYGIFNIQNLLRHSNLSVEEKPSKYKTPEKCSNKSSGLMVFQAR GKPWKCVQCGKSFAQYSALQNPHRICTVKKLYSCKECLKSFTWFSKLQAHHRIHTTQELY KSNKCGESFTQSSNLQVYQRIHHTGDKPYKCNECGKYFTHSSSLKDHQRIHARHKPYKCN NCGKSFIKSSDLKGHYRIHTGDKPYKCNNCRKSFTQSSGLKRHYRIHTGDKPYKCNECGK YFTQSSNLQVHQRIHTKDKPYKCNECGKYFAHSSSLKVHHRIHTRNKTYKCNNCGKSFIR SSDLKRHYRIHTGNKPYKCNDCGKYFTHSSSLKGHHRIHTRDKPYKCNNCGKSFRQSSSL KRHYRIHTGDKPYKCNECGKYFACSSSLKRHHRIHTGDKPYKCNECGKYFAQSSNLQVHQ RIHTKDKPYKCNECGKYFARSSSLKNHHRIHTGDKPYKCNECGKYFAQSSNLQVHQRIHT KDKPYKCNECGKYFAQYSNLQVHQRIHTKDKPYKCNECGKYFARSSSLKDHHRIHTGDKP YKCNECGKYFAQSSNLQVHQRIHTKDKPYKCNECGKYFAQYSNLQVHQRIHTGDKPYKCN ECGKYFASSSNLKVHHRIHTGYKPLQM >ENSMUSP00000049168.5 pep:known chromosome:GRCm38:16:87433407:87440573:-1 gene:ENSMUSG00000041079.11 transcript:ENSMUST00000039101.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rwdd2b description:RWD domain containing 2B [Source:MGI Symbol;Acc:MGI:1858215] MVEMEQAEAQLSELDLLASMFPSENELIVNDQLALAELKDCIEKRTMEGRSSQVYFTINV SLDLSEAAVVTFSLSCILPFKYPTVLPEITVRSVSLSRSQQTQLNTDLIAYLQKNCLGDV CILNATEWVKEHAFDYVNIEALPSPARQSTAQPEDLAFTRLWIYSHHIYNKCKRRNILEW AKELSLTGFSMPGKPGVVCVEGPQSACEEFWSRLRKLNWKRILIRHREDIPLDGTAGGME GQRKFPILEEKAFSVHGARGNHMDFGQLYQFLNARGCGDVFQMFFGVEGQ >ENSMUSP00000103857.2 pep:known chromosome:GRCm38:4:24496451:24602950:1 gene:ENSMUSG00000045751.16 transcript:ENSMUST00000108222.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mms22l description:MMS22-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:2684980] MDGCSAASTFLTDSLELELGTEWCKPPCFSCAFDNREGKFSGESYLASGALKRLILNLDP LPTNFEEDTVELFGFQWVTETALVYSCRELFHLFRQQIFNLESLVQVSCDFGKIATLHAK ADSIRQQCVVFLHYIKVFIFRCLKVQEAESHSRPAHPYEALEAQLPSMLVDELRGLLLYI GHLAALPSVTVGAFVNQNQMKLFPPSWHLLHLYLDTHWLVLEILHILGEKLKQVVYGRQF IGQAGDNLTNVSLFEEHCEHLFCDLICLSLNRFDKVMPSEALLISHCPCSCVKELWVLLI HLLDHRRKWSVADSFWNWLNKLLRTLFEKSSDQRRSSVSLTQAKDPLGFSWWISVHVASL YQIDRHGVSDKMKQMESNWSFIEELLKRSVTVQDSILEEQLRMHLHCCLTLCDFWEPNIS VVTILWEYYSKNLNSSFSISWLPLKGLTNIIKSPLSMLTLVRNCCSDKQDPDLYKSSSSY IIFLCILAKVVKKAMRTSGPHPWKQVKGRIYSKFHQKRMEELTEVGLQNFFSLFLLLAAV AEIEDVASHVLDLLRFLRPASMSSHGALVWKGQMAFLLMYAQKNLDIGVWAEKLSCEFQE KAKEFLVSKNDEMVQRHALWTLLCIYIDGVQEVFETSSCLYPSHEHLLNDGFSMLLPACR ESELRTVLNFLQAVLARIRSVHQQLCQELQRENVDLTVQSSLSAKERPLAAVAGALWRHF FSFLKSQRMTQVVPLSQLADAAADFTLLAVDMPNTAPPDLQPQPVISIIQLFGWDDIIWP QVVARYLSHLLQNSTVYEALSQSSCVSSQSLTIRSWVRCVLQMHIKHLSDPDLLIDVNPE QAVEKEYMEQLAEMTRLLFTLSEVKSVFSKAQIEQLPSPDDPKQALIQFLEAVGVTYRTL QTFSDKSAMVTKSLEYLGEVLKYIKPYLGKKVSSAGLQLTYGIMGILVKSWAHIFATSKA QKLLFRIIDCLLLPHTVLQQDKELPGPMLTAIQKTLPLYLQGICIVCCQSQNPNAYLNQL LRNVIEQYIGRFLPTSPCVSDLGQHPVLLALRNPASVPSMTPLRKHTVHAIRKSYLEFKG SSPPPRLASVLAFVLQLFKDTEMGACDLELLLPGILKCLVLVNEPQVKKLATENLQCMVQ TCQVGSEGGPATQLTSLFRQFIQDYGMQYSYQVYSILETVATLNQHVVIQLIPTLTQSLK DSELKWGLGRNIAQREAYSRLLSGLGQVGQGEKQRLEK >ENSMUSP00000134736.1 pep:known chromosome:GRCm38:4:24496462:24503413:1 gene:ENSMUSG00000045751.16 transcript:ENSMUST00000138567.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mms22l description:MMS22-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:2684980] MDGCSAASTFLTDSLELELGTEWCKPPCFSCAFDNREGKFSGESYLASGALKRLILNLDP LPTNFEEDTVELFGFQWVTETALVYSCRELFHLFRQQIFNLESLVQVSCDFGKIATLHAK ADSIRQQCVVFLHYIKVFIFRCLKVQEAESHSRPAHPYEALEAQLPSMLVDELRGLLLYI GHLAALPSVTVGAFVNQNQMKV >ENSMUSP00000057715.6 pep:known chromosome:GRCm38:4:24496544:24602946:1 gene:ENSMUSG00000045751.16 transcript:ENSMUST00000050446.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mms22l description:MMS22-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:2684980] MDGCSAASTFLTDSLELELGTEWCKPPCFSCAFDNREGKFSGESYLASGALKRLILNLDP LPTNFEEDTVELFGFQWVTETALVYSCRELFHLFRQQIFNLESLVQVSCDFGKIATLHAK ADSIRQQCVVFLHYIKVFIFRCLKVQEAESHSRPAHPYEALEAQLPSMLVDELRGLLLYI GHLAALPSVTVGAFVNQNQMKLFPPSWHLLHLYLDTHWLVLEILHILGEKLKQVVYGRQF IGQAGDNLTNVSLFEEHCEHLFCDLICLSLNRFDKVMPSEALLISHCPCSCVKELWVLLI HLLDHRRKWSVADSFWNWLNKLLRTLFEKSSDQRRSSVSLTQAKDPLGFSWWISVHVASL YQIDRHGVSDKMKQMESNWSFIEELLKRSVTVQNSSFSISWLPLKGLTNIIKSPLSMLTL VRNCCSDKQDPDLYKSSSSYIIFLCILAKVVKKAMRTSGPHPWKQVKGRIYSKFHQKRME ELTEVGLQNFFSLFLLLAAVAEIEDVASHVLDLLRFLRPASMSSHGALVWKGQMAFLLMY AQKNLDIGVWAEKLSCEFQEKAKEFLVSKNDEMVQRHALWTLLCIYIDGVQEVFETSSCL YPSHEHLLNDGFSMLLPACRESELRTVLNFLQAVLARIRSVHQQLCQELQRENVDLTVQS SLSAKERPLAAVAGALWRHFFSFLKSQRMTQVVPLSQLADAAADFTLLAVDMPNTAPPDL QPQPVISIIQLFGWDDIIWPQVVARYLSHLLQNSTVYEALSQSSCVSSQSLTIRSWVRCV LQMHIKHLSDPDLLIDVNPEQAVEKEYMEQLAEMTRLLFTLSEVKSVFSKAQIEQLPSPD DPKQALIQFLEAVGVTYRTLQTFSDKSAMVTKSLEYLGEVLKYIKPYLGKKVSSAGLQLT YGIMGILVKSWAHIFATSKAQKLLFRIIDCLLLPHTVLQQDKELPGPMLTAIQKTLPLYL QGICIVCCQSQNPNAYLNQLLRNVIEQYIGRFLPTSPCVSDLGQHPVLLALRNPASVPSM TPLRKHTVHAIRKSYLEFKGSSPPPRLASVLAFVLQLFKDTEMGACDLELLLPGILKCLV LVNEPQVKKLATENLQCMVQTCQVGSEGGPATQLTSLFRQFIQDYGMQYSYQVYSILETV ATLNQHVVIQLIPTLTQSLKDSELKWGLGRNIAQREAYSRLLSGLGQVGQGEKQRLEK >ENSMUSP00000133658.1 pep:known chromosome:GRCm38:4:24497896:24529163:1 gene:ENSMUSG00000045751.16 transcript:ENSMUST00000172622.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mms22l description:MMS22-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:2684980] FGFQWVTETALVYSCRELFHLFRQQIFNLESLVQVSCDFGKIATLHAKADSIRQQCVVFL HYIKVFIFRCLKVQEAESHSRPAHPYEALEAQLPSMLVDELRGLLLYIGHLAALPSVTVG AFVNQNQMKLFPPSWHLLHLYLDTHWLVLEILHILGEKLKQVVYGRQFIGQAGDNLTNVS LFEEHCEHLFCDLICLSLNRFDKSFWNWLNKLLRTLFEKSSDQRRSSVSLTQAKDPLGFS WWISVHVASLYQIDRHGVSDKMKQMESNWSFIEELLKRSVTVQDSILEEQLRMHLHCCLT LCDFWEPNISVVTILWEYYSKNL >ENSMUSP00000133800.1 pep:known chromosome:GRCm38:4:24507434:24599984:1 gene:ENSMUSG00000045751.16 transcript:ENSMUST00000131282.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mms22l description:MMS22-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:2684980] XFDKVMPSEALLISHCPCSCVKELWVLLIHLLDHRRKWSVADSFWNWLNKLLRTLFEKSS DQRRSSVSLTQAKDPLGFSWWISVHVASLYQIDRHGVSDKMKQMESNWSFIEELLKRSVT VQDSILEEQLRMHLHCCLTLCDFWEPNISVVTILWEYYSKNLNSSFSISWLPLKGLTNII KSPLSMLTLVRNCCSDKQDPDLYKSSSSYIIFLCILAKVVKKAMRTSGPHPWKQVKGRIY SKFHQKRMEELTEVGLQNFFSLFLLLAAVAEIEDVASHVLDLLRFLRPASMSSHGALVWK GQMAFLLMYAQKNLDIGVWAEKLSCEFQEKAKEFLVSKNDEMVQRHALWTLLCIYIDGVQ EVFETSSCLYPSHEHLLNDGFSMLLPACRESELRTVLNFLQAVLARIRSVHQQLCQELQR ENVDLTVQSSLSAKERPLAAVAGALWRHFFSFLKSQRMTQVVPLSQLADAAADFTLLAVD MPNTAPPDLQPQPVISIIQLFGWDDIIWPQVVARYLSHLLQNSTVYEALSQSSCVSSQSL TIRSWVRCVLQMHIKHLSDPDLLIDVNPEQAVEKEYMEQLAEMTRLLFTLSEVKSVFSKA QIEQLPSPDDPKQALIQFLEAVGVTYRTLQTFSDKSAMVTKSLEYLGEVLKYIKPYLGKK VSSAGLQLTYGIMGILVKSWAHIFATSKAQKLLFRIIDCLLLPHTVLQQDKELPGPMLTA IQKTLPLYLQEILP >ENSMUSP00000134140.1 pep:known chromosome:GRCm38:4:24536348:24574795:1 gene:ENSMUSG00000045751.16 transcript:ENSMUST00000154496.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mms22l description:MMS22-like, DNA repair protein [Source:MGI Symbol;Acc:MGI:2684980] HLLNDGFSMLLPACRESELRTVLNFLQAVLARIRSVHQQLCQELQRENVDLTVQSSLSAK ERPLAAVAGALWRHFFSFLKSQRMTQVVPLSQLADAAAGQH >ENSMUSP00000117156.1 pep:known chromosome:GRCm38:19:5071035:5085487:-1 gene:ENSMUSG00000061451.13 transcript:ENSMUST00000140389.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem151a description:transmembrane protein 151A [Source:MGI Symbol;Acc:MGI:2147713] MPEGEGGDCGEVPALVPDGEPLREEGADYSLPEVMGRSFRPPSQQTVSFQKWCLRPTLSG KPGDLPPFHPSIPRPLDCLSEVKAGKDLAGASGLS >ENSMUSP00000121084.1 pep:known chromosome:GRCm38:19:5071854:5085487:-1 gene:ENSMUSG00000061451.13 transcript:ENSMUST00000151413.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem151a description:transmembrane protein 151A [Source:MGI Symbol;Acc:MGI:2147713] MPEGEGGDCGEVPALVPDGEPLREEMRPRDGSQAVKLGSGRLYLSSGLKRASLGGSDLKG ADYSLPEVMGRS >ENSMUSP00000076321.7 pep:known chromosome:GRCm38:19:5079330:5085531:-1 gene:ENSMUSG00000061451.13 transcript:ENSMUST00000077066.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem151a description:transmembrane protein 151A [Source:MGI Symbol;Acc:MGI:2147713] MPEGEGGDCGEVPALVPDGEPLREEQRPLKQSLGGSLCRESHWKCLLLTLLIHACGAVVA WCRLATVPRLVLGPEAALARGAGGPPPTYPASPCSDGYLYIPLAFVSLLYLLYLAECWHC HVRSCQAPRTDANTVLALIHRLQQAPPCVWWKATSYHYVRRTRQITRYRNGDAYTTTQVY HERADSRTARGEFDYSAHGVRDVSKELVGLADHAATRLRFTKCFSFGSAEAEASYLTQRA RFFSANEGLDDYLEAREGMHLKDVDFRESLMVFADPRSPPWYARAWVFWLVSAATLSWPL RVVAAYGTAHVHYQVEKLFGASSPPPGAVPSGPPLSRVATVDFTELEWHICSNRQLVPSY SEAVVMGASSGAYLRGCQRCRRSVSSNSLPPARPSGPRLPFSRSRLSLGAGGRTTPGVFR SLSGGPLGRRGEDTEPLESPPCYEDALYFPVLIVHGDSGCRGDGQGAL >ENSMUSP00000020643.3 pep:known chromosome:GRCm38:11:50389286:50431125:-1 gene:ENSMUSG00000020375.9 transcript:ENSMUST00000020643.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rufy1 description:RUN and FYVE domain containing 1 [Source:MGI Symbol;Acc:MGI:2429762] MAARGECRRAGQDSEPEPEREPESEPGPEPEPQAGLESGEAFEIVDRSQLPSPGELRSAS RPRVADSWSAPILTLARRATGNLSASCGSALRAAAGLGDGGGGGERAASKGQMMEERANL MHMMKLSIKVLLQSALSLGRSLDADYAPLQQFFVVMEHCLKHGLKVKKSFIGQNKSFFGP LELVEKLCPEASDIATSVRNLPELKTAVGRGRAWLYLALMQKKLADYLKVLIDNKQLLSE FYEPEALMMEEEGMVIVGLLVGLNVLDANLCLKGEDLDSQVGVIDFSLCLKDAQDLDSGR EHERITDVLDQKNYVEELNRHLSCTVGDLQTKIDGLEKTNSKLQEELSAATDRICSLQKE QQQLREQNEVIRERSEKSVEITKQDTKVELETYKQTRQGLDEMYSDVWKQLKEEKKVRLE LEKELELQIGMKTEMEIAMKLLEKDTHEKQDTLVALRQQLEEVKAINLQMFHKVQSAESS LQQKNEAIASFEGKTTQVMSSMKQMEERLQQAERARQAAEERSHKLQQELSGRGSALQLQ LSQLRDQCSGLEKELKSEKEQRQALQRELQREKDTSCLLQTELQQVEGLKKELRELQDEK AELRKVCEEQEQALQEMGLHLSQSKLKMEDIKEVNKALKGHTWLKDDEATHCKQCEKDFS ISRRKHHCRNCGHIFCNTCSSNELALPSYPKPVRVCDSCHTLLLQRCSSTAS >ENSMUSP00000116841.1 pep:known chromosome:GRCm38:17:25779843:25785540:-1 gene:ENSMUSG00000061046.9 transcript:ENSMUST00000140738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haghl description:hydroxyacylglutathione hydrolase-like [Source:MGI Symbol;Acc:MGI:1919877] MKVKVIPVLEDNYMYLIIEEHTREAVAIDVAVAERLLEIAGREGVSLTMVLSTHHHWDHT RGNAELAHILPGLAVLGADERICALTRRLEHGEGLQFGAIHVRCLLTPGHTSGHMSYFLW EDDCPDSPALFSGDALSVAGCGWHLEDTAQQMYQSLAKTLGTLPPETKVFCGHEHTLSNL EFAQKVEPCNEHVQAKLSWAQERDDEDIPTVPSTLGEELMYNPFLRVTQLQPRRLSSHCL >ENSMUSP00000120885.1 pep:known chromosome:GRCm38:17:25782789:25785582:-1 gene:ENSMUSG00000061046.9 transcript:ENSMUST00000133071.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haghl description:hydroxyacylglutathione hydrolase-like [Source:MGI Symbol;Acc:MGI:1919877] MVLSTHHHWDHTRGNAELAHILPGLAVLGADERICALTRRLEHGEGLQFGAIHVRCLLTP GHTSGHMSYFLWEDDCPDSPALFSGDALSVAGCGWHLEDTAQQMYQSLAKTLGTLPPETK VFCGHEHTLSNLEFAQKVEPCNEHVQAKLSWAQERDDEDIPTVPSTLGEELMYNPFLRVT EDAVRAFTGQVAPAQVLEALCRERARFQPAVEPPQPQVRALLALQWGLLSTHQKK >ENSMUSP00000077091.3 pep:known chromosome:GRCm38:17:25782789:25785673:-1 gene:ENSMUSG00000061046.9 transcript:ENSMUST00000077938.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haghl description:hydroxyacylglutathione hydrolase-like [Source:MGI Symbol;Acc:MGI:1919877] MKVKVIPVLEDNYMYLIIEEHTREAVAIDVAVAERLLEIAGREGVSLTMVLSTHHHWDHT RGNAELAHILPGLAVLGADERICALTRRLEHGEGLQFGAIHVRCLLTPGHTSGHMSYFLW EDDCPDSPALFSGDALSVAGCGWHLEDTAQQMYQSLAKTLGTLPPETKVFCGHEHTLSNL EFAQKVEPCNEHVQAKLSWAQERDDEDIPTVPSTLGEELMYNPFLRVTEDAVRAFTGQVA PAQVLEALCRERARFQPAVEPPQPQVRALLALQWGLLSTHQKK >ENSMUSP00000115538.1 pep:known chromosome:GRCm38:17:25782823:25785567:-1 gene:ENSMUSG00000061046.9 transcript:ENSMUST00000138759.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haghl description:hydroxyacylglutathione hydrolase-like [Source:MGI Symbol;Acc:MGI:1919877] MVLSTHHHWDHTRGNAELAHILPGLAVLGADERICALTRRLEHGEGLQFGAIHVRCLLTP GHTSGHMSYFLWEDDCPDSPALFSGDALSVAGCGWHLEDTAQQMYQSLAKTLGTLPPETK VFCGHEHTLSNLEFAQKVEPCNEHVQAKLSWAQERDDEDIPTVPSTLGEELMYNPFLRVT EDAVRAFTGQVAPAQVLEALCRERARFQPAVEPPQPQVRALLALQWGLLSTHQKK >ENSMUSP00000119647.1 pep:known chromosome:GRCm38:17:25782825:25785338:-1 gene:ENSMUSG00000061046.9 transcript:ENSMUST00000150324.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haghl description:hydroxyacylglutathione hydrolase-like [Source:MGI Symbol;Acc:MGI:1919877] MKVKVIPVLEDNYMYLIIEEHTREAVAIDVAVAERLLEIAGREGVSLTMVLSTHHHWDHT RGNAELAHILPGLAVLGADERICALTRRLEHGEGLQFGAIHVRCLLTPGHTSGHMSYFLW EDDCPDSPALFSGDALSVAGCGWHLEDTAQQMYQSLAKTLGTLPPETKVFCGHEHTLSNL EFAQKVEPCNEHVQAKLSWAQERDDEDIPTVPSTLGEELMYNPFLRVTEDAVRAFTGQVA PAQVLEALCRERARFQPAVEPPQPQVRALLALQWGLLSTHQKK >ENSMUSP00000114961.1 pep:known chromosome:GRCm38:17:25784316:25785543:-1 gene:ENSMUSG00000061046.9 transcript:ENSMUST00000145053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Haghl description:hydroxyacylglutathione hydrolase-like [Source:MGI Symbol;Acc:MGI:1919877] MKVKVIPVLEDNYMYLIIEEHTREAVAIDVAVAERLLEIAGREGVSLTMVLSTHHHWDHT RGNAELAHILPGLAVLGADERICALTRRLEHGEGLQFGAIHVRCLLTPGHTSG >ENSMUSP00000096241.2 pep:known chromosome:GRCm38:7:27499324:27520214:1 gene:ENSMUSG00000053198.13 transcript:ENSMUST00000098644.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prx description:periaxin [Source:MGI Symbol;Acc:MGI:108176] MEARSRSAEELRRAELVEIIVETEAQTGVSGFNVAGGGKEGIFVRELREDSPAAKSLSLQ EGDQLLSARVFFENFKYEDALRLLQCAEPYKVSFCLKRTVPTGDLALRPGTVSGYEMKGP RAKVAKLNIQSLAPVKKKKMVTGALGTPADLAPVDVEFSFPKFSRLRRGLKAEAVKGPVP AAPARRRLQLPRLRVREVAEEAQVARMAAAAPPPRKAKAEAEAATGAGFTAPQLELVGPR LPSAEVGVPQVSVPKGTPSTEAASGFALHLPTLGLGAPAAPAVEPPATGIQVPQVELPTL PSLPTLPTLPCLDTQEGAAVVKVPTLDVAAPSMGVDLALPGAEVEAQGEVPEVALKMPRL SFPRFGIRGKEATEAKVVKGSPEAKAKGPRLRMPTFGLSLLEPRPSGPEAVAESKLKLPT LKMPSFGIGVTGPEVKAPKGPEVKLPKVPEVKLPKVPEAAIPDVQLPEVQLPKMSDMKLP KIPEMVVPDVRLPEVQLPKVPEMKVPEMKLPKVPEMAVPDVHLPDVQLPKVPEMKLPKVP EMAVPDVHLPDVQLPKVPEMKLPEMKLPKVPEMAVPDVRLPEVQLPKVSEVKLPKMPEMA VPDVHLPELQLPKMSEVKLPKMPEMAVPDVRLPEVQLPKVSEMKLPKMPEMTMPDIRLPE VQLPKVPDIKLPEMKLPEIKLPKVPDMAVPDVPLPELQLPKVSDIRLPEMQVSQVPEVQL PKMPEMKLSKVPEVQRKSAGAEQAKGTEFSFKLPKMTMPKLGKVGKPGEASIEVPDKLMT LPCLQPEVGTEASHVGVPSLSLPSVELDLPGALGLEGQVQEAVPGKVEKPEGPRVAVGVG EVGFRVPSVEIVTPQLPTVEVEKEQLEMVEMKVKPSSKFSLPKFGLSGPKAVKGEVEGPG RATKLKVSKFTISLPKARAGTEAEAKGAGEAGLLPALDLSIPQLSLDAQLPSGKVEVADS KPKSSRFALPKFGVKGRDSEADVLVAGEAELEGKGWGWDGKVKMPKLKMPSFGLSRGKEA ETQDGRVSPGEKLEAIAGQLKIPAVELVTPGAQETEKVTSGVKPSGLQVSTTGQVVAEGQ ESVQRVSTLGISLPQVELASFGEAGPEIVAPSAEGTAGSRVQVPQVMLELPGTQVAGGDL LVGEGIFKMPTVTVPQLELDVGLGHEAQAGEAAKSEGGIKLKLPTLGTGSRGEGVEPQGP EAQRTFHLSLPDVELTSPVSSHAEYQVVEGDGDGGHKLKVRLPLFGLAKAKEGIEVGEKA KSPKLRLPRVGFSQSESVSGEGSPSPEEEEEGSGEGASSRRGRVRVRLPRVGLASPSKVS KGQEGDATSKSPVGEKSPKFRFPRVSLSPKARSGSRDREEGGFRVRLPSVGFSETAVPGS TRIEGTQAAAI >ENSMUSP00000103992.1 pep:known chromosome:GRCm38:7:27499324:27520214:1 gene:ENSMUSG00000053198.13 transcript:ENSMUST00000108355.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prx description:periaxin [Source:MGI Symbol;Acc:MGI:108176] MEARSRSAEELRRAELVEIIVETEAQTGVSGFNVAGGGKEGIFVRELREDSPAAKSLSLQ EGDQLLSARVFFENFKYEDALRLLQCAEPYKVSFCLKRTVPTGDLALRPGTVSGYEMKGP RAKVAKLVRVLSPVPVQDSPSDRVAAAP >ENSMUSP00000145598.1 pep:known chromosome:GRCm38:7:27507801:27520034:1 gene:ENSMUSG00000053198.13 transcript:ENSMUST00000125990.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prx description:periaxin [Source:MGI Symbol;Acc:MGI:108176] MVTGALGTPADLAPVDVEFSFPKFSRLRRGLKAEAVKGPVPAAPARRRLQLPRLRVREVA EEAQVARMAAAAPPPRKAKAEAEAATGAGFTAPQLELVGPRLPSAEVGVPQVSVPKGTPS TEAASGFALHLPTLGLGAPAAPAVEPPATGIQVPQVELPTLPSLPTLPTLPCLDTQEGAA VVKVPTLDVAAPSMGVDLALPGAEVEAQGEVPEVALKMPRLSFPRFGIRGKEATEAKVVK GSPEAKAKGPRLRMPTFGLSLLEPRPSGPEAVAESKLKLPTLKMPSFGIGVTGPEVKAPK GPEVKLPKVPEVKLPKVPEAAIPDVQLPEVQLPKMSDMKLPKIPEMVVPDVRLPEVQLPK VPEMKVPEMKLPKVPEMAVPDVHLPDVQLPKVPEMKLPKVPEMAVPDVHLPDVQLPKVPE MKLPEMKLPKVPEMAVPDVRLPEVQLPKVSEVKLPKMPEMAVPDVHLPELQLPKMSEVKL PKMPEMAVPDVRLPEVQLPKVSEMKLPKMPEMTMPDIRLPEVQLPKVPDIKLPEMKLPEI KLPKVPDMAVPDVPLPELQLPKVSDIRLPEMQVSQVPEVQLPKMPEMKLSKVPEVQRKSA GAEQAKGTEFSFKLPKMTMPKLGKVGKPGEASIEVPDKLMTLPCLQPEVGTEASHVGVPS LSLPSVELDLPGALGLEGQVQEAVPGKVEKPEGPRVAVGVGEVGFRVPSVEIVTPQLPTV EVEKEQLEMVEMKVKPSSKFSLPKFGLSGPKAVKGEVEGPGRATKLKVSKFTISLPKARA GTEAEAKGAGEAGLLPALDLSIPQLSLDAQLPSGKVEVADSKPKSSRFALPKFGVKGRDS EADVLVAGEAELEGKGWGWDGKVKMPKLKMPSFGLSRGKEAETQDGRVSPGEKLEAIAGQ LKIPAVELVTPGAQETEKVTSGVKPSGLQVSTTGQVVAEGQESVQRVSTLGISLPQVELA SFGEAGPEIVAPSAEGTAGSRVQVPQVMLELPGTQVAGGDLLVGEGIFKMPTVTVPQLEL DVGLGHEAQAGEAAKSEGGIKLKLPTLGTGSRGEGVEPQGPEAQRTFHLSLPDVELTSPV SSHAEYQVVEGDGDGGHKLKVRLPLFGLAKAKEGIEVGEKAKSPKLRLPRVGFSQSESVS GEGSPSPEEEEEGSGEGASSRRGRVRVRLPRVGLASPSKVSKGQEGDATSKSPVGEKSPK FRFPRVSLSPKARSGSRDREEGGFRVRLPSVGFSETAVPGSTRIEGTQAAAI >ENSMUSP00000066110.6 pep:known chromosome:GRCm38:7:27507806:27520041:1 gene:ENSMUSG00000053198.13 transcript:ENSMUST00000065487.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prx description:periaxin [Source:MGI Symbol;Acc:MGI:108176] MATPCCPSQELRRAELVEIIVETEAQTGVSGFNVAGGGKEGIFVRELREDSPAAKSLSLQ EGDQLLSARVFFENFKYEDALRLLQCAEPYKVSFCLKRTVPTGDLALRPGTVSGYEMKGP RAKVAKLNIQSLAPVKKKKMVTGALGTPADLAPVDVEFSFPKFSRLRRGLKAEAVKGPVP AAPARRRLQLPRLRVREVAEEAQVARMAAAAPPPRKAKAEAEAATGAGFTAPQLELVGPR LPSAEVGVPQVSVPKGTPSTEAASGFALHLPTLGLGAPAAPAVEPPATGIQVPQVELPTL PSLPTLPTLPCLDTQEGAAVVKVPTLDVAAPSMGVDLALPGAEVEAQGEVPEVALKMPRL SFPRFGIRGKEATEAKVVKGSPEAKAKGPRLRMPTFGLSLLEPRPSGPEAVAESKLKLPT LKMPSFGIGVTGPEVKAPKGPEVKLPKVPEVKLPKVPEAAIPDVQLPEVQLPKMSDMKLP KIPEMVVPDVRLPEVQLPKVPEMKVPEMKLPKVPEMAVPDVHLPDVQLPKVPEMKLPKVP EMAVPDVHLPDVQLPKVPEMKLPEMKLPKVPEMAVPDVRLPEVQLPKVSEVKLPKMPEMA VPDVHLPELQLPKMSEVKLPKMPEMAVPDVRLPEVQLPKVSEMKLPKMPEMTMPDIRLPE VQLPKVPDIKLPEMKLPEIKLPKVPDMAVPDVPLPELQLPKVSDIRLPEMQVSQVPEVQL PKMPEMKLSKVPEVQRKSAGAEQAKGTEFSFKLPKMTMPKLGKVGKPGEASIEVPDKLMT LPCLQPEVGTEASHVGVPSLSLPSVELDLPGALGLEGQVQEAVPGKVEKPEGPRVAVGVG EVGFRVPSVEIVTPQLPTVEVEKEQLEMVEMKVKPSSKFSLPKFGLSGPKAVKGEVEGPG RATKLKVSKFTISLPKARAGTEAEAKGAGEAGLLPALDLSIPQLSLDAQLPSGKVEVADS KPKSSRFALPKFGVKGRDSEADVLVAGEAELEGKGWGWDGKVKMPKLKMPSFGLSRGKEA ETQDGRVSPGEKLEAIAGQLKIPAVELVTPGAQETEKVTSGVKPSGLQVSTTGQVVAEGQ ESVQRVSTLGISLPQVELASFGEAGPEIVAPSAEGTAGSRVQVPQVMLELPGTQVAGGDL LVGEGIFKMPTVTVPQLELDVGLGHEAQAGEAAKSEGGIKLKLPTLGTGSRGEGVEPQGP EAQRTFHLSLPDVELTSPVSSHAEYQVVEGDGDGGHKLKVRLPLFGLAKAKEGIEVGEKA KSPKLRLPRVGFSQSESVSGEGSPSPEEEEEGSGEGASSRRGRVRVRLPRVGLASPSKVS KGQEGDATSKSPVGEKSPKFRFPRVSLSPKARSGSRDREEGGFRVRLPSVGFSETAVPGS TRIEGTQAAAI >ENSMUSP00000142081.1 pep:known chromosome:GRCm38:Y:17965160:17967603:1 gene:ENSMUSG00000094325.7 transcript:ENSMUST00000194411.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20831 description:predicted gene, 20831 [Source:MGI Symbol;Acc:MGI:5434187] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000136638.1 pep:known chromosome:GRCm38:Y:17874741:17967596:1 gene:ENSMUSG00000094325.7 transcript:ENSMUST00000177639.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20831 description:predicted gene, 20831 [Source:MGI Symbol;Acc:MGI:5434187] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000009695.2 pep:known chromosome:GRCm38:2:32732620:32741016:-1 gene:ENSMUSG00000009551.2 transcript:ENSMUST00000009695.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6330409D20Rik description:RIKEN cDNA 6330409D20 gene [Source:MGI Symbol;Acc:MGI:1917980] MATQKESKSGWTTLADRMSACPASKSQALHNGDLVVHTCNLNSQMVKPGILQVQDHLWLH GKLKAILGIHEIHHHCRRRRHRHHHHLDKSGIQTLPTAYATSSRRKPGSQQTPSALSYLA YCDQPPAYLHIVPSGLQLCSSPGRRKKRTSPSGTSGLDQKEE >ENSMUSP00000139540.1 pep:known chromosome:GRCm38:Y:17874741:17877179:1 gene:ENSMUSG00000102739.1 transcript:ENSMUST00000188928.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20772 description:predicted gene, 20772 [Source:MGI Symbol;Acc:MGI:5434128] MSSLMKKRRRKSSSNTLRNIVGCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYGLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEGKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000073866.4 pep:known chromosome:GRCm38:2:32721055:32754994:1 gene:ENSMUSG00000059013.12 transcript:ENSMUST00000074248.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d3c description:SH2 domain containing 3C [Source:MGI Symbol;Acc:MGI:1351631] MTEMPKKTGRKFKFFKFKGLGSLSNLPRSFSLRRSSASASIRSCPEPDTFEATQDDMVTL PKSPPAYARSSDMYSHMGTMPRPNIKKAQKQQAVQKAQEVSRESHLVSRRLPEPPDLEAA KEAGEGTEALLEDTAPSAVEVDPMRELEDLTVDTEKEQVPGDVSPERTAAELEAAGDYVK FSKEKYILDSSPEKLHKELEEELKLSSTDLRSHAWYHGRIPREVSETLVQRNGDFLIRDS LTSLGDYVLTCRWHNQALHFKINKVVVKAGESYTHIRYLFEQESFDHVPALVRYHVGSRK AVSEQSGAIIYCPVNRTFPLRYLEASYGLSQGSSKTASPASPSGSKGSHMKRRSITMTDG LTTDKVTRSDGCPNSTSLPHPRDSIRNCALSMDQIPDLHSPLSPISESPSSPAYSTVTRV HAPSATPSTSAQPASPVARRSSEPQLCPGNTPKPPGESDRAPHASPSHTLCKASPSPSLS SYSDPDSGHYCQLQPPVRGSREQAAGETPRKARGSGERQKELLENGVSDGEWGKTFTVPV VEATSSFNLATFQSQLIPKENRPLEVALLRKVKELLSEVDARTLARHVTKVDCLVARILG VTKEMQTLMGVRWGMELLTLPHGRQLRLDLLERFHTMSIMLAVDILGCTGSAEERAALLH KTIQLAAELRGTMGNMFSFAAVMGALEMAQISRLEQTWMTLRQRHTEGAILYEKKLKPFL KSLNEGKEGPPLSNTTFPHVLPFITLLECDSAPAEGPEPWGSTEHGVEVVLAHLEAARTV AHHGGLYHTNAEVKLQGFQARPELLEVFSTEFQMRLLWGSQGANSSQAWRYEKFDKVLTA LSHKLEPAIRSSEL >ENSMUSP00000108868.2 pep:known chromosome:GRCm38:2:32727706:32755512:1 gene:ENSMUSG00000059013.12 transcript:ENSMUST00000113242.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh2d3c description:SH2 domain containing 3C [Source:MGI Symbol;Acc:MGI:1351631] MTAVGRRCSALEPRRTAAELEAAGDYVKFSKEKYILDSSPEKLHKELEEELKLSSTDLRS HAWYHGRIPREVSETLVQRNGDFLIRDSLTSLGDYVLTCRWHNQALHFKINKVVVKAGES YTHIRYLFEQESFDHVPALVRYHVGSRKAVSEQSGAIIYCPVNRTFPLRYLEASYGLSQG SSKTASPASPSGSKGSHMKRRSITMTDGLTTDKVTRSDGCPNSTSLPHPRDSIRNCALSM DQIPDLHSPLSPISESPSSPAYSTVTRVHAPSATPSTSAQPASPVARRSSEPQLCPGNTP KPPGESDRAPHASPSHTLCKASPSPSLSSYSDPDSGHYCQLQPPVRGSREQAAGETPRKA RGSGERQKELLENGVSDGEWGKTFTVPVVEATSSFNLATFQSQLIPKENRPLEVALLRKV KELLSEVDARTLARHVTKVDCLVARILGVTKEMQTLMGVRWGMELLTLPHGRQLRLDLLE RFHTMSIMLAVDILGCTGSAEERAALLHKTIQLAAELRGTMGNMFSFAAVMGALEMAQIS RLEQTWMTLRQRHTEGAILYEKKLKPFLKSLNEGKEGPPLSNTTFPHVLPFITLLECDSA PAEGPEPWGSTEHGVEVVLAHLEAARTVAHHGGLYHTNAEVKLQGFQARPELLEVFSTEF QMRLLWGSQGANSSQAWRYEKFDKVLTALSHKLEPAIRSSEL >ENSMUSP00000041210.3 pep:known chromosome:GRCm38:X:97333840:97377216:-1 gene:ENSMUSG00000034457.10 transcript:ENSMUST00000037353.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda2r description:ectodysplasin A2 receptor [Source:MGI Symbol;Acc:MGI:2442860] MDCQENEYRDQWGRCVTCQQCGPGQELSKDCGYGEGGDAHCIVCPPRKYKSTWGHHRCQT CITCAVINRVQKANCTNTSNAICGDCLPRFYRKTRIGGLQDQECIPCTKQTPSSEVQCTF QLSLVKVDAHTVPPREATLVALVGSLLVVFALAFLGLFFLYCKQIFNRHCQCRDSLQYEA EKTVEEDSLFPVPPGQETSPEFPANEGILEIKPLNSILDDDCSSTRGFPTQESFTMASCA SESHSQWVHTPIECTELDLQKFSSSIPSTGPETLRENTAEHSGDRLELYVPFEVPSL >ENSMUSP00000109463.1 pep:known chromosome:GRCm38:X:97337138:97377150:-1 gene:ENSMUSG00000034457.10 transcript:ENSMUST00000113832.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eda2r description:ectodysplasin A2 receptor [Source:MGI Symbol;Acc:MGI:2442860] MDCQENEYRDQWGRCVTCQQCGPGQELSKDCGYGEGGDAHCIVCPPRKYKSTWGHHRCQT CITCAVINRVQKANCTNTSNAICGDCLPRFYRKTRIGGLQDQECIPCTKQTPSSEVQCTF QLSLVKVDAHTVPPREATLVALVGSLLVVFALAFLGLFFLYCKQIFNRHCQCRDSLQYEA EKTVEEDSLFPVPPGQETSPEFPANEGILEIKPLNSILDDDCSSTRGFPTQESFTMASCA SESHSQWVHTPIECTELDLQKFSSSIPSTGPETLRENTAEHSGDRLELYVPFEVPSL >ENSMUSP00000130160.1 pep:known chromosome:GRCm38:7:9816782:9841325:-1 gene:ENSMUSG00000094107.1 transcript:ENSMUST00000170131.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r47 description:vomeronasal 2, receptor 47 [Source:MGI Symbol;Acc:MGI:3757929] MFIFMGVFFLLNITLLMANFIDPRCFWRINLDEITDEYLGLSCTFILAAVQTPTEKDYFN KTLNVLKTTKNHKYALALVFAMDEINRNPDLLPNMSLIIRYTLGLCDGKTVTPTPYLFHK KKQSPIPNYFCNEETMCSFQLSGPKWDVSLSFWMYLDSFLSLRILQLTYGPFYSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYLKWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDTSFPHKTEMDYNQIVMSSTNVIIIYGETRNFIYLIFRMWEPPILQRIWITT KQLNFPTRKTDISHGTFYGSLTFLPHHGEISGFKKFVQTWFHVRNTDLYLVMPEWNYFNY VSSASNCKILKNNSSDASFDWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQQADNQ AIGNGKGASSHCLKVNSFLRRTCFTNPLGDKVFMKQRVIMQDEYDIIHFGNLSQHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNRAICVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRNFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSDTAFYCILGYLACMALGSFSLAFLAKNLPDTFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGILGCIFVPKIYIIFMRPER NSTQKIREKSYF >ENSMUSP00000023535.3 pep:known chromosome:GRCm38:16:36828408:36872713:1 gene:ENSMUSG00000022837.13 transcript:ENSMUST00000023535.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcb1 description:IQ calmodulin-binding motif containing 1 [Source:MGI Symbol;Acc:MGI:2443764] MKPAGTDPRILSLAAEVAKSPEQNVPVILLKLKEIINNTPLGSSELKKVKQDIYCYDLIQ YCLLVLSQDSSRIQGGWSTISQLTQILSHCCVGLEPGEDGEEFYKELLPSAAENFLILGR RLQTCFINATKGEEQDKLLHFFQIVTDSLFWLLGGHVQLIQNVLQSDHFLHLLQTDNVQI GASVMTLLQNILQINSGNLLKIEGKALHSILDEILFKLLSTPSPVIRSTATKLLLVLAES HQEILILLRLSACYKGLRSLLNKQETLTEFSRELRQLVDLLTPKIHQEVEEQKLHKAACL IQAYWKGFQTRKRLKKLPSAVIALQRSFRSKRTKMMLELNRQKEEEDLRLKLQLQRQRAM RLSRESRLNMLEIIHPGQVEKYNREMEEKSALTIQKHWRGYRERKNFRQQRPSLTEYKAA VTLQRAVLKFLAKCRKKKKLFASWHGLQELTDARRVELKQQVDDYVKRHPCSQMSEAASR ELHAQAQERLQHYFMGRAIEERAQQHREALMAQISTNIEQLMKAPSLKEAEGKEPEQFLS RSRPVAAKAKQAHLTTLKHIQAPWWKKLGEEPGDEVDVPKDELSIDLGMLFIGGTKPP >ENSMUSP00000110467.1 pep:known chromosome:GRCm38:16:36828407:36872721:1 gene:ENSMUSG00000022837.13 transcript:ENSMUST00000114819.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Iqcb1 description:IQ calmodulin-binding motif containing 1 [Source:MGI Symbol;Acc:MGI:2443764] MKPAGTDPRILSLAAEVAKSPEQNVPVILLKLKEIINNTPLGSSELKKVKQDIYCYDLIQ YCLLVLSQDSSRIQGGWSTISQLTQILSHCCVGLEPGEDGEEFYKELLPSAAENFLILGR RLQTCFINATKGEEQDKLLHFFQIVTDSLFWLLGGHVQLIQNVLQSDHFLHLLQTDNVQI GASVMTLLQNILQINSGNLLKIEGKALHSILDEILFKLLSTPSPVIRSTATKLLLVLAES HQEILILLRLSACYKGLRSLLNKQETLTEFSRELRQLVDLLTPKIHQEVEEQKLHKAACL IQAYWKGFQTRKRLKKLPSAVIALQRSFRSKRTKMMLELNRQKEEEDLRLKLQLQRQRAM RLSRESRLNMLEIIHPGQVEKYNREMEEKSALTIQKHWRGYRERKNFRQQRPSLTEYKAA VTLQRAVLKFLAKCRKKKKLFASWHGLQELTDARRVELKQQVDDYVKRHPCSQMSEAASR ELHAQAQERLQHYFMGRAIEERAQQHREALMAQISTNIEQLMSMLYLHPCFINGIPLARD VASKLFRRKVPQVVFIQVLGKSYIIPVFNSIPSVCACYKFKYFSFIKITNFKEVIIF >ENSMUSP00000124100.1 pep:known chromosome:GRCm38:10:7629373:7663264:-1 gene:ENSMUSG00000019795.17 transcript:ENSMUST00000161123.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcmt1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:97502] SNSGRSSGDTSGAVTVWEVVSLLGKLLGTVAALKVVLYLLRVCFAMAWKSGGASHSELIH NLRKNGIIKTDKVFEVMLATDRSHYAKSNPYMDSPQSIGFAELLSLGSGRL >ENSMUSP00000123866.1 pep:known chromosome:GRCm38:10:7629373:7663608:-1 gene:ENSMUSG00000019795.17 transcript:ENSMUST00000162606.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmt1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:97502] MPGARIGGSGSDGSNSGRSSGDTSGAVTVWEVVSLLGKLLGTVAALKVVLYLLRVCFAMA WKSGGASHSELIHNLRKNGIIKTDKVFEVMLATDRSHYAKSNPYMDSPQSIGFQATISAP HMHAYALELLFDQLHEGAKALDVGSGSGILTACFARMVGNSGKVIGIDHIKELVDDSITN VKKDDPMLLSSGRVRLVVGDGRMGYAEEAPYDAIHVGAAAPVVPQALIDQLKPGGRLILP VGPAGGNQMLEQYDKLQDGSVKMKPLMGVIYVPLTDKEKQWSRDEL >ENSMUSP00000124932.1 pep:known chromosome:GRCm38:10:7629381:7663303:-1 gene:ENSMUSG00000019795.17 transcript:ENSMUST00000159917.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmt1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:97502] MPGARIGGSGSDGSNSGRSSGDTSGAVTVWEVVSLLGKLLGTVAALKVVLYLLRVCFAMA WKSGGASHSELIHNLRKNGIIKTDKVFEVMLATDRSHYAKSNPYMDSPQSIGFQATISAP HMHAYALELLFDQLHEGAKALDVGSGSGILTACFARMVGNSGKVIGIDHIKELVDDSITN VKKDDPMLLSSGRVRLVVGDGRMGYAEEAPYDAIHVGAAAPVVPQALIDQLKPGGRLILP VGPAGGNQMLEQYDKLQDGSVKMKPLMGVIYVPLTDKEKQWSRWK >ENSMUSP00000123758.1 pep:known chromosome:GRCm38:10:7637730:7649155:-1 gene:ENSMUSG00000019795.17 transcript:ENSMUST00000161428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmt1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:97502] XNGIIKTDKVFEVMLATDRSHYAKSNPYMDSPQSIGFQATISAPHMHAYALELLFDQLHE GAKALDVGSGSGILTACFARMVGNSGKVIGIDHIKELVDDSITNVKKDDPMLLSSGRVRL VVGDGRMGYAEEAPYDAIHVGAAAPVVPQAVCSHPSTEGIQFNLHMQPCSEDSLTVV >ENSMUSP00000125144.1 pep:known chromosome:GRCm38:10:7637730:7663261:-1 gene:ENSMUSG00000019795.17 transcript:ENSMUST00000163085.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmt1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:97502] NSGRSSGDTSGAVTVWEVVSLLGKLLGTVAALKVVLYLLRVCFAMAWKSGGASHSELIHN LRKNGIIKTDKVFEVMLATDRSHYAKSNPYMDSPQSIGFQATISAPHMHAYALELLFDQL HEGAKALDVGSGSGILTACFARMVGNSGKVIGIDHIKELVDDSITNVKKDDPMLLSSGRV RLVVGDGRMGYAEEAPYDAIHVGAAAPVVPQALIDQLKPGGRLILPVGPAGGNQMLEQYD KLQDGSVKMKPLMGVIYVPLTDKEKQWSRWK >ENSMUSP00000124246.1 pep:known chromosome:GRCm38:10:7644332:7681136:-1 gene:ENSMUSG00000019795.17 transcript:ENSMUST00000162682.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmt1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:97502] MLATDRSHYAKSNPYMDSPQSIGFQATISAPHMHAYALELLFDQLHEGAKALDVGSGSGI LTACFA >ENSMUSP00000123892.1 pep:known chromosome:GRCm38:10:7647594:7651536:-1 gene:ENSMUSG00000019795.17 transcript:ENSMUST00000159835.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pcmt1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:97502] MLATDRSHYAKSNPYMDSPQSIGFAELLSLGSGRL >ENSMUSP00000124135.1 pep:known chromosome:GRCm38:10:7647619:7681130:-1 gene:ENSMUSG00000019795.17 transcript:ENSMUST00000159977.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pcmt1 description:protein-L-isoaspartate (D-aspartate) O-methyltransferase 1 [Source:MGI Symbol;Acc:MGI:97502] MLATDRSHYAKSNPYMDSPQSIG >ENSMUSP00000137052.1 pep:known chromosome:GRCm38:3:92806291:92807891:-1 gene:ENSMUSG00000095870.1 transcript:ENSMUST00000179917.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1k description:late cornified envelope 1K [Source:MGI Symbol;Acc:MGI:3702534] MSCQQSQQQCQPPPKCTPKCPSKCQAPKCPPKCSPKCPPVSSCCSLGSGGCCSSSSGGCC SSSSGGCCSSGGGGCCLSHHRPRRSLRRHRHSSGCCSSGGSSGCCGSSGGSSGCCGSSQQ SGDCC >ENSMUSP00000033543.7 pep:known chromosome:GRCm38:X:75404846:75416565:-1 gene:ENSMUSG00000090110.8 transcript:ENSMUST00000033543.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmc4 description:C-x(9)-C motif containing 4 [Source:MGI Symbol;Acc:MGI:5637812] MPQKDPCQKQACEIQKCLQANNYLESKCQAVIQELRKCCARYPKGRSLVCSGFEKEEEEK LAMKSGSK >ENSMUSP00000117381.2 pep:known chromosome:GRCm38:X:75405026:75416584:-1 gene:ENSMUSG00000090110.8 transcript:ENSMUST00000149863.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmc4 description:C-x(9)-C motif containing 4 [Source:MGI Symbol;Acc:MGI:5637812] MPQKDPCQKQACEIQKCLQANNYLESKCQAVIQELRKCCARYPKGRSLVCSGFEKEEEEK LAMKSGSK >ENSMUSP00000112753.1 pep:known chromosome:GRCm38:X:75405070:75409912:-1 gene:ENSMUSG00000090110.8 transcript:ENSMUST00000120286.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cmc4 description:C-x(9)-C motif containing 4 [Source:MGI Symbol;Acc:MGI:5637812] MPQKDPCQKQACEIQKCLQANNYLESKCQAVIQELRKCCARYPKGRSLVCSGFEKEEEEK LAMKSGSK >ENSMUSP00000028177.4 pep:known chromosome:GRCm38:2:28205659:28230736:1 gene:ENSMUSG00000026833.18 transcript:ENSMUST00000028177.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm1 description:olfactomedin 1 [Source:MGI Symbol;Acc:MGI:1860437] MSVPLLKIGVVLSTMAMITNWMSQTLPSLVGLNTTRLSAASGGTLDRSTGVLPTNPEESW QVYSSAQDSEGRCICTVVAPQQTMCSRDARTKQLRQLLEKVQNMSQSIEVLDRRTQRDLQ YVEKMENQMKGLETKFKQVEESHKQHLARQFKAIKAKMDELRPLIPVLEEYKADAKLVLQ FKEEVQNLTSVLNELQEEIGAYDYDELQSRVSNLEERLRACMQKLACGKLTGISDPVTVK TSGSRFGSWMTDPLAPEGDNRVWYMDGYHNNRFVREYKSMVDFMNTDNFTSHRLPHPWSG TGQVVYNGSIYFNKFQSHIIIRFDLKTETILKTRSLDYAGYNNMYHYAWGGHSDIDLMVD ENGLWAVYATNQNAGNIVISKLDPVSLQILQTWNTSYPKRSAGEAFIICGTLYVTNGYSG GTKVHYAYQTNASTYEYIDIPFQNKYSHISMLDYNPKDRALYAWNNGHQTLYNVTLFHVI RSDEL >ENSMUSP00000109553.1 pep:known chromosome:GRCm38:2:28192992:28230462:1 gene:ENSMUSG00000026833.18 transcript:ENSMUST00000113920.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm1 description:olfactomedin 1 [Source:MGI Symbol;Acc:MGI:1860437] MGTELTQVLPTNPEESWQVYSSAQDSEGRCICTVVAPQQTMCSRDARTKQLRQLLEKVQN MSQSIEVLDRRTQRDLQYVEKMENQMKGLETKFKQVEESHKQHLARQFKAIKAKMDELRP LIPVLEEYKADAKLVLQFKEEVQNLTSVLNELQEEIGAYDYDELQSRVSNLEERLRACMQ KLACGKLTGISDPVTVKTSGSRFGSWMTDPLAPEGDNRVWYMDGYHNNRFVREYKSMVDF MNTDNFTSHRLPHPWSGTGQVVYNGSIYFNKFQSHIIIRFDLKTETILKTRSLDYAGYNN MYHYAWGGHSDIDLMVDENGLWAVYATNQNAGNIVISKLDPVSLQILQTWNTSYPKRSAG EAFIICGTLYVTNGYSGGTKVHYAYQTNASTYEYIDIPFQNKYSHISMLDYNPKDRALYA WNNGHQTLYNVTLFHVIRSDEL >ENSMUSP00000099943.3 pep:known chromosome:GRCm38:2:28205659:28214425:1 gene:ENSMUSG00000026833.18 transcript:ENSMUST00000102879.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm1 description:olfactomedin 1 [Source:MGI Symbol;Acc:MGI:1860437] MSVPLLKIGVVLSTMAMITNWMSQTLPSLVGLNTTRLSAASGGTLDRSTGVLPTNPEESW QVYSSAQDSEGRCICTVVAPQQTMCSRDARTKQLRQLLEKVQNMSQSIEVLDRRTQRDLQ YVEKMENQMKGLETKFKQVEESHKQHLARQFKG >ENSMUSP00000097815.3 pep:known chromosome:GRCm38:2:28193093:28230736:1 gene:ENSMUSG00000026833.18 transcript:ENSMUST00000100244.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfm1 description:olfactomedin 1 [Source:MGI Symbol;Acc:MGI:1860437] MQPARKLLSLLVLLVMGTELTQVLPTNPEESWQVYSSAQDSEGRCICTVVAPQQTMCSRD ARTKQLRQLLEKVQNMSQSIEVLDRRTQRDLQYVEKMENQMKGLETKFKQVEESHKQHLA RQFKAIKAKMDELRPLIPVLEEYKADAKLVLQFKEEVQNLTSVLNELQEEIGAYDYDELQ SRVSNLEERLRACMQKLACGKLTGISDPVTVKTSGSRFGSWMTDPLAPEGDNRVWYMDGY HNNRFVREYKSMVDFMNTDNFTSHRLPHPWSGTGQVVYNGSIYFNKFQSHIIIRFDLKTE TILKTRSLDYAGYNNMYHYAWGGHSDIDLMVDENGLWAVYATNQNAGNIVISKLDPVSLQ ILQTWNTSYPKRSAGEAFIICGTLYVTNGYSGGTKVHYAYQTNASTYEYIDIPFQNKYSH ISMLDYNPKDRALYAWNNGHQTLYNVTLFHVIRSDEL >ENSMUSP00000132641.1 pep:known chromosome:GRCm38:17:20540517:20564756:-1 gene:ENSMUSG00000090572.1 transcript:ENSMUST00000167093.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r109 description:vomeronasal 2, receptor 109 [Source:MGI Symbol;Acc:MGI:3646711] MFYWIFISWFLQIPKLVSAFITMNISLCFYKTPEDFHHDGDVMIGAFFPIHTYYTEYKIP HPFLPYQYMDNYLLYNFKNYQYILALVFAIEEINGNPSLLPNISLGFDFYNVRFTEKETL MNACFWLISQGQKKILPNYNCAKRNFTAALTGTSWTTSAQIGTLLQLFKFPQLSFGPYDP ILSDRGQYSSLYQMAPKYTLIPLGIVSLMVHFRWSWVGLILPDDHKGNIILSEFREDMDR KGICIAFVKMIPDTWASYINKFWENMDETNVIIIYGDIDSLIGLMRNIGERLLTGKVWVM NIEPYITDYADYFMFDSFHGSLIFTYHYRESFELTKFIQTVNPYKYPEDIYLPKLWHLFF KCSFSDINCQLLADCHSNASLDMLPSHILDMTISEESNNIYNAVYAVAHSLHQITLQQEQ IQAHENDKMMAFFPWQLNIFLKDIDERNNMNSGGRQKLNAEYDILNLWNLPKGLGRKVKI GSFSANAPEGQQLSLSEHMIQWAGGFSEIPQAVCSKSCEPGFRKVTLEGKAICCYNCTPC ADNEISNETDADQCIKCPESHYASIEKNRCFQKALSFLSYKDPLGVTLANISLCLSALTA FVIGVFVKYRDTPIVKANNRGLSYTLLITITFCLLCSLNFIGQPNTASCILQQTIFGVSF TVALATVLAKAITVVIAFKATFPGRMVRWLMTSRAPNYIIPICTLIQLLLCGIWMATSPP FIDQDVHAEHGQILLFCNKGSSVAFHCVLGYLCSLALGGYTMAFLSRNLPDTFNESKFLS FSMLVFFCVWVTFLPVYHSTKGKVMVAMEVFSILASSAALLGFIFAPKCYIILLRPEKNS FHHIRDKTHSRRNKSLKI >ENSMUSP00000103432.1 pep:known chromosome:GRCm38:3:69035288:69044755:-1 gene:ENSMUSG00000034317.14 transcript:ENSMUST00000107802.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim59 description:tripartite motif-containing 59 [Source:MGI Symbol;Acc:MGI:1914199] MHNFEEELTCPICYSIFEDPRVLPCSHTFCRNCLENVLQASGNFYIWRPLRIPLKCPNCR SIIEIASTGIESLPVNFALRAIIEKYQQEDHPDVVTCPEHYRQPLNVYCLLDKKLVCGHC LTIGQHHGHPIDDLQSAYLKEKDTPQKLLKQLTDTHWTDITRLIEKLEEQKCHSEKIVQG DKEVVLQYFKELIDTLEQKKKYFLAALCDVGKMINQEYTPQIQGMKEIREQQLELMTITT SLQDESPLKFLEKIDEVRQRVQMLKQRPLPEVQPVEIYPRVSNVLKEEWSRIEIGRIKKA VIPEMRVSSKRTPCSWSDNDEKEMELFKILNIAIVSLISVILMLILLFNHHIITFLNEIT SICFSEVFLSVYQSLSKNLYDLNNTVCYTLYLLKEFMWKIVSR >ENSMUSP00000120270.1 pep:known chromosome:GRCm38:3:69036837:69044715:-1 gene:ENSMUSG00000034317.14 transcript:ENSMUST00000136512.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trim59 description:tripartite motif-containing 59 [Source:MGI Symbol;Acc:MGI:1914199] MHNFEEELTCPICYSIFEDPRVLPCSHTFCRNCLENVLQASGNFYIWRPLRIPLKCPNCR SIIEIASTGIESLPVNFALRAIIEKYQQEDHPDVVTCPEHYRQPLNVYCLLDKKLVCGHC LTIGQHHGHPIDDLQSAYLKEKDTPQKLLKQLTDTHWTDITRLIEKLEEQKCHSEKIVQG DKEVVLQYFKELIDTLEQKKKYFLAALCDVGKMINQEYTPQIQGMKEIREQQLELMTITT SLQDESPLKFLEKIDEVRQRVQMLKQRPLPEVQPVEIYPRVSNVLKEEWSRIEIGRIKKA VIPEMRVSSKRTPCSWSDNDEKEMELFKILNIAIVSLISVILMLILLFNHHIITFLNEIT SICFSEVFLSVYQSLSKNLYDLNNTVCYT >ENSMUSP00000033542.4 pep:known chromosome:GRCm38:X:75410444:75416543:-1 gene:ENSMUSG00000031200.16 transcript:ENSMUST00000033542.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtcp1 description:mature T cell proliferation 1 [Source:MGI Symbol;Acc:MGI:102699] MAREDVGAPPDHLWVHQEGVYRDEYQRTWVAVVEEETSFLKARVQQVQVPLGDATKPSHL LTSQLPLMWQLYPEERYMDNNSRLWQIQHHLMVRGVQELLLKLLPDD >ENSMUSP00000109715.1 pep:known chromosome:GRCm38:X:75410777:75416588:-1 gene:ENSMUSG00000031200.16 transcript:ENSMUST00000114081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mtcp1 description:mature T cell proliferation 1 [Source:MGI Symbol;Acc:MGI:102699] MAREDVGAPPDHLWVHQEGVYRDEYQRTWVAVVEEETSFLKARVQQVQVPLGDATKPSHL LTSQLPLMWQLYPEERYMDNNSRLWQIQHHLMVRGVQELLLKLLPDD >ENSMUSP00000084541.3 pep:known chromosome:GRCm38:4:99656299:99658622:1 gene:ENSMUSG00000067261.4 transcript:ENSMUST00000087285.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxd3 description:forkhead box D3 [Source:MGI Symbol;Acc:MGI:1347473] MTLSGSGSASDMSGQTVLTAEDVDIDVVGEGDDGLEEKDSDAGCDSPAGPPDLRLDEADE GPPVSAHHGQSQPQALALPTEATGPGNDTGAPEADGCKGGEDAVTGGGGPGAGSGATGGL TPNKPKNSLVKPPYSYIALITMAILQSPQKKLTLSGICEFISNRFPYYREKFPAWQNSIR HNLSLNDCFVKIPREPGNPGKGNYWTLDPQSEDMFDNGSFLRRRKRFKRHQQEHLREQTA LMMQSFGAYSLAAAAGAGPYGRPYGLHPAAAAGAYSHPAAAAAAAAAAALQYPYALPPVA PVLPPAVPLLPSGELGRKAAAFGSQLGPSLQLQLNTLGAAAAAAGTAGAAGTTSLIKSEP SARPSFSIENIIGAGSAAPGGSAGGGGSGGGAGGGGGSGGGGGAQSFLRPPGTVQSAALM ATHQPLSLSRTTATIAPILSVPLSGQFLQPAASAAAAAAAAVQAKWPAQ >ENSMUSP00000020759.5 pep:known chromosome:GRCm38:11:28853204:28926743:1 gene:ENSMUSG00000020467.15 transcript:ENSMUST00000020759.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp1 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:1339998] MLQTLFLTMLTLALVKSQYTEETITYTQCTDGYEWDPIRQQCKDIDECDIVPDACKGGMK CVNHYGGYLCLPKTAQIIVNNEHPQQETPAAEASSGATTGTVAARSMATSGVVPGGGFMA SATAVAGPEVQTGRNNFVIRRNPADPQRIPSNPSHRIQCAAGYEQSEHNVCQDIDECTSG THNCRTDQVCINLRGSFTCQCLPGYQKRGEQCVDIDECTVPPYCHQRCVNTPGSFYCQCS PGFQLAANNYTCVDINECDASNQCAQQCYNILGSFICQCNQGYELSSDRLNCEDIDECRT SSYLCQYQCVNEPGKFSCMCPQGYEVVRSRTCQDINECETTNECREDEMCWNYHGGFRCY PRNPCQDHYVLTSENRCVCPVSNTMCRELPQSIVYKYMSIRSDRSVPSDIFQIQATMIYA NTINTFRIKSGNENGEFYLRQTSPVSAMLVLVKSLSGPREYIVDLEMLTVSSIGTFRTSS VLRLTIIVGPFSF >ENSMUSP00000114757.1 pep:known chromosome:GRCm38:11:28915667:28926743:1 gene:ENSMUSG00000020467.15 transcript:ENSMUST00000139713.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Efemp1 description:epidermal growth factor-containing fibulin-like extracellular matrix protein 1 [Source:MGI Symbol;Acc:MGI:1339998] XECDASNQCAQQCYNILGSFICQCNQGYELSSDRLNCEDINECETTNECREDEMCWNYHG GFRCYPRNPCQDHYVLTSENRCVCPVSNTMCRELPQSIVYKYMSIRSDRSVPSDIFQIQA TMIYANTINTFRIKSGNENGEFYLRQTSPVSAMLVLVKSLSGPREYIVDLEMLTVSSIGT FRTSSVLRLTIIVGPFSF >ENSMUSP00000134672.1 pep:known chromosome:GRCm38:15:79286228:79327964:-1 gene:ENSMUSG00000042632.16 transcript:ENSMUST00000174021.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g6 description:phospholipase A2, group VI [Source:MGI Symbol;Acc:MGI:1859152] MQFFGRLVNTLSSVTNLFSNPFRVKEVSLTDYVSSERVREEGQLILLQNVSNRTWDCVLV SPRNPQSGFRLFQLESEADALVNFQQFSSQLPPFYESSVQVLHVEVLQHLTDLIRNHPSW TVTHLAVELGIRECFHHSRIISCANSTENEEGCTPLHLACRKGDSEILVELVQYCHAQMD VTDNKGETAFHYAVQGDNPQVLQLLGKNASAGLNQVNNQGLTPLHLACKMGKQEMVRVLL LCNARCNIMGPGGFPIHTAMKFSQKGCAEMIISMDSNQIHSKDPRYGASPLHWAKNAEMA RMLLKRGCDVDSTSSSGNTALHVAVMRNRFDCVMVLLTYGANAGARGEHGNTPLHLAMSK DNMEMVKALIVFGAEVDTPNDFGETPALIASKISKLITRKALLTLLKTVGADHHFPIIQG VSTEQGSAAATHPLFSLDRTQPPAISLNNLELQDLMPISRARKPAFILSSMRDEKRSHDH LLCLDGGGVKGLVIIQLLIAIEKASGVATKDLFDWVAGTSTGGILALAILHSKSMAYMRG VYFRMKDEVFRGSRPYESGPLEEFLKREFGEHTKMTDVKKPKVMLTGTLSDRQPAELHLF RNYDAPEAVREPRCNQNINLKPPTQPADQLVWRAARSSGAAPTYFRPNGRFLDGGLLANN PTLDAMTEIHEYNQDMIRKGQGNKVKKLSIVVSLGTGKSPQVPVTCVDVFRPSNPWELAK TVFGAKELGKMVVDCCTDPDGRAVDRARAWCEMVGIQYFRLNPQLGSDIMLDEVSDAVLV NALWETEVYIYEHREEFQKLVQLLLSP >ENSMUSP00000132071.2 pep:known chromosome:GRCm38:15:79286228:79328176:-1 gene:ENSMUSG00000042632.16 transcript:ENSMUST00000166977.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g6 description:phospholipase A2, group VI [Source:MGI Symbol;Acc:MGI:1859152] MQFFGRLVNTLSSVTNLFSNPFRVKEVSLTDYVSSERVREEGQLILLQNVSNRTWDCVLV SPRNPQSGFRLFQLESEADALVNFQQFSSQLPPFYESSVQVLHVEVLQHLTDLIRNHPSW TVTHLAVELGIRECFHHSRIISCANSTENEEGCTPLHLACRKGDSEILVELVQYCHAQMD VTDNKGETAFHYAVQGDNPQVLQLLGKNASAGLNQVNNQGLTPLHLACKMGKQEMVRVLL LCNARCNIMGPGGFPIHTAMKFSQKGCAEMIISMDSNQIHSKDPRYGASPLHWAKNAEMA RMLLKRGCDVDSTSSSGNTALHVAVMRNRFDCVMVLLTYGANAGARGEHGNTPLHLAMSK DNMEMVKALIVFGAEVDTPNDFGETPALIASKISKQLQDLMPISRARKPAFILSSMRDEK RSHDHLLCLDGGGVKGLVIIQLLIAIEKASGVATKDLFDWVAGTSTGGILALAILHSKSM AYMRGVYFRMKDEVFRGSRPYESGPLEEFLKREFGEHTKMTDVKKPKVMLTGTLSDRQPA ELHLFRNYDAPEAVREPRCNQNINLKPPTQPADQLVWRAARSSGAAPTYFRPNGRFLDGG LLANNPTLDAMTEIHEYNQDMIRKGQGNKVKKLSIVVSLGTGKSPQVPVTCVDVFRPSNP WELAKTVFGAKELGKMVVDCCTDPDGRAVDRARAWCEMVGIQYFRLNPQLGSDIMLDEVS DAVLVNALWETEVYIYEHREEFQKLVQLLLSP >ENSMUSP00000134456.1 pep:known chromosome:GRCm38:15:79286228:79328203:-1 gene:ENSMUSG00000042632.16 transcript:ENSMUST00000173163.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g6 description:phospholipase A2, group VI [Source:MGI Symbol;Acc:MGI:1859152] MQFFGRLVNTLSSVTNLFSNPFRVKEVSLTDYVSSERVREEGQLILLQNVSNRTWDCVLV SPRNPQSGFRLFQLESEADALVNFQQFSSQLPPFYESSVQVLHVEVLQHLTDLIRNHPSW TVTHLAVELGIRECFHHSRIISCANSTENEEGCTPLHLACRKGDSEILVELVQYCHAQMD VTDNKGETAFHYAVQGDNPQVLQLLGKNASAGLNQVNNQGLTPLHLACKMGKQEMVRVLL LCNARCNIMGPGGFPIHTAMKFSQKGCAEMIISMDSNQIHSKDPRYGASPLHWAKNAEMA RMLLKRGCDVDSTSSSGNTALHVAVMRNRFDCVMVLLTYGANAGARGEHGNTPLHLAMSK DNMEMVKALIVFGAEVDTPNDFGETPALIASKISKQLQDLMPISRARKPAFILSSMRDEK RSHDHLLCLDGGGVKGLVIIQLLIAIEKASGVATKDLFDWVAGTSTGGILALAILHSKSM AYMRGVYFRMKDEVFRGSRPYESGPLEEFLKREFGEHTKMTDVKKPKVMLTGTLSDRQPA ELHLFRNYDAPEAVREPRCNQNINLKPPTQPADQLVWRAARSSGAAPTYFRPNGRFLDGG LLANNPTLDAMTEIHEYNQDMIRKGQGNKVKKLSIVVSLGTGKSPQVPVTCVDVFRPSNP WELAKTVFGAKELGKMVVDCCTDPDGRAVDRARAWCEMVGIQYFRLNPQLGSDIMLDEVS DAVLVNALWETEVYIYEHREEFQKLVQLLLSP >ENSMUSP00000044234.8 pep:known chromosome:GRCm38:15:79286228:79328228:-1 gene:ENSMUSG00000042632.16 transcript:ENSMUST00000047816.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g6 description:phospholipase A2, group VI [Source:MGI Symbol;Acc:MGI:1859152] MQFFGRLVNTLSSVTNLFSNPFRVKEVSLTDYVSSERVREEGQLILLQNVSNRTWDCVLV SPRNPQSGFRLFQLESEADALVNFQQFSSQLPPFYESSVQVLHVEVLQHLTDLIRNHPSW TVTHLAVELGIRECFHHSRIISCANSTENEEGCTPLHLACRKGDSEILVELVQYCHAQMD VTDNKGETAFHYAVQGDNPQVLQLLGKNASAGLNQVNNQGLTPLHLACKMGKQEMVRVLL LCNARCNIMGPGGFPIHTAMKFSQKGCAEMIISMDSNQIHSKDPRYGASPLHWAKNAEMA RMLLKRGCDVDSTSSSGNTALHVAVMRNRFDCVMVLLTYGANAGARGEHGNTPLHLAMSK DNMEMVKALIVFGAEVDTPNDFGETPALIASKISKQLQDLMPISRARKPAFILSSMRDEK RSHDHLLCLDGGGVKGLVIIQLLIAIEKASGVATKDLFDWVAGTSTGGILALAILHSKSM AYMRGVYFRMKDEVFRGSRPYESGPLEEFLKREFGEHTKMTDVKKPKVMLTGTLSDRQPA ELHLFRNYDAPEAVREPRCNQNINLKPPTQPADQLVWRAARSSGAAPTYFRPNGRFLDGG LLANNPTLDAMTEIHEYNQDMIRKGQGNKVKKLSIVVSLGTGKSPQVPVTCVDVFRPSNP WELAKTVFGAKELGKMVVDCCTDPDGRAVDRARAWCEMVGIQYFRLNPQLGSDIMLDEVS DAVLVNALWETEVYIYEHREEFQKLVQLLLSP >ENSMUSP00000131081.2 pep:known chromosome:GRCm38:15:79286232:79328253:-1 gene:ENSMUSG00000042632.16 transcript:ENSMUST00000172403.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g6 description:phospholipase A2, group VI [Source:MGI Symbol;Acc:MGI:1859152] MQFFGRLVNTLSSVTNLFSNPFRVKEVSLTDYVSSERVREEGQLILLQNVSNRTWDCVLV SPRNPQSGFRLFQLESEADALVNFQQFSSQLPPFYESSVQVLHVEVLQHLTDLIRNHPSW TVTHLAVELGIRECFHHSRIISCANSTENEEGCTPLHLACRKGDSEILVELVQYCHAQMD VTDNKGETAFHYAVQGDNPQVLQLLGKNASAGLNQVNNQGLTPLHLACKMGKQEMVRVLL LCNARCNIMGPGGFPIHTAMKFSQKGCAEMIISMDSNQIHSKDPRYGASPLHWAKNAEMA RMLLKRGCDVDSTSSSGNTALHVAVMRNRFDCVMVLLTYGANAGARGEHGNTPLHLAMSK DNMEMVKALIVFGAEVDTPNDFGETPALIASKISKQLQDLMPISRARKPAFILSSMRDEK RSHDHLLCLDGGGVKGLVIIQLLIAIEKASGVATKDLFDWVAGTSTGGILALAILHSKSM AYMRGVYFRMKDEVFRGSRPYESGPLEEFLKREFGEHTKMTDVKKPKVMLTGTLSDRQPA ELHLFRNYDAPEAVREPRCNQNINLKPPTQPADQLVWRAARSSGAAPTYFRPNGRFLDGG LLANNPTLDAMTEIHEYNQDMIRKGQGNKVKKLSIVVSLGTGKSPQVPVTCVDVFRPSNP WELAKTVFGAKELGKMVVDCCTDPDGRAVDRARAWCEMVGIQYFRLNPQLGSDIMLDEVS DAVLVNALWETEVYIYEHREEFQKLVQLLLSP >ENSMUSP00000133998.1 pep:known chromosome:GRCm38:15:79308854:79328390:-1 gene:ENSMUSG00000042632.16 transcript:ENSMUST00000173632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g6 description:phospholipase A2, group VI [Source:MGI Symbol;Acc:MGI:1859152] MQFFGRLVNTLSSVTNLFSNPFRVKEVSLTDYVSSERVREEGQLILLQNVSNRTWDCVLV SPRNPQSGFRLFQLESEADALVNFQQFSSQLPPFYESSVQVLHVEVLQHLTDLIRNHPSW TVTHLAVELGIRECFHHSRIISCANSTENEEGCTPLHLACRKGDSEILVELVQYCHAQMD VTDNKGETAFHYAVQGDNPQVLQL >ENSMUSP00000133554.1 pep:known chromosome:GRCm38:15:79311806:79323566:-1 gene:ENSMUSG00000042632.16 transcript:ENSMUST00000173109.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g6 description:phospholipase A2, group VI [Source:MGI Symbol;Acc:MGI:1859152] MQFFGRLVNTLSSVTNLFSNPFRVKEVSLTDYVSSERVREEGQLILLQNVSNRTWDCVLV SPRNPQSGFRLFQLESEADALVNFQQFSSQLPPFYESSVQVLHVEVLQHLTDLIRNHPSW TVTHLAVELGIRECFHHSRIISCANSTENEEGCTPLHLACRKGDSEILVELVQYCHAQMD VTDNKGETAFHYAVQGDNP >ENSMUSP00000133578.1 pep:known chromosome:GRCm38:15:79317871:79324488:-1 gene:ENSMUSG00000042632.16 transcript:ENSMUST00000172936.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pla2g6 description:phospholipase A2, group VI [Source:MGI Symbol;Acc:MGI:1859152] MQFFGRLVNTLSSVTNLFSNPFRVKEVSLTDYV >ENSMUSP00000129347.1 pep:known chromosome:GRCm38:17:20573829:20596259:-1 gene:ENSMUSG00000091259.1 transcript:ENSMUST00000169559.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r110 description:vomeronasal 2, receptor 110 [Source:MGI Symbol;Acc:MGI:3644602] MFYCIFISCFLHISKVVSEAMTVNISLCFYKTPEEFHHEGDVVIGAFFPIHTYYSPNKVP HPSVPYKYMDNYLQYNFKNYQYILALVFAIEEINENPNLLPNISLGFDFYNVRFTEKETL MNVCFWLTGQGQKKILPNYNCAKNNFTTALTGTSWTTSAQIGTLLQLFKFPQLSFGPYDP ILSDRGQYSSLYQMAPKYSSISRGIVSLMVHFRWSWVGLILPDDHKGYIILSELREDMER KGVCIAFVKMILLTLTSYYNKFWENMDKTNVIIIYGDVDSLTGLMRNIGQRLLTAKVWVM NIEPHITDYADYFMFDSFHGSLIFTHKYRESFDLTTFIQTVNPYKYPEDIYLPKLWHLFF KCSFSDIDCLLLANCQSNASLDVLPSHILDMTISEESNNIYNAVYAVAHSLHQMSLQQVQ KQPHENGEAMAFFPWQLNIFLKDINERGNISLDWRHKLNGEYDILNLWNLPKGLGQKVKI GSFSANAPEGQQLSLSEHMIQWAGGFSEIPQSVCSESCRPGFRKVILEGKAICCYNCTPC ADNEISNETDVDQCVKCPESHYANTEKNHCFQKTVSFLAYEEPLGITLASITLCLSALTA FVIGVFVKYRDTPIVKANNRGLSYTLLITITFCLLCSLNFIGQPNTASCILQQNIFGVAF TVALATVLAKAITVVIAFKATFPGRMVRWLMTSRAPNYIIPICTLIQLLLCGIWMATSPP FIDQDVHAEHGQILLFCNKGSSVAFHCVLGYLCSLALGGYTMAFLSRNLPDTFNESKFLS FSMLVFFCVWVTFLPVYHSTKGKVMVAMEVFSILASSAALLGFIFAPKCYIILFRPDRNS FHHIRDKTHSQRNTSLKI >ENSMUSP00000128085.1 pep:known chromosome:GRCm38:17:12118704:12210710:1 gene:ENSMUSG00000023827.8 transcript:ENSMUST00000164143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat4 description:1-acylglycerol-3-phosphate O-acyltransferase 4 (lysophosphatidic acid acyltransferase, delta) [Source:MGI Symbol;Acc:MGI:1915512] MDLIGLLKSQFLCHLVFCYVFIASGLIVNAIQLCTLVIWPINKQLFRKINARLCYCVSSQ LVMLLEWWSGTECTIYTDPKACPHYGKENAIVVLNHKFEIDFLCGWSLAERLGILGNSKV LAKKELAYVPIIGWMWYFVEMIFCTRKWEQDRQTVAKSLLHLRDYPEKYLFLIHCEGTRF TEKKHQISMQVAQA >ENSMUSP00000024594.2 pep:known chromosome:GRCm38:17:12119284:12219645:1 gene:ENSMUSG00000023827.8 transcript:ENSMUST00000024594.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat4 description:1-acylglycerol-3-phosphate O-acyltransferase 4 (lysophosphatidic acid acyltransferase, delta) [Source:MGI Symbol;Acc:MGI:1915512] MDLIGLLKSQFLCHLVFCYVFIASGLIVNAIQLCTLVIWPINKQLFRKINARLCYCVSSQ LVMLLEWWSGTECTIYTDPKACPHYGKENAIVVLNHKFEIDFLCGWSLAERLGILGNSKV LAKKELAYVPIIGWMWYFVEMIFCTRKWEQDRQTVAKSLLHLRDYPEKYLFLIHCEGTRF TEKKHQISMQVAQAKGLPSLKHHLLPRTKGFAITVKCLRDVVPAVYDCTLNFRNNENPTL LGVLNGKKYHADCYVRRIPMEDIPEDEDKCSAWLHKLYQEKDAFQEEYYRTGVFPETPWV PPRRPWSLVNWLFWASLLLYPFFQFLVSMVSSGSSVTLASLVLIFCMASMGVRWMIGVTE IDKGSAYGNIDNKRKQTD >ENSMUSP00000127477.1 pep:known chromosome:GRCm38:17:12133205:12210361:1 gene:ENSMUSG00000023827.8 transcript:ENSMUST00000167792.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agpat4 description:1-acylglycerol-3-phosphate O-acyltransferase 4 (lysophosphatidic acid acyltransferase, delta) [Source:MGI Symbol;Acc:MGI:1915512] MDLIGLLKSQFLCHLVFCYVFIASGLIVNAIQLCTLVIWPINKQLFRKINARLCYCVSSQ LVMLLEWWSGTECTIYTDPKACPHYGKENAIVVLNHKFEIDFLCGWSLAERLGILGNSKV LAKKELAYVPIIGWMWYFVEMIFCTRKWEQDRQTVAKSLLHLRDYP >ENSMUSP00000127417.1 pep:known chromosome:GRCm38:17:12151772:12219079:1 gene:ENSMUSG00000023827.8 transcript:ENSMUST00000170858.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Agpat4 description:1-acylglycerol-3-phosphate O-acyltransferase 4 (lysophosphatidic acid acyltransferase, delta) [Source:MGI Symbol;Acc:MGI:1915512] MDLIGLLKSQFLCHLVFCYVFIASGLIVNAIQLCTLVIWPINKQLFRKINARLCYCVSSQ LQSPGQERTGLCPNHWLDVVLRGNDLLHTQVGARSADGCQEPAAPPGLPREVSVPDPL >ENSMUSP00000072200.4 pep:known chromosome:GRCm38:3:92823074:92827247:-1 gene:ENSMUSG00000059832.4 transcript:ENSMUST00000072363.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kprp description:keratinocyte expressed, proline-rich [Source:MGI Symbol;Acc:MGI:1920981] MCDQQPIQCCVPIPQCCVKGSSFGPSQFSYANNQVLVEAPCEMKVLECAAPCPVQVSQTA CQSSTTEVKGQAPCKTTKGKCQAPCQSKTTQVKYQPKTTEIKCQAPCQTQVSCVQCQAPC QSQVSYVQIPQPPQTYYVECPPVYYTETRYVEYPVSTYMPAPALQPGYTYVECPAVGQGQ GQGQGGFSTQYQYQGSYGSCTPQSQSRRSYSSCGPQNQSQASYSYCEPQFQSGPSYTNCG PQRQSQASYGNCTSQLQSRASYSNCSSQRRSGATFSTCAPRCQSQGTYGSYTSQRRSQST SRCLPPRRLQPSYRSCSPPRHSEPCYSSCLPSRCSSGSYNYCTPPRRSEPIYGSHCPPRG RPSGCSQRCGPKCRVEISSPCCPRQVPPQRCPVQIPPFRGRSQSCPRQPSWGVSCPDLRP RADPHPFPRSCRPQHLDRSPESSRQRCPVPAPRPYPRPQPCPSPEPRPYPRPQPCPSPEP RPRPCPQPCPSPEPRPCPPLRRFSEPCLYPEPCSAPQPVPHPAPRPVPRPRPVHCENPGP RPQPCPLPHPEPMPRPAPCSSPEPCGQPVRCPSPCSGPNPVPYRQELGCHESNPCRLDTE GPRCGSYNFTQRQESNGSCESGDVFSGSHGLSGCGDQGNTCGGMNCGAYGGAKGAYF >ENSMUSP00000115726.1 pep:known chromosome:GRCm38:11:70657202:70660805:1 gene:ENSMUSG00000060600.15 transcript:ENSMUST00000157027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno3 description:enolase 3, beta muscle [Source:MGI Symbol;Acc:MGI:95395] MAMQKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKARYLGK GVLKAVEHINKTLGPALLEKKLSVVDQEKVDKFMIELDGTENKSKFGANAILGVSLAVCK AGAAEKGVPLYRHIADLAGNPDLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKE AMRIGAEVYHHLKGVI >ENSMUSP00000072620.5 pep:known chromosome:GRCm38:11:70657202:70662513:1 gene:ENSMUSG00000060600.15 transcript:ENSMUST00000072841.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno3 description:enolase 3, beta muscle [Source:MGI Symbol;Acc:MGI:95395] MAMQKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKARYLGK GVLKAVEHINKTLGPALLEKKLSVVDQEKVDKFMIELDGTENKSKFGANAILGVSLAVCK AGAAEKGVPLYRHIADLAGNPDLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKE AMRIGAEVYHHLKGVIKAKYGKDATNVGDEGGFAPNILENNEALELLKTAIQAAGYPDKV VIGMDVAASEFYRNGKYDLDFKSPDDPARHISGEKLGELYKNFIQNYPVVSIEDPFDQDD WATWTSFLSGVDIQIVGDDLTVTNPKRIAQAVEKKACNCLLLKVNQIGSVTESIQACKLA QSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEALGDK AVFAGRKFRNPKAK >ENSMUSP00000104188.1 pep:known chromosome:GRCm38:11:70657204:70662513:1 gene:ENSMUSG00000060600.15 transcript:ENSMUST00000108548.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno3 description:enolase 3, beta muscle [Source:MGI Symbol;Acc:MGI:95395] MAMQKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKARYLGK GVLKAVEHINKTLGPALLEKKLSVVDQEKVDKFMIELDGTENKSKFGANAILGVSLAVCK AGAAEKGVPLYRHIADLAGNPDLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKE AMRIGAEVYHHLKGVIKAKYGKDATNVGDEGGFAPNILENNEALELLKTAIQAAGYPDKV VIGMDVAASEFYRNGKYDLDFKSPDDPARHISGEKLGELYKNFIQNYPVVSIEDPFDQDD WATWTSFLSGVDIQIVGDDLTVTNPKRIAQAVEKKACNCLLLKVNQIGSVTESIQACKLA QSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEALGDK AVFAGRKFRNPKAK >ENSMUSP00000123688.1 pep:known chromosome:GRCm38:11:70657285:70658418:1 gene:ENSMUSG00000060600.15 transcript:ENSMUST00000126241.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno3 description:enolase 3, beta muscle [Source:MGI Symbol;Acc:MGI:95395] MAMQKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKARYLGK GVLKAVEHIN >ENSMUSP00000121640.1 pep:known chromosome:GRCm38:11:70657687:70658447:1 gene:ENSMUSG00000060600.15 transcript:ENSMUST00000134087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno3 description:enolase 3, beta muscle [Source:MGI Symbol;Acc:MGI:95395] MAMQKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKARYLGK GVLKAVEHINKTLGPALLEK >ENSMUSP00000137559.1 pep:known chromosome:GRCm38:11:70660773:70662264:1 gene:ENSMUSG00000060600.15 transcript:ENSMUST00000179055.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno3 description:enolase 3, beta muscle [Source:MGI Symbol;Acc:MGI:95395] XEVYHHLKGVIKAKYGKDATNVGDEGGFAPNILENNEALELLKTAIQAAGYPDKVVIGMD VAASEFYRNVVSIEDPFDQDDWATWTSFLSGVDIQIVGDDLTVTNPKRIAQAVEKKACNC LLLKVNQIGSVTESIQACKLAQSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPC RSERLAKYNQ >ENSMUSP00000128714.1 pep:known chromosome:GRCm38:11:70657796:70662510:1 gene:ENSMUSG00000060600.15 transcript:ENSMUST00000170716.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno3 description:enolase 3, beta muscle [Source:MGI Symbol;Acc:MGI:95395] MAMQKIFAREILDSRGNPTVEVDLHTAKGRFRAAVPSGASTGIYEALELRDGDKARYLGK GVLKAVEHINKTLGPALLEKKLSVVDQEKVDKFMIELDGTENKSKFGANAILGVSLAVCK AGAAEKGVPLYRHIADLAGNPDLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKE AMRIGAEVYHHLKGVIKAKYGKDATNVGDEGGFAPNILENNEALELLKTAIQAAGYPDKV VIGMDVAASEFYRNGKYDLDFKSPDDPARHISGEKLGELYKNFIQNYPVVSIEDPFDQDD WATWTSFLSGVDIQIVGDDLTVTNPKRIAQAVEKKACNCLLLKVNQIGSVTESIQACKLA QSNGWGVMVSHRSGETEDTFIADLVVGLCTGQIKTGAPCRSERLAKYNQLMRIEEALGDK AVFAGRKFRNPKAK >ENSMUSP00000064161.4 pep:known chromosome:GRCm38:17:34469042:34475937:-1 gene:ENSMUSG00000058435.5 transcript:ENSMUST00000065841.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl4 description:butyrophilin-like 4 [Source:MGI Symbol;Acc:MGI:1932036] MENHRKPSLLFHVPCLFVLTQLLSWVTTQEFRVFGPSDPIVAAPGGEAILPCSVFPAMNV ENMEELRWFRSRFSEAVLFYRDQEEQKEGQMPGYSQRTLLVKDQFHQGTAAVRILNVQAS DSGIYICHFQQGVFYDEAILELKVAAMGSVPEVHIKGPEDGGVCVVCMTSGWYPEPQVHW KDSRGENLTAFSSETHTKDAEGLFSTETLLVVRDSSVRNVTCSIFNPILGQEKATAMFIP EPFFPQASPWKPAFLVTLTMMGLLVLGTSYLLRREHSARLKVQQETVNFQREKDESLKTR DDALRTAGALMVERDRRKAAYRAAWKKAQLYADWRKEHFEAWTFTLDPASAHPILAISPD RLSVSRKDSTLCLDDLFCVLGIRGISSGRHYWEVKLRNGDSSKWTLGVCREGVDRKGCFS ECPHKGFWTVGRSSSGYLAYIDTGTALLSLRQAPQSVGVFVDYTEGDISFYNMSDMSPMF SFHEASFSGTLFPYFRLKSGNVSMIIQSMACASEGREGEDRRRGRKRSRKGRKGKRTKRG KKLVLLPLEESLSPAGEGLVPGSCVVDSFPEEVSPFLQHARNSLFP >ENSMUSP00000040578.5 pep:known chromosome:GRCm38:6:13625673:13677966:-1 gene:ENSMUSG00000042742.7 transcript:ENSMUST00000045235.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B630005N14Rik description:RIKEN cDNA B630005N14 gene [Source:MGI Symbol;Acc:MGI:2141466] MEPGPGGRGAARGQRPPNAAQPREQERKLEQEKLSGVVKSVHRRLRKKYREVGDFDKIWR EHCEDAETLCEYAVAMKNLADNHWAKTCEGEGRIEWCCSVCREYFQNGGKRKALEKDEKR AVLATKTTPALNVHESSKLEGPLTNLSFTSPDFITELLQASGKIRLLDVGSCFNPFLKFE EFLTVGIDIVPAVESVYKCDFLNLQLQQPLQLAQDAIDAFLKQLRNPIDALPGELFHVVV FSLLLSYFPSPYQRWICCKKAHELLVLNGLLLIITPDSSHQNRHAMMMKSWKIAIESLGF KRFKYSKFSHMHLMAFRKTSLKTTSDLVSRNYPGMLYIPQDFNSVEEEEYSNTSCYVRSD LEDEQLAYGFTELPEAPYDSDSGESQASSIPFYELEDPILLLS >ENSMUSP00000144704.1 pep:known chromosome:GRCm38:6:13626815:13677938:-1 gene:ENSMUSG00000042742.7 transcript:ENSMUST00000203078.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B630005N14Rik description:RIKEN cDNA B630005N14 gene [Source:MGI Symbol;Acc:MGI:2141466] MEPGPGGRGAARGQRPPNAAQPREQERKLEQEKLSGVVKSVHRRLRKKYREVGDFDKIWR EHCEDAETLCEYAVAMKNLADNHWAKTCEGEGRIEWCCSVCREYFQNGGKRKALEKDEKR AVLATKTTPALNVHESSKLEGPLTNLSFTSPDFITELLQASGKIRLLDVGSCFNPFLKFE EFLTVGIDIVPAVEVCGFIYRLCF >ENSMUSP00000137630.1 pep:known chromosome:GRCm38:17:45600971:45602067:-1 gene:ENSMUSG00000079471.4 transcript:ENSMUST00000178858.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7325 description:predicted gene 7325 [Source:MGI Symbol;Acc:MGI:3649059] MPVPLLPMVLRSLLSRLLLPVARLARQHLLPLLRRLARRLSSQDMREALLSCLLFVLSQQ QPPDSGEASRVDHSQRKERLGPQK >ENSMUSP00000126690.1 pep:known chromosome:GRCm38:17:45601254:45601966:-1 gene:ENSMUSG00000079471.4 transcript:ENSMUST00000169137.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7325 description:predicted gene 7325 [Source:MGI Symbol;Acc:MGI:3649059] MPEESCTVKLIQLKTGEYRGAGPAMPVPLLPMVLRSLLSRLLLPVARLARQHLLPLLRRL ARRLSSQDMREALLSCLLFVLSQQQPPDSGEASRVDHSQRKERLGPQK >ENSMUSP00000109157.2 pep:known chromosome:GRCm38:17:45601336:45602102:-1 gene:ENSMUSG00000079471.4 transcript:ENSMUST00000113529.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7325 description:predicted gene 7325 [Source:MGI Symbol;Acc:MGI:3649059] MPVPLLPMVLRSLLSRLLLPVARLARQHLLPLLRRLARRLSSQDMREALLSCLLFVLSQQ QPPDSGEASRVDHSQRKERLGPQK >ENSMUSP00000146823.1 pep:known chromosome:GRCm38:17:45601353:45601970:-1 gene:ENSMUSG00000079471.4 transcript:ENSMUST00000208801.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm7325 description:predicted gene 7325 [Source:MGI Symbol;Acc:MGI:3649059] MPVPLLPMVLRSLLSRLLLPVARLARQHLLPLLRRLARRLSSQDMREALLSCLLFVLSQQ QPPDSGEASRVDHSQRKERLGPQK >ENSMUSP00000120071.1 pep:known chromosome:GRCm38:14:57798180:57804980:1 gene:ENSMUSG00000021963.16 transcript:ENSMUST00000128764.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap18 description:Sin3-associated polypeptide 18 [Source:MGI Symbol;Acc:MGI:1277978] MLAAGVGGQGERLPGRRRKMAVESRVTQEEIKKEPEKPIDREKTCPLLLRVFTTNNGRHH RMDEFSRGNVPSSELQIYTWMDATLKELTSLVKEVYPEARKKGTHFNFAIVFMDLKRPGY RVKEIGSTMSGRKGTDDSMTLQSQKFQIGDYLDIAITPPNRAPPSSGRMRPY >ENSMUSP00000106898.1 pep:known chromosome:GRCm38:14:57798638:57802335:1 gene:ENSMUSG00000021963.16 transcript:ENSMUST00000111267.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap18 description:Sin3-associated polypeptide 18 [Source:MGI Symbol;Acc:MGI:1277978] MDATLKELTSLVKEVYPEARKKGTHFNFAIVFMDLKRPGYRVKEIGSTMSGRKGTDDSMT LQSQKFQIGDYLDIAITPPNRAPPSSGRMRPY >ENSMUSP00000106899.1 pep:known chromosome:GRCm38:14:57798632:57802327:1 gene:ENSMUSG00000021963.16 transcript:ENSMUST00000111268.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap18 description:Sin3-associated polypeptide 18 [Source:MGI Symbol;Acc:MGI:1277978] MDATLKELTSLVKEVYPEARKKGTHFNFAIVFMDLKRPGYRVKEIGSTMSGRKGTDDSMT LQSQKFQIGDYLDIAITPPNRAPPSSGRMRPY >ENSMUSP00000121525.1 pep:known chromosome:GRCm38:14:57798426:57802147:1 gene:ENSMUSG00000021963.16 transcript:ENSMUST00000143600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap18 description:Sin3-associated polypeptide 18 [Source:MGI Symbol;Acc:MGI:1277978] MDEFSRGNVPSSELQIYTWMDATLKELTSLVKEVYPEARKKGTHFNFAIVFMDLKRPGYR VKEIGSTMSGRKGTDDSMTLQSQKFQIGDYLDIAITPPNRAPPSSGRMRPY >ENSMUSP00000106900.1 pep:known chromosome:GRCm38:14:57798218:57804969:1 gene:ENSMUSG00000021963.16 transcript:ENSMUST00000111269.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap18 description:Sin3-associated polypeptide 18 [Source:MGI Symbol;Acc:MGI:1277978] MLAAGVGGQGERLPGRRRKMAVESRVTQEEIKKEPEKPIDREKTCPLLLRVFTTNNGRHH RMDEFSRGNVPSSELQIYTWCQGLKYKDQTKPLKKSRVRMKRMSRCL >ENSMUSP00000071179.1 pep:known chromosome:GRCm38:17:20623846:20624877:-1 gene:ENSMUSG00000060701.1 transcript:ENSMUST00000071189.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fpr-rs3 description:formyl peptide receptor, related sequence 3 [Source:MGI Symbol;Acc:MGI:1278318] MEANSSIPLNGSEVVFYDSTTSRVLWILSVIVLSITFVLGVLGNGLVIWVAGFRMAHTVT TICYLNLALGDFSFMVTLPLHIISMVMKGKWLFGWFLCKFVLSIVHINLFVSVFLITLIA MDRCTCVLHPVWVQNHRTVSLARKVIVGAWILSLLLTLPHFLFLTTVRDARGEVHCTCNF ESVVANPEEQLKVSITVSTATGIISFIIGFSLPMSFIAVCYGLMAAKICRKGFLNSSRPL RVLTAVAISFFMCWFPFQLIILLGNIWNKETPSSIHILLNPASTLASFNSCLNPILYVFL GQEFREKLIYSLSASLERALREDSVLSSGKSSNFSSCPADSEL >ENSMUSP00000127795.1 pep:known chromosome:GRCm38:14:5821934:5830329:-1 gene:ENSMUSG00000090512.8 transcript:ENSMUST00000164585.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3424 description:predicted gene 3424 [Source:MGI Symbol;Acc:MGI:3781602] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECSQLKEKVRILLNENRKLLVEQAGRQVSH GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRKSTECAQEIHHC CLSSLITMAVMGCMLLLYFVSLV >ENSMUSP00000136154.1 pep:known chromosome:GRCm38:14:5827343:5830322:-1 gene:ENSMUSG00000090512.8 transcript:ENSMUST00000178418.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3424 description:predicted gene 3424 [Source:MGI Symbol;Acc:MGI:3781602] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKGRRKSFLRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHRLRECSQLKEKVRILLNENRKLLVEQAGRQVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000060650.2 pep:known chromosome:GRCm38:15:77477047:77491069:1 gene:ENSMUSG00000050982.12 transcript:ENSMUST00000060551.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol10a description:apolipoprotein L 10A [Source:MGI Symbol;Acc:MGI:3036238] MDWNEILEDIRKVERRIVEKFIEDLTENFLRTDLRSLITEDGAWNGFLETAELSSEEKAA LRDALKESSAQKPSGENDRPERKPQKEQILREVPQLKKKLEVHISKLRELADKLDLVHKC CTISNVVSLTLSAASGVLKLLDFVLSQIYGMPRRALSATSEGLGMASDMINITTTIVGES FRQSYESEARRLVGASINILYEIINITPMITVKLYYTVKDLVEAFKTLTGQIRAIRTAIS NSDLGTQARNPASTGRSSGQGVPQMISRARIREIGLTVPFLEQDLRDLAQQFEVLKDGAK TESGGALRDLAHKLEENL >ENSMUSP00000113168.1 pep:known chromosome:GRCm38:15:77477055:77490090:1 gene:ENSMUSG00000050982.12 transcript:ENSMUST00000119997.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol10a description:apolipoprotein L 10A [Source:MGI Symbol;Acc:MGI:3036238] MDWNEILEDIRKVERRIVEKFIEDLTENFLRTDLRSLITEDGAWNGFLETAELSSEEKAA LRDALKESSAQKPSGENDRPERKPQKEQILREVPQLKKKLEVHISKLRELADKLDLVHKC CTISNVVSLTLSAASGVLKLLDFVLSQIYGMPRRALSATSEGLGMASDMINITTTIVGES FRQSYESEARRLVGASINILYEIINITPMITVKLYYTVKDLVEAFKTLTGQIRAIRTAIS NSDLGTQARNPASTGRSSGQGVPQMISRARIREIGLTVPFLEQDLRDLAQQFEVLKDGAK TESGGALRDLAHKLEENL >ENSMUSP00000130631.1 pep:known chromosome:GRCm38:7:9927624:9953585:-1 gene:ENSMUSG00000095914.1 transcript:ENSMUST00000165611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r48 description:vomeronasal 2, receptor 48 [Source:MGI Symbol;Acc:MGI:3647749] MKKMFIFMRVFFLLNITLLMANFIGPRCFWRINLDEIMDEYLGLSCAFILAAVQTPTEKD YFNKTLNFLKTTTNHKYALALVFAMDEINRNPDLLPNMSLIIRYALGHCDGKTVTPTPYL FHKRKKSPIPNYFCNEESMCSFLISGPNWKVSLSFWDYLDSFLSPRILQLTYGPFSSIFS DDEQYPYLYQMAPKDTSLALAMVSFIHYFNWNWIGLVIPDDDQGNQFLLELKKQSENKEI CFAFVKMISVDDVSFPQNTEMYYNQIVMSSTNVIIIYGETYNFIDLIFRMWEPPILQRIW ITTKQLNFPTRKKDISHGTFYGSLTFLPHHGEISGFKNFVQTWFHLRNTDLYLLMQEWKY FNYVSSASNCKILKNNSSDASFNWLMEQKFDMTFSENSHNIYNAVHAIAHALHEMNLQHA DNQAIDNGKRASSHCLKVNSFLRRAYFTNPLGDKVFMKQRVIMQDEYDIIHFGNLSQHLE IKMKLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSAECSPGFRRLWKEGMAACCF VCSPCPENEISNETNMDQCMNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCF SAFTALVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNRVICVLQQIT FGIVFTVAVSTVLAKTVIVVLAFKVTDPGRRLRYFLVSGTPNYIIPICSLLQCVLCAIWL AVSPPFVDIDEHTLHGHIIIVCNKGSDTAFYCILGYLACLALGSFSVAFLAKNLPDTFNE AKFLTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGMLGCIFVPKIYIILMR PERNSTQKIREKSYF >ENSMUSP00000110477.2 pep:known chromosome:GRCm38:16:36793744:36828261:-1 gene:ENSMUSG00000022838.13 transcript:ENSMUST00000114829.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eaf2 description:ELL associated factor 2 [Source:MGI Symbol;Acc:MGI:2146616] MSGPAGLAYLDRRERVLKLGESFEKQPRCAFHTVRYDFKPASIDTSCEGNLEVGKGEQVT ITLPNIEGSTPPVTVFKGSKRPYLKECILIINHDTGECRLEKLSSNITVKKTRVEGSSRI QYRLEQQQQQMWNLPRTSNLVQHSPSEEKMSPTSLMDDIERELKAEASLMDQMSSCDSSS DSKSSSSSSSEDSSSDSEDDDQFSPLGPRKYSSEHPSMSAGPQYRTSEADATCHRLQDHS TLLMSTLRSDLQLSESESDSED >ENSMUSP00000023537.5 pep:known chromosome:GRCm38:16:36793749:36828227:-1 gene:ENSMUSG00000022838.13 transcript:ENSMUST00000023537.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eaf2 description:ELL associated factor 2 [Source:MGI Symbol;Acc:MGI:2146616] MSGPAGLAYLDRRERVLKLGESFEKQPRCAFHTVRYDFKPASIDTSCEGNLEVGKGEQVT ITLPNIEGSTPPVTVFKGSKRPYLKECILIINHDTGECRLEKLSSNITVKKTRVEGSSRI QYRLEQQQQQMWNLPRTSNLVQHSPSEEKMSPTSLMDDIERGNTARSTLACLLGHSTGLQ RLMLLVTDFRTTVPF >ENSMUSP00000075331.5 pep:known chromosome:GRCm38:16:36792884:36874826:-1 gene:ENSMUSG00000022838.13 transcript:ENSMUST00000075946.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eaf2 description:ELL associated factor 2 [Source:MGI Symbol;Acc:MGI:2146616] MWNLPRTSNLVQHSPSEEKMSPTSLMDDIERELKAEASLMDQMSSCDSSSDSKSSSSSSS EDSSSDSEDDDQFSPLGPRKYSSEHPSMSAGPQYRTSEADATCHRLQDHSTLLMSTLRSD LQLSESESDSED >ENSMUSP00000110473.1 pep:known chromosome:GRCm38:16:36793746:36808705:-1 gene:ENSMUSG00000022838.13 transcript:ENSMUST00000114825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eaf2 description:ELL associated factor 2 [Source:MGI Symbol;Acc:MGI:2146616] MWNLPRTSNLVQHSPSEEKMSPTSLMDDIERELKAEASLMDQMSSCDSSSDSKSSSSSSS EDSSSDSEDDDQFSPLGPRKYSSEHPSMSAGPQYRTSEADATCHRLQDHSTLLMSTLRSD LQLSESESDSED >ENSMUSP00000095577.2 pep:known chromosome:GRCm38:4:98818475:98823840:-1 gene:ENSMUSG00000073794.3 transcript:ENSMUST00000097964.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:I0C0044D17Rik description:RIKEN cDNA I0C0044D17 gene [Source:MGI Symbol;Acc:MGI:3588230] MALVDAQFSLGARHECHILQAFCVEKSASWSPRPEFEVTFLLLPHGLQQENDRHFVDEMA NPERQIMKMKCPIMVSGTGQKNECAPIALNSTLQRGVRQGKELSVLSTLGPDHRKSQATA ALCPTILYQLQHESSLSESLSWFAVEVSKPEILKDLLPGRGLFLPGLRR >ENSMUSP00000130110.1 pep:known chromosome:GRCm38:4:98819815:98820324:-1 gene:ENSMUSG00000073794.3 transcript:ENSMUST00000168045.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:I0C0044D17Rik description:RIKEN cDNA I0C0044D17 gene [Source:MGI Symbol;Acc:MGI:3588230] MALVDAQFSLGARHECHILQAFCVEKSASWSPRPEFEVTFLLLPHGLQQENDRHFVDEMA NPERQIMKMKCPIMVSGTGQKNECAPIALNSTLQRGVRQGKELSVLSTLGPDHRKSQATA ALCPTILYQLQHESSLSESLSWFAVEVSKPEILKDLLPGRGLFLPGLRR >ENSMUSP00000047950.8 pep:known chromosome:GRCm38:8:13757676:13781938:1 gene:ENSMUSG00000038416.14 transcript:ENSMUST00000043962.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc16 description:CDC16 cell division cycle 16 [Source:MGI Symbol;Acc:MGI:1917207] MNLEPLRKRVRQYLDQQQYQSALFWADKVASLSHEEPQDVYWLAQCLYLTAQYHRAAHAL RSRKLDKLYEACRYLAARCHYAAKEHQQALDILDMEEPINRRLFEKYLKDDNGSRDPSSD WEMSQSSIKSSICLLRGKIYDALDNRTLATYSYKEALKLDVYCFEAFDLLTSHHMLTAQE EKELLDSLPLNKLCAEEQELLRFVFENKLKKYNKPSETVIPESVDGLQENLDVVVSLAER HYYNCDFKMCYKLTSTVMEKDPFHANCLPVHIGTLVELNKANELFYLSHKLVDLYPSNPV SWFAVGCYYLMVGHKNEHARRYLSKATTLEKTYGPAWIAYGHSFAVESEHDQAMAAYFTA AQLMKGCHLPMLYIGLEYGLTNNSKLAERFFGQALSIAPEDPFVIHEVGVVAFQNGEWKT AEKWFLDALEKIKAIGNEVTVDKWEPLLNNLGHVCRKLKKYAEALDYHRQALVLIPQNAS TYSAIGYIHSLMGNFENAVDYFHTALGLRRDDTFSVTMLGHCIEMYIGDSEAYIGADIKD KLKCYDFDVHTMKTLKNIISPPWDFRDFEVEKQNTEEAGLAPLQNSTKAPESRPNLEETF EIEMNESDMMLETSMSDHST >ENSMUSP00000058266.3 pep:known chromosome:GRCm38:17:20687508:20688404:1 gene:ENSMUSG00000042848.3 transcript:ENSMUST00000061756.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r226 description:vomeronasal 1 receptor 226 [Source:MGI Symbol;Acc:MGI:2159616] MDLRTMIVGIVLSLQTALGILGNVSLLFYYLIIYYNEHTMKKIDMILIHVFTSNFLTILS KGLPEVVKAFGWKQLFSDVGCKLTNYFQRLARSMSISTTCFLSVFQAITISPRNSCLKEY KTKTTKFMGLFFSLCWILFILVNMLFPIYTATNRNKKNKTQKRDFEFCHSIGRDKLVDIM YTAFCVFPEVIFSLLIVSSSAFMIFILYGHKKRVQHTLHIHASIRNSAENRATHNILTLV CTFLAFYTLSSILQGYIALSNNPSWWVMNITAIISMCFPTLGPIVISPDFAISRFCFT >ENSMUSP00000139560.1 pep:known chromosome:GRCm38:15:77558239:77561892:-1 gene:ENSMUSG00000101113.1 transcript:ENSMUST00000190233.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700025B11Rik description:RIKEN cDNA 1700025B11 gene [Source:MGI Symbol;Acc:MGI:1923659] MSTKNEEQNEDQSESVVIPHIQDHHCLAILAFCFFFPLGYLAYRSSCKTRTYIEQKEYEK AKATSRCTFAFVLSSIAGGSIIFFCLFSRLFFM >ENSMUSP00000098881.2 pep:known chromosome:GRCm38:11:44519442:44554977:1 gene:ENSMUSG00000019189.13 transcript:ENSMUST00000101327.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf145 description:ring finger protein 145 [Source:MGI Symbol;Acc:MGI:1921565] MAAKEKLEAVLNVALRVPSIMLLDVLYRWDVSSFFQQIQRSSLNNNPLFQYKYLALNMHY VGYILSVVLLTLPRQHLVQLYLYFVTALLLYAGHQISRDYVRSELESAYEGPMYLEPLSM NRFTTALIGQLVVCTLCSCVMKTKQIWLFSAHMLPLLARLCLVPLETIVIINKFAMIFTG LEVLYFLGSNLLVPYNLAKSAYRELVQVVEVYGLLALGMSLWNQLVVPVLFMVFWLVLFA LQIYSYFSTRDQPASRERLLFLFLTR >ENSMUSP00000019333.3 pep:known chromosome:GRCm38:11:44518964:44565520:1 gene:ENSMUSG00000019189.13 transcript:ENSMUST00000019333.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf145 description:ring finger protein 145 [Source:MGI Symbol;Acc:MGI:1921565] MAAKEKLEAVLNVALRVPSIMLLDVLYRWDVSSFFQQIQRSSLNNNPLFQYKYLALNMHY VGYILSVVLLTLPRQHLVQLYLYFVTALLLYAGHQISRDYVRSELESAYEGPMYLEPLSM NRFTTALIGQLVVCTLCSCVMKTKQIWLFSAHMLPLLARLCLVPLETIVIINKFAMIFTG LEVLYFLGSNLLVPYNLAKSAYRELVQVVEVYGLLALGMSLWNQLVVPVLFMVFWLVLFA LQIYSYFSTRDQPASRERLLFLFLTSIAECCSTPYSLLGLVFTVSFVALGVLTLCKFYLQ GYRAFMNDPAMNRGMTEGVTLLILAVQTGLIELQVVHRAFLLSIILFIVVASILQSMLEI ADPIVLALGASRDKSLWKHFRAVSLCLFLLVFPAYMAYMICQFFHMDFWLLIIISSSILT SLQVLGTLFIYVLFMVEEFRKEPVENMDDVIYYVNGTYRLLEFVVALCVVAYGVSETIFG EWTVMGSMIIFIHSYYNVWLRAQLGWKSFLLRRDAVNKIKSLPVATQEQLEKHNDICAIC YQDMKSAVITPCSHFFHAGCLKKWLYVQDTCPLCHCHLKNSSQLPGLGTEAAPQPPAGAE QNIVLQEGPEPPDHESPPGTGTQEGSGDSSEHINRGSASQEGAADAGEGPQIPEGEVCPV ESA >ENSMUSP00000127555.1 pep:known chromosome:GRCm38:14:5857918:5880382:-1 gene:ENSMUSG00000090707.8 transcript:ENSMUST00000164484.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8237 description:predicted gene 8237 [Source:MGI Symbol;Acc:MGI:3644039] MFSWLLRLFQKENGDEGETRPTEKEEGILSHEKERRIWLWRRHRCARNTSTQNSKMIKQR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAGHKCPV GKKRGSLRRPARTSVSQVPRNSSVK >ENSMUSP00000136613.1 pep:known chromosome:GRCm38:14:5861591:5863663:-1 gene:ENSMUSG00000090707.8 transcript:ENSMUST00000178594.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8237 description:predicted gene 8237 [Source:MGI Symbol;Acc:MGI:3644039] MIKQRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSR >ENSMUSP00000139421.1 pep:known chromosome:GRCm38:Y:7165068:7169293:-1 gene:ENSMUSG00000094484.2 transcript:ENSMUST00000189201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21244 description:predicted gene, 21244 [Source:MGI Symbol;Acc:MGI:5434599] MTSLKKKNRGKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLQTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHNVVFPQVRDVQLSSALVGREVQHKFEGKDGSEDNWSG MVLSQVPFLQDYFYISYKKDLVLYVYQLLDDYKEGNLHIIPETPLAKARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000137217.1 pep:known chromosome:GRCm38:Y:7167094:7167777:-1 gene:ENSMUSG00000094484.2 transcript:ENSMUST00000178447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21244 description:predicted gene, 21244 [Source:MGI Symbol;Acc:MGI:5434599] MTSLKKKNRGKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLQTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHNVVFPQVRDVQLSSALVGREVQHKFEGKDGSEDNWSG MVLSQVPFLQDYFYISYKKDLVLYVYQLLDDYKEGNLHIIPETPLAKARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000046732.8 pep:known chromosome:GRCm38:10:7667503:7678881:1 gene:ENSMUSG00000040034.15 transcript:ENSMUST00000040135.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nup43 description:nucleoporin 43 [Source:MGI Symbol;Acc:MGI:1917162] MEEIYAKFVSQKISKTRWRPVPSGSLQTTETFATGSWDNEENCVSLWSIGDFGNLDSDGG FEGDHQLLCDIRHHGDVMDLQFFDQERIVAASSTGCVTVFLHHPNNQTLSVNQQWPAAHY HTGPSSPSYSSAPCTGIVCDNPEIVTVGEDGRINLFRVDHKEAVRTIDNADSSTLHAVTF LRTPEIVTVNSIGQLKIWDFRQQGSEPCQILSLTGDRVPLHCVDRHPDQQHVVATGGQDG MLSIWDVRQGTMPVSLLKAHEAEMWEVHFHPSNPDHLFTCSEDGSLWHWDASTDAPEKSS LFHQGGRSSTFLSHSLSNQAGVHQSLVSSWLSTDPAKDRIEITSLLPSRTLSVNSLDVLG PCLVCGTDAEAIYVTRQLFS >ENSMUSP00000135601.1 pep:known chromosome:GRCm38:7:24507006:24515419:1 gene:ENSMUSG00000064264.14 transcript:ENSMUST00000176880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp428 description:zinc finger protein 428 [Source:MGI Symbol;Acc:MGI:1916463] MTETREPTETGGYASLEEDDEDLSPGPEHSSDSEYTLSEPDSEEEEEEEEEETTDDPEYD PGYKVKQRIGGGRGGPSRRAPRATQPAGPPAQPCQLCGRSALGEAPPGTPPCRLCCPATA TQEAPGPESRALGEEEEEPSRTGETRPAGRDGD >ENSMUSP00000071318.6 pep:known chromosome:GRCm38:7:24507070:24515682:1 gene:ENSMUSG00000064264.14 transcript:ENSMUST00000071361.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp428 description:zinc finger protein 428 [Source:MGI Symbol;Acc:MGI:1916463] MTETREPTETGGYASLEEDDEDLSPEPDSEEEEEEEEEETTDDPEYDPGYKVKQRIGGGR GGPSRRAPRATQPAGPPAQPCQLCGRSALGEAPPGTPPCRLCCPATATQEAPGPESRALG EEEEEPSRTGETRPAGRDGDEDEEEGGTYHCTECEDSFDNLGELHGHFMLHARGEV >ENSMUSP00000135772.1 pep:known chromosome:GRCm38:7:24507099:24515103:1 gene:ENSMUSG00000064264.14 transcript:ENSMUST00000177228.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp428 description:zinc finger protein 428 [Source:MGI Symbol;Acc:MGI:1916463] MMKTFPQSPTLRRRRR >ENSMUSP00000135750.1 pep:known chromosome:GRCm38:7:24507130:24515680:1 gene:ENSMUSG00000064264.14 transcript:ENSMUST00000177205.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp428 description:zinc finger protein 428 [Source:MGI Symbol;Acc:MGI:1916463] MTETREPTETGGYASLEEDDEDLSPGPEHSSDSEYTLSEPDSEEEEEEEEEETTDDPEYD PGYKVKQRIGGGRGGPSRRAPRATQPAGPPAQPCQLCGRSALGEAPPGTPPCRLCCPATA TQEAPGPESRALGEEEEEPSRTGETRPAGRDGDEDEEEGGTYHCTECEDSFDNLGELHGH FMLHARGEV >ENSMUSP00000023482.6 pep:known chromosome:GRCm38:16:38742264:38769049:1 gene:ENSMUSG00000022793.16 transcript:ENSMUST00000023482.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt4 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1928387] MGCNPPYHLSYRLRLLLLFTLCLTVVGWATSNYFVGAIQVIPKAKDFMASFHKVIHLGNE ETLGHDGATKKPELANCPSVSPNLRGQSKLVFKPDLTLEEIEAENPKVSRGRYHPEECKA LQRVAILIPHRNREKHLIYLLEHLHPFLQRQQLDYGIYIIHQTGSKKFNRAKLLNVGYLE ALKEENWDCFVFHDVDLVPENDFNLYTCGDQPKHLVVGRNSTGYRLRYSKYFGGVTALSR EQFLKVNGFSNNYWGWGGEDDDLRLRVELHKMKISRPKPDVGKYTMIFHTRDKGNEVNMG RMKLLQQMSRVWKTDGLSSCSYRLLSVEHNPLYANITVDFWTAA >ENSMUSP00000110360.1 pep:known chromosome:GRCm38:16:38742266:38768848:1 gene:ENSMUSG00000022793.16 transcript:ENSMUST00000114712.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt4 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1928387] MGCNPPYHLSYRLRLLLLFTLCLTVVGWATSNYFVGAIQVIPKAKDFMASFHKVIHLGNE ETLGHDGATKKPELANCPSVSPNLRGQSKLVFKPDLTLEEIEAENPKVSRGRYHPEECKA LQRVAILIPHRNREKHLIYLLEHLHPFLQRQQLDYGIYIIHQTGSKKFNRAKLLNVGYLE ALKEENWDCFVFHDVDLVPENDFNLYTCGDQPKHLVVGRNSTGYRLRYSKYFGGVTALSR EQFLKVNGFSNNYWGWGGEDDDLRLRVELHKMKISRPKPDVGKYTMIFHTRDKGNEVNMG RMKLLQQMSRVWKTDGLSSCSYRLLSVEHNPLYANITVDFWTAA >ENSMUSP00000110359.1 pep:known chromosome:GRCm38:16:38760735:38769049:1 gene:ENSMUSG00000022793.16 transcript:ENSMUST00000114711.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt4 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1928387] MKISRPKPDVGKYTMIFHTRDKGNEVNMGRMKLLQQMSRVWKTDGLSSCSYRLLSVEHNP LYANITVDFWTAA >ENSMUSP00000110358.1 pep:known chromosome:GRCm38:16:38760735:38768282:1 gene:ENSMUSG00000022793.16 transcript:ENSMUST00000114710.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt4 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1928387] MKISRPKPDVGKYTMIFHTRDKGNEVNMGRMKLLQQMSRVWKTDGLSSCSYRLLSVEHNP LYANITVDFWTAA >ENSMUSP00000110356.1 pep:known chromosome:GRCm38:16:38760805:38768311:1 gene:ENSMUSG00000022793.16 transcript:ENSMUST00000114708.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B4galt4 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1928387] MKISRPKPDVGKYTMIFHTRDKGNEVNMGRMKLLQQMSRVWKTDGLSSCSYRLLSVEHNP LYANITVDFWTAA >ENSMUSP00000118593.1 pep:known chromosome:GRCm38:16:38742296:38768848:1 gene:ENSMUSG00000022793.16 transcript:ENSMUST00000154902.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:B4galt4 description:UDP-Gal:betaGlcNAc beta 1,4-galactosyltransferase, polypeptide 4 [Source:MGI Symbol;Acc:MGI:1928387] MGCNPPYHLSYRLRLLLLFTLCLTVVGWATSNYFVGAIQVIPKAKDFMASFHKVIHLGNE ETLGHDGATKKPELANCPSVSPNLRGQSKLVFKPDLTLEEIEAENPKVSRGRYHPEECKA LQRVAILIPHRNREKHLIYLLEHLHPFLQRQQLDYGIYIIHQTGSKKFNRAKLLNVGYLE ALKEENWDCFVFHDVDLVPENDFNLYTCGDQPKHLVVGRNSTGYRLRYSKYFGGVTALSR EQFLKG >ENSMUSP00000122979.1 pep:known chromosome:GRCm38:16:14580221:14621300:1 gene:ENSMUSG00000075395.10 transcript:ENSMUST00000147024.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630010A05Rik description:RIKEN cDNA A630010A05 gene [Source:MGI Symbol;Acc:MGI:3584518] MNINNTESISTKTSTSFLHQNMLVRRSVEKRVQKLLGRSEANVCSQEVPLPITSEGQTPI KPPSEDANEEKWAQQVATQYLFLNQYLKERLKERMKEGTDNSESEASDEEPLPSSTSAGR THSMKMTEKKKKHERKDAYMHSRHSSVKRNDDIKKQVDWHHEQLKELLKNDIKEILKEQL ATEHARMRKLLRKDAKKISELIRNELTQIYAEQVALLQAQRTSLQQKVKKKY >ENSMUSP00000116904.1 pep:known chromosome:GRCm38:16:14562316:14621278:1 gene:ENSMUSG00000075395.10 transcript:ENSMUST00000129643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630010A05Rik description:RIKEN cDNA A630010A05 gene [Source:MGI Symbol;Acc:MGI:3584518] MNINNTESISTKTSTSFLHQNMLVRRSVEKRVQKLLGRSEANVCSQEVPLPITSEGQTPI KPPSEDANEEKWAQQVATQYLFLNQYLKERLKERMKEGTDNSESEASDEEPLPSSTSAGR THSMKMTEKKKKHERKDAYMHSRHSSVKRNDDIKKQVDWHHEQLKELLKNDIKEILKEQL ATEHARMRKLLRKDAKKISELIRNELTQIYAEQVALLQAQRTSLQ >ENSMUSP00000097741.3 pep:known chromosome:GRCm38:16:14589209:14621286:1 gene:ENSMUSG00000075395.10 transcript:ENSMUST00000100165.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A630010A05Rik description:RIKEN cDNA A630010A05 gene [Source:MGI Symbol;Acc:MGI:3584518] MNINNTESISTKTSTSFLHQNMLVRRSVEKRVQKLLGRSEANVCSQEVPLPITSEGQTPI KPPSEDANEEKWAQQVATQYLFLNQYLKERLKERMKEGTDNSESEASDEEPLPSSTSAGR THSMKMTEKKKKHERKDAYMHSRHSSVKRNDDIKKQVDWHHEQLKELLKNDIKEILKEQL ATEHARMRKLLRKDAKKISELIRNELTQIYAEQVALLQAQRTSLQQKV >ENSMUSP00000072872.6 pep:known chromosome:GRCm38:11:58330750:58347725:1 gene:ENSMUSG00000013646.17 transcript:ENSMUST00000073128.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp5l description:SH3 binding domain protein 5 like [Source:MGI Symbol;Acc:MGI:1933124] MADLKKAAGGRETPQGELRSEVVEDEGPRSPVAEEPGGSGSNSSETKLSPREEEELDPRI QEELEHLNQASEEINQVELQLDEARTTYRRILQESARKLNTQGSHLGSCIEKARPYYEAR RLAKEAQQETQKAALRYERAVSMHNAAREMVFVAEQGVMADKNRLDPTWQEMLNHATCKV NEAEEERLRGEREHQRVTRLCQQAEARVQALQKTLRRAIGKSRPYFELKAQFSQILEEHK AKVTELEQQVAQAKTRYSVALRNLEQISEQIHARRRGLPPHPLGPRRSSPVGAEAGPEGI EDGDSGIEGAEGGGLEEGSSLGPGPGPDTDTLSLLSLRTVASDLQKCDSVEHLRGLSDHA SLDGQELGAQSRGRRGSDIGVRGGRHQRSVSL >ENSMUSP00000112077.2 pep:known chromosome:GRCm38:11:58330724:58347728:1 gene:ENSMUSG00000013646.17 transcript:ENSMUST00000116376.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sh3bp5l description:SH3 binding domain protein 5 like [Source:MGI Symbol;Acc:MGI:1933124] MADLKKAAGGRETPQGELRSEVVEDEGPRSPVAEEPGGSGSNSSETKLSPREEEELDPRI QEELEHLNQASEEINQVELQLDEARTTYRRILQESARKLNTQGSHLGSCIEKARPYYEAR RLAKEAQQETQKAALRYERAVSMHNAAREMVFVAEQGVMADKNRLDPTWQEMLNHATCKV NEAEEERLRGEREHQRVTRLCQQAEARVQALQKTLRRAIGKSRPYFELKAQFSQILEEHK AKVTELEQQVAQAKTRYSVALRNLEQISEQIHARRRGLPPHPLGPRRSSPVGAEAGPEGI EDGDSGIEGAEGGGLEEGSSLGPGPGPDTDTLSLLSLRTVASDLQKCDSVEHLRGLSDHA SLDGQELGAQSRGRRGSDIGVRGGRHQRSVSL >ENSMUSP00000140653.1 pep:known chromosome:GRCm38:Y:7253787:7280017:1 gene:ENSMUSG00000100939.1 transcript:ENSMUST00000186194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28998 description:predicted gene 28998 [Source:MGI Symbol;Acc:MGI:5579704] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDGNISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVVMNLGTKN >ENSMUSP00000031691.2 pep:known chromosome:GRCm38:6:24748329:24767662:1 gene:ENSMUSG00000029680.2 transcript:ENSMUST00000031691.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hyal4 description:hyaluronoglucosaminidase 4 [Source:MGI Symbol;Acc:MGI:1924292] MQLLPEGQLRLCVFQPVHLTSGLLILFILKSISSLKPARLPVYQRKPFIAAWNAPTDLCL IKYNLTLNLKVFQMVGSPRLKDRGQNVVIFYANRLGYYPWYTSEGVPINGGLPQNTSLQV HLKKAAQDINYYIPSENFSGLAVIDWEYWRPQWARNWNTKDIYRQKSRTLISDMKENISA ADIEYSAKATFEKSAKAFMEETIKLGSKSRPKGLWGYYLYPDCHNYNVYATNYTGSCPEE EVLRNNDLSWLWNSSTALYPAVSIRKSFADSENTLHFSRFRVRESLRISTMTSQDYALPV FVYTQLGYKEEPLLFLSKQDLISTIGESAALGAAGIVVWGDMNLTSSEENCTKVNRFVNS DFGSYIINVTRAAEVCSRHLCKNNGRCVRKTWKAAHYLHLNPASYHIEASEDGEFIVRGR ASDTDLAVMAENFLCHCYEGYEGADCREMTEASGPSGLSLSSSSVITLCLLVLAGYQSIQ L >ENSMUSP00000046824.6 pep:known chromosome:GRCm38:16:10357948:10395490:-1 gene:ENSMUSG00000039179.13 transcript:ENSMUST00000043415.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt5 description:tektin 5 [Source:MGI Symbol;Acc:MGI:1917676] MEFLGTTQTASFCGPKKGCGLQALPPAGQEPVVQECYQPFHLPGYRYLNAWRPSVFHKIA TSQTIPEECSGIRRPPTILPSLRSALFCRYTPRDWDRSNDLQIRNAEASRLWASRLTGDS LRIMQDKDQLIHQMQEGTSRNLGQRLSDLGFWKSELCYELDRLLTENSSMDTLKRRLECA AEEVNCPLQVALECLYNREKRIGIDLVHDNVEKNLIREVDLLKCCQDQMRKLAKRIDFQI RDNRDAQHSLERDIEDKSSAQYIDENCFNLRSTSDSISFFHGVEKFDGTVSIPETWAKFS NDNIRHAQNMRANSIRLREEAEHLFETLSDQMWKQFTNTNLAFNARISEETDVKNKLQTQ LAKILQEIFQAENTIMLLERAIVAKEYPLKMAQTMLACRTRRPNVELCRDVPQFRLVNEV FTIDDTLQTLKLRLRETQDTLQLLVMTKSRLEHELAIKANTLCIDKDKCMSMRKSFPSTP RLTGYTCSAIGSGPYANHAPRISSGPCSGSALCKGPASCGGGASCGGGASCGGHAPCGSA LCSHSVSRSGPGFAPVC >ENSMUSP00000111497.1 pep:known chromosome:GRCm38:16:10361254:10395448:-1 gene:ENSMUSG00000039179.13 transcript:ENSMUST00000115831.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tekt5 description:tektin 5 [Source:MGI Symbol;Acc:MGI:1917676] MEFLGTTQTASFCGPKKGCGLQALPPAGQEPVVQECYQPFHLPGYRYLNAWRPSVFHKIA TSQTIPEECSGIRRPPTILPSLRSALFCRYTPRDWDRSNDLQIRNAEASRLWASRLTGDS LRIMQDKDQLIHQMQEGTSRNLGQRLSDLGFWKSELCYELDRLLTENSSMDTLKRRLECA AEEVNCPLQVALECLYNREKRIGIDLVHDNVEKNLIREVDLLKCCQDQMRKLAKRIDFQI RDNRDAQHSLERDIEDKSSAQYIDENCFNLRSTSDSISFFHGVEKFDGTVSIPETWAKFS NDNIRHAQNMRANSIRLREEAEHLFETLSDQMWKQFTNTNLAFNARISEETDVKNKLQTQ LAKILQEIFQAENTIMLLERAIVAKEYPLKMAQTMLACRTRRPNVELCRDVPQFR >ENSMUSP00000104190.2 pep:known chromosome:GRCm38:7:9976245:9992139:-1 gene:ENSMUSG00000096180.1 transcript:ENSMUST00000108550.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r49 description:vomeronasal 2, receptor 49 [Source:MGI Symbol;Acc:MGI:3757933] MFIFMGVFFLLNITLLMANFIDSRCFWRINLDEITDEYLELSCAFILAAVQTPIEKDYFN KTLNFLKTTKNHKYALALVFAMDEINRYPDLLPNMSLIIRYSLGHCDGKTVTPTPYLFHR KKQSPIPNYFCNEESMCSFLLSGPNWDESLSFWKYLDSFLSPRILQLSYGSFSSIFSDDE QYPYLYQMAPKDTSLALAMVSFILYLKWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDEVSFPQKTEIYYKQIVKSLTNVIIIYGETYNFIDLIFRMWEPPILQRIWITT KQLNFPTSKTDISHDTFYGSLTFLPHHGEISGFKNFVQTWFHLRNTDLYLVMPEWKYINS EDSASNCKILKNSSSDASFDWLMEEKLDMAFSDNSHNIYNAVHAIAHALHEMNLQQADNQ AIDNGKGASSHCLKVNSFLRRTYFTNPLGDKVFMKQRVIMQDEYDIVHFANLSEHLGIKM KLGKFSPYLPHGRHSHLYVDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEDPLGMALALMAFCFSAF TAVVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNKVICVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRYFLVSGTLNYIIPICSLLQCELCAIWLAVS PPFVDIDEHSQHGHIIIVCNKGSVTAFYCVLGYLACLALGSFTLAFLAKNLPDAFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGMLGCIFVPKIYIILMRPER NSTQKIREKSYF >ENSMUSP00000020024.5 pep:known chromosome:GRCm38:10:57784881:57788450:1 gene:ENSMUSG00000019874.11 transcript:ENSMUST00000020024.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp7 description:fatty acid binding protein 7, brain [Source:MGI Symbol;Acc:MGI:101916] MVDAFCATWKLTDSQNFDEYMKALGVGFATRQVGNVTKPTVIISQEGGKVVIRTQCTFKN TEINFQLGEEFEETSIDDRNCKSVVRLDGDKLIHVQKWDGKETNCTREIKDGKMVVTLTF GDIVAVRCYEKA >ENSMUSP00000131415.1 pep:known chromosome:GRCm38:10:57784923:57786812:1 gene:ENSMUSG00000019874.11 transcript:ENSMUST00000165013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fabp7 description:fatty acid binding protein 7, brain [Source:MGI Symbol;Acc:MGI:101916] MVDAFCATWKLTDSQNFDEYMKALGVGFATRQVGNVTKPTVIISQEGGKVVIRTQCTFKN TEINFQLGEEFEETSIDDRNCKSVVRLDGDKLIHVQKWDGKETNCTREIKDGKMVVVSPK ESAFSVPASLLSIILLHSPLDSSSLAPTFALPQPLIFISVSPAVQSPLICKTGGRKNSEL VVASGWHL >ENSMUSP00000030561.7 pep:known chromosome:GRCm38:5:17962549:18019834:1 gene:ENSMUSG00000028777.8 transcript:ENSMUST00000030561.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnat3 description:guanine nucleotide binding protein, alpha transducing 3 [Source:MGI Symbol;Acc:MGI:3588268] MGSGISSESKESARRSKELEKKLQEDAERDARTVKLLLLGAGESGKSTIVKQMKIIHKNG YSKQECMEFKAVIYSNTLQSILAIVKAMATLGIDYVNPRSREDQEQLHSMANTLEDGDMT PQLAEIIKRLWGDPGIQACFERASEYQLNDSAAYYLNDLDRLTAPGYVPNEQDVLHSRVK TTGIIETQFSFKDLNFRMFDVGGQRSERKKWIHCFEGVTCIIFCAALSAYDMVLVEDEEV NRMHESLHLFNSICNHKYFATTSIVLFLNKKDLFQEKVAKVHLSICFPEYTGPNTFEDAG NYIKNQFLDLNLKKEDKEIYSHMTCATDTQNVKFVFDAVTDIIIKENLKDCGLF >ENSMUSP00000016951.7 pep:known chromosome:GRCm38:13:33084125:33094380:1 gene:ENSMUSG00000051029.7 transcript:ENSMUST00000016951.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb1b description:serine (or cysteine) peptidase inhibitor, clade B, member 1b [Source:MGI Symbol;Acc:MGI:2445361] MEQLSSANTLFTLELFHTLKESSPTGNIFFSPFSISSSLAMVFLGAKGSTAAQLSKTLHF DSVEDIHSCFQSLTAEVSKLGASHTLKLANRLYGEKTYNFLPEFLASTQKMYSADLAAVD FQHASEDARKEINQWVKGQTEGKIPELLAKGVVDSMTKLVLVNAIYFKGIWEEQFMTRET INAPFRLNKKDTKTVKMMYQKKKFPFGYISDLKCKVLEMPYQGGELSMVILLPEDIEDES TGLKKIEEQLTLGKLHEWTKHENLRNIDVHVKLPRFKMEESYILNSNLCCLGVQDLFSSG KADLSGMSGSRDLFVSKIVHKSFVDVNEQGTEAAAATGGIIQVLCEKMPTPQEVFTVDHP FLFFIRHNPTANMIFFGRVCSP >ENSMUSP00000045676.8 pep:known chromosome:GRCm38:8:69808679:69821870:1 gene:ENSMUSG00000036246.14 transcript:ENSMUST00000036074.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmip description:Gem-interacting protein [Source:MGI Symbol;Acc:MGI:1926066] MDSAETELTPAPEGRKRYSDIFQSLDNLEISLGNVTFDPLAGDPVRREDLEPDKADTATV VTEENSEASSWRDLSPEGPAPLTEEELDLRLIRTKGGVDAALEYAKAWSRYAKELLAWTD KRANYELEFAKSIMKLAEAGKVSILQQSQMPLQYIYTLFLEHDLSLGALALETVAQQKRD YYQPLAAKRMEIEKWRKEFKEQWLKEQKRMNEAVQALRRSELQYIQRREDLRARSQGSPE DPPSQASPGSNKQQERRRRSREEAQAKAHEAEALYQACVREANSRQQDLETTKRRIVSHV RKLVLQGDEVLRRVTLGLFELRGAQAERGPRSFSALAECCVPFEPGQRYQEFVRTLQPGA PPPPSPAFCFQEFTAVVHSFPQDTKKKFSGPLPPRLEEEGSPEPGPWEVASLGSQGIPGS DVDSVGGGSESRSLDSPTSSPGAGARRLVKASSTGTESSDDFEERDPDLGDGIENGVGSP FRKWTLSTAAQTHRLRRLRGPAKCRECEAFMVSGTECEECFLTCHKRCLETLLILCGHRR LPARMSLFGVDFLQLPRDFPEEVPFVITRCTAEIEHRALGLQGIYRVSGSRVRVERLCQA FENGRALVELSGNSPHDITSVLKRFLQELTDPVVPFHLYDAFISLAKTLHADPGDDPGTP NPSPEIIRSLKTLLVQLPDSNYSTLRHLVAHLFRVAARFEENKMSANNLGIVFGPTLLRP PDGPRATGASPVACLLDSGHQAQLVEFLIVHYEQIFGMDELPLASEPLTQDPGLAPACLE SSPQHPASLLAQDTQPLTIALDSSPDPKHHSALEKCPEVTPPELATLQRDQREEEVEDTR DGAGDGSSHCPEDLALGAQSRGHFSRQPVKYSRGGVRPVTHQLSSLALVASKLCEETPVT VSAVHRGSLRVRGLGPAAACPEGSPLRRNPLPKHFEITQETARLLSKLNSDAVSRTTCCA DPEPEESEEHL >ENSMUSP00000116542.1 pep:known chromosome:GRCm38:8:69808690:69818972:1 gene:ENSMUSG00000036246.14 transcript:ENSMUST00000123453.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmip description:Gem-interacting protein [Source:MGI Symbol;Acc:MGI:1926066] MDSAETELTPAPEGRKRYSDIFQSLDNLEISLGNVTFDPLAGDPVRREDLEPDKADTATV VTEENSEASSWRDLSPEGPAPLTEEELDLRLIRTKGGVDAALEYAKAWSRYAKELLAWTD KRANYELEFAKSIMKLAEAGKVSILQQSQMPLQYIYTLFLEHDLSLGALALETVAQQKRD YYQPLAAKRMEIEKWRKEFKEQWLKEQKRMNEAVQALRRSELQYIQRREDLRARSQGSPE DPPSQASPGSNKQQERRRRSREEAQAKAHEAEALYQACVREANSRQQDLETTKRRIVSHV RKLVLQGDEVLRRVTLGLFELRGAQAERGPRSFSALAECCVPFEPGQRYQEFVRTLQPGA PPPPSPAFCFQEFTAVVHSFPQDTKKKFSGPLPPRLEEEGSPEPGPWEVASLGSQGIPGS DVDSVGGGSESRSLDSPTSSPGAGARRLVKASSTGTESSDDFEERDPDLGDGIENGVGSP FRKWTLSTAAQTHRLRRLRGPAKCRECEAFMVSGTECEECFLTCHKRCLETLLILCGHRR LPARMSLFGVDFLQLPRDFPEEVPFVITRCTAEIEHRALGLQGIYRVSGSRVRVERLCQA FENGRALVELSGNSPHDITSVLKRFLQELTDPVVPFHLYDAFISLAKTLHADPGDDPGTP NPSPEIIRSLKTLLVQLPDSNYSTLRHLVAHLFRVAARFEENKMSANNLGIVFGPTLLRP PDGPRATGASPVACLLDSGHQAQLVEFLIVHYEQIFGMDELPLASEPLTQDPGLAPACLE SSPQHPASLLAQDTQPLTIALDSSPDPKHHSALEKCPEVTPPEVGISLPCRCGEGPVCV >ENSMUSP00000114525.1 pep:known chromosome:GRCm38:8:69817828:69818977:1 gene:ENSMUSG00000036246.14 transcript:ENSMUST00000142659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gmip description:Gem-interacting protein [Source:MGI Symbol;Acc:MGI:1926066] XSPVACLLDSGHQAQLVEFLIVHYEQIFGMDELPLASEPLTQDPGLAPACLESSPQHPAS LLAQDTQPLTIALDSSPDPKHHSALEKCPEVTPPEFWFFETGFLCVALAVLELTL >ENSMUSP00000004140.4 pep:known chromosome:GRCm38:3:108012255:108017973:-1 gene:ENSMUSG00000058135.12 transcript:ENSMUST00000004140.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm1 description:glutathione S-transferase, mu 1 [Source:MGI Symbol;Acc:MGI:95860] MPMILGYWNVRGLTHPIRMLLEYTDSSYDEKRYTMGDAPDFDRSQWLNEKFKLGLDFPNL PYLIDGSHKITQSNAILRYLARKHHLDGETEEERIRADIVENQVMDTRMQLIMLCYNPDF EKQKPEFLKTIPEKMKLYSEFLGKRPWFAGDKVTYVDFLAYDILDQYRMFEPKCLDAFPN LRDFLARFEGLKKISAYMKSSRYIATPIFSKMAHWSNK >ENSMUSP00000123481.1 pep:known chromosome:GRCm38:3:108012391:108016600:-1 gene:ENSMUSG00000058135.12 transcript:ENSMUST00000153314.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm1 description:glutathione S-transferase, mu 1 [Source:MGI Symbol;Acc:MGI:95860] LPYLIDGSHKITQSNAILRYLARKHHLDGETEEERIRADIVENQVMDTRMQLIMLCYNPD FTRTWPFLGKVAVPLPNPLLGSLSLKVVTASCSLPQEKQKPEFLKTIPEKMKLYSEFLGK RPWFAGDKVTYVDFLAYDILDQYRMFEPKCLDAFPNLRDFLARFEGLKKISAYMKSSRYI ATPIFSKMAHWSNK >ENSMUSP00000118874.2 pep:known chromosome:GRCm38:3:108012627:108017806:-1 gene:ENSMUSG00000058135.12 transcript:ENSMUST00000126593.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstm1 description:glutathione S-transferase, mu 1 [Source:MGI Symbol;Acc:MGI:95860] MPMILGYWNVRGLTHPIRMLLEYTDSSYDEKRYTMGDAPDFDRSQWLNEKFKLGLDFPNL PYLIDGSHKITQSNAILRYLARKHHLDGETEEERIRADIVENQVMDTRMQLIMLCYNPDF VAVPLPNPLLGSLSLKVVTASCSLPQEKQKPEFLKTIPEKMKLYSEFLGKRPWFAGDKVT YVDFLAYDILDQYRMFEPKCLDAFPNLRDFLARFEGLKKISAYMKSSRYIATPIFSKMAH WSNK >ENSMUSP00000117031.1 pep:known chromosome:GRCm38:4:20007938:20021312:1 gene:ENSMUSG00000073988.13 transcript:ENSMUST00000125799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttpa description:tocopherol (alpha) transfer protein [Source:MGI Symbol;Acc:MGI:1354168] MKNYYKWRAECPELSADLRPRSILGLLKAGYHGVLRSRDSTGSRVLIYRIAYWDPKVFTA YDVFRVSLITSELIVQEVETQRNGVKAIFDL >ENSMUSP00000113966.1 pep:known chromosome:GRCm38:4:20008007:20030470:1 gene:ENSMUSG00000073988.13 transcript:ENSMUST00000121491.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttpa description:tocopherol (alpha) transfer protein [Source:MGI Symbol;Acc:MGI:1354168] MKNYYKWRAECPELSADLRPRSILGLLKAGYHGVLRSRDSTGSRVLIYRIAYWDPKVFTA YDVFRVSLITSELIVQEVETQRNGVKAIFDLEGWQVSHAFQITPSVAKKIAAVLTDSFPL KVRGIHLINEPVIFHAVFSMIKPFLTEKIKDRIHLHGNNYKSSMLQHFPDILPREYGGKE FSMEDICQEWTNFIMKSEDYLSSISETIQ >ENSMUSP00000113026.1 pep:known chromosome:GRCm38:4:20008357:20030785:1 gene:ENSMUSG00000073988.13 transcript:ENSMUST00000117632.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttpa description:tocopherol (alpha) transfer protein [Source:MGI Symbol;Acc:MGI:1354168] MAEMRPGPLVGKQLNELPDHSPLLQPGLAELRRRVQEAGVPQTPQPLTDAFLLRFLRARD FDLDLAWRLMKNYYKWRAECPELSADLRPRSILGLLKAGYHGVLRSRDSTGSRVLIYRIA YWDPKVFTAYDVFRVSLITSELIVQEVETQRNGVKAIFDLEGWQVSHAFQITPSVAKKIA AVLTDSFPLKVRGIHLINEPVIFHAVFSMIKPFLTEKIKDRFICTGTTTNQACFSTSQTF FLGNMAVKSSPWRIFVRSGQIL >ENSMUSP00000095845.1 pep:known chromosome:GRCm38:4:20008428:20030785:1 gene:ENSMUSG00000073988.13 transcript:ENSMUST00000098244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttpa description:tocopherol (alpha) transfer protein [Source:MGI Symbol;Acc:MGI:1354168] MAEMRPGPLVGKQLNELPDHSPLLQPGLAELRRRVQEAGVPQTPQPLTDAFLLRFLRARD FDLDLAWRLMKNYYKWRAECPELSADLRPRSILGLLKAGYHGVLRSRDSTGSRVLIYRIA YWDPKVFTAYDVFRVSLITSELIVQEVETQRNGVKAIFDLEGWQVSHAFQITPSVAKKIA AVLTDSFPLKVRGIHLINEPVIFHAVFSMIKPFLTEKIKDRIHLHGNNYKSSMLQHFPDI LPREYGGKEFSMEDICQEWTNFIMKSEDYLSSISETIQ >ENSMUSP00000041983.2 pep:known chromosome:GRCm38:4:49489422:49506557:-1 gene:ENSMUSG00000039653.9 transcript:ENSMUST00000043056.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baat description:bile acid-Coenzyme A: amino acid N-acyltransferase [Source:MGI Symbol;Acc:MGI:106642] MAKLTAVPLSALVDEPVHIQVTGLAPFQVVCLQASLKDEKGNLFSSQAFYRASEVGEVDL EHDPSLGGDYMGVHPMGLFWSLKPEKLLGRLIKRDVMNSPYQIHIKACHPYFPLQDIVVS PPLDSLTLERWYVAPGVKRIQVKESRIRGALFLPPGEGPFPGVIDLFGGAGGLMEFRASL LASRGFATLALAYWNYDDLPSRLEKVDLEYFEEGVEFLLRHPKVLGPGVGILSVCIGAEI GLSMAINLKQIRATVLINGPNFVSQSPHVYHGQVYPPVPSNEEFVVTNALGLVEFYRTFQ ETADKDSKYCFPIEKAHGHFLFVVGEDDKNLNSKVHANQAIAQLMKNGKKNWTLLSYPGA GHLIEPPYTPLCQASRMPILIPSLSWGGEVIPHAAAQEHSWKEIQKFLKQHLLPDLSSQL >ENSMUSP00000129603.1 pep:known chromosome:GRCm38:4:49489820:49503120:-1 gene:ENSMUSG00000039653.9 transcript:ENSMUST00000166036.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Baat description:bile acid-Coenzyme A: amino acid N-acyltransferase [Source:MGI Symbol;Acc:MGI:106642] MAKLTAVPLSALVDEPVHIQVTGLAPFQVVCLQASLKDEKGNLFSSQAFYRASEVGEVDL EHDPSLGGDYMGVHPMGLFWSLKPEKLLGRLIKRDVMNSPYQIHIKACHPYFPLQDIVVS PPLDSLTLERWYVAPGVKRIQVKESRIRGALFLPPGEGPFPGVIDLFGGAGGLMEFRASL LASRGFATLALAYWNYDDLPSRLEKVDLEYFEEGVEFLLRHPKVLGPGVGILSVCIGAEI GLSMAINLKQIRATVLINGPNFVSQSPHVYHGQVYPPVPSNEEFVVTNALGLVEFYRTFQ ETADKDSKYCFPIEKAHGHFLFVVGEDDKNLNSKVHANQAIAQLMKNGKKNWTLLSYPGA GHLIEPPYTPLCQASRMPILIPSLSWGGEVIPHAAAQEHSWKEIQKFLKQHLLPDLSSQL >ENSMUSP00000118155.1 pep:known chromosome:GRCm38:X:71962624:71966651:1 gene:ENSMUSG00000033361.13 transcript:ENSMUST00000126362.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrg3 description:proline rich Gla (G-carboxyglutamic acid) 3 (transmembrane) [Source:MGI Symbol;Acc:MGI:2685214] MAVFLEAKNAHAVLKRFPRANEFLEELRQGTIERECMEEICSYEEVKEVFEN >ENSMUSP00000038947.6 pep:known chromosome:GRCm38:X:71962982:71972722:1 gene:ENSMUSG00000033361.13 transcript:ENSMUST00000048790.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrg3 description:proline rich Gla (G-carboxyglutamic acid) 3 (transmembrane) [Source:MGI Symbol;Acc:MGI:2685214] MAVFLEAKNAHAVLKRFPRANEFLEELRQGTIERECMEEICSYEEVKEVFENKEKTMEFW KGYPNAVYSVRDPSQSSDAMYVVVPLLGVVLLIVIALFIIWRCQLQKATRHHPSYAQNRY LASRAGHNLPRVMVYRGTVHSQGESSGHREAGNNPQIVMGPSRGGRTTVRLESTLYLPEL SLSRLSSATPPPSYEEVTAPQEGSSEEASVSYSDPPPKYEEIVAASPSADK >ENSMUSP00000128073.1 pep:known chromosome:GRCm38:X:71962625:71970110:1 gene:ENSMUSG00000033361.13 transcript:ENSMUST00000170096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prrg3 description:proline rich Gla (G-carboxyglutamic acid) 3 (transmembrane) [Source:MGI Symbol;Acc:MGI:2685214] MAVFLEAKNAHAVLKRFPRANEFLEELRQGTIERECMEEICSYEEVKEVFENKEKTMEFW KGYPNAVYSVRDPSQSSDAMYVVVPLLGVVLLIVIALFIIWRCQLQKATRHHPSYAQNRY LASRAGHNLPRVMVYRGTVHSQGESSGHREAGNNPQIVMGPSRGGRTTVRLESTLYLPEL SLSRLSSATPPPSYEEVTAPQEGSSEEASVSYSDPPPKYEEIVAASPSADK >ENSMUSP00000035361.5 pep:known chromosome:GRCm38:3:89418443:89430015:1 gene:ENSMUSG00000042626.13 transcript:ENSMUST00000039110.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc1 description:src homology 2 domain-containing transforming protein C1 [Source:MGI Symbol;Acc:MGI:98296] MNKLSGGGGRRTRVEGGQLGGEEWTRHGSFVNKPTRGWLHPNDKVMGPGVSYLVRYMGCV EVLQSMRALDFNTRTQVTREAISLVCEAVPGAKGATRRRKPCSRPLSSILGRSNLKFAGM PITLTVSTSSLNLMAADCKQIIANHHMQSISFASGGDPDTAEYVAYVAKDPVNQRACHIL ECPEGLAQDVISTIGQAFELRFKQYLRNPPKLVTPHDRMAGFDGSAWDEEEEEPPDHQYY NDFPGKEPPLGGVVDMRLREGAARPTLPSAQMSSHLGATLPIGQHAAGDHEVRKQMLPPP PCPGRELFDDPSYVNIQNLDKARQAGGGAGPPNPSLNGSAPRDLFDMKPFEDALRVPPPP QSMSMAEQLQGEPWFHGKLSRREAEALLQLNGDFLVRESTTTPGQYVLTGLQSGQPKHLL LVDPEGVVRTKDHRFESVSHLISYHMDNHLPIISAGSELCLQQPVDRKV >ENSMUSP00000115509.1 pep:known chromosome:GRCm38:3:89418551:89426546:1 gene:ENSMUSG00000042626.13 transcript:ENSMUST00000125036.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc1 description:src homology 2 domain-containing transforming protein C1 [Source:MGI Symbol;Acc:MGI:98296] MGCVEVLQSMRALDFNTRTQVTREAISLVCEAVPGAKGATRRRKPCSRPLSSILGRSNLK FAGMPITLTVSTSSLNLMAADCKQIIANHHMQSISFASGGDPDTAEYVAYVAKDPVNQRA CHILECPEGLAQDVISTIGQAFELRFKQYLRNPPKLVTPHDRMAGFDGSAWD >ENSMUSP00000123635.1 pep:known chromosome:GRCm38:3:89418571:89424244:1 gene:ENSMUSG00000042626.13 transcript:ENSMUST00000154791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc1 description:src homology 2 domain-containing transforming protein C1 [Source:MGI Symbol;Acc:MGI:98296] MNKLSGGGGRRTRVEGGQLGGEEWTRHGSFVNKPTRGWLHPNDKVMGPGVSYLVRYMGCV EVLQSMRALDFNTRTQVTREAISLVCEAVPGAKGATRRRKIIANHHMQSISFASGGDP >ENSMUSP00000119293.1 pep:known chromosome:GRCm38:3:89418594:89426702:1 gene:ENSMUSG00000042626.13 transcript:ENSMUST00000128238.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc1 description:src homology 2 domain-containing transforming protein C1 [Source:MGI Symbol;Acc:MGI:98296] MNKLSGGGGRRTRVEGGQLGGEEWTRHGSFVNKPTRGWLHPNDKVMGPGVSYLVRYMGCV EVLQSMRALDFNTRTQVTREAISLVCEAVPGAKGATRRRKPCSRPLSSILGRSNLKFAGM PITLTVSTSSLNLMAADCKQDTAEYVAYVAKDPVNQRACHILECPEGLAQDVISTIGQAF ELRFKQYLRNPPKLVTPHDRMAGFDGSAWDEEEEEPPDHQYYNDFPGKEPPLGGVVDMRL REGAARPTLPSAQMSSHLGATL >ENSMUSP00000103040.2 pep:known chromosome:GRCm38:3:89418614:89430015:1 gene:ENSMUSG00000042626.13 transcript:ENSMUST00000107417.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc1 description:src homology 2 domain-containing transforming protein C1 [Source:MGI Symbol;Acc:MGI:98296] MGPGVSYLVRYMGCVEVLQSMRALDFNTRTQVTREAISLVCEAVPGAKGATRRRKPCSRP LSSILGRSNLKFAGMPITLTVSTSSLNLMAADCKQIIANHHMQSISFASGGDPDTAEYVA YVAKDPVNQRACHILECPEGLAQDVISTIGQAFELRFKQYLRNPPKLVTPHDRMAGFDGS AWDEEEEEPPDHQYYNDFPGKEPPLGGVVDMRLREGAARPTLPSAQMSSHLGATLPIGQH AAGDHEVRKQMLPPPPCPGRELFDDPSYVNIQNLDKARQAGGGAGPPNPSLNGSAPRDLF DMKPFEDALRVPPPPQSMSMAEQLQGEPWFHGKLSRREAEALLQLNGDFLVRESTTTPGQ YVLTGLQSGQPKHLLLVDPEGVVRTKDHRFESVSHLISYHMDNHLPIISAGSELCLQQPV DRKV >ENSMUSP00000091940.3 pep:known chromosome:GRCm38:3:89421628:89429099:1 gene:ENSMUSG00000042626.13 transcript:ENSMUST00000094378.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc1 description:src homology 2 domain-containing transforming protein C1 [Source:MGI Symbol;Acc:MGI:98296] MDLLPPKPKYNPLRNESLSSLEEGASGSTPPEELPSPSASSLGPILPPLPGDDSPTTLCS FFPRMSNLKLANPAGGRLGPKGEPGKAAEDGEGSAGAALRDSGLLPLLQDMNKLSGGGGR RTRVEGGQLGGEEWTRHGSFVNKPTRGWLHPNDKVMGPGVSYLVRYMGCVEVLQSMRALD FNTRTQVTREAISLVCEAVPGAKGATRRRKPCSRPLSSILGRSNLKFAGMPITLTVSTSS LNLMAADCKQIIANHHMQSISFASGGDPDTAEYVAYVAKDPVNQRACHILECPEGLAQDV ISTIGQAFELRFKQYLRNPPKLVTPHDRMAGFDGSAWDEEEEEPPDHQYYNDFPGKEPPL GGVVDMRLREGAARPTLPSAQMSSHLGATLPIGQHAAGDHEVRKQMLPPPPCPGRELFDD PSYVNIQNLDKARQAGGGAGPPNPSLNGSAPRDLFDMKPFEDALRVPPPPQSMSMAEQLQ GEPWFHGKLSRREAEALLQLNGDFLVRESTTTPGQYVLTGLQSGQPKHLLLVDPEGVVRT KDHRFESVSHLISYHMDNHLPIISAGSELCLQQPVDRKV >ENSMUSP00000117190.1 pep:known chromosome:GRCm38:3:89421642:89426702:1 gene:ENSMUSG00000042626.13 transcript:ENSMUST00000137793.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc1 description:src homology 2 domain-containing transforming protein C1 [Source:MGI Symbol;Acc:MGI:98296] MNKLSGGGGRRTRVEGGQLGGEEWTRHGSFVNKPTRGWLHPNDKVMGPGVSYLVRYMGCV EVLQSMRALDFNTRTQVTREAISLVCEAVPGAKGATRRRKPCSRPLSSILGRSNLKFAGM PITLTVSTSSLNLMAADCKQIIANHHMQSISFASGGDPDTAEYVAYVAKDPVNQRACHIL ECPEGLAQDVISTIGQAFELRFKQYLRNPPKLVTPHDRMAGFDGSAWDEEEEEPPDHQYY NDFPGKEPPLGGVVDMRLREGAARPTLPSAQMSSHLGATL >ENSMUSP00000140336.1 pep:known chromosome:GRCm38:3:89418551:89430027:1 gene:ENSMUSG00000042626.13 transcript:ENSMUST00000191485.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shc1 description:src homology 2 domain-containing transforming protein C1 [Source:MGI Symbol;Acc:MGI:98296] MNKLSGGGGRRTRVEGGQLGGEEWTRHGSFVNKPTRGWLHPNDKVMGPGVSYLVRYMGCV EVLQSMRALDFNTRTQVTREAISLVCEAVPGAKGATRRRKPCSRPLSSILGRSNLKFAGM PITLTVSTSSLNLMAADCKQIIANHHMQSISFASGGDPDTAEYVAYVAKDPVNQRACHIL ECPEGLAQDVISTIGQAFELRFKQYLRNPPKLVTPHDRMAGFDGSAWDEEEEEPPDHQYY NDFPGKEPPLGGVVDMRLREGAARPTLPSAQMSSHLGATLPIGQHAAGDHEVRKQMLPPP PCPGRELFDDPSYVNIQNLDKARQAGGGAGPPNPSLNGSAPRDLFDMKPFEDALRVPPPP QSMSMAEQLQGEPWFHGKLSRREAEALLQLNGDFLVRESTTTPGQYVLTGLQSGQPKHLL LVDPEGVVRTKDHRFESVSHLISYHMDNHLPIISAGSELCLQQPVDRKV >ENSMUSP00000092492.5 pep:known chromosome:GRCm38:7:4772373:4778141:-1 gene:ENSMUSG00000004371.14 transcript:ENSMUST00000094892.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il11 description:interleukin 11 [Source:MGI Symbol;Acc:MGI:107613] MNCVCRLVLVVLSLWPDRVVAPGPPAGSPRVSSDPRADLDSAVLLTRSLLADTRQLAAQM RDKFPADGDHSLDSLPTLAMSAGTLGSLQLPGVLTRLRVDLMSYLRHVQWLRRAGGPSLK TLEPELGALQARLERLLRRLQLLMSRLALPQAAPDQPVIPLGPPASAWGSIRAAHAILGG LHLTLDWAVRGLLLLKTRL >ENSMUSP00000131168.2 pep:known chromosome:GRCm38:7:4773233:4782857:-1 gene:ENSMUSG00000004371.14 transcript:ENSMUST00000163481.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il11 description:interleukin 11 [Source:MGI Symbol;Acc:MGI:107613] MRDKFPADGDHSLDSLPTLAMSAGTLGSLQLPGVLTRLRVDLMSYLRHVQWLRRAGGPSL KTLEPELGALQARLERLLRRLQLLMSRLALPQAAPDQPVIPLGPPASAWGSIRAAHAILG GLHLTLDWAVRGLLLLKTRL >ENSMUSP00000060598.2 pep:known chromosome:GRCm38:15:82341179:82345710:1 gene:ENSMUSG00000049687.5 transcript:ENSMUST00000050349.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam109b description:family with sequence similarity 109, member B [Source:MGI Symbol;Acc:MGI:2443609] MKLNKRSVAHYALSDSPADHTGFLRSWGGPGSPPTPSGTGRRYWFVLKGNLLFSFETRES RVPLSLVVLEGCTVELAEAPVPEEFAFAIRFDAPGVRPHLLAADGQAAQEAWVKALSRAS FGYMRLVVRELESQLQDARQSLALHRCASQRAVANCSKSQAPDHRAPDPENGHFLPRDRS SIGTVEERGIRPIGRDLTEWELQGPASLLLSMGQSPVSPESSCFSTLHDWYGKEIMELRR GWQQRAKGSQTENKSQNRP >ENSMUSP00000124703.1 pep:known chromosome:GRCm38:15:82340858:82345743:1 gene:ENSMUSG00000049687.5 transcript:ENSMUST00000161178.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam109b description:family with sequence similarity 109, member B [Source:MGI Symbol;Acc:MGI:2443609] MKLNKRSVAHYALSDSPADHTGFLRSWGGPGSPPTPSGTGRRYWFVLKGNLLFSFETRES RVPLSLVVLEGCTVELAEAPVPEEFAFAIRFDAPGVRPHLLAADGQAAQEAWVKALSRAS FGYMRLVVRELESQLQDARQSLALHRCASQRAVANCSKSQAPDHRAPDPENGHFLPRDRS SIGTVEERGIRPIGRDLTEWELQGPASLLLSMGQSPVSPESSCFSTLHDWYGKEIMELRR GWQQRAKGSQTENKSQNRP >ENSMUSP00000022995.6 pep:known chromosome:GRCm38:15:57243767:57265161:-1 gene:ENSMUSG00000022366.12 transcript:ENSMUST00000022995.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a22 description:solute carrier family 22 (organic cation transporter), member 22 [Source:MGI Symbol;Acc:MGI:2446114] MDFDEILHHVGDSGRFQICMIILLNILSLVLSPHDVLENFTAAIPAHHCSINLDNSRSEV STDMNLTTEDLMKVSIPMGPNQKPEQCRRFRYTQWQFLDSNISTFNSTELETEPCLDGWT YDHSVFTSTIVTEWDLVCDFQSFKYYAQATSLAGHLVSCPLSGIISDRFGRKPLLMYCSL AYGAVGTYCAFAPNFSVYCVLRFLLSAFQSTILINSLILVLEEASVQWHPTIIVLSGLFN SIGQGVLGGLAYVISDWHLLQLAYALPFFIFFVLFCWVPESVRWLIITGKTDQAWKELQR IASINGKKGIAQNLTTEDLRSKLKKDVNSTGKLFRIKDIFINPLIRKIVLSNSSLLFAEL FSFVGLLLDVQLLGKNMFLTQIFLGAIDVPSKSLTYFTIRNVSRRPLIAFLLLTTGSCIT ITIFISEEMYVLRTIIFILGKGCFAAFTCISTTYINELSPVELRSTLNGVFLAVVRLAGV LSALTLATRKYFVYLPMILYGVLPIVATISILFLPETFNLPHTDIIKDMEKRKRLMSKNI SKKEGQDFLETTEC >ENSMUSP00000105825.1 pep:known chromosome:GRCm38:15:57243771:57477625:-1 gene:ENSMUSG00000022366.12 transcript:ENSMUST00000110196.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a22 description:solute carrier family 22 (organic cation transporter), member 22 [Source:MGI Symbol;Acc:MGI:2446114] MDFDEILHHVGDSGRFQICMIILLNILSLVLSPHDVLENFTAAIPAHHCSINLDNSRSEV STDMNLTTEDLMKVSIPMGPNQKPEQCRRFRYTQWQFLDSNISTFNSTELETEPCLDGWT YDHSVFTSTIVTEWDLVCDFQSFKYYAQATSLAGHLVSCPLSGIISDRFGRKPLLMYCSL AYGAVGTYCAFAPNFSVYCVLRFLLSAFQSTILINSLILVLEEASVQWHPTIIVLSGLFN SIGQGVLGGLAYVISDWHLLQLAYALPFFIFFVLFCWVPESVRWLIITGKTDQAWKELQR IASINGKKGIAQNLTTEDLRSKLKKDVNSTGKLFRIKDIFINPLIRKIVLSNSSLLFAEL FSFVGLLLDVQLLGKNMFLTQIFLGAIDVPSKSLTYFTIRNVSRRPLIAFLLLTTGSCIT ITIFISEEMYVLRTIIFILGKGCFAAFTCISTTYINELSPVELRSTLNGVFLAVVRLAGV LSALTLATRKYFVYLPMILYGVLPIVATISILFLPETFNLPHTDIIKDMEKRKRLMSKNI SKKEGQDFLETTEC >ENSMUSP00000123667.1 pep:known chromosome:GRCm38:15:57256556:57265137:-1 gene:ENSMUSG00000022366.12 transcript:ENSMUST00000137764.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a22 description:solute carrier family 22 (organic cation transporter), member 22 [Source:MGI Symbol;Acc:MGI:2446114] MIILLNILSLVLSPHDVLENFTAAIPAHHCSINLDNSRSEVSTDMNLTTEDLMKVSIPMG PNQKPEQCRRFRYTQWQFLDSNISTFNSTELETEPCLDGWTYDHSVFTSTIVTEWDLVCD FQSFKYYAQATSLAGHLVSCPLSGIISDRFGRKPLLMYCSLAYGAVGTYCAFA >ENSMUSP00000146983.1 pep:known chromosome:GRCm38:X:140342263:140435467:1 gene:ENSMUSG00000042425.18 transcript:ENSMUST00000208130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmpd3 description:FERM and PDZ domain containing 3 [Source:MGI Symbol;Acc:MGI:3646547] MQEESANDMECVQLPAETLRQVTIHRDPIYGFGFVAGSERPVVVRSVRPGGPSEDKLLAG DQIVAINEEDVSEAPRERFIELIRSAKESIVLTVLHTHQSPKSAFISAAKKAKLRSNPVK VRFSEQVTIGETDAKMMKKEALLLIPNVLKVFLENGQIKSFTFDGRTTVKDVMATLQDRL SLRSIEHFALVLEYTGPEQNHKFLILQDKQPLAYVVQRTHYHGMKCLFRISFFPKDPVEL LRRDPAAFEYLYIQSRNDVIRERFGMEPKPEMLLGLAALHIYITVSATRPSQKITLKNVE KEWGLEPFLPPSLLQGIKEKNLRKSLSQQLKAHQMHPSSSTKGSAIQAKLQYLRILNELP TFTGVLFNTVGLDEKHSATTLLVGPRHGISHVIDLKTNLTTVLSEFSKISKIQLFRENQG VARVETSIMDAKPLVLLMEWPEATNFACLIAGYCRLLLDSRKMVFSRPASQPLPPPMIKA DHMHSAHRPVTGGHLGKKESSYVGSVGTSPRKSSRCTTPPADSELVSFCYLHMREQRKEQ ESRTDVNENLIFFEETRPRTKSDPTSKSSGQGYEVIPDDFDAASLDHEPCASRARSYTLD NSLGAEALNFYCDSCKVKLQEQMGPRKGGRPGSSRDNMVDLMSLPPPGSEEEEEEEDETT SLLPAIAAPPPGFRDNSSDEDDPKRRAVQSQEQGRHLRGLLYDEIPVTLIDSVQTRTVRD HAQELDDALVSTLQALEALAASEDGPHPPPPQTAGLIVLATITPESSLDSGHETNSSELT DMSEMMSAMKQHQNTTYFLAQHLNKDSLLARKDLPFRIQSCAAQAVLTAPYALGPPDPNP SPQPAVTGQSPAPTGARRKIPQSEVQVQGDRPYSLAVHPALSPQLSEQKNLSLLPPVPED RGPGHSRAGLEMSLRSATPSLSEEQVSELRENLPKEVRLSPKLILDPKGNVTPAIISAAL QQVVHSKSLATPGTALATPSNRGERRLEASMGRPEVTMSRPEVSVMSSSVSKNLKFKMSP GAPETTRNSQQQLGPEVSASPRASTGSRADNLHLSPPEDRFPVQSFPPKSYLSRVSRDSM GKQATGEVAGKGGPEGAKPPPNKQSVVSGQGDKGQLESLSKSSKLEETSLVPRAGYPMAL QSPSCQPRSHSPSCQPRGPSPSSQSRGQSPSCQPRSQSPLRPLATSRQVSTMPSRKLETT LDGAHSASEGPTKPKSSRGPFRLRNLFSATFPTRQKKETDERQAQLQKVKQYELEFLEEL LKPPSQGELPGTEYLQPPAPGRCSCQLRSSPVQQGPGMSREQRRSCDCKRICRGGRPQAA QTPAPGLRERERVPPTQRQPEAGPGLSLNSPINVQRIRSTSLESRECRSDPESGVSCLTT CASGGECLGDPNYRKLMRHYSISELDQGDTVSLTSDVYPHPPLGMLPREVKEIESGFPVG LGPKSKCAESPTLGEPSYVQVAPESKGPRQMAVFSLPEEVYRRPADLDEDSESSKCCSIR YCFYYRKCDMADDASDGKDELSYSIPMKILPGMKLDEQVVPVVSRTLQVLDAATCSSSPE VPHTQEIDLRVSTFEGSLAKINALRAHAYGLPDGFLAARLDTNELLTVLRQCVASPEARA PKPYVSQISEYKLELALKFKELRASCRRVANVDKSPTHMLAAITGSFQVLSSLIETFVRL VFIVRSEAQRQELLAKVEEVVRNYTFLLRAAEESTARNLNQQQQLQQQQQQQQQQQQQPL PPPPLPAATGHHPPDSPSSTVMSTFTRSLKTLIK >ENSMUSP00000119016.1 pep:known chromosome:GRCm38:X:140367494:140393895:1 gene:ENSMUSG00000042425.18 transcript:ENSMUST00000141660.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmpd3 description:FERM and PDZ domain containing 3 [Source:MGI Symbol;Acc:MGI:3646547] MMKKEALLLIPNVLKVFLENGQIKSFTFDGRTTVKDVMATLQDRLSLRSIEHFALVLEYT GPEQNHKFLILQDKQPLAYVVQRTHYHGMKCLFRISFFPKDPVELLRRDPAAFEYLYI >ENSMUSP00000042515.6 pep:known chromosome:GRCm38:X:140381971:140394540:1 gene:ENSMUSG00000042425.18 transcript:ENSMUST00000044702.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frmpd3 description:FERM and PDZ domain containing 3 [Source:MGI Symbol;Acc:MGI:3646547] MMKKEALLLIPNVLKVFLENGQIKSFTFDGRTTVKDVMATLQDRLSLRSIEHFALVLEYT GPEQNHKFLILQDKQPLAYVVQRTHYHGMKCLFRISFFPKDPVELLRRDPAAFEYLYIQV GSALGTQR >ENSMUSP00000023086.8 pep:known chromosome:GRCm38:15:82345954:82349090:1 gene:ENSMUSG00000022452.14 transcript:ENSMUST00000023086.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smdt1 description:single-pass membrane protein with aspartate rich tail 1 [Source:MGI Symbol;Acc:MGI:1916279] MASTAARRLAWVAVRPGALWSGPRGRRGGDVYTVPGSSGLSQVPSRSVIVTRSGAILPKP VKMSFGLLRVFSIVIPFLYVGTLISKNFAALLEEHDIFVPEDDDDDD >ENSMUSP00000125120.1 pep:known chromosome:GRCm38:15:82346014:82349091:1 gene:ENSMUSG00000022452.14 transcript:ENSMUST00000161892.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smdt1 description:single-pass membrane protein with aspartate rich tail 1 [Source:MGI Symbol;Acc:MGI:1916279] MASTAARRLAWVAVRPGALWSGPRGRRGGDVYTVPGSSGLSQVPSRCPLVFSECSPL >ENSMUSP00000125429.1 pep:known chromosome:GRCm38:15:82347220:82348869:1 gene:ENSMUSG00000022452.14 transcript:ENSMUST00000159054.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smdt1 description:single-pass membrane protein with aspartate rich tail 1 [Source:MGI Symbol;Acc:MGI:1916279] MLPLRAFNINCEARLLSSRSQLKEKEMSFGLLRVFSIVIPFLYVGTLISKNFAALLEEHD IFVPEDDDDDD >ENSMUSP00000078756.1 pep:known chromosome:GRCm38:11:74121291:74122238:1 gene:ENSMUSG00000070380.1 transcript:ENSMUST00000079827.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr401 description:olfactory receptor 401 [Source:MGI Symbol;Acc:MGI:3030235] MQPKPRANGTTVTEFILLGLVETPELWPLVFILFLLAYMTTVGGNLSILAAVLVEPKLHT HMYFFLGNLSVMDVGCISVTIPSMLGRLLAHRLTVPYGACLTQLFFFHLLAGVDCFLLTA MAYDRFLAICQPLTYSTRMNHSVQRILVASSWACSFSNALTHTVATSTLRFCGPNVIDNF YCDLPQLFQLSCSSTQINELLLFALSFIMAGTPMALIFTSYINVAAAVLRIRSAEGRKKA FSTCSSHLTVVAMFYGTGMFNYMRLGSTKLSDKDKAIGIFNTVINPMLNPLIYSLRNPDV QAALWRVLTGRRPAA >ENSMUSP00000066038.5 pep:known chromosome:GRCm38:7:28971372:28981814:-1 gene:ENSMUSG00000053565.10 transcript:ENSMUST00000066070.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3k description:eukaryotic translation initiation factor 3, subunit K [Source:MGI Symbol;Acc:MGI:1921080] MAMFEQMRANVGKLLKGIDRYNPENLATLERYVETQAKENAYDLEANLAVLKLYQFNPAF FQTTVTAQILLKALTNLPHTDFTLCKCMIDQAHQEERPIRQILYLGDLLETCHFQAFWQA LDENMDLLEGITGFEDSVRKFICHVVGITYQHIDRWLLAEMLGDLTDNQLKVWMSKYGWS ADESGQVFICSQEESIKPKNIVEKIDFDSVSSIMASSQ >ENSMUSP00000146940.1 pep:known chromosome:GRCm38:7:28971373:28981787:-1 gene:ENSMUSG00000053565.10 transcript:ENSMUST00000207683.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3k description:eukaryotic translation initiation factor 3, subunit K [Source:MGI Symbol;Acc:MGI:1921080] MAMFEQMRANVGKLLKGIDRYQFNPAFFQTTVTAQILLKALTNLPHTDFTLCKCMIDQAH QEERPIRQILYLGDLLETCHFQAFWQALDENMDLLEGITGFEDSVRKFICHVVGITYQHI DRWLLAEMLGDLTDNQLKVWMSKYGWSADESGQVFICSQEESIKPKNIVEKIDFDSVSSI MASSQ >ENSMUSP00000147214.1 pep:known chromosome:GRCm38:7:28972035:28981864:-1 gene:ENSMUSG00000053565.10 transcript:ENSMUST00000208616.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif3k description:eukaryotic translation initiation factor 3, subunit K [Source:MGI Symbol;Acc:MGI:1921080] MAMFEQMRANVGKLLKGIDRYQFNPAFFQTTVTAQILLKALTNLPHTDFTLCKCMIDQAH QEERPIRQILYLGDLLETCHFQAFWQALDENMDLLEGITGFEDSVRKFICHVVGITYQHI DRWLLAEMLGDLTDNQLKVWMSKYGWSADESGQVFICSQEESIKPKNIVEKIDFDNQTGL KLYLPLPPECWN >ENSMUSP00000147087.1 pep:known chromosome:GRCm38:7:28974644:28981805:-1 gene:ENSMUSG00000053565.10 transcript:ENSMUST00000208707.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif3k description:eukaryotic translation initiation factor 3, subunit K [Source:MGI Symbol;Acc:MGI:1921080] MAMFEQMRANVGKLLKGIDRYNPENLATLERYVETQAKENAYDLEANLAVLKL >ENSMUSP00000013235.4 pep:known chromosome:GRCm38:7:4782937:4784341:1 gene:ENSMUSG00000013091.5 transcript:ENSMUST00000013235.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem190 description:transmembrane protein 190 [Source:MGI Symbol;Acc:MGI:1925302] MVGSGISALGLLLLMQGSVDANGIQGFFYPWSCEGDVWDRESCGGQAAIENPNLCLRLRC CYRDGVCYHQRPDENMRRKHMWALGWTCGSLLFLITSICLFWWARRQDMLHLPRFLHRKC SKLSKTVSSLSKDRRSANKSTTVLQSPGGEVETAAAVSGEDTGGEE >ENSMUSP00000130127.2 pep:known chromosome:GRCm38:7:44501699:44524656:-1 gene:ENSMUSG00000038670.11 transcript:ENSMUST00000165208.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybpc2 description:myosin binding protein C, fast-type [Source:MGI Symbol;Acc:MGI:1336170] MPEAKPAAKKASKGKDAPKEAPAKQTPEEPPKEAPPEDQSPTAEEPTGIFLKKPDSVSVE TGKDAVILAKVNGKELPGKPTIKWFKGKWQELGSKSGARFIFKESHDSTSNVYTVELHIG KVVLGDRGDYRLEIKAKDVCDSCSFNVDVEAPRQDSSGQSLESFKRSGDGKSEDAGELDF SGLLKKREVVEEEKKKKKDDDDLGIPPEIWELLKGAKKSEYEKIAFQYGITDLRGMLKRL KKAKVEVKKSAAFTKKLDPAYQVDRGNKIKLVVEISDPDLPLKWFKNGQEIKPSSKYVFE NVGKKRILTINKCTLADDAAYEVAVQDEKCFTELFVKEPPVLIVTPLEDQQVFVGDRVEM SVEVSEEGAQVMWMKDGVEMTREDSYKARYRFKKDGKRHILIYSDVAQEDGGRYQVITNG GQCEAELIVEEKQLEVLQDIADLTVKAAEQAVFKCEVSDEKVTGKWYKNGVEVRPSKRIT ISHVGRFHKLVIDDVRPEDEGDYTFVPDGYALSLSAKLNFLEIKVEYVPKQEPPKIHLDC SGKTSDNSIVVVAGNKLRLDVAITGEPPPTATWLRGDEVFTATEGRTHIEQRPDCSSFVI ESAERSDEGRYTIKVTNPVGEDVASIFLRVVDVPDPPEAVRVTSVGEDWAILVWEPPKYD GGQPVTGYLMERKKKGSQRWMKINFEVFTDTTYESTKMIEGVLYEMRVFAVNAIGVSQPS MNTKPFMPIAPTSAPQHLTVEDVTDTTTTLKWRPPDRIGAGGIDGYLVEYCLEGSEEWVP ANKEPVERCGFTVKDLPTGARILFRVVGVNIAGRSEPATLLQPVTIREIVEQPKIRLPRH LRQTYIRKVGEALNLVIPFQGKPRPQVVWTKGGAPLDTSRVNVRTSDFDTVFFVRQAARS DSGEYELSVQIENMKDTATIRIRVVEKAGPAENVMVKEVWGTNALVEWQPPKDDGNSEIT GYFVQKADKKTMEWFNVYEHNRHTSCTVSDLIVGNEYYFRIFSENICGLSDSPGVSKNTA RILKTGITLKPLEYKEHDFRTAPKFLTPLMDRVVVAGYTAALNCAVRGHPKPKVVWMKNK MEIHEDPKFLITNYQGILTLNIRRPSPFDAGTYSCRAFNELGEALAECKLDVRVPQ >ENSMUSP00000146698.1 pep:known chromosome:GRCm38:7:44506208:44509078:-1 gene:ENSMUSG00000038670.11 transcript:ENSMUST00000207516.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mybpc2 description:myosin binding protein C, fast-type [Source:MGI Symbol;Acc:MGI:1336170] XESTKMIEGVLYEMRVFAVNAIGVSQPSMNTKPFMPIDRIGAGGIDGYLVEYCLEGSEEW VPANKEPVERCGFTVKDLPTGARILFRVVGVNIAGRSEPATLLQPVTIREIVEQPKIRLP RHLRQTYIRKVGEALNLVIPFQGKPRPQVVWTKGG >ENSMUSP00000085749.3 pep:known chromosome:GRCm38:1:21349641:21352200:1 gene:ENSMUSG00000067750.3 transcript:ENSMUST00000088407.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdc1a description:KH domain containing 1A [Source:MGI Symbol;Acc:MGI:2676610] MSDLRRKGWWNVPDYFHSPLVFDMEEDKEDYIFGPHDEYLHTLEVHSNTLIQLERWFTPT GQTRVTVVGPLKARLWVMDMIRKVGSKNNLDQIKGKMMLLQIRDHPLRDRDLELHPESGS SLWITTMNDTTFVEVPHFLRFPLTVAWLFCGFVRILGIHNFADLHW >ENSMUSP00000073356.2 pep:known chromosome:GRCm38:11:74155115:74156126:1 gene:ENSMUSG00000070379.4 transcript:ENSMUST00000073675.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr402 description:olfactory receptor 402 [Source:MGI Symbol;Acc:MGI:3030236] MQTKPRINGTTITEFILLGLVETPELWPLVFILFLLAYMTTVGGNLSILAAVLVEPKLHT PMYFFLGNLSVMDVGCISVTIPSMLVRLLVQKHTIPYGDCLTQLFFFHLLAGVDCFLLTA MAYDRFLAICQPLTYSTRMNYTIQRILVAMSWACAFSNALTHTVAISTLHFCGPNVINHF YCDLPQLFQLSCSSTQLNELLLFGVGFIMAGTPMALIFISYIHVAAAVLRIRSAEGRKKA FSTCSSHLTVVAMFYGTGMFNYMRLGSTKFSDKDKAIGIFNTVINPMLNPLIYSLRNPDV QAALWRVLTGRRPAA >ENSMUSP00000080462.6 pep:known chromosome:GRCm38:13:88821511:89323223:1 gene:ENSMUSG00000034488.14 transcript:ENSMUST00000081769.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edil3 description:EGF-like repeats and discoidin I-like domains 3 [Source:MGI Symbol;Acc:MGI:1329025] MKHLVAAWLLVGLSLGVPQFGKGDICNPNPCENGGICLSGLADDSFSCECPEGFAGPNCS SVVEVASDEEKPTSAGPCIPNPCHNGGTCEISEAYRGDTFIGYVCKCPRGFNGIHCQHNI NECEAEPCRNGGICTDLVANYSCECPGEFMGRNCQYKCSGPLGIEGGIISNQQITASSTH RALFGLQKWYPYYARLNKKGLINAWTAAENDRWPWIQINLQRKMRVTGVITQGAKRIGSP EYIKSYKIAYSNDGKTWAMYKVKGTNEEMVFRGNVDNNTPYANSFTPPIKAQYVRLYPQI CRRHCTLRMELLGCELSGCSEPLGMKSGHIQDYQITASSVFRTLNMDMFTWEPRKARLDK QGKVNAWTSGHNDQSQWLQVDLLVPTKVTGIITQGAKDFGHVQFVGSYKLAYSNDGEHWM VHQDEKQRKDKVFQGNFDNDTHRKNVIDPPIYARFIRILPWSWYGRITLRSELLGCAEEE >ENSMUSP00000044652.6 pep:known chromosome:GRCm38:13:88821642:89323223:1 gene:ENSMUSG00000034488.14 transcript:ENSMUST00000043111.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edil3 description:EGF-like repeats and discoidin I-like domains 3 [Source:MGI Symbol;Acc:MGI:1329025] MKHLVAAWLLVGLSLGVPQFGKGDICNPNPCENGGICLSGLADDSFSCECPEGFAGPNCS SVVEVGPCIPNPCHNGGTCEISEAYRGDTFIGYVCKCPRGFNGIHCQHNINECEAEPCRN GGICTDLVANYSCECPGEFMGRNCQYKCSGPLGIEGGIISNQQITASSTHRALFGLQKWY PYYARLNKKGLINAWTAAENDRWPWIQINLQRKMRVTGVITQGAKRIGSPEYIKSYKIAY SNDGKTWAMYKVKGTNEEMVFRGNVDNNTPYANSFTPPIKAQYVRLYPQICRRHCTLRME LLGCELSGCSEPLGMKSGHIQDYQITASSVFRTLNMDMFTWEPRKARLDKQGKVNAWTSG HNDQSQWLQVDLLVPTKVTGIITQGAKDFGHVQFVGSYKLAYSNDGEHWMVHQDEKQRKD KVFQGNFDNDTHRKNVIDPPIYARFIRILPWSWYGRITLRSELLGCAEEE >ENSMUSP00000112829.1 pep:known chromosome:GRCm38:13:88821472:89200538:1 gene:ENSMUSG00000034488.14 transcript:ENSMUST00000118731.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Edil3 description:EGF-like repeats and discoidin I-like domains 3 [Source:MGI Symbol;Acc:MGI:1329025] MKHLVAAWLLVGLSLGVPQFGKGDICNPNPCENGGICLSGLADDSFSCECPEGFAGPNCS SVVEVASDEEKPTSAGPCIPNPCHNGGTCEISEAYRGDTFIGYVCKCPRGFNGIHCQHNI NECEAEPCRNGGICTDLVANYSCECPGEFMGRNCQYKCSGPLGIEGGIISNQQITASSTH RALFGLQKWYPYYARLNKKGLINAWTAAENDRWPWIQINLQRKMRVTGVITQGAKRIGSP EYIKSYKIAYSNDGKTWAMYKVKGTNEEMVFRGNVDNNTPYANSFTPPIKAQYVRLYPQI CRRHCTLRMELLGCELSGCSEPLGMKSGHIQDYQITASSVFRTLNMDMFTWEPRKARLDK QGKVNAWTSGHNDQSQWLQLPCTL >ENSMUSP00000133733.1 pep:known chromosome:GRCm38:13:19727417:19732951:-1 gene:ENSMUSG00000047462.8 transcript:ENSMUST00000151029.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:A530099J19Rik description:RIKEN cDNA A530099J19 gene [Source:MGI Symbol;Acc:MGI:2441809] MDVMDVDLVSNGSSVVMPMAEQVCDAHCRAILTTAYSVVFFGGTVGTVMMSHMMFKRNCQ SMIATIIINIIVLHSLLLISLPFRLSYYLSAVWKLGSFTCRMVSGVIYGHMYLTFIFYVA IVTLRLLIYFKKLQMQQLQKFHAVALSIIIWVTGSFIFLPIFFLQYGTDPSYTEQQRCFE FHKSLNSRDIIIINYSIIVIMMTTVLLLFLIQMAVILHLIKAYWPDMWAHQEYRAQIKSF FFLLVIVVCFIPHHAFRVYFIQNFPEQENSKLILYNEICVALTAFCCLDMLCFIGGVIH >ENSMUSP00000041306.7 pep:known chromosome:GRCm38:7:3609813:3616133:-1 gene:ENSMUSG00000054594.13 transcript:ENSMUST00000039507.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oscar description:osteoclast associated receptor [Source:MGI Symbol;Acc:MGI:2179720] MVLSLILQLSTLCELSLPWPACRADFTPTAPLASYPQPWLGAHPAAVVTPGINVTLTCRA PQSAWRFALFKSGLVTPLLLRDVSVELAEFFLEEVTPAQGGSYHCRYRKTDWGPGVWSQP SNVLELLVTDQLPRPSLVALPGPVVAPGANVSLRCAGRIPGMSFALYRVGVATPLQYIDS VQPWADFLLIGTHTPGTYCCYYHTPSAPYVLSQRSQPLVISFEGSGSLDYTQGNLIRLGL AGMVLICLGIIVTCDWHSRSSAFDGLLPQQN >ENSMUSP00000104285.1 pep:known chromosome:GRCm38:7:3609813:3616157:-1 gene:ENSMUSG00000054594.13 transcript:ENSMUST00000108645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oscar description:osteoclast associated receptor [Source:MGI Symbol;Acc:MGI:2179720] MVLSLILQLSTLWPACRADFTPTAPLASYPQPWLGAHPAAVVTPGINVTLTCRAPQSAWR FALFKSGLVTPLLLRDVSVELAEFFLEEVTPAQGGSYHCRYRKTDWGPGVWSQPSNVLEL LVTDQLPRPSLVALPGPVVAPGANVSLRCAGRIPGMSFALYRVGVATPLQYIDSVQPWAD FLLIGTHTPGTYCCYYHTPSAPYVLSQRSQPLVISFEGSGSLDYTQGNLIRLGLAGMVLI CLGIIVTCDWHSRSSAFDGLLPQQN >ENSMUSP00000123672.1 pep:known chromosome:GRCm38:7:3609813:3616157:-1 gene:ENSMUSG00000054594.13 transcript:ENSMUST00000148012.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oscar description:osteoclast associated receptor [Source:MGI Symbol;Acc:MGI:2179720] MVLSLILQLSTLSPLASYPQPWLGAHPAAVVTPGINVTLTCRAPQSAWRFALFKSGLVTP LLLRDVSVELAEFFLEEVTPAQGGSYHCRYRKTDWGPGVWSQPSNVLELLVTDQLPRPSL VALPGPVVAPGANVSLRCAGRIPGMSFALYRVGVATPLQYIDSVQPWADFLLIGTHTPGT YCCYYHTPSAPYVLSQRSQPLVISFEGSGSLDYTQGNLIRLGLAGMVLICLGIIVTCDWH SRSSAFDGLLPQQN >ENSMUSP00000103990.2 pep:known chromosome:GRCm38:7:27523267:27531175:1 gene:ENSMUSG00000040424.15 transcript:ENSMUST00000108353.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk4 description:homeodomain interacting protein kinase 4 [Source:MGI Symbol;Acc:MGI:2685008] MATIQSETDCYDIIEVLGKGTFGEVAKGWRRSTGEMVAIKILKNDAYRSRIIKNELKLLR CVRGLDPDEAHVIRFLEFFHDALKFYLVFELLEQNLFEFQKENNFAPLPARHIRTVTLQV LRALARLKELAIIHADLKPENIMLVDQTRCPFRVKVIDFGSASIFSEVRYVKEPYIQSRF YRAPEILLGLPFCEKVDVWSLGCVMAELHLGWPLYPGNNEYDQVRYICETQGLPKPHLLH AARKAHHFFKRNPHPDATNPWQLKSSADYLAETKVRPLERRKYMLKSLDQIETVNGGGAV SRLSFPDREALAEHADLKSMVELIKRMLTWESHERISPSAALRHPFVSMQQLRSAHEATR YYQLSLRGCRLSLQVDGKPPPPVIASAEDGPPYYRLAEEEETAGLGGVTGSGSFFREDKA PGMQRAIDQLDDLSLQEARRGLWSDTRADMVSDMLVPLKVASTSHRVPDSGPEPILAFYG SRLTGRHKARKAPAGSKSDSNFSNLIRLSQASPEDAGPCRGSGWEEGEGRTTSTEPSVIP QREGDGPGIKDRPMDAERPGPELFDPSSCPGEWLSEPEWTLEGIRGSRAQGLPAHHPHPH GPPRTTSFLQHVGGHH >ENSMUSP00000043175.6 pep:known chromosome:GRCm38:7:27527059:27531170:1 gene:ENSMUSG00000040424.15 transcript:ENSMUST00000037134.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hipk4 description:homeodomain interacting protein kinase 4 [Source:MGI Symbol;Acc:MGI:2685008] MAELHLGWPLYPGNNEYDQVRYICETQGLPKPHLLHAARKAHHFFKRNPHPDATNPWQLK SSADYLAETKVRPLERRKYMLKSLDQIETVNGGGAVSRLSFPDREALAEHADLKSMVELI KRMLTWESHERISPSAALRHPFVSMQQLRSAHEATRYYQLSLRGCRLSLQVDGKPPPPVI ASAEDGPPYYRLAEEEETAGLGGVTGSGSFFREDKAPGMQRAIDQLDDLSLQEARRGLWS DTRADMVSDMLVPLKVASTSHRVPDSGPEPILAFYGSRLTGRHKARKAPAGSKSDSNFSN LIRLSQASPEDAGPCRGSGWEEGEGRTTSTEPSVIPQREGDGPGIKDRPMDAERPGPELF DPSSCPGEWLSEPEWTLEGIRGSRAQGLPAHHPHPHGPPRTTSFLQHVGGHH >ENSMUSP00000143970.1 pep:known chromosome:GRCm38:6:24791188:24801048:1 gene:ENSMUSG00000029682.5 transcript:ENSMUST00000202569.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spam1 description:sperm adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:109335] MGELRFKHLFWGSFVESGGTFQTVLIFLLIPCSLTVDYRAAPILSNTTFLWIWNVPTERC VGNVNDPIDLSFFSLIGSPRKTATGQPVTLFYVDRLGLYPHIDANQAEHYGGIPQRGDYQ AHLRKAKTDIEHYIPDDKLGLAIIDWEEWRPTWLRNWKPKDNYRNKSIELVQSTNPGLSI TEATQKAIQQFEEAGRKFMEGTLHLGKFLRPNQLWGYYLFPDCYNNKFQDPKYDGQCPAV EKKRNDNLKWLWKASTGLYPSVYLKKDLKSNRQATLYVRYRVVEAIRVSKVGNASDPVPI FVYIRLVFTDRTSEYLLEDDLVNTIGEIVALGTSGIIIWDAMSLAQRAAGCPILHKYMQT TLNPYIVNVTLAAKMCSQTLCNEKGMCSRRKESSDVYLHLNPSHFDIMLTETGKYEVLGN PRVGDLEYFSEHFKCSCFSRMTCKETSDVKNVQDVNVCVGDNVCIKAKVEPNPAFYLLPG KSLLFMTTLGHVLYHLPQDIFVFPRKTLVSTP >ENSMUSP00000143944.1 pep:known chromosome:GRCm38:6:24791201:24801048:1 gene:ENSMUSG00000029682.5 transcript:ENSMUST00000202331.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spam1 description:sperm adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:109335] MGELRFKHLFWGSFVESGGTFQTVLIFLLIPCSLTVDYRAAPILSNTTFLWIWNVPTERC VGNVNDPIDLSFFSLIGSPRKTATGQPVTLFYVDRLGLYPHIDANQAEHYGGIPQRGDYQ AHLRKAKTDIEHYIPDDKLGLAIIDWEEWRPTWLRNWKPKDNYRNKSIELVQSTNPGLSI TEATQKAIQQFEEAGRKFMEGTLHLGKFLRPNQLWGYYLFPDCYNNKFQDPKYDGQCPAV EKKRNDNLKWLWKASTGLYPSVYLKKDLKSNRQATLYVRYRVVEAIRVSKVGNASDPVPI FVYIRLVFTDRTSEYLLEDDLVNTIGEIVALGTSGIIIWDAMSLAQRAAGCPILHKYMQT TLNPYIVNVTLAAKMCSQTLCNEKGMCSRRKESSDVYLHLNPSHFDIMLTETGKYEVLGN PRVGDLEYFSEHFKCSCFSRMTCKETSDVKNVQDVNVCVGDNVCIKAKVEPNPAFYLLPG KSLLFMTTLGHVLYHLPQDIFVFPRKTLVSTP >ENSMUSP00000031693.2 pep:known chromosome:GRCm38:6:24795824:24801048:1 gene:ENSMUSG00000029682.5 transcript:ENSMUST00000031693.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spam1 description:sperm adhesion molecule 1 [Source:MGI Symbol;Acc:MGI:109335] MGELRFKHLFWGSFVESGGTFQTVLIFLLIPCSLTVDYRAAPILSNTTFLWIWNVPTERC VGNVNDPIDLSFFSLIGSPRKTATGQPVTLFYVDRLGLYPHIDANQAEHYGGIPQRGDYQ AHLRKAKTDIEHYIPDDKLGLAIIDWEEWRPTWLRNWKPKDNYRNKSIELVQSTNPGLSI TEATQKAIQQFEEAGRKFMEGTLHLGKFLRPNQLWGYYLFPDCYNNKFQDPKYDGQCPAV EKKRNDNLKWLWKASTGLYPSVYLKKDLKSNRQATLYVRYRVVEAIRVSKVGNASDPVPI FVYIRLVFTDRTSEYLLEDDLVNTIGEIVALGTSGIIIWDAMSLAQRAAGCPILHKYMQT TLNPYIVNVTLAAKMCSQTLCNEKGMCSRRKESSDVYLHLNPSHFDIMLTETGKYEVLGN PRVGDLEYFSEHFKCSCFSRMTCKETSDVKNVQDVNVCVGDNVCIKAKVEPNPAFYLLPG KSLLFMTTLGHVLYHLPQDIFVFPRKTLVSTP >ENSMUSP00000033752.7 pep:known chromosome:GRCm38:X:73673150:73682502:1 gene:ENSMUSG00000019558.14 transcript:ENSMUST00000033752.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a8 description:solute carrier family 6 (neurotransmitter transporter, creatine), member 8 [Source:MGI Symbol;Acc:MGI:2147834] MAKKSAENGIYSVSGDEKKGPLIVSGPDGAPAKGDGPAGLGAPGGRLAVPPRETWTRQMD FIMSCVGFAVGLGNVWRFPYLCYKNGGGVFLIPYVLIALVGGIPIFFLEISLGQFMKAGS INVWNICPLFKGLGYASMVIVFYCNTYYIMVLAWGFYYLVKSFTTTLPWATCGHTWNTPD CVEIFRHEDCANASLANLTCDQLADRRSPVIEFWENKVLRLSTGLEVPGALNWEVTLCLL ACWVLVYFCVWKGVKSTGKIVYFTATFPYVVLVVLLVRGVLLPGALDGIIYYLKPDWSKL GSPQVWIDAGTQIFFSYAIGLGALTALGSYNRFNNNCYKDAIILALINSGTSFFAGFVVF SILGFMATEQGVHISKVAESGPGLAFIAYPRAVTLMPVAPLWAALFFFMLLLLGLDSQFV GVEGFITGLLDLLPASYYFRFQREISVALCCALCFVIDLSMVTDVSGGKGGMYVFQLFDY YSASGTTLLWQAFWECVVVAWVYGADRFMDDIACMIGYRPCPWMKWCWSFFTPLVCMGIF IFNIVYYEPLVYNNTYVYPWWGEAMGWAFALSSMLCVPLHLLGCLLRAKGTMAERWQHLT QPIWGLHHLEYRAQDADVRGLTTLTPVSESSKVVVVESVM >ENSMUSP00000126076.2 pep:known chromosome:GRCm38:X:73673707:73681291:1 gene:ENSMUSG00000019558.14 transcript:ENSMUST00000164449.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a8 description:solute carrier family 6 (neurotransmitter transporter, creatine), member 8 [Source:MGI Symbol;Acc:MGI:2147834] MAKKSAENGIYSVSGDEKKGPLIVSGPDGAPAKGDGPAGLGAPGGRLAVPPRETWTRQMD FIMSCVGFAVGLGNVWRFPYLCYKNGGGVFLIPYVLIALVGGIPIFFLEISLGQFMKAGS INVWNICPLFKGLGYASMVIVFYCNTYYIMVLAWGFYYLVKSFTTTLPWATCGHTWNTPD CVEIFRHEDCANASLANLTCDQLADRRSPVIEFWENKVLRLSTGLEVPGALNWEVTLCLL ACWVLVYFCVWKGVKSTGKIVYFTATFPYVVLVVLLVRGVLLPGALDGIIYYLKPDWSKL GSPQVWIDAGTQIFFSYAIGLGALTALGSYNRFNNNCYNGTSFFAGFVVFSILGFMATEQ GVHISKVAESGPGLAFIAYPRAVTLMPVAPLWAALFFFMLLLLGLDSQFVGVEGFITGLL DLLPASYYFRFQREISVALCCALCFVIDLSMVTDGGMYVFQLFDYYSASGTTLLWQAFWE CVVVAWVYGADRFMDDIACMIGYRPCPWMKWCWSFFTPLVCMGIFIFNIVYYEPLVYNNT YVYPWWGEAMGWAFALSSMLCVPLHLLGCLLRAKGTMAERWQHLTQPIWGLHHLEYRAQD ADVRGLTTLTPVSESSKVVVVESVM >ENSMUSP00000110109.2 pep:known chromosome:GRCm38:X:73673785:73682502:1 gene:ENSMUSG00000019558.14 transcript:ENSMUST00000114465.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a8 description:solute carrier family 6 (neurotransmitter transporter, creatine), member 8 [Source:MGI Symbol;Acc:MGI:2147834] MAKKSAENGIYSVSGDEKKGPLIVSGPDGAPAKGDGPAGLGAPGGRLAVPPRETWTRQMD FIMSCVGFAVGLGNVWRFPYLCYKNGGGVFLIPYVLIALVGGIPIFFLEISLGQFMKAGS INVWNICPLFKGLGYASMVIVFYCNTYYIMVLAWGFYYLVKSFTTTLPWATCGHTWNTPD CVEIFRHEDCANASLANLTCDQLADRRSPVIEFWENKVLRLSTGLEVPGALNWEVTLCLL ACWVLVYFCVWKGVKSTGKIVYFTATFPYVVLVVLLVRGVLLPGALDGIIYYLKPDWSKL GSPQVWIDAGTQIFFSYAIGLGALTALGSYNRFNNNCYKDAIILALINSGTSFFAGFVVF SILGFMATEQGVHISKVAESGPGLAFIAYPRAVTLMPVAPLWAALFFFMLLLLGLDSQFV GVEGFITGLLDLLPASYYFRFQREISVALCCALCFVIDLSMVTDGGMYVFQLFDYYSASG TTLLWQAFWECVVVAWVYGADRFMDDIACMIGYRPCPWMKWCWSFFTPLVCMGIFIFNIV YYEPLVYNNTYVYPWWGEAMGWAFALSSMLCVPLHLLGCLLRAKGTMAERWQHLTQPIWG LHHLEYRAQDADVRGLTTLTPVSESSKVVVVESVM >ENSMUSP00000132921.1 pep:known chromosome:GRCm38:X:73673958:73681289:1 gene:ENSMUSG00000019558.14 transcript:ENSMUST00000168831.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a8 description:solute carrier family 6 (neurotransmitter transporter, creatine), member 8 [Source:MGI Symbol;Acc:MGI:2147834] XQMDFIMSCVGFAVGLGNVWRFPYLCYKNGGGVFLIPYVLIALVGGIPIFFLEISLGQFM KAGSINVWNICPLFKGLGYASMVIVFYCNTYYIMVLAWGFYYLVKSFTTTLPWATCGHTW NTPDCVEIFRHEDCANASLANLTCDQLADRRSPVIEFWENKVLRLSTGLEVPGALNWEVT LCLLACWVLVYFCVWKGVKSTGKIVYFTATFPYVVLVVLLVRGVLLPGALDGIIYYLKPD WSKLGSPQVWIDAGTQIFFSYAIGLGALTALGSYNRFNNNCYKDAIILALINSGTSFFAG FVVFSILGFMATEQGVHISKVAESGPGLAFIAYPRAVTLMPVAPLWAALFFFMLLLLGLD SQFVGVEGFITGLLDLLPASYYFRFQREISVALCCALCFVIDLSMGGMYVFQLFDYYSAS GTTLLWQAFWECVVVAWVYGADRFMDDIACMIGYRPCPWMKWCWSFFTPLVCMGIFIFNI VYYEPLVYNNTYVYPWWGEAMGWAFALSSMLCVPLHLLGCLLRAKGTMAERWQHLTQPIW GLHHLEYRAQDADVRGLTTLTPVSESSKVVVVESVM >ENSMUSP00000128079.1 pep:known chromosome:GRCm38:X:73675507:73677344:1 gene:ENSMUSG00000019558.14 transcript:ENSMUST00000171398.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a8 description:solute carrier family 6 (neurotransmitter transporter, creatine), member 8 [Source:MGI Symbol;Acc:MGI:2147834] MKAGSINVWNICPLFKGLGYASMVIVFYCNTYYIMVLAWGFYYLVKSFTTTLPWATCGHT WNTPDCVEIFRHEDCANASLANLTCDQLADRRSPVIEFWENKVLRLST >ENSMUSP00000110108.2 pep:known chromosome:GRCm38:X:73676846:73682502:1 gene:ENSMUSG00000019558.14 transcript:ENSMUST00000114464.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc6a8 description:solute carrier family 6 (neurotransmitter transporter, creatine), member 8 [Source:MGI Symbol;Acc:MGI:2147834] XLTCDQLADRRSPVIEFWE >ENSMUSP00000110111.2 pep:known chromosome:GRCm38:X:73673242:73681640:1 gene:ENSMUSG00000019558.14 transcript:ENSMUST00000114467.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc6a8 description:solute carrier family 6 (neurotransmitter transporter, creatine), member 8 [Source:MGI Symbol;Acc:MGI:2147834] MAKKSAENGIYSVSGDEKKGPLIVSGPDGAPAKGDGPAGLGAPGGRLAVPPRETWTRQMD FIMSCVGFAVGLGNVWRFPYLCYKNGGGVFLIPYVLIALVGGIPIFFLEISLGQFMKAGS INVWNICPLFKGLGYASMVIVFYCNTYYIMVLAWGFYYLVKSFTTTLPWATCGHTWNTPD CVEIFRHEDCANASLANLTCDQLADRRSPVIEFWENKVLRLSTGLEVPGALNWEVTLCLL ACWVLVYFCVWKGVKSTGKIVYFTATFPYVVLVVLLVRGVLLPGALDGIIYYLKPDWSKL GSPQVWIDAGTQIFFSYAIGLGALTALGSYNRFNNNCYKDAIILALINSGTSFFAGFVVF SILGFMATEQGVHISKVAESGPGLAFIAYPRAVTLMPVAPLWAALFFFMLLLLGLDSQFV GVEGFITGLLDLLPASYYFRFQREISVALCCALCFVIDLSMVTDGGMYVFQLFDYYSASG TTLLWQAFWECVVVAWVYGADRFMDDIACMIGYRPCPWMKWCWSFFTPLVCMGIFIFNIV YYEPLVYNNTYVYPWWGEAMGWAFALSSMLCVPLHLLGCLLRAKGTMAERWQHLTQPIWG LHHLEYRAQDADVRGLTTLTPVSESSKVVVVESVM >ENSMUSP00000038569.4 pep:known chromosome:GRCm38:5:21543563:21575904:1 gene:ENSMUSG00000039883.5 transcript:ENSMUST00000035651.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc17 description:leucine rich repeat containing 17 [Source:MGI Symbol;Acc:MGI:1921761] MRIVAILLLFCLCRAAEPRKSSPGVLRSQGNPSRSHGRGGRRGSSPVKRYAPGLPCDVYT YLHEKYLDCQERKLVYVLPDWPQDLLHMLLARNKIRVLKNNMFAKFKRLKSLDLQQNEIS KIESEAFFGLNKLTTLLLQHNQIKVLTEEAFIYTPLLSYLRLYDNPWHCTCELETLISML QIPRNRNLGNYAKCGSPPALRNKKLLQLKPQELCDEEEKEQLDPKPQVSGIPAVIRPEAD STLCHNYVFPIQTLDCKRKELKKVPSNIPPDIVKLDLSSNKIRQLRPKEFEDVHELKKLN LSSNGIEFIDPAAFLGLIHLEELDLSNNSLQNFDYGVLEDLYFLKLLWLRDNPWRCDYSI HYLYYWLKHHYNVHYNGLECKTPEEYKGWSVGKYVRSYYEECPKDKLPAYPETFDQDTED DEWQKIHRDHPAKKHRVRITIVG >ENSMUSP00000033464.3 pep:known chromosome:GRCm38:X:57043074:57048758:1 gene:ENSMUSG00000031130.3 transcript:ENSMUST00000033464.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brs3 description:bombesin-like receptor 3 [Source:MGI Symbol;Acc:MGI:1100501] MSQRQSQSPNQTLISITNDTETSSSVVSNDTTHKGWTGDNSPGIEALCAIYITYAGIISV GILGNAILIKVFFKTKSMQTVPNIFITSLAFGDLLLLLTCVPVDATHYLAEGWLFGKVGC KVLSFIRLTSVGVSVFTLTILSADRYKAVVKPLERQPPNAILKTCAKAGGIWIVSMIFAL PEAIFSNVYTFQDPNRNVTFESCNSYPISERLLQEIHSLLCFLVFYIIPLSIISVYYSLI ARTLYKSTLNIPTEEQSHARKQIESRKRIAKTVLVLVALFALCWLPNHLLYLYHSFTYES YANHSDVPFVIIIFSRVLAFSNSCVNPFALYWLSKTFQQHFKAQLCCLKAEQPEPPLGDI PLNNLTVMGRVPATGSAHVSEISVTLFSGSSAKKGEDKV >ENSMUSP00000062239.1 pep:known chromosome:GRCm38:13:94057796:94195409:1 gene:ENSMUSG00000045312.11 transcript:ENSMUST00000054274.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl2 description:lipoma HMGIC fusion partner-like 2 [Source:MGI Symbol;Acc:MGI:2145236] MCHVIVTCRSMLWTLLSIVVAFAELVAFMSADWLIGKAKTRSGSGDEQAGMNSEPHYLGI LCIRTPAMQQVSRDTLCGTYAKSFGEIASGFWQATAIFLAVGIFILCVVALVSVFTMCVQ SIMRKSIFNVCGLLQGIAGLFLILGLILYPAGWGCQKAIDCGRYASPYKPGDCSLGWAFY TATGGTVLTFICAVFSAQAEIATSSDKVQEEIEEGKNLVCLL >ENSMUSP00000112655.1 pep:known chromosome:GRCm38:13:94172810:94194517:1 gene:ENSMUSG00000045312.11 transcript:ENSMUST00000118195.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl2 description:lipoma HMGIC fusion partner-like 2 [Source:MGI Symbol;Acc:MGI:2145236] MCHVIVTCRSMLWTLLSIVVAFAELVAFMSADWLIGKAKTRSGSGDEQAGMNSEPHYLGI LCIRTPAMQQVSRDTLCGTYAKSFGEIASGFWQATAIFLAVGIFILCVVALVSVFTMCVQ SIMRKSIFNVCGLLQGIAGLFLILGLILYPAGWGCQKAIDCGRYASPYKPGDCSLGWAFY TATGGTVLTFICAVFSAQAEIATSSDKVQEEIEEGKNLVCLL >ENSMUSP00000113468.1 pep:known chromosome:GRCm38:13:94173992:94195409:1 gene:ENSMUSG00000045312.11 transcript:ENSMUST00000121618.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl2 description:lipoma HMGIC fusion partner-like 2 [Source:MGI Symbol;Acc:MGI:2145236] MCHVIVTCRSMLWTLLSIVVAFAELVAFMSADWLIGKAKTRSGSGDEQAGMNSEPHYLGI LCIRTPAMQQVSRDTLCGTYAKSFGEIASGFWQATAIFLAVGIFILCVVALVSVFTMCVQ SIMRKSIFNVCGLLQGIAGLFLILGLILYPAGWGCQKAIDCGRYASPYKPGDCSLGWAFY TATGGTVLTFICAVFSAQAEIATSSDKVQEEIEEGKNLVCLL >ENSMUSP00000117113.1 pep:known chromosome:GRCm38:13:94083511:94174640:1 gene:ENSMUSG00000045312.11 transcript:ENSMUST00000156071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl2 description:lipoma HMGIC fusion partner-like 2 [Source:MGI Symbol;Acc:MGI:2145236] MCHVIVTCRSMLWTLLSIVVAFAELVAFMSADWLIGKAKTRSGSGDEQAGMNSEPHYLGI LCIRTPAMQQVSRDTLCGTYAKSFGEIASGFWQATAIFLAVGIFILCVVALVSVFTMCVQ SIMRKSIFNVCGLLQGIAG >ENSMUSP00000113786.1 pep:known chromosome:GRCm38:13:94134740:94174326:1 gene:ENSMUSG00000045312.11 transcript:ENSMUST00000120051.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lhfpl2 description:lipoma HMGIC fusion partner-like 2 [Source:MGI Symbol;Acc:MGI:2145236] MCHVIVTCRSMLWTLLSIVVAFAELVAFMSADWL >ENSMUSP00000052716.4 pep:known chromosome:GRCm38:5:21483847:21645605:-1 gene:ENSMUSG00000048520.16 transcript:ENSMUST00000051358.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl13 description:F-box and leucine-rich repeat protein 13 [Source:MGI Symbol;Acc:MGI:2443416] MASLRSATPRLRSYFRDKYIPQICEALLCGLLVTCPEDPLKYLEHMILAIIKRGLENLLW DTCIHPSLKSRVRRLSETYLDELFGLDDQLVTPELMIKACTFYTGHLVKTHFSGWKKVAI PRANQEEIMAEKMDKAIAHDNFRCQKYIFNRWFAYTVMSRERLITTLLRLRHLFYMQRQR IILAKWKERARHKSKTREDDLISKHELQLKKWKFKLGKPISLEGSLSDIAVENRRIAFDI SVLPEQAILQIFLYLTFKDMMACSRVNRSWMAMIQRGSLWNSIDFSTVKNIADKCVVTTL QKWRLNVLRLNFRGCDFRTKTLKAVSHCKNLQELNVSDCQSFTDESMRHISEGCPGVLYL NLSNTTITNRTMRLLPRYFHNLQNLSLAYCRKFTDKGLQYLNLGNGCHKLIYLDLSGCTQ VLVEKCPRISSVVLIGSPHISDSAFKALSSCDLKKIRFEGNKRISDACFKSIDRNYPGIN HIYMVDCKGLTDSSLKSLSLLKQLTVLNLTNCIRIGDIGLKHFFDGPASIRLRELNLTNC SLLGDSSVIRLSERCPNLHYLNLRNCEHLTDLAIEYIASMLSLISVDLSGTLISNEGMTI LSRHRKLREVSVSDCVNITDFGIRAYCKTSLLLEHLDVSYCSQLTDDIIKTIAIFCTRIT SLNIAGCPKITDAGMEILSARCHYLHILDISGCIQLTDQIIQDLQIGCKQLRILKMQFCK SISPAAAQKMSSVVQHQEYNSDNPPHWFGYDSEGNPLDKIHSRVQLRTYSKLIVKEPFSI DEEDPDSKHQ >ENSMUSP00000110889.1 pep:known chromosome:GRCm38:5:21519179:21645557:-1 gene:ENSMUSG00000048520.16 transcript:ENSMUST00000115234.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbxl13 description:F-box and leucine-rich repeat protein 13 [Source:MGI Symbol;Acc:MGI:2443416] MASLRSATPRLRSYFRDKYIPQICEALLCGLLVTCPEDPLKYLEHMILAIIKRGLENLLW DTCIHPSLKSRVRRLSETYLDELFGLDDQLVTPELMIKACTFYTGHLVKTHFSGWKKVAI PRANQEEIMAEKMDKAIAHDNFRCQKYIFNRWFAYTVMSRERLITTLLRLRHLFYMQRQR IILAKWKERARHKSKTREDDLISKHELQLKKWKFKLGKPISLEGSLSDIAVENRRIAFDI SVLPEQAILQIFLYLTFKDMMACSRVNRSWMAMIQRGSLWNSIDFSTVKNIADKCVVTTL QKWRLNVLRLNFRGCDFRTKTLKAVSHCKNLQELNVSDCQSFTDESMRHISEGCPGVLYL NLSNTTITNRTMRLLPRYFHNLQNLSLAYCRKFTDKGLQYLNLGNGCHKLIYLDLSGCTQ ISVQGFRNIASSCTGIVHLTINDMPTLTDNCVKVLVEKCPRISSVVLIGSPHISDSAFKA LSSCDLKKIRFEGNKRISDACFKSIDRNYPGINHIYMVDCKGLTDSSLKSLSLLKQLTVL NLTNCIRIGDIGLKHFFDGPASIRLRELNLTNCSLLGDSSVIRLSERCPNLHYLNLRNCE HLTDLAIEYIASMLSLISVDLSGTLISNEASD >ENSMUSP00000038186.4 pep:known chromosome:GRCm38:11:71092236:71142366:-1 gene:ENSMUSG00000069830.10 transcript:ENSMUST00000048514.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp1a description:NLR family, pyrin domain containing 1A [Source:MGI Symbol;Acc:MGI:2684861] MEESQSKQESSTKVAQHEGQEDVDPTFKTKKLMEVELMKHRVQLERNLKLRTFPGARTKQ VKEALYPLLTWSSKSKNLFQNFTKLLLFKKLCQRGSENLVRESWYPCVPEEEAHMIDIQD LFGPNLGTQKKPQLVIIEGAAGIGKSTLARLVKRAWKEGKLYRNDFHHVFFFSCRELAQY EQLSLAELIVQGQEVPTAPIRQILSHPEKLLFILDGIDEPAWVLADQNPELCLHWSQTQP VHTLLGSLLGKSILPGASFLLTTRTTALQKFIPSLEQPCQVEVLGFTLFERKNYFYKYFG KKKGGVTTFTLVKSNSALLTLCEVPWVCWLVCTCLKKQMEQGGELSLTSQTTTALCLKYL SLTIPGQHMRTQLRDLCSLAAEGVCQRRTLFSESDLCKQGLDEHAIASFLKIGVLQKQAS SLSYSFAHLCLQEFFAAMSYILDDSEERHADMKNDRIVETLVERYGRQNLFEAPTVRFLF GLLSKEELKKIEKLFSCSLHGKTKLKLLWHILGKSQPHQPPCLGLLHCLYENQDMELLTH VMHDLQGTIVPGPDDLAHTVLQTNVKHLVIQTDMDLMVVTFCIKFCCHVRSLQLNRKVQQ GHKFTAPGMVLYRWTPITDASWKIFFSNLKLARNLEELDLSGNPLSYYAVHSLCTTLRKR GCQLKTLCTLPEDMNKAEVKNTEAKGSLGEKAPGPRNDIFPFPPAHCPYNQTSTSEHLPC RLVECGLTSTYCSLLASVLSARSSLTELDLQLNDLGDGGVKMLCEGLRNPACNLSILWLD QASLSDQVIAELRTLEAKNPKLLISSTWKPHVMVPTMNMDKEEVGDSQALLKQQRQQSGM VGWLLKEDNVKKIKQNATNTYAHTHTHTHAELVPLKTFHPCSPVSPGDKHMEPLGTEDEF WGPTGPVTTEVVDRERNLYRVQLPMAGSYHCPSTGLHFVVTRAVTIEIEFCAWSQYLDKT PLQQSHMVVGPLFDIKAEQGAVTAVYLPHFVALQEGIVDSSLFHVAHFQEHGMVLETPAR VEQHYAVLENPSFSPMGILLRMIPAVGHFIPITSTTLIYYHLYLEDVTFHLYLVPNDCSI RKAIDDEEMKFQFVRINKPPPVDALYLGSRYIVSSSKLVEIIPKELELCYRSPGESQLFS EIDIGHMDSEIKLQIKDKRHMNLKWEALLKPGKISLRPETLRVEERDTKGAFLCSFPVSS LSLDAPSLLHFMDQHREQLVARVTSVDPLLDKLHGLVLSEDSYEVVRSETTNQDKMRKLF SLSRSWSWDCKDQFYQALKETHPHLVMDILEKLGGVSVKS >ENSMUSP00000104158.2 pep:known chromosome:GRCm38:11:71092236:71144704:-1 gene:ENSMUSG00000069830.10 transcript:ENSMUST00000108518.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp1a description:NLR family, pyrin domain containing 1A [Source:MGI Symbol;Acc:MGI:2684861] MEESQSKQESSTKVAQHEGQEDVDPTFKTKKLMEVELMKHRVQLERNLKLRTFPGARTKQ VKEALYPLLTWSSKSKNLFQNFTKLLLFKKLCQRGSENLVRESWYPCVPEEEAHMIDIQD LFGPNLGTQKKPQLVIIEGAAGIGKSTLARLVKRAWKEGKLYRNDFHHVFFFSCRELAQY EQLSLAELIVQGQEVPTAPIRQILSHPEKLLFILDGIDEPAWVLADQNPELCLHWSQTQP VHTLLGSLLGKSILPGASFLLTTRTTALQKFIPSLEQPCQVEVLGFTLFERKNYFYKYFG KKKGGVTTFTLVKSNSALLTLCEVPWVCWLVCTCLKKQMEQGGELSLTSQTTTALCLKYL SLTIPGQHMRTQLRDLCSLAAEGVCQRRTLFSESDLCKQGLDEHAIASFLKIGVLQKQAS SLSYSFAHLCLQEFFAAMSYILDDSEERHADMKNDRIVETLVERYGRQNLFEAPTVRFLF GLLSKEELKKIEKLFSCSLHGKTKLKLLWHILGKSQPHQPPCLGLLHCLYENQDMELLTH VMHDLQGTIVPGPDDLAHTVLQTNVKHLVIQTDMDLMVVTFCIKFCCHVRSLQLNRKVQQ GHKFTAPGMVLYRWTPITDASWKIFFSNLKLARNLEELDLSGNPLSYYAVHSLCTTLRKR GCQLKTLWLVECGLTSTYCSLLASVLSARSSLTELDLQLNDLGDGGVKMLCEGLRNPACN LSILWLDQASLSDQVIAELRTLEAKNPKLLISSTWKPHVMVPTMNMDKEEVGDSQALLKQ QRQQSGDKHMEPLGTEDEFWGPTGPVTTEVVDRERNLYRVQLPMAGSYHCPSTGLHFVVT RAVTIEIEFCAWSQYLDKTPLQQSHMVVGPLFDIKAEQGAVTAVYLPHFVALQEGIVDSS LFHVAHFQEHGMVLETPARVEQHYAVLENPSFSPMGILLRMIPAVGHFIPITSTTLIYYH LYLEDVTFHLYLVPNDCSIRKAIDDEEMKFQFVRINKPPPVDALYLGSRYIVSSSKLVEI IPKELELCYRSPGESQLFSEIDIGHMDSEIKLQIKDKRHMNLKWEALLKPGDLRPALPKI ATAPKDAPSLLHFMDQHREQLVARVTSVDPLLDKLHGLVLSEDSYEVVRSETTNQDKMRK LFSLSRSWSWDCKDQFYQALKETHPHLVMDILEKLGGVSVKS >ENSMUSP00000130452.1 pep:known chromosome:GRCm38:7:4784557:4789656:-1 gene:ENSMUSG00000030431.8 transcript:ENSMUST00000168578.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem238 description:transmembrane protein 238 [Source:MGI Symbol;Acc:MGI:1922935] MAAASPVCGSQASAVGASSPPAPAPAPAAGLGRCRMALLLAVALDVAGMAALLTGVFAQL QVRGRDFGDLLIYSGALLVFLSLLGWILWYTGNIEISRQELERDYGLRPSAIARLARKLS RRWSAPATASPRTTAGLRSARRANRAPQPSSSGSRRVRLQLATLEAGSVAAGTGSE >ENSMUSP00000146208.1 pep:known chromosome:GRCm38:7:4785172:4789073:-1 gene:ENSMUSG00000030431.8 transcript:ENSMUST00000206315.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tmem238 description:transmembrane protein 238 [Source:MGI Symbol;Acc:MGI:1922935] XRLQLATLEAGSVAAGTGSE >ENSMUSP00000031017.9 pep:known chromosome:GRCm38:5:32135801:32157842:1 gene:ENSMUSG00000029135.10 transcript:ENSMUST00000031017.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fosl2 description:fos-like antigen 2 [Source:MGI Symbol;Acc:MGI:102858] MYQDYPGNFDTSSRGSSGSPAHAESYSSGGGGQQKFRVDMPGSGSAFIPTINAITTSQDL QWMVQPTVITSMSNPYPRSHPYSPLPGLASVPGHMALPRPGVIKTIGTTVGRRRRDEQLS PEEEEKRRIRRERNKLAAAKCRNRRRELTEKLQAETEELEEEKSGLQKEIAELQKEKEKL EFMLVAHGPVCKISPEERRSPPTSGLQSLRGTGSAVGPVVVKQEPPEEDSPSSSAGMDKT QRSVIKPISIAGGGFYGEEPLHTPIVVTSTPAITPGTSNLVFTYPNVLEQESPSSPSESC SKAHRRSSSSGDQSSDSLNSPTLLAL >ENSMUSP00000122041.1 pep:known chromosome:GRCm38:10:57794335:57802506:1 gene:ENSMUSG00000019872.13 transcript:ENSMUST00000151623.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpdl3a description:sphingomyelin phosphodiesterase, acid-like 3A [Source:MGI Symbol;Acc:MGI:1931437] MALSGQFWHVTDLHLDPTYHITDDRTKVCASSKGANASNPGPFGDVLCDSPYQLILSAFD FIKNSGQEASFMIWTGDSPPHVPVPELSTGTVIKVITNMTMTVQ >ENSMUSP00000020022.7 pep:known chromosome:GRCm38:10:57794374:57811830:1 gene:ENSMUSG00000019872.13 transcript:ENSMUST00000020022.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smpdl3a description:sphingomyelin phosphodiesterase, acid-like 3A [Source:MGI Symbol;Acc:MGI:1931437] MALLGNFLCCLLVAWLCGPGLGVPLAPADRAPAVGQFWHVTDLHLDPTYHITDDRTKVCA SSKGANASNPGPFGDVLCDSPYQLILSAFDFIKNSGQEASFMIWTGDSPPHVPVPELSTG TVIKVITNMTMTVQNLFPNLQVFPALGNHDYWPQDQLPIVTSKVYSAVADLWKPWLGEEA ISTLKKGGFYSQKVASNPGLRIISLNTNLYYGPNIMTLNKTDPANQFEWLENTLNSSLWN KEKVYIIAHVPVGYLPYATDTPAIRQYYNEKLLDIFRRYSSVIAGQFYGHTHRDSLMVLS DKNGNPLNSVFVAPAVTPVKGVLQKETNNPGVRLFQYKPGDYTLLDMVQYYLNLTEANLK GESNWTLEYVLTQAYSVADLQPKSLYALVQQFATKDSKQFLKYYHYYFVSYDSSATCDQH CKTLQVCAIMNLDSMSYDDCLKQHL >ENSMUSP00000074927.4 pep:known chromosome:GRCm38:17:34507935:34517352:-1 gene:ENSMUSG00000092618.2 transcript:ENSMUST00000075483.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Btnl6 description:butyrophilin-like 6 [Source:MGI Symbol;Acc:MGI:1932038] MENHRKPSLLFHVSCLFVLIQLLSWVTTKEFQVFGPSDPIVAAPGGEAILPCSVIPAMNV ENMEELRWFRSRFSAAVLVYRDQEEQKREQLPEYSQRTSLVKEQFHQGTAAVRILNVQAP DSGIYICHFKQGVFYEEAILELKVAAMGSVPEVYIKGPEDGGVCVVCITSGWYPEPQVHW KDSRGEKLTASLEIHSEDAQGLFRTETSLVVRDSSVRNVTCSTFNPILGQEKAMAMFLPE PFFPKVSPWKPAFFVTLTMMGLLVLGTSYLFRRERSARLIVQELTGNLQRVEDNKAEEDT VRTIDALMVELAQRKAAYRAAWRKAQLYADWRKEQFQAWTFTLDPASAHTNLAISQDRLT VTRKDFTRCLEDLSCVLGIRGISSGRYYWEVKLTNIEGSKWILGVCREGVVRKNFYFETP RKGFWTVGQSSKGHWAYIDSGRTSLSVRQAPKSVGVFVDYTEGDISFYNMSDMSHMFSFP KASFSGTLFPYFRLRSGNVSMIINSMECASESSEGEEGRRGRKRSRRGGRGGGGRMGRS >ENSMUSP00000089009.5 pep:known chromosome:GRCm38:2:13276338:13491813:-1 gene:ENSMUSG00000026726.10 transcript:ENSMUST00000091436.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cubn description:cubilin (intrinsic factor-cobalamin receptor) [Source:MGI Symbol;Acc:MGI:1931256] MASHFLWGFVTLLMVPGLDGETGTPEQKLQKRIADLHQPRMTTEEGNLVFLTSSAQNIEF RTGSLGKIKLNDDDLGECLHQIQRNKDDIIDLKRNTTGLPQNILSQVHQLNSKLVDLERD FQSLQQNVERKVCSSNPCHNGGTCVNLHDSFICICPSQWKGLFCSEDVNECVLYAGTPFG CQSGSTCVNTMGSFRCDCTPDTYGPQCASKYNDCEQGSQQLCKHGICEDLQRVYHGQLRF NCICDAGWTTLPNGISCTEDKDECSLQPSPCSEHAQCFNTQGSFYCGACPKGWQGNGYQC QDINECEINNGGCSQAPLVPCLNTPGSFTCGNCPAGFSGDGRVCTPLDICSIHNGGCHPD ATCSSSSVLGSLLPVCTCPPGYTGNGYGSNGCVRLSNMCSRHPCVNGQCIETVSSYFCKC DSGWFGQNCTENINECVSNPCLNGGTCIDGVNGFTCDCTSSWTGYYCQTPQAACGGILSG TQGTFAYQSPNDTYVHNVNCFWVVRTDEEKVLHITFTFFDLESASNCPREYLQIHDGDSS ADFPLGRYCGSTPPQGVHSSANSLYFHLYSEYIKRGRGFTARWEAKLPECGGILTGNYGS ITSPGYPGNYPPGRDCVWNLLVSPGSLITFTFGTLSLESHNDCSKDYLEIRDGPFHHDPI LGKFCTSLSTPPLQTTGPAARIHFHSDSETSDKGFHITYLTTPSDLYCGGNYTDTEGELL LPPLTGPFSHSRQCVYLISQPQGEQIVINFTHVELESQRGCSHTFIEVGDHESLLRKICG NETLFPIRSISNNVWIRLRIDALVQKASFRADYQVACGGELRGEGVIRSPFYPNAYAGRR TCRWTISQPPREVVLLNFTDFQIGSSSSCDTDYIEIGPSSVLGSPGNEKFCGTNIPSFIT SVYNVLYVTFVKSSSMENRGFMAMFSSEKLECGKVLTESTGIIESPGHPNVYPSGVNCTW HIVVQRGQLIRLVFSSFYLEFHYNCANDYLEVYDTIAQTSLGRYCGKSIPPSLTSSSHSI KLIFVSDSALAHEGFSINYEAINASSVCLYDYTDNFGRLSSPNFPNNYPHNWNCVYRITV GLNQQIALHFTDFALEDYFGPKCVDFVEIRDGGFETSPLIGIYCGSVFPPRIISHSNKLW LRFKSDTALTARGFSAYWDASSTGCGGNLTTPTGVLTSPNYPMPYYHSSECYWRLEASRG SPFLLEFQDFHLEHHPNCSLDYLAVFDGPSTNSRLINKLCGDTPPAPIRSSKDIVLLKLR TDAGQQGRGFEINYRQTCDNVVIVNKTSGILESINYPNPYDKDQRCNWTIQATTGNTVNY TFLEFDVENYVNCSTDYLELYDGPQRIGRYCGENIPPPGATTGSKLIVVFHTDGVDSGEK GFKMHWFIHGCGGEMSGTMGSFSSPGYPNSYPHNKECIWNIRVAPGNSIQLTIHDFDVEY HASCKYDTLEIYTGLDFHSPRIAQLCSRSPSANPMQISSTDNELAIRFKTDSSLNGRGFN ASWRAVPGGCGGIFQVSRGEIHSPNYPNNYRANTECSWIIQVEKYHRVLLNITDFDLEAT DSCLMTYDGSSSANTRVATVCGRQQPPNSITSSGNSLFVRFQSGSSSQSRGFRAQFRQEC GAHIITDSSDSISSPLYPANYPNNQNCTWIIEAQPPFNHIALSFTHFHLQSSTDCTRDFV EILDGRDSDAPVQGRYCGTSLPHPIISFGNALTVRFVSDSVYGFDGFHAIYSASTSACGG TFYTGDGIFNSPGYPEDYHSNTECVWNIASSPGNHLQLSFLSFQLENSLNCNKDFVEIRE GNATGHLMGRYCGNSLPGNYSSIEGHNLWVRFVSDGSGTGMGFQARFKNIFGNDNIVGTH GKIATPFWPGNYPLNSNYRWTVNVDSSHIIHGRILEMDIELTTNCFYDSLKIYDGFDIHS RLIGTYCGTQRESFSSSRNSLTFQFSSDSSKSGRGFLLEWFAVDVSNVTLPTIAPGACGG YMVTGDTPVFFFSPGWPGPYGNGADCIWIIYAPDSTVELNILSMDIEAQLSCSYDKLIIK DGDSRLSQQLAVLCGRSVPGPIRSTGEYMYIRFTSDGSVTGAGFNASFQKSCGGYLHADR GIITSPKYPDNYLPNLNCSWHVLVQSGLTIAVHFEQPFQIQNRDSSCSQGDYLVLRNGPD NHSPPLGPSGGNGRFCGIYTPSTLFTSDNEMFIQFISDNSNGGQGFKIRYEAKSLACGGT IYIHDANSDGYVTSPNYPANYPQHAECIWILEAPSGRSIQLQFEDQFNIEETPNCSASYL ELRDGANSNAPVLSKLCGHTLPRNWVSSRGLMYLKFHTEGGSGYMGFKAKYSIVSCGGTV SGDSGVIESVGYPTRLYANNVFCQWHIQGLPGHYLTIRFEDFNLQSSPGCAKDFVEIWEN HTSGILLGRYCGNSIPSSVDTSSNVASIRFVTDGSVTDSGFRLQFKSSREVCGGDLHGPT GTFTSPNYPNPNPHPRICEWTINVHEGRQIILTFTNLRLSTQQSCNTEHLIVFNGIRNNS PRLQKLCSRVNVTNEFKSSGNTMKVIFFTDGSRPYGGFTASYTSSEDAVCGGTLPSVSGG NFSSPGYNGIRDYARNLDCEWTLSNPNRENSSISIHFLGLSLESHQDCTFDVLEFRVGNA DGPLIEKFCSLSAPRVPLVIPYPQVWIHFVSNERVEYTGFYVEYSFTNCGGIQTGENGVI SSPNYPNLYSRWTQCSWLLEAPEGHTITLTFSDFSVENHPTCTSDSVTVRNGDSPGSPII GRYCGQSVPGPIQSGSNQLVVTFNTNNQGQSRGFYATWNTNTLGCGGTLHSDNGTIKSPH WPQTFPENSRCSWTAVTHESKHWEISFDSNFRIPSSDSQCRNSFVKVWEGMLETNDALLA TSCGNVAPSPIVTLGNIFTAVFQSEEMPAQGFSASFISRCGRTFNSSTGDIVSPNFPKHY DNNMNCNYYIDVAPQSLVILTFVSFHLEDRSAVSGTCDYDGLHIIKGHNLSSTPLVTICG SETLRPLTIDGPVMLNFYSDAYITDFGFKISYRVANCGGIYSGTYGVLNSPSFSYTNYPN NVYCVYSLQVRNDRLILLRFNDFEIVPSNLCSHDYLEVFDGPSIGNRSIGKFCGSTLPQV IKSTNNSLTLLFKTDSSQTARGWKVSFRETIGPQQGCGGYLTEDSKSFVSPDHDSDGLYD KGLNCIWYIIAPENKLVKLTFNAFTLEEPSSPGKCTFDYVQIADGASINSYLGGRFCGSS RPAPFISSGNFLTVQFVSDISIQMRGFNATYTFVDMPCGGTYNATSMPQNTSSPQLSNIR RPFSTCTWVIEAPPHQQVQITVWKLQLPSQDCSRSSLELQDSEQTNGNQVTQFCGANYTT LPVFYSSGSTAVVVFKSDFLNRNSRVHFTYEIADCNREYNQAFGNLKSPGWPQGYANNLD CSIILRAPQNHRISLFFYWFQLEDSRQCMNDFLEVRNGSSSSSPLLGKYCSNLLPNPIFS QSNELYLHFHSDDSDTHHGYEIIWASSPTGCGGTLLGNEGILANPGFPDSYPNNTHCEWT IVAPSGRPLSVGFPFLSIDSPGGCDQNYLILFNGPDANSPPFGPFCGIDTVVAPFHASSN RVFIRFHAEYATVSSGFEIMWSS >ENSMUSP00000146090.1 pep:known chromosome:GRCm38:7:79115102:79131018:-1 gene:ENSMUSG00000030606.8 transcript:ENSMUST00000206092.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hapln3 description:hyaluronan and proteoglycan link protein 3 [Source:MGI Symbol;Acc:MGI:1914916] MSLLFLVLLSPFPCVLGLPFYNGFYYSNDLSGRTLGNGYGEGLFNGVKLVVETTEESLFS HQGASVTLPCHYHYEPALASPRHVRVKWWKLSENGAPEQDVLVVIGQRHRSFGDYQGRVQ LRQDKQQEVSLELRDLRLEDSGRYRCEVIDGLEDESGLVELELRGVVFPYQSREGRYQLN FHEAQQACQEQGAMVATFEQLFRAWEEGLDWCNAGWLQDASVQYPIVLPRQPCGGLGLAP GVRSYGQRHHRLHRYDVFCFAAALKGRVYYLENPKKLTLLEAREACQEDGAQISTVGQLF AAWKFRGLDRCDAGWLADGSARYPIVHPRLNCGPPEPGVRTFGFPDPHTRYGVYCYVQH >ENSMUSP00000145726.1 pep:known chromosome:GRCm38:7:79115738:79130995:-1 gene:ENSMUSG00000030606.8 transcript:ENSMUST00000205782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hapln3 description:hyaluronan and proteoglycan link protein 3 [Source:MGI Symbol;Acc:MGI:1914916] MSLLFLVLLSPFPCVLGLPFYNGFYYSNDLSGRTLGNGYGEGLFNGVKLVVETTEESLFS HQGASVTLPCHYHYEPALASPRHVRVKWWKLSENGAPEQDVLVVIGQRHRSFGDYQGRVQ LRQDKQQEVSLELRDLRLEDSGRYRCEVIDGLEDESGLVELELRGVVFPYQSREGRYQLN FHEAQQACQEQGAMVATFEQLFRAWEEGLDWCNAGWLQDASVQYPIVLPRQPCGGLGLCW DYIYGTITHD >ENSMUSP00000032827.8 pep:known chromosome:GRCm38:7:79117020:79131013:-1 gene:ENSMUSG00000030606.8 transcript:ENSMUST00000032827.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hapln3 description:hyaluronan and proteoglycan link protein 3 [Source:MGI Symbol;Acc:MGI:1914916] MSLLFLVLLSPFPCVLGLPFYNGFYYSNDLSGRTLGNGYGEGLFNGVKLVVETTEESLFS HQGASVTLPCHYHYEPALASPRHVWFFPTSPAKGATSSTSTRPNRPARSRVLW >ENSMUSP00000121005.1 pep:known chromosome:GRCm38:5:100033577:100039222:-1 gene:ENSMUSG00000029328.15 transcript:ENSMUST00000128187.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpdl description:heterogeneous nuclear ribonucleoprotein D-like [Source:MGI Symbol;Acc:MGI:1355299] MEVPPRLSHVPPPLFPSAPATLASRGLSHWRPRAPRQLAPLLPSLASSASRQGARRSPRH VTAQQPSRLAGGAAIKGGRRRRPDLFRRHFKSSSIQRSAAAAAGTRTARQHPLADGSATM EDMNEYSNIEEFAEGSKINASKNQQDDGKMFIGGLSWDTSKKDLTEYLSRFGEVVDCTIK TDPVTGRSRGFGFVLFKDAASVDKVLELKEHKLDGKLIDPKRAKALKGKEPPKKVFVGGL SPDTSEEQIKEYFGAFGEIENIELPMDTKTNERRGFCFITYTDEEPVKKLLESRYHQIGS GKCEIKVAQPKEVYRQQQQQQKGGRGAAAGGRGGARGRGRGQGQNWNQGFNNYYDQGYGN YNSAYGGDQNYSGYGGYDYTGYNYGNYGYGQGYADYSGQQSTYGKASRGGGNHQNNYQPY >ENSMUSP00000118555.1 pep:known chromosome:GRCm38:5:100034721:100038869:-1 gene:ENSMUSG00000029328.15 transcript:ENSMUST00000153442.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpdl description:heterogeneous nuclear ribonucleoprotein D-like [Source:MGI Symbol;Acc:MGI:1355299] XAAAAAGTRTARQHPLADGSATMEDMNEYSNIEEFAEGSKINASKNQQDDGKMFIGGLSW DTSKKDLTEYLSRFGEVVDCTIKTDPVTGRSRGFGFVLFKDAASVDKVLELKEHKLDGKL IDPKRAKALKGKEPPKKVFVGGLSPDTSEEQIKEYFGAFGEIENIELPMDTKTNERRGFC FITYTDEEPVKKLLESRYHQIGSGKCEIKVAQPKEVYRQQQQQQKGGRGAAAGGRGGARG RGRGQGQNWNQGFNNYYDQGYGNYNSAYGGDQNYSGYGGYDYTGYNYGNYGYGQGYADYS GQQSTYGKASRGGGNHQNNYQPY >ENSMUSP00000084114.4 pep:known chromosome:GRCm38:5:100034727:100039664:-1 gene:ENSMUSG00000029328.15 transcript:ENSMUST00000086900.10 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpdl description:heterogeneous nuclear ribonucleoprotein D-like [Source:MGI Symbol;Acc:MGI:1355299] MEVPPRLSHVPPPLFPSAPATLASRGLSHWRPRAPRQLAPLLPSLASSASRQGARRSPRH VTAQQPSRLAGGAAIKGGRRRRPDLFRRHFKSSSIQRSAAAAAGTRTARQHPLADGSATM EDMNEYSNIEEFAEGSKINASKNQQDDGKMFIGGLSWDTSKKDLTEYLSRFGEVVDCTIK TDPVTGRSRGFGFVLFKDAASVDKVLELKEHKLDGKLIDPKRAKALKGKEPPKKVFVGGL SPDTSEEQIKEYFGAFGEIENIELPMDTKTNERRGFCFITYTDEEPVKKLLESRYHQIGS GKCEIKVAQPKEVYRQQQQQQKGGRGAAAGGRGGARGRGRGQGQNWNQGFNNYYDQGYGN YNSAYGGDQNYSGYGGYDYTGYNYGNYGYGQGYADYSGQQSTYGKASRGGGNHQNNYQPY >ENSMUSP00000117589.1 pep:known chromosome:GRCm38:5:100035426:100038895:-1 gene:ENSMUSG00000029328.15 transcript:ENSMUST00000149384.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hnrnpdl description:heterogeneous nuclear ribonucleoprotein D-like [Source:MGI Symbol;Acc:MGI:1355299] FKSSSIQRSAAAAAGTRTARQHPLADGSATMEDMNEYSNIEEFAEGSKINASKNQQDDGY RTLRSSPPPEPRARPSSLRSRARCPQSFPESILRVPAGPQ >ENSMUSP00000080443.3 pep:known chromosome:GRCm38:5:63812363:63899625:1 gene:ENSMUSG00000060512.7 transcript:ENSMUST00000081747.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610040J01Rik description:RIKEN cDNA 0610040J01 gene [Source:MGI Symbol;Acc:MGI:1923511] MGCRCCKMIQSYLFDPVQVPSPGFVNEVNNCKLEEDDTVRLKGTQNSEVEVPRNALHDGS LSNSESRGSTTGLPHQGPLPQEDSEERPCVEKQGIVNGISPTATLQSVRSSRLHQVDNSS WASSPWVATIDSAHLAQPFLEGEDYRKQSCLLPTLEGTQMVGHGDCRAPAEALAVADHIP YIPAPDYPQLWSPTVDNADPEEKDCLFENHSEVEPLPGIQPRVSQLGLNVPFSLQRSWDS LNEAGTTEVLSDYFKEEGPTHPTPAADSGSEREDPHTYNGDREGVVVDEDAEVAEALAAL EAATAGEDADDAD >ENSMUSP00000142473.1 pep:known chromosome:GRCm38:5:63812520:63898567:1 gene:ENSMUSG00000060512.7 transcript:ENSMUST00000196575.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610040J01Rik description:RIKEN cDNA 0610040J01 gene [Source:MGI Symbol;Acc:MGI:1923511] MGCRCCKMIQSYLFDPVQVPSPGFVNEVNNCKLEEDDTVRLKGTQNSEVEVPRNALHDGS LSNSESRGSTTGLPHQGPLPQEDSEERPCVEKQGIVNGISPTATLQSVRSSRLHQVDNSS WASSPWVATIDSAHLAQPFLEGEDYRKQSCLLPTLEGTQMVGHGDCRAPAEALAVADHIP YIPAPDYPQLWSPTVDNADPEEKDCLFENHSEVEP >ENSMUSP00000142658.1 pep:known chromosome:GRCm38:5:63876309:63898266:1 gene:ENSMUSG00000060512.7 transcript:ENSMUST00000196367.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610040J01Rik description:RIKEN cDNA 0610040J01 gene [Source:MGI Symbol;Acc:MGI:1923511] MGCRCCKMIQSYLFDPVQVPSPGFVNEVNNCKLEEDDTVRLKGTQNSEVEVPRNALHDGS LSNSESRGSTTGLPHQGPLPQEDSEERPCVEKQGIVNGISPTATLQSVRSSRLH >ENSMUSP00000142539.1 pep:known chromosome:GRCm38:5:63877476:63898529:1 gene:ENSMUSG00000060512.7 transcript:ENSMUST00000199667.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610040J01Rik description:RIKEN cDNA 0610040J01 gene [Source:MGI Symbol;Acc:MGI:1923511] MGCRCCKMIQSYLFDPVQVPSPGFVNEVNNCKLEEDDTVRLKGTQNSEVEVPRNALHDGS LSNSESRGSTTGLPHQGPLPQEDSEERPCVEKQGIVNGISPTATLQSVRSSRLHQVDNSS WASSPWVATIDSAHLAQPFLEGEDYRKQSCLLPTLEGTQMVGHGDCRAPAEALAVADHIP YIPAPDYPQLWSPTVDNADPEE >ENSMUSP00000113751.1 pep:known chromosome:GRCm38:3:19610368:19628677:-1 gene:ENSMUSG00000082766.1 transcript:ENSMUST00000119133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700064H15Rik description:RIKEN cDNA 1700064H15 gene [Source:MGI Symbol;Acc:MGI:1920674] MRSQKVDEYGRIQALLGEKVLEPPRWLASTVAESGAPGKTGWRTTGKSISGEENTEKQQS SNFFFLPCSFDNGANSSVLEK >ENSMUSP00000030289.2 pep:known chromosome:GRCm38:4:98923810:98935543:1 gene:ENSMUSG00000028560.11 transcript:ENSMUST00000030289.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp1 description:ubiquitin specific peptidase 1 [Source:MGI Symbol;Acc:MGI:2385198] MPGVIPSESNGLSRGSPSKKNRLSLKFFQKKETKRALDFTDSQENEEKTSEYRGSEIDQV VPAAQSSPVSCEKRENLLPFVGLNNLGNTCYLNSILQVLYFCPGFKTGVKHLFNIISRKK EALKDDSNQKDKGSCKEESLASYELICSLQSLIISVEQLQASFLLNPEKYTDELATQPRR LLNTLRELNPMYEGFLQHDAQEVLQCILGNIQETCQLLKKEEIKNLAELSGKVEEQSLQK EETGGITSTEIDSMRNTEDVKEQLPKGNWKRKSDSESSNVKKKVKLSRESQPLEENQRQT RSKRKAIGDTLEAAPKIIPKCVSESESAKPSQKKSKVKINWLKPSTKQPSILSKFCSLGK ITTNQRSKGQPKEKEGDVEEDLEKYGSDHTANGGPESPGSSVTPVDSSEAKSGNKGAEQI GFELVEKLFQGQLVLRTRCLECESLTERREDFQDISVPVQEDELSKVEESSEISPEPKTE MKTLRWAISQFASVERIVGEDKYFCENCHHYTEAERSLLFDKMPEVITIHLKCFAASGLE FDCYGGGLSKINTPLLTPLKLSLEEWSTKPTNDSYGLFAVVMHSGITISSGHYTASVKVT DLNSLELDEGNFVVDQMCELGKPEPLTEEQARGTAGNYDDEVSIRVGGNAQPSKVLNKKN VEGIGLLGGQKSKADYELYNKASNPDKVVGTPFTDNRNSETNDTTNGTHESDRNKESSDQ TGVNMNGLENKISYVVQSLKEYEGKWLLFDDSEVKVTEEKDFLNSLSPSTSPTSTPYLLF YKKL >ENSMUSP00000088917.4 pep:known chromosome:GRCm38:4:98923879:98935543:1 gene:ENSMUSG00000028560.11 transcript:ENSMUST00000091358.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp1 description:ubiquitin specific peptidase 1 [Source:MGI Symbol;Acc:MGI:2385198] MPGVIPSESNGLSRGSPSKKNRLSLKFFQKKETKRALDFTDSQENEEKTSEYRGSEIDQV VPAAQSSPVSCEKRENLLPFVGLNNLGNTCYLNSILQVLYFCPGFKTGVKHLFNIISRKK EALKDDSNQKDKGSCKEESLASYELICSLQSLIISVEQLQASFLLNPEKYTDELATQPRR LLNTLRELNPMYEGFLQHDAQEVLQCILGNIQETCQLLKKEEIKNLAELSGKVEEQSLQK EETGGITSTEIDSMRNTEDVKEQLPKGNWKRKSDSESSNVKKKVKLSRESQPLEENQRQT RSKRKAIGDTLEAAPKIIPKCVSESESAKPSQKKSKVKINWLKPSTKQPSILSKFCSLGK ITTNQRSKGQPKEKEGDVEEDLEKYGSDHTANGGPESPGSSVTPVDSSEAKSGNKGAEQI GFELVEKLFQGQLVLRTRCLECESLTERREDFQDISVPVQEDELSKVEESSEISPEPKTE MKTLRWAISQFASVERIVGEDKYFCENCHHYTEAERSLLFDKMPEVITIHLKCFAASGLE FDCYGGGLSKINTPLLTPLKLSLEEWSTKPTNDSYGLFAVVMHSGITISSGHYTASVKVT DLNSLELDEGNFVVDQMCELGKPEPLTEEQARGTAGNYDDEVSIRVGGNAQPSKVLNKKN VEGIGLLGGQKSKADYELYNKASNPDKVVGTPFTDNRNSETNDTTNGTHESDRNKESSDQ TGVNMNGLENKISYVVQSLKEYEGKWLLFDDSEVKVTEEKDFLNSLSPSTSPTSTPYLLF YKKL >ENSMUSP00000130307.1 pep:known chromosome:GRCm38:4:98923964:98928270:1 gene:ENSMUSG00000028560.11 transcript:ENSMUST00000169053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp1 description:ubiquitin specific peptidase 1 [Source:MGI Symbol;Acc:MGI:2385198] MTGDQVVPAAQSSPV >ENSMUSP00000135496.1 pep:known chromosome:GRCm38:4:98928097:98930881:1 gene:ENSMUSG00000028560.11 transcript:ENSMUST00000125104.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp1 description:ubiquitin specific peptidase 1 [Source:MGI Symbol;Acc:MGI:2385198] MARLYTFSSLCVNSDQVVPAAQSSPVSCEKRENLLPFVGLNNLGNTCYLNSILQVLYFCP GFKTGVKHLFNIISRKKEALKDDSNQKDKGSCKEESLASYELICSLQSLIISVEQLQASF LLNPEKYTDELATQPRRLLNTLRELNPMYE >ENSMUSP00000065715.1 pep:known chromosome:GRCm38:X:71972986:71989046:1 gene:ENSMUSG00000053593.10 transcript:ENSMUST00000066116.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fate1 description:fetal and adult testis expressed 1 [Source:MGI Symbol;Acc:MGI:1925155] MPWVPREHSHDDVQLQEFSRNFPVGRFPYECLEADIMAKIGLEELNGLEMEVMRRQMQMT SGRLHILEDQDATWCHKEAALFTLLVSVCIANLWLWVHW >ENSMUSP00000110216.1 pep:known chromosome:GRCm38:X:71981522:71989046:1 gene:ENSMUSG00000053593.10 transcript:ENSMUST00000114569.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fate1 description:fetal and adult testis expressed 1 [Source:MGI Symbol;Acc:MGI:1925155] MPWVPREHSHDDVQLQEFSRNFPVGRFPYECLEADIMAKIGLEELNGLEMEVMRRQMQMT SGRLHILEDQDATWCHKEAALFTLLVSVCIANLWLWVHW >ENSMUSP00000112849.1 pep:known chromosome:GRCm38:3:19508595:19610732:1 gene:ENSMUSG00000027606.12 transcript:ENSMUST00000118968.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc5b description:DnaJ heat shock protein family (Hsp40) member C5 beta [Source:MGI Symbol;Acc:MGI:1913576] MACNAPNQRQRTLSTSGESLYEILGLHKGASCEEIKKTYRKLALRHHPDKNPDDPSAAEK FKEINNAHTILTDTSKRNIYDKYGSLGLYVAEQFGDENVNTYFMLSSWWAKTLFIIIGLL TGCYFCCCLCCCCNCCCGHCRPKSSTPEEEFYVSPEDLEEQIRTDMEKDMDFPVVLQPTN TNEKTQLIREGSRSYCTDS >ENSMUSP00000029132.4 pep:known chromosome:GRCm38:3:19508615:19610862:1 gene:ENSMUSG00000027606.12 transcript:ENSMUST00000029132.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc5b description:DnaJ heat shock protein family (Hsp40) member C5 beta [Source:MGI Symbol;Acc:MGI:1913576] MACNAPNQRQRTLSTSGESLYEILGLHKGASCEEIKKTYRKLALRHHPDKNPDDPSAAEK FKEINNAHTILTDTSKRNIYDKYGSLGLYVAEQFGDENVNTYFMLSSWWAKTLFIIIGLL TGCYFCCCLCCCCNCCCGHCRPKSSTPEEEFYVSPEDLEEQIRTDMEKDMDFPVVLQPTN TNEKTQLIREGSRSYCTDS >ENSMUSP00000113414.1 pep:known chromosome:GRCm38:3:19530671:19610750:1 gene:ENSMUSG00000027606.12 transcript:ENSMUST00000118735.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc5b description:DnaJ heat shock protein family (Hsp40) member C5 beta [Source:MGI Symbol;Acc:MGI:1913576] MACNAPNQRQRTLSTSGESLYEILGLHKGASCEEIKKTYRKLALRHHPDKNPDDPSAAEK FKEINNAHTILTDTSKRNIYDKYGSLGLYVAEQFGDENVNTYFMLSSWWAKTLFIIIGLL TGCYFCCCLCCCCNCCCGHCRPKSSTPEEEFYVSPEDLEEQIRTDMEKDMDFPVVLQPTN TNEKTQLIREGSRSYCTDS >ENSMUSP00000127515.1 pep:known chromosome:GRCm38:3:19531247:19610861:1 gene:ENSMUSG00000027606.12 transcript:ENSMUST00000165693.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnajc5b description:DnaJ heat shock protein family (Hsp40) member C5 beta [Source:MGI Symbol;Acc:MGI:1913576] MACNAPNQRQRTLSTSGESLYEILGLHKGASCEEIKKTYRKLALRHHPDKNPDDPSAAEK FKEINNAHTILTDTSKRNIYDKYGSLGLYVAEQFGDENVNTYFMLSSWWAKTLFIIIGLL TGCYFCCCLCCCCNCCCGHCRPKSSTPEEEFYVSPEDLEEQIRTDMEKDMDFPVVLQPTN TNEKTQLIREGSRSYCTDS >ENSMUSP00000053837.7 pep:known chromosome:GRCm38:6:13834458:13839942:-1 gene:ENSMUSG00000048216.13 transcript:ENSMUST00000060442.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr85 description:G protein-coupled receptor 85 [Source:MGI Symbol;Acc:MGI:1927851] MANYSHAADNILQNLSPLTAFLKLTSLGFIIGVSVVGNLLISILLVKDKTLHRAPYYFLL DLCCSDILRSAICFPFVFNSVKNGSTWTYGTLTCKVIAFLGVLSCFHTAFMLFCISVTRY LAIAHHRFYTKRLTFWTCLAVICMVWTLSVAMAFPPVLDVGTYSFIREEDQCTFQHRSFR ANDSLGFMLLLALILLATQLVYLKLIFFVHDRRKMKPVQFVAAVSQNWTFHGPGASGQAA ANWLAGFGRGPTPPTLLGIRQNANTTGRRRLLVLDEFKMEKRISRMFYIMTFLFLTLWGP YLVACYWRVFARGPVVPGGFLTAAVWMSFAQAGINPFVCIFSNRELRRCFSTTLLYCRKS RLPREPYCVI >ENSMUSP00000111155.1 pep:known chromosome:GRCm38:6:13835068:13838454:-1 gene:ENSMUSG00000048216.13 transcript:ENSMUST00000115492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr85 description:G protein-coupled receptor 85 [Source:MGI Symbol;Acc:MGI:1927851] MANYSHAADNILQNLSPLTAFLKLTSLGFIIGVSVVGNLLISILLVKDKTLHRAPYYFLL DLCCSDILRSAICFPFVFNSVKNGSTWTYGTLTCKVIAFLGVLSCFHTAFMLFCISVTRY LAIAHHRFYTKRLTFWTCLAVICMVWTLSVAMAFPPVLDVGTYSFIREEDQCTFQHRSFR ANDSLGFMLLLALILLATQLVYLKLIFFVHDRRKMKPVQFVAAVSQNWTFHGPGASGQAA ANWLAGFGRGPTPPTLLGIRQNANTTGRRRLLVLDEFKMEKRISRMFYIMTFLFLTLWGP YLVACYWRVFARGPVVPGGFLTAAVWMSFAQAGINPFVCIFSNRELRRCFSTTLLYCRKS RLPREPYCVI >ENSMUSP00000111154.1 pep:known chromosome:GRCm38:6:13835077:13837241:-1 gene:ENSMUSG00000048216.13 transcript:ENSMUST00000115491.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr85 description:G protein-coupled receptor 85 [Source:MGI Symbol;Acc:MGI:1927851] MANYSHAADNILQNLSPLTAFLKLTSLGFIIGVSVVGNLLISILLVKDKTLHRAPYYFLL DLCCSDILRSAICFPFVFNSVKNGSTWTYGTLTCKVIAFLGVLSCFHTAFMLFCISVTRY LAIAHHRFYTKRLTFWTCLAVICMVWTLSVAMAFPPVLDVGTYSFIREEDQCTFQHRSFR ANDSLGFMLLLALILLATQLVYLKLIFFVHDRRKMKPVQFVAAVSQNWTFHGPGASGQAA ANWLAGFGRGPTPPTLLGIRQNANTTGRRRLLVLDEFKMEKRISRMFYIMTFLFLTLWGP YLVACYWRVFARGPVVPGGFLTAAVWMSFAQAGINPFVCIFSNRELRRCFSTTLLYCRKS RLPREPYCVI >ENSMUSP00000105049.1 pep:known chromosome:GRCm38:15:85735776:85802819:1 gene:ENSMUSG00000022383.13 transcript:ENSMUST00000109422.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppara description:peroxisome proliferator activated receptor alpha [Source:MGI Symbol;Acc:MGI:104740] MVDTESPICPLSPLEADDLESPLSEEFLQEMGNIQEISQSIGEESSGSFGFADYQYLGSC PGSEGSVITDTLSPASSPSSVSCPVIPASTDESPGSALNIECRICGDKASGYHYGVHACE GCKGFFRRTIRLKLVYDKCDRSCKIQKKNRNKCQYCRFHKCLSVGMSHNAIRFGRMPRSE KAKLKAEILTCEHDLKDSETADLKSLGKRIHEAYLKNFNMNKVKARVILAGKTSNNPPFV IHDMETLCMAEKTLVAKMVANGVEDKEAEVRFFHCCQCMSVETVTELTEFAKAIPGFANL DLNDQVTLLKYGVYEAIFTMLSSLMNKDGMLIAYGNGFITREFLKNLRKPFCDIMEPKFD FAMKFNALELDDSDISLFVAAIICCGDRPGLLNIGYIEKLQEGIVHVLKLHLQSNHPDDT FLFPKLLQKMVDLRQLVTEHAQLVQVIKKTESDAALHPLLQEIYRDMY >ENSMUSP00000105050.1 pep:known chromosome:GRCm38:15:85735564:85802163:1 gene:ENSMUSG00000022383.13 transcript:ENSMUST00000109423.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppara description:peroxisome proliferator activated receptor alpha [Source:MGI Symbol;Acc:MGI:104740] MVDTESPICPLSPLEADDLESPLSEEFLQEMGNIQEISQSIGEESSGSFGFADYQYLGSC PGSEGSVITDTLSPASSPSSVSCPVIPASTDESPGSALNIECRICGDKASGYHYGVHACE GCKGFFRRTIRLKLVYDKCDRSCKIQKKNRNKCQYCRFHKCLSVGMSHNAIRFGRMPRSE KAKLKAEILTCEHDLKDSETADLKSLGKRIHEAYLKNFNMNKVKARVILAGKTSNNPPFV IHDMETLCMAEKTLVAKMVANGVEDKEAEVRFFHCCQCMSVETVTELTEFAKAIPGFANL DLNDQVTLLKYGVYEAIFTMLSSLMNKDGMLIAYGNGFITREFLKNLRKPFCDIMEPKFD FAMKFNALELDDSDISLFVAAIICCGDRPGLLNIGYIEKLQEGIVHVLKLHLQSNHPDDT FLFPKLLQKMVDLRQLVTEHAQLVQVIKKTESDAALHPLLQEIYRDMY >ENSMUSP00000059719.5 pep:known chromosome:GRCm38:15:85736131:85801748:1 gene:ENSMUSG00000022383.13 transcript:ENSMUST00000057979.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppara description:peroxisome proliferator activated receptor alpha [Source:MGI Symbol;Acc:MGI:104740] MVDTESPICPLSPLEADDLESPLSEEFLQEMGNIQEISQSIGEESSGSFGFADYQYLGSC PGSEGSVITDTLSPASSPSSVSCPVIPASTDESPGSALNIECRICGDKASGYHYGVHACE GCKGFFRRTIRLKLVYDKCDRSCKIQKKNRNKCQYCRFHKCLSVGMSHNAIRFGRMPRSE KAKLKAEILTCEHDLKDSETADLKSLGKRIHEAYLKNFNMNKVKARVILAGKTSNNPPFV IHDMETLCMAEKTLVAKMVANGVEDKEAEVRFFHCCQCMSVETVTELTEFAKAIPGFANL DLNDQVTLLKYGVYEAIFTMLSSLMNKDGMLIAYGNGFITREFLKNLRKPFCDIMEPKFD FAMKFNALELDDSDISLFVAAIICCGDRPGLLNIGYIEKLQEGIVHVLKLHLQSNHPDDT FLFPKLLQKMVDLRQLVTEHAQLVQVIKKTESDAALHPLLQEIYRDMY >ENSMUSP00000108255.1 pep:known chromosome:GRCm38:2:54436317:55118309:1 gene:ENSMUSG00000060988.12 transcript:ENSMUST00000112636.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt13 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 13 [Source:MGI Symbol;Acc:MGI:2139447] MRRFVYCKVVLATSLMWVLVDVFLLLYFSECNKCDDKKERSLLPALRAVISRNQEGPGEM GKAVLIPKDDQEKMKELFKINQFNLMASDLIALNRSLPDVRLEGCKTKVYPDELPNTSVV IVFHNEAWSTLLRTVYSVINRSPHYLLSEVILVDDASERDFLKLTLENYVKTLEVPVKII RMEERSGLIRARLRGAAASKGQVITFLDAHCECTLGWLEPLLARIKEDRKTVVCPIIDVI SDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDRN YFEEIGTYDAGMDIWGGENLEMSFRIWQCGGSLEIVTCSHVGHVFRKATPYTFPGGTGHV INKNNRRLAEVWMDEFKDFFYIISPGVVKVDYGDVSVRKTLRENLKCKPFSWYLENIYPD SQIPRRYYSLGEIRNVETNQCLDNMGRKENEKVGIFNCHGMGGNQVFSYTADKEIRTDDL CLDVSRLSGPVIMLKCHHMRGNQLWEYDAERLTLRHANSNQCLDEPSEEDKMVPTMQDCS GSRSQQWLLRNMTLGT >ENSMUSP00000108254.1 pep:known chromosome:GRCm38:2:54436387:55118309:1 gene:ENSMUSG00000060988.12 transcript:ENSMUST00000112635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt13 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 13 [Source:MGI Symbol;Acc:MGI:2139447] MRRFVYCKVVLATSLMWVLVDVFLLLYFSECNKCDDKKERSLLPALRAVISRNQEGPGEM GKAVLIPKDDQEKMKELFKINQFNLMASDLIALNRSLPDVRLEGCKTKVYPDELPNTSVV IVFHNEAWSTLLRTVYSVINRSPHYLLSEVILVDDASERDFLKLTLENYVKTLEVPVKII RMEERSGLIRARLRGAAASKGQVITFLDAHCECTLGWLEPLLARIKEDRKTVVCPIIDVI SDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDRN YFEEIGTYDAGMDIWGGENLEMSFRIWQCGGSLEIVTCSHVGHVFRKATPYTFPGGTGHV INKNNRRLAEVWMDEFKDFFYIISPGVVKVDYGDVSVRKTLRENLKCKPFSWYLENIYPD SQIPRRYYSLGEIRNVETNQCLDNMGRKENEKVGIFNCHGMGGNQVFSYTADKEIRTDDL CLDVSRLSGPVIMLKCHHMRGNQLWEYDAERLTLRHANSNQCLDEPSEEDKMVPTMQDCS GSRSQQWLLRNMTLGT >ENSMUSP00000108253.1 pep:known chromosome:GRCm38:2:54436387:55118309:1 gene:ENSMUSG00000060988.12 transcript:ENSMUST00000112634.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt13 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 13 [Source:MGI Symbol;Acc:MGI:2139447] MRRFVYCKVVLATSLMWVLVDVFLLLYFSECNKCDDKKERSLLPALRAVISRNQEGPGEM GKAVLIPKDDQEKMKELFKINQFNLMASDLIALNRSLPDVRLEGCKTKVYPDELPNTSVV IVFHNEAWSTLLRTVYSVINRSPHYLLSEVILVDDASERDFLKLTLENYVKTLEVPVKII RMEERSGLIRARLRGAAASKGQVITFLDAHCECTLGWLEPLLARIKEDRKTVVCPIIDVI SDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDRN YFEEIGTYDAGMDIWGGENLEMSFRIWQCGGSLEIVTCSHVGHVFRKATPYTFPGGTGHV INKNNRRLAEVWMDEFKDFFYIISPGVVKVDYGDVSVRKTLRENLKCKPFSWYLENIYPD SQIPRRYYSLGEIRNVETNQCLDNMGRKENEKVGIFNCHGMGGNQVHDLCLSAPSLGVGA EECCSNHPLYGLVYTPTINEQVFSYTADKEIRTDDLCLDVSRLSGPVIMLKCHHMRGNQL WEYDAERLTLRHANSNQCLDEPSEEDKMVPTMQDCSGSRSQQWLLRNMTLGT >ENSMUSP00000115879.1 pep:known chromosome:GRCm38:2:54436491:54733133:1 gene:ENSMUSG00000060988.12 transcript:ENSMUST00000136642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt13 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 13 [Source:MGI Symbol;Acc:MGI:2139447] MRRFVYCKVVLATSLMWVLVDVFLLLYFSECNKCDDKKERSLLPALRAVISRNQEGPGEM GKAVLIPKDDQEKMKELFKINQFNLMASDLIALNRSL >ENSMUSP00000063464.5 pep:known chromosome:GRCm38:2:54516540:55112961:1 gene:ENSMUSG00000060988.12 transcript:ENSMUST00000068595.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt13 description:UDP-N-acetyl-alpha-D-galactosamine:polypeptide N-acetylgalactosaminyltransferase 13 [Source:MGI Symbol;Acc:MGI:2139447] MRRFVYCKVVLATSLMWVLVDVFLLLYFSECNKCDDKKERSLLPALRAVISRNQEGPGEM GKAVLIPKDDQEKMKELFKINQFNLMASDLIALNRSLPDVRLEGCKTKVYPDELPNTSVV IVFHNEAWSTLLRTVYSVINRSPHYLLSEVILVDDASERDFLKLTLENYVKTLEVPVKII RMEERSGLIRARLRGAAASKGQVITFLDAHCECTLGWLEPLLARIKEDRKTVVCPIIDVI SDDTFEYMAGSDMTYGGFNWKLNFRWYPVPQREMDRRKGDRTLPVRTPTMAGGLFSIDRN YFEEIGTYDAGMDIWGGENLEMSFRIWQCGGSLEIVTCSHVGHVFRKATPYTFPGGTGHV INKNNRRLAEVWMDEFKDFFYIISPGVVKVDYGDVSVRKTLRENLKCKPFSWYLENIYPD SQIPRRYYSLGEIRNVETNQCLDNMGRKENEKVGIFNCHGMGGNQVFSYTADKEIRTDDL CLDVSRLSGPVIMLKCHHMRGNQLWEYDAERLTLRHANSNQCLDEPSEEDKMVPTMQDCS GSRSQQWLLRNMTLGT >ENSMUSP00000126966.1 pep:known chromosome:GRCm38:7:41836881:41872670:-1 gene:ENSMUSG00000090383.1 transcript:ENSMUST00000171671.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r58 description:vomeronasal 2, receptor 58 [Source:MGI Symbol;Acc:MGI:3647877] MFWIFTFGLLCIPIFVCAFTDNECYVTCSDYFYREGDVTIGAFFPLHIYYTGNKVPGKYL PYSFQDYRVQYKFKNYQFVLALVFAIEEINRNPDLLPNTTIGYDIYNIPFTEKNILRSIF LWRTRIINPLPNCDCGQKRKSPVVLTGPSWSASAHIGTFLQLYKIPQVTFGPFDSILNDR GQFNSLYQMSPKDTSLSLAIVFLLLQFRWSWVGLIIPDDHRGTQILSDLRENMENHGICI AFLKIISSAWNAFSNELWKNMYKIEESSANVIVIYGDIISVQGLMRHIAQLLVTWKVWVL SSSWDVDTHSDYFMVESFHGSLVFSHHHDEMVEFMNFVQTVNPYKYPEDNYLPKFWHLFF KCSFSEFNCQLLENCQPNASLDLLPRHHFDPAMNEEGYNIYNAVRAVAYSIHEMNLQKIQ TQPYAKGEEMVFFQWQLHPFLKNTIMRSHIGRHTATHGGGNLDAQYDILNFWNFPSGLGL KVKVGTYSLSAQQGPQFSLCEQMIQWPTGFTMTPQSVCSKSCRPGFRKAVQEGKAVCCFD CIPCADNEISNETDMYQCMKCPESHYANSEKSHCLQKSVSFLAYDEPLGMALIITALCFS LLIAAVLVVFVKHRDTPIVKANNRTLSYILLLTLFICLLSSFLFIGQPNTTTCILQQTAF GILFTVALSTVLAKAITVVIAFKATVPARMVRWLMVSRAPNFIIPICTLIQLIICGIWLV TSPPFIDQDTQTEHGHIIIVCNMGSTVAFHTVLGYLCSLALGSYTMAFLSRNLPDTFNEA KFLSFSMQVFFCVWITFLPVYHSSKGKVMVVMEVFSILASSVALFILIFAPKCYIILLRP EKNSCHNVRHKKHSRRNINLFT >ENSMUSP00000123636.1 pep:known chromosome:GRCm38:7:3620324:3629884:-1 gene:ENSMUSG00000006335.16 transcript:ENSMUST00000155592.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpt description:TCF3 (E2A) fusion partner [Source:MGI Symbol;Acc:MGI:1916964] MELEQREGTMAAVGFEEFSAPPGSELALPPLFGGHILESELETEVEFVSGGLGDSGLRER DEEEEAARGRRRRQRELNRRKYQALGRRCREIEQVNERVLNRLHQVQRITRRLQQERRFL MRVLDSYGDDYRDSQFTIVLEDDGSQGTDVPTPGNAENEPPEKEGLSPSQRTTATLDPTS PAPGEGPSGRKRRRAPRVGASLTPELAPVQIKVEEDFGFEADEALDSSWVSREPDKLLPY PTLASPPFD >ENSMUSP00000104281.3 pep:known chromosome:GRCm38:7:3620324:3629929:-1 gene:ENSMUSG00000006335.16 transcript:ENSMUST00000108641.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpt description:TCF3 (E2A) fusion partner [Source:MGI Symbol;Acc:MGI:1916964] MELEQREGTMAAVGFEEFSAPPGSELALPPLFGGHILESELETEVEFVSGGLGDSGLRER DEEEEAARGRRRRQRELNRRKYQALGRRCREIEQVNERVLNRLHQVQRITRRLQQERRFL MRVLDSYGDDYRDSQFTIVLEDDGSQGTDVPTPGNAENEPPEKEGLSPSQRTTATLDPTS PAPGEGPSGRKRRRAPRVGASLTPELAPVQVGAEGWGQGVIKVEEDFGFEADEALDSSWV SREPDKLLPYPTLASPPFD >ENSMUSP00000053108.8 pep:known chromosome:GRCm38:7:3620335:3629086:-1 gene:ENSMUSG00000006335.16 transcript:ENSMUST00000058880.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tfpt description:TCF3 (E2A) fusion partner [Source:MGI Symbol;Acc:MGI:1916964] XTMAAVGFEEFSAPPGSELALPPLFGGHILESELETEVEFVSGGLGDSGLRERDEEEEAA RGRRRRQRELNRRKYQALGRRCREIEQVNERVLNRLHQVQRITRRLQQERRFLMRVLDSY GDDYRDSQFTIVLEAMLRMNLQRKRGFPHPKGQLQP >ENSMUSP00000145936.1 pep:known chromosome:GRCm38:7:3620795:3629827:-1 gene:ENSMUSG00000006335.16 transcript:ENSMUST00000205596.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tfpt description:TCF3 (E2A) fusion partner [Source:MGI Symbol;Acc:MGI:1916964] MRVLDSYGDDYRDSQFTIVLEDDGSQGTDVPTPGNAENEPPEKEGLSPSQRTTATLDPTS PAPGEGPSGRKRRRAPRVGASLTP >ENSMUSP00000146255.1 pep:known chromosome:GRCm38:7:3620974:3629859:-1 gene:ENSMUSG00000006335.16 transcript:ENSMUST00000206370.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tfpt description:TCF3 (E2A) fusion partner [Source:MGI Symbol;Acc:MGI:1916964] MELEQREGTMAAVGFEEFSAPPGSELALPPLFGGHILESELETEVEFVSGGLGDSGLRER DEEEEAARGRRRRQRELNRRKYQALGRRCREIEQVGE >ENSMUSP00000120930.1 pep:known chromosome:GRCm38:7:3624594:3629579:-1 gene:ENSMUSG00000006335.16 transcript:ENSMUST00000153143.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tfpt description:TCF3 (E2A) fusion partner [Source:MGI Symbol;Acc:MGI:1916964] MELEQREGLLKLLGPTGPWQPWALKSSQRHQAQSWLCLPCLVATS >ENSMUSP00000103896.1 pep:known chromosome:GRCm38:3:30855950:30897190:1 gene:ENSMUSG00000037661.14 transcript:ENSMUST00000108261.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr160 description:G protein-coupled receptor 160 [Source:MGI Symbol;Acc:MGI:1919112] MTALSSKNCSLQYQLHQSPQLLEASCLLFLIILGKVLLNILLLRVRRGDARWTLMEYFCF SLALVDLLLLVNISILTYFRDFVVLGIRFTRYHICLLTQIISFTYGFLHYPVCSLACIDY WCNLSRASKQSSRWQKLLYFLTVILTWISVLAYVLVDPAISVSLKAHRGYVYQCPAYVST QSHWLSLSMLMVLFVAFLISWQEVVALLQAMRIASYKSKAALYFPFPLHCGYALSCREAL LPRLIVCFLGTWFPFVALQVLILSLRVQIPAYIEMNVPWLYFVNSFLIAAVYWFNCHKLD LRDSSLPVDPFINWKCCFVPVHRLKQVERPMSIVIC >ENSMUSP00000103894.1 pep:known chromosome:GRCm38:3:30855950:30897190:1 gene:ENSMUSG00000037661.14 transcript:ENSMUST00000108259.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr160 description:G protein-coupled receptor 160 [Source:MGI Symbol;Acc:MGI:1919112] MTALSSKNCSLQYQLHQSPQLLEASCLLFLIILGKVLLNILLLRVRRGDARWTLMEYFCF SLALVDLLLLVNISILTYFRDFVVLGIRFTRYHICLLTQIISFTYGFLHYPVCSLACIDY WCNLSRASKQSSRWQKLLYFLTVILTWISVLAYVLVDPAISVSLKAHRGYVYQCPAYVST QSHWLSLSMLMVLFVAFLISWQEVVALLQAMRIASYKSKAALYFPFPLHCGYALSCREAL LPRLIVCFLGTWFPFVALQVLILSLRVQIPAYIEMNVPWLYFVNSFLIAAVYWFNCHKLD LRDSSLPVDPFINWKCCFVPVHRLKQVERPMSIVIC >ENSMUSP00000045165.6 pep:known chromosome:GRCm38:3:30855989:30897190:1 gene:ENSMUSG00000037661.14 transcript:ENSMUST00000046748.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr160 description:G protein-coupled receptor 160 [Source:MGI Symbol;Acc:MGI:1919112] MTALSSKNCSLQYQLHQSPQLLEASCLLFLIILGKVLLNILLLRVRRGDARWTLMEYFCF SLALVDLLLLVNISILTYFRDFVVLGIRFTRYHICLLTQIISFTYGFLHYPVCSLACIDY WCNLSRASKQSSRWQKLLYFLTVILTWISVLAYVLVDPAISVSLKAHRGYVYQCPAYVST QSHWLSLSMLMVLFVAFLISWQEVVALLQAMRIASYKSKAALYFPFPLHCGYALSCREAL LPRLIVCFLGTWFPFVALQVLILSLRVQIPAYIEMNVPWLYFVNSFLIAAVYWFNCHKLD LRDSSLPVDPFINWKCCFVPVHRLKQVERPMSIVIC >ENSMUSP00000142310.1 pep:known chromosome:GRCm38:3:30855993:30895792:1 gene:ENSMUSG00000037661.14 transcript:ENSMUST00000194979.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr160 description:G protein-coupled receptor 160 [Source:MGI Symbol;Acc:MGI:1919112] MTAL >ENSMUSP00000103893.1 pep:known chromosome:GRCm38:3:30856015:30897190:1 gene:ENSMUSG00000037661.14 transcript:ENSMUST00000108258.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr160 description:G protein-coupled receptor 160 [Source:MGI Symbol;Acc:MGI:1919112] MTALSSKNCSLQYQLHQSPQLLEASCLLFLIILGKVLLNILLLRVRRGDARWTLMEYFCF SLALVDLLLLVNISILTYFRDFVVLGIRFTRYHICLLTQIISFTYGFLHYPVCSLACIDY WCNLSRASKQSSRWQKLLYFLTVILTWISVLAYVLVDPAISVSLKAHRGYVYQCPAYVST QSHWLSLSMLMVLFVAFLISWQEVVALLQAMRIASYKSKAALYFPFPLHCGYALSCREAL LPRLIVCFLGTWFPFVALQVLILSLRVQIPAYIEMNVPWLYFVNSFLIAAVYWFNCHKLD LRDSSLPVDPFINWKCCFVPVHRLKQVERPMSIVIC >ENSMUSP00000121542.1 pep:known chromosome:GRCm38:3:30856143:30895821:1 gene:ENSMUSG00000037661.14 transcript:ENSMUST00000147697.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr160 description:G protein-coupled receptor 160 [Source:MGI Symbol;Acc:MGI:1919112] MTALSSKNCSLQY >ENSMUSP00000128666.1 pep:known chromosome:GRCm38:3:30855950:30897192:1 gene:ENSMUSG00000037661.14 transcript:ENSMUST00000166278.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr160 description:G protein-coupled receptor 160 [Source:MGI Symbol;Acc:MGI:1919112] MTALSSKNCSLQYQLHQSPQLLEASCLLFLIILGKVLLNILLLRVRRGDARWTLMEYFCF SLALVDLLLLVNISILTYFRDFVVLGIRFTRYHICLLTQIISFTYGFLHYPVCSLACIDY WCNLSRASKQSSRWQKLLYFLTVILTWISVLAYVLVDPAISVSLKAHRGYVYQCPAYVST QSHWLSLSMLMVLFVAFLISWQEVVALLQAMRIASYKSKAALYFPFPLHCGYALSCREAL LPRLIVCFLGTWFPFVALQVLILSLRVQIPAYIEMNVPWLYFVNSFLIAAVYWFNCHKLD LRDSSLPVDPFINWKCCFVPVHRLKQVERPMSIVIC >ENSMUSP00000072712.3 pep:known chromosome:GRCm38:13:27773495:27780803:-1 gene:ENSMUSG00000060738.3 transcript:ENSMUST00000072943.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl7c1 description:prolactin family 7, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:1914755] MLLSLTHPSFLAMLPMLLMSNLLQWEGVTSASIHHIEDDYGEAYLKDLFDQAIKLSNDTM ALTIEMRMIFFSDGFSSNMFRKIVLDFLKDHKHMIETLNSCHTFSLSVPETLEEARKISL EDFLKIIVSILNSWNKPLYHLETELHCMKGAPDAILIRANAIRTLNRELLETILMILSRV HPGMEENTDYPLWTDLASLQATNKERQFFALYKLFYCLRVDTFTVDHYLKYLMCMLYSDD ICTSVKFYEDP >ENSMUSP00000023599.6 pep:known chromosome:GRCm38:16:23107444:23113947:1 gene:ENSMUSG00000022884.14 transcript:ENSMUST00000023599.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4a2 description:eukaryotic translation initiation factor 4A2 [Source:MGI Symbol;Acc:MGI:106906] MSGGSADYNREHGGPEGMDPDGVIESNWNEIVDNFDDMNLKESLLRGIYAYGFEKPSAIQ QRAIIPCIKGYDVIAQAQSGTGKTATFAISILQQLEIEFKETQALVLAPTRELAQQIQKV ILALGDYMGATCHACIGGTNVRNEMQKLQAEAPHIVVGTPGRVFDMLNRRYLSPKWIKMF VLDEADEMLSRGFKDQIYEIFQKLNTSIQVVLLSATMPTDVLEVTKKFMRDPIRILVKKE ELTLEGIKQFYINVEREEWKLDTLCDLYETLTITQAVIFLNTRRKVDWLTEKMHARDFTV SALHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHR IGRGGRFGRKGVAINFVTEEDKRILRDIETFYNTTVEEMPMNVADLI >ENSMUSP00000090876.4 pep:known chromosome:GRCm38:16:23107759:23113946:1 gene:ENSMUSG00000022884.14 transcript:ENSMUST00000077605.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4a2 description:eukaryotic translation initiation factor 4A2 [Source:MGI Symbol;Acc:MGI:106906] MSGGSADYNREHGGPEGMDPDGVIESNWNEIVDNFDDMNLKESLLRGIYAYGFEKPSAIQ QRAIIPCIKGYDVIAQAQSGTGKTATFAISILQQLEIEFKETQALVLAPTRELAQQIQKV ILALGDYMGATCHACIGGTNVRNEMQKLQAEAPHIVVGTPGRVFDMLNRRYLSPKWIKMF VLDEADEMLSRGFKDQIYEIFQKLNTSIQVVLLSATMPTDVLEVTKKFMRDPIRILVKKE ELTLEGIKQFYINVEREEWKLDTLCDLYETLTITQAVIFLNTRRKVDWLTEKMHARDFTV SALHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHR SR >ENSMUSP00000115649.1 pep:known chromosome:GRCm38:16:23107777:23113930:1 gene:ENSMUSG00000022884.14 transcript:ENSMUST00000123413.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif4a2 description:eukaryotic translation initiation factor 4A2 [Source:MGI Symbol;Acc:MGI:106906] MSGGSADYNREHGGPEGMDPDGVIESNWNEIVDNFDDMNLKESLLRGIYAYGFEKPSAIQ QRAIIPCIKGYDVIAQAQSGTGKTATFAISILQQLEIEFKETQALVLAPTRELAQQIQKV ILALGDYMGATCHACIGGTNVRNEMQKLQAEAPHIVVGTPGRVFDMLNRRYLSPKWIKMF VLDEADEMLSRGFKDQIYEIFQKLNTSIQVVLLSATMPTDVLEVTKKFMRDPIRILVKKE ELTLEGIKQFYINVEREEWKLDTLCDLYETLTITQAVIFLNTRRKVDWLTEKMHARDFTV SALHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHR SR >ENSMUSP00000121745.1 pep:known chromosome:GRCm38:16:23107754:23114136:1 gene:ENSMUSG00000022884.14 transcript:ENSMUST00000147117.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif4a2 description:eukaryotic translation initiation factor 4A2 [Source:MGI Symbol;Acc:MGI:106906] MSGGSADYNREHGGPEGMDPDGVIESNWNEIVDNFDDMNLKESLLRGIYAYGFEKPSAIQ QRAIIPCIKDPKGNFGSWRLYGSNLSCLHWRNKCSK >ENSMUSP00000118141.1 pep:known chromosome:GRCm38:16:23107778:23113947:1 gene:ENSMUSG00000022884.14 transcript:ENSMUST00000131871.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eif4a2 description:eukaryotic translation initiation factor 4A2 [Source:MGI Symbol;Acc:MGI:106906] MSGGSADYNSREHGGPEGMDPDGVIESNWNEIVDNFDDMNLKESLLRGIYAYGFEKPSAI QQRAIIPCIKDPKGNFGSWRLYGSNLSCLHWRNKCSK >ENSMUSP00000110998.3 pep:known chromosome:GRCm38:16:23107759:23113926:1 gene:ENSMUSG00000022884.14 transcript:ENSMUST00000115341.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4a2 description:eukaryotic translation initiation factor 4A2 [Source:MGI Symbol;Acc:MGI:106906] MSGGSADYNSREHGGPEGMDPDGVIESNWNEIVDNFDDMNLKESLLRGIYAYGFEKPSAI QQRAIIPCIKGYDVIAQAQSGTGKTATFAISILQQLEIEFKETQALVLAPTRELAQQIQK VILALGDYMGATCHACIGGTNVRNEMQKLQAEAPHIVVGTPGRVFDMLNRRYLSPKWIKM FVLDEADEMLSRGFKDQIYEIFQKLNTSIQVVLLSATMPTDVLEVTKKFMRDPIRILVKK EELTLEGIKQFYINVEREEWKLDTLCDLYETLTITQAVIFLNTRRKVDWLTEKMHARDFT VSALHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIH RIGRGGRFGRKGVAINFVTEEDKRILRDIETFYNTTVEEMPMNVADLI >ENSMUSP00000127030.1 pep:known chromosome:GRCm38:16:23107479:23114117:1 gene:ENSMUSG00000022884.14 transcript:ENSMUST00000168891.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4a2 description:eukaryotic translation initiation factor 4A2 [Source:MGI Symbol;Acc:MGI:106906] MHMVLRSLQLFSKELLSLVLKIQKVILALGDYMGATCHACIGGTNVRNEMQKLQAEAPHI VVGTPGRVFDMLNRRYLSPKWIKMFVLDEADEMLSRGFKDQIYEIFQKLNTSIQVVLLSA TMPTDVLEVTKKFMRDPIRILVKKEELTLEGIKQFYINVEREEWKLDTLCDLYETLTITQ AVIFLNTRRKVDWLTEKMHARDFTVSALHGDMDQKERDVIMREFRSGSSRVLITTDLLAR GIDVQQVSLVINYDLPTNRENYIHRIGRGGRFGRKGVAINFVTEEDKRILRDIETFYNTT VEEMPMNVADLI >ENSMUSP00000140809.1 pep:known chromosome:GRCm38:16:23107758:23113184:1 gene:ENSMUSG00000022884.14 transcript:ENSMUST00000187168.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eif4a2 description:eukaryotic translation initiation factor 4A2 [Source:MGI Symbol;Acc:MGI:106906] MSGGSADYNREHGGPEGMDPDGVIESNWNEIVDNFDDMNLKESLLRGIYAYGFEKPSAIQ QRAIIPCIKGYDVIAQAQSGTGKTATFAISILQQLEIEFKETQALVLAPTRELAQQIQKV ILALGDYMGATCHACIGGTNVRNEMQKLQAEAPHIVVGTPGRVFDMLNRRYLSPKWIKMF VLDEADEMLSRGFKDQIYEIFQKLNTSIQVVLLSATMPTDVLEVTKKFMRDPIRILVKKE ELTLEGIKQFYINVEREEWKLDTLCDLYETLTITQAVIFLNTRRKVDWLTEKMHARDFTV SALHGDMDQKERDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTNRENYIHR SR >ENSMUSP00000145741.1 pep:known chromosome:GRCm38:11:74186249:74196446:1 gene:ENSMUSG00000070378.2 transcript:ENSMUST00000206114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr403 description:olfactory receptor 403 [Source:MGI Symbol;Acc:MGI:3030237] MREENESSTIDFTLLGVTRQREQEYFFFILFLFIYPITVFGNMLIILAIHSDTRLHNPMY FFLANLSLVDIFFSSVTIPKMLANHLLGSKAISFGGCMAQMYFMISLGNTDSYILAAMAY DRAVAISRPLHYATIMSPQLCVLLVAGSWVIANANALPHTLLTARLSFCGNKDVANFYCD ITPLLQLSCSDIRFNVKMMYLGVGVFSVPLLCIIISYVRVFSTVLRVPSTKGFLKALSTC GSHLTVVSLYYGTVMGMYFRPLTSYSLKHALITVMYTAVTPMLNPFIYSLRNRDMKAALK KLFHCHSSSSSLM >ENSMUSP00000075971.1 pep:known chromosome:GRCm38:11:74195505:74196446:1 gene:ENSMUSG00000070378.2 transcript:ENSMUST00000076675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr403 description:olfactory receptor 403 [Source:MGI Symbol;Acc:MGI:3030237] MREENESSTIDFTLLGVTRQREQEYFFFILFLFIYPITVFGNMLIILAIHSDTRLHNPMY FFLANLSLVDIFFSSVTIPKMLANHLLGSKAISFGGCMAQMYFMISLGNTDSYILAAMAY DRAVAISRPLHYATIMSPQLCVLLVAGSWVIANANALPHTLLTARLSFCGNKDVANFYCD ITPLLQLSCSDIRFNVKMMYLGVGVFSVPLLCIIISYVRVFSTVLRVPSTKGFLKALSTC GSHLTVVSLYYGTVMGMYFRPLTSYSLKHALITVMYTAVTPMLNPFIYSLRNRDMKAALK KLFHCHSSSSSLM >ENSMUSP00000116702.1 pep:known chromosome:GRCm38:6:13867735:13871518:-1 gene:ENSMUSG00000052419.7 transcript:ENSMUST00000139231.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610001J05Rik description:RIKEN cDNA 2610001J05 gene [Source:MGI Symbol;Acc:MGI:1913770] MNSVSTQLILVLASLLLILPVVEAVEAGDAIALLLGVVLSITGICACLGIYARKRNGQM >ENSMUSP00000120110.1 pep:known chromosome:GRCm38:6:13869800:13871466:-1 gene:ENSMUSG00000052419.7 transcript:ENSMUST00000155856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610001J05Rik description:RIKEN cDNA 2610001J05 gene [Source:MGI Symbol;Acc:MGI:1913770] MNSVSTQLILVLASLLLILPVVEAVEAGDAIALLLGVVLSITGICACLGIYARKRNGQM >ENSMUSP00000140155.1 pep:known chromosome:GRCm38:6:13869860:13871465:-1 gene:ENSMUSG00000052419.7 transcript:ENSMUST00000185219.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610001J05Rik description:RIKEN cDNA 2610001J05 gene [Source:MGI Symbol;Acc:MGI:1913770] MNSVSTQLILVLASLLLILPVVEAVEAGDAIALLLGVVLSITGICACLGIYARKRNGQM >ENSMUSP00000139650.1 pep:known chromosome:GRCm38:6:13870001:13871465:-1 gene:ENSMUSG00000052419.7 transcript:ENSMUST00000185361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2610001J05Rik description:RIKEN cDNA 2610001J05 gene [Source:MGI Symbol;Acc:MGI:1913770] MNSVSTQLILVLASLLLILPVVEAVEAGDAIALLLGVVLSITGICACLGIYARKRNGQM >ENSMUSP00000042312.7 pep:known chromosome:GRCm38:7:30565427:30567962:1 gene:ENSMUSG00000036826.14 transcript:ENSMUST00000043850.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igflr1 description:IGF-like family receptor 1 [Source:MGI Symbol;Acc:MGI:3655979] MGPSWLLWTVAVAVLLLTRAASMEASSFCGHLEYWNSDKRCCSRCLQRFGPPACPDHEFT ENCGLNDFGDTVAHPFKKCSPGYCNPNGTELCSQCSSGAAAAPAHVESPGRTHKQCRKKP VPPKDVCPLKPEDAGASSSPGRWSLGQTTKNEVSSRPGFVSASVLPLAVLPLLLVLLLIL AVVLLSLFKRKVRSRPGSSSAFGDPSTSLHYWPCPGTLEVLESRNRGKANLLQLSSWELQ GLASQPLSLLLDELEVLEELIMLLDPEPGPSGSTAYGTTRHLAARYGLPATWSTFAYSLR PSRSPLRALIEMVVAREPSATLGQFGTYLAQLGRTDALQVLSKLG >ENSMUSP00000132400.1 pep:known chromosome:GRCm38:7:30566080:30567959:1 gene:ENSMUSG00000036826.14 transcript:ENSMUST00000172251.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Igflr1 description:IGF-like family receptor 1 [Source:MGI Symbol;Acc:MGI:3655979] MCCRNSVGGSGHSPQAAGSAEMGPSWLLWTVAVAVLLLTRAASMEASSFCGHLEYWNSDK RCCSRCLQRFGPPACPDHEFTENCGLNDFGDTVAHPFKKCSPGYCNPNGTELCSQCSSGA AAAPAHVESPGRTHKQCRKPVPPKDVCPLKPEDAGASSSPGRWSLGQTTKNEVSSRPGFV SASVLPLAVLPLLLVLLLILAVVLLSLFKRKVRSRPGSSSAFGDPSTSLHYWPCPGTLEV LESRNRGKANLLQLSSWELQGLASQPLSLLLDELEVLEELIMLLDPEPGPSGSTAYGTTR HLAARYGLPATWSTFAYSLRPSRSPLRALIEMVVAREPSATLGQFGTYLAQLGRTDALQV LSKLG >ENSMUSP00000062476.3 pep:known chromosome:GRCm38:4:49512596:49521093:-1 gene:ENSMUSG00000044018.3 transcript:ENSMUST00000057829.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrpl50 description:mitochondrial ribosomal protein L50 [Source:MGI Symbol;Acc:MGI:107329] MAALIVSRLARRGWLWKLPLATRREFWSRSRKEKEPVVAETVEEVKKEPVLVCPPLRSRA YTPPSDLQSRLESHIKEVLGSSLPNNWQDISLDDGHMKFRLLAGLADGLGHAVPNSRLHQ MCRVRDVLDFYNVPVQDKSKFDELVASNLPPNLKISWSY >ENSMUSP00000040171.8 pep:known chromosome:GRCm38:11:50602084:50807573:1 gene:ENSMUSG00000036545.8 transcript:ENSMUST00000040523.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Adamts2 description:a disintegrin-like and metallopeptidase (reprolysin type) with thrombospondin type 1 motif, 2 [Source:MGI Symbol;Acc:MGI:1347356] MDPPAGAARRLLCPALLLLLLPPPPLLLLPPPPASVRLVAATEPPGGPPGQGAERILAVP VRTDAQGRLVSHVVSLETAGAGVRARRAALDQTSGLPGGAAQDPGGRLFYNLTVFGRDLH LRLRPNARLVAPGATVEWQGETGDTRVEPLLGSCLYVGDVADLPKASSVALSNCDGLAGL IRMEEEEFFIEPLEKGQTDQEAEQGRVHVVYRRPPTPKPPPVSEPQALDTGVSQGNLDSL SRALGVLEERINSSRRRVRRHATDDDYNIEVLLGVDDSVVQFHGKEHVQKYLLTLMNIVN EIYHDESLGAHINVVLVRIILLSHAKSMSLIEIGNPSQSLENVCRWAYLQQKPDTDHDEY HDHAIFLTRQDFGPSGMQGYAPVTGMCHPVRSCTLNHEDGFSSAFVVAHETGHVLGMEHD GQGNRCGDEVRLGSIMAPLVQAAFHRFHWSRCSQQELSRYLHSYDCLRDDPFAHDWPALP QLPGLHYSMNEQCRFDFGLGYMMCTAFRTFDPCKQLWCSHPDNPYFCKTKKGPPLDGTMC APGKHCFKGHCIWLTPDILKRDGNWGAWTPFGSCSRTCGTGVKFRTRQCDNPHPANGGRT CSGLAYDFQLCNPQDCPNSLADFREEQCQQWDLYFEHGDVQHHWLPHEHRDAKERCHLYC ESKETGEVVSMKRMVHDGTRCSYKDAFSLCVRGDCRKVGCDGVIGSRKQEDKCGVCGGDN THCKVVKGTFTRSPRKQDYIKMFEIPAGARHLLIQEADTTSHHLSVKNLETGKFILNEEN HLDPNSRSFIAMGVEWEYRNEDERETLQTIGPLHGTITVLVIPEGDTRISLTYKYMIHED SLNVDDNNVLEDDAVRHEWALKKWSPCSKPCGGGSQFTKYGCRRRLDSKMVHRAFCSALA KPKAIRRACNPQECSQPVWVTGEWEPCTQSCGRTGMQVRSVRCIQPLHNNTTRSVHTKHC NDHRPESRRACNRELCPGRWRAGSWSQCSVTCGNGTQERPVLCRTADDNFGVCREERPET ARICRLAPCPRNGSDPSKKSYVVQWLSRPDPDSPIQKISSKDQCQGDKSMFCRMEVLSRY CSIPSYNKLCCKSCNPPRNLSNTEDGGVEPPPGKHNDIDVFMPTLPGPTVATQVQPSPGP PLEAPLNVSSTNATEDHPETNAVDVPYKIHGVDEEVPSPNLIPRRPSLYVKTRNQRIQEL INAVQRKEKPGKF >ENSMUSP00000124753.1 pep:known chromosome:GRCm38:15:47580637:48791933:-1 gene:ENSMUSG00000022311.15 transcript:ENSMUST00000160658.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csmd3 description:CUB and Sushi multiple domains 3 [Source:MGI Symbol;Acc:MGI:2386403] MKGSRKGESRAKESKPREPGTRRCAKCGRLDFILKKKMGIKSGFTFWNLVFLLTLSCVKG FIYTCGGTLKGLNGTIESPGFPYGYPNGANCTWVIIAEERNRIQIVFQSFALEEEYDYLS LYDGHPHPTNFRTRLTGFHLPPPVTSTKSVFSLRLTSDFAVSAHGFKVYYEELQSSSCGN PGVPPKGVLYGTRFDVGDKIRYSCVTGYILDGHPQLTCIANSVNTASWDFPVPICRAEDA CGGTMRGSSGIISSPGFPNEYHNNADCTWTIVAEPGDTISLIFTDFQMEEKYDYLEIEGS EPPTIWLSGMNIPPPIISNKNWLRLHFVTDSNHRYRGFSAPYQVKKAIDFKSRGFKLFPG KDNSNKFSLLNEGGIKTASNLCPDPGEPENGKRFGSDFSLGSTVQFSCDEDYVLQGAKSI TCQRIAEVFAAWSDHRPVCKVKTCGSNLQGPSGTFTSPNFPFQYDSNAQCVWVITAVNTN KVIQINFEEFDLEIGYDTLTIGDGGEVGDPRTVLQVLTGSFVPDLIVSMRSQMWLHLQTD ESVGSVGFKVNYKEIEKESCGDPGTPLYGIREGDGFSNRDVLRFECQFGFELIGEKSIVC QENNQWSANIPICIFPCLSNFTAPMGTVLSPDYPEGYGNNLNCIWTIISDPGSRIHLSFN DFDLESQFDFLAVKDGDSPDSPILGTFTGAEVPSHLTSNSHILRLEFQADHSMSGRGFNI TYNTFGHNECPDPGIPINARRFGDNFQLGSSISVICEEGFIKTQGTETITCILMDGKVMW SGPIPRCGAPCGGHFSAPSGVILSPGWPGYYKDSLNCEWVIEAEPGHSIKITFERFQTEL NYDVLEVHDGPNLLSPLLGSYNGTQVPQFLFSSSNFIYLLFTTDNSRSNNGFKIHYESVT VNTYSCLDPGIPVHGRRYGHDFSIGSTVSFSCDPGYRLSHEEPLLCEKNHWWSHPLPTCD ALCGGDVRGPSGTILSPGYPEFYPNSLNCTWTVDVTHGKGVQFNFHTFHLEDHHDYLLIT ENGSFTQPLARLTGSELPSTINAGLYGNFRAQLRFISDFSISYEGFNITFSEYNLEPCED PGIPQYGSRVGFSFGVGDTLTFSCSLGYRLEGSSEIICLGGGRRVWSAPLPRCVAECGAS ATNNEGILLSPNYPLNYENNHECIYSLQVQAGKGINISARTFHLAQGDVLKIYDGKDKTT HLLGAFTGASMRGLTLSSTSNQLWLEFNSDSEGTDEGFQLVYTSFELSHCEDPGIPQFGY KISDQGHFAGSTIIYGCNPGYTLHGSSLLKCMTGERRAWDYPLPSCIAECGGRFKGESSG RILSPGYPFPYDNNLRCMWMIEVDPGNIVSLQFLAFDTEASHDILRVWDGPPENEMLLKE VSGSLIPDGIHSTLNIVTIQFDTDFYISKSGFAIQFSSSVATACRDPGVPMNGTRNGDGR EPGDTVVFQCDPGYELQGQERITCIQVENRYFWQPSPPVCIAPCGGNLTGSSGFILSPNF PHPYPHSRDCDWTISVNTDYVISLAFISFSIEPNYDFLYIYDGPDSNSPLIGSFQDSKLP ERIESSSNTMHLAFRSDGSVSYTGFHLEYKAKLRESCFDPGNIMNGTRLGMDYKLGSTVT YYCDAGYVLQGYSTLTCIMGDDGRPGWNRVLPSCHAPCGSRSTGSEGTVLSPNYPKNYSV DHNCVYSIAVPKEFVVFGQFVFFQTSLHDVVEVFDGPTQQSPLLSSLSGSHSGESLPLSS GNQITIRFTSVGPITAKGFHFVYQAVPRTSSTQCSSVPEPRFGRRIGNDFAVGSLVLFEC NPGYILHGSRAIRCETVPNSLAQWNDSLPTCIVPCGGILTKRKGTILSPGYPEPYDNNLN CVWKITVPEGAGIQVQVVSFATEHNWDSLDFYDGGDNNAPRLGSYSGTTIPHLLNSTSNN LYLNFQSDISVSAAGFHLEYTAIGLDSCPEPQTPSSGIKVGDRYMVGDVVSFQCDQGYSL QGHSHITCMPGPVRRWNYPIPICLAQCGGAMSDFSGVILSPGFPGNYPSSLDCTWTIKLP IGFGVHLQFVNFSTETIHDYLEVRSGSSEISTVIGRLSGPQIPSSLFSTTHETSLYFHSD YSQNKQGFHIVYQAYQLQSCPDPRPFRNGFVIGNDFTVGQTISFECFPGYTLIGNSALTC LHGVSRNWNHPLPRCEALCGGNITAMNGTIYSPGYPDEYPNFQDCFWLVRVPPGNGIYIN FTVLQTEPIYDFITVWDGPDQNSPQIGQFSGNTALESVYSTSNQILIKFHSDFTTSGFFV LSYHAYQLRVCQPPPPVPNAEILTEDDEFEIGDIIRYQCLPGFTLVGNAILTCRLGERLQ MDGAPPVCQVLCPANELRLDSTGVILSPGYPDSYPNLQMCAWSISVEKGYNISMFVEFFQ TEKEFDVLQVYDGPNIQSPVLISLSGDYSAAFNVTSNGHEVFLQWSADHGNNKKGFRIRY IAFYCSTPESPPHGYIISQTGGQLNSVVRWACDRGFRLVGKSSAVCRKSSYGYHSWDAPV PACQAISCGIPKAPTNGGILTTDYLVGTRVTYFCNDGYRLSSKELTTATCQSDGTWSNHN KTPRCVVISCGELPTPPNGNKIGTQTSYGSTAIFTCDLGFMLVGSAVRECLSSGLWSGSE TRCLAGHCGIPELIVNGQVIGENYGYRDTVVYQCNPGFRLIGSSVRICQQDHNWSGQLPS CVPVSCGHPGSPIYGRTSGNGFNFNDVVTFSCNIGYLMQGPTKAQCQANRQWSHPPPVCK VVNCSDPGIPANSKRESKIEHGNFTYGTVVFYDCNPGYFLFGSSVLICQPNGQWDKPLPE CIMIDCGHPGIPPNAVLSGEKYTFGSTVHYSCTGKRSLLGQASRTCQLNGHWSGSQPHCS GDTTGTCGDPGTPGHGSRQESDFRTKSTVRFACDTGYILYGSEERTCLSNGSWTGRQPEC KAVQCGNPGTTANGKVFRIDGTTFSSSVIYSCLEGYILSGPSVRQCTANGTWSGSLPNCT IISCGDPGIPANGLRYGDDFVVGQNVSYMCQPGYTIELNGSRVRTCTTNGTWSGVMPTCR AVTCSTPPQISNGRLEGTNFDWGFSISYICSAGYELSFPAVLTCVGNGTWSGEVPQCLPK FCGDPGIPSQGKREGKSFIYQSEVSFSCNSPFILVGSSTRLCQTDGTWSGSSPHCIEPTR TSCENPGVPRHGSQNNTFGFQVGSVVQFHCKKGHLLQGSTTRTCLPDLTWSGIQPECIPH SCKQPESPAHANVVGMDLPSHGYTLIYTCQPGFFLAGGTEHRVCRSDNTWTGKVPVCEAG SKILVKDPRPALGTPSPKLSVPDDVFAQNYIWKGSYNFKGRKQPMTLTVTSFNASTGRVN ATLSNSDMELLLSGVYKSQEARLMLHIYLIKVPAHASVKKMKEENWAMDGFVSAEPDGAT YVFQGFIKGKDYGQFGLQRLGLNTSEGSNSSNQPHGTNSSSVAIAILVPFFALIFAGFGF YLYKQRTAPKTQYTGCSVHENNNGQAAFENPMYDTNAKSVEGKAVRFDPNLNTVCTMV >ENSMUSP00000124775.1 pep:known chromosome:GRCm38:15:47580722:48792063:-1 gene:ENSMUSG00000022311.15 transcript:ENSMUST00000162830.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csmd3 description:CUB and Sushi multiple domains 3 [Source:MGI Symbol;Acc:MGI:2386403] MKGSRKGESRAKESKPREPGTRRCAKCGRLDFILKKKMGIKSGFTFWNLVFLLTLSCVKG FIYTCGGTLKGLNGTIESPGFPYGYPNGANCTWVIIAEERNRIQIVFQSFALEEEYDYLS LYDGHPHPTNFRTRLTGFHLPPPVTSTKSVFSLRLTSDFAVSAHGFKVYYEELQSSSCGN PGVPPKGVLYGTRFDVGDKIRYSCVTGYILDGHPQLTCIANSVNTASWDFPVPICRAEDA CGGTMRGSSGIISSPGFPNEYHNNADCTWTIVAEPGDTISLIFTDFQMEEKYDYLEIEGS EPPTIWLSGMNIPPPIISNKNWLRLHFVTDSNHRYRGFSAPYQGSSPLTLTASIGELEEH IRTATGAIDVASTPADVTVSSVTAVTSHRLSEEQRVQVRSLSDSGLDPNTPEDQLSPHQA DTQSTSRRPRNAEQIERTKELAVVTHRVKKAIDFKSRGFKLFPGKDNSNKFSLLNEGGIK TASNLCPDPGEPENGKRFGSDFSLGSTVQFSCDEDYVLQGAKSITCQRIAEVFAAWSDHR PVCKVKTCGSNLQGPSGTFTSPNFPFQYDSNAQCVWVITAVNTNKVIQINFEEFDLEIGY DTLTIGDGGEVGDPRTVLQVLTGSFVPDLIVSMRSQMWLHLQTDESVGSVGFKVNYKEIE KESCGDPGTPLYGIREGDGFSNRDVLRFECQFGFELIGEKSIVCQENNQWSANIPICIFP CLSNFTAPMGTVLSPDYPEGYGNNLNCIWTIISDPGSRIHLSFNDFDLESQFDFLAVKDG DSPDSPILGTFTGAEVPSHLTSNSHILRLEFQADHSMSGRGFNITYNTFGHNECPDPGIP INARRFGDNFQLGSSISVICEEGFIKTQGTETITCILMDGKVMWSGPIPRCGAPCGGHFS APSGVILSPGWPGYYKDSLNCEWVIEAEPGHSIKITFERFQTELNYDVLEVHDGPNLLSP LLGSYNGTQVPQFLFSSSNFIYLLFTTDNSRSNNGFKIHYESVTVNTYSCLDPGIPVHGR RYGHDFSIGSTVSFSCDPGYRLSHEEPLLCEKNHWWSHPLPTCDALCGGDVRGPSGTILS PGYPEFYPNSLNCTWTVDVTHGKGVQFNFHTFHLEDHHDYLLITENGSFTQPLARLTGSE LPSTINAGLYGNFRAQLRFISDFSISYEGFNITFSEYNLEPCEDPGIPQYGSRVGFSFGV GDTLTFSCSLGYRLEGSSEIICLGGGRRVWSAPLPRCVAECGASATNNEGILLSPNYPLN YENNHECIYSLQVQAGKGINISARTFHLAQGDVLKIYDGKDKTTHLLGAFTGASMRGLTL SSTSNQLWLEFNSDSEGTDEGFQLVYTSFELSHCEDPGIPQFGYKISDQGHFAGSTIIYG CNPGYTLHGSSLLKCMTGERRAWDYPLPSCIAECGGRFKGESSGRILSPGYPFPYDNNLR CMWMIEVDPGNIVSLQFLAFDTEASHDILRVWDGPPENEMLLKEVSGSLIPDGIHSTLNI VTIQFDTDFYISKSGFAIQFSSSVATACRDPGVPMNGTRNGDGREPGDTVVFQCDPGYEL QGQERITCIQVENRYFWQPSPPVCIAPCGGNLTGSSGFILSPNFPHPYPHSRDCDWTISV NTDYVISLAFISFSIEPNYDFLYIYDGPDSNSPLIGSFQDSKLPERIESSSNTMHLAFRS DGSVSYTGFHLEYKAKLRESCFDPGNIMNGTRLGMDYKLGSTVTYYCDAGYVLQGYSTLT CIMGDDGRPGWNRVLPSCHAPCGSRSTGSEGTVLSPNYPKNYSVDHNCVYSIAVPKEFVV FGQFVFFQTSLHDVVEVFDGPTQQSPLLSSLSGSHSGESLPLSSGNQITIRFTSVGPITA KGFHFVYQAVPRTSSTQCSSVPEPRFGRRIGNDFAVGSLVLFECNPGYILHGSRAIRCET VPNSLAQWNDSLPTCIVPCGGILTKRKGTILSPGYPEPYDNNLNCVWKITVPEGAGIQVQ VVSFATEHNWDSLDFYDGGDNNAPRLGSYSGTTIPHLLNSTSNNLYLNFQSDISVSAAGF HLEYTAIGLDSCPEPQTPSSGIKVGDRYMVGDVVSFQCDQGYSLQGHSHITCMPGPVRRW NYPIPICLAQCGGAMSDFSGVILSPGFPGNYPSSLDCTWTIKLPIGFGVHLQFVNFSTET IHDYLEVRSGSSEISTVIGRLSGPQIPSSLFSTTHETSLYFHSDYSQNKQGFHIVYQAYQ LQSCPDPRPFRNGFVIGNDFTVGQTISFECFPGYTLIGNSALTCLHGVSRNWNHPLPRCE ALCGGNITAMNGTIYSPGYPDEYPNFQDCFWLVRVPPGNGIYINFTVLQTEPIYDFITVW DGPDQNSPQIGQFSGNTALESVYSTSNQILIKFHSDFTTSGFFVLSYHAYQLRVCQPPPP VPNAEILTEDDEFEIGDIIRYQCLPGFTLVGNAILTCRLGERLQMDGAPPVCQVLCPANE LRLDSTGVILSPGYPDSYPNLQMCAWSISVEKGYNISMFVEFFQTEKEFDVLQVYDGPNI QSPVLISLSGDYSAAFNVTSNGHEVFLQWSADHGNNKKGFRIRYIAFYCSTPESPPHGYI ISQTGGQLNSVVRWACDRGFRLVGKSSAVCRKSSYGYHSWDAPVPACQAISCGIPKAPTN GGILTTDYLVGTRVTYFCNDGYRLSSKELTTATCQSDGTWSNHNKTPRCVVVTCPSINSF TLDHGRWRIVNGSHYEYKTKVVFSCDPGYHGLGPASIECLPNGTWSWRTERPYCQIISCG ELPTPPNGNKIGTQTSYGSTAIFTCDLGFMLVGSAVRECLSSGLWSGSETRCLAGHCGIP ELIVNGQVIGENYGYRDTVVYQCNPGFRLIGSSVRICQQDHNWSGQLPSCVPVSCGHPGS PIYGRTSGNGFNFNDVVTFSCNIGYLMQGPTKAQCQANRQWSHPPPVCKVVNCSDPGIPA NSKRESKIEHGNFTYGTVVFYDCNPGYFLFGSSVLICQPNGQWDKPLPECIMIDCGHPGI PPNAVLSGEKYTFGSTVHYSCTGKRSLLGQASRTCQLNGHWSGSQPHCSGDTTGTCGDPG TPGHGSRQESDFRTKSTVRFACDTGYILYGSEERTCLSNGSWTGRQPECKAVQCGNPGTT ANGKVFRIDGTTFSSSVIYSCLEGYILSGPSVRQCTANGTWSGSLPNCTIISCGDPGIPA NGLRYGDDFVVGQNVSYMCQPGYTIELNGSRVRTCTTNGTWSGVMPTCRAVTCSTPPQIS NGRLEGTNFDWGFSISYICSAGYELSFPAVLTCVGNGTWSGEVPQCLPKFCGDPGIPSQG KREGKSFIYQSEVSFSCNSPFILVGSSTRLCQTDGTWSGSSPHCIEPTRTSCENPGVPRH GSQNNTFGFQVGSVVQFHCKKGHLLQGSTTRTCLPDLTWSGIQPECIPHSCKQPESPAHA NVVGMDLPSHGYTLIYTCQPGFFLAGGTEHRVCRSDNTWTGKVPVCEAGSKILVKDPRPA LGTPSPKLSVPDDVFAQNYIWKGSYNFKGRKQPMTLTVTSFNASTGRVNATLSNSDMELL LSGVYKSQEARLMLHIYLIKVPAHASVKKMKEENWAMDGFVSAEPDGATYVFQGFIKGKD YGQFGLQRLGLNTSEGSNSSNQPHGTNSSSVAIAILVPFFALIFAGFGFYLYKQRTAPKT QYTGCSVHENNNGQAAFENPMYDTNAKSVEGKAVRFDPNLNTVCTMV >ENSMUSP00000124195.1 pep:known chromosome:GRCm38:15:47581723:48001621:-1 gene:ENSMUSG00000022311.15 transcript:ENSMUST00000161653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csmd3 description:CUB and Sushi multiple domains 3 [Source:MGI Symbol;Acc:MGI:2386403] XNARRFGDNFQLGSSISVICEEGFIKTQGTETITCILMDGKVMWSGPIPRCGAPCGGHFS APSGVILSPGWPGYYKDSLNCEWVIEAEPGHSIKITFERFQTELNYDVLEVHDGPNLLSP LLGSYNGTQVPQFLFSSSNFIYLLFTTDNSRSNNGFKIHYESVTVNTYSCLDPGIPVHGR RYGHDFSIGSTVSFSCDPGYRLSHEEPLLCEKNHWWSHPLPTCDALCGGDVRGPSGTILS PGYPEFYPNSLNCTWTVDVTHGKGVQFNFHTFHLEDHHDYLLITENGSFTQPLARLTGSE LPSTINAGLYGNFRAQLRFISDFSISYEGFNITFSEYNLEPCEDPGIPQYGSRVGFSFGV GDTLTFSCSLGYRLEGSSEIICLGGGRRVWSAPLPRCVAECGASATNNEGILLSPNYPLN YENNHECIYSLQVQAGKGINISARTFHLAQGDVLKIYDGKDKTTHLLGAFTGASMRGLTL SSTSNQLWLEFNSDSEGTDEGFQLVYTSFELSHCEDPGIPQFGYKISDQGHFAGSTIIYG CNPGYTLHGSSLLKCMTGERRAWDYPLPSCIAECGGRFKGESSGRILSPGYPFPYDNNLR CMWMIEVDPGNIVSLQFLAFDTEASHDILRVWDGPPENEMLLKEVSGSLIPDGIHSTLNI VTIQFDTDFYISKSGFAIQFSSSVATACRDPGVPMNGTRNGDGREPGDTVVFQCDPGYEL QGQERITCIQVENRYFWQPSPPVCIAPCGGNLTGSSGFILSPNFPHPYPHSRDCDWTISV NTDYVISLAFISFSIEPNYDFLYIYDGPDSNSPLIGSFQDSKLPERIESSSNTMHLAFRS DGSVSYTGFHLEYKAKLRESCFDPGNIMNGTRLGMDYKLGSTVTYYCDAGYVLQGYSTLT CIMGDDGRPGWNRVLPSCHAPCGSRSTGSEGTVLSPNYPKNYSVDHNCVYSIAVPKEFAV PRTSSTQCSSVPEPRFGRRIGNDFAVGSLVLFECNPGYILHGSRAIRCETVPNSLAQWND SLPTCIVPCGGILTKRKGTILSPGYPEPYDNNLNCVWKITVPEGAGIQVQVVSFATEHNW DSLDFYDGGDNNAPRLGSYSGTTIPHLLNSTSNNLYLNFQSDISVSAAGFHLEYTAIGLD SCPEPQTPSSGIKVGDRYMVGDVVSFQCDQGYSLQGHSHITCMPGPVRRWNYPIPICLAQ CGGAMSDFSGVILSPGFPGNYPSSLDCTWTIKLPIGFGVHLQFVNFSTETIHDYLEVRSG SSEISTVIGRLSGPQIPSSLFSTTHETSLYFHSDYSQNKQGFHIVYQAYQLQSCPDPRPF RNGFVIGNDFTVGQTISFECFPGYTLIGNSALTCLHGVSRNWNHPLPRCEALCGGNITAM NGTIYSPGYPDEYPNFQDCFWLVRVPPGNGIYINFTVLQTEPIYDFITVWDGPDQNSPQI GQFSGNTALESVYSTSNQILIKFHSDFTTSGFFVLSYHAYQLRVCQPPPPVPNAEILTED DEFEIGDIIRYQCLPGFTLVGNAILTCRLGERLQMDGAPPVCQVLCPANELRLDSTGVIL SPGYPDSYPNLQMCAWSISVEKGYNISMFVEFFQTEKEFDVLQVYDGPNIQSPVLISLSG DYSAAFNVTSNGHEVFLQWSADHGNNKKGFRIRYIAFYCSTPESPPHGYIISQTGGQLNS VVRWACDRGFRLVGKSSAVCRKSSYGYHSWDAPVPACQAISCGIPKAPTNGGILTTDYLV GTRVTYFCNDGYRLSSKELTTATCQSDGTWSNHNKTPRCVVVTCPSINSFTLDHGRWRIV NGSHYEYKTKVVFSCDPGYHGLGPASIECLPNGTWSWRTERPYCQIISCGELPTPPNGNK IGTQTSYGSTAIFTCDLGFMLVGSAVRECLSSGLWSGSETRCLAGHCGIPELIVNGQVIG ENYGYRDTVVYQCNPGFRLIGSSVRICQQDHNWSGQLPSCVPVSCGHPGSPIYGRTSGNG FNFNDVVTFSCNIGYLMQGPTKAQCQANRQWSHPPPVCKVVNCSDPGIPANSKRESKIEH GNFTYGTVVFYDCNPGYFLFGSSVLICQPNGQWDKPLPECIMIDCGHPGIPPNAVLSGEK YTFGSTVHYSCTGKRSLLGQASRTCQLNGHWSGSQPHCSGDTTGTCGDPGTPGHGSRQES DFRTKSTVRFACDTGYILYGSEERTCLSNGSWTGRQPECKAVQCGNPGTTANGKVFRIDG TTFSSSVIYSCLEGYILSGPSVRQCTANGTWSGSLPNCTIISCGDPGIPANGLRYGDDFV VGQNVSYMCQPGYTIELNGSRVRTCTTNGTWSGVMPTCRAVTCSTPPQISNGRLEGTNFD WGFSISYICSAGYELSFPAVLTCVGNGTWSGEVPQCLPKFCGDPGIPSQGKREGKSFIYQ SEVSFSCNSPFILVGSSTRLCQTDGTWSGSSPHCIEPTRTSCENPGVPRHGSQNNTFGFQ VGSVVQFHCKKGHLLQGSTTRTCLPDLTWSGIQPECIPHSCKQPESPAHANVVGMDLPSH GYTLIYTCQPGFFLAGGTEHRVCRSDNTWTGKVPVCEAGSKILVKDPRPALGTPSPKLSV PDDVFAQNYIWKGSYNFKGRKQPMTLTVTSFNASTGRVNATLSNSDMELLLSGVYKSQEA RLMLHIYLIKVPAHASVKKMKEENWAMDGFVSAEPDGATYVFQGFIKGKDYGQFGLQRLG LNTSEGSNSSNQPHGTNSSSVAIAILVPFFALIFAGFGFYLYKQRTAPKTQYTGCSVHEN NNGQAAFENPMYDTNAKSVEGKAVRFDPNLNTVCTMV >ENSMUSP00000098235.3 pep:known chromosome:GRCm38:15:47580638:48791989:-1 gene:ENSMUSG00000022311.15 transcript:ENSMUST00000100670.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Csmd3 description:CUB and Sushi multiple domains 3 [Source:MGI Symbol;Acc:MGI:2386403] MKGSRKGESRAKESKPREPGTRRCAKCGRLDFILKKKMGIKSGFTFWNLVFLLTLSCVKG FIYTCGGTLKGLNGTIESPGFPYGYPNGANCTWVIIAEERNRIQIVFQSFALEEEYDYLS LYDGHPHPTNFRTRLTGFHLPPPVTSTKSVFSLRLTSDFAVSAHGFKVYYEELQSSSCGN PGVPPKGVLYGTRFDVGDKIRYSCVTGYILDGHPQLTCIANSVNTASWDFPVPICRAEDA CGGTMRGSSGIISSPGFPNEYHNNADCTWTIVAEPGDTISLIFTDFQMEEKYDYLEIEGS EPPTIWLSGMNIPPPIISNKNWLRLHFVTDSNHRYRGFSAPYQGSSPLTLTASIGELEEH IRTATGAIDVASTPADVTVSSVTAVTSHRLSEEQRVQVRSLSDSGLDPNTPEDQLSPHQA DTQSTSRRPRNAEQIERTKELAVVTHRVKKAIDFKSRGFKLFPGKDNSNKFSLLNEGGIK TASNLCPDPGEPENGKRFGSDFSLGSTVQFSCDEDYVLQGAKSITCQRIAEVFAAWSDHR PVCKVKTCGSNLQGPSGTFTSPNFPFQYDSNAQCVWVITAVNTNKVIQINFEEFDLEIGY DTLTIGDGGEVGDPRTVLQVLTGSFVPDLIVSMRSQMWLHLQTDESVGSVGFKVNYKEIE KESCGDPGTPLYGIREGDGFSNRDVLRFECQFGFELIGEKSIVCQENNQWSANIPICIFP CLSNFTAPMGTVLSPDYPEGYGNNLNCIWTIISDPGSRIHLSFNDFDLESQFDFLAVKDG DSPDSPILGTFTGAEVPSHLTSNSHILRLEFQADHSMSGRGFNITYNTFGHNECPDPGIP INARRFGDNFQLGSSISVICEEGFIKTQGTETITCILMDGKVMWSGPIPRCGAPCGGHFS APSGVILSPGWPGYYKDSLNCEWVIEAEPGHSIKITFERFQTELNYDVLEVHDGPNLLSP LLGSYNGTQVPQFLFSSSNFIYLLFTTDNSRSNNGFKIHYESVTVNTYSCLDPGIPVHGR RYGHDFSIGSTVSFSCDPGYRLSHEEPLLCEKNHWWSHPLPTCDALCGGDVRGPSGTILS PGYPEFYPNSLNCTWTVDVTHGKGVQFNFHTFHLEDHHDYLLITENGSFTQPLARLTGSE LPSTINAGLYGNFRAQLRFISDFSISYEGFNITFSEYNLEPCEDPGIPQYGSRVGFSFGV GDTLTFSCSLGYRLEGSSEIICLGGGRRVWSAPLPRCVAECGASATNNEGILLSPNYPLN YENNHECIYSLQVQAGKGINISARTFHLAQGDVLKIYDGKDKTTHLLGAFTGASMRGLTL SSTSNQLWLEFNSDSEGTDEGFQLVYTSFELSHCEDPGIPQFGYKISDQGHFAGSTIIYG CNPGYTLHGSSLLKCMTGERRAWDYPLPSCIAECGGRFKGESSGRILSPGYPFPYDNNLR CMWMIEVDPGNIVSLQFLAFDTEASHDILRVWDGPPENEMLLKEVSGSLIPDGIHSTLNI VTIQFDTDFYISKSGFAIQFSSSVATACRDPGVPMNGTRNGDGREPGDTVVFQCDPGYEL QGQERITCIQVENRYFWQPSPPVCIAPCGGNLTGSSGFILSPNFPHPYPHSRDCDWTISV NTDYVISLAFISFSIEPNYDFLYIYDGPDSNSPLIGSFQDSKLPERIESSSNTMHLAFRS DGSVSYTGFHLEYKAKLRESCFDPGNIMNGTRLGMDYKLGSTVTYYCDAGYVLQGYSTLT CIMGDDGRPGWNRVLPSCHAPCGSRSTGSEGTVLSPNYPKNYSVDHNCVYSIAVPKEFVV FGQFVFFQTSLHDVVEVFDGPTQQSPLLSSLSGSHSGESLPLSSGNQITIRFTSVGPITA KGFHFVYQAVPRTSSTQCSSVPEPRFGRRIGNDFAVGSLVLFECNPGYILHGSRAIRCET VPNSLAQWNDSLPTCIVPCGGILTKRKGTILSPGYPEPYDNNLNCVWKITVPEGAGIQVQ VVSFATEHNWDSLDFYDGGDNNAPRLGSYSGTTIPHLLNSTSNNLYLNFQSDISVSAAGF HLEYTAIGLDSCPEPQTPSSGIKVGDRYMVGDVVSFQCDQGYSLQGHSHITCMPGPVRRW NYPIPICLAQCGGAMSDFSGVILSPGFPGNYPSSLDCTWTIKLPIGFGVHLQFVNFSTET IHDYLEVRSGSSEISTVIGRLSGPQIPSSLFSTTHETSLYFHSDYSQNKQGFHIVYQAYQ LQSCPDPRPFRNGFVIGNDFTVGQTISFECFPGYTLIGNSALTCLHGVSRNWNHPLPRCE ALCGGNITAMNGTIYSPGYPDEYPNFQDCFWLVRVPPGNGIYINFTVLQTEPIYDFITVW DGPDQNSPQIGQFSGNTALESVYSTSNQILIKFHSDFTTSGFFVLSYHAYQLRVCQPPPP VPNAEILTEDDEFEIGDIIRYQCLPGFTLVGNAILTCRLGERLQMDGAPPVCQVLCPANE LRLDSTGVILSPGYPDSYPNLQMCAWSISVEKGYNISMFVEFFQTEKEFDVLQVYDGPNI QSPVLISLSGDYSAAFNVTSNGHEVFLQWSADHGNNKKGFRIRYIAFYCSTPESPPHGYI ISQTGGQLNSVVRWACDRGFRLVGKSSAVCRKSSYGYHSWDAPVPACQAISCGIPKAPTN GGILTTDYLVGTRVTYFCNDGYRLSSKELTTATCQSDGTWSNHNKTPRCVVVTCPSINSF TLDHGRWRIVNGSHYEYKTKVVFSCDPGYHGLGPASIECLPNGTWSWRTERPYCQIISCG ELPTPPNGNKIGTQTSYGSTAIFTCDLGFMLVGSAVRECLSSGLWSGSETRCLAGHCGIP ELIVNGQVIGENYGYRDTVVYQCNPGFRLIGSSVRICQQDHNWSGQLPSCVPVSCGHPGS PIYGRTSGNGFNFNDVVTFSCNIGYLMQGPTKAQCQANRQWSHPPPVCKVVNCSDPGIPA NSKRESKIEHGNFTYGTVVFYDCNPGYFLFGSSVLICQPNGQWDKPLPECIMIDCGHPGI PPNAVLSGEKYTFGSTVHYSCTGKRSLLGQASRTCQLNGHWSGSQPHCSGDTTGTCGDPG TPGHGSRQESDFRTKSTVRFACDTGYILYGSEERTCLSNGSWTGRQPECKAVQCGNPGTT ANGKVFRIDGTTFSSSVIYSCLEGYILSGPSVRQCTANGTWSGSLPNCTIISCGDPGIPA NGLRYGDDFVVGQNVSYMCQPGYTIELNGSRVRTCTTNGTWSGVMPTCRAVTCSTPPQIS NGRLEGTNFDWGFSISYICSAGYELSFPAVLTCVGNGTWSGEVPQCLPKFCGDPGIPSQG KREGKSFIYQSEVSFSCNSPFILVGSSTRLCQTDGTWSGSSPHCIEPTRTSCENPGVPRH GSQNNTFGFQVGSVVQFHCKKGHLLQGSTTRTCLPDLTWSGIQPECIPHSCKQPESPAHA NVVGMDLPSHGYTLIYTCQPGFFLAGGTEHRVCRSDNTWTGKVPVCEAGSKILVKDPRPA LGTPSPKLSVPDDVFAQNYIWKGSYNFKGRKQPMTLTVTSFNASTGRVNATLSNSDMELL LSGVYKSQEARLMLHIYLIKVPAHASVKKMKEENWAMDGFVSAEPDGATYVFQGFIKGKD YGQFGLQRLGLNTSEGSNSSNQPHGTNSSSVAIAILVPFFALIFAGFGFYLYKQRTAPKT QYTGCSVHENNNGQAAFENPMYDTNAKSVEGKAVRFDPNLNTVCTMV >ENSMUSP00000141984.1 pep:known chromosome:GRCm38:3:60472830:60595764:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000195724.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAMLAQQMQLANAMMPGAPLQPV >ENSMUSP00000142074.1 pep:known chromosome:GRCm38:3:60473042:60603894:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000195077.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAVSVTPIRDTKWLTLEVCREFQRGTCSRPDTECKFAHPSKSCQVENGRVIACFDSLKGR CSRENCKYLHPPPHLKTQLEINGRNNLIQQKNMAMLAQQMQLANAMMPGAPLQPVPMFSV APSLATSASAAFNPYLGPVSPSLVPAEILPTAPMLVTGNPGVPVPAAAAAAAQKLMRTDR LE >ENSMUSP00000141536.1 pep:known chromosome:GRCm38:3:60473074:60621458:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000193647.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAMLAQQMQLANAMMPGAPLQPVPMFSVAPSLATSASAAFNPYLGPVSPSLVPAEILPTA PMLVTGNPGVPVPAAAAAAAQKLMRTDRLEVCREYQRGNCNRGENDCRFAHPADSTMIDT NDNTVTVCMDYIKGRCSREKCKYFHPPAHLQAKIKAAQYQVNQAAAAQAAATAAAMTQSA VKSLKRPLEATFDLGIPQAVLPPLPKRPALEKTNGATAVFNTGIFQYQQALANMQLQQHT AFLPPGSILCMTPATSV >ENSMUSP00000141848.1 pep:known chromosome:GRCm38:3:60473077:60595749:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000195001.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAMLAQQMQLANAMMPGA >ENSMUSP00000141915.1 pep:known chromosome:GRCm38:3:60473079:60628200:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000192807.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAMLAQQMQLANAMMPGAPLQPVPMFSVAPSLATSASAAFNPYLGPVSPSLVPAEILPTA PMLVTGNPGVPVPAAAAAAAQKLMRTDRLEVCREYQRGNCNRGENDCRFAHPADSTMIDT NDNTVTVCMDYIKGRCSREKCKYFHPPAHLQAKIKAAQYQVNQAAAAQAAATAAAMGIPQ AVLPPLPKRPALEKTNGATAVFNTGIFQYQQALANMQLQQHTAFLPPGSILCMTPATSVV PMVHGATPATVSAATTSATSVPFAATATANQIPIISAEHLTSHKYVTQM >ENSMUSP00000096686.2 pep:known chromosome:GRCm38:3:60501179:60629750:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000099087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAVSVTPIRDTKWLTLEVCREFQRGTCSRPDTECKFAHPSKSCQVENGRVIACFDSLKGR CSRENCKYLHPPPHLKTQLEINGRNNLIQQKNMAMLAQQMQLANAMMPGAPLQPVPMFSV APSLATSASAAFNPYLGPVSPSLVPAEILPTAPMLVTGNPGVPVPAAAAAAAQKLMRTDR LEVCREYQRGNCNRGENDCRFAHPADSTMIDTNDNTVTVCMDYIKGRCSREKCKYFHPPA HLQAKIKAAQYQVNQAAAAQAAATAAAMGIPQAVLPPLPKRPALEKTNGATAVFNTGIFQ YQQALANMQLQQHTAFLPPGSILCMTPATSVVPMVHGATPATVSAATTSATSVPFAATAT ANQIPIISAEHLTSHKYVTQM >ENSMUSP00000142057.1 pep:known chromosome:GRCm38:3:60501265:60629381:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000191747.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAMLAQQMQLANAMMPGAPLQPVPMFSVAPSLATSASAAFNPYLGPVSPSLVPAEILPTA PMLVTGNPGVPVPAAAAAAAQKLMRTDRLEVCREYQRGNCNRGENDCRFAHPADSTMIDT NDNTVTVCMDYIKGRCSREKCKYFHPPAHLQAKIKAAQYQVNQAAAAQAAATAAAMALAN MQLQQHTAFLPPGSILCMTPATSVVPMVHGATPATVSAATTSATSVPFAATATANQIPII SAEHLTSHKYVTQM >ENSMUSP00000141474.1 pep:known chromosome:GRCm38:3:60501278:60629731:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000194069.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAVSVTPIRDTKWLTLEVCREFQRGTCSRPDTECKFAHPSKSCQVENGRVIACFDSLKGR CSRENCKYLHPPPHLKTQLEINGRNNLIQQKNMAMLAQQMQLANAMMPGAPLQPVPMFSV APSLATSASAAFNPYLGPVSPSLVPAEILPTAPMLVTGNPGVPVPAAAAAAAQKLMRTDR LEVCREYQRGNCNRGENDCRFAHPADSTMIDTNDNTVTVCMDYIKGRCSREKCKYFHPPA HLQAKIKAAQYQVNQAAAAQAAATAAAMTQSAVKSLKRPLEATFDLGIPQAVLPPLPKRP ALEKTNGATAVFNTGIFQYQQALANMQLQQHTAFLPPDTHNICRTSD >ENSMUSP00000141954.1 pep:known chromosome:GRCm38:3:60529031:60629171:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000194201.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAVSVTPIRDTKWLTLEVCREFQRGTCSRPDTECKFAHPSKSCQVENGRVIACFDSLKGR CSRENCKYLHPPPHLKTQLEINGRNNLIQQKNMAMLAQQMQLANAMMPGAPLQPVVCREY QRGNCNRGENDCRFAHPADSTMIDTNDNTVTVCMDYIKGRCSREKCKYFHPPAHLQAKIK AAQYQVNQAAAAQAAATAAAMGIPQAVLPPLPKRPALEKTNGATAVFNTGIFQYQQALAN MQLQQHTAFLPPVPMVHGATPATVSAATTSATSVPFAATATANQIPIISAEHLTSHKYVT QM >ENSMUSP00000141287.1 pep:known chromosome:GRCm38:3:60529031:60629748:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000193517.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAVSVTPIRDTKWLTLEVCREFQRGTCSRPDTECKFAHPSKSCQVENGRVIACFDSLKGR CSRENCKYLHPPPHLKTQLEINGRNNLIQQKNMAMLAQQMQLANAMMPGAPLQPVPMFSV APSLATSASAAFNPYLGPVSPSLVPAEILPTAPMLVTGNPGVPVPAAAAAAAQKLMRTDR LEVCREYQRGNCNRGENDCRFAHPADSTMIDTNDNTVTVCMDYIKGRCSREKCKYFHPPA HLQAKIKAAQYQVNQAAAAQAAATAAAMTQSAVKSLKRPLEATFDLGIPQAVLPPLPKRP ALEKTNGATAVFNTGIFQYQQALANMQLQQHTAFLPPVPMVHGATPATVSAATTSATSVP FAATATANQIPIISAEHLTSHKYVTQM >ENSMUSP00000142095.1 pep:known chromosome:GRCm38:3:60529149:60629380:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000192607.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] MAVSVTPIRDTKWLTLEVCREFQRGTCSRPDTECKFAHPSKSCQVENGRVIACFDSLKGR CSRENCKYLHPPPHLKTQLEINGRNNLIQQKNMAMLAQQMQLANAMMPGAPLQPVPMFSV APSLATSASAAFNPYLGPVSPSLVPAEILPTAPMLVTGNPGVPVPAAAAAAAQKLMRTDR LEVCREYQRGNCNRGENDCRFAHPADSTMIDTNDNTVTVCMDYIKGRCSREKCKYFHPPA HLQAKIKAAQYQVNQAAAAQAAATAAAMGIPQAVLPPLPKRPALEKTNGATAVFNTGIFQ YQQALANMQLQQHTAFLPPGSILCMTPATSVDTHNICRTSD >ENSMUSP00000142045.1 pep:known chromosome:GRCm38:3:60595641:60613402:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000192757.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] XLKTQLEINGRNNLIQQKNMAMLAQQMQLANAMMPGAPLQPVNIMQQSFQKRGGDCISRW EGSPYYTGSDTIYQYCTVEPMFSVAPSLATSASAAFNPYLGPVSPSLVPAEILPTAPMLV TGNPGVPVPAAAAAAAQKLMRTDRLEVCREYQRGNCNRGENDCRFAHPADSTMIDTNDNT VTVCMDYIKGRCS >ENSMUSP00000142088.1 pep:known chromosome:GRCm38:3:60595711:60626795:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000193518.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] QQMQLANAMMPGAPLQPVPMFSVAPSLATSASAAFNPYLGPVSPSLVPAEILPTAPMLVT GNPGVPVPAAAAAAAQKLMRTDRLEVCREYQRGNCNRGENDCRFAHPADSTMIDTNDNTV TVCMDYIKGRCSREKCKYFHPPAHLQAKIKAAQYQVNQAAAAQAAATAAAMTQSAVKSLK RPLEATFDLGIPQAVLPPLPKRPALEKTNGATAVFNTGIFQYQQALANMQLQQHTAFLPP GSILCMTPATSVVPMVHGATPATVSAATTSATSVPFAATATANQIPIISAEHLTSHKYVT QM >ENSMUSP00000141576.1 pep:known chromosome:GRCm38:3:60595711:60627147:1 gene:ENSMUSG00000027763.13 transcript:ENSMUST00000195817.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mbnl1 description:muscleblind-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1928482] QQMQLANAMMPGAPLQPVPMFSVAPSLATSASAAFNPYLGPVSPSLVPAEILPTAPMLVT GNPGVPVPAAAAAAAQKLMRTDRLEVCREYQRGNCNRGENDCRFAHPADSTMIDTNDNTV TVCMDYIKGRCSREKCKYFHPPAHLQAKIKAAQYQVNQAAAAQAAATAAAMALANMQLQQ HTAFLPPGSILCMTPATSVDTHNICRTSD >ENSMUSP00000146675.1 pep:known chromosome:GRCm38:7:30561863:30563087:-1 gene:ENSMUSG00000036835.7 transcript:ENSMUST00000207747.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psenen description:presenilin enhancer 2 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1913590] MNLERVSNEEKLNLCRKYYLGGFAFLPFLWLVNIFWFFREAFLAPAYTEQSQIKGYVWRS AVGFLFWVIILATWITIFQIYRPRWGALGDYLSFTIPLGTP >ENSMUSP00000044682.6 pep:known chromosome:GRCm38:7:30561865:30563241:-1 gene:ENSMUSG00000036835.7 transcript:ENSMUST00000043898.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psenen description:presenilin enhancer 2 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1913590] MNLERVSNEEKLNLCRKYYLGGFAFLPFLWLVNIFWFFREAFLAPAYTEQSQIKGYVWRS AVGFLFWVIILATWITIFQIYRPRWGALGDYLSFTIPLGTP >ENSMUSP00000146499.1 pep:known chromosome:GRCm38:7:30561955:30562931:-1 gene:ENSMUSG00000036835.7 transcript:ENSMUST00000207031.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psenen description:presenilin enhancer 2 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1913590] MNLERVSNEEKLNLCRKYYLDVWRSAVGFLFWVIILATWITIFQIYRPRWGALGDYLSFT IPLGTP >ENSMUSP00000146469.1 pep:known chromosome:GRCm38:7:30562014:30563627:-1 gene:ENSMUSG00000036835.7 transcript:ENSMUST00000208628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psenen description:presenilin enhancer 2 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1913590] MNLERVSNEEKLNLCRKYYLGGFAFLPFLWLVNIFWFFREAFLAPAYTEQSQIKGYVWRS AVGFLFWVIILATWITIFQIYRPRWGALGDYLSFT >ENSMUSP00000147160.1 pep:known chromosome:GRCm38:7:30562367:30563124:-1 gene:ENSMUSG00000036835.7 transcript:ENSMUST00000207797.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psenen description:presenilin enhancer 2 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1913590] MNLERVSNEEKLNLCRKYYLGGFAFLPFLWLVNIFWFFREA >ENSMUSP00000075953.4 pep:known chromosome:GRCm38:7:3617373:3620327:1 gene:ENSMUSG00000035674.13 transcript:ENSMUST00000076657.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa3 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 3 [Source:MGI Symbol;Acc:MGI:1913341] MAGRISAFLKNAWAKEPVLVVSFSVWGLAIIMPMISPYTKYASMINKATPYNYPVPVRDD GNMPDVPSHPQDPLGPSLDWLKNL >ENSMUSP00000104284.1 pep:known chromosome:GRCm38:7:3617386:3620128:1 gene:ENSMUSG00000035674.13 transcript:ENSMUST00000108644.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ndufa3 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 3 [Source:MGI Symbol;Acc:MGI:1913341] MAGRISAFLKNAWAKEPVLVVSFSVWGLAIIMPMISPYTKYASMINKATPYNYPGLWRAA ALSGASFCFAVPVRDDGNMPDVPSHPQDPLGPSLDWLKNL >ENSMUSP00000116988.1 pep:known chromosome:GRCm38:7:3617391:3620163:1 gene:ENSMUSG00000035674.13 transcript:ENSMUST00000148403.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufa3 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 3 [Source:MGI Symbol;Acc:MGI:1913341] MAGSKYRNLCLPQECLGEGAGAGGVLLCLGPRYNYAHD >ENSMUSP00000116828.1 pep:known chromosome:GRCm38:7:3617617:3620085:1 gene:ENSMUSG00000035674.13 transcript:ENSMUST00000134683.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ndufa3 description:NADH dehydrogenase (ubiquinone) 1 alpha subcomplex, 3 [Source:MGI Symbol;Acc:MGI:1913341] XISAFLKNAWAKEPVLVVSFSVWGLASLPPCSGTGLLTVLMH >ENSMUSP00000074259.5 pep:known chromosome:GRCm38:5:18265135:18360355:-1 gene:ENSMUSG00000057614.6 transcript:ENSMUST00000074694.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gnai1 description:guanine nucleotide binding protein (G protein), alpha inhibiting 1 [Source:MGI Symbol;Acc:MGI:95771] MGCTLSAEDKAAVERSKMIDRNLREDGEKAAREVKLLLLGAGESGKSTIVKQMKIIHEAG YSEEECKQYKAVVYSNTIQSIIAIIRAMGRLKIDFGDSARADDARQLFVLAGAAEEGFMT AELAGVIKRLWKDSGVQACFNRSREYQLNDSAAYYLNDLDRIAQPNYIPTQQDVLRTRVK TTGIVETHFTFKDLHFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSDYDLVLAEDEEM NRMHESMKLFDSICNNKWFTDTSIILFLNKKDLFEEKIKKSPLTICYPEYAGSNTYEEAA AYIQCQFEDLNKRKDTKEIYTHFTCATDTKNVQFVFDAVTDVIIKNNLKDCGLF >ENSMUSP00000050446.3 pep:known chromosome:GRCm38:11:48865245:48871374:-1 gene:ENSMUSG00000046879.6 transcript:ENSMUST00000049519.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irgm1 description:immunity-related GTPase family M member 1 [Source:MGI Symbol;Acc:MGI:107567] MKPSHSSCEAAPLLPNMAETHYAPLSSAFPFVTSYQTGSSRLPEVSRSTERALREGKLLE LVYGIKETVATLSQIPVSIFVTGDSGNGMSSFINALRVIGHDEDASAPTGVVRTTKTRTE YSSSHFPNVVLWDLPGLGATAQTVEDYVEEMKFSTCDLFIIIASEQFSSNHVKLSKIIQS MGKRFYIVWTKLDRDLSTSVLSEVRLLQNIQENIRENLQKEKVKYPPVFLVSSLDPLLYD FPKLRDTLHKDLSNIRCCEPLKTLYGTYEKIVGDKVAVWKQRIANESLKNSLGVRDDDNM GECLKVYRLIFGVDDESVQQVAQSMGTVVMEYKDNMKSQNFYTLRREDWKLRLMTCAIVN AFFRLLRFLPCVCCCLRRLRHKRMLFLVAQDTKNILEKILRDSIFPPQI >ENSMUSP00000094870.2 pep:known chromosome:GRCm38:11:48866118:48871420:-1 gene:ENSMUSG00000046879.6 transcript:ENSMUST00000097271.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irgm1 description:immunity-related GTPase family M member 1 [Source:MGI Symbol;Acc:MGI:107567] MAETHYAPLSSAFPFVTSYQTGSSRLPEVSRSTERALREGKLLELVYGIKETVATLSQIP VSIFVTGDSGNGMSSFINALRVIGHDEDASAPTGVVRTTKTRTEYSSSHFPNVVLWDLPG LGATAQTVEDYVEEMKFSTCDLFIIIASEQFSSNHVKLSKIIQSMGKRFYIVWTKLDRDL STSVLSEVRLLQNIQENIRENLQKEKVKYPPVFLVSSLDPLLYDFPKLRDTLHKDLSNIR CCEPLKTLYGTYEKIVGDKVAVWKQRIANESLKNSLGVRDDDNMGECL >ENSMUSP00000042875.4 pep:known chromosome:GRCm38:14:26918988:26971232:-1 gene:ENSMUSG00000040760.10 transcript:ENSMUST00000036570.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Appl1 description:adaptor protein, phosphotyrosine interaction, PH domain and leucine zipper containing 1 [Source:MGI Symbol;Acc:MGI:1920243] MPGIDKLPIEETLEDSPQTRSLLGVFEEDATAISNYMNQLYQAMHRIYDAQNELSAATHL TSKLLKEYEKQRFPLGGDDEVMSSTLQQFSKVIDELSSCHAVLSTQLADAMMFPISQFKE RDLKEILTLKEVFQIASNDHDAAINRYSRLSKKRENDKVKYEVTEDVYTSRKKQHQTMMH YFCALNTLQYKKKIALLEPLLGYMQAQISFFKMGSENLNGQLEEFLANIGTSVQNVRREM DGDVETMQQTIEDLEVASDPLYLPDPDPTKFPINRNLTRKAGYLNARNKTGLVSSTWDRQ FYFTQGGNLMSQARGDVAGGLAMDIDNCSVMAVDCEDRRYCFQITSFDGKKSSILQAESK KDHEEWICTINNISKQIYLSENPEETAARVNQSALEAVTPSPSFQQRHESLRPGGQSRPP TARTSSSGSLGSESTNLAALSLDSLVAPDTPIQFDIISPVCEDQPGQAKAFGQGGRRTNP FGESGGSTKSETEDSILHQLFIVRFLGSMEVKSDDHPDVVYETMRQILAARAIHNIFRMT ESHLLVTCDCLKLIDPQTQVTRLTFPLPCVVLYATHQENKRLFGFVLRTSGGRSESNLSS VCYIFESNNEGEKICDSVGLAKQIALHAELDRRASEKQKEIERVKEKQQKELSKQKQIEK DLEEQSRLIAASSRPNQAGSEGQLVLSSSQSEESDLGEEGKKRESEA >ENSMUSP00000077966.2 pep:known chromosome:GRCm38:11:74206198:74207289:-1 gene:ENSMUSG00000070377.4 transcript:ENSMUST00000078936.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr43 description:olfactory receptor 43 [Source:MGI Symbol;Acc:MGI:1333770] MREENESSTTDFTLLGVTRQREQEYFFFILFLFIYPITVFGNMLIILAIHSDTRLHNPMY FFLANLSLVDIFFSSVTIPKMLANHLLGSKAISFGGCMAQMYFMIGLANTDSYILAAMAY DRAVAISRPLHYATIMSPQLCVLLVAGSWVIANANALPHTLLTARLSFCGNKDVANFYCD ITPLLQLSCSDIRFNVKMMYLGVGVFSVPLLCIIISYVRVFSTVLRVPSTKGFLKALSTC GSHLTVVSLYYGTVMGMYFRPLTSYSLKHALITVMYTAVTPMLNPFIYSLRNRDMKAALK KLFHCPSSSSSLM >ENSMUSP00000145822.1 pep:known chromosome:GRCm38:11:74206598:74211120:-1 gene:ENSMUSG00000070377.4 transcript:ENSMUST00000205664.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr43 description:olfactory receptor 43 [Source:MGI Symbol;Acc:MGI:1333770] MREENESSTTDFTLLGVTRQREQEYFFFILFLFIYPITVFGNMLIILAIHSDTRLHNPMY FFLANLSLVDIFFSSVTIPKMLANHLLGSKAISFGGCMAQMYFMIGLANTDSYILAAMAY DRAVAISRPLHYATIMSPQLCVLLVAGSWVIANANALPHTLLTARLSFCGNKDVANFYCD ITPLLQLSCSDIRFNVKMMYLGVGV >ENSMUSP00000146125.1 pep:known chromosome:GRCm38:11:74206893:74211327:-1 gene:ENSMUSG00000070377.4 transcript:ENSMUST00000206743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr43 description:olfactory receptor 43 [Source:MGI Symbol;Acc:MGI:1333770] MREENESSTTDFTLLGVTRQREQEYFFFILFLFIYPITVFGNMLIILAIHSDTRLHNPMY FFLANLSLVDIFFSSVTIPKMLANHLLGSKAISFGGCMAQMYFMIGL >ENSMUSP00000146002.1 pep:known chromosome:GRCm38:11:74207000:74211379:-1 gene:ENSMUSG00000070377.4 transcript:ENSMUST00000206870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr43 description:olfactory receptor 43 [Source:MGI Symbol;Acc:MGI:1333770] MREENESSTTDFTLLGVTRQREQEYFFFILFLFIYPITVFGNMLIILAIHSDTRLHNPMY FFLANLSLVDI >ENSMUSP00000084494.5 pep:known chromosome:GRCm38:5:67607882:67613992:1 gene:ENSMUSG00000050010.8 transcript:ENSMUST00000087241.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa3 description:shisa family member 3 [Source:MGI Symbol;Acc:MGI:3041225] MGALLAFCLLVGLLRWGPAGAQQPGEYCHGWVDAQGNYHEGFQCPEDFDTQDATICCGSC ALRYCCAAADARLEQGGCTNDRGELEHPGITAQPVYVPFLIVGSIFIAFIILGSLVAIYC CTCLRPKEPSQQPIRFSLRSYQTETLPMILTSTSLRAASRQSSTATSSSSTGGSVRRFSF ARAEPSCLVPSSPPPYTTGHTIHLTQPSGFLVSPQYFAYPLQQEPPLPGKSCPDFSSS >ENSMUSP00000138599.1 pep:known chromosome:GRCm38:5:67607969:67623552:1 gene:ENSMUSG00000050010.8 transcript:ENSMUST00000142843.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Shisa3 description:shisa family member 3 [Source:MGI Symbol;Acc:MGI:3041225] MGALLAFCLLVGLLRWGPAGAQQPGEYCHGWVDAQGNYHEGFQCPEDFDTQDATICCGSC ALRYCCAAADARLEQGGCTNDRGELEHPGITAREFMEMPN >ENSMUSP00000079929.5 pep:known chromosome:GRCm38:14:54852736:54860490:-1 gene:ENSMUSG00000057156.10 transcript:ENSMUST00000081162.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homez description:homeodomain leucine zipper-encoding gene [Source:MGI Symbol;Acc:MGI:2678023] MSPNKDASSLNSSAAGLVCLPPVSEELQLVWTQAIQTSELDGNEHLLQAFSYFPYPSLAD IALLCLRHGLQMEKVKTWFMAQRLRCGISWSSEEIEETRARVVYHRDQLLFKSLLSFTQQ SVRPPQERPPVLRPEQVALGLSPLAPSEQPTHMKGLKVEPEEPSQVSQLPLNHQNAKEPL MMGSRTFSHQSDCQDLQISGLSKEQAGRGPDQSCGKTASWNHFTAVHQPDKPASVSLLDN SCKEESEPSGIPPSSSTSSPSFQALANGTTATPKPLQPLGCISQSLSPSKKALSPQVEPL WPQRLWNNSEPNSAGPTEYLSPDMQHQRKTKRKTKEQLAILKSFFLQCQWARREDYHKLE QITGLPRPEIIQWFGDTRYALKHGQLKWFRDNAVLGTPSFQDPAIPTPSTRSLKEWAKTP PLPAPPPPPDIRPLEKYWAAHQQLQEADILKLSQASRLSTQQVLDWFDSRLPKPAEVVVC LDEEDEEDEEDELPEDGEEEEEEEEDDDDGDDDVIIWD >ENSMUSP00000117828.1 pep:known chromosome:GRCm38:14:54853787:54864158:-1 gene:ENSMUSG00000057156.10 transcript:ENSMUST00000142283.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homez description:homeodomain leucine zipper-encoding gene [Source:MGI Symbol;Acc:MGI:2678023] MSPNKDASSLNSSAAGLVCLPPVSEELQLVWTQAIQTSELDGNEHLLQAFSYFPYPSLAD IALLCLRHGLQMEKVKTWFMAQRLRCGISWSSEEIEETRARVVYHRDQLLFKSLLSFTQQ SVRPPQERPPVLRPEQVALGLSPLAPSEQPTHMKGLKVEPEEPSQVSQLPLNHQNAKEPL MMGSRTFSHQSDCQDLQISGLSKEQAGRGPDQSCGKTASWNHFTAVHQPDKPASVSLLDN SCKEESEPSGIPPSSSTSSPSFQALANGTTATPKPLQPLGCISQSLSPSKKALSPQVEPL WPQRLWNNSEPNSAGPTEYLSPDMQHQRKTKRKTKEQLAILKSFFLQCQWARREDYHKLE QITGLPRPEIIQWFGDTRYALKHGQLKWFRDNAVLGTPSFQDPAIPTPSTRSLKEWAKTP PLPAPPPPPDIRPLEKYWAAHQQLQEADILKLSQASRLSTQQVLDWFDSRLPKPAEVVVC LDEEDEEDEEDELPEDGEEEEEEEEDDDDGDDDVIIWD >ENSMUSP00000135648.1 pep:known chromosome:GRCm38:14:54855551:54857196:-1 gene:ENSMUSG00000057156.10 transcript:ENSMUST00000176259.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homez description:homeodomain leucine zipper-encoding gene [Source:MGI Symbol;Acc:MGI:2678023] RREDYHKLEQITGLPRPEIIQWFGDTRYALKHGQLKWFRDNAVLGTPSFQDPAIPTPSTR SLKEWAKTPPLPAPPPPPDIRPLEKYWAAHQQLQEADILKLSQASRLSTQQVLDWFDSRL PKPAEVVVCLDEEDEEDEEDELPEDGSVLWTEGPWSSNSMMF >ENSMUSP00000114619.1 pep:known chromosome:GRCm38:14:54857908:54870961:-1 gene:ENSMUSG00000057156.10 transcript:ENSMUST00000146642.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Homez description:homeodomain leucine zipper-encoding gene [Source:MGI Symbol;Acc:MGI:2678023] MSPNKDASSLNSSAAGLVCLPPVSEELQLVWTQAIQTSELDGNEHLLQAFSYFPYPSLAD IALLCLRHGLQMEKVKTWFMAQRLRCGISWSSEEIEETRARVVYHRDQLLFKSL >ENSMUSP00000128886.1 pep:known chromosome:GRCm38:7:30563344:30567958:1 gene:ENSMUSG00000109378.1 transcript:ENSMUST00000167042.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQ TADGSHCHVSDVEVQEHYDNFFEEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKFRRE EDAERAVAELNNRWFNGQAVHAELSPVTDFRESCCRQYEMGECTRGGFCNFMHLRPISRN LRRQLYGRGPRHRSPPRSHTGHRPRERNRRRSPDHRHGRF >ENSMUSP00000103796.2 pep:known chromosome:GRCm38:7:30563350:30567960:1 gene:ENSMUSG00000109378.1 transcript:ENSMUST00000108161.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQ TADGSHCHVSDVEVQEHYDNFFEEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKVPVA PCLEPRSGNISVPMATTETSQPEDYR >ENSMUSP00000130983.1 pep:known chromosome:GRCm38:7:30563355:30567955:1 gene:ENSMUSG00000109378.1 transcript:ENSMUST00000171912.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:U2af1l4 description:U2 small nuclear RNA auxiliary factor 1-like 4 [Source:MGI Symbol;Acc:MGI:2678374] MAEYLASIFGTEKDKVNCSFYFKIGACRHGDRCSRLHNKPTFSQTIVLLNLYRNPQNTAQ TADGSHCHVSDVEVQEHYDNFFEEVFTELQEKYGEIEEMNVCDNLGDHLVGNVYVKFRRE EDAERAVAELNNRWFNGQAVHAELSPVTDFRESCCRQYEMGECTRGGFCNFMHLRPISRN LRRQLYGRGPRHRSPPRSHTGHRPRERNRRRSPDHRHGRF >ENSMUSP00000023602.5 pep:known chromosome:GRCm38:13:27801654:27808729:1 gene:ENSMUSG00000022886.5 transcript:ENSMUST00000023602.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2a1 description:prolactin family 2, subfamily a, member 1 [Source:MGI Symbol;Acc:MGI:1861446] MQLSVTHPCCRTLILLLVSNLLLWESEAVLPICSVRNGRCFGSFEELLERAVSLSEEISK KAFELFTAFDSQYAQSHQLIVKSLKKCHTSSLDLPKPGSQAMQTHPVTLLKLASKLLRAW QVPLNHLVNNLPSLKNVSPSILSKAKEIEEKSNGLLEGVKSILIQMQNGDTEDENYPGWS GLASLKSETEDIRLFAYYNMIRCEGRDTQKVETALKMVKCKISNENNC >ENSMUSP00000125448.1 pep:known chromosome:GRCm38:13:49036303:49148012:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000162581.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] MDGDGGRRDAPGALMEAGRGTGSAGMAEPRARAARLGPQRFLRRSVVESDQEEPPGLEAA ETPSAQPPQPLQRRVLLLCKTRRLIAERARGRPAAPAPAAPAAPPGSPSVPSDPGPERAG TQEPSPDPTTASAAAAQVPDGGPRQEEAPAPTQEDAGTTEAKPEPGRARKDEPEEEEDDE DDLKAVATSLDGRFLKFDIELGRGSFKTVYKGLDTETWVEVAWCELQDRKLTKLERQRFK EEAEMLKGLQHPNIVRFYDFWESSAKGKRCIVLVTELMTSGTLKTYLKRFKVMKPKVLRS WCRQILKGLLFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGT PEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTCGIKPASFEK VHDPEIKEIIGECICKNKEERYEIKDLLSHAFFAEDTGVRVELAEEDHGRKSTIALRLWV EDPKKLKGKPKDNGAIEFTFDLEKETPDEVAQEMIDSGFFHESDVKIVAKSIRDRVALIQ WRRERIWPALQSQEPKDSGSPDKARGLPAPLQVQVTYHAQSGQPGQPEPEEPEADQHLLP PTLPASVTSLASDSTFDSGQGSTVYSDSQSSQQSMVLSSLVDTAPTPASCVCSPPVSEGP GLTHSLPTLGAFQQPATVPGLSVGPVPPPARPPLLQQHFPESSMSFTPVLPPPSTPVPTG PSQPAPPVQQPLPMAQPPTLPQVLAPQPMGTVQPVPSHLPPYLAPTSQVVAPAQLKPLQM PQPPLQPLAQVPPQMPQMPVVPPITPLTGLDGLPQTLTDLPAANVAPVPPPQYFSPAVIL PSLTTPLPTSPALPMQAVKLPHPPGTPLAVPCQTIVPNAPAAIPLLAVAPQGVAALSIHP AVAQIPAQPVYPAAFPQMVPGDIPPSPHHTVQSLRATPPQLASPVPPQPVQPSVIHLPEQ AAPTAASGTQVLLGHPPSYTADVAAPVSAVSLPPAVLSPPLPDTLLPTVPDLLPKVPSSL APTVVAASQSAPAQTSSLLLPTNPPLPTGPAVAGPCPAVQLMVEVAQEEQVSQDKPPGPP QSSESFGGSDVTSGRDLSDSCEGTFGGGRLEGRTARKHHRRSTRARSRQERASRPRLTIL NVCNTGDKMVECQLETHNHKMVTFKFDLDGDAPDEIATYMVEHDFILPAERETFIEQMKD VMDKAEDMLSEDTDADHGSDTGTSPPHLGTCGLATGEENRQSQANAPVYQQNVLHTGKRW FIICPVAEHPATDTSESSPPLPLSSLQPEASQDPAPYPDQLSLTDKPSFPAAQQLLSQAG SSNPPGGASAPLAPSSPPVTTVIPAAPATSTVPESAAGTAMQAGGPGTHQGPASVHETLQ PLAETRSAQCTAQPLSTGQGPCTPALEASRCSTGLGEPISTREVSTQGEPLPASVPEPSP PTGATQSVPGQPPPPLPITVGAISLAAPQLPSPPLGPTAPPPPPSALESDGEGPPPRVGF VDNTIKSLDEKLRTLLYQEHVPTSSASAGTPMEASDRDFTLEPLRGDLPSALSDKTPSLT QQTQPSLEKSETAPAGWALAQREQGASSPMTAESSSSNTLGCDSDAGQVASDSSTAPSVP QDASGSSVPTHMDPKDQNSSVPREALAAPMQSGPGSFTVGSPAQLRGARDSGSPHKRPGQ QDNSSPAKTVGRFSVVSTQDEWTLASPHSLRYSAPPDVYLDEIPSSPEVKLAVRRVQTAS SIEVGVEEPASSDSGDERPRRRSQVQKQSSLPGTGGVASDFVKKATAFLHRSSRAGSLGP ETPSRAGVKVPTISITSFHSQSSYISSDNDSEFEDADIKKELRSLREKHLKEISELQSQQ KQEIEALYRRLGKPLPPNVGFFHTAPPMGRRRKTSKSKLKAGKLLNPLVQQLKVVASSTG SALKRLCLGKEHSSSLYDSPGSSTSSLAPGPEPGPQPTLHVQAQVNNSNNKKGTFTDDLH KLVDEWTTKTVGAAQVKPTLNQLKQTQKLHDMEASGDARATSVPRAAVGASCLAPAPGPL STTATPGATPALPVPIPDPESEKPD >ENSMUSP00000125539.1 pep:known chromosome:GRCm38:13:49036309:49147232:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000162403.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] MDGDGGRRDAPGALMEAGRGTGSAGMAEPRARAARLGPQRFLRRSVVESDQEEPPGLEAA ETPSAQPPQPLQRRVLLLCKTRRLIAERARGRPAAPAPAAPAAPPGSPSVPSDPGPERAG TQEPSPDPTTASAAAAQVPDGGPRQEEAPAPTQEDAGTTEAKPEPGRARKDEPEEEEDDE DDLKAVATSLDGRFLKFDIELGRGSFKTVYKGLDTETWVEVAWCELQDRKLTKLERQRFK EEAEMLKGLQHPNIVRFYDFWESSAKGKRCIVLVTELMTSGTLKTYLKRFKVMKPKVLRS WCRQILKGLLFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGT PEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTCGIKPASFEK VHDPEIKEIIGECICKNKEERYEIKDLLSHAFFAEDTGVRVELAEEDHGRKSTIALRLWV EDPKKLKGKPKDNGAIEFTFDLEKETPDEVAQEMIDSGFFHESDVKIVAKSIRDRVALIQ WRRERIWPALQSQEPKDSGSPDKARGLPAPLQVQVTYHAQSGQPGQPEPEEPEADQHLLP PTLPASVTSLASDSTFDSGQGSTVYSDSQSSQQSMVLSSLVDTAPTPASCVCSPPVSEGP GLTHSLPTLGAFQQPATVPGLSVGPVPPPARPPLLQQHFPESSMSFTPVLPPPSTPVPTG PSQPAPPVQQVLAPQPMGTVQPVPSHLPPYLAPTSQVVAPAQLKPLQMPQPPLQPLAQVP PQMPQMPVVPPITPLTGLDGLPQTLTDLPAANVAPVPPPQYFSPAVILPSLTTPLPTSPA LPMQAVKLPHPPGTPLAVPCQTIVPNAPAAIPLLAVAPQGVAALSIHPAVAQIPAQPVYP AAFPQMVPGDIPPSPHHTVQSLRATPPQLASPVPPQPVQPSVIHLPEQAAPTAASGTQEQ VSQDKPPGPPQSSESFGGSDVTSGRDLSDSCEGTFGGGRLEGRTARKHHRRSTRARSRQE RASRPRLTILNVCNTGDKMVECQLETHNHKMVTFKFDLDGDAPDEIATYMVEHDFILPAE RETFIEQMKDVMDKAEDMLSEDTDADHGSDTGTSPPHLGTCGLATGEENRQSQANAPVYQ QNVLHTGKRWFIICPVAEHPATDTSESSPPLPLSSLQPEASQDPAPYPDQLSLTDKPSFP AAQQLLSQAGSSNPPGGASAPLAPSSPPVTTVIPAAPATSTVPESAAGTAMQAGGPGTHQ GPASVHETLQPLAETRSAQCTAQPLSTGQGPCTPALEASRCSTGLGEPISTREVSTQGEP LPASVPEPSPPTGATQSVPGQPPPPLPITVGAISLAAPQLPSPPLGPTAPPPPPSALESD GEGPPPRVGFVDNTIKSLDEKLRTLLYQEHVPTSSASAGTPMEASDRDFTLEPLRGDLPS ALSDKTPSLTQQTQPSLEKSETAPAGWALAQREQGASSPMTAESSSSNTLGCDSDAGQVA SDSSTAPSVPQDASGSSVPTHMDPKDQNSSVPREALAAPMQSGPGSFTVGSPAQLRGARD SGSPHKRPGQQDNSSPAKTVGRFSVVSTQDEWTLASPHSLRYSAPPDVYLDEIPSSPEVK LAVRRVQTASSIEVGVEEPASSDSGDERPRRRSQVQKQSSLPGTGGVASDFVKKATAFLH RSSRAGSLGPETPSRAGVKVPTISITSFHSQSSYISSDNDSEFEDADIKKELRSLREKHL KEISELQSQQKQEIEALYRRLGKPLPPNVGFFHTAPPMGRRRKTSKSKLKAGKLLNPLVQ QLKVVASSTGHLSDSSRGPPTKDPAHASTPPGTKAVQTQQPCSVRASLSTDICSGLASDG GGARGQGSSTSSLAPGPEPGPQPTLHVQAQVNNSNNKKGTFTDDLHKLVDEWTTKTVGAA QVKPTLNQLKQTQKLHDMEASGDARATSVPRAAVGASCLAPAPGPLSTTATPGATPALPV PIPDPESEKPD >ENSMUSP00000123915.1 pep:known chromosome:GRCm38:13:49036310:49147232:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000159559.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] MDGDGGRRDAPGALMEAGRGTGSAGMAEPRARAARLGPQRFLRRSVVESDQEEPPGLEAA ETPSAQPPQPLQRRVLLLCKTRRLIAERARGRPAAPAPAAPAAPPGSPSVPSDPGPERAG TQEPSPDPTTASAAAAQVPDGGPRQEEAPAPTQEDAGTTEAKPEPGRARKDEPEEEEDDE DDLKAVATSLDGRFLKFDIELGRGSFKTVYKGLDTETWVEVAWCELQDRKLTKLERQRFK EEAEMLKGLQHPNIVRFYDFWESSAKGKRCIVLVTELMTSGTLKTYLKRFKVMKPKVLRS WCRQILKGLLFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGT PEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTCGIKPASFEK VHDPEIKEIIGECICKNKEERYEIKDLLSHAFFAEDTGVRVELAEEDHGRKSTIALRLWV EDPKKLKGKPKDNGAIEFTFDLEKETPDEVAQEMIDSGFFHESDVKIVAKSIRDRVALIQ WRRERIWPALQSQEPKDSGSPDKARGLPAPLQVQVTYHAQSGQPGQPEPEEPEADQHLLP PTLPASVTSLASDSTFDSGQGSTVYSDSQSSQQSMVLSSLVDTAPTPASCVCSPPVSEGP GLTHSLPTLGAFQQPATVPGLSVGPVPPPARPPLLQQHFPESSMSFTPVLPPPSTPVPTG PSQPAPPVQQVLAPQPMGTVQPVPSHLPPYLAPTSQVVAPAQLKPLQMPQPPLQPLAQVP PQMPQMPVVPPITPLTGLDGLPQTLTDLPAANVAPVPPPQYFSPAVILPSLTTPLPTSPA LPMQAVKLPHPPGTPLAVPCQTIVPNAPAAIPLLAVAPQGVAALSIHPAVAQIPAQPVYP AAFPQMVPGDIPPSPHHTVQSLRATPPQLASPVPPQPVQPSVIHLPEQAAPTAASGTQVL LGHPPSYTADVAAPVSAVSLPPAVLSPPLPDTLLPTVPDLLPKVPSSLAPTVVAASQSAP AQTSSLLLPTNPPLPTGPAVAGPCPAVQLMVEVAQEEQVSQDKPPGPPQSSESFGGSDVT SGRDLSDSCEGTFGGGRLEGRTARKHHRRSTRARSRQERASRPRLTILNVCNTGDKMVEC QLETHNHKMVTFKFDLDGDAPDEIATYMVEHDFILPAERETFIEQMKDVMDKAEDMLSED TDADHGSDTGTSPPHLGTCGLATGEENRQSQANAPVYQQNVLHTGKRWFIICPVAEHPAT DTSESSPPLPLSSLQPEASQDPAPYPDQLSLTDKPSFPAAQQLLSQAGSSNPPGGASAPL APSSPPVTTVIPAAPATSTVPESAAGTAMQAGGPGTHQGPASVHETLQPLAETRSAQCTA QPLSTGQGPCTPALEASRCSTGLGEPISTREVSTQGEPLPASVPEPSPPTGATQSVPGQP PPPLPITVGAISLAAPQLPSPPLGPTAPPPPPSALESDGEGPPPRVGFVDNTIKSLDEKL RTLLYQEHVPTSSASAGTPMEASDRDFTLEPLRGDLPSALSDKTPSLTQQTQPSLEKSET APAGWALAQREQGASSPMTAESSSSNTLGCDSDAGQVASDSSTAPSVPQDASGSSVPTHM DPKDQNSSVPREALAAPMQSGPGSFTVGSPAQLRGARDSGSPHKRPGQQDNSSPAKTVGR FSVVSTQDEWTLASPHSLRYSAPPDVYLDEIPSSPEVKLAVRRVQTASSIEVGVEEPASS DSGDERPRRRSQVQKQSSLPGTGGVASDFVKKATAFLHRSSRAGSLGPETPSRAGVKVPT ISITSFHSQSSYISSDNDSEFEDADIKKELRSLREKHLKEISELQSQQKQEIEALYRRLG KPLPPNVGFFHTAPPMGRRRKTSKSKLKAGKLLNPLVQQLKVVASSTGHLSDSSRGPPTK DPAHASTPPGTKAVQTQQPCSVRASLSTDICSGLASDGGGARGQGWTVYHPTSERGAYKS SSKPRARFLSGPVSVSIWSALKRLCLGKEHSSRSSTSSLAPGPEPGPQPTLHVQAQVNNS NNKKGTFTDDLHKLVDEWTTKTVGAAQVKPTLNQLKQTQKLHDMEASGDARATSVPRAAV GASCLAPAPGPLSTTATPGATPALPVPIPDPESEKPD >ENSMUSP00000124598.1 pep:known chromosome:GRCm38:13:49037609:49060883:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000159196.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] KSLDEKLRTLLYQEHVPTSSASAGTPMEASDRDFTLEPLRGDLPSALSDKTPSLTQQTQP SLEKSETAPAGWALAQREQGASSPMTAESSSSNTLGCDSDAGQVASDSSTAPSVPQDASG SSVPTHMDPKDQNSSVPREALAAPMQSGPGSFTVGSPAQLRGARDSGSPHKRPGQQDNSS PAKTVGRFSVVSTQDEWTLASPHSLRYSAPPDVYLDEIPSSPEVKLAVRRVQTASSIEVG VEEPASSDSGDERPRRRSQVQKQSSLPGTGGVASDFVKKATAFLHRSSRAGSLGPETPSR AGVKVPTISITSFHSQSSYISSDNDSEFEDADIKKELRSLREKHLKEISELQSQQKQEIE ALYRRLGKPLPPNVGFFHTAPPMGRRRKTSKSKLKAGKLLNPLVQQLKVVASSTGHLSDS SRGPPTKDPAHASTPPGTKAVQTQQPCSVRASLSTDICSGLASDGGGARGQGWTVYHPTS ERGAYKSSSKPRARFLSGPVSVSIWSALKRLCLGKEHSSRSSTSSLAPGPEPGPQPTLHV QAQVNNSNNKKGTFTDDLHKLVDEWTTKTVGAAQVKPTLNQLKQTQKLHDMEASGDARAT SVPRAAVGASCLAPAPGPLSTTATPGATPALPVPIPGTVHSSLSGPPCTLPLCQYGGLLP DPVSWGPWVASGNPEGSWGSQSPTQVPVFPVFLRPPVISTPGPRLHIT >ENSMUSP00000124614.1 pep:known chromosome:GRCm38:13:49037620:49078063:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000160087.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] RRYLWPIFCSPQGWGTRPWTRPVHACLQGLLAREMPQMPVVPPITPLTGLDGLPQTLTDL PAANVAPVPPPQYFSPAVILPSLTTPLPTSPALPMQAVKLPHPPGTPLAVPCQTIVPNAP AAIPLLAVAPQGVAALSIHPAVAQIPAQPVYPAAFPQMVPGDIPPSPHHTVQSLRATPPQ LASPVPPQPVQPSVIHLPEQAAPTAASGTQEQVSQDKPPGPPQSSESFGGSDVTSGRDLS DSCEGTFGGGRLEGRTARKHHRRSTRARSRQERASRPRLTILNVCNTGDKMVECQLETHN HKMVTFKFDLDGDAPDEIATYMVEHDFILPAERETFIEQMKDVMDKAEDMLSEDTDADHG SDTGTSPPHLGTCGLATGEENRQSQANAPVYQQNVLHTGKRWFIICPVAEHPATDTSESS PPLPLSSLQPEASQDPAPYPDQLSLTDKPSFPAAQQLLSQAGSSNPPGGASAPLAPSSPP VTTVIPAAPATSTVPESAAGTAMQAGGPGTHQGPASVHETLQPLAETRSAQCTAQPLSTG QGPCTPALEASRCSTGLGEPISTREVSTQGEPLPASVPEPSPPTGATQSVPGQPPPPLPI TVGAISLAAPQLPSPPLGPTAPPPPPSALESDGEGPPPRVGFVDNTIKSLDEKLRTLLYQ EHVPTSSASAGTPMEASDRDFTLEPLRGDLPSALSDKTPSLTQQTQPSLEKSETAPAGWA LAQREQGASSPMTESSSSNTLGCDSDAGQVASDSSTAPSVPQDASGSSVPTHMDPKDQNS SVPREALAAPMQSGPGSFTVGSPAQLRGARDSGSPHKRPGQQDNSSPAKTVGRFSVVSTQ DEWTLASPHSLRYSAPPDVYLDEIPSSPEVKLAVRRVQTASSIEVGVEEPASSDSGDERP RRRSQVQKQSSLPGTGGVASDFVKKATAFLHRSSRAGSLGPETPSRAGVKVPTISITSFH SQSSYISSDNDSEFEDADIKKELRSLREKHLKEISELQSQQKQEIEALYRRLGKPLPPNV GFFHTAPPMGRRRKTSKSKLKAGKLLNPLVQQLKVVASSTGHLSDSSRGPPTKDPAHAST PPGTKAVQTQQPCSVRASLSTDICSGLASDGGGARGQGWTVYHPTSERGAYKSSSKPRAR FLSGPVSVSIWSALKRLCLGKEHSSRSSTSSLAPGPEPGPQPTLHVQAQVNNSNNKKGTF TDDLHKLVDEWTTKTVGAAQVKPTLNQLKQTQKLHDMEASGDARATSVPRAAVGASCLAP APGPLSTTATPGATPALPVPIPEACALPTPPCKFLSRPSSGQPTEGRSISGGFHDTCPGG ERGDENSLTPSG >ENSMUSP00000125522.1 pep:known chromosome:GRCm38:13:49061329:49071015:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000159633.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] XSTRARSRQERASRPRLTILNVCNTGDKMVECQLETHNHKMVTFKFDLDGDAPDEIATYM VEHDFILPAERETFIEQMKDVMDKAEDMLSEDTDADHGSDTGTSPPHLGEQTVPSQCPCL PAECLTHGKEVVYHLSSG >ENSMUSP00000105724.2 pep:known chromosome:GRCm38:13:49036303:49148014:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000110097.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] MDGDGGRRDAPGALMEAGRGTGSAGMAEPRARAARLGPQRFLRRSVVESDQEEPPGLEAA ETPSAQPPQPLQRRVLLLCKTRRLIAERARGRPAAPAPAAPAAPPGSPSVPSDPGPERAG TQEPSPDPTTASAAAAQVPDGGPRQEEAPAPTQEDAGTTEAKPEPGRARKDEPEEEEDDE DDLKAVATSLDGRFLKFDIELGRGSFKTVYKGLDTETWVEVAWCELQDRKLTKLERQRFK EEAEMLKGLQHPNIVRFYDFWESSAKGKRCIVLVTELMTSGTLKTYLKRFKVMKPKVLRS WCRQILKGLLFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGT PEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTCGIKPASFEK VHDPEIKEIIGECICKNKEERYEIKDLLSHAFFAEDTGVRVELAEEDHGRKSTIALRLWV EDPKKLKGKPKDNGAIEFTFDLEKETPDEVAQEMIDSGFFHESDVKIVAKSIRDRVALIQ WRRERIWPALQSQEPKDSGSPDKARGLPAPLQVQVTYHAQSGQPGQPEPEEPEADQHLLP PTLPASVTSLASDSTFDSGQGSTVYSDSQSSQQSMVLSSLVDTAPTPASCVCSPPVSEGP GLTHSLPTLGAFQQPATVPGLSVGPVPPPARPPLLQQHFPESSMSFTPVLPPPSTPVPTG PSQPAPPVQQPLPMAQPPTLPQVLAPQPMGTVQPVPSHLPPYLAPTSQVVAPAQLKPLQM PQPPLQPLAQVPPQMPQMPVVPPITPLTGLDGLPQTLTDLPAANVAPVPPPQYFSPAVIL PSLTTPLPTSPALPMQAVKLPHPPGTPLAVPCQTIVPNAPAAIPLLAVAPQGVAALSIHP AVAQIPAQPVYPAAFPQMVPGDIPPSPHHTVQSLRATPPQLASPVPPQPVQPSVIHLPEQ AAPTAASGTQVLLGHPPSYTADVAAPVSAVSLPPAVLSPPLPDTLLPTVPDLLPKVPSSL APTVVAASQSAPAQTSSLLLPTNPPLPTGPAVAGPCPAVQLMVEVAQEEQVSQDKPPGPP QSSESFGGSDVTSGRDLSDSCEGTFGGGRLEGRTARKHHRRSTRARSRQERASRPRLTIL NVCNTGDKMVECQLETHNHKMVTFKFDLDGDAPDEIATYMVEHDFILPAERETFIEQMKD VMDKAEDMLSEDTDADHGSDTGTSPPHLGTCGLATGEENRQSQANAPVYQQNVLHTGKRW FIICPVAEHPATDTSESSPPLPLSSLQPEASQDPAPYPDQLSLTDKPSFPAAQQLLSQAG SSNPPGGASAPLAPSSPPVTTVIPAAPATSTVPESAAGTAMQAGGPGTHQGPASVHETLQ PLAETRSAQCTAQPLSTGQGPCTPALEASRCSTGLGEPISTREVSTQGEPLPASVPEPSP PTGATQSVPGQPPPPLPITVGAISLAAPQLPSPPLGPTAPPPPPSALESDGEGPPPRVGF VDNTIKSLDEKLRTLLYQEHVPTSSASAGTPMEASDRDFTLEPLRGDLPSALSDKTPSLT QQTQPSLEKSETAPAGWALAQREQGASSPMTAESSSSNTLGCDSDAGQVASDSSTAPSVP QDASGSSVPTHMDPKDQNSSVPREALAAPMQSGPGSFTVGSPAQLRGARDSGSPHKRPGQ QDNSSPAKTVGRFSVVSTQDEWTLASPHSLRYSAPPDVYLDEIPSSPEVKLAVRRVQTAS SIEVGVEEPASSDSGDERPRRRSQVQKQSSLPGTGGVASDFVKKATAFLHRSSRAGSLGP ETPSRAGVKVPTISITSFHSQSSYISSDNDSEFEDADIKKELRSLREKHLKEISELQSQQ KQEIEALYRRLGKPLPPNVGFFHTAPPMGRRRKTSKSKLKAGKLLNPLVQQLKVVASSTG HLSDSSRGPPTKDPAHASTPPGTKAVQTQQPCSVRASLSTDICSGLASDGGGARGQGWTV YHPTSERGAYKSSSKPRARFLSGPVSVSIWSALKRLCLGKEHSSRSSTSSLAPGPEPGPQ PTLHVQAQVNNSNNKKGTFTDDLHKLVDEWTTKTVGAAQVKPTLNQLKQTQKLHDMEASG DARATSVPRAAVGASCLAPAPGPLSTTATPGATPALPVPIPDPESEKPD >ENSMUSP00000089212.3 pep:known chromosome:GRCm38:13:49038874:49148014:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000091623.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] MDGDGGRRDAPGALMEAGRGTGSAGMAEPRARAARLGPQRFLRRSVVESDQEEPPGLEAA ETPSAQPPQPLQRRVLLLCKTRRLIAERARGRPAAPAPAAPAAPPGSPSVPSDPGPERAG TQEPSPDPTTASAAAAQVPDGGPRQEEAPAPTQEDAGTTEAKPEPGRARKDEPEEEEDDE DDLKAVATSLDGRFLKFDIELGRGSFKTVYKGLDTETWVEVAWCELQDRKLTKLERQRFK EEAEMLKGLQHPNIVRFYDFWESSAKGKRCIVLVTELMTSGTLKTYLKRFKVMKPKVLRS WCRQILKGLLFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGT PEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTCGIKPASFEK VHDPEIKEIIGECICKNKEERYEIKDLLSHAFFAEDTGVRVELAEEDHGRKSTIALRLWV EDPKKLKGKPKDNGAIEFTFDLEKETPDEVAQEMIDSGFFHESDVKIVAKSIRDRVALIQ WRRERIWPALQSQEPKDSGSPDKARGLPAPLQVQVTYHAQSGQPGQPEPEEPEADQHLLP PTLPASVTSLASDSTFDSGQGSTVYSDSQSSQQSMVLSSLVDTAPTPASCVCSPPVSEGP GLTHSLPTLGAFQQPATVPGLSVGPVPPPARPPLLQQHFPESSMSFTPVLPPPSTPVPTG PSQPAPPVQQPLPMAQPPTLPQVLAPQPMGTVQPVPSHLPPYLAPTSQVVAPAQLKPLQM PQPPLQPLAQVPPQMPQMPVVPPITPLTGLDGLPQTLTDLPAANVAPVPPPQYFSPAVIL PSLTTPLPTSPALPMQAVKLPHPPGTPLAVPCQTIVPNAPAAIPLLAVAPQGVAALSIHP AVAQIPAQPVYPAAFPQMVPGDIPPSPHHTVQSLRATPPQLASPVPPQPVQPSVIHLPEQ AAPTAASGTQVLLGHPPSYTADVAAPVSAVSLPPAVLSPPLPDTLLPTVPDLLPKVPSSL APTVVAASQSAPAQTSSLLLPTNPPLPTGPAVAGPCPAVQLMVEVAQEEQVSQDKPPGPP QSSESFGGSDVTSGRDLSDSCEGTFGGGRLEGRTARKHHRRSTRARSRQERASRPRLTIL NVCNTGDKMVECQLETHNHKMVTFKFDLDGDAPDEIATYMVEHDFILPAERETFIEQMKD VMDKAEDMLSEDTDADHGSDTGTSPPHLGTCGLATGEENRQSQANAPVYQQNVLHTGKRW FIICPVAEHPATDTSESSPPLPLSSLQPEASQDPAPYPDQLSLTDKPSFPAAQQLLSQAG SSNPPGGASAPLAPSSPPVTTVIPAAPATSTVPESAAGTAMQAGGPGTHQGPASVHETLQ PLAETRSAQCTAQPLSTGQGPCTPALEASRCSTGLGEPISTREVSTQGEPLPASVPEPSP PTGATQSVPGQPPPPLPITVGAISLAAPQLPSPPLGPTAPPPPPSALESDGEGPPPRVGF VDNTIKSLDEKLRTLLYQEHVPTSSASAGTPMEASDRDFTLEPLRGDLPSALSDKTPSLT QQTQPSLEKSETAPAGWALAQREQGASSPMTAESSSSNTLGCDSDAGQVASDSSTAPSVP QDASGSSVPTHMDPKDQNSSVPREALAAPMQSGPGSFTVGSPAQLRGARDSGSPHKRPGQ QDNSSPAKTVGRFSVVSTQDEWTLASPHSLRYSAPPDVYLDEIPSSPEVKLAVRRVQTAS SIEVGVEEPASSDSGDERPRRRSQVQKQSSLPGTGGVASDFVKKATAFLHRSSRAGSLGP ETPSRAGVKVPTISITSFHSQSSYISSDNDSEFEDADIKKELRSLREKHLKEISELQSQQ KQEIEALYRRLGKPLPPNVGFFHTAPPMGRRRKTSKSKLKAGKLLNPLVQQLKVVASSTG HLSDSSRGPPTKDPAHASTPPGTKAVQTQQPCSVRASLSTDICSGLASDGGGARGQGWTV YHPTSERGAYKSSSKPRARFLSGPVSVSIWSALKRLCLGKEHSSRSSTSSLAPGPEPGPQ PTLHVQAQVNNSNNKKGTFTDDLHKLVDEWTTKTVGAAQVKPTLNQLKQTQKLHDMEASG DARATSVPRAAVGASCLAPAPGPLSTTATPGATPALPVPIPGTVHSSLSGPPCTLPLCQY GGLLPDPVSWGPWVASGNPEGSWGSQSPTQVPVFPVFLRPPVISTPGPRLHIT >ENSMUSP00000049327.8 pep:known chromosome:GRCm38:13:49036303:49148014:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000049265.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] MDGDGGRRDAPGALMEAGRGTGSAGMAEPRARAARLGPQRFLRRSVVESDQEEPPGLEAA ETPSAQPPQPLQRRVLLLCKTRRLIAERARGRPAAPAPAAPAAPPGSPSVPSDPGPERAG TQEPSPDPTTASAAAAQVPDGGPRQEEAPAPTQEDAGTTEAKPEPGRARKDEPEEEEDDE DDLKAVATSLDGRFLKFDIELGRGSFKTVYKGLDTETWVEVAWCELQDRKLTKLERQRFK EEAEMLKGLQHPNIVRFYDFWESSAKGKRCIVLVTELMTSGTLKTYLKRFKVMKPKVLRS WCRQILKGLLFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGT PEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTCGIKPASFEK VHDPEIKEIIGECICKNKEERYEIKDLLSHAFFAEDTGVRVELAEEDHGRKSTIALRLWV EDPKKLKGKPKDNGAIEFTFDLEKETPDEVAQEMIDSGFFHESDVKIVAKSIRDRVALIQ WRRERIWPALQSQEPKDSGSPDKARGLPAPLQVQVTYHAQSGQPGQPEPEEPEADQHLLP PTLPASVTSLASDSTFDSGQGSTVYSDSQSSQQSMVLSSLVDTAPTPASCVCSPPVSEGP GLTHSLPTLGAFQQPATVPGLSVGPVPPPARPPLLQQHFPESSMSFTPVLPPPSTPVPTG PSQPAPPVQQPLPMAQPPTLPQVLAPQPMGTVQPVPSHLPPYLAPTSQVVAPAQLKPLQM PQPPLQPLAQVPPQMPQMPVVPPITPLTGLDGLPQTLTDLPAANVAPVPPPQYFSPAVIL PSLTTPLPTSPALPMQAVKLPHPPGTPLAVPCQTIVPNAPAAIPLLAVAPQGVAALSIHP AVAQIPAQPVYPAAFPQMVPGDIPPSPHHTVQSLRATPPQLASPVPPQPVQPSVIHLPEQ AAPTAASGTQVLLGHPPSYTADVAAPVSAVSLPPAVLSPPLPDTLLPTVPDLLPKVPSSL APTVVAASQSAPAQTSSLLLPTNPPLPTGPAVAGPCPAVQLMVEVAQEEQVSQDKPPGPP QSSESFGGSDVTSGRDLSDSCEGTFGGGRLEGRTARKHHRRSTRARSRQERASRPRLTIL NVCNTGDKMVECQLETHNHKMVTFKFDLDGDAPDEIATYMVEHDFILPAERETFIEQMKD VMDKAEDMLSEDTDADHGSDTGTSPPHLGTCGLATGEENRQSQANAPVYQQNVLHTGKRW FIICPVAEHPATDTSESSPPLPLSSLQPEASQDPAPYPDQLSLTDKPSFPAAQQLLSQAG SSNPPGGASAPLAPSSPPVTTVIPAAPATSTVPESAAGTAMQAGGPGTHQGPASVHETLQ PLAETRSAQCTAQPLSTGQGPCTPALEASRCSTGLGEPISTREVSTQGEPLPASVPEPSP PTGATQSVPGQPPPPLPITVGAISLAAPQLPSPPLGPTAPPPPPSALESDGEGPPPRVGF VDNTIKSLDEKLRTLLYQEHVPTSSASAGTPMEASDRDFTLEPLRGDLPSALSDKTPSLT QQTQPSLEKSETAPAGWALAQREQGASSPMTAESSSSNTLGCDSDAGQVASDSSTAPSVP QDASGSSVPTHMDPKDQNSSVPREALAAPMQSGPGSFTVGSPAQLRGARDSGSPHKRPGQ QDNSSPAKTVGRFSVVSTQDEWTLASPHSLRYSAPPDVYLDEIPSSPEVKLAVRRVQTAS SIEVGVEEPASSDSGDERPRRRSQVQKQSSLPGTGGVASDFVKKATAFLHRSSRAGSLGP ETPSRAGVKVPTISITSFHSQSSYISSDNDSEFEDADIKKELRSLREKHLKEISELQSQQ KQEIEALYRRLGKPLPPNVGFFHTAPPMGRRRKTSKSKLKAGKLLNPLVQQLKVVASSTG HLSDSSRGPPTKDPAHASTPPGTKAVQTQQPCSVRASLSTDICSGLASDGGGARGQGSST SSLAPGPEPGPQPTLHVQAQVNNSNNKKGTFTDDLHKLVDEWTTKTVGAAQVKPTLNQLK QTQKLHDMEASGDARATSVPRAAVGASCLAPAPGPLSTTATPGATPALPVPIPDPESEKP D >ENSMUSP00000105723.1 pep:known chromosome:GRCm38:13:49037981:49148014:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000110096.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] MDGDGGRRDAPGALMEAGRGTGSAGMAEPRARAARLGPQRFLRRSVVESDQEEPPGLEAA ETPSAQPPQPLQRRVLLLCKTRRLIAERARGRPAAPAPAAPAAPPGSPSVPSDPGPERAG TQEPSPDPTTASAAAAQVPDGGPRQEEAPAPTQEDAGTTEAKPEPGRARKDEPEEEEDDE DDLKAVATSLDGRFLKFDIELGRGSFKTVYKGLDTETWVEVAWCELQDRKLTKLERQRFK EEAEMLKGLQHPNIVRFYDFWESSAKGKRCIVLVTELMTSGTLKTYLKRFKVMKPKVLRS WCRQILKGLLFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGT PEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTCGIKPASFEK VHDPEIKEIIGECICKNKEERYEIKDLLSHAFFAEDTGVRVELAEEDHGRKSTIALRLWV EDPKKLKGKPKDNGAIEFTFDLEKETPDEVAQEMIDSGFFHESDVKIVAKSIRDRVALIQ WRRERIWPALQSQEPKDSGSPDKARGLPAPLQVQVTYHAQSGQPGQPEPEEPEADQHLLP PTLPASVTSLASDSTFDSGQGSTVYSDSQSSQQSMVLSSLVDTAPTPASCVCSPPVSEGP GLTHSLPTLGAFQQPATVPGLSVGPVPPPARPPLLQQHFPESSMSFTPVLPPPSTPVPTG PSQPAPPVQQPLPMAQPPTLPQVLAPQPMGTVQPVPSHLPPYLAPTSQVVAPAQLKPLQM PQPPLQPLAQVPPQMPQMPVVPPITPLTGLDGLPQTLTDLPAANVAPVPPPQYFSPAVIL PSLTTPLPTSPALPMQAVKLPHPPGTPLAVPCQTIVPNAPAAIPLLAVAPQGVAALSIHP AVAQIPAQPVYPAAFPQMVPGDIPPSPHHTVQSLRATPPQLASPVPPQPVQPSVIHLPEQ AAPTAASGTQEQVSQDKPPGPPQSSESFGGSDVTSGRDLSDSCEGTFGGGRLEGRTARKH HRRSTRARSRQERASRPRLTILNVCNTGDKMVECQLETHNHKMVTFKFDLDGDAPDEIAT YMVEHDFILPAERETFIEQMKDVMDKAEDMLSEDTDADHGSDTGTSPPHLGTCGLATGEE NRQSQANAPVYQQNVLHTGKRWFIICPVAEHPATDTSESSPPLPLSSLQPEASQDPAPYP DQLSLTDKPSFPAAQQLLSQAGSSNPPGGASAPLAPSSPPVTTVIPAAPATSTVPESAAG TAMQAGGPGTHQGPASVHETLQPLAETRSAQCTAQPLSTGQGPCTPALEASRCSTGLGEP ISTREVSTQGEPLPASVPEPSPPTGATQSVPGQPPPPLPITVGAISLAAPQLPSPPLGPT APPPPPSALESDGEGPPPRVGFVDNTIKSLDEKLRTLLYQEHVPTSSASAGTPMEASDRD FTLEPLRGDLPSALSDKTPSLTQQTQPSLEKSETAPAGWALAQREQGASSPMTESSSSNT LGCDSDAGQVASDSSTAPSVPQDASGSSVPTHMDPKDQNSSVPREALAAPMQSGPGSFTV GSPAQLRGARDSGSPHKRPGQQDNSSPAKTVGRFSVVSTQDEWTLASPHSLRYSAPPDVY LDEIPSSPEVKLAVRRVQTASSIEVGVEEPASSDSGDERPRRRSQVQKQSSLPGTGGVAS DFVKKATAFLHRSSRAGSLGPETPSRAGVKVPTISITSFHSQSSYISSDNDSEFEDADIK KELRSLREKHLKEISELQSQQKQEIEALYRRLGKPLPPNVGFFHTAPPMGRRRKTSKSKL KAGKLLNPLVQQLKVVASSTGHLSDSSRGPPTKDPAHASTPPGTKAVQTQQPCSVRASLS TDICSGLASDGGGARGQGWTVYHPTSERGAYKSSSKPRARFLSGPVSVSIWSALKRLCLG KEHSSRSSTSSLAPGPEPGPQPTLHVQAQVNNSNNKKGTFTDDLHKLVDEWTTKTVGAAQ VKPTLNQLKQTQKLHDMEASGDARATSVPRAAVGASCLAPAPGPLSTTATPGATPALPVP IPEACALPTPPCKFLSRPSSGQPTEGRSISGGFHDTCPGGERGDENSLTPSG >ENSMUSP00000047231.6 pep:known chromosome:GRCm38:13:49036303:49148014:-1 gene:ENSMUSG00000037989.15 transcript:ENSMUST00000035538.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wnk2 description:WNK lysine deficient protein kinase 2 [Source:MGI Symbol;Acc:MGI:1922857] MDGDGGRRDAPGALMEAGRGTGSAGMAEPRARAARLGPQRFLRRSVVESDQEEPPGLEAA ETPSAQPPQPLQRRVLLLCKTRRLIAERARGRPAAPAPAAPAAPPGSPSVPSDPGPERAG TQEPSPDPTTASAAAAQVPDGGPRQEEAPAPTQEDAGTTEAKPEPGRARKDEPEEEEDDE DDLKAVATSLDGRFLKFDIELGRGSFKTVYKGLDTETWVEVAWCELQDRKLTKLERQRFK EEAEMLKGLQHPNIVRFYDFWESSAKGKRCIVLVTELMTSGTLKTYLKRFKVMKPKVLRS WCRQILKGLLFLHTRTPPIIHRDLKCDNIFITGPTGSVKIGDLGLATLKRASFAKSVIGT PEFMAPEMYEEHYDESVDVYAFGMCMLEMATSEYPYSECQNAAQIYRKVTCGIKPASFEK VHDPEIKEIIGECICKNKEERYEIKDLLSHAFFAEDTGVRVELAEEDHGRKSTIALRLWV EDPKKLKGKPKDNGAIEFTFDLEKETPDEVAQEMIDSGFFHESDVKIVAKSIRDRVALIQ WRRERIWPALQSQEPKDSGSPDKARGLPAPLQVQVTYHAQSGQPGQPEPEEPEADQHLLP PTLPASVTSLASDSTFDSGQGSTVYSDSQSSQQSMVLSSLVDTAPTPASCVCSPPVSEGP GLTHSLPTLGAFQQPATVPGLSVGPVPPPARPPLLQQHFPESSMSFTPVLPPPSTPVPTG PSQPAPPVQQPLPMAQPPTLPQVLAPQPMGTVQPVPSHLPPYLAPTSQVVAPAQLKPLQM PQPPLQPLAQVPPQMPQMPVVPPITPLTGLDGLPQTLTDLPAANVAPVPPPQYFSPAVIL PSLTTPLPTSPALPMQAVKLPHPPGTPLAVPCQTIVPNAPAAIPLLAVAPQGVAALSIHP AVAQIPAQPVYPAAFPQMVPGDIPPSPHHTVQSLRATPPQLASPVPPQPVQPSVIHLPEQ AAPTAASGTQVLLGHPPSYTADVAAPVSAVSLPPAVLSPPLPDTLLPTVPDLLPKVPSSL APTVVAASQSAPAQTSSLLLPTNPPLPTGPAVAGPCPAVQLMVEVAQEEQVSQDKPPGPP QSSESFGGSDVTSGRDLSDSCEGTFGGGRLEGRTARKHHRRSTRARSRQERASRPRLTIL NVCNTGDKMVECQLETHNHKMVTFKFDLDGDAPDEIATYMVEHDFILPAERETFIEQMKD VMDKAEDMLSEDTDADHGSDTGTSPPHLGTCGLATGEENRQSQANAPVYQQNVLHTGKRW FIICPVAEHPATDTSESSPPLPLSSLQPEASQDPAPYPDQLSLTDKPSFPAAQQLLSQAG SSNPPGGASAPLAPSSPPVTTVIPAAPATSTVPESAAGTAMQAGGPGTHQGPASVHETLQ PLAETRSAQCTAQPLSTGQGPCTPALEASRCSTGLGEPISTREVSTQGEPLPASVPEPSP PTGATQSVPGQPPPPLPITVGAISLAAPQLPSPPLGPTAPPPPPSALESDGEGPPPRVGF VDNTIKSLDEKLRTLLYQEHVPTSSASAGTPMEASDRDFTLEPLRGDLPSALSDKTPSLT QQTQPSLEKSETAPAGWALAQREQGASSPMTAESSSSNTLGCDSDAGQVASDSSTAPSVP QDASGSSVPTHMDPKDQNSSVPREALAAPMQSGPGSFTVGSPAQLRGARDSGSPHKRPGQ QDNSSPAKTVGRFSVVSTQDEWTLASPHSLRYSAPPDVYLDEIPSSPEVKLAVRRVQTAS SIEVGVEEPASSDSGDERPRRRSQVQKQSSLPGTGGVASDFVKKATAFLHRSSRAGSLGP ETPSRAGVKVPTISITSFHSQSSYISSDNDSEFEDADIKKELRSLREKHLKEISELQSQQ KQEIEALYRRLGKPLPPNVGFFHTAPPMGRRRKTSKSKLKAGKLLNPLVQQLKVVASSTG SALKRLCLGKEHSSSLYDSPGSSTSSLAPGPEPGPQPTLHVQAQVNNSNNKKGTFTDDLH KLVDEWTTKTVGAAQVKPTLNQLKQTQKLHDMEASGDARATSVPRAAVGASCLAPAPGPL STTATPGATPALPVPIPDPESEKPD >ENSMUSP00000068792.5 pep:known chromosome:GRCm38:1:21368331:21370845:1 gene:ENSMUSG00000041722.7 transcript:ENSMUST00000070223.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Khdc1c description:KH domain containing 1C [Source:MGI Symbol;Acc:MGI:3583007] MSDLRRKGWWNVPDYFHSPLVFDMEEDKEDYIFGPHDEYLHTLEVHSNTLIQLERWFTPT GQTRVTVVGPLKARLWVMDMIRKVGSKNNLDQIKGKMMLLQIRDHPLRDRDLELHPESGS SLWITTMNDTTFVEVPHFSRFPLTVAWLFCGFVRILGIHNFADLHW >ENSMUSP00000029414.5 pep:known chromosome:GRCm38:3:65379655:65392623:-1 gene:ENSMUSG00000027828.12 transcript:ENSMUST00000029414.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr3 description:signal sequence receptor, gamma [Source:MGI Symbol;Acc:MGI:1914687] MAPKGGSKQQSEEDLLLQDFSRNLSAKSSALFFGNAFIVSAIPIWLYWRIWHMDLIQSAV LYSVMTLVSTYLVAFAYKNVKFVLKHKVAQKREDAVSKEVTRKLSEADNRKMSRKEKDER ILWKKNEVADYEATTFSIFYNNTLFLVLVIVASFFILKNFNPTVNYILSISASSGLIALL STGSK >ENSMUSP00000113831.1 pep:known chromosome:GRCm38:3:65382030:65392553:-1 gene:ENSMUSG00000027828.12 transcript:ENSMUST00000119896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssr3 description:signal sequence receptor, gamma [Source:MGI Symbol;Acc:MGI:1914687] MAPKGGSKQQSEEDLLLQDFSRNLSAKSSALFFGNAFIVSAIPIWLYWRIWHMDLIQSAV LYSVMTLVSTYLVAFAYKNVKFVLKHKVAQKREDAVSKEVTRKLSEADNRKMSRKEKDER ILWKKNEVADYEATTFSIFYNNTLFLVLVIVASFFILKNFNPTVYPLESFPINSSLLCF >ENSMUSP00000069664.3 pep:known chromosome:GRCm38:4:63414910:63495951:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000063650.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] MNAQLDGLSVSSSSTGSLGSAAAAAGGGGGAGLRLLSANVRQLHQALTALLSEPEREQFT HCLNAYHARRNVFDLVRTLRVLLDSPVKRRLLPMLRLVIPRSDQLLFDQYTAEGLYLPAT TPYRQPAWAAPDGAGPGEVRLVSLRRAKAHEGLGFSIRGGSEHGVGIYVSLVEPGSLAEK EGLRVGDQILRVNDKSLARVTHAEAVKALKGSKKLVLSVYSAGRIPGGYVTNHIYTWVDP QGRSTSPPSSLPQPHGSTLRQREDDRRSTLHLLQSGDEKKVNLVLGDGRSLGLTIRGGAE YGLGIYITGVDPGSEAESSGLKVGDQILEVNGRSFLNILHDEAVKLLKSSRHLILTVKDV GRLPHARTTVDQTKWIASSRIGESVANSAGFPGDHTEEGTSKPGFYKGPAGSQVTLSSLG NQTRALLDDQARHLLTEQERATMMYYLAQYRGGTISVEAMVMALFELLNTHAKFSLLSEV RSIISPQDLDRFDHLVLRREIESMKARQPPGPGVGDTYSMVSYSDTGSSTGSHGTSTTVS SARNTLDLEGTGETTQGSTNALPDVSVDDVKSPSEDLPGIKPPPPPPPLAQGHDRLLGQP RKPGREDPAPLSSAAHSGIVFSAPRNRSPPPGTAPTPGPSSAQDSPSSPIYASISHANPS SRKPLDTHLALVNQHPIGPFPRVQSPPHLKSPPAETPGAGACLPPPSPSEHPDAVGANQH FVLVEVHRPDSEPDVNEVRALPQTRTASTLSQLSDSGQTLSEDSGVDAGETEASTSGRGR QTASAKNKNGKEQPRTERTAEGANKPPGLLEPTSTLVRVRKSAATLGIAIEGGANTRQPL PRIVTIQRGGSAHNCGQLKVGHVILEVNGQTLRGKEHKEAARIIAEAFKTKERDYIDFLV TEFNVML >ENSMUSP00000099931.1 pep:known chromosome:GRCm38:4:63414910:63495951:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000102867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] MNAQLDGLSVSSSSTGSLGSAAAAAGGGGGAGLRLLSANVRQLHQALTALLSEPEREQFT HCLNAYHARRNVFDLVRTLRVLLDSPVKRRLLPMLRLVIPRSDQLLFDQYTAEGLYLPAT TPYRQPAWAAPDGAGPGEVRLVSLRRAKAHEGLGFSIRGGSEHGVGIYVSLVEPGSLAEK EGLRVGDQILRVNDKSLARVTHAEAVKALKGSKKLVLSVYSAGRIPGGYVTNHIYTWVDP QGRSTSPPSSLPQPHGSTLRQREDDRRSTLHLLQSGDEKKVNLVLGDGRSLGLTIRGGAE YGLGIYITGVDPGSEAESSGLKVGDQILEVNGRSFLNILHDEAVKLLKSSRHLILTVKDV GRLPHARTTVDQTKWIASSRIGESVANSAGFPGDHTEEGTSKPGFYKGPAGSQVTLSSLG NQTRALLDDQARHLLTEQERATMMYYLAQYRGGTISVEAMVMALFELLNTHAKFSLLSEV RSIISPQDLDRFDHLVLRREIESMKARQPPGPGVGDTYSMVSYSDTGSSTGSHGTSTTVS SARNTLDLEGTGETTQGSTNALPDVSVDDVKSPSEDLPGIKPPPPPPPLAQGHDRLLGQP RKPGREDPAPLSSAAHSGIVFSAPRNRSPPPGTAPTPGPSSAQDSPSSPIYASISHANPS SRKPLDTHLALVNQHPIGPFPRVQSPPHLKSPPAETPGAGACLPPPSPSEHPDAVGANQH FVLVEVHRPDSEPDVNEVRALPQTRTSTLSQLSDSGQTLSEDSGVDAGETEASTSGRGRQ TASAKNKNGKEQPRTERTAEGANKPPGLLEPTSTLVRVRKSAATLGIAIEGGANTRQPLP RIVTIQRGGSAHNCGQLKVGHVILEVNGQTLRGKEHKEAARIIAEAFKTKERDYIDFLVT EFNVML >ENSMUSP00000103016.1 pep:known chromosome:GRCm38:4:63414910:63495951:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000107393.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] MNAQLDGLSVSSSSTGSLGSAAAAAGGGGGAGLRLLSANVRQLHQALTALLSEPEREQFT HCLNAYHARRNVFDLVRTLRVLLDSPVKRRLLPMLRLVIPRSDQLLFDQYTAEGLYLPAT TPYRQPAWAAPDGAGPGEVRLVSLRRAKAHEGLGFSIRGGSEHGVGIYVSLVEPGSLAEK EGLRVGDQILRVNDKSLARVTHAEAVKALKGSKKLVLSVYSAGRIPGGYVTNHIYTWVDP QGRSTSPPSSLPQPHGSTLRQREDDRRSTLHLLQSGDEKKVNLVLGDGRSLGLTIRGGAE YGLGIYITGVDPGSEAESSGLKVGDQILEVNGRSFLNILHDEAVKLLKSSRHLILTVKDV GRLPHARTTVDQTKWIASSRIGESVANSAGSGLRFPGDHTEEGTSKPGFYKGPAGSQVTL SSLGNQTRALLDDQARHLLTEQERATMMYYLAQYRGGTISVEAMVMALFELLNTHAKFSL LSEVRSIISPQDLDRFDHLVLRREIESMKARQPPGPGVGDTYSMVSYSDTGSSTGSHGTS TTVSSARNTLDLEGTGETTQGSTNALPDVSVDDVKSPSEDLPGIKPPPPPPPLAQGHDRL LGQPRKPGREDPAPLSSAAHSGIVFSAPRNRSPPPGTAPTPGPSSAQDSPSSPIYASISH ANPSSRKPLDTHLALVNQHPIGPFPRVQSPPHLKSPPAETPGAGACLPPPSPSEHPDAVG ANQHFVLVEVHRPDSEPDVNEVRALPQTRTASTLSQLSDSGQTLSEDSGVDAGETEASTS GRGRQTASAKNKNGKEQPRTERTAEGANKPPGLLEPTSTLVRVRKSAATLGIAIEGGANT RQPLPRIVTIQRGGSAHNCGQLKVGHVILEVNGQTLRGKEHKEAARIIAEAFKTKERDYI DFLVTEFNVML >ENSMUSP00000081557.1 pep:known chromosome:GRCm38:4:63414910:63495951:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000084510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] MNAQLDGLSVSSSSTGSLGSAAAAAGGGGGAGLRLLSANVRQLHQALTALLSEPEREQFT HCLNAYHARRNVFDLVRTLRVLLDSPVKRRLLPMLRLVIPRSDQLLFDQYTAEGLYLPAT TPYRQPAWAAPDGAGPGEVRLVSLRRAKAHEGLGFSIRGGSEHGVGIYVSLVEPGSLAEK EGLRVGDQILRVNDKSLARVTHAEAVKALKGSKKLVLSVYSAGRIPGGYVTNHIYTWVDP QGRSTSPPSSLPQPHGSTLRQREDDRRSTLHLLQSGDEKKVNLVLGDGRSLGLTIRGGAE YGLGIYITGVDPGSEAESSGLKVGDQILEVNGRSFLNILHDEAVKLLKSSRHLILTVKDV GRLPHARTTVDQTKWIASSRIGESVANSAGFPGDHTEEGTSKPGFYKGPAGSQVTLSSLG NQTRALLDDQARHLLTEQERATMMYYLAQYRGGTISVEAMVMALFELLNTHAKFSLLSEV RSIISPQDLDRFDHLVLRREIESMKARQPPGPGVGDTYSMVSYSDTGSSTGSHGTSTTVS SARERLLWLIDLMENTLDLEGTGETTQGSTNALPDVSVDDVKSPSEDLPGIKPPPPPPPL AQGHDRLLGQPRKPGREDPAPLSSAAHSGIVFSAPRNRSPPPGTAPTPGPSSAQDSPSSP IYASISHANPSSRKPLDTHLALVNQHPIGPFPRVQSPPHLKSPPAETPGAGACLPPPSPS EHPDAVGANQHFVLVEVHRPDSEPDVNEVRALPQTRTASTLSQLSDSGQTLSEDSGVDAG ETEASTSGRGRQTASAKNKNGKEQPRTERTAEGANKPPGLLEPTSTLVRVRKSAATLGIA IEGGANTRQPLPRIVTIQRGGSAHNCGQLKVGHVILEVNGQTLRGKEHKEAARIIAEAFK TKERDYIDFLVTEFNVML >ENSMUSP00000092648.1 pep:known chromosome:GRCm38:4:63414910:63495951:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000095038.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] MMYYLAQYRGGTISVEAMVMALFELLNTHAKFSLLSEVRSIISPQDLDRFDHLVLRREIE SMKARQPPGPGVGDTYSMVSYSDTGSSTGSHGTSTTVSSARERLLWLIDLMENTLDLEGT GETTQGSTNALPDVSVDDVKSPSEDLPGIKPPPPPPPLAQGHDRLLGQPRKPGREDPAPL SSAAHSGIVFSAPRNRSPPPGTAPTPGPSSAQDSPSSPIYASISHANPSSRKPLDTHLAL VNQHPIGPFPRVQSPPHLKSPPAETPGAGACLPPPSPSEHPDAVGANQHFVLVEVHRPDS EPDVNEVRALPQTRTASTLSQLSDSGQTLSEDSGVDAGETEASTSGRGRQTASAKNKNGK EQPRTERTAEGANKPPGLLEPTSTLVRVRKSAATLGIAIEGGANTRQPLPRIVTIQRGGS AHNCGQLKVGHVILEVNGQTLRGKEHKEAARIIAEAFKTKERDYIDFLVTEFNVML >ENSMUSP00000114030.1 pep:known chromosome:GRCm38:4:63414910:63495951:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000119294.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] MMYYLAQYRGGTISVEAMVMALFELLNTHAKFSLLSEVRSIISPQDLDRFDHLVLRREIE SMKARQPPGPGVGDTYSMVSYSDTGSSTGSHGTSTTVSSARNTLDLEGTGETTQGSTNAL PDVSVDDVKSPSEDLPGIKPPPPPPPLAQGHDRLLGQPRKPGREDPAPLSSAAHSGIVFS APRNRSPPPGTAPTPGPSSAQDSPSSPIYASISHANPSSRKPLDTHLALVNQHPIGPFPR VQSPPHLKSPPAETPGAGACLPPPSPSEHPDAVGANQHFVLVEVHRPDSEPDVNEVRALP QTRTASTLSQLSDSGQTLSEDSGVDAGETEASTSGRGRQTASAKNKNGKEQPRTERTAEG ANKPPGLLEPTSTLVRVRKSAATLGIAIEGGANTRQPLPRIVTIQRGGSAHNCGQLKVGH VILEVNGQTLRGKEHKEAARIIAEAFKTKERDYIDFLVTEFNVML >ENSMUSP00000092647.1 pep:known chromosome:GRCm38:4:63414910:63495951:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000095037.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] MKARQPPGPGVGDTYSMVSYSDTGSSTGSHGTSTTVSSARNTLDLEGTGETTQGSTNALP DVSVDDVKSPSEDLPGIKPPPPPPPLAQGHDRLLGQPRKPGREDPAPLSSAAHSGIVFSA PRNRSPPPGTAPTPGPSSAQDSPSSPIYASISHANPSSRKPLDTHLALVNQHPIGPFPRV QSPPHLKSPPAETPGAGACLPPPSPSEHPDAVGANQHFVLVEVHRPDSEPDVNEVRALPQ TRTASTLSQLSDSGQTLSEDSGVDAGETEASTSGRGRQTASAKNKNGKEQPRTERTAEGA NKPPGLLEPTSTLVRVRKSAATLGIAIEGGANTRQPLPRIVTIQRGGSAHNCGQLKVGHV ILEVNGQTLRGKEHKEAARIIAEAFKTKERDYIDFLVTEFNVML >ENSMUSP00000116387.1 pep:known chromosome:GRCm38:4:63419469:63431929:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000145630.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] XYSMVSYSDTGSSTGSHGTSTTVSSARV >ENSMUSP00000065838.3 pep:known chromosome:GRCm38:4:63431433:63495991:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000063672.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] MNAQLDGLSVSSSSTGSLGSAAAAAGGGGGAGLRLLSANVRQLHQALTALLSEPEREQFT HCLNAYHARRNVFDLVRTLRVLLDSPVKRRLLPMLRLVIPRSDQLLFDQYTAEGLYLPAT TPYRQPAWAAPDGAGPGEVRLVSLRRAKAHEGLGFSIRGGSEHGVGIYVSLVEPGSLAEK EGLRVGDQILRVNDKSLARVTHAEAVKALKGSKKLVLSVYSAGRIPGGYVTNHIYTWVDP QGRSTSPPSSLPQPHGSTLRQREDDRRSTLHLLQSGDEKKVNLVLGDGRSLGLTIRGGAE YGLGIYITGVDPGSEAESSGLKVGDQILEVNGRSFLNILHDEAVKLLKSSRHLILTVKDV GRLPHARTTVDQTKWIASSRIGESVANSAGFPGDHTEEGTSKPGFYKGPAGSQVTLSSLG NQTRALLDDQARHLLTEQERATMMYYLAQYRGGTISVEAMVMALFELLNTHAKFSLLSEV RSIISPQDLDRFDHLVLRREIESMKARQPPGPGVGDTYSMVSYSDTGSSTGSHGTSTTVS SARVSHPCPILGEKVRARIRCFPPKPRVPHL >ENSMUSP00000121944.1 pep:known chromosome:GRCm38:4:63436229:63472740:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000144965.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] XLPQPHGSTLRQREDDRRSTLHLLQSGDEKKVNLVLGDGRSLGLTIRGGAEYGLGIYITG VDPGSEAESSGLKV >ENSMUSP00000119280.1 pep:known chromosome:GRCm38:4:63460163:63494759:-1 gene:ENSMUSG00000039137.18 transcript:ENSMUST00000133425.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Whrn description:whirlin [Source:MGI Symbol;Acc:MGI:2682003] GGSEHGVGIYVSLVEPGSLAEKEGLRVGDQILRVNDKSLARVTHAEAVKALKGSKKLVLS VYSAGRIPGGYVTNHIYTWVDPQGRSTSPPSSLPQPHGSTLRQREDDRRSTLHLLQSGDE KKVNLVLGDGRSLGLTIRGGAEYGLGIYITGVDPGSEAESSGLK >ENSMUSP00000112833.2 pep:known chromosome:GRCm38:15:5095981:5108539:-1 gene:ENSMUSG00000041849.7 transcript:ENSMUST00000118365.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Card6 description:caspase recruitment domain family, member 6 [Source:MGI Symbol;Acc:MGI:3032959] MATEGASSELIEKKRTKLLSVLQQDPDSILDTLTSRSLISEKEYETLEEITDPLKKSRKL LILIQKKGEDSCRRFLRCLSNAFPESASTVGFKHEVPRQEAEETVGVNRNSEDPLSLGTI IPEIAELSEEKECLDLRALKFFTYKESGHRELAVSSRENQEGHGTPQVTAPHSVKRVEYE VPASITFLSNGQRYEEPDDSLYLEEGEHQEYLGFPEDVETVLEEEAGKDPQCFVYDNEEE WENEETMGFSSEASSCSEINFSLEEEEEKSAEERKRVFQHVLSCLNMDRSRKLLPDSVKQ FSTDRGREWTPETPGDLAWNFLMKVQALDSTARDFTLRHKMVDEENKELLLARMEKLGIG DAQTIHPLDVLCACMLCADSSLQREVMSNMYHCCFALPLLLPDAENNKSILMMGAMKDLK QHATQSSGGPPRETDASLSLMKMPVISFVRLGHCSFSKSRILNTLLSSSRQKPHTFFLRR DLSVPVLPRQISDGLVEVMWHFPDDAELTVSPHVFQKPVAVANLRGDLESFWVQFGFLVE VSSAVFFLTDCLGEKERALLRFLGDDAIERCYVILSPQAKESEEAQIFQKILKLRPSQLL FWEVEEAGDRRRAMEALQAALQEVMSSPLKCVSLEDMACLARELGIQVDQDFEVIQDTQV SPRTIEGENQQPQSQTKSPSESRTQKPLREPGTQCEDSQNAVIFHQTPVFMPYPAHPWPL PIEAGSNFYHVPLRAPRAISSHFRSQQKAEWFFPFPHQNTSVHSRGQNFAIKYLQPWRFY SRERFTRCSATPQQYHPNGPFGRSQRQASPVQTHPKSRQMSRTLERSGTVVSRVGHGRSL GSQARRAAGKPQPEKACAQGLQLTKAAGKSIRTLPHIKYPHPQPCQPAGASQERIMPVSH QGAQQTTQGRPADFAFKPGSQSTSGSKLSSTSQSSAHQPKFQSKHFQPQPFQPVPSQKKP SHSRPSQAKPPHLDPSHANLTQGQPSQATPTHSQASQAKPTHSQANSHHPHPSHAKPSHQ NPSHANPTHPQSSHAKPSHPQSSHAKPSHPQSSHAKPSHPQSSHAKPSHPQSSQAKPSHP QSSQAKPTHPQSSQANSHHPQASQAKPSHPQSSHAKPSHPHPSHAKPSPSQSTQCKAHKA HQSQPKPFQPRPTQPKSSKTKPSQARAFHPRAGRR >ENSMUSP00000118817.1 pep:known chromosome:GRCm38:15:5105137:5108484:-1 gene:ENSMUSG00000041849.7 transcript:ENSMUST00000141020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Card6 description:caspase recruitment domain family, member 6 [Source:MGI Symbol;Acc:MGI:3032959] MATEGASSELIEKKRTKLLSVLQQDPDSILDTLTSRSLISEKEYETLEEITDPLKKSRKL LILIQKKGEDSCRRFLRCLSNAFPESASTVGFKHEVPRQEAEETVGVNRNSEDPLSLGTI IPEIAELSEEKECLDLRALKFFTYKESGHRELAVSSRENQEGHGTPQVTAPHSVKRVEYE VPASITFLSNGQRY >ENSMUSP00000041204.4 pep:known chromosome:GRCm38:5:8660077:8748575:1 gene:ENSMUSG00000040584.8 transcript:ENSMUST00000047753.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcb1a description:ATP-binding cassette, sub-family B (MDR/TAP), member 1A [Source:MGI Symbol;Acc:MGI:97570] MELEEDLKGRADKNFSKMGKKSKKEKKEKKPAVSVLTMFRYAGWLDRLYMLVGTLAAIIH GVALPLMMLIFGDMTDSFASVGNVSKNSTNMSEADKRAMFAKLEEEMTTYAYYYTGIGAG VLIVAYIQVSFWCLAAGRQIHKIRQKFFHAIMNQEIGWFDVHDVGELNTRLTDDVSKINE GIGDKIGMFFQAMATFFGGFIIGFTRGWKLTLVILAISPVLGLSAGIWAKILSSFTDKEL HAYAKAGAVAEEVLAAIRTVIAFGGQKKELERYNNNLEEAKRLGIKKAITANISMGAAFL LIYASYALAFWYGTSLVISKEYSIGQVLTVFFSVLIGAFSVGQASPNIEAFANARGAAYE VFKIIDNKPSIDSFSKSGHKPDNIQGNLEFKNIHFSYPSRKEVQILKGLNLKVKSGQTVA LVGNSGCGKSTTVQLMQRLYDPLDGMVSIDGQDIRTINVRYLREIIGVVSQEPVLFATTI AENIRYGREDVTMDEIEKAVKEANAYDFIMKLPHQFDTLVGERGAQLSGGQKQRIAIARA LVRNPKILLLDEATSALDTESEAVVQAALDKAREGRTTIVIAHRLSTVRNADVIAGFDGG VIVEQGNHDELMREKGIYFKLVMTQTAGNEIELGNEACKSKDEIDNLDMSSKDSGSSLIR RRSTRKSICGPHDQDRKLSTKEALDEDVPPASFWRILKLNSTEWPYFVVGIFCAIINGGL QPAFSVIFSKVVGVFTNGGPPETQRQNSNLFSLLFLILGIISFITFFLQGFTFGKAGEIL TKRLRYMVFKSMLRQDVSWFDDPKNTTGALTTRLANDAAQVKGATGSRLAVIFQNIANLG TGIIISLIYGWQLTLLLLAIVPIIAIAGVVEMKMLSGQALKDKKELEGSGKIATEAIENF RTVVSLTREQKFETMYAQSLQIPYRNAMKKAHVFGITFSFTQAMMYFSYAACFRFGAYLV TQQLMTFENVLLVFSAIVFGAMAVGQVSSFAPDYAKATVSASHIIRIIEKTPEIDSYSTQ GLKPNMLEGNVQFSGVVFNYPTRPSIPVLQGLSLEVKKGQTLALVGSSGCGKSTVVQLLE RFYDPMAGSVFLDGKEIKQLNVQWLRAQLGIVSQEPILFDCSIAENIAYGDNSRVVSYEE IVRAAKEANIHQFIDSLPDKYNTRVGDKGTQLSGGQKQRIAIARALVRQPHILLLDEATS ALDTESEKVVQEALDKAREGRTCIVIAHRLSTIQNADLIVVIQNGKVKEHGTHQQLLAQK GIYFSMVSVQAGAKRS >ENSMUSP00000118379.2 pep:known chromosome:GRCm38:5:67618140:67847370:-1 gene:ENSMUSG00000037685.15 transcript:ENSMUST00000135930.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8a1 description:ATPase, aminophospholipid transporter (APLT), class I, type 8A, member 1 [Source:MGI Symbol;Acc:MGI:1330848] MPTMRRTVSEIRSRAEGYEKTDDVSEKTSLADQEEVRTIFINQPQLTKFCNNHVSTAKYN VITFLPRFLYSQFRRAANSFFLFIALLQQIPDVSPTGRYTTLVPLLFILAVAAIKEIIED IKRHKADNAVNKKQTQVLRNGAWEIVHWEKVNVGDIVIIKGKEYIPADTVLLSSSEPQAM CYIETSNLDGETNLKIRQGLPATSDIKDIDSLMRISGRIECESPNRHLYDFVGNIRLDGH GTVPLGADQILLRGAQLRNTQWVHGIVVYTGHDTKLMQNSTSPPLKLSNVERITNVQILI LFCILIAMSLVCSVGSAIWNRRHSGKDWYLHLHYGGASNFGLNFLTFIILFNNLIPISLL VTLEVVKFTQAYFINWDLDMHYEPTDTAAMARTSNLNEELGQVKYIFSDKTGTLTCNVMQ FKKCTIAGVAYGQSSQFGDEKTFNDPSLLDNLQNNHPTAPIICEFLTMMAVCHTAVPERE GDKIIYQAASPDEGALVRAAKQLNFVFTGRTPDSVIIDSLGQEERYELLNVLEFTSARKR MSVVVRTPSGKLRLYCKGADTVIYERLAETSKYKEITLKHLEQFATEGLRTLCFAVAEIS ESDFEEWRAVYHRASTSVQNRLLKLEESYELIEKNLQLLGATAIEDKLQDQVPETIETLM KADIKIWILTGDKQETAINIGHSCRLLKRNMGMIVINEGSLDGTRETLSRHCTTLGDALR KENDFALIIDGKTLKYALTFGVRQYFLDLALSCKAVICCRVSPLQKSEVVEMVKKQVKVI TLAIGDGANDVSMIQTAHVGVGISGNEGLQAANSSDYSIAQFKYLKNLLMVHGAWNYNRV SKCILYCFYKNIVLYIIEIWFAFVNGFSGQILFERWCIGLYNVMFTAMPPLTLGIFERSC RKENMLKYPELYKTSQNALDFNTKVFWVHCLNGLFHSVILFWFPLKALQYGTVFGNGKTS DYLLLGNFVYTFVVITVCLKAGLETSYWTWFSHIAIWGSIALWVVFFGIYSSLWPAVPMA PDMSGEAAMLFSSGVFWVGLLSIPVASLLLDVLYKVIKRTAFKTLVDEVQELEAKSQDPG AVVLGKSLTERAQLLKNVFKKNHVNLYRSESLQQNLLHGYAFSQDENGIVSQSEVIRAYD TTKQRPDEW >ENSMUSP00000042215.8 pep:known chromosome:GRCm38:5:67618140:67847434:-1 gene:ENSMUSG00000037685.15 transcript:ENSMUST00000037380.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8a1 description:ATPase, aminophospholipid transporter (APLT), class I, type 8A, member 1 [Source:MGI Symbol;Acc:MGI:1330848] MPTMRRTVSEIRSRAEGYEKTDDVSEKTSLADQEEVRTIFINQPQLTKFCNNHVSTAKYN VITFLPRFLYSQFRRAANSFFLFIALLQQIPDVSPTGRYTTLVPLLFILAVAAIKEIIED IKRHKADNAVNKKQTQVLRNGAWEIVHWEKVAVGEIVKVTNGEHLPADLLSLSSSEPQAM CYIETSNLDGETNLKIRQGLPATSDIKDIDSLMRISGRIECESPNRHLYDFVGNIRLDGH GTVPLGADQILLRGAQLRNTQWVHGIVVYTGHDTKLMQNSTSPPLKLSNVERITNVQILI LFCILIAMSLVCSVGSAIWNRRHSGKDWYLHLHYGGASNFGLNFLTFIILFNNLIPISLL VTLEVVKFTQAYFINWDLDMHYEPTDTAAMARTSNLNEELGQVKYIFSDKTGTLTCNVMQ FKKCTIAGVAYGHVPEPEDYGCSPDEWQSSQFGDEKTFNDPSLLDNLQNNHPTAPIICEF LTMMAVCHTAVPEREGDKIIYQAASPDEGALVRAAKQLNFVFTGRTPDSVIIDSLGQEER YELLNVLEFTSARKRMSVVVRTPSGKLRLYCKGADTVIYERLAETSKYKEITLKHLEQFA TEGLRTLCFAVAEISESDFEEWRAVYHRASTSVQNRLLKLEESYELIEKNLQLLGATAIE DKLQDQVPETIETLMKADIKIWILTGDKQETAINIGHSCRLLKRNMGMIVINEGSLDGTR ETLSRHCTTLGDALRKENDFALIIDGKTLKYALTFGVRQYFLDLALSCKAVICCRVSPLQ KSEVVEMVKKQVKVITLAIGDGANDVSMIQTAHVGVGISGNEGLQAANSSDYSIAQFKYL KNLLMVHGAWNYNRVSKCILYCFYKNIVLYIIEIWFAFVNGFSGQILFERWCIGLYNVMF TAMPPLTLGIFERSCRKENMLKYPELYKTSQNALDFNTKVFWVHCLNGLFHSVILFWFPL KALQYGTVFGNGKTSDYLLLGNFVYTFVVITVCLKAGLETSYWTWFSHIAIWGSIALWVV FFGIYSSLWPAVPMAPDMSGEAAMLFSSGVFWVGLLSIPVASLLLDVLYKVIKRTAFKTL VDEVQELEAKSQDPGAVVLGKSLTERAQLLKNVFKKNHVNLYRSESLQQNLLHGYAFSQD ENGIVSQSEVIRAYDTTKQRPDEW >ENSMUSP00000072738.7 pep:known chromosome:GRCm38:5:67619161:67847431:-1 gene:ENSMUSG00000037685.15 transcript:ENSMUST00000072971.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8a1 description:ATPase, aminophospholipid transporter (APLT), class I, type 8A, member 1 [Source:MGI Symbol;Acc:MGI:1330848] MPTMRRTVSEIRSRAEGYEKTDDVSEKTSLADQEEVRTIFINQPQLTKFCNNHVSTAKYN VITFLPRFLYSQFRRAANSFFLFIALLQQIPDVSPTGRYTTLVPLLFILAVAAIKEIIED IKRHKADNAVNKKQTQVLRNGAWEIVHWEKVNVGDIVIIKGKEYIPADTVLLSSSEPQAM CYIETSNLDGETNLKIRQGLPATSDIKDIDSLMRISGRIECESPNRHLYDFVGNIRLDGH GTVPLGADQILLRGAQLRNTQWVHGIVVYTGHDTKLMQNSTSPPLKLSNVERITNVQILI LFCILIAMSLVCSVGSAIWNRRHSGKDWYLHLHYGGASNFGLNFLTFIILFNNLIPISLL VTLEVVKFTQAYFINWDLDMHYEPTDTAAMARTSNLNEELGQVKYIFSDKTGTLTCNVMQ FKKCTIAGVAYGHVPEPEDYGCSPDEWQSSQFGDEKTFNDPSLLDNLQNNHPTAPIICEF LTMMAVCHTAVPEREGDKIIYQAASPDEGALVRAAKQLNFVFTGRTPDSVIIDSLGQEER YELLNVLEFTSARKRMSVVVRTPSGKLRLYCKGADTVIYERLAETSKYKEITLKHLEQFA TEGLRTLCFAVAEISESDFEEWRAVYHRASTSVQNRLLKLEESYELIEKNLQLLGATAIE DKLQDQVPETIETLMKADIKIWILTGDKQETAINIGHSCRLLKRNMGMIVINEGSLDGTR ETLSRHCTTLGDALRKENDFALIIDGKTLKYALTFGVRQYFLDLALSCKAVICCRVSPLQ KSEVVEMVKKQVKVITLAIGDGANDVSMIQTAHVGVGISGNEGLQAANSSDYSIAQFKYL KNLLMVHGAWNYNRVSKCILYCFYKNIVLYIIEIWFAFVNGFSGQILFERWCIGLYNVMF TAMPPLTLGIFERSCRKENMLKYPELYKTSQNALDFNTKVFWVHCLNGLFHSVILFWFPL KALQYGTVFGNGKTSDYLLLGNFVYTFVVITVCLKAGLETSYWTWFSHIAIWGSIALWVV FFGIYSSLWPAVPMAPDMSGEAAMLFSSGVFWVGLLSIPVASLLLDVLYKVIKRTAFKTL VDEVQELEAKSQDPGAVVLGKSLTERAQLLKNVFKKNHVNLYRSESLQQNLLHGYAFSQD ENGIVSQSEVIRAYDTTKQRPDEW >ENSMUSP00000144465.1 pep:known chromosome:GRCm38:5:67620577:67847295:-1 gene:ENSMUSG00000037685.15 transcript:ENSMUST00000200955.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Atp8a1 description:ATPase, aminophospholipid transporter (APLT), class I, type 8A, member 1 [Source:MGI Symbol;Acc:MGI:1330848] MPTMRRTVSEIRSRAEGYEKTDDVSEKTSLADQEEVRTIFINQPQLTKFCNNHVSTAKYN VITFLPRFLYSQFRRAANSFFLFIALLQQIPDVSPTGRYTTLVPLLFILAVAAIKEIIED IKRHKADNAVNKKQTQVLRNGAWEIVHWEKVNVGDIVIIKGKEYIPADTVLLSSSEPQAM CYIETSNLDGETNLKIRQGLPATSDIKDIDSLMRISGRIECESPNRHLYDFVGNIRLDGH GTVPLGADQILLRGAQLRNTQWVHGIVVYTGHDTKLMQNSTSPPLKLSNVERITNVQILI LFCILIAMSLVCSVGSAIWNRRHSGKDWYLHLHYGGASNFGLNFLTFIILFNNLIPISLL VTLEVVKFTQAYFINWDLDMHYEPTDTAAMARTSNLNEELGQVKYIFSDKTGTLTCNVMQ FKKCTIAGVAYGVHWSEPPSS >ENSMUSP00000109282.1 pep:known chromosome:GRCm38:5:67780879:67847431:-1 gene:ENSMUSG00000037685.15 transcript:ENSMUST00000113652.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8a1 description:ATPase, aminophospholipid transporter (APLT), class I, type 8A, member 1 [Source:MGI Symbol;Acc:MGI:1330848] MPTMRRTVSEIRSRAEGYEKTDDVSEKTSLADQEEVRTIFINQPQLTKFCNNHVSTAKYN VITFLPRFLYSQFRRAANSFFLFIALLQQIPDVSPTGRYTTLVPLLFILAVAAIKEIIED IKRHKADNAVNKKQTQVLRNGAWEIVHWEKVNVGDIVIIKGKEYIPADTVLLSSR >ENSMUSP00000109281.1 pep:known chromosome:GRCm38:5:67780879:67847431:-1 gene:ENSMUSG00000037685.15 transcript:ENSMUST00000113651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8a1 description:ATPase, aminophospholipid transporter (APLT), class I, type 8A, member 1 [Source:MGI Symbol;Acc:MGI:1330848] MPTMRRTVSEIRSRAEGYEKTDDVSEKTSLADQEEVRTIFINQPQLTKFCNNHVSTAKYN VITFLPRFLYSQFRRAANSFFLFIALLQQIPDVSPTGRYTTLVPLLFILAVAAIKEIIED IF >ENSMUSP00000121630.2 pep:known chromosome:GRCm38:5:67812172:67815853:-1 gene:ENSMUSG00000037685.15 transcript:ENSMUST00000141443.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp8a1 description:ATPase, aminophospholipid transporter (APLT), class I, type 8A, member 1 [Source:MGI Symbol;Acc:MGI:1330848] MSNTPSMAPGSSYSGRGRLTHNQGARKPPGYEKTDDVSEKTSLADQEEVRTIFINQPQLT KFCNNHVSTAKYNVITFLPRFLYSQFRRAANSFFLFIALLQQIPDV >ENSMUSP00000108716.3 pep:known chromosome:GRCm38:5:92321347:92328079:-1 gene:ENSMUSG00000029417.9 transcript:ENSMUST00000113093.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cxcl9 description:chemokine (C-X-C motif) ligand 9 [Source:MGI Symbol;Acc:MGI:1352449] MKSAVLFLLGIIFLEQCGVRGTLVIRNARCSCISTSRGTIHYKSLKDLKQFAPSPNCNKT EIIATLKNGDQTCLDPDSANVKKLMKEWEKKISQKKKQKRGKKHQKNMKNRKPKTPQSRR RSRKTT >ENSMUSP00000094164.4 pep:known chromosome:GRCm38:15:57694665:57839832:1 gene:ENSMUSG00000071757.10 transcript:ENSMUST00000096430.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zhx2 description:zinc fingers and homeoboxes 2 [Source:MGI Symbol;Acc:MGI:2683087] MASKRKSTTPCMVRTSQVLEQDMLEEADRAKDKGAGMPQSDVTKDSWAAEPEHSSKETEV VEVKSMGENLSKKLQGGYECKYCPYSTQNLNEFTEHVDMQHPNVILNPLYVCAECNFTTK KYDSLSDHNSKFHPGETNFKLKLIKRNNQTVLEQSIEATNHVVPITASGPGSSDNDPGVS VGKTPMTKTGKLKADAKKVPKKPDEAAPENHMEGTARLVTDTAEILARLGSVELLQDSLG HVMPSVQLPPNINLVPKVPVPLNTTKYNSALDTNATMINSFNKFPYPTQAELSWLTAASK HPEEHIRIWFATQRLKHGISWSPEEVEEARKKMFNGTIQSVPPTITVLPAQLTPTKVSQP ILQTALPCQILGQPSLVLTQVTSGSTTVSCSPITLAVAGVTNHGQKRPLVTPQAAPEPKR PHIAQVPEPPPKVANTPLTPASDRKKTKLQIAHLKASFLQSQFPDDAEVYRLIEVTGLAR SEIKKWFSDHRYRCQRGIVHITSESLAKDQMAITGTRHGRTYHVYPDFAPQKFKEKSQGQ LKTLEDSFLKSSFPTQAEVERLRVETKLSRREIDSWFSERRKLRDSMEQAVLDSMGSGKK GSDAVAPNGALSRLDQLSGAQLAGSLPSPSSAIVQNQEQVHLLRSTFARTQWPTPQEYDQ LAAKTGLVRTEIVRWFKENRCLLKTGTLSWLEQYQRHHMSDDRGRDAVSRKVAKQVAESP KNGSEAAHQYAKDPKALSEEDSEKLVPRMKVGGDPTKDCLAGKPSEATSDRSEGSRDGQG SEENEESGIVDFVEVTVGEEDAISEKWGSWSRRVAEGTVERADSDSDSTPAEAGQA >ENSMUSP00000144476.1 pep:known chromosome:GRCm38:5:33629925:33632092:1 gene:ENSMUSG00000097271.1 transcript:ENSMUST00000181102.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9903 description:predicted gene 9903 [Source:MGI Symbol;Acc:MGI:3646113] MPSFSCPLLVMCLWAGPFPLGVSFLDLPCDWKALLCIGYRCCEGKLLCRFLEDRGLATWI TAGRSQIRTETSGRHLCLRGTLPRTVLNHTLPGQIWTNGGLLKSPRSPCVSQALQLIKLH TPHSSAVFE >ENSMUSP00000133515.1 pep:known chromosome:GRCm38:10:77622275:77645993:1 gene:ENSMUSG00000009293.17 transcript:ENSMUST00000174510.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2g2 description:ubiquitin-conjugating enzyme E2G 2 [Source:MGI Symbol;Acc:MGI:1343188] MAGTALKRLMAEYKQLTLNPPEGIVAGPMNEENFFEWEALIMGPEDTCFEFGVFPAILSF PLDYPLSPPKMRFTCEMFHPNIYPDGRVCISILHAPGDDPMGYESSAERWSPVQSVEKIL LSVVSMLAEPNDESGANVDASKMWRDDREQFYKIAKQIVQKSLGL >ENSMUSP00000134670.1 pep:known chromosome:GRCm38:10:77622313:77644486:1 gene:ENSMUSG00000009293.17 transcript:ENSMUST00000172813.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2g2 description:ubiquitin-conjugating enzyme E2G 2 [Source:MGI Symbol;Acc:MGI:1343188] MRFTCEMFHPNIYPDGRVCISILHAPGDDPMGYESSAERWSPVQSVEKILLSVVSMLAEP NDESGANVDAS >ENSMUSP00000134208.1 pep:known chromosome:GRCm38:10:77622323:77644559:1 gene:ENSMUSG00000009293.17 transcript:ENSMUST00000174546.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube2g2 description:ubiquitin-conjugating enzyme E2G 2 [Source:MGI Symbol;Acc:MGI:1343188] MAGTALKRLMAEYKQLTLNPPEGIVAGPMNEENFFEWEALIILS >ENSMUSP00000134397.1 pep:known chromosome:GRCm38:10:77622378:77641500:1 gene:ENSMUSG00000009293.17 transcript:ENSMUST00000172772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2g2 description:ubiquitin-conjugating enzyme E2G 2 [Source:MGI Symbol;Acc:MGI:1343188] XGTALKRLMAEYKQLTLNPPEGIVAGPMNEENFFEWEALIIPFTKKRPFTNVLRTSIKEL TVLLWVCLTLLFWQRTGWAFILWGPEDTCFEFGVFP >ENSMUSP00000121006.1 pep:known chromosome:GRCm38:13:100107997:100114233:1 gene:ENSMUSG00000021643.14 transcript:ENSMUST00000142155.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serf1 description:small EDRK-rich factor 1 [Source:MGI Symbol;Acc:MGI:1337114] MARGNQREIARQKNMKKTQEISKGKRKEDSLTASQRKQRDSEIMQQKQKIANEKKSMQTT EK >ENSMUSP00000022145.8 pep:known chromosome:GRCm38:13:100108012:100114238:1 gene:ENSMUSG00000021643.14 transcript:ENSMUST00000022145.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serf1 description:small EDRK-rich factor 1 [Source:MGI Symbol;Acc:MGI:1337114] MARGNQREIARQKNMKKTQEISKGKRKEDSLTASQRKQRDSEIMQQKQKIANEKKSMQTT EK >ENSMUSP00000121609.1 pep:known chromosome:GRCm38:13:100108085:100111009:1 gene:ENSMUSG00000021643.14 transcript:ENSMUST00000132053.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serf1 description:small EDRK-rich factor 1 [Source:MGI Symbol;Acc:MGI:1337114] MARGNQREIARQKNMKKTQEISKGKRKEDSLTASQRKQR >ENSMUSP00000121421.1 pep:known chromosome:GRCm38:13:100108155:100114571:1 gene:ENSMUSG00000021643.14 transcript:ENSMUST00000129014.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serf1 description:small EDRK-rich factor 1 [Source:MGI Symbol;Acc:MGI:1337114] MARGNQREIARQKNMKKTQEISKGKRKEDSLTASQRKQRDSEIMQQKQKIANEKKSMQTT EK >ENSMUSP00000119853.1 pep:known chromosome:GRCm38:13:100108341:100114238:1 gene:ENSMUSG00000021643.14 transcript:ENSMUST00000151821.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serf1 description:small EDRK-rich factor 1 [Source:MGI Symbol;Acc:MGI:1337114] MKKTQEISKGKRKEDSLTASQRKQRDSEIMQQKQKIANEKKSMQTTEK >ENSMUSP00000119193.1 pep:known chromosome:GRCm38:13:100108396:100114231:1 gene:ENSMUSG00000021643.14 transcript:ENSMUST00000152286.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serf1 description:small EDRK-rich factor 1 [Source:MGI Symbol;Acc:MGI:1337114] MKKTQEISKGKRKEDSLTASQRKQRDSEIMQQKQKIANEKKSMQTTEK >ENSMUSP00000028299.4 pep:known chromosome:GRCm38:19:58785803:58794461:-1 gene:ENSMUSG00000026931.10 transcript:ENSMUST00000028299.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019N19Rik description:RIKEN cDNA 1700019N19 gene [Source:MGI Symbol;Acc:MGI:1914757] MLKPAESKMESPKTFMRKLPITPGYCGFIPWLSCQESSSEDRMNPCVKAFQERTQRYKED QQGLNCSVANTPPLKPICSEDTVLWVLHEYAKKYHPLTLECKNEKKPLQEPPIPGWAGYL PRARVTEFGYATRYTIMAKKCYKDFLDLVEQAKRAQLKPYEQTYDVRAAQPLSPSSKILQ LQGLSPAFPEFSGPGQTPPSEDPQAPRPCGCAQWSSQSCSRNVYGEPPSLAKAFAES >ENSMUSP00000126241.1 pep:known chromosome:GRCm38:19:58785996:58793283:-1 gene:ENSMUSG00000026931.10 transcript:ENSMUST00000166692.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700019N19Rik description:RIKEN cDNA 1700019N19 gene [Source:MGI Symbol;Acc:MGI:1914757] MESPKTFMRKLPITPGYCGFIPWLSCQESSSEDRMNPCVKAFQERTQRYKEDQQGLNCSV ANTPPLKPICSEDTVLWVLHEYAKKYHPLTLECKNEKKPLQEPPIPGWAGYLPRARVTEF GYATRYTIMAKKCYKDFLDLVEQAKRAQLKPYEQTYDVRAAQPLSPSSKILQLQGLSPAF PEFSGPGQTPPSEDPQAPRPCGCAQWSSQSCSRNVYGEPPSLAKAFAES >ENSMUSP00000143898.1 pep:known chromosome:GRCm38:15:100869858:100936327:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000202527.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] M >ENSMUSP00000144582.1 pep:known chromosome:GRCm38:15:100869858:100936327:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000201484.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] M >ENSMUSP00000143879.1 pep:known chromosome:GRCm38:15:100870645:101011064:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000201518.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLE AGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNL IRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPEWSKNVEYTFTGIYTFESLVKIIAR GFCIDGFTFLRDPWNWLDFSVIMMAYITEFVNLGNVSALRTFRVLRALKTISVIPGLKTI VGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTRGF DWEEYINNKTNFYMVPGMLEPLLCGNSSDAGQCPEGFQCMKAGRNPNYGYTSFDTFSWAF LALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQA TLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEDGVGSPRSSSEL SKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKF SIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDS LFIPIRARERRSSYSGYSGYSQCSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGPGSHIGR LLPEATTEVEIKKKGPGSLLVSMEQLASYGRKDRINSIMSVVTNTLVEELEESQRKCPPC WYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAMEHHPMTPQFE HVLAVGNLVFTGIFTAEMFLKLIA >ENSMUSP00000144173.1 pep:known chromosome:GRCm38:15:100870661:100936327:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000200933.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] M >ENSMUSP00000104537.3 pep:known chromosome:GRCm38:15:100870904:101045938:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000108909.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLE AGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNL IRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPEWSKNVEYTFTGIYTFESLVKIIAR GFCIDGFTFLRDPWNWLDFSVIMMAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTI VGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTRGF DWEEYINNKTNFYMVPGMLEPLLCGNSSDAGQCPEGFQCMKAGRNPNYGYTSFDTFSWAF LALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQA TLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEDGVGSPRSSSEL SKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKF SIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDS LFIPIRARERRSSYSGYSGYSQCSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGPGSHIGR LLPEVKIDKAATDSATTEVEIKKKGPGSLLVSMEQLASYGRKDRINSIMSVVTNTLVEEL EESQRKCPPCWYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAM EHHPMTPQFEHVLAVGNLVFTGIFTAEMFLKLIAMDPYYYFQEGWNIFDGFIVSLSLMEL GLADVEGLSVLRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVV GMQLFGKSYKECVCKISQECKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAM CLIVFMMVMVIGNLVVLNLFLALLLSSFSADNLAATDDDGEMNNLQISVIRIKKGVAWAK VKVHAFMQAHFKQREADEVKPLDELYEKKANCIANHTGVDIHRNGDFQKNGNGTTSGIGS SVEKYIIDEDHMSFINNPNLTVRVPIAVGESDFENLNTEDVSSESDPEGSKDKLDDTSSS EGSTIDIKPEVEEVPVEQPEEYLDPDACFTEGCVQRFKCCQVNIEEGLGKSWWILRKTCF LIVEHNWFETFIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWT AYGFVKFFTNAWCWLDFLIVAVSLVSLIANALGYSELGAIKSLRTLRALRPLRALSRFEG MRVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEIRFEIDEVNN KTDCEKLMEGNNTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAVDSRKPDEQP DYEGNIYMYIYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAM KKLGSKKPQKPIPRPLNKIQGIVFDFVTQQAFDIVIMMLICLNMVTMMVETDTQSKQMEN ILYWINLVFVIFFTCECVLKMFALRHYYFTIGWNIFDFVVVILSIVGMFLADIIEKYFVS PTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIFSIFGMSN FAYVKHEAGIDDMFNFETFGNSMICLFQITTSAGWDGLLLPILNRPPDCSLDKEHPGSGF KGDCGNPSVGIFFFVSYIIISFLIVVNMYIAIILENFSVATEESADPLSEDDFETFYEIW EKFDPDATQFIEYCKLADFADALEHPLRVPKPNTIELIAMDLPMVSGDRIHCLDILFAFT KRVLGDSGELDILRQQMEERFVASNPSKVSYEPITTTLRRKQEEVSAVVLQRAYRGHLAR RGFICRKITSNKLENGGTHREKKESTPSTASLPSYDSVTKPDKEKQQRAEEGRRERAKRQ KEVRESKC >ENSMUSP00000144013.1 pep:known chromosome:GRCm38:15:100870945:101041693:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000201549.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLE AGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNL IRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPEWSKNVEYTFTGIYTFESLVKIIAR GFCIDGFTFLRDPWNWLDFSVIMMAYITEFVNLGNVSALRTFRVLRALKTISVIPGLKTI VGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTRGF DWEEYINNKTNFYMVPGMLEPLLCGNSSDAGQCPEGFQCMKAGRNPNYGYTSFDTFSWAF LALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQA TLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEDGVGSPRSSSEL SKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKF SIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDS LFIPIRARERRSSYSGYSGYSQCSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGPGSHIGR LLPEATTEVEIKKKGPGSLLVSMEQLASYGRKDRINSIMSVVTNTLVEELEESQRKCPPC WYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAMEHHPMTPQFE HVLAVGNLVFTGIFTAEMFLKLIAMDPYYYFQEGWNIFDGFIVSLSLMELGLADVEGLSV LRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYK ECVCKISQECKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLIVFMMVMV IGNLVVLNLFLALLLSSFSADNLAATDDDGEMNNLQISVIRIKKGVAWAKVKVHAFMQAH FKQREADEVKPLDELYEKKANCIANHTGVDIHRNGDFQKNGNGTTSGIGSSVEKYIIDED HMSFINNPNLTVRVPIAVGESDFENLNTEDVSSESDPEGSKDKLDDTSSSEGSTIDIKPE VEEVPVEQPEEYLDPDACFTEGCVQRFKCCQVNIEEGLGKSWWILRKTCFLIVEHNWFET FIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWTAYGFVKFFTN AWCWLDFLIVAVSLVSLIANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALVG AIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEIRFEIDEVNNKTDCEKLMEG NNTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAVDSRKPDEQPDYEGNIYMYI YFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQK PIPRPLNKIQGIVFDFVTQQAFDIVIMMLICLNMVTMMVETDTQSKQMENILYWINLVFV IFFTCECVLKMFALRHYYFTIGWNIFDFVVVILSIVGMFLADIIEKYFVSPTLFRVIRLA RIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIFSIFGMSNFAYVKHEAGI DDMFNFETFGNSMICLFQITTSAGWDGLLLPILNRPPDCSLDKEHPGSGFKGDCGNPSVG IFFFVSYIIISFLIVVNMYIAIILENFSVATEESADPLSEDDFETFYEIWEKFDPDATQF IEYCKLADFADALEHPLRVPKPNTIELIAMDLPMVSGDRIHCLDILFAFTKRVLGDSGEL DILRQQMEERFVASNPSKVSYEPITTTLRRKQEEVSAVVLQRAYRGHLARRGFICRKITS NKLENGGTHREKKESTPSTASLPSYDSVTKPDKEKQQRAEEGRRERAKRQKEVRESKC >ENSMUSP00000104536.3 pep:known chromosome:GRCm38:15:100870952:101041610:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000108908.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLE AGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNL IRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPEWSKNVEYTFTGIYTFESLVKIIAR GFCIDGFTFLRDPWNWLDFSVIMMAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTI VGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTRGF DWEEYINNKTNFYMVPGMLEPLLCGNSSDAGQCPEGFQCMKAGRNPNYGYTSFDTFSWAF LALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQA TLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEDGVGSPRSSSEL SKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKF SIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDS LFIPIRARERRSSYSGYSGYSQCSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGPGSHIGR LLPEATTEVEIKKKGPGSLLVSMEQLASYGRKDRINSIMSVVTNTLVEELEESQRKCPPC WYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAMEHHPMTPQFE HVLAVGNLVFTGIFTAEMFLKLIAMDPYYYFQEGWNIFDGFIVSLSLMELGLADVEGLSV LRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYK ECVCKISQECKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLIVFMMVMV IGNLVVLNLFLALLLSSFSADNLAATDDDGEMNNLQISVIRIKKGVAWAKVKVHAFMQAH FKQREADEVKPLDELYEKKANCIANHTGVDIHRNGDFQKNGNGTTSGIGSSVEKYIIDED HMSFINNPNLTVRVPIAVGESDFENLNTEDVSSESDPEGSKDKLDDTSSSEGSTIDIKPE VEEVPVEQPEEYLDPDACFTEGCVQRFKCCQVNIEEGLGKSWWILRKTCFLIVEHNWFET FIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWTAYGFVKFFTN AWCWLDFLIVAVSLVSLIANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALVG AIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEIRFEIDEVNNKTDCEKLMEG NNTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAVDSRKPDEQPDYEGNIYMYI YFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQK PIPRPLNKIQGIVFDFVTQQAFDIVIMMLICLNMVTMMVETDTQSKQMENILYWINLVFV IFFTCECVLKMFALRHYYFTIGWNIFDFVVVILSIVGMFLADIIEKYFVSPTLFRVIRLA RIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIFSIFGMSNFAYVKHEAGI DDMFNFETFGNSMICLFQITTSAGWDGLLLPILNRPPDCSLDKEHPGSGFKGDCGNPSVG IFFFVSYIIISFLIVVNMYIAIILENFSVATEESADPLSEDDFETFYEIWEKFDPDATQF IEYCKLADFADALEHPLRVPKPNTIELIAMDLPMVSGDRIHCLDILFAFTKRVLGDSGEL DILRQQMEERFVASNPSKVSYEPITTTLRRKQEEVSAVVLQRAYRGHLARRGFICRKITS NKLENGGTHREKKESTPSTASLPSYDSVTKPDKEKQQRAEEGRRERAKRQKEVRESKC >ENSMUSP00000144501.1 pep:known chromosome:GRCm38:15:100871420:100936327:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000202071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] M >ENSMUSP00000093912.3 pep:known chromosome:GRCm38:15:100933429:100940798:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000096198.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLE AGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKPQLAQLSRCR >ENSMUSP00000144223.1 pep:known chromosome:GRCm38:15:100936306:100940421:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000200959.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLE AGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKLAQLSRCR >ENSMUSP00000144371.1 pep:known chromosome:GRCm38:15:100936325:101040688:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000200963.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLE AGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNL IRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPEWSKNVEYTFTGIYTFESLVKIIAR GFCIDGFTFLRDPWNWLDFSVIMMAYITEFVNLGNVSALRTFRVLRALKTISVIPGLKTI VGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTRGF DWEEYINNKTNFYMVPGMLEPLLCGNSSDAGQCPEGFQCMKAGRNPNYGYTSFDTFSWAF LALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQA TLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEDGVGSPRSSSEL SKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKF SIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDS LFIPIRARERRSSYSGYSGYSQCSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGPGSHIGR LLPEATTEVEIKKKGPGSLLVSMEQLASYGRKDRINSIMSVVTNTLVEELEESQRKCPPC WYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAMEHHPMTPQFE HVLAVGNLVFTGIFTAEMFLKLIAMDPYYYFQEGWNIFDGFIVSLSLMELGLADVEGLSV LRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYK ECVCKISQECKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLIVFMMVMV IGNLVVLNLFLALLLSSFSADNLAATDDDGEMNNLQISVIRIKKGVAWAKVKVHAFMQAH FKQREADEVKPLDELYEKKANCIANHTGVDIHRNGDFQKNGNGTTSGIGSSVEKYIIDED HMSFINNPNLTVRVPIAVGESDFENLNTEDVSSESDPEGSKDKLDDTSSSEGSTIDIKPE VEEVPVEQPEEYLDPDACFTEGCVQRFKCCQVNIEEGLGKSWWILRKTCFLIVEHNWFET FIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWTAYGFVKFFTN AWCWLDFLIVAVVVNALVGAIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEI RFEIDEVNNKTDCEKLMEGNNTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAV DSRKPDEQPDYEGNIYMYIYFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTE EQKKYYNAMKKLGSKKPQKPIPRPLNKIQGIVFDFVTQQAFDIVIMMLICLNMVTMMVET DTQSKQMENILYWINLVFVIFFTCECVLKMFALRHYYFTIGWNIFDFVVVILSIVGMFLA DIIEKYFVSPTLFRVIRLARIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMF IFSIFGMSNFAYVKHEAGIDDMFNFETFGNSMICLFQITTSAGWDGLLLPILNRPPDCSL DKEHPGSGFKGDCGNPSVGIFFFVSYIIISFLIVVNMYIAIILENFSVATEESADPLSED DFETFYEIWEKFDPDATQFIEYCKLADFADALEHPLRVPKPNTIELIAMDLPMVSGDRIH CLDILFAFTKRVLGDSGELDILRQQMEERFVASNPSKVSYEPITTTLRRKQEEVSAVVLQ RAYRGHLARRGFICRKITSNKLENGGTHREKKESTPSTASLPSYDSVTKPDKEKQQRAEE GRRERAKRQKEVRESKC >ENSMUSP00000144232.1 pep:known chromosome:GRCm38:15:100955501:100961465:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000201438.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] XIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPEWSKNVEYTFTGIYTFESLVKIIARGF CIDGFTFLRDPWNWLDFSVIMMAYITEFVNLGNVSALRTFRVLRALKTISVIPGERIRAQ DQSCHAPTSSHS >ENSMUSP00000143876.1 pep:known chromosome:GRCm38:15:100957084:101002079:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000202702.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] FSNPPEWSKNVEYTFTGIYTFESLVKIIARGFCIDGFTFLRDPWNWLDFSVIMMAYITEF VNLGNVSALRTFRVLRALKTISVIPGLKTIVGALIQSVKKLSDVMILTVFCLSVFALIGL QLFMGNLRNKCVVWPINFNESYLENGTRGFDWEEYINNKTNFYMVPGMLEPLLCGNSSDA GQCPEGFQCMKAGRNPNYGYTSFDTFSWAFLALFRLMTQDYWENLYQLTLRAAGKTYMIF FVLVIFVGSFYLVNLILAVVAMAYEEQNQATLEEAEQKEAEFKAMLEQLKKQQEEAQAAA MATSAGTVSEDAIEEEGEDGVGSPRSSSELSKLSSKSAKERRNRRKKRKQKELSEGEEKG DPEKVFKSESEDGMRRKAFRLPDNRIGRKFSIMNQSLLSIPGSPFLSRHNSKSSIFSFRG PGRFRDPGSENEFADDEHSTVEESEGRRDSLFIPIRARERRSSYSGYSGYSQCSRSSRIF PSLRRSVKRNSTVDCNGVVSLIGPGSHIGRLLPEVKIDKAATDSATTEVEIKKKGPGSL >ENSMUSP00000104538.3 pep:known chromosome:GRCm38:15:100936271:101045929:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000108910.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLE AGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNL IRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPEWSKNVEYTFTGIYTFESLVKIIAR GFCIDGFTFLRDPWNWLDFSVIMMAYVTEFVDLGNVSALRTFRVLRALKTISVIPGLKTI VGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTRGF DWEEYINNKTNFYMVPGMLEPLLCGNSSDAGQCPEGFQCMKAGRNPNYGYTSFDTFSWAF LALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQA TLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEDGVGSPRSSSEL SKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKF SIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDS LFIPIRARERRSSYSGYSGYSQCSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGPGSHIGR LLPEATTEVEIKKKGPGSLLVSMEQLASYGRKDRINSIMSVVTNTLVEELEESQRKCPPC WYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAMEHHPMTPQFE HVLAVGNLVFTGIFTAEMFLKLIAMDPYYYFQEGWNIFDGFIVSLSLMELGLADVEGLSV LRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYK ECVCKISQECKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLIVFMMVMV IGNLVVLNLFLALLLSSFSADNLAATDDDGEMNNLQISVIRIKKGVAWAKVKVHAFMQAH FKQREADEVKPLDELYEKKANCIANHTGVDIHRNGDFQKNGNGTTSGIGSSVEKYIIDED HMSFINNPNLTVRVPIAVGESDFENLNTEDVSSESDPEGSKDKLDDTSSSEGSTIDIKPE VEEVPVEQPEEYLDPDACFTEGCVQRFKCCQVNIEEGLGKSWWILRKTCFLIVEHNWFET FIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWTAYGFVKFFTN AWCWLDFLIVAVSLVSLIANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALVG AIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEIRFEIDEVNNKTDCEKLMEG NNTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAVDSRKPDEQPDYEGNIYMYI YFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQK PIPRPLNKIQGIVFDFVTQQAFDIVIMMLICLNMVTMMVETDTQSKQMENILYWINLVFV IFFTCECVLKMFALRHYYFTIGWNIFDFVVVILSIVGMFLADIIEKYFVSPTLFRVIRLA RIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIFSIFGMSNFAYVKHEAGI DDMFNFETFGNSMICLFQITTSAGWDGLLLPILNRPPDCSLDKEHPGSGFKGDCGNPSVG IFFFVSYIIISFLIVVNMYIAIILENFSVATEESADPLSEDDFETFYEIWEKFDPDATQF IEYCKLADFADALEHPLRVPKPNTIELIAMDLPMVSGDRIHCLDILFAFTKRVLGDSGEL DILRQQMEERFVASNPSKVSYEPITTTLRRKQEEVSAVVLQRAYRGHLARRGFICRKITS NKLENGGTHREKKESTPSTASLPSYDSVTKPDKEKQQRAEEGRRERAKRQKEVRESKC >ENSMUSP00000080842.6 pep:known chromosome:GRCm38:15:100870683:101045929:1 gene:ENSMUSG00000023033.14 transcript:ENSMUST00000082209.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Scn8a description:sodium channel, voltage-gated, type VIII, alpha [Source:MGI Symbol;Acc:MGI:103169] MAARLLAPPGPDSFKPFTPESLANIERRIAESKLKKPPKADGSHREDDEDSKPKPNSDLE AGKSLPFIYGDIPQGLVAVPLEDFDPYYLTQKTFVVLNRGKTLFRFSATPALYILSPFNL IRRIAIKILIHSVFSMIIMCTILTNCVFMTFSNPPEWSKNVEYTFTGIYTFESLVKIIAR GFCIDGFTFLRDPWNWLDFSVIMMAYITEFVNLGNVSALRTFRVLRALKTISVIPGLKTI VGALIQSVKKLSDVMILTVFCLSVFALIGLQLFMGNLRNKCVVWPINFNESYLENGTRGF DWEEYINNKTNFYMVPGMLEPLLCGNSSDAGQCPEGFQCMKAGRNPNYGYTSFDTFSWAF LALFRLMTQDYWENLYQLTLRAAGKTYMIFFVLVIFVGSFYLVNLILAVVAMAYEEQNQA TLEEAEQKEAEFKAMLEQLKKQQEEAQAAAMATSAGTVSEDAIEEEGEDGVGSPRSSSEL SKLSSKSAKERRNRRKKRKQKELSEGEEKGDPEKVFKSESEDGMRRKAFRLPDNRIGRKF SIMNQSLLSIPGSPFLSRHNSKSSIFSFRGPGRFRDPGSENEFADDEHSTVEESEGRRDS LFIPIRARERRSSYSGYSGYSQCSRSSRIFPSLRRSVKRNSTVDCNGVVSLIGPGSHIGR LLPEATTEVEIKKKGPGSLLVSMEQLASYGRKDRINSIMSVVTNTLVEELEESQRKCPPC WYKFANTFLIWECHPYWIKLKEIVNLIVMDPFVDLAITICIVLNTLFMAMEHHPMTPQFE HVLAVGNLVFTGIFTAEMFLKLIAMDPYYYFQEGWNIFDGFIVSLSLMELGLADVEGLSV LRSFRLLRVFKLAKSWPTLNMLIKIIGNSVGALGNLTLVLAIIVFIFAVVGMQLFGKSYK ECVCKISQECKLPRWHMNDFFHSFLIVFRVLCGEWIETMWDCMEVAGQAMCLIVFMMVMV IGNLVVLNLFLALLLSSFSADNLAATDDDGEMNNLQISVIRIKKGVAWAKVKVHAFMQAH FKQREADEVKPLDELYEKKANCIANHTGVDIHRNGDFQKNGNGTTSGIGSSVEKYIIDED HMSFINNPNLTVRVPIAVGESDFENLNTEDVSSESDPEGSKDKLDDTSSSEGSTIDIKPE VEEVPVEQPEEYLDPDACFTEGCVQRFKCCQVNIEEGLGKSWWILRKTCFLIVEHNWFET FIIFMILLSSGALAFEDIYIEQRKTIRTILEYADKVFTYIFILEMLLKWTAYGFVKFFTN AWCWLDFLIVAVSLVSLIANALGYSELGAIKSLRTLRALRPLRALSRFEGMRVVVNALVG AIPSIMNVLLVCLIFWLIFSIMGVNLFAGKYHYCFNETSEIRFEIDEVNNKTDCEKLMEG NNTEIRWKNVKINFDNVGAGYLALLQVATFKGWMDIMYAAVDSRKPDEQPDYEGNIYMYI YFVIFIIFGSFFTLNLFIGVIIDNFNQQKKKFGGQDIFMTEEQKKYYNAMKKLGSKKPQK PIPRPLNKIQGIVFDFVTQQAFDIVIMMLICLNMVTMMVETDTQSKQMENILYWINLVFV IFFTCECVLKMFALRHYYFTIGWNIFDFVVVILSIVGMFLADIIEKYFVSPTLFRVIRLA RIGRILRLIKGAKGIRTLLFALMMSLPALFNIGLLLFLVMFIFSIFGMSNFAYVKHEAGI DDMFNFETFGNSMICLFQITTSAGWDGLLLPILNRPPDCSLDKEHPGSGFKGDCGNPSVG IFFFVSYIIISFLIVVNMYIAIILENFSVATEESADPLSEDDFETFYEIWEKFDPDATQF IEYCKLADFADALEHPLRVPKPNTIELIAMDLPMVSGDRIHCLDILFAFTKRVLGDSGEL DILRQQMEERFVASNPSKVSYEPITTTLRRKQEEVSAVVLQRAYRGHLARRGFICRKITS NKLENGGTHREKKESTPSTASLPSYDSVTKPDKEKQQRAEEGRRERAKRQKEVRESKC >ENSMUSP00000029353.3 pep:known chromosome:GRCm38:3:69067149:69127113:-1 gene:ENSMUSG00000027782.10 transcript:ENSMUST00000029353.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna4 description:karyopherin (importin) alpha 4 [Source:MGI Symbol;Acc:MGI:1100848] MGVAVKPGPQIEAASPSQPAAHSAAVAAAAAGPAVVFARAAVVPELRAGEASQEAEARRA RGSERTGGRRRRRPASWERLCGAVVGADPAGPGRVGRVAGPAMADNEKLDNQRLKNFKNK GRDLETMRRQRNEVVVELRKNKRDEHLLKRRNVPQEDICEDSDIDGDYRVQNTSLEAIVQ NASSDNQGIQLSAVQAARKLLSSDRNPPIDDLIKSGILPILVHCLERDDNPSLQFEAAWA LTNIASGTSEQTQAVVQSNAVPLFLRLLHSPHQNVCEQAVWALGNIIGDGPQCRDYVISL GVVKPLLSFISPSIPITFLRNVTWVMVNLCRHKDPPPPMETIQEILPALCVLIHHTDVNI LVDTVWALSYLTDAGNEQIQMVIDSGIVPHLVPLLSHQEVKVQTAALRAVGNIVTGTDEQ TQVVLNCDALSHFPALLTHPKEKINKEAVWFLSNITAGNQQQVQAVIDANLVPMIIHLLD KGDFGTQKEAAWAISNLTISGRKDQVAYLIQQNVIPPFCNLLTVKDAQVVQVVLDGLSNI LKMAEDQAETIANLIEECGGLEKIEQLQNHENEDIYKLAYEIIDQFFSSDDIDEDPSLVP ESVQGGTFGFNSSTNVPTEGFQF >ENSMUSP00000121076.1 pep:known chromosome:GRCm38:3:69094715:69126630:-1 gene:ENSMUSG00000027782.10 transcript:ENSMUST00000127497.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna4 description:karyopherin (importin) alpha 4 [Source:MGI Symbol;Acc:MGI:1100848] MRRQRNEVVVELRKNKRDEHLLKRRNVPQEDICEDSDIDGDYRVQNTSLEAIVQNASSDN QGIQLSAVQAARKLLSSDRNPPIDDLIKSGILPILVHCLERDDNPSLQFEAA >ENSMUSP00000141227.1 pep:known chromosome:GRCm38:3:69072221:69127092:-1 gene:ENSMUSG00000027782.10 transcript:ENSMUST00000194558.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kpna4 description:karyopherin (importin) alpha 4 [Source:MGI Symbol;Acc:MGI:1100848] MADNEKLDNQRLKNFKNKGRDLETMRRQRNEVVVELRKNKRDEHLLKRRNVPQEDICEDS DIDGDYRVQNTSLEAIVQNASSDNQGIQLSAVQAARKLLSSDRNPPIDDLIKSGILPILV HCLERDDNPSLQFEAAWALTNIASGTSEQTQAVVQSNAVPLFLRLLHSPHQNVCEQAVWA LGNIIGDGPQCRDYVISLGVVKPLLSFISPSIPITFLRNVTWVMVNLCRHKDPPPPMETI QEILPALCVLIHHTDVNILVDTVWALSYLTDAGNEQIQMVIDSGIVPHLVPLLSHQEVKV QTAALRAVGNIVTGTDEQTQVVLNCDALSHFPALLTHPKEKINKEAVWFLSNITAGNQQQ VQAVIDANLVPMIIHLLDKGDFGTQKEAAWAISNLTISGRKDQVAYLIQQNVIPPFCNLL TVKDAQVVQVVLDGLSNILKMAEDQAETIANLIEECGGLEKIEQLQNHENEDIYKLAYEI IDQFFSSDDIDEDPSLVPESVQGGTFGFNSSTNVPTEGFQF >ENSMUSP00000046476.6 pep:known chromosome:GRCm38:13:3634032:3651774:1 gene:ENSMUSG00000033781.6 transcript:ENSMUST00000042288.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Asb13 description:ankyrin repeat and SOCS box-containing 13 [Source:MGI Symbol;Acc:MGI:2145525] MEPRAGDGCFLGDVGFWVERTPVHEAAQRGESLQLQQLIDSGACVNQVTVDSITPLHAAS LQGQAQCVQLLLAAGAQVDARNIDGSTPLCDACASGSIECVKLLLSYGAKVNPPLYTASP LHEACMSGSSECVRLLIDVGANLEAHDCHFGTPLHVACAREHLDCVKVLLNAGANVNAAK LHETALHHAAKVKNVDLIEMLIEFGGNIYARDNRGKKPSDYTWSSSAPAKCFEYYEKTPL SLSQLCRVSLRKATGVRGLEKVAKLNIPPRLIDYLSYN >ENSMUSP00000108890.1 pep:known chromosome:GRCm38:17:47867133:47924645:-1 gene:ENSMUSG00000023991.16 transcript:ENSMUST00000113265.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp4 description:forkhead box P4 [Source:MGI Symbol;Acc:MGI:1921373] MMVESASETIRSAPSGQNGVGSLSAQADGGGGAGTAGTAPAAGRDASGREAASGGADSNG EMSPAELLHFQQQQALQVARQFLLQQASSLNSPGNNDSKQSASAVQVPVSVAMMSQQMLT PQQMQQILSPPQLQALLQQQQALMLQQLQEYYKKQQEQLHLQLLTQQQAGKQQPKEALGN KQLAFQQQLLQMQQLQQQHLLNLQRQGLVSLQPSQASGPLQALPQAVCPTDLPQLWKGEG APGQPAEDSGRQEGLDLASTAVTATSFASPPKVSPPLSHHPLPNGQPTVLTSRRDSSSHE ETPSSHPLYGHGECKWPGCETLCEDLGQFIKHLNTEHALDDRSTAQCRVQMQVVQQLEIQ LAKESERLQAMMAHLHMRPSEPKPFSQPVTVSADPFPDGLVHPPTSAAAPVTPLRPPGLG SASLHSGGPARRRSNDKFCSPISSELAQNHEFYKNADVRPPFTYASLIRQAILETPDRQL TLNEIYNWFTRMFAYFRRNTATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEREYQKRRP PKMTGSPTLVKNMISGLSYGALNASYQAALAESSFPLLSNPGMLNPGSASSLLPLSQEDL GVPGEPLPSNGSSSPPRLSPPQYSHQIQVKEEPAEAEEDRRPGPPLGAPNPSTVGPPEDR DLEEDLGGEDMS >ENSMUSP00000108888.1 pep:known chromosome:GRCm38:17:47867764:47924400:-1 gene:ENSMUSG00000023991.16 transcript:ENSMUST00000113263.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp4 description:forkhead box P4 [Source:MGI Symbol;Acc:MGI:1921373] MMVESASETIRSAPSGQNGVGSLSAQADGGGGAGTAGTAPAAGRDASGREAASGGADSNG EMSPAELLHFQQQQALQVARQFLLQQASSLNSPGNNDSKQSASAVQVPVSVAMMSQQMLT PQQMQQILSPPQLQALLQQQQALMLQQEYYKKQQEQLHLQLLTQQQAGKQQPKEALGNKQ LAFQQQLLQMQQLQQQHLLNLQRQGLVSLQPSQASGPLQALPQAAVCPTDLPQLWKGEGA PGQPAEDSGRQEGLDLASTAVTATSFASPPKVSPPLSHHPLPNGQPTVLTSRRDSSSHEE TPSSHPLYGHGECKWPGCETLCEDLGQFIKHLNTEHALDDRSTAQCRVQMQVVQQLEIQL AKESERLQAMMAHLHMRPSEPKPFSQPLNPVPGSSSFSKVTVSADPFPDGLVHPPTSAAA PVTPLRPPGLGSASLHSGGPARRRSNDKFCSPISSELAQNHEFYKNADVRPPFTYASLIR QAILETPDRQLTLNEIYNWFTRMFAYFRRNTATWKNAVRHNLSLHKCFVRVENVKGAVWT VDEREYQKRRPPKMTGSPTLVKNMISGLSYGALNASYQAALAESSFPLLSNPGMLNPGSA SSLLPLSQEDLGVPGEPLPSNGSSSPPRLSPPQYSHQIQVKEEPAEAEEDRRPGPPLGAP NPSTVGPPEDRDLEEDLGGEDMS >ENSMUSP00000094916.2 pep:known chromosome:GRCm38:17:47867764:47924435:-1 gene:ENSMUSG00000023991.16 transcript:ENSMUST00000097311.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp4 description:forkhead box P4 [Source:MGI Symbol;Acc:MGI:1921373] MMVESASETIRSAPSGQNGVGSLSAQADGGGGAGTAGTAPAAGRDASGREAASGGADSNG EMSPAELLHFQQQQALQVARQFLLQQASSLNSPGNNDSKQSASAVQVPVSVAMMSQQMLT PQQMQQILSPPQLQALLQQQQALMLQQLQEYYKKQQEQLHLQLLTQQQAGKQQPKEALGN KQLAFQQQLLQMQQLQQQHLLNLQRQGLVSLQPSQASGPLQALPQAAVCPTDLPQLWKGE GAPGQPAEDSGRQEGLDLASTAVTATSFASPPKVSPPLSHHPLPNGQPTVLTSRRDSSSH EETPSSHPLYGHGECKWPGCETLCEDLGQFIKHLNTEHALDDRSTAQCRVQMQVVQQLEI QLAKESERLQAMMAHLHMRPSEPKPFSQPLNPVPGSSSFSKVTVSADPFPDGLVHPPTSA AAPVTPLRPPGLGSASLHSGGPARRRSNDKFCSPISSELAQNHEFYKNADVRPPFTYASL IRQAILETPDRQLTLNEIYNWFTRMFAYFRRNTATWKNAVRHNLSLHKCFVRVENVKGAV WTVDEREYQKRRPPKMTGSPTLVKNMISGLSYGALNASYQAALAESSFPLLSNPGMLNPG SASSLLPLSQEDLGVPGEPLPSNGSSSPPRLSPPQYSHQIQVKEEPAEAEEDRRPGPPLG APNPSTVGPPEDRDLEEDLGGEDMS >ENSMUSP00000108887.1 pep:known chromosome:GRCm38:17:47867768:47924476:-1 gene:ENSMUSG00000023991.16 transcript:ENSMUST00000113262.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Foxp4 description:forkhead box P4 [Source:MGI Symbol;Acc:MGI:1921373] MMVESASETIRSAPSGQNGVGSLSAQADGGGGAGTAGTAPAAGRDASGREAASGGADSNG EMSPAELLHFQQQQALQVARQFLLQQASSLNSPGNNDSKQSASAVQVPVSVAMMSQQMLT PQQMQQILSPPQLQALLQQQQALMLQQLQEYYKKQQEQLHLQLLTQQQAGKQQPKEALGN KQLAFQQQLLQMQQLQQQHLLNLQRQGLVSLQPSQASGPLQALPQAAVCPTDLPQLWKGE GAPGQPAEDSGRQEGLDLASTAVTATSFASPPKVSPPLSHHPLPNGQPTVLTSRRDSSSH EETPSSHPLYGHGECKWPGCETLCEDLGQFIKHLNTEHALDDRSTAQCRVQMQVVQQLEI QLAKESERLQAMMAHLHMRPSEPKPFSQPVTVSADPFPDGLVHPPTSAAAPVTPLRPPGL GSASLHSGGPARRRSNDKFCSPISSELAQNHEFYKNADVRPPFTYASLIRQAILETPDRQ LTLNEIYNWFTRMFAYFRRNTATWKNAVRHNLSLHKCFVRVENVKGAVWTVDEREYQKRR PPKMTGSPTLVKNMISGLSYGALNASYQAALAESSFPLLSNPGMLNPGSASSLLPLSQED LGVPGEPLPSNGSSSPPRLSPPQYSHQIQVKEEPAEAEEDRRPGPPLGAPNPSTVGPPED RDLEEDLGGEDMS >ENSMUSP00000020501.8 pep:known chromosome:GRCm38:10:77606097:77618331:1 gene:ENSMUSG00000020265.15 transcript:ENSMUST00000020501.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo3 description:small ubiquitin-like modifier 3 [Source:MGI Symbol;Acc:MGI:1336201] MSEEKPKEGVKTENDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFR FDGQPINETDTPAQLEMEDEDTIDVFQQQTGGSASRGSVPTPNRCPDLCY >ENSMUSP00000134357.1 pep:known chromosome:GRCm38:10:77606197:77641543:1 gene:ENSMUSG00000020265.15 transcript:ENSMUST00000174113.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sumo3 description:small ubiquitin-like modifier 3 [Source:MGI Symbol;Acc:MGI:1336201] MSEEKPKEGVKTENDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFR FDGQPINETDTPAQN >ENSMUSP00000133308.1 pep:known chromosome:GRCm38:10:77606209:77616710:1 gene:ENSMUSG00000020265.15 transcript:ENSMUST00000137841.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sumo3 description:small ubiquitin-like modifier 3 [Source:MGI Symbol;Acc:MGI:1336201] MSEEKPKEGVKTENDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFR FDGQPINETDTPAQFLALTILL >ENSMUSP00000134416.1 pep:known chromosome:GRCm38:10:77606225:77616307:1 gene:ENSMUSG00000020265.15 transcript:ENSMUST00000129492.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo3 description:small ubiquitin-like modifier 3 [Source:MGI Symbol;Acc:MGI:1336201] MSEEKPKVSPEGVKTENDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQI RFRFDGQPINETDTPAQLEMEDEDTIDVFQQQTGGSASRGSVPTPNRCPDLCY >ENSMUSP00000133466.1 pep:known chromosome:GRCm38:10:77606225:77616643:1 gene:ENSMUSG00000020265.15 transcript:ENSMUST00000141228.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo3 description:small ubiquitin-like modifier 3 [Source:MGI Symbol;Acc:MGI:1336201] MSEEKPKEGVKTENDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFR FDGQPINETDTPAQQTGGSASRGSVPTPNRCPDLCY >ENSMUSP00000097136.5 pep:known chromosome:GRCm38:10:77606571:77617422:1 gene:ENSMUSG00000020265.15 transcript:ENSMUST00000099538.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo3 description:small ubiquitin-like modifier 3 [Source:MGI Symbol;Acc:MGI:1336201] MTTVLAQEGVKTENDHINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQGLSMRQIRFR FDGQPINETDTPAQLEMEDEDTIDVFQQQTGGSASRGSVPTPNRCPDLCY >ENSMUSP00000134676.1 pep:known chromosome:GRCm38:10:77609838:77616898:1 gene:ENSMUSG00000020265.15 transcript:ENSMUST00000173691.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo3 description:small ubiquitin-like modifier 3 [Source:MGI Symbol;Acc:MGI:1336201] XINLKVAGQDGSVVQFKIKRHTPLSKLMKAYCERQVWAERQNSTCSL >ENSMUSP00000133789.1 pep:known chromosome:GRCm38:10:77613709:77616748:1 gene:ENSMUSG00000020265.15 transcript:ENSMUST00000124024.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sumo3 description:small ubiquitin-like modifier 3 [Source:MGI Symbol;Acc:MGI:1336201] MDNQSMKQTLQPSWRWRMRTPLMYSSSRQEDQPPEGASPHPTVVLTCAIEQ >ENSMUSP00000104155.2 pep:known chromosome:GRCm38:11:71153102:71201456:-1 gene:ENSMUSG00000070390.12 transcript:ENSMUST00000108515.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp1b description:NLR family, pyrin domain containing 1B [Source:MGI Symbol;Acc:MGI:3582959] MEESQYKQEHNKKVAQDEGQEDKDTIFETIEAIEAKLMELKTNPESTFNYGIFPEVYMNQ GEEILYPAWSLKEENLFQTFKSLRLFQKLCPRGSGNLVKKSWYPCVPEEGGHIINIQDLF GPNIGTQKEPQLVIIEGAAGIGKSTLARQVKRAWMEGELYRDHFQHVFFFSCRELAQCKK LSLAELITQGQDVPTAPINQILSHPEKLLFILDGIDEPAWVLADQNPELCLYWSQTQPVH TLLGSLLGKSILPEASFLLTTRTTALQKFIPSLPQSCQVEVLGFSDFEQEIYIYKYFAKQ IFGIKALMMVESNPVLLTLCEVPWVCWLVCNCLKKQMEQGGDVSLTSQTTTAICLKYISL TIPVHHMRTQLRALCSLAAEGIWKRRTLFSESDLCKQGLDEDAVAIFLKTGVLQKQASSL SYSFAHLCLQEFFASMSCILEDSEERHGDMEMDRIVETLVERYGRQNLFEAPTVRFLFGL LSKEGLKEMEKLFSCSLPGKTKLKLLWHILGKSQPHQPPCLGLLHCLYENQDMKLLTHVM HDLQGTIVPDTDDITHTVLQTNVKHLVVRTDMELMVVTFCIQFCSHMRSLQLNMEGQQGY ALTAPRMVLYRWTPITNASWKILFYNLKFNSNLEGLDLSGNPLSYSAVQYLCDAMIYPGC QLKTLWLVECGLTPTYCSLLASVLSACSSLRELDLQLNDLCDDGVRMLCEGLRNRACNLR ILRLDLYSLSAQVITELRTLEENNLKLHISSIWMPQMMVPTENMDEEDILTSFKQQRQQS GANPMEILGTEEDFWGPIGPVATEVVYRERNLYRVQLPMAGSYHCPSTRLHFVVTRAVTI EIEFCAWSQFLDKTPLQQSHMVVGPLFDIKAEQGAVTAVYLPHFVSLKDTKASTFDFKVA HFQEHGMVLETPDRVKPGYTVLKNPSFSPMGVVLRIIPAARHFIPITSITLIYYRVNQEE VTLHLYLVPNDCTIQKAIDDEEMKFQFVRINKPPPVDNLFIGSRYIVSGSENLEITPKEL ELCYRSSKEFQLFSEIYVGNMGSEIKLQIKNKKHMKLIWEALLKPGDLRPALPRIAQALK DAPSLLHFMDQHREQLVARVTSVDPLLDKLHGLVLNEESYEAVRAENTNQDKMRKLFNLS RSWSRACKDLFYQALKETHPHLVMDLLEKSGGVSLGS >ENSMUSP00000121155.1 pep:known chromosome:GRCm38:11:71153102:71230733:-1 gene:ENSMUSG00000070390.12 transcript:ENSMUST00000136493.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Nlrp1b description:NLR family, pyrin domain containing 1B [Source:MGI Symbol;Acc:MGI:3582959] MEQSQPKKKSRTKVAQHEGQLNLNPTFKTRKRKEVELMKRRPKPEGHLKLGTIPKVHIKQ KGETLDPTWSRKRKNLVQKLTNLLLFEKLCSRGSENLIRKSWYSCEEEERGHMIEIQDLF GPNRGTHKKPQLVIIEGAAGIGKSTLARQVKRAWKEGQLYRNHFQHVFFLSCRELAQYEQ LSLAELIAQGQEVPTVPIRQILSHPKELLFILDGIDEPAWVLADQNPELCLHWSQRQPVH TLLGSLLGKSILPGASFLLTARTTALQKIIPYVGQPRRVEVLGFSKFEREVYFRKYFVKE SDAIAAFRLVVSNPVLLTLCEVPWVCWLVCTCLKKQMEQGGELSLTSQTTTALCLKYLSL TIPGQHMRTQLRALCSLAAEGICQRRTLFSESDLCKQGLAEDAIATFLKIGILQKQASSL SYSFAHLCLQEFFAVMSYILEDSDERCDGMEFKRTVETLIEVYGRHTLCEEPTVHFLFGL VNEQGMREMKKIFDCKLPLGTELKMLKSTLGNPTYQHHLGLLHCLYESQEEVLLTYVLCN LHLTGPDKNYMEATVSQTNVKHLVIQTDMELMVVTFCIQFCCHVRSLRVNMKGQQGHKLT VASMVLYRWTPITNASWKILFYNLKFNSNLEGLDLSGNPLSYSAVQYLCDAMIYPGCQLK TLCSAVESLEFCT >ENSMUSP00000104156.2 pep:known chromosome:GRCm38:11:71153127:71230733:-1 gene:ENSMUSG00000070390.12 transcript:ENSMUST00000108516.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp1b description:NLR family, pyrin domain containing 1B [Source:MGI Symbol;Acc:MGI:3582959] MEQSQPKKKSRTKVAQHEGQLNLNPTFKTRKRKEVELMKRRPKPEGHLKLGTIPKVHIKQ KGETLDPTWSRKRKNLVQKLTNLLLFEKLCSRGSENLIRKSWYSCEEEERGHMIEIQDLF GPNRGTHKKPQLVIIEGAAGIGKSTLARQVKRAWKEGQLYRNHFQHVFFLSCRELAQYEQ LSLAELIAQGQEVPTVPIRQILSHPKELLFILDGIDEPAWVLADQNPELCLHWSQRQPVH TLLGSLLGKSILPGASFLLTARTTALQKIIPYVGQPRRVEVLGFSKFEREVYFRKYFVKE SDAIAAFRLVVSNPVLLTLCEVPWVCWLVCTCLKKQMEQGGELSLTSQTTTALCLKYLSL TIPGQHMRTQLRALCSLAAEGICQRRTLFSESDLCKQGLAEDAIATFLKIGILQKQASSL SYSFAHLCLQEFFAVMSYILEDSDERCDGMEFKRTVETLIEVYGRHTLCEEPTVHFLFGL VNEQGMREMKKIFDCKLPLGTELKMLKSTLGNPTYQHHLGLLHCLYESQEEVLLTYVLCN LHLTGPDKNYMEATVSQTNVKHLVIQTDMELMVVTFCIQFCCHVRSLRVNMKGQQGHKLT VASMVLYRWTPITNASWKILFYNLKFNSNLEGLDLSGNPLSYSAVQYLCDAMIYPGCQLK TLWLVECGLTPTYCSLLASVLSACSSLRELDLQLNDLCDDGVRMLCEGLRNRACNLRILR LDLYSLSAQVITELRTLEENNLKLHISSIWMPQMMVPTENMDEEDILTSFKQQRQQSGAN PMEILGTEEDFWGPIGPVATEVVYRERNLYRVQLPMAGSYHCPSTRLHFVVTRAVTIEIE FCAWSQFLDKTPLQQSHMVVGPLFDIKAEQGAVTAVYLPHFVSLKDTKASTFDFKVAHFQ EHGMVLETPDRVKPGYTVLKNPSFSPMGVVLRIIPAARHFIPITSITLIYYRVNQEEVTL HLYLVPNDCTIQKAIDDEEMKFQFVRINKPPPVDNLFIGSRYIVSGSENLEITPKELELC YRSSKEFQLFSEIYVGNMGSEIKLQIKNKKHMKLIWEALLKPGDLRPALPRIAQALKDAP SLLHFMDQHREQLVARVTSVDPLLDKLHGLVLNEESYEAVRAENTNQDKMRKLFNLSRSW SRACKDLFYQALKETHPHLVMDLLEKSGGVSLGS >ENSMUSP00000091588.4 pep:known chromosome:GRCm38:11:71156000:71228467:-1 gene:ENSMUSG00000070390.12 transcript:ENSMUST00000094046.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp1b description:NLR family, pyrin domain containing 1B [Source:MGI Symbol;Acc:MGI:3582959] MEQSQPKKKSRTKVAQHEGQLNLNPTFKTRKRKEVELMKRRPKPEGHLKLGTIPKVHIKQ KGETLDPTWSRKRKNLVQKLTNLLLFEKLCSRGSENLIRKSWYSCEEEERGHMIEIQDLF GPNRGTHKKPQLVIIEGAAGIGKSTLARQVKRAWKEGQLYRNHFQHVFFLSCRELAQYEQ LSLAELIAQGQEVPTVPIRQILSHPKELLFILDGIDEPAWVLADQNPELCLHWSQRQPVH TLLGSLLGKSILPGASFLLTARTTALQKIIPYVGQPRRVEVLGFSKFEREVYFRKYFVKE SDAIAAFRLVVSNPVLLTLCEVPWVCWLVCTCLKKQMEQGGELSLTSQTTTALCLKYLSL TIPGQHMRTQLRALCSLAAEGICQRRTLFSESDLCKQGLAEDAIATFLKIGILQKQASSL SYSFAHLCLQEFFAVMSYILEDSDERCDGMEFKRTVETLIEVYGRHTLCEEPTVHFLFGL VNEQGMREMKKIFDCKLPLGTELKMLKSTLGNPTYQHHLGLLHCLYESQEEVLLTYVLCN LHLTGPDKNYMEATVSQTNVKHLVIQTDMELMVVTFCIQFCCHVRSLRVNMKGQQGHKLT VASMVLYRWTPITNASWKILFYNLKFNSNLEGLDLSGNPLSYSAVQYLCDAMIYPGCQLK TLWLVECGLTPTYCSLLASVLSACSSLRELDLQLNDLCDDGVRMLCEGLRNRACNLRILR LDLYSLSAQVITELRTLEENNLKLHISSIWMPQMMVPTENMDEEDILTSFKQQRQQSGAN PMEILGTEEDFWGPIGPVATEVVYRERNLYRVQLPMAGSYHCPSTRLHFVVTRAVTIEIE FCAWSQFLDKTPLQQSHMVVGPLFDIKAEQGAVTAVYLPHFVSLKDTKASTFDFKVAHFQ EHGMVLETPDRVKPGYTVLKNPSFSPMGVVLRIIPAARHFIPITSITLIYYRVNQEEVTL HLYLVPNDCTIQKAIDDEEMKFQFVRINKPPPVDNLFIGSRYIVSGSENLEITPKELELC YRSSKEFQLFSEIYVGNMGSEIKLQIKNKKHMKLIWEALLKPGDLRPALPRIAQALKDAP SLLHFMDQHREQLVARVTSVDPLLDKLHGLVLNEESYEAVRAENTNQDKMRKLFNLSRSW SRACKDLFYQALKETHPHLVMDLLEKSGGVSLGS >ENSMUSP00000104154.3 pep:known chromosome:GRCm38:11:71155993:71201298:-1 gene:ENSMUSG00000070390.12 transcript:ENSMUST00000108514.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nlrp1b description:NLR family, pyrin domain containing 1B [Source:MGI Symbol;Acc:MGI:3582959] MEESQYKQEHNKKVAQDEGQEDKDTIFETIEAIEAKLMELKTNPESTFNYGIFPEVYMNQ GEEILYPAWSLKEENLFQTFKSLRLFQKLCPRGSGNLVKKSWYPCVPEEGGHIINIQDLF GPNIGTQKEPQLVIIEGAAGIGKSTLARQVKRAWMEGELYRDHFQHVFFFSCRELAQCKK LSLAELITQGQDVPTAPINQILSHPEKLLFILDGIDEPAWVLADQNPELCLYWSQTQPVH TLLGSLLGKSILPEASFLLTTRTTALQKFIPSLPQSCQVEVLGFSDFEQEIYIYKYFAKQ IFGIKALMMVESNPVLLTLCEVPWVCWLVCNCLKKQMEQGGDVSLTSQTTTAICLKYISL TIPVHHMRTQLRALCSLAAEGIWKRRTLFSESDLCKQGLDEDAVAIFLKTGVLQKQASSL SYSFAHLCLQEFFASMSCILEDSEERHGDMEMDRIVETLVERYGRQNLFEAPTVRFLFGL LSKEGLKEMEKLFSCSLPGKTKLKLLWHILGKSQPHQPPCLGLLHCLYENQDMKLLTHVM HDLQGTIVPDTDDITHTVLQTNVKHLVVRTDMELMVVTFCIQFCSHMRSLQLNMEGQQGY ALTAPRMVLYRWTPITNASWKILFYNLKFNSNLEGLDLSGNPLSYSAVQYLCDAMIYPGC QLKTLWLVECGLTPTYCSLLASVLSACSSLRELDLQLNDLCDDGVRMLCEGLRNRACNLR ILRLDLYSLSAQVITELRTLEENNLKLHISSIWMPQMMVPTENMDEEDILTSFKQQRQQS GANPMEILGTEEDFWGPIGPVATEVVYRERNLYRVQLPMAGSYHCPSTRLHFVVTRAVTI EIEFCAWSQFLDKTPLQQSHMVVGPLFDIKAEQGAVTAVYLPHFVSLKDTKASTFDFKVA HFQEHGMVLETPDRVKPGYTVLKNPSFSPMGVVLRIIPAARHFIPITSITLIYYRVNQEE VTLHLYLVPNDCTIQKAIDDEEMKFQFVRINKPPPVDNLFIGSRYIVSGSENLEITPKEL ELCYRSSKEFQLFSEIYVGNMGSEIKLQIKNKKHMKLIWEALLKPGDLRPALPRIAQALK DAPSLLHFMDQHREQLVARVTSVDPLLDKLHGLVLNEESYEAVRAENTNQDKMRKLFNLS RSWSRACKDLFYQALKETHPHLVMDLLEKSGGVSLGS >ENSMUSP00000006020.7 pep:known chromosome:GRCm38:X:71991849:72010218:1 gene:ENSMUSG00000005864.7 transcript:ENSMUST00000006020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cnga2 description:cyclic nucleotide gated channel alpha 2 [Source:MGI Symbol;Acc:MGI:108040] MMTEKSNGVKSSPANNHNHHPPPSIKANGKDDHRAGSRPQSVAADDDTSSELQRLAEMDT PRRGRGGFRRIVRLVGIIRDWANKNFREEEPRPDSFLERFRGPELQTVTTHQGDGKGDKD GEGKGTKKKFELFVLDPAGDWYYRWLFVIAMPVLYNWCLLVARACFSDLQRNYFVVWLVL DYFSDTVYIADLIIRLRTGFLEQGLLVKDPKKLRDNYIHTLQFKLDVASIIPTDLIYFAV GIHSPEVRFNRLLHFARMFEFFDRTETRTSYPNIFRISNLVLYILVIIHWNACIYYAISK SIGFGVDTWVYPNITDPEYGYLAREYIYCLYWSTLTLTTIGETPPPVKDEEYLFVIFDFL IGVLIFATIVGNVGSMISNMNATRAEFQAKIDAVKHYMQFRKVSKDMEAKVIKWFDYLWT NKKTVDEREVLKNLPAKLRAEIAINVHLSTLKKVRIFQDCEAGLLVELVLKLRPQVFSPG DYICRKGDIGKEMYIIKEGKLAVVADDGVTQYALLSAGSCFGEISILNIKGSKMGNRRTA NIRSLGYSDLFCLSKDDLMEAVTEYPDAKKVLEERGREILMKEGLLDENEVAASMEVDVQ EKLEQLETNMETLYTRFARLLAEYTGAQQKLKQRITVLETKMKQNHEDDYLSDGINTPEP AVAE >ENSMUSP00000133881.1 pep:known chromosome:GRCm38:17:35025959:35027914:-1 gene:ENSMUSG00000036185.9 transcript:ENSMUST00000174037.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sapcd1 description:suppressor APC domain containing 1 [Source:MGI Symbol;Acc:MGI:2388100] MESPGPGGPPLVQAPYTVLLLPLGTSRQDPGAQNFFLWPAADDAGSGEGTRCSVAGPGAA GAWPGLVRRPAEGDPATAAAAGGPWRGLSDGLAFRD >ENSMUSP00000047448.2 pep:known chromosome:GRCm38:17:35025977:35028016:-1 gene:ENSMUSG00000036185.9 transcript:ENSMUST00000040151.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sapcd1 description:suppressor APC domain containing 1 [Source:MGI Symbol;Acc:MGI:2388100] MESPGPGGPPLVQAPYTVLLLPLGTSRQDPGAQNFFLWLQMMQALEREQDALWQGLELLE HGQAWFADRLRETQQRQLQLGALGEDFLMDLHSETDAPLLTRIQKVNACLHSLIHKELSK HRKGVTQSTGEVVSQAPPGPKGPTLV >ENSMUSP00000134423.1 pep:known chromosome:GRCm38:17:35026265:35028016:-1 gene:ENSMUSG00000036185.9 transcript:ENSMUST00000174117.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sapcd1 description:suppressor APC domain containing 1 [Source:MGI Symbol;Acc:MGI:2388100] MESPGPGGPPLVQAPYTVLLLPLGTSRQDPGAQNFFLWPAADDAGSGEGTRCSVAGPGAA GAWPGLVRRPAEGDPATAAAAGGPWRGLSDGLAFRD >ENSMUSP00000072243.4 pep:known chromosome:GRCm38:17:20776059:20777501:-1 gene:ENSMUSG00000060245.4 transcript:ENSMUST00000072410.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r228 description:vomeronasal 1 receptor 228 [Source:MGI Symbol;Acc:MGI:2159624] MAQPRKVQTMLWLRDMIVAIIQRKKLLNDRMDFRDMAVGIVLSFEILLGILGNFSLLFYY LILYYKEHTLKIIDMILIHVFTSNALILLSKGPPEVMGAFGSKQLFNNVGCKLILYVQRI ARCMSITTTCFLSVFQAITISPSNSCWKEFKVKTTKFMGLSISFYWVMFILLNMLFPLYT ASNSNRENRTQKSDSEFCHSGGRDKIVDLLYAAFCVFPEVLFSLLIVVSSTFMIVILYRH KKRVQHILHKHASLRISAENRATQTILVLVFTFLVFNTLSSILHGYIALSHDPNWWVMNI TAIIALCFPTLGPFVMSHDFTASRCCFTWIKII >ENSMUSP00000038722.9 pep:known chromosome:GRCm38:2:32236590:32255005:1 gene:ENSMUSG00000039254.16 transcript:ENSMUST00000036473.15 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomt1 description:protein-O-mannosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2138994] MGSHSTGLEETLGVLPSWLFCKMLRFLKRPLVVTVDINLNLVALTGLGLLTRLWQLSYPR AVVFDEVYYGQYISFYMKRIFFLDDSGPPFGHMLLALGGWLGGFDGNFLWNRIGAEYSSN VPIWSLRLLPALAGALSVPMAYQIVLELHFSHGAAIGAALLMLIENALITQSRLMLLESI LIFFNLLAVLSYLKFFNSQTHSPFSVHWWLWLLLTGVSCSCAVGIKYMGIFTYLLVLGIA AVHAWNLIGDQTLSNMRVLSHLLARIVALLVVPVFLYLLFFYVHLMLLYRSGPHDQIMSS AFQASLEGGLARITQGQPLEVAFGSQVTLKSVSGKPLPCWLHSHKNTYPMIYENGRGSSH QQQVTCYPFKDINNWWIVKDPGRHQLVVNNPPRPVRHGDIVQLVHGMTTRLLNTHDVAAP LSPHSQEVSCYIDYNISMPAQNLWKLDIVNRESNRDTWKTILSEVRFVHVNTSAILKLSG AHLPDWGFRQLEVVGEKLSPGYHESMVWNVEEHRYGKSHEQKERELELHSPTQLDISRNL SFMARFSELQWKMLTLKNEDLEHQYSSTPLEWLTLDTNIAYWLHPRTSAQIHLLGNIVIW TSASLATVVYTLLFFWYLLRRRRSICDLPEDAWSRWVLAGALCTGGWALNYLPFFLMERV LFLYHYLPALTFQILLLPIVLQHASDHLCRSQLQRNVFSALVVAWYSSACHVSNMLRPLT YGDTSLSPGELRALRWKDSWDILIRK >ENSMUSP00000116117.1 pep:known chromosome:GRCm38:2:32237037:32243622:1 gene:ENSMUSG00000039254.16 transcript:ENSMUST00000146485.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomt1 description:protein-O-mannosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2138994] MYTLCLNTTVILQQGVLPSWLFCKMLRFLKRPLVVTVDINLNLVALTGLGLLTRLWQLSY PRAVVFDEVYYGQYISFYMKRIFFLDDSGPPFGHMLLALGGWLGGFDGNFLWNRIGAEYS SNVPIWSLRLLPALAGALSVPMAYQIVLELHFSHGAAIGAALLMLIENALITQSRLMLLE SILIFFNLLAVLSYLKFFNSQTHSPFSVHWWLWLLLTGVSCSCAVGI >ENSMUSP00000116543.1 pep:known chromosome:GRCm38:2:32237339:32243712:1 gene:ENSMUSG00000039254.16 transcript:ENSMUST00000123740.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pomt1 description:protein-O-mannosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2138994] MLRFLKRPLVVTVDINLNLVALTGLGLLTRLWQLSYPRAVVFDEVYYGQYISFYMKRIFF LDDSGPPFGHMLLALGGWLGGFDGNFLWNRIGAEYSSNVPIWSLRLLPALAGALSVPMAY QIVLELHFSHGAAIGAALLMLIENALITQSRLMLLESILIFFNLLAVLSYLKFFNSQTHS PFSVHWWLWLLLTGVSCSCAVGIKYMGIFTYLLVLGIAAVHAWNLIGDQTLSN >ENSMUSP00000118382.2 pep:known chromosome:GRCm38:2:32244359:32250909:1 gene:ENSMUSG00000039254.16 transcript:ENSMUST00000132516.8 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pomt1 description:protein-O-mannosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2138994] XMSSAFQASLEEL >ENSMUSP00000142212.1 pep:known chromosome:GRCm38:2:32248663:32253785:1 gene:ENSMUSG00000039254.16 transcript:ENSMUST00000192998.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pomt1 description:protein-O-mannosyltransferase 1 [Source:MGI Symbol;Acc:MGI:2138994] XYIDYNISMPAQNLWKLPPRLTGSGSAGHCEQRVQPGYLEDYLVGSALCTCEHIRHLEAE RGSPP >ENSMUSP00000030134.8 pep:known chromosome:GRCm38:4:55350043:55392237:1 gene:ENSMUSG00000028426.10 transcript:ENSMUST00000030134.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad23b description:RAD23b homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:105128] MQVTLKTLQQQTFKIDIDPEETVKALKEKIESEKGKDAFPVAGQKLIYAGKILSDDTALK EYKIDEKNFVVVMVTKPKAVTTAVPATTQPSSTPSPTAVSSSPAVAAAQAPAPTPALPPT STPASTAPASTTASSEPAPAGATQPEKPAEKPAQTPVLTSPAPADSTPGDSSRSNLFEDA TSALVTGQSYENMVTEIMSMGYEREQVIAALRASFNNPDRAVEYLLMGIPGDRESQAVVD PPPQAVSTGTPQSPAVAAAAATTTATTTTTSGGHPLEFLRNQPQFQQMRQIIQQNPSLLP ALLQQIGRENPQLLQQISQHQEHFIQMLNEPVQEAGSQGGGGGGGGGGGGGGGGGIAEAG SGHMNYIQVTPQEKEAIERLKALGFPEGLVIQAYFACEKNENLAANFLLQQNFDED >ENSMUSP00000007245.1 pep:known chromosome:GRCm38:17:35016579:35026741:1 gene:ENSMUSG00000007030.8 transcript:ENSMUST00000007245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa7 description:von Willebrand factor A domain containing 7 [Source:MGI Symbol;Acc:MGI:1306798] MLPVEVPLSHLGPPILLLLQLLLPPTSAFFPNIWSLLAAPGSVTHQDLTEEAALNVTLVL FLEQPHPGRPRLHVEDYRGRTLLADDIFAAYFGPGFSSRRFRAALGEVSRANAAQDFLPA FKSNPDLHFDAERLVQGRTRLVGALRETLVAARALEYTLARQRLGAALHALQDFYSHSNW VELGERQPHPHLLWPRQELWSLAQVGDPTCSDCEGLSCPGNMLDSTLLTSGYFGMHPPKP PGKCSHGGHFDQSSSQPPRGGINKDSTSPSFSPHHKLHLQAAEVALLASIEAFSLLRSRL GDKAFSRLLDITPASSLSFVLDTTGSMGEEINAAKIQARRIVEQRQGSPMEPVFYILVPF HDPGFGPVFTTSDPDSFWQKLNEIHALGGGDEPEMCLSALELALLHTPPLSDIFVFTDAS PKDALLTNRVESLTRERRCRVTFLVTEDPSRTGGRRRREALSPLRFEPYEAIARASGGEV IFTKDQYIQDVAAIVGESMAGLVTLPLDPPVFTPGEPCVFSVDSLLWQVTVRMHGDISSF WIKSPAGVSQGPEEGIGPLGHTRRFGQFWTVTMTDPPRTGTWEIQVAAAGTPRVRVQAQT SLDFLFHFGISVEDGPHPGLYPLTQPVAGLQTQLLVEVTGLTSRQKLVGGQPQFSHVVLR RVPEGTQLGRVSLEPVGPPVRGLLAASLPPTLLSVSSPFSLELVGQGGGGESLRRTAPQP CSVAPVLLELSGPPDFLTPGSKAPLSLHIVSFSGPQDLDLRTSVNPSFSLTSNLSRARLG LNESAWGRLWLEVPDSAAPDSVVMVTVTAAGQGASQVPPTHAFLRLLVLAQSSKDQLDGP AHSAAPVLPPVSPALLPSTLVTQGRAGGGMAGKAWWGTVGGVLFLLGCTSW >ENSMUSP00000133418.1 pep:known chromosome:GRCm38:17:35016638:35026520:1 gene:ENSMUSG00000007030.8 transcript:ENSMUST00000172499.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vwa7 description:von Willebrand factor A domain containing 7 [Source:MGI Symbol;Acc:MGI:1306798] MLPVEVPLSHLGPPILLLLQLLLPPTSAFFPNIWSLLAAPGSVTHQDLTEEAALNVTLVL FLEQPHPGRPRLHVEDYRGRTLLADDIFAAYFGPGFSSRRFRAALGEVSRANAAQDFLPA FKSNPDLHFDAERLVQGRTRLVGALRETLVAARALEYTLARQRLGAALHALQDFYSHSNW VELGERQPHPHLLWPRQELWSLAQVGDPTCSDCEGLSCPGNMLDSTLLTSGYFGMHPPKP PGKCSHGGHFDQSSSQPPRGGINKDSTSPSFSPHHKLHLQAAEVALLASIEAFSLLRSRL GDKAFSRLLDITPASSLSFVLDTTGSMGEEINAAKIQARRIVEQRQGSPMEPVFYILVPF HDPGFGPVFTTSDPDSFWQKLNEIHALGGGDEPEMCLSALEDALLTNRVESLTRERRCRV TFLVTEDPSRTGGRRRREALSPLRFEPYEAIARASGGEVIFTKDQYIQDVAAIVGESMAG LVTLPLDPPVFTPGEPCVFSVDSLLWQVTVRMHGDISSFWIKSPAGVSQGPEEGIGPLGH TRRFGQFWTVTMTDPPRTGTWEIQVAAAGTPRVRVQAQTSLDFLFHFGISVEDGPHPGLY PLTQPVAGLQTQLLVEVTGLTSRQKLVGGQPQFSHVVLRRVPEGTQLGRVSLEPVGPPVR GLLAASLPPTLLSVSSPFSLELVGQGGGGESLRRTAPQPCSVAPVLLELSGPPDFLTPGS KAPLSLHIVSFSGPQDLDLRTSVNPSFSLTSNLSRARLGLNESAWGRLWLEVPDSAAPDS VVMVTVTAAGQGASQVPPTHAFLRLLVLAQSSKDQLDGPAHSAAPVLPPVSPALLPSTLV TQGRAGGGMAGKAWWGTVGGVLFLLGCTSW >ENSMUSP00000047838.2 pep:known chromosome:GRCm38:X:8154472:8176181:-1 gene:ENSMUSG00000039201.9 transcript:ENSMUST00000039892.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d25 description:TBC1 domain family, member 25 [Source:MGI Symbol;Acc:MGI:2444862] MRPPAQARWEGQGPTAPLRLRSGARWGRGRPHCWVYVRVRVTIGYHLDRDDSGGMATTSA ASDSACSAAPPPVGGAQAAAAVEEEEREVVRVRVKKCESFLSPEFRSFAVDPQITSLDVL QHILIRAFDLNGKKNFGISYLARDRLGQETFLSLLSDWDLSTAFATASKPYLQLRVDIRP SEDSPLLEDWDIISPKDVIGSDVLLAEKRSSLTTAALPFTQSILSQVGRTLSKVQQVLSW SYGEDVKPFKPPLSDAEFHTYLNHEGQLSRPEELRLRIYHGGVEPSLRKVVWRYLLNVYP DGLTGRERMDYMKRKSREYEQLKSEWAQRVNPEDLEFIRSTVLKDVLRTDRAHPYYAGPE DGPHLRALHDLLTTYAVTHPQVSYCQGMSDLASPILAVMDHEGHAFVCFCGIMKRLAANF HPDGRAMATKFAHLKLLLRHADPDFYQYLQEAGADDLFFCYRWLLLELKREFAFDDALRM LEVTWSSLPPDPPEHEVELVGPPSQVADTGFGSHRGRPVRQRHMLRPAGGGGGAFEDAVV HLAASSQGPSGGGRLLRQASLDGLQQLRDNMGLRKDHLVQLSHPATLISSKSLSEPLLNS PDPLLSTSSRPDSPSSSSPPSTQEASPSGDIAVGSPLMQEVGSPRDPGKPVPPPPPMGLP PPQEFGRGNPFMLFLCLAILLEHRDHIMRNGLDYNELAMHFDRLVRKHHLGRVLRRAKAL FADYLQSEVWDSEEGAEATAPS >ENSMUSP00000138768.1 pep:known chromosome:GRCm38:X:8154491:8175891:-1 gene:ENSMUSG00000039201.9 transcript:ENSMUST00000143984.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d25 description:TBC1 domain family, member 25 [Source:MGI Symbol;Acc:MGI:2444862] MGPLLEDWDIISPKDVIGSDVLLAEKRSSLTTAALPFTQSILSQVGRTLSKVQQVLSWSY GEDVKPFKPPLSDAEFHTYLNHEGQLSRPEELRLRIYHGGVEPSLRKVVWRYLLNVYPDG LTGRERMDYMKRKSREYEQLKSEWAQRVNPEDLEFIRSTVLKDVLRTDRAHPYYAGPEDG PHLRALHDLLTTYAVTHPQVSYCQGMSDLASPILAVMDHEGHAFVCFCGIMKRLAANFHP DGRAMATKFAHLKLLLRHADPDFYQYLQEAGADDLFFCYRWLLLELKREFAFDDALRMLE VTWSSLPPDPPEHEVELVGPPSQVADTGFGSHRGRPVRQRHMLRPAGGGGGAFEDAVVHL AASSQGPSGGGRLLRQASLDGLQQLRDNMGLRKDHLVQLSHPATLISSKSLSEPLLNSPD PLLSTSSRPDSPSSSSPPSTQEASPSGDIAVGSPLMQEVGSPRDPGKPVPPPPPMGLPPP QEFGRGNPFMLFLCLAILLEHRDHIMRNGLDYNELAMHFDRLVRKHHLGRVLRRAKALFA DYLQSEVWDSEEGAEATAPS >ENSMUSP00000131652.1 pep:known chromosome:GRCm38:X:8154472:8176181:-1 gene:ENSMUSG00000039201.9 transcript:ENSMUST00000172020.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d25 description:TBC1 domain family, member 25 [Source:MGI Symbol;Acc:MGI:2444862] MNPEVNCQNNGATDRARQDLDRHLHTGSKKDDSGGMATTSAASDSACSAAPPPVGGAQAA AAVEEEEREVVRVRVKKCESFLSPEFRSFAVDPQITSLDVLQHILIRAFDLNGKKNFGIS YLARDRLGQETFLSLLSDWDLSTAFATASKPYLQLRVDIRPSEDSPLLEDWDIISPKDVI GSDVLLAEKRSSLTTAALPFTQSILSQVGRTLSKVQQVLSWSYGEDVKPFKPPLSDAEFH TYLNHEGQLSRPEELRLRIYHGGVEPSLRKVVWRYLLNVYPDGLTGRERMDYMKRKSREY EQLKSEWAQRVNPEDLEFIRSTVLKDVLRTDRAHPYYAGPEDGPHLRALHDLLTTYAVTH PQVSYCQGMSDLASPILAVMDHEGHAFVCFCGIMKRLAANFHPDGRAMATKFAHLKLLLR HADPDFYQYLQEAGADDLFFCYRWLLLELKREFAFDDALRMLEVTWSSLPPDPPEHEVEL VGPPSQVADTGFGSHRGRPVRQRHMLRPAGGGGGAFEDAVVHLAASSQGPSGGGRLLRQA SLDGLQQLRDNMGLRKDHLVQLSHPATLISSKSLSEPLLNSPDPLLSTSSRPDSPSSSSP PSTQEASPSGDIAVGSPLMQEVGSPRDPGKPVPPPPPMGLPPPQEFGRGNPFMLFLCLAI LLEHRDHIMRNGLDYNELAMHFDRLVRKHHLGRVLRRAKALFADYLQSEVWDSEEGAEAT APS >ENSMUSP00000048736.8 pep:known chromosome:GRCm38:15:5233399:5244187:-1 gene:ENSMUSG00000039942.15 transcript:ENSMUST00000047379.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptger4 description:prostaglandin E receptor 4 (subtype EP4) [Source:MGI Symbol;Acc:MGI:104311] MAEVGGTIPRSNRELQRCVLLTTTIMSIPGVNASFSSTPERLNSPVTIPAVMFIFGVVGN LVAIVVLCKSRKEQKETTFYTLVCGLAVTDLLGTLLVSPVTIATYMKGQWPGDQALCDYS TFILLFFGLSGLSIICAMSIERYLAINHAYFYSHYVDKRLAGLTLFAIYASNVLFCALPN MGLGRSERQYPGTWCFIDWTTNVTAYAAFSYMYAGFSSFLILATVLCNVLVCGALLRMHR QFMRRTSLGTEQHHAAAAAAVASVACRGHAGASPALQRLSDFRRRRSFRRIAGAEIQMVI LLIATSLVVLICSIPLVVRVFINQLYQPNVVKDISRNPDLQAIRIASVNPILDPWIYILL RKTVLSKAIEKIKCLFCRIGGSGRDSSAQHCSESRRTSSAMSGHSRSFLARELKEISSTS QTLLYLPDLTESSLGGRNLLPGSHGMGLTQADTTSLRTLRISETSDSSQGQDSESVLLVD EVSGSHREEPASKGNSLQVTFPSETLKLSEKCI >ENSMUSP00000112858.1 pep:known chromosome:GRCm38:15:5233399:5244172:-1 gene:ENSMUSG00000039942.15 transcript:ENSMUST00000120563.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptger4 description:prostaglandin E receptor 4 (subtype EP4) [Source:MGI Symbol;Acc:MGI:104311] MSIPGVNASFSSTPERLNSPVTIPAVMFIFGVVGNLVAIVVLCKSRKEQKETTFYTLVCG LAVTDLLGTLLVSPVTIATYMKGQWPGDQALCDYSTFILLFFGLSGLSIICAMSIERYLA INHAYFYSHYVDKRLAGLTLFAIYASNVLFCALPNMGLGRSERQYPGTWCFIDWTTNVTA YAAFSYMYAGFSSFLILATVLCNVLVCGALLRMHRQFMRRTSLGTEQHHAAAAAAVASVA CRGHAGASPALQRLSDFRRRRSFRRIAGAEIQMVILLIATSLVVLICSIPLVVRVFINQL YQPNVVKDISRNPDLQAIRIASVNPILDPWIYILLRKTVLSKAIEKIKCLFCRIGGSGRD SSAQHCSESRRTSSAMSGHSRSFLARELKEISSTSQTLLYLPDLTESSLGGRNLLPGSHG MGLTQADTTSLRTLRISETSDSSQGQDSESVLLVDEVSGSHREEPASKGNSLQVTFPSET LKLSEKCI >ENSMUSP00000134963.1 pep:known chromosome:GRCm38:6:4747306:4760517:1 gene:ENSMUSG00000092035.8 transcript:ENSMUST00000176204.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peg10 description:paternally expressed 10 [Source:MGI Symbol;Acc:MGI:2157785] MAAAGGSSNCPPPPPPPPPNNNNNNNTPKSPGVPDAEDDDERRHDELPEDINNFDEDMNR QFENMNLLDQVELLAQSYSLLDHLDDFDDDDEDDDFDPEPDQDELPEYSDDDDLELQGAA AAPIPNFFSDDDCLEDLPEKFDGNPDMLGPFMYQCQLFMEKSTRDFSVDRIRVCFVTSML IGRAARWATAKLQRCTYLMHNYTAFMMELKHVFEDPQRREAAKRKIRRLRQGPGPVVDYS NAFQMIAQDLDWTEPALMDQFQEGLNPDIRAELSRQEAPKTLAALITACIHIERRLARDA AAKPDPSPRALVMPPNSQTDPTEPVGGARMRLSKEEKERRRKMNLCLYCGNGGHFADTCP AKASKNSPPGNSPAPL >ENSMUSP00000135076.2 pep:known chromosome:GRCm38:6:4747406:4760517:1 gene:ENSMUSG00000092035.8 transcript:ENSMUST00000176551.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peg10 description:paternally expressed 10 [Source:MGI Symbol;Acc:MGI:2157785] MLQIHMPGRPTLFVRAMIDSGASGNFIDQDFVIQNAIPLRIKDWPVMVEAIDGHPIASGP IILETHHLIVDLGDHREILSFDVTQSPFFPIVLGIRWLSTHDPHITWSTRSIVFNSDYCR LRCRMFAQIPSNLLFTVPQPNLHPYLLHHVHPHVHPHMHQHLHQHLHQFLHPDPHQYPHP DPHYHHHQQADMQHQLQQYLYQYLYYHLYPVMHHHLPPDQHEHLHEYLHQYLHQYLHQFL HHHLHPDLHQYLYQYLHNHMNPDPHHHPHPDPPQDPHHPPHQDPHQHPDPHQDPPHQDPH QHPDPHQDPPHQDPHQHPDPHQDPPHQDPHQDAHQHQDPHQDAHQDPHQDAHQDPHMDPH LHQHQHPQPQPHPQQHPNHPQQPPFFYHMAGFRIYHPVRYYYIQNVYTPVDEHVYPGHRV VDPNIEMIPGAHSLPSGHLYSMSESEMNALRNFVDRNVKDGLMTPTVAPNGAQVLQVKRG WKLQVTYNCRAPQSGTIQNQYLRMSLPNMGDPAHLASYGEFVQVPGYPYPAYVYYTSPHM MTAWYPVGRDVHGRIIVVPVVITWSQNTNRQPPVPQYPPPQPPPPPPPPPPPPPPPPASS CSAA >ENSMUSP00000127306.1 pep:known chromosome:GRCm38:6:4747306:4760514:1 gene:ENSMUSG00000092035.8 transcript:ENSMUST00000166678.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Peg10 description:paternally expressed 10 [Source:MGI Symbol;Acc:MGI:2157785] MAAAGGSSNCPPPPPPPPPNNNNNNNTPKSPGVPDAEDDDERRHDELPEDINNFDEDMNR QFENMNLLDQVELLAQSYSLLDHLDDFDDDDEDDDFDPEPDQDELPEYSDDDDLELQGAA AAPIPNFFSDDDCLEDLPEKFDGNPDMLGPFMYQCQLFMEKSTRDFSVDRIRVCFVTSML IGRAARWATAKLQRCTYLMHNYTAFMMELKHVFEDPQRREAAKRKIRRLRQGPGPVVDYS NAFQMIAQDLDWTEPALMDQFQEGLNPDIRAELSRQEAPKTLAALITACIHIERRLARDA AAKPDPSPRALVMPPNSQTDPTEPVGGARMRLSKEEKERRRKMNLCLYCGNGGHFADTCP AKASKNSPPGLPGPAVGGPSATGPERIRSPPSEASTQHLQVMLQIHMPGRPTLFVRAMID SGASGNFIDQDFVIQNAIPLRIKDWPVMVEAIDGHPIASGPIILETHHLIVDLGDHREIL SFDVTQSPFFPIVLGIRWLSTHDPHITWSTRSIVFNSDYCRLRCRMFAQIPSNLLFTVPQ PNLHPYLLHHVHPHVHPHMHQHLHQHLHQFLHPDPHQYPHPDPHYHHHQQADMQHQLQQY LYQYLYYHLYPVMHHHLPPDQHEHLHEYLHQYLHQYLHQFLHHHLHPDLHQYLYQYLHNH MNPDPHHHPHPDPPQDPHHPPHQDPHQHPDPHQDPPHQDPHQHPDPHQDPPHQDPHQHPD PHQDPPHQDPHQDAHQHQDPHQDAHQDPHQDAHQDPHMDPHLHQHQHPQPQPHPQQHPNH PQQPPFFYHMAGFRIYHPVRYYYIQNVYTPVDEHVYPGHRVVDPNIEMIPGAHSLPSGHL YSMSESEMNALRNFVDRNVKDGLMTPTVAPNGAQVLQVKRGWKLQVTYNCRAPQSGTIQN QYLRMSLPNMGDPAHLASYGEFVQVPGYPYPAYVYYTSPHMMTAWYPVGRDVHGRIIVVP VVITWSQNTNRQPPVPQYPPPQPPPPPPPPPPPPPPPPASSCSAA >ENSMUSP00000109357.1 pep:known chromosome:GRCm38:19:5107746:5118297:-1 gene:ENSMUSG00000024862.16 transcript:ENSMUST00000113728.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc2 description:kinesin light chain 2 [Source:MGI Symbol;Acc:MGI:107953] MATMVLPREEKLSQDEIVLGTKAVIQGLETLRGEHRALLAPLASHEAGEAEPGSQERCLL LRRSLEAIELGLGEAQVILALSSHLGAVESEKQKLRAQVRRLVQENQWLREELAGTQQKL QRSEQAVAQLEEEKQHLLFMSQIRKLDEDASPNEEKGDVPKDSLDDLFPNEDEQSPAPSP GGGDVAAQHGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQALEDLEKTSGHDHPDVA TMLNILALVYRDQNKYKDAAHLLNDALAIREKTLGKDHPAVAATLNNLAVLYGKRGKYKE AEPLCKRALEIREKVLGKFHPDVAKQLSNLALLCQNQGKAEEVEYYYRRALEIYATRLGP DDPNVAKTKNNLASCYLKQGKYQDAETLYKEILTRAHEKEFGSVNGENKPIWMHAEEREE SKRRDSAPYGEYGSWYKACKVDSPTVNTTLRSLGALYRRQGKLEAAHTLEDCASRSRKQG LDPASQTKVVELLKDGSGGRGHRRGSRDVAGPQSESDLEESGPAAEWSGDGSGSLRRSGS FGKLRDALRRSSEMLVRKLQGGGPQEPPNSRMKRASSLNFLNKSVEEPVQPGGTGLSDSR TLSSSSMDLSRRSSLVG >ENSMUSP00000025798.6 pep:known chromosome:GRCm38:19:5107746:5118300:-1 gene:ENSMUSG00000024862.16 transcript:ENSMUST00000025798.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc2 description:kinesin light chain 2 [Source:MGI Symbol;Acc:MGI:107953] MATMVLPREEKLSQDEIVLGTKAVIQGLETLRGEHRALLAPLASHEAGEAEPGSQERCLL LRRSLEAIELGLGEAQVILALSSHLGAVESEKQKLRAQVRRLVQENQWLREELAGTQQKL QRSEQAVAQLEEEKQHLLFMSQIRKLDEDASPNEEKGDVPKDSLDDLFPNEDEQSPAPSP GGGDVAAQHGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQALEDLEKTSGHDHPDVA TMLNILALVYRDQNKYKDAAHLLNDALAIREKTLGKDHPAVAATLNNLAVLYGKRGKYKE AEPLCKRALEIREKVLGKFHPDVAKQLSNLALLCQNQGKAEEVEYYYRRALEIYATRLGP DDPNVAKTKNNLASCYLKQGKYQDAETLYKEILTRAHEKEFGSVNGENKPIWMHAEEREE SKRRDSAPYGEYGSWYKACKVDSPTVNTTLRSLGALYRRQGKLEAAHTLEDCASRSRKQG LDPASQTKVVELLKDGSGGRGHRRGSRDVAGPQSESDLEESGPAAEWSGDGSGSLRRSGS FGKLRDALRRSSEMLVRKLQGGGPQEPPNSRMKRASSLNFLNKSVEEPVQPGGTGLSDSR TLSSSSMDLSRRSSLVG >ENSMUSP00000112262.1 pep:known chromosome:GRCm38:19:5107746:5118560:-1 gene:ENSMUSG00000024862.16 transcript:ENSMUST00000116563.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc2 description:kinesin light chain 2 [Source:MGI Symbol;Acc:MGI:107953] MATMVLPREEKLSQDEIVLGTKAVIQGLETLRGEHRALLAPLASHEAGEAEPGSQERCLL LRRSLEAIELGLGEAQVILALSSHLGAVESEKQKLRAQVRRLVQENQWLREELAGTQQKL QRSEQAVAQLEEEKQHLLFMSQIRKLDEDASPNEEKGDVPKDSLDDLFPNEDEQSPAPSP GGGDVAAQHGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQALEDLEKTSGHDHPDVA TMLNILALVYRDQNKYKDAAHLLNDALAIREKTLGKDHPAVAATLNNLAVLYGKRGKYKE AEPLCKRALEIREKVLGKFHPDVAKQLSNLALLCQNQGKAEEVEYYYRRALEIYATRLGP DDPNVAKTKNNLASCYLKQGKYQDAETLYKEILTRAHEKEFGSVNGENKPIWMHAEEREE SKDKRRDSAPYGEYGSWYKACKVDSPTVNTTLRSLGALYRRQGKLEAAHTLEDCASRSRK QGLDPASQTKVVELLKDGSGGRGHRRGSRDVAGPQSESDLEESGPAAEWSGDGSGSLRRS GSFGKLRDALRRSSEMLVRKLQGGGPQEPPNSRMKRASSLNFLNKSVEEPVQPGGTGLSD SRTLSSSSMDLSRRSSLVG >ENSMUSP00000109356.1 pep:known chromosome:GRCm38:19:5107748:5118298:-1 gene:ENSMUSG00000024862.16 transcript:ENSMUST00000113727.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc2 description:kinesin light chain 2 [Source:MGI Symbol;Acc:MGI:107953] MATMVLPREEKLSQDEIVLGTKAVIQGLETLRGEHRALLAPLASHEAGEAEPGSQERCLL LRRSLEAIELGLGEAQVILALSSHLGAVESEKQKLRAQVRRLVQENQWLREELAGTQQKL QRSEQAVAQLEEEKQHLLFMSQIRKLDEDASPNEEKGDVPKDSLDDLFPNEDEQSPAPSP GGGDVAAQHGGYEIPARLRTLHNLVIQYASQGRYEVAVPLCKQALEDLEKTSGHDHPDVA TMLNILALVYRDQNKYKDAAHLLNDALAIREKTLGKDHPAVAATLNNLAVLYGKRGKYKE AEPLCKRALEIREKVLGKFHPDVAKQLSNLALLCQNQGKAEEVEYYYRRALEIYATRLGP DDPNVAKTKNNLASCYLKQGKYQDAETLYKEILTRAHEKEFGSVNGENKPIWMHAEEREE SKRRDSAPYGEYGSWYKACKVDSPTVNTTLRSLGALYRRQGKLEAAHTLEDCASRSRKQG LDPASQTKVVELLKDGSGGRGHRRGSRDVAGPQSESDLEESGPAAEWSGDGSGSLRRSGS FGKLRDALRRSSEMLVRKLQGGGPQEPPNSRMKRASSLNFLNKSVEEPVQPGGTGLSDSR TLSSSSMDLSRRSSLVG >ENSMUSP00000122458.1 pep:known chromosome:GRCm38:19:5113810:5117586:-1 gene:ENSMUSG00000024862.16 transcript:ENSMUST00000156717.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klc2 description:kinesin light chain 2 [Source:MGI Symbol;Acc:MGI:107953] MATMVLPREEKLSQDEIVLGTKAVIQGLETLRGEHRALLAPLASHEAGEAEPGSQERCLL LRRSLEAIELGLGEAQVILALSSHLGAVESEKQKLRAQVRRLVQENQWLREELAGTQQKL QRSEQAVAQLEEEKQHLLFMSQIRKLDEDASPNEEKGDVPKDSLDDL >ENSMUSP00000000109.4 pep:known chromosome:GRCm38:15:92128188:92341953:1 gene:ENSMUSG00000055022.14 transcript:ENSMUST00000000109.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn1 description:contactin 1 [Source:MGI Symbol;Acc:MGI:105980] MKMPLLVSHLLLISLTSCLGDFTWHRRYGHGVSEEDKGFGPIFEEQPINTIYPEESLEGK VSLNCRARASPFPVYKWRMNNGDVDLTNDRYSMVGGNLVINNPDKQKDAGVYYCLASNNY GMVRSTEATLSFGYLDPFPPEERPEVKVKEGKGMVLLCDPPYHFPDDLSYRWLLNEFPVF ITMDKRRFVSQTNGNLYIANVESSDRGNYSCFVSSPSITKSVFSKFIPLIPIPERTTKPY PADIVVQFKDIYTMMGQNVTLECFALGNPVPDIRWRKVLEPMPSTAEISTSGAVLKIFNI QLEDEGLYECEAENIRGKDKHQARIYVQAFPEWVEHINDTEVDIGSDLYWPCIATGKPIP TIRWLKNGYSYHKGELRLYDVTFENAGMYQCIAENAYGSIYANAELKILALAPTFEMNPM KKKILAAKGGRVIIECKPKAAPKPKFSWSKGTEWLVNSSRILIWEDGSLEINNITRNDGG IYTCFAENNRGKANSTGTLVITNPTRIILAPINADITVGENATMQCAASFDPALDLTFVW SFNGYVIDFNKEITHIHYQRNFMLDANGELLIRNAQLKHAGRYTCTAQTIVDNSSASADL VVRGPPGPPGGLRIEDIRATSVALTWSRGSDNHSPISKYTIQTKTILSDDWKDAKTDPPI IEGNMESAKAVDLIPWMEYEFRVVATNTLGTGEPSIPSNRIKTDGAAPNVAPSDVGGGGG TNRELTITWAPLSREYHYGNNFGYIVAFKPFDGEEWKKVTVTNPDTGRYVHKDETMTPST AFQVKVKAFNNKGDGPYSLVAVINSAQDAPSEAPTEVGVKVLSSSEISVHWKHVLEKIVE SYQIRYWAGHDKEAAAHRVQVTSQEYSARLENLLPDTQYFIEVGACNSAGCGPSSDVIET FTRKAPPSQPPRIISSVRSGSRYIITWDHVVALSNESTVTGYKILYRPDGQHDGKLFSTH KHSIEVPIPRDGEYVVEVRAHSDGGDGVVSQVKISGVSTLSSSLLSLLLPSLGFLVYSEF >ENSMUSP00000067842.5 pep:known chromosome:GRCm38:15:92161357:92340114:1 gene:ENSMUSG00000055022.14 transcript:ENSMUST00000068378.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn1 description:contactin 1 [Source:MGI Symbol;Acc:MGI:105980] MKMPLLVSHLLLISLTSCLGDFTWHRRYGHGVSEEDKGFGPIFEEQPINTIYPEESLEGK VSLNCRARASPFPVYKWRMNNGDVDLTNDRYSMVGGNLVINNPDKQKDAGVYYCLASNNY GMVRSTEATLSFGYLDPFPPEERPEVKVKEGKGMVLLCDPPYHFPDDLSYRWLLNEFPVF ITMDKRRFVSQTNGNLYIANVESSDRGNYSCFVSSPSITKSVFSKFIPLIPIPERTTKPY PADIVVQFKDIYTMMGQNVTLECFALGNPVPDIRWRKVLEPMPSTAEISTSGAVLKIFNI QLEDEGLYECEAENIRGKDKHQARIYVQAFPEWVEHINDTEVDIGSDLYWPCIATGKPIP TIRWLKNGYSYHKGELRLYDVTFENAGMYQCIAENAYGSIYANAELKILALAPTFEMNPM KKKILAAKGGRVIIECKPKAAPKPKFSWSKGTEWLVNSSRILIWEDGSLEINNITRNDGG IYTCFAENNRGKANSTGTLVITNPTRIILAPINADITVGENATMQCAASFDPALDLTFVW SFNGYVIDFNKEITHIHYQRNFMLDANGELLIRNAQLKHAGRYTCTAQTIVDNSSASADL VVRGPPGPPGGLRIEDIRATSVALTWSRGSDNHSPISKYTIQTKTILSDDWKDAKTDPPI IEGNMESAKAVDLIPWMEYEFRVVATNTLGTGEPSIPSNRIKTDGAAPNVAPSDVGGGGG TNRELTITWAPLSREYHYGNNFGYIVAFKPFDGEEWKKVTVTNPDTGRYVHKDETMTPST AFQVKVKAFNNKGDGPYSLVAVINSAQDAPSEAPTEVGVKVLSSSEISVHWKHVLEKIVE SYQIRYWAGHDKEAAAHRVQVTSQEYSARLENLLPDTQYFIEVGACNSAGCGPSSDVIET FTRKAPPSQPPRIISSVRSGSRYIITWDHVVALSNESTVTGYKILYRPDGQHDGKLFSTH KHSIEVPIPRDGEYVVEVRAHSDGGDGVVSQVKISGVSTLSSSLLSLLLPSLGFLVYSEF >ENSMUSP00000133063.1 pep:known chromosome:GRCm38:15:92051165:92341967:1 gene:ENSMUSG00000055022.14 transcript:ENSMUST00000169825.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cntn1 description:contactin 1 [Source:MGI Symbol;Acc:MGI:105980] MKMPLLVSHLLLISLTSCLGDFTWHRRYGHGVSEEDKGFGPIFEEQPINTIYPEESLEGK VSLNCRARASPFPVYKWRMNNGDVDLTNDRYSMVGGNLVINNPDKQKDAGVYYCLASNNY GMVRSTEATLSFGYLDPFPPEERPEVKVKEGKGMVLLCDPPYHFPDDLSYRWLLNEFPVF ITMDKRRFVSQTNGNLYIANVESSDRGNYSCFVSSPSITKSVFSKFIPLIPIPERTTKPY PADIVVQFKDIYTMMGQNVTLECFALGNPVPDIRWRKVLEPMPSTAEISTSGAVLKIFNI QLEDEGLYECEAENIRGKDKHQARIYVQAFPEWVEHINDTEVDIGSDLYWPCIATGKPIP TIRWLKNGYSYHKGELRLYDVTFENAGMYQCIAENAYGSIYANAELKILALAPTFEMNPM KKKILAAKGGRVIIECKPKAAPKPKFSWSKGTEWLVNSSRILIWEDGSLEINNITRNDGG IYTCFAENNRGKANSTGTLVITNPTRIILAPINADITVGENATMQCAASFDPALDLTFVW SFNGYVIDFNKEITHIHYQRNFMLDANGELLIRNAQLKHAGRYTCTAQTIVDNSSASADL VVRGPPGPPGGLRIEDIRATSVALTWSRGSDNHSPISKYTIQTKTILSDDWKDAKTDPPI IEGNMESAKAVDLIPWMEYEFRVVATNTLGTGEPSIPSNRIKTDGAAPNVAPSDVGGGGG TNRELTITWAPLSREYHYGNNFGYIVAFKPFDGEEWKKVTVTNPDTGRYVHKDETMTPST AFQVKVKAFNNKGDGPYSLVAVINSAQDAPSEAPTEVGVKVLSSSEISVHWKHVLEKIVE SYQIRYWAGHDKEAAAHRVQVTSQEYSARLENLLPDTQYFIEVGACNSAGCGPSSDVIET FTRKAPPSQPPRIISSVRSGSRYIITWDHVVALSNESTVTGYKILYRPDGQHDGKLFSTH KHSIEVPIPRDGEYVVEVRAHSDGGDGVVSQVKISGVSTLSSSLLSLLLPSLGFLVYSEF >ENSMUSP00000114033.1 pep:known chromosome:GRCm38:15:5185525:5218336:1 gene:ENSMUSG00000022151.16 transcript:ENSMUST00000118193.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc33 description:tetratricopeptide repeat domain 33 [Source:MGI Symbol;Acc:MGI:1914765] MASFGWKRRIGEKVSKATSQQFEAEAADEKDAAENEDGNWLQASKRRKETLQEGCKQRSQ QLKDEGAQLAENKRYKEAIQKWDEALQLTPGDATLYEMKSQVLLSLHEMFPAVHAAEMAV KRNPHSWEAWQTLGRAQLGLGEIVLAIRSFQIALHIYPMNPELWKEDLSWARKLQEQQKV AQRIENKEMPPEGPDLSPGSIPDYDFESDEIVAVCAAVAEKQKSVSANKTMVIVSASGTV EIVNEKEEGSSTPDGSVFIKAR >ENSMUSP00000022751.8 pep:known chromosome:GRCm38:15:5185560:5218336:1 gene:ENSMUSG00000022151.16 transcript:ENSMUST00000022751.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc33 description:tetratricopeptide repeat domain 33 [Source:MGI Symbol;Acc:MGI:1914765] MASFGWKRRIGEKVSKATSQQFEAEAADEKDAAENEDGNWLQASKRRKETLQEGCKQRSQ QLKDEGAQLAENKRYKEAIQKWDEALQLTPGDATLYEMKSQVLLSLHEMFPAVHAAEMAV KRNPHSWEAWQTLGRAQLGLGEIVLAIRSFQIALHIYPMNPELWKEDLSWARKLQEQQKV AQRIENKEMPPEGPDLSPGSIPDYDFESDEIVAVCAAVAEKQKSVSANKTMVIVSASGTV EIVNEKEEGSSTPDGSVFIKAR >ENSMUSP00000080345.5 pep:known chromosome:GRCm38:15:5185727:5218336:1 gene:ENSMUSG00000022151.16 transcript:ENSMUST00000081640.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc33 description:tetratricopeptide repeat domain 33 [Source:MGI Symbol;Acc:MGI:1914765] MASFGWKRRIGEKVSKATSQQFEAEAADEKDAAENEDGNWLQASKRRKETLQEGCKQRSQ QLKDEGAQLAENKRSCCLFMKCFQQSMQQRWLSSVTHTRGRRGKL >ENSMUSP00000122250.1 pep:known chromosome:GRCm38:15:5186158:5217204:1 gene:ENSMUSG00000022151.16 transcript:ENSMUST00000144653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc33 description:tetratricopeptide repeat domain 33 [Source:MGI Symbol;Acc:MGI:1914765] MASFGWKRRIGEKVSKATSQQFEAEAADEKDAAENEDGNWLQASKRRKETLQEGCKQRSQ QLKDEGAQLAENKRYKEAIQKWDEALQLTPGDATLYEMKSQVLLSLHEMFPAVHAAEMAV KRNPHSWEAWQTLGRAQLGLGEIVLAIRSFQIALHIYPMNPEL >ENSMUSP00000121937.1 pep:known chromosome:GRCm38:15:5187354:5212043:1 gene:ENSMUSG00000022151.16 transcript:ENSMUST00000141167.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc33 description:tetratricopeptide repeat domain 33 [Source:MGI Symbol;Acc:MGI:1914765] MASFGWKRRIGEKVSKATSQQFEAEAADEKDAAENEDGNWLQASKRRKETLQEGCKQRSQ QLKDEGAQLAENKRYKEAIQKWDEALQLTPGDATLYEMKSQVLLSLHEMFPAVHAA >ENSMUSP00000003461.8 pep:known chromosome:GRCm38:11:6291633:6356642:1 gene:ENSMUSG00000020456.17 transcript:ENSMUST00000003461.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogdh description:oxoglutarate (alpha-ketoglutarate) dehydrogenase (lipoamide) [Source:MGI Symbol;Acc:MGI:1098267] MFHLRTCAAKLRPLTASQTVKTFSQNKPAAIRTFQQIRCYSAPVAAEPFLSGTSSNYVEE MYCAWLENPKSVHKSWDIFFRNTNAGAPPGTAYQSPLSLSRSSLATMAHAQSLVEAQPNV DKLVEDHLAVQSLIRAYQIRGHHVAQLDPLGILDADLDSSVPADIISSTDKLGFYGLHES DLDKVFHLPTTTFIGGQEPALPLREIIRRLEMAYCQHIGVEFMFINDLEQCQWIRQKFET PGIMQFTNEEKRTLLARLVRSTRFEEFLQRKWSSEKRFGLEGCEVLIPALKTIIDMSSAN GVDYVIMGMPHRGRLNVLANVIRKELEQIFCQFDSKLEAADEGSGDMKYHLGMYHRRINR VTDRNITLSLVANPSHLEAADPVVMGKTKAEQFYCGDTEGKKVMSILLHGDAAFAGQGIV YETFHLSDLPSYTTHGTVHVVVNNQIGFTTDPRMARSSPYPTDVARVVNAPIFHVNSDDP EAVMYVCKVAAEWRNTFHKDVVVDLVCYRRNGHNEMDEPMFTQPLMYKQIRKQKPVLQKY AELLVSQGVVNQPEYEEEISKYDKICEEAFTRSKDEKILHIKHWLDSPWPGFFTLDGQPR SMTCPSTGLEEDVLFHIGKVASSVPVENFTIHGGLSRILKTRRELVTNRTVDWALAEYMA FGSLLKEGIHVRLSGQDVERGTFSHRHHVLHDQNVDKRTCIPMNHLWPNQAPYTVCNSSL SEYGVLGFELGFAMASPNALVLWEAQFGDFNNMAQCIIDQFICPGQAKWVRQNGIVLLLP HGMEGMGPEHSSARPERFLQMCNDDPDVLPDLQEENFDINQLYDCNWIVVNCSTPGNFFH VLRRQILLPFRKPLIVFTPKSLLRHPEARTSFDEMLPGTHFQRVIPENGPAAQDPHKVKR LLFCTGKVYYDLTRERKARNMEEEVAITRIEQLSPFPFDLLLKEAQKYPNAELAWCQEEH KNQGYYDYVKPRLRTTIDRAKPVWYAGRDPAAAPATGNKKTHLTELQRFLDTAFDLDAFK KFS >ENSMUSP00000091041.3 pep:known chromosome:GRCm38:11:6291668:6356592:1 gene:ENSMUSG00000020456.17 transcript:ENSMUST00000093350.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogdh description:oxoglutarate (alpha-ketoglutarate) dehydrogenase (lipoamide) [Source:MGI Symbol;Acc:MGI:1098267] MFHLRTCAAKLRPLTASQTVKTFSQNKPAAIRTFQQIRCYSAPVAAEPFLSGTSSNYVEE MYCAWLENPKSVHKSWDIFFRNTNAGAPPGTAYQSPLSLSRSSLATMAHAQSLVEAQPNV DKLVEDHLAVQSLIRAYQVRGHHIAKLDPLGISCVNFDDAPVTVSSNVDLAVFKERLRML TVGGFYGLHESDLDKVFHLPTTTFIGGQEPALPLREIIRRLEMAYCQHIGVEFMFINDLE QCQWIRQKFETPGIMQFTNEEKRTLLARLVRSTRFEEFLQRKWSSEKRFGLEGCEVLIPA LKTIIDMSSANGVDYVIMGMPHRGRLNVLANVIRKELEQIFCQFDSKLEAADEGSGDMKY HLGMYHRRINRVTDRNITLSLVANPSHLEAADPVVMGKTKAEQFYCGDTEGKKVMSILLH GDAAFAGQGIVYETFHLSDLPSYTTHGTVHVVVNNQIGFTTDPRMARSSPYPTDVARVVN APIFHVNSDDPEAVMYVCKVAAEWRNTFHKDVVVDLVCYRRNGHNEMDEPMFTQPLMYKQ IRKQKPVLQKYAELLVSQGVVNQPEYEEEISKYDKICEEAFTRSKDEKILHIKHWLDSPW PGFFTLDGQPRSMTCPSTGLEEDVLFHIGKVASSVPVENFTIHGGLSRILKTRRELVTNR TVDWALAEYMAFGSLLKEGIHVRLSGQDVERGTFSHRHHVLHDQNVDKRTCIPMNHLWPN QAPYTVCNSSLSEYGVLGFELGFAMASPNALVLWEAQFGDFNNMAQCIIDQFICPGQAKW VRQNGIVLLLPHGMEGMGPEHSSARPERFLQMCNDDPDVLPDLQEENFDINQLYDCNWIV VNCSTPGNFFHVLRRQILLPFRKPLIVFTPKSLLRHPEARTSFDEMLPGTHFQRVIPENG PAAQDPHKVKRLLFCTGKVYYDLTRERKARNMEEEVAITRIEQLSPFPFDLLLKEAQKYP NAELAWCQEEHKNQGYYDYVKPRLRTTIDRAKPVWYAGRDPAAAPATGNKKTHLTELQRF LDTAFDLDAFKKFS >ENSMUSP00000080569.4 pep:known chromosome:GRCm38:11:6292605:6356592:1 gene:ENSMUSG00000020456.17 transcript:ENSMUST00000081894.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogdh description:oxoglutarate (alpha-ketoglutarate) dehydrogenase (lipoamide) [Source:MGI Symbol;Acc:MGI:1098267] MFHLRTCAAKLRPLTASQTVKTFSQNKPAAIRTFQQIRCYSAPVAAEPFLSGTSSNYVEE MYCAWLENPKSVHKSWDIFFRNTNAGAPPGTAYQSPLSLSRSSLATMAHAQSLVEAQPNV DKLVEDHLAVQSLIRAYQVRGHHIAKLDPLGISCVNFDDAPVTVSSNVGFYGLHESDLDK VFHLPTTTFIGGQEPALPLREIIRRLEMAYCQHIGVEFMFINDLEQCQWIRQKFETPGIM QFTNEEKRTLLARLVRSTRFEEFLQRKWSSEKRFGLEGCEVLIPALKTIIDMSSANGVDY VIMGMPHRGRLNVLANVIRKELEQIFCQFDSKLEAADEGSGDMKYHLGMYHRRINRVTDR NITLSLVANPSHLEAADPVVMGKTKAEQFYCGDTEGKKVMSILLHGDAAFAGQGIVYETF HLSDLPSYTTHGTVHVVVNNQIGFTTDPRMARSSPYPTDVARVVNAPIFHVNSDDPEAVM YVCKVAAEWRNTFHKDVVVDLVCYRRNGHNEMDEPMFTQPLMYKQIRKQKPVLQKYAELL VSQGVVNQPEYEEEISKYDKICEEAFTRSKDEKILHIKHWLDSPWPGFFTLDGQPRSMTC PSTGLEEDVLFHIGKVASSVPVENFTIHGGLSRILKTRRELVTNRTVDWALAEYMAFGSL LKEGIHVRLSGQDVERGTFSHRHHVLHDQNVDKRTCIPMNHLWPNQAPYTVCNSSLSEYG VLGFELGFAMASPNALVLWEAQFGDFNNMAQCIIDQFICPGQAKWVRQNGIVLLLPHGME GMGPEHSSARPERFLQMCNDDPDVLPDLQEENFDINQLYDCNWIVVNCSTPGNFFHVLRR QILLPFRKPLIVFTPKSLLRHPEARTSFDEMLPGTHFQRVIPENGPAAQDPHKVKRLLFC TGKVYYDLTRERKARNMEEEVAITRIEQLSPFPFDLLLKEAQKYPNAELAWCQEEHKNQG YYDYVKPRLRTTIDRAKPVWYAGRDPAAAPATGNKKTHLTELQRFLDTAFDLDAFKKFS >ENSMUSP00000099090.2 pep:known chromosome:GRCm38:11:6291666:6356578:1 gene:ENSMUSG00000020456.17 transcript:ENSMUST00000101554.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogdh description:oxoglutarate (alpha-ketoglutarate) dehydrogenase (lipoamide) [Source:MGI Symbol;Acc:MGI:1098267] MFHLRTCAAKLRPLTASQTVKTFSQNKPAAIRTFQQIRCYSAPVAAEPFLSGTSSNYVEE MYCAWLENPKSVHKSWDIFFRNTNAGAPPGTAYQSPLSLSRSSLATMAHAQSLVEAQPNV DKLVEDHLAVQSLIRAYQIRGHHVAQLDPLGILDADLDSSVPADIISSTDKLGFYGLHES DLDKVFHLPTTTFIGGQEPALPLREIIRRLEMAYCQHIGVEFMFINDLEQCQWIRQKFET PGIMQFTNEEKRTLLARLVRSTRFEEFLQRKWSSEKRFGLEGCEVLIPALKTIIDMSSAN GVDYVIMGMPHRGRLNVLANVIRKELEQIFCQFDSKLEAADEGSGDMKYHLGMYHRRINR VTDRNITLSLVANPSHLEAADPVVMGKTKAEQFYCGDTEGKKVMSILLHGDAAFAGQGIV YETFHLSDLPSYTTHGTVHVVVNNQIGFTTDPRMARSSPYPTDVARVVNAPIFHVNSDDP EAVMYVCKVAAEWRNTFHKDVVVDLVCYRRNGHNEMDEPMFTQPLMYKQIRKQKPVLQKY AELLVSQGVVNQPEYEEEISKYDKICEEAFTRSKDEKILHIKHWLDSPWPGFFTLDGQPR SMTCPSTGLEEDVLFHIGKVASSVPVENFTIHGGLSRILKTRRELVTNRTVDWALAEYMA FGSLLKEGIHVRLSGQDVERGTFSHRHHVLHDQNVDKRTCIPMNHLWPNQAPYTVCNSSL SEYGVLGFELGFAMASPNALVLWEAQFGDFNNMAQCIIDQFICPGQAKWVRQNGIVLLLP HGMEGMGPEHSSARPERFLQMCNDDPDVLPDLQEENFDINQLYDCNWIVVNCSTPGNFFH VLRRQILLPFRKPLIVFTPKSLLRHPEARTSFDEMLPGTHFQRVIPENGPAAQDPHKVKR LLFCTGKVYYDLTRERKARNMEEEVAITRIEQLSPFPFDLLLKEAQKYPNAELAWCQEEH KNQGYYDYVKPRLRTTIDRAKPVWYAGRDPAAAPATGNKKTHLTELQRFLDTAFDLDAFK KFS >ENSMUSP00000117323.1 pep:known chromosome:GRCm38:11:6291985:6313934:1 gene:ENSMUSG00000020456.17 transcript:ENSMUST00000140765.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogdh description:oxoglutarate (alpha-ketoglutarate) dehydrogenase (lipoamide) [Source:MGI Symbol;Acc:MGI:1098267] MFHLRTCAAKLRPLTASQTVKTFSQNKPAAIRTFQQIRCYSAPVAAEPFLSGTSSNYVEE MYCAWLENPKSVHKSWDIFFRNTNAGAPPGTAYQSPLSLSRSSLATMAHAQSLVEAQPNV DKL >ENSMUSP00000117505.1 pep:known chromosome:GRCm38:11:6292172:6313818:1 gene:ENSMUSG00000020456.17 transcript:ENSMUST00000135124.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ogdh description:oxoglutarate (alpha-ketoglutarate) dehydrogenase (lipoamide) [Source:MGI Symbol;Acc:MGI:1098267] MFHLRTCAAKLRPLTASQTVKTFSQNKPAAIRTFQQIRCYSAPVAAEPFLSGTSSNYVEE MYCAWLENPKSVHKSWDIFFRNTN >ENSMUSP00000023455.5 pep:known chromosome:GRCm38:16:17086555:17111257:-1 gene:ENSMUSG00000022771.15 transcript:ENSMUST00000023455.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil2 description:peptidylprolyl isomerase (cyclophilin)-like 2 [Source:MGI Symbol;Acc:MGI:2447857] MGKRQHQKDKMYITCAEYTHFYGGRKPDISQTSFRRLPFDHCSLSLQPFVYPVCTPEGVV FDLLNIVPWLKKYGTNPSTGEKLDGKSLIKLNFAKNSEGQYHCPVLYSVFTDNTHIVAIR TTGNVYTYEAVEQLNIKAKNLRDLLTDEPFSRQDIITLQDPTNLDKFNVSNFFHVKNNMR IIDPDEEKAKQDPSYYLKNTNSETRETLQELYKEFKGDEILAATMRPPEKKKVDQLNAAH YSTGKVSASFTSTAMVPETTHEAAVIDEDVLRYQFVKKKGYVRLHTNKGDLNLELHCDLT PKTCENFIKLCKKQYYDGTIFHRSIRNFVIQGGDPTGTGTGGESFWGKPFKDEFRPNLSH TGRGVLSMANSGPNTNKSQFFITFRSCAYLDKKHTIFGRVVGGFDTLTAMENVESDPKTD RPKEEVLICTTTVFVDPYEEADAQIAQERKKTQHQVDPEAKVKMSQPQPGNQGPQTYRQG VGKYIHPAATKRSAEEEPSTSTATPTAKKRPSRGFGDFSSW >ENSMUSP00000111386.3 pep:known chromosome:GRCm38:16:17087316:17111242:-1 gene:ENSMUSG00000022771.15 transcript:ENSMUST00000115721.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil2 description:peptidylprolyl isomerase (cyclophilin)-like 2 [Source:MGI Symbol;Acc:MGI:2447857] MGKRQHQKDKMYITCAEYTHFYGGRKPDISQTSFRRLPFDHCSLSLQPFVYPVCTPEGVV FDLLNIVPWLKKYGTNPSTGEKLDGKSLIKLNFAKNSEGQYHCPVLYSVFTDNTHIVAIR TTGNVYTYEAVEQLNIKAKNLRDLLTDEPFSRQDIITLQDPTNLDKFNVSNFFHVKNNMR IIDPDEEKAKQDPSYYLKNTNSETRETLQELYKEFKGDEILAATMRPPEKKKVDQLNAAH YSTGKVSASFTSTAMVPETTHEAAVIDEDVLRYQFVKKKGYVRLHTNKGDLNLELHCDLT PKTCENFIKLCKKQYYDGTIFHRSIRNFVIQGGDPTGTGTGGESFWGKPFKDEFRPNLSH TGRGVLSMANSGPNTNKSQFFITFRSCAYLDKKHTIFGRVVGGFDTLTAMENVESDPKTD RPKEEVLICTTTVFVDPYEEADAQIAQERKKTQHQVDPEAKVKMSQPQPGNQGPQTYRQG VGKYIHPAATKRSAEEEPSTSTATPTAKKRPSRGFGDFSSW >ENSMUSP00000111384.1 pep:known chromosome:GRCm38:16:17095761:17111215:-1 gene:ENSMUSG00000022771.15 transcript:ENSMUST00000115719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil2 description:peptidylprolyl isomerase (cyclophilin)-like 2 [Source:MGI Symbol;Acc:MGI:2447857] MGKRQHQKDKMYITCAEYTHFYGGRKPDISQTSFRRLPFDHCSLSLQPFVYPVCTPEGVV FDLLNIVPWLKKYGTNPSTGEKLDGKSLIKLNFAKNSEGQYHCPVLYSVFTDNTHIVAIR TTGNVYTYEAVEQLNIKAKNLRDLLTDEPFSRQDIITLQDPTNLDKFNVSNFFHVKNNMR IIDPGMDT >ENSMUSP00000131422.2 pep:known chromosome:GRCm38:16:17086555:17111252:-1 gene:ENSMUSG00000022771.15 transcript:ENSMUST00000164458.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppil2 description:peptidylprolyl isomerase (cyclophilin)-like 2 [Source:MGI Symbol;Acc:MGI:2447857] MGKRQHQKDKMYITCAEYTHFYGGRKPDISQTSFRRLPFDHCSLSLQPFVYPVCTPEGVV FDLLNIVPWLKKYGTNPSTGEKLDGKSLIKLNFAKNSEGQYHCPVLYSVFTDNTHIVAIR TTGNVYTYEAVEQLNIKAKNLRDLLTDEPFSRQDIITLQDPTNLDKFNVSNFFHVKNNMR IIDPDEEKAKQDPSYYLKNTNSETRETLQELYKEFKGDEILAATMRPPEKKKVDQLNAAH YSTGKVSASFTSTAMVPETTHEAAVIDEDVLRYQFVKKKGYVRLHTNKGDLNLELHCDLT PKTCENFIKLCKKQYYDGTIFHRSIRNFVIQGGDPTGTGTGGESFWGKPFKDEFRPNLSH TGRGVLSMANSGPNTNKSQFFITFRSCAYLDKKHTIFGRVVGGFDTLTAMENVESDPKTD RPKEEVLICTTTVFVDPYEEADAQIAQERKKTQHQVDPEAKVKMSQPQPGNQGPQTYRQG VGKYIHPAATKRSAEEEPSTSTATPTAKKRPSRGFGDFSSW >ENSMUSP00000085906.5 pep:known chromosome:GRCm38:X:68678541:68717963:1 gene:ENSMUSG00000000838.17 transcript:ENSMUST00000088546.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmr1 description:fragile X mental retardation syndrome 1 [Source:MGI Symbol;Acc:MGI:95564] MEELVVEVRGSNGAFYKAFVKDVHEDSITVAFENNWQPERQIPFHDVRFPPPVGYNKDIN ESDEVEVYSRANEKEPCCWWLAKVRMIKGEFYVIEYAACDATYNEIVTIERLRSVNPNKP ATKDTFHKIKLEVPEDLRQMCAKESAHKDFKKAVGAFSVTYDPENYQLVILSINEVTSKR AHMLIDMHFRSLRTKLSLILRNEEASKQLESSRQLASRFHEQFIVREDLMGLAIGTHGAN IQQARKVPGVTAIDLDEDTCTFHIYGEDQDAVKKARSFLEFAEDVIQVPRNLVGKVIGKN GKLIQEIVDKSGVVRVRIEAENEKSVPQEEEIMPPSSLPSNNSRVGPNSSEEKKHLDTKE NTHFSQPNSTKVQRVLVVSSIVAGGPQKPEPKAWQGMVPFVFVGTKDSIANATVLLDYHL NYLKEVDQLRLERLQIDEQLRQIGASSRPPPNRTDKEKGYVTDDGQGMGRGSRPYRNRGH GRRGPGYTSGTNSEASNASETESDHRDELSDWSLAPTEEERESFLRRGDGRRRGGGGRGQ GGRGRGGGFKGNDDHSRTDNRPRNPREAKGRTADGSLQSASSEGSRLRTGKDRNQKKEKP DSVDGLQPLVNGVP >ENSMUSP00000110304.1 pep:known chromosome:GRCm38:X:68678624:68717963:1 gene:ENSMUSG00000000838.17 transcript:ENSMUST00000114656.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmr1 description:fragile X mental retardation syndrome 1 [Source:MGI Symbol;Acc:MGI:95564] MEELVVEVRGSNGAFYKAFVKDVHEDSITVAFENNWQPERQIPFHDVRFPPPVGYNKDIN ESDEVEVYSRANEKEPCCWWLAKVRMIKGEFYVIEYAACDATYNEIVTIERLRSVNPNKP ATKDTFHKIKLEVPEDLRQMCAKESAHKDFKKAVGAFSVTYDPENYQLVILSINEVTSKR AHMLIDMHFRSLRTKLSLILRNEEASKQLESSRQLASRFHEQFIVREDLMGLAIGTHGAN IQQARKVPGVTAIDLDEDTCTFHIYGEDQDAVKKARSFLEFAEDVIQVPRNLVVIGKNGK LIQEIVDKSGVVRVRIEAENEKSVPQEEEIMPPSSLPSNNSRVGPNSSEEKKHLDTKENT HFSQPNSTKVQRGMVPFVFVGTKDSIANATVLLDYHLNYLKEVDQLRLERLQIDEQLRQI GASSRPPPNRTDKEKGYVTDDGQGMGRGSRPYRNRGHGRRGPGYTSGTNSEASNASETES DHRDELSDWSLAPTEEERESFLRRGDGRRRGGGGRGQGGRGRGGGFKGNDDHSRTDNRPR NPREAKGRTADGSLQSASSEGSRLRTGKDRNQKKEKPDSVDGLQPLVNGVP >ENSMUSP00000110305.2 pep:known chromosome:GRCm38:X:68678811:68717963:1 gene:ENSMUSG00000000838.17 transcript:ENSMUST00000114657.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmr1 description:fragile X mental retardation syndrome 1 [Source:MGI Symbol;Acc:MGI:95564] MEELVVEVRGSNGAFYKAFVKDVHEDSITVAFENNWQPERQIPFHDVRFPPPVGYNKDIN ESDEVEVYSRANEKEPCCWWLAKVRMIKGEFYVIEYAACDATYNEIVTIERLRSVNPNKP ATKDTFHKIKLEVPEDLRQMCAKESAHKDFKKAVGAFSVTYDPENYQLVILSINEVTSKR AHMLIDMHFRSLRTKLSLILRNEEASKQLESSRQLASRFHEQFIVREDLMGLAIGTHGAN IQQARKVPGVTAIDLDEDTCTFHIYGEDQDAVKKARSFLEFAEDVIQVPRNLVGKVIGKN GKLIQEIVDKSGVVRVRIEAENEKSVPQEEEIMPPSSLPSNNSRVGPNSSEEKKHLDTKE NTHFSQPNSTKVQRGMVPFVFVGTKDSIANATVLLDYHLNYLKEVDQLRLERLQIDEQLR QIGASSRPPPNRTDKEKGYVTDDGQGMGRGSRPYRNRGHGRRGPGYTSGTNSEASNASET ESDHRDELSDWSLAPTEEERESFLRRGDGRRRGGGGRGQGGRGRGGGFKGNDDHSRTDNR PRNPREAKGRTADGSLQSASSEGSRLRTGKDRNQKKEKPDSVDGLQPLVNGVP >ENSMUSP00000110301.1 pep:known chromosome:GRCm38:X:68678817:68717963:1 gene:ENSMUSG00000000838.17 transcript:ENSMUST00000114653.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmr1 description:fragile X mental retardation syndrome 1 [Source:MGI Symbol;Acc:MGI:95564] MEELVVEVRGSNGAFYKAFVKDVHEDSITVAFENNWQPERQIPFHDVRFPPPVGYNKDIN ESDEVEVYSRANEKEPCCWWLAKVRMIKGEFYVIEYAACDATYNEIVTIERLRSVNPNKP ATKDTFHKIKLEVPEDLRQMCAKESAHKDFKKAVGAFSVTYDPENYQLVILSINEVTSKR AHMLIDMHFRSLRTKLSLILRNEEASKQLESSRQLASRFHEQFIVREDLMGLAIGTHGAN IQQARKVPGVTAIDLDEDTCTFHIYGEDQDAVKKARSFLEFAEDVIQVPRNLVGKVIGKN GKLIQEIVDKSGVVRVRIEAENEKSVPQEEEIMPPSSLPSNNSRVGPNSSEEKKHLDTKE NTHFSQPNSTKVQRVLVVSSIVAGGPQKPEPKAWQGMVPFVFVGTKDSIANATVLLDYHL NYLKEVDQLRLERLQIDEQLRQIGASSRPPPNRTDKEKGYVTDDGQGMGRGSRPYRNRGH GRRGPGYTSAPTEEERESFLRRGDGRRRGGGGRGQGGRGRGGGFKGNDDHSRTDNRPRNP REAKGRTADGSLQSASSEGSRLRTGKDRNQKKEKPDSVDGLQPLVNGVP >ENSMUSP00000110302.1 pep:known chromosome:GRCm38:X:68678802:68717963:1 gene:ENSMUSG00000000838.17 transcript:ENSMUST00000114654.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmr1 description:fragile X mental retardation syndrome 1 [Source:MGI Symbol;Acc:MGI:95564] MEELVVEVRGSNGAFYKAFVKDVHEDSITVAFENNWQPERQIPFHDVRFPPPVGYNKDIN ESDEVEVYSRANEKEPCCWWLAKVRMIKGEFYVIEYAACDATYNEIVTIERLRSVNPNKP ATKDTFHKIKLEVPEDLRQMCAKESAHKDFKKAVGAFSVTYDPENYQLVILSINEVTSKR AHMLIDMHFRSLRTKLSLILRNEEASKQLESSRQLASRFHEQFIVREDLMGLAIGTHGAN IQQARKVPGVTAIDLDEDTCTFHIYGEDQDAVKKARSFLEFAEDVIQVPRNLVGKVIGKN GKLIQEIVDKSGVVRVRIEAENEKSVPQEEEIMPPSSLPSNNSRVGPNSSEEKKHLDTKE NTHFSQPNSTKVQRGMVPFVFVGTKDSIANATVLLDYHLNYLKEVDQLRLERLQIDEQLR QIGASSRPPPNRTDKEKGYVTDDGQGMGRGSRPYRNRGHGRRGPGYTSAPTEEERESFLR RGDGRRRGGGGRGQGGRGRGGGFKGNDDHSRTDNRPRNPREAKGRTADGSLQITVNCNNE KTVHTKPLQSASSEGSRLRTGKDRNQKKEKPDSVDGLQPLVNGVP >ENSMUSP00000110303.1 pep:known chromosome:GRCm38:X:68678802:68717963:1 gene:ENSMUSG00000000838.17 transcript:ENSMUST00000114655.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fmr1 description:fragile X mental retardation syndrome 1 [Source:MGI Symbol;Acc:MGI:95564] MEELVVEVRGSNGAFYKAFVKDVHEDSITVAFENNWQPERQIPFHDVRFPPPVGYNKDIN ESDEVEVYSRANEKEPCCWWLAKVRMIKGEFYVIEYAACDATYNEIVTIERLRSVNPNKP ATKDTFHKIKLEVPEDLRQMCAKESAHKDFKKAVGAFSVTYDPENYQLVILSINEVTSKR AHMLIDMHFRSLRTKLSLILRNEEASKQLESSRQLASRFHEQFIVREDLMGLAIGTHGAN IQQARKVPGVTAIDLDEDTCTFHIYGEDQDAVKKARSFLEFAEDVIQVPRNLVGKVIGKN GKLIQEIVDKSGVVRVRIEAENEKSVPQEEEIMPPSSLPSNNSRVGPNSSEEKKHLDTKE NTHFSQPNSTKVQRVLVVSSIVAGGPQKPEPKAWQGMVPFVFVGTKDSIANATVLLDYHL NYLKEVDQLRLERLQIDEQLRQIGASSRPPPNRTDKEKGYVTDDGQGMGRGSRPYRNRGH GRRGPGYTSGTNSEASNASETESDHRDELSDWSLAPTEEERESFLRRGDGRRRGGGGRGQ GGRGRGGGFKGNDDHSRTDNRPRNPREAKGRTADGSLQITVNCNNEKTVHTKPLQSASSE GSRLRTGKDRNQKKEKPDSVDGLQPLVNGVP >ENSMUSP00000133641.1 pep:known chromosome:GRCm38:5:15709501:15714236:-1 gene:ENSMUSG00000073208.12 transcript:ENSMUST00000095005.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4c description:spermatogenesis associated glutamate (E)-rich protein 4C [Source:MGI Symbol;Acc:MGI:1914023] MALFAQLCRLFQRANVDGRETREGRKDADLPSESNEGRRRWTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLMEENLIKKKSMTLQQESKEVQADWA IIHQRLVELNLSGKDEQEENSNLETPEYQVSETARELGLATAEEDSILQNELPGQEAPAE HHLQHPQSSSDESSSI >ENSMUSP00000136269.1 pep:known chromosome:GRCm38:5:15711557:15714271:-1 gene:ENSMUSG00000073208.12 transcript:ENSMUST00000179506.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4c description:spermatogenesis associated glutamate (E)-rich protein 4C [Source:MGI Symbol;Acc:MGI:1914023] MALFAQLCRLFQRANVDGRETREGRKDADLPSESNEGRRRWTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEAQENK >ENSMUSP00000113820.1 pep:known chromosome:GRCm38:7:27532000:27553212:-1 gene:ENSMUSG00000003363.15 transcript:ENSMUST00000117095.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld3 description:phospholipase D family, member 3 [Source:MGI Symbol;Acc:MGI:1333782] MKPKLMYQELKVPVEEPAGELPLNEIEAWKAAEKKARWVLLVLILAVVGFGALMTQLFLW EYGDLHLFGPNQRPAPCYDPCEAVLVESIPEGLEFPNATTSNPSTSQAWLGLLAGAHSSL DIASFYWTLTNNDTHTQEPSAQQGEEVLQQLQALAPRGVKVRIAVSKPNGPLADLQSLLQ SGAQVRMVDMQKLTHGVLHTKFWVVDQTHFYLGSANMDWRSLTQVKELGVVMYNCSCLAR DLTKIFEAYWFLGQAGSSIPSTWPRSFDTRYNQETPMEICLNGTPALAYLASAPPPLCPS GRTPDLKALLNVVDSARSFIYIAVMNYLPTMEFSHPRRFWPAIDDGLRRAAYERGVKVRL LISCWGHSDPSMRSFLLSLAALHDNHTHSDIQVKLFVVPTDESQARIPYARVNHNKYMVT ERASYIGTSNWSGSYFTETAGTSLLVTQNGHGGLRSQLEAVFLRDWESPYSHDLDTSANS VGNACRLL >ENSMUSP00000112942.1 pep:known chromosome:GRCm38:7:27532000:27553218:-1 gene:ENSMUSG00000003363.15 transcript:ENSMUST00000117611.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld3 description:phospholipase D family, member 3 [Source:MGI Symbol;Acc:MGI:1333782] MKPKLMYQELKVPVEEPAGELPLNEIEAWKAAEKKARWVLLVLILAVVGFGALMTQLFLW EYGDLHLFGPNQRPAPCYDPCEAVLVESIPEGLEFPNATTSNPSTSQAWLGLLAGAHSSL DIASFYWTLTNNDTHTQEPSAQQGEEVLQQLQALAPRGVKVRIAVSKPNGPLADLQSLLQ SGAQVRMVDMQKLTHGVLHTKFWVVDQTHFYLGSANMDWRSLTQVKELGVVMYNCSCLAR DLTKIFEAYWFLGQAGSSIPSTWPRSFDTRYNQETPMEICLNGTPALAYLASAPPPLCPS GRTPDLKALLNVVDSARSFIYIAVMNYLPTMEFSHPRRFWPAIDDGLRRAAYERGVKVRL LISCWGHSDPSMRSFLLSLAALHDNHTHSDIQVKLFVVPTDESQARIPYARVNHNKYMVT ERASYIGTSNWSGSYFTETAGTSLLVTQNGHGGLRSQLEAVFLRDWESPYSHDLDTSANS VGNACRLL >ENSMUSP00000119228.1 pep:known chromosome:GRCm38:7:27539651:27553016:-1 gene:ENSMUSG00000003363.15 transcript:ENSMUST00000131106.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pld3 description:phospholipase D family, member 3 [Source:MGI Symbol;Acc:MGI:1333782] MKPKLMYQELKVPVEEPAGELPLNEIEAWKAAEKGGAGGEHS >ENSMUSP00000115891.1 pep:known chromosome:GRCm38:7:27541205:27553184:-1 gene:ENSMUSG00000003363.15 transcript:ENSMUST00000127240.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld3 description:phospholipase D family, member 3 [Source:MGI Symbol;Acc:MGI:1333782] MKPKLMYQELKVPVEEPAGELPLNEIEAWKAAEKKARWVLLVLILAVVGFGALMTQLFLW EYGDLHLFGPNQRPAPCYDPCEAVLVESIPEGLEFPNATTSNP >ENSMUSP00000122897.1 pep:known chromosome:GRCm38:7:27541740:27542745:-1 gene:ENSMUSG00000003363.15 transcript:ENSMUST00000150964.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pld3 description:phospholipase D family, member 3 [Source:MGI Symbol;Acc:MGI:1333782] MKPKLMYQELKVPVEEPAGELPLNEIEAWKAAEKKARWVLLVLILAVVGFGALMTQLFLW EYG >ENSMUSP00000051248.6 pep:known chromosome:GRCm38:1:9718622:9748382:-1 gene:ENSMUSG00000045210.8 transcript:ENSMUST00000057438.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vcpip1 description:valosin containing protein (p97)/p47 complex interacting protein 1 [Source:MGI Symbol;Acc:MGI:1917925] MSQPPPPPPLPPPPPPPEAPQTSSSLAAAASPGGLSKRRDRRILSGSCPDPKCQARLFFP ASGSVSIECTECGQRHEQQQLLGVEEVTDPDVVLHNLLRNALLGVTGAPKKNTELVKVMG LSNYHCKLLSPILARYGMDKQTGRAKLLRDMNQGELFDCALLGDRAFLIEPEHVNTVGYG KDRSGSLLYLHDTLEDIKRANKSQECLIPVHVDGDGHCLVHAVSRALVGRELFWHALREN LKQHFQQHLARYQALFHDFIDAAEWEDIINECDPLFVPPEGVPLGLRNIHIFGLANVLHR PIILLDSLSGMRSSGDYSATFLPGLIPAEKCTGRDGHLNKPICIAWSSSGRNHYIPLVGI KGAALPKLPMNLLPKAWGVPQDLIKKYIKLEEDGGCVIGGDRSLQDKYLLRLVAAMEEVF MDKHGIHPSLVADVHQYFYRRTGVIGVQPEEVTAAAKKAVMDNRLHKCLLCGALSELHVP SEWLAPGGKLYNLAKSTHGQLRPDKNYSFPLNNLVCSYDPVKDVLLPDYGLSNLTACNWC HGSSVRRVRGDGSIVYLDGDRTNSRSTGGKCGCGFKHFWEGKEYDNLPEAFPITLEWGGR VVRETVYWFQYESDPSLNSNVYDVAMKLVTKHFPGEFGSEILVQKVVHTILHQTAKKNPD DYTPVNIDGAHAQRVGDVQGQELESQLPTKIILTGQKTKTLHKEELNMSKTERTIQQNIT EQASVMQKRKTEKLKQEQKGQPRTVSPSTIRDGPSSAPATPTKAPYSPTTSKEKKIRITT NDGRQSMVTLKPSTTFFELQESIAREFNIPPYLQCIRYGFPPKELMPPQAGMEKEPVPLQ HGDRITIEILKGRAEGGPSTAAHSAHTVKQEEIAVTGKLSSKELQEQADKEMYSLCLLAT LMGEDVWSYAKGLPHMFQQGGVFYNIMKKTMGMADGKHCTFPHLPGKTFVYNASEDRLEL CVDAAGHFPIGPDVEDLVKEAVSQVRAEATTRSRESSPSHGLLKLGSGGVVKKKSEQLHN VTAFQGKGHSLGTASSHPHIDPRARETLAVRKHNTGTDFSNSSIKTEPPVFTAASSNSEL IRIAPGVVTMRDGRQIDPDVVEAQRKKLQEMVSSIQASMDKHLRDQSAEQAPSDLSQRKV EVVSSVRPVNLQTGLPEPFSLTGGTENLNTETTDSHVADVLGAAFATRSKAQKENSMEEP EEMDSQDAETTNTTEPMDHS >ENSMUSP00000076045.2 pep:known chromosome:GRCm38:17:20814495:20815415:1 gene:ENSMUSG00000061150.2 transcript:ENSMUST00000076759.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r229 description:vomeronasal 1 receptor 229 [Source:MGI Symbol;Acc:MGI:2159615] MDFGNLAMGIVLSLQSALGILGNFSLLFYYLVLYNKERTLKIIDIILIHVFTSNSLIIFS KGLPEVLAVFGWNDLFDDVGCKLIMYVRRVSRSMSISMTCLLSVFQAITISTRNSCWKEF KEQTAKFMGLFISLCWILFMLVNMLFPVYTSTNRNSKNKTQKKDIEFCHSVGRDKMVDIM YTAFCVFPEVLFSLLIVSSSTTMIVILYGHKKRVQHILHTHASPRTSAENRATQTILILV CTFLAFYTLSSVLQGYVALSHDPSWWVMNITTIISMGFPAIGPFVMSRDFTVSRFCFTCI GNLKLP >ENSMUSP00000023598.8 pep:known chromosome:GRCm38:16:23113943:23127737:-1 gene:ENSMUSG00000022881.14 transcript:ENSMUST00000023598.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc4 description:replication factor C (activator 1) 4 [Source:MGI Symbol;Acc:MGI:2146571] MQAFLKGTSVSAKAQLTKDRGTPATAGSSGETKKVKPVPWVEKYRPKCVDEVAFQDEVVA VLRKSLEGADLPNLLFYGPPGTGKTSTILAAARELFGPELFRLRVLELNASDERGIQVVR EKVKNFAQLTVSGSRSDGKPCPPFKIVILDEADSMTSAAQAALRRTMEKESKTTRFCLIC NYVSRIIEPLTSRCSKFRFKPLSDKIQQERLLDIAEKENVKIGNEEIAYLVKISEGDLRK AITFLQSATRLTGGKEVSEDVITDIAGVIPAATIDGIFTACHSGSFDKLEAVVKNLIDEG HAATQLVNQLHDAIIENENLSDKHKSIITEKLAEVDKCLADGADEHLQLMSLCATVMQQL TQNC >ENSMUSP00000110994.1 pep:known chromosome:GRCm38:16:23120909:23127728:-1 gene:ENSMUSG00000022881.14 transcript:ENSMUST00000115337.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc4 description:replication factor C (activator 1) 4 [Source:MGI Symbol;Acc:MGI:2146571] MQAFLKGTSVSAKAQLTKDRGTPATAGSSGETKKVKPVPWVEKYRPKCVDEVAFQDEVVA VLRKSLEGADFNKPHLPGPLCVFWVDPEETLP >ENSMUSP00000110995.1 pep:known chromosome:GRCm38:16:23113951:23127717:-1 gene:ENSMUSG00000022881.14 transcript:ENSMUST00000115338.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rfc4 description:replication factor C (activator 1) 4 [Source:MGI Symbol;Acc:MGI:2146571] MQAFLKGTSVSAKAQLTKDRGTPATAGSSGETKKVKPVPWVEKYRPKCVDEVAFQDEVVA VLRKSLEGADLPNLLFYGPPGTGKTSTILAAARELFGPELFRLRVLELNASDERGIQVVR EKVKNFAQLTVSGSRSDGKPCPPFKIVILDEADSMTSAAQAALRRTMEKESKTTRFCLIC NYVSRIIEPLTSRCSKFRFKPLSDKIQQERLLDIAEKENVKIGNEEIAYLVKISEGDLRK AITFLQSATRLTGGKEVSEDVITDIAGVIPAATIDGIFTACHSGSFDKLEAVVKNLIDEG HAATQLVNQLHDAIIENENLSDKHKSIITEKLAVSCKHYTSTTTNFFWYVLVIFLFPFVG SRQVLGRWCR >ENSMUSP00000115479.1 pep:known chromosome:GRCm38:16:23114707:23127691:-1 gene:ENSMUSG00000022881.14 transcript:ENSMUST00000133847.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rfc4 description:replication factor C (activator 1) 4 [Source:MGI Symbol;Acc:MGI:2146571] MQAFLKGTSVSAKAQLTKDRGTPATAGSSGETKKVKPVPWVEKYRPKCVDEVAFQDEVVA VLRKSLEGADLPNLLFYGPPGTGKTSTILAAARELFGA >ENSMUSP00000023119.8 pep:known chromosome:GRCm38:15:97854425:97908310:-1 gene:ENSMUSG00000022479.15 transcript:ENSMUST00000023119.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdr description:vitamin D receptor [Source:MGI Symbol;Acc:MGI:103076] MEAMAASTSLPDPGDFDRNVPRICGVCGDRATGFHFNAMTCEGCKGFFRRSMKRKALFTC PFNGDCRITKDNRRHCQACRLKRCVDIGMMKEFILTDEEVQRKREMIMKRKEEEALKDSL RPKLSEEQQHIIAILLDAHHKTYDPTYADFRDFRPPIRADVSTGSYSPRPTLSFSGDSSS NSDLYTPSLDMMEPASFSTMDLNEEGSDDPSVTLDLSPLSMLPHLADLVSYSIQKVIGFA KMIPGFRDLTSDDQIVLLKSSAIEVIMLRSNQSFTLDDMSWDCGSQDYKYDITDVSRAGH TLELIEPLIKFQVGLKKLNLHEEEHVLLMAICIVSPDRPGVQDAKLVEAIQDRLSNTLQT YIRCRHPPPGSHQLYAKMIQKLADLRSLNEEHSKQYRSLSFQPENSMKLTPLVLEVFGNE IS >ENSMUSP00000116842.1 pep:known chromosome:GRCm38:15:97876776:97896813:-1 gene:ENSMUSG00000022479.15 transcript:ENSMUST00000126568.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdr description:vitamin D receptor [Source:MGI Symbol;Acc:MGI:103076] MEAMAASTSLPDPGDFDRNVPRICGVCGDRATGFHFNAMTCEGCKGFFRRSMKRKALFTC PFNGDCRITKDNRRH >ENSMUSP00000134584.2 pep:known chromosome:GRCm38:15:97876848:97910630:-1 gene:ENSMUSG00000022479.15 transcript:ENSMUST00000173104.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdr description:vitamin D receptor [Source:MGI Symbol;Acc:MGI:103076] MEAMAASTSLPDPGDFDRNVPRICGVCGDRATGFHFNAMTCEGCKGFFRRS >ENSMUSP00000133682.1 pep:known chromosome:GRCm38:15:97884877:97910630:-1 gene:ENSMUSG00000022479.15 transcript:ENSMUST00000174633.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdr description:vitamin D receptor [Source:MGI Symbol;Acc:MGI:103076] MEAMAASTSLPDPGDFDRNVP >ENSMUSP00000133351.1 pep:known chromosome:GRCm38:15:97884889:97909562:-1 gene:ENSMUSG00000022479.15 transcript:ENSMUST00000173611.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vdr description:vitamin D receptor [Source:MGI Symbol;Acc:MGI:103076] MEAMAASTSLPDPGDFD >ENSMUSP00000083478.6 pep:known chromosome:GRCm38:7:10037235:10053178:-1 gene:ENSMUSG00000094606.7 transcript:ENSMUST00000086298.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r50 description:vomeronasal 2, receptor 50 [Source:MGI Symbol;Acc:MGI:3643086] MLTLMGVFFFLNIPLFMANFIDPRCFWRINLNEIQDEYLGLTCPFILAAVQKPIEKDYFN KTLNFLKTTKNHKYALALVFSMDEINRNPNLLPNMSLIIRYTLGRCDGKTGIPTPYLLHK KKQSPIPNYFCNEESMCSFLLRGPNWEILQLTYGPFHSTFSDDEQYPYLYQMAPKDTSLA LAMVSFIHYFNWNWIGLLIPDDDQGNQFLLELKKQSGNKEICFAFVKMISVDDILLEQKT EMYYQQIVMSSSNVIIIYEETINFIDLIFRMWEPPVLQRIWITTKQWNFPTSKRDITHGT FYGSLTFLPHHGGISGFKNFVQTWFHLRSKDLYLVMPEWKYFKYESSASNCKMLKSNSSN ASFDWLMEQKFDMAFSESSHNIYNAVYAVAHALHEMNLQQVDNQAVDNGKGASSHCLKVN SFLRKIHFTNTLGDKVIMKQRVIMQEDYDIFHFENLSQHLGIKVKLGKFSPYFSHGRNFH LYVDMLEVATGSRKMPSSVCSAECSPGFRRLWKEGMASCCFFCSPCPENEISNETNMDQC VNCPEYQYANTEQNKCIKKGVTFLSYEDPLGMVLALMAFCFSAFTALVLCVFVKHHDTPI VKANNRSLSYILLLSLMSCFLCSFFFIGHPNRATCVLQQITFGIVFTVAVSTVLAKTVTV VLAFKVTDPGRRLRQFLVSGTPNYIIPICSLFQCVLCAIWLAVSPPFVDIDEHSQHGHII IVCNKGSVTAFYCVLGYLACLALGSFTLAFLAKNLPDTFNEAKFLTFSILVFFSVWVTFL PVYHSTKGKVMVAVEIFSILASSAGMLGCIFAPKIYIILMRPERNSTQNIKESNSTQKIK EKSNF >ENSMUSP00000074476.6 pep:known chromosome:GRCm38:7:10037235:10053178:-1 gene:ENSMUSG00000094606.7 transcript:ENSMUST00000074943.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r50 description:vomeronasal 2, receptor 50 [Source:MGI Symbol;Acc:MGI:3643086] MLTLMGVFFFLNIPLFMANFIDPRCFWRINLNEIQDEYLGLTCPFILAAVQKPIEKDYFN KTLNFLKTTKNHKYALALVFSMDEINRNPNLLPNMSLIIRYTLGRCDGKTGIPTPYLLHK KKQSPIPNYFCNEESMCSFLLRGPNWEVSLSFWNFLDSFLSPRILQLTYGPFHSTFSDDE QYPYLYQMAPKDTSLALAMVSFIHYFNWNWIGLLIPDDDQGNQFLLELKKQSGNKEICFA FVKMISVDDILLEQKTEMYYQQIVMSSSNVIIIYEETINFIDLIFRMWEPPVLQRIWITT KQWNFPTSKRDITHGTFYGSLTFLPHHGGISGFKNFVQTWFHLRSKDLYLVMPEWKYFKY ESSASNCKMLKSNSSNASFDWLMEQKFDMAFSESSHNIYNAVYAVAHALHEMNLQQVDNQ AVDNGKGASSHCLKVNSFLRKIHFTNTLGDKVIMKQRVIMQEDYDIFHFENLSQHLGIKV KLGKFSPYFSHGRNFHLYVDMLEVATGSRKMPSSVCSAECSPGFRRLWKEGMASCCFFCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIKKGVTFLSYEDPLGMVLALMAFCFSAF TALVLCVFVKHHDTPIVKANNRSLSYILLLSLMSCFLCSFFFIGHPNRATCVLQQITFGI VFTVAVSTVLAKTVTVVLAFKVTDPGRRLRQFLVSGTPNYIIPICSLFQCVLCAIWLAVS PPFVDIDEHSQHGHIIIVCNKGSVTAFYCVLGYLACLALGSFTLAFLAKNLPDTFNEAKF LTFSILVFFSVWVTFLPVYHSTKGKVMVAVEIFSILASSAGMLGCIFAPKIYIILMRPER NSTQNIKESNSTQKIKEKSNF >ENSMUSP00000002297.5 pep:known chromosome:GRCm38:3:104794836:104818242:-1 gene:ENSMUSG00000002227.15 transcript:ENSMUST00000002297.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mov10 description:Moloney leukemia virus 10 [Source:MGI Symbol;Acc:MGI:97054] MPSKFSCRKLRETGQRFESFLAERGLDLETDRERLRTIYNHDFKPSYGTPAPGFSSMLYG MKIANLAFVTKTRVRFFKLDRWADVQLPEKRRIKPGSNISKQHRSLLARIFHDRAEYLHG KHGVDVEVQGPHEARDGQLLIHLDLNRKEVLTLRLRNGGSKPVTLTHLFPLCWTPQFVFY HGEQDLPCPLGPGECYELHIYCKTSIVGYFPATVLWELLGPGESGAEGAETFYIARFLAA VAHSPLAAQLKPTTPFKRPPRLTRNSVLTNRIEEGERPDRAKGYELELSLALGTYYPPIL LRQLLPTLLQGPSIFTAPKEVAEIKAQLETTLKSRNYEVKLRLLLHLEELQMEHDIRHYD LDSVPMTWDPVDQNPRLLTLEVPGVAESRPSVLRGDHLFALLSSETQQDDPVTYKGFVHK VELDRVKLSFSTSLLSRFVDGLTFKVNFTFNRQPLRVQHRALELTGRWVLWPMLFPVASR GVSLLPSDVKFKLYDRSLESNPEQLQAMKHIVRGTTRPAPYIIFGPPGTGKTVTLVEAIK QVVKHLPKAHILACAPSNSGADLLCQRLRVHLPSSIYRLLAPSRDIRMVPEDIKTCCNWD AKKGEYVYPAKKHLQQYRVLITTLITASRLVSAQFPIDHFTHIFIDEAGHCMEPESLVAI AGLMDVKETGNPGGQLVLAGDPRQLGPVLRSPLALKHGLGYSLLERLLAYNSLYKKGPNG YDPQFITKLLRNYRSHPTILDIPNQLYYDGELQACADVVDRERFCRWEGLPQQGFPIIFH GVMGKDEREGNSPSFFNPEEAATVTSYLKQLLAPSSKKGKARLSPRNVGVISPYRKQVEK IRYCITKLDRELRGLDDIKDLKVGSVEEFQGQERSVILISTVRSSQSFVQLDLDFNLGFL KNPKRFNVAVTRAKALLIVVGNPLLLGHDPDWKTFLEFCKENGGYTGCPFPAKLDLQQGQ DLLQGLSKLSPSTSGPRRHQNLPQEREGEGGLPLQVEPEWRNEL >ENSMUSP00000102386.2 pep:known chromosome:GRCm38:3:104794836:104818563:-1 gene:ENSMUSG00000002227.15 transcript:ENSMUST00000106774.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mov10 description:Moloney leukemia virus 10 [Source:MGI Symbol;Acc:MGI:97054] MSGALPFALQLFYVPRLWRVGVGVALAEAPRSSGLFRGPQAISTFGSWLAARGGSSG >ENSMUSP00000102387.2 pep:known chromosome:GRCm38:3:104794836:104818563:-1 gene:ENSMUSG00000002227.15 transcript:ENSMUST00000106775.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mov10 description:Moloney leukemia virus 10 [Source:MGI Symbol;Acc:MGI:97054] MSGALPFALQLFYVPRLWRVGVGVALAEAPRSSGLFRGPQAISTFGSWLAARGGSSGFIS ADPPAWAAAAAAAMPSKFSCRKLRETGQRFESFLAERGLDLETDRERLRTIYNHDFKPSY GTPAPGFSSMLYGMKIANLAFVTKTRVRFFKLDRWADVQLPEKRRIKPGSNISKQHRSLL ARIFHDRAEYLHGKHGVDVEVQGPHEARDGQLLIHLDLNRKEVLTLRLRNGGSKPVTLTH LFPLCWTPQFVFYHGEQDLPCPLGPGECYELHIYCKTSIVGYFPATVLWELLGPGESGAE GAETFYIARFLAAVAHSPLAAQLKPTTPFKRPPRLTRNSVLTNRIEEGERPDRAKGYELE LSLALGTYYPPILLRQLLPTLLQGPSIFTAPKEVAEIKAQLETTLKSRNYEVKLRLLLHL EELQMEHDIRHYDLDSVPMTWDPVDQNPRLLTLEVPGVAESRPSVLRGDHLFALLSSETQ QDDPVTYKGFVHKVELDRVKLSFSTSLLSRFVDGLTFKVNFTFNRQPLRVQHRALELTGR WVLWPMLFPVASRGVSLLPSDVKFKLYDRSLESNPEQLQAMKHIVRGTTRPAPYIIFGPP GTGKTVTLVEAIKQVVKHLPKAHILACAPSNSGADLLCQRLRVHLPSSIYRLLAPSRDIR MVPEDIKTCCNWDAKKGEYVYPAKKHLQQYRVLITTLITASRLVSAQFPIDHFTHIFIDE AGHCMEPESLVAIAGLMDVKETGNPGGQLVLAGDPRQLGPVLRSPLALKHGLGYSLLERL LAYNSLYKKGPNGYDPQFITKLLRNYRSHPTILDIPNQLYYDGELQACADVVDRERFCRW EGLPQQGFPIIFHGVMGKDEREGNSPSFFNPEEAATVTSYLKQLLAPSSKKGKARLSPRN VGVISPYRKQVEKIRYCITKLDRELRGLDDIKDLKVGSVEEFQGQERSVILISTVRSSQS FVQLDLDFNLGFLKNPKRFNVAVTRAKALLIVVGNPLLLGHDPDWKTFLEFCKENGGYTG CPFPAKLDLQQGQDLLQGLSKLSPSTSGPRRHQNLPQEREGEGGLPLQVEPEWRNEL >ENSMUSP00000120486.2 pep:known chromosome:GRCm38:3:104804591:104818563:-1 gene:ENSMUSG00000002227.15 transcript:ENSMUST00000136148.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mov10 description:Moloney leukemia virus 10 [Source:MGI Symbol;Acc:MGI:97054] MSGALPFALQLFYVPRLWRVGVGVALAEAPRSSGLFRGPQAISTFGSWLAARGGSSGPAN SKPRRRLSVSFPRTLLPGPQPPPPRCLASSAAESSGRPARGSRVFWPNVDWTWRQIVSGC GRFTTTTSSPAMGPLPLASPPCCME >ENSMUSP00000128246.1 pep:known chromosome:GRCm38:3:104794836:104818305:-1 gene:ENSMUSG00000002227.15 transcript:ENSMUST00000168015.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mov10 description:Moloney leukemia virus 10 [Source:MGI Symbol;Acc:MGI:97054] MPSKFSCRKLRETGQRFESFLAERGLDLETDRERLRTIYNHDFKPSYGTPAPGFSSMLYG MKIANLAFVTKTRVRFFKLDRWADVQLPEKRRIKPGSNISKQHRSLLARIFHDRAEYLHG KHGVDVEVQGPHEARDGQLLIHLDLNRKEVLTLRLRNGGSKPVTLTHLFPLCWTPQFVFY HGEQDLPCPLGPGESYELHIYCKTSIVGYFPATVLWELLGPGESGAEGAETFYIARFLAA VAHSPLAAQLKPTTPFKRPPRLTRNSVLTNRIEEGERPDRAKGYELELSLALGTYYPPIL LRQLLPTLLQGPSIFTAPKEVAEIKAQLETTLKSRNYEVKLRLLLHLEELQMEHDIRHYD LDSVPMTWDPVDQNPRLLTLEVPGVAESRPSVLRGDHLFALLSSETQQDDPVTYKGFVHK VELDRVKLSFSTSLLSRFVDGLTFKVNFTFNRQPLRVQHRALELTGRWVLWPMLFPVASR GVSLLPSDVKFKLYDRSLESNPEQLQAMKHIVRGTTRPAPYIIFGPPGTGKTVTLVEAIK QVVKHLPKAHILACAPSNSGADLLCQRLRVHLPSSIYRLLAPSRDIRMVPEDIKTCCNWD AKKGEYVYPAKKHLQQYRVLITTLITASRLVSAQFPIDHFTHIFIDEAGHCMEPESLVAI AGLMDVKETGNPGGQLVLAGDPRQLGPVLRSPLALKHGLGYSLLERLLAYNSLYKKGPNG YDPQFITKLLRNYRSHPTILDIPNQLYYDGELQACADVVDRERFCRWEGLPQQGFPIIFH GVMGKDEREGNSPSFFNPEEAATVTSYLKQLLAPSSKKGKARLSPRNVGVISPYRKQVEK IRYCITKLDRELRGLDDIKDLKVGSVEEFQGQERSVILISTVRSSQSFVQLDLDFNLGFL KNPKRFNVAVTRAKALLIVVGNPLLLGHDPDWKTFLEFCKENGGYTGCPFPAKLDLQQGQ DLLQGLSKLSPSTSGPRRHQNLPQEREGEGGLPLQVEPEWRNEL >ENSMUSP00000126897.1 pep:known chromosome:GRCm38:3:104794836:104818563:-1 gene:ENSMUSG00000002227.15 transcript:ENSMUST00000166979.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mov10 description:Moloney leukemia virus 10 [Source:MGI Symbol;Acc:MGI:97054] MSGALPFALQLFYVPRLWRVGVGVALAEAPRSSGLFRGPQAISTFGSWLAARGGSSGFIS ADPPAWAAAAAAAMPSKFSCRKLRETGQRFESFLAERGLDLETDRERLRTIYNHDFKPSY GTPAPGFSSMLYGMKIANLAFVTKTRVRFFKLDRWADVQLPEKRRIKPGSNISKQHRSLL ARIFHDRAEYLHGKHGVDVEVQGPHEARDGQLLIHLDLNRKEVLTLRLRNGGSKPVTLTH LFPLCWTPQFVFYHGEQDLPCPLGPGESYELHIYCKTSIVGYFPATVLWELLGPGESGAE GAETFYIARFLAAVAHSPLAAQLKPTTPFKRPPRLTRNSVLTNRIEEGERPDRAKGYELE LSLALGTYYPPILLRQLLPTLLQGPSIFTAPKEVAEIKAQLETTLKSRNYEVKLRLLLHL EELQMEHDIRHYDLDSVPMTWDPVDQNPRLLTLEVPGVAESRPSVLRGDHLFALLSSETQ QDDPVTYKGFVHKVELDRVKLSFSTSLLSRFVDGLTFKVNFTFNRQPLRVQHRALELTGR WVLWPMLFPVASRGVSLLPSDVKFKLYDRSLESNPEQLQAMKHIVRGTTRPAPYIIFGPP GTGKTVTLVEAIKQVVKHLPKAHILACAPSNSGADLLCQRLRVHLPSSIYRLLAPSRDIR MVPEDIKTCCNWDAKKGEYVYPAKKHLQQYRVLITTLITASRLVSAQFPIDHFTHIFIDE AGHCMEPESLVAIAGLMDVKETGNPGGQLVLAGDPRQLGPVLRSPLALKHGLGYSLLERL LAYNSLYKKGPNGYDPQFITKLLRNYRSHPTILDIPNQLYYDGELQACADVVDRERFCRW EGLPQQGFPIIFHGVMGKDEREGNSPSFFNPEEAATVTSYLKQLLAPSSKKGKARLSPRN VGVISPYRKQVEKIRYCITKLDRELRGLDDIKDLKVGSVEEFQGQERSVILISTVRSSQS FVQLDLDFNLGFLKNPKRFNVAVTRAKALLIVVGNPLLLGHDPDWKTFLEFCKENGGYTG CPFPAKLDLQQGQDLLQGLSKLSPSTSGPRRHQNLPQEREGEGGLPLQVEPEWRNEL >ENSMUSP00000061330.1 pep:known chromosome:GRCm38:17:20846551:20847501:1 gene:ENSMUSG00000045417.1 transcript:ENSMUST00000053974.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r230 description:vomeronasal 1 receptor 230 [Source:MGI Symbol;Acc:MGI:2159629] MRSVWWHILKSVFLSHNMDARNLGTGIIYLLQSTVGILGNTFLLSYYLIIYYKKHKRKPT DLIIMHLIIVNILIMLSKGMSITMGALGLKLFFDDWSYQFFMYVLRVFRSMSIVTICLLS VFQTITIIPRNSCWKNIRVKSPKNISLCISLCWVLYIFINVIFPLYMSITFTGKNKTKDT NFKLYTIVGYDKNTVSLYITFFVFPEILFSGLITWSGSSVIVILYRHKQQVQYVRSTHAS PSSTPESRAIQSILVLVSIFMAFYTLSAILHAYNALFHSSNWWLMTFANIISLCIPTSIP FVLMSQSSPLSKLCFL >ENSMUSP00000044983.3 pep:known chromosome:GRCm38:8:57320983:57324517:1 gene:ENSMUSG00000038193.3 transcript:ENSMUST00000040104.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hand2 description:heart and neural crest derivatives expressed transcript 2 [Source:MGI Symbol;Acc:MGI:103580] MSLVGGFPHHPVVHHEGYPFAAAAAAAAAAAASRCSHEENPYFHGWLIGHPEMSPPDYSM ALSYSPEYASGAAGLDHSHYGGVPPGAGPPGLGGPRPVKRRGTANRKERRRTQSINSAFA ELRECIPNVPADTKLSKIKTLRLATSYIAYLMDLLAKDDQNGEAEAFKAEIKKTDVKEEK RKKELNEILKSTVSSNDKKTKGRTGWPQHVWALELKQ >ENSMUSP00000049832.3 pep:known chromosome:GRCm38:3:27866066:27896368:-1 gene:ENSMUSG00000043164.3 transcript:ENSMUST00000058077.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem212 description:transmembrane protein 212 [Source:MGI Symbol;Acc:MGI:2685410] MKGLYQAAGRTLVTLGGLSIFSGAIAFFPVFSCKLWYTGWSVWIACPIWNGALAVTAGSL VLLAHREWTQRHLWEAVFTFVILSILGCPLHFTVALQSALLGPYCFYSFSGVAGTNYLGY VVTFPFPYTKFPSVCVDPLHYEEYHLTLQVLDLCLSLILFCVSLAVFIKLSARLMQTGYI NGPENPQ >ENSMUSP00000051645.6 pep:known chromosome:GRCm38:16:30312423:30388530:-1 gene:ENSMUSG00000022533.13 transcript:ENSMUST00000061350.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a3 description:ATPase type 13A3 [Source:MGI Symbol;Acc:MGI:2685387] MDKEERKTINKGQEDEMEIHGYNLCRWKLAMVFVGVICTGGFLLLLLYWLPEWRVKATCV RAAVKDCEVVLLRTTDEFRVWFCAKIHFLPVENQPNLNAKCLVNEVSNGHAVHLTEENRC EMNKYSQSQSQQMRYFTHHSIRYFWNDAIHNFDFLKGLDEGVSCASLYEKHSAGLTQGMH AYRKLIYGVNEIAVKVPSVFKLLIKEVLNPFYIFQLFSVILWSVDEYYYYALAIVIMSVV SIISSLYSIRKQYVMLHDMVATHSTVRVSVCRENEEIEEIFSTDLVPGDVMIIPLNGTVM PCDAVLINGTCIVNESMLTGESVPVTKTNLPNPSVDVKGMGEEQYSPETHKRHTLFCGTT VIQTRFYTGELVKAIVVRTGFSTSKGQLVRSILYPKPTDFKLYRDAYLFLLCLVVVAGIG FIYTIINSILNEKEVQEIIIKSLDIITITVPPALPAAMTAGIVYAQRRLKKVGIFCISPQ RINICGQLNLVCFDKTGTLTEDGLDLWGIQRVENTRFLLPEDNVCSEMLVKSQFVACMAT CHSLTKIEGVLSGDPLDLKMFEAIGWILEEATEEETALHNRIMPTVVRPSKQLLPEPTTA GNQEMELFELPAIYEIGIVRQFPFSSALQRMSVVARTLGEKRMDAYMKGAPEVVASLCKP ETVPVDFEKVLEDYTKQGFRVIALAHRKLESKLTWHKVQHISRDAIENNMDFMGLIIMQN KLKQETPAVLEDLHKANIRTVMVTGDNMLTAVSVARDCGMILPQDKVIIAEALPPKDGKV AKINWHYTDSLSQCSESSAIDSEAIPIKLAHDSLEDLEVTRYHFAMNGKSFSVILEHFQD LVPKLMLHGTVFARMAPDQKTQLVEALQNVDYFVGMCGDGANDCGALKRAHGGISLSELE ASVASPFTSKTPSISCVPNLIREGRAALMTSFCVFKFMALYSIIQYFSVTLLYSILSNLG DFQFLFIDLAIILVVVFTMSLNPAWKELVAQRPPSGLISGALLFSVLSQIVISVGFQSLG FFWVKQYKVCDPNSDVCNTTRSACWNSSHLYNGTELDSCKIQNYENTTVFFISSFQYLTV AVAFSKGKPFRQPCYKNYFFVISVIILYVFILFIMLHPVASVDQVLEIMCVPYQWRIYML IIVLINAFVSITVEESVDRWGKCCLSWALSCRKKTPKAKYMYLAQELRFDPEWPPKPQTT TEAKAVVKENGSCQIITIA >ENSMUSP00000128224.1 pep:known chromosome:GRCm38:16:30312423:30388530:-1 gene:ENSMUSG00000022533.13 transcript:ENSMUST00000100013.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp13a3 description:ATPase type 13A3 [Source:MGI Symbol;Acc:MGI:2685387] MDKEERKTINKGQEDEMEIHGYNLCRWKLAMVFVGVICTGGFLLLLLYWLPEWRVKATCV RAAVKDCEVVLLRTTDEFRVWFCAKIHFLPVENQPNLNAKCLVNEVSNGHAVHLTEENRC EMNKYSQSQSQQMRYFTHHSIRYFWNDAIHNFDFLKGLDEGVSCASLYEKHSAGLTQGMH AYRKLIYGVNEIAVKVPSVFKLLIKEVLNPFYIFQLFSVILWSVDEYYYYALAIVIMSVV SIISSLYSIRKQYVMLHDMVATHSTVRVSVCRENEEIEEIFSTDLVPGDVMIIPLNGTVM PCDAVLINGTCIVNESMLTGESVPVTKTNLPNPSVDVKGMGEEQYSPETHKRHTLFCGTT VIQTRFYTGELVKAIVVRTGFSTSKGQLVRSILYPKPTDFKLYRDAYLFLLCLVVVAGIG FIYTIINSILNEKEVQEIIIKSLDIITITVPPALPAAMTAGIVYAQRRLKKVGIFCISPQ RINICGQLNLVCFDKTGTLTEDGLDLWGIQRVENTRFLLPEDNVCSEMLVKSQFVACMAT CHSLTKIEGVLSGDPLDLKMFEAIGWILEEATEEETALHNRIMPTVVRPSKQLLPEPTTA GNQEMELFELPAIYEIGIVRQFPFSSALQRMSVVARTLGEKRMDAYMKGAPEVVASLCKP ETVPVDFEKVLEDYTKQGFRVIALAHRKLESKLTWHKVQHISRDAIENNMDFMGLIIMQN KLKQETPAVLEDLHKANIRTVMVTGDNMLTAVSVARDCGMILPQDKVIIAEALPPKDGKV AKINWHYTDSLSQCSESSAIDSEAIPIKLAHDSLEDLEVTRYHFAMNGKSFSVILEHFQD LVPKLMLHGTVFARMAPDQKTQLVEALQNVDYFVGMCGDGANDCGALKRAHGGISLSELE ASVASPFTSKTPSISCVPNLIREGRAALMTSFCVFKFMALYSIIQYFSVTLLYSILSNLG DFQFLFIDLAIILVVVFTMSLNPAWKELVAQRPPSGLISGALLFSVLSQIVISVGFQSLG FFWVKQYKVCDPNSDVCNTTRSACWNSSHLYNGTELDSCKIQNYENTTVFFISSFQYLTV AVAFSKGKPFRQPCYKNYFFVISVIILYVFILFIMLHPVASVDQVLEIMCVPYQWRIYML IIVLINAFVSITVESFFLDTVLWKVVFNRDKQGECRFSTTQPPQESVDRWGKCCLSWALS CRKKTPKAKYMYLAQELRFDPEWPPKPQTTTEAKAVVKENGSCQIITIA >ENSMUSP00000002625.8 pep:known chromosome:GRCm38:2:32255002:32260159:-1 gene:ENSMUSG00000002550.16 transcript:ENSMUST00000002625.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uck1 description:uridine-cytidine kinase 1 [Source:MGI Symbol;Acc:MGI:98904] MSVAPDMASAGGGGSESAAPEADRPQPRPFLIGVSGGTASGKSTVCEKIMELLGQNEVDR RQRKLVILSQDCFYKVLTAEQKAKALKGQYNFDHPDAFDNDLMHKTLKNIVEGKTVEVPT YDFVTHSRLPETTVVYPADVVLFEGILVFYTQEIRDMFHLRLFVDTDSDVRLSRRVLRDV QRGRDLEQILTQYTAFVKPAFEEFCLPTKKYADVIIPRGVDNMVAINLIVQHIQDILNGD LCKRHRGGPNGRNHKRTFPEPGDHPGVLATGKRSHLESSSRPH >ENSMUSP00000125350.1 pep:known chromosome:GRCm38:2:32256269:32259644:-1 gene:ENSMUSG00000002550.16 transcript:ENSMUST00000138133.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uck1 description:uridine-cytidine kinase 1 [Source:MGI Symbol;Acc:MGI:98904] XKVLTAEQKAKALKGQYNFDHPDAFDNDLMHKTLKNIVEGKTVEVPTYDFVTHSRLPETT VVYPADVVLFEGILVFYTQEIRDMFHLRLFVDTDSDVRLSRRVLRDVQRGRDLEQILTQY TAFVKPAFEEFCLPTKKYADVIIPRGVDNMGKKTPAPHPNHEAETLISCLGYGGRPSVCM GPVAVYLGHGFMCTFQTHRAAGRGMSGC >ENSMUSP00000092459.4 pep:known chromosome:GRCm38:7:10087198:10105659:-1 gene:ENSMUSG00000058685.6 transcript:ENSMUST00000094863.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r51 description:vomeronasal 2, receptor 51 [Source:MGI Symbol;Acc:MGI:3757937] MFVFMGVFLLLNITLLMANFIDPRCFWRINLDETTDEYLGLSCAFILAAVQTPIEKDYFN KTLNVLKTTKNHKYALALVFAMDEINRNPDLLPNMSLIIRYTLGHCDRKTGIPTPYLFHK KKQSPIHDYFCNEETMCSFLLSESNWEVSLSSWNYLNSFLSPRLLMLTYGPFQSVFSDDE QYPYLYQMAPKGPSLALAMVSFILYFKWNWIGLVIPDDDQGNQFLLELKKQSENKEICFA FVKMISVDDVSLPHTTEMYYSQIVMSLTNIIIIYGETYNFIDLIFRMLDPPTLQRIWITT IEWNFPTSNTDINHGTFYGSLTFLPHHGEISGFKNFVQTWFHVRNTDLYLLMQEWKYFNY EDSASNCKILKNNSSDASFDWLMEQKFDMTFSESSHNIYNAVHAIAHALHEMNLQQADNQ ATDNGKRASSHCLKVNSFLRRTYFTNPLGDKVFMKQRVIMQDEYDIVHFGNLSQHLGIKM KLGKISPYLPHGRHFHLYEDMIELATGRRKMPSSVCSADCSPGFRRLWKEGMAACCFVCS PCPENEISNETNMDQCVNCPEYQYANTEQNKCIQKGVTFLSYEEPLGMALALMAFCFSAF TALVLCVFVKHHDTPIVKANNRSLSYLLLMSLMFCFLCSFFFIGLPNRVICVLQQITFGI VFTVAVSTVLAKTVIVVLAFKVTDPGRRLRYFLVSGTPNYIIPICSLLQCVLCAIWLAVS PPFVDIDEHTLHGHIIIVCNKGSDTAFYCILGYLACLALGSFSVAFLAKNLPDTFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKHMVAVEIFSILASSAGMLGCIFVPKIYIILMRPER NSTQKIREKSYF >ENSMUSP00000056228.1 pep:known chromosome:GRCm38:17:20889716:20890651:-1 gene:ENSMUSG00000050933.3 transcript:ENSMUST00000061278.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r231 description:vomeronasal 1 receptor 231 [Source:MGI Symbol;Acc:MGI:2159628] MVNDKMDSKNLAIFVILILQCSVGILKNICLLSYYLMLHYNKHTLKRIDLIFMNMFIANF FIIFSRIVLQTMQTFGMELFFNVFVCKFPMYTERVGRSVSIGTICLLSVFQAITISPSDS CLNGLKVKVPKYINLSIFLCWVLFLGLNMISPMYVYIKWNSNNVTYKRTSNYCFSLGHDE FTGPMITTFFVFPEVLFAILIVCSGSLMVNILYRHKQRVQHIHSTYAPTRTSHESRATKN ILVLVSLFIGFYSLSSILHTCVALFCGAGWWMINITVIIHLCFPTLGPFIVTQDTALPRF SLSWIRNTGRT >ENSMUSP00000135827.1 pep:known chromosome:GRCm38:3:5218526:5413165:1 gene:ENSMUSG00000025255.18 transcript:ENSMUST00000175866.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfhx4 description:zinc finger homeodomain 4 [Source:MGI Symbol;Acc:MGI:2137668] METCDSPPISRQENGQSTSKLCGMTQLDNEVPEKVAGIEPDRENSSSHDNLKTDERKSEV LLGFSIENAAATQVTSAKEIPCNECATSFPSLQKYMEHHCPNARLPVLKDDESETSELED SDVENLTGEIVYQPDGSAYIIEDSKESGQNAQTGANSKLFSTAMFLDSLASAGEKSDQSS TAPVSFYPQIINTFHIASSLGKPFTADPAFPNTSALAGVGPVLHSFRVYDLRHKREKDYL TSDGSAKNSCVSKDVPNNVDLSKFDGCVSDGKRKPVLMCFLCKLSFGYIRSFVTHAVHDH RMTLNDEEQRLLSNKCVSAIIQGIGKDKEPLISFLEPKKSTSVYPNFSTTNLIGPDPTFR GLWSAFHVENGDSLQAGFAFLKGSASPSSSAEQPLGITHMPKAEVNLGGLSSLVVNTPIT SVSLSHLSSESSKMSESKDQENNCERPKESTILHPNVGCPVKSEPTEPGDEDEEDAYSNE LDDEEVLGELTDSIGNKDFPLLNQSISPLSSSVLKFIEKGTSSSSGTIAEDTEKKKQAAA AGRSNGNVTNSYSIGGKDFADGSISRDGTTAAPSETTHGDEDSSTTHQHGFTPSTPGTPG PGGDGSPGNGIECPKCDTVLGSSRSLGGHMTMMHSRNSCKTLKCPKCNWHYKYQQTLEAH MKEKHPEPGGSCVYCKTGQPHPRLARGESYTCGYKPFRCEVCNYSTTTKGNLSIHMQSDK HLNNVQNLQNGNGEQVFGHSAPTPNTSLSGCGTPSPSKPKQKPTWRCEVCDYETNVARNL RIHMTSEKHMHNMMLLQQNMKQIQHNLHLGLAPAEAELYQYYLAQNIGLTGMKLENPAET QLLLNPFQFDSATAAALAPGLVNNELPPEIRLASGQLMGDDLSLLTAGELSPYISDPALK LFQCAVCNKFTSDSLEALSVHVNSERSLPEEEWRAVIGDIYQCKLCNYNTQLKANFQLHC KTDKHMQKYQLVAHIKEGGKSNEWRLKCIAIGNPVHLKCNACDYYTNSVDKLRLHTTNHR HEAALKLYKHLQKQEGAVNSESCYYYCAVCDYSSKIKLNLVQHVRSVKHQQTEGLRKLQL HQQGLPSEEDNLSEIFFVKECPANELETASLGARNGEDELIEQQLKAASEEPSEDAGDPL KPPTVAEDDEKEAHKRDNSEGKISTKDPVIVPEKELKVVTGATQPLLLAKEDNTGTKRSK PTEDNKFCPEQFYQCPYCNYNSRDQSRIQMHVLSQHSVQPVICCPLCQDVLSNKMHLQLH LTHLHSVSPDCVEKLLMTVPVPDVMMPNSMLLPAAAPEKSEQDPPTALTAEGSGKYSGDS PVDDKSMSGLEDSKVGVEIKNEEQKPAKEPVEASEWNKTSSKDVNISDALQDQLNEQQKR QPLSVSDRHVYKYRCNHCSLAFKTMQKLQIHSQYHAIRAATMCTLCQRSFRTFQALKKHL EAGHPELSEAELQQLYASLPMNGELWAESETMTQDDHGIDQEMEREYEVDHEGKASPVES DSSSIPDDLGLEPKRTLPFRKGPNFTMEKFLDPSRPYKCTVCKESFTQKNILLVHYNSVS HLHKLKKVLQEASSPVPQEANSSTDNKPYKCSTCSVAYSQSSTLEIHMRSVLHQTKARAA KLEPSRHLPSGHSITAAVNSPGQGMLESMSLASVNSKDTHLDAKELNKKQTPELISAQPT HHPPPRSPAQIQMQLQHELQQQAAFFQPQFLNPAFLPHFPMTPEALLQFQQPQFLFPFYI PGAEFSLGPDLGLPTSTTFGVPGMTGMAGSLLEDLKQQIQTQHHVGQTQLQFLQQAQQYQ AVQPQLQPQNQQPPLPQQQQPQQQPSKLLKQEQGSLASTDCQLMKDMPSYKEAEEVTEKQ EKPKQEFINDTEGLKDSKDIKKQKSLEPCIPPPRIASGARGNAAKALLENFGFELVIQYN ENRQKVQKKGKSGEGENSDKLECGICGKLFSNVLILKSHQEHVHGQFFPYGALEKFARQY REAYDKLYPISPSSPETPPPPPPPPPLPPAPPQPSTLGPVKIPNTVSAPLQAPPPTPPPP PPPPPPPPPPPPPPPPPSAPQQVQLPVSLDLPLFPSIMMQPVQHPALPPQLALQLPQMDT LSADLTQLCQQQLGIDPNFLRHSQFKRPRTRITDDQLKILRAYFDINNSPSEEQIQEMAE KSGLSQKVIKHWFRNTLFKERQRNKDSPYNFSNPPITVLEDIRIDPQPTSLEHYKSDAAF SKRSSRTRFTDYQLRVLQDFFDTNAYPKDDEIEQLSTVLNLPTRVIVVWFQNARQKARKS YENQAEAKDNEKRELTNERYIRTSNMQYQCKKCNVVFPRIFDLITHQKKQCYKDEDDDAQ DESQTEDSMDATDQVLYKHCMVSGQTDTAKSTATLVASSGSGTSTPLIPSPKPEPEKNSP KTEYPGEKTKQSDPSLPQGTKSAPSSVLTSSEPQQASIPQPPTQPPKQPQLIGRPPSASQ TPIPSSPLQISMTSLQNSLPPQLLQYPCDQCTIAFPTLELWKEHQHMHFLAAQNQFLHSP FLERPMDMPYMIFDPNNPLMTGQLLGSSLTQMPPQTSTAHTTAPASVAASLKRKLEDKED NNCSEKEGGNSGEDQHRDKRLRTTITPEQLEILYEKYLLDSNPTRKMLDHIAREVGLKKR VVQVWFQNTRARERKGQFRAVGPAQSHKRCPFCRALFKAKSALESHIRSRHWNEGKQAGY SLPPSPLISTEDGGESPQKYIYFDYPSLPLTKIDLSTENELASTVSTPVSKTAELSPKNL LSPSSFKAECPEDVENLNAPSADAGYDQSKTDFDETSSINTAISDATTGDEGAADMENTG GSGEVKPALSPKETKTLDSLQKPATTPTTEVCDDKFLFSLTSPSIHFNDKDGDHDQSFYI TDDPDDNADRSETSSIADPSSPNPFGSSNPFKSKSNDRPGHKRFRTQMSNLQLKVLKACF SDYRTPTMQECEMLGNEIGLPKRVVQVWFQNARAKEKKFKINIGKPFMINQSGTDGTKPE CTLCGVKYSARLSIRDHIFSKQHISKVRETVGSQLDREKDYLAPTTVRQLMAQQELDRIK KASDVLGLTVQQQGITDNCSLHGISLQAAYPGLPGLPPVILPGMNGPSSLPGFPQNSNTL TSPGTGMLGFPSSATSSPALSLSSGPTKSLLQTPPPPPPPPPPPSSLSGQQTEPQNKESE KKQTKPNKVKKIKEEESEAIKPEKHPKKEEKISSALTVLGKVVGETHMDPTQLQALQNAI AGDPASFIGGQFLPYFIPGFASYFSPQLPGTVQGGYLPPICGMESLFPYGPAVPQTLAGL SPGALLQQYQQYQQSLQDSLQKQQKQQQEQQQKPVPAKTAKGEGDQPQSSNEASETKEEK STAPESTKEEVQLDSKSAEFSDTCIVPFVKYEFVCRKCQMMFTDEDATVNHQKSFCYFGQ PLIDPQETVLRIPVSKYQCLACDLALSGNEALSQHLQSSLHKEKTIKQAMRNAKEHVRLL PHSVCSPPPNTSSTSPSAASSNNTYPHLSCFSMKSWPNILFQASARKAASSPSSPPSLSL PSTVTSSLCSTSGVQTSLPTESCSDESDSELSQKLQDLDNSLEVKAKPASGLDGNFNSVR MDMFSV >ENSMUSP00000139253.1 pep:known chromosome:GRCm38:3:5221505:5242018:1 gene:ENSMUSG00000025255.18 transcript:ENSMUST00000176175.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfhx4 description:zinc finger homeodomain 4 [Source:MGI Symbol;Acc:MGI:2137668] METCDSPPISRQENGQSTSKLCGMTQLDNEVPEKVAGIEPDRENSSSHDNLKTDERKSEV LLGFSIENAAATQVTSAKEIPCNECATSFPSLQKYMEHHCP >ENSMUSP00000135289.1 pep:known chromosome:GRCm38:3:5241672:5415857:1 gene:ENSMUSG00000025255.18 transcript:ENSMUST00000176383.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfhx4 description:zinc finger homeodomain 4 [Source:MGI Symbol;Acc:MGI:2137668] METCDSPPISRQENGQSTSKLCGMTQLDNEVPEKVAGIEPDRENSSSHDNLKTDERKSEV LLGFSIENAAATQVTSAKEIPCNECATSFPSLQKYMEHHCPNARLPVLKDDESETSELED SDVENLTGEIVYQPDGSAYIIEDSKESGQNAQTGANSKLFSTAMFLDSLASAGEKSDQSS TAPVSFYPQIINTFHIASSLGKPFTADPAFPNTSALAGVGPVLHSFRVYDLRHKREKDYL TSDGSAKNSCVSKDVPNNVDLSKFDGCVSDGKRKPVLMCFLCKLSFGYIRSFVTHAVHDH RMTLNDEEQRLLSNKCVSAIIQGIGKDKEPLISFLEPKKSTSVYPNFSTTNLIGPDPTFR GLWSAFHVENGDSLQAGFAFLKGSASPSSSAEQPLGITHMPKAEVNLGGLSSLVVNTPIT SVSLSHLSSESSKMSESKDQENNCERPKESTILHPNVGCPVKSEPTEPGDEDEEDAYSNE LDDEEVLGELTDSIGNKDFPLLNQSISPLSSSVLKFIEKGTSSSSGTIAEDTEKKKQAAA AGRSNGNVTNSYSIGGKDFADGSISRDGTTAAPSETTHGDEDSSTTHQHGFTPSTPGTPG PGGDGSPGNGIECPKCDTVLGSSRSLGGHMTMMHSRNSCKTLKCPKCNWHYKYQQTLEAH MKEKHPEPGGSCVYCKTGQPHPRLARGESYTCGYKPFRCEVCNYSTTTKGNLSIHMQSDK HLNNVQNLQNGNGEQVFGHSAPTPNTSLSGCGTPSPSKPKQKPTWRCEVCDYETNVARNL RIHMTSEKHMHNMMLLQQNMKQIQHNLHLGLAPAEAELYQYYLAQNIGLTGMKLENPAET QLLLNPFQFDSATAAALAPGLGELSPYISDPALKLFQCAVCNKFTSDSLEALSVHVNSER SLPEEEWRAVIGDIYQCKLCNYNTQLKANFQLHCKTDKHMQKYQLVAHIKEGGKSNEWRL KCIAIGNPVHLKCNACDYYTNSVDKLRLHTTNHRHEAALKLYKHLQKQEGAVNSESCYYY CAVCDYSSKIKLNLVQHVRSVKHQQTEGLRKLQLHQQGLPSEEDNLSEIFFVKECPANEL ETASLGARNGEDELIEQQLKAASEEPSEDAGDPLKPPTVAEDDEKEAHKRDNSEGKISTK DPEVIVPEKELKVVTGATQPLLLAKEDNTGTKRSKPTEDNKFCPEQFYQCPYCNYNSRDQ SRIQMHVLSQHSVQPVICCPLCQDVLSNKMHLQLHLTHLHSVSPDCVEKLLMTVPVPDVM MPNSMLLPAAAPEKSEQDPPTALTAEGSGKYSGDSPVDDKSMSGLEDSKVGVEIKNEEQK PAKEPVEASEWNKTSSKDVNISDALQDQLNEQQKRQPLSVSDRHVYKYRCNHCSLAFKTM QKLQIHSQYHAIRAATMCTLCQRSFRTFQALKKHLEAGHPELSEAELQQLYASLPMNGEL WAESETMTQDDHGIDQEMEREYEVDHEGKASPVESDSSSIPDDLGLEPKRTLPFRKGPNF TMEKFLDPSRPYKCTVCKESFTQKNILLVHYNSVSHLHKLKKVLQEASSPVPQEANSSTD NKPYKCSTCSVAYSQSSTLEIHMRSVLHQTKARAAKLEPSRHLPSGHSITAAVNSPGQGM LESMSLASVNSKDTHLDAKELNKKQTPELISAQPTHHPPPRSPAQIQMQLQHELQQQAAF FQPQFLNPAFLPHFPMTPEALLQFQQPQFLFPFYIPGAEFSLGPDLGLPTSTTFGVPGMT GMAGSLLEDLKQQIQTQHHVGQTQLQFLQQAQQYQAVQPQLQPQNQQPPLPQQQQPQQQP SKLLKQEQGSLASTDCQLMKDMPSYKEAEEVTEKQEKPKQEFINDTEGLKDSKDIKKQKS LEPCIPPPRIASGARGNAAKALLENFGFELVIQYNENRQKVQKKGKSGEGENSDKLECGI CGKLFSNVLILKSHQEHVHGQFFPYGALEKFARQYREAYDKLYPISPSSPETPPPPPPPP PLPPAPPQPSTLGPVKIPNTVSAPLQAPPPTPPPPPPPPPPPPPPPPPPPPPSAPQQVQL PVSLDLPLFPSIMMQPVQHPALPPQLALQLPQMDTLSADLTQLCQQQLGIDPNFLRHSQF KRPRTRITDDQLKILRAYFDINNSPSEEQIQEMAEKSGLSQKVIKHWFRNTLFKERQRNK DSPYNFSNPPITVLEDIRIDPQPTSLEHYKSDAAFSKRSSRTRFTDYQLRVLQDFFDTNA YPKDDEIEQLSTVLNLPTRVIVVWFQNARQKARKSYENQAEAKDNEKRELTNERYIRTSN MQYQCKKCNVVFPRIFDLITHQKKQCYKDEDDDAQDESQTEDSMDATDQVLYKHCMVSGQ TDTAKSTATLVASSGSGTSTPLIPSPKPEPEKNSPKTEYPGEKTKQSDPSLPQGTKSAPS SVLTSSEPQQASIPQPPTQPPKQPQLIGRPPSASQTPIPSSPLQISMTSLQNSLPPQLLQ YPCDQCTIAFPTLELWKEHQHMHFLAAQNQFLHSPFLERPMDMPYMIFDPNNPLMTGQLL GSSLTQMPPQTSTAHTTAPASVAASLKRKLEDKEDNNCSEKEGGNSGEDQHRDKRLRTTI TPEQLEILYEKYLLDSNPTRKMLDHIAREVGLKKRVVQVWFQNTRARERKGQFRAVGPAQ SHKRCPFCRALFKAKSALESHIRSRHWNEGKQAGYSLPPSPLISTEDGGESPQKYIYFDY PSLPLTKIDLSTENELASTVSTPVSKTAELSPKNLLSPSSFKAECPEDVENLNAPSADAG YDQSKTDFDETSSINTAISDATTGDEGAADMENTGGSGEVKPALSPKETKTLDSLQKPAT TPTTEVCDDKFLFSLTSPSIHFNDKDGDHDQSFYITDDPDDNADRSETSSIADPSSPNPF GSSNPFKSKSNDRPGHKRFRTQMSNLQLKVLKACFSDYRTPTMQECEMLGNEIGLPKRVV QVWFQNARAKEKKFKINIGKPFMINQSGTDGTKPECTLCGVKYSARLSIRDHIFSKQHIS KVRETVGSQLDREKDYLAPTTVRQLMAQQELDRIKKASDVLGLTVQQQGITDNCSLHGIS LQAAYPGLPGLPPVILPGMNGPSSLPGFPQNSNTLTSPGTGMLGFPSSATSSPALSLSSG PTKSLLQTPPPPPPPPPPPSSLSGQQTEPQNKESEKKQTKPNKVKKIKEEESEAIKPEKH PKKEEKISSALTVLGKVVGETHMDPTQLQALQNAIAGDPASFIGGQFLPYFIPGFASYFS PQLPGTVQGGYLPPICGMESLFPYGPAVPQTLAGLSPGALLQQYQQYQQSLQDSLQKQQK QQQEQQQKPVPAKTAKGEGDQPQSSNEASETKEEKSTAPESTKEEVQLDSKSAEFSDTCI VPFVKYEFVCRKCQMMFTDEDATVNHQKSFCYFGQPLIDPQETVLRIPVSKYQCLACDLA LSGNEALSQHLQSSLHKEKTIKQAMRNAKEHVRLLPHSVCSPPPNTSSTSPSAASSNNTY PHLSCFSMKSWPNILFQASARKAASSPSSPPSLSLPSTVTSSLCSTSGVQTSLPTESCSD ESDSELSQKLQDLDNSLEVKAKPASGLDGNFNSVRMDMFSV >ENSMUSP00000026284.6 pep:known chromosome:GRCm38:3:5218554:5415855:1 gene:ENSMUSG00000025255.18 transcript:ENSMUST00000026284.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfhx4 description:zinc finger homeodomain 4 [Source:MGI Symbol;Acc:MGI:2137668] METCDSPPISRQENGQSTSKLCGMTQLDNEVPEKVAGIEPDRENSSSHDNLKTDERKSEV LLGFSIENAAATQVTSAKEIPCNECATSFPSLQKYMEHHCPNARLPVLKDDESETSELED SDVENLTGEIVYQPDGSAYIIEDSKESGQNAQTGANSKLFSTAMFLDSLASAGEKSDQSS TAPVSFYPQIINTFHIASSLGKPFTADPAFPNTSALAGVGPVLHSFRVYDLRHKREKDYL TSDGSAKNSCVSKDVPNNVDLSKFDGCVSDGKRKPVLMCFLCKLSFGYIRSFVTHAVHDH RMTLNDEEQRLLSNKCVSAIIQGIGKDKEPLISFLEPKKSTSVYPNFSTTNLIGPDPTFR GLWSAFHVENGDSLQAGFAFLKGSASPSSSAEQPLGITHMPKAEVNLGGLSSLVVNTPIT SVSLSHLSSESSKMSESKDQENNCERPKESTILHPNVGCPVKSEPTEPGDEDEEDAYSNE LDDEEVLGELTDSIGNKDFPLLNQSISPLSSSVLKFIEKGTSSSSGTIAEDTEKKKQAAA AGRSNGNVTNSYSIGGKDFADGSISRDGTTAAPSETTHGDEDSSTTHQHGFTPSTPGTPG PGGDGSPGNGIECPKCDTVLGSSRSLGGHMTMMHSRNSCKTLKCPKCNWHYKYQQTLEAH MKEKHPEPGGSCVYCKTGQPHPRLARGESYTCGYKPFRCEVCNYSTTTKGNLSIHMQSDK HLNNVQNLQNGNGEQVFGHSAPTPNTSLSGCGTPSPSKPKQKPTWRCEVCDYETNVARNL RIHMTSEKHMHNMMLLQQNMKQIQHNLHLGLAPAEAELYQYYLAQNIGLTGMKLENPAET QLLLNPFQFDSATAAALAPGLGELSPYISDPALKLFQCAVCNKFTSDSLEALSVHVNSER SLPEEEWRAVIGDIYQCKLCNYNTQLKANFQLHCKTDKHMQKYQLVAHIKEGGKSNEWRL KCIAIGNPVHLKCNACDYYTNSVDKLRLHTTNHRHEAALKLYKHLQKQEGAVNSESCYYY CAVCDYSSKIKLNLVQHVRSVKHQQTEGLRKLQLHQQGLPSEEDNLSEIFFVKECPANEL ETASLGARNGEDELIEQQLKAASEEPSEDAGDPLKPPTVAEDDEKEAHKRDNSEGKISTK DPEVIVPEKELKVVTGATQPLLLAKEDNTGTKRSKPTEDNKFCPEQFYQCPYCNYNSRDQ SRIQMHVLSQHSVQPVICCPLCQDVLSNKMHLQLHLTHLHSVSPDCVEKLLMTVPVPDVM MPNSMLLPAAAPEKSEQDPPTALTAEGSGKYSGDSPVDDKSMSGLEDSKVGVEIKNEEQK PAKEPVEASEWNKTSSKDVNISDALQDQLNEQQKRQPLSVSDRHVYKYRCNHCSLAFKTM QKLQIHSQYHAIRAATMCTLCQRSFRTFQALKKHLEAGHPELSEAELQQLYASLPMNGEL WAESETMTQDDHGIDQEMEREYEVDHEGKASPVESDSSSIPDDLGLEPKRTLPFRKGPNF TMEKFLDPSRPYKCTVCKESFTQKNILLVHYNSVSHLHKLKKVLQEASSPVPQEANSSTD NKPYKCSTCSVAYSQSSTLEIHMRSVLHQTKARAAKLEPSRHLPSGHSITAAVNSPGQGM LESMSLASVNSKDTHLDAKELNKKQTPELISAQPTHHPPPRSPAQIQMQLQHELQQQAAF FQPQFLNPAFLPHFPMTPEALLQFQQPQFLFPFYIPGAEFSLGPDLGLPTSTTFGVPGMT GMAGSLLEDLKQQIQTQHHVGQTQLQFLQQAQQYQAVQPQLQPQNQQPPLPQQQQPQQQP SKLLKQEQGSLASTDCQLMKDMPSYKEAEEVTEKQEKPKQEFINDTEGLKDSKDIKKQKS LEPCIPPPRIASGARGNAAKALLENFGFELVIQYNENRQKVQKKGKSGEGENSDKLECGI CGKLFSNVLILKSHQEHVHGQFFPYGALEKFARQYREAYDKLYPISPSSPETPPPPPPPP PLPPAPPQPSTLGPVKIPNTVSAPLQAPPPTPPPPPPPPPPPPPPPPPPPPPSAPQQVQL PVSLDLPLFPSIMMQPVQHPALPPQLALQLPQMDTLSADLTQLCQQQLGIDPNFLRHSQF KRPRTRITDDQLKILRAYFDINNSPSEEQIQEMAEKSGLSQKVIKHWFRNTLFKERQRNK DSPYNFSNPPITVLEDIRIDPQPTSLEHYKSDAAFSKRSSRTRFTDYQLRVLQDFFDTNA YPKDDEIEQLSTVLNLPTRVIVVWFQNARQKARKSYENQAEAKDNEKRELTNERYIRTSN MQYQCKKCNVVFPRIFDLITHQKKQCYKDEDDDAQDESQTEDSMDATDQVLYKHCMVSGQ TDTAKSTATLVASSGSGTSTPLIPSPKPEPEKNSPKTEYPGEKTKQSDPSLPQGTKSAPS SVLTSSEPQQASIPQPPTQPPKQPQLIGRPPSASQTPIPSSPLQISMTSLQNSLPPQLLQ YPCDQCTIAFPTLELWKEHQHMHFLAAQNQFLHSPFLERPMDMPYMIFDPNNPLMTGQLL GSSLTQMPPQTSTAHTTAPASVAASLKRKLEDKEDNNCSEKEGGNSGEDQHRDKRLRTTI TPEQLEILYEKYLLDSNPTRKMLDHIAREVGLKKRVVQVWFQNTRARERKGQFRAVGPAQ SHKRCPFCRALFKAKSALESHIRSRHWNEGKQAGYSLPPSPLISTEDGGESPQKYIYFDY PSLPLTKIDLSTENELASTVSTPVSKTAELSPKNLLSPSSFKAECPEDVENLNAPSADAG YDQSKTDFDETSSINTAISDATTGDEGAADMENTGGSGEVKPALSPKETKTLDSLQKPAT TPTTEVCDDKFLFSLTSPSIHFNDKDGDHDQSFYITDDPDDNADRSETSSIADPSSPNPF GSSNPFKSKSNDRPGHKRFRTQMSNLQLKVLKACFSDYRTPTMQECEMLGNEIGLPKRVV QVWFQNARAKEKKFKINIGKPFMINQSGTDGTKPECTLCGVKYSARLSIRDHIFSKQHIS KVRETVGSQLDREKDYLAPTTVRQLMAQQELDRIKKASDVLGLTVQQQGITDNCSLHGIS LQAAYPGLPGLPPVILPGMNGPSSLPGFPQNSNTLTSPGTGMLGFPSSATSSPALSLSSG PTKSLLQTPPPPPPPPPPPSSLSGQQTEPQNKESEKKQTKPNKVKKIKEEESEAIKPEKH PKKEEKISSALTVLGKVVGETHMDPTQLQALQNAIAGDPASFIGGQFLPYFIPGFASYFS PQLPGTVQGGYLPPICGMESLFPYGPAVPQTLAGLSPGALLQQYQQYQQSLQDSLQKQQK QQQEQQQKPVPAKTAKGEGDQPQSSNEASETKEEKSTAPESTKEEVQLDSKSAEFSDTCI VPFVKYEFVCRKCQMMFTDEDATVNHQKSFCYFGQPLIDPQETVLRIPVSKYQCLACDLA LSGNEALSQHLQSSLHKEKTIKQAMRNAKEHVRLLPHSVCSPPPNTSSTSPSAASSNNTY PHLSCFSMKSWPNILFQASARKAASSPSSPPSLSLPSTVTSSLCSTSGVQTSLPTESCSD ESDSELSQKLQDLDNSLEVKAKPASGLDGNFNSVRMDMFSV >ENSMUSP00000141130.1 pep:known chromosome:GRCm38:13:57421195:57908314:-1 gene:ENSMUSG00000056222.14 transcript:ENSMUST00000187852.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock1 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 1 [Source:MGI Symbol;Acc:MGI:105371] MPAIAVLAAAAAAWCFLQVDSRHLDALAGGAALNNANFLDNDQWLSTVSQYDRDKYWNRF RDDDYFRNWNPNKPFDQALDPSKDPCLKVKCSPHKVCVTQDYQTALCVSRKHLLPRQKKG NVAHKHWLGPSNLVKCKPCPVAQSAMVCGSDGHTYTSKCKLEFHACSTGKSLNSLCDGPC PCLPEPEPLKPKAEKSACTDKELRNLASRLKDWFGALHEDANRVIKPTSSDPAQGRFDTS ILPICKDSLGWMFNKLDMNYDLLLDHSEINAIYLDKYEPCIKPLFNSCDSFKDGKLSNNE WCYCFQKPAGLPCQNEMNRIQKLSKGKSLLGTTLENGTVPTRLSVHQFLLSLWRSSWVLP IHRQ >ENSMUSP00000139863.1 pep:known chromosome:GRCm38:13:57421207:57908275:-1 gene:ENSMUSG00000056222.14 transcript:ENSMUST00000189373.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock1 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 1 [Source:MGI Symbol;Acc:MGI:105371] MPAIAVLAAAAAAWCFLQVDSRHLDALAGGAALNNANFLDNDQWLSTVSQYDRDKYWNRF RDEVEDDYFRNWNPNKPFDQALDPSKDPCLKVKCSPHKVCVTQDYQTALCVSRKHLLPRQ KKGNVAHKHWLGPSNLVKCKPCPVAQSAMVCGSDGHTYTSKCKLEFHACSTGKSLNSLCD GPCPCLPEPEPLKPKAEKSACTDKELRNLASRLKDWFGALHEDANRVIKPTSSDPAQGRF DTSILPICKDSLGWMFNKLDMNYDLLLDHSEINAIYLDKYEPCIKPLFNSCDSFKDGKLS NNEWCYCFQKPAGLPCQNEMNRIQKLSKGKSLLGTTLENGTVPTRLSVHQFLLSLWRSSW VLPIHRQ >ENSMUSP00000140409.1 pep:known chromosome:GRCm38:13:57426094:57908275:-1 gene:ENSMUSG00000056222.14 transcript:ENSMUST00000185502.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock1 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 1 [Source:MGI Symbol;Acc:MGI:105371] MPAIAVLAAAAAAWCFLQVDSRHLDALAGGAALNNANFLDNDQWLSTVSQYDRDKYWNRF RDEVEDDYFRNWNPNKPFDQALDPSKDPCLKVKCSPHKVCVTQDYQTALCVSRKHLLPRQ KKGNVAHKHWLGPSNLVKCKPCPVAQSAMVCGSDGHTYTSKCKLEFHACSTGKSLNSLCD GPCPCLPEPEPLKPKAEKSACTDKELRNLASRLKDWFGALHEDANRVIKPTSSDPAQGRF DTSILPICKDSLGWMFNKLDMNYDLLLDHSEINAIYLDKYEPCIKPLFNSCDSFKDGKLS NNEWCYCFQKPAGLPCQNEMNRIQKLSKGKSLLGAFIPRCNEEGYYKATQCHGSTGQCWC VDKYGNELAGSRKQGTVSCEEEQETSGDFGSGGSVVLLDDLEDERELGPKDKEGKLRVRT RAVREDDEDEDDDKEDEVGYIW >ENSMUSP00000140755.1 pep:known chromosome:GRCm38:13:57428710:57908291:-1 gene:ENSMUSG00000056222.14 transcript:ENSMUST00000186271.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock1 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 1 [Source:MGI Symbol;Acc:MGI:105371] MPAIAVLAAAAAAWCFLQVDSRHLDALAGGAALNNANFLDNDQWLSTVSQYDRDKYWNRF RDDDYFRNWNPNKPFDQALDPSKDPCLKVKCSPHKVCVTQDYQTALCVSRKHLLPRQKKG NVAHKHWLGPSNLVKCKPCPVAQSAMVCGSDGHTYTSKCKLEFHACSTGKSLNSLCDGPC PCLPEPEPLKPKAEKSACTDKELRNLASRLKDWFGALHEDANRVIKPTSSDPAQGRFDTS ILPICKDSLGWMFNKLDMNYDLLLDHSEINAIYLDKYEPCIKPLFNSCDSFKDGKLSNNE WCYCFQKPAGLPCQNEMNRIQKLSKGKSLLGAFIPRCNEEGYYKATQCHGSTGQCWCVDK YGNELAGSRKQGTVSCEEEQETSGDFGSGGSVVLLDDLEDERELGPKDKEGKLRVRTRAV REDDEDEDDDKEDEVGYIW >ENSMUSP00000128840.1 pep:known chromosome:GRCm38:13:57429295:57907587:-1 gene:ENSMUSG00000056222.14 transcript:ENSMUST00000172326.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spock1 description:sparc/osteonectin, cwcv and kazal-like domains proteoglycan 1 [Source:MGI Symbol;Acc:MGI:105371] MPAIAVLAAAAAAWCFLQVDSRHLDALAGGAALNNANFLDNDQWLSTVSQYDRDKYWNRF RDDDYFRNWNPNKPFDQALDPSKDPCLKVKCSPHKVCVTQDYQTALCVSRKHLLPRQKKG NVAHKHWLGPSNLVKCKPCPVAQSAMVCGSDGHTYTSKCKLEFHACSTGKSLNSLCDGPC PCLPEPEPLKPKAEKSACTDKELRNLASRLKDWFGALHEDANRVIKPTSSDPAQGRFDTS ILPICKDSLGWMFNKLDMNYDLLLDHSEINAIYLDKYEPCIKPLFNSCDSFKDGKLSNNE WCYCFQKPAGLPCQNEMNRIQKLSKGKSLLGAFIPRCNEEGYYKATQCHGSTGQCWCVDK YGNELAGSRKQGTVSCEEEQETSGDFGSGGSVVLLDDLEDERELGPKDKEGKLRVRTRAV REDDEDEDDDKEDEVGYIW >ENSMUSP00000076261.2 pep:known chromosome:GRCm38:17:20913205:20914363:-1 gene:ENSMUSG00000062165.2 transcript:ENSMUST00000077001.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r232 description:vomeronasal 1 receptor 232 [Source:MGI Symbol;Acc:MGI:2159625] MLCDLEIGSTVILAHTCPNLENLLQYIVHKCDYYHNLKEKTLNDLMESRNMAIGVGLSLQ SILGILGNFSLLFYYLILYYKEHTLKIRDMILVHVLTSNSLIIISKGFPQIMGAFGWNQL FNDVGCKLILYVQRLSRNMSIITTCLLSVFQAITISPRNSHWKKFKVKSTKFMGLPISLF WILFMLVNMLFPVYTSTKTNSKNKTQKRDSEFCHSLGRDKIVDILYTALCVFPEVVFSLL IVCSSTFMIAILHVHKKRVQHILCTHTYPRRSPENRATQTILILVCTFLAFYTLSSVLQG YIALSHDPSWWVMNTTAIISMGFPTLGPFVMSRDFTVSRFCFTCIRNIEKT >ENSMUSP00000039760.7 pep:known chromosome:GRCm38:16:17069696:17086736:1 gene:ENSMUSG00000022773.16 transcript:ENSMUST00000035682.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel1 description:yippee-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913303] MVKMTKSKTFQAYLPHCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCG PAEERVLLTGLHAVADIYCENCKTTLGWKYEHAFESSQKYKEGKFIIELAHMIKDNGW >ENSMUSP00000117812.1 pep:known chromosome:GRCm38:16:17070226:17087045:1 gene:ENSMUSG00000022773.16 transcript:ENSMUST00000134036.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ypel1 description:yippee-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913303] MVKMTKSKTFQAYLPHCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCG PAEERVLLTGLHAVADIYCENCKTTLGWKYEHAFESSQKYKEGKFIIELAHMIKDNGW >ENSMUSP00000087665.3 pep:known chromosome:GRCm38:16:17070304:17082694:1 gene:ENSMUSG00000022773.16 transcript:ENSMUST00000090199.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel1 description:yippee-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913303] MVKMTKSKTFQAYLPHCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCG PAEERVLLTGLHAVADIYCENCKTTLGWKYGRAHLVHHDTLQAQRSMQSWALPELCAQTL ACLSPASLHT >ENSMUSP00000111394.1 pep:known chromosome:GRCm38:16:17070199:17082094:1 gene:ENSMUSG00000022773.16 transcript:ENSMUST00000115729.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel1 description:yippee-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913303] MVKMTKSKTFQAYLPHCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCG PA >ENSMUSP00000120156.1 pep:known chromosome:GRCm38:16:17070229:17082176:1 gene:ENSMUSG00000022773.16 transcript:ENSMUST00000141959.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ypel1 description:yippee-like 1 (Drosophila) [Source:MGI Symbol;Acc:MGI:1913303] MVKMTKSKTFQAYLPHCHRTYSCIHCRAHLANHDELISKSFQGSQGRAYLFNSVVNVGCG PAEERVLLTGLHAVADIYCENCKTTLGWKY >ENSMUSP00000131856.1 pep:known chromosome:GRCm38:7:42011792:42058981:-1 gene:ENSMUSG00000092032.1 transcript:ENSMUST00000168489.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r59 description:vomeronasal 2, receptor 59 [Source:MGI Symbol;Acc:MGI:3643747] MFWILTFVFLYIPICLCAFTDNECYVINSEFFYHEGDVTIGAFFPLHIYYTGNKVPDKYM PYSFQDYHVQYKFKNYQFVLALLFAIEEINRNPDLLPNTTIGYGIYNIPYTEKNILYRAF IWHTGKINSFPNFDCGHKRKSPAILTGPSWSTSAHIGTFLQLYKIPQVTFGPFDSILNDR GQFNSLYQMAPKDTSLSLAIVLLLLHFHWSWVGLILPDDHRGTQILLDLRENMENHGICI AFLKMISGTWNAYSNEQWKNMEKIEESSANVIVIYGDITSVQGLMRHIAQLLVTWKVWVL NSSWDVDTHSDYFMVESFHGSLVFSHHREEMVEFMNFVQTVNPYKYPEDTYLPKFWHLFF KCSFSEFDCQLLENCQPNASLDLLPRHQFEPVMGEEGYHIYNAVYAVAYSLHEMNLQQIQ TQPYPNGEEKELSPWQLHPFLQNTIMRSHARRQIAMHGGGNLDSQYDILNFWNFPSGFGL KVKVGTYSLNAPQGLQLSLCEQMIQWPTGFTKIPRSVCSESCRPGFRKAAKEGKAVCCFD CTPCADNEISNETDMDQCVKCPESHYANSERNHCLQKSVSFLAYEEPLGMALTITAICFS LLTALVLAVFVKNRDTPIVKANNRALSYILLLTLFICLLSSLLFIGQPNTITCILQQTAF GILFTVALSTVLAKAITVVIAFKATVPARMMRWLMISRAPNFIILICTLIQVIICGIWVV TSPPFIDQDTQAEHGHIIIVCNMGSTVAFHTVLGYLCSLALGSYTMAFLSRNLPDTFNEA KFLSFSIQVFFCVWITFLPVYHSTKGKVMVAMEVFSILASSIALLGLIFGPKCYIILLRP EKISCLGIRHKKHSRRNINLNLVKN >ENSMUSP00000111443.3 pep:known chromosome:GRCm38:16:15552677:15594524:-1 gene:ENSMUSG00000022674.14 transcript:ENSMUST00000115777.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2v2 description:ubiquitin-conjugating enzyme E2 variant 2 [Source:MGI Symbol;Acc:MGI:1917870] MAVSTGVKVPRNFRLLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGPPRTNYEN RIYSLKVECGSKYPEAPPSVRFVTKINMNGINNSSGMVDARSIPVLAKWQNSYSIKVILQ ELRRLMMSKENMKLPQPPEGQTYNN >ENSMUSP00000111442.1 pep:known chromosome:GRCm38:16:15555505:15594507:-1 gene:ENSMUSG00000022674.14 transcript:ENSMUST00000115776.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2v2 description:ubiquitin-conjugating enzyme E2 variant 2 [Source:MGI Symbol;Acc:MGI:1917870] MAVSTGVKVPRNFRLLEELEEGQKGVGDGTVSWGLEDDEDMTLTRWTGMIIGPPRVDARS IPVLAKWQNSYSIKVILQELRRLMMSKENMKLPQPPEGQTYNN >ENSMUSP00000112802.1 pep:known chromosome:GRCm38:16:15555881:15594472:-1 gene:ENSMUSG00000022674.14 transcript:ENSMUST00000118236.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2v2 description:ubiquitin-conjugating enzyme E2 variant 2 [Source:MGI Symbol;Acc:MGI:1917870] MTLTRWTGMIIGPPRVDARSIPVLAKWQNSYSIKVILQELRRLMMSKENMKLPQPPEGQT YNN >ENSMUSP00000093951.3 pep:known chromosome:GRCm38:16:15556309:15594490:-1 gene:ENSMUSG00000022674.14 transcript:ENSMUST00000096234.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2v2 description:ubiquitin-conjugating enzyme E2 variant 2 [Source:MGI Symbol;Acc:MGI:1917870] MTLTRWTGMIIGPPRTNYENRIYSLKVECGSKYPEAPPSVRFVTKINMNGINNSSGMVDA RSIPVLAKWQNSYSIKVILQELRRLMMSKENMKLPQPPEGQTYNN >ENSMUSP00000086890.4 pep:known chromosome:GRCm38:15:77584158:77596125:-1 gene:ENSMUSG00000050014.7 transcript:ENSMUST00000089465.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol10b description:apolipoprotein L 10B [Source:MGI Symbol;Acc:MGI:3043522] MARAWCSTDLKQGVARSVDGVADIVTEKFLKTDLRSLITEDGAWKAFEEAAELSSEEGAI LRDALKESLAQEPSDENDGPERKQQNERFLREFPELKKKLEDHIRKLRDLADHLDQVHKD CTISNVVSTFASAISGAMGLLALGLVPFTEGVSLLLSAASVGLGETASVTGLTTTVVEES MRLSDESEASRLVRASMNVFEEIVKIMSKITFKLLFTAVGLVPAVKILRDHIRAMRRARI IIFPREEARNLTSSERSSVQGVLPMTRGARLRAVGFTSLFLAWDVYDFVKQSKDLYNGAK TESAGALRELAHKLEEKLQVFEQIYKALQSDLPH >ENSMUSP00000084055.3 pep:known chromosome:GRCm38:11:52252371:52282571:-1 gene:ENSMUSG00000000782.15 transcript:ENSMUST00000086844.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7 description:transcription factor 7, T cell specific [Source:MGI Symbol;Acc:MGI:98507] MYKETVYSAFNLLMPYPPASGAGQHPQPQPPLHNKPGQPPHGVPQLSPLYEHFSSPHPTP APADISQKQGVHRPLQTPDLSGFYSLTSGSMGQLPHTVSWPSPPLYPLSPSCGYRQHFPA PTAAPGAPYPRFTHPSLMLGSGVPGHPAAIPHPAIVPSSGKQELQPYDRNLKTQAEPKAE KEAKKPVIKKPLNAFMLYMKEMRAKVIAECTLKESAAINQILGRRWHALSREEQAKYYEL ARKERQLHMQLYPGWSARDNYGKKKRRSREKHQESTTGGKRNAFGTYPEKAAAPAPFLPM TVL >ENSMUSP00000104699.1 pep:known chromosome:GRCm38:11:52253236:52283014:-1 gene:ENSMUSG00000000782.15 transcript:ENSMUST00000109071.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf7 description:transcription factor 7, T cell specific [Source:MGI Symbol;Acc:MGI:98507] MYKETVYSAFNLLMPYPPASGAGQHPQPQPPLHNKPGQPPHGVPQLSPLYEHFSSPHPTP APADISQKQGVHRPLQTPDLSGFYSLTSGSMGQLPHTVSWPSPPLYPLSPSCGYRQHFPA PTAAPGAPYPRFTHPSLMLGSGVPGHPAAIPHPAIVPSSGKQELQPYDRNLKTQAEPKAE KEAKKPVIKKPLNAFMLYMKEMRAKVIAECTLKESAAINQILGRRWHALSREEQAKYYEL ARKERQLHMQLYPGWSARDNYGKKKRRSREKHQESTTGGKRNAFGTYPEKAAAPAPFLPM TVL >ENSMUSP00000113132.1 pep:known chromosome:GRCm38:17:45630204:45659325:-1 gene:ENSMUSG00000058626.16 transcript:ENSMUST00000120717.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Capn11 description:calpain 11 [Source:MGI Symbol;Acc:MGI:1352490] MLNYSDISWAEKGMVATINHSRLKDKGVGQHQNAYNYKNQNYEDLRAECLRKGELFEDPF FPAEPRSIGVKNLGPNSEHMQNIYWQRPKDIIHNPQFITNDFSPTDICQGILGDCWLLAA IGSLTTCPKLLYRVVPRNQSFKKNYAGIFHFQLWQFGHWLNVVVDDRLPTRNNKLVFVHA SHRQDFWSALLEKAYAKLIGSYGALSGGSTLEGLEDFTGGVAQCIPLQKPPGNMLRLLKK ALEKSSLMGCSIEVTDNSEVETMTHNMLVRGHAYAVTGLEDVYYRDKLETLIRIQNPWGR VEWNGAWSDKATEWEEVSPDVRVQLLHKKDDGDFWMSYEDFMSNFTLLEICNLTPDALNT WDYKSRWHSTFYEGSWRRGSTAGGCRNHPETFWSNPQFKISLPEVDDPEDDSEKNEMVCT CLVALMQKNWRHAREGPQLLTIGFVIFSVPKEFQNLRDIHLKKDFFLKYRDHGFSEIFIN SREVNSHLRLPPGEYVIIPSTYEPHKDADFLLRVFTEKHSETWLLDDANRFEHLQEETVT DKDLDKDSLQLFKIMANEDGEVDMYALHKLLNRMTAKLRNFKTKGFSLEVCRRMINLLDK DGSGKLELHEFQVLWKKIKKWTEIFKECDEDRSGNLNSYEMRLAIEKAGIKMNNRVTEVV VARYSDNMIVDFDSFLNCFLRLKAMFAFFLSMDTKKTGSICLDINQWLQITMWG >ENSMUSP00000119679.1 pep:known chromosome:GRCm38:17:45642912:45659297:-1 gene:ENSMUSG00000058626.16 transcript:ENSMUST00000151350.1 gene_biotype:protein_coding transcript_biotype:non_stop_decay gene_symbol:Capn11 description:calpain 11 [Source:MGI Symbol;Acc:MGI:1352490] MLNYSGKRDPNKRRRYDSTKVQVVELMGLLALSACEEFLTGAWMDISWAEKGMVATINHS RLKDKGVGQHQNAYNYKNQNYEDLRAECLRKGELFEDPFFPAEPRSIGVKNLGPNSEHMQ NIYWQRPKDIIHNPQFITNDFSPTDICQGILEIRASRRTMLASSTFSFGSLGTG >ENSMUSP00000131346.1 pep:known chromosome:GRCm38:14:5939225:5961745:-1 gene:ENSMUSG00000091275.1 transcript:ENSMUST00000163885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3248 description:predicted gene 3248 [Source:MGI Symbol;Acc:MGI:3781426] MFSWLLRLCQKENGDEGETRPTEKEEGIHSHEKGRRKSFWRRHRSARNTSTQNSKITKKR SKINELEELKLDMRKISNDMEEMCGILDLYIYEDLNYRMNTEFNIIKSQHEKTILDMNKM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAGHKCPV GRKVVL >ENSMUSP00000023270.7 pep:known chromosome:GRCm38:16:55899888:55934855:-1 gene:ENSMUSG00000022604.18 transcript:ENSMUST00000023270.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep97 description:centrosomal protein 97 [Source:MGI Symbol;Acc:MGI:1921451] MAVARVDGALAPGEGSVVNWSGQGLQKLGANLPCEADVHTLILDKNQIIKLENLEKCKQL IQLSVANNRLVRMMGVAKLTQLRVLNLPHNSIGCVEGLKDLVHLEWLNLAGNNLKTMEQV NSCTALQHLDLSDNNIPQIGDVSKLISLKTLLLHGNIITSLRMAPAYLPRNLSILSLAEN EIRDLNEISFLASLSELEQLSIMNNPCVMATPSIPGFDYRPFIVSWCLNLRVLDGYVISQ KESLKAEWLYSQGKGRSYRPGQHIQLVQYLATVCPLTSALGLQTAEDAKLEKILSKQRFH QRQLMSQSQDEELSPLAAVETRVHRTPECSSPGQDFQESEPVLQINSWVGISSNDDQLYA VKNNFPAAAHAARYSRNDLHLEDIQTDEDKLNCSLLSSESTFMPVASGLSPVSPTVELRL QGINLGLEDDDGADEFTKGLENQDEDKDKEKSLWDMSESCVEMLKRKISTEVSEAAGLLP CPKSVIISAALKEDTHSLTSLPESAGHSASRTEANSEEAMSPATSEKFPCRILTQRPAAL GQDKVTLQKLNAAATKLQACWRGFYTRNYNQQAKGVRYEIRLRRMQEHIVCLTDEVRRLR KERDEERVKTFVQEEAVRFLWNEVRSVQAWQQTVEQRLASWPPDVPPISSTLASPKPPLF PHHQDPSSDQSSDWLVAEDEAAQGRSAPDFPDSGFHSSLTEQVPCLQDSLDFEKSSVESS ENSVLGNSADTVKCVKDRDSEATAEEHSDCSRESSASEQDNTLLQQYLTSVQQLDDAAEA ADSDDVAGDGKRHLACSPERFDASSDSETHRVASTSQDEISQTPENCQLNEEAQGQPPEC DPAFQGLHVGVTVQPV >ENSMUSP00000112663.1 pep:known chromosome:GRCm38:16:55904951:55934480:-1 gene:ENSMUSG00000022604.18 transcript:ENSMUST00000118500.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep97 description:centrosomal protein 97 [Source:MGI Symbol;Acc:MGI:1921451] MMGVAKLTQLRVLNLPHNSIGCVEGLKDLVHLEWLNLAGNNLKTMEQVNSCTALQHLDLS DNNIPQIGDVSKLISLKTLLLHGNIITSLRMAPAYLPRNLSILSLAENEIRDLNEISFLA SLSELEQLSIMNNPCVMATPSIPGFDYRPFIVSWCLNLRVLDGYVISQKESLKAEWLYSQ GKGRSYRPGQHIQLVQYLATVCPLTSALGLQTAEDAKLEKILSKQRFHQRQLMSQSQDEE LSPLAAVETRVHRTPECSSPGQDFQESEPVLQINSWVGISSNDDQLYAVKNNFPAAAHAA RYSRNDLHLEDIQTDEDKLNCSLLSSESTFMPVASGLSPVSPTVELRLQGINLGLEDDDG ADEFTKGLENQDEDKDKEKSLWDMSESCVEMLKRKISTEVSEAAGLLPCPKSVIISAALK EDTHSLTSLPESAGHSASRTEANSEEAMSPATSEKFPCRILTQRPAALGQDKVTLQKLNA AATKLQACWRGFYTRNYNQQAKGVRYEIRLRRMQEHIVCLTDEVRRLRKERDEERVKTFV QEEAVRFLWNEVRSVQAWQQTVEQRLASWPPDVPPISSTLASPKPPLFPHHQDPSSDQSS DWLVAEDEAAQGRSAPDFPDSGFHSSLTEQVPCLQDSLDFEKSSVESSENSVLGNSADTV KCVKDRDSEATAEEHSDCSRESSASEQDNTLLQQYLTSVQQLDDAAEAADSDDVAGDGKR HLACSPERFDASSDSETHRVASTSQDEISQTPENCQLNEEAQGQPPECDPAFQGLHVGVT VQPV >ENSMUSP00000112687.1 pep:known chromosome:GRCm38:16:55904978:55934233:-1 gene:ENSMUSG00000022604.18 transcript:ENSMUST00000117468.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep97 description:centrosomal protein 97 [Source:MGI Symbol;Acc:MGI:1921451] MMGVAKLTQLRVLNLPHNSIGCVEGLKDLVHLEWLNLAGNNLKTMEQVNSCTALQHLDLS DNNIPQIGDVSKLISLKTLLLHGNIITSLRMAPAYLPRNLSILSLAENEIRDLNEISFLA SLSELEQLSIMNNPCVMATPSIPGFDYRPFIVSWCLNLRVLDGYVISQKESLKAEWLYSQ GKGRSYRPGQHIQLVQYLATVCPLTSALGLQTAEDAKLEKILSKQRFHQRQLMSQSQDEE LSPLAAVETRVHRTPECSSPGQDFQESEPVLQINSWVGISSNDDQLYAVKNNFPAAAHAA RYSRNDLHLEDIQTDEDKLNCSLLSSESTFMPVASGLSPVSPTVELRLQGINLGLEDDDG ADEFTKGLENQDEDKDKEKSLWDMSESCVEMLKRKISTEVSEAAGLLPCPKSVIISAALK EDTHSLTSLPESAGHSASRTEANSEEAMSPATSEKFPCRILTQRPAALGQDKVTLQKLNA AATKLQACWRGFYTRNYNQQAKGVRYEIRLRRMQEHIVCLTDEVRRLRKERDEERVKTFV QEEAVRFLWNEVRSVQAWQQTVEQRLASWPPDVPPISSTLASPKPPLFPHHQDPSSDQSS DWLVAEDEAAQGRSAPDFPDSGFHSSLTEQVPCLQDSLDFEKSSVESSENSVLGNSADTV KCVKDRDSEATAEEHSDCSRESSASEQDNTLLQQYLTSVQQLDDAAEAADSDDVAGDGKR HLACSPERFDASSDSETHRVASTSQDEISQTPENCQLNEEAQGQPPECDPAFQGLHVGVT VQPV >ENSMUSP00000113009.1 pep:known chromosome:GRCm38:16:55924361:55934797:-1 gene:ENSMUSG00000022604.18 transcript:ENSMUST00000122280.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep97 description:centrosomal protein 97 [Source:MGI Symbol;Acc:MGI:1921451] MAVARVDGALAPGEGSVVNWSGQGLQKLGANLPCEADVHTLILDKNQIIKLENLEKCKQL IQLSVANNRLVRMMGVAKLTQLRVLNLPHNSIGCVEGLKDLVHLEWLNLAGNNLKTMEQV NSCTALQHLDLSDNNIPQIGDVSKLISLKVSGVSVTS >ENSMUSP00000112502.1 pep:known chromosome:GRCm38:16:55924368:55934854:-1 gene:ENSMUSG00000022604.18 transcript:ENSMUST00000121129.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep97 description:centrosomal protein 97 [Source:MGI Symbol;Acc:MGI:1921451] MAVARVDGALAPGEGSVVNWSGQGLQKLGANLPCEADVHTLILDKNQIIKLENLEKCKQL IQLSVANNRLVRMMGVAKLTQLRVLNLPHNSIGCVEGLKDLVHLEWLNLAGNNLKTMEQV NSCTALQHLDLSDNNIPQIGDVSKLISLKMLSSVLSIT >ENSMUSP00000113470.2 pep:known chromosome:GRCm38:16:55924556:55934833:-1 gene:ENSMUSG00000022604.18 transcript:ENSMUST00000121703.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cep97 description:centrosomal protein 97 [Source:MGI Symbol;Acc:MGI:1921451] MAVARVDGALAPGEGSVVNWSGQGLQKLGANLPCEADVHTLILDKNQIIKLENLEKCKQL IQLSVANNRLVRMMGVAKLTQLRVLNLPHNSIGCVEGLKDLVHLEWLNLAGNNLKTMEQV NSCTALQHLDLSDNNIPQIGDVSKLISLKDV >ENSMUSP00000030490.6 pep:known chromosome:GRCm38:4:115000159:115043196:1 gene:ENSMUSG00000028718.16 transcript:ENSMUST00000030490.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stil description:Scl/Tal1 interrupting locus [Source:MGI Symbol;Acc:MGI:107477] MNTRFPSSKMVPFHFPPSKLALWNPMPIGECIYLHLSYYRKPKLMVTEKAIRLAYRHAKQ NKKNVPCFLLGSLTVDEDEEGVTLTIDRFDPGREIPECLERTPTASLPGDFLIPCRVHIQ GLGSRDVIVHNADDFSSALKALQYHVCSKDFLDCGKLLCLRAQITPRESLDGVDFNLQWT AVTLANSFKCVPVKPIPIIPTALARNLSSNLNISQVQGTYKHGYITMDETRKLLLLLQSD PKVSSLPLVGIWLAGIIHVYSPQVWACCLRYMFSSSIQERVFSESGNFIIVLYSLTHKEP EFYECLPCESRTPDLQFQLLTNKETLHLFNNVEPSGKNPIHFELSAESQDAEAEAEVLSK ISKTLPVKRSSQKLSPGKIPINKHDTDLEDEDFSPRPIPSPHPVSQKISKVQPSVPELSL VLDNNFTESSNQSNPLEMMTVENPLLIKPSQPELCDAKHSSEATTGEPFRRGPTNQLSQD TALRQSRGKQSSTCKKESLQFRNTNAKPSLSVPSPDVAEKLQAVSAGSMQKEDYPVRPST LDSRQPSLAPQAQPHNLVFSTHNSTRPMELQVPTPSLPSYYPTNVCSCCQHHGHIQYSTI NSWQGNTVGSIQDLRSESLPKHAFFHSSGCPSLCPNAIYSSSSPVSMKQGGMGAYSPHSN GEPSPVAGPSHVDSCVPHPCAMCMHMPNTAPDNGMMGLSPDAYRFVTEQDRQLRLLQAQI QRLLEAQSLDPGSHKTVATVEDTVKAAKQMELVSMEAQSSPGLHMRKSVSIAVSTGASLF WNAAGDDQEPDSQPKQDDTKISSEDMNFSVDINNEATSLPGSASSLKAVDIPSFEESNLA VEEVNQPLPESNSSSEQSKEPGVPVFFPNALLAESVSMCLQTAPTEGASNSTELPQGTKD EPYRPSDNQKIYQDLLGQVNHLLSNASQETEEPPTKAVVTNRECAKTQNTHHARKKRHNS GLVDKDCVLSATIKQLRSLGVKIDSPTKVKKNEQKVDHASVLACISPEAVISGLNYMSFG NVGMSSLSPTGVDLSMEANAIALKYLSENQLSQLSLARSKQNNGDSSVGLLHINSDRSTV GLSLVSPSNMSFATKKYMKRYGLLQSSDNSEDEEEPPSHADSESDHVLNRNPACRPVQCG HEKEPSWNACEIAQCSDCGSADTRTDVPVLRNITNQAVQPRATEHLNEDSAISLRNLKPN PAMNLRTGKAEFTHHPEKENERDIAVFPGTLPSPETLKQMNSMDSVGTFLDVKRLRQLPK LF >ENSMUSP00000118849.1 pep:known chromosome:GRCm38:4:115000182:115043196:1 gene:ENSMUSG00000028718.16 transcript:ENSMUST00000141933.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stil description:Scl/Tal1 interrupting locus [Source:MGI Symbol;Acc:MGI:107477] MNTRFPSSKMVPFHFPPSKLALWNPMPIGECIYLHLSYYRKPKLMVTEKAIRLAYRHAKQ NKKNVPCFLLGSLTVDEDEEGVTLTIDRFDPGREIPECLERTPTASLPGDFLIPCRVHIQ GLGSRDVIVHNADDFSSALKALQYHVCSKDFLDCGKLLCLRAQITPRESLDGVDFNLQWT AVTLANSFKCVPVKPIPIIPTALARNLSSNLNISQVQGTYKHGYITMDETRKLLLLLQSD PKVSSLPLVGIWLAGIIHVYSPQVWACCLRYMFSSSIQERVFSESGNFIIVLYSLTHKEP EFYECLPCESRTPDLQFQLLTNKETLHLFNNVEPSGKNPIHFELSAESQDAEAEAEVLSK ISKTLPVKRAISWDPSVQNLSLRSTLKSQTIAGGISVTL >ENSMUSP00000123385.1 pep:known chromosome:GRCm38:4:115000182:115039177:1 gene:ENSMUSG00000028718.16 transcript:ENSMUST00000129957.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stil description:Scl/Tal1 interrupting locus [Source:MGI Symbol;Acc:MGI:107477] MNTRFPSSKMVPFHFPPSKLALWNPMPIGECIYLHLSYYRKPKLMVTEKAIRLAYRHAKQ NKKNVPCFLLGSLTVDEDEEGVTLTIDRFDPGREIPECLERTPTASLPGDFLIPCRVHIQ GLGSRDVIVHNADDFSSALKALQYHVCSKDFLDCGKLLCLRAQITPRESLDGVDFNLQWT AVTLANSFKCVPVKPIPIIPTALARNLSSNLNISQVQGTYKHGYITMDETRKLLLLLQSD PKVSSLPLVGIWLAGIIHVYSPQVWACCLRYMFSSSIQERVFSESGNFIIVLYSLTHKEP EFYECLPCESRTPDLQFQLLTNKETLHLFNNVEPSGKNPIHFELSAESQDAEAEAEVLSK ISKTLPVKRSSQKLSPGKIPINKHDTDLEDEDFSPRPIPSPHPVSQKIQRLLEAQSLDPG SHKTVATVEDTVKAAKQMELVSMEAQSSPGLHMRKSVSIAVSTGASLFWNAAGDDQEPDS QPKQDDTKISSEDMNFSVDINNEATSLPGSASSLKAVDIPSFEESNLAVEEVNQPLPESN SSSEQSKEPGVPVFFPNALLAESVSMCLQTAPTEGASNSTELPQGTKDEPYRPSDNQKIY QDLLGQVNHLLSNASQETEEPPTKAVVTNRECAKTQNTHHA >ENSMUSP00000120836.1 pep:known chromosome:GRCm38:4:115006761:115016249:1 gene:ENSMUSG00000028718.16 transcript:ENSMUST00000147519.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Stil description:Scl/Tal1 interrupting locus [Source:MGI Symbol;Acc:MGI:107477] CFLLGSLTVDEDEEGVTLTIDRFDPGREIPECLERTPTASLPGDFLIPCRVHIQGLGSRD VIVHNADDFSSALKIYYYG >ENSMUSP00000021888.7 pep:known chromosome:GRCm38:13:61035027:61040631:-1 gene:ENSMUSG00000021439.7 transcript:ENSMUST00000021888.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctsq description:cathepsin Q [Source:MGI Symbol;Acc:MGI:2137385] MTPAFFLVILCLGILSGVSAFDPSLDVEWKEWMGSFEKLYSPEEEVLRRAIWEENVKRIK LHNRENSLGKNTYTMGLNGFADMTDEEFMNIVIGATLPVDNTRKSLWKRALGSPFPKSWY WKDALPKFVDWRNEGYVTRVRNQRNCNSCWAFPVTGAIEGQMFKKTGKLIPLSVQNLVDC SRPQGNRGCRWGNTYNGFQYVLHNGGLEAQATYPYEGKEGLCRYNPKNSAAKITGFVVLP ESEDVLMDAVATKGPIATGIHVVSSSFRFYDGGVYYEPNCTSSVNHAVLIIGYGYVGNET DGNNYWLIKNSWGRRWGLSGYMMIAKDRNNHCAIASLAQYPTV >ENSMUSP00000057762.4 pep:known chromosome:GRCm38:3:92849949:92851286:-1 gene:ENSMUSG00000046676.4 transcript:ENSMUST00000054426.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1l description:late cornified envelope 1L [Source:MGI Symbol;Acc:MGI:1920980] MSCQQSQQQCQPPPKCTPKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSS GGCCSSGGCCSSGGCCSSGGGGCCLSHHRPHRSLRRHRHSSGCCSSGGSSDCCGSSGCCG SSGCCGGNQQSGDCC >ENSMUSP00000129352.1 pep:known chromosome:GRCm38:7:10158652:10176286:-1 gene:ENSMUSG00000091930.1 transcript:ENSMUST00000164918.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r52 description:vomeronasal 2, receptor 52 [Source:MGI Symbol;Acc:MGI:3695443] MFILVRVFFLLNVELLMANYIDSTCFWRLNLNEVNGKDLDQTCSFILGAVQMPMEKYNFK ETLNVSKTNKNHKYALALAFSMDEINRNPDLLPNMSLIIKYNLGHCDGKTMTNTADFLDR KKYKRIPNYFCNEETKCSFLLTGPDMTTSLYFQMFLDIFLSPHFLQLTYGPYSSIINDIE QYPYVYQMAPKDTSLALAMVYFILYFHWNWVGLIISDDDEGYQFLSQLKKESKGKEICFA FVKMIGISHIFTYASTEMDYNQIMMSSTNVIIIYGETSNFIELNFRIWESTVIQRIWVTT VQLNFPTSKKDLTHGPFYGTFTFLPHHGEISGYKNFLQTLFHLKSTDAFLVMPEWKYFNC EDSACNCKILMNYSANSSLDWLMGQKFEITFSDDSQNIYNAVYAMAHALHETNLQQVDNQ AIDNGKVASSHCLKVNSFLRKTHFTNPLGDKVIMKQRVILQEDYDIFHFWNLSKHLGIKV KLGKFSPYFPHGEHFQLHLDMFELATGSRKMPSSVCSADCGPGFRRSWKERVEACCFTCS LCPENEISNDTNMDHCVNCPEYQYANTEQNKCMQKAVIFLRYEDPLGMALALMAFCFSAF TALVLWAFVKHHDTPIVKANNRILSYLLLMSLMFCFLCTFFFIGHPNRVTCVLQQITFGI VFTVAVSTVLAKTVTVILAFKFTGPGRRLRNILVSGTPNYIIPICCLFQCVLCAIWLAVS PPFVDIDEHSEHGNIILVCNKGSVTAFYCVLGYLACLALGSFTVAFLARNLPDTFNEAKF LTFSMLVFCSVWVTFLPVYHSTKGKVMVAVEIFSILASSAGILGCIFAPKIYIIFLRPER NSIKKIREKSHF >ENSMUSP00000025642.8 pep:known chromosome:GRCm38:19:10895231:10905532:1 gene:ENSMUSG00000024735.13 transcript:ENSMUST00000025642.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf19 description:PRP19/PSO4 pre-mRNA processing factor 19 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:106247] MSLICSISNEVPEHPCVSPVSNHVYERRLIEKYIAENGTDPINNQPLSEEQLIDIKVAHP IRPKPPSATSIPAILKALQDEWDAVMLHSFTLRQQLQTTRQELSHALYQHDAACRVIARL TKEVTAAREALATLKPQAGLIVPQAVPSSQPSVVGAGEPMDLGELVGMTPEIIQKLQDKA TVLTTERKKRGKTVPEELVKPEELSKYRQVASHVGLHSASIPGILALDLCPSDTNKILTG GADKNVVVFDKSTEQILATLKGHTKKVTSVVFHPSQELVFSASPDATIRIWSVPNTSCVQ VVRAHESAVTGLSLHATGDYLLSSSDDQYWAFSDIQTGRVLTKVTDETSGCSLTCAQFHP DGLIFGTGTMDSQIKIWDLKERTNVANFPGHSGPITSIAFSENGYYLATAADDSSVKLWD LRKLKNFKTLQLDNNFEVKSLIFDQSGTYLALGGTDVQIYICKQWTEILHFTEHSGLTTG VAFGHHAKFIASTGMDRSLKFYSL >ENSMUSP00000136858.2 pep:known chromosome:GRCm38:19:10895414:10909559:1 gene:ENSMUSG00000024735.13 transcript:ENSMUST00000179297.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf19 description:PRP19/PSO4 pre-mRNA processing factor 19 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:106247] MSLICSISNEVPEHPCVSPVSNHVYERRLIEKYIAENGTDPINNQPLSEEQLIDIKVAHP IRPKPPSATSIPAILKALQDEWGWPNSPALPQSSQWPTLSQDAVMLHSFTLRQQLQTTRQ ELSHALYQHDAACRVIARLTKEVTAAREALATLKPQAGLIVPQAVPSSQPSVVGAGEPMD LGELVGMTPEIIQKLQDKATVLTTERKKRGKTVPEELVKPEELSKYRQVASHVGLHSASI PGILALDLCPSDTNKILTGGADKNVVVFDKSTEQILATLKGHTKKVTSVVFHPSQELVFS ASPDATIRIWSVPNTSCVQVVRAHESAVTGLSLHATGDYLLSSSDDQYWAFSDIQTGRVL TKVTDETSGCSLTCAQFHPDGLIFGTGTMDSQIKIWDLKERTNVANFPGHSGPITSIAFS ENGYYLATAADDSSVKLWDLRKLKNFKTLQLDNNFEVKSLIFDQSGTYLALGGTDVQIYI CKQWTEILHFTEHSGLTTGVAFGHHAKFIASTGMDRSLKFYSL >ENSMUSP00000126921.1 pep:known chromosome:GRCm38:3:92868359:92870216:-1 gene:ENSMUSG00000090314.2 transcript:ENSMUST00000163439.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2310050C09Rik description:RIKEN cDNA 2310050C09 gene [Source:MGI Symbol;Acc:MGI:1913783] MCDQQKQPQFLPSCVKGSGLGVGQGSDGAFGKGQVSGQIQAVGAPGPAPYQPQTVVRYSA PVPAQTYVRYQVPCQTKTYVKCPTPCQTYVKCPAPCQTTYVKCPAPCQTTCVKCPAPCQK TYVKCPAPCQTTNVKCPAPCQTTSVKCPAPCQAQTYYVQYQVPYQTYYTQASSSGSGPQG CVPDPCSAPCSTSYCCLAPRSFGVSPLRRWIQRPQGWNTGSSSCCEDSGCCSSGGCGGCG GCGGCGGCNSCCGSGCCCLGIIPMRSRGPACCDHEDDCCC >ENSMUSP00000024928.3 pep:known chromosome:GRCm38:17:23868056:23873114:1 gene:ENSMUSG00000024116.5 transcript:ENSMUST00000024928.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prss21 description:protease, serine 21 [Source:MGI Symbol;Acc:MGI:1916698] MGARGKTLVPLLVVVATAAMALQSTYLQVDPEKPELQEPDLLSGPCGHRTIPSRIVGGDD AELGRWPWQGSLRVWGNHLCGATLLNRRWVLTAAHCFQKDNDPFDWTVQFGELTSRPSLW NLQAYSNRYQIEDIFLSPKYSEQYPNDIALLKLSSPVTYNNFIQPICLLNSTYKFENRTD CWVTGWGAIGEDESLPSPNTLQEVQVAIINNSMCNHMYKKPDFRTNIWGDMVCAGTPEGG KDACFGDSGGPLACDQDTVWYQVGVVSWGIGCGRPNRPGVYTNISHHYNWIQSTMIRNGL LRPDPVPLLLFLTLAWASSLLRPA >ENSMUSP00000053619.6 pep:known chromosome:GRCm38:2:3118685:3227806:1 gene:ENSMUSG00000050530.14 transcript:ENSMUST00000062934.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam171a1 description:family with sequence similarity 171, member A1 [Source:MGI Symbol;Acc:MGI:2442917] MLPGDLGGTSCSATQEAAAGDSSAYIQEVTLKVHISDASTHQPIADALIEIFASQVSVAS GTSGTDGVAFIKFPYKLGNQLIVTATKQAYVPNSAPWKPIRLPVFSSLSLGLLPERSATL MVYEDVVQIVSGFQGARPQPRVHFQRRALRLPENTSYSDLTAFLTAASSPSEVDSFPYLR GLDGNGTGNSTRYDLTPVTAVSVHLLSGNGMPVLVDGPIYVTVPLATQSSLRHNAYVAAW RFDQKLGTWLKSGLGLVHQEGSQLTWTYIAPQLGYWVAAMSPPIPGPVMTQDITTYHTVF LLAILGGMAFILLVLLCLLLYYCRRKCLKPRQHHRKLQLPPALESSKRDQATSMSHINLL FSRRASDYPGPLSVSSHSRPEAPGTKELMGGVHLEMMSPKGEGDLHTPMLKLSYSTSQEF SSREELLSHKEEDKSQTSFDNLTPSGTLGKDYHKSVEIFPLKARKSMEKEDYEAPGNDDY RGSYNTVLSQSLFEKQDQEGLASAGSKLTIQEHMYHVPLSPEKEQLLDRRPTECMMSRSV DHLERPTSFPRPGQLICCSSVDQVNDSVYRKVLPALVIPAHYMKLPGDHSYVSQPLVVPA DQQLEIGRLQAELSNPHAGIFPHPSSQIQGQPLSSQAISQQHLQEAGAREWSSQSASMSE SLSIPASLNDAALAQMNSEVQLLTEKALMELGGGKPLPHPRAWFVSLDGRSNAHVRHSYI DLQRAGRNGSNDASLDSGVDMNEPKSARKGRGDPLSLQQSHTPLQEHQQKDPRAPDSTAC TQLLYLEDMDPSGSECAATVCTPEDSALRCLLEGSGRRSGGQLPSLQEETTKRTSDVPLE PLASPNQRRSANDEDEDDDDDDDDQGEDKKSPWQKREERPLMAFNIK >ENSMUSP00000089086.4 pep:known chromosome:GRCm38:2:3118413:3227252:1 gene:ENSMUSG00000050530.14 transcript:ENSMUST00000091505.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam171a1 description:family with sequence similarity 171, member A1 [Source:MGI Symbol;Acc:MGI:2442917] MSKSAALLLCLLGCHVWKAVTKTLQEPGAGAQEVTLKVHISDASTHQPIADALIEIFASQ VSVASGTSGTDGVAFIKFPYKLGNQLIVTATKQAYVPNSAPWKPIRLPVFSSLSLGLLPE RSATLMVYEDVVQIVSGFQGARPQPRVHFQRRALRLPENTSYSDLTAFLTAASSPSEVDS FPYLRGLDGNGTGNSTRYDLTPVTAVSVHLLSGNGMPVLVDGPIYVTVPLATQSSLRHNA YVAAWRFDQKLGTWLKSGLGLVHQEGSQLTWTYIAPQLGYWVAAMSPPIPGGSA >ENSMUSP00000072724.5 pep:known chromosome:GRCm38:2:3114224:3227250:1 gene:ENSMUSG00000050530.14 transcript:ENSMUST00000072955.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam171a1 description:family with sequence similarity 171, member A1 [Source:MGI Symbol;Acc:MGI:2442917] MVYEDVVQIVSGFQGARPQPRVHFQRRALRLPENTSYSDLTAFLTAASSPSEVDSFPYLR GLDGNGTGNSTRYDLTPVTAVSVHLLSGNGMPVLVDGPIYVTVPLATQSSLRHNAYVAAW RFDQKLGTWLKSGLGLVHQEGSQLTWTYIAPQLGYWVAAMSPPIPGPVMTQDITTYHTVF LLAILGGMAFILLVLLCLLLYYCRRKCLKPRQHHRKLQLPPALESSKRDQATSMSHINLL FSRRASDYPGPLSVSSHSRPEAPGTKELMGGVHLEMMSPKGEGDLHTPMLKLSYSTSQEF SSREELLSHKEEDKSQTSFDNLTPSGTLGKDYHKSVEIFPLKARKSMEKEDYEAPGNDDY RGSYNTVLSQSLFEKQDQEGLASAGSKLTIQEHMYHVPLSPEKEQLLDRRPTECMMSRSV DHLERPTSFPRPGQLICCSSVDQVNDSVYRKVLPALVIPAHYMKLPGDHSYVSQPLVVPA DQQLEIGRLQAELSNPHAGIFPHPSSQIQGQPLSSQAISQQHLQEAGAREWSSQSASMSE SLSIPASLNDAALAQMNSEVQLLTEKALMELGGGKPLPHPRAWFVSLDGRSNAHVRHSYI DLQRAGRNGSNDASLDSGVDMNEPKSARKGRGDPLSLQQSHTPLQEHQQKDPRAPDSTAC TQLLYLEDMDPSGSECAATVCTPEDSALRCLLEGSGRRSGGQLPSLQEETTKRTSDVPLE PLASPNQRRSANDEDEDDDDDDDDQGEDKKSPWQKREERPLMAFNIK >ENSMUSP00000110751.2 pep:known chromosome:GRCm38:2:3118382:3227806:1 gene:ENSMUSG00000050530.14 transcript:ENSMUST00000115099.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fam171a1 description:family with sequence similarity 171, member A1 [Source:MGI Symbol;Acc:MGI:2442917] MSKSAALLLCLLGCHVWKAVTKTLQEPGAGAQEVTLKVHISDASTHQPIADALIEIFASQ VSVASGTSGTDGVAFIKFPYKLGNQLIVTATKQAYVPNSAPWKPIRLPVFSSLSLGLLPE RSATLMVYEDVVQIVSGFQGARPQPRVHFQRRALRLPENTSYSDLTAFLTAASSPSEVDS FPYLRGLDGNGTGNSTRYDLTPVTAVSVHLLSGNGMPVLVDGPIYVTVPLATQSSLRHNA YVAAWRFDQKLGTWLKSGLGLVHQEGSQLTWTYIAPQLGYWVAAMSPPIPGPVMTQDITT YHTVFLLAILGGMAFILLVLLCLLLYYCRRKCLKPRQHHRKLQLPPALESSKRDQATSMS HINLLFSRRASDYPGPLSVSSHSRPEAPGTKELMGGVHLEMMSPKGEGDLHTPMLKLSYS TSQEFSSREELLSHKEEDKSQTSFDNLTPSGTLGKDYHKSVEIFPLKARKSMEKEDYEAP GNDDYRGSYNTVLSQSLFEKQDQEGLASAGSKLTIQEHMYHVPLSPEKEQLLDRRPTECM MSRSVDHLERPTSFPRPGQLICCSSVDQVNDSVYRKVLPALVIPAHYMKLPGDHSYVSQP LVVPADQQLEIGRLQAELSNPHAGIFPHPSSQIQGQPLSSQAISQQHLQEAGAREWSSQS ASMSESLSIPASLNDAALAQMNSEVQLLTEKALMELGGGKPLPHPRAWFVSLDGRSNAHV RHSYIDLQRAGRNGSNDASLDSGVDMNEPKSARKGRGDPLSLQQSHTPLQEHQQKDPRAP DSTACTQLLYLEDMDPSGSECAATVCTPEDSALRCLLEGSGRRSGGQLPSLQEETTKRTS DVPLEPLASPNQRRSANDEDEDDDDDDDDQGEDKKSPWQKREERPLMAFNIK >ENSMUSP00000120725.1 pep:known chromosome:GRCm38:15:89288236:89315311:-1 gene:ENSMUSG00000036529.17 transcript:ENSMUST00000123791.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf1 description:SET binding factor 1 [Source:MGI Symbol;Acc:MGI:1925230] MARLADYFVLVAFGPHPRGSGEGQGQILQRFPEKDWEDNPFPQGIELFCQPSGWQLCPER NPPTFFVAVLTDINSERHYCACLTFWEPVESTQEVVCTDNATEKEEEADGGGQARLSSTA PAQPGQLFAPKTLVLVSRLDHAEVFRNSLGLIYAIHVEGLNVSLENVIGNLLTCTVPLAG GSQRTISLGAGDRQVIQTPLVDSLPVSRCSVALLFRQLGITNVLSLFCAALTEHKVLFLS RSYQRLADACRGLLALLFPLRYSFTYVPILPAQLLEVLSTPTPFIIGVNAAFQAETQELL DVIVADLDGGTVTVPECVHIPPLPEPLQSQTHNVLSMVLDPELELADLAFPPPTTSASSL KMQDKELRAVFLRLFAQLLQGYRWCLHIVRIHPEPVIRFHKAAFLGQRGLVEDDFLMKVL EGMAFAGFVSERGVPYRATDLFDELVAHEVARMRADESHPHRVLRHVQELAEQLYKNENP YPAVAMHKVQRPGEASHLRRTHRPFPRLDEGTIQWIVDQAAAKMQGAPPAVKAERRSTVP SGPPMTAILERCSGPHINSARRLEVVRNCISYVFEGKMLEAKKLLPAVLRALKGRAARRC LAHELHLHVQQNRAVLDHQQFDFVVRMMNCCLQDCTSLDEHGIASALLPLVTAFCRKLSP GVTQFAYSCVQEHVVWSTPQFWEAMFYGDVQTHIRALYLEPSDGVSPTQETGEAQSQDDE RSALDVASEQRRLWPTLSREKQQELVQKEESTVFSQAIHYANRMSYLLLPLDSSKSRLLR ERAGLGDLESASNSLVTNSMAGSVAESYDTESGFEDAETCDVAGAVVRFINRFVDKVCTE SGVTSDHLKGLHVMVPDIVQMHIETLEAVHRESKRLPPIQKPKLLRPRLLPGEECVLDGL RVYLLPDGREEGVGGSGGGPALLPAEGAVFLTTYRVIFTGMPTDPLVGEQVVVRSFPVAA LTKEKRISVQTPVDQLLQDGLQLRSCTFQLLKMAFDEEVGSDSAELFRKQLHKLRYPPDI RATFAFTLGSAHTPGRPPRVTKDKGPSFRTLSRNLMKNAKKTIGRQYVTRKKYNPPGWEH RGQPPPEDQEDEISVSEELEPSTLTPSSALKPSDRMTMSSLVERACCRDYQRLGLGTLSS SLSRAKSEPFRISPVNRMYAICRSYPGLLIVPQSIQDNALQRVSRCYRQNRFPVVCWRSG RSKAVLLRSGGLHGKGVVGLFKAQNTPSPGQAQADSSSLEQEKYLQAVVSSMPRYADSSG RNTLSSFSSAHMGGHGKWSSVRASGRSSGLGSDVGSRLAGRDLLSTPHTNGAPPDSGFLR PQRAALYIIGDKAQLKGVRPDPLQQWELVPIEVFEARQVKASFKKLLKACVPGCPATEPS PASFLRSLEDSEWLIQIHKLLQISVLVVELLDSGSSVLVSLEDGWDITTQVVSLVQLLSD PFYRTLEGFRLLVEKEWLSFGHRFSHRGAHTLAGQSSGFTPVFLQFLDCVHQVHLQFPME FEFSQFYLKFLGYHHTSRRFRTFLLDSDYERIELGLLYEEKGERRGQLACKSVWEYVDRL SKRTPMFYNYTYAPEDTEVLRPYSNVSNLKVWDFYTEETLAEGPPYDWELAQGPPEPPEE ERPDGGAPQSRRRVVWPCYDSRPRVQPDAISRLLEELQRLETELGRPSERWKDTWDRVKA AQRLESRQDGRGTPSSLLVSAVPHHRRSLGVYLQEGPVGSTLSLSLDSDQSSGSTTSSSR QAARRSTSTLYSQFQTAESENRSYEGILYKKGAFMKPWKARWFVLDKTKHQLRYYDHRMD TECKGVIDLAEVEAVAPGTPTIGAPKTVDEKAFFDVKTTRRVYNFCAQDVPSAQQWVDRI QSCLSDA >ENSMUSP00000118107.2 pep:known chromosome:GRCm38:15:89288283:89315255:-1 gene:ENSMUSG00000036529.17 transcript:ENSMUST00000144585.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf1 description:SET binding factor 1 [Source:MGI Symbol;Acc:MGI:1925230] MARLADYFVLVAFGPHPRGSGEGQGQILQRFPEKDWEDNPFPQGIELFCQPSGWQLCPER NPPTFFVAVLTDINSERHYCACLTFWEPVESTQEVVCTDNATEKEEEADGGGQARLSSTA PAQPGQLFAPKTLVLVSRLDHAEVFRNSLGLIYAIHVEGLNVSLENVIGNLLTCTVPLAG GSQRTISLGAGDRQVIQTPLVDSLPVSRCSVALLFRQLGITNVLSLFCAALTEHKVLFLS RSYQRLADACRGLLALLFPLRYSFTYVPILPAQLLEVLSTPTPFIIGVNAAFQAETQELL DVIVADLDGGTVTVPECVHIPPLPEPLQSQTHNVLSMVLDPELELADLAFPPPTTSASSL KMQDKELRAVFLRLFAQLLQGYRWCLHIVRIHPEPVIRFHKAAFLGQRGLVEDDFLMKVL EGMAFAGFVSERGVPYRATDLFDELVAHEVARMRADESHPHRVLRHVQELAEQLYKNENP YPAVAMHKVQRPGEASHLRRTHRPFPRLDEGTIQWIVDQAAAKMQGAPPAVKAERRSTVP SGPPMTAILERCSGPHINSARRLEVVRNCISYVFEGKMLEAKKLLPAVLRALKGRAARRC LAHELHLHVQQNRAVLDHQQFDFVVRMMNCCLQDCTSLDEHGIASALLPLVTAFCRKLSP GVTQFAYSCVQEHVVWSTPQFWEAMFYGDVQTHIRALYLEPSDGVSPTQETGEAQSQDDE RSALDVASEQRRLWPTLSREKQQELVQKEESTVFSQAIHYANRMSYLLLPLDSSKSRLLR ERAGLGDLESASNSLVTNSMAGSVAESYDTESGFEDAETCDVAGAVVRFINRFVDKVCTE SGVTSDHLKGLHVMVPDIVQMHIETLEAVHRESKRLPPIQKPKLLRPRLLPGEECVLDGL RVYLLPDGREEGVGGSGGGPALLPAEGAVFLTTYRVIFTGMPTDPLVGEQVVVRSFPVAA LTKEKRISVQTPVDQLLQDGLQLRSCTFQLLKMAFDEEVGSDSAELFRKQLHKLRYPPDI RATFAFTLGSAHTPGRPPRVTKDKGPSFRTLSRNLMKNAKKTIGRQYVTRKKYNPPGWEH RGQPPPEDQEDEISVSEELEPSTLTPSSALKPSDRMTMSSLVERACCRDYQRLGLGTLSS SLSRAKSEPFRISPVNRMYAICRSYPGLLIVPQSIQDNALQRVSRCYRQNRFPVVCWRSG RSKAVLLRSGGLHGKGVVGLFKAQNTPSPGQAQADSSSLEQEKYLQAVVSSMPRYADSSG RNTLSSFSSAHMGGHVPSPRARVTTLSNPLAASASRWTASRGKWSSVRASGRSSGLGSDV GSRLAGRDLLSTPHTNGAPPDSGFLRPQRAALYIIGDKAQLKGVRPDPLQQWELVPIEVF EARQVKASFKKLLKACVPGCPATEPSPASFLRSLEDSEWLIQIHKLLQISVLVVELLDSG SSVLVSLEDGWDITTQVVSLVQLLSDPFYRTLEGFRLLVEKEWLSFGHRFSHRGAHTLAG QSSGFTPVFLQFLDCVHQVHLQFPMEFEFSQFYLKFLGYHHTSRRFRTFLLDSDYERIEL GLLYEEKGERRGQLACKSVWEYVDRLSKRTPMFYNYTYAPEDTEVLRPYSNVSNLKVWDF YTEETLAEGPPYDWELAQGPPEPPEEERPDGGAPQSRRRVVWPCYDSRPRVQPDAISRLL EELQRLETELGRPSERWKDTWDRVKAAQRLESRQDGRGTPSSLLVSAVPHHRRSLGVYLQ EGPVGSTLSLSLDSDQSSGSTTSSSRQAARRSTSTLYSQFQTAESENRSYEGILYKKGAF MKPWKARWFVLDKTKHQLRYYDHRMDTECKGVIDLAEVEAVAPGTPTIGAPKTVDEKAFF DVKTTRRVYNFCAQDVPSAQQWVDRIQSCLSDA >ENSMUSP00000115740.1 pep:known chromosome:GRCm38:15:89288286:89315271:-1 gene:ENSMUSG00000036529.17 transcript:ENSMUST00000124576.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sbf1 description:SET binding factor 1 [Source:MGI Symbol;Acc:MGI:1925230] MARLADYFVLVAFGPHPRGSGEGQGQILQRFPEKDWEDNPFPQGIELFCQPSGWQLCPER NPPTFFVAVLTDINSERHYCACLTFWEPVESTQEVVCTDNATEKEEEADGGGQARLSSTA PAQPGQLFAPKTLVLVSRLDHAEVFRNSLGLIYAIHVEGLNVSLENVIGNLLTCTVPLAG GSQRTISLGAGDRQVIQTPLVDSLPVSRCSVALLFRQLGITNVLSLFCAALTEHKVLFLS RSYQRLADACRGLLALLFPLRYSFTYVPILPAQLLEVLSTPTPFIIGVNAAFQAETQELL DVIVADLDGGTVTVPECVHIPPLPEPLQSQTHNVLSMVLDPELELADLAFPPPTTSASSL KMQDKELRAVFLRLFAQLLQGYRWCLHIVRIHPEPVIRFHKHS >ENSMUSP00000135351.1 pep:known chromosome:GRCm38:15:89288287:89294297:-1 gene:ENSMUSG00000036529.17 transcript:ENSMUST00000175778.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf1 description:SET binding factor 1 [Source:MGI Symbol;Acc:MGI:1925230] XFYRTLEGFRLLVEKEWLSFGHRFSHRGAHTLAGQSSGFTPVFLQFLDCVHQVHLQFPME FEFSQFYLKFLGYHHTSRRFRTFLLDSDYERIELGLLYEEKGERRGQLACKSVWEYVDRL SKRTPMFYNYTYAPEDTEVWDFYTEETLAEGPPYDWELAQGPPEPPEEERPDGGAPQSRR RVVWPCYDSRPRVQPDAISRLLEELQRLETELGRPSERWKDTWDRVKAAQRLESRQDGRG TPSSLLVSAVPHHRRSLGVYLQEGPVGSTLSLSLDSDQSSGSTTSSSRQAARRSTSTLYS QFQTAESENRSYEGILYKKGAFMKPWKARWFVLDKTKHQLRYYDHRMDTECKGVIDLAEV EAVAPGTPTIGAPKTVDEKAFFDVKTTRRVYNFCAQDVPSAQQWVDRIQSCLSDA >ENSMUSP00000119943.1 pep:known chromosome:GRCm38:15:89302283:89303334:-1 gene:ENSMUSG00000036529.17 transcript:ENSMUST00000124642.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sbf1 description:SET binding factor 1 [Source:MGI Symbol;Acc:MGI:1925230] XEHVVWSTPQFWEAMFYGDVQTHIRALYLEPSDGVSPTQETGEAQSQDDERSALDVASEQ RRLWPTLSREKQQELVQKEESTVFSQAIHYANRMSYLLLPLDSSKSRLLRERAGLGDLES ASNSLVTNRCRDVRCGWGCSPLHQPLCGQSLHREWGHQRPPQRTACHGARHCPDAH >ENSMUSP00000122386.1 pep:known chromosome:GRCm38:15:89306011:89307800:-1 gene:ENSMUSG00000036529.17 transcript:ENSMUST00000146637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sbf1 description:SET binding factor 1 [Source:MGI Symbol;Acc:MGI:1925230] DGGGQARLSSTAPAQPGQLFAPKTLVLVSRLDHAEVFRNSLGLIYAIHVEGLNVSLENVI GNLLTCTVPLAGGSQLDSVEEGARTISLGAGDRQVIQTPLVDSLPVSRCSVALLFRQLGI TNVLSLFCAALTEHKVLFLSRSYQRLADACRGLLALLFPLRYSFTYVPILPAQLLEVLST PTPFIIGVNAAFQAETQELLDVIVADLDGGTVTVPECVHIPPLPEPLQSQTHNVLSMVLD PELELADLAFPPPTTSAS >ENSMUSP00000029307.3 pep:known chromosome:GRCm38:3:53488727:53508502:1 gene:ENSMUSG00000027744.4 transcript:ENSMUST00000029307.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stoml3 description:stomatin (Epb7.2)-like 3 [Source:MGI Symbol;Acc:MGI:2388072] MDSPEKLEKNNLVGTNKSRLGVCGWILFFLSFLLMLVTFPISVWMCLKIIKEYERAVVFR LGRIQADKAKGPGLILVLPCIDVFVKVDLRTVTCNIPPQEILTRDSVTTQVDGVVYYRIY SAVSAVANVNDVHQATFLLAQTTLRNVLGTQTLSQILSGREEIAHSIQTLLDDATELWGI RVARVEIKDVRIPVQLQRSMAAEAEATREARAKVLAAEGEMNASKSLKSASMVLAESPVA LQLRYLQTLTTVATEKNSTIVFPLPMNILEGIGGISYGNNKKVTAKA >ENSMUSP00000066468.4 pep:known chromosome:GRCm38:3:92925287:92926230:-1 gene:ENSMUSG00000054325.5 transcript:ENSMUST00000067318.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce3a description:late cornified envelope 3A [Source:MGI Symbol;Acc:MGI:3645650] MSCQQSQKQCQPPPKCPSPKCSPKCPPKSTTQCLPAASSCCATSSGGCSVPSSEGGCCLS HHRRRSHRCRRRSSSSCDRGSGQQSGGSGCGHSSGGCC >ENSMUSP00000072334.4 pep:known chromosome:GRCm38:13:20090619:20606528:1 gene:ENSMUSG00000041112.15 transcript:ENSMUST00000072519.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elmo1 description:engulfment and cell motility 1 [Source:MGI Symbol;Acc:MGI:2153044] MPPPSDIVKVAIEWPGAYPKLMEIDQKKPLSAIIKEVCDGWSLANHEYFALQHADSSNFY ITEKNRNEIKNGTILRLTTSPAQNAQQLHERIQSSSMDAKLEALKDLASLSRDVTFAQEF INLDGISLLTQMVESGTERYQKLQKIMKPCFGDMLSFTLTAFVELMDHGIVSWDTFSVAF IKKIASFVNKSAIDISILQRSLAILESMVLNSHDLYQKVAQEITIGQLIPHLQGTDQEIQ TYTIAVINALFLKAPDERRQEMANILAQKQLRYIILTHVIRAQRAINNEMAHQLYVLQVL TFNLLEDRMMTKMDPQDQAQRDIIFELRRIAFDAESEPNNSSGSMEKRKSMYTRDYKKLG FINHVNPAMDFTQTPPGMLALDNMLYFAKHHQDAYIRIVLENSSREDKHECPFGRSSIEL TKMLCEILKVGELPSETCNDFHPMFFTHDRSFEEFFCICIQLLNKTWKEMRATSEDFNKV MQVVKEQVMRALTTKPSSLDQFKSKLQNLSYTEILKIRQSERMNQEDFQSRPILELKEKI QPEILELIKQQRLNRLVEGTCFRKLNARRRQDKFWYCRLSPNHKVLHYGDLEESPQGEVP HDSLQDKLPVADIKAVVTGKDCPHMKEKGALKQNKEVLELAFSILYDSNCQLNFIAPDKH EYCIWTDGLNALLGKDMMSDLTRNDLDTLLSMEIKLRLLDLENIQIPDAPPPIPKEPSNY DFVYDCN >ENSMUSP00000134894.1 pep:known chromosome:GRCm38:14:54872681:54877550:-1 gene:ENSMUSG00000072494.7 transcript:ENSMUST00000177403.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r3e description:protein phosphatase 1, regulatory (inhibitor) subunit 3E [Source:MGI Symbol;Acc:MGI:2145790] MSHERPPRTDIPRNLSFIAALTERAYYRSQRPSLEEESEEEPGEGGTRPGARSRAHVPGR GRRARSAPAGGGGARTARSRSPDTRKRVRFADALGLELAVVRRFRPGEPPRVPRHVQVQL QRDALRHFAPCPPRARGLQEARVALEPALEPGFAARLQAQRICLERADAGPLGVAGSARV LDLAYEKRVSVRWSADGWRSLRESPASYAGPAPSPPRADRFAFRLPAPPVGGTLLFALRY RVTGREFWDNNGGRDYALLGPEHPAGAGAAEPQGWIHFI >ENSMUSP00000134915.1 pep:known chromosome:GRCm38:14:54875597:54877538:-1 gene:ENSMUSG00000072494.7 transcript:ENSMUST00000168622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r3e description:protein phosphatase 1, regulatory (inhibitor) subunit 3E [Source:MGI Symbol;Acc:MGI:2145790] MSHERPPRTDIPRNLSFIAALTERAYYRSQRPSLEEESEEEPGEGGTRPGARSRAHVPGR GRRARSAPAGGGGARTARSRSPDTRKRVRFADALGLELAVVRRFRPGEPPRVPRHVQVQL QRDALRHFAPCPPRARGLQEARVALEPALEPGFAARLQAQRICLERADAGPLGVAGSARV LDLAYEKRVSVRWSADGWRSLRESPASYAGPAPSPPRADRFAFRLPAPPVGGTLLFALRY RVTGREFWDNNGGRDYALLGPEHPAGAGAAEPQGWIHFI >ENSMUSP00000118582.1 pep:known chromosome:GRCm38:17:28331671:28350346:-1 gene:ENSMUSG00000002249.17 transcript:ENSMUST00000154873.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead3 description:TEA domain family member 3 [Source:MGI Symbol;Acc:MGI:109241] MYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARKKVREYQVGIKAMNLDQVSKDKALQS MASMSSAQIVSASVLQNKFSPPSPLPQAVFSSSSRFWSSPPLLGQQPGPSQDIKPFAQPA YPIQPPLPPALNSYESLAPLPPAAASATASAPAWQDRTIASSRLRLLEYSAFMEVQRDPD TYSKHLFVHIGQTNPAFSDPPLEAVDVRQIYDKFPEKKGGLKELYEKGPPNAFFLVKFWA DLNSTIQEGPGAFYGVSSQYSSADSMTISVSTKVCSFGKQVVEKVETEYARLENGRFVYR IHRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILQVVTSRDSQETLLVIAFVFEVSTS EHGAQHHVYKLVKD >ENSMUSP00000110447.1 pep:known chromosome:GRCm38:17:28331675:28350600:-1 gene:ENSMUSG00000002249.17 transcript:ENSMUST00000114799.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead3 description:TEA domain family member 3 [Source:MGI Symbol;Acc:MGI:109241] MQLGGLARRGSGHPGGSGPEPKATSTIASNSWTANSSPGEAREDGSEGLDKGLDNDAEGV WSPDIEQSFQEALAIYPPCGRRKIILSDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHI QVLARKKVREYQVGIKAMNLDQVSKDKALQSMASMSSAQIVSASVLQNKFSPPSPLPQAV FSSSSRFWSSPPLLGQQPGPSQDIKPFAQPAYPIQPPLPPALNSYESLAPLPPAAASATA SAPAWQDRTIASSRLRLLEYSAFMEVQRDPDTYSKHLFVHIGQTNPAFSDPPLEAVDVRQ IYDKFPEKKGGLKELYEKGPPNAFFLVKFWADLNSTIQEGPGAFYGVSSQYSSADSMTIS VSTKVCSFGKQVVEKVETEYARLENGRFVYRIHRSPMCEYMINFIHKLKHLPEKYMMNSV LENFTILQVVTSRDSQETLLVIAFVFEVSTSEHGAQHHVYKLVKD >ENSMUSP00000115443.1 pep:known chromosome:GRCm38:17:28331714:28350805:-1 gene:ENSMUSG00000002249.17 transcript:ENSMUST00000156862.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead3 description:TEA domain family member 3 [Source:MGI Symbol;Acc:MGI:109241] MYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARKKVREYQVGIKAMNLDQVSKDKALQS MASMSSAQIVSASVLQNKFSPPSPLPQAVFSSSSRFWSSPPLLGQQPGPSQDIKPFAQPA YPIQPPLPPALNSYESLAPLPPAAASATASAPAWQDRTIASSRLRLLEYSAFMEVQRDPD TYSKHLFVHIGQTNPAFSDPPLEAVDVRQIYDKFPEKKGGLKELYEKGPPNAFFLVKFWA DLNSTIQEGPGAFYGVSSQYSSADSMTISVSTKVCSFGKQVVEKVETEYARLENGRFVYR IHRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILQVVTSRDSQETLLVIAFVFEVSTS EHGAQHHVYKLVKD >ENSMUSP00000079410.7 pep:known chromosome:GRCm38:17:28331671:28350805:-1 gene:ENSMUSG00000002249.17 transcript:ENSMUST00000080572.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tead3 description:TEA domain family member 3 [Source:MGI Symbol;Acc:MGI:109241] IASNSWTANSSPGEAREDGSEGLDKGLDNDAEGVWSPDIEQSFQEALAIYPPCGRRKIIL SDEGKMYGRNELIARYIKLRTGKTRTRKQVSSHIQVLARKKVREYQVGIKAMNLDQVSKD KALQSMASMSSAQIVSASVLQNKFSPPSPLPQAVFSSSSRFWSSPPLLGQQPGPSQDIKP FAQPAYPIQPPLPPALNSYESLAPLPPAAASATASAPAWQDRTIASSRLRLLEYSAFMEV QRDPDTYSKHLFVHIGQTNPAFSDPPLEAVDVRQIYDKFPEKKGGLKELYEKGPPNAFFL VKFWADLNSTIQEGPGAFYGVSSQYSSADSMTISVSTKVCSFGKQVVEKVETEYARLENG RFVYRIHRSPMCEYMINFIHKLKHLPEKYMMNSVLENFTILQVVTSRDSQETLLVIAFVF EVSTSEHGAQHHVYKLVKD >ENSMUSP00000139883.1 pep:known chromosome:GRCm38:Y:18089116:18091556:1 gene:ENSMUSG00000095267.2 transcript:ENSMUST00000186035.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssty1 description:spermiogenesis specific transcript on the Y 1 [Source:MGI Symbol;Acc:MGI:1314663] MSSLMKKRRRKSSSNTLRNIVSCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYVLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFERKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000137527.1 pep:known chromosome:GRCm38:Y:18090734:18091432:1 gene:ENSMUSG00000095267.2 transcript:ENSMUST00000180056.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ssty1 description:spermiogenesis specific transcript on the Y 1 [Source:MGI Symbol;Acc:MGI:1314663] MSSLMKKRRRKSSSNTLRNIVSCRISHSWKEGNEPVTQWKAIVLDQLPTNPSLYLVKYDG IDSIYVLELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFERKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPALYAYQLLDDYKEGNLHMIPDTPPAEERSGDDSDVLIGN WVEYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000033509.8 pep:known chromosome:GRCm38:X:8185329:8193512:-1 gene:ENSMUSG00000031168.14 transcript:ENSMUST00000033509.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebp description:phenylalkylamine Ca2+ antagonist (emopamil) binding protein [Source:MGI Symbol;Acc:MGI:107822] MTTNTVPLHPYWPRHLKLDNFVPNDLPTSHILVGLFSISGGLIVITWLLSSRASVVPLGA GRRLALCWFAVCTFIHLVIEGWFSLYNGILLEDQAFLSQLWKEYSKGDSRYILSDSFVVC METVTACLWGPLSLWVVIAFLRQQPFRFVLQLVVSMGQIYGDVLYFLTELHEGLQHGEIG HPVYFWFYFVFLNAVWLVIPSILVLDAIKHLTSAQSVLDSKVMKIKSKHN >ENSMUSP00000116845.1 pep:known chromosome:GRCm38:X:8186748:8193400:-1 gene:ENSMUSG00000031168.14 transcript:ENSMUST00000143223.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ebp description:phenylalkylamine Ca2+ antagonist (emopamil) binding protein [Source:MGI Symbol;Acc:MGI:107822] MTTNTVPLHPYWPRHLKLDNFVPNDLPTSHILVGLFSISGGLIVITWLLSSRASVVPLGA GRRLALCWFAVCTFIHLVIEGWFSLYNGILLEDQAFLSQLWKEYSKGDSRYILSDSFVVC M >ENSMUSP00000046325.3 pep:known chromosome:GRCm38:3:92932979:92934097:1 gene:ENSMUSG00000042031.4 transcript:ENSMUST00000046234.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce3b description:late cornified envelope 3B [Source:MGI Symbol;Acc:MGI:1913594] MSCQQSQKQCQPPPKCPSPKCSPKCPRKSTAQCLPAASSCCATNSGGCSLPRSEGGCCLS HHRRRSHRCRRRSSSSCDRGSGQQSGGSGCGHSSGDCC >ENSMUSP00000105964.2 pep:known chromosome:GRCm38:13:27849344:27857793:1 gene:ENSMUSG00000062551.12 transcript:ENSMUST00000110335.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2c1 description:Prolactin family 2, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:3649927] MQLSLTQPSSWILLLLLMTNLLLWKNAASVPMCAMRNARCFTFFEDTFELAGSLSHNISI EVSELFTEFEKHYSKVPGLRDKSPTRCHTSFLPTPENKEQARHTHYEALLKSGEMILDAW ESPLDDLVSELSTIKNVPDKIISKVTDIKKKIDAVQKGVNSLMSTMLQNGDEEKKNPAWS RLPLLQSDNEDTRIRSSYGMISCLDNDFKKVDIYLNVLKCYMLKIDNC >ENSMUSP00000078221.4 pep:known chromosome:GRCm38:13:27849394:27857704:1 gene:ENSMUSG00000062551.12 transcript:ENSMUST00000079228.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2c1 description:Prolactin family 2, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:3649927] MQLSLTQPSSWILLLLLMTNLLLWKNAASVPMCAMRNARCFTFFEDTFELAGSLSHNISI EVSELFTEFYEALLKSGEMILDAWESPLDDLVSELSTIKNVPDKIISKVTDIKKKIDAVQ KGVNSLMSTMLQNGDEEKKNPAWSRLPLLQSDNEDTRIRSSYGMISCLDNDFKKVDIYLN VLKCYMLKIDNC >ENSMUSP00000053446.5 pep:known chromosome:GRCm38:13:27849402:27857708:1 gene:ENSMUSG00000062551.12 transcript:ENSMUST00000054932.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2c1 description:Prolactin family 2, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:3649927] MQLSLTQPSSWILLLLLMTNLLLWKNAASVPMCAMRNARCFTFFEDTFELAGSLSHNISI EVSELFTEFEKHYSKVPGLRDKSPTRCHTSFLPTPENKEQARHTHYEALLKSGEMILDAW ESPLDDLVSELSTIKNVPDKIISKVTDIKKKIDAVQKGVNSLMSTMNGDEEKKNPAWSRL PLLQSDNEDTRIRSSYGMISCLDNDFKKVDIYLNVLKCYMLKIDNC >ENSMUSP00000097250.2 pep:known chromosome:GRCm38:13:27850290:27856502:1 gene:ENSMUSG00000062551.12 transcript:ENSMUST00000099658.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl2c1 description:Prolactin family 2, subfamily c, member 1 [Source:MGI Symbol;Acc:MGI:3649927] MWSEYQNIYEILNDRRNGILLLLLMTNLLLWKNAASVPMCAMRNARCFTFFEDTFELAGS LSHNISIEVSELFTEFEKHYSKVPGLRDKSPTRCHTSFLPTPENKEQARHTHYEALLKSG EMILDAWESPLD >ENSMUSP00000001451.5 pep:known chromosome:GRCm38:3:88336260:88362338:1 gene:ENSMUSG00000001415.10 transcript:ENSMUST00000001451.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Smg5 description:Smg-5 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:2447364] MSQGPPPGESSEPEAKVLHTKRLYRAVVEAVHRLDLILCNKAAYQEVFKPENVSLRNKLR ELCVKLMFLHPVDYGRKAEELLWRKVYYEVIQLIKTNKKHIHSRSTLECAYRTHLVAGIG FYQHLLLYIQSHYQLELQCCIDWTHVTDPLMGFKKPVSASGKEMDWAQMACHRCLVYLGD LSRYQNELAGVDTELLAERFYYQALSVAPQIGMPFNQLGTLAGSKYYNVEAMYCYLRCIQ SEVSFEGAYGNLKRLYDKAAKMYHQLKKSETRKLSPSKKRCKDIKRLLVNFMYLQSLLQP KSSSVDSELTSLCQSVLEDFNLCLFYLPSSPNLGLTNEDEEECESGYAFLPDLLIFQMAI ICLMGVHSLKRAGSKHYSAAIAFTLALFSHLINHVNIRLQAELEEGENPVSAFQSDGTDE PESKEALEKEEPEPEPPTVVPQADEGRKSRKHSRLSCLRRRRRHHPPKAGDDSDLSEGFE SDSSHDSAQASDGSDSGSDKSLEGRGTAFDAETDSEMNSQESRSDLEDMEDEEGTRSPAQ EPPQARSEVPDSLNGPLGPSEASIASNLQAMSTQMFQTKRCFRLAPTFSNLLLQPTTEPN SVASHRPCVNGDMDKPLEPASEDGSESEGSESSNRSCRNERSLQEKLQALMAEGLLPAVK VFLDWLRTNPDLIIVCAQSSQSLWNRLSVLLNLLPASAELQDSGLALCSEVQGLLEGCEL PDLPASLLLPEDMALRNLPPLRAAHRRFNFDADRPLLSALEESVVRICCIRSFGHFVARL QGSILQFNPEVGIFVSIAQSEQESLLQQAQAQFRMAEEEARRNRLMRDMAQLRLQLEVSQ LEGSLQQPKAQSAMSPYLIPDTQALCYHLPLIRQLATSGRFIIIIPRTVIDGLDLLKKEQ PGARDGIRYLEAEFKKGNRYIRCQKEVGKSFERHKLKRQDADAWTLYKILDSCRQLTLAQ GAGEEDPSGMVTIITGLHLDSPSALSGPMQAALQAAAHASVDVKNVLDFYRQWKEIG >ENSMUSP00000141650.1 pep:known chromosome:GRCm38:3:88342441:88350731:1 gene:ENSMUSG00000001415.10 transcript:ENSMUST00000192009.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Smg5 description:Smg-5 homolog, nonsense mediated mRNA decay factor (C. elegans) [Source:MGI Symbol;Acc:MGI:2447364] XLRELCVKLMFLHPVDYGRKAEELLWRKVYYEVIQLIKTNKKHIHSRSTLECAYRTHLVA GIGFYQHLLLYIQSHYQLELQCCIDWTHVTDPLMGFKKPVSASGKEMDWAQMACHRCLVY LGDLSRYQNELAGVDTELLAERFYYQALSVAPQIGMPFNQLGTLAGSKYYNVEAMYCYLR >ENSMUSP00000035456.5 pep:known chromosome:GRCm38:10:40223246:40247002:-1 gene:ENSMUSG00000038510.12 transcript:ENSMUST00000045114.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpf2 description:ribosome production factor 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914489] MLIKGGNANATVTQVLRDMYALKKPYGVLYKKKNITRPFEDQTSLEFFSKKSDCSLFMFG SHNKKRPNNLVIGRMYDYHVLDMIELGIEKFVSLKDIKTSKCPEGTKPMLIFAGDDFDVT EDFRRLKNLLIDFFRGPTVSNVRLAGLEYVLHFTALNGKVYFRSYKLLLKKSGCRTPRIE LEEMGPSLDLVMRRTHLASDDLYKLSMKVPKALKPKKRKNISQDTFGTTFGRIHMQKQDL SKLQTRKMKGLKKRPAENGVDDQGKKSKRIKKN >ENSMUSP00000138581.1 pep:known chromosome:GRCm38:10:40223427:40247036:-1 gene:ENSMUSG00000038510.12 transcript:ENSMUST00000183309.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpf2 description:ribosome production factor 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914489] MDALDKVLKPKTKRAKRFLEKREPKLTENIKNAMLIKGGNANATVTQVLRDMYALKKPYG VLYKKKNITRPFEDQTSLEFFSKKSDCSLFMFGSHNKKRPNNLVIGRMYDYHVLDMIELG IEKFVSLKDIKTSKCPEGTKPMLIFAGDDFDVTEDFRRLKNLLIDFFRGPTVSNVRLAGL EYVLHFTALNGKVYFRSYKLLLKKSGCRTPRIELEEMGPSLDLVMRRTHLASDDLYKLSM KVPKALKPKKRKNISQDTFGTTFGRIHMQKQDLSKLQTRKMKGLKKRPAENGVDDQGKKS KRIKKN >ENSMUSP00000138425.1 pep:known chromosome:GRCm38:10:40223813:40247003:-1 gene:ENSMUSG00000038510.12 transcript:ENSMUST00000181995.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpf2 description:ribosome production factor 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914489] MDALDKVLKPKTKRAKRFLEKREPKLTENIKNAMLIKGGNANATVTQVLRDMEFFSKKSD CSLFMFGSHNKKRPNNLVIGRMYDYHVLDMIELGIEKFVSLKDIKTSKCPEGTKPMLIFA GDDFDVTEDFRRLKNLLIDFFRGPTVSNVRLAGLEYVLHFTALNGKVYFRSYKLLLKKSG CRTPRIELEEMGPSLDLVMRRTHLASDDLYKLSMKVPKALKPKKRKNISQDTFGTTFGRI HMQKQDLSKLQTRKMKG >ENSMUSP00000138646.1 pep:known chromosome:GRCm38:10:40223888:40247027:-1 gene:ENSMUSG00000038510.12 transcript:ENSMUST00000183052.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpf2 description:ribosome production factor 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914489] MDALDKVLKPKTKRAKRFLEKREPKLTENIKNAMLIKGGNANATVTQVLRDMYALKKPYG VLYKKKNITRPFEDQTSLTSKCPEGTKPMLIFAGDDFDVTEDFRRLKNLLIDFFRGPTVS NVRLAGLEYVLHFTALNGKVYFRSYKLLLKKSGCRTPRIELEEMGPSLDLVMRRTHLASD DLYKLSMKVPKALKPKKRKNISQDT >ENSMUSP00000138750.1 pep:known chromosome:GRCm38:10:40225340:40246993:-1 gene:ENSMUSG00000038510.12 transcript:ENSMUST00000183114.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpf2 description:ribosome production factor 2 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1914489] MDALDKVLKPKTKRAKRFLEKREPKLTENIKNAMLIKGGNANATVTQVLRDMTSKCPEGT KPMLIFAGDDFDVTEDFRRLKNLLIDFFRGPTVSNVRLAGLEYVLHFTALNGKVYFRSYK LLLKKSGCRTPRIELEEMGPSLDLVMRRTHLAS >ENSMUSP00000120115.1 pep:known chromosome:GRCm38:17:33646233:33686860:-1 gene:ENSMUSG00000059208.14 transcript:ENSMUST00000148178.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpm description:heterogeneous nuclear ribonucleoprotein M [Source:MGI Symbol;Acc:MGI:1926465] MAAGVEAAAEVAATEPKMEEESGAPCVPSGNGAPGPKGEERPTQNEKRKEKNIKRGGNRF EPYSNPTKRYRAFITNIPFDVKWQSLKDLVKEKVGEVTYVELLMDAEGKSRGCAVVEFKM EESMKKAAEVLNKHSLSGRPLKVKEDPDGEHARRAMQKVMATTGGMGMGPGGPGMINIPP SILNNPNIPNEIIHALQAGRLGSTVFVANLDYKVGWKKLKEVFSMAGVVVRADILEDKDG KSRGIGIVTFEQSIEAVQAISMFNGQLLFDRPMHVKMDERALPKGDFFPPERPQQLPHGL GGIGMGLGPGGQPIDANHLSKGIGMGNLGPAGMGMEGIGFGINKIGGMEGPFGGGMENMG RFGSGMNMGRINEILSNALKRGEIIAKQGGGGAGGSVPGIERMGPGIDRISGAGMERMGA GLGHGMDRVGSEIERMGLVMDRMGSVERMGSSIERMGPLGLDHMASSIERMGQTMERIGS GVERMGAGMGFGLERMAAPIDRVGQTIERMGSGVERMGPAIERMGLSMDRMVPTGMGASL ERMGPVMDRMATGLERMGANNLERMGLERMGANSLERMGLERMGANSLERMGPAMGPALG AGIERMGLAMGGAGGASFDRAIEMERGNFGGSFAGSFGGAGGHAPGVARKACQIFVRNLP FDFTWKMLKDKFNECGHVLYADIKMENGKSKGCGVVKFESPEVAERACRMMNGMKLSGRE IDVRIDRNA >ENSMUSP00000110027.2 pep:known chromosome:GRCm38:17:33646235:33685392:-1 gene:ENSMUSG00000059208.14 transcript:ENSMUST00000114385.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpm description:heterogeneous nuclear ribonucleoprotein M [Source:MGI Symbol;Acc:MGI:1926465] MAAGVEAAAEVAATEPKMEEESGAPCVPSGNGAPGPKGEERPTQNEKRKEKNIKRGGNRF EPYSNPTKRYRAFITNIPFDVKWQSLKDLVKEKVGEVTYVELLMDAEGKSRGCAVVEFKM EESMKKAAEVLNKHSLSGRPLKVKEDPDGEHARRAMQKVMATTGGMGMGPGGPGMINIPP SILNNPNIPNEIIHALQAGRLGSTVFVANLDYKVGWKKLKEVFSMAGVVVRADILEDKDG KSRGIGIVTFEQSIEAVQAISMFNGQLLFDRPMHVKMDERALPKGDFFPPERPQQLPHGL GGIGMGLGPGGQPIDANHLSKGIGMGNLGPAGMGMEGIGFGINKIGGMEGPFGGGMENMG RFGSGMNMGRINEILSNALKRGEIIAKQGGGGAGGSVPGIERMGPGIDRISGAGMERMGA GLGHGMDRVGSEIERMGLVMDRMGSVERMGSSIERMGPLGLDHMASSIERMGQTMERIGS GVERMGAGMGFGLERMAAPIDRVGQTIERMGSGVERMGPAIERMGLSMDRMVPTGMGASL ERMGPVMDRMATGLERMGANNLERMGLERMGANSLERMGLERMGANSLERMGPAMGPALG AGIERMGLAMGGAGGASFDRAIEMERGNFGGSFAGSFGGAGGHAPGVARKACQIFVRNLP FDFTWKMLKDKFNECGDLD >ENSMUSP00000115787.1 pep:known chromosome:GRCm38:17:33646236:33685388:-1 gene:ENSMUSG00000059208.14 transcript:ENSMUST00000139302.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpm description:heterogeneous nuclear ribonucleoprotein M [Source:MGI Symbol;Acc:MGI:1926465] MAAGVEAAAEVAATEPKMEEESGAPCVPSGNGAPGPKGEERPTQNEKRKEKNIKRGGNRF EPYSNPTKRYRAFITNIPFDVKWQSLKDLVKEKVGEVTYVELLMDAEGKSRGCAVVEFKM EESMKKAAEVLNKHSLSGRPLKVKEDPDGEHARRAMQKAGRLGSTVFVANLDYKVGWKKL KEVFSMAGVVVRADILEDKDGKSRGIGIVTFEQSIEAVQAISMFNGQLLFDRPMHVKMDE RALPKGDFFPPERPQQLPHGLGGIGMGLGPGGQPIDANHLSKGIGMGNLGPAGMGMEGIG FGINKIGGMEGPFGGGMENMGRFGSGMNMGRINEILSNALKRGEIIAKQGGGGAGGSVPG IERMGPGIDRISGAGMERMGAGLGHGMDRVGSEIERMGLVMDRMGSVERMGSSIERMGPL GLDHMASSIERMGQTMERIGSGVERMGAGMGFGLERMAAPIDRVGQTIERMGSGVERMGP AIERMGLSMDRMVPTGMGASLERMGPVMDRMATGLERMGANNLERMGLERMGANSLERMG LERMGANSLERMGPAMGPALGAGIERMGLAMGGAGGASFDRAIEMERGNFGGSFAGSFGG AGGHAPGVARKACQIFVRNLPFDFTWKMLKDKFNECGDLD >ENSMUSP00000116671.1 pep:known chromosome:GRCm38:17:33646239:33660250:-1 gene:ENSMUSG00000059208.14 transcript:ENSMUST00000130946.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpm description:heterogeneous nuclear ribonucleoprotein M [Source:MGI Symbol;Acc:MGI:1926465] XSKGIGMGNLGPAGMGMEGIGFGINKIGGMEGPFGGGMENMGRFGSGMNMGRINAPI >ENSMUSP00000084864.5 pep:known chromosome:GRCm38:17:33646239:33685388:-1 gene:ENSMUSG00000059208.14 transcript:ENSMUST00000087582.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpm description:heterogeneous nuclear ribonucleoprotein M [Source:MGI Symbol;Acc:MGI:1926465] MAAGVEAAAEVAATEPKMEEESGAPCVPSGNGAPGPKGEERPTQNEKRKEKNIKRGGNRF EPYSNPTKRYRAFITNIPFDVKWQSLKDLVKEKVGEVTYVELLMDAEGKSRGCAVVEFKM EESMKKAAEVLNKHSLSGRPLKVKEDPDGEHARRAMQKAGRLGSTVFVANLDYKVGWKKL KEVFSMAGVVVRADILEDKDGKSRGIGIVTFEQSIEAVQAISMFNGQLLFDRPMHVKMDE RALPKGDFFPPERPQQLPHGLGGIGMGLGPGGQPIDANHLSKGIGMGNLGPAGMGMEGIG FGINKIGGMEGPFGGGMENMGRFGSGMNMGRINEILSNALKRGEIIAKQGGGGAGGSVPG IERMGPGIDRISGAGMERMGAGLGHGMDRVGSEIERMGLVMDRMGSVERMGSSIERMGPL GLDHMASSIERMGQTMERIGSGVERMGAGMGFGLERMAAPIDRVGQTIERMGSGVERMGP AIERMGLSMDRMVPTGMGASLERMGPVMDRMATGLERMGANNLERMGLERMGANSLERMG LERMGANSLERMGPAMGPALGAGIERMGLAMGGAGGASFDRAIEMERGNFGGSFAGSFGG AGGHAPGVARKACQIFVRNLPFDFTWKMLKDKFNECGHVLYADIKMENGKSKGCGVVKFE SPEVAERACRMMNGMKLSGREIDVRIDRNA >ENSMUSP00000123580.1 pep:known chromosome:GRCm38:17:33650260:33666570:-1 gene:ENSMUSG00000059208.14 transcript:ENSMUST00000148258.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hnrnpm description:heterogeneous nuclear ribonucleoprotein M [Source:MGI Symbol;Acc:MGI:1926465] XNPNIPNEIIHALQAGRLGSTVFVANLDYKVGWKKLKEVFSMAGVVVRADILEDKDGKSR GIGIVTFEQSIEAVQAISMFNGQLLFDRPMHVKMDERALPKGDFFPPERPQQLPHGLGGI GMGLGPGGQPIDANHLSKGIGMGNLGPAGMGMEGMEGPFGGGMENMGRFGSGMNMGRINE ILSNALKRGEIIAKQGGGGAGGSVPGIERMGPGIDRISGAGMERMGAGLGHGMDRVGSEI ERM >ENSMUSP00000055861.4 pep:known chromosome:GRCm38:7:10273828:10275351:-1 gene:ENSMUSG00000043066.4 transcript:ENSMUST00000060374.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r66 description:vomeronasal 1 receptor 66 [Source:MGI Symbol;Acc:MGI:2159633] MPNHKIDLGNLSIKIIFLLQTTVGILGNFYLLILHLVYYAEYTLKHTALILMHLWGANAL IVLSTGVPYTMAAFGLKQFLNDFGCRLSLYIQRLGRSVSIGTTCFLSVFQAITISHRESC CKDQKAKVAKYIGFSIFLLWVLSIFIHFIFFLEIFLKRNSKNMTRKLDFEYCSYVNHFEI NHSVYAALVMCPEIFFSVVIAWSSGSMTVILYRHKKRVQHIHSSQVSRRNSPESRAIQTI LSLVFFFLAFYTLSSILRGYIALVHNPSWCLVMVNRLTSLCFPSFGPFVLINNYSVRPRL SLVWMRNINP >ENSMUSP00000067576.5 pep:known chromosome:GRCm38:19:30567551:31765033:-1 gene:ENSMUSG00000052920.14 transcript:ENSMUST00000065067.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkg1 description:protein kinase, cGMP-dependent, type I [Source:MGI Symbol;Acc:MGI:108174] MSELEEDFAKILMLKEERIKELEKRLSEKEEEIQELKRKLHKCQSVLPVPSTHIGPRTTR AQGISAEPQTYRSFHDLRQAFRKFTKSERSKDLIKEAILDNDFMKNLELSQIQEIVDCMY PVEYGKDSCIIKEGDVGSLVYVMEDGKVEVTKEGVKLCTMGPGKVFGELAILYNCTRTAT VKTLVNVKLWAIDRQCFQTIMMRTGLIKHTEYMEFLKSVPTFQSLPDEILSKLADVLEET HYENGEYIIRQGARGDTFFIISKGQVNVTREDSPSEDPVFLRTLGKGDWFGEKALQGEDV RTANVIAAEAVTCLVIDRDSFKHLIGGLDDVSNKAYEDAEAKAKYEAEAAFFANLKLSDF NIIDTLGVGGFGRVELVQLKSEESKTFAMKILKKRHIVDTRQQEHIRSEKQIMQGAHSDF IVRLYRTFKDSKYLYMLMEACLGGELWTILRDRGSFEDSTTRFYTACVVEAFAYLHSKGI IYRDLKPENLILDHRGYAKLVDFGFAKKIGFGKKTWTFCGTPEYVAPEIILNKGHDISAD YWSLGILMYELLTGSPPFSGPDPMKTYNIILRGIDMIEFPKKIAKNAANLIKKLCRDNPS ERLGNLKNGVKDIQKHKWFEGFNWEGLRKGTLTPPIIPSVASPTDTSNFDSFPEDSDEPP PDDNSGWDIDF >ENSMUSP00000073268.4 pep:known chromosome:GRCm38:19:30568547:31664370:-1 gene:ENSMUSG00000052920.14 transcript:ENSMUST00000073581.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkg1 description:protein kinase, cGMP-dependent, type I [Source:MGI Symbol;Acc:MGI:108174] MGTLRDLQYALQEKIEELRQRDALIDELELELDQKDELIQKLQNELDKYRSVIRPATQQA QKQSASTLQGEPRTKRQAISAEPTAFDIQDLSHVTLPFYPKSPQSKDLIKEAILDNDFMK NLELSQIQEIVDCMYPVEYGKDSCIIKEGDVGSLVYVMEDGKVEVTKEGVKLCTMGPGKV FGELAILYNCTRTATVKTLVNVKLWAIDRQCFQTIMMRTGLIKHTEYMEFLKSVPTFQSL PDEILSKLADVLEETHYENGEYIIRQGARGDTFFIISKGQVNVTREDSPSEDPVFLRTLG KGDWFGEKALQGEDVRTANVIAAEAVTCLVIDRDSFKHLIGGLDDVSNKAYEDAEAKAKY EAEAAFFANLKLSDFNIIDTLGVGGFGRVELVQLKSEESKTFAMKILKKRHIVDTRQQEH IRSEKQIMQGAHSDFIVRLYRTFKDSKYLYMLMEACLGGELWTILRDRGSFEDSTTRFYT ACVVEAFAYLHSKGIIYRDLKPENLILDHRGYAKLVDFGFAKKIGFGKKTWTFCGTPEYV APEIILNKGHDISADYWSLGILMYELLTGSPPFSGPDPMKTYNIILRGIDMIEFPKKIAK NAANLIKKLCRDNPSERLGNLKNGVKDIQKHKWFEGFNWEGLRKGTLTPPIIPSVASPTD TSNFDSFPEDSDEPPPDDNSGWDIDF >ENSMUSP00000138208.1 pep:known chromosome:GRCm38:19:31742114:31764973:-1 gene:ENSMUSG00000052920.14 transcript:ENSMUST00000182685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkg1 description:protein kinase, cGMP-dependent, type I [Source:MGI Symbol;Acc:MGI:108174] MSELEEDFAKILMLKEERIKELEKRLSEKEEEIQELKRKLHKCQSVLPVPSTHIGPRTTR AQGISAEPQTYRSFHDLRQAFRKFTKSERSQGEVGLGGLRVQMCL >ENSMUSP00000095843.3 pep:known chromosome:GRCm38:4:20042052:20066750:1 gene:ENSMUSG00000073987.4 transcript:ENSMUST00000098242.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggh description:gamma-glutamyl hydrolase [Source:MGI Symbol;Acc:MGI:1329035] MANLGYLLCLLGLLLCGLSSPGMSRPYNHGSERPIIGVVMQECFGKMAKLGNYYIAASYV KYIESAGARVVPIRPDLSDAEYEELFRSINGVLLPGGGANLTDSGYSRVAKIFFSKALES FDNGDHFPVWGTCLGFEELSVLVSGENLLTSTDTKSKKLPLNFTEGARKSRMFKHFPTEL LDSLALENLTANFHKWSLSVKNFTENEKLKKFFNILTTNTDGKTEFISSMEGFKYPVYAV QWHPEKAAFEWKNLGGISHAPNAVKTSFYLAEFLVSEARKNSHHFENVVKETASLIYKFN PIYTGNISSFQQAYMFD >ENSMUSP00000049054.4 pep:known chromosome:GRCm38:6:14713977:14755274:-1 gene:ENSMUSG00000042717.5 transcript:ENSMUST00000045096.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1r3a description:protein phosphatase 1, regulatory (inhibitor) subunit 3A [Source:MGI Symbol;Acc:MGI:2153588] MEPAEEPGQISKDNFLEVPNLSDSVCEDEEVKATFKPGFSPQPSRRGSGSSEDMYLDTPT SASRRVSFADSLGFSLVSVKEFDCWELPSVSTDFDLSGDVFHTDEYVLSPLFDLPSSKEK LMEQLQVQKAVLESAEHLPGSSMKGIIRVLNISFEKLVYVRMSLDDWQTHYDILAEYVPN SCDGETDQFSFKISLVPPYQKEGGKVEFCIRYETSAGTFWSNNNGTNYILVCQKKRKEPE PVKPLEEAPSRQIKGCLKVKSRSKEEPLLAPEENKFETLKFTESYIPTIICSHEDKDDLG ANHPNVDDINKKHDEHNGKELDLMINQRLITSQDEKNTFATDTVNFTNKAEGSEKKQAYH EINTDLFMGPLSPSLSAESSLKRDFYHSRSSSPGNEYGHPHSEEIISDMGEKGPSLGDTS SDELMQLELCSKEDLDDNANPANGSGRVCSSFDQRMACGLKNNEAGIKKTGIQDYKYSHG DSTKLEESNASSRDDYAKVDNKKEKQTCLGVNENPSKNFQSVFQTQEGHMGYPKISTEGD KANNQDLTSLLSKDITANTWAVTVDPCPSTNAKRSWREVGSGSNLEPGTSDLSSPRNFSP LTDDHLFQADRENSDSSNPENQNMNTRHRKKWNVLETQSETSETESDIAKHTKEQAEYKD MWEKTDNSRNLKATPTEHLFTCRETECYGLSSLADHGITEKAQAVTAYIIKTTLESTPES ASARGKAIIAKLPQETAGNDRPIEVKETAFDPHEGRKDDSHYSLCHGDTAGVIHDNDFER ESHLDICNLRVDEMKKEKTTSTCFPQKTYDKEKHGIGSVTSIDEPSQVITGNQKATSKLD LHLGVLPTDRAIFQANADLELLQELSRRTDFNAVPSAFNSDTASASRDSSQVYRHCSKKS VPSYGEEKAVTNTTLQSIPTKSEYNWHPESEVLGHAMSKPEDVFKSSEIMKSGSGGERGG GPILQQKEGSLENSQGPMFFTNEPLENLDEASSENEGLMHSGQSQCYLGDKGLVSSASAT VSTQELEAQGRESLLSISTNSKIPYFLLFLIFLATVYYYDLMIGLAFYLFSLYWLYWEGG RQRESVKKK >ENSMUSP00000095574.2 pep:known chromosome:GRCm38:4:99715665:99763460:1 gene:ENSMUSG00000073792.11 transcript:ENSMUST00000097961.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg6 description:asparagine-linked glycosylation 6 (alpha-1,3,-glucosyltransferase) [Source:MGI Symbol;Acc:MGI:2444031] MESWPWMAVVVLLGLTVRWTVSLSSYSGAGKPPMFGDYEAQRHWQEITLNLPVKQWYFNS SDNNLLYWGLDYPPLTAYHSLLCAYVAKFINPDWVALHTSRGYESQAHKLFMRATVLAAD LLIYVPAVLLYCYSLKEISPKRKIASALCILLYPGLILIDYGHFQYNSVSLGFALWGVLG VSWDWDLLGSLAFCLALNYKQMELYHSLPFFCFLLGKCFKKGLKGKGLALFIRIACTVLA SFLLCWLPFLTEREHALQVVRRLFPVDRGLFEDKVANIWCSVNVFLKIKDTLPRHIQIAI SFCFTLLSLLPACIKLTVRPSCKGFRFTLVSCALSFFLFSFQVHEKSILLVSLPVCLVLT EIPFMSTWFLLVSTFSMLPLLLKDELLLPSVVTVMAFVIACGTFFPMLENTSEEQLQLKS FAVSVRRHLPGFTFLPRIMQCLFLSSVITMVLLTILSVTLDPPQKLPDLFPVLICFVSCV NFVFFLVYFNIVIMWDSKNGRNRKKIE >ENSMUSP00000102617.2 pep:known chromosome:GRCm38:4:99715676:99752885:1 gene:ENSMUSG00000073792.11 transcript:ENSMUST00000107004.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg6 description:asparagine-linked glycosylation 6 (alpha-1,3,-glucosyltransferase) [Source:MGI Symbol;Acc:MGI:2444031] MESWPWMAVVVLLGLTVRWTVSLSSYSGAGKPPMFGDYEAQRHWQEITLNLPVKQWLALF IRIACTVLASFLLCWLPFLTEREHALQVVRRLFPVDRGLFEDKVANIWCSVNVFLKIKDT LPRHIQIAISFCFTLLSLLPACIKLTVRPSCKGFRFTLVSCALSFFLFSFQVHEKSILLV SLPVCLVLTEIPFMSTWFLLVSTF >ENSMUSP00000121980.1 pep:known chromosome:GRCm38:4:99715676:99753076:1 gene:ENSMUSG00000073792.11 transcript:ENSMUST00000139799.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Alg6 description:asparagine-linked glycosylation 6 (alpha-1,3,-glucosyltransferase) [Source:MGI Symbol;Acc:MGI:2444031] MESWPWMAVVVLLGLTVRWTVSLSSYSGAGKPPMFGDYEAQRHWQEITLNLPVKQCFCFT LLSLLPACIKLTVRPSCKGFRFTLVSCALSFFLFSFQVHEKSILLVSLPVCLVLTEIPFM STWFLLVSTFSMLPLLLKDELLLPSVVTVMAFVIACGT >ENSMUSP00000103324.1 pep:known chromosome:GRCm38:4:49521217:49531517:1 gene:ENSMUSG00000039634.12 transcript:ENSMUST00000107696.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp189 description:zinc finger protein 189 [Source:MGI Symbol;Acc:MGI:2444707] MASPSPPLEPKEEWGYLDPAPRSLYKDVMMDSYGKLVSLDVLNRNKDEEPTVKEELEKDI EPQGVIVTRIKSEIDQDPVGSETFELVGRLDKQRGIFLWEIPRDSLSEEQKLFRGHTNVR KRPTSEEKCHKCEECGKRFVRKAHFIQHQRVHTGEKPFQCNECGKSFSRSSFVIEHQRIH TGERPYECNYCGKTFSVSSTLIRHQRIHTGERPYQCNQCKQSFSQRRSLVKHQRIHTGEK PHKCSDCGKAFSWKSHLIEHQRTHTGEKPYHCTKCKKSFSRNSLLVEHQRIHTGERPHKC GECGKAFRLSTYLIQHQKIHTGEKPFLCIECGKSFSRSSFLIEHQRIHTGERPYQCQECG KSFSQLCNLTRHQRIHTGDKPHKCEECGKAFSRSSGLIQHQRIHIREKTSPFSETKESFD PNCSLVIQQEVSPKEKSYKCDDCGKTFSVSAHLVQHQRIHTGEKPYLCTVCGKSFSRSSF LIEHQRIHTGERPYLCRQCGKSFSQLCNLIRHQGVHTGNKPHKCEECGKAFSRNSGLIQH QRIHTGEKPYRCGKCDKSFSQQRSLVNHQKIHAEVKTQEIYECDACGEAFTCQISLIQHQ KLHIMWMQ >ENSMUSP00000036663.6 pep:known chromosome:GRCm38:4:49521176:49531517:1 gene:ENSMUSG00000039634.12 transcript:ENSMUST00000042964.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp189 description:zinc finger protein 189 [Source:MGI Symbol;Acc:MGI:2444707] MASPSPPLEPKEEWGYLDPAPRSLYKDVMMDSYGKLVSLDVLNRNKDEEPTVKEELEKDI EPQGVIVTRIKSEIDQDPVGSETFELVGRLDKQRGIFLWEIPRDSLSEEQKLFRGHTNVR KRPTSEEKCHKCEECGKRFVRKAHFIQHQRVHTGEKPFQCNECGKSFSRSSFVIEHQRIH TGERPYECNYCGKTFSVSSTLIRHQRIHTGERPYQCNQCKQSFSQRRSLVKHQRIHTGEK PHKCSDCGKAFSWKSHLIEHQRTHTGEKPYHCTKCKKSFSRNSLLVEHQRIHTGERPHKC GECGKAFRLSTYLIQHQKIHTGEKPFLCIECGKSFSRSSFLIEHQRIHTGERPYQCQECG KSFSQLCNLTRHQRIHTGDKPHKCEECGKAFSRSSGLIQHQRIHIREKTSPFSETKESFD PNCSLVIQQEVSPKEKSYKCDDCGKTFSVSAHLVQHQRIHTGEKPYLCTVCGKSFSRSSF LIEHQRIHTGERPYLCRQCGKSFSQLCNLIRHQGVHTGNKPHKCEECGKAFSRNSGLIQH QRIHTGEKPYRCGKCDKSFSQQRSLVNHQKIHAEVKTQEIYECDACGEAFTCQISLIQHQ KLHIMWMQ >ENSMUSP00000105448.2 pep:known chromosome:GRCm38:11:5900820:5915124:-1 gene:ENSMUSG00000041798.15 transcript:ENSMUST00000109823.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gck description:glucokinase [Source:MGI Symbol;Acc:MGI:1270854] MAVDTTRRGAQSLTLVEQILAEFQLQEEDLKKVMSRMQKEMDRGLKLETHQEASVKMLPT YVRSTPEGSEVGDFLSLDLGGTNFRVMLVKVGEGEAGQWSVKTKHQMYSIPEDAMTGTAE MLFDYISECISDFLDKHQMKHKKLPLGFTFSFPVRHEDIDKGILLNWTKGFKASGAEGNN IVGLLRDAIKRRGDFEMDVVAMVNDTVATMISCYYEDRQCEVGMIVGTGCNACYMEEMQN VELVEGDEGRMCVNTEWGAFGNSGELDEFLLEYDRMVDESSVNPGQQLYEKIIGGKYMGE LVRLVLLKLVEENLLFHGEASEQLRTRGAFETRFVSQVESDSGDRRQILNILSTLGLRPS VADCDIVRRACESVSTRAAHMCSAGLAGVINRMRESRSEDVMRITVGVDGSVYKLHPSFK ERFHASVRRLTPNCEITFIESEEGSGRGAALVSAVACKKACMLGQ >ENSMUSP00000105447.1 pep:known chromosome:GRCm38:11:5900820:5915124:-1 gene:ENSMUSG00000041798.15 transcript:ENSMUST00000109822.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gck description:glucokinase [Source:MGI Symbol;Acc:MGI:1270854] MAVDTTRRGAQSLTLVEQILAEFQLQEEDLKKVMSRMQKEMDRGLKLETHQEASVKMLPT YVRSTPEGSEVGDFLSLDLGGTNFRVMLVKVGEGEAGQWSVKTKHQMYSIPEDAMTGTAE MLFDYISECISDFLDKHQMKHKKLPLGFTFSFPVRHEDIDKGILLNWTKGFKASGAEGNN IVGLLRDAIKRRGDFEMDVVAMVNDTVATMISCYYEDRQCEVGMIVGTGCNACYMEEMQN VELVEGDEGRMCVNTEWGAFGNSGELDEFLLEYDRMVDESSVNPGQQLYEKIIGGKYMGE LVRLVLLKLVEENLLFHGEASEQLRTRGAFETRFVSQVESDSGDRRQILNILSTLGLRPS VADCDIVRRACESVSTRAAHMCSAGLAGVINRMRESRSEDVMRITVGVDGSVYKLHPSFK ERFHASVRRLTPNCEITFIESEEGSGRGAALVSAVACKKACMLGQ >ENSMUSP00000099984.3 pep:known chromosome:GRCm38:11:5900823:5950081:-1 gene:ENSMUSG00000041798.15 transcript:ENSMUST00000102920.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gck description:glucokinase [Source:MGI Symbol;Acc:MGI:1270854] MLDDRARMEATKKEKVEQILAEFQLQEEDLKKVMSRMQKEMDRGLKLETHQEASVKMLPT YVRSTPEGSEVGDFLSLDLGGTNFRVMLVKVGEGEAGQWSVKTKHQMYSIPEDAMTGTAE MLFDYISECISDFLDKHQMKHKKLPLGFTFSFPVRHEDIDKGILLNWTKGFKASGAEGNN IVGLLRDAIKRRGDFEMDVVAMVNDTVATMISCYYEDRQCEVGMIVGTGCNACYMEEMQN VELVEGDEGRMCVNTEWGAFGNSGELDEFLLEYDRMVDESSVNPGQQLYEKIIGGKYMGE LVRLVLLKLVEENLLFHGEASEQLRTRGAFETRFVSQVESDSGDRRQILNILSTLGLRPS VADCDIVRRACESVSTRAAHMCSAGLAGVINRMRESRSEDVMRITVGVDGSVYKLHPSFK ERFHASVRRLTPNCEITFIESEEGSGRGAALVSAVACKKACMLGQ >ENSMUSP00000123016.1 pep:known chromosome:GRCm38:11:5901569:5902087:-1 gene:ENSMUSG00000041798.15 transcript:ENSMUST00000125434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gck description:glucokinase [Source:MGI Symbol;Acc:MGI:1270854] XGQPPPSLALQPPGLQGRLQGRGFQLQAFVSRSCLILPGPGILNIYCSLGFKERFHASVR RLTPNCEITFIESEEGSGRGAALVSAVACKKACMLGQ >ENSMUSP00000139172.2 pep:known chromosome:GRCm38:13:3854143:3855016:1 gene:ENSMUSG00000099269.2 transcript:ENSMUST00000183198.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calm5 description:calmodulin 5 [Source:MGI Symbol;Acc:MGI:3511177] MKQLGKNLSHEELKALISKLDTDGDGKISFEEFFKSIKKYTKEQELQAMFSVLDQNGDGY ITVDELKEGLSKMGEPLSQEELEGMIHVFGADQDGKVNYEQFLSHYIETVYKVHISD >ENSMUSP00000139342.1 pep:known chromosome:GRCm38:13:3854268:3854730:1 gene:ENSMUSG00000099269.2 transcript:ENSMUST00000184271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Calm5 description:calmodulin 5 [Source:MGI Symbol;Acc:MGI:3511177] MSHGFTKEENKDGHINVQELGDVMKQLGKNLSHEELKALISKLDTDGDGKISFEEFFKSI KKYTKEQELQAMFSVLDQNGDGYITVDELKEGLSKMGEPLSQEELEGMIHVFGADQDGKV NYEQFLSHYIETVYKVHISD >ENSMUSP00000021890.4 pep:known chromosome:GRCm38:13:61195132:61203410:-1 gene:ENSMUSG00000021441.4 transcript:ENSMUST00000021890.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cts6 description:cathepsin 6 [Source:MGI Symbol;Acc:MGI:1889619] MSPTLFLAILCLGVGSGALALDPNLNAEWHDWKKQYEKSYTMEEEGLRRAIWEENMRMIK LHNWENSLGKNNFTLKMNEFGDLTPEELRKMMNNFPIWSHKKRKIIRKRAVGDVLPKFVD WRKKGYVTRVRRQKFCNSCWAFAVNGAIEGQMFKKTGKLTPLSVQNLVDCTKTQGNDGCQ WGDPYIAYEYVLNNGGLEAEATYPYEGKEGPCRYNPKNSKAEITGFVSLPESEDILMEAV ATIGPISAAVDASFNRFSFYDGGIYHQPNCSNNTVNHAVLVVGYGTEGNETDGNKYWLIK NSWGRRWGIGGYMKIIRDQNNHCGIATYAHYPIV >ENSMUSP00000104833.1 pep:known chromosome:GRCm38:11:48887422:48902152:-1 gene:ENSMUSG00000058163.13 transcript:ENSMUST00000109210.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5431 description:predicted gene 5431 [Source:MGI Symbol;Acc:MGI:3645205] MLKQKIWKESIMPRAWATIPSRGLTQKDMEMLQQTLNDYRSSFGLDEASLENIAEDLNVT LEELKANIKSPHLLSDEPDTSLTEKLLKYIGNPYFSKVFHLQNYFIDTVASDVKIILSKE ELFTEQHPPLHTATCQPSSSRPSPLMAQLLVISFENFFKNFKKESKILSEETITLIESHL EDKNLQGALSEISHALSNIDKAPLNIAVTGETGTGKSSFINALRGVRGEEEGAAPTGVVE TTMKRTPYPHPKLPNVTIWDLPGIGSTNFQPQNYLTEMKFGEYDFFIIISATRFKEIDAH LAKAIAKMNTKFYFVRTKIDQDVSNEQRSKPKSFNRDSVLKKIRDDCSGHLQKVLSSQPP VFLVSNFDVSDFDFPKLENTLLRELPAHKRHLFMMSLHSVTETAIDRKRDFLRQRIWLEA LKAGVWTTIPLGGLVRDKMQKLEETLTLYRSYFGLDEASLENIAKDFNVSVNEIKAHLRS LQLLTKNNDMSFKEKLLKYIEYISCVTGGPLASGLYFRKTYYWQSLFIDTVASDAKSLLN KEEFLSEKPGSCLSDLPEYWETGMEL >ENSMUSP00000104835.1 pep:known chromosome:GRCm38:11:48888129:48902152:-1 gene:ENSMUSG00000058163.13 transcript:ENSMUST00000109212.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5431 description:predicted gene 5431 [Source:MGI Symbol;Acc:MGI:3645205] MGQTSSSTLPPKDDPDFIASFGTNLQNFKMKTKILSQELIAFIESSLEDGNLRETVSAIS SALGGIEKAPLNIAVMGETGAGKSSLINALQGVGDDEEGAAASTGVVHTTTERTPYTYTK FPSVTLWDLPGIGSTAFQPHDYLKKIEFEEYDFFIIVSSGRFKHNDAELAKAIVQMNRSF YFVRTHTDLDLMVVKLSDPRKFNKENILEQIRNSISNILKEVTHQEPPVFLVSNFDVSDF DFPNLESTLLSQLPAYKHHMFMLTLPIVTDSTIDRKRDMLKQKIWKESIMPRAWATIPSR GLTQKDMEMLQQTLNDYRSSFGLDEASLENIAEDLNVTLEELKANIKSPHLLSDEPDTSL TEKLLKYIGNPYFSKVFHLQNYFIDTVASDVKIILSKEELFTEQHPPLHTATCQPSSSRP SPLMAQLLVISFENFFKNFKKESKILSEETITLIESHLEDKNLQGALSEISHALSNIDKA PLNIAVTGETGTGKSSFINALRGVRGEEEGAAPTGVVETTMKRTPYPHPKLPNVTIWDLP GIGSTNFQPQNYLTEMKFGEYDFFIIISATRFKEIDAHLAKAIAKMNTKFYFVRTKIDQD VSNEQRSKPKSFNRDSVLKKIRDDCSGHLQKVLSSQPPVFLVSNFDVSDFDFPKLENTLL RELPAHKRHLFMMSLHSVTETAIDRKRDFLRQRIWLEALKAGVWTTIPLGGLVRDKMQKL EETLTLYRSYFGLDEASLENIAKDFNVSVNEIKAHLRSLQLLTKNNDMSFKEKLLKYIEY ISCVTGGPLASGLYFRKTYYWQSLFIDTVASDAKSLLNKEEFLSEKPGSCLSDLPEYWET GMEL >ENSMUSP00000104832.3 pep:known chromosome:GRCm38:11:48888393:48894712:-1 gene:ENSMUSG00000058163.13 transcript:ENSMUST00000109209.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5431 description:predicted gene 5431 [Source:MGI Symbol;Acc:MGI:3645205] MLKQKIWKESIMPRAWATIPSRGLTQKDMEMLQQTLNDYRSSFGLDEASLENIAEDLNVT LEELKANIKSPHLLSDEPDTSLTEKLLKYIGNPYFSKVFHLQNYFIDTVASDVKIILSKE ELFTEQHPPLHTATCQPSSSRPSPLMAQLLVISFENFFKNFKKESKILSEETITLIESHL EDKNLQGALSEISHALSNIDKAPLNIAVTGETGTGKSSFINALRGVRGEEEGAAPTGVVE TTMKRTPYPHPKLPNVTIWDLPGIGSTNFQPQNYLTEMKFGEYDFFIIISATRFKEIDAH LAKAIAKMNTKFYFVRTKIDQDVSNEQRSKPKSFNRDSVLKKIRDDCSGHLQKVLSSQPP VFLVSNFDVSDFDFPKLENTLLRELPAHKRHLFMMSLHSVTETAIDRKRDFLRQRIWLEA LKAGVWTTIPLGGLVRDKMQKLEETLTLYRSYFGLDEASLENIAKDFNVSVNEIKAHLRS LQLLTKNNDMSFKEKLLKYIEYISCVTGGPLASGLYFRKTYYWQSLFIDTVASDAKSLLN KEEFLSEKPGSCLSDLPEYWETGMEL >ENSMUSP00000105271.1 pep:known chromosome:GRCm38:11:16500530:16508484:-1 gene:ENSMUSG00000078974.10 transcript:ENSMUST00000109643.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61g description:SEC61, gamma subunit [Source:MGI Symbol;Acc:MGI:1202066] MDQVMQFVEPSRQFVKDSIRLVKRCTKPDRKEFQKIAMATAIGFAIMGFIGFFVKLIHIP INNIIVGG >ENSMUSP00000105270.1 pep:known chromosome:GRCm38:11:16501387:16508166:-1 gene:ENSMUSG00000078974.10 transcript:ENSMUST00000109642.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61g description:SEC61, gamma subunit [Source:MGI Symbol;Acc:MGI:1202066] MDQVMQFVEPSRQFVKDSIRLVKRCTKPDRKEFQKIAMATAIGFAIMGFIGFFVKLIHIP INNIIVGG >ENSMUSP00000105269.1 pep:known chromosome:GRCm38:11:16501651:16508069:-1 gene:ENSMUSG00000078974.10 transcript:ENSMUST00000109641.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61g description:SEC61, gamma subunit [Source:MGI Symbol;Acc:MGI:1202066] MDQVMQFVEPSRQFVKDSIRLVKRCTKPDRKEFQKIAMATAIGFAIMGFIGFFVKLIHIP INNIIVGG >ENSMUSP00000132348.1 pep:known chromosome:GRCm38:11:16501638:16508484:-1 gene:ENSMUSG00000078974.10 transcript:ENSMUST00000166950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61g description:SEC61, gamma subunit [Source:MGI Symbol;Acc:MGI:1202066] MGGLWVASFRFRWARFSPELRVSTLSIRHPTNMDQVMQFVEPSRQFVKDSIRLVKRCTKP DRKEFQKIAMATAIGFAIMGFIGFFVKLIHIPINNIIVGG >ENSMUSP00000137362.1 pep:known chromosome:GRCm38:11:16501638:16508484:-1 gene:ENSMUSG00000078974.10 transcript:ENSMUST00000178855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sec61g description:SEC61, gamma subunit [Source:MGI Symbol;Acc:MGI:1202066] MDQVMQFVEPSRQFVKDSIRLVKRCTKPDRKEFQKIAMATAIGFAIMGFIGFFVKLIHIP INNIIVGG >ENSMUSP00000131479.1 pep:known chromosome:GRCm38:14:5970744:5979137:-1 gene:ENSMUSG00000090643.8 transcript:ENSMUST00000170686.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3453 description:predicted gene 3453 [Source:MGI Symbol;Acc:MGI:3781629] MFSWLLRLCQKENGDEGETRPTEKEEKILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHCLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDQDMISLKEKCWRKSTECAQEIHHC CLSSLITMAVMGCMLLLYFVSLV >ENSMUSP00000137254.1 pep:known chromosome:GRCm38:14:5976152:5979132:-1 gene:ENSMUSG00000090643.8 transcript:ENSMUST00000178308.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3453 description:predicted gene 3453 [Source:MGI Symbol;Acc:MGI:3781629] MFSWLLRLCQKENGDEGETRPTEKEEKILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLHMRKISNDMEEICGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIISMKFSEELLKDNYSYSIKQKHCLRECTQLKEKVRILLNENRKLLVEQAGTQVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000057731.9 pep:known chromosome:GRCm38:2:25199712:25211489:-1 gene:ENSMUSG00000013465.18 transcript:ENSMUST00000059849.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfb description:negative elongation factor complex member B [Source:MGI Symbol;Acc:MGI:1931035] LATLEAAGERGLGGPRGTVERASGAPSGSATAPAERGGDGVHSRASAGASAMFAGLQDLG VANGEDLKETLTNCTEPLKAIEQFQTENGVLLPSLQSALPFLDLHGTPRLEFHQSVFDEL RDKLLERVSAIASEGKAEERYKKLEDLLEKSFSLVKMPSLQPVVMCVMKHLPKVPEKKLK LVMADKELYRACAVEVKRQIWQDNQALFGDEVSPLLKQYILEKESALFSTELSVLHNFFS PSPKTRRQGEVVQKLTQMVGKNVKLYDMVLQFLRTLFLRTRNVHYCTLRAELLMSLHDLD VSDICTVDPCHKFTWCLDACIRERFVDSKRARELQGFLDGVKKGQEQVLGDLSMILCDPF AINTLSLSTIRHLQELVSQETLPRDSPDLLLLLRLLALGQGAWDLIDSQVFKEPKMEAEL ITKFLPMLMSLVVDDFTFNVDQKLPAEEKASVTYPNTLPESFTKFLQEQRMACEVGLYYV LHITKQRNKNALLRLLPGLVETFGDLAFSDIFLHLLTGSLVLLADEFALEDFCSSLFDGF FLTASPRKENVHRHVLRLLLHLHARVAPSKLEALQKALEPTGQSGEAVKELYSQLGEKLE QLDHRKPSPTQAAETPALDLPLPSVPAPATL >ENSMUSP00000115296.1 pep:known chromosome:GRCm38:2:25200685:25203921:-1 gene:ENSMUSG00000013465.18 transcript:ENSMUST00000124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfb description:negative elongation factor complex member B [Source:MGI Symbol;Acc:MGI:1931035] XIDSQVFKEPKMKLPAEEKASVTYPNTLPESFTKFLQEQRMACEVGLYYVLHITKQRNKN ALLRLLPGLVETFGDLAFSDIFLHLLTGSLVLLADEFALEDFCSSLFDGFFLTASPRKEN VHRHVLRLLLHLHARVAPSKLEAL >ENSMUSP00000115698.1 pep:known chromosome:GRCm38:2:25203891:25210726:-1 gene:ENSMUSG00000013465.18 transcript:ENSMUST00000140934.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfb description:negative elongation factor complex member B [Source:MGI Symbol;Acc:MGI:1931035] XGKAEERYKKLEDLLEKSFSLVKMPSLQPVVMCVMKHLPKVVQKLTQMVGKNVKLYDMVL QFLRTLFLRTRNVHYCTLRAELLMSLHDLDVSDICTVDPCHKFTWCLDACIRERFVDSKR ARELQGFLDGVKKGQEQVLGDLSMILCDPFAINTLSLSTIRHLQELVSQETLPRDSPDLL LLLRLLALGQGAWDLIDSQVFKEP >ENSMUSP00000145869.1 pep:known chromosome:GRCm38:2:25199713:25211489:-1 gene:ENSMUSG00000013465.18 transcript:ENSMUST00000205741.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nelfb description:negative elongation factor complex member B [Source:MGI Symbol;Acc:MGI:1931035] MFAGLQDLGVANGEDLKETLTNCTEPLKAIEQFQTENGVLLPSLQSALPFLDLHGTPRLE FHQSVFDELRDKLLERVSAIASEGKAEERYKKLEDLLEKSFSLVKMPSLQPVVMCVMKHL PKVPEKKLKLVMADKELYRACAVEVKRQIWQDNQALFGDEVSPLLKQYILEKESALFSTE LSVLHNFFSPSPKTRRQGEVVQKLTQMVGKNVKLYDMVLQFLRTLFLRTRNVHYCTLRAE LLMSLHDLDVSDICTVDPCHKFTWCLDACIRERFVDSKRARELQGFLDGVKKGQEQVLGD LSMILCDPFAINTLSLSTIRHLQELVSQETLPRDSPDLLLLLRLLALGQGAWDLIDSQVF KEPKMEAELITKFLPMLMSLVVDDFTFNVDQKLPAEEKASVTYPNTLPESFTKFLQEQRM ACEVGLYYVLHITKQRNKNALLRLLPGLVETFGDLAFSDIFLHLLTGSLVLLADEFALED FCSSLFDGFFLTASPRKENVHRHVLRLLLHLHARVAPSKLEALQKALEPTGQSGEAVKEL YSQLGEKLEQLDHRKPSPTQAAETPALDLPLPSVPAPATL >ENSMUSP00000100791.3 pep:known chromosome:GRCm38:4:3172083:3173006:1 gene:ENSMUSG00000094798.1 transcript:ENSMUST00000105160.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r2 description:vomeronasal 1 receptor 2 [Source:MGI Symbol;Acc:MGI:4438400] MKMDPGNFAIETFLFCQITVGMFGNSSILFYYIILIFTEKHLTSKDLIIEHLTFANYLTV ILRGFPQTMSYFGFKNFLDDMGCKLIVYISRITRGVSLYALCLLSCFQAITTSPNNSRWI KLKYRATKYIGPSCSVSCLVHLLLNILTPLRESGTNYKKNATNRLSYGYCSLFASDSVAT TLYISLVCFSDVFCLGLMACSSISMVSILYRHERQVKHIHSAQYFLKVYPEDRATQTILI LLCTFVISYSFSSIVAIIRTYLNYPVIWGVNIFTSLEIFFPMFCPFVLITNMRSSSNLFL PCLGKR >ENSMUSP00000097048.3 pep:known chromosome:GRCm38:13:62129916:62173419:1 gene:ENSMUSG00000074867.3 transcript:ENSMUST00000099449.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp808 description:zinc finger protein 80 [Source:MGI Symbol;Acc:MGI:3704127] MNAVTYRDVHVNFTQEEWALLDPSQKKLYKDVMLETYRNLNAIGFNWEAQNIEEHCQSSR RHRRCERSQSAEKPSEYTQRDKAFALRAHSHAQRHERIHTEKIPSEDIHCVEDVLPYTSL QVHKRTQTGQKPYECKQCGKGFAKPSHLKRHERFHTVEQPFICNECDEVFSFKHHLQTHI RVHTGEKPFKCNLCDKSFSKHNHLQSHRRTHTGEKPYKCDQCDKAFSEKCNLQTHIRIHT GEKPYKCDQCDKTFSEKCHLQKHIRIHTGEKPYKCNQCDKAFSQYSTLQTHRRTHTGEKP YKCNQCDKAFSQYGNLQTHRRTHTGEKPFKCNQCDKAFSQYSTLQTHRRTHTGEKPYKCN QCDKAFSEKCSLQRHIRIHTGEKPYKCNQCNKAFSHNYHLHIHRRTHTGEKPYKCNQCDK AFSIHFPLQNHKRTHTGEKPYKCNQCDKAFSEKCHLQNHIRIHTGEKPYKCNQCDKAFSQ YFSLQTHRRIHTGEKPFKCNQCDKAFSQYSTLQTHRRTHTGEKPFKCNQCDKAFSKHSTL QSHRRTHSGEKPFKCSQCDKAFSQYNTLQAHRRTHSGEKPFKCDQCDKAFSEKCNLQKHI RIHTGEKPYKCNQCDKAFSQYNTLQTHRRIHTGEKPFKCNQCDKAFSRHSTLQTHRRTHT GEKPFKCNQCDKVFSQYSNLQTHRRTHTGEKPYKCDQCDKAFSMKCNLQNHVRIHTGEKP YKCNQCDKAFFQYSNLHIHRKTHTGQKPYKCNQ >ENSMUSP00000052648.7 pep:known chromosome:GRCm38:X:98149721:98323215:1 gene:ENSMUSG00000046532.7 transcript:ENSMUST00000052837.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ar description:androgen receptor [Source:MGI Symbol;Acc:MGI:88064] MEVQLGLGRVYPRPPSKTYRGAFQNLFQSVREAIQNPGPRHPEAANIAPPGACLQQRQET SPRRRRRQQHTEDGSPQAHIRGPTGYLALEEEQQPSQQQAASEGHPESSCLPEPGAATAP GKGLPQQPPAPPDQDDSAAPSTLSLLGPTFPGLSSCSADIKDILNEAGTMQLLQQQQQQQ QHQQQHQQHQQQQEVISEGSSARAREATGAPSSSKDSYLGGNSTISDSAKELCKAVSVSM GLGVEALEHLSPGEQLRGDCMYASLLGGPPAVRPTPCAPLPECKGLPLDEGPGKSTEETA EYSSFKGGYAKGLEGESLGCSGSSEAGSSGTLEIPSSLSLYKSGALDEAAAYQNRDYYNF PLALSGPPHPPPPTHPHARIKLENPLDYGSAWAAAAAQCRYGDLGSLHGGSVAGPSTGSP PATTSSSWHTLFTAEEGQLYGPGGGGGSSSPSDAGPVAPYGYTRPPQGLTSQESDYSASE VWYPGGVVNRVPYPSPNCVKSEMGPWMENYSGPYGDMRLDSTRDHVLPIDYYFPPQKTCL ICGDEASGCHYGALTCGSCKVFFKRAAEGKQKYLCASRNDCTIDKFRRKNCPSCRLRKCY EAGMTLGARKLKKLGNLKLQEEGENSNAGSPTEDPSQKMTVSHIEGYECQPIFLNVLEAI EPGVVCAGHDNNQPDSFAALLSSLNELGERQLVHVVKWAKALPGFRNLHVDDQMAVIQYS WMGLMVFAMGWRSFTNVNSRMLYFAPDLVFNEYRMHKSRMYSQCVRMRHLSQEFGWLQIT PQEFLCMKALLLFSIIPVDGLKNQKFFDELRMNYIKELDRIIACKRKNPTSCSRRFYQLT KLLDSVQPIARELHQFTFDLLIKSHMVSVDFPEMMAEIISVQVPKILSGKVKPIYFHTQ >ENSMUSP00000025183.8 pep:known chromosome:GRCm38:17:34020792:34024680:-1 gene:ENSMUSG00000024325.8 transcript:ENSMUST00000025183.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ring1 description:ring finger protein 1 [Source:MGI Symbol;Acc:MGI:1101770] MTTPANAQNASKTWELSLYELHRTPQEAIMDGTEIAVSPRSLHSELMCPICLDMLKNTMT TKECLHRFCSDCIVTALRSGNKECPTCRKKLVSKRSLRPDPNFDALISKIYPSREEYEAH QDRVLIRLSRLHNQQALSSSIEEGLRMQAMHRAQRVRRPMPGSDQTATMSGGEGEPGEGE GDGEDVSSDSAPDSAPGPAPKRPRGAGAGASSVGTGGGAAGGACGGAGSEDSGDRGGTLG GGTLGPPSPPGAPSPPEPGGEIELVFRPHPLLVEKGEYCQTRYVKTTGNATVDHLSKYLA LRIALERRQQQETTEPGGPGGGASDTGGPDGGGGERGVAGGGEGPEEPALPSLEGVSEKQ YTIYIAPGGGAFTTLNGSLTLELVNEKFWKVSRPLELCYAPTKDPK >ENSMUSP00000060746.4 pep:known chromosome:GRCm38:7:10446789:10447784:1 gene:ENSMUSG00000046716.5 transcript:ENSMUST00000055964.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r67 description:vomeronasal 1 receptor 67 [Source:MGI Symbol;Acc:MGI:2159632] MGFRNLAIKILFLSQITSGILGNFSLLIYYLVYYIQHALKPTDLILMHLMASNALIVLFT GMPHTLAAFEIKQFLNDLGCRLILYIQSVGRSVSVSTTCLLSVFQAITISHRKSCFKDQK VNAAKYIGCCIFSLWVLFVIIHFIFFAYILVKRNSKNMTRNRNFEYCSIRGGDDINASLY TALVVCPEIFFSVLIAWSSVSMIVILYRHKQTFQHIHSNTIYSRISPESRATQKILVLVC TFLAFYTISSVLQGCIALLHNHNWWLVNITRLTSLCFPSFGPFVLMNHCTFVPRLSLIWM WNKIT >ENSMUSP00000013787.4 pep:known chromosome:GRCm38:11:58379043:58390537:1 gene:ENSMUSG00000013643.13 transcript:ENSMUST00000013787.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd8 description:LY6/PLAUR domain containing 8 [Source:MGI Symbol;Acc:MGI:1917413] MRGVFIAGVIAAFAITVVDSLNCTQCYTYNSTCDGQATECNEQSFSCVESSINSTLGGFL HVYQNKFCSASNCTENSTEVAFTVHLFDDQRYHFASQCCQGESCNATHSESGTQNVTDMQ CMSCYGHNKTLCEEKPQKCYEGEQCVFIIAEMVNGSGRVELKGCSDISNSTCQFLSPGNT TVGEFVFKSVECTQPTEYTNSTTTIPPITNTSLTSVTRPGIKTSPASVTPQASMGTKASF TSSIFGSLLLLKLLF >ENSMUSP00000104454.2 pep:known chromosome:GRCm38:11:58379043:58390728:1 gene:ENSMUSG00000013643.13 transcript:ENSMUST00000108826.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lypd8 description:LY6/PLAUR domain containing 8 [Source:MGI Symbol;Acc:MGI:1917413] MRGVFIAGVIAAFAITVVDSLNCTQCYTYNSTCDGQATECNEQSFSCVESSINSTLGGFL HVYQNKFCSASNCTENSTEVAFTVHLFDDQRYHFASQCCQGESCNATHSESGTQNVTDMQ CMSCYGHNKTLCEEKPQKCYEGEQCVFIIAEMVNGSGRVELKGCSDISNSTCQFLSPGNT TVGEFVFKSVECTQPTEYTNSTTTIPPITNTSLTSVTRPGIKTSPASVTPQASMGTKASF TSSIFGSLLLLKLLF >ENSMUSP00000139845.1 pep:known chromosome:GRCm38:13:62368328:62383177:-1 gene:ENSMUSG00000094942.7 transcript:ENSMUST00000187656.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3604 description:predicted gene 3604 [Source:MGI Symbol;Acc:MGI:3781781] MNAVTYEDVHVNFTQEEWALLDPSQKTLYKDVMLETFRNLNAIGFNWEAQNIEEYCQSSR RHRRCERSQSAEKPSEYTQRDKAFALHDHSHAQRHERVHTEKIPSEVIHCVEDFLPYTSL QVHKRTQTGQKPYECNQCGKGFVMPSCLKRHERFHTGEKPYKCNQCDKAFSQYNNLQTHR RTHTGEKPYKCNQCDKAFSQHSTLQTHRRTHTGEKPFKCNQCDKAFSEKCSLQTHRRTHT GEKPYKCNQCDKAFSQYSHLHIHRRTHTGEKPLKCNECDETFSNHSNLQTHRRIHTGEKP YKCNQCDKAFSQHSTLQNHRRTHTGEKPFKCNQCDKAFSRHSTLQTHRRTHTGEKPFKCN QCDKAFSQYSHLHIHRRTHTGEKPFKCNQCNKAFSQYSHLHIHRRTHTGEKPYKCNQCDK TFSNHSTLQTHRRTHTGEKPYKCNQCDKAFSRHSTLQTHRRTHTGEKPFKCNQCDKAFSQ KCSLQKHIRIHTGEKLYKCNECDKAFSQHSTLQTHRRTHTGEKPFKFNECDEGFSHHYNL QIHERRHTREKPYKCIQCV >ENSMUSP00000144048.1 pep:known chromosome:GRCm38:13:62369815:62383151:-1 gene:ENSMUSG00000094942.7 transcript:ENSMUST00000202194.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3604 description:predicted gene 3604 [Source:MGI Symbol;Acc:MGI:3781781] MNAVTYEDVHVNFTQEEWALLDPSQKTLYKDVMLETFRNLNAIGVKGVRVQRNPLNILNV IKPSLYMITVMPKGMKGFIQKRSPLKLFTVSKTFYLTLVSKYIKEHKLDRSPMNVINVVK DL >ENSMUSP00000103623.3 pep:known chromosome:GRCm38:13:62368863:62371936:-1 gene:ENSMUSG00000094942.7 transcript:ENSMUST00000107989.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3604 description:predicted gene 3604 [Source:MGI Symbol;Acc:MGI:3781781] NAVTYEDVHVNFTQEEWALLDPSQKTLYKDVMLETFRNLNAIGFNWEAQNIEEYCQSSRR HRRCERSQSAEKPSEYTQRDKAFALHDHSHAQRHERVHTEKIPSEVIHCVEDFLPYTSLQ VHKRTQTGQKPYECNQCGKGFVMPSCLKRHERFHTGEKPYKCNQCDKAFSQYNNLQTHRR THTGEKPYKCNQCDKAFSQHSTLQTHRRTHTGEKPFKCNQCDKAFSEKCSLQTHRRTHTG EKPYKCNQCDKAFSQYSHLHIHRRTHTGEKPLKCNECDETFSNHSNLQTHRRIHTGEKPY KCNQCDKAFSQHSTLQNHRRTHTGEKPFKCNQCDKAFSRHSTLQTHRRTHTGEKPFKCNQ CDKAFSQYSHLHIHRRTHTGEKPFKCNQCNKAFSQYSHLHIHRRTHTGEKPYKCNQCDKT FSNHSTLQTHRRTHTGEKPYKCNQCDKAFSRHSTLQTHRRTHTGEKPFKCNQCDKAFSQK CSLQKHIRIHTGEKLYKCNECDKAFSQHSTLQTHRRTHTGEKPFKFNECDEGFSHHYNLQ IHERRHTREKPYKCIQCV >ENSMUSP00000112664.2 pep:known chromosome:GRCm38:13:4049011:4090422:1 gene:ENSMUSG00000033715.14 transcript:ENSMUST00000118717.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c14 description:aldo-keto reductase family 1, member C14 [Source:MGI Symbol;Acc:MGI:2145458] MNSVSPRVVLNDGHFIPALGFGTTVPDKVPKDELIKATKIAIDTGFRHFDSAYLYQIEEE VGQAIRSKIEDGTVKREDIFYTSKLWSTFHRPELVRSCLEKTLKNAQLDYVDLYIIHFPM ALQPGDKLFPRDEHGKLLAEAVDLCDTWEAMEKCKDAGLAKSIGVSNFNFRQLETILNKP GLKYKPVCNQVECHLYLNQSQMLDYCKSKDIILVSYCTLGSSRDKIWVDQKSPVLLDDPV LCAMANKYKQTPALIAIRYQLQRGIVVLTRSFKEKRIKEFMKVFEFQLASEDMKVLDGLH RNLRYNTASYFDDHPNHPFTDEY >ENSMUSP00000045394.6 pep:known chromosome:GRCm38:13:4059591:4090413:1 gene:ENSMUSG00000033715.14 transcript:ENSMUST00000041768.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c14 description:aldo-keto reductase family 1, member C14 [Source:MGI Symbol;Acc:MGI:2145458] MNSVSPRVVLNDGHFIPALGFGTTVPDKVPKDELIKATKIAIDTGFRHFDSAYLYQIEEE VGQAIRSKIEDGTVKREDIFYTSKLWSTFHRPELVRSCLEKTLKNAQLDYVDLYIIHFPM ALQPGDKLFPRDEHGKLLAEAVDLCDTWEAMEKCKDAGLAKSIGVSNFNFRQLETILNKP GLKYKPVCNQVECHLYLNQSQMLDYCKSKDIILVSYCTLGSSRDKIWVDQKSPVLLDDPV LCAMANKYKQTPALIAIRYQLQRGIVVLTRSFKEKRIKEFMKVFEFQLASEDMKVLDGLH RNLRYNTASYFDDHPNHPFTDEY >ENSMUSP00000033809.3 pep:known chromosome:GRCm38:X:140456613:140476140:1 gene:ENSMUSG00000031432.3 transcript:ENSMUST00000033809.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prps1 description:phosphoribosyl pyrophosphate synthetase 1 [Source:MGI Symbol;Acc:MGI:97775] MPNIKIFSGSSHQDLSQKIADRLGLELGKVVTKKFSNQETCVEIGESVRGEDVYIVQSGC GEINDNLMELLIMINACKIASASRVTAVIPCFPYARQDKKDKSRAPISAKLVANMLSVAG ADHIITMDLHASQIQGFFDIPVDNLYAEPAVLKWIRENISEWRNCTIVSPDAGGAKRVTS IADRLNVDFALIHKERKKANEVDRMVLVGDVKDRVAILVDDMADTCGTICHAADKLLSAG ATRVYAILTHGIFSGPAISRINNACFEAVVVTNTIPQEDKMKHCSKIQVIDISMILAEAI RRTHNGESVSYLFSHVPL >ENSMUSP00000057270.7 pep:known chromosome:GRCm38:8:13869641:13881639:1 gene:ENSMUSG00000047710.7 transcript:ENSMUST00000051870.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Champ1 description:chromosome alignment maintaining phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:1196398] MEVCQELRKPALSLECGHCSFRGTDYENVQLHMGSIHPEFCDDMDAGGLGKLIFYQKSAK LFHCHKCFFTSKLYANVYYHITARHAASDKWSEQPKEQPSKDTESGKSPSPPERQNPAFD PAEARPTPALPMEAQKTSPSLCPESQASGPPVLEPQGAGPLISPEPQAPCLPAEASKAAP VPCPERVDPPCELPELEKPERGPSPESVKSALVSSKPPKHSSFADTGAAPSALSPESPVL ATSPEPWGPSLSASPESRKPARTASPEPRKPSPAESPELWKPFPAIASEPRRPTPAVSPG SWKPGPPGSPRPWKSSPSATSGPWKSSKPVQPMSPGPWKPIPSVSPGPWKPAPSMSTASW KSSVSSGSWKTPPTSPESWKSGPPELRKTALPLSPEHWKAVPPVSPELRRPGPPLSPEIR SPAGSPELKKPSSSPDLWKVSPDQRKTSPASLDFPEPQKSSCGSPPDLWKSSFIMESQKP NVFSETRKHTASGSSESPKVASDIWKPVLSIDAEPRKSTLFPEPTKAVLPASPEPRKRAL FPESRKHVFLPELPKSAVFSDAQKAPELSEEIQLEAVDNAKCDSLAQEGLLATPKKLLDE ALSPSSKKLKKDSQENSDAELSSSEYIRADLDTLDTKGQESSSDQEQVDVESIDFSKENK MEMGSTEQAKNVLQFTEEKEAFISEEEIAKYMKRGKGKYYCKICCCRAMKKGAVLHHLVN KHNVHSPYKCTICGKAFLLESLLKNHVAAHGQSLLKCPRCNFESNFPRGFKKHLTHCQSR HNEEVNKKLMEALESPLEEQQI >ENSMUSP00000120117.1 pep:known chromosome:GRCm38:8:13869704:13879811:1 gene:ENSMUSG00000047710.7 transcript:ENSMUST00000128557.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Champ1 description:chromosome alignment maintaining phosphoprotein 1 [Source:MGI Symbol;Acc:MGI:1196398] MEVCQELRKPALSLECGHCSFRGTDYENVQLHMGSIHPEFCDDMDAGGLGKLIFYQKSAK LFHCHKCFFTSKLYANVYYHITARHAASDKWSEQPKEQPSKDTESGKSPSPPERQNPAFD PAEARPTPALPMEAQKTSPSLCPESQASGPPVLEPQGAGPLISPEPQAPCLPAEASKAAP VPCPERVDPPCELPELEKPERGPSPESVKSALVSSKPPKHSSFADTGAAPSALSPESPVL ATSPEPWGPSLSASPESRKPARTASPEPRKPSPAESPELWKPFPAIASEPRRPTPAVSPG SWKPGPPGSPRPWKSSPSATSGPWKSSKPVQPMSPGPWKPIPSVSPGPWKPAPSMSTASW KSSVSSGSWKTPPTSPESWKSGPPELRKTALPLSPEHWKAVPPVSPELRRPGPPLSPEIR SPAGSPELKKPSSSPDLWKVSPDQRKTSPASLDFPEPQKSSCGSPPDLWKSSFIMESQKP NVFSETRKHTASGSSESPKVASDIWKPVLSIDAEPRKSTLFPEPTKAVLPASPEPRKRAL FPESRKHVFLPELPKSAVFSDAQKAPELSEEIQLEAVDNAKCDSLAQEGLLATPKKLLDE ALSPSSKKLKKDSQENSDAELSSSEYIRADLDTLDTKGQESSSDQEQVDVESIDFS >ENSMUSP00000121187.1 pep:known chromosome:GRCm38:4:92144338:92147234:-1 gene:ENSMUSG00000028533.10 transcript:ENSMUST00000143542.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo3 description:IZUMO family member 3 [Source:MGI Symbol;Acc:MGI:1916564] VFYTQNSAVRNVIKLREWLKDEFYRLGNETWKGVFIIQGNLLEIRQNLEKKLTQIIKNFS EVACSEDCIVIEGPVLDCWNCLRMTTRCFKGDYCQDEDPKTAENREISLYLIFIAEAVIL ASAVLLFHVCISHRRKMKAIRRTLKTYLEKKLEELVEMIYKDDGEKNLEFGRSNSNSLTG EPTCAESEMQTGT >ENSMUSP00000102725.1 pep:known chromosome:GRCm38:4:92144329:92147221:-1 gene:ENSMUSG00000028533.10 transcript:ENSMUST00000107108.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Izumo3 description:IZUMO family member 3 [Source:MGI Symbol;Acc:MGI:1916564] MGDLWVLLFSSLSLAAFHGVRGCLECDPKFTEDVRTLLGNLVPLEVPDRNQLLDRQHKEI THISSKVSHKDKMLRLLAVRNVIKLREWLKDEFYRLGNETWKGVFIIQGNLLEIRQNLEK KLTQIIKNFSEVACSEDCIVIEGPVLDCWNCLRMTTRCFKGDYCQDEDPKTAENREISLY LIFIAEAVILASAVLLFHVCISHRRKMKAIRRTLKTYLEKKLEELVEMIYKDDGEKNLEF GRSNSNSLTGEPTCAESEMQTGT >ENSMUSP00000140330.1 pep:known chromosome:GRCm38:15:75216376:75221950:1 gene:ENSMUSG00000047728.12 transcript:ENSMUST00000185307.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC025446 description:cDNA sequence BC025446 [Source:MGI Symbol;Acc:MGI:2385015] MDSSHATRTCVFILLVALLCAEGAQGLECYCCLGVPLETSCKTMTCPYSDGFCVTQEEEV IVDSHTIKVKSNLCLPICPTNPETTEFLGNAVNVKTFCCKEDLCNAAVLTEGSTWTMAGV ILFSLGSVHLQTLL >ENSMUSP00000053396.6 pep:known chromosome:GRCm38:15:75216596:75222661:1 gene:ENSMUSG00000047728.12 transcript:ENSMUST00000055719.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC025446 description:cDNA sequence BC025446 [Source:MGI Symbol;Acc:MGI:2385015] MDSSHATRTCVFILLVALLCAEGAQGLECYCCLGVPLETSCKTMTCPYSDGFCVTQEEEV IVDSHTIKVKSNLCLPICPTNPETTEFLGNAVNVKTFCCKEDLCNAAVLTEGSTWTMAGE SSWK >ENSMUSP00000079494.3 pep:known chromosome:GRCm38:11:65831282:66168533:-1 gene:ENSMUSG00000056752.16 transcript:ENSMUST00000080665.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah9 description:dynein, axonemal, heavy chain 9 [Source:MGI Symbol;Acc:MGI:1289279] MPGAKEQAALAESGDEEPGDPRLRLLGTFVARSLRPAAGTWERCAGTAEAGRLLQAFLDH NAASDPRPLLVVQSGPGGLVVTPGLDAGPEPSRARAKGLFFLRTKSEPPGNHSLRGTVLC GDLPAVPLEHLAPLLSEVIIPVLANEKNHLEWPHMVCQDIRHHAHTLKSDLLVIFEHMKG RTLLPLPVGSEKLEFVDGHSEPVSDAIDKSTLYAVESAVIKWSHQVQVVLKRESSQALIQ GQNPTPKVELEFWKSRCEDLEHIYNQLMTIKVKGMAELLDKLQSSYLPAFKAMFRDVEAA LTEAQDIHVHLLPLQQHLDILENVEFPKVKGRLRPLLHVVCLIWATCKWYRSPGRLTVLL QEICNLLIQQASNYLSPEDLLRSEVEESQKKLQVVSDTLSFFKQAFQDRREHLHTYFKED SEVRVWDFQASLVFVRLDGFLGRVHMVEDLLKTALDLNNLEKLEFSGLRGNSLSQKVQRM HEEFEEMYKVFLDCSYDCLDPKGTEFENDVCEFNKRVEDLDRRLGTILIQAFDDAPDVEH AFKLLDITGTLIKRPLVAQDVSQKYLALIRMFSTELDAVRVIYSQHIQKEAEHGFSPVHK NMPTMAGGICWAQELRQRVKGPFGNFKNIPHLYLQSAEGKRMIQKYEDLLSLLEEYERRL YEDWCQTVSEKSQYNLSLPLLHRDPNTKQLSVNFNPQLISVLKEMNYLQPSEVKTIPETA AAMFSSREFYRQLVANLELMANWYNKVIKILLEVEFPLVEEELQNIDLRLRAAEETLSWK TEGIWDYAMQITNSIHDLEQRIQKTKDNVEEIQNIMKTWVSPIFKRKDGKKEWPLSLDDQ QDHMEKYYSLIQESGLKIHALVQENLVLFAADPASSIWKSYVNYIDSMLLDGFFLAIECS LKYLLENTECKPGLTPVFEAQLNLVTPELVFHPSLDSGVKGGLYDIVQSLVTRIFAMPSL VPRLSPHSGSPHYQGDLEDMADLAGLRSVLMERVQNMMTLCCGYRNTLSQYSYLYVEDRK EILGQFLLYGHVLTPEEIEAHAEDGIPENPPLLHHFKDQIDSYEKLYEEVVSLEPTKVFD GWMRVDVRPFKASLLNTIKKWSLMFKQHLVDFVTNSLSDLDSFIRSTESGLLKRVEKGDF QGLVEIMGHLVTLKERQSSTDDMFEPLKQTIELLKSYEQELPETVFKQLEELPEKWKNMK KMAITVRQQVAPLQANEVALLRQRCSAFDDEQQQFQERFRKEAPFRFDSINPHQMLDAWH VEIQHMESTMATISKSADLFEVNVPDYKQLRQCRKEACQLKELWDTIGMVTSSIRAWEAT SWRNISVEAMDSECKQFARHIRNLDKEFRSWDAFTGLESTVLNTLTSLRAVAELQNPAIR DRHWRQLMQATGVNFTMNQDTTLAHLLQLQLHHFEDEVRGIVDRAVKEMSMEKTLKELQT TWASMEFQYESHARTRVPLLQSDEDLIEVLEDNQVQLQNLMMSKYVAFFLEEVSSWQKKL STADSVISIWFEVQRTWSHLESIFIGSEDIRAQLPQDSKRFEGIDSDFRELAYDAQKTPN VVEATNKSGLYEKLEDIQSRLCLCEKALAEYLDTKRLSFPRFYFLSSSDLLDILSNGTAP QQVQRHLSKLFDNMAKMQFQLDASQNPTKTSLGMYSKEEEYVAFSEACDCSGQVEIWLNR VLRHMKATVRHEMTEGVTAYEEKPRDQWLFDYPAQVALTCTQIWWTTEVGIAFARLEEGY ESAMKDYYKKQVAQLKTLITMLIGPLSKGDRQKIMTICTIDVHARDVVAKMIAQKVDNAQ AFLWLSQLRHRWDDEAKHCFANICDAQFLYSYEYLGNTPRLVITPLTDRCYITLTQSLHL TMSGAPAGPAGTGKTETTKDLGRALGIMVYVFNCSEQMDYKSCGNIYKGLAQTGAWGCFD EFNRISVEVLSVVAVQVKSIQDAIRDKKQRFSFLGEEISLDPSVGIFITMNPGYAGRTEL PENLKALFRPCAMVVPDFELISEIMLVAEGFIEARLLARKFITLYRLCKELLSKQDHYDW GLRAIKSVLVVAGSLKRGDPDRPEDQVLMRSLRDFNIPKIVTDDMPVFMGLIGDLFPALD VPRKRDLDFEAVVRKAIVDLKLQAEDNFVLKVVQLEELLAVRHSVFVVGGAGTGKSQVLR SLHKTYQIMRRRPVWTDLNPKAVTNDELFGIINPATREWKDGLFSSIMRELAIISHDGPK WILLDGDIDPMWIESLNTVMDDNKVLTLASNERIPLNPTMRLLFEISHLRTATPATVSRA GILYINPADLGWNPPVSSWIDQREVQTERANLTILFDKYLPTCLDTLRTRFKKIIPVPEQ SMIQMLCYLLECLLTKEDIPADCPKEIYELYFVFAAIWAFGSAVIQDQLVDYRAEFSKWW LTEFKTVKFPSQGTVFDYYIDPETKKFEPWAKLIPQFEFDPEMPLQACLVHTSETIRVCY FMERLMQWRRPVMLVGPAGSGKSVLVGAKLSSLNPEEYMVKNVPFNYYTTSAMLQAVLEK PLEKKAGRNYGPPGNRKLIYFIDDMNMPEVDAYGTVQPHTVIRQHLDYGHWYDRNKLSLK EIMNVQYISCMNPTAGSFTINPRLQRHFSVFALCFPGADALSSIYSTILTHHLKFGNFPT TLQKSIPPLINLAVTFHQKIATTFLPTAIKFHYIFNLRDFANIFQGILFSSVECVKSTQD LVKLYLHESSRVYRDKMVEEKDFNLFDKIQTEFLKKNFDDSEEVLKQTQNLNMYCHFANG IGEPKYMPVQSWDLLNQTLVEALESHNEVNAVMDLVLFEDAIRHICHINRILESPRGNAL LVGVGGSGKQSLTKLAAFISSMDVFQITLRKGYQIPDFKVDLASLCLKAGVKNLSTVFLM TDAHVADERFLVLINDLLASGEIPDLYSDEEEENIINNVRNEVKSQGLMDSRENCWKFFI ERVQRQLKVTLCFSPVGNKLRIRSRKFPAIVNCTAINWFHEWPQEALESVSLRFLQNTKN IEPAVKQSISKFMAFVHISVNKTSQSYLTNEQRYNYTTPKSFLEFIRLYQSLLERNGKEL QAKVERLENGLLKLHSTSAQVDDLKAKLATQEVELRHKNEDTDKLIQVVGVETSKVSREK AIADEEEQKVALIMLEVQQKQKDCEEDLAKAEPALTAAQAALNTLNKTNLTELKSFGSPP LAVSNVSAAVMVLMAPGGKVPKDRSWKAAKITMAKVDSFLDSLIHFDKENIHENCLKAIR PYLQDPAFNPEFVATKSYAAAGLCSWVINIVRFYEVFCDVEPKRQALNKATSDLTTAQEK LAAIKAKITHLNENLAKLTTKFEKATAEKLKCQQEAELTAGTISLANRLVGGLASENIRW AEAVQNFRQQERTLCGDILLTTAFISYLGFFTKKYRKSLMDGTWRPYLSQLKVPIPTTPT LDPLRMLTDDAEVAAWQNEGLPADRMSMENATILINCERWPLMVDPQLQGIKWIKNKYGE ELRVTQIGQKGCLQTIERALEAGDVVLIENLEESIDPVLGPLLGREVIKKGRFIKIGDKE CEFNPKFRLILHTKLANPHYQPELQAQATLINFTVTRDGLEDQLLAAVVSMERPDLEQLK SDLTKQQNGFKITLKTLEDNLLSRLSSASGNFLGETALVENLEVTKQTAADVEEKVQEAK LTEVKINEAREHYRPAAARASLLYFIMNDLSKIHPMYQFSLKAFSIVFQKAVEKAAPSES VTERVTNLIDSITFSVYQYTTRGLFECDKLTYLAQLTFQILLVNQEVNAAELDFLLRAPV QTGTPSPMEFLSHQAWGGIKALSSMEEFCNLDRDIEGSAKSWKKFVESECPEKEKFPQEW KNKTALQRLCMMRAMRPDRMTYAMRDFVEEKLGSKYVMGRALDFVTSFEESGPATPMFFI LSPGVDPLKDVENQGKKLGYTFNNRNFHNVSLGQGQEVVAEAALDLAAKKGHWVILQNIH LVAKWLSTLEKKLEELSEESHPDFRVFISAEPAPSPEGHIIPQGILENSIKITNEPPTGM HANLHKALDNFTQDTLEMCSRETEFKTILFALCYFHAVVAERRKFGPQGWNRSYPFNTGD LTISVNVLYNFLEANTKVPYDDLRYLFGEIMYGGHITDDWDRRLCRTYLEEFIRPEMLEG ELSLAPGFPLPGNMDYSGYHQYIDAELPPESPYLYGLHPNAEIGFLTQTSEKLFRTVLEM QPRDSQAGDGAGITREEKVKTFLEEILDRMTDEFNIAELMAKVEERTPYIVVALQECERM NILTREIQRSLRELHLGLQGELTMTSEMENLQNALYLDVVPESWARRAYPSTAGLAAWFL DLLNRIKELESWTGDFLMPSTVWLTGFFNPQSFLTAIMQSMARKNEWPLDQMALQCDVTK KNREEFRSPPREGAYIYGLFMEGACWDTQTGIIAEAKLKDLTPPMPVMFLKAIPADKQDC RSVYACPVYKTCQRGPTYVWTFNLKTKENPSKWVLAGVALLLQI >ENSMUSP00000116227.1 pep:known chromosome:GRCm38:11:65906857:65927958:-1 gene:ENSMUSG00000056752.16 transcript:ENSMUST00000130739.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah9 description:dynein, axonemal, heavy chain 9 [Source:MGI Symbol;Acc:MGI:1289279] NVSAAVMVLMAPGGKVPKDRSWKAAKITMAKVDSFLDSLIHFDKENIHENCLKAIRPYLQ DPAFNPEFVATKSYAAAGLCSWVINIVRFYEVFCDVEPKRQALNKATSDLTTAQEKLAAI KAKITHLNENLAKLTTKFEKATAEKLKCQQEAELTAGTISLANRLVPIPTTPTLDPLRML TDDAEVAAWQNEGLPADRMSMENATILINCERWPLMVDPQLQGIKWIKNKYGEELRVTQI GQKGCLQTIERALEAGDVVLIENLEESIDPVLGPLLGRE >ENSMUSP00000116499.1 pep:known chromosome:GRCm38:11:65831403:66005195:-1 gene:ENSMUSG00000056752.16 transcript:ENSMUST00000152386.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah9 description:dynein, axonemal, heavy chain 9 [Source:MGI Symbol;Acc:MGI:1289279] XTSETIRVCYFMERLMQWRRPVMLVGPAGSGKSVLVGAKLSSLNPEEYMVKNVPFNYYTT SAMLQAVLEKPLEKKAGRNYGPPGNRKLIYFIDDMNMPEVDAYGTVQPHTVIRQHLDYGH WYDRNKLSLKEIMNVQYISCMNPTAGSFTINPRLQRHFSVFALCFPGADALSSIYSTILT HHLKFGNFPTTLQKSIPPLINLAVTFHQKIATTFLPTAIKFHYIFNLRDFANIFQGILFS SVECVKSTQDLVKLYLHESSRVYRDKMVEEKDFNLFDKIQTEFLKKNFDDSEEVLKQTQN LNMYCHFANGIGEPKYMPVQSWDLLNQTLVEALESHNEVNAVMDLVLFEDAIRHICHINR ILESPRGNALLVGVGGSGKQSLTKLAAFISSMDVFQITLRKGYQIPDFKVDLASLCLKAG VKNLSTVFLMTDAHVADERFLVLINDLLASGEIPDLYSDEEEENIINNVRNEVKSQGLMD SRENCWKFFIERVQRQLKVTLCFSPVGNKLRIRSRKFPAIVNCTAINWFHEWPQEALESV SLRFLQNTKNIEPAVKQSISKFMAFVHISVNKTSQSYLTNEQRYNYTTPKSFLEFIRLYQ SLLERNGKELQAKVERLENGLLKLHSTSAQVDDLKAKLATQEVELRHKNEDTDKLIQVVG VETSKVSREKAIADEEEQKVALIMLEVQQKQKDCEEDLAKAEPALTAAQAALNTLNKTNL TELKSFGSPPLAVSNVSAAVMVLMAPGGKVPKDRSWKAAKITMAKVDSFLDSLIHFDKEN IHENCLKAIRPYLQDPAFNPEFVATKSYAAAGLCSWVINIVRFYEVFCDVEPKRQALNKA TSDLTTAQEKLAAIKAKITHLNENLAKLTTKFEKATAEKLKCQQEAELTAGTISLANRLV GGLASENIRWAEAVQNFRQQERTLCGDILLTTAFISYLGFFTKKYRKSLMDGTWRPYLSQ LKVPIPTTPTLDPLRMLTDDAEVAAWQNEGLPADRMSMENATILINCERWPLMVDPQLQG IKWIKNKYGEELRVTQIGQKGCLQTIERALEAGDVVLIENLEESIDPVLGPLLGREVIKK GRFIKIGDKECEFNPKFRLILHTKLANPHYQPELQAQATLINFTVTRDGLEDQLLAAVVS MERPDLEQLKSDLTKQQNGFKITLKTLEDNLLSRLSSASGNFLGETALVENLEVTKQTAA DVEEKVQEAKLTEVKINEAREHYRPAAARASLLYFIMNDLSKIHPMYQFSLKAFSIVFQK AVEKAAPSESVTERVTNLIDSITFSVYQYTTRGLFECDKLTYLAQLTFQILLVNQEVNAA ELDFLLRAPVQTGTPSPMEFLSHQAWGGIKALSSMEEFCNLDRDIEGSAKSWKKFVESEC PEKEKFPQEWKNKTALQRLCMMRAMRPDRMTYAMRDFVEEKLGSKYVMGRALDFVTSFEE SGPATPMFFILSPGVDPLKDVENQGKKLGYTFNNRNFHNVSLGQGQEVVAEAALDLAAKK GHWVILQDTLEMCSRETEFKTILFALCYFHAVVAERRKFGPQGWNRSYPFNTGDLTISVN VLYNFLEANTKVPYDDLRYLFGEIMYGGHITDDWDRRLCRTYLEEFIRPEMLEGELSLAP GFPLPGNMDYSGYHQYIDAELPPESPYLYGLHPNAEIGFLTQTSEKLFRTVLEMQPRDSQ AGDGAGITREEKVKTFLEEILDRMTDEFNIAELMAKVEERTPYIVVALQECERMNILTRE IQRSLRELHLGLQGELTMTSEMENLQNALYLDVVPESWARRAYPSTAGLAAWFLDLLNRI KELESWTGDFLMPSTVWLTGFFNPQSFLTAIMQSMARKNEWPLDQMALQCDVTKKNREEF RSPPREGAYIYGLFMEGACWDTQTGIIAEAKLKDLTPPMPVMFLKAIPADKQDCRSVYAC PVYKTCQRGPTYVWTFNLKTKENPSKWVLAGVALLLQI >ENSMUSP00000104331.1 pep:known chromosome:GRCm38:11:66121091:66168444:-1 gene:ENSMUSG00000056752.16 transcript:ENSMUST00000108691.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dnah9 description:dynein, axonemal, heavy chain 9 [Source:MGI Symbol;Acc:MGI:1289279] MATVSQYAIDKSTLYAVESAVIKWSHQVQVVLKRESSQALIQGQNPTPKVELEFWKSRCE DLEHIYNQLMTIKVKGMAELLDKLQSSYLPAFKAMFRDVEAALTEAQDIHVHLLPLQQHL DILENVEFPKVKGRLRPLLHVVCLIWATCKWYRSPGRLTVLLQEICNLLIQQASNYLSPE DLLRSEVEESQKKLQVVSDTLSFFKQAFQDRREHLHTYFKEDSEVRVWDFQASLVFVRLD GFLGRVHMVEDLLKTALDLNNLEKLEFSGLRGNSLSQKVQRMHEEFEEMYKVFLDCSYDC LDPKGTEFENDVCEFNKRVEDLDRRLGTILIQAFDDAPDVEHAFKLLDITGTLIKRPLVA QDVSQKYLALIRMFSTELDAVRVIYSQHIQKEAEHGFSPVHKNMPTMAGGICWAQELRQR VKGPFGNFKNIPHLYLQSAEGKRMIQKYEDLLSLLEE >ENSMUSP00000050666.4 pep:known chromosome:GRCm38:3:92944486:92945733:1 gene:ENSMUSG00000045475.5 transcript:ENSMUST00000055375.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce3c description:late cornified envelope 3C [Source:MGI Symbol;Acc:MGI:2135932] MSCQQSQKQCQPPPKCPSPKCSPKCPPKSTAQCLPAASSCCATSSGGCSLPSSEGGCCLS HHRRRSHRCRRRSSSSCDRGSGQQSGGSGCGHSSGGCC >ENSMUSP00000100790.2 pep:known chromosome:GRCm38:4:3184382:3185305:-1 gene:ENSMUSG00000095982.2 transcript:ENSMUST00000105159.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r3 description:vomeronasal 1 receptor 3 [Source:MGI Symbol;Acc:MGI:4438436] MKMGPGNFAIETFLFCQITVGMFGNSSIPFYYIILIFTEKHLTPKDLIIEHLTFANYLTV ILRGFPQTMSYFGFKNFLDDMGCKLLVYISRITRGVSLYAMCLLSCFQAITTSPNNSRWI KLKYRATKYIGPSCSVSCLVHLLLNILTPLRESGTNYKKNATNRLSYGYCSLFASDSVAT TLYISLVCFSDVFCLGLMACSSISMVSILYRHKRQVKHIHSAQHFLKVSPEDRATQTILI LLCTFVISYSFSSIVAIIRTYLNYPVIWGVNIFTSLEIFFPMFCPFVLITNMRSSSNLFL PCLGKR >ENSMUSP00000110608.1 pep:known chromosome:GRCm38:X:48411046:48454169:-1 gene:ENSMUSG00000031103.12 transcript:ENSMUST00000114958.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf4 description:E74-like factor 4 (ets domain transcription factor) [Source:MGI Symbol;Acc:MGI:1928377] MAIALQPSDLVFEFASNGMDDIHQLEDPSVFPAVIVEQVPYPELVHLCSGLDLDEVHNGI IRDRTLCMTQDQILEGSILLTDDDVSTSNNVSSTEVLFNVATPSDVLDEKQIFSSPEVLS DSNSVQAINLPNFLLSTPEPDDLKKTSDAGDQKEHSEEEKVSREENLRKMGKARKRNRKT KNNRSTSPVTDPSMPIRKKSKDGKGSTIYLWEFLLALLQDRNTCPKYIKWTQREKGIFKL VDSKAVSKLWGKQKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKEMPKDLVVID DEEESPETPEDSSQASTSSTPSTSTIRRASSRVGTRASPEDKDNPPWEKPKVQHTGLQPS ASLELGLSVDEEVPTTSTMLASPLQSQARLTKTVSSSPAPSNIHLGVAPVGPGSTVTLQT IPLTTVLTNGPPASTTAPTQLVLQSVPQVSTFKDTFTLQTSFPLNTNLQENQVATQGAPL ILSGLPQLLAGANPQSNPAPSQVIGAGSAGPSSQPPGTVIAAFIRTSSGTSVPVVKEGPL RSSSYVQGVVTGAPVEGLLVPEETLRELLRDQGHLQPLPSQVLSRGSHNLSLVGNQTLSP PSHPTVGLTPVAELELSSGSGPLFVTEPSVTRSPTQAPFSPFNPTSLIKMEPQDI >ENSMUSP00000118436.1 pep:known chromosome:GRCm38:X:48416658:48463132:-1 gene:ENSMUSG00000031103.12 transcript:ENSMUST00000140486.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf4 description:E74-like factor 4 (ets domain transcription factor) [Source:MGI Symbol;Acc:MGI:1928377] MAIALQPSDLVFEFASNGMDDIHQLEDPSVFPAVIVEQVPYPELVHLCSGLDLDEVHNGI IRDRTLCMTQDQILEGSILLTDDDVSTSNNVSSTEVLFNVATPSDVLDEKQIFSSPEVLS DSNSVQAINLPNFLLSTPEPDDLKKTSDAGDQKEHSEEEKVSREENLRKMGKARKRNRKT KNNRSTSPVTDPSMPIRKKSKDGKGSTIYLWEFLLALLQDRNTCPKYIKWTQREKGIFKL VDSKAVSKLWGKQKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKEMPKDLVVID DEEESPETPEDSSQASTSSTPSTSTIRRASSRVGTRASPEDKDNPPWEKPKVQHTGLQPS ASLELGLSVDEEVPTTSTMLASPLQSQARLT >ENSMUSP00000033429.2 pep:known chromosome:GRCm38:X:48411049:48463132:-1 gene:ENSMUSG00000031103.12 transcript:ENSMUST00000033429.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Elf4 description:E74-like factor 4 (ets domain transcription factor) [Source:MGI Symbol;Acc:MGI:1928377] MAIALQPSDLVFEFASNGMDDIHQLEDPSVFPAVIVEQVPYPELVHLCSGLDLDEVHNGI IRDRTLCMTQDQILEGSILLTDDDVSTSNNVSSTEVLFNVATPSDVLDEKQIFSSPEVLS DSNSVQAINLPNFLLSTPEPDDLKKTSDAGDQKEHSEEEKVSREENLRKMGKARKRNRKT KNNRSTSPVTDPSMPIRKKSKDGKGSTIYLWEFLLALLQDRNTCPKYIKWTQREKGIFKL VDSKAVSKLWGKQKNKPDMNYETMGRALRYYYQRGILAKVEGQRLVYQFKEMPKDLVVID DEEESPETPEDSSQASTSSTPSTSTIRRASSRVGTRASPEDKDNPPWEKPKVQHTGLQPS ASLELGLSVDEEVPTTSTMLASPLQSQARLTKTVSSSPAPSNIHLGVAPVGPGSTVTLQT IPLTTVLTNGPPASTTAPTQLVLQSVPQVSTFKDTFTLQTSFPLNTNLQENQVATQGAPL ILSGLPQLLAGANPQSNPAPSQVIGAGSAGPSSQPPGTVIAAFIRTSSGTSVPVVKEGPL RSSSYVQGVVTGAPVEGLLVPEETLRELLRDQGHLQPLPSQVLSRGSHNLSLVGNQTLSP PSHPTVGLTPVAELELSSGSGPLFVTEPSVTRSPTQAPFSPFNPTSLIKMEPQDI >ENSMUSP00000036699.7 pep:known chromosome:GRCm38:7:24530689:24538600:1 gene:ENSMUSG00000041037.8 transcript:ENSMUST00000049020.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Irgq description:immunity-related GTPase family, Q [Source:MGI Symbol;Acc:MGI:2667176] MPLPQGDVTALFLGPPGSGKSALIAALCGKNVDTVEIPDGRQDSGVPSLRAAAPGLFLGE LSCPPAAPGPWAAEANLLVLVLPGSEGSEEPLTPALGEAARAALARGTPLLAVRNLRPGD SQNAAKARDETAALLNSAGLGAAPLFVPPADCSSSDRCEELERLQVVLRTQAEALQRLLP PAQDGFEVLGAAELEAVREAFETGGLEAALSWVRAGLERLGSARLDLAVAGTTNVGLVLD MLLGLDPGDPGAAPASAPTGPTPYPAPERPNVVLWTVPLGPTATSPAVTPHPTHYDALIL VTPGAPTEENWAQVRSLVSPDAPLVGVRTDGQGEDPPEVLEEEKAQNASDGNSGDARSEG KKAGIGDSGCTAARSPEDELWEVLEEAPPPVFPMRPGGLPGLGTWLQHALPTAQAGALLL ALPPASPRAARRKAAALRAGAWRPALLASLAAAAAPVPGLGWACDVALLRGQLAEWRRAL GLEPAAVARRERALGLAPGVLATRTRFPGPVTRAEVEARLGSWAGEGTAGGAALSALSFL WPTGGAAATGGLGYRAAHGVLLQALDEMLADAEAVLGPPEPNQ >ENSMUSP00000007708.7 pep:known chromosome:GRCm38:17:20945311:20965916:1 gene:ENSMUSG00000007564.14 transcript:ENSMUST00000007708.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r1a description:protein phosphatase 2, regulatory subunit A, alpha [Source:MGI Symbol;Acc:MGI:1926334] MAAADGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTRSELLPFLTDTIY DEDEVLLALAEQLGTFTTLVGGPEYVHCLLPPLESLATVEETVVRDKAVESLRAISHEHS PSDLEAHFVPLVKRLAGGDWFTSRTSACGLFSVCYPRVSSAVKAELRQYFRNLCSDDTPM VRRAAASKLGEFAKVLELDNVKSEIIPMFSNLASDEQDSVRLLAVEACVNIAQLLPQEDL EALVMPTLRQAAEDKSWRVRYMVADKFTELQKAVGPEITKTDLVPAFQNLMKDCEAEVRA AASHKVKEFCENLSADCRENVIMTQILPCIKELVSDANQHVKSALASVIMGLSPILGKDN TIEHLLPLFLAQLKDECPEVRLNIISNLDCVNEVIGIRQLSQSLLPAIVELAEDAKWRVR LAIIEYMPLLAGQLGVEFFDEKLNSLCMAWLVDHVYAIREAATSNLKKLVEKFGKEWAHA TIIPKVLAMSGDPNYLHRMTTLFCINVLSEVCGQDITTKHMLPTVLRMAGDPVANVRFNV AKSLQKIGPILDNSTLQSEVKPILEKLTQDQDVDVKYFAQEALTVLSLA >ENSMUSP00000133778.1 pep:known chromosome:GRCm38:17:20945487:20958784:1 gene:ENSMUSG00000007564.14 transcript:ENSMUST00000173658.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ppp2r1a description:protein phosphatase 2, regulatory subunit A, alpha [Source:MGI Symbol;Acc:MGI:1926334] MAAADGDDSLYPIAVLIDELRNEDVQLRLNSIKKLSTIALALGVERTRSELLPFLTDTIY DEDEVLLALAEQLGTFTTLVGGLTLCLW >ENSMUSP00000133334.1 pep:known chromosome:GRCm38:17:20956778:20959509:1 gene:ENSMUSG00000007564.14 transcript:ENSMUST00000147983.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r1a description:protein phosphatase 2, regulatory subunit A, alpha [Source:MGI Symbol;Acc:MGI:1926334] AKVLELDNVKSEIIPMFSNLASDEQDSVRLLAVEACVNIAQLLPQEDLEALVMPTLRQAA EDKSWRVRYMVADKFTEVKEFCENLSADCRENVIMTQILPCIKELVSDANQHVKSALASV IMGLSPILGKDNTIEHLLPLFLA >ENSMUSP00000130993.2 pep:known chromosome:GRCm38:3:92957390:92958505:1 gene:ENSMUSG00000043472.10 transcript:ENSMUST00000059053.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce3d description:late cornified envelope 3D [Source:MGI Symbol;Acc:MGI:3642919] MSCQQSQKQCQPPPKCPSPKCSPKCPPKSTTQCLPAASSCCATNSGGCSVPSSEGGCCLS HHRRRSHRCRRRSSSSCDRGSGQQSGGSGCGHSSGGCC >ENSMUSP00000117229.1 pep:known chromosome:GRCm38:14:54883441:54898137:1 gene:ENSMUSG00000092232.1 transcript:ENSMUST00000134077.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20521 description:predicted gene 20521 [Source:MGI Symbol;Acc:MGI:5141986] MATPASTPDTRALVADFVGYKLRQKGYVCGAGPGEGPAADPLHQAMRAAGDEFETRFRRT FSDLAAQLHVTPGSAQQRFTQVSDELFQGGPNWGRLVAFFVFGAALCAESVNKEMEPLVG QVQDWMVAYLETRLADWIHSSGGWELEAIKARVREMEEEAEKLKELQNEVEKQMNMSPPP GNAGPVIMSLEEKMEADARSIYVGNVDYGATAEELEAHFHGCGSVNRVTILCDKFSGHPK GFAYIEFSDKESVRTSLALDESLFRGRQIKVIPKRTNRPGISTTDRGFPRSRYRARTTNY NSSRSRFYSGFNSRPRGRIYRGRARATSWYSPY >ENSMUSP00000034022.3 pep:known chromosome:GRCm38:8:57482707:57487860:-1 gene:ENSMUSG00000031609.3 transcript:ENSMUST00000034022.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sap30 description:sin3 associated polypeptide [Source:MGI Symbol;Acc:MGI:1929129] MNGFTPEEMSRGGDAAAAVAAVVAAAAAAASAGNGNAAGGGAEVPGAGAVSASGPPGAAG PGPGQLCCLREDGERCGRAAGNASFSKRIQKSISQKKVKIELDKSARHLYICDYHKNLIQ SVRNRRKRKGSDDDGGDSPVQDIDTPEVDLYQLQVNTLRRYKRHFKLPTRPGLNKAQLVE IVGCHFKSIPVNEKDTLTCFIYSVRNDKNKSDLKADSGVH >ENSMUSP00000011981.3 pep:known chromosome:GRCm38:8:4253080:4256220:1 gene:ENSMUSG00000011837.4 transcript:ENSMUST00000011981.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc2 description:small nuclear RNA activating complex, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1914861] MKPPQRRRRVPARYVGEATGPTAWSPREMRHLLRLLQARRGQPEPDAAELAQELRGRSEA EICRFIQQLKGRVVREAIQKMQPGGREGPRHQGTPLPAPVEVWMDLAEKLTGPMEEALTA AFSQVLTIAAAEPLSLLHSRPGKPTKARGKALVFLSNQDGQKDPASEGSGPVPMTAADPT REASVSDPKASGANPETSGLASEVTVPDPDAPTKSLAGSSTERDLAVDFEKIYKYLSFSS RGGHGPELSAAESAVVLNLLMALPEELSHLPCTALLEHMTKTYAQLMAPQTALPGEKRPR PGTEDGGTGSTGPEEPDQASPQASEPIEPRLAWKAVGICPLNPFLVPLGLVSQAPSPSR >ENSMUSP00000146771.1 pep:known chromosome:GRCm38:8:4253094:4256218:1 gene:ENSMUSG00000011837.4 transcript:ENSMUST00000208316.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc2 description:small nuclear RNA activating complex, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1914861] MKPPQRRRRVPARYVGEATGPTAWSPREMRHLLRLLQARRGQPEPDAAELAQELRGRSEA EICRFIQQLKGRVVREAIQKMQPGGREGPRHQGTPLPAPVEVWMDLAEKLTERDLAVDFE KIYKYLSFSSRGGHGPELSAAESAVVLNLLMALPEELSHLPCTALLEHMTKTYAQLMAPQ TALPGEKRPRPGTEDGGTGSTGPEEPDQASPQASEPIEPRLAWKAVGICPLNPFLVPLGL VSQAPSPSR >ENSMUSP00000146560.1 pep:known chromosome:GRCm38:8:4253419:4255632:1 gene:ENSMUSG00000011837.4 transcript:ENSMUST00000208459.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc2 description:small nuclear RNA activating complex, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1914861] MQPGGREGPRHQGTPLPAPVEVWMDLAEKLTGPMEEALTAAFSQVLTIAAAEPLSLLHSR PGKPTKARGKALVFLSNQDGQKDPASEGSGPVPMTAADPTREASVSDPKASGANPETSGL ASEVTVPDPDAPTKSLAGSSTERDLAVDFEKIYKYLSFSSRGGHGPELSAAESAVVLNLL MALPEELSHLPCTALLEHMTKTYAQLMAPQTALPGEKRPRPG >ENSMUSP00000146378.1 pep:known chromosome:GRCm38:8:4255071:4255825:1 gene:ENSMUSG00000011837.4 transcript:ENSMUST00000208110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snapc2 description:small nuclear RNA activating complex, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1914861] XLVFLSNQDGQKDPASEEVAMALSSQQLSQLWSLTCSWHFQRNCPTCLARPCWNI >ENSMUSP00000025271.9 pep:known chromosome:GRCm38:17:35506018:35510772:1 gene:ENSMUSG00000024406.16 transcript:ENSMUST00000025271.16 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou5f1 description:POU domain, class 5, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101893] MAGHLASDFAFSPPPGGGDGSAGLEPGWVDPRTWLSFQGPPGGPGIGPGSEVLGISPCPP AYEFCGGMAYCGPQVGLGLVPQVGVETLQPEGQAGARVESNSEGTSSEPCADRPNAVKLE KVEPTPEESQDMKALQKELEQFAKLLKQKRITLGYTQADVGLTLGVLFGKVFSQTTICRF EALQLSLKNMCKLRPLLEKWVEEADNNENLQEICKSETLVQARKRKRTSIENRVRWSLET MFLKCPKPSLQQITHIANQLGLEKDVVRVWFCNRRQKGKRSSIEYSQREEYEATGTPFPG GAVSFPLPPGPHFGTPGYGSPHFTTLYSVPFPEGEAFPSVPVTALGSPMHSN >ENSMUSP00000134729.1 pep:known chromosome:GRCm38:17:35507482:35510134:1 gene:ENSMUSG00000024406.16 transcript:ENSMUST00000173934.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou5f1 description:POU domain, class 5, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101893] MKALQKELEQFAKLLKQKRITLGYTQADVGLTLGVLFGKVFSQTTICRFEALQLSLKNMC KLRPLLEKWVEEADNNENLQEICKSETLVQARKRKRTSIENRVRWSLETMFLKCPKPSLQ QITHIANQLGLE >ENSMUSP00000134654.1 pep:known chromosome:GRCm38:17:35508805:35510134:1 gene:ENSMUSG00000024406.16 transcript:ENSMUST00000172651.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou5f1 description:POU domain, class 5, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101893] MKALQKELEQFAKLLKQKRITLGYTQADVGLTLGVLFGKVFSQTTICRFEALQLSLKNMC KLRPLLEKWVEEADNNENLQEICKSETLVQARKRKRTSIENRVRWSLETMFLKCPKPSLQ QITHIANQLGLE >ENSMUSP00000133633.1 pep:known chromosome:GRCm38:17:35508807:35510134:1 gene:ENSMUSG00000024406.16 transcript:ENSMUST00000173805.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou5f1 description:POU domain, class 5, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101893] MKALQKELEQFAKLLKQKRITLGYTQADVGLTLGVLFGKVFSQTTICRFEALQLSLKNMC KLRPLLEKWVEEADNNENLQEICKSETLVQARKRKRTSIENRVRWSLETMFLKCPKPSLQ QITHIANQLGLE >ENSMUSP00000134060.1 pep:known chromosome:GRCm38:17:35508817:35510134:1 gene:ENSMUSG00000024406.16 transcript:ENSMUST00000173256.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou5f1 description:POU domain, class 5, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101893] MKALQKELEQFAKLLKQKRITLGYTQADVGLTLGVLFGKVFSQTTICRFEALQLSLKNMC KLRPLLEKWVEEADNNENLQEICKSETLVQARKRKRTSIENRVRWSLETMFLKCPKPSLQ QITHIANQLGLE >ENSMUSP00000134493.1 pep:known chromosome:GRCm38:17:35508821:35510134:1 gene:ENSMUSG00000024406.16 transcript:ENSMUST00000174782.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou5f1 description:POU domain, class 5, transcription factor 1 [Source:MGI Symbol;Acc:MGI:101893] MGHPRVTVPAGVTLTSLPFSLQSQDMKALQKELEQFAKLLKQKRITLGYTQADVGLTLGV LFGKVFSQTTICRFEALQLSLKNMCKLRPLLEKWVEEADNNENLQEICKSETLVQARKRK RTSIENRVRWSLETMFLKCPKPSLQQITHIANQLGLE >ENSMUSP00000138009.1 pep:known chromosome:GRCm38:15:77633951:77643286:-1 gene:ENSMUSG00000091694.8 transcript:ENSMUST00000181467.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol11b description:apolipoprotein L 11b [Source:MGI Symbol;Acc:MGI:3036248] MTLSYESEARNLIGASMNVLEEILSIMPKITCKFYNTVADLVKAFKTLKGQIQTIRRARS ISRRGAQARNLTSTGRSSGQGVSQMTRGARIRAGVFTSVFLGWDVYDLVTKQRICMMGEK QSQVEHCGTWLASWRRTFRNLSRSTMLCSQTCLSDALP >ENSMUSP00000129476.1 pep:known chromosome:GRCm38:15:77633951:77643286:-1 gene:ENSMUSG00000091694.8 transcript:ENSMUST00000166623.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol11b description:apolipoprotein L 11b [Source:MGI Symbol;Acc:MGI:3036248] MDWNEISEDIRKVECRTFEKVIDNATEDLITDLRSLITEDGAWNGFVEAVELSSEEGEAL RDALKERLAQDPTDENDRPQREQQKERFLREFPQLKKKLEDHIRKLRDLADKFDQVHKDC TISNVVSTSASAASGVLALLGLVLSTVTAGASLALSASSVALGATSSVSSFTTTVVEDSM TLSYESEARNLIGASMNVLEEILSIMPKITCKFYNTVADLVKAFKTLKGQIQTIRRARSI SRRGAQARNLTSTGRSSGQGVSQMTRGARIRAGVFTSVFLGWDVYDLVTKQRICMMGEKQ SQVEHCGTWLASWRRTFRNLSRSTMLCSQTCLSDALP >ENSMUSP00000137890.1 pep:known chromosome:GRCm38:15:77633979:77643278:-1 gene:ENSMUSG00000091694.8 transcript:ENSMUST00000180949.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol11b description:apolipoprotein L 11b [Source:MGI Symbol;Acc:MGI:3036248] MDWNEISEDIRKVECRTFEKVIDNATEDLITDLRSLITEDGAWNGFVEAVELSSEEGEAL RDALKERLAQDPTDENDRPQREQQKERFLREFPQLKKKLEDHIRKLRDLADKFDQVHKDC TISNVVSTSASAASGVLALLGLVLSTVTAGASLALSASSVALGATSSVSSFTTTVVEDSM TLSYESEARNLIGASMNVLEEILSIMPKITCKFYNTVADLVKAFKTLKGQIQTIRRARSI SRRGAQARNLTSTGRSSGQGVSQMTRGARIRAGVFTSVFLGWDVYDLVTKQRICMMGEKQ SQVEHCGTWLASWRRTFRNLSRSTMLCSQTCLSDALP >ENSMUSP00000137798.1 pep:known chromosome:GRCm38:15:77635650:77643270:-1 gene:ENSMUSG00000091694.8 transcript:ENSMUST00000181154.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Apol11b description:apolipoprotein L 11b [Source:MGI Symbol;Acc:MGI:3036248] MDWNEISEDIRKVECRTFEKVIDNATEDLITDLRSLITEDGAWNGFVEAVELSSEEGEAL RDALKERLAQDPTDEN >ENSMUSP00000112624.1 pep:known chromosome:GRCm38:16:19760208:19772233:1 gene:ENSMUSG00000022686.14 transcript:ENSMUST00000121344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt5 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 5 [Source:MGI Symbol;Acc:MGI:2137302] MRLFVSRRVKRWKIFHFFVTCFILSFMVFWSPINNYIMSHMKSYSYRYLVNSYGFVNNSL SLKHSSVQPHYPYLINHREKCQAQDVLLLLFIKTAPENYGRRSAIRKTWGNENYVQSQLN ANIKILFALGTPGPLKGKELQKRLIGEDQVYKDIIQQDFIDSFHNLTSKFLLQFSWANTF CPHAKFLMTADDDIFIHMPNLIEYLQGLEQIGVRDFWIGHVHRGGPPVRDKSSKYYVPYE MYKWPAYPDYTAGAAYVVSRDVAAKIYEASQTLNSSMYIDDVFMGLCANKVGILPQDHVF FSGEGKIPYHPCIYEKMMTSHGHLQDLQDLWIEATHPKVKNISKGFFGQIYCRLIKIVLL CRLTYRNSYPCWAAFA >ENSMUSP00000078712.3 pep:known chromosome:GRCm38:16:19760234:19772463:1 gene:ENSMUSG00000022686.14 transcript:ENSMUST00000079780.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt5 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 5 [Source:MGI Symbol;Acc:MGI:2137302] MRLFVSRRVKRWKIFHFFVTCFILSFMVFWSPINNYIMSHMKSYSYRYLVNSYGFVNNSL SLKHSSVQPHYPYLINHREKCQAQDVLLLLFIKTAPENYGRRSAIRKTWGNENYVQSQLN ANIKILFALGTPGPLKGKELQKRLIGEDQVYKDIIQQDFIDSFHNLTSKFLLQFSWANTF CPHAKFLMTADDDIFIHMPNLIEYLQGLEQIGVRDFWIGHVHRGGPPVRDKSSKYYVPYE MYKWPAYPDYTAGAAYVVSRDVAAKIYEASQTLNSSMYIDDVFMGLCANKVGILPQDHVF FSGEGKIPYHPCIYEKMMTSHGHLQDLQDLWIEATHPKVKNISKGFFGQIYCRLIKIVLL CRLTYRNSYPCWAAFA >ENSMUSP00000113145.1 pep:known chromosome:GRCm38:16:19761054:19770624:1 gene:ENSMUSG00000022686.14 transcript:ENSMUST00000119468.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt5 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 5 [Source:MGI Symbol;Acc:MGI:2137302] MRLFVSRRVKRWKIFHFFVTCFILSFMVFWSPINNYIMSHMKSYSYRYLVNSYGFVNNSL SLKHSSVQPHYPYLINHREKCQAQDVLLLLFIKTAPENYGRRSAIRKTWGNENYVQSQLN ANIKILFALGTPGPLKGKELQKRLIGEDQVYKDIIQQDFIDSFHNLTSKFLLQFSWANTF CPHAKFLMTADDDIFIHMPNLIEYLQGLEQIGVRDFWIGHVHRGGPPVRDKSSKYYVPYE MYKWPAYPDYTAGAAYVVSRDVAAKIYEASQTLNSSMYIDDVFMGLCANKVGILPQDHVF FSGEGKIPYHPCIYEKMMTSHGHLQDLQDLWIEATHPKVKNISKGFFGQIYCRLIKIVLL CRLTYRNSYPCWAAFA >ENSMUSP00000126157.1 pep:known chromosome:GRCm38:16:19760234:19772753:1 gene:ENSMUSG00000022686.14 transcript:ENSMUST00000164397.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:B3gnt5 description:UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 5 [Source:MGI Symbol;Acc:MGI:2137302] MRLFVSRRVKRWKIFHFFVTCFILSFMVFWSPINNYIMSHMKSYSYRYLVNSYGFVNNSL SLKHSSVQPHYPYLINHREKCQAQDVLLLLFIKTAPENYGRRSAIRKTWGNENYVQSQLN ANIKILFALGTPGPLKGKELQKRLIGEDQVYKDIIQQDFIDSFHNLTSKFLLQFSWANTF CPHAKFLMTADDDIFIHMPNLIEYLQGLEQIGVRDFWIGHVHRGGPPVRDKSSKYYVPYE MYKWPAYPDYTAGAAYVVSRDVAAKIYEASQTLNSSMYIDDVFMGLCANKVGILPQDHVF FSGEGKIPYHPCIYEKMMTSHGHLQDLQDLWIEATHPKVKNISKGFFGQIYCRLIKIVLL CRLTYRNSYPCWAAFA >ENSMUSP00000115294.1 pep:known chromosome:GRCm38:14:54892500:54897183:1 gene:ENSMUSG00000022194.15 transcript:ENSMUST00000140691.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpn1 description:poly(A) binding protein, nuclear 1 [Source:MGI Symbol;Acc:MGI:1859158] MDPQQECDSASSSQASEELEAIKARVREMEEEAEKLKELQNEVEKQMNMSPPPGNAGPVI MSLEEKMEADARSIYVGNVDYGATAEELEAHFHGCGSVNRVTILCDKFSGHPKGFAYIEF SDKESVRTSLALDESLFRGRQIKVIPKRTNRPGISTTDRGFPRS >ENSMUSP00000112177.2 pep:known chromosome:GRCm38:14:54894148:54898156:1 gene:ENSMUSG00000022194.15 transcript:ENSMUST00000116476.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpn1 description:poly(A) binding protein, nuclear 1 [Source:MGI Symbol;Acc:MGI:1859158] MAAAAAAAAAAGAAGGRGSGPGRRRHLVPGAGGEAGEGDPGGAGDYGNGLESEELEPGEL LPEPEPEEEPPRPRAPPGAPGPGPGSGAPGSQEEEEEPGLVEADPGDGAIEDPELEAIKA RVREMEEEAEKLKELQNEVEKQMNMSPPPGNAGPVIMSLEEKMEADARSIYVGNVDYGAT AEELEAHFHGCGSVNRVTILCDKFSGHPKGFAYIEFSDKESVRTSLALDESLFRGRQIKV IPKRTNRPGISTTDRGFPRSRYRARTTNYNSSRSRFYSGFNSRPRGRIYRSG >ENSMUSP00000022808.7 pep:known chromosome:GRCm38:14:54894148:54898169:1 gene:ENSMUSG00000022194.15 transcript:ENSMUST00000022808.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpn1 description:poly(A) binding protein, nuclear 1 [Source:MGI Symbol;Acc:MGI:1859158] MAAAAAAAAAAGAAGGRGSGPGRRRHLVPGAGGEAGEGDPGGAGDYGNGLESEELEPGEL LPEPEPEEEPPRPRAPPGAPGPGPGSGAPGSQEEEEEPGLVEADPGDGAIEDPELEAIKA RVREMEEEAEKLKELQNEVEKQMNMSPPPGNAGPVIMSLEEKMEADARSIYVGNVDYGAT AEELEAHFHGCGSVNRVTILCDKFSGHPKGFAYIEFSDKESVRTSLALDESLFRGRQIKV IPKRTNRPGISTTDRGFPRSRYRARTTNYNSSRSRFYSGFNSRPRGRIYRGRARATSWYS PY >ENSMUSP00000133937.1 pep:known chromosome:GRCm38:14:54894155:54898096:1 gene:ENSMUSG00000022194.15 transcript:ENSMUST00000150975.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpn1 description:poly(A) binding protein, nuclear 1 [Source:MGI Symbol;Acc:MGI:1859158] MAAAAAAAAAAGAAGGRGSGPGRRRHLVPGAGGEAGEGDPGGAGDYGNGLESEELEPGEL LPEPEPEEEPPRPRAPPGAPGPGPGSGAPGSQEEEEEPGLVEADPGDGAIEDPELEAIKA RVREMEEEAEKLKELQNEVEKQMNMSPPPGNAGPVIMSLEEKMEADARSIYVGNVDYGAT AEELEAHFHGCGSVNRVTILCDKFSGHPKGFAYIEFSDKESVRTSLALDESLFRGRQIKV SIVPWLSVLYRVSGLTPSLCVLCPFQVIPKRTNRPGISTTDRGFPRSRYRARTTNYNSSR SRFYSGFNSRPRGRIYRSG >ENSMUSP00000133579.1 pep:known chromosome:GRCm38:14:54894156:54898056:1 gene:ENSMUSG00000022194.15 transcript:ENSMUST00000172695.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Pabpn1 description:poly(A) binding protein, nuclear 1 [Source:MGI Symbol;Acc:MGI:1859158] MAAAAAAAAAAGAAGGRGSGPGRRRHLVPGAGGEAGEGDPGGAGDYGNGLDSGSWARLGS PRQSGGGGRAGTG >ENSMUSP00000123305.1 pep:known chromosome:GRCm38:14:54894573:54897557:1 gene:ENSMUSG00000022194.15 transcript:ENSMUST00000141446.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpn1 description:poly(A) binding protein, nuclear 1 [Source:MGI Symbol;Acc:MGI:1859158] MEEEAEKLKELQNEVEKQMNMSPPPGNAGPVIMSLEEKMEADARSIYVGNVDYGATAEEL EAHFHGCGSVNRVTILCDKFSGHPKGFAYIEFSDKESVRTSLALDESLFRGRQIKVIPKR TNRPGISTTDRGFPRSRYRARTTNYNSSRSRFYSGFNSRPRGRIYRSG >ENSMUSP00000122432.1 pep:known chromosome:GRCm38:14:54894602:54897399:1 gene:ENSMUSG00000022194.15 transcript:ENSMUST00000139985.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpn1 description:poly(A) binding protein, nuclear 1 [Source:MGI Symbol;Acc:MGI:1859158] MEEEAEKLKELQNEVEKQMNMSPPPGNAGPVIMSLEEKMEADARSIYVGNVDYGATAEEL EAHFHGCGSVNRVTILCDKFSGHPKGFAYIEFSDKESVRTSLALDESLFRGRQIKVIPKR TNRPGISTTDRGFPRSRYRARTTNYNSSRSRFYSGFNSRPRGRIYRSG >ENSMUSP00000133405.1 pep:known chromosome:GRCm38:14:54894618:54898134:1 gene:ENSMUSG00000022194.15 transcript:ENSMUST00000172557.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpn1 description:poly(A) binding protein, nuclear 1 [Source:MGI Symbol;Acc:MGI:1859158] MEEEAEKLKELQNEVEKQMNMSPPPGNAGPVIMSLEEKMEADARSIYVGNVDYGATAEEL EAHFHGCGSVNRVTILCDKFSGHPKGFAYIEFSDKESVRTSLALDESLFRGRQIKVIPKR TNRPGISTTDRGFPRSRYRARTTNYNSSRSRFYSGFNSRPRGRIYRGRARATSWYSPY >ENSMUSP00000133473.1 pep:known chromosome:GRCm38:14:54894833:54895890:1 gene:ENSMUSG00000022194.15 transcript:ENSMUST00000146271.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pabpn1 description:poly(A) binding protein, nuclear 1 [Source:MGI Symbol;Acc:MGI:1859158] MSLEEKMEADARSIYVGNVDYGATAEELEAHFHGCGSVNRVT >ENSMUSP00000134026.1 pep:known chromosome:GRCm38:17:33685692:33718670:-1 gene:ENSMUSG00000079557.10 transcript:ENSMUST00000172934.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:March2 description:membrane-associated ring finger (C3HC4) 2 [Source:MGI Symbol;Acc:MGI:1925915] MTTGDCCHLPGSLCDCSSSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIRALDSQSD CPFCRICHEGANGENLLSPCGCTGTLGAVHKSCLEKWLSSSNTSYCELCHTEFAVEKRPR PLTEWLKDPGPRTEKRTLCCDMVCFVFITPLAAISGWLCLRGAQDHLRLHSRLEAVGLIA LTIALFTIYVLWTLLQRFSPLSLRQEHGSIQGGMVQVELRVLHLRLKEASGRLTPRHLGQ VH >ENSMUSP00000065225.6 pep:known chromosome:GRCm38:17:33685712:33718645:-1 gene:ENSMUSG00000079557.10 transcript:ENSMUST00000066121.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March2 description:membrane-associated ring finger (C3HC4) 2 [Source:MGI Symbol;Acc:MGI:1925915] MTTGDCCHLPGSLCDCSSSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIRALDSQSD CPFCRICHEGANGENLLSPCGCTGTLGAVHKSCLEKWLSSSNTSYCELCHTEFAVEKRPR PLTEWLKDPGPRTEKRTLCCDMVCFVFITPLAAISGWLCLRGAQDHLRLHSRLEAVGLIA LTIALFTIYVLWTLVSFRYHCQLYSEWRKTNQKVRLKIREADGSEDPHHSLLATGLLKKL QRFSPLSLRQEHGSIQGGMVQVELRVLHLRLKEASGRLTPRHLGQVH >ENSMUSP00000127601.1 pep:known chromosome:GRCm38:17:33685813:33718645:-1 gene:ENSMUSG00000079557.10 transcript:ENSMUST00000167611.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:March2 description:membrane-associated ring finger (C3HC4) 2 [Source:MGI Symbol;Acc:MGI:1925915] MTTGDCCHLPGSLCDCSSSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIRALDSQSD CPFCRICHEGANGENLLSPCGCTGTLGAVHKSCLEKWLSSSNTSYCELCHTEFAVEKRPR PLTEWLKDPGPRTEKRTLCCDMVCFVFITPLAAISGWLCLRGAQDHLRLHSRLEAVGLIA LTIALFTIYVLWTLVSFRYHCQLYSEWRKTNQKVRLKIREADGSEDPHHSLLATGLLKKL QRFSPLSLRQEHGSIQGGMVQVELRVLHLRLKEASGRLTPRHLGQVH >ENSMUSP00000133802.1 pep:known chromosome:GRCm38:17:33691515:33718640:-1 gene:ENSMUSG00000079557.10 transcript:ENSMUST00000173015.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March2 description:membrane-associated ring finger (C3HC4) 2 [Source:MGI Symbol;Acc:MGI:1925915] MTTGDCCHLPGSLCDCSSSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIRALDSQSD CPFCRICHEGANGENLLSPCGCTGTLGAVHKSCLEKWLSSSNTSYCELCHTEFAVEKRPR PLTEWLKDPGPRTEKRTLCCDMVCFVFITPLAAISGWLCLRGAQDHLRLHSRLEAVGLIA LTIALFTIYVLWTLGGGLSLPPHPLQDCPRRSLSDTIASCTRNGGRQIRKSA >ENSMUSP00000134220.1 pep:known chromosome:GRCm38:17:33691515:33718653:-1 gene:ENSMUSG00000079557.10 transcript:ENSMUST00000172767.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March2 description:membrane-associated ring finger (C3HC4) 2 [Source:MGI Symbol;Acc:MGI:1925915] MTTGDCCHLPGSLCDCSSSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIRALDSQSD CPFCRICHEGANGENLLSPCGCTGTLGAVHKSCLEKWLSSSNTSYCELCHTEFAVEKRPR PLTEWLKDPGPRTEKRTLCCDMVCFVFITPLAAISGWLCLRGAQDHLRLHSRLEAVGLIA LTIALFTIYVLWTLVSFRYHCQLYSEWRKTNQKVRLKIREADGSEDPHHSLLATGLLKKV AEETPV >ENSMUSP00000133940.1 pep:known chromosome:GRCm38:17:33691839:33703201:-1 gene:ENSMUSG00000079557.10 transcript:ENSMUST00000173454.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March2 description:membrane-associated ring finger (C3HC4) 2 [Source:MGI Symbol;Acc:MGI:1925915] PFCRICHEGANGENLLSPCGCTGTLGAVHKSCLEKWLSSSNTSYCELCHTEFAVEKRPRP LTEWLKDPGPRTEKRTLCCDMVCFVFITPLAAISGWLCLRGAQDHLRLHSRLEAVGLIAL TIALFTIYVLWTLLYSEWRKTNQKVRLKIREADGSEDPHHSLLATGLLKKVAEETPV >ENSMUSP00000133825.1 pep:known chromosome:GRCm38:17:33694217:33718657:-1 gene:ENSMUSG00000079557.10 transcript:ENSMUST00000173329.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March2 description:membrane-associated ring finger (C3HC4) 2 [Source:MGI Symbol;Acc:MGI:1925915] MTTGDCCHLPGSLCDCSSSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIRALDSQSD CPFCRICHEGANGENLLSPCGCTGTLGAVHKSCLEKWLSSSNTSYCELCHTEFAVEKRPR PLTEWLKDPGPRTEKRTLCCDMVCFVFITPLAAISGWLCLRGAQDHLRLHSRLEAVGLIA LTIALFTIYVLWTLVSG >ENSMUSP00000134437.1 pep:known chromosome:GRCm38:17:33696026:33718591:-1 gene:ENSMUSG00000079557.10 transcript:ENSMUST00000174040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March2 description:membrane-associated ring finger (C3HC4) 2 [Source:MGI Symbol;Acc:MGI:1925915] MCMFLGPWASGPEDRRLPVAMTTGDCCHLPGSLCDCSSSPAFSKVVEATGLGPPQYVAQV TSRDGRLLSTVIRALDSQSDCPFCRICHEGANGENLLSPCGCTGTLGAVHKSCLEKWLSS SNTSYCELCHTEFAVEKRPRPLTEWLKDPGPRTEKRTLCCDMVCFVFITPLAAISGWLCL RGAQDHLRLHSRLEAVGLIALTIALFTIYVLWTLVSG >ENSMUSP00000134255.1 pep:known chromosome:GRCm38:17:33703139:33713381:-1 gene:ENSMUSG00000079557.10 transcript:ENSMUST00000173392.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March2 description:membrane-associated ring finger (C3HC4) 2 [Source:MGI Symbol;Acc:MGI:1925915] MTTGDCCHLPGSLCDCSSSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIRALDSQSD CPFCRICHEGANGENLLSPCGC >ENSMUSP00000139724.1 pep:known chromosome:GRCm38:17:33685813:33718645:-1 gene:ENSMUSG00000079557.10 transcript:ENSMUST00000186022.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:March2 description:membrane-associated ring finger (C3HC4) 2 [Source:MGI Symbol;Acc:MGI:1925915] MTTGDCCHLPGSLCDCSSSPAFSKVVEATGLGPPQYVAQVTSRDGRLLSTVIRALDSQSD CPFCRICHEGANGENLLSPCGCTGTLGAVHKSCLEKWLSSSNTSYCELCHTEFAVEKRPR PLTEWLKDPGPRTEKRTLCCDMVCFVFITPLAAISGWLCLRGAQDHLRLHSRLEAVGLIA LTIALFTIYVLWTLVSFRYHCQLYSEWRKTNQKVRLKIREADGSEDPHHSLLATGLLKKL QRFSPLSLRQEHGSIQGGMVQVELRVLHLRLKEASGRLTPRHLGQVH >ENSMUSP00000023146.4 pep:known chromosome:GRCm38:16:10411948:10424428:1 gene:ENSMUSG00000022503.10 transcript:ENSMUST00000023146.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nubp1 description:nucleotide binding protein 1 [Source:MGI Symbol;Acc:MGI:1347073] MEEAPHGCPGADSAQAGRGASCQGCPNQRLCASGAGAAPDPAVEEIREKMKTVRHKLLVL SGKGGVGKSTFSAHLAHGLAEDGDTQVALLDIDICGPSIPKIMGLEGEQVHQSGSGWSPV YVDDNLGVMSVGFLLSSPDDAVIWRGPKKNGMIKQFLRDVDWGDVDYLIVDTPPGTSDEH LSVVQYLAAAHIDGAVILTTPQEVALQDVRKEISFCHKVKLPIIGVVENMSGFICPKCKK ESQIFPPTTGGAEAMCQDLRIPLLGKVPLDPHIGKSCDKGQSFFVEAPDSPATAAYRSII QRIRDFCNSHQSHAETLISP >ENSMUSP00000140013.1 pep:known chromosome:GRCm38:X:3076875:3078817:1 gene:ENSMUSG00000100249.1 transcript:ENSMUST00000189190.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21950 description:predicted gene, 21950 [Source:MGI Symbol;Acc:MGI:5439401] MGLLVSRVLRCRDSSLLEPQPEGIAGASYIPVSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFTNILKG TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QKCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIICNLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYNQEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000144207.1 pep:known chromosome:GRCm38:13:62603013:62624182:-1 gene:ENSMUSG00000072066.6 transcript:ENSMUST00000201563.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6720489N17Rik description:RIKEN cDNA 6720489N17 gene [Source:MGI Symbol;Acc:MGI:2443901] MNAVTYEDVHVNFTQEEWALLDPSQKKLYKDVMLEIYRNLSALGVKGVRVQRNPLNILNV IKPLLYMITVMPKGMKGFIQKRSPLKLFTVSKTFYLTQVSKSIKEH >ENSMUSP00000089151.4 pep:known chromosome:GRCm38:13:62605190:62607499:-1 gene:ENSMUSG00000072066.6 transcript:ENSMUST00000091563.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:6720489N17Rik description:RIKEN cDNA 6720489N17 gene [Source:MGI Symbol;Acc:MGI:2443901] NAVTYEDVHVNFTQEEWALLDPSQKKLYKDVMLEIYRNLSALGVKGVRVQRNPLNILNVI KPLLYMITVMPKGMKGFIQKRSPLKLFTVSKTFYLTQVSKSIKEH >ENSMUSP00000128493.1 pep:known chromosome:GRCm38:7:42116471:42195776:1 gene:ENSMUSG00000090619.1 transcript:ENSMUST00000166447.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r60 description:vomeronasal 2, receptor 60 [Source:MGI Symbol;Acc:MGI:3647050] MFRIFTFVFLYFPIFMCAFTDNECYVKSNDYFYHEGDVTIGALFPLHILYTGNTLTDQSF PYNFQDYHIQYKFKNYLFVLALVFAIEEINRNPDLLPNITIGYDIYNIPFTEKNILYSAF LWRTGISHPLPNCDCGHKRTSPAVLTGPSWSASAHIGTFLQLYKIPQLTFGPFDSILNDR AQFNSLYQMAPEDTSLPFAIVFLLLHFSWSWVGLILPDDHRGTQILSDLRENMESHGICI AFLKMISGTWNAFSNALWKSMEKIEESSANVIVIYGDIISVQGLMLHITQLLVTWKVWVL NSSWDVDSHSDYFMVESFHGSLIFSYHHEEMVEFMNFVQTVNPYKYPEDNYLPKFWHLFF KCSFSKFDCQLLENCQPNASLDLLPRHLFDPAMSEEGYNIYNAVYAVAYSLHEMNLQQIQ TQPYANGEEMAFSPWQLHPFLKNTIMKSHVRGDTVTHGGRNLDAQYDILNFWNFPSGLGL KVKVGTYSLSAQHSLHFSICEQMIQWPIGFTKIPQSVCSESCRPGFRKAAQEGKAVCCFD CIPCANNEISNETDMEQCVQCPESHYANSEKNHCLQKSVSFLAYEEPLGMALTIIALCFT LLTAVVLVVFVKHRDTPIVKANNRTLSYILLLTLFISFLSSLLFIGQPNTTTCILQQTAV GILFTVALSTVLAKAITVVIAFKATVPARMVRWLMVSRAPNFIIPICTLIQLIICGIWLV TSPPFIDLDTHAEHGHIIIVCNMGSTVAFHTVLGYLCSLALGSYTMAFLSRNLPDTFNEA KFLSFSMQIFFCVWITFLPVYHSTKGKVMVAMEVFCILASNIALLGLIFTPKCYIILLRP EKNSYLGVRHKII >ENSMUSP00000118384.1 pep:known chromosome:GRCm38:11:54100095:54114184:1 gene:ENSMUSG00000018906.14 transcript:ENSMUST00000151218.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha2 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha II polypeptide [Source:MGI Symbol;Acc:MGI:894286] MKLQVLVLVLLMSWFGVLSWVQAEFFTSIGHMTDLIYAEKDLVQSLKEYILVEEAKLAKI KSWASKMEALTSRSAADPEGYLAHPVNAYKLVKRLNTDWPALGDLVLQDASAGFVANLSV QRQFFPTD >ENSMUSP00000091749.4 pep:known chromosome:GRCm38:11:54100924:54131652:1 gene:ENSMUSG00000018906.14 transcript:ENSMUST00000093107.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha2 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha II polypeptide [Source:MGI Symbol;Acc:MGI:894286] MKLQVLVLVLLMSWFGVLSWVQAEFFTSIGHMTDLIYAEKDLVQSLKEYILVEEAKLAKI KSWASKMEALTSRSAADPEGYLAHPVNAYKLVKRLNTDWPALGDLVLQDASAGFVANLSV QRQFFPTDEDESGAARALMRLQDTYKLDPDTISRGELPGTKYQAMLSVDDCFGLGRSAYN EGDYYHTVLWMEQVLKQLDAGEEATVTKSLVLDYLSYAVFQLGDLHRAVELTRRLLSLDP SHERAGGNLRYFERLLEEERGKSLSNQTDAGLATQENLYERPTDYLPERDVYESLCRGEG VKLTPRRQKKLFCRYHHGNRVPQLLIAPFKEEDEWDSPHIVRYYDVMSDEEIERIKEIAK PKLARATVRDPKTGVLTVASYRVSKSSWLEEDDDPVVARVNRRMQHITGLTVKTAELLQV ANYGMGGQYEPHFDFSRRPFDSGLKTEGNRLATFLNYMSDVEAGGATVFPDLGAAIWPKK GTAVFWYNLLRSGEGDYRTRHAACPVLVGCKWVSNKWFHERGQEFLRPCGTTEVD >ENSMUSP00000019050.5 pep:known chromosome:GRCm38:11:54100963:54131652:1 gene:ENSMUSG00000018906.14 transcript:ENSMUST00000019050.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha2 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha II polypeptide [Source:MGI Symbol;Acc:MGI:894286] MKLQVLVLVLLMSWFGVLSWVQAEFFTSIGHMTDLIYAEKDLVQSLKEYILVEEAKLAKI KSWASKMEALTSRSAADPEGYLAHPVNAYKLVKRLNTDWPALGDLVLQDASAGFVANLSV QRQFFPTDEDESGAARALMRLQDTYKLDPDTISRGELPGTKYQAMLSVDDCFGLGRSAYN EGDYYHTVLWMEQVLKQLDAGEEATVTKSLVLDYLSYAVFQLGDLHRAVELTRRLLSLDP SHERAGGNLRYFERLLEEERGKSLSNQTDAGLATQENLYERPTDYLPERDVYESLCRGEG VKLTPRRQKKLFCRYHHGNRVPQLLIAPFKEEDEWDSPHIVRYYDVMSDEEIERIKEIAK PKLARATVRDPKTGVLTVASYRVSKSSWLEEDDDPVVARVNRRMQHITGLTVKTAELLQV ANYGMGGQYEPHFDFSRSDEQDAFKRLGTGNRVATFLNYMSDVEAGGATVFPDLGAAIWP KKGTAVFWYNLLRSGEGDYRTRHAACPVLVGCKWVSNKWFHERGQEFLRPCGTTEVD >ENSMUSP00000133275.1 pep:known chromosome:GRCm38:11:54100975:54131662:1 gene:ENSMUSG00000018906.14 transcript:ENSMUST00000174616.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha2 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha II polypeptide [Source:MGI Symbol;Acc:MGI:894286] MKLQVLVLVLLMSWFGVLSWVQAEFFTSIGHMTDLIYAEKDLVQSLKEYILVEEAKLAKI KSWASKMEALTSRSAADPEGYLAHPVNAYKLVKRLNTDWPALGDLVLQDASAGFVANLSV QRQFFPTDEDESGAARALMRLQDTYKLDPDTISRGELPGTKYQAMLSVDDCFGLGRSAYN EGDYYHTVLWMEQVLKQLDAGEEATVTKSLVLDYLSYAVFQLGDLHRAVELTRRLLSLDP SHERAGGNLRYFERLLEEERGKSLSNQTDAGLATQENLYERPTDYLPERDVYESLCRGEG VKLTPRRQKKLFCRYHHGNRVPQLLIAPFKEEDEWDSPHIVRYYDVMSDEEIERIKEIAK PKLARATVRDPKTGVLTVASYRVSKSSWLEEDDDPVVARVNRRMQHITGLTVKTAELLQV ANYGMGGQYEPHFDFSRRPFDSGLKTEGNRLATFLNYMSDVEAGGATVFPDLGAAIWPKK GTAVFWYNLLRSGEGDYRTRHAACPVLVGCKWVSNKWFHERGQEFLRPCGTTEVD >ENSMUSP00000115245.1 pep:known chromosome:GRCm38:11:54100980:54110314:1 gene:ENSMUSG00000018906.14 transcript:ENSMUST00000129499.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha2 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha II polypeptide [Source:MGI Symbol;Acc:MGI:894286] MRTPLSVGLWTSDSFSAVLPFPVMKLQVLVLVLLMSWFGVLSWVQAEFFTSI >ENSMUSP00000121955.1 pep:known chromosome:GRCm38:11:54100991:54111563:1 gene:ENSMUSG00000018906.14 transcript:ENSMUST00000126840.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha2 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha II polypeptide [Source:MGI Symbol;Acc:MGI:894286] MKLQVLVLVLLMSWFGVLSWVQAEFFTSIGHMTDLIYAEKDLVQSLKEYILVEEAKLAKI KSWASKMEALTSRSAADPEGYLAHPVNAYKLVKRLNTDWPALGDLVLQ >ENSMUSP00000121543.1 pep:known chromosome:GRCm38:11:54101068:54111574:1 gene:ENSMUSG00000018906.14 transcript:ENSMUST00000125132.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:P4ha2 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha II polypeptide [Source:MGI Symbol;Acc:MGI:894286] MKLQVLVLVLLMSWFGVLSWVQAEFFTSIGDPDEHASWEAAF >ENSMUSP00000119861.1 pep:known chromosome:GRCm38:11:54101245:54111574:1 gene:ENSMUSG00000018906.14 transcript:ENSMUST00000135653.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha2 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha II polypeptide [Source:MGI Symbol;Acc:MGI:894286] MKLQVLVLVLLMSWFGVLSWVQAEFFTSIGHMTDLIYAEKDLVQSLKEYILVEEAKLAKI KSWASKMEALTSRSAADPEGYLAHPVNAYKLVKRLNTDWPALGDLVLQDASA >ENSMUSP00000121119.1 pep:known chromosome:GRCm38:11:54107320:54114274:1 gene:ENSMUSG00000018906.14 transcript:ENSMUST00000138477.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P4ha2 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha II polypeptide [Source:MGI Symbol;Acc:MGI:894286] MKLQVLVLVLLMSWFGVLSWVQAEFFTSIGHMTDLIYAEKDLVQSLKEYILVEEAKLAKI KSWASKMEALTSRSAADPEGYLAHPVNAYKLVKRLNTDWPALGDLVLQDASAGFVANLSV QRQFFPTDEDESGAARALMRLQDTYKLDPDTISRGELP >ENSMUSP00000118608.1 pep:known chromosome:GRCm38:11:54111021:54120246:1 gene:ENSMUSG00000018906.14 transcript:ENSMUST00000141258.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:P4ha2 description:procollagen-proline, 2-oxoglutarate 4-dioxygenase (proline 4-hydroxylase), alpha II polypeptide [Source:MGI Symbol;Acc:MGI:894286] LVQSLKEYILVEEAKLAKIKRCPMS >ENSMUSP00000025292.8 pep:known chromosome:GRCm38:17:35879819:35892670:1 gene:ENSMUSG00000024422.14 transcript:ENSMUST00000025292.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx16 description:DEAH (Asp-Glu-Ala-His) box polypeptide 16 [Source:MGI Symbol;Acc:MGI:1916442] MATPAGLERWVQEELHSVLGLSERHVAQFLIGTAQRCASAEEFVQRLRDTDTLDLGGPAR DFALKLWSKVPRKAVVEKPARAAEREARALLEKNRSYKLLEDSESGEEAVGSNGSSLQKK RKRRKHLRKKHQEDEEDEEEEVSESGKRKAGGSKSPTEEKPASEDEWERTERERLQDLEE RDAFAERVRQRDKDRTRNVLERSDKKAYEEAQKRLKMAEEDRKAMVPELRKKSRREYLAK REREKLEDLEAELADEEVLFGDVELSRHERRELKYKRRVRDLAREYRAAGEQEKLEATNR YHMPKETRGQPARTVDIVEEESGAPGEEQRRWEEAQLGAASLKFGARDAAAQEAKYQLVL EEDETIEFVRAAQLQGDEEPSGPPLSAQAQQKESIQAVRRSLPVFPFREELLAAIANHQV LIIEGETGSGKTTQIPQYLFEEGYTKKGMKIACTQPRRVAAMSVAARVAREMGVKLGNEV GYSIRFEDCTSERTVLRYMTDGMLLREFLSEPDLASYSVVMVDEAHERTLHTDILFGLIK DVARFRPELKVLVASATLDTARFSAFFDDAPVFRIPGRRFPVDIFYTKAPEADYLEACVV SVLQIHVTQPPGDILVFLTGQEEIEAACEMLQDRCRRLGSKIRELLVLPIYANLPSDMQA RIFQPTPPGARKVVVATNIAETSLTIEGIIYVLDPGFCKQKSYNPRTGMESLTVTPCSKA SANQRAGRAGRVAAGKCFRLYTAWAYQHELEETTVPEIQRTSLGNVVLLLKSLGIHDLMH FDFLDPPPYETLLLALEQLYALGALNHLGELTTSGRKMAELPVDPMLSKMILASEKYSCS EEILTVAAMLSVNNSIFYRPKDKVVHADNARVNFFLPGGDHLVLLNVYTQWAESGYSSQW CYENFVQFRSMRRARDVREQLEGLLERVEVGLTSCQGDYVRVRKAITSGYFYHTARLTRS GYRTVKQQQTVFIHPNSSLFEQQPRWLLYHELVLTTKEFMRQVLEIESSWLLEVAPHYYK AKELEDPHAKKMPKKVGKTREELG >ENSMUSP00000133888.1 pep:known chromosome:GRCm38:17:35879825:35892625:1 gene:ENSMUSG00000024422.14 transcript:ENSMUST00000174366.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Dhx16 description:DEAH (Asp-Glu-Ala-His) box polypeptide 16 [Source:MGI Symbol;Acc:MGI:1916442] MATPAGLERWVQEELHSVLGLSERHVAQFLIGTAQRCASAEEFVQRLRDTDTLDLGGPAR DFALKLWSKVPRKAVVEKPARAAEREARALLEKNRSYKLLEDSESGEEAVGSNGSSLQKK RKRRKHLRKKHQEDEEDEEEEVSESGKRKAGGSKSPTEEKPASEDEWERTERERLQDLEE RDAFAERVRQRDKDRTRNVLERSDKKAYEEAQKRLKMAEEDRKAMVPELRKKSRREYLAK REREKLEDLEAELADEEVLFGDVELSRHERRELKYKRRVRDLAREYRAAGEQEKLEATNR YHMPKETRGQPARTVDIVEEESGAPGEEQRRWEEAQLGAASLKFGARDAAAQEAKYQLVL EEDETIEFVRAAQLQGDEEPSGPPLSAQAQQKESIQAVRRSLPVFPFREELLAAIANHQV LIIEGETGSGKTTQIPQYLFEEGYTKKGMKIACTQPRRVAAMSVAARVAREMGVKLGNEV GYSIRFEDCTSERTVLRYMTDGMLLREFLSEPDLASYSVVMVDEAHERTLHTDILFGLIK DVARFRPELKVLVASATLDTARFSAFFDDAPVFRIPGRRFPVDIFYTKAPEADYLEACVV SVLQIHVTQPPGDILVFLTGQEEIEAACEMLQDRCRRLGSKIRELLVLPIYANLPSDMQA RIFQPTPPGARKKLPSPSKASSMCWTQGSASRRATTLVREWSHSRSPPAARLQPISGLAV QVEWLPGSASACIRPGPISMS >ENSMUSP00000133818.1 pep:known chromosome:GRCm38:17:35889281:35892666:1 gene:ENSMUSG00000024422.14 transcript:ENSMUST00000173967.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dhx16 description:DEAH (Asp-Glu-Ala-His) box polypeptide 16 [Source:MGI Symbol;Acc:MGI:1916442] XVHADNARVNFFLPGGDHLVLLNVYTQWAESGYSSQWCYENFVQFRSMRRARDVREQLEG LLERVEVGLTSCQGDYVRVRKVLEIESSWLLEVAPHYYKAKELEDPHAKKMPKKVGKTRE ELG >ENSMUSP00000127280.1 pep:known chromosome:GRCm38:16:17572018:17576721:-1 gene:ENSMUSG00000022756.15 transcript:ENSMUST00000172164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a4 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 4 [Source:MGI Symbol;Acc:MGI:2146512] MARGLPSTACLARFCQKLNRLKPLEESSMETSLRRCLSTLDLTLLGVGGMVGSGLYVLTG TVAKDMAGPAVLLSFLVAAVASLLAALCYAEFGARVPRTGSAYLFTYVSMGEIWAFLIGW NVLLEYLIGGAAVARAWSGYLDAIFNHSIRNFTESHLGVWQVPFLAHYPDFLAAGILLVA SAFVSCGARVSSWLNHTFSAISLIVILFIIVLGFILARPHNWSAEEGGFAPFGFSGILAG TATCFYAFVGFDVIAASSEEAKNPRWAVPMAIAISLSLAAGAYILVSTVLTLMVPWHSLD PDSALADAFYRRGYSWAGFIVAVGSICAMNTVLLSNLFSLPRIVYAMAADGLFFQVFARV HPRTQVPVVGILVFGVLMALLALLLDLEALVQFLSIGTLLAYTFVATSIIVLRFQKASPP SSPCLASPGPTAKKYDSFSDHIQLVGAEQTSMSEPGQLRPALKPFLGFLDGCSPGTAVAW ALGILVASAISLACVLVFGNSDLHLPQWGYVLLLVISGAVFLSSLLVLGAHQQQKKQDTF QIPLVPLTPALSILLNTCLMLKLSYLTWLRFIFWLLVGLVVYFGYGIWHSKENQREPLEL TTAHYVVFPSGSLEETVQAVQPSSQSPVRESGCTE >ENSMUSP00000067243.4 pep:known chromosome:GRCm38:16:17572532:17576998:-1 gene:ENSMUSG00000022756.15 transcript:ENSMUST00000063544.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a4 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 4 [Source:MGI Symbol;Acc:MGI:2146512] MARGLPSTACLARFCQKLNRLKPLEESSMETSLRRCLSTLDLTLLGVGGMVGSGLYVLTG TVAKDMAGPAVLLSFLVAAVASLLAALCYAEFGARVPRTGSAYLFTYVSMGEIWAFLIGW NVLLEYLIGGAAVARAWSGYLDAIFNHSIRNFTESHLGVWQVPFLAHYPDFLAAGILLVA SAFVSCGARVSSWLNHTFSAISLIVILFIIVLGFILARPHNWSAEEGGFAPFGFSGILAG TATCFYAFVGFDVIAASSEEAKNPRWAVPMAIAISLSLAAGAYILVSTVLTLMVPWHSLD PDSALADAFYRRGYSWAGFIVAVGSICAMNTVLLSNLFSLPRIVYAMAADGLFFQVFARV HPRTQVPVVGILVFGVLMALLALLLDLEALVQFLSIGTLLAYTFVATSIIVLRFQKASPP SSPCLASPGPTAKKYDSFSDHIQLVGAEQTSMSEPGQLRPALKPFLGFLDGCSPGTAVAW ALGILVASAISLACVLVFGNSDLHLPQWGYVLLLVISGAVFLSSLLVLGAHQQQKKQDTF QIPLVPLTPALSILLNTCLMLKLSYLTWLRFIFWLLVGLVVYFGYGIWHSKENQREPLEL TTAHYVVFPSGSLEETVQAVQPSSQSPVRESGCTE >ENSMUSP00000087627.4 pep:known chromosome:GRCm38:16:17572960:17576206:-1 gene:ENSMUSG00000022756.15 transcript:ENSMUST00000090165.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a4 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 4 [Source:MGI Symbol;Acc:MGI:2146512] MARGLPSTACLARFCQKLNRLKPLEESSMETSLRRCLSTLDLTLLGVGGMVGSGLYVLTG TVAKDMAGPAVLLSFLVAAVASLLAALCYAEFGARVPRTGSAYLFTYVSMGEIWAFLIGW NVLLEYLIGGAAVARAWSGYLDAIFNHSIRNFTESHLGVWQVPFLAHYPDFLAAGILLVA SAFVSCGARVSSWLNHTFSAISLIVILFIIVLGFILARPHNWSAEEGGFAPFGFSGILAG TATCFYAFVGFDVIAASSEEAKNPRWAVPMAIAISLSLAAGAYILVSTVLTLMVPWHSLD PDSALADAFYRRGYSWAGFIVAVGSICAMNTVLLSNLFSLPRIVYAMAADGLFFQVFARV HPRTQPPGPGGSSAAKEARHFPDPFGAPDSSPEHPSQHLPHAEAELPDLATLYLLAAGRT CRVFWLWHLAQQGEPEGAIGADYSTLRGIPQR >ENSMUSP00000130375.1 pep:known chromosome:GRCm38:16:17575403:17576631:-1 gene:ENSMUSG00000022756.15 transcript:ENSMUST00000164545.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a4 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 4 [Source:MGI Symbol;Acc:MGI:2146512] MQEKAWASRGTGTWRRQLASAAVAAEHMARGGAPQLSHPGIGNRGPCSSGLLASGSRNWF STPSAMARGLPSTACLARFCQKLNRLKPLEESSMETSLRRCLSTLDLTLLGVGGMVGSGL YVLTGTVAKDMAGPAVLLSFLVAAVASLLAALCYAEFGARVPRTGSAYLFTYVSMGEIWA FLIGWNVLLEYLIGGAAVARAWSGYLDAIFNHSIRNFTESHLGVWQVPFLAHYPDFLAAG IL >ENSMUSP00000133167.1 pep:known chromosome:GRCm38:16:17575513:17576220:-1 gene:ENSMUSG00000022756.15 transcript:ENSMUST00000164623.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a4 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 4 [Source:MGI Symbol;Acc:MGI:2146512] MARGLPSTACLARFCQKLNRLKPLEESSMETSLRRCLSTLDLTLLGVGGMVGSGLYVLTG TVAKDMAGPAVLLSFLVAAVASLLAALCYAEFGARVPRTGSAYLFTYVSMGEIWAFLIGW NVLLEYLIGGAAVARAWSGY >ENSMUSP00000129223.1 pep:known chromosome:GRCm38:16:17575689:17576671:-1 gene:ENSMUSG00000022756.15 transcript:ENSMUST00000164490.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc7a4 description:solute carrier family 7 (cationic amino acid transporter, y+ system), member 4 [Source:MGI Symbol;Acc:MGI:2146512] MARGLPSTACLARFCQKLNRLKPLEESSMETSLRRCLSTLDLTLLGVGGMVGSGLYVLTG TVAKDMAGPAVLLSFLVAAVAS >ENSMUSP00000011776.6 pep:known chromosome:GRCm38:7:24541658:24546118:-1 gene:ENSMUSG00000011632.7 transcript:ENSMUST00000011776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pinlyp description:phospholipase A2 inhibitor and LY6/PLAUR domain containing [Source:MGI Symbol;Acc:MGI:3615324] MILFRRHRTFLLAFTLLCTLLGLGCPLTCEVCKGSGHTCSGKMKTCEDGKDACVVLVSES STKGRKSVNTFKACMKYKDCYSGFVSTTMTPSDYMVSNAHCCQSDGCNSGSVPPPLNNRT ENGLMCPSCIAPFQETCPGTQAARCVGRETHCIYFAGNVQAGIIHTKFATRGCATESACH TKAGAEVPSAFYLYFLRRADCLPAPYPPGRGE >ENSMUSP00000120862.1 pep:known chromosome:GRCm38:11:66211725:66525795:-1 gene:ENSMUSG00000053930.13 transcript:ENSMUST00000123454.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa6 description:shisa family member 6 [Source:MGI Symbol;Acc:MGI:2685725] XGRELNSTARASGVPEAGSRRGQSAAAAAAAAAAASATVTYETCWGYYDVSGQYDKEFEC NNSESGYLYCCGTCYYRFCCKKRHEKLDQRQCTNYQSPVWVQTPSTKVVSPGPENKYDPE KDKTNFTVYITCGVIAFVIVAGVFAKVSYDKAHRPPREMNIHRALADILRQQGPIPIAHC ERETISAIDTSPKENTPVRSTSKNHYTPVRTAKQTPGHYGKDAYRSGGPDLHNFISSGFV TLGRGHTKGDRQYNHPILSSATQTPTHEKPRMNNILTSATEPYDLSFSRSYQNLAHLPPS YESAVKTNPSKYSSLKRLTDKEADEYYMRRRHLPDLAARGTLPLNVIQMSQQKPLPRERP RRPIRAMSQDRVLSPRRGLPDEFGMPYDRILSDEQLLSTERLHSQDPLLSPERTAFPEQS LSRAISHTDVFVSTPVLDRYRMTKMHSHPSASNNSYATLGQSQTAAKRHAFASRRHNTVE QLHYIPGHHTCYTASKTEVTV >ENSMUSP00000071025.6 pep:known chromosome:GRCm38:11:66217472:66525964:-1 gene:ENSMUSG00000053930.13 transcript:ENSMUST00000066679.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Shisa6 description:shisa family member 6 [Source:MGI Symbol;Acc:MGI:2685725] MALRRLLLPPLLLSLLLSLASLHLPPGADAARGRSGNRTLNAGAVGGRRAGGALARGGRE LNSTARASGVPEAGSRRGQSAAAAAAAAAAASATVTYETCWGYYDVSGQYDKEFECNNSE SGYLYCCGTCYYRFCCKKRHEKLDQRQCTNYQSPVWVQTPSTKVVSPGPENKYDPEKDKT NFTVYITCGVIAFVIVAGVFAKVSYDKAHRPPREMNIHRALADILRQQGPIPIAHCERET ISAIDTSPKENTPVRSTSKNHYTPVRTAKQTPGDRQYNHPILSSATQTPTHEKPRMNNIL TSATEPYDLSFSRSYQNLAHLPPSYESAVKTNPSKYSSLKRLTDKEADEYYMRRRHLPDL AARGTLPLNVIQMSQQKPLPRERPRRPIRAMSQDRVLSPRRGLPDEFGMPYDRILSDEQL LSTERLHSQDPLLSPERTAFPEQSLSRAISHTDVFVSTPVLDRYRMTKMHSHPSASNNSY ATLGQSQTAAKRHAFASRRHNTVEQLHYIPGHHTCYTASKTEVTV >ENSMUSP00000136909.1 pep:known chromosome:GRCm38:X:3193887:3195827:-1 gene:ENSMUSG00000096426.2 transcript:ENSMUST00000178080.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21364 description:predicted gene, 21364 [Source:MGI Symbol;Acc:MGI:5434719] MGLLVSRVLRCRDSSLLEPQPEGIAGASYIPGSRKRKRNSLEELATSSNVHGPQNQGMYP HQVLNYIYWKRVKISSNDAYQNLFLDGHDSDIKIRALGRTWCLHKVFLCQSGYFANILKD TWRESHHGVINLIIKNEDIDTRSLHFVFGALYTDADLSITPLEVPQVLAAACLLRVDRVI QQCEGIMKETINRNTVCSYYLAAETYRLKAVKTRCFEWLLCNLMVHPSVALYKEVDLKLM YLLALSSDLLVMQKEIDVYTTLKIWMFLYLNPCWNGTMKQLLQHANNWLSTHMAYVDNIS FLESEEGLIFQPVFKKLRFQHIIYDLTSTTILEQDRLIPMAWLSPIYKQQWLTLLRTQEY GVIGPQVINEQELEECTMRCGTMIPKDGRYTWKWSVGRLGFPLRVTFTRQCVILRQRCQR CDGSACHNHIRNVIFRITLVCFDSNKRVTFRKTTGYKILTFEYKEEQIVMKLDSDVLTFP MCIFCNFLFVNLGNAENK >ENSMUSP00000032551.7 pep:known chromosome:GRCm38:7:10487229:10495394:-1 gene:ENSMUSG00000030393.8 transcript:ENSMUST00000032551.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zik1 description:zinc finger protein interacting with K protein 1 [Source:MGI Symbol;Acc:MGI:108070] MTAEMDMALMQGCVTFQDVAICFSHEEWRLLDETQRLLYLSVMLQNFALINSQGCGHKTE DEERRVSTRASKGLRSETTPKTNLCEKCVPILQDILCLPGLPGQKHSTEASSKVDQHQDH NSTGKPLEKNADRSSYLFYLSAKSFPSWDVEKDLPDILSLLKSQVCPKTKKYRKSTEGRK ETSHESDKSEECQSLSSQKQTLAHHPKTSNGKKLYECSKCGKTFRGKYSLDQHQRVHTGE RPWECRDCGKFFSQTSHLNDHRRIHTGERPYECSECGKLFRQNSSLVDHQKTHTGARPYE CSQCGKSFSQKATLVKHKRVHTGERPYKCSECGNSFSQSAILNQHRRIHTGVKPYECREC GKSFSQKATLIKHQRVHTGERPYKCSECGKSFSQSSILIQHRRIHTGARPYECSQCGKSF SQKSGLIQHQVVHTGERPYECDTCGNSFSQCSSLIHHQKCHNA >ENSMUSP00000144714.1 pep:known chromosome:GRCm38:10:58222972:58224930:-1 gene:ENSMUSG00000058537.4 transcript:ENSMUST00000204003.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW822073 description:expressed sequence AW822073 [Source:MGI Symbol;Acc:MGI:3034577] MVWQAWQEQALLSTFKEKRYLSFKERKELAKGMGVSDCRIRVWFQNRRNRSGEEGHASKR SIRGSRRLASPQLQEELGSRPQGRGMRSSGRRPRTRLTSLQRRILGQAFERNPRPGFATR EELARDTGLPEDTIHIWFQNRRARWRHRRGRPTAQDQDLLASQGSDGAPAGPEGREREGA QESLLPQEEAGSTGMDTSSPSDLPSSCGESQPFQVAQPRGAVQQEAPTQAGNAGSMEPLL DQLLDEVQVEEPAPAPLNLDGDPGGRVHEGSQESFWPQEEAGSTGLDTSSPSDSNSFCRE SQPSQVAQPRGAGQEDARTQADSTGPLELLLLDQLLDEVQKEEHVPAPLDSGRNSGSWEH EGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQPPQVAQPSGPGQAQAPTQGGNTDPLE LLLYQLLDEVQLEEHAPAPLNWNVDPGGRVHEGSRESVWPQEEAGSTGLDTSSPSDSNSF CRESQPSQVAQPCGVGQEDARTQADSTGPLELLLLDQLLDEVQKEEHVPAPLDWGRNPGS REHEGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQPPQVAQPSGPGQAQAPTQGGNTD PLELFLDQLLTEVQLEEQGPAPVNVEETWEQMDTTPDLPLTPEEYQTLLDML >ENSMUSP00000074777.1 pep:known chromosome:GRCm38:10:58222972:58223526:-1 gene:ENSMUSG00000058537.4 transcript:ENSMUST00000075305.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AW822073 description:expressed sequence AW822073 [Source:MGI Symbol;Acc:MGI:3034577] LDTSSPSDSNSFCRESQPSQVAQPCGVGQEDARTQADSTGPLELLLLDQLLDEVQKEEHV PAPLDWGRNPGSREHEGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQPPQVAQPSGPG QAQAPTQGGNTDPLELFLDQLLTEVQLEEQGPAPVNVEETWEQMDTTPDLPLTPEEYQTL LDML >ENSMUSP00000125310.1 pep:known chromosome:GRCm38:17:35512734:35516824:-1 gene:ENSMUSG00000050410.15 transcript:ENSMUST00000161012.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf19 description:transcription factor 19 [Source:MGI Symbol;Acc:MGI:103180] MLPCFQLLRIGGGRGGDLYTFHPPSKSGCTYRLGCRADLCDVALRPQQEPGLISGVHAEL HAELQGDDWRVSLEDHSSQGTLVNNVRLPRGHRLELSDGDLLTFGPQGQAGTSSSSEFYF MFQQVRVKPQDFAAITVPRSKGEAGGGFQPMLPPQGAPQRPLSTLSSAPKATLILNSIGS LSKLQAQPLTFSRGGGRPQGLAIPSQHGEAQVSPAPPTRNRRKSAHKVLAELDDEVSPGP LSVLTEPRKRLRVEKAALIASGE >ENSMUSP00000125167.1 pep:known chromosome:GRCm38:17:35512737:35516785:-1 gene:ENSMUSG00000050410.15 transcript:ENSMUST00000160885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf19 description:transcription factor 19 [Source:MGI Symbol;Acc:MGI:103180] MLPCFQLLRIGGGRGGDLYTFHPPSKSGCTYRLGCRADLCDVALRPQQEPGLISGVHAEL HAELQGDDWRVSLEDHSSQGTLVNNVRLPRGHRLELSDGDLLTFGPQGQAGTSSSSEFYF MFQQVRVKPQDFAAITVPRSKGEAGGGFQPMLPPQGAPQRPLSTLSSAPKATLILNSIGS LSKLQAQPLTFSRGGGRPQGLAIPSQHGEAQVSPAPPTRNRRKSAHKVLAELDDEVSPGP LSVLTEPRKRLRVEKAALIASGE >ENSMUSP00000124449.1 pep:known chromosome:GRCm38:17:35514654:35516820:-1 gene:ENSMUSG00000050410.15 transcript:ENSMUST00000159009.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf19 description:transcription factor 19 [Source:MGI Symbol;Acc:MGI:103180] MLPCFQLLRIGGGRGGDLYTFHPPSKSGCTYRLGCRADLCDVALRPQQEPGLISGVHAEL HAELQGDDWRVSLEDHSSQGTLVNNVRLPRGHRLELSDGDLLTFGPQGQAGTSSSSEFYF MFQQVRVKPQDFAAITVPRSKGEAGGGFQPMLPPQGAPQRPLSTLSSAPKATLILNSIGS LSKLQAQPLTFSRGGGRPQGLA >ENSMUSP00000125659.1 pep:known chromosome:GRCm38:17:35514818:35516547:-1 gene:ENSMUSG00000050410.15 transcript:ENSMUST00000162683.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf19 description:transcription factor 19 [Source:MGI Symbol;Acc:MGI:103180] MLPCFQLLRIGGGRGGDLYTFHPPSKSGCTYRLGCRADLCDVALRPQQEPGLISGVHAEL HAELQGDDWRVSLEDHSSQGTLVNNVRLPRGHRLELSDGDLLTFGPQGQAGTSSSSEFYF MFQQVRVKPQDFAAITVPRSKGEAGGG >ENSMUSP00000140488.1 pep:known chromosome:GRCm38:Y:7632774:7638489:-1 gene:ENSMUSG00000094081.2 transcript:ENSMUST00000185348.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20826 description:predicted gene, 20826 [Source:MGI Symbol;Acc:MGI:5434182] MTSLKKKNRGKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLQTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHNVVFPQVRDVQLSSALVGREVQHKFEGKDGSEDNWSG MVLSQVPFLQDYFYISYKKDLVLYVYQLLDDYKEGNLHIIPETPLAKARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000137147.1 pep:known chromosome:GRCm38:Y:7636216:7636973:-1 gene:ENSMUSG00000094081.2 transcript:ENSMUST00000179256.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20826 description:predicted gene, 20826 [Source:MGI Symbol;Acc:MGI:5434182] MTSLKKKNRGKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLQTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHNVVFPQVRDVQLSSALVGREVQHKFEGKDGSEDNWSG MVLSQVPFLQDYFYISYKKDLVLYVYQLLDDYKEGNLHIIPETPLAKARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000132727.1 pep:known chromosome:GRCm38:16:17561885:17571100:1 gene:ENSMUSG00000022758.12 transcript:ENSMUST00000171002.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx6 description:purinergic receptor P2X, ligand-gated ion channel, 6 [Source:MGI Symbol;Acc:MGI:1337113] MQLQPAGTGNMASAAAAALVSWGFLDYKTEKYVLTRNCRVGVSQRLLQLAVVVYVIGWAL LAKKGYQERDLAPQTSVITKLKGVSVTQVKELENRLWDVADFVKPSQGENVFFLVTNFLV TPAQVQGRCPEHPSVPLANCWADEDCPEGETGTYSHGIKTGQCVVFNGTHRTCEIWSWCP VESGAVPRSNALLTWDNTYFKHCLYDPLSSPYCPVFRIGDLVAMAGGDFEDLALLGGAVG ISIHWDCNLDTKGSDCCPQYSFQLQQKGYNFRTANHWWAASGVETRSLLKLYGIRFDILV TGQAGKFALIPTAITVGTGAAWLGMVTFLCDLLLLYVDREAGFYWRTKYEEARAPKTTTN SS >ENSMUSP00000023441.4 pep:known chromosome:GRCm38:16:17561885:17572011:1 gene:ENSMUSG00000022758.12 transcript:ENSMUST00000023441.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P2rx6 description:purinergic receptor P2X, ligand-gated ion channel, 6 [Source:MGI Symbol;Acc:MGI:1337113] MQLQPAGTGNMASAAAAALVSWGFLDYKTEKYVLTRNCRVGVSQRLLQLAVVVYVIGWAL LAKKGYQERDLAPQTSVITKLKGVSVTQVKELENRLWDVADFVKPSQGENVFFLVTNFLV TPAQVQGRCPEHPSVPLANCWADEDCPEGETGTYSHGIKTGQCVVFNGTHRTCEIWSWCP VESGAVPRKPLLAQAKNFTLFIKNTVTFSKFNFSRSNALLTWDNTYFKHCLYDPLSSPYC PVFRIGDLVAMAGGDFEDLALLGGAVGISIHWDCNLDTKGSDCCPQYSFQLQQKGYNFRT ANHWWAASGVETRSLLKLYGIRFDILVTGQAGKFALIPTAITVGTGAAWLGMVTFLCDLL LLYVDREAGFYWRTKYEEARAPKTTTNSS >ENSMUSP00000130079.1 pep:known chromosome:GRCm38:16:17561933:17577800:1 gene:ENSMUSG00000022758.12 transcript:ENSMUST00000168383.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:P2rx6 description:purinergic receptor P2X, ligand-gated ion channel, 6 [Source:MGI Symbol;Acc:MGI:1337113] MQLQPAGTGNMASAAAAALVSWGFLDYKTEKYVLTRNCRVGVSQRLLQLAVVVYVIGWAL LAKKGYQERDLAPQTSVITKLKGVSVTQVKELENRLWDVADFVKPSQGENVFFLVTNFLV TPAQVQGRCPEHPSVPLANCWADEDCPEGETGTYSHGIKTGQCVVFNGTHRTCEIWSWCP VESGAVPRKPLLAQAKNFTLFIKNTVTFSKFNFSRSNALLTWDNTYFKHCLYDPLSSPYC PVFRIGDLVAMAGGDFEDLALLDSQSLVGSLRRGDPEPAQALWNPF >ENSMUSP00000106332.2 pep:known chromosome:GRCm38:13:4132615:4150628:-1 gene:ENSMUSG00000021214.13 transcript:ENSMUST00000110704.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c18 description:aldo-keto reductase family 1, member C18 [Source:MGI Symbol;Acc:MGI:2145420] MNSKIQKIELNDGHSIPVLGFGTYATEEHLKKKSMESTKIAIDVGFCHIDCSHLYQNEEE IGQAILSKIEDGTVKREDIFYTSKLWSTSHRPELVRPSLENSLRKLNLDYVDLYLIHFPV SLKAMEKCKDAGLAKSIGVSNFNRRQLEMILNKPGLKYKPVCNQVECHLYLNQSKLLAYC KMNDIVLVAYGALGTQRYKYCINEDTPVLLDDPVLCAMAKKYKRTPALIALRYQLDRGIV ALAKSFNEERIRENMQVFDFQLASDDMKILDGLDRNLRYFPADMFKAHPNFPFFDEY >ENSMUSP00000021635.7 pep:known chromosome:GRCm38:13:4132615:4150654:-1 gene:ENSMUSG00000021214.13 transcript:ENSMUST00000021635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Akr1c18 description:aldo-keto reductase family 1, member C18 [Source:MGI Symbol;Acc:MGI:2145420] MNSKIQKIELNDGHSIPVLGFGTYATEEHLKKKSMESTKIAIDVGFCHIDCSHLYQNEEE IGQAILSKIEDGTVKREDIFYTSKLWSTSHRPELVRPSLENSLRKLNLDYVDLYLIHFPV SLKPGNELLPKDEHGNLIFDTVDLCDTWEAMEKCKDAGLAKSIGVSNFNRRQLEMILNKP GLKYKPVCNQVECHLYLNQSKLLAYCKMNDIVLVAYGALGTQRYKYCINEDTPVLLDDPV LCAMAKKYKRTPALIALRYQLDRGIVALAKSFNEERIRENMQVFDFQLASDDMKILDGLD RNLRYFPADMFKAHPNFPFFDEY >ENSMUSP00000123701.1 pep:known chromosome:GRCm38:13:58004221:58113592:-1 gene:ENSMUSG00000014164.14 transcript:ENSMUST00000160860.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl3 description:kelch-like 3 [Source:MGI Symbol;Acc:MGI:2445185] MHSLMTTNPAGPPTMEGESVKPSPQPTAQAEDEEKNRRTVTVNAAHMGKAFKVMNELRSK RLLCDVMIVAEDVEVEAHRVVLAACSPYFCAMFTGDMSESKAKKIEIKDVDGQTLSKLID YIYTAEIEVTEENVQVLLPAASLLQLMDVRQNCCDFLQSQLHPTNCLGIRAFADVHTCTD LLQQANAYAEQHFPEVMLGEEFLSLSLDQVCSLISSDKLTVSSEEKVFEAVISWINYEKE TRLDHMAKLMEHVRLPLLPRDYLVQTVEEEALIKNNNTCKDFLIEAMKYHLLPLDQRLLI KNPRTKPRTPVSLPKVMIVVGGQAPKAIRSVECYDFEEGRWDQIAELPSRRCRAGVVFMA GHVYAVGGFNGSLRVRTVDVYDGVKDQWTSIASMQERRSTLGAAVLNDLLYAVGGFDGST GLASVEAYSYKTNEWFFVAPMNTRRSSVGVGVVEGKLYAVGGYDGASRQCLSTVEQYNPA TNEWIYVADMSTRRSGAGVGVLSGQLYATGGHDGPLVRKSVEVYDPGTNTWKQVADMNMC RRNAGVCAVNGLLYVVGGDDGSCNLASVEYYNPVTDKWTLLPTNMSTGRSYAGVAVIHKS L >ENSMUSP00000089173.4 pep:known chromosome:GRCm38:13:58004957:58102428:-1 gene:ENSMUSG00000014164.14 transcript:ENSMUST00000091583.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Klhl3 description:kelch-like 3 [Source:MGI Symbol;Acc:MGI:2445185] MAYYIMIPCQVGKRGHRLWTWTRVAARINVALCFVGGESAWFLLVSRLTSASGGKGLSVK PSPQPTAQAEDEEKNRRTVTVNAAHMGKAFKVMNELRSKRLLCDVMIVAEDVEVEAHRVV LAACSPYFCAMFTGDMSESKAKKIEIKDVDGQTLSKLIDYIYTAEIEVTEENVQVLLPAA SLLQLMDVRQNCCDFLQSQLHPTNCLGIRAFADVHTCTDLLQQANAYAEQHFPEVMLGEE FLSLSLDQVCSLISSDKLTVSSEEKVFEAVISWINYEKETRLDHMAKLMEHVRLPLLPRD YLVQTVEEEALIKNNNTCKDFLIEAMKYHLLPLDQRLLIKNPRTKPRTPVSLPKVMIVVG GQAPKAIRSVECYDFEEGRWDQIAELPSRRCRAGVVFMAGHVYAVGGFNGSLRVRTVDVY DGVKDQWTSIASMQERRSTLGAAVLNDLLYAVGGFDGSTGLASVEAYSYKTNEWFFVAPM NTRRSSVGVGVVEGKLYAVGGYDGASRQCLSTVEQYNPATNEWIYVADMSTRRSGAGVGV LSGQLYATGGHDGPLVRKSVEVYDPGTNTWKQVADMNMCRRNAGVCAVNGLLYVVGGDDG SCNLASVEYYNPVTDKWTLLPTNMSTGRSYAGVAVIHKSL >ENSMUSP00000020662.8 pep:known chromosome:GRCm38:11:5191552:5261558:-1 gene:ENSMUSG00000020393.16 transcript:ENSMUST00000020662.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kremen1 description:kringle containing transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1933988] MAPPAARLALLSAAALTLAARPAPGPRSGPECFTANGADYRGTQSWTALQGGKPCLFWNE TFQHPYNTLKYPNGEGGLGEHNYCRNPDGDVSPWCYVAEHEDGVYWKYCEIPACQMPGNL GCYKDHGNPPPLTGTSKTSNKLTIQTCISFCRSQRFKFAGMESGYACFCGNNPDYWKHGE AASTECNSVCFGDHTQPCGGDGRIILFDTLVGACGGNYSAMAAVVYSPDFPDTYATGRVC YWTIRVPGASRIHFNFTLFDIRDSADMVELLDGYTHRVLVRLSGRSRPPLSFNVSLDFVI LYFFSDRINQAQGFAVLYQATKEEPPQERPAVNQTLAEVITEQANLSVSAAHSSKVLYVI TPSPSHPPQTAPGSHSWAPSVGANSHRVEGWTVYGLATLLILTVTAVVAKILLHVTFKSH RVPASGDLRDCRQPGASGDIWTIFYEPSTTISIFKKKLKGQSQQDDRNPLVSD >ENSMUSP00000121252.1 pep:known chromosome:GRCm38:11:5204833:5238448:-1 gene:ENSMUSG00000020393.16 transcript:ENSMUST00000151978.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Kremen1 description:kringle containing transmembrane protein 1 [Source:MGI Symbol;Acc:MGI:1933988] XVYWKYCEIPACQMPGNLGCYKDHGNPPPLTGTSKTSNKLTIQTCISFCRSQRFKFAGME SGYACFCGNNPDYWKHGEAASTECNSVCFGDHTQPCGGDGRIILFDTFLNRQPCS >ENSMUSP00000100981.1 pep:known chromosome:GRCm38:10:80409514:80433637:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000105344.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MMNQSQRMAPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSW GSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGT LSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLADTQPKKVRKVPP GLPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGP MLGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSG TSGHTPPVSGAAAESLLGTRGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQ GLPGTSQWPRAGAPSALSPNYDAGLHGLQSKMEDRLDEAIHVLRSHAVGTASDLHGLLPG HGALTTSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPD SYSGLGRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSSTDEVLSLEEKD LRDRERRMANNARERVRVRDINEAFRELGRMCQLHLKSDKAQTKLLILQQAVQVILGLEQ QVRERNLNPKAACLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000100982.3 pep:known chromosome:GRCm38:10:80409514:80433647:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000105345.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MMNQSQRMAPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSW GSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGT LSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLDTQPKKVRKVPPG LPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGPM LGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSGT SGHTPPVSGAAAESLLGTRGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQG LPGTSQWPRAGAPSALSPNYDAGLHGLSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGHG ALTTSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDSY SGLGRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSSTDEVLSLEEKDLR DRERRMANNARERVRVRDINEAFRELGRMCQLHLKSDKAQTKLLILQQAVQVILGLEQQV RERNLNPKAACLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000100979.1 pep:known chromosome:GRCm38:10:80409547:80433643:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000105342.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MMNQSQRMAPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSW GSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGT LSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLADTQPKKVRKVPP GLPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGP MLGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSG TSGHTPPVSGAAAESLLGTRGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQ GLPGTSQWPRAGAPSALSPNYDAGLHGLQSKMEDRLDEAIHVLRSHAVGTASDLHGLLPG HGALTTSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPD SYSGLGRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSPDEDEDDLLPPE QKAEREKERRVANNARERLRVRDINEAFKELGRMCQLHLSSEKPQTKLLILHQAVAVILS LEQQVRERNLNPKAACLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000100980.1 pep:known chromosome:GRCm38:10:80409547:80433647:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000105343.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MMNQSQRMAPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSW GSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGT LSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLADTQPKKVRKVPP GLPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGP MLGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSG TSGHTPPVSGAAAESLLGTRGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQ GLPGTSQWPRAGAPSALSPNYDAGLHGLSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGH GALTTSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDS YSGLGRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSSTDEVLSLEEKDL RDRERRMANNARERVRVRDINEAFRELGRMCQLHLKSDKAQTKLLILQQAVQVILGLEQQ VRERNLNPKAACLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000100983.3 pep:known chromosome:GRCm38:10:80409608:80433621:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000105346.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MMNQSQRMAPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSW GSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGT LSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLDTQPKKVRKVPPG LPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGPM LGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSGT SGHTPPVSGAAAESLLGTRGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQG LPGTSQWPRAGAPSALSPNYDAGLHGLSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGHG ALTTSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDSY SGLGRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSPDEDEDDLLPPEQK AEREKERRVANNARERLRVRDINEAFKELGRMCQLHLSSEKPQTKLLILHQAVAVILSLE QQVRERNLNPKAACLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000020377.6 pep:known chromosome:GRCm38:10:80409608:80433621:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000020377.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MMNQSQRMAPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSW GSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGT LSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLADTQPKKVRKVPP GLPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGP MLGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSG TSGHTPPVSGAAAESLLGTRGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQ GLPGTSQWPRAGAPSALSPNYDAGLHGLSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGH GALTTSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDS YSGLGRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSPDEDEDDLLPPEQ KAEREKERRVANNARERLRVRDINEAFKELGRMCQLHLSSEKPQTKLLILHQAVAVILSL EQQVRERNLNPKAACLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000100978.1 pep:known chromosome:GRCm38:10:80409620:80431012:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000105341.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MMNQSQRMAPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSW GSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGT LSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLDTQPKKVRKVPPG LPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGPM LGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSGT SGHTPPVSGAAAESLLGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQGLPG TSQWPRAGAPSALSPNYDAGLHGLSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGHGALT TSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDSYSGL GRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSSTDEVLSLEEKDLRDRE RRMANNARERVRVRDINEAFRELGRMCQLHLKSDKAQTKLLILQQAVQVILGLEQQVRER NLNPKAACLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000100977.1 pep:known chromosome:GRCm38:10:80409626:80433635:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000105340.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MMNQSQRMAPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSW GSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGT LSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLDTQPKKVRKVPPG LPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGPM LGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSGT SGHTPPVSGAAAESLLGTRGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQG LPGTSQWPRAGAPSALSPNYDAGLHGLQSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGH GALTTSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDS YSGLGRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSTDEVLSLEEKDLR DRERRMANNARERVRVRDINEAFRELGRMCQLHLKSDKAQTKLLILQQAVQVILGLEQQV RERNLNPKAACLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000020379.6 pep:known chromosome:GRCm38:10:80409626:80433635:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000020379.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MMNQSQRMAPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSW GSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGT LSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLDTQPKKVRKVPPG LPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGPM LGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSGT SGHTPPVSGAAAESLLGTRGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQG LPGTSQWPRAGAPSALSPNYDAGLHGLQSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGH GALTTSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDS YSGLGRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSSTDEVLSLEEKDL RDRERRMANNARERVRVRDINEAFRELGRMCQLHLKSDKAQTKLLILQQAVQVILGLEQQ VRERNLNPKAACLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000121172.1 pep:known chromosome:GRCm38:10:80410054:80430985:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000156990.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] XPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSWGSSDQNSS SFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGTLSQAGFLP GELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLADTQPKKVRKVPPGLPSSVYP PSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGPMLGDGSSP LPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSGTSGHTPPV SGAAAESLLGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQGLPGTSQWPRA GAPSALSPNYDAGLHGLSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGHGALTTSFTGPM SLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDSYSGLGRAGTTA GASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSSTDEVLSLEEKDLRDRERRMANNA RERVRVRDINEAFRELGRMCQLHLKSDKAQTKLLILQQAVQVILGLEQQVRERNLNPKAA CLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000100976.1 pep:known chromosome:GRCm38:10:80410054:80431082:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000105339.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MMNQSQRMAPVGSDKELSDLLDFSMMFPLPVANGKSRPASLGGTQFAGSGLEDRPSSGSW GSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGT LSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLDTQPKKVRKVPPG LPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGPM LGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSGT SGHTPPVSGAAAESLLGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQGLPG TSQWPRAGAPSALSPNYDAGLHGLSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGHGALT TSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDSYSGL GRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSPDEDEDDLLPPEQKAER EKERRVANNARERLRVRDINEAFKELGRMCQLHLSSEKPQTKLLILHQAVAVILSLEQQV RERNLNPKAACLKRREEEKVSGVVGDPQLALSAAHPGLGEAHNPAGHL >ENSMUSP00000120303.1 pep:known chromosome:GRCm38:10:80420083:80421860:-1 gene:ENSMUSG00000020167.14 transcript:ENSMUST00000156244.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tcf3 description:transcription factor 3 [Source:MGI Symbol;Acc:MGI:98510] MGLKVGTIAEVLTGLEDRPSSGSWGSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLG AGLGGK >ENSMUSP00000088670.4 pep:known chromosome:GRCm38:3:53513938:53657355:-1 gene:ENSMUSG00000037016.11 transcript:ENSMUST00000091137.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Frem2 description:Fras1 related extracellular matrix protein 2 [Source:MGI Symbol;Acc:MGI:2444465] MASRARRTAKFSSFQPILAQSPRLLLLLLLLSLVSYVSTQAAGPGAALQSLGLSGTSGVP TEEAIVVANRGLRVPFGREVWLDPLRDLVLQVQPGDRCTVTVLDNDALAQRPGHLSPKRF ACDYGPGEVRYSHLGARSPSRDRVRLQLRYDAPGGAIVLPLALEVEVVFTQLEIVTRNLP LVVEELLGTSNALDDRSLEFAYQPETEECRVGILSGLSALPRYGELLHYPQVQGGAGDRG TSKTLLMDCKAFQELGVRYRHTAPSRSPNRDWLPMVVELHSRGAPEGSPALKREHFQVLV RIRGGAENTAPKPSFVAMMMMEVDQFVLTALTPDMLAAEDAESDPDLLIFNLTSAFQPGQ GYLVSTDDRSLPLSSFTQRDLRLLKIAYQPPSEDSDQERLFELELEIVDPEGAASDPFAF MVVVKPMNTLAPVVTRNTGLILYEGQYRPLTGPIGSGPQNLVISDEDDLEAVRLEVVAGL RHGHLVILGSPSSDSAPKTFTVAELAAGQVVYQHDDKDGSLSDNLVLRMSDGGGRHQVQF LFPITLVPVDDQPPVLNANTGLTVAEGETVPIPPLTLSATDIDSDDSQLVFVLLPPFSSL GHLLLRQRHVPQEEQGLWQKQGSFYERTVTEWRQQDITEGKLFYRHSGPHSPGPVMDQFM FRVQDNHDPPNQSGIQRFVIRIHPVDRLPPELGSGCPLRMVVQESQLTPLRKRWLHYTDL DTDDRELQYTVTQPPTDTDENHSPAPLGTLVFTDNPSVVVSHFTQAQVNHHKIAYRPPGQ ELGVAARVAQFQFQVEDRAGNVAPGTFTLYLQPVDNQPPEIVNTGFTVEEKGHHILRETE LHVSDVDTDVTHISFTLTQAPKHGHMQISGRPLHVGGQFHLEDIKHGRISYWNSGDESLT DSCSLEVSDRHHVVPITLRVNVRPGDREGPMSVLPAGTLESYLDVLENGATEVTANIIKG AYQGTDDLMLTFLLEGPPSYGEILVNGAPAEQFTQRDILEGSVVYAHTSGEIGLLPKADS FNLSLSAMSQEWRIGSSIVQGVTVWVTILPVDSQAPEISLGEQFVVLEGDKSVISLTHLS AEDMDSLKDDLLCTIVIQPTSGYVENISPAPGSEKSRAGVAISAFTLKDLRQGHINYVQS VHRGVEPVEDRFIFRCSDGINFSERQIFPIVIIPTNDEQPEMFMREFMVMEGMSLVVNRL ILNAADADIPRDDLTFTITRFPTHGHVMNQLINGTVLVESFTLDQIIESSSIIYEHDDSE TQEDSFVIKLTDGKHSVEKMVLIVVIPVDDETPRMTINNGLEIEIGETKVINNKVLMATD LDSDDKSLVYIIRYGPGHGLLQRQKPLGAFENITLGMNFTQDEVDRNLIQYVHFGQEGIR DLIKFDVTDGTNALIDRYFYVTIGSVDIVFPDVVSKGVSLKEGGKVTLTTDLLSTSDLNS PDENLVFTITRAPMRGHLECTDRRGLSITSFTQLQLAGNKIYYIHTAEDEVKMDSFEFQV TDGRNPVFRTFRISISDVDNKKPVVTIHNLVVSESESKLITPFELTVEDRDTPDRLLKFI VTQVPVHGHLLFNNTRSVMVFTKQDLNENLISYKHDGTESTEDSFSFTVTDGTHSDFYVF PDTVFETRRPQVMKIQVLPVDNSVPQIVVNKGASTLRTLATGHLGFMITSKILKVEDRDS LHFSLRFIVTEAPQHGYLLNLGQGNHSVTQFTQADIDDMKICYVLRERANATSDMFHFIV EDDGGNRLTNQHFRLNWAWISFEKEYYLINEDSKFLDIVLTRRGYLGETSFISIGTRDGT AEKDRDFKGKAQKQVQFNPGQTRASWRVRILSDGEHEHSETFQVVLSEPVLAILEFPTVT TVEIIDPGDESTVFIPQSEYSVEEDVGELFIPIRRSGDISRELMVICYTQQGTATSTVRT SVLSYSDYISRPEDHSSVIRFDKDEREKMCRILVIDDSLYEEEETFQVLLSMPMGGRIGD KFPGANVTILTDRDDEPAFYFGDTQYSVDESAGYVELQVWRTGTDLSKPSSVTVRSRKTE SLSADAGTDYVGISRNLDFAPGVNMQTVRVVILDDLGRPILEGIEKFELVLRMPMNAALG EPSKATVSINDSASDLPKMQFKERVYTCNENDGRVVAMIYRSGDIQHRSSVRCYTRQGSA QVMMDFEERPNTDVSTVTFLPGEMEKPCVLELMDDAVYEDVEELRLVLGTPQGSSAFGAA VGEQNETLIKIQDEADKAVIKFGETKFSVTEPSRPGESVVVKIPVIRQGDTSKVSIVRVH TKDGSATSGEDYHPVSEEIEFKEGETQHTVEIEVIFDGVREMREAFTVHLKPDENMVAET QATKAIVYIEEIHSMADVTFPSVPHIVSLLIYDDPSKGREDTGPVSGYPVVCITACNPKY PDYEKTGSICASENINDTLTRYRWLISAPAGPDGVTSPMREVDFDTFFTSSKMITLDSIY FQPGSRVQCAARAVNTNGNEGLELMSPIVTIGREEGLCQPRVPGVVGAEPFSAKLRYTGP EDPDFANLIKLTVTMPHIDGMLPAISTRELSNFELTLSPDGTRVGNHKCSNLLDYNEVKT HHGFLTNATKNPEVIGETYPYQYSVPVRGSSTLRFYRNLNLEACLWEFVSYYDMTELLAD CGGTIGTDGQVLNLVQSYVTLRVPLYVSYVFHSPVGVGGWQHFDLKSELRLTFVYDTAIL WNHGIGSPPEAELQGSLYPTSMRIGEEGRLAVNFKTEAQFHGLFVLSHPASFTSSLIVSA DHPGLTFSLRLIRSEPTYNQPVQQWSFVSDFAVRDYSGTYTVKLVPCTTPSNQEYRLPVT CNPREPVTFDLDIRFQQVSDPVATEFSLNTHMYLLSKKNLWLSDGSMGFGQESDVAFAEG DVIYGRVMVDPVQNLGDSFYCSIEKVFLCTGDDGYVPKYSPANAEYGCLADSPSLLHRFK IVDKAQPETQATSFGDVLFNAKLAVDDPEAVLLVNQPGSDGFKVDSTPLFQVALGREWYI HTIYTVKSKDNTHRGIGKRSLEYQYHSVVHPGPPQATTKSWKKRAVRSTPSLAGEIGAEN NRGTNIQHISLNRRGKRQVPHGRIPPDGILPWELNSPSSEVSLVTVLGGLTVGLLTVCLA VAAAVMCRNRSTKGKDTPKGSGSTEPMMSPQSHYNDSSEV >ENSMUSP00000145004.1 pep:known chromosome:GRCm38:10:58230651:58232675:-1 gene:ENSMUSG00000075046.7 transcript:ENSMUST00000176875.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxf3 description:double homeobox family member 3 [Source:MGI Symbol;Acc:MGI:1921649] MAEAGSPVGGSGVARESRRRRKMVWQAWQEQALLSTFKEKRYLSFKERKELAKRMGVSDC RIRVWFQNRRNRSGEEGHASKRSIRGSRRLASPQLQEELGSRPQGRGLRSSGRRPRTRLT SLQRRILGQAFERNPRPGFATREELARDTGLPEDTIHIWFQNRRARRRHRRGRPTAQDQD LLASQGSDGAPTGPEGREREGAQESLLPQEEAGSTGMDTSSPSDLPSFCRESQPFQVAQP RGAGQQEAPTQAGNAGSLEPLLDQLLDEVQVEEPAPAPLNLDGDPGGRVHEGSQKSFWPQ EEAGSTGMDTSSPSDSNSFCRESFPSQVAQPCGSGQEDARTQADSTGPLELLLLDQLLDE VQKEEHVPVPLDWGRNPGSREHEGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQPPQV AQPSGPGQAQAPTQGGNTDPLELLLYQLLDEVQVEEHAPAPLNWDVDPGGRVHEGSWESF WPQREAGSTGLDTSSPSDSNSFCRESQTSQVAQPCGSGQEDARTQANSTGPLELLLLDQL LDEVQKEEHVPAPLDWGRNPGSREHEGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQP PQVAQPSGPGKAQAPTQGGNTDPLELFLDQLLTEVQLEEQGPSPVNVEETWEQMDTTPDL PLTPEEYQTLLDML >ENSMUSP00000133909.2 pep:known chromosome:GRCm38:10:58229979:58232013:-1 gene:ENSMUSG00000075046.7 transcript:ENSMUST00000174056.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxf3 description:double homeobox family member 3 [Source:MGI Symbol;Acc:MGI:1921649] MDTSSPSDSNSFCRESFPSQVAQPCGSGQEDARTQADSTGPLELLLLDQLLDEVQKEEHV PAPLDWGRNPGSREHEGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQPPQVAQPSGPG KAQAPTQGGNTDPLELFLDQLLTEVQLEEQGPSPVNVEETWEQMDTTPDLPLTPEEYQTL LDML >ENSMUSP00000052922.6 pep:known chromosome:GRCm38:16:10420557:10447362:-1 gene:ENSMUSG00000050908.12 transcript:ENSMUST00000051118.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tvp23a description:trans-golgi network vesicle protein 23A [Source:MGI Symbol;Acc:MGI:3665441] MACHLSAPAVPQGLGDDTEDVSLDFGNEEELAFRKAKIRHPLATFFHLFFRVSAIVTYVC CDWFSKSFVGCFVTVLLLLSFDFWSVKNVTGRLMVGLRWWNQIDEDGKSHWIFEARKVSA NHMAATEAEARIFWLGLIICPVIWIVFFFSTLFSLKLKWLALVIAGISLQAANLYGYILC KMGGDGDMRTVAASFLSQTVFQTDFPGDFEKPRLEGLDIHRH >ENSMUSP00000116076.1 pep:known chromosome:GRCm38:16:10423244:10447144:-1 gene:ENSMUSG00000050908.12 transcript:ENSMUST00000128288.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tvp23a description:trans-golgi network vesicle protein 23A [Source:MGI Symbol;Acc:MGI:3665441] MACHLSAPAVPQGLGDDTEDVSLDFGNEEELAFRKAKIRM >ENSMUSP00000021290.1 pep:known chromosome:GRCm38:11:67695326:67703333:1 gene:ENSMUSG00000020907.1 transcript:ENSMUST00000021290.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rcvrn description:recoverin [Source:MGI Symbol;Acc:MGI:97883] MGNSKSGALSKEILEELQLNTKFTEEELSAWYQSFLKECPSGRITRQEFESIYSKFFPDS DPKAYAQHVFRSFDANSDGTLDFKEYVIALHMTTAGKPTQKLEWAFSLYDVDGNGTISKN EVLEIVMAIFKMIKPEDVKLLPDDENTPEKRAEKIWAFFGKKEDDKLTEEEFIEGTLANK EILRLIQFEPQKVKERIKEKKQ >ENSMUSP00000097314.2 pep:known chromosome:GRCm38:10:58234848:58236701:-1 gene:ENSMUSG00000075045.4 transcript:ENSMUST00000099726.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4981 description:predicted gene 4981 [Source:MGI Symbol;Acc:MGI:3645498] MRSSSRRPHTGLTLSQRRILAQAFERNPRPGCATREELALETGLPEDMIHTWLKNKRARR HRRGRPTAQDQDLLASQVSGGAPAGPVGRGHEVAQESSLPQEEAGSTGMDTTSTSYSPSF CRESQLSQVSQPRGAGQKEVPTQAGNVGPLELLLDELQDEVQVKEHVPDPLDLGSDPGAR EPEGSQDSLQSLDEAANSGWHTSVPSISSTLCRESQPSQVAQPSGPGQAQAPTQSGFIDP LELFLDELLTEVQLEEQGPAPVNVEETGEQMDTTPELPLTPEEYQTLLDML >ENSMUSP00000104321.1 pep:known chromosome:GRCm38:11:67455437:67683460:1 gene:ENSMUSG00000033066.15 transcript:ENSMUST00000108681.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas7 description:growth arrest specific 7 [Source:MGI Symbol;Acc:MGI:1202388] MVPPPPGEESQTVILPPGWHSYLSPQGRRYYVNTTTNETTWERPSSSPGISASPGPHRSS LPTTVNGYHASGTPAHPPETAHMSLRKSTGDSQNLGSSSPGRKQSKENTITINCVTFPHP DTMPEQQLLKPTEWSYCDYFWADKKDPQGNGTVAGFELLLQKQLKGKQMQKEMSEFIRER IKIEEEYAKNLAKLSQNSLAAQEEGSLGEAWAQVKKSLADEAEVHLKFSAKLHSEVEKPL MNFRENFKKDMKKCDHHIADLRKQLASRYASVEKARKALTERQKDLEMKTQQLEIKLSNK TEEDIKKARRKSTQAGDDLMRCVDLYNQAQSKWFEEMVTTTLELERLEVERVEMIRQHLC QYTQLRHETDMFNQSTVEPVDQLLRKVDPAKDRELWVREHKTGNIRPVDMEI >ENSMUSP00000038420.5 pep:known chromosome:GRCm38:11:67547154:67684974:1 gene:ENSMUSG00000033066.15 transcript:ENSMUST00000041611.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas7 description:growth arrest specific 7 [Source:MGI Symbol;Acc:MGI:1202388] MVPPPPGEESQTVILPPGWHSYLSPQGRRYYVNTTTNETTWERPSSSPGISASPGPHRSS LPTTVNGYHASGTPAHPPETAHMSLRKSTGDSQNLGSSSPGRKQSKENTITINCVTFPHP DTMPEQQLLKPTEWSYCDYFWADKKDPQGNGTVAGFELLLQKQLKGKQMQKEMSEFIRER IKIEEEYAKNLAKLSQNSLAAQEEGSLGEAWAQVKKSLADEAEVHLKFSAKLHSEVEKPL MNFRENFKKDMKKCDHHIADLRKQLASRYASVEKARKALTERQKDLEMKTQQLEIKLSNK TEEDIKKARRKSTQAGDDLMRCVDLYNQAQSKWFEEMVTTTLELERLEVERVEMIRQHLC QYTQLRHETDMFNQSTVEPVDQLLRKVDPAKDRELWVREHKTGNIRPVDMEI >ENSMUSP00000104320.1 pep:known chromosome:GRCm38:11:67586701:67683554:1 gene:ENSMUSG00000033066.15 transcript:ENSMUST00000108680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas7 description:growth arrest specific 7 [Source:MGI Symbol;Acc:MGI:1202388] MVPPPPGEESQTVILPPGWHSYLSPQGRRYYVNTTTNETTWERPSSSPGISASPGPHRSS LPTTVNGYHASGTPAHPPETAHMSLRKSTGDSQNLGSSSPGRKQSKENTITINCVTFPHP DTMPEQQLLKPTEWSYCDYFWADKKDPQGNGTVAGFELLLQKQLKGKQMQKEMSEFIRER IKIEEEYAKNLAKLSQNSLAAQEEGSLGEAWAQVKKSLADEAEVHLKFSAKLHSEVEKPL MNFRENFKKDMKKCDHHIADLRKQLASRYASVEKARKALTERQKDLEMKTQQLEIKLSNK TEEDIKKARRKSTQAGDDLMRCVDLYNQAQSKWFEEMVTTTLELERLEVERVEMIRQHLC QYTQLRHETDMFNQSTVEPVDQLLRKVDPAKDRELWVREHKTGNIRPVDMEI >ENSMUSP00000104322.2 pep:known chromosome:GRCm38:11:67586536:67688990:1 gene:ENSMUSG00000033066.15 transcript:ENSMUST00000108682.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gas7 description:growth arrest specific 7 [Source:MGI Symbol;Acc:MGI:1202388] MKPGMVPPPPGEESQTVILPPGWHSYLSPQGRRYYVNTTTNETTWERPSSSPGISASPGP HRSSLPTTVNGYHASGTPAHPPETAHMSLRKSTGDSQNLGSSSPGRKQSKENTITINCVT FPHPDTMPEQQLLKPTEWSYCDYFWADKKDPQGNGTVAGFELLLQKQLKGKQMQKEMSEF IRERIKIEEEYAKNLAKLSQNSLAAQEEGSLGEAWAQVKKSLADEAEVHLKFSAKLHSEV EKPLMNFRENFKKDMKKCDHHIADLRKQLASRYASVEKARKALTERQKDLEMKTQQLEIK LSNKTEEDIKKARRKSTQAGDDLMRCVDLYNQAQSKWFEEMVTTTLELERLEVERVEMIR QHLCQYTQLRHETDMFNQSTVEPVDQLLRKVDPAKDRELWVREHKTGNIRPVDMEI >ENSMUSP00000140720.1 pep:known chromosome:GRCm38:Y:7722941:7749195:1 gene:ENSMUSG00000100645.1 transcript:ENSMUST00000189007.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28576 description:predicted gene 28576 [Source:MGI Symbol;Acc:MGI:5579282] MRRMALKKLKVIPKEGYLLLLDFDDEDDDIKVSEEALSEVKSPAFDKNENISPQAEADED MGDEVDSMLDKSEVNNPAIGKDGNISPQVKGDEDMGHEVGSMLDKSGDDIYKTLHIKRKW METYVKESFKGSNQKLERFCKTNERERKNINNKFCEQYITTFQKSDMDVQKFNEEKEKSV NSCQKEQQALKLSKCSQNQTLEAVKEMHEKSMEVVMNLGTKN >ENSMUSP00000018431.6 pep:known chromosome:GRCm38:11:70663771:70669416:-1 gene:ENSMUSG00000018287.12 transcript:ENSMUST00000018431.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag7 description:sperm associated antigen 7 [Source:MGI Symbol;Acc:MGI:107380] MADLLGSILSSMEKPPSLGDQESRRKAREQAARLKKLQEQDKQQKVEFRKRMEKEVSDFI QDSGQVKKKFQPMNKIERSILHDVVEVAGLTSFSFGEDDDCRYVMIFKKEFAPSDEELDS YRHGEEWDPQKAEEKRKLKELAQKQEEEAAQQGPAVVSPASDYKDKYSHLIGKGAAKDAA HMLQANKTYGCVPVANKRDTRSIEEAMNEIRAKKRLRQSGEELPTTS >ENSMUSP00000115098.1 pep:known chromosome:GRCm38:11:70664399:70669290:-1 gene:ENSMUSG00000018287.12 transcript:ENSMUST00000129434.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Spag7 description:sperm associated antigen 7 [Source:MGI Symbol;Acc:MGI:107380] MADLLGSILSSMEKPPSLGDQESRRKAREQAARLKKLQEQDKQQKVEFRKRDSGQVKKKF QPMNKIERSILHDVVEVAGLTSFSFGEDDDCRYVMIFKKEFAPSDEELDSYRHGEEWDPQ KAEEKRKLKELAQKQEEEAAQQGPAVVSPASDYKD >ENSMUSP00000015435.4 pep:known chromosome:GRCm38:X:74304998:74311862:1 gene:ENSMUSG00000015291.10 transcript:ENSMUST00000015435.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdi1 description:guanosine diphosphate (GDP) dissociation inhibitor 1 [Source:MGI Symbol;Acc:MGI:99846] MDEEYDVIVLGTGLTECILSGIMSVNGKKVLHMDRNPYYGGESSSITPLEELYKRFQILE GPPESMGRGRDWNVDLIPKFLMANGQLVKMLLYTEVTRYLDFKVVEGSFVYKGGKIYKVP STETEALASNLMGMFEKRRFRKFLVFVANFDENDPKTFEGVDPQNTSMRDVYRKFDLGQD VIDFTGHALALYRTDDYLDQPCLETINRIKLYSESLARYGKSPYLYPLYGLGELPQGFAR LSAIYGGTYMLNKPVDDIIMENGKVVGVKSEGEVARCKQLICDPSYIPDRVQKAGQVIRI ICILSHPIKNTNDANSCQIIIPQNQVNRKSDIYVCMISYAHNVAAQGKYIAIASTTVETA EPEKEVEPALELLEPIDQKFVAISDLYEPIDDGSESQVFCSCSYDATTHFETTCNDIKDI YKRMAGSAFDFENMKRKQNDVFGEADQ >ENSMUSP00000119805.2 pep:known chromosome:GRCm38:X:74305313:74307149:1 gene:ENSMUSG00000015291.10 transcript:ENSMUST00000153141.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdi1 description:guanosine diphosphate (GDP) dissociation inhibitor 1 [Source:MGI Symbol;Acc:MGI:99846] MSVNGKKVLHMDRNPYYGGESSSITPLEELYKRFQILEGPPESMGRGRDWNVDLIPKFLM ANGQLVKMLLYTEVTRYL >ENSMUSP00000122146.1 pep:known chromosome:GRCm38:X:74309161:74311156:1 gene:ENSMUSG00000015291.10 transcript:ENSMUST00000130581.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gdi1 description:guanosine diphosphate (GDP) dissociation inhibitor 1 [Source:MGI Symbol;Acc:MGI:99846] KSEGEVARCKQLICDPSYIPDRVQKAGQVIRIICILSHPIKNTNDANSCQIIIPQNQVNR KSEPEKEVEPALELLEPIDQKFVAISDLYEPIDDGSESQVFCSCSYDATTHFETTCNDIK DIYKRMAGSAFDFENMKRKQNDVFGEADQ >ENSMUSP00000095747.4 pep:known chromosome:GRCm38:4:40970906:41025993:1 gene:ENSMUSG00000028423.15 transcript:ENSMUST00000098143.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfx1 description:nuclear transcription factor, X-box binding 1 [Source:MGI Symbol;Acc:MGI:1921414] MAEAPPVSGTFKFNTDAAEFIPQERKTSGLNCGTQRRLDSSRIGRRNYSSSPPCHLPRHI PYEDISAVHQHSYASGSKPKSPQGFFQSSNKSLKNHGLQNQPWQKARNEKHQNRNKKAQG LSEQTSDTSSLESVARSESGTNPREHSPSESEKEVVIADPRGAKPKKAAQLTYNYGRGPK AKGRLRSEWGNRMSPKSEDENTRPVAISHTDSSDASCRKPVVDPCVCRRNEQRRYPQKRP PWEVEGARPRPGRNPPKQESQRHINAGPKTNMSPIPKDNLRERPTKSACDTGNLAVVSKS SRRVNQEKTAVRRQDPQVLSPFPRGKQNHMLKNVETHTGSLIEQLTTEKYECMVCCELVQ VTAPVWSCQSCFHVFHLNCIKKWARSPASHADGQSGWRCPACQNVSAHVPNTYTCFCGKV KNPEWSRNEIPHSCGEVCRKKQPGQDCPHSCNLLCHPGPCPPCPAFTTKTCECGRTRHTV RCGQPVSVHCSNACENILNCGQHHCAELCHGGQCQPCRIILNQVCYCGSTSRDVLCGTDV GKSDGFGDFSCLKICGKDLKCGSHTCSQVCHPQPCQPCPRLPHLVRYCPCGQTPLSQLLE HGSNARKTCMDPVPSCGKVCGKPLACGSSDFIHTCEKLCHEGDCGPCSRTSVISCRCSFR TKELPCTSLKSEDATFMCDKRCNKKRLCGRHKCNEICCVDKEHKCPLICGRKLRCGLHRC EEPCHRGNCQTCWQASFDELTCHCGASVIYPPVPCGTRPPECTQTCARIHECDHPVYHSC HSEEKCPPCTFLTQKWCMGKHELRSNIPCHLVDISCGLPCSAMLPCGMHKCQRLCHKGEC LVDEACKQPCTTPRGDCGHPCMAPCHPSLPCPVTACKAKVELQCECGRRKEMVICSEASG TYQRIVAISMASKITDMQLGDSVEISKLITKKEVQQARLQCDEECAALERRKRLAEAFDI TDDSDPFNVRSSASKFSDSLKDDARKDLKFVSDVEKEMETLVEAVNKGKNNKKSHCFPPM NRDHRRIIHDLAQVYGLESISYDSEPKRNVVVTAVRGKSVCPPTTLTSVIERETQTRPPP PIPHHRHQADKAPGSSTLQKIVKEAVIDYFDVQD >ENSMUSP00000030133.8 pep:known chromosome:GRCm38:4:40970906:41013871:1 gene:ENSMUSG00000028423.15 transcript:ENSMUST00000030133.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfx1 description:nuclear transcription factor, X-box binding 1 [Source:MGI Symbol;Acc:MGI:1921414] MAEAPPVSGTFKFNTDAAEFIPQERKTSGLNCGTQRRLDSSRIGRRNYSSSPPCHLPRHI PYEDISAVHQHSYASGSKPKSPQGFFQSSNKSLKNHGLQNQPWQKARNEKHQNRNKKAQG LSEQTSDTSSLESVARSESGTNPREHSPSESEKEVVIADPRGAKPKKAAQLTYNYGRGPK AKGRLRSEWGNRMSPKSEDENTRPVAISHTDSSDASCRKPVVDPCVCRRNEQRRYPQKRP PWEVEGARPRPGRNPPKQESQRHINAGPKTNMSPIPKDNLRERPTKSACDTGNLAVVSKS SRRVNQEKTAVRRQDPQVLSPFPRGKQNHMLKNVETHTGSLIEQLTTEKYECMVCCELVQ VTAPVWSCQSCFHVFHLNCIKKWARSPASHADGQSGWRCPACQNVSAHVPNTYTCFCGKV KNPEWSRNEIPHSCGEVCRKKQPGQDCPHSCNLLCHPGPCPPCPAFTTKTCECGRTRHTV RCGQPVSVHCSNACENILNCGQHHCAELCHGGQCQPCRIILNQVCYCGSTSRDVLCGTDV GKSDGFGDFSCLKICGKDLKCGSHTCSQVCHPQPCQPCPRLPHLVRYCPCGQTPLSQLLE HGSNARKTCMDPVPSCGKVCGKPLACGSSDFIHTCEKLCHEGDCGPCSRTSVISCRCSFR TKELPCTSLKSEDATFMCDKRCNKKRLCGRHKCNEICCVDKEHKCPLICGRKLRCGLHRC EEPCHRGNCQTCWQASFDELTCHCGASVIYPPVPCGTRPPECTQTCARIHECDHPVYHSC HSEEKCPPCTFLTQKWCMGKHEELTIKKLWTFKETLDF >ENSMUSP00000089203.6 pep:known chromosome:GRCm38:4:40970906:41012608:1 gene:ENSMUSG00000028423.15 transcript:ENSMUST00000091614.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nfx1 description:nuclear transcription factor, X-box binding 1 [Source:MGI Symbol;Acc:MGI:1921414] MAEAPPVSGTFKFNTDAAEFIPQERKTSGLNCGTQRRLDSSRIGRRNYSSSPPCHLPRHI PYEDISAVHQHSYASGSKPKSPQGFFQSSNKSLKNHGLQNQPWQKARNEKHQNRNKKAQG LSEQTSDTSSLESVARSESGTNPREHSPSESEKEVVIADPRGAKPKKAAQLTYNYGRGPK AKGRLRSEWGNRMSPKSEDENTRPVAISHTDSSDASCRKPVVDPCVCRRNEQRRYPQKRP PWEVEGARPRPGRNPPKQESQRHINAGPKTNMSPIPKDNLRERPTKSACDTGNLAVVSKS SRRVNQEKTAVRRQDPQVLSPFPRGKQNHMLKNVETHTGSLIEQLTTEKYECMVCCELVQ VTAPVWSCQSCFHVFHLNCIKKWARSPASHADGQSGWRCPACQNVSAHVPNTYTCFCGKV KNPEWSRNEIPHSCGEVCRKKQPGQDCPHSCNLLCHPGPCPPCPAFTTKTCECGRTRHTV RCGQPVSVHCSNACENILNCGQHHCAELCHGGQCQPCRIILNQVCYCGSTSRDVLCGTDV GKSDGFGDFSCLKICGKDLKCGSHTCSQVCHPQPCQPCPRLPHLVRYCPCGQTPLSQLLE HGSNARKTCMDPVPSCGKVCGKPLACGSSDFIHTCEKLCHEGDCGPCSRTSVISCRCSFR TKELPCTSLKSEDATFMCDKRCNKKRLCGRHKCNEICCVDKEHKCPLICGRKLRCGLHRC EEPCHRGNCQTCWQASFDELTCHCGASVIYPPVPCGTRPPECTQTCARIHECDHPVYHSC HSEEKCPPCTFLTQKWCMGKHERQ >ENSMUSP00000022806.3 pep:known chromosome:GRCm38:14:54883377:54888234:1 gene:ENSMUSG00000089682.9 transcript:ENSMUST00000022806.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l2 description:BCL2-like 2 [Source:MGI Symbol;Acc:MGI:108052] MATPASTPDTRALVADFVGYKLRQKGYVCGAGPGEGPAADPLHQAMRAAGDEFETRFRRT FSDLAAQLHVTPGSAQQRFTQVSDELFQGGPNWGRLVAFFVFGAALCAESVNKEMEPLVG QVQDWMVAYLETRLADWIHSSGGWAEFTALYGDGALEEARRLREGNWASVRTVLTGAVAL GALVTVGAFFASK >ENSMUSP00000133286.1 pep:known chromosome:GRCm38:14:54883456:54885553:1 gene:ENSMUSG00000089682.9 transcript:ENSMUST00000172844.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l2 description:BCL2-like 2 [Source:MGI Symbol;Acc:MGI:108052] MEPLVGQVQDWMVAYLETRLADWIHSSGGWAEFTALYGDGALEEARRLREGNWASVRTVL TGAVALGALVTVGAFFASK >ENSMUSP00000116385.2 pep:known chromosome:GRCm38:14:54883507:54888095:1 gene:ENSMUSG00000089682.9 transcript:ENSMUST00000133397.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bcl2l2 description:BCL2-like 2 [Source:MGI Symbol;Acc:MGI:108052] MATPASTPDTRALVADFVGYKLRQKGYVCGAGPGEGPAADPLHQAMRAAGDEFETRFRRT FSDLAAQLHVTPGSAQQRFTQVSDELFQGGPNWGRLVAFFVFGAALCAESVNKEMEPLVG QVQDWMVAYLETRLADWIHSSGGWVRSSQLLLSASLYKVGLHGKIGPLMGGWGCAGKG >ENSMUSP00000113113.1 pep:known chromosome:GRCm38:4:20118874:20778567:-1 gene:ENSMUSG00000055761.14 transcript:ENSMUST00000119374.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain3 description:Na+/K+ transporting ATPase interacting 3 [Source:MGI Symbol;Acc:MGI:2444830] MGCCTGRCSLVCLCALQLLSALERQIFDFLGFQWAPILGNFLHIIVVILGLFGTIQYRPR YIMVYTVWTALWVTWNVFIICFYLEVGGLSKDTDLMTFNISVHRSWWREHGPGCVRRVLP PSAHGMMDDYTYVSVTGCVVDFQYLEVIHSAVQILLSLVGFVYACYVISISMEEEDTCRN K >ENSMUSP00000100063.3 pep:known chromosome:GRCm38:4:20243842:20778866:-1 gene:ENSMUSG00000055761.14 transcript:ENSMUST00000102998.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain3 description:Na+/K+ transporting ATPase interacting 3 [Source:MGI Symbol;Acc:MGI:2444830] MGCCTGRCSLVCLCALQLLSALERQIFDFLGFQWAPILGNFLHIIVVILGLFGTIQYRPR YIMVYTVWTALWVTWNVFIICFYLEVGGLSKDTDLMTFNISVHRSWWREHGPGCVRRVLP PSAHGMMDDYTYVSVTGCVVDFQYLEVIHSAVQILLSVRRTKTGLL >ENSMUSP00000110399.2 pep:known chromosome:GRCm38:X:57053583:57057206:1 gene:ENSMUSG00000067873.11 transcript:ENSMUST00000114751.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htatsf1 description:HIV TAT specific factor 1 [Source:MGI Symbol;Acc:MGI:1919709] MSGNNLSGNDEFDEQLRMQELYGGDPKEGDTQNEPSGEAHSLGQPPDDTPYEWDLDKKAW FPKITEDFIATYQANYGFSSDGASSSTANVQDANTKAVEEPPQKEVPETPDSKRKGEKRK AESGWFHVEEDRNTNVYVSGLPPDITVDEFIQLMSKFGIIMRDPQTEEFKVKLYKDDQGN LKGDGLCCYLKVFSV >ENSMUSP00000086027.5 pep:known chromosome:GRCm38:X:57053615:57067183:1 gene:ENSMUSG00000067873.11 transcript:ENSMUST00000088652.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Htatsf1 description:HIV TAT specific factor 1 [Source:MGI Symbol;Acc:MGI:1919709] MSGNNLSGNDEFDEQLRMQELYGGDPKEGDTQNEPSGEAHSLGQPPDDTPYEWDLDKKAW FPKITEDFIATYQANYGFSSDGASSSTANVQDANTKAVEEPPQKEVPETPDSKRKGEKRK AESGWFHVEEDRNTNVYVSGLPPDITVDEFIQLMSKFGIIMRDPQTEEFKVKLYKDDQGN LKGDGLCCYLKKESVELALKLLDEDEIRGYKLHVEVAKFQLKGEYDASKKKKKCKDYKKK LSLQQKQLDWRPERRAGPNRLRHERVVILKNMFHPMDFEDDPLVLNEIREDLRVECSKFG QIRKLLLFDRHPDGVASVSFREPEEADHCIQTLDGRWFGGRQITAQAWDGTTDYQVEETS REREERLRGWEAFLNAPEASRGLRRMDSIAGSERPGPSRMRHFSEHPSMSNMKAQEATTG MAFEETIDENKFEKAEEGGESEGDASEKDAKEGGSDGDHPEREGGEGCSKKENEEGCPER ALEPEEGNPQTEAQENGPEREARKKSKMDYEKNGFSKESEDNDLGKESEGEDSLKKESED DDSEEESEEDSSEKQSQDGSDKEIEENGVKKDVDQDVSDKEFPEDVEKESEENETDKSEF DEGSERVLDEEGSEREFEEDSDEKEEEGDDDEEEVVYERVFDDDSDDIEEEEEADEKECE DADDKEEDNDIDEKLFDDSDEKEDEEDTDGKKDDDASDKVFEDNSNEKLFDEEEGPNEKL FDDSDERGTVGNVKEDGSQSTDSSFALSSSDDDDDEV >ENSMUSP00000122831.1 pep:known chromosome:GRCm38:5:30666777:30674830:1 gene:ENSMUSG00000029177.9 transcript:ENSMUST00000144742.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpa description:centromere protein A [Source:MGI Symbol;Acc:MGI:88375] MGPRRKPQTPRRRPSSPAPGPSRQSSSVGSQTLRRRQKFMWLKEIKTLQKSTDLLFRKKP FSMVVREICEKFSRGVDFWWQAQALLALQEAAEAFLIHLFEDAYLLSLHAGRVTLFPKDI QLTRRIRGFEGGLP >ENSMUSP00000123493.1 pep:known chromosome:GRCm38:5:30666897:30674378:1 gene:ENSMUSG00000029177.9 transcript:ENSMUST00000133316.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpa description:centromere protein A [Source:MGI Symbol;Acc:MGI:88375] MGPRRKPQTPRRRPSSPAPGPSRQSSSVEGQVHCSPPPPIWI >ENSMUSP00000119363.1 pep:known chromosome:GRCm38:5:30666912:30674315:1 gene:ENSMUSG00000029177.9 transcript:ENSMUST00000134846.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpa description:centromere protein A [Source:MGI Symbol;Acc:MGI:88375] MGPRRKPQTPRRRPSSPAPGPSRQSSSVGVGTVGEGLWA >ENSMUSP00000142915.1 pep:known chromosome:GRCm38:5:30666943:30669759:1 gene:ENSMUSG00000029177.9 transcript:ENSMUST00000149759.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpa description:centromere protein A [Source:MGI Symbol;Acc:MGI:88375] MGPRRKPQTPRRRPSSPAPGPSRQSSSVALEMEPRTPCMLGKGSTTELYPQPSPRPFFF >ENSMUSP00000143575.1 pep:known chromosome:GRCm38:5:30666957:30674064:1 gene:ENSMUSG00000029177.9 transcript:ENSMUST00000199320.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cenpa description:centromere protein A [Source:MGI Symbol;Acc:MGI:88375] MGPRRKPQTPRRRPSSPAPGPSRQSSSVGSQTLRRRQKFMWLKEIKTLQKSTDLLFRKKP FSMVVREICEKFSRGVDFWWQAQALLALQETLPGSFWSC >ENSMUSP00000031073.3 pep:known chromosome:GRCm38:5:30666974:30674409:1 gene:ENSMUSG00000029177.9 transcript:ENSMUST00000031073.9 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpa description:centromere protein A [Source:MGI Symbol;Acc:MGI:88375] MGPRRKPQTPRRRPSSPAPGPSRQSSSVGVGTVGEGLWA >ENSMUSP00000142917.1 pep:known chromosome:GRCm38:5:30666998:30674386:1 gene:ENSMUSG00000029177.9 transcript:ENSMUST00000199617.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cenpa description:centromere protein A [Source:MGI Symbol;Acc:MGI:88375] MGPRRKPQTPRRRPSSPAPGPSRQSSSVGSQTLRRRQKFMWLKEIKTLQKSTDLLFRKKP FSMVVREICEKFSRGVDFWWQAQALLALQEPRQCSLLPRQQKLSSSTSLRTPTSSPYMLV GSRFSPKTFS >ENSMUSP00000050927.4 pep:known chromosome:GRCm38:7:10527210:10528169:-1 gene:ENSMUSG00000047031.4 transcript:ENSMUST00000058362.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r68 description:vomeronasal 1 receptor 68 [Source:MGI Symbol;Acc:MGI:3648262] MGVAIIKENQTQSKMDFWNMGIKILFLSQTTTGILGNFSLIIYYVVNYIVHTLKPTDLIL MHLMTSNALMVLSTGVLHTMAAFGLAHLLNDLGCRLILYIQRVGRSTSIGTICLLSIFQA ITISHRVSCYKGQKIKSSKYIGYSISLLWVLHMFLNFILFVDIFIKRNRNNMTSDRDFKY YSIVERNEFSDSLYVALVVCPEIFSSVLITCSSGSMIGILYRHKQRVQHIRSFHVSSRNS PEWRATQNILVLVSTFLAFYTLSSVLQGCIALLNNPNWWLVNITRLTSLCFPTFAPCVLM SHYSFMPRFSLVWTWNKTS >ENSMUSP00000098853.2 pep:known chromosome:GRCm38:11:49015874:49051225:-1 gene:ENSMUSG00000069892.9 transcript:ENSMUST00000101295.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930111J21Rik2 description:RIKEN cDNA 9930111J21 gene 2 [Source:MGI Symbol;Acc:MGI:3711310] MGQTSSSTPPPKEDPDLTSSFGTNLQNFKMKTKILSQELIAFIESSLEDGNLQETVSAIS SALGGIEKAPLNIAVMGETGAGKSSLINALQGVGDDEEGAAASTGVVHTTTERTPYTYTK FPSVTLWDLPGIGSTAFQPHDYLKKIEFEEYDFFIIVSSGRFKHNDAELAKAIVQMNRSF YFVRTHTDLDLMVVKRSNPRRFNRENTLKQIRHTISSMLKEVTHQEPPVFLVSNFDVSDF DFPKLESTLLSQLPAYKHHMFMLTLPIVTDSTIDRKRDMLKQKVWKESTMPRAWATIPSL GLTQKDMEMLQQTLNDYRSSFGLDEASLENIAEDLNVTLEELKANIKSPHLLSDEPDTSL TEKLLKYIGNPYFSKVFHLQNYFIDTVASDVKIILSKEELFTEQHPPLHTATCQPSSSRP SRLTAQLLVFSFENFFKNFKKESKILSEETITLIESHLEDKNLQGALSEISHALSNIDKA PLNIAVTGETGTGKSSFINALRGVRDEEEGAAPTGVVETTMKRTPYPHPKLPNVTIWDLP GIGSTTFPPQNYLTEMKFGEYDFFIIISATCFKEIDAHLAKTIEKMNTKFYFVRTKIDQD VSNEQRSKPRSFNRDSVLKKIRDDCSGHLQKALSSQPPVFLVSNFDVSDFDFPKLETTLL RELPAHKRHLFMMSLHSVTETAIARKRDFLRQKIWLEALKAGVWATIPLGGLVRNKMQKL EETLTLYRSYFGLDEASLENIAKDFNVSVNEIKAHLRSLQLLTKNNDMSFKEKLLKYIEY ISCVTGGPLASGLYFRKTYYWQSLFIDTVASDAKSLLNKEEFLSEKPGSCLSDLPEYWET GMEL >ENSMUSP00000090839.1 pep:known chromosome:GRCm38:11:49037660:49051242:-1 gene:ENSMUSG00000069892.9 transcript:ENSMUST00000093152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930111J21Rik2 description:RIKEN cDNA 9930111J21 gene 2 [Source:MGI Symbol;Acc:MGI:3711310] MGQTSSSTPPPKEDPDLTSSFGTNLQNFKMKTKILSQELIAFIESSLEDGNLQETVSAIS SALGGIEKAPLNIAVMGETGAGKSSLINALQGVGDDEEGAAASTGVVHTTTERTPYTYTK FPSVTLWDLPGIGSTAFQPHDYLKKIEFEEYDFFIIVSSGRFKHNDAELAKAIVQMNRSF YFVRTHTDLDLMVVKRSNPRRFNRENTLKQIRHTISSMLKEVTHQEPPVFLVSNFDVSDF DFPKLESTLLSQLPAYKHHMFMLTLPIVTDSTIDRKRDMLKQKVWKESTMPRAWATIPSL GLTQKDMEMLQQTLNDYRSSFGLDEASLENIAEDLNVTLEELKANIKSPHLLSDEPDTSL TEKLLKYIGNPYFSKVFHLQNYFIDTVASDVKIILSKEELFTEQVSSFNSKASLYREESV GKVFPVGPGSTFLFHFIEMFQSDSDELCHVHVLLLLTSGGLSSETVT >ENSMUSP00000120450.1 pep:known chromosome:GRCm38:11:49039421:49051122:-1 gene:ENSMUSG00000069892.9 transcript:ENSMUST00000132768.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930111J21Rik2 description:RIKEN cDNA 9930111J21 gene 2 [Source:MGI Symbol;Acc:MGI:3711310] MGQTSSSTPPPKEDPDLTSSFGTNLQNFKMKTKILSQELIAFIESSLEDGNLQETVSAIS SALGGIEKAPLNIAVMGETGAGKSSLINALQGVGDDEEGAAASTGVVHTTTERTPYTYTK FPSVT >ENSMUSP00000021289.3 pep:known chromosome:GRCm38:11:67661502:67757703:-1 gene:ENSMUSG00000049928.15 transcript:ENSMUST00000021289.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glp2r description:glucagon-like peptide 2 receptor [Source:MGI Symbol;Acc:MGI:2136733] MLREPQLQTKRGSLSPYLVVHLAADVHCGLLPVSHLPLLGSYTLLVPSISASCRSVQVLL HYFVGTNHLWLLVEGLYLHALLEPTVLPERRLWPKYLVVGWAFPMLFVIPWIFVRASLEN TGCWAVNENKKIWWIIRGPILLCVTVNFFIFLKILKLLISKFRAHQMCFRDYKYRLAKST LLLILLMGVHEFLFTFFTDDQVQGFSRLIRLFIQLTLSSFHGFLVALQYGFASREVPTFA SSPDYVDGYPTPSYCGQDLQKADLIMSQGQERMPGEGGAHTICILSLCCEPACTISTSHP LPSA >ENSMUSP00000061560.8 pep:known chromosome:GRCm38:11:67706430:67771153:-1 gene:ENSMUSG00000049928.15 transcript:ENSMUST00000051765.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glp2r description:glucagon-like peptide 2 receptor [Source:MGI Symbol;Acc:MGI:2136733] MRRLWGPGTPFLALLLLVSIKQVTTGSLLEETVQKWAQYKETCLKDLLEKPSGVFCNGTF DKYVCWPHSFPGNVSVPCPSYLPWWNKESPGRAYRHCLAQGTWQKQENSTDTWQDESECS ENHSFKQNVDHYHHTLLSTLQLMYTVGYSLSLISLFLALTLFLFLRKLHCTRNYIHMNLF ASFILRALVVLVKDMVFYNSYSRRPDSESGWMSYLSEISASCRSVQVLLHYFVGTNHLWL LVEGLYLHALLEPTVLPERRLWPKYLVVGWAFPMLFVIPWIFVRASLENTGCWAVNENKK IWWIIRGPILLCVTVNFFIFLKILKLLISKFRAHQMCFRDYKYRLAKSTLLLILLMGVHE FLFTFFTDDQVQGFSRLIRLFIQLTLSSFHGFLVALQYGFASREVKAELRKTWGRFLLAR HWGCRACVLGKNFRFLGKCSKKLSEGDGAETLQKLQSSGVSSHLTAGNLRDHGAQPHRGR GAWPRASSLSESSEGDFTLANTMEEILEESEI >ENSMUSP00000036861.6 pep:known chromosome:GRCm38:11:4186789:4215505:1 gene:ENSMUSG00000034412.13 transcript:ENSMUST00000041042.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d10a description:TBC1 domain family, member 10a [Source:MGI Symbol;Acc:MGI:2144164] MGTVRGRGVGSVGGGRAAQAAEVAAGALVAGAADMAKSSRENGPREPAAGGSLSGTRESL AQGPDAATADELSSLGSDSEANGFAERRIDKFGFIVGSQGAEGALEEVPLEVLRQRESKW LDMLNNWDKWMAKKHKKIRLRCQKGIPPSLRGRAWQYLSGGKVKLQQNPGKFDELDMSPG DPKWLDVIERDLHRQFPFHEMFVSRGGHGQQDLFRVLKAYTLYRPEEGYCQAQAPIAAVL LMHMPAEQAFWCLVQVCEKYLPGYYSEKLEAIQLDGEILFSLLQKVSPVAHKHLSRQKID PLLYMTEWFMCAFARTLPWSSVLRVWDMFFCEGVKIIFRVGLVLLKHALGSPEKLKACQG QYETIEQLRSLSPKIMQEAFLVQEVIELPVTERQIEREHLIQLRRWQETRGELECRSLPR MHGAKAILDAEPGPRPALQPSPSIRLPPDAALLSSKAKPHKQAQKEQKRTKTSAQLDKSP GLSQATVVTAAGDACPPQGVSPKDPVPQDPTPQNLACHHSQESLTSQESEDTYL >ENSMUSP00000136453.1 pep:known chromosome:GRCm38:11:4186822:4215502:1 gene:ENSMUSG00000034412.13 transcript:ENSMUST00000180088.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d10a description:TBC1 domain family, member 10a [Source:MGI Symbol;Acc:MGI:2144164] MAKSSRENGPREPAAGGSLSGTRESLAQGPDAATADELSSLGSDSEANGFAERRIDKFGF IVGSQGAEGALEEVPLEVLRQRESKWLDMLNNWDKWMAKKHKKIRLRCQKGIPPSLRGRA WQYLSGGKVKLQQNPGKFDELDMSPGDPKWLDVIERDLHRQFPFHEMFVSRGGHGQQDLF RVLKAYTLYRPEEGYCQAQAPIAAVLLMHMPAEQAFWCLVQVCEKYLPGYYSEKLEAIQL DGEILFSLLQKVSPVAHKHLSRQKIDPLLYMTEWFMCAFARTLPWSSVLRVWDMFFCEGV KIIFRVGLVLLKHALGSPEKLKACQGQYETIEQLRSLSPKIMQEAFLVQEVIELPVTERQ IEREHLIQLRRWQETRGELECRSLPRMHGAKAILDAEPGPRPALQPSPSIRLPPDAALLS SKAKPHKQAQKEQKRTKTSAQLDKSPGLSQATVVTAAGDACPPQGVSPKDPVPQDPTPQN LACHHSQESLTSQESEDTYL >ENSMUSP00000092049.2 pep:known chromosome:GRCm38:11:48904656:48927159:-1 gene:ENSMUSG00000048852.13 transcript:ENSMUST00000094476.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12185 description:predicted gene 12185 [Source:MGI Symbol;Acc:MGI:3652173] MGQTSSSTSPPKEDPPLTFQVKTKVLSQELIASIESSLEDGNLQETVSAISSALGDIEKV PLNIAVMGETGAGKSSLINALQGVGDDEEGAAASTGVVHTTTERTPYTYTKFPSVTLWDL PSIGSTAFQPHDYLKKIEFEEYDFFIIVSAIRIKQSDIELAKAIVQMNRGLYFVRTKTDS DLENEKLCNPMRFNRENILKSIRICLSSNLKERFQQEPPVFLVSNFDVSDFDFPKLESTL LSQLPAYKHQIFMSTLQVVINAIVDRKRDMLKQKIWKESIMPRAWATIPSRGLTQKDMEM LQQTLNDYRSSFGLNEASLENIAEDLNVTLEELKANIKSPHLFSDEPDTSLTEKLLKYIG NPYFSKVFHLQNYFIDTVASDAKIILSKEELFTEQHPPLNTATCQTSTGRTSQITAQLLE FNFKNFFKNFKKESKILSEETITLIESHLENKNLKEALTVISHALRNIDKAPLNIAVTGE TGTGKSSFINALRGISSEEKDAAPTGVIETTMKRTPYPHPKLPNVTIWDLPGIGSTNFPP QNYLTEMKFGEYDFFIIISATRFKEIDAHLAKAIAKMNIKFYFVRTKIDQDISNEQRSKP KSFNRDSVLKKIKDECLGLLQKVLSSQPPIFLVSNFDVSDFDFPKLETTLLKELPAHKRH LFMMSLHSVTETTIARKRDFLRQKIWLEALKAGLWATIPLGGLVRDKMQKLEETLTLYRS YFGLDEASLENIAKDFNVSVNEIKAHLRSLQLLTKNNDMSFKEKLLKYIEYISCVTGGPL ASGLYFSKTYYWQSLFIDTVASDAKSLLNKEEFLSEKPGSCLSDLPEYWETGMEL >ENSMUSP00000054351.2 pep:known chromosome:GRCm38:11:48904658:48992226:-1 gene:ENSMUSG00000048852.13 transcript:ENSMUST00000059930.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm12185 description:predicted gene 12185 [Source:MGI Symbol;Acc:MGI:3652173] MGQTSSSTSPPKEDPPLTFQVKTKVLSQELIASIESSLEDGNLQETVSAISSALGDIEKV PLNIAVMGETGAGKSSLINALQGVGDDEEGAAASTGVVHTTTERTPYTYTKFPSVTLWDL PSIGSTAFQPHDYLKKIEFEEYDFFIIVSAIRIKQSDIELAKAIVQMNRGLYFVRTKTDS DLENEKLCNPMRFNRENILKSIRICLSSNLKERFQQEPPVFLVSNFDVSDFDFPKLESTL LSQLPAYKHQIFMSTLQVVINAIVDRKRDMLKQKIWKESIMPRAWATIPSRGLTQKDMEM LQQTLNDYRSSFGLNEASLENIAEDLNVTLEELKANIKSPHLFSDEPDTSLTEKLLKYIG NPYFSKVFHLQNYFIDTVASDAKIILSKEELFTEQHPPLNTATCQTSTGRTSQITAQLLE FNFKNFFKNFKKESKILSEETITLIESHLENKNLKEALTVISHALRNIDKAPLNIAVTGE TGTGKSSFINALRGISSEEKDAAPTGVIETTMKRTPYPHPKLPNVTIWDLPGIGSTNFPP QNYLTEMKFGEYDFFIIISATRFKEIDAHLAKAIAKMNIKFYFVRTKIDQDISNEQRSKP KSFNRDSVLKKIKDECLGLLQKVLSSQPPIFLVSNFDVSDFDFPKLETTLLKELPAHKRH LFMMSLHSVTETTIARKRDFLRQKIWLEALKAGLWATIPLGGLVRDKMQKLEETLTLYRS YFGLDEASLENIAKDFNVSVNEIKAHLRSLQLLTKNNDMSFKEKLLKYIEYISCVTGGPL ASGLYFSKTYYWQSLFIDTVASDAKSLLNKEEFLSEKPGSCLSDLPEYWETGMEL >ENSMUSP00000144368.1 pep:known chromosome:GRCm38:13:62772200:62785808:-1 gene:ENSMUSG00000091183.5 transcript:ENSMUST00000201047.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5141 description:predicted gene 5141 [Source:MGI Symbol;Acc:MGI:3779466] MNAVTYEDVHVNFTQEEWALLDPSQKTLYKDVMLETYRNLSALGFSWEAQNIEEHCQSSR RHRRCERSQSAEKPSEYTQHGKAFAFHAHSHAQRHERIHTEKIPSEVIHCIEDFLPYTSL QVHKRAQTGQKQYEYKQCGKGFACPSLLKRHERIHTVEKPYKCNQCDKAFSLKYSLQRHI RTHTGEKPFKCNQCEKAFSHHCNLQTHRRTHTREKPFKCNECDKAFSQFGNLQNHRRTHT GEKPFKCNECDKAFSEKGSLQKHISIHTGEKPYKCNQCDKAFSHKQSLLIHIRIHTGEKP YKCNQCDKAFSHKHILKNHIRIHSGEKPYKCNLCDKVFSRHFHLQNHIRTHTGEKPYRCN QCDKAFSHHKTLQTHRKTHTGEKPFKCNECDKAFSEKGSLQSHIRTHTGEKPYKCNQCDK AFSHKQNLQIHIRIHTGEKPYKCNQCDKAFSYKQNLQIHIRIHTGEKPYKCNQCDKAFLH QGNLQTHRRTHTRDKPFQCNLCDKVFSRHFHLQNHIRTHTREKPYKCNECGKGFSQHSSL QTHRRTHTGEKPFKCNQCDKAFSCKHHLQTHIRIHTVEKPY >ENSMUSP00000126604.2 pep:known chromosome:GRCm38:13:62773608:62777089:-1 gene:ENSMUSG00000091183.5 transcript:ENSMUST00000167516.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5141 description:predicted gene 5141 [Source:MGI Symbol;Acc:MGI:3779466] NAVTYEDVHVNFTQEEWALLDPSQKTLYKDVMLETYRNLSALGFSWEAQNIEEHCQSSRR HRRCERSQSAEKPSEYTQHGKAFAFHAHSHAQRHERIHTEKIPSEVIHCIEDFLPYTSLQ VHKRAQTGQKQYEYKQCGKGFACPSLLKRHERIHTVEKPYKCNQCDKAFSLKYSLQRHIR THTGEKPFKCNQCEKAFSHHCNLQTHRRTHTREKPFKCNECDKAFSQFGNLQNHRRTHTG EKPFKCNECDKAFSEKGSLQKHISIHTGEKPYKCNQCDKAFSHKQSLLIHIRIHTGEKPY KCNQCDKAFSHKHILKNHIRIHSGEKPYKCNLCDKVFSRHFHLQNHIRTHTGEKPYRCNQ CDKAFSHHKTLQTHRKTHTGEKPFKCNECDKAFSEKGSLQSHIRTHTGEKPYKCNQCDKA FSHKQNLQIHIRIHTGEKPYKCNQCDKAFSYKQNLQIHIRIHTGEKPYKCNQCDKAFLHQ GNLQTHRRTHTRDKPFQCNLCDKVFSRHFHLQNHIRTHTREKPYKCNECGKGFSQHSSLQ THRRTHTGEKPFKCNQCDKAFSCKHHLQTHIRIHTVEKPY >ENSMUSP00000100564.1 pep:known chromosome:GRCm38:11:48945354:48946190:-1 gene:ENSMUSG00000078153.3 transcript:ENSMUST00000104958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Psme2b description:protease (prosome, macropain) activator subunit 2B [Source:MGI Symbol;Acc:MGI:1341073] MAKPCGVRLSGEARKQVDVFRQNLFQEADDFLCTFLPRKIISLSQLLQEDSLNVADLSSL RAPLDIPIPDPPPKDDEMETDKQEKKEVPKCGYLPGNEKLLALLALVKPEVWTLKEKCIL VITWIQHLIPKIEDGNDFGVAIQEKVLERVNAVKTKVEAFQTTISKYFSERGDAVAKASK DTHVMDYRALVHERDEAAYGALRAMVLDLRAFYAELYHIISSNLEKIVNPKGEEKPSMY >ENSMUSP00000029540.6 pep:known chromosome:GRCm38:3:90450591:90465866:-1 gene:ENSMUSG00000027931.12 transcript:ENSMUST00000029540.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Npr1 description:natriuretic peptide receptor 1 [Source:MGI Symbol;Acc:MGI:97371] MPGSRRVRPRLRALLLLPPLLLLRSGHASDLTVAVVLPLTNTSYPWSWARVGPAVELALG RVKARPDLLPGWTVRMVLGSSENAAGVCSDTAAPLAAVDLKWEHSPAVFLGPGCVYSAAP VGRFTAHWRVPLLTAGAPALGIGVKDEYALTTRTGPSHVKLGDFVTALHRRLGWEHQALV LYADRLGDDRPCFFIVEGLYMRVRERLNITVNHQEFVEGDPDHYTKLLRTVQRKGRVIYI CSSPDAFRNLMLLALDAGLTGEDYVFFHLDVFGQSLQGAQGPVPRKPWERDDGQDRRARQ AFQAAKIITYKEPDNPEYLEFLKQLKLLADKKFNFTMEDGLKNIIPASFHDGLLLYVQAV TETLAQGGTVTDGENITQRMWNRSFQGVTGYLKIDRNGDRDTDFSLWDMDPETGAFRVVL NFNGTSQELMAVSEHRLYWPLGYPPPDIPKCGFDNEDPACNQDHFSTLEVLALVGSLSLV SFLIVSFFIYRKMQLEKELVSELWRVRWEDLQPSSLERHLRSAGSRLTLSGRGSNYGSLL TTEGQFQVFAKTAYYKGNLVAVKRVNRKRIELTRKVLFELKHMRDVQNEHLTRFVGACTD PPNICILTEYCPRGSLQDILENESITLDWMFRYSLTNDIVKGMLFLHNGAIGSHGNLKSS NCVVDGRFVLKITDYGLESFRDPEPEQGHTLFAKKLWTAPELLRMASPPARGSQAGDVYS FGIILQEIALRSGVFYVEGLDLSPKEIIERVTRGEQPPFRPSMDLQSHLEELGQLMQRCW AEDPQERPPFQQIRLALRKFNKENSSNILDNLLSRMEQYANNLEELVEERTQAYLEEKRK AEALLYQILPHSVAEQLKRGETVQAEAFDSVTIYFSDIVGFTALSAESTPMQVVTLLNDL YTCFDAVIDNFDVYKVETIGDAYMVVSGLPVRNGQLHAREVARMALALLDAVRSFRIRHR PQEQLRLRIGIHTGPVCAGVVGLKMPRYCLFGDTVNTASRMESNGEALRIHLSSETKAVL EEFDGFELELRGDVEMKGKGKVRTYWLLGERGCSTRG >ENSMUSP00000118023.1 pep:known chromosome:GRCm38:3:90457418:90461421:-1 gene:ENSMUSG00000027931.12 transcript:ENSMUST00000124760.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Npr1 description:natriuretic peptide receptor 1 [Source:MGI Symbol;Acc:MGI:97371] XPPDIPKCGFDNEDPACNQDHFSTLEVLALVGSLSLVSFLIVSFFIYRVNR >ENSMUSP00000103815.2 pep:known chromosome:GRCm38:4:32238804:32586104:1 gene:ENSMUSG00000040270.16 transcript:ENSMUST00000108180.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bach2 description:BTB and CNC homology, basic leucine zipper transcription factor 2 [Source:MGI Symbol;Acc:MGI:894679] MSVDEKPGSPMYVYESTVHCANILLGLNDQRKKDILCDVTLIVERKEFRAHRAVLAACSE YFWQALVGQTKDDLVVSLPEEVTARGFGPLLQFAYTAKLLLSRENIREVIRCAEFLRMHN LEDSCFSFLQTQLLNREDGLFVCRKDSACQRPQEDHGNSAGEEEEEEETMDSETARMACA TDQMLPDPISFEATAIPVAEKEEALLPESEVPTDTKENSEKGALTQYPRYKKYQLACTKN VYSAPSHGTSGFASTFSEDSPGNSLKPGLPMGQIKSEPPSEETEEESITLCLSGDETDIK DRPGDVEMDRKQPSPAPTPSTPTGAACLDRSRSVSSPSCLRSLFGITKGVESTGLPSTSQ QPLVRSSACPFNKGISQGDLKTDYTPLAGNYGQPHVGQKDVSNFAMGSPLRGPGPETLCK QEGELDRRSVIFSASACDQPNTPVHSYSAVSNLDKDLSEPVPKSLWVGAGQSLPSSQAYS HSGLMADHLPGRIRPNTSCPVPIKVCPRSPPLETRTRTSSSCSSYSYAEDGSGGSPCSLP LCEFSSSPCSQGARFLATEHQEPGLMGDGMYNQVRPQIKCEQSYGTNSSDESGSFSEADS ESCPVQDRGQEVKLPFPVDQITDLPRNDFQMMIKMHKLTSEQLEFIHDIRRRSKNRIAAQ RCRKRKLDCIQNLECEIRKLVCEKEKLLSERNHLKACMGELLDNFSCLSQEVCRDIQSPE QIQALHRYCPVLIPMDLPGASVNPPPVGVEQSLAPSPCAVGGSVPCCLEPGAAPPGLPWV PSNTSENCTSGRRLEGSDPGTFSERGPPLEARSQSVTVDFCQEMTEKCTTDEQPRKDYA >ENSMUSP00000043693.6 pep:known chromosome:GRCm38:4:32238950:32581199:1 gene:ENSMUSG00000040270.16 transcript:ENSMUST00000037416.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bach2 description:BTB and CNC homology, basic leucine zipper transcription factor 2 [Source:MGI Symbol;Acc:MGI:894679] MSVDEKPGSPMYVYESTVHCANILLGLNDQRKKDILCDVTLIVERKEFRAHRAVLAACSE YFWQALVGQTKDDLVVSLPEEVTARGFGPLLQFAYTAKLLLSRENIREVIRCAEFLRMHN LEDSCFSFLQTQLLNREDGLFVCRKDSACQRPQEDHGNSAGEEEEEEETMDSETARMACA TDQMLPDPISFEATAIPVAEKEEALLPESEVPTDTKENSEKGALTQYPRYKKYQLACTKN VYSAPSHGTSGFASTFSEDSPGNSLKPGLPMGQIKSEPPSEETEEESITLCLSGDETDIK DRPGDVEMDRKQPSPAPTPSTPTGAACLDRSRSVSSPSCLRSLFGITKGVESTGLPSTSQ QPLVRSSACPFNKGISQGDLKTDYTPLAGNYGQPHVGQKDVSNFAMGSPLRGPGPETLCK FSSSPCSQGARFLATEHQEPGLMGDGMYNQVRPQIKCEQSYGTNSSDESGSFSEADSESC PVQDRGQEVKLPFPVDQITDLPRNDFQMMIKMHKLTSEQLEFIHDIRRRSKNRIAAQRCR KRKLDCIQNLECEIRKLVCEKEKLLSERNHLKACMGELLDNFSCLSQEVCRDIQSPEQIQ ALHRYCPVLIPMDLPGASVNPPPVGVEQSLAPSPCAVGGSVPCCLEPGAAPPGLPWVPSN TSENCTSGRRLEGSDPGTFSERGPPLEARSQSVTVDFCQEMTEKCTTDEQPRKDYA >ENSMUSP00000131592.1 pep:known chromosome:GRCm38:4:32417435:32586108:1 gene:ENSMUSG00000040270.16 transcript:ENSMUST00000171600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Bach2 description:BTB and CNC homology, basic leucine zipper transcription factor 2 [Source:MGI Symbol;Acc:MGI:894679] MSVDEKPGSPMYVYESTVHCANILLGLNDQRKKDILCDVTLIVERKEFRAHRAVLAACSE YFWQALVGQTKDDLVVSLPEEVTARGFGPLLQFAYTAKLLLSRENIREVIRCAEFLRMHN LEDSCFSFLQTQLLNREDGLFVCRKDSACQRPQEDHGNSAGEEEEEEETMDSETARMACA TDQMLPDPISFEATAIPVAEKEEALLPESEVPTDTKENSEKGALTQYPRYKKYQLACTKN VYSAPSHGTSGFASTFSEDSPGNSLKPGLPMGQIKSEPPSEETEEESITLCLSGDETDIK DRPGDVEMDRKQPSPAPTPSTPTGAACLDRSRSVSSPSCLRSLFGITKGVESTGLPSTSQ QPLVRSSACPFNKGISQGDLKTDYTPLAGNYGQPHVGQKDVSNFAMGSPLRGPGPETLCK QEGELDRRSVIFSASACDQPNTPVHSYSAVSNLDKDLSEPVPKSLWVGAGQSLPSSQAYS HSGLMADHLPGRIRPNTSCPVPIKVCPRSPPLETRTRTSSSCSSYSYAEDGSGGSPCSLP LCEFSSSPCSQGARFLATEHQEPGLMGDGMYNQVRPQIKCEQSYGTNSSDESGSFSEADS ESCPVQDRGQEVKLPFPVDQITDLPRNDFQMMIKMHKLTSEQLEFIHDIRRRSKNRIAAQ RCRKRKLDCIQNLECEIRKLVCEKEKLLSERNHLKACMGELLDNFSCLSQEVCRDIQSPE QIQALHRYCPVLIPMDLPGASVNPPPVGVEQSLAPSPCAVGGSVPCCLEPGAAPPGLPWV PSNTSENCTSGRRLEGSDPGTFSERGPPLEARSQSVTVDFCQEMTEKCTTDEQPRKDYA >ENSMUSP00000099846.1 pep:known chromosome:GRCm38:11:49050733:49135387:1 gene:ENSMUSG00000040328.14 transcript:ENSMUST00000102785.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr56 description:olfactory receptor 56 [Source:MGI Symbol;Acc:MGI:1333785] MLVFTVALCGNVLLILLIYTDPRLHTPMYFFLSQLSLMDLMLVCNIVPKMAVNFLSGRKS ISFAGCGIQIGFFVSLVGSEGLLLGLMAYDRYVAISHPLHYPILMSQKVCLQIAGSSWAF GILDGIIQMVAAMSLPYCGSRYIDHFFCEVPALLKLACADTSLFDTLLFACCVFMLLLPF SIIVTSYARILGAVLRMHSAQSRKKALATCSSHLTAVSLFYGAAMFIYLRPRRYRAPSHD KVVSIFYTVLTPMLNPLIYSLRNREVMGALRKGLDRCRVGSQH >ENSMUSP00000145429.1 pep:known chromosome:GRCm38:11:49087052:49135320:1 gene:ENSMUSG00000040328.14 transcript:ENSMUST00000203149.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr56 description:olfactory receptor 56 [Source:MGI Symbol;Acc:MGI:1333785] MGIWLNESSVDGFILLGIFSQSQTDLLLFSTVMLVFTVALCGNVLLILLIYTDPRLHTPM YFFLSQLSLMDLMLVCNIVPKMAVNFLSGRKSISFAGCGIQIGFFVSLVGSEGLLLGLMA YDRYVAISHPLHYPILMSQKVCLQIAGSSWAFGILDGIIQMVAAMSLPYCGSRYIDHFFC EVPALLKLACADTSLFDTLLFACCVFMLLLPFSIIVTSYARILGAVLRMHSAQSRKKALA TCSSHLTAVSLFYGAAMFIYLRPRRYRAPSHDKVVSIFYTVLTPMLNPLIYSLRNREVMG ALRKGLDRCRVGSQH >ENSMUSP00000144951.1 pep:known chromosome:GRCm38:11:49118037:49135319:1 gene:ENSMUSG00000040328.14 transcript:ENSMUST00000203810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr56 description:olfactory receptor 56 [Source:MGI Symbol;Acc:MGI:1333785] MGIWLNESSVDGFILLGIFSQSQTDLLLFSTVMLVFTVALCGNVLLILLIYTDPRLHTPM YFFLSQLSLMDLMLVCNIVPKMAVNFLSGRKSISFAGCGIQIGFFVSLVGSEGLLLGLMA YDRYVAISHPLHYPILMSQKVCLQIAGSSWAFGILDGIIQMVAAMSLPYCGSRYIDHFFC EVPALLKLACADTSLFDTLLFACCVFMLLLPFSIIVTSYARILGAVLRMHSAQSRKKALA TCSSHLTAVSLFYGAAMFIYLRPRRYRAPSHDKVVSIFYTVLTPMLNPLIYSLRNREVMG ALRKGLDRCRVGSQH >ENSMUSP00000058544.4 pep:known chromosome:GRCm38:11:48978889:49135387:1 gene:ENSMUSG00000040328.14 transcript:ENSMUST00000056759.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr56 description:olfactory receptor 56 [Source:MGI Symbol;Acc:MGI:1333785] MLVFTVALCGNVLLILLIYTDPRLHTPMYFFLSQLSLMDLMLVCNIVPKMAVNFLSGRKS ISFAGCGIQIGFFVSLVGSEGLLLGLMAYDRYVAISHPLHYPILMSQKVCLQIAGSSWAF GILDGIIQMVAAMSLPYCGSRYIDHFFCEVPALLKLACADTSLFDTLLFACCVFMLLLPF SIIVTSYARILGAVLRMHSAQSRKKALATCSSHLTAVSLFYGAAMFIYLRPRRYRAPSHD KVVSIFYTVLTPMLNPLIYSLRNREVMGALRKGLDRCRVGSQH >ENSMUSP00000136647.1 pep:known chromosome:GRCm38:11:48978889:49135387:1 gene:ENSMUSG00000040328.14 transcript:ENSMUST00000179282.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr56 description:olfactory receptor 56 [Source:MGI Symbol;Acc:MGI:1333785] MLVFTVALCGNVLLILLIYTDPRLHTPMYFFLSQLSLMDLMLVCNIVPKMAVNFLSGRKS ISFAGCGIQIGFFVSLVGSEGLLLGLMAYDRYVAISHPLHYPILMSQKVCLQIAGSSWAF GILDGIIQMVAAMSLPYCGSRYIDHFFCEVPALLKLACADTSLFDTLLFACCVFMLLLPF SIIVTSYARILGAVLRMHSAQSRKKALATCSSHLTAVSLFYGAAMFIYLRPRRYRAPSHD KVVSIFYTVLTPMLNPLIYSLRNREVMGALRKGLDRCRVGSQH >ENSMUSP00000096484.4 pep:known chromosome:GRCm38:3:92967061:92968281:1 gene:ENSMUSG00000074433.4 transcript:ENSMUST00000098886.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce3e description:late cornified envelope 3E [Source:MGI Symbol;Acc:MGI:1916764] MSCQQSQKQCQPPPKCPSPKCSPKCPPKSTTQCLPAASSCCATSSGGCSLPSSEGGCCLS HHRRRSHRCRRRSSSSCDRGSGQQSGGSGCSHSSGGCC >ENSMUSP00000133183.3 pep:known chromosome:GRCm38:14:6210617:6219292:-1 gene:ENSMUSG00000094460.7 transcript:ENSMUST00000168480.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21560 description:predicted gene, 21560 [Source:MGI Symbol;Acc:MGI:5434915] MFSRLLRLCQKENGDEGETRPKKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKTSNERKEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNKKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAKEQQLP >ENSMUSP00000136828.1 pep:known chromosome:GRCm38:14:6216224:6219210:-1 gene:ENSMUSG00000094460.7 transcript:ENSMUST00000177670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21560 description:predicted gene, 21560 [Source:MGI Symbol;Acc:MGI:5434915] MFSRLLRLCQKENGDEGETRPKKKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVEELKLHIRKTSNERKEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM TQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNKKVRILLNENRKLLVEQAGTQVSC GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000045025.6 pep:known chromosome:GRCm38:11:49057194:49064204:-1 gene:ENSMUSG00000078921.3 transcript:ENSMUST00000046745.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgtp2 description:T cell specific GTPase 2 [Source:MGI Symbol;Acc:MGI:3710083] MAWASSFDAFFKNFKRESKIISEYDITLIMTYIEENKLQKAVSVIEKVLRDIESAPLHIA VTGETGAGKSTFINTLRGVGHEEKGAAPTGAIETTMKRTPYPHPKLPNVTIWDLPGIGTT NFTPQNYLTEMKFGEYDFFIIISATRFKENDAQLAKAIAQMGMNFYFVRTKIDSDLDNEQ KFKPKSFNKEEVLKNIKDYCSNHLQESLDSEPPVFLVSNVDISKYDFPKLETKLLQDLPA HKRHVFSLSLQSLTEATINYKRDSLKQKVFLEAMKAGALATIPLGGMISDILENLDETFN LYRSYFGLDDASLENIAQDLNMSVDDFKVHLRFPHLFAEHNDESLEDKLFKYIKHISSVT GGPVAAVTYYRMAYYLQNLFLDTAANDAIALLNSKALFEKKVGPYISEPPEYWEA >ENSMUSP00000130760.1 pep:known chromosome:GRCm38:14:6087295:6108665:-1 gene:ENSMUSG00000094634.7 transcript:ENSMUST00000165193.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3468 description:predicted gene 3468 [Source:MGI Symbol;Acc:MGI:3781644] MLNSSKLGVSGMFSWLLRLFQKETGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNT STQNSKMTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQ HEKTMLDMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRL LVEQAGHKCPVGKKRGSLRRPARTSVSQVPRNSSVK >ENSMUSP00000129374.2 pep:known chromosome:GRCm38:14:6020654:6093942:-1 gene:ENSMUSG00000094634.7 transcript:ENSMUST00000168733.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3468 description:predicted gene 3468 [Source:MGI Symbol;Acc:MGI:3781644] MFSWLLRLFQKETGDEGETRPTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKMTKKR SKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKM IQSIIGSMQYSKELIEDNYSYRALAGIIGLVGVASQWNLAGNHQFFFVDQH >ENSMUSP00000088375.3 pep:known chromosome:GRCm38:3:92992226:92993426:1 gene:ENSMUSG00000068885.4 transcript:ENSMUST00000090863.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce3f description:late cornified envelope 3F [Source:MGI Symbol;Acc:MGI:1916770] MSCQQSQKQCQPPPKCPSPKCSPKCPPKSTTQCLPAASSCCATSSGGCSLPSSEGGCCLS HHRRRSHRCRRRSSSSCDRGSGQQSGGSGCGHSSGGCC >ENSMUSP00000034021.4 pep:known chromosome:GRCm38:8:57523828:57653031:-1 gene:ENSMUSG00000031608.13 transcript:ENSMUST00000034021.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt7 description:UDP-N-acetyl-alpha-D-galactosamine: polypeptide N-acetylgalactosaminyltransferase 7 [Source:MGI Symbol;Acc:MGI:1349449] MRLKIGFILRSLLVVGSFLGLVVLWSSLSSRPDDQSPLSRMREDRDVNNPLPNRGGNGLA PGDDRFKPVVPWPHVEGVEVDLESIRRKNKAKNEQERHAGGDSQRDVMQRQYLTFKPQTF TYRDPVLRPGVLGNFEPKEPEPHGVVGGPGEKAKPLVLGPEYKQAVQASIKEFGFNMVAS DMISLDRSVNDLRQEECKYWHYDENLLTSSVVIVFHNEGWSTLMRTVHSVIKRTPRKYLA EIVLIDDFSNKEHLKEKLDEYIKLWNGLVKVFRNERREGLIQARSIGAQKAKLGQVLIYL DAHCEVAVNWYAPLVAPISKDRTICTVPIIDVISGNTYEIIPQGGGDEDGYARGAWDWSM LWKRVPLTSREKRLRKTKTEPYRSPAMAGGLFAIEKDFFFELGLYDPGLQIWGGENFEIS YKIWQCGGKLLFVPCSRVGHIYRLEGWQGNPPPLYVGSSPTLKNYVRVVEVWWDEYKDYF YASRPESKALPYGDISELKKFREDHNCKSFKWFMEEIAYDITAHYPLPPRNVEWGEIRGL ETAYCIDSMGKTNGGFVELGPCHRMGGNQLFRINEANQLMQYDQCLTKGPDGSKVMITHC NLNEFKEWQYFKSLHRFTHITSGKCLDRSEVLHQVFISTCDSSKMTQKWEMNNIHSV >ENSMUSP00000105945.2 pep:known chromosome:GRCm38:8:57524700:57653003:-1 gene:ENSMUSG00000031608.13 transcript:ENSMUST00000110316.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Galnt7 description:UDP-N-acetyl-alpha-D-galactosamine: polypeptide N-acetylgalactosaminyltransferase 7 [Source:MGI Symbol;Acc:MGI:1349449] MRLKIGFILRSLLVVGSFLGLVVLWSSLSSRPDDQSPLSRMREDRDVNNPLPNRGGNGLA PGDDRFKPVVPWPHVEGVEVDLESIRRKNKAKNEQERHAGGDSQRDVMQRQYLTFKPQTF TYRDPVLRPGVLGNFEPKEPEPHGVVGGPGEKAKPLVLGPEYKQAVQASIKEFGFNMVAS DMISLDRSVNDLRQEECKYWHYDENLLTSSVVIVFHNEGWSTLMRTVHSVIKRTPRKYLA EIVLIDDFSNKEHLKEKLDEYIKLWNGLVKVFRNERREGLIQARSIGAQKAKLGQVLIYL DAHCEVAVNWYAPLVAPISKDRATCTVPLIDYIDGNDYSIEPQQGGDEDGFARGAWDWSM LWKRIPLSHKEKAKRKHKTEPYRSPAMAGGLFAIEKDFFFELGLYDPGLQIWGGENFEIS YKIWQCGGKLLFVPCSRVGHIYRLEGWQGNPPPLYVGSSPTLKNYVRVVEVWWDEYKDYF YASRPESKALPYGDISELKKFREDHNCKSFKWFMEEIAYDITAHYPLPPRNVEWGEIRGL ETAYCIDSMGKTNGGFVELGPCHRMGGNQLFRINEANQLMQYDQCLTKGPDGSKVMITHC NLNEFKEWQYFKSLHRFTHITSGKCLDRSEVLHQVFISTCDSSKMTQKWEMNNIHSV >ENSMUSP00000069914.3 pep:known chromosome:GRCm38:11:48985327:48992258:-1 gene:ENSMUSG00000078922.9 transcript:ENSMUST00000068063.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgtp1 description:T cell specific GTPase 1 [Source:MGI Symbol;Acc:MGI:98734] MAWASSFDAFFKNFKRESKIISEYDITLIMTYIEENKLQKAVSVIEKVLRDIESAPLHIA VTGETGAGKSTFINTLRGVGHEEKGAAPTGAIETTMKRTPYPHPKLPNVTIWDLPGIGTT NFTPQNYLTEMKFGEYDFFIIISATRFKENDAQLAKAIAQMGMNFYFVRTKIDSDLDNEQ KFKPKSFNKEEVLKNIKDYCSNHLQESLDSEPPVFLVSNVDISKYDFPKLETKLLQDLPA HKRHVFSLSLQSLTEATINYKRDSLKQKVFLEAMKAGALATIPLGGMISDILENLDETFN LYRSYFGLDDASLENIAQDLNMSVDDFKVHLRFPHLFAEHNDESLEDKLFKYIKHISSVT GGPVAAVTYYRMAYYLQNLFLDTAANDAIALLNSKALFEKKVGPYISEPPEYWEA >ENSMUSP00000123089.1 pep:known chromosome:GRCm38:11:48987481:48994172:-1 gene:ENSMUSG00000078922.9 transcript:ENSMUST00000146439.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tgtp1 description:T cell specific GTPase 1 [Source:MGI Symbol;Acc:MGI:98734] MAWASSFDAFFKNFKRESKIISEYDITLIMTYIEENKLQKAVSVIEKVLRDIESAPLHIA VTGETGAGKSTFINTLRGVGHEEKGAAPTGAIETTMKRTPYPHPKLPNVTIWDLPGIGTT NFTPQNYLTEMK >ENSMUSP00000127293.2 pep:known chromosome:GRCm38:14:6259747:6287250:-1 gene:ENSMUSG00000079396.4 transcript:ENSMUST00000170104.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3411 description:predicted gene 3411 [Source:MGI Symbol;Acc:MGI:3781589] MTKKRSKINELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLNENVRILLNENRRLLVEQAG HKCPVGKKRGSVRRPARTSVSQVPRNSSVI >ENSMUSP00000109932.2 pep:known chromosome:GRCm38:2:25372330:25376803:1 gene:ENSMUSG00000026955.13 transcript:ENSMUST00000114293.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sapcd2 description:suppressor APC domain containing 2 [Source:MGI Symbol;Acc:MGI:1919330] MAVAAMAERGRLSHAAPAPSTEGLPRAFLQSLRTLFDILDDRQRGYVHLREIESRWQGAD ARELPCGVLEGLRQVAPANGYLTFERFVAGLRTSLLKADGGQRDQARVAARPGDQSSLQQ RLMFAPADEPRTVLERKPLPLSACPASGGPSGTSRNPELLCVPVEAASCPTETERPLSKA LEQIPSADLGAAACKTLGKGTGEARQAPRARGERRRHTITNGVDCSLLKQMKELDQEQEV LLQGLEMMARGRDWYQQQLQRVQERQRRLSQSRAAADFGAEGSPRPLGRLLPKVQEVARC LGELLTAACSGRALPSSSLGPLGPPSPSTPVWQQQTILMLKEQNRLLTQEVTDKSERITQ LEQEKSALIKQLFEARALSQQDSGPLDSTFI >ENSMUSP00000097898.2 pep:known chromosome:GRCm38:2:25372334:25376803:1 gene:ENSMUSG00000026955.13 transcript:ENSMUST00000100323.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sapcd2 description:suppressor APC domain containing 2 [Source:MGI Symbol;Acc:MGI:1919330] MAVAAMAERGRLSHAAPAPSTEGLPRAFLQSLRTLFDILDDRQRGYVHLREIESRWQGAD ARELPCGVLEGLRQVAPANGYLTFERFVAGLRTSLLKADGGQRDQARVAARPGDQSSLQQ RLMFAPADEPRTVLERKPLPLSACPASGGPSGTSRNPELLCVPVEAASCPTETERPLSKA LEQIPSADLGAAACKTLGKGTGEARQAPRARGERRRHTITNGVDCSLLKQMKELDQEQEV LLQGLEMMARGRDWYQQQLQRVQERQRRLSQSRAAADFGAEGSPRPLGRLLPKVQEVARC LGELLTAACSGRVSALEAAWHPLSLFWMLCQERSGSLCTSTGSAFVLFRAPGPSLTLNSS LAATDHPHAERTEPASHSGEVWEDGAGAGGPANPDRLPACSPAQEVTDKSERITQLEQEK SALIKQLFEARALSQQDSGPLDSTFI >ENSMUSP00000028329.6 pep:known chromosome:GRCm38:2:25372321:25378213:1 gene:ENSMUSG00000026955.13 transcript:ENSMUST00000028329.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sapcd2 description:suppressor APC domain containing 2 [Source:MGI Symbol;Acc:MGI:1919330] MAVAAMAERGRLSHAAPAPSTEGLPRAFLQSLRTLFDILDDRQRGYVHLREIESRWQGAD ARELPCGVLEGLRQVAPANGYLTFERFVAGLRTSLLKADGGQRDQARVAARPGDQSSLQQ RLMFAPADEPRTVLERKPLPLSACPASGGPSGTSRNPELLCVPVEAASCPTETERPLSKA LEQIPSADLGSSQCDTLQRQPGLLLHPGGRGGSTGAPSTSAEEGAAACKTLGKGTGEARQ APRARGERRRHTITNGVDCSLLKQMKELDQEQEVLLQGLEMMARGRDWYQQQLQRVQERQ RRLSQSRAAADFGAEGSPRPLGRLLPKVQEVARCLGELLTAACSGRALPSSSLGPLGPPS PSTPVWQQQTILMLKEQNRLLTQEVTDKSERITQLEQEKSALIKQLFEARALSQQDSGPL DSTFI >ENSMUSP00000139564.1 pep:known chromosome:GRCm38:7:30899176:30914832:-1 gene:ENSMUSG00000036634.15 transcript:ENSMUST00000187137.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mag description:myelin-associated glycoprotein [Source:MGI Symbol;Acc:MGI:96912] MIFLATLPLFWIMISASRGGHWGAWMPSTISAFEGTCVSIPCRFDFPDELRPAVVHGVWY FNSPYPKNYPPVVFKSRTQVVHESFQGRSRLLGDLGLRNCTLLLSTLSPELGGKYYFRGD LGGYNQYTFSEHSVLDIVNTPNIVVPPEVVAGTEVEVSCMVPDNCPELRPELSWLGHEGL GEPTVLGRLREDEGTWVQVSLLHFVPTREANGHRLGCQAAFPNTTLQFEGYASLDVKYPP VIVEMNSSVEAIEGSHVSLLCGADSNPPPLLTWMRDGMVLREAVAKSLYLDLEEVTPGED GVYACLAENAYGQDNRTVELSVMYAPWKPTVNGTVVAVEGETVSILCSTQSNPDPILTIF KEKQILATVIYESQLQLELPAVTPEDDGEYWCVAENQYGQRATAFNLSVEFAPIILLESH CAAARDTVQCLCVVKSNPEPSVAFELPSRNVTVNETEREFVYSERSGLLLTSILTIRGQA QAPPRVICTSRNLYGTQSLELPFQGAHRLMWAKIGPVGAVVAFAILIAIVCYITQTRRKK NVTESSSFSGGDNPHVLYSPEFRISGAPDKYESREVSTRDCH >ENSMUSP00000139881.1 pep:known chromosome:GRCm38:7:30899531:30914308:-1 gene:ENSMUSG00000036634.15 transcript:ENSMUST00000191081.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mag description:myelin-associated glycoprotein [Source:MGI Symbol;Acc:MGI:96912] MIFLATLPLFWIMISASRGGHWGAWMPSTISAFEGTCVSIPCRFDFPDELRPAVVHGVWY FNSPYPKNYPPVVFKSRTQVVHESFQGRSRLLGDLGLRNCTLLLSTLSPELGGKYYFRGD LGGYNQYTFSEHSVLDIVNTPNIVVPPEVVAGTEVEVSCMVPDNCPELRPELSWLGHEGL GEPTVLGRLREDEGTWVQVSLLHFVPTREANGHRLGCQAAFPNTTLQFEGYASLDVKYPP VIVEMNSSVEAIEGSHVSLLCGADSNPPPLLTWMRDGMVLREAVAKSLYLDLEEVTPGED GVYACLAENAYGQDNRTVELSVMYAPWKPTVNGTVVAVEGETVSILCSTQSNPDPILTIF KEKQILATVIYESQLQLELPAVTPEDDGEYWCVAENQYGQRATAFNLSVEFAPIILLESH CAAARDTVQCLCVVKSNPEPSVAFELPSRNVTVNETEREFVYSERSGLLLTSILTIRGQA QAPPRVICTSRNLYGTQSLELPFQGAHRLMWAKIGPVGAVVAFAILIAIVCYITQTRRKK NVTESSSFSGGDNPHVLYSPEFRISGAPDKYESEKQRLGSERRLLGLRGESPELDLSYSH SDLGKRPTKDSYTLTEELAEYAEIRVK >ENSMUSP00000140526.1 pep:known chromosome:GRCm38:7:30899586:30914308:-1 gene:ENSMUSG00000036634.15 transcript:ENSMUST00000188569.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mag description:myelin-associated glycoprotein [Source:MGI Symbol;Acc:MGI:96912] MIFLATLPLFWIMISASRGGHWGAWMPSTISAFEGTCVSIPCRFDFPDELRPAVVHGVWY FNSPYPKNYPPVVFKSRTQVVHESFQGRSRLLGDLGLRNCTLLLSTLSPELGGKYYFRGD LGGYNQYTFSEHSVLDIVNTPNIVVPPEVVAGTEVEVSLLHFVPTREANGHRLGCQAAFP NTTLQFEGYASLDVKYPPVIVEMNSSVEAIEGSHVSLLCGADSNPPPLLTWMRDGMVLRE AVAKSLYLDLEEVTPGEDGVYACLAENAYGQDNRTVELSVMYAPWKPTVNGTVVAVEGET VSILCSTQSNPDPILTIFKEKQILATVIYESQLQLELPAVTPEDDGEYWCVAENQYGQRA TAFNLSVEFAPIILLESHCAAARDTVQCLCVVKSNPEPSVAFELPSRNVTVNETEREFVY SERSGLLLTSILTIRGQAQAPPRVICTSRNLYGTQSLELPFQGAHRLMWAKIGPVGAVVA FAILIAIVCYITQTRRKKNVTESSSFSGGDNPHVLYSPEFRISGAPDKYESEKQRLGSER RLLGLRGESPELDLSYSHSDLGKRPTK >ENSMUSP00000140578.1 pep:known chromosome:GRCm38:7:30909183:30914865:-1 gene:ENSMUSG00000036634.15 transcript:ENSMUST00000190638.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mag description:myelin-associated glycoprotein [Source:MGI Symbol;Acc:MGI:96912] MIFLATLPLFWIMISASRGGHWGAWMPSTISAFEGTCVSIPCRFDFPDELRPAVVHGVWY FNSPYPKNYPPVVFKSRTQVVHESFQGRSRLLGDLGLRNCTLLLSTLSPELGGKYYFRGD LGGYNQYTFSEHSVLDIVNTPNIVVPPEVVAGTEVEVSCMVPDNCPEL >ENSMUSP00000139861.1 pep:known chromosome:GRCm38:7:30911624:30914824:-1 gene:ENSMUSG00000036634.15 transcript:ENSMUST00000190950.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mag description:myelin-associated glycoprotein [Source:MGI Symbol;Acc:MGI:96912] MIFLATLPLFWIMISASRGGHWGAWMPSTISAFEGTCVSIPCRFDFPDELRPAVVHGVWY FNSP >ENSMUSP00000041464.7 pep:known chromosome:GRCm38:7:30899183:30914327:-1 gene:ENSMUSG00000036634.15 transcript:ENSMUST00000040548.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mag description:myelin-associated glycoprotein [Source:MGI Symbol;Acc:MGI:96912] MIFLATLPLFWIMISASRGGHWGAWMPSTISAFEGTCVSIPCRFDFPDELRPAVVHGVWY FNSPYPKNYPPVVFKSRTQVVHESFQGRSRLLGDLGLRNCTLLLSTLSPELGGKYYFRGD LGGYNQYTFSEHSVLDIVNTPNIVVPPEVVAGTEVEVSCMVPDNCPELRPELSWLGHEGL GEPTVLGRLREDEGTWVQVSLLHFVPTREANGHRLGCQAAFPNTTLQFEGYASLDVKYPP VIVEMNSSVEAIEGSHVSLLCGADSNPPPLLTWMRDGMVLREAVAKSLYLDLEEVTPGED GVYACLAENAYGQDNRTVELSVMYAPWKPTVNGTVVAVEGETVSILCSTQSNPDPILTIF KEKQILATVIYESQLQLELPAVTPEDDGEYWCVAENQYGQRATAFNLSVEFAPIILLESH CAAARDTVQCLCVVKSNPEPSVAFELPSRNVTVNETEREFVYSERSGLLLTSILTIRGQA QAPPRVICTSRNLYGTQSLELPFQGAHRLMWAKIGPVGAVVAFAILIAIVCYITQTRRKK NVTESSSFSGGDNPHVLYSPEFRISGAPDKYESREVSTRDCH >ENSMUSP00000104825.1 pep:known chromosome:GRCm38:11:49076587:49096974:1 gene:ENSMUSG00000078920.2 transcript:ENSMUST00000109202.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi47 description:interferon gamma inducible protein 47 [Source:MGI Symbol;Acc:MGI:99448] MDQFISAFLKGASENSFQQLAKEFLPQYSALISKAGGMLSPETLTGIHKALQEGNLSDVM IQIQKAISAAENAILEVAVIGQSGTGKSSFINALRGLGHEADESADVGTVETTMCKTPYQ HPKYPKVIFWDLPGTGTPNFHADAYLDQVGFANYDFFIIISSSRFSLNDALLAQKIKDAG KKFYFVRTKVDSDLYNEQKAKPIAFKKEKVLQQIRDYCVTNLIKTGVTEPCIFLISNLDL GAFDFPKLEETLLKELPGHKRHMFALLLPNISDASIELKKHFLREKIWLEALKSAAVSFI PFMTFFKGFDLPEQEQCLKDYRSYFGLDDQSIKEIAEKLGAPLADIKGELKCLDFWSLVK DNSIIAQATSAAEAFCAVKGGPESSAFQALKVYYRRTQFLNIVVDDAKHLLRKIETVNVA >ENSMUSP00000041975.6 pep:known chromosome:GRCm38:11:49087039:49096972:1 gene:ENSMUSG00000078920.2 transcript:ENSMUST00000046704.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ifi47 description:interferon gamma inducible protein 47 [Source:MGI Symbol;Acc:MGI:99448] MDQFISAFLKGASENSFQQLAKEFLPQYSALISKAGGMLSPETLTGIHKALQEGNLSDVM IQIQKAISAAENAILEVAVIGQSGTGKSSFINALRGLGHEADESADVGTVETTMCKTPYQ HPKYPKVIFWDLPGTGTPNFHADAYLDQVGFANYDFFIIISSSRFSLNDALLAQKIKDAG KKFYFVRTKVDSDLYNEQKAKPIAFKKEKVLQQIRDYCVTNLIKTGVTEPCIFLISNLDL GAFDFPKLEETLLKELPGHKRHMFALLLPNISDASIELKKHFLREKIWLEALKSAAVSFI PFMTFFKGFDLPEQEQCLKDYRSYFGLDDQSIKEIAEKLGAPLADIKGELKCLDFWSLVK DNSIIAQATSAAEAFCAVKGGPESSAFQALKVYYRRTQFLNIVVDDAKHLLRKIETVNVA >ENSMUSP00000127533.1 pep:known chromosome:GRCm38:14:6016759:6023405:-1 gene:ENSMUSG00000091568.7 transcript:ENSMUST00000171428.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8206 description:predicted gene 8206 [Source:MGI Symbol;Acc:MGI:3779788] MRKISNDMEEMCGILDLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKMIQSIIGSMQYSK ELIEDNYSYSIKEDHLLHECTQLNKKVRILLNENRRLLVEQAGHKCPVGKKRGSLMRPAR TSVSQVPRNSRCDHEIPQISDPDGSLHF >ENSMUSP00000128269.1 pep:known chromosome:GRCm38:14:6016759:6038209:-1 gene:ENSMUSG00000091568.7 transcript:ENSMUST00000164139.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8206 description:predicted gene 8206 [Source:MGI Symbol;Acc:MGI:3779788] MRKISNDMEEMCGILDLYMYEDLNYRMNTEFNIIKSQHEKTMLDMNKMIQSIIGSMQYSK ELIEDNYSYSIKEDHLLHECTQLNKKVRILLNENRRLLVEQAGHKCPVGKKRGSLMRPAR TSVSQVPRNSSVK >ENSMUSP00000058371.4 pep:known chromosome:GRCm38:8:13952009:13974777:-1 gene:ENSMUSG00000050052.11 transcript:ENSMUST00000062613.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrp description:testis development related protein [Source:MGI Symbol;Acc:MGI:1919398] MWKLSRSRVLLDEPPEEEDVLRGAPPASAAAPASGASLRGWKEATSLFNKDDEEHLLETS RSPKSKGTNQRLREELKAEKKSGFWDALVLKQNAQPKKPDQIEGWEPPKLTAEDVVADHT EDDRSGCPPWSAWEDDTKGSTKYTSLANSASSSRWSLRSAGKLVSIRRQSKGHLTETCEE GE >ENSMUSP00000114338.1 pep:known chromosome:GRCm38:8:13954113:13975032:-1 gene:ENSMUSG00000050052.11 transcript:ENSMUST00000145695.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tdrp description:testis development related protein [Source:MGI Symbol;Acc:MGI:1919398] MWKLSRSRVLLDEPPEEEDVLRGAPPASAAAPASGASLRGWKEATSLFNKDDEEHLLETS RSPKSKGTNQRLRE >ENSMUSP00000088676.3 pep:known chromosome:GRCm38:2:29827342:29845002:1 gene:ENSMUSG00000069020.9 transcript:ENSMUST00000091142.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Urm1 description:ubiquitin related modifier 1 homolog (S. cerevisiae) [Source:MGI Symbol;Acc:MGI:1915455] MAAPLCVKVEFGGGAELLFDGVKKHQVALPGQEEPWDIRNLLVWIKKNLLKERPELFIQG DSVRPGILVLINDADWELLGELDYQLQDQDSILFISTLHGG >ENSMUSP00000046412.6 pep:known chromosome:GRCm38:19:6241668:6262335:1 gene:ENSMUSG00000024773.14 transcript:ENSMUST00000045351.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg2a description:autophagy related 2A [Source:MGI Symbol;Acc:MGI:1916291] MSRWLWPWSNCVKERVCRYLLQHYLGHFFQEHLSLDQLSLDLYKGSVALRDIHLETWSVN EFLRSMESPLELVEGFVSSIEVAVPWAALLTDHCTVCVSGLQLTLQPRQGSGPGAADSQS WASCMTTSLQLAQECLREGLPEPSEPPQPLEGLEMFAQTIETVLRRIKVTFLNTVVRVEH SLGDEDRSVAVEVRVQRLEYCDEAVRDPSQAPPVDVHQPPAFLHKLLQLSGVCLYFEELP SQADPPQPPLQIGSCTGYVELMVRLKQNEAFPGPKLEVSGQLGSLHLLLTPRQLQQLQRL LSAVNLADPAGLADKLNKSRPLGAEDLWLIEQDLNQQLQAGAVAESLSLYPITNPLNLDS TDLFFSMAGLTSSVTSAVSELSVYSVDLGSSVHSNMAFHRPSTPPHSGGKMAPTPLLDTT RPDSLVKMTLGGVSLTLLQTASPSSGPSDLPTHFFAEFDAAKDGPFGSRDFSHLRPRFQR ACPCSHVRLTGTAVQLSWELRTGSHSRRTSSTEVHFGQLEVLECLWPRAATEPEYTEILS FPSHSGSEASARPCAHLRHTQTIRRVLKSRSRRSTACHCHSELSLDLADFQSDVELGSLD RLAALFRQVTTPSEPPAGLLTEPPQATELQTVFRLSAPRATLRLRFPIPDLRPDRDPWAG QAVRAEQLRLELSEPQFRSELNSGPGPPAPTRLELTCSDLQGIYEDGEKPPVPCLRVSKA LNPRSTEAKYFLPQVVVTLNPQSSGTQWETAYEKGRDLELSTESPCELQQPEPSPFSSKR TMYETEEMVIPGDPEEMRTFQSRTLALSRCTLDVIMPSAHIFLPSKEVYESIYNRINNDL LMWEPADLLPTSSAAARPPGSSGFKMCKSAFKLDSDSDEEDAQFFSMASGVPQTPAPEPS RRQSQSTFSTLVTVLKGRITALCEAKDETGKRLDVTHGELVLDVEQGTIFSVAQYRGQPG LGYFCLEAEKAKLYHRAAIEDYLLPTHLEVPSFAPPAQLAPTIYPSEEGVTERGTLGRKG QGPPMLSAAVRIHLDPHKNVKEFLVTVRLHKATLRHYMAPPEQSWHSQLLDFLDVLDDPV LGYLPPTVITVLHTHLFSCAVDYRPLYLPVRVLVTAETFTLSSNIVMDTSTFLLRFILDD SALYLSDKCEVESLDLRRDYVCVLDIDLLELVIKTWKGSTEGRLSQPLFELRCSNNVVHV HSCADSCALLVNLLQYLTSSGDLHPPPRPPSPTEIAGQKLSESPASLPSCLPVETALINQ RDLTDALLDTERRGLQELAQSSGGPLPQASPVSVYLFPGERSGAQAPLPPPGASSHTLGS KAKEHENEEEGDGDTLDSDEFCILDAPGLGIAPRDGEPIVTQLHPGPIIVHDGHFSQPLG STDLLRAPAHFPVPSSRVVLREVSFIWHLYGGRDFGLHPTYRARVGLTGPRVSPSRSSGP NRPQNSWRTQGGIGRQHQVLMEIQLSKVSFQHEVYPEESAIAGGLGQELDERPLSRQVLI VQELEIRDRLATSKINKFLHLHTSERLPRRTHSNMLTIKALHVAPTSSVGGPECCLRVSM MPLRLNVDQDALFFLKDFFTSLAASINPMVPGDTSEAPRETHSRPGSPQEGQSEDTETAS NPPEAPGSSHSSSDQQPIYFREFRFTSEVPICLDYHGKHVTVDQVGTFMGLLIGLAQLNC SELKLKRLCCRHGLLGVDKVLCYALNEWLQDIRKNQLPGLLGGVGPMHSVVQLFQGFRDL LWLPIEQYRKDGRLIRGLQRGAASFGSSTASAALELSNRLVQAIQATAETVYDILSPASP VSRSLQDKRSSRKLRRGQQPADLREGMAKAYDAVREGILDTAQTICDVASRGHEQKGLTG AVGGVIRQLPPTVVKPIIVATEATSNVLGGMRNQILPDAHKDHALKWRLEEAQD >ENSMUSP00000114998.1 pep:known chromosome:GRCm38:19:6245465:6262295:1 gene:ENSMUSG00000024773.14 transcript:ENSMUST00000145600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg2a description:autophagy related 2A [Source:MGI Symbol;Acc:MGI:1916291] XYCDEAVRDPSQAPPVDVHQPPAFLHKLLQLSGVCLYFEELPSQADPPQPPLQIGSCTGY VELMVRLKQNEAFPGPKLEVSGQLGSLHLLLTPRQLQQLQRLLSAVNLADPAGLADKLNK SRPLGAEDLWLIEQDLNQQLQAGAVAESLSLYPITNPLNLDSTDLFFSMAGLTSSVTSAV SELSVYSVDLGSSVHSNMAFHRPSTPPHSGGKMAPTPLLDTTRPDSLVKMTLGGVSLTLL QTASPSSGPSDLPTHFFAEFDAAKDGPFGSRDFSHLRPRFQRACPCSHVRLTGTAVQLSW ELRTGSHSRRTSSTEVHFGQLEVLECLWPRAATEPEYTEILSFPSHSGSEASARPCAHLR HTQTIRRVLKSRSRRSTACHCHSELSLDLADFQSDVELGSLDRLAALFRQVTTPSEPPAG LLTEPPQATELQTVFRLSAPRATLRLRFPIPDLRPDRDPWAGQAVRAEQLRLELSEPQFR SELNSGPGPPAPTRLELTCSDLQGIYEDGEKPPVPCLRVSKALNPRSTEAKYFLPQVVVT LNPQSSGTQWETAYEKGRDLELSTESPCELQQPEPSPFSSKRTMYETEEMVIPGDPEEMR TFQSRTLALSRCTLDVIMPSAHIFLPSKEVYESIYNRINNDLLMWEPADLLPTSSAAARP PGSSGFKMCKSAFKLDSDSDEEDAQFFSMASGVPQTPAPEPSRRQSQSTFSTLVTVLKGR ITALCEAKDETGKRLDVTHGELVLDVEQGTIFSVAQYRGQPGLGYFCLEAEKAKLYHRAA IEDYLLPTHLEVPSFAPPAQLAPTIYPSEEGVTERGTLGRKGQGPPMLSAAVRIHLDPHK NVKEFLVTVRLHKATLRHYMAPPEQSWHSQLLDFLDVLDDPVLGYLPPTVITVLHTHLFS CAVDYRPLYLPVRVLVTAETFTLSSNIVMDTSTFLLRFILDDSALYLSDKCEVESLDLRR DYVCVLDIDLLELVIKTWKGSTEGRLSQPLFELRCSNNVVHVHSCADSCALLVNLLQYLT SSGDLHPPPRPPSPTEIAGQKVQLSESPASLPSCLPVETALINQRDLTDALLDTERRGLQ ELAQSSGGPLPQASPVSVYLFPGERSGAQAPLPPPGASSHTLGSKAKEHENEEEGDGDTL DSDEFCILDAPGLGIAPRDGEPIVTQLHPGPIIVHDGHFSQPLGSTDLLRAPAHFPVPSS RVVLREVSFIWHLYGGRDFGLHPTYRARVGLTGPRVSPSRSSGPNRPQNSWRTQGGIGRQ HQVLMEIQLSKVSFQHEVYPEESAIAGGLGQELDERPLSRQVLIVQELEIRDRLATSKIN KFLHLHTSERLPRRTHSNMLTIKALHVAPTSSVGGPECCLRVSMMPLRLNVDQDALFFLK DFFTSLAASINPMVPGDTSEAPRETHSRPGSPQEGQSEDTETASNPPEAPGSSHSSSDQQ PIYFREFRFTSEVPICLDYHGKHVTVDQVGTFMGLLIGLAQLNCSELKLKRLCCRHGLLG VDKVLCYALNEWLQDIRKNQLPGLLGGVGPMHSVVQLFQGFRDLLWLPIEQYRKDGRLIR GLQRGAASFGSSTASAALELSNRLVQAIQATAETVYDILSPASPVSRSLQDKRSSRKLRR GQQPADLREGMAKAYDAVREGILDTAQTICDVASRGHEQKGLTGAVGGVIRQLPPTVVKP IIVATEATSNVLGGMRNQILPDAHKDHALKWRLEEAQD >ENSMUSP00000128372.1 pep:known chromosome:GRCm38:14:6049469:6058209:-1 gene:ENSMUSG00000091882.8 transcript:ENSMUST00000168088.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6337 description:predicted gene 6337 [Source:MGI Symbol;Acc:MGI:3646283] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKMKISNNMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLCECTQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQCEIVQQKA >ENSMUSP00000132544.1 pep:known chromosome:GRCm38:14:6049470:6058267:-1 gene:ENSMUSG00000091882.8 transcript:ENSMUST00000170613.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6337 description:predicted gene 6337 [Source:MGI Symbol;Acc:MGI:3646283] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKMKISNNMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLCECTQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000136270.1 pep:known chromosome:GRCm38:14:6055250:6058204:-1 gene:ENSMUSG00000091882.8 transcript:ENSMUST00000177662.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm6337 description:predicted gene 6337 [Source:MGI Symbol;Acc:MGI:3646283] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSNITNQI SNINKVRELKLHKMKISNNMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKTMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLCECTQLKEKVRILLNENRKLLVEQAGTKVSH GEEKRFCEEASKNICASSAKEQQVG >ENSMUSP00000095102.2 pep:known chromosome:GRCm38:11:48946150:48979381:-1 gene:ENSMUSG00000069893.10 transcript:ENSMUST00000097494.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930111J21Rik1 description:RIKEN cDNA 9930111J21 gene 1 [Source:MGI Symbol;Acc:MGI:3041173] MGQTSSSTPPPKEDPDLTSSFGTNLQNFKMKTKILSQELIAFIESSLEDGNLQETVSAIS SALGGIEKAPLNIAVMGETGAGKSSLINALQGVGDDEEGAAASTGVVHTTTERTPYTYTK FPSVTLWDLPGIGSTAFQPHDYLKKIEFEEYDFFIIVSSGRFKHNDAELAKAIVQMNRSF YFVRTHTDLDLMVVKRSNPRRFNRENTLKQIRHTISSMLKEVTHQEPPVFLVSNFDVSDF DFPKLESTLLSQLPAYKHHMFMLTLPIVTDSTIDRKRDMLKQKVWKESTMPRAWATIPSL GLTQKDMEMLQQTLNDYRSSFGLDEASLENIAEDLNVTLEELKANIKSPHLLSDEPDTSL TEKLLKYIGNPYFSKVFHLQNYFIDTVASDVKIILSKEELFTEQHPPLHTATCQPSSSRP SPLMAQLLVFSFENFFKNFKKESKILSEETITLIESHLEDKNLQGALSEISHALSNIDKA PLNIAVTGETGTGKSSFINALRGVRDEEEGAAPTGVVETTMKRTPYPHPKLPNVTIWDLP GIGSTTFPPQNYLTEMKFGEYDFFIIISATRFKEIDAHLAKTIEKMNTKFYFVRTKIDQD VSNEQRSKPRSFNRDSVLKKIRDDCSGHLQKALSSQPPVFLVSNFDVSDFDFPKLETTLL RELPSHKRHLFMMSLHSVTETAIARKRDFLRQKIWLEALKAGLWATIPLGGLVRNKMQKL EETLTLYRSYFGLDEASLENIAKDFNVSVNEIKAHLRSLQLFTKNNDMSFKEKLLKYIEY ISCVTGGPLASGLYFRKTYYWQSLFIDTVASDAKSLLNKEEFLSEKPGSCLSDLPEYWET GMEL >ENSMUSP00000090840.1 pep:known chromosome:GRCm38:11:48965817:48979398:-1 gene:ENSMUSG00000069893.10 transcript:ENSMUST00000093153.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930111J21Rik1 description:RIKEN cDNA 9930111J21 gene 1 [Source:MGI Symbol;Acc:MGI:3041173] MGQTSSSTPPPKEDPDLTSSFGTNLQNFKMKTKILSQELIAFIESSLEDGNLQETVSAIS SALGGIEKAPLNIAVMGETGAGKSSLINALQGVGDDEEGAAASTGVVHTTTERTPYTYTK FPSVTLWDLPGIGSTAFQPHDYLKKIEFEEYDFFIIVSSGRFKHNDAELAKAIVQMNRSF YFVRTHTDLDLMVVKRSNPRRFNRENTLKQIRHTISSMLKEVTHQEPPVFLVSNFDVSDF DFPKLESTLLSQLPAYKHHMFMLTLPIVTDSTIDRKRDMLKQKVWKESTMPRAWATIPSL GLTQKDMEMLQQTLNDYRSSFGLDEASLENIAEDLNVTLEELKANIKSPHLLSDEPDTSL TEKLLKYIGNPYFSKVFHLQNYFIDTVASDVKIILSKEELFTEQVSSFNSKASLYREESV GKVFPVGPGSTFLFHFIEMFQSDSDELCHVHVLLLLTSGGLSSETVT >ENSMUSP00000121432.1 pep:known chromosome:GRCm38:11:48967578:48979278:-1 gene:ENSMUSG00000069893.10 transcript:ENSMUST00000141200.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:9930111J21Rik1 description:RIKEN cDNA 9930111J21 gene 1 [Source:MGI Symbol;Acc:MGI:3041173] MGQTSSSTPPPKEDPDLTSSFGTNLQNFKMKTKILSQELIAFIESSLEDGNLQETVSAIS SALGGIEKAPLNIAVMGETGAGKSSLINALQGVGDDEEGAAASTGVVHTTTERTPYTYTK FPSVT >ENSMUSP00000113623.1 pep:known chromosome:GRCm38:X:24474308:24500760:-1 gene:ENSMUSG00000084063.1 transcript:ENSMUST00000120734.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm5934 description:predicted gene 5934 [Source:MGI Symbol;Acc:MGI:3643862] MSIKKLWVIPKDGYLLLLDFDSDEEEEQAHSEVKRPAFGKHENMPPHVEADENIRDEQDT MLNKSGENVSFSEEWQRFARSVETPMENWNLLSGEQQVRNASELDLMEVQNPVTHDDGNA NPEEVVGDIRKKINNKLCEQKFDMDIQKFNEEQGKSVNNYQKEQQALKLSECSQSPTLEA IEDMHEKSMEGLMNMETNNYDMLFDVDGEETL >ENSMUSP00000015612.7 pep:known chromosome:GRCm38:17:34564268:34588503:1 gene:ENSMUSG00000015468.14 transcript:ENSMUST00000015612.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Notch4 description:notch 4 [Source:MGI Symbol;Acc:MGI:107471] MQPQLLLLLLLPLNFPVILTRELLCGGSPEPCANGGTCLRLSRGQGICQCAPGFLGETCQ FPDPCRDTQLCKNGGSCQALLPTPPSSRSPTSPLTPHFSCTCPSGFTGDRCQTHLEELCP PSFCSNGGHCYVQASGRPQCSCEPGWTGEQCQLRDFCSANPCANGGVCLATYPQIQCRCP PGFEGHTCERDINECFLEPGPCPQGTSCHNTLGSYQCLCPVGQEGPQCKLRKGACPPGSC LNGGTCQLVPEGHSTFHLCLCPPGFTGLDCEMNPDDCVRHQCQNGATCLDGLDTYTCLCP KTWKGWDCSEDIDECEARGPPRCRNGGTCQNTAGSFHCVCVSGWGGAGCEENLDDCAAAT CAPGSTCIDRVGSFSCLCPPGRTGLLCHLEDMCLSQPCHVNAQCSTNPLTGSTLCICQPG YSGSTCHQDLDECQMAQQGPSPCEHGGSCINTPGSFNCLCLPGYTGSRCEADHNECLSQP CHPGSTCLDLLATFHCLCPPGLEGRLCEVEVNECTSNPCLNQAACHDLLNGFQCLCLPGF TGARCEKDMDECSSTPCANGGRCRDQPGAFYCECLPGFEGPHCEKEVDECLSDPCPVGAS CLDLPGAFFCLCRPGFTGQLCEVPLCTPNMCQPGQQCQGQEHRAPCLCPDGSPGCVPAED NCPCHHGHCQRSLCVCDEGWTGPECETELGGCISTPCAHGGTCHPQPSGYNCTCPAGYMG LTCSEEVTACHSGPCLNGGSCSIRPEGYSCTCLPSHTGRHCQTAVDHCVSASCLNGGTCV NKPGTFFCLCATGFQGLHCEEKTNPSCADSPCRNKATCQDTPRGARCLCSPGYTGSSCQT LIDLCARKPCPHTARCLQSGPSFQCLCLQGWTGALCDFPLSCQKAAMSQGIEISGLCQNG GLCIDTGSSYFCRCPPGFQGKLCQDNVNPCEPNPCHHGSTCVPQPSGYVCQCAPGYEGQN CSKVLDACQSQPCHNHGTCTSRPGGFHCACPPGFVGLRCEGDVDECLDRPCHPSGTAACH SLANAFYCQCLPGHTGQRCEVEMDLCQSQPCSNGGSCEITTGPPPGFTCHCPKGFEGPTC SHKALSCGIHHCHNGGLCLPSPKPGSPPLCACLSGFGGPDCLTPPAPPGCGPPSPCLHNG TCTETPGLGNPGFQCTCPPDSPGPRCQRPGASGCEGRGGDGTCDAGCSGPGGDWDGGDCS LGVPDPWKGCPPHSQCWLLFRDGRCHPQCDSEECLFDGYDCEIPLTCIPAYDQYCRDHFH NGHCEKGCNNAECGWDGGDCRPEGEDSEGRPSLALLVVLRPPALDQQLLALARVLSLTLR VGLWVRKDSEGRNMVFPYPGTRAKEELSGARDSSSWERQAPPTQPLGKETESLGAGFVVV MGVDLSRCGPEHPASRCPWDSGLLLRFLAAMAAVGALEPLLPGPLLAAHPQAGTRPSANQ LPWPILCSPVVGVLLLALGALLVLQLIRRRRREHGALWLPPGFIRRPQTQQAPHRRRPPL GEDNIGLKALKPEAEVDEDGVAMCSGPEEGEAEETASASRCQLWPLNSGCGELPQAAMLT PPQECESEVLDVDTCGPDGVTPLMSAVFCGGVQSTTGASPQRLGLGNLEPWEPLLDRGAC PQAHTVGTGETPLHLAARFSRPTAARRLLEAGANPNQPDRAGRTPLHTAVAADAREVCQL LLASRQTTVDARTEDGTTPLMLAARLAVEDLVEELIAARADVGARDKRGKTALHWAAAVN NARAARSLLQAGADKDAQDSREQTPLFLAAREGAVEVAQLLLELGAARGLRDQAGLAPGD VARQRSHWDLLTLLEGAGPTTQEARAHARTTPGGGAAPRCRTLSAGARPRGGGACLQART WSVDLGARGGKVYARCRSRSGSCGGPTTRGRRFSAGSRGRRGARASQDDWPRDWVALEAC GSACSAPIPPPSLTPSPERGSPQVAWGLPVHQEIPLNSVVRNLN >ENSMUSP00000133574.1 pep:known chromosome:GRCm38:17:34564337:34588231:1 gene:ENSMUSG00000015468.14 transcript:ENSMUST00000173389.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Notch4 description:notch 4 [Source:MGI Symbol;Acc:MGI:107471] MQPQLLLLLLLPLNFPVILTRVSSPELLCGGSPEPCANGGTCLRLSRGQGICQCAPGFLG ETCQFPDPCRDTQLCKNGGSCQALLPTPPSSRSPTSPLTPHFSCTCPSGFTGDRCQTHLE ELCPPSFCSNGGHCYVQASGRPQCSCEPGWTGEQCQLRDFCSANPCANGGVCLATYPQIQ CRCPPGFEGHTCERDINECFLEPGPCPQGTSCHNTLGSYQCLCPVGQEGPQCKLRKGACP PGSCLNGGTCQLVPEGHSTFHLCLCPPGFTGLDCEMNPDDCVRHQCQNGATCLDGLDTYT CLCPKTWKGWDCSEDIDECEARGPPRCRNGGTCQNTAGSFHCVCVSGWGGAGCEENLDDC AAATCAPGSTCIDRVGSFSCLCPPGRTGLLCHLEDMCLSQPCHVNAQCSTNPLTGSTLCI CQPGYSGSTCHQDLDECQMAQQGPSPCEHGGSCINTPGSFNCLCLPGYTGSRCEADHNEC LSQPCHPGSTCLDLLATFHCLCPPGLEGRLCEVEVNECTSNPCLNQAACHDLLNGFQCLC LPGFTGARCEKDMDECSSTPCANGGRCRDQPGAFYCECLPGFEGPHCEKEVDECLSDPCP VGASCLDLPGAFFCLCRPGFTGQLCEVPLCTPNMCQPGQQCQGQEHRAPCLCPDGSPGCV PAEDNCPCHHGHCQRSLCVCDEGWTGPECETELGGCISTPCAHGGTCHPQPSGYNCTCPA GYMGLTCSEEVTACHSGPCLNGGSCSIRPEGYSCTCLPSHTGRHCQTAVDHCVSASCLNG GTCVNKPGTFFCLCATGFQGLHCEEKTNPSCADSPCRNKATCQDTPRGARCLCSPGYTGS SCQTLIDLCARKPCPHTARCLQSGPSFQCLCLQGWTGALCDFPLSCQKAAMSQGIEISGL CQNGGLCIDTGSSYFCRCPPGFQGKLCQDNVNPCEPNPCHHGSTCVPQPSGYVCQKIDSD SLKLEMLIVVKYHVDAGN >ENSMUSP00000133646.1 pep:known chromosome:GRCm38:17:34583882:34586622:1 gene:ENSMUSG00000015468.14 transcript:ENSMUST00000151654.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Notch4 description:notch 4 [Source:MGI Symbol;Acc:MGI:107471] XSRCPWDSGLLLRFLAAMAAVGALEPLLPGPLLAAHPQAGTRH >ENSMUSP00000134497.1 pep:known chromosome:GRCm38:17:34583882:34586674:1 gene:ENSMUSG00000015468.14 transcript:ENSMUST00000151867.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Notch4 description:notch 4 [Source:MGI Symbol;Acc:MGI:107471] XSRCPWDSGLLLRFLAAMAAVGALEPLLPGPLLAAHPQAGTRH >ENSMUSP00000021837.3 pep:known chromosome:GRCm38:13:33149275:33159742:-1 gene:ENSMUSG00000021404.12 transcript:ENSMUST00000021837.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb9c description:serine (or cysteine) peptidase inhibitor, clade B, member 9c [Source:MGI Symbol;Acc:MGI:894669] MGGHKVGRPPFLNIVYEANGTFAVNLLRMLCNNNPSKNVCYSPINISSALAMFLLGVKGN TEIQISEAIGLNTAIDIHQSFLWILNILKKPTRKYTFRMANRLFAENTCEFLPTFKEPCL QFYHWEMEHLPFTKAPEEARNHINTWVCKNTKGKIPELLSSGSVDSETRLVLVNALYFKG RWHHQFDIKSTRKMPFKINKDEERPVQMMFQEDMFKLAYVNEVQVQVLVLPYKGKELSLV VLLPDDGVELSKVEGNLTFEKLSAWTKPDYLKTTKVLVFLPKFKLEDYYDMESIFQDLGV GDIFQGGKADLSEMSPERGLCVSKFIQKCVVEVNEEGTEATAATADDTVCSAETHDGQTF CADHPFLFFIRHNKTNSILFCGRFSFP >ENSMUSP00000105913.1 pep:known chromosome:GRCm38:13:33149585:33158351:-1 gene:ENSMUSG00000021404.12 transcript:ENSMUST00000110284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb9c description:serine (or cysteine) peptidase inhibitor, clade B, member 9c [Source:MGI Symbol;Acc:MGI:894669] MLCNNNPSKNVCYSPINISSALAMFLLGVKGNTEIQISEAIGLNTAIDIHQSFLWILNIL KKPTRKYTFRMANRLFAENTCEFLPTFKEPCLQFYHWEMEHLPFTKAPEEARNHINTWVC KNTKGKIPELLSSGSVDSETRLVLVNALYFKGRWHHQFDIKSTRKMPFKINKDEERPVQM MFQEDMFKLAYVNEVQVQVLVLPYKGKELSLVVLLPDDGVELSKVEGNLTFEKLSAWTKP DYLKTTKVLVFLPKFKLEDYYDMESIFQDLGVGDIFQGGKADLSEMSPERGLCVSKFIQK CVVEVNEEGTEATAATADDTVCSAETHDGQTFCADHPFLFFIRHNKTNSILFCGRFSFP >ENSMUSP00000116267.1 pep:known chromosome:GRCm38:13:33156469:33158495:-1 gene:ENSMUSG00000021404.12 transcript:ENSMUST00000135897.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb9c description:serine (or cysteine) peptidase inhibitor, clade B, member 9c [Source:MGI Symbol;Acc:MGI:894669] MLCNNNPSKNVCYSPINISSALAMFLLGVKGNTEIQISEAIGLNTAIDIHQSFLWILNIL KKPTRKYTFRMANRLFAENTCEFLPTFK >ENSMUSP00000008517.6 pep:known chromosome:GRCm38:7:3629985:3642486:1 gene:ENSMUSG00000008373.16 transcript:ENSMUST00000008517.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf31 description:PRP31 pre-mRNA processing factor 31 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1916238] MSLADELLADLEEAAEEEEGGSYGEEEEEPAIEDVQEETQLDLSGDSVKSIAKLWDSKMF AEIMMKIEEYISKQANVSEVMGPVEAAPEYRVIVDANNLTVEIENELNIIHKFIRDKYSK RFPELESLVPNALDYIRTVKELGNSLDKCKNNENLQQILTNATIMVVSVTASTTQGQQLS DEELERLEEACDMALELNASKHRIYEYVESRMSFIAPNLSIIIGASTAAKIMGVAGGLTN LSKMPACNIMLLGAQRKTLSGFSSTSVLPHTGYIYHSDIVQSLPPDLRRKAARLVAAKCT LAARVDSFHESTEGKVGYELKDEIERKFDKWQEPPPVKQVKPLPAPLDGQRKKRGGRRYR KMKERLGLTEIRKQANRMSFGEIEEDAYQEDLGFSLGHLGKSGSGRVRQTQVNEATKARI SKTLQRTLQKQSVVYGGKSTIRDRSSGTASSVAFTPLQGLEIVNPQAAEKKVAEANQKYF SSMAEFLKVKGEKSGTMST >ENSMUSP00000146017.1 pep:known chromosome:GRCm38:7:3630059:3637057:1 gene:ENSMUSG00000008373.16 transcript:ENSMUST00000125782.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Prpf31 description:PRP31 pre-mRNA processing factor 31 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1916238] MSLADELLADLEEAAEEEEGGSYGEEEEEPAIEDVQEETQLDLSGDSVKSIAKLWDSKMV SLLRS >ENSMUSP00000104276.1 pep:known chromosome:GRCm38:7:3630557:3641229:1 gene:ENSMUSG00000008373.16 transcript:ENSMUST00000108636.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf31 description:PRP31 pre-mRNA processing factor 31 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1916238] MSLADELLADLEEAAEEEEGGSYGEEEEEPAIEDVQEETQLDLSGDSVKSIAKLWDSKMF AEIMMKIEEYISKQANVSEVMGPVEAAPEYRVIVDANNLTVEIENELNIIHKFIRDKYSK RFPELESLVPNALDYIRTVKELGNSLDKCKNNENLQQILTNATIMVVSVTASTTQGQQLS DEELERLEEACDMALELNASKHRIYEYVESRMSFIAPNLSIIIGASTAAKIMGVAGGLTN LSKMPACNIMLLGAQRKTLSGFSSTSVLPHTGYIYHSDIVQSLPPDLRRKAARLVAAKCT LAARVDSFHESTEGKDEIERKFDKWQEPPPVKQVKPLPAPLDGQRKKRGGRRYRKMKERL GLTEIRKQANRMSFGEIEEDAYQEDLGFSLGHLGKSGSGRVRQTQVNEATKARISKTLQR TLQKQSVVYGGKSTIRDRSSGTASSVAFTPLQGLEIVNPQAAEKKVAEANQKYFSSMAEF LKVKGEKSGTMST >ENSMUSP00000136031.1 pep:known chromosome:GRCm38:7:3629985:3642485:1 gene:ENSMUSG00000008373.16 transcript:ENSMUST00000179769.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prpf31 description:PRP31 pre-mRNA processing factor 31 homolog (yeast) [Source:MGI Symbol;Acc:MGI:1916238] MSLADELLADLEEAAEEEEGGSYGEEEEEPAIEDVQEETQLDLSGDSVKSIAKLWDSKMF AEIMMKIEEYISKQANVSEVMGPVEAAPEYRVIVDANNLTVEIENELNIIHKFIRDKYSK RFPELESLVPNALDYIRTVKELGNSLDKCKNNENLQQILTNATIMVVSVTASTTQGQQLS DEELERLEEACDMALELNASKHRIYEYVESRMSFIAPNLSIIIGASTAAKIMGVAGGLTN LSKMPACNIMLLGAQRKTLSGFSSTSVLPHTGYIYHSDIVQSLPPDLRRKAARLVAAKCT LAARVDSFHESTEGKDEIERKFDKWQEPPPVKQVKPLPAPLDGQRKKRGGRRYRKMKERL GLTEIRKQANRMSFGEIEEDAYQEDLGFSLGHLGKSGSGRVRQTQVNEATKARISKTLQR TLQKQSVVYGGKSTIRDRSSGTASSVAFTPLQGLEIVNPQAAEKKVAEANQKYFSSMAEF LKVKGEKSGTMST >ENSMUSP00000141109.1 pep:known chromosome:GRCm38:Y:7994833:7997168:-1 gene:ENSMUSG00000099861.1 transcript:ENSMUST00000185705.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm29049 description:predicted gene 29049 [Source:MGI Symbol;Acc:MGI:5579755] MTSLKKKSRRKPSSQALGNIVGCRISYGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFLQVRDVHLASALVCREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANSTVYCIKFLGDLHIYVYTLVSNIT >ENSMUSP00000126875.1 pep:known chromosome:GRCm38:14:6117368:6125747:-1 gene:ENSMUSG00000079371.9 transcript:ENSMUST00000167913.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3476 description:predicted gene 3476 [Source:MGI Symbol;Acc:MGI:3781652] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI LNINKVRELKLHMRKISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKIMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGTQVSR GEEKRFCEEASKNICASSAKEQQSEIVQQKAEHGTDHDMISLKEKCWRRSTECAQEIHHC CLSSLITMAVMGCMLLL >ENSMUSP00000108362.3 pep:known chromosome:GRCm38:14:6117514:6125807:-1 gene:ENSMUSG00000079371.9 transcript:ENSMUST00000112742.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3476 description:predicted gene 3476 [Source:MGI Symbol;Acc:MGI:3781652] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI LNINKVRELKLHMRKISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKIMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGTQVSR GEEKRFCEEASKNICASSAKEQQV >ENSMUSP00000141154.1 pep:known chromosome:GRCm38:14:6105321:6125742:-1 gene:ENSMUSG00000079371.9 transcript:ENSMUST00000190582.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3476 description:predicted gene 3476 [Source:MGI Symbol;Acc:MGI:3781652] MFSWLLRLCQKENGDEGETRPMEKEEGILSHEKGRRKSFWRRHRSARNTSTQNYNITNQI LNINKVRELKLHMRKISNDMEEMCGILNVYMYEDLNYRMNTEFNIIKSQHEKIMLDMDKM TQSIIASMKFSEELLKDNYSYSIKQKHRLRECTQLKEKVRILLNENRKVLVEQAGTQVSR GEEKRFCEEASKNICASSAKEQQE >ENSMUSP00000145057.1 pep:known chromosome:GRCm38:11:49112777:49113757:-1 gene:ENSMUSG00000047511.3 transcript:ENSMUST00000203007.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1396 description:olfactory receptor 1396 [Source:MGI Symbol;Acc:MGI:3031230] MAMWLNQSSTDDFILLGIFSYSPRDLLLFSVVMLVFTAALFGNALLILLICTDPRLHTPM YFFLSQLSLMDIMLVCTNVPKMAVNFLSGKKSISFVGCGIQIGLFVCLVGSEGLLLGLMA YDRYVAISHPLRYPVLMNQKVCLQIIGSSWAFGIADGLVQMVVVMTFPYCSLREVDHFFC EMLSLLKLACVDTSLFEKIVFFCCIFMLLFPFSIIVASYTRILGTVLHMHSAKSQKKALA TCSSHMAAVSFFYGAAMFIYLRPRQYRTPSQDKMVSIFYTVLTPMLNPLIYSLRNRDVIG ALQKGLDRCRVGSQP >ENSMUSP00000104824.1 pep:known chromosome:GRCm38:11:49112777:49114874:-1 gene:ENSMUSG00000047511.3 transcript:ENSMUST00000109201.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1396 description:olfactory receptor 1396 [Source:MGI Symbol;Acc:MGI:3031230] MAMWLNQSSTDDFILLGIFSYSPRDLLLFSVVMLVFTAALFGNALLILLICTDPRLHTPM YFFLSQLSLMDIMLVCTNVPKMAVNFLSGKKSISFVGCGIQIGLFVCLVGSEGLLLGLMA YDRYVAISHPLRYPVLMNQKVCLQIIGSSWAFGIADGLVQMVVVMTFPYCSLREVDHFFC EMLSLLKLACVDTSLFEKIVFFCCIFMLLFPFSIIVASYTRILGTVLHMHSAKSQKKALA TCSSHMAAVSFFYGAAMFIYLRPRQYRTPSQDKMVSIFYTVLTPMLNPLIYSLRNRDVIG ALQKGLDRCRVGSQP >ENSMUSP00000136231.2 pep:known chromosome:GRCm38:Y:8069168:8071507:-1 gene:ENSMUSG00000095324.2 transcript:ENSMUST00000178149.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20825 description:predicted gene, 20825 [Source:MGI Symbol;Acc:MGI:5434181] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKILPQKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAGARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136143.1 pep:known chromosome:GRCm38:Y:8069310:8069993:-1 gene:ENSMUSG00000095324.2 transcript:ENSMUST00000178396.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20825 description:predicted gene, 20825 [Source:MGI Symbol;Acc:MGI:5434181] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKILPQKVVFPQVRDVHLAGALVGREVQHKFEGKDGSEDNWSG MVLAQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAGARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKVLANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000032597.5 pep:known chromosome:GRCm38:7:4792874:4795066:1 gene:ENSMUSG00000030432.12 transcript:ENSMUST00000032597.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl28 description:ribosomal protein L28 [Source:MGI Symbol;Acc:MGI:101839] MSAHLQWMVVRNCSSFLIKRNKQTYSTEPNNLKARNSFRYNGLIHRKTVGVEPAADGKGV VVVMKRRSGQRKPATSYVRTTINKNARATLSSIRHMIRKNKYRPDLRMAAIRRASAILRS QKPVVVKRKRTRPTKSS >ENSMUSP00000104217.1 pep:known chromosome:GRCm38:7:4792990:4794526:1 gene:ENSMUSG00000030432.12 transcript:ENSMUST00000078432.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl28 description:ribosomal protein L28 [Source:MGI Symbol;Acc:MGI:101839] MSAHLQWMVVRNCSSFLIKRNKQTYSTEPNNLKARNSFRYNGLIHRKTVGVEPAADGKGV VVVMKRRSGQRKPATSYVRTTINKNARATLSSIRHMIRKNKYRPDLRMAAIRRASAILRS QKPVVVKRKRTRPTKSS >ENSMUSP00000117699.1 pep:known chromosome:GRCm38:7:4793593:4794562:1 gene:ENSMUSG00000030432.12 transcript:ENSMUST00000126794.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl28 description:ribosomal protein L28 [Source:MGI Symbol;Acc:MGI:101839] XRGRGSYETQIRLSLPGQRKPATSYVRTTINKNARATLSSIRHMIRKNKYRPDLRMAAIR RASAILRSQKPVVVKRKRTRPTKSS >ENSMUSP00000029521.4 pep:known chromosome:GRCm38:3:93014205:93015687:-1 gene:ENSMUSG00000027913.5 transcript:ENSMUST00000029521.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crct1 description:cysteine-rich C-terminal 1 [Source:MGI Symbol;Acc:MGI:1921425] MSQQGAKGFSKGSSQSSAPCPAPTPAPASSSSSCCGGGCCRGCGGGCGGDSGCCGSSSTG CCCFPRRRRRQRSGGCGCCCGGSQRSQRSCNNQSSGCCSGGC >ENSMUSP00000102922.1 pep:known chromosome:GRCm38:3:93014519:93015669:-1 gene:ENSMUSG00000027913.5 transcript:ENSMUST00000107301.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Crct1 description:cysteine-rich C-terminal 1 [Source:MGI Symbol;Acc:MGI:1921425] MSQQGAKGFSKGSSQSSAPCPAPTPAPASSSSSCCGGGCCRGCGGGCGGDSGCCGSSSTG CCCFPRRRRRQRSGGCGCCCGGSQRSQRSCNNQSSGCCSGGC >ENSMUSP00000103032.3 pep:known chromosome:GRCm38:7:79133768:79149053:-1 gene:ENSMUSG00000030605.15 transcript:ENSMUST00000107409.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfge8 description:milk fat globule-EGF factor 8 protein [Source:MGI Symbol;Acc:MGI:102768] MQVSRVLAALCGMLLCASGLFAASGDFCDSSLCLNGGTCLTGQDNDIYCLCPEGFTGLVC NETERGPCSPNPCYNDAKCLVTLDTQRGDIFTEYICQCPVGYSGIHCETGCSTQLGMEGG AIADSQISASSVYMGFMGLQRWGPELARLYRTGIVNAWTASNYDSKPWIQVNLLRKMRVS GVMTQGASRAGRAEYLKTFKVAYSLDGRKFEFIQDESGGDKEFLGNLDNNSLKVNMFNPT LEAQYIKLYPVSCHRGCTLRFELLGCELHGCSEPLGLKNNTIPDSQMSASSSYKTWNLRA FGWYPHLGRLDNQGKINAWTAQSNSAKEWLQVDLGTQRQVTGIITQGARDFGHIQYVASY KVAHSDDGVQWTVYEEQGSSKVFQGNLDNNSHKKNIFEKPFMARYVRVLPVSWHNRITLR LELLGC >ENSMUSP00000032825.8 pep:known chromosome:GRCm38:7:79133769:79149060:-1 gene:ENSMUSG00000030605.15 transcript:ENSMUST00000032825.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mfge8 description:milk fat globule-EGF factor 8 protein [Source:MGI Symbol;Acc:MGI:102768] MQVSRVLAALCGMLLCASGLFAASGDFCDSSLCLNGGTCLTGQDNDIYCLCPEGFTGLVC NETERGPCSPNPCYNDAKCLVTLDTQRGDIFTEYICQCPVGYSGIHCETETNYYNLDGEY MFTTAVPNTAVPTPAPTPDLSNNLASRCSTQLGMEGGAIADSQISASSVYMGFMGLQRWG PELARLYRTGIVNAWTASNYDSKPWIQVNLLRKMRVSGVMTQGASRAGRAEYLKTFKVAY SLDGRKFEFIQDESGGDKEFLGNLDNNSLKVNMFNPTLEAQYIKLYPVSCHRGCTLRFEL LGCELHGCSEPLGLKNNTIPDSQMSASSSYKTWNLRAFGWYPHLGRLDNQGKINAWTAQS NSAKEWLQVDLGTQRQVTGIITQGARDFGHIQYVASYKVAHSDDGVQWTVYEEQGSSKVF QGNLDNNSHKKNIFEKPFMARYVRVLPVSWHNRITLRLELLGC >ENSMUSP00000145720.1 pep:known chromosome:GRCm38:7:79134116:79149031:-1 gene:ENSMUSG00000030605.15 transcript:ENSMUST00000205563.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mfge8 description:milk fat globule-EGF factor 8 protein [Source:MGI Symbol;Acc:MGI:102768] MQVSRVLAALCGMLLCASGLFAASGDFCDSSLCLNGGTCLTGQDNDIYCLCPEGWIIRAR SMPGRLRATVPRNGCRLTWALRGK >ENSMUSP00000090564.4 pep:known chromosome:GRCm38:13:62864753:62888282:-1 gene:ENSMUSG00000069805.10 transcript:ENSMUST00000092888.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbp1 description:fructose bisphosphatase 1 [Source:MGI Symbol;Acc:MGI:95492] MANHAPFETDISTLTRFVMEQGRKAQGTGELTQLLNSLCTAIKAISSAVRQAGIAQLYGI AGSTNVTGDQVKKLDILSNDLVINMLKSSYATCVLVSEENTNAIIIEPEKRGKYVVCFDP LDGSSNIDCLVSIGTIFGIYRKKSTDEPSEKDALQPGRDLVAAGYALYGSATMLVLAMDC GVNCFMLDPSIGEFIMVDRDVKMKKKGNIYSLNEGYAKDFDPAINEYLQRKKFPPDGSAP YGARYVGSMVADIHRTLVYGGIFLYPANKKSPSGKLRLLYECNPIAYVMEKAGGLATTGD KDILDIVPTEIHQKAPVVMGSSEDVQEFLEIYRKHKAK >ENSMUSP00000115703.1 pep:known chromosome:GRCm38:13:62872719:62888127:-1 gene:ENSMUSG00000069805.10 transcript:ENSMUST00000134814.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Fbp1 description:fructose bisphosphatase 1 [Source:MGI Symbol;Acc:MGI:95492] MANHAPFETDISTLTRFVMEQGRKAQGTGELTQLLNSLCTAIKAISSAVRQAGIAQL >ENSMUSP00000117146.1 pep:known chromosome:GRCm38:13:62864766:62872758:-1 gene:ENSMUSG00000069805.10 transcript:ENSMUST00000150013.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fbp1 description:fructose bisphosphatase 1 [Source:MGI Symbol;Acc:MGI:95492] PLDGSSNIDCLVSIGTIFGIYRKKSTDEPSEKDALQPGRDLVAAGYALYGSATMLVLAMD CGVNCFMLDPLRLLYECNPIAYVMEKAGGLATTGDKDILDIVPTEIHQKAPVVMGSSEDV QEFLEIYRKHKAK >ENSMUSP00000067710.2 pep:known chromosome:GRCm38:13:33192959:33203129:1 gene:ENSMUSG00000054266.2 transcript:ENSMUST00000067198.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb9d description:serine (or cysteine) peptidase inhibitor, clade B, member 9d [Source:MGI Symbol;Acc:MGI:894667] MNTLSQANGTFAIHLLKVLCQDNPSENVCFSPMSISSALAMVLLGAKGNTVTQICQALHL NPDEDVHQGFQLLLHNLNKPNNQKYCLTMANRLFVENTCELLPTFKESCLKFYHSEMEQL SFAEAAEESRQHINMWVSKQTNGKIPDLLPKDSIDSQTRLILANALYFQGTWYKLFEKDS TKEMPFKINKKETRPVQMMWQEDRFYHAYVKEIQAQVLVMPYEGIDLSFVVLLPDKGVDI SKVENNLTFEKLTAWTKPDFMNGIELHVYLPKFQLQEDYDMNSLLQHLGILDVFDGSKAD LSGMSTKENLCLSNFVHKCVVEVNEEGTEAAAATAGKTIQCCLGSYPQTFCADHPFLFFI MHSTTNSILFCGRFSSP >ENSMUSP00000048051.3 pep:known chromosome:GRCm38:3:65528410:65555518:1 gene:ENSMUSG00000034640.9 transcript:ENSMUST00000047906.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiparp description:TCDD-inducible poly(ADP-ribose) polymerase [Source:MGI Symbol;Acc:MGI:2159210] MEVETTEPEPDCVVQPPSPSDDFSCQMRISEKISPLKTCFKKKQEQKRLGTGTLRSLRPI LNTLLESGSLDGVFRARDQNRDESSLHEHIVKKPLEINPSCPPAENSMPVLIPDGTNVEG QLPEAHPSTDAPEQGVPIQDHSFPPETISGTVADSTTGHFQTDLLHPVSGDVPTSPDCVD KVMDYVPGAFQDNSFTIQYILDTSDKLSTELFQDKSEEASLELVFELVNQLQYHTHQENG IEICMDFLQGTCIYGRDCLKHHTVLPYHWQIKRTTTQKWQSVSNDSQEHLERFYCNPEND RMRMKYGGQDFWADLNAMTVFETTEFDQLRRLSTPPCSNSNSIYHTFWKFFCRDHFGWRE YPESVVRLIEEANSRGLKEVRFMMWNNHYILHNSFFRREIKRRPLFRSCFILIPYLQTLG GVPTQASLPLEATSSQIICPDGVTSANFYPETWVYMHPSQDFIQVPVSAEDKSYRIIYNL FHKTVPEFKYRILQILRVQNQFLWEKYKRKKEYMNRKMSGRDRIINERHLFHGTSQDVVD GICKHNFDPRVCGKHATMFGQGSYFAKKASYSHNFSKKSSKGVHFMFLAKVLTGRYTMGS HGMRRPPPVNPGSVTSDLYDSCVDNFFEPQIFVIFNDDQSYPYFVIQYEEVSNTVSI >ENSMUSP00000119951.1 pep:known chromosome:GRCm38:3:65528460:65547597:1 gene:ENSMUSG00000034640.9 transcript:ENSMUST00000130705.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tiparp description:TCDD-inducible poly(ADP-ribose) polymerase [Source:MGI Symbol;Acc:MGI:2159210] MDYVPGAFQDNSFTIQYILDTSDKLSTELFQDKSEEASLELVFELVNQLQYHTHQENGIE ICMDFLQGTCIYGRDCLKHHTVLPYHWQIKRTTTQKWQSVSNDSQEHLERFYCNPENDRM RMKYGGQDFWADLNAMTVFETTEFDQLRRLSTPPCSNSNSIYHTFWKFFCRDHFGWREYP ESVVRLIEEANSRGLKEVRFMMWNN >ENSMUSP00000023631.8 pep:known chromosome:GRCm38:16:95992449:96082447:-1 gene:ENSMUSG00000022914.15 transcript:ENSMUST00000023631.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brwd1 description:bromodomain and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1890651] MAEPSPARRPVPLIESELYFLIARYLSAGPCRRAAQVLVQELEQYQLLPKRLDWEGNEHN RSYEELVLSNKHVAPDHLLQICQRIGPMLDKEVPPSISRVTSLLGAGRQSLLRTAKDCRH TVWKGSAFAALHRGRPPEMPVNYGPPPSLVEIHRGRQLTGCSTFSTAFPGTMYQHIKMHR RILGHLSAVYCVAFDRTGHRIFTGSDDCLVKIWSTHNGRLLSTLRGHSAEISDMAVNYEN TLIAAGSCDKIIRVWCLRTCAPVAVLQGHTGSITSLQFSPMAKGPQRYMVSTGADGTVCF WQWDLESLKFSPRPLKFTEKPRPGVQMLCSSFSVGGMFLATGSTDHVIRMYFLGFDAPEK IAELESHTDKVDSIQFCNNGDRFLSGSRDGTARIWRFEQLEWRSILLDMSARISGDTSSE EERFMKPKVTMIAWNQDDSTVVTAVNDHVLKVWSSYTGQLLHNLLGHADEVFVLETHPFD SRIMLSAGHDGSIFIWDITKGIKMKHYFNMIEGQGHGAVFDCKFSQDGQHFACTDSHGHL LIFGFGCSKPYEKIPDQMFFHTDYRPLIRDSNNYVLDEQTQQAPHLMPPPFLVDVDGNPH PTKFQRLVPGRENSADEHLVPQLGYVATSDGEVIEQIISLHTNDSGDASPESSVLDGMIR QLQQQQDQRLGVDQDGTANGLPSGEGTPRRGSFRRLSLDIQSPPNIGLRRSGQVEGVRQM HQNAPRSQIATERDLQAWKRRVVVPEAPPGMFRRLEDIRIERGEEERNLYVIGRKKKTFQ VPQKSNSMVLVSQSRQRTCRRKYANYSRRNADQCELSSGNESSGSVRHETSYDQSEGSCS SEDDEWRNDRRSGSDSDSSSDSSSQYSDWTADTGINLQPPLRMSCRRRVTRFCSTSEDEM SMENISPPKRRRKRRKESKPKRESLRRMTPAELANMEHLYEFHPPVWITDTTLRKSPFVP QMGDEVMYFRQGHEAYIEAVRRNNIYELNPHKEPWRKMDLRDQELVKIVGLRYEVGPPTL CCLKLAFIDPATGRLTDKSFSIRYHDMPDVIDFLVLRQFYDEARQRNWQPCDRFRSIIDD AWWFGTVLSQEPYQPQYPDSHFQCYIVRWDNTETEKLSPWDMEPIPDNVDPPEELGASIS VTSDELEKLLYKPQEGEWGQRSRDEECDRIISGIDQLLNLDIAAAFAGPVDLCTYPKYCT VVAYPTDLYTIRMRLVNRFYRRLSALIWEVRYIEHNARTFNEPESVIARSAKKITDQLLK FIKNQDCTNISELCNTSDNDEDDSADDLDDSDLPKTSSGRRKVHNWKRRSRASGCIESDW RRQCKALLILIFQCEDSEPFRQPVDLDEYPDYRDIIDTPMDFGTVRETLEAGNYDSPVEF CKDIRLIFSNAKAYTPNKRSKIYSMTLRLSALFEEKMKKISFDFKIGQKFKEKLRRSQRF KQRQNCNGAVPGDRRRRNVKQKQFKSQTKVIPQLMCPPSQSTSSKVPLSATRKTSAGVSS GFTSGDSSDSAGSLERVRRQRPEVLRSGSVLFGSEMEDFLATSSSSSASNSSEESKASPG ARESSLRSGVLRGSNLGVTRTRAARRKAGSVSLENGCGRKATRKRVYLSDSDNNSVETDE NLKNRKCGSSRKVLRKCAAVAASKIKLMSDAEDSSSESPCSGRKLPHRNASAVARKKLLH NSDDQSLKSETEELKDQNQSLLISGPHSVHNSISDSESDSDLRATRKTWNANGCTSHTAA TCKTKSRPIESSEEDSRCHGSDHGPSSTGDPSTSGQKLRADSISEEADSEPESSVLCKNT HLCKKAKILSDSEDCEEKCGERRGPEVEGSPVSEALREAILAPQCLSHRGSETDVDSDGG AVREKSYSNENGSVSLENGQRRKVSRKSSSDKESNLQVTQKSPKDRSSPSRITQRASVAT DKMKLTSDAEDLSLESVCTRSKRRRKKPARFACTPAKTALSSEEKHAHCEVPEAQPACRN KLPEPEHQDSAENPSQAASADLNSGGGSSFEQRKSIQSRQMGAVCVRPPPKTQSSSAGLS QENARSQTLDSETSLPSESVLTQKATVESNFEEELNYGLRRWNGRRLRTYGKAPLSRTAQ VTPSLQASAEVGVKRRRMHPEVDGEDVPGQMGSSGCGPDTSPKASDLGSVTDSDVDCTDN TQTQRKKKRKGKARVLSKESVRKDREPHTKMRPCMLNEKDAVQMHSDTLKAKTVPEKVPR RCATVAANKIKMMCNLKEVVSGPENVGIRTISRKLPYRQASAAAKKKLLSVYKEDDAPIH SENEKELYLRRFRSRKEKAQPTPR >ENSMUSP00000109460.1 pep:known chromosome:GRCm38:16:95999271:96082447:-1 gene:ENSMUSG00000022914.15 transcript:ENSMUST00000113829.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brwd1 description:bromodomain and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1890651] MAEPSPARRPVPLIESELYFLIARYLSAGPCRRAAQVLVQELEQYQLLPKRLDWEGNEHN RSYEELVLSNKHVAPDHLLQICQRIGPMLDKEVPPSISRVTSLLGAGRQSLLRTAKDCRH TVWKGSAFAALHRGRPPEMPVNYGPPPSLVEIHRGRQLTGCSTFSTAFPGTMYQHIKMHR RILGHLSAVYCVAFDRTGHRIFTGSDDCLVKIWSTHNGRLLSTLRGHSAEISDMAVNYEN TLIAAGSCDKIIRVWCLRTCAPVAVLQGHTGSITSLQFSPMAKGPQRYMVSTGADGTVCF WQWDLESLKFSPRPLKFTEKPRPGVQMLCSSFSVGGMFLATGSTDHVIRMYFLGFDAPEK IAELESHTDKVDSIQFCNNGDRFLSGSRDGTARIWRFEQLEWRSILLDMSARISGDTSSE EERFMKPKVTMIAWNQDDSTVVTAVNDHVLKVWSSYTGQLLHNLLGHADEVFVLETHPFD SRIMLSAGHDGSIFIWDITKGIKMKHYFNMIEGQGHGAVFDCKFSQDGQHFACTDSHGHL LIFGFGCSKPYEKIPDQMFFHTDYRPLIRDSNNYVLDEQTQQAPHLMPPPFLVDVDGNPH PTKFQRLVPGRENSADEHLVPQLGYVATSDGEVIEQIISLHTNDSGDASPESSVLDGMIR QLQQQQDQRLGVDQDGTANGLPSGEGTPRRGSFRRLSLDIQSPPNIGLRRSGQVEGVRQM HQNAPRSQIATERDLQAWKRRVVVPEAPPGMFRRLEDIRIERGEEERNLYVIGRKKKTFQ VPQKSNSMVLVSQSRQRTCRRKYANYSRRNADQCELSSGNESSGSVRHETSYDQSEGSCS SEDDEWRNDRRSGSDSDSSSDSSSQYSDWTADTGINLQPPLRMSCRRRVTRFCSTSEDEM SMENISPPKRRRKRRKESKPKRESLRRMTPAELANMEHLYEFHPPVWITDTTLRKSPFVP QMGDEVMYFRQGHEAYIEAVRRNNIYELNPHKEPWRKMDLRDQELVKIVGLRYEVGPPTL CCLKLAFIDPATGRLTDKSFSIRYHDMPDVIDFLVLRQFYDEARQRNWQPCDRFRSIIDD AWWFGTVLSQEPYQPQYPDSHFQCYIVRWDNTETEKLSPWDMEPIPDNVDPPEELGASIS VTSDELEKLLYKPQEGEWGQRSRDEECDRIISGIDQLLNLDIAAAFAGPVDLCTYPKYCT VVAYPTDLYTIRMRLVNRFYRRLSALIWEVRYIEHNARTFNEPESVIARSAKKITDQLLK FIKNQDCTNISELCNTSDNDEDDSADDLDDSDLPKTSSGRRKVHNWKRRSRASGCIESDW RRQCKALLILIFQCEDSEPFRQPVDLDEYPDYRDIIDTPMDFGTVRETLEAGNYDSPVEF CKDIRLIFSNAKAYTPNKRSKIYSMTLRLSALFEEKMKKISFDFKIGQKFKEKLRRSQRF KQRQNCNGAVPGDRRRRNVKQKQFKSQTKVIPQLMCPPSQSTSSKVPLSATRKTSAGVSS GFTSGDSSDSAGSLERVRRQRPEVLRSGSVLFGSEMEDFLATSSSSSASNSSEESKASPG ARESSLRSGVLRGSNLGVTRTRAARRKAGSVSLENGCGRKATRKRVYLSDSDNNSVETDE NLKNRKCGSSRKVLRKCAAVAASKIKLMSDAEDSSSESPCSGRKLPHRNASAVARKKLLH NSDDQSLKSETEELKDQNQSLLISGPHSVHNSISDSESDSDLRATRKTWNANGCTSHTAA TCKTKSRPIESSEEDSRCHGSDHGPSSTGDPSTSGQKLRADSISEEADSEPESSVLCKNT HLCKKAKILSDSEDCEEKCGERRGPEVEGSPVSEALREAILAPQCLSHRGSETDVDSDGG AVREKSYSNENGSVSLENGQRRKVSRKSSSDKESNLQVTQKSPKDRSSPSRITQRASVAT DKMKLTSDAEDLSLESVCTRSKRRRKKPARFACTPAKTALSSEEKHAHCEVPEAQPACRN KLPEPEHQDSAENPSQAASADLNSGGGSSFEQRKSIQSRQMGAVCVRPPPKTQSSSAGLS QENARSQTLDSETSLPSESVLTQKATVESNFEEELNYGLRRWNGRRLRTYGKAPLSRTAQ VTPSLQASAEVGVKRRRMHPEVDGEDVPGQMGSSGCGPDTSPKASDLGSVTDSDVDCTDN TQTQRKKKRKGKARVLSKGKPSSSNSPKTARHPRQSKGPRLNVDDNDWEDLDYAKAKGVV RLSKIQTRNQGRRTVRYHDGEDDRSIESALELTDRTLRP >ENSMUSP00000117066.1 pep:known chromosome:GRCm38:16:96002435:96082447:-1 gene:ENSMUSG00000022914.15 transcript:ENSMUST00000153398.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brwd1 description:bromodomain and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1890651] MAEPSPARRPVPLIESELYFLIARYLSAGPCRRAAQVLVQELEQYQLLPKRLDWEGNEHN RSYEELVLSNKHVAPDHLLQICQRIGPMLDKEVPPSISRVTSLLGAGRQSLLRTAKDCRH TVWKGSAFAALHRGRPPEMPVNYGPPPSLVEIHRGRQLTGCSTFSTAFPGTMYQHIKMHR RILGHLSAVYCVAFDRTGHRIFTGSDDCLVKIWSTHNGRLLSTLRGHSAEISDMAVNYEN TLIAAGSCDKIIRVWCLRTCAPVAVLQGHTGSITSLQFSPMAKGPQRYMVSTGADGTVCF WQWDLESLKFSPRPLKFTEKPRPGVQMLCSSFSVGGMFLATGSTDHVIRMYFLGFDAPEK IAELESHTDKVDSIQFCNNGDRFLSGSRDGTARIWRFEQLEWRSILLDMSARISGDTSSE EERFMKPKVTMIAWNQDDSTVVTAVNDHVLKVWSSYTGQLLHNLLGHADEVFVLETHPFD SRIMLSAGHDGSIFIWDITKGIKMKHYFNMIEGQGHGAVFDCKFSQDGQHFACTDSHGHL LIFGFGCSKPYEKIPDQMFFHTDYRPLIRDSNNYVLDEQTQQAPHLMPPPFLVDVDGNPH PTKFQRLVPGRENSADEHLVPQLGYVATSDGEVIEQIISLHTNDSGDASPESSVLDGMIR QLQQQQDQRLGVDQDGTANGLPSGEGTPRRGSFRRLSLDIQSPPNIGLRRSGQVEGVRQM HQNAPRSQIATERDLQAWKRRVVVPEAPPGMFRRLEDIRIERGEEERNLYVIGRKKKTFQ VPQKSNSMVLVSQSRQRTCRRKYANYSRRNADQCELSSGNESSGSVRHETSYDQSEGSCS SEDDEWRNDRRSGSDSDSSSDSSSQYSDWTADTGINLQPPLRMSCRRRVTRFCSTSEDEM SMENISPPKRRRKRRKESKPKRESLRRMTPAELANMEHLYEFHPPVWITDTTLRKSPFVP QMGDEVMYFRQGHEAYIEAVRRNNIYELNPHKEPWRKMDLRDQELVKIVGLRYEVGPPTL CCLKLAFIDPATGRLTDKSFSIRYHDMPDVIDFLVLRQFYDEARQRNWQPCDRFRSIIDD AWWFGTVLSQEPYQPQYPDSHFQCYIVRWDNTETEKLSPWDMEPIPDNVDPPEELGASIS VTSDELEKLLYKPQEGEWGQRSRDEECDRIISGIDQLLNLDIAAAFAGPVDLCTYPKYCT VVAYPTDLYTIRMRLVNRFYRRLSALIWEVRYIEHNARTFNEPESVIARSAKKITDQLLK FIKNQDCTNISELCNTSDNDEDDSADDLDDSDLPKTSSGRRKVHNWKRRSRASGCIESDW RRQCKALLILIFQCEDSEPFRQPVDLDEYPDYRDIIDTPMDFGTVRETLEAGNYDSPVEF CKDIRLIFSNAKAYTPNKRSKIYSMTLRLSALFEEKMKKISFDFKIGQKFKEKLRRSQRF KQRQNCNGAVPGDRRRRNVKQKQFKSQTKVIPQLMCPPSQSTSSKVPLSATRKTSAGVSS GFTSGDSSDSAGSLERVRRQRPEVLRSGSVLFGSEMEDFLATSSSSSASNSSEESKASPG ARESSLRSGVLRGSNLGVTRTRAARRKAGSVSLENGCGRKATRKRVYLSDSDNNSVETDE NLKNRKCGSSRKVLRKCAAVAASKIKLMSDAEDSSSESPCSGRKLPHRNASAVARKKLLH NSDDQSLKSETEELKDQNQSLLISGPHSVHNSISDSESDSDLRATRKTWNANGCTSHTAA TCKTKSRPIESSEEDSRCHGSDHGPSSTGDPSTSGQKLRADSISEEADSEPESSVLCKNT HLCKKAKILSDSEDCEEKCGERRGPEVEGSPVSEALREAILAPQCLSHRGSETDVDSDGG AVREKSYSNENGSVSLENGQRRKVSRKSSSDKESNLQVTQKSPKDRSSPSRITQRASVAT DKMKLTSDAEDLSLESVCTRSKRRRKKPARFACTPTRKTSAGVSSGFTSGDSSVSAGSLE RVRRQRPEVLRSGSVLFGSEMEDFLATSSSSSASNSSEESKASPGARESSLRSGVLRGSN LGVTRTRAARRKAGSVSLENGCGRKATRKRVYLSDSDNNSVETDENLKNRKCGSSRKVLR KCAAVAASKIKLMSDAEDSSSESPCSGRKLPHRNASAVARKKLLHNSDDQSLKSETEELK DQNQSLLISGPHSVHNSISDSESDSDLRATRKTWNANGCTSHTAATCKTKSRPIESSEED SRCHGSDHGPSSTGDPSTSGQKLRADSISEEADSEPESSVLCKNTHLCKKAKILSDSEDC EEKCGERRGPEVEGSPVSEALREAILAPQCLSHRGSETDVDSDGGAVREKSYSNENGSVS LENGQRRKVSRKSSSDKESNLQVTQKSPKDRSSPSRITQRASVATDKMKLTSDAEDLSLE SVCTRSKRRRKKPARFACTPAKTALSSEEKHAHCEVPEAQPACRNKLPEPEHQDSAENPS QAASADLNSGGGSSFEQRKSIQSRQMGAVCVRPPPKTQSSSAGLSQENARSQTLDSETSL PSESVLTQKATVESNFEEELNYGLRRWNGRRLRTYGKAPLSRTAQVTPSLQASAEVGVKR RRMHPEVDGEDVPGQMGSSGCGPDTSPKASDLGSVTDSDVDCTDNTQTQRKKKRKGKARV LSK >ENSMUSP00000118327.1 pep:known chromosome:GRCm38:16:96003860:96047160:-1 gene:ENSMUSG00000022914.15 transcript:ENSMUST00000131322.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brwd1 description:bromodomain and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1890651] IPDQMFFHTDYRPLIRDSNNYVLDEQTQQAPHLMPPPFLVDVDGNPHPTKFQRLVPGREN SADEHLVPQLGYVATSDGEVIEQIISLHTNDSGDASPESSVLDGMIRQLQQQQDQRLGVD QDGTANGLPSGEGTPRRGSFRRLSLDIQSPPNIGLRRSGQVEGVRQMHQNAPRSQIATER DLQAWKRRVVVPEAPPGMFRRLEDIRIERGEEERNLYVIGRKKKTFQVPQKSNSMVLVSQ SRQRTCRRKYANYSRRNADQCELSSGNESSGSVRHETSYDQSEGSCSSEDDEWRNDRRSG SDSDSSSDSSSQYSDWTADTGINLQPPLRMSCRRRVTRFCSTSEDEMSMENISPPKRRRK RRKESKPKRESLRRMTPAELANMEHLYEFHPPVWITDTTLRKSPFVPQMGDEVMYFRQGH EAYIEAVRRNNIYELNPHKEPWRKMDLRDQELVKIVGLRYEVGPPTLCCLKLAFIDPATG RLTDKSFSIRYHDMPDVIDFLVLRQFYDEARQRNWQPCDRFRSIIDDAWWFGTVLSQEPY QPQYPDSHFQCYIVRWDNTETEKLSPWDMEPIPDNVDPPEELGASISVTSDELEKLLYKP QEGEWGQRSRDEECDRIISGIDQLLNLGGYLH >ENSMUSP00000122457.1 pep:known chromosome:GRCm38:16:96011710:96027406:-1 gene:ENSMUSG00000022914.15 transcript:ENSMUST00000152197.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brwd1 description:bromodomain and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1890651] XWDNTETEKLSPWDMEPIPDNVDPPEELGASISVTSDELEKLLYKPQEGEWGQRSRDEEC DRIISGIDQLLNLDIAAAFAGPVDLCTYPKYCTVVAYPTDLYTIRMRLVNRFYRRLSALI WEVRYIEHNARTFNEPESVIARSAKKITDQLLKFIKNQDCTNISELCNTSDNDEDDSADD LDDSDLPKTSSGRRKDYRDIIDTPMDFGTVRETLEAGNYDSPVEFCKDIRLIFSNAKAYT PNKRSKIYSMTLRLSALFEEKMKKISFDFKIGQKFKEKLRRSQRFKQRQNCNGAVPGDRR RRNVKQKQFKSQTKVIPQLMCPPSQSTSSKVPLSATRKTSAGVSSGFTSGDSSDSAGSLE RVRRQRPEVLRSGSVLF >ENSMUSP00000118423.1 pep:known chromosome:GRCm38:16:96035862:96061099:-1 gene:ENSMUSG00000022914.15 transcript:ENSMUST00000124370.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brwd1 description:bromodomain and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1890651] FSPMAKGPQRYMVSTGADGTVCFWQWDLESLKFSPRPLKFTEKPRPGVQMLCSSFSVGGM FLATGSTDHVIRMYFLGFDAPEKIAELESHTDKVDSIQFCNNGDRFLSGSRDGTARIWRF EQLEWRSILLDMSARISGDTSSEEERFMKPKVTMIAWNQDDSTVVTAVNDHVLKVWSSYT GQLLHNLLGHADEVFVLETHPFDSRIMLSAGHDGSIFIWDITKGIKMKHYFNMIEGQGHG AVFDCKFSQDGQHFACTDSHGHLLIFGFGCSKPYEKIPDQMFFHTDYRPLIRDSNNYVLD EQTQQAPHLMPPPFLVDVDGNPHPTKFQRLVPGRENSADEHLVPQLGYVATSDGEVIEQI ISLHTNDSGDASPESSVLDGMIRQLQQQQDQRLGVDQDGTANGLPSGEGTPRRGSFRRLS LDIQSPPNIGLRRSGQVEGVRQMHQNAPRSQIATERDLQAWKRRVVVPEAPPGMFRRLED IRIERGEEERNLYVIGRKKKTFQVPQKETSYDQSEGSCSSEDDEWRNDRRSGSDSDSSSD SSSQYSDWTADTGINLQPPLRMSCRRRVTRFCSTSEDEMSMENISPPKRRRKRRKESKPK RE >ENSMUSP00000121141.1 pep:known chromosome:GRCm38:16:96035986:96061064:-1 gene:ENSMUSG00000022914.15 transcript:ENSMUST00000139566.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Brwd1 description:bromodomain and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1890651] XVSTGADGTVCFWQWDLESLKFSPRPLKFTEKPRPGVQMLCSSFSVGGMFLATGSTDHVI RMYFLGFDAPEKIAELESHTDKVDSIQFCNNGDRFLSGSRDGTARIWRFEQLEWRSILLD MSARISGDTSSEEERFMKPKVTMIAWNQDDSTVVTAVNDHVLKVWSSYTGQLLHNLLGHA DEVFVLETHPFDSRIMLSAGHDGSIFIWDITKGIKMKHYFNMIEGQGHGAVFDCKFSQDG QHFACTDSHGHLLIFGFGCSKPYEKIPDQMFFHTDYRPLIRDSNNYVLDEQTQQAPHLMP PPFLVDVDGNPHPTKFQRLVPGRENSADEHLVPQLGYVATSDGEVIEQIISLHTNDSGDA SPESSVLDGMIRQLQQQQDQRLGVDQDGTANGLPSGEGTPRRGSGWKTFA >ENSMUSP00000109458.1 pep:known chromosome:GRCm38:16:96066668:96082526:-1 gene:ENSMUSG00000022914.15 transcript:ENSMUST00000113827.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brwd1 description:bromodomain and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1890651] MAEPSPARRPVPLIESELYFLIARYLSAGPCRRAAQVLVQELEQYQLLPKRLDWEGNEHN RSYEELVLSNKHVAPDHLLQICQRIGPMLDKEVPPSISRVTSLLGAGRQSLLRTAKGTLI >ENSMUSP00000097101.2 pep:known chromosome:GRCm38:16:95992092:96082428:-1 gene:ENSMUSG00000022914.15 transcript:ENSMUST00000099502.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Brwd1 description:bromodomain and WD repeat domain containing 1 [Source:MGI Symbol;Acc:MGI:1890651] MAEPSPARRPVPLIESELYFLIARYLSAGPCRRAAQVLVQELEQYQLLPKRLDWEGNEHN RSYEELVLSNKHVAPDHLLQICQRIGPMLDKEVPPSISRVTSLLGAGRQSLLRTAKDCRH TVWKGSAFAALHRGRPPEMPVNYGPPPSLVEIHRGRQLTGCSTFSTAFPGTMYQHIKMHR RILGHLSAVYCVAFDRTGHRIFTGSDDCLVKIWSTHNGRLLSTLRGHSAEISDMAVNYEN TLIAAGSCDKIIRVWCLRTCAPVAVLQGHTGSITSLQFSPMAKGPQRYMVSTGADGTVCF WQWDLESLKFSPRPLKFTEKPRPGVQMLCSSFSVGGMFLATGSTDHVIRMYFLGFDAPEK IAELESHTDKVDSIQFCNNGDRFLSGSRDGTARIWRFEQLEWRSILLDMSARISGDTSSE EERFMKPKVTMIAWNQDDSTVVTAVNDHVLKVWSSYTGQLLHNLLGHADEVFVLETHPFD SRIMLSAGHDGSIFIWDITKGIKMKHYFNMIEGQGHGAVFDCKFSQDGQHFACTDSHGHL LIFGFGCSKPYEKIPDQMFFHTDYRPLIRDSNNYVLDEQTQQAPHLMPPPFLVDVDGNPH PTKFQRLVPGRENSADEHLVPQLGYVATSDGEVIEQIISLHTNDSGDASPESSVLDGMIR QLQQQQDQRLGVDQDGTANGLPSGEGTPRRGSFRRLSLDIQSPPNIGLRRSGQVEGVRQM HQNAPRSQIATERDLQAWKRRVVVPEAPPGMFRRLEDIRIERGEEERNLYVIGRKKKTFQ VPQKSNSMVLVSQSRQRTCRRKYANYSRRNADQCELSSGNESSGSVRHETSYDQSEGSCS SEDDEWRNDRRSGSDSDSSSDSSSQYSDWTADTGINLQPPLRMSCRRRVTRFCSTSEDEM SMENISPPKRRRKRRKESKPKRESLRRMTPAELANMEHLYEFHPPVWITDTTLRKSPFVP QMGDEVMYFRQGHEAYIEAVRRNNIYELNPHKEPWRKMDLRDQELVKIVGLRYEVGPPTL CCLKLAFIDPATGRLTDKSFSIRYHDMPDVIDFLVLRQFYDEARQRNWQPCDRFRSIIDD AWWFGTVLSQEPYQPQYPDSHFQCYIVRWDNTETEKLSPWDMEPIPDNVDPPEELGASIS VTSDELEKLLYKPQEGEWGQRSRDEECDRIISGIDQLLNLDIAAAFAGPVDLCTYPKYCT VVAYPTDLYTIRMRLVNRFYRRLSALIWEVRYIEHNARTFNEPESVIARSAKKITDQLLK FIKNQDCTNISELCNTSDNDEDDSADDLDDSDLPKTSSGRRKVHNWKRRSRASGCIESDW RRQCKALLILIFQCEDSEPFRQPVDLDEYPDYRDIIDTPMDFGTVRETLEAGNYDSPVEF CKDIRLIFSNAKAYTPNKRSKIYSMTLRLSALFEEKMKKISFDFKIGQKFKEKLRRSQRF KQRQNCNGAVPGDRRRRNVKQKQFKSQTKVIPQLMCPPSQSTSSKVPLSATRKTSAGVSS GFTSGDSSDSAGSLERVRRQRPEVLRSGSVLFGSEMEDFLATSSSSSASNSSEESKASPG ARESSLRSGVLRGSNLGVTRTRAARRKAGSVSLENGCGRKATRKRVYLSDSDNNSVETDE NLKNRKCGSSRKVLRKCAAVAASKIKLMSDAEDSSSESPCSGRKLPHRNASAVARKKLLH NSDDQSLKSETEELKDQNQSLLISGPHSVHNSISDSESDSDLRATRKTWNANGCTSHTAA TCKTKSRPIESSEEDSRCHGSDHGPSSTGDPSTSGQKLRADSISEEADSEPESSVLCKNT HLCKKAKILSDSEDCEEKCGERRGPEVEGSPVSEALREAILAPQCLSHRGSETDVDSDGG AVREKSYSNENGSVSLENGQRRKVSRKSSSDKESNLQVTQKSPKDRSSPSRITQRASVAT DKMKLTSDAEDLSLESVCTRSKRRRKKPARFACTPAKTALSSEEKHAHCEVPEAQPACRN KLPEPEHQDSAENPSQAASADLNSGGGSSFEQRKSIQSRQMGAVCVRPPPKTQSSSAGLS QENARSQTLDSETSLPSESVLTQKATVESNFEEELNYGLRRWNGRRLRTYGKAPLSRTAQ VTPSLQASAEVGVKRRRMHPEVDGEDVPGQMGSSGCGPDTSPKASDLGSVTDSDVDCTDN TQTQRKKKRKGKARVLSKESVRKDREPHTKMRPCMLNEKDAVQMHSDTLKAKTVPEKVPR RCATVAANKIKMMCNLKEVVSGPENVGIRTISRKLPYRQASAAAKKKLLSVYKEDDAPIH SENEKELYLRRFRSRKEKAQPTPR >ENSMUSP00000020756.8 pep:known chromosome:GRCm38:11:29130748:29161828:1 gene:ENSMUSG00000020464.15 transcript:ENSMUST00000020756.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pnpt1 description:polyribonucleotide nucleotidyltransferase 1 [Source:MGI Symbol;Acc:MGI:1918951] MAACRLCCLCPCLRPLGCGPLGRPGRNRALSYLQMRALWSSTGSRAVTVDLGHRKLEISS GKLARFADGCAVIQSGDTAVMVTAVSKTKASPSQFMPLVVDYRQKAAAAGRIPTNYLRRE IGSSDREVLTSRVIDRSIRPLFPAGYFYDTQVLCNLLAVDGINEPDILAVNGASVALSLS DIPWNGPVGAVRIGMIDGECVVNPTRREMSSSTLNLVVAGAPKSQIVMLEASAENILQQD FCHAIKVGVKYTQQIIQGIQQLVKEIGVAKRTPQKIFTPSAEIVKYTKIIAMEKLYAVFT DYEHDKVSRDEAVNKIRLDTEEHLKEKFPEVDQFEIIESFNIVAKEVFRSIILNEYKRCD GRDLTSLRNISCEVDMFKTLHGSALFQRGQTQVLCTVTFDSLESSIKSDQIITAINGVKD KNFMLHYEFPPYATNETGKVTGVNRRELGHGALAEKALCPVIPKDFPFTIRVTSEVLESN GSSSMASACGGSLALMDAGVPISSAVAGVAVGLVTKTNPEKGEIEDYRLLTDILGIEDYN GDMDFKIAGTNKGITALQADIKLPGVPIKIIMEAIQQASVAKKEILQIMNKTISKPRASR KENGPVVETVKVPLSKRAKFVGPGGYHLKKLQAETGVTISQVDEETFSIFAPTPTAMHEA RDFITEICRDDQEQQLEFGAVYTATITEIRDTGVMVKLYPNMTAVLLHNSQLDQRKIKHP TALGLEVGQEIQVKYFGRDPADGRMRLSRKVLQSPATTALKTLNDRSSIVMGEPVSQSSN SNP >ENSMUSP00000146232.1 pep:known chromosome:GRCm38:7:4794546:4812351:-1 gene:ENSMUSG00000060860.8 transcript:ENSMUST00000205815.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ube2s description:ubiquitin-conjugating enzyme E2S [Source:MGI Symbol;Acc:MGI:1925141] MNSNVENLPPHIIRLVYKEVTTLTADPPDGIKVFPNEEDLTDLQVTIEGPEGTPYAGGLF RMKLLLGKDFPASPPKGYFLTKIFHPNVGPNGEICVNVLKRDWTAELGIRHVLLTIKCLL IHPNPESALNEEAGRLLLENYEEYAARARLLTEIHGGACSTSSGRAEATQDLASGASASS ADPMIPGVLGGAEGPMAKKHADAGNYFLWDSIGVSGEVNRNWKKRY >ENSMUSP00000078459.7 pep:known chromosome:GRCm38:7:4808014:4812590:-1 gene:ENSMUSG00000060860.8 transcript:ENSMUST00000079496.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2s description:ubiquitin-conjugating enzyme E2S [Source:MGI Symbol;Acc:MGI:1925141] MNSNVENLPPHIIRLVYKEVTTLTADPPDGIKVFPNEEDLTDLQVTIEGPEGTPYAGGLF RMKLLLGKDFPASPPKGYFLTKIFHPNVGPNGEICVNVLKRDWTAELGIRHVLLTIKCLL IHPNPESALNEEAGRLLLENYEEYAARARLLTEIHGGACSTSSGRAEATQDLASGASASS ADPMIPGVLGGAEGPMAKKHAGERDKKLAAKKKLDKKRALRRL >ENSMUSP00000146213.1 pep:known chromosome:GRCm38:7:4808422:4812124:-1 gene:ENSMUSG00000060860.8 transcript:ENSMUST00000205885.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2s description:ubiquitin-conjugating enzyme E2S [Source:MGI Symbol;Acc:MGI:1925141] MAERPEPGVGPRAFQQTNSNVENLPPHIIRLVYKEVTTLTADPPDGIKVFPNEEDLTDLQ VTIEGPEGTPYAGGLFRMKLLLGKDFPASPPKGYFLTKIFHPNVGPNGEICVNVLKRDWT AELGIRHVLLTIKCLLIHPNPESALNEEAGR >ENSMUSP00000146276.1 pep:known chromosome:GRCm38:7:4810443:4811906:-1 gene:ENSMUSG00000060860.8 transcript:ENSMUST00000206669.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ube2s description:ubiquitin-conjugating enzyme E2S [Source:MGI Symbol;Acc:MGI:1925141] MKLLLGKDFPASPPKGYFLTKIFHPNVGPNGEICVNVLKRDWTAEL >ENSMUSP00000029520.7 pep:known chromosome:GRCm38:3:93017810:93019060:-1 gene:ENSMUSG00000027912.8 transcript:ENSMUST00000029520.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1m description:late cornified envelope 1M [Source:MGI Symbol;Acc:MGI:1913453] MSCQQSQQQCQPPPKCKTPKCPPTSSCCSLGSGGCCGSSSGGCCSSGCGGCCLSHHKPRF SLRRRRHSSGCCSSGGSSCCGSSGGSSCCGSSGGSSGGSSGGSSGGSSCCGSSGGSSGGS SCCGSSSGGSSCCGSSSGGSSGGSSGGSSCCGSSGGSSGGSSSGSNSHQQSGGSSCCSGG CC >ENSMUSP00000141488.1 pep:known chromosome:GRCm38:3:93018507:93019059:-1 gene:ENSMUSG00000027912.8 transcript:ENSMUST00000193944.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1m description:late cornified envelope 1M [Source:MGI Symbol;Acc:MGI:1913453] MSCQQSQQQCQPPPKCKTPKCPPTSSCCSLGSGGCCGSSSG >ENSMUSP00000144272.1 pep:known chromosome:GRCm38:19:58943425:58971421:1 gene:ENSMUSG00000048029.10 transcript:ENSMUST00000200910.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno4 description:enolase 4 [Source:MGI Symbol;Acc:MGI:2441717] MGDEDGGRRGGITRDLQKLKQQAMAYYQENDVPRKLEDLLNSTFYLQPADVYGHLANYFS KLAKPPSICKIVGKTILDGLGLPTLQVEISCTIQNFPKYICAVAIPTHFEVVENALPEAL DAEDSERAQAVNTAVQWINQSITEELWGLVPSNQAEVDHRLRTFFEHKVQEDKERKELEK SQEELVPAPPPVTLPPPPPPPPPPPSKKKGQKAGRRDTLLEKPVSPPEPPEPVLHGSMAI GAVSLAVAKASATLASDPLYLTLASLKHDQEQPSTFSMPLLMGSVLSCGKSSPGKLHLMK EVICIPSPGLTAKQSVELLLEIQKQVNRAMETLPPPKQETKKGHNGSKRAQPPITGKVSH LGCLTINYDAIEQPLLLLQGICSNLGLELGVNFHLAINCAGHELMDYSKGKYEVMVGTHK SAAEMVELYVDLINKYPSIIALIDPFRKEDAEQWDSLYAALASRCYLIAGAASGSVSKLL ECRNISTLKSHGLIIKHTNQTTMSDLVEITHLINGKKLLAVFGSTDSESSDDSLVDLAVG FGARFIKLGGLSRGERMTKYNRLLAIEEELIQRGVWGFSEEHNFSFFQEDATATMAEETL GLLDSIFPTEVIEESAKT >ENSMUSP00000144656.1 pep:known chromosome:GRCm38:19:58953177:58971417:1 gene:ENSMUSG00000048029.10 transcript:ENSMUST00000202382.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno4 description:enolase 4 [Source:MGI Symbol;Acc:MGI:2441717] KELEKSQEELVPAPPPVTLPPPPPPPPPPPSKKKGQKAGRRDTLLEKPVSPPEPPEPVLH GSMAIGAVSLAVAKASATLASDPLYLTLASLKHDQEQPSTFSMPLLMGSVLSCGKSSPGK LHLMKEVICIPSPGLTAKQSVELLLEIQKQVNRAMETLPPPKQETKKGHNGSKRAQPPIT GKVSHLGCLTINYDAIEQPLLLLQGICSNLGLELGVNFHLAINCAGHELMDYSKGKYEVM VGTHKSAAEMVELYVDLINKYPSIIALIDPFRKEDAEQWDSLYAALASRCYLIAGAASGS VSKLLECRNISTLKSHGLIIKHTNQTTMSDLVEITHLINGKKLLAVFGSTDSESSDDSLV DLAVGFGARFIKLGGLSRGERMTKYNRLLAIEEELIQRGVWEDATATMAEETLGLLDSIF PTEVIEESAKT >ENSMUSP00000143831.1 pep:known chromosome:GRCm38:19:58955456:58970933:1 gene:ENSMUSG00000048029.10 transcript:ENSMUST00000201123.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Eno4 description:enolase 4 [Source:MGI Symbol;Acc:MGI:2441717] XSVLSCGKSSPGKLHLMKEVICIPSPGLTAKQSVELLLEIQKQVNRAMETLPPPKQETKK GHNGSKRAQPPITGKVSHLGCLTINYDAIEQPLLLLQGICSNLGLELGVNFHLAINCAGH ELMDYSKGKYEVMVGTHKSAAEMVELYVDLINKYPSIIALIDPFRKEAPLPGVSLNS >ENSMUSP00000062584.6 pep:known chromosome:GRCm38:19:58943425:58971419:1 gene:ENSMUSG00000048029.10 transcript:ENSMUST00000054280.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Eno4 description:enolase 4 [Source:MGI Symbol;Acc:MGI:2441717] MGDEDGGRRGGITRDLQKLKQQAMAYYQENDVPRKLEDLLNSTFYLQPADVYGHLKANYF SKLAKPPSICKIVGKTILDGLGLPTLQVEISCTIQNFPKYICAVAIPTHFEVVENALPEA LDAEDSERAQAVNTAVQWINQSITEELWGLVPSNQAEVDHRLRTFFEHKVQEDKERKELE KSQEELVPAPPPVTLPPPPPPPPPPPSKKKGQKAGRRDTLLEKPVSPPEPPEPVLHGSMA IGAVSLAVAKASATLASDPLYLTLASLKHDQEQPSTFSMPLLMGSVLSCGKSSPGKLHLM KEVICIPSPGLTAKQSVELLLEIQKQVNRAMETLPPPKQETKKGHNGSKRAQPPITGKVS HLGCLTINYDAIEQPLLLLQGICSNLGLELGVNFHLAINCAGHELMDYSKGKYEVMVGTH KSAAEMVELYVDLINKYPSIIALIDPFRKEDAEQWDSLYAALASRCYLIAGAASGSVSKL LECRNISTLKSHGLIIKHTNQTTMSDLVEITHLINGKKLLAVFGSTDSESSDDSLVDLAV GFGARFIKLGGLSRGERMTKYNRLLAIEEELIQRGVWGFSEEHNFSFFQEDATATMAEET LGLLDSIFPTEVIEESAKT >ENSMUSP00000020546.2 pep:known chromosome:GRCm38:11:31357307:31370074:-1 gene:ENSMUSG00000020303.2 transcript:ENSMUST00000020546.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Stc2 description:stanniocalcin 2 [Source:MGI Symbol;Acc:MGI:1316731] MCAERLGQFVTLALVFATLDPAQGTDSTNPPEGPQDRSSQQKGRLSLQNTAEIQHCLVNA GDVGCGVFECFENNSCEIQGLHGICMTFLHNAGKFDAQGKSFIKDALRCKAHALRHKFGC ISRKCPAIREMVFQLQRECYLKHDLCSAAQENVGVIVEMIHFKDLLLHEPYVDLVNLLLT CGEDVKEAVTRSVQAQCEQSWGGLCSILSFCTSNIQRPPTAAPEHQPLADRAQLSRPHHR DTDHHLTANRGAKGERGSKSHPNAHARGRTGGQSAQGPSGSSEWEDEQSEYSDIRR >ENSMUSP00000011492.8 pep:known chromosome:GRCm38:3:36065979:36092853:1 gene:ENSMUSG00000027710.14 transcript:ENSMUST00000011492.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad9 description:acyl-Coenzyme A dehydrogenase family, member 9 [Source:MGI Symbol;Acc:MGI:1914272] MSGCVLLSRGATAAAAAARASRVLREFTARRRPLHTSLQSCSFAKELFLGNIEQKGVFPF PEVSQHELSEINQFVGPLEKFFTEEVDSRKIDQEGKIPVDTLEKLKSLGLFGIQVPEEYG GLGLSNTMYARLGEIISLDASITVTLAAHQAIGLKGIILVGNEEQKAKYLPKLSSGEHIA AFCLTEPASGSDAASIQTRATLSEDKKYFILNGSKVWITNGGLANIFTVFAKTEVVDSDG SKTDKMTAFIVERDFGGITNGKPEDKLGIRGSNTCEVHFENTRVPVENVLGEVGGGFKVA MNILNSGRFSMGSAVAGMLKKLIELTAEYACTRKQFNRNLSEFGLIQEKFALMAQKAYVM ESMAYLTSGMLDQPGFPDCSIEAAMVKVFSSEAAWQCVSEALQILGGSGYMKDYPYERML RDARILLIFEGTNEILRLFIALTGLQHAGRILTSRIKELKSGNVTTVMETIGRKLRDSLG RTVDLGLTGDLGVVHPSLGDSANKLEENVHYFGRTVETLLLRFGKNIVEEQLVLKRVANI LINLYGMTAVLSRASRSIRIGLRNHDHEVLLANMFCVEAYFQNLFSLSQLDKNAPENLDE QIKKVSRQILEKRAYICAHPLDRAS >ENSMUSP00000142557.1 pep:known chromosome:GRCm38:3:36066067:36076020:1 gene:ENSMUSG00000027710.14 transcript:ENSMUST00000196648.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Acad9 description:acyl-Coenzyme A dehydrogenase family, member 9 [Source:MGI Symbol;Acc:MGI:1914272] MSGCVLLSRGATAAAAAARASRVLREFTARRRPLHTSLQSCSFAKELFLGNIEQKGVFPF PEVSQHELSEINQFVGPLEKFFTEEVDSRKIDQEGKIPVDTLEKLKSLGLFGIQVPEEYG GLGLSNTMYARLGEIISLDASITVTLAAHQAIGLKWE >ENSMUSP00000142995.1 pep:known chromosome:GRCm38:3:36078452:36088892:1 gene:ENSMUSG00000027710.14 transcript:ENSMUST00000197588.4 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Acad9 description:acyl-Coenzyme A dehydrogenase family, member 9 [Source:MGI Symbol;Acc:MGI:1914272] FENTRVPVENVLGEVGGGFKVAMNILNSGRFSMGSAVAGMLKKLIELTAEYACTRKQFNR NLSEFGLIQEKFALMAQKAYVMESMAYLTSGMLDQPGFPDCSIEAAMVKVFSSEAAWQCV SEALQILGGSGYMKDYPYERMLRDARILLIFEACSMLDAS >ENSMUSP00000071769.2 pep:known chromosome:GRCm38:13:33249612:33260846:1 gene:ENSMUSG00000062342.2 transcript:ENSMUST00000071873.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb9e description:serine (or cysteine) peptidase inhibitor, clade B, member 9e [Source:MGI Symbol;Acc:MGI:894672] MNTLSQANGTFAIHLLKVLCQDNPSENVCYSPMSISSALAMVLLGAKGDTAVQICQALHL NPDEDVHQGFQLLLHNLNKPNNQKYCLTMANRLFVENTCELLPTFKKSCLKFYHSEIEQL SFAEAAEESRQHINMWVSKQTKGKIPDLLSEDSVDSQTRLILANALYFQGTWCKFFEKDS TKEVPFKINKKETRPVQMMWQEDTFFHAYVKEIQAQVLVMPYEGIDLNFVVLLPDQGVDI SKVENNLTFEKLTAWTKPEFMNRTELHVYLPKFKLQEDYDMNSLLQHLGILDVFNGSKAD FSGMSTKENLCLSKFVHKCVVEVNEEGTEAVAASAGKIILFCDGPDPEVFCADHPFLFFI MHSTTNSILFCGRFSSP >ENSMUSP00000072229.2 pep:known chromosome:GRCm38:X:10073621:10216920:-1 gene:ENSMUSG00000031174.17 transcript:ENSMUST00000072393.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgr description:retinitis pigmentosa GTPase regulator [Source:MGI Symbol;Acc:MGI:1344037] MPRGSRWGSQGVGQHLRLNRVAPAIFPKQAQIPFAGFGMAESESLVPDTGAVFTFGKTKF AENIPSKFWFKNDIPICLSCGDEHTAIVTGNNKLYMFGSNNWGQLGLGSKAAIIKPTCIK ALKPEKVKLAACGRNHTLVSTDTGGVYAAGGNNEGQLGLGDTDDRDTFHQIVFFTPADTI KQLSAGANTSAALTEDGKLFMWGDNSEGQIGLEDKSNVCIPHEVTVGKPISWISCGYYHS AFVTMDGELYTFGEPENGKLGLPNELLMNHRSPQRVLGIPERVIQVACGGGHTVVLTEKV VYAFGLGQFGQLGLGTFLFETSEPKIIERIKDQKICHISCGENHTALMTELGLLYTFGDG RHGKLGLGMENFTNQFFPTLCSNFLRFAVQLIACGGCHMLVFATPRLGTIDEPKFEDVYE PYISTGSFSINDLSPRSSLNRSLSARLRRRERERPPCSASMVGTLPPLEGTSASTSAYFY PSSPPFHLSVNNYPEKSPSESMEPLDSDYFEDKMNKDTETENSSAVDSENFGETNDILNM THMMTTSSNEKLLDFSPIQKQQAPQLSETVKPEEGEMDEEISILNVEDTVEEERKEGEKE IVEEGSIPETEGSETIDITDEKLDEVLKEEDSASLLQRALREYNENPKGSGDSPLEDDGV WYSHSLYKGEFFASVLTRTR >ENSMUSP00000111196.1 pep:known chromosome:GRCm38:X:10158216:10216453:-1 gene:ENSMUSG00000031174.17 transcript:ENSMUST00000115534.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgr description:retinitis pigmentosa GTPase regulator [Source:MGI Symbol;Acc:MGI:1344037] MFGSNNWGQLGLGSKAAIIKPTCIKALKPEKVKLAACGRNHTLVSTDTGGVYAAGGNNEG QLGLGDTDDRDTFHQIVFFTPADTIKQLSAGANTSAALTEDGKLFMWGDNSEGQIGLEDK SNVCIPHEVTVGKPISWISCGYYHSAFVTMDGELYTFGEPENGKLGLPNELLMNHRSPQR VLGIPERVIQVACGGGHTVVLTEKVVYAFGLGQFGQLGLGTFLFETSEPKIIERIKDQKI CHISCGENHTALMTELGLLYTFGDGRHGKLGLGMENFTNQFFPTLCSNFLRFAVQLIACG GCHMLVFATPRLGTIDEPKFEDVYEPYISTGSFSINDLSPRSSLNRSLSARLRRRERERP PCSASMVGTLPPLEGTSASTSAYFYPSSPPFHLSVNNYPEKSPSESMEPLDSDYFEDKMN KDTETENSSAVDSENFGETNDILNMTHMMTTSSNEKLLDFSPIQKQQAPQLSETVKPEEG EMDEEISILNVEDTVEEERKEGEKEIVEEGSIPETEGSETIDITDEKLDEVLKEEDSASL LQRALREYNENPKGHMYDRVKSSSSEILGGNDPTSKDIKKAKKISFFNRMSLTGQKLMQN TNDPLPEIKPIGDQIALQSDKKDANQNHMGQNLQDSTTPNMEGKSKSCTIL >ENSMUSP00000037358.6 pep:known chromosome:GRCm38:X:10158216:10216920:-1 gene:ENSMUSG00000031174.17 transcript:ENSMUST00000044598.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgr description:retinitis pigmentosa GTPase regulator [Source:MGI Symbol;Acc:MGI:1344037] MPRGSRWGSQGVGQHLRLNRVAPAIFPKQAQIPFAGFGMAESESLVPDTGAVFTFGKTKF AENIPSKFWFKNDIPICLSCGDEHTAIVTGNNKLYMFGSNNWGQLGLGSKAAIIKPTCIK ALKPEKVKLAACGRNHTLVSTDTGGVYAAGGNNEGQLGLGDTDDRDTFHQIVFFTPADTI KQLSAGANTSAALTEDGKLFMWGDNSEGQIGLEDKSNVCIPHEVTVGKPISWISCGYYHS AFVTMDGELYTFGEPENGKLGLPNELLMNHRSPQRVLGIPERVIQVACGGGHTVVLTEKV VYAFGLGQFGQLGLGTFLFETSEPKIIERIKDQKICHISCGENHTALMTELGLLYTFGDG RHGKLGLGMENFTNQFFPTLCSNFLRFAVQLIACGGCHMLVFATPRLGTIDEPKFEDVYE PYISTGSFSINDLSPRSSLNRSLSARLRRRERERPPCSASMVGTLPPLEGTSASTSAYFY PSSPPFHLSVNNYPEKSPSESMEPLDSDYFEDKMNKDTETENSSAVDSENFGETNDILNM THMMTTSSNEKLLDFSPIQKQQNQDTFEKVMESTPCTENEDSYEYEEMSKIKEVTVYKQY LAKGIYMIRPAEILEAFSDEEVGNGLDQVEEPRVFTDGKGLQSKQVGKESDEEIVSEKKT EVMEVADVKKIRESEENSKSDSLFDDLPDKTMNSESEDNKDIAEERRSSEQNMTFDSETE LVEEPDSYMECERHSEQDSAEELEQPKLVEYSSEEKDEKDEKDDDEVETENLWYDRNCTE QETENVFRATRFFPKFDLKHDHLSGIPEEQEGPEDSEGNVVVEQVVQAQKENLEFEGDRK EAKAEAPSDVITEKEAPQLSETVKPEEGEMDEEISILNVEDTVEEERKEGEKEIVEEGSI PETEGSETIDITDEKLDEVLKEEDSASLLQRALREYNENPKGHMYDRVKSSSSEILGGND PTSKDIKKAKKISFFNRMSLTGQKLMQNTNDPLPEIKPIGDQIALQSDKKDANQNHMGQN LQDSTTPNMEGKSKSCTIL >ENSMUSP00000073106.4 pep:known chromosome:GRCm38:X:10158216:10216920:-1 gene:ENSMUSG00000031174.17 transcript:ENSMUST00000073392.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgr description:retinitis pigmentosa GTPase regulator [Source:MGI Symbol;Acc:MGI:1344037] MPRGSRWGSQGVGQHLRLNRVAPAIFPKQAQIPFAGFGMAESESLVPDTGAVFTFGKTKF AENIPSKFWFKNDIPICLSCGDEHTAIVTGNNKLYMFGSNNWGQLGLGSKAAIIKPTCIK ALKPEKVKLAACGRNHTLVSTDTGGVYAAGGNNEGQLGLGDTDDRDTFHQIVFFTPADTI KQLSAGANTSAALTEDGKLFMWGDNSEGQIGLEDKSNVCIPHEVTVGKPISWISCGYYHS AFVTMDGELYTFGEPENGKLGLPNELLMNHRSPQRVLGIPERVIQVACGGGHTVVLTEKV VYAFGLGQFGQLGLGTFLFETSEPKIIERIKDQKICHISCGENHTALMTELGLLYTFGDG RHGKLGLGMENFTNQFFPTLCSNFLRFAVQLIACGGCHMLVFATPRLGTIDEPKFEDVYE PYISTGSFSINDLSPRSSLNRSLSARLRRRERERPPCSASMVGTLPPLEGTSASTSAYFY PSSPPFHLSVNNYPEKSPSESMEPLDSDYFEDKMNKDTETENSSAVDSENFGETNDILNM THMMTTSSNEKLLDFSPIQKQQAPQLSETVKPEEGEMDEEISILNVEDTVEEERKEGEKE IVEEGSIPETEGSETIDITDEKLDEVLKEEDSASLLQRALREYNENPKGHMYDRVKSSSS EILGGNDPTSKDIKKAKKISFFNRMSLTGQKLMQNTNDPLPEIKPIGDQIALQSDKKDAN QNHMGQNLQDSTTPNMEGKSKSCTIL >ENSMUSP00000111195.1 pep:known chromosome:GRCm38:X:10158372:10216920:-1 gene:ENSMUSG00000031174.17 transcript:ENSMUST00000115533.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgr description:retinitis pigmentosa GTPase regulator [Source:MGI Symbol;Acc:MGI:1344037] MPRGSRWGSQGVGQHLRLNRVAPAIFPKQAQIPFAGFGMAESESLVPDTGAVFTFGKTKF AENIPSKFWFKNDIPICLSCGDEHTAIVTGNNKLYMFGSNNWGQLGLGSKAAIIKPTCIK ALKPEKVKLAACGRNHTLVSTDTGGVYAAGGNNEGQLGLGDTDDRDTFHQIVFFTPADTI KQLSAGANTSAALTEDGKLFMWGDNSEGQIGLEDKSNVCIPHEVTVGKPISWISCGYYHS AFVTMDGELYTFGEPENGKLGLPNELLMNHRSPQRVLGIPERVIQVACGGGHTVVLTEKV VYAFGLGQFGQLGLGTFLFETSEPKIIERIKDQKICHISCGENHTALMTELGLLYTFGDG RHGKLGLGMENFTNQFFPTLCSNFLRFAVQLIACGGCHMLVFATPRLGTIDEPKFEDVYE PYISTGSFSINDLSPRSSLNRSLSARLRRRERERPPCSASMVGTLPPLEGTSASTSAYFY PSSPPFHLSVNNYPEKSPSESMEPLDSDYFEDKMNKDTETENSSAVDSENFGETNDILNM THMMTTSSNEKLLDFSPIQKQQAPQLSETVKPEEGEMDEEISILNVEDTVEEERKEGEKE IVEEGSIPETEGSETIDITDEKLDEVLKEEDSASLLQRALREYNENPKGHMYDRVKSSSS EILGGNDPTSKDIKKAKKVRN >ENSMUSP00000111194.1 pep:known chromosome:GRCm38:X:10160608:10216920:-1 gene:ENSMUSG00000031174.17 transcript:ENSMUST00000115532.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpgr description:retinitis pigmentosa GTPase regulator [Source:MGI Symbol;Acc:MGI:1344037] MPRGSRWGSQGVGQHLRLNRVAPAIFPKQAQIPFAGFGMAESESLVPDTGAVFTFGKTKF AENIPSKFWFKNDIPICLSCGDEHTAIVTGNNKLYMFGSNNWGQLGLGSKAAIIKPTCIK ALKPEKVKLAACGRNHTLVSTDTGGVYAAGGNNEGQLGLGDTDDRDTFHQIVFFTPADTI KQLSAGANTSAALTEDGKLFMWGDNSEGQIGLEDKSNVCIPHEVTVGKPISWISCGYYHS AFVTMDGELYTFGEPENGKLGLPNELLMNHRSPQRVLGIPERVIQVACGGGHTVVLTEKV VYAFGLGQFGQLGLGTFLFETSEPKIIERIKDQKICHISCGENHTALMTELGLLYTFGDG RHGKLGLGMENFTNQFFPTLCSNFLRFAVQLIACGGCHMLVFATPRLGTIDEPKFEDVYE PYISTGSFSINDLSPRSSLNRSLSARLRRRERERPPCSASMVGTLPPLEGTSASTSAYFY PSSPPFHLSVNNYPEKSPSESMEPLDSDYFEDKMNKDTETENSSAVDSENFGETNDILNM THMMTTSSNEKLLDFSPIQKQQNQDTFEKVMESTPCTENEDSYEYEEMSKIKEVTVYKQY LAKGIYMIRPAEILEAFSDEEVGNGLDQVEEPRVFTDGKGLQSKQVGKESDEEIVSEKKT EVMEVADVKKIRESEENSKSDSLFDDLPDKTMNSESEDNKDIAEERRSSEQNMTFDSETE LVEEPDSYMECERHSEQDSAEELEQPKLVEYSSEEKDEKDEKDDDEVETENLWYDRNCTE QETENVFRATRFFPKFDLKHDHLSGIPEEQEGPEDSEGNVVVEQVVQAQKENLEFEGDRK EAKAEAPSDVITEKEAPQLSETVKPEEGEMDEEISILNVEDTVEEERKEGEKEIVEEGSI PETEGSETIDITDEKLDEVLKEEDSASLLQRALREYNENPKGHMYDRVKSSSSEILGGND PTSKDIKKAKKS >ENSMUSP00000113120.1 pep:known chromosome:GRCm38:16:38812205:38828749:-1 gene:ENSMUSG00000022798.7 transcript:ENSMUST00000122078.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4930435E12Rik description:RIKEN cDNA 4930435E12 gene [Source:MGI Symbol;Acc:MGI:1921913] MDEPPDESLNHENTTRAPDNEKNNIEGAGQALHRGSEHAGVGSSEPTGDKVSGQANAGSR QTGRRTSEEAEQRSSQPTEHRLPGHAERRASQQAERRLSERRTSQPPNQQLPSHSERKTS GKIDGQESLLSEQTDQETSEFDDLIPSASTDYLSARSQQQEYNQHGYWTEDSSDPHRLSE DLEKDYTRVKHITEKQAGYRSYYKTLAHIESRTLTDTNDYRETGQRLQPCTFEDSEAELP SKVSTTEETESATTIQAYNTQDTELTTSTSHEKLPSITTKVYYSSSPEKIQTTEYTSDTT PVFDQGRSSQRSSQSSRWRFPPIVFEDPYHVALRYVEKHNILHIFQQITENLVYERPDDP LYFMLDQVQNMIKHRDERQSD >ENSMUSP00000029987.9 pep:known chromosome:GRCm38:4:49535995:49549546:-1 gene:ENSMUSG00000028307.9 transcript:ENSMUST00000029987.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aldob description:aldolase B, fructose-bisphosphate [Source:MGI Symbol;Acc:MGI:87995] MAHRFPALTPEQKKELSEIAQRIVANGKGILAADESVGTMGNRLQRIKVENTEENRRQFR ELLFSVDNSISQSIGGVILFHETLYQKDSQGNLFRNVLKEKGIVVGIKLDQGGAPLAGTN KETTIQGLDGLSERCAQYKKDGVDFGKWRAVLRIADQCPSSLAIQENANALARYASICQQ NGLVPIVEPEVLPDGDHDLEHCQYVSEKVLAAVYKALNDHHVYLEGTLLKPNMVTAGHAC TKKYTPEQVAMATVTALHRTVPAAVPGICFLSGGMSEEDATLNLNAINRCPLPRPWKLSF SYGRALQASALAAWGGKAANKKATQEAFMKRAMANCQAAQGQYVHTGSSGAAATQSLFTA SYTY >ENSMUSP00000038149.8 pep:known chromosome:GRCm38:7:31098725:31110997:-1 gene:ENSMUSG00000001249.14 transcript:ENSMUST00000039435.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpn description:hepsin [Source:MGI Symbol;Acc:MGI:1196620] MWVGARDDAGHMADEEPGAHRGGSTCSRPQPGKGGRTAACCSRPKVAALIVGTLLFLTGI GAASWAIVTILLQSDQEPLYQVQLSPGDSRLAVFDKTEGTWRLLCSSRSNARVAGLGCEE MGFLRALAHSELDVRTAGANGTSGFFCVDEGGLPLAQRLLDVISVCDCPRGRFLTATCQD CGRRKLPVDRIVGGQDSSLGRWPWQVSLRYDGTHLCGGSLLSGDWVLTAAHCFPERNRVL SRWRVFAGAVARTSPHAVQLGVQAVIYHGGYLPFRDPTIDENSNDIALVHLSSSLPLTEY IQPVCLPAAGQALVDGKVCTVTGWGNTQFYGQQAMVLQEARVPIISNEVCNSPDFYGNQI KPKMFCAGYPEGGIDACQGDSGGPFVCEDSISGTSRWRLCGIVSWGTGCALARKPGVYTK VTDFREWIFKAIKTHSEASGMVTQP >ENSMUSP00000145624.1 pep:known chromosome:GRCm38:7:31098725:31115275:-1 gene:ENSMUSG00000001249.14 transcript:ENSMUST00000165124.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Hpn description:hepsin [Source:MGI Symbol;Acc:MGI:1196620] MAKEGGRTAACCSRPKVAALIVGTLLFLTGIGAASWAIVTILLQSDQEPLYQVQLSPGDS RLAVFDKTEGTWRLLCSSRSNARVAGLGCEEMGFLRALAHSELDVRTAGANGTSGFFCVD EGGLPLAQRLLDVISVW >ENSMUSP00000131658.1 pep:known chromosome:GRCm38:7:31098725:31115282:-1 gene:ENSMUSG00000001249.14 transcript:ENSMUST00000168884.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpn description:hepsin [Source:MGI Symbol;Acc:MGI:1196620] MAKEGGRTAACCSRPKVAALIVGTLLFLTGIGAASWAIVTILLQSDQEPLYQVQLSPGDS RLAVFDKTEGTWRLLCSSRSNARVAGLGCEEMGFLRALAHSELDVRTAGANGTSGFFCVD EGGLPLAQRLLDVISVCDCPRGRFLTATCQDCGRRKLPVDRIVGGQDSSLGRWPWQVSLR YDGTHLCGGSLLSGDWVLTAAHCFPERNRVLSRWRVFAGAVARTSPHAVQLGVQAVIYHG GYLPFRDPTIDENSNDIALVHLSSSLPLTEYIQPVCLPAAGQALVDGKVCTVTGWGNTQF YGQQAMVLQEARVPIISNEVCNSPDFYGNQIKPKMFCAGYPEGGIDACQGDSGGPFVCED SISGTSRWRLCGIVSWGTGCALARKPGVYTKVTDFREWIFKAIKTHSEASGMVTQP >ENSMUSP00000103737.2 pep:known chromosome:GRCm38:7:31098725:31115290:-1 gene:ENSMUSG00000001249.14 transcript:ENSMUST00000108102.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpn description:hepsin [Source:MGI Symbol;Acc:MGI:1196620] MAKEDEEPGAHRGGSTCSRPQPGKGGRTAACCSRPKVAALIVGTLLFLTGIGAASWAIVT ILLQSDQEPLYQVQLSPGDSRLAVFDKTEGTWRLLCSSRSNARVAGLGCEEMGFLRALAH SELDVRTAGANGTSGFFCVDEGGLPLAQRLLDVISVCDCPRGRFLTATCQDCGRRKLPVD RIVGGQDSSLGRWPWQVSLRYDGTHLCGGSLLSGDWVLTAAHCFPERNRVLSRWRVFAGA VARTSPHAVQLGVQAVIYHGGYLPFRDPTIDENSNDIALVHLSSSLPLTEYIQPVCLPAA GQALVDGKVCTVTGWGNTQFYGQQAMVLQEARVPIISNEVCNSPDFYGNQIKPKMFCAGY PEGGIDACQGDSGGPFVCEDSISGTSRWRLCGIVSWGTGCALARKPGVYTKVTDFREWIF KAIKTHSEASGMVTQP >ENSMUSP00000132307.1 pep:known chromosome:GRCm38:7:31098729:31102514:-1 gene:ENSMUSG00000001249.14 transcript:ENSMUST00000171259.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpn description:hepsin [Source:MGI Symbol;Acc:MGI:1196620] ALVHLSSSLPLTEYIQPVCLPAAGQALVDGKVCTVTGWGNTQFYGQQAMVLQEARVPIIS NEVCNSPDFYGNQIKPKMFCAGYPEGGIDACQGDSGGPFVCEDSISGTSRWRLCGIVSWG TGCALARKPGVYTKVTDFREWIFKAIKSTGPRMLDPRTSLPQWPAHSIPGPLASPSHPM >ENSMUSP00000130966.1 pep:known chromosome:GRCm38:7:31098733:31099285:-1 gene:ENSMUSG00000001249.14 transcript:ENSMUST00000171225.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpn description:hepsin [Source:MGI Symbol;Acc:MGI:1196620] XLARKPGVYTKVTDFREWIFKAIKASGDGTSV >ENSMUSP00000127229.1 pep:known chromosome:GRCm38:7:31109140:31111958:-1 gene:ENSMUSG00000001249.14 transcript:ENSMUST00000164929.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hpn description:hepsin [Source:MGI Symbol;Acc:MGI:1196620] MAYQCLSRTCCVPGTLAQQKAVWFLGQLLWNLDLSVPGVQDMAADEEPGAHRGGSTCSRP QPGKGGRTAACCSRPKVAALIV >ENSMUSP00000046715.1 pep:known chromosome:GRCm38:X:72222017:72222964:1 gene:ENSMUSG00000033343.1 transcript:ENSMUST00000048675.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Magea4 description:melanoma antigen, family A, 4 [Source:MGI Symbol;Acc:MGI:1333839] MENPDNTHYCSLQGSARSQRELDNVQATIAVVDEEEATPTSKKVYSSGIPSPPQSPQRAS SPLVILASVPEGPSEEASTNQVEEQEDPLCMLYNAQNIKLYELATFLFFKYQMKAFTTKA EMLESIGREYEEYYPLIFSEASECFKLVSGIGMIEVDPFVQSYILVPALGLTYDGMLTDV QSKPKTGILIVVLGAIFTQGNCVSEEIIWKMLNNIGLYGGRHLYIHQDPRKFISEEFVQE GYLEYRQVPNSDPPSYEFLWDPRAFAETTKMKVLEIFASITMTDPRAYTEKYAEALRDET ERAQARIIQQIVLQL >ENSMUSP00000129646.1 pep:known chromosome:GRCm38:7:10579756:10581487:-1 gene:ENSMUSG00000091662.8 transcript:ENSMUST00000163658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r69 description:vomeronasal 1 receptor 69 [Source:MGI Symbol;Acc:MGI:2182253] MGVATIKENQAQSKMDFRNLAIKIIFLSQTTIGILGNFSLIIYYVVYYIVYTLKPTDLIL MHLVTSNALMVLSTGVPHTMAAFGLTHLLNDLGCRLILYIQRVGRSISIGSTCLLSIFQA IIISHRESCYKGQKVKSAKYVGYSLSLLWVLHIFINFILFVDIFIKRNRNNMTRDRDFKY CYIVGQNEFSDLLYVALVVCPEILSSVLITYSSGSMIGILYRHKQRVQHIRSFHVSSRNS PEWRATQNILVLVSTFLGFYTLSSILQGCIALQNNPNWWLLNINRLTSMCFPTFAPFVLM SHYSFMPKLSLTWIRNKTS >ENSMUSP00000134993.1 pep:known chromosome:GRCm38:7:10580689:10613576:-1 gene:ENSMUSG00000091662.8 transcript:ENSMUST00000176284.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r69 description:vomeronasal 1 receptor 69 [Source:MGI Symbol;Acc:MGI:2182253] MKACLYVYPWAFTNITILKMGVATIKENQAQSKMDFRNLAIKIIFLSQTTIGILGNF >ENSMUSP00000134833.1 pep:known chromosome:GRCm38:7:10580689:10613576:-1 gene:ENSMUSG00000091662.8 transcript:ENSMUST00000176707.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r69 description:vomeronasal 1 receptor 69 [Source:MGI Symbol;Acc:MGI:2182253] MKACLYVYPWAFTNITILKMGVATIKENQAQSKMDFRNLAIKIIFLSQTTIGILGNF >ENSMUSP00000088585.5 pep:known chromosome:GRCm38:2:32757026:32775383:-1 gene:ENSMUSG00000039021.15 transcript:ENSMUST00000091059.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc16 description:tetratricopeptide repeat domain 16 [Source:MGI Symbol;Acc:MGI:2443048] MTDRSKTQRTPSASSSRTGLLKEPHSARSQKTPKLKVPEAPEKILRRIFGTSHVFYVMTD PTKRRMIGSTVPAKVREYYNQGHQCLLQEDWEMSVLFFSRALHLDPKLVDFYVFRAEAFI QLCDFSSALQNLRRAYSYDPGNNKYLNRLAFVLYLQGQCLYELCDFQEALCVFLQASDLQ PQNASFSYRCMACLLALKRYHDCLALITREVKQGRASADVYILRARLYNFFQKAKLCYQD LRSALLLDPLHAQAKGLLQKMVDQAKQSLQDASTLAVQGKVHRALKCINCAIENNPLDPN FFFFRGTLRRRLQQFDHAVEDFLKAMDMVTDTQDNLVKQAQRQLLLTYNDFAVHCYNHGA YQEGVLLLNKAIRDEQNEKGLYINRGDCFFQLGNLAFAEADYKQALALSPLDEGANLRMG VLQEKLGFCQQKHRQFQTAEEHFSEAIRHSPQKPQYYLHRAKCRQFLQNTLGARLDVATV LLLNPEYPKMAAVMNTLFPSMTVENVLKSQVAELAKLQLSRMIENGPKNIYPQSTVVQRL LERRKAQVLVKLWKQERLGTPEEEVTLYQAPQLAEEKKVKTARRRTSLTDSYADQTSSGS VFSSLHQRLSDPHLRRRPAGRQMFRAPANCRLPARPHRLPPLTRQVGPEVAFAERAEGAA QRSHVPGGGGSQGQPEQPEDRAPGPVAVPRHRHPVEARFRSEAAHRQNL >ENSMUSP00000068977.2 pep:known chromosome:GRCm38:2:32762177:32775339:-1 gene:ENSMUSG00000039021.15 transcript:ENSMUST00000066478.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc16 description:tetratricopeptide repeat domain 16 [Source:MGI Symbol;Acc:MGI:2443048] MTDPTKRRMIGSTVPAKVREYYNQGHQCLLQEDWEMSVLFFSRALHLDPKLVDFYVFRAE AFIQLCDFSSALQNLRRAYSYDPGNNKYLNRLAFVLYLQGQCLYELCDFQEALCVFLQAS DLQPQNASFSYRCMACLLALKRYHDCLALITREVKQGRASADVYILRARLYNFFQKAKLC YQDLRSALLLDPLHAQAKGLLQKMVDQAKQSLQDASTLAVQGKVHRALKCINCAIENNPL DPNFFFFRGTLRRRLQQFDHAVEDFLKAMDMVTDTQDNLVKQAQRQLLLTYNDFAVHCYN HGAYQEGVLLLNKAIRDEQNEKGLYINRGDCFFQLGNLAFAEADYKQALALSPLDEGANL RMGVLQEKLGFCQQKHRQFQTAEEHFSEAIRHSPQKPQYYLHRAKCRQFLQNTLGARLDV ATVLLLNPEYPKMAAVMNTLFPSMTVENVLKSQVAELAKLQLSRMIENGPKNIYPQSTVV QRLLERRKAQVLVKLWKQERLGTPEEEVTLYQAPQLAEEKKVKTARRRTSLTDSYADQTS SGSVFSIVSISTSGPEMSTSQEYKSSSHTAIESSESTLLKPQLSVPRKSQELTWSPKVVQ AVTENLIQNATEVTPAYGQRDSKKATQVPKPKKTEDPKDPSQSTSTTEAPEGPRPSKSRS TLSVKERIRRAKAVRAQGWKLKAQRSSQKVTKTPSLTHSTTHSDIGESANDTPGQTPWPS KAADSLSFSEISSTDLSSSESFLELTNLLTQEVQQIPGDREKLTSDD >ENSMUSP00000124031.1 pep:known chromosome:GRCm38:2:32762178:32775584:-1 gene:ENSMUSG00000039021.15 transcript:ENSMUST00000161430.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc16 description:tetratricopeptide repeat domain 16 [Source:MGI Symbol;Acc:MGI:2443048] MTDRSKTQRTPSASSSRTGLLKEPHSARSQKTPKLKVPEAPEKILRRIFGTSHVFYVMTD PTKRRMIGSTVPAKVREYYNQGHQCLLQEDWEMSVLFFSRALHLDPKLVDFYVFRAEAFI QLCDFSSALQNLRRAYSYDPGNNKYLNRLAFVLYLQGQCLYELCDFQEALCVFLQASDLQ PQNASFSYRCMACLLALKRYHDCLALITREVKQGRASADVYILRARLYNFFQKAKLCYQD LRSALLLDPLHAQAKGLLQKMVDQAKQSLQDASTLAVQGKVHRALKCINCAIENNPLDPN FFFFRGTLRRRLQQFDHAVEDFLKAMDMVTDTQDNLVKQAQRQLLLTYNDFAVHCYNHGA YQEGVLLLNKAIRDEQNEKGLYINRGDCFFQLGNLAFAEADYKQALALSPLDEGANLRMG VLQEKLGFCQQKHRQFQTAEEHFSEAIRHSPQKPQYYLHRAKCRQFLQNTLGARLDVATV LLLNPEYPKMAAVMNTLFPSMTVENVLKSQVAELAKLQLSRMIENGPKNIYPQSTVVQRL LERRKAQVLVKLWKQERLGTPEEEVTLYQAPQLAEEKKVKTARRRTSLTDSYADQTSSGS VFSIVSISTSGPEMSTSQEYKSSSHTAIESSESTLLKPQLSVPRKSQELTWSPKVVQAVT ENLIQNATEVTPAYGQRDSKKATQVPKPKKTEDPKDPSQSTSTTEAPEGPRPSKSRSTLS VKERIRRAKAVRAQGWKLKAQRSSQKVTKTPSLTHSTTHSDIGESANDTPGQTPWPSKAA DSLSFSEISSTDLSSSESFLELTNLLTQEVQQIPGDREKLTSDD >ENSMUSP00000124915.1 pep:known chromosome:GRCm38:2:32762263:32775330:-1 gene:ENSMUSG00000039021.15 transcript:ENSMUST00000161089.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc16 description:tetratricopeptide repeat domain 16 [Source:MGI Symbol;Acc:MGI:2443048] MTDPTKRRMIGSTVPAKVREYYNQGHQCLLQEDWEMSVLFFSRALHLDPKLVDFYVFRAE AFIQLCDFSSALQNLRRAYSYDPGNNKYLNRLAFVLYLQGQCLYELCDFQEALCVFLQAS DLQPQNASFSYRCMACLLALKRYHDCLALITREVKQGRASADVYILRARLYNFFQKAKLC YQDLRSALLLDPLHAQAKGLLQKMVDQAKQSLQDASTLAVQGKVHRALKCINCAIENNPL DPNFFFFRGTLRRRLQQFDHAVEDFLKAMDMVTDTQDNLVKQAQRQLLLTYNDFAVHCYN HGAYQEGVLLLNKAIRDEQNEKGLYINRGDCFFQLGNLAFAEADYKQALALSPLDEGANL RMGVLQEKLGFCQQKHRQFQTAEEHFSEAIRHSPQKPQYYLHRAKCRQFLQNTLGARLDV ATVLLLNPEYPKMAAVMNTLFPSMTVENVLKSQVAELAKLQLSRMIENGPKNIYPQSTVV QRLLERRKAQVLVKLWKQERLGTPEEEVTLYQAPQLAEEKKVKTARRRTSLTDSYADQTS SGSVFSIVSISTSGPEMSTSQEYKSSSHTAIESSESTLLKPQLSVPRKSQELTWSPKVVQ AVTENLIQNATEVTPAYGQRDSKKATQVPKPKKTEDPKDPSQSTSTTEAPEGPRPSKSRS TLSVKERIRRAKAVRAQGWKLKAQRSSQKVTKTPSLTHSTTHSDIGESANDTPGQTPWPS KAADSLSFSEISSTDLSSSESFLELTNLLTQEVQQIPGDREKLTSDD >ENSMUSP00000123927.1 pep:known chromosome:GRCm38:2:32762263:32775339:-1 gene:ENSMUSG00000039021.15 transcript:ENSMUST00000161950.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc16 description:tetratricopeptide repeat domain 16 [Source:MGI Symbol;Acc:MGI:2443048] MTDPTKRRMIGSTVPAKVREYYNQGHQCLLQEDWEMSVLFFSRALHLDPKLVDFYVFRAE AFIQLCDFSSALQNLRRAYSYDPGNNKYLNRLAFVLYLQGQCLYELCDFQEALCVFLQAS DLQPQNASFSYRCMACLLALKRYHDCLALITREVKQGRASADVYILRARLYNFFQKAKLC YQDLRSALLLDPLHAQAKGLLQKMVDQAKQSLQDASTLAVQGKVHRALKCINCAIENNPL DPNFFFFRGTLRRRLQQFDHAVEDFLKAMDMVTDTQDNLVKQAQRQLLLTYNDFAVHCYN HGAYQEGVLLLNKAIRDEQNEKGLYINRGDCFFQLGNLAFAEADYKQALALSPLDEGANL RMGVLQEKLGFCQQKHRQFQTAEEHFSEAIRHSPQKPQYYLHRAKCRQFLQNTLGARLDV ATVLLLNPEYPKMAAVMNTLFPSMTVENVLKSQVAELAKLQLSRMIENGPKNIYPQSTVV QRLLERRKAQVLVKLWKQERLGTPEEEVTLYQAPQLAEEKKVKTARRRTSLTDSYADQTS SGSVFSIVSISTSGPEMSTSQEYKSSSHTAIESSESTLLKPQLSVPRKSQELTWSPKVVQ AVTENLIQNATEVTPAYGQRDSKKATQVPKPKKTEDPKDPSQSTSTTEAPEGPRPSKSRS TLSVKERIRRAKAVRAQGWKLKAQRSSQKVTKTPSLTHSTTHSDIGESANDTPGQTPWPS KAADSLSFSEISSTDLSSSESFLELTNLLTQEVQQIPGDREKLTSDD >ENSMUSP00000125210.1 pep:known chromosome:GRCm38:2:32764129:32775633:-1 gene:ENSMUSG00000039021.15 transcript:ENSMUST00000125891.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ttc16 description:tetratricopeptide repeat domain 16 [Source:MGI Symbol;Acc:MGI:2443048] MTDRSKEPHSARSQKTPKLKVPEAPEKILRRIFGTSHVFYVMTDPTKRRMIGSTVPAKVR EYPEKVLGWSQINTRSTACPVLSCPVLTAITKAISASYKRTGRCLCCSSPVPSTWTPNW >ENSMUSP00000124054.1 pep:known chromosome:GRCm38:2:32774507:32775631:-1 gene:ENSMUSG00000039021.15 transcript:ENSMUST00000161958.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ttc16 description:tetratricopeptide repeat domain 16 [Source:MGI Symbol;Acc:MGI:2443048] MTDRSKEPHSARSQKTPKLKVPE >ENSMUSP00000062589.4 pep:known chromosome:GRCm38:X:140539528:140543187:-1 gene:ENSMUSG00000031431.13 transcript:ENSMUST00000055738.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d3 description:TSC22 domain family, member 3 [Source:MGI Symbol;Acc:MGI:1196284] MNTEMYQTPMEVAVYQLHNFSISFFSSLLGGDVVSVKLDNSASGASVVALDNKIEQAMDL VKNHLMYAVREEVEVLKEQIRELLEKNSQLERENTLLKTLASPEQLEKFQSRLSPEEPAP EAPETPETPEAPGGSAV >ENSMUSP00000108620.2 pep:known chromosome:GRCm38:X:140540262:140600659:-1 gene:ENSMUSG00000031431.13 transcript:ENSMUST00000112996.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d3 description:TSC22 domain family, member 3 [Source:MGI Symbol;Acc:MGI:1196284] MAQPKTECRSPVGLDCCNCCLDLANRCELQKEKSGESPGSPFVSNFRQLQEKLVFENLNT DKLNNIMRQDSMEPVVRDPCYLINEGICNRNIDQTMLSILLFFHSASGASVVALDNKIEQ AMDLVKNHLMYAVREEVEVLKEQIRELLEKNSQLERENTLLKTLASPEQLEKFQSRLSPE EPAPEAPETPETPEAPGGSAV >ENSMUSP00000123569.1 pep:known chromosome:GRCm38:X:140540351:140548338:-1 gene:ENSMUSG00000031431.13 transcript:ENSMUST00000123898.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tsc22d3 description:TSC22 domain family, member 3 [Source:MGI Symbol;Acc:MGI:1196284] MTFQPPYSPSLFRKGDNASGASVVALDNKIEQAMDLVKNHLMYAVREEVEVLKEQIRELL EKNSQLERENTLLKTLASPEQLEKFQSRLSPEEPAPEAPETPETPEAPGGSAV >ENSMUSP00000054445.3 pep:known chromosome:GRCm38:7:10633587:10634483:1 gene:ENSMUSG00000045340.3 transcript:ENSMUST00000055847.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn1r70 description:vomeronasal 1 receptor 70 [Source:MGI Symbol;Acc:MGI:2159699] MTSRDLTLGIFFLSQIVLGMLGNSALLCCFIIADFTGVRTRPIDLIVKHLTWANIMVVLC KGIPQTMTAFGHTYFLDDITCKLVFYLHRVCRGFSLGCTCLLSVFQAITISLNNSKSAQF KARAPKIISSSLVLCWSLCLLVNTFMILTVTDMKDKRNLTEFRQLVYCSAVKNFKHIHTV YAIILAFSDAICLGLMVCTSGSMVLFLVKHKQKVQYIHRSLATKSFNETKATQSICMLVS SFVVLYGTSFILMMYFSFQDGTDTWLVSVNVAMSACFPALCPFLLTRNYTRVFKLCSI >ENSMUSP00000140813.1 pep:known chromosome:GRCm38:17:23790662:23808518:1 gene:ENSMUSG00000039218.16 transcript:ENSMUST00000186961.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm2 description:serine/arginine repetitive matrix 2 [Source:MGI Symbol;Acc:MGI:1923206] MYNGIGLPTPRGSGTNGYVQRNLSLVRGRRGERPDYKGEEELRHLEAALVKRPNPDILDH ERKRRVELRCLELEEMMEEQGYEEQQIQEKVATFRLMLLEKDVNPGAKEETPGQRPVVTE THQLAELNEKKNERLRAAFGISDSYVDGSSFDPQRRAREAKQIAPEPPKPY >ENSMUSP00000139842.1 pep:known chromosome:GRCm38:17:23803187:23824736:1 gene:ENSMUSG00000039218.16 transcript:ENSMUST00000190686.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm2 description:serine/arginine repetitive matrix 2 [Source:MGI Symbol;Acc:MGI:1923206] MYNGIGLPTPRGSGTNGYVQRNLSLVRGRRGERPDYKGEEELRHLEAALVKRPNPDILDH ERKRRVELRCLELEEMMEEQGYEEQQIQEKVATFRLMLLEKDVNPGAKEETPGQRPVVTE THQLAELNEKKNERLRAAFGISDSYVDGSSFDPQRRAREAKQIAPEPPKPYSLVRETSSS RSPTPKQKKKKKKKDRGRRSESSSPRRERKKSSKKKKHRSESESKKRKHRSPTPKSKRKS KDKKRKRSRSTTPAPKSRRAHRSTSADSASSSDTSRSRSRSAAAKIHTTALTGQSPPLAS GHQGEGDAPSVEPGATNIQQPSSPAPSTKQSSSPYEDKDKKEKSAVRPSPSPERSSTGPE LPAPTPLLVEQHVDSPRPLAAIPSSQEPVNPSSEASPTRGCSPPKSPEKPPQSTSSESCP PSPQPTKGSRHASSSPESLKPTPAPGSRREISSSPTSKNRSHGRAKRDKSHSHTPSHRAG RSRSPATKRGRSRSRTPTKRGHSRSRSPQWRRSRSAQRWGKSRSPQRRGRSRSPQRPGWS RSRNTQRRGRSRSARRGRSHSRSPATRGRSRSRTPARRGRSRSRTPARRRSRSRTPARRR SRSRTPARRGRSRSRTPARRRSRTRSPVRRRSRSRSQARRSGRSRSRTPARRSGRSRSRT PARRGRSRSRTPARRSARSRSRTPARRGRSRSRTPARRRSRSRSLVRRGRSHSRTPQRRG RSGSSSERKNKSRTSQRRSRSNSSPEMKKSHVSSRRSRSLSSPRSKAKSLRRSLSGSSPC PKQKSQTPTRRSRSGSSPPKQKSKTPPRQSRSNSPQPKVKSGTPPRPGSVTNMQADECTA TPQRQSHSESSPDGEVKSRTPSRQSCSGSSPRVKSSTPPRQSPSRSSSPQPKVKTVISPR GRSHSSSSSPSPSRVTSRTPQRKSRSISPCPKVDSRLRHSRSRSSSPDSKMELGTPLRHS GSTSPYLKSMLQTPPDQNLSGSKSPCPQKSRDSPTGSSGSFHLCPGVTPSSIVPGESCFS ASFVQQKGHTQTWPDTSSPEVMQTQVESPLLQSKSQTSPKGSLSRSSSPVTELTARSPVK QDKSEISTDPKLKSGMSPEQSKTKPDSSIYPLVDSKSFLVQSRLEPSELKERLGLIQEDV ASSCIPRDKFSPTQDRPESSTVLKVTPRVLLKERSGAGSPPGKRDQKSLLPNSSQDELME VEKSEQPLSQVLPSLSPEHKEMPGSNIESSPEVEERPAVLSALDQSQSQPSKAAETPAVA SCWSGPQVSPEHKELSHSPPRENSFESSLEFKNSGPVSEVNTGFSPEVKEELNGSFLNQT EADPSVDMKEQSRSSRRSSSELSPEVVEKVGLFSSQKVSSPVLETVQQRTPSRERSSSAS PELKDGLPRTPSRRSRSGSSPGLRDGSGTPSRHSLSGSSPGMKDTPQTPSRGRSECDSSP EPKALPQTPRARSHSPSSPERNNKSVTPQRERSGSESSVEQKNLARTSPGQRSRSGSSQE LDGKPSASPQERSESDSSPDSKPKTRTPLRQRSHSGSSPEVDSKSRHSPRLSRSGSSPEM KDKPRVLQRAQSGTDSSPEHKIPAPRALPRHSRSGSSSKERGPSPEGSSSSESSPEHAPK SRTARRGSRSSIEPKTKSHTPPRRRSSRSSPELTRKARVSRRSRSASSSPEIRSRTPPRR RRSPSVSSPEPTEKSRSSRRRRSVSSPRTKTTSRRGRSPSPKPRGLQRSRSRSRREKTRT TRRRDRSGSSQSTSRRRQRSRSRSRVTRRRRGGSGYHSRSPTRQESSRTSSRRRRGRSRT PLTSRKRSRSRTSPAPWKRSRSRASPATHRRSRSRTPLISRRRSRSRTSPVSRRRSRSVN RRRSRSRASPVSRRRSRSRTPPVTRRRSRSRTPTRRRSRSRTPPVTRRRSRSRTPPVTRR RSRSRTSPVTRRRSRSRTSPVTRRRSRSRTSPVTRRRSRSRTSPVTRRRSRSRTPPAIRR RSRSRTPLLPRKRSRSRSPLAIRRRSRSRTPRAARGKRSLTRSPPAIRRRSASGSSSDRS RSATPPATRNHSGSRTPPVALSSSRMSCFSRPSMSPTPLDRCRSPGMLEPLGSARTPMSV LQQTGGSMMDGPGPRIPDHPRSSVPENHAQSRIALALTAISLGTARPPPSMSAAGLAARM SQVPAPVPLMSLRTAPAANLASRIPAASAAAMNLASARTSAIPASVNLADSRTPAAAAAM NLASPRTAVAPSAVNLADPRTPAASAVNLAGARTPAALAALSLTGSGTPPTAANYPSSSR TPQAPTPANLVVGPRSAHGTAPVNIAGSRTPAGLAPTNLSSSRMAPALSGANLTSPRVPL SAYDRVSGRTSPLMLDRARSRTPPSAPSQSRMTSERERAPSPASRMVQASSQSLLPPAQD RPRSPVPSAFSDQSRSVVQTTPVAGSQSLSSGTVAKSTSSASDHNGMLSGPAPGISHAEG GEPPASTGAQQPSTLAALQPAKERRSSSSSSSSSSSSSSSSSSSSSSSSSGSSSSDSEGS SLPAQPEVALKRVPSPTPVPKEAIREGRPQEPTPAKRKRRSSSSSSSSSSSSSSSSSSSS SSSSSSSSSSSSSSSSSSSSSSPSPAKPGPQALPKPASPKKPPPGERRSRSPRKPIDSLR DSRSLSYSPVERRQPSPQPSPRDLQSSERVSWRGQRGDSHSPGHKRKETPSPRSNRHRSS RSP >ENSMUSP00000085993.4 pep:known chromosome:GRCm38:17:23803221:23824741:1 gene:ENSMUSG00000039218.16 transcript:ENSMUST00000088621.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm2 description:serine/arginine repetitive matrix 2 [Source:MGI Symbol;Acc:MGI:1923206] MLLEKDVNPGAKEETPGQRPVVTETHQLAELNEKKNERLRAAFGISDSYVDGSSFDPQRR AREAKQIAPEPPKPYSLVRETSSSRSPTPKQKKKKKKKDRGRRSESSSPRRERKKSSKKK KHRSESESKKRKHRSPTPKSKRKSKDKKRKRSRSTTPAPKSRRAHRSTSADSASSSDTSR SRSRSAAAKIHTTALTGQSPPLASGHQGEGDAPSVEPGATNIQQPSSPAPSTKQSSSPYE DKDKKEKSAVRPSPSPERSSTGPELPAPTPLLVEQHVDSPRPLAAIPSSQEPVNPSSEAS PTRGCSPPKSPEKPPQSTSSESCPPSPQPTKGSRHASSSPESLKPTPAPGSRREISSSPT SKNRSHGRAKRDKSHSHTPSHRAGRSRSPATKRGRSRSRTPTKRGHSRSRSPQWRRSRSA QRWGKSRSPQRRGRSRSPQRPGWSRSRNTQRRGRSRSARRGRSHSRSPATRGRSRSRTPA RRGRSRSRTPARRRSRSRTPARRRSRSRTPARRGRSRSRTPARRRSRTRSPVRRRSRSRS QARRSGRSRSRTPARRSGRSRSRTPARRGRSRSRTPARRSARSRSRTPARRGRSRSRTPA RRRSRSRSLVRRGRSHSRTPQRRGRSGSSSERKNKSRTSQRRSRSNSSPEMKKSHVSSRR SRSLSSPRSKAKSLRRSLSGSSPCPKQKSQTPTRRSRSGSSPPKQKSKTPPRQSRSNSPQ PKVKSGTPPRPGSVTNMQADECTATPQRQSHSESSPDGEVKSRTPSRQSCSGSSPRVKSS TPPRQSPSRSSSPQPKVKTVISPRGRSHSSSSSPSPSRVTSRTPQRKSRSISPCPKVDSR LRHSRSRSSSPDSKMELGTPLRHSGSTSPYLKSMLQTPPDQNLSGSKSPCPQKSRDSPTG SSGSFHLCPGVTPSSIVPGESCFSASFVQQKGHTQTWPDTSSPEVMQTQVESPLLQSKSQ TSPKGSLSRSSSPVTELTARSPVKQDKSEISTDPKLKSGMSPEQSKTKPDSSIYPLVDSK SFLVQSRLEPSELKERLGLIQEDVASSCIPRDKFSPTQDRPESSTVLKVTPRVLLKERSG AGSPPGKRDQKSLLPNSSQDELMEVEKSEQPLSQVLPSLSPEHKEMPGSNIESSPEVEER PAVLSALDQSQSQPSKAAETPAVASCWSGPQVSPEHKELSHSPPRENSFESSLEFKNSGP VSEVNTGFSPEVKEELNGSFLNQTEADPSVDMKEQSRSSRRSSSELSPEVVEKVGLFSSQ KVSSPVLETVQQRTPSRERSSSASPELKDGLPRTPSRRSRSGSSPGLRDGSGTPSRHSLS GSSPGMKDTPQTPSRGRSECDSSPEPKALPQTPRARSHSPSSPERNNKSVTPQRERSGSE SSVEQKNLARTSPGQRSRSGSSQELDGKPSASPQERSESDSSPDSKPKTRTPLRQRSHSG SSPEVDSKSRHSPRLSRSGSSPEMKDKPRVLQRAQSGTDSSPEHKIPAPRALPRHSRSGS SSKERGPSPEGSSSSESSPEHAPKSRTARRGSRSSIEPKTKSHTPPRRRSSRSSPELTRK ARVSRRSRSASSSPEIRSRTPPRRRRSPSVSSPEPTEKSRSSRRRRSVSSPRTKTTSRRG RSPSPKPRGLQRSRSRSRREKTRTTRRRDRSGSSQSTSRRRQRSRSRSRVTRRRRGGSGY HSRSPTRQESSRTSSRRRRGRSRTPLTSRKRSRSRTSPAPWKRSRSRASPATHRRSRSRT PLISRRRSRSRTSPVSRRRSRSVNRRRSRSRASPVSRRRSRSRTPPVTRRRSRSRTPTRR RSRSRTPPVTRRRSRSRTPPVTRRRSRSRTSPVTRRRSRSRTSPVTRRRSRSRTSPVTRR RSRSRTSPVTRRRSRSRTPPAIRRRSRSRTPLLPRKRSRSRSPLAIRRRSRSRTPRAARG KRSLTRSPPAIRRRSASGSSSDRSRSATPPATRNHSGSRTPPVALSSSRMSCFSRPSMSP TPLDRCRSPGMLEPLGSARTPMSVLQQTGGSMMDGPGPRIPDHPRSSVPENHAQSRIALA LTAISLGTARPPPSMSAAGLAARMSQVPAPVPLMSLRTAPAANLASRIPAASAAAMNLAS ARTSAIPASVNLADSRTPAAAAAMNLASPRTAVAPSAVNLADPRTPAASAVNLAGARTPA ALAALSLTGSGTPPTAANYPSSSRTPQAPTPANLVVGPRSAHGTAPVNIAGSRTPAGLAP TNLSSSRMAPALSGANLTSPRVPLSAYDRVSGRTSPLMLDRARSRTPPSAPSQSRMTSER ERAPSPASRMVQASSQSLLPPAQDRPRSPVPSAFSDQSRSVVQTTPVAGSQSLSSGTVAK STSSASDHNGMLSGPAPGISHAEGGEPPASTGAQQPSTLAALQPAKERRSSSSSSSSSSS SSSSSSSSSSSSSSGSSSSDSEGSSLPAQPEVALKRVPSPTPVPKEAIREGRPQEPTPAK RKRRSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSPSPAKPGPQALPKP ASPKKPPPGERRSRSPRKPIDSLRDSRSLSYSPVERRQPSPQPSPRDLQSSERVSWRGQR GDSHSPGHKRKETPSPRSNRHRSSRSP >ENSMUSP00000139901.1 pep:known chromosome:GRCm38:17:23807918:23810006:1 gene:ENSMUSG00000039218.16 transcript:ENSMUST00000191385.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srrm2 description:serine/arginine repetitive matrix 2 [Source:MGI Symbol;Acc:MGI:1923206] MLLEKDVNPGAKEETPGQRPVVTETHQLAELNEKKNERLRAAFGISDSYVDGSSFDPQRR AREAKQIAPEPPKPYSLVRETSSSRSPT >ENSMUSP00000139914.1 pep:known chromosome:GRCm38:17:23811672:23812600:1 gene:ENSMUSG00000039218.16 transcript:ENSMUST00000186045.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srrm2 description:serine/arginine repetitive matrix 2 [Source:MGI Symbol;Acc:MGI:1923206] XRAHRSTSADSASSSDTSRSRRCTDHSEDTVPAL >ENSMUSP00000140531.1 pep:known chromosome:GRCm38:17:23821387:23822660:1 gene:ENSMUSG00000039218.16 transcript:ENSMUST00000186259.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srrm2 description:serine/arginine repetitive matrix 2 [Source:MGI Symbol;Acc:MGI:1923206] XGTVAKSTSSASDHNGMLSGPAPGISHAEGGEPPASTGAQQPSTLAALQPAKERRSSSSS SSSSSSSSSSSSSSSSSSSSGSSSSDSEGSSLPAQPEVALKRGQN >ENSMUSP00000097743.3 pep:known chromosome:GRCm38:16:14361560:14475737:1 gene:ENSMUSG00000023088.16 transcript:ENSMUST00000100167.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc1 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 1 [Source:MGI Symbol;Acc:MGI:102676] MALRSFCSADGSDPLWDWNVTWHTSNPDFTKCFQNTVLTWVPCFYLWSCFPLYFFYLSRH DRGYIQMTHLNKTKTALGFFLWIICWADLFYSFWERSQGVLRAPVLLVSPTLLGITMLLA TFLIQLERRKGVQSSGIMLTFWLVALLCALAILRSKIISALKKDAHVDVFRDSTFYLYFT LVLVQLVLSCFSDCSPLFSETVHDRNPCPESSASFLSRITFWWITGMMVHGYRQPLESSD LWSLNKEDTSEEVVPVLVNNWKKECDKSRKQPVRIVYAPPKDPSKPKGSSQLDVNEEVEA LIVKSPHKDREPSLFKVLYKTFGPYFLMSFLYKALHDLMMFAGPKILELIINFVNDREAP DWQGYFYTALLFVSACLQTLALHQYFHICFVSGMRIKTAVVGAVYRKALLITNAARKSST VGEIVNLMSVDAQRFMDLATYINMIWSAPLQVILALYFLWLSLGPSVLAGVAVMILMVPL NAVMAMKTKTYQVAHMKSKDNRIKLMNEILNGIKVLKLYAWELAFQDKVMSIRQEELKVL KKSAYLAAVGTFTWVCTPFLVALSTFAVFVTVDERNILDAKKAFVSLALFNILRFPLNIL PMVISSIVQASVSLKRLRIFLSHEELEPDSIERRSIKSGEGNSITVKNATFTWARGEPPT LNGITFSIPEGALVAVVGQVGCGKSSLLSALLAEMDKVEGHVTLKGSVAYVPQQAWIQND SLRENILFGHPLQENYYKAVMEACALLPDLEILPSGDRTEIGEKGVNLSGGQKQRVSLAR AVYSNSDIYLFDDPLSAVDAHVGKHIFEKVVGPMGLLKNKTRILVTHGISYLPQVDVIIV MSGGKISEMGSYQELLDRDGAFAEFLRTYANAEQDLASEDDSVSGSGKESKPVENGMLVT DTVGKHLQRHLSNSSSHSGDTSQQHSSIAELQKAGAKEETWKLMEADKAQTGQVQLSVYW NYMKAIGLFITFLSIFLFLCNHVSALASNYWLSLWTDDPPVVNGTQANRNFRLSVYGALG ILQGAAIFGYSMAVSIGGIFASRRLHLDLLYNVLRSPMSFFERTPSGNLVNRFSKELDTV DSMIPQVIKMFMGSLFSVIGAVIIILLATPIAAVIIPPLGLVYFFVQRFYVASSRQLKRL ESVSRSPVYSHFNETLLGVSVIRAFEEQERFIHQSDLKVDENQKAYYPSIVANRWLAVRL ECVGNCIVLFAALFAVISRHSLSAGLVGLSVSYSLQITAYLNWLVRMSSEMETNIVAVER LKEYSETEKEAPWQIQETAPPSTWPHSGRVEFRDYCLRYREDLDLVLKHINVTIEGGEKV GIVGRTGAGKSSLTLGLFRINESAEGEIIIDGVNIAKIGLHNLRFKITIIPQDPVLFSGS LRMNLDPFSQYSDEEVWMALELAHLKGFVSALPDKLNHECAEGGENLSVGQRQLVCLARA LLRKTKILVLDEATAAVDLETDNLIQSTIRTQFEDCTVLTIAHRLNTIMDYTRVIVLDKG EVRECGAPSELLQQRGIFYSMAKDAGLV >ENSMUSP00000122656.1 pep:known chromosome:GRCm38:16:14361558:14473902:1 gene:ENSMUSG00000023088.16 transcript:ENSMUST00000133454.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcc1 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 1 [Source:MGI Symbol;Acc:MGI:102676] MALRSFCSADGSDPLWDWNVTWHTSNPDFTKCFQNTVLTWVPCFYLWSCFPLYFFYLSRH DRGYIQMTHLNKTKTALGFFLWIICWADLFYSFWERSQGVLRAPVLLVSPTLLGITMLLA TFLIQLERRKGVQSSGIMLTFWLVALLCALAILRSKIISALKKDAHVDVFRDSTFYLYFT LVLVQLVLSCFSDCSPLFSETVHDRNPCPESSASFLSRITFWWITGMMVHGYRQPLESSD LWSLNKEDTSEEVVPVLVNNWKKECDKSRKQPVRIVYAPPKDPSKPKGSSQLDVNEEVEA LIVKSPHKDREPSLFKVLYKTFGPYFLMSFLYKALHDLMMFAGPKILELIINFVNDREAP DWQGYFYTALLFVSACLQTLALHQYFHICFVSGMRIKTAVVGAVYRKALLITNAARKSST VGEIVNLMSVDAQRFMDLATYINMIWSAPLQVILALYFLWLSLGPSVLAGVAVMILMVPL NAVMAMKTKTYQVAHMKSKDNRIKLMNEILNGIKVLKLYAWELAFQDKVMSIRQEELKVL KKSAYLAAVGTFTWVCTPFLVALSTFAVFVTVDERNILDAKKAFVSLALFNILRFPLNIL PMVISSIVQASVSLKRLRIFLSHEELEPDSIERRSIKSGGRRE >ENSMUSP00000115627.1 pep:known chromosome:GRCm38:16:14361558:14473902:1 gene:ENSMUSG00000023088.16 transcript:ENSMUST00000147759.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcc1 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 1 [Source:MGI Symbol;Acc:MGI:102676] MALRSFCSADGSDPLWDWNVTWHTSNPDFTKCFQNTVLTWVPCFYLWSCFPLYFFYLSRH DRGYIQMTHLNKTKTALGFFLWIICWADLFYSFWERSQGVLRAPVLLVSPTLLGITMLLA TFLIQLERRKGVQSSGIMLTFWLVALLCALAILRSKIISALKKDAHVDVFRDSTFYLYFT LVLVQLVLSCFSDCSPLFSETVHDRNPCPESSASFLSRITFWWITGMMVHGYRQPLESSD LWSLNKEDTSEEVVPVLVNNWKKECDKSRKQPVRIVYAPPKDPSKPKGSSQLDVNEEVEA LIVKSPHKDREPSLFKVLYKTFGPYFLMSFLYKALHDLMMFAGPKILELIINFVNDREAP DWQGYFYTALLFVSACLQTLALHQYFHICFVSGMRIKTAVVGAVYRKALLITNAARKSST VGEIVNLMSVDAQRFMDLATYINMIWSAPLQVILALYFLWLSLGPSVLAGVAVMILMVPL NAVMAMKTKTYQVAHMKSKDNRIKLMNEILNGIKVLKLYAWELAFQDKVMSIRQEELKVL KKSAYLAAVGTFTWVCTPFLVALSTFAVFVTVDERNILDAKKAFVSLALFNILRFPLNIL PMVISSIVQASVSLKRLRIFLSHEELEPDSIERRSIKSGGRRE >ENSMUSP00000116726.1 pep:known chromosome:GRCm38:16:14365762:14391443:1 gene:ENSMUSG00000023088.16 transcript:ENSMUST00000144676.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc1 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 1 [Source:MGI Symbol;Acc:MGI:102676] MVDWNVTWHTSNPDFTKCFQNTVLTWVPCFYLWSCFPLYFFYLSRHDRGYIQMTHLNKTK TALGFFLWIICWADLFYSFWERSQGVLRAPVLLVSPTLLGITM >ENSMUSP00000115763.1 pep:known chromosome:GRCm38:16:14361576:14396405:1 gene:ENSMUSG00000023088.16 transcript:ENSMUST00000154748.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc1 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 1 [Source:MGI Symbol;Acc:MGI:102676] MALRSFCSADGSDPLWDWNVTWHTSNPDFTKCFQNTVLTWVPCFYLWSCFPLYFFYLSRH DRGYIQMTHLNKTKTGRGIGLLDKVLHNALGFFLWIICWADLFYSFWERSQGVLRAPVLL VSPTLLGITMLLATFLIQLERRKGVQSSGIMLTFWLVALLCALAILRSKIISALKKDAHV DVFRDSTFYLYFTL >ENSMUSP00000116714.1 pep:known chromosome:GRCm38:16:14361558:14473902:1 gene:ENSMUSG00000023088.16 transcript:ENSMUST00000130671.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Abcc1 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 1 [Source:MGI Symbol;Acc:MGI:102676] MALRSFCSADGSDPLWDWNVTWHTSNPDFTKCFQNTVLTWVPCFYLWSCFPLYFFYLSRH DRGYIQMTHLNKTKTALGFFLWIICWADLFYSFWERSQGVLRAPVLLVSPTLLGITMLLA TFLIQLERRKGVQSSGIMLTFWLVALLCALAILRSKIISALKKDAHVDVFRDSTFYLYFT LVLVQLVLSCFSDCSPLFSETVHDRNPCPESSASFLSRITFWWITGMMVHGYRQPLESSD LWSLNKEDTSEEVVPVLVNNWKKECDKSRKQPVRIVYAPPKDPSKPKGSSQLDVNEEVEA LIVKSPHKDREPSLFKVLYKTFGPYFLMSFLYKALHDLMMFAGPKILELIINFVNDREAP DWQGYFYTALLFVSACLQTLALHQYFHICFVSGMRIKTAVVGAVYRKALLITNAARKSST VGEIVNLMSVDAQRFMDLATYINMIWSAPLQVILALYFLWLSLGPSVLAGVAVMILMVPL NAVMAMKTKTYQVAHMKSKDNRIKLMNEILNGIKVLKLYAWELAFQDKVMSIRQEELKVL KKSAYLAAVGTFTWVCTPFLVALSTFAVFVTVDERNILDAKKAFVSLALFNILRFPLNIL PMVISSIVQASVSLKRLRIFLSHEELEPDSIERRSIKSGGRRE >ENSMUSP00000120933.1 pep:known chromosome:GRCm38:16:14361581:14405061:1 gene:ENSMUSG00000023088.16 transcript:ENSMUST00000134776.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Abcc1 description:ATP-binding cassette, sub-family C (CFTR/MRP), member 1 [Source:MGI Symbol;Acc:MGI:102676] MALRSFCSADGSDPLWDWNVTWHTSNPDFTKCFQNTVLTWVPCFYLWSCFPLYFFYLSRH DRGYIQMTHLNKTKTALGFFLWIICWADLFYSFWERSQGVLRAPVLLVSPTLLGITMLLA TFLIQLERRKGVQSSGIMLTFWLVALLCALAILRSKIISALKKNPCPESSASFLSRITFW WITGMMVHGYRQPLESSDL >ENSMUSP00000078424.2 pep:known chromosome:GRCm38:14:52409914:52410927:-1 gene:ENSMUSG00000063106.4 transcript:ENSMUST00000079459.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1510 description:olfactory receptor 1510 [Source:MGI Symbol;Acc:MGI:3031344] MRRTRNTSLDAVVTDFLLLGLAHPPNLRAFLFLVFFLIYILTQLGNLLILLTVWADPKLH ARPMYILLGVLSFLDMWLSSVIVPRLILNFTPASKAIPFGGCAAQLYFFHFLGSTQCFLY TLMAYDRYLAICQPLRYPVLMNGKLCTILVAGAWVAGSIHGSIQTTLTFRLPYCGPNQID YFICDIPAVLRLACADTTVNELVTFVDIGVVAASCFMLILLSYANIVHAILKIRTADGRK RAFSTCGSHLTVVTVYYVPCIFIYLRAGSKSPFDGAVAVFYTVVTPLLNPLIYTLRNQEV KSALKRLTAGRRDVGGEK >ENSMUSP00000145555.1 pep:known chromosome:GRCm38:14:52410176:52414373:-1 gene:ENSMUSG00000063106.4 transcript:ENSMUST00000206257.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1510 description:olfactory receptor 1510 [Source:MGI Symbol;Acc:MGI:3031344] MRRTRNTSLDAVVTDFLLLGLAHPPNLRAFLFLVFFLIYILTQLGNLLILLTVWADPKLH ARPMYILLGVLSFLDMWLSSVIVPRLILNFTPASKAIPFGGCAAQLYFFHFLGSTQCFLY TLMAYDRYLAICQPLRYPVLMNGKLCTILVAGAWVAGSIHGSIQTTLTFRLPYCGPNQID YFICDIPAVLRLACADTTVNELVTFVDIGVVAASCFMLILLSYANIVHAIL >ENSMUSP00000048557.4 pep:known chromosome:GRCm38:7:30555441:30559646:-1 gene:ENSMUSG00000036845.12 transcript:ENSMUST00000043975.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin37 description:lin-37 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1922910] MFPVKVKVEKSEMEMAKARNQLDAVLQCLLEKSHMDRERLDEEAGKTPLDTHNKDCSIAA TGKRPSARFPHQRRKKRREMDDGLAEGGPQRSNTYVIKLFDRSVDLAQFSENTPLYPICR AWMRNSPTVRERERSPGSPLPPLPEDGEGSEVINSKNRDVYKLPPPTAPGPLGDACRSRI PSPLQPETEGTPDDEPSEPEPSPSTLIYRNMQRWKRIRQRWKEASHRNQLRYSESMKILR EMYDRQ >ENSMUSP00000103799.1 pep:known chromosome:GRCm38:7:30555445:30559838:-1 gene:ENSMUSG00000036845.12 transcript:ENSMUST00000108164.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin37 description:lin-37 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1922910] MEMAKARNQLDAVLQCLLEKSHMDRERLDEEAGKTPLDTHNKDCSIAATGKRPSARFPHQ RRKKRREMDDGLAEGGPQRSNTYVIKLFDRSVDLAQFSENTPLYPICRAWMRNSPTVRER ERSPGSPLPPLPEDGEGSEVINSKNRDVYKLPPPTAPGPLGDACRSRIPSPLQPETEGTP DDEPSEPEPSPSTLIYRNMQRWKRIRQRWKEASHRNQLRYSESMKILREMYDRQ >ENSMUSP00000122248.1 pep:known chromosome:GRCm38:7:30555860:30559659:-1 gene:ENSMUSG00000036845.12 transcript:ENSMUST00000156241.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lin37 description:lin-37 homolog (C. elegans) [Source:MGI Symbol;Acc:MGI:1922910] MFPVKVKVEKSEMEMAKARNQLDAVLQCLLEKSHMDRLEPGPAWGTTGERLDEEAGKTPL DTHNKDCSIAATGKRPSARFPHQRRKKRREMDDGLAEGGPQRSNTYVIKLFDRSVDLAQF SENTPLYPICRAWMRNSPTVRERERSPGSPLPPLPEDGEGSEVINSKNRDVYKLPPPTAP GPLGDACRSRIPSPLQPETEGT >ENSMUSP00000130245.1 pep:known chromosome:GRCm38:7:31068172:31076704:-1 gene:ENSMUSG00000057092.12 transcript:ENSMUST00000167369.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd3 description:FXYD domain-containing ion transport regulator 3 [Source:MGI Symbol;Acc:MGI:107497] MQEVVLSLLVLLAGLPTLDANDPENKNDPFYYDWYSLRVGGLICAGILCALGIIVLMSGK CKCKFRQKPSHRPGEGPPLITPGSAHNC >ENSMUSP00000144534.1 pep:known chromosome:GRCm38:7:31070538:31076669:-1 gene:ENSMUSG00000057092.12 transcript:ENSMUST00000072331.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd3 description:FXYD domain-containing ion transport regulator 3 [Source:MGI Symbol;Acc:MGI:107497] MSGKCKCKFRQKPSHRPGEGPPLITPGSAHNC >ENSMUSP00000128610.1 pep:known chromosome:GRCm38:7:31070884:31074952:-1 gene:ENSMUSG00000057092.12 transcript:ENSMUST00000171359.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fxyd3 description:FXYD domain-containing ion transport regulator 3 [Source:MGI Symbol;Acc:MGI:107497] MQEVVLSLLVLLAGLPTLDANDPENKNDPFYYDWYSLRVGGLICAGILCALGIIVLMSGK CKCKFRQKPSHR >ENSMUSP00000103056.1 pep:known chromosome:GRCm38:3:89377644:89387189:-1 gene:ENSMUSG00000028042.15 transcript:ENSMUST00000107433.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7b description:zinc finger and BTB domain containing 7B [Source:MGI Symbol;Acc:MGI:102755] MGSPEDDLIGIPFPDHSSELLSCLNEQRQLGHLCDLTIRTQGLEYRTHRAVLAACSHYFK KLFTEGGGGTVMGTGGGGTASGGAGAGVCELDFVGPEALGALLEFAYTATLTTSSANMPA VLQAARLLEIPCVIAACMEILQGSGLEAPSPDEDDCERARQYLEAFATATTTASTSGMPN GEDSPPQVPLLPPPPPPPRPVARRSRKPRKAFLQTKGARANHLVPEAPTVLTHPLTYEEE EMVGRLGNSGGSGLGDSYSPPTGAASPAEGPLNYEVFEGEEEEEEMAYPPGYGLAQSNEP SLSPEELGSDEDPIDPDLMAYLSSLHQDALTPGLDGQDKLVRKRRSQMPQECPVCHKIIH GAGKLPRHMRTHTGEKPFACEVCGVRFTRNDKLKIHMRKHTGERPYSCPHCPARFLHSYD LKNHMHLHTGDRPYECHLCHKAFAKEDHLQRHLKGQNCLEVRTRRRRKDDVAAPHYPPPS TTTSSPAGLDLSNGHLDTFHLSLARFWEQSATTGPPVTTQGPPEEEEEEGTPTTPQAEGA MESS >ENSMUSP00000103058.1 pep:known chromosome:GRCm38:3:89377644:89387671:-1 gene:ENSMUSG00000028042.15 transcript:ENSMUST00000107435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7b description:zinc finger and BTB domain containing 7B [Source:MGI Symbol;Acc:MGI:102755] MGSPEDDLIGIPFPDHSSELLSCLNEQRQLGHLCDLTIRTQGLEYRTHRAVLAACSHYFK KLFTEGGGGTVMGTGGGGTASGGAGAGVCELDFVGPEALGALLEFAYTATLTTSSANMPA VLQAARLLEIPCVIAACMEILQGSGLEAPSPDEDDCERARQYLEAFATATTTASTSGMPN GEDSPPQVPLLPPPPPPPRPVARRSRKPRKAFLQTKGARANHLVPEAPTVLTHPLTYEEE EMVGRLGNSGGSGLGDSYSPPTGAASPAEGPLNYEVFEGEEEEEEMAYPPGYGLAQSNEP SLSPEELGSDEDPIDPDLMAYLSSLHQDALTPGLDGQDKLVRKRRSQMPQECPVCHKIIH GAGKLPRHMRTHTGEKPFACEVCGVRFTRNDKLKIHMRKHTGERPYSCPHCPARFLHSYD LKNHMHLHTGDRPYECHLCHKAFAKEDHLQRHLKGQNCLEVRTRRRRKDDVAAPHYPPPS TTTSSPAGLDLSNGHLDTFHLSLARFWEQSATTGPPVTTQGPPEEEEEEGTPTTPQAEGA MESS >ENSMUSP00000103055.1 pep:known chromosome:GRCm38:3:89377644:89392069:-1 gene:ENSMUSG00000028042.15 transcript:ENSMUST00000107432.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7b description:zinc finger and BTB domain containing 7B [Source:MGI Symbol;Acc:MGI:102755] MGSPEDDLIGIPFPDHSSELLSCLNEQRQLGHLCDLTIRTQGLEYRTHRAVLAACSHYFK KLFTEGGGGTVMGTGGGGTASGGAGAGVCELDFVGPEALGALLEFAYTATLTTSSANMPA VLQAARLLEIPCVIAACMEILQGSGLEAPSPDEDDCERARQYLEAFATATTTASTSGMPN GEDSPPQVPLLPPPPPPPRPVARRSRKPRKAFLQTKGARANHLVPEAPTVLTHPLTYEEE EMVGRLGNSGGSGLGDSYSPPTGAASPAEGPLNYEVFEGEEEEEEMAYPPGYGLAQSNEP SLSPEELGSDEDPIDPDLMAYLSSLHQDALTPGLDGQDKLVRKRRSQMPQECPVCHKIIH GAGKLPRHMRTHTGEKPFACEVCGVRFTRNDKLKIHMRKHTGERPYSCPHCPARFLHSYD LKNHMHLHTGDRPYECHLCHKAFAKEDHLQRHLKGQNCLEVRTRRRRKDDVAAPHYPPPS TTTSSPAGLDLSNGHLDTFHLSLARFWEQSATTGPPVTTQGPPEEEEEEGTPTTPQAEGA MESS >ENSMUSP00000029677.8 pep:known chromosome:GRCm38:3:89377644:89393362:-1 gene:ENSMUSG00000028042.15 transcript:ENSMUST00000029677.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7b description:zinc finger and BTB domain containing 7B [Source:MGI Symbol;Acc:MGI:102755] MGSPEDDLIGIPFPDHSSELLSCLNEQRQLGHLCDLTIRTQGLEYRTHRAVLAACSHYFK KLFTEGGGGTVMGTGGGGTASGGAGAGVCELDFVGPEALGALLEFAYTATLTTSSANMPA VLQAARLLEIPCVIAACMEILQGSGLEAPSPDEDDCERARQYLEAFATATTTASTSGMPN GEDSPPQVPLLPPPPPPPRPVARRSRKPRKAFLQTKGARANHLVPEAPTVLTHPLTYEEE EMVGRLGNSGGSGLGDSYSPPTGAASPAEGPLNYEVFEGEEEEEEMAYPPGYGLAQSNEP SLSPEELGSDEDPIDPDLMAYLSSLHQDALTPGLDGQDKLVRKRRSQMPQECPVCHKIIH GAGKLPRHMRTHTGEKPFACEVCGVRFTRNDKLKIHMRKHTGERPYSCPHCPARFLHSYD LKNHMHLHTGDRPYECHLCHKAFAKEDHLQRHLKGQNCLEVRTRRRRKDDVAAPHYPPPS TTTSSPAGLDLSNGHLDTFHLSLARFWEQSATTGPPVTTQGPPEEEEEEGTPTTPQAEGA MESS >ENSMUSP00000123348.1 pep:known chromosome:GRCm38:3:89381138:89393678:-1 gene:ENSMUSG00000028042.15 transcript:ENSMUST00000126027.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7b description:zinc finger and BTB domain containing 7B [Source:MGI Symbol;Acc:MGI:102755] MGSPEDDLIGIPFPDHSSELLSCLNEQRQLGHLCDLTIRTQGLEYRTHRAVLAACSHYFK KLFTEGGGGTVMGTGGGGTASGGAGAGVCELDFVGPEALGALLEFAYTATLTTSSANMPA VLQA >ENSMUSP00000115425.1 pep:known chromosome:GRCm38:3:89381174:89393342:-1 gene:ENSMUSG00000028042.15 transcript:ENSMUST00000142119.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7b description:zinc finger and BTB domain containing 7B [Source:MGI Symbol;Acc:MGI:102755] MGSPEDDLIGIPFPDHSSELLSCLNEQRQLGHLCDLTIRTQGLEYRTHRAVLAACSHYFK KLFTEGGGGTVMGTGGGGTASGGAGAGVCELDFVGPEALGALLEFAYTATLT >ENSMUSP00000121498.1 pep:known chromosome:GRCm38:3:89381204:89393378:-1 gene:ENSMUSG00000028042.15 transcript:ENSMUST00000148361.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7b description:zinc finger and BTB domain containing 7B [Source:MGI Symbol;Acc:MGI:102755] MGSPEDDLIGIPFPDHSSELLSCLNEQRQLGHLCDLTIRTQGLEYRTHRAVLAACSHYFK KLFTEGGGGTVMGTGGGGTASGGAGAGVCELDFVGPEALGAL >ENSMUSP00000120641.1 pep:known chromosome:GRCm38:3:89381422:89393635:-1 gene:ENSMUSG00000028042.15 transcript:ENSMUST00000124783.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zbtb7b description:zinc finger and BTB domain containing 7B [Source:MGI Symbol;Acc:MGI:102755] MGSPEDDLIGIPFPDHSSELLSCLNEQRQ >ENSMUSP00000030032.6 pep:known chromosome:GRCm38:4:48585174:48663131:1 gene:ENSMUSG00000028347.14 transcript:ENSMUST00000030032.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmeff1 description:transmembrane protein with EGF-like and two follistatin-like domains 1 [Source:MGI Symbol;Acc:MGI:1926810] MGAQAPLRLPAAPPLAVCGYTSVLLLFAFCLPGSRASNQPAGGGGDCPGGRGKSNCSELN LRESDIRVCDESSCKYGGVCKEDGDGLKCACQFQCHTNYIPVCGSNGDTYQNECFLRRAA CKHQKDITVVARGPCYSDNGSGSGEGEEEGSGAGAHRKHSKCGPCKYKAECDEDAENVGC VCNIDCSGYSFNPVCASDGSSYNNPCFVREASCIKQEQIDIRHLGHCTDTDDVSLLGKKD DGLQYRPDVKDAGDEREDVYIGSHMPCPENLNGYCIHGKCEFIYSTQKASCRCESGYTGQ HCEKTDFSILYVVPSRQKLTHVLIAAIIGAVQIAIIVAIVMCITRKCPKNNRGRRQKQNL GHFTSDTSSRMV >ENSMUSP00000115841.1 pep:known chromosome:GRCm38:4:48585365:48662085:1 gene:ENSMUSG00000028347.14 transcript:ENSMUST00000123476.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmeff1 description:transmembrane protein with EGF-like and two follistatin-like domains 1 [Source:MGI Symbol;Acc:MGI:1926810] MGAQAPLRLPAAPPLAVCGYTSVLLLFAFCLPGSRASNQPAGGGGDCPGGRGKSNCSELN LRESDIRVCDESSCKYGGVCKEDGDGLKCACQFQCHTNYIPVCGSNGDTYQNECFLRRAA CKHQKDITVVARGPCYSDNGSGSGEGEEEGSGAGAHRKHSKCGPCKYKAECDEDAENVGC VCNIDCSGYSFNPVCASDGSSYNNPCFVREASCIKQEQIDIRHLGHCTDTDDVSLLGKKD DGLQYRPDVKDAGDEREDVYIGSHMPCPENLNGYCIHGKCEFIYSTQKASCRCESGYTGQ HCEKTDFSILYVVPSRQKLTHVLIAAIIGAVQIAIIVAIVMCITRKCPKNNRGRRQKQNL GHFTSDTSSRMV >ENSMUSP00000121740.1 pep:known chromosome:GRCm38:4:48585551:48662470:1 gene:ENSMUSG00000028347.14 transcript:ENSMUST00000130834.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmeff1 description:transmembrane protein with EGF-like and two follistatin-like domains 1 [Source:MGI Symbol;Acc:MGI:1926810] AFCLPGSRASNQPAGGGGDCPGGRGKSNCSELNLRESDIRVCDESSCKYGGVCKEDGDGL KCACQFQCHTNYIPVCGSNGDTYQNECFLRRAACKHQKDITVVARGPCYSDNGSGSGEGA EEEGSGAGAHRKHSKCGPCKYKAECDEDAENVGCVCNIDCSGYSFNPVCASDGSSYNNPC FVREASCIKQEQIDIRHLGHCTDTDDVSLLGKKDDGLQYRPDVKDAGDEREDVYIGSHMP CPENLNGYCIHGKCEFIYSTQKASCRCESGYTGQHCEKTDFSILYVVPSRQKLTHVLIAA IIGAVQIAIIVAIVMCITRKCPKNNRGRRQKQNLGHFTSDTSSRMV >ENSMUSP00000118581.1 pep:known chromosome:GRCm38:4:48636934:48660239:1 gene:ENSMUSG00000028347.14 transcript:ENSMUST00000141720.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmeff1 description:transmembrane protein with EGF-like and two follistatin-like domains 1 [Source:MGI Symbol;Acc:MGI:1926810] ASCIKQEQIDIRHLGHCTDTDDVSLLGKKDDGLQYRPDVKDAGDEREDVYIGSHMPCPEN LNGYCIHGKCEFIYSTQKASCRCESGYTGQHCEKTDFSILYVVPSRQKLTHVLIAAIIGA VQIAIIVAIVMCITRTDDLPFGKTTEHKIGQ >ENSMUSP00000021834.3 pep:known chromosome:GRCm38:13:32881833:32898161:-1 gene:ENSMUSG00000079049.8 transcript:ENSMUST00000021834.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb1c description:serine (or cysteine) peptidase inhibitor, clade B, member 1c [Source:MGI Symbol;Acc:MGI:2445363] MGQLSSANNLFALELFHTLNESNPTGNTIFSPVSISSALAMVYLGARGSTAAQLSKTLHF DSAEDIHSQFQSLTAEVSKRGASHTLKLANRLYGEKTYNFLPEYLASIQKTYSADLALVD FQHASEDARKEINQWVKGQTEEKIQELFAVGVVDSMTKLVLVNATYFKGMWQKKFMARDT TDAPFRLSKKVTKTVKMMYLKNNLPFGYIPDLKCKVLEMPYQGGELSMVILLPEDIEDET TGLEEIEKQLTLEKLQECENLQNIDVCVKLPKFKMEESYILNSNLGQLGVQDLFSSSKAD LSGMSGSRDLFISKIVHKSYVEVNEEGTETDAAMPGTVVGCCLMPMEFTVDHPFLFFIRH NPTAHVLFLGRVCSP >ENSMUSP00000117305.1 pep:known chromosome:GRCm38:13:32884007:32898138:-1 gene:ENSMUSG00000079049.8 transcript:ENSMUST00000126501.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Serpinb1c description:serine (or cysteine) peptidase inhibitor, clade B, member 1c [Source:MGI Symbol;Acc:MGI:2445363] MGQLSSANNLFALELFHTLNESNPTGNTIFSPVSISSALAMVYLGARGSTAAQLSKTLHF DSAEDIHSQFQSLTAEVSKRGASHTLKLANRLYGEKTYNFLPEYLASIQKTYSADLALVD FQHASEDARKEINQWVKGQTEEKIQELFAVGVVDSMTKLVLVNATYFKGMWQKKFMARDT TDAPFRLSKKVTKTVKMMYLK >ENSMUSP00000046526.4 pep:known chromosome:GRCm38:7:19054690:19074447:1 gene:ENSMUSG00000040866.13 transcript:ENSMUST00000035521.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph6a description:radial spoke head 6 homolog A (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1927643] MGEPPPNPDPSQTRRASQGSERARSQEYSQPLLTIPEDGLNRPPPQRGSRSSQGSQDLQG TGLPHWPQRSSLVPDVQGDEGTEYHQSMPLGYTPGFPMEFSQQGYLDDSRMMEQFPQGQD LLEQLESTYQGSASGILGQLNLYPREDEIFSQDTQHGPYLRDDPSLHLRPSDLGFMPIVG EVPDPEPRELAIQNAKAYLLRTSMSCNLSLYEHLVNLLTKILNQRPEDPLSILESLNRTM QWEWFHPKLDTLRDDPEMQPTYEMAEKQKALFIRGGGEGEQEMEEEVTDSPVPNIMETAF YFEQAGVGLSSDESFRIFLALKQLVEQQPIHMCRFWGKILGLSRSYLVAEVEFREGEEEG EEEEVEEMMEGGEVLETHGEEEGEEDEEKVVDSVPKPQWKPPPIIPKEESRSGTNKYLYF VCNEPGRPWTRLPHVTPAQIVCARKIKKFFTGFLDTPVISYPPFPGNEANYLRAQIARIS AATHISPLGFYQFGEEEGDEEEEGGAGRDSFEENPDFEGIPVLELVDSMANWVHHTQHIL PQGRCTWVNPLQKTEEEEELGEEEEKADEAMEEVEQEVGPPLLTPLSEDAEIMHLSPWTT RLSCSLSPQYSVAIVRSNLWPGAYAYATGKKFENIYIGWGHKYSPENFNPMLPALIQQEY PSGPEITEMSDPTVEEEQALKAAQEQALAAAEEEEEDEEEEEDEDLED >ENSMUSP00000076153.5 pep:known chromosome:GRCm38:7:19054699:19074447:1 gene:ENSMUSG00000040866.13 transcript:ENSMUST00000076887.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rsph6a description:radial spoke head 6 homolog A (Chlamydomonas) [Source:MGI Symbol;Acc:MGI:1927643] MGEPPPNPDPSQTRRASQGSERARSQEYSQPLLTIPEDGLNRPPPQRGSRSSQGSQDLQG TGLPHWPQRSSLVPDVQGDEGTEYHQSMPLGYTPGFPMEFSQQGYLDDSRMMEQFPQGQD LLEQLESTYQGSASGILGQLNLYPREDEIFSQDTQHGPYLRDDPSLHLRPSDLGFMPIVG EVPDPEPRELAIQNAKAYLLRTSMSCNLSLYEHLVNLLTKILNQRPEDPLSILESLNRTM QWEWFHPKLDTLRDDPEMQPTYEMAEKQKALFIRGGGEGEQEMEEEVGRCTWVNPLQKTE EEEELGEEEEKADEAMEEVEQEVGPPLLTPLSEDAEIMHLSPWTTRLSCSLSPQYSVAIV RSNLWPGAYAYATGKKFENIYIGWGHKYSPENFNPMLPALIQQEYPSGPEITEMSDPTVE EEQALKAAQEQALAAAEEEEEDEEEEEDEDLED >ENSMUSP00000138911.1 pep:known chromosome:GRCm38:7:4751792:4752972:-1 gene:ENSMUSG00000051811.14 transcript:ENSMUST00000183971.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6b2 description:cytochrome c oxidase subunit VIb polypeptide 2 [Source:MGI Symbol;Acc:MGI:3044182] MLGVQAQKPPPGQWTTPPFDPRFPNQNQTRNCYQNFLDYHRCVKTMNRRGKSTQPCEYYF RVQRWNEQIKQGTFPGKI >ENSMUSP00000138709.1 pep:known chromosome:GRCm38:7:4751792:4753023:-1 gene:ENSMUSG00000051811.14 transcript:ENSMUST00000182111.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6b2 description:cytochrome c oxidase subunit VIb polypeptide 2 [Source:MGI Symbol;Acc:MGI:3044182] MLGVQAQKPPPGQWTTPPFDPRFPNQNQTRNCYQNFLDYHRCVKTMNRRGKSTQPCEYYF RVFHSLCPISWVQRWNEQIKQGTFPGKI >ENSMUSP00000139239.1 pep:known chromosome:GRCm38:7:4751792:4753023:-1 gene:ENSMUSG00000051811.14 transcript:ENSMUST00000184143.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6b2 description:cytochrome c oxidase subunit VIb polypeptide 2 [Source:MGI Symbol;Acc:MGI:3044182] MLGVQAQKPPPGQWTTPPFDPRFPNQNQTRNCYQNFLDYHRCVKTMNRRGKSTQPCAALE >ENSMUSP00000064988.6 pep:known chromosome:GRCm38:7:4751792:4753094:-1 gene:ENSMUSG00000051811.14 transcript:ENSMUST00000063324.13 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6b2 description:cytochrome c oxidase subunit VIb polypeptide 2 [Source:MGI Symbol;Acc:MGI:3044182] MLGVQAQKPPPGQWTTPPFDPRFPNQNQTRNCYQNFLDYHRCVKTMNRRGKSTQPCEYYF RVFHSLCPISWVQRWNEQIKQGTFPGKI >ENSMUSP00000138744.1 pep:known chromosome:GRCm38:7:4751794:4753009:-1 gene:ENSMUSG00000051811.14 transcript:ENSMUST00000182738.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6b2 description:cytochrome c oxidase subunit VIb polypeptide 2 [Source:MGI Symbol;Acc:MGI:3044182] MLGVQAQKPPPGQWTTPPFDPRFPNQNQTRNCYQNFLDYHRCVKTMNRRGKSTQPCECSA GMSRSSRELSRAKYDLALERCSPGVINP >ENSMUSP00000138708.1 pep:known chromosome:GRCm38:7:4751795:4753023:-1 gene:ENSMUSG00000051811.14 transcript:ENSMUST00000183334.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cox6b2 description:cytochrome c oxidase subunit VIb polypeptide 2 [Source:MGI Symbol;Acc:MGI:3044182] MLGVQAQKPPPGQWTTPPFDPRFPNQNQTRNCYQNFLGTLQTTTGV >ENSMUSP00000138765.1 pep:known chromosome:GRCm38:7:4751855:4753038:-1 gene:ENSMUSG00000051811.14 transcript:ENSMUST00000182048.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6b2 description:cytochrome c oxidase subunit VIb polypeptide 2 [Source:MGI Symbol;Acc:MGI:3044182] MLGVQAQKPPPGQWTTPPFDPRFPNQNQTRNCYQNFLDYHRCVKTMNRRGKSTQPCEYYF RVFHSLCPISWVQRWNEQIKQGTFPGKI >ENSMUSP00000138288.1 pep:known chromosome:GRCm38:7:4751947:4753002:-1 gene:ENSMUSG00000051811.14 transcript:ENSMUST00000182173.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cox6b2 description:cytochrome c oxidase subunit VIb polypeptide 2 [Source:MGI Symbol;Acc:MGI:3044182] MLGVQAQKPPPGQWTTPPFDPRFPNQNQTRNCYQNFLDYHRCVKTMNRRGKSTQPCEYYF RVFHSLCPISWVRGRRGVGGKGSGFRLRLSSCRGPSATAGAALE >ENSMUSP00000099962.4 pep:known chromosome:GRCm38:2:26910764:26913318:1 gene:ENSMUSG00000062647.16 transcript:ENSMUST00000102898.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rpl7a description:ribosomal protein L7A [Source:MGI Symbol;Acc:MGI:1353472] MPKGKKAKGKKVAPAPAVVKKQEAKKVVNPLFEKRPKNFGIGQDIQPKRDLTRFVKWPRY IRLQRQRAILYKRLKVPPAINQFTQALDRQTATQLLKLAHKYRPETKQEKKQRLLARAEK KAAGKGDVPTKRPPVLRAGVNTVTTLVENKKAQLVVIAHDVDPIELVVFLPALCRKMGVP YCIIKGKARLGHLVHRKTCTTVAFTQVNSEDKGALAKLVEAIRTNYNDRYDEIRRHWGGN VLGPKSVARIAKLEKAKAKELATKLG >ENSMUSP00000077302.1 pep:known chromosome:GRCm38:14:52389815:52390771:-1 gene:ENSMUSG00000063867.1 transcript:ENSMUST00000078171.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1511 description:olfactory receptor 1511 [Source:MGI Symbol;Acc:MGI:3031345] MRRNRNTSLDTVVTDFLLLGLAHPPNLRAFLFLVFFLIYILTQLGNLLILLTVWADPKLH ARPMYILLGVLSFLDMWLSSVIVPRIILNFTPASKVIAFGGCAAQLYFFHFLGSTQCFLY TLMAYDRYLAICQPLRYPVLMNGKLCTILVAGAWVAGSIHGSIQATLTFRLPYCGPKEVD YFFCDIPAVLRLACADTTVNELVTFVDIGVVAASCFMLILLSYANIVHAILKIRTADGRK RAFSTCGSHLTVVTVYYVPCIFIYLRAGSKSPFDGAVAVFYTVVTPLLNPLIYTLRNQEV KSALKRLRAGRRDVDGEK >ENSMUSP00000103800.1 pep:known chromosome:GRCm38:7:30539134:30552272:-1 gene:ENSMUSG00000036864.15 transcript:ENSMUST00000108165.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proser3 description:proline and serine rich 3 [Source:MGI Symbol;Acc:MGI:2681861] MFPKVDNPLGHQETRTGATRSQRPQAPKATAASSDELSEESWPSSSWTPSPASTTEGQST SPPCNLIDNEDSIVAKYINRFRQAQPTSREDRQPAGPTSADFWWLQPTADSSGHLAAGAG EPTGRSAVTGPSPTGVSSTSLASAPLQKVKQSLNSWNSSLLDLETLSLQSRAARLLKRSK ASLNDASSSSFPISSDGLSPSSVTFNPDSNKSSNPKEPVLGAPGPSQAIPAPRPASSQAT LKPEDDILYQWRQRRKLEQSLQGAGDGTWVLPRMPALTTQTPPVSAVNLGSQDTQPNCTA PCGSVAQPPPSQAFYMERPPLSGPSPHIWAPGTHGVLWAPQANPWVSFGMLPTTLLTSTL APLASFPVPPTSTSTTPAPTPTPQVCIPGPPTSAPPPCASTPASTLPLPDTPQGPAIPEL SSSIQPKKVGPKPRRVSTSSHQKTTVPDTTAFEGPCSQLRGALSQVVTARLFPDSPEDTP PSEADFRKVQAIPSQAKVLRPPPESRRGSKTESRKSQVTLPADAGDPQPATAPKASTLLE VQPREFKMSAPRMGAGDPLTIVPPASSHAPSEDLLSQATKLLQAAEDSDGSEFQEDPVLQ LLRAQRAELRQQKRWMPSYLSSWTTLKTRDLRLL >ENSMUSP00000123095.1 pep:known chromosome:GRCm38:7:30549039:30552292:-1 gene:ENSMUSG00000036864.15 transcript:ENSMUST00000153594.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proser3 description:proline and serine rich 3 [Source:MGI Symbol;Acc:MGI:2681861] MFPKVDNPLGHQETRTGATRSQRPQAPKATAASSDELSEESWPSSSWTPSPASTTEGQST SPPCNLIDNEDSIVAK >ENSMUSP00000059135.6 pep:known chromosome:GRCm38:7:30539515:30549665:-1 gene:ENSMUSG00000036864.15 transcript:ENSMUST00000062708.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Proser3 description:proline and serine rich 3 [Source:MGI Symbol;Acc:MGI:2681861] MFPKVDNPLGHQETRTGATRSQRPQAPKATAASSDELSEESWPSSSWTPSPASTTEGQST SPPCNLIDNEDSIVAKYINRFRQAQPTSREDRQPAGPTSADFWWLQPTADSSGHLAAGAG EPTGRSAVTGPSPTGVSSTSLASAPLQKVKQSLNSWNSSLLDLETLSLQSRAARLLKRSK ASLNDASSSSFPISSDGLSPSSVTFNPDSNKSSNPKEPVLGAPGPSQAIPAPRPASSQAT LKPEDDILYQWRQRRKLEQSLQGAGDGTWVLPRMPALTTQTPPVSAVNLGSQDTQPNCTA PCGSVAQPPPSQAFYMERPPLSGPSPHIWAPGTHGVLWAPQANPWVSFGMLPTTLLTSTL APLASFPVPPTSTSTTPAPTPTPQVCIPGPPTSAPPPCASTPASTLPLPDTPQGPAIPEL SSSIQPKKVGPKPRRVSTSSHQKTTVPDTTAFEGPCSQLRGALSQVVTARLFPDSPEDTP PSEADFRKVQAIPSQAKVLRPPPESRRGSKTESRKSQVTLPADAGDPQPATAPKASTLLE VQPREFKMSAPRMGAGDPLTIVPPASSHAPSEDLLSQATKLLQAAEDSDGSEFQEDPVLQ LLRAQRAELRQQKRWMPSYLSSWTTLKTRDLRLL >ENSMUSP00000112480.1 pep:known chromosome:GRCm38:7:78913424:78920217:1 gene:ENSMUSG00000039236.18 transcript:ENSMUST00000118867.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isg20 description:interferon-stimulated protein [Source:MGI Symbol;Acc:MGI:1928895] MAGIPEVVAMDCEMVGLGPQRVSGLARCSIVNIHGAVLYDKYIRPEGEITDYRTQVSGVT PQHMVRATPFGEARLEILQLLKGKLVVGHDLKHDFNALKEDMSKYTIYDTSTDRLLWHEA KLQYYSRVSLRLLCKRLLHKNIQNNWRGHCSVEDARATMELYKISQRLRAQRGLPCPGTS D >ENSMUSP00000112621.1 pep:known chromosome:GRCm38:7:78913458:78920307:1 gene:ENSMUSG00000039236.18 transcript:ENSMUST00000121645.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isg20 description:interferon-stimulated protein [Source:MGI Symbol;Acc:MGI:1928895] MAGIPEVVAMDCEMVGLGPQRVSGLARCSIVNIHGAVLYDKYIRPEGEITDYRTQVSGVT PQHMVRATPFGEARLEILQLLKGKLVVGHDLKHDFNALKEDMSKYTIYDTSTDRLLWHEA KLQYYSRVSLRLLCKRLLHKNIQVLPGSLLGVGGCILPGTDILHLLLYVGMVRIADLRLL TPFLPPSCLACPLLPESLASARSHAVISALSSSSHLLTPLPNPSQGPQGHVDRLSGQLQD WGGSPLAPALPVSAEQLAGPLLCGRCQGHNGALQNLSATQSPARAALPWDVRLNFILIQG >ENSMUSP00000040080.8 pep:known chromosome:GRCm38:7:78913765:78920396:1 gene:ENSMUSG00000039236.18 transcript:ENSMUST00000038142.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isg20 description:interferon-stimulated protein [Source:MGI Symbol;Acc:MGI:1928895] MAGIPEVVAMDCEMVGLGPQRVSGLARCSIVNIHGAVLYDKYIRPEGEITDYRTQVSGVT PQHMVRATPFGEARLEILQLLKGKLVVGHDLKHDFNALKEDMSKYTIYDTSTDRLLWHEA KLQYYSRVSLRLLCKRLLHKNIQNNWRGHCSVEDARATMELYKISQRLRAQRGLPCPGTS D >ENSMUSP00000113255.1 pep:known chromosome:GRCm38:7:78914235:78920349:1 gene:ENSMUSG00000039236.18 transcript:ENSMUST00000120331.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isg20 description:interferon-stimulated protein [Source:MGI Symbol;Acc:MGI:1928895] MAGIPEVVAMDCEMVGLGPQRVSGLARCSIVNIHGAVLYDKYIRPEGEITDYRTQVSGVT PQHMVRATPFGEARLEILQLLKGKLVVGHDLKHDFNALKEDMSKYTIYDTSTDRLLWHEA KLQYYSRVSLRLLCKRLLHKNIQNNWRGHCSVEDARATMELYKISQRLRAQRGLPCPGTS D >ENSMUSP00000145840.1 pep:known chromosome:GRCm38:7:78914329:78920187:1 gene:ENSMUSG00000039236.18 transcript:ENSMUST00000205981.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Isg20 description:interferon-stimulated protein [Source:MGI Symbol;Acc:MGI:1928895] MAGIPEVVAMDCEMVGLGPQRVSGLARCSIVNIHGAVLYDKYIRPEGEITDYRTQVSGVT PQHMVRATPFGEARLEILQLLKGKLVVGHDLKHDFNALKEDMSKYTIYDTSTDRLLWHEA KLQYYSRVSLRLLCKRLLHKNIQDC >ENSMUSP00000041579.7 pep:known chromosome:GRCm38:7:31059342:31070935:1 gene:ENSMUSG00000036560.14 transcript:ENSMUST00000039775.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgi4 description:leucine-rich repeat LGI family, member 4 [Source:MGI Symbol;Acc:MGI:2180197] MGGAGILLFLLAWAGAGVAWSPPKGKCPPHCSCSKENTLCEGSPELPESFSTTLLSLSLV RMGVSRLKAGSFLKMPSLHLLLFTSNTFSVIEGDAFIGLSYLQYLFIEDNKIGSISKNAL RGLRSLTHLSLANNHLEALPRFLFRGLETLTHVDLRGNPFQCDCRVLWLLQWMPTVNASV GTGACAGPPAVAQIQLNHLDPKKFKCRATELSWLQTVGESALSVESFSYQGEPHMVLAQP FAGRCLILVWDYSLQRFRPEEELSAPSVVSCKPLVLGPRLFILAARLWGGSQLWSRSSPD LRLTPVQVLAPQRLLRPNDAELLWLDGQPCFVVADASKAGSTTLLCRDGPGFYPRQSLHA WHRDTDAEALELDGRPHLLLASASQRPVLFHWVGGRFERRTDIPEAEDVYATKHFQAGGD VFLCLTRYIGDSMVMRWDGSMFRLLQQLPSRGSHVFQPLLIARDQLAILGSDFAFSQVFR FESDKGILEPLQELGPPALVAPRAFAQVTVAGRRFLFAACFKGPTQIYQHHELDLSA >ENSMUSP00000145660.1 pep:known chromosome:GRCm38:7:31060567:31063669:1 gene:ENSMUSG00000036560.14 transcript:ENSMUST00000169785.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lgi4 description:leucine-rich repeat LGI family, member 4 [Source:MGI Symbol;Acc:MGI:2180197] GVSRLKAGSFLKMPSLHLLLFTSNTFSVIEGDAFIGLSYLQYLFIEDNKIGSISKNALRG LRSLTHLCVSP >ENSMUSP00000146008.1 pep:known chromosome:GRCm38:7:31060605:31064074:1 gene:ENSMUSG00000036560.14 transcript:ENSMUST00000164725.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Lgi4 description:leucine-rich repeat LGI family, member 4 [Source:MGI Symbol;Acc:MGI:2180197] AVIAPAPLHIQYLLCN >ENSMUSP00000125865.1 pep:known chromosome:GRCm38:7:31063550:31064596:1 gene:ENSMUSG00000036560.14 transcript:ENSMUST00000172001.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lgi4 description:leucine-rich repeat LGI family, member 4 [Source:MGI Symbol;Acc:MGI:2180197] XHPRDLRGNPFQCDCRVLWLLQWMPTVNASVGTGACAGPPAVAQIQLNHLDPKKFKCRAT ETVFHYEVQAGLNTCSNPLVSGSHAWVGWG >ENSMUSP00000039172.7 pep:known chromosome:GRCm38:7:30553268:30555443:1 gene:ENSMUSG00000036854.14 transcript:ENSMUST00000044048.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Hspb6 description:heat shock protein, alpha-crystallin-related, B6 [Source:MGI Symbol;Acc:MGI:2685325] MEIPVPVQPSWLRRASAPLPGFSAPGRLFDQRFGEGLLEAELASLCPAAIAPYYLRAPSV ALPTAQVSTDSGYFSVLLDVKHFLPEEISVKVVDDHVEVHARHEERPDEHGFIAREFHRR YRLPPGVDPAAVTSALSPEGVLSIQATPASAQAQLPSPPAAK >ENSMUSP00000057635.5 pep:known chromosome:GRCm38:19:55069734:55099451:-1 gene:ENSMUSG00000024978.10 transcript:ENSMUST00000061856.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpam description:glycerol-3-phosphate acyltransferase, mitochondrial [Source:MGI Symbol;Acc:MGI:109162] MEESSVTVGTIDVSYLPSSSEYSLGRCKHTSEDWVDCGFKPTFFRSATLKWKESLMSRKR PFVGRCCYSCTPQSWERFFNPSIPSLGLRNVIYINETHTRHRGWLARRLSYILFVQERDV HKGMFATSVTENVLSSSRVQEAIAEVAAELNPDGSAQQQSKAIQKVKRKARKILQEMVAT VSPGMIRLTGWVLLKLFNSFFWNIQIHKGQLEMVKAATETNLPLLFLPVHRSHIDYLLLT FILFCHNIKAPYIASGNNLNIPVFSTLIHKLGGFFIRRRLDETPDGRKDILYRALLHGHV VELLRQQQFLEIFLEGTRSRSGKTSCARAGLLSVVVDTLSSNTIPDILVIPVGISYDRII EGHYNGEQLGKPKKNESLWSVARGVIRMLRKNYGYVRVDFAQPFSLKEYLEGQSQKPVSA PLSLEQALLPAILPSRPNDVADEHQDLSSNESRNPADEAFRRRLIANLAEHILFTASKSC AIMSTHIVACLLLYRHRQGIHLSTLVEDFFVMKEEVLARDFDLGFSGNSEDVVMHAIQLL GNCVTITHTSRKDEFFITPSTTVPSVFELNFYSNGVLHVFIMEAIIACSIYAVLNKRCSG GSAGGLGNLISQEQLVRKAASLCYLLSNEGTISLPCQTFYQVCHETVGKFIQYGILTVAE QDDQEDVSPGLAEQQWDKKLPELNWRSDEEDEDSDFGEEQRDCYLKVSQSKEHQQFITFL QRLLGPLLEAYSSAAIFVHNFSGPVPESEYLQKLHRYLITRTERNVAVYAESATYCLVKN AVKMFKDIGVFKETKQKRVSVLELSSTFLPQCNRQKLLEYILSFVVL >ENSMUSP00000132470.1 pep:known chromosome:GRCm38:4:113477891:113999503:-1 gene:ENSMUSG00000078598.11 transcript:ENSMUST00000169631.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint5 description:selection and upkeep of intraepithelial T cells 5 [Source:MGI Symbol;Acc:MGI:3650151] MDKRADLGSTNSGVMGAVGIPLTAHCVVLFLLQMVALSSEQFTVNGLESPVLVPLDGNLE LSCQLSPPQQAKHMEIRWFKNRYSEPVYLYRNGKDLFGEIVYKYVERTELLKDDIGKGKV TLRIFKVTSVDSGSYHCFFKDGKFYEEHIIDVKVTATSSDIQILMHPPNIKGVRLECHSG GWFPQPHMEWRDSKGEYIPATSKSHSQDENEFFNMTMDLFIKSNSHWSATCYIQNFVTHQ EESISIVLPGVWDSWCPAWIMITLLIVIHMTYYIKLYRTYATKEMLLKSIQSSSQSSTVD TEKKEWLLKSIQSRIQSSSVDAEKKEWLLKFIQSSIQSSSVDLEKKEWLLKSIQSSIQSA IVDRGTKEWLLESIQSSILSSIVDQGTKVLLLKSIQSSIQSSTLDIETKELLLKSIQSSI QSSIMDLGTKELLLKIIQSSIQSSSVDLGTKELLLKIIQSSIQSSTVDLGTKELLLKIIQ SSIQSSSVDLGTKELLLKIIQSSIQSSTVDLGTKELLLKIIQSSIQSSSVDLGAKMGLLE SILSSIQSSNVDLETKVLLLKSIQSSIQSSTVYLGIKQWLLERIESIIQSSSVYLDTKEL LLKIIQSSIESYSVDLGNKEFLLKIILSSIQSSSVDLGTKELLVKFFQSNIQSSSVIPGT KKLLVKIIQSSLQSSSVDLGTKKVLLDIIQTSIQNSNVHTERKGLLLKIIQSSVQSSSVD QGTKEMLLEIIQSSIQNSSVNQWTKDLLLKIIQSIIQSSSVDLGTKGFLLKIIQSSIQSS SVDIGTKSMLLKKTGLILKSSIVNPGTELLFQLIESIRHSSSVNSETKKMLSEITQSTVQ SSSVNPVTEEMIEEKYQLLLQSSSVNLEAENILNVTTQWILQISSVNQGKEMLLLDKIQQ ILQISSVNPETKDLLLEKIELILQNPGVHQETKDLLLERIQLILQNSSVQKETKYLLLER IRSILQSSCVQKETKDLLLERIQSLLQSSCVQKETKDLLLEKIQLILQNSTVHQETKDLL LERIQLFLQNSTVDQETSYLLLKRIQLILQSSSVKKETKEFVLYIIESILHSSSVHQETK DLLFDRVQLILESSSVQQGLKCLLLNMIQVIFQRTSVQKEMKDLLFNRIPLILESSSVQQ ETKDKLLDIIQSILQRTSVQEETKDKLLDIIQSILQRSSVQKDTIDTLLDRIQLILQRTS VQKEMKDFLLDRIKSILESFSVHQETKKLLLNRIMSILESSTVHQETKKLLLERIQSILE SSSVQQETKKLLLDRIHSILKSSSVQKETKNLLLDRIHSILKSSSVQKESCNKRNPFWKK YALDLGISVFTIIVVTLIMHLKQREADQHFELNTLWSKDTSVILCVLIMFNNRLKALIYF RLYGFSPPGKAHKYIVNYILRFSHPVFCIVYSATILYMYLQIQNKDSLFSLYNSWMVEME MVLIFLLVIFNVKNIATVLLYFDSTTLRLFFWIKG >ENSMUSP00000129582.1 pep:known chromosome:GRCm38:4:113477891:113999503:-1 gene:ENSMUSG00000078598.11 transcript:ENSMUST00000170105.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint5 description:selection and upkeep of intraepithelial T cells 5 [Source:MGI Symbol;Acc:MGI:3650151] MDKRADLGSTNSGVMGAVGIPLTAHCVVLFLLQMVALSSEQFTVNGLESPVLVPLDGNLE LSCQLSPPQQAKHMEIRWFKNRYSEPVYLYRNGKDLFGEIVYKYVERTELLKDDIGKGKV TLRIFKVTSVDSGSYHCFFKDGKFYEEHIIDVKVTATSSDIQILMHPPNIKGVRLECHSG GWFPQPHMEWRDSKGEYIPATSKSHSQDENEFFNMTMDLFIKSNSHWSATCYIQNFVTHQ EESISIVLPGVWDSWCPAWIMITLLIVIHMTYYIKLYRTYATKEMLLKSIQSSSQSSTVD TEKKEWLLKSIQSRIQSSSVDAEKKEWLLKFIQSSIQSSSVDLEKKEWLLKSIQSSIQSA IVDRGTKEWLLESIQSSILSSIVDQGTKVLLLKSIQSSIQSSTLDIETKELLLKSIQSSI QSSIMDLGTKELLLKIIQSSIQSSSVDLGTKELLLKIIQSSIQSSTVDLGTKELLLKIIQ SSIQSSSVDLGTKELLLKIIQSSIQSSTVDLGTKELLLKIIQSSIQSSSVDLGAKMGLLE SILSSIQSSNVDLETKVLLLKSIQSSIQSSTVYLGIKQWLLERIESIIQSSSVYLDTKEL LLKIIQSSIESYSVDLGNKEFLLKIILSSIQSSSVDLGTKELLVKFFQSNIQSSSVIPGT KKLLVKIIQSSLQSSSVDLGTKKVLLDIIQTSIQNSNVHTERKGLLLKIIQSSVQSSSVD QGTKEMLLEIIQSSIQNSSVNQWTKDLLLKIIQSIIQSSSVDLGTKGFLLKIIQSSIQSS SVDIGTKSMLLKKTGLILKSSIVNPGTELLFQLIESIRHSSSVNSETKKMLSEITQSTVQ SSSVNPVTEEMIEEKYQLLLQSSSVNLEAENILNVTTQWILQISSVNQGKEMLLLDKIQQ ILQISSVNPETKDLLLEKIELILQNPGVHQETKDLLLERIQLILQNSSVQKETKYLLLER IRSILQSSCVQKETKDLLLERIQSLLQSSCVQKETKDLLLEKIQLILQNSTVHQETKDLL LERIQLFLQNSTVDQETSYLLLKRIQLILQSSSVKKETKEFVLYIIESILHSSSVHQETK DLLFDRVQLILESSSVQQGLKCLLLNMIQVIFQRTSVQKEMKDLLFNRIPLILESSSVQQ ETKDKLLDIIQSILQRTSVQEETKDKLLDIIQSILQRSSVQKDTIDTLLDRIQLILQRTS VQKEMKDFLLDRIKSILESFSVHQETKKLLLNRIMSILESSTVHQETKKLLLDRIHSILK SSSVQKETKNLLLDRIHSILKSSSVQKESCNKRNPFWKKYALDLGISVFTIIVVTLIMHL KQREADQHFELNTLWSKDTSVILCVLIMFNNRLKALIYFRLYGFSPPGKAHKYIVNYILR FSHPVFCIVYSATILYMYLQIQNKDSLFSLYNSWMVEMEMVLIFLLVIFNVKNIATVLLY FDSTTLRLFFWIKG >ENSMUSP00000130639.2 pep:known chromosome:GRCm38:4:113846238:113999503:-1 gene:ENSMUSG00000078598.11 transcript:ENSMUST00000171627.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skint5 description:selection and upkeep of intraepithelial T cells 5 [Source:MGI Symbol;Acc:MGI:3650151] MGAVGIPLTAHCVVLFLLQMVALSSEQFTVNGLESPVLVPLDGNLELSCQLSPPQQAKHM EIRWFKNRYSEPVYLYRNGKDLFGEIVYKYVERTELLKDDIGKGKVTLRIFKVTSVDSGS YHCFFKDGKFYEEHIIDVKVTATSSDIQILMHPPNIKGVRLECHSGGWFPQPHMEWRDSK GEYIPATSKSHSQDENEFFNMTMDLFIKSNSHWSATCYIQNFVTHQEESISIVLPGVWDS WCPAWIMITLLIVIHMTYYIKLYRTYATKEMLLKSIQSSSQSSTVDTEKKEWLLKSIQSR IQSSSVDAEKKEWLLKFIQSSIQSSSVDLEKKEWLLKSIQSSIQSAIVDRGTKEWLLESI QSSILSSIVDQGTKVLLLKSIQSSIQSSTLDIETKELLLKSIQSSIQSSIMDLGTKELLL KIIQSSIQSSSVDLGTKELLLKIIQSSIQSSTVDLGTKELLLKIIQSSIQSSSVDLGTKE LLLKIIQSSIQSSTVDLGTKELLLKIIQSSIQSSSVDLG >ENSMUSP00000099860.3 pep:known chromosome:GRCm38:11:44400063:44414033:1 gene:ENSMUSG00000004296.14 transcript:ENSMUST00000102796.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il12b description:interleukin 12b [Source:MGI Symbol;Acc:MGI:96540] MCPQKLTISWFAIVLLVSPLMAMWELEKDVYVVEVDWTPDAPGETVNLTCDTPEEDDITW TSDQRHGVIGSGKTLTITVKEFLDAGQYTCHKGGETLSHSHLLLHKKENGIWSTEILKNF KNKTFLKCEAPNYSGRFTCSWLVQRNMDLKFNIKSSSSSPDSRAVTCGMASLSAEKVTLD QRDYEKYSVSCQEDVTCPTAEETLPIELALEARQQNKYENYSTSFFIRDIIKPDPPKNLQ MKPLKNSQVEVSWEYPDSWSTPHSYFSLKFFVRIQRKKEKMKETEEGCNQKGAFLVEKTS TEVQCKGGNVCVQAQDRYYNSSCSKWACVPCRVRS >ENSMUSP00000125867.1 pep:known chromosome:GRCm38:11:44400063:44414010:1 gene:ENSMUSG00000004296.14 transcript:ENSMUST00000170513.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Il12b description:interleukin 12b [Source:MGI Symbol;Acc:MGI:96540] MCPQKLTISWFAIVLLVSPLMAMWELEKDVYVVEVDWTPDAPGETVNLTCDTPEEDDITW TSDQRHGVIGSGKTLTITVKEFLDAGQYTCHKGGETLSHSHLLLHKKENGIWSTEILKNF KNKTFLKCEAPNYSGRFTCSWLVQRNMDLKFNIKSSSSSPDSRAVTCGMASLSAEKVTLD QRDYEKYSVSCQEDVTCPTAEETLPIELALEARQQNKYENYSTSFFIRDIIKPDPPKNLQ MKPLKNSQVEVSWEYPDSWSTPHSYFSLKFFVRIQRKKEKMKETEEGCNQKGAFLVEKTS TEVQCKGGNVCVQAQDRYYNSSCSKWACVPCRVRS >ENSMUSP00000037011.6 pep:known chromosome:GRCm38:15:84943936:84988000:-1 gene:ENSMUSG00000036046.14 transcript:ENSMUST00000047144.12 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5031439G07Rik description:RIKEN cDNA 5031439G07 gene [Source:MGI Symbol;Acc:MGI:2444899] MLRAIAEERGRLSLRREVCGLGCFKDDRIVFWTWMFSTYFMEKLAPRQDDMLFYVRRKRA YPGNEGTIDGRKAEAEPEVEVEVYRRDSKKLPGLGDPDIDWEESVCLNLILQKLDYMVTC AVCTRADGGDIHIHRKKSQQVFASPSKHPMDSKGEESKMSYPNIFFMIDSFEEVFSDMTV GEGEMVCVELVASDKTNTFQGVIFQGSIRYEALKKVYDNRVSVAARMAQKMSFGFYKYNN MEFVRMKGPQGKGHAEMAVSRVSTGDTSPCGTEDSSPASPMHERVTSFSTPPTPERNNRP AFFSPSLKRKVPRNRIAEMKKSHSANDSEEFFREDDSGADLHNATNLRSRSLSGTGRSLV GSWLKLNRADGNFLLYAHLTYVTLPLHRILTDILEVRQKPILMT >ENSMUSP00000128699.1 pep:known chromosome:GRCm38:15:84945720:84987971:-1 gene:ENSMUSG00000036046.14 transcript:ENSMUST00000165743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:5031439G07Rik description:RIKEN cDNA 5031439G07 gene [Source:MGI Symbol;Acc:MGI:2444899] MASARPPGRACASASAPAGLRAGPAASRESVDSSEAEERSLQHMLRAIAEERGRLSLRRE VCGLGCFKDDRIVFWTWMFSTYFMEKLAPRQDDMLFYVRRKRAYPGNEGTIDGRKAEAEP EVEVEVYRRDSKKLPGLGDPDIDWEESVCLNLILQKLDYMVTCAVCTRADGGDIHIHRKK SQQVFASPSKHPMDSKGEESKMSYPNIFFMIDSFEEVFSDMTVGEGEMVCVELVASDKTN TFQGVIFQGSIRYEALKKVYDNRVSVAARMAQKMSFGFYKYNNMEFVRMKGPQGKGHAEM AVSRVSTGDTSPCGTEDSSPASPMHERVTSFSTPPTPERNNRPAFFSPSLKRKVPRNRIA EMKKSHSANDSEEFFREDDSGADLHNATNLRSRSLSGTGRSLVGSWLKLNRADGNFLLYA HLTYVTLPLHRILTDILEVRQKPILMT >ENSMUSP00000127953.1 pep:known chromosome:GRCm38:8:13705889:13743084:1 gene:ENSMUSG00000090336.2 transcript:ENSMUST00000166277.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:4932443I19Rik description:RIKEN cDNA 4932443I19 gene [Source:MGI Symbol;Acc:MGI:2685952] MHRVPRLTTPWANRDLQRAWEKTYQDHRKKVQNAQPLVDTHPPQIYSHLCLKFKKLKMEE ERLSIIDRNNYLLLQRVASAMKTRGQTDGRNNFTQRRS >ENSMUSP00000128350.1 pep:known chromosome:GRCm38:17:19504811:19532060:1 gene:ENSMUSG00000091859.1 transcript:ENSMUST00000166081.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r100 description:vomeronasal 2, receptor 100 [Source:MGI Symbol;Acc:MGI:3648026] MMFSCIFIFCLLQIPKFISAIMFNISRCYYIISEEFHHEGDVVIGAFFPLHTFYTEKKMP HSTLPYQYLDNYIQYNFKNYQYILALLFAIEEINGNPNLLPNISLGFDFYNVRFTEKDTL MNAGIWLTAHVERKVLPNYNCKKRNFTAAFTGTSWITSAQIGTLLHLFKFPQITFGPYDP LLSDRSQYSSLYQIAPKDTSLTLAIVSLMVHFRWSWVGLILPDDHKGNKVLSDFKQEMER RRICIAFVKMIPATWTSYFTKFWQNMEETNVIIIYGDIDSLEGLVRNIGQRLLTWNVWVM NVEYNIKLITDYFLLDSFHGSLIFKHNFRENFEFTKFIQTVNPSKYPKDIYLPKLWYLFF KCSFADVNCHVLDNCQSNASLDILPSHIFDVAMSEESTSIYNGVYAVAHSLHEMRLQQLQ RQPFENGEGMEFIPWQLNTFLKNIEVRENRSIDWKLAIDAEYEILNLWNLPKGLGLKVKV GSYSASAPQGQQLSLSEQIIQWPEIFSEIPKSVCSESCVPGFRKVTLEGKAICCYKCTPC ADNEISNETDVDQCVKCPESHYANTEKSMCYQKSVSFLAYEDPLGMALASIALCLSALTA FVIGIFVKYRDTPIVKANNRALSYILLITLTFCFLCPLNFIGQPNIAACILQQTTFAVAF TMALATVLAKAITVVLAFKVSFPGRMVRWLMISKGPNYIIPICTLIQLLICGIWMATSPP FIDQDAHTEHGHIIILCNKGSAVAFHSVLGYLCLLALGSYTMAFLSRNLPDTFNESKFVS FSMLVFFCVWVTFLPVYHSTKGKIMVAMEVFSILVSSTALLAFIFGPKCYIILLRPDKNS FNHIKKKTSH >ENSMUSP00000024811.7 pep:known chromosome:GRCm38:17:29490812:29496111:1 gene:ENSMUSG00000024014.7 transcript:ENSMUST00000024811.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pim1 description:proviral integration site 1 [Source:MGI Symbol;Acc:MGI:97584] MLLSKINSLAHLRAAPCNDLHATKLAPGKEKEPLESQYQVGPLLGSGGFGSVYSGIRVAD NLPVAIKHVEKDRISDWGELPNGTRVPMEVVLLKKVSSDFSGVIRLLDWFERPDSFVLIL ERPEPVQDLFDFITERGALQEDLARGFFWQVLEAVRHCHNCGVLHRDIKDENILIDLSRG EIKLIDFGSGALLKDTVYTDFDGTRVYSPPEWIRYHRYHGRSAAVWSLGILLYDMVCGDI PFEHDEEIIKGQVFFRQTVSSECQHLIKWCLSLRPSDRPSFEEIRNHPWMQGDLLPQAAS EIHLHSLSPGSSK >ENSMUSP00000119774.1 pep:known chromosome:GRCm38:16:55225175:55297986:-1 gene:ENSMUSG00000064310.10 transcript:ENSMUST00000143914.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpld1 description:zona pellucida like domain containing 1 [Source:MGI Symbol;Acc:MGI:2443415] MERVWLLFLLAIRVSPGSAQFNSYNCDANLHSRFPAERDISVYCGVQAITMKINFCTVLF SGYSETDLALNGRHGDSHCRGFINNNTFPAVVIFIINLSTLEGCGNNLVVSTIPGVGASG NATTVQIGNISGYIDTPDPPAVISYLPGLLYKFSCSYPLEYLVNNTQLASSSAAISVREN NGTFVSTLNLLLYNDSTYREQLIIPSIGLPLKTKVFAAVQATNLDGRWNVLMDYCYTTPS GNPNDDTRYDLFLSCDKDPQTTVIENGRSQRGRFSFEVFRFVKHKNQKMSTVFLHCLTKL CRADDCPLLMPICGNRKRRDAQSWTTWAPQSTSGNAVLSAGPIITRSDETPTNNSQLGSL SVPPFQLNAVTSSLISGMVILGVLCFSLLLCSLALLHRKGSTSLVLNGVRNPVFE >ENSMUSP00000049012.3 pep:known chromosome:GRCm38:16:55225175:55283237:-1 gene:ENSMUSG00000064310.10 transcript:ENSMUST00000036412.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zpld1 description:zona pellucida like domain containing 1 [Source:MGI Symbol;Acc:MGI:2443415] MERVWLLFLLAIRVSPGSAQFNSYNCDANLHSRFPAERDISVYCGVQAITMKINFCTVLF SGYSETDLALNGRHGDSHCRGFINNNTFPAVVIFIINLSTLEGCGNNLVVSTIPGVGASG NATTVQIGNISGYIDTPDPPAVISYLPGLLYKFSCSYPLEYLVNNTQLASSSAAISVREN NGTFVSTLNLLLYNDSTYREQLIIPSIGLPLKTKVFAAVQATNLDGRWNVLMDYCYTTPS GNPNDDTRYDLFLSCDKDPQTTVIENGRSQRGRFSFEVFRFVKHKNQKMSTVFLHCLTKL CRADDCPLLMPICGNRKRRDAQSWTTWAPQSTSGNAVLSAGPIITRSDETPTNNSQLGSL SVPPFQLNAVTSSLISGMVILGVLCFSLLLCSLALLHRKGSTSLVLNGVRNPVFE >ENSMUSP00000124305.1 pep:known chromosome:GRCm38:15:3270921:3280507:1 gene:ENSMUSG00000064373.11 transcript:ENSMUST00000159216.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sepp1 description:selenoprotein P, plasma, 1 [Source:MGI Symbol;Acc:MGI:894288] MWRSLGLALALCLLPYGGAESQGQSSACYKAPEWYIGDQNPMLNSEGKVTVVALLQASUY LCLLQASRLEDLRIKLESQGYFNISYIVVNHQGSPSQLKHSHLKKQVSEHIAVYRQEEDG IDVWTLLNGNKDDFLIYDRCGRLVYHLGLPYSFLTFPYVEEAIKIAYCEERCGNCNLTSL EDEDFCKTVTSATANKTAEPSEAHSHHKHHNKHGQEHLGSSKPSENQQPGPSETTLPPSG LHHHHRHRGQHRQGHLESUDTTASEGLHLSLAQRKLURRGCINQLLCKLSKESEAAPSSC CCHCRHLIFEKSGSAIAUQCAENLPSLCSUQGLFAEEKVTESCQCRSPPAAUQNQPMNPM EANPNUSUDNQTRKUKUHSN >ENSMUSP00000125632.1 pep:known chromosome:GRCm38:15:3270811:3275735:1 gene:ENSMUSG00000064373.11 transcript:ENSMUST00000159158.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sepp1 description:selenoprotein P, plasma, 1 [Source:MGI Symbol;Acc:MGI:894288] MWRSLGLALALCLLPYGGAESQGQSSACYKAPEWYIGDQNPMLNSEGKVTVVALLQASUY LCLLQASRLEDLRIKLESQGYFNISYIVVNHQGSPSQLKHSHLKKQVSEHIAVYRQEEDG IDVW >ENSMUSP00000124852.1 pep:known chromosome:GRCm38:15:3268547:3275782:1 gene:ENSMUSG00000064373.11 transcript:ENSMUST00000160787.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sepp1 description:selenoprotein P, plasma, 1 [Source:MGI Symbol;Acc:MGI:894288] MWRSLGLALALCLLPYGGAESQGQSSACYKAPEWYIGDQNPMLNSEGKVTVVALLQASUY LCLLQASRLEDLRIKLESQGYFNISYIVVNHQGSPSQLKHSHLKKQVSEHIAVYRQEEDG IDVWTLLNGNKDDFLIYDR >ENSMUSP00000124580.1 pep:known chromosome:GRCm38:15:3270998:3275664:1 gene:ENSMUSG00000064373.11 transcript:ENSMUST00000160311.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sepp1 description:selenoprotein P, plasma, 1 [Source:MGI Symbol;Acc:MGI:894288] MIPLLWTTSAMWRSLGLALALCLLPYGGAESQGQSSACYKAPEWYIGDQNPMLNSEGKVT VVALLQASUYLCLLQASRLEDLRIKLESQGYFNISYIVVNHQGSPSQLKH >ENSMUSP00000125505.1 pep:known chromosome:GRCm38:15:3272085:3277271:1 gene:ENSMUSG00000064373.11 transcript:ENSMUST00000160930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sepp1 description:selenoprotein P, plasma, 1 [Source:MGI Symbol;Acc:MGI:894288] MWRSLGLALALCLLPYGGAESQGQSSACYKAPEWYIGDQNPMLNSEGKVTVVALLQASUY LCLLQASRLEDLRIKLESQGYFNISYIVVNHQGSPSQLKHSHLKKQVSEHIAVYRQEEDG IDVWTLLNGNKDDFLIYDRCGRLVYHLGLPYSFLTFPYVEEAIKIAYCEERCGNCNL >ENSMUSP00000081004.4 pep:known chromosome:GRCm38:15:3270767:3280508:1 gene:ENSMUSG00000064373.11 transcript:ENSMUST00000082424.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sepp1 description:selenoprotein P, plasma, 1 [Source:MGI Symbol;Acc:MGI:894288] MWRSLGLALALCLLPYGGAESQGQSSACYKAPEWYIGDQNPMLNSEGKVTVVALLQASUY LCLLQASRLEDLRIKLESQGYFNISYIVVNHQGSPSQLKHSHLKKQVSEHIAVYRQEEDG IDVWTLLNGNKDDFLIYDRCGRLVYHLGLPYSFLTFPYVEEAIKIAYCEERCGNCNLTSL EDEDFCKTVTSATANKTAEPSEAHSHHKHHNKHGQEHLGSSKPSENQQPGPSETTLPPSG LHHHHRHRGQHRQGHLESUDTTASEGLHLSLAQRKLURRGCINQLLCKLSKESEAAPSSC CCHCRHLIFEKSGSAIAUQCAENLPSLCSUQGLFAEEKVTESCQCRSPPAAUQNQPMNPM EANPNUSUDNQTRKUKUHSN >ENSMUSP00000024123.4 pep:known chromosome:GRCm38:5:24452177:24502047:1 gene:ENSMUSG00000023353.13 transcript:ENSMUST00000024123.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agap3 description:ArfGAP with GTPase domain, ankyrin repeat and PH domain 3 [Source:MGI Symbol;Acc:MGI:2183446] MNFQAGGGQSPQQQQSLAAPGTGGGGGGGAGGGGQFGGAGPGAGGGGPSQQLAGGPPQQF ALSNSAAIRAEIQRFESVHPNIYAIYDLIERIEDLALQNQIREHVISIEDSFVNSQEWTL SRSVPELKVGIVGNLSSGKSALVHRYLTGTYVQEESPEGGRFKKEIVVDGQSYLLLIRDE GGPPELQFAAWVDAVVFVFSLEDEISFQTVYNYFLRLCSFRNASEVPMVLVGTQDAISAA NPRVIDDSRARKLSTDLKRCTYYETCATYGLNVERVFQDVAQKVVALRKKQQLAIGPCKS LPNSPSHSAVSAASIPAVHINQATNGGSSAFSDYSSSVPSTPSISQRELRIETIAASSTP TPIRKQSKRRSNIFTSRKGADLDREKKAAECRVDSIGSGRAIPIKQGILLKRSGKSLNKE WKKKYVTLCDNGLLTYHPSLHDYMQNIHGKEIDLLRTTVKVPGKRLPRATPTTAPGTSPR ANGLAMERSNTQLGGATGAPHSASSTSLHSERPHSSAWAGSRPGPEGLHQRSCSVSSTDQ WSEAAALPASMQHPASGPAESLSSSPKLEPPPSPHSNRKKHRGKKSTGTPRPDGPSSAAE EAEESFEFVVVSLTGQTWHFEASTAEERELWVQSVQAQILASLQGCRSAKDKTRLGNQNT ALAVQAVRTVRGNSLCIDCEAPNPDWASLNLGALMCIECSGIHRHLGAHLSRVRSLDLDD WPPELLAVMTAMGNALANSVWEGALDGYSKPGPEACREEKERWIRAKYEQKLFLAPLPSS DVPLGQQLLRAVVEDDLRLLVMLLAHGSKEEVNETYGDGDGRTALHLSSAMANVVFTQLL IWYGVDVRSRDARGLTPLAYARRAGSQECADILIQHGCPGEGCGLAPTPNREPANGTNPS AELHRSPSIL >ENSMUSP00000142529.1 pep:known chromosome:GRCm38:5:24474214:24483184:1 gene:ENSMUSG00000023353.13 transcript:ENSMUST00000199856.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agap3 description:ArfGAP with GTPase domain, ankyrin repeat and PH domain 3 [Source:MGI Symbol;Acc:MGI:2183446] MERGWSPGESCSGERPAVCRRALSVCDSLDLHSTSADRTAAALQAALCAAREQPSRPRSV CSGTPGSAPSGARGLLLGLLRPRHGRRGPAPSERPGSPPPSPELSPAPIRRSRGPGERAS RPRPTSMTFLEVNRLELAATEAPGAGLGRTGSSGFLRGASLWSSQRWQVFRGGGSRGTES PRRGLSALRKSFSFRLRRGQEIRRSESGLLARPPRARTRSDGDASSLGTFPSRRDLLGAD TPCAPPEPGRPRAAASLWKLLTSRFRRREPAPSAPLWSRRAAAAPGLLGAPSDSFVNSQE WTLSRSVPELKVGIVGNLSSGKSALVHRYLTGTYVQEESPEGGRFKKEIVVDGQSYLLLI RDEGGPPELQFAAWVDAVVFVFSLEDEISFQTVYNYFLRLCSFRNASEVPMVLVGTQDAI SAANPRVIDDSRARKLSTDLKRCTYYETCATYGLNVERVFQDVAQKVVALRKKQQLAIGP CKSLPNSPSHSAVSAASIPAVHINQATNGGSSAFSDYSSSVPSTPSISQRELRIETIAAS STPTPIRKQSKRRSNIFTICATVSNFSSTKRPFQLLPN >ENSMUSP00000142875.1 pep:known chromosome:GRCm38:5:24479753:24502037:1 gene:ENSMUSG00000023353.13 transcript:ENSMUST00000197513.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Agap3 description:ArfGAP with GTPase domain, ankyrin repeat and PH domain 3 [Source:MGI Symbol;Acc:MGI:2183446] XRKQSKRRSNIFTSRKGADLDREKKAAECRVDSIGSGRAIPIKQGILLKRSGKSLNKEWK KKYVTLCDNGLLTYHPSLHDYMQNIHGKEIDLLRTTVKVPGKRLPRATPTTAPGTSPRAN GLAMERSNTQLGGATEAEESFEFVVVSLTGQTWHFEASTAEERELWVQSVQAQILASLQG CRSAKDKTRLGNQNTALAVQAVRTVRGNSLCIDCEAPNPDWASLNLGALMCIECSGIHRH LGAHLSRVRSLDLDDWPPELLAVMTAMGNALANSVWEGALDGYSKPGPEACREEKERWIR AKYEQKLFLAPLPSSDVPLGQQLLRAVVEDDLRLLVMLLAHGSKEEVNETYGDGDGRTAL HLSSAMANVVFTQLLIWYGVDVRSRDARGLTPLAYARRAGSQECADILIQHGCPGEGCGL APTPNREPANGTNPSAELHRSPSIL >ENSMUSP00000052581.4 pep:known chromosome:GRCm38:4:58435255:58553357:-1 gene:ENSMUSG00000038668.14 transcript:ENSMUST00000055018.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar1 description:lysophosphatidic acid receptor 1 [Source:MGI Symbol;Acc:MGI:108429] MAAASTSSPVISQPQFTAMNEQQCFYNESIAFFYNRSGKYLATEWNTVSKLVMGLGITVC VFIMLANLLVMVAIYVNRRFHFPIYYLMANLAAADFFAGLAYFYLMFNTGPNTRRLTVST WLLRQGLIDTSLTASVANLLAIAIERHITVFRMQLHTRMSNRRVVVVIVVIWTMAIVMGA IPSVGWNCICDIDHCSNMAPLYSDSYLVFWAIFNLVTFVVMVVLYAHIFGYVRQRTMRMS RHSSGPRRNRDTMMSLLKTVVIVLGAFIVCWTPGLVLLLLDVCCPQCDVLAYEKFFLLLA EFNSAMNPIIYSYRDKEMSATFRQILCCQRNENPNGPTEGSDRSASSLNHTILAGVHSND HSVV >ENSMUSP00000103197.1 pep:known chromosome:GRCm38:4:58435255:58553311:-1 gene:ENSMUSG00000038668.14 transcript:ENSMUST00000107571.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar1 description:lysophosphatidic acid receptor 1 [Source:MGI Symbol;Acc:MGI:108429] MAAASTSSPVISQPQFTAMNEQQCFYNESIAFFYNRSGKYLATEWNTVSKLVMGLGITVC VFIMLANLLVMVAIYVNRRFHFPIYYLMANLAAADFFAGLAYFYLMFNTGPNTRRLTVST WLLRQGLIDTSLTASVANLLAIAIERHITVFRMQLHTRMSNRRVVVVIVVIWTMAIVMGA IPSVGWNCICDIDHCSNMAPLYSDSYLVFWAIFNLVTFVVMVVLYAHIFGYVRQRTMRMS RHSSGPRRNRDTMMSLLKTVVIVLGAFIVCWTPGLVLLLLDVCCPQCDVLAYEKFFLLLA EFNSAMNPIIYSYRDKEMSATFRQILCCQRNENPNGPTEGSDRSASSLNHTILAGVHSND HSVV >ENSMUSP00000103201.2 pep:known chromosome:GRCm38:4:58435255:58553553:-1 gene:ENSMUSG00000038668.14 transcript:ENSMUST00000107575.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar1 description:lysophosphatidic acid receptor 1 [Source:MGI Symbol;Acc:MGI:108429] MAAASTSSPVISQPQFTAMNEQQCFYNESIAFFYNRSGKYLATEWNTVSKLVMGLGITVC VFIMLANLLVMVAIYVNRRFHFPIYYLMANLAAADFFAGLAYFYLMFNTGPNTRRLTVST WLLRQGLIDTSLTASVANLLAIAIERHITVFRMQLHTRMSNRRVVVVIVVIWTMAIVMGA IPSVGWNCICDIDHCSNMAPLYSDSYLVFWAIFNLVTFVVMVVLYAHIFGYVRQRTMRMS RHSSGPRRNRDTMMSLLKTVVIVLGAFIVCWTPGLVLLLLDVCCPQCDVLAYEKFFLLLA EFNSAMNPIIYSYRDKEMSATFRQILCCQRNENPNGPTEGSDRSASSLNHTILAGVHSND HSVV >ENSMUSP00000103200.1 pep:known chromosome:GRCm38:4:58435255:58553628:-1 gene:ENSMUSG00000038668.14 transcript:ENSMUST00000107574.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar1 description:lysophosphatidic acid receptor 1 [Source:MGI Symbol;Acc:MGI:108429] MAAASTSSPVISQPQFTAMNEQQCFYNESIAFFYNRSGKYLATEWNTVSKLVMGLGITVC VFIMLANLLVMVAIYVNRRFHFPIYYLMANLAAADFFAGLAYFYLMFNTGPNTRRLTVST WLLRQGLIDTSLTASVANLLAIAIERHITVFRMQLHTRMSNRRVVVVIVVIWTMAIVMGA IPSVGWNCICDIDHCSNMAPLYSDSYLVFWAIFNLVTFVVMVVLYAHIFGYVRQRTMRMS RHSSGPRRNRDTMMSLLKTVVIVLGAFIVCWTPGLVLLLLDVCCPQCDVLAYEKFFLLLA EFNSAMNPIIYSYRDKEMSATFRQILCCQRNENPNGPTEGSDRSASSLNHTILAGVHSND HSVV >ENSMUSP00000103196.1 pep:known chromosome:GRCm38:4:58435255:58499403:-1 gene:ENSMUSG00000038668.14 transcript:ENSMUST00000107570.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar1 description:lysophosphatidic acid receptor 1 [Source:MGI Symbol;Acc:MGI:108429] MNEQQCFYNESIAFFYNRSGKYLATEWNTVSKLVMGLGITVCVFIMLANLLVMVAIYVNR RFHFPIYYLMANLAAADFFAGLAYFYLMFNTGPNTRRLTVSTWLLRQGLIDTSLTASVAN LLAIAIERHITVFRMQLHTRMSNRRVVVVIVVIWTMAIVMGAIPSVGWNCICDIDHCSNM APLYSDSYLVFWAIFNLVTFVVMVVLYAHIFGYVRQRTMRMSRHSSGPRRNRDTMMSLLK TVVIVLGAFIVCWTPGLVLLLLDVCCPQCDVLAYEKFFLLLAEFNSAMNPIIYSYRDKEM SATFRQILCCQRNENPNGPTEGSDRSASSLNHTILAGVHSNDHSVV >ENSMUSP00000123694.1 pep:known chromosome:GRCm38:4:58487100:58553898:-1 gene:ENSMUSG00000038668.14 transcript:ENSMUST00000147354.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar1 description:lysophosphatidic acid receptor 1 [Source:MGI Symbol;Acc:MGI:108429] MAAASTSSPVISQPQFTAMNEQQCFYNESIAFFYNRSGKYLATEWNTVSKLVMGLG >ENSMUSP00000116856.1 pep:known chromosome:GRCm38:4:58487134:58553184:-1 gene:ENSMUSG00000038668.14 transcript:ENSMUST00000145361.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar1 description:lysophosphatidic acid receptor 1 [Source:MGI Symbol;Acc:MGI:108429] MAAASTSSPVISQPQFTAMNEQQCFYNESIAFFYNRSGKYLATEW >ENSMUSP00000121440.1 pep:known chromosome:GRCm38:4:58487045:58548401:-1 gene:ENSMUSG00000038668.14 transcript:ENSMUST00000155170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lpar1 description:lysophosphatidic acid receptor 1 [Source:MGI Symbol;Acc:MGI:108429] MAAASTSSPVISQPQFTAMNEQQCFYNESIAFFYNRSGKYLATEWNTVSKLVMGLGITVC VFIMLANLLVMVAIY >ENSMUSP00000036697.8 pep:known chromosome:GRCm38:15:76723985:76818083:-1 gene:ENSMUSG00000033697.15 transcript:ENSMUST00000036176.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap39 description:Rho GTPase activating protein 39 [Source:MGI Symbol;Acc:MGI:107858] MAMSQAQDYECRSHHVDEQEPRIPGSSTRLEWVEIIEPRTRERMYANLVTGECVWDPPAG VRIKRTSEDQWWELFDPNTSRFYYYSAASQRTVWHRPQNCDIIPLAKLQTLKQNTESPRA SADNSPGRGSRDGSTGSSLEPELEERTQELPVRSGRATTLVTSKEDTSSCSPPGVLLEKD YEVYRDYSADGQLLHYRTSSLRWNSGNKERMLIKVADREPSFLTPQGNGYPADNQPGGHH RRPSGSQHSPNLQTFVPDTDGTVFFPERRPSPFLRRAELSGNCSPLLIQPRKPSSDSQPS SPRYGYEPPLYEEPPVEYQAPIYDEPPMDVQFEANSPYQTGSPQRSPGRKPHPFLQTTKQ TPTSPCQQLMRTKQKCPERFLSLEYSPVGKEYVRQLVYVEQAGSSPKLRAGPRHKYAPNP GGGTYSLQPSPCLLRDQRLGVRSGDYSTMEGPESRPSQPPTPLPQAQEDAMSWSSQQDTM SSTGYSPGTRKRKNRKPSLCQVPSTSSTDGAGGLLGEQPLTEERSPCRASLTPVKAEADL VRGTPEPFLAQARLAWEAQQAHFHMKQRGSWDSQQDGSGYESDGAVPLPMPGPVVRAFSE DEALAQQDSKHWKRSTFDKLGFPQILLEKSVSVQTNLASPEPHLHPSQSEDLGACAQFES SRQNRSAMPSSSCVFPTFTLRKPSSETDIENWASKHFNKHTQGLFRRKVSIANMLAWSSE SIKKPMIVTSDRHVKKEACEIFKLIQMYMGDRRAKADPLHVALEIATKGWSAQGLRDELY IQLCRQTTENFRLESLARGWELMAICLAFFPPTPKFHSYLEGYIYRHMDPVNDTKVTQHI KELLERNSKKKSKLRKKPKPYVEEPDGVAISTYAKYCYHKLQKAALTGAKKGLKKPNVEE IRHAKNAVFSPSMFGSALQEVMSMQKERYPDRQLPWVQTRLSEEVLALNGDQTEGIFRVP GDIDEVNALKLQVDQWKVPTGLEDPHVPASLLKLWYRELEEPLIPHEFYEQCIAHYESPE AAVAVVHALPRINRMVLCYLIRFLQVFVQPANVAITKMDVSNLAMVMAPNCLRCQSDDPR VIFENTRKEMSFLRVLIQHLDTSFMEGVL >ENSMUSP00000076993.3 pep:known chromosome:GRCm38:15:76723985:76818170:-1 gene:ENSMUSG00000033697.15 transcript:ENSMUST00000077821.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap39 description:Rho GTPase activating protein 39 [Source:MGI Symbol;Acc:MGI:107858] MAMSQAQDYECRSHHVDEQEPRIPGSSTRLEWVEIIEPRTRERMYANLVTGECVWDPPAG VRIKRTSEDQWWELFDPNTSRFYYYSAASQRTVWHRPQNCDIIPLAKLQTLKQNTESPRA SADNSPGRGSRDGSTGSSLEPELEERTQELPVRSGRATTLVTSKEDTSSCSPPGVLLEKD YEVYRDYSADGQLLHYRTSSLRWNSGNKERMLIKVADREPSFLTPQGNGYPADNQPGGHH RRPSGSQHSPNLQTFVPDTDGTVFFPERRPSPFLRRAELSGNCSPLLIQPRKPSSDSQPS SPRYGYEPPLYEEPPVEYQAPIYDEPPMDVQFEANSPYQTGSPQRSPGRKPHPFLQTTKQ TPTSPCQQLMRTKQKCPERFLSLEYSPVGKEYVRQLVYVEQAGSSPKLRAGPRHKYAPNP GGGTYSLQPSPCLLRDQRLGVRSGDYSTMEGPESRPSQPPTPLPQAQEDAMSWSSQQDTM SSTGYSPGTRKRKNRKPSLCQVPSTSSTDGAGGLLGEQPLTEERSPCRASLTPVKAEADL VRGTPEPFLAQARLAWEAQQAHFHMKQRGSWDSQQDGSGYESDGAVPLPMPGPVVRAFSE DEALAQQDSKHWKRSTFDKLGFPQILLEKSVSVQTNLASPEPHLHPSQSEDLGACAQFES SRQNRSAMPSSSCVFPTFTLRKPSSETDIENWASKHFNKHTQGLFRRKVSIANMLAWSSE SIKKPMIVTSDRHVKKEACEIFKLIQMYMGDRRAKADPLHVALEIATKGWSAQGLRDELY IQLCRQTTENFRLESLARGWELMAICLAFFPPTPKFHSYLEGYIYRHMDPVNDTKGVAIS TYAKYCYHKLQKAALTGAKKGLKKPNVEEIRHAKNAVFSPSMFGSALQEVMSMQKERYPD RQLPWVQTRLSEEVLALNGDQTEGIFRVPGDIDEVNALKLQVDQWKVPTGLEDPHVPASL LKLWYRELEEPLIPHEFYEQCIAHYESPEAAVAVVHALPRINRMVLCYLIRFLQVFVQPA NVAITKMDVSNLAMVMAPNCLRCQSDDPRVIFENTRKEMSFLRVLIQHLDTSFMEGVL >ENSMUSP00000135043.1 pep:known chromosome:GRCm38:15:76751677:76794825:-1 gene:ENSMUSG00000033697.15 transcript:ENSMUST00000176855.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap39 description:Rho GTPase activating protein 39 [Source:MGI Symbol;Acc:MGI:107858] MAMSQAQDYECRSHHVDEQEPRIPGSSTRLEWVEIIEPRTRERMYANLVTGECVWDPPAG VRIKRTSEDQWWELFDPNTSRFYYYSAASQRTVWHRPQNCDIIPLAKLQTLKQNTESPR >ENSMUSP00000135340.1 pep:known chromosome:GRCm38:15:76758041:76818106:-1 gene:ENSMUSG00000033697.15 transcript:ENSMUST00000176219.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap39 description:Rho GTPase activating protein 39 [Source:MGI Symbol;Acc:MGI:107858] MAMSQAQDYECRSHHVDEQEPRIPGSSTRSLYLETSVLEVCGAF >ENSMUSP00000135896.1 pep:known chromosome:GRCm38:15:76758045:76817996:-1 gene:ENSMUSG00000033697.15 transcript:ENSMUST00000177026.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap39 description:Rho GTPase activating protein 39 [Source:MGI Symbol;Acc:MGI:107858] MAMSQAQDYECRSHHVDEQEPRIPGSSTRKLSPGLERWLNG >ENSMUSP00000135132.1 pep:known chromosome:GRCm38:15:76765522:76817970:-1 gene:ENSMUSG00000033697.15 transcript:ENSMUST00000175843.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap39 description:Rho GTPase activating protein 39 [Source:MGI Symbol;Acc:MGI:107858] MAMSQAQDYECRSHHV >ENSMUSP00000135154.1 pep:known chromosome:GRCm38:15:76765538:76818076:-1 gene:ENSMUSG00000033697.15 transcript:ENSMUST00000176736.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arhgap39 description:Rho GTPase activating protein 39 [Source:MGI Symbol;Acc:MGI:107858] MAMSQAQDYEC >ENSMUSP00000104955.1 pep:known chromosome:GRCm38:15:89155549:89171120:-1 gene:ENSMUSG00000036606.16 transcript:ENSMUST00000109331.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxnb2 description:plexin B2 [Source:MGI Symbol;Acc:MGI:2154239] MALPLWALTFLGLTGLGLSLRSRKPESFRSETELNHLAVDEVTGVVYVGAVNALYQLSAD LHVQQHVVTGPFMDNKKCTPPIEASQCHEAVLTDNFNQLLLLDPPGKRLVECGSLFKGIC ALRAMSNISVRLFYEDGSGEKSFVASNDERVATVGLVTSTRPDGERVLFVGKGNGPHDNG IIVSTRLLDRAEGREAFEAYSDHTTFKAGYLSTNTQQFVAAFEDDFYVFFVFNHQDKHPA KNRTLLARMCKDDPSYYSYVEMDLQCQDPSDPQDSAFGTCLAASVATSGAGRALYAVFSR DGRSTGGPGAGLCVFPLDKVREKIEANRNACYTGAREAGRTIFYKPFHGEIQCGGHLIGA SESFPCGSEHLPYPLGSRDGLVATAVLHRGGLNLTAVTVTAENDHTVAFLGTSDGRILKV YLAPDGTSAEYGSIPVDINKKIKQDLALSGNLSSLYAMTQDKVFRLPVQECLSYVTCAQC RDSQDPYCGWCVIEGRCTRKSECSRAEETGHWLWSREKSCVAITDAFPQNMSRRAQGEVR LSVSPLPTLTEDDELLCLFGDSPPHPARVEDDTVICNSPSSIPSTPPGQDHVDVSIQLLL KSGSVFLTSHQYPFYDCREAMSLVENLPCISCASNRWTCQWDLQYYECREASPNPEEGII RAHMEDNCPQFLAPDPLVIPMNHETEVTFQGKNLETVKVSSLYVGSELLNFEETVTMHES DTFSFRTPKLSHDGNETLPLHLYVKSFGKNIDSKLQVTLYNCSFGRSDCSLCLAADPAYR CVWCRGQNRCVYEALCSNVTSECPPPVITRIQPETGPLGGGILVTIHGSNLGVKADDVKK ITVAGQNCAFEPRGYSVSTRIVCAIEASEMPFTGGIEVDVNGKLGHSPPHVQFTYQQPQP LSVEPRQGPQAGGTTLTINGTHLDTGSKEDVRVTLNDVPCEVTKFGAQLQCVTGQQLAPG QVTLEIYYGGSRVPSPGISFTYCENPMIRAFEPLRSFVSGGRSINVTGQGFSLIQKFAMV VIAEPLRSWRRRRREAGALERVTVEGMEYVFYNDTKVVFLSPAVPEEPEAYNLTVLIRMD GHCAPLRTEAGVFEYVADPTFENFTGGVKKQVNKLIHARGTNLNKAMTLEEAEAFVGAER CIMKTLTETDLYCEPPEVQPPPKRRQKRDTAHNLPEFIVKFGSREWVLGRVEYDTRASDV PLSLILPLVMVPMVFIIVVSIYCYWRKSQQAEREYEKIKSQLEGLEESVRDRCKKEFTDL MIEMEDQTNDVHEAGIPTLDYKTYTDRVFFLPSKDGDKDVMITGKLDIPESRRPIVEQAL YQFSNLLNSKSFLINFIHTLENQREFSARAKVYFASLLTVALHGKLEYYTDIMRTLFLEL MEQYVVAKNPKLMLRRSETVVERMLSNWMSICLYQYLKDSAGEPLYKLFKAIKHQVEKGP VDAVQKKAKYTLNDTGLLGDDVEYAPLTVSVIVQDEGIDAIPVKVLNCDTISQVKEKIID QVYRTQPCSCWPKPDSVVLEWRPGSTAQILSDLDLTSQREGRWKRINTLMHYNVRDGATL ILSKVGVSQQPEDSQQDLPGERHALLEEENRVWHLVRPTDEVDEGKSKRGSMKEKERTKA ITEIYLTRLLSVKGTLQQFVDNFFQSVLAPGHAVPPAVKYFFDFLDEQAEKHDIRDEDTI HIWKTNSLPLRFWVNILKNPHFIFDVHVHEVVDASLSVIAQTFMDACTRTEHKLSRDSPS NKLLYAKEISTYKKMVEDYYKGIRQMVQVSDQDMNTHLAEISRAHTDSLNTLVALHQLYQ YTQKYYDEIINALEEDPAAQKMQLAFRLQQIAAALENKVTDL >ENSMUSP00000051731.9 pep:known chromosome:GRCm38:15:89155549:89170688:-1 gene:ENSMUSG00000036606.16 transcript:ENSMUST00000060808.9 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Plxnb2 description:plexin B2 [Source:MGI Symbol;Acc:MGI:2154239] MALPLWALTFLGLTGLGLSLRSRKPESFRSETELNHLAVDEVTGVVYVGAVNALYQLSAD LHVQQHVVTGPFMDNKKCTPPIEASQCHEAVLTDNFNQLLLLDPPGKRLVECGSLFKGIC ALRAMSNISVRLFYEDGSGEKSFVASNDERVATVGLVTSTRPDGERVLFVGKGNGPHDNG IIVSTRLLDRAEGREAFEAYSDHTTFKAGYLSTNTQQFVAAFEDDFYVFFVFNHQDKHPA KNRTLLARMCKDDPSYYSYVEMDLQCQDPSDPQDSAFGTCLAASVATSGAGRALYAVFSR DGRSTGGPGAGLCVFPLDKVREKIEANRNACYTGAREAGRTIFYKPFHGEIQCGGHLIGA SESFPCGSEHLPYPLGSRDGLVATAVLHRGGLNLTAVTVTAENDHTVAFLGTSDGRILKV YLAPDGTSAEYGSIPVDINKKIKQDLALSGNLSSLYAMTQDKVFRLPVQECLSYVTCAQC RDSQDPYCGWCVIEGRCTRKSECSRAEETGHWLWSREKSCVAITDAFPQNMSRRAQGEVR LSVSPLPTLTEDDELLCLFGDSPPHPARVEDDTVICNSPSSIPSTPPGQDHVDVSIQLLL KSGSVFLTSHQYPFYDCREAMSLVENLPCISCASNRWTCQWDLQYYECREASPNPEEGII RAHMEDNCPQFLAPDPLVIPMNHETEVTFQGKNLETVKVSSLYVGSELLNFEETVTMHES DTFSFRTPKLSHDGNETLPLHLYVKSFGKNIDSKLQVTLYNCSFGRSDCSLCLAADPAYR CVWCRGQNRCVYEALCSNVTSECPPPVITRIQPETGPLGGGILVTIHGSNLGVKADDVKK ITVAGQNCAFEPRGYSVSTRIVCAIEASEMPFTGGIEVDVNGKLGHSPPHVQFTYQQPQP LSVEPRQGPQAGGTTLTINGTHLDTGSKEDVRVTLNDVPCEVTKFGAQLQCVTGQQLAPG QVTLEIYYGGSRVPSPGISFTYCENPMIRAFEPLRSFVSGGRSINVTGQGFSLIQKFAMV VIAEPLRSWRRRRREAGALERVTVEGMEYVFYNDTKVVFLSPAVPEEPEAYNLTVLIRMD GHCAPLRTEAGVFEYVADPTFENFTGGVKKQVNKLIHARGTNLNKAMTLEEAEAFVGAER CIMKTLTETDLYCEPPEVQPPPKRRQKRDTAHNLPEFIVKFGSREWVLGRVEYDTRASDV PLSLILPLVMVPMVFIIVVSIYCYWRKSQQAEREYEKIKSQLEGLEESVRDRCKKEFTDL MIEMEDQTNDVHEAGIPTLDYKTYTDRVFFLPSKDGDKDVMITGKLDIPESRRPIVEQAL YQFSNLLNSKSFLINFIHTLENQREFSARAKVYFASLLTVALHGKLEYYTDIMRTLFLEL MEQYVVAKNPKLMLRRSETVVERMLSNWMSICLYQYLKDSAGEPLYKLFKAIKHQVEKGP VDAVQKKAKYTLNDTGLLGDDVEYAPLTVSVIVQDEGIDAIPVKVLNCDTISQVKEKIID QVYRTQPCSCWPKPDSVVLEWRPGSTAQILSDLDLTSQREGRWKRINTLMHYNVRDGATL ILSKVGVSQQPEDSQQDLPGERHALLEEENRVWHLVRPTDEVDEGKSKRGSMKEKERTKA ITEIYLTRLLSVKGTLQQFVDNFFQSVLAPGHAVPPAVKYFFDFLDEQAEKHDIRDEDTI HIWKTNSLPLRFWVNILKNPHFIFDVHVHEVVDASLSVIAQTFMDACTRTEHKLSRDSPS NKLLYAKEISTYKKMVEDYYKGIRQMVQVSDQDMNTHLAEISRAHTDSLNTLVALHQLYQ YTQKYYDEIINALEEDPAAQKMQLAFRLQQIAAALENKVTDL >ENSMUSP00000023749.8 pep:known chromosome:GRCm38:15:99392882:99410049:1 gene:ENSMUSG00000023010.14 transcript:ENSMUST00000023749.14 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim6 description:transmembrane BAX inhibitor motif containing 6 [Source:MGI Symbol;Acc:MGI:99682] MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHVVTHFIQAGLLS ALGSLALMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALELCIAVNPSILPTAFMG TAMIFTCFSLSALYARRRSYLFLGGILMSAMSLMLLSSLGNLFFGSIWLFQANLYLGLLV MCGFVLFDTQLIIEKAEHGDKDYIWHCVDLFLDFVTLFRKLMLILAFNEKDKKKEKK >ENSMUSP00000125318.1 pep:known chromosome:GRCm38:15:99393610:99408610:1 gene:ENSMUSG00000023010.14 transcript:ENSMUST00000159531.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim6 description:transmembrane BAX inhibitor motif containing 6 [Source:MGI Symbol;Acc:MGI:99682] MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHVVTHFIQAGLLS ALGSLALMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALELCIAVNPSILPTAFMG TAMIFTCFSLSALYARRRSYLFLGGILMSAMSLMLLSSLGNLFFGSIWLFQANLYLGLLV MCGFVLFDTQLIIEKAEHGDKDYIWHCVDLFLDFVTLFRKLMLILAFNEKDKKKEKK >ENSMUSP00000125563.1 pep:known chromosome:GRCm38:15:99392986:99408634:1 gene:ENSMUSG00000023010.14 transcript:ENSMUST00000161250.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim6 description:transmembrane BAX inhibitor motif containing 6 [Source:MGI Symbol;Acc:MGI:99682] MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHVVTHFIQAGLLS ALGSLALMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALELCIAVNPSILPTAFMG TAMIFTCFSLSALYARRRSYLFLGGILMSAMSLMLLSSLGNLFFGSIWLFQANLYLGLLV MCGFVLFDTQLIIEKAEHGDKDYIWHCVDLFLDFVTLFRKLMLILAFNEKDKKKEKK >ENSMUSP00000124651.1 pep:known chromosome:GRCm38:15:99392986:99408536:1 gene:ENSMUSG00000023010.14 transcript:ENSMUST00000160635.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim6 description:transmembrane BAX inhibitor motif containing 6 [Source:MGI Symbol;Acc:MGI:99682] MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHVVTHFIQAGLLS ALGSLALMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALELCIAVNPSILPTAFMG TAMIFTCFSLSALYARRRSYLFLGGILMSAMSLMLLSSLGNLFFGSIWLFQANLYLGLLV MCGFVLFDTQLIIEKAEHGDKDYIWHCVDLFLDFVTLFRKLMLILAFNEKDKKKEKK >ENSMUSP00000125091.1 pep:known chromosome:GRCm38:15:99393592:99406677:1 gene:ENSMUSG00000023010.14 transcript:ENSMUST00000162624.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim6 description:transmembrane BAX inhibitor motif containing 6 [Source:MGI Symbol;Acc:MGI:99682] MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHVVTHFIQAGLLS ALGSLALMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALELCIAVNPSILPTAFMG TAMIFTCFSLSALYARRRSYLFLGGILMSAMSLMLLSSLGNLFFGSIWLFQANLYLGLLV MCGFVLFDTQLIIEKAEHGDKDYI >ENSMUSP00000125117.1 pep:known chromosome:GRCm38:15:99393219:99408535:1 gene:ENSMUSG00000023010.14 transcript:ENSMUST00000159209.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim6 description:transmembrane BAX inhibitor motif containing 6 [Source:MGI Symbol;Acc:MGI:99682] MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHVVTHFIQAGLLS ALGSLALMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALELCIAVNPSILPTAFMG TAMIFTCFSLSALYARRRSYLFLGGILMSAMSLMLLSSLGNLFFGSIWLFQANLYLGLLV MCGFVLFDTQLIIEKAEHGDKDYIWHCVDLFLDFVTLFRKLMLILAFNEKDKKKEKK >ENSMUSP00000124805.1 pep:known chromosome:GRCm38:15:99393022:99408491:1 gene:ENSMUSG00000023010.14 transcript:ENSMUST00000161778.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim6 description:transmembrane BAX inhibitor motif containing 6 [Source:MGI Symbol;Acc:MGI:99682] MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHVVTHFIQAGLLS ALGSLALMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALELCIAVNPSILPTAFMG TAMIFTCFSLSALYARRRSYLFLGGILMSAMSLMLLSSLGNLFFGSIWLFQANLYLGLLV MCGFVLFDTQLIIEKAEHGDKDYIWHCVDLFLDFVTLFRKLMLILAFNEKDKKKE >ENSMUSP00000125437.1 pep:known chromosome:GRCm38:15:99401085:99402102:1 gene:ENSMUSG00000023010.14 transcript:ENSMUST00000162274.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmbim6 description:transmembrane BAX inhibitor motif containing 6 [Source:MGI Symbol;Acc:MGI:99682] MNIFDRKINFDALLKFSHITPSTQQHLKKVYA >ENSMUSP00000071208.1 pep:known chromosome:GRCm38:14:52372110:52373051:-1 gene:ENSMUSG00000094140.1 transcript:ENSMUST00000071221.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Olfr1512 description:olfactory receptor 1512 [Source:MGI Symbol;Acc:MGI:3031346] MERINYTVLTEFILTGVPHPPRLRTFLFVFFLLIYILTQLGNALILITVCADTQLHARPM YIFLGALSVIDMGISTIIVPRLMMNFTPGIKPIPFGGCVAQLYFYHFLGSSQCFLYTTMA YDRYLAICQPLRYPVLMSAKLSILLVAGAWVAGSIHGAIQAILTFRLPYCGPNQVDYFFC DIPAVLKLACADTTVNELVTFVDIGVVVASCFSLILLSYIYIIRAILRIRTADGRRRAFS TCGAHVTIVTVYYVPCAFIYLRPDSHSILDGAAALFPTAITPFLNPLIYTLRNQEVKLAL RRMVGSQSTKSEV >ENSMUSP00000137175.1 pep:known chromosome:GRCm38:14:5164535:5169233:1 gene:ENSMUSG00000095912.1 transcript:ENSMUST00000179659.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm3317 description:predicted gene 3317 [Source:MGI Symbol;Acc:MGI:3781495] MTKKRSKRNELEELKLDMRKISNDMEEMCGILNLYMYEDLNYRMNTEFNIIKSQHEKTML DMNKMIQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLHENVRILLNENRRLLVEQAG HKCPVGKKRGSLRRPARTSVSQVPRNSSPAESRTWHRPGHDLPQREVLEEEH >ENSMUSP00000140587.1 pep:known chromosome:GRCm38:17:10840384:12063361:1 gene:ENSMUSG00000023826.14 transcript:ENSMUST00000191124.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park2 description:Parkinson disease (autosomal recessive, juvenile) 2, parkin [Source:MGI Symbol;Acc:MGI:1355296] MIVFVRFNSSYGFPVEVDSDTSILQLKEVVAKRQGVPADQLRVIFAGKELPNHLTVQNCD LEQQSIVHIVQRPRRRSHETNASGGDEPQSTSEGSIWESRSLTRVDLSSHTLPVDSVGLA VILDTDSKRDSEAARGPVKPTYNSFFIYCKGPCHKVQPGKLRVQCGTCKQATLTLAQGPS CWDDVLIPNRMSGECQSPDCPGTRAEFFFKCGAHPTSDKDTSVALNLITSNRRSIPCIAC TDVRSPVLVFQCNHRHVICLDCFHLYCVTRLNDRQFVHDAQLGYSLPCVAGCPNSLIKEL HHFRILGEEQYTRYQQYGAEECVLQMGGVLCPRPGCGAGLLPEQGQRKVTCEGGNGLGCG FVFCRDCKEAYHEGDCDSLLEPSGATSQAYRVDKRAAEQARWEEASKETIKKTTKPCPRC NVPIEKNGGCMHMKCPQPQCKLEWCWNCGCEWNRACMGDHWFDV >ENSMUSP00000140217.1 pep:known chromosome:GRCm38:17:11303647:11610945:1 gene:ENSMUSG00000023826.14 transcript:ENSMUST00000186406.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park2 description:Parkinson disease (autosomal recessive, juvenile) 2, parkin [Source:MGI Symbol;Acc:MGI:1355296] XQPGKLRVQCGTCKQATLTLAQGPSCWDDVLIPNRMSGECQSPDCPGTRAEFFFKCGAHP TSDKDTSVALNLITSNRRSIPCIACTDVRVVPDYQCRYPRKPEV >ENSMUSP00000136268.1 pep:known chromosome:GRCm38:17:11838602:11867561:1 gene:ENSMUSG00000023826.14 transcript:ENSMUST00000179624.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park2 description:Parkinson disease (autosomal recessive, juvenile) 2, parkin [Source:MGI Symbol;Acc:MGI:1355296] XGCPNSLIKELHHFRILGEEQYTRYQQYGAEECVLQMGGVLCPRPGCGAGLLPEQGQRKV TCEGGNGLGCG >ENSMUSP00000127455.2 pep:known chromosome:GRCm38:17:11067125:11493173:1 gene:ENSMUSG00000023826.14 transcript:ENSMUST00000168593.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Park2 description:Parkinson disease (autosomal recessive, juvenile) 2, parkin [Source:MGI Symbol;Acc:MGI:1355296] LVFVRFNSSYGFPVEVDSDTSILQLKEVVAKRQGVPADQLRVIFAGKELPNHLTVQNCDL EQQSIVHIVQRPRRRSHETNASGGDEPQSTSEGSIWESRSLTRVDLSSHTLPVDSVGLAV ILDTDSKRDSEAARGPVKPTYNSFFIYCKGPCHKVQPGKLRVQCGTCKQATLTLAQGPSC WDDVLIPNRMSGECQSPDCPGTRAEFFFKCGAHPTSDKDTSVALNLITSNRRSIPCIACT DVRHL >ENSMUSP00000139808.1 pep:known chromosome:GRCm38:Y:5725978:5728317:1 gene:ENSMUSG00000096268.2 transcript:ENSMUST00000188887.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20914 description:predicted gene, 20914 [Source:MGI Symbol;Acc:MGI:5434270] MTSLKKKSRRKPFSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLASALVGREVQHKFEGKDGSEDNWSG MVLPQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136243.1 pep:known chromosome:GRCm38:Y:5727496:5728179:1 gene:ENSMUSG00000096268.2 transcript:ENSMUST00000180372.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm20914 description:predicted gene, 20914 [Source:MGI Symbol;Acc:MGI:5434270] MTSLKKKSRRKPFSQALGNIVGCRISHGWKEGNEPVTHWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFPQVRDVHLASALVGREVQHKFEGKDGSEDNWSG MVLPQVPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDGSKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000136147.1 pep:known chromosome:GRCm38:4:22482780:22488366:-1 gene:ENSMUSG00000095139.2 transcript:ENSMUST00000178174.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pou3f2 description:POU domain, class 3, transcription factor 2 [Source:MGI Symbol;Acc:MGI:101895] MATAASNHYSLLTSSASIVHAEPPGGMQQGAGGYREAQSLVQGDYGALQSNGHPLSHAHQ WITALSHGGGGGGGGGGGGGGGGGGGGGDGSPWSTSPLGQPDIKPSVVVQQGGRGDELHG PGALQQQHQQQQQQQQQQQQQQQQQQQQQQQRPPHLVHHAANHHPGPGAWRSAAAAAHLP PSMGASNGGLLYSQPSFTVNGMLGAGGQPAGLHHHGLRDAHDEPHHADHHPHPHSHPHQQ PPPPPPPQGPPGHPGAHHDPHSDEDTPTSDDLEQFAKQFKQRRIKLGFTQADVGLALGTL YGNVFSQTTICRFEALQLSFKNMCKLKPLLNKWLEEADSSSGSPTSIDKIAAQGRKRKKR TSIEVSVKGALESHFLKCPKPSAQEITSLADSLQLEKEVVRVWFCNRRQKEKRMTPPGGT LPGAEDVYGGSRDTPPHHGVQTPVQ >ENSMUSP00000132746.1 pep:known chromosome:GRCm38:14:5185793:5193743:1 gene:ENSMUSG00000079380.4 transcript:ENSMUST00000171053.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm8271 description:predicted gene 8271 [Source:MGI Symbol;Acc:MGI:3648017] MFSWLLRLCQKENGDEGEIRTTEKEEGILSHEKGRRKSFWRRHRSARNTSTQNSKITKQR SKINELEELKLDMRKISNDMEEMGGILELYIYEDLNYRMNTEFNIIKSQHEKTMLDMNEM IQSIIGSMQYSKELIEDNYSYSIKEDHLLRECTQLSEKVRILLNENRKLLVEQAGTQLSH EEEKRFCEEASKNICASSAKEQQCVNSSRNRNMAQTTT >ENSMUSP00000073081.1 pep:known chromosome:GRCm38:5:88376108:88385916:1 gene:ENSMUSG00000029282.3 transcript:ENSMUST00000073363.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Amtn description:amelotin [Source:MGI Symbol;Acc:MGI:1918671] MKTMILLLCLLGSAQSLPKQLNPASGVPATKPTPGQVTPLPQQQPNQVFPSISLIPLTQL LTLGSDLPLFNPAAGPHGAHTLPFTLGPLNGQQQLQPQMLPIIVAQLGAQGALLSSEELP LASQIFTGLLIHPLFPGAIPPSGQAGTKPDVQNGVLPTRQAGAKAVNQGTTPGHVTTPGV TDDDDYEMSTPAGLRRATHTTEGTTIDPPNRTQ >ENSMUSP00000052055.6 pep:known chromosome:GRCm38:8:4226827:4237470:1 gene:ENSMUSG00000046589.7 transcript:ENSMUST00000053035.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8e description:leucine rich repeat containing 8 family, member E [Source:MGI Symbol;Acc:MGI:1919517] MIPVAEFKQFTEQQPAFKVLKPWWDVLAEYLTVAMLMIGVFGCTLQVTQDKIICLPSHES RENISGAPCQQLLPQGISEQMGGLRELSGLKNNLDLQQYSFINQLCYETALHWYAKYFPY LVVIHTLIFMVCTSFWFKFPGTSSKIEHFISILGKCFDSPWTTRALSEVSGENHKGPASG RAMVTTVTTTGAGSGKVGEGEKEKVLIEPEKVVSEPPVVTLLDKKEGEQAKALFEKVKKF RVHVEEGDILYSMYIRQTVLKVCKFFAILVYNLIYVEKISFLVACRVETSEITGYASFCC NHTKAHLFSKLAFCYISFVCVYGITCLYTLYWLFHRPLKEYSFRSVREETGMNDIPDVKN DFAFMLHLIDQYDSLYSKRFAVFLSEVSESRLKQLNLNHEWTPEKLRQKLQRNMRGRLEL SLCMLPGLPDTVFELSEVEALRLEAICDISFPPGLSQLVNLQELSLLHSPARLPFSSQIF LRDRLKVICVKFEELREVPLWVFGLRGLEELHLEGLFPPEMARGATLESLRELKQLKVLS LRSNAGKVPASVTDVAGHLQRLSLHNDGARLLALNSLKKLAVLRELELVACGLERIPHAI FSLGALQELDLKDNHLRSIEEILSFQHCRKLVTLRLWHNQIAYVPEHVRKLRSLEQLYLS HNKLETLPTQLGQCFGLRLLDLSHNGLRSLPPELGLLQSLQHLALSYNALESLPDELFFC HKLRTLLLGYNHLTQLSPDVAALQALSRLELKGNRLETLPEELGDCKGLKKSGLLVEDTL YEGLPAEVREKMEEE >ENSMUSP00000146637.1 pep:known chromosome:GRCm38:8:4231207:4233970:1 gene:ENSMUSG00000046589.7 transcript:ENSMUST00000207770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lrrc8e description:leucine rich repeat containing 8 family, member E [Source:MGI Symbol;Acc:MGI:1919517] MIPVAEFKQFTEQQPAFKVLKPWWDVLAEYLTVAMLMIGVFGCTLQVTQDKIICLPSHES RENIS >ENSMUSP00000140005.1 pep:known chromosome:GRCm38:Y:5793395:5795728:1 gene:ENSMUSG00000100634.1 transcript:ENSMUST00000190100.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm28171 description:predicted gene 28171 [Source:MGI Symbol;Acc:MGI:5578877] MTSLKKKSRRKPSSQALGNIVGCRISHGWKEGIKPVTNWKAIILGQLPTNPSLYLVKYDG IDSVYGQELHSDERILNLKVLPHKVVFLQVRDVHLASALVSREVQHKFEGKDGSEDNWSG MVLAQGPFLQDYFYISYKKDPVLYVYQLLDDYKEGNLHIIPETPLAEARSGDDNDFLIGS WVQYTRDDESKKFGKVVYKALANPTVYFIKFLGDLHIYVYTLVSNIT >ENSMUSP00000088379.1 pep:known chromosome:GRCm38:3:92777210:92778899:-1 gene:ENSMUSG00000068888.3 transcript:ENSMUST00000090866.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1i description:late cornified envelope 1I [Source:MGI Symbol;Acc:MGI:1923835] MSCQQSQQQCQAPAKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSSGGCC SSGGCCSSGGCCSSGGGGCCLSHHRPRRSLRRHRHSSGCCSSGGSSGCCGSSGGSSGCCG SSGGSSGCCGSSGGSGGCCGSSQQSGGCC >ENSMUSP00000029325.3 pep:known chromosome:GRCm38:3:60031761:60040160:1 gene:ENSMUSG00000027761.4 transcript:ENSMUST00000029325.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aadac description:arylacetamide deacetylase (esterase) [Source:MGI Symbol;Acc:MGI:1915008] MGKTISLLISVVLVAYYLYIPLPDAIEEPWKVVWETAFVKIGTDLASFGELLGISHFMET IQLLMSFQEVPPTSDEHVTVMETAFDSVPVRIYIPKRKSMALRRGLFYIHGGGWCLGSAA HFSYDTLSRWTAHKLDAVVVSTDYGLAPKHHFPRQFEDVYRSLRWFLQEDVLEKYGVDPR RVGVSGDSAGGNLAAAVTQQLIQDPDVKIKLKVQALIYPALQALDTNVPSQQEGSHFPVL TRSLMVRFWSEYFTTDRGLEKAMLLNQHVPMESSHLLQFVNWSSLLPERYKKSPVYKNPT PGSSELAQKYPGFIDVKACPLLANDNILHHLPKTYIITCQYDVLRDDGLMYVKRLQNVGV HVTHHHVEDGFHGTFSFPGLKLSERMKNQYLSWLIKNL >ENSMUSP00000102746.1 pep:known chromosome:GRCm38:4:90218820:90225702:1 gene:ENSMUSG00000070902.5 transcript:ENSMUST00000107129.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp352 description:zinc finger protein 352 [Source:MGI Symbol;Acc:MGI:2387418] MEMAENTGASQPGPCNTQPSPVESSQLFSGQSSEMLTWKQTVMESASCSTQETTCTQNST AYPGKAIGFHFGQPFENTSWNQQVVSAEQKISPAGLYHTQLNSAPQICLPPQKTTRVDTR ETFYNEDYWMRTLNSDKTLLTQNTAMCENQRVTFDNHQIALYGSHAGQDPNVGHAFASEH DWTSYNSHMTTRNGGHLTLYESQMTAPSCSQTLHPNQIITSFSEQNYFEDQQSNLAVNNG FYGNQVILPNGYQAFYEPQMRANFDQTNDQMKSFSGQNVCKGQENLLSGECSLSGYQTSG YRCDQDLIVNPQVTSPIGGQPLSDFQTQTSSFDTALPGSKRTRPSAEDNLACPLETSPTS EETLYLGQMRTSVDQNFYSSQNGTPNIKESLDPQVTSFSLQAPYVGESSYPSCSPLIQKQ PQKNSSASSLVQRQHPEMKLDFKTQSPVSQKDPSPLKLYFCTYKGCTKFYKRAYHLKEHQ KKHTDKRKYGCDEPGCTWSFFRLCDLNRHKEKHNGERFYACPLCSTNYSRLLYLKKHLEK KHAQAQPTTAT >ENSMUSP00000079383.4 pep:known chromosome:GRCm38:4:90223625:90225280:1 gene:ENSMUSG00000070902.5 transcript:ENSMUST00000080541.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zfp352 description:zinc finger protein 352 [Source:MGI Symbol;Acc:MGI:2387418] MEMAENTGASQPGPCNTQPSPVESSQLFSGQSSEMLTWKQTVMESASCSTQETTCTQNST AYPGKAIGFHFGQPFENTSWNQQVVSAEQKISPAGLYHTQLNSAPQICLPPQKTTRVDTR ETFYNEDYWMRTLNSDKTLLTQNTAMCENQRVTFDNHQIALYGSHAGQDPNVGHAFASEH DWTSYNSHMTTRNGGHLTLYESQMTAPSCSQTLHPNQIITSFSEQNYFEDQQSNLAVNNG FYGNQVILPNGYQAFYEPQMRANFDQTNDQMKSFSGQNVCKGQENLLSGECSLSGYQTSG YRCDQDLIVNPQVTSPIGGQPLSDFQTQTSSFDTALPGSKRTRPSAEDNLACPLETSPTS EETLYLGQMRTSVDQNFYSSQNGTPNIKESLDPQVTSFSLQAPYVGESSYPSCSPLIQKQ PQKNSSASSLVQRQHPEMKLDFKTQSPVSQKDPSPLKLYFCTYKGCTKFYKRAYHLKEHQ KKHTDKRKYGCDEPGCTWSFFRLCDLNRHKEKHNGERFYACPLCSTNYSRLLYLKKHLEK KHAQAQPTTAT >ENSMUSP00000140507.1 pep:known chromosome:GRCm38:3:92788840:92790514:-1 gene:ENSMUSG00000068887.4 transcript:ENSMUST00000186525.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1j description:late cornified envelope 1J [Source:MGI Symbol;Acc:MGI:3702532] MSCQQSQQQCQAPAKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSSGCCC SSGGCCSSGGCCSSGGGGCCLSHHRHHRSLHRHRHSSGCCSSGGSSGCCGSSGGSSGCCG SSGGSSGCCGSSQQSGGCC >ENSMUSP00000102925.2 pep:known chromosome:GRCm38:3:92789050:92789469:-1 gene:ENSMUSG00000068887.4 transcript:ENSMUST00000107304.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Lce1j description:late cornified envelope 1J [Source:MGI Symbol;Acc:MGI:3702532] MSCQQSQQQCQAPAKCPPKCQTPKCPPKCPPKCPPKCPPVSSCCSLGSGGCCGSSSGCCC SSGGCCSSGGCCSSGGGGCCLSHHRHHRSLHRHRHSSGCCSSGGSSGCCGSSGGSSGCCG SSGGSSGCCGSSQQSGGCC >ENSMUSP00000139442.1 pep:known chromosome:GRCm38:Y:5942460:5945031:1 gene:ENSMUSG00000096036.2 transcript:ENSMUST00000185926.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21778 description:predicted gene, 21778 [Source:MGI Symbol;Acc:MGI:5433942] MSSLMKKRRRKSSSNTLRNIVGCRISHCWKESNEPVTQWKAIVLGQLPTNPSLYLVKYDG IDSIYGQELYSDDRILNLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEEKDGSEVNWRG VVLAQVPIMKDLFYITYKKDPGLYAYQLLDDYKEGNLHMIPDTPLAEERSGDDSDVLIGN WVQYTRKDGSKKFGKVVYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000136470.1 pep:known chromosome:GRCm38:Y:5944438:5944908:1 gene:ENSMUSG00000096036.2 transcript:ENSMUST00000178779.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21778 description:predicted gene, 21778 [Source:MGI Symbol;Acc:MGI:5433942] NLKVLPPIVVFPQVRDAHLARALVGRAVQHKFEEKDGSEVNWRGVVLAQVPIMKDLFYIT YKKDPGLYAYQLLDDYKEGNLHMIPDTPLAEERSGDDSDVLIGNWVQYTRKDGSKKFGKV VYQVLANPSVYFIKFHGDIHIYVYTMVPKILEVEKS >ENSMUSP00000059095.6 pep:known chromosome:GRCm38:X:12654879:12673668:-1 gene:ENSMUSG00000044148.6 transcript:ENSMUST00000060108.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1810030O07Rik description:RIKEN cDNA 1810030O07 gene [Source:MGI Symbol;Acc:MGI:1916405] MVVSELAARLNCAEYKNWVKAGHCLLLLRSCLQGFIDREVLSFHRGLLAAVPGLGPHATC RGGSRCSPRARQFQPQCQVCAEWKHEILRHHINRNGDVHWGNCKPGLWPKDPWEVAKAFM PRGLADKRGPEECDAVALLSLINSCDHFVVDRKKVTEVIKCRNEIMHSSEMKVSSTWLRD FQIKIQNFLNEFKNIPEIVAVYSRIEQLLTSDWAVHIPEEDERDGCEFEIGSYLSVSQIH EIEIELLKEKLQEMYLQAAEEEMLPEEISNQLDVVKGFLGSNTDLRNGLTEDLQKLESLH LQHQKQTSKDAGRQTPERKA >ENSMUSP00000105984.2 pep:known chromosome:GRCm38:13:27432681:27438648:-1 gene:ENSMUSG00000021345.15 transcript:ENSMUST00000110355.8 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl8a6 description:prolactin family 8, subfamily a, member 6 [Source:MGI Symbol;Acc:MGI:1332225] MALLLSQPHFSGPLLLLVVSNLLLWEKAASNLPCVAEEGGCWNPLLETFNSATQKAETLH NLADQLYVELYYNQFSSGQFWDFSSQIIRQDKTVVRAGSYCHSSLTNPPNTGVHINIEIA SYLKTLINFVGSWISPLFHLVIELSATKDVPETILSKAKEIEENNRQILSDLRWILTKVS PAAEMTEEFPHWEYLSFLKSSDKNNKFLAMFNLSYCIDHDSKYILLQLRLLKCLITGKDC >ENSMUSP00000079584.5 pep:known chromosome:GRCm38:13:27432681:27438688:-1 gene:ENSMUSG00000021345.15 transcript:ENSMUST00000080762.11 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl8a6 description:prolactin family 8, subfamily a, member 6 [Source:MGI Symbol;Acc:MGI:1332225] MALLLSQPHFSGPLLLLVVSNLLLWEKAASNLPCVAEEGGCWNPLLETFNSATQKAETLH NLADQLYVELYYNQFSSGQFWDFIASYLKTLINFVGSWISPLFHLVIELSATKDVPETIL SKAKEIEENNRQILSDLRWILTKVSPAAEMTEEFPHWEYLSFLKSSDKNNKFLAMFNLSY CIDHDSKYILLQLRLLKCLITGKDC >ENSMUSP00000018392.7 pep:known chromosome:GRCm38:13:27432868:27438597:-1 gene:ENSMUSG00000021345.15 transcript:ENSMUST00000018392.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prl8a6 description:prolactin family 8, subfamily a, member 6 [Source:MGI Symbol;Acc:MGI:1332225] MALLLSQPHFCPLLLLVVSNLLLWEKAASNLPCVAEEGGCWNPLLETFNSATQKAETLHN LADQLYVELYYNQFSSGQFWDFSSQIIRQDKTVVRAGSYCHSSLTNPPNTGVHINIEIAS YLKTLINFVGSWISPLFHLVIELSATKDVPETILSKAKEIEENNRQILSDLRWILTKVSP AAEMTEEFPHWEYLSFLKSSDKNNKFLAMFNLSYCIDHDSKYILLQLRLLKCLITGKDC >ENSMUSP00000023250.4 pep:known chromosome:GRCm38:15:74979534:74983430:-1 gene:ENSMUSG00000022586.11 transcript:ENSMUST00000023250.10 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6i description:lymphocyte antigen 6 complex, locus I [Source:MGI Symbol;Acc:MGI:1888480] MDTSHAIKSCVLILLVTLLCAERAQGLECYQCYGVPFETSCPSFTCPYPDGFCVAQEEEF IANSQRKKVKSRSCHPFCPDEIEKKFILDPNTKMNISCCQEDLCNAAVPTGGSSWTTAGV LLFSLGSVLLQTLM >ENSMUSP00000129867.1 pep:known chromosome:GRCm38:15:74979812:74983430:-1 gene:ENSMUSG00000022586.11 transcript:ENSMUST00000166694.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ly6i description:lymphocyte antigen 6 complex, locus I [Source:MGI Symbol;Acc:MGI:1888480] MDTSHAIKSCVLILLVTLLCAERAQGLECYQCYGVPFETSCPSFTCPYPDGFCVAQEEEF IANSQRKKVKSRSCHPFCPDEIEKKFILDPNTKMNISCCQEDLCNAAVPTGGSSWTTAGV LLFSLGSVLLQTLM >ENSMUSP00000137777.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143412426:143421091:1 gene:ENSMUSG00000097778.7 transcript:ENSMUST00000180619.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef8 MSVQDPPTLLQLSVQRLLRDEALAISSLQYLPRALFPLLFKEAFNHRQTNVLRAMVAVWP FPFLPLGTLMKTPHLEILQAVLDGVDMLWTQKVLPRRRKLQVLDLRNVYHDFWDVWVGPE DGDHSAETVCEKQIAKRLHQYALRRRLKVVTDLCLRFHLNEHQAYLLQWAQQRRSSIRLC CVKMQIWALPVYTVRKVLMVFQPDSIQELELNTGWSLSTLVHFASYLDQMRNLQKLLLTR IHKNTFKVLNTSSDIQKCITKFVSQFSKLNSLQHLSMNGIYFSSEHMKQLFRYLKTPLET LSITMCKLSHLDLNSLSQSQSLHQLKQLNLRLVKLIDLRPVPFHDLLRSVAGTLQTLELE GCWMVDSQLTALLPALSQCSQLTRVNFYDNDISMAVLKDLLYHTASLSQLTQELYPAPLE CYDDTGDVLMGRFVQLCPELMETLITVRQPKNVSFATYICHECCQRCVYGLETKLCRCRQ >ENSMUSP00000137855.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143412972:143416842:1 gene:ENSMUSG00000097778.7 transcript:ENSMUST00000181624.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef8 MSVQDPPTLLQLSVQRLLRDEALAISSLQYLPRALFPLLFKEAFNHRQTNVLRAMVAVW >ENSMUSP00000137891.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143412987:143421091:1 gene:ENSMUSG00000097778.7 transcript:ENSMUST00000180614.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef8 MSVQDPPTLLQLSVQRLLRDEALAISSLQYLPRALFPLLFKEAFNHRQTNVLRAMVAVWP FPFLPLGTLMKTPHLEILQAVLDGVDMLWTQKVLPRRRKLQVLDLRNVYHDFWDVWVGPE DGDHSAETVCEKQIAKRLHQYALRRRLKVVTDLCLRFHLNEHQAYLLQWAQQRRSSIRLC CVKMQIWALPVYTVRKVLMVFQPDSIQELELNTGWSLSTLVHFASYLDQMRNLQKLLLTR IHKNTFKVLNTSSDIQKCITKFVSQFSKLNSLQHLSMNGIYFSSEHMKQLFRYLKTPLET LSITMCKLSHLDLNSLSQSQSLHQLKQLNLRLVKLIDLRPVPFHDLLRSVAGTLQTLELE GCWMVDSQLTALLPALSQCSQLTRVNFYDNDISMAVLKDLLYHTASLSQLTQELYPAPLE CYDDTGDVLMGRFVQLCPELMETLITVRQPKNVSFATYICHECCQRCVYGLETKLCRCRQ >ENSMUSP00000137917.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143413009:143417669:1 gene:ENSMUSG00000097778.7 transcript:ENSMUST00000181314.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pramef8 MSVQDPPTLLQLSVQRLLRDEALAISSLQYLPRALFPLLFKEAFNHRQTNVLRAMVAVWP FPFLPLGTLMKTPHLEILQAVLDGVDMLWTQKVLPRRRKLQVLDLRNVYHDFWDVWVGPE DGDHSAETVCEKQIAKRLHQYALRRRLKVVTDLCLRFHLNEHQAYLLQWAQQRRSSIRLC CVKMQIWALPVYTV >ENSMUSP00000138073.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4035407:4037921:-1 gene:ENSMUSG00000097830.3 transcript:ENSMUST00000180480.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstp1 description:glutathione S-transferase, pi 1 [Source:MGI Symbol;Acc:MGI:95865] MPPYTIVYFPVRGRCEAMRMLLADQGQSWKEEVVTIDTWMQGLLKPTCLYGQLPKFEDGD LTLYQSNAILRHLGRSLGLYGKNQREAAQMDMVNDGVEDLRGKYVTLIYTNYENGKNDYV KALPGHLKPFETLLSQNQGGKAFIVGDQISFADYNLLDLLLIHQVLAPGCLDNFPLLSAY VARLSARPKIKAFLSSPEHVNRPINGNGKQ >ENSMUSP00000137710.1 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3331471:3366737:1 gene:ENSMUSG00000096998.3 transcript:ENSMUST00000181686.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng7 description:calcium channel, voltage-dependent, gamma subunit 7 [Source:MGI Symbol;Acc:MGI:1932374] MSHCSSRALTLLSSVFGACGLLLVGIAVSTDYWLYMEEGTVLPQNQTTEVKMALHAGLWR VCFFAGREKGRCVASEYFLEPEINLVTENTENILKTVRTATPFPMVSLFLVFTAFVISNI GHIRPQRTILAFVSGIFFILSGLSLVVGLVLYISSINDEVMNRPSSSEQYFHYRYGWSFA FAASSFLLKEGAGVMSVYLFTKRYAEEEMYRPHPAFYRPRLSDCSDYSGQFLQPEAWRRG RSPSDISSDVSIQMTQNYPPAIKYPDHLHISTSPC >ENSMUSP00000138013.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4040285:4042236:-1 gene:ENSMUSG00000097808.3 transcript:ENSMUST00000181010.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gstp2 description:glutathione S-transferase, pi 2 [Source:MGI Symbol;Acc:MGI:95864] MPPYTIVYFPSPGRCEAMRMLLADQGQSWKEEVVTIDTWMQGLLKPTCLYGQLPKFEDGD LTLYQSNAILRHLGRSLGLYGKNQREAAQVDMVNDGVEDLRGKYGTMIYRNYENGKNDYV KALPGHLKPFETLLSQNQGGKAFIVGDQISFADYNLLDLLLIHQVLAPGCLDNFPLLSAY VARLSARPKIKAFLSSPEHVNRPINGNGKQ >ENSMUSP00000137872.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143437164:143450324:-1 gene:ENSMUSG00000097153.7 transcript:ENSMUST00000180552.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oog4 MIPVWPFPYLSLGKLIKNCNLETLKAMLEGVDILLAQNDRSSRCKLRVLDLRNEYHTLWR IWGGSYEGRGLPEFMTQQQPMENCPDCGVNKELKVTTDLNLVDGGLDESATYLLQWAQQK KDSIHLCCTMLRIHSLPKDIFRDILKIVNTGCIQELHLKELYTEELVFLIPYLREMNNLL TFMLSSIRETCRMDNSTRLDEENISRFISRLPKFHCLQNLYVNDITLLGNNLKDFLRCLG KPLETLCLTSCDLSQSDLDYLPCCQNTSALKRLNLTATSLRGLVFHPLGVLLESVRGTLQ SLELELCFMEDSQISAILPALSQCSHLTKVNFYDNELSLPILRQLLYHTANLSQLTYEVY PAPLECYNDMNIILTHKLENFCPELLDIIRANRQPNRVTFATSKCLICCGSYVYDLETQS CLYQKDLLED >ENSMUSP00000138060.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143437165:143450304:-1 gene:ENSMUSG00000097153.7 transcript:ENSMUST00000180702.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Oog4 MASCEECPPQNVSFEEDIVNINPPPALQNLAIQSLLRDEALAISALKNLPQNLFPAIFEA AVIGGRIEILKAMIPVWPFPYLSLGKLIKNCNLETLKAMLEGVDILLAQNDRSSRCKLRV LDLRNEYHTLWRIWGGSYEGRGLPEFMTQQQPMENCPDCGVNKELKVTTDLNLVDGGLDE SATYLLQWAQQKKDSIHLCCTMLRIHSLPKDIFRDILKIVNTGCIQELHLKELYTEELVF LIPYLREMNNLLTFMLSSIRETCRMDNSTRLDEENISRFISRLPKFHCLQNLYVNDITLL GNNLKDFLRCLGKPLETLCLTSCDLSQSDLDYLPCCQNTSALKRLNLTATSLRGLVFHPL GVLLESVRGTLQSLELELCFMEDSQISAILPALSQCSHLTKVNFYDNELSLPILRQLLYH TANLSQLTYEVYPAPLECYNDMNIILTHKLENFCPELLDIIRANRQPNRVTFATSKCLIC CGSYVYDLETQSCLYQKDLLED >ENSMUSP00000144569.1 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3389199:3414164:1 gene:ENSMUSG00000106728.3 transcript:ENSMUST00000201660.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng8 description:calcium channel, voltage-dependent, gamma subunit 8 [Source:EntrezGene;Acc:81905] LESLKRWNEERGLWCEKGVQVLLTTIGAFAAFGLMTIAISTDYWLYTRALICNTTNLTAG DDGPPHRGGSGSSEKKDPGGLTHSGLWRICCLEGLKRGVCVKINHFPEDTDYDHDSAEYL LRVVRASSIFPILSAILLLLGGVCVAASRVYKSKRNIILGAGILFVAAGLSNIIGVIVYI SANAGEPGPKRDEEKKNHYSYGWSFYFGGLSFILAEVIGVLAVNIYIERSREAHCQSRSD LLKAGGGAGGSGGSGPSAILRLPSYRFRYRRRSRSSSRGSSEASPSRDASPGGPGGPGFA STDISMYTLSRDPSKGSVAAGLASAGGGGSGAGVGAYGGAAGAAGGGGAGSERDRGSSAG FLTLHNAFPKEAASGVTVTVTGPPAAPAPAPAPPAPAAPAPGTLSKEAAASNTNTLNRKT TPV >ENSMUSP00000144270.1 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3392633:3414121:1 gene:ENSMUSG00000106728.3 transcript:ENSMUST00000202650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng8 description:calcium channel, voltage-dependent, gamma subunit 8 [Source:EntrezGene;Acc:81905] LESLKRWNEERGLWCEKGVQVLLTTIGAFAAFGLMTIAISTDYWLYTRALICNTTNLTAG DDGPPHRGGSGSSEKKDPGGLTHSGLWRICCLEGLKRGVCVKINHFPEDTDYDHDSAEYL LRVVRASSIFPILSAILLLLGGVCVAASRVYKSKRNIILGAGILFVAAGLSNIIGVIVYI SANAGEPGPKRDEEKKNHYSYGWSFYFGGLSFILAEVIGVLAVNIYIERSREAHCQSRSD LLKAGGGAGGSGGSGPSAILRLPSYRFRYRRRSRSSSRGSSEASPSRDASPGGPGGPGFA STDISMYTLSRDPSKGSVAAGLASAGGGGSGAGVGAYGGAAGAAGGGGAGSERDRGSSAG FLTLHNAFPKEAASGVTVTVTGPPAAPAPAPAPPAPAAPAPGTLSKEAAASNTNTLNRKT TPV >ENSMUSP00000145362.1 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3392934:3393468:1 gene:ENSMUSG00000106728.3 transcript:ENSMUST00000203061.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng8 description:calcium channel, voltage-dependent, gamma subunit 8 [Source:EntrezGene;Acc:81905] XHRGGSGSSEKKDPGGLTHSGLWRICCLEGRVQEGPGWPPPLKPVPPANRLLVF >ENSMUSP00000140379.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4057477:4059446:-1 gene:ENSMUSG00000097663.7 transcript:ENSMUST00000188771.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-41B18.5 MPPYTIVYFPSRGRCEVMRMLLADQGQSWKEEVVTLDVWEQGTFKASCLFGQIPKFQDGE LTLYQSNTILRHLGRSFGLYGKDQQEAALVDMVNDGLEDLFRRIARQYRHILKEGKDQYQ KELPGHLKPFETLLAQNRGGQSFIVGDQISFADYRLLDVLLNLELLFPGYLNDFPLFSAY VARLKSRPKLKAFLESPEHVNRPLAACIKM >ENSMUSP00000137792.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4057478:4059295:-1 gene:ENSMUSG00000097663.7 transcript:ENSMUST00000180628.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP23-41B18.5 VPPYTIVYFPSRGRCEVMRMLLADQGQSWKEEVVTLDVWEQGTFKASCLFGQIPKFQDGE LTLYQSNTILRHLGRSFGLYGKDQQEAALVDMVNDGLEDLFRRIARQYRHILKEGKDQYQ KELPGHLKPFETLLAQNRGGQSFIVGDQISFADYRLLDVLLNLELLFPGYLNDFPLFSAY VARLKSRPKLKAFLESPEHVNRPLAACIKM >ENSMUSP00000137874.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143511343:143517831:1 gene:ENSMUSG00000097046.2 transcript:ENSMUST00000181513.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13043 description:predicted gene 13043 [Source:EntrezGene;Acc:545693] MSFQAPPTLLSLAVQSLAKNEALAINALQELPRELFPPLFKAAFTGRHTKILKEMVGAWP LPCLPVGALMNVPDMTILQAVLDGVDMQLTGNFHPRKRKLQVLDLRNVHHHFWDVSAGPE DGDGSAETVCQKQKATRPHSYALRRRLKVVTDLCLQFDLEEHQTYFLQWAQERRGSLNLC CVKMQIRELPLHTVRKILQIFQPYCIEELELHIDWTLSTLASFAPCLGQIKNLRKLHLTL VHEKLFTFLCTSTDIKEKSVTKFISQFSKLNSLQHLDLAGLYFLTGHMNELLVCLKTPLE FLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGVILHDLSLVPLGIFLENVANTLKTLEL EHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISMPVLTNLLHHTANLSQLIMELYPAPL ECYETGFVLRPERIVQLSSELMNILCTVRQPHSICFASQLCLICSQRCTYGLETRLCHCC Q >ENSMUSP00000137661.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143527062:143573797:1 gene:ENSMUSG00000097856.7 transcript:ENSMUST00000180918.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC080695 description:cDNA sequence BC080695 [Source:EntrezGene;Acc:329986] MSFQAPPTLLSLAVQSLAKNEALAINALQELPRELYPPLFKAAFIDRHTRILKEMVGAWP LPCLPVGALMNVPDMTVLQAVLDGVDMQLTGKFHPRKRKLQVLDLRNVHHHFWDVSAGPE DGDGSAETVCQKQKATRPHRYALRRRLKVVTDLCLQFYLEEHQTYFLQWAQERRGSLNLC CVKMQIRELPMHTVRKILQIFQPYCIEELELHIGWTQSTLACFAPCLGQMKNLRKLHLTL VHEKLFTFLCTSTDIQEKSVTKFISQFSKLNSLRHLDLAGLYFLTGHMNELLGCLKTPLE FLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGVILHDLSLVPLGIFLENVANTLKTLEL EHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISMPVLTNLLHHTANLSQLIMELYPAPL ECYETGFVLRPERIVQLSSELMNILCTVRQPHSICFASQLCLICSQRCTYGLETRLCHCC Q >ENSMUSP00000137966.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143567475:143573798:1 gene:ENSMUSG00000097856.7 transcript:ENSMUST00000181763.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BC080695 description:cDNA sequence BC080695 [Source:EntrezGene;Acc:329986] MSFQAPPTLLSLAVQSLAKNEALAINALQELPRELYPPLFKAAFIDRHTRILKEMVGAWP LPCLPVGALMNVPDMTVLQAVLDGVDMQLTGKFHPRKRKLQVLDLRNVHHHFWDVSAGPE DGDGSAETVCQKQKATRPHRYALRRRLKVVTDLCLQFYLEEHQTYFLQWAQERRGSLNLC CVKMQIRELPMHTVRKILQIFQPYCIEELELHIGWTQSTLACFAPCLGQMKNLRKLHLTL VHEKLFTFLCTSTDIQEKSVTKFISQFSKLNSLRHLDLAGLYFLTGHMNELLGCLKTPLE FLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGVILHDLSLVPLGIFLENVANTLKTLEL EHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISMPVLTNLLHHTANLSQLIMELYPAPL ECYETGFVLRPERIVQLSSELMNILCTVRQPHSICFASQLCLICSQRCTYGLETRLCHCC Q >ENSMUSP00000138775.1 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3422711:3434183:1 gene:ENSMUSG00000097894.8 transcript:ENSMUST00000182493.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng6 description:calcium channel, voltage-dependent, gamma subunit 6 [Source:MGI Symbol;Acc:MGI:1859168] MMWSNFFMQEEDRRRTAVGRRRAQEQQNLGLTPEREGKIKLGLLVAIVGATLAVLAVGTE FWVELNTYKTNGSAVCEAAHLGLWKVCIKRLWQADVPAGRETCGPAELPGEANCTYFKFF TTGENARIFQRTTKKEVNLAAAVIAVLGLTAMALGCLCVIMVLSKGAESLLRLGAVCFGL SGLLLFVSLEVFRHSVGALLQGVNPETPPAPRLAYEYSWSLGCGVGAGLILLLGGVCFLL LTLPSWPWRSLCPKWGGPTA >ENSMUSP00000138065.2 pep:novel chromosome:GRCm38:CHR_MG4151_PATCH:3423178:3433679:1 gene:ENSMUSG00000097894.8 transcript:ENSMUST00000180916.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cacng6 description:calcium channel, voltage-dependent, gamma subunit 6 [Source:MGI Symbol;Acc:MGI:1859168] MMWSNFFMQEEDRRRTAVGRRRAQEQQNLGLTPEREGKIKLGLLVAIVGATLAVLAVGTE FWVELNTYKTNGSAVCEAAHLGLWKVCIKRLWQADVPAGRETCGPAELPGEANCTYFKFF TTGENARIFQRTTKKGLLLFVSLEVFRHSVGALLQGVNPETPPAPRLAYEYSWSLGCGVG AGLILLLGGVCFLLLTLPSWPWRSLCPKWGGPTA >ENSMUSP00000137797.1 pep:known chromosome:GRCm38:CHR_MG4136_PATCH:143527071:143558234:1 gene:ENSMUSG00000097192.2 transcript:ENSMUST00000181004.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm13057 description:predicted gene 13057 [Source:EntrezGene;Acc:100040861] MRISDQVYSLYRFIRMSFQAPPTLLSLAVQSLAKNEALAINALQELPRELFPPLFKAAFT GRHTKILKEMVGAWPLPCLPVGALMNVPDMTILQAVLDGVDMQLTGNFHPRKRKLQVLDL RNVHHHFWDVSAGPEDGDGSAETVCQKQKATRPHSYALRRRLKVVTDLCLQFDLEEHQTY FLQWAQERRGSLNLCCVKMQIRELPLHTVRKILQIFQPYCIEELELHIDWTLSTLASFAP CLGQIKNLRKLHLTLVHEKLFTFLCTSTDIKEKSVTKFISQFSKLNSLQHLDLAGLYFLT GHMNELLVCLKTPLEFLSIALCKYSQSDMESFAQCQSLHHLKHLHLAGVILHDLSLVPLG IFLENVANTLKTLELEHCRMTDSQVSAFIPALNKCSQLIEVNFCDNDISMPVLTNLLHHT ANLSQLIMELYPAPLECYETGFVLRPERIVQLSSELMNILCTVRQPHSICFASQLCLICS QRCTYGLETRLCHCCQ >ENSMUSP00000144152.1 pep:novel chromosome:GRCm38:CHR_MG4151_PATCH:3180825:3181707:1 gene:ENSMUSG00000107381.1 transcript:ENSMUST00000202144.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC245272.1 MALASVLQRPMPVNQHGFFGLGGGADLLDLGPGSPSDGLSLAAPSWGVPEEPRIEMLHGT TTLAFKFLHGVIVAADSRATAGLYYVDSEGNRISGTAFSVGSGSVYAYGVMDRGYSYDLK VEEAYDLARRAIQATYRDAYSGGAVNLYHVREDGWIRVSSDNVADLHDKYSSVSVP >ENSMUSP00000137787.2 pep:known chromosome:GRCm38:CHR_MG4212_PATCH:15499687:15504507:-1 gene:ENSMUSG00000097490.6 transcript:ENSMUST00000181616.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-152F23.1 MALFARLCRLFQRANVDRRETREGRKDADLPPDSNEGRRRGTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLMEENLIKKKSMTLQQESKEVQADWA IIHQRLVELNLSGKDEQENSNLETPEYQVSETARELGLATAEEDSILQNELPGQEAPAEH HLQHPQSSSDESSSI >ENSMUSP00000137764.1 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3289100:3298942:1 gene:ENSMUSG00000097829.2 transcript:ENSMUST00000180998.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Myadm description:myeloid-associated differentiation marker [Source:MGI Symbol;Acc:MGI:1355332] MPVTVTRTTITTTTSSSTTVGSARALTQPLGLLRLLQLISTCVAFSLVASVGAWTGPMGN WAMFTWCFCFAVTLIILIVELGGLQAHFPLSWRNFPITFACYAALFCLSSSIIYPTTYVQ FLAHGRTRDHAIAATTFSCVACLAYATEVAWTRARPGEITGYMATVPGLLKVFETFVACI IFAFISEPLLYNQKPALEWCVAVYAICFILAGVTILLNLGDCTNVLPIPFPTFLSGLALL SVLFYATAIVLWPLYQFDQRYQGQPRRSMDPSCTRSISYIQPNTVCFWDRRLAVSILTGI NLLAYVSDLVYSTRLVFVKV >ENSMUSP00000144131.1 pep:novel chromosome:GRCm38:CHR_MG4213_PATCH:49489545:49490627:1 gene:ENSMUSG00000107366.1 transcript:ENSMUST00000201879.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC202135.1 MSWRPSMSAQGVLETSISSQGLTETSLLPQEPPGHWLEKEALGYLPSNPEDLGLSDSSQD TLEIWACMQNSTEIPSVTQEVIESLSATQDSPKLPVPSPLSPETEEPLTYTQSISKSSKS VPGTPEALASVLGSLCLLKPNECALGPLVSEQGILRTPAFPQESLELSQPAQGPLKPVTS HQETVDTSFSTEFQGLSLCAEGDIMPTPPHEDGWRNFSYLKKNPRRLKSNQRILKHVPIP ERDIRYCLSELDALKCISSAVSSLTSSKYAEKESSPTSTPQRSISPLKSSQLSFRSSILC KKGLSHSPPPRDCPTPSKLRKTRPSPSSVDQEGLKYVRSKHAGWKHCHSSKIWVRVCKFK K >ENSMUSP00000137868.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4081578:4085676:1 gene:ENSMUSG00000097733.7 transcript:ENSMUST00000181589.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp2 MALPEGPTDGSLPEGDSSPSSGTAGPSQDLASPTTRRRALLRELEAQVQAAYGQDRELRP EEIEELQIAFQEFDRDRDGYIGYRELGACMRTLGYMPTEMELI >ENSMUSP00000137838.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4082488:4087336:1 gene:ENSMUSG00000097733.7 transcript:ENSMUST00000180578.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp2 MALPEGPTDGSLPEGDSSPSSGTAGPSQDLASPTTRRRALLRELEAQVQAAYGQDRELRP EEIEELQIAFQEFDRDRDGYIGYRELGACMRTLGYMPTEMELIEISQQISGGKVDFEDFV ELMGPKLLAETADMIGVRELRDAFREFDTNGDGCISVGELRAALKALLGERLSQREVDEI LQDIDLNGDGLVDFEEFVRMMSR >ENSMUSP00000137880.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4083490:4087340:1 gene:ENSMUSG00000097733.7 transcript:ENSMUST00000180694.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp2 MVQRPMGNCAKTPWHRGSKDRELRPEEIEELQIAFQEFDRDRDGYIGYRELGACMRTLGY MPTEMELIEISQQISGGKVDFEDFVELMGPKLLAETADMIGVRELRDAFREFDTNGDGCI SVGELRAALKALLGERLSQREVDEILQDIDLNGDGLVDFEEFVRMMSR >ENSMUSP00000137909.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4083519:4087340:1 gene:ENSMUSG00000097733.7 transcript:ENSMUST00000180961.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp2 MVQRPMGNCAKTPWHRGSKERWQWPGSPLGGSRPSPGPRTEEQEGTQGYSVLGSLVGPAC IFLRPSIAATQLDRELRPEEIEELQIAFQEFDRDRDGYIGYRELGACMRTLGYMPTEMEL IEISQQISGGKVDFEDFVELMGPKLLAETADMIGVRELRDAFREFDTNGDGCISVGELRA ALKALLGERLSQREVDEILQDIDLNGDGLVDFEEFVRMMSR >ENSMUSP00000137690.2 pep:known chromosome:GRCm38:CHR_MG4212_PATCH:15552440:15557206:-1 gene:ENSMUSG00000097611.3 transcript:ENSMUST00000180499.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm21190 description:predicted gene, 21190 [Source:EntrezGene;Acc:100861755] MALFARLCRLFQRANVDGRETREGRKDADLPSESNEGRRRGTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEARETKQELKKETHFYRNLHSRLLMEENLIKKKSMTLQQESKEVQADWA IIHQRLVELNLSGKDKQENSNLETPEYQVSETARDLGLATAEEDSILQNELPGQEAPAEH HPQHPQSSSDESSSDESSYSTCPEWE >ENSMUSP00000137766.2 pep:known chromosome:GRCm38:CHR_MG4212_PATCH:15605317:15610062:1 gene:ENSMUSG00000097395.3 transcript:ENSMUST00000180642.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-152F23.5 MALFARLCRLFQRANVDGRETREGRKDADLPPESNEGRRRVTWRMWMALRQTSSPVPVIS KKQFEKEEKKLIKEIQLTTEETNELRDRLIYVTEGSMNKRPYHRQNPLYEKLKLKEKEIM TFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLMEENLIKKKLMTLQQESKEVQADWA IIHQCLVELNLSGKDEQEENSNLETPEYQVSETARELGLATAEEDSILQNELPGQEAPAE HHLQDPQSSSDESSSI >ENSMUSP00000137836.2 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3302048:3329611:1 gene:ENSMUSG00000097449.7 transcript:ENSMUST00000181221.4 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcg description:protein kinase C, gamma [Source:MGI Symbol;Acc:MGI:97597] MAGLGPGGGDSEGGPRPLFCRKGALRQKVVHEVKSHKFTARFFKQPTFCSHCTDFIWGIG KQGLQCQVCSFVVHRRCHEFVTFECPGAGKGPQTDDPRNKHKFRLHSYSSPTFCDHCGSL LYGLVHQGMKCSCCEMNVHRRCVRSVPSLCGVDHTERRGRLQLEIRAPTSDEIHITVGEA RNLIPMDPNGLSDPYVKLKLIPDPRNLTKQKTKTVKATLNPVWNETFVFNLKPGDVERRL SVEVWDWDRTSRNDFMGAMSFGVSELLKAPVDGWYKLLNQEEGEYYNVPVADADNCSLLQ KFEACNYPLELYEKVRMGPSSSPIPSPSPSPTDSKRCFFGASPGRLHISDFSFLMVLGKG SFGKVMLAERRGSDELYAIKILKKDVIVQDDDVDCTLVEKRVLALGGRGPGGRPHFLTQL HSTFQTPDRLYFVMEYVTGGDLMYHIQQLGKFKEPHAAFYAAEIAIGLFFLHNQGIIYRD LKLDNVMLDAEGHIKITDFGMCKENVFPGSTTRTFCGTPDYIAPEIIAYQPYGKSVDWWS FGVLLYEMLAGQPPFDGEDEEELFQAIMEQTVTYPKSLSREAVAICKGFLTKHPGKRLGS GPDGEPTIRAHGFFRWIDWERLERLEIAPPFRPRPCGRSGENFDKFFTRAAPALTPPDRL VLASIDQADFQGFTYVNPDFVHPDARSPTSPVPVPVM >ENSMUSP00000137923.1 pep:known chromosome:GRCm38:CHR_MG4151_PATCH:3302048:3329610:1 gene:ENSMUSG00000097449.7 transcript:ENSMUST00000181455.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Prkcg description:protein kinase C, gamma [Source:MGI Symbol;Acc:MGI:97597] MAGLGPGGGDSEGGPRPLFCRKGALRQKVVHEVKSHKFTARFFKQPTFCSHCTDFIWGIG KQGLQCQVCSFVVHRRCHEFVTFECPGAGKGPQTDDPRNKHKFRLHSYSSPTFCDHCGSL LYGLVHQGMKCSCCEMNVHRRCVRSVPSLCGVDHTERRGRLQLEIRAPTSDEIHITVGEA RNLIPMDPNGLSDPYVKLKLIPDPRNLTKQKTKTVKATLNPVWNETFVFNLKPGDVERRL SVEVWDWDRTSRNDFMGAMSFGVSELLKAPVDGWYKLLNQEEGEYYNVPVADADNCSLLQ KFEACNYPLELYERVRMGPSSSPIPSPSPSPTDSKRCFFGASPGRLHISDFSFLMVLGKG SFGKVMLAERRGSDELYAIKILKKDVIVQDDDVDCTLVEKRVLALGGRGPGGRPHFLTQL HSTFQTPDRLYFVMEYVTGGDLMYHIQQLGKFKEPHAAFYAAEIAIGLFFLHNQGIIYRD LKLDNVMLDAEGHIKITDFGMCKENVFPGSTTRTFCGTPDYIAPEIIAYQPYGKSVDWWS FGVLLYEMLAGQPPFDGEDEEELFQAIMEQTVTYPKSLSREAVAICKGFLTKHPGKRLGS GPDGEPTIRAHGFFRWIDWERLERLEIAPPFRPRPCGRSGENFDKFFTRAAPALTPPDRL VLASIDQADFQGFTYVNPDFVHPDARSPTSPVPVPVM >ENSMUSP00000137665.1 pep:known chromosome:GRCm38:CHR_MG4212_PATCH:15647048:15651848:1 gene:ENSMUSG00000097696.7 transcript:ENSMUST00000180847.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4d description:spermatogenesis associated glutamate (E)-rich protein 4D [Source:EntrezGene;Acc:360220] MWMALRQTSSPVPVISKKQFEKEEKKLIKEIQLTTKETNELRDRLIYVTEESMNKRPYHR QNPLYEKLKLKEKEIMTFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLMEENLIKKK LMTLQQESKEVQADWAIIHQCLVELNLSGKDEQEENSNLETPEYQVSETARELGLATAEE DSILQNELPGQEAPAEHHLQHLQSSSDESSSI >ENSMUSP00000138030.1 pep:known chromosome:GRCm38:CHR_MG4212_PATCH:15648146:15651595:1 gene:ENSMUSG00000097696.7 transcript:ENSMUST00000180994.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Speer4d description:spermatogenesis associated glutamate (E)-rich protein 4D [Source:EntrezGene;Acc:360220] MNKRPYHRQNPLYEKLKLKEKEIMTFLHNLEMENMEAQENKQELKKETHFYRNLHSRLLM EENLIKKKLMTLQQESKEVQADWAIIHQCLVELNLSGKDEQEENSNLETPEYQVSETARE LGLATAEEDSILQNELPGQEAPAEHHLQHLQSSSDESSSI >ENSMUSP00000139295.1 pep:novel chromosome:GRCm38:CHR_MG4212_PATCH:15605656:15662113:-1 gene:ENSMUSG00000099217.2 transcript:ENSMUST00000185081.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC244694.1 LDLCLLITEIQSSGIPVQQDVRENKQVQFHQTLVNDGPISLYFLALLLQCHQLLLYKILL HQEPAVQVTVRTLCMVQGMMSV >ENSMUSP00000137712.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4097182:4099017:1 gene:ENSMUSG00000097485.7 transcript:ENSMUST00000180435.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap2 description:CDK2-associated protein 2 [Source:MGI Symbol;Acc:MGI:1098779] MSYKPIAPAPSSTPGSSTPGPGTPVPTAGSVPSPSGSVPGAAAPFRPLFNDFGPPSMGYV QAMKPPGSQGSQSTYTDLLSVIEEMGKEIRPTYAGSKSAMERLKRGIIHARALVRECLAE TERNART >ENSMUSP00000138071.1 pep:putative chromosome:GRCm38:CHR_MG153_PATCH:4097401:4098465:1 gene:ENSMUSG00000097485.7 transcript:ENSMUST00000180956.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap2 description:CDK2-associated protein 2 [Source:MGI Symbol;Acc:MGI:1098779] MSYKPIAPAPSSTPGSSTPGPGTPVPTAGSVPSPSGSVPGAAAPFRPLFNDFGPPSMGYV QAMKPPGSQGSQSTYTDLLSVIEEMGKEIRPTYAGSKSAMERLKRGK >ENSMUSP00000138029.1 pep:putative chromosome:GRCm38:CHR_MG153_PATCH:4097402:4098193:1 gene:ENSMUSG00000097485.7 transcript:ENSMUST00000181806.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdk2ap2 description:CDK2-associated protein 2 [Source:MGI Symbol;Acc:MGI:1098779] MSYKPIAPAPSSTPGSSTPGPGTPVPTAGSVPSPSGSVPGAAAPFRPLFNDFGPPSMGR >ENSMUSP00000137668.1 pep:novel chromosome:GRCm38:CHR_MG153_PATCH:4097402:4099010:1 gene:ENSMUSG00000097485.7 transcript:ENSMUST00000181684.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cdk2ap2 description:CDK2-associated protein 2 [Source:MGI Symbol;Acc:MGI:1098779] MSYKPIAPAPSSTPGSSTPGPGTPVPTAGSVPSPSGSVPGAAAPFRPLFNDFGPPSMGR >ENSMUSP00000137958.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4099998:4113965:1 gene:ENSMUSG00000097096.7 transcript:ENSMUST00000180660.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm1 description:phosphatidylinositol transfer protein, membrane-associated 1 [Source:MGI Symbol;Acc:MGI:1197524] MLIKEYHILLPMSLDEYQVAQLYMIQKKSREESSGEGSGVEILANRPYTDGPGGNGQYTH KVYHVGSHIPGWFRALLPKAALQVEEESWNAYPYTRTRYTCPFVEKFSIEIETYYLPDGG QQPNVFNLSGAERRQRIVDTIDIVRDAVAPGEYKAEEDPRLYRSAKTGRGPLADDWARTA AQTGPLMCAYKLCKVEFRYWGMQAKIEQFIHDVGLRRVMLRAHRQAWCWQDEWIELSMAD IRALEEETARMLAQRMAKCNTGSEGPEAQTPGKSSTEARPGTSTAGTPDGPEAPPGPDAS PDASFGKQWSSSSRSSYSSQHGGGVSPQSLSEWRMQNIARDSENSSEEEFFDAHEGFSDS DEVFPKEMTKWNSNDFIDAFASPTEVEGVPDPTVMATKGIEDGARAPRDSEGLDGAGDLV VEACSVHALFLILHSGSILDSGPGDTNSKQADVQTLSTAFEAVTRVHFPEALGHVALRLV PCPPICAAAYALVSNLSPYSHDGDSLSRSQDHIPLAALPLLATSSSRYQGAVATVIARTN QAYAAFLRSSEGTGFCGQVVLIGDGVGGILGFDALCHSASAGPGSRGSSRRGSMNNEMLS PEVGPVRDPLADGVEVLGRASPEPSALPAQRTFSDMANPDPDGSQNSLQVASTATSSGEP RRASTASCPPASSEAPDGPTNAARLDFKVSGFFLFGSPLGLVLALRKTVMPALEVAQLRP ACEQIYNLFHAADPCASRLEPLLAPKFQAIAPLAVPRYQKFPLGDGSSLLLADTLQTHSS LFLEELEMMVPSTPTSASGAFWKGSELGNEPASQTAAPSTTSEVVKILDRWWGNKRIDYS LYCPEALTAFPTVTLPHLFHASYWESADVVAFILRQVIEKERPQLTECEEPSIYSPAFPR EKWQRKRTQVKIRNVTSNHRASDTVVCEGRPQVLNGRFMYGPLDVVTLTGEKVDVYVMTQ PLSGKWIHFGTEVTNSSGRLTFPVPSERALGIGVYPVRMVVRGDHTYAECCLTVVSRGTE AVVFSIDGSFTASVSIMGSDPKVRAGAVDVVRHWQDSGYLIVYVTGRPDMQKHRVVAWLS QHNFPHGVVSFCDGLTHDPLRQKAMFLQSLVQEVELNIVAGYGSPKDVAVYAALGLSPSQ TYIVGRAVRKLQAQCQFLSDGYVAHLGQLEAGSHSHAPSGPPRAALAKSSYAVAAPVDFL RKQSQLLRSRGPSQVDREGPGTPPTTLARGKTRSISLKLDSEE >ENSMUSP00000137914.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4100269:4104929:1 gene:ENSMUSG00000097096.7 transcript:ENSMUST00000181798.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm1 description:phosphatidylinositol transfer protein, membrane-associated 1 [Source:MGI Symbol;Acc:MGI:1197524] MLIKEYHILLPMSLDEYQVAQLYMIQKKSREESSGEGSGVEILANRPYTDGPGGNGQYTH KVYHVGSHIPGWFRALLPKAALQVEEESWNAYPYTRTRYTCPFVEKFSIEIETYYLPDGG QQPNVFNLSGAERRQRIVDTIDIVRDAVAPGEYKAEEDPRLYRSAKTGRGPLADDWARTA AQTGPLMCAYKLCKVEFRYWGMQAKIEQFIHDVGLRRVMLRAHRQAWCWQDEWIELSMAD IRALEEETARML >ENSMUSP00000137663.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4100622:4113965:1 gene:ENSMUSG00000097096.7 transcript:ENSMUST00000181715.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pitpnm1 description:phosphatidylinositol transfer protein, membrane-associated 1 [Source:MGI Symbol;Acc:MGI:1197524] MLIKEYHILLPMSLDEYQVAQLYMIQKKSREESSGEGSGVEILANRPYTDGPGGNGQYTH KVYHVGSHIPGWFRALLPKAALQVEEESWNAYPYTRTRYTCPFVEKFSIEIETYYLPDGG QQPNVFNLSGAERRQRIVDTIDIVRDAVAPGEYKAEEDPRLYRSAKTGRGPLADDWARTA AQTGPLMCAYKLCKVEFRYWGMQAKIEQFIHDVGLRRVMLRAHRQAWCWQDEWIELSMAD IRALEEETARMLAQRMAKCNTGSEGPEAQTPGKSSTEARPGTSTAGTPDGPEAPPGPDAS PDASFGKQWSSSSRSSYSSQHGGGVSPQSLSEWRMQNIARDSENSSEEEFFDAHEGFSDS DEVFPKEMTKWNSNDFIDAFASPTEVEGVPDPTVMATKGIEDGARAPRDSEGLDGAGDLV VEACSVHALFLILHSGSILDSGPGDTNSKQADVQTLSTAFEAVTRVHFPEALGHVALRLV PCPPICAAAYALVSNLSPYSHDGDSLSRSQDHIPLAALPLLATSSSRYQGAVATVIARTN QAYAAFLRSSEGTGFCGQVVLIGDGVGGILGFDALCHSASAGPGSRGSSRRGSMNNEMLS PEVGPVRDPLADGVEVLGRASPEPSALPAQRTFSDMANPDPDGSQNSLQVASTATSSGEP RRASTASCPPASSEAPDGPTNAARLDFKVSGFFLFGSPLGLVLALRKTVMPALEVAQLRP ACEQIYNLFHAADPCASRLEPLLAPKFQAIAPLAVPRYQKFPLGDGSSLLLADTLQTHSS LFLEELEMMVPSTPTSASGAFWKGSELGNEPASQTAAPSTTSEVVKILDRWWGNKRIDYS LYCPEALTAFPTVTLPHLFHASYWESADVVAFILRQVIEKERPQLTECEEPSIYSPAFPR EKWQRKRTQVKIRNVTSNHRASDTVVCEGRPQVLNGRFMYGPLDVVTLTGEKVDVYVMTQ PLSGKWIHFGTEVTNSSGRLTFPVPSERALGIGVYPVRMVVRGDHTYAECCLTVVSRGTE AVVFSIDGSFTASVSIMGSDPKVRAGAVDVVRHWQDSGYLIVYVTGRPDMQKHRVVAWLS QHNFPHGVVSFCDGLTHDPLRQKAMFLQSLVQEVELNIVAGYGSPKDVAVYAALGLSPSQ TYIVGRAVRKLQAQCQFLSDGYVAHLGQLEAGSHSHAPSGPPRAALAKSSYAVAAPVDFL RKQSQLLRSRGPSQVDREGPGTPPTTLARGKTRSISLKLDSEE >ENSMUSP00000143966.1 pep:novel chromosome:GRCm38:CHR_MG4209_PATCH:45861297:45863273:1 gene:ENSMUSG00000106848.1 transcript:ENSMUST00000202658.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC233742.1 GVSPGLSAQGILSSSFSSLSLLDPSLSTEEPLDLSKPTEGPLTSFQGPNESLNSPQRVQQ TDSSQDTLETRAGMQNSAEIPPVTQEVIEFLSATQDSPKLPAPSPLSPEAEEPRTYTQSI SKSSESVPGTPEALACVLGSLCLLKPNEDAMGPLVSEQGILRTTAFPQESLELSQPAKGP LKPVPSPQETVGNSFSTEFKGLSLCAKGDIIPTPPHEDGQRNSSYLKKSPRRFKSNQRIL KHVPFPEGDTRYCLSELDALKCISSAESSLTSSKYAEKKSSPTSTPQRSISPLKSSQLSF RSSILCKKGLSHSPPPGDCPTPSKLRKTRPSPSSVDQEGLKSVRSKHEGWKHCHSSKMWV RACKSKK >ENSMUSP00000137847.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4114446:4125858:-1 gene:ENSMUSG00000097319.7 transcript:ENSMUST00000181243.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aip description:aryl-hydrocarbon receptor-interacting protein [Source:MGI Symbol;Acc:MGI:109622] MADLIARLREDGIQKRVIQEGRGELPDFQDGTKATFHFRTLHSDNEGSVIDDSRTRGKPM ELIVGKKFKLPVWETIVCTMREGEIAQFLCDIKHVVLYPLVAKSLRNIAEGKDPLEGQRH CCGIAQMHEHSSLGHADLDALQQNPQPLIFHIEMLKVESPGTYQQDPWAMTDEEKAKAVP VIHQEGNRLYREGQVKEAAAKYYDAIACLKNLQMKEQPGSPDWIQLDLQITPLLLNYCQC KLVAQEYYEVLDHCSSILNKYDDNVKAYFKRGKAHAAVWNAQEAQADFAKVLELDPALAP VVSRELRALETRIRQKDEEDKARFRGIFSH >ENSMUSP00000137722.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4114464:4121575:-1 gene:ENSMUSG00000097319.7 transcript:ENSMUST00000180758.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aip description:aryl-hydrocarbon receptor-interacting protein [Source:MGI Symbol;Acc:MGI:109622] MADLIARLREDGIQKRVIQEGRGELPDFQDGTKATFHFRTLHSDNEGSVIDDSRTRGKPM ELIVGKKFKLPVWETIVCTMREGEIAQFLCDIKHVVLYPLVAKSLRNIAEGKDPLEGQRH CCGIAQMHEHSSLGHADLDALQQNPQPLIFHIEMLKVESPGTYQQDPWAMTDEEKAKAVP VIHQEGNRLYREGQVKEAAAKYYDAIACLKNLQMKEQPGSPDWIQLDLQITPLLLNYCQC KLVAQEYYEVLDHCSSILNKYDDNVKAYFKRGKAHAAVWNAQEAQADFAKVLELDPALAP VVSRELRALETRIRQKDEEDKARFRGIFSH >ENSMUSP00000138024.1 pep:putative chromosome:GRCm38:CHR_MG153_PATCH:4115447:4125848:-1 gene:ENSMUSG00000097319.7 transcript:ENSMUST00000181061.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aip description:aryl-hydrocarbon receptor-interacting protein [Source:MGI Symbol;Acc:MGI:109622] MADLIARLREDGIQKRVIQEGRGELPDFQDGTKATFHFRTLHSDNEGSVIDDSRTRGKPM ELIVGKKFKLPVWETIVCTMREGEIAQFLCDIKHVVLYPLVAKSLRNIAEGKDPLEGQRH CCGIAQMHEHSSLGHADLDALQQNPQPLIFHIEMLKVESPGTYQQDPWAMTD >ENSMUSP00000137950.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4135424:4139608:-1 gene:ENSMUSG00000097687.2 transcript:ENSMUST00000181080.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cabp4 description:calcium binding protein 4 [Source:MGI Symbol;Acc:MGI:1920910] MATEHNVQLVPGSQKIPKGVVSPRSAAEGPALTRRRSKKESWHPGSQKASSGDQSSSQGS EASGSSKHPPRTKVGQEEPSSAPARPASHRHSHRHRSDPQQDAAQRTYGPLLNRMFGKDR ELGPEELEELQAAFEEFDTDQDGYIGYRELGDCMRTLGYMPTEMELLEVSQHVKMRMGGF VDFEEFVELISPKLREETAHMLGVRELRIAFREFDKDRDGRITVAELRQAAPALLGEPLE GTELDEMLREMDLNGDGTIDFDEFVMMLSTG >ENSMUSP00000137706.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4139798:4145737:1 gene:ENSMUSG00000097905.2 transcript:ENSMUST00000181548.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpr152 description:G protein-coupled receptor 152 [Source:MGI Symbol;Acc:MGI:2685519] MDTAVEANLGAAGHGPRTELSDEDYYPQGSWDTVFLVALLLLGLPANGLMAWLAGSQARH GAGTRLALLLLSLALSDFLFLAAATFQILEIQHGGHWPLGTAACRFYYFLWGVSYSSGLF LLTALSLDRCLLALCPRWYPGHRPARLPLWVCAGVWVLATLFSVPWLVFPEAAVWWYDLV ICLDFWDTEELPLRMLEILGGFLPFLLLLVCHVLTQATACRTCCGHQPRRMACHGFARVA KTILSAYVVLRLPYQLAQLLYLAFLWDVYPGYLLWEALVYSDYLILLNSCLSPFLCLAAS ADLRALLRTVLSSFAAAVCEERPGSFIPAEPQTLPGPTSEGQSRLDSVVQPQVNPSVQLQ SDSVVQPEVSPSAQPQSDSVAQPTVGSLIQPPLDTVVQLEVNPLTQPQLDPMAQPQVNPS AQPQSKSVVQPQVDPLTQPQLDPVAQPQSNTETPIPAFGDESASNPGEENSSGPCPDPTP GTPENLDRPAVPQEKSPSNVPPEEAPSAGPT >ENSMUSP00000138062.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4148618:4150503:1 gene:ENSMUSG00000097771.7 transcript:ENSMUST00000180620.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1b description:coronin, actin binding protein 1B [Source:MGI Symbol;Acc:MGI:1345963] MSFRKVVRQSKFRHVFGQPVKNDQCYEDIRVSRVTWDSTFCAVNPKFLAVIVEASGGGAF MVLPLNKTGRIDKAYPTVCGHTGPVLDIDWCPHNDEVIASGSEDCTVMVWQIPENGLTSP LTEPVVVLEGHTKRVGIITWHPTARNVLLSAGCDNVVLIWNVGTAEELYRLDS >ENSMUSP00000137780.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4148618:4154034:1 gene:ENSMUSG00000097771.7 transcript:ENSMUST00000181494.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Coro1b description:coronin, actin binding protein 1B [Source:MGI Symbol;Acc:MGI:1345963] MSFRKVVRQSKFRHVFGQPVKNDQCYEDIRVSRVTWDSTFCAVNPKFLAVIVEASGGGAF MVLPLNKTGRIDKAYPTVCGHTGPVLDIDWCPHNDEVIASGSEDCTVMVWQIPENGLTSP LTEPVVVLEGHTKRVGIITWHPTARNVLLSAGCDNVVLIWNVGTAEELYRLDSLHPDLIY NVSWNHNGSLFCSACKDKSVRIIDPRRGTLVAEREKAHEGARPMRAIFLADGKVFTTGFS RMSERQLALWDPENLEEPMALQELDSSNGALLPFYDPDTSVVYVCGKGDSSIRYFEITDE PPYIHFLNTFTSKEPQRGMGSMPKRGLEVSKCEIARFYKLHERKCEPIVMTVPRKSDLFQ DDLYPDTAGPEAALEAEDWVSGQDANPILISLREAYVPSKQRDLKVSRRNVLSDSRPASY SRSGASTATAVTDVPSGNLAGAGEAGKLEEVMQELRALRMLVKEQGERISRLEEQLGRME NGDT >ENSMUSP00000137951.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4154605:4156750:1 gene:ENSMUSG00000097132.2 transcript:ENSMUST00000180710.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ptprcap description:protein tyrosine phosphatase, receptor type, C polypeptide-associated protein [Source:MGI Symbol;Acc:MGI:97811] MALPGTLRFGVLMALPGALASGADPEDGVGSSVVTIVLLLLLLLLLVTALALAWRRLSHA SGGYYHPARLGAALWGHTCRLLWASPAGRWLRARTELESPEESGPPEDEEDAEDFVIDGG PEEAAAKEEEQRCQAEQTRDPRDTDSDGGLGLSSQGPVGSGSSAEALLSDLHAFSGSAAW DDSAGGAGGQGLRVTAL >ENSMUSP00000137802.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4156712:4163353:-1 gene:ENSMUSG00000097721.7 transcript:ENSMUST00000181245.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6kb2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1927343] MAAVFDLDLETEEGSEGEGEPEFSPADVCPLGELRAAGLETVGHYEEVELTESSVNLGPE RIGPHCFELLSVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVCSAKDTAHTRAER NILESVKHPFIVELAYAFQTGGKLYLILECLSGGELFTHLEREGIFLEDTACFYLAEITL ALGHLHSHGIIYRDLKPENIMLSSQGHIKLTDFGLCKESIHEGAITHTFCGTIEYMAPEI LVRTGHNRAVDWWSLGALMYDMLTGSPPFTAENRKKTMDKIIKGKLVLPPYLTPDARDLA KKFLKRNPTQRIGGGLGDAADVQRHPFFRHINWDDLLARRVDPPFRPSLQSEEDVSQFDA RFTRQTPVDSPDDTALSESANQAFLGFTYVAPSVLDSIKEGFSFQPKLRSPRRLNSSPRT PISPLKFSPFEGFRPSPGPPEPMEPSLPPLLPSPPSPPPTSTAPLPIRPPSGTKKSKKGR GRSGR >ENSMUSP00000137743.1 pep:novel chromosome:GRCm38:CHR_MG153_PATCH:4156791:4163295:-1 gene:ENSMUSG00000097721.7 transcript:ENSMUST00000181415.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps6kb2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1927343] MAAVFDLDLETEEGSEGEGEPEFSPADVCPLGELRAAGLETVGHYEEVELTESSVNLGPE RIGPHCFELLSVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVCSAKDTAHTRAER NILESVKHPFIVELAYAFQTGGKLYLILECLSGGELFTHLEREGIFLEDTACFYLAEITL ALGHLHSHGIIYRDLKPENIMLSSQGHIKLTDFGLCKESIHEGAITHTFCGTIEYMAPEI LVRTGHNRAVDWWSLGALMYDMLTGSASPASLGAGRPSLQRTGRKLWIKSLKGSWCCPPT SPRMPGTLPKSF >ENSMUSP00000137695.1 pep:novel chromosome:GRCm38:CHR_MG153_PATCH:4156794:4163299:-1 gene:ENSMUSG00000097721.7 transcript:ENSMUST00000181844.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps6kb2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1927343] MAAVFDLDLETEEGSEGEGEPEFSPADVCPLGELRAAGLETVGHYEEVELTESSVNLGPE RIGPHCFELLSVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVCSAKDTAHTRAER NILESVKHPFIVELAYAFQTGGKLYLILECLSD >ENSMUSP00000137723.1 pep:novel chromosome:GRCm38:CHR_MG153_PATCH:4156985:4163284:-1 gene:ENSMUSG00000097721.7 transcript:ENSMUST00000180903.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rps6kb2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1927343] MAAVFDLDLETEEGSEGEGEPEFSPADVCPLGELRAAGLETVGHYEEVELTESSVNLGPE RIGPHCFELLSVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVCSAKDTAHTRAER NILESVKHPFIVELAYAFQTGGKLYLILECLSGGELFTHLEREGIFLEDTACFYLAEITL ALGHLHSHGIIYRDLKPENIMLSSQGHIKLTDFGLCKESIHEGAITHTFCGTIEYMAPEI LVRTGHNRAVDWWSLGALMYDMLTGSAISEAEPHSANWGWPGRCC >ENSMUSP00000137844.1 pep:putative chromosome:GRCm38:CHR_MG153_PATCH:4156985:4163284:-1 gene:ENSMUSG00000097721.7 transcript:ENSMUST00000181559.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rps6kb2 description:ribosomal protein S6 kinase, polypeptide 2 [Source:MGI Symbol;Acc:MGI:1927343] MAAVFDLDLETEEGSEGEGEPEFSPADVCPLGELRAAGLETVGHYEEVELTESSVNLGPE RIGPHCFELLSVLGKGGYGKVFQVRKVQGTNLGKIYAMKVLRKAKIVCSAKDTAHTRAER NILESVKHPFIVELAYAFQTGGKLYLILECLSGGELFTHLEREGIFLEDTACFYLAEITL ALGHLHSHGIIYRDLKPENIMLSSQGHIKLTDFGLCKESIHEGAITHTFCGTIEYMAPEI LVRTGHNRAVDWWSLGALMYDMLTGSPPFTAENRKKTMDKIIKGKLVLPPYLTPDARDLA KKFLKRNPTQRIGGGLGDAADVQRHPFFRHINWDDLLARRVDPPFRPSLQSEEDVSQFDA RFTRQTPVDSPDDTALSESANQAFLPPQVLSL >ENSMUSP00000137854.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4164323:4175478:-1 gene:ENSMUSG00000097064.2 transcript:ENSMUST00000181869.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC109138.1 MLCLDPLGTEWDSKDLDGKEEPWKSGAGLPPTGCFPGPWRQDISLDCKGSPEETEARAWT VYYYGLLQSCLQQAGLPETQDRSQAPRTGCPGAEVTLCILGSPSTFLSLLLEGGVQSPGN MLLCLSPAWLMKVATPGQEGEAVLLVSKAVSFYPGGLTFLDDFVPPRHATYFLAGLGPES GRGKEAAELARNLTCPTGTSSELSQLLENRLLMRWLLSQQSGVAVPATLAFTYRPPGLLR GGDASPGLRLVELSGKEGQETLVKEEVEAFVHSEALGDASQVAVRLSGCRWRGQQALPLH LRVEPSTVVNTVLGLLEKLEEEESVLVEAMCPPVRLPLPGGPAPGPELAVRICAVVCRIQ GDRPLLSKVVCGVGRGDRPVRHHYTLPRTLRVALAQCGLEEEAQVALLEQGIKEAAEGAL AAVLALEAGLSVEQRGGRQVHTDFLGVDLVLTVIGRTLTPVVLKLNSGLCLEACGALEGL WAVPRLRRSAEEAAAAPLVETMLRRSGRHLMDGKQLLVIGAGGVSKKFVWEAARDYGLTL HLVESDPNHFASQLVQTFIHFDVTEHRRDEENALLLAELVRARNLKLDGCFSFWDDCLVL TALLCRELGLPCSPPAAMCLAKQKSRTQLHLLRCQGPPWPSTSLHAVACCPLENEADVER AIYQVPLPGVMKLEFGSGAVGVQLVKDGPQCREHFSRILHDLQGEADHPGIGLGWGNAML LMEFVEGTEHDVDLVVFGGRLLAAFVSDNGPTRLPGFTETAACMPTGLAPEQEAQVVQAA FRCCLGCGLLDGVFNVELKMTGAGPRLIEINPRMGGFYLRDWILELYGVDLLLASTMVAC GLQPALPAHPRARGYLVGIMCLVSQHLQLLSSPSSRETLQTLHDQGQLRLNLLEEALIPG QYEEPYCNVACAGPSPAEACHRLLGICQGLGIDRPNYPVAHFLSHFK >ENSMUSP00000137980.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4184357:4191046:-1 gene:ENSMUSG00000097708.2 transcript:ENSMUST00000181228.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tbc1d10c description:TBC1 domain family, member 10c [Source:MGI Symbol;Acc:MGI:1922072] MAQALGEDLLSELQDDSSSLGSDSELSGPSPYRQADRYGFIGGNSGELRLCQPSADLIRQ REMKWVEMTLHWEKTMSRRYKKVKIQCRKGIPSALRARCWPLLCGARMCQKNNPGTYQEL AAAPGDPQWMETIGRDLHRQFPLHEMFVSPQGHGQQGLLQVLKAYTLYRPEQGYCQAQGP VAAVLLMHLPPEEAFWCLVQICEVYLPGYYGPHMEAVQLDAEVFMALLRRQLPRVYKHLQ QVGVGPLLYLPEWFLCLFTRSLPFPTVLRIWDAFLSEGAKVLFRVGLTLMRLALGTVEQR TACPGLLETLGALRAIPPTQLQEEVFMSQVHSVTLSERVLQQEIRIQLAQLSKSLPGPAP LPQARLPGAQAIFESQQLAGVRESTKPEIPRIVVQPPEEPKPPRRKPQTRGKTFHGLLIR ARGPPIEGPSRSQRGSASFLDTRF >ENSMUSP00000137997.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4192157:4195418:1 gene:ENSMUSG00000096994.2 transcript:ENSMUST00000180575.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp1ca description:protein phosphatase 1, catalytic subunit, alpha isoform [Source:MGI Symbol;Acc:MGI:103016] MSDSEKLNLDSIIGRLLEVQGSRPGKNVQLTENEIRGLCLKSREIFLSQPILLELEAPLK ICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIRYPENFFL LRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAIVDEKIFCCHGGLSPDL QSMEQIRRIMRPTDVPDQGLLCDLLWSDPDKDVQGWGENDRGVSFTFGAEVVAKFLHKHD LDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAD KNKGKYGQFSGLNPGGRPITPPRNSAKAKK >ENSMUSP00000137976.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4195197:4201602:-1 gene:ENSMUSG00000097909.2 transcript:ENSMUST00000181329.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rad9a description:RAD9 homolog A [Source:MGI Symbol;Acc:MGI:1328356] MKCLITGGNVKVLGKAVHSLSRIGDELYLEPLKDGLSLRTVNSSRSAYACFLFAPLFFQQ YQAASPGQDLLRCKILMKAFLSVFRSLAIVEKSVEKCCISLSGSHSHLVVQLHCKYGVKK THNLSFQDCESLQAVFDPASCPHLLRTPARVLAEAVLSFPLALTEVTLGIGRGRRVILRS YQEEEADSTSKAMVTETSIGDEDFQQLHAPEGIAVTFCLKEFRGLLSFAESANLPLTIHF DVPGRPVIFTIEDSLLDAHFVLATLLEQDSCSQGPCSPKPHQPVPQKQAHSTPHLDDFTS DDIDCYMIAMETTGGNEGSGAQPSTSLPPVSLASHDLAPTSEEEAEPSTVPGTPPPKKFR SLFFGSILAPVHSPQGPNPVLAEDSDGEG >ENSMUSP00000138037.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4214237:4223489:1 gene:ENSMUSG00000097629.2 transcript:ENSMUST00000180925.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Clcf1 description:cardiotrophin-like cytokine factor 1 [Source:MGI Symbol;Acc:MGI:1930088] MDLRAGDSWGMLACLCTVLWHLPAVPALNRTGDPGPGPSIQKTYDLTRYLEHQLRSLAGT YLNYLGPPFNEPDFNPPRLGAETLPRATVNLEVWRSLNDRLRLTQNYEAYSHLLCYLRGL NRQAATAELRRSLAHFCTSLQGLLGSIAGVMATLGYPLPQPLPGTEPAWAPGPAHSDFLQ KMDDFWLLKELQTWLWRSAKDFNRLKKKMQPPAASVTLHLEAHGF >ENSMUSP00000137750.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4214304:4223489:1 gene:ENSMUSG00000097629.2 transcript:ENSMUST00000181917.2 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Clcf1 description:cardiotrophin-like cytokine factor 1 [Source:MGI Symbol;Acc:MGI:1930088] MDLRAEPRSRKNLPKERRADRRMDVYGSGRPNLRERLEGTRGGC >ENSMUSP00000137813.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4231898:4233630:1 gene:ENSMUSG00000097508.2 transcript:ENSMUST00000180621.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pold4 description:polymerase (DNA-directed), delta 4 [Source:MGI Symbol;Acc:MGI:1916995] MGRKRFITDSYPVVKKREGPPGHSKGELAPELGEDTQSLSQEETELELLRQFDLAWQYGP CTGITRLQRWSRAEQMGLKPPLEVYQVLKAHPEDPHFQCSLWHLYPL >ENSMUSP00000138003.1 pep:known chromosome:GRCm38:CHR_MG153_PATCH:4125960:4132306:1 gene:ENSMUSG00000097394.2 transcript:ENSMUST00000181375.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem134 description:transmembrane protein 134 [Source:EntrezGene;Acc:66990] MSAARPQFSIDDAFELTLEDAGPEPESSGVARFGPLHFERRARFEVADEDKQSRLRYQQN LENDEDGAQASPEPDGGVSTRDSGHMSVRSSQWSFSTISSSTQRSYNACCSWTQHPLIQK NRRVVLASFLLLLLGLVLILVGVGLEVAPSPGVSSAIFFVPGILLLVPGVYHVIFIYCAV KGRRGFQFFYLPYFEK >ENSMUSP00000145843.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18220754:18235136:-1 gene:ENSMUSG00000108733.1 transcript:ENSMUST00000206884.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC084822.2 MPRSPGTRLKPAKYIPVATAAALLVGSSTLFFVFTCPWLTRAVSPAIPVYNGILFLFVLA NFSMATFMDPGVFPRADEDEDKEDDFRAPLYKNVDVRGIQVRMKWCATCHFYRPPRCSHC SVCDNCVEDFDHHCPWVNNCIGRRNYRYFFLFLLSLSAHMVGVVAFGLLYVLNHSEGLGA AHTTITMAVMCVAGLFFIPVIGLTGFHVVLVTRGRTTNEQVTGKFRGGVNPFTRGCYGNV EHVLCSPLAPRYVVEPPRMPLSVSLKPPFLRPELLERAVPLKVKLSDNGLKAGRSKSKGS LDQLDEKPLDLGPPLPPKIEAGTFGRDLKTPRPGSAESALSVQRTSPPTPAMYKFRPAFS TGPKTPFCGPNEQVPGPDSLTLADDSTHSLDFVSEPSLDLPDHGPGGLRPPYPPSPPLNT TDAFSGALRSLSLKAASRRGGDHMTLQPLRSEGGPPTPHRSLFAPHALPNRNGSLSYDSL LNPGSPSGHACPTHPSVGIASYHSPYLHPGPSDPPRPPPRSFSPVLGPRPREPSPVRYDN LSRTIMASIQERKDREERERLLRSQTDSLFGDSGVYDTPSSYSLQQASVLTEGPRGSVLR YGSRDDLVAGPGFGGARNPALQTSLSSLSSSMSRAPRTSSSSLQADQANNNAPGPRPGSG SHRSPARQGLPSPPGTPRSPSYTGSKAVAFIHTDLPDRQPSLAMQRDHPQLKTPPSKLNG QSPGMARLGPAASPMGPNASPARHTLVKKVSGVGGTTYEISV >ENSMUSP00000139059.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18239784:18248732:-1 gene:ENSMUSG00000099164.7 transcript:ENSMUST00000183528.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp1 description:RAN binding protein 1 [Source:MGI Symbol;Acc:MGI:96269] MAAAKDSHEDHDTSTENADESNHDPQFEPIVSLPEQEIKTLEEDEEELFKMRAKLFRFAS ENDLPEWKERGTGDVKLLKHKEKGTIRLLMRRDKTLKICANHYITPMMELKPNAGSDRAW VWNTHADFADECPKPELLAIRFLNAENAQKFKTKFEECRKEIEEREKKGPGKNDNAEKVA EKLEALSVREAREEAEEKSEEKQ >ENSMUSP00000138996.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18239802:18248067:-1 gene:ENSMUSG00000099164.7 transcript:ENSMUST00000185058.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ranbp1 description:RAN binding protein 1 [Source:MGI Symbol;Acc:MGI:96269] MRAKLFRFASENDLPEWKERGTGDVKLLKHKEKGTIRLLMRRDKTLKICANHYITPMMEL KPNAGSDRAWVWNTHADFADECPKPELLAIRFLNAENAQKFKTKFEECRKEIEEREKKGP GKNDNAEKVAEKLEALSVREAREEAEEKSEEKQ >ENSMUSP00000139201.1 pep:putative chromosome:GRCm38:CHR_MG4222_MG3908_PATCH:55445355:55483005:1 gene:ENSMUSG00000098633.7 transcript:ENSMUST00000184342.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6gal2 description:beta galactoside alpha 2,6 sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:2445190] MKPHLKQWRQRMLFGIFVWGLLFLAIFIYFTNSNPAAPMPSSFSFLERRGLLPLQGKQRV IMGALQEPSLPRSLDASKVLLDSHPENPFHPWPGDPQKWDQAPNGFDNGDEFFTSQVGRK SQSAFYPEEDSYFFVADQPELYHHRQGALELPSPGETSWRSGPVQPKQKLLHPRRGSLPE EAYDSDMLSASMSRAFLYRLWKGAVSSKMLNPRLQKAMRYYMSFNKHGVRFRRRGRREAT RTGPELLCEMRRRVRVRTLDGREAPFSGLGWRPLVPGVPLSQLHPRGLSSCAVVMSAGAI LNSSLGEEIGGSRGAVFSELSELLVPVWGCAKDARQASSILGTVVIMSRINQGQG >ENSMUSP00000139138.1 pep:known chromosome:GRCm38:CHR_MG4222_MG3908_PATCH:55445362:55499199:1 gene:ENSMUSG00000098633.7 transcript:ENSMUST00000184253.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6gal2 description:beta galactoside alpha 2,6 sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:2445190] MKPHLKQWRQRMLFGIFVWGLLFLAIFIYFTNSNPAAPMPSSFSFLERRGLLPLQGKQRV IMGALQEPSLPRSLDASKVLLDSHPENPFHPWPGDPQKWDQAPNGFDNGDEFFTSQVGRK SQSAFYPEEDSYFFVADQPELYHHRQGALELPSPGETSWRSGPVQPKQKLLHPRRGSLPE EAYDSDMLSASMSRAFLYRLWKGAVSSKMLNPRLQKAMRYYMSFNKHGVRFRRRGRREAT RTGPELLCEMRRRVRVRTLDGREAPFSGLGWRPLVPGVPLSQLHPRGLSSCAVVMSAGAI LNSSLGEEIDSHDAVLRFNSAPTRGYEKDVGNKTTVRIINSQILANPSHHFIDSALYKDV ILVAWDPAPYSANLNLWYKKPDYNLFTPYIQHRRKYPTQPFYILHPKFIWQLWDIIQENT REKIQPNPPSSGFIGTCV >ENSMUSP00000139036.1 pep:known chromosome:GRCm38:CHR_MG4222_MG3908_PATCH:55445523:55514554:1 gene:ENSMUSG00000098633.7 transcript:ENSMUST00000183712.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:St6gal2 description:beta galactoside alpha 2,6 sialyltransferase 2 [Source:MGI Symbol;Acc:MGI:2445190] MKPHLKQWRQRMLFGIFVWGLLFLAIFIYFTNSNPAAPMPSSFSFLERRGLLPLQGKQRV IMGALQEPSLPRSLDASKVLLDSHPENPFHPWPGDPQKWDQAPNGFDNGDEFFTSQVGRK SQSAFYPEEDSYFFVADQPELYHHRQGALELPSPGETSWRSGPVQPKQKLLHPRRGSLPE EAYDSDMLSASMSRAFLYRLWKGAVSSKMLNPRLQKAMRYYMSFNKHGVRFRRRGRREAT RTGPELLCEMRRRVRVRTLDGREAPFSGLGWRPLVPGVPLSQLHPRGLSSCAVVMSAGAI LNSSLGEEIDSHDAVLRFNSAPTRGYEKDVGNKTTVRIINSQILANPSHHFIDSALYKDV ILVAWDPAPYSANLNLWYKKPDYNLFTPYIQHRRKYPTQPFYILHPKFIWQLWDIIQENT REKIQPNPPSSGFIGILIMMSMCKEVHVYEYIPSVRQTELCHYHELYYDAACTLGAYHPL LYEKLLVQRLNTGTQADLHHKGKVVLPGFQTLRCPVTSPNNTHS >ENSMUSP00000138906.1 pep:known chromosome:GRCm38:CHR_MG4222_MG3908_PATCH:55592313:55624644:-1 gene:ENSMUSG00000099175.2 transcript:ENSMUST00000184305.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vmn2r118 description:vomeronasal 2, receptor 118 [Source:EntrezGene;Acc:383258] MMFTSIFIVLLLKLPLLLCNSAENMCFWRVKLNENCDGDLPQDCAFLLYTQVDPAPKDFL KYLFKSCLPSKIHQYLLSLYFAMEEINSNPYLLPNVSLGIEYFKGKCNGYLSLFTNLNIM TKYYHTFPNYICDPGACEIALTGPLWISSAQVATILQLKFIPQVRQITYGPFYPLLSDQS KFPNLHQIAPKNRFLPEAIVSLLFHFSWIWVGLVIQDDDQGIQFLLDIKEEMQRNGVCLA FVNVIPQNMHLFTTRAEKYYNQIITSSANVVILYGELNTALEVSFKHWKYLGTQKIWFTT SQWDAITREKDFSLTSFYGTLTISQYHGDVSTLNNFFQGANLSKDTHYFSSERLGWMYFN CSILKSNCKTPNQCTSSNLLEWLPRHSFDMAMNDESYNIYNAIYAVAHALHEMVLQKIDF QQLENVTQLMQECVQIHAFLENMQFLNPIGDVVIINQQAKTDAEYEIYMIWNFPQGLGVK VKIGRFYSYFLHDQKLYLYEDMIQWASGYSQIPPSVCSVSCAPGFKKFYLEEKPSCCFDC SPCPENEISNATDLTQCVKCPDGQYANIERNHCFPKVVTYLAYADPLGIVLACMALFLSM LSVLVLGIFVKNQYTPIVKANNRTLSYILLISLTLCFLCSLFFIGHPSTARCLLQQITFG ILFTVAVSAVLAKTITVLLAFSITIPGRRVKWLLLFGTHKVIIPICSLFQLIICGFWLGL SPPFVERDTHTEHGHIIIMCNKGSLIAFYSVLGYLGCLALASFIMAFLVRNLPDTFNEAK FLTFSMLVFCSVWVTFLPVHHSTKGKAMVAVEVFSILASSAGLLGCIFIPKCYIIVLRPD RNFIQRSKGKNHS >ENSMUSP00000138960.1 pep:known chromosome:GRCm38:CHR_MG4222_MG3908_PATCH:55651997:55712600:-1 gene:ENSMUSG00000098835.2 transcript:ENSMUST00000184775.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC182748.1 MSSAPVAPSTYTPLNLLKEGTVVNVCGVVKFFKPPYLSKGTDYCSVVTIVDQTNVKLTCM LFSRDYDTLPSVYKVGDIVCFQGLKIQAYKNELQAISKFGFASLTFDGTLGAPIILRTLS KRFNFTAQDYSMVEALRTWASTHISASSNLVQLSDAQPMQYYDLTCQLLGKAQVDGVSFL LKVWDGTRTKIPSWRVCIQDVAFEGDLSHILQLQNLVVDIVVYDNHVQVAKSLKIGSFLR IYSLHTKLQPINSESTTSLVRLEFHLHGGTSYGRGIRVLPESNYDVDQLKKALESVDLEA IQTSDDTYQSDNVENCLTSSSSESDLVSLYEVERCQPLCATTLTGYHHLEKTSLCDILKQ RAPRQYRIRAKLRSYKPRRLPQSVKLFCPKCHLLQEIPSEENVDKILQDATATAPDCKLQ DTPLYDSEVWTTEGQGGRQVAVHFVKNDGVLPHSSECLVLIEGGRLCEISRLSSMFHSVI PVRSGPEDLELVDLAAPFLIRGKVCHYGCKQCSNLKTIQNLSIIPNKRLWIPSSVAEVLG IVPLQYVFVMTFMFDDGTGVLDVYLKDSEKFFQIPASEVLTDDDLQRNLEQIMNVICPPG IKIDAHPWLECLIKSYNVTSGTEHQICYQIFDTMIAEDII >ENSMUSP00000139391.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109452849:109463336:1 gene:ENSMUSG00000098411.7 transcript:ENSMUST00000183367.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPVSNCASGPCQNGGTCLQHTQVSFECLCKPP FMGPTCAKKRGASPVQVTHLPSGYGLTYRLTPGVHELPVQQPEQHILKVSMKELNKSTPL LTEGQAICFTILGVLTSLVVLGTVAIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEE LAVNIIFPEKIDMTTFNKEAGDEEI >ENSMUSP00000139257.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109453455:109460700:1 gene:ENSMUSG00000098411.7 transcript:ENSMUST00000183925.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPVSNCASGPCQNGGTCLQHTQVSFECLCKPP FMGPTCAKKRGASPVQVTHLPSGYGLTYRLTPGVHELPVQQPEQHILKVSMKELNKSTPL LTEGQAICFTILGVLTSLVVLGTVAIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEE LAVNIIFPEKIDMTTFNKEAGDEEI >ENSMUSP00000139198.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109453491:109460381:1 gene:ENSMUSG00000098411.7 transcript:ENSMUST00000184240.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPVSNCASGPCQNGGTCLQHTQGQAICFTILG VLTSLVVLGTVAIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEELAVNIIFPEKIDM TTFNKEAGDEEI >ENSMUSP00000139174.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109453491:109460618:1 gene:ENSMUSG00000098411.7 transcript:ENSMUST00000183988.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPAICFTILGVLTSLVVLGTVAIVFLNKCETW VSNLRYNHMLRKKKNLLLQYNSGEELAVNIIFPEKIDMTTFNKEAGDEEI >ENSMUSP00000138890.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109453535:109463336:1 gene:ENSMUSG00000098411.7 transcript:ENSMUST00000183383.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPVSNCASGPCQNGGTCLQHTQPEQHILKVSM KELNKSTPLLTEGQAICFTILGVLTSLVVLGTVAIVFLNKCETWVSNLRYNHMLRKKKNL LLQYNSGEELAVNIIFPEKIDMTTFNKEAGDEEI >ENSMUSP00000139208.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109453655:109463336:1 gene:ENSMUSG00000098411.7 transcript:ENSMUST00000184132.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPVSNCASGPCQNGGTCLQHTQAICFTILGVL TSLVVLGTVAIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEELAVNIIFPEKIDMTT FNKEAGDEEI >ENSMUSP00000138963.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109453660:109460360:1 gene:ENSMUSG00000098411.7 transcript:ENSMUST00000184736.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 MIATGALLRVLLLLLAFGHSTYGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTA PGCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFS GKDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNP CENDGVCTDIGGDFRCRCPAGFVDKTCSRPGQAICFTILGVLTSLVVLGTVAIVFLNKCE TWVSNLRYNHMLRKKKNLLLQYNSGEELAVNIIFPEKIDMTTFNKEAGDEEI >ENSMUSP00000139149.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109454195:109459762:1 gene:ENSMUSG00000098411.7 transcript:ENSMUST00000183373.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 MDSVVSFRPCGVNCVPFFSSSGAECDPPCDPQYGFCEADNVCRCHVGWEGPLCDKCVTAP GCVNGVCKEPWQCICKDGWDGKFCEIDVRACTSTPCANNGTCVDLEKGQYECSCTPGFSG KDCQHKAGPCVINGSPCQHGGACVDDEGQASHASCLCPPGFSGNFCEIVAATNSCTPNPC ENDGV >ENSMUSP00000139200.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109459843:109460475:1 gene:ENSMUSG00000098411.7 transcript:ENSMUST00000184683.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dlk1 XASGPCQNGGTCLQHTQQPEQHILKVSMKELNKSTPLLTEGQAICFTILGVLTSLVVLGT VAIVFLNKCETWVSNLRYNHMLRKKKNLLLQYNSGEELAVNIIFPEKIDMTTFNKEAGDE EI >ENSMUSP00000144261.1 pep:novel chromosome:GRCm38:CHR_MG4222_MG3908_PATCH:55576652:55578151:1 gene:ENSMUSG00000107343.1 transcript:ENSMUST00000202619.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC182748.2 KKQEGNPSTNLKEDSYKNRMPTLTTKITGSNNYFSLISLNINGLNSPIKRHRLTNWLHKQ DPTFCCLQETHLREKDRHYLRIKGWKTIFQANGMKKQAGVAILISDKIDFQPKVIKKDKE GHFVLIKGKILQEELSILNIYAPNTRAATFIKETLVKLKAHIAPHTIIVGDFNTPLSPMD RSWKQKLNRDTLKLTEVMKQMDLTDIYRTFYPKTKGYTFFSAPHGTFSKIDHIIGHKTGL NRFKNIEIVPCILSDHHALRLIFNNKKNNRKPTLTWKLNNTLLNDTLVKEGIKKEIKDFL EFNENEATTYPNLWDTMKAFLRGKLIALSASMKKRERAHTSSLTTHLKALEKKEANSPKR SRRQEIIKLRGEINQVETRRTIQRINQTRSWFFEKINKIDKPLASLTRGHRDKILINKIR TEKGDITTDPEEIQNTIRSFYKRLYSTKLENLDEMDKFLDRYQVPKLNQDQVDLLNSPIS PKEIEAVINSLPAKKSPGPD >ENSMUSP00000138980.2 pep:known chromosome:GRCm38:CHR_MG3829_PATCH:88047373:88053755:1 gene:ENSMUSG00000098301.6 transcript:ENSMUST00000183352.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf1 description:serine/arginine-rich splicing factor 1 [Source:MGI Symbol;Acc:MGI:98283] MSGGGVIRGPAGNNDCRIYVGNLPPDIRTKDIEDVFYKYGAIRDIDLKNRRGGPPFAFVE FEDPRDAEDAVYGRDGYDYDGYRLRVEFPRSGRGTGRGGGGGGGGGAPRGRYGPPSRRSE NRVVVSGLPPSGSWQDLKDHMREAGDVCYADVYRDGTGVVEFVRKEDMTYAVRKLDNTKF RSHEGETAYIRVKVDGPRSPSYGRSRSRSRSRSRSRSRSNSRSRSYSPRRSRGSPRYSPR HSRSRSRT >ENSMUSP00000141939.1 pep:known chromosome:GRCm38:CHR_MG3829_PATCH:88047718:88051490:1 gene:ENSMUSG00000098301.6 transcript:ENSMUST00000193491.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf1 description:serine/arginine-rich splicing factor 1 [Source:MGI Symbol;Acc:MGI:98283] MSGGGVIRGPAGNNDCRIYVGNLPPDIRTKDIEDVFYKYGAIRDIDLKNRRGGPPFAFVE FEDPRDAEDAVYGRDGYDYDGYRLRVEFPRSGRGTGRGGGGGGGGGAPRGRYGPPSRRSE NRVVVSGLPPSGSWQDLKDHMREAGDVCYADVYRDGTGVVEFVRKEDMTYAVRKLDNTKF RSHEVGYTLILFFGQNWIQFS >ENSMUSP00000142012.1 pep:putative chromosome:GRCm38:CHR_MG3829_PATCH:88047803:88050410:1 gene:ENSMUSG00000098301.6 transcript:ENSMUST00000191711.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Srsf1 description:serine/arginine-rich splicing factor 1 [Source:MGI Symbol;Acc:MGI:98283] MSGGGVIRGPAGNNDCRIYVGNLPPDIRTKDIEDVFYKYGAIRDIDLKNRRGGPPFAFVE FEDPRDAEDAVYGRDGYDYDGYRLRVEFPRSGRGTGRGGGGGGGGGAPRGRYGPPSRRSE NRVVVSGLPPSGSWQDLKDHMREAGDVCYADVYRDGTGVVEFVRKEDMTYAVRKLDNTKF RSHEGETAYIRVKVDGPRSPSYGRSRSRSRSRSRSRSRSNSRSRSYSPRRSRGSPRYSPR HSRSRSHISEEMD >ENSMUSP00000142115.1 pep:novel chromosome:GRCm38:CHR_MG3829_PATCH:88048567:88051472:1 gene:ENSMUSG00000098301.6 transcript:ENSMUST00000195802.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf1 description:serine/arginine-rich splicing factor 1 [Source:MGI Symbol;Acc:MGI:98283] XGRGTGRGGGGGGGGGAPRGRYGPPSRRSENRVVVSGLPPSGSWQDLKDHMREAGDVCYA DVYRDGTGVVEFVRKEDMTYAVRKLDNTKFRSHETYLKRWIKNALD >ENSMUSP00000141214.1 pep:novel chromosome:GRCm38:CHR_MG3829_PATCH:88048589:88051122:1 gene:ENSMUSG00000098301.6 transcript:ENSMUST00000195009.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Srsf1 description:serine/arginine-rich splicing factor 1 [Source:MGI Symbol;Acc:MGI:98283] XGGGGGGGGAPRGRYGPPSRRSENRVVVSGLPPSGSWQDLKDHMRETYLKRWIKNALD >ENSMUSP00000138849.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18248883:18253502:1 gene:ENSMUSG00000098930.7 transcript:ENSMUST00000183969.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2a description:TRM2 tRNA methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96270] MSEPAAEVPEPMEDCGQDASAVPSSAAPLCQKEEAGPGPAAGPGTQPGLYSYIRDDLFTS EIFKLELQNVPRHASFSDVRRFLGRFGLQSHKIKLFGQPPCAFVTFRSAAERDKALRVLH GALWKGCPLSVRLARPKADPMARKRRQEGDSEPSVTQIADVVTPLWTVPYTEQLEQKRLE CERVLQKLAKEIGNTNRALLPWLLLQRQQHNKACCPLEGVKPSPQQTEYRNKCEFLVGVG VDGKDNTVGCRLGKYKGGTCAVAAPFDTVHIPEATKQVVKAFQEFIRSTPYSAYDPETYT GHWKQLTVRTSSRGQAMAIAYFHPQKLSSEEVAGLKASLVCHFMEGPGKASGVTSLYFVE EGQRKTPSQEGLPLEHMAGDQCIQEDLLGLTFRISPHAFFQVNTPAAEVLYTVIQEWAQL DGGSTVLDVCCGTGTIGLALAPKVKRVVGIELCQEAVEDARMNALTNELSNVEFHCGRAE DLVPGLVSRLSSHQLVAVLDPPRAGLHSKVILAIRKAENIKRLLYVSCNPRAAMGNFVDL CRAPSNRVKGTPFHPVKAVAVDLFPQTPHCEMLILFERMQQHPNGIEALEHQEFQTPRNL PDITPQETEISLS >ENSMUSP00000139374.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18248883:18254772:1 gene:ENSMUSG00000098930.7 transcript:ENSMUST00000183589.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2a description:TRM2 tRNA methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96270] MSEPAAEVPEPMEDCGQDASAVPSSAAPLCQKEEAGPGPAAGPGTQPGLYSYIRDDLFTS EIFKLELQNVPRHASFSDVRRFLGRFGLQSHKIKLFGQPPCAFVTFRSAAERDKALRVLH GALWKGCPLSVRLARPKADPMARKRRQEGDSEPSVTQIADVVTPLWTVPYTEQLEQKRLE CERVLQKLAKEIGNTNRALLPWLLLQRQQHNKACCPLEGVKPSPQQTEYRNKCEFLVGVG VDGKDNTVGCRLGKYKGGTCAVAAPFDTVHIPEATKQVVKAFQEFIRSTPYSAYDPETYT GHWKQLTVRTSSRGQAMAIAYFHPQKLSSEEVAGLKASLVCHFMEGPGKASGVTSLYFVE EGQRKTPSQEGLPLEHMAGDQCIQEDLLGLTFRISPHAFFQVNTPAAEVLYTVIQEWAQL DGGSTVLDVCCGTGTIGLALAPKVKRVVGIELCQEAVEDARMNALTNDSKVILAIRKAEN IKRLLYVSCNPRAAMGNFVDLCRAPSNRVKGTPFHPVKAVAVDLFPQTPHCEMLILFERM QQHPNGIEALEHQEFQTPRNLPDITPQETEISLS >ENSMUSP00000139101.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18249062:18253502:1 gene:ENSMUSG00000098930.7 transcript:ENSMUST00000184895.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2a description:TRM2 tRNA methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96270] MWTGWAEVPEPMEDCGQDASAVPSSAAPLCQKEEAGPGPAAGPGTQPGLYSYIRDDLFTS EIFKLELQNVPRHASFSDVRRFLGRFGLQSHKIKLFGQPPCAFVTFRSAAERDKALRVLH GALWKGCPLSVRLARPKADPMARKRRQEGDSEPSVTQIADVVTPLWTVPYTEQLEQKRLE CERVLQKLAKEIGNTNRALLPWLLLQRQQHNKACCPLEGVKPSPQQTEYRNKCEFLVGVG VDGKDNTVGCRLGKYKGGTCAVAAPFDTVHIPEATKQVVKAFQEFIRSTPYSAYDPETYT GHWKQLTVRTSSRGQAMAIAYFHPQKLSSEEVAGLKASLVCHFMEGPGKASGVTSLYFVE EGQRKTPSQEGLPLEHMAGDQCIQEDLLGLTFRISPHAFFQVNTPAAEVLYTVIQEWAQL DGGSTVLDVCCGTGTIGLALAPKVKRVVGIELCQEAVEDARMNALTNELSNVEFHCGRAE DLVPGLVSRLSSHQLVAVLDPPRAGLHSKVILAIRKAENIKRLLYVSCNPRAAMGNFVDL CRAPSNRVKGTPFHPVKAVAVDLFPQTPHCEMLILFERMQQHPNGIEALEHQEFQTPRNL PDITPQETEISLS >ENSMUSP00000139006.1 pep:putative chromosome:GRCm38:CHR_MG3833_PATCH:18250021:18253112:1 gene:ENSMUSG00000098930.7 transcript:ENSMUST00000185170.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2a description:TRM2 tRNA methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96270] XKLAKEIGNTNRALLPWLLLQRQQHNKACCPLEGVKPSPQQTEYRNKCEFLVGVGVDGKD NTVGCRLGKYKGGTCAVAAPFDTVHIPEATKQVVKAFQEFIRKTPSQEGLPLEHMAGDQC IQEDLLGLTFRISPHAFFQVNTPAAEVLYTVIQEWAQLDGGSTVLDVCCGTGTIGLALAP KVKRVVGIELCQEAVEDARMNALTNELSNVEFHCGRAEDLVPGLVSRLSSHQLVAVLDPP RAGLHSKVILAIRKAENIKRLLYVSCNPRAAMGNFVDLCRA >ENSMUSP00000138862.1 pep:novel chromosome:GRCm38:CHR_MG3833_PATCH:18251422:18253501:1 gene:ENSMUSG00000098930.7 transcript:ENSMUST00000183593.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Trmt2a description:TRM2 tRNA methyltransferase 2A [Source:MGI Symbol;Acc:MGI:96270] PGKASGVTSLYFVEEGQRKTPSQEGLPLEHMAGDQCIQEDLLGLTFRISPHAFFQVNTPA AEACLLQFNE >ENSMUSP00000144178.1 pep:novel chromosome:GRCm38:CHR_MG4211_PATCH:66145305:66146387:-1 gene:ENSMUSG00000107274.1 transcript:ENSMUST00000201905.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC240971.1 MSWRPSMSAQGVLETSISSQGLTEPSLLPQEPPGHWLEKEALGYLPSNPEDLGLSDSSQD TLEIWACMQNSTEIPSVTQEVIESLSATQDSPKLPVPSPLSPETEEPLTYTQSISKSSKS VPGTPEALASVLGSLCLLKPNTSHQETMDTSFSTEFQGQSLCAEGDIMPTPPHEDGWRNF SYLKKNPRRLKSNQRILKHVPIPERDIRYCLSELDALKCISSAVSSLTSSKYAEKESSPT STPQRSISPLKSSQLSFRSSILCKKGLSHSPPPGDCPTPSKLRKTRPSPSSVDQEGLKYV RSKHAGWKHCHSSKIWVRVCKFKK >ENSMUSP00000138880.1 pep:known chromosome:GRCm38:CHR_MG3829_PATCH:88068279:88084729:1 gene:ENSMUSG00000098546.6 transcript:ENSMUST00000183959.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezf1 MEANWTAFLFQAHEASHHQQQAAQNSLLPLLSSAVEPPDQKPLLPIPITQKPQAAPETLK DAIGIKKEKPKTSFVCTYCSKAFRDSYHLRRHQSCHTGIKLVSRAKKTPTTVVPLISTIA GDSSRTSLVSTIAGILSTVTTSSSGTNPSSSASTTSMPVPQSVKKPSKPVKKNHACEMCG KAFRDVYHLNRHKLSHSDEKPFECPICNQRFKRKDRMTYHVRSHEGGITKPYTCSVCGKG FSRPDHLSCHVKHVHSTERPFKCQTCTAAFATKDRLRTHMVRHEGKVSCNICGKLLSAAY ITSHLKTHGQSQSINCNTCKQGISKTCMSEETSNQKQQQQQQQQQQQQQQQHVTSWPGKQ VETLRLWEEAVKARKKEAANLCQTSTAATTPVTLTTPFNITSSVSSGTMSNPVTVAAAMS MRSPVNVSSAVNITSPMNIGHPVTITSPLAMTSPLTLTTPVNLPTPVTAPVNIAHPVTIT SPMNLPTPMTLAAPLNIAMRPVESMPFLPQALPTSPPW >ENSMUSP00000142197.1 pep:known chromosome:GRCm38:CHR_MG3829_PATCH:88073588:88084729:1 gene:ENSMUSG00000098546.6 transcript:ENSMUST00000194410.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vezf1 LNRHKLSHSDEKPFECPICNQRFKRKDRMTYHVRSHEGGITKPYTCSVCGKGFSRPDHLS CHVKHVHSTERPFKCQFSSLMQTCTAAFATKDRLRTHMVRHEGKVSCNICGKLLSAAYIT SHLKTHGQSQSINCNTCKQGISKTCMSEETSNQKQQQQQQQQQQQQQQQHVTSWPGKQVE TLRLWEEAVKARKKEAANLCQTSTAATTPVTLTTPFNITSSVSSGTMSNPVTVAAAMSMR SPVNVSSAVNITSPMNIGHPVTITSPLAMTSPLTLTTPVNLPTPVTAPVNIAHPVTITSP MNLPTPMTLAAPLNIAMRPVESMPFLPQALPTSPPW >ENSMUSP00000138834.2 pep:known chromosome:GRCm38:CHR_MG3829_PATCH:88099146:88194140:1 gene:ENSMUSG00000098571.6 transcript:ENSMUST00000184231.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cuedc1 description:CUE domain containing 1 [Source:MGI Symbol;Acc:MGI:2144281] MTSLFRRSSSGSGGGGATGARGAGTGAGDGSTAPQELNNSRPARQVRRLEFNQAMDDFKT MFPNMDYDIIECVLRANSGAVDATIDQLLQMNLEAGGGSAYEDSSDSEDSIPPEILERTL EPDSSEEEPPPVYSPPAYHMHVFDRPYLMAPPTPPPRIDVPGSGQPASQRRYRNWNPPLL GSLPDDFLRILPQQMDSIQGHPGGSKPMSGEGGPPPAPGPMACDQDSRWKQYLEDERIAL FLQNEEFMKELQRNRDFLLALERDRLKYESQKSKSNNAAVGNDGGFPSSVPGTSETNPTV SEDALFRDKLKHMGKSTRRKLFELARAFSEKTKMRKSKKKHLPKLQSLGAAASTANLLDD VEGHAYEEDFRGRRQEVPKVEEALREGQ >ENSMUSP00000141557.1 pep:known chromosome:GRCm38:CHR_MG3829_PATCH:88162261:88194140:1 gene:ENSMUSG00000098571.6 transcript:ENSMUST00000192539.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Cuedc1 description:CUE domain containing 1 [Source:MGI Symbol;Acc:MGI:2144281] MTSLFRRSSSGSGGGGATGARGAGTGAGDGSTAPQELNNSRPARQVRRLEFNQAMDDFKT MFPNMDYDIIECVLRANSGAVDATIDQLLQMNLEAGGGSAYEDSSDSEDSIPPEILERTL EPDSSEEEPPPVYSPPAYHMHVFDRPYLMAPPTPPPRIDVPGSGQPASQRRYRNWNPPLL GSLPDDFLRILPQQMDSIQGHPGGSKPMSGEGGPPPAPGPMACDQDSRWKQYLEDERIAL FLQNEEFMKELQRNRDFLLALERDRLKYESQKSKSNNAAVGNDGGFPSSVPAGVSSFQEP VKPTPLCQKMPYSGTS >ENSMUSP00000138988.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109589702:109600838:-1 gene:ENSMUSG00000098639.2 transcript:ENSMUST00000184423.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rtl1 description:retrotransposon-like 1 [Source:MGI Symbol;Acc:MGI:2656842] MIEPSEDSFETMMELKNPSSKQMESSEGSSNTVEETPGSSGAQAGAQAGAQAEAQAETQV EAQAEAQAEAQVEAQVEAQAGSDSGPAQEEKEPPSGPLKEMQELPTNLLQEVEEPSSGPH QEMQELPTDLLQEVEEPSSGPHQEMQELPTDLLREVEEPSSGPYQEMQELPTDLLREVEE PSSGPYQEMQELPTDLLREVEEPSSGPYQEMQELPTDLLREVEEPSSGPYQEMQELPTDL LREVEEPSSDPCEASSNDLPQDMEESSDDGSNQESSDGSNHELSNGSNHESSFGSNPESS DVSNLESSGGSNQESSDGSQKESSYDSNPELSDNSNQELSDNSNQESSDSSNQSSDISNQ EGSEPLSEASDYSMDETINSSETQSDQDDTDLGDDEEEEEEEGGEEEGQPKNSPEEVVAT MGNVISLFLRMQDLKEQQRVAERLMMQAINEGRLPSLRPFSGDRRDYHEFVVLCQMTMQN YPSMLYNDELRVKFVIRHLTDLALEWANDLVEQNSPVINNFSAFLEAMSEKFEYRQTLRV AEDAMFNIRQGNRCAADYINEFRGLIPTLGWPDEVLQAHLCQGLNEEIRHYLFRIPQPNS LDNLIVLVLQLEEKLAERRALLRLPPESRPRSVAWMDAPAPEKWRVSSWLPNEFHPDIDR DHLFLLLLVRVDPYHSVAVRALVDSGAEGNYMDERFAQEHYVELYEKPYPQIIQGVDGIP IGNEPVWLCTEPLVCVHQKHYEYIEFDILPSPNFSIVLGMKWLRTHAPEVDWMRGRCTFH SPYCLRNCFTPPPPCIALETYSISLLPGLPHTYSDLADVFNPREADDETSDQPSSDGSDD LSESEPSELQQAGDSDQSGVFYESGARETLEPVSARMQEKARQQEKAREQEEYWILYDML TDRQDYTQMVPELFDQLHGAAWFTKLELLGIKESEMRHTVTHTEDTWRASFGFGLHQMRC YRPFTMNSYSDEGNNIVHFILKDILGLFVICHGREVLVYSMSQEEHSQHVRQVLVRFRYH NIYCSLDKTQFHRQTAEILGFNISPKGVKLNKNLMNLIVGCPVPGSRRCLQSVIDLVYPY RHFVENFAVIAAPLVRQLLSSEPYYWGEEEQEALESLKRAFRKSPVLYHPKPQNPFYLET DITGSFLSASLVQTDDETGKKSTCAFYSRPLSTMEVEYPRVEMRILPIRAAFMVWCRYLE NTEEPIMILLNTEDLASLNNDRLTVLLPGHWVFFFSHFNFGVMEMPAEGDTQALFRRCWN QRGFRARFLRPLLLMSIRANLRYFDRSSETEDKEDDEEEEEEDGEEEEGEEEEDGEEEEG EEEEDGEEEEEEEEDDEEEEGEEEEDGEEEEGEEEEDGEEEEGEEEEDGEEEEGEEEGEE EEEGEEEEEEEEDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDEEEEDEEEEDEEVPSM VRELLAAIPMDHILNGLLAHFSVAQIRAVVLNFFRGLLYWKSLLGVAAVLVMLRARQPLS PVPAPNLEVARPQHRHTLRLILDSTLIASSGMATAIAQLLSQMPPLVGANTLPARELAEL FLGPRCWHRNALHSQPPRGMRFTPGFWLTLCEFFGVRVNPEDDVFPDPYQHRYLELHVVG DEDVVLREALQDDLQRYRQCGLHDGLQDTSQDAQDNDVQEDLFGDQEAVTFRPRNLLDPE VLDFLNNRLLYTLGTDGRLTLLSRDQVAQALTRFLAMASRMALPSPAREQARLEELSDSD DELD >ENSMUSP00000138865.1 pep:known chromosome:GRCm38:CHR_MG3829_PATCH:88204388:88211507:1 gene:ENSMUSG00000098375.6 transcript:ENSMUST00000183742.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps23 description:mitochondrial ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1928138] MAGSRLETVGSVFSRTRDLMRAGVLKEKPLWYDIYKAFPPLREPVFRRPRLRYGKAKADI QDIFYQEDQIRAKFFATYGSGQKAFDLFNPNFKSTCQRFVEKYTELQNLGETDEEKLFVE TGKALLAEGIILRRVREARTVSVRLQASSEGHEPQEDDDLAQRGQVKQEPETAPSPP >ENSMUSP00000141212.1 pep:known chromosome:GRCm38:CHR_MG3829_PATCH:88204411:88211507:1 gene:ENSMUSG00000098375.6 transcript:ENSMUST00000195872.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps23 description:mitochondrial ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1928138] MRAGVLKEKPLWYDIYKAFPPLREPVFRRPRLRYGKAKADIQDIFYQEDQIRAKFFATYG SGQKAFDLFNPNFKSTCQRFVEKYTELQNLGETDEEKLFVETGKALLAEGIILRRVREAR TVSVRLQASSEGHEPQEDDDLAQRGQVKQEPETAPSPP >ENSMUSP00000141548.1 pep:putative chromosome:GRCm38:CHR_MG3829_PATCH:88204424:88210230:1 gene:ENSMUSG00000098375.6 transcript:ENSMUST00000192161.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps23 description:mitochondrial ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1928138] MRAGVLKEKPLWYDIYKAFPPLREPVFRRPRLRYGKAKADIQDIFYQEDQIRAKFFATYG SGQKAFDLFNPNFKSTCQRFVEKYTELQNLGETDEEKLFVETGKALLAEGIILRRVREAR T >ENSMUSP00000141975.1 pep:novel chromosome:GRCm38:CHR_MG3829_PATCH:88204424:88210670:1 gene:ENSMUSG00000098375.6 transcript:ENSMUST00000193526.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps23 description:mitochondrial ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1928138] MAGSRLETVGSVFSRTRDLMRAGVLKEKPLWYDIYKAFPPLREPVFRRPRLRYGKAKADI QDIFYQEDQIRAKFFATYGSGQKAFDLFNPNFKSTCQRSA >ENSMUSP00000141978.1 pep:known chromosome:GRCm38:CHR_MG3829_PATCH:88204444:88210971:1 gene:ENSMUSG00000098375.6 transcript:ENSMUST00000192249.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mrps23 description:mitochondrial ribosomal protein S23 [Source:MGI Symbol;Acc:MGI:1928138] XAGSRLETVGSVFSRKFFATYGSGQKAFDLFNPNFKSTCQRFVEKYTELQNLGETDEEKL FVETGKALLAEGIILRRVREARTVSVRLQASSEGHEPQEDDDLAQRGQVKQEPETAPSPP >ENSMUSP00000144454.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18253948:18289246:-1 gene:ENSMUSG00000106687.3 transcript:ENSMUST00000202260.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr8 description:DiGeorge syndrome critical region gene 8 [Source:MGI Symbol;Acc:MGI:2151114] METYESPSPLPREPAGEAMMENRACPFQVLPHEQSPPPPLQTSSDAEVMDVGSGGDGQSE PPADDPFNFYGASLLSKGSFSKGRLLIDPNCSGHSPRTARHAPAVRKFSPDLKLLKDVKI SVSFTESCRSKDRKVLYTGVERSTRPECGQLLSPVSGDVHACPFGGSVGNGVGLGGESAD KKDEENELDQEKRVEYAVLDELEDFTDNLELDEEGTGGFTAKAIVQRDRVDEEALNFSYE DDFDNDVDALLEEGLCAPKKRRMEEKYGGDSDHPSDGETSVQPMMTKIKTVLKSRGRPPT EPLPDGWIMTFHNSGVPVYLHRESRVVTWSRPYFLGTGSIRKHDPPLSSIPCLHYKKMKD NEEREQNCDLAPSGEVSPVKPLGRSAELDFPLEEPDSMGGDSGSMDEKDPLGAEAAAGAL GQVKAKVEVCKDESVDLEEFRNYLEKRFDFEQVTVKKFRTWAERRQFNREMKRKQAESER PILPANQKLITLSVQDAPTKKEFVINPNGKSEVCILHEYMQRVLKVRPVYNFFECENPSE PFGASVTIDGVTYGSGTASSKKLAKNKAARATLEILIPDFVKQTSEEKPKDSEELEYFNH ISIEDSRVYELTSKAGLLSPYQILHECLKRNHGMGDTSIKFEVVPGKNQKSEYVMACGKH TVRGWCKNKRVGKQLASQKILQLLHPHVKNWGSLLRMYGRESSKMVKQETSDKSVIELQQ YAKKNRPNLHILSKLQEEMKRLAAEREETRKKPKMSIVASAQPGGEPLCTVDV >ENSMUSP00000144275.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18253957:18289249:-1 gene:ENSMUSG00000106687.3 transcript:ENSMUST00000201668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dgcr8 description:DiGeorge syndrome critical region gene 8 [Source:MGI Symbol;Acc:MGI:2151114] METYESPSPLPREPAGEAMMENRACPFQVLPHEQSPPPPLQTSSDAEVMDVGSGGDGQSE PPADDPFNFYGASLLSKGSFSKGRLLIDPNCSGHSPRTARHAPAVRKFSPDLKLLKDVKI SVSFTESCRSKDRKVLYTGVERSTRPECGQLLSPVSGDVHACPFGGSVGNGVGLGGESAD KKDEENELDQEKRVEYAVLDELEDFTDNLELDEEGTGGFTAKAIVQRDRVDEEALNFSYE DDFDNDVDALLEEGLCAPKKRRMEEKYGGDSDHPSDGETSVQPMMTKIKTVLKSRGRPPT EPLPDGWIMTFHNSGVPVYLHRESRVVTWSRPYFLGTGSIRKHDPPLSSIPCLHYKKMKD NEEREQNCDLAPSGEVSPVKPLGRSAELDFPLEEPDSMGGDSGSMDEKDPLGAEAAAGAL GQVKAKVEVCKDESVDLEEFRNYLEKRFDFEQVTVKKFRTWAERRQFNREMKRKQAESER PILPANQKLITLSVQDAPTKKEFVINPNGKSEVCILHEYMQRVLKVRPVYNFFECENPSE PFGASVTIDGVTYGSGTASSKKLAKNKAARATLEILIPDFVKQTSEEKPKDSEELEYFNH ISIEDSRVYELTSKAGLLSPYQILHECLKRNHGMGDTSIKFEVVPGKNQKSEYVMACGKH TVRGWCKNKRVGKQLASQKILQLLHPHVKNWGSLLRMYGRESSKMVKQETSDKSVIELQQ YAKKNRPNLHILSKLQEEMKRLAAEREETRKKPKMSIVASAQPGGEPLCTVDV >ENSMUSP00000139304.1 pep:known chromosome:GRCm38:CHR_MG3829_PATCH:88294058:88295261:1 gene:ENSMUSG00000098681.3 transcript:ENSMUST00000183390.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ccdc182 description:coiled-coil domain containing 182 [Source:MGI Symbol;Acc:MGI:1921547] MEALFQAGSILMKVNTLQGKKMVESGLQSGDLSLSQSWPSYLPLPADLEILQQKVAGVQR ELEDFKEEALKAIRYLEDAFCQMSGVLAQQEEQAARVKQRLREEEDRGIVRNKVLTFLLP REKQLREHCQRLENMLVRSHNPLRAIRKSQAD >ENSMUSP00000138981.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18300825:18324450:-1 gene:ENSMUSG00000098377.7 transcript:ENSMUST00000184457.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tango2 MCIIFFKFDPRPVSKNAYRLILAANRDEFYNRPSKLADFWGNNSEILSGLDMEEGKAGGT WLGISTRGKLGALTNYLQPRQEPDARGRGELVSHFLTSDMDSLSYLKKVSTEGHLYNGFN IIAADLSTSKGDVVCYYGNRGEPEPIVLTPG >ENSMUSP00000138919.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18300827:18343927:-1 gene:ENSMUSG00000098377.7 transcript:ENSMUST00000183630.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tango2 MCIIFFKFDPRPVSKNAYRLILAANRDEFYNRPSKLADFWGNNSEILSGLDMEEGKAGGT WLGISTRGKLGALTNYLQPRQEPDARGRGELVSHFLTSDMDSLSYLKKVSTEGHLYNGFN IIAADLSTSKGDVVCYYGNRGEPEPIVLTPGTYGLSNALLETPWKKLCFGKQLFMEAVEQ SEALPKDVLVTQLLDVLNNEEAQLPDPAIEDQGQEYVQPILNKYAAVCVRCATYGTRTNT IILVDANGHVTFTERSMLDKDTSRWETNTYEFTLQS >ENSMUSP00000139258.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18310888:18348103:-1 gene:ENSMUSG00000098377.7 transcript:ENSMUST00000184324.7 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Tango2 MLWLQQLQEARSCPLPAIFRGVLGIVGPELELAGQAVTPGFQQRCLHLHPKFPDLVTV >ENSMUSP00000138831.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18310896:18343902:-1 gene:ENSMUSG00000098377.7 transcript:ENSMUST00000184631.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tango2 MCIIFFKFDPRPVSKNAYRLILAANRDEFYNRPSKLADFWGNNSEILSGLDMEEGKAGGT WLGISTRGKLGALTNYLQPRQEPDARGRGELVSHFLTSDMDSLSYLKKVSTEGHLYNG >ENSMUSP00000139289.1 pep:known chromosome:GRCm38:CHR_MG4180_PATCH:109546348:109572236:1 gene:ENSMUSG00000098724.2 transcript:ENSMUST00000184292.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC152063.2 SFLATPVSVFPSQPRLLEACLHSLLSFLTSNFPSNPLLPDSLFSIERLSLRPVASSCAIC CCAQVPRAAHLHRRAAGIAHRPCRLKKRRLRTPGCPARGPQEAQREDSTHDAQREDFTHN TLQPSWIRPKPSSGILRGTLDTRTQTPAPRTLQL >ENSMUSP00000138930.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18407771:18414379:-1 gene:ENSMUSG00000098892.7 transcript:ENSMUST00000183626.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comt description:catechol-O-methyltransferase [Source:MGI Symbol;Acc:MGI:88470] MLLAAVSLGLLLLAFLLLLRHLGWGLVAIGWFEFVQQPVHNLLMGGTKEQRILRHVQQHA KPGDPQSVLEAIDTYCSEKEWAMNVGDAKGQIMDAVIREYRPSLVLELGAYCGYSAVRMA RLLPPGARLLTMEINPDYAAITQQMLDFAGLQDKVSILIGASQDLIPQLKKKYDVDTLDM VFLDHWKDRYLPDTLLLEECGLLRKGTVLLADNVIVPGTPDFLAYVRGSSSFECTHYSSY LEYMKVVDGLEKAVYQGPGSSPVKS >ENSMUSP00000139196.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18408231:18427737:-1 gene:ENSMUSG00000098892.7 transcript:ENSMUST00000185030.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comt description:catechol-O-methyltransferase [Source:MGI Symbol;Acc:MGI:88470] MLLAAVSLGLLLLAFLLLLRHLGWGLVAIGWFEFVQQPVHNLLMGGTKEQRILRHVQQHA KPGDPQSVLEAIDTYCSEKEWAMNVGDAKGQIMDAVIREYRPSLVLELGAYCGYSAVRMA RLLPPGARLLTMEINPDYAAITQQMLDFAGLQDKVSILIGASQDLIPQLKKKYDVDTLDM VFLDHWKDRYLPDTLLLEECGLLRKGTVLLADNVIVPGTPDFLAYVRGSSSFECTHYSSY LEYMKVVDGLEKAVYQGPGSSPVKS >ENSMUSP00000141683.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18408429:18427270:-1 gene:ENSMUSG00000098892.7 transcript:ENSMUST00000195169.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comt description:catechol-O-methyltransferase [Source:MGI Symbol;Acc:MGI:88470] MLLAAVSLGLLLLAFLLLLRHLGWGLVAIGWFEFVQQPVHNLLMGGTKEQRILRHVQQHA KPGDPQSVLEAIDTYCSEKEWAMNVGDAKGQIMDAVIREYRPSLVLELGAYCGYSAVRMA RLLPPGARLLTMEINPDYAAITQQMLDFAGLQDKVSILIGASQDLIPQLKKKYDVDTLDM VFLDHWKDRYLPDTLLLEECGLLRKGTVLLADNVIVPGTPDFLAYVRGSSSFECTHYSSY LEYMKVVDGLEKAVYQGPGSSPVKS >ENSMUSP00000141682.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18411648:18414379:-1 gene:ENSMUSG00000098892.7 transcript:ENSMUST00000193870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Comt description:catechol-O-methyltransferase [Source:MGI Symbol;Acc:MGI:88470] MLLAAVSLGLLLLAFLLLLRHLGWGLVAIGWFEFVQQPVHNLLMGGTKEQRILRHVQQHA KPGDPQSVLEAIDTYCSEKEWAMNVGDAKGQIMDAVIREYRPSLVLELGAYCGYSAVRMA RLLPPGARLLTMEINPDYAAITQQMLDFAGLQDKVSILIGASQDLIPQLKK >ENSMUSP00000141203.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72318677:72345183:-1 gene:ENSMUSG00000104250.2 transcript:ENSMUST00000193449.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Usp39 description:ubiquitin specific peptidase 39 [Source:MGI Symbol;Acc:MGI:107622] MSSRSKRQSHGSTRGKRESESRGSSGRIKKERDREKEPEAASSRGSPVRVKREAEPAARE VPAPALPVVRVKREREADEDSEPEREVRAKNGRVDSEDRRSRHCPYLDTINRSVLDFDFE KLCSISLSHINAYACLVCGKYFQGRGLKSHAYIHSVQFSHHVFLNLHTLKFYCLPDNYEI IDSSLEDITYVLKPTFTKQQIANLDKQAKLSRAYDGTTYLPGIVGLNNIKANDYANAVLQ ALSNVPPLRNYFLEEDNYKNIKRPPGDIMFLLVQRFGELMRKLWNPRNFKAHVSPHEMLQ AVVLCSKKTFQITKQGDGVDFLSWFLNALHSALGGTKKKKKTIVNDVFQGSMRIFTKKLP HPDLPAEEKEQLLHNDEYQETMVESTFMYLTLDLPTAPLYKDEKEQLIIPQVPLFNILAK FNGITEKEYKTYKENFLKRFQLTKLPPYLIFCIKRFTKNNFFVEKNPTIVNFPITNVDLR EYLSEEVQAVHKNTTYDLIANIVHDGKPSEGSYRIHVLHHGTGKWYELQDLQVTDILPQM ITLSEAYIQIWKRRDNDETNQQGA >ENSMUSP00000145854.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72336259:72342967:-1 gene:ENSMUSG00000104250.2 transcript:ENSMUST00000205987.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Usp39 description:ubiquitin specific peptidase 39 [Source:MGI Symbol;Acc:MGI:107622] XKNGRVDSEDRRSRHCPYLDTINRSVLDFDFEKLCSISLSHINAYACLVCGKYFQAFSLS PQAGA >ENSMUSP00000141754.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72347333:72353147:1 gene:ENSMUSG00000108680.1 transcript:ENSMUST00000192144.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:0610030E20Rik description:RIKEN cDNA 0610030E20 gene [Source:MGI Symbol;Acc:MGI:1915614] MEAAQDHGPGLCCKPGGRLDMSHGFVHHIRRNQLDRDDYDKKVKQAAKEKARRRHTPAPT RPRKPDLQVYLPRHRDGSTHPVNPDCEEASESSSSGSSELEPPGRQLFCLDYEADSGEVT SVIVYQDDDPGRVSEAVSAHTPLDPAMREALRSRIQEELAKRQSRH >ENSMUSP00000142022.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72355446:72359761:1 gene:ENSMUSG00000102455.5 transcript:ENSMUST00000193693.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150a description:transmembrane protein 150A [Source:MGI Symbol;Acc:MGI:2385244] MTAWILLPVSLSAFSITGIWTVYAMAVMNRHVCPVENWSYNESCSPDPAEQGGPKSCCTL DDVPLISKCGTYPPESCLFSLIGNMGAVMVALICLLRYGQLLEQSRHSWINTTALITGCT NAAGLVVVGNFQVDHAKSLHYIGTGVAFTAGLLFVCLHCVLFYHGATTPLDMAMAYLRSV LAVIAFITLVLSGVFFLHESSQLQHGAALCEWVFVLDILIFYGTFSYEFGTISSDTLVAA LQPAPGRACKSSGSSSTSTHLNCAPESIAMI >ENSMUSP00000141874.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72355446:72359761:1 gene:ENSMUSG00000102455.5 transcript:ENSMUST00000194404.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150a description:transmembrane protein 150A [Source:MGI Symbol;Acc:MGI:2385244] MTAWILLPVSLSAFSITGIWTVYAMAVMNRHVCPVENWSYNESCSPDPAEQGGPKSCCTL DDVPLISGPHLPPSVRAAPGTEPALLDQYHCTHHWLHQRCRPRGGRQFSGGPCQVSTLHR NWCGLHCWAALCVPALCSLLPWGHHPPGHGYGLPSKCAGCHRLHHPGP >ENSMUSP00000146327.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72355473:72358400:1 gene:ENSMUSG00000102455.5 transcript:ENSMUST00000206567.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150a description:transmembrane protein 150A [Source:MGI Symbol;Acc:MGI:2385244] MTAWILLPVSLSAFSITGIWTVYAMAVMNRHVCPVENWSYNESCSPDPAEQGGPKSCCTL DDVPLISKCGTYPPESCLFSLIGNMGAVMVALICLLRYGQLLEQSRHSWINTTALITGCT >ENSMUSP00000145826.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72356225:72358751:1 gene:ENSMUSG00000102455.5 transcript:ENSMUST00000206209.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem150a description:transmembrane protein 150A [Source:MGI Symbol;Acc:MGI:2385244] MTAWILLPVSLSAFSITGIWTVSYNESCSPDPAEQGGPKSCCTLDDVPLISKCGTYPPES CLFSLIGNMGAVMVALICLLRYGQLLEQSRHSWINTTALITGCTNAAGLVVVGNFQVDHA KSLHYIGTGVAFTAGLLFVCLHCVLFYHGATTPLDMAMAYLRSVLAVIAFITLV >ENSMUSP00000141228.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72359713:72362392:-1 gene:ENSMUSG00000102720.5 transcript:ENSMUST00000194851.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] MASYFDEHDCEPLNPEHLKCPVCLLEFEAEETVIEMPCHHLFHSNCILPWLSKTNSCPLC RHELPTDDDSYEEHKKDKARRQQQQHRLENLHGAMYT >ENSMUSP00000142055.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72359713:72362431:-1 gene:ENSMUSG00000102720.5 transcript:ENSMUST00000193509.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] MASYFDEHDCEPLNPEREARNNMLLELARRVRGAWSWAPGGRSLFNRMDFEDLGLVDWEH HLPPPAAKAVVESLPRTVISSAKADLKCPVCLLEFEAEETVIEMPCHHLFHSNCILPWLS KTNSCPLCRHELPTDDDSYEEHKKDKARRQQQQHRLENLHGAMYT >ENSMUSP00000141744.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72359871:72362359:-1 gene:ENSMUSG00000102720.5 transcript:ENSMUST00000191989.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] XSYFDEHDCEPLNPEREARNNMLLELARRGR >ENSMUSP00000141880.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72360083:72361395:-1 gene:ENSMUSG00000102720.5 transcript:ENSMUST00000192123.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] LFCFFFLFRADKPDLPACRLPLATNNLAYLKCPVCLLEFEAEETVIEMPCHHLFHSNCIL PWLSKTNSCPLCRHELPTDDDSYEEHKKDKARRQQQQHRLENLHGAMYT >ENSMUSP00000141725.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72360244:72366955:-1 gene:ENSMUSG00000102720.5 transcript:ENSMUST00000194461.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] MDFEDLGLVDWEHHLPPPAAKAVVESLPRTVISSAKADLKCPVCLLEFEAEETVIEMPCH HLFHSNCILPWLSKTNSCPLCRHELPTDDDSYEEHKKDKARRQQQQHRLENLHGAMYT >ENSMUSP00000141617.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72360250:72362343:-1 gene:ENSMUSG00000102720.5 transcript:ENSMUST00000195191.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] HDCEPLNPEREARNNMLLELARRITL >ENSMUSP00000142320.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72360276:72362389:-1 gene:ENSMUSG00000102720.5 transcript:ENSMUST00000192233.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] XDKPGSPGAMASYFDEHDCEPLNPEREARNNMLLELARRITL >ENSMUSP00000141909.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72360277:72362362:-1 gene:ENSMUSG00000102720.5 transcript:ENSMUST00000193589.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] XASYFDEHDCEPLNPEREARNNMLLELARRISSAPCAFWNLRRRRL >ENSMUSP00000141781.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72360287:72362381:-1 gene:ENSMUSG00000102720.5 transcript:ENSMUST00000194976.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] MASYFDEHDCEPLNPEREARNNMLLELARRVRGAWSWAPGGRSLFNRMDFEDLGLVDWEH HLPPPAAKAVVESLPRTVISSAKADLKCPVCLLEFEAEETVIEMPCHHLFHSNCILPWLR REQGWKAGAGKDRNTALDFSRQIPALCAAMSCPLMMTAMKSTRKTRLAGSSSSTAWRTST EPCTREAAARAERSASLIPSLCTLNH >ENSMUSP00000142228.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72360335:72362364:-1 gene:ENSMUSG00000102720.5 transcript:ENSMUST00000195592.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rnf181 description:ring finger protein 181 [Source:MGI Symbol;Acc:MGI:1913760] MASYFDEHDCEPLNPEREARNNMLLELARRVRGAWSWAPGGRSQVPRVPFGI >ENSMUSP00000146164.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18427269:18479952:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000206213.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGAAQLGKKVAVADYVEPSPRGTK WGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQPVQHNWKTMAEAVQNHVKSL NWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKATLLSAEHIVIATGGRPRYPTQVK GALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFLTGIGLDTTVMMRSIPLRGFDQQ MSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWEDHASGKEDTGTFDTVLWAIGRV PETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAIGDVAEGRPELTPTAIKAGKLLA QRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVALHGQEHVEVYHAYYKPLEFTVA DRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGFALGIKCGASYAQVMQTVGIHPT CSEEVVKLHISKRSGLEPTVTGCUG >ENSMUSP00000145922.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18427302:18479955:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000206726.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKE AAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEV AQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKAT LLSAEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFL TGIGLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWE DHASGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAI GDVAEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVYHAYYKPLEFTVADRDASQCYI KMVCMREPPQLVLGLHFLGPNAGEVTQGFALGIKCGASYAQVMQTVGIHPTCSEEVVKLH ISKRSGLEPTVTGCUG >ENSMUSP00000139097.3 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18427302:18479958:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000185160.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKE AAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEV AQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKAT LLSAEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFL TGIGLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWE DHASGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAI GDVAEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVA LHGQEHVEVYHAYYKPLEFTVADRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGF ALGIKCGASYAQVMQTVGIHPTCSEEVVKLHISKRSGLEPTVTGCUG >ENSMUSP00000142155.2 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18427323:18457353:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000192041.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKE AAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEV AQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKAT LLSAEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFL TGIGLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWE DHASGKEDTGTFDTVLWAIGKDAASHTDTVSSSRKPYFLGRRVFAFLPITSWILHSAGS >ENSMUSP00000139206.2 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18427323:18479952:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000184600.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] MVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKEAAQ LGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQP VQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKATLLS AEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTSVPAAEDVALECAGFLTGI GLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWEDHA SGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAIGDV AEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVALHG QEHVEVYHAYYKPLEFTVADRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGFALG IKCGASYAQVMQTVGIHPTCSEEVVKLHISKRSGLEPTVTGC >ENSMUSP00000141846.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18427323:18479952:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000194156.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] MVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKEAAQ LGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQP VQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKATLLS AEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTSVPAAEDVALECAGFLTGI GLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWEDHA SGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAIGDV AEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVYHAYYKPLEFTVADRDASQCYIKMV CMREPPQLVLGLHFLGPNAGEVTQGFALGIKCGASYAQVMQTVGIHPTCSEEVVKLHISK RSGLEPTVTGC >ENSMUSP00000141692.3 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18427326:18479956:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000192971.5 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKE GTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQPVQHNWKTMAEAVQNHV KSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKATLLSAEHIVIATGGRPRYPT QVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFLTGIGLDTTVMMRSIPLRGF DQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWEDHASGKEDTGTFDTVLWAI GRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAIGDVAEGRPELTPTAIKAGK LLAQRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVALHGQEHVEVYHAYYKPLEF TVADRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGFALGIK >ENSMUSP00000146324.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18427330:18479955:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000205781.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] MAAMVAAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKE AAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEV AQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVKYFNIKASFVDEHTVRGVDKGGKAT LLSAEHIVIATGGRPRYPTQVKGALEYGITSDDIFWLKESPGKTLVVGASYVALECAGFL TGIGLDTTVMMRSIPLRGFDQQMSSLVTEHMESHGTQFLKGCVPSHIKKLPTNQLQVTWE DHASGKEDTGTFDTVLWAIGRVPETRTLNLEKAGISTNPKNQKIIVDAQEATSVPHIYAI GDVAEGRPELTPTAIKAGKLLAQRLFGKSSTLMDYSNVPTTVFTPLEYGCVGLSEEEAVA LHGQEHVEVYHAYYKPLEFTVADRDASQCYIKMVCMREPPQLVLGLHFLGPNAGEVTQGF ALGIKCGASYAQVMQTVGIHPTCSEEVVKLHISKRSGLEPTVTGCUG >ENSMUSP00000145934.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18427347:18438697:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000195523.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] XAMVAALRGPSRRFRPRTRALTRGTRGAASAAGGQQSFDLLVIGGGSGGLACAKEAAQLG KKVAVADYVEPSPRGTKWGLGGTCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQPVQ HNW >ENSMUSP00000145550.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18429582:18438695:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000191617.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] MSCGQKDRPKHRQQSFDLLVIGGGSGGLACAKEAAQLGKKVAVADYVEPSPRGTKWGLGG TCVNVGCIPKKLMHQAALLGGMIRDAHHYGWEVAQPVQHNW >ENSMUSP00000145945.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18429810:18444091:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000195150.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] MEGQQSFDLLVIGGGSGGLACAKEAAQLGKKVAVADYVEPSPRGTKWGLGGTCVNVGCIP KKLMHQAALLGGMIRDAHHYGWEVAQPVQHNWKTMAEAVQNHVKSLNWGHRVQLQDRKVK YFNIKASFVDEHTVRGVDKGGKATLLSAEHIVIATGGRPRYPTQV >ENSMUSP00000145862.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18441686:18443334:1 gene:ENSMUSG00000099282.7 transcript:ENSMUST00000193952.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Txnrd2 description:thioredoxin reductase 2 [Source:EntrezGene;Acc:26462] XYFNIKASFVDEHTVRGVDKGGKATLLSAEHIVIATGGRPRYPTQCLVLCCPGCFRSPGI HVCPLQPPELALTEMCGGHHTWPLFLPPSLHCHGLF >ENSMUSP00000145952.1 pep:putative chromosome:GRCm38:CHR_MG184_PATCH:72368793:72372134:-1 gene:ENSMUSG00000102671.5 transcript:ENSMUST00000206266.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp5 description:vesicle-associated membrane protein 5 [Source:MGI Symbol;Acc:MGI:1858622] MLNNFDKVLERHGKLAELEQRSDQLLDMSSAFSKTTKTLAQQKRWENIRCRVYLGLAVAV GLLIILIVLLVVFLPSGGDSSKP >ENSMUSP00000141824.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72369027:72380421:-1 gene:ENSMUSG00000102671.5 transcript:ENSMUST00000192869.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp5 description:vesicle-associated membrane protein 5 [Source:MGI Symbol;Acc:MGI:1858622] MAGKELKQCQQQADEVTEIMLNNFDKVLERHGKLAELEQRSDQLLDMSSAFSKTTKTLAQ QKRWENIRCRVYLGLAVAVGLLIILIVLLVVFLPSGGDSSKP >ENSMUSP00000141851.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72369033:72380467:-1 gene:ENSMUSG00000102671.5 transcript:ENSMUST00000195019.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp5 description:vesicle-associated membrane protein 5 [Source:MGI Symbol;Acc:MGI:1858622] MAGKELKQCQQQADEVTEIMLNNFDKVLERHGKLAELEQRSDQLLDMSSAFSKTTKTLAQ QKRWENIRCRVYLGLAVAVGLLIILIVLLVVFLPSGGDSSKP >ENSMUSP00000141800.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72385222:72390702:-1 gene:ENSMUSG00000103205.1 transcript:ENSMUST00000192980.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp8 description:vesicle-associated membrane protein 8 [Source:EntrezGene;Acc:22320] MEEASGSAGNDRVRNLQSEVEGVKNIMTQNVERILARGENLDHLRNKTEDLEATSEHFKT TSQKVARKFWWKNVKMIVIICVIVLIIVILIILFATGTIPT >ENSMUSP00000145870.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72385227:72389910:-1 gene:ENSMUSG00000103205.1 transcript:ENSMUST00000195876.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vamp8 description:vesicle-associated membrane protein 8 [Source:EntrezGene;Acc:22320] MTQNVERILARGENLDHLRNKTEDLEATSEHFKTTSQKVARKFWWKNVKMIVIICVIVLI IVILIILFATGTIPT >ENSMUSP00000141277.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72414307:72430711:1 gene:ENSMUSG00000103019.2 transcript:ENSMUST00000194207.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ggcx description:gamma-glutamyl carboxylase [Source:MGI Symbol;Acc:MGI:1927655] MAVHRGSALVAPASDKVQKNKSAQTSGLKQGSRMEKILGFEWTDLSSWQSVVTLLNKPTD PANLAVFRFLFAFLMLLDIPQERGLSSLDRKYLDGLDVCRFPLLDALRPLPLDWMYLVYT IMFLGALGMMLGLCYRLSCVLFLLPYWYVFLLDKTSWNNHSYLYGLLAFQLTFMDANHYW SVDGLLNARKKNAHVPLWNYTVLRGQIFIVYFIAGVKKLDADWVGGYSMEHLSRHWLFSP FKLVLSEELTSLLVVHWCGLLLDLSAGFLLFFDASRPVGLFFVSYFHCMNSQLFSIGMFP YVMLASSPLFCSAEWPRKLVARCPKRLQELLPTKAAPRPSASCVYKRSRGKAGPKPGLRH QLGAIFTLLYLLEQLFLPYSHFLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGLT GELGYLNPGVFTQSRRWKDHADMLKQYATCLSLLLPKYNVTEPQIYFDIWVSINDRFQQR LFDPRVDIVQAVWSPFQRTPWVQPLLMDLSPWRTKLQDIKSSLDNHTEVVFIADFPGLHL ENFVSEDLGNTSIQLLQGEVTVELVAEQKNQTLQEGEKMQLPAGEYHKVYTVSSSPSCYM YVYVNTTEVALEQDLAYLQELKEKVENGSETGPLPPELQPLLEGEVKGGPEPTPLVQTFL RRQRKLQEIERRRNSPFHERFLRFVLRKLYVFRRSFLMTRISLRNLLLGRPSLEQLAQEV TYANLRPFEPVDESSASNTDSSNHPSEPDSEHVHSEF >ENSMUSP00000146024.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72414340:72430400:1 gene:ENSMUSG00000103019.2 transcript:ENSMUST00000205972.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ggcx description:gamma-glutamyl carboxylase [Source:MGI Symbol;Acc:MGI:1927655] MAVHRGSALVAPASDKVQKNKSAQTSGLKQGSRMEKILGFEWTDLSSWQSVVTLLNKPTD PANLAVFRFLFAFLMLLDIPQERGLSSLDRKYLDGLDVCLLPATCTSMSTLQRSHWSKTW HICKN >ENSMUSP00000145552.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72417854:72430241:1 gene:ENSMUSG00000103019.2 transcript:ENSMUST00000206601.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ggcx description:gamma-glutamyl carboxylase [Source:MGI Symbol;Acc:MGI:1927655] XFLMLLDIPQERGLSSLDRKYLDGLDVCRFPLLDALRPLPLDWIPREAGPVVVTL >ENSMUSP00000146039.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72417905:72424039:1 gene:ENSMUSG00000103019.2 transcript:ENSMUST00000193821.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ggcx description:gamma-glutamyl carboxylase [Source:MGI Symbol;Acc:MGI:1927655] XRKYLDGLDVCRFPLLDALRPLPLDWMYLVYTIMFLVPGKLGLWW >ENSMUSP00000146104.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72426616:72429257:1 gene:ENSMUSG00000103019.2 transcript:ENSMUST00000206507.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ggcx description:gamma-glutamyl carboxylase [Source:MGI Symbol;Acc:MGI:1927655] XLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGLTGELGYLNPGAF >ENSMUSP00000145760.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72432798:72439555:-1 gene:ENSMUSG00000104463.2 transcript:ENSMUST00000206765.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] MNGQLNGFHEAFIEEGTFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVA KTGMILLAGEITSRAAIDYQKVVREAIKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQG VHLDRNEEDIGAGDQGLMFGYATDETEECMPLTIVLAHKLNAKLAELRRNGTLPWLRPDS KTQVTVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDT IYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARW VAKSLVKGGLCRRVLVQVSYAIGVSHPLSISIFHYGTSQKSERELLEIVKKNFDLRPGVI VR >ENSMUSP00000142136.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72432798:72439557:-1 gene:ENSMUSG00000104463.2 transcript:ENSMUST00000193334.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] MNGQLNGFHEAFIEEGTFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVA KTGMILLAGEITSRAAIDYQKVVREAIKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQG VHLDRNEEDIGAGDQGLMFGYATDETEECMPLTIVLAHKLNAKLAELRRNGTLPWLRPDS KTQVTVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDT IYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARW VAKSLVKGGLCRRVLVQVSYAIGVSHPLSISIFHYGTSQKSERELLEIVKKNFDLRPGVI VRDLDLKKPIYQRTAAYGHFGRDSFPWEVPKKLKY >ENSMUSP00000145592.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72432799:72434990:-1 gene:ENSMUSG00000104463.2 transcript:ENSMUST00000205622.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] VIVRDLDLKKPIYQRTAAYGHFGPMSFLNSWCSYRETSFLQRAVLLAGRRKSLHT >ENSMUSP00000146216.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72433899:72435075:-1 gene:ENSMUSG00000104463.2 transcript:ENSMUST00000205441.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] XSIFHYGTSQKSERELLEIVKKNFDLRPGVIVRVLH >ENSMUSP00000145651.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72434544:72439555:-1 gene:ENSMUSG00000104463.2 transcript:ENSMUST00000206021.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] MNGQLNGFHEAFIEEGTFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVA KTGMILLAGEITSRAAIDYQKVVREAIKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQG VHLDRNEEDIGAGDQGLMFGYATDETEECMPLTIVLAHKLNAKLAELRRNGTLPWLRPDS KTQVTVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDT IYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDYTKVDRSAAYAARW VAKSLVKGGLCRRVLVQVSYAIGVSHPLSISIFHYGTSQKSERELLEIVKKNFDLRPGVI VRVLH >ENSMUSP00000145902.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72435810:72439387:-1 gene:ENSMUSG00000104463.2 transcript:ENSMUST00000206283.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mat2a description:methionine adenosyltransferase II, alpha [Source:MGI Symbol;Acc:MGI:2443731] TFLFTSESVGEGHPDKICDQISDAVLDAHLQQDPDAKVACETVAKTGMILLAGEITSRAA IDYQKVVREAIKHIGYDDSSKGFDYKTCNVLVALEQQSPDIAQGVHLDRNEEDIGAGDQV TVQYMQDRGAVLPIRVHTIVISVQHDEEVCLDEMRDALKEKVIKAVVPAKYLDEDTIYHL QPSGRFVIGGPQ >ENSMUSP00000141551.1 pep:known chromosome:GRCm38:CHR_MG184_PATCH:72304610:72314372:1 gene:ENSMUSG00000103879.1 transcript:ENSMUST00000192630.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sftpb description:surfactant associated protein B [Source:MGI Symbol;Acc:MGI:109516] MAKSHLLQWLLLLPTLCCPGAAITSASSLECAQGPQFWCQSLEHAVQCRALGHCLQEVWG HAGANDLCQECEDIVHLLTKMTKEDAFQEAIRKFLEQECDILPLKLLVPRCRQVLDVYLP LVIDYFQSQINPKAICNHVGLCPRGQAKPEQNPGMPDAVPNPLLDKLVLPVLPGALLARP GPHTQDFSEQQLPIPLPFCWLCRTLIKRVQAVIPKGVLAVAVSQVCHVVPLVVGGICQCL AERYTVLLLDALLGRVVPQLVCGLVLRCSTEDAMGPALPAVEPLIEEWPLQDTECHFCKS VINQAWNTSEQAMPQAMHQACLRFWLDRQKCEQFVEQHMPQLLALVPRSQDAHITCQALG VCEAPASPLQCFQTPHL >ENSMUSP00000139078.1 pep:known chromosome:GRCm38:CHR_MG3833_PATCH:18348182:18407957:1 gene:ENSMUSG00000098615.2 transcript:ENSMUST00000184717.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Arvcf description:armadillo repeat gene deleted in velo-cardio-facial syndrome [Source:MGI Symbol;Acc:MGI:109620] MEDCNVHSAASILASVKEQEARFERLTRALEQERRHVALQLERAQQPGMSSGGMVGSGQP LPMAWQQLVLQEQSPGSQASLATMPEAPEVLEETVTVEEDPGTPTSHVSIVTSEDGTTRR TETKVTKTVKTVTTRTVRQVPLGPDGLPLLDGGPPLGSFADGPLDRHYLLRGGGGPAATL SRTYHSSGGGFPDGPESRDIPSYGSLSRGLGVRPPRTGLLGPGPGDGCFTLPGRREAFPM GSESGPPSGRSLPEHFQAEPYGLEDDTRSLAADDEGGPDLEPDYSTATRRRPEYGRGLRA RAFEDTADDAGELIEERPPFPAATAPLAQPERGSLGSLDRVVRRSPSVDSTRKEPRWRDP ELPEVLAMLRHPVDPVKANAAAYLQHLCFENEGIKRRVRQLRGLPLLVALLDHPRAEVRR RACGALRNLSYGRDTDNKAAIRDCGGVPALVRLLRAARDNEVRELVTGTLWNLSSYEPLK MVIIDHGLQTLTHEVIVPHSGWEREPNEDSKPRDAEWTTVFKNTSGCLRNVSSDGAEARR RLRECEGLVDALLHALQSAVGRKDTDNKSVENCVCIMRNLSYHVHKEVPGADRYQEAEPG IPGSTTSQRRRKDDASCFGGKKAKEEWFHQGKKDAEMDRNFDTLDLPKRTEAAKGFELLY QPEVVRLYLSLLTESRNFNTLEAAAGALQNLSAGNWTWATYIRATVRKERGLPVLVELLQ SETDKVVRAVAIALRNLSLDQRNKDLIGSYAMTELVRNVRNAQAPAHPSAHLEEDTVVAV LNTIHEIVSDSLDNARSLLQARGVPALVALVASSQSVREAKAASHVLQTVWSYKELRGAL QRDGWTKSRFQSASTAKGPKGTPSSGGFDDSTLPLVDKSLDGEKSNTRDVIPMDTLGPDG YATVDRRERRTLGSDSTGDTSEKELLRPDPGRKAPPPGPSRPSVRLVDAVGDTKPQPVDS WV >ENSMUSP00000144153.1 pep:novel chromosome:GRCm38:CHR_MG3609_PATCH:122299764:122313819:-1 gene:ENSMUSG00000107147.1 transcript:ENSMUST00000200828.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CU041226.1 MVLPCSLRLLSVCLLSWCCDAHSLVALDPESESGLQPLMIWTDFEITLFAISMKTSNETP KSGTFGQSLKDGKNKMKNVEKEVLDACSQLCQSLAQNPKLQHGYNVVAYIKEHQLLCCS >ENSMUSP00000144590.1 pep:known chromosome:GRCm38:CHR_MG4261_PATCH:38942216:38958479:1 gene:ENSMUSG00000107249.1 transcript:ENSMUST00000202657.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AC164883.2 description:Brain and acute leukemia cytoplasmic protein [Source:UniProtKB/Swiss-Prot;Acc:Q8VHV1] MPVTPVASGWLLSAHLADPFFDPWAFFSYKSGVLEDGLSSNGVLRPAAPGGIANPEKKMN CGTQCPNSQNLSSGPLTQKQNGLWATEAKRDAKRMSAREVAINVTENIRQMDRSKRVTKN CIN >ENSMUSP00000144310.1 pep:known chromosome:GRCm38:CHR_MG4261_PATCH:39015354:39047260:1 gene:ENSMUSG00000107137.3 transcript:ENSMUST00000202117.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd6 description:frizzled class receptor 6 [Source:MGI Symbol;Acc:MGI:108474] MERSPFLLACILLPLVRGHSLFTCEPITVPRCMKMTYNMTFFPNLMGHYDQGIAAVEMGH FLHLANLECSPNIEMFLCQAFIPTCTEQIHVVLPCRKLCEKIVSDCKKLMDTFGIRWPEE LECNRLPHCDDTVPVTSHPHTELSGPQKKSDQVPRDIGFWCPKHLRTSGDQGYRFLGIEQ CAPPCPNMYFKSDELDFAKSFIGIVSIFCLCATLFTFLTFLIDVRRFRYPERPIIYYSVC YSIVSLMYFVGFLLGNSTACNKADEKLELGDTVVLGSKNKACSVVFMFLYFFTMAGTVWW VILTITWFLAAGRKWSCEAIEQKAVWFHAVAWGAPGFLTVMLLAMNKVEGDNISGVCFVG LYDLDASRYFVLLPLCLCVFVGLSLLLAGIISLNHVRQVIQHDGRNQEKLKKFMIRIGVF SGLYLVPLVTLLGCYVYELVNRITWEMTWFSDHCHQYRIPCPYQANPKARPELALFMIKY LMTLIVGISAVFWVGSKKTCTEWAGFFKRNRKRDPISESRRVLQESCEFFLKHNSKVKHK KKHGAPGPHRLKVISKSMGTSTGATTNHGTSAMAIADHDYLGQETSTEVHTSPEASVKEG RADRANTPSAKDRDCGESAGPSSKLSGNRNGRESRAGGLKERSNGSEGAPSEGRVSPKSS VPETGLIDCSTSQAASSPEPTSLKGSTSLPVHSASRARKEQGAGSHSDA >ENSMUSP00000144351.1 pep:known chromosome:GRCm38:CHR_MG4261_PATCH:39015407:39047260:1 gene:ENSMUSG00000107137.3 transcript:ENSMUST00000202152.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Fzd6 description:frizzled class receptor 6 [Source:MGI Symbol;Acc:MGI:108474] MERSPFLLACILLPLVRGHSLFTCEPITVPRCMKMTYNMTFFPNLMGHYDQGIAAVEMGH FLHLANLECSPNIEMFLCQAFIPTCTEQIHVVLPCRKLCEKIVSDCKKLMDTFGIRWPEE LECNRLPHCDDTVPVTSHPHTELSGPQKKSDQVPRDIGFWCPKHLRTSGDQGYRFLGIEQ CAPPCPNMYFKSDELDFAKSFIGIVSIFCLCATLFTFLTFLIDVRRFRYPERPIIYYSVC YSIVSLMYFVGFLLGNSTACNKADEKLELGDTVVLGSKNKACSVVFMFLYFFTMAGTVWW VILTITWFLAAGRKWSCEAIEQKAVWFHAVAWGAPGFLTVMLLAMNKVEGDNISGVCFVG LYDLDASRYFVLLPLCLCVFVGLSLLLAGIISLNHVRQVIQHDGRNQEKLKKFMIRIGVF SGLYLVPLVTLLGCYVYELVNRITWEMTWFSDHCHQYRIPCPYQANPKARPELALFMIKY LMTLIVGISAVFWVGSKKTCTEWAGFFKRNRKRDPISESRRVLQESCEFFLKHNSKVKHK KKHGAPGPHRLKVISKSMGTSTGATTNHGTSAMAIADHDYLGQETSTEVHTSPEASVKEG RADRANTPSAKDRDCGESAGPSSKLSGNRNGRESRAGGLKERSNGSEGAPSEGRVSPKSS VPETGLIDCSTSQAASSPEPTSLKGSTSLPVHSASRARKEQGAGSHSDA >ENSMUSP00000144506.1 pep:known chromosome:GRCm38:CHR_MG4261_PATCH:39086006:39096193:1 gene:ENSMUSG00000106929.1 transcript:ENSMUST00000202235.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cthrc1 description:collagen triple helix repeat containing 1 [Source:MGI Symbol;Acc:MGI:1915838] MHPQGRAAPPQLLLGLFLVLLLLLQLSAPISASENPKVKQKALIRQREVVDLYNGMCLQG PAGVPGRDGSPGANGIPGTPGIPGRDGFKGEKGECLRESFEESWTPNYKQCSWSSLNYGI DLGKIAECTFTKMRSNSALRVLFSGSLRLKCRNACCQRWYFTFNGAECSGPLPIEAIIYL DQGSPELNSTINIHRTSSVEGLCEGIGAGLVDVAIWVGTCSDYPKGDASTGWNSVSRIII EELPK >ENSMUSP00000144560.1 pep:known chromosome:GRCm38:CHR_MG4248_PATCH:15821394:15864892:-1 gene:ENSMUSG00000106923.3 transcript:ENSMUST00000201344.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c XQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTLKWFKNGNEISH LETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRGIAHISEFIQVP PTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTLIVNKDGTYNWI SCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKGTATKSEAPNGNKC >ENSMUSP00000144432.1 pep:known chromosome:GRCm38:CHR_MG4248_PATCH:15821394:15874668:-1 gene:ENSMUSG00000106923.3 transcript:ENSMUST00000201040.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c MLLLDAWTHIPHCVLLLILLLGLKGAAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWFKGVGQSRLLIYSFTGERFPRITNVSDVTKRSNLDFSIRISNVTPADSGTYYCVK FQRGPSEPDMEIQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTL KWFKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKEAPNGN KC >ENSMUSP00000144023.1 pep:known chromosome:GRCm38:CHR_MG4248_PATCH:15821394:15874696:-1 gene:ENSMUSG00000106923.3 transcript:ENSMUST00000202715.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c MLLLDAWTHIPHCVLLLILLLGLKGAAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWFKGVGQSRLLIYSFTGERFPRITNVSDVTKRSNLDFSIRISNVTPADSGTYYCVK FQRGPSEPDMEIQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTL KWFKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKGTATKS ELKTAGIAKIPVAVLLGSKILLLIAATVIYMRKKQNA >ENSMUSP00000143982.1 pep:known chromosome:GRCm38:CHR_MG4248_PATCH:15821394:15874777:-1 gene:ENSMUSG00000106923.3 transcript:ENSMUST00000202173.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c MLLLDAWTHIPHCVLLLILLLGLKGAAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWFKGVGQSRLLIYSFTGERFPRITNVSDVTKRSNLDFSIRISNVTPADSGTYYCVK FQRGPSEPDMEIQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTL KWFKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKELKTAG IAKIPVAVLLGSKILLLIAATVIYMRKKQNA >ENSMUSP00000144206.1 pep:known chromosome:GRCm38:CHR_MG4248_PATCH:15857049:15874740:-1 gene:ENSMUSG00000106923.3 transcript:ENSMUST00000201131.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c MLLLDAWTHIPHCVLLLILLLGLKGAAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPV GPIRWFKGVGQSRLLIYSFTGERFPRITNVSDVTKRSNLDFSIRISNVTPADSGTYYCVK FQRGPSEPDMEIQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTL KWFKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRG IAHISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTL IVNKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKGTATKS GEVFTPPLCLNVNWASFFMYKVTFLIIVALS >ENSMUSP00000144678.1 pep:known chromosome:GRCm38:CHR_MG4248_PATCH:15857490:15874692:-1 gene:ENSMUSG00000106923.3 transcript:ENSMUST00000201103.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sirpb1c MLLLDAWTHIPHCVLLLILLLGLKAMRELKVIQPVKSFFVGAGGSATLNCTVTSLLPVGP IRWFKGVGQSRLLIYSFTGERFPRITNVSDVTKRSNLDFSIRISNVTPADSGTYYCVKFQ RGPSEPDMEIQSGGGTELSVFAKPSSPMVSGPAARAVPQQTVAFTCRSHGFFPRNLTLKW FKNGNEISHLETSVEPEETSVSYRVSSTVQVVLEPRDVRSQIICEVDHVTLDRAPLRGIA HISEFIQVPPTLEISQQPTMVWNVIIVTCQIQKFYPPRFQVTWLENGNISRREVPFTLIV NKDGTYNWISCLLVNISALEENMVVTCQVEHDGQAEVIETHTVVVTEHQRVKGTATKSGE VFTPPLCLNVNWASFFMYKVTFLIIVALS >ENSMUSP00000144490.1 pep:known chromosome:GRCm38:CHR_MG4261_PATCH:39103265:39121790:-1 gene:ENSMUSG00000107007.1 transcript:ENSMUST00000202369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc25a32 description:solute carrier family 25, member 32 [Source:MGI Symbol;Acc:MGI:1917156] MTGQGQSAAGSAAWSAVFRHVRYENLVAGVSGGVLSNLALHPLDLVKIRFAVSDGLEVRP KYKGILHCLATIWKVDGLRGLYQGVTPNVWGAGLSWGLYFFFYNAIKSYKTEGRAEQLEP LEYLVSAAEAGAMTLCITNPLWVTKTRLMLQYGGVASPSQRQYKGMFDALVKIYKYEGVR GLYKGFVPGLFGTSHGALQFMAYELLKLKYNKHINRLPEAQLSTAEYISVAALSKIFAVA ATYPYQVVRARLQDQHVSYGGVTDVITKTWRKEGIGGFYKGIAPNLIRVTPACCITFVVY ENVSHFLYDLREKKVS >ENSMUSP00000144194.1 pep:known chromosome:GRCm38:CHR_MG4261_PATCH:39121939:39155930:1 gene:ENSMUSG00000106903.1 transcript:ENSMUST00000201446.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dcaf13 description:DDB1 and CUL4 associated factor 13 [Source:MGI Symbol;Acc:MGI:2684929] MKVKMLSRNPDNYVRETKLDIQRVPRNYDPTLHPFEVPREYVRALNATKLERVFAKPFLA SLDGHRDGVNCLAKHPKSLASVLSGACDGEVKIWNLTKRKCIRTIQAHEGFVRGMCTRFC GTSFFTVGDDKTVKQWKMDGPGYGEEEEPLYTVLGKTVYTGIDHHWKDPVFATCGQQVDI WDEQRTSPVCSMNWGFDSISSVKFNPVETFLLGSCASDRNIVLYDMRQATPLKKVILEMR TNTICWNPMEAFNFTAANEDYNLYTFDMRALDTPVMVHMDHVSAVLDVDYSPTGKEFVSA SFDKSIRIFPVDKSRSREVYHTKRMQHVMCVKWTSDSKYIMCGSDEMNIRLWKANASEKL GVLTSREKAANDYNQKLKEKFQYHPHVKRIARHRHLPKSIYSQIQEQRVMKEARRRKEMN RRKHSKPGSVPIVSERKKHVVAVVK >ENSMUSP00000143832.1 pep:known chromosome:GRCm38:CHR_MG4248_PATCH:15973119:16032686:-1 gene:ENSMUSG00000107166.3 transcript:ENSMUST00000200965.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-176A1.3 MLLLDAQTQIHHSVLLLILLLGLKGAAGKELKVIQPEKSVSVRAGGSATLNCTVTSLLPV GPIRWYRGVGHRRNLIYSYTGEHFPRITNVSDTTNRRNLDFSICISYVTFADAGTYYCVK FQKGPSEPDIEIQSGGGTELFVLGAAGKELKVIQPEKSVSVRAGGLATLNCTVTSLIPVG PMRWYRGVGHRRNLIYSYTGEHFPRITNVSDATKRRNLDFSIRISDVTFADADTYYCVKF QKGPSESDIEIQSGGGTELLVLELKTSGNAKILAAVLLGSKLLLAIAVIVIYIHKMQNA >ENSMUSP00000144180.1 pep:known chromosome:GRCm38:CHR_MG4248_PATCH:15974319:16032581:-1 gene:ENSMUSG00000107166.3 transcript:ENSMUST00000202896.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RP24-176A1.3 MLLLDAQTQIHHSVLLLILLLGLKGAAGKELKVIQPEKSVSVRAGGSATLNCTVTSLLPV GPIRWYRGVGHRRNLIYSYTGEHFPRITNVSDTTNRRNLDFSICISYVTFADAGTYYCVK FQKGPSEPDIEIQSGGGTELFVLGAAGKELKVIQPEKSVSVRAGGLATLNCTVTSLIPVG PMRWYRGVGHRRNLIYSYTGEHFPRITNVSDATKRRNLDFSIRISDVTFADADTYYCVKF QKGPSESDIEIQSGGGTELLVLELKTSGNAKILAAVLLGSKLLLAIAVIVIYIHKMQNA >ENSMUSP00000144626.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108783796:108797989:1 gene:ENSMUSG00000106672.3 transcript:ENSMUST00000201884.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKGQSQSSLVSWPPLPRFYPFGRSLCPQGSVVP >ENSMUSP00000144336.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108783796:108806332:1 gene:ENSMUSG00000106672.3 transcript:ENSMUST00000201111.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKGDHGTVDIVDNSDCEPKSKLLRWTNKKHHALETEKNPKDWVRQHRKEEKMKSH KLEEEFEWLKKSEVLYYSVEKKGNVSSQLKHYNPWSMKCHQQQLQRMKENAKHRNQYKFI LLENLTSRYEVPCVLDLKMGTRQHGDDASEEKAANQIRKCQQSTSAVIGVRVCGMQVYQA GTGQLMFMNKYHGRKLSVQGFKEALFQFFHNGRYLRRELLGPVLKKLTELKAVLERQESY RFYSSSLLVIYDGKEWPEVTLDSDAEDLEDLSEESADESAGAYAYKPIGASSVDVRMIDF AHTTCRLYGEDSVVHEGQDAGYIFGLQSLIDIVTEISEESGE >ENSMUSP00000144468.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108783865:108797345:1 gene:ENSMUSG00000106672.3 transcript:ENSMUST00000200974.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKGVSCSR >ENSMUSP00000144422.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108783865:108797692:1 gene:ENSMUSG00000106672.3 transcript:ENSMUST00000202524.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKGKCGPLTPPLPLLCAQSWGPPQKCRLSLSTHSPAARKRAGSKD >ENSMUSP00000144218.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108795994:108799269:1 gene:ENSMUSG00000106672.3 transcript:ENSMUST00000200916.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKGVVSVRFEEDEDRNLCLIAYPLKGDHGTVDIVDNSDCEPKSKLLRWTNKKHHA LETEKNPKDWVRQHRKEEKMKSHKLEEEFEW >ENSMUSP00000144020.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108795994:108806337:1 gene:ENSMUSG00000106672.3 transcript:ENSMUST00000201336.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKAVLIFVRCADEFGASGNIETKEQGVVSVRFEEDEDRNLCLIAYPLKGDHGTVD IVDNSDCEPKSKLLRWTNKKHHALETEKNPKDWVRQHRKEEKMKSHKLEEEFEWLKKSEV LYYSVEKKGNVSSQLKHYNPWSMKCHQQQLQRMKENAKHRNQYKFILLENLTSRYEVPCV LDLKMGTRQHGDDASEEKAANQIRKCQQSTSAVIGVRVCGMQVYQAGTGQLMFMNKYHGR KLSVQGFKEALFQFFHNGRYLRRELLGPVLKKLTELKAVLERQESYRFYSSSLLVIYDGK EWPEVTLDSDAEDLEDLSEESADESAGAYAYKPIGASSVDVRMIDFAHTTCRLYGEDSVV HEGQDAGYIFGLQSLIDIVTEISEESGE >ENSMUSP00000144589.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108796064:108797442:1 gene:ENSMUSG00000106672.3 transcript:ENSMUST00000201193.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKAQHLTLNFQALVSLAQGNP >ENSMUSP00000144651.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108796131:108797994:1 gene:ENSMUSG00000106672.3 transcript:ENSMUST00000201929.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] MSPAFRTMDVEPRTKGILLEPFVHQVGGHSCVLRFNETTLCKPLVPREHQFYETLPAEMR RFTPQYKAQHLTLNFQALVTHSPHVGTVREDFRRIMHRILAACFLSSRKPEALVIRTKPK FPC >ENSMUSP00000144434.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108796352:108797693:1 gene:ENSMUSG00000106672.3 transcript:ENSMUST00000202106.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ip6k2 description:inositol hexaphosphate kinase 2 [Source:MGI Symbol;Acc:MGI:1923750] XTLPAEMRRFTPQYKAQHLTLNFQALGSVVP >ENSMUSP00000144069.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108808368:108818844:1 gene:ENSMUSG00000107055.1 transcript:ENSMUST00000202637.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckipsd description:NCK interacting protein with SH3 domain [Source:MGI Symbol;Acc:MGI:1931834] MYRALYAFRSAEPNAMAFAAGETFLVLERSSTHWWLAARARSGETGYVPPAYLHRLQGME QDVLQAIDRAIEAVHNTAMRDGGKYSLEQRGVLQKLIHHRKETLSRRGTSASSATVMTPS TSDHHLDAAVSRQPNGVCRTGFERQHSLPSSEHLGTDGALYQVPPQPRRAAPTTPPPPVK RRDREALVISGSGGRTAIPSGGSSVSSGSSASSTSMDTLYTGSSPSELGPSCSPTPPPVP RRGAHTTVSQPQPSPSKAPSPEPPTEEVAAETNSTPDDLEAQDALSPETTEEKAAAETVV PRTIGAELMELVRRNTGLSHELCRVAIGVVVGHIQATVPASSPIMEQVLLSLVEGKDLST ALPSGQVCHDQQRLEVIFADLARRKDDAQQRSWALYEDEDVIRCYLEELLHILTDADPEV CKKMCKRSDFESVLALVAYYQMEHRASLRLLLLKCFGAMCSLDAAIISTLVSSVLPVELA RDMQTDTQDHQKLCYSALVLAMVFSMGEAVPYAHYEHLGTPFAQFLLSIVEDGLPMDTTE QLPDLCMNLLLALNLHLTAPEQNVIMAALSRHTNVKIFSEKLLLLLNRGDDPVRIFRHEP QPPHSVLKFLQDVFSSSATAAIFYHTDMMALIDITVRQIADLSPGDKLRMEYLSLMHAVV RSTPYLQHRHRLSDLQATLRRILTEEEASPQCQMDRMIVQEMYKEFPDLGEVPS >ENSMUSP00000144282.1 pep:putative chromosome:GRCm38:CHR_MG117_PATCH:108808373:108811149:1 gene:ENSMUSG00000107055.1 transcript:ENSMUST00000201242.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckipsd description:NCK interacting protein with SH3 domain [Source:MGI Symbol;Acc:MGI:1931834] MYRALYAFRSAEPNAMAFAAGETFLVLERSSTHWWLAARARSGETGYVPPAYLHRLQVFR IVFAESLCMQAPKCKL >ENSMUSP00000143806.1 pep:putative chromosome:GRCm38:CHR_MG117_PATCH:108808421:108811605:1 gene:ENSMUSG00000107055.1 transcript:ENSMUST00000201685.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckipsd description:NCK interacting protein with SH3 domain [Source:MGI Symbol;Acc:MGI:1931834] MYRALYAFRSAEPNAMAFAAGETFLVLERSSTHWWLAARARSGETGAWNKMSSKLLTVPL RLCTTQPCEMAASTAWNSVESFRSLSITGKKPCLEEAPQLP >ENSMUSP00000144606.1 pep:novel chromosome:GRCm38:CHR_MG117_PATCH:108811925:108814081:1 gene:ENSMUSG00000107055.1 transcript:ENSMUST00000202277.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nckipsd description:NCK interacting protein with SH3 domain [Source:MGI Symbol;Acc:MGI:1931834] XVKRRDREALVISGSGPEHSPTLRAGLPRPAETGSDFCRPGSKKG >ENSMUSP00000146234.1 pep:known chromosome:GRCm38:CHR_MG4265_PATCH:130315383:130359943:-1 gene:ENSMUSG00000108747.1 transcript:ENSMUST00000205840.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Zcchc17 MNSGRPETMENLPALYTIFQGEVAMVTDYGAFIKIPGCRKQGLVHRTHMSSCRVDKPSEI VDVGDKVWVKLIGREMKNDRIKVSLSMKVVNQGTGKDLDPNNVVIEQEERRRRSFQDYTG QKITLEAVLNTTCKKCGCKGHFAKDCFMQPGGTKYSLIPEEEEEKEEAKAEGLEKPDPTK NSSRKRKKEKKKKKHRDRKSSDCDSSDSESDTGKKARHSSKDSKATKKKKKKKKHKKKHK E >ENSMUSP00000138993.2 pep:known chromosome:GRCm38:CHR_MG132_PATCH:124291804:124312696:-1 gene:ENSMUSG00000098810.7 transcript:ENSMUST00000184117.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01180111.1 description:RIKEN cDNA 2010315B03 isoform 1 [Source:RefSeq peptide;Acc:NP_001230046] MNAMTYADVHVNFTWEEWALLNPSQKSLYKEVMLETYENLTAIGYNWEDHYIEEQFQSSR RDGRHKRGHTGEKPYECNHCGTAFARHGHLQRHKGTHTGEKLYECNRCGKVFAWNCHLRI HKRTHSGEKPYECDQCGTAFASHCRLQRHKRTHTGEKPYECNQCGKAFTQHSTLQVHKRT HTGEKPYECSQCGKAYSRHSHLQRHKRTHTGEKPYVCNQCGKAFAYQNSLQYHKRTHTGE KSYECKQCGKFFPCRKHLQIHKRTHAGQKGYECNKCGKAFAFHSHFQRHKRHIGEKPYEC NHCDKAFASHNNLQKHIRRHTGEKPYKCNLCDKAYAHHSHLQTHERVHTEEKPYKCNQCD KAFSQQSSLQVHKRIHTGEKPYECNQCGKAFTCHRYLQRHKRTHTGEKPYECNQFGKIFA QHSTVHSIKKGNI >ENSMUSP00000139321.2 pep:known chromosome:GRCm38:CHR_MG132_PATCH:124293641:124312642:-1 gene:ENSMUSG00000098810.7 transcript:ENSMUST00000184310.7 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01180111.1 description:RIKEN cDNA 2010315B03 isoform 1 [Source:RefSeq peptide;Acc:NP_001230046] MGYLDGLQFLTIMNSYYEHSTQNAMTYADVHVNFTWEEWALLNPSQKSLYKEVMLETYEN LTAIGYNWEDHYIEEQFQSSRRDGRHKRGHTGEKPYECNHCGTAFARHGHLQRHKGTHTG EKLYECNRCGKVFAWNCHLRIHKRTHSGEKPYECDQCGTAFASHCRLQRHKRTHTGEKPY ECNQCGKAFTQHSTLQVHKRTHTGEKPYECSQCGKAYSRHSHLQRHKRTHTGEKPYVC >ENSMUSP00000141114.1 pep:known chromosome:GRCm38:CHR_MG132_PATCH:124293831:124311899:-1 gene:ENSMUSG00000098810.7 transcript:ENSMUST00000191281.6 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01180111.1 description:RIKEN cDNA 2010315B03 isoform 1 [Source:RefSeq peptide;Acc:NP_001230046] MTYADVHVNFTWEEWALLNPSQKSLYKEVMLETYENLTAIGYNWEDHYIEEQFQSSRRDG RHKRGHTGEKPYECNHCGTAFARHGHLQRHKGTHTGEKLYECNRCGKVFAWNCHLRIHKR THSGEKPYECDQCGTAFASHCRLQRHKRTHT >ENSMUSP00000140164.1 pep:known chromosome:GRCm38:CHR_MG132_PATCH:124293843:124312671:-1 gene:ENSMUSG00000098810.7 transcript:ENSMUST00000189658.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01180111.1 description:RIKEN cDNA 2010315B03 isoform 1 [Source:RefSeq peptide;Acc:NP_001230046] MYLEDSPATSFRLGPGWSVQRRRHQRNAMTYADVHVNFTWEEWALLNPSQKSLYKEVMLE TYENLTAIGYNWEDHYIEEQFQSSRRDGRHKRGHTGEKPYECNHCGTAFARHGHLQRHKG THTGEKLYECNRCGKVFAWNCHLRIHKRTHSGEKPYECDQCGTAFASHCRLQRHK >ENSMUSP00000138998.1 pep:novel chromosome:GRCm38:CHR_MG132_PATCH:124358303:124363500:-1 gene:ENSMUSG00000098512.2 transcript:ENSMUST00000183688.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01202134.1 DVVDSSPLGLTDSGNPKLYRDHLKKKLTHDCSKKFNVRIQDFIKEIFIQNDCDTFENLLI SKGTEKKPHMVFLQGMAGIGKTMMLKNLMLAWSKGLVFQNKFSYTFYFCCRDVKQLKTAS LAELISREWPSPSAPIEEILSQPEKLLFIIDSLEGMECDLTKQESELCDNCMEKQPVSIL LSSLLTRKMLPESSFLLSTTPETFEKMEDRILCTDVKTATAFDERSIKIYFHRLFQDKIR AQEAFSLVRENEQLFTICQVPLLCWMVATCLKEEIEKGGDPVSVCRHITSLYTTHILNLF IPQSAQYPSKKSQDQLQGLCSLAAEGMWTDTFVFGEEALRRNGILDSDIPTLLDIGMLGK IREFENYYIFLHPSVQEVCAAIFYLLKSHVDHPSQDVKSIETVLFMFLKKVKTQWIFLGC FIFGLLQKSEQEKLVVFFGRRLSQKIQHKLYQCLETISGNAELQEQIDGMKLFCCLSEIE DEAFLVKVMNCMQQINFVAKNYSDLILAAYCLKHCSTLKKLSFSTQNVLNEKGNQRCMKK LIICWNDMCSVFVRSKDIQVLQIKDTSFNEPAIRILYEYLKYPSFTLNKLVANNVHFFGD NHAFFELIQNCSLQYLDLGCSFLTHSEVKLLCDVLNQAECNIEKLV >ENSMUSP00000144537.1 pep:known chromosome:GRCm38:CHR_MG4265_PATCH:130360132:130390026:1 gene:ENSMUSG00000106911.1 transcript:ENSMUST00000201498.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Snrnp40 description:small nuclear ribonucleoprotein 40 (U5) [Source:MGI Symbol;Acc:MGI:1913835] MIEQQKRKGPELPLVPVKRPRHELLLGAAGAGPGAGPQQATPGALLQAGPPRCSSLQAPI MLLSGHEGEVYCCKFHPNGSTLASAGFDRLILLWNVYGDCDNYATLKGHSGAVMELHYNT DGSMLFSASTDKTVAVWDSETGERVKRLKGHTSFVNSCYPARRGPQLVCTGSDDGTVKLW DIRKKAAVQTFQNTYQVLAVTFNDTSDQIISGGIDNDIKVWDLRQNKLTYTMRGHADSVT GLSLSSEGSYLLSNAMDNTVRVWDVRPFAPKERCVKIFQGNVHNFEKNLLRCSWSPDGSK IAAGSADRFVYVWDTTSRRVLYKLPGHAGSINEVAFHPDEPIILSASSDKRLYMGEIQ >ENSMUSP00000139486.1 pep:known chromosome:GRCm38:CHR_MG132_PATCH:124456062:124456315:-1 gene:ENSMUSG00000099077.3 transcript:ENSMUST00000187919.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3d XSDPIDLYGLADEDCDDLEPL >ENSMUSP00000140680.1 pep:known chromosome:GRCm38:CHR_MG132_PATCH:124456736:124457714:-1 gene:ENSMUSG00000099077.3 transcript:ENSMUST00000186956.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3d XARLPRKVGKLSYADFVWFLLSEEDKTTPTSTEYWFRCMDLDGDGALSMFELEFFYEEQA QRMAARGVEPLPFHDLARQVLDLVAPRCPGRITLRDLKQCGLAGEFFDAFFNVDKYLARE QREQAGTPQ >ENSMUSP00000139325.2 pep:known chromosome:GRCm38:CHR_MG132_PATCH:124458649:124460551:-1 gene:ENSMUSG00000099077.3 transcript:ENSMUST00000184298.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3d XVLLTCHDDAARFVRLLGHPGCSGLIQEDFVPFLQDVVNSHPGLAFLRAAKDFHSRYITT VIQRIFYTVNRSWSGMISREELRRSSFLQAVSQLEVEPDINRMTSFFSYEHFYVIYCKFW ELDLDRDLTIDRSDLARHGDG >ENSMUSP00000139056.1 pep:known chromosome:GRCm38:CHR_MG132_PATCH:124460930:124462462:-1 gene:ENSMUSG00000099077.3 transcript:ENSMUST00000184929.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3d MAPLTPRLQLKVDELFRRWLGDPHTQRALSHALRRIRDPGTTSDPAAAATPDADPGNTTS DPNPASRPLPRPALRTTGPRTVHAHRKEEPPPQSPPPGHSVPAFYFPCGRPPPRPQDTED AIALVECAFEGLPRGRAGLGDMAVVAKVCG >ENSMUSP00000139434.1 pep:known chromosome:GRCm38:CHR_MG132_PATCH:124460931:124461891:-1 gene:ENSMUSG00000099077.3 transcript:ENSMUST00000187499.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r3d MPERPPIRALRRDPDDPAVRQALASLARGSDLVFPSRFQKWLRDFRQVHAHRKEEPPPQS PPPGHSVPAFYFPCGRPPPRPQDTEDAIALVECAFEGLPRGRAGLGDMAVVAKVCG >ENSMUSP00000144254.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108826320:108852969:1 gene:ENSMUSG00000107269.3 transcript:ENSMUST00000201574.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celsr3 description:cadherin, EGF LAG seven-pass G-type receptor 3 [Source:MGI Symbol;Acc:MGI:1858236] MARRPLWWGLPGPSTPVLLLLLLSLFPFSREELGGGGDQDWDPGVATTTGPRAQIGSGAV ALCPESPGVWEDGDPGLGVREPVFMRLRVGRQNARNGRGAPEQPNAEVVVQALGSREQEA GQGPGYLLCWHPEISSCGRTGPLRRGSLPLDALSPGDSDLRNSSPHPSELLAQPDGSRPV AFQRNARRSIRKRVETSRCCGKLWEPGHKGQGERSATSTVDRGPFRRDCLPGSLGSGLGE DSAPRAVRTAPTPGSAPRESRTAPGRMRSRGLFRRRFLFERPGPRPPGFPTGPEAKQILS TNQARPRRAANRHPQFPQYNYQTLVPENEAAGTSVLRVVAQDPDPGEAGRLIYSLAALMN SRSLELFSIDPQSGLIRTAAALDRESMERHYLRVTAQDHGSPRLSATTMVAVTVADRNDH APVFEQAQYRETLRENVEEGYPILQLRATDGDAPPNANLRYRFVGSPAVRTAAAAAFEID PRSGLISTSGRVDREHMESYELVVEASDQGQEPGPRSATVRVHITVLDENDNAPQFSEKR YVAQVREDVRPHTVVLRVTATDKDKDANGLVHYNIISGNSRGHFAIDSLTGEIQVMAPLD FEAEREYALRIRAQDAGRPPLSNNTGLASIQVVDINDHAPIFVSTPFQVSVLENAPLGHS VIHIQAVDADHGENSRLEYSLTGVASDTPFVINSATGWVSVSGPLDRESVEHYFFGVEAR DHGSPPLSASASVTVTVLDVNDNRPEFTMKEYHLRLNEDAAVGTSVVSVTAVDRDANSAI SYQITGGNTRNRFAISTQGGVGLVTLALPLDYKQERYFKLVLTASDRALHDHCYVHINIT DANTHRPVFQSAHYSVSMNEDRPVGSTVVVISASDDDVGENARITYLLEDNLPQFRIDAD SGAITLQAPLDYEDQVTYTLAITARDNGIPQKADTTYVEVMVNDVNDNAPQFVASHYTGL VSEDAPPFTSVLQISATDRDAHANGRVQYTFQNGEDGDGDFTIEPTSGIVRTVRRLDREA VPVYELTAYAVDRGVPPLRTPVSIQVTVQDVNDNAPVFPAEEFEVRVKENSIVGSVVAQI TAVDPDDGPNAHIMYQIVEGNIPELFQMDIFSGELTALIDLDYEARQEYVIVVQATSAPL VSRATVHVRLVDQNDNSPVLNNFQILFNNYVSNRSDTFPSGIIGRIPAYDPDVSDHLFYS FERGNELQLLVVNRTSGELRLSRKLDNNRPLVASMLVTVTDGLHSVTAQCVLRVVIITEE LLANSLTVRLENMWQERFLSPLLGHFLEGVAAVLATPTEDVFIFNIQNDTDVGGTVLNVS FSALAPRGAGAGAAGPWFSSEELQEQLYVRRAALAARSLLDVLPFDDNVCLREPCENYMK CVSVLRFDSSAPFLASTSTLFRPIQPIAGLRCRCPPGFTGDFCETELDLCYSNPCRNGGA CARREGGYTCVCRPRFTDCELDTEAGRCVPGVCRNGGTCTNAPNGGFRCQCPAGGAFEGP RCEVAARSFPPSSFVMFRGLRQRFHLTLSLSFATVQPSGLLFYNGRLNEKHDFLALELVA GQVRLTYSTGESNTVVSPTVPGGLSDGQWHTVHLRYYNKPRTDALGGAQGPSKDKVAVLS VDDCNVAVALQFGAEIGNYSCAAAGVQTSSKKSLDLTGPLLLGGVPNLPENFPVSHKDFI GCMRDLHIDGRRMDMAAFVANNGTMAGCQAKSHFCASGPCKNNGFCSERWGGFSCDCPVG FGGKDCRLTMAHPYHFQGNGTLSWDFGNDMAVSVPWYLGLSFRTRATKGILMQVQLGPHS VLLCKLDRGLLSVTLNRASGHTVHLLLDQMTVSDGRWHDLRLELQEEPGGRRGHHIFMVS LDFTLFQDTMAMGGELQGLKVKQLHVGGLPPSSKEEGHQGLVGCIQGVWIGFTPFGSSAL LPPSHRVNVEPGCTVTNPCASGPCPPHADCKDLWQTFSCTCRPGYYGPGCVDACLLNPCQ NQGSCRHLQGAPHGYTCDCVSGYFGQHCEHRVDQQCPRGWWGSPTCGPCNCDVHKGFDPN CNKTNGQCHCKEFHYRPRGSDSCLPCDCYPVGSTSRSCAPHSGQCPCRPGALGRQCNSCD SPFAEVTASGCRVLYDACPKSLRSGVWWPQTKFGVLATVPCPRGALGAAVRLCDEDQGWL EPDLFNCTSPAFRELSLLLDGLELNKTALDTVEAKKLAQRLREVTGQTDHYFSQDVRVTA RLLAYLLAFESHQQGFGLTATQDAHFNENLLWAGSALLAPETGHLWAALGQRAPGGSPGS AGLVQHLEEYAATLARNMELTYLNPVGLVTPNIMLSIDRMEHPSSTQGARRYPRYHSNLF RGQDAWDPHTHVLLPSQASQPSPSEVLPTSSNAENATASSVVSPPAPLEPESEPGISIVI LLVYRALGGLLPAQFQAERRGARLPQNPVMNSPVVSVAVFHGRNFLRGVLVSPINLEFRL LQTANRSKAICVQWDPPGPTDQHGMWTARDCELVHRNGSHARCRCSRTGTFGVLMDASPR ERLEGDLELLAVFTHVVVAVSVTALVLTAAVLLSLRSLKSNVRGIHANVAAALGVAELLF LLGIHRTHNQLLCTAVAILLHYFFLSTFAWLLVQGLHLYRMQVEPRNVDRGAMRFYHALG WGVPAVLLGLAVGLDPEGYGNPDFCWISIHEPLIWSFAGPIVLVIVMNGTMFLLAARTSC STGQREAKKTSVLTLRSSFLLLLLVSASWLFGLLAVNHSILAFHYLHAGLCGLQGLAVLL LFCVLNADARAAWTPACLGKKAAPEETRPAPGPGSGAYNNTALFEESGLIRITLGASTVS SVSSARSGRAQDQDSQRGRSYLRDNVLVRHGSTAEHTERSLQAHAGPTDLDVAMFHRDAG ADSDSDSDLSLEEERSLSIPSSESEDNGRTRGRFQRPLRRAAQSERLLAHPKDVDGNDLL SYWPALGECEAAPCALQAWGSERRLGLDSNKDAANNNQPELALTSGDETSLGRAQRQRKG ILKNRLQYPLVPQSRGTPELSWCRAATLGHRAVPAASYGRIYAGGGTGSLSQPASRYSSR EQLDLLLRRQLSKERLEEVPVPAPVLHPLSRPGSQERLDTAPARLEARDRGSTLPRRQPP RDYPGTMAGRFGSRDALDLGAPREWLSTLPPPRRNRDLDPQHPPLPLSPQRQLSRDPLLP SRPLDSLSRISNSREGLDQVPSRHPSREALGPAPQLLRAREDPASGPSHGPSTEQLDILS SILASFNSSALSSVQSSSTPSGPHTTATASALGPSTPRSATSHSISELSPDSEVPRSEGH S >ENSMUSP00000144323.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108831740:108832820:1 gene:ENSMUSG00000107269.3 transcript:ENSMUST00000202810.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celsr3 description:cadherin, EGF LAG seven-pass G-type receptor 3 [Source:MGI Symbol;Acc:MGI:1858236] LANSLTVRLENMWQERFLSPLLGHFLEGVAAVLATPTEDVFIFNIQNDTDVGGTVLNVSF SALAPRGAGAGAAGPWFSSEELQEQLYVRRAALAARSLLDVLPFDDNVCLREPCENYMKC VSVLRFDSSAPFLASTSTLFRPIQPIAGLRCRCPPGFTGDFCETELDLCYSNPCRNGGAC ARREGGYTCVCRPRFTGEDCELDTEAGRCVPGVCRNGGTCTNAPNGGFRCQCPAGGAFEG P >ENSMUSP00000144486.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108843253:108848734:1 gene:ENSMUSG00000107269.3 transcript:ENSMUST00000202710.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Celsr3 description:cadherin, EGF LAG seven-pass G-type receptor 3 [Source:MGI Symbol;Acc:MGI:1858236] VAELLFLLGIHRTHNQLLCTAVAILLHYFFLSTFAWLLVQGLHLYRMQVEPRNVDRGAMR FYHALGWGVPAVLLGLAVGLDPEGYGNPDFCWISIHEPLIWSFAGPIVLVIVMNGTMFLL AARTSCSTGQREAKKTSVLRTLRSSFLLLLLVSASWLFGLLAVNHSILAFHYLHAGLCGL QGLAVLLLFCVLNADARAAWTPACLGKKAAPEETRPAPGPGSGAYNNTALFEESGLIRIT LGASTVSSVSSARSGRAQDQDSQRGRSYLRDNVLVRHGSTAEHTERSLQAHAGPTDLDVA MFHRDAGADSDSDSDLSLEEERSLSIPSSESEDNGRTRGRFQRPLRRAAQSERLLAHPKD VDGNDLLSYWPALGECEAAPCALQAWGSERRLGLDSNKDAANNNQPELALTSGDETSLGR AQRQRKGILKNRLQYPLVPQSRGTPELSWCRAATLGHRAVPAASYGRIYAGGG >ENSMUSP00000143822.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6184388:6224219:1 gene:ENSMUSG00000106972.3 transcript:ENSMUST00000202532.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700123I01Rik description:RIKEN cDNA 1700123I01 gene [Source:MGI Symbol;Acc:MGI:1923913] MSLKPFTYPFPETRFLHAGPNVYKFKIRYGNSIRGEEIEDKEVIVQELESTDEEAQQEYQ DTPASNAIAVKEQDAALGHGLQGLVVPPLQHSSPPPPKEPGARGFLGFLSALFPFRYFFK KSGQ >ENSMUSP00000144388.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6184467:6224225:1 gene:ENSMUSG00000106972.3 transcript:ENSMUST00000201785.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:1700123I01Rik description:RIKEN cDNA 1700123I01 gene [Source:MGI Symbol;Acc:MGI:1923913] MSLKPFTYPFPETRFLHAGPNVYKFKIRYGNSIRGEEIEDKEVIVQELEDSIRAVLANMD SLQPFVTEHFIVFPYKSKWERVSHLKFKHGESILTPYPFVFTLYIEMKWFAEDLPSGKPA DDIPLELVLAETEAEEATMRKWKRKLMEEPSSPSRQGPHRAKMETSSEASSNKKPLKESK RSTDEEAQQEYQDTPASNAIAVKEQDAALGHGLQGLVVPPLQHSSPPPPKEPGARGFLGF LSALFPFRYFFKKSGQ >ENSMUSP00000143903.1 pep:known chromosome:GRCm38:CHR_MG3561_PATCH:60374045:60377933:-1 gene:ENSMUSG00000107188.3 transcript:ENSMUST00000202863.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup9 description:Major urinary protein 6 [Source:UniProtKB/Swiss-Prot;Acc:P02762] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000144053.1 pep:known chromosome:GRCm38:CHR_MG3561_PATCH:60374045:60377937:-1 gene:ENSMUSG00000107188.3 transcript:ENSMUST00000202428.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup9 description:Major urinary protein 6 [Source:UniProtKB/Swiss-Prot;Acc:P02762] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNAMDLVPEHV LVLTLQIAASRPENEEWPEPPVLSGDFSPGLHHHPFLSIQHPQYKFCDLHSILSH >ENSMUSP00000144443.1 pep:known chromosome:GRCm38:CHR_MG3561_PATCH:60374976:60377932:-1 gene:ENSMUSG00000107188.3 transcript:ENSMUST00000202367.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup9 description:Major urinary protein 6 [Source:UniProtKB/Swiss-Prot;Acc:P02762] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000144237.1 pep:known chromosome:GRCm38:CHR_MG3561_PATCH:60374976:60377943:-1 gene:ENSMUSG00000107188.3 transcript:ENSMUST00000202041.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup9 description:Major urinary protein 6 [Source:UniProtKB/Swiss-Prot;Acc:P02762] MVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLMAHLINEKDGETFQLMGLYGREPDLSS DIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000144417.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6226380:6227768:1 gene:ENSMUSG00000107297.3 transcript:ENSMUST00000201025.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpha2 description:glycoprotein hormone alpha 2 [Source:MGI Symbol;Acc:MGI:2156541] MPMAPRVLLLCLLGLAVTEGHSPETAIPGCHLHPFNVTVRSDRLGTCQGSHVAQACVGHC ESSAFPSRYSVLVASGYRHNITSSSQCCTISSLRKVRVWLQCVGNQRGELEIFTARACQC DMCRFSRY >ENSMUSP00000144211.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6226388:6227768:1 gene:ENSMUSG00000107297.3 transcript:ENSMUST00000201279.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gpha2 description:glycoprotein hormone alpha 2 [Source:MGI Symbol;Acc:MGI:2156541] MPMAPRVLLLCLLGLAVTEGHSPETAIPGCHLHPFNVTVRSDRLGTCQGSHVAQACVGHC ESSAFPSRYSVLVASGYRHNITSSSQCCTISSLRKVRVWLQCVGNQRGELEIFTARACQC DMCRFSRY >ENSMUSP00000144268.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6227765:6235872:-1 gene:ENSMUSG00000107330.1 transcript:ENSMUST00000202232.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppp2r5b description:protein phosphatase 2, regulatory subunit B', beta [Source:EntrezGene;Acc:225849] METKLPPASTPTSPSSPGLSPVPPPDKVDGFSRRSLRRARPRRSHSSSQFRYQSNQQELT PLPLLKDVPASELHELLSRKLAQCGVMFDFLDCVADLKGKEVKRAALNELVECVGCTRGV LIEPVYPDIIRMISVNIFRTLPPSENPEFDPEEDEPNLEPSWPHLQLVYEFFLRFLESPD FQPSVAKRYVDQKFVLMLLELFDSEDPREREYLKTILHRVYGKFLGLRAYIRKQCNHIFL RFIYELEHFNGVAELLEILGSIINGFALPLKTEHKQFLVRVLIPLHSVKSLSVFHAQLAY CVVQFLEKDATLTEHVIRGLLKYWPKTCTQKEVMFLGEMEEILDVIEPSQFVKIQEPLFK QVARCVSSPHFQVAERALYFWNNEYILSLIEDNCHTVLPAVFGTLYQVSKEHWNQTIVSL IYNVLKTFMEMNGKLFDELTASYKLEKQQEQQKAQERQELWRGLEELRLRRLQGTQGAKE APVPRPTPQVAASGGQS >ENSMUSP00000145853.1 pep:known chromosome:GRCm38:CHR_MG3231_PATCH:60223290:60590944:-1 gene:ENSMUSG00000108408.1 transcript:ENSMUST00000206326.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11c QRRKLMSCGCAGEEKRVGTRTVFVGNHPISGTEPYIAQRFCDNRIVSSKYTLWNFLPKNL FEQFRRIANFYFLIIFLVQVTVDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHRADNEV NKSAVYIIENAKRVRKESEKIKVGDVVEVQANETFPCDLILLSSCTTDGTCYVTTASLDG ESNCKTHYAVRDTIALCTAESIDNLRATIECEQPQPDLYRFVGRISIYSNSIEAVARSLG PENLLLKGATLKNTKKIYGVAVYTGMETKMALNYQGKSQKCSAVEKSINAFLIVYLFILL TKAAVCTTLKYVWQSSPYNDEPWYNQKTQKERETFQVLKMFTDFLSFMVLFNFIIPVSMY VTVEMQKFLGSFFISWDKDFFDEEINEGALVNTSDLNEELGQVDYVFTDKTGTLTENSME FIECCIDGHKYKGTTQEVDGLSQTDGPLAYFDKADKNREALFLRALCLCHTVEMKTNDDV DGPVEGAGFTYISSSPDEIALVKGAKRFGFTFLGNQNGYIRVENQRKEIEEYELLHTLNF DSVRRRMSVIVRTQKGDILLFCKGADSSIFPRVHSHQIELTKDHVERNAMDGYRTLCVAF KEIPPDDFERINAQLVEAKMALQDREEKLEKVFDEIETNMNLIGATAVEDKLQDQAAETI EALHAAGLKVWVLTGDKMETAKSTCYACRLFQTNTELLELTTKTIEESERKEDRLHELLI EYRKKLLHEFPKSTRSLKKAWTEHQEYGLIIDGSTLSLILNSSQDCSSNNYKSIFLQICM KCTAVLCCRMAPLQKAQIVRMVKNLKGSPITLSIGDGANDVSMILESHVGIGIKGKEGRQ AARNSDYSVPKFKHLKKLLLVHGHLYYVRIAHLVQYFFYKNLCFILPQFLYQFFCGFSQQ PLYDAAYLTMYNICFTSLPILAYSLLEQHINIDTLTADPRLYMKITGNAMLQLGPFLHWT FLAAFEGTVFFFGTYFLFQTSSLEDNGKIYGNWTFGTIVFTVLVFTVTLKLALDTRFWTW INHFVIWGSLAFYVFFSFFWGGIIWPFLKQQRMYFVFAQMLCSVSTWLAIILLIFISLFP EILLIVVKNVRRRSARRNLSCRRASDSLSARPSVRPLLLRTFSDESNIL >ENSMUSP00000145778.1 pep:known chromosome:GRCm38:CHR_MG3231_PATCH:60223293:60403812:-1 gene:ENSMUSG00000108408.1 transcript:ENSMUST00000206309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11c MFRRTLNRLCAGEEKRVGTRTVFVGNHPISGTEPYIAQRFCDNRIVSSKYTLWNFLPKNL FEQFRRIANFYFLIIFLVQVTVDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHRADNEV NKSAVYIIENAKRVRKESEKIKVGDVVEVQANETFPCDLILLSSCTTDGTCYVTTASLDG ESNCKTHYAVRDTIALCTAESIDNLRATIECEQPQPDLYRFVGRISIYSNSIEAVARSLG PENLLLKGATLKNTKKIYGVAVYTGMETKMALNYQGKSQKCSAVEKSINAFLIVYLFILL TKAAVCTTLKYVWQSSPYNDEPWYNQKTQKERETFQVLKMFTDFLSFMVLFNFIIPVSMY VTVEMQKFLGSFFISWDKDFFDEEINEGALVNTSDLNEELGQVDYVFTDKTGTLTENSME FIECCIDGHKYKGTTQEVDGLSQTDGPLAYFDKADKNREALFLRALCLCHTVEMKTNDDV DGPVEGAGFTYISSSPDEIALVKGAKRFGFTFLGNQNGYIRVENQRKEIEEYELLHTLNF DSVRRRMSVIVRTQKGDILLFCKGADSSIFPRVHSHQIELTKDHVERNAMDGYRTLCVAF KEIPPDDFERINAQLVEAKMALQDREEKLEKVFDEIETNMNLIGATAVEDKLQDQAAETI EALHAAGLKVWVLTGDKMETAKSTCYACRLFQTNTELLELTTKTIEESERKEDRLHELLI EYRKKLLHEFPKSTRSLKKAWTEHQEYGLIIDGSTLSLILNSSQDCSSNNYKSIFLQICM KCTAVLCCRMAPLQKAQIVRMVKNLKGSPITLSIGDGANDVSMILESHVGIGIKGKEGRQ AARNSDYSVPKFKHLKKLLLVHGHLYYVRIAHLVQYFFYKNLCFILPQFLYQFFCGFSQQ PLYDAAYLTMYNICFTSLPILAYSLLEQHINIDTLTADPRLYMKITGNAMLQLGPFLHWT FLAAFEGTVFFFGTYFLFQTSSLEDNGKIYGNWTFGTIVFTVLVFTVTLKLALDTRFWTW INHFVIWGSLAFYVFFSFFWGGIIWPFLKQQRMYFVFAQMLCSVSTWLAIILLIFISLFP EILLIVVKNVRRRSARNPNLELPMLLSYKHIDRGCS >ENSMUSP00000146015.1 pep:known chromosome:GRCm38:CHR_MG3231_PATCH:60223293:60403812:-1 gene:ENSMUSG00000108408.1 transcript:ENSMUST00000206006.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atp11c MFRRTLNRLCAGEEKRVGTRTVFVGNHPISGTEPYIAQRFCDNRIVSSKYTLWNFLPKNL FEQFRRIANFYFLIIFLVQVTVDTPTSPVTSGLPLFFVITVTAIKQGYEDWLRHRADNEV NKSAVYIIENAKRVRKESEKIKVGDVVEVQANETFPCDLILLSSCTTDGTCYVTTASLDG ESNCKTHYAVRDTIALCTAESIDNLRATIECEQPQPDLYRFVGRISIYSNSIEAVARSLG PENLLLKGATLKNTKKIYGVAVYTGMETKMALNYQGKSQKCSAVEKSINAFLIVYLFILL TKAAVCTTLKYVWQSSPYNDEPWYNQKTQKERETFQVLKMFTDFLSFMVLFNFIIPVSMY VTVEMQKFLGSFFISWDKDFFDEEINEGALVNTSDLNEELGQVDYVFTDKTGTLTENSME FIECCIDGHKYKGTTQEVDGLSQTDGPLAYFDKADKNREALFLRALCLCHTVEMKTNDDV DGPVEGAGFTYISSSPDEIALVKGAKRFGFTFLGNQNGYIRVENQRKEIEEYELLHTLNF DSVRRRMSVIVRTQKGDILLFCKGADSSIFPRVHSHQIELTKDHVERNAMDGYRTLCVAF KEIPPDDFERINAQLVEAKMALQDREEKLEKVFDEIETNMNLIGATAVEDKLQDQAAETI EALHAAGLKVWVLTGDKMETAKSTCYACRLFQTNTELLELTTKTIEESERKEDRLHELLI EYRKKLLHEFPKSTRSLKKAWTEHQEYGLIIDGSTLSLILNSSQDCSSNNYKSIFLQICM KCTAVLCCRMAPLQKAQIVRMVKNLKGSPITLSIGDGANDVSMILESHVGIGIKGKEGRQ AARNSDYSVPKFKHLKKLLLVHGHLYYVRIAHLVQYFFYKNLCFILPQFLYQFFCGFSQQ PLYDAAYLTMYNICFTSLPILAYSLLEQHINIDTLTADPRLYMKITGNAMLQLGPFLHWT FLAAFEGTVFFFGTYFLFQTSSLEDNGKIYGNWTFGTIVFTVLVFTVTLKLALDTRFWTW INHFVIWGSLAFYVFFSFFWGGIIWPFLKQQRMYFVFAQMLCSVSTWLAIILLIFISLFP EILLIVVKNVRRRSARRNLSCRRASDSLSARPSVRPLLLRTFSDESNIL >ENSMUSP00000144929.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58247469:58249427:-1 gene:ENSMUSG00000106953.2 transcript:ENSMUST00000205122.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxf1 MVWQAWQEQALLSTFKEKRYLSFKERKELAKGMGVSDCRIRVWFQNRRNRSGEEGHASKR SIRGSRRLASPQLQEELGSRPQGRGMRSSGRRPRTRLTSLQRRILGQAFERNPRPGFATR EELARDTGLPEDTIHIWFQNRRARWRHRRGRPTAQDQDLLASQGSDGAPAGPEGREREGA QESLLPQEEAGSTGMDTSSPSDLPSSCGESQPFQVAQPRGAVQQEAPTQAGNAGSMEPLL DQLLDEVQVEEPAPAPLNLDGDPGGRVHEGSQESFWPQEEAGSTGLDTSSPSDSNSFCRE SQPSQVAQPRGAGQEDARTQADSTGPLELLLLDQLLDEVQKEEHVPAPLDSGRNSGSWEH EGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQPPQVAQPSGPGQAQAPTQGGNTDPLE LLLYQLLDEVQLEEHAPAPLNWNVDPGGRVHEGSRESVWPQEEAGSTGLDTSSPSDSNSF CRESQPSQVAQPCGVGQEDARTQADSTGPLELLLLDQLLDEVQKEEHVPAPLDWGRNPGS REHEGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQPPQVAQPSGPGQAQAPTQGGNTD PLELFLDQLLTEVQLEEQGPAPVNVEETWEQMDTTPDLPLTPEEYQTLLDML >ENSMUSP00000144610.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58247469:58248023:-1 gene:ENSMUSG00000106953.2 transcript:ENSMUST00000201825.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Duxf1 LDTSSPSDSNSFCRESQPSQVAQPCGVGQEDARTQADSTGPLELLLLDQLLDEVQKEEHV PAPLDWGRNPGSREHEGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQPPQVAQPSGPG QAQAPTQGGNTDPLELFLDQLLTEVQLEEQGPAPVNVEETWEQMDTTPDLPLTPEEYQTL LDML >ENSMUSP00000144179.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108853348:108862143:1 gene:ENSMUSG00000107138.3 transcript:ENSMUST00000202804.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a6 description:solute carrier family 26 member 6 [Source:RefSeq peptide;Acc:NP_599252] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKYVFGIKLSSHSGPLSVIYTVLEVCAQLPET VPGTVVTAIVAGVALVLVKLLNEKLHRRLPLPIPGELLTLIGATGISYGVKLNDRFKVDV VGNITTGLIPPVAPKTELFATLVGNAFAIAVVGFAIAISLGKIFALRHGYRVDSNQELVA LGLSNLIGGFFQCFPVSCSMSRSLVQESTGGNTQVAGAVSSLFILLIIVKLGELFRDLPK AVLAAVIIVNLKGMMKQFSDICSLWKANRVDLLIWLVTFVATILLNLDIGLAVSIVFSLL LVVVRMQLPHYSVLGQVPDTDIYRDVAEYSGAKEVPGVKVFRSSATLYFANAELYSDSLK EKCGVDVDRLITQKKKRIKKQEMKLKRMKKAKKSQKQDASSKISSVSVNVNTNLEDVKSN DVEGSEAKVHQGEELQDVVSSNQEDAKAP >ENSMUSP00000143968.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108854037:108860828:1 gene:ENSMUSG00000107138.3 transcript:ENSMUST00000202255.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc26a6 description:solute carrier family 26 member 6 [Source:RefSeq peptide;Acc:NP_599252] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKQCWRSVPSSLRLCPAPWSRRLWQEWPWYW >ENSMUSP00000144564.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108854037:108864427:1 gene:ENSMUSG00000107138.3 transcript:ENSMUST00000201296.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a6 description:solute carrier family 26 member 6 [Source:RefSeq peptide;Acc:NP_599252] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKYVFGIKLSSHSGPLSVIYTVLEVCAQLPET VPGTVVTAIVAGVALVLVKLLNEKLHRRLPLPIPGELLTLIGATGISYGVKLNDRFKVDV VGNITTGLIPPVAPKTELFATLVGNAFAIAVVGFAIAISLGKIFALRHGYRVDSNQELVA LGLSNLIGGFFQCFPVSCSMSRSLVQESTGGNTQVAGAVSSLFILLIIVKLGELFRDLPK AVLAAVIIVNLKGMMKQFSDICSLWKANRVDLLIWLVTFVATILLNLDIGLAVSIVFSLL LVVVRMQLPHYSVLGQVPDTDIYRDVAEYSGAKEVPGVKVFRSSATLYFANAELYSDSLK EKCGVDVDRLITQKKKRIKKQEMKLKRMKKAKKSQKQDASSKISSVSVNVNTNLEDVKSN DVEGSEAKTQRGQGVRHMGACGQPLATLPCLAKMGCDLPAPSFLAPLLSFGPGSNNTLCW GCTKGRSFRILLVVLHVIRTYSLSTGPVVAQLEAGHFFDESITKQHVFASVHDAVTFALS HRKSVPKSPVLVSCR >ENSMUSP00000144518.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108854043:108862143:1 gene:ENSMUSG00000107138.3 transcript:ENSMUST00000200989.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a6 description:solute carrier family 26 member 6 [Source:RefSeq peptide;Acc:NP_599252] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKYVFGIKLSSHSGPLSVIYTVLEVCAQLPET VPGTVVTAIVAGVALVLVKLLNEKLHRRLPLPIPGELLTLIGATGISYGVKLNDRFKVDV VGNITTGLIPPVAPKTELFATLVGNAFAIAVVGFAIAISLGKIFALRHGYRVDSNQELVA LGLSNLIGGFFQCFPVSCSMSRSLVQESTGGNTQVAGAVSSLFILLIIVKLGELFRDLPK AVLAAVIIVNLKGMMKQFSDICSLWKANRVDLLIWLVTFVATILLNLDIGLAVSIVFSLL LVVVRMQLPHYSVLGQVPDTDIYRDVAEYSGAKEVPGVKVFRSSATLYFANAELYSDSLK EKCGVDVDRLITQKKKRIKKQEMKLKRMKKAKKSQKQDASSKISSVSVNVNTNLEDVKSN DVEGSEAKVHQGEELQDVVSSNQEDAKAP >ENSMUSP00000144681.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108854135:108862143:1 gene:ENSMUSG00000107138.3 transcript:ENSMUST00000202275.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a6 description:solute carrier family 26 member 6 [Source:RefSeq peptide;Acc:NP_599252] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKYVFGIKLSSHSGPLSVIYTVLEVCAQLPET VPGTVVTAIVAGVALVLVKLLNEKLHRRLPLPIPGELLTLIGATGISYGVKLNDRFKVDV VGNITTGLIPPVAPKTELFATLVGNAFAIAVVGFAIAISLGKIFALRHGYRVDSNQELVA LGLSNLIGGFFQCFPVSCSMSRSLVQESTGGNTQVAGAVSSLFILLIIVKLGELFRDLPK AVLAAVIIVNLKGMMKQFSDICSLWKANRVDLLIWLVTFVATILLNLDIGLAVSIVFSLL LVVVRMQLPHYSVLGQVPDTDIYRDVAEYSGAKEVPGVKVFRSSATLYFANAELYSDSLK EKCGVDVDRLITQKKKRIKKQEMKLKRMKKAKKSQKQDASSKISSVSVNVNTNLEDVKSN DVEGSEAKVHQGEELQDVVSSNQEDAKAP >ENSMUSP00000143803.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108855662:108862143:1 gene:ENSMUSG00000107138.3 transcript:ENSMUST00000201630.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Slc26a6 description:solute carrier family 26 member 6 [Source:RefSeq peptide;Acc:NP_599252] MELQRRDYHVERPLLNQEQLEDLGHWGPAAKTHQWRTWFRCSRARAHSLLLQHVPVLGWL PRYPVREWLLGDLLSGLSVAIMQLPQGLAYALLAGLPPMFGLYSSFYPVFIYFLFGTSRH ISVGTFAVMSVMVGSVTESLTADKAFVQGLNATADDARVQVAYTLSFLVGLFQVGLGLVH FGFVVTYLSEPLVRSYTTAASVQVLVSQLKQCWRSVPSSLRLCPAPWSRRLWQEWPWYW >ENSMUSP00000144448.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108864279:108864918:1 gene:ENSMUSG00000107138.3 transcript:ENSMUST00000201833.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc26a6 description:solute carrier family 26 member 6 [Source:RefSeq peptide;Acc:NP_599252] XPVVAQLEAGHFFDESITKQHVFASVHDAVTFALSHRKSVPKSPVLATKL >ENSMUSP00000143905.2 pep:novel chromosome:GRCm38:CHR_MG4264_PATCH:58254476:58256510:-1 gene:ENSMUSG00000106723.2 transcript:ENSMUST00000201582.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dux description:double homeobox [Source:EntrezGene;Acc:664783] MDTSSPSDSNSFCRESFPSQVAQPCGSGQEDARTQADSTGPLELLLLDQLLDEVQKEEHV PAPLDWGRNPGSREHEGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQPPQVAQPSGPG KAQAPTQGGNTDPLELFLDQLLTEVQLEEQGPSPVNVEETWEQMDTTPDLPLTPEEYQTL LDML >ENSMUSP00000145279.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58255148:58257172:-1 gene:ENSMUSG00000106723.2 transcript:ENSMUST00000201229.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Dux description:double homeobox [Source:EntrezGene;Acc:664783] MAEAGSPVGGSGVARESRRRRKMVWQAWQEQALLSTFKEKRYLSFKERKELAKRMGVSDC RIRVWFQNRRNRSGEEGHASKRSIRGSRRLASPQLQEELGSRPQGRGLRSSGRRPRTRLT SLQRRILGQAFERNPRPGFATREELARDTGLPEDTIHIWFQNRRARRRHRRGRPTAQDQD LLASQGSDGAPTGPEGREREGAQESLLPQEEAGSTGMDTSSPSDLPSFCRESQPFQVAQP RGAGQQEAPTQAGNAGSLEPLLDQLLDEVQVEEPAPAPLNLDGDPGGRVHEGSQKSFWPQ EEAGSTGMDTSSPSDSNSFCRESFPSQVAQPCGSGQEDARTQADSTGPLELLLLDQLLDE VQKEEHVPVPLDWGRNPGSREHEGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQPPQV AQPSGPGQAQAPTQGGNTDPLELLLYQLLDEVQVEEHAPAPLNWDVDPGGRVHEGSWESF WPQREAGSTGLDTSSPSDSNSFCRESQTSQVAQPCGSGQEDARTQANSTGPLELLLLDQL LDEVQKEEHVPAPLDWGRNPGSREHEGSQDSLLPLEEAANSGMDTSIPSIWPTFCRESQP PQVAQPSGPGKAQAPTQGGNTDPLELFLDQLLTEVQLEEQGPSPVNVEETWEQMDTTPDL PLTPEEYQTLLDML >ENSMUSP00000144091.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58259345:58261198:-1 gene:ENSMUSG00000106919.1 transcript:ENSMUST00000201975.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm4981 description:predicted gene 4981 [Source:EntrezGene;Acc:245263] MRSSSRRPHTGLTLSQRRILAQAFERNPRPGCATREELALETGLPEDMIHTWLKNKRARR HRRGRPTAQDQDLLASQVSGGAPAGPVGRGHEVAQESSLPQEEAGSTGMDTTSTSYSPSF CRESQLSQVSQPRGAGQKEVPTQAGNVGPLELLLDELQDEVQVKEHVPDPLDLGSDPGAR EPEGSQDSLQSLDEAANSGWHTSVPSISSTLCRESQPSQVAQPSGPGQAQAPTQSGFIDP LELFLDELLTEVQLEEQGPAPVNVEETGEQMDTTPELPLTPEEYQTLLDML >ENSMUSP00000144551.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6241668:6262335:1 gene:ENSMUSG00000106907.3 transcript:ENSMUST00000201269.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg2a description:autophagy related 2A [Source:MGI Symbol;Acc:MGI:1916291] MSRWLWPWSNCVKERVCRYLLQHYLGHFFQEHLSLDQLSLDLYKGSVALRDIHLETWSVN EFLRSMESPLELVEGFVSSIEVAVPWAALLTDHCTVCVSGLQLTLQPRQGSGPGAADSQS WASCMTTSLQLAQECLREGLPEPSEPPQPLEGLEMFAQTIETVLRRIKVTFLNTVVRVEH SLGDEDRSVAVEVRVQRLEYCDEAVRDPSQAPPVDVHQPPAFLHKLLQLSGVCLYFEELP SQADPPQPPLQIGSCTGYVELMVRLKQNEAFPGPKLEVSGQLGSLHLLLTPRQLQQLQRL LSAVNLADPAGLADKLNKSRPLGAEDLWLIEQDLNQQLQAGAVAESLSLYPITNPLNLDS TDLFFSMAGLTSSVTSAVSELSVYSVDLGSSVHSNMAFHRPSTPPHSGGKMAPTPLLDTT RPDSLVKMTLGGVSLTLLQTASPSSGPSDLPTHFFAEFDAAKDGPFGSRDFSHLRPRFQR ACPCSHVRLTGTAVQLSWELRTGSHSRRTSSTEVHFGQLEVLECLWPRAATEPEYTEILS FPSHSGSEASARPCAHLRHTQTIRRVLKSRSRRSTACHCHSELSLDLADFQSDVELGSLD RLAALFRQVTTPSEPPAGLLTEPPQATELQTVFRLSAPRATLRLRFPIPDLRPDRDPWAG QAVRAEQLRLELSEPQFRSELNSGPGPPAPTRLELTCSDLQGIYEDGEKPPVPCLRVSKA LNPRSTEAKYFLPQVVVTLNPQSSGTQWETAYEKGRDLELSTESPCELQQPEPSPFSSKR TMYETEEMVIPGDPEEMRTFQSRTLALSRCTLDVIMPSAHIFLPSKEVYESIYNRINNDL LMWEPADLLPTSSAAARPPGSSGFKMCKSAFKLDSDSDEEDAQFFSMASGVPQTPAPEPS RRQSQSTFSTLVTVLKGRITALCEAKDETGKRLDVTHGELVLDVEQGTIFSVAQYRGQPG LGYFCLEAEKAKLYHRAAIEDYLLPTHLEVPSFAPPAQLAPTIYPSEEGVTERGTLGRKG QGPPMLSAAVRIHLDPHKNVKEFLVTVRLHKATLRHYMAPPEQSWHSQLLDFLDVLDDPV LGYLPPTVITVLHTHLFSCAVDYRPLYLPVRVLVTAETFTLSSNIVMDTSTFLLRFILDD SALYLSDKCEVESLDLRRDYVCVLDIDLLELVIKTWKGSTEGRLSQPLFELRCSNNVVHV HSCADSCALLVNLLQYLTSSGDLHPPPRPPSPTEIAGQKLSESPASLPSCLPVETALINQ RDLTDALLDTERRGLQELAQSSGGPLPQASPVSVYLFPGERSGAQAPLPPPGASSHTLGS KAKEHENEEEGDGDTLDSDEFCILDAPGLGIAPRDGEPIVTQLHPGPIIVHDGHFSQPLG STDLLRAPAHFPVPSSRVVLREVSFIWHLYGGRDFGLHPTYRARVGLTGPRVSPSRSSGP NRPQNSWRTQGGIGRQHQVLMEIQLSKVSFQHEVYPEESAIAGGLGQELDERPLSRQVLI VQELEIRDRLATSKINKFLHLHTSERLPRRTHSNMLTIKALHVAPTSSVGGPECCLRVSM MPLRLNVDQDALFFLKDFFTSLAASINPMVPGDTSEAPRETHSRPGSPQEGQSEDTETAS NPPEAPGSSHSSSDQQPIYFREFRFTSEVPICLDYHGKHVTVDQVGTFMGLLIGLAQLNC SELKLKRLCCRHGLLGVDKVLCYALNEWLQDIRKNQLPGLLGGVGPMHSVVQLFQGFRDL LWLPIEQYRKDGRLIRGLQRGAASFGSSTASAALELSNRLVQAIQATAETVYDILSPASP VSRSLQDKRSSRKLRRGQQPADLREGMAKAYDAVREGILDTAQTICDVASRGHEQKGLTG AVGGVIRQLPPTVVKPIIVATEATSNVLGGMRNQILPDAHKDHALKWRLEEAQD >ENSMUSP00000144525.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6245465:6262295:1 gene:ENSMUSG00000106907.3 transcript:ENSMUST00000202877.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Atg2a description:autophagy related 2A [Source:MGI Symbol;Acc:MGI:1916291] XYCDEAVRDPSQAPPVDVHQPPAFLHKLLQLSGVCLYFEELPSQADPPQPPLQIGSCTGY VELMVRLKQNEAFPGPKLEVSGQLGSLHLLLTPRQLQQLQRLLSAVNLADPAGLADKLNK SRPLGAEDLWLIEQDLNQQLQAGAVAESLSLYPITNPLNLDSTDLFFSMAGLTSSVTSAV SELSVYSVDLGSSVHSNMAFHRPSTPPHSGGKMAPTPLLDTTRPDSLVKMTLGGVSLTLL QTASPSSGPSDLPTHFFAEFDAAKDGPFGSRDFSHLRPRFQRACPCSHVRLTGTAVQLSW ELRTGSHSRRTSSTEVHFGQLEVLECLWPRAATEPEYTEILSFPSHSGSEASARPCAHLR HTQTIRRVLKSRSRRSTACHCHSELSLDLADFQSDVELGSLDRLAALFRQVTTPSEPPAG LLTEPPQATELQTVFRLSAPRATLRLRFPIPDLRPDRDPWAGQAVRAEQLRLELSEPQFR SELNSGPGPPAPTRLELTCSDLQGIYEDGEKPPVPCLRVSKALNPRSTEAKYFLPQVVVT LNPQSSGTQWETAYEKGRDLELSTESPCELQQPEPSPFSSKRTMYETEEMVIPGDPEEMR TFQSRTLALSRCTLDVIMPSAHIFLPSKEVYESIYNRINNDLLMWEPADLLPTSSAAARP PGSSGFKMCKSAFKLDSDSDEEDAQFFSMASGVPQTPAPEPSRRQSQSTFSTLVTVLKGR ITALCEAKDETGKRLDVTHGELVLDVEQGTIFSVAQYRGQPGLGYFCLEAEKAKLYHRAA IEDYLLPTHLEVPSFAPPAQLAPTIYPSEEGVTERGTLGRKGQGPPMLSAAVRIHLDPHK NVKEFLVTVRLHKATLRHYMAPPEQSWHSQLLDFLDVLDDPVLGYLPPTVITVLHTHLFS CAVDYRPLYLPVRVLVTAETFTLSSNIVMDTSTFLLRFILDDSALYLSDKCEVESLDLRR DYVCVLDIDLLELVIKTWKGSTEGRLSQPLFELRCSNNVVHVHSCADSCALLVNLLQYLT SSGDLHPPPRPPSPTEIAGQKVQLSESPASLPSCLPVETALINQRDLTDALLDTERRGLQ ELAQSSGGPLPQASPVSVYLFPGERSGAQAPLPPPGASSHTLGSKAKEHENEEEGDGDTL DSDEFCILDAPGLGIAPRDGEPIVTQLHPGPIIVHDGHFSQPLGSTDLLRAPAHFPVPSS RVVLREVSFIWHLYGGRDFGLHPTYRARVGLTGPRVSPSRSSGPNRPQNSWRTQGGIGRQ HQVLMEIQLSKVSFQHEVYPEESAIAGGLGQELDERPLSRQVLIVQELEIRDRLATSKIN KFLHLHTSERLPRRTHSNMLTIKALHVAPTSSVGGPECCLRVSMMPLRLNVDQDALFFLK DFFTSLAASINPMVPGDTSEAPRETHSRPGSPQEGQSEDTETASNPPEAPGSSHSSSDQQ PIYFREFRFTSEVPICLDYHGKHVTVDQVGTFMGLLIGLAQLNCSELKLKRLCCRHGLLG VDKVLCYALNEWLQDIRKNQLPGLLGGVGPMHSVVQLFQGFRDLLWLPIEQYRKDGRLIR GLQRGAASFGSSTASAALELSNRLVQAIQATAETVYDILSPASPVSRSLQDKRSSRKLRR GQQPADLREGMAKAYDAVREGILDTAQTICDVASRGHEQKGLTGAVGGVIRQLPPTVVKP IIVATEATSNVLGGMRNQILPDAHKDHALKWRLEEAQD >ENSMUSP00000144559.1 pep:known chromosome:GRCm38:CHR_MG3562_PATCH:61224307:61228286:-1 gene:ENSMUSG00000106922.3 transcript:ENSMUST00000201591.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup13 MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEEHGNFRLFL EQIHVLENSLVLKVHTVRDEECSELSMVADKTEKAGKYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNAMDLVPEHV LVLTLQIAASRPENEEWPEPPVLSGDFSPGLHHHPFLSIQHPQYKFCDLHSILSH >ENSMUSP00000144017.1 pep:known chromosome:GRCm38:CHR_MG3562_PATCH:61224310:61228271:-1 gene:ENSMUSG00000106922.3 transcript:ENSMUST00000202240.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup13 MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEEHGNFRLFL EQIHVLENSLVLKVHTVRDEECSELSMVADKTEKAGKYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000141225.1 pep:known chromosome:GRCm38:CHR_MMCHR1_CHORI29_IDD5_1:60745208:60769374:1 gene:ENSMUSG00000102412.5 transcript:ENSMUST00000193720.5 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd28 description:CD28 antigen [Source:MGI Symbol;Acc:MGI:88327] MTLRLLFLALNFFSVQVTENKILVKQSPLLVVDSNEVSLSCRYSYNLLAKEFRASLYKGV NSDVEVCVGNGNFTYQPQFRSNAEFNCDGDFDNETVTFRLWNLHVNHTDIYFCKIEFMYP PPYLDNERSNGTIIHIKEKHLCHTQSSPKLFWALVVVAGVLFCYGLLVTVALCVIWTNSR RNRLLQSDYMNMTPRRPGLTRKPYQPYAPARDFAAYRP >ENSMUSP00000142287.1 pep:novel chromosome:GRCm38:CHR_MMCHR1_CHORI29_IDD5_1:60761232:60769374:1 gene:ENSMUSG00000102412.5 transcript:ENSMUST00000192815.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cd28 description:CD28 antigen [Source:MGI Symbol;Acc:MGI:88327] LFLYPLLTALGLVNKQTSENKILVKQSPLLVVDSNEVSLSCRYSYNLLAKEFRASLYKGV NSDVEVCVGNGNFTYQPQFRSNAEFNCDGDFDNETVTFRLWNLHVNHTDIYFCKIEFMYP PPYLDNERSNGTIIHIKEKHLCHTQSSPKLFWALVVVAGVLFCYGLLVTVALCVIWTNSR RNRLLQSDYMNMTPRRPGLTRKPYQPYAPARDFAAYRP >ENSMUSP00000144135.1 pep:known chromosome:GRCm38:CHR_MG3231_PATCH:60435881:60457077:-1 gene:ENSMUSG00000107361.3 transcript:ENSMUST00000202819.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01000182.1 MIIAFTFTCFCLLHYNCMVEEVQPPGGLNKENMEAISSWVSKVSEYQPDMIVEDILETQP LLLNVDQTSAPLCPDKQPIPNNTAKSIQASSLEKPCIPSPNAQKSTKCINIEKSSTSCSA KYSNRPLKSNRPTMSSNPKRLCKSSHLEKTYNKCGLKKSNKLNHACKFANFNSSCSDKQA TPWLSVLKSSAKQTTQSSSLISHDQITPTKPRRIKKPNLSHGHYEVKRSVNRRKPLLPMP TTAKVCRHYKDKCLVCNNSGFLLSDLSGEEKNNEEKLYGSMKVKPHHNPFYDTGYKYKTY EKSVSNDTMKECDSEDSNSEIVLICDISHDNITNK >ENSMUSP00000143951.1 pep:known chromosome:GRCm38:CHR_MG3231_PATCH:60435881:60453359:-1 gene:ENSMUSG00000107361.3 transcript:ENSMUST00000200725.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01000182.1 MIIAFTFTCFCLLHYNCMVEEVQPPGGLNKENMEAISSWVSKVSEYQPDMIVEDILETQP LLLNVDQTSAPLCPDKQPIPNNTAKSIQASSLEKPCIPSPNAQKSTKCINIEKSSTSCSA KYSNRPLKSNRPTMSSNPKRLCKSSHLEKTYNKCGLKKSNKLNHACKFANFNSSCSDKQA TPWLSVLKSSAKQTTQSSSLISHDQITPTKPRRIKKPNLSHGHYEVKRSVNRRKPLLPMP TTAKVCRHYKDKCLVCNNSGFLLSDLSGEEKNNEEKLYGSMKVKPHHNPFYDTGYKYKTY EKSVSNDTMKECDSEDSNSEIVLICDISHDNITNK >ENSMUSP00000143878.1 pep:known chromosome:GRCm38:CHR_MG3231_PATCH:60435881:60537495:-1 gene:ENSMUSG00000107361.3 transcript:ENSMUST00000202944.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAAA01000182.1 MIIAFTFTCFCLLHYNCMVEEVQPPGGLNKENMEAISSWVSKVSEYQPDMIVEDILETQP LLLNVDQTSAPLCPDKQPIPNNTAKSIQASSLEKPCIPSPNAQKSTKCINIEKSSTSCSA KYSNRPLKSNRPTMSSNPKRLCKSSHLEKTYNKCGLKKSNKLNHACKFANFNSSCSDKQA TPWLSVLKSSAKQTTQSSSLISHDQITPTKPRRIKKPNLSHGHYEVKRSVNRRKPLLPMP TTAKVCRHYKDKCLVCNNSGFLLSDLSGEEKNNEEKLYGSMKVKPHHNPFYDTGYKYKTY EKSVSNDTMKECDSEDSNSEIVLICDISHDNITNK >ENSMUSP00000144057.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58279994:58330096:1 gene:ENSMUSG00000107275.3 transcript:ENSMUST00000201365.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc2 MEDSAPDAVAAAPSGTPKSKLETLPREDLIKFAKKQMMLLQKAKARCTELDKEVEELKSK PVDGGTDDIIKVLTERLDALLLEKAETEQQCLCLKKENVKMKQEVEDSVTKLEETHKEFE QSHRNYVKEIESCKNELMAVHSEHSKETAILQKELEEAVHKQVELREQLKSQSDSEDNVR KLQEEIQNITAAFEEQISCLEKKLEATSDEKQQEIIHLQKVIEDKAQHYQKDINTFQAEI LQLRATHKEEVTELMSQIETSAKEHEAEINKLKENRVTQCEASENIPEKYQCESENLNEV ASDASPESQNCSVALQEDPSAEQTVCDKVRQLEDSLKELESQHSILKDEVTYMNNLKLKL EMDAQHIKDEFFHEREDLEFKINELLLAKEEQGYVVEKLKYEREDLNRQLCCAVEQHNKE IQRLQEHHQKEVSELSETFISGSEKEKLALMFEIQGLKEQCENLQHEKQEVVLNYESLRE MMEILQTELGESAGKISQEFETMKQQQASDVHELQQKLRSAFNEKDALLETVNRLQGENE KLLSQQELVPELESTIKNLQADNSMYLASLGQKDTMLQELEAKISSLAKEKDDFISKIKT SHEEMDDLHQKWEREQRLSVELREAAGQAAQHNSELRQRVSELTGKLDELVREKSQNDQS ITVQMKTMTEDQEALSSKIKSLYEENNRLHSEKAQLSRDLEALQAQQDFAHKEHVAEFEK KLQLMVEERDDLNKLLENEQVQKSFVKTQLYEYLKQLRASILEENEEEDVVKLIQAVGES LVKVKEEEHNLVFEYDARVLELENKIKCLQEDSAVQCEELRTLVRDSEQEKILLRKELDA VTSAKEALQLDLLEMKNTNEKASLENQTLSTQVEELSQTLHSRNEVHDEKVLVIEHENLR LLLKQRESELQDVRAELILLKDSLEKSPSVKNDQLSLVKELEEKIESLEKESKDKDEKIS KIKLVAVKAKKELDSNRKEAQTLREELESVRSEKDRLSASMKEFLQGAESYKSLLLEYDK QSEQLDVEKERAHNFERHIEDLTKQLRNSTCQYERLTSDNEDLLARIETLQANAKLLEAQ ILEVQKAKGVVEKELDAEKLQKEQKIKEHVSTVNELEELQLQFQKEKKQLQKTMQELELV KKDAQQTTLMNMEIADYERLMKELNQKLTNKNSTIEDLEQEMKIQKEKQETLQEEITSLQ SSVQHYEEKNTKIKQLLVKTKKELADAKQAETDHLLLQASLKGELEASQQQVEVYKIQLA EMTSEKHKIHEHLKTSAEQHQRTLSAYQQRVVALQEESRAAKAEQAAVTSEFESYKVRVH NVLKQQKNKSVSQVETEGAKQEREHLEMLIDQLKIKLQDSQNSLQISVSEYQTLQAEHDT LLERHNRMLQETVTKEAELREKLCSVQSENTMMKSEHSQTMCQLTSQNEALRTSFRDQVR HLQDEHRKTVETLQHQLSKLEAQLFQLKSEPSTRSPASSHQPSKSLRERRTTDLPLLDMH TVAREEGEGMETTDSESVSSAGTHIQSLEQLLSSPDTKLERLAETSLWHNEFTKEELAEK LSSTTKSADHLNGLLRETEATNAILMEQIKLLKSEIRRLERNQEREKSVANLEYLKNVLL RFIFLKPGSERERLLPVIDTMLQLSPEEKGKLATVAQGEEESASRSSGWASYLHSWSGLR >ENSMUSP00000144503.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58280005:58293960:1 gene:ENSMUSG00000107275.3 transcript:ENSMUST00000200958.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Gcc2 MEDSAPDAVAAAPSGTPKSKLETLPREDLIKFAKKQMMLLQKAKARIRQRS >ENSMUSP00000144607.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58280027:58329739:1 gene:ENSMUSG00000107275.3 transcript:ENSMUST00000202872.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc2 MMLLQKAKARCTELDKEVEELKSKPVDGGTDDIIKVLTERLDALLLEKAETEQQCLCLKK ENVKMKQEVEDSVTKLEETHKEFEQSHRNYVKEIESCKNELMAVHSEHSKETAILQKELE EAVHKQVELREQLKSQSDSEDNVRKLQEEIQNITAAFEEQISCLEKKLEATSDEKQQEII HLQKVIEDKAQHYQKDINTFQAEILQLRATHKEEVTELMSQIETSAKEHEAEINKLKENR VTQCEASENIPEKYQCESENLNEVASDASPESQNCSVALQEDPSAEQTVCDKVRQLEDSL KELESQHSILKDEVTYMNNLKLKLEMDAQHIKDEFFHEREDLEFKINELLLAKEEQGYVV EKLKYEREDLNRQLCCAVEQHNKEIQRLQEHHQKEVSELSETFISGSEKEKLALMFEIQG LKEQCENLQHEKQEVVLNYESLREMMEILQTELGESAGKISQEFETMKQQQASDVHELQQ KLRSAFNEKDALLETVNRLQGENEKLLSQQELVPELESTIKNLQADNSMYLASLGQKDTM LQELEAKISSLAKEKDDFISKIKTSHEEMDDLHQKWEREQRLSVELREAAGQAAQHNSEL RQRVSELTGKLDELVREKSQNDQSITVQMKTMTEDQEALSSKIKSLYEENNRLHSEKAQL SRDLEALQAQQDFAHKEHVAEFEKKLQLMVEERDDLNKLLENEQVQKSFVKTQLYEYLKQ LRASILEENEEEDVVKLIQAVGESLVKVKEEEHNLVFEYDARVLELENKIKCLQEDSAVQ CEELRTLVRDSEQEKILLRKELDAVTSAKEALQLDLLEMKNTNEKASLENQTLSTQVEEL SQTLHSRNEVHDEKVLVIEHENLRLLLKQRESELQDVRAELILLKDSLEKSPSVKNDQLS LVKELEEKIESLEKESKDKDEKISKIKLVAVKAKKELDSNRKEAQTLREELESVRSEKDR LSASMKEFLQGAESYKSLLLEYDKQSEQLDVEKERAHNFERHIEDLTKQLRNSTCQYERL TSDNEDLLARIETLQANAKLLEAQILEVQKAKGVVEKELDAEKLQKEQKIKEHVSTVNEL EELQLQFQKEKKQLQKTMQELELVKKDAQQTTLMNMEIADYERLMKELNQKLTNKNSTIE DLEQEMKIQKEKQETLQEEITSLQSSVQHYEEKNTKIKQLLVKTKKELADAKQAETDHLL LQASLKGELEASQQQVEVYKIQLAEMTSEKHKIHEHLKTSAEQHQRTLSAYQQRVVALQE ESRAAKAEQAAVTSEFESYKVRVHNVLKQQKNKSVSQVETEGAKQEREHLEMLIDQLKIK LQDSQNSLQISVSEYQTLQAEHDTLLERHNRMLQETVTKEAELREKLCSVQSENTMMKSE HSQTMCQLTSQNEALRTSFRDQVRHLQDEHRKTVETLQHQLSKLEAQLFQLKSEPSTRSP ASSHQPSKSLRERRTTDLPLLDMHTVAREEGEGMETTDSESVSSAGTHIQSLEQLLSSPD TKLERLAETSLWHNEFTKEELAEKLSSTTKSADHLNGLLRETEATNAILMEQIKLLKSEI RRLERNQEREKSVANLEYLKNVLLRFIFLKPGSERERLLPVIDTMLQLSPEEKGKLATVA QGEEESASRSSGWASYLHSWSGLR >ENSMUSP00000144642.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58280036:58330088:1 gene:ENSMUSG00000107275.3 transcript:ENSMUST00000202595.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc2 MKQEVEDSVTKLEETHKEFEQSHRNYVKEIESCKNELMAVHSEHSKETAILQKELEEAVH KQVELREQLKSQSDSEDNVRKLQEEIQNITAAFEEQISCLEKKLEATSDEKQQEIIHLQK VIEDKAQHYQKDINTFQAEILQLRATHKEEVTELMSQIETSAKEHEAEINKLKENRVTQC EASENIPEKYQCESENLNEVASDASPESQNCSVALQEDPSAEQTVCDKVRQLEDSLKELE SQHSILKDEVTYMNNLKLKLEMDAQHIKDEFFHEREDLEFKINELLLAKEEQGYVVEKLK YEREDLNRQLCCAVEQHNKEIQRLQEHHQKEVSELSETFISGSEKEKLALMFEIQGLKEQ CENLQHEKQEVVLNYESLREMMEILQTELGESAGKISQEFETMKQQQASDVHELQQKLRS AFNEKDALLETVNRLQGENEKLLSQQELVPELESTIKNLQADNSMYLASLGQKDTMLQEL EAKISSLAKEKDDFISKIKTSHEEMDDLHQKWEREQRLSVELREAAGQAAQHNSELRQRV SELTGKLDELVREKSQNDQSITVQMKTMTEDQEALSSKIKSLYEENNRLHSEKAQLSRDL EALQAQQDFAHKEHVAEFEKKLQLMVEERDDLNKLLENEQVQKSFVKTQLYEYLKQLRAS ILEENEEEDVVKLIQAVGESLVKVKEEEHNLVFEYDARVLELENKIKCLQEDSAVQCEEL RTLVRDSEQEKILLRKELDAVTSAKEALQLDLLEMKNTNEKASLENQTLSTQVEELSQTL HSRNEVHDEKVLVIEHENLRLLLKQRESELQDVRAELILLKDSLEKSPSVKNDQLSLVKE LEEKIESLEKESKDKDEKISKIKLVAVKAKKELDSNRKEAQTLREELESVRSEKDRLSAS MKEFLQGAESYKSLLLEYDKQSEQLDVEKERAHNFERHIEDLTKQLRNSTCQYERLTSDN EDLLARIETLQANAKLLEAQILEVQKAKGVVEKELDAEKLQKEQKIKEHVSTVNELEELQ LQFQKEKKQLQKTMQELELVKKDAQQTTLMNMEIADYERLMKELNQKLTNKNSTIEDLEQ EMKIQKEKQETLQEEITSLQSSVQHYEEKNTKIKQLLVKTKKELADAKQAETDHLLLQAS LKGELEASQQQVEVYKIQLAEMTSEKHKIHEHLKTSAEQHQRTLSAYQQRVVALQEESRA AKAEQAAVTSEFESYKVRVHNVLKQQKNKSVSQVETEGAKQEREHLEMLIDQLKIKLQDS QNSLQISVSEYQTLQAEHDTLLERHNRMLQETVTKEAELREKLCSVQSENTMMKSEHSQT MCQLTSQNEALRTSFRDQVRHLQDEHRKTVETLQHQLSKLEAQLFQLKSEPSTRSPASSH QPSKSLRERRTTDLPLLDMHTVAREEGEGMETTDSESVSSAGTHIQSLEQLLSSPDTKLE TSLWHNEFTKEELAEKLSSTTKSADHLNGLLRETEATNAILMEQIKLLKSEIRRLERNQE REKSVANLEYLKNVLLRFIFLKPGSERERLLPVIDTMLQLSPEEKGKLATVAQGEEESAS RSSGWASYLHSWSGLR >ENSMUSP00000144021.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58280210:58293832:1 gene:ENSMUSG00000107275.3 transcript:ENSMUST00000201808.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc2 MMLLQKAKARCTELDKEVEELKSKPVDGGTDDIIKVLTERLDALLLEKAETEQQCLCLKK ENVKMKQEVEDSVTKLEETHKEFEQSHRNYVKEI >ENSMUSP00000144487.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58299454:58305891:1 gene:ENSMUSG00000107275.3 transcript:ENSMUST00000200865.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gcc2 LESVRSEKDRLSASMKEFLQGAESYKASSLRAESCLLLKSLLLEYDKQSEQLDVEKERAH NFERHIEDLTKQLRNSTCQYERLTSDNEDLLARIETLQANAKLLEAQILEVQKAKGVVEK ELDAEKLQKEQKIKEHVSTVNELEELQLQFQKEKKQLQKTMQELELVKKDAQQTTLMNME IA >ENSMUSP00000144279.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108866488:108867432:1 gene:ENSMUSG00000107174.1 transcript:ENSMUST00000201306.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem89 description:transmembrane protein 89 [Source:MGI Symbol;Acc:MGI:1916634] MLYTLLLVPSLFLLVMPVPSQGWSRPLWYQVGLDLQPWGCQPNSPDIWGCQPNSLDSCKN SLGCPGYWLGLGGNRIYPVAGVTITTTMLLVVSRVIVHRWRAKVAKGQLPAVTSSSGKHW KEQPTVSDRTLVLRVLHMLDAILLHIEGHLQGLASQQQIQIKGSPPQSG >ENSMUSP00000143821.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108866488:108867432:1 gene:ENSMUSG00000107174.1 transcript:ENSMUST00000201875.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Tmem89 description:transmembrane protein 89 [Source:MGI Symbol;Acc:MGI:1916634] MLYTLLLVPSLFLLVMPVPSQGWSRPLWYQVGLDLQPWGCQPNSPDIWGCQPNSLDSCKN SLGCPGYWLGLGGNRIYPVAGVTITTTMLLVVSRVIVHRWRAKVAKGQVSTAPLCPLQFL LLLMPPGPCLPLNLLPITLA >ENSMUSP00000144190.1 pep:known chromosome:GRCm38:CHR_MG3562_PATCH:61300023:61303802:-1 gene:ENSMUSG00000106700.3 transcript:ENSMUST00000201531.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup14 VEKINGEWHTIILASDKREKIEDNGNFRLFLEQIRVLENSLVLKFHTVDEECSELSMVAD KTEKAGEYSVTYDGFNTFTIPKTDYDNFLMAHLINEKDGETFQLMGLYGREPDLSSDIKE RFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000143796.1 pep:known chromosome:GRCm38:CHR_MG3562_PATCH:61300040:61304000:-1 gene:ENSMUSG00000106700.3 transcript:ENSMUST00000202193.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup14 MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIRVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000143840.1 pep:known chromosome:GRCm38:CHR_MG3562_PATCH:61301100:61303998:-1 gene:ENSMUSG00000106700.3 transcript:ENSMUST00000201224.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup14 MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIRVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000144036.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6276725:6300096:1 gene:ENSMUSG00000107039.1 transcript:ENSMUST00000202020.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ehd1 description:EH-domain containing 1 [Source:MGI Symbol;Acc:MGI:1341878] MFSWVSKDARRKKEPELFQTVAEGLRQLYAQKLLPLEEHYRFHEFHSPALEDADFDNKPM VLLVGQYSTGKTTFIRHLIEQDFPGMRIGPEPTTDSFIAVMHGPTEGVVPGNALVVDPRR PFRKLNAFGNAFLNRFMCAQLPNPVLDSISIIDTPGILSGEKQRISRGYDFAAVLEWFAE RVDRIILLFDAHKLDISDEFSEVIKALKNHEDKIRVVLNKADQIETQQLMRVYGALMWSL GKIINTPEVVRVYIGSFWSHPLLIPDNRKLFEAEEQDLFKDIQSLPRNAALRKLNDLIKR ARLAKVHAYIISSLKKEMPNVFGKESKKKELVNNLGEIYQKIEREHQISSGDFPSLRKMQ ELLQTQDFSKFQALKPKLLDTVDDMLANDIARLMVMVRQEESLMPSQAVKGGAFDGTMNG PFGHGYGEGAGEGIDDVEWVVGKDKPTYDEIFYTLSPVNGKITGANAKKEMVKSKLPNTV LGKIWKLADVDKDGLLDDEEFALANHLIKVKLEGHELPADLPPHLIPPSKRRHE >ENSMUSP00000143996.1 pep:known chromosome:GRCm38:CHR_MG4266_PATCH:130615207:130733450:1 gene:ENSMUSG00000106966.3 transcript:ENSMUST00000202551.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGEQLLPGKKFWETDESSKDGPKGIFLGDQWRDSAWGTS DHSVSQPIMVQRRPGQSFHVNSEVNSVLSPRSESGGLGVSMVEYVLSSSPGDSCLRKGGF GPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVMDKTNGLPVQNGIDADVKDFSRTPG NCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVEDFSNMESQSVPLDPMEHVGMEPLQF DYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAVQQLTAAQQQQYALAAAHQPHIAGL APAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQYYGVTPWGVYPASLFQQQAA AAAAATNSATQQSAPQAQQGQQQVLRGGASQRPLTPNQNQQGQQTDPLVAAAAVNSALAF GQGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVA AAAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPSNNLASSSFYGNNSLSSNSQSSS LFSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKR TSSSLAPIGHSFYSSLSYSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTN GSGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMPSGRSRLLEDFRNN RYPNLQLREIAGHIMEFSQDQHGSRFIQLKLERATAAERQLVFNEILQAAYQLMVDVFGN YVIQKFFEFGSHEQKLALAERIRGHVLSLALQMYGCRVIQKALEFIPSDQQVINEMVREL DGHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFALSTHPYGCRVIQRILEHC LPDQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVAEIRGNVLVLSQHKF ASNVVEKCVTHASRTERAVLIDEVCTMNDGPHSALYTMMKDQYANYVVQKMIDVAEPGQR KIVMHKIRPHIATLRKYTYGKHILAKLEKYYMKNGVDLGPICGPPNGII >ENSMUSP00000144101.1 pep:known chromosome:GRCm38:CHR_MG4266_PATCH:130615245:130733448:1 gene:ENSMUSG00000106966.3 transcript:ENSMUST00000200794.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGEGPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVM DKTNGLPVQNGIDADVKDFSRTPGNCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVED FSNMESQSVPLDPMEHVGMEPLQFDYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAV QQLTAAQQQQYALAAAHQPHIGLAPAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVV PHQYYGVTPWGVYPASLFQQQAAAAAAATNSATQQSAPQAQQGQQQVLRGGASQRPLTPN QNQQGQQTDPLVAAAAVNSALAFGQGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLG APVRLVAPAPVIISSSAAQAAVAAAAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQP SNNLASSSFYGNNSLSSNSQSSSLFSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAV ANSNTGSGSRRDSLTGSSDLYKRTSSSLAPIGHSFYSSLSYSSSPGPVGMPLPSQGPGHS QTPPPSLSSHGSSSSLNLGGLTNGSGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSR LRYGMSDVMPSGRSRLLEDFRNNRYPNLQLREIAGHIMEFSQDQHGSRFIQLKLERATAA ERQLVFNEILQAAYQLMVDVFGNYVIQKFFEFGSHEQKLALAERIRGHVLSLALQMYGCR VIQKALEFIPSDQQVINEMVRELDGHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFK GQVFALSTHPYGCRVIQRILEHCLPDQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGR PEDKSKIVAEIRGNVLVLSQHKFASNVVEKCVTHASRTERAVLIDEVCTMNDGPHSALYT MMKDQYANYVVQKMIDVAEPGQRKIVMHKIRPHIATLRKYTYGKHILAKLEKYYMKNGVD LGPICGPPNGII >ENSMUSP00000143942.1 pep:known chromosome:GRCm38:CHR_MG4266_PATCH:130615245:130733448:1 gene:ENSMUSG00000106966.3 transcript:ENSMUST00000201060.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGELFQRPNALAVQQLTAAQQQQYALAAAHQPHIGLAPA AFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQYYGVTPWGVYPASLFQQQAAAAA AATNSATQQSAPQAQQGQQQVLRGGASQRPLTPNQNQQGQQTDPLVAAAAVNSALAFGQG LAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVAAAA ASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPSNNLASSSFYGNNSLSSNSQSSSLFS QGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKRTSS SLAPIGHSFYSSLSYSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTNGSG RYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMPSGRSRLLEDFRNNRYP NLQLREIAGHIMEFSQDQHGSRFIQLKLERATAAERQLVFNEILQAAYQLMVDVFGNYVI QKFFEFGSHEQKLALAERIRGHVLSLALQMYGCRVIQKALEFIPSDQQNEMVRELDGHVL KCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFALSTHPYGCRVIQRILEHCLPDQT LPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVAEIRGNVLVLSQHKFASNVV EKCVTHASRTERAVLIDEVCTMNDGPHSALYTMMKDQYANYVVQKMIDVAEPGQRKIVMH KIRPHIATLRKYTYGKHILAKLEKYYMKNGVDLGPICGPPNGII >ENSMUSP00000144125.1 pep:known chromosome:GRCm38:CHR_MG4266_PATCH:130615253:130698889:1 gene:ENSMUSG00000106966.3 transcript:ENSMUST00000201424.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGELFQRPNALAVQQLTAAQQQQYALAAAHQPHIGLAPA AFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQY >ENSMUSP00000144210.1 pep:known chromosome:GRCm38:CHR_MG4266_PATCH:130615272:130732152:1 gene:ENSMUSG00000106966.3 transcript:ENSMUST00000202398.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGEQLLPGKKFWETDESSKDGPKGIFLGDQWRDSAWGTS DHSVSQPIMVQRRPGQSFHVNSEVNSVLSPRSESGGLGVSMVEYVLSSSPGDSCLRKGGF GPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVMDKTNGLPVQNGIDADVKDFSRTPG NCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVEDFSNMESQSVPLDPMEHVGMEPLQF DYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAVQQLTAAQQQQYALAAAHQPHIGLA PAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQYYGVTPWGVYPASLFQQQAAA AAAATNSATQQSAPQAQQGQQQVLRGGASQRPLTPNQNQQGQQTDPLVAAAAVNSALAFG QGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVAA AAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPSNNLASSSFYGNNSLSSNSQSSSL FSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKRT SSSLAPIGHSFYSSLSYSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTNG SGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMPSGRSRLLEDFRNNR YPNLQLREIAGHIMEFSQDQHGSRFIQLKLERATAAERQLVFNEILQAAYQLMVDVFGNY VIQKFFEFGSHEQKLALAERIRGHVLSLALQMYGCRVIQKALEFIPSDQQNEMVRELDGH VLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFALSTHPYGCRVIQRILEHCLPD QTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVAEIRGNVLVLSQHKFASN VVEKCVTHASRTERAVLIDEVCTMNDGPHSALYTMMKDQYANYVVQKMIDVAEPGQRKIV MHKIRPHIATLRKYTYGKHILAKLEKYYMKNGVDLGPICGPPNGII >ENSMUSP00000144158.1 pep:known chromosome:GRCm38:CHR_MG4266_PATCH:130615292:130732140:1 gene:ENSMUSG00000106966.3 transcript:ENSMUST00000200938.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pum1 description:pumilio RNA-binding family member 1 [Source:MGI Symbol;Acc:MGI:1931749] MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGT HSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNTSKHRWPTGDNIHAEH QVRSMDELNHDFQALALEGRAMGEQLLPGKKFWETDESSKDGPKGIFLGDQWRDSAWGTS DHSVSQPIMVQRRPGQSFHVNSEVNSVLSPRSESGGLGVSMVEYVLSSSPGDSCLRKGGF GPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVMDKTNGLPVQNGIDADVKDFSRTPG NCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVEDFSNMESQSVPLDPMEHVGMEPLQF DYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAVQQLTAAQQQQYALAAAHQPHIGLA PAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQYYGVTPWGVYPASLFQQQAAA AAAATNSATQQSAPQAQQGQQQVLRGGASQRPLTPNQNQQGQQTDPLVAAAAVNSALAFG QGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVAA AAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPSNNLASSSFYGNNSLSSNSQSSSL FSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKRT SSSLAPIGHSFYSSLSYSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTNG SGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMPSGRSRLLEDFRNNR YPNLQLREIAGHIMEFSQDQHGSRFIQLKLERATAAERQLVFNEILQAAYQLMVDVFGNY VIQKFFEFGSHEQKLALAERIRGHVLSLALQMYGCRVIQKALEFIPSDQQVINEMVRELD GHVLKCVKDQNGNHVVQKCIECVQPQSLQFIIDAFKGQVFALSTHPYGCRVIQRILEHCL PDQTLPILEELHQHTEQLVQDQYGNYVIQHVLEHGRPEDKSKIVAEIRGNVLVLSQHKFA SNVVEKCVTHASRTERAVLIDEVCTMNDGPHSALYTMMKDQYANYVVQKMIDVAEPGQRK IVMHKIRPHIATLRKYTYGKHILAKLEKYYMKNGVDLGPICGPPNGII >ENSMUSP00000144124.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108888502:108901492:1 gene:ENSMUSG00000107235.3 transcript:ENSMUST00000202750.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrc1 description:ubiquinol-cytochrome c reductase core protein 1 [Source:MGI Symbol;Acc:MGI:107876] MAASAVCRAACSGTQVLLRTRRSPALLRLPALRGTATFAQALQSVPETQVSILDNGLRVA SEQSSHATCTVGVWIDAGSRYETEKNNGAGYFLEHLAFKGTKNRPGNALEKEVESIGAHL NAYSTREHTAYLIKALSKDLPKVVELLADIVQNSSLEDSQIEKERDVILREMQENDASMQ NVVFDYLHATAFQGTPLAQAVEGPSENVRRLSRTDLTDYLNRHYKAPRMVLAAAGGVEHQ QLLDLAQKHLSSVSRVYEEDAVPGLTPCRFTGSEIRHRDDALPLAHVAIAVEGPGWANPD NVTLQVANAIIGHYDCTYGGGVHLSSPLASVAVANKLCQSFQTFNISYSDTGLLGAHFVC DAMSIDDMVFFLQGQWMRLCTSATESEVTRGKNILRNALVSHLDGTTPVCEDIGRSLLTY GRRIPLAEWESRIQEVDAQMLRDICSKYFYDQCPAVAGYGPIEQLPDYNRIRSGMFWLRF >ENSMUSP00000144565.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108888583:108896757:1 gene:ENSMUSG00000107235.3 transcript:ENSMUST00000202488.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrc1 description:ubiquinol-cytochrome c reductase core protein 1 [Source:MGI Symbol;Acc:MGI:107876] MAASAVCRAACSGTQVLLRTRRSALQSVPETQVSILDNGLRVASEQSSHATCTVGVWIDA GSRYETEKNNGAGYFLEHLAFKGTKNRPGNALEKEVESIGAHLNAYSTREHTAYLIKALS KDLPKVVELLADIVQNSSLEDSQIEKERDVILREMQENDASMQNVVFDYLHATAFQGTPL AQAVEGPSENV >ENSMUSP00000143814.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108888584:108896573:1 gene:ENSMUSG00000107235.3 transcript:ENSMUST00000201280.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Uqcrc1 description:ubiquinol-cytochrome c reductase core protein 1 [Source:MGI Symbol;Acc:MGI:107876] MLPARWECGLTLAVAMRLRRTMEQATFWNIWLSREQRIGLAMP >ENSMUSP00000144140.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108893991:108896879:1 gene:ENSMUSG00000107235.3 transcript:ENSMUST00000200772.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrc1 description:ubiquinol-cytochrome c reductase core protein 1 [Source:MGI Symbol;Acc:MGI:107876] XEKNNGAGYFLEHLAFKLWSSWQISCRTVVWKTHRSRRNEM >ENSMUSP00000143868.1 pep:known chromosome:GRCm38:CHR_MG117_PATCH:108899286:108901409:1 gene:ENSMUSG00000107235.3 transcript:ENSMUST00000201949.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uqcrc1 description:ubiquinol-cytochrome c reductase core protein 1 [Source:MGI Symbol;Acc:MGI:107876] VTLQVANAIIGHYDCTYGGGVHLSSPLASVAVANKLCQSFQTFNISYSDTGLLGAHFVCD AMSIDDMVFFLQGQWMRLCTSATESEVTRGKNILRNALVSHLDGTTPVCEDIGRSLLTYG RRIPLAEPHRAAPRLQPDPQWHVLAALLGRKSAQAGRRAGPG >ENSMUSP00000144130.1 pep:known chromosome:GRCm38:CHR_MG3562_PATCH:61398028:61401981:-1 gene:ENSMUSG00000107311.1 transcript:ENSMUST00000202668.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup15 MKMLLLLCLGLTLVCVHAEEASSTGRNFNVQKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEKHGILRENIIDLSNANRCLQARE >ENSMUSP00000143924.1 pep:known chromosome:GRCm38:CHR_MG3562_PATCH:61477830:61481769:-1 gene:ENSMUSG00000106882.3 transcript:ENSMUST00000201756.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup16 description:major urinary protein LOC100048885 (Gm2083), mRNA [Source:RefSeq mRNA;Acc:NM_001134644] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000144228.1 pep:known chromosome:GRCm38:CHR_MG3562_PATCH:61478758:61481705:-1 gene:ENSMUSG00000106882.3 transcript:ENSMUST00000201846.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Mup16 description:major urinary protein LOC100048885 (Gm2083), mRNA [Source:RefSeq mRNA;Acc:NM_001134644] MKMLLLLCLGLTLVCVHAEEASSTGRNFNVEKINGEWHTIILASDKREKIEDNGNFRLFL EQIHVLENSLVLKFHTVRDEECSELSMVADKTEKAGEYSVTYDGFNTFTIPKTDYDNFLM AHLINEKDGETFQLMGLYGREPDLSSDIKERFAQLCEEHGILRENIIDLSNANRCLQARE >ENSMUSP00000144638.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6306456:6325652:1 gene:ENSMUSG00000107268.1 transcript:ENSMUST00000201699.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Cdc42bpg description:CDC42 binding protein kinase gamma (DMPK-like) [Source:MGI Symbol;Acc:MGI:2652845] MEQRLRALEQLVRGEAGGSPGLDGLLDLLLGVHQELSSAPLRRERNVAQFLSWASPFVTK VKELRLQRDDFEILKVIGRGAFGEVAVVRQRGSGQIFAMKMLHKWEMLKRAETACFREER DVLVKGDSRWVTALHYAFQDEEYLYLVMDYYAGGDLLTLLSRFEDRLPPELAQFYLAEMV LAIHSLHQLGYVHRDVKPDNILLDMNGHIRLADFGSCLRLNNNGMVDSSVAVGTPDYISP EILQAMEEGKGHYGPQCDWWSLGVCAYELLFGETPFYAESLVETYGKIMNHEDHLQFPAD VTDVPASAQDLIRQLLCRQEERLGRGGLDDFRKHPFFEGVDWERLATSTAPYIPELRGPM DTSNFDVDDDTLNRPETLPPSSHGAFSGHHLPFVGFTYTSGSPFDVQSSELMAAPEGTPH CVEQVKVELSHKCQEPLHGPLQPQELVRLQKEVQVLQEKLAETLRDSKASLSQTDGLHAR SPAPNIQLQQEKDRLQQELTEAQAALRVQDAELCQAQNRQEEFLQRLWEAQEREAAAASQ IQALNSQLEEAWVVRRELEGQVTTLSQEVTRLQGQCKQESSQAKTVHAAPETNGIGSPEG QSQEAQLRKEVAALREQLEHACSQGISVGKEEVLCRLQEENQRLSREQERLAGELELELQ SKQRLEGERRETESNWEAQIADILSWVNDEKVSRGYLQALATKMAEELESLRNVGTQTLP TRPLDHQWKARRLQKMEASARLELQSALEAEIRAKQSLQEQLTQVQEAQRQAERRLQEAE KQSQALQQEVAELREELQARGPGDARPSTSLIPLLSFWNTEKDSAKDPGNSGEGPRSGAE AELRPEGRRSLRMGSVFPRVPAATTTPAEGPPAKPGSHTLRPRSFPSPTKCLRCTSLMLG LGRQGLGCDTCGYFCHSACASQAPPCPVPPELLRTALGVHPETGTGTAYEGFLSVPRPSG VRRGWQRVYAALSDSRLLLFDAPDPRGSLASGVLLQALDLRDPQFSATPVLAPDVIHAQS KDLPRIFRVTASQLTVPPTTCTVLLLAENEGERERWLQVLGELQRLLLDARPRPRPVYTL KEAYDNGLPLLPHALCAAVIDQERLALGTEEGLFVIHLHSNDIFQVGDCRRVQRLAVSSA AGLLAVLCGRGPSVRLFALDELESAEVAGAKIPESRGCQALVAGRILQARTPVLCVAVKR QVLCYQLGPGPGPWQRRIRELQAPAPVQSLGLLGDRLCVGAAGTFALYPLLNEAAPLALG TGLVAEELPASRGGLGEALGAVELSLSELLLLFATAGVYVDSAGRKSRSHELLWPAAPTG WGYTAPYLTVFSENALDVFDVRRAEWVQTVPLKKVRPLNPEGSLFLYGTEKVRLTYLRNP LAEKDEFDIPDLTDNSRRQLFRTKSKRRFFFRVSDELRQQQRREMLKDPFVRSKFISPPT NFNHLVHVGPTEGRPNTRDGTRAQEQKSRGARSSGPQRPHSFSEAFRRPVSTGSDGLPGE TDPLVKRKPWTSLSSESVSCPQGSLSPAASLIQVSERPRSLPPDPESESSP >ENSMUSP00000144326.1 pep:known chromosome:GRCm38:CHR_MG4264_PATCH:58276251:58279886:-1 gene:ENSMUSG00000107038.1 transcript:ENSMUST00000202101.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Gm9919 description:predicted gene 9919 [Source:MGI Symbol;Acc:MGI:3641953] MTFTKYKDIKFPGNTVRGENHYPLSVLSPNTQPSKRLCAFSLISLILQEQLFFSVLQWWT KFLLFVRFLALFKFSEKLGLEVGFSCRYIFFLNLKLPSD >ENSMUSP00000143830.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6334979:6340891:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000202978.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT LYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI YKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLRFYDGI CKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEAREGRR RGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPA PAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYT LSFLKRQRKGL >ENSMUSP00000144341.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6334979:6340880:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000201807.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MPPPAAMGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVI PTNVPELTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSS RELVKKVSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVH LALSEDHAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKM EVAFMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPG RPDPLTLYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYC REDEEIYKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLL RFYDGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDE AREGRRRGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGN AAQAPAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVS TPSDYTLSFLKRQRKGL >ENSMUSP00000144083.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6335013:6340889:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000202550.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT LYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI YKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLRFYDGI CKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEAREGRR RGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPA PAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYT LSFLKRQRKGL >ENSMUSP00000144549.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6335039:6340889:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000201284.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT LYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI YKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLRFYDGI CKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEAREGRR RGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPA PAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYT LSFLKRQRKGL >ENSMUSP00000143959.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6335039:6340889:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000202901.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWSWLYLKGSYMRCDRKMEVAFMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLER YPMALGNLADLEELEPTPGRPDPLTLYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVR EALQAWADTATVIQDYNYCREDEEIYKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQ GTQGQGSALQDPECFAHLLRFYDGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKV HIVSREAEAAEAEEPWGDEAREGRRRGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPP RKTSGTVPGTTRGGQEVGNAAQAPAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSA IKLQLTAQSQVQMKKQKVSTPSDYTLSFLKRQRKGL >ENSMUSP00000144028.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6335047:6340783:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000202424.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT LYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI YKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLRFYDGI CKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEAREGRR RGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPA PAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYT LSFLKRQRKGL >ENSMUSP00000144524.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6335064:6340463:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000201738.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTSRPI GTSL >ENSMUSP00000143849.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6335111:6340889:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000202062.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGTKLDSSGVAFAVVGACQALGLRDVHLALSED HAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKMEVAFMV CAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGRPDPLT LYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCREDEEI YKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQVRQKVHIVSREAEAAEAEEPWGDEA REGRRRGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNA AQAPAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVST PSDYTLSFLKRQRKGL >ENSMUSP00000144074.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6335461:6340172:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000202420.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] MGLKAAQKTLFPLRSIDDVVRLFAAELGREEPDLVLLSLVLGFVEHFLAVNRVIPTNVPE LTFQPSPAPDPPGGLTYFPVADLSIIAALYARFTAQIRGAVDLSLYPREGGVSSRELVKK VSDVIWNSLSRSYFKDRAHIQSLFSFITGWSQTGTKLDSSGVAFAVVGACQALGLRDVHL ALSEDHAWVVFGPNGEQTAEVTWHGKGNEDRRGQTVNAGVAERSWLYLKGSYMRCDRKME VAFMVCAINPSIDLHTDSLELLQLQQKLLWLLYDLGHLERYPMALGNLADLEELEPTPGR PDPLTLYHKGIASAKTYYQDEHIYPYMYLAGYHCRNRNVREALQAWADTATVIQDYNYCR EDEEIYKEFFEVANDVIPNLLKEAASLLETGEERTGEQAQGTQGQGSALQDPECFAHLLR FYDGICKWEEGSPTPVLHVGWATFLVQSLGRFEGQVRQKVHIVSREAEAAEAEEPWGDEA REGRRRGPRRESKPEEPPPPKKPALDKGPGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNA AQAPAPAASPPPEGPVLTFQSEKMKGMKELLVATKINSSAIKLQLTAQSQVQMKKQKVST PSDYTLSFLKRQRKGL >ENSMUSP00000144668.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6336910:6338408:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000202517.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] VNAGVAERSWLYLKGSYMRCDRKMEVAFMVCAINPSIDLHTDSLELLQLQQLLWLLYDLG HLERYPMALGNLADLEELEPTPGRPDPLTLYHKGIASAKTYYQDEHIYPYMYLAGYHCRN RNVREALQAWADTAT >ENSMUSP00000144648.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6339847:6340812:1 gene:ENSMUSG00000106695.3 transcript:ENSMUST00000202811.1 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Men1 description:multiple endocrine neoplasia 1 [Source:MGI Symbol;Acc:MGI:1316736] XGSGQSAGSGPPRKTSGTVPGTTRGGQEVGNAAQAPAPAASPPPEGPVLTFQSEKMKGMK ELLVATKINSSAIKLQLTAQSQVQMKKQKVSTPSDYTLSFLKRQRKGL >ENSMUSP00000144007.1 pep:known chromosome:GRCm38:CHR_MG4266_PATCH:130521718:130564459:1 gene:ENSMUSG00000106964.3 transcript:ENSMUST00000202264.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain1 description:Na+/K+ transporting ATPase interacting 1 [Source:MGI Symbol;Acc:MGI:1914399] MGKCSGRCTLVAFCCLQLVAALQRQIFDFLGYQWAPILANFLHIMAVILGIFGTVQYRSR YLILYAAWLVLWVGWNAFIICFYLEVGQLSQDRDFIMTFNTSLHRSWWMENGPGCLVTPV LNSRLALEDHHVISVTGCLLDYPYIEALSSALQIFLALFGFVFACYVSKVFLEEEDSFDF IGGFDSYGYQAPQKTSHLQLQPLYTSG >ENSMUSP00000143965.1 pep:known chromosome:GRCm38:CHR_MG4266_PATCH:130556574:130565690:1 gene:ENSMUSG00000106964.3 transcript:ENSMUST00000201743.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nkain1 description:Na+/K+ transporting ATPase interacting 1 [Source:MGI Symbol;Acc:MGI:1914399] MSLCPVGGQGSCLSLSLLQVAALQRQIFDFLGYQWAPILANFLHIMAVILGIFGTVQYRS RYLILYAAWLVLWVGWNAFIICFYLEVGQLSQDRDFIMTFNTSLHRSWWMENGPGCLVTP VLNSRLALEDHHVISVTGCLLDYPYIEALSSALQIFLALFGFVFACYVSKVFLEEEDSFD FIGGFDSYGYQAPQKTSHLQLQPLYTSG >ENSMUSP00000144576.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6341155:6342656:1 gene:ENSMUSG00000106850.3 transcript:ENSMUST00000201474.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPAGNHHPS >ENSMUSP00000144281.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6341160:6355615:1 gene:ENSMUSG00000106850.3 transcript:ENSMUST00000200679.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPGDDISSLQQ EITILRECRHPNVVAYIGSYLRNDRLWICMEFCGGGSLQEIYHATGPLEERQIAYVCREA LKGLHHLHSQGKIHRDIKGANLLLTLQGDVKLADFGVSGELTASVAKRRSFIGTPYWMAP EVAAVERKGGYNELCDVWALGITAIELGELQPPLFHLHPMRALMLMSKSSFQPPKLRDKT RWTQNFHHFLKLALTKNPKKRPTAERLLQHPFTTQHLPPALLTQLLDKASDPHLGTLSPE DSELETHDMFPDTIHSRSHHGPAERTPSEIQFHQVKFGAPRRKETDPLNEPWEEEWTLLG KEELSGSLLQSVQEALEERSLTIRPALELQELDSPDDAIGTIKRAPFLGLPHTESTSGDN AQSCSPGTLSAPPAGPGSPALLPTAWATLKQQEDRERSSCHGLPPTPKVHMGACFSKVFN GCPLQIHAAVTWVHPVTRDQFLVVGAEEGIYTLNLHELHEDTMEKLISQRCSWLYCVNNV LLSLSGKSTHIWAHDLPGLFEQRRLQHQAPLSIPTNRITQRIIPRRFALSTKIPDTKGCL QCRVVRNPYTGSTFLLAALPASLLLLQWYEPLQKFLLLKNFSSPLPSPAGMLEPLVLDGK ELPQVCVGAEGPEGPGCRVLFHVLPLEAGLTPDILIPPEGIPGSAQQVIQVDRDTVLVSF ERCVRIVNLQGEPTAALAPELTFDFTIETVVCLQDSVLAFWSHGMQGRSLDTNEVTQEIT DETRIFRVLGAHRDIILESIPTDNPGAHSNLYILTGHQSSY >ENSMUSP00000144031.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6341208:6355301:1 gene:ENSMUSG00000106850.3 transcript:ENSMUST00000201922.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPGNHHPS >ENSMUSP00000144474.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6341224:6345742:1 gene:ENSMUSG00000106850.3 transcript:ENSMUST00000202879.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPGDDISSLQQ EITILRECRHPNVVAYIGSYLRNDRLWICMEFCGGGSLQEIYHATGPLEERQIAYVCREA LKGLHHLHSQGKIHRDIKGANLLLTLQGDVKLADFGVSGELTASVAKRRSFIGTPYWALM LMSKSSFQPPKLRDKTRWTQNFHHFLKLALTKNPKKRPTAERLLQHPFTTQHLPPALLTQ LLDKASDPHLGTLSPEDSELETHDMFPDTIHSRSHHGPAERTPSEIQFHQVKFGAPRRKE TDPLNEPWEEEWTLLGKEELSGSLLQSVQEALEERSLTIRPALELQELDSP >ENSMUSP00000144260.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6341250:6353527:1 gene:ENSMUSG00000106850.3 transcript:ENSMUST00000202737.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] MALLRDVSLQDPRDRFELLQRVGAGTYGDVYKARDTVTSELAAVKIVKLDPGNHHPS >ENSMUSP00000143915.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6346692:6353838:1 gene:ENSMUSG00000106850.3 transcript:ENSMUST00000201898.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Map4k2 description:mitogen-activated protein kinase kinase kinase kinase 2 [Source:MGI Symbol;Acc:MGI:1346883] XKSTHIWAHDLPGLFEQRRLQHQAPLSIPTNRITQRIIPRRFALSTKIPDTKGCLQCRVV RNPYTGSTFLLAALPASLLLLQWYEPLQKFLLLKNFSSPLPSPAGMLEPLVLDGKELPQV CVGAEGPEGPGCRVLFHVLHSR >ENSMUSP00000144378.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6363690:6378030:1 gene:ENSMUSG00000106722.3 transcript:ENSMUST00000201145.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:Splicing factor 1 [Source:UniProtKB/Swiss-Prot;Acc:Q64213] MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIED LTRKLRTGDLGIPPNPEDRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHTLITEMVALN PDFKPPADYKPPATRVSDKVMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGK GSVKEGKVGRKDGQMLPGEDEPLHALVTANTMENVKKAVEQIRNILKQGIETPEDQNDLR KMQLRELARLNGTLREDDNRILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDP QSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMS TTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGP PPPWMQPPPPPMNQGPHPPGHHGPPPMDQYLGSTPVGSGVYRLHQGKGMMPPPPMGMMPP PPPPPSGQPPPPPSGPLPPWQQQQQQPPPPPPPSSSMASSTPLPWQQNTTTTTTSAGTGS IPPWQQQQAAAAASPGTPQMQGNPTMVPLPPGVQPPLPPGAPPPPPPPPPGSAGMMYAPP PPPPPPMDPSNFVTMMGMGVAGMPPFGMPPAPPPPPPQN >ENSMUSP00000143894.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6363719:6377203:1 gene:ENSMUSG00000106722.3 transcript:ENSMUST00000201978.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:Splicing factor 1 [Source:UniProtKB/Swiss-Prot;Acc:Q64213] MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIED LTRKLRTGDLGIPPNPEDRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHTLITEMVALN PDFKPPADYKPPATRVSDKVMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGK GSVKEGKVGRKDGQMLPGEDEPLHALVTANTMENVKKAVEQIRNILKQGIETPEDQNDLR KMQLRELARLNGTLREDDNRILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDP QSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMS TTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGP PPPWMQPPPPPMNQGPHPPGHHGPPPMGKSVPGKYACGLWGLSPASRKRYDAAAAYGHDA AASATSQWAAPASSLWSSSSMAAAAAAASATPSAQQQYGFQHPLAMAAKIPPRGSDGPSH ESEDFPRPLVTLPGRQPQQRPWWTGWFGKAA >ENSMUSP00000144016.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6363810:6376512:1 gene:ENSMUSG00000106722.3 transcript:ENSMUST00000202407.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:Splicing factor 1 [Source:UniProtKB/Swiss-Prot;Acc:Q64213] MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIED LTRKLRTGDLGIPPNPEDRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHTLITEMVALN PDFKPPADYKPPATRVSDKVMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGK GSVKEGKVGRKDGQMLPGEDEPLHALVTANTMENVKKAVEQIRNILKQGIETPEDQNDLR KMQLRELARLNGTLREDDNRILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDP QSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMS TTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGP PPPWMQPPPPPMNQGPHPPGHHGPPPMDQYLGSTPVGSGVYRLHQGKGMMPPPPMGMMPP PPPPPSGQPPPPPSGPLPPWQQQQQQPPPPPPPSSSMASSTPLPWQQNTTTTTTSAGTGS IPPWQQQQAAAAASPGTPQMQGNPTMVPLPPGVQPPLPPGAPPPPPPPPPGSAGMMIPPR GSDGPSHESEDFPRPLVTLPGRQPQQRPWWTGWFGKAA >ENSMUSP00000144370.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6363922:6377196:1 gene:ENSMUSG00000106722.3 transcript:ENSMUST00000201388.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:Splicing factor 1 [Source:UniProtKB/Swiss-Prot;Acc:Q64213] MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIVQLQIED LTRKLRTGDLGIPPNPEDRSPSPEPIYNSEGKRLNTREFRTRKKLEEERHTLITEMVALN PDFKPPADYKPPATRVSDKVMIPQDEYPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGK GSVKEGKVGRKDGQMLPGEDEPLHALVTANTMENVKKAVEQIRNILKQGIETPEDQNDLR KMQLRELARLNGTLREDDNRILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDP QSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMS TTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGP PPPWMQPPPPPMNQGPHPPGHHGPPPMDQYLGSTPVGSGVYRLHQGKGMMPPPPMGMMPP PPPPPSGQPPPPPSGPLPPWQQQQQQPPPPPPPSSSMASSTPLPWQQRSLPAAAMARAMR VRTFRAHW >ENSMUSP00000144162.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6363922:6377202:1 gene:ENSMUSG00000106722.3 transcript:ENSMUST00000201278.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sf1 description:Splicing factor 1 [Source:UniProtKB/Swiss-Prot;Acc:Q64213] MATGANATPLDFPSKKRKRSRWNQDTMEQKTVIPGMPTVIPPGLTREQERAYIGPLPLNQ STTARGSGLTLESSVPAKSLKRSGTPLLQRWLLSTQTLNHLQITSLQQHV >ENSMUSP00000144383.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6364194:6372193:1 gene:ENSMUSG00000106722.3 transcript:ENSMUST00000201508.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Sf1 description:Splicing factor 1 [Source:UniProtKB/Swiss-Prot;Acc:Q64213] MEQKTVIPGMPTVIPPGLTREQERAYIGPLPLNQSTTARGSGLTLESSVPAKSLKRSGTP LLQRWLLSTQTLNHLQITSLQQHV >ENSMUSP00000144356.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6364557:6372619:1 gene:ENSMUSG00000106722.3 transcript:ENSMUST00000201369.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:Splicing factor 1 [Source:UniProtKB/Swiss-Prot;Acc:Q64213] MEQKTVIPGMPTVIPPGLTREQERAYIVQLQIEDLTRKLRTGDLGIPPNPEDRSPSPEPI YNSEGKRLNTREFRTRKKLEEERHTLITEMVALNPDFKPPADYKPPATRVSDKVMIPQDE YPEINFVGLLIGPRGNTLKNIEKECNAKIMIRGKGSVKEGKVGRKDGQMLPGEDEPLHAL VTANTMENVKKAVEQIRNILKQGIETPEDQNDLRKMQLRELARLNGTLREDDNRILRPWQ SSETRSITNTTVCTKCGGAGHIASDCK >ENSMUSP00000144314.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6373621:6376654:1 gene:ENSMUSG00000106722.3 transcript:ENSMUST00000201909.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:Splicing factor 1 [Source:UniProtKB/Swiss-Prot;Acc:Q64213] XYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMSTTQSRPPWMNS GPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGPPPPWMQPPPPP MNQGPHPPGHHGPPPMDQYLGSTPVGSGVYRLHQGKAAAAAASATPSAQQQYGFQHPLAM AAKIPPRGSDGPSHESEDFPRPLVTLPGRQPQQRPWWTGWFGKAA >ENSMUSP00000144120.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6374010:6376512:1 gene:ENSMUSG00000106722.3 transcript:ENSMUST00000201940.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:Splicing factor 1 [Source:UniProtKB/Swiss-Prot;Acc:Q64213] SLMSTTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHN PNGPPPPWMQPPPPPMNQGPHPPGHHGPPPMVPALSFHQDQYLGSTPVGSGVYRLHQGKG MMPPPPMGMMPPPPPPPSGQPPPPPSGPLPPWQQQQQQPPPPPPPSSSMASSTPLPWQQR SLPAAAMARAMRVRTFRAHW >ENSMUSP00000144545.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6374129:6375780:1 gene:ENSMUSG00000106722.3 transcript:ENSMUST00000202996.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sf1 description:Splicing factor 1 [Source:UniProtKB/Swiss-Prot;Acc:Q64213] XFPHPLPSLTGGHGGHPMQHNPNGPPPPWMQPPPPPMNQGPHPPGHHGPPPMVPGKYACG LWGLSPASRKRYDAAAAYGHDAAASATSQWAAPASSLWSSSSMAAAAAAASATPSAQQQY GFQHPLAMAAKYDDYHHERWHRVHPAMATAAGGCRSFSRNPSDARQPHYGAP >ENSMUSP00000143844.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6384399:6398459:1 gene:ENSMUSG00000106877.3 transcript:ENSMUST00000201001.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pygm description:muscle glycogen phosphorylase [Source:MGI Symbol;Acc:MGI:97830] MSRPLSDQDKRKQISVRGLAGVENVSELKKNFNRHLHFTLVKDRNVATPRDYYFALAHTV RDHLVGRWIRTQQHYYEKDPKRIYYLSLEFYMGRTLQNTMVNLALENACDEATYQLGLDM EELEEIEEDAGLGNGGLGRLAACFLDSMATLGLAAYGYGIRYEFGIFNQKICGGWQMEEA DDWLRYGNPWEKARPEFTLPVHFYGRVEHTSQGAKWVDTQVVLAMPYDTPVPGYRNNVVN TMRLWSAKAPNDFNLKDFNVGGYIQAVLDRNLAENISRVLYPNDNFFEGKELRLKQEYFV VAATLQDIIRRFKSSKFGSRDPVRTNFDAFPDKVAIQLNDTHPSLAIPELMRILVDLERL DWDKAWDVTVKTCAYTNHTVLPEALERWPVHLMETLLPRHLQIIYEINQRFLNRVAAAFP GDVDRLRRMSLVEEGAVKRINMAHLCIAGSHAVNGVARIHSEILKKTIFKDFYELEPHKF QNKTNGITPRRWLVLCNPGLAEVIAERIGEDYISDLDQLRKLLSYVDDEAFIRDVAKVKQ ENKLKFSAYLEREYKVHINPNSLFDVQVKRIHEYKRQLLNCLHIITLYNRIKREPNRFMV PRTIMIGGKAAPGYHMAKMIIKLITAIGDVVNHDPAVGDRLRVIFLENYRVSLAEKVIPA ADLSEQISTAGTEASGTGNMKFMLNGALTIGTMDGANVEMAEEAGEENFFIFGMRVEDVE RLDQRGYNAQEYYDRIPELRQIIEQLSSGFFSPKQPDLFKDIVNMLMHHDRFKVFADYEE YIKCQDKVSELYKNPREWTRMVIRNIATSGKFSSDRTIAQYAREIWGVEPSRQRLPAPDE KI >ENSMUSP00000143935.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6384415:6398448:1 gene:ENSMUSG00000106877.3 transcript:ENSMUST00000200962.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Pygm description:muscle glycogen phosphorylase [Source:MGI Symbol;Acc:MGI:97830] MSRPLSDQDKRKQISVRGLAGVENVSELKKNFNRHLHFTLVKDRNVATPRDYYFALAHTV RDHLVGRWIRTQQHYYEKDPKKICGGWQMEEADDWLRYGNPWEKARPEFTLPVHFYGRVE HTSQGAKWVDTQVVLAMPYDTPVPGYRNNVVNTMRLWSAKAPNDFNLKDFNVGGYIQAVL DRNLAENISRVLYPNDNFFEGKELRLKQEYFVVAATLQDIIRRFKSSKFGSRDPVRTNFD AFPDKVAIQLNDTHPSLAIPELMRILVDLERLDWDKAWDVTVKTCAYTNHTVLPEALERW PVHLMETLLPRHLQIIYEINQRFLNRVAAAFPGDVDRLRRMSLVEEGAVKRINMAHLCIA GSHAVNGVARIHSEILKKTIFKDFYELEPHKFQNKTNGITPRRWLVLCNPGLAEVIAERI GEDYISDLDQLRKLLSYVDDEAFIRDVAKVKQENKLKFSAYLEREYKVHINPNSLFDVQV KRIHEYKRQLLNCLHIITLYNRIKREPNRFMVPRTIMIGGKAAPGYHMAKMIIKLITAIG DVVNHDPAVGDRLRVIFLENYRVSLAEKVIPAADLSEQISTAGTEASGTGNMKFMLNGAL TIGTMDGANVEMAEEAGEENFFIFGMRVEDVERLDQRGYNAQEYYDRIPELRQIIEQLSS GFFSPKQPDLFKDIVNMLMHHDRFKVFADYEEYIKCQDKVSELYKNPREWTRMVIRNIAT SGKFSSDRTIAQYAREIWGVEPSRQRLPAPDEKI >ENSMUSP00000143947.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6399340:6415210:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000201065.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPMELAEHLTYLEYRSFCKILFQDYHS FVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPTATQRALVITHFVHVAERLLQLQNF NTLMAVVGGLSHSSISRLKETHSHVSPDTIKLWEGLTELVTATGNYSNYRRRLAACVGFR FPILGVHLKDLVALQLALPDWLDPGRTRLNGAKMRQLFCILEELAMVTSLRPPVQANPDL LSLLTVSLDQYQTEDELYQLSLQREPRSKSSPTSPTSCTPPPRPPVLEEWTSVAKPKLDQ ALVAEHIEKMVESVFRNFDVDGDGHISQEEFQIIRGNFPYLSAFGDLDQNQDGCISREEM ISYFLRSSSVLGGRMGFVHNFQESNSLRPVACRHCKALILGIYKQGLKCRACGVNCHKQC KERLSVECRRRAQSVSLEGSAPSPSPTHTHHRAFSFSLPRPGRRSSRPPEIREEEVQSVE DGVFDIHL >ENSMUSP00000144241.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6399456:6403633:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000202484.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVCVGAERKGHYACYTICA >ENSMUSP00000144041.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6399746:6403485:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000201962.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELA >ENSMUSP00000144528.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6399864:6402574:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000202181.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLH >ENSMUSP00000144318.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6399868:6403478:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000201729.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPE >ENSMUSP00000144621.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6399874:6404337:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000201063.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVL >ENSMUSP00000143974.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6400113:6403638:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000200858.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVCVGAERKGHYACYTICA >ENSMUSP00000143992.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6400533:6415205:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000201596.3 gene_biotype:protein_coding transcript_biotype:nonsense_mediated_decay gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFAGFETAPLLSAIPEGQLQFSTDENVSLGQVLDLSLP SRVRLEPRAG >ENSMUSP00000144081.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6400547:6404421:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000201411.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPMELAEHLTY >ENSMUSP00000143871.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6400562:6415216:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000200777.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPMELAEHLTYLEYRSFCKILFQDYHS FVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPTATQRALVITHFVHVAERLLQLQNF NTLMAVVGGLSHSSISRLKETHSHVSPDTIKLWEGLTELVTATGNYSNYRRRLAACVGFR FPILGVHLKDLVALQLALPDWLDPGRTRLNGAKMRQLFCILEELAMVTSLRPPVQANPDL LSLLTVSLDQYQTEDELYQLSLQREPRSKSSPTSPTSCTPPPRPPVLEEWTSVAKPKLDQ ALVAEHIEKMVESVFRNFDVDGDGHISQEEFQIIRGNFPYLSAFGDLDQNQDGCISREEM ISYFLRSSSVLGGRMGFVHNFQESNSLRPVACRHCKALILGIYKQGLKCRACGVNCHKQC KERLSVECRRRAQSVSLEGSAPSPSPTHTHHRAFSFSLPRPGRRSSRPPEIREEEVQSVE DGVFDIHL >ENSMUSP00000144289.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6400564:6404824:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000202609.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPMELAEHLTYLEYRSFCKILFQDYHS FVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPTATQRALVITHFVHVA >ENSMUSP00000144159.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6400583:6415215:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000200711.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVPTYKWKRQVTQRNPVEQKKRKMSLLFDHLEPMELAEHLTYLEYRSFCKILFQDYHS FVTHGCTVDNPVLERFISLFNSVSQWVQLMILSKPTATQRALVITHFVHVAERLLQLQNF NTLMAVVGGLSHSSISRLKETHSHVSPDTIKLWEGLTELVTATGNYSNYRRRLAACVGFR FPILGVHLKDLVALQLALPDWLDPGRTRLNGAKMRQLFCILEELAMVTSLRPPVQANPDL LSLLTVSLDQYQTEDELYQLSLQREPRSKSSPTSPTSCTPPPRPPVLEEWTSVAKPKLDQ ALVAEHIEKMVESVFRNFDVDGDGHISQEEFQIIRGNFPYLSAFGDLDQNQDGCISREEM ISYFLRSSSVLGGRMGFVHNFQESNSLRPVACRHCKALILGIYKQGLKCRACGVNCHKQC KERLSVECRRRAQSVSLEGSAPSPSPTHTHHRAFSFSLPRPGRRSSRPPEIREEEVQSVE DGVFDIHL >ENSMUSP00000144037.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6400623:6403612:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000202628.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFAIPEGQLQFSTDENVSLGQVLDLSLPSRVRLEPRAG >ENSMUSP00000144508.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6401702:6403638:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000201395.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFDDSGKVRDPQLVRMFLMMHPWYIPSSQLASKLLHFY QQSRKDNSNSLQMKTCHLVRYWISAFPAEFDLNPELAEQIKELKALLDQEGNRRHSSLID IESVCVGAERKGHYACYTICA >ENSMUSP00000144066.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6401718:6403638:1 gene:ENSMUSG00000106685.3 transcript:ENSMUST00000202309.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Rasgrp2 description:RAS, guanyl releasing protein 2 [Source:MGI Symbol;Acc:MGI:1333849] MTSTLDLDKGCTVEELLRGCIEAFAGFETAPLLSAIPEGQLQFSTDENVSLGQVLDLSLP SRVRLEPRAG >ENSMUSP00000143991.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6418731:6533217:1 gene:ENSMUSG00000107104.3 transcript:ENSMUST00000202301.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:EntrezGene;Acc:18190] MALGSRWQPPPQLPPLLLLLALAAGVRGLEFGGGPGQWARYARWAGAASTGELSFSLRTN ATRALLLYLDDGGDCDFLELLLVDGRLRLRFTLSCAEPATLQLDTPVADDRWHMVLLTRD ARRTALAVDGEARAAEVRSKRREMQVASDLFVGGIPPDVRLSALTLSTVKYEPPFRGLLA NLKLGERPPALLGSQGLRGAAADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKF CSEEEHPMEGPAHLTLNSEVGSLLFSEGGAGRGGAGDVHQPTKGKEEFVATFKGNEFFCY DLSHNPIQSSTDEITLAFRTLQRNGLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEAL VEPVNGKFNDNAWHDVRVTRNLRQHAGIGHAMVTISVDGILTTTGYTQEDYTMLGSDDFF YIGGSPNTADLPGSPVSNNFMGCLKDVVYKNNDFKLELSRLAKEGDPKMKLQGDLSFRCE DVAALDPVTFESPEAFVALPRWSAKRTGSISLDFRTTEPNGLLLFSQGRRAGAGVGSHSS TQRADYFAMELLDGYLYLLLDMGSGGIKLRASSRKVNDGEWCHVDFQRDGRKGSISVNSR STPFLATGESEVLDLESELYLGGLPEGGRVDLPLPPEVWTAALRAGYVGCVRDLFIDGRS RDLRGLAEAQGAVGVAPFCSRETLKQCASAPCRNGGICREGWNRFVCDCIGTGFLGRVCE REATVLSYDGSMYMKIMLPTAMHTEAEDVSLRFMSQRAYGLMMATTSRESADTLRLELDG GQMRLTVNLDCLRVGCAPSKGPETLFAGHKLNDNEWHTVRVVRRGKSLQLSVDNVTVEGQ MAGAHTRLEFHNIETGIMTERRFISVVPSNFIGHLSGLVFNGQPYMDQCKDGDITYCELN ARFGLRAIVADPVTFKSRSSYLALATLQAYASMHLFFQFKTTAPDGLLLFNSGNGNDFIV IELVKGYIHYVFDLGNGPSLMKGNSDKPVNDNQWHNVVVSRDPGNVHTLKIDSRTVTQHS NGARNLDLKGELYIGGLSKNMFSNLPKLVASRDGFQGCLASVDLNGRLPDLIADALHRIG QVERGCDGPSTTCTEESCANQGVCLQQWDGFTCDCTMTSYGGPVCNDPGTTYIFGKGGAL ITYTWPPNDRPSTRMDRLAVGFSTHQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVG TDDITIDEPNAIVSDGKYHVVRFTRSGGNATLQVDSWPVNERYPAGNFDNERLAIARQRI PYRLGRVVDEWLLDKGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKVLALAAES DPNVRTEGHLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRGRSPTMRD STTQNTDDLLVASAECPSDDEDLEECEPSTGGELILPIITEDSLDPPPVATRSPFVPPPP TFYPFLTGVGATQDTLPPPAARRPSSGGPCQAERDDSDCEEPVEASGFASGEVFDSSLPP TDDEDFYTTFPLVTDRTTLLSPRKPRPNLRTDGATGAPGVLFAPSAPAPNLPAGKMNHRD PLQPLLENPPLGPGVPTAFEPRRPPPLRPGVTSAPGFPRLPTANPTGPGERGPPGAVEVI RESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDQSRNYISNSAQSNGAVVK EKAPAAPKTPSKAKKNKDKEYYV >ENSMUSP00000144193.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6418738:6533216:1 gene:ENSMUSG00000107104.3 transcript:ENSMUST00000201950.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:EntrezGene;Acc:18190] MALGSRWQPPPQLPPLLLLLALAAGVRGLEFGGGPGQWARYARWAGAASTGELSFSLRTN ATRALLLYLDDGGDCDFLELLLVDGRLRLRFTLSCAEPATLQLDTPVADDRWHMVLLTRD ARRTALAVDGEARAAEVRSKRREMQVASDLFVGGIPPDVRLSALTLSTVKYEPPFRGLLA NLKLGERPPALLGSQGLRGAAADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKF CSEEEHPMEGPAHLTLNSEVGSLLFSEGGAGRGGAGDVHQPTKGKEEFVATFKGNEFFCY DLSHNPIQSSTDEITLAFRTLQRNGLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEAL VEPVNGKFNDNAWHDVRVTRNLRQVTISVDGILTTTGYTQEDYTMLGSDDFFYIGGSPNT ADLPGSPVSNNFMGCLKDVVYKNNDFKLELSRLAKEGDPKMKLQGDLSFRCEDVAALDPV TFESPEAFVALPRWSAKRTGSISLDFRTTEPNGLLLFSQGRRAGAGVGSHSSTQRADYFA MELLDGYLYLLLDMGSGGIKLRASSRKVNDGEWCHVDFQRDGRKGSISVNSRSTPFLATG ESEVLDLESELYLGGLPEGGRVDLPLPPEVWTAALRAGYVGCVRDLFIDGRSRDLRGLAE AQGAVGVAPFCSRETLKQCASAPCRNGGICREGWNRFVCDCIGTGFLGRVCEREATVLSY DGSMYMKIMLPTAMHTEAEDVSLRFMSQRAYGLMMATTSRESADTLRLELDGGQMRLTVN LDCLRVGCAPSKGPETLFAGHKLNDNEWHTVRVVRRGKSLQLSVDNVTVEGQMAGAHTRL EFHNIETGIMTERRFISVVPSNFIGHLSGLVFNGQPYMDQCKDGDITYCELNARFGLRAI VADPVTFKSRSSYLALATLQAYASMHLFFQFKTTAPDGLLLFNSGNGNDFIVIELVKGYI HYVFDLGNGPSLMKGNSDKPVNDNQWHNVVVSRDPGNVHTLKIDSRTVTQHSNGARNLDL KGELYIGGLSKNMFSNLPKLVASRDGFQGCLASVDLNGRLPDLIADALHRIGQVERGCDG PSTTCTEESCANQGVCLQQWDGFTCDCTMTSYGGPVCNDPGTTYIFGKGGALITYTWPPN DRPSTRMDRLAVGFSTHQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDE PNAIVSDGKYHVVRFTRSGGNATLQVDSWPVNERYPAGNFDNERLAIARQRIPYRLGRVV DEWLLDKGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKVLALAAESDPNVRTEG HLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRGRSPTMRDSTTQNTDD LLVASAECPSDDEDLEECEPSTANPTGPGERGPPGAVEVIRESSSTTGMVVGIVAAAALC ILILLYAMYKYRNRDEGSYQVDQSRNYISNSAQSNGAVVKEKAPAAPKTPSKAKKNKDKE YYV >ENSMUSP00000144625.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6418765:6532278:1 gene:ENSMUSG00000107104.3 transcript:ENSMUST00000202580.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:EntrezGene;Acc:18190] MALGSRWQPPPQLPPLLLLLALAAGVRGLEFGGGPGQWARYARWAGAASTGELSFSLRTN ATRALLLYLDDGGDCDFLELLLVDGRLRLRFTLSCAEPATLQLDTPVADDRWHMVLLTRD ARRTALAVDGEARAAEVRSKRREMQVASDLFVGGIPPDVRLSALTLSTVKYEPPFRGLLA NLKLGERPPALLGSQGLRGAAADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKF CSEEEHPMEGPAHLTLNSEGKEEFVATFKGNEFFCYDLSHNPIQSSTDEITLAFRTLQRN GLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEALVEPVNGKFNDNAWHDVRVTRNLRQ HAGIGHAMVTISVDGILTTTGYTQEDYTMLGSDDFFYIGGSPNTADLPGSPVSNNFMGCL KDVVYKNNDFKLELSRLAKEGDPKMKLQGDLSFRCEDVAALDPVTFESPEAFVALPRWSA KRTGSISLDFRTTEPNGLLLFSQGRRAGAGVGSHSSTQRADYFAMELLDGYLYLLLDMGS GGIKLRASSRKVNDGEWCHVDFQRDGRKGSISVNSRSTPFLATGESEVLDLESELYLGGL PEGGRVDLPLPPEVWTAALRAGYVGCVRDLFIDGRSRDLRGLAEAQGAVGVAPFCSRETL KQCASAPCRNGGICREGWNRFVCDCIGTGFLGRVCEREATVLSYDGSMYMKIMLPTAMHT EAEDVSLRFMSQRAYGLMMATTSRESADTLRLELDGGQMRLTVNLGKGPETLFAGHKLND NEWHTVRVVRRGKSLQLSVDNVTVEGQMAGAHTRLEFHNIETGIMTERRFISVVPSNFIG HLSGLVFNGQPYMDQCKDGDITYCELNARFGLRAIVADPVTFKSRSSYLALATLQAYASM HLFFQFKTTAPDGLLLFNSGNGNDFIVIELVKGYIHYVFDLGNGPSLMKGNSDKPVNDNQ WHNVVVSRDPGNVHTLKIDSRTVTQHSNGARNLDLKGELYIGGLSKNMFSNLPKLVASRD GFQGCLASVDLNGRLPDLIADALHRIGQVERGCDGPSTTCTEESCANQGVCLQQWDGFTC DCTMTSYGGPVCNDPGTTYIFGKGGALITYTWPPNDRPSTRMDRLAVGFSTHQRSAVLVR VDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDEPNAIVSDGKYHVVRFTRSGGNATLQ VDSWPVNERYPAGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKVLALAAESDPN VRTEGHLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRGRSPTMRDSTT QNTDDLLVASAECPSDDEDLEECEPSTGGELILPIITEDSLDPPPVATRSPFVPPPPTFY PFLTGVGATQDTLPPPAARRPSSGGPCQAERDDSDCEEPVEASGFASGEVFDSSLPPTDD EDFYTTFPLVTDRTTLLSPRKPRPNLRTDGATGAPGVLFAPSAPAPNLPAGKMNHRDPLQ PLLENPPLGPGVPTAFEPRRPPPLRPGVTSAPGFPRLPTANPTGPGERGPPGAVEVIRES SSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDQSRNYISNSAQSNGAVVKEKA PAAPKTPSKAKKNKDKEYYV >ENSMUSP00000144319.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6427937:6533217:1 gene:ENSMUSG00000107104.3 transcript:ENSMUST00000202463.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:EntrezGene;Acc:18190] MALGSRWQPPPQLPPLLLLLALAAGVRGLEFGGGPGQWARYARWAGAASTGELSFSLRTN ATRALLLYLDDGGDCDFLELLLVDGRLRLRFTLSCAEPATLQLDTPVADDRWHMVLLTRD ARRTALAVDGEARAAEVRSKRREMQVASDLFVGGIPPDVRLSALTLSTVKYEPPFRGLLA NLKLGERPPALLGSQGLRGAAADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKF CSEEEHPMEGPAHLTLNSEVGSLLFSEGGAGRGGAGDVHQPTKGKEEFVATFKGNEFFCY DLSHNPIQSSTDEITLAFRTLQRNGLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEAL VEPVNGKFNDNAWHDVRVTRNLRQHAGIGHAMVNKLHYLVTISVDGILTTTGYTQEDYTM LGSDDFFYIGGSPNTADLPGSPVSNNFMGCLKDVVYKNNDFKLELSRLAKEGDPKMKLQG DLSFRCEDVAALDPVTFESPEAFVALPRWSAKRTGSISLDFRTTEPNGLLLFSQGRRAGA GVGSHSSTQRADYFAMELLDGYLYLLLDMGSGGIKLRASSRKVNDGEWCHVDFQRDGRKG SISVNSRSTPFLATGESEVLDLESELYLGGLPEGGRVDLPLPPEVWTAALRAGYVGCVRD LFIDGRSRDLRGLAEAQGAVGVAPFCSRETLKQCASAPCRNGGICREGWNRFVCDCIGTG FLGRVCEREATVLSYDGSMYMKIMLPTAMHTEAEDVSLRFMSQRAYGLMMATTSRESADT LRLELDGGQMRLTVNLDCLRVGCAPSKGPETLFAGHKLNDNEWHTVRVVRRGKSLQLSVD NVTVEGQMAGAHTRLEFHNIETGIMTERRFISVVPSNFIGHLSGLVFNGQPYMDQCKDGD ITYCELNARFGLRAIVADPVTFKSRSSYLALATLQAYASMHLFFQFKTTAPDGLLLFNSG NGNDFIVIELVKGYIHYVFDLGNGPSLMKGNSDKPVNDNQWHNVVVSRDPGNVHTLKIDS RTVTQHSNGARNLDLKGELYIGGLSKNMFSNLPKLVASRDGFQGCLASVDLNGRLPDLIA DALHRIGQVERGCDGPSTTCTEESCANQGVCLQQWDGFTCDCTMTSYGGPVCNDPGTTYI FGKGGALITYTWPPNDRPSTRMDRLAVGFSTHQRSAVLVRVDSASGLGDYLQLHIDQGTV GVIFNVGTDDITIDEPNAIVSDGKYHVVRFTRSGGNATLQVDSWPVNERYPAGNFDNERL AIARQRIPYRLGRVVDEWLLDKGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKV LALAAESDPNVRTEGHLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRG RSPTMRDSTTQNTDDLLVASAECPSDDEDLEECEPSTGGELILPIITEDSLDPPPVATRS PFVPPPPTFYPFLTGVGATQDTLPPPAARRPSSGGPCQAERDDSDCEEPVEASGFASGEV FDSSLPPTDDEDFYTTFPLVTDRTTLLSPRKPRPNLRTDGATGAPGVLFAPSAPAPNLPA GKMNHRDPLQPLLENPPLGPGVPTAFEPRRPPPLRPGVTSAPGFPRLPTANPTGPGERGP PGAVEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYQVDQSRNYISNSAQ SNGAVVKEKAPAAPKTPSKAKKNKDKEYYV >ENSMUSP00000144389.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6428646:6472380:1 gene:ENSMUSG00000107104.3 transcript:ENSMUST00000201938.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:EntrezGene;Acc:18190] AAADPLCAPARNPCANGGLCTVLAPGEVGCDCSHTGFGGKFCSEEEHPMEVGSLLFSEGG AGRGGAGDVHQPTKGKEEFVATFKGNEFFCYDLSHNPIQSSTDEITLAFRTLQRNGLMLH TGKSADYVNLSLKSGAVWLVINLGSGAFEALVEPVNGKFNDNAWHDVRVTRNLRQVTISV DGILTTTGYTQEDYTMLGSDDFFYIGGSPNTADLPG >ENSMUSP00000144493.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6428767:6473339:1 gene:ENSMUSG00000107104.3 transcript:ENSMUST00000201577.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:EntrezGene;Acc:18190] XCSEEEHPMEGPAHLTLNSEVGSLLFSEGGAGRGGAGDVHQPTKGKEEFVATFKGNEFFC YDLSHNPIQSSTDEITLAFRTLQRNGLMLHTGKSADYVNLSLKSGAVWLVINLGSGAFEA LVEPVNGKFNDNAWHDVRVTRNLRQVTISVDGILTTTGYTQEDYTMLGSDDFFYIGGSPN TADLPGSPVSNNFMGCLKDVVYKNND >ENSMUSP00000144068.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6497828:6533217:1 gene:ENSMUSG00000107104.3 transcript:ENSMUST00000201188.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:EntrezGene;Acc:18190] MPPGGSGQGGCPRRPPALAGPLPPPPPPPPLPLLLGLLLLLGAAEGARVSSSLSTTHHVH HFHSKHGTVPIAINRMPFLTRSGHAGTTYIFGKGGALITYTWPPNDRPSTRMDRLAVGFS THQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDEPNAIVSDGKYHVVRF TRSGGNATLQVDSWPVNERYPAGNFDNERLAIARQRIPYRLGRVVDEWLLDKGRQLTIFN SQAAIKIGGRDQGRPFQGQVSGLYYNGLKVLALAAESDPNVRTEGHLRLVGEGPSVLLSA ETTATTLLADMATTIMETTTTMATTTTRRGRSPTMRDSTTQNTDDLLVASAECPSDDEDL EECEPSTGGELILPIITEDSLDPPPVATRSPFVPPPPTFYPFLTGVGATQDTLPPPAARR PSSGGPCQAERDDSDCEEPVEASGFASGEVFDSSLPPTDDEDFYTTFPLVTDRTTLLSPR KPRPNLRTDGATGAPGVLFAPSAPAPNLPAGKMNHRDPLQPLLENPPLGPGVPTAFEPRR PPPLRPGVTSAPGFPRLPTANPTGPGERGPPGAVEVIRESSSTTGMVVGIVAAAALCILI LLYAMYKYRNRDEGSYQVDQSRNYISNSAQSNGAVVKEKAPAAPKTPSKAKKNKDKEYYV >ENSMUSP00000144689.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6497835:6544169:1 gene:ENSMUSG00000107104.3 transcript:ENSMUST00000200719.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Nrxn2 description:neurexin II [Source:EntrezGene;Acc:18190] MPPGGSGQGGCPRRPPALAGPLPPPPPPPPLPLLLGLLLLLGAAEGARVSSSLSTTHHVH HFHSKHGTVPIAINRMPFLTRSGHAGTTYIFGKGGALITYTWPPNDRPSTRMDRLAVGFS THQRSAVLVRVDSASGLGDYLQLHIDQGTVGVIFNVGTDDITIDEPNAIVSDGKYHVVRF TRSGGNATLQVDSWPVNERYPAGRQLTIFNSQAAIKIGGRDQGRPFQGQVSGLYYNGLKV LALAAESDPNVRTEGHLRLVGEGPSVLLSAETTATTLLADMATTIMETTTTMATTTTRRG RSPTMRDSTTQNTDDLLVASAECPSDDEDLEECEPSTGAVTVVTIVDLKVLWS >ENSMUSP00000144526.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6535845:6543019:-1 gene:ENSMUSG00000107099.3 transcript:ENSMUST00000202867.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a12 description:solute carrier family 22 (organic anion/cation transporter), member 12 [Source:EntrezGene;Acc:20521] MAFPELLDRVGGLGRFQLFQTVALVTPILWVTTQNMLENFSAAVPHHRCWVPLLDNSTSQ ASIPGDLGPDVLLAVSIPPGPDQQPHQCLRFRQPQWQLTESNATATNWSDAATEPCEDGW VYDHSTFRSTIVTTWDLVCNSQALRPMAQSIFLAGILVGAAVCGHASDRFGRRRVLTWSY LLVSVSGTAAAFMPTFPLYCLFRFLLASAVAGVMMNTASLLMEWTSAQGSPLVMTLNALG FSFGQVLTGSVAYGVRSWRMLQLAVSAPFFLFFVYSWWLPESARWLITVGKLDQGLQELQ RVAAVNRRKAEGDTLTMEVLRSAMEEEPSRDKAGASLGTLLHTPGLRHRTIISMLCWFAF GFTFYGLALDLQALGSNIFLLQALIGIVDFPVKTGSLLLISRLGRRLCQVSFLVLPGLCI LSNILVPHGMGVLRSALAVLGLGCLGGAFTCITIFSSELFPTVIRMTAVGLCQVAARGGA MLGPLVRLLGVYGSWMPLLVYGVVPVLSGLAALLLPETKNLPLPDTIQDIQKQSVKKVTH DTPDGSILMSTRL >ENSMUSP00000144375.1 pep:known chromosome:GRCm38:CHR_MG4249_PATCH:6537737:6542711:-1 gene:ENSMUSG00000107099.3 transcript:ENSMUST00000202211.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Slc22a12 description:solute carrier family 22 (organic anion/cation transporter), member 12 [Source:EntrezGene;Acc:20521] XRFQLFQTVALVTPILWVTTQNMLENFSAAVPHHRCWVPLLDNSTSQASIPGDLGPDVLL AVSIPPGPDQQPHQCLRFRQPQWQLTESNATATNWSDAATEPCEDGWVYDHSTFRSTIVT TWDLVCNSQALRPMAQSIFLAGILVGAAVCGHASDRWLPESARWLITVGKLDQGLQELQR VAAVNRRKAEGDTLTMEVLRSAMEEEPSRDKAGASLGTLLHTPGLRHRTIISMLCWFAFG FTFYGLALDLQALGSNIFLLQALIGIVDFPVKTG >ENSMUSP00000141532.1 pep:known chromosome:GRCm38:CHR_MMCHR1_CHORI29_IDD5_1:60935411:60942198:1 gene:ENSMUSG00000103326.2 transcript:ENSMUST00000192488.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctla4 description:cytotoxic T-lymphocyte-associated protein 4 [Source:EntrezGene;Acc:12477] MACLGLRRYKAQLQLPSRTWPFVALLTLLFIPVFSEAIQVTQPSVVLASSHGVASFPCEY SPSHNTDEVRVTVLRQTNDQMTEVCATTFTEKNTVGFLDYPFCSGTFNESRVNLTIQGLR AVDTGLYLCKVELMYPPPYFVGMGNGTQIYVIDPEPCPDSDFLLWILVAVSLGLFFYSFL VTAVSLSKMLKKRSPLTTGVYVKMPPTEPECEKQFQPYFIPIN >ENSMUSP00000143988.1 pep:known chromosome:GRCm38:CHR_MMCHR1_CHORI29_IDD5_1:60935557:60941089:1 gene:ENSMUSG00000103326.2 transcript:ENSMUST00000202079.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ctla4 description:cytotoxic T-lymphocyte-associated protein 4 [Source:EntrezGene;Acc:12477] MACLGLRRYKAQLQLPSRTWPFVALLTLLFIPVFSEAIQVTQPSVVLASSHGVASFPCEY SPSHNTDEVRVTVLRQTNDQMTEVCATTFTEKNTVGFLDLCH >ENSMUSP00000141223.1 pep:known chromosome:GRCm38:CHR_MMCHR1_CHORI29_IDD5_1:61011483:61032732:1 gene:ENSMUSG00000103068.1 transcript:ENSMUST00000194492.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CR936839.1 description:inducible T-cell costimulator precursor [Source:RefSeq peptide;Acc:NP_059508] MKPYFCHVFVFCFLIRLLTGEINGSADHRMFSFHNGGVQISCKYPETVQQLKMRLFRERE VLCELTKTKGSGNAVSIKNPMLCLYHLSNNSVSFFLNNPDSSQGSYYFCSLSIFDPPPFQ ERNLSGGYLHIYESQLCCQLKLWLPVGCAAFVVVLLFGCILIIWFSKKKYGSSVHDPNSE YMFMAAVNTNKKSRLAGVTS